>Sspon.05G0005310-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:17105635:17107969:1 gene:Sspon.05G0005310-1A transcript:Sspon.05G0005310-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lysine histidine transporter-like 7 [Source:Projected from Arabidopsis thaliana (AT4G35180) UniProtKB/Swiss-Prot;Acc:Q84WE9] MSSSSKQDAISEVQSAPPTPRPPTPPVVSTPPSQIQSPRGPSGGRSPLHAMASPLRAMASPLRAMATPLASPVRKAVAGVKAVGNITRLADPRDAWLPITESRSGNAYYAAFHNLSSGIGFQALVLPTAFASLGWTWAIICLTLAFGWQLYTLWLLVRLHEPVAGATRYSRYMHLANTVFGAKWAKILALLPVLYLSAGISTALIIVGGGSMKSLFSIACGESFIKLPFVARMQIPSGGILSALYKFHSRDVSRLVLGTTTLLVIINCLTTYQIYAMPVYDNMEAGYVHKKNRPCPWWMRSGFRAFFAATNFLIAVALPFLSQLAALLGGISLPVTLAYPCFMWVAIKKPRKGTATWNVNWALGILGMGISVVLIVGNLWGLVQTGLRLNFFKPDNMQ >Sspon.02G0021850-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:73039637:73043902:1 gene:Sspon.02G0021850-1A transcript:Sspon.02G0021850-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEGVKTHLQISFFPLGFGGYNILLDFGLPLVNGVGKSCLLLRFSDGSFTTSFITTIGIDFKIRTVELDGKRIKLQIWDTAGQERFRTITTAYYRGAMGILLVYDVTDESSFNNIRNWIRNIEQHASDNVNKILVGNKADMDESKRAVPTSKGQALADEYGIKFFETSAKTNLNVEQVFFSIARDIKQRLAESDSKPEDRTISINRPEGGEASASQKSACCGS >Sspon.08G0018980-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:9818535:9819596:-1 gene:Sspon.08G0018980-1B transcript:Sspon.08G0018980-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAAPAGLAAVVVAVAVLAAAVSAAVTYDRKAVVVNGQRRILMSGSIHYPRSVPEMWPDLIQKAKDGGLDVVQTYVFWNGHEPSRGQYYFEGRYDLVHFIKLVKQAGLYVHLRIGPYVCAEWNFGGFPVWLKYVPGISFRTDNEPFKARIDLWLCFSCVSPF >Sspon.08G0003070-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:8440365:8440967:1 gene:Sspon.08G0003070-1A transcript:Sspon.08G0003070-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKAAAVVLLVAALLGCLVSASLADQGTATYYTVYTPSACYGYQDEGTMIAAASDGLWDNGAACGRMYQVSCAGGTNATPNPCKGGSVTVKIVDRCPSPGCQATLDLSQEAFNTIGNLDAGKILINYNQYARATRFTISLPLFTRV >Sspon.03G0011120-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:30252938:30256027:1 gene:Sspon.03G0011120-1A transcript:Sspon.03G0011120-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAVVLAFLSQLPSFHSLRHINFVSLLLSLGYTILDYSLSSSKSEQTFDAFLSISILASVFGNGILPEIQATLAPPAAGKMMKALVLCYSVVVFTFFLSSITGYWAFGSHVQSNVLKSLMPDSGPALAPTWLLGVAVLFVLLQLLAIGLVYSQVAYEIMEKSSADAAQDRFSRRNLVPRLLLRTLYLAFCALMAAMLPFFGDIVGVVGAIGFIPLDFVLPVLMYNIALAPPRRSPVFLANTAVMVIFAGVGAIGAFATIRKLALDADKFKLFSNNVYRWPSGRAGLARRHGVPCRAMPTSCLPVPSCRPCRATYVLRARGSAQGTARGPTPACRRAGPRPAWPPPPARSPATPIRSRSRSRHRQRARPLGHDADPPPLLRSRGCCRRSTHAAHRHSTLRRRERVRGESEKKGICSGAVAMHHVLMRASARPAARSRASAHGASQQRRCSSAAHGPHPLLLTLTSHSLSPAECAMPMRGVSGAAAAATGAEQRRRISVVTERASALARIGVAGERAGGGGHLRHPVGVAALLLHVGTQAWPCLGPARRPTGRALGRPPGTEHVRGTARPARRHGEARRRHGPMAIYTRGPFPFTSFI >Sspon.02G0024590-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2A:84893738:84894100:1 gene:Sspon.02G0024590-1A transcript:Sspon.02G0024590-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARNNATTSICYNDAALQKCSSNISCSREFLGAFNQIMGGKMWQVNMLIVMDAVLAGVIVVIGAYAQRYRYHPLTRFIFLGATTLFLPIISYVVSTIAANTNDYINEDKVLGTLTAATCHG >Sspon.06G0018510-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:82540638:82541731:1 gene:Sspon.06G0018510-4D transcript:Sspon.06G0018510-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGGEGLTLLGLWPSPFVIRARIALNLKGLTYVYTEESLYDKSELLLKSNPVRKKVPVLIHDGKPVCESQIIVQYIDEAFPAAAGAAPILPSDPYDRAVARFWASYVEDKLFRTWVPVFRGRTAEERVEAATQVFAVLETLEQAFKECSKGKVFFGGDSVGLVDVVLGGHLGWLYATEAICGVKVVDATKTPLLVAWAERFCALDAVKGLIPDVDKLVEYNKARRAGLGLPLLLPYEEPQQ >Sspon.02G0049110-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:33085886:33087526:-1 gene:Sspon.02G0049110-1C transcript:Sspon.02G0049110-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding KDCKRPRHAATTSAAVGSNRPQHFARGGNPQTPSTCPAAALRAQMGPCKVPRGRQWNPTTKAATSDVGGARCLARHEPLSKSTSAWMLGYSGGGLSGCGRIAGGFPFFGGGCSVDNRLASVVASAIAVRVHTTTQVGQPGVEDVVTVATSTPSDMTPMPREVARRLARFTEEVQHKRKSPLIANPPRQKAATTKRPQPIRSKQIATQPLAHIPTAKRGEVLLMKKMSISCHRRLLSPDALPPEPTAPPRCSRVLPELRRGPTALAAVSSFPSPALPPPWPWAPPAPTWPGRLHHCRNPNAVPTVWSVYLPRALWRGER >Sspon.01G0023530-3C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1C:83099288:83100042:1 gene:Sspon.01G0023530-3C transcript:Sspon.01G0023530-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding TGEDIPSENESFESDDLQAPGADYIVSHRTYLSQEQKERVTLLIQEIQPKTTVYIAVMRKSHVHPPAPSVDIIKEYAFAYFPHGNANVTLQTPGKSKKWHPKFNTTKGSVYRLQGQWLDFVRDNHVQEGDICAFLPVKLGRRFTFTVYLLSAAATRSRCETGFQRAGPCPGGSSPEMASEVHIKEPTD >Sspon.01G0049320-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:112359396:112364876:1 gene:Sspon.01G0049320-1B transcript:Sspon.01G0049320-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABC transporter B family member 27 [Source:Projected from Arabidopsis thaliana (AT5G39040) UniProtKB/Swiss-Prot;Acc:Q0WML0] MTTGSLTSFILYSLTVGSSVSALSGLYTTVMKASGASRRVFQLLDRVSSMTNSGDKCPINEKDGEVELDDVWFAYPSRPSHMILKGITLKLAPGSKVALVGPSGGGKTTIANLIERFYDPLKGRILLNGVPLVEISHQYLHSKVSIVSQEPTLFNCTIEENIAYGLEGKANFADVESAAKMANAHSFICSFPDQYKTVVGERGIRLSGGQKQRIAIARALLMNPRVLLLDEATSALDAESEYLVQLERDVGFPLHVPQDAMDSLMKGRTVLVIAHRLSTVKSADTVAVISDGLIVESGTHDELLDHNGIYTALVKRQLQGPKFEATSSINEASEIEAEPSSNGQ >Sspon.07G0024450-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7B:25355946:25356602:1 gene:Sspon.07G0024450-1B transcript:Sspon.07G0024450-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DEGMPSWERFKELCNLRFGPAVRNTRLFELARMPFMSMVQDYSDRFNAVLCHARHLSAPQKAELFVGGLPEHIKVDVELQEPQDLQTAMHLARAFECRAAATLLAPVQQAWPPQRQPLALPAPVAQARAPMPAAAVPTLPALPQAPAPAPQQLFRCLTPAEMLERRRQGLCFNCDELYVRSHQCQRLFFLEADDFLDDAVVDKDGTKEALQEAGPAFQ >Sspon.08G0021680-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8C:43922684:43926335:1 gene:Sspon.08G0021680-2C transcript:Sspon.08G0021680-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSREVTGWGRMAGGDGAAAAAAAVEKAVRCLGRGVDMTGDLRLKHCKDAGGCLVLRTDEKAAAEKVVVPGFGVVADVPADVKCGKGDRIRFKSDVLEFNKMSEVFNHRNSLTGKIPSGLFNSCFDLECGSWAEDASATKCLAFDGYFISLLDLRLDCRPLALADHVVRDVPAAWDPSAIARYGLEIISITQFGLLSFPYHPGRSFLPSDTDDMVPEAFMYLMPSDTAKASRNDYSSSCKEGVTVIYSKRGGNAARVTTQSGCKLCHNAGAINFKIVPITSLLKGVTGVGFLSHASTYISDVIVPILPVTGMRLHLEGKKNNRLGIHLQHLSNNPTFINERSAKQPIWRGSEMISDERYYEPVQWRMFAHVCTVPVKYDPRWASADSPSAYIVSGAQLHIKAHDSTNILHLRLLYTQLPGHAV >Sspon.02G0037820-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2B:36007701:36012318:-1 gene:Sspon.02G0037820-1B transcript:Sspon.02G0037820-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRTPQSILFLLLIFSPSAAQNTTGSSPNEFHVGVILDLGSLVGKVARTSVSLALEDFYASHRNCSWKVVLHFKDSVGNDVQAASAAIELLETYKVQAIIGPQKSSESVFISNLGNTTQVPIVSFTATSPSLTSDIMPYFVRATLNDSVQVNSIASLIKAYGWREVVPVYDDTDYGRGILPHLIDALQQIDAHIPYRSVISLSATSENIMQELYKLKAMQTRVFIVHMSLTRASLLFTKAKEAGMMTKGFVWIITNGLANIIDSLNPSVIEAMNGVIGLNQNGLKLTMPDSMQQLNPVIWPGESTEVPRGWQLPASANKLRVGVHTSAYPEFIKTSKDPVTNATRASGLSIDIFEEAVKRLPFALAYEYQAFDTVDTQSTGSYNDFVYQVYLQRYDIAIGDITIRYNRTMYVDFTIPYTESGVAMIVPVKEKLAPTVTDIHELQKQGAYVGFHRGSYIEGLLEDIGFDRSKIRPYDTPDDFHIALSNEGRHGGVAALVLEVPYIKLFLAKYCKGYTMVGPIYKSAGFAFALPKRSPLLTEISRAILNITEGDSIIQIEKKWIDQNSCQNEEKVADSGAITFGNFGGLFLLTGLVTTCSLCIALLRNQYKKGQQINIDHQNQQGQGQQEEDGHIQDGDQNNEENGGCNDIENQATTVYMPHSLNTNSDQLGDCPQNNKAIALTHFGSQVTHRGGVTNIGDQVAQV >Sspon.08G0008260-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8B:27552918:27556763:-1 gene:Sspon.08G0008260-2B transcript:Sspon.08G0008260-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYSIEATWFKKDLRGFQLRLYTIGKPECVECFQMLDHIMGRMLDEQPRTLDPHGVACESVSALPSLTVLGGSKVSHIELRGRGGGHRGVEAVGLAGEDGESSDSSWSMECTQVLRVKSIYISSAILAAKSPFFYKLFSNGMKESDQRHATLRITASEENALMELLSFMYSGKLTTNQPTALLDILMVADKFEVVSCMRHCSQLLRSLPMTTESALLYLDLPSSISMAAAVQPLTDTAKEFLANKYKDLTKFQDEAMNIPLAGIEAILWSNDLQVASEDAIYDFVIKWARAQYPKLEERREILGTRLLPLVRFCHMTCRKLRKVLACSDLDHEQATKCVTEALLYKADAPHRQRALAADVMTCRKYAERAYKYRPLKVVEFDRPYPQCIAYLDLKREECSRLFPSGRIYSQAFHLAGQGFFLSAHCNMDQQSAFYCFGLFLGMQEKGSTSVTVDYEFAARTRPSGEFVSKYKGYYTFTGGKAVGYRNLFAIPWPSFMADDSLFFIDGVLHLRAELTIKQP >Sspon.03G0031300-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:35599026:35600616:-1 gene:Sspon.03G0031300-2C transcript:Sspon.03G0031300-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRATMRWLPPALLLVVAARLATVAVVVVRGEPQVPCYFVFGDSLVDNGNNNDIASLARANYPPYGIDFAGGATGRFSNGLTTVDAISRLLGFDDYIPAYAGASGDQLLTGVNFASAAAGIRDETGQQLGQRISFGGQLQNYQAAVQQLVSILGDEDSAANHLSQCIFTVGMGSNDYLNNYFMPAVYSTSQQYTPAQYADVLIDQYSQQLRTMYSYGARKVALMGVGQVGCSPNELAQHSADGATCVPEINGAIDIFNRKLVALVDQFNALPGAHFTYINVYGIFEDILRAPGSHGCCGVGRNNGQVTCLPFQTPCANRNEYLFWDAFHPTEAANVLVGRRAYSAAQPSDVHPVDLRTLAQL >Sspon.07G0034700-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7C:78800602:78802528:-1 gene:Sspon.07G0034700-1C transcript:Sspon.07G0034700-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MREQGYMESKLQLLHNVTGAFQPGVLSALMGVTGAGKTTLLDVLAGRKTGGVIEGDIRVGGYPRVQKTFARISGYCEQIDIHSSQITVVLETVELDKIRDALVGIPGINGLSIEQRKRLTIAVELVSNPSIMFMDEPTSGLDARAAAIVMRAVKNVADTGRTVVCTIHQPSIEIFEAFDELMLMKRGGELIYAGPLGHHSCMLIQYFQAIPGVPKIKNNYNPSTWMLEVTTTSLEAQLGVDFAQVYRDSSMYK >Sspon.04G0010430-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:32320408:32324269:-1 gene:Sspon.04G0010430-3D transcript:Sspon.04G0010430-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLVDIVQFLHVEIRDTFGPCGKVTRPLYICHQTSHGYHLVITTNLSVLRSFVLVDGESNESQESRQTLGSAGLSLHYANIISQIDNIVSVSFPEALSPPQSTRDALYQGLPPNVKSALRTRLLTSTESEEVPITKIRSSMEKTLQWIVPVANNTARAHHGFGWVGEWANTGNDPSRKQAGQPDALKMETLYHADKEKADACILDLVVWLHGTIVATYKKVGYSIGKKILIEEFKITTVI >Sspon.04G0015090-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4A:56388515:56388824:1 gene:Sspon.04G0015090-1A transcript:Sspon.04G0015090-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSHGDLDRQIAQLRDCKYLPEAEVKALCEQAKAILMEEWNVQPVRCPVTVCGDIHGQFYDLIELFRIGGDAPDTNYLFMGDYVGAWLRSPALTFSHAALSCS >Sspon.03G0026380-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3B:2596832:2598562:1 gene:Sspon.03G0026380-1B transcript:Sspon.03G0026380-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAASSSSEGPVAVSTCVSLGEAAAADCGVCAICLDRIQLQETALLKGCDHAYCVTCILRWASYKQNPLCPQCKHPFEFLSVHRSLDGCLHDYLFEESVCLLLRAAWFEPLIVEAHEEALEEEEFFHQQYQYDDDEDDLDEESYYMSRSPSIRIGNRRWGDNGYIRGGRKEARPVNTDASAGPSRTPKKKEKASSSSASVSGSGSGSVSKDVAGRRAKRAQKREAADRAAAEKHLKHLQRLGLRKAPEPEVPAEVGPQVNE >Sspon.07G0013280-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:53443350:53450272:1 gene:Sspon.07G0013280-2B transcript:Sspon.07G0013280-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:EMB3117 [Source:Projected from Arabidopsis thaliana (AT2G47940) UniProtKB/TrEMBL;Acc:A0A178VUR8] MVIILSVKVKRRGDDKKYIAKVLARGTECDLALLSVENEEFWRGTEALHFGRLPCLQDSVTVVGYPLGGDTISVTKGVVSRIEVTPYAHGTSDLLGIQIDAAINPGNSGGPAFNEQGECIGVAFQVYRSDEAENIGYVIPTTVVSHFLNDYQKNGKYTGFPCLGVLLQKLENPALRESLKVPSSEGVLVRRVEPTAPASSVLRKGDVIVSFDGIAVGCEATVPFRSTERIAFRYLTSQKYAGDIAQLGIIRDGNSMKVQTILQPRKHLVPFHVEGGQPSYLIVAGLVFTPLTEPFIEEECEDTLGLKLLAKARYSLATFEGEQIVIVSQVLAHEVNIGYEHMGNQQVMKLNGTTIKNIHHLAHLVDTCKDKFLTFEFEDDFLVVLHREEAAAASSDILKEHAIPSIRSSDLSEPYVETKNDIQKTSEHFGESPVTNFEMGIDCLLWA >Sspon.05G0014100-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:5A:51256457:51257194:-1 gene:Sspon.05G0014100-1A transcript:Sspon.05G0014100-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVQAIGQTYHKNLVRLLGFCSEGSERLLVYEFMANGSLSRLLFSDVRPQWNLRVHLALGVARGLLYLHEECSTQIIHCDIKPQNILLDDKFTAKISDFGLAKLLQTNQTQTNTGIRGTRGYVAPEWFKSIGITAKVDVYSYGVILLELICCRRNVELTAEEDKKILTDWAKDSYRCGRVDLLVEGDAEAIFSLKVVERFVAVALWCLQEDPAIRPTMLKVTQMLDGAAAIPTPVDPSSFVSSVR >Sspon.06G0023190-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:39724128:39724409:1 gene:Sspon.06G0023190-3D transcript:Sspon.06G0023190-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQQLVGRITMEVAPSKLPSMMAKRARLPMNLDTIAEDDKEAAMESQSPIRARGVEIIVIDTAMHCTDKLAFLAPMAKTECPKIKA >Sspon.03G0014300-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:66891827:66897327:1 gene:Sspon.03G0014300-2B transcript:Sspon.03G0014300-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPTAPLQAAVFSFGGLPAAAPSGGLPAAATYGGMTAATAPLLQIAASPSSAALPAPGQHKGTGGWKKIVKAFGNDILLESGEINRAHLGQIVFSDPSKRQLLNRLLAPQISWGIMWEIAKLWMKGCKVIILDIPLLFETKMDRWTNPVIVVWVNPETQIQRLMSRDGCSEEQAQNRINAQLALDWKKSEADIVIDNSGSLDDTRQQFWEVLRKVSEPLTWKERLRSRDGLISVVVCTALGVLLARKNLL >Sspon.05G0000500-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:1428372:1432537:1 gene:Sspon.05G0000500-2D transcript:Sspon.05G0000500-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSLPEREEAPAPPPDSPREEAATPAAAVASSSSGSDHKEDSGSRQPKASILSGVFTSPFAIFEGQQQDSSSSSPACDARSTKSSSGSYAWSRILRRFVGSGSMWRLLGCGRVLTSSDVWFLGKCYKVPPEEEESGDSESDSGHAAFLEDFSSRIWITYRKGFDAISDSKLTSDVNWGCMVRSSQMLVAQALIFHHLGRSWRKPPEKPYNPDYIGVLHLFGDSEACAFSIHNLLQAGRNYGLAAGSWVGPYAMCRAWQTLIRTNREQADAVDGKENFPMALYVVSGDEDGERGGAPVVCIDVAAQLCSDFNKEHSTWSPMLLLVPLVLGLDKINPRYIPLLKETFTFPQSLGILGGKPGTSTYIAGVQDDRALYLDPHDVQMVVDIAPDNLEADTSSYHCSVVRDLALEQIDPSLAIGFYCRSKGDFDDFCSRASELAEKANGAPLFTVVQSIEPSKQMYKQDDGLGCSGSSMVNDDDLDGSGEAEEWQIL >Sspon.03G0015500-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:49412136:49413468:-1 gene:Sspon.03G0015500-1A transcript:Sspon.03G0015500-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MANLFLKQAKQYVATRPVYPPELFDFIASKTPRRDMAWDVGTGNGQAAASLATLYKAVVGTDTSAQQLAYATRLPNTRYVHTPPDLPLEGIHTTVAAPASVDLITVAQAFHWLDLPRFYAQARSVLRPDHGVLAAWCYTEPRIDSAVDAVFWRLYHGSEKYWALNRRMVDDEYRSADFPFDPVEGETHTGPFEFSTQRRMDLDDYLMYITSWSAYQTAKDKGVELLDEATMQEFTAAWGGDVKEVKTVTYPISSSGLAR >Sspon.01G0029110-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:98195649:98198556:-1 gene:Sspon.01G0029110-2D transcript:Sspon.01G0029110-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAKGSRGEGGILRNSEGERFMERYAPTAKDLASRDVVSRSMTMEIREGRGVRPLKDHIYLHLNHLPPEVLKERLPVISETAAIFAGVDVTKEPILVLPTVHYNMGGIPTNYHGEVLHIKGGDPDAVVPGLMAAGEAACASVHGANRLGEKQKPLGKGVGENTIAWLDKLRNANGSLPTSNIRLNMQRVMQNNAAVFRTEETLEEGCELISKAWESFHDVKIIDRSLIWNSDLIETIELENLLINACITMYSAEARKESRGAHAREDFSTRDDENWMKHTLGYWENEKK >Sspon.05G0010770-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:30373112:30375071:1 gene:Sspon.05G0010770-1A transcript:Sspon.05G0010770-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPSSSSPQALVLLAVAVCFLARSSHVAAGGHPDYADALAKAILFFQGQRSGQLPPDQAVTWRSNSGLSDGSAANVDLTGGYYDGGDNAKFGFPMAFTTTMLSWSVLEHGGKMKARVHDARAAVRWGADYLLKAATQTPGALYVGVGDPDADHRCWERPEDMDTPRTVYAVSASAPGSDVAGETAAALAAASMVFRAADRAYSRRLLAAARDVMELAVRHQGKYSDFVGGNIGAYYQSYSGYKDELLWGSAWLLWATKNSSYLGYLYSLGDNDSVDMFSWDNKLAGARVLLSRRLGSNWLLRSFWIVFMSVCACGVPDCLHLQPCMQRALVNGDKRLEPFRQQAEDFFCRILRDSPSSTTQYTLGGLMHKSGYANLQYVTSASFLLTTYAKYMAVTKHTFSCQSLLVTARSLRALAKQQVDHGRSTLAMLFQVDYILGVNPKGISYMVNFGARWPQRIHHRASSLPSVAAHPAHIGCQEGFQSYFYSSGANPNVHTGAVVGGPDEHDEFPDDRADYARSEPTTYTNAPLVGCLAYLAGAYRS >Sspon.04G0004690-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:13817734:13818298:-1 gene:Sspon.04G0004690-1A transcript:Sspon.04G0004690-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGGGDGDGDRQAQPLLGKLSESSYSSSDEHLVNRTACRCLFCMHMRGLRRRRRAGTLWTAMAHIITAVIGSGVLSLAWSVAQLGWVGGPAAMVFFAGVTAVQSTLIADCYISHDPERGVVRNRSYVDAVRLYLGTVAVGHRSICPIFFQRNL >Sspon.02G0039070-1P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2C:52540133:52541157:-1 gene:Sspon.02G0039070-1P transcript:Sspon.02G0039070-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MELGVEAARWMIGKALGPVSGGVLEAWAASTELGGNIEALRMELLYAEGMLNNTRGHYSYGPRIKNPALSELLHKLRDLSYRADDALDEVDYFRIQDELEGTYHVAEEHEGGCLHNHALNARHAARAIAKMLGFSKCSACFAKATHDEPHEDTTSTRVPPCGGHWPCTGVKTSDDDEEEEDPRKGGLCWPCPCGSGTNQLVNNRCMGRITSAARSTIHTVGKHLPLPCSSSVSSAQNAAHSNAATTDRRFLCCARANNKAPKTERVVLTPKLKFDRVEMSQKMKDIVDQLKPLCAKVSTILNLELLAANLNNNNGQYMATGRPITTSESIEPEFYGRKDMT >Sspon.05G0028600-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:65521750:65522865:-1 gene:Sspon.05G0028600-3D transcript:Sspon.05G0028600-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SMKKPSTLLRSFSSRLSTRLAPSPAVAPWPPVRSAYDRWLATELDELRADPLAPCTNAAWLGRALSLAVAAQRRLVASGTASSGAASASIDRRTIDECVDDTAELLDACAGLRDRLDTLRGYVAATRTALHWLEGGLGHGGEGVAAARRAAAAFAECEAVERRCGAELAKCVSNLRRLGEKALLRASRQQQVTTGAHCWFDEEAVSGARALAVLAVGALGTALAFRPRRSVSGLASSGKAAAQWECALQDVQRHVREEYDRRRKEGVPCMAELDAVAAASRAVRCAVASGRGRCPETIVAEARRRCDELEDTVAAFEEKVGELHRELIAVRMLLLEWAQIAGGHEVLRFACTPAHSEASCPRLSRSKRSDLN >Sspon.07G0003250-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:5932194:5935297:-1 gene:Sspon.07G0003250-3D transcript:Sspon.07G0003250-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAICVDDEAASAAAECAPGIGKLDLAAAGAGADGKAGGKRSVYLMDCAPVWGCASTRGRSAEMEDACAAAPRFADVPVRLLASRRDLDGLGLDADALRLPAHLFGVFDGHGGAEVANYCRERLQELLRQELRLLGKELGETSEVDIKEHWDELFTRCFQRLDDEVSGQASRLVGGVQESRPVAAENVGSTAVVAVVCSSHAVVSNCGDSRAVLCRGKEPVELSIDHKPDRKDERARIEALGGKVIQWNGHRVSGILAMSRSIEHRMQM >Sspon.08G0016310-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:61410897:61417406:-1 gene:Sspon.08G0016310-3D transcript:Sspon.08G0016310-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFTAAFPSERAASRRFLMDHTIGKSTAQQQTTSTSKKICFQGDDHHKHLLLSVGQVGLEEGPAGADEHYERKERDPLEEAEDVEEHVPSVGGAGALDEVERLEHEHGEHEVVDPEDGGPLAEEDGDPGGGEAGVGEAEEEVEGGQRGGPRGEVLALPGGRVDVVHGGADVHVDLEAAAEAVGEDERVLAGLGDAEGGGEGGGAGDLDAGGVGGRAEAVEGEGELDELGLERAGDVEVLQLAEDVDPGVERGEEEAELGEVQLRGGLRIEGDAGDVELQHARVGEAELVQRDDAARVGPDRGLPDLGRRGGNRHHRDNEEEEGYQGGPPERRGARQRRGSHYGCRRDLGGRGLGR >Sspon.08G0004750-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8C:14473726:14475221:-1 gene:Sspon.08G0004750-2C transcript:Sspon.08G0004750-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MWMWPNGRKAGRERAGCRVEGSPMRRSRSRASGGWPCRLSLAFGLTAKPIYPSTASYRRVNEPQPSPSGIRRGFACDPASLMSAPFSPSRPVTIPPTTTHALAGSAEAWPHLPRSGKKHAAIEASPFPTSSGKPRRWDRRTTPRPPLRCVRMAVGGGVCVASSSPSSVEVAWGRRTRTTSRARRGAAAATSIRCSVVGEAGAGASGGLAEDPYRTLRLRPGATRGEVKKAFRRLTLMYHPDVRKEREGESDGDSGVQFQRINVAYQMVMRSMREADERLEYWRLKYGLTDEDLDRYRHHLNQEDGDDWFDV >Sspon.07G0009390-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7A:26515680:26516348:1 gene:Sspon.07G0009390-1A transcript:Sspon.07G0009390-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDCNPCFTPADTQGKLSEAEGPPVSDPTAYRSLAGALQYLTFTWPDITYAVQQVCLHMHDPREPHLTALKRLLCYLRGTLDYGLLLHRASSTDLVVYTDADWAGCPDTRRSTSGYAVFLGGNLVSWSSERQPVVSRSSAEAEYRAVANGVAKASWLRQLLAELHTPPSRSTLIYCDNVSAVYLSTNPIQHQRTKHVEINLHFVRDRVAMGEVRVLHVPTTS >Sspon.02G0046270-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:7467412:7469946:1 gene:Sspon.02G0046270-2D transcript:Sspon.02G0046270-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSLFYGLWKHVFSKTEFHVLILGVHKAGKTTLLEKLKSIYLKGEGLPHDCIVPTVGLNIGRIEDANAKLVFWDLGGQPGLRTIWEKYYEEAHAVIYVIDSASASSFEDAKSVLEKVLRHEDLHGAPILIFANKQDSPAAVMEEELARHLHLKELDERPCMFQAGSAFDGTGIKHGVDWLVEEMERSKRTEALRARTEAAGKI >Sspon.03G0025000-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:75780767:75782764:1 gene:Sspon.03G0025000-1A transcript:Sspon.03G0025000-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEAATDLLNRSVAAVTAPAAAVAAAAVHDDVMTWLSAALTYHDTCRDGLHEEVDADGKDGRPVKAQMLLYLANLREHLSNSLAIFKAWGAPDGDVSGGVTVQKRQLLSTSSGHGDLTFPAPRWVKHSDRRLLDAPTADIVPDMVVAKDGSGTHLSIGDAVEAAPVHSAHRVVIYIKAGVYSENVKDTLYAHAQRQLYRDCEVAGTVDAVFGNAAAVLQNCTLRARGPLPGQKNTVTAQGRADPNQSTGFSVHGCRLVPSPEMVRAVRGEYSTYLGRPWKPYARVVYMSSYMGGHVDAAGWLAWDASARAPDDTVYYGEYQNYGPGAELEGRVAWPGHRVITLAEEAMEFTVRSFIGGYSWLPATGVPFVGGLTA >Sspon.05G0020200-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:86165696:86169276:1 gene:Sspon.05G0020200-1A transcript:Sspon.05G0020200-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKVKRKAGVKKAKKAPVSSSSSNPAVASGPAKVWQPGVDALEDGEELQFDPEAYNYIRGFGIGWSCLSFDIVRDQLGLVRSEFPHTFCGVAGTQLSNISGKKREPVPSSAIDGDADVDSESSSDEEDDEINEETKPILHVWDLSSALNSLAESATPAPKEDDIIHRQTPVKAFSGHKAEGYAIDWSPLWSPTEANVFASCSVDGTISIWDVRTGKEPHISIKAHKADVNVISWNRLASLMIASGCDDGSFSVRDLRFIQEDSLVAHFEYHKKAITSIEWSPHEASSLAVTSEDHQLTIWDLSLERDAEEEAEFRAKMKEQANAPEDLPPQLLFVHQGQRDLKELHWHPQIPSMIISTAIDGFNVLMPSNIDTTIPGNTDTTMASSEP >Sspon.07G0027670-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7B:60975273:60976260:-1 gene:Sspon.07G0027670-1B transcript:Sspon.07G0027670-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MILGVFSFLLTGSFDRRTVRQTGPDCPPFTSRPTGQNVQHHCSQAQLAGGLSATKGQTVRRRQRISS >Sspon.06G0006340-3D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6D:21717176:21718315:1 gene:Sspon.06G0006340-3D transcript:Sspon.06G0006340-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIAKVDLRGVVPGGAGWEAARAAVTASMVAHGCVVVAHDALGADLRRALFSRALPELFALPLEVKQRTVSDKGQFRGYISQVPGTAWESLRVGEPTDAASVRGFAEILWPEGNPEFCETIVSFAKNMMKLEETVETLVLEGLGARAESIGAHFGLLGHNFRLSHYGVPPDTESSMSLQPHYDSIVLTAIVQHEVEGLEVHVDGRWVAVPAETGTFTFVAGEQFRVVTNGRVPACLHRVRTPSNRERFSVLFARRQKDGIVVRALEDLVDAEHPLVYNPLRHEEFSDWRYSEEGLKFSDPLKAFCGVEKDK >Sspon.08G0021320-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:37440973:37444432:1 gene:Sspon.08G0021320-1B transcript:Sspon.08G0021320-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDMLCTASLVGILDDDSLKLYTLIWKRTMACQMEASRTEMIQVDIGTPEGEMIFHSAASKLDFKGYQAVYEGYEYNPIIGYHSTVPVKDLVFPVNVHLGQHFTKPPSRYSEGALIKKLEELGIGRPSTYASIMKVLQ >Sspon.03G0000560-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:12814753:12817084:1 gene:Sspon.03G0000560-3C transcript:Sspon.03G0000560-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPRVVLSRLRLAPLLHDGHHLRRSLSAAAAKLPDELPPGAPPPTSDSRLFVAGLSWSVDERSLTDAFSSFGTVTEDGARARCSAKGRGDHEPLHGAQARSLFDEIPVRDVVTCSAAIYRHARSGLFHESAGLFVSMIRAGVCPNSFTLVGVLLAAAGLGDAVLAECIHGWAVKRQLESNHFVATALVDAYAKCGCPMNALAFFSGLRDPNVVSWNAMISGLVHNVLFEEAILAFKRLCCCFGTVPNNAVTVINAAQAYVGCGDLGMCKSVHAYAVKIGFDLDVSVTNSILGMYLSFGDIEIGREIFRKIIVRNVVTWTMMMGFLLEQAHAGEVISIFVQMRANGIVPDRVAMVSLVQACALLGDARRGKVVHNQMITRGFSSELPAVNSLITMYSKCKDLSSARVLFDGMRKKSLVSWTAMVSGYIGSGRALEGMHLFGKMRREDIFVIDSVTLVSLLTGCYETAKFDLCVQLHGYSYKSGLYLYRPVPNTLMAVYGKCGYASLAHRVFDDMILRDVVSWNTLILSYGINGQGEQAVALFNDMEESSGERDSVTYLNTMLACSHSGQVDDGLIIFRRMINEKRLNPSQEHIGCLVDMLARAGRLDEAAEVASLTSNEGANPWKALMGGGHLHSHTELTEVAAEKVLNADSFDNGHVVLLSNACASAGKYSDAESIRSCYLKQTRKKILGLSSIEVILSSTR >Sspon.01G0009900-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:27691178:27692556:1 gene:Sspon.01G0009900-2C transcript:Sspon.01G0009900-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAVAVSSSLNPDAPLFIPAALLQVEDFSPQWWDLITTTAWFRDHWSREHAHLDEMAEQLDAASLLPDDEDLFYDDQLEQAPAAAALKTGEVLKALNLTSPKGGDAPRGFREKPRHSEKPTKYAGSPKSSAPRVIHQPR >Sspon.07G0012870-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:52578149:52579147:1 gene:Sspon.07G0012870-2B transcript:Sspon.07G0012870-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GARARRRPPPPPPGSGGTPASRRGVSGHLGASERSGGGRSRGPAVPRDGAGGARWRCTRATWSSCSRGGGVVPARGAGPRRGLPGPEPLRRRAAAVGGQESRPRLPHHRGGRRARVPGGGPHPRHQAGRGRVPAPAHRPRPRPLRHGHGRRHGRPPRPAVRRVRGARQLPARLLRVPAREHPGGGGWAGRGDPAPAVPARRRGCPAVPGPHRRRLHAPDLRLAQPDVRVRRQGRRGQGAGPEAGRGAAHHQQHPRRRRGLARVPAHARGGAPHPLRLAQRADPRWVPPQGSQWYHRQRLLPARID >Sspon.07G0025500-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:43020805:43020993:-1 gene:Sspon.07G0025500-1B transcript:Sspon.07G0025500-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSDRRHAQAGQAHVVKESLPSPSQDLTSRDMAPPMPPAPPASKTEIVVAKRWGTTQVADGS >Sspon.01G0020020-4D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1D:56270353:56270793:-1 gene:Sspon.01G0020020-4D transcript:Sspon.01G0020020-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTKLAFLAVAVLLLQASWCAVARHHHDPDPCGNPDPAHPCSSLAVSSPNGGTPAVMTVTSFEGDGNGGGPAACDGSYHNNGDPIAALSTVWYAGGSRCQKPIRITSTQTGRTVVAEVVDECSSDNMVSTSQAVWDALGLNTYIGE >Sspon.01G0061160-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1D:91406708:91406839:-1 gene:Sspon.01G0061160-1D transcript:Sspon.01G0061160-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DQGTRIDVLRLMCKASWNTGKEASMGYSRFIIAVLPIPMDGAI >Sspon.01G0004180-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:11470330:11475318:1 gene:Sspon.01G0004180-1A transcript:Sspon.01G0004180-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHWRFSLIAPVLAEVAAGRGRSRMWLTRRSPELYKSRVLELNASDDRGINVVRTKIKDFAAVAVGTARKAFLVVMDFSGYPCPPYKIIILDEADSMTEDAQNALRRTMETYSKVTRFFFICNYISRIIEPLASRCAKFRFKPLSEEVMSSRIMHICNEEGLNLDAQALSTLSAISQGDLRRAITYLQSAARLFGSSLSSSDLISVSGVIPEDVVKSLLAACKSGEFDVANKEVSNIIADGYPVSQLMAQFLDVIVSADDIQDDQKARICKKLGETDKCLVDGADEYLQLLDVASETIRALFNMPQTLVF >Sspon.02G0058840-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2D:84350517:84351720:1 gene:Sspon.02G0058840-1D transcript:Sspon.02G0058840-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GKGPLLRLRSVPPYHRWAPPRRRVERIPATMRDRAIPRSGGTERHRAPTRGCSTELRSTHRREQAVLSLPSSRHGRSLRCCSRFGGAQARRKGRERKCEMEVRVSGAWHFDLPISADGRLMAIDGQQCFGPKSAQAGAGRAARGYYLMGFIKDISPLKGDNYTEWKKTDLAFVLAEVDWVRETNESDADRQKKERDHAPI >Sspon.02G0015670-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2B:41103503:41104615:1 gene:Sspon.02G0015670-2B transcript:Sspon.02G0015670-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHKKARAVQDPAQLICDDALTEVFRRLPARALAACRLVCKSWMSVLTDPHFIHEHLSRGQQKLLLFANDRANDRSLAMVLADDNKSMYQLSRPSASRSIFVHNSCNGLLCLGDSTGAVEVLNPTTGESLMLPMPMYTAGSSQFSSCNWHCLGFCPQKREHKVVHFYPGAHIDSFKVQCEIYTVGAGVWRQVGSFHGAPTDRGVHVNGMVYYLTKFRYIASSRINCLNLESEKFDVLMLPPRKSYGGHCSLTELEGRLCLLIVDGALEGPPRTMDILMLNSHDKQDWTPRYHFSLPWLMPSCYFTPKHTLFHDGKIWVQLLARSLYCFDPSSSSEELTTAWPELDFSFSTHTFIESIVPLRKDYYFKQIQ >Sspon.08G0007180-3C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8C:22934639:22936264:1 gene:Sspon.08G0007180-3C transcript:Sspon.08G0007180-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLELESAGGGGGTRSVSLCVDSPTAELGRGDLGSRRGGGDPSRDRTVSRRHVSLRLLGVGGGVAFEVVGRNPIVVRSPDGGGGTSRVFRRGEKGELRAGDTLSLSLRAPAFWAVRAREGNGDGDADVEPAVLDAVARRERRTRERKERERERERRAAEEAMEVTEEEEGGALDSDVEGFDIDLADIDPVREFGFLSMGHEFDSYPKGRIRAPKDWNWFLEETKRSSDDEASSKRRGRSKGQSWNKKKDGEGEDEDWTGESEDEKESLARVPSVKRPKYATRSKGPEKPRKENSKVGSGKSIDEDEGAEDEEDEQDETLGGFLVNDEVDEPMEDLSDEEEEFDDEEDDD >Sspon.03G0028620-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:9886916:9887967:-1 gene:Sspon.03G0028620-1B transcript:Sspon.03G0028620-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPETHPPPPRPVMLADLNFEPAESDGEDRPPTPTPNPAAAAVAVAAAPAVATDSSTRSGHEEGGLTKNVIATKDTDTVECEDADQHCQGASAPREEKVSNLKA >Sspon.03G0017430-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:78655770:78657589:1 gene:Sspon.03G0017430-2B transcript:Sspon.03G0017430-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEAAAEQLVCVTGAGGFIGSWLVKELLQRGYADPKNSHLPALDGAQERLSLYHADVLDYMSLRRAFSLCDGVFHVASPISNDPDLVPVAIEGTKNVMNAAADMGVQRVVFTSSYGAVHMNPNRNPDRTVDESCWSDLDFCKKTQNWYCYAKTVAEKTAMEEASKRGIQLLIVVPSVTIGRMLQPTLNLTLSAVATYMKGTKKAYSNAVGAYVDVQDVALAHILVYEDLSTHGRYLCIGDMLHQSEFLQMMRELFPQYPITTKQLSAVL >Sspon.08G0010430-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8A:45798772:45799927:-1 gene:Sspon.08G0010430-1A transcript:Sspon.08G0010430-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding EFRKISRTSNLGFPVAFFSCSGAFMGVPVAQKSDSWVTVLAMADSGGLGVRPINGGRGGAVEQRGLGDNGPPVSPPERVCTPPAPSLAAWRRMWSPDPLRCPTPRSIGCEDSEDLDRYFSPQSEFSQDTSDTDSMSTSISRMYTFRLGTSSPLDSPIKQLGVGDTSPPSRRGCHSPSYPWNSCRGSDDVDSSFMNSPRHDDEQRKDDVQPIDFESRHIWYPPPPKDENGTFQYDEDDDNDVCDGKVFGHVNHDYCDGEDDDDNDDDDSLGMKGKHRISHKEFLRSALHGHFRALVSQLLLGHGIDPIDGWPDIVASLAWQAATFVRPDTSKGGSMDPTDYVKVKCVASGNPNDRYAL >Sspon.01G0034280-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:2268998:2272067:-1 gene:Sspon.01G0034280-1B transcript:Sspon.01G0034280-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNKRGDGCAGENGKHKKQKAHTTVHGDLIHVFEEQFGDLYDSGQGVWSEFSKGVASMLSESVVAIASFKGDKMVCSCSGIIILCKPLVTTLLTSASLVRSSHDESQIDHDLMVKVRNVHDQYWLGTVKHYDLDYDILLVNIQTPYLCVARLYHEVQLEPGSKVVAVGRVFNTKKLMAASGLVKDKRDISDQKECTISTCKISKVGIGGPLIDLDGNFHGMNLYGEGETPFLPTNIILECLKCFGLSRVGNRQGDYCTS >Sspon.08G0007520-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8B:22403742:22404200:1 gene:Sspon.08G0007520-2B transcript:Sspon.08G0007520-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAWGKWVSEIREPRKKSRIWLGTFPTAEMAARAHDAAALVVKGRGAVLNFPEMAASLPRPASAAPRDVQAAAACAAAMVDVNVVPVGAAAASVPMVVPSPSPPPESEPLSSSQQVPGQASVVGDPDEDELLEEIVELPPIDDDADVLASSDD >Sspon.03G0008210-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:37115844:37117279:1 gene:Sspon.03G0008210-3C transcript:Sspon.03G0008210-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMTTTKQLRRVRTLGRGASGAVVWLASDEASGELLAVKSACAAGAAAQLQHEGRVLRGLSSPHIVPCLGSRAAAGGEYQLFLEFAPGGSLADEAARSSGGRLAERDIRAYAGDVARALAYLHGLSLVHGDVKARNVVIGGDGRARLTDFGCARPVSSTRPIGGTPAFMAPEVARGQEQGPAADVWALGCMVIEMATGRAPWSDMDDLLAAIHRIGYTDAVPEVPAWLSAEAKDFLAGCFKRHASARSTAAQLVAHPFVASAATARDLQDAQPAKPSFLSSPKSTLHDAFWDSDTEDDEADEMSTGAAERIGALACAASALPDWDSEEGWIDLQDDRSETVDAPPPAATEAAKQSNNNNETNFVKKIPSRDHLVGTARTSSRAYASRL >Sspon.05G0022590-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:3654019:3654659:1 gene:Sspon.05G0022590-1B transcript:Sspon.05G0022590-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GAMDQRAGEKEERNSEDSSDHRRKDDVDAAAEGPGMNNHGESMDHQRNGGYEEAEEETAGVLKEVKVVLVGASEEEASAPLLVHPCSLLQLLLRACAGCLNLRGYCSDHDDPKPATDDDAATAAAAADSPQEGDHQGGGDKAMQEVVTQVRAVRRPPPPRCPIEGSGGNGGNH >Sspon.01G0034450-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:5302417:5304387:1 gene:Sspon.01G0034450-2C transcript:Sspon.01G0034450-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGAFINAFGAIVLGAPVGIKYWIATIYWSLAMSLFSFVPAACVFGASKIDWQNVLSHSIYFTQTDVENYMISAPCHGAVLGAWLGAWPMPLDWERPWQEWPICVTYGAVAGYMVGMVVSLVLTAVHKRRVRAKAD >Sspon.04G0030760-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:2595547:2597734:1 gene:Sspon.04G0030760-1C transcript:Sspon.04G0030760-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding IKLMGTACSHTSTMNRLHSGRVPPCRSSAITLVPAFSEVSFPSFPEQRLSFVEKELPISSVTSFDTSMADTMSTHMLASNFTNESPNGQLCSGSYVTEPCDPDTPLLPSHSSLMGNSRPLVMDFPEISEQICSNQEQLLGLFDYPTSVDFSKSKNVTIFGQQVQDTITVDPNTHHTPQNEWFSSGSSMPLQKNVGSAESVLKTVDSRSATPQSYLYCHTQRSVPDRSNCDKLGADSLPSSNIAPKPRMRWTPELHERFVDAVNKLGGSEKATPKAVQKKYRTVQHRVSGRRGDQADDDSIPQSKGKGNVEGLMAQIGMQKQLHEQLEIQRKLQLQVEEHSKYLETVIAKQNESLKKLGALRGFRDQVRRILQDSEAPEERTHSAQQR >Sspon.03G0035760-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:80779979:80781484:-1 gene:Sspon.03G0035760-1T transcript:Sspon.03G0035760-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNIMDSEVVVQVVESSFVMPKEPTPREGLWLSPLDLIIANRGHTPTVYLYSSSNVATADDFFDVARLKEAMAKALVAFYPLAGRLGVDDDGRAEITCNGEGALFVVARADFTVDDIKDGFKPSPELRRLFVPRIEPASVILAIQVTFLKCGGVVLGTALHHAAIDASSAFHFFQTWSAISKHGDRATVELPFHDRTLLRARSPPTVHPDALSRFYPKLTFSDPSGPLAFEVFAISKDQVASLKSLCGGTSTFCAMSALVWQCALIARRLPPDSETCLTFPANARHRVRPPLPNRYFGNALVRLGVTGEVRDIAAEALASVAGRIKDAIDRMDDELVRSAVDYCEMAEIDSRPVKGTLLEKTDLQITSWLGMPMYDADFGWGKPGVMSRAESIRGGFVYLMSDGVADDAGSSGVRMLMCMEAANMKELERLIYEKL >Sspon.02G0014690-1P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6A:19418050:19419174:1 gene:Sspon.02G0014690-1P transcript:Sspon.02G0014690-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAVADLKYQPVFPNGGVTAPAKKTARLGAAGVYRECLKNHAASLGGHALDGCGEFMPSPEADPADPRSLRCAACGCHRNFHRRLAELPPPPPLLALPPPPPPLAPAPAAAASHVMRDSRTMRGEEEAPEDRLPAAFDEETEEESDEGSDFDEDGPISPLPAPAMAPPPGYRQQAAPHMLLALSTGAPSPGVQTPAAAPWPAPSPASASLGPMPPAATVPGAAAARKRFRTKFSPEQKQRMQELSERLGWRLQKRDEAVVDECCQEMGVTKGVFKVWMHNNKHNFVGGHSARRSASASAAAAIHHPSDGAGAVYPSSSHAAAPAPAAAAVHHPSAHAAPPPAPVHADFNINGAATDAADYFRVQPSTASGGGSPQ >Sspon.05G0015320-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:53096708:53097988:1 gene:Sspon.05G0015320-3C transcript:Sspon.05G0015320-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGNLKNMVVAFLVPLPSILFYLSFVRAGGDTGASPLSSWCAAHPLLLANILFFLNVDVLFWVVGLLLSNHWLIDLYWTVIPVMLLHYYRGHPASVVDAVRSAVAVGLTWVWSARLTHNYLRREGWEFGKREDWRFNEMRGQYGKTWWWMSFFAVYLSQQVFLIGICLPMYAIHSSNQPWGIWDLVATAACIAGIVIAHFADTQLHKFVTRNEKLKQLGEPTIPTLEDGLWRYSRHPNYFGEQLWWWGLYLFAWNLGQQWMFVGPLVNSLCLGYVTVLVERRMLKQEHRAEAYKLYQKRTSVWIPWFRKAVPESKLKET >Sspon.02G0027800-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:104521519:104526768:-1 gene:Sspon.02G0027800-3D transcript:Sspon.02G0027800-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTAASPLTCHHLGSVGARPSLPSLSFSLRRRSSSSSSKPISLTHSLPSKPSSLAPAPATSASASASSRRGLTPVSASASAAAAPAPDPVPAPAPAPAPAPAAPPKKPALQGAAIKPLLASLAIGVLIWFVPAPAGVPRNAWQLLAIFLSTIVGIITQPLPLGAVALLGLGAAVLTKTLTFAAAFSAFGDPIPWLIALAFFFARGFIKTGLGSRVAYAFVAAFGSSSLGLGYSLVFAEALLAPAIPSVSARAGGIFLPLVKSLCEACGSRAGDGTERKLGAWLMLTCFQTSVVSSAMFLTAMAANPLSANLTAATIGQGIGWTLWAKAAIVPGLLSLVLVPLILYVIYPPEVKASPDAPRLAKERLAKMGPMSTEEKIMAGTLLLTVGLWIFGGMLSVDAVSAAILGLGVLLITGVVTWKECLAESVAWDTLTWFAALIAMAGYLNKYGFISWFSETVVKFVGGLGMSWQASFGVLVLLYFYSHYFFASGAAHIGAMFAAFLSVASALGTPPLFAAMVLSFLSNLMGGTTHYGIGSAPVFYGAGYVPLAQWWGYGFVISVVNIIIWLGAGGFWWKMIGLW >Sspon.01G0014360-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:41687350:41698222:1 gene:Sspon.01G0014360-3C transcript:Sspon.01G0014360-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFTEAFKQTGPCSFSPDSRFLAIAVDYRLVIRDVVSLKVVQLFSCVDKISSVEWAPDSEYILCGLYKRPMVQAWSLSQPDWTCKIDEGPAGIAYARWSPDSRHILTTSEFQLRLTVWSLVNTACVHVQWPKHGSRGVSFTKDGKFAAICTRRDCKDYINLLSCHSWEIMTVFAVDMVDLAGVEWSPDDSAIVVWDSLLEYKVLIYSPDGRCLFKYLAYESGLGVKTVAWSPCGQFLAVGSYDQAVRTLNHLTWKTFAEFSHAAYIRSPCNAAIYKEVDDPWQLDMSELCLSEGFSRNMQDNGAENGTEGGGSRVKYALMDVPITLPSMKPATDKPNPKQGIGEFLMPFATPADACCKSCGANSTTAPSVAIPLAPCVACYKRYHKS >Sspon.02G0022550-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2B:75379191:75384803:1 gene:Sspon.02G0022550-2B transcript:Sspon.02G0022550-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AARCHMKLHIAHRIGAPTAIAFLFMYRPSNPRLPRVPTLERVTGCTGVTRAAVAALRVYVPVCNSRDRLAGRRPQPPSPRCRLQCVRVCPSLCCSLIAWALFSSGPFAVARSVFCHRRIGAVVARTMEARFSKCRDLGAPTVLSLPSKTPTLRPCTTFLLDRHRRLCFLRAIASTSSMPSRCSLPPTPLAGIPLLHLLGANPVVAVLAAGGALPLYPCFPLAGKFMSSLHLVSRDSTVGSISTLKHDRQWATLGAVMEMLMRCTGRAWGHSEMVHGGGGSRSQQQAITYRASSGTTAPAIRAQRSAPFYLVIYVRQTRSVHSVIFYSKTPEALDELRDRKSDAIRAGLPSPDVFRFSGGPHGGMQSVMKKELQFRSANQNGDHHLKKFTRLIAMRLTQPVRLAENKRLKVLLAGLLVCNVVADTLAAMGLNCNDDGPRLWQEQ >Sspon.06G0015290-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:80998586:81000954:1 gene:Sspon.06G0015290-3C transcript:Sspon.06G0015290-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAMAVIRLARPAAMRPPSASAASPSLRPRPPARLLRRLRVVRCRAGGEGGKGEEEGEAEAPESLFARELRRRGMAPGAAATPAAGANKEAEEGAPEAGAKRRVAAAEFERGAAADGQRERSMALNSEGLEGLVPRAKLLLSLGSTFFLAFGPLILVTVSLFAGLYVYFGPSFVHDASKTPVSVPPYIDPYELLEDERLSRPSPDVF >Sspon.07G0037260-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7D:51675486:51675800:1 gene:Sspon.07G0037260-1D transcript:Sspon.07G0037260-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VALVALWFAGREEFGFLSLSRRACEHRIQAFSGKRNVYFPLRRKSEKKRRRTEVTEVTGRCTGLTGRVRSVQRSSQARGLGSATGASGHSRDRSVRSGTQRYSA >Sspon.02G0043240-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:90367013:90382295:-1 gene:Sspon.02G0043240-1B transcript:Sspon.02G0043240-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVVAAVEEDGGGPVTVREFDGASDRDRAAVERLEGACEVGPSAGKLCLFTDLLGDPLCRVRHSPAFLMLVRVPPAARISAAIASSSIHPSLKCECLACVPQVAEAAAGGEIVGVVRGCVKTVACGRGQDDLFSKVAYLLGLRVSPAHRRRGIGRALVARMEEWFRQAGAEYAYVATDRDNDPSVRLFTSRCGYAKFRTPSVLVHPVFRHDLAPPRRVAVLRVPPRDAELLYRARFAGVEFFPRDIDAVLSNPLSLGTFLAVPASSKPWRGAEAFLASPPPSWAVGSVWNCKDAFRLEVRGAPRLWRAAARATRAADRALSRWLLLRVPSVPNLFEPFGMHFLYGLGGAGPDAPRMATALCRHAHNVARRAGARVVATEVAACDPLRGAVPHWPRLGAEDLWCIKRLADGYGDGALGDWTKAPPGASIFGHASRRRVRYRPWKRDSRCGKSSSHEPARFQQRVDQHRQGQQRLHFPWIQRGEPLLLWSFPRTSMVGSKMEPNEFDDRLISDGDWDD >Sspon.04G0029330-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4B:71775987:71776553:-1 gene:Sspon.04G0029330-1B transcript:Sspon.04G0029330-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPAAAVEVYKKKLHISPPKMLPTAVEVHKKKLYIPPAKKMLPAATAIVTEEHKKKLYVPPTKMIPTTATVCGRQNTSEAKKPVVAQSEKMEAKKSIVAQSKKSEAKKPIVAQSEKMESTKRKLREGYQEAERIKRQHTIKKINDKEAAKMFEQKQRKIHPIIRGRGPATCRTYSSVARSLLPSLQMI >Sspon.04G0005070-3C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4C:14686653:14690390:1 gene:Sspon.04G0005070-3C transcript:Sspon.04G0005070-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIFHYAGSRKSNGNQTEANGGHSGDRTLHRTLHRTRSLFDRTRPATGRVRSSRELTGLRPDAGTVASGQFFSASGRWFVLRDSVLTARPVSHGTGASGRDQRVRSLRPARPVVFENSRCATGASGQLDQRV >Sspon.01G0001170-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:3740890:3743381:1 gene:Sspon.01G0001170-1A transcript:Sspon.01G0001170-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MYFLAFITQWIPHCGMLAKGRKVAGRGEEMSAHYAFGPQDDDAIIKHRLLTRTTTTRGEPPLKKLQKKFMSFATEIEKDTDNVSDCERLHKAFLQEINTFELPLLKSKAVVDANIREKESFNELQVEIERQILHAKTDIEDLKKQLEQSKIERQHKEECEAIRKLISLQPPRSETEKLIADLEKEIADLEAENVACIRTLELRKKQFALLLHVVEELQISVEDEQKSIADELRAIAGEQKMSIEEGSVVASDSMLLAMSQGARDKERATGSPWLCLNSTVPAAGI >Sspon.07G0002660-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:5626169:5627568:-1 gene:Sspon.07G0002660-3D transcript:Sspon.07G0002660-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADHFAVMAGRLLTESSLQSAIGEASAVPSTTSTACDVSVQDGRPASGVLVECRICQEDDDEACMEAPCSCKGSLKYAHRKCIQRWCDEKGDTICEICLQQFVPNYTASSKLFQRGRNTIFFSAPGYIQARPMLNADHSATSTSYGYDQTPAPTGVLCCRIIAITLMVLLVFRDALSVFLGDQDAYTVAMVTLLMLRTTAIVIPVYIILVAVTELLHRRRQRQVVHDQTSEHEGEERTQPQQH >Sspon.01G0019400-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:70132142:70133797:1 gene:Sspon.01G0019400-2D transcript:Sspon.01G0019400-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHFVDISCCGCGFWSAPVIINASARSLRIRARAVSLDYPSRTQQKNETNLVVVRQDRRLRDVFVDASADHGSGRATADFKKVVPSLDANPRKLLKPRRPLDHNSDKVANGACFSKHKAECYADSLRRHCNNGKLIQACRVIDEMVLHGQVPDSKCCVRLIRGLVRTGKTNKARHVLEVMVLSGGVPDTITCNMLIARLCCEGQLSSAMKVLEDMRFTGCSPSGITFNTLIRCMCSHHMYDRAFSFWKEQLKLGWPPYEMTSTLLVDLICKKCGPMRALEVLDELGLEGCQPDVVSYNTLISVSCKAGCLKNAKLILARLSAEGLEPNGTTYCILLHSLCDKRRWSEVGDLLAHMKQANHKPDVNAYNIFINYFCRYGYLDQAIDVLEMMVNEKCFPDIVTYNTLLNAISKRGMVEEALGIFHSIRENGCQVVRITYNTLIDALAKKGEVINAMTLLDEMIVDGISPDDVTYGSLVMGFCKKNMAKEALELLNQMLALGFEVKATTFSMMIQALCKGCKAEAAAEILRVMVSRNVNRRSAFYLSIVTRVAKS >Sspon.08G0004410-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:12600221:12601157:1 gene:Sspon.08G0004410-1P transcript:Sspon.08G0004410-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAAEPDWAPFRPGTSYFVPPRPAGVAQGILALIGHGGGHIGSSASPRRGLSTDEARAVVADSRGYPCSIYFIKGHFPYEVESPDTDANQAQEE >Sspon.03G0007300-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:19859039:19866774:-1 gene:Sspon.03G0007300-1A transcript:Sspon.03G0007300-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hexosyltransferase (Fragment) [Source:Projected from Arabidopsis thaliana (AT3G58790) UniProtKB/TrEMBL;Acc:W8QNJ3] MRVYITATAATAGADDATKPKAAQPQPQTQQAARRGCRSAAVTGLLAGLLLFRAALLAIEAGASLCPSTTGCLDWRAGLGRWLYGDGGDATEEFMKEWRRHREATLLDPVVVEAAPDSLDALMAEMATMLASYDRIDMEAVAIKMMTMLLKMDRKVKSSRIRALFNRHLASLGIPKSVHCLVLRLAEEFAVNSAARSPVPPPEHAPRLTDASCLHVALVTDNVVAAAVAVASAARSAADPARLVFHVVTDKKSYVPMHSWFALHPVSPAVVEVRGLHQFDWRDAGVVASVMRTVEEVQRSSLEYHQCDGSAEREHRRLEASKPSTFSLLNYLKIHLPEQFFPELGRVMLLDDDVVVRKDLAGLWEQDLDGNIIGAVGAHEGSGVCVDKTFGDHLNFSDPEVSGRSSQCAWSWGVSIVDLDAWRRTNQFVADGLAAGGSDTSLRHVQNRESGFRLWQMASLPPALIAFDGRVQAIEPLWNLPGLGSRVPHPDLVRFSAVLHFSGPRKPWLEVAFPELRQLWLAHLN >Sspon.02G0023160-3D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2D:71429452:71436086:-1 gene:Sspon.02G0023160-3D transcript:Sspon.02G0023160-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Methylmalonate-semialdehyde dehydrogenase [acylating], mitochondrial [Source:Projected from Arabidopsis thaliana (AT2G14170) UniProtKB/Swiss-Prot;Acc:Q0WM29] RSPRAPPVSGDGRRGGPLLHRRRRRSVALQRPRLHTGTCPRLPIPQSVLGFRRSVFLRVAALAALARWTDRASRFSPPLPPQPRVRLLIGGEFVESRADEHVDVTNPATQEVVSRIPLTTADEFRAAVDAARTAFPGWRNTPVTTRQRVMFKFQELIRANMDKLAENITTEQGKTLKDAWGDVFRGLEVVEHACGMGTLQMGEYVSNVSNGIDTFSIREPLGVCAGICPFNFPAMIPLWMFPIAVTCGNTFVLKPSEKDPGAAMMLAELAIEAGLPKGVLNIVHGTNDVVNNICDDEDIKAVSFVGSNTAGMHIYSRASAAGKRVQCNMGAKNHAIILPDADRDATLNALIAAGFGAAGQRCMALSTAVFVGGSESWEDELVKRASGLVVNSGMVNDADLGPVISRQAKDRICKLVQSGADSGARILLDGRKIVVPQYEDGNFVGPTILADVKSDMECYKAESLDDAIQIINRNKYGNGASIFTTSGVSARKFQTDIEAGQVGINVPIPVPLPFFSFTGSKASFAGDLNFYGKAGVQFFTQIKTITQQWKESPAQRVSLSMPTSQK >Sspon.03G0007510-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:20297491:20300876:-1 gene:Sspon.03G0007510-1A transcript:Sspon.03G0007510-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable acyl-[acyl-carrier-protein]--UDP-N-acetylglucosamine O-acyltransferase, mitochondrial [Source:Projected from Arabidopsis thaliana (AT4G29540) UniProtKB/Swiss-Prot;Acc:Q9SU91] MSAAAAAARAARRHFSYRLQTTTTRLLHASDSQAAAREASGSFIHPAAVVHPDAAIGQGVSIGPFCTIGPSARVGDACQLHAGSHVVGDTELGEGCTVQTGAILGADIPGRTIIGENNVIGHYAVVGAKCQDLKYKTGDECFLHIGRNNEIREHCSIHRSSKSCDCTVIGDNNLVMGSSHIAHDCKIGNNNIFANNTLFAGHVVVEDWTHTAGAVVVHQFCHIGSYSFLGGGSVVAQDVPRYMMVAGDRAELRGLNIEGLRRNGFSDQEVRRLRKAYQKVFMPTITNKSSFEDRLAELVRILAHRFVFTSMCACIYLNPYQQEQEIELSESPAVSCMIESIRMSFVQGRRGICKFR >Sspon.05G0024270-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:21538072:21541835:-1 gene:Sspon.05G0024270-1P transcript:Sspon.05G0024270-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPAEPYIPCVAAGLSAQEAADADKDYLYFLRHVRLDGDAYTVVIPSEDGASSAPRVIRYEQPLPDHNAGTPVAGSNCGGQRAPPSSEENPWATSEAPRGVKRKAPDASPGGEVRSGAVPMEEDTPTPVAERAWDSQPDMDEDYLFFLRHAREVEGKLVFKTGNCSITIGEDDDSEEEEEEEEEEERRKRMMKWTILRLGSRGRTEKDDEEEAIPASVTEDGVDSDSPILKVKEEEVSKEDDVDVGPGSDIQIVNETTKKVEEGGEKPLNALVRGTTDLDPLGKKEASSSKEHLAMPLNASIGELQGVIWPPHINERPNSVFKEKLIEFLNKPFTQEEYDKYFALATDRSPLLKERRTRNKVAYYPWTHEMNKSYFDRYPGYMKTVG >Sspon.05G0001280-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:2949983:2952324:-1 gene:Sspon.05G0001280-2D transcript:Sspon.05G0001280-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding PSDIWKAHAGSSQSEGSGLDMERNGCNHNCCPSPLQPIASAGQHSESSAAYFSWPTSTLMHGSAEGRANYFGNLQKGVLPGHLGRLPKGQQATTLLDLMIIRAFHSKILRRFSLGTAIGFRIRKGTLTDTPAILVFVARKVHRKWLSPTQCLPAALEGPGGVWCDVDVVEFSYYGAPAPTPKEQLYDELVDGLRGSDPIVGSGSQVASLETYGTLGAIVKSRTGNKQVGFLTNRHVAVDLDYPNQKMFHPLPPNLGPGVYLGAVERATSFITDDVWYGIYAGTNPETFVRADGAFIPFADDFDTTSVSTSVKGVGVIGDVKAIDLQSPIGSLIGRQVVKVGRSSGLTTGTVVAYALEYNDEKGICFFTDFLVVGENQQTFDLEGDSGSLIILTGQDGEKPQPIGIIWGGTANRGRLKLKSGQGPENWTSGVDLGRLLDLLELDLITTSEGLQAALEEQKIIVAAAATNSTATESSPVAGPQENDKIDKIYEPLGINIISRDGSAISTDQPNENMEELNLMSPMRNGEEGNGDLNNLVDLELENSPDGISIALNLGEREPKRLRTDSDSTQDIDLQK >Sspon.04G0016810-1P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4D:70290525:70291166:1 gene:Sspon.04G0016810-1P transcript:Sspon.04G0016810-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVLYRMEAEVDKERGDTGLNGGGDGRRGHRRGVSAAAPVELNLLTGMVGVGGTRQIAVRAAQLPPLVVVPPDVARSPVVAAAVESEPPQLFACHYCRRQFYSSQALGGHQNAHKRERTLARHRGAAAPLGVGHDHMHASRGGGGAPFAVVHGAFAQVAQALEWNNDARSGQAPPVVVVAAAGERLFTGGGYVVDSPGVGVGQDELPKLDLTLK >Sspon.03G0022250-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:86848327:86853058:-1 gene:Sspon.03G0022250-3C transcript:Sspon.03G0022250-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding PMASPAKRAKASSPAKPPSAPAPEEAAAAASEDPSSSSAAAGNSPPCSTSSKEVETYKQQDPIKRLLILKALCEVRSEQNDAVWYVNDEMKKGMHISNFRKDKLGSGSSGTIYWYDGDSTIGHRLYTEDVTVDFKQNWKGKGGRLTKPVTNIYWETVATNLDEFLEISENLSRKGHAESAIAEHLKTGIVPAVEKFQKKKERLLKRQEKKDKVLAFANTFQTRSLRERRPVSYNFSDYDRSIEEAIRAVQKGKEQDSHEAGTKEKRASHYGDKDANGRSDISSENNKNGGQEDAKYLSDLSSGDEEDRDYNDRDVSSTDSDGENNASDSYKSDMEEEDVFVPRKRTRLAARLANDKPRAGLRRSQRNMKNDEGTMHPGQITPPPMTKKTLRQRPTPVSKQPDTTFFGSEDDLAQVVADSEDETE >Sspon.03G0008190-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:36855098:36859220:-1 gene:Sspon.03G0008190-3C transcript:Sspon.03G0008190-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKGAKKRAKLKKQQQQGHPDDGGNTNASNGNGNGSDDNNNASSGRDGDHHHLRIPPKVSRVDASEDSMESSEEMVTPRAAASEPDEEERKVTAAEVPVERAVEADAAGSGEVMVDAFPPETAAQEREGKVDEAEVEVHAVVAQEPEVKDVLVAEESVVQEPVADAPAAEASEVKKEVAKVHPVLESEPQVDEVVVVEETPVAPEVQEPEVKGTGATVVLKEPETNSGNVVVKDSAEVSRSQEAVDVHTTEVARGPAVAASGQRATWWNCCGLFDAFTGSGR >Sspon.03G0010750-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3C:44549284:44553018:1 gene:Sspon.03G0010750-2C transcript:Sspon.03G0010750-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MYTPTQRPCADGLPARSILLATSHRFGSTARARQWQGSCECELPSLWHPRACVAGRWSSRKYAPMFGSTTGISRAGARRRGCGWNLDYVATRWYRAPELCGSFFSKVRNEKARRYLSSMRKKETISFSQKFPNADPLALDLLQRLLAFDPKDRPTAESAVDQFRKQFAHLEENSGNGPVIPMERKHTSLPRSTIVHSAPIPVKEQPRIGPSRERPSSDESYRNPRETEKYSGNLPRMSQAPQRVPTARPGRVVGPVMPYQNGDTKDPYDTRRLAMNSGYPPQQQIPQTFGYYQTHGKPACSEPSQAERYTLHQQAYACANRTAVSDVALDMRAPPFHHLSAGQKGDSSDRLTAETNLYTRSLNGIAATAAGVAATTHRKVGVVPFGMSSMY >Sspon.04G0012040-2D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4D:40278759:40279644:1 gene:Sspon.04G0012040-2D transcript:Sspon.04G0012040-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DAEQLRNGYWTAWSSTRCLGCRRTCACATWQASSGQRTPMTPCSASSLHTCRLYGRPRQPSSSTRSASWRARSSPPCRPSFHPSTPSARSLLTEEQCKAAAAGSPLETLSANLTKEDDGCLAWLGSKEPGSVVYANFGSIVVLTSQQIEEFAWGLANSGYNFLMVLRGAMQTTTTLAPEFVEATKDRGYVTSWCPQGEVLRHQAIGVFLTHCGWNSMLEGICSGVPMLCWPFGADQHTNRRFACTEWRVGVEIGSDVKRGEVETLVRDVMGGEGGREMRARAAEWKERAAAASQP >Sspon.06G0015500-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:85199402:85200658:-1 gene:Sspon.06G0015500-1A transcript:Sspon.06G0015500-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MACLRLDIKNRPEMNLVEKRLRVLKRDLKCRQEQASSSILSTHHSWNTKDKQEKPQLKKSGSFFKRNASNAKIVSEFSNVRGFTKVELKEITDNYSHMLSGGASKLIMDSGSNSGDNVINSVLLEKVLDSDPSRYKTVLMNLESDVYRFGGVLLALVSRENNVSFDELIVEFTKAYQKDNSGKAMFDKDITAEQDIAALEEMGRLALRCTILNADEMAMRPTMQEVAEELRRIRRCWQLRTSEATPHVTETTATAAASLEPRLPNLMRHLFGYRRISITDPIRTS >Sspon.02G0027560-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:106081271:106084389:1 gene:Sspon.02G0027560-4D transcript:Sspon.02G0027560-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSRVLVVGGTGYIGRRIVRASLAQGHPTLVLMRPEIGLDIDKLQMLLSFKAQGARLVEASLEDHAALVAAVAQADVVISASAMSGVHLHSHNLSLQHNLVKAIKEAGNIKRFIPSEFGMDPSKMGHALEPGRITFDEKMDLRRAIEEANIPHTYVSANCFAGYFCANLCQMRTLLPPKEKVHVYGDGNVKVIFCDEDDVATYTIKSVDDPRALNKTIYLRPPENILTQNDVISKWENLSRNVLEKIHIPADEFLASMKDTDFANQVALGHFYHIFYEGCLTNFEIGDDGVEATLLYPDVQYTRMDEYMKRYL >Sspon.02G0027960-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2B:99154254:99155166:-1 gene:Sspon.02G0027960-2B transcript:Sspon.02G0027960-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSWFSFAVKIEAVRDSFELGKREQAPGNVDIELGLQGDLTSSAQPGFEGFYEQVRVFLSGRVDLFLKEHLKKWPTT >Sspon.04G0015420-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:17089133:17091972:-1 gene:Sspon.04G0015420-1P transcript:Sspon.04G0015420-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVTEGVNNLAITEQHKKNRIQVSNTKKPLFFYVNLAKRYMQQHEEVELSALGMGKTLLLFTMLFTILYTFYCCVVVRVMMKLLSTRTVPQKVTNVSIATVVTVAEILKNNGLAVEKKIMTSTVDVKDDSRARPIPKAKIEIVLGKTDKFDELMAAADAEREAAEAEEQN >Sspon.01G0006580-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:17333456:17338518:-1 gene:Sspon.01G0006580-1A transcript:Sspon.01G0006580-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKTTSSPSPTISAMNPLLPSSSFLKSPHPPDPNPSSPNPSPCSYLLHADADDEALIQIPGQNPSLVGASAPFALLPSIDPAPHVSSQFYTFSAASYGLMLRCIRAGRPASSDEVRGATSLSVLASWRAVWKDRNEDTAYLTAWKRIQDKLAASPDGRHLHFKSNAAQRVSHVGMWRDIVSEAHADPDLLRHLAFKDTVDRIKQSWTVGAKFYGIPESFIRVCVAACPVCKAAPAGQPDSAISSPGRGKRRRRFEYTETLDVPARDVPRRLQQLAAKHKVVLCIRQKYIRYKPFMAEVKDYACHRAGVPTSNSGSAASSASNSEGKKARVLKREPYQSKRCGCGFRIRAIVPIANYNEKDKTFVYLEEGTAVFKLYAVHSGHEPGPLDGNARIVHRLVGHKGALEFDPDIYGVSEEGDPTFSAKGDEDVDIDDSHQAVLQQVRELRSEVLLLEGKVAKMHPELLGSLSTELSELLHRIRKFNLEGNVYQQETLMVGNEEVRGWGPGDVSHQLDQHDQAFCKDDEMLDDDDTDFGSSLGPIVSWDRMAAECEDRKMLMGDSPKCDKWMLKDDVGDFDAKSILNCGDDDGVEDSKVIKPLMHDDTMVTDPSLVGIHVEGFYTGPKWYDSPGLDSNVDGGDSSFRHGGIVGYIVKLADSKYVPNPWVLTGSGEWFASPVDSLLAHE >Sspon.05G0009130-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:18024601:18029701:1 gene:Sspon.05G0009130-3C transcript:Sspon.05G0009130-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQYEGGVMEGGRGPSIWDTFTHQHPGIFSHIKEIAHKIIDRSNGDVAIDSYHLYKEDVRLIKDMGMDAYRFSISWTRILPNGSLSGGINREGIRYYNNLINELMLKGVQPFVTLFHWDSPQALEDKYGGFLSPNIINDYKDYAEVCFKEFGDRVKHWITFNEPAQFCSGGYASGIFAPGRCSPWEQGKCSAGDSGREPYTVCHHQLLAHAEAVRLYKEKYQAVQRGKIGVTLVSLWFLPLSPSKSNDDAVTRALDFMLGWFMDPLVGGDYPLSMRRLVGNRLPRFTKEQSKLLKGAFDFIGLNYYTTYYAASLPPSSSNGLNSSYNTDNLANVSGEPLSQFKLPPKFAASSWLFMYPQGFRELLLYIKENYGNPAIYITENGFDEANNMSLPLQEALKDDTRIEYHHKHLLALLSAIRDGANVKAYFAWSLLDNFEWVNGYMVRFGLNYVDYNDGLKRTPRIQLIGSRSSCRNEHGLALAHWNDKTTYEETSN >Sspon.04G0018330-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:75232096:75239908:1 gene:Sspon.04G0018330-2D transcript:Sspon.04G0018330-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRRWAPLAAACLVALVVLLAAVHGGGAPGAGAPMPAARRSGASVRGRARVAAFDASVARCKKQRDRAGAACAGLPGGGGGDDDKRMPIMRGNSKTSRHQRYFIGYKKHPKSKTEDDTFPASVLEDLSEAAELEKISKLK >Sspon.05G0004410-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:8111961:8114452:-1 gene:Sspon.05G0004410-3C transcript:Sspon.05G0004410-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVRVFAPVAAVVWLASWIYGSWLNRAILTYHPEKLLENPTQLMASPGASAPAEFTQMEAARQSLIAISQSIPEIEAPVIRPPNGGGGIDENGHEDVAEQRYRAKLISISNQSPDARPTLCPPKNGAA >Sspon.06G0021190-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6B:12882280:12883269:1 gene:Sspon.06G0021190-1B transcript:Sspon.06G0021190-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPDAEVTFEFVPVIRQYKSGRVERLHPIKPVPPSVDAATGVTSKDVTLDPATGLWARLYLPADPDDLPALRPGGVTDTDSDRRRLPIVLYFHGGGLVVGSAADAPEHAFVNRLAARAGALAVSVEYRLAPEHPVPTCYDDAWAALRWVVTPATAADPWVRDHGDVARVFVLGFSAGGNLAHNLTLRAGSEPDLLPRGARVQGMALLHPLFLSPAGPGGEVAKHAWVRGKLAEMWAFACGEGRAAAGPDDPRVNPLADGAPSLRRLGCGRVLVCLADDALVAEGKAYYDALLANGWDAADVELLDSAPADHEFHLREPDSAEAVLLMDRL >Sspon.04G0007960-3C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4C:22550185:22550595:1 gene:Sspon.04G0007960-3C transcript:Sspon.04G0007960-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding APHGVVVTTSPAAAAGGAGEDFDESDIWGAFAPAEPPQAGPFRAARKASPAKPALAPDGRAAHWSLPVKIPDWSKILGSEYRPGYHYGAGGAGDWELDDDEDSVDWVPPHELAGRRRAASLSLKNGVVGRTLKVRDV >Sspon.08G0022810-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:51180293:51200503:-1 gene:Sspon.08G0022810-1B transcript:Sspon.08G0022810-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:multidrug resistance-associated protein 11 [Source:Projected from Arabidopsis thaliana (AT2G07680) TAIR;Acc:AT2G07680] MRSPRLLHSGSTSPRGTQPELRRGLSEKLFAFGVPGFAAFLSLVGLTMLAKKKFEGKDVENYELFFTCSQFIAWMSVSLVGFSGAWFEILYNPIMCFCWILKIILEIPHLQYKLTLLKAMPSFMEIISFCTATTFGLFVIVAAVVGQSGNKREVNSIEAPLILNDEKAEGEITNMITDYNLWELLTFKFVNPVMDIGITRQLDFTDLLELPTELRATSCYDKLLSSWTAEYQNHHDNSSLLRAMSYSYGWTYLRLGLLKVVNDSISFVSPLLLNKFIRLLQEGSDGMDGYILAIFLGLTSIIKSFLDSQYSFRLAKFKLMLRSSIMGIIYRKIGVALYLLYTQVNYAFLSGLAITIILIPVNKWISTRIAGATEKMMKQKDRRISCAGELLAHIRTVKMYSWEKLFTERLVERRESEVKHLATRKYLDAWCVYFWATTPTLFSLFTFSIFAIMGHTLDAATVFTCVALFNTLISPLNSFPWVINGMIDAVISSRRLSNYLSTPEHHSCELTASADLSNHHFKRYTEVTHNPMAVVLQNVSCSWSSSPVAEPSIVLRDISLQLQKGLFIAIVGEVGSGKSSLLNTVIGETHVISGSISSCGSIAYVPQVALYDLEIAYHLHLKCLETKNGTGKEFDPRRYEEVIEACALRVDISAMARGDMSHIGEKGTNLSGGQRARLALARALYHNSDVYLFDDILSAVDSQVASWILEKAVMGQLMQKTRLLSTHNLQAISAADMIVVMANGLIKWFGTPESFLATPYSRISKPDNSSPTSFAVSVKDKTPMVTYELKTDVILEDSVVSYEETKDQVEEEARKQGKVELGVYKKYAAFAGWSAVVLIFLSAFLMQSSRNGNDLWLTYWVDTSTGTNNTRFYLIILAMFGIINSLFTLGRAFSFAFGGLRAAIHIHASLLENIISAPICFFDQNPSGRILNRFGVLFIRSPLVFSKLRKVEMPLGLDNALQTFRLSSDLYTVDDSLPFILNIFVANFFSLLGTVVVLSYSQVSFLLILLPLWLIYRKLQLYYRSTSREVRRLDSVARSPIYSSFTETLDGSSTIRAFQNEYTTQGFFLERFIQHVTLYQKTSYSELIASIIILFIAMMATISFHSSSLVNFATPGLVGLALSYAAPVVSLLNGFLTTFTETEKEMISVERVDEYIGIPQEELQGSEPPPRSWPTEGKIEFEHVTLKYKPELPPALSDVSFLIASGMQVGIIGRTGAGKSSILNALFRLVPICNGRILVDGIDLAKVAVRELRGHFAVVPQSPFLFDGSLRENLDPFNTTTDLRIWEVLENCHMKGEVESIGGLDIHVKESGASFSVGQRQLLCLARAILKSSKVLCLDECTANVDNQTAFLLQNTISAECKGMTVLTIAHRISTVMKMDSILVLDQGKLVEEGNPEVLMNHRLSRFAHSIHEHN >Sspon.06G0008480-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:33509951:33515801:-1 gene:Sspon.06G0008480-4D transcript:Sspon.06G0008480-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGRRQSDCLVETHARMASLRIQSPAGPPELRRRNLASNPVHVGLDLVGFSSWMLPMGDHRCAGSAVVTSHGVGYVGAGRKSCPGAFLKNGVVCSFDDSGVRVSTLCSSLPSETVSFSSKMSLVNSMGFAQKKKGGKLWRRLQGGKKLLRHRAPKHGLGKDRRGHKSAVKDDDIDAVLSGISKESSIEQCNSALIRLEKLSDEKALNFFDWMKVNGKLKRNAHAYHLALQAIAWKEDWKMAELLLREMVADSDCALDARAFNGLIYVCAKRRLDAWATKWFHMMLEREVQPNLSTIGMLMGLYQKTGKLSEAEFTFEKMRNCNIKCVNAYSAMITLYTRLGLFAKSEDTINLMNNDGLVPNMENWLVRLNVYCQQGKMEEAELVLQSMVDEGFTLNVVAYNTLITGYGKSSDMQKANKVFDSLGSAGLAPDETTYRSMVEGFGRANIYEEAILYYRKLKGAGFRPNASNFYTMINLLARHDDNETAAEILEDMRAAGCQCSSIVTFLVRAYGAVGRMHKVLPILQACFNKKILLDATSCSILVTSFVQNSLLEEALYILREKKWKDSAFEENLYHILICSCKEGGSYIDAVRIYNQMPKSETHPNPRISCTMIDVFSMMGRFADAETIYLELKASASVLDMIAYSVIVRMYIKARRLQDACSILAEMEKQKEIIPDKYLFLDMLRTYQKCGLLEKLADTYYWIRKSQVECDEAMYNCIINCCGRAIPVDELSRIFDEMIQQGHLANTVTLNVLLDIYGKAGLFNRAEKVFIMARKQGLADIISYNTIIAAYAKGGNFLSMNYFVQMMQDAGFPVSPEAYNCMLDAYGKAGRLEEFASVLQKMKRAKCKFDHYTYNIMINIYGRRGWIEDVSNVLAELKDRGVEPDLYSYNTLIKAYGIARMPEDAVKLMQEMRIKGISPDRVTYANLINALQRNENFLEAVKWSLWMKQTGVVLTERMAQEQAAQIRIDAVHDCSID >Sspon.06G0023210-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:41812122:41813325:1 gene:Sspon.06G0023210-1B transcript:Sspon.06G0023210-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVERRQAEANRIREKYPDRIPVIVEKAERSDIPDIDKKKYLVPADLTVGQFVYVVRKRIKLSAEKAIFIFVKNTLPPTAALMSAIYEENKDEDGFLYMTYSGENTFGLL >Sspon.04G0009870-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:24897146:24898422:1 gene:Sspon.04G0009870-2B transcript:Sspon.04G0009870-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHDIAHGGGAVPAGGEAFEVAHQDLEQEFSDSESASESIEISDLKRRMWKDQMLLSKLEGRAGGAAPPRPLAPPTAGADGEEEENPEVRCRRKAMLRAQDGVLRHMLKMMEACNARGFVYGVIDEAGEPMSGSSDSLRWWWKGNVSFDRSGPMALTAAPTAGESPLGGRVLPPPPPGHPGQHAGEAWWGAQGEVQAHQGVPPYRKPHDLKKAWKISLLSAVIKHMSPRFDQMRKLVWQSKRLQQKMSTKETETWSKILRQEETLSRRLKSSLKITPLDGDGGGDEEAEEDRDGLEDVVRGVHDKRKRELISTGDNSGRSSGGGEGGELVVAGLVTDEKNLIPIDELLKRYYSCGVPDHDQHESFFVAPEDEAEAGVMTMPLGELDLCGVVDE >Sspon.01G0040790-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:70475535:70477023:1 gene:Sspon.01G0040790-2P transcript:Sspon.01G0040790-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPNYDSIVNRNEITDAAASAFANPEQIPEKYVRTEEVLDGVVVGEDESYELPVVDMARLLDPELSASEIAKLGDACRNWGFFQLTNHGVDEEVVQRMKHNTVQFFSLPLESKAKVAVRGNGFEGFGHHYSRASSGKLDWAESMILVTQPPQDRNMEMWPTNPPTFRSVFHVHLSIEDLPMPRPNSVSSTTRDALEVYSVEMIDLAMRLLGFMAADLGVEQEALLDAFTGKRQSMAIHYYPPCLHREKVMGITPHTDGLGLTLLLHVDDTPGLQIRKDGRWFPVRPLPGAFVVNVADILDVLTNGAYASVEHRVIPDAERGRTTVVIFQEASVGGLVAPLPGLLEEEGTHARYKSIEIEEYIKGNFNALEQGTRFIESLRI >Sspon.01G0022690-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:86298309:86303794:-1 gene:Sspon.01G0022690-2B transcript:Sspon.01G0022690-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMLRRNLKRQASRSLSAFAGAASPRAADQENLHPNLAVSPPASPAKGASSPRPKQPAAAAAAPPAATVEEDHSTAATTAPADDEPSVKVVVRVRPTVSRPVDGKDLWFVRKTAPDSIAVGDRSFPVDGVLDDRASQADAFDLVGLPMIENALAGFNTSLVCYGQSGTGKTYTMWGPLGAMVDSGSDHADRGIVPRVFQNLFSRIQRMRESSPEKQTSYQCRCSFLEVHNEQINDLLEPSQRDLQIKENAGNGIHVENLTDEYVSTVEDINQILMKGLSNRKVGTTSMNLKSSRSHVIFTCIIEAWSKGSSNGFSSSRTSRITFVDLAGPDTDELDGAAKHSTKEERHLKKSLSRLGKLVNVLSETPESHKVDLPYEQSRLTHVLKDTLGGNSRVIFLCSISSEHRCRSGTLSTLRFGERAKLMPNKPVINEISEDDVNGLSDQIRQLKDELIRTKSGDTTTCKAGYFSAQSARESLHTLRVSLNRSLILPHIEVDSEEEMDVDEEDVQELRDQISKLHSSSEDTFDDFMDAESGDENTPCSIGRSGEDDQVIIDDFEGPQQEEHKEVSNNTNANEDLSSNRKSSLSISASPRLSPIQDPTLCSSPKIHNKARKSITSPGLSPSKLRVSDSPGDKNVEVCRNSAVRSSLQSSKLSPTDSLAASLQRGLHIIEYHQTNPAPRKSFIGLSFDHFAVNPRQSTAKFSSVVQALPEDQGSNLCSSCKKPMNTNENQTENVNSDKQIVLALGATCNESASASIKEIASKRETELEALCEQQAAKIKELSTLIDQYKNRSEDGLDSNSIAPAEELTSEGKVSEQCHDSKVSLDVNEREALLAEIESLQKQLKNQTTVSTTDSLLDQLRNGSTDQEYELDKERQKWMESESKWISLTEELRVDLESNRMHAEKTEMELCNEKKCTAELDDALQRAMYGHARMVEHYVELQELYNDLLEKHRRVMEAISEVKRAAAKAGRKGCGTAFAAALAAELSTVRIDREKERGQLKEQNRRLRIQLRDTAEAVHAAGELLVRLREAEEASTLEKERTAALLQENEKLKKQLEKLRKKHEMELETMKMHLAESRLPDSALGAFYHHENERTPEYSCDAPLTHDDDQSWRAAFASAYE >Sspon.06G0006570-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:23519061:23522711:1 gene:Sspon.06G0006570-1A transcript:Sspon.06G0006570-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALGTATTPAAAPAAPRCYNRHPRHHIAARRNRVASARRRLDLCASASPAVTAPDIPDEAVAEPSVEQAPETKLRKLACPICYYPLASSSDQSDGASSLECSTCKKFYPNKQDYWDLTVSVGSTEYSESMPAATELFRTPLVSFLYERGWRQNFIWGGFPGLEREFEMSKTYLKPTFGGTIVDASCGSGLFSRLFVKSGLYSLVVALDFSENMLTQCNEYIKQENISDERLALVRADISRLPFVTGSIDAVHAGAAIHCWPSPACAVADISRVLRPGGVFVASTFVADVIPPAIPVLRIGRPYISQITGYNTFLSEVELEDLCKACGLVDFKFVRSGFYIMFSATKAS >Sspon.07G0015180-1T-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7C:59981836:59984465:1 gene:Sspon.07G0015180-1T transcript:Sspon.07G0015180-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVAPVEVCVKAAAGKPDTLGDCPFSQRVLLTLEEKKVPYEVKLIDLGNKPEWFLEINPEGKVPVLKGGDGKCIADSDVITQVIEEKFPTPSLVTPPEYASVGSKIFPAFVKFLKSKDASDGSEKALLDELQALDEHLKAHGPYINGENVSAADLSLAPKLFHLQVALEHFKGWKIPENLTNVHAYTKALFSRESFVKTKPSEEHVIAGWAPKVNA >Sspon.08G0000480-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:317837:320857:-1 gene:Sspon.08G0000480-4D transcript:Sspon.08G0000480-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phytoene synthase 1 (EC 2.5.1.32) [Source: Projected from Oryza sativa (Os06g0729000)] MDESPVLLSAQEEVGPGPAVDALLAQVRVPWPRPVGGRPRLPARRLLQPRRQPGGEAVVSSEQKVYDVVIKQAALLNASCASRSSTPQDLEMPRNGLKEAYDRCGEICEEYAKTFYLGTMLMTEERRRAIWAIYVWCRRTDELVDGPNANYITPTALDRWEKRLEDLFAGRPYDMLDAALSDTISRFPIDIQPFRDMIEGMRSDLRKTRYNNFDELYMYCYYVAGTVGLMSVPVMGIAPESKATTESVYSAALALGIANQLTNILRDVGEDATRGRIYLPQDELAQAGLSDEDIFKGVVTNRWRNFMKRQIKRARMFFDEAERGVTELSQASRWPVWASLLLYRQILDEIEANDYNNFTKRAYVGKGKKLLALPVAYGKSLLLPCSLRNSQT >Sspon.02G0058870-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2D:84741460:84746417:-1 gene:Sspon.02G0058870-1D transcript:Sspon.02G0058870-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRPAQAAALMDELVEEILLRIPPDDPAHLLRAALVCKRWCRIVSDPGFRRRFREFHRTPPMLGFLCSRGPWTSYVPSTSFVSTTSFRPSPARLNYRAVDARHGRVLLHGVSWNHERKHWDDSFVVWDPITGGERARLPLLPRSRHQESNSTSGTWNAAVLCASAAVDDACDHLDCHSGPFLVVFVARGRRWGRSGMCAHVYSSEAAAWSQRIYAPRLAEHMQLVPSALAGNSMYFLCSKSVLKFDLITREMSLIDLPQETFGRAMLRTTEDSALGLASMCSDGLHLWSMEVGPTEVVGWAQSKVIKLTELPPADPIGSLLLTGFAHGLGVILVCTSEGIFTIDLKSVRVIKVSQESSLALTFPYMSFYTPDRIFCGCTPDPTRQSQSCSSDHSPVTTRTSADRPSLCSALLRSAASSMAPRPPLAELMDELVEEILLRVPPDDPTRLLRAALVCKRWCRIVSAAGFRRRFREFHRTPPILGFLHNHPLSYSFASTSSFRPHPAARPNSYRAIDARHGRVLLWCHRYYRNSLAIAFVVWDPITGESAKLPLLPLASDTWNAAVLCAASAVGACDHLDCQHGPFLVVFVGMGKGNGGFARVYSSEPATWSKRIFAQHLREDIHSLPSALAGNALCFVCSTIILRYELAAREMSVIHLPRECFFRPTIVGTTEDGRLGLASIRSHRLYLHLWSIEDAGWVQSKVIKLTKLLPDEPLGSLRLTGFAHGLDIILVAASERTFTIDLKSVRVTKVCEEGRCYDIFPYTSFCNPGTRHWERLSLPSDQEQAPPMSDEHEILHCGGLVS >Sspon.03G0008660-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:30511754:30524592:-1 gene:Sspon.03G0008660-2B transcript:Sspon.03G0008660-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MWLLRGKPAIVGEKIGVRFHWDKLRGEGRNQPRKPGDRRRQGQVENTLGGRSRAARESRGGVAVPAHGGGRGRRRSRMATPTPMPGGEGTLAAVMPRSPSPTPAEAGTSATETPVLIFLYFHKAIRAELEALHGAAVLLATERTGDVAALSERCRFFFNIYKHHCDAEDAVIFPALDIRVKNVAGTYSLEHKGESDLFSQLFDLLQLDIQNDDGLRRELASCTGAIQTCLSQHMSKEEEQVFPLLTKKFSCEEQADLVWQFLCNIPVNMVAEFLPWLSTSVTSDEHQDIRNCLCKVVPDEKLLQQVVFTWMEGKATREVAESFAAGNSVRNNSVEDVPDQGEIHICSHHDSRLGSKNCAESNGPQADRHPIDDILYWHNAIRMELHDIKEETRRVQQSEDFSDISAFNERLQFIADVCIYHSIAEDQVVFPAVDSELSFVQEHAEEERRFNNFRCLIQQIQIAGAKSTALDFYSKLCSHADKILETIEKHFRNEETKVLPQARMLFSPEKQRELSYKSLCVMPLKLLERVLPWLVSKLSDEQATSFLRNIRLAASPSETALVTLISGWACKGRDKCKSGEYSCLTSSTARCLSDDVDDLGKCRPFCPCASRNSSDLSLQLQTENGSRPGKRGKDAVSFPGTNGSYCSQTADIEASPCSKKPCCIPGLRVESSNLGIGSLASAKSFRSLSYNSTAPSLYSSLFSWETDASLSCSDGISRPIDTIFKFHKAIRKDLEYLDVESGKLIDGDESCLRQFIGRFRLLWGLYRAHSNAEDEIVFPALESRETLHNVSHSYTLDHKQEEQLFKDISNVLFQLSQLYDSQGHAQTEVNEVEQSCFHSSNDVDFARKYNELATKLQGMCKSIRVALTNHVHREELELWPLFDKHFSVEEQDKLVGRIIGSTGAEVLQSMLPWVTSALTQEEQNKMLDTWKQATKNTMFGEWLNEWWKGAGTTSDSSVEASSAPEDSHLQDKLDQNDQMFKPGWKDIFRMNQSELEAEVRKVSRDPTLDPRRKAYLIQNLMTSRWIAAQQKLPEPNSEECSDDASIPGCAPSYRDQEKQIYGCEHYKRNCKLVAACCNKLFTCRFCHDKVSDHTMERKATQEMMCMVCLKIQPVGPFCQTPSCNRLSMAKYYCNICKFFDDERTVYHCPFCNLCRLGKGLGVDFFHCMKCNCCLGMKLTEHKCREKGLETNCPICCDFLFTSSAAVRALPCGHFMHSACFQAYTCSHYTCPICCKSLGDMAVYFGMLDALLAAEELPEEYRDRIYFAMTVRGKADVDFIGYTTNAAPVGPTIPESSRLTQQIALHQAS >Sspon.04G0032870-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:38739724:38740549:1 gene:Sspon.04G0032870-2D transcript:Sspon.04G0032870-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVNHLVANAGVWSSCFFDEVTNITGFNKMMDVNFWGSVYPTYYALPHLKASKGKLIVSSSTAATAPTSRMSLYNASKAAQLRFYETLRSELGSEVGVTVLTAGFVESEMTKGKAIQKDGDIAIDLEARDVQIGVFPVARVEKLCEVALDGIQRGD >Sspon.08G0023530-1P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8B:56365453:56366197:1 gene:Sspon.08G0023530-1P transcript:Sspon.08G0023530-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MYPSLPSHLIFLPRFSSSTTMLMSVPGRGCRLPTTTSLSIQIPRCRGPQSLPAIPYRAELQIPSQPSLTGPGVEQIPSRSSDESQSSRAELPQSLNPSLSRTIYGPREVRGSFHRNLKEDEFKRVVAKIEMIYREVELESDELESGYCCCLGLLNPKANILVNGSIFCSNASLSPADRVHNIMREERAPCSRPLQGV >Sspon.08G0000380-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:382570:388927:-1 gene:Sspon.08G0000380-2B transcript:Sspon.08G0000380-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQRWQPAGPAAGYLGQGQAYREVQPPLYYGGGRGGRGAGPSAIAPELRQAMETSHEPDNISPETGSPDLSPRASTVEVTDQLKDLSLQDESNMCQDIVQAFPVSSNAYKFPHRPGSGSIGTRCLVKANHFFAELPDKDLHQYDVSITPEVTSRIVNRSVMEELVKLHKMSYLGGRLPAYDGRKSLYTAGPLPFTSKEFHITLLEEDDGSGVERRKKTYKVVIKFAARADLRRLDQFLAGRQAEAPQEALQVLDIVLRELPTTRYAPFGRSFFSPDLGRRRSLGEGIECWRGFYQSIRPTQMGLSLNIDMSATAFFEPLPVIDFVAQLLNTDIHSRPLSDAERVKIKKALRGVKVEVTHRGNMRRKYRIAGLTSLATRELTFPVDQGGTLKSVVQYFQETYGFAIQHTYLPCLQVGNQQHPNYLPMEVCKIVEGQRYSKRLNQGQIRALLEETCQRPHDRERDIIQMVNHNSYHEDPYAKEFGIKISERLASIEARILPAPRLKYNETGREKDCLPRVGQWNMMNKKMVNGGRVRSWICVNFARNVQESVADFALEPILPPIYAHPDKVERALKARFHDAMNMLGPQRRELDLLIGILPDNNGSLYGDLKRICEIDLGLVSQCCCAKQVFKMNKQILANLALKINVKVGGRNTVLADAVSRRIPLVTDRPTIIFGADVTHPHPGEDSSPSIAAVVASQDWPEVTKYAGLVSAQSHRQELIEDLYKVTHDPQKGTICGGMIRYASKAPLLLSFLPRAQVIHAELQICRELLISFKRSTGQKPQRILFYRDGVSEGQFYQVLLHELDAIRKACASLEANYQPQVTFIVVQKRHHTRLFAHNHNDQNSVDRSGNILPGTVVDSKICHPTEFDFFLCSHAGIKGTSRPAHYHVLWDENNFTADALQTLTNNLCYTYARCTRSVSIVPPAYYAHLAAFRARFYMEPDSSDSGSLASGAHGGGAPSSSSTSRSTRAATGGAVRPLPALKDSVKNVMFYC >Sspon.01G0029090-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:101166939:101174553:-1 gene:Sspon.01G0029090-1A transcript:Sspon.01G0029090-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKQEKEEEAEVPCMNGDAERDDDNGGGEGGEGEGAGEFAAAMAQLAPEGVRALHARVEAEWGPVLQSACQTAAARALWGRAVRDPAAGVLAGERCLRGLHDKMRRDERAGAREVHGVMIAVRTLWFDARIEAAVAALGGDPQVVILGAGMDARAYRLSCLKECTVFELDFPELLQMKSDLLHEAISSANHQKLTVMAESLIRVPANIQDGDWITKLQSCGYVPERNTIWVLEGIIYYLHHADAMQVLENIAASHSSASTVLLADFMNKNATLLSPTMYHFYHDSPDLLLPSIGFSQVTLTQIGDPEAHFGLLSHPENLFDKLRKLPRSMEKNPEDGTPCCRLYFVEASASPDNQIMCPLDHYFE >Sspon.02G0001830-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:49406594:49409343:1 gene:Sspon.02G0001830-3D transcript:Sspon.02G0001830-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GGLRRRRGRRRRQEAVPADAVADVPPPPGAPAGGVGGQVAAAAARGRAARAAAAGGGAVHDVAARGAAHVRGLHRGARHPARIPRRRGRAGRVHGRRAPPGAPGAAGRARTRLRAPAAVHRRPPRRRRGRGAAAERNRPAPPAPRRRGRAGPGLRLRRLRRRPVRPLHRLRRRPQGVRRGGGPRRPLRRVQRERIGCKYKAVT >Sspon.02G0041350-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2B:75217462:75217998:-1 gene:Sspon.02G0041350-1B transcript:Sspon.02G0041350-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRQSSRRPFANPSHACDEGLNGGRRQRVHPEEDGLAEEVRVGTLVWRGLTEDPNEPWRCHLTQGADQQWIRLARMPMSGVAALRHHSSTPKTKTKAILIAKTSSSCPRGWAPVCVLRVGGDTQLSSCQQKVGKKLVRMISYKCRTPPELKALPLPRYKPVLYCCPTHTSRSIVRSGM >Sspon.03G0013030-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3A:36479326:36480982:1 gene:Sspon.03G0013030-1A transcript:Sspon.03G0013030-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTHFAYQPKGYAKNIASLLATQISGGGPLTSCTRTPPRHRGGPLAVASEEAPVPAAAWRCALRRRGLEMCRSPRPPPTIGAAPRGGAGRRSRPRRSRRRSLCPQERERAAPHLRLLRRPPWRDEDRGGGARDLSGVLSRAVVLRVGMRVAAVAGEVDSSAASSASGWGSAFASLLKRAELWVRDPFLCVTQNLKWVLCLGLPCWRQSHGPFFCSALSHAQPRQHLSGGRVRAQGYPRPARSALRRAIMAQVPSARDSSGSCVRRSLRSGELPQPATRAAAFSEACSPASDRSPACSAHELERPRPRASDNARWPCPGGHEPRPSQRAAS >Sspon.08G0015070-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8B:55255859:55256472:1 gene:Sspon.08G0015070-2B transcript:Sspon.08G0015070-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding FLQAVLRHAKADTAQQIKRRKDIAAQPMLIHQVSAVVRLQAAARGLRARQRLQEMRQKMREAVLAAVRLQAAARELLARRQARVMRGLQLVPVPRASLLRHQATLRHMEGPDLVRCVMEIGRGIATSGGELGVYSAGVWGRGCVATHRRALISAVVLRHRPPRGRLRWSLSRLIPGGYTRAPLSFRWAPCDPGGYTCAGPSHGG >Sspon.02G0008840-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:25170526:25173820:1 gene:Sspon.02G0008840-1A transcript:Sspon.02G0008840-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable inactive purple acid phosphatase 29 [Source:Projected from Arabidopsis thaliana (AT5G63140) UniProtKB/Swiss-Prot;Acc:Q9FMK9] MGGGVVGLGRGRRGAYLPPLLLPSLLLLAVAGAAAGKEKGAFGKLRFRRESGTFKVVQVADMHYADGRTTGCEDVLPSQVAGCTDLNTTAFLYRVFRAEDPDLVVFTGDNIYGADSTDAAKSMDAAIAPAIDMKLPWAAVIGNHDQEGTLSREGVMHHLVGMKNSLSSFNPEGIEIDGYGNYNLEVSGVEGTSMDEKSVLNLYFLDSGDYSTVPSINGYGWIKASQQVWFQQTSSSLQAKYMNENPKQKEPAPGLVFFHIPLPEFSSFTAANFTGVKQEGISSASINSGFFTSMVEAGDVRAAFVGHDHINDFCGKLSGIQLCYAGGFGYHAYGKAGWSRRARVVSVQLEKTDNGEWRGVKSIKTWKRLDDKHLSTIDSQVLWNRGSNGRRRKNPDGS >Sspon.03G0029650-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:17600373:17607179:1 gene:Sspon.03G0029650-1B transcript:Sspon.03G0029650-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRLRPPPPHPSTAAAAALSSFLPSTSFRRLIPPPRRRLSAAANDSTGRLDPRQERYDVIVVGGGHAGCEAALASARLGARTLLLTLNIDRIAWQPCNPAVGGPAKSQLVHEVDALGGEIGKIADRCYLQKRVLNSSKGPAVRALRAQTDKREYAIEMKNVVESTENLFIREAMATEVLIGKNDSVEGVRTFFGMDFYAPSVVLTTGTFMSGKIWVGRTSMPAGRAGESASHGLTENLQLLGFETDRLKTGTPPRIDRRTVDFSGLEPQHGDEEVGWFSFDPEFHIEREQMCCYLTRTTKETHQIVIDNLDETPTYGGWVEAKGPRYCPAIEDKIVRFKDKESHQIFLEPEGRNVPELYLQGFSTGLPERLQLSLVRTIPGLENCLMLRPAYAVEYDYLPAYQCSRSLMTKKFEGLFFSGQINGTTGYEEAAAQGIISGINAARHSDGKSLIILERESSYIGTLIDDLVTKDLREPYRMLTSRSEHRLLLRADNADSRLTPLGREIGLIDDRRWELYQSKQARIKQEKERLKSTKVPGGEFAAEVTAVSNQPVKDSSTLEAILKKPHVQYKLLDKHGCGNENLSRIEKECVEIDIKYEGFIARQQSQLHQIVNQEHRKLPEDLDYHSMTNLSLEAREKLSKVRPQTIGQASRIGGVSPADMTVLLIWMESNRRMANHRRQQEQLRSAAVEADGSSEEVVHAGTA >Sspon.04G0030830-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:2675269:2688068:-1 gene:Sspon.04G0030830-1C transcript:Sspon.04G0030830-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSRRSRRGDLAVAAALLATVALAAVGAAGADGGAEFDYRKLSGIIIPGFASTQLRAWSVLDCPYSPFDFNPLDSVWLDTAKLFSAVNCWLKCMLLEPYNQTDHPECKSRPDSGLSAITELDPGYITGAPLLGSTEAIRGALSGTTFGLPVSEGTARLMFNAFGSSLWLMPFSKHCKADNIYWKHFFEGKGGCPHRQQCDEAEYISDYAGWPTDLVNIEVPSVRDMGAYPSITDITENITSSMECGKPTLLSFSAREVSDGTLFRTIEDYDPQSKALVYQLEKTIEDYDPQSKALVYQLENKASILSLSSGNFSKRTEHVSSPCLASQVDLRYYQGDPVLNPLTPWERPPIKNIFCIYGIDSKTEVGYYFAPSGKPYPDNWIITDIIYEFEGSLLSRQGTFVTLFVLTRSGNSVSGKPNNSSGDGTVSYNSLSWCKNWLGAKVNITRAPQAEHDGSDLQTAMNVDHHPGQDILPNMTRAPHVKYITYYEDAESLPGWRTAVWELDKANHRNIVRTPVLMRELWLEMWHDMHPDSKSKFVTKAFRGPLRNEDCHWDYGKARCGFPEHCEYRYIFGDVHLGMSCRLKNTSTNLLQQYL >Sspon.07G0020430-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:67703197:67705548:1 gene:Sspon.07G0020430-1T transcript:Sspon.07G0020430-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSDLQGAFKWPKAMQPLLPKGHIPFKVDCYIKAGRVNACLFYLRGKQSQCLFAMSAIAATSARPIKTVGAISEKTMVRGKVQMRRIENPVHRRVTFSKRREGLLKKARELSVLCGADVGVIIFSSTGKVHELATNGNMQSLVERYQSITARGNRALGFLVKGRDMSTAAWFKMKIMQQEIHFLKNKESILKSANENLQQKEGILKAANKFPTE >Sspon.01G0000820-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:2297433:2299504:-1 gene:Sspon.01G0000820-3C transcript:Sspon.01G0000820-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKSMLGDLDGLPEEDKMRMAAMIDQLQIRDRRPAVYDKLLSDKYFSLPQYYRDEQLCLGMGSLRMCNSLVERCFTDCVDTFRRKTLDKQEESCVRRCAEKFLKHSMRVGMRFAELNQGVATPD >Sspon.08G0008570-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8A:32119849:32131636:-1 gene:Sspon.08G0008570-1A transcript:Sspon.08G0008570-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha-glucan water dikinase 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G10760) UniProtKB/Swiss-Prot;Acc:Q9SAC6] MTGFGAAASAAAAAERCALAICARPAASSPAKRQQQSASLRRSGEGQRRPTTLAASRRGPVVPRAVATSADRASPDLVGKFTLDSNSELQVAVNPAPQGLVSVIGLEVTNTSGSLILHWGVLCPDKRDWILPSRQPDGTTVYKNRALRTPFVKSGDNSTLRIEIDDPAVQAIEFLIFDETQNKWFKNNGQNFQIQLQSSRHQGNGASGASSSATSTLVPEDLEEYEAARAELIEELNRGVSLEKLRAKLTKAPEAPASDESKSPASRMPVDKLPEDLVQVQAYIRWEKAGKPNYPPEKQLVEFEEARKELQAEVDKGISIDQLRQKILKGNIESKVSKQLKNKKYFSVERIQRKKRDIMQLLSKHKHTVMEEKVEVAPKQPTVLDLFTKSLHEKDGCEVLSRKLFKFSDKEILLKDNGDAGKGTAKALLERIADLEEDAQRSLMHSEISKAQDRFTDDLENMYKTYPQYREILRMIMAAVGRGGEGDVGQRIRDEILVIQRNNDCKGGMMEEWHQKLHNNTSPDDVVICQALIDYIKSDFDISVYWDTLNKNGITKERLLSYDRAIHSEPNFRSEQKEGLLRDLGNYMRSLKAVHSGADLESAIATCMGYKSEELLEFVLDHVEDKSAEPLLEGLLEARVELRPLLLDSPQRMKDLIFLDIALDSTFRTAIERSYEELNDAAPEKIMYFVSLVLENLAFSIDDNEDILYCLKGWNQALEMAKQKDDQWALYAKAFLDRIRLALASKGEQYHNMMQPSAEYLGSLLSIDQWAVNIFTEEIIRGGSAATLSALLNRFDPVLRNVANLGSWQVISPVEVSGYVVVVDELLAVQNKSYDKPTILVAKSVKGEEEIPDGVLGVITPDMPDVLSHVSVRARNSKVLFATCFDHTTLSALEGFDQKLLSFKPTSADITYREITESELQQSSSPNAEVGHAVPSISLAKKKFLGKYAISAEEFSEEMVGAKSRNIAYLKGKVPSWVGVPTSVAIPFGTFEKVLSDGLNKEVAQSIEKLKIRLAQEDFSALGEIRKAVLNLIAPMQLVNELKERMLGSGMPWPGDEGDKRWEQAWMAIKKVWASKWNERAYFSTRKVKLDHEYLSMAVLVQEIVNADYAFVIHTTNPSSGDSSEIYAEVVKGLGETLVGAYPGRAMSFVCKKDDLDSPKLLGYPSKPIGLFIKRSIIFRSDSNGEDLEGYAGAGLYDSVPMDEEDEVVLDYTTDPLIVDHGFRISILSSIARAGHAIEELYCSPQDVEGVVKDGKIYVVQTRPQM >Sspon.08G0004570-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:7561246:7574034:-1 gene:Sspon.08G0004570-3D transcript:Sspon.08G0004570-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDDYLAEPEDEVTQSMWPENLGDKHQRQFRMENFRKDQDAFKDVKFDEKPVHVDFQRLMEMANSEKGVSQMQYFMKHWAYKRANAARLLEEELGLLCQQRKEIEQNKQQILEEQRFHDESYYAVKRHVPILDEVYEDEWKRPSKKNDDLSRSRETKIDADYDSVSYWKERATKLEEKLEESIQRERSLVEKLEENIKNLQSHTPAEEFSGMLKRADYFLHLVLQSAPIVIAHQDADLRYRFIFNHYPTLADEDVIGKTDYEILSGEGIEEMNSVKREVMAKGIATKREFAFNTPMFGAKTFVTYIEPVFSKGGETIGVNYVAMDITDQVKRRERMADIRVREAVQNAKETELSKSLHITEETMRAKQMLATMSHEIRSPLSGVLSMAEILATTKLDKEQHQLLEVMLSSGDLVLQLINDILDLSKVESGAMKLESTTFRPREVVKHVLQTAAASLKKELTLEGCIGDDVPVEVIGDVLRIRQILTNLISNAVKFTHEGKVGINLQVVHDKQPGCKIEGGKIHKRAYSGTAITAAETAVVSPRNCDNDNLHCSKHEDAFQNGVPTCENFKEDIEGEEVVWLRCDVYDTGIGIPEKSLPLLFKRYMQASTDHARKYGGTGLGLAICKQLVELMGGTLTVVSKENEGSTFTFVLPCKIPVKEEHSDDPDEVHSSRNDSANSDIEGSFFFKPQMRASLLSPGVSIMNNTKLFGAKLMCYDPPDISDDRKLSSNGFSSTEHNFTNTSTARQPNGASVRSTAEEHDNAMVLELNSQAERVSSSRGDLVSVSGAAPCKVFEEQSLHKKSKCSPTSNKAKILLVEDNKVNIIVAKSMLEQLGHAIDIVNNGMQAIRAVQQHQYDLILMDVHMPEMDGLQATKHIRSFENTGCWDVSVKPEDNRMITDSAISSDCAHAKKQGQRVPIIAMTANSFAESAEECLAAGMDSYISKPVNFQNIKECLQRLQLQIE >Sspon.02G0041700-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2B:77526420:77529938:-1 gene:Sspon.02G0041700-1B transcript:Sspon.02G0041700-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GDSVNSHHFVVELSRINSIFAKSKRQYIEAQVEHAKEEAILSVLRTQLASQQSYIHQDSHSLRRKNSELAEELKDLSHHVQKYLSETVTGLCADLAQLSGANILEGDHNLKLLRQECYISHQKKFINHLVNQLAAHVFLKITCQLEEQTKISSAYSFLKAVELELQGYFSAVDGRLGSVDDSDSYLHAVRDILSSHSSSQAMSPEYVSSYGLIEQITELQDELQYLQHEAENVLPRERGRCTDELCRIIQTLEQILAVPLSDEQPKLTPWPLAQSLEDLDLVSQQISASVSEVTLARDEKAEMLKQPSRNAQQERQ >Sspon.02G0018470-3C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:62499460:62500424:1 gene:Sspon.02G0018470-3C transcript:Sspon.02G0018470-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ACT domain-containing protein ACR10 [Source:Projected from Arabidopsis thaliana (AT2G36840) UniProtKB/Swiss-Prot;Acc:Q9SJM1] RELLHTKSRREETQDKLESVLGDSLTCCEIDPAGEDMLSCLQSWASLTPAIMEQMFNTNLIEEQSISTRGGTISVTMDNSLSSVHTLIQIQCGDHKGLLYDIMRTVKDCNIQISYGRFYASQNGRCEIDLFAVQSDGKKILDQHRQKALCCRLRMEVLQPLRVALVNRGPDTELLVANPVEVSGKGRPLVFFDITLALKNLQKRIFLAEIGRHVVEDREWEVYRLHFGEEHELSSALRSKIVDAVTNMLM >Sspon.01G0014400-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:40679543:40683150:1 gene:Sspon.01G0014400-1A transcript:Sspon.01G0014400-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKKFGLARDSASTSLLCLSAAAAAVSSSSAQTPTATIRRTRVLSLPCYDYELTALSFPSPPLHLLSLPQPLLSVTSHTQEAVHAAMQPHHLGLGGTGTHTRFRTQVLEAPLDPGSDPEYQNFQFRFIPEVFELQMGGVAVGGGGGGARNGDGKVTAEKVVAFEFDKVRISIASSDDEADGDAPPRSSFSGASHPPEPVDEMDTVFVAVDGREKPPVPKPVISWDAFPPPSGAASPHSSIDSSGAAATVTSLAPSCTVTSRSAKTSVSSSAASDGSGWSNDTGSGAGSGAGAGAGGSAGKPHKGGDPRWKAILAARVRDGPLAMGSFRLLRRLGCGDIGTVYLSELSCGSAGSAGVARPCWFAMKVMDKASLESRRKLSRAQTEREILQLLDHPFLPTLYAHFETDRFACLVMEFCPGGDLHALRQRQPGKHFPEHAARYSSKPCLILCFTPYLHMLGVVYRDLKPENVLVREDGHIMLSDFDLSLRCAVSPTLVRSSLNSDPRNTQACAQPTCIQPTCFMPKLFGQRSKKSSGSTTAKKSKGAEPRQQQAPTGLPELVVEPTGARSMSFVGTHEYLAPEIIKGEGHGSAVDWWTFGIFLHELMYGKTPFKGQTNRATLFNVVGQQLKFPDCPGTSNASRDLIKGLLAKEPQSRLGVTRGAAEIKQHPFFEGVNWALIRCSTPPGVPRAVEPAAVALPAPAKPAPVERVEINSSSKRMAGAAAGAESGGKFLDFEFF >Sspon.05G0012040-1P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5A:35062121:35066010:-1 gene:Sspon.05G0012040-1P transcript:Sspon.05G0012040-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPAAPLRTVICVGDVHGYITKLESLWSNLEAALPADAFATALVIFLGDYNDRGPHTRRVLDFLLALPTRHPAQRHVFLCGNHDLAFAAFVGALPPPPDGSPFSATWDEYIHNEEHEGWFRGPGYEGMHVQGRRGAGSSRRGGTPRRGSRTRAPSTTRSPPSNPTASPWFPDLAKAVPEEHKRFLHDLVWIHEEENVPIDTDEGQIICNLIAVHAGLERTIDLNEQLRVLRTRDTRVPKVQMLSGRQDVWNTPKDLTGKQTIIVSGHHGKLHIDGLRFIIDEGGGYADKPIAAIVFPSKTLIRSTEEAGTTSQS >Sspon.01G0019880-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:71625503:71647023:1 gene:Sspon.01G0019880-2D transcript:Sspon.01G0019880-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVSTAPRSPLPAVASSSSSARQVDPNPSGGRFLAGRRLRVVRRLAGAAPSRRAPLACCSARSPDADSGDERRRRGWDAMLHDAFQGAVRRWSEYVRNYWPPPTSVKEAGAGKRAGSSHDEEVMNGDEARKVGEEVGKWGWERWKRHFALIEESERLVDELQAAVYREDYRSAHKLRLAIAATAKNDTVGRAISDLNMAIDEERYKDAAYIRDHAGAGLLASDSPGLPIFEIYYAEENGGYNLQAVHLKPDDSDSDRVPNMLREKLGIDSINISSSSVGSKHEEFDGSVNMDDKDSDDSNIAAGPGSKNLSSDPTAVPRIKILKVVPMQNVDQDYIINIFDQISEEDDDNDEPEVENESSEDVGDEDNSGVAETVSAEENGDESGDESDIEALVSIDFVSENYASRPSTEAFERMPARLEKETTSQYSKKLDAGKAQQTSKKTVGLHTDQQDYDGFVQLDRVKLSGSNKKLSQKLHGVTHFSRIQTSISSDPLTGLYVTASGFDSEILSLQRKFGQWREDGSSEEHNDLLFYEYVEAVKLTGDNLVPAGQVVFRAKVGERYQLPHKGIIPRELGVIARYKGQRKIADPGFQNPRWVDGELLILDGKFIRDDDSDSDRVPNMLREKLGIDSINISSSSVGSKHEEFDGSVNMDDKDSDDSNIAAGPGSKICQAIQLQFPGLKS >Sspon.05G0009020-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:26373292:26379158:1 gene:Sspon.05G0009020-1A transcript:Sspon.05G0009020-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAKEKASACCSRDAPARVAGAPVVPMRAIAASPVGGKVVALAAGGGERVAASAAGASGAVIEEIAAVQPTTAKASSKGIPIMTRAQRCHPLDPLSAAEIAVAVATVRAAGRSPEERDSMRFVEVVLLEPEKNVVALADAYFFPPFQPSLLPRSKGSAVIPSRLPPRRARLVVYNKQSNETSIWIVELSEVHAATRGGHHRGKVISSEVVPDVQPAMDAMEYAECEATVKSYPPFIEAMKRRGVDDMDLVMVDAWCAGYYSEADAPSRRLGKPLIFCRTESDSPMENGYARPVEGIHVVVDMQNNAVIEFEDRKFVPLPPPDHLRNYTPGETRGGVDRSDVKQLIINQPEGPSFRINGYFVEWQKWNFRIGFTPRRVWLSILLLIRGRRPIAHRLSFVEMVVPYGDPNEPHYRKNAFDAGEDGLGKNAHSLKKGCDCLGYIKYFDAHFTNFTGGVETIENCVCLHEEDHGILWKHQDWRTGLAEVRRSRRLTVSFICTVANYEYGFYWHFYQDGKIEAEVKLTGILSLGALMPGESRKYGTTIAPGLYAPVHQHFFVARMDMAVDCKPNEAHNQVVEVMLKWKLLKSELQAMRDCDPSSVRHWIVRNTRTVNRTGQPTGYRLVPGSNCLPLLCLRRNSEEGWFLKHNLWVTQYKSDEMFPEGISQPESRIHEACQHGSRRIGLWRKPILFS >Sspon.03G0011970-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:32923232:32924976:-1 gene:Sspon.03G0011970-1A transcript:Sspon.03G0011970-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLGGGWRIASIRSIRAFGGEDEGTHTALQFAYESSRPSSPRPTRPSHPPAPRGPGHQTTASTASAASPTMFFGTSSPASPPRTPRALAFSPRAGAASLALGAPCLRRRPPRPGCRANPLWRPGFEDTLCVTNVVSYVLAAHPGPFRCVQITCCYLDLTTEKVDGWLQLVAGQGRPRTRLYQPTVAARHPSPDHALQLHLAHPPAHRRLEVPQHRRPSAHRHLSPSRGADLAFLLDRSPVLEVLTIIASQTDVRLHLVSHTLRCLQLGLSSLGDIVVTDAPCLERFFLVTTQSSRKNLSRITIGNAPNLRMLGHWPTGQHELQIGNTIIELPQYQLKYMILISDSLHCICMFTPQAGTKVSTGTIIPHVQILALQVHFDLCDEVKTVPSFLECFPNVKTLHIRPVKVRKPTGKEACPVECVQHVKKLVIHGFQGKKNEHAFIKFIGERAQGLEKLVIMMCPESEIGPDAMMRPFTTVKWANKDFKTVHFKCPSSPLTPWSFLMATDVSCRDLFDLASA >Sspon.05G0018180-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:77833159:77834584:1 gene:Sspon.05G0018180-2B transcript:Sspon.05G0018180-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LFVAAVATIHRYGPVFKTSLVGHPIIVSLDPEFNRFIFQQEGKLFRIWYPDAGSDIIGKKSIITITGAVHKFIRSFSSKLFGLENLKERLIQELEDTMKQGFEAWAAKPSIEVNDAIADMIFTLIANKMISIGPEESRELRQNLKSFFKGIVSFPISFPGTSFYKEGEIVHKKLSDLLRDSSTPEKKHGDLLDLLVEELHREKPVIDEAFGIDAIAGLLLASFSPISGTLTLGLKLLSDNPKVIEMIKEEHEAIVKKREDTNSGFTWESTSPSHSQH >Sspon.03G0046130-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:32167692:32175916:-1 gene:Sspon.03G0046130-1D transcript:Sspon.03G0046130-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFKRNQRSEILALRRCNAAGGGGEDEGGGDPRPRKRRRGDEFFPVELLGDVPASAIPYAAFGLRWSEEPEAPAEAAQPPPAARPPVVRTSRGRTQVLPSRFNDSVLIDPWKKEKPAKPPPPPPAVKTEHLVRKNGLLHSKGAIFDRSFALSEVDDDDEEEAMVECYRARRNFGGSRKYLASRSTLTSVHDEPYSNYHRKEVMLRHYYEEEDEEDEEDEQEEDEGCEEEEKEETFHCTEQLVYGDIVWAKLGKRQPMWPGVLVDPTQQAAADAMPPQPRGVAVLCVMLFGWCAEFSDEKKYVWVRQGLIFPFSDYMDRFQGQTELSSCKPADFRRAVEEAFLADQGFSEVVVDCSTKGQPVQCRRALKCESCGNCFPNKDTNKMVYVMEQLACRLCAGILALKKKDSSAQKGSKPDKVALVCFDLEGTYQPDLELSPNPDNVLIIHTPAGTFSSKKLAQSNGKVAASRLIRKDIPLDLPSEVEILENISAARCRIYVRKDLKRSRDGAIAHRVRGPCQHRWDEIDNLNPPREKRDPESFCTFKERLCYLQKTEHGRVCFGRSGIHRWGLFARRDIQEGEMVLEYRGEQVRRSVADLREEKYRVQGKDCYLFKISEEVVVDATDKGNVARLINHSCTPNCYARIMSVGHDESRIVLIAKKNVCAGDELTYDYLFDTDEADERKVPCLCQTSNCRKFMN >Sspon.05G0035210-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:69839379:69849935:-1 gene:Sspon.05G0035210-1C transcript:Sspon.05G0035210-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRGSKKAAKRASTEEPCPDGDMISALPDVLLQHVLGFVPAQDAVRTSVLGTRWRHLWRSMHHLRVTVRPLWSAEKQHMFVNRVLLLRDPRSTVDHWELDLRGCKEANRNYTELWIRHALLLQARVLEVRYTTVLESCPLVSRFLEVLRLERVYFESHTALDLSSCFALEDVEFCICEIGIYEIRCPPSVKRLSMVKCRFHDVVNGRHNDNHIRISAPSLTSLKIDGCDGLPPVLESMPSLQAASVSFGCSFEGDRSCSAGPCGKWLCFSCNEDVLRKKDGCVLLGGLSNATSLKYRGSFGMATFQMDLAFCPVFKNLKTFYLENCCLTGDLHALLRFLQLTPNLEKLTIELCEIWEKNAKAIRYVLNLQYKRELVELQAIRHVLNFKLYLVCSSDLEE >Sspon.01G0039480-3D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1D:31367898:31368395:-1 gene:Sspon.01G0039480-3D transcript:Sspon.01G0039480-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding FPGNATTVGLLARAYAAEGRPLDVLGLLRDAARRGSPPSAKAAADLIGAFAADGNFGKVSDTLHLMISTGCTPDKVIYQRVIHGLFRRRMGGEALRVFREIKQRGYQIDGITYCTVIHGLCEMRLIGDAQQMWDEMVDRGIKPNEYAYCSLVTYYCRVGDLEKAHK >Sspon.02G0040550-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:70431513:70435380:-1 gene:Sspon.02G0040550-1B transcript:Sspon.02G0040550-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLALPSPCFHVVMFVPDLLLPNGFDGCGKGCGIVDKKLPDLTSCPFISVPLHHVHVQCNYLMQELCDAANEEVDENERVQIANFLCPVHLAVAGAFHTSFMQPAVSRLESVLATTEIRTPRIPVISNVDAQPHSDPDTIKQILAQQVITQFNHRGCRLSRTLQYVSNSDSASWICVHQRGKKGWIGVPLIWMSVFQIKVTSQSEGNKRNEMKAAGICNQADEAAASQSLEGPHVNANGVEEIPTTCEEAHDATAWLHGDGEWIVLPVPVPPRPIGLVKVCHEFDGGYQLVGTAVGVAHCPGHFCDHLRLAKLDLRAPTPRGRGEKVDYIGRHSSYIYILFVSESVRRAIYYVDNIRGRSTYRVRISTGTAPATSRALLATVVKVGECNGVHDRASVNGDAFQTPGCFCDVHW >Sspon.06G0003450-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:10300966:10305394:-1 gene:Sspon.06G0003450-1A transcript:Sspon.06G0003450-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSIIKPGMLMIDLCETLENMVRKLIKENGLQAGIAFPTGCSLNWVAAHWTPNAGDKTVLQYDDVMKLDFGTHIDGYIVDCAFTVAFNPMYDPLLQATRDATNTGIKEAGIDARLCDVGAAIQEVMESYEVEINGKVFQVKSIRNLNGHSIGPYQIHGGKSVPIVKGGEQTKMEEGEFYAIETFGSTGRGFVQEDLECSHYMKNFDVGHVPLRVAKAKQLLGTINNNFGTLAFCRRYLDRLGETKYLLALKNLCDSGIIQPYPPLCDVKGSYVSQFEHTILLRPTCKEVISRGDDY >Sspon.02G0042310-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:77005396:77006322:1 gene:Sspon.02G0042310-3D transcript:Sspon.02G0042310-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWPTPPFLYAPSPFVAGASAAGAVAMAFLAISEFRGDNLTYSKFWRGRGGQQGQGTQQRGAGALLVSSRLGMLLLYAPALVAALASFAVPGAVVGARAHLLSSAIAVHFLKRVIEVLFVHRYSGSMPLGTSLLISGCYLFNGGAMIYVQHLSRGLPEPAVDLLYPGVLAVAVGLAGNFYHHYLLSRLRAGGDDDKKGYKIPTGGLFGLVTCPHYLFEILAFFGFAMISQTLYALTVAVGTAAYLAGRSFATRKWYDSKFDEFPSRIKALVPYV >Sspon.02G0034640-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:17243144:17249010:-1 gene:Sspon.02G0034640-1T transcript:Sspon.02G0034640-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLASLRSHSALPSPPSLPRRPRHPCAQPVAVRVATPLLRLRRPLPSRPSRSLQSRRERRRGTVRQCSRVDAAGSAPLSKTLVNKPYLPIAAGDLSVESAWLLVILFAASGFSIVISNFGPFITSLYCLGLFLGTIYSVPPFRLKRYPVAAFLIIATVRGFLLNFGVYYATRAALGLTFQWRYQISTLATKLGVRNIAFLGSGLLLANYIAAIVVAFIMPQAFRSTVMVPVHTVLAAGLIFQTWVLEQAKYTKDAISQYYRFIWNLFYAEYIFFPVAFCK >Sspon.05G0007240-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:22702058:22704949:-1 gene:Sspon.05G0007240-1A transcript:Sspon.05G0007240-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGVTVADKAEFKECLRLSWTQPYILQLVLSAGIGGLLFGYDTGVISGALLYIRDDFAAVEKSTVLRETIVSMAVAGAIVGAAFGGWMNDKFGRRPSIIIADALFFAGAVIMAFSPTPNIIIVGRVFVGLGVGMASMTAPLYISEASPARIRGALVSTNGLLITGGQFLAYLINLAFTKVPGTWRWMLGIAGVPALVQFVLMLMLPESPRWLYRKVDSSIFVQKGRKEEAAAILQKIYPANEVEQEIDAMRRSVEEEVLLEGSIGEHGLIGKLRKALGSKVVRRGLLAGVIVQVAQQFVGINTVMYYSPTIVQLAGYASNNTAMALSLITSGLNAIGSIVSMFFVDRAGRRRLMLISLVGIVVWLAVLGGTFLGAAHHAPPVSDVETRLLANQTQTCPEFNPNVRWSCMNCLKAASTCGFCAHQGDKASCCCTFILAESLTTSSELQLLPGACLVLNDASRHACRADHREFYTEGCPNNFGWLALIGLGAYIVSYSPGMGTVPWIVNSEIYPLRFRGICGGIAAVANWVSNLIVTQTFLSLTKALGTSATFFLFCCVSFLALIIVFLTVPETKGLQFEEVERMLERKDYKPWKRYHGGSSIEPAKNSEIGLTTP >Sspon.06G0008960-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:47988880:47993483:-1 gene:Sspon.06G0008960-1A transcript:Sspon.06G0008960-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQRRVEFDLNFRGNPGFDLFGWSESLYEDEEFVQRQLAALVASKVFFYLGELNDALSYALGAGPLFDVSDDSDYAQTLLAKALDEYAAIQSRATGEEKTMDPRLEAIVERMLDKYDSFLMILQCLVKIYQTLPNPDYLSICQCLMFLGEPESVASILDKLISGSNDDALLAYQTAFDLTENENQAFLLNVRNHLDALSSHTSAHVDPDSGPAVPSNQTNAATEPSGDVQMRDDINMPNGSATTGDPNAATHADRLTKIKGILSGETSIQLTLQFLYSHNRSDLQILKTIKQAVEMRNSVCHSATICSNAIMHAGTTVDTFLRENLEWLGRATNWAKFSATAGLGVIHRGHLQQGRALMAPYLPQNGAGGSGSPYSEGGALYALGLIHANHGEGIKDFLRESLRNATSEVVQHGACLGLGLAALGTSDEEICEDIKNILYTDSAVASEAAGIGMGLLMVGTASEKATEMLAYAHDTQHEKIIRGLSLGIALTVYGREEEADTLIEQMTRDQDPILRYGGMYALALAYRGTANNKAIHQLLHFAVSDVSDDVRRTAVLALGFVLYNEPEQTPRIVSLLSESYNPHVRYGAALAVGISCAGTGLSEAISLLEPLTSDVVDFVRQGALIAMAMVMIQTNESYDSRVGAFRRQLEKIILDKHEDTMSKMGAILASGILDAGGRNVTIKLKSRSKHDRLTAVVGLAVFTQFWYWYPLTYFISLAFSPTALIGLNSDLKVPKFEFLSNAKPSLFDYPKPVTQQTATTSVKVPAAILSTYAKSKSRAKKEAESKAKEKAEDSSIASTSMQVDGAAAEKKAPEPEPTFQILMNPARVVPAQEKFIKFLEDSRYKPVKAAPSGFVLLQDLKPTEAEELTLTDAPSTAATTNAPASSASEPAAMAVDDEPQPPPAFEYTE >Sspon.03G0003320-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:24098880:24099080:-1 gene:Sspon.03G0003320-2C transcript:Sspon.03G0003320-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DMAGEMSWVSKKIHLYNVTMGLYMLDWWERCLFSILPPRLDRIPVFFYYCPRCKFRPCFARHRHGLC >Sspon.01G0002890-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:7843119:7844696:1 gene:Sspon.01G0002890-4D transcript:Sspon.01G0002890-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGQLNVLVTLDQAKTQWYHFMTIVIAGMGFFTDAYDLFCIALVTKLLGRIYYSDPTSKDPGSLPPNVSAAVTGVALCGTLAGQLFFGWLGDKLGRKSVYGFTLILMVLCSVASGLSFGSTPKGAIGTLCFFRFWLGFGIGGDYPLSATIMSEYANKKTRGAFIAAVFAMQGFGILFGTIVALVVSAAFRNAFPAPPYFVDAQASLVPEADYVWRIILMFGTIPAALTYYWRMKMPETARYTALIARNAKQATADMAKVLKKEIEEEEEEQVERQVVGADTWGLFSVQFLRRHGLHLLATTSTWFLLDIAFYSQNLFQKDIFTKVGWIPPARTMNAIEEVYRISRAQALIALCGTIPGYWFTVGLIDVVGRFWIQIMGFFMMTVFMLALGVPYEHWTKASNHTGFVVLYGLTFFFANFGPNSTTFIVPAEIFPARLRSTCHGISAAAGKAGAIIGAFGFLYAAQDPKKPDKGYSPGIGIRNALFVLAGTNFLGMLMSLFVPESKGKSLEEISKENVGEEAEAKV >Sspon.04G0006360-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:18850196:18856530:-1 gene:Sspon.04G0006360-4D transcript:Sspon.04G0006360-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIETDGRFGNKRVHNRLGPGSGGAPSSTNGKVCNFWRAGRCNRFPCPFLHSELPEAAPPKRPTGPGGNVWRNPHTGGRGGGGHSRWGKGPGGGSGIASHKPPDRPCKYFLAGTECSYGERCRYPHSFCISDSITMLTPLKGHEKGVTGIALPAGSDKLYSGSKDGTVRMWDCQTGQCAGVIPVGREVGCMIIEGPWLFVGMPDAVKVWNMQTAAEMSLTGPTGQVYALAVASELLFAATQDGRILAWRFSAATNCFEPAASLDGHKLAVVSLIVGGMRLYSASMDKTIRLFMALIFILAQVWAATESGNLEVTYTHKEDQGALALSGMPDAQSKPVLLCSLNDNTVRLYDLPSFNDRGRLFSKQEIRAIQMGPGGLFFTGDGSGELKVWQWVDGAQT >Sspon.07G0012310-2P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7D:52895303:52896116:-1 gene:Sspon.07G0012310-2P transcript:Sspon.07G0012310-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQANKPIDRKTGEEEDDIHNHTQTNMAPSSPALLLPLLLAAAALTLAPRPSWAVDPVSTYCAKNFTGGQTQASISAVLATLVPRASATYYATATSGTGGSTIWGLAQCRGDIPASDCTRCLRQLMARVAEEAGDPASAGLARETAQFGSQGTTIYGLGWCTKDITAADCGLCVAQAVAELPNYCRVLYSSCMARYETYPFFFPVTGADDDADASSSHASEYETVILNHK >Sspon.01G0014950-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:42832578:42834982:-1 gene:Sspon.01G0014950-1A transcript:Sspon.01G0014950-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPGSPASRISAAALMAMLAVLALAAGGSAQLQYGFYKGKCNGSDVEAVVQGIVKAHFARENSIVAYLLRMQFHECAVNSRASDVRLPAPDYTAAQASSRLYGYGGKPGATDPDMDPATASVYKKLVCPNVSSSDGNAVFLDDQWRALKNLYSDGSTRWIVDQLASNAALFQSEVLVKLSEVNVLTGVQGEIRK >Sspon.07G0008950-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:24817441:24819655:1 gene:Sspon.07G0008950-1A transcript:Sspon.07G0008950-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLESLKIQKTANGKLASGGVPCRDEACVHGCVTRSSKRAGSDLQMEDDEISELYLLSRFAPSSSTPRHQLRAAQIAMDQDLSSELDPALLMSTSTSSSSPPGSASPSSSFSHPSPPHYTFAVNNLSCPAPRRRAAANLLPSFLSSSSSASPAPDAAGAAGLLKSVSFTASSSNILAVVGPSGAAAAALRFVTQDDNLLPLLTVRETILFAARFRLRAAATAGERRERVEALMQELGLSEVADSYVGGGDGCGLSAARGVSGGERKRVSIAVDIVHDPPVLLLDEPTSGLDSRSALDVLQLLHDVSRARRQVVVLSIHQPSYRMLHYISSLLLLSRGAVAHFGTLKSLEDALARLGHKIPMQLNPLELAMEVTGQLEEDRSRIAVHGGDHHADDEDEVSRLVISGRRLDVPDQGYCSRFTEVAALTVRCWRTMYRTRELFAARAAQAVVGGLGLGSVYFRLSPDSPDGVALRLGLFAFTLSFLLSSTVEALPILLHERRVLMREASRRAYRLSSYVVANALVFAPCLLAVSLVLFLSAVSPDFVLGNALICVFLGVFFLFSGYFIPKDSIPRYWAFMYYVSMYRYPLDLLLINEYGGSARGRCVAWLGGNNNAMSGGVCLRTGADVLRDRGIDEGMKWVNVGVMLGFFLLYRLMCWAVLVRRASKTT >Sspon.03G0026990-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:8941130:8941801:-1 gene:Sspon.03G0026990-2C transcript:Sspon.03G0026990-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMKRNVDDLNEKVQAIENLGQEAAGLNIKMIESFGGVIEGIPAEACASDIFSWMSDKFATLSDFVLKVGDFAALCGVRNLLSMEEALGCNHLEEFGRKSYKFSSPGELGEPSKLVELVSGRYMSEFWARFGQDHARTLVEARWAEASKAKKLLPKENVAGSSQAAPGAVVPCGDVAQEAVPLKGLGKASMDVVVEKAVTLETKK >Sspon.04G0003060-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:10326543:10329024:1 gene:Sspon.04G0003060-2C transcript:Sspon.04G0003060-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRLPCWTALLLPFLLAGVGTSASAAAASPPHDVCPVPTIVESVLGTPDTCSSLDRRLGDPVGVIEGDEVTLAKAVNLLHANKDDYIAVLFYASWCPFSQECKPNFETLASLFPTIRHFAFEESAIRPSIISRYGIHGINASLKSTAGEAMVHPLDDIDHKKDAEQENCPFWWARSPEKILQQDTYLALATAFVILRLLYRLFPKIDSFVRWAWRRHNLFANLMGAHEYFLTYLEQARQKFHRLYPSSSKRGNLQEGAMNATAWASKSLASVSIGEPSAIGRTNSTITRYASSLRDSAYHRV >Sspon.03G0022210-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:86699565:86701235:1 gene:Sspon.03G0022210-3C transcript:Sspon.03G0022210-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGAVFLALLAAAAAAVFGGVAAAASEGRPTAAVEVDPSWRFPSRRLRDAYVALQTWKRQAIFSDPYNLTADWVGPGVCNYTGVYCAPLPSGSGRDRGALAVAGVDLNHGDIAGFLPPELGLLADLALLHLNSNRFCGVLPHSLRRLRLLHELDLSNNRFVGPFPDVVLDLPALRFLDLRFNDFEGAVPPRLFDRPLDAIFLNHNRLRFQLPDNFGNSPVSVVVLAHNSFGGCLPASVANMSGTLNEILLINNGLTSCFPPEIGLLRELTVLDVSFNQLAGPLPPELALMRKLEQLDVAHNLLTGAIPPGICDLPRLKNFTFAYNFFTGEPPACARVVPRDSDRSNCLPDRPAQRTPQQCAAFYARPPVNCAAFHCKPFVPPMPPPRLPSPPPPMLPSPPPPMLPSPPPPMLPPPPPPRHLHLRHFRLLRPHHHLRRHHRCPHHRHLHCCRHHHHHHRCPHHLHHPRPLPRQSTTRHHSARHAPFCRHRCPALQRIHGLRHHHITPVHCHPHTLSDMHHLLPHSSTIHGHQYTHINMDHRHPLRCIDTKNHKRKEG >Sspon.08G0020240-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8B:19104913:19105110:-1 gene:Sspon.08G0020240-1B transcript:Sspon.08G0020240-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTCPRSAPVTPPSCACVRSGCEPRHAAAVRRVTRQIWSTQQVVPSRRRRASLWLVDRSDPASSKW >Sspon.03G0007600-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:27354393:27355191:-1 gene:Sspon.03G0007600-2B transcript:Sspon.03G0007600-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFALCTCACPAPLLVLCGGLVVPAFPGFWKGKLCVRQAVGGKGLVVHGHGLRHSDDPLPGVTSFEVDLENKKVVVIGDITPYEVLESISKVKFAELWVAPNSKQQAAERL >Sspon.01G0007180-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1A:19416710:19417126:1 gene:Sspon.01G0007180-1A transcript:Sspon.01G0007180-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIFHYAGSRKSTEANGGHSGDRTQHRTQHRTLHRTLHRTRSLCDRRVRSVSSDCAVFRLFHRTRGASGHRPPDASGRPGSLLDSHRTRALWRPVGSPARPVVGLFCATQSSPARPVSHGTGASGGDQRVRSFDRRVR >Sspon.01G0027680-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:95000701:95013756:1 gene:Sspon.01G0027680-3C transcript:Sspon.01G0027680-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEERTDSLYGRLNRSSTRGFLAYVAAGAACAAVLACFVLSAADPHPPASAARNDGARLRLSSRSPRVWPDLAFNWRIVVATVVGFLGSAFGTVGGVGGGGIFVPMLNLVVGFDTKSAAALSKCMIMGASASSVWYNLQVSHPTKEAPVIDYKLALLFQPMLMLGITIGVELSVIFPYWLITVVIIILFMETREREEQSKSTCAAGDVVIDPSYEEPLLTQPQPKKKSALETLLFNLRWKNILVLMSVWSSFLVVQVLKNNAKSCSTFYWVFNVLQVPVAVSVFLWEAVQLCRESRARRMNGNLECVCEASIEWSPAQLIFCAFCGLLGGTVGGLLGSGGGFILGPLLLELGCIPQVASATATFMMMFSSSLSVVELYFLHRFPLPFAGYLIFISILAGFWGQCLVRKIVHVLKRASVIVFILSSVIFASALTM >Sspon.06G0020330-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:8573363:8574373:1 gene:Sspon.06G0020330-3D transcript:Sspon.06G0020330-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGENQEAQTGNVPAASASAPKPSASAAGAGKGLEGQSVVRRLQSELMALMMGGDPGVSAFPDPEGDSMLHWVGTIAGSAGTPYEGTSYRLALVFTAEYPYKPPQVRFDTPCFHPNIDAHGNICLDILQDKWSSAYDVRTVLLSIQSLLGEPNNDSPLNTQAAALWANQEEFRKIVQKLYKPAA >Sspon.07G0000410-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:690040:691374:-1 gene:Sspon.07G0000410-2B transcript:Sspon.07G0000410-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLPVPPLFLVPVLLLGMLASRAPAQQPPATLHEHDAAALRDLRASLRDLPGSRFFDTWDDARSPCAYAGVVCAPDDDNPDSSSTTVLRVSVLTLGTGLADSPGLTGTLPDSLSTLAALTDLVLYPGRVTGPIPSALGAGLRRLRLLSLAGNQLTGAVPASLAGLPDLHTLDLGGNRLDGAVPPGLLLPDSPSLKVLILANNGGISGEIPAGFASSGLFHVDLARNALAGGLPPLPATLRYFSVAGNAMQGTLDGAFGTGGDDGSASPPPLPADLAFLDLSMNNFSGPIPASVFALPGLSSLLLSRNNFTGALSVPPAQQEWAVVDVSHNGISGEVPEALAAAGSLYVNNNRLSGEVPAAVARSVLAGRMTTLYAQHNFLTGFPVPPEAPLPDSAALCLSYNCMDLPSASAADGCPTIGGPLEARPAEQCRSTTTSNGGGGDG >Sspon.01G0040070-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:51189555:51191962:1 gene:Sspon.01G0040070-2D transcript:Sspon.01G0040070-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPNQEIKPWWRRCHQEGDRAAAEAHAAKHPRGGITRSAATARGGAKRDVGDRARPSNDDANNYGGTNIRDVYNFMLDSGMDPVALDIPLGSSLMSNLQAMKYSTSHRGQDSQPDSNTVDGIMNGVIKQKLKIIPKNFTSVCAHLYRITQSDQVYHALVNDFMKPRIDEIDELLSYGINVTVYNGQVFDNISELLNAVSISGCTDFRWDGLKSFLGLPRQPLYCGVSKGTKAFVRSYKNLHFYWILGAGHYVPADQPCIALSMISSITQSPAS >Sspon.05G0014450-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:5A:53605136:53605294:1 gene:Sspon.05G0014450-1A transcript:Sspon.05G0014450-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AWCSGESCLTESPGRGFEAASPQILRGKGLPRFIPSPDPAHVGASDTGSALF >Sspon.05G0009330-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:22165580:22168346:1 gene:Sspon.05G0009330-2B transcript:Sspon.05G0009330-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEIGMPELEFTTRAGKIGQYLPEPPHDYDLNWGKVPQFGDANKLADLRLKDITVANFDLGVRTENPIRSPPKLPFDEKAVVVPKLALPWLGPLDKLFVKTSPRKQCRLRRWRRVRRQSPDNPLQLNINFLVLATGYSLGVIGLKTVGNCNRKRVWVKDFGFAGKGRKAHRRAIRDRRHASFAESYLIASDAGDAFSNHRHRTMSMNYDGDRSEDRQSEEVQSAYKRDSDIGEEMIISSEEQNDENEWSWVNKDRNGDPLAESVSSLHTTQQVLENEIQKLSELAKELEAEESTSGNKDQDVIVLPYAEVDMLELDEKMEHLEQKVKEASNTIREKDLRLSKLQVLIGTADRAKPEEEAAASIDQLETELEHHLQEKLEAEIQCLVMLKARQNWQVRAEDRMALEEHRALSGGDKTRMLLKLRETESKIVMLKEQVDKLEVREKELYRTTEVLKMQSRTFKVSLFGLLQLVMMCLSLKMFFARVSAPFDEVVPT >Sspon.06G0010780-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:46042258:46043831:-1 gene:Sspon.06G0010780-2B transcript:Sspon.06G0010780-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Secretory carrier-associated membrane protein 4 [Source:Projected from Arabidopsis thaliana (AT1G32050) UniProtKB/Swiss-Prot;Acc:Q9C6X2] KAAATTTTASVPSRKSWLPAGFGGSGKHGATIDIPLGDPKKKERELLSWEQDLKRREQCVQFRQSTEDSFVCAAGVTVEVRNWPQFYPIIHHDIASEIPIHAQKLQYTAFASWLGLIACLLWNLFAVLVESIHTDGDQCFNVQSILFDIVIFLLAVIYAISGCPLSYILWYRPLYRAMRTDSVVTFGQFFVFYSVHVGFCVIAAIAPPIIFRGKTLTGVLVAIEVLDGDIFAG >Sspon.03G0008780-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:23540662:23545098:-1 gene:Sspon.03G0008780-1A transcript:Sspon.03G0008780-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARYSPPSLRRFFRCAASSASRAGGSGTRKKNLVFLGSPQVAASVLDKLLGASGSPESAFKVAAVVTQPPAAKNRGRKLMPSAVAQLALDRGFPEELIFTPERAREESFLSALKEMEPDVCITAAYGNILPQKFLDIPSCGTVNIHPSLLPLYRGAAPVQRALQDGVAETGVSLAYTVRALDAGPVIACERFSVDECIKAPELLSMLFDLGSKLLINELPSILDGSAKEKAQPQDDSKATLAPKLNSEESWLSFDQEAKVLHNKVSVGASTPWKESNKGTRFLEWFAWSEADEIALGSLHSQHSSVSICTARVIDVSHPANICRGALEAYMMRSQFY >Sspon.03G0007070-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:8326926:8329835:-1 gene:Sspon.03G0007070-4D transcript:Sspon.03G0007070-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding FRPTGIRPSNKGSRAPAAAALTRTRRTHGTSLVGRPPPPRHAATGRRTARAVVTSFSPSLSLQGGSNRAAAGCHVSLLPPSPALPQSLWSPDSDYQNLKMNKGKIFKLAKGFRGRAKNCIRIARERVEKALQYSYRDRRNKKRDMRSLWIERINAGTRLHGVNYGNFMHGLMKENIQLNRKVLSELSMHEPYSFKALVDASRNAFPGNRPVPAKEGLASIL >Sspon.01G0040660-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1B:42367900:42368586:1 gene:Sspon.01G0040660-1B transcript:Sspon.01G0040660-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMYALLRSSKEPPTEDQIEDCLAGNLCRCTGYRPIIDAFRLFAKTDNLVYTNSASENANGQAICPSTGKPCSCRNETDVNVNEPSLSLSVERYSPCSYNEIDGSAYNEKELIFPPELQLRKVMPLKLNGFNEIRWYRPLKLKQLLHLKSCFLDAKLIIGNSEVGVETKFKNAEYKVMISVTHIPELNTLKVKEDGIHIGSAVRLAQLQNFLKKVIAEHDLQLHRARQ >Sspon.01G0042000-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:61778466:61784496:1 gene:Sspon.01G0042000-1B transcript:Sspon.01G0042000-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARHLAPSSGSLHRLLASRHYPPTSQAPPPRPLLLPKTRSAAMQLPRRGRRDVVAATAASAPSPSSPGTEVAEGAAWGKVSAVLFDMDGVLCNSEEPSRQAGVDVFAEMGVEVTVDDFVPFMGTGEANFLGGVARAKGVKDFNPESAKKRFFEIYLDKYAKPNSGIGFPGALELIMECKNSGLKVAVASSADRIKVDANLAAAGLPVSLFDAIVSADAFENLKPAPDIFLAASKNLGVDTNECLVIEDALAGVQAAKAAEMRCIAVTTTLEEVALQQGSPSLIRKNIGDISINDILYGGSNARHSM >Sspon.04G0008650-3P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:26114130:26117670:1 gene:Sspon.04G0008650-3P transcript:Sspon.04G0008650-3P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LPPRTASTTRDRLATPILPVRLLVSSSTAACRSAAPELRVAMDYSGTKEGAWWSRETVAVVTGANRGIGHALAARLAEHGLTVVLTARDGERGAAAAAPLLARGLAVVFRRLDVSDPASVAEFAAWIRDAVGGLDILVNNAAVSFNEIDTNSVEHAETVLRTNFYGAKMLTEALLPLFRQSSATSRILNISSQLGLLNKVGDPSLKALLLDEDRLTEAGIEAMASRFLAQVKDGTWGEQGWPKVWTDYSVSKLALNAYSRLLARRLKARGARVSVNCFCPGFTRTDMTKGWGKRTAEEVADVGARLALLPPAELPTGTFFKWCTPQLYSK >Sspon.03G0017610-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:79101053:79107011:1 gene:Sspon.03G0017610-2B transcript:Sspon.03G0017610-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPASHRRRYRLLNWPLLFVAILAVHSLAVYLFTRGFLLTRTELDLHSSRDDLSPQGDVSSGCASWPPASVDRLVIVVLDALRCHLMRLNNLLPRRFDFVAPSTFFSEKQPWMDKLQVLQKLAADEKTSARIFKALADPPTTSLQRLKALTTGGLPTFIDVGNSFGAPAIVEDNIMHQLAKNGKRVVMMGDDTWIQLYPEHFNKSFPYPSFNVKDLDTVDNGVIEHLLPSLHKNDWDVLIAHFLGVDHAGHIFGVDSTPMIQKLEQYNQILEGVIDTLRSLSKPGGTHENTLLLVMGDHGQTLNGDHGGGTAEEVETSLFAWSPRTPPDAVLSVLDDSSCNVDLLDFAVTISALLGIPFPFGSIGRVNPELYALSTGTWVNQRMGTNACTSQDDLEAWMGRYAEVLCVNCWQVKRYIDQYSATSVIGFPLEDLQHITDLYSRAQENWSASLITTCSSETGSQEKVEGKGSVLLQQIDAYNDFLQSFAKLARSAWTEFDLWSMGVGLLLMILSVIIQASTLVNMNTISQSSDQRSSGSSIIPRFSLAFALVMIRAASFLSNSYILAEGRVANFLLATSCITSVWHSVLKGNISIENLVFLLLNIFTRFGIEVGMSKQLPAPTITKDHPVSVIYKILGVNSCNILLELFPIISLAFVAYIMLKCLSRAICQRFLKYFLLCGTMVSYLSIAFHWASETTLFSHAGTVQEFGRSLAPRIVYAIGGLSLVISAFSRIFGPTVHLKMNKRIIILSAIMLCSWSPTILILLGRQGPFVALICMTGAWCIVKLQQKHQRESELSFADPVSVIQWSLLAVCLFYLTGHWCTFDGLRYGAAFIGFDHFHIIRQGLLLSIDTFGVSHILPILSLPFIAMVWYNTTSKDNELKDVILNNTNKVLLMYGLITAITATLTIICVAIQRRHLMVWGLFAPKYVFDAIGLLLTD >Sspon.02G0036540-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:21814818:21818800:1 gene:Sspon.02G0036540-1P transcript:Sspon.02G0036540-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRHRNPLPPSYPGGGNHPPPLLHHPLHPHHPLDDFRDPPRLPPHHHLDDFRDPYRLPPGHLDSFQEPPPPHLRHFVGHGHGGAGGPLPPQPHVVAALEERLGTEIDEVHALLAQNQRLAATHVALVQEVAAARHELGRTAHALTSAQEESDLRLREVYERSMKMEAELRAVHDMRAELAQVRMDIQNLGTVRQELMGQVQGLTQDLARSAEDLQKVSALKAEIQEIKHETQQLRSGIELEKKGYAESYEQGQEMQKKLISVASEVEKLRAEVANAEKRSWAVVSAGNQGYIGSYGNPKANYAANPFNTGYGINQANAATDSGSQYGTSAAHGSWGAYDLQRASGRR >Sspon.02G0005810-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:11814642:11819975:-1 gene:Sspon.02G0005810-3D transcript:Sspon.02G0005810-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVGPFRYDVLTLSLHWETSPPTRDQNGAYTQTFKSHCFLHKTSSPLVLLPSASAFSSHPTPALPAAAPRTPSMANLPRLPCPIPVLALVCVLLLHAAPRPALCSRAVPRDGVSFAGAGNTIHQLLKDHGLPGGLLPRGVESYTLDESTGLLEARLSAPCYATYDNGDLAYFDNVVRGNLSKGALRGVEGLSQEELFVWLPVKGILVQDQEPGVILFDIGLAHKSLSRSLFEDPPDCKPSAAAGMSAAAAAAARWKDRRGVTGLRLRREVAADTEEHQEQR >Sspon.01G0007980-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:22225273:22226935:-1 gene:Sspon.01G0007980-1A transcript:Sspon.01G0007980-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAASEGNLSPALPLATLIGRELRGDGTEHPHVRYGHSGFAKRGEDYFLVKPDCLRVPGDPCSSFSVFAVFDGHNGVSAAVFSKEKLLEHVMSAVPQGISREDWLQALPRALVAGFVKTDIDFQRKGEMTPTHRHLVILGILAMPLGIWANLAEKMLTLGTGAGETSGTTATLVVVDGFTVTVASVGDSRCILDTHGGLVSLLTVDHRLEENAEERERVTASGGEVSRLNLCGGQEVGPLRCWPGGLCLSRSIGDTDVGEFIVPIPHVKQVKLPNTGGRLIIASDGIWDALSSEIAAQACRGLPAELAAKLVVKQALKTSGLKDDTTCVVVDIIPSDHCSTPTALSPKKNQNKLRSLIFGRRSHSSVGKLSKSASLGSVEEIFEEGSAMLEERH >Sspon.05G0008640-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:25878907:25883099:-1 gene:Sspon.05G0008640-1T transcript:Sspon.05G0008640-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASTSPLLLLALLLLPALTAAASLPALPLSTASRWIVGADGRRVKLACANWASHLEPAAAEGLARRGVSDIAARVAAMGFNCIRLTWPTYLATNATLASLPLRWSLERLGMLESVAGVRVNNPALLDLPLVDVFREVVSSLASKGIMVILDNQMTTPGWCCSRTDGNGFFGDVYFDPDEWLKGLSAMATMFNNTKYVVGMSLRNELRGPKQNVSLWYRYMQMGAEAVHAANPNVLVILSGLDFDNTLSFLFKEKVHLSFSGKLVYEQHWYGFSDGGNWETQNQNDACGMVVDFIWAKGLFLLQQGWPLFFSEFGFDMSGTHIGDNRYLTCFLSVAAEMDLDWSIWALQGSYYVREGILAYDESYGLLSWDWCTVRNPSFIKRINSLQSPFQGPGLPNSQEPYNIIFHPQSGLCVLARSSKLLELGPCDESNAWNYTSAYELVVKSTGQCLQAKSVGNNAKLGTDCSKASSKWHRISNSKMHVSAELTKNGTRVCLDTSPGGAIITNQCKCLSVDPACDPESQWFKVILSSRDIPGGDPILQLPSLGPWPPTSLSS >Sspon.01G0025050-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1A:88876837:88879332:-1 gene:Sspon.01G0025050-1A transcript:Sspon.01G0025050-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGPARVESCSTMTRFAELADKRSASSHAEVLQQELLSKLTVRFLIRSRCRALWSGGLLSMTTKRTKIKVLISTWAELPDEIMMEFLLRLPVKSTLCFRAVVPRLGRDPFPQMNYAPSTWRGQRQAQLRLGPSCWPSWPRPWRRRGS >Sspon.02G0007690-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:21989309:21992214:1 gene:Sspon.02G0007690-2B transcript:Sspon.02G0007690-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VMNTAKGPITIEIYKDASAGVVDRFIDFKSNHFKGMPFRHIIKNFVIQGGDFDFDGAAQEWITKAKASGKNDLSPKHEAFMIGTAKNPNNKGFDLFITTAPIPDLNDKLVVFGRVIKGEDIVQEIEEVDTDEHYQPKAAIGIINIMLKQEP >Sspon.05G0000810-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:3033835:3037084:1 gene:Sspon.05G0000810-1P transcript:Sspon.05G0000810-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLFDRLLLGGDRSRGKEDQERGGGAETAAMGSDVPPPSAIPPLSAAAASVVCRCARIAGVPVDQLLRRLDAEEQAGGPLEYARSVVEYCSHVALRVEARRPDHLGDREFHTLTYDMMLAWEAPDEETDAMFQKTAFSVLRDDADDDDGASIFYSSPTQMAIQVDGRRTVGPEAFAKIAPACPAMAHPITVRNLFDALTNSTGGRLHFLIYHKYLRSLDEYVHIRIDPSSPCSHCWKLSHSSELSASLTVCLAFCSAKRMLGGHKAPALQLSDDEVILDIHGAATTKPVLQHIGTSTWPGRLTLTNHALYFEAIGVDFSYGEAVVYDLARDLKQSVKRESTGPWGAHLFDKAVMYKSSLTSEPVFFEFPQFKGHTRRDYWFATIKEVLHAHKFIRKYRLASFQKAEALSVATLGILRYRTVKVGFHILPAHFKTILAFNLAEKLPKGDKILEALYGQLKQHCPRFRGSQDFGQSSSDELMLADPFPLSAYTMVTMGLLKLKEEDNAEERDFTVRDVQIGGTSSVQMALERSVGYSGRVEAARATLDQVKVEDIDTNVAVLKELLFPLIEIGKRLLTLAGWEEPFKSFVFLLCFLYMAYSGWIWFMFPGFLLGSTLFMLWNKQCGNGCSVGAFEIITPPRRRTVEQLLALQQAISQLEAHVQAGNIFLLKLRSLMLAAFPQSTNRVAAALVVVATVFTFVSLRTIVLFILLEAYTRQMPLRKKSSEKLVRRLREWWLRIPAAPVQLLKPRDTRRWRSRLR >Sspon.02G0015600-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:40881300:40886901:-1 gene:Sspon.02G0015600-2B transcript:Sspon.02G0015600-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LTRRWRHRIVNSPSPARIRVVPHLSQRRALHPSPCAPSFRLLGAAGLTLCRASTGALRRGQTLAAQPWCRTRERLSLSSSAPPLSSPFIRAPASCYKWVQTSSCRPRAAVVVGDIYSSFQVAASGRSPTVSAAPPLLISYLRDSYPSNLTSCSRGGGSEAAGREVQDDEQQQRRRRRRPWRGHGSGSGWRGGRAARRRGCAHRVPLIPHGLHPHGMVPPVPSCLLYFSVSGLALMLGSVVRKIPDELVEHYLGRSGFHCPDLRLTRLVAVATQKFLSDIASDSLHRHCKARVAAPIKDNKSKQPKDRRLVLTMDDLSKALREHGVNLKHPEYFADSPSAGMAPTTREE >Sspon.05G0006230-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5A:20005599:20006977:1 gene:Sspon.05G0006230-1A transcript:Sspon.05G0006230-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVLCSPFAGGDLPAGDPDPDYLYFLEHIRLDGDSYTLELPAHGDSPASLLKYEAPLVSSSDGECVSDPSPGRLSSNRRAVEERESSESASLETAPAWYDSLDDVDEDYRLFLQHTSLVDGQLVLEIGGVVVNYDELVAAGSRGEKGTQRAEEAAFASPGEGVGVAAGSDEVDSGVPATVVPEQNASDWRADPSPRREVNDGGDEGLSDANTLKGAYREASSSDGRRAGHPTNSGGKVEKEGIIWPTHITRRPDSDFKRRLIKALTKPVAPKEYYRLFETVTIRTPLMKLRQVRNETKSYPAEEMGKSYLEHYPGTVLQRLLTSCL >Sspon.04G0012510-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:43933534:43936685:-1 gene:Sspon.04G0012510-3C transcript:Sspon.04G0012510-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADREHRTVFVTVGTTYFDALIMAVDSPEVKKALLQKGYSNLVIQMGRGTYVPSKVSGDATLQVDHFTFSPSIAYNMGTASLVISHAVSQRISSGRFRKHI >Sspon.01G0036130-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:17191116:17194507:1 gene:Sspon.01G0036130-1B transcript:Sspon.01G0036130-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRMKMEGMSKGMRERMAEYSRRLEAVASGDNTGCQSRKCGNRHSRKASASSQRSWSGGSTASNGNAPSTLDAGPHGRSRQNVVPEKRQQQHTIMAEECTLVGSSSCCDCREIVWKIMEQVKAESDQWTEMQDMLEQVRMEMQELQSSRDTWQHRAMASDISLRSLNSQILEWKNRAQASEQRVEELHRKISELESKLHTFKAHLPTPAAIPIPNQNQWSDACKMENPRAKPHHQRSQESGKEEIKKHVLICRVKHSPSSVLPKRSPFQEIGNISLPRHR >Sspon.07G0000860-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:6964414:6968638:-1 gene:Sspon.07G0000860-2C transcript:Sspon.07G0000860-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLAELGGSIARALARMSNATVVDEKVLADCLNEICRALLQADVRFETVRDVKANIKRIVNLDALAAGTNKRRIIQQAVVDEIRRMLDPGKPSFTPSKGKPNVVMFVGLQGSGKTTTCTKYADYHRRKGFNPALVCADTFRAGAYDQLKQNATKAKIPFYGSYTESDPVKIAVEGVDMFRKEKCDLIIVDTSGRHKQEAALFEEMRQVSEATKPDLVIFVMDGSIGQAAFDQAQAFKQSASVGAVIVTKMDGHAKGGGALSAVAATKSPVIFIGTGEHIPDFEVFEVNPFVSRLLGMGDLSGLVNKIHEVIIPNNQPPKIVPQLVEGTFTLRLLYEMFQSLQSMGPLGQVVSMIPGFSAQFIEKGKEKEGQAKIKRYMTMMDSMTDAELDGTNPKLMNQSRINRIARGSGRLVEEVVHMLEEYKRIAKMWKKLPLPTNNRRLNTNRDIRPIANAIPPNMLNQLGGLVGLQRMMKQMGAQRTFRICY >Sspon.03G0006690-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:23216073:23219673:1 gene:Sspon.03G0006690-4D transcript:Sspon.03G0006690-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotidylyl transferase superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G25840) UniProtKB/TrEMBL;Acc:F4ISP4] KRVVSGVQPTGLVHLGNYLGAIKNWIALQDLYETFFFIVDLHAITLPYEAPLLSKATRSTAAIYLACGVDSSKASIFVQSHVRAHVELMWLLSSSTPIGWLNRMIQFKEKSRKAGDENVGVALLTYPVLMASDILLYQSDLVPVGEDQTQHLELTREIAERVNNLYGGRKWKKLGGLEYLLVPEALIPPAGARVMSLTDGLSKMSKSASSDQSRINLLDPKDYGVRQPERPECRNLLSIYQIITGKTKEEVVSECQHMNWGTFKTTLTEALIDHLQPIQVRYEEIMSDPAYLDNVLLEGAGKAAEIADITLNNVYQA >Sspon.06G0014450-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6A:79555274:79562313:1 gene:Sspon.06G0014450-1A transcript:Sspon.06G0014450-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPASPREHVERIRRERYFIGRGEQNPLAEDMHQAVNYLSQELYSKDVHFLMELVQAFFFLRCTTTSPPSRHHSICSYLTFIFHRLHILTHGRANHSRLGKAHLDPDRLHQRPPGLGRRAAAGSFSGSRGSGHGENHGDRPPRFQKLDFPKYDGKSDPLAFINCCELMACKRIGCVTEFQDRFEALLPRMGTLMEAQWVQAFTAGLQPPLSLDVEIHNPQLLIVAMSLARKLEQREQYIVAAAPPAAPPKALMRGPLPGPPPRLALPAPLAGAGLSTVFVEGRQRIFLLDLAEDDEADDAQEAELVEATEAVNPHISLNAGIRTSETMQVRLQLGGASLLALLDSGSTHNFISEEAAARTSLQHMSKGNMKVTVSNGERMPCPGVYGAMAFSISGEGFTTDFFALPLAGYDIVLCTQWLASLGLILWDFGALTALIPGAVTTKDHSINLVLGSAPVAVRPYWYPTAHKDELERQCATMLEQGLIQRSSSAFSSSVLPVKKADGSWHFCIDYHAFNAITIKDAYPILVVDELLDELHGARFFSKLDLRSGYRQVCMNAADVEKTVFRTHDGLYEFLVMPFGLNNAPATFQALMNDVLHPFLCRFVLVFFDDIFIYNSSWAEHLQHVRAVLTVLHQHRLFVKRSKCMFGVTSIAYLGHVISTAGVAMDPDKTAVTTAPVLALPDFAEPFIVECEASTYGFGAVLLQGQHPVAFFSRPVAPRHQSLAAYEREFIGFVLAIRHWRPYLGGRRFLVRTDHYNLKFLLDQRLATIPQHYWVGKLLAFDFSVEYKATDQDPTLVAIKTEIASNQRAAPWSLVDGLVAFQGRLYIPPGAPYSRKSSLQCMMTAMKASSVPCTAYAATSILPTCAGRSRIMSGHAPHANATSLSTCIQQACSFPFWYRHRFGSTLGWTSSRRSPESVANQSSSPLSTGSSDGQTEAANKVIVMYLRCLTGDHPRQWLRWLPWAEFIYNTAFQSALKTMPFQIVYGREPPSICSYEPGETRVAVVAKAMAERDELLADARARLEQAQAVYKRFYDKHHCNVCYAVGDWVWLRLRHRAPASLHVVTKGKLRPPFLRAVPGRCYHQQRRLPPRTSSPRPTA >Sspon.08G0002450-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:34809335:34816458:-1 gene:Sspon.08G0002450-1P transcript:Sspon.08G0002450-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFTEKGSPVTGGGFSENGKFSYGYASSRGKRSSMEDFHETRVDGVDGETVGLFGVFDGHGGARAAEFVKQNLFTNLIKHPKFFSDTKSAIAETYTHTDSELLKADTSHHRDAGSTASTAILVGDRLLVANVGDSRAVICRGGDAVAVSRDHKPDQTDERQRIEEAGGFVMWAGTWRVGGVLAVSRAFGDKLLEQYVVADPEIKEEVVDSSLQFLILASDGLWDVVTNEEAVAMVKPILDSEQAAKTLLAEASQRGSADNITCVVVRFLEQHNGLGRATNEQAS >Sspon.01G0000580-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:2443598:2444416:1 gene:Sspon.01G0000580-4D transcript:Sspon.01G0000580-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEANVHGTSGQPSTAPDASPESAVPSSSDQGSQSCQAQRQRQVHQLDETQEPDSSYRASEIAGRFNDAVDPKAKASIDTAAPIDSVKGAVSKFGVGGVDWKEKRKQVQDELDQVQEESRSTRREHMRRRPAERKLCGSWEPP >Sspon.04G0011930-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:32839412:32843310:-1 gene:Sspon.04G0011930-2B transcript:Sspon.04G0011930-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKPMEGDPPAPNHLVSLLFHISPHPSSPSRSTRPQPTFPSHHLSPLSHFLLDRHSCSAALSTHHMRRRGRLPAALWLIAVMLAALVLLVARPAGTVWCIARSGASDKALQSALDFACGPAGGADCAPIQSSSLIVPINDGGALNLRTVWRSMEVQSTACVAGQKTACVTEKKDCDVRAEMGHAKVVASGQEDVVAAMAMKTTAATETPGEALFGDAVDVPMLLCGGMHSDVVVVEDGSMACRWKAKTSVGVVSSLRRLTPRQVWVTRCVSSPTWGPNWVLAPPCSWLRLRKMRVKKLCVTLPAKGRLSLVGVGDPPAPDRLVSLLFHLSPHPSSPSRSTTHIPFPPPFPSLSLFLLDRRSCSVDPHHMRRRGRLPAALWLVAVTLAALVLLVARPAGAAWCIARSGASDKALQSALDYACGPAGGADCAPIQSSGLCYLPNTLAAHASYAFNSIFQRSRAAPGACDFAGTATVTLTDPSQYA >Sspon.07G0014370-3C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7C:57840283:57845506:-1 gene:Sspon.07G0014370-3C transcript:Sspon.07G0014370-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative serine/threonine-protein kinase-like protein CCR3 [Source:Projected from Arabidopsis thaliana (AT3G55950) UniProtKB/Swiss-Prot;Acc:Q9LY50] MTPRPPHLLILPLALLLLAIALPAASAASTLAVSGSSTSPTVCGVDADNGTVYCAPLPGSSNSNSSSNASASSNPVAQSIIAFAEVSAGRGFVCGLQAGGAALFCWPSTPAPQWGQLRRLYNGPDPLADLAVGGDHVAAYEANASASGTGRILWWRGGDRFLAQADGGFRALVSGDGFTCAVETNASAAVRCWGPQGSAVQAGLANATNATYLAAGGARACTVLASGAALCSGSSDVAAAANASAAGALPSDLFAYGLAVGDSHACALRRPNHTAVCWSLGGPTTTLYEPALGISFQFLVAGGNFTCGVAYSDYGVYCWSAGADAALVPLPRIRPGVCVSNVSACGCGFMPGSQQFCGGSSLICKALCEDSTPAPPPASPPAPSPSSSRRVSKAWIAFCVVGAVGGFAGLCSIVYCLVFGFCSNKRVHNSVQPNLTATAAGAGGADNNGGAGGAAGGSPYGSPNGSRARSLFRRQLSRVMTRQRSGPSSFKDPAEEFTFAQLEAATKGFALEAKIGEGSFGTVYRGKLPDGREVAIKRACESGPRARRFQEKESAFRSELAFLSRLHHKHLVGLVGYCEENEERLLVYEYMKNGALYDHLHPKPGAAPSPVASSWKLRIKILLDASRGIEYLHSYAVPPIIHRDIKSSNILLDGGWTARVSDFGLSLMGPLETSSEDDDAAGATTATARSRSRSRSQSQHLTVMKAAGTVGYMDPEYYGLHHLTVKSDVYGFGVVMLESLTGRRAIFKEAEGGSPVSVVDYAVPSIVGGELAKVLDPRAPEPAAHEAEAVELVAYTAVHCVRLEGKDRPAMADIVANLETAFALCEGSAGGGDRDRGGGGGFGNSSSSASLPSVTSMDRSGRWSEAAGPVHKVPPGGLTGTGREADGKTRGPRSSGWLFGGRRTTVTLAAVPVLALQTGTNYEWDLIKGRRRAPTNRVQDASGAGCGQPARHRHVRFVRLQVLQAPLLLAQLIALVQWAWQEDSQAPQEPATYIHNRKAPRERAARAPAPPYWHDLQLIVTPLLPRQGALRLLPCLYALYLLDSVRFLVSLLCRADDDRYAACTNLPLLGLSLAVAVAVRPADMFFAGRAMQARGQARAACIPIHGRHPDPMLEKAGRVQVAGGRWRRLAQVQPTNKTSARSPSSPTPPFTSVDDGPVAGSVTIHRSFACSASV >Sspon.03G0019380-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3B:82587317:82599641:-1 gene:Sspon.03G0019380-2B transcript:Sspon.03G0019380-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGERRLPAGACLLAVAMVLQAAAVVLVLGEESDGAGGLLDPRQLEKFVDELPDMPRLRGYGVTEGGALVAGNLTIGMYDTTWKFHRDLPATRVFAYGTSKETATVPGPTIVAMRGVPTHVTWANHLPARHFLPWDPTLTTAAAPGGRGIPTVVHLHGGVQHSSSDGHSLAWFTSGLAATGPSFSPPPYAYPNRQPPGNLWYHDHAMGLTRVNILAGLMGAYRVASPAEEAPLNLPSGEAFDRNLVLFDRDFCAADGALFMNRTGNNPGVHPQWQPEYFGAVVVVNGKAWPYLRVRRRRYRFRILNASNARFFRLSLSGGLRFVHVASDSVYLARPVATDKFLLAPSEIADVVVDFAESAADADAVVLSDDAPAPYPGDPGEKAETVAVMKFVIEGGATTEPDTSTVPATLMPHYPRPDAREAATTRLITMYEYTKAGTDEPTHLYLNARSYMDPVTETPREGTSEVWEVINLTDDNHPLHVHLAVFAVLEQRSLRRVDEFRDCMKRRNDARACGLARHLAGGRRHVVPRQERGWKNVFKVRPSAVTRILVRFKPLTDAASPESRFPFDVTTGPGYVYHCHILDHEDNEMMRPMKIVRGVTVWARSFGFWSSGVTEATRWKCTGKMCSLVWTRAQFWLQASQRGGRRQKHDGLTPHALRAGSSASAAAILCSVQLNKRDKLERPEFTGFVNSSTLSPAAPRSTHTMTLRYTKARGTGAAQNHLPFLVPPAAAAARRSHSAKEIMQRDYDRRNLQQEKEKHLMLMEPQNIGNTDDLFVWPWMGVLVNVPTEWKNGRQVGESGNRLKEQLARFCPQKVIPLWNYRGHTGNAIVEFAKDWTGFKNALAFENHFEAEGYGKRDWKLKYRGSGMFGWVAKADDHRCQGPIGDYLQKNGDLKTVGDLESEGTRKTDTLVATLASQIEVKNRHVQELENKCNETTASLDRMMEQRELILQKYNEEIRKMQQIARRHSQKIIDDNKKVRKELESRMQELDSRSKELDDIALQRDYDRRNLQQEKEKGGPIVDCRGKKSCTAHPPSRFEPPCPRTSCSASSRLVGLTVVMAGGGFEWLVVLWTSCAIVVCHRVARGCFLVLRPCVALLHLHVGDQSLHRGGHGHGRPPPSPVAWFRMLDRELHWSFIFGVVATYGISQGLGGGIMRVTSDHHWKDVQRVQPSVAQVYQGVTSIPWMVKPLWGLLMYRQETKMENSRQ >Sspon.02G0021360-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2A:71791345:71791878:-1 gene:Sspon.02G0021360-1A transcript:Sspon.02G0021360-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASSSLLLLLLPLLLCSHLSLASAEEAPPPPAAAAAELLGEARAPGFAAWLRGVRRRIHQRPELAFQEHRTSELVRAELDAIGVPYRWPVAQTGVVATIVGAAAGPVVALPVQVRARLLFVPLQIFQRCRQNLARHVWLFPQFAQSHVGFEIDAQRGLFNSSIKLIPAFFVFGWL >Sspon.04G0017000-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:61960237:61964535:-1 gene:Sspon.04G0017000-1A transcript:Sspon.04G0017000-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MWKGKKSGPLAPRGRKREFPIRAADYELLEPIGDGATAVVRRARCLPLGGEVVAVKIMNMAHRTESDVNNASEEVKTMIMIDHPNLLSAYCSFTEGEALWIVMPYMAGGSCYHLMKSSYPKGFDDENFIAFVLRETLKGLEYLHENGHIHRDVKAGNILLDQDKGVKLSDFGVTASLYDSIINRHGKRKTLVGTPCWMAPEVMEQKDYDFKADIWSFGITALELAIGHAPFSSQPPAKVFLMTLQHAPPSLHNTKEKKFTNSFKSMIATCLIKDPTKRPPAKKLLKHPFFKRARSDHNAVKCMLNKLPSLAERMQFIKENEAKLQADKKPLDNCKEKASQEEYRRGVSEWNFDIADLKAQAALYPDENEAEDFLRFLFELDIVDETIQLKDIRAQSHSINDDRMNVGDDGSGKSNSTSPMSLSQSVKQLDKGSPNGLVRISTGKDVDEYLEKTAFQKGRFKVIHDYSKIEGATPREKELLERISSLEQMLLATQDEVERLKAKESKGTEG >Sspon.02G0051580-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:80222969:80226751:1 gene:Sspon.02G0051580-1C transcript:Sspon.02G0051580-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SGMNGVVSVFPSRTLKPLTTRSWDFLGFPQTPKEELPLEGDIIVGMLDTGVWPDSPSFSDEGFGPPPSRWKGTCQNFTCNNKIIGARAYSDQSPLDDVGHGSHTASTVAGRAVANVSFDGLAAGTARGAVPGARLAIYKVCQEDGCDGADILAGFDDAIADGVDVISFSIGSSFPYDYFTDPQAIGSFHAMRRGVLTSASAGNSGLDGGKVCNVAPWMLSVAASSIDRQFIDRIVLGNGDTIVGASVNTFPTITNATLAFPANGSCDPEDLVGGPYKGKIVLCPPQKGGRLTSGSVGALLAGAAGVVLVTRAPDVAFTLPLPGLTVTQDKFDQIMAYVNSTSNPVGTIDRTVITGNPQAPVAASFSSPGPNLITPSILKPDLSAPGVDIIASWSPLSSPSGNPNDTRKVQYNIISGTSMACPHASGAAAYVKSLHRDWSPAMIMSALITTATPMNTPGNSNTTALNYGAGQLNPAKAHDPGLVYDALEGDYVAMLCAQNYTAEQLALITGSNTTACANNGSTSGTPSDLNYPTMAARVEPGKNFTVVFSRTATNVGAANAVYDVKVVFEEVNDLTVDVSPSRLVFSAQGQKFSFAVTVSGVAMEEGTVHSAAVVWYNNEHE >Sspon.06G0004680-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:12497607:12499558:-1 gene:Sspon.06G0004680-2D transcript:Sspon.06G0004680-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTTTTVTEEPNSADDASPPTPTSPPPKKVMYELAARNIYYAKPAAAPRSLVAQLLKPCGAAAAPPDYILRDVSLTARAGEILAVVGPSGAGKSTLLDILATRTAPTHGRLLLNAAPLRSTSSFRRLSAHVPQEDVALDLLTVSETFAFAASLLRPESRAAASAAVAELLADLRLAHVAHTRVSPARLSGGERRRVSIGLVLLRDPGTPPRRMWSSVVSAPFAAARGTTVVLSIHQPSARLLSAVDCLLLLSRGTVLHHGSLASLDAALLSHGLFALEVLDQLPHPNPSTAEPKQPTTQELNSPSSSHHKMATATSSSTGSPSSRLHELVVLYKRAWKVVYRSKQLLLTNFLEAVLVGTLLGTIYIHAGYGEAGAHKRLGLFAFTLTFLLTCTTETLPTFVTERPIVLAETAAGLYRLSSHVAAATLVFLPYLLAVALLYSVCVYFLVGLCSSAGAFAAFVLVVWAVVLTANSFVLFVSSFAPDYIAGMSLVSVSLAGFFLFSGYFLSRGSMPSYWVFMHYASPYKYALDALLANEYTCAANRCFGVGGGGADGSECSETGRDVLVEKGLTAEERWTGVQVLFGFFLLYRVLYWVVLSRRAGRQTSRLRLPDRTCV >Sspon.02G0035600-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:15113136:15115885:-1 gene:Sspon.02G0035600-3D transcript:Sspon.02G0035600-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MCTDDVQPSPIKQEELGKQLEEALFCDSKNRLVICVTGEGGVGKATLVRELYERPTTKSKQFECQAWVSFPPYLSSSSILQLIHQELEETDNWCPRKEVDKKLQKILDGKRFLLVIDGEVSNSDWNAILGALREDHNGSRIVRIMQGIHKRPRGIAAKNWIELKCFDTKKTTSLFNQRVCMEEKIEDQIKIENFDEVLHGITKGLPLAIVLLSGLVQTKEYPNEWQAVFEHLKSKKSKRLDSILAMCFDDLPHDLKSCFLYFAALPMNTPVEARKLVCMWMAEGFLRPKDGKTMEKVGRIYLKELVMRHLVKLVKTDNAGGRDEFVAVHHKVHEFLQHEAQEANFVDIHNGDDIPSLATTRRLSLQNYTDKYAALSSSLPKLRSILSNFQEEVEDDEEEEDNEESEDDEEDEDNEESEYDEEDSEEEGDIGAEQKVEGDGDGEVEEEGEDENNEIVLCADNENNGEVEGSHEITEEGGKNETSEEAKQEVMPSTLPLSNLLQCWVTPLSGLLRCCGEQDSPPESTNLYIKEMLQVSKFLRVINLQGIEIGESLPTTIGNVAHLQYLGVTACSLKYIPSTIENLKNLQTLDVRDTFVYKLPEAFWSITTLRHVFGDGLFLPKQVGDLKHLQTLESIDPDMDNGWDSNTFEKMVHLQSLHVWDSKDNGVNAEALSTVIDKANFLEHLDTLTLDVNNIPLSVFTSSSLRRLRTLELEGKLDMLVLRSKEKESKFHFPNLSFLSLTKTEIGMPT >Sspon.01G0021170-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:92805762:92810185:-1 gene:Sspon.01G0021170-1A transcript:Sspon.01G0021170-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAAPSSLRRLPTTPPQAPSPPYASRLRSRSLREPRLCQRLVAAGAAAATAPLASSPTTADTERRKHELLRAVQETRRGFTAGPDQRAAIEEAVVAVEERGAGEGTPLDLAVLDGTWRLCYTSASDVLVLFEAAERLPPLQVGQIYQKFECKDRSDGGIVRNVVRWSIENLLEVWLGHPGGCKAPDPFGEQEGATLMVSAKFVVLSKRNIFLQFEEVAVENIRISEQLQALIAPAILPRSFLSLQILQFLKTFRAQVPLSGPERRSPGGLYYLSYLDRDMLLGRSVGGGGVFVFTKAQPLT >Sspon.04G0037670-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4D:76150660:76151577:1 gene:Sspon.04G0037670-1D transcript:Sspon.04G0037670-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding TPTAAPSHHPHVYGFHRHYCCFSPPRLPFPLHTLSFSSSSNTLRFSLHRRRTSRSLAIAAFKKLSEASLVPIPQEPTQSLVDEDALPPKPGSCRGCASGPYVGDVRIAQAPAWARVTQAQSSDGQVERAHMWTHAKQRGKIDCSHGRPDDRPGLVQID >Sspon.06G0008630-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:45404279:45405643:1 gene:Sspon.06G0008630-1A transcript:Sspon.06G0008630-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSSNRHHRPHLVDKGLPPVQQLLPMEPKGVEEETRDWAELPLDALLAVLRRLDAVDILMGPGHVCGPWRRVTREEPDLWRHIDMCHHAKLASRVDLQPAARAAVRRSAGRCEAFWAQSLGVDRDQFFLFLADARALDARAAAD >Sspon.05G0017060-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:71132291:71141830:-1 gene:Sspon.05G0017060-1A transcript:Sspon.05G0017060-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRLLEAALSGDAAEMKQLALDDPGVLVGTTPQGNTCLHIASIHGHEGFCKDVLALDQSVFLLTAINNDGETPLLTAVTRGRDTLASVLLRYCHDQQLSETILKQDKSGCNALHHAIRRGYRTLALELIEAEPALSKAANVRDESPMFIAAMRNFGDVLDKLIMETRPWQARQENEHKYTPMHLAAYEDKIDVITVLLEHDPFLGYLISTAGKPLLCTAASGGHVGVARELLKYCPDAPYCDQKGSTCLHEAVLSGQEEFVEFVLGSQQLQHLINMADNSGETALHLAQRNGLTRIADLLGDEEKIINNGPVADDASSDSSSEGMPMDGGVLEAAVTGIARLMKDLASQDPSVLLGTTPQGNTCLHIASIHGHQGFCNDVLTFNQSLPLLNAINADGETPLITAVARGRATLCSILLRFCRDQQLRETILKEDKRGFNALHHAIFRGHRKLALELIEAEPALSKAVTKRYESPMFIAVMRGYGDVLEKLLEIIDAADGGAHGHNALHAAVTRGNAVMAKRIMEARPGLVRQEDEDKRTPMHLAAHENKIDLLTVLLEHDPSLSYFISTDGVPLLCIAASQGNVGVARELLRHCPDPPYFNAMGSTCLHIAISFGQEDFVRLVARSPQLQHLINMPNDRGETALQLAARIMPAGDERKRQTMMSMVAVLEFYLSNLSIHAEPFTNEAPVSAYSGHAGWNTCLHIAAIHGHEVFCKAVQALKPSLLAAVNSDGETPLLAAVASGRVSVASVLLRCCRGQQLSEMILKQDKRGCNALHHAIHSGHRELALELIEAEPALSQAVNEYGESPMFVAVMRNYEDVFDKLLEIPDSVHGGAHGRNALHAAVRNGNSTIANKIMETRPGLARQEDKDRNTPMHLAVLWDKIDVLRVLLEHDRSLGYIAPLLVCAAFRGHVDVARELLKHCPDAPCYDTRGSTCLHRAVRSEQTEFVKFVLGSPHLRRLVNMQDPDGDTALHLAVQKCDPKMVAALLLHQDIDVTVDGNNGNSANWVLPTDRAKTLNWVRMFSLIIVNMRDQDGNTALNLAVQKCNPKMVAALLLDPGIDVTVVNNNGNPANWALPTAFAKTLNWNEVSMLMLKADPQDASSIFNLHKEAKDEVTDLSRKDIKSLTQTYTSNTSLVAILIATITFAAAFTLPGGYSTDAGNEGLPIMARKIAFKAFMISDTLAMCSSLAVAFVCIIAKWEDLEFLLYYRSFTKKLMWFAYMATTTAFATGLYTVLAPHLLWLAIAVCVLTSLLPILTKLLGEWPILRLRFRLGRTFKSELLDMSNCVVTTVLTTAGHHEFVRGSIYGPASLGSSCWLLMARACCSGPLHKETSASTSPPTCKNILTLDHSQAPALLSTVSKDDETPLLTAVARGWASLASVLLRRCRDQQLSETILKQDRRGCNALHHAIRGGYTKQALELIEAEPALSIAVDERNESPLFIAAMRNFTKVFNLF >Sspon.05G0016770-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:69184597:69186896:1 gene:Sspon.05G0016770-1P transcript:Sspon.05G0016770-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LRSWSAANAGSVCAWTGVRCGAAGRVVAVDIANMNVSASGGPVSVRLTGLGALETLSLAGNGIVGAVASVAAPGAAPRQRVRKPAERRARRRGGWDLASLPALEVLDAYDNNFSSPLPLGVAGLPRLRYLDLGGNYFTGEIPAAYGAMPAVEYLSLNGNNLQGRIPPELGNLTTLRELYLGYYNVFDGGIPPALGRLRSLTVLDVSNCGLTGRVPAELGALASLDTLFLHTNQLSGPIPPELGNLTLLNLFLNRLHGPVPDFIAALPRLETVQLFMNNLTGRVPAGLGATAPLRLVDLSSNRLTGVIPETLCASGQLHTAILMNNFLFGPIPGSLGWCTSLTRVRLGQNYLNGSIPAGLLYLPRLSLLELHNNLLSGAVPSNPSASASSSSQLAQLNLSNNLLSGPLPTTLANLTALQTLLASNNRIGGAVPPELGELRRLVKLDLSGNQLSGPIPGAVGQCGELTYLDLSRNNLSGAIPEAIAGIRVLNYLNLSRNALEDAIPAAIGAMSSLTAADFSYNDLSGQLPDTGQLGYLNATAFAGNPRLCGPVVSRPCNYTEGAGVGVAGVTTTTTRRGGGELKLVLALGLLACSVVFAAAAVLRARSFRVDGGGGGEGRWRFTAFHKVDFGVAEVIECMKDGNVVGRGGAGVVYAGRTRSGGAIAVKRLQAQGGAGDDRGFKAEVRTLGSIRHRNIVRLLAFCTNRDANVLVYEYMGGGSLGEVLHGNGKKRGGASLAWER >Sspon.08G0018830-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:8240933:8248104:1 gene:Sspon.08G0018830-2D transcript:Sspon.08G0018830-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:molybdenum cofactor sulfurase (LOS5) (ABA3) [Source:Projected from Arabidopsis thaliana (AT1G16540) TAIR;Acc:AT1G16540] MGQSKAEFLEQFGGDYGYPDAPRGIDELRAAEFKRLEEAQMADVATDLMSHVYGNPHSQNDSSMATSDIVTSVRHQVLKYFNASPRDYKCIFTSGATAALKLVGECFPWSRDSCYMYTMENHNSVLGIREYALSKGATVSAVDVEEVVDPSKNHESDSLFKVSKHSNQRRGDDLLLHNHQNGSLKAISGNNLNLFAFPSECNFSGHKFNLSLVKLIKEGKGQWMVLIDAAKGCTTEPPNLTLYPADFVVCSFYKIFGYPTGLGALIVKNEAASLLNKTYFSGVTFWNAYDIGTVAASIADIDFVQKRKGIEQALEDGTISFLSISSLRYGFKIIDMLTVSAIARYISEIAFGPDILSMIYFSMELSSCRHTASLATYVRNKMLELKHSNEKNVCIIYGQSSKANYLKMGPTITFNLKREDGTWFGYREVEKLASLSGIHLRTGCFCNPGACAKYVGLLHSDLVSNFEAGHVCWDDNDVINGKPTGAVRISFGYMSTYEDAEEFLKFLQSSFVSKPVGLKNGYMVNMDTLNLVGNVIITLQYENGDIFLFIKNSDSYGLISDDWHQQAISDIRLKSITIYPVKSCQGFSVQSWPLTTGGLKYDREWLLQGSGGEVLTQKKVPEMSSICTLIDLELGKLFLESPKCKDKLQISLLENLTHLSAEVDVYGQRYEVQSYGDKVNSWFSEAIGRPCTFVRCSSSKYRSCTINGLCRDTRSKLSFVNEGQLLLVSEDSISDLNSRLSSSNGNGKQWVSVDAMRFRPNIVVYGSTPYNEDNWKRLHIGDAYFTSMGGCNRCQMINLYQSSGQVIKSKEPLATLASYRRKQGKILFGVLLNYEDGMEGEDGAIVERWLKVGQEVYPST >Sspon.01G0026470-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:92542621:92546769:1 gene:Sspon.01G0026470-1A transcript:Sspon.01G0026470-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:LSP1 [Source:Projected from Arabidopsis thaliana (AT5G35620) UniProtKB/TrEMBL;Acc:A0A178UEE3] MAEVEVPAAAVTTTTPEAAATEGGAATEAKGPHKLHRQWTFWYDIQSKPKPGAAWGTSLKKAYTFDTVEDFWSLYDQIFRPSKLSGNADFHLFKAGVEPKWEDPECANGGKWTVPCNRKATFENMWLETLMALIGEQFDETEDICGIVASVRARGDKLALWTRTASNEAVQVNIGKKWKDVIDYNDKITYTFHDDSRRDKPSRGGRYTV >Sspon.02G0020410-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:61869781:61874873:-1 gene:Sspon.02G0020410-1T transcript:Sspon.02G0020410-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFGGSSVASAERMREVADLILSFPEERPVVVLSAMGKTTNKLLMAGEKAVRCGATNVSELDELSFVKELHLGTLDQLGLDRSIVYGLLDELEQLLKGIAMMKELTLRTRDYLVSFGECMSTRIFAALLNKIGVKARQYDAFDLGFITTEDFTNADILEATYPAVAKRLHGDWINDPAIPIVTGFLGKGWRSGAITTLGRGGSDLTATTIGKALGLREIQVWKDVDGVLTCDPNIHPKAKPVPYLTFDEAAELAYFGAQVLHPQSMRPAREGDVPVRVKNSYNRRAPGTLITKERDMSKTVLTSIVLKSNITMLDIVSTRMLGQYGFLAKVFSIFEDLGISVDCVATSEVSISLTLDPSKLWSCELVQHKNELDDVIEELENIAVVHLLQNRSIISLIGNAFNVLRRNGVNVQMISQGASKVNISLVVHDSEAKQCVQALHSAFFENGFLLEADELDVLQDGFTSHPNGTIHSP >Sspon.01G0037100-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:17005214:17007577:1 gene:Sspon.01G0037100-3D transcript:Sspon.01G0037100-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Subtilisin-like protease SBT1.6 [Source:Projected from Arabidopsis thaliana (AT4G34980) UniProtKB/Swiss-Prot;Acc:O49607] MATPRSPFLLLLGLALVALTAPALLRADGGGEEAWKTYIFRVDHRAKPSVFPTHAHWYASATFASAAPGAAPLQPLHVYGTVFHGFSASVPASRAEELRHHPAVLAAFEDRARPLHTTRSPQFMGLRARLGLWSLADYGSDVIVGVLDTGVWPERRSLSDRNLPPVPARWRGGCDAGPGFPASSCNRKLVGARFFSQGHGAHFGTAAVASNGSVEFMSPRDADGHGTHTATTAAGSVAYDASMEGYASGVAKGVAPKARVAAYKVCWKGAGCMDSDILAGFDRAVADGVDVISVSIGGGSGVTAPFYLDPIAIGAYGAVSKGVFVATSAGNEGPTSMSVTNLAPWLATVGAGTIDRNFPAEIVLGDGRRLSGVSLYSGKPLANSSLPLYYPGTTGGLSASLCMENSIDPSLVKGKIVVCDRGSSPRVAKGMVVKEAGGAAMVLTNGEANGEGLVGDAHVLPACAVGEKEGDAVKAYAANASNPTATISFGGTVVGVKPAPVVASFSARGPNGLVPEILKPDFIAPGVNILAAWTGATGPTGLEGDTRRTEFNILSGTSMACPHASGAAALLRSAHPGWSPAAIRSALMTTAIVTDNGGGPVGDEAEPGRGATPFDYGAGHITLGKALDPGLVYDAGEDDYVAFMCSIGYEPNAIEVVTHKPVTCPAATSRANGGSSSGSDLNYPSISVVLRGGNQSRTVTRTVTNVGAQASATYTARVQMASTGAGVTVSVKPQKLVFSPSAKKQSFAVTVTAPSAPPTAAPVYGFLVWSDGGGHDVRSPIVVTWLQP >Sspon.02G0048010-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:20558025:20559753:-1 gene:Sspon.02G0048010-1C transcript:Sspon.02G0048010-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLFNEATTVDLGNGKKASFWNSSWLHGKAPAVLFPALYKHSKRKNRTVLEAMSGNRWISDVDHNMTLETISQFVSLWETMQGVVLNETQQDKIRCKLTVHGEYTAGSAHIMQFEGAAKSLTADLTWKTKAPPKCKLQRHGWPNEYFCRMCIRNLETVAHLFMECYVSRRVWGMVAAWARFFALAPQNWDLTESMQVWVLGMTNNQRGQYSEAAKSMLILVIWELWRERNERVFRNTSRSVQQIVSSIQDEARLWASAGNKGLKDLLNDLELQQENLVQQGAPVLVAMTNYIYKEIEGGQMSFLASSFEVGYPLYLLSPPNSGGRRLSTLKVVVALHRNNFNSHCRRQPGRWGKT >Sspon.02G0008250-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:24386239:24387951:-1 gene:Sspon.02G0008250-1A transcript:Sspon.02G0008250-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRALQRSGSNSLASLLRAEPPDDVLLDLKRTERDGRRRRRRRSRSCLRLPLGGAGGCRVCACDEMDSSAAAPRRRTPGNDDEDEDGAAVQCFAWKKGAAADAAHRPSGAGAGVVVVTEATAASLAVLPDDVMEMVLCRLPLASLLAARCVCRRWRDLTVAPQFLRMRREEEPWPRRTPWLFLFGVDGDVGWGAAPAPAVHALDVAAHRWCRVEAGGLKGRFLFSVAGVGDDLYVVGGRSGGSDASDSKVKTHKGVLVFSPLVGSWRKAAPMRTPRSRPVLGVFEMSARCSILHTRAEKNVRRGKSRLGGASAVYEDPHRLSLRRLRLRDMLNEDTDSTDLASSHHGKSAGQEGEEGQPRLAIVAVGGRGRWDEPLVSGEIYDPLVDRWVEIAGFPTDVGLACSGVVCGRMFYVYCESDTLVAYHLDQGSWVVIQTLLPPPRLRDYAPTLVCCASRLFMLCVSWCDRHGPVNRREKVVRKLFELDLSSLQWTEASAHPDAPMDPNAAFAVGQDRVYAVEMFRIFGKVLDFVTACRVSDTEQKWSRVGRENAATEADAMSCRLKSMAVLHL >Sspon.03G0037840-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:1597507:1598009:-1 gene:Sspon.03G0037840-1C transcript:Sspon.03G0037840-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVAAPSSSSSCSSISISSELGSLFAAFDADADGRISASELRECMRATLGAEAAVSAEEAEVLVADADADGDGLLDAAEFAGLVARLHADVGEEDRRRGLRQAFGMYSETENNAEAGLRRMLARLGEHQDVDECRAMICRFDLDGDGVLSFDEFKIMMNAA >Sspon.01G0055520-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1C:79572844:79573313:-1 gene:Sspon.01G0055520-1C transcript:Sspon.01G0055520-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DPSSSEKEEEEELLVLASRRCDPDLDAAAQLVYSSRGGVGFLTRFGGGFATGIGGQFGLLDLYRQLLVLSFPLGWEAFTCRWLPVWYFLDGLVKRCLWGCCAAPPFISFCGDWWREEEELGRSSLLFLGHFSVWHNEVHEAWIKARCLSDRGQHYQ >Sspon.08G0011600-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:50430993:50441142:-1 gene:Sspon.08G0011600-1A transcript:Sspon.08G0011600-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPRAASGATGKSTAPYGSWESPISAAVVSAAGRTAEGFAIAGDGRLVWVETRPEDGGRAVLVKEAAEPGGKALDVTPQGFAVRSLAQEYGGGAFAVQGDTVVFSNYTDQRLYKQTIGDNSPLPLTPDYAGSVVRYADGVFDPHFHRFVTIMEESNLTTDHRHNSSNPITTIVAVRISDQDVKESTMLVSGNDFYAFPRIDPTKKRMAWIEWSNPNMSWDKSQLWVGYFNEKGEIKEKICIAGGDPTIVESPTEPKWSSKGELFFITDRRSGFWNIYKWDEQSNAVTPLYSLDAEFSKPMWIFGVSSYDFLGIDDTSHKVVCCYRQNGKSYVGVLDHDSESFSKIDIPFSSVTNIVVSGDGSFYIEGASATLPVSIAKVTLDKKRTMATDFSIVWSSSEDVALYESYFSLPEFVEFPTVVNGQHAYAYFYAPYNNVFQGSSDEKPPLLVRTHGGPTDEARGILDLSVQYWTSRGWAFVDVNYGGSSGYGREFRERLLAQWGIVDVNDCCSCAAFLVETGRVDGQRLCVTGESAGGFTTLACLAFRQTFKAADLASLRAGMHKFEAYYIDNLVGNKQAYFERSPINFVENFSCPVILFQGLEDTVVSPDQATKIYKAIKDKGLPVALVEYEGEHGFRKAENIKFTLEQEMVFLARLVGKFKTQAKSSLAQGVLENYVQDTKDYDVHLPLQYTTTPELK >Sspon.07G0010790-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:35845062:35847918:-1 gene:Sspon.07G0010790-1A transcript:Sspon.07G0010790-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHPPPPPPVVQNISLRFSESFSLQGTGTTGAFTPPPAFPSPPGRLLLAIVHSFMAKLVNKLVDSFDHDDTTPDVGCVRAVLAELVLTFLFVFTGVSAAMAAGSGGKPGEAMPMATLAAVAIANALAAGVLVTAGFHVSGGHLNPAVTVGLMVCGHITKLRAVLYIAAQLLASSLACILLRYLSGGMVTPVHALGAGISPMQGLVMEVILTFSLLFVTYAMILDPRSQVRTIGPLLTGLIVGANSLAGGNFTGASMNPARSFGPALATGVWTNHWVYWIGPLIGGPLAGFVYESLFIVNKTHEPLLNGDI >Sspon.02G0020340-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:61560929:61564045:1 gene:Sspon.02G0020340-4D transcript:Sspon.02G0020340-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rho GTPase-activating protein 2 [Source:Projected from Arabidopsis thaliana (AT4G03100) UniProtKB/Swiss-Prot;Acc:F4JI46] MVEVMMMPSSNGCGEGGGARGRRRTGSSRRRDRGRGQGQVLALSVVLPCQMADADDPAGAAWGMEIGWPTDVRHVAHVTFDRLHGFLGLPVEFELEIPGQVPSASASVFGVSPESMQCGYDDKGNSVPKILLLMQERLYAQDGLKAEGIFRITPENSQEEHVREQLNSGIVPDDIDVHCLASLIKTWFRELPEGVLDRLSPEQVLHCNTEEQCIELVKLLPATQAALLHWVVELMADVVEEEESNKMNARNVAMVFAPNMTQMSDPLTALMHAVQVMNLLKTLILKTLREREDDDAGAYSSFSSSSSLSDELEEEDGHDQQDGENDSGSENYNCSATERPKDIDKAAALRVDSEQLIGVSRRHTSTDCHLPYVRFSNDNEDVSLDDIEECFLRRLEWKSVRERVDEGDSRNSPPSEKEAEWLSSSENITERGRTSNATDVSINELRQTKIRTEMTSAEVRSAANGELILCS >Sspon.06G0004460-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:11150128:11151374:1 gene:Sspon.06G0004460-1P transcript:Sspon.06G0004460-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEMLTPTSAIMGAGLGKECALLTDGRFSGGSHGFVVGHICPEAQEGGPIGLVQSGDVITIDVSKRVIDVDLTEQQLEERRRKWTPPRYKSTRGALWKYIKLVAPASRGCVTDE >Sspon.07G0029210-3D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7D:72014216:72014392:-1 gene:Sspon.07G0029210-3D transcript:Sspon.07G0029210-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFVGKFVASTFMLFVASDELTQFGLQMWPSLIAKAKEGGVDVIETYIFWNGHEPAKGQ >Sspon.04G0003610-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:44213551:44233646:1 gene:Sspon.04G0003610-2B transcript:Sspon.04G0003610-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNFLRKLHIGDSAAGDGASSPSAPPAPPTKKGGGIEHRHASGLSGWLSSVTGRPPHPPPPPPPPVSAATAAAAALEVEVEESALASSVVEERRAAEEDEERARRESRKEAEQERRREAETEKKEKQEAELEDYHMQLALEMSVREDPEAMQIEVAKQISLGSCPIQSSPAEVVAFRYWSFNALSYDDKILDGFYDICATGDELALSTIPSLTELQALPFSHGVKTDVVLVNRALDSELVALEQKAFIMALEFRSQKSEFVGHSLVQTLANLVSNYMGGPVIDPESMLLKYRNMSRALKADIRSAVIPLGQLTVGLARHRALLFKVLADSLDVPCRLVKGRQYTGSDDGALNIVKFNDGRECIVDLMIDPGTLISSDGADLGRELEDSLVIDNQHVNKDDTSTQLVSSFSEASSSMHGSFGNESLEKGFICNAGHFGPYGAITAQSDNDVLGSGVSSSFEELSVSTYASENMPIIHESNTDHTTTAKSKDKSTTSNNSSLSSPPSSEMGSTPAVRRKKVKDVSEYMISAAKENPQIAEKIHAVLLESGVVPPPDLFSEESKEQPKDLIVYDTSMFQTKDEMIRTMNELESTAHDGCAGLGPSLPHHPGHEIQTKIVPYRPPPDLKPVQGLGRHDLLDDDQLETNHGQYNALEHEKDSVQAPQEAERISDRSTGTESARSEIALDEIAEFEIQWEELTLGERVGLGSFGEVYRGEWHETEVAVKKFLQQDISSDALEEFRTEVGIMRRLRHPNVVLFMGAVTRVPHLSIVTEFLPRHASGLSGWLSSVTGRPPHPPPPPPPPVSAATAAAAALEERRAAEEDEERARRESRKEAEQERRREAETEKKEKQEAELEDYHMQLALEMSVREDPEAMQIEVAKQISLGSCPIQSSPAEVVAFRYWSFNALSYDDKILDGFYDICATGDELALSTIPSLTELQALPFSHGVKTDVVLVNRALDSELVALEQKAFIMALEFRSQKSEFVGHSLVQTLANLVSNYMGGPVIDPESMLLKYRNMSRALKADIRSAVIPLGQLTVGLARHRALLFKVLADSLDVPCRLVKGRQYTGSDDGALNIVKFNDGRECIVDLMIDPGTLISSDGADLGRELEDSLVIDNQHVNKDDTSTQLVSSFSEASSSMHGSFGNESLEKGFICNAGHFGPYGAITAQSDNDVLGSGVSSSFEELSVSTYASENMPIIHESNTDHTTTAKSKDKSTTSNNSSLSSPPSSEMGSTPAVRRKKVKDVSEYMISAAKENPQIAEKIHAVLLESGVVPPPDLFSEESKEQPKDLIVYDTSMFQTKDEMIRTMNELESTAHDGCAGLGPSLPHHPGHEIQTKIVPYRPPPDLKPVQGLGRHDLLDDDQLETNHGQYNALEHEKDSVQAPQEAERISDRSTGTESARSEIALDEIAEFEIQWEELTLGERVGLGSFGEVYRGEWHETEVAVKKFLQQDISSDALEEFRTEVGIMRRLRHPNVVLFMGAVTRVPHLSIVTEFLPRGSLFRLIHRSNNQLDEKRRLRMALDVARGMNYLHNCTPVIVHRDLKSPNLLVDKNWVVKVCDFGLSRMKHNTFLSSRSTAGTAEWMAPEVLRNEPSDEKCDVFSYGVILWELCTLLQPWEGMNPMQVVGAVGFQQRRLDIPGAVDPAVAEIIKRCWQTSKDETVIFRDHGFFETIYAKCVFDEDQ >Sspon.02G0042950-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:87470266:87470754:-1 gene:Sspon.02G0042950-1B transcript:Sspon.02G0042950-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGKLAQHVSWLWRGPRRALCAARDLYVRSLTGCAGHLPADATFGYPTFAAAPSFGAHSGFASASSSRRYSSASDADEDLRELIRAASQRRAAELERQRHPAAVPRSHSVAGAMARIDEDGPCDFASAAAAVVLFPRSRSCVPPGSGRVAGRSGRVGALAA >Sspon.01G0053800-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:42003235:42004167:1 gene:Sspon.01G0053800-1C transcript:Sspon.01G0053800-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVQVEANHGAGSPPWKLYHNPHYAPHDTPHHHLSSCCSPSSCQARNRKDPEPPMIIAPDGDDEEVEELDQGGYGYGAMSSELELCVASIRDLRAELEFERRMRRKAEALSEALAAELADERRRGEATEAQCRALREEAGAARAEAERALEGLEEERRMLRVAELWREERVQMKLADARAALEERLREIDDAVAQLHRATAAASDVNKSSSCCSSPNGSKSTSQHGQQSPSRSQPHRREPGGGGENPHIRRGIKGFVEFPKAVRLRPREERVDLVSNLECQRAQLRVLMRHRSPAAGMGLIGAPENLVV >Sspon.02G0027690-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2B:100487054:100487273:1 gene:Sspon.02G0027690-2B transcript:Sspon.02G0027690-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VTVNNTMYHKSCFKCCHGGCTISPSNYIAHEGKLYCKHHHIQLIKEKGNFSQLENDHEKTSQAGSLEDEEEY >Sspon.04G0034020-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:71951429:71951920:1 gene:Sspon.04G0034020-1T transcript:Sspon.04G0034020-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLHKSGLRPDTFALNAMLNAYGRAGRLDDMERLLAAMERGGDDAGAGGATVAPDTSTYNVLVNVYGRAGYLDRMEAAFRALAARGARRRRGDVDVPHRRVREEEGVRAVPGDLRGRWWTPGATRTPARLRCCSPRAPTSARWSRSRPSSGPCTRTPRRSSRC >Sspon.05G0008020-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:5A:24762625:24763092:-1 gene:Sspon.05G0008020-1A transcript:Sspon.05G0008020-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:At5g43050 [Source:Projected from Arabidopsis thaliana (AT5G43050) UniProtKB/TrEMBL;Acc:Q9FMH9] MSSFSSSIAVSLPSYGLYAEARFLSQSYRNFARKSSYKYLRIRAVQGNDGRRRLVDIIRIIPELSRDYFRSRSRRALFGGISLLGGFYVAQTISLSFGALGVNDVLAAVVCVLLTEYVTKFYYSRPKVTFPIALLNNFKMGFTYGLFIDAFKLAS >Sspon.08G0010660-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:46956423:46959209:-1 gene:Sspon.08G0010660-1A transcript:Sspon.08G0010660-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLRWNRLRARRPARSQLTSFPLPHSALRYRRCQSCSGSYPPMTTVAGGKKPATVAASSMPTERAPHPVGARHGDDGRADEPLLGGHALLAAEEWLDGDEAREAEVRKGGRVQRVAAGDVVRDVAARAVPREKAACGVDWRGAGAVYVGPAIVEEAERREAVVVGGGEPMLRRALSTDSTAAPPKESGIELIACIGTKKTSPQPPRPCPDFAGARPAAALAWANTSLRAAVPPMRRFTLLLHLSKEAKEQSIGEKESQVMSKKWNSWP >Sspon.04G0010580-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:33309632:33315506:-1 gene:Sspon.04G0010580-1P transcript:Sspon.04G0010580-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding TMADEYGRSGAYGRSGAGDDYDSGYNSKSRTDDYGRGEGGYNKSGGDDYGRSGGDGYDRSGGDEYGRGTGGGGYNKSGKDDYDGGYNKSGTNDDEYGRGTGGGYNSKSSGDDAYTGGGGGGGYGKQSGGADDSEYGSSRDDDSEKYRKEEKEHKHKEHLGEMGALAAGAFAQYERHEAKKDPEHAQRHKIEEGVAAVAALGSGGFAFHEHHDKKEAKDAAEDAEEEASSGEVDYEKKEKHHKHMEQLGELGAIAAGAYAQHEKHKAKKDPENEHGHRIKEEVAAVAAVGSAGFAFHEHHEKKDAKKHGHN >Sspon.04G0015180-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:60745129:60747070:-1 gene:Sspon.04G0015180-2B transcript:Sspon.04G0015180-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGGQSVISSAAEAELAAATSTSAPSPAPARPRAMQQRLEIEGYRVEGISVAGHETCVIFPSLSLAFDIGRCPPFAVSQDFLFVSHAHMDHIGGLPMYVATRGRRRMRPPTVFVPACLADLVRRLFDVHRAMDQSDLDHKLVPLEVGEEYQLPKGLRVRAFRTYHVVPSQGYVIYKVKQKLKDEYAGLPGKELSSLKKSGVEITNVESTPEIAFSGDTMSDFILDPDNADVLKAKILVVESTFIDDSKSIEDARERGHIHLSEIASLSDKLKNKAILLNHFSNRYTAEDIDAAINRLPPSFRSRVYALKEGF >Sspon.06G0018660-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6B:84833897:84840051:1 gene:Sspon.06G0018660-2B transcript:Sspon.06G0018660-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAHFIAYASTQFGAQVKAVQCDNEKEFDNTSAHNFFLTQGIHLRMSCPNTSPQNGKAECIVCSVNNVIHSLLFQAPMPPSYWVEALSTATTFLNILPTKTLHFSMPHLALYGTSLAYDHLRVFDCKCYPNLSTTAPHKLAPSAMALEFPWTLSFLMLLMLCPFLLDRRTSFCLQEPHPALLAPPVPLPVLLWLCLVAPPTSLALPDDPPAPTLYIPPTLSMPGIQAGYSTAPGAATDVPTTPRAAHDAPSPLVPTASPAGDASPHGLYILHALRAGSMSPASSPAQASPPQAPCGPSLEFPPLPLERIITQHYTTDLDRLLRLRLHQPPRLCCPKANIVTGSGFSSTNSSLMALLRGTRHAGYFTASLSGLYMLDILDRAGMAECKPCSTPVDTNPKVAAANGALVSDASDFRSLAGTLRYLTFTGQTLPTLYSRSAFICMTPKSLTSRLSSGFFAMSVALSTSVSCCDRLQLILLSTLILIGLVAGTHASPPRAMLCSLATTSSLGPPSVRTRCQDPALKLSIAQWPMAWPRPPSSVSFYRSCTPLYGAPQWCSSVTTLVPSTCPPTQFSISALNISRSIFTSSGSELQLKDTSAVESSVPITERFSLKNRIKRIENILDTSKKSDLLNDKNSSSGTTKDTDKESSSSTLKNSAKESSSEQKEIEQLSRDTEREVFGRDKDRADIYRMLRKGPHTYAETLKHKCFLLVLDDLWVNDDNQKELDILLDMLRLSAARSGSRVLVTAQKEDAAGALGAHLQLFPIPHLKEEVYLSLLMHHAGPYATDDDYRKYERIGSKIAKRLRRSPIAAVTVGKQLQRNKSISFWETTEKLDALNKTMGALWWSYQQLGVDVRRCFAYCSTFPRGYLLERDELVHIWIAQGFVSTRCNGTEEPEDIGQSYFDQLLTFSFLQGHRTVFSLNEKFTIHDLLHELAERVSGSEFFRIDLNGSPKDITRGVHHLFNVAGINEKILDLGNLRTLIITEDRYEMINEDSAEETPEIDTNHERLLERLFMRLEKLRVLIIEIIDIPCEDGEASCPEDMANLIYLRHISAPLCFPNIGSLTSLQILKSFVVQEEQGYELSQLKHLNKLGGTLRIEGLDIVESKEEALEAHLTHKERLRRLELNFDWGEGRFGPDVEAEVLEGLCPPKDLQVLAILNYRGS >Sspon.05G0000700-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:2627388:2632871:1 gene:Sspon.05G0000700-1T transcript:Sspon.05G0000700-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTVMRGHSLVLAIHLSEPTMHQIRGQRAKEEENPYSNSIGGIYSEKNIRLFSYAELRSATDNFNRANKVGRGGFGTVYKGTIRNGREVAVKVLSAESRQGIREFLTEIDVITNVKHPNLVELIGCCVEGSNRILVYEYLKNSSLDRALLGSNSEPADFTWSIRSTICLGVAQGLAYLHEDIASPIVHRDIKASNILLDKNYVPKIGDFGLAKLFPDNVTHISTRVAGTTGYLAPEYAWHGQLTKKADIYSFGILVLEIVSGTSSSRSILMDDKVLLEKVSLFHCVLEIEFPGRRGLDRIPRWGMGWHQKTAGNVSGEPDFVAWELYEAERLKELVDPALVDYPEEEVIRYIKVALFCLQAAAARRPTMPQVVTMLSKPIRINESELTAPGYLHENSRRSPGSKATVSSNYRFKNSASEDSNMFSTVVPATVTQMSPRSELEITGISVKAHLDKRKTVLVEVATYVAAEHASASLRYDATGRVEAAKISRKSARKR >Sspon.01G0018730-4D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:66369224:66371202:1 gene:Sspon.01G0018730-4D transcript:Sspon.01G0018730-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRRQLVVVESRSGAVECEAPAEDVVLDQHDAGRRREADVRRVRDDRREVDLRGEERPLGPGGRRRRHGHRDLRGPGVVVHPHLHGLPAYEGRRRRGCCQCRELRVAHGVELHGEDAVSRVAVVGAVEHAQVLVRHRRGQVRGRRDQEREPARAQVQKLRDDREHERGREGGHRARRPSKRDGLRVEGVALGHACVVGELQQAGPRDGRHAVEVQAAGAAEAVVREFHGAARLDAHSNDHDYHHHQLPSLGRH >Sspon.08G0007210-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:60836533:60840830:1 gene:Sspon.08G0007210-1P transcript:Sspon.08G0007210-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDSGGSVVSVDVERISFGGKEHHIQTSHGPVSVAVYGDHDKPALVTYPDIALNHMSCFQGLLFCPEAASLLLHNFCIYHISPPGHELGAAPISPNAPIPSVDDLADQVADVLDFFGLGSVMCFGVTAGAYILTLFAAKYRERVLGLILVSPLCKGPTWTEWLYSKVTSNLLYYYGMCGLVKECLLQRYFSKEVRGFSELPESDIVQACKSLLDQRQSMNVRRFVQTMNERYDLTEQLKQLQCRTLIFVGENSQFHTEAVHMTSKLDRRYCALVEVQACGSLVTEEQPHAMLIPMEYFFMGYGLYRPSQLDCSPRSPLSPFCISPDLLSPESMGVKLKPIKTRVRLEV >Sspon.03G0017640-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:79158367:79158976:-1 gene:Sspon.03G0017640-2B transcript:Sspon.03G0017640-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRHRVIYSYTQIVSSSTRWSDPAGRQTICIHADLRSTRGHSRQETSHRSSSSVSRAGAVAGRVDPNVHFPGRCSCVVVSSSATAAAPVSLSSLALTMARRNRRREAIVQWIDRMNLPQSPARSERTQVNLHFLQEICLCSCKAILSGGVHHTKAMVRVWLCCCLL >Sspon.07G0016330-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:63730146:63732982:1 gene:Sspon.07G0016330-3C transcript:Sspon.07G0016330-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding PAPSSAVPYTHSRRRAAGADAAPRRHRQRRRSPLRRRPSTRPPPPPPSTGDPYPFTNNPFFPTANSPPPPTPAAETQPSGDGGLPTFPANISTLVAPTPRSSGSRRFPVLQALLLSLLSLCLLLLSALLSIHLVRRLRHRGGARSGAAASSASSAHRRAASNHDDDDDGDEEGRSLKPPPMPTSSTNPSTEFLYLGTLATPPPSGPQHPGTSSNLRPGSPELRPLPPLPRVGPPSGEFASRSSASDPSTVPPAAAADASSSSLSPSSPSASSPTLGSSPVHFRPPSIPQPRGRAPNPSPPKRRPSPPKGAAEPVAAHAWNPFVPLPPRPAVASSDDGDSDQMMDLRKSRPLHSDKLKPGSLHMKDEVIQLYLNNSAAVAAPREVCLLGAHRCHGIGMIVGALGVSKEQLRDALLEGNAHCLGVEALRMLTQMVLSNEEELKLKYFKDDSLTRLCPVDAFLKATLDVPFAFKRVDAMLYIASFYLEINQLRMSYATLEGACQEMRSSRLFHKVLEAVVNFGNFMNTNSGSPCSKGLEPNTVLKIADVKGADGKAALMQFLVQEIVKPEGYNVMEDGSGTCKMNASILQYDAECRKHGLEVVTKLAAELSNTKKAASIDITRLSQSVSELGMGLGRSMTWLNSVVTSAESARRFHNSMSTFLRHAEEEILKLQSRRASACRQ >Sspon.01G0032960-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:113792145:113795524:1 gene:Sspon.01G0032960-2D transcript:Sspon.01G0032960-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRATLDKVVGSSKVVLFMKGTKDFPQCGFSHTVVQILRSLDVPFDTLDVLSNEALRQGLKEYSSWPTFPQLYIDGEFFGGCDITVEAYKSGELQETLEKAMCS >Sspon.05G0024030-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:11729320:11741685:-1 gene:Sspon.05G0024030-1B transcript:Sspon.05G0024030-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSRALFLSCFHGGGAEVSRHLALRPRYPSNPRRSRSAAVAGEGSSEGGGSGGDLEAANGTADKDEEEKVSVFAVTGMTCAACAGSVEKAVKRLPGIHDAAVDVLGGRAQVVFYPAFVSEEKIREAIEDVGFEAKLINEEVREKNILVCRLHIKGMTCTSCTGTVESALQAFPGVQRASVALATEEAEIHYDRRIVAASQLIHAVEETGFEAILITTGEDRSRIDLKLDGVLSERLTMILKSSIQALPGVEDVKVDTELHKITVSYKPDQTGPRDLIEVIESATSGDITASIYAEAEGREHHRYVEIKRYRQSFLWSLIFTIPVFLTSMVFMYIPVLKDGLEKKVVNMMSIGELLRWILSTPVQFVIGRKFYTGAYKAICHGSPNMDVLIALGTNTAYFYSVYSVLRAATSENYMSTDFFETSSMLISFILLGKYLEILAKGKTSEAIAKLMDLAPETATLLMYDNEGNVVGEKEIDSRLIQKNDVIKVVPGGKVASDGFVIWGQSHVNESMITGESRPVAKRKGDTVIGGTVNENGVLHVRATFVGSETALAQIVRLVESAQMAKAPVQKFADQISRVFVPLVIVLSLLTWLVWFLAGRFHGYPYSWIPSSMDSFQLALQFGISVMVIACPCALGLATPTAVMVATGVGASQGVLIKGGQALESAQKTGTLTVGKPVVVNTRLFKNMVLREFFDYVAAAEDNSEHPLAKAIVEHAKKFHSEENHIWPEARDFISVPGHGVKAKVFDKSVIVGNKSFMLSLSIDIPMEASEILIEEEENAHTGIIVAMDQEVVGIISVSDPIKPNAHEVISYLKSMNVESIMVTGDNWGTANAIGKEVGIEKIIAEAKPDQKAEKVKELQLSGKTVAMVGDGINDSPALVSANLGLAIGAGTDVAIEAADIVLMKSNLEDVITAIDLSRKTFFRIRMNYVWALGYNIIGIPIAAGVLFPSTRFRLPPWLAGAAMAASSVSVVCWSLLLRYYKSPKKHDLQLDMRTYSEECLVVYASTAPAECEQPGSWKEGHGLKDRWTWQLMGSDSTIESRRADTVATERHPDEARRWSYVTPDASREEF >Sspon.06G0002430-3D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6D:5384637:5387533:-1 gene:Sspon.06G0002430-3D transcript:Sspon.06G0002430-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLVTKKIVTGQAAHEAPPRPLRMPQGTALKLLGVSIHKRKEVVEWSTGAWRTFGLVTDKTTLPGSSAKRTSSMQ >Sspon.03G0008690-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:6569840:6570869:1 gene:Sspon.03G0008690-1P transcript:Sspon.03G0008690-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATADPRAKPPAAAPHHLAEPWAHQAASPAAAQRMPPVVASPSAAGGARDRRRSSSSHRRAHAVGDDESYDGGIEALRAKLMGHLRDAADRLRAPQPSRSTAASLPSAPRPPPPKAAAATASPPPPGTDSETEPELKAPPPPAPPAPPQVDASAAAATATARPWNLRERTRRRPAAARSWAASPSPPPPPSSSSSRRRRKRAPFSVSLTAEEIEEDIYALTGARPRRRPRKRPRVVQRHVDSLFPGLWLTEITADAYRVPDE >Sspon.02G0020160-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:65840845:65848507:1 gene:Sspon.02G0020160-1A transcript:Sspon.02G0020160-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Adenylate kinase isoenzyme 6 homolog [Source:Projected from Arabidopsis thaliana (AT5G60340) UniProtKB/Swiss-Prot;Acc:Q9FJI1] MAAGSGGGSRRARPNVLVTGTPGTGKTTTCSLLADAAGLHHVNIGDLVREKSLHDGWDDDLECHVINEDLVCDELEDMMEEGGILVDYHGCDFFPERWFDLVVVLQTDNSILHDRLTGRGYTGSKLTNNIECEIFQVLLEEARESYREDIFGKCLDPKECPLLTQRDLLFRKKKAIVVPLPEDVPLL >Sspon.01G0017510-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6C:13422299:13423422:-1 gene:Sspon.01G0017510-2C transcript:Sspon.01G0017510-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTCVVKDSAGRIWLQQQPRRSPGRPRRRSAGAGVVADRILAAEPLPQRTEGTHREMTERGSGGNAPSPALLALEASRARGCPRSGLGRVSARIEAHMSRKKEAWPGSTRASGHRQGPRSRPRTGASSRRAGTTAGEPAVGLIVWRKPPAEGAVATAAVAASGGTKQEKEDVEPRYFSGGVAGVFRTTWPNGDQANGTRLKWTNGREVRWRLDGPISEFRATWPNRLHGPCLQLVGGA >Sspon.01G0032860-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:113687800:113691117:1 gene:Sspon.01G0032860-2D transcript:Sspon.01G0032860-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEERQQQQVASDGDGDAAEWKQVAELRAVTQAQDPSCKEEDDYTLRRFLRARDHNIGKASAMLLKYLKWKPTAKPHGGAIPASEVAREVAQAKLCLQGYDREGRPLIYGFGARHHPARRDLEEFKRYVVHVLDATVARLPPPGPGRQEKFAAVADLKGWGYANCDIRGYLAALDIMQSYYPERLGRVFLVHVPYVFMAAWKIVYPFIDDNTKKKFVFVADKDLDRTLREAIDDSQLAEIYGGKLKLVAPAADNNNNN >Sspon.05G0038590-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:5D:50182400:50182627:1 gene:Sspon.05G0038590-1D transcript:Sspon.05G0038590-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSGTWWQAPAVLGPHVILTLLLLHLASVVRGQQEPFLPPLPAPQLREVEAQLTNLTKHVASIISDRFRFCIADP >Sspon.06G0016910-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:47378018:47379754:-1 gene:Sspon.06G0016910-3D transcript:Sspon.06G0016910-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding YWKRQLVIGKDARRVDNLCQRIYLSYRLLEGTIHFKELHEIIKDAKAKLESEVGPLDGMSAKNAHGIVSRFSAGIAVQKLCSTAIQKADEWLSSPDLHLRDSLPVACRFKFVDIKSSSLIVILKETSSSDTIKGYKLWYWKSREQPSMEKPVIVSKDERKILLFDLTPCTEYSFRVISFTDDGVLGHSESRCRTESREIFVMRAPQNAVGGGTQAQKRDRNQSCKSTGFKIRDIWKNFQEALDAEGCFEGFSEDVHEGSCSRSVTETELSGACRKLHFNSSSSVPDLNAEVPVPMDYTTEKHYYSNNKGLVRSNDSGDSETCAVGHTAEPPAVESRPVSKVNTVQVNKCEQNGASAICHEKMLSGSTRQLDGDYEHCVKVIRQLECDGHIGNGFRMKFLTWFSLRSTDQERRAVTTYVKTLSDEPSSLAEQLVDSFGEILNSKKAKTGFCNKLWH >Sspon.05G0001840-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:3959833:3961845:1 gene:Sspon.05G0001840-3D transcript:Sspon.05G0001840-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MWALSSDWSESRFWTASFYKLRAASATAAEAQIYLAAAPRSAAFLVTVISSSPHAACEKRGEPSYITYVKAMAKHHPDLIMCRKQPGIAIGRLCEKCDGKCVICDSYVRPCTLVRVCDECNYGSFQGRCVICGGVGISDAYYCKECTQQEKDRDGCPKIVNLGSAKTDLFYERKKYGFKKR >Sspon.03G0021190-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3A:65157203:65158324:1 gene:Sspon.03G0021190-1A transcript:Sspon.03G0021190-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAACQPLQGKELQPYDGFDPSVFGGPVLLPRQASSAPPAVQVAPPEMSSSSGSGRSATEARALKIHSEAERRRRERINAHLATLRRMIPDTRQMDKATLLARVVEQVKLLKRKASEATTQSTPLPPETDEVSIELHTGADKVIYIRASISCDDRPDLVAVLAQAFHGLRLRTVRADMTSLGGRVQHVFILCKDEGWGGAGVGASASLRSLKEAVRQALARVASPETAYGSSPFQSKRQRILESHYSIMSI >Sspon.08G0022500-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:51741452:51759898:-1 gene:Sspon.08G0022500-3D transcript:Sspon.08G0022500-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPWLADDFALKDVQNALFPGLSLVQWMAMQQNPQMLATAAPAVQSQYLTSNALGMQDGIGSVSEDPTKRLSGQAVSQAQLLNQILQPSSSQLQQLGLPKSPTQRPGLPGLTTMGSLQQSQLTQTPQLQQTAEYQQALLQSQQPQLQQLSQSELQLQLLQKIQQQNLLSQLNPQHQSQLIQQLSQKSQEILQQQVLQHQLGGADAMGQLKHLQQTPLNHTTGSLTPQQLVRSHSALAESEEPSSSTAPSGSRISPINSLSRAQQGSRNLPEMPATPHIEHLLQEIQSKSDNRIKNDIQGSKETVHAPNRHLASDQLDASSATSFCLDESPREGFSFPPVCLDNNVQVDPRENFLIAENVDTLMPDALLSRGMSSGKGICNLPSGQRDHRDVENELSSAAFSSQSFGVPDMSFKPGCSSDVAVADGGMASQGLWNSQTQRMRTFTKVGYLVQKRGSVGRSIDITRYRGYEDLRHDLACMFGIQGQLEDPYRTDWKLVYVDHENDILLVGDDPWEEFVSCVKSIKILSSAEVQQMSLDGARWGNERAHARMSSVQLSGAGVAAVAFTNKGLVSQPAALRVCSSRRSVRSLVVKAATVVTPKYTSLKPLGDRVLVKLGAAEEKTVGGILLPSTAQTKPQGGEVVAVGEGRTIGDKKVAVDIKTGAQVVYSKYAGTEVEFNDSKHLILKEDEIIGILETDDVKDMKPLNDRVLIKVAEAEDKTPGGLILTETTKEKPSIGMVVAVGPGPLDEEGKRQPLSVPAGSTVLYSKYAGSEFKGADGTGYIVLRASDVMAVLS >Sspon.02G0027460-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2A:99358995:99359288:-1 gene:Sspon.02G0027460-1A transcript:Sspon.02G0027460-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GGNGKDRGPVIVEAARKQGVSLLVVGQKKRSSVTWRLLSMWIAGVKGGGGAGCTSASAADYCVQHAACMALAVRRKSRRGGGYLITTRRQRDFWLLA >Sspon.07G0014470-4D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7D:51534946:51537942:-1 gene:Sspon.07G0014470-4D transcript:Sspon.07G0014470-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKGKWFGAVKKVFSPESKEKKEERLRRKSAASNPTPLDLTPSTSLEVNVSVPPPPAPAPPPVLHQAEEVRIPEAEQEQSKHVTVEEAPAAPTQASVLPPGVPTEELAAIKIQTAFRGYLARRALRALQGLVRLKSLVEGNSVKRQSASTLRCMQTLSRVQSQIRSRRAKMSEENQALQLGLELVGALDGSKTLGGPQWADKESNIDRGSVKSMSLNLGEGEITKAFNRRDSKPEKPSPPTPKPTRPASRQSPSTPSAKVAPIPARRKSTTPKNGLSQVDDDARSVLSVQSERPRRHSIATSTVRDDESLASSPSLPSYMVPTESARAKSRLQGSAMTNGAETPEKGGSTGPVKKRLSFQGGTAAASPMRRHSGPPK >Sspon.03G0044530-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3D:122363:123894:-1 gene:Sspon.03G0044530-1D transcript:Sspon.03G0044530-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGARKLLVKSKAVAGGSIPVELSLIYRSIPTRLAFSDSKHGNDALIRFRVCPAVIETESEPKCRIGTKSFFTSRTARFPSPVTVSGSRLHDNGSILSSNQTLTKEWIVQLALFRPRVALPRATWAAPAPPPPPPPGPFRAPPRPGCRRSPTPEVWRTARKAAAGLSSLPPSLSPREFLWWLRRRRRDAGGCRCRHQSGWIQVPHARISDAVQGGAMLVSGCIERGRSPVAARGSSLAWIRRPLGRIYGCGGRARVGGHWATYTATVADSSSTAMSAWSFAGSGCFAAQSGGAREAAVVQLLWRRSGGVANRGLAEHPPAVPWWRWLAAAWRLALPRCRGGAAGGAGPGCGHNSISSDVFWQSLGCGFADGARGVAMGPVLNDNLVRRLLVTLRDVLMRGWLDRVWVGGGLGDLGLLLIPALFSPSLAFPLPRVGSCGGARRLCSPLAGFAVSGGGGDSDRLLPALLLDGVPWGGVRAGLLLNHCRSLPP >Sspon.07G0004220-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:6599123:6604736:1 gene:Sspon.07G0004220-1T transcript:Sspon.07G0004220-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSRSYSNLLDLAAGNFAALGPSGGGRRRLGSFGAKRMPRVMTVPGTLSDLDDDDDEQAATSSVASDVPSSAVGERLIVVANQLPVVARRRPDGGRGWVFSWDEDSLLLRLRDGVPDEMEVFFVGSLSADVPPGEQDEVSQTLIDGFRCAPVFLTPELNERFYHHFCKRYLWPLFHYMLPFASPLPPTAEVAASGDGGRFDRSAWEAYVLANKHFFEKVVEVINPEDDYVWVHDYHLMALPTFLRRRFNRLRIGFFLHSPFPSSEIYRTLPVREEVLRTLLNCDLIGFHTFDYARHFLSCCSRMLGLEYQSKRGYIGLEYFGRTVGIKIMPMGIHMGQLQSVLRLPEKEDKVAELRQQFQGKAVLLGVDDTDIFKGINLKLLAFENMLRMHPKWQGRAVLVQIANPPRGKGKELEAIQAEIQESCDRINREFGQTGYNPVVFIDRNVSSAERLAYYTIAECVVVTAVRDGMNLTPYEYIVCRQGIPGSESAPEVSGPKKSMLVVSEFIGCSPSLSGAIRVNPWNVETTAEALNEAISMSEQEKQLRHGKHYRYVSTHDVAYWSKSFVQDLERACKDHFRKPCWGMGLGFGFRVVALDPHFTKLNLNTIIMSYERAKSRVILLDYDGTLVPQTSIDKKPSSEILRIINTLCSDSNNTVFIVSGRSRDSLGSLFASCPKLGIAAEHGYFLRWTRDEGWQSSTHTSDFGWMQMAEPVMNLYTESTDGSYIETKETALVWHHQDADPGFGSTQAKEMLDHLESVLANEPVAVKSGQFIVEVKPQGVSKGLVAEKILTLMKEKGQQADFVLCIGDDRSDEDMFENIADVMKRNVVAPKTPLFACTVGQKPSKARFYLDDTLEVVNMLSLLADASEPDPVIELEDDLATSVSAIDISDEPPQLGDVVRILKKLDNATFSCNLFGDIPYFDHKRKKENYVKELVFVTMIHCS >Sspon.03G0007360-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:20062942:20065756:-1 gene:Sspon.03G0007360-1A transcript:Sspon.03G0007360-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHRVKCMLLLLGEEADSFSKRAEMYYKRRPEVITQVEEVYRAYRALADRYDIMSGELHKANHTIATAFPDQVQYAMLEEEDDNIPKAFTPVDPRKIHKSTVDGLMKKKKGEHPEISRLQKAILAMQTEKEFIKSSYESGIAKYWDLEKEINDMQEQVCHFQDKFDESAVIEDDEARALMTATALKSCEDTIVKLQEQRKASAGQAVGESERVKVFREKLGSIMNKHGKSLPDSLDFSEKNTRKNHGAEMENVCHVKQGAIDMESIIDKIKEHFERDCNISMAEVTERIDEIVNKVVDLDLMVSSQTSQIERLCQENNELENSLQNLEDENTELASGSSELIEKLRQAEEELVRAQALESSFHKDESTIRSNFIEAISRFHDLSELLLSPVCEDHTDSASAAHTPHEGPQVESIEPSSNECCDMEKVGLQIAEPHAGNARPASGVGKLDDPNDAFVAANDESSGSTKCSEQQLDVGQDKISRERGSLVRLRHISSDNLGGCDEQEEMNKEGLSSSADAVADMMKLKERLTDSLDDKEKVLLGEYTSLLEDYKDAKRKLAEMEKKNQDCLNEILKLREEITSSAGDGGSEGTCKRSSSSFSRRGHRRTPSYSSIHQRRPSVSSISRLIRMGSAIQEGGEPAGSGKPSDAAAEQGGISLEDLRLPPIAEAENASPLEEKFRRDIDTLLDENLEFWMKFSSSLQRVQEFQNKYDGLQRKLLLINNEDGKQDGATEKQLRAFKTELQVWSEQNAMLRGELQCRFTSLCDIQEEITAALDTEAEAEADEAQFTSYQAVKFQGEVLNMQQENNRVSDELQAGLDHIKGLQAEVEQALAKLHRSVSLPPQPAGAGAGAEDDDSSWPAHGGSNLGHVPSNKSKAVPLQSFLFPAKPKKTSLLARVTPVLPKQPVDMKFLAKLPR >Sspon.06G0004180-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:11636899:11639093:-1 gene:Sspon.06G0004180-2C transcript:Sspon.06G0004180-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGAWFSDDELDPRARALDNGTYLRNGPGVWEVGDHAFDHVFDGYGTLVRVSFQGAQGRATGAHRQIESDAYKAARAHGRPLMREFSQLCPSEPGSLVDRLHHVVSHVSGAATSDNANTAVLPLGDGRVVCLAEVTKSSVLIDAETLGTVGKLRYTDKLWSPLQCTHPVVTRGGAEVLTLLPDFTRRGYLLTRMIAGSNKREVIGRVRCRGGTTAPAWVHSFAVTEKYVVMPEMPVRYSPARMLMSERTPLYIMDWLPDSGSYMHVICRSTGNTVASVEVPPFVAFHFINAYEEKGDDGARASAVIVDCCEYYADPSIIQALALHRLRSPETAKDFPDSRSRIFEKMGRVARFRIPLDGSAMGELETVLDPDEHGRGVELSTINPSYVGKEYRYLYACTAHRPCNFFNALTKMDLVEKETRSWHEEGTVPSEPFFVARPGATNEDDGVVISTASTMDGDGYVLLLDAATFKEIARLRLPYGLPFGFHGCWIPDKS >Sspon.03G0001450-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:12188210:12191065:-1 gene:Sspon.03G0001450-2B transcript:Sspon.03G0001450-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATELLSPLLPQGRRGLAVVVAVPLLALLLAVVVFSRSPVAAPVMSSPELVDLTLLASAREKGAVCLDGSPPGYHLRRGFGSGAHNWLVFLEGGGWCNTTQSCSERKMTSFGSSKYMGAVNFNGILSNDHIQNPDFYNWNIAVIRYCDGGSFAGDAEGQDLDGTKLFFRGLCIWESVVDELMGKGMDGAKQALLTGCSAGSLAALLHCDNFRGRFPHEVSVKCLSDAGFFIDEKDLSGERSMRSLISGVVHLQNVREVLPNNCLQKKDPTECFFPAELIKDIITPTFILNSDYDSWQIRNILAPNGSYPGQAWSSCKADIRNCSCTQIDVLHGFKKKLVSELKVAEDNKDWGLFIDSCFTHCQTPFNITWHSPISPRLGDKSIAEAVGDWYFGRRQDVKLIDCEYPCNPTCSSLLPTS >Sspon.03G0009690-4P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:3925807:3926535:1 gene:Sspon.03G0009690-4P transcript:Sspon.03G0009690-4P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLPAEQCYYYHAEDGGPVDCTLSLGTPSTRRAEAAGVVHASAGGGGGGAAALMEEPRQEGSPPRRCANCDTTSTPLWRNGPRGPKVCRHDPTFFFLFAWRAVRPVGLPCQYAIVMHASRAGLVSRSPARSLLLQSLCNACGIRYKKEERRAAAAVAPAPPTHDSGVRPYEFGGYARLPPPQQQQQWGCYGPAAGKLAASYGMYGGDGVVDADGPCLSWMLNVVPSSPAFAVQERHTLFQYY >Sspon.02G0001940-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:6481286:6487151:1 gene:Sspon.02G0001940-1A transcript:Sspon.02G0001940-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPPPPDDDDGAQDVGADADGGDGRSLGYGEAEYWDARYVEEGGAPYDWYQRYDALRPFVRRFAPPASRILMVGCGSALMSEDMVNDGYVEIVNIDISSVVIEMMRKKYFNVPQLQYLRMDVRDMSMFPDESFDCAIDKGTLDSLMCGVDAPLSAAQMILEVDRLLKPGGVFILITYGDPSVRVPHLNQSACDWKIVLYILPRPGFTEEIRRRVLDPVPLTERGRLPDGFVPEDPDSHYVYVCKKMQGLTGTVRERFACKETLDKHERSLWLVAGHLVSSSSHGDQAEAALLLALVLHNVATHLSGALKMKRTGEGSVE >Sspon.02G0004820-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:10282623:10286358:1 gene:Sspon.02G0004820-1P transcript:Sspon.02G0004820-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQWTGSALNSDKREHEAKSVSSGSDEDSWVDVKIPESTAGNKTLEYRERNAIQTCQENTLAHYEATAEMTDVNPCVSLTIRFLSLQSKTSVHIEEIYIFADPVDSTNDVSVRGPGNMGGSSLLAMLVPGLMQMSKSRNLKIDDSYFSDGSRNQESSTCERVTQEAGLCSTNDSKYTSAGIESGMNPTVGVTVSDENGSQGEFQFKDPDSLPLPVQTLESTQAPSVKDQQELNTCHIANPLINENFTPYNHIERKLDTLLSKVEKMEIYCSRFEDSMIKPLGSIEARLQRLEEQFSAFSVDIQYLRGSSAFRSAPDGMPNTKSSQEEAHNDANDRSTSTTDRKPGLVVRAPDFMSDDSCGYNVITNGNHVNCRGPNVVPRLLVKVPDFISQPEYGNLHDGPALSSEKERKISPGLVVKVPEFPDDDDDDNDDDELEEKKQAEVCGDGDDHTWSDDTLRKSAAGNTKSKEPVSINGALASALEALLTSTMGTSSSKPAVCATSNLSAESINDSSGCSLCPGKTGKMTTKDGSADQFLGTSDDANLVGAFISSQDIDTTPHNSLSEEMLDSKVEINEQNCDLNTEKVAFVAITEPLDVPSPTDTIEESIDDGSWVNRQNNGSNLDTMHGPLDPPKPPTVFEPVDSGVQVNENRPSISLVEFLAARNASSCKNGTSEVCLGNDGAEKLSFDRTSAGAGKNSKNISQLLVKRALEVDADERIFLSSVPIGANFEGSSSPTPRTSGHDINNTKEAVSDKECGLKDTENGFRLSSTMDSIFSQYHATASKKKLIENSSLDWSLDENFPKQNGEHSWSSLSSMESFSGAPAREPVVSGNDTSGNYMEDLAGIGDCPVATLISGDEFQKVCDLLYEFKDDMLGMTSAAKGINKSIPSLEVLLAESSDSEAQISDLEGIDSGAGIGSPRLFSTLSSSDDDASAVDEPLVDVADLTTPSEPHTSALNEPLVDMVDLTNPSGIDASSVNEPSADVVDLPHTSNEPLVSLDDLPKPPETSFGGSSGEHLDSLI >Sspon.01G0044030-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:79217713:79218294:1 gene:Sspon.01G0044030-1B transcript:Sspon.01G0044030-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRSSLSMVASHRLFAPAVHPVGGAAADHGVELDEADVIWGGGGGGGYPTSSSPSSSSFLSAAADPYARSPPVAAPSKHKPRGAGGAPAPGPASVPVNIPDWSKILGAEYAGSCAAARAAGWAAHDDRADAFADDVAGGTGGRRWVPPHEVVQVRERAVASFSVREGVGRTLKGRDLRRVRNAIWEKTGFQD >Sspon.02G0019630-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2A:63593424:63593615:-1 gene:Sspon.02G0019630-1A transcript:Sspon.02G0019630-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVLGQTAARKVLPCSRHEDPAGPTCWHRIHQRRYGRVRSCRVTTWDGIASQASSKKFHLDE >Sspon.03G0014360-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3C:64793352:64794653:-1 gene:Sspon.03G0014360-2C transcript:Sspon.03G0014360-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTLGFLPLIVATLLLFPLSSFALTTDEAAAIAHPQLVAFEEQHLNDHVHIDIGIDIKINNPRLLVAHKALHALKQALYSDPNNFTGNWVGPDVCAYNGVSCVPSLHNESESAVASLDMNAADVAGHLPSEIGLMSDLAVLHLNSNRFCGVIPEEIRNMTELYELDASNNRFVGPFPAAVLGVPKLSYLDIRFNDFDGPIPPELFLKPYDAIFLNNNRFRSGIPETIGKTKATVIVLANNQLGGCIPRSIGEAAATLDQFIFINNSLTGCLPVETGLLTNVTVFDVSDNALTGSIPPTLAGLSKVEQLDLSRNMFTGDVPSHVCKLPALANLSVSYNFFTREASECSSTAVGNMGRSMSFHDDANCMGQSRPMQRGADECTPVVSKPVDCTKVQQCGWPSPPPRASPPPPPIIIPPVRGTKYQSPPPPLFPGY >Sspon.03G0018470-1P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3B:76914109:76914897:1 gene:Sspon.03G0018470-1P transcript:Sspon.03G0018470-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIAAATLLGTREATGGQPRMPSSGTSWRSTAPRTGTSSPTNSTADQSCRLRWFNQLDPRLNRRPFSEEEEERLLAAHRTYGNKWALIARLFPGRTDNAVKNHWHVLMARKQREQQSGAPRPRRRKPSSSSSSSSAVVDVRHQHASSPLPFRAGDGHPCPRVQRRRRVRRVRVHLRHRPLPRLRWRGRRRPLLPPPELVRRRHDVPPRLLATTAALHASLRSLAGVP >Sspon.04G0015900-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4A:58796075:58800161:-1 gene:Sspon.04G0015900-1A transcript:Sspon.04G0015900-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEFDQALFLYLNSQDQASVIQDQLQTLNIFPSQPMHVVVDPAPKEGSMGTNDNTASNAAGAGPSKQQQQQPLPSNHPSRTNKDGGKPAAGVKREGSSSGAAMGSGTPSTSNNQQEGPRASDAKTLRRLAQNREAARKSRLRKKVYIQNLETSRIRLVQLEQDLHRSRTQAAWFDMEHARWQEEHGKMMRHLRAALEAEHATAAAATSTSTAADAQLLRQLVDAAAAHHGVLAELKAVAARADAFHLVSGAWVSAAERCFLWIGGFRPSELIKVLRASTIKGCEWVVC >Sspon.05G0029990-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:79144026:79144631:-1 gene:Sspon.05G0029990-2D transcript:Sspon.05G0029990-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGHEHPPEKVRALEAIMDESVPKRGRRMAEEGAAGPALVGSVRSIPDDIFLFHILILLPVKSLVRFQSVCKLWRATITSAPFVRRHLEVSRATRPSSMALVPRKSPKDPTKTGAAGVNIFRFEPGQSKVAE >Sspon.01G0024830-1P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1B:64856806:64857120:1 gene:Sspon.01G0024830-1P transcript:Sspon.01G0024830-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding QRSESVVRSPQVNTRVQRASTCGVRRPVPAQSVKHLAGAHDPGMPASPAARLQPFRDGELPSRSSSRHMHLPIQLMDCESIMASGIGEAASSPREESVRGMAWHV >Sspon.01G0057590-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:11753551:11754257:1 gene:Sspon.01G0057590-1D transcript:Sspon.01G0057590-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MREEKAGRSVRSPSCGAIAWRRASAIARTQPPRATKQNQAPGAAGRRGAESSHQAQVPPSGPSGCRPDGDRLVIRSPGFLAGFCGGFGRCWGACDSVTGVLPLRLYLATEFGGEVRREEREERTGQASRRRGGVTRRNVRFAPAVMPDGPVELGPSWEWDLGGPFRPWLFPGSGVVGGCGRGMAVGGRAKRQAYTSLAVPVLGASICVWTPRVTFLG >Sspon.01G0048060-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:107070061:107071009:1 gene:Sspon.01G0048060-1B transcript:Sspon.01G0048060-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AMAEQAASAVASAVIAGVTDRALTTVMRKLRSGSCPAATDEKLQRLERLVLKLRSVIQVSEKHAIRSASLLEWRDRLREAAAEAGAVVLDFQRRAREDGGEAASTSAPGQQQPAGALYFTRSALSGMAQRVRDVTRRLFSTDEDMKKLDGALEKMERLSPDITQFLATPIRPDEPTDTETMQLASAADEAREDREREARMLAGKLQMTLLITEVYIGSVERTENRDMGGLEWLAEWESVIREAVERGKAVLQTLRPGSDVIHEAGGGALEEDGELYSFVRAVPWWA >Sspon.02G0035190-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:19223270:19227228:-1 gene:Sspon.02G0035190-2C transcript:Sspon.02G0035190-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSFLANPSRIGRHFDEDERTPFEPAAPRLPAPSPTSFAPAPVVAPSRSGPGNAWGPRKEASPASSPVCPAPASAGGQIWSATRIAQASALEKVISGRWHPSKPSSPPAPVPVPAPVLETPVAPPEIERPRSVGVRELDSGVERGAASVRPASHEGRVGEGRAGEVPERPRLKLLPRSKPIEAPEPSPTYVEEKQVHQVQVTANLMKAEVNHDVHQNAMTAKTGVLGADAERPRLNLKPRSYATGQSDEIAVKERPSLFGGARPGNKYVLKERGVDVLASDLEKTSPVGRPKGEFAKVEQKVEALSINPSVERADGFPAGHRGPRSVDKKDYKRDTDRADAYRPTRREDNRRAARDVEKPQEQPRPEPETWRKPVEPPKPEVATPRFGKAATALELAQAFSTSMSDTAPQSRLTSVPSPRVPPSPGARDQSGFSRLTDSRTLHSSPSQRKINGY >Sspon.07G0016860-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:64615095:64628547:-1 gene:Sspon.07G0016860-2B transcript:Sspon.07G0016860-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDLMVSRLVHSSSPSPSPSRSTAAAPAPPLPNHHHHLQNRNHAVDGLLAANGPEPRNGLEAAAEVEKPAPVAYLPQVVVLCEQRHEPDGIDEAAAAAAAPSTSGLVSKWRPKDRMKTGCVALVLCLNISVDPPDVIKISPCARMECWIDPFSMAPPKALDSIGKTLHSQYERWQPKARYKLQLDPTVEEVKKLCNTCRKYARSERVLFHYNGHGVPKPTSNGEIWVFNKSYTQYIPLPITDLESWLKTPSIYVFDCSAAGIIVKAFLERLDWSSSSSASAQKDCILLAACEAHQSLPQSAEFPADVFTACLTTPIKMALHWFCKRSLLRGSLDLSLIDQIPGRQNDRKTLLGELNWIFTAITDTIAWNVLPHDLFQRLFRQDLLVASLFRNFLLAERIMRSASCSPVSYPLLPPTHQHHMWDAWDMAAEICLSKLPELIADPNAEFQPSPFFTEQLTAFEVWLDHGSEDKKPPEQLPIVLQALSVGIFPYVLKLLQTSAMELRQILVFIWTKILALDKSCQVDLVKDGGHAYFVRFLDSLDAYPEQRAMAAFVLAVIVDGHRTGQEACMNAGLIDVCLRHLQPENPHDSHTEPLLLQWLCLCLGKLWEDFPDVQLLSLQTNTPEIVICLLSEPQPEVRAAAVFALGTLLDITSNGADDDSDDDEKVKAEINVVRSLLQISSDASPLVRSEVAIALTRFAMGHNKHLKSVAAEYWKPQSNSLLKSLPSLANISNPSNVYSPNNILQGSIGPVLRVGSDSSAAGRDGRIYTSSPIATSSIMHGSPQSDDSSQHSDSGILLRENASNGGLGYTRSRPVDNVIYSQFISTMCSFAKDPYPRIAAIGRSALSLIGVEQVVMKNTRFNSGGAHRGETSASNFGMARSSSWLDMNSGSSLIKFRTPPVSPPQHDYLPGLRRVCSMEFGQHPVNSPDGLADPLLSSTAAPSNAELSVLPQSTIYNWSCGHFSRPLLTGSDDNEEANARREERERVALDYIAKCQRSSCKMTSQIASWDTRFELGTKAALLLPFSPIVVAADENEQIRVWNYDDALPVNSYQNHNLSDRGLSKLLLINELDESLLLAASNDGNVRVWKNFAQKGGQKLVTAFSSVQGHRAAGRSIVIDWQQQSGYLYSSGDMSSILVWDLDKEQLLSTIQSSGDSAISSLSASQVCSGHFAAGFADGSVRIYDVRSPD >Sspon.02G0027330-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:102577549:102581565:-1 gene:Sspon.02G0027330-2B transcript:Sspon.02G0027330-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELLATMVVGPLVSMVKDKASSYLLDQYKVMEGMEEQHEILKRKLPAIMDVIADAEEQAAAHREGAKAWLEALRKVAYQANDVFDEFKYEALRREAKKKGHYKELGFDVIKLFPTHNRVVFRHRMGNKLRQILAALEVLIAEMHAFSIVEAEAKEKNISSTSGKSPLDRLKEAVSGKRYLLVLDDVWNREASKWGKLKSCLQHGSSGSAVLTTTRDQEVAKLMGTNNELYNLKGLHEDSIKEIIEARAFGSKNKGDSKLVEMVGDIAKRCAGSPLAATAMGSLLHTKASVEEWKVVLSKSTICDDETEILPVLKLSYNGLPSHMRQCFAFCAVFPKDYEIDVEKLIQLWMANGFIPEKHGVRPEITGKRIFMDLVSRSFFQDVKEVPFEFHDIWVPRVTCKIHDLMHDVAQSSMGTDSATIVTEPSQSENNFPYSARHLFISVDDPEKILNGSLDKGSMAVQTLICNGDTNEDLKHLSKYRSIRALSIRRGSFLKPKYLHHLRYLDLSSSDIEALPEDISILYKMHTLDLSRCSNLNQLPKEMKYMTALRHLYIHGCDGLKSMPSELGQLTSLQTLTCFVAGTGSGCSNVRELRQLEQLGGPLELRQLENVTEADAKAAHLGNKKQLTRLTLTWTEEEQGNTKVLEALKPHDGLKVLDVDGYRGGTYPTWINTLQQMVKLTLSGCKNLKELPPLWQLAALKVLSLVGLESLNCLCSGDAAVTPFKELKELSLHRMPNFETWWVNEVQGEESIFPQVEKLSIYDCKRLTALPKASMIKEPRPPCGVINTVWHSPFPALKELELRNLPTFQRWEAVQGEEVTFPRLEKIVTWSCPQLTSLPEAPNLSELVIRRGSQQMLVQVASCIVTASSLSKLVLWINDDREATWLDGNSLIQSVDGEEKPNHNKSPSPLTVMELRRCNVFFSHSSALALWACLVQLEDLQIWYCDALVHWPEEVFQSLVSLRSLTIWGCNNLTGRRHASSSEQSTPERSSVLLPRLESLDIQGCACLVEVPTNVLLASLKSLHIFNCPKLEAIAFSKQLDTTSAMLASAQGVAAAHDEDGKSALIAGSCSCSDVTASTPVPMLSSSTKPHFLPCLEYLRISCCDGLSEVLDLPPSIKTLEIYRCSNLQALSGQLDAVQTLSIEYCSSLKSLESLLGELALLEELYLYDCKSLVSLPSGPQAYSSLRRLTIKSCPGIKLLPQSLQQRLGDLKDEDKRLDARYQGNLQFLYLSMKC >Sspon.04G0024480-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:23366478:23367711:1 gene:Sspon.04G0024480-2C transcript:Sspon.04G0024480-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDYLNIKWTTLKWDRINKRGESQAIKIATGFPKITVGLAHAAYSECIDYMSTEFHWYRELDGVYFEIWKRVAKLEFRYCTEGITEEDTEEKARELIADAITKMLRRPKFYAQYIMKKMEVATAIGVIPQGAP >Sspon.01G0046020-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:21314741:21317753:1 gene:Sspon.01G0046020-2D transcript:Sspon.01G0046020-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVTTDAAAAATTTATAAAEKPQDAEKPDYAPYNGESTAADGGTGARSRRGGGGGGGGGGVVDSVVARWRREDMLDKSPLALHAAAAVFAFVALVLVASNQHGDWMQFDRYQEYRYMLAIASLALLYSLAQAARHAHRMRGGVDPVSSASGRLLDFVGDQASVAPLILRVVAYLLMSALSAAVPITNRMRSAVVNNFTDATAAAISMAFFAFVALALSAVVSGYKLSKQTYIPKSEQGAGGLV >Sspon.02G0038810-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:45256617:45258428:-1 gene:Sspon.02G0038810-1B transcript:Sspon.02G0038810-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AFPNTGPHAPPLTAGDHRPAAASPRRRWADHAAPPAPPVHGSGPHLRALLLPPHARLPPPQLPPPARPRPNASRPSSASSRPTTSRSCSSPPPSATAPTPSPPPAHSTPSPCPPATSPLTSASPTPSSRSISPTAPRPPRAASSLTSPRPDTVTWNTLLRSCLRMGLLPAARRLFDEMPERDLFTYNSMLSGYVAEGDMANARELFDGMPERDVVTWNSMLAGYTQRGDMENAKRMFDVMPVRDVVSWNSMLDGYAQAGDIQMARMVFDGMPRRITVSWNVILALYAKVKDWRECLNLFDAMMAVGESKPNEKTFVSILTACGNLGDLERGRWVHRLVRERWERLIPDVLLHTAMLTMYAKCGVMGTAKEIFDSMTEKSVASWNSMIIGYGLHGHSEKALELFMEMEKNGPQPNETTFICILSSCAHGGLVLEGWWCFDRMVRFYNIGPKAEHFGCMMDLLGRAGLLRDSDKLIKNLQAKVSPALWGALISASRTQDSSKLGEFIGTKLIEMKPTEFSSYVLLSNIYAAEGRWDDVEKVRKVMKEKVVEKDVGMSLVRPSEPGHVAEDDISYQQNSVMLSLLGVMGVHVKQPSDVFNYRREHN >Sspon.04G0027010-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:56489270:56497720:-1 gene:Sspon.04G0027010-2C transcript:Sspon.04G0027010-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAADWHLMAGVVKWKPASYEQMERTRVAPERLSLRSNSAKFHDTGHLGHFGKDDNLICRSLKSISRPKARQYVIVKVHNKDVDEGCTSKDDEIISGPFQRKEGNQLRALESYFSKLYPTQQLYSLPQKKHKSGPSSSNEVDAIIADEDANFKNRVDSLHVQIDRGNTGMKSYQNTPIEDYKEYLIFDEKSLLDMHTDDQASGFCLTF >Sspon.01G0001160-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1B:10266391:10266897:1 gene:Sspon.01G0001160-2B transcript:Sspon.01G0001160-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAARSRCCLQAAVLLWAALSPWTLALGAAAVPAKLKAAPAPVATGPVSRVEDATMFRIYYGQSFKVIKNSGDGKSYLLMQVSNKSYCVAGFFVSQFPEKEVLTIPAEHVQDGIKDEVLHGEDQVIRHPPRQLLRRHHHFSRYFLTVYCSELFTLNNGKWCNSVQTPP >Sspon.05G0028260-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:5B:65220030:65220206:1 gene:Sspon.05G0028260-1B transcript:Sspon.05G0028260-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRFLVMVLLVLVRHDVHAPVPVVVVIVKAALQFEASSLLLGGVPVAVLHEGDMCKE >Sspon.07G0017290-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:62360146:62362280:-1 gene:Sspon.07G0017290-1A transcript:Sspon.07G0017290-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGELCCCNGRRRVEFGPSTVGFCDGLSSPVTAMGSFGPFSSAAHLHAHIYTQQVRHAVHSILSRFFSAAAARPLQDFQISPTGREPPQRSGSAERREMSYMRGDLLTKMRKLVKGLARPEPRWLKAMEESWFLFIRAPPVTFPRPDGKIKKIEFPEDVYVRKFNKKHPDSLYHDAIKISGFDPPPARVFAWRVLELKEQGVNEDDAMAVADMEYRTQKKAKKKAYKELKEIARSEGKKPPPNPYPSAIKEIQAEEKKYVMDRLYNPKVIEIANKMKEERDKLRQDRAAGQ >Sspon.03G0023150-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:55678000:55681698:1 gene:Sspon.03G0023150-3D transcript:Sspon.03G0023150-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMDSGSWLHGYATTNAGGNNGFMCGYAASSMRMNMDDEPAVYMSSNDGATTIGMHDPIVERLLDDPHHHAGTYSFPSSSSSSLSLPASTSLSCSLESSSAHILAAPAAAGGCNQQYPEVSSHVPLLPPAPPVVPSYDHQYTNLRIHAPESPAKTTGAFKHYARHLGPKRPPKPGACGQRMFKTAMSVLSKMHVAARYSQQQYYYQAAAAAAEAVQSPSVNQLQHMFSERKRREKLNDSFHALKVVLPPGAKKDKTSILIRAREYVRSLEAKVAELEEKNKSLESQLIRRDGRRKDGSSGGDDHDSGETAKVQVEITRAANEEQLCTLKIAVRSPRPPSSPNMTDVVVRTLQCLKEQIGDGVSLVAMSTSGGGGAGPATRVKNASPRAVVLTMQIKSPGTDWEEQPVKEAVAKVVADALTTTKSLPPAAAATASCGFGEASQLIISQ >Sspon.08G0021340-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8B:37541042:37541437:1 gene:Sspon.08G0021340-1B transcript:Sspon.08G0021340-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKSTSSGKKMAPGKQRYSINDIGLYDFEPLSQTNASAWKGPTFDGGVYNTNQYSFGGHPTSMNNAQAWSPFLYRIGHGSSSNSQMATLAIGQQQEQMGASSSLVAPTMEADGGHLDWTSDKLGDLLDTQWM >Sspon.08G0003400-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:7517509:7524293:1 gene:Sspon.08G0003400-2C transcript:Sspon.08G0003400-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIGSDSGGGGGVKRQRVDDRCEAVASDAVPVDRISALPDELRLHVLTHLPLKDAIRTGSLARGWRDLWRGRWAHRASLEVHLRSRDDPWRELDALAREPRPRRRLERFSLIVDICNLKSSELRRFLDYAAECGVEDLHVQTLQGTTASRLNFHLPLSSPALACLSLRHISVSKMYHKGARPFHALEVIRLASVSFRREAFREMMALCPSLLTLDLRCCSCNGWVFDRLPPNLRSLTIAGCDRITSLDFVRVPSLRSFRYSGCFSNLPLSIPRDAVLSDLYIQLYDSVTMKEWHIDKLRKSLPKDLSRLNILTISYKALTGASVLSDDGVNAQLPNFNLHGLKELHLLMLQTKAVNLANLYLFLKTFQCPNLERLFVQLPAYRLKPTEGSIDQVREEPPEDGLDNLVMIKVMNFNWCPTEVQLARSRRFARNAQRAARWFGMDGERER >Sspon.04G0033510-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:59018519:59022737:-1 gene:Sspon.04G0033510-1C transcript:Sspon.04G0033510-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHLAAAPPDPAGADRNGSYHPLDKPGNGGSLTAIVGGVFGGVAAVVVLGVVLYIIFYRRRKANRAAILPSSENSIQPATTSMDKSALSTSQADSASGDPEITVDKSVEFSYEELFNAAEGFSTSKKIGQGGFGAVYYAELRGEFEEALNTPDPKEGLQRLIDPALGEDYPIDSVLKMTVLARACTQQDPKARPKMRSIVVALLSLSSTSEFWDMNAIPENQGLVNLMSGR >Sspon.01G0045070-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:86898348:86899637:1 gene:Sspon.01G0045070-1B transcript:Sspon.01G0045070-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRLPAALCGGRAATRVRRKRVQRATYSSPSSKLPAPALPSKDGGKAGSAAAAGGGGGGRYVNGNGALMVEVGSSKKGGGGRRVMVLADGRAEAAGALQWALSQAVRSNDTVLLLAVAKPVARDGQSRRSLSYVSSSRRAVGDKITIHNAGEGGDVRRGGGGARAGGGGRGQAARRVAARAGPTAPAARGGAVAAGGAVAAPAQQGLLLRHRRRRRHGGVLHRARAVRGAGRAPEELRRLPRLQQAPQGLLAPRL >Sspon.07G0015200-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:38325474:38330658:1 gene:Sspon.07G0015200-4D transcript:Sspon.07G0015200-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAAAPGLLPVAADRDRDKDKDDRRRWAARCGFAVLGIMSTLLVYGVLQEKIMRVPYGVEKEFFRYSLFLVFCNRITTCMVSAMVLLASKKSLDPVAPLHKYGVVSISNILTTTCQYEALKYVSFPVQTLAKCAKMIPVMIWGTIIMRKRYGGKDYFFAVIVTLGCALFILYPASMDVSPFNKGRESTIWGVSLMLGYLGFDGFTSTFQDKLFKGYDMEIHNQIFYTTVATASQFFISYTIRTFGALTFATIMTTRQLVSILLSCIWFVHPLSWMQWVGAAIVFGALYTKSFLRSKPQKPAVASPPRGSSPNPVNNS >Sspon.02G0000920-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:4138548:4141963:1 gene:Sspon.02G0000920-2B transcript:Sspon.02G0000920-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MENSDARAVRRVRCPRCHCVLEEPGAPVYQCGGCGTTLRAKNRASSGVGDAARASPSRSGLPPQSRHLDASDVASTSRSSTPTPPHVGSTSRSGTPTPPHVGTSWHRAATDATSSRHGSGDLALVSAGTRGSGDVASTSSTPDATASSRRQGTDATSRRGSGGLVSAARSRASGDVASTSSTPDASADTTSRGESVVPVPARNRVSEQVALIEKRGHEQSAVSQEVGDDSEGCGPRDAGSAEPGVGGARLSGGNRDATPELQDDTEKGMKRQAESTDAARKKHSGEAAVQPQYHHEHRQELAPKSSAQPAAAQPARDDAADAGEKAPSPSRHELQEEHLGPLRKKILKTVDELKGDLSELFSKSPELNPPRARPPRLPKQEGYVSRAAAAASGFPAGARHAAAATDGHRGHHGSAARAVKPRQAAAPPRGLPSRRYRQCRAHPCCHNVEPRPCQHGCCRHHGKPECSSCRGYCCRPRAQEPSAPRKPPAGKEPKRRLPPRNHCRPVLKGAPFIVCSSCFKLVQVPADFAVSTRTVRKLRCGSCSTVLSYSYRDPARKKAYQDSVDRCSTDGSELHGGKGDERSDPFAPFIDAFGLSSYSTEDEQRLPVSRNTSFDTLDGTKAVGRLHRLMGYGSASELLRHSPDLYESFSERTTPDVRQHDTKGKGVCVDNDDDDCDVDDSDEEDVGALKRSVGKGSGWPFPWMPGKGTPAAGAIRIK >Sspon.02G0000220-1P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1C:25110423:25110668:-1 gene:Sspon.02G0000220-1P transcript:Sspon.02G0000220-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSKQVLAAVVAASMVLVFLPTLATATDHVVGDSQGWTLGFDYAAWAESKQFTVGDTIGTRTQPDRSKALYVNTRTLQEKK >Sspon.03G0024040-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:91755490:91756894:1 gene:Sspon.03G0024040-2C transcript:Sspon.03G0024040-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MIAARSSDWQRRVFNCHLVIFPLPKSTAMRSCIAAVAVLLLFVSCQLHGADAAGYRPKRFFTSIFSFGNSYADTGNFVRLAAPIIPVIPFNNLPYGETFFRRPTGRASNGRIILDFIAEAFGLPFVPPSLDTTQSFSKGANFAVVGATALDLSYFLEHNITSVPPFNSSFSVQIGWFEQLKPSLCSTPKRCDEYLGRSLFVIGEFGGTSSSSLPTRPWRRRERTFRRWSRPSPTRLIRLGAKRIVVPGNVPMGCIPIVLTLYASPNKSDYDKYGCLDKFNGLARYHNNLLRREVQALQHKYRHTKIAFADYFRPVVNFLQKPVKFGFNGGTALVACCGAGG >Sspon.06G0012060-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:64785762:64788244:1 gene:Sspon.06G0012060-1A transcript:Sspon.06G0012060-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMAAGAGAGEPAPRAEAAGFGSGSGTNHLRPRRGPPPPSPSPAVGKPLPSGAVPRHAFVFDGEGGFSEASWGVGLAPASGSARMRPGEFTWHHVELPRSAAKPLHHAQALIELLCPPLTLQEILAFVATGPHCASSSSGDGDGGGALLLRVSSPGPVGSAYALRLAVRVTESSVVTVSVGGVPRLAFGTKQASLLSEVPLGVVAASLSDEGHGGGRAVDGGVVIEERLLESLLAMNHADGAHTDNPVPRTVSNLLVHVLGTHVDHVHDIVTRLEMDLDAIELQLDKGGHFLRKLLLDGRRFPKMHLDLQRLLQVVSHGEQVFPRVKEKCASKSWFATGDIAALEDLIGRLRRLKENLGFITNRVTTLQASLDSWQSEQINKSLYYLSFLSIIFLPLSIVTGVFGMNVGGVPWTEQNKNPKNRDGFMNVMLICVVILLLLLLCFLFPSLYSHVTAWRTRRELTQSNSQNKRHLKLFKGHKE >Sspon.07G0024360-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7C:20995719:20996466:1 gene:Sspon.07G0024360-2C transcript:Sspon.07G0024360-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRKSPRSLLLCCVVMVVALLSQQGGQAAYFVPSPVPAPAPAGSSAINSSAAPRPAKPNAFPFPFPVHGVTPGSLQPQECGGRCAARCSATAYRKPCLFFCRKCCAACLCVPAGTYGNKNTCPCYNNWKTKRGGP >Sspon.06G0002530-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:8241241:8244645:-1 gene:Sspon.06G0002530-1P transcript:Sspon.06G0002530-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGILGKGSGWCFCSRGAKLERIKSSLLAAKGAAVAAVSFPSGGGGGGGGGGGAGGKGGSGFLIHRGLLLTTHGTIPSAAAAGIAEVRLSHGRLLARLVPQRFFITSSILDLTIVGLDIVDDDLSSHGQQPHFLKTCLNPSLDLGSTVLLLGHNRNDLAVGEGKVVIATDNLIKFSIDEVLWHPGSAGFDMHGNLAFMVCDPMKIAPSTPNGYASASSTALCTSRKDVPAQFGIPIPAVCEWLKQHWNGSLEDVSKPMMTPARLTTSGERSGRSSLGHLRYVKTTEVEGGDVLSSSQMPPRPTWQHGACSSASAKISHGEKDSVSSHSFHGQHELTSKICKPQKEQATSLVEHSRSIRLPLPLKQMMPAENKNEANRQAPHRTQPSNVQMNCGTLHNVAYHENCWSEVQSSSSPLAISELGDERNGFSSGEETMYSAETRESRNIPSPKEKKTEMVGRSQSFVNHSKWDSPKSVESSRGFPSKSQTFIPLRKPHLQAAAISQKSQDYFSPTVSSNMKKRNLSQTPMKPRQRAQVTSKWIT >Sspon.02G0039360-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:51768609:51771852:-1 gene:Sspon.02G0039360-1P transcript:Sspon.02G0039360-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARVGSSSSVPGVKLTEEIENVFEQLISKIEPADFDPRPFLKQLNVLGRYEPIKRNVYCTKRRIEDYGISCRCKPSPGSSVVCGRDCHCGMLFSCCSSQCECDNACANKSFQHRPLKKTKLIKTEKCGHGLVTEDEIKKREFVIEYVG >Sspon.04G0024170-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:22407338:22408234:1 gene:Sspon.04G0024170-2D transcript:Sspon.04G0024170-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VNNAGIVGTTTEISDPESFKQELAKLVCNFISISTVTSVIFLSKNMDGMEKLEWIRKHTTEPYNKAKECLITNYHGTKIVTEALLPLLQCSAHGRVVNVSSHFGLLRFFSGEELKTELNNIDNLSEERLDELSELFLKDFKNGQLEHYGWPTEGGYPAYKVSKALANAYSRIIAKKHPTLCVNCVHPGYVSTDINFHTGDLTVEEGARGALILAFIPKGGMTGAYLNCTEVASFV >Sspon.04G0030560-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:2407639:2412287:1 gene:Sspon.04G0030560-1P transcript:Sspon.04G0030560-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPALLDDVIRRLLEVKNLKPGKNAQLSESEIKQLCAAAKEIFLSQPNLLELEAPIKICGDVHGQYSDLLRLFDYGGYPPQANYLFLGDYVDRGKQSLETICLLLAYKVKYPENFFLLRGNHECASVNRIYGFYDECKRRFSVKLWKTFTDCFNCLPVSALIDDKILCMHGGLSPELNKLDQILNLNRPTDVPDTGLLCDLLWSDPSNEATGWAMNDRGVSFTFGPDKVNEFLEKHDLDLICRAHQVVEDGYEFFANRQLVTIFSAPNYCGEFDNAGAMMSVDETLMCSFQILKPARKMLGGSTNNKSGFKQLRGW >Sspon.02G0003140-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:10322348:10325942:1 gene:Sspon.02G0003140-1A transcript:Sspon.02G0003140-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMDVLVSVALDEVCASISTGLPVTGLWTALSGEFEAAGLPLDLAVKSALFARLIALPHISLMERKPGEKPGKQGKPDDTLVHSAAKTVEAAERHGALLFASPALSDNFLGIYDHRYSSSKLSAKQKETLERVGASRTSGVTQKSLWESIGMETKESIGMEPNQSIDMKPSKSIRMKANNFHYVVKTLQSQGLIVGKQAIVKSNDVGGETEYDSRDSLVVSTNLLYLSRYAKELNMNSYQRIEIAKPKLGRDEEINIDALQEDENLSVDYKSYVSIHDYLPAMKAICDKLEEASGKALAVSDIKKDLSYKMPRGHRAWRNVIRCLRLLKKFNPNEFKRESTASNFKLGNKCLVTDQLMELPLENSIYDMIHAQGPKGATLVELYSNTPPHVEAPSGLRRGIGPTLPLMWRLPQVSDIL >Sspon.01G0046110-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:87056482:87061919:1 gene:Sspon.01G0046110-3D transcript:Sspon.01G0046110-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQLAVSCVMCGVNREVLERMAEDSDEEEHLGPLHDAASAGKMDVCKHLVENLEFDVDVRANDGLGKTPLTCAVSRGKVIAVRYLIAKGADLNKQDAMGFTPLHYAVKRGYSGIARLLLSRGANVDLESSEGTPLHVSAARGKFGVMEILLEHHADPDRVSPDLYTPLAKILCATYEKASVSACLKCMKLLVKVAGADLNSTNPDTPLVIATSRGLNECVDYLLEVGADANIQIKHGHKTPIEIAAKSGRRNLVESLFPFTSPIQTISDWSVEGILAYARSRQSKHKDKLSDEDRKAQLKLHGGQAVKGKDYAGASKFYTEVYHCVLQSCRTLHATLYSNRSFCHLKMGGARDALVDANACISLQPDWPKGYYRKGAALMSLKEYKEARDAFMDGLKLDPSNLDIQNAYWEADEAMIKKHSTGQSAELLE >Sspon.05G0009670-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:5A:27741279:27741659:1 gene:Sspon.05G0009670-1A transcript:Sspon.05G0009670-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VNGCDASVLVSPLSSSTAPERAAEINLSLPGDAFDAVGRAKAALEAACPGVVSCADVLALAARDLVAILGGPRFPVALGRRDSARSDARDVEGNLPRTNMSARAMVRLFAGKGLTPREMVALAGAHT >Sspon.06G0018280-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:98522217:98527022:1 gene:Sspon.06G0018280-1A transcript:Sspon.06G0018280-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLWSGLGQAATVVQLSGLDAGGLILMIVQAVQTAQRNREECRQLANHVMIISDLLQLLQQPGMMSSPQISRPLDGLKDTLRQAYMLVTSCQRSNTIYRFFMAGNRAQQFRDVRNKIDSYLRIFPLMSHIDTRYFMNRFCRGAQPQASEEALGSFTSNLSADARSEASAFDENESAEVREGTESLSVGQQQEGFTVFSFSQLAASTNNFSSSNIIGRISHGNVYKGVLSNGVHVAIKTRRGEDTSGVSDFQNELQIVRNLQHANIIKLAWKLWSLGRAVELIDPSLSDEFRIVEILRCIQIALLCVQFNRADRPTMSNVLMMLKFESMTLPVPRPPEDPCSYRVRGWSDDDIETATSTSYTSAVCLSEEEESSSYFS >Sspon.08G0003550-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:6343198:6344295:-1 gene:Sspon.08G0003550-2B transcript:Sspon.08G0003550-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding TMLRAAEVATTMAAVALVVAIALSTAPAASAIDYTEHDLASETSLWALYERWCAHYNMARDVGEKTRRFDLFKENARRIYEHNQAGNATYTLGLNRFSDMTDEEFSNSPYRGCLTTAPVVQRMSDGENEELQQEDDGSFNLTDGGATAALGLPPAVDWRGRAVTRVKDQGLGCGSCWAFSAIAAVEGINAIRTRNLVPLSEQQLVDCDKGNNGCNGGFMPTAFDFIVRNRGIVPEGAYPYMGREGRCRHATAPPVTIDGYRKVPPFNANALMSAVAAQPVAVAIEAGAWEFRHYRGGVFSGNCGGKLGHAATAVGYGADAGGPFWIVKNSWGPGWGEGGYVRISRNTPVRQGVCGILTESSYPLKR >Sspon.02G0018260-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:58648039:58653445:-1 gene:Sspon.02G0018260-1A transcript:Sspon.02G0018260-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPTTASKGRGAARSAPPLFGPYLRRIVKVETKNQWARDDPAFVVILILFLVFATSAYCAAYGESASNAALTITSVVFLHFLFAGIVLATLCWFLTNSYLREEPNSHVVEQRVEWAFIVFSYISF >Sspon.06G0019570-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6C:3246236:3250866:1 gene:Sspon.06G0019570-2C transcript:Sspon.06G0019570-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTARTRQGKVRSTEHATKTMHSQPFGPSQIYKDHATTKAPRSLASGNDQGAEPLRADAAVGRRLIGCCRCRTRTTTPPRRPEIPCHPLFRKDGSRVPRPPPKIWRHISVAGGYGSHESDSRISVAARSMANPVASPSCRYHAHSRGHMNENDRMYGTTPWLAWQVGSVAGVATMAMGPLLVSVTGRHAVSQRFGTELDQSPTGHAGRVAANPADWVLETAASISPGKREASSPK >Sspon.01G0046440-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:97471232:97472423:1 gene:Sspon.01G0046440-1B transcript:Sspon.01G0046440-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDTMGGTRGLLGQAREDEQARNVVVGAGALTVARDYRRGNWTLPETMLLIEAKRKVHEERHPGDQGLARWRWVEDYCWRAGCRRSQNQCNDRWDNLMRDYKKVRAYELSGAGAGAGGKAPSYWAMGRPERKERGLPSNLLREIYDAMGEVIERRMSMGCGGSSGGPGGAFTAAASSSSLLDVPMQASPLAQVLPRPLLPLEQETHGHSSPESPERKRRRPSLDELRPGSSTPSAPGTHGHRQEQGRHREEEEEEEEEDDDDDDDESSEAEYSDDDYNVLSGAIGRCAAILSEALESREAAEERRHREVMAVEERRGRARQARREAGEQCVAGLASAVNQLAGSMLALAAAKHKDKGGGPAAPK >Sspon.04G0012290-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:39959178:39965874:-1 gene:Sspon.04G0012290-1A transcript:Sspon.04G0012290-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPLKINNNRSSRTPDIVRYRPQCRLRVPGGAPRSDPVSFPSHKPEPPLKYHPPSASPPTPLSHPPPTSLAIPRASPPARIDHVLIKNAAYIGTPGKGILAADESTGTIGKRLSSINVENIEENRRALRELLFCAPGALQYLSGVILFEETLYQKTKDGKPFVDVLNEGGVLPGIKVDKGTIEVAGTNKETTTQGHDDLGKRCAKYYEAGARFAKWRAVLNIGPNEPSQLAIDLNAQGLARYAIICQENGLVPIVEPEILVDGPHDIEHCAYVTEMVLAACYKALNEHHVLLEGTLLKPNMVTPGSDSKKVAPEVIAEYTVRALQRTVPAAVPAIVFLSGGQSEEEATLNLNAMNKLNTKKPWSLSFSFGRALQASTLKAWAGKEENVEKARAAFLTRCKANSEATLGTYKGDAAAGEGVSESLHVKDYKY >Sspon.01G0016460-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:54934607:54935224:1 gene:Sspon.01G0016460-2B transcript:Sspon.01G0016460-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNVLIDRSASGFEFRRWAAGDDFRSRSFAMSALPPESRSIYSSFPYVSPLPPCQYAPCLHSSLSLPPLHPRRHPPRLPLPPAVAATMAKNAASAPAAAAKGKPAAAAARRTGPAGEYKRKKPPRTPRTGEEPPRAQRRKPLERATPLPPAPAVHEALDDLERQVTRGFVEDLMHALAPPPSSLPLPTFALVRAATPKAVPSCTV >Sspon.07G0019430-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7A:71404747:71406177:-1 gene:Sspon.07G0019430-1A transcript:Sspon.07G0019430-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVFPLPRLATLRLRYCSVYPNVVQALLDAATELTTVQLGSLFFVLPPDSQQKTLSHWHHHDELDGSEPEPPVLRLSFQAATTTLVLALCGMPEQVSGDRRGRSRGAIEIDAPRLQSFKYKGLMRRFILRSSAAPGVAVSNTERLGEEEGGGRGIATRTGFRFQNEFSYNVHDSIAHSSPEYILELALPHWAVYHGVGLVLPDGPALLRSDGVTWCFRISSSNSCVGGDLHFLRHDPDDPYRRYDSDDDNGKETTRMLFWQFLHNFTNARTLKLKVGNDIKDIAAIGKAKRARLLCAFPGVECLELEGVHGPKSKTAAVAIANLLHCCPVLGEIMLKLSTATASLDKDSRYGHDFLERKDRSDYTKSMDRFVRRRKSKTAMSMEDSSFGDNKYDDVPGITGLSGGSFACLQRTLRRAGLQFRLDNNSSISSCLGLCSSDWTTTHPSPAALDCGLSNSSLTMLGFLKKYLLTLATGD >Sspon.07G0003000-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7B:6932710:6934589:-1 gene:Sspon.07G0003000-2B transcript:Sspon.07G0003000-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding KAFADAWTAACTSADNVILNVPAGGTFQIWPLTLAGPCRSEIKLLISGDIVAPESPSDWGHGQRSQWLHFHKVEDLKITGGGIIDGRGQQWWAQSSLMRAQPAPKAVHFEDCQGISVKGITLQNSQSYHLTFTRSSDVEANYLRVTSPEDSIDTKGIHLVDSFNVHVMDNLISTGDDCVSIVGKCEDVRLRAIACGPGHGISIGTLGVNNSVDYVEKIKVDTLFISNAENGVRVRTTKSGGGGFARKVKFESIVMRNVTNPIIVDQGNSDHLPASSEAVAPVHTPIKLLSLSVFTATMMGSIRVSSVSELTCWKSCTAQAAATAVQVEKINYIDITGTSASKHAVTFSCSDAKPCRHLSLVNVNLSRVDGNKASSYCRKAFGRSIGTVIPESCLSKEDFVQHDVPQRSEEDREEDSDS >Sspon.04G0033440-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4D:60561803:60562432:-1 gene:Sspon.04G0033440-2D transcript:Sspon.04G0033440-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAALLVTRVAAATVAVACVLALGAVAQLSPTFYDASCPNLQTIVRSGMAAAVQQEPRMGASILRLFFHDCFVQGCDASVLLDDSPTLTGEKNAGPNANSLRGFEVIDAIKSQVEAACPGTVSCADILALAARDGVNLVSEPCILCS >Sspon.03G0039310-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3C:12858008:12859770:1 gene:Sspon.03G0039310-1C transcript:Sspon.03G0039310-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGAGAPPLAGQGADAPPPRGSPAARTPLVLDQGASDNAARMAAGVRAAAVPPPAAVPMAGSPPDAVRAAAVPLPMVAGAGPLLRRTRAAPGEGSSGRAVTALAAPCPPQQPQARARPPPPPSPPPSSPLGLLPRPARAGFALTPPSPPPSPPPGLLPRQAKPVFVRPPSLGSVRAMRLTLWLSSSPRRSSSSSSLPHSTSCRGTRLPRLPFLLLCRRRRLLLVLLPPSRAGVGGAVAAGTVRLPVVRLARRRPCSLLLLRSQLLLWDFHLPAPRPGLSVGTRRPWPALSAPWHRHPLSGQPGSPTREPRTTPLPTLVYSPPFTLLLLLIHRPSWSPMAPVFLSRLWMPPALMAPFVFSTFLLHLLWFTTS >Sspon.01G0041930-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:54149349:54151898:-1 gene:Sspon.01G0041930-2D transcript:Sspon.01G0041930-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQGRRGSKKHGSGPAALWLLLPLLVLIVLKTNLLPQVARYSMLGSPPSAASTSTHGMTSNVDATKAVAAPPIISSKLTCNFSNHQSNTCSMEGDLRIHGKSATVYVVAASTYRPENATIKLRPYARKWEDQVMMLVREVTMRSSPPGGADDPPPPQCSVRHDAPAVVFSTGGYNRNFFHVMTDVIIPLYLTAREYNGHVQLLATDYEPKWIAKYKAILAALSSYPVIDLDSESEDTVRCFPSAHVGLESHKELGIVPGLSHKGYTMVSFRDFIRSAYSLQRPRVTPVSRSAGRKPRLVMILRRNSRQLKNEADAIAAAADVGFEVVAAGPDDVSDLKRFPGVVNSCDVLMGVHGAGLANMLFLPHNATVVQIIPWGELKWACRHSYGDPVPDMGLRYIEYEATAEETSLKESYPRDHAVFTDPLSIHRQGFDKMWNIFINGQHVIVDIDRFRGFMKQLYQSITTE >Sspon.03G0018760-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:78261085:78269647:1 gene:Sspon.03G0018760-3C transcript:Sspon.03G0018760-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDTTDDIAEGISFQAFEDDCRLLASLLHDVLLRELGPRFIHILERNRILAQSAVSMRAAGMEDTAAVVERQLEADLAAMSLDDALCLARAFSHYLNLMGIAETHHRVRKARNVEQLSKSCDDIFNKLIQSGVPSEQLYDTVCKQEVEIVLTAHPTQINRRTLQYKHLRVAHLLEFNGRPDLSHEDKEMLIEDLVREITAIWQTDELRRHKPTPVDEARVGLHIVEQSLWKAVPHYLRRVSSALKKHTGRPLPLTCTPIRFGSWMGGDRDGNPNVTAKVTRDVSLLSRWMAIDFYIRELDSLSFELSVKRCSDKVASLANDIMLKESASEDLKANTWNKTLPQNNAKLYHNLALPAQLPSGADLPSCTECSDGESQFRMINLPRNSSRPGALNLTEKFEDSPLSSPTGRQPQISRTPSGHQLRKLFKESHIGRSSSFQKLLEPSLSDRPGITPYRVVLGNVKEKTRRRLELLLEDLPCDYDTEEYCETSDQLLEPLLLCHQSLQSCGSSVLADGRLADLIRRVATFGMVLMKLDVRQESGRHTEALDSVTSYLDLGVYSEWDEEKKLDFLTRELKGKRPLVPQNIEVAADVKEVLDTFKVAAELGSDSLGAYVISMASNASDVLAVELLQKDARLTVSGDLGRPCPGGTLRVVPLFETVKDLQAAGSAIRKLLSIDWYREHIIENHNGHQEVMVGYSDSGKDAGRFTAAWELYKAQEDVVAACNEFGIKVTLFHGRGGSIGRGGGPTYLAIQSQPPGSVMGTLRSTEQGEMVQAKFGLPQTAVRQLEIYTTAVLLATLRPPHPPRDPTWRTVMEEISRVSCAHYRATVYEDPEFITYFQEATPQAELGHLNIGSRPAKRKPLGGISSLRAIPWVFAWTQTRLVLPAWLGVGTGLQDALDRGHGAELRAMYAEWPFFQSTVDLIEMVTAKADASVAAHYEEMLVAPERRAVGERLRRELARTERCVLAVSGHSKLTAHNRSLRRLIESRLAYLNPINMLQVEVLRRLRRDDDNRRLRDALLITINGIAAGMRNTG >Sspon.08G0003650-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:10105261:10106789:1 gene:Sspon.08G0003650-1A transcript:Sspon.08G0003650-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSGSGGGVEGGGTGGGGLRKGPWTQAEDKLLVDHVRRHGEGNWNTVRWETGLLRCGKSCRLRWANHLRPNLRKGPFSPEEERHILLLHGLYGNKWARIASFVSPCLTDNEIKNYWNTRLKRRQRAGLPLYPPDVEREIAFLRAHNVNPLADADASAQAHANASLQRPPPLLYDAGNPFVALPPTMPSPSPTHSPLINQNYPLLNQMQVFHHLGGSQQQQPAAFHQDNGSAAAAAVFGGIVSSGLPPLPTAARAQAAELPSNQFDTSSSGSGSGSMGLLESMLIGDEHLLLRPNPSMVKVPSMPALSYREPAGSRLLPVHGVGSDGDVSSHSHCPPGEEFHHGATWDFTFEAVKPAKRRTASEVGISDMFAIAPPGDWFGTCGGSTAPSPGPSSAVTDDEFTLEMQQF >Sspon.02G0025560-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:93808405:93809651:-1 gene:Sspon.02G0025560-2C transcript:Sspon.02G0025560-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEESLQRALLRAKVINEEAMGRYITNQAMIQQLGMLRDAMHRGNYALDTFRYQPHYGEDDSDQVMSPFMSPSKVPSAKHPYFFSQTAQFREQLQEALDRLSSMIVDLNELVMFLMSYPRLYRQPYSMHILLGNCMFGRQMELELVIKFLLHTQPHSSLELEVLPIVGPVKVGKSTLVAHICKDERFRDYFSEILWLCDSNFINDELACREGCVMKHQNCMPKSNRGNRLLVVIELSGDLYEDAWNRLYLASKQSFPSGTKIIVTSRSDKIAMFGTTPALTLKFLSKEAYWYFFKTLTLGSMDPKKHPSLAQLAMEIARIMQNAKQTWTAWKNGYTFRIFHDLSSVSPFFTRGGSGDKNARFVVWKH >Sspon.02G0034720-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:13885020:13897121:1 gene:Sspon.02G0034720-1B transcript:Sspon.02G0034720-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAEDQAAAAALLGGDPTAFDALLSTLMSSSNADRSAAEAAFHRLRASHPEPLALRLATSLAAPATPADLRAMAGVLLRKVLSPTPSSDASSNNATPPAPLWPQLSPAGQAALKAHLLSALQSDPPKPIAKKVCDAVSELAASLLPENAWPELLPFLFRAASGPEAPNLQESALLIFARLADYIAESLLDHLMTIHNLLASALAHPTSPDVRIAALGAAVNLVQCLPTNSDRDKMQDLLPAMMRALTDCLNSGQEASAQEALELLVELAGAEPRFLRKQIADVVGAMLQVAEAAQLEDGTRHLAVEFVITLAEARERAPGMMRRLPQFVGRLFAVLMQMLLDVEDDPAWHSAETEDEDAGEGNNYGVAQECLDRLAIAIGGNAIVPIASELLPQYLSAPEWQKHHAALITLAQIAEGCAKVMLKNLEQVVSMILNGFQHPHPRVRWAAINAIGQLSTDLGPDLQVHYHQQVLPALANAMDDFQNPRVQAHAASAILNFSENCTPEILTPYLDGIVNKLLVLLQNGKQMVQEGALTALASVADSSQDHFKKYYDAVMPYLKSILMHATDKSNRMLRAKSMECISLVGMAVGKDKFRDDARQVMEVLMALQGAPMETDDPITSYMLQAWARLCKCLGQDFLPYMSVVMPPLLQSAQLKPDVTITSAESDDDIESDDDSIETITLGDKRIGIRTSVLEEKATACNMLCCYADELKEGFFPWIDQVAPTLVPLLKFYFHEEVRRAAVAAMPELLRSAKLAVEKGQAQGRDQSYVKQLSDYIIPALVEALHKEPETEMCSSMLDSLNECMQLSGLLLDQTQVRAISDEIKNVIIASATRKRERSERTKAEDFDADEGELLKEENEQEEEVFDQVSECLGTLIKTFKASFLPFFEELSMYITPMLGKDKTPEERRIAICIFDDVAEQCRESALKYYDTYLPFLLEASNDENSDVRQAAVYGVGVCAEFGGHVFRPLVGEALSKLNNVIRHPEARLPDNIMAYDNAVSALGKICQFHRDGIDAAQVVPAWLSCLPIKDDKIEAKVVHEQLCSMVERSDTEILGPHSQYLPKIISIFAEVLCNGTELATDETRNRMVNVLRRFQQTLPPDFLASTFSNLQPQQQLLLQSGLLSGLLLDQTQVRAISDEIKNVIIASATRKENVSECLGTLIKTFKASFLPFFEELSMYITPMLGKDKTPEERRIAICIFDDVAEQCRESALKYYDTYLPFLLEASNDENSDVRQAAVYGVGVCAEFGGHVFRPLVGEALSKLNNVIRHPEARLPDNIMAYDNAVSALGKICQFHRDGIDAAQVVPAWLSCLPIKDDKIEAKVVHEQLCSMVERSDTEILGPHSQYLPKIISIFAEVLCNGTELATDETRNRMVNVLRRFQQTLPPDFLASTYRSSCPGI >Sspon.02G0051470-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:78455050:78455750:1 gene:Sspon.02G0051470-1C transcript:Sspon.02G0051470-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLWFLVDMDIDKGIWTKRYSIDCKEHAAYRPPCPLHVLNDGKLVIWGAEQKRVLGVYDPRTNMYAKQPSMEEYGSVCMCLRVMVPIPFVDGRGLGFPCRGSTTVIAGRRRRSMAGVGVLQATVSGAGGQRAGQRGGGGGRRRESSARREEGEEI >Sspon.05G0010530-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:5A:29928443:29930125:-1 gene:Sspon.05G0010530-1A transcript:Sspon.05G0010530-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMEDDAVDEFLWQQYPDHNPALLQTQQLFAQVLSDTSSTSALAAVQAGAQGKELQDPPSLNSTATGAEEPASTLSPAAGSSCFKDAVSMAFLRGMEEANRFLPGGGGYTADCRGRKKRLDADGDDEQVEGRSSKQMAADGEKSEEAAAREMLDKLMLNGDDEPILADDMQELRAAMDLAKTPPGRPAGARADPDQQQQAVDLHSMLIRCADAVADNDRRRAADLLQRIRHHSSPSGNATQRLAHCFAEGLLVRLNGTGNLHYLSSSSMPKSASSSGVQLKAMQFFMASCCFLPVNILFSNKSIYKAAAGRKKLHIVHYGLDHGLQWATLLRWLARREGGPPEVRLTGIDVPQPGFRPARLIEEAGRRLTAYAHQLGVPFRFCGIAAKSEAVRAGDLDIDPDEVLAVSSLFHFRTLTDEGTMATDDGEAGTDPIGAVLGAIREMKPSVFVHAVLNASYSTAFFATRFREALYNFTAQFDMMDTILPRDNGSRLLFERDVLARCAVNVIACEGADRVQHPRSYKQWQARSRRAGLRQLPLDCDIVQTLKDKVEREYHEHFVI >Sspon.03G0026100-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:7124278:7128600:1 gene:Sspon.03G0026100-1P transcript:Sspon.03G0026100-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRHQRATGAVQQGRAAEGGGGQVSGNCRGLFQLGSNPTPFRAGGEGVPGRRRVGEGRWAGADSGGSPSPTSDPAMATRILSCQNLRKLASFTLQNISQRQLISPYLPALRSTIASPSKFLSPLYLSGHSLAVRWATYGSVNLFQIEEVEPSTKRRYLTKKLLKVQRKKERKKRKEANKNDPRRIRPKGKKIKQKFPTPEARLKYKIEKAKLKEARLVEKLKKYEVAKAQGPMAKPDDLSGEERFYLKKVSQKKSNYVPVGRRGVFGGVILNMHLHWKKHETVKVICKPCKPGQIQDYANEIARLSGGIPVNIIGDDAIVFYRGKNYVQPEVMSPIDTLSKKKALEKSKYEQSLETVRRFIAISEKELELYFRHVALYGNPQSQKAELVCGDDREASLLKMRGLDQGKDQEPHLATNHFSDLHISDVSESESDEEDSCGSEYDVNDDETESMTSISEDASVSDHGGLANWGEV >Sspon.08G0018690-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:7322518:7322799:1 gene:Sspon.08G0018690-1B transcript:Sspon.08G0018690-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding NMQRCVAGATMCGNTPPPEKTTEEQARAIMCAAQRAVEEEAKRGDDDDGGAGPGLSLKKSLQWFLEGRKNKAMAAAAGGIHRQLEDESASSSKY >Sspon.02G0024570-3D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2D:81350896:81352200:-1 gene:Sspon.02G0024570-3D transcript:Sspon.02G0024570-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRPRRSSIKYIENNNSRSISFSKRRDGLFKMAANLSTLTGARIAIVLEAENGKMSGFSAPSFGPIMDSFLSGEEGGAPEGPDEQEKDMIAKLQKEIMQLEESKAMREQRAAESLARVKAIRESSRVGKLIYSNVDDLCVDELNELLRGLARIGQEIRALLAPPPPWVEIGGSLRGPPPLRLFSPPHSQVQQPPRRLPWVSSQSQPSLPVLRSSCTLPESIRPSSLQAISRMLLRHTQLSQRPTSLMVRPQAPVMPLPNEAHQYNYQSLGVDITGNTSGHFSQTSMLSSLPPPPPPPLPISALHIPSQRSVEVEVPFQALNLNPDVPSQRHANPHSILENDDEVSHFFGGIGGTTPTHSFTGNEQPSLIPCGANGGHHAPGCHNVPCPSGSNGETYEWLSKTLLESSSEGGSSSDDDGAGDSLGNMDWFGDDN >Sspon.06G0002150-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:6935664:6937055:1 gene:Sspon.06G0002150-1A transcript:Sspon.06G0002150-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSPELEGLRRIAPSRFVSFAFPNPFLGHASNPYGDGGGGDGDAWECVRVAVLDSPLPSPPVPSTAAMLVPSGRHRDWIFSTRAGHLHLLLSATRFSRLVLVGPELSAPSPRVVSCVRRPDPDPAHARLLPLLLALCPMAAFRDNAVPDVPLLVFQDDLLGLAPIKFVSGPVVGEMVVEDVAIDCSPGPAELRRRMRFKRMPCLVQTQVRLCQSPAAASSPLLEALEGSGELLQPEVGGSLVQPYLQAMVAGLAVIAPSIEESIRSGVRPRCLCAGVGGGSLLMSVRVGLQFDVIGIEADGVVLDVARNHFGLVEDEFLHVHVDDAIQMIEGFARQGEPDMNFSAVMVDLDSSDAMCGVSAPPLEMTHGNVLISVRTILHRHGVLILNVIPPPADRSFYKGMIDLLRQVFSELYEIDVGNGENLVLIATVSPIETTVTGDSGHFLTELRKLTGDFLEHIRKF >Sspon.03G0009270-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:32280729:32283111:1 gene:Sspon.03G0009270-2B transcript:Sspon.03G0009270-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPAPHQGEGRQGDHQHQQRLQKVMVAVDESECSRHALEWVLRNLAPTLAPPLLVLTVQPPIPLGYVSAASFGAPLGTIPPVAPELIKSMQEQQRELTQALLDKVRAICAEHGVAVEIIVGVGDAKEVICEAAEKKNVDLLVLGSHSRGPIQRLFLGSVSNYCVHHSKRPVLVVKNQG >Sspon.03G0001990-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:4814830:4816191:1 gene:Sspon.03G0001990-1A transcript:Sspon.03G0001990-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Stearoyl-[acyl-carrier-protein] 9-desaturase 6, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G43800) UniProtKB/Swiss-Prot;Acc:Q84VY3] TMQAHGIAVRARGPVAAVAPAPARRRQCRVSAAAVAAPAARARVTHSMPPEKAEVFSSLEGWAARSLLPLLKPVEECWQPTDFLPDSSSEMFEHEVRELRARAAGLPDEYFVVLVGDMITEEALPTYQTMINTLDGVRDETGASACPWAVWTRTWTAEENRHGDILGKYMYLSGRVDMRMVEKTVQYLIGSGQDPGTENNPYLGFVYTSFQERATAVSHGNTARLARAHGDDVLARTCGTIAADEKRHETAYGRIVEQLLQLDPEGAVLAIADMMRKRITMPAHLMHDGRDMNLFEHFAAVAQRLGVYTARDYADIVEFLVKRWGLETLESGLSAEGRRARDFVCGLAPRMRRAAERAEDRTKKDEPRMVKFSWIFDREAVV >Sspon.07G0017210-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:83523744:83529732:1 gene:Sspon.07G0017210-2C transcript:Sspon.07G0017210-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:At5g16260 [Source:Projected from Arabidopsis thaliana (AT5G16260) UniProtKB/TrEMBL;Acc:Q9LF02] MEMETRGAAAAGEVGWYVLGPDQQGVGPYALVELRENFANGYLNESTMLWAQGRKEWMPLSSIPELHSIVTAAKDQSRQAAAPDADDDFAKFQKEVTEAEKEVKGSAEDGDVGPQDDERPATPPDGEDEFTDDDGTIYKWDRTLRAWVPQNDVSGKKDGYAVEEMTFALEEVFQAPDIPGPSALEEINTPAETKNKESDKAEKRGEKKHKSSQKPAEKNEANKPPDSWFDLKVNTHVYVTGLPNDVTVEEIVEVFSKCGIIKEDPETKKPRVKIYTDKETGRKKGDALVTYFKEPSVALAVQLLDGTSFRPGGKTLMSVGPARFQQKGDVFIAKKTDKQKKRKIRKVEDKMLGWGGHDDKKVMIPTTVILRHMFTPAELRADEDLLSELEVDVREECAKFGPVDNVKICENHPQGVILVKFKDRKDGAKCIEKMNGRWRIYTSGSEIAPFQVPI >Sspon.01G0000460-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:993842:998699:-1 gene:Sspon.01G0000460-3C transcript:Sspon.01G0000460-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTEKSEVYSTNMTQAMGAALTYRHELGMNYNFIRPDFIVGSCLQSPLDVDKLRKIGVKTVFCLQQDSDLEDFDAFDLRLRLPAVVSKLHKLINCNVGLYVLDSWVQSQRRTSAAAGNSLQLSKRACFPKLEAIKLATADILTGLSKNTITLKWEDDGSSSVEISGLDIGWGQRIPLTYDEEKGAWFLEKELPEGRYEYKYIVDGKWLCNEHEMLTKPNADGHVNNYVQVFRDGTSDEEKELRERLTGPDPDLTGEERLMIREYLEQYADAGER >Sspon.02G0017540-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2C:54289685:54290206:-1 gene:Sspon.02G0017540-2C transcript:Sspon.02G0017540-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MANASLYGFEDYHGVDIGVVRAVRLWYTPAAGEAALELRLRQGDTRLGFAISRTEEGFIYVSSVAEEGTPGVASARSGLLELHRAARRASKLLVLSRVGGEKVLPWMVSTAGNVKCFDTVSLSQKLSLHRHALRPITLHFLMWDRDLAVALPKDADVDKPPPAPPVLLLPSGLD >Sspon.06G0014340-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:75771688:75774908:1 gene:Sspon.06G0014340-1A transcript:Sspon.06G0014340-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKLWTILTHLHSLAGPTVMLLYPLYASVQAMESPSKLDDEQWLAYWILYSFITLMEMVLESLIYWIPIWYELKLLFIAWLALPNFRGAAFIYDKFVREQLRKHGLTSAAASGKKDDGKSSSPSSKDKDKPKSKFLAFVTPKKAMESLSKLDDDQWLAYWILYSFITLMEMLLQSLIYWIPVWYELKLLFMAWLVLPNFRGAAFIYNRFVREQVKKHTAIQAAGAGSTSNKNVIISANEDDKILSTPKEKKSKRKLLSMIIPKKLKF >Sspon.02G0002910-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:8986734:8988271:-1 gene:Sspon.02G0002910-3C transcript:Sspon.02G0002910-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARYARALMRRREVEEEEGKGMAKLQAAGRLLVILLALFLLVVAPAQAARFTRGFRATRIGEAPALEGAQVAAEDNWRSNAVVEEMFGRMALQITDYPGSGPNDRHTPKAPGP >Sspon.08G0012380-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8A:53115161:53115400:1 gene:Sspon.08G0012380-1A transcript:Sspon.08G0012380-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRRNAQRHEHGGAVDVDNIDNRPVPSSDGRALHRELLGGRNTTRKLMCREIGQLRGRMPPRPDVNFCTEKFRRFKLQV >Sspon.06G0016780-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6B:75614876:75615496:1 gene:Sspon.06G0016780-2B transcript:Sspon.06G0016780-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGMCSAVLAALGLTLLVCSALVSAETHVVGDSKGWGFSVSYDSWSGGKTFAAGDTLVFNYQAGVHNAVAVSASEYRSCKVRSAADAAATASGTARLDLKKGVNYFICGVPGHCAAGMKLRVVAN >Sspon.03G0000450-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:6893231:6901994:1 gene:Sspon.03G0000450-3D transcript:Sspon.03G0000450-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDHFSSAPSAPSAAATAAAAAAAAVGSSVIPIVNKLQDIFAQLGSSSTIDLPQVAVVGSQSSGKSSVLEALVGRDFLPRGSDICTRRPLVLQLVHQPRRPADAEADEWGEFLHLSGRRFYDFREIRREIQAETDREAGGNKGVSDRQIRLKIFSPNVLNITLVDLPGITKVPVGDQPTDIEARIRTMIMSYIRHKTCIILAVSPANADLANSDALQMARVADPDGSRTIGVITKLDIMDRGTDARNFLLGNVIPLKLGYVGVVNRSQQDALAREEKFFRTQPAYHGLAQYCGIPQLAKKLNQILVQHIKTVLPGLKSRISSQLTAVAKELAVYGDPVDSKAGQGAKLLNILAKYCEALSSMVEGKNEDISTIELSGGARIHYIFQSIFVKSLEEVDPCEDVTDEDIRMAIQNATGPRSALFVPEVPFEVLVRRQISRLLDPSLQCAQFIYDELVKMSHRCLATELQQFPILRRSMDEVIGKFLRDGLKPAESMIAHIIEMEEDYINTSHPNFIGGSKAVEQAQQQVRSAKMSAAVVRKDGVDAQASEKTQKSRAILGRSGVNGVVTDHIQGVWPAAEAERPGSSGSGSTSFWNQIFTSNEDKTHAPARDNTANKSYAVPAPNLEHSLSMIQLREPPVVLKPSEHQSEQEALEIAITKLLLKSYYNIVRKNVEDFIPKAIMHFLVNHTKRALHNYLITKLYRDDLLEDLLKEPDEITIKRKQIRENLKVLQQAYKTLDEIPLDAEAVERGGYSLDSDAIGLPRAHGLSSSLHGFNDGSSPYSTPKQSRSRRSNHSGEQPPFNPNMSGNGF >Sspon.06G0000190-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:11990779:11999021:-1 gene:Sspon.06G0000190-3D transcript:Sspon.06G0000190-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGDASTIDGGPLLGGRQASHEPDTPPVRTAGDAARMVWDESKRLWGIGLPIAVSMLSMYAISSITQMFIGHLGNLPLAAASIGLSVFATFALGFLLGMGSALETLCGQAFGAGQVDMLGVYLQRSWLILVAACVLMTPLFVFAEPLLLLIGQDADVARESARFATYIVPSIYAMAINFGASKFLQAQSKVTVPAYIGFGALLANVLLNYLFVYVLGWGLPGGAAAYDVGHWIMALGQVAYILGWCKDGWRGWSVAAFHEIWAFVRLSLESAVMLCLEIWYMGMITVLTGDLEDAQIAVDSLGICVRVSNELGSGRPRAAWNAVMVVVGEALLIGIVWMVLILVFRDSFSIVFTSDVTLQRAVAKIAGLLGLTMVLNSVQPVVSGVAVGGGWQGLVAYINLGCYYIFGLPLGYLLGYKFNFGVGLGGVAVIERRTLINAGATRRAASGRGHRRTPPHTPCCIASASASTGGAWRTAAMGGDPSTIEGAAPLLGGRQASHEPDTPPVRTAGDAARMVWDESKRLWGIGLPIAVGMLSMYAISSITQMFIGHLGNLPLAAASIGLSVFSTFALGFLLGMGSALETLCGQAFGAGQVAMLGVYLQRSWLILVSACVLMTPLFVFAEPLLLLLGQDADVARESARFSIYIIPSIYAMAINFGASKFLQAQSKVTVPAYIGFGALLANVLLNYLFVYVLGWGLPGAAAAYDVAHWVIALGQMAYIVGWCKDGWRGWSAAAFRDIWAFVRLSLESAVMLCLEIWYMSTITVLTGDLEDAQIAVDSLGICVRVSNELGSGRPRAAWNAVMVVVSEALLIGIVCMALILIFRDSFSIIFTSDATLQRAVAKIAGLLGLTMVLNSVQPVVSGVAVGGGWQGLVAYINLGCYYIFGLPLGYLLGYKFNFGVGGIWSGMLCGITLQTIILLVVIWRTDWKAEAAQALSRVQKWGGKGTDKVKPLLQ >Sspon.01G0044770-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4C:66681852:66682760:-1 gene:Sspon.01G0044770-2C transcript:Sspon.01G0044770-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGWMVQEAYMVPRAPVEPPVPMDQLPRPVIFHDGRLVRRPTPLVALLVVLWFPVGLALACLRIAAGALLPMPLVYYAFWALGVRVLVRGAPPPPRAERATGRSGVLFACSHRTLLDPIFLSAALGRPVAAVTYSLSRLSEFLSPIRTVRLSRDRATDAAMIRDLLAEGDLVICPEGTTCREPFLLRFSALFAELTHEVVPVAMENRMSMFHGTTARGWKGMDPFYFFMNPSPAYVVTFLNKLPPELTCAGGRTSHEVANYIQRLIAATLSYECTSLTRKDKYRALAGNDGVVATPKPMVAS >Sspon.02G0009590-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:26102655:26106506:-1 gene:Sspon.02G0009590-2B transcript:Sspon.02G0009590-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRAALLRPLVGSPFVPAGPLAQVAVRPRCRFHGGVRVRSSTGEGGSEPGGGNGGGEGAGAAASWLSSAVGEKVDELLRREENRTLLEGVEDAERRVERARAALADIERQEAAARLAREEVRRLEKRRDEIAESQRELLQAREMIDEAQRSLSSSLEEGSFGDMSGGDVDEDSERVESVKAAAVSSVVGVLASLPISFYEVEDLPQLFLRSSIVFISCALFGVTFRYAVRRDLDNIQLKTGAPAAFAFVRDTTIYPHLVFAEALMLASDGSKSSDEPPSVDRRQ >Sspon.07G0006620-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:17045449:17049156:-1 gene:Sspon.07G0006620-1A transcript:Sspon.07G0006620-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:BEL1-like homeodomain protein 9 [Source:Projected from Arabidopsis thaliana (AT5G02030) UniProtKB/Swiss-Prot;Acc:Q9LZM8] MSSAAGGGYGGGGAEHQHLLLGQAAGQLYHVPQHSRREKLRFPPDPADSPPPTAWPAPPPFYSYASSSTSSYSPHSPTLAHAQLVAHALPAGAGAQIPSQNFALSLSSASSNPPPAPRRQLAAGVATGPYGPFTGYAAVLGRSRFLGPAQKLLEEICDVGGRPPHLDRRSDEGMLDMDAMDVAGDVDHDMDGGDRATAEAVAVSGAEQQWRKTRLISLMEDVCRRYKQYYQQLQSVITSFETVAGLSNAAPFASMALRTMSKHFKCLKGMIMSQLRNTSKVVANDGIGKDDMANFALMGGGAGLLRGNNVNAFGQPHNIWRPQRGLPERAVSVLRSWLFEHFLHPYPTDSDKQMLAKQTGLTRNQVSNWFINARVRLWKPMVEEIHNLEMRQVQKNTSVDKNQLGMQQIQHSSDSSGKPSDPSNSQRGQSSGMTRNLSSPASRHIQDELSQMPHDMAGQVSFAYNGLPAHHGLALSHPQQAEVVSTGGIGVGGVAAGGGGVSLTLGLHQNNRTYIAEPLPAALPLNLPHRFGLEDVSDAYVMGSFGGQDRHFTKGIGGHHLLHDFVG >Sspon.07G0031710-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7C:26698397:26699386:-1 gene:Sspon.07G0031710-1C transcript:Sspon.07G0031710-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SNATKRAFVRLDEPLCAHSLCLGLASSPTGHPTYSLPLLHVGLNVSSSSPSSRWETQSLPAHTRARVTTRDSRPFVPRIPQLHNKRPSSRCHCARLQDAARVTARCQLSSVPTALIGRHTGVGHTRILRYILIRDHLGLVQAAAARWLDDVPDVLTAEALAAKEGLELAVENGYDRAVLEIDYRGLKTLLDDRACMRSSVGGICSDIT >Sspon.02G0032650-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2A:121181193:121181756:-1 gene:Sspon.02G0032650-1A transcript:Sspon.02G0032650-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARCSQLLVVVVVVILALTPAEAGRAMPTTTMVAASGGTAGNSQQNHEGAAAAAAGVNNGDQKTFLPGYIGGVGGFAGAGGVAGGIGGLGGVGGGLGGFGPFGGGGGLIGGIGGGSGLGGLGGGGVGGLGGLGAGSGLGGLGDGSGSGSGLGGGSGGGGGLGGGSGLGGGSGGGGYHSGVGGGGGCLH >Sspon.02G0026800-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:95100454:95104164:1 gene:Sspon.02G0026800-1A transcript:Sspon.02G0026800-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding HAPSPHRRVSSRREAEAASPRAPAPAGENLRSSPPLPPAAADEEKQKPSDAPPFSPLAVDHQNPPSMFTDHGHTATKASAEDCKKAACPQGNAIAGSSKGDQRGGGVASPAAAASPMQLGDDEEELEPGEIPPEKPAAGWNADPAHVSLLGKEEMVAGEEAKMIIAGEPAAAGSSAHRAAPAVLMSTGVMLGRDGKGKTATEMSAAEQKRRREVFVGGLSRDAMEEDVRAALSAAGEITQVRMFMDRTTSKNKGYCFVAYRDAAMASKAVAELGNVKEILYLRIITAHMNRDNNFLHYVVPPVVTMPAGYYIPMYHNGNGVYLPAPDLNHGLAGPSGRSQVNLLIICSQLFLMGNLVLADQQGSHIEAENNQKMFAAVNDGDL >Sspon.02G0004790-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:23493408:23496135:1 gene:Sspon.02G0004790-1T transcript:Sspon.02G0004790-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger AN1 and C2H2 domain-containing stress-associated protein, Stress response, Regulation of the expression of stress-associated gene [Source: Projected from Oryza sativa (Os07g0569700)] MGTPEFPDLGKHCSVGDCNQIDFLPFTCDRCDHVFCLHHRSYTSHQCPNANMKDVTVLICPLCAKGVRLNPSEDPNITWDTHVNTDCDPSNYQKVTKKKKCPVPGCRETLTFSNTIRCKDCTKEHCLKHRFGPDHKCPGPRKVDSGFPFVSMLRRSQKAETRSNSSSNNGSSWWSSSLVNAATNFKSEAGMQKLSTVTSQAFQKAKDGMSPIAAAAVVTLWSNVFTAQQDFPPWGLN >Sspon.03G0031970-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:32604477:32615156:-1 gene:Sspon.03G0031970-1B transcript:Sspon.03G0031970-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein VACUOLELESS1 [Source:Projected from Arabidopsis thaliana (AT2G38020) UniProtKB/Swiss-Prot;Acc:Q93VQ0] MSSSVSIAAEWDLLSDRFYRRLTLYSPLPWSTLAAAGATSSSSGVGGAVIGRLDLSTHIVAAAPFGGPIAAVRDDSKIVQLHSEPSRRRLLLFSSSAHPIASAPWPPLLPRLHSLAFSSSLSLLALLSDGSLLRFRLPDLQPSPSSSPVPLLPPASGGVADALFWGGGVAILTEDNRVVVATDIEADDPHPRDLADPGIGDEEHVLCMAVVEPQFVMSGSPEAYDNYQLISSSLPEAIEACIDAAGHEFDVSRQRTLLRAATYGLAFCSRFPHERFQEMCKMLRVLNAVRDPEIGMPLTIQQYKLLTATVLIGRLINANQHLLALRISEYLNLNPEVVIMHWACEKITASTTLPDTVLLEGLLDKLRLCKGISYAAVAAHADNSGRRRLAAMLIDHESHIDEQDKALSKAIESGDTDLVYLVLFHIWQKVAVEKKAHLDFFGVINARPVARDLFMAYARHSMHEELKDFFLSTGRLQDAAFLLLKESRELERNPMASKGSPLHAPQVRLIEQAHRLFAETKEHVFESKASEEHAKLLRSQHELEVSTKQPIFVGSSVSDTIKTCIAMGNERAALRVKSEFKVPDKRWYWLKTCALATVGNWDALEKFSREKRPPGGYKPFVEACIDAGQKNEAVKYIPKLTDPRERSEAYARMGMAREAEEAASQAKDSDELFGRLKITLAQSSAAASIFDTLRDRLSFQGAY >Sspon.02G0034600-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2B:13550724:13554347:1 gene:Sspon.02G0034600-1B transcript:Sspon.02G0034600-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRLGAPSLLFSLHTLRQFVFFLHGTGDDYNHTRTACHIIHAIRPYHARTTKYMESETRRPQGTLKKRPSFLSPQDKEIATSRVHPQSPTTPSPALAPNSPPMAMAFHFQPLAATRVHLFPLPFAAAAAAWAPSPSSSVAIAAHHHHHHHGRRRFSAIVATASAAATTEFDFKAYMGERAVARVRPALCLAACAVVGGPEAWAMPAAAAVEMVHTMSLVHDDLPCMDDDDLRRGKPTCHVVYGEPIAVLAGDALLSLSFHHMASVGSYPPDVDPEKHPARVVRAIGELARCIGSEGLVAGQVVDLEMTGTSEPVPLERLEYIHLHKTAALLEASVVIGAIIGGGTDEQIERLRKYARSIGLLFQVVDDILDVTKSSEELGKTAGKDLASDKTTYPKLLGLDKSREFAERLLSDAIEQLDCFDKEKAAPLLHLANYIAHRQN >Sspon.01G0008770-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:24402909:24408530:1 gene:Sspon.01G0008770-1A transcript:Sspon.01G0008770-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIFPDSMATAAGLTFTTSQITWTTGSNDFVVTTMEEVERHDIDSCGQDDEDQDDAWLDGPVVKDHAVVKLEQDLAPTDRCNGEEQVKSSGREGLGSSLPPAELARRDSALFGKVKRLFSIAPKVGKETEANGGHRSDRTLHRTLHRTRSLVKELTGLPPDAGTRVRSMFRCARLITGPARPVVTSASGLDRERTVERPARPVVATGALTGASGRPVFLPSEGVTALFDPEHTLALVAYVVVLRSPLTHSCLIVFIGSSERAILTAWWLVAPSKLARRLCEAPEKLCEGDSTLVERVIELPHFMPPNHEVLVDTTGTGADPICIDYFPNTGIDYNCAGFGFACTSTGRKLDHTDLIDSIDWLATRFQRLGTDLVVTSTPEGCSARPRPRPRNFVNMTTQTMVLHHVSNGDEERLYPPGFPRIPAFPPKADKRDNSAMPTELNHNSKQTTTSKKLTLQLGVIDCKGATSMMLCPSKCTTTARSCTATARLHGPIRKKPPPMVQTRDSRGETGQLVGVVLLFAQQVLASPSPQLLSPPSDRPTQNFPPSHAPFLHPAKSRRAYQPYHRAGRGGLPDLLAPSQFSPLSPVQSNTIRAVSIARRRQVRVGCDRLAGAILIGVVDSSGQMQQEWRGYLGFLIATGDAVWCGESARG >Sspon.01G0010210-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:28701413:28703606:-1 gene:Sspon.01G0010210-1A transcript:Sspon.01G0010210-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding FFGKSSGQMVEFLQCSVGIVHKNHAESITSFIKESVDEELKDTDSSKPTQKKRLTFCVEGNISVGKTTFLQRIANETIELRDLVEIVPEPIDKWQDVGPDHFNILDAFYAEPHRYAYTFQNYVFVTRVMQERESQAGIKPLRLMERSVFSDRMVFVRAVHEANWMNEMEISIYDSWFDPVVSSLPGLIPDGFIYLRASPDTCHKRMMHRRRSEEGGVTLDYLQGLHEKHESWLLPSKGSGPGVLSVSQLPMHMEGSLPPEIRDRVFHLEGNHMHSSIQKVPALILDCEPDIDFSKDIEAKRQYARQVAEFFEFVKKKQEQAPSEQTSNDKDRMNPQVLLPNSSRLWGVPKGNPFAGSPMNLDFRRAMSSYLST >Sspon.03G0032620-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:38856001:38863296:-1 gene:Sspon.03G0032620-1B transcript:Sspon.03G0032620-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRRGDDVFSRSSREEDDEEALRWAALEKLPTYDRVRRAIVPLDLGADGAEAAGGRGSWTSTCSALARARGGRCWSASSASPTRTTSGSCSSSRTASTESGSTCQRSRCGSRTLRRRLRCASAAAASPPSSTPSSTRSRLTLLLGPPGSGKTTLLLALAGRLDKDLKVQFSGKVTYNGHEMTEFVPERTAAYISQHDLHIGEMTVRETLAFSARCQGVGSRFGTASAMGGQDANVVTDYILKILGLEICADTMVGDEMLRGISGGQRKRVTTGEMLVGPSRALFMDEISTGLDSSTTFQIVNSLRQSIHILGGTAVISLLQPAPETYNLFDDIILLSDGQVVYQGPREEVLEFFESVGFRCPERKGVADFLQEVTSKKDQKQYWCLDVPYRFVSVKEFVTAFKSFHTGRAIANELAVPFDKSKSHPAALTTTRYGVSGMELLKANIDREILLMKRNSFVYIFRSFMVVLMSFIVMTLFFRTKMKRDTVTDGGIYMGAVFFGVLSIMFNGFSELALTVFKLPVFFKQRDLLFFPAWSYTIPSWILKIPITFIEVGCYVFLTYYVIGFDPNVGRFFKQYLLLLAVNQMAAGLFRFLGGASRNMIVANVFSSFMLLVVMVLGGFILARDKIKKWWIWGYWISPMMYAQNAISVNEMLGHSWDKILNSTASNETLGVQSLKSRGVFTEAKWYWIGFGALVGFTLLFNALFTLALTYLKPYGNSRPSVSEEELQEKHANIKRVVLDGNHLVSASNHRSTGVNTEIDSAIMEDDSASTKKGMILPFDPLSLSFDNIKYSVDMPQEMKAQGVQEDRLELLKGVSGSFRPGVLTALMGVSGAGKTTLMDVLAGRKTGGYIEGDIRISGYPKKQETFARVSGYCEQNDIHSPQVTVYESLLFSAWLRLPKDVDSNKRKIFIEEVMELVELKPLRNALVGLPGVNGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFEAFDELFLMKRGGEEIYAGPLGHLSSDLIKYFEGIQGVSKIKDGYNPATWMLEVTATSQEQILDVDFSDIYKKSELYQRNKALIKELSQPVPGSSDLHFPSKYAQSSITQCVACLWKQNLSYWRNPPYNTVRFFFTTIIALLLGTIFWDLGGKVSTSQDLMNALGSMYSAVIFIGIMNCTSVQPVVAVERTVFYRERAAGMYSAFPYAFGQVNVPPGNQFHTRLFLLLVACWPIVPMIGFEWTAAKFFWYLFFGYFTLLYFTFYGMMAVGMTPNYHIASIVSSVFYAIWNLFSGFIIPRPKTPIWWRWYCWICPVAWTLYGLVVSQFGDIMTEMDDNNKTVVVSKYVEDYFGFKHSWLGWVAAVVVAFAVLFAALFGFAIMKFNFQKR >Sspon.03G0012190-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:43878897:43881994:1 gene:Sspon.03G0012190-2P transcript:Sspon.03G0012190-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDDGHEAWVEARKTSAWAGGQRRLRGKWEVAPFEAEEGRQRQWTFRSGRWEVALARPVADWVPEQPRMEDDDHKAWAETRKTSAVESGVKDRLIAALELPGTDRATEPGHSRASPNPVVDAWVPPCRWALYCIHPPPIRHHHLLRLPAASATCLTPPPYRNATCVGSRAGRRLEERRQRSNQPRKSRKIAPRALGKTFQDLPRLSRLACRTIEVTEAGRAGQAERALELFDAMPVKSQVSWNAALAALVDAGRTDWALSFFREMPRRNATSYTTMIGGLSRAGGAAAASARLLFEELPLDQHNVFTWTAMVSCHVRNGEPGKAVELFVALYAEFFARGVLPNAHTFSSLLKACVGVRSLPMLLQLHGVIFKLVDEGSRHCFVWNALIDVHAKLGALLDAEKVFYGMRYRDICSWNIMMDGYSRHKLVDRALDLFRSMRKKDASTWSIIICCLGENNLGEDALRLFIDLIRSECRCGGNAKVLNPSIYTTVLHTCSVLAMLAFGRQVHARTIKDGFGRSNVFVSNSLISMYSSCGATLDLERVFEEMTVRDVVSWNSVIQGLGQNGLGRQALAVGERALEHGMYNGNTFIAILTSCSHAGLVVEGLSYFDAMAKKHGVEPTLDHYISVIDLLGRAGRLEEAYDLLRKMPFVSNALAWRTLLHSCLAHKNSAMGSIAVQELRALQPDGGAGNYERLVQGCGGSTADETQAGNEKSADHTPGCSWVT >Sspon.05G0021350-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:91864947:91867637:1 gene:Sspon.05G0021350-2B transcript:Sspon.05G0021350-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMRNAAQAACVSHAFLRSWRCHPNLTFHGTTWRFSSKVDHILKNHSGIGVKKLVINMYGYYAANDSCYLNSWLLTAVTPGIEELLLVLPMRATYNFPCSLLSNGSGDSIRYLKLGGCSLRPTAELPWLKSLTKVQLNAVSFTGDELGCLLCNSPALEWLVIRNCDEIVCLKIPCMLRRLRHLEMANTPMLHSKFLHLKKLSLALSARTFTPSYDYFSLVSFLDACPSLETLVLDVAQEEMEHVSVFTDPSDLRKMRGHQHHKMKQVKILGFTSAKSQDVRSATAASCGGAVALLAHKGINKGGTLG >Sspon.01G0049210-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:112002932:112004195:-1 gene:Sspon.01G0049210-1P transcript:Sspon.01G0049210-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MERRGQQPGDAQQPGKDGGGDSPPPPPPFLEVTCRSSGKVRRFAAGTTARYALHAINRKLDHGAPPALHVEAVRDGEEPVSFGPSAALADYGRGWRLQTVTAQDAPGIHHAPHSDTKQGDRQLSKEDAVDTETLRGTCIYVAKIVLAFVFLFLLGGLLTYLLEVIPDMLQPASALELPEIF >Sspon.03G0034470-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:74353655:74354380:1 gene:Sspon.03G0034470-1P transcript:Sspon.03G0034470-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GCDGSVLLDPSPANPDPEKASPSNGGLRGLEVIQEAKRQLESACPGTVSCADILAFAARDASNILSSGAINYGVPSGRRDGLTSAASDASQSLPPPFAQLDRLTELFAAKGFTQDELVTLSGAHSVGRAHCGSFSQRIHPNVSDTMDKEYGAGLQQQCPTDAGDAVAVDQDQGTPADLDNQYYRNVLAGKVLFNSDWALISNDTTRQMVADNAGNQAQWAAKFIDAMRKMGALDVLTGDQGE >Sspon.01G0027440-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:94878748:94882747:1 gene:Sspon.01G0027440-3C transcript:Sspon.01G0027440-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASALSSLRYGDSLSVVGISAATAVLCEAISWLLIYRTATYNSLRASIERHSRKLDAMKSGSSSSASSGAAASSAQPASSRAKKMDRVETSLKDAARELSLAKLKSGAVVAAVLFVVFGLLNSLFEGRTVAKLPFAPIPLVQRMSHRGLPGNDPTDCSMVFLYFLCSMSIRTNLQKLLGFAPPRAAAAAGGGLFPMPDPKAHLQDE >Sspon.02G0009040-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:25793427:25796612:-1 gene:Sspon.02G0009040-1A transcript:Sspon.02G0009040-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MANAADDLDLLLSLGEAVPETPPASPRAADGPVCDGAFTPPRTARPGGTDMSVFRDAVKDYLEAAPETTSPLANRPKRPKATETLVDKYSGLRIKYLTLSPLEISNRFADIRFVRITALKNSVGSDRFSGCWATAGVVLDKGVPRVSAKGSNYSIWKMGALDETDVSLFLFGDAHVHYSGASVGSVFAVFNGNVRMDNGGKGFSLSVASVGQMLKMGVAADFGLCKGKRKDGVACTMAINKSKGSYCKFHSSKTSQKYTTGRVELKGGNADRVTNKNQSQGIRFLSHVTANTDNMEPKAPTNGSMNQQKSKVSLNKSLSSSGAKALPKQGLRKPQQDVKKRKVNNPTENIVELDVVSSDDDEINIVLRR >Sspon.01G0022540-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:79842598:79843498:1 gene:Sspon.01G0022540-2D transcript:Sspon.01G0022540-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRLKIGERPSDPLLRSPNGFLGREVWEFDPAAGTPEERAEVERLRQEYTRNRFTQRECGDLLMRMQEILKNLGLV >Sspon.08G0014700-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:56954920:56960567:1 gene:Sspon.08G0014700-3D transcript:Sspon.08G0014700-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGGQLPDTEMTDAGAGGGGQPPPAQQQPAAGAGMMENIQATLSHGGRFIQYNIFGNVFEVTAKYKPPILPIGKGAYGIVCSALNSETAEQVAIKKIANAFDNKIDAKRTLREIKLLRHMDHENIVAIRDIIPPAQREAFNDVYIAYELMDTDLHQIIRSNQALSEEHCQYFLYQILRGLKYIHSANVLHRDLKPSNLLLNANCDLKICDFGLARTTSETDFMTEYVVTRWYRAPELLLNSSEYTAAIDVWSVGFEGALAHPYLASLHDISDEPVCSMPFSFDFEQHALSEEQMKDLIYQEALAFNPDYQ >Sspon.06G0006590-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:37393377:37394302:-1 gene:Sspon.06G0006590-4D transcript:Sspon.06G0006590-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAIDLTRAFYPGCLLCSSRIQSAPPPHQPLSPSSPFSFHQVAAAAAYGGYPFAAAAEGAGQLSPAQMQYIQARLHLQRQSPQSSVLGPRAQPMKASAAAAPTPPRPQKLYRGVRQRHWGKWVAEIRLPRNRTRLWLGTFDTAEEAALAYDQAAYRLRGDAARLNFPDNAASRGPLHASVDAKLQTLCQNIAASKKGAKKPTSASASASAAAAAATSSSAPTSNCSSSPSSDDASSSCLESAAESSCPSPSPSASPESSTVPEMQQLDFSEAPWDEAAGFALTKYPSYEIDWDSLLAAN >Sspon.06G0019870-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:30750929:30755650:1 gene:Sspon.06G0019870-1P transcript:Sspon.06G0019870-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRADLLMEPISNGNLPPGFDPSTCRSVYVGNVNPNVTESLLIEVFQSAGLVERCKLIRKEKSSFGFVDYYDRRSAALAIMTLHGRHIYGQAIKVNWAYASTQREDTSGHFHIFVGDLSSEVNDATLYACFSAYPSLMLESCGTTKLDAPGVMEAETAITEMTGKWLGSRQIRCNWATKNNSEEKPETDNHNAVVLTNGSSSSSAMDASQDAGSKENPENNPDCTTVYVGNLGHEVNRDELHRHFYNLGVGAIEEIRVQQDKGFGFVRYSTHGEAALAIQMGNGLVVRGKPIKCSWGNKPTPPGTTSKPLPPPVAPYQPAVAMPGVPQGFTAAELLAYQRQLALSQAAAGQIAGQHGLAGQVSAGLLAAAGSQALYDGYPNQSSAQQLMYYN >Sspon.04G0036150-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4D:23695709:23696164:1 gene:Sspon.04G0036150-1D transcript:Sspon.04G0036150-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPTPTSRAYLHFAQAPHGVVVPTSPAAAAATGADEEDFDESDIWGAFAPSAAPAEPARAGQFLAARKASSPAKPDGRAAHGSLPVKIPDWSKILGSEYRPGYHYGAGDWELDDDEDSVDLVPPHELAWRRRAASLSLSGVVGRTLKVRDA >Sspon.03G0021090-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3A:64925816:64926079:-1 gene:Sspon.03G0021090-1A transcript:Sspon.03G0021090-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVSKLLVIALVCVVLISGGAEMSAGAAAVPRALLRASVLPAALPHARAAAAVGVDRRDPPGCCHPLPNCCVHVDDDDNAAPAASDDS >Sspon.04G0014380-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:57731265:57738166:-1 gene:Sspon.04G0014380-2C transcript:Sspon.04G0014380-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPERHQSIDAQLRLLAPGKVSEDDKLVEYDALLVDRFLDILQDLHGPHLREFVQECYELSAEYENDRDEARLGELGSRLTSLPPGDSIVVASSFSHMLNLANLAEEVQVAQRRRIKLKRGDFADEASAPTESDIEETLKRLVSQLGKSREEVFDALKNQTVDLVFTAHPTQSVRRSLLQKHGRIRNCLRQLYAKDITADDKQELDEALQREIQAAFRTDEIRRTPPTPQDEMRAGMSYFHETIWKGVPKFLRRIDTALKNIGINERLPYNAPLIQFSSWMGGDRDGNPRVTPEVTRDVCLLARMMAANLYFSQIEDLMFELSMWRCSDELRIRADELHRSSRKAAKHYIEFWKQVPPNEPYRVILGDVRDKLYYTRERSRHLLSSGISEIPEEATFTNVEQFLEPLELCYRSLCACGDKPIADGSLLDFLRQVSTFGLALVKLDIRQESDRHTDVLDSITRHLGIGSYAEWSEEKRQDWLLSELRGKRPLFGSDLPQTEETADVLGTFHVLAELPADCFGAYIISMATAPSDVLAVELLQRECHVKQPLRVVPLFEKLADLEAAPAAVARLFSIDWYMNRINGKQEVMIGYSDSGKDAGRLSAAWQMYKAQEELIKVAKHYGVKLTMFHGRGGTVGRGGGPTHLAILSQPPDTIHGSLRVTVQGEVIEHSFGEELLCFRTLQRYTAATLEHGMHPPISPKPEWRALMDEMAVVATKEYRSIVFQEPRFVEYFRSPPSKRKPSGGIESLRAIPWIFAWTQTRFHLPVWLGFGAAIKHIMQKDIRNIHVLKEMYNEWPFFRVTLDLLEMVFAKGDPGIAAVYDKLLVAEDLQSFGEQLRKNYEETKELLLQVAGHKDVLEGDPYLKQRLRLRESYITTLNVCQAYTLKRIRDPSFQVSPQPPLSKEFTDESQPAELVQLNQQSEYAPGLEDTLILTMKGIAAGMQNTG >Sspon.02G0012250-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:26535514:26537999:-1 gene:Sspon.02G0012250-2D transcript:Sspon.02G0012250-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVWNHKSVALRSLCKGFIGLCWWNVFAMEIEEHTASLSGENPITRLPPDIIEGILLRLPVSSLLRLRRVCKQWWNMISVPRFIKEHAYRAPKHLLLYLPKLSVSASLHPKTAKPYHATVIDEKWSPSTWDASHMDPDDHLFASCNGLLCFYKTYTLKISNPATGQCLHLLKPDGILLHDFHYLYSFGFHPITGEYKLVHFLREPQRYKSGQPFHFDTIQVYTLGEDKWRAIKAPIPCCMLHLGVVNVDGAMYWLTEDEGTSCGMAVVSFDLREEMFALIQLPALEVKETASCATPKVAYYMTEIDDKVCVVTMSYQSHAPRWRRYNAELSSRTDIWALESDKWFLKYSIQPPSLSRYVPQPCFIHREIILQDRDSNVWYHDLRGKIVQIEHGEEVKLLHLGDYRFYETQSYFYKETLAPLSIYARAAIVRAPPGPLAPSVASN >Sspon.08G0027150-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:42539413:42545347:1 gene:Sspon.08G0027150-1C transcript:Sspon.08G0027150-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium/calmodulin-regulated receptor-like kinase 2 [Source:Projected from Arabidopsis thaliana (AT5G15730) UniProtKB/Swiss-Prot;Acc:Q9LFV3] MVDRSSRAVLIGVTAGVAAALLAGAGVLLAIWLYRRRASVATARTRSLESPSATLRAADGAGCASLDSSVSVSVVSESVADWGHPPPPKRAAFWAWRGGGAGHNGREPPPLSVSGIPKYHYKDLQKATSNFTTILGQGSFGPVYKAVMATGEVVAVKVLASDSRQGEREFQTERTEMGHIHPKGIKFWVSREKQKYNKKGILAVPSVIHRDLKSDNILLDHSMRAKVADFGLSKEEVYDGSKSGLKGTYGYMDPDYMSTNKLTKKSDVYSFGIILFELITAINPQQGLMEYINLAAIGGEGSVDWDEILDKDLLVGNIPEEVRMLADVAYRCVNKSPRKRPWISEVTQAISRLRQHQLRKHDALTLPRSETRTVLRRIEYQHVELSDLTSMKELTPIRASHASRIIGNSIRSMSASFTGSRFAMYSSSSSENGTDA >Sspon.02G0016600-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2D:40193531:40194927:-1 gene:Sspon.02G0016600-2D transcript:Sspon.02G0016600-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEVQVVESSFVTPGAAIPRTGLWVSSLDLDRANRGYTPTVYFYRSTDAAAAGNVFDVTSRLKESMAKALVLFYPLAGRLDVHKDGRIEINCNNEGALFVTFLKCGGISLGTALHHVAVDGTSAFHFFQTWLAICRDGDGAAVELPCHDRTLLRARCPPSVHPDALSVFCPNMNFTEPSGPTASKVFVISADQLTSLKRLCGSETTFCAVTALVWQCTCVARRLPPAAEARVSFSANIRRSMRPPLPSGYFGNALVPVFAAGAAGEIASEALESVAGRIRGAINRVDDELVRSAIDYHELFEIDYRLQRGILPETELRMVSWLGMPLYDADFGWGRPWRMSRAESVRGGHVHLMSDGPRDENGRKRSKTDLRYRKTKTVGSGYFYI >Sspon.01G0026270-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:91312441:91315986:1 gene:Sspon.01G0026270-1A transcript:Sspon.01G0026270-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPHPHPQEDKSDRHSAGAGASREQERRPSKAWGILIFGLIGVTTANYSSSQKCGLVLHSVRKLRKDIISECSRNMKRNKRELSRATPYTDAEVKTAFRTKAMEVHPDQNQDDREAAEEKFKEVVKSYEAVKLERKNGVS >Sspon.05G0019040-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:79109535:79127853:1 gene:Sspon.05G0019040-3C transcript:Sspon.05G0019040-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding HCIYRLRRALFFSGSEGSSALQPACRAAGLNLLSEMDCEASMEHLLERMLLDPSAEPTNLPLSLLKAITNDFSDHRKIGSGGSADVYKGELQNGTVIAVKKLFHNLDMDDEKFIKEVGCLMNAKHKNVVRFLGYCSDTQGKVLNYEGKMILAEERQRLLCFEFLPNGSLDKYITDISEGLEWRTRYKIIKGICEGLHYLHQDIHMVHSDLKPANILLDENMVPKIADFGLARCFDGKQSKTITSKVMGSLGYLAPESYDGVITFKSDIYSLGIITMEILTGRKGYCDLQNVLQSWSIRFKKSTQEDIWLQYVRVCAEIGRQCIDRNPAERPHTLYIIERLDQMERTCGFIETDIRASSATQGLDVYPLHIWFSFESDKVIKCPVTLTNKTDDLIGVWIAPTSLDVCSYPSYPYVWEQSEYIVDDHKSSLFIRLGPHSSETVYMSMRNQEQPPLEAADEGMFEVVMVATKSKEDLKRLDRHWNLGTWSSEDPLRGANEELGTVVHRATLRAAVTRDPARFKEVAAHQEKIIRFQVTDLQEWEICSIKFIAGEQWFAAGDDHGCVHVYAYTSPAGKNMVTTLQAYVDKRVTYLAIQPKHTLLLIASWDDKSIKLWDWSQSWPCAR >Sspon.08G0009800-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:36825707:36835182:-1 gene:Sspon.08G0009800-2B transcript:Sspon.08G0009800-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDIRNQSANNTDKSELVGFATPKKELLKLIDVSPDDGPTKVICVVGMGGLGKTTLARKTYESKEDISRYFSCCAWVTVSQSFDRKEILKDMIMQLLGADSLDKLLKEFQGKLLVQVQHLSDCLVQGFKEKQYFVVLDDLWSIDAWNLINDIAFPKNNNRGSRILVTTRDAGLAESCTSQPLIYHLEPLQIDDAVDLLIRKTSKRQVLETGENMKHIVTKLVKKCGCLPLAILTVGGILATKKIAQWGKFFEELPSELESNPSLEAMRRMVTLSYDHLPSHLKPCFLYLSIFPEDFEIRRRRLVGRWIAEGLVKARDGVNIEEVGNSYFSELINRSMIQQSTLNELLKKCTIHDIVRDIIVSISREEKFVLLTKDNVTNVEEENIRHVAFHGNKFSEIGLNWSSVRSISVFGNRPMEPAPLFCLPQLRMLRVLDLEDAKFKITEKDANNIGALHHMNYRANLIAEVQMACSTRWSDTKGVRMPRGINHLKKLQVLEVVDIKGTSRKVIKEVGELSQLRKLSVTSKGATEDKYMILCAAIEKTSLSMWMLRDPQMSLKLNGSLADMPNWFRNLKQLVKMHLSRSRLNEDKTMEILGALPNLMLLCLYRNAYVGEKLVFRRGAFPNLKEVDIYFLKQVREIIFEEGTSPHLGSIEIYGCRLESGIVGVRHLPRLKIIALQYDDYVAKFDMLHEEVDAHPNHPVLQLSNVQSMTWKDPMYLKSLIPCLSMKLTSRSITNNNNNNNNNNNNNNIAFCPKQVDIS >Sspon.04G0015340-2P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8C:19889747:19891925:1 gene:Sspon.04G0015340-2P transcript:Sspon.04G0015340-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMDAEAGRWWTWNAAAVVAAGACLLLLMRVADALWWRPRRLEAHFARQGVRGPPYRFLLGCVTEMVALMAEAAAKPMSPPDSHDALPRVLAFYHYWRKIYGPMFLIWFGPTPRLTVADPELVREVLLTHADAFDRYEAHPVVRKLEGHGLVSLHDDKWALHRRVLTPAFYPDNLNRLAPHVGRSVAALAERWRAMASAAPGGEVELDVAEWYQAVAEEAIARATFGRSCDSGRVVFRMQARLMAFASEAFGKVFVPGYRFLPTKKNRLQWSLDREIRRGLVTLIGNRSLEAARDDDDAELNDDKGSNGFRDLLGFMINANDKKKKAAPAIPVEDMLEECKTFFFAGKQTTTNLLTWATVLLAMHPDWQERARQEVLAVCGADELPSKEHLPKLKTLGMILNETLRLYPPAVATIRRAMRDVTLGGVSIPQDTELLIPIMAMHHDAALWGPDATQFNPARFAAGGAAKAAAHPLAFIPFGLGPRMCIGQNLALLEAKLTLAVVLQRFQLARSPSYVHAPTVLMLLYPQYGAPVIFRPVPVSSQLSDGASTETTGPRPS >Sspon.04G0015600-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:58223720:58228450:-1 gene:Sspon.04G0015600-1A transcript:Sspon.04G0015600-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGEDAAAARRRAAITDYRKKLLNCRELESRVGTVRESLKNAKKDFTKTEDDLKSLQSVGQIIGELNTETPVSSVIVKASSGPRYVVGCRSKVDKEKLTSGTRVVLDMTTLTIMRTLPREGVLLYGPPGTGKTLLARAIASNIDANFLKIVSSAIIDKYIGESARLIREMFGYARDHQPCIIFMDEIDAIGGRRFSEGTSADREIQRTLMELLNQLDGFDELGKVKMIMATNRPDVLDPALLRPGRLDRKIEIPLPNEQSRMEVLKIHAAGIAKHGEIDYEAVVKLAEGFNGADLRNVCTEAGMAAIRAERDYVVHEDFMKAVRKLNDAKKLESSAHYSADFGKE >Sspon.05G0035740-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:79872937:79879203:1 gene:Sspon.05G0035740-1C transcript:Sspon.05G0035740-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVPSLYKWLVGKYPNIVVAAKKDEGGAGTSSSLVEASTGPNGVGVYHNLYLDMNGIIHPCFHPEDQVCPPTTFDEVFAAMFEYIDRLFRMVRPTKLLYLAVDGVAPRAKMNQQRSRRFKAAKDAKDAELEEKLLREKFRAEGREVQPQETNEVSDPNVITPGTEFMEKLSKALEYYVRSRLNSDPGWKGIKLQVILSDSNVPGEGEHKIMSFIRGQRSLENYDPNTRHCLYGLDADLIMLALASHELHFSILREFLNIWVLREYLELDLKIPNPVVKTDIERLIDDFIFICFLTGNDFIPRIPSVEIHEGAIDLLLEVYKQAFNKMGGYIVNTEKVHAKSNYINFIDYLLSYVLMYFCCYQKQLKDKHAAYLEVSRLEKFFHELSLCEEKIFLKRYELRERSQRKFVHQAAEEWKERNYDNMVIANTLELRRNLKDTLRNKQDLIKSGACKHDAVRLGLAGWKSRFYREKFGVEKSNEVGMLKKDMVQKYLEGLCWVLRYYFADVPSWSWYYPFYYAPFASDFEGLSQFKISFTIDKPLSPFDQLMAVLPKERHIDTHGKRFLWQGIVMLPFIDEKLLILATKTVEDKLAVHEINRNTVRQEKIFLRNSNTLPTGAAFAQTSDCLSKKLLTDQSTSELGGWLSPVNDDSISCGFFGSPIRDLDIRNDQTISFLFSNPEPVQIIPRLLDNVKKPEKTITETEIPKRPLWYTYPGSRPPPETIPTLAEPQPMISGFGRGRGRAITAETALGSGRGYGRGFHGADMAQSRGSRNDRGGAYTYQPDGSACAGRGQYGPTFHRQQTAWRPVGNSGGRGGSDQRRGW >Sspon.07G0003910-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:8936047:8945606:1 gene:Sspon.07G0003910-3D transcript:Sspon.07G0003910-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHGCFSYLCFITDSRLENFGVAGCKASFSTRCSGTFTASVAQQTRPNEPIINGTSQKAGRHHPRPVPTDQYKMCARARSSVHSSHRVAPPPRPPSKLNARVPAPAVPPQPKARHAKTMARVLPLLCACVCLTSLACLPRHAAAQQDAEARLLLQIKSAWGDPAPLASWSNATAAAPLCKWAYVTCDRAGRVTSLNLTNVALAGTGTGTTIPDAVGGLTALTVLDLSNTSVGGGFPAFLYNCSGLARVDLSYNQLVGELPADIDRLGGGNLTYLALNNNNFTGAIPAAVSKLTNLTYLALGGNQFTGTIPPELGELVSLQTLKIETTPFSAGVLPESFKNLTKLKTVWLADCNLTGEIPNYVTEMPEMEWLDLSMNGFTGNIPPGIWNLQKLTNLYLYINNLSGDLGINGPIGATGLVEVDLSENQLSGTISESFGGLMHLRLLNLHQNKLTGEIPASIAQLPSLVFLWLWNNSLSGELPAGLGKQTPVLRDIQIDDNDFSGPIPAGICDHNQLWVLTASGNRLNGSIPSSLANCTSLIWLLVGDNQLSGEVPTALWTVPKLLTVSMENNGRLGGSLPEKLYWNLSRLDIDNNQFTGPIPSSATQLQKFHASNNLFSGDIPAGFTAGMPLLQELDLSANQLSGAIPESIASLRGVSQMNLSHNQLTGRIPAGLGSMPVLTLLDLSSNQLSGAIPPALGSLRLNQLNLSSNQLTGEVPDVLARTYDQSFMGNPGLCTAAPLPGMRSCAAQPGDHVSPRLRAGLLGAGAALVVLIAVLAVFVVRDIRRRKRRLARAEEPWKLTAFQPLDFGEASVLRGLADENLIGKGGSGRVYRVTYTSWSSGEAGGTVAVKRIWAGGSLDKKLEREFASEVDILGHIRHSNIVKLLCCLSRAETKLLVYEFMGNGSLDQWLHGHKRPAGTAGSAMARAPSVRREPLDWPTRVKVAVGAARGLFYMHHECSPPIAHRDVKSSNILLDSELNAKVADFGLARMLVQAGTPDTVSAVAGSFGYMAPGTCLVLLISDPEHQFQKVNEKVDVYSFGVVLLELTTGREASDGGEHGSLADWAWRHLQSGKSIADAADRCIADAGYADEVEAVFKLGIICTGRQPSSRPTMKGVLQILQRCEQAHQKTFDEKVADYDAAPLLQARGGSRRKQLSDAEVIDDDGKGGCKKSSSNARAIVGQLGNHGGRGLFAEQGHQTPELPMRGSKQTRP >Sspon.01G0058220-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1D:23009545:23009895:-1 gene:Sspon.01G0058220-1D transcript:Sspon.01G0058220-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQRARRCRGGLALNFRADEVLVGGADRDLDVACSFGTTLMTKRKSPRHGRCRVHGAGGTGPGTLPRVEEEALVAPRTSSPRRGGSAPVDSDRASTCCCLPESLDAVLHLRIKRG >Sspon.05G0015920-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:63916148:63917525:1 gene:Sspon.05G0015920-1A transcript:Sspon.05G0015920-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRHGRVVQEIKARSAEFEKDQGSSWTLVDTAQMANNAPSCHAFSWLWQLLLLGLWCLVLYCPMMSSALVVRELPGFDGPLSFFLETGYVEVDESNGVQLFYYFVQSERDPARDLLLLWLQGGPGCSGLSGLVYEIGNLF >Sspon.08G0022570-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:52115343:52115696:1 gene:Sspon.08G0022570-3D transcript:Sspon.08G0022570-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMMSPKLGTRKAAAFRFSTSAHGSISSSRCSFAVAPDKKRKGEMICEGYDEGPRLGTPLLEKVCFPW >Sspon.05G0030470-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:84427709:84428900:-1 gene:Sspon.05G0030470-3D transcript:Sspon.05G0030470-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNMDNKSSLVMLPPCGHGWEMMEAMRRQQDLVMQLRALVLPLLHDVMDGTSSATEIAVQLFDEVIGCNIGAVSTLEGCLMSTGGRGGSSGEAVDDKSLVRKNSTPTNGEKTEDQALAKQPNSVGQKRRCYSMNIRCDQLVLLPVYPYNPACCNIYALTDSTYVSRRNNDKRSRCLVTHVPHYDGHLWRKYGQKNINGRKHPRSYYRCAYRERNCLATKTIEEQEPNDDDGTGNSAMAGEESAKYTVVYYGDHTCKDHHTISMVQLPQLVGSMDLHSTEMPPSTDVQESEADLDLPALLEVFDSSLIDWEALYHSPNAATS >Sspon.08G0003750-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:11583212:11585921:1 gene:Sspon.08G0003750-1P transcript:Sspon.08G0003750-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLGWRVGSKLQRWGWSCLRGFSSAAVPSQLENVGFIGLGNMGSHMARNLITAGYKVTVHDINENSMKKFSDDGIPTKQSPLEVSESSDVIITMLPSSTHVLDVYNGPNGLLFGGERLGPWLYIDSSTVDPQTSRKISTAISRCHLKEKKGYAESPMILDAPVSGGVPAAEAGKLTFMVGGLRKHI >Sspon.06G0006770-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:23234112:23238904:-1 gene:Sspon.06G0006770-4D transcript:Sspon.06G0006770-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLMESPRWRGLARFSRQVHALLLKNLSFQVTKSLELPLADALFTMHKCHFKKRKQPNPPSYSCLLECMVAFMPTHRDDAQRRNARTNAAIAAFPVLLCVLLVTIQHVVDSELERPPFRCGCVGTECGIQYSTPIQALACAVPVPPRWPPLVQVPPTEARALTRLHPRPCKASEKNCPATVLLTGENRQLAQGLGSLLFPPVPPQYGLMPAASNSSDYLGYDFLDTSNRRFHVYVWYNSSFSRDNGHRPMTVLRVSRLVNMASTAYLNFFKGENAEMRLEFLKEMPKAAVKMRLDFTTLLDALFFTWTVQLLLPVILTYLVYEKQQRLRLMMKMHGLKDAPYWLISYAYFLALSAAYMMIFIISGSIIGLVIFRLNSYIIQCLFYFVCINLQIVLAFLLATFFSSVKTASVIGYMYVFGTSLLGEALLKIFIEDATFPRHWLVTMELVPGFSMYRGIYELAEYAAAGRNMGKPGMRWVDLNNPVNGMKDVLVLMSIEWIVLLLVAFLLDHKPAWHWQPLFLFGFLSTKHSSPSQKPNKLKRQSRRVHVYTAKPDVSLERKVVRRLLKDMDMRNMIICHNLKKVYPGKNGNPDRHAVKGLSLALRKGQCFGMLGPNGAGKSSFINMMIGLVKPTYGTAYIHGMDLRTDMDEIYTNIGVCPQHDLLWEALTGREHLMFYGRMKNLTGAALTQAVEDSLKSVNLFHSGFGDKSVSKYSGGMKRRLSVAIALIGNPKVVYMDEPSTGLDSRSRNDLWNIIKRAKKDCTIILTTHSMEEAEELCDRIGIFINGNFQCIGTPKELKTRYGGTRILTITTWPEHEEAVELIVSRLSPGYTRIYSVSGTQKFALPRREVGLGCVFGAVEVARRAFPVLGWGVADATLEDVFVRVAKEARAFHVLS >Sspon.01G0037400-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:21399978:21402935:1 gene:Sspon.01G0037400-1B transcript:Sspon.01G0037400-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALAPGVSRKLKKVLETRTDNPDLLASLGALSTFYVQNTPQARRNLKSSIEQRALAINRHFLEASLPAHKALDRVEGEVHALNDSWKKIEEALSSCSASTGDIISTTERLQQELEVITQRQEIVSCFLRDYQLSNEEINALREEEIDDKFFKALLHVQEIHSNCKVLLRTHHQRAGLELMDMMSVYQEGAYERLCRWVQAECKKLGDTDNPEVSELLKMAVRCLKERPVLFKYCAEEALASERELIVVLLDPDAMTDSGPTSRRQSGRDGDSSRGEPDVTFVLDRIFEGACRPFKVRVEQVLQSQPSLIVSFKLSNTLEFYGYTISELLGEDTALCNTIWSLRDAAQQTFFNILKSRGEKLLRYPPLVAVDLSPPPAVREGISLLLELIDTYNSMMVPAFGKRPNFDPVISALLDPIIQ >Sspon.05G0000740-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:2676264:2677522:-1 gene:Sspon.05G0000740-1A transcript:Sspon.05G0000740-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALQAALLPSTLFVPKKSRGSLAAAVKDTAFLSFPQKKLQAASLSVRTQVATAPVATPGSSTAAKDGKKTVRQGVVVITGASSGLGLAAAKALAETGKWHVVMACRDFLKAAKAAKGAGMADGSYTIMHLDLASLDSVRQFVDNFRRAGMPLDSLVCNAAIYRPTARTPTFTADGYEMSVGVNHLGHFLLARLLLDDLQRSDYPSRRLIILGSITGNTNTLAGNIPPKAGLGDLRGLAGGLRGQNGSAMIDGSESFDGAKAYKDSKICNMLTMQELHRRYHEDTGITFASLYPGCIATTGLFREHIPLFRLLFPPFQKFITKGFVSEAESGKRLAQVVSDPSLTKSGVYWSWNKDSASFENQLSQEASDPEKARKLWEISEKLVGLA >Sspon.03G0015790-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:19416615:19418021:-1 gene:Sspon.03G0015790-3D transcript:Sspon.03G0015790-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDTVESIAVVAVPFPAQGHLNQLLHLSLLLASRGLSVHYAAPQPHVRQARARVHGWDPRALGSIEFHDLDVSAYDSPPPDLAAPSPFPNHLMPMFETFAAAARTPLAVLLQRLSATHRRVAVVFDRLNSFAATEAARLGNAEAFGLQCVAISYDLGWLDPGHQLISDYGLQFLPPDVCMSKEFVDFVLQMEETERGAPIAGLVMNTCRALEGEFIDVVAAQPPFQGQRFFAVGPLNPLLLDADARTTPGRQARHECLVWLDTQPPASVLYVSFGTTSCLHAEQVAELAAAIKGSKQRFIWVLRDADRADIYADESGESRHAKFLSEFTKETEGTGLVITGWAPQLEILAHGATAAFMSHCGWNSTMESLSHGKPVLAWPMHSDQPWDSELLCKYLKAGLLVRPWEKHADIVPAQAIQKVIEEAMLSDSGMAVRQRAKELGEAVRASVADGGNSRKDLDDFIGYITR >Sspon.06G0026640-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:80356910:80358638:-1 gene:Sspon.06G0026640-1B transcript:Sspon.06G0026640-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATNLESCFHLSHLAHPLLMKSAITGGGSIVHISSIGSCLGDANMAIYTTTKGAMNQLSRSLAVEWDCDKIRVNCVAPGTVVTDMAKEQINCVYLKNTPIIIIILNISPDLNLTVSARRQWWRIPLKRSGEPQEIASVVAFLCMPAASYVTGQLIYVDGGRTISA >Sspon.01G0047230-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:88906331:88910330:1 gene:Sspon.01G0047230-3D transcript:Sspon.01G0047230-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRVAPAMIAAGSTGGEETAEGAVVEKTLLNGDVYRGGFSGGSPHGKGKYVWADGCMYEGEWRRGKASGKGRFSWPSGATFEGEFRSGRIEGQGVFVGPDGATYRGAWVADRRHGVGSKSYANGDYYEGQWRRNFQDGHGRYVWANGNQYVGEWRAGVLSGRGVLIWANGSRYDGVWENGVPRGTGVFTWPDGSRYVGSWPGSCVDLPAISGTFFAPVGAGVAGLVRKRSSVEGMGEKATPRICIWESDGEAGDITCDIVDALEASVLYKEAAAVTGGTTYMRALPQRNTRRAASGVPRWASSAATTPESKRPGQTISKGHKNYELMLQLQLGIRHSVGKSSAVPMRALEQGDFDPREKFWTRFPPEGSKVTLPHSTAEFRWKDYCPMVFRHLRKLFAVDTADYMLAICGNDALRELSSPGKSGSFFYLTQDDRFMIKTVKKSEVKLLIRMLNSYYNHVNRYKNSLITRFYGVHCVKPLNGQKVRFIVMGNLFCSEYQIHRRFDLKGSSYGRTADKFEDEIDETTTLKDLDLNFVFRLQRSWYTDLHEQLRQDCDFLESEGIMDYSFLVGVHFCDDISASKMGSSTFTASPKLLTKSESFQGGGTPELCFSDDDFDMIPDCRRKPLIRLGAHMPARAEQASRRSEFDPLLLTGGGFLFPNQTGEVHDVILFFGIIDILQDYSLRKRAEHAYKSLQTDPNSISAVDPKLYSKRFQDFIGRIFVEDG >Sspon.03G0012240-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:43902112:43904299:1 gene:Sspon.03G0012240-1P transcript:Sspon.03G0012240-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKRKTDAAPRLDEADRTLYSTFCGAANSLSQLYTQAMAQQKLSFQAGERHALEKLYQWILRKHDEEARLTVAEIMSHIQHEIDYGGTDAHASPRVHQHPQIANPFTNSVVPPGTGLYGQSAAGFAPRPSITDQSKNTIFSNALSSPVRRSLQNYHITQGAGNGGRNAEANLAGANRETNSASSNDTSMDMVSNSAGNEFY >Sspon.02G0004630-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:14729049:14732696:1 gene:Sspon.02G0004630-1A transcript:Sspon.02G0004630-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Adenylyl-sulfate kinase 3 [Source:Projected from Arabidopsis thaliana (AT3G03900) UniProtKB/Swiss-Prot;Acc:Q9SRW7] MSSTVPKPSNIFWHDCPVGKTDRQKLLKQKGCVVWITGLSGSGKSTLACTLGRELHTRGKLAYVLDGDNLRHGLNKDLGFKAEDRAENIRRVDAGLVCIASLISPYRRDRESCRALLSDGSFIEVRDPFYLFCIYELSDLMGLPPNTELLQVFLNMSLELCEARDPKGLYKLARAGKIKGFTGIDDPYEAPLNCEIEIKEVDGVCPSPSDMAGQVVTYLEEKGFLHYAEMYSVL >Sspon.05G0006420-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:13798026:13804243:-1 gene:Sspon.05G0006420-2B transcript:Sspon.05G0006420-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLWRSRVIAAVVFAATIIIGLGAAGVGEAATGTFIYAGCSPSKYQPGTPFEGNLDSLLASIASAAPNAGYNSFTAGANGTAAYGLYQCRGDLGGGADCAACVRDALAQLSQVCAAAYAASLQLEGCYVRYDSTNFLGTPDAAMVYRKCSTSTSSDGGFLSNRDAVLGDLQQGVGANANGYKVSSSGSVQGVAQCLGDLAAADCTACLAQAVGQLKGTCGTALAADVYLAQCYVRYWANGYYFRPTQDYSQDDVGRTVAIIVGILAGLALFVVFISFLRKTSFSPLGWLMMTPGLGFKMLWAISSYMNTTMFSSFTPPFRSIWYAWHTSACQASSAPLCPK >Sspon.04G0025760-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:30073263:30075968:-1 gene:Sspon.04G0025760-1B transcript:Sspon.04G0025760-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding QLMIIVDDAGGFLPALNHSPWDGVTIADFVMPFFLFIVGVSLTLAYKRVPDKLEATKKAVLRALKLFCLGLVLQGGFFHGVHSLTFGVDLTKIRLMGILQRIAIAYLLAAICEIWLKGDDDVDSGYGLLRRYRYQLIGPLYSVYYSFVRYICPRLGVPDIRPWFREECSINYPENGPLPPDAPSWCQAPFDPEGLLSSVMAIVTCLIGLQFGHIIIHFEKHRGRITNWLIPSFSMLALAFLMDFVGVHMNKPLYTISYTLATAGAAGLLFAGIYALVDLYGFRKPTIPMEWMGKHALMIYVLVACNILPMFIRGFYWRDPNNSLLKVIGIGA >Sspon.01G0012080-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:25156202:25160204:1 gene:Sspon.01G0012080-2C transcript:Sspon.01G0012080-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGGARPSAPSAAAAAAAAVAGTSVADEPRDARVVRELLRSMGLGEGEYEPRVVHQFLDLAYRYVGDVLGDAQVYADHAGKAQIDADDVRLAIQAKVNFSFSQPPPREVLLELARSRNRIPLPKSIAPPGSIPLPPEQDTLLAQNYQLLPPLKSPPQFEETEDENEESNPSLTPNPANSNPTFSQDQRNNEQQHTPQHGQRVSFQLNAVAAAAAKRPRMTIDQLNMG >Sspon.02G0019220-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2A:62507294:62507731:-1 gene:Sspon.02G0019220-1A transcript:Sspon.02G0019220-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIQHFDASMKTPASPVPASPGKRIALYHVAHSRAGDKGNDMNFSVIPHFPDDIGWLRSVITPDWVKNAVSPLLDLSSFPDERAIQHRNNLLELVTVEIYYVPGISSLNVVVRNILDGGVNCSRRIDRHGKTLSDLILCQEVILL >Sspon.02G0032900-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:2359104:2360483:-1 gene:Sspon.02G0032900-1B transcript:Sspon.02G0032900-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding QTLTDAAVPSKDLISSIKILYSKTKDVEVVFAILAHLPKDEVLPVFPSIVNLPIDKFQSAISRILQGSPRNGPLLDPSEILIAIHVIDPDKEGIPLKK >Sspon.02G0052350-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:91097104:91097760:-1 gene:Sspon.02G0052350-1C transcript:Sspon.02G0052350-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MYHRALLVGSLMTLLLRACFLLGRESASSAAWLQIDLAKLTAMNNGTAGTTNAPHGGDELLGGLLPAGMDRRSCPSRNELWRYYKYFPYVASPDLQRKLRDYEARHRRCAPGTPLYNKSVEQLRSGRSAPTAWSAPTSCGSPWTASATACCPCRPLLRAVPGHDVAAADARVPVANLFQRSQNIEMSYTRLLDGKVV >Sspon.03G0025040-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3A:75831949:75834687:-1 gene:Sspon.03G0025040-1A transcript:Sspon.03G0025040-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVEDQLALILTKLDEQSKGISETNRRLHDVHDSVAELTAAHADFERWRPQVDGQVQDLRLTVDSLRQQLDDLKASSLEPGSAPFKVSGSAHLDLSCSTATPGPLGHGDATHHRSDGSGVVYMTTHEPPPVTAHDPLINPAILTGRFIDGLKSDIRAAVLLHRPKDLDTASSLAILQEEVLSGAYVKEYKRLDASNPSRSLYKHSSGSPTPSPTVDTKSSTPTALADEKLAALMRYRKSKGLCFKCGGKWGPQHKCPSSVPLNMIEEVWHMLSDGFEDYAEASSDSDPDELMALSDHAAKGTTAAHTLRLYAYIQEKPSIILVDSGSSHNFISERLAANLQPWTPLQHSLSVRVADGSLLPCTHEVVNCSWSAQGVLFTTTFKILPLQCYDAILGMEWLEAFSPMQIQWKEKWLNFQLQNSTVKLRGIPDDTSSCQEITLNQLMGMAKLDEVWNIVELYSVEPAPSFPQSDLPLELQQLIQQFFDLFVEPTSAAPSTALTHSIPLVSGVQPFRMKPYRYTPAQKDEIEKQIAHLLKSNMIKESTSPFASPALLVKKKSGEWRLCVDYRRLNAYTIKNKFPMPIIEELFEELYGACWFSTLDLKSGFHQIMVAPEDQYKTAFQTHSGHYEYLVMPYGLTGAPATFQLVMNHVLAPLLRKCVVVFIDDILIYSKTLEEHIQHVQQVFQLLQDHQFKVRLSKCSFAQQKLHYLGHVLTPNGVSTDPQKVQDVQNWPSPQSVKDVRSFLGLAGYYRRFIQHFGMIAQPLTALLKKGTF >Sspon.06G0022750-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:38376624:38377076:-1 gene:Sspon.06G0022750-2C transcript:Sspon.06G0022750-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATKEAAANVGASANAGMQKTRAAVQGHVEKATAHNASDRAAAEAKQRERVRAAELEKQDAMRANAAAKERATGAATYQHPSQGAPGIVDASRQGYGGGAAPAGGHVEDGVGETRPIARATGTERPSTAHNPHVGSDFPQPRGTGGQYQ >Sspon.07G0005640-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:12620308:12625079:1 gene:Sspon.07G0005640-3D transcript:Sspon.07G0005640-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase STN8, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G01920) UniProtKB/Swiss-Prot;Acc:Q9LZV4] MAASLLPPAATLVKKYPTLLHPFVAKPHAQRLIFRCSATSSDGAYDGWASLVDELKRSLQQTDPSDTVTSNAGAGVTPNDLVTALPLEPSTTGPAVGDATNAAAGAVNELLGLDASSVAASSDSGAAAIPDGLLSALLHLDASNPVARAAGGALSRLDALTSGLSDAQRWALFGFLAATWLYLTARPGVLSGAVDTYVLAPLQLALDSVLGRRSLKMSDFVVGERIGEGSFGVVYAGAVVPKNGAVVEERSGRARTSLQNDDRYKEKVILKKIKMLTVGAKECGDYEEWFNYRVARAAPESCADFLGSFVADKNKAEFVKGGKWLVWKFEGDRTLANYMSDRGFPSNLERLMFGRVLQSLGTLERDALVVKQVMRQLITSLKRIHATGIVHRDIKPSNLVVTRRGQVKLIDFGAATDLRIGKNYVPDRALLDPDYCPPELYVLPEETPEPPPEPIAAILSPILWQLNSPDLFDMYSAGIVLMQMAIPTLRTQSGLKNFNAELRSAGYDLNRWRQSARRRPDLQILDLDSGRGWDLATKLISERGANGGGRLTAAAALRHPYFLLGGDQAAAVLSKFSLSK >Sspon.07G0007010-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:15173507:15183409:-1 gene:Sspon.07G0007010-4D transcript:Sspon.07G0007010-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone deacetylase 8 [Source:Projected from Arabidopsis thaliana (AT1G08460) UniProtKB/Swiss-Prot;Acc:Q94EJ2] MASESSPPPAEAPPMGPGDKLAVFWHEGMLAHDAGRGVFDSGRDPGFLDVLDQHPENADRVRNMVSILRRGPVADFLSWHSGRPAHASELLSFHSSEYIEELVQANATGAKKLCEGTFLNPGSWGAALLAAGTTLSAVKHILDGHGNLAYALVRPPGHHAQPDHADGYCFLNNAGLAVQLALDSGRAKVAVVDIDVHYGNGTAEGFYRTDNVLTMSLHMRHGSWGPSHPQSGSVDEIGEGKGLGYNLNIPLPNGSGDAGYEYAMNELVVPAVDRFQPQLLVLVIGQDSSAFDPNGRQCLTMEGYRKIGQIMRSLANRHSNGQILVVQEGGYHITYSAYCLHATLEGVLDLEAPLLDDPIAYYPEDEEYTMKVVDMIRSCWKESVPFLKEI >Sspon.08G0024490-1T-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8B:64221233:64222645:1 gene:Sspon.08G0024490-1T transcript:Sspon.08G0024490-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPSPLRVLSRRTVTPPPRPRHRIPLTAWDVSMLSADYIQKGLLYARPPFPTAHLLDLLEAALAQALVAYYPVAGRFATDQHRDADGNVVRCSVSIDCDGQGADIIHAVADGVSIADVIAPDADVPSLVRSFFPLDGAVNHDGHHLPLFVVQVTDLTDGVFLAFVYNHALSDGSAFWDFLNAWAGIARGSLSISPPPLLERWSPDGLTPPPPVVLPYPDLTGLIERLSPPPLRERMLHFSAESLEALKDRARRELLAAGDAAGAAAVTKFQALSSLVWRCFTRARRLAPDQSTVCRAAINNRTRLRPQLPAEYFGNTIYAISTEAVTAGDLLARGHGWATAAVGRAVAAHTDADIRARVAAWMAKPVVYTLRYFDPNGVMMGSSPRFDMYGCDFGWGPPLAPRSGRANKFDGKASLYPGREGGGSIDAEVVLTPEHMALLEQDDEFWAAVSADKPCPPAVGEELTAHGRS >Sspon.08G0017910-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:5155931:5160557:-1 gene:Sspon.08G0017910-2D transcript:Sspon.08G0017910-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLAYRPSGSGPLPWKAHHGIDQARLHPTGHHSVAAATAPPPVPCPASTHRLQPPPARRLTSSSSLLRAPQAPRILPTQDRKRNRDATWGLRRCLRVVAYFWRVCAPPCYTRPISIPVNKMARQSALSLARDQAKVGALKRLTLSSSKTAGRNSSGRITSFHRGGGAKRLQRKVDVKRGTNSLGIVERIEYDPNRSSSIALVRWVQGVHFRCPKTLQEPSAKPPVLESVTADVSARFSLAALSGRVHKEKEASALYSSLGNGDIASVKSGGSLPRIALAGAKPTFYAQVRGNEEEKQTFSLSGIQKWAADDALWTQRMKRQAALSWQNDLKKKPLLQAKANRFSSLAAKSIGMSKGPKGKADCVPFDIIDLNSKVGNCIPLANARIGTWVHDIECRPGQGGKMVRAAGTYAKVVQEPGAQCVLRLPSGAQKIVDSKCRATIGIVSNPSHGTRKLRKAGHSRWLGRRPVVRGVAMNPVDHPHGGGEGRTKGGRPSVSPWGKPTKAGYRSPNVASLYIDQRVETVHLIL >Sspon.01G0032680-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:104156426:104161726:1 gene:Sspon.01G0032680-2B transcript:Sspon.01G0032680-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MENHEPFFIFENMECNLHDVRRERLVAFPEGDIRNFMVQILQGLAYMHNNGYFHRDLKPDMWTVGAILAELFTQSPLFPGESETDQLYKIPPRNLWELIPNASLEAIDSIQVCKPRPVHDASHTKTNEPNHANHVPRRTEEEILLYSGFENTPVNSGSNPSSSWSDLPKDLVLSILQRLQLPEAIAFASVCTPWRSAATAAGVPRSCAPMILSWRDILESREARGTKGSSSVNCKFLHLLDVHKAYDVRFPQGLRVVACCGSSHGWLILVNELANLVLYNPFTSEMTPLPPITDFTCVEAVCGSKGNIEAYHFENHDVQDAKYLATWFYQKAVLSRSPSKVANYVVMIIHRDTNWLSFVRAGESNWQVAATLVAHEADRYADCAYHNGMFYTVTFQGIVEKWDLDGPNGPAKEVIVAKRSSRRILARHLVSTPWGDLLEVQAFVANSRRMYKDGVAFRIFKVHLDGYEKVSPVPNIDLMEHAIFLGLNHSACLSTKNFPGLRPCCIYFSAPCMAPICHLLRRCPEWGGVKTYDLKQRTFDHVFRLCPHKDRLKLAPSEVWITPNL >Sspon.02G0050100-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2D:39929309:39930711:-1 gene:Sspon.02G0050100-2D transcript:Sspon.02G0050100-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKEVWAVDEYGSMKGLTCHHTNVGCVVGLTQALRISLSQRRRKSEKKRRRKKVTSVTGRGLGTTDASGQWQLLCAVRGARVCNRHVRSLTEPERPVTHPENSASLSDDRTWWRVRSRAIGRVLSTKSLSRTSLGSDRTLALSRPVGMCSTSGHGFACGDCP >Sspon.03G0001780-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:15822865:15825313:-1 gene:Sspon.03G0001780-2C transcript:Sspon.03G0001780-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPAGTGVVAYNAAISRCARAGLYARALALFREMRGRGLRADEYTLPPLLNSAALMRGPPPAAVAALHALLLRAGLALHLHVANALVDAYTRLPRAGGAAAAAGAARAVFDEMPRRDVVTWTSLLTGLARAGAHAAAVRAYHGMVAAGVQPDEFAVAAALSSCAGSTMLDVGRSVHAAAVRLGLNPFRSVGNSLVSMYAKTGALRDARAVFDAMPARCTITWTALIVGYAQNGRGRQSLEVYANMVRSGCRPDYVTFIGLLFACSHAGLVDAGQAHFRSMLADYGIAPGPDHYACMVDLLGRAGRLDEAMDLLNRSSTELDATVWKSLLGACRVHRNAELAERAAEMVWRLDPTDAVPYVMLSNLYSRARRWGDVARIRALMKARGVTKEPGCSWVGVNGVTHLFHVEDRGHPRAAEIYRKVGEMTERIRVEGYVPDTAWALQDEAPEGKQRGLAYHSERLAVAFGLLAVPAAAPIRVFKNLRVCGDCHTAIKMVAKVYGREIILRDANCFHHMKGGECSCGDYWNPLELPLILYRQDDNIIKSQQSYVDTSLSTPDYDILMK >Sspon.07G0009040-4D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7D:21835441:21838977:-1 gene:Sspon.07G0009040-4D transcript:Sspon.07G0009040-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRSKEPSKKKPKDPLLTPPSKPRGVFLDEGRPWNRGGAAMSPAPAPAPASVPSYMRGTSSSDAKAGRRGRPAASVSASASPARWRPAASVSASASPARRRPAVRVLMTKGKVLFAEEAPGSAGLGRATCSSTMKDTKFPDALDLAPGATDAEGPAALRVCPYTYCSLNGHVHAPAVPLRSFLASRRRLIKMQQSMKLKGVSAFRKKSEEKAGGGSGGGGAKIAPLIDEEAVGDFFVEVYGGPRVSSDMSCSDMSLDEMDATVRRMEFVVFDRCGADEDGEKGKDLAVCDDGEPEPRLVLKEKHGAFGDSLSDCSRGHTGSDFVEVLPWLRYHGYEYDDSLDDEILEEQRIREDGVVGAEFSAEQEEEQGTSGRSSDEYKEGAAEGQEENDEENTSNLVRDQEILADQGVACRAEACEELDGRDDDDNILEASVEQGTAEEKLSDDVYKSKIPDQEVTARAGATLEDGFKEDISSDQEANDDDDEDECRAESDGESEVTEEQDLEDQQSMPEDGSEMEISEDTISGDGCKEDFSEEVTSTAVLAGEVSYFNSIDYRDVDINKVVIDNLNQNNCTADDVFEQYDNSADDVPEQDSSPANAQKELGNPTSKNEDAFEETAIVQQINQDSNADTQKELEIMTSILEESGITQQSNPDDDKTGTREITRCKLEDASKETGIDQETLEDDSSTCVIVDDRNNLDINNCKSEDVSKESITTLEADHSDNSANFSNDVQGTAEDHNSCLIDDAQNDIQITGCKSEDTCKEFDTMKETDQSDSSANVRSDAEETVEDDGSVCVSDDAQNDLMFSKCNLEDASKEPSPVQEADRSDSSANVNADSEETVEDDGSVRVSDDAQNDLKFSKCNLEDASKEPSTVQEADQSVSSANVSADAQNGSELTTSELAIITISNDKENESKLFTCNSEDVFEESIIDQEADHEDSSVYLSYGAQNEYEVTTCHSEGAQVESDVIQEDEDKTAGAEKKLESTACESGGASLKPAMHQEASGDINTTDASKYVHVTEETNQSFNVQMPEEFTDAKPSIDDICGAFSGMNLKGDVYFDPAESSTCPRNKLIISRRRRTPEEEEYLRGFNPRAPNFLPLELDPDAEKVDLKHQMMDERKNAEEWMIDYALRRALTNLAPARKKK >Sspon.01G0019540-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:74788944:74793133:1 gene:Sspon.01G0019540-3C transcript:Sspon.01G0019540-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to carboxy-lyase [Source: Projected from Oryza sativa (Os10g0479500)] MTMRQSRFKRICVFCGSSQGKKRSYHDAAIELGNELVARSVDLVYGGGSIGLMGLVSQAVYDGGRHVIGVIPKTLMTPEISGETVGEVRPVADMHQRKAEMARQSDAFIALPGGYGTLEELLEVITWAQLGIHHKPVGLLNVDGYYNSLLTFIDKAVEEGFINPSARRIIVLAPTAQELMDKLEEYEPYHDRVASTLNWEMDHQLGY >Sspon.06G0018330-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:98680568:98685925:1 gene:Sspon.06G0018330-1A transcript:Sspon.06G0018330-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLEEMRNMLQKQNQKIESMFQENQYLREKVSSLTADISRLDDYLQQSPAPRVLISDQHCSIPLRLRFLNSCKNDKYSKQKIEADDKTPLKIAIYNHKNEIITSEPFSSMRVHIVPIQGDFDNDHKGQWTEEYFRSKIVSGRPGKEPLLFGDLYIRLQDGVGCLNTAKFQDNSSFVASKRFKLGVMADDIRIPQRVQEGITESFAVKDIRGYSTKKNLYPSPQDPVYKLRRIAKDGDRHKLLEQNGIKTVEDFVLSYNQSHEDLRKILGKISDQDWDMLIEHAQKCNPRPGNQEHQVISRSDGSRYFEGSSSMQPTCPAP >Sspon.02G0011980-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:31990521:31995556:1 gene:Sspon.02G0011980-1A transcript:Sspon.02G0011980-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATDTETFAFQAEINQLLSLIINTFYSNKEIFLRELISNSSDALDKIRFESLTDKSKLDAQPELFIHLVPDKASNTLSIIDSGIGMTKSDLVNNLGTIARSGTKEFMEALAAGADVSMIGQFGVGFYSAYLVAERVVVTTKHNDDEQYVWESQAGGSFTVTRDTSGEQLGRGTKITLFLKDDQALRDSSMAGNMSSKKTMEINPENPIMDELRKRAEADKNDKSVKDLVMLLFETSLLTSGFSLDDPNTFGTRIHRMLKLGLSIDEDESAEADAEMPPLEDDAGESKMEERIKPRAHTAMEATKERQQQQQPKAAAEGGSDTVQLPTETSPYVQYEGLEDYKMRGYGAQGHLPVSDVPHGGSGTEAPTVPGTGIPVAKPKRPDDVQPQRDLGGGGGGGVGRRPGDTATDDISRHGV >Sspon.01G0011140-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:37418297:37421760:1 gene:Sspon.01G0011140-2B transcript:Sspon.01G0011140-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHTHPIKMKRDLLILWSLFYFSVANTDTRNQANQPLEFNQLTISRKYVQGKQDSTPKNGTSPSVHRGSTSNQREQDKIIRMPGQTGVAEFDQYAGYVTVDAKAGRALFYYFVEAPQDPSDKPLVLWLNGGPGCSSFGSGAMLELGPFSVHTGVGYSYSNTTSDYNTGDQRTTDDAYTFLVTWLEKFPEYRDRDFFITGESYAGHYIPELANLIVSKNRATNATSVKLKGVAIGNADLDDNLNLRATYDYYWMHAMISGKAYTAIKDKCGFNGTYTKDCLNAMNLAIQEKGNVDDYDIYAPICYDASNPSKSSDSLVFGDPCTNHYVSSYLNRPEVQRALHANTTGLGYPWMDCSQHVYDNWNWKDSPETMLPSIKKLISSGTRIWLYSGDMDAVCSFISTQYVLDNLGLPIEASWRPWRIDNEVAGYVIGYKGLVFATVRGAGHMVPYYQPRRALALFSSFLEGKLPPH >Sspon.04G0010130-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:29908918:29915171:1 gene:Sspon.04G0010130-4D transcript:Sspon.04G0010130-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGKGGAMDRRSSARWRVLVLCAFSFGLGMLFTDRFWSAPDTSNHIMSQRWRQEQELQLVSEDCNTKRKHGEDKDIMGEVTKTHEAIQSLDKSISTLQMELAAKRSTLELLRSSGSPVTSETSQPRKKAFVVIGVNTAFSSRKRRDSVRETWMPQDKAIDSEDAQHHDFLRLDHVEGYHELSAKTKIFFSTAVGIWDADFYVKVDDDVHVNLGMLATTLARHKTKPRTYIGCMKSGPVLADKNVKYHEPEYWKFGEEGNKYFRHATGQIYAVSKDLATYISINQPILHKYANEDVSLGSWFIGLEVNHIDERNMCCGTPPDCEWKGQAGNVCVASFDWSCSGICKSVERIKDVHARCGEGDSAVWSALI >Sspon.01G0024890-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:88556199:88559529:-1 gene:Sspon.01G0024890-1A transcript:Sspon.01G0024890-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVSTISFSSPSPPSLSPSPPPQQQPPRATAADLEAVGLRRLSDNLQRLLDPAFLNCADAEIALAPAKGGAAVGVHRCILAARSAFFLDHFASFPAPGGGGERPRLELADLVPGGRHIGHDALVPVLGYLYTGRLRSPPQEATVCMDDACGHGTCRPAIDFVVESMYAASGFQISELISLFQRRLSDFVSEALDEDVVPIIHVASTCDLQDLLNQCIQRVAVSTLDSRYLEKELPDDIYCKIKEIRRSAFHDEASENAILDPEHEKRVRNILKALDSDDVDLVDLLLKESTVTLDDAYAIHYAAAYCEPKVFAELLKLDSANVNLKNNSGYTPLHIACTRREPDIILSLVERGASVLERTLDGRDALTICKRLTREKDCNRKLEKYEEKSKAYLCIDILEQELKRKSFFFDPISVEESIATPLLVDNFHMRLINLENRVAFARIFFPSEAKLVMRIAQADSTEEFAGITNFSKLKEVDLNETPTMQNRRLRERLDALTKTVELGRRYFPHCSDVLDKFLNEESTDLIFLETGTQEDQRVKRMRFSELKEDVRKAFTKDKAAVAAIASSASSSSSPRCEGRGRSNRKLRPSR >Sspon.01G0051400-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:17383551:17390224:1 gene:Sspon.01G0051400-2D transcript:Sspon.01G0051400-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAVAAPPPSPPESDPRLVEVFTPFLEKLIKNASWRNKAHSKLSHTAKSILDRLQKPPPPPAAEAQAPSTPTSAPSTPTSSSAQPGPLRSLSLADSELLLAPVTSALGSGSAKLAEAALELLHRLIAHSYIHGEADPSADPSAQLVASLLDAACNALGLDDEHIELLLLKTLLSAVTSTSVRLHGDCLLRAVRACYDMYLGSRSAVNQATAKASLVQMLVIVFRRMEADSSTVPVQPIVVADVIELPEAGSGSPTADPNAVQGFISKIIGDFDGALTPLARTTSSAGAGATVAHDGAFETTAAAEEGANPADLLDSTDKDMLDAKYWEISMYKTAIEGRKDELGVEGAVVGTLDDDADVRIGNKLRRDAFLVFRALCKLSMKTPPKDAPADPIVMRGKILALELLKILLENAGAVFRTSERGSLFDQDFFFNMSRFLGAIKQYLCLSLLKNCASSHMIVFQLSCSIFISLVARFRPGLKAEIGVFFPMIILRVLENIAQPNYQAKMIVLRFLEKLCGDSQILVDIFLNYDCDVHSSNIFERMVNGLLKTAQGPPAGVPTTLVPPQDTTMKSEAMKCLVAILRSMGDWMNKQLRIPDPASPNVESEKNDNDGGNELPQADNNGDESSEASDSHSELSNGISEAASLEQRRAYKIELQEGISLFNRKPKKGIEFLVNASKVGESPEEIAAFLKSASGLNKTMIGDYLGEREDLSLKVMHAYVDSFDFQGLEFDEAIRAFLQGFRLPGEAQKIDRIMEKFAERYCKCNPKAFSSADTAYVLAYSVIMLNTDAHNPMVKNKMSPEDFIRNNRGIDDGKDLPEEFMRSLYERIWKKEIKMKEDEFVPQQQQSTSSNKILGLDNILNIVVRKRGSSMETSDDLIKHMQEQFKEKARMSESVFYPATDVVVLKFMVEVCWAPMLAAFSVPLDQSDDEIVVSQCLEGFRSAIHVTAAMSMKTQRDAFITSLAKFTSLHSAADIKQKNVEAIKAILLIADEDGNYLQEAWEHILTCVSRFENLHLVGEGAPPDATFFALQQPDLDKSKQAKSSILPVLKKKAPNATSASKRGSYDSAGVGGKASGVDQMNNEVTSLLEQVGMAEMNRVFVRSQKLNSEGIIDFVKALCKVSMEELRSASDPRVFSLTKIVEIAHYNMNRIRLVWSSIWHVLSDFFVTIGCSENLSIAIFAMDSLRQLSMKFLEREELANYNFQNEFMKPFVVVMRKSRAVEIRELIIRCVSQMVLARVSHVKSGWKSMFMVFATASYDDHKNIVLLAFEIIEKILREYFPYITETESTTFNDCVNCLIAFTNSRFNKDISLNAIGFLRFCAAKLAEGDIGSSRLKDNPSNSNPPSPHLTNDGKQEGTVLVDKEDHIHFWFPLLAGNYIFFFFSMAIVMLFVVEIIIFPEHVVMLAF >Sspon.03G0027790-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3B:6723579:6724199:1 gene:Sspon.03G0027790-1B transcript:Sspon.03G0027790-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLMKRNCWNNAQVQVVVMSANMGCSHCRQRVANVVSKMNGLLDYMVDFGKKEVTVRGKVEHTKKKKKHRKTLLGAAGWDDDARSAAASSPGGGQARTLSWFLGCYGS >Sspon.02G0001860-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:5504682:5508368:-1 gene:Sspon.02G0001860-2B transcript:Sspon.02G0001860-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLLLRPLLAGECEMEDDFGMSGDNAAQLIAAANAANSAQGIVTTLLEEYESIFNDEHFRCSLSPDSQTGDSGSEESTDDETVDIKDNGFHDAENDVDQELDDAERILSGKLSETSASARADLNDYMEVNGKNSDAELSVEDNTFESNVDLNDAPLSRLTENGKDPSNLVFTHETPLSVGEILLSLDAGIPLPGRAAEYSNDRHSSKPNGTQQHVKRTNLWGRSNARKGQRLELVDPSGEEELAIQRLEVMKNDLQIRIAKEARGNAILQASLERRKQALHERRVALEQDVSRLQEQLQAERDLRAALEVGLSMSSSQFSSSRSMDSKTKAELEEIALAEADVARLKQKVAELHLQLNQQRQHQYSSSADANDLPQHLPNHLSQNIVQTGFDRSIAFCNQEKKQRNEESLPSTSHWRSIKQHVLSHGSSRPFSLKHSLDTSLSDSREASTSVPAEGGPMLVNIPRTTEQGVEYGRPPSVPSSTLVELTTRLDFFKERRSQLMEQLHSLDLGHGSTSHGFPYKPSSPWNSP >Sspon.01G0040120-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:74155205:74159055:-1 gene:Sspon.01G0040120-2C transcript:Sspon.01G0040120-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMVAEKPALGFQQAHAEGDDELELELELASPAGSPAPPPPRKMHSLDFEHIGSLAAVAQSLAPGSRWRRALTSVRVVIFQAKINVLLPFGPLAIMLHHLSGTHQGWVFLFSLIGIIPLAERLGYATECKLSLFHLIAVGGLLNATFGNATEMIISIYALKNGMIRVVQQSLLGSILSNMLLVLGCAFFAGGLVHSNRDQVFNKASAVVNSGLLLMAVLGLMFPAVLHFTHSEAQYGKSEVALSRFSSCIMLVAYASYLFFQLKSHRSMYSPIGDEEEATEEEEDEKEITQWEAICWLFILTIWISVLSGYLVDAIQVLKSLLTITFLCSLPLGASESLNLPVAFISVILLPIVGNAAEHASAIMFAMKNKLDITLGVAIGSSTQISMFVIPFCVVIGWMMGQEMDLNFQLFETATLFITVLVVAFMLQEGTSNYFKGLMLILCYLIVAASFFVHVDPDATESTDGNNVTTAFETAGCVKRQ >Sspon.06G0027300-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6B:84600811:84604288:1 gene:Sspon.06G0027300-1B transcript:Sspon.06G0027300-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATWSALFFCTQDLLNDKESSSFPVKNSAKESRSEQKEIDKLYRSIEQKVFGRDKVRADISKMLRDGPDADAASSSTSKPYPVIGIYGISGSGKSTLAHYVCDYEKGVGHFNPIMFIHVGKTFNVGEIFRDMLDQITQQRPSDTNGSESLKTELKDLRSLRAELRENLKDKRFLLVLDDLWVNAANKKECEEREILLDVLRVGQSGNRILVTAQKKDAAAGLGAQEQIQIPDLEEKQYLSMFMHYAQVSQVTNPDYENYEAIGRKILKMLGTSPIAARTVAARLQSNNSIDDWQRTANLDVLNETMRALWWSYQQLGVGIRRCFAYCSTFPRGYELDRDDLVRIWIAQGFVNTRSNATEELEDVGQPYFDELLTFSFLQAERKNSVMIFRIHDLLHELAEKVSGSDFYRIGLNGLPKHIPRGVHHLFIKTNNVANIVRKSLDMGNLRTLIIDEVPAERVGTMERNHDLEKLLGRLFMRLRKLRVLIIKLHRKINELSVPVSIYQMKHLRYLHFAFIPYLILPSTFSKLYLIQVIDTPASNVSYPEDMANLICLRHLFGRLPCTIPNIGRLTSLQTLRRFEMKGGQGYGLKQLKHLNKLGGSLCVEGLDIVRSKEEAVEAHLTHKERLGRLQLKFVWHRMPGPFMNTREKRFGPNVEAEHHPEAPKRLRKLELCGCSQLASIPQESELFIGLRELTIYACDWDRLPENMEGLQSLQTLNISLCDNMKLLPTLSLQSLKKISIRCCEVLSTTCKQEGHENWCKIQQIPEKEIN >Sspon.08G0000680-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:2378436:2381035:-1 gene:Sspon.08G0000680-1A transcript:Sspon.08G0000680-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATYINELRALIASTSTSTAPDGAEPVSAHLEVKLREVLPNLLRDYVVPSPKGAPPLLAPSVHVHLPRTAVGLTGAVSARAAGRELREVSAILKLVAFTALKFPGVFYNGRAADVLSVIARIITFLAEPNFRHCLPSLPSFSPPLNPSPIASSIREYIADAIVLCSGVAYCPLGTNPFTIQTWLSHEIIFKAVWNLLSILRTGDREAYRQFFLDAMVAVEDVLYVASLHDRSPSGVPPGRCLVKCLSGSFLDILDTPGPYSELPASCRPKNGPGVLVDLTGDARWRPFATSLIKLVNKCLTDGTLYVDGLVNTPFVSAACSILCYGDGSLHKVCFDFARIVATVMTPEILPLENIIRSITCILSQQISELSDIRYNYKKDIKS >Sspon.05G0032020-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:22770842:22772177:1 gene:Sspon.05G0032020-2D transcript:Sspon.05G0032020-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHEMEQKGCLCRIKNCAIELFSAMEEDLEVDDEDSWDLVGRDLRLKATFLYIDLSRVIAFSEGEEHKKALTVLANKFFYSMDELGDAVESRSLPLTQVRYSDTADALREVVAVLAPSLLQVGPHDPEE >Sspon.08G0026300-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:17293384:17295489:-1 gene:Sspon.08G0026300-2D transcript:Sspon.08G0026300-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSSSGARVSDSGGVVVSGSEAALPTYDPLSAAGRREAARTRALGRAVHCIPVVLLVCALLLWLSASSHTHLAAEVGLKPAEANH >Sspon.06G0014710-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:81149634:81153360:1 gene:Sspon.06G0014710-1A transcript:Sspon.06G0014710-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMRKPEQMEVRSQDAITGEGSSCSAAEIKPDSHCGEIVGSQVQLPEDILHRIHALMPMRDAAQAACVSHAFLRSWRCYPNLIISVDSLCINEYGSRYDELTMDFITRVEHVMQNHSGMGVKEFRLQSYPCSTIDPSYLDHWIQVAMTPGIKEFELSLFEIGDIKYSFPCSLLSSERGSSIQSLMLSGCYIHSTAQVGCMSSLTNLVLYSVDISGEQLLHFLSNSCALEKISLSNCNTIICLKIPCQLQKLNILSVLDCQMLEMIDSNAPNLSTFSCTGRQIHISLGHAFQVKEIRFSCHYSSNALYYAITKLPFIAPNLQTLFLSTSDETINTPMTLGKFLQLKYLEITLFATNFSPDYDFISLVSFLDASPTLETLIIRIWLPTIRHDSILEDPDGDSSQLQCLSECYHDNLKNVMITGFCSAKSMVKLTIHIMQKARSLDCLTLDTTRGHDKRFANIDRCWPLSEEALVEAGKARVAIERYIEERVPPSVNLKIIEPCSKCTYR >Sspon.06G0010790-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:58453855:58457477:-1 gene:Sspon.06G0010790-1A transcript:Sspon.06G0010790-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATATRRLLPALLKTLAPAGARGLSTEKAVGAAAVVGSHTAKWMQDTSKKSPMELINEVPPIKVDGRIAVCEGASEGVGLGHPIEYICLDLEAPNVCKYCGLRYVQVHHH >Sspon.04G0014000-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4A:51157527:51158288:1 gene:Sspon.04G0014000-1A transcript:Sspon.04G0014000-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPTLPECAGCPRAQAATWAWAGKTLARMGLKRPGCPKPPISIGRLSVDLGRSKPGAGVLPLNPRLIFTLPPFLTVLSPASGSGSTRGGRRSCRRRAPPGQGRSGPFPLSIPSSSFFTPFPSSLSTRRRSCYRPRRLRRHRRMEARRPRRGEKPAGELAWRLLRPLLLLSLLPPQTVLLATAKCAHGGFCRRREIRRRRGAPRRRTRAVETARGTVERHPGDALCPESEAWTPPAVRIQLPAHHGGGDGREKTR >Sspon.08G0008310-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:30502746:30503425:1 gene:Sspon.08G0008310-1A transcript:Sspon.08G0008310-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWDWVAVLAGVADDEGGGVGAAALHLLPRPAVLVGAAPVVAARVRRPFDRLLPVLAPRPGVRRRAHGHPLHLLEVRGLAGGCWGGAWFLGCGAAKKACCRQKSSTAGPIGGCWNAAASVSSSFCDCGGRGGQENDSSEARGEVPRLPPLQLAKRTTA >Sspon.06G0001900-1T-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6D:3642138:3642816:1 gene:Sspon.06G0001900-1T transcript:Sspon.06G0001900-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHLLVQFVVICPDNSKVYPFLPNLPGPGGLTPLHLAASIENAEDIVDALTDDPQQIGLTCWQSVLDDDGQSPETYAKLRNHNSYNELVAQKLVDMKNNQVTITVNGDEIHMDQLGNVGDHKKSGVQALQIRSCSQCAILESGVLRQPVRSRGLLARPYIHSMLAIAAVCVCVCVFMRALLRINSGKSFKWERLDFGTI >Sspon.02G0018830-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2B:62083503:62087422:-1 gene:Sspon.02G0018830-2B transcript:Sspon.02G0018830-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Monodehydroascorbate reductase 1 [Source:Projected from Arabidopsis thaliana (AT3G52880) UniProtKB/TrEMBL;Acc:F4J849] GYAAREFAKQGVKPGELAIISKEAVAPYERPALSKGYLFPQNAARLPGFYVCVGSGGERLLPEWYSEKGIELILSTEIVKADLSTKTLTSAAGANFTYEILLIATGSSVIKLTDFGTPGADFNNILYLREIDDADKLVAAIQAKKGGKAVVVGGGYIGLELSAALKINDFDVTMVFPEPWCMPRLFTADIAAFYEAYYTNKGVKILKGTLAVGFDADANGDVTAVKLKDGTVLEADIVVVGVGGRPLTTLFKGQVAEEKGGIKTDAFFETSVPGVYAIGDVATFPLKMYNELRRVEHVDHSRKSAEQAVKAIKGKESGEPVPEYDYLPYFYSRSFDLGWQFYGDNVGETILFGDSDPTSSKPKFGSYWIKDGKVLGAFLEGGSPDENKAIAKVAKTQPPVANLEELKEGLQFASKI >Sspon.03G0008220-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:22256908:22258942:-1 gene:Sspon.03G0008220-1A transcript:Sspon.03G0008220-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATTAVISGRWTRVRTLGRGASGAVVSLAADVASGALFAVKSAPAGSAAAEHLRREGSILSALRSPHVVPCLGLRAAPDGSCQLLLEFAPGGSLADVAARSSGGGRLGDDERAVAAYAADVARGLAYLHARSLVHGDVKARNVVVGADGRAKLADFGCARAVGSSAIIGGTPAFMAPEVVRGEEQGPAADVWALGCTVVEMATGRAPWSDVVDDSLPAAVHRIGYTDAVPEVPAWMSAEAKDFLARCCFARNPRDRCTAAQLLEHPFLQASAAGVKAEEGAAAAEWVSPKSTLDAALWESDADDDSDDEGDVSESPAQRIKALACPGSALPDWDSEEGDWIEVLGEQCEATNLVPLPTKDVAGEDECQFQSEVLETEVDFIDAADAEGDDPECSVAVGLGTAPSVELQEEQCIGARSRCNPTAEAATARPELGFGNDRKSSPAHGGPCQRCVQIIPVKAIRKHRNLGISGQILSLDRAFATILQIVHQSSPIDQPLAHIRRLILQLITMNSAGLDGRRVPVS >Sspon.07G0007920-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:18222225:18227613:1 gene:Sspon.07G0007920-4D transcript:Sspon.07G0007920-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPVQLRIRGADLLPRPALRILDGGAGYHDSEGRERRYRNQLVCAGAKTRLGAAEPEPQTPGEVLTIEVCPMDRGGSQKKRHKSVANADIINIENISLLGHAFECAAHGIVPGKLPRLLNQLTEITLELDLGNLKEANAAHCLFQIAPNLRRMELQLMHRGYSAPTSNFWDSVDHQDCLFKNLYTVVMNNFTGSCAESGFLELLLKDAPVLRSARIEDNNKLDKESLKRILKMRRASKDAEIILL >Sspon.05G0023530-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:7690876:7692267:1 gene:Sspon.05G0023530-1B transcript:Sspon.05G0023530-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGRAPCCAKVGLNKGSWTPEEDMRLIAYIRKYGHANWRALPKQAGLLRCGKSCRLRWINYLRPDLKRGNFTAEEEETIIKLHAMLGNKWSKIASCLPGRTDNEIKNVWNTHLKKRVSPAGGEDRGAAGAKKKKKKKAAAGGGAEEPLPSPSPSPSSSTTTTTNCSSGDDSGEQQSNTSKEEPDDELDLENLEMMPMLDDPTFGFDMLVDPVPPAPYGPAVSAPASPCASSTSPPPPVCAPPGVDDHLLMVPEIDIDHELWSIIDGDDLGASACTVAAAEAPAPCCQLSNASQPPNGSANTSSHGAEEGKEWWLEDLERELGLWGPVEDYQYPMGPHGLVADHPDPLPAMADDPVSCYFQAGPASAMLQEPGYSATAVTSSNQMGL >Sspon.03G0023280-1T-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3C:50061725:50061910:1 gene:Sspon.03G0023280-1T transcript:Sspon.03G0023280-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVKAYVLLFTAFVFSVLMQLSMAQDKQPAAVRVIDAKGVDQAIAYLLMFAALFVTYFAH >Sspon.04G0013360-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:47995875:47996777:1 gene:Sspon.04G0013360-1A transcript:Sspon.04G0013360-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding HGPAWTWCCHKHACVERAWSRASSGGATGGAGGRAPGAHCGHVGRSGHSAGTQSVGVRVQQRGGGDQVHRQDDAGPRRVRHARLSAVCAFRCCQGLWPPKDRRFHQPCCLLPRWYPCRAHFRLCPPSRGDGSLVWDLVRSGGADALAALHYLVHQLEQGGSEGEEQSFQCCSSCRHGNL >Sspon.06G0018290-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:68483155:68491412:-1 gene:Sspon.06G0018290-1T transcript:Sspon.06G0018290-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MISCAQEVAVNNHSRARELLKQIMHHASETGDATQRLAQCFIKGLEARLVGTGSLLWELLMAERPSVVEFIKACNLYYEACCFNKVALAFSEMTVMQAMVGKSRLHIVDYGMQFGYQWVGLLRSLASREGALPEVKITAIGLPKPKSYPTEKIEEIGCRLGRCAHEFGLPSFKFHTIKTNWEDACIEDLNIAADEVLVVNDLFSFSTLMDESISFDGLSPRDIVLNNISKMRPHVLIQSVYNCSYGSSFLSRFREMLFYYMALFDIFDATIPRESRSRMVLEQIVLGRSAVNALSCEGVDLVERPERYRQADEKPTGWPEAAATEVKHCRGCERYGHEAPPQGLSDLPGWPMAFARMEGACPLCPLDMALPLITMATTPEEFFIKDLMEQPPSSPPVFLDLPQKPNVSNEVQHHVPNNDMMLPYISRVLMEDDIDDDLSDHPALLQVQQPFAWILSSPSLGTNTNNCEGPNDFMHGGHGDKSVLNLPISKGTYITGESLKDLEEANMLLPNDNNIRRDELVNQIRESNIVDSRVTKRYNKDHLLEEEVRTTNISVMMINELEVKRGNEMFDNMMLHAYETCIKGMERVTIDVEKRDWRSRRIKAARKNGVNIRRLLISCAQALAADDHMTARELLKQIKQHASATGDATQRLAYYFAKGLEARILGTGSQLWQLLMLEYPSAVELLKAYILYSEACSFVNVTFIFSAMTIMQAMAGLLRLLVSKEGGLPEVKITAIDRPTPFASQTERVGCRLMNCANELGLPSFKFHAITKSWEDTSMDTSIMDLHRDNDEVLVVIDLFSFSILMEESIFFDAPSPRDTVLNNIKKMRPDVFIQSIMNRSYGSSFLSRFRETLFYYMALFDMLDTTIPRESKSRLVFEKAILGCYAFNGISCEGMDLVERPEKYRQWQTRNQRAGLRPLPLKSSIVKVVKDEVMKHYHKDFMICQDGQWLLQGWMGRVLSAHTTWVANEEASSG >Sspon.04G0026390-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4B:43056179:43057665:-1 gene:Sspon.04G0026390-1B transcript:Sspon.04G0026390-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPHVVVVPYPCAGNVNPALQIAKLLHHDGVYVTFINSEHNHRRVQATEGPGAVRGRDGFRFEAIPDGLSDADRGKQDYGRGLEMAVSTSWAAPFRDVVTRLTCTPGVPPVTCVLTTMLMSFALGVARELRIPTMALWTGSAASLMTHMRLRELRERGYVPLKDESLLTNGYLESTVIDWIPGMPPIRLGDFSSFLRTTDPDDFSLRFNESEANSCTNAGALILNTFEGLEADVLAALRAEYPRVYTVGPLGSLLNGAAADSAGGGLSLWKQDAECLAWLDTQEQGSVVYVNFGSHTVLTPEQLAEFAWGLAATGHPFLWAVRDNLIRGGGGGRLALEALPPGFTAETAGRCRLTPWCPQEQVLQHAAVGCFVTHGGWNSTCESVAAGVPMVCWPGFADQFTNCKYACDVWGVGVRLDEEVRREQVATHVGEVMGSEEMRRRAARWKEEADSAACPGGSSWENLLSM >Sspon.07G0003560-1P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7A:9105904:9108702:1 gene:Sspon.07G0003560-1P transcript:Sspon.07G0003560-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heat stress transcription factor Spl7 (Heat shock transcription factor) (Heat shock factor RHSF10) [Source: Projected from Oryza sativa (Os05g0530400)] MEGSNSHGGGGGGTSSPPPFLIKTYEMVEDPATNHVVSWCPGGASFVVWNPPDFSRDLLPKYFKHNNFSSFIRQLNTYGFRKIDPERWEFANDDFIRGHTHLLKNIHRRKPVHSHTLQTQVNGPLAESERRELEDEINRLKYEKSLLLADLQRQNQQRCGINWQMQALESRLVQMEERQRNIVASLCDILQRHGVVPGSTTTLMETAADHFSKKRRVPKIDFFVDDEPKVEEQQVPLFLQTLGAAETPGMSPIRLLNAEPFEKMELTLVSLENFFQRATHTPAQDMYAGGAAEPPSPALSLGEMLSVSAPMDTSINLQSPACQNPFASTSGQDQSSCPLAEAEPPSYAQSPMQPMAQLHEYAHRTAEVDMNSDTTTGDTSQDETTSETGGSHVPAKVNDVFWERFLTDEEGKIEAKEDVKAAVDRSCLRLQDNVDQITEQMGQLDSAENDSYAPQNY >Sspon.03G0001800-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:15772596:15774523:1 gene:Sspon.03G0001800-2C transcript:Sspon.03G0001800-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEDWELLLASPKAAVAAAEPYAGGGGGGREDDAGAIKHDYFDLGSDAKYPRRASLSKADEEEEEEGVEEGLLGASDNASWVEPDPDDLLFPGRDRAALWSDSSSDGERPDVEVTDPVGRATEEAGVTAAAAADAGEGAVAKGGGPVPWWKLPLDALRVWALRAARSAWSVPFAVALLGFAVLGRRLYRMRRQSKAVARVRLVLDEKKVYQFKGQSSRLNESMTMVRRTPTIKPMLPANGVTPWPVLGHL >Sspon.01G0017590-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:66134908:66135193:1 gene:Sspon.01G0017590-2C transcript:Sspon.01G0017590-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to predicted protein [Source: Projected from Oryza sativa (Os10g0404300)] MSSRRSRSRASSGGASRISDEQISDLVAKLQALLPEACLRSNDRVPSARVLQETCSYIRSLHREVDGLSDR >Sspon.08G0015040-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:55175899:55179263:1 gene:Sspon.08G0015040-2B transcript:Sspon.08G0015040-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLPSASVGFCLDVAAQPQRGPFSVHHASLYVNGVMVSEPELYDDGRYVVHGLHGFIPPLSRASCVEDDAHAHHHHQVHLHHYRRHHLSARSAATSAATAASVVRIMIREAISRLRDSGFGFVALAMRVKFAELEKLSNLTVFALDDQVIFTGGGHGYVSAVRFHIVPGHRLTRADLLLLRPGTVLPTLAGEDQKLVVTLGAGSATDEVRINYIPVKEPDVVINSRVAVHGIYLPFPRLHLANLAASVAVASDLQTNDSCGVGGPFGDCASTPMTSATIPADQGYGEGHDEYLLASSCINCKSGMTADLWVLELKIKSEK >Sspon.03G0032810-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:40914103:40915740:1 gene:Sspon.03G0032810-1B transcript:Sspon.03G0032810-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALMMMRYTPHALMILAQVFFTLLYFITEAAFNGGLNPYVYVTYRYLLAACILCPFAYFYEKTLRPKMTLMLFLEIFVLSLLGGSLTLNMYFSSLKYTSPTFVTSMINTVASITFVIAIVLRMEIVDVKTLRGLAKIAGTMVSLAGATTMSLYRGAAVKRLWRAPIHIHSSTSGGVDHVVAHESWVKGSLLALASCICWSIWIILQASSIKRYPAKLSLTAWMSMVGGLQSAVFAAFMQRDVEDWLIGFGLNFWCIVYTAIACNGLTVIIQLWCNKKKGPVFVTMFNPLLTVMVAILAYVVFGENLYAGSVIGGVLVILGLYMLLWGKDKDQEQQHISEGKDEHESDELDCCEKQATAVSDHFAARDDDQAPETATIKPN >Sspon.06G0025950-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6B:74795129:74795485:1 gene:Sspon.06G0025950-1B transcript:Sspon.06G0025950-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVKGATKTERGSSIPAASLPPILSGASLSRGSTPRPPQLVRHGQGSPLPPRIAKARHRNGGFFMRRRLESVETGGRLLRDAVARGRKKWLEAIRRKASKRSRCANWSLGSSQAKSSS >Sspon.01G0024620-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:86433385:86438704:-1 gene:Sspon.01G0024620-3C transcript:Sspon.01G0024620-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVVYYQYKSEKEICSMPVPHAFISVSELKQLILTSGKHGRGRTRGRATEDIVISNAHTGEEYADERASVPQNTTVLVRRISIPGQLSEKIVLSPTRKVTEECSVPCKSVVTDSSSKSCSSTVVQDEDAAIVAVIDAAELKLEQHPSKRGQGSGRFTSGRNYGPLEGETPPPGYVCRSCGVPGHFIQHCSQENKTPPPGYICYRCRIPGHFIHHCPTIGDPKFDNNKMSRSLVPVVTVSPVDGILDSLVPAAPVSAVDDLPAELHCRLCKKVMRDAVLTSKCCIRDYIITESKCICGVKTLADDLIPNHTLRSTISNMLGTQTSSGGSGTTRHRSSSGSNSDPKILSHTASAASAREAKQSTDHQLPAASAPDDVLQVATGGALVNHPLEKLAATARILSKDEGNSAEVSAEKAAANAEATKVNDGSESTSKATTASGALKHNSTRTDQLKKKRKKADLTKIVQPNNVGYGYNVPFDPAYYNPFNNGYPWATEPYMYSSMGMPYTGYPMDPYCVNTFTGMPPQVLAMQGYPASYQRPGTEPMHRGGTAAARALSKHPERPKDTRLQPQSPEHKRQLVSSHGSESRTRNRTRSSSERREHGNSDRASVEDHSSRKRMRDSSPKYDDKQSSRRSRHGSRSMMTREEDASDDERNFKRRWGRRSSGGVDTRH >Sspon.03G0029710-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:17895612:17905544:1 gene:Sspon.03G0029710-1B transcript:Sspon.03G0029710-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LLDLLAPDLPAPALLIPYNLLLRSACRAGELRLASGLLLEMRERGVTPDAFSYSTLLAALTRPGHLDHALTFLPLMEDDAVAPDLILFSNLIHLALRGGDAPKALALFSRLRAAGIRPDLKAYNAAVAAYCKSDLVRDAKRLLLHYMPADGVAPDAETYSPILAALARRGRHLAAVSLFSHMRAVARVKPDLSVFNIILNAYGQLDLAREADRLFWSMRRAGVPPSVVTYNTMLRVYGDAGLFGEAVHLFDLMRSASDGNGGAGSSVKPNVVTYNTMIAIYGKSLEDEKAGRLVQDMQAIGVQPDAITYSTILSIWVKAGKLDRAAKLFEKPREAGTEIDPVLYQTMVVAYERAGLVSQAKRLLRDLKDPEGIPKETAIKILASAGRLEEAAWLFRRAANTGEIKDSSVHRAMMDLYAKNRRHRNVIEVFDEMRKLGQLPDSETIATAMNAYGKLKDFDKAAALYQAMREEAVSSQTGVAHPRGTNTRTPCNATATGRTPESFLLGCRAFTSSTFGWRDIGVG >Sspon.04G0002700-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:28142746:28156528:-1 gene:Sspon.04G0002700-3D transcript:Sspon.04G0002700-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMLQGAAGLLGTLDQSDDDMVDYGNQLRRGIFEAYSGILQGIKGPKAQLMIPYATHLLQFTEAVFKDRSRDDSVTKAAVAVLGDLADTLGQSSKDLFKTHLFHDTAMDITQVLLAAQSPDANLRTVAEGNLTQFQEQNLPNFLLSLSIELSNDEKPPESRRLAGIILKNSLDAKDSAKKELLTQQWVSVDPSVKLKIKESLLVTLGSSVHDARHTSSQVIAKVASIEIPRREWQDLVAKLLGNMTSPGASAPLKQATLEALGYVCEEISPQDLEQDQVNAVLTAVVQGMNQTELSPEVRLAAVKALYNALDFAESNFANEMERNYIMKVVCETAVSKEVEIRQAAFECLVAIASTYYSHLDPYMQTIFNLTANAVKGDEEPVALQAVEFWSAICDEEIALQDEYEGSEDGNSTVHFRFIEKALPSLVPMLLETLLKQEEDQDQDDNVWNISMSGGTCLGLISRTVGDAVVPLVMPFVEANITKPDWHCREAATFAFGSILEGPSVEKLAPLVQAGLDILLNTMNDANSQVKDTTAWTLGRVFELLHSPAGANPIINNSNLPRIMSVLLESSKDAPNVAEKVCGAIYFLAQGYEDAESMSSVLTPYLPNIIAALLSAADRADTTHFRLRASAYEALNEIVRVSNIPETSGIIGQLLQEIMRRLNLTFDLHILSSGDKEKQSDLQALLCGVLQVIIQKLSSTDAKSIISQTADQLMMLFLRVFACHNSSVHEEAMLAIGALAYATGPDFVKYMPNFFTYLEAGLQNYEEYQVCSISVGVVGDICRALEDKILPFCDRIMTVLLKDLSSSMLNRSVKPPIFSCFGDIALAIGENFEKYLPYAMPMLQGAAGLLGTLDQSDDDMVDYGNQLRRGIFEAYSGILQGIKGPKAQLMIPYATHLLQFTEAVFKDRSRDDSVTKAAVAVLGDLADTLGQSSKDLFKTHLFH >Sspon.05G0003470-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:10417612:10423991:-1 gene:Sspon.05G0003470-1A transcript:Sspon.05G0003470-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSDDRKKMACVTGGNGYIASALIKMLLEKGYAVKTTVRNPDDMAKNSHLKDLQALGPLEVFRADLDEEGSFDDAVAGCDYAFLVAAPVNLKSDNPQKELIEPAVRGTLNVMRSCVNAGTVKRVILTSSASSVTRRQLQGDGHVLDEESWSDVEYLTATKSGLWVHAYPVSKVLLEKAASRFAEEHGVSLVTVCPVITVGAAPAPSARTSVPNCLSLLSGEAEIAIEGRPYRDEAEFGVLRAMEMASGTVALVHVHDVCRAEVFLAEQEAAARRYLCCGLNTTILELARFLTEKYPQYTVKTNLLSGDLLEKPRVHVSSGKLVKEGFDYKYKMLDGMYDDMIDYGKALGILPYKRDKYASAVASCSSRFQITPNVLGRFDSETADDMAKNSHLKDLQALGPLEVFRADLDEEGSLDDAVAGCDYAFLVAAPMKLKSENPQAYPVSKVLLEKAASRFAAEHGVSLVTVCPVFTVGAAPAPSARTSVPSCLSLLS >Sspon.01G0003680-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:8625022:8625222:-1 gene:Sspon.01G0003680-3D transcript:Sspon.01G0003680-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAVLVAVVLIQCCNVMVAARPLLMEAPAVATADGGGWPGMIMQVLKGGPGGNNHNCQAPNGSCP >Sspon.04G0008160-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:23545426:23545872:1 gene:Sspon.04G0008160-1A transcript:Sspon.04G0008160-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPLGHQQQVQVQEGARARLLAGTPSRHDAPDDDDDAAMTVVTKGCATFWVGEEREAPRRVAVPVARLGHPRMLELLGEAREEYGFAHQGAVVVPCAVERFMRAVEEASASAGNRPGHGHGHRHGHHHHFRLPHVHIARCFRPSHVVA >Sspon.06G0031050-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:59064654:59065424:-1 gene:Sspon.06G0031050-1C transcript:Sspon.06G0031050-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGILDHLSHLCSITERRRRSSSGRSGRCSFHGSDGSFISDGQTVNIKVKMDCEGCERRVKSAVKSMRGVTSVAVNPKQSKCTVTGYVEPAKVLQRVKATGKNAEMWPYVPYALTTYPYVGGAYDKKAPAGFVRSAPQAMADPSAPEVKYMNMFSDDNVNACTVM >Sspon.08G0013450-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:38127749:38130805:1 gene:Sspon.08G0013450-3C transcript:Sspon.08G0013450-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding CSALLCSLKALLGFFPRPPGSVHELAWPPRGTLQLGQLLGGLWFLAALLLRPGGAAAAGQPAVATVDARRAVAATGENFVCATLDWWPPDKCDYGTCAWGRAGLLNLDLSNKVLLNAVRAFSPPLVLRLGGSLQDKVVYGTADLGGRPCAPFAKNVSEMHGFTQGCLPLRRWDELNAFFQKSGAKIVFGLNALNGRVPLPDGSVGGPWDYTNAASLIRYTANKGYRIHGWELGNELSGTGVGTRVGADQYAADVIALKKLIDDIYRINPSKPLVLAPGGFFDQTWFSQLIVKTKPNLLNVITHHIYNLGPGKDTHLIDKILNPSTLDGMIGTFSNLQGMLKSAGTSTVAWVGEAGGAYNSGRHLVTDAFVFSFWFLDQLGMSAKYDTKSYCRQSLIGGNYGLLNTTTFQPNPDYYSALLWHRLMGTKVLATTFSGTNKIRAYAHCARDSPGITLLLINLSGNTTAQVSVTVTTQGAVAAHKHGARKHVGGRKFRHVHDPSFTGVDEAAGAVRDEYHLTPKDGNLRSQVMLLNGRALATDAAGNIPTLEAVK >Sspon.07G0010570-3D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7D:23588471:23588677:1 gene:Sspon.07G0010570-3D transcript:Sspon.07G0010570-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVAGAETTKKALRATASDGDGASVHPPEPQQQPAPLPAAGVAGNAADGFAAVHHQSCGEEDDQDDEQ >Sspon.05G0003610-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:9278785:9281247:-1 gene:Sspon.05G0003610-1P transcript:Sspon.05G0003610-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEKDGCTVLIWSYAYDNPLGWTVEHRLSMRDAFGRDGFVHYEDSWFWTCDYRIVAFDLERDALFLIDEKTNKLLSYSISTGKLNEDLHTPFSVNPRLQDCCADHPAICQILSCPKPQREKAQCSAKLEMFLEPPILSYS >Sspon.02G0032240-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2C:101963475:101964002:-1 gene:Sspon.02G0032240-2C transcript:Sspon.02G0032240-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRSAASSVLSLLLLAAFAASTRAATFTITNNCGSTVWPAATPVGGGTQLDPGQSWTVNVPAGTQSGRVWGRTGCSFNGGSGSCQTGDCGGALSCTLSGQPPMTLAEFTIGGSQDFYDISVIDGYNLPMLFSCSTGVTLNCGGSSCPDAYLFPADNTKTHACSGNSNYQVTFCP >Sspon.02G0028710-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:115953696:115956052:-1 gene:Sspon.02G0028710-3C transcript:Sspon.02G0028710-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AT3g17170/K14A17_29 [Source:Projected from Arabidopsis thaliana (AT3G17170) UniProtKB/TrEMBL;Acc:Q948R9] MEATAVPRALSLLGPSPRPPPPRMRMNCLRVAIGGGVKRRGAVAVWAKKKRGRGGDGEAQERVDTHSFAAKEGEAAGLFPEAVLLRKKMLREDGQVSPEFADADEEKLYDFLNIQLESDLNLKRMRHYEVVYLIHEDRVEEVESVVSKVQDFIREKKGRIWRLNNWGLRRLAYKIKKATRANYILMNFEIESRYINDFKTLLDKDERIIRHLVMKWDEAITEDCPPPPEFHSLRAQQYFDDEEEEDGDARSGIESANYDEDGVEAGDEPEIIYVDEADQDNYEDTRRRNRKLKVKKYTSEKVLR >Sspon.03G0016730-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3A:52871341:52871881:1 gene:Sspon.03G0016730-1A transcript:Sspon.03G0016730-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLPADSRFDNESSNLKPISSTPCCFTVERPGQTPLSPETDVSRITGKLRPTSNNPLKGIQEHQPAYRSKWSHISKGNLLGHGFSIAIDEKATNNSKKQTKDSSTDAEGHEEKIVGAKPPADDIVAVVLPPTDHHRNPPTIDIADAGEARERFQL >Sspon.06G0010860-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:42556273:42561143:1 gene:Sspon.06G0010860-4D transcript:Sspon.06G0010860-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G80770) TAIR;Acc:AT1G80770] MRATAGGRAVFHIQHLRPRPPWRAPPPPATTHLSHSAPARLADHCRLPKGSLSTLEPGISSVYLCRRAHSATRSYSLDDRLQGELDDIQRKQETVGAFQKIPMVMPATDILMSAQRKSRNVPPTKGIANIAKRERNKGAKQLDALMKELSVPLRTYTENFPKRRDLHPYERSLIELTFGEGYYEQVLGRVDTLRKRITSVGKQHASVCAKSTTKREAEERLTEGRKKLEEAFQHGKHAIDDLVNVAKALRSMPVVDLHIPTLCLVGSPNVGKSSLVRILSSGKPEVCSYPFTTRGILMGHIVSNHERFQVTDTPGLLTRHDDDRNNIERLTLAVLSYLPIAVLYVHDLSEDCGTSVANQYITYKHIKDRFGDRLWIDVTSKCDLLGKKEPISFHDADDDVAQYRRLGPEGALRVSVQSEIGVKELKERVHELLTSQMARIKASKAEHETQ >Sspon.05G0006050-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:13251685:13254474:-1 gene:Sspon.05G0006050-2B transcript:Sspon.05G0006050-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutamate dehydrogenase [Source:Projected from Arabidopsis thaliana (AT5G07440) UniProtKB/TrEMBL;Acc:A0A178UEW8] MNALAATSRNFRRASKLLGLDSKLEQSLLIPFREIKVECTIPKDDGSLASFVGFRVQHDNARGPMKGGIRYHPEVDPDEVNALAQLMTWKTAVAAVPYGGAKGGIGCSPGELSTSELERLTRVFTQKIHDLIGTHTDVPAPDMGTNAQTMAWMLDEYSKFHGHSPAVVTGKPIDLGGSLGRDAATGRGVMYATEALLSEYGKCISGSTFVIQGFGNVGSWAARLIHEKGGKIIAIGDVTGSIRNTSGIDIPALVKHRNEGHAMKDFHGAEVMDSTELLVHDCDVLVPCALGGVLNKNNAPDVKAKFIIEAANHPTDPEADEILAKKGVVVLPDIYANSGGVIVSYFEWVQNIQGFMWDEDKVNNELEKYMSSAFQHIKAMCKSLDCNLRMGAFTLGVNRVARATLLRGWEA >Sspon.07G0013620-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:48608650:48609781:1 gene:Sspon.07G0013620-4D transcript:Sspon.07G0013620-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVEADADVRLAELEAASSGGLRPPFPCMDQLLFDVDGSGGVLNCPLLLIQVTRLLCGGFVLALRLNHTICDAIGIAQFMSATAELARGLPAPTVAPAWSRELLEARSPPRPSFPHREFDAAPPAPPPPPLGDMITRTFTFGPSDISAIKRGLPPHLRDTATTFEALTAALWRARTAALEVPADEEVRAVSIVGFRGVPELALPAGYYGNACVPVAALTTAGALLAGSLGDAVELVRETKAAVTAEYVRSTVDLLVLRGRPCVALGNLFLVSDNRHAGFHRVDFGWGEPVYGGVVTTVFGSSFLVAVSGGGDGEEAVAVPIVLPRPAMDRFASELEITLTCPIGSAAPPPRGRL >Sspon.07G0012190-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:43656826:43657505:-1 gene:Sspon.07G0012190-1T transcript:Sspon.07G0012190-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRRYDEAELPHGRELPLHGELQNPNSSNGIISSSRSGAKSTSMRAYGAGGVPAVDVRDEVAADLRLRPAVPRLRGAALAALPAGAAQPGRRGADARDGAHAVDALGPRRMTWRRKWSSTWEWNRGGGGGGGGLWFNGGRPSADGIRKGRSVRGPPEGIRGGVQPANAGIRAAAAAAPPRLRAAS >Sspon.07G0020800-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7A:77957774:77962170:1 gene:Sspon.07G0020800-1A transcript:Sspon.07G0020800-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTASAADDGSSLAPTVTEGEATAEAGAGAAVEECAEGKEMKLGASAAVEEPDEEAREEDPEEEEEEEEEEEDAGKVETADGIEAELMEPLPVLKLGEEAEPEELEGKKVELDEEPEETEPSEEEPEEVEECKEGDGVAEVDGSAANETNEMSKLEYGKSGNTNKDKDADQLSSGSDSGDDMQNSELAGGLEIFVDDLPHDCVKEDIAMAFSQSGEVKGKMVRVSACQDNNTLYLGNICKGWTRDQVQQQRTVAQALQDLSWPRDIQAGLSFIGLFEFFQLWDLIHEVVLSQEEDQHIWKLDGSGCFSSKSAYRAFFNGSTTFEPWRRLWKSWALPKCKVFLWLAIRNNCWMADRLAKRGLPHPSHCPLCDQEDETIQHLLTSCVFARELWFKILSPMGLQAAIPRRRKGPLRNGGAR >Sspon.02G0018810-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:63498289:63501856:-1 gene:Sspon.02G0018810-3C transcript:Sspon.02G0018810-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histidine phosphotransfer protein, Cytokinin signaling and stress respons [Source: Projected from Oryza sativa (Os09g0567400)] MAAAALREQLNALLSSMFASGLVDDQFQQLQMLQEDGGTPGFVAEVVTLFCDDAERIISELAALLYALFVPDYPVLEQPIVDFDKVDAYVHQLKGSSASVGAQKVKFTCMQFRQLCQDKNRDGCIMALAVVRNEFYDLRNKFQTMLQLEQQIQAQQ >Sspon.01G0048650-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:100537436:100543462:-1 gene:Sspon.01G0048650-2D transcript:Sspon.01G0048650-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNALAATSRNFKQAAKLLGLDSKLEKSLLIPFREIKVECTIPKDDGTLASYVGFRVQHDNARGPMKGGIRYHHEVDPDEVNALAQLMTWKTAVANIPYGGAKGGIGCSPGDLSISELERLTRVFTQKIHDLIGIHTDVPAPDMGTNSQTMAWILDEYSKFHGYSPAVVTGKPVRMLAYLLIILHVLRNFLLNTQDLGGSLGRDAATGRGVLFATEALLAEHGKGIAGQRFVIQGFGNVGSWAAQLINEAGGKVIAISDVTGAVKNVNGLDIAQLVKHSAENRGIKGFNGGDAIDPNSLLTEECDVLIPAALGGILSKKGVLVLPDILANSGGVTVSYFEWVQGFMWDEEKVNAELRTYMTRAFGDVKEMCRSHNCDLRMGAFTLGVNRVARAT >Sspon.03G0013290-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:37280915:37283660:1 gene:Sspon.03G0013290-1A transcript:Sspon.03G0013290-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRYYCSFLLAPVIIACFSSWVTTTTLADPRATVVREFCNKTKDDGPGAVWANNFVVAFDNLNSDLEQKGWGITSVGQDPITFYALVQCLEDLSKVDCTLCYSEIRSLLPKCYPEIGGRIYLDGCFMRYANYSFFDEVLDSLDTSVCSSSNHSSEQQGFGSAVNAVLSNVTSLAVKSNKGFAVTSAFRSTEFAAYALAQCWENLNTSSCAACLSAAAASVAKCAPAEEGRALFAGCFIRYSTTPFWNSEDSASSFRSKKRIVLWTVLSSSVGLILVLVVSVLAWKKKKACKGGEGSLKGLYGSELPARISLSSLNYSYKDLKKATCSFSVENKIGQGSNGTVYKAVLPNGNEVAAKRLFLNTKQCVDQFFNEVDVISRVRHKNLVKLLGCSVDGPESFLIYEYHFNKSLDLFIYADDKNRHLDWQQRFDIIFGIAEGLCYLHEESETRIIHRDIKASNVLLDQKLKPKITDFGLARVLCGDRTHLTTGIAGTVWHSYKTNTMEKVVDARLRQEKVIDEITRVVHIGLLCTQANPDERPAMSRVVELLRDRDGDRGDAEVVLGDPPFFEVEINIEGGVDGEACKLLP >Sspon.02G0029630-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:107950283:107950837:1 gene:Sspon.02G0029630-1A transcript:Sspon.02G0029630-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFHDSYTLSRPAKRDQLKLSATAVHRTIVMRLVRIATEIAAAPLNLRDRENPVTYHKEIKRRGGVS >Sspon.02G0043720-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:124627194:124634110:1 gene:Sspon.02G0043720-2C transcript:Sspon.02G0043720-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADGQMPEREKKQAAVDGRKDGVAREVIRMEREAVIPILKPKLVMRLAYLIEHEADRNEFLKLCKKQLYSLFDPVSGEKRLEQQNLTSEEIETLEFNFMTYLFQVMEKSNFKLLSDEEFDIAQSGKYLLNLPIKVDESKLDKKLLTKYFKEHPHDNLPEFSDKYIIFRRGIGIDRTTDYFFIEKVDVMISRAWRSLLRVTRVDRLFSKKQHLKPKNDTKKTDEINEDEEDPELFVERIRLEKIELSLKNLMSKMTIQEPTFDRMIVVYRRAGTKTKPDRGIFVKHFKNIPMADMEIVLPEKKNPSLTPMDWVKFLISAVIGLVTLVSSLEMPKADVWVVIAILSGVIGYCAKIYFTFQQNMTIYQNLITKSMYDKQLDSGKGTLLHLCDDVIQQEGKATIQDLDLRCEELIKEEFGAECNFDVHDAIKKLEKLSIVHRDSIGRILCVPLKRANEIIGTTTEELVMRAQQNPAS >Sspon.01G0031590-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1B:106350055:106350292:1 gene:Sspon.01G0031590-2B transcript:Sspon.01G0031590-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ESPFGSLEKPAAVVRVDGFLPGGGSGDDDAADSPSRSRDWWTRSSWAFLNEPPQEEPSGTTQRYTPQFHVARIATGNA >Sspon.05G0017450-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:73032410:73035773:1 gene:Sspon.05G0017450-1A transcript:Sspon.05G0017450-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRIRIDHRLPRNSSKMLFGKFPPTVVMLLVCTLLITSLNLVTGACTTQQKDEIIKQCKFHIKRGSPMRIVPPKSACCVELTRIRDMQCIIQRMERQERMTYDMDRVLGLEKQCGLRTAFSSSSKSK >Sspon.03G0016660-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:72993652:72994722:-1 gene:Sspon.03G0016660-3C transcript:Sspon.03G0016660-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQAGAGRYWCHMCAAVVSPAEGEAEMKCPHCHSGFLEEMETARGAAAAAADDGDGDGTVAQVYPGGADRPSSIWAHAILSTVDSSVRRRRNRRQQEAAGDVYDWNDPEFSLRRRRVTAFLRLLHELRDRQLQRLEAAAGVALEGDQLTPFGRSLFIGAAGGGGSEHGVALGDYFVGPSLDALVQQLAENDAGRQGTPPAKKEAVEAMPTVEIASGNDDDTASCPVCLEDYAAGERAREMPCRHRFHANCIVPWLEMHSSCPVCRFQLPATDDKSSCNSGDGGFVSVDADREGNDNGGGDGTGRATSPPPGNAEPAEAEESGRRLPPSLQWLNSLFSPSAQSSGSGSSSWHHWEN >Sspon.03G0039500-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:16398613:16399957:-1 gene:Sspon.03G0039500-1P transcript:Sspon.03G0039500-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NdhN [Source:Projected from Arabidopsis thaliana (AT5G58260) UniProtKB/TrEMBL;Acc:A0A178UG88] MWSAAARAVSVSVSPVPAPSLLSGRRGGPRGGRGAVSVRSSLLDFVGGDLVRPDLGKWLDDVEKHKALAIYPPHEGGYEGRYLNRLRYQGYYFLDLSARGLGDPETTLTKVHPVCPPSLGRQPVARWYFPPEVDYRLSLLHPDAKGLVVWIMEAKVLSKAELQFLAMLPDIRPKVRVIAEVGNWRSFVWKPLKQIAGLEPNPDAEE >Sspon.03G0037460-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3C:94180235:94181227:-1 gene:Sspon.03G0037460-2C transcript:Sspon.03G0037460-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTLESAMLWPAHPPTSPPRKTPPLPQPPPLPPPLRPPHFALPPGFSPPPFPSFPPPLPRPCSLRMPPRPGATPPFAGAPPRAFLTPGGTRAANGAQFVEHLAPERDVDDLAGVPPELLPPKKRIVRYHPYAAAAVIQEMASHYRDNHGGQGGFLLGASEPSVPTGTGSGCGRREVEVDAAAEEERGEDGLRAELFRLRISRPAVVLTKQLTPSDRSRDKARLVLPDRLVAPSPLPRMLTAAERGLVLGAGLPVPALDRLGRAYRMSLRRDPSARTYRITGQWSLFVSRHGARAGDAVEVRAFRPPAWQARLDKHGEGGLGMALLHHRHGQ >Sspon.02G0020400-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:66657122:66662225:1 gene:Sspon.02G0020400-1A transcript:Sspon.02G0020400-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAMSHILFLALLSALPLTSSAASGNTLTQSTTVAGEKMLVSPGNAFQLGLFAASNHSKWFLGIWFTVSPDTVVWVANRDRPLNGSSGVLGLNDRGALVLLDGATTNGTTVVWSSSNSSAAAVVVGAVVAELRDTGNLVVTDAAGVALWQSFEHPTNTFLPEMRVGKNLRTGADWSLWSWRSADDPSPGDFRYVMDTGGSPELHVWSHGRKTYRTGPWNGVRFSGIPEMTTFEDMFEFQFTDTADEVSYMFRDRDGSPMSRVLLNESGVMQRMVWDAASGSWSNFWSGPRDQCDNYGRCGAFGVCNVVDATPCSCVRGFAPRSAAEWYMRNTSGGCARRTQLQCGGGASGGDGFYLLRGVKLPDTHSCAVDAGATIEECARRCLANCSCTAYSAADIRGGGSGCIQWFGDLMDTRFVDDGQDLYVRLASSELVTMVDDEAVELMMMSSECPTYPLGIIRTATNGFCADNVIGRGGFGVVYKLSNAMQIKPVPACQITADERRRASLRWKTRLDIILGIARGVLYLHRDSRLNIIHRDLKAANVLLDADMVAKISDFGIARLFSGTADRQETITRTIIGTYGYMAPEYAMDGTVSFMQDVYSFGVLLLEIVSGRKNHRSFNLIAYAWGLWEAGWSHDLVDPAIRSDCAGAELAQAATCVQVALLCVQECPTQRPPMADVIPMLSRQVAPSQPQRPVVCTPRPMSHAHAAALAVEDAREITCGN >Sspon.02G0009560-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:26824828:26827572:1 gene:Sspon.02G0009560-1A transcript:Sspon.02G0009560-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding QHEGGRGGGRGAVGEREGGGDRLRVQGGGGGRLGGGQDAAAGALHPGRVRARLQVHHRRRVPDAHAHAPPQARQGADLGHRRTGQVQGGDERVLPGRAGRHGGVRRDPARHVRARGAVGGGAPRARRRLLHRRRAHREQGRHARGAARGGRRRGRAPRRGAGALLLRGVRAHGRQRGARLPHAPRGGLRRRVAPGAGARRGTPDARRPAGRWRRRRGAVAQGDRGGRGLHHGDQRPEEELAVRLL >Sspon.02G0008060-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:33953493:33953720:1 gene:Sspon.02G0008060-2B transcript:Sspon.02G0008060-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding HGAGDAGGADQGRRVRAGAGAGVPHPVAVLHRVLAHQEPRPPPRAVAQRAPHARAAPLRPAARRRGALPRRRLRPD >Sspon.06G0033390-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6D:14197697:14197861:-1 gene:Sspon.06G0033390-1D transcript:Sspon.06G0033390-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEMTGHDKEPTVAVTWVAASTNMIEDEHGTVQDKGAVQEMGGLREEMGMTSGK >Sspon.01G0052290-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:20916471:20918353:1 gene:Sspon.01G0052290-2D transcript:Sspon.01G0052290-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCTVAIPSSPVFSPSRRPLSCKAASASPEPAVSASLPAPAPAAAAGSPLRPFALRALLREEVSPSPSPQPPSAAAVASAPTGAVLKRRRPAPLVVPASGAAAAAAAAAAVAAVEADPRNEVEEEGEEFAAYCRRGKGRRRVEMEDRHVAKVALGGDPQVALFGVFDGHGGKNAAEFAAENMPKFIAEEFKKVNGGEIEGAVKRGYLRTDEEFLKRDESGGACCVTAVLQKGGLVVSNAGDCRAVLSRGGKAEALTSDHRASREDEKERIENLGGFVVNYRGTWRVQGSLAVSRGIGDGHLKQWVVADPDTRTLLVDQQCEFLILASDGLWDKIDNQEAVDLARPLCISNDKTSRMAACRMLTETSISRGSTDDISVVIVQLQKFSSS >Sspon.06G0028820-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6C:11730602:11731321:-1 gene:Sspon.06G0028820-1C transcript:Sspon.06G0028820-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTVSTSSQALGDANRRPRSRALPRRWQRVHHAAVAVAVPAELHESQEPAAEVGQPRGACLLVLIVLLAQRLAVLAALAPRCPTLLAASPRTHPARAMPPHSPRLATLLVASPERTSLRWLRPAMGWAEVVVQAELPRRRHWRGGAPARPCGADLQDQEHALAMAWLEKHRLGPRAHPRRSASAVVPAEQMWIGGRHVGRERGKREDDMRALQGSVLGAFWPIQNYWSLFVGLTSLDT >Sspon.02G0045930-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:98887482:98893962:1 gene:Sspon.02G0045930-2C transcript:Sspon.02G0045930-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LATRRQQSAASHGHSDGIRVRGAGAARAVVHGVRLLPHLVRLRRHLHLRHLLQGHQVDAGYTQEQLNTVGFFKDVGGNIGIHAGLIAELAPPWLVLAVGAAMSLGGYLMLYLSVTGRVRSAPPLWLVCLYIAVGANSQIFANTGALATCVKNFPESRGVVVGLLKGFIGLSGAIFTQLYLAFYVRPGGGGDTRPLILLVGWFPAAVYVAFLSTIRIIRAPRSPVAVRREYHAFCAFLYVSLALAAYLLVAIVLQKRFQFTRPEYAASAAVVFLMLLLPLGIVLREEAALFKSNITNTSAEEQAAATPALPAATKQPPAPETAESKRLLLSLRPPPRGEDYTILQALVSVDMLLLFTASLFGMGGMLTAIDNMGQISESLGYPRRSAATFVSLISIWNYLGRVASGFASEALLSRHRLPRPLVLAGVLLLSVLGHLLIAFGVPGSLYVASVVIGFCLGAAQPLILATVSELFGLRYYSTMCNFCGTATPLGTYVLNVRVAGRMYDQEAARQGAVAAPAAVGKGVTCIGVRCYKESFLVITAVTVAAAVVTLALAWRTREFYAGDIYAKFKAGTTYSSGGGGNAIAGEDKKDMGMATATPFAAQVLRGRWFMAYGSFLIMSAAGATYIFAIYSKDIKSTLGYTQEQLNTVGFFKE >Sspon.05G0020540-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:84547559:84557297:1 gene:Sspon.05G0020540-3C transcript:Sspon.05G0020540-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPPCCDKEGIKKGPWTPEEDIILVSYIQEHGPGNWRSVPINTGLMRCSKSCRLRWTNYLRPGIRRGNFTPHEEGIIVHLQSLLGNKWAAIASYLPQRTDNDIKNYWNTHLKKKLKKHQAIGAIFAPPPPASDCSSSSSIVMPTTTVGGSHVIDHHHHRDMLGTNPSYYARPGGGCCNNPAEVAQLIARRSPFAADGGDTSSLSYASSMDNISKLLTGFMKQQQSSPSPDAAAADIKPSAAQVNNNHALLSSSSFHYMSAGTGSTPPAAACFNDMMPSPPHAQQAALMGHGGYDDPRQPSPLSPIETWLFEEAAAEQEEEGAAMGRPPCCDKEGIKKGPWTPEEDIILVSYIQEHGPGNWRSVPINTGLMRCSKSCRLRWTNYLRPGIRRGNFTPHEEGIIVHLQSLLGNRWAAIASYLPQRTTTTTSRTTGTPTSRRSSRRPGHRPIFAPPPPASDCSSSSSIVMPTTTVGGSHVIDHHHHRDMLGTNPSYYARPGGGCCSNPAEVAQLIARRSPFAADGGDISSSSYASSMDNISKLLTGFMKQQQQSSPSPDAAAADIKPSAAQVNNNHALLSSSSFHHMSAGTGSTPPAAACFNDMMPSPPHAQQAALMGHGVYDDPRQPSPLSPIETWLFEEAAAEQ >Sspon.01G0039550-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:28923167:28926429:1 gene:Sspon.01G0039550-2C transcript:Sspon.01G0039550-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTCSGGGGYMELLRGVGMEGLLVSIADTTSELESYHVFSGSVGIGKHRESFPGIVDSALELIKGGGGGATAEEGQGHHHVSARRGRDTHYPGLTLKSQGEPRLAPPRARCCLLSGLLTTTTGHLQLCTQWLLTLPSSALCRKP >Sspon.07G0012300-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:59690757:59691309:1 gene:Sspon.07G0012300-2B transcript:Sspon.07G0012300-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFRVVCRKLYDYVRYDLKEIAFPSSLPDPPHIKKRPKLTWHERWCILKEATRLYGASWVRDIGPDLRPNDYKKAAEDEEEQSGKKGKASSEPSLLEDLAVAARGGAETLKPALRRIYMARASTYTDAMKNFVETYQEGLKDQLQEKADGGEGGGGGRQQQQEQGDEASKPPPP >Sspon.03G0005610-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:23342887:23347172:-1 gene:Sspon.03G0005610-2B transcript:Sspon.03G0005610-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSSSITFASSSSYLSNGSSPCSVAMPPPGPPQAPPLAAGELWGGGVAAAGSGSSVEAVSLNRLSKNLERLLLDPDLDCSDADVEVPDGGPPVPIHRCILAARSDFFYDLFAARGRGGAARGDATAGAGGAAEGAASGRPRYKMEELVPGGRVGREAFQAFLGYMYTGKLRPSPVDVVSCADPVCPHDSCPPAIRSAVELMYAACTFKIPELTSLFQRRLLNFVDKTLVEDVIPILKVASHSGLTQVIDKCIQRIARSDLDDISLDKELPPEAVEEIKNLRKKSPTADGDGDAFISDPVHEKRVRRIHRALDSDDVELVKLLLNESDITLDDANALHYAASYCDSKVVSELLDLALANLNLKNSRGYTALHLAAMRREPAIIMCLLNKGANVSQLTADGRIAIGICRRLTRLKDYNTKMEQGQESNKDRLCIDILEREMMRNPMAVEDAVTSPLLADDLHMKLLYLENRVAFARLFFPAEAKVAMQIAQADTTEEFGGIVAASTCGKLREVDLNETPVTQNKRLRSRVDALMKTVELGRRYFPNCSQVLDKFLEDDLPDGLDQFYLQRGTADEQKVKRMRFCELKEDVLKAFSKDKADSSMFSGLSSSSSCSPPQKSTKR >Sspon.03G0037760-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:475041:477963:-1 gene:Sspon.03G0037760-1C transcript:Sspon.03G0037760-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGTVSKLAAPRPAAAPLRPASLRTAALAFAPSPRRVSVSIAGRARSPIIAMASAKEGNGAPTKKTALHDLYELQGLSPWYDNLCRPVTDLLPLIASGVRGVTSNPTAISSSSAYDDQFKQLISAYWELVIKDIQDACKLFEPIYNETDGADGYVSVEVSPRLANDTQGTVEAAKWLHKVVNRPNVYIKIPATAECVPSIQEVIANGISVNAAVAQAKLANQLYQKKFSGPRWEALAKKGAKKQRLLWASTGVKNPAYPDTLYVDSLIGPDTVNTMPDQALQAFIDHGTVSRTVDANVSEAEGVYSALEKLGIDWSEVGKQLELEGVDSFKKSFDSLLVSLQEKGNSLKTASV >Sspon.07G0011080-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7A:37323810:37324400:-1 gene:Sspon.07G0011080-1A transcript:Sspon.07G0011080-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding NPAVRAQSAALPPPPSPGRRTLFLDLDETLIHSQTDPPPSRFDFTVRPVIGGQAVTFYVVKRPGVEAFLRAAAAIFEVVVFTAGLQEYASLVLDRLDPDGEVFAHRLYRGACRDAGDGRLVKDLAATGRALDRAVIVDDNPNAYALQPENAVPVAPFVDDDNDQELQRVMAFLDVASGYEDTREAIRYYKDLVTAN >Sspon.03G0030180-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:28246693:28249604:1 gene:Sspon.03G0030180-2C transcript:Sspon.03G0030180-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRARFWISSVLPDLADMMQLLLYGIIRQRPFQTGWYMDMATKDPVNFLFVATTSRLFQGPGFHTSAAATKPVPLYAPARRHQPHR >Sspon.08G0004440-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:59364915:59372728:-1 gene:Sspon.08G0004440-4D transcript:Sspon.08G0004440-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRAPERRRGAGPLLLLALVALAAAPRLVRAVTDAADVSAINGLYISLGSPPLPGWTGNGGDPCGESWQGVVCTGSSITGITMNAANLGGQLGSLGNFTSITSIELSNNNIGGTIPEDLPVTLQSLFLSANQLTGSIPSSLSKLEKLTAMSVNGNSLNGNLPDAFDTLNRLRYFFEQLDWRVTIFNEKLGIFDFIDLPLKDLNIENNLFSGPVPASLLNIPNFNTAPSASPPSTGSAPTPTPAGPKPAPTPTPAPTTSNSTPPAPAPPSPSRAPPPPKTTPSSSEGSTTRDSTSSSRKHNASTLKIVGSVLAGVVLFIIIVLLVLFCLSKYEERQSRYDHNRSQLARVHHRVEPQIKTPPVQQSNDVKKALPKKSPENQKEHIINFDRTDSDLFPVSLPPPPPPPPLPPIERVVANPIVPPEKRYSPPTKTSSPTSATPFSVASLQQYTNSFREENVIRESRLGRVYLAELPDGKLLEVMKIDNANGRISVDDFLEQVECISDIKHPNILELVGYCAEYGQRLLVYNHFSRMTLDDALHDGEDTESALSWNARLQVALGSGKALEYLHDNFEPPIVHQNFEPANVLLDKKFSVCVAECGLAELMPSSSVTQLSGRMRALLNYEAPEFQDSGVVSERGDVYSFGVVMLELLTGRKPYDSSRPRHEQHLVRWASCQLHDIESLSKMVDPSIRGQCSEKALSRFADIISRCIQRQPEFRPPMSEIVQDLARLVNATGEESE >Sspon.08G0002400-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8A:7113161:7113946:-1 gene:Sspon.08G0002400-1A transcript:Sspon.08G0002400-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVVAAVGPGAAAAASYGHGQPLCGGGGGGARKRKDVGVVQDQEADPAVRGSDGRAVAAPRRGAGLFVLETVEEDADAERSSIGAPSEDEEEDGEEVDSGGTSSAAAAAGRRKGGALASMDMDALDDALPIKRGLSNFFSGKSRSFANLQDAASAVTSARDLAKPENPFNKRRRVLRCCSIRRVASTSLTALPPFFLPPTTDGSTGDDDDGAGGRSG >Sspon.08G0022910-2D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8D:53863917:53864273:-1 gene:Sspon.08G0022910-2D transcript:Sspon.08G0022910-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEPSRDARRASPDPVLVVDVHRHAGIPRAMLKIEIAPASVQPISACRITHREGGGRGEVEGDLEEVPRDGETREAGAEDGVAQGAAAVPSCGRRRRRGGDGRGGGSNSSPGEQTGRS >Sspon.05G0023460-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:7404705:7409423:1 gene:Sspon.05G0023460-1B transcript:Sspon.05G0023460-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Regulator of G-protein signaling, Chilling toleranc [Source: Projected from Oryza sativa (Os04g0600800)] MGWGTVVYEGAVVGSSLVGLGWAGLWFLNRRLYKEYEERRVLVQILFGLVFAFSCNLFELVLFEILPVLSKHARFLNWHLDLFCLILLLVFVLPYYHCYLLLRNSGVRRERSCLVAALFLLVFLYGFWRMGIHFPMPSPEKGFFTMPQLVSRIGVIGVSVMAVLSGFGAVNLPYSYLSLFIREIDETDIKTLERQLMQSMETCTAKKKKIILSQMEMERIQGSEEKLKARSFLKRIVGTVVRSVQEDQTEQDIKNLEAEVQALEELSKQLFLEIYELRQAKIAAAYSRTWRGHLQNLLGYALSVYCVYKMLKSLQSVVFKESGSVDPVTMTITIFLRHFDIGIDVALLSQYISLMFIGMLVVISVRGFLANVMKFFFAVSRVGSGSTTNVVLFLSEIMGMYFISSILLIRKSLANEYRVIITDVLGGDIQFDFYHRWFDAIFVASAFLSLLLISAQYTTRQTDKHPID >Sspon.02G0032600-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:113475594:113480305:1 gene:Sspon.02G0032600-2B transcript:Sspon.02G0032600-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFSAQRLLKMPPPIYLHADDPGSPPPGSILLDPYGYLSRRTNGTTAEGFTMDGRTISVTFWVASPPRVSYFTFDCSDFQPSVYGNLPKAVHSEDDLILFRFPIVTVSRRDDHQCLLNSEDHYYFVYQAGTKNRPPSLRLLPIPHHLGFSDREAVLLRCRDQDLFYLALLVRVSDFNYDDEQYDLHLYNSKTGTWNTKLTHIDSPNKENFKFAYPHSVLTIGGESGSVGWVDLWRGILICHLLPPEDNHILRYIPLPLPQVPKPLRGYAPYSRNIMVFGDHIKFFEMVRPSSDTGQDLVAATKKMKISDIGSGNVWEEDCAVNISEIPPVDSLEFAQMLPSSLKHVTDTKVTLKGLHAGYPALSFQDADVVHIMQTLVHNKDKALVIAVDMKNKTIKDVAYFGSGRYLGYNHTYLQSGISKYLDIWSSSSLNGSVTRTLTIRMDDKGATSMEEMDAPSLMEEIHIFLQNQRHLTASYFTAWQSQNDFCSSFGGSRNDQSDSASVATVSFNVTQPSPSPKGIYASSGLITSPTWLQYDIPWQPNLGRIWGHSAIRRSKPICRSD >Sspon.02G0034220-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:90215850:90219277:-1 gene:Sspon.02G0034220-2C transcript:Sspon.02G0034220-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTSRDSLVLGRVVGDVVDPFSPTVALRISYNGRRLINGSDHRPSAVVARPRVEIGGTDFRQSYTLVMVDPDAPNPSNPTLREYLHWLVTDIPGTTDIEYGREVVCYESPRPPAGIHRVVFVLFQQMARGSVDQPPLLRHNFCTRNFAVDHCLGAPVAAAFFTCQPEGGTGGRRHDFRQPRTPPGAGVLN >Sspon.02G0017450-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2A:50439521:50439892:1 gene:Sspon.02G0017450-1A transcript:Sspon.02G0017450-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKQRAPGHPQRWPQTEAGRREWWAESEVAAADPGPGRPDPAAERPLRRRPARRCHPALRPSSWKCTSAPRFFSTTTELATGDRSTPIGTGSGQGSTGSVPSQCHRADQRLRRSGLRREEKKW >Sspon.05G0026140-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:31477880:31491288:1 gene:Sspon.05G0026140-1B transcript:Sspon.05G0026140-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEESGTSRPPASGTAAADFPELLGFCARAEALITELLLLSDRAPPLFADRRFDPVLFDFRYFDSPGDFEARIEGNIELEALEDQLRDSCGSYMQRFFSFLDGAVTYHGELCNYLNDLQEGLYVHCTFDHVLENNCACQLLVESMTLFGCMILIMEHKIGGLLRERLLVAHLRYKRCFSYPNLEQICELCRRHVPTPGTSASSGSSPFSSDIISIQKPEDLLRRFPLPEPVVNAVITCLRNGDVYNNLRFYPDPQHRTTALSLQGGHLYVLLFYSHDLLHRGLAMREIVDRFFKDNWVVPIFLHFSVDLLASWDAYKEAKSSLVSCLSPTSIRHISLHHYTKVPYFLADLDIHMHAINKEYVLDNSQSLLSVIRECNFTLRWLLLHRMTSDKKARDLVISVGSSQQVDEGSLLQLLLKTAKLEFEVKQLHIELLKTRESMWYEKKNDALECMKDLSQNYVGTWAASCKFKNKTLKDWLEQLLSELISLNYTSIGSCGRTIHRVLSTLKDMEMIHQVKESVQIKQGFSKIQNNLHDMIKVLYLNQEATNILSVITDAKYAWVYLTLFETLLKKNISHDPSETIFLHTVFLKFQSWLSAPLQRIKQCESPDLHCVSTYYSSKYAAKILAVLDIIPEKLLKISNAVDYANVEQPTHLVNRINQETLQELMQ >Sspon.02G0020970-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:68242268:68248418:1 gene:Sspon.02G0020970-1A transcript:Sspon.02G0020970-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVEYDVIVLGTGLKECILSGLLSVDRLKVLHMDRNDYYGGDSTSLNLNQLWKRFKGEETPPAHIGASRDYNVDMVPKFMMANGALVRVLIHTGVTKYMSFKAVDGSYVFNKGKIHKVPSNDMEALKSPLMGLFEKRRTGKFFLYVQDYKEDDRSTHKGYDLTKLTTKELISKYGLDDNTIDFIGHAVALHRDDSYLTEPAIDTLYAESVARFQGGSPYIYPLYGLGELPQGFARLSAVYGGTYMLSKPECKVEFDSEGKVCGVTSEGETAKCKKVVCDPSYLPDKVKKVGKVFRAIAIMSHPIPNTAESHSVQIILPQKQLGRRSDMQQCSCVHTRYVFCCSYSHNVASKGKFIAFVSAQAESDNPEKELKPGIDLLGTVDELFIDTYDRYEPSNDSSADNCFISTSYDATTHFESTVMDVLSLYTKITGKTVDLSVDLSAASAAEDDV >Sspon.01G0056180-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:86608817:86610109:1 gene:Sspon.01G0056180-1C transcript:Sspon.01G0056180-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPPKDRPSGRLGRLLAALRPSRAGPLPVQTGFPTSLADLVVKNHGRLKKQPSPSSKRGKRGAAASTSPSSPTSPSPSPPPASPPPPAAAVAVSPSDRPRPADLPPAQPRRGGKGGGFGLGLGFLAVSGVVSLALLVIWSKKVVAAVTVASFSLYLLESVRSSSLPRPRRRPRPAVAERRLCLDGRGRVSPIREVDAETEPSRPSCSDSDRGSDACILAVEESSGVLDESSNPKAKAKKKSWKKLLAASAKKLHRGRRSKEAGSSGSSFRSEGDRADDATARRGGGGNAKAADSSGSRRVSPSQTGAPAEDAAAAAAKEEADSSRGSRRSQGVEVDADAAPVEIDASVGDLIEEEEEEGEEKQAGIRFPALVLVAIVLMGLVAGKVVALALTVLCSAFLSSVSIQRSPCRGCGGGGGCSQGRRLELSMS >Sspon.01G0008840-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:24583136:24584469:-1 gene:Sspon.01G0008840-1A transcript:Sspon.01G0008840-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEVSVINQAEVEDAGAGQLDLPPGFRFHPTDEEIISHYLTHKALNHRFVSGVIGEVDLNKCEPWDLPGRAKMGEKEWYFFCHKDRKYPTGTRTNRATETGYWKATGKDKEIFRGRGILVGMKKTLVFYRGRAPRGEKTGWVMHEFRLEGKLPHPLPRSAKDEWAVCKVFNKELAARTEPMAAAAGAELERVGSLGFLNELLDSAELPALIGADVDEVIDFKGPASTSGHAGAPGTSYLPVKMEEYALLQMQYQQQQPPPMFYSSQYFSLPAMNSGDLPPAIRRYCKAEQQVVSSGQTASVVSPSRETGLSTDPNAAGGYAEISSAVTPSSSSHQFLPELDDSVLNLADLW >Sspon.01G0046170-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:96625344:96628250:-1 gene:Sspon.01G0046170-1B transcript:Sspon.01G0046170-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLFNEAISNLGLVEIPLHGRRFTWTNKQQPPLLERLDWFFTSNAWTLTYPHTIARSLVMEVSDHWPCVIEIMTNIPKGKVFRFENYWMEHESFLPLVATCWNGHFPQTDPAIRLTAKFKALRASLRAWQAQLSNLNSAISSLKVVISFLDCVEEWRDLAVHEWNFRRIVSEKLSSLLHQQRLYWRQRGRIKWVKLGNENTKFFHANASIKHRKNLVTSLVDSSNNTVYAHDHKADLLWVDFKDRLGTSSFESMAFDLDTILSRDADLSSLEEPFSEDEINAVVKALPSDKSPGPDGFNNEFIKKCWPIIKADFYELGVAFSQGSICLRSINNSYITLIPKVDGPTRTSDYRPISLLNTSVKIITKLLANRLQPLITGLIHKNQYGFIRTRTIQDCLAWTFEYLHVCHQSRKKLIILKLDFEKAFDKIEHQAMIEIMKAKGFGSKWISWMEAIFNSGTSSVLLNSVPGKVIHCRREVRQGDPLSPLLFVLAADLLQSIINEAKDRDLLKLPVPLHYSNDFPILQYADDTLVIMEACANQLFILKALLQSFASSTGLKVNFHKSMMIPINITVERLNHLAATFGCATGSLPFTYLGLPLGITKPRVDDFLPIVTKCERRLLSTSLYLTQASRLQLTNSVFSALPTFYMCTFSLHVTIREQIDKYRKHCLWRGSDDSNRINAKAAWQLVTTAKEDGGLGVLDLKTQNEALLLKNLHKFFNKADISWVNLVWEKYYSNGRLPNHTKKGSFWWKDILKLLQKFKGMATVNIQNGESCLLWDDLWLGRIPRTEFPELYSFTKKPYMSLAEAKISQSLIGTFHLPLSVEAYEQFLQLQDLILQCNQTEEEDTWSYIWGTAEFSSKRAYKKLSGSSQAHPTFRWLWKSSCQHTHKCWNLLGLSVPSAMQPFQILDLFRRQLNV >Sspon.01G0040300-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:39782327:39783795:1 gene:Sspon.01G0040300-1B transcript:Sspon.01G0040300-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRILNDALRTMVNADRRGNATALLQPISGVMVSFLNIMKHRGYIKNFEVIDPHRVGKINVELHGRIKDCKALTYRQDIRAKEIEQYRVRMLPTRQWGYVVITTPNGVLDHEEAIRQNVGGQ >Sspon.06G0002640-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:5678196:5679647:-1 gene:Sspon.06G0002640-4D transcript:Sspon.06G0002640-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Triacylglycerol lipase 2 [Source:Projected from Arabidopsis thaliana (AT5G14180) UniProtKB/Swiss-Prot;Acc:Q67ZU1] MVQDGMSWLLASPEESLPFILADRGFDVWIANNRGTRWSRRHVSLDPSSRLYWNWSWDDLVVNDLPAMVDFVNTQTGQKPHYVGHSMGTLVALAAFSEGRVVDQLKSAALLTPVAYLAHITTPIGILLAKAFVGEALSDLLGVAEFDPLAPPVTNLVRAFCRRPGMNCYDLLGSITGKNYCLNSSAVDVFLKYEPQPTSTKTMVHFAQTVRDGVLTKYDYVLPERNIASYGQAEPPVYQMSGIPAGFPLFLSYGGRDSLADPADVRLLLQDLRGHDRDKLTVQYLDQFAHLDFIIGVCAKDYVYKDMLAFLN >Sspon.02G0030410-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:110708298:110709600:-1 gene:Sspon.02G0030410-1A transcript:Sspon.02G0030410-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVCRQHWSLLRDVEIV >Sspon.07G0025490-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:42771158:42771595:1 gene:Sspon.07G0025490-2C transcript:Sspon.07G0025490-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATVDHLSAAYDDFMAAAAAVVEARAQSGGEKKTAATDAALEAFKQRWELFRVACDHAEELVESIRQRIGSECLVDEATGSASAGSVAAPGIKPISAVRLEQMSKAVRWLVIELQHGASAQGAGGSGGAATPNAGAGGQHPEEGG >Sspon.02G0008310-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:18043257:18048806:-1 gene:Sspon.02G0008310-3D transcript:Sspon.02G0008310-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAEVSVDEKLDKLRAEVAKLDQIRRVPFPHSTPLCNMGLPRSENEKAGFISLVSRYLSGEAEQIEWSKIQTPTDEVVVPYDTLASPPEDLEETKKLLDKLVVLKLNGGLGTTMGCTGPKSVIEVRNGFTFLDLIVIQIEIVEKYSNSNIEIHTFNQSQYPRIVTEDFVPLPSKGKSGKDGWYPPGHGDVFPSLNNSGKLDFLLAQVTPKTLADVKGGTLISYEGRVQLLEIAQVPDEHVNEFKSIEKFKIFNTNNLWVNLKAIKRLVEAEALKMEIIPNPKEVDGVKVLQLETAAGAAIRFFDKAIGINVPRSRFLPVKATSDLLLVQSDLYTLVDGFVIRNPARANPANPSIELGPEFKKVANFLARFKSIPSIVELDSLKVSGDVWFGSGITLKGKVTITAKSGVKLEIPDGAVLENKDVNGPEDL >Sspon.03G0028790-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:11846769:11850091:1 gene:Sspon.03G0028790-1B transcript:Sspon.03G0028790-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGNIGKIPIIGDITGSNKNAHLKGNVVLVRKTVLGLDVTSIAGSIIDGVGEFLGRGVTCQLISSTVVDPNNGNRGKVGAEASLEQWLLNPPPLLSGDNQFRVTFDWEVEKQGIPGAIIVKNNHASEFFLKTITIDNVPGHGTIVFVANSWIYPQSKYRYNRVFFANDTYLPSQMPAALKPYRDDELRNLRGDDQQGPYQEHDRVYRYDVYNDLGLPDSGNPRPVLGGTTELPYPRRCRTGRKPTKTDPSSESRLTLVDGDVYVPRDERFGHIKKSDFYGYAIKALVNAVIPAIRTYVDLSPGEFDSFKDIMKLYEGGIQLPKIPALEDLRKQFPLELVKDVLPVGGDYLLKLPMPQIIKGRSSLRANDAELVFNLVVKYGYQFHLHYSGYAEDKTGWMTDEEFGREILAGVNPMIVKRLTEFPPRSSLDPSKYGDHTSTISEAHLENKLEGLTVQQALDGNRLYILDHHDNFMPFLVRINSLEGNFIYATRTLLFLRGDGTLVPVAIELSLPELRDGLTTAKSTVYTPTSTTGAEAWVWHLAKAYANVNDYCWHQLISHWLNTHAVMEPFVIATNRQLSVTHPVHKLLLPHYRDTMNINSNARQMLVNAGGIFETTVFPRQYAFEMSAVIYKNWNFTEQALPDDLIKRGMAVADPSSPYKVRLLVEDYPYASDGLAIWHAIEQWVTEYLGIYYPNDGVLQADVELQAWWKEAREVGHADLKDAAWWPEMQTVAELVKACTTIIWIASALHAAVNFGQYPYAGYLPNRPSVSRKPMPVPGSDEYAELGKNPEKVFVRTITSQFQALVGISLLEILSSHSSDEVYLGQRDTPEWTSDAKAQEAFRRFGTRLTEIESRVMTLNADPRLKNRNGPAKFPYTLLYPNTSDKKGDAAGITAKGIPNSISI >Sspon.01G0051510-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:16263628:16266384:-1 gene:Sspon.01G0051510-1C transcript:Sspon.01G0051510-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKLCPNLERDDALDTVLEVPIPEEMFSGGGRGGSRGCRFGCTAWMRSHAADRSGAGEPCSMSRGELQLMLGVIGAPLIPLPVYHAKQSPCSVLCEQLKADPIVRVIVRQVHRAAVHSGVGRGVGAEQGEEHVRHGQGADDGCGAQQQRRRRPRQRRRRHRRKRAPRREEGRKGCGGEIGGFVLWQKKPELWCLELVVSGCKISAGSDGKVAWRQTPWHQSHASRGPRARSAVRSRCVAYAPPPRGLDPQLTASLFADSVCIGERSIDGEDCFVLKVEAEASSLRARNSSSVEIIRHTVWGYFSQRTGLLVQLEDSHLLQIKSSGGVGGSVFWETTMESRLGDYRAVDGVNIAHAGRTAVSLVRFGDCQDGNTRTRMEETWDIEEVDFNIWGLSMDCFLPPSDLREGKESQDVAIVKGADARPPPIRIPAVTVRVGPSQVAAVNMDDSDSLIA >Sspon.07G0002640-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:5521006:5522149:-1 gene:Sspon.07G0002640-4D transcript:Sspon.07G0002640-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:At3g09740 [Source:Projected from Arabidopsis thaliana (AT3G09740) UniProtKB/TrEMBL;Acc:Q2HIU8] MRRMKQDEGLDVIGEGLETLKNMASDMNEELDRQVPLMDEMDDKVDRANADLKNTNVRLKETVLQLRSSRNFCIDIILLCVILGIAAYLYNVLKK >Sspon.03G0020470-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:82868820:82872431:1 gene:Sspon.03G0020470-3C transcript:Sspon.03G0020470-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PGGT-I [Source:Projected from Arabidopsis thaliana (AT2G39550) UniProtKB/TrEMBL;Acc:A0A178VS16] MGKRDFERVHHVAFFDAMATELPDEYASQEVNHLTLAYFAVGGLSLLRELDRINKDEIAKWVLSFQVHPEANDDICVGLFYGFCGSRSTQFPLPNVKDPCRDVSHLASTYSALAILKIIGYDLANIDCKALLLSLKKLQQPDGSFTPTHIGAETDLRFVYCAAAICSMLDDWTGMDKLKAKEYILDCQSYDGGFGMVPGSESHGGGTFCAVAALHLMGFIQVDLASNLRDSSSINICMLLEWCLQRQVTNGGFQGRRNKPSDTCYAFWVGGVLKILGAYHLIDRCALRGFLLTCQSPYGGFTKFPDDRIPDIYHSYYGLAALSLLEEEGLEPLCVELGILSAAL >Sspon.03G0043940-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3C:85892390:85892930:1 gene:Sspon.03G0043940-1C transcript:Sspon.03G0043940-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding NGLLFESSENIPMFVATNRPFDLDDAIIRRNHRCVSSSGQTSKNTGSLGRPLGPCSLSVKKAFSSAIGRQQNVSTRYGEHDLGCSGFSAGDVAFGCLAIYDQARSLASGAMIVTLDVTICSGSLGADSAPHSLASAAVMVTLDRTGCSSIGGESPLLVDGARKVTPSGGLSPEDLLVVTS >Sspon.01G0042040-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:61908116:61909773:-1 gene:Sspon.01G0042040-1B transcript:Sspon.01G0042040-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEESLVWASKDVVIVLEHNNEKIPLSYVSETTRQFALPSLAQRHILAGLASAVGGLSAPYERASRIHERHVVNWLWAAGCHPFGPFSNSSQISQILQDVALRTTIYAQVDAALRKIRDTSEFVQSFASEHLKTPLGELVKGNKNKSTTELWVEKFYKKKLEGQLVDLSSLLYDHRLVDAYQNSTDILQSTIFTQQYVERVLANERDRMKCCSIEYSHPKQSSQAFVYGGILLAGFLVYS >Sspon.05G0017400-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:74538486:74542122:1 gene:Sspon.05G0017400-2B transcript:Sspon.05G0017400-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFHQHLKEQPTGPVSLKGPSGKKWQATLASESEVWSFEQGWKEFATDHSLKKGYFLVFTYDGTSQFSVAVFSPLGIIDPAALDAKPTNEVVVEIEEDEGVQGDMDAGGASEVSILPTEEGNAVTGRRTRAMRTGGATEIPPLPTEEGHGVTGKRKRATSDIPAEANASKRHSTVAKKADKRRHQAGTSKDVPPIVHNATFSLLDESKTFNKTQIRDKNVPRSGKFLLKTSRAPVVISQRRPVTEEEKDLALRKANEFKSKYPFTVQIMMESYVYVGFFMNIACEFVRESLPQTNKKITLWDPLGKAWEVNYVYYSDRSVGAFSGGWGKFALGNNLEKFDVCIFELFKEDNIKVHIYRVVPEITPLLRASNRD >Sspon.05G0028920-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:74002322:74006912:1 gene:Sspon.05G0028920-1B transcript:Sspon.05G0028920-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRRPEFSFPAGLSPSRGGLPPRPPANPTAAQRYGDDEEVRWLQASLQASPDPGYSYSSGGSGTPSPQLWASRPEHHHHQQLHRQYPASAGSSPSRAQAIAGYRREMLDLVRGLPESAYELSLRDIVEHHPSPPRAPLAPPSSAGLLTSRAVPAAQEKDEPAGAEDGGNRQGGSKGKKQGKKQRTMRKQRSRGLERSVSLDTGLLIKLFLPLSIGGKKKKVSPKPDDDKKKKKSDKKQGTKKKEAMATAAAPAAQQEEEWWTKSEFSEAGSSSRTSSTGSSNSNSSAASVRNANGGANPRAPVRSWSRKRTGCYAFFRANKGKNGKSANAMYLACPTLEEELKNSNSHLPHPHTGHQTLLLHHVDLHTKTELNGFQKQR >Sspon.01G0015850-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:52848346:52851693:1 gene:Sspon.01G0015850-2B transcript:Sspon.01G0015850-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat receptor-like protein kinase (Fragment) [Source:Projected from Arabidopsis thaliana (AT2G01950) UniProtKB/TrEMBL;Acc:C0LGJ7] MDMLNLLLLISSIYMLSAFTPVATTDADALLRFKASIQKDPGGVLSSWQPSGSDGPCNWHGVACDGGDGRVTRLDLAGNGLVAGRASLAALAAVDTLQHLNLSGNGAALRADGADLLSLPPALQTLDFASGGLGGSLPGDLLTRYPNLTAVSLARNNLTGVLPESLLAGAPSIQSFDVSGNNLSGDISRMSFADTLTLLDLSENRFGGAIPPALSRCSGLRTLNLSYNGLTGSIPESVAGIAGLEVFDVSSNHLSGPIPDSIGNSCASLTILKVSSNNITGPIPESLSACHALRLLDAADNKLTGAIPAAVLGNLTSLESLLLSNNFISGSLPSTITSCTNLRVADLSSNKISGPLPADLCSPGAALEELRMPDNMVTGTIPPGLANCSSLRVIDFSINYLRGPIPPELGQLRGLEKLVMWFNGLEGRIPAELEQCRGLRTLILNNNFIGGDIPVELFNCTGLEWVSLTSNRITGTIRPEFGRLTRLAVLQLANNSLEGVIPKELGNCSSLMWLDLNSNRLTGEIPRRLGRQLGSTPLSGILSGNTLAFVRNVGNSCKGVGGLLEFAGIRPERLLQVPTLKSCDFTRLYSGAAVSGWTRYQTLEYLDLSYNALTGDIPEEFGDMVVLQVLDLARNNLTGEIPASLGRLHNLGVFDVSHNAISGGIPDSFSNLSFLVQIDVSDNNLSGEIPQRGQLSTLPASQYTGNPGLCGMPLLPCGPTPRATASVLAQPAGSRSDRRALWGVILAVLVAGVVACGLAVACFVVARARRKEAREARMLSSLQDGTRTATIWKLGKAEKEALSINVATFQRQLRRLTFTQLIEATNGFSAGSLVGSGGFGEVFKATLKDGGLCFLHHNCIPHIIHRDMKSSNVLLDGDMEARVADFGMARLISALDTHLSVSTLAGTPGYVPPEYYQSFRCTAKGDVYSLGVVFLELLTGRRPTDKEDFGDTNLVGWVKMKVREGAGKEVVDPELVVAAVDGEEREMARFLELSLQCVDDFPSKRPNMLQVVATLRELDDAPPPHEQAPASA >Sspon.03G0001630-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3A:3943869:3944197:1 gene:Sspon.03G0001630-1A transcript:Sspon.03G0001630-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLIPFIYKAIKERRTRSYYSRCSSTGSSRGGFFGARVEDSSWDQQNQWESAAAGGKVTTTAEREMTHRRHRSLEELAGEVGASPEWRRRPGAPLRARSVRIFSCIGG >Sspon.06G0008910-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:47735183:47738793:1 gene:Sspon.06G0008910-1A transcript:Sspon.06G0008910-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQHRPYNQKVDVYSFAIVLWELVTGNLPFANMTAVQAAFAVANKGVRPAIPHDCLPALVEIMTRCWDANPEVRPPFTEIVRMLEHVEMEALSMLCCKIEKSNSDAFKRHLARVADFLWIGEDGMKVRVCAGQLWDVAFAVQAILACNIAEEYRSILKKAHDFIKASQILDNPSGDFSRKYCHISKGGWGFQVADQGWQVSDCTAEALKVLLMLSKFSSDIGSDQMETCRLYDAVNFFLQYLS >Sspon.02G0060020-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2D:106552295:106552594:-1 gene:Sspon.02G0060020-1D transcript:Sspon.02G0060020-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRAWSAAREALEKMSSSTKTAFRPSSFCSASTLAPSSPRFPIAALHRSPDEDAAATAPPDEDEGEGDPDTAAPCAAATATAAADFSLCAAMIAAADLSG >Sspon.02G0057660-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2D:63738385:63738680:1 gene:Sspon.02G0057660-1D transcript:Sspon.02G0057660-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RWCSPSPSLLASLCLVTEHRRRAPPPLHLHSAVAPPHPPSHTHTTIRSSSPSDAEFAGVDLRRRRLDLVAARATCSSNPVSARPSSLSSSSLSKSGL >Sspon.01G0001890-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:4557959:4560053:1 gene:Sspon.01G0001890-2B transcript:Sspon.01G0001890-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding TMAVPAGLAAVSTALQLLLLVWWLPLLASACDRCVRHSKAAYYTSSLTLAGGSCGYGTEAASFSAGFLAAASPALYRAGVGCGACFQVRCKDKKLCAAAGARVVVTDRARTNRTDLVLSSTAFAAMARPGMAKRLAGLRTVDVDYNRVPCEYKHRNLSVRVEDKSRAPGDLAIRFLYQGGQTDIVAVDVAQVGSSNWKFMTRDNGPAWSTSQAPPGPLQLRVVVTGGYDGKWVWADREVLPRRWRAGEVYDTGVQITDIAQEGCFPCDTHEWE >Sspon.05G0021910-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:1238341:1242869:-1 gene:Sspon.05G0021910-1T transcript:Sspon.05G0021910-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RRP4 [Source:Projected from Arabidopsis thaliana (AT1G03360) UniProtKB/TrEMBL;Acc:A0A178W3N1] MKDRHLSLNQTQRVRLEAALHELQSLAPAAASAAAVTVADTIPVNQEDNILKGHGTSDQDGEVVATLCGVVERVNKLVYVRTLRARYKPEVGDIIVGRVIEIAPKRWRLEINFSQDAVLMLSSMNLPDGIQAEVRGFQHDGSLHLQARSEKYGKLERGQLLMVPPYLVKRKKQHFHHLEQYNVDFILGCNGFIWVGEHVVVGEKTKTTGQQRFSTEAENFTPLETRKHICRLANAVRVLSALGFTLTIELIIETMEASSSSNVEINDMLGAEFYVQTAETEAKRRADLLRKKNGR >Sspon.08G0009300-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:33674296:33674658:1 gene:Sspon.08G0009300-3C transcript:Sspon.08G0009300-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ADDGRAVGGRKRQARRGVVHVYEHVPRRQLEGGAARERHGHGRHAQLRCLALEARVQDGERRRALHPCHGRGHRLAQRRVHGRRQARDHRARVYDRGQAAVLRRGDREWAPADRHPGQGDE >Sspon.04G0002520-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4B:16793230:16794793:1 gene:Sspon.04G0002520-2B transcript:Sspon.04G0002520-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQPRRLLVVAVLLAAAVPLLFVCPAAEAGTVGVNYGRVANNLPNPAAVVQLLKQQGVAQVKLYDADPTVLRALANTGIKVVVALPNEQLAAAASRPSYALLWVRRNVAAYYPATQIHGIAVGNEVFASAKNVTAQLVPAMTNVHAALARLGLDNAVKVSSPIALTALASSYPSSAGAFREDLAQAVMKPMLDFLAQTGSYLMVNAYPFFAYSGNAGDISLDYALFRPNAGVLDSGNGLKYYSLLDAQLDAVFAAVSKLGNYNGVRVVVSETGWPSKGDANEAGASAANAAAYNGNLARRVLSGNAGTPRRPDADIDVYLFALFNENQKPGPTSERNYGVFYPNQQKVYDVDFVLGGGGSPAGSGSHGNGGLGWQENGGPSSGSGSTSTSGNPPSGVKVATGEAWCVANAMVGEQRLQAALDYACGPGGADCKAIQPGAACFEPNTMVAHASYAFNDYYQRKGRSIGTCDFAGAAYVVSQAPK >Sspon.02G0006470-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:13011253:13015597:1 gene:Sspon.02G0006470-2D transcript:Sspon.02G0006470-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMCSVFVASWTGVIKYHGPRPSTRPHQVFVANHTSMIDFIILEQMTAFAVIMQKHPGWVGFIQKTILESVGCIWFNRNDLRDREVTARKLRDHVQQPDNNPLLIFPEGTCVNNQYTVMFKKGAFELGCAVCPIAIKYNKIFVDAFWNSKKQSFTMHLVRLMTSWAVVCDVWYLEPQYLREGETAIAFAERVRDMIAARAGLKKVPWDGYLKHNRPSPKHTEEKQRIFAESVLRRLEEK >Sspon.04G0031560-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4C:14447241:14448856:-1 gene:Sspon.04G0031560-1C transcript:Sspon.04G0031560-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLSMSSPSLLAPSPSLLLLCSVYARPAMSSCVGETGLVAMDCLVVCCCCPCLVLQVTVFLFVRLPRKVVVKTKRIILRRWHRRRPASSLSSKAAGLKLADLLDLDDGFEAAFGIGDEGAGDWKERCFAVSGGDDDGDSVWEAIIEQEGLFWFGSFWGRREQEEGSVPEGDDRMDGSFRLPVALERVDRKKRPHAFLPSKQQQVKKNMMSARRWRAILVEPWKWNAMHLCRRSASATHARWPVAKQDTMKWPRTLGLLWSGRSDRNHRRPVLFSLPISAPFLALTVLLALLLLLDPDLALASITAAAELRQDPIVPAVHGLLLTPPPTPAAAMAILASEHRRDALIPT >Sspon.07G0011180-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:38052917:38057235:1 gene:Sspon.07G0011180-1A transcript:Sspon.07G0011180-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADALLLPRRFLAPSSAASGASSSASSSPPCCWVLSSPGSPRRARLAAAHPQPRPRRFTRHKTYAAEPESGEQPKWWEKNAGPNMIDIHSTVEFLDALRDAGDRLVIVEFYGTWCGSCRALFPRLCRTALENPDILFLKVNFDENKPMCKRLNVKVLPFFHFYRGADGLLVAFSCSLAKIQKLKDAIAMHNTARCSIGPPVGVGDVELLDSASPQEKPAEASPR >Sspon.07G0016110-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7A:57519853:57523354:1 gene:Sspon.07G0016110-1A transcript:Sspon.07G0016110-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLLVHSPNCATSKWIPGRFYNRVLCRCLNLFISHVHVRVLKFDNFDFHDFYRLRYLRLLEKVHVRINCLGAKIEAAEEEEAALRHAVQSHPNRPRLILNRVGGQCVDDKQCVLDGKEVAVKLLHSMAQKLDYEEEFMKEFENLRRLKHVQLLGYCYEIKRVCVEYGGKLTARSEGYRKAYGTPSQEFNDHLELDHHHMSIFSSMDTIF >Sspon.02G0009240-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2B:24757888:24760247:-1 gene:Sspon.02G0009240-2B transcript:Sspon.02G0009240-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFVGGGLLVGRIVEEPVQVTETLNFDYTKPSPMAFVLVPRVVPPNQRMQLEVSLTLPESDYNRRLGVFQVKAEFLSADGKVISTSSQPCMLKFKSVHMHFIETFLQSVSLLSGYSSESQVIRLKMRGITQGLEPTTAVRIILEQRAEFGPGAGIPEIYAASLKLEAELPLFKRLLWNWRWTLFVWSSMGFFVFELLFALVCCRPCIFPRSGRNVAAP >Sspon.08G0014640-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:32266390:32266659:-1 gene:Sspon.08G0014640-2B transcript:Sspon.08G0014640-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASITNLNTLMKVLLCAALLLSTTVVAARSHSEPDCRRLAVAPRSHSEPDCRRRLAVTVVAITGRRMLGAAAYFESKRESPSGSDPQHH >Sspon.06G0011980-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:64565874:64589016:1 gene:Sspon.06G0011980-1A transcript:Sspon.06G0011980-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEELDHPEGSVSDSGGERQTASLQLPAAFLEFLNENGLDPAVYSMAATIPRYIRLKPGMESQIPEIEAELKCGLKKVSWLPGFYAIPPEIQIAGSTAYQQGKIYGIDAASGAAILALDVRSGDHVLDLCAAPGAKLCMLADTLGTTGSLTGVDVAKHRLAACRTMLQKYCLGDRTRLFVADGTLFSILPVNSRMRRMEVSIGVEENGSTFPEWTSRRSWKDRQKTKKANANGPQHLLATSEPELIYYGKHSGLVGLCKSDVFCPSVDDEACTSGYDKVLVDAECTHDGSIKHIQKFEFWGWKTLDRRLHLLTNGFKLLKTGGSLVYSTCSLTVAQNESVVQQFLSTHPSADLQKIDLADNWPCRSGGIPKTLRFDPTVSQTSGLFVAKF >Sspon.07G0008690-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:23841583:23859589:-1 gene:Sspon.07G0008690-1A transcript:Sspon.07G0008690-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAVGKRVLDMGWLAARSTEVALTGVQLTTTHPPAADPAPAAPWMSAAVPGTVLGTLLKNNLIPDPFYGMNNQTIVDIADAGREYYTFWFFTTFQCAPSGNQHVTLNFRGINYSAEMYLNGHEEVLPKGMFRRHTIDITDILHPDGNNLLAVLVHPPDHPGKIPPQGGQGGDHEIGKDVATQYVEGWDWMCPIRDRNTGIWDEVSISISGTPIWFQLFMMILRGHTYIVHFSWRTKVLGLQIVSTELEGNICLVEHLQSYAITVPPQSDIEYTIPSLFFYKPNLWWPNGMGKQSLYNVDISVDVKGFGESDSWSHYFGFRKIESTIDDSTGGRIFKVNGEPIFIRGGNWILSDGLLRLTKKRYMTDIKFHADMNFNMLRCWGGGLAERPDFYHFCDVYGLMVWQEFWITGDVDGRGVPVSNPNGPLDHDLFLLCARDTVKLLRNHASLALWVGGNEQVPPVDINKALKNDLKLHPMFVSYQTSKNEEKYLSEESTDPSKYLDGTRVYVQGSMWDFECKGDFTDGPYEIQYPESFFKDSFYKYGFNPEVGSVGVPVAATIRATMPPVGWSIPIFKKRIDGYIEEVPNPIWDYHKYIPYSKPGNVHDQIELYGPPKDLDDFCEKAQLVNYVQYRALLEGWTSFMWTKFTGVLIWKTQNPWTGLRGQFYDHLQDQTAGFYGCRCAAQPIHVQLNLVTYFVEVVNTTADELKDMAVEISVWDLDGACPYYKVTEKIVVPPKKVKPIIEMKYPKMKDAKPVYFLLLKLFRLSDNGIISRNFYWLHLPGQDYKLLEQYQQKNIPLKIYSDISVSGTMHKVRMTVENNSKKSVAEGTRSLSAMDLGDASGSHSTGKETTRKGNESDGLWRKIRNGLGVARPSDNRRTLEVNGTDSGVAFFLHFSVHTSESSTAKEKYNDTRILPVHYSDNYFSLTPGDDGHRHLVRGSPGMAVAVGKRVLDTGWLAARSTEVALTGVQLTTTHPPAADPAPAAPWMSAAVPGTVLGTLLKNNLIPDPFYGMNNQTIVDIADAGREYYTFWFFTTFQCAPSGNQHVTLNFRGINYSAEMYLNGHEEVLPKGMFRRHTIDITDILHPDGNNLLAVLVHPPDHPGKIPPQGGQGGDHEIGKDVATQYVEGWDWMCPIRDRNTGIWDEVSISISGPVNITDPHLVSTFHDDFKRSYLHCTLQLENKSSWIADCTLKIQVSTELEGNICLVEHLQSYAITVPPQSDIEYTIPSLFFYKPNLWWPNGMGKQSLYNVDISVDVKGFGESDSWSHYFGFRKIESTIDDSTGGRIFKVNGEPIFIRGGNWILSDGLLRLTKKRYMTDIKFHADMNFNMLRCWGGGLAERPDFYHFCDVYGLMVWQEFWITGDVDGRGVPVSNPNGPLDHDLFLLCARDTVKLLRNHASLALWVGGNEQVPPVDINKALKNDLKLHPMFVSYQTSKNEEKYLSEESTDPSKYLDGTRDGFNPDGPYEIQYPESFFKDSFYKYGFNPEVGSVGVPVAATIRATMPPVGWSIPIFKKRIDGYIEEVPNPIWDYHKYIPYSKPGNVHDQIELYGPPKDLDDFCEKAQLVNYVQYRALLEGWTSFMWTKFTGVLIWKTQNPWTGLRGQFYDHLQDQTAGFYGCRCAAQPIHVQLNLVTYFVEVVNTTADELKDMAVEISVWDLDGACPYYKVTEKIVVPPKKVKPIIEMKYPKMKDAKPVYFLLLKLFRLSDNGIISRNFYWLHLPGQDYKLLEQYQQKNIPLKIYSDISVSGTMHKVRMTVENNSKKSVAEGTRSLSAMDLGDASGSHSTGKETTRKGNESDGLWRKIRNGLGVARPSDNRRTLEVNGTDSGVAFFLHFSVHTSESSTAKEKYNDTRILPVHYSDNYFSLTPGETMAIDISFEAPQGSSPKVVLRGWNHHLDHAVMI >Sspon.03G0022690-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:91682662:91686524:1 gene:Sspon.03G0022690-2B transcript:Sspon.03G0022690-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPISSTLAARLRTGHSPAPARARARAPARWSPYARPSVSEPCGGAKASRPTLRLDPATTRLLGPVQKAVLSGSSRRAEPDAASAAPARRRCGNDMTNPKPEPKPKPANGDDMPPLEEKRRCSGEGGFVFLCALAGHTEAISGISLPLGSDKLYSGSADGSVGIWDCNSGKCVDVIKMGGKVGCMITHGPWVFIGISKSVEAWNTKTGMKLSLQGTSSLVCSMAVTDEMLFAGTGDGRIVAWKFPSKESSIEPVLILSGHQRPVVSLSVSARRLYSGSLDKTIKAWDLTTRQCVQTLFEHKAAVTSVLCWDEKLLSCSLDKTVKVWTLSESGNLQAKYTHAEEHGLRTLFGMHRVGKTPVLFCSLHNSNCIRLLDLPSFDGMGTLFAKKEVRTIELAAGGLLFTGDCSGELKVWRWAPQDQEAVAD >Sspon.05G0020350-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:83965059:83967070:-1 gene:Sspon.05G0020350-3C transcript:Sspon.05G0020350-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MYDTPGLLHPYIMAMRLNNEERKMVEIRKELRPRSFRVKEGQSVHIGGLTRLDVLKSSAQTIYITVWASSNVPLHLGKTENADELREKHFGIRLQPPIGPERVNELGHWTERHIEVSGASWDVNSMDIAVSGLGWYSLGLKGTATVSLWTFEGIGVTERDAMILHRAQFLERPGFWLPIAIANALGEETRKKNEKRKAEQRRREEEELLLEEMVFERFTILFFVDGMEDQDECIDDKAGVL >Sspon.02G0003890-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:12179832:12180078:-1 gene:Sspon.02G0003890-1A transcript:Sspon.02G0003890-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RLSHMDQMQQDGDRKEVVYPEILIKPKDKEGTGKIMEIVYGWMKNFKPPNLVVSYSSYISEL >Sspon.06G0000750-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:786322:786798:-1 gene:Sspon.06G0000750-2C transcript:Sspon.06G0000750-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGISSMPAPKDSLLGFVLYNAAASVAILAGLVRAALLFLGVAAPSSSPWEAEEERQQAAARVTAVGPTLADRFRSRFRPSRFGRRRGAGGGGADCRVCLVRFEPESVVNRLPCGHLFHRACLETWLDYDHATCPLCRHRLLPPAADDELSKTIAAPRLV >Sspon.01G0033340-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:114175829:114177617:-1 gene:Sspon.01G0033340-2D transcript:Sspon.01G0033340-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVKVISRSTDDYTRERSQDLQKVFRNYDPALRSQEKAVEYTRALNAAKLEKIFAKPFIGAMDGHIDAVSCMAKNPNHLKAIFSGSMDGDVRLWDIAAR >Sspon.01G0012070-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:32957869:32963548:-1 gene:Sspon.01G0012070-1A transcript:Sspon.01G0012070-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSSKKKSQQLRQKPVSAWQFLFRGCLGGAAAGSRRNKVQPRTTPKHGRAPSPAPSSFPSPSPAGLQHQRLSLTDVMSTASDQDLSVSLVGSNLQVFTVGELKAATRGFVDSNFLGEGGFGPVYRGAVAEGAKPGLRAQQIAVKLWDPEGAQGHKEWLSEVIFLGQLRHRNLVKLVGYCSEEEHRLLVYEYMPKGSLENHLFKSQFFPPVLSWATRLNIAVGAAKGLAFLHDHEKPVIYRDFKTSNILLDPLSDFGLAKDGPEGDDTHVSTRVMGTHGYAAPEYILTGHLTAKSDVYSFGVVLLEILSGRRAVDKARPSREQHLVEHMRGWLKDPHKLARVMDPALEGKYPAAAAHRAALVAYQCLSGSPKNRPDMSRVVQDLEPLLTAADDDDVPAAGEPAPARDEARKERTRRSDGSGGGDRRDKARRQDKAAAARSPNPKRAVSEEFWEWHMPTAAAAGQGKA >Sspon.02G0044330-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2B:100681223:100685131:1 gene:Sspon.02G0044330-1B transcript:Sspon.02G0044330-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNATSAAAVSGVDLISDLEDDLLLRILSFLPAASEVARTSVLSRRWRHLWPNAIALCFTLGSKPETYTEADGDEVRRLIAAASAVVEQRATSGPDVEDVILDFIYVCDEDQFDRGLHYHAKDITSEHIAAWLLFAERRVAGRFMLAVPHHVDEGKDDDDDGAAADEQEAEVEIQEEEEEHVAEPAEGVAAAVEIESLAALVMEAEQEPVVEHDDEDNGEEKGEKVAEEIQEEEEHVAEVEEVEVDAEEEAAAVEEELEHADDDNKDEKDTAVLVAKLPCSARLQNLRLTLGKARLTVPVASTGAFDALADIFLSYARLDSAAGDDVRLSGLLSSSCSPRLRRLRLYRVLGIATLRLSAADTLEELRLTSLYDLTSLDVAAPGLRELGVWACHELSEARISAPRVQELQFVRHTKLLQFDGAASVRCIESLPMWSHRMMTCSQDDDDDDDDDYNNNSAAVWLLKNCTGVNSLCLEVMMPIWWSHMMEAHRDDIKEGDIVDMMAEVPHLPNIIDLTIEVDAYGHAIGASLAKLIAKCTNLEHLSVCMCSSRVRPAGEECLDLSCICHHQSTDWDSQKLSLEHLRSIEIRDIELLDSQIRLVRLLLASATALERMTVELDTSHLEDGEEVDFDIVPCYGGCWLPSVWECSELGLFIWASRRRPCVLPPLGSRPRSVSIAAEVARSAACTSAVAGSSHHTFAGAGAAAPPPRTTTPPLDLATALRLATTVADLATGGGSGHHGHGSDHHGRRRSRGRSVHRPSGEEPGEELTAGRERRSTPSAERGAGGGAHRRKGWSSPLRGRGRSSPLGGRGGADWERGVVESREGIGAS >Sspon.02G0009740-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2B:26222875:26225309:-1 gene:Sspon.02G0009740-2B transcript:Sspon.02G0009740-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to Isoform 2 of Heat stress transcription factor B-2c [Source: Projected from Oryza sativa (Os09g0526600)] VVVAVRFTKWVGFLTRAATFLTVSLLSFASSSRWWEYKIPNPQSKLPEGFFFRTFHPTRLALSCIWKLRFRRLRSSRATALARWPSRAAPPGRPLAPTPLRLRQRLPRRQRLLRTQRSLPTPFLTKTYQLVEDPAVDDVISWNEDGSTFVVWRPAEFARDLLPKYFKHNNFSSFVRQLNTYGFRKMVPDRWEFANDFFRRGEKRLLCDIHRRKIALAPATPVAGLATAAAATASGAVTVAAVPIPMALPVTRPASPALSTDEQVRSSNSGSAEDHPQAAASGSAGTSASGDTGEENERLRRENARLTRELGQMKKLCNNILLLMTKYASSQQLDASAALSSVVNCSGESSEAAPAPPPLPPAILDLMPACPGLATAAAGLVADADPDQAARLFGVSIGLKRMRDDDDTGEEQVDHGAGAEVKTEASDPQQQQLPSSSEEPSPDQHPWPIYRPTPVYHSMRPCNGPDQGAGSDQDGSNSRSPGLR >Sspon.03G0016940-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:53327820:53328997:-1 gene:Sspon.03G0016940-1A transcript:Sspon.03G0016940-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSPLSLHAALALVAAGANGETQAELLRFLGSASLDELRHAAVTRLVAALRGIPQTSFACGVWVDRRCALREEFVDVAGAVYAAVAESVDFVSQAEEARQRINDFVEDATKGLIGAVLPPRSVGPSTVAVLANALYFKGTWAQPFDPSRTLPSPRRRHRARAVHDDDEQQYVAVFPGFRALKLPYSCKSGHQWHQTAYFYMLLLLPDDDHGLGDVYDKAVSTPGFIRKHTPVGKVPVGRLMVPKFKFTFGFEASEEMQRLGVTSAFAGGDFSGMLAGGGGASIAGVYHKATVEVDEEGTVAAAATAVSICLSRSAIPPVDFVADRPFLFAVVEEKSSAVLFFGHVVNPLAE >Sspon.05G0001310-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:3177345:3180444:-1 gene:Sspon.05G0001310-2D transcript:Sspon.05G0001310-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RMATGPRSLHALLGGGAVADLLLWRRRNASAAAVVGATAVWFVFERAGYSFPSVLSNALLLLVAILFFWAKSASLLNRPLPPLPNLEVSDAIVEKVADHALVWINRLLAVGHDIAIKRDRKVFIKVILILWVVSFVGMLFNFLTLIYIGVMLSLLVPPLYEKYQDQVDEKLGVAHSVLSRHIDTIVTRAGQSAKQKKTE >Sspon.02G0056610-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:29774390:29775722:1 gene:Sspon.02G0056610-1D transcript:Sspon.02G0056610-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAKRSVPPAGARCMTGDELIASGTIMDATRLAAVCAKIDEYAAGGAPMSGNRIAAICAMIDDGATARKHRRNRRRRRLCVDSTRSYRQIGEIGNGSFGAVIKARHRKTGQTVAIKKFRRRDGKKSPGVRRVLREACFLAAGGGHPNLVGLHTVARDPGTKEYSVVMEYVGPSLRHALKEHLRVHGAPFPEADVRRVMTQLLTGAEAMHSRRIIHRDIKTGNVLVNEDGSAVKICDYGLAVCTAAKSGPPYPRAGTVAYMAPEVLMYRSDYDERVDLWSIGCVMAELLSGEVLWATTVRNRSTRSSTFGAPAEETFETFMSPFMASKVLRRRARQPRPDVLSQDGYDVLKGLLTCNPKERLTAATALQLPWFSGAVGVAASEVGATESSTQIALPIVLVLTSVVWAFLRKMALPLIFKINWHDMPFIMK >Sspon.04G0000890-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:2978940:2980630:1 gene:Sspon.04G0000890-2C transcript:Sspon.04G0000890-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLGLPVAATVNCADNTGAKNLYIISVKGIKGRLNRLPSACVGDMVMATVKKGKPDLRKKVMPAVIVRQRKPWRRKDGVYMYFEDNAGVIVNPKGEMKGSAITGPIGKECADLWPRIASAANAIV >Sspon.01G0026210-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:91183773:91184618:1 gene:Sspon.01G0026210-1A transcript:Sspon.01G0026210-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEIHSALLPPLQRPRREHRRRPRQVERRPRRRVVLRLGLGRRSVSLLPGDGAAVPARHRLGVLGARRPPAPDPTPPKDLLAFLALLPGRRLLLPPPPLLLLVLRAIHHFISGGALAARHVGCQERVMGRPPQYPAMWAAHSMRGPHAPRRVGPARGQRQGHRLADADAAPRGRGGGSGRVVHERVERARAVGAAQPVARWSVGLARPGLAAKDGVRHDLGALGEARRWARQLGTPGPAATRRRRRLLLGSMAHLCLLTRSPRQTERRAYIGLLLLLLMNS >Sspon.05G0033420-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:32726408:32727911:-1 gene:Sspon.05G0033420-1C transcript:Sspon.05G0033420-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMGSRRLAGAALQVALTALLPLVLPAYYVYKVTTYLLGAVFPENVAGKVVLITGASSGIGEHLAYEYAKRGAYLALVARREASLREVGDVALGLGSPGVLVLPADVSKPQDCESFIDDTIRYFGRMDHLVNNASIWQVCKFEEIEDVKRFRALMDINFWGHVYPTRLAIPHLKRKPWPDRGRHLQLQLLLHIHREEHLLQCQCSAQILLLTEHRRNHASELHIHIHILSQASKAAALSFYDTLRMELGGDIRITEMVPGVVESEITKGKMLTKEGEMKVDQDERDVRTSMHARTDRSDATSSFACSHLCVHVVLLQAILGPTPAEPVGDFARTVVRDVCRGARYVFEPRWYMGVYLLRACLPEVLAWNSRLLTIDRGGASTTDTLGKWLLELPGVRRVAQPPSLRSPEIKDR >Sspon.01G0013870-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:38808031:38811648:1 gene:Sspon.01G0013870-3C transcript:Sspon.01G0013870-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPYATAAEAEAALGRAMTPAEALWFRYTAGVSDYHLYCCNILFLFVVFTVAPLPVALLELRAPAAVSPYKLQPRVRLSRAEFVRCYKDVLRIFFLVIGPLQLVSFPMVKLVGIHTKLPLPSLAEMAAQLLVYFLVEDYLNYWIHRLLHGEWGYQKIHRVHHEFTAPIGFAAPYAHWAEVLILGIPSFVGPAIAPGHMITFWLWIILRQVEAIETHSGFDFPFAPTKYIPFYGGAEYHDYHHYVGGQSQSNFASVFTYCDYLYGTDRGYRFHKAYLAKLKDLGQNDGEKGDGSGLSYVKLD >Sspon.01G0012150-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:32602576:32603597:1 gene:Sspon.01G0012150-3C transcript:Sspon.01G0012150-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADDATAGKLFGREKITDATAALFTESANKIPDERFIRTKEVQTAGAVVREEEMLELPVVDMASLVDPDSSASETAKLGSACREWGFFQLINHGVEEAAIHQMKESATQFFSSPLESKNTVGVRDGFQGFGHHFNGGSSEKLDWAECLLLITRPVQDRNMDLWPETNPPTFRYSVEITSLARRLLGFMATDLGVSQEALLGAFFAGTGNENDKGQSMSMHHYPPCRHPDKVLGIAPHTDTLGLTILLHVDDTPGLQ >Sspon.03G0022490-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:87107674:87114283:-1 gene:Sspon.03G0022490-2C transcript:Sspon.03G0022490-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRERGFSPAGTSPYWWIRPRAGPILFLSLLFLFLFLFTAAAEEKFTEELLLRPLPDRKALAHFHFRSSAPSAASVGRHHHLFPKAISQLVKKYHISELELSFTQGRWNYEQWGGFDPMSTNNAKPPGVELWAVFDLPLSEIDATWKNLTHTLSGLFCASINFLESSTAFSAPRWGFKLNEGNLRYGALPREAVCTENLTPWLKLLPCRDKAGIASLLYRPSIYKGYYHSQKLKLRSSQSLGIILDQTLTVVLQPNTVSGEQLHSNHGQLQPNWSMRHLFNRKLSGKCLVSKSSRVFIEVDKGIVDKVNKSGSDLSWSNEFFVLSNSPDKLIKGQNHLEIQSSFYINYSEEKPLDVGITWKLPLIWTCTPSPFHASRFLMGSGNERGSIALSFMSTNLHKQISGSPTDCSVKAVIFQVVPWYVKVYYHSLEIFIDGSRKTVSEVVDKIHVIPSEDKLLPGTLEMLLRFPCSMQSGTLTLDFDKGFLHIDEYPPDANQGFDIPSALVSFPEFSSARSYPEIDPVLESPLLENFQEDSVVKSYTEVLLVPLTTPDFSMPYNVITFTCTVLALYFGSLLNALRRRIGEEERELKKTATRRGLIPQLLAKLRGQKVDPTESGSSSESTGSKKLLFKVVFVAVAAVLFHYFSNNS >Sspon.06G0011370-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:61918298:61919988:-1 gene:Sspon.06G0011370-1A transcript:Sspon.06G0011370-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVMPPPPKRRRGGQSQSRRQQQPPPVGGHDGGGCEAVDVDVDLLSSLHDDVLGSIITLLPTKDGARTQILSRRWRPLWRSASAPLNVEATVATSAAVSAVVVGALLAHPGPARRVSLTWLGHFHDFPMVDGLLSHRRRFPCLDGLREFELYYKPSNVSGGRRHPPPMASLLRFARTLRVLTVCSAACSYNSYNPYRLVFPVETETDAARRALEFPNLEQLTLKHVSISDAALHAVLSACPALQGLVLHKNEGYGRLVIRSPTLRSLGVSDGAEVVVEDAPMLERLIPRELWLGLRIQVIHAPRLKTLGYLCDKISEFEMGTTVLKVAIAVPGHTSYALGSLAFRSMHQGMVPAAGASSMIGTVKILAFDSPRDLDVVIDLLKCFPCLEKLYMELNHYGGSNNVKGKLKNARRNNVPLECLDTHLKMLELRNYHGRKSEASLIRFFLSNARVLESMKFVVPPYNCYKGKWIVNQRKKLLLNIKLLE >Sspon.01G0047440-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:89382510:89386460:-1 gene:Sspon.01G0047440-2C transcript:Sspon.01G0047440-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding TMACPAATRARIGARRGPCVWRSERSASVLVGSASLLPDRPARDTRARAASELQQAPRPASTTVPTHKVTVHDRQRGVVHEFVVPQDQYILHTAEAQDIKLPFACRPGCCTSCAVRIKSGQIRQPEALGISAELKDQGYALLCVGFPSGDVEVETQDEDQVYWLQFGRYFARGPQERDDYALELAMGDE >Sspon.08G0015390-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:58727445:58731808:-1 gene:Sspon.08G0015390-2D transcript:Sspon.08G0015390-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-repair protein XRCC1 [Source:Projected from Arabidopsis thaliana (AT1G80420) UniProtKB/Swiss-Prot;Acc:Q24JK4] MSGSKRSLPSWMGSSKDGEDDSSKKKHAGTSQKAQKGPGFSKLLDGVVFVLSGFVNPERSTLRSQALDMGAEYRADWTSDCTLLVCAFVNTPKFRQVQADNGTIISKDWIFESYKQKKLVDIEPFLMHAGKPWRKNKEPVETDQDEKETRKVHQKQVQQSRVKPSTSDATEQWAVDDLAQTMSWLDSQEEKPEPNELKTIASEGVITCLQDAIESLEQGNDIKGVAEQWSFVPHVVNELLKLDGGGKGAALPKEQLLQLAAKCKKIYQAEFARLDIDGKNKDKHQNDPPVTEHRKKTKSDDDHYDSDETIEMTEEEIDFACRQLPGLCG >Sspon.02G0054130-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2C:110292494:110295280:1 gene:Sspon.02G0054130-1C transcript:Sspon.02G0054130-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRFRRLINDLAIKEIPLHGRKYTWSNQQTNPTLEKLDKVFCSVDWEDLFPNCVLQSMASEDSDHCPLLLGLQDNKSGHRRFHFESFWPKLEGFQDTIAAAWASVPAGPCPFFTLDLKFKAATRALQSWSDKTVGHVNSQLALAREILHQLEIAQDSRNLSPRENWLKNNLKKHSLALASLKWTIARLRSRIDWVRDGDANTKLFQLHARHRKRKNFIGKLVSGDQIYTKHEDKARLIDEIYEDLLGKNIDRERTINLDELGILSHDLADLELPFTEEEVWKTIKKLPPDKAPGPDGLTGRFYKACWPVIKSDIMAAVSAVWSRRIAGFEVLNAAYITLLPKKEEAEQPRDFRPISLVHSFAKLITKMLANRLACRLQQMVFPNQSAFIKGRFIQDNFMLVQQTARFLNQQKQPRILLKLDISKAFDSVSWPFLLEVLQHLGFGQIWRDITSGLLSSSSTQVMLNGIPGERISHRRGLRQGDPLSPMLFILVMDVLGHMVAKAAADGMLQPLSSRALQHRISLYADDVVLFLRPEATDITVTMGILQLFGEASGLKTNLQKSNVLPIRCGNTEMSLVQELLPCALMDFPCKYLGLPLSLKKLTKEHIQPIIDKIADQLPGWKGDLMTRAGRRIQVQFVLTAMLIYLVMAIDFPPWAIKAVDKLRRSFLWRGRKDAREGHCLVAWGKVTRPPELGGLGISDLKHLSWALRMRWVWLKKTEPHRPWAALPIQIPDQVNSFSIAVVSEVGNGNRTLFWTDRWIHGQCIADLAPRLLEAIPKRRVKRRTIQEALNGSSWVLDIKGAHTVGVILAFLHLWDLLYNFELEPDVEDVHIWRFSASGQYSAKSAYESFFLGSTQFGPYERIWKTWAPPKCRFFFGCWPTIGAGRQIALRVVKCLILSSVLFVTRRMRLLTTSLSPVSLLDNFGTSF >Sspon.01G0043050-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:71258524:71264871:-1 gene:Sspon.01G0043050-1B transcript:Sspon.01G0043050-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPEASMLSQLQLQLLALVSEFGLLRERERGAREELRDAGQRWEAAAEEHRREARELRAEVAARDDSIRRLEARIKCLENENELLEKNENNLKESMEVLLQSREAFIKHYQAALLGKVGQGRKAYLARPSPIQTKQRNQTLFSDLAKLLGKRTRHAFFFFVVVVLFVLLLLLLFFVFVFLFVFLFLFLLLFLLLFLLLLLLLLLLLFHFFFFFFFFFFFFFFFYYHGIRIICFCFFPEMKDKQIAVISEKLNSHIVLLSSVEKEVAAVKQVLGDVHCLVDEKENVVADLKDKVQRISVLEKDFVEKLNFLESKITAYQLELRSRARIIYELKNRLETEKLNSKNQAMRLELHNMEIALQKFQDLFGSIGHEGMKSLPAISESQDVQDVNNEQLESCTPTTQNVDHQLETDPGSMHVQSPVHFKSSALPSPELVAVNTETTNSLLEPKGDINMAGDALAIVLVACSISGATSLGHGDRGGAWRTAALVEGLTCSVQSASPSSSCREREEARKN >Sspon.01G0036280-1P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:18496552:18503092:-1 gene:Sspon.01G0036280-1P transcript:Sspon.01G0036280-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAGGIDKETSLAWMICGNELFIWSYLASVAKDCIVFDIPSSLIGYNDVKPVLGIQWTVCIMRWHNSGASTRNSGEMLHRKSSTGVILCNKRTQAVAYWPDIYAEFNRSLVLSSGYGEVSACDAASDCLRFNSLIAAAVPGCIHECIAIASEPAGALWLFQCSPEGIRQRKVHADTLGDGGADHSQKSNGGRSLVWLPSNVSSEGSDRKFFLLTNNEVQCWSISFLHDINVQKLGSHGIVGTDGDVGIKKDIAGQKNIWLLDMQIDEHGKEFSILVATLCKDRVFGEKSPSQVIIPKARVEDDEFLFSMRLKTGGKPSGSVIILSGDGTATVAMYWRGSTRLYQFDLPWDAGKVLDASIIPSAEDRDEGAWVVLTEKAGVWAIPEKAVLVGGVEPPERSLSRKGSCNETIAEEKRRSQGFSASVVPRRVSSEAWSAGERQRPALTGIAQQSVVDEESEMLLNRLFHDFIISSAVNEALQKLRAAGAFEKEGAAMLTVMEHGEKLSGMVHLRELQNALIQQHSSTHLSPQSKTQGIGALWNLIQLVGEKARRNTVLLMDRDNAEVFYSRVSDIEDLFYCLSHELQYIISREEHPSVQMQRALELANACITLVQAASHYRKDHKEWYPSPEGLITWNSQPVVRSGIWSLASLIMELLGESGAADMSMKSSLWSQLEGLTDILLEAYIGLLTAQFERGQEHGVLVQEYCERRDELLRSLYNLAKQIVEVKYQESKDGKDNPDLKESIFRKAISPILATAKRHEGYQTLWQICYDLDDSGLLRSLMHDSVGPHGGFSFFVFKELVNRGDYSKLLRLGEEFQEELASFLKERSDLLWLHEICLNQFSSASETLHTYALRGSPDGDASFTTSRKPLSFVERRRLLYLSKIAATAGKDIGYEVKVARIEADMWILKLQEEIVQHDPEYAQVKYTNTLLGPSELIEMCLRRDRELSLKAFEVFALTSSSFRSSNRGLLEACWMNATDQDDWVKLSEASTLEGWSDEVIEESLQATVLFKASRLCYSPDAVVYDGTFEDVLPVKKEDVHLRGLESKCLSVEEVLMQHKDFPDAGKLMMTAVIMGKELTFTATEPVEMD >Sspon.03G0004570-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:21550586:21558687:-1 gene:Sspon.03G0004570-2B transcript:Sspon.03G0004570-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPEKRGYELRILSLRLRVAALFLDSIWLAGGRRRRPHPELENFVAHDAEVRSLSIGKKSSRVFITGGNDRKVKLWAIGKQTPLLTLSGHTSAVEAVQFDSAEVLVLAGSSNGSIKLWDLEEAKVVRSLAGHRSSCTAVEFHPFGEFFASGSSDTDLKIWDIKKKGCIHTYKGHRGAIKKIRFTPDGRWVVTGGEDNIVKVWDLTAGKLLHDFKFHSGQINCIDFHPQEFLLATGSADRTVKFWDLETFELIGSSGPEGTGVRSMVFHPDGKTLFCGLDQSLKVFSWEPVRCHDVVDMGWSNLADLSIYEGKLLGCSYHERRVGLWAADISVSVVFSMPYLIGPYALGVLPKANFFAELVQSMDDNPVKPVDSTANSRPALAMAHSKSSYKVKESGIAESRVRGSHLTPASTDKIRKDRSSTIPRRPASSLKSSVQGSTPMRRMKLVDSPSTNPKIVERNFGQRDISLASRAAIANNSDTAKKSNLTESALVKDIYTTSQAVSAPVVLPRDILEDKTVSNVRRGTGDTAAVPDDFRPVHKRKPSLSGSAVDSDSSSIFTEPDVCSEGLSGLKFSFGLTPYYKKEEFGDVDNEGIAQIAEKMDRTVSLDHSLQLNDDKSFESPCSTTETAKVKYVRGVAVPLGKTKSLVERWEKRESSSTDYSPQIGSYSDRVSRNDNPPSHLAEPSTTYEKDLSTVDEMMAPVNLVQNHDEFINAVKLRLTKLEMMRHVFEQSGIKGAIAAVAKLPDNAVQADVVSALKGKLDLFNLEIFSTFLPVLSGLLCSKTERLQRCTRCFNHLQKIQQTLNPLIMRGGEAAQLAQELNLSLQDLVVI >Sspon.06G0008250-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:43335840:43336733:1 gene:Sspon.06G0008250-1A transcript:Sspon.06G0008250-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEGSTSPAAGGGAACAVCGGAAAVYCTADAAALCTPCDAAVHAANLLASRHERVPLSMAAVAAASGVYDDLFAPDDIDAASSWPTAPAHGQGQLGSPQNGSSSTSFTTSDSGAEGRSLFDLLSDVDLAAACVTGGGGGYLPDGVAPVHHGAAPLWAQLGLQASAWTTTWSPADAAAAAAVVGVPGAAAAAVVAAAAEREARVQRYREKRKNRKFQKTIRYASRKAYAEARPRIKGRFVKRAAGTSSSSSGAGTSDGNTDATDAASKFWLSFSDDARDDGVGFYVDAGAYGVVPSF >Sspon.05G0004380-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:8057242:8059266:-1 gene:Sspon.05G0004380-2B transcript:Sspon.05G0004380-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding HLLLQYDQGGAERLIVDGACQLAAHGHDVHVFTSHHDKNRCFEETVSGLFPVTVYGDFLPRHVFYRFHAVCAYLRCIFVALCVLLRWPFFDVILVDQVSVVIPLLKLRASSKIIFYCHFPDLLLAQHTTMLRRLYRKPIDMIEETTTGMADLILVNSKFTAATFARTFSGLHARGIEPGVLYPAVSVEQFHEPHAYKLNFLSINRFERKKNLDLAISAFALLRSVASTLPGDALREATLTVAGGYDKRLKENVEYLEELKRLAVTEGVSGLVNFVTSCSTSERNELLSNCLCVLYTPKDEHFGIVPLEAMAAHKPVIACNSGGPVETVVNEVTGFLCDPSPTEFSKAMLKLVNDHDLAVRLGEQARDHVVQKFSTKTFGDLLNSYVLNIYHERME >Sspon.02G0029890-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:111825708:111829015:-1 gene:Sspon.02G0029890-3C transcript:Sspon.02G0029890-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase (Fragment) [Source:Projected from Arabidopsis thaliana (AT2G40190) UniProtKB/TrEMBL;Acc:W8PUX5] MAILAGLVAVFSALLAAALRRLLIPRRRPADAAGFFHPYTNDGGGGERVLWCAVRAVQELRPDLPCAVYTGDADAAPDALAARALDRFGVRLLRPPQVVHLNKRKWIEARTYPHFTMIGQSLGSVYLAWEALTNFTPQFYFDTSGYAFTYPLARLFGCKVICYTHYPTISSDMVERVKHRSSMYNNSSHIAGSWLYGLVGSCAHLVMVNSSWTRSHIINIWKIPERTKRMLPLERPTTPPIFISVAQFRPEKAHGLQLEAFALALQRLDPDFPKPKLQFVGSCRNKEDLDRLQKLKDRSTELHIDELVEFHKDISYIDLKQLLRGAIAGLHSMTDEHFGISVVEYMAAGAIPIAHKSAGPMMDIVLDEDGHQTGFLASEKEDYTEAIIKVLRMPESERQEMAAVARKRAQRFSDQRFHEDFTEAVRHILSAREA >Sspon.05G0011910-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:26540962:26542019:1 gene:Sspon.05G0011910-3C transcript:Sspon.05G0011910-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRVLEPLVVGKVIGEVIDNFNPTVKMTVTYGSNKQVFNGHELFPSAVLSKPRVEVQGDDMRSFFTLVMTDPDVPGPSDPYLREHLHWIVTDIPGTTDASFGERDQMHKRIQPLAKNRVRNYMHAGRELAMYESPKPYIGIHRFVFVLFKQKSRQSVRPPSSRDYFSTRRFAADNDLGLPVAAVYFNAQRETAARRR >Sspon.04G0003120-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:10458960:10461347:-1 gene:Sspon.04G0003120-3C transcript:Sspon.04G0003120-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGDAATPMHCRQVHVHRGYSFPQKAIELLHVHDFYGSASSTSTSTRAAPLPKMCLLQELSSDAKSWHQQDLVAEKRTANEASSPLRQLLSQRFHVARNEGTERDRRAPSYSTEKPMSELALTCPTKMNRRSTHSSHHFATPHNRLICPIGSCDLIARCMPLEPGDGITVTGICPQVTAKFVYKRHFQSSAQPTPIAMYSFEQDHTAAARTTATFDTSYQPCAAGRSLQLSAHQHPGGQQVLRTAAGSGSGSTASAAAAVQPRRAKSSSKKHAAASRHSSSSRRSSTTVVATDVNNFRAMVQELTGFPPAAIFRPLPRRVHAASPFVAVSAAAGQGCGGREQHGHASWEATNSSTTAAAGSSSSPDDAPAVPPVVLAAQQPQFAPLGVFDGLSDLGSPEFDSWGDLSID >Sspon.08G0006400-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8C:19673811:19675676:1 gene:Sspon.08G0006400-2C transcript:Sspon.08G0006400-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMDTVYGAGTLHGCRCDAIQRMDAQLCSEASKVYHVSLALALAIVPMPPADGGSLGSWVGVLSLFVVVVAAAAAINGGGESVRRTRHHIEGAPTEADFEVKEETVRWAPDSGEVLVRNLYLSIDPYQLNRMKRSSASHLAVDSILPGQRIAAYAAGEVVASACEEYKAGDVVAGVLGWEDYTLFKPSPAVLIVLGTSGMTAYGGLFEVGKPVKGEKVFVSAASGSVGSLVGQFAKLAGCYVVGCAGTKAKVDLLKDKLGFDDAFNYKEEPDLKSALKRYFPDGIDVYFEN >Sspon.07G0036040-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7D:20075683:20077130:-1 gene:Sspon.07G0036040-1D transcript:Sspon.07G0036040-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GRACVCWARGRSQREGGLDMDKPRALLLGTTAGMLLSTKKKDSPNRDPNLVTGHADRKFAPRRDRTLAGKQSHLALSHPS >Sspon.01G0013190-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:35322494:35336429:-1 gene:Sspon.01G0013190-3C transcript:Sspon.01G0013190-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSCPTLPFDEARWIIRIRRIFDEEIEVSEDQPICVFDVPKPLLSTKPEAYIPQLVALGPYHHCREELCDMERYKLSAAKRAQSHLPGMDFQRLVDVFTKLEHLVRAHYHRHLNLSNEALGWMMAIDVSFLLEFLQTFSKNNGNQQRALQRIPSRMSHLVDPSRRTSSHSMLLRDVVMLENQVPLFLLLKAIESRCPTAVAQPAAQSVLSSMLVGFFQEVSTFRGIGRPCTDANRHVHLLDFLYSNMVPRCAEESHGEAGDESCHGHDDHGKSTLNSVMDLLVSRGSKIVSVTVDFLLRVLLKFIASLPCLSVLGEPIEQLTQHAAEPSGGAPGVPDKNRSPLLEEIAVPSVAELAYTGVLPDGRRPLRGRLLPGTATLHLPVIGVDVNSEVVLRNLVAYEAAAGLGPLVLARYVELMNGIIDTEEDARLLRECGVVLNHLKSDREVAELWNGMTRSVRLTRVPALDRVIDDLNRHYGGCWKVRVRTFVKARVLGSRELLACVAVVLLFLFVGLQAFCVLRARWIIRIRRIFDEEIEVSEDQPICVFDVPKPLLSTKPEAYIPQLVALGPYHHCREELCDMERYKLSAAKRAQSHLPGMDFQRLVDVFTKLEHLVRAHYHRHLNLSNEALGWMMAIDVSFLLEFLQTFSKNNGNQQRALQRIPSRMSHLVDPSRRTSSHSMLLRDVVMLENQVPLFLLLKAIESRCPTAVAQPAAQSVLSSMLVGFFQEVSTFRGIGRPCTDANRHVHLLDFLYSNMVPRCAEESHGEAGDESCHGHDDHGKSTLNSVMDLLVSRGSKIVSVTVDFLLRVLLKFIASLPCLSVLGEPIEQLTQHAAEPSGGAPGVPDKNRSPLLEEIAVPSVAELAYTGVRFCPTVGDLSAVDFCPATATLHLPVIGVDVNSEVVLRNLVAYEAAAGLGPLVLARYVELMNGIIDTEEDARLLRECGVVLNHLKSDREVAELWNGMTRSVRLTRVPALDRVIDDLNRHYGGCWKVRVRTFVKARVLGSRELLACVAVVLLFLFVGLQAFCVLRGCVPVSYGMASRKFGAS >Sspon.02G0001870-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:6118896:6126940:-1 gene:Sspon.02G0001870-1P transcript:Sspon.02G0001870-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding FSGLYAAGDAVGAPARPRAPAPKWLKCPTGASFGFGGKLVSFHPVAPTQGAQASTSEVHVHNLVIEQSLVSRSTEFEAAIQNGDKSSLRALCEKKSQESLSDEERETWGFLRVMFEDGDFARTKLLTHLGFEPPQAPPASSTDELSQTLADTLNLDHATVTDNSDAQFLIDNGDDFFNNPQPSEASLAEEPVSTNGQQIEQEMSGDVVLSDPSIDKSIQHALVVGDYIGAVNQCLAANRMADALVIAHAGGSALWESTRNRYLKNSISPYLKVVSAMVGNDLMSFVSTWPLSSWKETLALLCTFARKEEWHILCDTLASRLLNVGDTLAATLCYICAGNIDKAVEIWSRTLKSEDGGKTYVDLLQDLMEKTITLALATGHKRFSASLSKLVENYAELLASQGLLKTAMEYLKLLGSDEHSQELAILRDRIACSTEENDNARSSVSEITGTPSPYFTNQPYTTPEHSQNVYQVPQPYNVPSNPYSEAYPQPPTGAYGYNDAYQPQPANMFVPPSTPVNSQQQPGPSPVPVPQHTVKTFTPANPAGLKNPGQYQQPNTLGSQLYTGAANQQYSSGPSAPYPSGPPTTFHQPVSPVQYQPAAPPVSSFGPSAPVPGTVPNQMFPHPAASNSTSRFMPSNNQSFAPRPGLSPAQPSSPTQVQAQPAPPAPPPTVQTADTTKVSAELRPVIGTLTRLFDETSKALGGSQATQAKKREIEDNSRKIGALFSKLNSGDISPNVSSKLIQLCSAIDASDFATAMHLQVLLTTSDWDECNFWLAALKRMIKTRQNFRMTLFPEDELQAELVKKMTPRRKQLAKK >Sspon.03G0016990-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:77692798:77696954:-1 gene:Sspon.03G0016990-2B transcript:Sspon.03G0016990-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHGVSESDGSDSFEWDSDGNGEEAASCNAAGASSSAMTSTNTDAPGPSTRVANGNGKAGPSAALVQKYMDMGFAEEIVLKAMKDNGDNGADSLVELLLTYQELGNDLKVDNGFASGCVPQTVDDSDDDDDILENWDDEDAGGRSTRAANSFDDSGDEDFLHEISQTDEKVDSLVKMGFPEDEAALAITRCGQDASVSVLVDSIYASQTAGDGYCGNLSDYEDNSYGGRNKGRFMDGNKKKRKTYGGQTQGSRCPLDGSSSEPMPLPHPMVGFNLPDQWTRPVNRSLPSQAMGPPYFYYENVALAPKGVWTTISRFLYDIQPEFVDSKYFCAAARKRGYIHNLPLENRSPLLPIPPKTISEAFPHTRRWWPSWDPRRQFNCLQTCVSSAKLLERIRVALTNSSDPPPPRVQKFVLEECRKWNLAWVGLNKVAPLEPDEMEFLLGFPKDHTRGISRTERYRSLGNSFQVDTVAYHLSVLKDMYPQGMNVLSLFSGIGGAEVALHRLGIRMKTVISVEKSEVNRTILKSWWDQTQTGLLIEISDVQTLTSERIEAYVRRIGGFDLVIGGSPCNNLAGSNRHHRDGLEGEHSSLFYHYVRILDSLKSIMERL >Sspon.01G0010040-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:34340133:34346757:-1 gene:Sspon.01G0010040-2B transcript:Sspon.01G0010040-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRSFHSIVQVGQEMFEKATGSSNGATGGTGPEMTWSRVELSVMLEHVQAHVAPTDVDPGAGIQWLPKIHRRSSEVKRTGALLERVFMPCQMYFRYTRHKGGTPELKVKPLKELTFNSPDITAGMTSRQFQVMMDVLTNLLFARTPKKPKSNLSYPLDNDDDDIEEASDAVVPDGVEEVELAKIGVEVKERARKLLLDDIRALSTCGESSHDHSQSPKADDAAWIVTGSRLMLVKQLKKRLVNVRNGRKEAYSMLRTAMQKAAQLRLMEKEKNKSPSFAMRISVRIKKIVWSMLADGKSFSEAEINDMIFDFDRDYKDIGIAQLTTKLFVLKNGLANAKSDTVVSPWNPPSEWGKNAMLRVNARQGAPTGGNSVIESFLVDIYPLKIYLTEAMYRMMWGYFFPGDEQQPQKRQELFKVSTTAGTRRKKNTFVAETNSPNNQSSKETTFSQKPELRRTSSFDRTWEETVAESVANELVSQMEGQSNTQYEPQDAAKDSKLLRPVRSTREDKKIVEPNEVKQSRPQKMMDFRNIKISQVELQLTYEGLPFAVSDVRLLMDTFHREDFTGTWPRLFSRVKKHIGKKFKAKSSSQKEPSTASISATDFNLSDSDGDEAGSSDQLPAFLKKPSDGAGDGFATSVKGLFSTQKKKAMAFVLKTMKGDADHDFHGERSENEIEFSPFARQLTITKTKKLIRRHTKKLNKSKVHKVT >Sspon.01G0026550-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:92768185:92768526:1 gene:Sspon.01G0026550-1A transcript:Sspon.01G0026550-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMTRKVAAAGAGEAEEMKRRNAELERAVAEAAAREERLRRELEAALARLAVAEEAEERLCVQLGELEAEAVEQAIEYQERVRALSERLAFADGVLRSSGIRGFAAGGVTRMD >Sspon.02G0032110-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:88950925:88952643:1 gene:Sspon.02G0032110-4D transcript:Sspon.02G0032110-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to Phytoene synthase 1, chloroplast precursor (EC 2.5.1.-) (Fruit ripening specific protein pTOM5) [Source: Projected from Oryza sativa (Os12g0626400)] MFPSVYISGTQLMTPERRKAVWAIYVWCRRTDELVDGPNASYITPTALDRWEKRLEDLFEGRPYDMYDAALSDTVSKFPVDIQPFKDMIEGMRLDLWKSRYMTFDELYLYCYYVAGTVGLMTVPVMGIAPDSKASTESVYNAALALGIANQLTNILRDVGEDARRGRIYLPLDELAQAGLTEEDIFRGKVTDKWRRFMKGQIQRARLFFDEAEKGVAHLDSASRWPVLASLWLYRQILDAIEANDYDNFTKRAYVGKAKKLLSLPVAYARAAVAS >Sspon.01G0006170-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:16223972:16227760:1 gene:Sspon.01G0006170-2B transcript:Sspon.01G0006170-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RAVWSTRNATFPLPPPRHGSASRPPVPDRTGRGAIQPLPGPPAARVPRRVPVPSSASLKIPPLFAASSLSPLISSLRLGVHAVASACRGEASALPGAGLWSSSARRMAGGFRVLHLVRPFLAFLPEVQSADRKIPFREKVIYTVISLFIFLVCSQLPLYGIHSTTGADPFYWMRVILASNRGTVMELGITPIVTSGMVMQLLVGSKIIEVDNSVREDRALLNGAQKLLGILIAIGEAVAYVLSGMYGSVSQLGTGNAILIILQLFFAGIIVICLDELLQKGYGLGSGISLFIATNICENIIWKAFSPTTINSGRGAEFEGAVIALFHLLITRTDKVRALREAFYRQNLPNVTNLLATVLVFLIVIYFQGFRVVLPVRSKNARGQQGSYPIKLFYTSNMPIILHSALITNLYFISQLLYRKYSGNFLVNLLGKWKESEYSGHSVPVGGLAYYVTAPSSLADVLANPFHALFYVVFMLSACALFSKTWIEVSGSSAKDVAKQLKEQQMVMPGHRESNLQKELNRYIPTAAAFGGVCIGALTVLADFMGAIGSGTGILLAVTIIYQYFETFEKERATELGFFGF >Sspon.03G0022790-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:69617755:69622327:-1 gene:Sspon.03G0022790-1A transcript:Sspon.03G0022790-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRVASGLGALKRHGADAQMMNVAIRCASTSVAQGSSGGFWTWLTGARSNEIPPPDFTLPGVIIPPPLPDHVEAGKTRVTTLPNGVKIASETSAGSSCSVGVYVDCGSVYEAPETTGASQLLKTMAFATTANRSELRVVREIEAIGGSAKASASREMMSYTYGALKTYMPEMVEVLIDCVRNPAFLDWEVKEQILRLKAELAKSSSNPEKFLLEALHSTGYSGALANPLIASEYAISKLNADVLEQFIIENYTAPRIVLAASGVDHDELVSIAEPLLSDIPSVSGTRPKSTYIGGEYRRSADSSNTDVALAFEVPNGWLKEKDFVTVSVLQTLLGGGGKFSWGRQGKGLHSRLNHLVNEFDQIKSISAFKDIHSNTGIFGIHTSTDASFVPKAIDLAARELTSLATPGQVDQSQLDRAKASAKSAILANLESQASLTEDIGRQVLAFGERKPAEHLLKAIDGVTLKDVTSVAEKIISSPLTMASHGNVLNMPTYESVSGKFRSK >Sspon.01G0048330-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1B:108379419:108379899:1 gene:Sspon.01G0048330-1B transcript:Sspon.01G0048330-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RMHGRARAEFTYPPGIHNVLEVKKADYDSCTNSTPIATHTSGDDKIVIKSPGHRFFICGVPGHCAAGQKLNIRVLKTRSSDAPSPAPAARSGSAASPSPSTEPSGASASPPASSTDSPPDATATTAPAPNANGAGVSAGHRAVVVAMALAAVASMVMLH >Sspon.01G0013030-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:35274543:35286318:1 gene:Sspon.01G0013030-1A transcript:Sspon.01G0013030-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLTRAAEEDPAAAEEEWSDEAVIYVNGVRRVLPDGLAHLTLLQYLRDIGLRGTKLGCGEGGCGACTVMVSCYDRITKKSLHFAINACLAPLYSVEGMHIITVEGIGDQQRGLHPVQECLAKAHGSQCGFCTPGFVMSMYALLRSSKQPPTEDQIEDCLAGNLCRCTGYRPIIDAFRVFAKTDNLAYTNSSSENANDQAICPSTGKPCSCRNETDINVNESSLSSSVERYSPYSYNEIDGSAYNERELIFPPELQLRKVMPLKLNGSNEIKWYRPLKLKQLLHLKSCYLDAKLIIGNSEVGVETKFKNAQYKVMISVTHIPELNTLDVKEDGIHIGSAVRLAQLQNFLKKVIAERDLPETSSCQAIVRQLKWFAGTQIRNVASVGGNICTASPISDLNPLWIAVGAKFQIIDVNSNVRTTLAKDFFLGYRKVDIKPEEILLSIILPWTRPFEYIKEFKQAHRREDDIALVNAGMRVYLQESEGNWIISDVSIVFGGVAAVPVSASRTENFLNGKKWDSGLLDDTFNLLKEDISLSENAPGGMIEFRRSLTLSFFFKFFLSVTHEMKVKGLLEDGLHADHLSAVQPYSRPVTVGTQSYELVRQGTSVGQPMVHMSAMLQVTGEAEYTDDTPTPPNTLHAALVLSKKAHARILSIDDSLAKSSPGFAGLFLSKDIPGVNHTGPVIHDEEFFASDIVTCVGQIIGIVVADTHDNAKTAANKVHIEYCELPAILSIEEAVKNGSFHPNTKKCLVKGDVEQCFLSGACDRIVSGQVHVGGQEHFYMEPQCTLVWPVDSGNEIHMVSSTQAPQKHQKYVASILGLPQSKVVCKTKRIGGGFGGKETRSAIFAAAASVPSFCLRRPVKLVLDRDVDMISTGQRHSFLGKYKVGFTNEGKILALDLEIYNNGGHSLDLSLAVLERAMFHSENVYDIANIRINGQVCLTNFPSNTAFRGFGGPQGLLIAENWIHHIATELQRSPEEIKELNFHNDGVVLHYGQLLQNCTIGPVWDQLKASCNFVEARKAVNSFNSNNRWRKRGIAMIPTQFGISFTTKFMNQAGALVQVYTDGTVLVTHGGVEMGQGLHTKIAQVAASSFNIPLSSVFISETSTDKVPNASPTAASASSDLYGAAVLDACQQIKVRMEPIASKGAHNSFAELAQACYMERVDLSAHGFYATPNIGFDWTIGKGTPFNYFTYGAAFAEVEIDTLTGDFYTRTADIVMDLGFSINPAIDIGQIEGAFIQGLGWAAMEELKWGDDNHKWIRPGHLFTCGPGSYKIPSVNDIPLNFKVSLLKGVPNPKAIHSSKAVGEPPFFLGSAVLFAIKDAIFAARAEEGHLGWFPLDNPATPERIRMACVDSITKKFAGIDYRPKLSL >Sspon.05G0016970-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:70695102:70702804:-1 gene:Sspon.05G0016970-1A transcript:Sspon.05G0016970-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGGTHRTPEDVFRDFRARRAGMIKALTTDVEKFYQQCDPEKENLCLYGLPNETWEVNLPAEEVPPELPEPALGINFARDGMDEKDWLSLVAVHSDAWLLAVAFYFGARFGFDKDSRYCICCLSISMAFLCCGNQSCYHMMLCLYAIE >Sspon.08G0016620-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:65486617:65488101:-1 gene:Sspon.08G0016620-2P transcript:Sspon.08G0016620-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPSLRYLAGTAGPSGFGSRTTAEEATAAGDLGHITAIITGATSGIGAETARVLARRGARLVLPARSLKAAEEARARVRAECPGADVAVLPLDLSSLASVRRFVKRFLDLGLPLNLLVNNIGKYADRFAVSEDGVEMTFATNYLGHFLLTRLLLDKMAETARDTGVEGRIVNVSSTIHRWFPGDDDALGYLDRVTRRKIPYDPTRAYALSKLANVLHTRALADRLREMGANVTANCVHPGVVRTRLIRDRDGLITNTVFFLASKLLKTIPQAAATTCYVAVHPAVAGVSGKYFADCNEASPSRLGASSEEASRLWTFSENITAEKVQKTSSVHVVSTGLFRLQAQSSNADRAMTLA >Sspon.01G0004830-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:20310069:20311925:-1 gene:Sspon.01G0004830-2B transcript:Sspon.01G0004830-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALEAVVFSQPQLAAAHFGYGRGDSPYALPWPCDLQLQGGGGFADLCVAAAGEWDHDLDTWAAPTVAAAGDDWDWEALSRDQSSDASTDHAAAPEPTAAAAPGRRKRRRTKVVKNREEIESQRMTHIAVERNRRRQMNEYLAVLRSLMPPSYAHRGDQASIVGGAINYVRELEQLLQSLEVQKSIKSRGSSGSTDTGSSPFAGFFSFPQYSTTTSAHGGCSGNTSDGANCSDAAAASAGSADTGRRPAAAVADIEVTMVEGHASLKVLARRRPKQLLKVVAGLHQLRIPPLHLNMTTVDAMVLYTFSLKVEDDSKMGSVEDIATAVHEILSSIQQQEETAVM >Sspon.03G0021960-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:85990044:85993031:-1 gene:Sspon.03G0021960-3C transcript:Sspon.03G0021960-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWGWTALAAAAVAYVAVKLMEVLWWRPRRVERHFARQGIRGPRYRFFVGCVREMVALMVAASAKPMPRPYRSHNVLPRVLAFYHHWKKIYGSTFLIWFGPTPRLAVADPDLIREILLSRADHFDRYESHPMVRQLEGEGLVSLRGDKWAHRRKVLTPAFHMENLKVSQPASQPFAAAMQQSLFFLSRGIVVMTRWLGRTLLLPFVGKTVVDMVDKWHDMASASSGEVEIDVSEWFQVVTEDAITRTAFGRSYEDGKAVFKLQTQLMAFASEAFRKVFIPGYRFLPTKKNTSSWKLDKEIRKNLVTLIGRRQEAADDEKLSGCAKDLLGLMINASSNGGKVSPITVNDIVEECKTFFFAGKQTTSNLLTWTTVLLAMHPEWQELARQEVLQVCGAHDIPSREQLAKLKTLGMILNETLRLYPPAVATVRRAKTDVELGGCLIPRDTELLIPIMAVHHDARLWGPDATQFNPARFAKGVAQAATHPTAFIPFGLGARMCIGQNLALLESKLTVAILLQRFDFRLSPSYLHAPTVLMLLHPQYGAPVIFRPRSSEPSDRDNQDM >Sspon.01G0000660-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:1429303:1431596:-1 gene:Sspon.01G0000660-2B transcript:Sspon.01G0000660-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPDFLHEMSHKDDKIDSLVKMGFPEDEAALAITRCGLDASISVLVDSIYASQTAGDGYCGNLSDYEDNSYGGINKGRFMDGNKKKRKRYGGQAQGSRGPLDGSADEPMSLPNPMVGFSLPDQWPRPVNRDLPSQAMGPPYFYYENVALAPKGVWTIISRFLYDIQPEFVDSKYFCAAARKRGYIHNLPLQNRSPLLPKPPRTISAAFPRTKRWWPSWDPRQQFNCLQTCVSSAKLLEKIRVTLTNSSDPPPPRVQKLVLEECRKWNLAWVGLNKVAPLEPDEMEFLLGFPKDHTRGISRTERYRSLGNSFQVDTVAYHLSVLKDMYPQGMNVLSLFSGIGGAEVALHRLGIHMKTVISVEKSEVNRTILRSWWDQTQTGTLIEINDVQTLTSERIETYFRRIGGFDLVIGGSPCNNLAGSNRHHRNGLEGEHSSLFFQYVRILESVKSIQRL >Sspon.03G0003920-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:16912885:16914600:1 gene:Sspon.03G0003920-2D transcript:Sspon.03G0003920-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LCTPAIRRTFGSRCLAARREMAALRVPLHAILSRGAAPPSAGLLLPLHAHLVVSGRLAASPAVITSLVSLYARGPAALHPAVPLLLPASAPPPLPCFNAALSLPHALALPLFRRLLAAHSPDAFSFPPLFSSAPASPHLLALHALALRFGLAHDLFCASALLRGCLRFGLADHAHRLFDELRHRDVVVWNSMVNGFVKLGCFDRATECFRKMREEGGVEISSFTVTGILSVCTATADLRRGAAVHGLSVKSGFDKEASVCNALIDLYGKCHQVHDATMVFEGLPDTDKDLFSWNSMLSAYQYSADHTGTMRLFARMRRTALLPDAVTVAAVLPACAQTAALQVGREVHGYIVTSGLACDGALDVFACNALADMYAKSGGLDDARRVFDWTRQRDVASWNIMIDGYASHGRGQEALILFHQMIKEGLVPDEVTLLGALSACSHSGLLEEGKGFLKRMKEEFGLEPQLEHYACVTDMLGRAGRLDEARKVIEEAGDVGAGAWRTYLAACRMHGDKERAQEAARMLMMAEESGSGGWVLLANTYGWDGNFEELEEVREEMKRQGVQKAAGCSWVE >Sspon.08G0017310-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:1281319:1283236:-1 gene:Sspon.08G0017310-1B transcript:Sspon.08G0017310-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKARSCGRRRRRLSADSGLRRAAHRPLARGGRGQRAAGRGRWPHPPWPVVRVDTAAPLTDYYNCEIQFNTSMDGQTGAATASLGPRVKANLVLGSESFAISPESGILSEQLAAMKEKSMAILKEYINKHNAPNDVPDEPVEGESDGEGEALVNNPPKKSKKQK >Sspon.04G0016220-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:66319165:66320414:-1 gene:Sspon.04G0016220-2C transcript:Sspon.04G0016220-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLFLPQIQNDPMYDFSSFDMVDLDPYPYSCNGSVATTIVSSVSAAAADNHQERRGHDERKKRRLASNRESARRSRVRKQRRLDELSLQVAKLLGTNHRLLVELNHVIAKHAAVARENAKLREEADGLQRRLSEMEAGVGEAEAEAGTPESPTHQLNPDEGSECSMVQSSKVHRALPLPRARGNGCADAAAGEHWWRHRPGKPLFTSDD >Sspon.01G0003100-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:8406576:8406914:1 gene:Sspon.01G0003100-3C transcript:Sspon.01G0003100-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKQGDKLRRRGAWENWLLPKQNYSAGSSFGPLSPVTSNIDSLASQFRSVGLEGATYHANMPGMHGEALLTRSATSVSLGYHASTFGGLQSNESGPLFGPKSARNLLRSDTF >Sspon.06G0005690-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6A:19167700:19167903:1 gene:Sspon.06G0005690-1A transcript:Sspon.06G0005690-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQGLPVRSVRHQRTQARQRSDCHTRPRMAARVNSEFTFMTPSSAVMWMLVPPTPAVAGCDVDVLRAG >Sspon.07G0009660-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:24735027:24737415:1 gene:Sspon.07G0009660-4D transcript:Sspon.07G0009660-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALHSAAAAALSALLLLSFSGLTLSDATPSTPVSPSAACNATTDPTFCRSVLPPRGKGDLYTYGRFSVAESLAGARKFAALVDRYLARHRHLSSSAIGALRDCQLMAELNVDFLTAAGATIKTTDTLLDPQADDVHTLLSAILTNQQTCFDGLQAASGSWSDRGGLDAPIANGTKLYSLSLSLFTRAWVPTAKPAHPHKGGSNEPPHHGHGHSGKNKKPPAAAAARRGLFDVTDDEMVRRMAIEGPESTVAVNTVVTVDQSGAGNFTTIGDAVAAAPKNLNGSTGYYVVYVLAGVYEENVVVPKHNKYIMMVGDGIGQTVVTGNRSVVDGWTTFQSATFGRGGARVRGDEHDVPEHGGAGEAPGGGVPLGRRPVGVLRVQLRGVPGHAVHALLPPVLPRLRRLRHRGLRVRQRRRRVPGLHLLLPAAAAGAVQHGHGAGPQRPQPEHGHLHPGLLPRGGARARRQRRLHHAQLPRPAVEELLAHGGHGVLRRRARRPRRLDALVRGLRARHALLRRVQQHRAGSRHQPPGGVAGLPCARRRHRRRQLHRHKHGARRKLAAADWRALHRRLNFL >Sspon.04G0009680-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:28753537:28754807:1 gene:Sspon.04G0009680-3D transcript:Sspon.04G0009680-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding TMYPKADEGAHQPLARGIPISGGGGGYYQAGGATAAFAVQAQAAPVAAWSTGLCNCFDDCSTGCVTCLCPCITFGQIAEIIDRGSTSCGTSGALYTLIMLLTGCQCVYSCFYRAKMRAQYGLQESPCADCCVHCCCQCCALCQEYRELKKRGFDMNTGWHANMERQGRTAATMPPQMHLGMTR >Sspon.04G0000620-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4A:2978483:2988167:1 gene:Sspon.04G0000620-1A transcript:Sspon.04G0000620-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding IAVVTGGNKGIGLEICKQLASNGVTVVLTARDEKRGAKAVSTLGLSNIVFHQLEVSDPSSAARLADFIKEKFGKLDILVNNAGITGTTWSVGDPEIFRQKLAGMDLMERIETIHKHITEPYEEAEKCLRTNYNGIKAVTKALLPLLQSSSHGRIVNMSSDYGLLRFFNGDELKEEINNIGCLSEQRLDELSELFLKDFKDGQQEARGWPTGGFSAYKVSKALVNAYSRILAKEHPSLCINCVHPGYVQTDMNFHAGNLTVEEGARGALMMAMAPKGGVTGAYLDKTEVASF >Sspon.06G0031570-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:81522319:81523087:1 gene:Sspon.06G0031570-2D transcript:Sspon.06G0031570-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCSHHQWQCQYGHLTCSDPWDPETQAAIRRPLRRRPWGALWAGPGWMSRPAAA >Sspon.01G0059450-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:53347950:53349933:1 gene:Sspon.01G0059450-1D transcript:Sspon.01G0059450-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding TTPGDDVVLVDDSRAGVNRKLELWRHTLESKGFRLSRTKTEYMRCDFSATRHEEGDVSLDGQVVAKKDTFRYLGSMLQKDGDIDEDVRHRISAGWLKWRQASSVLCDKRVPQKLKDAGRAGGWKGVVPIQNKQSREVQWGSERDYNTDINKHQHQQQLNRLKGKTRHSRKTPTGRRFVPPPNSILAGFTVTIVSSSIPRADGDILQRIWAHVPPSNAVHEEEALEGNGGSGESITQHLIFWHHQRVAAGTQAQDHRTRRPPLKLMSINSDLGRPAHRSGAAAVGELPQERSSEAILGTEEVQDLQLDRPEAPTLVHVVLVELLRVVEVEHQPLASPSSRHSSLHRTLRSDS >Sspon.05G0009220-1P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5A:26809533:26817183:-1 gene:Sspon.05G0009220-1P transcript:Sspon.05G0009220-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPYATRVRRISSSRVQGFRPKIARENLSPKQATSLLPTRARPHRARGTVQTPESTDPDAARVREPPFPAVSIRPHPPSPPLPPRTRPYDAATFAASNCGGCGWGGRGGGARVPPWTAARIGRSRRTSPARV >Sspon.07G0007630-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:18021101:18024731:1 gene:Sspon.07G0007630-2B transcript:Sspon.07G0007630-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGAQLVGRWVESYSGMSTDNIKGLLLALSSSLFIGASFIVKKKGLKKAGASGVRAGVGGYSYLLEPLWWAGMITMIVGEIANFAAYAFAPAILVTPLGALSIIISAVLAHIMLREKLHIFGILGCILCVVGSTTIVLHAPPERQIESVAEVWDLATEPAFLFYAAVVLAAAFVLIFHFVPQYGQTHIMVYIGICSLVGSLSVMSVKALGIALKLTFSGMNQLVYPQTWVFSFVVISCIVTQMNYLNKDWDRQNPTQIVTEMCGFVTILSGTFLLHKTKDMVDGLPPNLPIRLPKHADEDNAAEGIPLRSAADGIPLRSLRATDSFRSS >Sspon.02G0011600-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2A:30868675:30868932:1 gene:Sspon.02G0011600-1A transcript:Sspon.02G0011600-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RRALGSSETLPRLALPAPAQGNEDLVRSSEPIQLSYTSKALTGAVPKGLEQVKQTLIRPRYPSLVKRHGCRTKDPSVPIVPGILS >Sspon.04G0000950-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:3848761:3852124:-1 gene:Sspon.04G0000950-1A transcript:Sspon.04G0000950-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGCNGHSSSGRSLERAISRKAIIGFFCGVCITCLFGGVALPPLRVIQIQSQSRRPILWNFTFTQPADGAAAAATDELPSAPEKMEQPKENENITQAARIMHLYNAWATLLNTDRDEVTKSSDGIFNKGSDVPRPPHLEDCRTNAERSKVFDSYGDNGTFPPWTLWKGSLGLEFPSQKDSEHADQRRQYPPWIVGSDEENYPLTRQVQRDIWTHQHPRNCSNPGLRFLVADWERLPGFGIGAQLAGMSGLLAIAIKEKRILVTNHYNRADHNGCKGASRSSWSCYFFPETSPDCRDRAFELMQSKASWADGTVKVKENYTSKEIWLGRIPRLWGKPWKYLQPTTEIKGKLITNHRKMGRRWWVAQATRYLMRFPTEYMCRLLNVARHSAFGLQAAKLVLQSIQDDSPKVVTTRTKSDIECLVWSDHKPYIPRPLLSMHVRMGDKACEMVVVGFEEYMELAGNLRKRFPSLKNIWLSTEMQEVIDKTKHYPQWSFYFTSVARQGSNMSMAMYEASLGRETSTNYPLVNFMMAAEADFFIGALGSTWCYLIDGMRNTGGKVMSGYLSVNKDRF >Sspon.03G0010280-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:34502511:34504077:-1 gene:Sspon.03G0010280-2B transcript:Sspon.03G0010280-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADHPLAGEHHHPSPASSAATALGPLLLLPSELLHEILLRLAVPELLRVRSVARPLSSLISSPDFRRLYHLSSASSGGGGPAAAWLLLFKKLPPRDAAIRGFHGPSGRWFRIPVSAILAPADLYFLAASASSFLFAANGRRELVVVDLTARAARRLPPSPLGPRGTSSWRRFGLKLVADPPGSNRFRFLFAELVNNTPFLFEYRSETDAWQQSEAVLAEGEGAEPAAAPDGDGTYLCAAHAGPDCVMVYAGPREDDRPVFFRPRFPNNAAAAGHGGDRLHVYGDGSAAVVRSAAIDDPTSRTRVKVVTGVDLYGFGAGGSVGGRLGAGGQRAGRPGGGVPQAVRRHDGAAGGARGRGPAGAHLQLPRGAWDLVWLSYDRARREWRWVPVPDWGSSKGLNMAGIAVSSTFSRLWPLAAPASSSSSHQ >Sspon.01G0002310-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:6380530:6384151:1 gene:Sspon.01G0002310-3C transcript:Sspon.01G0002310-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTRTRLAASYGLRLPTRAAGSMPLAEHELAAAAATSALGVALGVRLLVALSRSRALKPLAAATSAAAAALRTPRALATASSPVAAILAASKAASKSYKAARTLGPAARLPKLPDSKHLKAAFAAASLLRLAAAAPASLHAASPAGVAVLAVLKSSYKLSKNTSKIVEGFLGLQVHKGFRNGVDALGVVVKVAVIVSEVAVWVGGRFWGYGRARCVRFLGFTRPSSLVLLGCSKSEPQVVLFDPVLVEMDAEGCELEERGASELLSLAVPVPQELGVKAMVLLFLKEGCESGVEGWQWGVGCGTSSWSVVTVAADRRGGSGRRRYVIISGRKWTGGGSRRSKEVITKATTIEVKNMSSYGA >Sspon.07G0002760-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:6608973:6612621:1 gene:Sspon.07G0002760-1A transcript:Sspon.07G0002760-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSGSASAPSGGGGGGLAITERQKPAPSCVAALFQMFAKRKLLSSSSKKSKLLPPVREHKFSPGRPPVGGEKTAVAKMRPLLLDSADYSRCKIECNGTSHYPQPGQDRNCSENEMCAPGVVARLMGLSSMPANQSHQRPTKATDSSEPGDHWNSGRQDWSGTSRSIYTSPQKQRKTGRLIDDRRHDNAGQFNAPDTRPLWPRRHAHKVASPVKSPRSMSSRNKARLIEAAVKVLEPGLQSRNRRLSWRHAYLEYPCSSGDGAPGAAAVLHNVSDQFLREMCDVDIPRLGAHKIGATSLDNSTSDQRTEEDTCKKIIPNRRSDKNVACQLQPEYSLFISTEKAGFGDSVQKISNCIADTNQDVPKKQLKSNISWDSAPHGPLKQNNLKQNALPAACGTADPGYVTQSKKHRSRERNMRNRTQDFISLNKRMNDSASLRSKRKVQDRFGESHTSAENKNMGTKGCRASNLHGGTSNKLKLKTGTPKAMEKDMITAKGAGLVSEKPKPASPNCARSGFQRQPVSHDVSRGNKKSGIISFTSSSPMKVAPTLLGDNASGIGTCVQRSPVDTCPKRCSRRDSQNMSPQRERVFWEDLQGTSNLESTESVFCNQDEVKNRVILGGRAVSSSFENKSGGHVTEESLSNELLKQHNSVDCVIYDNKDPYKVARLHETRKKHAADAKGSKPSPSISRGSNKRSPTSILQSTNADDVCGPGIPRNTAETTFTNSHPRETCTVAASIVQDITTERNPRCGDPNFGQHGVQPSEPDEVQDSKLKHPGEVTTTVELLLTNVHASTRHDKSDESPKAFLLRTTECALATSTTGSNSKQEDFISTAAIKGNKEASTLRNLALDFVWDFLDSMCSQLCDSGYRSFSELLALICTEERLADRVGKEIARCCGMAGRSLDELAVGEVERAVEAGMDPMIEAVQIGAQIEQDLVQELVDEIGVDLLKRW >Sspon.01G0026240-3C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1C:95173065:95173487:-1 gene:Sspon.01G0026240-3C transcript:Sspon.01G0026240-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding IVEARAMLMQRLQYQSKLENEQLGHHKDDVGVRLRENTLRGGTEAFPFMRQEAASVMPITEIEIEKHPIQVTEVAVVDKSVIREELPKDHTETSNVLQEAFDDDIDDWFDEEADLAGHPTIHIGDEEDVSFSDLEEDDVK >Sspon.03G0033890-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:65533301:65534499:-1 gene:Sspon.03G0033890-1B transcript:Sspon.03G0033890-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMKVYGPAMSTNVARVLVCLEEAGAEYEVVPVDMTTGEHKSPTHVARNPFGQVPALQDGDLIHYESRAISNHILPKGGWDLLRESNLAESAMVDVWLEVESGHFTSTILVVPFLGGETDTKIIQENLEKLKMALVVYEARLSRFKYLAGDFVSLADISHFRAAYYLLGGSHASVLDAYPHVKAWLAEVMDRPSVNKVVELMKLPSA >Sspon.01G0002220-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:6106203:6108945:-1 gene:Sspon.01G0002220-2C transcript:Sspon.01G0002220-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAWTRKATASAKALASCVPGAIRDDDGKPQRIPRGYLPLVLVRDDDGEGGSETRVLVRVRDLEEPCMAALLEMAEQQFGYGQQGVLKIFTEVHLLPGKVLLVVAMHPLSRALGGRRTTAHKMGILQATSYTRVSNLRTPAGKDQEPLTTLGEGSNNLQLRAQSPPLLQAVYRVGKHGRVTRNPQQLEDQVPLECNSQAMHLNLTQDVQLCKEMRTGRAGRALTFCAQKN >Sspon.01G0010180-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:28055742:28062052:1 gene:Sspon.01G0010180-2C transcript:Sspon.01G0010180-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLSADLFYDILKRLDAAALARAGCACADFRAISNEEDLWENACTSLWPSTRQDDVRSLIVSVGGFRKFYADCFTLILNKDVPVVQTNESNFFAEEWAESDYYYDDLDELEDSLPSDFVSLIDVWYKDRALYSKVMWGIPNSDTANGWFYNYPFRIDLFQHSAENNENNNGEVFLSTINDLPSVPSMEQERKDGKLWRELNDGIKLSWIIVNRKMKRAVNLTSWHPLSGQRHWPTDTDFVLRFGSVLPAKEVLPCQVAECILLMKFRVTSMGSEEAGEPSTLALTELSMQIEDMGRVHLNGRCSLLLLKEALSCHRSRNYDEVLESCNLYLKAQSELKEEKIRSECRTKQSVELKDVGTWKVK >Sspon.08G0028450-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:12269094:12289663:-1 gene:Sspon.08G0028450-1D transcript:Sspon.08G0028450-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPAVLAFFPLLLLTVFSPASTSAHRNRNPSPFPPIVPLHLQALRQQQRRASSSDGKLVTAAADAGTNSTAQPFTTHYFPQELDHFTFTPNASMVFRQKYLVNDTFWRRPSGGSGAGPLFVYTGNEAETQGYLTSTQALADFAILITSLKRNLSAESAPAQVSPCRNRGPGILCTDPASESLNCFSVIKATWDVLDERGSNDRGLLELSKLFRACKTVKYADSIAGWLQTAFVYTAMVDYPTPANFMKNLPAYPVKEMCKIIDGFPANADILEKVFAAASLYYNFTGDQTCNQIEGDDDSSSSLGLSGWGWQACTEMIMPMSDSNESMFPPSTFSYEESPIPVSSSPGFGPGRIGSPLNMKIDKVLKRFGSNIIFSNGMRDPWSRGGVLKNISSSIVALVTEKGEHDNFWKKIGIFRCSLMCRCFSRWPGAHHLDLRSATKDDPDWVIEQRRQEVEIIQGWIDQYHQDMAQQARWQQRLRQAASSPDATKLVPAAADGTDTTAGPFTVHYFPQELDHFTFTPNASTVFYQKYLVNDTFWRRPSGGKGGSTGPLFVYTGGEIGIERIAINAGFMFDIAPKFGALLVFIEHRFYGESLPFGNNSAEVLGYLTSTQALADFAILITSLKQNLSAQMAPAQVPPCQHWGPGILCTILQFDYITPWSSFYDVVSQDYKSESLNCFSVIKAAWDVLEERGSNDKGLLELSKLFRACTTLKHARSIQTWLRTAFIQTAMLDYPTPANFLENLPAYPVKEMCKIIDGFPANADILEKVFAAASLYYNYTGDQTCNHIGDEDSPRSPDLSYWLWQACTEMIMPMSSSNESMFPPSTFSYEDKSSTCFQFFGVRPRLHWITTEYEIDKVLKRFGSNIIFSNGMRDPWSRGGVLKNISSSIVALVTEKGAHHLDLRSATKDDPDWVIEQRRQEVEIIQGWIDQYHQDMAQSLKKPFCVSATITWSELERTLSNNS >Sspon.04G0000050-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:378036:392584:-1 gene:Sspon.04G0000050-1P transcript:Sspon.04G0000050-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCNGSVLNTLKSIGIQECKITFPTYKGGSRGFAFLKFASHYYARAAFRRLMKSDAIFGTDRSAKVSFYETPTKSSKSLLEAKKVYLEHVPLSWDENRIKEYCEEYGEILKVDLFQISKNLENETISFVEFSFSKGALACVAGINKAKIVDGSFKLCAYLAWPKSALKVNSAAPSNATTTEKDRNHTEKVVVDKNFPHKFRKGDKSKLTSRTKEAIMKTNSSSKLPNDNDTKLTSQGNVDESQLTYRGAVLQTSKTSKGKRKASENRTSTSAYSGYRSHAGYEAVHAYEYTRNRAPTPPSGSYIPRRGRY >Sspon.01G0048750-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:110216142:110217777:-1 gene:Sspon.01G0048750-1B transcript:Sspon.01G0048750-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MITTILSKRWRDLPRRLPTSYNIAVDDILPPRYHRLKRLNMEVMAAYEAEKNMHKLTDIYAIKARHERWMAKIRPFTAILERYERRAMRRYVKQVNAFLLAPKNVRQHRPVHKLRLQMLGRWHENIDEWVTAAIAKWGVEDFELVIDGDCGGYNLKQLDRYRNVRLERLTLSNCQPICSWNCLMVQRLTKLTLGAGSYMGMISEILRNCIELRDFSITSSRVCQAALRFFVPTSKIKSLQVDRCCFGKIYLMSLPCLETLVCRGRPTKLSYGEVPRLRHVSLDYLQTEDNDIDDESGTSRTYPPSKFFKRIPPLDSLVLQFKGPRMWIEPFVVPGGQLKKLFIANVPVNWDVLWILLLLGAAPALESFHVHIDNNSEQRGSGDLCDSLDADAQQHQNRRLKELVVAGFEGLGWQTGFVRLIMKRSPLLRHVHLLDGEVRDDEQELGALQIVPRRREWHECERAEVLDDLTAGFRFPPRIILE >Sspon.04G0030210-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4D:81844822:81849046:1 gene:Sspon.04G0030210-2D transcript:Sspon.04G0030210-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNDGGPPSLSLADVMLFGFYCQYSQAAPYGSYLPPSAASRCSDSTETLIAPSPLWLLDYRRPLPHRSSPYCHWSTWPDHKLLDEHAPPPPHAPL >Sspon.06G0012470-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:66224028:66227339:1 gene:Sspon.06G0012470-1A transcript:Sspon.06G0012470-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SMAAAALLRRSPAARALLSPALSSRLVASKSHSSSPAPPPAAKAASNTKTFSIYRWDPDSPSTKPHLKDYQVDLSDCGPMVLDVLLKIKNEQDPSLTFRRSCREGICGSCAMNIDGDNGLACLTKISSASSASTVSPLPHMFVVKDLVVDMTNFYSQYKSVEPWLKRKDPPPQQGKEIPQTKADRAKLDGMYECILCACCSTSCPSYWWNPEEYLGPAALLHANRLPLWGTLIKPKPNMFMHLQARGYHGVSEKRNLRDHKRRLLAEKYELRGKLYKAVCRDPDLPLDMREKFRYKLSKLPRNSSMTRLRNRCIFTGRSRAVYKKFRMSRIVFRTLANKGELTGVKKASRWIQDSRDQFTKERLDAINDEFKLYRCHTIKNCTHACPKGLNPAKQIDTIKKLQLDA >Sspon.02G0022400-1P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2A:75288351:75288671:-1 gene:Sspon.02G0022400-1P transcript:Sspon.02G0022400-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADTGAGGQARGFFFALGTQGGGQEETALTAVSQLTHHGMVFVPVGYTFGEGMFDMDEVRCCSPYGAGTFAGADGKSRLPSDAELQMAAHQGSYFAAFAKKLKAGAG >Sspon.05G0011790-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:5C:25983282:25984826:1 gene:Sspon.05G0011790-2C transcript:Sspon.05G0011790-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative pentatricopeptide repeat-containing protein At5g37570 [Source:Projected from Arabidopsis thaliana (AT5G37570) UniProtKB/Swiss-Prot;Acc:Q9FHR3] MTTAVGRPSPPVATLLGRCRTARCLAQLHARIIRLGLHSHHALLARFAAACDALECPSVAASFVAALPDSHPAPLRLRNAVLASLARHAPLHAALTEFNLLRQAALPDSFSFPCLLRACARVSCLPAGRALHAATIRLGVHADLFIRTALIQLYGRCGVAGAARALFDQIDIPSEVSWTAIIVAYVNNGDIMAARELFDRMPHRNAVHWNVMVDGYVKCGDLEGARRLFNEMPERTATACTSLIGGYAKAGNMEVVRLLFDKLEDRDLFSWSAMISGYAQNGYPGDALRTFDEFQGQGIHPDELLVVGLMSACSQLGNIRLARWIEDYITNYPIDMNNAHVLAGLVNMNAKCGNLERATALFESMPVRDVFSYCSLMQGHCLQGSANKAVELFSQMLLEGLSPDNAVFTVVLTACSHAGLVEEAKKFFDMMKNVYLIVPSGEHYGCLVSLLGRCGMLEDAYQLIMSMPGKPHPGAWGALLGGCKLHCNIELGKIAAKKLFEIEPDNAGNYVSLSN >Sspon.02G0015720-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:41282236:41287471:-1 gene:Sspon.02G0015720-2B transcript:Sspon.02G0015720-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGQPRERGSRSSRKGRSARAGPAPAPPPASDPDPAAGEDAAPWLRATADELEERLLKRLDEAYAAALARLADLGHGEEASLEAVLHSGHCYGKLNDPVSNIVANARAYLSDPSHAGASGGFADLRRLEEYSLAGLVCLLQSSRPTLTRAEALWCLLSSDLRLEEAIAIGSSLNGEPSSTVVPAESEELPPAVAETAQRGCIHYHNTTAAAAQDPTLFDPEAFMRLAIRQGPAAATISCLKAAGWSRSSGAAPEGQPKESFAKKLSTEELIESVVAELEALDIDKKDPPDANPDPKNQMARDLIKQTREMEAQLKERREWAQGKAIQAARKLGADLTELRVLRMEHDENQRRKKEKQVMEDDTMKRLAHLENELKKKSGQLDRSNATVQRLEMENAEIRAEMEAAKLSASETEKQCQGLLRKEKKDSKRLEVWERQKAKLKEDIAECKTKITQAERELAEVNKSIKNMEKKIREDTRAKEENMVLLEEERRKKEAAKAESDRRLEELRRKKEVESQCYKDDLHRLQDELNRLQKSAGATQPAVPSTNFPGTANRSAARAPKQQPIQRPRPASNLPLPPPAQKPSRRRDCVVCKKEAACVILLQCAHQVLCVGCNKLHEDKGISRCPCCGAKVEERIRVFGASSN >Sspon.03G0024510-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3A:74653301:74654722:1 gene:Sspon.03G0024510-1A transcript:Sspon.03G0024510-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPIQQSSQQSSRTQAHMFGPQHPPQMMNRFDNFGMPEFSDPRTRSSILSGQQICGWKVKHRFYPTLIRDPSSCARSKDEPHAYLKVDALGRLPFSSIRRPRPLLDVEPASATDDNSLISKPLDQEPLLAARITIEDGLNLLLDIDDIDRLLQFSQQQDGGLQLKNRRQSLLGQLAESLQLVDPLGPETTFTLYQPHGTGSDLARIVCMAVFRHLRFVFGNLPSDDGSAETVTKLASAVAACMRGMDLSGLSACLAAIVCSSEHPPLRPLGYAAGDGATVIIKSVLDRATELLTEQPAAPDYSAPNRALWQASFNAFFGLLTKYCVSKFDSMVHTMHKHPAAATAIRKELPVELLRASLPHTDEQQRRLLLEFAQRTVPVAGHSSDG >Sspon.02G0019350-4D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2D:58736344:58737759:1 gene:Sspon.02G0019350-4D transcript:Sspon.02G0019350-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRGGPYYVEAAPPVDVNKNTEWFMYPGVWTTYILLLFFGWLLVLSVSGCSPGAAWTVVNLAHFAITYHFFHWKKGTPFAADDQGIYSRLTWWEQIDNGQQLTRNRKFLTVVPVVL >Sspon.03G0012280-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:50530470:50534715:1 gene:Sspon.03G0012280-3C transcript:Sspon.03G0012280-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMDAAVATKSGGGAGATGVAAAAQAGMNGGETRSRFQRICVYCGSAKGRKPSYQDAAVELGKELVERGIDLVYGGGSIGLMGLVSHAVHDGGRHVIGQVVLLCACQPLALLDEKRPGPGPGPGWAAAAVSACFLCLLAPKWVIPKSLMPREVTGEPVGEVRAVSGMHERKAEMARFADAFIALPGGYGTLEELLEVITWAQLGIHKKPVGLLNVDGFYDPLLSFIDMAVNEGFIKEDARRIIISAPTAKELVLKLEEYVPEYEVGLVWEDQMPAHGFAPELEPGIASS >Sspon.06G0014540-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:85657302:85661386:1 gene:Sspon.06G0014540-3C transcript:Sspon.06G0014540-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYFPEEVVEHILGYVTSHRDRNAASLVCRAWYDIERHGRRSVLVSNCYAVRPERVHMRFPNMRALSVKGKPHFADFNLVPAGWGATAEPWVDACARACPGLEELWLKRMVVTDECLKSLSLTFNNFKSLVLVCCEGFSTAGLADIATNCRFLKELDLQESCVKHQGHQWINCFPKPSTSLECLNFSCLTGEVLDHIGDEGLKVVSSSCPDLQELRVYPSDPNAPARTTVTEEGLAAISLCRKLQCVLFFCDRMTNTALITIAKYCQLLTSFRLCILKPESADAVTGQPLDEGFGAIVQSCKGLRRFAMSGRLTDNVFLYIGMYAEKLEMLSVAFAGATDDGMVYVLNGCKNLKKLEIRDSPFGDAALLAGAHRYESMRSLWMSSCKITLGACKTLAATMPNINVEVISEVGASVGATDDGVSSNVRKVDKLYLYRTIAGPRSDTPGFVSIL >Sspon.02G0018510-1P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:59445828:59448567:-1 gene:Sspon.02G0018510-1P transcript:Sspon.02G0018510-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMTSSRRAWRPRIRGASLRACSGTGDGGGPGVVVAVAGSHVDPLPDVAHPVALDLAEPGGGRGRGGARDLHGGRAAEEVGGALAAGSSVPIAVAAGLRGLTAAAWGHIDVGNKGSWGWGWDVA >Sspon.07G0024560-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7B:25800766:25810497:1 gene:Sspon.07G0024560-1B transcript:Sspon.07G0024560-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTLKELKTSNKELRDEHDKLEKKHDELNTRHNSLKDKYTTLKINYDSLVVANELSLETHDATNQVVKIDIATSCDDLIIESIEQGSSGKGKSVVEFNNNDDYAKLKSENEMLTKKKAKAQQQQSKSKPKPKRCVECGQEGHFAHECKTAPLQPLPKHARPFAFNAHYMLRKDSSGKMKVMFLGPPNKNRPKKIWVAKSLVEKVNYKTGGSHWVIDSGCTQHMTGDPRMFTSLEENGVCQEKITFGDNSKSKVKGIGKIAISNDHSLSNVLYVASLSFNLLSVGQLCDLGYQCLFTPNEVVVTKIDDKQMIFKGFRYNNLYLVDFTSEDANLMTCLFTKTSLGWLWHRRLAHVGMNTLNKLLKKELVRGLKDKFAKRAQNEFKVKIKKIRSDNGKEFDNTNIEAYCDEIGIKHEVSSTYTPQQNGVVERKNWTLITLARTMLDEYNTPEKLWDEPINTACYASNRLFLQKFLSKTPNELLNRKKPDVSFFRVFGCKCYIYKKHQHLGKFQRCCDIGFLVGYSSKSKAYRVFNHATGLVEETYDVEFDESNGSQGAIENCDDVGDEPLREAIKNMLVGDIKPKDDEDDVQIINPPSSSHVPQDDDKNERVENEDTHVSHEQAEAQAQDVDAPQPSPQVVDRRNSPLLQAHPQDLIIGSPTKVYVDQPPGFEDPRYPNHVYRLSKALYGLKQAPRAWYERLRDFLIEKGFTIGKVDTTLFTKKLNGEIFICQVYVDDIIFGSTNEDYCKEFGELMSKEFEMSMIGELTFFLGFQVKQMREGIFISQENIPRIFSRDSRWKSTLNQTRCGFTTTSGPGDHQHVRSSRRLSLVPVTGRSTGRIVVTTTSGPGPHHHVRSCTMNFQDDLRPDSVRVQRPPCPVSVSRADMSPHITFRPDPFFFLVPPYAIASCPSLPSQRLAVVPPCLRLLLHAAPLPCRATVLRRAARCRACLNSVMFSPRARHRAPLLPCTTFTPPFHLLRHTAPASPLSFSTATPATKAAVPPLPSPTTKSSSSMVHYKNAGGRPSDGDESPPRLTEVARGKRKKTCAKKRKRTLIEAEIAQAVADAAEMAERGGRSSGIHIGERRFHLEGRQLGTEATEGTEDMPVDPPTEDPEETEEPEGQRRGGRPPPKARGHPPVEHFDLRGATARQAQALRFVEVGRWFPPQWDPRASEGFYTPLHEDFYRAYVDSGIAFRPQRVCRLEALVEVVGEQLRPHLSFLPGLSDFLGRTGAYCGTWVREFYSSLWIDPAHEFTHFAFRGCDRRLYNTRVWEILRLPPSDTKIHLLCFGQTAPPRRPHGSIVPPIDMIRPCFREPFGEGSRRTPASLTPLARVLDAIIRRTLLPRLGYREGLTRMQLWVVHHLISQTPFDIWDLMLCEMEDTLAEGFKGHRQLPYAHWICFIIRSACELPPEIRAEISDTTTAFPEYDIHQLWASVTREQAPRPGQRERPEVPETVAEQDETVQGLAEAELADLDAQPADPVEDEAFDSTDKDYQPIPRYRSPRSHDHEAGGSGSASRSDPAMVAILERLTQAQERQELQMQRQAQDTAAAFAQIQARQDEFQRQQLELQRQQFEMQRHWGSSSSVCPDSSTTVQWTSESGIAELPVHLSPAVGTPFADLSASYSELTGQPTPSHTTLMTSSGLSASVTVAPPVTGTGTTETVPSFVASTDPPAVGTLQAQVTETASLVATSSSVPAPVLQTQTASQPHASTEGQPDSSDSEEDTSQFCITPHSSAPDTTPSVPPLDP >Sspon.05G0029890-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:5B:84860797:84861918:-1 gene:Sspon.05G0029890-1B transcript:Sspon.05G0029890-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding FSSPRFSSASLPLPLPALVPPPPPPPTPTPLPEESPFAALLASDPAPPEPLRQVLATGDVHAALRGLPGLARQLFRWAEATPRGFPRSASAFAAVLVPLAHANHIRAAYPVSLRALHLGLLLPVLSLLLDAPLSPALRSLLSLLLRLSAKFSTKRGARDATPAACSTLCLAAFSVVAGQGVAPDVRDCNRVLRVLRDAARWDDVRAVYGEMLQLGIEPTIVTYNTLLDSFLKEGRKDEASMLLKEMETQGGGCLLNDVTYNVVISFLAREGHLENAAKLVDSMRLSKKASSFTYNPLITVLLERGFVQKVEALQMEMENEGIMPTVVTYNAIIHGLLKSEQVEAAQLKFAEMKAMGLLPDLITYNSLLNGYCKA >Sspon.08G0016870-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8B:61576509:61581542:1 gene:Sspon.08G0016870-2B transcript:Sspon.08G0016870-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKCLFSIAPKVGKETEANDGHCVDRTLHRTRSRFDRTRPDTLVRNNLRLPPNPSSFLSCRHRLRDARGQTEGSRRQESAQRTEMRHRQAARRSSCAAEAARGPVELPYSGPQASWQSGAARPGTPTAAWPASLRLPRVAPCLHRAALQWQSGIPAVANGHPRCPGDRVAHVPGGCTGGEPPYSVALKCPDGHKRPGSNCCHRLKFPLAQKNAAAVVTTTTQAKRDDGATRMVRPALACCKLYISEARNAGALRAIERTAAALRPAAVLVNAFADDAYNRVGYTLVSPLAGDGAASPPPLHRAAFRVVAAALEAVDFGAHAGAHPRLGVVDHVAFHPLAGARLEDVAALTRAVAADIGDKLQAVPTYLYGAAHKDGRTLASIRRQLGYFTPTSPGGSQWHGAPDAWPSSSPLPVAPDAGPRTPSRSKGVVVVGATAWVDNYNVPVRTADVGAARRIARAVSERGGGLASVQAMGLAHGDGAAEVACNLLDPATVGAEQVQERLRRLAAAMGVGVGEGYFTDFSQEKVVELYLQQAAQAEASQQ >Sspon.02G0044110-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2B:99654198:99654464:1 gene:Sspon.02G0044110-1B transcript:Sspon.02G0044110-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MESNNGMCFPREGWRNMLVPWKKTIPMVFLSSATWSQCSWQVGGTVDKTRGNGMMGFSNLVQWKGLLLKRKSETPELYDDIRDGGQEF >Sspon.01G0045180-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:87828522:87829155:-1 gene:Sspon.01G0045180-1P transcript:Sspon.01G0045180-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEDGYEWRKYGQKFIKSIQKNRSYFRCRRKLCGAKKKVEWHPRDPSGDLRIVYEGAHQHAAPAAAAPPGGQLQGGGGASDSNRYELGAQYFGGARSQ >Sspon.04G0005850-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:16551837:16556740:1 gene:Sspon.04G0005850-1A transcript:Sspon.04G0005850-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQDGAAGQSPPDSVPVPLEAEACEGVGAENGDQNTKQHQPFFSMYQPLRTVSYSNSWDMFCAPAVNENDHTSGLTSMADEQTLTASGPLGSKQPQPEGISGTLGREDNSCSPHSINKEVSLVQDVMEMEQSMDGIDVPHGGTSEQPEPLGTEQPNSFDETDLWGVQDNQQVLPLNSKQCNSNIGEICDAEDMHFPLSVSYRRQPKSVGAGLSNMGNTCFLNATLQCITHTVPLFLKLRSTDHFTPCSYNKDGFCSFCALKEHVDESIRRSGSVLAPTKFRDSLRKLSSDFRPGQQEDAHEFLRCLLDNLHKCTLDPKSKGKGSSFDEESIVKEIFGGQLKSHLSCCECGHSSETFEPFLDLSLEIDQVDHLVDALQSFTKVEQIGDSENKLTCESCNAQVCKNKQLTLHRAPDVIAFHLKRFTTLDNSVEKIDKHVAYPLEVDLKPFHSNPDTAGELKYDLYGVVEHSGLPNYGHYVCTIRSSPSTWYLMNDSNVDSITDSSALNQEAYILFYVRQGKFPWFSSLLDGKDALQAENTCGTSPVSVLENIDANCSTSSGGGTSSSFGDKLEKNEASQLEETEKDETSWCKTSFLPEKPSKRSSLGASNSNKTIDENNPSRASLQNDAPRCLRSLETTNLDKPSTPRCSKRLSLSSDNEFRVFEFEDFDEEEETLLPNLKFQPKAKKAKAASASKAVKGPCIDQNALHLMRGMTSTRRKGLMDCITQQNAKHESRRCPASDPLDKKKRKLVLQY >Sspon.05G0034060-2D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:5D:53435250:53435498:-1 gene:Sspon.05G0034060-2D transcript:Sspon.05G0034060-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VTVEFMDGHRRETDATPGRRRRLAAASALARCPDEATAASAPGRRPDESTQGHCRSRNPDASAQGHRHIRGQPLPLHRRRYG >Sspon.06G0011780-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:51276359:51288399:-1 gene:Sspon.06G0011780-1P transcript:Sspon.06G0011780-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTRALLVAVVALTVALGTAHGASYTVGAPAGSWDLRTNYTLWASGVTFRAGDQLVFKYPRAAHNVLEVSRADHDACSSASPLNAFSTGDDVVPLPAGGVTRYFICGVPGHCDNGMKLAVRVQAGAPGASAAPSPPVATGAAPRAALLPVSAAGAPAAMTPASSQASAGVGGSLAGLVLSAVVASLMAFSSLLAITIIATAAAAAFGTASGASYTVGEPGGSWDLRTNLTAWASTITFHPADQLLFKYDASAHDVVEGDAGRVPLCSAASPVSAALQTGSDAIRLDGGASRRRRYFICSTPGHCAAGMKMEVRVTDDDAECTNRLPPPGPAPPRCAVRHHDLLGRTTGGHLDAWRCLLRRSAEVLGRSRQATYSPHGASYTVGAPAGSWDLRTNYTLWASGVTFRAGDQLVFKYPRAAHNVLEVSRADHDACSSASPLNAFSTGDDVVPLPAGGVTRYFICGVPGHCDNGMKLAVRVQAGAPGAAAAPSPPVATGAAPRAALPPVSAAGAPAAMTPASSQASAGRRQRSEQRPAPATPSASRGGSWDLRTNLTAWASTITFHPADQLLFKYDASAHDVVEVTQAGYRSCSAASPVSTALQTGSDAIRLDDGASRRRRYFICSTPGHCAAGMKMEVRVTDDDAECTNRLPPPGPAPPGAPSGITICSGGPPVVISTPGVVSYGAAPRSSAGLGAVLATVVMTVSLLLGLAI >Sspon.05G0013960-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:50502753:50510233:-1 gene:Sspon.05G0013960-1P transcript:Sspon.05G0013960-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWEETPRMWMRNAMFYRMLNVVTSATGGGETRRSRKQITVLQALDVARTQVYHFTTIVIAGMGFFTDAYDLFSISLITDLLGRIYYPDGKGNGKLPEDAAIAVNGIALVGTVLGQIFFGWLGDRMGRKRIYGVTLKLMVICSLASSLSFSRKSKDVIATLCFFRFWLGVGIGGDYPLRHHIGVRKQEDSWCLHCCCLRHAGNLAAGTMVLAISARFKSTRAYETDPFGQADYVWRIVLMLGAVPALLTYYWRMKMPETARYTALIAKNLKQAASDMTSVLEIEIPSGKEEMDALAIQDEFGLFSMEFVHRYGRELLSTTMCWLVLDIVFYSLNLFMKKFFSGIGWYGDVSNKGPLEQTYDIARTQAIIVLAGTLPGYLFTVIFIDKLGRTRIQIVGFTMMTIFMLCLAGPYNFWSNNKSTQIGFAFMYALTFFFANFGPNSTTFILPTEIFPTRQDTVVPLHGGGDRRHGLLHDAYDLFSISLVTKLLGRIYYFDPAPRPRLSPAQRLRRRQQRGVLRHARRAALLRLAGRQDGPQEGVRHDADAHGGLLPRVRPLVRVHAQGRHGHALLLPLLARLRHRRRLPAVATIMSEYANKRTRGAFIAAVFAMQGFGNLTGGIVAIVVSAAFKARFDAPAYKDDPAGSTVPQADYAWRIVLMFGAIPALLTYYWRMKMPETARYTALATSDMARVLNVELADEQQKAKAEEALERRREEYGLFSRQFAKRHGLHLLGTTVCWFMLDIAFYSQNLFQKDIYARELAAQGGHHERPGGDTLVALCGTIPGYWFTVFFIDIIGRFAIQLGGFFFMTAFMLGLAIPYHHWTTPGHHVGFVVMYAFTFFFANFGPNSTTFVAAVHCHGISSAAGKSGAIVGSFGFLYAAQSTDPTKTDAGAQLAVHARRMQCHRIPVHVPVPESKGKSLEELSGENEDEEAAPAQRVKESR >Sspon.08G0006790-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:51557:53752:1 gene:Sspon.08G0006790-2B transcript:Sspon.08G0006790-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VPAKVNSANKRRCPLRSHGHAWFGVPDATPVCSRCRTRRRSGAAWRPPMPSPSTVNIFESLGGTPQPFVDLSTNFYTRVYEDEEEWFREIFAGSKKEDAIHNQYEFLIQRMGGPPLFSQRRGHPALIGRHRPFLVTHRAAERWLHHMQQALDATESIDADSKTKMMNFFRHTAYFLVAGNEMTRQQGHGVACKHATSKPAE >Sspon.02G0002250-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:7283885:7286334:-1 gene:Sspon.02G0002250-1A transcript:Sspon.02G0002250-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPALLAPDPFADLPFPHYRDFDLEDLDLDVDFDLDLDLFASDGQLSQPPPLATSSSSAGSPERGSSSSGAGRDGGGLRNEESSESSSRSASGTDGSGQGKGEEDEAKRRARLVRNRESAHLSRQRKKQYVEELEGKVKAMQATIADLSARISCVTAENAALKQQLGGAAGAVPPLMPMYPTMYPLPMPWMHPAYPMRGSQVPLVPIPRLKPQQSAPAAAEPPAKKARKTKKVAINRMYDSVDAGEGSAFRPPHHGRVLAVEGSRDNVLDGIDPKPPQSASETLPALLYLPKNGKHVKINGNLVIKSIVASEKASLRLSGYDGNSPQNQKKEETSLAIPGYVTPLEAGEVMESTKGMMKNELMALAPADGNVYREEDGLLPQWFSEAMSGPLLSSGMCTEVFQFDASPSSAHSNGIIPVYSNAMSNSSQNFTQNLPSAQPHMVKNRRISYSEAIPLRGSTSNDTEHLKTPPKNESFGSTKPVSSVVVSVLADPREAGDGDGEGRISSKSLSRIFVVVLIDSVKYVTYSCVLPFKSHSPHL >Sspon.06G0000210-2D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6D:11937335:11938519:-1 gene:Sspon.06G0000210-2D transcript:Sspon.06G0000210-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRLKEEETDEQPGRRQPFLYSIYFSTQSHRQRRNRNRPSVPRPAATPPPQLTGGPRPSTCAHYLYPPLRRHLPTRSSAILPERPISFSPSPPPQAGKAKQPRAMGSRYEVEVTVGSASNLKNVNWRNGDLRPYAVLWVDDDGPKCSTRVDPDNGEDPVWDEKLVVPVPPASAARLGDAVLHVDVVHAASGGDDADEVKPLVGSARLPLRDVLDDAGGVVGGPKVSRTLRLKRPSGRPQGRLEVRVAVREAPPPRYYDPSPYPAPAFGNPAAAARDPYYAAPPAYGGQPPYAAPPVGYPAAAAAAPPYGGGYGYGAAAPAPAPAAAYGAEAAAPAQKSSKMGMGTGLAVGAAAGLLGGLALAEGASYLEDKFEDRVAERVEEETFGDGDYDDDY >Sspon.05G0030460-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:86277790:86283455:1 gene:Sspon.05G0030460-2C transcript:Sspon.05G0030460-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MYFAADPATRPAVTAALADAAGAGLNVCRTWAFNDGGYRALQLKPFSYDEEVFQVRAPSYSCSMRGACIRFRDQRGRNHKMRLILSLCNNWEDYGGKAQYVRWGKEAGLDLTSDDAFFSDATIKSYYKAFVKAVLTRINTITNVAYMDDPTILAWELINEPHCHSDPSGNTLQAWIEEMASYVKSIDPVHLLEMALKGFMVVDSRTSARSNSKNEIRVSYLKFY >Sspon.02G0011780-4D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6D:2426732:2427199:1 gene:Sspon.02G0011780-4D transcript:Sspon.02G0011780-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHMWGHGSQYRKGPESLRGVQPTAMLRLPCYCCAPGCRNNIDHPRARPLKDFRTLQTHYKRKHGLKPFLCRKCGKAFAVKGDWRTHEKNCGKLWYCLCGSEFKHKRSLKDHARAFGHGHGAFGCNLDGGSGADGLDDDDDGAVSEIEHDLCTAAAA >Sspon.01G0019550-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:71116139:71118594:1 gene:Sspon.01G0019550-4D transcript:Sspon.01G0019550-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFDLHAGKLIANLIVMGSTIIGRAMLQAYRKALDNANKTGVAHEAINNIRRASKTMTEQEARQILGVSENSTWEEIVQRYDNLFERNAKSGSFYLQSKVHRAKECLETVYQKNKQDEPPN >Sspon.05G0019330-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:77641947:77646286:-1 gene:Sspon.05G0019330-3D transcript:Sspon.05G0019330-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPAARVHLKVAHAALPALLPTPPKWKMLPLLPTPCVAAILPKLLAKPSRADSDERWDVHKTKSATSASSSPRSTSAADSVRSCAGRGSTRKSATSPPPKPGRADSVERWDAHKKAARPVSSSSSSGTSSVASSSSMWPISRATSAKRWDVHKKRCLPLAEPLDDGESSSTGSNDIDIDMDEEILWKPRAMYAGPGFVGAAPEPTMERLPWPSSSKWPLSRATSAERWDVHKKRCPPLAEPLDDDGESSSTSSNDIDDMEEEILWKPRAMYAGQGFAAAAPEPSMLPMPTSFLVP >Sspon.03G0034020-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3B:67722803:67723179:1 gene:Sspon.03G0034020-1B transcript:Sspon.03G0034020-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding QIDRHALGHNHPVRLACPVGPQSFDRKSTTITNIENQTILKVNLEDLPNDQKALIEQVTEEFREKCLLSYSRTRDSVIQKTPLPSVLLHD >Sspon.05G0004940-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:9658130:9658513:1 gene:Sspon.05G0004940-2B transcript:Sspon.05G0004940-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAEAEASSRRRRRRAEELCSAAVSVADAASWWCAVALVALVLLGALRAETTTAGDVAGRQFRGPRLGGPAARPCEEVYVVGEGETLHSISNKCGDPFIVERNPHIHDPDDVFPGLVIALCPTKNT >Sspon.02G0004620-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:9736541:9742021:1 gene:Sspon.02G0004620-4D transcript:Sspon.02G0004620-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein FREE1 [Source:Projected from Arabidopsis thaliana (AT1G20110) UniProtKB/Swiss-Prot;Acc:Q9ASS2] MQHGSGDYASSAPSGHYYQHQYAPPGSNPYPAATDAPAPGAVAAGGYASAPPYSLGGGYPDQPPSAPSYSQPPSAPAYSQPPPQPQHSAGYPPYNTNPTTYPPEAYYTYTPPPTQTTAPPPAEPNRTPLRYDAPYYGGGYQPPAAGYDNEDYLNEGAYAYSGGGGSEPYGARGTAPARSGSALFDDYGRSISAPSDDYGRSISAPSGWEEQSWGSGGGGGGGGSYGVIAKALPKAETHEDASGGAQKFRVKLLPEGAGNPTDVLCQIGLDGIRMLDPSTSRTLRIYPLDSLTRWEVLDATIFAFWAKTSVDVEPKRIRLKSSSYTSNTMLDTVTAATVQFKEIGGDARSKGAVETGKPSVQSNEKKKGFDWMFTKPVDEVKDHWVPDEVAKKCHSCAVDFSPFNRRHHCRNCGEIFCDKCSQGRIALTAEDNAPLVRVCDRCMAEVTQRLSIATEAANRSATVQSHEDLARKLKVQVPTSGSETVECGVCQHAFLVSAN >Sspon.01G0023460-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:89111383:89112789:1 gene:Sspon.01G0023460-2B transcript:Sspon.01G0023460-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEPQEGCSSLMCLEDGSDLDAGGLPEGDDDGRLAAFLYSDAGEDQEEYMDHLVSKESSFCCSPSSSPSPVFSDISDAGAEPCPSSTASSDEWFRCARRATVEWIFERSVMPWAPRLLAVACVSLAAKMEEYRAPALSEFRADDEYNFSSVCIRRMELLVLSTLGWRMGDVTPLDYLPCLSSRLPRGDSGGGGLVAAKAATLIFSAAEAASVLDYRPSTVAVAAVLAAAHGAMAKEALESKMSSLSLSCFVDKDDVHACYSTMLSERSSATTPSKLVAKRPPPPTSSGESVGAASLAAAADSNKRPRLELPAV >Sspon.07G0010600-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7A:34162275:34163038:-1 gene:Sspon.07G0010600-1A transcript:Sspon.07G0010600-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEHHLPPEHADHHHHHKGGRKGVHADDLKPGSRGRPRYSCDGSGGGGAARALCFAVLVLLLAAGITLLVLYVVYRPSHLTFTVTSAAFTLVLGNPSGRCAARYDRLTAYVAYRGEALTAPAAMPPLAQDAGTAVAAAPVLGAGVAGPPVPVSPDAAAAMATDVANGALTLRVVLLGRVRFVNGPFHRGWHSLYARCDLLLGVRKIGGNGGAGGAPSQAPILGDPNCNVD >Sspon.02G0006040-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:18520713:18521407:-1 gene:Sspon.02G0006040-1A transcript:Sspon.02G0006040-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMTGLSQGVLPSRRAASRARAAVVVRASAEGEAAAQAGRRAVLGLVATGIVGGALSQAVHAATVNPIKIGAPPPPSGGLQSFGADMSTFNLLYGTAGTENSDQARDFGLPLKDRFYLQPLPPAEAAARVKTSAQDIINLKPLIDKKAWPYVQNDLRLRASYLRYDLKT >Sspon.04G0029100-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:76720881:76723945:-1 gene:Sspon.04G0029100-3D transcript:Sspon.04G0029100-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASARILAAAAAAGHRRAAFRRVPAPAPAPVSASLSFPPRHPGPFRGRASLACPAAASLSVPSGGAPPGSVPFDLLPPDSDPFIEWDPPPGDSAASPLAGGGAAAGGATLVVLLGWLGARQKHLRRYADLYRERGVGAVRFVVPVRELVGLDLGRRVERRVADLSEEIAAWCDADRRRTLLFHTFSNTGWLAYGAVLENLQSRADLTERIRGCIVDSAPVLEIRPEVWAAGFSAAMLKKSSSMTGPTAESLDGPIVNGTLNKVTSNVTQPSWGECFLLSTLQKFFEIVLYVPDVNKRLCRTLSVLSEKQPSCPQFYLYSSADRVIPAECVESFMDSQRSLGRSVFAHNFVSSPHVDHYRSFPHVYSAKIDEFLKICSMVKVSS >Sspon.04G0003250-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:9785437:9787480:-1 gene:Sspon.04G0003250-1A transcript:Sspon.04G0003250-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding CCHALVGESALILIRHGESLWNEKNLFTGCVDVPLTPKGVDEAIEAGKRICNIPVDVIYTSSLIRAQMTAMLAMMQHRRKKVPIIVHNESEQAHKWSQIYSEETKKQSIPVITAWQLNERMYGELQGLNKQETADRFGKEQVHEWRRSYDIPPPNGESLEMCAERAVAYFKDQIIPKLVAGKHVMIAAHGNSLRSIIMHLDKLTSQEVISLELSTGIPMLYIFKEGKFIRRGSPAGPSEAGVYAYTKNLAQYRQKLDGMVQ >Sspon.03G0037360-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:93128302:93134747:1 gene:Sspon.03G0037360-2C transcript:Sspon.03G0037360-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LREVYASDNGGGALPAWPVPLAYGNAPGPPTGSKAQIPTQPWPPSPATKHKQWSTMPRSSSSSSNPFHSLASAFPFLSSSSPPATASPSPAAPHLAVPLLLPVSSASASASSESRRGPQPLPGARMAGAGAAGRGNTVGGGAGPAFVGQVFTMLDPSGNGLMAVTTRFELPRFLTNRTPMWFKRMLSPLKKSENSPVFRFFMDLNDAVSYVKRLNVPSGMVGACRLDVAYEHFKEKPHLFQFVPNEKQVQELMNEIGPAGIPLNVVTKAAEIQFLDVVDKVLLGNKWLRKASGIQPKFPYVVDSFEERTAVSIANIATTSSLTASNDENCCQNNQQTQSSEPSVDNSSYGNHNNEDHNHFPFSNLLPNIWPGHAESSSTRK >Sspon.01G0024590-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1A:87824203:87826476:-1 gene:Sspon.01G0024590-1A transcript:Sspon.01G0024590-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHYLAALSVALGAILLLRLAFKWMNGRTGEEGTMMLPPGSRGLPLLGETLEFFAASPTLELVPFFKRRLERYMYIDYHFYKPGAIGPLMIFIKVSHQHLTSAGRRRRRRRRLVACRFGPIFRTNIVGEDMIVSLDPELNARVLQQEERDFQIWYPSSFMRILGANNMVSMLGPLHRHIRNLVLRLFGPEALRLVLLHDVQQSTRDELRSWLDRPDVEVSTATSRMIFGVTAKKLISHDDVASGGSLWKCFDAWTKGLMSFPICVPGTAFYKCMQGRKNVMKVLKQQLDERRNAAERKTVDFFDIVIDELNKPNSIMNENIALNLLFLLLFASHETTSMALTAILKFLTDNPKAMQELTLMHSGYTIPEGSKIMICPSAAHLNSKVYEDPLAFNPWRWKDTPEPVGGSKDFMAFGGGLRLCVGADFAKMQMAMFIHCLVTNY >Sspon.07G0007000-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7B:16400625:16405361:-1 gene:Sspon.07G0007000-2B transcript:Sspon.07G0007000-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase Nek5 [Source:Projected from Arabidopsis thaliana (AT3G44200) UniProtKB/Swiss-Prot;Acc:Q0WPH8] MESRMDQYEIMEQIGRGAFGAAILVNHKIEKKKKSGLRGRRSAVGSLPIKRVSKRKAELQMALIARLQHPYIVEFKEAWVEKGCYVCIVTGYCEGGDMDELMKKSNGTYFSEEVLLKWFAQLVLAVDYLHSNYVLHRDLKCSNIFLTKDQDIRLGDFGLAKTLKEDDLASSVVGTPNYMCPELLADIPYGFKSDIWSLGCCMYEMAAHRPAFKAFASEILKNPYLQPYVNQYRPLYDSSNPMRMPEKQLPTSRSSQRSMSDSQSSSISSSDIDSAQSSDRSTSGGTTSTDRKTIDTTSIRDVDQVKSDENGATPEDLRGNKDNCSVQFKRQDSLKSIHVDHHPRPESKQPKIIEKIMTTLREESRLREINSPVRGGVKPSSGLSNNNQVEQPLQVSRTNSDMPCNLKSANILSHDEHVNEVEVSPPLKQLSPIIEHSAKMKTAGPSTPEPAKQITENGAAASGKTKNKTSPAARRPSPQRQAGAGTPSFPATTTRRAHTKFITERERTPERPSCSPDMKLDAHSDPPRSLMISSNPSEGQHMKLDASQANSTNLWELFTVSAKEHSSACSSSTVDCTENMDQPELSEPNSPVCLVSPCTGCSPNTIEEDDKGSIPCSEINTDKNVVTNNGGSSLRSDLEPSFLSSEQEFVSKDVQCSKHEQSNIAFQRGEDKFTVQELLSSAPEVAPPVSSAPEVAHSIPATKGTLLDAPISLQSWKRHVVSHLNPPVDDVIQTIRHSTFCVSDEQPTQGSVQREAQSADVIKLLDVVPEGADARSSSPNTVPPARSSSVTATPHVSEANVATKALAASDNVKLSAASSGTSNGTKEEASPTKEALDVTSFRQRAEALEGLLELSADLLENQRLEELAIVLKPFGKNK >Sspon.04G0012620-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:18913181:18916023:1 gene:Sspon.04G0012620-2P transcript:Sspon.04G0012620-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLWVFGYGSLIWNPGFDFDDKILGFIKGYKRTFNLACIDHRGTPEHPARTCTLETDDEAICWGIAYCVKGGPEKERKAMQYLERRECEYDQKISIDFYKEGDPLKPAVTGILVFVSTPDPIGNKYYLGPAPLQDMARQIATANGPTGYNRDYLFSMEKALASISHEDDSIIELADEVRKVLNRTKETKITGANASLKSHVPLVHLSALPEGTVVDSR >Sspon.03G0037270-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:97764032:97767441:-1 gene:Sspon.03G0037270-1B transcript:Sspon.03G0037270-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDLLPRAAVALLLLLLSSSSPKPVAGTRPSLGAVGRRYDSIFSFGDSYTDTGNSPVAFAWYDVANPVMRPPYGSTFFGRPTGRCCDGRLVIDLSVPERLDLPLVPPFLAHGHGDGSLRRGTNFAVGSATAVNASFFHHDEEAPDLLFPINVSLSVQLQWFESLKPSLCATPKEGPPMGMAAPTSRHYVAVLLLSIHTGDYGAARPSPAGPARSRRRAAEVGKRPQPPVPTSRSSDSLRFAPWMTHPHRDLVVSGMVPAGCVPSFLVLFDGADPASYEPRTGCLKEMNELSIHHNTLLQESLEKIRARRPDVEVAYADLFSAVMAMVESPGKYGFQEDVLVGCCGGPGRYHFNADIICGDPGADTCEDPSACLFWDVTHLTEAANRYIADEWLSSINSPATTRAG >Sspon.03G0000190-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:718590:720877:1 gene:Sspon.03G0000190-2D transcript:Sspon.03G0000190-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETAITTVLAKVAELVAWEAAVLLEVGDDVRLLRDKLEWLHTFIRDADCRRRLRDDEFVAVWVRQTRDVAFEAEDALDDFLHRAARRRRTAALGSGCAVGWWWPGRCAGQVALRHDLSWRIRQIRKRLDEISENRAVYNIEHTPAPAWAASSATTLAAWDDLEEYTVGLDKYSDMLKEQLLDDSVPARALVAIAGESSIGKTTLARKVYQSLEVRNHFEIRTWTVLPHKCRAADVLRDIHRQMTNQLRRAPSASKQATEDACDGDKAFGTGKDISNQLHKSMTGRRYLVVVDGSVSVTDWNSLRASLPDEGNGSRVLLITDPEGLEVVGLGHAGHTYDPIELTRLSPESTYEVFRRRVFGARGDCPGRYKSRYYQDVFRITRGLPLSIVVLAGILRSKELPAEWDEVMAQLAPPAREQQHRGGGSSNSWPRIMSRAFDDLPHHLKSCFLYLAAMRESTHVDAQRLVRLWVAEGFVRPRRGSTMEEVGQGYLKELISRCMVQLVDKDDFGAVQTVVVHDRLHAFAQDEAQEASFIESHDSTDVLAPATVRRLAVLNSTTDRYVQLSNALPKLRSIICDFVEVRRVRSSSNFIHTTDLGFLHASKFLRVIDIQGLELKKLPNEIGSMIHIRY >Sspon.02G0038650-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:38453929:38458601:-1 gene:Sspon.02G0038650-2D transcript:Sspon.02G0038650-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKCHAAAALAVAFAAAAAAAVAADRGLSLVGAAVAPEVEEMSLFRKVANLMWRSDGNSYQHVWPPMEVGWQIVLGSLIGFFGASFGSVGGVGGGGIFVPMLTLIIGFDPKSSTAISKCMIMGASVSTVYYNLKLKHPTLDMPVIDYDLAVLIQPMLMLGISIGVIFNVIFPDWLVTVLLIILFLGTSTKAFLKGIETWKKETIIQREAAKRLEQTAAEEAEYAPLPTGPGVAANKKTLSSDEAPSLIKNIHWKEVGLLSFVWVAFLVLQVTKNYTATCSPWYWVLNLLQVPVSVGVTLYEGFGLMSGKRVLSSKGSEQTTMKFHQVVVYGLFGIAAGLVGGLLGLGGGFIMGPLFLELGIPPQVSSATATFAMMFSSSMSVVEYYLLNRFPVPYAVYFTFVAFVAALIGQHAVRKLINWLGRASLIIFILAFMIFVSAISLGGVGVSNMVHKIARHEYMGFENLCKYDA >Sspon.06G0019530-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6B:3297971:3300823:1 gene:Sspon.06G0019530-1B transcript:Sspon.06G0019530-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTPDTTMCSTAVLLARTCVVLMCLAAAAPALPAKVPAAGTGNELLGIPTKASLAHCPSHYGDVNISYPFGIGPGCFRRGFELTCDNSTGSPRLFLANSSIQVTSVYAGSNYAFASAVGYNITMGLGVETHTQSWEGPTQGVIQRGNALYVVGCGVEVYMFGDNTTDLIGSCMSICTDNKEIMAAANVGIYYCGGFGCCEIWSSRRGRQAFTFKLGRHNSTIAQLDEVLPSIKVIFSRRYEFVIDDLYASWVNTSNVDDMALEIAITDQPSCASAQSQVNNDTYACNRESICQDLPLSRGGGYNCYCAGQIEGNPYVAGGCTQQVYYNPKAPKENCTRSCGNMIIPFPFGIEEGCFASDNLQLNCTSNATTILDRGYAQYRVTNLSLDDGLLTVTNMLNETSNNMERIVITDFDGHQHSIYEEVVDDNFDFFQEDIIIKWVVANLTCQEARLNNATYACISDNSFCENVTRGKAPDGYRCKCSDGFQGNPYLPNNCTVSSRYINLMSIWVPAILTKTTVHSQDQGRPGQLAKGGPNMGATPTWHTRAPD >Sspon.06G0015570-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:76689856:76701578:-1 gene:Sspon.06G0015570-2B transcript:Sspon.06G0015570-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEEWMRERADGLKREVHRMFEAPNGMSVADSMMLVDALQRLGIDNHFQQEIDTTLSRVYTGELEMQSSKELHMVALRFRLLRQHGFFVPTDVFDVFRDGTHNFNTCLTRDPMGLLSLYNAAHMAVPGEDVLDDAIAFTRTHLEAMKGNLVSPIVDQISRTLDIPLPRYMPQLETMHYIIEYEQQDGHNAMLLELARLDYSLTRSVYLKELRTFCLWWKDFYKDINLTYSRDRGVEMYFWGFGMFPGEGNSRARIIFSKMIALISVMDDTFDSHATFEDCKHLDEAIQRWDESATSILPEYLRMFYTKILSCFNEFVEYLEPREKYRVAYVQKAVMLQSKYYLEEAKWCNEKYMANFKDQIELSSLSSTIPVLILAALMAVGNEATKEAFEWASGMPDMVHACGEIGRLVNDISAFKKGRKNANDVASSLECYMMEHGTTGMEATAALSAMVEHAWRRINKAFMEIDRALLPAVTLAVLNLARINELVYYGGNDAYTFAGDLEGLITSIFLKPIPTGSEQWSSRRRLTRFEER >Sspon.04G0020550-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:81084365:81101304:1 gene:Sspon.04G0020550-3D transcript:Sspon.04G0020550-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MANTSGGSLMDGAAGGNMASGNNSQGVISSSHSGSVHMTKVPSARRRAHHPTRFGSEVPEPSQKKRKVVNEEEAPCGVSNVSKISAPSSAASRDANGTSSSEDHAGGHTSDYATVGNATGSPIKRRCMRTSRYLVLFTSLKGEHTDEVVKSKQSTVPTKTKKVKESQFQKLQRLPDGCHPDFDNDHLCSVNKLLEFRHKSQGAVFVDDKEHVMKTIFFVSSVLPDACHSFLLVTTSSLPLWKAEFNRFAPSINVVVFDGEKDVLKLIQNPEFHENGSHTMLHVLLAHPDVILEDIKSVEGIVWESVIVDYCQDSVAKHLKQLKQLPTDFRMVLLSSPLKDSLLEYKRLLDFLNSEQEDSGDYIETDSLAMLRARFTRHIAYERKAGSSKFLEYWVPAYLSQVQLELYSSILLANSSVLQSQMTTDSVGALCDVVMSLWKCCNHPCLVDLQHSLANTQDIIESTDDRMCVSGKLLLLEKMLKEIRNKSLRVIVLFQEGRRSRDLLRGVPHRAKAGGRGQGVGGPGAQSSSSSRAGRESPDRWRGSRRGGRKNRGGSGWGGDGRSDGAVGDRMGNILEDLVRHKFGPESYERVQNRSAFAMKQEAMNMFNDTTKGRFVFLIDSRACQPSINLSSIDSIIIYGSDLNPLNDLKALRKLKIGSQLKYVRIFRLYTPFTVEEKSLVLAKQSMIIDSNSQDITSSLSHCLISWGVSFLFNRVDELQQDNCASKSNERGTIFMDKVILEFLTELSTEVEDSSKVNSTTISKACMSVTTEETNTSRRKRRNTCETAGSSSKFRLDVINHDLLPEISTPSADLHLLPETGVENMSTPKSLHAEIKHELSKLMMVLKLPDNVHLLAKQFLEYLLKNHLVVREPRCILHAFNIALCWRAAAFLKYTKLDHRESLALASDGLKYECSEELAEFFYKEIGILKEKVAHKPGGRINDRLSPHESSSGNLRSDHNLPKQAMDLHGNFTNGTEDSASAAEKKVMVSDEHELVSAPDAGREQHLLSEESPSNAAMKRIDLFNSIFSSRERDIIEKQELEILNLRTQRDNQVKKLKEVCHAVVQHIRTSNIDEEMKIDQIKLVIQWFTMFMHSFLAHMRLQFFKLDALHSTTLAEEQLMKEKLKHEVISGELDQFPDPCVTLPDSNSVVEEFIHFKKQNGDNHVDKNLASDGDQLLDDRLMEITIVHCSFSPEACPTLAVRNEPTEAHMRSSGRAASESVDLPDNNNHCSSDGIDYQRACSASSIPTCHDSINQESSTGDGRSIEHAKGDNIANPSMFPGSATSLVGINVENDSTVAADPDHMELPILASPQNVTTLQHPTAEEPSDALPAMAAQDLQTEMQTTCPTLGAQHQKVCPDDSSKMNHEPDTATGMLQEGTTSDHLGDSSKMKPDATTGMLQEGTTSDHLDGSSMGVKEKNIDTVAADPLNSESRSYIAPNNPAVVPEAWVAETQSDQSSMPAQHSTSLPTQRKLPISGHPPEEADPSSNLDTEAAQQPDIQPSSSTLDADSSETRHQPETTTVLSQGGSKNHDVDNDGTVHAQKAHSESPILAAPQSSAMLSLPLEVGTPAKISSTSSQQSNEASSGHTPAVSESPGMLGTQAEQDLHLDMRPSTSLLDVPLQRMFPDDRSQTGCRPDRGTDLSEEGQTEYLTCTTSNLSTLPFSGEAETENGQASMPAQEITSPHVQHSLATSQHPVGDLLPPTLILSEEAERAGLLCTSASQDLQPSVTTQEDVPLERIDLSGMLLTQRTAVQQSVEPSCDPHAEEAGTCGMLSAPDLQSGMRSSSPLQDQLAEAEGAGISGTVPAQNLQPSTSAQHIPPERTHPDERIQIGLEPNTTSGPEQLAQLSTVAPASLLCSSEPLINELEKLKYWNAVLSKNHEQKKSQLQTECNQEIEKVKEKYELLLQEEDSAYHRLTTDLNRVCTKVFVHQSLAKIFQKMKESPFQVFFALVRLNMRDLQAHNLAGTPVFSACSVWDNSSSNHIITGCLIISRSTTSFDLISYNRTLPPTFTGGSATGFWGYPTATSFTWKPFQSSTITCWFNASTKWNLRSCWSTITWTCSSSPATQDAGTVYSGSQR >Sspon.01G0033720-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:114928781:114932587:-1 gene:Sspon.01G0033720-3D transcript:Sspon.01G0033720-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLFELDPDIIRWGLHHLLPAPAGYSADNRTQTTPTTPCTAFVRPLDQPTGDMGTSNSVEIKVEHVNAVDNDEVIAQALQEELSHVALAEASGATNADDDQQHSAVLTQQWLRPRAIHIESSSQEAGTREEPFSTCSSPGDGNTVQDSQACLIDLMDDFSVLDGEVGKRLNNMVPVPHVPKINGEIPSVDEAISDHQRLLDRLVLYGLVDLKVKGDGNCQFRALSDQFYRTPEHHRFVREQVVKQLESHPEIYAGYVPMDYREYLKKMSKSGEWGDHVTLQAAADTYGVKIFILTSFRDTCYIEILPVVEKSRRVICLSFWAEVHYNSIYPEGELPVLENKKKSWWPF >Sspon.06G0014970-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:62235800:62242664:-1 gene:Sspon.06G0014970-3D transcript:Sspon.06G0014970-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHNPRPGGGDIVEMSSSSAAATAAQHEGAARERVIPHSGPLSKKTGARKSARFAESVSAPLTAPPPRAASPASNDDDDYVEITLDVRDDTVAVHSVKPAHGVGAGGHGGDDSDVTLLARTLENRRSGSSVIRNASSRIKQVSQELRRIASINRRGAGPRFDRSKSAAAHALKGLKFISKAEGAAGWEAVEKRFDKLAENGLLHRSNFGQCIGMKELEFAGELFDALSRRRNISGDSISKAELLEFWDQISDTSFDGRLQTFFDMVDKDADGRITEEEVKEIITLSASANKLSKITEQAEEYARLIMEELDPGNLGYIELYNLEMLLLQAPSQSVRIGTTNSRNLSQMLSQSLRPTPEPNPLRRWYRRAQYFLEDNWQRVWVLLLWLSICAGLFAWKFIQYRRRYVFHVMGYCVCVAKGGAETLKFNMALILLPVCRNTITWIRNRTAVGRVVPFDDNLNFHKVVAVGITVGAALHIVSHLTCDFPRLLHATDAEYAPLGQYFGVPRPPNYWWFVKGTEGWTGLVMLVLMAVAFTLATPWFRRGRIALPGVLKRLTGFNAFWYSHHCFVVVYALLIVHGHYLYLTHKWYKKSTWMYLAVPMVLYACERLTRALRSSVRPVRILKVAVYPGNVLSLHFSKPQGFRYKSGQYIFVNCAAVSPFQWHPFSITSAPQDDYVSVHIRTLGDWTRELKNVFSRVCRPPTEGKSGLLRAEYDRDGSAMANPSFPKVLIDGPYGAPAQDYKQYDIVLLVGLGIGATPMISIIKDIINNMKLLDGDLEAGSGSGADTSVSSMASFRTRRAYFYWVTREQGSFEWFRGVMDEVAETDKKGVIELHNYCTSVYEEGDARSALIAMLQSLNHAKHGVDVVSGTRVKTHFARPNWRNVYKRIALNHQNQRVGVFYCGAPVLTKELRELAQDFSRKTNTKFEFHKENF >Sspon.07G0003000-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:7145253:7147824:1 gene:Sspon.07G0003000-3D transcript:Sspon.07G0003000-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGAVLLLLAAAFAAALLPDAAESRILLTLHDFGAVGDGVADDTKAFADAWTAACTAADNVILNVPAGGTFQIWPLTLAGPCRSEIKLLISGDIVAPESPSDWGHGQRSQWLHFHKVEDLKITGGGIIDGRGQQWWAQSSLMRAQPAPKAVHFEDCQGISVKGITLQNSQSYHLTFTRSSDVEANYLRVTSPEDSIDTKGIHLVDSFNVHVMDNLISTGVNNSVDYVEKIKVDTLFISNAENGVRVRTTKSGGGGFARKVKFESIVMRNVTNPIIVDQGNSDHLPASSEAVAPVHTLIKLLSLSVFTATMMGSIRVSSVSELTRWKSCTAQAAATAVQVEKINYIDITGTSASKHAVTFSCSDAKPCRHLSLVNVNLSRVDGNKASSYCRKAFGGASAPFL >Sspon.01G0018320-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:73842037:73842747:1 gene:Sspon.01G0018320-2B transcript:Sspon.01G0018320-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSSKTQEALALVALAVLANAAVAADDASSCPKLTLHNLCPYPVWPLVTANAGYLSVAGTDARLDGNGEGLVTMALPQGAWSGRVVARTGCADDGMACGDGCSPPQCETGNAPPATVAQVSVGGYGGLAVYSVSLVDGFNVPMVVTPSDFAAGAQCPTLGCAVDLTQDQECCPAGAGAPHGGCGAGGTQAALFKAKCPDTRTTSTDVEATPQDCIAPGELKVVFCPARDCTASCN >Sspon.06G0029420-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:22630793:22633692:1 gene:Sspon.06G0029420-1C transcript:Sspon.06G0029420-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNNEDECSDANYVLFNHETFPSCGDVSQAQCRIGTTGGRTLRRTYTALSGAFEALLASPRGDSLLGPNPNPLPHSALSFLSLLPAPLFSPPPPLLAHRADLAASGLDGSGCGRTLLRPNASPMLLERFDGIRRGERDSARQLIAAACMPSPPRAASMPLPTLPTPPHVASMPERAELPREASMDTAFEGLEEVPTSKDPHALSRSGHPPNRSVLDNRRSGRPPEARGARAANSSKQLLDSPCF >Sspon.01G0002560-3D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:68269703:68272497:-1 gene:Sspon.01G0002560-3D transcript:Sspon.01G0002560-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LKASLVDFPLEVILWIKVILWPKSDSLGLNSMEEFGLTIKAVQCDNGREFDNSTSRAFFLSHGVQLHMSCPYTSSQNGKAERMIRTTNDTVRTLLFQASLPAHFWAEGLHTSTYLLNRLPSAACPAPTPHHALFGTPPRYDHLRVFGCACYPNTAATSFHKLDPRSTLCVFLGYSPDHKGYRCFDLTSRRVLISRHVVFDESVFPFFSTTTPPVPLRRAPCRPPVPDPQRVRARRPLARPRPLRLVQTRGLRPPLLPRRVVLVADAGSRPGTCPGTSVEVRRAGTGVPAQAAAAALASWCPAGLPTAAASPTPAVCSPDGDMARGWHTAASCPRGFDRRRGGLPVPSSVRDALLDPHWRHAIEEEYAALLANQTWTQARADGSLERYKARWVLRGFTQHPGVDYDETFSLVVKPATVRTVLSLALARSWPVHQLDIKNAFLHGLLTETVYCSQPAGFVDSTRPDMVCRLNRSLYGLKQAPRAWNHRFAAFLLTLGFVEAKSDTSLFVYHHGADTAYLLLYIDDIVLTASSEPLLRRIIAALQQEFAMKDLGELHHFLGVTVEHRPAGLLLHQRQYTRDILERTRMTDCNPCSTLVDTQGKLSEVEGPPVADPTAYRSLAGALQYLIFTRLDITYAVQQVCLHMHDPREPHLTALKRLLRYLRGTLDYGLLLHRASSTDLVVYTDADWAGCPDTRRSTSGYAVFLGGNLVSWSSKRQPVVSRSSAEAEYRAVANGVAEASWFR >Sspon.02G0015260-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:35984054:35985114:-1 gene:Sspon.02G0015260-2D transcript:Sspon.02G0015260-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQQQPKQVEMNIVGASGGLSLPPGFRFHPSDNEIVSIYLTNKVRNRDLTSTVITEVDLNKTEPWDLPREAKLGEKEWYFFYQKDRKYQTGLRANRATKGGYWKATGKDKEVYNTTQGVVLLVGMKKTLVFYKGRAPRGDKTNWVMHEYRLEGSGRLPDPASASSSVANAAAMKASVSASKDEWVVCRVFDKTTRIEKMTTPTYKVSMAGAEIGQNQNNIPAIPIPMPLQPPLLVPMPMESPILSDFATDPMAPYFPNTGTGMAPMMPSM >Sspon.04G0028130-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4B:64285513:64285710:1 gene:Sspon.04G0028130-1B transcript:Sspon.04G0028130-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSRAADGDADDGEMRSRGRSCGRRAGGGDAGGGAGGCEREQRQSGKGKSDERELKIVRQLQLWE >Sspon.07G0014110-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:56481901:56488929:1 gene:Sspon.07G0014110-2B transcript:Sspon.07G0014110-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPPRTPSRRDAASPQTPKGFNPGDPVEVVPDEAGLRGAHFAAVVVGPSAKPRGGYTVEYDSLLESEDSDRRLREALPARSLRPRPPPPASGSGGPLPAEHAAVDALIDDAWWLGVALGRAAGAPGGKVRVCFPETREVMEFDAADVRPHLEWVTGEWRSPDTMVLEQFRMLGDMGFEIPKTMPYAKGMQIEVSKLEDNSVVAWSPAVVAKTIWKNNLLVDYTISKCYSTALSEEIVDVKHVRPCPPQASEISFCINDEVEAFQGDRWWVGVITNVHPEFKYTFKSAHLGTEVEVNQKSLRLRYDWVDDQWEQVSKNVAKLKFTQGVKVEVCSDDEGFRGAWFEATIVKPVGSKFLVEYATLKDDDDTKPLKETVEARHIRPCPPDIPVTDGFKLLDEVDAFCNDGWWVGVVSKVLGEKRCMVYFRPWKEEMEFEHAQLRLHYDWMGGRWMRASPQFKDGP >Sspon.05G0016550-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:67526535:67527922:1 gene:Sspon.05G0016550-2B transcript:Sspon.05G0016550-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGASGDGKPSLLSTLPKQLPADFLKEITNGFHADRKLGQGAFGTVYMVKLTGVSARWATHCVKKLSDNSPVATEKQFKNEVGNLMAIQHENIVRLYGYCHEAQKKVIEHNGRYILVDVVESMLCYEYAPKGNLDKCIFDMSSRPPWATCFKIIKGICQGLYFLHKGTDRPIVHLDLQPANILLDDNMVPKIADFGLSRLFGEEQTRINTINVVGAKGYMAPEYLYRGEISTRSDIYSLGVLIMEITTGQKNSSHDKDMSGRDFVDQARATYILLVRQTWTDEHIASKYSSLDADSLQQVKTCIETGLKCVDIDQKKRPSIVEIVDKLDGRHAH >Sspon.02G0021150-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2B:88370547:88371149:1 gene:Sspon.02G0021150-2B transcript:Sspon.02G0021150-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSRLLSSLFPEEVINMHLKWHVPLSDQGTDSMSLTAVHEGCHSPNLELPALKLGVLLIHHESAEDIEPTVESYALEVIDGKKQGKTHRNADLQDLGVKLLPRAIDYLHHNSESKMYQMSLKSRHGTAHLCVEKATTEIQTAGMVRSEATRRAQNNRETTLQEDRERWKQLSDSLLRLWVVRGSDKLHGAITDTHNSA >Sspon.07G0019390-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:71055004:71056532:-1 gene:Sspon.07G0019390-1T transcript:Sspon.07G0019390-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GGRLDVRPRPRRRRGAGIRGGRRQRQGDAHQAVLARRGERREPDGGGGGARRRRQVQRQHRRHHWPERRVRRRAGDGHRRRHRRVPDGARVRAGADAHPRSQDRRRHRRVQPLHQALI >Sspon.07G0009700-1P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7C:24650344:24653857:1 gene:Sspon.07G0009700-1P transcript:Sspon.07G0009700-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDELDRAKYPRMPWHDVQCALYGPPCRDVARHFVQRWNYAKRNKALNEQAIPLLMPHHHMVIPHYKGRSKEINDEAESKQYHDKDVDIGKSVLASRTSCQDVPLLLPQELEPRELSSSDLRMTDLDINHSDHVNKKSFNQPLLNRKAKLDSSHQDLPMRSFVDNLSSLEPSSIRRFDSSKDDRNHINKKWWEVQERGNQVASVLDVGQVGPRATCRCQVVRSVGQWSAGTTQIEGSIHNAYFSLIEKAEHFVYIENQFFISGLSGDDTIKNHVLEALYRRILRAEREKKRFKAIIVIPLLPGFQGGIDDGGAASVRAIMHWQYRTICRGSNSILQNLFDVIGPKAHDYISFYGLRAHGKLYDGGPLVTSQIYVHSKLMIVDDRITLIGSANINDRSLLGSRDSEIAVVIEDKEVVNSKMDGRPWEAGKFSLSLRLSLWAEHLGLHPGEV >Sspon.05G0012850-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5A:40483995:40491006:-1 gene:Sspon.05G0012850-1A transcript:Sspon.05G0012850-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLQAATDEHPPNIRRNQDTTPRNKNRCSDRPFAPPRDPAASKLPWKEGAEKTPAPNLNGPPQFPTEALRLAANKQELKAQDETAEENMALRFALKAVCMSMTWSGKWYHQIDGTRYKVSRMIEE >Sspon.07G0031230-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:20073209:20075466:-1 gene:Sspon.07G0031230-1C transcript:Sspon.07G0031230-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSPAQIREPASGDMRRRGVSDGRTHPIQPNAEAKPPISAPPSFAALLRRCSRGLIVAYRSHRPHCHRPTRGVGMSQNNPSQLLPSELIDRCIGSKIWVIMKGDKELVGTLCGFDVYVNMVLEDVTEYEYTAEGRRITKLDQILLNGNNIAILVPGGSPPDV >Sspon.02G0018450-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2C:62419538:62420908:1 gene:Sspon.02G0018450-2C transcript:Sspon.02G0018450-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSRPLLRRGAFLSSSVHAATALLLVVVLLVTLLRLPLSTSPHAAATLTAQPQEQTCDPTSPLDCADPRLFHLMMRSAIEAFPAVHFARFGRPVSGDPPSSSCDMAWRARSDSDSPSKATTKDYRRFAIARDPHTCAYSVLSIGDYHSGPNARKPPRGATNATIATPPPPALSRSQFAQGAYLAYLGGGDRCKPMPHYLRSLLCALAEARYLNRTLVLNLTLCLAASYAAPGTGGMPEEGKRLAFYIDVEHLHSQVPIIEERQFWADWDSWGVQGQLRARLIEDTRLAPIKSSKARDTLIVRKFGDVEPGNYWYNVCEGEAKHLLRPSRSVMRWAPSLMHIVDDIISRMQPAFDSVHINAKGEDLRQRVEEVLGGGRQVYVAGEGVNSALLESLKANHTIHYLDEFEDLWGTDNKWFLEMKRLNGGVPVEFDGYMREVVDREVFLKGKKKVEVLR >Sspon.07G0026560-1P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7C:54839475:54839726:-1 gene:Sspon.07G0026560-1P transcript:Sspon.07G0026560-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPKFNGNWSANEIEMVRSIIASHDANSIYTNNRNAKHYGIVDELQARFPRKDKRQVIDLYVDLVVEMVNAIAMSSNQLPMMV >Sspon.06G0036080-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:89547402:89550765:-1 gene:Sspon.06G0036080-1D transcript:Sspon.06G0036080-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSSGVEGTLTSPPEQQANAPVGTAMDAKLMVATASGDIQELKDMVNKQEQDSKMMVVVMAKQQAASVEKPHPQQGDMDPRLLALASSGSSKKLQTLLDGQASGHSITNGELTTRRASSYGDTEANESILEGVTAEGDTALHVVAACGQGDNFFTRFREAKHLLLRVQQNNSNNWRRPTRAERHALTTYGDGDNFLESARIIYGKAKHLLFVQNNKGNTALHCAARAGKSKMVACLIELAEGEDRMKEFLWKENKHKETALHEAVRVGNKDIVDLLMEKDLELANFPEDGGASPMYLAIVLEWDEIVKKLYDKCNLTKLLEWNKTHLFPQNKDLTTQRDENGSTPLHFAAERISSICRQVLEANPDALYQPDHAGFFPIHVAASVGASSNVDMFVERCPGSAGLRDAKGKTFLHVAVKKGKRNVIRSACRNLSLSWIMNMVDNDGNTALHLAVEAGSIRMFCPLLGNPQVNLNLPNNRGETPLDIAQDMVPGFHYNWVIHTLILFSNMGETLTDIYFASAQLLFVLHLQNSEAHICRTLRIAGAVTGIRRHDPLLEDNRTVRVKDDESKKMEVLKDSTQTLCIGSVLITTVTFGATFAAPGGYIADDHNHGGSPILARRYAFDAFIVANTLAFVFSAIATIGLMYSGSPLLNPRKRQIRLVTAVYLLSISITSLAAAFALGAYAVLAPVTHKTAVATCVLTFLVLLYMKLDLIWTRRLLLPPLCMRKGLIWALRNLAMIIVGNMLVENWPLIFIFGWAAHAKPAHIQT >Sspon.05G0007750-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:24202923:24204756:1 gene:Sspon.05G0007750-1A transcript:Sspon.05G0007750-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MANLLHQFMRELMAPRAWFLLLLPLLLLLVRYSVTTNRARKRQQLDEDVDNHLPLPPSPPALPLFGHLHLIGSLPHVSLRNLAAKHGSDLMLLRLGAMPVVVVSSPRAAEAVLRTHDHVFASRPHSVVAEVVLYGSSDIGFAPYGDHWRKARKLVTTHLLTVRRVQSFRHAREEEVSMVMTRIAEAAAAGAAVDVGDLLGSFTNDLACRAVMGKSSGRIRSKLLRELIADTSPLLGGFNVEEFFPFLARFGVLSKVVRAKSERLRKRWDELLDGLIDDRESMYEMMAAAAPASELKDEHEDFIHILLSVRQEYGLTRESMKAILLDVFFGGIDTAASLLEYTIIELIQRPHVMKKLQAEVRSSLPQHQQGRQEIVREDDLHIMAYLRAVIKESLRLRTVTPLLAPHLSMTQCTIDGLVVPAGVHVLINVWAIARDARFWEDAEEFVPERFLDGGSAADVGFRGTDFQFLPFSAGRRQCPGINFGMAAVEVMLANLVHRFDWEMPAGKAARDIDMSEEFGLVVHRKEKLLLEPKLCA >Sspon.05G0014600-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:54705173:54708688:1 gene:Sspon.05G0014600-1A transcript:Sspon.05G0014600-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVGTALPRGASVSSVWILLLLSSLLLLSPSAASVDFSHCGGCDDADDGALSSAYNILQCQKVGDFLIAAAYFSIPLELLYFATCSDLFPLKWIVLQFGAFIVLCGLTHLITVFTYEPHSFHLVLALTVAKFLTALVSFATAITLLTLIPQLLRVKVRENFLMNKARQLDREVGMMKREEEASWHVRMLTQEIRKSLDRHTILYTTMVELSKALELQNCAVWMPDETRSEMILTHQLRERDIMDPQNRSIPIDDPDVQEIKATKDAKILGPDSALGVASRSKLEAGPVAAIRMPMLRVSNFKGGTPEVMQTSYAILVLVLPNDASLRWGRRELEIVEVVADQVAVALSHAALLEESQLMREKLAEQHRDLLRAKHEAMRAGEARNSFQTAMYDGMRRPMHSILGLVSMMQQESMNPEQRLVMDAIAKTSSVASTLMNDVMQTSTVNCEHLSLVRRPFNLHSFIKEAVGAVRCLTGCKGVEFEFQVDNSLPERIIGDEKRVFHIVLHMVGTLINRCNAGCISLYVNGHNEVEERHNHDWMLRRANFSGGYACVKFEIRVRKSKDNLLSSSSSQISQGSKPSNSEMGLSFNMCKKIVQMMNGNIWSVSDSKSIGETIMLVLQFQLQPVTPVSGASSDLYRSSAIPNFNGLRVLLADSDDTNRAVTHRLLEKLGCRVLSVASGVQCMSSFAAESSFQLVILDLAMQTMDGFEVALAIRKFSSNSWLPLIVALAARIDDNIRDRCQRSGINGLIQKPVTLAALGDELYRVLQNN >Sspon.02G0035070-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:18484059:18488152:1 gene:Sspon.02G0035070-2C transcript:Sspon.02G0035070-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFRQAGGAPASAPPQSSSSSGIHHHSAAGTATRLRSLGTEPCRRRRRGRSRQWLSRCCQRAAASVSIRPISCTSHGDLANGLLDSRNLFIGGCLLAVGALDNISVFSVPPDFLDLGVEFQTTAPMGCFMRPPGAVLAPGETIIATVFKFVEHPENNENVLQKCKVKFKILSLKVKGPMEYAPELFDEQKDQAAVEKILKVVFLDVNGQNPQLEKVNNQIAEAEAALEARKKPPEENGPKIVGEGLVIDEWKERRERYLAQQQVEVVDSV >Sspon.03G0024800-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:98677773:98686817:-1 gene:Sspon.03G0024800-2B transcript:Sspon.03G0024800-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGVQQVVVVLTVVALMATVAEGFISKKTWSAIRRADRDGPFVGLVVPNAYEMDPVLNSPDFKTSNNTPILDVQGQNVVMVMTGLSMLNAGLSTQLLLSLFRVKGIVHWGIAGNANEDLQIGDVTIPESWAHLSLWNWQRHGDGPENELPLEGAGDYTREYGFLNFSDYTVGQDNPELSANTLNSVWYQPEEIFPISGTPEERQHAFWRYYRLAGKLEGLELPACVNATTCLPRAPRVTRVPRGCSANIYTDNASYRQFIRTHFGCTPVEMESAAVALVAHQHGVPFITIRSLSDLAGGGSSLSNEAATFLDIAAKNAVDVMLKFVPLLGRGGEQEQADGLAEDM >Sspon.05G0014840-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:54783228:54784361:1 gene:Sspon.05G0014840-2B transcript:Sspon.05G0014840-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIIFVFILITGNVRARRQQISFSGKIVYCRTPSEAEKAATDILHKIERMKTPGQVSLGFDLEWRPFPRRGEPPCKVAVMQLCMEKTVCYVLHIAHSGVPPILKTLLEDSSSIKVGICINNDARKMLNGYDVCVQPLMDLSTLANVKLATPPKRWSLASLTEMITCKELPKPSNIRMGNWEVDVLSKQQLQYAATDAYIS >Sspon.01G0023160-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:83991527:83992476:-1 gene:Sspon.01G0023160-1P transcript:Sspon.01G0023160-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding PDGRGQAPASDRRDPPPPAARRGRVVAPDRRRRCRVLLGQVQRAVRAGERAGAGRVHEVLRPLLRGVQLRAHGAGARRQRVPLLPRHAHRRPQEEAQVP >Sspon.08G0023110-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:52854642:52863147:1 gene:Sspon.08G0023110-1B transcript:Sspon.08G0023110-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHYYKSATDTVPMLLLLLFSLTVLLQFQGKAVALPGSSCQRWCGDVEIIYPFGIGAGCAMKGFKLDCNRTADGRTNVTFLGTIPLLNISLLQGEIRVTQRISTMCYNQSTRNISYKEGGMNLNNPPFTFSAQRNKFTVIGFNTFAYMIGASNVLGCLAQSGPCNNLTARDGVCNGAGCCQVALTGNMSNYDANFNRRYNTTSINTTDGAEYCGYAAIMEADTFHFRTTYLNTTAFWKENAGRVPVILNWAVGSETCAVASKKADSYACRSNNSECIDSSNGPGYLCNCTDGYSGNPYLTDGCQDIDECVVDVPPRCPGHCTNIPGSYSCPNEKPPSSRTVVLGVCMDQGVKSRVLDM >Sspon.03G0025060-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:94322119:94326871:1 gene:Sspon.03G0025060-3C transcript:Sspon.03G0025060-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SEC22 [Source:Projected from Arabidopsis thaliana (AT1G11890) UniProtKB/TrEMBL;Acc:A0A178WBU4] MLLLLLPQAEPAAFRSKSKRTNLCLQVEASSDLRLAGAECSPPPSQSQSSLVVSPPSASLARNPGGRRRRHARHGGAFTLPMLLTMIARVTDGLPLSEGLDDGRDLKDADFYKQQAKLLFKNLSKGQHEASRMSIEAGPYLFHYIIEGRVCYLTLCDRSYPKKLAFQYLEDLKNEFEKVNGSQIETAARPYAFIKFDAFIQKTKKLYLDSRTQRNLAKLNDELYEVHQIMTRNVQEVLGVGEKLDQVSEMSSRLTSDTRIYAEKAKDLNRQALIRKYAPVAIVIGIVLMLFWLKNKIW >Sspon.06G0012560-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:54448119:54457770:-1 gene:Sspon.06G0012560-3C transcript:Sspon.06G0012560-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLLRLAAGAASRSSLTRRAPGLAPHRRLAAAAMEECWSDWEEEDEEEAARRARASAPAPGLDPAGGGPGGVQWVVMGRPGPQKHAHAARLAEVLAVPYISMGTLVRQELSPASHLYRKIANSVNEGRLVPEDIIFGLLTKRLEDGYNKGESGFILDGIPRTRMQAEILDEIVDVDLVLNFKCADDCFMKKRSRGDICSHCGQLFDVSNSASMNCSPNIGSYTWHSQAEPAGVVGLEASRMERMRTYAKQTKQLEDYYKKQRKIVELKTSARPGETWQGLVAALHLQHLDSPTTPHKLTANKMGKRWIRYNYAPRLSLVSSAGAGPSGNNFLSVSSTGAAGPNGHLNCSCSADLPKQPEDDVDDFDPMEVYKNGRLSGRRCNLRRI >Sspon.01G0029870-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:85521650:85524589:1 gene:Sspon.01G0029870-3C transcript:Sspon.01G0029870-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGAASAQMEKHSSAAAFVEGGVQDACDDACSICLEAFSDSDPSAVTSCRHEFHLQCILEWCQRSSQCPMCWQAISMKDPMSQELLEAVEEERNAQENHARTTTIFRHPLLGDFEVPVDADDAEIEERILQHLAAAAAIHRSHRHARREGRRSRSAAHGHGHGHPQVLFFPTAAEATPGGSHSVSSHPPQEGDHERASAVTPSQPLRTVDSAEETVANTSVNHTASVNGPVGSNDRNQSSPVNQDEAGPSDAQSFSDTIKSRLQSVSTKYKDSITRGWKERWFTQNSTISNLGSEMRREVNAGIAAVSRMIEKMETRDGTGPSSATASPANIVKELSFPLQLQLLCITPRLRQLECQGQVLSEFTLDCGFEVRSAANDHRLAHRKK >Sspon.04G0033610-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:62576451:62580723:1 gene:Sspon.04G0033610-1C transcript:Sspon.04G0033610-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFCDRYGPYGPVLSYCTCTISKDKTCQQLDNRKASKILGSIAGGAGVGGGDGGLGGSTHRVLGILTMEPTFSKRSLLFIPWDFTRHTKRQITTKAI >Sspon.05G0009950-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:30972409:30978226:-1 gene:Sspon.05G0009950-4D transcript:Sspon.05G0009950-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQCLPCGPSTNAPAAHAAARSISRRRVVVEGVASATHDTDDTEEAPPRSMRTTCDLGAMSSKASLLVSKRKLIALSAFCLSLHSSRYFPALALGDTSVKIEDVTPKIFPSGPLFPTEKRIAELFETNTYSVVNIFYATLRPQLNVTGVVEIPEGNGSGVVWDDSGHIVTNYHVVGSALSKNPKPGDVVARVNILAAEGIQKNFEGKLVGADRAKDLAVLKVDAPTDLLKPINVGQSLALRVGQQCLAIGNPFGFDHTLTVGVISGLNRDIFSQAGVTIGGGIQTDAAINPGNSGGPLLDSKGHMIGINTAIFTQTGTSAGVGFAIPSSTVLKIAPQLIQFGKLPLVRRAGLNVDFAPDPIAYQLNVRNGALILKVPGGSAAAKAGLAPTGRGFAGNIVLGDIIVAVDGKPVKGKSDLLRVLDDYGVGDQVTLTIRRGSETLEATLPLEEANI >Sspon.05G0038430-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5D:42945466:42949159:1 gene:Sspon.05G0038430-1D transcript:Sspon.05G0038430-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding PGEQVEDFAVQLTNLMGEMARNSDTDLVEERAVEKFLRSMPKRYAQIVNSIETLLDFEELTIEDVTGWLKSVQDREQAPESDSAAVGGKLLYTAEQWRAFDKEEGVRPSKDRRRRPHGGKKNKPRDDRDGGGAGGADGKRKANRDDLCLNCNRAGHWARDCPHPRSDRGDRERGGAAAHVAEAEEDATLFCAHGSLELDEEGSGDKHQASILFTAGANLNLNEPRARMTGRRELFTDLDTSTRGTVRFGDESKVEIHGVGSIIFEARTGEHRVLHGVYYIPALRNSIMSLGQLDKGGSKVEIEDGVLRIWDRRKRLLVKVRRSANRLYILHLNAAKPLCLAARKDDEAWRWHERFGHLHLDALHQLARDDMVRGLPAIDHVGQFCDTCVITKHCRTPFPAEAQYRAQDPLELVHGDLCGPISPATPGGRRYYLLLVDDATRYMWVALLTTKDAAADAVKHLQAAVVTAVHLLNRVPTKALNGMTPYEAWHGKALAVAHLRTFGCLAFTKDLTQLKKLDDRSHPGVFIGYADGVKAYRVLDPATQQQGRRESSSGGRGVHRRAPYSDHVPAPTTPHAPTTSSAPTTSQSTAPGELGSTPAAATAPSTSQIELATPLEDDEDRLDAFYDNEPLHYRTVTNIIGNEFPPGLAPRLFTQLHLTHAGEPTNYAEAKGEPTWEAAMKQELESVEKNKTWELVDLPVGHRPISLKWVFKLKKDEKGRVTKHKARLVARDFVQQEGIDYDDAFAPVARMESVRVLLALAAQEGWKVHHMDMKSAFLNGDLKEEVYVCPPPGFAVAGEEGKQSAHKAAVYRRGSGRTVLLVGVYVDDLILTGADQEEVKCFKAAMKEQFDMSDLGLLCFYLGVEVRQDAKGITLRQAHYAERILELGEMTGCNPTATPMEKLHLSRESKAEEVDPTHYRRLVGSLRYLVHTRPDLAFAIGYLSRFMEQPTMKHQQAVKRVLRYVAGTLDKGLHYRRAPGTARFIGYCDSDLAGDIDTSRSTSATMFYLGDCLVSWQSIKQKVVALSSCEAEYIATTIAATQALWLSRLLGELLGRKVELKVDSKSALALAKNPVFHDRSKHIRTKCHLSECLEEGSIKANYIETTDQLADILTKSLGKAKFQEMRRIGLKQIT >Sspon.03G0019550-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:60945917:60946290:-1 gene:Sspon.03G0019550-2P transcript:Sspon.03G0019550-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSSTLLVILCLQAALVMGIFAAVAKENAVGESKAIDINPGQLKCCTNCNFSFSGLYTCDDVKKDCDPVCKKCVV >Sspon.04G0028740-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:67826194:67829830:-1 gene:Sspon.04G0028740-1B transcript:Sspon.04G0028740-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AEVIELTALRYNSLRISMSCFSIFCKKRRATRQPSSHHNEDVPGGTNITKYTYKELVRATDNFNQSNKIGEGGFGSVYKGQLRNGTIIAVKVLSTESRQGVREFLNELVAISASHMIIFNIQFNWETRVNICLGIARGLEYLHHGVSPHIVHRDIKASNILLDRDLTPKISDFGLAKLLPPNATHVSTRVAGTLGYLAPEYAIRGQVTRKSDVYSFGVLLLEIVSGRSNSDTRLAYEDQILLEKFPEITNGVLLLQTWMYYEQGILEKIIDRSLGSDLDVAQACRFLKVGLLCTQDVTRHRPDISKVIALLTGETDVESERISKPAIISDFMDLKIRSMRKENDIATSSTFLSSLMAHSSPMLSNETTQASMTSTGISDLYVRRTHNKAPQCKNKAGSINFAGTVQLSAPVRMYGTRT >Sspon.02G0020950-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:68511206:68515732:-1 gene:Sspon.02G0020950-2B transcript:Sspon.02G0020950-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTRREIVSYHPLPAPGVTNSLKDEIQSKVTETVSNAINSFDPSTLPRHIEGALGTAGNLISSFEPKWSGKKEFDFNGEADFLDGYQCPDEYWGSAPVKAQKPVNIKNLLGGVIAIIGRNLGNTEVEQPKDTKTSVSFLGSSDDGNTFLHSSVYMPSAPPVLDEEALNYNIYRAVLEAEPPEWLPDSYASACMQCAAPFTALTRGRHHCRFCGGIFCRACSKGRSLLPAKFRERSPQRVCDACYDRLDPLQNLLINSVSNASQTAKHDVMDWTCARGWLNLPIGLTMEHEIYKAANTLSSYSQVARINPEKSIPHAVLSGASGLAILTVAKAGAILTYKLGTGLVGGELMDFIIVLRGPEAVRTFCSRMHFSLGAGVSAAAGPVGRVLEADLRAGDKGSGVCYTYSCSKGAFIGVSLEGNLVATRMDANLRFYGDPYLTTSDILTGNVEQPNAAKFLYTALDDLYSGLDDLYRLRHKHRRGPIETKITKNSEDCKDLKKMNEMVQKLQQCLCKRLTSSSTYRSCRPASSPTTSSRSFLALSASIFPSSSSPSTTITRLTASATSSLPNDPSLSRRATSTPTPRSAMIRAMRPWSTMNGSMTSGWPNRRLSTTEPQPQCVRNAPTAACARTRICGTQPVHTAPRPRVRASNPSGSSGGDVDAAVPLGRRSAHRKRAPESSSPRASSCTFSGVSGASLPSATYRTLPDGCSSSHCKAPDERAAASLLLLPLPWSPSSSSSRRSSGSRRPVGRTGLR >Sspon.03G0002410-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3A:6071751:6073002:-1 gene:Sspon.03G0002410-1A transcript:Sspon.03G0002410-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVMAKVVVSSVGPKVAASGRGLHAHAHAHRCWPLQSADQARWSACCSGARDRNSRKKKGDHATAKGRSQPVILDYLGPV >Sspon.07G0006470-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7A:16838207:16838847:1 gene:Sspon.07G0006470-1A transcript:Sspon.07G0006470-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MYHQGRTKESSKWRVVATYGMIRISSESAQMDSLEDVYQLKKEFRSLKDATHHHMEDIMGHFVLMQRSGKVDFSGQQWNYEYILVTVDYVSKWVEAMSCRAADSKNSKKMFHEIIFPRFGVPRMVISDGGSHFIDRNLQRYLSSFGIQHNIATPYHPQTSG >Sspon.03G0000150-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:10329532:10342013:1 gene:Sspon.03G0000150-2C transcript:Sspon.03G0000150-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCILGKLATAPGSSLFFPAAATIAGGGGDNKEVQLQAPQPEHIAAVKKDASGWPLWLSEAAGDALRAGRPAVPTPSRSSRSNVYKAIEVESGRVVALKKVRVDGVGEAESARFMAREIALLRRLGDHPNVVRLNGLVTSRLNTAPSLYLVFDYMEHDLTGLTACATASGRRLSLPQVKCYMKQLLSGIEHCHNNGVLHRDIKTSNLLVSSDGILKIADFGLATSYDPENVRPMTSQVITLWYRPPELLLGATHYGVGVDLWSVGCILAELLLGEPIFPGRTEVEQLHKVFKLCGTPSEDYWEKMKFAHPTFKPYQRCLAEKFKDVPPSTLSLLETLLSIDPDMRGTATDALNSEFFRTEPYACEPSSLPRYPPCKERDVKLKYEKHKRKSRINGSVERHRNRQHTLQNPGRRVFTPDVNNKPQANPKVPRLVTSTSTTKFERFPPPHLDASIGYSLDPSADGATEEFFSSSVVELKKMPSLIVGHVKSYLNSPKKGMHKAKPSLNMAPSTVLIGAFRPYSFGQPMETEWTREEDEKLLHLAKLMPTQWRTIAPIVGRTPSQCLERYEKLLDAACAKDENYEANDDPRKLRPGEIDPNPSQSLHAKRKAREKQLEEARRLASLQKRRELKAAGIDTRHRKRKRKGIDYNAEIPFEKRPPSGFYDTVGEDRPLEHVQFPTTIEELEGKRRADIEAQLRKQDIARNKILQRQDAPAAIMQANKLNDPEAVTKRSKLMLPPPQISDHELEEIAKMGNAGDPALADELGEGSTATRTLLASYSQTPRLGMTPLRTPQRTPAGKGDAIMMEAENLARLRESQTPLLGGDNPELHPSDFSGVTPRKKEIQTPNPMATPLASPGPGITPRIGMTPSREGHSFGLTPRGTPFRDELRINEEVEMQDSTKLELRRQAELRKSLRSGFASIPQPKNEYQIVMPPITEDEKEEAEEKIEEDMSDRLARERAEEQAKLEALLRKRSQVLQRSLPRPPAASVRQFLIRSGESRSRSTFVPPTSLEQADELINEELFRLLEHDNAKYPLDEKTQKEKKKGSKRQQNGGPLVPEIDDFDEDELKEKRMDDEAKKASRLEQKIKLLTQGYQVRAGKLWSQVQDTFKQMDTAATELECFQELQKQEHLAASYRILNLTEEVNKQKALERTLQSRYGELVSGFQRIQEQLEEHKKQLKVQEAIEAKNRALEEEVAAPNHAAEEEDERKPLSSEEKSQQTSRATDEEAAGSRGTTEDQMDVDSGNGDGGVVSSSSTLRSAT >Sspon.08G0008180-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8A:29717552:29717899:1 gene:Sspon.08G0008180-1A transcript:Sspon.08G0008180-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MANHQEKPTTPPPPPPPEGGVARPRLPGGGGAQAGSAGYPNPPDAAIPDAATLRDQWRFAVRQYSRWYSHAWGTAILAGAAFFALGWLVKGSNPLPSRAEPQHDANSKSVAKEEN >Sspon.07G0020200-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7A:75100415:75103557:-1 gene:Sspon.07G0020200-1A transcript:Sspon.07G0020200-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAIGWLASPIISEILKKGFSYLGFDAPKKLKQLETRLLLLERVMEAVEESPHRPRLEKLFRELKSAFCEAEDILDDIEYYRLERQIKDNEVKLDGDASSRMRGVKRKLQSATPRYPLKDQESGMSKNQLKNGLEKIETIINDACQILEHLNLPSVANSNGRQNFPANSRSAVTTGAPPLKVFGRDKERDKVIAMLHKKECDGQQKSKRDIPYSVLGIHGIAGSGKSTLAQVVYAREKKDKQENKVGHFDLVMWVHVSQKFDLDMIFRELMEGATGSLCPTFNNRNTLQEKLEEKLHGKRIFLVLDDVWYNSRDARKQEELQQILSPLKVGETGSKILVTSRSRDALLALGSAEGRCIPIPDLDDEAFFEMFMHYALGDATIDDDHDRAKLEMLGEDIAKKLKWSPLAARTVGAQLCLRPNVEFWRRTKDRALLNDTMGALWWSYQHLDEQVRRCFAYCSIFPRRRHLKRKELVQLWMAEGFIKTSNAEEELDGIGQEYFDELLSASFLQLGERRLEDGCEVDYFTIHDLLRDVAEEAARGDCFRIEKDFTGEVPPDVRHVLVGSCDIKMVAKKISELQNLRTLIFDDHLPPDDEVFQGMFRRFRKLRVLILRFISDDGDDTFSVPECIGQLKHLRYLRFDVFGETLILPSSITKLYHIQLLDVSDFIDVVFSGGKNMHHLVNLRCVSSRVDLDIPNIGRLKRLQVLPYFSVIKKQGFELRQLKDLNKLEGRLLIRNLENIESKEEAIEASLADKERLTTLVLSWGNDKSCSPEEVEAEVLEGLCPSKYVERLEIWNYHGSTYPNWMVGKQNGGPKRLHNLGLHNCTRLEPAPELFEVFVHLRRFSLWFSNWPVLPDNIGQLTSLQVLDIGHCSNIRSLPALPRSLQKFWLVSCNKEFM >Sspon.01G0018720-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:70651956:70666155:-1 gene:Sspon.01G0018720-3C transcript:Sspon.01G0018720-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDDTFYHKDKYSCFDQSTSYLHVQSLRDFPTEKLSGEVVVVRLDSSLILGHLGPCTSSVERALLTIKYLCKARAKVVIATSWDTILQSVIESVGSFAEYLSSLLQIEVIPVDGVHGSTSFKQEEWAQNSIILVENLLNFTGEVGNCKDFSRKLASGATIFVNDSFSLSHKILASTVGITCFCYASLAGFHFEEELMQLIKITDTMRRPYIAIIGGSNFLRKAPALQMLTSLCDGLFFVGKLSFQIMNGLGMTVPSQFIERNAVEEVLQIIQVARDRNIPIYYPTDLWCLKNGDSETLGVINSTGQLAGWTPADIGPLTLEKISSIIPSYKKILWIGPTNYGLTEKVSVGGTQLGEILEKASSDGCDVILVGKAACKAIKRKTDSSSQYTEFQNATAVWEFLKGRILPGIAALDKLVIRCLQGMASDEKRNLYFVSTNATSTFRSIVSSYPGRLTLVTIQCPNPDFNKEQNRWRMVRRMLVEAVVDLLHTNGQVYLQSDVESVLLVMKEQFLSYSKGQLVMDGESGMVATGSITRSAWYPTGSAMFSLAELPCTGRC >Sspon.06G0008680-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:31102819:31112257:-1 gene:Sspon.06G0008680-2C transcript:Sspon.06G0008680-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAVMIADGRGIDVVLNEESKRETPAIVCFGDKQRFIGTAGAASSTMNPKNSISLIKRLLGRKFSDPELQSDLASFPFRVTEGAGGFPLVHVRFLGEERTFTPTQLLAMVLSNLKGIAEGNLKTAVVDCCIGIPVYFSDLQRRAVLDACIRSSGNSRTCTTRRFWVFTRMVYLPMAYLFGKKFVGTITPTILELRDELYSVPYSEVDWKKARDTCAKVDLIYPRTMAQNLVWTCLNKVIEPMLNCWPVNKLRDIALKNIMEHIHYEDETSKYICTCPINKALDMICCWAENPNSDSFKKHLPRIYDMLWLAEDGMKAQVYDGNPTWETSFIVQAYCSTGLINEIGSTLRKAHEFIKSSQIRENHPNYKTYYRHKSKGSWTLSTTDNGWSVSDCTAEALKALMLLSKISPDLVGEPIEGQSLYDAVDCLLSYVNNDGTFSTYECKRTTPLLEVLNPSESFINIVVDYPSVECTSSVLQALIMFRDLDHGYRKEEIQNCIESASKFGSWGVCFTYGSFFAIKGLAVSGRTYENNDTMRKACNFLLSKQQHTGGWGETYLSSETEVS >Sspon.01G0023340-1T-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:81760143:81761938:1 gene:Sspon.01G0023340-1T transcript:Sspon.01G0023340-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAADISLSIAGQTRFALRLTAALSSNTAAPARNTAFSPLSLHVVLSLLAAGAGHATRDQLLTALGGGDEPVAAEILHALSEQMVQLVLADGSGAGGPRVAFADAVFVDASLKLKSAFEDVAVGKYKAETHSVDFREKAAQVAGEVNSWVEKVTSGLIKELLPPGSVDQTTRLVLGNALYFKGAWTEKFDASQTKDGEFHLLDGGSVQASFMSSRKDQYIASYDNFKVLKLPYQRGEDKRQFSMYILLPEARDGLWSLAEKLSSEPEFMEEHVPTRKVPVGQFKVPKFKLSFGFEATDLLKGLGVELPFSSEADLSELVHLPAGQKQNLCVSSIFHKSFVEVNEEGTEAAAASAVVVMFCSFSMPTDFIADHPFLFLIREDTTGVLLFVGHV >Sspon.06G0027680-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:88721682:88728395:-1 gene:Sspon.06G0027680-2D transcript:Sspon.06G0027680-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGPFLLALDHRLTHYPLCTLDPLLGLSHGGRPGCFSTVREKLIADMAQEEVSMLLGVSSEITKLEDNMEGLKAFLKDAERRRITDTSVQRWSTKLKNAMYDATDVFDLCQLEADKRRESRGGGTVEHKSPGCFQPLLFCLRNPVFAHKIGSRIKELNQRLEVINKEADQYKFNIGLGSNPEPRKLTAAELSSYRSSSHVDESAIVGEQIERDTRELVQVLTADADNNHTIKVVSIIGAGGMGKTTLAQKIFSDATIQEHFKTKIWLSITKQIDVIELLRTAIENAGGDHGGRQDKSTLTEILINTVSTGRFLLVMDDVWSPEAWNNVLCVLVTNASKKQPGSRVLVTTRSAHLPQQMQAPLHQHRVKPLENDDAWSLLKKQLQPDQVDGIDQLKTIGMEILENCDGLPLAIKHEWKAVLNKPAWSLTGLPPELDNRLYLSYEDLSPQIKQCFLYCSLFLKGEEIIQDVVTQMWISEGFIQPLDGCSTISDEYGFEEMATEYYQELIKRNLIQPTEVYSLTGYSCTMHDVVRTFAEYVAREESLVVIGREQAATGGGGGGGIHVRRLSVGRTVSVVEWGILQRQESLRTLIINSTANFHLPGDLLGSFSCLRVLYIRSADSDTLVPSLSKLKHLRYLHLEDTNISRLPDDIHKMKFLLFINLLGCKKLGHLPSKIIKLVHLRSLYTSRSNVSAVPKGFGELTNLRLLFGFPVQMDMDASGSSWCSLQELTPLSQLRKLTLCDLEKVQDSWMAEKAMISSKRHLEYLELNYSSSGHNIGTNTGEAEQQQQQQSVIEEVLEKLCPPTCVNTLRVTGGYIGRKLPNWMCAPKSVEFKSLRYLTLQKLPCCTQLPDGLCCLPCLEMLRIIDAAAIMRIGPQFQASSSVAVGTSAASTSAPFPKLRNLQLTGLREWEEWEWNDCEEHSDVETAVAMPYLENLYIRNCKLSCLPPGLASSKRHNLRELWLYELSNLTHVENFPSVVNLDVFDCPELKKISGLPMLQMIRIVRCPKLEVLEGVPALDSLVLEDATMDTLPDYLRAVSPRYLELDCYKKLHESFSSPGSSEWNKISHIVKRNINCIEDFRSKKLHRRFRYKFGWIFR >Sspon.01G0000660-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:1564298:1568755:-1 gene:Sspon.01G0000660-1P transcript:Sspon.01G0000660-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDNGDDGADSLVELLLTYQELGNDLKVDNGFASGCVPQTVDDSDDDDILDNWDDEDAGERSTRVANSVDDSGDEDFLHEMSHKDDKIDSLVKMGFPEDEAALAITRCGLDASISVLVDSIYASQTAGDGYCGNLSDYEDNSYGGINKGRFMDGNKKKRKRYGGQAQGSRGPLDGSADEPMPLPNPMVGFSLPDQWPRPVNRDLPSQAMGPPYFYYENVALAPKGVWTIISRFLYDIQPEFVDSKYFCAAARKRGYIHNLPLQNRSPLLPKPPRTISAAFPRTKRWWPSWDPRQQFNCLQTCVSSAKLLEKIRVTLTNSSDPPPPRVQKLVLEECRKWNLAWVGLNKVAPLEPDEMEFLLGFPKDHTRGISRTERYRSLGNSFQVDTVAYHLSVLKDMYPQGMNVLSLFSGIGGAEVALHRLGIHMKTVISVEKSEVNRTILRSWWDQTQTGTLIEINDVQTLTSERIETYIRRIGGFDLVIGGSPCNNLAGSNRHHRNGLEGEHSSLFFQYVRILESVKSIQRL >Sspon.02G0036110-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:22825486:22829623:1 gene:Sspon.02G0036110-1B transcript:Sspon.02G0036110-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSRVHQGAADFEETLFVRCNLYCSGGGATGKPLKFEPRLFLVSAVAVEAPELDLGRNAVDLSLLVKESSERSQQGERVRQWDMAFPLAGKAKGGELVVKLAFQIMDDGGVGLYSQPAVAGKIGSSSFARKHSKSSFSITSPKVVRSEPALIPPKGAPSPDLLGIDDFKLDEPSPVVAEVKEEQQKEPERVPEDAKADDSEFPEFEFDIVDKGVEVQEEEEDERKEEADDKKETGKEEAEEEDASAAAGDEVVKEVVLDSAHTWRLNELEAITNQIKALENMMHGDVLEAGAKSPERQEDEALAVLDADEEEVTREFLMLMEQGEDKDDANAKSSAPQVSSLKSGAKPGSGVDATCYISDLGKGLGPVVQTRDGGYLAATNPFDIPPFLLRDQKLPGSGAEVFQRLCAGGSEALCAKLGALISMDDVVGKTAEHIAFEGMASAIISARSKDLVASSTAAESVSLLRTMSVAMNYGRQDRIATGIWNAQEAPVTVDEILAFSLQKIETMAIEALKVQAGMTDEQAPFEVSPETAQAGHLLDTAVPPEEWVTACAGADAVTVLVVVQLRDPLRRYEAVGAPSVVIIQAVRAGGGSDDEPRFKVANLHLGGLRLKSPDRRNMWDGEKQRLTAMHWLVAYGLGKAGRKNRAVVAGKAGNEVLWSMSSRVMADMWLKPMRNPDVTFTQKDETILSAGFETTVPTAPEI >Sspon.01G0012940-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:34877819:34878543:-1 gene:Sspon.01G0012940-1A transcript:Sspon.01G0012940-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRYHLKQYEKEHMKMAMLKQEETFRQQVQELHRLYRVQQLLMAGAANEATMAMPPAATRCNLEDDDERRAAENDAGSSRSWDDAYSQSQQQGNNKAPPPLVLQESELELTLALGCFGAAGTKKAVAAKKEASSSVDSRTSFSSSSTESGSLDCGGFRLPRRPSLIGSVPGQPTSAGQRLEQEGLPQPPWLHKCLNLAR >Sspon.01G0046500-2P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1D:91881291:91881781:-1 gene:Sspon.01G0046500-2P transcript:Sspon.01G0046500-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MENDVKEARQGQQQHRVFPWGMLKVFLLLGLGLSAAGMLMARHGHEVAAATLFRPWLGVSPAADADAAVAAAAAAEGLEHSMTDEELLWRASFAPGVRRYPFRRVPKVAFMFLTRGPLPLAPLWERFFRGNEGRYSIYVHALPSYRANFTSESVFYRRQIPSK >Sspon.07G0015960-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7A:56774724:56775370:-1 gene:Sspon.07G0015960-1A transcript:Sspon.07G0015960-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMHSGNTDGNGQNITAGTNTTAAVTLPLITTGGSTDRMRWHLHHCRMVRRSGVDVLVITAGWYAGSALTLSHHSQMVHHHHRMVFWPSVDGSIITTGWYAGPALMDASSPPDGTPSQRRFLHPHRRMIRRADVDGRIITIGWYAKQASKDTSSLPDGTSGQRW >Sspon.06G0013220-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:68781701:68782480:1 gene:Sspon.06G0013220-1A transcript:Sspon.06G0013220-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVILRAAAHAASSAAWAASRCATAAAAASDSLMRASSVAAVGTARSPASSRSVRVPVAARQGSGSTIAQLPSRFVKPDWAIFEADEKDLESDEALWALYERWCKFFKQERDRDEMARWFSSFKETVLCVEENKRSDLPYKLGINQFADGKLWELYGKTTMHHIKTIPYSASPIYARAPAFLFKEDPKGPGPAGPGGRRN >Sspon.01G0040470-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1B:41022418:41022834:1 gene:Sspon.01G0040470-1B transcript:Sspon.01G0040470-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLGPSFPPASQARWDSTLFMGVKCIFSTTPEVEIFSKSSWIETKANGGHWGDQTLNRTRSRHNRTRPVSDSSRLARELGFTTGASSHSRNRRVRSGARGTANAKGRSDAVARPVTIDRTRQVVSGCFLESTRRWHCG >Sspon.01G0014710-3C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1C:22541824:22544335:-1 gene:Sspon.01G0014710-3C transcript:Sspon.01G0014710-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVRSCRRKQQQCRGGGLLMLLLLLAAAAVPARGQRSDGVVIAQADLQGLQAIRQALVDPRGFLAGWNGTGLDACSGGWAGIKCAQGKVVAIQLPFKGLAGALSDKVGQLTALRRLSFHDNIIGGQVPAALGFLRELRGVYLHNNRFAGAVPPALGGCALLQTLDLSGNFLSGSIPSTLANATRLYRINLAYNNLSGVVPTSLTSLPFLESLQLNNNNLSGVIPPTIGNLRLLHDLSLADNLISGSIPDGIGNATKLRKLDLSDNLLGGSLPESLCSLTLLVELNLDGNDIGGHIPACFDGLKNLTKLSLRRNVLDGEIPATVGNLSALSLFDVSQNNLTGEIPASLSGLVNLSSFNVSYNNLSGPVPAVLSNKFNSSSFIGNLQLCGFNGSAICTSASSPLTAPSPPLPLSERRTRKLNKRELIIAVAGILLLFFLQFCCVFIFWRKDKKESSPPKKEAKEATTKTVGKAGSGTDTGGDGGGKLVHFDGPLSFTADDLLCATAEILGKSTYGTVYKATMEDGSYVAVKRLREKIAKSQKEFELEVNALGKLRHPNLLALRSYYLGPKGEKLLVFDYMPKGNLASFLHARAPDSSPVDWPTRMNIAMGLARGLHHLHTDANMVHGNITSNNILLDEGNDAKIADCGLSRLMSAAANSSVIAAAGALGYRAPELSKLKKANTKTDIYSLGVVMLELLTGKSPGDTTNGLDLPQWVASVVEEEWTNEVFDLELMKDAAAGSETGEELVKTLKLALHCVDPSPPARPEAQQVLRQLEQIKPSIAVSAASSFTGEPSHTTATATS >Sspon.07G0009140-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:25424719:25430180:-1 gene:Sspon.07G0009140-1A transcript:Sspon.07G0009140-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHARHVLVPSVFLFVVALLLLPSPGWPRSKLFPSWLPPVNRRERDSADDGHPPCDYSDGRWVRDDAADVTTYTEDCRFLDPGFRCTRNGRSDSSFRHWRWRPHRCDLPKFNATDMLERSRNGRIVFAGDSIGRNQWESMVCMLAAAVPTTGRVYEQSGKPISRHRGYLAMVFADYNLSVEYYRAPMIVMVDRFRPANATTTTSGGMRGAVRLDVMPRHADRWAGADVLVLNTGHWWNHHKTVKAYVYMTLGKYFMVGDRLNRTMNIKKAFRLSLQTVKNWELSNARFSKSYFFFRSYSPSHYRTTVAKLSSRNHGFSPPENGSNGTWDIGGTCAGQRDPLMTTNANFGDEYSWMNAMIAKMTDGIMSHGRKARFLNITHMTELRPDGHPSGHREPGTPPGAPEDCSHWCLPGVPDVWNQVLYAHLLSTGYDRRMKERTSRIGASPVVPSCMLNGDFSLSNMVTWSSSLVDVAPTVGVNHAQLAATSSILRILLSTCTDGDDVEHGMAIGDTKICDSNNIGSHK >Sspon.02G0035330-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:18584980:18589500:-1 gene:Sspon.02G0035330-1B transcript:Sspon.02G0035330-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGSAKPLAAPLQPPSPAGRRLAPLFCAPDSSPALTRAVESPGQSQSDVAPPPRPDEVASSLARRASPQLSRWSRLRTLRSNRRPGLDRAVLSSASSSASAPPVTKTSRPEDAAVAVEDGEDDVVSNGKSIYIVSDGTGWTAEHSVNAALGQFEHCFLDRGCAVNTHLFSMINDMDRILEVIKQAAKEGALVLYTLADPSMAESAKKACDFWGVPSTDVLRPTVEAIASHMGVAPSGIPRSSPSRQCQLTEDYFRRIDAIDFTIKQDDGAQPENLNRADIVLAGVSRTGKTPLSIYLAQKGYKVANVPIVMGINLPKALFEISQDKIFGLTINPVVLQAIRKTRAKALGFGDGQSNYAEMDHVRQELLHANQIFAQNPMWPVIAVTGKAIEETAAVVVRIYLDRKQKYSMPRISKRIYPILIQT >Sspon.02G0001400-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:4816787:4820195:1 gene:Sspon.02G0001400-1A transcript:Sspon.02G0001400-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAPAGAGAGNSSTSSDAAYRSNLNALAAILVAGAWANGSAVSAAGASPSSPSPDAAYGLALCRGDFRGDACARGLRDALSSAINDSENAFGCGPPRLRDITLFYDRYQLRLSGADFLSGDAGGGGNEPRWAGNNTNFVAPADAARRFDALVRELVTTIAGVAAGKPGRYATGRSWFEEQRLTLFALVQCTVDMSPDRCRACLDGLISAFPATFPSGQHGGRILVPRCTVRYETDDTFFNTADLSVDLHKQKQAKLLARSELRRLSIAVKNVINLWRLEEGNSGFSLYDFSQMKGATNGFSIENKLGQGGFGAVYKGVLPDGLEIGVKRLGPCSLQGLLEFKNAIQLIAKLQHRNLVRLLGCCIEGEHEKILVYEYMPNKSLDLIIFDSKKGASLDWPKRLNIIEGIAQGLLYLHIHSRLCVVHRDLKASNILLDSEMNPKISDFGMARIFSSSVAESNPTRIVGTHGYIAPEYASDGVCSVKSDVFSFGVLLLEIISGTMTTGSYRFDGKLYKLIAYAWLLWRSGQWPELVERSLLGNGTYDYTMERHVHVALLCVQESADDRPAMDEVVRMLGSGEGAVLPEPKQPAYFNVRPVGTEMSASCDMTISITLSR >Sspon.05G0008180-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:26786334:26789052:1 gene:Sspon.05G0008180-1T transcript:Sspon.05G0008180-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIRGFVDWRGNPINREVHGGVRAAWFIYFLTVVANIVNVPNMLNMVTYLHGTMHMGVSRSATTVTNVLGATSGFALIGAFLSDSYITRSRTILIFGPLEFLGYGLLALQAHLPSLHPPPCNIEAKPSNCKEVHGWNSTLLFASLYISAVGDGCMRACMPSLGADQFDHENPTESRQQSSFFNWYTFGISFGGFIGLILVVWLQNDKGWDIGFGLCAILILLGLLVVAVGLPFYRNQIPEGSPLTRILQVLVVAFRNRSLELPEKLEEAKESSAEPCSIEVLPETKSLKVESIALFLVLPIINYSMHLDRILDKACINRGKDGAWSLCSRTKVEETKIVLRVLPLFISSTIGYVSNPVLFTFTVQQGGMTNTRLGKIHVSPATLFIIPTIFQMVMLPIYDQFLVPFLRKRTGYVSGITHLQRVGMGFASIILASVIAAVIERKRKEAAVQMSLFWLTPQFFLLGVSDVTSFPGLLEFFNSEAPRGMKSIAAALFWCVLGLSSLLATFLVQIVNRATRHGNQAGWLEATSLNSSRLDLFYWVVAVVGLLAFFNYLFWAKRYVYRHNPRIVVTDEPSVDQDPH >Sspon.03G0000990-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:2741702:2747039:1 gene:Sspon.03G0000990-1A transcript:Sspon.03G0000990-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGATLNWVKTPFDTRRFHDLSSLSFRCRNPFGSIQPWWLPIDQDSSLIKVRVAADYSDSMPDSKYTRDRGYHPLEEVKERPKKKDLLLTDVETARTVVEANSKGLLVFPARVHNEPHGLVAWSEFQYVVDDYGDIFFEVPDSENILEDDTANNPVTVLIGLDRPIIGESSVAISDFSDYMDGENLMDVPDEHHTKIDTEITDILIEWGMPATMRAIHPIYFAKCLTKAVHNNNGKKMDSPSNGISIVGYLRPAFIEEESYLRSLFHGECSSDRYSSDWKVNETDEYNRETQPVSGTNRLIDGDKSRFDFYDSGTSTDSMIYKLEIMTIELFSMYGKQFMIDPQDFQDSEPDILANSASAIIERIKENGDQCAMALRSLCRRKKGLTVEEASLIGVDSLGIDVRAFSGLEARTVRFSFNAQALSERSAEKKIRRMLFPRSQRKNVKTSTEDVNTWFSLESM >Sspon.03G0028460-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:9399059:9401927:1 gene:Sspon.03G0028460-1B transcript:Sspon.03G0028460-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNTQNQPLPPGVGTWPQATPSHQPQCHADLQSYHPLDARPDNASANSSGSAANIESAVQEAVLHAQDIETQQVIQNQRYANTTSEPTTYGEDLLSNRRDPSALKEHLLKMTADHRAEMASKRGKPHHPNNGNCEIGNGYGVPGGGAYYAANLPSAQMNKPRDETDKAKCANDLPDFLKQRLRARGILKDETANKNNTGTQTVDSQESQNKSAQELPPGW >Sspon.05G0031370-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:4692130:4697607:-1 gene:Sspon.05G0031370-1C transcript:Sspon.05G0031370-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRSSSSSSGAWRYLNPAYYLKRPKRLALLFFVFVAATFAFWDRQSLVSEYESEISRLEDDINRLHDQLRKAGVHLDENPVVSNKNSRKDLVEIDPVNNERREKVKEAMLHAWNSYVKYAWGMDELQPQSKNGINSFGGLGATLVDSLDTLYIMGLKDEFQKARDWVAESLDFDKDYDASVFETTIRVVGGLLSAYDLSGDKVFLDKAKDITDRLLPAWDTTSGIPYNRINLAHGRAHNPGWTNGDSILADSGTEQLEFIALSQRTGDPKYQQKAENVITQLQKIYPSDGLLPIYINPHSGTASYSTITFGAMGDSFYEYLLKVWIQGNKTEHVKHYRQMWETSMEGLLSLTKKTTPSSYYYICEKNGGSLSDKMDELACFAPGMLALGASGYGPEKSEEIMNLAKELARTCYNFYQTTPTKLAGENYFFHTGQDMSVGTSWNILRPETVESLMYLWRLTGNKTYQDWGWDIFQAFEKNSRIESGYVGLRDVNTGEKDNMMQSFFLAETLKYLYLLFSPPSVISFDEWVFNTEAHPLRIVPVNDNKGIGTPVRPFGRKQGKPE >Sspon.04G0024070-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:17121228:17138707:1 gene:Sspon.04G0024070-1B transcript:Sspon.04G0024070-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSPEPSPPVTTATFPAAGEGHETYPPTVSSSLFPLFPLSASTSSAPTTESQWLSNPSFSFDASSLNIPATTSSSLPPPLSPSSDEDTPLQPAPAKYELVPSSPSSDEERGPRRKESGRRKRRREKERYDGAAASRKAGVRAWAGSETKPAKDYYVDAKGDHDNLAFGSLYRMDVARYRSQNMLEALGLNRLRFGSSHIDFDSDLDGMDNKVRAGGRYYSAKNAVFERNKGFKHLKIFKGNTSVMLAEDFVPFDTQSLPVKSTAMEPELEESWEDEMLRRTREFNKMSRELASTQPQKAARLQTTERKISILEKAVELNPDSEELLLCLLKSYGKRDSTETLLAKWEQILTKHPDSCKLWKQYLLLCQGEFSRFKVSEIRKSYMYAVQALSAACTKLCRQDNESMDSKSSSLVQLEVGLVDIFVNLCRFEWQTGHRELATGLFQAQMEFSLFAPPLYLTTSSKQRLFEHFWNSGCARIGEDGALGWSEWLAKDEESRQKLSMQENSQETETGGWSGWFDPSVGNTDANDLSNKSLEPSSSDGNDAEDLDAEDSPAQDDVESLLKKLGIDVDAESNSEVKDAETWNRWASMELSRDNEQWMPLHEKSGSIHSGDAPSGEDNDQLSRLILFEDVSEFLFSLSSEEARFSLICQFIDFYGGKISRWTSTNSPSWIDRILSLEMITNDILEDLSAVSDVVNKNQSSYSYKLESLLGSMHDLSQRPGLMKFLRNAILLLLGVFPRNHVLEEAVLVTTQMFTPQENSSSTQANASRALAKSMLKKDRQDFLLCGIYGRTEAMNGNIEQARKIFDTALLSTEATAEDLRKKVPILYLWYAEMEITVSASRNNSDSMHRAVYILSCLGSNLKYSPFVGPISRLQVLKARQGFKEQIRSLQSAFSCAGIKEESVALICSASLFESMTTGCSSGLEVIEETFPMALSESSHNLECEDLWVYYIKLLQKNLNRLSLSRVWPSVSQGVHKYPYNPKSYSAMLTLSYLYSVSNNLRLTLDKCSQRDPSVITLLFALSFEWSKAGSDNRIHSLFERALADDKLQKSVLLWRCYLAYEAEIACNSSAARRVFFRAIHACPWSKRLWLDGFQKLGSVLTLKELSDLQEVMRDKELNIRTDIYEILLEDETDT >Sspon.07G0023620-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7B:18154445:18154723:-1 gene:Sspon.07G0023620-1B transcript:Sspon.07G0023620-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RSPAGGGAWVGGDGEGGEEREAQPGVWRRRGGYWSKRPRRVAEEEQEEEEVEPVEGEDEGRRWKGRTIASSARTAVSSASATSGSPLPLLPRP >Sspon.01G0035610-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:12504535:12513164:1 gene:Sspon.01G0035610-1B transcript:Sspon.01G0035610-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Undecaprenyl pyrophosphate synthetase family protein [Source:Projected from Arabidopsis thaliana (AT1G11755) UniProtKB/TrEMBL;Acc:Q8H0V2] MDSKPMEAFVASEASVFMNQPPPHLKIQKFPALSSFKMSRPSIILKLILGLLWTITHLAISFLNLWSHLIYSLECYLISSGLLRKYQNLHLGRLKCLAIVVDSKEAKSNAKVKQLLCWFSSMGVKYVCLYDIKGVLKKSFEPAVNVSRDRTTGEHFGIGANIKDLHCSQSEMVIDCLSGSDGKEGIAKAASLLCSTYFNGDTHGDGKRKPAFTESDMASALKTVGCGGPEPDLLLMYGPARCHLGFPAWRLRYMGPLKSMKYGAIVKALYNFSKKHQNYGK >Sspon.01G0027210-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1A:95634984:95635325:1 gene:Sspon.01G0027210-1A transcript:Sspon.01G0027210-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSQAWTTTSPTRPSIPTEEPWIREENVTYEKTYEGEEGGGGLRRPEGEASTCGLGEAATVAGRWREGEGGGAGRLRLCEEEPHPHVKGGKRPNGSEAGSPTGHLQVKKSART >Sspon.03G0030640-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:19070687:19075088:-1 gene:Sspon.03G0030640-2D transcript:Sspon.03G0030640-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exonuclease 1 [Source:Projected from Arabidopsis thaliana (AT1G29630) UniProtKB/Swiss-Prot;Acc:Q8L6Z7] MGIQGLLPQLKSIMAPISAEELRGQTVAVDTYSWLHKGALSCGDRLCKGIPTTRHIEYCMHRVNMLQHFGVKPILVFDGGLLPIKSYQETKRARSRKENLERAREHEAAGNSRAAFECYQKAVDITPRIASELIEVLKKEKVDYIVAPYEADAQMSFLSVNKLVDAVITEDSDLIPFGCSRIIFKMDKFGQGVEFQITRLERNRELDFNGFTIQMLLEMCILSGCDYLPSLPGMGVKRAHALIQKLKCHEKVIKHLRYGAVSVPPQYEEDFKKAIWAFKFQRVYDPATEDIIHLSSNIAKGIALGNIDPLTKEPFEIKQECSAPAVHKVCPTREPIAPSNGRKKLDLPAKRKFRAPKVTHKQQILNESLPSPQTQGSDTPDSVEDTRLPTDHIQASQCSSEHLSSEPPQNDPISVGSQCSSERFSCEYPLDDSANISPQCSSLDGGIDPPYKDTSIKDRKVEADYGNENTISTSPCLVGNLPWTPESFLLPHNVEPSIPVQHYTESIVASKNNDITIRSSYFKTVNKRICTDQEDQLDDDYDVGIGNLSGYQLRKSGMLKRRKLSGIQNFEAVFFFF >Sspon.07G0015440-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7B:59102764:59103054:-1 gene:Sspon.07G0015440-2B transcript:Sspon.07G0015440-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLVRFEERVVVGNVIEEVVSIGKSSEYGLVVVGKGRLPSPMVAQLAVRPAEHPELGPIGDALASSGHGVTSSVLVVQQHDMSNADEVPVSVVVDC >Sspon.04G0031400-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:13071145:13075292:-1 gene:Sspon.04G0031400-2D transcript:Sspon.04G0031400-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding HGHARHGSNGPPRSLVADPAAHGHLRRRLLGVRVRGVLAGPLQRRFPVRVGGGLRAHLGRQQRALRPLRQLHRAERLVRGRSLPVPLRPPGLRLQRLRPLRHLQALLALRLGGRRRGAAAGLLRALRERLVPGEAGHGGAVQEVRRHARGRRRGGHEGLGARRARGRGGAGGRRVPCRRVRRRAGHVAVRGGPLRQVLLRLRLGRGRTAQGRVRLRRGRRGLPRQVLRALLGQRRRRFQQQRRLAWIWSSPRARRRISPAGGLRVELKKKITRRHHQPASGPDKDIL >Sspon.03G0017590-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:75954437:75958792:-1 gene:Sspon.03G0017590-2C transcript:Sspon.03G0017590-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEVVQSLKMVQRSVESQESMPTPPARPNIRQSSTTYESDGTSSMFSSGPFSGLSPFETETIPRTAVFSEDLHEVTRSLLCTPTSSRHPPPSGGAPSHTGFEESRTSWKEHRPRVHPELLRPWIKTLPRVSRARSTLHPAAAPEAARRRRRRHPRTAEQQSAWTPVQEPAKPDSHLHTLAAGAGEEPREDLIPRRRHLDADLHPDHQPARDRRSPTPPDDSKAIGDGGDHRLRRRRPRLPFAPSLNVAGTRDRQSYVGWESPMQPNARCGFSAALHAPTALVPGVRSEACRTRVFQPRSPALPRNLSAHGWQSSAPIAGAATQSKVYAPADRVLLNCGSTTDGLDADGRKWVADTNDNTWLTDSGKSSLLMAADKVDNGLPSTIPYMTARVFTMEAMYNFSVNPRDRHWVRLHFYPSSYNGIAAGGFHFSVSTSTGITLLRNFSVYTYTKALSQAYIIREFSLPPTPAGFLTLTFTPTPMGNETYAFVNGIEVVSMPDIFVDPAIMVGFADQTVDIASSTLQTMYRFNVGGSYIPPSNDSGLTRHWYDDTPYVYGATSGVTYRAGPHFQIKYPSEIAEYAAPPEVYLGTRSMGSDPRLNQNYNLTWTMPVDANFTYVARLHFCELLLSRANQRAFDIYVNNKTAQSDADVVGMTSEKGVPMYKDYVVYVSEEPDGLQAMWVALHPSVALRPQFYDAILNGLEIFKLNDTAGNLAAPDPEPSRLLAKAELGAGEHEVPESKPHPHMARVMGGTAGGAAALGIVAAICVVWYHEKKNREAASAGGSHTSGWLPLYHSHTSNKSSGHLPANLAGMCRHFSFADIKVATKNFSESLVIGVGGFGKVYRGVVDGDTKVAIKRSNPSSEQGVHEFQTEVEMLSKLRHRHLVSLIGFCEDAGEMILVYDYMEHGTLREHLYMGGKPPLSWRHRLDICIGAARGLHYLHTGAKYTIIHRDVKTTNILVDGDWVAKVSDFGLSKSGPTTMNQTHVSTMVKGSFGYLDPEYFRRQQLTDKSDVYSFGVVLFEVLLARPALDPALPREQISLADYALSCQRNGTLPDVVDPAIKDQIAPECFKKVADTAEKCLAEQSIDRPSMGDVLWNLEFALQLQDTFEGGSCGRRTVGDGSGSGTGRPALEPSNSNGSTASVTTLGTSSTSRAHEACVIMEETDDEVANSAAFSQLVCPTGR >Sspon.08G0017370-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:1449407:1454647:1 gene:Sspon.08G0017370-1B transcript:Sspon.08G0017370-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSADAAGPASSASAPPLAPLIAAQLNYLLSHSKLPIRVGQIWSGCRNGSPADRFTLAIPFCLDYVHWDFVYNALSPKVAPDVVFGPEDERFQPLVDYAVTGNGDKSCLARWDCRAPEGLLALVQELRELYIEYQKKRVHMVDDARVAFELSTVLSKEGIEVCMVPSADRPDEVKFAVPLLDSDFDFAKLVPGCPWRLPQKIHLQVIFPISRSSSYSSMPSAPRLKLISTPDLKSLLSVEDVKLPPWSNGMCLAEYLPALEDSLNGLLVEASASIGSRRRFIEALAPTFGRPLEADPIFCRRATILSISGTFTFLVHFAIPLQFPKQQPVLTLQSSQHCNADGTPIMSPPINDYPWSPRWDQAEMVERIYDFLTDECQNFKKFCSDAITQQK >Sspon.02G0012460-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:32843831:32844817:-1 gene:Sspon.02G0012460-1A transcript:Sspon.02G0012460-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNLAVPIKAMERRGIELYSDTLAALSVGHSNSSQLDWAEELLERMSEIKPKHIRVFNALLSGCAIMNEPERAVRILAKMKHVNMKPTLRTYELLAGLNYLHMVEAH >Sspon.04G0033950-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4C:67903373:67909019:1 gene:Sspon.04G0033950-1C transcript:Sspon.04G0033950-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRPPPPPPSLYSHFPPLSSSSTWAQVLAAAPSPASSALPAAAFPGALPDAGASRPAVPGQDGNRKFIPYPPLYRVIPVGYSGFGYPLPSLVPGAGAPPSGAARPPGAGAATLPPGAAFVAGGSRPAGPGAGAPNASEPPVGPGAHGDGVAVLPLPLDTTSGVRPTPPAVRPPPPATDVAPTAALGGVVGLPSAGTPDSVLAAALVAARAAAAEGQARVRAAALVWERERDAADALARQIAEAEQLLASSASPGRRLSHTAILCHDPADPLVTQLHYQAGGVQNIRLLEPESPSYARWRDLVLLTLRRYALDDHVLVDTSVAAQTSSWLRLDSIVLSWILGTISLDLHDLVRTSPDARRAWLALEGQFLGNAKARALRLDASFRTFVQGDLSVGEFYRRMKGMANSLGDLGWPVEDRILVLNVLRELSDRYAHLRTWITRQRPFPTFLEVRDDLVMEELTQGIQPGSATSPGSSSSSTALAATPPVHLHPHGRPLRHRHLSWALLPPGRAGVGGSWRSPSPWRGPRGRPGRSPPGSGTGWTARGGTMAFFPEPVVRAHLHVALPRSRDRLTSIGGHAHRSSLWRSSSRRLPSYAMDSTLRDSTWGCRLGPGGSGSLVQHHGPDTSGRTRLRALYTLRLPTATSSSPPSVLSAAFATSTSSTTWHRRLSHPGRDALMQLSRSSGLPYTRAPDEQLCHACQLGRHVRLPFHSSTSHAARIFDLVHCDLWTSPVIGLSGYKYYLVVVDDFSHYSWTFPLRAKSDTFPTLLHFFTWVSTQFGLTIKAVQCDNGREFDNSASRAFFLSHGVQLCMSCPYTSSQNGKAERMIRTTNDTVRTLLFQGACTPPPTSSTVFLLLPVPLPRHTTPSSLDPRSTLCVFLGYSPDHKGYRCFDLTSRRVLISRHVVFDESVFPFSSTTTPPVPDPPSLFPADTVVQPPFPWSPAGTASPRSSCPGFPVGPGPSSTSAALPSPVGADPGPSSPASAPGGPCRSPSPDPAPAPAPAPPSRFAAPVRVCQRRPRPPPLAVPSPPGTPTPPPQSPPARGAPPVYHPPLLHRHPRHVHPMVTRHAAGTLPPCVLAASTGDAVVSPVPSSVRDALLDPHWRRAMEEEYAALLANQTWELVPRPPGSNVVTGNPVVKPATVRTVLSLALARSWPVHQLDVKNAFLHGLLTETVYCSQPAGFVDSSRPDMVCRLNRSLYGLKQALRTWNHRFAAFLLTLGFVEAKSDTSLFVYHHGADTAYLLLYVDDIVLTASSELLLRRIIAALQQEFAMKDLGVLHHFLRVTVEHRPAGLLLHQRQYSRDILERAGMTDCNPCSTPVDTQGKLSEAEGPPVSDPTTYRSLAGALQYLTFTRPNITYAVQQVCLHMHDPREPHLTALKRLLRYLRGTLDYGLLHRASSTDLVVYTDADWAGCPDTRRSTSGYAVFLDGNLVSWSSKRQPVVSRSSAEAEYRAVANGVAEASWLRQLLAELHTPPSRSTLIYCDNVSAVYLSTNPIQHQRTKHVEIDLHFVRDRVAMGEVRVLHVPTTSQFADIFTKGLPSLTFAEFRSSLNITGG >Sspon.04G0010760-4P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:36584796:36587005:-1 gene:Sspon.04G0010760-4P transcript:Sspon.04G0010760-4P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRASHAVYPELQSLEAEKVDETSRTGYHFQPPKHWINGPMYYKGLYHLFYQYNPKGAVWGNIVWAHSVSTDLIDWTALDPGIYPSKKFDIKGCWSGSATVLPSGVPVVLYTGIDPDEHQVQNVAYPKNLSDPFLREWVKPDYNPIIAPDNGINASAFRDPTTAWYGPDRHWRLLVGSKVDDKGLAVLYRSRDFKRWVKAHHPLHSGLTGMWECPDFFPVAVHGRSRRHHHRGVDTAELHDRALAEEVKYVLKVSLDLTRYEYYTVGTYDHATDRYTPDAGFRDNDYGLRYDYGDFYASKSFYDPVKRRRILWGWANESDTVPDDRRKGWAGIQAIPRKLWLSPRGKQLIQWPVEEVKALRAKHVNVSDKVIKGGEYFEVTGFKSVQSDVEVSFAIDDLSKAEQFNPKWLTDPQALCKKRGAREKGEVGPFGLWVLAAGDLTERTAVFFRVFRTNSNGSRLVVLMCNDPTNSTFEAQVYRPTFASFVNIDIAKTKTIALRTLIDHSVVESFGAGGRTCILTRVYPKKALGDNAHLFVFNHGEVDIKVTRLDAWEMKTPKMNAPA >Sspon.07G0037370-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:53131817:53134703:1 gene:Sspon.07G0037370-1D transcript:Sspon.07G0037370-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSGNGRSIAGIAKRWRELHGENSWKGLLDPLDLDLRKSIISYGELAEATYDGFNTERRSPHAGACMYGYSDLLASSGVAAAGHYEVTRFIYATSGQPLPDTFLVQPLAALKDVWSRESNFMGYVAVATDEGAAALGRRDIVVAWRGTVQSLEWVNDLSFTPVPAAPVLGSKAAAAAANPLATVHMGFLSLYTSSHAGSKDQVFEEVRRLVELYKDEEMSITITGHNLGAAISILNAVDIVSNGVNVPAAGDGSSSTKKPACPVTAIVFACPHVGDRFFRAAFQSFRDLKALHVKNAGDVVPMYPPLAYVDVAVTLNINTGRSPYLKWPGTVQTLHNLECYLHGVAGEQGSAGGFKLEVERDVALVLEEVTRLMELYKDEVTSITITGHSLGASLATLNAVDIVANGINAPSSSSQPSCPLTAIVFASPHVGNLTFREAFRSFPDLRALHVKNTGDNVPTYPPIGYFDVAVPLPIATARSPYLRHPGTLQTLHNLECYLHGVAGEQGSAGGFRMEVDRDVALVNKRVDALKDEYPVPAEWWAAQHKGMVRGADGRWTLQDFKQI >Sspon.08G0023020-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8D:54090140:54090809:1 gene:Sspon.08G0023020-2D transcript:Sspon.08G0023020-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding CRGLLHEYSQSCKRVKHGILRAVATLLDLDDDDGIIDQFGDRGSTNARFNYYPACPRSDLVLGVSPHNDACVLTLLLADEHVGGLQFHRDGTWYCVPPVRGRALLVNVGGSLEILRVPGRLRRTLTQIMSNGVFKSPVHRVVTNSQKERMSLAMFYATDLEKEVQPIAELLDEKHPARYKKIKYRDLMAAHYEHFSRRERVIESLN >Sspon.02G0018200-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:97811430:97812066:1 gene:Sspon.02G0018200-2C transcript:Sspon.02G0018200-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGRFGSSTRTLDRRGAQQGGAASPGVHREPLPKEGIAGPPHAHAV >Sspon.02G0012290-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:26701528:26705249:-1 gene:Sspon.02G0012290-3D transcript:Sspon.02G0012290-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRDKSRRLSGSRHFRQRLVLATLTSTAVTIVDIRSGDAAPGLRPHELKYRPGVIIGGKGLEHDCGVHRGIGYFLEPLILLGLFARAPISIRLKGITNDTKDPSVDTFRTTLHMLKHFGVPLEGFNLNIDSRGSPPLGGGEVFLRVHNINSTLTAANWVDEGMVKRIRGVSFSTRVSPELESRIIYAARGIFNRFIPDVHIHKDHRSGSAGGRSPGYGVSLVAETTTGCLLSVDVTVSYPSVDEINEESEKPELTSPEDLGVQAASMLLEEVAQGGVVDSTHQGLLFILCALCPPDVSKVRVGQLTPYGIETLRNIRDFLDVKFIIKPDPNSNTVTLKCVGAGVKNLARKIS >Sspon.01G0044500-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:76621262:76622715:1 gene:Sspon.01G0044500-1P transcript:Sspon.01G0044500-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVEEGVEEPARSLEVQLEQDVHAEAEELPLPPPVGWPQLLPHFSGERVPCQAAHAVAGVEEPHDGVRHDGRGGKRARHHVARGRVHHPGRAADADHPLRQDGQRASHGDDAALVLLDAPDAYPQVPEARGHALLDVVHGEPRARDQGRLLEEDPGGGEGHGVEEGGDAAVALPDLRPLGEVGVAPVHEEHLVDVERVGVHLGEDAVGDLVARVVRLVVLHEAAREARASSTTLAGTATPSSQMRREEAS >Sspon.04G0003370-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4A:10392697:10393536:1 gene:Sspon.04G0003370-1A transcript:Sspon.04G0003370-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHLKQRLLSALRAAVPLPSASLHRICLSTTASATPSAGFFAEDYLVASCGLTLAQARKASKYINHLSSPVRPDAVRAFLISIGLTEADVVAAVVSYPILLCYKVDETLTPRVARLREIGLSPPQISRLVTVAPEIVASPVKMSRLAFYISFLGSYDRVHSALKNCYYLLRQDLQTLVRPNIAFLRQCGLTDYDIGRHCLLRSRILLAEPQRVKEIAVRAEELGVSRNSVAFKHALVTVYSLNAGRLNAKLSFLKNVIGYSEAELGNLVCKAPTILAHSE >Sspon.01G0034310-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:2503348:2537063:1 gene:Sspon.01G0034310-1B transcript:Sspon.01G0034310-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKNDVGVVPIVQWPLFLLASKVFLAKEIAEDCKDSQEELWLRISKDEYMHYAVVECFHSIYHILTSILEKEGCLWTIQSDLHFKKLPNVIAKLVAVLGILRGTTESSDLKKGLVNAIQDLYDVVHHEAFSVNMSGHIEDWDQINLARAEGRLFNKLKWPNDPKLKDLIKRLYSLLTIKESAATVPKNLEARRRLQFFTNSLFMKMPLARPVSEMVSFSVFTPYYSEIVLYSIAELQKKNEDDEWKNFLTRINRDENAADSELFGNPNDILELRLWASYRGQTLARTVRGMMYYRKALMLQSYLERIQSEDLESTLGLTSSADTHFELSPEARAQADLKFTYVVTCQIYGKQKGEGKPEAADIALLMQRNEALRVAYIDEVENAKNGKPITEYYSKLVKADIHGKDKDNYFEEALKMRNLLEEFSLKRGKHYPSILGVREHVFTGRVRMHYGHPDVFDRIFHITRGGISKASRSINISEDIYAGFNSTLRQGCITHHEYIQVGKGRDVGLNQIALFEGKVAGGNGEQVLSRDIYRLGQLFDFFRMLSFYVTTVGFYFCTMLTVLTVYIFLYGKMYLALSGVGESIQNRADILQNTALEAALNTQFLFQIGVFTAVPMILGFILESGVLTAFVQFITMQFQLCSVFFTFSLGTRTHYFGRAILHGGAKYRATGRGFVVRHIKFAENYRIYARSHFVKGMEVALLLVIFLAYGFNNGGAVGYILLSISSWFMALSWLFAPYLFNPSGFEWQKIVEDFRDWTNWLFYRGGIGVKGEESWEAWWEEELQHIYSIGGRILETILSLRFFIFQYGVVYHMNASGESTALSVYWISWAVLGGIFILLLVFGLNPKAMIAMAWKPVVKKLGLWKTVRALARLYDAGTGMIIFIPIAICSCFPFISTFQTRLLFNQAFSRGLEISLILAGNNPNAGIVRMHYGHPDVFDRIFHITRGGISKASRSINISEDIYAGFNSTLRQGCITHHEYIQVGKGRDVGLNQIALFEGKVAGGNGEQVLSRDIYRLGQLFDFFRMLSFYVTTVGFYFCTMLTVLTVYIFLYGKMYLALSGVGESIQNRADILQNTALEAALNTQFLFQIGVFTAVPMILGFILESGVLTAFVQFITMQFQLCSVFFTFSLGTRTHYFGRAILHGGAKYRATGRGFVVRHIKFAENYRIYARSHFVKGMEVALLLVIFLAYGFNNGGAVGYILLSISSWFMALSWLFAPYLFNPSGFEWQKIVEDFRDWTNWLFYRGGIGVKGEESWEAWWEEELQHIYSIGGRILETILSLRFFIFQYGVVYHMNASGESTALSVYWISWAVLGGIFILLLVFGLNPKAMIAMAWKPVVKKLGLWKTVRALARLYDAGTGMIIFIPIAICSWFPFISTFQTRLLFNQAFSRGLEISLILAGNNPNAGM >Sspon.08G0029440-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8D:37194546:37194905:1 gene:Sspon.08G0029440-1D transcript:Sspon.08G0029440-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKSAGTGEATSSLRIVGLGSSGGGATTALDLATMAGALPETLDLARRESPRAPKLEYASTRAGSECEVGSRRRRPTTVLRSSISPGSTSPHRRLARRRDERLQAEPRLFCKKSVLETG >Sspon.08G0013180-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8A:55936117:55938454:1 gene:Sspon.08G0013180-1A transcript:Sspon.08G0013180-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative septum site-determining protein minD homolog, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G24020) UniProtKB/Swiss-Prot;Acc:Q9MBA2] MAFAPPRLLPLLPPPAPAASTRAVSARHHGGRTAPELSGPTPRVVVVTSGKGGVGKTTTTANLAASLARLALPAVAVDADAGLRNLDLLLGLENRVHLTAADVLAGDCRLDQALVRHRALQDLHLLCLSKPRSKLPLAFGSKTLTWVADALRRAPNPPAFILIDCPAGVDAGFVTAIAPAEEAVLVTTPDITALRDADRVAGLLECDGIKDIKIIVNRVRPDLVKGEDMMSALDVQEMLGLPLLGVVPEDAEVIRSTNRGVPLVLNDPPTPAGLALEQATWRLVERDAMTAVMVEEQERPKKGGFFSVFGR >Sspon.02G0008730-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:27541335:27551357:1 gene:Sspon.02G0008730-2C transcript:Sspon.02G0008730-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSAKRLVQMASKWQRIAALTRKRVMLIPAKESEGSCSSSTSVAGKGHCVVYSADGHRFEVPLAYLGTVVFVELLMLSEEEFGFESECGKITLPCDATVMEYVMCLLRRDASEEVVRAFLSSMARPCHTFVCEAASDDVQRRPDPEYIAHRTAGAKWLGKGQGHEHGECDVARRVCGHDLDEILMGPGAGRGALSVLGLEITHAGVAGKRHEDPMFVGANVKIRKGEPATMISAKRLVQMARKWQRIATLTRKRVMPISAKEREASCSTSTSVAGKGHCIVYSADGQRFEVPLVYLGTVVFCELLMLSQEEFGFTSDDGKIMLPCDAAVMETAGAKWLGKGQGHEHGEWDVARRFCRHDCGVPGAGRGALSVLRLDISHAGVVGKQHEDPMFVGANVKIRKGEPATMMSAKRLVQMASKWQRIAALTRKRVMPIPAKESEGPCSTSTSVAGKGHFVVYSADGQRFKVPLAYLGTIVFGELLMLSQEEFGFTSDDGKITLPCDAAVMEYVMCLLRRDASEEV >Sspon.06G0012250-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:65294669:65297401:-1 gene:Sspon.06G0012250-1A transcript:Sspon.06G0012250-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPIVSAAAAAAAPPPGAPSFSYLAVFSNCPLVAAVLAFAIAQSIKVLTTWYKENRWDAKQLLGSGGMPSSHSATVTALAVAVGLQEGFASSLFATAAVFASVVLNQIVYELPSEHPLAETRPLRELLGHTPQQVFAGGVLGFAVATFTAMIAGLGS >Sspon.06G0005170-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:15144137:15145280:-1 gene:Sspon.06G0005170-2B transcript:Sspon.06G0005170-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGTVEELIRRLLDGKKHKVTGKKVQLTEAEIRHLCVTAKEIFLSQPNLLELEAPINVCGDIHGQFSDLLRLFEYGGLPPTANYLFLGDYVDRGKQSIETICLLLAYKIRYPDNFFLLRGNHECASINRIYGFYDECKRRFSVRLWKLFTDCFNCLPVAAVIDDKILCMHGGLSPDLDNLNRIREIQRPVDVPDQGLLCDLLWSDPDRDSSGWGDNDRGVSFTFGADKVTEFLNKHDLDLVVEDGYEFFADRQLVTIFSAPNYCGEFNNAGALMNVDASLLCSFQILKPYRGKAQTE >Sspon.08G0007930-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8B:25425474:25431142:1 gene:Sspon.08G0007930-2B transcript:Sspon.08G0007930-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAICHAQSRPRIHASLINLSDSDRGQLCVCVLKCDDLSRIEGESTNTLTRRPDAIYHLRARAPPPSSSQSTAFNPARPRPQSMHCSCSRPRVARLHATRPSGQLQPHRHRHHPLRTRGPGAAPSRRPIGRPRAAAAGGGGRKKNPPTRTARPSQTALLLLLLVLRLTPRANSGEQAMPGDGGAAGRAMWVCAVLAALPFLAAEAAGRYRAVFNFGDSLVDAGNLVTEGIPDYLATARLPYGQTYFGYPTGRCSDGRLVVDFIAQEFGLPLLPPSKGKNASFAQGANFAITGATALDTDFFQKRGLGKTVWNSGSLFTQIQWLRDLKPSLCSSAQECKEFFAKCLFIVGEFGGNDYNAPLFAGKDLKEAYKLMPHVIQGISDGVEQLIAEGAKDLIVPGVMPSGCFPVYLTMYTDPKEGHGSRTGCLKRFNTFSWVHNAMLKRAVEKLRDKHPGVRIIYGDYFTPIIQFILQPKKFGFYKQPPRACCGAPGRGLYNFNLTAKCGEPGASACADPKTHWSWDGIHLTEAAYRHIARALFAGDQHKQLTSSCNARSRICLSFLLDGALDSSARSSEMLEATTQGDVALRSKREG >Sspon.07G0030680-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:13171357:13173769:1 gene:Sspon.07G0030680-2D transcript:Sspon.07G0030680-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARALTGTVATGYFHRTVARKAATAVVDEPTELRHCDGDPEDASDSGGHHGHVHGMSVLAPAPTTVDDELVRHRVISQVLELGVVVHSLIIGMSLGASDFPSTVRPLVPALTFHQLFEGIGLGGCIVQAKFRLRSMVAMALFFSLTTPIGVAIGIAISSVYDETSPTSLVVQGFLEAAAAGILVYMALVDILAEDFMSARVQSRARLQVALNTSLLLGAGLMSMLAIWA >Sspon.06G0028850-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6C:11753130:11754255:-1 gene:Sspon.06G0028850-1C transcript:Sspon.06G0028850-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSFLAPRHCRRGHRGIRRGSAWRHGSWRQGLAPMTMAPCLGAKIHGAKSPRFSQPPLPPRTPPPLCDFEQWIDTEIKEADMRLLQGLKEWDAERLEILEKRRREEAAAKEHKEEEERRRVAACREERERKLERVHRAKAAMEENPDAQNKGKWPRCTQ >Sspon.01G0035320-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:9305084:9308456:1 gene:Sspon.01G0035320-2D transcript:Sspon.01G0035320-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDPTTKPPSPRPLRRRRLCGLCLGTALLALLVSALVHVVAPPPRPAPASARFSVIIDGGSTGTRAHVFATGHDGRPDLARSTVMRVSPGLSSFAADPARAGESLTPLIDFARDKIGGAGGAAGEAEVRLMATAGLRLLEERAQEAILASCRDVLRASGFRFEDAWAKVIPGSDEGIYAWVATNYALGRLGGDPNKTVGIIELGGASAQLTFVSDEVLPPELSYNYTFGETIYTLYTSSFLNFGQINRLTNHLLPQNAAQDLFHKMLRSRGSSKNGTLADPCAPRGYSPNEEVMVRISGASRSTLENQYVDNGTGNFTECISSSLLLLQKGKEKCQYQQCHLGSTFVPELRGYFLATENFYFTSKFFGLKKSSSLSDFMFAGEQFCNQDLSTLRKKYPNRSDEDFSRIEYSNQVGDIQVEWALGAFITLMRNTSLKPLHTAAESTHSNRPLFAVLGMFLLCGVLFVSRWRKPKTKIIYDLEKGRYIITRIS >Sspon.04G0017500-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:67368144:67370616:-1 gene:Sspon.04G0017500-2B transcript:Sspon.04G0017500-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Urease accessory protein F [Source:Projected from Arabidopsis thaliana (AT1G21840) UniProtKB/Swiss-Prot;Acc:Q9XHZ3] NPLNCSFWFPVSSSLIIEQVNGKCVPCVNIVPSTLLMLMESGSPVSKKSRLFHSEDCEMEEVPSNAAGVNPSLHWTQWQILDSILPTGGFAHSYGLEAAMQSRMVNNQEDMKSFVIQVLDNTGSLLLPFVYCASKSPDAAAWVKLDQLLEATLTNEVARKASTAQGSALLRVAASVFTEIQVLQDLRRTFLGSKSVSFHHAPIFGLICGLVGFDSETAQRAYMFVTMRDVFSAATRLNLIGPLAASVLQHQV >Sspon.07G0009580-3C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7C:23945833:23946279:-1 gene:Sspon.07G0009580-3C transcript:Sspon.07G0009580-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQLLVALDMFPQVTMPFFILHGEADTVTDPEVSHALYERAASTDKTIKLYPGMWHGLTAGEPDENVELVFSDIVSWLDKRSRHWEPDERVRTQPEPENNQAATTKITRVTSSSGGTESTVPAHGRPQRRGSCLCGLGGRPHQQQCRM >Sspon.01G0020910-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:77177440:77183442:-1 gene:Sspon.01G0020910-1A transcript:Sspon.01G0020910-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GSTCTFAESILRSCGFRTGLFTSPHLMDVRERFRLDGLDISEEKFIRYFWWCWNKLKDRTGDGVPMPAYFRFLALLAFKIFSDEQVDVAVLEVGLGGKYDATNVVRAPVVCGVSSLGYDHMEILGNTLGEIAGEKAGILKKGVSAYTVPQPEEAMSVLMQRASELGVSLQVVQPLDPQKLEDQPLGLHGEHQYMNAGLAVALANAWLERQGHLNRIHFKHSVCTPNMYGTLPDQFIKGLSSACLQGRAQIVPDPQVNSENDKDCDSSLVFYLDGAHSPESMEMCARWFAHVTNNGVQTGSLEQPHTDRDSRKFLLFNCMTVRDPQRLLPRLLDTCAQNGLHFEQALFVPNQSQYNKLGSLASPPSEREQIDLSWQLSHQKVWENLPHGNKGLNDSNSSRTSSVFESLPLAIKWLRETAQQNQSIQFQ >Sspon.05G0022760-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:8407749:8408039:1 gene:Sspon.05G0022760-2P transcript:Sspon.05G0022760-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GERERQGEGVVVGGGDERGHRGGAQGPGRPVPLELRVPAGGAARQEASRRERLRRPRQQRLVGLRCGGRGGEEESQAAGGGAPDGHVPQQLGSQQLA >Sspon.03G0036620-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:87390524:87396308:1 gene:Sspon.03G0036620-2C transcript:Sspon.03G0036620-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SMELERIPAASAMDWSIDLDRGLRSRQPATRVRAVDAAGPRLRQLCTCATAPAPVASAYGVLPGEARVFAETMILRLATEFRTADGAMRARIVKTLLNIATGPGALAGARVSEPDQLLRRVKVVYDTGNKRDRALALRVFGCFADIAKDSVHVRSLILSSLGASSVLQVKAAIFAAGCICHLSEDFSRTILEVFRRLICSRTSEPQVIMAAIKAFWKLDSTLAVIHRVHQMLCCKASSNHHANGSELSKLLLAAESSLDSSSLEMQGTALQILVEIFCILKEVRSDNISVLKGSSFAYAEWQGMMNNIPLTEDNSMNGPLCKIIAMIVNHIISLVNEVASRNICISPAVDKAYKTPFRLMLKLVSCYPSAASVALDILKSLVKELFLINGSDYSEVSVTSVEPFQTSVALKELSTSDDNIHRPCLGAELYMFDSNPVNEKGMSIEPGFLLSLTLCMKWKRVLERSTIRAVKLYCILAASLETCPEAAGTRSKQFDQRRTAEMVELNSKLLQYIKNDLRKARNEKKNSHCGMDMVTAFACFEPTDSGLGFSSCLLDVSSFPEGSYQIKWQACCVDENGSYFSLLPLNDGSSPPTVFVQLKIGYRPGKKFSLRDFRML >Sspon.03G0027260-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:5160450:5161892:-1 gene:Sspon.03G0027260-1P transcript:Sspon.03G0027260-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALTRIGLAGLAVMGQNLALNIAEKGFPISVYNRTTSKVDETVQRAKVEGNLPVFGFHDPASFVSSIQKPRVVIMLVKAGAPVDQTIATLAAHLEHGDCIIDGGNEWYENTERREKAMEERGLLYLGMGVSGGEEGARNGPSLMPGGSFEAYEYIEDILLKVAAQVPDSGPCVTYIGKGGSGNFVKMVHNGIEYGDMQLIAEAYDVLKSVGKLTNSELQQVFSEWNKGELLSFLIEITADIFGIKDEQGEGYLVDKVLDKTGMKGTGKWTVQQAAELSVAAPTIEASLDSRFLSGLKDERVEASKIFQGDYSTGLPVDKAQLIEDVRQALYASKICSYAQGMNIIKAKSSEKGWGLNLGELARIWKGGCIIRAIFLDRIKKAYDRNSNLANLLVDPEFAQEIMDRQAAWRRVVCLAINNGVSTPGMSASLAYFDSYRRDRLPANLVQAQRDYFGAHTYERVDMPGSFHTEWFKIARNSKI >Sspon.07G0012740-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7A:46191401:46192270:1 gene:Sspon.07G0012740-1A transcript:Sspon.07G0012740-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPELAVMSASAAEQGRRRLEGDDYQATAASPSPHARAPPPSRYKGVVPQPNGRWGAQIYERHARVWLGTFADEATAARAYDVAALRFRGLGAAANFPGRPADAAEMAFLAARPKAEVVDMLRKHTYDDELRQALRSGGSGGDRSLGLGLVLPRVALFEKAVTPSDVGRLNRLVVPKLHAEKHFPPLEEGADAAPVLLAFEDIGVGGGTGKVWRFRYSYWTSSQSYVLTRGWSRFVREKGLAAGDTVAFSQAAITDDGETTDVKRRRMFIECRKRKRKDDGCSGNDGGERV >Sspon.02G0013010-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:34864550:34867910:-1 gene:Sspon.02G0013010-1A transcript:Sspon.02G0013010-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIDAYLTHLMLLLLVSVAVLHANASQQAQLEKFILSRKGRTSGSSKASVHDSGVRVKSSLIHLQAQAGYMGSDQSPLKAADKITALPGQPHVDFDQYSGYVTVDEKHGRALFYYFVEAPQDASSKPLLLWLNGGPGCSSFGYGAMLELGPFRVNFDNTTLRLNKYAWNKEANVIFLESPAGVGFSYSNTTSDYEESGDSKTAEDAYIFLVNWLERFPEYKTRAFYISGESYAGHYVPQLAATILSHNLYNNKTIVNLQGILVGNPYLDEYKNQKGRFEYLWNHGVLSNEAWANITNHCSFNSSDNKLCSEFYAWYDFGPIDPYDIYAPICIDEPDGSYHSSSYLPGYNACDYYPTVTYLNDPVVQEAFHARKTEWSGCSGDFDAICPLTATRYSIQDLNISVTTPWRPWTAKMEVGGYVQQYAGGFTFITVRAAGHMVPSVQPERALILLNYFLKGISEKKS >Sspon.01G0035210-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:8770870:8771578:-1 gene:Sspon.01G0035210-1T transcript:Sspon.01G0035210-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MESRRLKYTSFFHNINASSLRTAKMMCPDSSFDELLKVVIMNDQLACDQDVGGCGKPNHIHHILATFPHVFTVVLGWQNNKES >Sspon.06G0003530-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:10772971:10775995:1 gene:Sspon.06G0003530-1A transcript:Sspon.06G0003530-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKQKSFRGIMSLERRSRDSPGKRGDTPLHLAARSGSVAHAQRILAELDRALVAEMAARQNLDGETPLYVAAEKGHAEVVREILKVSDVQTAGIKASNSFDAFHIAAKQGHLEVLKEMLQALPALAMTTNSLNATALDTAAILGHVEIVNLLLETDASLARIARNNGKTVLHSAARMGHVEVVRSLLNKDPGIGLRTDKKGQTALHMASKGQNAEIVVELLKPDVSVIHIEDNKGNRPLHVATRKGNIIIVQTLLSVEGIDVNAVNRSGETAFAIAEKMNNEELVNILKEAGGETAKQQVHPPNSAKQLKQTVSDIRHDVQSQIKQTRQTKMQVNHIKKRLEKLHIGGLNNAINSNTVVAVLIATVAFAAIFTVPGNFVEDLSQAPPGMSLGQAYVASNPAFIIFLVFDALALFISLAVVVVQTSLIVVEQRAKKRMVFVMNKLMWLACLFISVAFIALTYVVVGRDDLWLPWCTMAIGTVIMLTTLGSMCYCIIAHRLEEKNTRKIRKKASSSQSRGSWSRSVDSDEEILNSEYKTKMYALGYWVAFGGIDDLKLGMQRLWQHISLLTKGLKALTFLADQVQ >Sspon.01G0047830-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:109294056:109300432:1 gene:Sspon.01G0047830-2D transcript:Sspon.01G0047830-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding KMRRHLPRPCYVVSCHRRAFLLSTVPRSTSHGRPTTTVESTSLTGCAPLLASSVPLVNVSLLTKMADNGNAKGGSGAYTINVENFSKRLKVFYDHWKEHKSDLWSSSDAIAIATPPPSDDLRYLKSSALDIWLLGYEFPETIIVFMHKQIHVLCSQKKANLIGTLKKAANEAVGADIVLHVKTKNGDGADLMNDIVQAARNQSKSDKPVVGHIAKEVPEGKLLETWTEKLSGSGVRLADVTNGFSELFAVKDTTEVTCVKKAAYLTSSVLKNFVVPKLEKVIDEEKKVSHSSLMDDTEKAILDPLKVKVKLKPDNVDICYPPVFQSGGKFDLKPGASSNDEYLYYDSASVIICAIGSKYSSYCSNVARTYLIDATPTQSKAYETLLKAHEAAVQQVKPGNQMCAVYQAAVAVIERDAPELLPNLTKSAGTGIGLEFRESGLNLNAKNDRKIKQGMVFNVSLGLHNIQAETTSEKTKQFSLLLADTVLVNERGHEILTAPCSKAVKDVAYSFNEDDEDVAEVKMESKTIDAVPTKATLRSDNQEMSKEELRRQHQAELARQKNEETARRLAGGGSGSGEGRGPARASNELVAYKNVNDVPFVRDLVIQVDQKNEAVLLPIYGSMVPFHVSTIKSVTSHQDNRTCTIRIFFNVPGMPFSNDSKLNSQGAIYLKEITFRSKDPRHSSEVVQQIKTLRRQVASRESERAERATLVTQEKLQIGNNRMKMMRLSDVWIRPAFGGRGRKLTGNLEAHFNGFRYSTSRSDERVDIMFGNIKHAFFQPAEKEMITLLHFHLHNHIMVGNKKTKDVQFYVEVMDVVQTLGGSRRSALDPDEIEEEQRERDRKNRINMDFQNFVNKVNDHWSQPQFKGLDLEFDVPLRELGFHGVPYKASAFIIPTSTCLVELIETPFLVVSLSEIEIVNLERVGFGTKNFDMAIVFKDFKKDVLRIDSIPSSSLDAIKEWLDTTDLKYYESRLNLNWRPILKTIIDDPQKFIDDGGWEFLNMEASDSETEDTEESDQGYVPSDAEPESESEDDGSDSESLVESDDDDEESDEDSEEEKGKTWEELEREASNADREHGAESDSEEERRRRKVKTFSKSRAPERSSFKGAPPSKKPKFR >Sspon.08G0028360-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:10393146:10397113:-1 gene:Sspon.08G0028360-1D transcript:Sspon.08G0028360-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGTWRKAYGALKDSTKVGLANFNSEYKVMTTMPPLRCPIDRCPSIHPPRRAPPPGLPSHGVRLSSSLPALRHIMAIVKAFNFLNSLQDLDIAIVKATNHVECPPKERHFRRILFATSGHRPRADVAYSICTLARRLSKTKNWIVALKTLIVIHRLLREGDGTFKEDFLTYSYRGNILQIPQFKDDSSPLGRFVFNLHFSMGAKMCLPSWDCSAWVRTYALYLDERVECFRVLKYDVELDRLLKLPQASGKVALEVKSCGIGIVNCLLHVNAMLNLGKFQAHSRTRTLPLGELLDQLPALQKLLLRLIYCQPEGAACTNYLVQYALALVLKESFKIYCSINDGIINLVDMYFEMPKYDAIKALEIYKRAGQQPPPSFLVTMEEYIREAPRADIEENHEENQPSDNEEAAPQEAEKPVEDVKQESAEPEAEPQPAAGPLEEPVEPQPRATTGDLLNLDEEVNPMIADLEESNALALAIVAPGNENKMSTSRDLFALDKAGWELALVTAPSNHTNKQVDNQLAGGFDKLLLDSLYEDEARRQQIASVTYTGTLNDMKVTPFPDLLHPVQ >Sspon.01G0003420-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:7490608:7497497:-1 gene:Sspon.01G0003420-1P transcript:Sspon.01G0003420-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNPNPPSRPSAAGKPPAGMAPARKSRWGPPPPGAAGAAPAGDKAAPSTSARTPTPTRTAGLQAPSGGPSRASTTSPQPRVAGGRAPAQPQPAVETPPPQPYGFHNLDRRTMLLADGTVRTYFALPPDYPFEPAPLPPLPHHLLPRAAPDLWPLQQMPMPMPVQMPPHEARRKKPRRPGRGLPEAPQAATARRAASTAATCRGGPARAQEGVPQVRQDAQRELRAKAELSRGRPCPVSRLWQDFADVHGLVMHAYNPPNADSLVDHLGLHKALCVLMGWDYTKVPENSKGYQSLPSDLVQASREDLIVWPPTVIIHNTSTGRKKDGRFEGLGNKEMDKKMTELGFSGGKSKSLYGKEGHMGLTLIKFANNPSGLKEAERLAEFLERQDRGRIGWSRAHASRSVDSDQNPLLVETDIRTAEKKRILYGYLAIASDLDELDSDSRKRAFLKSRREFDPNE >Sspon.02G0032010-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2A:117141467:117142327:1 gene:Sspon.02G0032010-1A transcript:Sspon.02G0032010-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHQICVLCGSPVFLDNSFGTSLLRCKPCASGSHNCDPVFDTSWSSTFAHVRCGSPDCPTNCSDVSVCPFNDLYTIAKDTFAKDVLTLAPSIAKGTFADDVLTLAPSIAVHDFRFVCLDVDEPSYDMHAAGTLDLSRDRDRNSLLSRLSSWLAPAGSFSYCLGGNATVREDKHTVHAPLVWNDDPELASMYCIDLVGMSLDGEDLPIPTGTFGGNASANLAVGATFTMLAPDLYMLLHDSFQRQMSQYNNSRCRDSTASIRASTSPDCSSSPYRSLSSNSATARAW >Sspon.05G0005760-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:12605075:12606076:-1 gene:Sspon.05G0005760-2B transcript:Sspon.05G0005760-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCVKEFLPHFSTVDLMIHVGGACGYQVTVVITDECPGGACLNEPAHFDMSGTAFGAMANPGQADKLRNAGVLQIQYTRVQCNWPGVHLTFVVDAGSNPEYFAVLIKYVNGDGDLSAVDLMQTGAGATSRGAPSGSSTPGRHCRRPCPSAWRLTSSSGKQLVASNVIPAGWTPGATYQSSVNY >Sspon.06G0013560-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:54471179:54472687:-1 gene:Sspon.06G0013560-3D transcript:Sspon.06G0013560-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTPTIILHLLVTLIVFILSSARTSSSSASSSDVDAFLSCLSGADIPPSLIYTPANNNFSSVLVSSVRNLRYYITPDTVISRPLVIVAATEPAHVQTTVVCGRRHSVHIRTRSGGHDYEGLSYASVDPHRHFAVLDLAALRAIHVDASRAEAWVGSGATLGELYYAAAATNATFGFPAGNCPTVGVGGHLSGGGFGALSRKYGLSADNVLDAVVVDAEGRLLNRSTMGKDLFWAIRGGGGESFGVVLSWKVRLVPVTETVTVFSIRRLRNESAVDLITKWQAIAPALPRDLYLRVLVQNQQANFVALFLGRCGSLVDTMRGHFPDLGMAERDCQEMSWVKSTVFFFYGTADLPAEVLLNRSSNPYYYLKVKSDHVQEAMPGHAWESIWSNWFEKPEAALLMLDPYGGRMGSISPSATPFPHRNYLYQLQLYSVWYENGTAALEKRMSWVRGVYEDLTPYVSKNPRAVYVNYRDLDLGTNELEEGGNVTSYAKARVWGRSISK >Sspon.05G0036830-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:4392607:4394137:1 gene:Sspon.05G0036830-1D transcript:Sspon.05G0036830-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRSTRYAVSVVVAKSVIDLVEALPTITGDSMYNGICLMVQKQVDIIKQMDPRDPFRIEMTDMLFDKLYNMGVISTKESLVKCEKLSASSFCSPAGHSLFTPHITFHSPFALLNHCRRRLATVMTRIKMAEHLKEAVTYIEQGHVRVGPEVVTDPAFLVTTNMEDFITWVDSSKIKKRVRQYNDAFDDYDAMA >Sspon.06G0018900-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6A:104062208:104062621:1 gene:Sspon.06G0018900-1A transcript:Sspon.06G0018900-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTTNNAGGTKNHLSSLQHGSAYYSKNSGEPGDAKENVTPIVFVAITVVVVLLRLLCCGLGKDAEEQVAGGGDRVGQPSSRSAGLADRHPSTVAAVEVAPVPAAEPPLVCTYRKEDSCGDDSCGVCLAELADGEAIR >Sspon.07G0001850-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:3273348:3273974:-1 gene:Sspon.07G0001850-3C transcript:Sspon.07G0001850-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding PCPKPKATTTAASRASPAWILWNLPLHRPPPAAAVPLPPPPPVTRTRRTGSPSPPSPSPPRGCRTPTAPSQMPGSAAPCTPSSAAHDGRYRCRSSSSSSNRTRRRRAPAPLARPPCGCRSGGSSWRSRGATTPTPSSTVCRRRPTACGPLGFRRRRQQDRLGGGPPSAAGRADPGATASRHRRRSSHAIRILSSWLQASSRAGGAATCS >Sspon.03G0017170-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:53765581:53768801:1 gene:Sspon.03G0017170-1A transcript:Sspon.03G0017170-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLPGGNGEPRPPPPPFPSLIKLGRAVTARHVDRLLTVLLRRRRHRLLAALASQALANAIAPTPRTHLLAASALLDSARPRDAAQRLALASHTASRRLWDALLRRACAGGGDPRHALELLSAAIEDHGMVLSPSTYREMVVLLCAHGEVGCALRVFDLMTRRGCQVEDRVCSSIVSGLSRTGKAGAGLDFYEKVKSQFSGFDPGLVTLTSVVHALGLEGRTGEMAELMQEMECKGMNADAVFYGSMVHGYMSRGFLMEGLREHRSMLEKGIEADVINYTTVIDGLCREGSVEKVMGFLDKMERVDAKPNLITYTSLVGGFCKRDRLEDAFSIMRKLEQTGVVVDEYVYSILIDSLCKMEDLDRAFSLLTEMENKGIKASIITYNAIINGLCKAGHTEKALEISEGVAADNFTYSTLLHGYIKRGDITGVMAIKDRLEGSGISMDVVTCNVLIKASFMINKVNDAWSLFHKMPEMGLRPNTITYHTIIDKLCKAEEVDKALEMFDEYRKDSGFSTAVVHECLIKALCNGGKVDMADQIFYDLVQKKIRPNFFNCRKLIHAHFKGHGEHGVLDFICKVGELDIDLFSSVCNYASAFLSNRNCWQAAMDAYKLLRMQAIAVTSKTCYKLLKSLHRNGSEEVIQPLLCDFIKIHGLLDPTMINMMSCYLSKKCVSKAIWFSNYMDKGSVPVSVLRGAVFALKKQGEVLDACNFLKVAEQSGFSVDLAMYSIVVDGLCKGGYLGKALDLCESMKKEGFHPNIIIHNSVLNGLCHQGCLTEAFRLFDYIENSKMLPTIITYTILIGALCREGLLDDADQLFQKMSTKGIKPTTRVYNLLISGYCNFGLTEKALELMSHLEEIFLLPDCFTLGAIINGLCLKGETEAALGFFNEYRHRDMEPDFVGFMSLVKGLYAKGRMEESRGILREMFQCKEVVELINSVGDKIEAEPLVDLLSSACDQGRIDEVVTILNEVGLMLLSSSNSVSYNALAHLKKFLRSSDGIVQPMIDGDDSLSKLSGDTDIDYQKLLGKSFNDDFESYYAAIASLCSKGE >Sspon.02G0015640-1P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2B:41012848:41014254:-1 gene:Sspon.02G0015640-1P transcript:Sspon.02G0015640-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGASTPRHHSSSPFQRSLLSRRIITFALYALVPLALLHYLLSFPPSPAPTTPSPSPPQGPKIAAAAGAAGGGAARKIAAPRCDYSDGAWERSEADPLYNGTSCGETVKAAQNCEAHGRPDTGYLHWRWRPRGCVLPPFDPAEFLHLVRGRHVAFVGDSLARNQCESLVCLLSSAFPAQLVRGAGGGDGDGDGDELRKFRRWAFPSHNATVSVFWSPFLVNGTEKAKGAAVGKGLDHNRLYLDQPNERWAAEVPGIDVVVLSAGHWFLHSALFYDRGAVVGCHHCPEPNRTETGFFGAFRLAVRGALREVVLRGARAQRQQGGRGGPKLAVLTTFSPAHFEGDWDSPTACARTEPYAPGARAMEYMDGEMLRAGAEEVAAAAADARARGAGVTVEALQVTRMAGLRADGHPGAYMHPFPFAGGARERVPNDCVHWCLPGPIDAWNEILLQIVKRWADGVDADASSSSSP >Sspon.04G0015360-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4A:57657070:57657304:-1 gene:Sspon.04G0015360-1A transcript:Sspon.04G0015360-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GVVGTGGGAEAPHAALSTEKEEGEVDTAKALYGAFHPLPGNQGHMVIVNGQFPGATFLGQGQGLHQCIVPPHLIPHL >Sspon.08G0016540-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:61876455:61878932:-1 gene:Sspon.08G0016540-1P transcript:Sspon.08G0016540-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVLVSFAVFCIKEFAWSQRAHDRPPLVGTMFRMLKNFDRLFDEHVEYALAHRTSRLLFPGHSELLTSDPEVIEHILKTSFSKYSKGDFSTQIMKDLFGNGIFATDGEKWRHQRKLASHEFATRVLRDFSSGVFRINTAKLTEKISSAAANRTSIDMQDLLMKTTMDSIFKVGFGFELNTLSGSDEPSIQFSKAFDDANFLVFHRYVDIFWKLKRFLSIGSEAKLKRDIEIIDNFVIQLIHQKREQMKNGRDHKAREDIVTRFILASEEDPETMNDRYLRDIVLNFLIAGKDTTANTLTWFFYMLCKNPVVQDKVALEINESLEWAKEDNSIENFTARLNESAIENMHYLHAAITETLRLYPAVAVDGKMADEDDNLPNGYRVVKGDGVNYMIYAMGRMTYLWGKDAEEFKPE >Sspon.03G0000120-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:304173:306969:1 gene:Sspon.03G0000120-1A transcript:Sspon.03G0000120-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-conjugating enzyme 27 [Source:Projected from Arabidopsis thaliana (AT5G50870) UniProtKB/TrEMBL;Acc:F4KAG5] MVDISRVQKELTECNRDREVSGVSIALHDGANISHLTGTIAGPADSPYEGGTFVIDIRLPGGYPFEPPKMQFVTKVWHPNISSQNGAICLDILKDQWSPALTLKTALLSLQALLSSPAPDDPQDAVVAQQYLRDYPTFAATARYWTEAFAKSASTGMEEKVQKLVEMGFPEDLVRSTLKSVDGDENMALEKLCSG >Sspon.01G0007480-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1A:20758811:20763667:-1 gene:Sspon.01G0007480-1A transcript:Sspon.01G0007480-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVETPHNDFITDQRPERHIRLRSFTYDEVCAATHGFEVDRFLGQGGFGQVYRGFLDSTNQVPGQEVAIKRLDLQGQQGHREFVTEVLILSNVHHPNLVKLVGYCTSHGQRILVYEYMPLGSLNSHIHDLPPGQQPLDWSTRIKILLGAAKGLEHLHHNLTPPVINRDVKCANILLGAGYHPKLSDFGLAKLGPTGDNTHVSTRVMGTPGYCAPEYLMTGKLTVKTDIYSFGVVMLEVLTGRMARDERLPESERNLVAWIKIKNKNQDEDQERVFSSTPE >Sspon.03G0005730-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:23761470:23761814:1 gene:Sspon.03G0005730-2B transcript:Sspon.03G0005730-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKLCPNLDRDDSLDTVLEVPIPDEMLINAPGADKRRGAGGANMRAWLKNQAFDRATVDGAASATAELQLFLNVVGSPLIPCPVPHDRAFSRSIRDSSIVSHPPIIVLPCTGAE >Sspon.03G0010260-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:34459290:34463168:-1 gene:Sspon.03G0010260-2B transcript:Sspon.03G0010260-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGKGWAERVRRGVKTVWFMVAMVASLLVASAPALVAAGDVAVALWLEVRLGCFRGDGLRGHLQRYRFRNSLADIPLVSVVRSVVITCVYLMSDTSGLSHGPYLGTTTFCSLASLLILLIKASVYSPAQDIGPELSPSLPDHKLNLKKLWGMPVLFLSSLVFALGHVIVAYRTSCRARRKLLIHRIDPESILAYKNAFSGCYKVSRSPTPYSGKLFTRSESETKRKTLIQDDRDLPISFLADSDSMFIACQGVTIHYKVSDPAASLPSSPDSFSERDTHHDVISSSISPRRQRHESPPSASSNTRRLLNRSFSHQYHHTSLYAPLLVEPVASPTLLDDIPLMCLDDGNADGCLNHVGFDLEAGGPGWGLTSRPRRKDWEDKNLPNPYELESQVDLLISFCSEMGLHSVVLVGHDDGGLLALKAAEKLRTYGGDRKVEVKGVVLIGVSLSREVIPAFARILLHTPLRKKHMVRPLLRTEITQVINRRAWYDATKLTTEILNLYKAPLFVEGWDEALHEVGRLSFSTVLPSKRAADLLRSVEDLPVLVVAGSEDALVSVKSAQAMASKLRIVTISGCGHLPHEECPKALLSALSPFISTL >Sspon.01G0023270-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:84158370:84161506:1 gene:Sspon.01G0023270-1A transcript:Sspon.01G0023270-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPHLAPAPSALPSKVTSLLLLRLKKARSASALTQEVPAQHHQQGQQGYGAGGPEEYSFQVDDFLSYDSTSDVKHEEGSTCSQETEHDVDEEDDDAPLDFGFMDKRPSPAGEGEADNNAGLQYSPFEMVAADLGDDAVEMEPPPTTYGGEGDGTGEPSAAIHEVMKRMKYERKISASLYALSGVSECLRMRIGDGGGDRGSAGKHELALSGLRDACRIKRQQQEQGQQEVEDKEGNVGHGHGHEECSSCSNSFSSEATSSSPEAANDSPQEAKAVDNLS >Sspon.01G0033120-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:112021352:112026802:-1 gene:Sspon.01G0033120-1A transcript:Sspon.01G0033120-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSDTTEKFRFCIDRGGTFTDIYAEVPGRREGYVTKLLSVDPSNYDDAPIEGIRRILEDFSGVRIPRSAKIPTGKIKWIRMGTTVATNALLERKGERIALCVTRGFRDLLQIGNQARPNIFDLKVSKPSNLYEEVIEVDERVELVRDGDSDRDESSVEGISGELVRVAKPVDVEALKPLLKGLLDKGIRCLAVVLMHSYTYPHHELLVEKLALGMGFKHVSLSSSLTPMVRAVPRGLTASVDAYLTPVIKEYLSGFMSRFEGGSEQVNVLFMQSDGGLAPERRFSGHKAVLSGPAGGVVGYSQTLFGLETSKPLIGFDMGGTSTDVSRYDGSYEQVLETQIAGAIIQAPQLDINTVAAAGGSKLKFQFGAFKVGPESVGAHPGPVCYRKGGELAITDANLILGTVIPEYFPSIFGPNEDMPLDYEATRKAFENLAVEINSHRKSQDPSAKDMAIEEIALGFVNVANETMCRPIHQLTEMKGHDTKNHALACFGGAGPQHACAMARSLGISEVLVHRYCGILSAYGMGLADVIEDLQEPYSAVYNIESSAEASRREVLLVKQVKEKLMEQGFGEESIRTDSYLNLRYEGTDTAIMVKQPEQGSGNDYADEFEKIFQQEYGFKLQNRKILICDVRVQGVGSTNIMQPRELMQISTKPVKESSCQIYFSSGWQDTPLYKLENLGYGHFLEGPAVIMNGNSTVIIEKDCKATITKYVEVTMLRLVASRQEACLLSQNVSGRKVLPSEHLNLSKGSPCSDELAGYKIPGTRRIQDNLSDLHAQVAANQRGISLIKELINQYGLVIVQSYMNHVQKNAEVAVREMLKTVASRVAKENGSCVVEDEDYMDDGSVLHLKLTLDAIKGEATIDFEGTSPEVYGNWNAPEAVTTAAVIYCLRCLVDIDIPLNQGCLAPVKILIPKGSFLSPSDKAAVVGGNVLTSQRVTDVILMAFQACACSQGCMNNLTFGDDTFGYYETIGGGCGAGPTWDGTSGVQCHMTNTRMTDPEIFEQRYPVLLHTFSIRENSGGSGLHRGGDGLVREIEFRRSIVVSILSERRVHAPRGLKGGRDGARGANYLVRKDGRKIYLGGKNTVTSKEAETLNILI >Sspon.08G0021100-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:32272432:32274762:-1 gene:Sspon.08G0021100-1B transcript:Sspon.08G0021100-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTAAPGASVACPAHTPAPTVLRPDLHRPEPNAEDGRGRRESVEDAGECELGSAAARPPPPARPPSPLLPCSRYKARALDPLQMFDLVGVTSRSFDPAVGEGSVQELRPGHSATLIVGLKSNNIKIVQSQERLKNQEISGKKQSQEIEELISGVQCPPYID >Sspon.01G0016170-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:50706324:50717303:-1 gene:Sspon.01G0016170-1P transcript:Sspon.01G0016170-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPALLLAVLVAVLAVAAATTSASAFAVASNATAVVAGKLNVHLVPHSHDDVGWLKTVDQYYVGSNNSIQPCSVRPSSRFSPRGGAGWQGACVMNTLDSVVDALARDPARKFVVVEQAFFQRWWAEKSLAIQAIVHKLVDSGQLEFINGGWCMHDEAAVHYIDMIDQTTLGHRMIKKQFNKTPRAGWQIDPFVQAYLLGAELGFDSVHFARIDYQDRKTRKADKGLEVIWRGSRTFGSSSQIFTNAFPVHYSPPDGFGFEVLDENIIPVQDDLSLFDYNVQERVNDFVAAALAQANVTRTDHIMWTMGDDFNYQYAESWFRNMDKLIQHVNKDGRVHALYSTPSIYTDAKHASNESWPVKYDDYFPYADSTNAYWTGYFTSRPTFKRYVRVHSGYYLAARQIEFLVGGSSLGLFTSSLEDAMGIAQHHDAVSGTAKQHTTDDYSKRLALGASKVEKGVNTALTCLTSFNGTCVSSVVQFSQCPLLNISYCPSTEEAISATKRLVVVAYNPLGWERSDFIRVPVNDQNLVVKSSDGNIVESQLVEVDNVTSNLRKFYVKAYLGITTDKAPKYWLTFQASAPPMGWNSYFISKSTGAGYNSTEHVSTVVSPSNSTIEVGPGPLKMSFSSASGQLNRIFNSISGVDLPVQQSFLWYRSSEGDASDSQASGAYIFRPDGNTPTTVSSSVPLKVIRGPLVDEVHQKFSSWIYQITRLYKNKEHAEVEYTIGPIPVDDDVGKEVITRLTANMVTNSTFYTDSNGRDFLKRVRNYREDWNLQVTQPVAGNYYPVNLGVYVADGKYELSVLVDRAVGASSIQDGQLEIMLHRRLLKDDGRGVGEPLDEVVCVDQDCEGLTVHIQFRLYILLHYPAIQLLIAIVTLQKKNPQARGTYYVNVEKLGNGAHWRHTYGQQVYSPFLLAFTHEDETSSKSYNVAKGGMMDANYSLPDNVAIVTLQNLDDGTTLLRLAHLFQAAEDPKYSEVAKVDLKKVFGKRTIKELTETNLSANQKKSEMKKLKWRVTGDTESGLAPVKGGPVDSRALVVELGPMEIRTFLLKF >Sspon.02G0037600-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:34879898:34883907:1 gene:Sspon.02G0037600-1B transcript:Sspon.02G0037600-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSRSGVEVVASRGCARLVIPGMHHNPSSAASVSSSSSAASRGAAAGAGAAAAGAARADGPFAGLVICVTGLSKEARVQVKEATERLGGEYSGSLHPKCTHLVHSFAGRKFEHALKHGPRNGLFLVTLVWFVDCVRRNMRLDESLYAIKSIGENGVPLGEFNRLVGVPVNEKSCLPPLIFQDKACSDMTRKHSLQTPGKEGGHDGLVFMNDTIYIDPGISDEMRKKISDAATREGAKLLEHWFIGCPATYVVCEDACVKRYVGHSDNIVTPLWILKTVKEKNLQRLVHLSSDLARHVAMVLENVRTSEENRKLGSVPSINASSCGRPSTQEEIDEVHQERQKFVEVAKKEVRDRRARRMQSCEVPIHPITPATLLISICWTISEPTSSASIYMDSSWSDDANEQQSTENGDVRDPDQPTDNFSRPLKESEQSKLIFKNHFLTILFPIDRFGELGPSSKTFYNNGGFTCIQALDHIYNFYQENMSTNEIDMALHTDSRHADRLRSLYSSAESVEKGLVAFKRVDFLGSRRSFEALKRINRGNNSNVYELVIRA >Sspon.03G0008260-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:37454617:37456059:-1 gene:Sspon.03G0008260-3C transcript:Sspon.03G0008260-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAAATGIAQRPVVLYPSPGMGHLVSMIELGKILGARGLSVTIVVVEPPYNTGATGPFLAGVSAANPSISFHRLPKVERLPPVKSKHHEALTFELVRVSNPHLREFLAAASPAMLVVDFFCNIALDVAEELRVPAYFFFTSGAEVLAFFLYLPVLHERTTASFQDMGEELVHVPGIPPFPATHSILPIMERDDAAYDGFLKSFRDLCRSQGLLVNTFRLLEQRAVETVAAGHCTPPGLPTPPIYCIGPLIKSEEVLGKGGEECLAWLDAQPRASVVFLCFGSIGRFSAEQIREVAAGLEASGQRFLWVVRAPPSDDPAKKFEKPPEPDLDALLPEGFLARTKDRGLVVRSWAPQRDVLAHPSVGGFVTHCGWNSVLEAVMAGVPMLAWPLYAEQRLNRVFLEKEMQLAVAVEGRYDSDTGLVAAEEVAAKVRWLMDSEGGRRLRERTLEAMQQAKDALREGGESEATLAGLVDQWKRT >Sspon.06G0007470-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:26753939:26756518:1 gene:Sspon.06G0007470-3C transcript:Sspon.06G0007470-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVVRRVVAQETIQQIVHNLEPLIRRVVREEIQNMFSDHQDHMPLRSLPLSIQEADVWPSPLKLVFAKQLKLPIFTNNKLVDIANNPIEIQLRDTRTNYMIAPPDTHLGSSSVKLEVLVLDGDFQCDDRDVWTADQFNAAIVKAREGKRPLLVGTLSVPMNNHGVGVVDDVSFTDNSSWIRCQKFRIGVRIMPGGHLGSRVKEAVSGSFTVKDHRGELYKKHYPPLLTDNIWRLKNIGKDGPIDKRLESEGITNVQDFLKLNTTDPEKLKALVGMSDRPWNATLNHAKTCDMGRKCYLFRTVGCDITFNPIGEVLGARIGDQTFALQELHPRQLFHVKQLATQAYQMWDQLEEVANEMPLAANKSFVPTSNSGREPSESQGSMISSGSQNAKYLDCTGTATSSAAAMSTNSSSTSDSTAAAPANEAMFWSPSIAPECDFSWQDSAGCWDQVD >Sspon.01G0004770-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:11777135:11784715:-1 gene:Sspon.01G0004770-2C transcript:Sspon.01G0004770-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MYKLGGRGGGRGGSGAAKRPPPPHGRGRGSASSIGGMAGPPRGRAAAAAAATQPAGRDEAFRLESSGPPAFAAIIRLTPDLVDEIRRAEEAGGGARIKFNPNMYNSSENYILVIDVSGKEFKFTWASERGELCDIYEERQSGEDGNGLLLECGSAWRKVNVQRILDESTKNLVKMRSEEAERLSKSRKSIVLDPANPSVKSQAKSMAAAAVEGNPRRMHWKQKNEFLRKNKAAVITPTKSVSKVKLSNNIPKGNISNSPAPSPEQPGASNPSFPVGSDANNEVITPFDLNKGENSKYEKSAASKMSNKGINRRASSHAANVDDNTNEVRSYLISVLSKNPKGMGLKALEKAAADAFPSASKKIESIIKNIANYQGPERYVLKPGVEVESSKRHASEGVRSISENIEESAPSLKIDDPDICERIDIVGSPLAATDGKLNNDSEGKAGTSSDSGSDSDSDSDSSDSGSDSGSQSRSAAETGSGSSSDSDSDASSSSKEGSDAIVDITSDDDKANAAHIKVVDDLNLSSSPRDLTRLDVDDEQIDIGTNLDYRSTSPHIDLNNFNTGNDDAAAEGFSAGNLNKPSEMPGSKNMTSTRMDSIRGDNKYNEMSFLDNLFDDSVRTTSENSPKGEAGQLTAQHGNKRKSTSKDESKLGPVSIAKPKLKRSSGSDNSTTKPESAKKVKADITSPTGSLSEHKRSLPPEKHTNDRLNKETGNVSRDASRDSSPAMKGRPLAPGNLQRIDQSPNLPVPTMHSERTKENTMKSSSKKKSDKMQKPWHGMDGDFGPGYSHGVDHHANFDGSDDSSTRKRSRHGNPLIDDKMLKRSKDANVNVNSMNLTKSSREIVVPDEITSFPESNESNGEPSNSQRDNVERSPYGKKKLQRELSDLELGELRETSLENDDGRIRKQFERNSSSKSLDAKLTSVNNSYPSMNDRKAPATVFHDKRKPSPQEYGIGGHINQEGFPRKAAGYEFDDNRPQQRENFPDSQHLPRIDNSDSENVVYPDRSGEKTSKRETSMAHGRMLEYADMQKKKSTSRLPQNGTNNVIVSRMQKSISPSDNEERSRNNSLIETETGRKRRDSSSDDDNLFFSKYDKDAPELKGPIKDFSQYKDYVQEYNEKYGVYSYLNSQIDKTKSEFLKVQDDLNVAKERDKEHIITPWKDSETCIRHKLMKKVFVLLHEELQKFAWLGKIQSKAHITGNLRGTMILGITVLNLVVVTVKWRQGQFKYQ >Sspon.01G0049080-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:111248583:111255273:-1 gene:Sspon.01G0049080-1B transcript:Sspon.01G0049080-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding PMLQRNLPNLSLQISPPAASSAVVASTEPISTATYAEGSGEVGFFANPSPVAEPPGLSLGLATPARGDDAAGRQGHHLQPQGCAFKRAAARASLPAGSKRSARAPRMRWTTALHARFVHAVELLGGHAGATPKSVLELMNVKDLTLAHVKSHLQMYRTVKSTDRSLHTAAGIYIHAANKLASVDHGLRSPTYFMAGAGRALTDRSNIVFTGESLPLQRTAATGMETAVAAAAAAGGGGVVVVVAPVPAACDDQLSFGGLCAACYGDYFRCSLPLCASSSNCSTGGSTVTSSTHSPQYRSPDAIMVNPPIYLRAQRQLYVHYSYIFDSAGRNRTDQASAVPEKGVAIVDSLYRCQEHNFSPPVLQDTQVAQEEANGQLAMGLHGSVDLDPIVATNCSSPASSSPSLASMEQLTDDIYAPNLEISLGRQDWSMERPEGLCLKYL >Sspon.07G0015500-1P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7A:56363867:56364048:1 gene:Sspon.07G0015500-1P transcript:Sspon.07G0015500-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RVGGTDTRPPRSMAPRRDVVPQHARTAPAPAFSDPSNPAPFAAIRMSSPPAAMAACTPTP >Sspon.03G0002730-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:6523766:6526822:1 gene:Sspon.03G0002730-1A transcript:Sspon.03G0002730-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHRVDNEYDYLFKIVLIGDSGVGKSNILSRFTRNEFCLESKSTIGVEFATRTLQIEGKTVKAQIWDTAGQERYRAITSAYYRGAVGALLAYDITKKQTFDNVQRWLRELRDHADANIVVMLVGNKSDLNHLRSVPEEDGQAFSEKEGLSFLETSALEAINVEKAFHTILTEIHQTVSKKALAAQESASSNGRSMHGTTINVAESSTATKGSCCSS >Sspon.02G0011990-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2A:31995781:31996335:-1 gene:Sspon.02G0011990-1A transcript:Sspon.02G0011990-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIKMIKPKTLARGAGMEGQRGCRHRPFPFFERPRRELPGPPPTNPLRQQARTHIGPYRKIPPRTNLTICSDDTMKVFKILASWCSRLLLCAIGSAFSVVMPFAAAPVMAPAAAAAAPKSSLEAMLDTVKKRGVQEQDELSFLPPLPPRPRCRGRPPTPRPRSLPPPGFKAGNGEAVVESTDAN >Sspon.07G0011210-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:44197024:44197896:1 gene:Sspon.07G0011210-2B transcript:Sspon.07G0011210-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADRVYPAAKPNPPPGAAAANGNGNGAPPAPFPAPKSQMYQRPIYRPQAPAKRRRGRSCRCSLCCCFCWALLAVILLAFVAAVAGGAFYLLYRPQRPSFTVSSVRLTSLNLTSSPTAPVLTDAITLTVTARNPNKKMVYLYDDLTVSVATAANAVPLGSATVPGFTHAAGNTTVVTATVSSNAVTVDPSGAGSDIKRSGAFSVVVDADTSAGVRVGGLKTKKIGIQVHCEGIKVTPPPPPPAAPRKVKGKNSTADALAPAPAADAATTTVSTAAHSCKVRVRVKIWKWTF >Sspon.07G0003390-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:7924454:7927505:-1 gene:Sspon.07G0003390-2B transcript:Sspon.07G0003390-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AMNGMNRPALLPAASSSNGPFPLAANQVNRDYGQPAHPLVQQNPVQSPTRSAPRMPAQMFGARFSNSSKISPAQPTSTTLGDDAEISSPVMQFGGQRPVGRGVPSIGMALPGFVSQQQLGINNSEMTRLPILSGASGALGAPYGSPYIAIDGSYYSRPSEQASSSVSIREPSANNASSLSKSQEITGLTVEDVQTITQVRFTWYSTSPQYQTSSQHIAYSP >Sspon.03G0034240-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3B:69729173:69730612:-1 gene:Sspon.03G0034240-1B transcript:Sspon.03G0034240-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTQNIIFQAGLSKHQGRVAPAADIIITPGSTASSEPDAFGTPHFGKRNQRKEQARRHGKSLMVTPSSNTQNALSAPSSGALATPILLRSVPRPQLTLEVEVKDPSMIKSLKSDDLTHLNSAGARGVPKLLTSKNDMLPPLMLRTNITERGTTVPPEGMVHKRVAEIEDQRKARLKQPPSMYTSVTTPLDVWLVPGQAGYRPPMLFYKAPHALPCDFVTKLPKACLETEETATTTKAEPRMVRLLERSEINLRQNCRLPPPPAICVEWWEEIEKIAKKPQKRKYQTQPKYGLGYVHSDSVESEGDKNNDPRRVMCSMTSVIPDKNDMDDATSGKKDYEVLGAAPAPQQLEDGGQLTVDELVEINLGTDDDPRPTFVSATLTLEERESYRTFLMEFRDYFAWIYKEMPGLDPRVATHKLAIDPWFQPVKQQPRRVCPKL >Sspon.04G0003090-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:10310985:10315857:1 gene:Sspon.04G0003090-3C transcript:Sspon.04G0003090-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRPLYRGVSGIGGKLAAADEAYYDPKEPSENGIGGGGGGFGRGGAQKRHLAAAAVKIGVLLLAAAALVGSVAWAGTLYAGRGAAARAAAAAAHRGYRRLQEQLVTDLLDIGELAGGGVRAKEAEVCPPEYENYVPCYYNVTDAVDVSDLGAGVVISYDRQCTRDGRVTCLVAPPRSYRIPVRWPSGKGFIWKDNVRISGQEFSSGSLFKRMMVEEDQISFPSDAHMADGVEDYAHQIAEMIGLRNEFNFNEAGVRTVLDIECGFGTFGAHLFERDLLTMCIANYEASGSQVQITLERGIPAMIGSFATKQLPYPYLSFDMVHCAKCNIEWYKNDGIFLVEVNRLLRPDGYFVWTSNLNTHRALRDKENQKKWTAIRDFAEGLCWEMLSQQDETIVWKKTNKRECYNSRKSGPELCGHDPESPYYQPLSPCISGTRSQRWIPIELRSTWPSQARQNSTELDIHGVHSEVFADDTSSWDSMVRNYWSLLSPLIFSDHPKRPGDEDPQPPFNMLRNVLDMNAHFGGFNAALLKAGKSVWVMNVVPTNAPNYLPLIFDRGFIGVQHDWCDAFPTYPRTYDMVHADGFLSLEKNHKHRCSTLDIFLEVDRILRPEGWVIIRDTAPLIEVARSVVTQLRWDARILDLDIASDEKLLVCQKPFIRK >Sspon.07G0015210-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:58672219:58673029:1 gene:Sspon.07G0015210-2B transcript:Sspon.07G0015210-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSKISLKLLIGNKAKKVLFAEAGKEFVDFVFSLLTLPIGAVAKLVSAGTMHGSVGRLYESVDRMGASYLQPGTDRSDLLQPKVLHPDARELLLLRGRGGDGGDGESPLARFRLYTCAGYCATAAAEAGTTCPQCKLPMATEVAFVLPYAAAAAAASSAAGGDEGAGGYVKGVVTYMVTDGLEVTPMSAISSITLINKFTAGKDVELAEKFVTVGNDEGLALLKAVLRSDTVLSDVFLTRKK >Sspon.06G0014000-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:73344770:73359970:-1 gene:Sspon.06G0014000-1A transcript:Sspon.06G0014000-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPPPPQQQPPPFAAQNPTAQGPVQGPPGSGAGALPGAFANFQISRGAAPPPGAPRGLTPQQAPPAFAARPGPPPAAARPAFPGSPPAPPFVRAPTAATSASPPFGGQPGLVSQPPPSFGGPPGAASQPPPSFGVPPGVVSQAPPPFGGPPAAASQAPPPFGGPPATASQAPSPFVGHTVAASQAAPFGGPPVAGSHPPPFGGPPVAGSQPAVPLRPTFPGQSGPMAAAAAWTQPTPPSFGAPQQPPPPFGSRPVGQPPFATQSAPVAQQLPFMGPPRANAPAFGPPSWQAQGAGSGAMQPPMRMPGIPGSMQPNTLGPPGTPTIPYSPHAGTQVSTPSKIDPNQIPRPMPESSVIIYETRQGGQATIPPAASSEFIVKDTGNCSPRLMRCTVNQIPCTGDLLTTSGMPLALMVQPFSLPHPSEEPIQLVDFGEMGPIRCSRCKAYINPFMKFVDQGRHFICNLCGFRNDTPRDYMCNLGPDGRRRDADDRPELSRGTVEFVATKEFLVRDPMPAVYFFLIDVSMNAIQTGATAAACSPRTMVGIATFDSAIHFYSLKRAQQQPLMLIVPDVQDVYTPLQTDLILPVSECRENLEQLLESIPNMFENNRVADSAFGAAMKAGFLAMKSTVLPSLGIGSLSAREAEGRANITTGDKVSVDVFLTTQSYVDIASISVVPQTTGGRVYYYYPFSALSDPAKLFNDLRWNISRPQGFEAVMRVRCSQGLQVQDYFGNFCKRVPTDIDLPAIDSDKTVMVTFKHDDKLPENVECGFQCALLYTTVYGQRRIRVINLSLSCTNLLANLFRCADLETQFACFLKQAANGIPTSPLPRIRDEATNTCINILQSYRKHCASVTSSGQLILPEALKLLPLYTLALVKSVGLRTDGRLDDRSYWISLVSSISVVLAVPLVFPRLIPIHDLISRDDDDSLVPSPLMLNSENVQEDGVYLLENGEDGLIYVGNMLALDQFDNELSRKVNEVVNEIRRQRCSYLRGQGAWRLLLRGVPCACPQANPEQDDLRTQANPKQDDLRAQIL >Sspon.03G0002140-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:12782687:12785839:-1 gene:Sspon.03G0002140-2D transcript:Sspon.03G0002140-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASKVAANQGSGETTSSAVACGPFEPCVWGDFFVAYTPPPLQAGGHLDRSITKLILGRAEQLKGEVHRMFEAGKAMNDASDTVSLVDALERLGIDNHFREEIDVALSRIHGEDDTDVGSSHDLHTVALRFCLLRKHGFWVPTDVFDKFRDGLPQKNKFRDGVGSFNKELSRDPRGLLTLPRRGSPRRRHRLRQAPPEDEAARGKLRSPLAEQVCRALETPRPRFMRRLETMHYISEYEKEEGHNATVLELARLDFNLVRSLHLKELRSLTLWWRNLYNDVKLPYARDRIVEPYFYACGIFHEEENSHVRIIFTKGFVLLGLMDDTYDVHATLEECQMLNEAMQRWDETAASFLPKYMRMLYIKTLSNINGIEDILEPFEKYRMMAHIQKQYKLQSNNYLQEAKWSNEKHIPSLKEHADVTLMSTGLPFLFSLALMAAGQVVTKEALEWALMAAGQVRGKNKNDVASTMESYMKEHGVTGEEAIAAIKTMVEQAWRRINGACTELGRTMQPALQWLVDMTRMLEIFYLDGRDGLTYGYGIKEIVAFLFLKQVLV >Sspon.01G0023930-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:85923143:85924489:1 gene:Sspon.01G0023930-1A transcript:Sspon.01G0023930-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SMEAYAATASPPTTTSTCVDKLSHQLFSLLESKFLIGGWCPSSGPGTPARALLDGAGRVRVLAIDGCGAGAEDALLAAAALARLEAKLRDCTGDPDARVADFFDVAAGAGAGGVLAAMLLLRGNDGRPRYSAQEALAFVAGSVGRKDWCGRSRRGGLAKLFRGSRGGGDRMLRRVFGDATLRDTVAPLLVPCYDLGTAAPFVFSRADAVESDSFDFRLRDVCAATCAAGGTLATVTSVDGATAIAGASGGVAAMGNPAAAAITHVLHNKQEFPLATGVEDLLVLSIGAGASASAANGSSTPIPTRSPSPRELARVTAEGVADMVDESVAMAFGHACGSNYVRIQASKAPAADEAAVAAAGAMMLAQRTVESVLFRGRRLSERTNAEKVDALAAELVKEQERRRRSPLPNVAIKQVGTPRLSSATTASSGTATARTVSTMPSPASWESRR >Sspon.04G0030630-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:1999313:2000830:-1 gene:Sspon.04G0030630-1C transcript:Sspon.04G0030630-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEKGSMTVVGRFDAKKLRDRVANKTRKNVDFVVAGGSSSNKGGGGGGGNGKQGGESGGGNQHKGATEVDGKQADKGGEQEGKEKDKADRQEEGKGKDDKQGGGGGGKGKGGGKDNKKPVVARSTLPILIIPLPARILFFSSLIPVVATVVLKIGSTGLHCDGCMNRIRSKLFKIKGTHTCTCVRLMEFGFVRSLCMHDLPTMEEGILTVSALDVWIMDGAGVEQVRMDMAKNQVTVTGTMDAKALPEKLRTKLRRPVDVVAPGKDKDGKEKDGKEGGGKDGKDGKDAATKALKAELEAWKAAFYDQQSLINAEFMLSDENPNACAVM >Sspon.03G0043770-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:83990221:83995891:1 gene:Sspon.03G0043770-1C transcript:Sspon.03G0043770-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ASSLLQLKSSFIIVASNLSSWRAGTDCCHWEGIICDTGSGRIISLDLSEFELMSPRLHPALFNLTSLRNLNLASNDFNGVRLPASGFERLIDITHLNFSGTNIVGEIPSGISRIKNLVTLDFSYNDGLYVQETSFGNFMANMSNLRELYLDWVDLPSSGLMWSAVLAHSVPRLRILSLSMCGLSGSIHPSFSRLRSLMEINLGYNSGLGGKVPEYLSELSSLTSLDISECSFEGQFPTKIFRIKSLKTLALFGNFMLSVRLTYFPAGNNLESLYLQGTNISYDMPRSFVNLKSLKALGISTMGMAKELPTLIIDLPSLDDLELMGPDLDKPLLSWVGNLKELKFLWLDYYDFSQSVPSWMGNLTSLENLSLRNCKFSVPILYQIGNVVNLRYLEFFNSDLSGQQIPSWIGNLTKLTTLRIQCHDISGPIPSTIGNLTQLEEIYVGYIMTDETLNREIPESVFALPALKFLCLGGQLSGSLGDIPTPLSSPLWLIDLSGNQLTGPIPKSFFQLPNLQHLNLESNKLTGTIELSSILGLKRLNDLYLSNNMISLVDTEAGTIPPSLPRINYLSLSSCNLTKLPPAFRYLDAISYLDLSNNQIEGAIPTWVWESWKYQLVTLDLSHNMFTTLEKSPSLVHMTSLTFLDLSFNRLRGRIPLPITSSEEATLDYSNNNFSSIIPNFGKYLTNSTYISFSNNKLSGHIPPSVCSSNKLDVMDLSYNYFSGPVPPCLIERGNLTVLKLRENKLCGVLPENIREGCKLEAIDLSGNRIEGIELLDVGNNRIVGTFPSWMGALPYLRVLILRSNQLNGTITDLRTVHKKNKHFTSLQILDLASNNLSGYLHSEWFETLKSMMNNRNDKGQILWHQTNSSNKGTYLDTITITYKHSELVMTKILTTFKVLDFSDNSFEGPIPKSLGRLVSLHGLNMSHNNFMGQIPAQLANLTRLESLDLSCNHFSGEIPQDLTSLTSLSWLNLSYNNLTGRIPEKNQFFSFPSSSFEGNAGLCGSQVSKQCDNPGSTTPRALDHQESNS >Sspon.03G0038500-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:5019477:5021019:1 gene:Sspon.03G0038500-1C transcript:Sspon.03G0038500-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNAGSAPDQAKNSADGAGAADAEARCGPPSTVRFFPDAERHRARQPPSIKLEEEEGVPPPPATEEEMAPRNLWQVYALGAFIVLRWAWAKWKENQDSEDSPDGGDGNAPDRST >Sspon.05G0014030-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:51708997:51709384:-1 gene:Sspon.05G0014030-2B transcript:Sspon.05G0014030-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPTTVALLILMNMMCTIGYFDHASFLGTKAQETTLLANSYGSNEVTGFTKGINLGRKLMDVGIDSS >Sspon.06G0029580-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:25759028:25761606:1 gene:Sspon.06G0029580-1C transcript:Sspon.06G0029580-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RSHRYLGARLRPRPILLHRLPSAPTSSSSQPAFKRVINRQAFWELCWGARGKSEVTAEANISVVE >Sspon.07G0007820-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:21098195:21102292:-1 gene:Sspon.07G0007820-1A transcript:Sspon.07G0007820-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSAGPRRVSLKAHRPDWKSELRTNCLRRVRKDRTDLLWKIREQGHLPANDMEKVESAVRNIISDEIEKLKQSNEGKEEQEMDVIWEYQGPQCAKPAEIESEDVLLEMERLLYEDIREELIRKQLEALDEEDAYLAQSVFDHMQLNDNEAAETAKLWCPVCKRGDLRETHNLIYCTLCKLRLDLGEDKMTLEFLRERLANAHTDHFDRGCKSSPKFCLQTMFGLTALYIQCEECNIFDVVCHPKLRIVPKEGMHAILN >Sspon.04G0012290-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:41122838:41124748:-1 gene:Sspon.04G0012290-4D transcript:Sspon.04G0012290-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTRETTTQGHDDLGKRCAKYYEAGARFAKWRAVLNIGPNEPSQLAIDLNAQGLARYAIICQENGLVPIVEPEILVDGPHDIEHCAYVTEMVLAACYKALNEHHVLLEGTLLKPNMVTPGSDSKKVAPEVIAEYTVRALQRTVPAAVPAIVFLSGGQSEEEATLNLNAMNKLNTKKPWSLSFSFGRALQASTLKAWAGKEENVEKARAAFLTRCKANSEATLGTYKGDAAAGEGVSESLHVKDYKY >Sspon.02G0054960-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:122757847:122758902:-1 gene:Sspon.02G0054960-1C transcript:Sspon.02G0054960-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLTLTSTHLSYVARSTHLLNISSYSLPSTGSKVVDQSKVHYKSSVEVDGYEWEIRLYPVCSPRYQIELQLVFLGEAGANKVTAALSCRLVDPSGRIEPSEEKTSETTSFQHPSDSSQPFVAVNIFEAIDLPYFDDKSLTVECTVTVFRSDPEAIPVPSSSLHQHLGELLASQAGADVTFTVSGESFPAHKNVLAARSPVFMAEFFGEMQEKSSGHVEINEMEASVFEAMLRFIYTDAVPELDGKPPEAATTTLAQHLLVAADRYGLDRLRVMCERRLAFAVDASSVAATLAIAERHGCSWLKAKCVEFIAGGSRENLHAILKTDGFKDLEVNHPVLLTELLVAAHGRKKD >Sspon.02G0030880-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:92812058:92818863:-1 gene:Sspon.02G0030880-3D transcript:Sspon.02G0030880-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MYCTGVRRQLRPPPQDAWVVELRSLLPQWESLRDSSKVIIPISISRVNQFDAARLDVEMSAMLKEQLVKVFSLMKPGLLFQYEPELDAFLEFLIWRFSIWVDKPTPGNALMNLRYRDERAAPITGKEVRTGLEGPGLSVSQKIFYCISFVGGQYIWSRLQSFSAFRRWGNSEQRPLARRAWALMQNAEVLYRAASFFNLLLFLYGGRYKTIVERILKARLVYGSPNMNRAVSFEYMNRQLVWNEFSEMLLLLLPLLNSSSVKKFLLPFSKDKSAGSSGDEADCPICRSSPSIPFIALPYIATIAYARVVLLQIPIDAHVVTRWLLQFKD >Sspon.01G0026440-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1A:92346727:92347068:-1 gene:Sspon.01G0026440-1A transcript:Sspon.01G0026440-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ARTSVCPDAASPLILVVAAFPTRHRAVCSLPPAECAPTAIPPQRDHPLRRTTAPSCHKANLLSIHHWLVSRFLAREGSTVGSKRPLTLPSPSHLALRRGDLAQLATWPGKEKS >Sspon.02G0002520-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:8224489:8228465:-1 gene:Sspon.02G0002520-2C transcript:Sspon.02G0002520-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase haspin homolog [Source:Projected from Arabidopsis thaliana (AT1G09450) UniProtKB/Swiss-Prot;Acc:O80528] MEESPSPKYFASWNKGMEENIIIHDLAAILERWKISSLARRASSEPLFDIMETPILPSVLSNNSTTNRGSGTYTAGRAIPVGYTDISLKSSAKDSLITSFGKVNIKEEPVEASIPWNGEALSAFDQLLMVCRQSAPVTLAELMILFMDSELGSITKLGEGTYGEAYRAGRTVCKVVPFDGDLLVNGETQKRSEEILEEVLLSLTLNNLRANQGDDVKTNSCNGFIETKDFRVCQGPYDPSLIRAWEDYDAERGSENDHPKEFTREQCYIVFVLADGGTDLESFALVDYKEAHSLLVQVTASLAVAESACEFEHRDLHWGNILLAQDETPDTNHTMSFTLQGKRMHARTFGLNISIIDFTLSRINTGTAILFLDLSADPALFQGKKGDKQAETYRRMKQITQEHWEGSFPKTNVVWLIYLVDMVLQKLKPLAAGNKIERELRSFKKRLASYESAGDCLGDPFFADVLMIEDDELPSMPPL >Sspon.03G0008410-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:22708865:22714630:1 gene:Sspon.03G0008410-1A transcript:Sspon.03G0008410-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFGADGTRLPQPRGDAAEAPPPGDHGEIASPRFDSSRALRLLRELGRNVTEDLVVLMPNLLSFLKHDDPVVVKQSIASGTNLFAAVLEKMTLQVNKCGKLETWLEDMWAWMKQFKDAVCGVMNEPGPIATKLLALKFVETWILCCTPQANSDQTQPTEGKNWRFDTSRLSQFHPSLDPAVLEADAHRALLLLLDILRTAYAHRGSFLVGTINSLAAVVKIRPIYYDRVLPVLLDFDPGLETAKGAHSASLRYAVRAAFLGFLRSPHQAMIESKDILVRRLRVLSPGEATEQNIRQAEKMSRNIERASRAIKDESTSWEMPYGDINRKKPAARSSDILTTSDGIAKRARFDMSATSICQFWDPLIILICRLTMVPVWVIRLIQPY >Sspon.01G0008280-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:23122894:23131496:1 gene:Sspon.01G0008280-1A transcript:Sspon.01G0008280-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DEAD-box ATP-dependent RNA helicase 24 [Source:Projected from Arabidopsis thaliana (AT2G47330) UniProtKB/Swiss-Prot;Acc:O22907] MSKRPKVGGFSIPRPTSYNFERSQPVQRLYRPTDDPDLDDIAFSDDAPSDAPASTAVEGKAEDEEEIDPLDAFMAEIQEEIRAPPPPPKSEALRRADSDDDEDDPVESFLRAKKDAGLTLAADAMRAGYDSDEEVYAAAKAVDAGMMEYDSDDNPIVVDKKKIEPIPALDHSTIEYDAFTKDFYEEKPSISGMSDQEVADYMKSLAIRVSGFDVPRPIKNFQDCGFPVPLMNAIAKQAYEKPTTIQCQALPIVLSGRDIIGIAKTGSGKTAAFVLPMIVHIMDQPELEKEEGPIGVICAPTRELAHQIYLEAKKFAKPYNLRVAAVYGGVSKFDQFKELKAGCEVVIATPGRLIDLLKMKALKMFRATYLVLDEADRMFDLGFEPQIRSIVGQIRPDRQTLLFSATMPYKVERLAREILTDPIRVTVGQVGGANEDIKQVVNVLPSDVEKMPWLLEKLPGMIDDGDVLVFASKKARVDEIEKELNQRGFRIAALHGDKDQASRMETLQKFKSGTYHVLVATDVAARGLDIKSIKTVVNFDIAKEMDMHIHRIGRTGRAGGKKGGKGKGGGGGAGRGRGVRGVDFGLGIGYNAESGLQVPAPRSAAVNSLKTGMMQQFKSSFVSGSSNTPSSSAPSFVRPALRGFVSGGTIGGDARPAQSAPTFVPASRPAQPAPSAPASRPAGNNNENGNSNPERG >Sspon.08G0000730-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:1065760:1067549:1 gene:Sspon.08G0000730-2B transcript:Sspon.08G0000730-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding REEDAVNRGNTLYVTGLSSRVTERDVKDYFSKHGKVVGCHVVLEPHTRVSRGFAFVTMDTVEEAERCIKYLNDSVMEGRNITVEKSRRGRPRTPTPGSYLGHRYERRERGRFRRGYGGGRDEYYGNGGGYGYRRSPPPVHASYRESRDYYPSYRESRDYYPSYRESRDYSPHRDPRDYYESRGGRGYSPPPYGGGRSRRERSISPYRMPERGYGGGRRAGGGGYDR >Sspon.07G0021440-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:3490272:3491186:1 gene:Sspon.07G0021440-3D transcript:Sspon.07G0021440-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDASLRTLPPAGSSFPGEVRSAVSSLLLSSAGGTSALDTVFSHLPPPVTIPPLGSSVYHRQCELLRHFAASQPQTHQPPTAAACSSSSTSTQREESARSAGSGSGAEAANKPAAARPVVSEGAATTTTTTTSGTSTTPCGSPDGVLSVSAVSADGDCLLERMPSFDPELIWEMLNF >Sspon.02G0005030-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:15760240:15765521:-1 gene:Sspon.02G0005030-1A transcript:Sspon.02G0005030-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MWERGRPPRKPRPSPILVPPPPSSPPPRLNLLLQRSLLALAARAMPSRRPSPVLLLLLALSLALLFLLLSPSSPSASVFSRSLSSGSAIASSSPASTSAPPAPVKIYLYDLPAKFTYGVVRSYTAARAPSGSADAAAALPDEQLRYPGHQHAAEWWLFKDLLRRRPWDRPVARMDDPSDADLFYVPFFSSLSLVVNPIRSPPAANASGAAAAYSDEAMQEELLEWLERQPYWRRHRGRDHIFICQDPNALYKVIDRISNAVLLVSDFGRLRSDQASLVKDVILPYSHRINTFKGEVGVDGRPSLLFFMGNRYRKEGGKVRDALFQILENEDDVTIKHGTQSRESRRAARQGMHSSKFCLHPAGDTPSACRLFDALVSLCVPVIVSDYIELPFEDIIDYNKISIFVGTSKAVQPGYLTSMLRRISSERILEYQREIKKARSQRWG >Sspon.07G0006330-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:16646699:16648141:-1 gene:Sspon.07G0006330-1A transcript:Sspon.07G0006330-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEKKKHKHKHKEKEKQSGGTGTEQAAHFKPCADVKGIRFGGQFIVKSFTVRRASPLELLRLLDIPPSYLSECQSLPFPSTTTYMPTSFTILAHQAWHTLTLGLGTKKSKVALFVFESESMKAAVDQLWPAMIPLGDVNKKLIRGLTGSEMARFKFRKGCLTIYVYAVRRLGAAGFMRTDDLRRILQSVVELKDFLDHTAMLAIPSQKSITLQSRTADCQMELSVFTSKKKMELPEEEGESYRI >Sspon.07G0000520-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:828794:830596:1 gene:Sspon.07G0000520-2B transcript:Sspon.07G0000520-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAASSSKPETSPLLNSLPKELPAEFLKDITDGFSPARQLGKGAFGTVYRGILKDGTEIAVKRIGSGSPVTPDKQFQNEVGNLMAVRHDNIVKLVGYCYEMKKTVVEHNGRYILADTVESLLCYEYMKMRSLDNHIFGLHFLHKGMDQPMVHMDLKPDNILLDENMAPKIADFGLSRLFGAEQTRMHTLNVVGAHGYMAPEYLYRGEISTQLDIYSLGLLIIEITTGERNPRSKDDMSARNLVENVRQNWTIEHIVSKYASLDANSLQQLKVCIEIGLECVEIDRKKRPSIEHIVNKLDGR >Sspon.03G0007040-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:19159233:19160678:-1 gene:Sspon.03G0007040-1A transcript:Sspon.03G0007040-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGSVKQTVVLYPGGGAGHVGPMTQLAKVFLHHGYDVTMVLLEPPIKSIASGASFIEGLAASNPSITFHLLPPIPPPDFASATKHPFLLMQELLGQYNDKLESFLRSIPRERLHSLVIDMFCTVAIDMAAKVGVPVYTFFAANAGALAVLTQTAALLAGRQTGLKELGDTPIDFLGVPPMPASHILREMLEDPDDEVCKTMAEIWKRNTDTRGVLINTFYSLECRALQAFGDPLCVPGKVLPPVYSIGPLVGEGGMHREEAERHECLTWLDAQPERSVVFLCWGSRGLLSGEQLKEIAAGLDKSGQRFLWVVRTPPSDLKRPWEPRPEPDLDTFLPEGFLERTRDRGLVIKSWAPQVDVLNNPAIGAFVTHCGWNSTLEAIAAGVPMLCWPLDAEQKINKVLMTEAMGIGLELEGYNTGFIKAEEIETKVRLVLQESEEGREIRTRAAELKKEAHAALEDEGSSQAAFLQFLSDVKNINE >Sspon.06G0015220-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6A:83809531:83811427:1 gene:Sspon.06G0015220-1A transcript:Sspon.06G0015220-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGATVTVEEVRKAQRATGPATVLAIGTATPANCVHQADYPDYYFRITKSEHMTDLKEKFKRMCDKSQIRKRYMHLTEEYLAENPNMCAYMAPSLDARQDIVVVEVPKLGKAAAQKAIKEWGQPKSKITHLVFCTTSGVDMPGADYQLTKMLGLRPSVKRLMMYQQGCFAGGTVLRVAKDLAENNRGARVLVVCSEITAVTFRGPSESHLDSMVGQALFGDGAAAVIVGADPDERVESPLFQLVSAAQTILPDSEGAIDGHLREVGLTFHLLKDVPGLISKNIERALEEAFKPLGISDYNSIFWVAHPGGPAILDQVEAKVGLDKERMRATRHVLSEYGNMSSACVLFILDEMRKRSAEDGQATTGEGFDWGVLFGFGPGLTVETV >Sspon.01G0023340-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:89001514:89004181:-1 gene:Sspon.01G0023340-2B transcript:Sspon.01G0023340-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LSSKIPGKHPARLGNRNTPVSDQHPVSSSWSLKSLKPKTPKKSLSSPSGPTDTDHGHRRHPRLHRAPDPLRAAPRRRALLPLPLPAGPASNVAFSPLSLHVALSLLAAGAGGATRGQLAATLGGGDAPAAAEGLHALAEQVVQLVLADGSGAGGPRVAFADGVFVDASLKLKPAFEEVAVGKYRADTHSVDFQKKAAEAAGQVNSWVEKITSGLIKEILPPGSVDHTTRLVLGNALYFKGAWTEKFDASKTKDSEFHLLDGSSVQAPFMSSTEKQYIVSYNNLKVLKLPYQQGGDKRQFSMYILLPDAKDGIWSLAEKLSSEPEFLEKHIPMQKVPVGQFKVPKFKISFGFEASKLLKGLGLQLPFSAQADLSELVDSPEGRNLSVSSIFHKSFVEVNEEGTEAAAASAATVVLRSFTMPQDFIADHPFLFLIREDLTGVVLFVGHVVNPLLAP >Sspon.08G0016120-3D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8D:60709213:60713964:1 gene:Sspon.08G0016120-3D transcript:Sspon.08G0016120-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEWAESCVSEVGCRALVELGYMPEKPLIGWRPAAGDAVPAPNAGEIVVFAPFFERGFSVPTHPFFRRLLDYYGLQLHHLNPNGILHISIFITLCEAYLGIEPHLGMWKWLYRVKPASKLDPNNTIGGAGIQLRSRTPYVSIPLRTSNKGWHSRWFYCRNEKPSLPDFVADDCPVKLPSWSNPPSADEMAEVAKIIPYLNELIQDGLDGADLIATFLSRWIQPIKKRCHRMSEFTGNSDPTRETPVKLPEDEIVSRVARLVSTDTKICTHGKPLPCHHKRPVKHDLSKYLSVTLEEDEEGAMPQTTDTATLVSQPPLKNPRIPGAGSSRESVQQKAKEVVARSCSELAQQRDSGNSAPIAQPPSDDEDTETLSHRKKRKFAEVLACSTVDVGSVHVSLPQAASLPPQSEPCPLRSSPARHASPTTRMLPTLTAPKSIKMTHVPCRPLPHDNLGSSQQSDGFAMDSVEVEGEVHGKKAKEEPGMLYVQMKDAESKLLWEINDEKERTEVEWEKVKETATSFMTRLPEITLNLKRASEMIVKLKQENKDLEIKLKQENKDLEVKLKQENEELEVKLKQENKELEVKLKQENKDLEVKLKQENKELEVKLKQENEIARAFEKKASDLSKELDIVRADRDSLSRGFQDAKKKAADLCDAFDAIHAACDKFSIDNLTPDGVEAVIENLPLFLFLSHAHPSGRLALLRTHSLAHRPCPTLLEQVVGGGGARLLGREAVVHEDGNGARHDEVGFSVCSSEQTWRCIKLKGACATYGLTVTAPSAACCSKYRQHIGAPNSAKKEFSLAGVPAYRVRPHERRRRLSRMPSMSVVGWCTVSIGYSRSSGITYVGAQPPAMQRLLVQREPTAVEAVSCDLPPRPSTSVNLPDPLVPMTANSSPAHTSLETPSSSALVLLDDLNGGGDGGWVAFAEATRRR >Sspon.05G0010120-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:5A:29031164:29031586:-1 gene:Sspon.05G0010120-1A transcript:Sspon.05G0010120-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLYAVVNAPRHRAGDVSARYRGAACVVNPTPKPSSIRPMMSMATLTAPALIAEPTRNKTPPISMTADRPTVLVTRLATSDESIAAMYNDEVNAVSRWSSYLQYGSCFAFLVPLDTSGKNFTRNDSICVTPPFKCTATECV >Sspon.03G0045200-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:11415302:11431650:-1 gene:Sspon.03G0045200-1D transcript:Sspon.03G0045200-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTFYISHGSPTLSIDDSLPARHFLKSWVPAGLAGPQPPRAILVVSGHWETDAPAVNVIHGTNDTIYDFYGFPDQMYKLTYPAPGAPDVAKKAKQLLEDAGFGPVAEDRRRGLDHGAWVPLMLMYPEANVPVFQLSVQTARDGAYHYDLGRALAPLREDGVLIVGSGSATHNLRRILRTFAPTSHEPPPRWAAEFDAWLKESLLGGRHEDVKRYQEKAPYAEEAHPRPDHFYPLHVALGAAGEGCEAELVHHSWSNATLSYASYRIVGVRRPVQVKLHLVRHRPSPQVHRRRPDVSQRVREVAGATEHRVVGRLGVVGAPEDGDGAPVAAVVDRDLGQRQALQPVEAGGDGAPFRDAGHRGGPVRAHRQVVAEDVDGAEGRGGLADARARDAVHHDVAGLVDGLVLRSEREDIMGQSQGRARAGRPKPETRHATREGEEGEEQRRRGDIARPGPDATAEEGQRRPPRVPATMDTFFLSHGSPTLSIDDKIPARHFFKSWVPAKVAGDQPPRAILVVSGHWETATPAVNVIRGSNDTIYDFYGFPKPMYQVRSMPTYHPSLSSLKYPAPGAPDLALRTKELLEQAGFGPVKEDHSRGLDHGAWVPLMLMYPDANIPVCQLSVQTDRDGTYHYNLGKALAPLREEGILILGSGSATHNLRKMGPFDAPVPQWVAEFDTWLKDSLLGGRYEDVNRYEEKAPHGRVAHPWPDHFYPLHVALGAAGDAAKAEQIHQCWTNATLSYASYSVPKAMDTFYISHGSPTLSIDDSLPARHFLKSWVPAGLAGPQPPRAILVVSGHWETDAPAVNVIHGTNDTIYDFYGFPDQMYKLTYPAPGAPDVAKKAKQLLEDAGFGPVAEDRRRGLDHGAWVPLMLMYPEANVPVFQLSVQTARDGAYHYDLGRALAPLREDGVLIVGSGSATHNLRRILRTFAPTSHEPAPRWAAEFDAWLKESLLGGRHEDVKRYQEKAPYAEEAHPRPDHFYPLHVALGAAGEGCEAELVHHSWSNATLSYASYRRPPIISLALLCSPRTTGRHHKPDATHARKIQRSEREDIMGQSQGRARAGRPKPETRHATREGEEGEEGEEQRRRGDIARPGPDATAEEGQRRPPRVPATMDTFFLSHGSPTLSIDDKIPARHFFKSWVPAKVAGDQPPRAILVVSGHWETATPAVNVIRGSNDTIYDFYGFPKPMYQVRSMPTYHPSLSSLKYPAPGAPDLALRTKELLEQAGFGPVKEDHSRGLDHGAWVPLMLMYPDANIPVCQLSVQTDRDGTYHYNLGKALAPLREEGILILGSGSATHNLRKMGPFDAPVPQWVAEFDTWLKDSLLGGRYEDVNRYEEKAPHGRVAHPWPDHFYPLHVALGAAGDAAKAEQIHQCWTNATLSYASY >Sspon.08G0029660-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:46534850:46538016:-1 gene:Sspon.08G0029660-1D transcript:Sspon.08G0029660-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQMHISTSGTRSPIYSEKQPRGREANLLHAQRVRELSKELTDFWKSQGIDITSNSPGSKEQDIRAPFALPQNQ >Sspon.05G0021620-4D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7D:83639577:83640588:1 gene:Sspon.05G0021620-4D transcript:Sspon.05G0021620-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding WQCPICLKNYSLENIIIDPYFNRITSLIKSCGDDTSEIDVKPDGSWRVKGRAELKDLVQWHQPDGTLSVATDTAAKPEICIVKHEVKEELLSEEVGCLKLGLRKKSNGRWEISKIGDADVVPSSGNDHSRYNENKNCITLSSNIGDTNIANEGYNLEPATNGDPTTHVHDLDSSSSDQNGPPASTGQDIIVLSDSDDDDVMVLSPGAVNCGSTHDTGSLFPLNTPENLGVCSEQTGVCPKESSFVALREGFGDLGLSFWECPGSLRDDPTSQILDTSTKATDNPGEVENYLPMINLSKAQFLGR >Sspon.03G0001120-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:2906561:2913750:1 gene:Sspon.03G0001120-1A transcript:Sspon.03G0001120-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVMSRRVLPACSSLCYFCPSLRARSRQPVKRYKKIIADIYQLPPDGEPNDRRIGKLCDYVSRNPTRIPKITEYLEQRFYKDLRHENFMLAKVVPCIYRKLLCTCKELRPLLATSSLSTIRTLLDMKAHDDLQVLGCLMLVDFLNGQVDSTHMFNLEGLIPKLCKIGQQLREDDEGLRLRSAALQALASMVVSVIINCYEANQTLSIKEVVRFQDDDDLFINENLAVLPVSGQNSAKVASDTMSASENPAHWARVCLRNMANIAKEATTVRRILDPVFRLFDSHDYWSPESGIALSVLQEMQKLMDKSGAAIFTHVGDVGPILDMVGVMLENLSHTATIARTTISSVYRTSQIAASVYKSSYHQKASAWHANYCMRTFSSEAIINETQTKNKIQASLQENNKSEAIVDAENGYAQTEPDKRKYPGSPCLNEHYAFNDENLKFMKLNNHQIVLLLSSIWSQASLNDNSPANFEAMGLAYSIALLCSKSKPSRRRCLYTMASAMLIFSAKIADLHQIIPLVKATAPEKMVDPHLCLMDDCQLVNTSAESSNSEMDTVPSELDDDDIIFEHSGSQSDRKTSGSMASSDVLTVNQLIESVHETARQVANVPVSANPVPYDQMKSQCEALVMEKQQKMSVLLSFKHSRTDSHGSAGVDGLETNESSLRSEPELRKGRMRRCDSASSESDCSFRLPPASPYDKFLKAAGR >Sspon.07G0008760-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:24195666:24201877:-1 gene:Sspon.07G0008760-1A transcript:Sspon.07G0008760-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSCARISSAVFTTAACTSPSARAPHLALATATALVRKGTFRPEEAHDLFDELLRQATPVPGRELNVFLAALARAPASAACRDGPALVVALFNRTSRAHGLRVLSPTLHTYGILMDCCTRAHRPELTLAFFGQVLKTGLGINTIIISNLLKGLCEAKQTDEALDILLHRMPELGCVPDVFSYCILLKSFCNEGKSGQADEMLRMMAGRGAVCSPTVVAYNTVINGFFKEGDVAKACDLFNEMMQRGISPNLVTYNSVVHALCKARAMDKAEVVLRQMVDEGVLPDNRTYNNRTYNNLIYGYSSMGQWKEAVRVFKDMTSQGILPDVVTFNMLMASLCKYEKIKDARDVFDSMATKGQKPDIFSYQIMLNGYATKGCLVDMTNLFNSMLGDRIAPDIHIFNVLIKAYANSGMLDRAMIIFNEMREQGVKPNVVTYSTVIAALCRIGKLDDAVEKFNQMIDQGVAPNISTYYCLIQGFCTHGGLLKAKELVLEMMNKGMRPDIVFFSSIINNLCKLGRVMDAQHIFDLTVNIGLHPTVMVYSTLMDGYCLVGKMDKALRVFDAMVSAGIEPNVVVYGTLVNGYCKVGRIDEGLRLFREILHKGMKPSTILYNIILDGLFQAGRTVSALEMFHEMTESGISVHANTYTIVLDGLFKNSCSDEAVLLFKELRAMNVKIDIKTLTTMIAGMFQTRRVEEAKDLFASISGNGLVPSVVTYSVMTTNLIKEGLVEEADDMFSSMENAGCDPDSRLLNHVDRLNNSTGEYLQDGDDKDVNNGDGLDNLHEEYLFEDKIPDQCENSSVSESASIQLFVGDSFNNGQYVFASCIESSLRGDGSNSMKTFDSLTVLKAKEFPLSFNFNRENMRKLLPFQAYVVYLNFPYVQTNDAYVN >Sspon.03G0006000-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:16683808:16685004:1 gene:Sspon.03G0006000-1A transcript:Sspon.03G0006000-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHTPFMDKSPHRRESAGGRLKQRLARILMRSTCTTNATSATAAACSGGGTAFVSLAKTNTNANTDSSHPEPEAPPSPYFCTPCTYERPKVHHGTRPRRRRSRSASLIHISVDCTGGAGAVSGRRSVHSDAPLLQHLLSVPARDVVKKQSKGGRKPAARSPSASRRHLSSSSSSWGRARRPSSTPTPYSWSLSSSSSTATDDELAPFSGSGSDEEGGDEAETRTLFSSLSFSSDSRSEFYHTNGGGGGGNTRTSRSHRNTTSRSRRRAPRRALSRTSDPAPPADAFRPLVVSVETKKHRECNNVRNKEEKGLNVGKLMMGAAAAEEETAGASMAVVKRSSNPYLDFRSSMVEMVVERRIGSVAKMEELLGSYLSLNSPRHHPAILAAFEDVWEAVFGEE >Sspon.08G0025790-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:4076467:4077249:1 gene:Sspon.08G0025790-2D transcript:Sspon.08G0025790-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAGAVAVAVSVGAGAGATTAGLLMWKNGKRSPWERRQIMCCHIPWRMPTSRAYQLSHNQHDACRTFLDFIEFEPEYHVNFGNPDIDEKPPMALEEMLQKHISYTTGA >Sspon.02G0034110-1P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2B:10569811:10571884:-1 gene:Sspon.02G0034110-1P transcript:Sspon.02G0034110-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAYLLHGPAAAVAAAPSAFPLRSLPTAKTPFLPSLTRPVSPRRAAAAAFAFNPAAAAAPIAASLLEGPVLVWAGRLCLYYALLHVGLAGSPRNPFLSHEIGGEDGAGDSDLGFSKWAEKLRGGASGEKDAQDKRKPTSKWKPTTRGTLKRTYRVRSTDEGRRILKEIASVLSQDDHFVDASSHKGCQIRRESAHGESVCCYNVRALFDELPTPHLLLEITPFPAGQLTDNDYRKAERLEMVLRLSASI >Sspon.06G0012670-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:66787961:66788896:-1 gene:Sspon.06G0012670-1A transcript:Sspon.06G0012670-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRFLHQLLAVVLALFVSPARSGDWLPATATTALFNDGASCGQCYAIICDSSKTGWCKPGNNWVVVSATNFCPPNWDLPAVGDLPAGGWCGPPRPHFDMSQPAWENIGIYSAGVIPVLYQRVKCWKSGGVRFTIAGFNGFYMVLITNVAGSGSIQSMAVKGTNTDWIPMYRNWGANWHCLSGGLVGQGLSFALVSTGGQNLVFNDVVPAWWQFGQTYTTYQNFDY >Sspon.01G0022760-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:80160403:80161307:1 gene:Sspon.01G0022760-4D transcript:Sspon.01G0022760-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASALHQTTSFLGQALVSRPAGVDAGGRITMRRTVKSAPQSIWYGPDRPKYLGPFSEQTPSYLTGEFPGDYGWDTAGLSADPETFARNRELEVIHSRWAMLGALGCVFPEILAKNGVKFGEAVWFKAGAQIFSEGGLDYLGNPNLVHAQSILAIWAVQVVLMGFVEGYRVGGGPLGEGLDKVYPGGAFDPLGLADDPDTAAELKVKELKNGRLAMFSMFGFFVQAIVTGKGPIENLFDHVADPVANNAWAYATNFVPGN >Sspon.02G0004660-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:14800394:14804587:-1 gene:Sspon.02G0004660-1A transcript:Sspon.02G0004660-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRGPSVSDEDDDLETLVPQNHAKPPSPSARSRSPPSSFSVAALRPALPSAASLGRVLWSRRYLVLFVSLPLLFLILFVSLGGASSLRLPSSIRLPSAAPAADPAASRMREAELHALYLLRSQRSGLLSLFNRTAAPISLSDLQSALESQIKINREIQAALLSSHHFGSGNATEDGLDLDLPFAGCRRRELPANRRTIEWNPKKDRFLLAICISGQMSNHLICLEKHMFMAALLGRTLVVPSQKADYQYDRVLDINHINDCIGRKVVITYEEFVEKRKKVAIDQFICYAASPPCFLDEDHIKKLKGVGISLGKIEAAWPEDAKLKEPKKRFVGDITPKFSTEAEVLAIGDMFYADVDDEWLNQPGGPLAQKCKTLIQPSRLIMLTAQRFVQTFLGGNYIALHFRRHGFLKFCNVKKESCFFPIPQAAECILRIVEKANAPVIYLSTDAAESETNLLQSLVVFNDRQVPLVKRPEHDSSEKWDALLHRNHMGGDTQVDAMLDKTICALSNVFIGSSGSTFTEDIFRLRRGWGSASHCDEYLCQGELPNYIAELD >Sspon.05G0006150-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:19786664:19790295:1 gene:Sspon.05G0006150-1A transcript:Sspon.05G0006150-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHARDGGGHEEVAEAAVCLDGDYEAGEARGVGRVPPWRDQLTARGMVASLAVGAMYSVIVMKLALTTGLVPTLNVSAALIAFVILRGWTQALARFGVAARPFTRQENTVVQTCAVACYSIATGGGFGSFLLGLNKRTYEMAGEETEGNVPGSYKEPGIGWMTGFLFAVSFVGIVALIPLRKVGKLSSLIYSQEPFKNGPSSSERLHMLFQELLEFFRLFGVSGWWKSATDVVPFQIMIIDYKLTYPSGTATAVLINGFHTTHGDATAKQQVNGFTKYFAISFFWSFFQWFYSGGDNCGFSQFPTFGLKAWKQTFFFDFSLTYVGAGMICSHLVNLSLLLGALLSWGIMWPLISDLKGDWYPADMPESSMRSLQGYKAFICIALILGDGLYNFVKIVAFTVKSLLDRSRLKNAKKEEDIPVLDEIQHNEVFTKDSIPNWLAYSGYLALSVAAVFAIPLMFHEMKWYYVIIAYLLAPALGFCNAYGAGLTDINMAYNYGKVALFILAAWAGKDSGVVAGLVGCGLVKSLVSISADLMHDFKTGHLTLTSPKSMITAQAIGTAMGCVVGPLTFFLFYKAFDIGNPDGYWKAPYALIYRNMAILGVQGFSALPRHCLQLCYGFFGFAVAANLTRDLLPPKYGKWVPLPMAMGVPFLVGASFAIDMCVGSLIVFIWHMIDKSKASLMVPAVASGLICGDGLWIFPESLLALAKISPPLCMAFRSSH >Sspon.04G0006300-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4A:17860076:17861000:-1 gene:Sspon.04G0006300-1A transcript:Sspon.04G0006300-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDKETESPQPPAKLPRLSCADTSAGEVTMAASSPLVLGLGLGLGGGGGGSGERDVDSSPATATATPTPKRPSALTFMQQQELEHQVLIYRYFAAGAPVPVHLVLPIWKSVAASSCGPQRFPSRFAIWNECVAVMGLGSLCFDYRSSMEPEPGRCRRTDGKKWRCSRDVVPGHKYCERHVHRGRGRSRKPVEAAAATATAPAAALATSAAAASSLGGGGPVHRGAAPPHPHPHGLGLSSPTSVLLAHSAA >Sspon.07G0014120-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:50584642:50594962:1 gene:Sspon.07G0014120-4D transcript:Sspon.07G0014120-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEANPKNVFTIKVEDGKPGKDGQPAVGPVFRSVLAKDGFPELEPDMKTSWDVFRVAAGKYPNNRMLGWRPFKDGVPGPYLWKSYKEIYDEVLQAGSALQHLGVQPGSRVGIYGANCPQWIVAMEACNANSLICVPLYDTLGAGAVDYIIDHAEIDVVFIQDKKIKEILSPNCKSAKRLKALVAFTSATSEQIKEADNIGMKMYAWNDFLKVGKDNPRQPCPPQASDICTIMYTSGTSGQPKGVMLTHESHAISFVPSCNQMTTDDVFLSFLPLAHILDRMIEEYFFHKGASIGYYHGVRKSTKLAFLIIQDLNALRDDIVELKPTLLVGVPRVYERIYEGILKAIAELRPLRRVIFNALYNRKLASMKAGYSHKTASPFADMLAFRKVKARLGGRLRLLISGGAPLSNEIEEFMRVTTCAYFIQGYGLTETLGPSTVCYIDDMALVGSAGVPATYTEIRLEEVPEMGYDPLAVPSRGEICIHGKSLFAGYYKSPELTNEAIVDGWFHTGDIGEMTPDGILKVIDRKKNIFKLSQGEYVAVEYLEKVYGFPPLVEDIWVYGDSFRSSLVAVVNPHEENTMKWAQSNGYKGSFTEICKLEGLKEYILKELAAVAQKNKLRGFEYIKGIVLDPVPFDIERDLVTATMKKRRKNMQNYYQSEIDMVYKKLEAQKNAAKS >Sspon.03G0009590-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3A:25986648:25988644:1 gene:Sspon.03G0009590-1A transcript:Sspon.03G0009590-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAQRSRRKRNGGLGASDGKVVTHAAAVTEINCIVSAERRAGVQHAIALSPRRQHHGMMADDDLSEAMAHLSSPLSTEQFAPIDQRKRPDVWRGEGLCHRCAAAVARCRTFTQSRLAPRCLARWLTRGSPEYPTRTAGQEAWLAPGHLRSPPPSTFAQATSVLRPSLCACWSFPDPLLSLQLSTRVTHGSRDERPRTSTPPAAPSSAGPQHLRLPDPSALVRQPPGAPRAPSAGPRLTPG >Sspon.02G0055620-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2D:9701063:9704125:-1 gene:Sspon.02G0055620-1D transcript:Sspon.02G0055620-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRFRRALDDLQLDELQLSGRRYTWSNHRDSPTLERLDRAFASVDWLEQHANHYLRCLSSDASDHAPLLLVLNSEPWSRPRFRFDEYWIKVPGFLDAVHDAWNGGVAASDPCRLLDQKLRGVAKALRRWHARKVGNVRLQLAMSRAVIYELDTAEETRQLSPMEIQQRKALKHTILGLASLCRTMARQRAKTRHLREGDASTRFFHLQACHRRRKNYFFAVQHDGQTFTEEEAKANIVFTYYQSLLGTGFTRLHRIDLAQLPLPRLDLNELAAPFSVPEITAVITASPSGRAPGPDGFGAAFYKATWNIIGADVMRAFHALWNLDFRSFHCLNEAAMVLLHKTQTPAGLKDYRPISLIHSMGKLFSKALSMRLAPRMHDLVKHNQSAFIQGRRIHENFKTVQLACRWFHAQRRPTVLLKIDLAKAFDTVAWPFLLEVMEHASFPLRWRDWISALLGTASTKVLLNGRPGNRIRHARGLRQGDSLSPLLFVLVMAVLNAIIAEADSRGVFVPLPSRILHRASIYADDLVIFLSPCARDFSTMRHILDLFAGASGLDTNFDKCVLTPICCSSEEVEQIHQVFPCNVQDFPTKYLGAPLALSRISRADEQRLVDAVAARIPTWKAGLLTDAGRTTLTQTTLSAIPIHIAICCCLSPWAIKQIDRRRRAFLWAGKDSVFGGRCKLAWPIVCAPKDLGGLGLPNLRILGYALRLRWEWLRRTEPASAWALLPSNAERNVVAMFSASVTVEVGDGATARFWTDAWLPDGAIRSFAPNLYAAVGKRSRRRTVKDALCNRRWVRDITGARTAAVINDYLHLWELLMNVQLRESEPDRFVWRWSPDGRYSVKTAYRAFFTGWTTMAGATELWRVRTPPKVKFFFWLVLHERLWTNERRMRHGLQADAACSLCDQLAETVDHLLCACVYTREVWSRLLFSMGSPTDPPQHDSTLCGWWLAGREALPQGLSKSFDSLVLLVVWNIWKERNRRVFGSKALTPTQLLHRIREEGDEWIGSGYSCLALLTTLVA >Sspon.02G0017040-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2A:48366003:48370574:-1 gene:Sspon.02G0017040-1A transcript:Sspon.02G0017040-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDRQGVGPGLGRGVLEAWAASTELGGNIEALRMELLYAEGMLNSARGHHGHGPGIKNPALSELLHKLRDLAYRADDALDEVDYFRIQDELEGTYHAAEEHEGGCLRNHALNARHAARAIAKMLGFSKCSACFANASHDEPREDTTSTKRVPPCGGHWPCTGVKASDDDEEEEEDPRQGGVCWPCPCGSGTNQLVNNRCMGRITSTARSTIHTVGKHLPLPCSSSVSSAQNAANSNAATTERRFLCCARANNKAPKTERVVQTPKLKFDRVEMSRKMKDIIEQLKPLCAKVSTILNLELLAANLNNNNGQYMATGRPITTSESIEPEFYGRKDVTSTLIHEITEGKYHHMDDLAVLPIVGPGGIGKTTFTQHIYKKLHDHFEVKLWVCVSVNFSVSRLLQDIADKIKKEGNASPENRIEEGLKSKRFLLILDDMWSCSNEDEWNRFLVPFKKAQTKGSVILVTTRFPALAQMVKTTDHWIDLKGIDEKAFKELFFAYVFGNKQPPEGHSELLGIGNEIIKKLKGSPLAAKTVGRLLRNHLDLVHWTRVLESREWESQSGDHDIMPALKLSFDYLPFHLQQCFTYCSLFPEDYKFSEEEIIHFWIGLDVLHSRSENKKIEDIGLSYLVELINHGFFKKEEDDDDNGRTYYVIHDLMHELGLKVSADECLSLYSSNVRSIQILPSIRHLSINIDDSSVNDRKAFDTCKEDFSILGERLRVENLHSLMLFGKCQDSFAKTLHGLFSKAKSLRVILISGGFYSMEDLLHSFSELIHLRYLRVHNNWLYGERNKLVASNNISRFYHMRVLDLRGCQDCHNLQRCMSNLVKLRHFHGGVHSEISEVGKLKSLQELNRFEVGKESQGFELSQLGHLLELCGSLSIDNLENVEGREGADEAKLMHKKNLNELILKWNARRSNKDPQREEQVLEGLKPHSNLQKLSIRGHGGGTCPSWLGLNLSVQNLESLALDGVDWETFPPIGGELQLVNKGDEKNLSDSPSQHLMNLKRIELADLKRLERWVAIVGSSGQFLSHLEVLIIERCPELVELAFSDSQQDRKIWPPNLRELVIQNCPKMLSLPPVPWRSTPCSVEISGVGLGFGLRYGKLIFRSTSLVLEITGSVTLDSSASIWRCLDFDNLTEVKEFRMYFEFWRHAGYQFADESIKISSPYAPSGKELTQVISYMPKLSVLRIRRCDKITGLGVVEQQQEEATASSSCRRREEEEIEAAEEGLLLLPPQLHEISIGSCPELRRLRLQTLCSLRTLSISNRLASSSSSFHFPSSLQSIYLVEVETLPPLSNLASLATLYIFNCGGDVRGMSSGLQAPTHGCLQKLTVLQTTNFFSICPDSILSSLSSLETDDVAGALAAPICSLLSSSLTKLFLRSNNEMERFTDEQDEALQLLTSLQHLQFYNCEKLQSLPAGLHRLTSLKTLEIGSCPSIRLRLLPKDALPNSLQNLTISFNSAIRTLPKDGLPNSLQELHIICCPSIRALPKASLPTSLKLIDVSDSSSEELKRQCRNLIGSIPIVRT >Sspon.04G0010180-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:30529224:30535876:-1 gene:Sspon.04G0010180-1A transcript:Sspon.04G0010180-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CLPX [Source:Projected from Arabidopsis thaliana (AT5G53350) UniProtKB/TrEMBL;Acc:A0A178USB7] MSGLLRWRHLAGAATRAASTLTAAECSPAAASAAGAVAPPPPHRRLQERRKWESSSSGSGGSSSSSSSSSSTDEPEPRRIRAEAHCPRCSKHMDILFSYRGPPPTNAGAAGAGGYQALNLCPNCRSAYFFRPHVLAPLQGTFVEIGRVRADLLDHPAARARDPIFWEAIRASSSSRDDGDGGGVAVHVPPGPPFHPNLNVVRVAGSGGGGGGGAGDEGAGKEGWGGSNLGRDLPTPKEICKGLDKYVIGQDRAKKVLSVAVYNHYKRIYHQSLQKGSGADLGGINGEADDDDNVELEKSNVLLMGPTGSGKTLLAKTLARFVNVPFVIADATTLTQARYVGEDVESILYKLLTAESLNISRDVSGEGVQQALLKMLEGTIVNVPEKGARKHPRGDNIQDSSIGFGAPVRANMRAGGISSAQVTSSLLESVESGDLIAYGLIPEFIGRFPILVSLAALNEDQLVQVLTEPKNALGKQFKKLFSMNNVKLHFTDGALRIIAKKAMSKNTGARGLRTILETILMDSMYEIPDAKSGEKRINAVVVDEDAVGSVDQPGCGAKILYGDGALDQYLSQIKVSGDGVASEMDGEAERAIGM >Sspon.01G0046770-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:100279683:100285187:1 gene:Sspon.01G0046770-1B transcript:Sspon.01G0046770-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPCDQGGEDQDKAWLDGPVASVKGKLGDDLALMDRSNENKLKNEFLSYLGRALHFRPASRSRPAPTARLPPRPRARACPDRGQGTATAGQNLLNYMAYMHLSLFQRPLTAMHHPHLSLIHNIPSLPSSQSKVRAGRSADHRLRSWRQDRGGPWPPGHVHRRRWWYGGAAQRHASQLQREDNWRRAEEVQRELRQMELQLEFDTRTAVFHARASGGERAVAANKQLRGRCFTRHCSRLMAPLSPPHSLDFIKRLDEQS >Sspon.06G0003350-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:10200556:10202537:-1 gene:Sspon.06G0003350-1A transcript:Sspon.06G0003350-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGCYSAFACSRKLRGRISFVLPVTERDRDDRSSDGGASSATPSPSPSPRKNDADAGPLVVRTTAAEFARRYVLGRELGRGEFGVTRRCRDAATGEALACKTIRRHRRPRGGHGAGVVRRPGAGAGNAAGPHGPAGAPPAAAGRQDAAAAAHAADVQREVAIMRRMSSRGGAAVVRLREACCEDAGGGAVHLVMELCEGGELFDRIVARGHYSERAAAGVFGTIVDVVRLCHSNGVIHRDLKPENFLFANKSEDSPLKVIDFGLSVFFSPGDRFTEVVGSAYYMAPEVLKRNYGPEVDIWSAGVILYILLCGVPPFWGDNDEKIAQAVLRGVIDFNREPWPRVSANAKDLIRRMLDPDPSTRLTARQVLEHPWLKNADTAPNVSLGEAVRARLQQFSAMNKFKKKALGVVARNLPVEELDKYVQMFHLMDKDHNGNLTLEELMEGLHINGQPVPESEIRMLLEAADTDGNGTLDCDEFVTVSLHLKKMSNDEYLASAFRYFDKDGSGFIEPEELREELGPNDQAILDIIRDVDTDQDGRISYQEFELMMKAGTDWRNGSRQYSRANFSSLSRKLCKDM >Sspon.06G0011950-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:51888367:51890879:-1 gene:Sspon.06G0011950-3C transcript:Sspon.06G0011950-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:E3 ubiquitin-protein ligase RGLG4 [Source:Projected from Arabidopsis thaliana (AT1G79380) UniProtKB/Swiss-Prot;Acc:Q9SAL0] MGGVLGALLHWRSGGRTPAQGQQGRRHTRQPSAYDAAAPPAGGHRRAMLSKKYSYIPDTFTSLDQVAAALREQGLESSNLILGIDFTKSNEWTGLQSFGGQSLHRIGDTPNPYEQAISIIGKTLAPFDEDNLIPCFGFGDATTHDYNVFSFHPDNTPCHGFEEVLACYRKIVPHLRLSGPTSFAPIVEAAVDIVDRSGGQYHVLVIVADGQVTRSVDTGDGDLSPQEKRTVDSIVMASSYPLSIVLVGVGDGPWDDMRRFDDKLPARDFDNFQFVNFTSIMSRPVTAQQKESAFALAALMEVPIQYKATMELGILGRSTGNAKRVSPAPPPLPHAQRQSSLRRGPSNVGAAASPRDDQVCPICLTNTKDLAFGCGHMTCRECGESLTRCPICRQPIRSKLRLYSG >Sspon.05G0026260-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:32801773:32808811:-1 gene:Sspon.05G0026260-1B transcript:Sspon.05G0026260-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSPLRRFRGFAHHHHHRERKDHRPPPAKLDELTYATQVSSPPRTPLLSSFLPGYCRAVGSAVWLRAKVGSCVLVEEPRSRPLLMPRIFMARVDRSDLFLGHEFAEAMDEMGTCLLEKAALNYDDDESVSGLLRSIHLVLPAGKVLMMLGKAQFELQKFVDGYVKSFVTVRTNIINTITNPSESLLKELQVVEEMKDQCDQKRAEYEAMRAAYGEKGGRSRHPKNESFSSEQLQTSFLEYQEEAALFIFRLRSLKQGQFLSILTQAARHHAAQLSFFRKGLKHLESLEPCVKAVAEKQHIDYHFSGLDDDSNIDDYSSYQDNHSDGSELSFDYEINDRDKDLLTSRSPMDLDQAHPASSPRPLKEQEQENAEEIKATLAVPHMKPEIGTQSAPIFAENVVDPSARFRKTNLLNRTVHSYKLPTPADDKNPASVVANKSPHSDQPESKSHVAVNLWHSSPLGKDFMPKSMHSGPVKMPSSNEGISAPLVYPYTTDFKKMKAFSGPIPSKVGLSNPLFSATDRKPSWKRAPYVLPTRPHGPGWQSSVPPKVTSRVTSLPTTTPRISELHELPRPPANVGTMRPGLVGYSGPLVSRRPMPNVSTRVSPPSHTASPLPRPPAAMTRSYSIPSNSQRTPIITVNKLLEARHSSRESSEVSSPPVTPISLADVSRRSTTETAVDKKRIKGKLLLL >Sspon.03G0017010-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:93521669:93522229:-1 gene:Sspon.03G0017010-2B transcript:Sspon.03G0017010-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GDGGQRRATIVRAVRGHLPAVRAPAAGGRRGGPPRGVAGAPRGDEGLVAHARRDARLPRRAPRRHRRRSVPVRVPRRRRAAAPAGRVLRPPRRRRVPPRAGEGAGAGPGLRLLPLRGPRRRGGARAAVPPRLPPRLPRRLARPPARHLPALPRPPPPRRERLRRSLLLVVVRLRLRPRRRYLAHDVN >Sspon.08G0017980-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:5804333:5806279:1 gene:Sspon.08G0017980-2C transcript:Sspon.08G0017980-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFHGMFGERDGYRRVNMEGIAQALLALDRIEHDDELTYEQLLVLETNLLLSGLDLHDEHEDMRLDIDNMSYEELLALEDHIGSVSTALTEEQFAKCVNQSVYEARNSGRDVNKIAADDVKCSICQEEYVEGEEIGTMQCEHQYHVCCIHEWLRQKNWCPICKASAIPSEMNKGDA >Sspon.01G0027950-1P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1A:97929397:97930561:1 gene:Sspon.01G0027950-1P transcript:Sspon.01G0027950-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPSSVDAATGPETVVQAAAPAPAPKDSADPCHAHSKDFLDCLNSHEIKLGKCMHHLGSLHQCRQRANDDVMEPSKVQEGATAPTGSCYIPYQALKNCLNNDEVKLGKCELYLHSLFVCHTRARELFS >Sspon.04G0002680-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:8214560:8218598:1 gene:Sspon.04G0002680-1A transcript:Sspon.04G0002680-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAGCVEGTGESDCLLMVESVCLVECQKQRRLKSERSALVRPPASHEFNSAGGIELLVLSPRCLIGGNPGGMSKSSTTSSRSRSGTGTGTFPSPGTPNYNRHCAGSMQYSKGWSSERVPLGTGSNRRYGGSGVVLPFNNGRKLPSKWEDAEKWILSPVSCDGMGRMSAPAPHHRRPKSKSGPLGHPAGIPGAYAAVSPLVPCFDGVLAAANFAAHSPFSAGVLIPEHGRIGDFSSGRGRCGDDGSSRSYSAEKEPYILRSASIHAWTETLMEASAFANISEETVQDDKLQGQTEATSMISSPIIKKDVGTQMSPEDSISSSPKARHSCSSLPSGHLMKEANSHIHKPEIRDVQVDDQVTVTRWSKRHVTRGSDKRSTNIVEWRKKTVETRAPSFDEKERERCMSKCKREEAKITAWENLQKAKAEAAIRKLEMNLEKKRSSSMDKILGKLRYAQKRAQDMRSVVSSSEDQCGARTTRKKSSFVKTGKPFSCCFTYRAC >Sspon.01G0026480-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1A:92547071:92551191:1 gene:Sspon.01G0026480-1A transcript:Sspon.01G0026480-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Secondary wall-specific cellulose synthase, Secondary cell wall formatio [Source: Projected from Oryza sativa (Os10g0467800)] KNCAGRAQHVCFGNTASVQHISGLDLEASPAVFVMHLPALACALINAGLPNSRLVRDATPPPYRPPQRLCLTSHHTTSRHQLYHPTSHFRVPSRIPHPLLARSIGNRHGHRLGHRWPRRGLAHARRAARHARPRGVIDGIVTDRSAVVATTTTTTRAQPNGKVRSADVKTCRVCADEVGTRDDGQPFVACAECGFPVCRPCYEYERGEGTQCCPQCNTRYKRQKGCPRVEGDEDEGPDMDDFEEEFPVKSPKKPHEPVAFDVYSENGEQPPQKWRTGGHTLSSFTGSVAGKDLEAEREMEGSMEWKDRIDKWKTKQEKRGKLNHDDSDDDDDKNEDEYMLLAEARQPLWRKVPIPSSMINPYRIVIVLRLVVLCFFLKFRITTPAMDAVPLWLASVICELWFAFSWILDQLPKWAPVTRETYLDRLALRYDREGEACRLSPIDFFVSTVDPLKEPPIITANTVLSILAVDYPVDRVSCYVSDDGASMLLFDTLSETAEFARRWVPFCKKFAVEPRAPEFYFSQKIDYLKDKVQPTFVKERRAMKREYEEFKVRINALVAKAQKKPEEGWVMQDGTPWPGNNTRDHPGMIQVYLGSQGALDVEGHELPRLVYVSREKRPGYNHHKKAGAMNALVRVSAVLTNAPFILNLDCDHYVNNSKAVREAMCFLMDPQLGKKLCYVQFPQRFDGIDRHDRYANRNVVFFDINMKGLDGIQGPVYVGTGCVFNRQALYGYDPPRPEKRPKMTCDCWPSWCCCCCCFGGGKRGKARKDKKGGGADGGEEPRRGLLGFYRKRSKKDKLGGSVAGSKKGGGGLYKKHQRAFELEEIEEGLEGYDELERSSLMSQKSFEKRFGQSPVFIASTLVEDGGLPQGAAADPAALIKEAIHVISCGYEEKTEWGKEIGWIYGSVTEDILTGFKMHCRGWKSVYCTPTRPAFKGSAPINLSDRLHQVLRWALGSVEIFMSRHCPLWYAYGGRLKWLERFAYTNTIVYPFTSIPLLAYCTIPAVCLLTGKFIIPTLNNLASIWFIALFLSIIATSVLELRWSGVSIEDWWRNEQFWVIGGVSAHLFAVFQGFLKVLGGVDTSFTVTSKAAGDEADAFGELYLFKWTTLLVPPTTLIIINMVGIVAGVSDAVNNGYGSWGPLFGKLFFSFWVIVHLYPFLKGLMGRQNRTPTIVVLWSILLASIFSLVW >Sspon.03G0038750-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3C:7216886:7218343:-1 gene:Sspon.03G0038750-1C transcript:Sspon.03G0038750-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSTLIKHKRARPAQPTTSYPWPGCTTMPCTPPSTQHKRARRPAQSTTATPTAAAAAPEPDWSSLTPDLVRRVGDRVLAADDIDYYMAFRAVGHNWRRALKDNNAANCTDDPTCFQPSKWAVLDRHDDDVLALVNLETGRFLRKRIPLLRDHFFVGAASGGLVLLAEATYPYRARLLNPFTGALARFKAPVPVGEVREVAVVATSPTTTTVFVSMLADDGAVMWADQDSEYFGKCAAPAPYPDDGLLCMVPFAGDVYLTNRQGAVLSTAAATVVDDDGASQGRRCHRSAQSISMATAIPEALLGDSCHYYLVESGGELLLVTRPAWCGVPGDQLSVHRVDTVRNVLEPVSSIGSRAIFLSRVRCVSVDANKFPSVQSGCIYFVDQLSCYFDVQFSFMTVVRFPHEADGVQQPMVDVSPIPADCFQPITLTHVFANYCKFVQCSELRRQIMIHGEDDFSDDDEEDSDDDEDYFSDDGDGGSSESDQ >Sspon.02G0008160-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:24018925:24022045:1 gene:Sspon.02G0008160-1A transcript:Sspon.02G0008160-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription initiation factor TFIID subunit 15 [Source:Projected from Arabidopsis thaliana (AT1G50300) UniProtKB/Swiss-Prot;Acc:Q9AST1] TLNLVCAVKLQQKDKRTGRPKIWIYRDKVTNEPKGDATVTYEDPHAASAAVEWFNNKDFHGSTIQVHIAESKSKDAFDNPSSLNIIAGVDEQDELDNGEGRGRGRGDGPGKAWQQDGDWMCPNTRCGNINWAKRTKCNICNTSKPGTNEGGVRGGRGGGYKELDEEELEEVKKRRKEAEEDDGEIYDEFGNLKKKFRSKALHTESAQALPGSGRAGWEVEHRGSSEREGRERSRDRGRDDYDEKETRKRDRGDHGRDQRRSRSRSRDREREKGRERRRDHEYERSRERDRDRRHRGAEHTCRTLEQGKIQS >Sspon.08G0011510-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8C:47981430:47984404:-1 gene:Sspon.08G0011510-2C transcript:Sspon.08G0011510-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VALQKCAWIQHTRHRCSKHKDYYKILGVPKDASQDDIKKAFHSSCYGHFGLQTLRDPSKREQYDMLFSRGSRTEFDGSYHDTFSGSNNQRHDPFTEFHRQNDGHFSSKFYEIFSEVFQQDINVQANDIKPPAKN >Sspon.04G0013150-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:53001848:53004997:-1 gene:Sspon.04G0013150-2C transcript:Sspon.04G0013150-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGPWCHADTRHWCFRGDSAARTRTSTRGAKERQRVLLAGRRSSSFRRPLASPNGQLLIPHAGCSPPLAGGWAPAPAGRSPLRWAAGHLTPAPTGRSPLAEQLLMQELGTGRTSILHQVKASKTTKKDMEMNGCVAAI >Sspon.01G0041790-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:49125665:49130887:-1 gene:Sspon.01G0041790-2C transcript:Sspon.01G0041790-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSRRRRGGGPERAADNWERLVRAALKRDRDHLRVGGAPAAVGGQRLADAVPASLGRTTNIEQILQAADDIEDEDPNVARILCEQAYTMAQNLDPSSEGRGVLQFKTGLASVIKQKLAKKDGAPIDRQNDIQVLWNFYLEYKSRRRVDDMQREQERLRESGTFSTEMGNRAREMKKVFATLRALLDVLENLVGQSPTDRLHRQILEEIKKIKRSDAALRGELMPYNIVPLDAPSSVANIIGFFPEVRAATAAIQNCEDLPRFPFDIPQLRQKDIFDLLQYVFGFQEDNIRNQRENVVLMLANAQSRLSLPSGTEPKIDEMAVTEVFCKVLDNYIKWCRYLGKRVAWTSLEAVNKNRKIILLPSTF >Sspon.02G0000640-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:75885532:75891493:-1 gene:Sspon.02G0000640-1P transcript:Sspon.02G0000640-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVPPPPRQLEVRRFAAARAGELRSLYEAVSSRLDDAGGRQQPRSARRRTTGHLPNKRRRRGAGEAGPEDDGGLPARKQSRKVRRRRELAGNPAEGFSVAGDGARRLRTHLWYAKRFSMERRWGFILPVGAQGRGRGSRSVLKRLKNGTTIHDAGYFIPIELDGPEDALLSILRMVLCPSPAEKTPDLKHRQDQVMRGVCYENAMLWDVRSMHSQIVGPVTYMWRPFSRADDRSEIEGDPCTSHSIHDESGNSSRRQLWIWIHPAALDEGISVIRFACERQIQDSGGVVKCCSLEGKVARLEVNGCKAMQSLKKILHPTNSSKINMVSDTSDISAPTDTPLDSLTVPHLSKASVIDNADILQPGAILSMVVHDPREVSVNGTDSSKLASLDKQSKVLEEDVAPNANEASSEVANMLPLMWMHPGKHDIFLSDCRELWDSRQSINPPVSDEVLCKEKHCERMKFFCLDSGNDQGQTTQEKDNFIRSCPVILLKHAGEGMPALGWSIILPLSWVKPFWLFLVSHGAHAIGLRERRWIAAKFRMPCFPYDYPDSKAYASKEAAGFDKVAERCPVAKRPPSVPVPPLWHCIMTCFHKDDGILGVLEVDDLVQANMTLPKNSSVHSKSGDAEPSQANVASLQLHVPRTTQMLRQYVEDFDLKYLSSASDMKVDSDQPNLASNDTVKMARFTSELCLTRVLIRAFKEGSFEEGAVVCAPFPSDLSAWKIRSKEEEEEECIEKWELQLPQSHVSSYFSWFDPSASSLQLPTDDTAREAFRWPIGFVTSGFIHGSNGQDAVAVAFCEAKLLAVLRRQQWAHENLQSRKICVL >Sspon.07G0008380-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:21159038:21164934:-1 gene:Sspon.07G0008380-2B transcript:Sspon.07G0008380-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAALLPLAPLPHHPNPPRRPLCRCGASRRGFTVHTAIAIASASAPAPTAAAEATSPSPPPSPPTQTPSSKPGSPVLGGIANTRSWSQYYGSGFSIRVPPSFDDILEPEDYNAGMTYYGDKAKPRAYAARFASPDRDELISVVIKPSNQLKITFLEAKDITDLGTLKEASKIFVPAGAKVFSARTIKVKEEEDIRTYYFYEFCLDKQHVALMATVNSGKTYIAGATAPEMKWGGDGVKLRSAAVSLSVS >Sspon.07G0015260-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:58748136:58755131:-1 gene:Sspon.07G0015260-2B transcript:Sspon.07G0015260-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitogen-activated protein kinase kinase 6 [Source:Projected from Arabidopsis thaliana (AT5G56580) UniProtKB/Swiss-Prot;Acc:Q9FJV0] MRGKKPGTELKLAVPAQETPVDKFLTASGTFKDGELRLNQRGLRLISEENGDENQSTNLKVEDVQLSMDDLEMIQVIGKGSGGIVQLVRHKWVGTLYALKGIQMNIQEAVRKQIVQELKINQATQCPHIVMCHQSFYHNGVIYLVLEYMDRGSLADIIKQVKTILEPYLAVLCKQVLEGLLYLHHERHVIHRDMKPSNLLVNRKGEVKITDFGVSAVLASSMGQRDTFVGTYNYMAPERISGSSYDYKSDIWSLGLVILECAIGRFPYIPSEGEGWLSFYELLEAIVDQPPPSAPADQFSPEFCSFISSCIQKDPAGRMSASELLNHPFIKKFEGKDLDLRTLVESLEPPMNIPETLPML >Sspon.01G0014100-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:40410997:40412064:-1 gene:Sspon.01G0014100-3C transcript:Sspon.01G0014100-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RMGGRGRGRGRGNKSSDRQLAIRASRSSASEAQPETPAHLMQATTTNFTPGTVVPAAWCDICRVGCNSREILEQHKNGKKHKRTVQRMQDMARLQGMTPAIADMGAPSSTSSQYIWSHLFVQPAVGGEHKDLAPENVVASVSGVQITEVTGSSSKQNATHHTTAVGHGVEAQVEPHVAVQAYQPSNEMKDGGEAPPNATGPSNVELVEARMDVNGNKNGPKRKLTGVGRGGKKLRVSQAPRQRPERVREQPLVCTICNATCDTRAVFNIHLGGKKHQSRLKRCPDMLFGPLVVHIPPNQSAAHMTGAPEPLYYGFKSKGAPLVEQEAYLAGAMQHAFPFFHRLVQPSWLLIILHNR >Sspon.04G0032860-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:35165062:35169957:-1 gene:Sspon.04G0032860-1C transcript:Sspon.04G0032860-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSRRRRFPTRASWVDRVRRSTHRGAKLASSSSDGSPERPVNVVVIGDVGGGGDGRPVCVPAFGGRGGIPKSIDKIEEGGASSAPLTLGAGGASSEPLKLGAGGGISKSMEKIGEGGAKSTPVKLGAGGDGDGSLDRVVSIGRNRLCVDHLGQFYVLDSEDEGTDTEVELYVPDSEGELHRHAKLPRGGDVAGGYNRGHAVVASLATKVAKEMTMVGNPAVEVGTVVDPAQEVAKVVDPPLASPTVADLPAKVGTSGAPVVKGTTVQAAVDVPVGAATADELHLEVDQLFKVSQLQQDLLDVHHGSGIDRPHLHAAAH >Sspon.02G0040410-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2B:68672618:68674991:1 gene:Sspon.02G0040410-1B transcript:Sspon.02G0040410-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LRGRRRRRGRAGRGARQQLRRRRRRRGRGGRGLGPGGSRRLTTTLAPRALLGTTTSLCPRVGEDNALQMYNDVVPHVSEEAVPHTSEEGPGGSTSSAASKPYKRGPSQLPKNWMLVEEGVEARNVNGILGLLCREHFPGLVWTSEDEDPEIPSFDQYALFPAAAAGHTNLADQV >Sspon.02G0047240-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2C:13307230:13307865:1 gene:Sspon.02G0047240-1C transcript:Sspon.02G0047240-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYRRALLDGHGDQALERGRDKHRSPGRRARGRDYIPHASEDWGRRRSRSPVRRERARDADLRHPSPSRITQKSTHSSPVSHLADMKSTDRSRSRNRSLSRPVHSRSHQHSILVSPDPLADLCRTIQTGGICSREEDPMIQESLSWTDGVKEAPLPDTALGTVDQNPQQAPMEMSSENDDTEDTAATMEVNMTMVQATATSCTDPDKNGDST >Sspon.02G0033520-1P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2B:7368668:7369261:1 gene:Sspon.02G0033520-1P transcript:Sspon.02G0033520-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGLTPSYKLSLISVIVLLLGLTSGDVAHGRKKLVSSSDGEPCHKMTVYYHDILYDGTNTANATSAVAAQPTLLSRSVSINDSYFGEVVVFNDVVTAGRALASEPVARAEGFYFYDKKESPSAWFAFSLVFNSTAHRGTLNLMGADPIAEKTRDISVVGGTGDFFMARGIATLRTDTFEGLYYFRLQMDIKLYECYV >Sspon.04G0010650-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:32495961:32497051:1 gene:Sspon.04G0010650-1T transcript:Sspon.04G0010650-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding NGIDQSVREVEVGAAEELEHGDGGRRRQGGRGQQQAAAARARRERRLVQQPGRGGAGAGGGEERAQLAQPRQAARVERHRREQPRGGRRRERRGREDPGAAGRGRAEDRGEAVHVRRIGERQEEVVVGGAV >Sspon.05G0010260-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:24248840:24251035:1 gene:Sspon.05G0010260-2B transcript:Sspon.05G0010260-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWDSPRRSGSFEAGLRGATATATASSSVSRDRKPSSPRLQRSRSSAAGGGGSKASPSPERRRGVGAMQQRVAQLEEELRREREEKARAVRELDDLRRDGDDGARAVAEKVQLLEREVDKSKESERKMLESLTYQTKQLEQTKISLEEAKLEIATLQQANRSLEAAAASASARRGGGMVVEQRTSVRDLMFGGADEEIRVLRGELRTAMQGEERSRKALDDLSVALSDVTMEAKQVKVWLAEAQAELEAANAEAERLRAALAAAEAELRAVSAERDRCRLEADECVAAWGDKERVFLDCVRASEEDVNRARQENTKLVESQRVIRDENARLRDILKQAVAEANVVKDSLELARAENARLNDAVADKESALQSLRQEYESIKRGRGAGKPQGAQQPPAATTTACSTPASAKTAPAPDYSFDQRLPANGIGSKNGTPQSASQRWMADKPRTPSRRRYSIGEPGKLKTGLSQSARMGNLNPKDRVFASLSNIADLKSAADAAMEDFDDEFDHVDESHYVDMEDSMKHKKKRPIFRKFGDLFRRKSFYKPNLAPNYNGKSTVAKAAICQCR >Sspon.07G0016990-3P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:79660969:79673748:1 gene:Sspon.07G0016990-3P transcript:Sspon.07G0016990-3P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Suppressor of mec-8 and unc-52 protein homolog 2 [Source:Projected from Arabidopsis thaliana (AT2G26460) UniProtKB/Swiss-Prot;Acc:O48713] GLDYVSSGDLARRAILIPSRAPFRRGRKNQPTHEGRRTAKATRHRRAAVSRRLVQPPPVSKARAVPPSPSTSRVSLRPRGGPPFLRLCAPRCGAVRRRLAPSVKRRCLPRSHRQLPSRYSEQKEKMSSKKNYYKEKMMRRKEEKKVEPETPRYRDRAKERREDQNPDYEPTELGSFHAVAPPGTDLRLADAHKISIEKSKYLGGDLEHTHLVKGLDYALLHKVRSEIEKKPDAEDGKDSKSRATKEDQAEEGITNDIPTTLHRSKADCPVPEEMVTVSVDGSVLDRIAKIMTYLRLGSSGKVLKKKKKERDIKGKNNLASGDYDEAVKPSRTNGSALKHQSDMPPPPAPHPRNNNFNGKEEQSVPIARADDDDIFVGDGVDYSVPNKEMSQSPVAEDMEESPHNNHQKQSYFTEPMYGPVPPSESAQAWQQPNEYDAAQAQMVAAGYQGDWSGYVYPEQPLGYQEQYVQQSTQEYDVLADPSISQDPRFMTQADKDRGLGSVFKRDDQRLNQLREKDARERDPNFISDSYSECYPGYQEYNNEIAGSDDEDDLSKMDMGGRAKGRLHRWDFETEEEWAKYNDQKEAMPKAAFQFGVKMQDGRKTRKQNKDQKLTNDLHKINKILARKKGEKDGADDGGHYDDDLPSAKKQHTLSSVDNSELDYAVHAKDITEHSGVDVRKKLKH >Sspon.05G0002630-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:12454267:12459504:-1 gene:Sspon.05G0002630-2P transcript:Sspon.05G0002630-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLSESELTNRGSMWELDQNLDQPMDEEAGRLKNMYREKKFSSALLLRLAFQSLGVVFGDLGTSPLYVFYNIFPRGIGEGEDEDVIGALSLIIYTLTLIPLLKYVFVVLRANDNGQGGTFALYSLLCRHAKINTIPNQHRTDEELTTYSRQTYEENSVAAKIKRWIESHAYKRNILLILVLIGTCTAIGDGILTPAISVLSASGGIKVQNQNMSTDVVVLVAVIILIGLFSMQHYGTDKVGWLFAPIVLLWFILIGSVGAINIHKYDSSVLKAYNPIYIYRFFQRRRNSDIWTSLGGIMLSITGTEALFADLCHFPVLAIQIAFTLIVFPCLLLAYTGQAAYIISNKTHVADAFYRSIPGTAVVIVMLVTTFLMVPIMLLVWKSHWILVVTFIVLSLMVEVPYFVACILKIDQGGWVPLVVATAFFVIMYVWHFCTVKRYEFEMHSKVSMAWILGLGPSLGLVRVPGIGFVYTELASGVPHIFSHFITNLPAIHSVVVFVCVKYLPVYTVPTEERFLVRRIGPKNFHMFRCVARYGYKDLHKRDEDFERMLFDCVLFFVRLESMMEGYSDSDEFSVPEQAPGSGRAAFLGERACATMCSNGELSFSSQDSIVPAAQSPLAPRGLRLQLQCSASASAVGQASSGDTVGDELEFLNRCKDAGVVHILGNTIVRARRDSGVVKKLAVDYMYAFMRRVCRENSVIFNVPHESLLNVGQIYYI >Sspon.02G0044350-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:100836399:100840426:1 gene:Sspon.02G0044350-1P transcript:Sspon.02G0044350-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEAVHFSVADAKDCKQSAIDVGDKTYVISRSDDDSQTSVVVKILDKLTQTWVVPTILGKQPPLTKSQSAIPVSDEKILVIEKGVPLNESIWFLEIDTPFVKQQRKIKGTEVVSWSKGVIGVGQKPVVISGPSGVGKGTLIAKLMKDYPSKFGFSVSHTTRSPREKEIDGVHYHFTERSKIEKDISEGKFLEFAHVHGNVYGTSIEAVESVTDEGKRCILDIDVQGARSVRASSLEAIFIFVCPPSFKELEKRLRARGTETEEQIQKRLRNARTELDQSNEPGLFDHLLVNDDLETCYENLKNLLSLDDDQEGSDDFVTKEGKETASYSIMSKTDSEILLQSETNEAKNGAACLLALDLSSLSGGAPGRTRGLKLRSDNSF >Sspon.03G0031100-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:24299621:24305912:-1 gene:Sspon.03G0031100-1B transcript:Sspon.03G0031100-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARNAVDKATSIDAQLRLLAPQKLSDDDKLVEYDALLLDRFLDILQDLHGEDIRETVQECYELAAEYENKLDPKMLDEIGNVLTSLDPGDSIVITKSFSHMLILANLAEEVQIAYRRRIKLKKGDFVDENSATTESDIEETLKRLMHQLKKSPLEVFDALKNQTVDLVLTAHPTQSVRRSLLQKHGRIRNCLTQLYAKDITPDEKQELDEALQREIQAAFRTDEIRRAPPTPQDEMRTIWKGVPKFLRRVDTALKNIGINERLPYNAPIIQFSSWMGGDRDEFWKQVPPSEPYRVILSDVRDKLYNTRERARHLLASGFSEIPEEATFTDVEQMEAFLTSYGKCLRLDYPLLDIRQESDRHTDVMDAITEYLGIGSYRKWTEEKRQEWLLSELNGKRPLFGPDLPKSDEIADVLDTFHVLAELPSDSFGAYVISMATAPSDVLAVELLQRECHVKKPLRVVPLFEKLADLEAAPAALARLFSVEWYRNRINGKQEVMIGYSDSGKDAGRFSAAWQLYKAQEELINVAKLYGVKLTMFHGRGGTVGRGGGPTHLAILSQPPETIHGSLRVTVQGEVIEQSFGEEHLCFRTLQRFTAATLEHGMHPPISPKPEWRALMDEMAIVATKEYRSIVFEEPRFVEYFRLATPEMEYGRMNIGSRPSKRKPSAGIESLRAIPWIFAWTQTRFHLPVWLGFGAAFKHVLDKDIRNLQTLQEMYNQWPFFRVTIDLVEMVFAKGDPGIAALYDKLLVSEDLWSFGKRLRANYEETKQLLLQVAGHKDLLEGDPYLKQRLRIRDSYITALNVCQAYMLKRIRDPGFQVNPGPHLSKDIMDMGKPASELVKLNTTSEYAPGLEDTLILTMKGIAAGMQNTG >Sspon.06G0010180-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:47548465:47553195:-1 gene:Sspon.06G0010180-1T transcript:Sspon.06G0010180-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASYRPYAPPQQHPPPPPPPQGGYPPQMNPYAPPPQQAPYSRMPAPPYHAGPPPPPPPGPPPPHQPQFNFGPGPPQQPPPPPQMYYQPPPPPPPYGGTSNPPPPPPSAPPPPPSPPPAAPPPPPPPPAQPPSAQAPPPPKEQRPKATLPRAETEEERRVRKKREFEKQRVEDRKQQQMMRQTQAAILQKTQQRAAQQQPQSRHHHQQPPGGSRAAATGSRAVATGSRPTSAPNAERFENRLKKPTTFLCKHKFRNELPDPSAQLKWLPLNKDKDRYTKYRITSLEKNYIPKMIVPEDLGIPLDLLDMMSLTHLPNMPQPPDVQPRMAPEDEELLRDDEVLTPIKQEGIRKRERPTDKGVSWLVKTQYISPLSTDAAKMSLTEKQAKERRESREGRNAFLDNLNDREKQIKAIEESFRAAKSRPVHQTKRGMQAEWVMPLLPDFDRYEEPFVMVNFDGDPTADSEQYNKLERSVRDECESRAVMKSFSVNGSDPSKQEKFLAYMAPAPHE >Sspon.02G0011310-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:34441868:34444101:-1 gene:Sspon.02G0011310-3C transcript:Sspon.02G0011310-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSCHFAFRFPYLTLLSPRLISSLSTTAARSPATALFIHIRTRIPQIQIRAPAPGSRSSKLSAGRASSGGPGSASEKMKRKTRNKIIVWTLALAAAAILVGGTIALVLTAGTWKAQIKKSQEKICNKGWECSGSKYCCNDTITDFFKVYQFENLFAKRNTPVAHAVGFWDYQAFITAAALFEPQGFCTTGGKKMQMMELCAFLGHVGAKTSCGYGVATGGPTAWGLCYNHEMSPDQTYCDKTYTQWPCVEGAEYYGRGAIPVYWNYNYGAAGDGIKVDLLHHPEYLEQNATLAFMAAMWRWMTPIKKNQPSAHEAFVGTWKPTKNDTLSKRLPGFGATMNILYGESICGKGFIDAMNTIISHYQYYLDLMGVGREHSGDNRDCAEQLPFNPSSPTDDQKQQQSGS >Sspon.07G0015120-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:58457077:58460438:1 gene:Sspon.07G0015120-2B transcript:Sspon.07G0015120-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVPADNSSISSGQIRLDCGASTPMDGDSDGRTWHSDIDSEFAPSLEGSAIALDPSLTTTVPYKTAPIFTSNYTYSFLVKPPGPSNLVIFDNFYASQTYLAANNGRLFHEYSLNITSGNLHLTFSPSTHHKGSYAFVNGIEIMPTPDLFTTTIPTLANGGIPDPFPIDLVTAFQTMYRLNVGGPAITPRDDIDFYRSWYEDSPYLYNDAHSVCPLINDNITVTYTPTVPNYTAPVDVYITARQHRDVILWSGGIGRTAYTDYAIITTGTGQTDLWVALHPDLLMRAEYFDAILNGLEVFKLQNYRDNSLAALQLPLPPPPSPPDHVEPNNEKPAGARKRNSKGAVPAAIAGTVGAFALLLLTCFGKYIICRWKEIARNYGLRNSNKHLMTPQQVEGNNLPSVMCHHFTFKQIQAATNNFDETFLLGKGGFGNVYRGKIDGGVQVAIKRGNPLSQQGLREFRNEIGMLSMLRHRHLVSLIGYCEQNNEMILVYDYMAHGTLHVQLYSTKRPPLPWKQRLEICIGAARGLHYLRTGANQAIIHRDVKTTNILLDDKFVAKVADFGLSKASLDVDDTHHCAVKGTFGYLDPEYFWTKRLTRKSDVYAFGVVLFEILCVRPVINIQLPEEQVRLRDWALSCQKNGVLSKIIDPHLQGEITPECFRKFTETAEQCVAERSIDRPSMGDVLSNLQVSLQLQERTGVNSSNGEAPLSIAKTKVDSAAGPSTNSTMSITGQGVVFSDMTHTEGR >Sspon.02G0018070-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2B:73540252:73543350:-1 gene:Sspon.02G0018070-2B transcript:Sspon.02G0018070-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LSQELLSGYSETVIPLIQRFYSTPTTLPRDNSVCGGEPSHASRPVAKQFENYGPKKRHGPSQKGHRHETKLPGSGRDGSSRKGSHHEKKLPGRDGPFQKGSHHEKKLPDPAFKPFLFQIVLDTSTSSLVPVLDTWLKAGNRLESDQVNMVLFHLRKQRMYNKALKFMEWIDRRKLLNFEERDYASHLDLIARNHGIEAAEKYIERVPEAFRSEVLYETLLVNCVCRDDAQKAEQVFNEIRELSLPLTISACNQMLLLYKRVSRNKVVEILTLMEKENIKPSLFTYKLMIDLKGRSNDTLGMESVLNLMKENGFEPDFGIQTTVAKFYISGDLAEKAEEVINAMEVYVKDNRHAIRSLLDLYAILGRPDDVERIWNLCTEPKLEDFLAAIKAWGKLGHIERAEETFESLVKTSLKLTSKYFNAMLYVYAENELLDKGKKFIERMCLDGCPSGPLTWDAVVKLYVNSGYTLWVQREDESRQVQPEQDSD >Sspon.07G0014790-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:53187281:53189833:1 gene:Sspon.07G0014790-1A transcript:Sspon.07G0014790-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIGSSLSLDAAIRADSAPGDPGQPPGLLDEYTMERKEVVIFVPMGFLKSTFSLLIGTGCGIYIAQNYEVPNIKKLMWTLMGKAKEFEESYKKQGNGKNKDNE >Sspon.02G0021450-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:73082244:73085381:-1 gene:Sspon.02G0021450-2C transcript:Sspon.02G0021450-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding FMSVVMRLDNANAANKASNWNGTTYVFSIIGAIVSDSCWGRYKACTIFQLVFLQGLVELAVACHVFLDKSCHFGNGGGRQEHCKPPTTVQAAVFYVSIYQIALGNGGYQPAANTFGADQFDETDARERNSKSAFFGYFFVANNLGGILAVTALAYMEDEGEWVQAFWIATAAALLGYLLFAVGTLRYRHFLASGNAVVSVCQVLVAAVRNRRRVRAPVREQDLYDPDAADGAHVKTGVRKMVHTPEYRCLDKAAVIKDPSALQPSSKPNPWRLCTLTQVEELKCILRLVPIWLCSILFSTSYSQMTSVFIEQAQAMDNSLWKLKIPPAGMDVFEILGVTAFVFIYRFCIVKVMTKISHEPTELQRMGTGLVISTAAMITSGVVEQQRLKRATAGGVDASSSLSILWQIPQYLLIGASEVFMYVTMTEFFNDQLPEGLRSLGSAMSVASMSAGSFASSLLVTLVMTITCRGGRPGWIPQDLNKGHVDWFFYLIAALNAVDLLVFVVFAKRYRPAPVIIHGANEESGVEKGQDGEVASNPELS >Sspon.02G0031660-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:108996085:108999030:-1 gene:Sspon.02G0031660-1P transcript:Sspon.02G0031660-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLGLTLGSLGRAKRRKRLSSLDILSSKRAPRDYYKGKNCKPTGFHTRKGGYVIVDEKLPRFVVPDLTDFKLKPYVSQCARDLTASTTSSTSAESTENKS >Sspon.04G0007870-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:22043536:22063095:1 gene:Sspon.04G0007870-2C transcript:Sspon.04G0007870-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLDEAYAGDLSFADSLQAFGAGLDDPISVAIGGPVMSKFTTAFRELGTYKELLRSQVEHMLSDRLSQFINVDLNGVKDCRRRLDRAAVAYDQAREKFVSVRKGTRAEVVTELEEDLHNAKSAFERCRFNLVHALANIEAKKKYEFLESISAVMDAHLRYFKQGYELLSQMEPFIHQVLTYAQQSKQMAVSEQDKLAKRIQEFRTEEEIANVRMASNVDTSTSGDGIHVVGLQSYKTIEALMQSTANGEVQVIKQGYLFKRPQNTRGEWKRRFFVLDSHGTLYYYGNKGKSQGVSSQQTAGEGTGVFGRFRFLNQRASSQGEDSLSCHRIDLRTSTIKIDAEENDLRFCFRIISPVKTYTLQAESGADQKDWIQKITGVIASLLNSPFPQQLSYGNVAAESNRSASSADSLSIEDNKSSEGHDDIFNLLRNIPGNDSCAECRSPDPDWASLNLGILICIECSGAHRNLGVHISKVRSLRLDVKVWEPVIMDLFHALGNDYANSIWEALLPKEDQGMDESNGAILFIEKPKPSDAFSIKERYIQTKFRNIFCFYFHLYGTKSFVSEKLTSPLTQGVLGMYYTITGGALSSFYQILVDIYI >Sspon.05G0025440-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:31084276:31086192:-1 gene:Sspon.05G0025440-3D transcript:Sspon.05G0025440-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARVQLLALLCLAASLSLGAPATTGPDARVGVYELKLGDFSVKVTNWGARLMSVVLPDCKGNLADVVLGRDTLAEYFNDTSYFGPITGRVAQRISRGRFVLDGKVYHLQRNDGNNTIHGGGTAFSKSAWTVKEYVGGGDSPYITLYYHSFDGEQGFPGSLDAYVTYRVSGPYTLGVHMNATARDKATPVNFLLHAGRDVLGHTLRLHASRYAVLDAELLPSSGRVAPVAGTPLDFRTPTPIGARIRQVTGGKVVGYDANYIVDGAEGMMRPVAQVRDGASGRALELWANQPTVQLYTGNWLNHTEGKGGEVYDQYAGFCLETMGMWTP >Sspon.05G0015030-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:54907453:54909611:1 gene:Sspon.05G0015030-3D transcript:Sspon.05G0015030-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQAGRNAGVLALFDVDDTLTAPRKVPRVSLESLAFLHVTLGVVGGSDLVKITEQLGKSVFTDYDYVFSENGLVAHKNGELIGTQSLKSFLGEDKLKEIINFTLHYIADLDIPIKRGTFIEVRNGMINVSPIGRNCSQEERDEFEKYDKQLFLVGHAWNGIIQIHFLQVHSIRPKMVSVLRERFTHLNLTFSIGGQISFDVFPQGWDKTYCLRYLEEFQEIHFFGDKTYKVGGNDYEIFVSDRTVGHTVTSPDDTD >Sspon.07G0029960-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:81264027:81264271:-1 gene:Sspon.07G0029960-1B transcript:Sspon.07G0029960-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MACGGFGIAAYVRLRCAFPLDWTRVSCRKYGYESDFGSPVQAQLLALPDLQVGPGLHALSFCVGRCRGMCRGGEQQQDN >Sspon.01G0032270-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:105429740:105433999:-1 gene:Sspon.01G0032270-2B transcript:Sspon.01G0032270-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNRLGAAFAPKPSSGPHKSRECLPLIHIIRNRLRYALTYREVISILMQCHVLVDGKVRTDETYSAAWVSFPSPRQTRLTTFKKRKRVASVFTQSGMRMLSSSFARLGLFSLAR >Sspon.03G0013540-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:50756593:50761230:-1 gene:Sspon.03G0013540-1P transcript:Sspon.03G0013540-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNRAAFVVAFLLRAIYGGMQIVTKAAFDEGMSTSVFVFYRHLTGILFLVPITFVLERKTAPPLSFKVSMKLFFHALYGMETLNLKRFHGIAKASGVLFSIAGVIVLAFYQGPAFRSFIHHNPFHHTSNFHAGVTAHPKRVWIFGIFLTTLSTTAWALWTVRMCPGASFIYMFSGHSRCRSNVSLCLLMIFTYTVIVPQGPMLEAYPSKLLNTTLQMIFATIQCFFIALVAERDFSKWKLALGIRLFAVMYSVSSISLQIVLQGILVSGVAYYMQVWVIEKSGPVFLAMTMPITLLVTIILSLFLGEAITLGSILGGVIMVGGLYSVLWAKRSEQVDASASKKQMAPAPAEATQV >Sspon.08G0000210-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:772663:774142:1 gene:Sspon.08G0000210-1P transcript:Sspon.08G0000210-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATETIAAATLGTRRPCPSRTAASFLSFRFRPTPQLSGAVAVAASASLRCRAKAPEEGDSKASAAIDDQLAESTWDDLVLRCESPVLVEFWAPWCGPCRMMHPIIADVAKAYTGRLRCLKLNTDKNQEVATRYGIRSIPTILIFKNGERKDTVIGAVTDTTLATTVERFL >Sspon.02G0032170-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:117848437:117849655:-1 gene:Sspon.02G0032170-1A transcript:Sspon.02G0032170-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSLHANLSKAQTLFCNDNTLVRASVRTCPSERPIPGPAWRPAQQPTTGLLYLLSLLQLYTTTAEKSPTPPTRFARRGEPRHLPAPSRLTTTQRPHLAMHHAVPCPRPCALCPSPTSVRVSCPVPRRIEVLPTSSFPWPMELANNSLGRASALTSRDAARGLALSSPLSLLSLPFPPSCLGVGVGIGIGVGFVEGAAFGGDAFGVGCDVGRGVMGCDAGPRGMGCHAGASAGAMSE >Sspon.07G0007070-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:12876162:12878792:1 gene:Sspon.07G0007070-4D transcript:Sspon.07G0007070-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fructose-1,6-bisphosphatase, cytosolic [Source:Projected from Arabidopsis thaliana (AT1G43670) UniProtKB/Swiss-Prot;Acc:Q9MA79] MDHAADAHRTDLMTITRHVLNEQSRNPESRGDFTILLSHIVLGCKFVASAVNKAGLAQLIGLAGETNGEEQKKLDVLSNEVFVKALVSSGRTCVLVSEEDEEATFVDPKLRGKYCVCFDPLDGSSNIDCGVSIGTIFGIYMIKDKDNVTLSDVLQPGKDMLAAGYCMYGSSCTLVLSTGTGVNGFTLDPSLGEFILTHPDIKIPKKGKIYSVNEGNAKNWDVPVAKFVEKCKYPKDGSPPKSLRYIGSMVADVHRTLLYGGVFLYPADQKSPNGKLRVLYEVFPMSFLMEQAGGQSFTGKERALDLVPTKIHERSPIFLGSYDDVEEIKALYAEQAKSSSA >Sspon.05G0020780-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:87934653:87938091:1 gene:Sspon.05G0020780-1A transcript:Sspon.05G0020780-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MESGHLQFITLLFLRTQAIIRQEGESARTDKKMLKKLLPKTKSKKKKEAASSAIPTLDRLHETLEMLEKKERFLQKKCSAEIEKAKDYTKSKNKNAAIQCLKKKKLYETQIEQLSNFQLRVHDQIIMLESAKATTDTVDALRSGSSAVKAIQQSVNIDDIESAIEEANEQTENMREIQQALATPVGASADFDEDELEAELEELEEEEIEDELPEPPARQSVAPVEPPARAKAVPAPQQGSDLSELTRLQAEMAL >Sspon.07G0004620-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:11988265:11989140:-1 gene:Sspon.07G0004620-1A transcript:Sspon.07G0004620-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCCISKKCDEPPGAAAGEASRRRSEARDPPPPPPEEETVKEVLSETPKAKPRPRPRRVAASVGQEAGETAAKPKLGTARAKDGGGSKARRALGPARSGPSGDEKSEVASESSAATTATGPERSPAKASRRRAATSPGEVARGARRDRGVPGGGGRPGGGRASPSPPPPQQQPRRRDTVTGERPARRSPSPAAKRTQEQRRAGAGAGAASAASGTQRKPPVPPARPCGRVSPRRGQEAPPELSPPAQPREPEHCASPPLGLPEENAGSAGDGEGKESLDNPLVAMECFIFL >Sspon.02G0040770-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:74613163:74615712:-1 gene:Sspon.02G0040770-2C transcript:Sspon.02G0040770-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SSSAPDRVVYHYSRGLHGFAARLTNDERNRLAEKEAVLSIHEKVVYRPQTTRSWDFIGLPLPQHQADPLPFENDVIIGMVDTGIWPESQSFSDDGLPPPPPKWKGACSNFTCNNKIIGARAYQNGRTTLSPRDTDGHGTHTASTAAGRPVAGASLGGLASGTARGGAPGARLAIYKVCWGADGCSAEDILAAFDDAIADGVDVLSVSLGTPIPFDYADDTMAVAAFHAMRRGVVTSVAAGNDGPEMGSVSNVAPWMIVSGAVNTDRKIVSELVLGNGRRVVANSSINAFFPHLGKPALLVDPGGCTEEQLKGKRYKGAVLLCGFDMDSDAFAATGAHGAIQYSLMPDDDGANNIAFSFAHPTMRLNREDYDHIVHYYNTTTRNPMATVKSSVTIKDASSPSVASFSSRGPNRITHGVLKPDISAPGVEILAAWSGAESVSDIDADTRRTKYNIISGTSMATPHVTGAAAYVKSIHPTWSHAAVLSALVTTATPITTDSSPEAELAYGAGLVNPLGARYPGLVYDASEADYVSFLCAQGYNASQLATLTGSSAKQAAAACPAKEGAALTAVGGLKYPSVRGAVGDLNYPSVAVPVLNYGVPFAAEFPRTVTNVGPADSVYRATVTSSVPDVDVTVTPDELAFSAGTKKMSFTVRVSGKLASVNGSLGASASVVWSDGRHRVRSPVYVFPYKH >Sspon.02G0057300-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2D:55989293:55990099:-1 gene:Sspon.02G0057300-1D transcript:Sspon.02G0057300-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPGGRHTSPPASSPWTWRSFCEYRLTEEVDEHCAEVNAEEVPQLGLLGCVRVKCDGRPTPKKIVRHRSILVLDPPLRGRVGKVALSSSAALRIVGARSSCLDRLA >Sspon.03G0003090-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:7891378:7891791:1 gene:Sspon.03G0003090-1A transcript:Sspon.03G0003090-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKAEKKPAEKKPAEEKAEKKPRAEKRVPGKEGGEKKGKKKAKKSVETYKIYIFKVLKQVHPDIGISSKAMSIMNSFINDIFEKLAAEAAKLARYNKKPTITSREIQTSVRLVLPGELAKHAVSEGTKAVTKFTSS >Sspon.01G0044690-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:80695896:80699577:-1 gene:Sspon.01G0044690-2C transcript:Sspon.01G0044690-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLTAERGRDGDRPEVVVIDIESGSLEGASASSIVDRQDSLFREAVTGHHHRAAGGAGHADHDSWGTTLRLAFQCVGILYGDVGTSPLYVYSTTFGHGGGVGHPDDVLGVLSLIIYSFMLFTVIKIVVVALHANDDGDGGTFALYSLISRYAKVSLLPNHQAEDELVSSYNSHEKPSSATLRRAHWLKHMLETSKSAKISLFLLTILAIAMVISDAVLTPPISVLSAVSGLKEKVPDLTTDQIVWITVAILVVLFAIQRFGTDKVGYSFAPIILLWLLLIGGVGLYNLIKYDVGVLRSFNPKYIIDYFRRNKKEGWVSLGDILLVFTGTEALFANLGYFSIRSIQLSFSLGLLPSVLLTYIGQAAYLRKHPEHFADTFFRSTPSALFWPTFILAIAASIIGSQAMISCAFATVSHLQTLSCFPRVRILHTSKRFHGQLYVPEVNLLLCIAACVVTVSFKTTTIIGKAHEICVILVMLITTLLMTIVMLLVWKINIWWIALFFIVFVPIESIYLSSVLYKFTHGPYVPVVMSAVLMVVMIVWHYVHVKRYKYELKHTLSPAKAEKLLEKHDLKRIPGVALFYTELVQGIPPIFPHLIEKVPAIHSVLIFVSIKHLHVPHVDAAERFLFRQVEPKEYKVFRCVARYGYRDSIGEEAEDFVVALVESLQYYIRDVNLYSVDEMQNVSYPISRDQSLSRDKPSGRHAIYAEEMITPIQSFSELTILSNGLSNRLPQFQASKMNIEELAKIEEEQKVIQREAEKGVVYILGEAEVVARPQSSLLKKIVVNYIYSFLRKNFMQGEKMLSIPHGKLLKVGISYEI >Sspon.03G0021370-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:88010236:88013902:-1 gene:Sspon.03G0021370-2B transcript:Sspon.03G0021370-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSTKAAAAAAAAARKSALTDQRFSELSPALSPEVVEALDRGGFQRCTPVQAAAIPHLLSHKDVAVDAATGSGKTLAFIVPVVEILRRRSSRPKSHEVLALIISPTRELSSQIFNVAQPFFATLNGVSSMLLVGGLDIKAELKKVEEEGANILVGTPGKLCDIMHTDALEYKNLEILILDEADRLLDMGFQKHINFILSMLPKLRRTGLFSATQTKAVADLSKAGLRNPIRVEVKTEAKSTSKDAGQQELGPSKTPLGLRLEYMICEASKKSSQLVDFLLQNSGKKIMGLREKALASFSALSSGVLVCTDVAARGLDIPSVDLIVQASADSKDACLFIQYDPPQDPNVFIHRAGRTARYDQEGDAIVFLLPKEDTYVEFLKLRGVPLTERECPANTDDVIPQIRSAVLEDRNVMEKGLRAFVSFVRAYKEHHCSYIFRWKDLEIGKLAMEYGLLQIPSMPEVKHHSLSLEGFIPVDDVDITQIKYKDKAREKQRKKALKRKAEEEAQNPKPVRRRAPEKPEKPKRKKTGKQRQSIQTKEDLDELAHEYRLLKKLKRGDIDEEEYEKLTGFGDSGGEASDGDASNLDERKEKGNKAQKKLKQRGKCKGGSKKFEGRSKMRSKRR >Sspon.02G0023460-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2B:79160493:79162193:1 gene:Sspon.02G0023460-2B transcript:Sspon.02G0023460-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLKSRRLPFYKDVKPEDCRSGSGLPYLAAQAKDAAMNMQTEYVKPRQPVQVRRSRWVLGDVAEVLDHNSWRLGKITEVLKNDCFVIRLVGCIQPREVHISCLRVPHDSKQLTVGDRDHQAYEEVDHYAKRKAANICASTGGRAVKRKLEASRIPPNDLVRRTGKERKVAAYEFRQLTKNELPLKVSTRNAINGDHFHRPLSSRYNDLTKNISEIKPVCKVLPLSEKPLRIREENECSVASCSANYSEYSTSDNQQSVGIGSCFPEDVMSACQSGQEIKNAYGSGLFLNVHELELQAYQSTVRAFHAAGPLTWEQESLLTNLRLSLNISNEEHLLQLRHLLSL >Sspon.07G0016790-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7A:60305469:60307310:1 gene:Sspon.07G0016790-1A transcript:Sspon.07G0016790-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RFALAGVALNGVIYAVGGFNGVEYLSSAERLDPREPNWKMLPKMSAGRGCHTLTVLDEKIFSIGGYDTGAKAMVATVEVYEPRMPSWMMVEPMNYTRGYHSSAVLGGSIFTFGGVKGEADTILDVVERYKEGCGWVTTGLKSIGKRCYCSAIVL >Sspon.06G0019430-1P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6C:1486666:1490571:1 gene:Sspon.06G0019430-1P transcript:Sspon.06G0019430-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADDAETEKATLAAEDWGKPPELSKSARKKLQKEERQAERKAARKAAEKERLRANVERRRRDWEGALVAAPSDEARAEMVAARRETRRERVGRRAEERGTRADRLRRAAEGAGQKVVLDLEFADLMRPNEIHSLTQQCCWKSVPPSQTRFPGDTFNGTEGVGGRGAYLQLHHRHVAKSKAVRSSGTAGRQTPSPSQSPLFSPRSPTPPPPTAYHHPHSPRWHPPRPTPTLPPPPTSPWVILGSIPRVVELEGGADVSLALAAPPRVSRLAVSPRVFPARPTPRNFPFLLAADPSGLLLLSAILDAPLTRVDVDRPGEPSVRLRYSDPRYFVLDAATGSALRLPDPDPKLPIQHQALVGVLACPSGAGRYVVAELLPFMGTDKADLRCFDSDVGEWVNKQVRYPLPARVLAPLRTLAHHGRLWWADYSWGVLTADPFADHPVLGFVPLPRPCVLKSREAWGVLDQFRYVGVSAGSLRFVDTYRRGGAPDKVTVWTLRDPDATEWTLEHQATFADVWADDTYKATGLPKTAPVLALIHPNNPAVVYFFLEDHLFAVDVPARKVVDCERYHLVAPPREYGIANRFVRDWELPRAVSSGPGNWSSDIGLTEATEAAPPSRPIHGGLPIGGCYSLVGNTKQTFIG >Sspon.01G0038750-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:22427425:22430736:-1 gene:Sspon.01G0038750-2C transcript:Sspon.01G0038750-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPDPSAAGAGAGGESTSQAAVVEPIRMLTVEEIKGQDIWNNCAVRSVVSGVMALDNPIMAEEMTARQQIVYTAKQMGRRSISNAKTFAVMGLIFSAAECTIEKIRAKHDTTNTAVAGCVTGGALAVKGGPKATCIGCAGFAAFSVAIEKFFDRHT >Sspon.03G0007350-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3C:5707453:5712259:1 gene:Sspon.03G0007350-2C transcript:Sspon.03G0007350-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:2-methoxy-6-polyprenyl-1,4-benzoquinol methylase, mitochondrial [Source:Projected from Arabidopsis thaliana (AT5G57300) UniProtKB/TrEMBL;Acc:A0A178U865] MPRPHPVSDSYETPSTGDLDRAPSRATKRLGRTPTRLPSREDTTKSVHRDSRDSDLSDRPRPGLDPASTPNTALQGRKDGMARRSGSFRRLVLCNPMTFRDGLPFLEHVATVTSTGIDKTSFQGTIVLATSRPIKGEAGAPQEEEDNRRTTSHIQDRDQHLKQSSSNKDVMEEVTRMQAGKAHDKVGGKDINKDDLEVAAMSKGRSWADDRLVQVQAPSIPTAAAAAAFLHSHATSFGYKQVREEDKSKMVGNVFSSVASSYDLMNDLMSVGLHRPWKDRLISKLNPFPGMKHLDVAGGTGYSKEHCLSWVQGDAEALSFEDGSMDGYTIAFGIRNVTHIEKALSEAYRVLKRGGRFLCLELSHVDVPVFKEIYDVYSFSVIPTIGELVAGDRQSYQYLVESIRRFPNQEKFAQMIQEAGFQRVEYENLVGGVVAIHSGLKL >Sspon.01G0006450-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:17012776:17016455:-1 gene:Sspon.01G0006450-1A transcript:Sspon.01G0006450-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSLGIPVKLLHEAAGHVVTVELKTGEVYRGSMVECEDNWNCQLENITFTAKDGKVSQLEHVFIRGSRVRFMIIPDMLKNAPMFKRLEARIRGKGSAIGVGRGRAVAMRARAAAGRGGGPVGRGSAPPVR >Sspon.08G0009000-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:37783699:37787342:-1 gene:Sspon.08G0009000-2C transcript:Sspon.08G0009000-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGHCSTHTRSSQNPMGNESFGHHGLPAAQGQSTTSRMPVAVVPYPSSFPFIHPLMTNQVMIRTPPCLALPSPSMPFWMMAQHQIQAPPQAQLICGTVLHSYTVMSWPCHAATAVLASPRHAYPTNQPGAAQADLTLRLGSGGSNSLGDKRQLMPLHEDERHGKRPVVAMDGGDQSGEEGNDADGLDLELRTGKHMTLETFEYNDNSSNRLKAQTQVHHALALTSTRIQHHRFKTDTSQT >Sspon.01G0044300-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1B:81533196:81533465:1 gene:Sspon.01G0044300-1B transcript:Sspon.01G0044300-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSCGSTGIIIGPDLVRPPDERSPQLAQYFTRSVALPWPAGRDPISYLLFDYSLLGGGRLRPGQPAATTRGGEGERPESVKRNASCRPTG >Sspon.06G0024640-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:57094446:57100039:-1 gene:Sspon.06G0024640-1B transcript:Sspon.06G0024640-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGAAAEVDDPSLAATLEKFRLYETRARFYVIGSSREKRWFRVLKIDRSEPSELNVSEDPVWYSLQEVKSVLQRIDEGNRSTGGLTFVTKAYGIAGCIKFLESYYLILVTKRRQIGCICGHAIYCIDESQMITIPHSSVQTDVATSKNELRYKKLLASVDLTKDFFYSYTYPIMQSLQQNVTSAGLKEMPYENLFVWNTFLTEPIRSRCRNTLWTVALVHGHFKQVKLSIFGREINVVLSSRRSRHFAGTRYLKRGVNDHGKVANDVETEQIVFEEEAGSWKGRMSAVVQMRGSIPLFWSQEASRLSPKPDIFVQRYDPTYEATKLHFDDLARRYGHPIIILNLIKTVEKRPREMMLRREYCRAVEYLNQNVPEEKKLRFIHWDFINLLKGFQVLLGYLQTYANYKSANVLGVLGKVAGEALDLTGFYYSGKPKDGSLDIRASSGDLSRLSSNAEALSSTGFQDMRNEANKQEFLGDTPCCQNWSSSWRKIEMKVICNMLSNIASLFHRIAASLCV >Sspon.06G0016820-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:73014489:73016868:1 gene:Sspon.06G0016820-4D transcript:Sspon.06G0016820-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPDAVKSTLSNLAFGNVIAAAARDLQKEMVAKDKAQAAPASHDEVDLDELLDDPELEKLHAERIAALKKEAEKREVLKRQGHGEYREITEGDFLGEVTGSEKVICHFYHREFYRCKIMDKHLKALAPVYVETKFVKLDAENAPFFVAKLAMKTLPCVLLFKKGIAVDRLVGFQDLGSKDDFSTRALENILKMKGIIDEKKKDDDDDDEESESKNRRVRSSTAQDSDSD >Sspon.05G0026480-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:34850012:34854165:-1 gene:Sspon.05G0026480-2C transcript:Sspon.05G0026480-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRWDSEEGAAADEAAAAAAVRLANKIRKRRAVSSSGGSDPAAGRRLRSRRPAVLLPRRRTSAGGDMSESSRSRHCRGGGNRLADGTRPSASARRLVDAFWQNMDSGLLEGDAAAARRSLVLWSGASTEVSKRSRSKSKILEADGKGSRRNGHARWLSADMMSNGSAMEVGTFSQDDVSRCPDKTVNLQDLQNSLIASKELVKVLAHIWGPGELNPSSVSLISALRSELDVARSHVRKLIKEQKSDSYEIEGLKKQLTEEMESWKVKQKEKVANALQFIVSELDTEKKSRKRAEKTNKKLSIALANTEASLQAVMKELERERKSKGRVEKICNELIRGIDEDKAEVQALKRETEKAQEELQKEREMLQLADEWREQRVQMKLLEARLQFEEKNAAVNQLRDELQAYLDTKKEQEPLNDPMQLSHASENGALPADVVPDRNGGNCSEDDASEGSDIHSIELNVDGINRTYTWSYTPSSKGRQKSASRHESFSDRGMDGVNSWRLEQSFRDMDEELEGDWAEGCSNGMLTLDHDEERYLAIKNLREQMLAGSGFILSQGRENAEREYCGL >Sspon.06G0023630-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:47198512:47201639:1 gene:Sspon.06G0023630-2C transcript:Sspon.06G0023630-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLFPDGAFVRLESRVTGKYVHADGDWERVSLRPNGPVPSLNAVWRVEHWVSPDQGNTFVLLQNAAYGRYLSFSIRKAKTGHRGCRTTQLDRTEPHALNAYPWMWTVERLNPPDQDYVSLRYFTCYLCANGKYLPWNIRVTADVDPDAPRVTTMMHWTVHQVAASPVPQTLPVTLEYQGSDSGLLVPQPPRMIWHMRANDEGEFDQNHHNWPSFAFYGSSVIDLKNELRLLQDWNEDMAAYTLCMRPGSHGRLMPLVTDDLPSSTDSMNIVVFRTGSPGDYSAALVICCALTLLWHWCIRHHHHRRMLLRHEYESGTSDNVEIHLFGNQKHLSTAAPDTDS >Sspon.02G0037570-2D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2D:30307990:30308583:1 gene:Sspon.02G0037570-2D transcript:Sspon.02G0037570-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTVEPQRGLVLDQSRLAIGEPARFIGPAQRAHMFWQILILKQARQPAATDRPVPDHFSRRPRRTSTRTMLFRVSGRQRRRRRMAVARLGDAGDAAAPRRGGRLLFALGRIVLPRLRLRALLLRSRRALARLRNYYADMMKGLIADAAAAEPAKAGRVVETRAGTGETVVHAGRPAVVVPAAVAGAVLRCNSHYYIR >Sspon.06G0013310-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:53442756:53447169:-1 gene:Sspon.06G0013310-2P transcript:Sspon.06G0013310-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKTINPETRRPYTITMIERLMHEIHFAVDPNLTSKEQALKVIKKLTEHFPIKRAPLRVRFTAPKPNFPGLMEKIGEWNATVISKDELSTQPSIVCEIEPSLLHSCEERLKDVQGRVEVLSVSAHAESGPSVEQHDSVEVPQAAPVKEHDAVAQISETMQKQSISTESQGSAQGKQQRRCKECDVLVEDKLYREHCKSGWHKHNYTRHKNGLPSLSQEECMVEMELADSKKDLKDYDF >Sspon.07G0026540-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:54134296:54136334:-1 gene:Sspon.07G0026540-1P transcript:Sspon.07G0026540-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA replication complex GINS protein SLD5 [Source:Projected from Arabidopsis thaliana (AT5G49010) UniProtKB/TrEMBL;Acc:A0A178UAX9] MSSWDDDDSAAAAEAAATDVELLKRAWRNEKAAPEILRFDSPLVSRVREQIQLLEETLDDFADSGVDDLVVSLYQMDLDRTLFLLRSYLRLRLQKIEKYTMHISRSDDLLSRLSQQERRFAKSCAEIMEKHLEQSVLSKLPYGYDSVTRQSLSSTEDDMVPEPQLDTFVFCKTKSDVGAFQLDDIGEEVVDLVADDLYVLRYKSIKGLVEGGRIDLI >Sspon.02G0012200-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:26519479:26523603:-1 gene:Sspon.02G0012200-2D transcript:Sspon.02G0012200-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSEETKSKKKKEEECIITCLPRDLIERIFFRLSVSTLLRCIEVCKQWHKVIRDPQFVTAHLEQAPRCALLFFPQESFQGKPYPSDAIVFDEGWSQSTVAVPVIGPDDLLCGSCNGLLCLYTKASTIKIANLATGECLHLDKPIKNLKGDHFSFYRFGFHPVTKEYKVTHFLGGHQNNSQGTFNVIQVYTLGSDKWKDVGSSEDLSLSCVKNSGVVNVDGAMFWLTEDAGASWKHAVISFDLSEESFARIQLPDSTLGGYRKYWITEINGKVCIATAEVHQHRPRMLSGKLQIWTLCSKLESRWSQMYSLPHTHSYLPGPHFVHWDKIMMQCIFGDLCSYELFGEGCGTKLSKRVKLLNFNPHKPDNVQSFTCVKSLVRLDAYKKAGIVHGSKQQGGWGLKKWEAWERDICSVEDMWKNVYELEQNLLETPQLLAMVAKDLLQRLPFPDEVTRQRITMEIDQTLQHLPDCSDKHRRPQRRLNWVERKRDDEKLTARVNRVKDITKASRQAAVDISSTLMDMMNHLQMILFYQTHSNEIVIHIHLALRYVNLMKLTYQKATVQADDGILRLLSDFRNHLQ >Sspon.05G0030500-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:86518028:86521622:1 gene:Sspon.05G0030500-2C transcript:Sspon.05G0030500-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRSAGAMLWLLVCAALLLGCCHGRFVVEKNSLKCAIGNFGVPQYGGTMVGFVAYPKANRKACKSFDDFDISYKAKPGAFPTFLLVDRGDCYFAKKAWNAQKAGAAAILVADDKDEPLITMDNPEESGKTDYLENITIPSALITKSFGDRLKKAIDKGDMVNVNLDWREALPHPDERVEYEFWTNSNDECGPKCDSQIDFVKSFKGAAQVLEKKGYTEFTPHYITWYCPEAFILSKQCKSQCINHGRYCAPDPEQDFSKGYDGKDVVVQNLRQVCVFKVAKEHKKPWLWWDYVTDFAIRCPMKEKKYTKECAEGVIKSLGLDHKAIDKCIGDPDADEENPVLKAEQDAQIGKGSRGDVTILPTLVINNRQYRGKLDKGAVLKALCAGFRETTEPAVCLSEDIQTNECLENNGGCWQDKAANITACKDTFCGRVCECPVVKGVKFVGDGYTHCEASGSGRCEINNGGCWKDTKNGRTYSACTDDGCKCPDGFKGDGKHKCEDIDECKEKTACQCKECKCKNTWGSYECGCSGGLLYIKEHDTCISKNGGSEAGWGFLWVVFFGLVAAGIAGYAVYKYRIRRYMDSEIRAIMAQYMPLDNQGDVQSHSHHIE >Sspon.08G0020810-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:34067807:34072581:-1 gene:Sspon.08G0020810-2C transcript:Sspon.08G0020810-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRHHHLFAILRRAVASAASQRAELLPQLHSVALRNGAAGSFSPCFFTSRARSSAGAAKSLVEDEAELSDWISDLKTDSFHLGLSSGDEGDAPSTRRPSVGASRGGRGGRDSRGSFPRSRMAGGESPRGQPSQRGRGSGVARRGGRYSDFDRDGGDGDTGFGSSRGRRGESGQLSGLSHRRGRVSDLDDDEGSDDDLVGFGDRDGKQHHGRRRGGREDTVGLRRGRGRRDLGLSRKGGSYSDLGDYDGDIGLGSSRGRRDRGGRMSGLSQRRGRGSDLDDDEDDDAGPDGFEGSSVRHQGGRRGKMANGSHWGGRGSDMDFGHRQPRGAKKFDFGLSEDDDEAGEVDEDDEPSGFEDDLFDDKGVKEDVGEITDNKSGSSMSAEDEPAKHESVQGRRSTGGGDSYLSQKRFDECPLSPLSLKGVKAAGYERMTAVQEATLPIILQGKDVLAKAKTGTGKTVAFLLPAIEVVSKLPPVDRDLKRPPISVVVVCPTRELADQAAAEANKLLKFHPSIGVQLVIGGTRMALEQKRMHTNPCQILVATPGRLRDHMENTPGFATRLLGVKVLILDEADRLLDMGFRSDIEKI >Sspon.07G0014300-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7B:57092907:57094724:-1 gene:Sspon.07G0014300-2B transcript:Sspon.07G0014300-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSGPRKPSTPPLPAATAKDSSVMTAAAALMLELAAADDVVAFRRAAEDDKAPALDAAGHWYGPSAAAAGRLRLEARTPAMVAALYGSTSVLAYVLSSAPAEAARASPTDGATPLHLAAAGGSAGAVAAAHLLLAAGASADALAFSGLRAGDLLPRANAAADRDRALRVLLKSPAASPSSSPKKSASPPPLAAAAATALPAEPRKEYPPDLTLPDLKSGLFSTDEFRMYSFKVKPCSRAYSHDWTECPFVHPGENARRRDPRRYSYSCVPCPEFRKGGACRKGDNCEYAHGVFECWLHPAQYRTRLCKDEVGCARRICFFAHKPEELRAVNPSAVSVGMQPTVSSPRSSPPNGLDMGGGMLNPAWPSSPASRLKTALAGRELDFDLELLALDQYQQKLFDKVSSPRASWGSAGGIGSPMPAAAPARTVPDYTDLLGSVDPAMLSQLHALSLKQAGDMPAYSSMADTQLHMPTSPMVGPNTAFGLDHSAMAKAIMSSRASAFAKRSQSFIDRGGRAPATRSLMSQQSTTGAPSMLSDWGSPDGKLDWGVQGDELHKFRKSASFAFRGQSPAPVPTPAAEPDVSWVNSLVKDGHAGDIFAQWPEQEQM >Sspon.03G0030320-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:20398858:20401464:-1 gene:Sspon.03G0030320-1B transcript:Sspon.03G0030320-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DVQDTILIHGRSDKQLRGAISPQHILVGCDKSGGRIGLLSSKFVLQAVSVLHIVHLRPGDIQSDTLSKQNYNIDLDLDRRDYACRLARVCPHG >Sspon.02G0010610-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:23503029:23508888:1 gene:Sspon.02G0010610-4D transcript:Sspon.02G0010610-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSSLRKLRGFALQRHEQRVDRDRGGRGHSTAAAATAADELLAAAQDMADMRSCYDNLLSVAAAIANSAYEFSEALQEMGTCLLKRVTPNKDGINDKVLLLLGKSQFELRKLVDSYRVHVLNTITTPSLSLLNELQTVEEMKRQCDEKRELYEFMLNAQKEKGRSKNAKGDNGASEQLKQAQEDYQEEATLFLFRLKSLKQGQFRSLFTQAARHHAAQLNLFRKGVKSLEAVEPHVRLAAEQQHINHQFSALEEEDYLVEDDNDDDYNDSHDGELSFDYGENKEAEESDNASRNHTEEFFNRSKEEYSSVPHERQRIVSQSAPLFPEKKLNTEERIKDLRRSATRKSNTYVLPTPNDVRATSQIASGNPTSGPLESKGAFHSSPLHPSADNKLPSPTRLSNVQCVLKESNTNTAETWKVLPVGDLALPGYYDLKTSDNKKVKRGSFSGPIASRPRSTENIDVISAAPRHSSAHQPVHMRISPGNSPPPISSPKIKELHELPRPPVNASKNTTFPSLVAHSAPLVPNPASLAPKVQDHFRARQTLPSTASPLPTPPPGSIARSFSIPSRGRASGISDSKETEDQQDKGAARMSLSSLPSAQTFLEDCQPLSAAAESLRVEHDFPANP >Sspon.06G0024260-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:52073457:52075951:-1 gene:Sspon.06G0024260-2C transcript:Sspon.06G0024260-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIKRTKAEKKIAYDKKLCSLLDEYTKVLIALADNVGSKQLQDIRRGLRGDSVVLMGKNTLIRRCIKVYAEKTGNHTFDPLMDLLVGNVGLIFTKGDLKEVREEVAKYKVGAPARVGLVAPVDVVVPPGNTGLDPSQTSFFQVLNIPTKINKGTVEIITPVELIKKGEKVGSSESALLAKLGIRPFSYGLQVTSVYEDGSVFSPEVLDLSEEDLIEKFATGVSMVASLSLAISYPTLAAVPHMFINGYKNVLAVAVETDYSYPHADKIKEYLKDPSKFAVAAPVAGADSGAAAAPKEEEKAPEPEEESDEEMGFSLFDD >Sspon.02G0009180-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:24345500:24348983:1 gene:Sspon.02G0009180-2B transcript:Sspon.02G0009180-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARYDRAITVFSPDGHLFQVEYALEAVRKGNAAVGVRGTDTVVLGVEKKSTPKLQDSRSVRKIACLDTHIALACAGLKADARVLINRARVECQSHRLTVEDPVTVEYITRYIAGLQQKYTQSGGVRPFGLSTLIVGFDPYTDKPALYQTDPSGTFSAWKANATGRNSNSMREFLEKNYKETSGKETIKLAIRALLEVVESGGKNIEVAVMTKKDGLQELEEAEIDEYVAEIEAEKAAAEAAKKGAPKDT >Sspon.07G0011180-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7B:43960742:43964676:1 gene:Sspon.07G0011180-2B transcript:Sspon.07G0011180-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRSTAWLGLTTYAAEPESGEQPKWWEKNAGPNMIDIHSTVQLLDALRDAGDRLVIVEFYGTWCGSCRALFPRLCRTALENPDILFLKVNFDENKPMCKRLNIKVLPFFHFYRGADGLLVAFSCSLAKIQKLKDAIAMHNTARCSIGPPVGVGDVELLDSASPQEKPAEASPR >Sspon.03G0004780-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:77706045:77710103:-1 gene:Sspon.03G0004780-2B transcript:Sspon.03G0004780-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDHKDPGIKLFGRAIPLGPEPAPGTTEAEDPPPRHEPPPDELQPRAPEVAAAAADEDQHNEKEEKPASEMVDMPQEKGKEIKVDTPQEEKDNEMKVDAPQKEHDDEMKIDAQQEKKDEQMEVNASPMHENIEPANLPPSEHKKENEGLMNGTEDKAASDPKGENEKTSNEESGQDKALKKPDKILPCPRCNSMDTKFCYYNNYNVNQPRHFCKNCQRYWTAGGTMRNIPVGAGRRKSKNASLHYRQLLMAPDCVLGSRVDISKSVLPEALVSPPAPIQPTSRNETVLKFGPEVPLCESMVSALNIDEQNVNNPGSAPRGENREDNPGSGTSYNDVPENMVPVDKNGAPVHCNGVAPVPQYYLGTPFMYPWNVGWNNVPVMVPGKSMPESASASDSENCSTSSALWMNSPMMPASRLPSPAFPYPLVPPALWGCLSGWPATTWNIPWIRPNGCVSPSSSSNSSCSGNGSPTLGKHSRDSNPLKEEKREKSLWVPKTLRIDDPDEAAKSSIWATLGIKPGDPGTFKPFQSKVESKSQRSDAAQVLQANPAALSRSQSFQESS >Sspon.01G0037880-3P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:21559248:21560192:-1 gene:Sspon.01G0037880-3P transcript:Sspon.01G0037880-3P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATSLSSCSSLPRATAKPGGVSYYTQLTFRSRHPFEKAAAAATFQKLPPELLRLSYAARNNKHARTSCRRATDNDQAAPAAAQETTANTPPLQPLPSPAPRDPHNGQPQQPVANANGSAPSEPPKRAPLTARERLRAARVLGKYAEPSAKGSSSSKSAKPEFGSGVLDALREADAKKGGGGGGRRGSRLPEAPGNLFDDSKRGMPKEGWTFELPFGVDVFLVLVSFTLITTIMFGTAFLVWKLGPYTSTSTSL >Sspon.01G0052230-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:20650237:20653002:-1 gene:Sspon.01G0052230-1C transcript:Sspon.01G0052230-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQIKEFRIVMPMSMEEYEIGLSYTIMKMEQQNTNSKEGVEVLQQVPFEDEKLGKGQFTSKIYHLQRALHLPALSQCMRTLGVHFQRAEQCPLFSKCSLTIDTVTRPDNGCSENVHNLTSEQLAAREVEIIDIASISRDYWSKVIGAPNVDLTTFKSQRTERGPLLKGWMDSCVPVMTTYKLVIMDAPIWGLGERLEDCIIAGERALFLACHRLCFAWIDEWYGMTMEQIREMERQTDMLLKKTLKKPGKAGSKHEGSRKTLKDEIVAVGSCT >Sspon.02G0034050-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:9956056:9958298:-1 gene:Sspon.02G0034050-1B transcript:Sspon.02G0034050-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEISIELKVQQLKEIPLDKVLVTQQIDLMTAKAWLSADKQLERKVIKLSIQNGVPSEYTDMILLQTNLDKVDGTQKVKRKLKGQKGRDEQRILLHGLKLGFGNKDATRENLITGFGDMNPAEKLVMLQKGNGCCSRVADCLCCMCCIKACNRMNDQCAILMAQICAALACLGCYECCAEVCCGGSES >Sspon.07G0002350-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:4342724:4343815:1 gene:Sspon.07G0002350-2B transcript:Sspon.07G0002350-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRAAQTGGGGAGAGAGGAARLKASPRALFSCGIFSTCTHPALSPTATPNNNVVPGSGGGGCGGIKGGGSGGTPCAEASSASPVAVEAAAAVATPPPPQRQHQRAQQRNVGPSSSSSSSSSSASQSFTQWRLPVHHPPHASASASGAGASGDALLSAEEKFATGEVVAALRTVEREMEAAARPVPAGVVAGVVAAVREPATARLAAKVLLVVLLEEGNRETAVEAGAASAAVEAVAASGPAGATAERALAALELLCTAPGGAPAVRREALAAPVLARAVEGMAGRGRECAIGVLAAIYGGGGGGAGGEDGASSSPPPPEVVKAVVAAMQGECSARGRRKGAQLLRALQEGGRLGLAWDGVGDQ >Sspon.08G0024470-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:64058845:64066988:-1 gene:Sspon.08G0024470-1B transcript:Sspon.08G0024470-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDFDETDEAFFDKLVNSDDDDDDPPAAAAAALSLTDQPDAAPPREPEPERGSSPEVDPLHPETQARAPETVPDPAATATATAGADPSGDLLPEDREAFFGAAAVPGDHQGLQASPSPPPSLPAGTGDVSAPDRTVSGQTESNASSQLDSSTAAEGSGDQSANAQSESTDPRYLESLYPGWKYDDATQQWYQVDTLSAQSYAAQNAGAAMAGHGSDDVLLQQQQQFGASYLQNTSHAETGLEHTQAASQPETEAGNQPAVAPRKEEAQHEPVPAHTEVGYGASNATSQFDSSTTAEGYGDQSANAQLEYTDPRYLESLYPGWKYDEATQQWYQVDTLNTQTYAAHDTSGAAADQASGSVQQQQQFTGSYLENTSHAESVLEPTEPTSESKPQLEPAAAPPETEVDDQPAVAPQKEERADHEPMPVHTKPEAEEIPADAGDTAAAKEGGSPGSEKGIHTAIKQVQWNDFGASTGGGGADLFGDLLPDGAEDDFFGGTVPGNQGVQSSLIGAKNVGAPDHSFSAGVDNSAMISAGHSFSGGVDKNANSHFGSSGSSAGYGDQSTNAQLDSTDPKYLESLYPGWKYDEATQQWYQVGTHSAQSYVADNTGATVALGSDYGQQHQQQFSASYLQNNLHAALETIAEESSTNATSWAQGGTNTGPTEYPPNMLFYAEYPGWYFDTSTQQWQTLESYQQSVAQVATTAAASDGLAGAGHSVANYTEDSYASSYNQQSQWQPNLLGNTMQPDVSGGRSLLGSSYSSNQQAGNQIGQQANAESLQSSINYEPNHIETFMPSTGQYTGSEGNQASYKGFEPFTGNQSWYKGLEHSTSKKLRYKGFEPSTGFQNSRKEFQPPKDHQADHMADEPLTKAGYVSSNGVANTQNFVPKESIYKTQVHDDSTAHTHAASNYWGTQTSMGIAQQQLIGTNGPSQQFGFSPHEQRSSAGRPPHTVVSFGFGGKLVVLKETSSMAANFDSGNQGNSGRMVSVLNIPEVVADKIDHSSITDSGAFSYFHALCRHPIPGPLVGGSAASKDVNKWLDDMTGVYESSQTEFQGGDVQKVLISLLKILCQHYGKLRSPFGSDPSQEGVDGPEMAVTKLFSSCKSSANMKGYGAVVHCMRNLPSESQIQTTAQEVQNLLVSGRRKEALQHAQEGQLWGPALILALQLGDKFYADTVKKMAHCHFVSGSPLRTLCLLIAGQPADVFNFENPANSGSLYSPHQPVEAAPKGMLDDWQENLAIITANRTKGDDLVITHLGDCLWKEKNEVASAHSCYLVAELNIDSYSESARMCLIGADHLRCPRTFTSPEAIQRTEVYEYAKVLGNSQYILLPFQPYKLIYAYMLVEVGKISDSLKYCQASLKVLKSSGRAPELEAWKQLFMTLEDRIRTHQQGGYATNLAPGKIVGKLFTSLDKSLSRMMGTQAAPLPQLPQGAANERDVYSPPDTKVVNSQSVMSMTPLVSSASEQSMSEIGGNSGYGREVAHNRSISEPDFGKTPQQQGAVSSKAQSTSASGSSRFGWLVQKTMGLVSKSHRQAKLGEQNKFYYDEKLKRWVEEGAEIPAEEPPLPPPPSKVSFQSSVPDPNSNAPPTGGGYSSNPPEPSSGMPPMPPTQNQFSARGRMGVRSRYVDTFNKGGGGGGANAFGAATSYNKPAAPSINPMSGAKFFVPTPATVASEQMAEPGAHSETTRQDEPSSSPAMETAFSSPPPLIQMQSTIQRYPSGDNIQWNPSGDNIQRYPIGDNIPRHPSSDSIQRYPSSDNIQRYPSMDNIVSPSGSVNSSMSRSRASSWSGTLPEQLSSTAATRPPDGQIMQSPSMPGKRPPHSRSSSTSSAQFNGLGEELHEVAL >Sspon.03G0021770-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:52677186:52681498:-1 gene:Sspon.03G0021770-3D transcript:Sspon.03G0021770-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPIPQEDGEDCLRRQRSSSSVNGTADDMLPSYDFQPIRTGGAAAAPAPQASWGSLDSKAPSASAAASYSLKSAGILEPHVLKKISHEEDRSNFPTVTIADIDRTMKKYSDNLLHALEGVSSRLSQMEGRTHQLENSVDELKLTIGNYNGSTDGKLRHVENMLREVQAGVQILRDKQEIVETQLQLVKLQTTKTDAQSSENSGSGQASSQQQPVAPPQAAIQPQQVLTPSQPPALPAPNAPPPPPTLQSQPPSQFPSHLQHSQVPSVPSVAPVPSVPALPRDVYYAPSSQPIETMHQQYQAPPVPQPQAPPAPQQYQAPPVPQPQAPPAPQQYQAPPVPQPQAPPAPQQYQSPSQFPQYSQAPQPANVNPPTPHVPPAPQQPEETMPYAPPQSYPPNARPPPYMQQSSAPAPPYYGQQNPSMYEPPAGRANPGPPSSYGSGGYGPQGGSGFSESYGYTGSPSHRGNAGMKPSSPFAQSSGGSGSYGSGRLPTAQMLPQAVPISSSSTSGSSGNRVPLDDVVEKVATMGFSREQVRATVRRLTENGQNVDLNVVLDKLMNGR >Sspon.08G0007920-3C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8C:26876046:26879471:-1 gene:Sspon.08G0007920-3C transcript:Sspon.08G0007920-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAHAPQRRRGVLLLLLVAALVAALPATCAAARTRSKKSYTAIFSFGDSLSDAGNLIVNGTPKALTTARPPYGMTFFGKPTGRCSNGRLVVDFLAEHFGLPLPPPSQDRGKDFKKGANFAITGATALEYSFFKAHGIDQRIWNTGSINTQIGWLQDMKPSLCKSEQDCKDYFSKSLFVVGEFGGNDYNAPLFSGVRFSEIKTYVPLVTKAIANGVEKLIELGATDLLVPGVLPIGCFPVYLTLYNTSNKADYNARTGCLRRYNRLAFHHNRELKQQLDELQKKYPKTKIMYGDYFKAAMQFVVYPGKFGFSTALQACCGAGGQGNYNFNLKKKCGEQGASVCSNPSSYVSWDGIHMTEAAYRKVADGWLNGPYAEPPILKS >Sspon.06G0030690-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:52280942:52286978:-1 gene:Sspon.06G0030690-1C transcript:Sspon.06G0030690-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDETLAACFQCHRLRVASFSPAASAAAAALSDFAPIPHPLHPGRILVLGATTYVRKPRLLHNRASASRHVLCQRQGKINEAYKKLQDGWLDNVGTIFRKSLKMQNPGVDIISIQTPPPQIDVEQTLTKHFSSGTFPEKDATCNPVFLRPVPTEIRLLLHLLCRLLVPGPPFSDAKQASMLDNHPQYGCEVATAAELLGMALPAASDGRIEQLVHPHVEDELQQLRHSLSIMSPVCAY >Sspon.05G0030720-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:70042419:70049399:1 gene:Sspon.05G0030720-3D transcript:Sspon.05G0030720-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAQACKKRRGVYISSDSGDSDTDSDVEGSKLSQKSGVTSISTCEHQSSSKVKVASMKSSRMIRLCGNIVRKLIDHKGGWLFKDPVDPVLYGIPDYFDVIRNPMDLGTVKNKLTKKKYVSIEEFAADVRLTFSNAMKYNPPGNDVHVVAKELNGIFESEWESVERKFRGRNPVQEQQTMKVIKVRAIMDSKSTVARGPVASSNSKPLVAKGPIACSNSIAKKTLTDALSSKVKIKFSVRSSEHTSSKDIPLQAAGSKEGPLNHSVPTGKKEASLDCTLPFAKENAKISRIRETERSSSSIGNESWSCNDTSTSPLASSLQGEESYLHDEPLSPSRALRAAMLRSRFAGTIVKAQQRALLDHGKNIDPVKLQLEKERLEKRQQEEKARIEAQVKAAEAAAQRKFDEEMRMKREQEREAARLALRMMKKTVDIDNSDFLKELENFSETWQSNPPGKLIVDFIDGDLPPGLGSPLERLGLFMKNDFEDEVEQEMEDSASPSMDVDMKDFQEDAGHEMQDSISPVTVIGTKNDFQGAEGHEMEDSVSPSTVIDTKKDSEEETEHEMVDSVSPSMDVDTEEGEISC >Sspon.04G0009650-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:24330185:24338974:1 gene:Sspon.04G0009650-2B transcript:Sspon.04G0009650-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPASEELERRSRYLSSLIRRTKLSAAPAPPPQPEPEPEPEVAPPPNQNPEPEAAVGKRGEAKAAEPVVEEKEKREAKEAGDEKGKEIKGKDDDSRKVSVRVRAADMPLPLQRRAVRLAYEAIAAMPRLDSKRLALALKKVCHLPPYISVVNFSWEGMSAWFCGAMSSLLLWAWCREMREELAVPSEEIRRIAADNTLVLEDILGLRQELAVIEDEIHMLTKQTIPRLRLDNEMEYRDIIQGGMQLEEQMRALKPIKAEVLLLSSEKMELEALRRELSVKVQSLYRELEQIRSENKQIPAIREGLHDIQEEILRARMAYEHEKRAKIELLEQSQAIERDFINIKMEAQRLRTELEKRRP >Sspon.04G0030060-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4B:75637832:75638655:1 gene:Sspon.04G0030060-1B transcript:Sspon.04G0030060-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTSFATSGVSAATTLPASTVHSELSESSGAPLTLEALAGVVNRMGANIDVINRNMAAIQAALTSLLPPPPPTAAITDPARYSTTLLPGTTLLPFQDVQPTVQPPLQQIEQAMDITPEPVGKMLTCKVSAAVRLQAAARGLLARRQLQEMRQPMHEATVDLSSAKRDLAPWDGHQQPRRPTAVFRREHGVFPARNDLQLCGSGGRGVAPLLIIGGDALPSATAFHRRPPRGRLRWSLS >Sspon.05G0020610-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:85245382:85247547:1 gene:Sspon.05G0020610-3C transcript:Sspon.05G0020610-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLPVADPGTERGEGPLIQCPYCDSEAMHKLAQILLPGLAAVCVDGTTGDLFRNPSVVAVDLRKEMVDYITQRSDTFIADALIESEANQDGPEAEMPDDPFEIVSVFMDDFSSTKRNIIGHVSGWLLSDSRDDKIDDFVQEMEMTKFWPLERREAIAEVLLKNVDLKTKFHCPEKYENEERLADHKEQCSFRPVVCPNDGCRAKVSVRCMKDHDAACLYKVLQCEQGCEKRLLRRDMDRHCVTVCPMRPMKCPFGCDSSFPDRDLEKHCAEFLQAHLVKVLKAIHKKGRSEEELKELAQKLEKFDADGKLAKALDARPLTNVVKDLEAKMKIICKLRCLFSVEALINQ >Sspon.01G0009920-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1A:27969688:27970049:1 gene:Sspon.01G0009920-1A transcript:Sspon.01G0009920-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LNVSYPYPHPHPHPHPHPNTASDTADRVAWLPAAVLPLSVALPLQPPPPPLPSPGLRPQRRRAGALGAFRVTADRAAWLPAAVLPHSVASEGKKAAGGGGGVPCCGAAAFLARKLQRRS >Sspon.02G0001830-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:5967231:5969566:-1 gene:Sspon.02G0001830-1A transcript:Sspon.02G0001830-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDCGGVAAGGGAKKPFQLTRSLTYHHHQGHRPAASAARWRRQQLADEPRAQRPQAVVLYTTSLRGVRRTFADCTAVRAILRGFRVAVDERDVSMDAALRREFQALLAARGRAFALPQLFIGGRLVGGADEVRQLNETGQLRRLLDGAAGQDPAFVCDACGGVRFVPCTGCGGGRKVFVEEEDRVVRCGECNENGLSGD >Sspon.01G0026750-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:94653683:94658323:1 gene:Sspon.01G0026750-1A transcript:Sspon.01G0026750-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:At1g77720 [Source:Projected from Arabidopsis thaliana (AT1G77720) UniProtKB/TrEMBL;Acc:Q84VX4] MENRDSFPRPPAPSYPSLTRAVAVPTGGDTAATNLTSSSGSSSSLTLSPPRFLQQIHAAVKRQRPFGPMQSKLPRATRVLVSGGERSTKVGACPSVAKDPERKVTQPQRGLLGSSRLPNATPDQSTPKLGSSTPDELMMTASSSMLKSTIDTHAQSVGQKNNEANLLIDTEKSALDALSSQITSCNALMGESFKKGQLDLDGNPQLTSQRDNFPANQGAQYDHQQNHQELEIVGAEVDMDIKYDAPNLSRRGIDEARNHSHGEPMTRFSAIGSSVTAISLHSGPTVQSLQTPQVSRYTSPVQMPESAVESSKGVLGHGSQKEPAGATGVGDWNPHNQHVRNLGNGAMDKAVSSIGRLRSEGLPANDQSTSARDGGASRPNKGEKERHKKNYDPNVNGKLYQKLGKIGSGGSSEVHKVISSDCIIYALKKIKLRGRDYPTAYGFCQEIEYLNKLKGKSNIIQMIDYEVTDKSLLLESSVPPRDGRIKDDHFIYMVLEFGEIDLANMVALKWKERSNSNMKIDENWLRFYWQQMLEAVSTIHEERIVHSDLKPANFMLVRGSLKLIDFGIAKAIMNDTTNIQRDAQVGTLNYMSPEAFMCNDTDSGGNVIKCGRPSDIWSLGCILYQMVYGKTPFADYKTFWAKYKEVTDRNHKILYEPVDNPWLVDLMQRCLAWDRNERWRIPQLLKHPFLNPPVPKDLPPSDDDPCRLLMERIRVHWDNPVVQKLRSLIEELDGDQ >Sspon.06G0014350-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:75953991:75963267:1 gene:Sspon.06G0014350-1A transcript:Sspon.06G0014350-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKECVVNALLSCFMHVGLALVLLVYLPVAFVCRLLNRLFVRPLAKGEDLRGKVVLVTGASSGIGEHLVYEYARHGACVAVVARTEIALRAVAKTARDLGAPDVLVVPADITKVDEAKRAVEETVAHFGKLNHLVANAGIWSSCFFEEITNITAFHNDLNFWGAVYPTYFALPYLKASRGNIVVTASVAGRVPTARMSFYNASKGAVIRFYETLRAELGSHVRVTILMPGYVVSNLTKGKGLQKDGHVGIDEEARDINVGPMPVGKTESLAEVVVASVRRGDYYVTWPGWYWPFHMVMCAAPELLDWFSRAFYVSKSGEQDGGAALSKKILEAVGGKKFLYPSTIRSQDAMAAN >Sspon.06G0002910-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:6955063:6957216:1 gene:Sspon.06G0002910-4D transcript:Sspon.06G0002910-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSGGGGGGGGGGQQWPCDYCGEAAAALHCRADAARLCVACDRHVHAANALSRKHVRAPLCAGCAARPAAARVSRVPGADPAFLCADCCEGCDAAVRVPVEGFSGCPSAAELAASWGLDLRRAAVGDDGYGRAEDKGGGDIDLDHEPFLSVLDYSVLGVVDPDLRDLYVPCDPPRVPAPDAAGARPLRGEALSDQLAEMARREAGTAHAHPHSDLSPRTPRRTSAASSGRLPPGKMAPPAAMPTHHPPPAAAQEVPPPYTSLLMMASANCADLIGVADRVGDDDEQLLWDCAAPSVLPTQIWDFNLGRSRDHDEKSALEVGYGSNHGGFMIKSYSDMLKEISSGTTKDLEDIYDSRYCSTAEDIMSSNICQLSSKNV >Sspon.01G0023290-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1B:88882215:88882652:-1 gene:Sspon.01G0023290-2B transcript:Sspon.01G0023290-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTELKIQRYAGTKKTVFQVSESVRSLLEAFAASRIFPVRPTPTIPASVPAVFETPITIPANFGATSIWFTANPALPRARKPRDIAEHVTVPEGVLNKGRAMSANAETMNPMVFRSFLVLVTDKILLVIKWSAITPPILEKMNILK >Sspon.01G0015660-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:46300246:46307274:1 gene:Sspon.01G0015660-3C transcript:Sspon.01G0015660-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCRRAGDPGSQRAEQSEEACGRVRLPALLPTRVPSESVGFPNPIAMAAPPSPSSPSPSGEVAAHRFSGTMDASSSPSRTELLTMVKKHSHLIGWTIVDAEDDASDVGMDDKFWHEMLDLFFVRGRQSKRSEEDDLVFFVNNMKLHGYGFNDNMEDPPPFFVRRWATTAVETVPAYPNIFFSVDDFDDTFDAVVLSDPEHCYCVILNAHDGAAFPDETESKNPVSNISCGTAQEKPPKRTLFSGYVSYQNVREAYDAGRSKFGSFLSLGHDHNKFDRLFMRGPEGRGEVEVAVSSIADQSREKSKKDPGDSFRVLVHKAASAASKLAKHAYESASANKQMYDELVPLKCCLMSVSLPWDYIAHDLLHKDTPPLDL >Sspon.01G0024900-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1A:88585553:88586591:-1 gene:Sspon.01G0024900-1A transcript:Sspon.01G0024900-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKDHHGLSLAGICDCCNGLVLFENHVVNPATRQWMRLPPYPTLPEEKQSYYDYWYLVFDPTVSPHFEVFVMKSPLDYKNEPSELLQLEYMSVRIYSSSKQRINVFTNKYQVINLPPDINWTRYYQFRLGKSVGGVHFAVAGQQGLQVWFLDESGSKTEWVLKHVTRYPFNNDQTDRPWSLQHGLYAYDDDDQEENNKEPTTAEKDSDWDSDDDNAGGIDLERVDKYSCPYTEVLGFHPYRDIVFLALSKEVVAYYFNSSKMQPL >Sspon.06G0016450-2D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6D:70924967:70926133:1 gene:Sspon.06G0016450-2D transcript:Sspon.06G0016450-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPAANSRSGSRLVADTVADRDWSRLPEDLLVSVLGALHVADAIRSGAVCASWHAAYVSFRRLRLPSPRQPPCLLYASDAVTPGAAALHCPSTGATLRIPYPQGPLARRPLLGSGHGWLVTADEASDLHLLNPVTGAQVALPPITALHHVERGADEQGDPAYLVYENLSVYSFSKHRCEVNTKPTILEMDDAYECLYFRVVLSAGPSAGRGCVVLLLHMPLGEVSFARLGDDRWTWVAPGDDDDDDTGLPSRYGYRDAMYSAADGLFYLLGLDASMCSLDLNGPSPVARKILDSMPKSVDASKYLVQTPAGDILQVWRSREEVDSEKPVEYPPDYVVDDTIAGQDPCLELNTIEMQLYKVDLHGHRVELIKSLPEYALFLGYNGSLCIP >Sspon.01G0022500-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:93834054:93835589:-1 gene:Sspon.01G0022500-2B transcript:Sspon.01G0022500-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPHHANKPKPKLSTKHSKFISCKLYISESRNAMAVDAIERASKSDAQVVVVSQFGDNHYNRFRYTLVSYIIDDRSTGEVIYSPIRKVLLAMIEAAFSTIDLESQSGAHPRIGVVDDLSFHPLGQATMEDAASLAKQVASDIGNGLQVPVFLYAAAHPTGKSAGAIRRELGYYRPNYKDNQWLGSMLPDVLPVKPDVGPTHVSHKRGATTVGVTPWIENYNVPLLSKDVATVRRITRRVSGRGGGLPTVQALALFHGDDCTEIACLLDPDHVSAYQVQTVVEQIAGDQGLEVEQGYYTDITKDAALDKYLKIACADD >Sspon.08G0009690-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:36309813:36311008:1 gene:Sspon.08G0009690-1P transcript:Sspon.08G0009690-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLLPLDASEFTSEDPFFLRWEALRRSVHLLHPIITNAAPRITAIITDITLTSCVIPIAKELDVPCHVLFPTAVTMLSLNAYYPGYLEQLKGGPAPGVVGDVDIPGVFRVPRSALPPALVDVNKLFTKQFIDNGREIVRADGVLVNTFDAIEPAPLAALRGGKIVPGYPPVYTIGPLKSHATKAGGAGDALLDEWLGQQRARSVVYVAFGNRSAAKLDQIREIAAGLEACGYPFLWVLKTTKVDREDNAELAEVLGDGYLERVKGRGMVTKGWVEQEALLKHPAVGMFVSHGGWNSALEASSAARRPPRERDGGGERGHRGVGGALELGREDTLVTGQDIADKVKEVMADEKLRASVAVARKESAKAVAEGGTSYRNMHDFIAKLKGGA >Sspon.04G0011330-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:33926206:33929925:-1 gene:Sspon.04G0011330-2C transcript:Sspon.04G0011330-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFAYAAQCFGLEIDYLLAILQSLLAALGSIQFVSNIAFAYFVLNKTISVKVMVATTFIVFGNIFLVSFGNHQSPADTKDGSSTAEDSIIDVDRNGKMQMEETDTDESNSFVTSVKVKAKRVLLKAKSACSMSLGLGEDTISASSVLAMPMVSSRTTGFRGIGNERSKYVPLRTTDWSNL >Sspon.08G0009620-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:42319378:42324533:1 gene:Sspon.08G0009620-1A transcript:Sspon.08G0009620-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLVVRVIEARGLPPAEADGTRDAYAKAQLGKQRAKTKVMRKTLCPAWDEEFAFRVGDLRDNLLVSVLHEDRYFADDVLGQVKVPLTAVLDADNRTLGTQWYQLQPKSKKSKLKDCGEIRLNVSLAQNSSEDTATLAHWASDDLASNSDKSTELVKGSSLPNIPIEVSTAVPEIDGIEVAKEDKSNAAPSFVNKLYQMFNSKPKDAEASAPPPSKLNDTSDITEETLSTSSEAPEKQDHDASATMTFDELLKAFGSQHEGKEMPENLSGGVVLDQVYAVAPSDLNTLLFSPSSDFLQSLAEIQGTTGLEVQQWRLENDGQIPRRVVSYTKAPTKLVKAVKATEDMTYLKADGEMFAVFADVSTPDVPFGNTFRVEVLTCIMPGPELPDDEKTSRLMVSWRINFVQSTMMKSMIENGAKQGLKDNYVQFSELLAKYFRPVDAKDTTASNEVLSSVQPEQESDWKLAFRIFGNFALLSSVFAFVYVSAHIILASPSIIQGLEFPGLDLPDSAGEVVVCGVLVLQGQRVLNMIARFIQAKRQRGDHGVKARGDGWLLTVALMEGTNLAATKSSGYSDPYVVFTCNGKTKTSSIKFHTLEPQWNEIFEFDAMEDPPSVMEIHVYDFDGPFDEVASLGHAEVNFLRYNNISELADIWIPLNGKLAQACQSKLHLRIFLNNTRGTEVVKDYLDKMEKEVGKKIAMRSPHTNLAFQKIFSLPPDEFLINDFTCHLKRKMLTQGRLFLSPRIFGFYTNLFGHKTKFFFLWEDIEDILLVPATLSSMGSPSLVIILRKGRGMDAKHGAKQLDSQGRLKFHFQSFVSFNVAHKTIMALWKARSLTPEQKVQLVEEESETEDFQNEEGESFLGIEDAKMSGVFSSTKPFDVSTLMGIFEGGPLECRVMEKVGCMDYSVTAWEPVRADIYQRQVHYKFDKKSTRHGGEAMSTQQKSPLPNKNGWLVEEVMTLEGIPLGECFNLHIRYQLENNASKQKTCTIQVSIGIVWLKSCKNRKKITQDIETSASSRLKKIFSQLEKESIPAK >Sspon.04G0005520-2D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4D:16332890:16334253:1 gene:Sspon.04G0005520-2D transcript:Sspon.04G0005520-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSQQLHGSEPVGAAGRKKQKRSAGLTGHEDSDDDDGGGDEPPRRSRNTRAAQTSTTTAIRGLLRDFFEQQLRLDVQRQEMMARQAQERLFFEEQWRQSMRRIEQERLMLEQAW >Sspon.01G0040510-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:81550643:81552393:-1 gene:Sspon.01G0040510-1P transcript:Sspon.01G0040510-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRGGSAGGGQSSLGYLFGSGEPPKPAVAPPAATAPPAEKPPAAKPDVNKQIAAGVTSQTNNYHRADGQNTGNFLTDRPSTKVHAAPGGGSSLGYLFGGN >Sspon.04G0020890-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:73238288:73239355:-1 gene:Sspon.04G0020890-1T transcript:Sspon.04G0020890-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKATSLSELGFDANGASSGFFRPVTDGMDSTPTSHHRRRLTKVSVIGAGNVGMAIAQTILTRDLADEIALVDALPDKLRGEMLDLQHAAAFLPRTRLVSDTDMSVTRGSDLAIVTAGARQIPGETRLNLLQRNVALFRKIVPALAEQSPDAILLIVSNPVDVLTYVAWKLSGFPVNRVIGSGTNLDSSRFRFLLAEHLDVNAQDVNAYMVGEHGDSSVAVWSTLSVAGMPVLKSLQESHSSFGEEALEGIRRAVVDSAYEVIGLKGYTSWAIGYSVANLVSSLLRDQRRIHPVSVLAAGFHGIPDDHDVFLSLPARLGRAGVLGVADMELTEEETRRLRRSAKTLWENSQLLGL >Sspon.06G0020400-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:9211095:9212317:1 gene:Sspon.06G0020400-1B transcript:Sspon.06G0020400-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQPEPNTDDLHFPQGYWQNFTTQCVACLWKQSCAYWKNSEHNVVRFINTFAVSIMFGIVFWKIGSSISNTDFVCNSKDEQDVFNILGIVYGSALILQPVVAMERVVLYREKAAGMYSTMAYAIAQVSAELPYMLVQVLIFSSIVYPMIGFQLTAGKFFWFFLYLMMSFMYYTLYGMMTVALTPNIEIAMGLSFLIFIFWNVFSGFIITREMMPLWWRWVLGRPGGMDGLWADVLTARRLDREDPGARAREQTVREFLEGYLGLQDRYFELVTCLHLAIIGLFTFLFFLAIKHLNFQRR >Sspon.05G0012980-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:29242321:29246377:1 gene:Sspon.05G0012980-3D transcript:Sspon.05G0012980-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MACRALALRSLLLPDPLHRLPAAAPPVGRVLRGGRRPHLRCCSGGGGGGGGDPGQPPQEAVLEAISKIARSKGRVALTTNMVMGGTVTDDASDEWLVLDQKVNSYPTDRGFTAIGTGGDDFVQSMVVAVESVLQESIPKGRVSQKLSSRGKYVSVNIGPIRVVSSEQVQAVYRAMRRDNRMKYFL >Sspon.06G0025130-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:62258312:62261850:1 gene:Sspon.06G0025130-1B transcript:Sspon.06G0025130-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPLAAHGGSVARAASIRLLCTAVAPPETTSLSHVLALPPIARSPDADELARVLLAHHNPFHPAESPLQLLSGGGVSLTGDLLVQLLLRLRGASKLALSLLHAARLHPSFVNTRPPPDAYDAVVDALARARQFDAAWRVVVDASADGAASPRTFAVLAKEVRRRGYDAAGGPRVRRHGGVCREGGQFRRVCHVARHALQVQVPEVATEIFNKRKYKYDPNEKMYTILIYGWCKVNRSDMARKFLKDMLDHGIEPNIVTYNILLNGICRHASLHPDYRFDRTVHSAEDVLKEMRDRGIDPDVTSYSIILHVYSRAHKPELCVCMFRSMKDRGICPTVATYTSVIKCLASCGRLEDAETLLDEMVAEGVCPSPATYNCFFKEYRGRNDVSGALQLYNKMKQPGSLTAPDIHTYNILLGMFIKLNRHGTVKDIWSDMCESTVGPDLDSYTLLIHGFCASQKWREACQFFMEMIEKGFLPQKITFETLYRGLIQADMLRTWRRLKRRVDEEAAKFGDEFKLYHMKPYK >Sspon.07G0022110-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:6813506:6816739:-1 gene:Sspon.07G0022110-2P transcript:Sspon.07G0022110-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRLELRKREGNCAQYADPELEAIRQRRMQELMAQRGGANQQNAANKKLRKMRNISRIALVKPDKARGVEDVLLRAAQTGGISEKVSEERLISLLEQINTQTSKQTKVTIQRRRSVLDDDD >Sspon.07G0022980-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7B:12023787:12024869:1 gene:Sspon.07G0022980-1B transcript:Sspon.07G0022980-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQPICRPAHHSTAACPPPCAPVGCAFLAVAQWVHDHDGEQSLEGGGTAREEGALGRWGPYPRQDGSRVAGDATPAPLAAQPLPTIGWLGLEWSGSSRLLGTPLALGWLGTSWPRRSRCEEGDVRESAANLIPVGPTPQPHLTDSFPHRMEPRARSSTGERGHPPTSPPASALALFVCGERAPPLPGARPLLQHGTLLRCGCDHPSPLTLPAFSSPSGQSRPLAHPARFLVSIRTKRPTGEGARRPSVRRT >Sspon.05G0019440-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:66956037:66960759:-1 gene:Sspon.05G0019440-1P transcript:Sspon.05G0019440-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGETSSSSHSRQDPCALGYGFHGAIANSTPANFLTQQAATTSIAHHGDIKPFPTAATVTAATATARPPPTLDIFPAWPMRSLHTPKEGSNVTADSTDDSESSSKNNSNHSSDQHGAAADMQSQFDQVSQQQQLQHKNMATSSTPRTGKTPDPKTIRRLAQNREAARKSRLRKKAYIQQLESSKLKLAQIEQDMQRARSQGIFLGGAPGANTSSGAAMFDVEYARWLDDHGRRMAELHGALHAHLPDGDLRAIVDDTLTHHDELFQLKASAAKSDVFHLITGAWTTPAERCFLWMGGFRPSDLVKTLLPQLDPLTEQQLVGICNLQQSSQQAEEALSQGLDQLHQSLADTMAGGSLIDDTNMSFMGQMALALGKLSNLEGFVIQADNLRQQTLHQMHRILTVRQAARCFLAIGEYHNRLRALSSLW >Sspon.07G0011000-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:42464808:42468023:-1 gene:Sspon.07G0011000-2B transcript:Sspon.07G0011000-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLLTRLFTSTHIAMASSSFSSSPSRRLAHLTRHLTSSSSGELSSVGAPAAAAADAVPAKSPRSAASKVHAAVLVCLFEDPSSGPRVLLTKRASTLSSYSGEVSLPGGKVEDGDADPKATALREAKEEIGLDPALVSVVTVLEPFLSKNGLNVVPVIGMVSDKALFKPVLNKAEVEDIFDAPLEMFLKDDHRRTKQMNWMGIDIPVQFFDYEADGKKFVIWGLTAHIVTRAAAVIFGRKPSFDELPRPKYASAPVAGTNESKP >Sspon.02G0005570-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:17692258:17695719:1 gene:Sspon.02G0005570-1A transcript:Sspon.02G0005570-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAEEVSTAARTGSSPAAEEAERRGESESEGEEPGVAAVLDFDMLCASVALSAERRKAAAAPAGAAGDCGGGGGTGVQRMWEGDVVLDCLEDRRIALEASCCPCYRFGKNMRRANLGSCFLQEARTLEMNNVQCGVWHGRGDTICLGSNGEGNKAFAALNKASLVPIKSPGLCGMDRMSNAADEHEPLVPPDQLEQSNYLGLRPYLHNVTTRAQAFCLNPTDKQRPKYNY >Sspon.07G0016730-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:83271456:83275607:1 gene:Sspon.07G0016730-4D transcript:Sspon.07G0016730-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DETOXIFICATION [Source:Projected from Arabidopsis thaliana (AT2G38330) UniProtKB/TrEMBL;Acc:A0A178VTF1] MVTSPPVTVRAVACALTLTPSSRLSRASFRACLAQRHRRRRRPARCSGKQAVVEEETPSSQEAKNGEGDEGRAVQGWFSLDTIGLDILSIALPAALALAADPIAALVDTAFVGHLGSAELAAVGVSISVFNLVSKLFNVPLLNVTTSFVAEQQATDDGYTGTREGYDFPRSPEEVTTKRKFIPAVSTSLALAAVLKDSPMRIPAEQFLTFRAYGAPPIVIALAAQGAFRGLMDTKTPLYAVGVGNLVNVILDAILVFPLGLGVRGAALATVTSEAELHEAMIATLTGNYSVGGLLIGRTIAVLLTMTLSTSLAAREGPVPMAGHQLCLQVWLTISLLNDALALAGQALLASEYAKGNYKQARLVLYRVLQIGGVTGVALAVALFFGFGSFSVLFTSDPAVLDIAKSGVWFVTVSQPINAIAFVIDGLYYGVSDFTYAAYSMFFVGAVSSAFLLAAAPKLGLGGVWSGLVREQRWTMEARLVREKRWTLGGYLAR >Sspon.01G0001400-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:3921993:3927961:1 gene:Sspon.01G0001400-3C transcript:Sspon.01G0001400-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHDPSLGFADYFSAAAGATAMDDDDGAPELYGLHADMEYLGMRGLAAMPAAAHHHHHHGHGHGHVHSKAGVLLDDDAGAGPDGSGSTDDATMRFLSEQQHPSQQAPLSLSLCRPDGGGVGVTTLHEQHLGGGSSRYHQQQQPTPAAWMQQHDYSPQGPQPQHAWHLRGSRFLLPAQQVLQEFCSLPVDSSSAAASSKRAKADAKPSSHHQQQQEDGGGGEGSSSSASWAPSPQIQAMDALELQRLKDKLYIMLEEVDRRYRRYCEQMRALAGGFEAVAGERAAAAYTALASRTISRHFRSLRDGVVAQLQAARRALGEKDVAVPGMTRGDTPRLRVLDQCIRQQKALTQAGMMESHPWRPQRGLPERAVTILRAWLFEHFLHPYPSDVDKHILARQTGLSRSQVSNWFINARVRLWKPMVEEMYVEEMKAEGQLEDGGSGGDQQQGVVSNNPNPSNAAAEAADDGCGDNGAVVVDRKPTRAQLLHDAGSLASVVNIGGGSRAGAAARPLENFGIMDHLDFDAYGGGGGHHAGGGFGGGGVSLTLGLQQHDSHDGGGVNIAFGAPPPPAHHQGAAAGYLFAPTTTTAGHQMGGGLHPGQHHVQFGGASIHGEAAQHGQEHYRSLQGAGFHLLRDLAG >Sspon.03G0003510-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3A:9158102:9160156:-1 gene:Sspon.03G0003510-1A transcript:Sspon.03G0003510-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQPQPLLRLRLRLRLLLPLVPLLVLLCLSPACAAGRVPVSVYYETLCPFCSAFVVNDLSRIFRNGISSIAELRLVPFGNGRVSADGTITCQHGEDECQLNAIEACVIRLWPDAETSVAYQPAIDCYNSGYGRQFYIFMDYSQSGNQTTGIIQFVLQYAAETNALQPPHQFVPWVVVNGKPLGDDYMNFEAYICSAYDGKLPEACKGKHLAIAQETKASRGDKVCPASKTIS >Sspon.05G0025750-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:34251747:34256332:-1 gene:Sspon.05G0025750-3D transcript:Sspon.05G0025750-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLTLSLAINGGGTGTTKKRKLLRDSVVVDDVMSCDGGDHSRVARLLQARERMMAKLEADDDPRVAAAAATDDGGGGLRLMHLLLSSVAAAESGDAHAAAAALHEVCRRASFRGGDPAQRVAAYFADALASSRLVRSSSSSSPAAAAATAAASPPTPTRAERFLAYTMFYQASPIYQLAHFTANQAIVEAFESGGRRRLHVIDFDVSYGFQWPSLIQSLSHAAAAAASTSTTSSSSHDGAGAGDRDCTEPVSLRITGLGTSPDELRQTEARLAQFASGCPNLRFEFEGIVVDDDGPDSDRRRERIKVDDDATVVVNLVFPAAAQSSMTSSTREEACSTLLARIRSLNPSLVFLVEKDGGGGGGGNATSRGRRSSVLPPFTASLRYFAAVFDSLHECLPADSAKRLAIERNHLGTEISDAMASLADYNNSYGGGGDDHMAEPTTSWKQMMERAGFERVELSSRTVSQAKLLLKMKSGGCGFRVMMEGEGGRAMSLGWRDRAQLITATGGGSGVWPASKFGDGVIVGIVDTGVWPESESFSDAGMGPVPARWKGACEAGQEFKASMCNRKLIGARSFSEGLKQSGLTIAPDDYDSPRDYYGHGSHTSSTAAGAAVSGASYFGYANGTATGIAPKARVAMYKAVFSSDTLESASTDVLAAMDQAIADGVDVMSLSLGFPETSYDTNVIAIGAFAAMQRGIFVACSAGNDGPDGYSIMNGAPWITTVGAASVDRDFTATVTLGSGATVQGKSVYPLSTPTASANLYYGRDDRSKQRCEPTSLRSKDVRGKYVFCSADPSTEIEEQMDEVQSKGGLGAIIASDMKQFLQPTEYTMPVVLVTESDGAAIAKYATARSTEGAPKASVRFGGTALGVKPAPTVSYFSARGPGQISPTILKPDVIAPGVDILAAWVPNKEIMELGKQKLFTKYALISGTSMSSPHVAGVVALLRSVHPDWSPMAIRSAMMTTAYVKDSASNVIVSMPSGSPGTPLDFGSGHVSPNEAMDPGLVYDVVADDYVNFLCALGYSSSQISTITGRRNPSCAGANLDLNYPSFMIILDRTNSATHTFKRVLTNVAAAPAQYSVSVTAPAGMKVTVSPTALSFSGKGSKQPFTVTVQVSQVKRNSYDYNNYWELWVPELE >Sspon.08G0007190-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:22454357:22466916:1 gene:Sspon.08G0007190-1A transcript:Sspon.08G0007190-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPQERDYIGLSPAAAATELRLGLPGTEEADGGEAAAGTPLTLELLPKGGAKRGFTDAIVRREAAARGKAPAEDEEDKKKTQAPAAKAQVVGWPPIRSYRKNTMAMNQPTLKTKDDGEAKQALVQDCLYIKVSMDGAPYLRKVDLKMYKNYKDLSLALEKMFSCFTVGHSESNGKSGREGLFDCRLMDHKNGTELVLTYKDKDGDWMLVGDVPWRAQVVGWPPIRSYRKNTMAMNQPTLKTKDDGEAKQALVQDCLYIKVSMDGAPYLRKVDLKMYKNYKDLSLALEKMFSCFTVGHSESNGKSGREGLFDCRLMDHKNGTELVLTYKDKDGDWMLVGDVPWRMFTGSCRRLRIMKGSDAVGLAPRVSDKSKNVWFGG >Sspon.02G0047150-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:11827828:11836188:-1 gene:Sspon.02G0047150-1T transcript:Sspon.02G0047150-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VMEADARLDFALFQLSPRRHRCELVVFGNGRTEKIASGSVKPFVAHLRAAEEQASAQPPPPAIRLQLERPAPWFSKGTLDRFVRFVSTPEVLELANTYDLEMSQLEGARKIYAQQGTGDATSGGAAENVTASAAAAAADITRKELLRAIDVRLSALKQDLAAACSRASSAGFNPNSVSELLLFANHFGASRLSEACTKFMSLCQRRPDISPQTAQPAVSSHWKVFDDGNVRGSSSSDMSIDEPQVDLGESNNKSTVSGSGSQVHRLSNSQGLEAAAEQQPKPTIQQAVDKQEAETDASPAPAVGGLSRRLSVKDRINMFESQKKEQTPSSGNSNSVGTGRVVPGKGEHRRVPSGASMEKLVRRWSSVSDMSIDLSNNESGNLNDKKDNGTPVGTPTSTDLEANSKVRANEDSNGQKDSVTSHSWPCQKDNVPMDPATTDLCPPSTLCNTLVPQKESIYGDGAENDMVLNSSIESESSFVKEPGVIQAHTRMSNHATSNVSTRNRLKSSAKPVEEALLKNKDILTSSTSEEHVRMIDKEITAVAHEVPVASEQIPQNDIRGLHTKDIHTEAEVTGRKDHSLRSFGKVSGGVNPKPKASSNSRANVKGSSGRDEITSTETEFRDASLQRNRLPRKAEDVGRKVTAGSDSDCSARQGTNLSRQSSITDQELNLRAKESITTNDFDAGELLKMVNNQGFNISTPQKLAILSLEESRGKFYDHYMQKRDAKLKEDWKLQREEKEAMLKAMHESLERSKAELLAKFSRSADVPDSTYVSHSSQKIPPSRSARKNKDQGVDSFLVEEELNSDYLSGDGSSRSADSRKHFSNKVASTQKASAGPIHKRSSRTASSGYANRRNPPENPLAQSVPSFSDLRKENTKPSPGLSRATARVQQKSFARSKSIIEESKDQSRRSQSMRKSQIPDELKDISSGNEDTYNWAPSRISKIQSEGAFAYSARRTGPPKPFLRKGNGTHPVIGIAGFQAAAAMMANALQHGDSGDFEDQQEDSPDDAKEEEEYESIEENLRESDFPADSDSENPRVSHEFGNSDDAGSENGDADFPSEASAIGGTKFTAFTGNVHNPAGDVPVPWSSRLPQLFPYTNDNSDGDAFADSPSGSPSPWNSHSLDEITDADVSRMRKKWGSAQMPFAGVNASQQPRKDVSKGLKKLWKFGRKTRGGDGLVNDWVSASTASECDDDMEDGRDLVVGSSDDFRKSRMGYLASYDGFVENEVFAEPEQSLRSSIPNPPANFRLREDQLTGSSLTAPRSFFSLSTFRSKGGDARLR >Sspon.04G0009690-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:23219956:23222912:-1 gene:Sspon.04G0009690-2B transcript:Sspon.04G0009690-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGKIVIRRIDNSTSRQVTFSKRRNGIFKKARELAILCDAEVGLVIFSSTGRLYEYASTSMKSVIDRYGRAKEEEQLVANPNTELK >Sspon.05G0013750-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:48727611:48730885:-1 gene:Sspon.05G0013750-1A transcript:Sspon.05G0013750-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKCGHKNHKSKAHHQNRNMVPGVLDSKSPSPNRHAHFFLCSLFILLSSTTVPLSSAQTSNRSEDDRQALLCFKSGISGNSAGVLGSWSNESLNFCNWQGVTCGTALPIRVVSLELRSVQLRGKLSSCIANLTSLVKMDLSNNSISGEIPDEIGSLPGLQTLMLSANRLEGNIPPSLGMAASNNSLLTTLILSKNNLSGEIPASLLSNPSKLIVVDLRSNSLSGAIPHFHKMTSLQFLGLTGNLLSGSIPASLGNISSLASILLAQNNLRGSIPETLGQIPKLNILDLSYNRLSGNVPDLLYNVSSLISFNISNNRLAGKIPSDIGRSLPNLVSLIISDNAFTGEVPASLANISKLQVIDLSSNSLRSSVPSLGSLRYLNQLFLGSNKLETEDWAFLTSLTNCRQLLKITLDGNALKGSLPKSVGNLSTSIQWLNFSGNQISGTIPAEIGNLVNLTLLAMDQNMLSGIIPSTIGNLTNLVVLALSMNRLSGEIPSTIGNLPQLNKLYLDDNMLFGHIPTSLAQCTRLTMLNLSVNNLDGSIPSEILRPIPTSGIFTNSNAVMLEGNKGLCQQIDIFALPICPTTSATKRKINGRLLLITEYGMGCKISTGGDVYGFGVLLLEMLTAKRPTDTLFANDLSLHKYVDLAFPNKINEILDPQMPHEEDVVSTLCMQRYIIPLVEIGLMCSKESPKDRPGMQDVCAKLEAIKEAFVETF >Sspon.02G0030640-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2B:106322878:106330810:1 gene:Sspon.02G0030640-2B transcript:Sspon.02G0030640-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEESDAAEGVAVQLIDGEGEFAAEGAERFMAAAGVAGCGLSYAVVSIMGPQSSGMLSSHRLRSRPGRAHSTIHPSRRLGWSVRYSDPELDRLAGPCVRKSTLLNQLFGTNFREMDAFRGRWCHDIGREQAANKPLLKTVFQTPLEHLEPVLREDIQKIWNSVAKPEAHKDTPISEFFNVQVTALSSFEEKEDQFREQVQQLRQRFSNSIAPGGLAGDRRGVVPASGFLFSSQQIWKVIRENKDLDLPAHKVMVATVRCDEIANEKFGCITSDTEWLDVESAVQSGPVPGFGKKLGNIVEVHLQEYDKEAIYFDEAVRKGKRQHLESRILNLVQPAFQKMLTHLRMKALEKFKTGLNSSLESGKGFAVSARENTECSLKDFEQGCAGAIIKQANWDCSKILEKVRRDIEDHALSIRESKLSELTTHAKEKLRKALAEPVESLFDAADQTTWTSIRNVYKRETEAILPEFLKTLCGFEMEYAPAEEMVSKLRDYARSVVESKAKEESSKVLIHMKERFTTVFSHDKDSIPRVWTGKEDVRAIAKEARSAALKLLSVMAAIRWDDEPDRIESILTSTLLEGSVVSKIAHAASADPLASTTWEEQAHRRGNSKLPPPWAIVAIAILGFNEIMVLLRNPIYVLLLFVGYLMFKALAMQLDVSREFQNGVVPGIISVSAKLLPTIQNLVNKVAAEQQAEHQHPHAHPQAAEPPQPQMQPPPLLLSPRSPMSELRRLHMPSSPRKAASPVPSSSSSSSAVSSPRHVGDDQKPKPGVENQSTIADSIV >Sspon.03G0014130-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3A:43949792:43950478:1 gene:Sspon.03G0014130-1A transcript:Sspon.03G0014130-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPDEAASGDGGPVPVAMKKSAWTKEEDAVLREQVRLHGPQNWAAISEALPGRNPKSCRLRWCQHLSPVVDTARPFTPQEDDKIIANYGKYPNKWATIAGFLPGRTDNAIKNRWHSVLGKVYHQQQRAAAPIHYRLADGTLALFPLAPGDVKGFGRDMMIPVLRHPPPGVDLSGECLKLFPLVAGDLIRGNNAGEAAAMDVDYSTDESLVELRLWTSTTYHDGGVQGD >Sspon.07G0023460-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:16831931:16841091:1 gene:Sspon.07G0023460-1B transcript:Sspon.07G0023460-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEANVRQEEGALPRQGLGVDHESLQQPQSDQRRKGGWITFPFLGAAMMGLGVATSGVLSNLVVYLIKEYNVPSVDAAQISNIVAGCLNLAPVAGAIVADAFFGCYPIVAISMAFSVLVILGCLHPHGKPARPPPSAVSSRRRPLRAGTGRQMAALYAGVFLICVSASGSRFNQATMGADQFDSAADRDVLFNWFFVFFYASAVLASTVIVYVQDTVSWTLGFGISAAASVVGLVALLLGTPYYRRSAVRGSPFMGSLGWPSPPPGSGSFLNRAALITDGDTVAAADGATVTLPWRVCTVQQVEDLKTVLRILPLWSAAIVVSVAIGVQINFTILQALVMDRAVGRFTIPAGSMIVGTLIAVVVSLGLLDRVLLPLWRRLIRHDPTPLQRIGAGHVITIVSMAASAVIERRRLATVHARGEEGNPAWVSPLSAMWLLLPFALSGFGEALHFPGQVTLYYQEFPPSLKNTATGMVAMIVALGFYLSTALIGIVRRATAWLPDNMNASRLENLYWLLAVLVSLNFAYYMLCARLYKYQNID >Sspon.08G0001270-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:1337954:1349874:1 gene:Sspon.08G0001270-2B transcript:Sspon.08G0001270-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMRKWALSSALLLLFLLTTLPDPAKKLQVNAEESSDDLANPPKVEEKLGAVPHGLSTDSDVAQREAESISRKTLRSSAEKFEFQAEVSRLMDIIINSLYSNKDIYLRELISNASDALDKIRFLSLTDKEVLGEGDTAKLEIQIKLDKEKKILSIRDRGIGMTKEDLIKNLGTIAKSGTSAFMEKMQSGGDLNLIGQFGVGFYSVYLVADYVEVVSKHNDDKQYVWESKADGSFAISEDTWNEPLGRGTEIRLHLRDEAKEYLEEDKLKDLVKKYSEFINFPIYLWSTKEVDVEVPADDGETSDEEDSTPETTEEEETEDGEEKEKKPKTKTIKETTSEWELLNDVKAVWLRSPKEVTDEEYSKFYHSLAKDFSDDKPMGWSHFTAEGDVEFKALLFIPPKAPHDLYESYYNSNKSNLKLYVRRVFISDEFDDLLPKYLSFLRGIVDSDTLPLNVSREMLQQHSSLKTIKKKLIRKALDMIRKLAEEDPDEYSNKDKTDEEKSELEEKKGQYAKFWNEFGKSIKLGIIEDATNRNRLAKLLRFESTKSDGKLASLDEYISRMKPGQKDIFYITGSSKEQLEKSPFLERLTKKNYEVIFFTDPVDEYLMQYLMDYEDKKFQNVSKEGLKLGKDSKLKDLKESFKELTDWWKKALESENVDSVKISNRLHNTPCVVVTSKYGWSANMEKIMQAQTLSDSSKQAYMRGKRVLEINPRHPIIKELRDKVAQDNERGAEAHGEAGVPDSPDGERVQPPDPKEFASSIYKSVQKSLDLSPDATWRRKTRPRSSRRWKRRSRPRRSRSRPTTRTSCRRFLSSSGYIKLDKEKKILSIRDRGIGMTKEDLIKNLGTIAKSGTSAFMEKMQSGGDLNLIGQFGVGFYSVYLVADYVEVVSKHNDDKQYVWESKADGSFAISEDTWNEPLGRGTEIRLHLRDEAKEYLEEDKLKDLVKKYSEFINFPIYLWSTKEVDVEVPADDGETSDEEDSTPETTEEEETEDGEEKEKKPKTKTIKETTSEWELLNDVKAVWLRSPKEVTDEEYSKFYHSLAKDFSDDKPMGWSHFTAEGDVEFKALLFIPPKAPHDLYESYYNSNKSNLKLYVRRVFISDEFDDLLPKYLSFLRGIVDSDTLPLNVSREMLQQHSSLKTIKKKLIRKALDMIRKLAEEDPDEYSNKDKTGYLLH >Sspon.02G0015360-3C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:43166928:43169539:-1 gene:Sspon.02G0015360-3C transcript:Sspon.02G0015360-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQDCDGSPNYIGEEDNGVEDVVDCAKNDIGDGEESTGSIPSPLFSGTRPSKRLRSKVWEDFIPTFVDGKVVQAECMHCHRIFNYNSTNGTTGLRNHQAKCGPRTQERLKQQVGRPLPSTKKSTPADSSDPNQKKLPFLLSHQNKCTGAADAVPVQELAFPDAHTNKNNKNQEVDQNGSHEVLAAPELSTDQYKNQPHGEIAMPEQDFPNDSSQKNQKVDQNCSPEELVRILAIHGHLPRMVEQDGFRKVAACLNPMVNMPTHSDFIGNICDLFQQEKSKLKEKLAALRSRVCLSAYMWHCDPHLGFLCLTVHYIDDEWEKQQKIITFSPMDPSCDAKQYSDIIVGAIREWDLHDKVFSIIVDDVFIDDSVASNIKTSLQKWNKVNANRNLFVVRSATHLLDQVIHVGLDELNKIMEKSAKCPSMQRVPTVQQCSIPTSDMQHHQRTGVQQLFVKENGKHDYMHEARDTFVDLFNEYADQVDDPNCTTGSKTGKGIVEDADTLSKYYHDSKPYYYYHDSEHRCCDRPMTELGQYLQETRPGCPASCKPSVLQWWKEHSPIYPTISQMARDILALPCSTDSRVATRTAGLAMCELAGESHIEMLVCTQDWLTPAGTRNVESPDDEYFD >Sspon.04G0008460-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:25428402:25442069:-1 gene:Sspon.04G0008460-3D transcript:Sspon.04G0008460-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MCEISKDFTIDATFKGNPSRFLNHSCEPNCKLEKWQVDGETRVGVFASRSIKVGEPLTYDYRFVHFGEKVKCHCEAVNCQGYLGSQIKNPIQSILATAAPQGQLRENSSTQQEATRLKPINHLLPWTNCIEVSFNLRSKRKISRLCWARKKKRTSLVASSTSMPTSMSEVPAADI >Sspon.08G0010750-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:39503447:39507138:-1 gene:Sspon.08G0010750-4D transcript:Sspon.08G0010750-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RMPPPREHHQPQEEEEDDETAGVVKLISAEGFEFVVDKKAAMVSNTLRNMLTSPGGFSETRQGEVRFPEIPTHILEKICQYFYWSLHYSSGKETAEFPIEPEITLELMMAANYLDT >Sspon.05G0026100-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:39667462:39669441:1 gene:Sspon.05G0026100-3D transcript:Sspon.05G0026100-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDLQCCRCRAKITKILECLKEEFCIEKIEFEDKVNKVIVRGKFDGEKLKTTILCKAGKIVKEIAIVEKWPPPPPPPPPPPECKTAILVITMVDLCCACRAKITKILEGLKAEFCIEKIEFEDKLNKVIVRGKFDGEKLKKTILCKADKMIKEIAIVDKWPPPPPPPPPPPPPPPPPPPKPECKVVPYPYPVPYPFQCQSWPCPPTQPPCHCCKPPPEKPPQPPVKPPPPPPPPCKCECSHDKDECKHHCPPCPPCPPCPPCPPNFWPPQPWPCPCPEQDSQCSI >Sspon.03G0007230-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:8106121:8110431:1 gene:Sspon.03G0007230-2P transcript:Sspon.03G0007230-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRASLFSPPPFSLSRHSCAHSASPLAYAASCASASAAACYGGRDPAPRVSPAARYTMEGTGRDANPLSGYRIGKTLGIGSFGKVKIAEHILTGHKVAIKILNRRKIKSMEMEEKVKREIKILRLFMHPHIIRLYEVIDTPADICVVMEYVKSGELFDYIVEKGRLHEEEARRFFQQIISGVEYCHRNMVVHRDLKPENLLLDSKCNVKIADFGLSNVMRDGHFLKTSCGSPNYAAPEVISGKLYAGPEVDVWSCGVILYALLCGTLPFDDENIPNLFKKIKSFVTFSEGLDPRMLVVDPMKRITIREIREHVWFKIQLPRYLAVPPPDTAQQVKKLDEETLNDVIKMGFDKNQLIESVQNRLQNEATVAYYLLLDNRLRTTSGYLGSEFQESMDSSFSQVIAETPTSATELRQHGFTESPGSGLRQHFAAERKWALGLQSRAHPREIISEVLKALQELNVYWKKIGHYNMKCRWSPGCLESMMHSSDGFSAESAIIETDDLMAKSTPIVKFEIQLYKTRDEKYLLDLQRVSGPQLLFLDLCSAFLTQLRVL >Sspon.01G0024850-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:86337463:86341314:-1 gene:Sspon.01G0024850-4D transcript:Sspon.01G0024850-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATNGSSARVRDTESSLEKVKRQLSSGSGRYLLQGPLLKRSETLRKWNERWVILDPTSGKMEYKLRRNETAIKGAILFDASSTITLSPVNFQGMPKYDGCCFYIGTPQKKDYFLCAETPGAAKAWVSTLHATQLVLRAHKEAVNSLAGNGSPATLGTVATAVANANATAMEATKEIEAALKVSMRAALGLGTNNSNEGQLDDLTIMKETLRVKDEELQHLAKDIRSRDATIKEIADKLTETAEAAEAAASAAHTMDEQRRLLCLEIERLKQASERQIEQSMLKLRQSEEKVISLSKEKEQLMKERDAAFQEAHMWRIELGKAREQAVIQEATIARAEEKARVSEADAAARIKEAAEKLHTVLEEKEELLALVAVLQSQVQREQSSTKQVCEERSESCSGADNSPPLTKHVDASDDDVDKACVSDSRSVLVSSDSTEVQLAVDGVDIRPVGDAEWGSFQQSEALIADVREVSPEADGGSLDIPVVNPHQSVIISREAQPIPEGLSLLLACSYLARFM >Sspon.01G0031490-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1A:108006636:108007077:-1 gene:Sspon.01G0031490-1A transcript:Sspon.01G0031490-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding WRRKCHGRRICGHWGLLMGEDTGVRGGAPPGGGGGRRGGGRSRRGSGNIGEGKALPVDLGRRGSAAAASGGCSGAWPSPVVATKDCRDSDSPRAPRCSHHFHIDCRGGGRDRWGGGEGRLGRGRSQWGGGGGRRGWGKELSV >Sspon.01G0034630-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:5750610:5758326:-1 gene:Sspon.01G0034630-2C transcript:Sspon.01G0034630-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSCGCLVLEKVEGDAAAKARVKAAPGAGDWRGRSEAIFPIYVMGSSRGSTVAAARGIVDSPEDPIWVAVKAEAKSEAEKEPILSSFLYASVLSHDCLERALSFVLANRLEDPTLLATQLIDIFNNVVLNNKDICRSIRLDAQAFKDRDPACSQYSWALLYLKGYQSLQSYRIANVLWNQGRKVLALALQSRISEVFAVDIHPAAKIGEGILLDHGTGLVIGETAVVGNWVSLMQGVTLGGTGKEHGDRHPKIGQGALIGAGATILGNINVGEGAMIAAGSLVLKDVPPHSMAVGNPAKIVGYTEKEDPSLTMKHDARRDYFEHAAVRFR >Sspon.02G0057600-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:62731570:62736852:-1 gene:Sspon.02G0057600-1D transcript:Sspon.02G0057600-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKLFVFISHNLLLAEKENQSIKLLKKMAFFLMCMSTLLFMFISSYVFQLLADRRHRLPPGPIPLPLIGNLLDVASELPHRSLARLAGRHGPLITVRLGTLLTIVASSPSTAREILQTHNGSLTGRSPPDAWLALGHAANSVFVLPPDRRWRALRRIGTEHLLSARQLDGARVRPLLRDGVLDLVRRVSELAAAAETAPVEVVHAAFAAMMDLQWRAMFSAGLDDAAARALHDAAREAVALSLEPNVSDFFPALAAADLQGVRRGFARRVARVYRLVDEQIERRMRDRREAAAAGGGVSSGGKDLLDVMLDMLEEGKDDSVMMSVNRDVTRTFLTVSTIARNTNHPDTLQKLQKELRSVLGSKPYVEHSDVGRLPYLRAAIRETLRLHPVVPLVPNEAEQAVEIQGHAVPKGCTVLVNLWAVHRDAGAWPEPDRFVPERFLPRLEETGFVGTAEFEFIPFSAGRRACLGLPLATRMLHAMLGSLLLRFDWSLQPEAMENGVDMSESLGLTMTMTTPLKAIAKPRS >Sspon.01G0043110-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:62772456:62776433:1 gene:Sspon.01G0043110-2D transcript:Sspon.01G0043110-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPEASMLSQLQLQLLALVSEFGLLRERERGAREELRDAGQRWEAAAEEHRREARELRAEVAARDDSIRRLEARIKCLENENELLEKNENNLKESMEVLLQSREAFIKHYEAALLGKVGQGRKAYLARQDSTCSMQWTIQMKDKQIAVISEKLNSHIVLLSSVEKEVAAVKQVLGDVHCLVGEKENVVADLKDKVQRISVLEKDFVEKLNFLESKITAYQLELRSRARIIYELKNRLETEKLNSKNQAMRLELHNMEIALQKFQDLFGSIGHEGMKSLPAISESQDVQDVNNEQLESCTPTTQNVDHQLETDPGSMHVQSPVHFKSGALPSPELVAVNTETADSLLEPKGDIDMCWWPAQLVVLLHWDMGNRGGAWRTAALVEGLTCSVQ >Sspon.04G0017160-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:66712306:66714873:1 gene:Sspon.04G0017160-2B transcript:Sspon.04G0017160-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMWSHEPAAEQKAAAASPPTRQRSSVAKLMTASSSLANLLSVFVGANNNNSPADPRPPPRRSFDEGGGVGLGIVAAMSQACLTGAGAEPIAIGAAARRRAREDDESYTCVITHVAGAGGGSVRKRVYFGFGDGGGWLVEADDEAPAPAPDFLSRCCLCDKRLDGLDIYMYRGEKAFCSSECRCQQMLMDDRADKCGSEAFIRSGDYSYSVSPHSAPMAFSPSVAAA >Sspon.07G0009210-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:22468115:22470868:-1 gene:Sspon.07G0009210-3D transcript:Sspon.07G0009210-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPSVLQYLKFFVLFAFGVVTTSHATDQDVLATLNKLPLDGHFSFHDVSTAAWDFGGLSSFMPAAVLHPGSVDDIATTACFLGGEHSMLTVAARGHGHSLRGQCQAAGGIVIKMESLPNARMQVHAGASPYVDASGGELWINVLHETLKYGLAPKSWTDYLHLTVGGTLSNAGVSGQTFRHGPQISNVNELEIVTGKGDIITCSPEQNSDLFHAALGGLGQFGIITRARIVLEPAPKMVRWIRVLYSDFTSFAEDQEMLISAERTFDYIEGFVIINRTGILNNWRSSFSPQDPVWTSQFESDGRVIFCLEMTKNYNPEEADNMEQEVNNLLYQLRHMPPSLFHTDVTYIESMANVKSILPEPPARSLVVGGVVAVRHGPHYCRGRVGERGGDAVVTWIKW >Sspon.03G0000210-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:10803082:10810162:1 gene:Sspon.03G0000210-2C transcript:Sspon.03G0000210-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beclin-1-like protein [Source:Projected from Arabidopsis thaliana (AT3G61710) UniProtKB/Swiss-Prot;Acc:Q9M367] MKPPAGGSGKGGAVDPSLPRFRCQECRRALVVVGVESYADRLPAHAAPGNHASSVQGSVMGASKMDNSYVVLSRQNKSQGPRIPPRPPSAAAAHTDPSQSTRAIEGSYIVLPPPAASIYKTPASEGGGAQLTAPGVNSSSPSQGNNSGFHSSVTVLKRAFEIASSQTQVEQPLCLECMRVLSDKMDKEIEDVNADIKSYEACLQRLEQEPYNILSEADFQKEKQKKYCEIIKHKLSTGNSIWHEERDAVFAKIEVSQVHLELLKRTNVLNDAFYISHDGVIGTINNFRLGRLSNVEVEWDEINAAWGQAALLLHTMAQIDGDKVGSHTIVLSFNKNENWTKALKYMLCNLKWVLYWFIGNTSFAPPSGSLHTQSLKNKS >Sspon.03G0018110-4D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3D:47811237:47811887:1 gene:Sspon.03G0018110-4D transcript:Sspon.03G0018110-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MELEAAPPPAAVKEIKSPEVLPEPGSLSALQRKKLGAHFLESDERRFSRGCRTPLGGGYDEPPSSAAGGGTTPVNIRGEPIRDLSRTGGWVAAFFIFGNEMAERMAYFGLSVNMVIFMFKVMHRPFTSSANAVNNFLGISQASSVLGGFLADAYLGRYWTIAIFTTMYLLGLIALTVSASVPALVPTQEGCDKLAMLLGACAPAAPWQMAYLQTALY >Sspon.07G0015660-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:61277096:61289699:-1 gene:Sspon.07G0015660-3C transcript:Sspon.07G0015660-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRVDGLRPVLQLADAPQMAGSGPALEAGQYRVALSDGGARLHPGMLAASLNHLVARGALRRGTIIRVLEYFVGFSRTQRVIIVIQLEILHAECTLIRNPTIFEAHASQHVGVSCSGGLAIHEPCFMPCTQQVISNSSCSPDKRVLDSSVTPRAEPTLLISEHGNGNGTLQPVLQVVDMWMVKNVENPSAECFRMVLSNGVYTMQSMLATAENTRVWDGSIQKGSIIHLQEFTCSTIQNRSLVDPMPLSFVQDHCCYQTLCLQTECNIMGNPKPYEMRNQPNELVTNFPANAAQANTGTYSSGPGMLGFSAAPRPVQDANNVPYGGSYGGYQGTGGPPIGRAVESVPNVASGVPYGTTSAHNNTMNVGMVQSNLQQPSLNSHQNQRFAVPSMAGGSGAPGNTYGQPPQSFYKQPPPGHMNRTPVSKNDASRLVPVAHLNPYQNTWTIKARVTAKTDLRHYNNKNGAGKVFFDLLDGQGGEIRATCFNAQADQFFDLIEVDKQYNFRQISEIENIEAGAIVDLIGIVTSVGPSAIVMRKDGTQTQKRTLQLKDMSVRIMEIILWGKFCDAEEHQLQLLCDSGSNPILSIKGGRVSDLSGRSVATISSTQLKVNPDFAVAQRLKQWHITEGKNIACISLSRDHVLKTIAQIKDENLGRSDKPDFITVKGAISRLNTGNFCYPACTMEGKSLPNCEYRYLLQCQIQDHTGVTYATAFQEAGIEIVGHSAHELYTIREEDPERFAEILQGVRWQQFLFKLKVKEETFNDEQRVKCSIMRAEKLDPARQSRLASSNANLQLDRASYSMLTSSNVGATGFAQSNWMPTPPSASSEGRVFYGGFGFLGQSAVRGACFKCNQPGHWFKDCLTCCVGTEEETEFAPEVIGGDDEEINSQFIISVSIGCSKFLVNNPLYHKRISGAMEAQLTPGAVAAISEHADGGNGTLQPVLQVVDVRIVPNVKSSTNQERFRMLLSDGVHTMQSMLATAENVLIHNGSIQKGSIIHLHEFTCSTIQNRRIIIIIKLDVLQTECTMIGDPKAYDVRIQPNEQSTNFPANAAQANTGTYSSGPGMLGSSAAPRPVQDANNVPYGSSAAPRPVQDANHVPHGSSAAPRPVQDANNVPYGGSYGGYQGTVGPPIGRAVESVNNVASGVSYGTTSAHNNTMNVGMVQSNLQQPSLNSHQNQRFAVPSMAGGSGAPGNTYGQPAQSFYQQPPPGHMNRTPVSKNDASRLVPVAHLNPYQYTWTIKARVTAKTDLRHYNNKNGAGKVFSFDLLDGQGGEIRSTCFNAQADQFFDLIEVDKVYLISKGSVRPARKQFNSLNHEYEITLDFKSSIEVCVDDDSNIPRQQYNFRQISEIENIEAGAIVDLIGIVTSVGPSATIIRKDGSEAQKRTLQLKDMSGRSIEITLWGKFCDAEGQQLQLQCDSGLNPVLALKGARVTEFSGRSVNTTGSTQLKIDPDFPEAESLRRWYATEGKTAACVSLSGVSMGRTDVRKAVAQIKDEDLGRSEKPDFITVKGAISHLITDNFCYPACTMEVNGRVCNKKVINNGDGTWQCDKCDQSLPKCEYRYLLQCQIQDHTGVTYATAFQEAGIEIVGHSAYELYNIREEDPERFAEILQGVRWQQFLFKLKVFEETFNDEHRVKCNITRAEKLDPAKESSYLLGVINGLLQDDTGSPSEAQGAMAYNAGLSNTGAGRSVPTSNSAYSTNMSGPMSGESANQFAQQANTYIGAPTPVSATGNVQTCMSCGSSGHNVQNCPAGMYRQQPAASTPSSYGSSQPRNAGPRPCFKCNQIGHFASSCPLLAPPPQQQQQQYRSGGASSGGY >Sspon.01G0057600-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:12231913:12232367:-1 gene:Sspon.01G0057600-1D transcript:Sspon.01G0057600-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGGANTLNPAMHGGGVQVPRGMQRVVNADVAEKRGKAYPATHHGGLFLGKRKATSI >Sspon.06G0014940-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:65218949:65219901:-1 gene:Sspon.06G0014940-2B transcript:Sspon.06G0014940-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding TMAVQAQFGGLVLPPYAAEEQQMRALKDYGALLSAAAVPGADDGYAWQQYDYRAAQSELTCNGGGGAAMPAPSPSRKKGRRRSPSLRAFPASPGDAAALRHGGGVDERAAAEHALASELLWRLHGAEVDALVRAECDRLRAGLELARKPQRQALLVRAAVSGLAVARRAWEAEAQLEAARRRAAELEERVRHAAAEAQAWCGVARGNEAVAAGLQATLDALLLRSEEGEGFGDSEPDDVQSCCCYVEEEQAPDTAMASSFSTWNGKWACRACGEGEASVLLLPCRHLCLCKACERRTDACPVCSGAKNASIHIAPN >Sspon.07G0014290-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:57036742:57038791:1 gene:Sspon.07G0014290-2B transcript:Sspon.07G0014290-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLASSSTFALDFLRRLLCAHSAGGSADDRPGSAAAARHTCCTPETEEPPRSPCIVARLMGLDAMPPEAPAPHAQPQAQAQRTPPLRRSRSASSAEGSPGPWEWERDTQQQPRVVRASASLRERPAYLRQESDEFLLLSFSPEDQDPDPDRERDVREELDFLLAAAEATRRGGRVRSDAAESKQRRNGRCRRLRFADDEADSEAQSAGRVLLRRRTPAAECDAHHSSPVSVLEAHDESSTTTTTTSSSLEEVEHAEPSSATSDEPQTILEQRNSRRKLHPDFFQLDNLSPPRSSCHVSRCSDRERRNRRVVNKDEVITPEVSGIWQPICRLVEEDLKNMEWPARDGTNVVAEIEHGILEHLICELMDELLRGMSETVQHPLPLRSISKKQLGGKNVQTRRSIGCY >Sspon.01G0004500-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:12042100:12046628:1 gene:Sspon.01G0004500-1A transcript:Sspon.01G0004500-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding HHGAGGAGAAVDGDREPRRGAVGPGRRVADLLGARAPPPAGLPAVGEPQVRAPGLPLPHQPRRVPGHHHRHRARLRRRGGQPQPRRAVAQGVGGGHLRPRHRARLPRRPRLHHLRLHHVQAQARLPHRLRMLQAGRRATGVQGGVHRPSAQVRQVRRGQPGVPVASAGQVRHRRRVVHAALRLRAQRQLRHHEGGPRRGVHGHVRGAGRALRQVPRPGQGRGRAGGQLQPLQPDPVAVCHDREPLQDARQHPELQPGRHGLQRGRHRHRPGPRHAAGQRRGPGRGGQHGGRLLHVVPREAALHAHPERLLPGRVRRGAAVQPAPRLPPRQVPAGARGAHAQGRRRPRLPLRVPGGGRAADQGPVHQPRPAGGGRARAQDQHHHPGAPGAALLGAAALLRGGAVPPPVPVQGLHPAAADHTRGRLRRRALHPGLQARVRALLHARGEPRRAGPPAEQPGPAQRRPGGLPRRAAPLRQHLQQQHLVRAGVPGGQGPRPPRRPRLAARLRVRLQVQQRGVARRPPRAPPRAQPLARLHRPVPVAHGR >Sspon.08G0001400-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:2544590:2549395:1 gene:Sspon.08G0001400-4D transcript:Sspon.08G0001400-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSEQSSRFVQELVLYAASAALSCLVLFAGLRHLDPNRAASQKAQQQKKEIAKRLGRPLVSTTPYEDVIACDVINPDSIDVEFDSIGGLDQVKQALYELVILPLRRPELFAFGKLLSPQKGVLLYGPPGTGKTMLAKAIARESGAVFINVRISNLMSKWFGDAQKLVAAVFSLAHKLQPAIIFIDEVDSFLGQRRTTDHEAMTNMKTEFMSLWDGFTTDQNARVMVLAATNRPSELDEAILRRFTQIFEIGIPIQSERSKILQVVLKGENVEPNIDYDHIARLCEGFTGSDILELCKQAAFYPIMELLDNEKNGRQLDKPRPLRQSDLERALSTSRKGKKAASSGLQSPLWVRPTDSEDDQVQNAIFEISKLMSRIVQNSQSEPQEPSSP >Sspon.03G0025120-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:76001397:76003002:-1 gene:Sspon.03G0025120-1A transcript:Sspon.03G0025120-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKQQNPIPMVLSLPLVLVALLLPAARGAGETPQYTTVHAESDFEVRLYRDTVWMSAPTPDIPSFHVATKLGFHRLFQYLMGANLNSSRIRMTTPVLTSVVPGAGPLHSSAYFVRLYLPTKFQASPPVPLPELNLHPDTWPSHCIAVRSFSGYARDKNVVEEAEKLAMSLSRSPWANSTNYPSKSAYSVAQYSSPFRIIGRVNEVWFDVDCKSTGVE >Sspon.07G0002890-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:6606333:6611097:1 gene:Sspon.07G0002890-3D transcript:Sspon.07G0002890-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASGLGLSTSFLPGHDTLLRRRRRRPASPAAASFRPVTAELGGVATELGRQLVEAVGVGLPCTVMQCGDVIYRSTLPQNDGLTITAPGVALALAAVSYLWATPGVAPGFFDMFVLAFAERLFRPTFRKVGFALEISNHKSSIATVCADMVLGQDDFVLGKKLGEGAFGVVYKASLANPEAAKKQGDVVVKKATEYGAVEIWMNERVRRACASEDTLYDLMQSKEFPYNLNLPDRFDIYSLGLIFLQMAFPSLRTDSSLIQFNRQLKRCDYDLQAWRNLVEPRATAELRRGFDIMDLDNGIGWELLTSMVRYKARQRTSAEGALAHPYFNREGLLGLSVMQNARLQLFRATQKDYSEAARWVIGLMARSGTEDVGGFTEAQLQELREIKPKKGSAQRNVLASVLRLQKKILKTINESMDELASQRKSIWWSRWIPREE >Sspon.04G0034090-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:70928370:70931141:1 gene:Sspon.04G0034090-1C transcript:Sspon.04G0034090-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRASVVRVPPPPRLFLVVQCNERADRPRGKAASSTRMHAVAVASAASCRASTPSPCLAAACRKQRVQADTPATLLCSQHQQQLASGTPLSGAASNLSASPLYFQPEPRSITHLWNRGGGAWETAASFLHRAGEEISPPDSGLAKSWKEEGTDCCKWQGITCDGNKAVTEVSLPSRGLEGSIRPSLGNLTSLQHLNLSYNSLSGVLPLELVSSSSIIVLDVSFNHLSCMSCHLQPLASL >Sspon.03G0010040-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:33875271:33878305:1 gene:Sspon.03G0010040-2B transcript:Sspon.03G0010040-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRVFWQSIKDKLIFPFLDLDIKYYDLGILHRDATDDKVTVEAAEATLKYNVAIKCATITPGWTKPICIGRHAFGDQYRATDAVLKGPGKLKLVFEGKEEQIDLEVFNFTGAGGVALSMYNTDESIRAFAEASMTTAYEKKWPLYLSTKNTILKKYDGRFKDIFQEVYEAGWRSKFEAAGIWYEHRLIDDMVAYALKSEGGYVWACKNYDGDVQSDFLAQGFGSLGLMTSVLVCPDGKTIEAEAAHGTVTRHFRVHQKGGETSTNSIASIFAWTRGLAHRAKLDDNARLLDFALKLEAACVGTVESGKMTKDLALLVHGSSNVTRSHYLNTEEFIDAVATELRSRLGAN >Sspon.01G0003220-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:8103095:8106720:1 gene:Sspon.01G0003220-4D transcript:Sspon.01G0003220-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MERLISTPTSSPSQTRIRGPLFLPSRRLPAAAAWLRLRGQPTRPGAIALASPLRHEALSAAPEEARSDPPPPAAVGSPWKLLGSLLPKASTAALFLLMTLVTSSLHSSLPAYASMQPVAKTGGRLLTTEILSSGWAGFLAGCLHTLSGPDHLVALAPLSIGRSRLESGLVGALWGCGHDAGQVIFGLLFLLLKDRLHIEVFRAWGTRVVGLTLLIIGAMGVREASEAQESSLVLEGIDASMNNNDPLQSPSTPRKKKVGFATFATGIVHGLQPDALLMVLPALALPSRFAGAAFLVMFLVGTVFSMGSYTAFIGSCSEALKEKVPRITEKLTWAASLVAVGMGLALLVGQFFGFSLY >Sspon.03G0012130-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:43702685:43702885:1 gene:Sspon.03G0012130-2B transcript:Sspon.03G0012130-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GPEGVRAAVHSLLLLRAHAAVHGSTGEAGDRRGDGTGGSRRQGDRSGCRVPAHVRCALRHLFCSLIG >Sspon.06G0013710-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:71077359:71079496:-1 gene:Sspon.06G0013710-1A transcript:Sspon.06G0013710-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRMHSRGKGISSSALPYKRTPPTWLKTATSDVEEMITKAAKKGQMPSQIGVLLRDQHGIPLVKSVTGSKILRILKAHGLAPEIPEDLYFLIKKAVAIRKHLERNRKDKDSKFRLILVESRIHRLARYYKRTKKLPPTWKYESTTASTLVA >Sspon.04G0017930-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:70585466:70589238:1 gene:Sspon.04G0017930-2C transcript:Sspon.04G0017930-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIARAAAGVACSKEHQRIYAEWFALADPGKALLPLAPTPPPKIRGDGDGRVTGADATSFFGMSALSRADLKQVWAIADSKRQGYLGFAEFVTAMQLVSLAQAGNEITQDSLKREDLSTLDPPVMEGVDELLARSKAVVKRVHPDDNGTTQVQAPSIYHWFGSKAAQKVQMPLTAVTSVIDGLKRLYVEKLKPLEVAYRYNDFASPLLTNSDFDAKPMVMLLGQYSTGKTTFIKHLLKTTYPGAHVGPEPTTDRFVVVMSGSDGRTIPGNTIAVQADMPFTGLTTFGGAFLSKFECSQMPHTLLEHITFVDTPGVLSGEKQRTQRSYDFTGVTSWFAAKCDLILLLFDPHKLDISDEFKRVISSLRGHDDKIRVVLNKADQVDTQQLMRVYGALMWSLGKVLNTPEVVRVYIGSFNDKPVNDSAVGPIGKDLFEREQDDLLCDLKDIPKKACDRRVNEFVKRARAAKIHAYIIGHLKKEMPAMMGKAKAQQRLIDNLEEEFAKVQREYHLPAGDFPDVEHFKQVLGGYSIDKFEKLKPKMVQAVDDMLAHDIPELLKNFRNPYE >Sspon.02G0016440-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2B:47214940:47217021:1 gene:Sspon.02G0016440-2B transcript:Sspon.02G0016440-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTRCGCAHLLLILTISILLLLLCHLTRAFPLPSPASASSNAASPSPPLPVPPPLPRGLPRIIPAWSLPVNPFTAKAAFIRYWNRKVRGNRPHPAFLFAKLSPLSAPDAATFSTLASAGKLASRIRDFCAAASLLCPSTPAASWSAASSSSVDGAAGGVAASSSGGGGGAASAAPFKNYENGNFSSYGNSGGGGSDQFAVYSSGKSGPVDSFKQYGKGSLGRNDSFAKYEEGGNVGTSSFNSYTTGATGGAGEFAGYAGQTNTVAVTFATYDNTGNGRTHEFTAYSQDANSGVQSFTGYGKTANGAGESFKTYGNNSNTVASGFINYGQKANGFNDTFASYGLDGNAPQNTFRSYASGSNAGVDEFKAYRDQANVGDDSFTSYANSANGAAADFDSYGKSTNPGSVAFKGYGQGSNPNHRIGFTQYSGENTTFKAYSNEGVEFKEYQNMSKMEVSKTSAPAAATAPGHRLPKWSPEPGKFFRERDLMMGNRMPMPDIADKMPHRAFLPRDIATKIPFEENAVSALFGAPPGTAMRQVVASTVAECARPPSQGETKRCTTSAEDMLDFAVEMLGSNIAVRSTESTAGSGRDVRLGKITGIAGGSVTRSVSCHQSLFPYLVYYCHSVPRVRLYEADILDVDSNRKINHGVAICHLETSDWSPNHGAFIALGGKPGQIEVCHWIFEGDMAWTLVD >Sspon.03G0025750-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3C:3854915:3857705:-1 gene:Sspon.03G0025750-2C transcript:Sspon.03G0025750-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLTKEQAAREAGEAGTNATSLNLTHRALSDVSGVESLTLIRPVPCVLLLLHAQVSCLSSFKNLERLDLGYNCLVTLEGLSSCANLKWLSIIENKLVSLKGVEELSKLQVLNAGKNKLTKMDEVASLTSLGALILNDNNISSICKLDRLQQLNTLVLSKNPVFTIGNALVKAKSMKKTIPSDLAKNVKILNLDLGNNFIERSSDLKVLSELRYLRNLNLQGNPVSEKDSLVKKVKKFVPTLRILNAKPLEATSKSDKSSRKENPPSKDKDSIGIDTKKDKRKKSKQELKGLEELEVQSISTGVTTSNPGNKLEVPDGKERKKVKKEAKTKKSEELDHANNSNLKNKDDQSSAYDTSTKDKKEAKRKKFVDEEDVDAEGIDNTEIAFADLMFSNVGNPETKLKDSSTLEAAPDGKFVGGLVIDHTKKRKKSKGVVTITDSSALKMFSSMPE >Sspon.02G0025930-1T-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:90039148:90041384:1 gene:Sspon.02G0025930-1T transcript:Sspon.02G0025930-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RRKKEAAQWTPVKNEESKKSHLDHRSYHPSRKRKPKAQDAARLSSYLSRRSKHADARRDRDVLVVVAGRCRMTPTPDATGTPCCRVWSTDAIRDALTKTNQPISDEQCVCRSTGGEAEMVSSMKVCMVGAGVSGLASARELLREGHDVTVVEQSSGVGGQWLYDPSTDGGDPLGAAGAHSSMYASVRLVSPGELACFSDFLFFPSNDGTGDARARRYPGHAEFLRYIRDFCDVFGLMDVVRLNTKVLHVGLAPPLAADDAVKRWTVRWSRRGDCEGDAITTEEVFDAVVVAVGQYTQPRLPTVNGMDKWSRRQLHSHSYRVPDSFHGEVVVIVGFHESGKDIALELSGVAREVHVSVKSMEGLTPAVSKAVARHHNLHLHLQIECLCEDGQVMFADGSCVVADSIIYCTGYDFSFPFLDTGGLLTVDDNCIGPLYEHTFPPALAPSLSFVGVPRLVLVPRFYEAQARWVAQVLSGRGPLPPEEEMLRAAEEYHRAREAAGVPRRLSHTIFFDMDYCDEFGTKHCGFPPLEGWKRDLLSSAVARLRDGDVESYRDSDL >Sspon.01G0034740-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:5403849:5404912:1 gene:Sspon.01G0034740-1B transcript:Sspon.01G0034740-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding YRSGAELVKISHKRLQPQARGAERPSVRAHRAQAAGRDPTAACLRRTVQERLPASHTVAGSQHRPPLACLLNLVAPVRSEDAGRPRRPTPSSIWQHAGRTTGPPATRVSFARRGAASDEMHAARKGRTSRDEEMRERQPV >Sspon.07G0028170-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7B:64657171:64658139:-1 gene:Sspon.07G0028170-1B transcript:Sspon.07G0028170-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRKTTKMLKKLNKSGIKFDGKKKKFFTSSKRKPISEMDCYNCGELGHLAHQCPRSPKDKYKNKGKKNDSSDEEEEKKKNKPYKKKDGKKKEFHKKKKGGKAYIVGDWLTDIESSCESSEDESNDEKEKVTAFVMGPSSSPTSSSPPSPPPSPSSSTTHLCLMAKGERKIQNHDSDSDDEYDAPSYDELVKLLNKYTKIIRRTRNENDEFQNKNESLSSKLENAQKTSGELKDQNEVMSSHLKEFKTSNKELKDQHDKLQKKHDELITRHNSLKDKYTTLKIDYDSLVVANELALETHDATNNIVKCDIATSCDDLIIESIE >Sspon.04G0023660-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:19193994:19196498:1 gene:Sspon.04G0023660-3D transcript:Sspon.04G0023660-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEKTREGAGSNSTTQAVHTTFLLPRPSHPVPTAGSSSSSSSPVVLSSSSSSPLVGKRNTGESLARSGIGILACMDAAQWHQGLGLGKPMEEMLMAGNANPNQNPNPPPSAPSAAGAQRAGAPAAGAAAAPNAGATGGAGAGTERRARPQKEKALNCPRCNSTNTKFCYYNNYSLQQPRYFCKTCRRYWTEGGSLRNVPVGGGSRKNKRSSSAVSAAAASTSAAMSGTVSVGLPAKNPKLMHEGAHDLNLAFPHHNGRTLQPPEFPAFPSLESSSVCNPGAAGMVSNGAAGRGMGALSAMELLRSTGCYVPLQHVQLGMPAEYAAAGFALGEFRMPPPPQSQSLLGFSLDTHGTGGVGGAGGYSTGLRDSAAGRLLFPFEDLKPAVSAGGGGASNGADHHHQYEHSKDQAAGDGGSGANGVTGGHETPGFWSNSLIGNGSSNGGGGPW >Sspon.03G0010800-3P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:44625952:44627952:1 gene:Sspon.03G0010800-3P transcript:Sspon.03G0010800-3P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLGALASAVASTQWSLLIYGLLGALLLWKAARLLEWLLWEPRRLERALRAQGLRGTSYRFLTGDLKEYRRFNKEAPSRPLPLRCHDISGHVSPFDYGAVLEHGKTCFSWFGPIPRVTVTDPDLARDVMSNKFGHFEKPKFQAFSKLLADGVASYEGEKWVKHRRILNPAFHLEKLKLMLPAFSACCEELVSRWAQSLGSDGSCELDVDPELQILTGDVISRTAFGSSYLEGRKIFQLQTEQAERLMSIVEKFAVPGYMSLPTKNNRRMRQIKNEVESILRGLIGKRMQAMKEGESAKDDLLGLLLESNMRETDENGHSSQGMTIEDVMEECKLFYFAGMETTSVLLTWTMILLSMHPEWQDRAREEVLALFGKNQPGYDGLSRLKTVTMILYEVLRLYPPAIAFSRKTYKEMVIGDVTYPAGVIVELSVMFIHHDPDIWGRDVHEFRPERFAEGIAKASKDRLAFFPFGWGPRICIGQNFALLEAKMALSMILQRFQFELAPTYTHAPRQFIMLRPMHGAQIKLRAI >Sspon.01G0025930-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:90548140:90551229:1 gene:Sspon.01G0025930-1A transcript:Sspon.01G0025930-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAARWAALLLALLVACGGVRPGAAAGGGASWLGGLSRAAFPRGFVFGTATSAYQVEGAASTNGRGPSTWDPFVHTPGNIVGNQTADVAVDQYHRYRVKFEVKGSLLLSLILGPFGTLQEDVDLMKSLNFDAYRFSISWSRIFPDGEGRVNPEGVAYYNNLINYLLQKGITPYANLYHSDLPLALQNKYGGWLNARMAKLFTDYADFCFKTFGDRVKHWFTFNEPRIVALLGYDGGSIPPQRCTKCAAGGNSATEPYIVAHNFLLSHAAAVARYHNKYQAAQKGKVGIVLDFSWYEALTNSTEDQAAAQRARDFHVGWFVDPLINGHYPQTMQDIVKERLPKFTPEQAKLVKGSADYIGINQYTASYIKGQKLFQQKPTSYSADWQVQYALERNGKPIGPQANSNWLYIVPTGMYGCVNYLKQKYGNPTVFITENGMDQPGNLTRDQYLHDTTRVQFYKGYLAELKKAIDDGANVAGYFAWSLLDNFEWQSGYTSKFGIVYVDFSTPKLERRPKASAYWFRDMLQKH >Sspon.02G0056360-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:24246175:24250662:-1 gene:Sspon.02G0056360-1D transcript:Sspon.02G0056360-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSGFSPESPQLPAGFLLSDLADQICRLGELDPKNEACDRLMTEERTEVAGTASTGVTLMALPSASSRPLDPAWVHAKVDASSRNAIICLHCGKKIGGGGITRFKYHLAGVPGQVESCKKVPDDVKRQIIQQIDEKWRNEERRYETRDVRSSRVKDSSDAIFSTEGGSESLFRPSRKRRTGYFPPQATTGSEPSSGAFMTSENMLEEYKKSTGDFGLPIAIRQREKLNPVYDDEDNNEEFSWTQSRNLRKLKDAIDPISLDNIDVLDEWVSEEPSLLCRDDLNWESVDAPFAEPTSDNDEEFIAVDNGEEAPMAALSWPAGDDLYCPQPDQDPYLYVTQDCET >Sspon.02G0020210-3P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6C:2168515:2168841:1 gene:Sspon.02G0020210-3P transcript:Sspon.02G0020210-3P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDDGSLGIRNWGFYDTVKGNLGLQLMSSVPPDRDTKSLLPNGAFLQHHGHHNAPHQLHMQHPQHSRGPAGGRASVGMPTESQPVHMDFLRNEAWLHQLHHQRPPEQK >Sspon.06G0016820-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:91357808:91360120:-1 gene:Sspon.06G0016820-1A transcript:Sspon.06G0016820-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPDAVKSTLSNLAFGNVIAAAARDLQKEMVAKDKAQAAPASHDEVDLDELLDDPELEKLHAERIAALKKEAEKREVLKRQGHGEYREITEGDFLGEVTGSEKVICHFYHREFYRCKIMDKHLKALAPVYVETKFVKLDAENAPFFVAKLAIKTLPCVLLFKKGIAVDRLVGFQDLGSKDDFSTRALENILKMKGNHENYMALLDNFGMTNVL >Sspon.02G0034980-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2B:15532995:15533399:1 gene:Sspon.02G0034980-1B transcript:Sspon.02G0034980-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRHGVNKSLLEKSIPKLTWVDSGERKRGTECGYVFVYTRKTHGRRAKAITGLMELHGAMVSMASGCTTGRPHLQSFPTQFLRAHMGPTPPRISPASRKRGRGRWMPGRHRGGGGDTEEDSRKRGRRCNNQYIF >Sspon.02G0007000-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:20955829:20962459:-1 gene:Sspon.02G0007000-1A transcript:Sspon.02G0007000-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Receptor-like protein 4 [Source:Projected from Arabidopsis thaliana (AT1G28340) UniProtKB/Swiss-Prot;Acc:F4HWL3] MRWRSPAVLQLRLWLLAVSASSAALGVLAADLSKEPFTIRISCGSFDDVRTAPTNTLWYRDFGYTGGRFANATRPSFILPPLKTLRYFPLSDGPENCYNINNVPNGHYQVRLFFALLDNPNLDSEPIFDVSVEGTLFSSLLLGWSSDDEKTFAEALVFVQDSSLSVCFHSTGHGDPSTLSIEVLQIDDNAYNFGPPWGKGTVFRTAKRLKCGSGKPAFDEDLNGIRWGGDRFWLGLQTLSSSSDDQSISTENVIAETLLTPNFYPQSIYQSAIVGTDRQPSLSFEMDVTPNKNYSVWLHFAEIDNGVTAEEQRVFDVLINGDTAFKDVDIIRMTGERFTALVLNKTVAVSGTTLKIILQPVKGTCAIINAIEVFEIIPAEKKTLPQEVSALRTLKGSLGLPLRLGWNGDPCVPQQHPWNGVDCQFDNTKGNWIIDGLGLDNQGLKGVIPSDISKLQHLQNINLSGNSIKGNIPISLGTISAVQVLDLSYNELNGSIPESLGELALLQILLTFHIRFFLPQNLRLREFVLCRNLNGNRLSGRVPASLGGRPLHRARFNFTDNAGLCGIPGLRECGPHLSMAAKIGMAFGVLLAILFLVVFAACWWKRRQNIIRAKKLAAAREAPYAKSRTQFTRDVQMTKHQRTHESVAASCPGPVPADRGDSPRDAGDVDPGQVQDELAGLGVPELEHDVLGDLAVLPRDVALGGEAVALLRVDRLHHRAVGDVAEVLLGDLHEVEDAELGVAHAVVLGERVMVEEFLSVQTPK >Sspon.01G0004360-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:11739508:11746670:1 gene:Sspon.01G0004360-1A transcript:Sspon.01G0004360-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPAAASASPDDRIRTYEEFARVHAYLLAAAGIPPSLHQKLYRKLADEVFDGGEVFAVEPCEDGRQRRLVLAADESLGKESDVFLVDHAWSFRLPDALKQLREVPGLAERMAALMCVDLDRRIETEEADEQDGDDSGSLEHVLQVVEKERARVQERGSDSAAWLELEELGIDDDMLVALDLSAKFPNLVALNLWGNKLQDPEKVMQEIRKCAKLKALWLNENPVLGKSIDKAVLDGLSGLEIYNSHFTSKAGEWALGFCADIVGADNPCSSMESTLLGSIEIIDLSDRCIHKLPEVFSPSNLPSLSKLNIRGNPLDQISSDDLLKLFGGFTQLQELEVDIPGPLGNSAISILESLPNLSLLNGVDSSSIIESGKHIVDSALEPRLPEWSPEEPLAERVIGAMWLYLMTYRLADEEKIDETPVWYVMDELGSAMRHSDNANFRIAPFFYTILWPTHDVHTGEECTRDFLFGIGEDKQRSARLTAWRYQEQLQSNSICSSTKIEETPSTKSIRPSDGRALRVYTDIPHVEEFLTRPEFVLTTDPKEADIIWVSMQVDSEVKKAVGLTDQQYTNQFPFEACLVMKHHLAETIHKAWGSPEWLQPTYNLETHLSPLIGDYFVRKRDGMDNLWIMKPWNMARTIDTTVTGDLSAIIRLMETGPKICQKYIERPALFQGRKFDLRYIVLVRSIHPLEIFLSDVFWVRLANNQYTLEKTSLFEYETHFTVMNYIGRMKHMNTPEFVKEFEKEHQVKWLDIHESIRSMIRCVFESAAAVHPEMQNPFSRAMYGVDVMLDNRFKPKILEVTYCPDCGRACKYDTQALVGSQDTIRGRDFFNTVFGCLFLDEQTNVSPL >Sspon.01G0007850-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1A:21844120:21849595:1 gene:Sspon.01G0007850-1A transcript:Sspon.01G0007850-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MERLSNKLRESLLSEVDILRRIRHDNVIALHDSIKVPRPRLAAPFDVARDLPFYRCGSARSWCWWGLGSDSSGLQMLRDNNVVHRDLKPQNILLVENNENSLLKIADFGFANRTPSDIRDGFPINNSSPTRPSSQSSQEDCMPFPLDDESSGQDDGPVPDSKSPMKSYGSPTGKRLDKTSGQSPSKHTSLFSRYVLGNNHAPSSQHHGHTGKMTKESKIHEVQGPKGVYPEDSPIIDSLEFVDQEYVFVSGPHPEGSSSSTNASQQLNLPAKYDNSSVSPPKLTFLSAPMPINGLPINRQQSAGTGSFDSHCSPASGTSQGSADISDAMDQPPSDYLTRIRLLEQYASAIAGLVRDEIKGGRHLEAFSIQLIVLATWKQAIHLCNTFVASPARESPSHDIPMKGLSADASHLLANSKLADDACMLIEKQFLSEVEYAEELASTVGQIADGTEMPDAIEIIFQSALLIGRRGGVDEMFGKAADAMTGYMRAVSMLRFLLIEASSLALNPPLTLTRSDRHRLRTYIEALNTRLCQMQSQRH >Sspon.06G0020430-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:9990541:9997989:1 gene:Sspon.06G0020430-2C transcript:Sspon.06G0020430-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSKGGAAKGGGGKKKEVKKETKLGMAYKKDDNFGEWYSEVVVNSEMIEYYDISGCYILRPWAMEIWELLKEFFDAEIKKLKLKPYYFPLFVTENVLQKEKDHIEGFAPEVAWVTKSGKSELEAPIAIRPTSETVMYPYFSKWIRSHRDLPLRCNQWCNVVRWEFSNPTPFIRSREFLWQEGHTAFATKEEADEEVLQILELYRRIYEEFLAVPVSKGRKSEMEKFAGGLYTTSVEIGVMVMTHGDDKGLVLPPKVAPIQVIVIAVPYKDADTTAIKGACESTVYTLNQSGIRADQDTRENYSPGWKYSHWEMKGVPLRIEIGPKDLANKQVRIVRRDNGAKVDIPVTNLVEEVKVLLDEIQKNLFKTAQEKRDACVQVIKTWDEFTTALNNKRLILAPWCDEEEVEKDVKARTKGELGAAKTLCTPFEQPELPEGTLCFASGKPAKKWSFWGRSY >Sspon.04G0018300-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:69367167:69367782:-1 gene:Sspon.04G0018300-2B transcript:Sspon.04G0018300-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWDSDSDGGGGDDEEEEEEVRPGGGGGDAGPGFSLAIEGVLGACGMVVSDALEPDFPIIYVNRGFEEATGYRAEEVLGRNCSLVRGDARGPGICSLLEEALGARIVR >Sspon.08G0000490-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:311458:313944:-1 gene:Sspon.08G0000490-4D transcript:Sspon.08G0000490-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGGGGGNTRELDQTPTWAVASVCGVIVIISILLEKGLHHVGEFFSHRKKKAMVEALEKVKAELMVLGFISLLLVFCQNYIIKICISNHAANTMLPCKLKAVEAAAGHGKEPAEAGGNKGHGKEAAAVPGKKKAAAAAEHLGGVLDWPPPYYAHNARMLGEANMKTKCPEGKVSLISINGLHQLHIFIFFLAVFHVSYSAITMALGRAKIRAWKVWEKEAAGQDYEFSNDPTRFRFTHETSFVRNHMNVLNKFPASFYISNFFRQFFRSVRQADYSALRHSFVNVHLAPGSKFDFQKYIKRSLEDDFKVIVGISPPLWASALIFLFLNVNGWHTMLWISIMPVVIILSVGTKLQGIICRMAIDITERHAVVQGIPLVQVSDSYFWFAKPTFVLFLIHFTLFQNGFQIIYFLWILYEYGMDSCFNDSKKFVFARLCLGVVVQVLCSYVTLPLYALVSQMGSTMKQSIFDEQTSKALKNWRAGAKKKHPTSSKHEHGGGGGSPTAGGSPTKADGDA >Sspon.06G0025890-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:47506488:47512778:1 gene:Sspon.06G0025890-2C transcript:Sspon.06G0025890-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEAKHHPTTTKLPSQSQSSSPSPSAPTANPLQYHHGYGTFPPPFQPTPPVVGAAYHDYHASPIDGFGGQGFVAFPCAVQQQVFVEGVPVREPPLPFCGAGVGWFLLGFFLAAIPWYAGAFLLFFVALDHREKPGLIACTVATLAELLKM >Sspon.04G0013430-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4A:48142487:48147273:-1 gene:Sspon.04G0013430-1A transcript:Sspon.04G0013430-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MERKMGFWWSPDSKHLAFTEVDSTEIPLYRIMHQGKSSVGPDAQEDHAYPFAGAANVKVRLGVVPSHGGEVTWMDLLCGDPNGSHSDEEYLARVNWMHNSALAVQVLNRSHTKLKLLKFDITTGKREVLLEEQHDIWITLHDCFTPLDKGVNSKHPGGFIWASEKTGFRHLYVHDNDGACLGPLTQGDWMVEHIAGVNESNGLIYFTGTLDGPLETNLYHTNLFPDWSLPLQTPKRLTRGTGRHSVILDHQLLKFIDVYDTIKSPPVILLCSLLDGSVIMPLFEQPLTVLPLKKFQQLSPEIVEITAKDGTNLYGALYLPDERKYGPPPYKTLINVYGGPSVQLVSDSWMCTVDMRAQYLRSKGILVWKMDNRGSARRGLHFEGQLKYNIGRVDAEDQLAGAEWLIKKGLAKPGHIGIYGWSYGGFLSAMCLTRFPDTFCCAVSGAPVTAWDGYDTFYTEKYLGLPAEHPDAYEYGSIMHHTKNLKGKLLLIHGMIDENVHFRHTARLVNSLMAEGKPYEILLFPDERHMPRRLGDRIYMEERIWDFVERSL >Sspon.02G0036070-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4B:13444224:13444740:1 gene:Sspon.02G0036070-1B transcript:Sspon.02G0036070-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPWLLVVAVLAGFALGPSAGTDHIVGANHGWNPNINYSLWSGNQTFYVGDLISFRYQKGTHNVFEVNETGYDNCTMAGVAGNWTSGKDFIPLPEARRYYFICGNGFCLQGMKVAITVHPLPHNATSSRGST >Sspon.01G0029890-2P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2A:81559244:81560671:1 gene:Sspon.01G0029890-2P transcript:Sspon.01G0029890-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGALPLLPLLLLLSLADATTTAAALVPKQQNPPALSSPSPSTATTTGSDGSGVSSNSVLVALLDSRYTELSELVEKALLLQALEAAVGRGTNITILAPRNEALERDLDPEFRRFLLEPRNLRSLQSLLLFHVLPARLHAASLLLSPRSPRHHPTLAGEPLELGAVSRAVTRPDAVVRADGVIHGVDRVLVPRSVQDAFNRRRSLAAISAALPMGAPEVDPRTHRLLKKPSPGPAPLGAPPALPVWDAMAPGPAIAPAPAPGPGSGTHRFDGHGQVKDFIQTLLLYGGYNELADILVNLTSLATEMGRLVSEGYVLTVLAPNDEAMARLTTEQLSEPGSPENILYYHMIPEYQTEESMYNAVRRFGKVRYDTLRLPHKVVAREADGSVKFGAGEGSAYLFDPDIYTDGRISVQGIDAVLFPPAEDGGKRPAAGAAVPARKEPAVAGPAAKPKLRRGQYAWHCFALFIYSHFSLI >Sspon.05G0023110-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:5097291:5097983:-1 gene:Sspon.05G0023110-1B transcript:Sspon.05G0023110-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLPLNPPRANPEGSSAAREKTRGAVAAESGSTRGRRRERGSAAQLPQENWGTEPKPQLTRGNVAFSIEAHASSLVGRASGGPWCRLAEGSGWCRLPTQLQDQPTRREYRGHAWFSRLIERRCAARSGSRACRHAGGKAATPTSRLTESGTRGRALVLASSTGCAWYGDGERPPFWAFRRAGNRQGVLMGLTRDPPAIKSPSLDPSRPAHSICFPHEVPNPSSIAAMNM >Sspon.01G0040650-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:42363117:42367274:-1 gene:Sspon.01G0040650-1B transcript:Sspon.01G0040650-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFRIIRGLFFLRAKSDTFPTLLHFFTWVSTQFGLTIKAVQCDNGREFDNSTSRDFFLSHGVQLRMSCPYTSSQNGKAERM >Sspon.01G0009040-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:27586039:27592063:1 gene:Sspon.01G0009040-2D transcript:Sspon.01G0009040-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTGDPLFLSSSGSADSPSKHQAPVQPSVGSLGCLCATDSFSSSLYEDCETASVTLTDEREAQPRHLQEESDVSRVAERFQSADSHFFHRLSVECSQKERQRKVSWGGAMEMQHSPSSLEIGMVSSSHEKPNRSQRIRNKSSQFEDPFLSEHEPRLIYINDPNRTNDRYEFTGNEIRTSKYTFITFLPKNLFIQFHRLAYVYFLVIAALNQLPPLAVFGRTASLFPLLFVLFVTAIKDGYEDWRRHRSDRNENNREALVLQHGEVVKIHANETMPCDMVLLGTSDPNGIAYIQTMNLDGESNLKTRYARQETTSMIYDDAYSGLIKCEQPNRNIYEFTATMELNSQRVPLGQSNIVLRGCQLKNTEWIIGVVVYAGQETKAMLNSTISPSKSSNLESYMNRETLWLSAFLLITCSVVATGMGVWLFKNSKNLDALPYYRRKYFTFGRENRKDFKFYGIALEIFFSFLSSVIIFQIMIPISLYITMELVRVGQSYFMIGDTRMYDSSSGSRFQCRSLNINEDLGQIRYIFSDKTGTLTQNKMEFQQASIYGKNYGSSLQVTKSLRQSDRKPKVNVDSALTALLNQPLIGEERLAAHDFFLTLAACNTVIPVSTESSHDLTSEVDETGAIDYQGESPDEQALVTAASAYGYTLVERTTGHIVIDVLGERLRLDVLGLHEFDSVRKRMSVVVRFPDNNVKVLVKGADTSMLSILKVEIGDGLYDSLHVKIREATENHLSAYSSEGLRTLVIGSKNLTDAEFSEWQERYEEASTSMHERSAKLRQAAGLVECNLTLLGATAIEDKLQDGVPEAIESLRQAGIKVWVLTGDKQETAISIGLSCRLLTQTMHSIIINGSSEVECRRLLAEAKANFGIKSADFGRDSQGTEDLYHGDISKLRPSNGHLSESAVQNFELTGVIAGDKSEYNEKVTNFDGTELALIIDGSSLVYILEKDLESELFDLATSCKVVICCRVAPLQKAGIVDLIKSRTSDMTLAIGDGANDVSMIQMADVGVGICGQEGRQAVMASDFAMGQFRFLKRLLLVHGHWNYQRIAYMILYNFYRNAVFVLMLFWYILYTAYSATLALTDWSSVFYSLIYTSVPTVVVGILDKNLSHNTLLCYPRLYEAGLRNEGYNLTLFWITMLDTLWQSLVLFYVPSLHTISVQWTYGVSEVCGQLLWL >Sspon.04G0031930-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:19710972:19714430:-1 gene:Sspon.04G0031930-1C transcript:Sspon.04G0031930-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSPRGLLAASGYLAGRHAPSTSVSTSGHHSLFARTFQILAQPEPVRLQKLPAPNSGILELRLERPEVKNAINWDVMRRLRSAIEKIQADATAKVVLVASSVPGAFCAGADLKALPIPTIAVIEGAALGGGLELALACDLRICGGAFVLEYYQILSILWDNYFLLFWILKCIPTLCAGENADLGLPETGLAIIPGAGGTQRLPRIIGRSRAKELIFTGRRCGAAEAVTMGNASLVSRYLFYLLITYCNDSKMRVCVSGLANYCVPAGEAYQKALDIACEITQKGPLGIRMAKKAISEGTEVADMSSALAIEGECYEQLLHTQDRLEALAAFAEKRKPVYTGK >Sspon.05G0000720-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:2666696:2668565:-1 gene:Sspon.05G0000720-1A transcript:Sspon.05G0000720-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLPSAELSASSGGGSTNGLAALPDFLGRKSKYVRMDDVLPPEQEGEDGGVRVRERQSSRRYVFACSVFASLNSVLLGYDVGVMSGCILFIQRDLHINEVQQEVLVGCLSFISLLGSLAGGRTSDAVGRKWTIGLAAVVFQAGAAVMTLAPSFRVLMVGRLLAGISIGFGVMIAPVYIAEISPAASRGSFTSFPEIFINLGILLGYISNYAFSGLPDHINWRVMLAVGILPSVSIAFALLVIPESPRWLVMQGRADEARAVLLRVTDTEDEAKERLAEIEAAAAVATMGAVGKYGDRNRTVWQELSRPSPVIARMLVTGVGIQCFQQITGIDALVYYSPTIFRDAGIATERQLLAATVAVGFFKTAFIALAIVLIDRVGRKPLLYVSTVGMTACLAALSAALFLQARGSVSRGAGVGVAILTVCGDVAFFSVGIGPICWVVSSEIFPLRLRSQAAALGAVANRVTSGAVAMSFLSVCRAISVSGAFAAFAAVSALSVVFVHRFVPETSGKTLEQIESLFGGGIGEDRRELELGDVEQLVYK >Sspon.03G0019680-2P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3B:83906362:83906631:-1 gene:Sspon.03G0019680-2P transcript:Sspon.03G0019680-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSPSYRLAAAITVPSTGEFLVVRQPRPPSPPDEEEDYRRFVDSDLYDLPSAPLAPLAGAPRSEVAIGGADSVACRLDLSRLDVSAALDQ >Sspon.05G0011610-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:39457850:39458847:1 gene:Sspon.05G0011610-3D transcript:Sspon.05G0011610-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MIWRNKRKRYNFTLETAQGGSGIVPFRYTDLQHATKNFTEKLGEGSFGSVFKGVLSDSTTIAVKGLMLHIKERNNSGLNRSDSKLLVYEYMPHRSLDIDLFSGTITLGIARGLAYFHESCRECIIHCDVKPQNILLDKSFISKIADFGMAKFLGREFSRALTTIRGTIGYLAPEWISGGAITPKVIYGMLLLEIVSGRKNSHRECTTTGGDDTYFPVQVASKLLVGDIGSLVDDKLHGDVHLNEAERVACWCIQDNEPNLPTMGEVVQVLEGLIELDIPPMPRLLQAIGGSHSA >Sspon.07G0017310-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:62379312:62382550:-1 gene:Sspon.07G0017310-1A transcript:Sspon.07G0017310-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDSAPSSAAPDPPPSPPPHPQQPEEEGDGWVIVPASEVEGVHAPKVIHWDDLQQELARLWSLSAALQPAGDRKAHLAARLESTLQARQTFLEQDNELAEMRQRLQEHTDRLGDLKMRTKKLSEDVVDQREQLCVKIRTLSVASKALDAAHSNLKEANKLLSGENGCGRLKNLEQKLRMRQRYMIAQVSQIYPVRPLDEQSSDHKPGFTSNITKTRNAESVLPNGSQNRPLVILGLQLSKLSVKKTGYFSDKTEVQKSSTVLGYAAHAVSLIASYLNVPLRYPLRFGGSRSYVLDPAPSVEPSSITSVATSVPPSTSMRTMEFPLFLDSQETTRSAYAIFLLNKDIEQLLNYIGAESLGPRHVLANLRQLTRIIQSQEYISVD >Sspon.02G0013430-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:33350981:33352774:-1 gene:Sspon.02G0013430-1P transcript:Sspon.02G0013430-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWDGEVGMNSVPDGVVQHILSMLSNARDVAACACVCRRWRDCVPYLPALFFARNAFDAARGAADDVIGRMVASVARLRELVIYCPFSMARLPGWLAARSATLRVLELRMDAAADKVTEGGHLDCIGLAANLEELRLWGVSLTAAPAWGRMERLRVLEVVGAPLRDSAVRDAIAACTNLTDLSLLGCDCSGTVAIGLQLLQRCRLDILGAGNCSLLLTAPRLESIEIQGFTWITLRGGHSLRRLSIAKSTGRVHKVDTGNLPDLDHLSLRGVQWNWAAVISVLQCASEVKHLVMKIEFCGELDALQPFPEVDLVDFFDSHPKLRKFEIHGAMFAALCQKNSLKNLNSRFLIPCLEEVLITVRSPLNAEQKLNTLESLVKYSVKLRTMVIRISQMKNCHEAADEFFEEICKFKYMNYRKVRIE >Sspon.03G0009480-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:32670660:32674376:1 gene:Sspon.03G0009480-2B transcript:Sspon.03G0009480-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRSPTKGSRSKVKKSKATTPAAPAPALLDLASFSGSRSSAHYSSRAKIDINLQLQLQLLPPPPPPLEMQATHGAAPACSTLPTLPKVARSHAWDWVALLLLVAVDVLLNVIEPFHRFVGAGMMTDLRYPMKGNTVPVWAVPVRILFAVLITGVLTDAIKDAVGRPRPNFFWRCFPDGKAVYNNITTGVICHGDPSVIKEGHKSFPSGHTSWSFAGLGFLSWYLAGKITVFDRRGHVAKLCVVLLPLLVAALIAISRVDDYWHHWQDVCTGGLLGLVVASVCYLQFFPAPSDEKGLWPHAHLRFLSEREREEESRTTQRSTETAGARTSHELDALEAGRREQL >Sspon.06G0005800-4D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6D:17795740:17798184:1 gene:Sspon.06G0005800-4D transcript:Sspon.06G0005800-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPDLIDDATAEILLRLPPDDPACLVRASLVCKAWRELLSSPAFLRRYRTFHGAPPLLGFLHNTYDEGPCTRFVAAADATTTFPFSAPAFDRFGWWFVECRHGRALLQTFERHALARLVVWDPITGDQQYLPMPVSGYYFCRSAAVLCSADGCDHLDCHGGPFLVVAIGAYEEDTHMWASVYSSETGVWTTSSSIQLDVYIEERPSLLAGDALYFSAQQGKMILKYDLVGQKLGVINAPDMFEPTEGIVVTAEDGGLGLAGVKDGNLHLWSWQAGPRGIAEWVHGRVVKLRMLFTILNPLASHDVIGFEEGTDTIFISIDMDVFAVMLRSEQVKKVGKTGSKYAMAPYVSFYTPGPFCPLVLALSVGFSIRIRPRVLVHDRCRTPVTIMALPVPITTSISVHRCRCSRLFADKLQSKYICIQGCVALVTDPHQSKLLSLCCLHGSLCVLSCIMRFQLSAG >Sspon.04G0008370-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:20375462:20375964:-1 gene:Sspon.04G0008370-2B transcript:Sspon.04G0008370-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAALMPPLLVSLLLCLLAAAAPAASAARAFFVFGDSLVDNGNNNYLLTTARADAPPYGIDFPTHRATGRFSNGFNIPDIISEHLGAEPLLPYLSPELRGEKLLVGANFASAGVGILNDTGIQFVREDRC >Sspon.04G0001510-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4A:5228511:5229017:1 gene:Sspon.04G0001510-1A transcript:Sspon.04G0001510-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPLKFYPNSVTADKAIIESGTKLKRFVLANLAALKVPAKALAVIEASDDVFAVPLRFRPPLSLIAASISKGGVCVAGDALHPMTPDLGQGACAALEDSVVLARCLGTAVLGDGDGGTGTDQERRRVDAGLREYAAARRWRSVQLIATAYVVGFLQQSNNAVVSFLRDR >Sspon.01G0019580-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1A:73202945:73206573:-1 gene:Sspon.01G0019580-1A transcript:Sspon.01G0019580-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEAREERCLDPQLWHACAGGMVQMPSVRSRVYYFPQGHAEHAHAGGAADLAAGARPLPPLVLCTVTGVRFLADPDTDEVFVKIRLVPLAPGEVEFREPDEFGLGGDPADAREKLSSFAKTLTQSDANNGGGFSVPRYCAETIFPKLDYRADPPVQTVLAKDVHGEVWKFRHIYRGTPRRHLLTTGWSTFVNHKKLVAGDSIVFLRTEHGELCVGIRRAKRVSCGGMECMSGWNAPGYGALSAFLKDEEGKMTRGPGGYMRGRGKVKITDVVEAASLAASGQQFEVVYYPRASTPEFVVKAASVQNAMRNQWCPGMRFKMAFETEDSSRISWFMGTIASAQVADPIRWPNSPWRLLQVTWDEPDLLQNVKCVNPWLVEIVSSIPPIHLGPFSPPRKKLRMPQHPDFPFDGQLLNPIFHGNPLGPSNSALRCFSDIAPAGIQGARHAQFGLPLTDHQLSKLHLGLFQGGGFNRLDAITPPSHISKGFVISSAPVNESVSCLLTIGTPQATEKSDDTKKPHIMLFGKPILTEQQMNSRGSRETFSPEITGNSSSDGNVQKTGNVSDGSGSSICIGFSSQGREASELGLEAGHCKVFMESEDVGRTIDLSIFGSYEELYGQLADMFGIEKAEIMSHLCYRDAAGAVKHTGEEPFSDFMKVARRLTIIESTEGRLQKPLIEYMMERA >Sspon.01G0007870-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:19189332:19195050:-1 gene:Sspon.01G0007870-3D transcript:Sspon.01G0007870-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSFLRKQPSYLFILIILHLVAHEARTLSSDGEALLAFKKAVTNSDGVFLNWREQDADPCNWRGVRCDSHSKRVINLILAYHRLVGPIPPEIGRYLQGNYLSGYIPSEFGDLVELEAFFVGNLGLCGKQINSVCKDALQSPSNGLQSPSPGSSVVMFHGDLPYSSKDILKKLETMDEENIIGAGGFGTVYKLAMDDGNVFALKRIVKTNEGLDRFFDRELEILGSVKHRYLVNLRGYCNSPSSKLLIYDYLPGGSLDEVLHEYMQFGRATEKTDVYSFGVLVLEILSGKRPTDASFIEKGLNIVGWLNFLAGENREREIVDLNCEGVQTETLDALLSLAKQCVSSLPEERPTMHRVVQMLESDVITPCPSDFYDSE >Sspon.05G0022100-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:2138935:2142351:1 gene:Sspon.05G0022100-1B transcript:Sspon.05G0022100-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIGAIGALTLQLMVTAVAGPIALPGCPESCGGVQVPYPFGIGRGCFHEGFNLTCDEAQQPAKLLLGEGDGADQVLSISLPDCTVRIQRKISWVQYAAEFNGSWSVPTPDDGPLMVSSARNSFVAFGCNVLAKLIPYNAMVTYTSVCAAVCVNTPDASSCSGIGCCRTSIASLGADLPSYGIQVKHLEGETGNYHRAAFIVDQEWFGRVEAEMARNFSNLFFPIGAVPVMVDSVPVVLDWSLDLIRDAGLFVLSPIGPQSSDFRCISSNSFSYTIDGNYDRRRCNCSHGYEGNPYIDNGCQDIDECQLPDIYPCHGTCINMPGTYRCSSKKSIRSHPVYLVGVCVEGVLERYYTSWKIP >Sspon.03G0043730-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:83741347:83742849:1 gene:Sspon.03G0043730-1C transcript:Sspon.03G0043730-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTSGGNEMPGKKARKPYTITKPRERWSTEEHGRFVDALLMFGRDWKKIEEHVGTKTTIQIRSHAQKYFLKVQKLGLAAGLPPMYPRRHLAMQQQSSAAGSSVAVAAMLLLHGRQPTCALVAMPGLSESDAVAHGSIGWNSPGVLPAASSGKCLLCPACIFQNGVLTLLNPEWRSRPHVSSEPFPEMQGLYWAGPSASGTPAQVNTDAQSQSAPFSGGSRFTGAPSLSSTSIDWVGSSTASEASAIGVVQDELIELPLSPEDLPFAQVYRFVGDMFDPNTPIPVETHLQKLKELDDITVKTVSSSALRDSNLASCVSHDFLSCC >Sspon.06G0017160-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6B:76983685:76984380:-1 gene:Sspon.06G0017160-2B transcript:Sspon.06G0017160-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKIFTLLALLALSVSATTAFIIPQCSSVTVAGYEHPVARAYRLQQVLAASILQQPIFQLQQQSSAHLLVQTIVAQLQQQQFLPVLSQLAVANPAYLQLQQLLPANPLAAANAIAYLQQQQFLPALSQLAVENPAAYWQQQQLLPFNQLALPNAAAYLQQQQPLPFTQSAVATAAAYHQQLQLLPVNPLALANPLAAAFLQQQQLLPFNQMSLMNPALSWQQPIVGGAIF >Sspon.07G0037960-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7D:66366948:66369089:-1 gene:Sspon.07G0037960-1D transcript:Sspon.07G0037960-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAERWMLRREGSWDRPLGATPVPVSTHSQVSNRGPRDRPTSGTVVRTNNVLSILNRMVANARGILSSRMVANVLSNRMNPPKQNGNQRMAPNGAKVNHVNAETTQEASEVMLVLRTSNIDVILEMDWMKQHRAVIQCQEKVVVLTTPKGDRISVEVAVQAPPTATVNQLNDDANQQERVVDEFQDVFLDDLPSMPPNRYIEFIIELLPETAHIAKRPYRMGVNELEELKKQLKELQDKGFIQPSASPWGAPVIFVDKKDGTQRMIDDLFDQLRGACVFSKIDLRSGYHQLKIRRSNIPKTAFTIRYGLYEYTVMSFGSTNTPAYFMYMMNKVFMEYLDKFVVVFIDDILVFSKNVEEHAEHLRLILQKLREHKLYAKRSKCKFWLKEVSFLGHIVSNGGIAVDPSKVEDVLNWKPPTNASEVRSFLGLARYYRRSIEGFSKLAKPMTTLLEKDAKFIWSEKCQANFEELKKRLTTALVLILPDLSKNFSIYCDVSRQGLGCVLMQEGRVVAYASRQLRKHELNYPTHDLELAAVVHALKIWRHYLIGHKSDIYTDHKSLKHIFAQTNLNLRSA >Sspon.06G0016680-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:90809765:90811375:1 gene:Sspon.06G0016680-1A transcript:Sspon.06G0016680-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSRRPGPAELSLKARQAVHTCVLSTRWRHLWRSVPCLDIDFDEFNSKAPPSDSNGFCLSSDDDSSSSDDDTSDSSSDRWNADPDDDDNNHKDWERFTKYKDWEDFEDFAVTLMRRCNIAQLDSFRLQTDGSRAPEFGNRVAAGWLHRAMKYFTPDRASHQGLGSGSWRLKRLHLCHVLLDNHFLNRVSSVCRSLEDLELDDCSCRIQSITSHSLKTLVLKKCQFRNLSEILSPTLKTLVIDGGSNTDDCVLVIMAPSVAYGSARSKIADDQFKLLCSISNSINLELSGVGSTVLGKERRFQEFKNLRNLLLCNCDLSDDFKTLVFFLRSSILEKLTLQFCKFPKYSNRKNGTPILNNTSSSELSGLDLLCENLKVEIISGYSYEPHLIRLLQRVSVNLSKKNIKRTKVN >Sspon.02G0059410-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2D:92649050:92650252:-1 gene:Sspon.02G0059410-1D transcript:Sspon.02G0059410-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GSCHKWKGIKLIMLVAGVSVTGTMGFLACLGLCRQYHLQRRKGRTAAKKNSARALTYFRGEAVEVIELEQGTTGPRRFSYEELAAATNNFSDDRKLGEGGFGSVYRGFLEDLNLHVAIKRVSKTSPQGWNEFMSEVRIISRLRHRNLVLLVGWCYGDGDGDDLLLVYELVRNGSVDGHLYNPNKKLTWRVRYQIVLGLGSALLYLHQDTELRVVHRDVKPSNVMLDASFTAKLGDFGLARVIEDGRRSRTTAAAGTTGYVDPECLNTGRTSVESDVYSFGVVLLEIACGRCPVVTLPNGSTLHLVQRVWDLHEAGRVLEAADPRLDGEYSVQEMERVLAVGLWCAHPDRSQRPDIRHALNVLRFDAPLPILPAKFPAVSAHLQQPVANALFGSATSSCGAP >Sspon.06G0028330-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:5278854:5281287:1 gene:Sspon.06G0028330-1C transcript:Sspon.06G0028330-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIIKLQTEFEITKKDMELLRHQTKEVKNKPMHFRGKLGSGEERQQRSTMGKYSDGKDGGEAGGGGSDPRVAVCIDKDKNSQNVLKYATETLAHKGQTIVLVLVNTRAP >Sspon.08G0002350-1P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4C:71695785:71698345:1 gene:Sspon.08G0002350-1P transcript:Sspon.08G0002350-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RRNDFCKTVARNLILDLRNGTLDLGPGVTADAAIKSGEGNDGSSEDNADYGEKKRKHRRGPQKEIEPLSAAPKAHPISSQHRRIHTDIRRTLALVKKLDSEKDIMENILLTGDQGKSNVDKSYARSIAPVVIVQGLNTVKGLEGVELLDTLLTYLWRVHGVDYYGMSEMKHAKGFRHVRAENKSDSMAENISAADWEKKLDSFWEERLMNGQDPLVVLTAMDKIEAALVEVLERYVRKMRDEKCVWKYGCGAKGCEKLFHAPEYVHKHLNLKHPDLVSTLVSRVENDIYFQNYMNDPDAPGGKPLMQQTDKTRRRLDEQMFDASGVWGSHAPLLPMCAPSLVLIPVPGAGPYGPFVPAPPEIAMQMIQKGIPGPDSAQNRKPSVLGPMLPMYPSFPLGSRIYRSYEDLDAPMEEVSALDFRSL >Sspon.01G0038460-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:22774117:22775202:-1 gene:Sspon.01G0038460-2P transcript:Sspon.01G0038460-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDIDSSCSTPFASAPSSPGRPHAIGGYFFSAPASPIHHLLLSASCSASATPGAGGGRGCAGDAEFEFGGPGGPMISADELFHNGQIRPLTLPPLPDLDPGTDDDDDDCGSRAAPTRGRDLTPRSASVHRRARSMSPLRSASPRLKLINALVPAPDLGPAPDATGGTHGGEAAPPVTASSRSSSSSSTSSSSSAASSSARGSRRWVFIRDMLLHRSKSEPGSSSSAHSRDGAPGAPPAAGANKPERAWPFSPAWVARDRLAARLRPSRAPPATEATAGGPGGEDAARPRAQGRGRRRRSTTVAAAHERLYAAPNRAQAEEMRRRTFLPYRQGLLGCLGFSSRGYGALHGLTKTLNPVFSR >Sspon.01G0015680-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:51339472:51346008:1 gene:Sspon.01G0015680-2B transcript:Sspon.01G0015680-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVHGEVSDDEAAAAAVTVVGETVVPSSPPPASAAGQQPQPQPLVVGYALTKKKVKSFLQPKLLALARKKGIQFVSIDETCPLSEQGPFDIILHKLTSKEWQQVLEDYREEHPEVTVLDPPNAIQHLHNRQSMLQEVADLNLSNGYGEVCAPRQLVIMKDPSSIPDAVAKAGLTLPLVAKPLVADGTSKSHELSLAYVEASLPLLDPPLVLQEFVNHGGILFKVYIVGETIQVVRRFSLPDVNTYDLGNNDGIFRFPRVSCATNNAEDADVDPCIAELPPKPLLEKLGRELRRRLGLRLFNIDMIREHGRKDRYYVIDINYFPGYGKMPGYEHIFTDFLLSLVQSKYKRHLSGS >Sspon.04G0020230-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:71131476:71132035:1 gene:Sspon.04G0020230-1A transcript:Sspon.04G0020230-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MYMIDLQLTCFVTCISNSVASTIAMTRTSTTMKMQKILIFPLIVVLMLSSILVASRYVSEAEGNKDDVSGQATWFSCRVDAKCLFFNCLKSGACDRCCKSHGWVRGKCKALDCNCCIN >Sspon.06G0016130-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:70185446:70189293:-1 gene:Sspon.06G0016130-3D transcript:Sspon.06G0016130-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATARFC1 [Source:Projected from Arabidopsis thaliana (AT3G22950) UniProtKB/TrEMBL;Acc:A0A384KMJ2] MGAWMSRVWFLMFPAQEYKLVVVGLDNAGKTTTLYKLHLGEAVTAAPTIGSNVEEVVFKNLRFEVWDLGGQESLRTSWATYYRGTHAVIVVIDSTDRARINIIKDELFRLLQHADLEGAVVLVFANKQDLKDAMPPAEITDALSLHSIKNHDWHIQASCAITGEGLYDGLGWIAQKVAGKATAS >Sspon.02G0014710-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:42323720:42331438:1 gene:Sspon.02G0014710-2C transcript:Sspon.02G0014710-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At1g74600, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G74600) UniProtKB/Swiss-Prot;Acc:Q9CA56] MPRRPAADRLAHLPAALAGFVRARAAGSASSWCRFSYGNALAACAAASAPLAFAEQLYCAAWKDGLSRDAYICSTVVDLLAKHGRLGDALRAFEDGDRGSAVCWNTAISGAARNGEHALGVEMFRDMVRGSSCEPNSFTYSGALSACAAGAELGVGRAVHGMVLRRDPEYDVFVGTSIVNMYVKCGQMGAAMNEFWRMPIRNVVSWTTAIAGFVQEEDPVSAMLLLTEMLRSGVAINKYTATSILLACSQMSMIWEANQVHGMIMKTELYLDHVVKEALISTYANVGAVQLCEKVFQEVGTVSNRSIWSAFISGVSRHSLQRSIQLLRRMLFQGLRPNDKCYASVFSSVDSSELGRQLHSLVIKDGFVHAVLVASALSTMYSRCNDLKDSYKVFEEMQERDEVSWTSMVAGFATHGHSVEAFQVLRNMIVEGFTPDDVSLSAILSACNIPECLLKGKEVHGHVLRAYGGTTSINHCLVSMYSKCKDVQTARRLFDATPCKDQIMLSSMISGYATNGYSEEAISLVKLYSKSGNLDDCRKVFDEINVPDLVTWTAIVDGYAQHGSSQDALAMFNLMIRCGVKPDTVILVSVLSACGRNGLVEEGFKHFNSMRTVYGVEPVLHHYCCMVDLLGRSGRLVEGKNFIESMPVKPDSMVWSTLLAACRVHDDAVLGRFVENKIREENCDSGCFATMSNIRANSGDWEGVMEIRKSVKDCQIMRNNEREEGENRVEDHHN >Sspon.03G0000490-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:8096871:8100721:1 gene:Sspon.03G0000490-2B transcript:Sspon.03G0000490-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CBS domain-containing protein CBSX6 [Source:Projected from Arabidopsis thaliana (AT1G65320) UniProtKB/Swiss-Prot;Acc:Q8GZA4] MAAVFFHHVVGDLTVGKPEVAELHDTDTLDDAARAIAASPEGAVPVWRPRAAPDDPPSGARFIGMISALDIAAFVAASGVGDRAMRAVVGEVVQPNPALLREIDPGTRLIDALELMRHGVKRFLVRKSGSWKGITKRFSVLYNGKWLKNMESTSPNAASSSSMPLSPPSVLLPIKEDVLRFLIGCLGALAPIPLTQISSLGAINPQYSYVEASAPAMEAIQKIPQDPCAVAVVETTPDGTRKILGDISTYKLCMGTGKPVGWQFVIGADENGSMPISVFPEPPISPSSPVEEISPGRSPRAKKFSSRSIGFLNNAQASQMSAWRTRSAYHRGRSTPLMCKTTSTLAAVMAQMLSHRATHVWVTDAESEEDGVLIGVVGYTEIFNAATRSTCSSPTTS >Sspon.07G0007300-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7B:16905401:16905771:-1 gene:Sspon.07G0007300-2B transcript:Sspon.07G0007300-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VAAVIAVVYFRVGAALNAPTTRRAKIAGPDQEECYLLKGGIFVRAAVLSLVATSLGILSCVLLRLPAATDAPPEQGQHAVGLPQWPAQGFEHPYPAQGYGQASDPKFAPPPSQGQANGQVYV >Sspon.03G0023660-4D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3D:56982343:56984303:1 gene:Sspon.03G0023660-4D transcript:Sspon.03G0023660-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNLQSFASAMRRRLLSETDNLPALLGNDAKSSDPENPKEIQRPVDVIPLGSGSFPAFPGIYGNALTPLLPEDIDATAVQQLSTEVAQSTDVDTSGTKYSKWAYIITIPAVILLIGLIVLIILVLRKRGRASVAPWKTGLSGPIQKALVTGAQKLNRLELEAACEDFSNIINTFPTCTVFKGILSSGVEIGVVSTVISSSKDWSRSAETCFKKKIDTLSRVNHKNFINLLGYCLENEPFTRMMVFEFAPHGSLSQHLHVKEFEHLDWAARMRVIMGIAYCLQYMHHELSPPVAIHDVRSDTTFISDDYAAKIADVGVWNELAAKAKAGKEDGSSRSEAPPDLPSNVYCLGALMIEIISGRVPDPDDHKPICSWASEYLTDKNYNKLVDASLKEHKDNELEAVCEVIQECIDADPTRGHR >Sspon.01G0038470-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:22694708:22703362:1 gene:Sspon.01G0038470-2C transcript:Sspon.01G0038470-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAPCCDKVGLKRGRWTVEEDQILANYIEEHGEGSWRSLPKNAGLLRCGKSCRLRWINYLRADVKRGNISKEEEDVIIKLHATLGNRWSLIASHLPGRTDNEIKNYWNSHLSRQIHTYRRKYTAGPDTTVTIDISKLQSADKRRGGRTPGRSPKSATNTTTSSTKTNRSKQPDPEPEPESGDAKGASSSPGTAATSAASSPRHSDGARSAVVDPDPNNQPNSSSGSTAEGPCSEDATGPWELDPIELGDLWEAESEIDALMSIDAPLEGFDAVGGEAQVDDLLDMDMDWDGFAAHLWGGPEQNDHRAELQQAAEPQAAAAACTPDEHEPRAAAAAPAACLPDEHELEAFETWLLSDSF >Sspon.04G0000310-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:2177706:2190182:-1 gene:Sspon.04G0000310-3D transcript:Sspon.04G0000310-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPGQSGTEQTSPRGNDWEVVQLTASAYAAAPAPRRPEPSEEAEAKKYGAKGDDLAAGLLMSGHFSVSQSEVESLLVGADSKEPPKELGSPDAVSNEGGDEKYQETCKHKLEDDLPSIPSFHKGKDVSLGDMAFDDGKALKGMSLVGEEPVGFSSPIFSSIEAENELSWSATESRNEKKTEEPTLHNLNPITGSSKVVSSDEQSEPAGSGPREAWWKKKLFSLYKNAKESHNFWPIVATAAALVGLAYLGRRWHKGKLQFQPVKIPPSSNKESGGEMRPVHNSVDTVNAAAVAIVTAESRTQPPAEPVRKMEPGQSGTEQTSPRGNDWEVVQLTASAYAAAPAPRRPEPSEDAEAKKYGAKGDDLAAGLLMSGHFSVSQSEVESLLVGADSKEPPKELGSPDAVSNEGGDEKYQETCKHKLEDDLPSIPSFDKGKDVSLGDMAFDDGKALKGMSLVGEEPVGFSSPIFSSIEAENELSWSATESRNEKKTEEPTLHNLNPITGSSKVVSSDEQSEPAGSGPREAWWKKQLFSLYKNAKESHNFWPIVATAAALVGLAYLGRRWHKGKLQFQPVKIPPSSNKEKINDAVGPLNRFKDILVAGNHPSHGIHGHARAS >Sspon.05G0024200-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:13031300:13040842:-1 gene:Sspon.05G0024200-1B transcript:Sspon.05G0024200-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRDGKETRRRSRKRSRDASPSPSSDSDSPSSASSPSSSHERRSRSSKRNRSSSSHRHRHRHSRKDSGRSRSSRDEDRRRPHRRRRDQERKRHGGDGGGSSDTQSSEEDRAEEAREIVRDILRELPAVAEELRQLLQMIDSGEGIDISGISDKPLVKRLKKLFRSLRLKESASGAYLLPPKNVPTLGIVGSLLLESSRLSDNKSGKSVSPNREEPPQANFDVQNKDKDDIISEEPKVIDVEEEPPKRRIIGPAMPSRELLAAAAEMTEALRCRDAELEADDDLLIGPPPPAVVAEAASANEAERFEEVTRILAADTNSPYDVLGRGAIDEKIKKKEEMEQFEIELKAMREAAEWRRLQGVSLAGDDELLAGPKESQGPKRDEWMTTLPPERKAGVPMHSTKSFSMNGKEGRGDTSV >Sspon.05G0007630-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:23689249:23695616:-1 gene:Sspon.05G0007630-1A transcript:Sspon.05G0007630-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Trafficking protein particle complex II-specific subunit 120 homolog [Source:Projected from Arabidopsis thaliana (AT5G11040) UniProtKB/Swiss-Prot;Acc:Q9FY61] MEPGLSIESGSAIRVAVLPVGGPIPPPRLREYAALVARHARVDLASLRTYYAEHQKSPFQHQPWETGCLRLKFVLGGCVPSPWEDFQSSRKVLAVIGICHLPSSPDLDRVAADFIDAARSYPSALANRCFAFCPTDAQLSGKKRDDIIMFPPSDQQSLELHMLTMIQDLAASLLMEFEKWVLRAESTGTILKTPLDSQSSLGSEEVIKAKKRRLGRAQKIIGDYCLLAGSPVDANAHYTTAIELARLTGDVFWHAGALEGSVCALVVDRMGQSDPVLEDEVKYRYYTIIQLYRRATLQDNAQRVSPVSFELEAALKLARYLCRQELAKEVSDLLMGAADGAKALIDASDRLILYIEIARLFGTLGYKRKAAFFSRQVAQLYLQQDNAYAAMSAMQVLTMTTNAYHVQSRKTMKMNHDLSKEPRAGNTDSGKVHPQSIVSLFESQWSTLQMVVLREILMSSIRAADPLSSWSAAARLLRSFYPLITPAGQSGLASSLANSADKLPTGTRCADPCLPFIRLHSFPLHPSQRDIVKRNPHKKEWWTGAGPSGPFIYTPFSKAGASGTSKQEVSWIVGEPVQVMVELANPCSFDLVVESIYLSVHSGNFDAFPVSVSLPPNTSKLVLLSGIPTKVGQISIPGCIVHCFGVITEHLFKEVDCLLLGAAQGLVLSDPFRCCGSSKFKSVNFPSISVVPPLPLLVANVVGGDGSILLYEGEIRDVVITLTNAGTVPVEEANVALSGKNQDSVISIAHSTWKSALPIKPGGEVTFAVTLRAWHLSSADLETDGSRSPANSRRIAREGINPFLDIHYAGPAANLENGDVSLPPGRRLVVPLNICVVQGMRLVRARLLSMEIPARFTETHLKPVSGKDINLLKIDPYKGSWGLRLLELELFNPTDVVFDVDVAVHLDDADVDQEVISEGDAACHKTRIDRDYSARVLIPLENFKLPVLDASFFVKESSSDEPLGSRAAAIAERNAKAELNASINNLISKIKVKWHSGRNSSGELNIKDAIQAALQASIMDILLPDPLTFSFKLAKNGTVINDDSSKDSGSVLRCKDPISAHEMTHMEVQIRNNTKEIIQMNLSISCKDVAGENCFDENSATVLWAGVLSDIHLEVPPLQEVIHPFSMYFPVPGDYSLQAASVIIDATDVLRARAKAESPDEPILCRGSPFHIRVVGFLVTVMSCTVYKHSEYCFKHRN >Sspon.01G0020040-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1A:74482318:74482731:-1 gene:Sspon.01G0020040-1A transcript:Sspon.01G0020040-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKAKIAVVIVILALLQVSCATARQKQKPGDGSGGTSAVMTVNGFEKGGTGGGPSECDGRYHDDDDLIVALSSRWYAGGKRCRNKIRITSKDTGKTVEATVVDECDSNRGCKNNIVDSSPAVWKKLGLDTKVGEVPVT >Sspon.03G0037230-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:58235378:58235743:1 gene:Sspon.03G0037230-2D transcript:Sspon.03G0037230-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRPPSSALAVLLVAAALAGAASAATLQCVQVAQLMAPCMPYLTGAPGTTPYGICCNSLGVLNQLAATRADRVAACSCVKAAAAGFPAVDFARAAGLPAACGLSISFTISPNMDCNQYVR >Sspon.08G0027060-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:39186062:39189008:1 gene:Sspon.08G0027060-1C transcript:Sspon.08G0027060-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSSSPAPLWVVLGRVTLVQHDSIKDPGDVSLKLALPPRASTLTVPMSVHPKPDYDDTDRHPYVVAAAEAGLLLHASNWPFVGFDLDRDPPGILLVARDFLRGAGPGEAIAASVVRVPDRDSPYQAGISNIKNTGLVSLPGSGGDDYVVAELYIAATDDEKEDGDDDSATLLFFRSRADSWVQKDLRCPSMSGRRWMWSSHDVIAHDGKLWWVNLVWGLLGCDPFADEPVLHHVALQETYPIGHTAEALQDIERRRMVRVSQGKLRFVELACPRVGHKEETLVVVWTLVFGRGTFTRWQHHRVASLASIWASDSYRATGLPAQVPALALLHPSNPDVVYFYLEQYLFGVNVAQSMVVDFVRRPCKLVEVVAGHKRPPPVSWRHVLAWELPCSLAGGAGLGAHRVQPMAKAGSARTAGDKTTTPLPRYPLRSSSQSLLLLRSPSMDATPPTPHQNLAAPVRGPGQEWGHWVAMLHAGQRESARLHARQRNVLEKRRTDGGVTAEKAHAEKIHPII >Sspon.01G0014810-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:42594522:42597213:-1 gene:Sspon.01G0014810-1A transcript:Sspon.01G0014810-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GDDAAGLLRRAARRLRRGAGLFSRELPRSAGRQLLRRQVRQLQRGGRHPRRRQGPPRVGQEDGRRPPPPAVPRLLSPVRRAELPSATGQKGRHGVTSVNGEHSAGPQRRYLHCYRSIRTEGHQRPRDGQLAGAHTVGVTHCSVIHDRLFNYNGSGLPDPAMDPMYVWILTTFACPKGQAYDNIVYLDDPSSILLVDKSYYSQIMKRHGVLSVDQALGDHNATAWMVNFLATTDFFPSMFSHALNKLAALDVLTGTAGEIRKNCRRT >Sspon.05G0039900-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:81602564:81603451:1 gene:Sspon.05G0039900-1D transcript:Sspon.05G0039900-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHLEHGFATFGLILKTGWRVNEIVLGQLLKGLCDAKRVDEAVDILLRRMPEFGCTLDVVSCSLLLKGFCNEKRAEEALELLHMMANDGDGSCTPDVVSYSTVINCLFGEGQVEKAYNLFLEMLDQGILPDVVTYTTMIDGLCKAQAVERAEGVLQQIIDKGVKPNIQTYTSLILGYLSTGLWKEVVRMLEEMFARGLLPNVVTYAVLLDYLCKNGKCSEARKIFDSMIRKGIKPDVTIYGILIHGYASKGALADLPDLLDLMVANGISPDHYIFNIVFCAYARKAMIDEAMQYI >Sspon.08G0012870-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:51424530:51451788:1 gene:Sspon.08G0012870-2B transcript:Sspon.08G0012870-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLVERLRVRSERRPRYALDESDDDLPLRAVAGKGKDRQNDAPAERIEREDAKEEACQQCGKSDNLVSCSTCTYAFHRKCLVPCLNITSDKWSCPECVSPLTEMERILDIEVLEAPREDSSSTEPRSKKMERYLIKWKGLSYIHCSWVSEKEYSEAANIHPRLRTRLNNFRRQKEAMKKKQKDLDIVAIRPEWTTVDRILASRKNSVGEREYYVKWNELTYEECTWENESDISVFQPEIERFNEIQSRRKKSGDKGKATREPRQFKESPTFPSGGTLHPYQLEGLNFLRYSWFHNKRVILGDEMGLGKRCLYCKDKAFGSNVVSGFEKTMTIAGKTIQSIAFLASLFEDKFGPHLVVAPLSTLRNWEREFATWAPQMNVVMYFGAAASRDIIRKYEFYYPKEKLKKLKKKKSSPSNEEKKQSRIRFDVLLTSYEMINMDSNVLKNIEWECLVVDEGHRLKNKDSKLFGQLKDYNTKHRVLLTGTPVSPLTEMERILDIEVLEAPREDSSSTEPRSKKMERYLIKVSEKEYSEAANIHPRLRTRLNNFRRQKEAMKKEAERSGEDIVAIRPEWTTVDRILASRKNSVGEREYYVKWNELTYEECTWENESDISVFQPEIERFNEIQSRRKKSGDKGKATREPRQFKESPTFPSGGTLHPYQLEGLNFLRYSWFHNKRVILGDEMGLGKRCLYCKDKAFGSNVVSGFEKTMTIAGKTIQSIAFLASLFEDKFGPHLVVAPLSTLRNWEREFATWAPQMNVVMYFGAAASRDIIRKYEFYYPKEKLKKLKKKKSSPSNEEKKQSRIRFDVLLTSYEMINMDSNVLKNIEWECLVVDEGHRLKNKDSKLFGQLKDYNTKHRVLLTGTPVQNNLDELFMLMHFLEGESFGSITDLQEEFKDINQDKQIEKLHGMLKPHLLRRFKKDVMKELPPKKELILRVELTSKQKEYYKAILTKNYEVLARRNGGHTSLINVVMELRKLCCHGFMIDEPDFEPANPEEGLRRLLDSSGKMQLLDKMMVKLKEQGHRVLIYSQFQHMLDLLEDYLSYRKWSYERIDGKISGAERQIRIDRFNAKNSTRFCFLLSTRAGGLGINLATADTVIIYDSDWNPHADLQAMARAHRLGQTSKVMIYRLVSRGTIEERMMQLTKKKILLEHLVVGRLTKANNVNQVLLDRDQVDGDESVEDEEEDEFLKGFKVANFEYIDEAKAQAEREEEARRKAAAEAENSERLNYWDELLKDRYDVQKVEEHTAMGKGKRSRKQMAAADEDDIDLSSEDEDYSFEDDVSDNDTTLQGNVSGKRGQYSKRKSRNVDSIPLMEGEGRTLRVLGFNHAQRAMFLQTLNRFGFQNYDWKEYLPRLKGKSVEEIQRYAELVMAHLVEEINDSECFSDGVPKEMMRVDDVLVRIANISLIEEKVSATGPGKITNIFPNYLLYEFQGLSGGRIWKAEHDLLLLRGILKHGYARWQYISDDRENGLFEAARRELNLPSANEIIGAQSNNEANGNLEGAQEGQVNSTSMSHYKEIQRKIVEFLRKRYHLLERALNLEYAVITKKIPVPDDITEQGVPAGHVPLLPDISELLRELPNLEPISTNEVAPEGTAGQSQVPHLYNKMCGVLEESGGSAISSFFGDKSASSSLANSLRQFETVCENAVEALRPQQNGTASAIKEEVVDAATKAAAVPAQDSSHEAANGQSSTAKVDMEIDG >Sspon.01G0060380-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:78003773:78006741:-1 gene:Sspon.01G0060380-1D transcript:Sspon.01G0060380-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding REEEEEEEEEAAATEEDDAARRRPEGVMRRPAVFVRQAAPAALAILFGALLLMSFVMDDVKRAALPAAIGGRRMLGRGAGGQRTLEDFKADDPFQDSKRRVPNGPDPIHNRLLPLLIQFQILVPSPGEPASQDDRRAEHDVGSAHAEAWKLPIPKRPATCWEQQANRRCIALHGGRGTDKRCIVENGMSKSDVECCARLPLSSDWMSGYKFARSSRRTPEIDSCKHLTSSKAHQMRSVSQ >Sspon.06G0019430-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:87320551:87323190:1 gene:Sspon.06G0019430-2C transcript:Sspon.06G0019430-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ACATPTRATSSSTPPRAPRSASRTRTPSCPSSTRRSSASSPAPAAPAATSSPSSCLSWAPTRPTSAASTPTSAGSGGPTTPGASSPPTPSPTTPCSASSRCPAPACSRAGRPGGCSTSSAMSGSAPAACASSTPTAGEAPLTRSPSGRCATRTPRSGRWSTRPPSPTSGPTTPTRPLASQRRLPCSRSSTPTTPPSSTSSSRTTCSPSTCPPARWSTANATTWWRLPASTALPTDSSAIGSCRERSPRPGNWSSDIGLTEPTEAAPPSQPIHGGLPIGGCYSL >Sspon.03G0004270-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:20477073:20478944:1 gene:Sspon.03G0004270-2B transcript:Sspon.03G0004270-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AMELASTDLAALGAADLVRVSASIPRAAPRTFALLTACLVFPLSFAVLAHSLFTHPILRRIQGATHNELAQWLRLFAYQFLYLIVLFTFSLLSTAAAVFTVASLYAAKPASISASLTALPPILPRLLRTFLWVSLLMLAYHLVFALTVLLLVVVFIPNASESAPPSLSFVLFLVVVVFVFLGIHVYISALWHLASVISVLEPLCGLAAMAKSKQLLQGRTGTAATLVVSYFAVCGVTSLLFRAAVVKGRAEEGSFGLALPGRLLVGAVLVCVLVCVNLLGLLVQSVFYYACKAFHNQQIDRTALYEHLGGYLGRVGLRAFVSVKLHLVMVW >Sspon.02G0053800-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2C:108207156:108207503:1 gene:Sspon.02G0053800-1C transcript:Sspon.02G0053800-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVREIHRISLLSLQENASLPTACPGRQRHKPSRQRLCRPQSHVAVSKEPSAKPGSAKADLPTATWHTVGKAFANCHASSRHSHVAPSALTAGFADCWFLGSRQRIFFQKLFAD >Sspon.06G0012670-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:54791412:54792305:-1 gene:Sspon.06G0012670-1P transcript:Sspon.06G0012670-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRFLHQLLAVVLALFVSPARSGDWLPATATTALFNDGASCGQCYVIICDSSKTGWCKPGNNWVVVSATNFCPPNWDLPADGQLPRRLVRPATAPLRHPAWENIGIYSAGIIPVLYQRVKCWKSGGVRFTIAGFNGFYMVLITNVAGSGSIQSMAVKGNSTDWIPMYRNWGANWHCLSGGLVGQGLSFALVSTGGQNLVFKDVVPAWWQFGQTFTTYQNFDY >Sspon.04G0003040-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:10300298:10306437:1 gene:Sspon.04G0003040-3C transcript:Sspon.04G0003040-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lysine-specific histone demethylase 1 homolog 1 [Source:Projected from Arabidopsis thaliana (AT1G62830) UniProtKB/Swiss-Prot;Acc:Q8VXV7] MEEGSKAQLPPPSHTEAMEQPPPVPMDQDEVREAAETMEEEEATAADVSDAADPMEDGEAAGDSAAAAEPMEDDAPTSSPTPSAPSATAAVDDSTIARKRRRPGVRVLRGSSSSSSHTAAHLTGVPRRRGRPPTSSSLRLARELDSEALIALAAGFPADSLSEDEIVAAVLPRIGGAEQANYLVVRNHIVALWRSNPLSPVAANAALASIRAEHAPLVAAAHSFLSEHAYINFGLAPAVLSLPPQPPPSSPPPSILIVGAGLAGLAAARHLIAFGFKVAVIEGRFRPGGRVFTKTMRSSAVEYPHTVAAADLGGSVLTGINGNPLGVIARQLGFPLHKVRDKCPLYLPDGRPVDPDMDARVEAAFNQLLDKVCQLRQVIADGLPHGVDLSLGMALEAFRAAHGVAADHEERMLLDWHLANLEYANAAPLADLSMAFWDQDDPYEMGGDHCFIPGGNSQFVRAFADGIPIFYGQNVKRIRYGRDGVMVHTDKQAFCGDMVLCTVPLGVLKKGDIKFVPELPAQKKEAIRRLGFVQFEQASPMENVEKVLETLRKIFSPKGIDVPNPLQAICTRWGTDRFTYGSYSYVAIGASGDDYDILAESVHDRVFFAGEATNRRYPATMHGALLSGYREAANILRAARRRAKNVYSPEKMDINVEVKVGVNGEVKDTVKDSCIDLDDLFRSPDAAFGGFSVLHDPSTFEPDSVSLLRVGIGARKLGSGSLFLYGLIMRKHVVVLSAIEGDEQRLSTMYRDFGTKLVGLDSLAFILGELDNDLKSGDPSLTTDVEDRFDFYKSQCACCPICHL >Sspon.02G0051360-2D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2D:68852278:68852604:1 gene:Sspon.02G0051360-2D transcript:Sspon.02G0051360-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMLRWCCGHTRDRVRNDDIRDRVGVGPIEEKLIQHRLRWFRHVQRRPPEAPVRSGVLKRADNVKSGRGRPKLTWDESVKRDLKEWNISKDLAMDRSAWRLAINVPEP >Sspon.07G0021300-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:2077747:2078329:1 gene:Sspon.07G0021300-2C transcript:Sspon.07G0021300-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGGGSSSSSRAWTQRQNKQFECALAVYDRETPDRWHNIARYMGGAKSADEVRRHFEQLVHDVTQIEAGRVPFPRYGYGSAPPVAGGGLDDMAATSPYSNKQNGAS >Sspon.02G0032660-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:121209311:121213480:-1 gene:Sspon.02G0032660-1A transcript:Sspon.02G0032660-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVDAESAAPQASFAPEHFGNGADTDTDTDATKMQAPAPPTLPSSKDGRSLNGAPESESGGILSSDAEDNKGHERRQSQSRSKSPKPRREKERRHKDDHHSSSSKDYHSKNHSRTSPYSRHQSEAHSRDQHLRSRERGDDTNGSRASLRDGSDRESNDRNGKSGRHTTRIQESERERSSSRGIHDRHGGRHSDRRGSQERYRDDRIDRDKIDSLEATRRHRERSRSHNRSDLRESTRLRDQSRERERRSGSSRHRDHDSKRDTSKDRHRESDRVSSAHERERGRDARDREWHRVKGSETHRAKEGRDKVSDSDRHRDSTRSKYSVSDGYKERTRSGEKGRDVDHKNRKFEEMKENSLKEEDEEEYQEKIEQQLAMQEEDDPEKIKEEARRRKEAIMAKYRQQQSQKQDMESKPSSNDEEVRAMDGNETIHQKDDNDSSSTGNDEAENKHDSSELFDDKTDFTVGKSPAHNDASTGVGAFTDERTIGVSGLGEGSPKSERSADMFCDDIFGESPAGIRKSGKDDGLHIERNALHDNWDDADGYYTYRFGELLDGRYEIIAAHGKGVFSTVVRAKDLKASKDDPEEVAIKIIRNNETMYKAGKQEVSILEKLASADREDKRHCVRFISSFMYRNHLCLVFESLNMNLREVLKKFGRNIGLKLTAVRAYSKQLFIALKHLKNCKVLHCDIKPDNMLVNEAKNVLKLCDFGNAMLAGMNEVTPYLVSRFYRAPEISEFFHLYLESYDHPLDMWSVGCCLYELYTGKLSVLVDVGLREPWLKRNR >Sspon.03G0012120-3C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3C:50017263:50017810:1 gene:Sspon.03G0012120-3C transcript:Sspon.03G0012120-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLPASHQKKADAAAKCSACFFTNRFYERAEKREARAEVLFSASSPTACTCTPLSIRCSSCPCASPDVSDAMALLLGGTTILGQTCDELGQRVSISGCATNSSGVHWSWQLDDLRLWCSTNQSAMFGAAQEHGFPTKLTWKTALVEWALGLDFRERVSIHRIHGCKIGGRDIGRGGDGKKQ >Sspon.01G0045560-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:84472880:84473622:-1 gene:Sspon.01G0045560-3D transcript:Sspon.01G0045560-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGVVVLLNCFVSPFGNRVRIALKLKGVAYEEKDENLAAKSPLLLSSNPVHAQVPVLIVDGKPVCQSLVILDFIDDAFSAAGKPLLPADPYARAHARFWASYVDTKLNEAGVRVWRSPKGAAAAVEEAKKDTVAALKTLEAELGGKPYFGGDALGYVDVALVPFAPWFLTYERFGGFSVAAECPDLAAWAERCARENACVAESLPEAEHVFQFVCGMRK >Sspon.04G0005530-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:15590847:15594417:-1 gene:Sspon.04G0005530-3C transcript:Sspon.04G0005530-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At2g15820, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G15820) UniProtKB/Swiss-Prot;Acc:Q9XIL5] MATAPPCTCACGAPSPSLRCPLALPLPFASPHPAVRLATPPLVAQRRLAVSRLRAASALEALVLESDDEDEDEDEETEGAGAGLFQGEEWTATADERDAVRSPELEVFELEELPEQWRRSRIAWLCKELPAYKHSTFTRILNAQRKWITQDDVTYVAVHCLRIRHNDAAFRVYSWMVRQHWYRFNFALATRVADCLAREGKVEKCREVFDAMIKQGRVPAESTFHILVVAYLSVARGRCLEEACTIYNQMIQMGGYKPRLSLHNSLFRALVSKTGGTAKHNLRQAEFVYHNIVTSNLAVHKDIYAGLIWLHSYQDVIDRDRIKALRDEMKRAGFEESTDVLVSLMRAFSKEGDIEETEATWHWLLRSGCELPAQAYICRMELYARTGEPMKSLEMFKEMKNQNIPPNVASYHKIIEIMAKAREIEIAEKLLDEFVESDMKHLMPAFLDLMYLYLDLDMHEKLEQTFTKCLGRCRPNRILYTIYLESLVRIWNVSKAEEIFGEMHKNGMIGTNAKSCNIMLRGYLSAGDYQKAESIYELMCKKKYDIPVDSLEKLQSGLLSSKKVVKPPKPVSMKLDEEQREILIGLLLGGTQIESHAQKGVYTVNFKFQEDSNAHSVLRVHIHERFFEWLPSACRSLNRESEIPYRFSTIPHAHFGFFADQFFQKGQPVLPKLVHRWLSPRVLAYWFMFGGFRLQSGDIVLKVSGGNTDGVERIVNSLQTQSLTCKVKRKGRFFWIGFQGSNADSFWKIVEPYVLDSFASSTEERHNVGSDGLQDIDTYYEDDMQRHDRESEE >Sspon.03G0013890-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3A:40271689:40272276:1 gene:Sspon.03G0013890-1A transcript:Sspon.03G0013890-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAVDDYDDDDALALFDFHASRAGVRGLVESGVTTVPPPFLAPAAWNSSAATEVLVPPTVDLSLPRSQAVTLVGAAARSCGFFQVTNHGVPAGTIESALSAVRAFNEQPLAARSAYYSVSTAGPAIYTTVPIPPRNAGQPANAPLLPWRDTLVLRFVHGKSHLNHLPAAFLDTLLEYRRSLTALGKVITGLLSEAL >Sspon.06G0008020-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:36089571:36111676:-1 gene:Sspon.06G0008020-2C transcript:Sspon.06G0008020-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGDKPPSLDADVDMGDLASLDASAATSAAAAGAPSTRFRPRAKGKPRPKPEPRKPVPVAVPKLEPEPVPVREPDVNPAVPQEDAMEVDRAVEVDGTGDAPGLGDGADEDFVVREIDVYFNPKPLDDDAKLYIMQYPLRSCWRPYELNEICEEVRVKPLSSEVEVDLSVNTQSENYDQEAPLRLTKQTLSSSKAADVTDYAVGVLKGNLVHLNHIDAVVQMRPSMSHVISGRAHTKQASQPREMNGGTSGSMDSSRKQWISLAYQPAGSNIATKYHDKMISNEGAPIDFTMSTEMLLLPLEERLKKWFTEVSEVNEFDALKHLAPTYSEEEILKVLPKYAYLVRGLWVCKSSLLFDDGYASQRDRVLLEFTKRDSIPLNIIDAWIKVDDPKRKRILSPLCRRRVILKDYKFISADLSFLKRYPHVVNEQECAWSAREMTIRESQEMCTTVARKTKNSARPNLTSKGPHPNMSKGRDGPSQGSDDLVLSVLGTVFTANKVRSMQAIVRDLRQLAAKYASNRKDGSKLQALSNAAKSCASLPHDELNKSICQVAVPVHSVFVAKHEDRGALRNIFILLFKHKEPNATLTKQEILAAAAKHIKREITDREYHQVVTEICVSTERLQLKNGDEP >Sspon.08G0025620-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:7810029:7818037:-1 gene:Sspon.08G0025620-2D transcript:Sspon.08G0025620-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPLTDILFLHGPTAKLNLTLKLSAFFAFIALISAICLMFQTFKLKVVVKPEQFIPKSQLRASKILFGTAVGSLFSTCICITYSLLPKAYYFLPLAFLPSFLVGGFHFLYREDDTGNGEISPEQSKARKKELKRATQLTLSLVSMSFSGFIGVLLAIYHKADSLGAAYSYVKVTVYLLLGGSVAGMFALLLCRLLSSNDNHRSRSQQLGTTWQRAILAAANIVMAAMLVSAVLMIAETILHGLLAGAMFPVIAGAAAWLLVEFCSVADAHGGRTEEDGKAAHGTMYAIAVAVASLSFGAILAIFAGLLGGTVSKEQLKACTFLLASAFVAAVSLGVVTTGTARADKTKRRWSVHICATMFLQRHISYINGVLGQPAKELRKNISSYSAFIAEWFTHEVRFIKSELTAATGALALMQLQEDLREHLNAQCRYNDDELETYMLLHKSAMVDSLWKLSVGDYQLTRVPGKTNSELQLKDSKLYAKYC >Sspon.01G0056150-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1C:86429431:86430700:1 gene:Sspon.01G0056150-1C transcript:Sspon.01G0056150-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRQHGKGRAPSMAAHAADGLLAGAALVHLEARLQRRAGSPIHHFLLSTFATPRSDRNARGGRAAGHRLVPLVLVLLHVVLLVGSSAHAHDAPGAPAAGANEPEQAWPFSTVATAHERLYTAPNRAQAEEMRRRTFLPYRQGLLGCLSFGSHSHGSRRSRRQYPGPGAASSAASAARQSGQAATSERGRSVSRAGPRSAHRRDEHSSASGMLGLTEVGIDGRALICLGRARFDGGGGN >Sspon.08G0009090-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:40018674:40020613:1 gene:Sspon.08G0009090-1A transcript:Sspon.08G0009090-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQQVQTVSCRAIYVPSLWSGNATRPTAMEGTVLCTANYAPLTPISFLERSALVYPDRPAIVSSGGRGSAPRSWRETRGRCLRLAAALAALGVAGHDVVAVFAQNIPATCELHFGIPMAGAVICALNSRLDATMASVLLQHSEAKVIFVDYALLDVAKQALSLVSKTGAKPPLVVLIKELLDESSPPDDGSRAATANEKAFHHYDYEALISSGGSPDFRIRWPADENEPLALNYTSGTTSRPKGVVYTHRGAYLNSIATVIMNEMVGTPPVYLWTVPMFHCNGWCLVWGVAATGGTNVCLRKVTAAAVFDSIARHGVTHMGGAPTVLSMIVNATAEERGPLPLPRRGGRPVIVKAGGAPPPPQVLLRMEALGFFVIHGYGMTETYGPATFCAWKPEWDALPPEQRARLRARQGLHHLGLEVDVKDPVTMRSVPADGRTMGEVVLRGNTVMSGYYKDAAATAEALAGGWLRSGDLAVRHRDGYVKIMDRSKDIIISGGENISTIEVEAALFAHPAVAEAAVVARPDEYWGETPCAFVTLRDGADAVGEEDVIAFCRARLPRYMVPRTVVFVPELPKTATGKVQK >Sspon.06G0009180-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:49222150:49233770:1 gene:Sspon.06G0009180-1A transcript:Sspon.06G0009180-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWRLQTPLPGSLKWTVALRAVLVKIVRWQMHIITATVKTCMVNTTRAPPNLYRLSSNERFLLSSRQAGCIIFGANMFSTGLQIGHHVVTGLSWSFEWSGRGYLPCHYKGDMTSINASTSWATKLAGSPIRASTKAPTIRLSCAVAFRLNQAESGVPISKSGLLGASEGEELELANGKTSEVGGNWLSSLSLAPSNLATLARLEYRSHDKLFSLEFVEPLVNPCMLLKGKTLKKYSVEGLRPETRHLLSTNKLKKFPAGPALKNLHGTLRPSCNF >Sspon.02G0049720-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:42588161:42593852:1 gene:Sspon.02G0049720-1C transcript:Sspon.02G0049720-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRHLFYHCHLKCHAVMPAVAATIHLVVANFGTSPTLERSRKQRRANLQNALRVLDLVPSKHHHDQEEVPSHHRLINGCMGDILGVQSRLHAMRKGKESFANSSSNQAQPERSNIVSNNTSVYPSITRLTKEDMFMQIVELHRRGQISSDASILASALSYCADGKTLTAGVQFHALLVKVGYVSSIPIGSSLISFYSRCSQLEIAHRVFQNMTAKNTVTWTALISGYAQDNQVEPCLHLFALMRRSVCKPNDITFATIFSVCTNHAFLVLGKSVQALQMRMGFDSYVHVSNALISMYAKCGSIGEARAVFESITCKDVVSWNSLIFGYSQHGLAEHCLGLLKEMEGHIIPDAISFLGVLSSCRHACLVAEGRRCFRAMIEHGVIPEIDHYSCMVDLFGRAGLLDEAWDLIQTMPMPPNGVIWGSLLTSCRVHGSISIGIQAAEHRLKLEPSCAATHVQLANLYGSIGCWDDVARVRKVMKERGLKTNIGCSWIEVGNKVYTFTAENRSKSQSHMDHKYDVLIEGLDWDDPEHIKLSFNKNPVLMRADQRREQAS >Sspon.01G0011610-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:38165040:38168064:-1 gene:Sspon.01G0011610-2B transcript:Sspon.01G0011610-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MWCAVTGGRGFMARYLVAALLRSGDWRVRVVDLAPTVVLGTGEAEDLLGAAIQDGRASYIQGDVRDLAQITEGTKNVIEACIMCKVKRLIYTSSSGVVFDGVHGLFYADESMPYPFKFVDAYTETKAEGEKIVMKANGTKGLLTCCIRPGNIFGPGGKNISMLASSRIWKFMFILGSGKNYDDFVFVENVAHSHICAEKTLSSEEGAKIAAGQ >Sspon.03G0015020-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:42007437:42009506:-1 gene:Sspon.03G0015020-1T transcript:Sspon.03G0015020-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTKRCCLFFATLLAALLSVRAQLNFGFYNQTCPSAETIVQQTVAAAFGNNSGVAPALIRMHFHDCFVRGCDGSVLIDSTPDNTAEKDAAANNPSLRFFDVVDSAKASLEVQCPGVVSCADILAFAARDSVVLTGGLSYQVTSGRRDGRISLANQTSDLPPPFFNATQLVDNFTKKNLTLEDMVVLSGAHTLGVSHCSSFAGIGQRGDRLYNFNGSADGIDPALSKAYAFLLKSICPSNSNQTFPNTTTFMDLITPEKFDNKYYVGLTNNLGLFTSDAALLTNATMKALVDSFVSSEATWMSKFAESMVKMGEIEVLTGTQGEIRQNCRVINPANAAADVLGRRSGSSGFTGVAAS >Sspon.06G0010130-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:59807324:59810418:-1 gene:Sspon.06G0010130-1P transcript:Sspon.06G0010130-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding NNKHQSKGSSVQGQHRKYPAQFPINALAGSVTSHSHHPNRAREHHQREGSARARGLLLFHAPFPLRDSAASRVHLRGAQSVPGAGAAPPIRRRSAALRKKKVATVVDPRKQSWILMSHSQEMTSRKPPGLRLLGGAGSLRTYQTLVLVLTFFAYTCFHMTRKITSIVKSALDPQTKVGFSHWGRLHMSKSNALNIGWLPFNTVDGSALLGEIDVAFLAVYSIGMFFAGHIGDRMDLRIFLTIGMIGTAIFTTLFGAGYWLNVHSFYYFLVIQMLSGLFQSIGWPSVVAVVGNWFGKSKRGLIMGIWNAHTSIGNIAGSLLAAFLLKFGWGWSFAVPSLIMALVGLMVYLFLPVNPEVMEIDIDSGEFNREKDTVKEPLLEPGQEVKHKAVGFVEAWRIPGVAPFALCLFFSKLVAYTFLYWLPFYISHTRIGGQYLSDAMAGSLSTIFDVGGVLGGVLAGHISDRLNARAITAASFMYCAIPALFLYRTYGSMSIMWNISLMFITGMFVNGPYALITTAVSADLGTHSSLNGNSRALATVTAIIDGTGSVGAAIGPLLTGYISSKSWSAVFTMLMAAALLAGLLLTKLVCAELKGKTPSNASKGVADAQGIEAIEGR >Sspon.07G0012030-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:47807551:47814638:1 gene:Sspon.07G0012030-2B transcript:Sspon.07G0012030-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRSGGGAGGIKAVALVRPSGLANQGRGGGRRGGTGLTISEAEYSKLSESESEDDEDLSLPFFDTSELTPEPMEISRKSGPGFCFCCGIFSCMSDFGDEEHCVSESDSETPKEPYD >Sspon.04G0005750-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:15231068:15231541:-1 gene:Sspon.04G0005750-2P transcript:Sspon.04G0005750-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPKLDPSQVVEVFVRVTGGEVGAASSLAPKIGPLGLSPKKIGEDIAKETAKDWKGLRVTVKLTVQNRQAKVSVVPSAAALVIKALKEPERDRKKVKNIKHSGNISLDDVVEIAKTMRPRSMAKEMAGTVKEILGTCVSVGCTVDGKDPKDLQQEIDD >Sspon.03G0023630-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:90726440:90730160:-1 gene:Sspon.03G0023630-3C transcript:Sspon.03G0023630-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKPTILMNRYELGRMLGQGTFAKVYHGRNLASSQSVAIKVIDKEKVLRVGMIDQIKREISVMRLVRHPNVVQLHEVMASKSKIYFAMEYVRGGELFTRVARGRFKEDNARRYFHQLIGAVDFCHSRGVYHRDLKPENLLVDEHGNLKVSDFGLSALRECQKQDGLLHTTCGTPAYVAPEIINKKGYDGAKADIWSCGVILFVLLAGYLPFHDANLMEMYRRISRGDVKYPQWFSSDLRRFMSKLLDPNPNTRITIEKLVEHPWFKKGYKPAVMLAQPHGSNSLKDVQVAFSTADNEGKVEQPAGSSLKPTSLNAFDIISHSRGFDLSGLFEVDQEQKASNSRFMTQKPASAIVSKLEQIAETERFMVKKQDGLVKLQGSKEGRKGQLAIDAEIFEVTPAFYVVEVKKSAGDTLEYERFCNKGLRPSLSDICWSSQSEAPSVHPENPNRRRQALLRNQGFAAAAAVAGAGSAPAAAANADSAAATAAEASLLCS >Sspon.07G0024090-1P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7B:21876948:21877628:1 gene:Sspon.07G0024090-1P transcript:Sspon.07G0024090-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPASSSSRSSAGGGGSHHHHSHGGGHNNVSVSPPACTTCRHAPSSATLDLLILLLVLFSLAFLLASSLSHVARSLSPLLATPPAVAALAHAAAALPYAAAAAVLAAAAFLSCRRLPRRRCRNPRCRGLRKALEFDVQLQTEEAVRAGAGSTVGGADAAMWREIEALPWKGGQGGNNPDYECLRAELRRMAPPNGRAVLLFRNRCGCPVAKLEGWGAPKSKRRNKK >Sspon.04G0020300-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:71480377:71482229:-1 gene:Sspon.04G0020300-1A transcript:Sspon.04G0020300-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein trichome birefringence-like 12 [Source:Projected from Arabidopsis thaliana (AT5G64470) UniProtKB/Swiss-Prot;Acc:Q9FGE9] MRRKTAASLSPAPASPVASAMRRLRLRLPLLLFPLLAIAAVLCLLSLPSRRSPPSEPPLPCGAAPSDATAGRWVPTPEPVPVPLYTASCRFHRGSYNCLRNGRPPLAPLSWAPARCGGGAVVPRIDPAAFLAAASGRRVGLVGDSLSENLAVALLCALRSADPGARRWKRRGAWRGGYFPRDDVTVAFHRAVLLAKYTWQPVENPEEIQKNGKKGIYRVDVDIPADEWINVTKFYDVLIFNTGHWWGTYKFPKETPLVFYKDGKPIEPPLSIPDGLKLVLKTMASYIDREAPSTTLKLWRTQSPRHFHGGEWDHNGSCVTDRLLKEHELDSWFDPRFGGVNKDARTVNSVIQEALAGSGIRLVNLTYMSEFRADAHPATWLGKKDAVAVYGQDCMHWCVPGVPDTWVDILAAQILHYFKQLGKR >Sspon.04G0014540-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:60684042:60687616:-1 gene:Sspon.04G0014540-4D transcript:Sspon.04G0014540-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Actin-related protein 2/3 complex subunit 3 [Source:Projected from Arabidopsis thaliana (AT1G60430) UniProtKB/Swiss-Prot;Acc:Q1ECJ7] PTPPPPAPLSLSALAQRQPATSTATRLISPNRSPFHALSLGYCRLPELSVRIREVSTRADGWELGQGGGSGLRETAATTVATGRAADRAASIRQGRNTISVPPSDLPQIILSRSRPPPDSYLLSSPATTRVVLRRRRDLDSSAVYHSSFVDDDGIRKACSCPLLPLKTHIKGPAPASDPDKADIVDEAITFFRANVFFKNFHVKSSADKLLIYLTFYINIALKRLEGCRTLAVGTKAIINLGLEKVPVPGEPGFPFPGLFTLPQSQEEAELLRNYLKQIREETSGRLLSCAYRANGFPNKWWLAFAKRKFMNIVIL >Sspon.02G0016920-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:48339652:48352423:1 gene:Sspon.02G0016920-2B transcript:Sspon.02G0016920-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mediator of RNA polymerase II transcription subunit 25 [Source:Projected from Arabidopsis thaliana (AT1G25540) UniProtKB/Swiss-Prot;Acc:Q7XYY2] MAAADRQLVVAVEGTAALGPYWSAIVAEYVEKIVRSFCASELPGQKLAGAPPELALVVFHTHGPYSAFDVQRSGWTKDTDAFLSWLSGISFSGGGFSEASTCEGLAEALKILQGSPNTTQSHQNHEAQKHCILVAASNPYPLPTPVYCLPTQSTDHKENIETAKEPSIADAETVAKSFAQCSVSLSVISPKQLPTLKAIYNAGKRNPRAADPSVDHVKNPHFLVLLSENFMEARTALSRPLHGNLAPNQTITKMDTAPAVTMPGPTSNANPSVNAMTGRQTVVGGISTATVKVEPATMPPIVSAPAFSHVTPISNVASQGISALQTSSPSLISQEANMANDNVQEHKPIINPVQQPVRPGGHGSLLNNLSQVRLMNSTSLGGGATSMGLPNMGATPIQVHMSNMISSGMTSTPSVISSMSGPGQPIVTQQMVQSTALGSFGSNTSTVSGNSNVVVSSSLTNNQSSMGMGQSVQPVAQGGLVAGSQLGQGGIGANQNVMSSLGSTAISSAPAMMPTPGMVPQTGVNSLGVNNNPAMNMPIPQHANAQQPPPKYVKIWEGTLSGQRQGQPVFICKLEGYRSGTASETLAADWPETMQIVRLIAQEHMNNKQYVGKADFLVFRTLNQHGFLGQLQEKKLLPSQTLLLSMSDKAGRLIGMLFPGRINGRRATTLLIFASTMGFAIDFGVIDAAVTNISVRCFAIDFGIINTTFTNILTRGFAIDFGIIDTAFANISVRGFVVDTSFTNILVRSFAINFGIIDTTFSNITAK >Sspon.02G0019110-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:56710471:56712109:-1 gene:Sspon.02G0019110-4D transcript:Sspon.02G0019110-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHQADAKMQSPTRRELLQASIELTNHTLSYIKSMALRCAVQLGIADAIHRCGGQVSLDGLLATLSLSPSKLSYLRRVMRVLTASGVFSQGDGGRYRLTPVSTLLLSDTGDGGCRSLLQLVQLELSPFCVSPATNLAEWFTTKDEETPFEMTFGTDLWGLCGRDPGFSVFFNGAMACDSRFLMDTVIHEMGDVFDAVTSMVDVAGGTGGAAKAVAAAFPHIKCTVLDLPQVINGIPADDGQIEFVAGDMMDFIPQADALLLKFVLHDWNDEDCVNILKRCKEAICSREQKGKLIIIDVVVGSPSQATCHETQMLFDLLISTITPGWERDEKEWSELFKKAGFRDYKIKSVLDMRSVIECVLIRK >Sspon.03G0003690-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:25942203:25949403:-1 gene:Sspon.03G0003690-2C transcript:Sspon.03G0003690-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding CFTVLRSKKKKTPFDNPLVPSKKSVDARESTSSRLPEPEVHVPSLQSAPPSFRNRTKISQSSSKVSNSRARVLSAPSTLIVVDQFGFPYAEYRDQDDSRDKEGSTKGHRFSNPLPLPLPSPEGHSFRNSDSFKASNVSGPLEMSGPLPLPPKKRDGLRIFSYEEVSSACQWFSSDQCVSETLGSTSYKATFRDEFIDTKTTEATVARLLPSTQSLKEFKTQATTLASLQHPNLCKLIGYYAKEDSNERMLVYERLHHGSLDKLLFGRPDGRFMDWSKRLKVALGAARGLAFLHDEGPFQAMYSEFSTLNIQIDKDFTAKLSGYGCVGFNTEEISNAPASAANLSVETLEKGLLTPKSNVWSFGVVLLELITGRKNLDANSSKEERNIVRWSRPFLTDDSRLSLIMDSRIKGRFPTKAARIVADIILKCLHKDPSERPTMRDVVEALARVQEIKVPCRYPLQEPSAAPRKIMLKSTSLNGIVPHHPVITFSPSPPSHNQHLISPRSSTSALFHPRTCSSTLDDPGVAFLAAEVGPRVAASCANATLLVLTRDDS >Sspon.02G0008490-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:23205035:23214869:-1 gene:Sspon.02G0008490-2B transcript:Sspon.02G0008490-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MCTEPCFLISSRTEVKHCVACMPPSGVVIVTKIRAVCEPVITTDAVRSEGRVTCICMEEGGDPQLPSISVARTTSEGAGAVDLDLLEQLLSGDNSWLEVVSRSPNSVAFPPPSAFFSADATATTVTTTAAPAANASWWIQTGGASPSSVRERFSQALSYIRDTQSDGNVLVQLWVPVNRDDGKLVLTTSGQPFTLDHRSDSLIRFREVSTKYQFSAEVNSGDTPGLPGRVFIGRLPEWSPDIRYFTSYEYPRVRDAQYLDVHGTMGLPVFEKGSYNCLGVIELIMTRQKLNFTSELNTICSALQAVNLRSTEVSTIPRIAFSTASYKDALAEILEVLRAACLTHKLPLAQTWVTCAQQGKRGSRHSDENYRYCISTIDEACFVNEAEMRGFHEACSEHHLLRGEGVAGKAFTTNQPCFMPDIGSSTKLEYPLSHHAKIFKLKGAVAIRLRCTRTGTADFVLEFFLPTDCEALEEQKTVLDSLSGTMRSVCQTLRVVTDREMEDEAVLEMNELNSFTPHGKDKAKELSFGGSSADRRGEASWTSLAGTSQQESELAASRMHGMFSPGGQGPSLSGVQATAEGSKAKRRTKAEKTVSLQVLRQYFAGSLKDAARSLGVCPTTLKRICRQHGITRWPSRKIKKVDHSLRKLQQIIDSVHGAETAFQLNTLYKDLTNTSISSDNNLSGSVTVPPTNQSNLTDFNKHQPHKSSSNVPSTSHSHSSCSHSSKSSPSCSGGATKHAQQGIIDLMKSENPVKDSSIQTLQTEKLSLYEHFSVHEAPIDLLQDVTEKANGGHHSSRSPSSPNHKQNTDANMRVKATFGSEKVRFRLNPECNFEELKHEIAKRLSIVDTNHLVLKYLDDDSEWVLMTCDADLQECFHVYKLADIQTIKISVHLAISPATRVTTGHT >Sspon.05G0008510-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:25540799:25544631:1 gene:Sspon.05G0008510-1A transcript:Sspon.05G0008510-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHALRIEMSFFCRRRFEVRFISSDLWLWLCVLLLVSRVSNGCSTEERTALLEIRLSLLGTTDSIAGLPLSWWSESAATSAWHDCCSWKGVECSNDTGRVFQLQLSSLGGGPPQNGLCRAGFNLTAFSAFPELQFLDFSMNYATFQSWDGLAGLSKLRHLDLSFNCLNANDSESLGKLFSLEVLHLEFTAMVGTLPASVFENLTDLQELDLSSNKLTGNLPASLFTLPRIQHLNVSQNFFEGSIPMSSNLNHSSSFRTVNISMNNLSGNFSFHWLRNMANLEKIDFSRNVHLAVGVNFPGWKPPFQLKELLLSGCDVDKSIFTEPHFLRTQNHLETLDLSNSSLPGSFPSWLFAQQPALLYLNLGNNWLSGSLDQIIYTQTSLLAISLSLNRISGRLPANISSIFPNATFLDFSANTISGEIPPDLCNISNMEYLDLSNNSLQGELPSCLFADHPILKTLKVSNNKLGGPILGGKSHLSIGWEIYLDGNNFEGELPRYLTGSFVDGGTMDFHGNKLSGKLDVLLWSLPNLWTLNLGSNNLTGEIDQSICGLTSIILLDISNNNISGSLPNCSNPLSLLFLNMSANLLSGDIAPNSFFRNATVTALDLSYNQFTGSIDWVQTLDEVRYLSLGTNKFEGQIPQTICQLQYVRVIDLSHNRLSGSLPACIGDLPFEGKSSGLLYWNLICGRGFQYPGFRYTSCYEQRGFRFGTKRNRYTYRRNFMDFFSGFDFSENMLSGEIPPELGHLSHLKALNLSHNSLDGLIPAALGNMSDVESLDLSHNQLSGAIPSQLSRLSSLAVFSVAYNNLSGCVPDVGQLGLLDATSYLGNRDLEEASRGSSECAAGSEPPDASSPPSQHSGDEAADAVLYAVSAASFVLLFWVTVGFMFCHPYGRHVILKL >Sspon.07G0012610-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:44767552:44770920:-1 gene:Sspon.07G0012610-1A transcript:Sspon.07G0012610-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding QRFVRFVDDNLHFFRIPPFLSLLPPHSLLSLSRPPPHAAAAVSGQEGRRGEKMMMTRAPMGPMEGAAVDEVVRRLVEGGRGGRQVQLSEAEIRQLCVEGKRVLLSQPNLLRIHAPVKICGDIHGQFVDLLRLFDLGGYPPASTYVFLGDYVDRGKQSLETICLLLAYKIRYPEKIFLLRGNHEDAKINRVYGFYDECKRRFNVRLWKIFSDCFNCLPIAALIDDKILCMHGGLSPELTSLDQIKDIERPAEIPDYGLLCDLLWSDPSPDGEGWGESDRGVSCTFGADKLVEFLEKNDLDLICRAHQVVEDGYEFFAQRRLVTIFSAPNYCGEFDNVGALLSIDESLMCSFQILKPTDMGPPHARKQIPNKM >Sspon.06G0018810-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:87784471:87786684:-1 gene:Sspon.06G0018810-4D transcript:Sspon.06G0018810-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPSQAIAPTDAELLQAQADLWRHSLYYLTSMALKCAVELHIPTAIHDLGGSATMPDLVTALSLPKNKLPFLGRVMRLLVTSGIFSSDGSSGDGAEAVYRLNPLSWLLVEGVESEDHTYQKYFVLGTVSRHYVEAGLSLADWFRKDLPEPQPSPFEDLHGVPLVHESTKLLDEELDRIVEEGVAAHDNLAIGTIIRDCSDVFSGLHTLTYCCGRQGNTSAKAIIRAFPDIKCTVLNLPRVVETTTPVAVPADDAVSNVTGDLFHTIPPAQAVMLKLVLHFWSDEDCVKILEQCKKAIPSREEGGKVIIIEILLGPYMGPVMYEAQLLMDMLMMVNTRGRQRTENDWRQIFVKAGFSDYKVVKKIGARGVIEVY >Sspon.02G0047890-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:13157326:13159474:-1 gene:Sspon.02G0047890-2D transcript:Sspon.02G0047890-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMAAPVPLRRRRALLVVATLLAVVTAAVAQDYNDGGGDDEDDEKKKPQFKAQEACNGVFLSYTFMERTKEYPHLKNATAQPYAFKATASVLNTMTEDLKAWQMFVGFQHKEILVSVGGAVLLDGSDLPANVSGGVTFAGYPMADLLNSIETAGEPSLIESKIEITGTQFGVKPPGKPMPKTIKLTNPEGFRCPAPNHKGSVMYVCCVKDRKFKAKKANSTRYQTRRKADLTFAYDVLQATANNYQVQVTIDNWSPISRLDNWNLTWEWKRGEFIYNMKGAYTLLKEGPACIYSPAAGYYKDFDFTPVYNCEKRPVIVDLPPEREKDDAIGNVPFCCKNGTLLPPTMDPSKSRAMFQMQVYKLPPDLNRTALYPPQNWKISGKLNPQYACGQPIRVSPQEFPDATGLMSTTPAVASWQVACNITRPKKRASKCCVSFSAYYNDSVVPCNTCACGCGNDTATCDPDARAMPLPPEALLIPFDNRTAKARAWAKIKHWRVPNPMPCSDNCGVSINWHVMNNYKSGWSARMTIFNWQDYTFKDWFAAVTMGSHFSGYENVYSFNGTRMGAPFNNTIFMQGVPGLAYLDPITDGKTPAEPRLPGKQQSVLSFTRKDAPNIDIPKGEGFPKRVYFDGEECALPDRIPKVSSARRRAGTASLGQVAMAAALVMIVALLDS >Sspon.01G0045610-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1C:85707482:85711988:-1 gene:Sspon.01G0045610-2C transcript:Sspon.01G0045610-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLFDEYGAPSTAGDIASDPTENDSVEGLRPNARGPGLVTFGVDAYTGTANNAGAILVLGRDLVVVPKLIHPLPPAITSPEASPDHIEDTWMQVGAFNSGKCGLVVCGFNAVIVDFEPQRNE >Sspon.02G0019680-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:63711545:63713924:1 gene:Sspon.02G0019680-1A transcript:Sspon.02G0019680-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMTARRTCQGASCQPRLSQGHPRARSNASGSHAPPLTPAPTSTAGSLGPLSLCCKCMSVSVISKLVPYAMLPPLIPPEVLEQKLVAPHGEMQNLAMESDRLAASHAAGGRHGDVEAPCGRDERAGSLITWVRRTGGTGCGGVVSRRGPGPDVGFSQVRSGQVRSWVVNYDPIR >Sspon.02G0037160-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:35499299:35500360:1 gene:Sspon.02G0037160-2C transcript:Sspon.02G0037160-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding PMEPALRELWAESRDLLGLPSPSLDDDAAAVPRVDLPPTPLAFLRDHVSPGRPLLVSAAATRHWPAASLWPTASYLTDALRSTAVSLHLTPDGRADALATHPHPRRPGPSSSRCFASAHVRRVDFPSAVRLIRGSDPAAGLVAYAQQQDDCLRGEYAAVAGDVDAHVPWASEALGCLPEAVNLWIGNAHSVTSFHKDHYDNIYVVLSGEKHFLLLPPTEHHRLYVRDYPAAHYVAVEQDSEGEHRLRLKLEMEEPERIVPWSSVDPCPASQEVMAVQSSSFPLYFDGPAPIRCTVRAGEMLYLPSMWFHHVSQSPGPNGLTIAVNYWYDMQFDIKYAYFNFLRSLEINGCSSGK >Sspon.03G0001130-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:14678692:14680558:-1 gene:Sspon.03G0001130-2C transcript:Sspon.03G0001130-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYNTPPTWAAVAGLALLAICSYLAAVRRSRSGRRYPPVVGTVFHKLYHFRHLHDYLTDLSRGRKTFRLLAPGRRLIYTCDPAVVEHILRANFGNYGKGAFNHDNTGDLLGDGIFAVDGDRWRQQRKIASHEFATSAMRDFSSAVFRANAARLAAVVAVNAASKQPMEFQASAVKSTLDLGLLQKAAMNTILAVTFGSDLDALGAAGSGGGGGDEGSRFAAAVDDASEFTLLRYVNPFWKAMRLLNVGPEAALRERVKAVDEFERIRTRSEELRAAARRDMLSRFIEAATTTTTGGDGAAAAGAGTAAADHNDLRDIVLSIVIAGKDTSVEALAWFFYMACKHPRVQERVFREAGEAAGETALSVDEFARSLTDEALGNLHAALTETLRLYPALPLVRIGWSRSISFHYSVNNKECFSDDVLPGGFSVGKGDVVFYVPYAMGRMEYLWGNDAEVFRPERWLDDNGKFQQESPFKFTAFQAGPRICLGKEFAYRQMKVLAAVLLRFFVSSLRDEEASVNYRATITLLIEHGLHLTATPR >Sspon.04G0005200-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4C:14464759:14465693:1 gene:Sspon.04G0005200-2C transcript:Sspon.04G0005200-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAFRAASPLPLPSSRSASAVTNGSGRGNFPWLTKKSSSKPAAPSGGGQESKGDEPEGANTAASAAAVGSIEQSPSPSSSSSSSSRKRAEALARLRAAFLAAITHRRRRRQLGSCVTGTIFGRRRGRVHVALQTDPRSAPVLLVEMAAYSTGALVREMSSGLVRLALECEKTPLIAGEKRRGLLEEPTWRAYCNGRKCGFAVRRECGADEWRVLGAVEPVSVGAGVLPDDVAGAGAAEGDLMYMRARFERVVGSRDSEAFYMMNPDGSGGPELSIYLLRV >Sspon.06G0020520-3D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6D:9437824:9438867:1 gene:Sspon.06G0020520-3D transcript:Sspon.06G0020520-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPPLSAPEEERSHSDAEENGGGLPEDQVFELLTRVPFDDLPACRMVSTRWRRLTYEPAFAPLHCRRAAAVSGYLVQSMSRNRYHADFVSMHGSPGAAISLDFLPSAHVRVEAVSAHRGLACCVDADTARPPCYYVCKPATRQWRALPSPRVRFRTAAVAMVARPSAASSSTAAAEFKVVRFSVPALRNRLRCEVFDSRRFAWRRAPDVPLCPDSLFRPAAPAVRAHGAMHWLRWPDRLTGAQDVFAFDVRAEAWRLIALPREVDEMDDPWARKRVAAVEGRLCLLVLTDAAVEVWEMANYGEGRWRKKMTVSLKSLHAREGPAVILGDLHSSDVAFLHSFCRVMW >Sspon.05G0031260-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:3811437:3815772:1 gene:Sspon.05G0031260-1C transcript:Sspon.05G0031260-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRWTVRGGHGAPDGRASPDQHRREPFPQAALVLNASRRFRYTLDLKKEEQKEEIRRKIRAQAHVIRAAFRFKEAGRIHVQSEETKAYYALCTGDPYSKRIPSADGALGFGIKEEQLTALTRDHNYSGLQQYGGVSGVAHMLKTDTEKGISGDDSDLMARKNAFGSNTYPPISDYKQSLQFQNLNEEKQNSFG >Sspon.06G0006040-3C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6C:19046590:19047356:1 gene:Sspon.06G0006040-3C transcript:Sspon.06G0006040-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVRAKIREIFMPALSSTMTEGKIVSWSAGEGDRVSKGDAVVVVESDKADMDVETFHDGIVAAVLVQAGESAPVGAPIALLAESEEEVPLALAKAQELSNGQPQQAPPAPTEDAAAAPPPPPAPAAASAAVAAGTRGIASPHAKKLAKQHRVDLAKVTGTGPYGRITPADIEAAAGIQPKSKPAPAAAAPPPVAAPSVGAVPQAAVLPPVPGATVVPFTTMQAAVSKNMVESLAVPAFRVGYPIVTDKLDELYEK >Sspon.08G0006120-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:16392661:16396991:1 gene:Sspon.08G0006120-2B transcript:Sspon.08G0006120-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGGEDARRRAAVTDYRKKLLTCRELEARVKTGRENLKNAKKNLEKTEEDLKSLQSVGQIIGEVLRPLDKERFIVKASSGPRYVVACRSKVDKEKLIAGTRVVLDMTTLTIMRTLPREVDPVVYNMLHEDPGNVSYSAVGGLSDQIRELRESIELPLMNPELFLRVGIKPPKGVLLYGPPGTGKTLLARAIASNIDANFLKVVSSAIIDKYIGESARLIREMFNYAREHQPCIIFMDEIDAIGGRRFSEGTSADREIQRTLMELLNQLDGFDELGKVKMIMATNRPDVLDPALLRPGRLDRKIEIPLPNEQGRMEVLKIHAAGIAKHGEIDYEAVVKLAEGFNGADLRNVCTEAGMAAIRAERDYVIHEDFMKAVRKLNDAKKLESSAHYSADFGKD >Sspon.02G0000820-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:3583824:3585600:-1 gene:Sspon.02G0000820-2B transcript:Sspon.02G0000820-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTFPSASRWSSPTTWPRRAAGAASTALAAAVFAVLDVVDVVLCFVYALLDGLLEDSPVRCYCHRSYNDDDGISEATAAAVNGYDQDEVSDTLYAHGRRSAVRDALLGLLRHVVGRRGRAPPDETVAPCKWRSPRWSDCACKSCVAWRGGEGEGGGSGRLHVVVKEPHRKGKGETTTTPDPENAIFVHGFTSSSSFWAETVFREASSILDDCRLFAVDLLGFGRSPKPANCMYRVRDHVEAIERSLILAGEPHGLMSGCGSFHLVSHSMGCVIALALAAKHPTRVKSITLVAPKASQVALNRLAGKKLWPPLLLGSAVMSWYEHVGRTVCFLVCKNHLLWEWLFRLFTGNTDVDFRVRDLTKHTHHSAWHTMHNVICGGAALQDRNLEAVAAGGIPVQVVHGADDQVVPVECSRHLKAKLPRAKLRVMDRRDHSTVVLGRERDFAEELKAFWWSAASRSPVHTSRMRRFSDSEDAD >Sspon.01G0019920-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:71804825:71807625:-1 gene:Sspon.01G0019920-3D transcript:Sspon.01G0019920-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable prefoldin subunit 5 [Source:Projected from Arabidopsis thaliana (AT5G23290) UniProtKB/Swiss-Prot;Acc:P57742] MASPGRIELDKLSVEQLKGLKEQTDLEFNLLQDSLTKIRTAATRLENASAALHDLSLRPHGKYRRTPSSDPLAYPCLSFRKKMLVPLTASLYVPGSLDDAEKVLVDVGTGYFIEKTMAQGKEYCERKINLLKSNFDELAEVATKKKAIAEDMAMLLQAKLRQASPGPSS >Sspon.04G0011470-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:35491982:35497001:-1 gene:Sspon.04G0011470-1A transcript:Sspon.04G0011470-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSRQGWIRRQRSYAPQTLSAPQHLLPALLLSEPASPPRPAIRGLELQWLGTAARSSTNPLMAECSLAMATLRGQHQGRWTWSNSKLSLRNMSIMDPKRNYSLKIHLPCQSRAAREWTSVAFTPFGFRGRDHRVETSVKCYCLQPLVDSDSMVSPNLILFSDEALLTISMVFAYLAGVVPSGQTSPRARNQNVFQHIPEPSSSHSGRDLKFLPERNAAFDPSDLWSEVRAKLSRALQAHVQDASLDSRGDELTGDRKNYPLSMLAIHAGPRWLQVSTTLIDGLIQPAFVKWIEEEQALENGQINEKLMKAISSKLKEDNKILRRFNRFGKSELYLDMLFFLRFGSARSDSYFDVKFLAEHGERILEDLVISLADVIASIYLELMSVDGDMSTEVVSSSLVLCSLSTRELQKLRNEVAINWWLHQYFKSVVSMYEDRFELYVLCSKKCEKTDDNQAETANWWRIAFGKPNVLTLDYVNISPFSLPARRTKELRALIGWRYYFSLFLELSDIAMPFMRAAISKVSAAVSYFWVSMIGRGKELHIFVETKW >Sspon.08G0015660-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:62791480:62792441:-1 gene:Sspon.08G0015660-1A transcript:Sspon.08G0015660-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTVSRASLDQKLALAKRCSREATLAGAKAAAVATIASAIPTLASVRMLPWAKANINPTGQALIISTVAGMAYFIAADKKILSLARRHSFEEAPEHLRNTSFQGTGRLHPAFFRP >Sspon.06G0004890-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:15886958:15888369:1 gene:Sspon.06G0004890-1A transcript:Sspon.06G0004890-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPARHLRGLTAAAALLAAALVLSAAVPAARAQEETEHEEEFSYVVGDENGPEHWGSIKAEWANCSAGRMQSPIDLSHERVSLVRSLGYLTHSYRPAQASIVNRGHDIMVRFNGDAGSLVINGTAYYLKQMHWHSPTEHTVDGRRYDMELHLVHQTLENKTAVIGILYEIGGEDPFLQELEPSIHRIADRQDREEPVGVVDPRHARGRASVYYRYMGSLTTPPCTQGVIWTVVKRVRTVSKHQLELLREAVHDERLSLTKFCLACVYLISGHGENARPVQDVNDRDISIFRPKPHKHY >Sspon.07G0020880-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:78305576:78317335:-1 gene:Sspon.07G0020880-1A transcript:Sspon.07G0020880-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKTAGAVQVLKDDTVKRTPMARPSNGREGKPIRLLSNHFAVKLRGVDAVFYQYSVCIKSEDDKVVDGKGIGRKVIDKLLQTYCSELDGKDFAYDGEKCLFTVGPLPQNNFEFTVILEETSSRAVGGSPVHGSPTQADKKRVKRSHLAKKFSVGISYAAKIPLQSVALALRGSESEHAQDALRVLDIVLRQQQAKRDDSRNLVDLTGGVSGCRGLHSSFRTTIGGLSLNMDVSTTMVVTPGPVIDFLLTNQNVRDIRDIDWPRLCHMVSLQRYTKALSSQQRAMLVEKSRQKPQERMRVVTDAVKSNMYDDDPILSSCGIEIEKQLTRVDARVLSAPTRLLDPVKIERWAIVNFSARCDMSRISRELINCGRSKGIFIERPHTLVDEDSQSRRCSPVERVEKMFEKVKTSLPGPPEFLLCVLPERKNCDIYGPWKKKNLHEMGIVTQCIAPSNKMNDQYFTNVLLKINAKLGGLNSKLALEHRQMIPVVTQIPTLILGMDVSHGSPGRADIPSIAASPKVEMIDSLFKPLDDGKDDGIMRELLLDFYQTSQQRKPQQIIIFRDGVSESQFSQVLNVELNQIIKAYQSMGQGDLPKFTVIIAQKNHHTKLFQADSPENVPPGTVVDSGIVHPRQYDFYMCAHAGPIGTSRPTHYHVLLDEIGFSADNLQKLVLSLSYVYQRSTTAISVVAPICYAHLAAAQMGQFMKFEEFADTSSGSVNSSSSVSIPELPRLHADVCSSMFFC >Sspon.02G0047350-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:15720392:15721845:1 gene:Sspon.02G0047350-1C transcript:Sspon.02G0047350-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHAHEYKNVLWVHGEAGQSNLKLTEYLSVVHARSLHDIEGVAIGKITKEHTCNVPYLVVTDNLESERDCIKELLPLGGGRAQVNVTTQLPSRLLRVGMLELGNLDAPSAMHLMKGTRRNIQEKVCGMRLGLALVGSILSEIAICSSKLRETTSDAPRASGADVHWSSNDAAAACDNAGLVQPLDACFTQRRWETPTGLGKVAERQLGASSLFFTPVPIPTAMLIHAPLLKRMKCKLRRCCTAPLAPLDTAAPSQMHWRRFCALGIARQSTRTGCVSVHGHGVFQAFGRKSPVPRGQRRGATCRRPQLGVVPIPVQVRAFELPARELEQFVTCSAPLLVAHYVVSCSPEVVSGDLEADDMYTSARLAGSTTASTRATPISDTGDNGGEGWRPRHS >Sspon.03G0044290-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:91094520:91096361:-1 gene:Sspon.03G0044290-1C transcript:Sspon.03G0044290-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CAT5 [Source:Projected from Arabidopsis thaliana (AT2G34960) UniProtKB/TrEMBL;Acc:A0A178VPZ9] MAPAEMSSTAEYDQGAGKAAATAPERSYWRWHRDDFFPEPSFASWGAYRRALGETPARLRDRLAGRSTDAAELGALRRRSEHEMRRCLTWWDLTWFGFGSVIGAGIFVLTGQEAHDHAGPAIVLSYVASGLSAMLSVFCYTEFAVEIPVAGGSFAYLRVELGDAAAFIAAANLILESVIGTAAVARSWTSYLASLINKPASALRIHSPGLAEGYDELDPIAVVVIAVTATLAMLTAKGTSRVNWVASAVHVVVIAFVIVAGFIHANPANLRPFMPHGVPGVFRAAAIVYFAYGGFDNIATMAEETKNPSRDIPLGLLGSMSVITAIYCVMALVLSMMQPYTAIDRSAAYSVAFASVGMHWAQYVVALGALKGMTTVLLVGALGQARYTTHIARSHIIPPVFALVHPRTGTPVHATALIAVCSACIALFSSLDVLSSLLSVSTLFIFMMMATALLVRRYYVRGVTTRTHALRFVALLLLIIASSIAIAAYWGTSPERWQGYVVLVPAWAAGTLGIQLLVPAARAPKVWGVPLVPWLPSLSIATNLFLMGSLGKDAFIRFGVCTAVMLVYYVLVGLHATYDVAHGACGGEEDADGEKKVVAAADVEKADAAGGVI >Sspon.02G0059040-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2D:88262904:88263169:1 gene:Sspon.02G0059040-1D transcript:Sspon.02G0059040-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VWGRTGCSFNSGSGSCKTGDCGGALSCTLSGQPPM >Sspon.03G0002090-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:12450858:12452613:-1 gene:Sspon.03G0002090-3D transcript:Sspon.03G0002090-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQSMLMSTSVNGRPAPYPRLPQPSSSSGYRHSKSVSVKTLALFGKSKVKTAPSKKAAAPKPKPKVEDGIFGTSGGIGFTKENELFVGRVAMLGFAASLLGEAITGKGILAQLNLETGIPIYEAEPLLLFFILFTLLGAIGALGDRGRFVDEEVTGLDKAVIQPGKGFRGALGLSEGGPLFGFTKSNELFVGRLAQLGVVFSIIGEIITGKGALAQLNIETGVPINEIEPLVIFNVLFFFVAAINPGNGRFIIGEDEE >Sspon.04G0013430-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4B:49368038:49372817:1 gene:Sspon.04G0013430-2B transcript:Sspon.04G0013430-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVEQEEMERKMGFWWSPDSKHLAFTEVDSTEIPLYRIMHQGKSSVGPDAQEDHAYPFAGAANVKVRLGVVPSHGGEV >Sspon.02G0042920-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2D:80306938:80309299:1 gene:Sspon.02G0042920-2D transcript:Sspon.02G0042920-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPPPMPELIPDAVAEILLRLPRDDPAGLVRASAVCKPWLRTLTDPAFLRRYRAFHGTSSVLGFLHNPTDRGLARFVPTTAFRPHDAAAAHRTRTCVVLDCRHGHALLYDYGSTEFVVWDPVTGRERRIPVEVPNMYTNHAVLCAAGASCDHSTCSGGPFLLASVVVYQECEGVHCLAYGCFYSSETGETSARTDLYLEGEHNLCGLEDRPAVLVGGALYFVSRSGTLLRYDVLGGRGLSVMELPPANFLGSSTIVMTAANGGLGLATFGYGALALWSTETGPNGDAKWAHIKIIQLEKLLPVDIRMNSAWLSGFAEDANVIFLSTDDNGIFTIELKSLLTRKVCEMGNVKHVFPYVSFYTAAAFARGTLQSPVETQ >Sspon.06G0009770-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:42102884:42105425:-1 gene:Sspon.06G0009770-2B transcript:Sspon.06G0009770-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCAKCEKKLGKVIVPDKWKEGASNTNESGGRKINENKLLSKKNRWTPYGNTKCIICKQQVHQDAKYCHTCAYSKGVCAMCGKQVLDTKLYKQSNV >Sspon.06G0008150-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:33625846:33629251:-1 gene:Sspon.06G0008150-2B transcript:Sspon.06G0008150-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MERAEMTAGGHRAERAERPAGHRMERAEMPAGGHRAERAERPVGHRMERAEMQHATHHATERAGDARRTTITAAAAELPAWQTTERKKSLESLLDAAGDARGRRQQQHHHHHHHRGGGGGGGGGHVPERPAPVPGEKVINFPGQGLEFKELSYSVIKKQKKDGVKVKKEVYLLNDISGQALRGQVTAILGPSGAGKSTFLDALAGRIAKGSLEGSVSIDGRSVTTSYMKQISSYVMQDDQLFPMLTVLETLRFAAEVRLPPSLSRAEKLNRVWELIEQLGLQTTAHTYIGDEGIRGVSGGERRRVSIGTDIIHKPSLLFLDEPTSGLDSTSAYSVVDKVKEIAKGGSIVLMTIHQPSFRIQMLLDRIVILARGRLIYLGSPITLPAHLAGFGRPVPDGENSIEYLLDVIKEYDESTLGLEPLVAYQRDGSKPDEAAKTPIPKTPRTPHQKSVQFRQMQLKSNQFSVTTGTPHANTFSNFESYNIDDDEEEFDNSLERKSHTPLHTGTSTYHPRLASQFYKDFSVWVYHGVTGTPHRKPTWSTPARTPARTPMSSYQQRSRVATPHHAPPPQSPHEPVFKPEEPSYHEYQLELEPPLDAPEDGPKFANPWLREVAVLSWRTALNVVRTPELFLSREIVLTVMALILSTLFHRLSDSNFTTINRLLNFYIFAVCLVFFSSNDAVPTFIQERFIFIRERSHNAYRASSYVISSLIVYLPFFAIQGFTFAVITKYMLHLRSNLVNFWIILFASLITTNAYVMLVSALVPSYITGYAVVIATTALFFITCGFFLKRTMIPMAWRWLHYISAIKYPFEALLVNEFKGDHCYVGTQNQLSPGPLGQVNNLNATSPTCPLVGQDVLSTMDIQIDNIWIDVAILLAWGVLYRLIFYVVLRFYSKNER >Sspon.05G0037890-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5D:26809025:26813942:-1 gene:Sspon.05G0037890-1D transcript:Sspon.05G0037890-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYVMYSRTYVRRRRARDSRRAAERAGRELGEPADRAATPSRREGHNLRDRKTTAGDYELRSRGPPTLDHYALDNLVSRSNRSSLSSTAAPPSPTANPSPPPSPPPPTTAPPDTSPVNPTAPTMSEPTLAEIMDMLKAMNTKITTLESEAASRKDKSESSSGGGDDHRYTGGRDHDFHPKPKKWDFPRYDGTTDPMLFLNKCDAYFLQHRTTVDEKVRIASYHLDEVAQLWFTQVQEDDGTPNWAHFKELLNVRFGPPLRAAPMFELAECRRTGTETQRVQLFTGGLLPPLSHAVRLHHPATLAEAMSLARQVEMMEAERPAPAHPRAPPRGAPAAPPPRPTLPAPQPLLALPAPPEMAERRRQNLCFNCNERYSRGHNRFCKRIFFMDGVEIDDAGDGIEAAAAEAGAKEAPLFSLHAVAGVAVGEPILLRVSLGATSLIALVDTGSTHNFIGEAAASRTGLPIQPRPRLTATVANGEKVTCPGVLRNAPIAIEGMTFDVDLYVMPLAGYDMVLGTQWMAPLRRIAWDVVSRAFSFQHDGRDVVWTGVASSSAPTAHTVSADSPLMEGLLDEFADVFTEPTGLPPPRGREHGIILKPGSPPVVVRPYRYPVAHKDELERQCAAMMSQGIVRRSDSAFSSPVLLVKKPDGSWRFCVDYRALNALTVKDAFPIPVVDELLDELHGARFFTKLDMRSGYHQVRMKAEDVHKTAFRTHDGLYEFLVMPFGLCNAPATFQSLMNDVLRKFLRQFVLVFFDDILIYSHTWADHLRHIRAVLTELRHHRLFVKRSKCAFGVSSVSYLGHIISAEGVAMDPSKVQAIRDWPSPRSARAVRGFLGLAGYYRKFVHGYGETAAPLTALLKEGFAWTEAAASAFEALKTAVTTAPVLALPDFTKIFVVECDASTHGFGAVLVQDKHPVAFFSRPVAPRHYSLAAYERELIGLVHAVRHWRPYLWGRHFKVLTDHYSLKYLLDQRLATIPQHHWVGKLLGFDFEVEYKPGAQNAVADALSRRDTDRELEEGEVLALSAPRFDFVDRLRQAQVADPALHALKDEILAGTRGAPWAVRDGLVTYNDRLYIAPSMPLLQEYIAAVHEDGHEGVQRTLHRLRRDVHFPNMRRLVQDLVRSCATCQRYKSEHLQAAGLLLPLPVPSSVWSDIGLDFVEALPKVKGKSVILSVVDRFSKYCHFIPLAHPYSAETVAQAFFTEIVRLHGMPQSMVSDRDPVFTSTFWRELMRLMGTKLHMTTAFHPQSDGQTEAANRVITMYLRCFTGDRPRQWLRWLPWAEYTYNTAYQTSLRDTPFRVVYGRDPPTLRSYEPGDTRVAAVAKSMAERAEFLQDVRFRLEQAQSVQKRYYDKLHRDVSYKVGDWVLLRLRHRPITSLDAAATGKLKPRFFGPYQVTEVINDVAVRVALPPRARLHDVFHVGLLKRWIGDPPTTPPPLPVVHNGALLPQPERAVRSRVARGVRQVLIRWQGEPASAATWEDLDDFRDCFPTFQLEDELVVEGGRDVMYGRTYVRRRRARDSRRAAEHAGRELGEPADRAATSSG >Sspon.03G0006940-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:75087721:75088713:1 gene:Sspon.03G0006940-2B transcript:Sspon.03G0006940-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSWVRTITTPFRKACTIFGPQKDGGKKTQQPNSAMAQHVDAERAKLHGEVMACAYEDVQVMWSMLDEARIRDLSGSS >Sspon.05G0002410-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:7418334:7419855:1 gene:Sspon.05G0002410-1A transcript:Sspon.05G0002410-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQMWTQCVQVQRKRPLQGQAASMPEIEGLTCWRSDGVQGGRRQPELILPEEILYQIHALMPNCQRKMLPVLPVCLGDFSVLGIAILISVDHIMTNHSGTGVKKFKLQTYPCDNLHPSYVLIAGFKLLLHLGLRNLNYKCLGATRLSTTFRVHCYLRRGEAHCSPLSSIIIPYELSHLNFLAVQDCVMLEMIESDAPKLSQFKYIGRPIHMSLGNPLQLSHIQMMSTTKSNMLYCARTKLPSIAPNVQTLFLASLDEKVNTPMLAVKFLYLEHLEIVLVEPSLSPDYDFCSLASFLAGSPGLDTLILHAEDLVEVRRARMAIERHVVGNVPSTVNLK >Sspon.01G0002040-1T-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1C:5839961:5840215:-1 gene:Sspon.01G0002040-1T transcript:Sspon.01G0002040-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MELDEETFLGELMSLRREAASSAPWQQAAPCSAYPGGGMMMSDLLFFGGTTEGAAADATSMDLSPFHQEPLQAPMPPAAARTRTR >Sspon.02G0042070-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:84084870:84088081:-1 gene:Sspon.02G0042070-2C transcript:Sspon.02G0042070-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARLIAAAALAVLAVLCWAAGTTTALGMNWGTQATHPLPPKAVVQVLQDNGIKKVKLFDTDQAAMSALAGTGIEVMVAIPNNMLADLADDAGKAKDWVKRNVRRYDFDGGVTIKSVSRSLLFFLSSLYIPHPPPPPPPPPRAMAVVSLSALVVLASTVSFTVTSCNSDVFRIALLDKLLKLILQVRGRWQRAVPGVIQRFLHQRHLPRVGEHPERAERRRRRRPDQGDRPAERRRVQLPEEQPGTISGAVPVRHRRPDDGHRQVPGQERRALHREHLPVPEPVPERQLPSRLRLLRRRRHTGERQRRAVHQRVRRQLRHAGGGARCRGPRRPAHRRGRGRVAHGRRQARQGVLRAAVLCGASEAAGGEHGHAGAAEPVHGGVPVRARRRGRQERGPRQLRAPLGRPPVRRAAQVRHGPHRAGPEHDAGAGEGGEVPPQDVVRAEPQRQGPRQAWCQHRLRLHVRRLHAAGVRLDLQRHGRRRQRVVRVQRVLPGAEPEGRGLRLPGPRAAHGDRPLHGDMQLHHTDPDRGGGGGHGDAGARPERWRGLAGACVAAAIGA >Sspon.07G0027270-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7B:59405742:59405919:1 gene:Sspon.07G0027270-1B transcript:Sspon.07G0027270-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding PTYAPAHNIDCRPLYGRWETHRRLAELPRHRLADVPARQGLWGAQLSGFCHVDDHVSF >Sspon.05G0009990-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:31047753:31049536:1 gene:Sspon.05G0009990-3D transcript:Sspon.05G0009990-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKALLGGLSAILVVAVVVGVVATVTRSGKKAGDNFNVPGEASLATSGKSVKSLCAPTLYKESCEKTLSQATNGTENPKEVFHSVAKVALESVKTAVEQSKNIGEAKASDSMTESAREDCKKLLEDAVDDLRGMLEMAGGDIKVLFSRSDDLETWLTGVMTFMDTCIDGFVDEKLKADMHSVLRNATELSSNALAITNSLGGILKKLDLDMFKKDSRRRLLSEQDEKGWPVWMRSPERKLLAAGNQPKPNAVVAKDGSGQFKTIQQAVDAVPKGQQGRYVIYVKAGLYDEIVMVPKDKVNIFMYGDGPKQTRVTGKKSFADGITTMKTATFSIEASGFICKNMGFHNTAGAEKHQAVALRVQGDLAAFYNCRFDAFQDTLYVHARRQFFRNCVISGTIDFIFGNSAAVFQNCLIITRRPMDNQQNSVTAHGRTDPNMKSGLVIQNCRLVPDQKLFPDRFKIPSYLGRPWKEFSRLVIMESTIADFIKPEGYMPWNGDFGIKTLYYAEYNNRGPGAGTSKRVNWPGFHVITRKDAEQFTAGPFIDGATWLKFTGTPHILGFKF >Sspon.06G0020520-1P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6C:87195:88238:1 gene:Sspon.06G0020520-1P transcript:Sspon.06G0020520-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPPLSAPEEERSHSDAEENGGGLPEDQVFELLTRVPFDDLPACRMVSTRWRRLTYEPAFAPLHCRRAAAVSGYLVQSMSRNRYHADFVSMHGSPGAAISLDFLPSAHVRVEAVSAHRGLACCVDADTARPPCYYVCKPATRQWRALPSPRVRFRTAAVAMVARPSAASSSTAAAEFKVVRFSVPALRDRLRCEVFDSRRFAWRRAPDVPLCPDSLFRPAAPAVRAHGAMHWLRWPDRLTGAQDVFAFDVRAEAWRLIALPREVDEMDDPWARKRVAAVEGRLCLLVLTDAAVEVWEMANYGEGRWRKKMTVSLKSLHAREGPAVILGDLHSSDVAFLHSFCRVMW >Sspon.01G0010120-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:28163590:28169180:1 gene:Sspon.01G0010120-2C transcript:Sspon.01G0010120-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARARARAAELVGGGRWPQRRLGTTSAAETESRKDKEEEGAGAGAGAGWELSAAREYYDYRKSIYGDVTHRALLVDAVGTLVVPAQPTAQVYKSIGEKYGVKYSEDEILMRYRRAYEKPWGGSRLRYVDDGRPFWQHIVTSSTGCSDAQYFEELYQYFMTEKAWKFVDPDAENVFKALRKAGVKTAVVSNFDTRLRPLLQVLKCDHWFDAVAVSAEVAAEKPNPIIFLKACELLGVKPEEAVHVGDDRRNDIWGARDAGCDAWLWGSDVRSFKEVAERIGVEVTK >Sspon.01G0002150-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:6079841:6083919:1 gene:Sspon.01G0002150-1A transcript:Sspon.01G0002150-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLTSSLLSRSTARGAAAAAAISRPAADAAPSSSSPPARPTPRLRPSPVSPFVSGLAGRLFGGHRAAARSASSAAAVFERRFASAATRNSYDEILTGLKRPGGGEEFGKYYSLPALSDPRIDRLPYSIRILLESAIRNCDDFQVTGNDVEKILDWEKSAPKLVEIPFKPARVLLQDFTGVPAVVDLACMRDAMSKLGSDPNKINPLLPTIGVTIVGAKHITPTSLLCTSDVARSANAAQANMELEFHRNKERFGFLKWGSSAFRNMLVVPPGSGIVHQVNLEYLARVVFNNGGILYPDSVVGTDSHTTMIDGLGVAGWGVGGIEAEAAMLGQPMSMVLPGVVGFKLSGKLKNGVTATDLVLTVTQMLRKHGVVGKFVEFYGQGMSELSLADRATIANMSPEYGATMGFFPVDGKTLDYLKLTGRSDDTVEAERVYSSYLELNLEEVEPCLSGPKR >Sspon.02G0014010-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:37155102:37157213:-1 gene:Sspon.02G0014010-1A transcript:Sspon.02G0014010-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEADLIPKTQKPGVREGKPGGKGGRGAVAEGRPDDGEEKLVEVPIGMSMLEAAHENDIELEGACEGSLACSTCHVIVMDVNFYNKLEDPVDEENDMLDLAFGLTDTSRLGCQVVASPELDGIRLKLPAATVHFKVD >Sspon.07G0018020-3C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7C:80615378:80617735:-1 gene:Sspon.07G0018020-3C transcript:Sspon.07G0018020-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHRDTVSWNTMLTAYAHAGDTGTAASLFGAMPDPDVVSWNALLSGYCQRGMFRDSVGLSIEMARRGVAPDRTTLAVLLKACGGLEDLALGVQIHALAVKTGLEMDVRTGSALVDMYGKCRSLEDALHFFHGMGERNSVSWGAVIAGCVQNEQYTRGVELFVQMQRLVLGVSQPAYASVFRSCAAMPCLSTARQLHAHAIKNKFSSDRVVGTAIVDVYAKADSLVDARRAFFGLPNHTVETCNAMMVGLVRTGLGAEAMQLFQFMTRSGIGFDVVSLSGVFSACAEVKGYFQGLQVHCLAIKSGFDVDVCVRNAILDLYGKCKALVEAYLVFQEMEQRDSVSWNAIIAALEQNECYEDTIAHLNEMLRSGMEPDDFTYGSVLKACAGLQSLEYGSVVHGKAIKSGLGLDAFVSSTVVDMYCKCGMITEAQKLHDRIGGQELVSWNSVISGFSLNKQSEEAQKFFSEMLDMGVKPDHFTYATVLDTCANLATIELGKQIHGQIIKQEMLGDEYISSTLVDMYAKCGNMPDSLLMFEKAQKLDFVSWNAMICGYALHGQGFEALEMFERMQKSNVVPNHATFVAVLRACSHVGLLDDGCRYFHLMTSHYKLEPQLEHFACMVDILGRSKGPQEALKFIRSMPLEADAVIWKTLLSICKIRQDVEVAETAASNVLRLDPDDSSVYILLSNVYAESGKWVDVSRTRRLMRQGRLKKEPGCSWIEVQSEMHGFLVGDKVHPRSREVYEMLNNLIGEMKLSGYEVSSALFAEVDEEGSTSEQDDFVGVVGD >Sspon.03G0004210-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:17526944:17530494:-1 gene:Sspon.03G0004210-4D transcript:Sspon.03G0004210-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding METASFLSVALALAALVPVSLLLLNRLLYGKLPPGPRRRPVVGNLFDVQPVRCRCYQEWARRYGPIMTVWLGTSPTVVVSTSELAKEVLKTHDQQLADRCRDRSTESFSRGGQDLIWADYGPHYIKVRKLCNLELFTQRRLEALRPIREDEVTAMVESVYKAVTAPGNEGKPLVVKNHLSMVAFNNITRLAFGKRFVNAAGELDEQGREFKGIVHNGIKIGASLSIAQHIPWLRWLAPVDEQVFKAHGERRDRLTVKIMEEHAKALKQRGAQQHFVDALFTLRDKYDLSDDTVIGLLWDMITAGTDTTVISVEWAMAELLRNPRVQEKLQEELDHVVGRDRVLSETDFPNLPYLQAVVKESLRLHPPTPLMLPHRASASVKIAGYDIPKGANVVVNVWAVARDPAVWDSPLEFRPERFLRENIDIKGADFRVLPFGAGRRVCPGAQLGINLVASMIGHMLHHFRWTLPEGTRPEDVSMMESPGLVTFMATPLQAVATPRLDKEELYRRVPSEI >Sspon.01G0032240-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:105443852:105445927:-1 gene:Sspon.01G0032240-2B transcript:Sspon.01G0032240-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRATLLPLFLLLLAAPALATSGTIVFTTLGRSRYAFDIFALPLAPLSPSAAAAEIRLTDGASVNYNGNFAPSSSSESPSLLFVSERNGSLNLYRTPVPSTSSATGAAGRREALEEVDDSPTPTLTPLLPWDPIALKDRPSLTPDGAQLVYVSTAEPAGSPRRSWAAVYSTSLASGATRRLTPRGVADFSPAVSPSGDWTAVASPGPRGWDGEVEDLRTDIYVFRTSDGSRRTLAVPDAGWPTWADDSTVFFHRRDSDGWYGVYRARLSFTDDDGGSVASVVDRITPPGFHAFTPAASPGAPGLVAVATRRPGSDYRHIEVIDVSGGGANSAYFEVTQPVAPRAHHFNPFISPDGERVGYHRCRGSGNGDSPLLLESIKSPAPDTFSLFRIDGSFPSFSHDGKQIAFVGLPGLFVVNSDGSGGRRRVFSGNAFPTTWDWKRKGVIYTSVGPDFASESTEVDVVAVSLGDDNDPNVSIKKLTVGGENNAFPSPSPDGKWVVFRSGRSGHKNLYIMDAEDGEAGGIRRLTEGPWSDTMCNWSPDGEWIAFASDRHNPGGGSFAIYMVHPNGTGLRRVVHSADGGRTNHPWFSPDSKTLVFTSDYSAVSAEPISNPHHYQPYGEIFTVKIDGSGIRRLTHNSFEDGTPSWTQYYLKPEDVGETLQASGTCAFEDCHWLNIDAQPDSLMCGKHG >Sspon.01G0040410-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1C:33251766:33260707:-1 gene:Sspon.01G0040410-2C transcript:Sspon.01G0040410-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNGVRALMLDTYDFKDDVWLCHSSGGKCNDFTAFEPALDTFKEIEAFLSANPSEIVTIILEDYVHAPNGLTNVFNASGLLKYWFPVSKMPQNGQDWPLVSDMVASNQRLLVFTSISSKQSTEGIAYQWNFMVENNSKLNATGMLEYNMLFHLVSDGDDGMDAGKCSNRAESAPLNDNTKSLVLMNYFPSVPVKLTACLQHSQNLVDMVNTCYSAAGNRWANFIAVDYYKVV >Sspon.02G0042730-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:81248139:81250424:1 gene:Sspon.02G0042730-2D transcript:Sspon.02G0042730-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIDDAGFIYSGFNGANLTLDGMATITSSGLLQLSSGNGERKGHAFHPELLRFHSVPGGKVQSFSVSFVFAILSIAPNLSSHGMAFLISPSKNLSSSGTRGFLGLFNRQNSGNASNHIFAVELDTIQNTEFQDISDNHIGVDVNDIRSVRSNYTGYYDSQGNFQNMTLNSHEPMQVWVDYDEVTTKISVTIAPLKMSKPMRPLILTTYNLSTVLTDQAYVGFSSTTGSIDSQHYVLGWSFAMNQSAPGIDVDKLPKLPHGGSKNSSKVMEIVMPIATAVFILVLAVAIFQFMWRRLKYAELREDWEVEFGPHRFSYKDLFSATQGFKSKHLLGVGGFGSVYRGVLPMSKLEVAVKKVSHESRQGIKEFIAEVVSIGRLRHRNLVPLLGYCRRRGELLLVYEFMPNGSLDKYLYVEDGKPSLNWVQRFHIIKGIASGLLYLHEEWDQVVIHRDIKASNVLLDNEMNARLGDFGLAKLYDHGIDAQTTHVVGTMGYIAPELARTGKASPITDVFAFGVFVLEVTCGRRPVEHNRENNGVSILVDWVLEKWHKGLLTKVVDPRIQNEFDINQTILVLKLGLLCSHPIPDSRPTMRQVMQYLDGDMKLPEQLPESLTLGMQFLMQSEGFDSYIMSQAATTIWVQAVSWLASVCLLGTKWASGPDAKCSVLKLNK >Sspon.05G0019130-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:80281739:80283078:-1 gene:Sspon.05G0019130-3C transcript:Sspon.05G0019130-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MYTNLHYHHHYEKEHLFEKPLTPSDVGKLNRLVIPKQHAERYFPISGDSGEKGLILSFEDEAGKPWRFRYSYWTSSQSYVLTKGWSRYVKEKQLDAGDVVHFERMRGFGMGDRLFISYRRRGESATVAAAPPPSAVRVVAPAQSTGGEQQPWSPMCYSTTGSYPTSPANSHAYHHSVDHDHSNMQHAGESQSDRDNRSCSAASAPSSRRLRLFGVNLDCGPAPELEPDTPTAMYGYMHQSPYTSNNWGSP >Sspon.03G0013140-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3A:36550851:36556305:-1 gene:Sspon.03G0013140-1A transcript:Sspon.03G0013140-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AYPTNTEEFFCMLGEYVSATLLRSRHYPEGPAYTSYADWGQAESSRHAQEVHNLWEQPQPQTKSKPTSTGTDSGDSPSSVHHTNLYLLDLPEPDHPAPTVNIVEIRQIGEDSLSTISEESTRSTGSQGTNYTYTLIDPYGEEFLTFPLGFGGAIFAVGNNEPPRDGETDQERADREERNANRWAQRVDLENTEEDATRAAADGQRDIRRDLADAFAMCDNQQVFKTLSTNVAFVMNELNKFPVNERRTPAPSVFTTRSHRQRGPRYKLRRTIPKIKAEDVMPTSKLNMMLIKGVDATSKVGVAHVMEVSVLQTAMAVVVDNAVPTRKWSSLEETSVTTSDLLTVAKKCADADEADQQIKEDVGGLLDLTSKITAPTTADNVVKPTAKRNYEDAYSKVLQGPYPAHPDSGHTIGNCRGLKSIYRSDAHKRQRGGDKDGDKDDLRDDKRPDEEDKIEEECDKDPRHAYKDLDRSVRSIFGGKVALENGRQRKLTARAVMALNNSDKRVANPKYQNWSHQPITFTLAKFMAVPHYVCLLLKMPIEKGVLSLRGNVLVAYNYEKEGYMTAEALKLSIHMQQSIVDAKKIPPTNLEILSKEATRATAKSKETKEVELVLGDKSKMAHIWAALEPK >Sspon.06G0012400-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:66076297:66077801:-1 gene:Sspon.06G0012400-1A transcript:Sspon.06G0012400-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAAPVTLLRPSPLLQPTSNATFVSFPRRPLPATTLALSAPSQLSLVPAANPKYHNAKVDAGDEDVDGEELLRRFNWQVSRAGVMEEIRRRRRHEDARDKRKRKARSAARRFRRRCAIQGPYPFSDDQGAKEQTTDDEKNDNWELPSGELSSYSCKIAMPVLVQSCCEFSLEYVTMRNY >Sspon.02G0012890-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:37326563:37329573:-1 gene:Sspon.02G0012890-2C transcript:Sspon.02G0012890-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AQYLPPGVVRVRVPRDSLFIRGFGRCDQGVGGNSLVCPTSKAQIKCTKCQSGVLGETSKVVKFPEHLNLSQYMSRTDDSSPVYSLYAVVVHHNVANATVSGHYVCYVKDSQGKWHEMDDNKVKPVSIKKVLSKCAYMLLYARISPRAPTSAREAMLSQGASSRTKKPKQMARSGSFPSGGSRYRSSRHQGGQLSKDDAVHDLTYTLGASDRSSSYPVPPPCFSRSNSSSLFSSSDAGSSCTFSSDSTDSTRNSSMEYDHIFGASGYTCPVSPAVIPEEDKLSYLRQRSSWNPNSSGHDMDEEAGKFARQYQRRYRQAGTGRGSCRLWWGDHIHILVLR >Sspon.01G0008270-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:23254988:23259486:1 gene:Sspon.01G0008270-3C transcript:Sspon.01G0008270-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLALPPRRLSLFLGFSLSRGIASALACPRTPAVQPSLPPLPLPPQISPLTRRFRGPLHFGFWGRLRVAFGVCRRRRRSWEGEGLRYPAGGSLGALSAAGEARGEIYEGRCGVLAGPAEDMGFLSAKLLPSCESMCVCCPALRPSSRRPVKRYKKLLAEIFPKTPDGAPNERKIIAKFLEQRSHKELRSAHVNFVRIITEAYSKLLFICKEQMAYFAISLVNVLTELLESKQENIHILGCQTLANFINSQVDNTYARNIESLVHKVCALSRQQGEEHRHLRAASLQCLSAMIVHSVLDNYRMEESNGGGDDRHALQHNWGRPGVGGGNDVNINTTTIRLRPARNSSALTRDERDSPEVWSHICVQKLAELAKESTTMRRILDPMLSYFDMKKQWAHDMDWLCLFCLIWLIWKRVQLILTTVIRHLDHKNVSHDPQTKSDIIQTA >Sspon.03G0016940-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3B:77648710:77649048:-1 gene:Sspon.03G0016940-2B transcript:Sspon.03G0016940-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPKFKFTFEFEASDDMQKLGVNRAFGGGDFSGMVSGGNGLFISGVYHKATVEVDEVGTVAAAATAVCMQQCARMSPPPVDFVADRPFLFAIVEEVSGVVLFLGHVVNPLVG >Sspon.06G0003080-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6A:9643444:9645298:-1 gene:Sspon.06G0003080-1A transcript:Sspon.06G0003080-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAERGAGGARVCNLRVRSLAGPARPVTHPGEQRGGKFDRTRWRVRSHATGRIRSTKSLSRTSLDSNRTLALSRPVVAWSASGHTLPGSTYLGLPLLNVKLPLSAFAPLIAKVDRYLAGWKALLLSTAGRVVLINSVLDGIPTYAMGAMLLPPRVRAAINARRRAFLWTGTDKATGAKCLVARENVCQAEEDGGLGIKRIDTQNACLLLKLIHRLHHFDGSAWAGWMKDHIRLSDLEGGLARTH >Sspon.01G0012230-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:40297335:40300049:1 gene:Sspon.01G0012230-2B transcript:Sspon.01G0012230-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glucose-6-phosphate 1-dehydrogenase 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G35790) UniProtKB/Swiss-Prot;Acc:Q43727] MQNHLLQILALFAMETPVSLDAEDIRNEKVKVLRSMRQLKLDDVVVGQYKGHSKGGRSYPGYADDPTVPKGSVTPTFAAAALFIDNARWDGVPFLMKAGKALHTRRAEIRVQFRRVPGNLYRRNIGTDLDKATNELVLRVQPDEAIYLKINNKVPGLGMRLDRSNLNLLYSERYRREIPDAYERLLLDAMEGERRLFIRSDELDAAWAIFTPVLRELEDRKVAPELYPYGSRGPVGAHYLAANYNVRWGDITSDGAAF >Sspon.04G0011030-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:33832402:33833553:1 gene:Sspon.04G0011030-1T transcript:Sspon.04G0011030-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SRHWPRTYRQAHIRFGCRIAAIDADPSSHGAVLTMADGTTMKFQVLIGCEGTYSVVAKYLGLSPVRTIPRPVLRGFTWYPHGHSFDKEFLRLRVGDFFIGRLTITDNLVHFFITMPKPPTGSRCQHQGPEESEGCRAEGDGRRQCPAEIIDIVRDSDPESLNFVTEFWYRPPWEVVFGNFQKGTVTVAGDAMHAMGPFIGQGGSRGWRTPSCSRGRWRGPWTTGKDAPAPAREKMIGEAIGEYIREGRPRVALLSLESFIMGALLVRSPSPVTKLACVAVLILLGSKSLRHAHYDCG >Sspon.07G0021620-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:4146294:4151437:-1 gene:Sspon.07G0021620-1T transcript:Sspon.07G0021620-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKAKGKQRQDKFYHLAKEQGYRSRAAFKLLQLDARFRFLPTARSVLDLCAAPGGWVQVAVNHAPVGAFVVGVDLVPIRPIRGAHSLTEDITTTKCRAAVRRLMDSNGVSAFDVVLHDGSPNVGGAWAQEATSQSALVIDALRLATMFLAPKGAFITKVFRSQDYNAIMYCLKQLFEKVEATKPSASRSTSAEIYIICLKYKAPAKIQPELLDIKHLFSVVPEQTKSRDVMDGRKKRHRDGYEEGNTTLRKVGLASDFIWSDAQAPLEFLGSYNAISFDNPQSLPIKNHELTTDDIKNFCEDLLLLDKNSFKHILKWRIRLRKALSVSLQVTPKVSDAVENTKVTDDDVLLQEMEELTSVIDRKKKREKKRLSKRRAKDKARKATGMQIDATGDDYGDPDLFSISVIKGGKELEAVESAELDVEDEIGDSENEDTQAREDSDEEMDSDKEQQRYDAQLEEMLDEAYERFVTKKGGEIKQERKRAKRINPDADADLLEGGEDDGDVEMDQDFDEDQDQETNPLLLSLDEQRPTKEQIVKQWYSQDVFTEAATSVTEQSDTEDERESLQRNKKMDTGKKEKVAKAQCLQQEDFEIVPAEPVRNEDDSSSSSDESEDDLNGYRKAEVLAYAKKMLRKKQREQILDDAYNKHMFDDEGLPNWFVEDEKRHRQPMKPVTREEVAAMRAQFKEIDARPSKKVAEAKARKKRVVMKKLDKARQKADAIADQNDINERSKRKMIDQIYRKAMPKKPQKEYVVAKKGVQVRAGKGKVLVDPRMKKDKRAGGTGKKGKKGGKGAKGKGGPKGMRGKAGKKAGKAPR >Sspon.04G0002360-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:8050495:8051830:-1 gene:Sspon.04G0002360-2C transcript:Sspon.04G0002360-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MILAKDGDKGNHLRKAPEVPLNDKWRNLIRATKTQLPAQKDQGACPRKINPSIIPIPPSILLRVKELNELQLQGGGFTAPVKFSGQDSKVLQGKGSGFL >Sspon.06G0024930-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:59017827:59023696:-1 gene:Sspon.06G0024930-1B transcript:Sspon.06G0024930-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AFVSLADLEEFTEKTKNDLLDRAPNIKVQRKYVQVFNDAVEQICKAYDELPKTSEAANGALPDQSEKTTEHLVRSPDVGEIPGLGQMEGDSPTDYSNTSGQGSGTEEDVKDGGHEVGDHSLAVSQKKTSLLQDPKHPKTKNLLHPKQEHSPTSVHTERETEEVKIEKESRSLEGFDLEPNLEVVCALEVPKKSKSNKLLRDAERKENKRAGIGSPTGRIAAEAASDVVLNMSTGKESREFKKSKIMTKQSLTTDSEKRDYNKTVHGKPDKQLTGKSSAGFSSNKKSLPGSGQHKMDSSADTRPAKKPRLMDRAGETDKTVAKSETKNINYEKHNAMKHERATAMETGKNTILKTGTSDGARRSGGVVSPISRLHSEVMEPASGSVTQSGVADSVKKGSSMKEDASRVDRQLVKPKRRACRFDDDENEGQRTPLHRTSAKSIGEIHRTSAKSIEHVPSEKAGTRGHVGNASGKKSGPAREEKSRSVRMSPVKHEPVFSSPSQDKVHARHQVTGRRLITGSVETPAVIGNKMNLVDCKSSAQVKMPASSEVKKLHSSSSKPSHLTSGNSHSRNYPASEKIALLPKSEETKAKSKPTASVEHKVGTNLSTEWTGKRDHLKEERSSSVDKAASSEPNPDSAKSIKHLIAAAQARRNLMASAHAKFDGSSTDNAAITSTPYGLPGLSPSPVFRIPSPPRIAFPESPGQRILKSPMELDNGHGKSPKSRQASGSPSGGTDAAIARDALEGMIETLSRTKDSIGRATRHAIECSKYGIAGEIVELLIQKLESEPNLHRRIDLLFLVDSITQCSHSQRGVAGASYVPTVQAALPRLLGAAAPPGAGARENRRQCLKVLRLWLERKIMPEDILRKYMGDIEVPNDGTSTTLMLKRPSRAERSVDDPIREMDDMLVDEYGSNATFELSGILSSKVFEDDEDFPRNNGSSPFVSRPVESDVIQETEETIAPASIEEHIIRVENVTTDAAMEDALEILGNNQQTDGAVLIEHEHDSSQDPGSEQALIDQDELPPLPDGPPPLPSDSPPPPPPLPPSPPPATPPPPPPPLSPASPPPPPPPPLPSGPPPQPAPPPPQPAPPPPQPAPPPLPTQAPPLPSIPPPVPSSPSSLGYQPPAPEYFMTPNGNQLTQMTGNTSIQAIGNTTTFIPGGPVNGQAAVNFVTSMPAEYGNNNVLWHHKLLMFRPTAVPFQQGNFSAFPSAQTPPVHPHSRMAHMNPMGQQAVPPPRNPYAVQSFPNSQSHYPPEEHWRMASGNFSPGGRALSCSEGPFMQDGYSRSNIDRSSMNPMNHQHTVLNHLPSGAPLPGFDAESSGHWRQFELSATVGGFVSL >Sspon.05G0031500-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:5515435:5520090:-1 gene:Sspon.05G0031500-2D transcript:Sspon.05G0031500-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLERNIKKGDTEEEEKARKEEERKEEEEVGERKTKTQEPQQGQGLILSLANGSGSSRSGMLPMSNPSANPTQLTIFYGGSVCVYDSVPPEKAQAIMLIAAAAAAAAATKSSAATAVKPPMMPTATVAPATVVSPVLTRSPSLQSTSVATGQPQVVADPSSICKLQADLPIARRHSLQRFLEKRRDRIVSKAPYSPAKSSDGMESPGMEVTAEGKAQ >Sspon.08G0010510-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:13120745:13123687:1 gene:Sspon.08G0010510-1P transcript:Sspon.08G0010510-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAAARSVRAMAAAAAVVAMLLSAAALAAAQHDYGDALHKCILFFEGQRSGRLPPDQRLRWRRDSGLHDGAAAGVDLTGGYYDAGDNVKFGFPMAFTATLMSWGLIDFGRSFGPHREEARKAVRWATDYLMKATAKPNTVYVQVGDAFRDHACWERPEDMDTPRTVYKVDPSHPGSDVAAETAAALAAGSIVFRDADPAYSKRLLDRAVAVRDRALARARLLVNVFEFADKYRGPYSSSLHAAVCPCYCDYSGYQDELLWGAAWLHKATRRREYREYIKRNEVVLGASDAINEFGWDNKHAGINVLISKEVLMGKDEYFQSFRVNADNFMCTLLPGISNHPQIQYSPGGLLFKVGSSNMQHVTQLSFLLLAYSNYLSHAGGRVSCGSSSASPVQLRRVAKRQVDYILGDNPLRMSYMVGYGARFPRRIHHRASSLPSVAAHPARIGCKAGAAYYASPAPNPNLLVGAVVGGPSDASDAFPDARAVFQQSEPTTYINAPLMGLLAYFSAHPNPADSGGD >Sspon.07G0017330-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:62502718:62506653:-1 gene:Sspon.07G0017330-1A transcript:Sspon.07G0017330-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTILYGSTAPQQTASMSHLEHSNSPVTKEVGITGGNCTTKRRRRSKSNSRRIRQRQLDFQSIIPCKVNIQSLPEDIALRITSKLTLKEAAQMCVLSSTWRQACVFHPNLYFGIQTVLGSRANAELIFTDPRKRMLTTNKFIERVDAILKNHCTTPVNKFAIEFRLSTEHASHINRWVSFAIASKARVVVLDLSPNKSALDRDCYDFPFQLFDGHSGSHLQALQLHWVTLGPPPDFCGFANLKMLDLVFLILSQDLQHLLSKCCVLEWLRIRLCFFQLPSLSFLCVQEPLYRLQYLCIEDCAFREIEFHAPNLMTFEYKGSRTLINLNECLKLKTATIRLYLNDTLEYVLTWIPCILPQVDTLCVKLRISSKMSGFTQPPLKFIQLRHLTMEITSCCDPNSVFQLAYLLEAAPLLEDLHFDMFGFDLCHGDLDDIMDLPHYHLKIVCIDGFFGTAGQ >Sspon.05G0025570-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:32238606:32243495:-1 gene:Sspon.05G0025570-3D transcript:Sspon.05G0025570-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATQATLGVEVGKGEKGTGVKRCVLQCSIESKDPVFLCVLMPEQSETCHLELEFEEEVTFSVIGTRSIHLAGYYMTDVCGEDRSDSDSGSDSQGSDEDGFLEEDDDGNMVMGYSDSEDDDSEYDSESDDDEMAYNRGRGKSSVVIEEIEEDDKAAVAEVQKGSKKKQSRENGDESQLQLAVRNPPTESLESEDEDGFPVSFSESKKSSESVSNKKGGKDKETSTEDRKRKSGAISDRGDSSGEVKAEIDGHHQRKRKRPRTKALLWTLENTKQKKKKNKNKSASEQSAKNNNIHKDNEEASAQEASKKNKKKKADVINRSESQAATGLGESDSKEPLQTRTFANGMIIQEVEMGKPDGKKATRGKKVSVRYIGKLKNGTIFDSNVSGRPFEFRLGVGQVISGWDVGVNGMRVGDKRRLTIPPSMGYGSKRVGQIPQNSTLIFDVELVNLLDASRGCQQRARRPRGHSHGPSLPESRNRNPNAQCLRRRRIPPCVLSSPSLPHPPATLDTRPPARRRLCVRSSRPSPPGLPKPTRPPPPPPRRLHGADRRLSALIHRGDLDAALRLVGSSPRPPDVPLANRLVRDLCRRGRPADAARVVEACGPEATAATYGALVDGYCRAGLLEDARRVVGSMPAHVQASSAYAYNPLIHALCERGRVADALGVLDGMLCRGCAPDVVTYNILLEAACKGRGYRQAMELIDLMRTEGCEPNVVTYNVIIDAMCREGDVDQARELLNSLPSRGCKPNTVNYNTVLKGFCSVERWLDADELLDEMVRENCPPNEATVNVIVNTLCRKGLLQKR >Sspon.05G0016520-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:67405071:67407864:1 gene:Sspon.05G0016520-2B transcript:Sspon.05G0016520-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLPSLPTNLPTTFMKEITDDFSAKQEVGHSVFGKVYKGALADGRMLAVKRLIENTLAAPGKAFDTEVTNLMAIKHENIVELVHYCHESQKKVIQHNGRYVIVEITESCLCYEYLPNGSLNRHIYGEISSINWDTRFKIIKGICQGLHFLHSIKELDGSLVHMNLVPNSIWLDDNWVPKIADFGLSRLFGKEQTRMYTVNVKGQNGYMAPEYLYKGEISTMSDIYSLGMIIIEITIREKNCAVSDSQDKAARKFVDNVHQNWKTDEQIIYKYPQLDSNGLQQVKACIEIGLKCVEADRRKRPPIVDIVDRLNGKRVPIFDQDSPFRHA >Sspon.01G0038410-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:25743859:25745092:1 gene:Sspon.01G0038410-2D transcript:Sspon.01G0038410-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQMSKAVPPQPKRASSCPPAYVMRPPTGAGPDRRPEQISSGSGLWLRCVAESGADCQVCPVEYAVPLGNSDGDVVRPVGRSSSLSSWPTVATPSSSRVAAITARFVIARCGGSESFRSKG >Sspon.07G0019680-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:76842364:76843977:1 gene:Sspon.07G0019680-2B transcript:Sspon.07G0019680-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVSAEAGNASAAEWLDDDGRPRRKGTFWTASAHIITAVIGSGVLFLAWAIAQLGWVAGPAAMLLFAFVTYYTATLLAECYRTGDPDTGKRNYTYMDAVRSNLGGKKVVFCGVIQYANLVGVAIGYTIASSISMKAIRRAGCFHTHGHGDPCKSSSTPYMILFGVVQILFSQIPDFDEIWWLSIVAAVMSFTYSSIGLSLGITQTISNGGFKGSLTSITFGAGVTSTQKIWHTLQAFGDIAFAYSFSNILIEIQDTIKAPPPSESKVMQKATRLSVATTTIFYMLCGCMGYAAFGDNAPDNLLTGFGFYEPFWLLDVANIAIVVHLVGAYQVFCQPIFAFVERRAAAAWPDSAFISRELRVGPFALSVFRLTWRSSFVCVTTVLAMLLPFFGDVAGLLGAISFWPLTVYFPVEMYIKQRRMPRGSPRWISLQLSFTCLLVSIAAAAGSIANVVDALKVYRPFSG >Sspon.07G0022110-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:6751737:6755055:-1 gene:Sspon.07G0022110-3D transcript:Sspon.07G0022110-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRLELRFLCFFGCEDLWTSADPELEAIRQRRMQELMAQRGGANQQNAGQQKAQEDAKQEAEERRQMMLAQILSSEARERLSRIALVKPDKARGVEDVLLRAAQTGGISEKVSEERLISLLEQINTQTSKQTKVTIQRRRSVLDDDD >Sspon.01G0048630-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1B:110036401:110037525:1 gene:Sspon.01G0048630-1B transcript:Sspon.01G0048630-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQQLAKTTLLLVALVVVSAVELCRAIEFDERDLASDEALWDLYELWQTHHRVHRHHGEKGRRFGTFKENVRFIHAHNKRGDRPYRLRLNRFGDMGPEEFRSTFADSRINDLRRAAPAVPLPGFMYDDATDLPRSVDWRQHGAVTAVKDQGRCGSCWAFSTVVAVEGINAIRTGSLVSLSEQELVDCDTAENGCQGGLMENAFEFIKSYGGITTESAYPYHASNGTCDGVRSRGRGRVQVSIDGHQMVPTGSEDALAKAVAHQPVSVAIDAGGQAFQFYSEGVFTGDCGTDLDHGVAAVGYGVSHDGTPYWIVKNSWGPSWGEGGYIRMQRGAGNGGLCGIAMEASFPIKTSPNPNPARKPRRALISRDSSSSSS >Sspon.05G0001560-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:3760661:3765989:1 gene:Sspon.05G0001560-3D transcript:Sspon.05G0001560-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTNPDEPKSRARTDFLLNNESEVQKFWDENKVFEADPGNEPPSPGEKFFGNFPYPYMNGLLHLGHAFSLSKLEFGAAYHRLRGSNVLLPFAFHCTGMPIKASADKLAREIQQYGYPPVFPVAEGSSAAVEDAIQADQADVVAPDKFKGKKSKATAKAGAQKYQWEIMKSFGLDDEEIARFQDPYHWLTHFPPLAKEVLQKFGLGCDWRRSFITTDMNPYYDAFVKWQMRKLKKLGKVVKDMRYTIYSPLDGQPCADHDRATGEGVQPQEYVLIKMEVISPFPPKLKALEGRKVYLAAATLRPETMYGQTNCWVLPDGMYGAFEINDTDVFILTARCALNLAYQHLSRVPEKPTCLCELSGTDLIGLPLKSPLAFNETIYALPMLTVLTDKGTGIVTSVPSDSPDDFMALQDLVAKPALRAKYGVKDEWVLPYEIIPIIHIPEFGDKSAEKVCHDLKIKSQNDKEKLAEAKRMTYLKGFTDGTMIVGEFSGRKVQEAKPLIKSKLLEEGTAVLYSEPEKKVMSRSGDECVVALTDQWYITYGEAEWKQKAVKCLDRMNTFSTETRNGFEHTLGWLNQWACSRSFGLGTRIPWDEQFLVESLSDSTLYMAYYTVAHHLQNGNMYGKEISSIKPEEMTDEVWDFVFCDGPAPKSDIPAALLNKMKQEFEYWYPFDIRVSGKDLIQNHLTFCIYNHTALLPEHHWPLGFRCNGHLMLNSEKMSKSTGNFLTLEDAIKKYSSDATRFALADAGDGVDDANFVTETANSAVMRLTKEIAWMEEVTAAESKLRAGPPTTYADRVFENEMNIAIIETEKSYNAFMFRAALTSGFYDLQSARDEYRLSCGAAGMNRDLLWRFMDVQTRLITPICPHYAEHVWQKIMKKEGFAIKAGWPVADTPDPTLRIANKYLQDSIVSFRKLLQKQESGSKKPKKGAAPAPPAEEKKMSIGLIYVDEHYSGWKEQCLRVLQSKFDSQSRSFAPDKEIAEALKECPIGQEMNLKQVQKLCMPFIKKKKDEALEVGPQALDLKLPFGEMDVLRENMELIKRQLGLEQVEVLSASDEAARAKAGEHVSLLEKNAPGVPIAIFLSRQG >Sspon.01G0031910-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:109519736:109526146:1 gene:Sspon.01G0031910-2D transcript:Sspon.01G0031910-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQCAQAKSVEPRGAEQMDEEEDPGWYSRVPEFNPEPVVDIQMHKPKDEPQSVPASTNGTSIPEPKIISENGSSVETQDKDVILEGLSTVSSHDEWTPLSVSGLRPKPRYEALDLKSLTWSKVDAKFQAESADSTKTTQIAPCAGHSLISWGNKFLSIAGHTKDPSEGITVKEFDPHTCSWSIVKTYGKPPVSRGGQTVTLVGTTLVLFGGEDAKRCLLNDLHILDLETMTWDDVDAIRYKLFSFWNSKMEWSRPKQQGLTPSPRAGHAGATVGENCITNTLASTGVSETLVLNMSTLTWSVVSTVEGRVPLASEGMTLVHSNYSGYDYLISFGGYNGRYSNEVYTLKLSLKSDSQSTVKEETVSDTTSRVIEPEAEISQDGKIREIAMDSADSDLNNRNDEASEQLIADLKAQKEELEATLSREQLQTVQLKEDIAQAETRNAELTKANQAFVVLNELQAVRGQLASEQSRCFKLEVDVAELRQKLQSMDALEKEVELLRRQKAASEQAALDAKQRQSSGGMWGWLAGSPPPAIARIALCVPPLKASHSIDMMQDLFSVPSCFSAGEKLPDVPAYAAATRSGQSAATLV >Sspon.01G0052170-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:20421104:20423675:1 gene:Sspon.01G0052170-1C transcript:Sspon.01G0052170-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMHAEVTAVDWAWWIGLLLGAVPLLALVVWHGNDASHCAFFALKRWRRRARLPPGHMGLPFVGESLWLLWYYKLARRPDGFVHARRRRYYAGAGARAGDVGVYRTHLFGSPTVLVCSPAANKFVLKSSQDGTFGIRWPAPELVGLSCVVNVEGSQHARLRGFILAAINRPGSLRAIAEVVQPRVVAALRSWADKGTISAATEIKKVTFENICKMFVSMDPSPLTDKMDGWFAGLVAGFRAFPLDFPGTAYRHARACRKKLDTVFREEVQRRRRADTTVDANNDLMSGLMEMEDEQGKKLCDDEVVDNIVSLVVAGYESTSNAIMWAAYHLAKSPHALHKLREENDAVSRDKNGGFISLDDIPSMKYTAKVVEETIRVANVAPMVHRVALRDVEYRGNYIKQVVVWLRSLHTDANYYDDPLSFNPDRWDKPPKPGTYQVFGGGPRICAGNMLARLQLTIMLHHLAVGYKWELLNPNAEVTYLPHSKPVDGAVMSFSKLNSV >Sspon.07G0019940-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:73701212:73701433:-1 gene:Sspon.07G0019940-1A transcript:Sspon.07G0019940-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding PMAAAAAAEDAKEKELLSSVVGDIRSYSGSDPLRPWLRYGTRTHRSSFLPCLFSASPHRFLRPADRLIRPPAVC >Sspon.07G0004710-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:11387250:11389609:-1 gene:Sspon.07G0004710-2B transcript:Sspon.07G0004710-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MECSDSTSSQGHHVNGKQNQVQAIDPPGPSIVEMGSRLRPLTFMRRFRGVLCLVIMVLTAFLMMVYLSPVTTFLVRLFSLHYSRKSTCFLFGMWLAMWPFLFEKINKTRFVFSGESVPAKERVLLFANHRTEVDWMYLWDFALRKGRLQCIKYILKKSLMKLPVFNWAFHIIEFIPVERKWEIDEAIIRSRLSELKNPKDPLWLAVFPEGTDYTEKKCIKSQEYAAEHGLPVLKNVLLPKTKGFNCCLQVLRSTIDAVYDITIAYKHRPPTFLDNVYGIGPSEVHIHITSIQVSDIPTSEDEVADWLIERFRLKDELLSSFSTLGHFPNEGTEGDLSTIKCLANFVAVVTVTGLLMYLTLFSSMWFKIFVAFSCSFLTLATCYSI >Sspon.03G0007500-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3A:20277973:20278434:1 gene:Sspon.03G0007500-1A transcript:Sspon.03G0007500-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVAGGQRPAAGLQLLPRTAHLALFSPSPPFLSLSSISSRQFELDLDAEFGGAGARWGHVGRSPFQRGVMRAALLHPDKAGRGMDAGKVRVVRLDTPFVRTSGR >Sspon.07G0030400-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7C:6486644:6488080:1 gene:Sspon.07G0030400-1C transcript:Sspon.07G0030400-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding KHKGTEGLIEIENPNLVKSKNIKVKDIDIGKTTDISRREREELEKQESHERHMKRQEQGKTEQARKDLVLLYHLQNTDDFAYPFANVERLTLIRQQRAEAAKKREEEKAGMSLATFAC >Sspon.01G0059890-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:69468102:69471786:-1 gene:Sspon.01G0059890-1D transcript:Sspon.01G0059890-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRRDDRRLGDVTAGGWATDELRPCMLRSFDSLHLCGSCRQDAHSRRHQHRLGSERGRDRPPVPFAQFSVCPTSFCIISLYSSSPSLFFNFSLDCFLPGGRAFLAEGSEELCWPWVVESSSSCSLLTGRLTSLDLAGPLLP >Sspon.01G0025540-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1A:90055019:90056184:1 gene:Sspon.01G0025540-1A transcript:Sspon.01G0025540-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPAFLLVVTLCGGGGCSPRCCTAAPRATDTIDVQAMQAIARSTGADKSLGWGVKSADPCDGTCNGGLVGTISGTDLSDLAFLSSLDLSFNRLGDDLPVLPRPLSYLTTLNLSSNSFFGIPDSFFFSFPALETFAIDDNLVITGSVPEDVVRCPALRTFSANNVTLFGAVPEFFGNATIFPALERLSLARNLLLASIGADFGKGSKIRFLDLSSQTPATEGDSLIGRLDFVAGVTDLVEIHEVNTASFDGPLPDASRLVNLKVFDAPNNNLCGPVQYKFPPGVAANIAGNPG >Sspon.02G0028780-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:96953840:96966263:1 gene:Sspon.02G0028780-2B transcript:Sspon.02G0028780-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRGWLPRAEGSQWIGQGISQRSGDGGQRSGRALGQGIRPLVRALGQGIRPLVRALEFRPQVVRALEFRPQVRAQLGVRPRIYDEYCPILLNQFKPREYNEFATFDAALDEFYSKIESQKVNQQQKAKEESAAQRLNKIKLDQENRVHTLRKEVDHCVKMAELIEYNLEDVDAAILAVRVSLANEMSWEALTRMIKEERKAGNPVAGLIDKLNFERNCITLLLSNNLDDMDEDEKTAPVEKVEVDIALSAHANARRWYEMKKKQESKQEKTITAHEKASENYLIISGRDAQQNELIVKRYMSKGDLYVHAELHGASSIIIKNHKPDTPIPPLTLNQAGCFTVCHSKAWDSKIVTSAWWVYPHQVSKTAPTGEYLTVGSFMIRGKKNFLPPHPLVMGFGILFRLDESSLASHLNERRVRGEDEALQEMEAESRKKQSNPESDEEIGSDEDANKETHEDESSGQTTNIQQNNDKLPDLSSNIGTAANSPELLPEIQAEETLDNGNSISKEETIEASVSSQLDDLLDKTLRLGPAKVSGKSSLLTSIPSSLAEDEDDLEVKRPTIRDKPYISKAERRKLKKGQVNGETATDSQNGTAVETPGTSKQEKGKADTKATDSKASQPGTSQQEKGKANTKATGSKLSQPGNSQQEKGKGSTQAANAKVSRGQKGKLKKIKEKYAEQDEEEREIRMALLASSGKALRKDKPSQDGEETSVKESKPSAGEDDSSKICYKCKKAGHLSRDCPEVTSEVDRNDGSISRSRDVMGTNTAPADGNSPMDEEDVQEIGDEEKEKLIDLDYLTGNPLPSDILLYAVPVCAPYNALQTYKYRVKITPGTAKKGKAAKTAMSLFLHTPDATNREKELMKACTDPELVAAIVGNAKITAPGLTQLKQKQKQKGKKSAKQN >Sspon.08G0014720-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:59904542:59908571:1 gene:Sspon.08G0014720-1A transcript:Sspon.08G0014720-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRALPRVGEPVRWWLASRARPRRAMGSSAPARAAGGRRDPGENPAVGRLRELFTGDAADGWEKSWEFGVTPWDLGKPTPAIEHLVRSGTLPKGRALVPGCGMGYDVVALASPERFVVGLDISDLAVKKAKQWSSSLPNADYFTFLAEDFFKWIPSEKFDLIFDYTFFCALDPSLRVAWAETVNRLLKPDGELLTLIYLISDQEGGPPYNNTVADYQKVLEPLGLKAILMEDNELAIKPRKGCEKIGRWKRCVHQSSL >Sspon.04G0018360-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:71740202:71744316:-1 gene:Sspon.04G0018360-1P transcript:Sspon.04G0018360-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALAARSSVLSPPEIAGDASPSLSLPLRRASAAFPGPRRSPSSLAISTRWLRAPTRRGGRLLAGAGEDGSLDPADDTADQAEGFPVLENNVTIHGNNDMDTTKHDDAGTSGSGGSNTGGSRTGLFRTPISGGVHSATAVHDLPPPALAVRNLMEQARFAHLCTVMSRMHHRREGYPFGSLVDFAPDPFGHPIFSLSPLAIHTRNLLADPRCTLVVQVPGWSGLSNARVTIFGDVIPLPVEQQEWAHQQYVSKHQQWASQQWGNFYYYRMHTISDIYFIGGFGTVAWIDVNEYEALQPDKIAMDGGEHNLKELNSMFSKPLKELLSTDGGEVDDVAVISMDSKGIDIRVRHGAQFNIQRIAFEVDHTVETLDEATEALRRIISKSRWHTRAQL >Sspon.01G0054870-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:69844536:69845592:1 gene:Sspon.01G0054870-1C transcript:Sspon.01G0054870-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MWEYFSHIKILTKNKLPYPVLLWKLFHHLRHIHYTSISPSITDGKGCSHDGHGLYHFPLFDIVNHLAIMKLTIMLWQM >Sspon.06G0021310-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6B:14019626:14020216:1 gene:Sspon.06G0021310-1B transcript:Sspon.06G0021310-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTIAPQLYLKTKRKKLQQAMKNGKWIDHIYPPTSPEEVTEFVKLWEAVNGITFNDMIEDDVRWRWTMDGEYTAKSAYQIQFVGTFSKIRITPIWKARAESKCRFFAWTLMHKKILTASNLLKRSWTDETDCKFYGAALETPIHLCKDCPFTKQVWGIIKQWFNLTATDSVSDAGSLHGYWWKCRTDLERMKEEI >Sspon.06G0005120-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:39227613:39233039:-1 gene:Sspon.06G0005120-2C transcript:Sspon.06G0005120-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEVAVVLEPPRPKSPPRYPDLCGRRRLQLELQILNREIDFLKVRHHRRQYRSLLSVSSPFERRRHTDLAVFFGGSDQNCAYACHGSAAPAIACPTAKDHAAWIAPAAHAQICHAASPAANHATSPALGPTAVHVVTHHAASQIVHPVVRAAARAAICRVATPTVARVAPAIHHAVNQTATRAADQTVARAADQTVARAAIRHAANRTAAHGGNRAAAASRPSRAANSSPAQTAALAASRAAPAATPAAVASNAAHAPSTAANASQAAAVSARNVAAARNAARAQAASVASVASSPSSAPTCSGAAPASSASSASPRAARGRRPAASASRRAARVKTAAAAAGGHAAVFRSRLALGARAGAFGPAGSVQKGVDVLGAATRAVPLDACVEVELD >Sspon.02G0002980-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:9992063:9997308:1 gene:Sspon.02G0002980-1A transcript:Sspon.02G0002980-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVMQKIKDIEDEMARTQKNKATAHHLGLLKAKLAKLRRELLTPTSKGGGGAGEGFDVTKSGDARVGLVGFPSVGKSTLLNKLTGTFSEVAAYEFTTLTCIPGVIMYKGAKIQLLDLPGIIEGAKDGKGRGRQVISTARTCNVILIVLDAIKPITHKRLIEKELEGFGIRLNKTPPNLTFRRKDKGGINFTSTVTNTHLDLDTVKAICSEYRIHNADVSLRYDATADDLIDVIEGSRIYTPCIYVVNKIDQITLEELEILDKLPHYCPVSAHLEWNLDGLLEKVWEYLDLVRIYTKPKGLNPDYEDPVIVSSKKKTVEDFCNRIHKDMVKQFKYALVWGSSVKHKPQRVGKYITLHCHTLTLGVRFLALIHCALVQEHELEDEDVVQIIKKI >Sspon.02G0049970-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:46719730:46723976:1 gene:Sspon.02G0049970-1C transcript:Sspon.02G0049970-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MINHKRNPRQRDDTRFLPRFTCFPTSYSSLWRCTHLSVRELIDYQESSPRRTPHNRTQDGDPPSHDIDGDRSATLFHVFEQTGQPRPWRVVGPVAGVEDPVGQARLRERPRHHDAISSSSLLDRSCSAALDDWMQDASRLQPTSACFRGTGGKKGKRNEELTVRRETSSSMSRSAPLQIGVSLSPTRMRETS >Sspon.04G0019980-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4A:70404593:70407312:-1 gene:Sspon.04G0019980-1A transcript:Sspon.04G0019980-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding FQVAGHHQN >Sspon.07G0024120-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:22157551:22162737:-1 gene:Sspon.07G0024120-1B transcript:Sspon.07G0024120-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVGECKSNDYGAAAYWDARYSSGSPASAAAGCGFFDWYQTYPALRSLLRARVPASSRVLMLGCGNSLLSEDMAKDGYENIVNIDISSVVIEQMREKHKEITQLTYMQMDIRDMGFFGDGSFDCVLDKGTLDAMMCADDAPHGASKMLAEVARLLMPHGIYLLITYGAPKERVPLLNQSGCGWSIALYIMPTPGYQLGMSKGAPQPIMEEVTLTEDGQLSSDYVLKDPESHFIYVCHKLEEKGANCRDTDPEETANAN >Sspon.03G0017230-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:53948052:53949161:-1 gene:Sspon.03G0017230-1A transcript:Sspon.03G0017230-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEPGVNGRNVVSWVASGLVLWSTAFLLVRALFPKRSYDFCNRAVSTMHAVAAVCLACLSVADWSCPVCPLAAASSPRQMKALSVTLAYMVYDAACCHLNGDVRLDNTVHHLVSIVGIGAGLAYQRCGTEMVACLFITEISSPLLHLREMLKEFGVRDTDLNLLVDVLFAVTFSVARMGVGPYLTYVTLTADYPILIKVRDGDGAAAGERLLVPADPQDGQVQARQEEAAANTARQARRRQLMSSDRAGDLQDL >Sspon.08G0017720-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:2658723:2659004:-1 gene:Sspon.08G0017720-1B transcript:Sspon.08G0017720-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKQATALALLCVVLLAATVDGAAAAASCNPSALSACAGALFGGAVTPRCCASLRAQQPCLCQYKRDPAYRGYVNGPVAQSVTRACGLPMIKC >Sspon.04G0006760-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4D:20072886:20074289:-1 gene:Sspon.04G0006760-2D transcript:Sspon.04G0006760-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LLAATALHDDDDTLTLPRLQGGQHEAVWSTSNMGEGVVIGVLDAGHPSFGDEGMPPPPARWRGRCKHAGVASCNNKLIGARDFTRHLRRPGTAPRAGTHGTHASSVAAGAFVCAGAARGCSRGSVVHAVEAALADGVDVLSLSLGDDDGLGFDEDPVAAATFSAVTRGVFVCAAAGNKGPTPGSVANDAPWILTVGASSQSQEGTPRSATIPAFSSRGPSSNNGGVLKPDIVGPGVDVLAAVPRSARGPSFASLSGTSMAAPHLSGVAALIKSAHPTWSPAAIKSAIMTTSDASLTDETGTTASYFAMGAGLVDAAKAIDPGLVYDTSPEEYIPYLCGLGYTDEQVNRIIYPAPAVQCAEMENTEAKDLNTPSIMVALTVDGPAVTVRRTVTNVGAARSVYRVDVNAPDGVSITVVPGELQFDEVNQKASFIVTMEKAP >Sspon.03G0006270-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:17327699:17328904:1 gene:Sspon.03G0006270-1A transcript:Sspon.03G0006270-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AMDNTSRDRGLTTGDELMQAQAELWNHVFAYTRSMSLRCAVELGIPDAVNRLGGAASVPELVAALSLPLPRAPYLRRLMRLLAHAGFFVFDAAAASYGLTPLSRLLVSRATPGAGGQGGLSPFALAMLHPVIVSPSLSLASWFRAADAVNAAARVPFESAHGRDLWAVAKDDREFGAAFNDAMACDGRFVMDVLVRDHNDVFRGLASLVDVGGGSGGAARAIATAFPHIRCSVLELPHVVAAVPTGELGGVEFVAGDMFEHVPKADAVLLKVCFSASHITLLCLGLVKLKNDVARGCCVLVQWILHGWDDENCVRLLRRCREAIPSREDGGRVIVMDLVVGSSPADEKATETQLLWDVMMMGVVGSPERDDREWRKIFQDAGFSGYKIVAVLGIRSVIEVYP >Sspon.08G0003990-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:11537436:11538403:1 gene:Sspon.08G0003990-2C transcript:Sspon.08G0003990-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRSLTEVNWLTQEHGGELAYSRARWCCCTQATDMDGCSSTFLNKPIHVMLEVNQSEHILSSTGDLLMYQFFKVLGSFGVMKIVKLIWRGTVWLHGLKRSRMVLRHGHKHGA >Sspon.06G0026430-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6B:79083570:79086328:-1 gene:Sspon.06G0026430-1B transcript:Sspon.06G0026430-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLPVPPPHVTVATPSPSLLAQVQIPRPSLPRISVVKGKRRLRFLTTRHKQRQRRSLLCMSFWPASPRTTSPGTQHDIFNAMGAMYAVVLFIGITKATSVQPVISIERFVSYRERAAGMYSALPFAFSLVTVEFPYILSNNSVMPIMPPDNWFASPKVGCSRIPPITADSQMHLACVFSHVRAVRLKNQPTTEKTYYWSTTMTPALLLLSNFTKNHCIWSY >Sspon.05G0025550-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:31960639:31970770:-1 gene:Sspon.05G0025550-1T transcript:Sspon.05G0025550-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zeaxanthin epoxidase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G67030) UniProtKB/Swiss-Prot;Acc:Q9FGC7] MALLSATSPAMAHFSALLFCHDEPQQHQHQHQHALPAPHPHPQCFGGGKARQRARGRCAAASMRPPDASVAPAPAPAAGEARWKKPRVLVAGGGIGGLVFALAARRKGYDVTVFERDMSAVRGEGQYRGPIQIQSNALAALEAIDMSVAEEVMRVGCVTGDRINGLVDGMSGSWYIKFDTFTPAAERGLPVTRVISRMTLQQILARAVGDDAILNGSHVVDFIDDGSKVTAILEDGRKFEGDLLVGADGIRSKVRKTLFGHSDATYSGYTCYTGIADFVPPDIDTVGYRVFLGHKQYFVSSDVGAGKMQWYAFHNEEAGGTDPENGKKKRLLEIFDGWCDNVVDLINATDEEAVLRRDIYDRPPTINWGKGRVTLLGDSVHAMQPNLGQGGCMAIEDGYQLAVELENAWQESVKTETPMDIVSSLRRLRVAIIHGLARMAAIMATTYRPYLGVGLGPLSFLTKLRIPHPGRVGGRFFIKYGMPAMLSWVLGGNSSKLQGRPLRCRLSDKANDQLYRWFEDDDALEEAMGGEWYLFPTSEGNSNSLQPFRLIRDEQRSLSVGSRSDPNDTDSSLSLPFPQISERHATITCKNKAFYLTDLGSEHGTWITDNEGRRYRVPPNFPVRFRPSDVIEFGSDKKAMFRVKVLNTLPYESARSGKQQGQQQQQQVLQAA >Sspon.02G0010960-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:24077064:24081469:-1 gene:Sspon.02G0010960-3D transcript:Sspon.02G0010960-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANFWTSSHCKQLLDPEDVDLVPAADRDRGITPEEFRLIKIHMVIATAVTYFRRVYTRKSMSDYDPRLVAPTCLYLASKVEESTVQARLLVFYIKKMCGSDDKYRFEIKDILEMEMKLLEALDYYLVVFHPYRPLLQLLQDAGITDLTQFAWGLVNDTYKMDLILIYPPYMIALACIYIASVLKDKDTTAWFEELRVDMNIVKNISMEILDFYDTYKIDPQRGIPEDKISPVMNKLPAKA >Sspon.05G0010160-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:5A:29087697:29088119:1 gene:Sspon.05G0010160-1A transcript:Sspon.05G0010160-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLYAVVNAPRHRAGDVSARYRGAACVVNPTPKPSSIRPMMSMATLTAPALIAEPTRNKTPPISMTADRPTVLVTRLATSDESIAAMYNDEVNAVSRWSSYLQYGSCFAFLVPLDTSGKNFTRNDSICVTPPFKCTATECV >Sspon.04G0013540-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4A:48552817:48554972:1 gene:Sspon.04G0013540-1A transcript:Sspon.04G0013540-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MANASRVYRFQVLLPSGVSSMLTLHDPGYEISVSDLLLKVKGEVGNTHWDVNGKVYLTDLLDGIITDKIMLSNFDTKSVNILKLHDGKGGSASIYENMWDLTPQTDLLQELPAEYSTESALADLTGDNGFFKP >Sspon.03G0020710-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3A:64128475:64131735:1 gene:Sspon.03G0020710-1A transcript:Sspon.03G0020710-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALHSLSLLLLLLLLSSSITISALTAAAEGDEEEAALLAFKAAAISGGYNDPLASWNRSTTGGYCSWEGVRCRGKHQRVVALSLPSHGLTGVMSPAVGNLSSLRILNLSSNGFSGNIPGSLGRLRHLYTLDLSRNAFSGLLPANLSSCSSLMGMVISFNKLHGNVPPELGEKLKQLKVLRLRNNSFTGRNPASLANLTSLSILDLAFNLLEGTISNSIGVLKDLWYLDLGFNSLSGVPPMSLYNLSSLEMLQIQSNMLSGSIPSDIGSRFPSMQILDYMKNQFTGPIPASLSNLTLLQQLHIGQNMLSGHVPRTMGKLRALQHLHLVRNTLEANDGEGWEFVTSLSNCSQLQRLAISYNVAFTGQLPSSIVNLSATLQVLDFSATGIWGSIPSAIGNLVGLESLGANDASISGVIPDSIGKLGNLTDIYLYNSNLSGQIPSSIGNLSKLAILNALSSNLEGPIPPSIGKLKNLLALDLSMNHLNSSIPREIFQLPFSYLDLSYNSLTGPLPSQVGSLQNLNQLFLSGNQLSGEIPESIGKCTVLQEFWLDNNLFNGSIPQYLNKALTTLNLSVNELSGSIPDAIGSISGLEQLCLAHNNLSGPIPAVLQNLTSLSKLDLSFNNLQGEVPKEGIFRNLANLPITGNNKLCGGIPQLHLVPCKTDSVKKKRRGKLKYLKIALATTFALLLLAIVVALVHLIYRKQTQKKGAFGPPMVEEQYERVSYHALSNGTNGFSEANLLGKGSFGTVYKCAFQAEGTVVAVKVFDLQQSGSTKSFVAECEALRRVRHRCLMKIITCCSSINEQGQDFKALVFEFMPNGSLNRWLHIESGMPTVNNTLSLAQRLDIVVDIMDALDYLHNHCQPPIIHCDLKPSNILLAEDMSARVGDFGISRIISESESLILQNSNSTIGIRGSIGYVAPEYGEGSSTTTFGDVYSLGILLLEVFTGKSPTDDMFRGSMDLHKFSEDALPGKIWEIADTTMWLHTSTYDGNTRNIIEKCLVHVIALGVSCSRKQSRERTPIQDAVNEMHSIRDSYLKFARHLAVEDGAGMILQ >Sspon.03G0029280-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:20555126:20558139:1 gene:Sspon.03G0029280-2C transcript:Sspon.03G0029280-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNHQQQGVVYGYSEAIYVTSAGPPPYPPPPPPPPPPPRRMSPFRILVRAFIGACIFIGVLALLIWLIYRPRTIQVAVATATLARFDLVDTTSTTPVLSYNLTAVLAVSNPNRRVSIYYDQLQAAGLYQEERFGRAALPVSFQGTRHADAVPALLVGNTSMEFGDSGVAAFNDSRLAGVFPVDLWVDGVVRYKFGELMTTTASTLTVKCHLALKLMVASGLKEEAQKGEVADRAYGEMAAYEKHQPEAPLNAAYYGQPIPPPQPAYYPPPPPPPPRPAPRRSGCARCLLGSLVALVVALGVAVLVLWLIFRPDNLKAYADSAALSRFDLGNGNGAGGDLLQYNLTVVIRVRNPNSFGIRYDYAEAQAFYDGDRFGFDPLQPFYLDSKSDARITASFNSSTVVDDDDARRTYRRENGEGFYYVKVKVYSDLSFRVRIIRRHDFKSKITCVLRLPVPAAGGNVNATAMTTLGTRCDVDF >Sspon.01G0032500-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:109771522:109774042:-1 gene:Sspon.01G0032500-1A transcript:Sspon.01G0032500-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMASTAIAGGGQVLNVSNPAVVRVRQLIGGTGEYSSDGWRRCWEEGVTPWDLGQPTPAVVDLVNSGTLPGGDRDGRATTVLVPGCGAGHDVVALSGAGRFVVGLDISEAAIHKARQRAAADGNSLDFVAADFFTWEPPDKFDIIFDYTFFCAFEPSMRPAWAKRIADLLKQDGELITLMYLLRGGAESLGFRHEQHPRQPGGSPITKGNGKNGKVEEDGKPNQPEFCRRDAD >Sspon.01G0015230-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:44216574:44218013:1 gene:Sspon.01G0015230-1A transcript:Sspon.01G0015230-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPVADAVNLAVTCQSLPSHSTIAFLFRSASCLAIKTTQRNRREANPSPPPPPPPPEPRDALPYLCQAMEAAGATSARLARSASLSAARTHHRSRTARFPPSPQLAPLSAAASPRALPLRRARSDAELAYSARSAVLLRHAPIPAILEAADEEEREHDDDSKAPAGLDGAGAGRNGSSGSGGRGGGGGQGQGSGCDMGEYYRRVLRVDPGNPLLLRNYGKYLHEVERDLAGAEGCYARALLACPGDADLLSLYGRVIWEARQEKDRAADYFQRAVQAAPDDCYVLGSYASFLWDAEDDDDDEEAGTRASDVKEETTSTAVASCDSPALVPAC >Sspon.04G0016960-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8B:11312886:11317972:1 gene:Sspon.04G0016960-2B transcript:Sspon.04G0016960-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RTTMASQPNLPSPNEPNYMGVTSALFLQAQRINSPPEAAATSSPAADATSSPNFSGIDVLSGHAKTIELETFMVRQLCSRNVGFVIRKHKMRLMGSAGCLGLAPRKRQLIVLGRHVPAKLRRRPPVQLRPVTVPPPASSCSRRLHAVPQLSVFRWIKHTQRRGGVERNKPAAIGTQVRNGYSPELSDADPAALWPCDRVIMSRVSSSATSPRTTCVRSGCLHKLGLGVSGSDTSPKTTTTSQSGSASVRGFPRTRPRTPPGSRVGWRHQTDMATTTVTTTKLAAAASARGKRRGGCCALAAAADVVPVSREELRQPAKAVAEEEERQQQLPRLVRFEELPDYLRDNEFIHAHYRCEWSVRDALRSAFAWHNETLNVWSHLGGFFLFLYLAVAEPTGTVAAAAGAGASAAPGIVTFVLASANTSWSSWETSSNSSLTLSNGLTTAMFESSDHGHAVPRWPRTVFLAGAMTCLAVSAAAHLLACHSRRFSRLFWQLDYAGIAVMIVASFFPPVYYAFLGLARTQLVYLSAITLLGLLVVALLLAPARSSPRLRHLRAGLFVSMAFSGVLPALHALWLNWAHRACHLALALELAMGLVYAAGAGFYVTRVPERWRPGKFDCVGHSHQIFHVLVLVGALTHYAATAILIDWREAMA >Sspon.08G0027170-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:37939816:37940477:-1 gene:Sspon.08G0027170-2D transcript:Sspon.08G0027170-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding HKMNQLSLLHRALHLHRLSPGRGHGLTATAVVRARLSSSEPTPQQHAKRLLAVAPHESIAAATEESHPRQELAAAGNNNGAGAGGGRTCALPTWALIGGITAGVAVALALSAGAGPALALGPEGPLVEEFWDNMRRYALYVVTVSLQPIVELLKNPVTALLIVAVLAGSGFLVSQVLNAMVGNSDFIYRLRPQLPVPENGD >Sspon.01G0054720-2D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1D:61958450:61958833:-1 gene:Sspon.01G0054720-2D transcript:Sspon.01G0054720-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYKEKVWDWSSYPGGVAMEPVQRSCAVMAPSPAGQWRAKGAACYWPAQGAPNPSASCRGGRAGWAGYDETATGGGEDKAEEASGVRRRSRTPPVVSRSMQRCQAAALQVRVAGERPRLRLHERVRR >Sspon.08G0018510-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:6405636:6405791:1 gene:Sspon.08G0018510-1B transcript:Sspon.08G0018510-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYMWPFQDWIDTKNATNQDITTQLSRIACRVRAIIQRGTESSSRIGNGRI >Sspon.06G0013500-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:70352253:70356960:1 gene:Sspon.06G0013500-1A transcript:Sspon.06G0013500-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPARPRPRLLLFFSAALLFFLLCAAHPAAAAQVGDACSSSGGGCGSGLHCSACGAGGDSICTRASPVDPATHGTGLPFNNYSWLTTHNSYALAGAASATGSALITETNQEDTVTAQLKNGVRGLMLDTYDFDNDVWLCHSFQGKCYNFTAFQPAINVFKEIQTFLDANPSEVVTIFLEDYTATGSLPKVFNASGLMKYWFPVSKMPKSGGNWPLLKDMISQNQRLLVFTSKKSKEASEGIAYEWNYVVENQYGNDGMVAGKCPNRAESPAMDSKSQSLVLMNFFTTSPSQTGACGNNSAPLVSMLKTCHDASGNRWPNYIAVDFYMRSDGGGAPLATDIANGHMVCGCDNIAYCKANSTFGTCVIPPPPPPSPPKAPSPGSRGSTAGGDASAGMARSHHLPSQWSFFLGLPSLLLLLLS >Sspon.05G0010940-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5A:31298599:31299704:-1 gene:Sspon.05G0010940-1A transcript:Sspon.05G0010940-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNIMGILTQSFAKQELQAEVSKARIQMMQQIIKESAGVEYLQKAFADHYGPPENASASLPLTLQWILTSKNIAEVEWSEHSDCLSIMPSAGQAPALVPVLRAGHGAPVGQPSSSVADTSGQPECKGEKLDKLIRIGLLQLISSVEGLQLQSTPESFQINLLRLRAVQSQFQQVIVIATSMLVLRQVLMSENSKATPLELENAISELFKALTNILDSSPDAGTEEIVQAMVNASASVGSPSEEKIQSRMQMITRVFLKSLQPGDVVFKKVSHAVYCAFRGIVLGGSGPKGQKLADAALRRIGAAKVVDRVLKSAEVLIKVAMVSEKVHGPWYKALV >Sspon.07G0020620-4D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2D:48532521:48533102:-1 gene:Sspon.07G0020620-4D transcript:Sspon.07G0020620-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTSLENEKAKTMKLENENSFLKTTCEQQKHLLYVTTCSHEELKLAHEELSVAHDNLAQEHALLTKKFSNEETKTTESSSFGSNDQSHITNPCDVGKKHVSTSCDDLLDMPCSSHIDTCSTSMSCETNLLKENNELKNEVKNLSNKLERCYNSKVTFEHMLNNKRSYGDMSGIGFNKSNIKGKRWGREDMKER >Sspon.06G0007530-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:25892522:25896478:1 gene:Sspon.06G0007530-2B transcript:Sspon.06G0007530-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMEGPVLCRPAMQAKLPAAAALVNNSLTKSGQLGTALFGAISKYRNISRFISPISQPSTKNIGPCCSFSSSSDGNGYMAGNFSESDEDYVNSTVLEAVEVRSGSEGYVIKMRDGKNLRCVHNNSQGRNIPESAPQPAIVLRIEDGSGTLLPIIVLEMPSVLLMAAIRNVHIARPTIYQVVKEMIDKMGYEVKLVRVNKRIQEAYCAELYLTKIDDPTDSITFDLRPSDAINIAVRCKVPVQVHRSLAYSDGIRPVEPARMAVAAGLSDGLLFTELDRPDGQPCVEAQEFGLVRNMLIAAVEERYKDAASWKDKLMRLRSKRKNWA >Sspon.01G0046290-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:87312689:87316900:-1 gene:Sspon.01G0046290-2D transcript:Sspon.01G0046290-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKEEGRIFVGGLSWQTDERKLQDAFGRFGKVVDAQIMLERHTNRHRGFGFVTFEDRRAVDSAIKEMHGEELDGRTISVNKAEPKMNTDDTRYDSGGGRGEYRGGRGDGPPPGNCFECGRPGHWVRDCPSAAGGRSGRFSSKFSGGSGGGRGDRFSGSDRFGDRYMDDRYDGGRYGYRDQVDPRDRYAGGRDRHANDRYPTGGDHFGGDRYGGGPDRYVPSGYGRERERSYERDGVRGGGGGGGYDRSGPRGGGGYDRDGPRGGGGGGYDRDGPRGGVADRYGGGGPARYDGGSYRDRPGPYDRPGRGGGRFDDRY >Sspon.02G0021810-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2A:72966827:72967060:1 gene:Sspon.02G0021810-1A transcript:Sspon.02G0021810-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNTRYVVNTWGVGLELEGELERGKIEKAVRKLMKEKEGEEMRDRAKELKKNVADCLKAGGTSQAAIDKLVDYILSM >Sspon.08G0011770-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:51177693:51188793:1 gene:Sspon.08G0011770-1P transcript:Sspon.08G0011770-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSQVPASILPRGDAEDDGGRSKAAHFVFVPLREQGHLIPAVDTALLLATHGALCTIVAPPSTAALVRRIVESAQRTGLPVRLVEFPLDYAESGLPEEADDADRVPPNYMWSYYRAMALLRAPIESYLRAHAPYPTCIVSDFVHPWTTELAANLGVPRLSFFSMCAFGLLCQHNLERFNAYDGVKDSNEPVVVPGLERRFVVTRAQAPGFFRGIPIPWWEEFADYVERARAEADGVIVNTFLELEPEYVAGYAAAREMKVWTVGPVSTYHQSRTTLSSTLASRGLRASAVDPDECHQWLDGKEPRSVVYVSFGSIAQADPKQVVELGLGLEASGHPFVWAVKDTADEYDEAVREFLRALEARVGAGRCLLIRGWAPQLLILSHDAVGAFVTHCGWNSTLEAIAAGLPMVTWPHFSDQFLNEKMVVEVLGTGVSVGVKEPLTFQAVKKEILVGRNVVEDAVRSVMGGGEEADERRRRARALAAKAAAAVLVGGSSHANLLDLVHRFAPGTALAEVVALLLPSRCSDSMCASDIRLTECRRLHRRRSPIPPVYGSTQRASRCTSGAEPKIRWPIPHHGRGLPPLSPRHAAIRAAPPVSHRAPVPVPHRALVQAAPARGLSSSNSQEGGENRTPEFAGTLATEEEGSNPEEDRPTSSEPSSSSSSPSLGAWGSNGGRGGGGGGSSGGRTGAAVEWEGGGGKDKKECGRWRAAWVGRVRRDAPTPDVPPTRRPHREPPIPANPVALSPTLARSMEGSGRLPHPAAAPSLPRRHPLTPMTELPPSWRPWRSSPSSATVAAEPSPLPVPLAVVVVFARSRSPSAPTSEWGAASRWPANVAGRGHDGGAPAGAGRRDENGSGVHLRGRGERMGMGRVRHRDGLRLIALPPPPPSTTAGPPPFSSGISPEVEGREGWNRLLHGGSVENEGDNIDVSIFIDMTTLRLLFPNGGIKAEMIKKKKEDTRKKKFLNSAYMNHQRRLPSEARRCAAATATRRRRAADSYGPAQALLTPVSVSPMPCNRPQHQPCPRTAPHDSDEHPVHPQKRPLSGWPLAAAPAPVYHWSHTSSAGFLIHQQEDAWIRPEQSLTGCGTDNHVLAATVKALVPALPHPPVLIALPPLTVTAESFNHDLMHRLARPASTLLGSSVRSGPCALATDAQGLFDEMPPPPASPKLPCHTLFGHTCQHASAEPLQFTVAPGDAFGPPVGMSEAAKMVCRIVSTQPEPRIASTLDALGVAMSPELVAEVLKNLSNAGMLALAFFRWAERQEGFSYTAEGFHNLIEALGKIKQFRLVWSLVETMRCRGLLSKDTFKLIVRRYARARKVKEAVETFEKMSIFGLKTELSDYNWLIDTLSKSKQVKKAQAIYKEMKREGKFVPDLKTYTVLMEGWGHEKDLLMVKTMYQEMIDAGIRPDVVAYGMLISAFCKSGKCDEAIKVFYEMEASGCMPSPHVYCMLINGLGAEERLDEALKYFEHYKKSGFPMELELTAEPQSFSMLSRWLTR >Sspon.04G0007140-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4C:19805121:19808438:-1 gene:Sspon.04G0007140-2C transcript:Sspon.04G0007140-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQPAVKLALERATRGPKGNSVLCLGRGCSGLLCSELSTGDQSHLTYFGDVESCSPGHRPFYQNPQPSARLGGISSPHQCFDHARGRQPPPRPPDIAVPAADRGQPAKSCHAVQEQREAGGFDSADRQSVGENREYSNAYVSPHRTSCRRARSNLTNNPRAGEINLRSGQPTPPCPSSAQASLHVPAHPAVVNNGHSRPRSSGGWEMEDEGGTVGDRKAILGFLCELNLVPAENWTAHHRPLSILSTWRFRLAFLLHLHCLPLPDPSLPPARALHLLHFSLTFSSISDDSLLTPTSPATYSLYLKL >Sspon.01G0000370-3C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1C:790251:790976:1 gene:Sspon.01G0000370-3C transcript:Sspon.01G0000370-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVAVAVALAFLVGGAWCGPPKVPPGKNITATYGSDWLEAKATWYGKPTGAGPDDNGGGCGYKDVNKAPFNSMGACGNVPIFKDGLGCGSCFEIKCDKPAECSGEPVVVYITDMNYEPIAAYHFDLAGTAFGAMAKKGEEEKLRKAGIIDMQFRRVKCKYGEKVTFHVEKGCNPNYLALLVKYVDGDGDIVAVDIKEKGGDAYEPLKHSWGAIWRKDSDKPLKFPVTVQITTEGGTKSVYND >Sspon.03G0034670-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3B:76044959:76045552:-1 gene:Sspon.03G0034670-1B transcript:Sspon.03G0034670-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDLNLEESDKVQLTRPFSLEEIKEVVMDMKENYAPGSNGFSVSFFRKFWDLIKGDMMKMFQDLWNHQQDLKRLNFGVITLVPKIKEANTIKHFRPICLLNVDYKCFTKVLTNRLVPVVRKIISKNQTGFIKGRNILEGVVVLHEVLHELHRSKARGLVLKIDFEKAYDRVRWDFFRRDHERQRVSSKMDLLDHANG >Sspon.07G0019370-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:71177472:71182534:-1 gene:Sspon.07G0019370-3D transcript:Sspon.07G0019370-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:O-fucosyltransferase 9 [Source:Projected from Arabidopsis thaliana (AT1G35510) UniProtKB/Swiss-Prot;Acc:Q8H1E6] MSLAGAGAAAARKPAAWRRPGGGRGVARRRAAAAALLLAFVYAAGLLVFLLGGRLSAGSRGGAAAGGRGPGPAAVTVASSLRPRPRPRPADAKPPHAQPGSVYRSHLVFERLWPDIRDDATLAASASSLSASASWRRSMLMTSHYQNSGDPWMPCVNSRLTRSELPPSNGYLMIEANGGLNQQRLSICDAVAVANLLNATLVIPTFHVNSVWRDPSKFGDIFDEDHFIETLKEHVRVVKKLPEDVLLRFNHNISSIPNMRTKAYSSPNHYVQNVLPKLLELGVVRIAPFSNRLAQSVPLNIQNLRCLVNYHALRFAEPIRTLSDDLVGRMTKKSLLTGGKYVSVHLRFEEDMVAFSCCTYDGGWREKTEMDNARERSWRGKFRRHGRVINPEANRRDGKCPLTPLEVGMMLRGMGFDNTTSLYVASGKIYNSEKYMAPLRQMFPLLTTKDSLASPEELAQFKGHSSQLAALDYTVCVQGEVFVTTQGGNFPHFLMGHRRYLFGGNAKTIKPDKRKLVLSFDDPDIRWDQFKQNMQEILHHSDMKSIAFRKPNDSVYTSPMPDCMCQQDGMI >Sspon.02G0010870-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:29346067:29348912:-1 gene:Sspon.02G0010870-1A transcript:Sspon.02G0010870-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMIGWGDVYKVVAAMAPLYFALGLGYGSVRWWKLFTPDQCDAINRLVTYFAVPFFAFDFAARIDPFALSYRVLAADALSKLAVALALGAWAAAARRGGGGGKGKDRALSWCITGFSLATLNNTLVVGVPLLDAMYGKWARDLIVQLSVVQFIVYFPALLLAFEARRAAGGAGKLAVAEEAAGDVDESGGGVGGETAVQSSFWPLVRAVWTKVARNPNIYAGILGVSWACVTNRWHIATPSIIQGSVLIMSKTGVGLAMFSMGLFMALQEKIIVCGAGPTGLGMALRFVAGPAATAAGAVALGLRGDVLRLAVMQAALPQSITTFVFAREYGLHADVLSTAVIFGTLASLPVLIVYYIVLGLIRC >Sspon.02G0050340-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:46769176:46772280:-1 gene:Sspon.02G0050340-2D transcript:Sspon.02G0050340-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKALLCALVFILLLTSVVAIRLERSPVAVARRATLENGLGRTPQMGWNSWNHFACNINEDIIRQIADAMVDTGLAKLGYDYINIDDCWAAYDRDSQGNLAANPSTFPSGIKALADYVHEKGLKLGVYSDAGSRTCSNQMPGSLGYEEQDAKTFASWGVDYLKYDNCNDQGLSPQPRGVNDPATWASGVGNSWRTTGDIQDNWGSMTAIADANDKWASYARPGGWNDPDMLEVGNGGMSTEEYRSHFSIWALVKAPLLVGCDIRSMSNDTKEILSNHNVIAVNQDVLGVQGRKVLQDGDQEVWAGPLSEGRVAVVLWNRGSDEASITASWSSIGLNESTVVDAHDLWTGEVTSSVQGQLKEAVDTHACKMYVLTPK >Sspon.04G0028510-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4B:66337633:66338462:-1 gene:Sspon.04G0028510-1B transcript:Sspon.04G0028510-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLEVEVEVAVAVLGAVVVEAEEAEVEEALQQRSLILQKITNSTRTMNSTIARRTYPTVLRVEDLFFLDDGELLFRAVDPLEEFDAALDDGGDPEGDEEDDGVCVGVEPVGVEEGEVEGAMLVLKGFPSFL >Sspon.02G0004880-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:15645587:15652644:-1 gene:Sspon.02G0004880-1P transcript:Sspon.02G0004880-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGFSVDFDTADVAKRLKEELSQRNRGHEMLESWNGDPCSPSTWEGFSCEPKDGAQVVVKLIDNTTNPAVQQLSLKSIQTATGNFKTLIGEGGFGSVYRGALANGQEVAVKVRSTSSTQGTREFNNEVRYQKEKFLIGPRDCLFALVLLEVLSTRSDVFSFGVVLLEIVTGREPLDVKRPRAEWSLVEWAKPYIREYKIEEMVDPGIKGQYCSEAMWRVLEVASVCTEPFSTFRPTMEDVLRELEDALIIENNASEYMRSIESTGTLGSNRYLSIDRKMFASGSARIDSTKGHLQTMPSLPRKTKACFPIGEESLGCSECQPLESKRGQTASQNRSFDLALLSLKSPVKNLMISPDESAEQALPTGISGRVEIP >Sspon.02G0001470-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:5066774:5067717:1 gene:Sspon.02G0001470-1A transcript:Sspon.02G0001470-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLPCSPVSLTATPPRLFQNPPRGEWATAPLLPESAAGGMGAEYPTFADVSGARALLFLADSTPPPAPPSPPPALRYFPRLPRVMCSSHHRIALHHRNLTDPPLRRAPPNCSDEFYCYSGSSSSYSGASARSCVSDSAQRGRPVDPLRVLSVVASLRRVDPKVCNQVPRLMISTRLREVLFCDYALMALLLGSSALFLTDAEKKRKGVWVEIDSGDDEDDQSERSSAVASEGSTVTAAASAGSTATSGRCRRAPRAGSAAGGTGKGPRRADVIMQWFSRPQAGPATENDIRAAVGDNSGTSKAIRW >Sspon.08G0027080-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:40558418:40560394:-1 gene:Sspon.08G0027080-1C transcript:Sspon.08G0027080-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFVRLLLIVFLGLHLQASDTVADDDQFVYSGFRGSGLTVDGTAAVTSDGLLQLTNGTAYLKGHAFHPTPLRLRDSPNGSVQSFSVAFVFGIVSVYSDFSAHGMAFLIAPGKDFSSALPAKYLGLTNVQNDGNASIHLLAVELDTIQSVEFKDINANHVGIDVNGLQSLRSYNAGYYDDESGEFQNLKLISRQAMKVWVDYSGEKKQINVTLAPLRMATRPVRPLLSVSYDLSTVLTDLVYLGFSSATGRVNSRHCVLGWSFGKNRPAPAIDVSKLPDLPRAGPKPRSKVLEIVLPIVTATLVLGFGGAAVLVARRRFRYAELREDWEVEFGPHRFSYKDLYHATDGFKDKHLLGEGGFGRVYKGVLGPSMLEVAVKRVSHESRQGMKQFVAEIASIGRIRHRNLVQLLGYCRRKGELLLVYEYMPNGSLDKYLYSSSSSSSSQGEISSKLSWAQRFHIIKGVASGLLYLHERWEKVVVHRDIKASNVLLDKDMNGQLGDFGLARLYDHGTDSQTTHVVGTVGYLSPELLRTGRASPLTDVFAFGIFLMEVTCGQKPIMANAHGGGHVALVDWVVQHWRNGSLMATVDAGLRGEYDVDEAGLVLKLGIMCSHPFANARPGMGQVMRYLDGVTPLPELTPTDSSFDVLAMMQSKDFGSV >Sspon.01G0062470-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:104647026:104649633:-1 gene:Sspon.01G0062470-1D transcript:Sspon.01G0062470-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARRPPPVYVLNHDLLVGNGHAGKGGEGWADSNVNVGNDKLTGKAEEGWTNIEIARREAYGCGNNMHQALNGLSLCVRLGDDPLVTSTLGIRIDHDARQRFISELGLDARERLISRLGLDARERFISELGLTSLDEDEEEEDEQVPSVVPIMMQELDLLIIVLLLERNFCKLSYYLVYDRSDASLSMVSCVPDSLKVIAMCRPFPKRTSYGAYELFFLACERDDGELPLASDTDPSPPFLCVFSSVMVTGTNRTFTWKIRRTLRWNVPASVFERFRMDTTFSFQGKGFWADLSQALIYCDLHTASDDSAVDFRCIELPGECQLNLKEMLDCPLPMKVTRTIACVGDSIWFICILRGKHHTDDSVTMWTLELPGGQWKNEARFPVRDLWTFDGFKEAGLPEAKVGYPLLTADGALCLVVTGQFFGYSYSDVPWVDHICTFDVRNKRLLWHGSVHDHAFTETVAFPPDFFPMKHGSRKRKFDELHPAAGPGFAAMANGPIKGQGEPGDRNQGKSRTSSAGSRFFVASCHAC >Sspon.04G0009270-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:31049708:31051860:-1 gene:Sspon.04G0009270-4D transcript:Sspon.04G0009270-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MYDLPTSVINIPRVDLRRNSENRPPRDLKDPFHRRVEMKLRALSDRMDADRTAASTRSASYQPPERAMTSSRSAYQDRLAISSRSSVCQNTSRALAPTKLAAQASHKYLKGVQRSDAIPHGIFVNKGSSASLVASSHSTATGKSPAKILVVPSSSQVSAKTSRTAQVTLKRSAVPTSVNNVSQNKRKHTLVALDEKYPKREYVRRSAPPSARSSSENLPRAAKAPKISNVTNPVSKTEVVQKSRSSSNLVGGRNMLTKRSANCNEENRKVIRSHTGDLAESSMRSSNLKGGPSLTKNKP >Sspon.05G0001770-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:5750079:5750684:1 gene:Sspon.05G0001770-1A transcript:Sspon.05G0001770-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MCKVVITIPSLVRLRRTLRRWRSRAAEAASSSSSSSVAVPAGHVAVSVQGTAPSSGPRRFVVRVAHLSHPAFLELLRQAEEEYGFPAAPGPFALPCDEDHFLDVLQRVSSSASSSCCCGPVAARRPARGEKAKAARRLSRREDRARALPGMQMQFVSGRPRKGRPPPVPYVKAAPQRRRPQGELGKARALLAAAGQQQPLL >Sspon.01G0039930-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2D:17727915:17730224:-1 gene:Sspon.01G0039930-2D transcript:Sspon.01G0039930-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVLEKRFPAKTIHQLREKYAEVFADMLYGEIDDEPSRDDITSDLRDWYKLLEGDTHDSVLGPSVETSLFHPSKQLVLEAAGDQEEIQKSHCKSSRKERQTWTAEEHRTPTQLASHAQKHFDRIKKNEVDDRRQRHTINDVRLVNRDMNNTSHSHTEPGKGKPNASSIPLPVLTEDMDILRDLTQGMPNFGQASNSPSNLAGQTTHCNHTIESLFQWQGPGTPSPREQWSVLLAQSRTEHWTWPRRKRRPCATTKRRRKNNRRTLPDVLTAQIPQEVLQFAQGSDSGAKLSCEMVPIKGRDLRTTIPPF >Sspon.07G0030850-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:14356206:14357735:-1 gene:Sspon.07G0030850-1C transcript:Sspon.07G0030850-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDRVEQVVEITKGSKVKYELDKKTGLIKVDRVLYSSVVYPHNYGFIPRTLCEDNDPMDVLVLMQEPVLPGAFLRARAIGLMPMIDQGEKDDKIIAVCADDPEYRHYNDISELSPHRLQEIRRFFEDYKKNENKEVAVNDFLPAAAAREAIQYSMDLYVFVSMYTGNYYNFFSREATATTNFFRCQGVTKVLMFRKTKRILYRNSLTAMH >Sspon.07G0036170-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:23664088:23664627:1 gene:Sspon.07G0036170-1D transcript:Sspon.07G0036170-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPPSPQSSHRAEPPPHVSDEPRLDHVFSASPRLASPVKSPPRDVAFTNAEPATGGTPLNHKAPGRNHGVKTAGDAVFVQHRVVWHRAS >Sspon.03G0032040-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:41871948:41878481:1 gene:Sspon.03G0032040-2C transcript:Sspon.03G0032040-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRSSRHRSHRSHRRGGSADRSESEGEESAPAAGAREEAAAAARVSRDPEPEKRRSSSGKEAVRSGNGYAEHGKKRKERVEEAVVDVVSDRWNSGVCDDHLVDKRSKSETFGHAEVEKLADKSRGSGDESKRSSRRAVVVDDRVEEVASKSDSGKRRSEKEKDLGRKESTGHYKDDRDREREKEREREKEWERQKERDRERSRDREREKEREREREREREKDRDRERDRERERERERPKERERDKKDYDSKHERYEDRKSGSKTSRTEEEVYSYRSTDVNEISAKEKYNNPDMQADKHSRRKDDSEDTDKWPTDNRESDDRKTLSRYEHGKSRSSKEQRFDDDKYKEKYKDDYGRDKRQHDDKFSDERVARGHESDRADYKSAKDGHRSSESHYRKDAVQDVEHYEDYGNRYKESRGKKRPPEENDDQYDLKPPSTRDQRVHLEKSSGSGRLDSLIERARPDRSSSPSKIHSRSSPSPSSYHDKDQSRHGSKAIDHGKREMPYDERNSRPRTSSGRERTPASRLRDRDAENWSSERLKQKDDHQPRDVALEISTSSHYDRTPRKDKHTSPKQLSEKSPSSGDQRFSGRLSGGRSLDSKGERNSLTKYRDRDGDLAQERSLHQDRTPAKVPFREPTPSNSSIGRGGHFLGSSPNHPMPPSARNSDSSFLGLHDDDRRPQNGDRRFHGHQKRNDMNSVRGHGHAWNNPPNWPSPVSNGFVPIQHGGAPGFHPPVHQFPGPPMFNIRPQMKLNQPGVSYPMHDAVDRFSTHMRPFGWPNHLDESCPPHMQVWNGGSGVFPGEPYMYGRQEWDQNRPHAGSRGWELTGDVSKGPSDVPDAELPVAKKEPDSAITAVSDSGGQHNLQPQAEQKEIPHLTAETIEAKDYDSKRSKSLEAPQGAQLVTSMLLKNGVVFSKNYLSRISVSHDLVESELYKRCISLLGDLGVTKASHLVRNELQRALALHKNQTQKGLITASASVKMEKNMDVPEDDHDMEMLEPVVSNPALHRHTDVMGEGSLSKQELGDGIGGTIPATIGSGGLDAPPEIPLPQPEVVVATTAITQPNKDMEDVLPPAIEDGALQATLEHAVGTLEVTPADGLEDVAPSAVGESGDDMEIIPPAMAEPRVGKDAAPVASPPDSQEKPSIMQDTETGMEVEVDKVNDGSPGVGRVSSILGTKLDVAATDGDSEALLVESRGVNSLY >Sspon.02G0032080-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:117464532:117465472:-1 gene:Sspon.02G0032080-1A transcript:Sspon.02G0032080-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSVTDSLPAELHCPLCKKVMIDAMLSSKCCYDSFCDKLEKSAHFDLQSKTEETEKTSVEKVNAAAGATETFLEPRCQKQPQPDGVAIVSGKRGRKVVRTKSEKKQKKAGTTGNGNTNCGEYDFHIPFEPSCYDSLFGLGGQPWGADPYMNCMSNMPSFSYPLGPYNVNGISNLPLHGPGFQGYPAIHYRYVELQ >Sspon.07G0025660-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:41014684:41016499:1 gene:Sspon.07G0025660-2P transcript:Sspon.07G0025660-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLAQEAKRKNATIKNNFNYHNMDLEEGNATARVKRAKATNWPRVMSKFLLDWYLDKKKGMPPKTKFKKNHHVWCTSAVNAKFRTTYSVDQVHRHFRRFKEIWIIVTRYANESGSRFNNKHKMLILPPATMASLPIAERAILAKPIPFFDHLLHLFNDGQLDAVCMRDPITTDDSDEELEAQIALNIIASGADTRDQDGADLDNMGLEGEDNHHEVAASSGEVPCEVMSDTSPPSGSFAESTMAALKPSAKKLKIISKTKLSPKPQAPLPRDGRNMDALNSTLVGIRDSGPKPVRAAPTSDPNAPLWNMLKEIPLTQPDRLSVGMYLCKPESEVHRSFFMNMGKEYLEAWARKFLTGEEPGAV >Sspon.06G0021280-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:13996037:13997457:1 gene:Sspon.06G0021280-1B transcript:Sspon.06G0021280-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPARHLRGLTAAAALLAAALVLSAAVPAARAQEETEHEEEFSYVVGDENGPEHWGSIKAEWANCSAGRMQSPIDLSHERVSLVRSLGYLTHSYRPAQASIVNRGHDIMVRFNGDAGSLVINGTAYYLKQMHWHSPTEHTVDGRRYDMELHLVHQTLENKTAVIGILYEIGGEDPFLQELEPSIHRIADRQDREEPVGVVDPRHARGRASVYYRYMGSLTTPPCTQGVIWTVVKRVRTVSKHQLELLREAVHDGMEKNARPVQDVNDRDISIFRPKPHKHY >Sspon.02G0013180-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2A:35354555:35355085:-1 gene:Sspon.02G0013180-1A transcript:Sspon.02G0013180-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CML30 [Source:Projected from Arabidopsis thaliana (AT2G15680) UniProtKB/TrEMBL;Acc:A0A178VPG8] MSRLSILSFKYNLAKLRSKAGRPSRQLSDLSTYKPDDDEMKKVFNMIAGQPHGKVSKKDLQLLLENFGKADAAAEAQRMMCVADHNKDGYMDLEEFMEVHRNGVQLGDIRRAFFVFDEDADGKISAKEVMTVLGKLGQSCSLDDCQKMVREIDRNGDGFVDMDEFMVMMTRPRRKP >Sspon.04G0013480-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:48446181:48471819:-1 gene:Sspon.04G0013480-1A transcript:Sspon.04G0013480-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CLP protease regulatory subunit CLPX3, mitochondrial [Source:Projected from Arabidopsis thaliana (AT1G33360) UniProtKB/Swiss-Prot;Acc:Q66GN9] MSAAVRSRAAVAALSAARRIHAGLVAEQKQQGGNNPGALRRPWTLFRRQQQQQEQSHVPRAVAPVLDAVADHRAGGSDGGEPPEIWRQPGEAPVAPAGAGAVGRIDVVRVAAPGGEGFDGKDGAGESVGWGGSNLGRRFPTPKEICRGLDKFVIGQQRARRSASDCSESDSCTSDTDMVELEKSNILVMGPTGSGKTLLAKTLARFVNVPFVIADATTLTQAGYVGEDVESILYKLLAQGIIYIDEVDKITKKAESINLSRDVSGEGVQQALLKMLEGTVVSVPEKGARRHPRGDNIQIDTKNILFICGGAFVDLEKTISERRHDSSIGFRAQVRSNMRSGGVINAEITSSLLESVESGDLIAYGLIPEFVGRFPILVSLSSLSEDQLVEVLTEPKNALGRQYTKLFEMNDVKLHFTEEALRLIAKRAIAKNTGARGLRSILESILTEAMYEIPETRTGKDKIDAVVVDEESVGSANQHGIGAKILCGERALDLYLAKHNNKESTGQHQERANGESEIDTEAPSRVKLHFTEEALRLIAKRAIAKNTGARGLRSILESILTEAMYEIPETRTGKDKIDAVVVDEESVGSANQHGIGAKILCGERALDLYLAKHNNKESTGQLQERANGESEIDTEAPSRVASM >Sspon.03G0006130-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:16935268:16935876:1 gene:Sspon.03G0006130-1A transcript:Sspon.03G0006130-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding METIKCCIACILPCGALDVVRIVHSNGRVEEISGGPVLAGEIMKAYPKHVLRKPPSTCPADGGGGGIVVQKPVILPPNAELQRGKIYFLMPVMATTPAPAPEKPAAKLQPPAGQTAAAAASVARRRRRRKDHTAARDGGAAAACCSGTRAAAGPAPAEEKERLLANERYLSEIMKEKASTARDRRRGRVAVWRPHLESITEDD >Sspon.06G0002000-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:6483479:6485185:1 gene:Sspon.06G0002000-1A transcript:Sspon.06G0002000-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSEDEEQGTSPESDPAPPPPSPSPSQRPLLLNPAYARCKSVIHDELRSFRVFLQWCALDHSTRAGRAASYAAFVALALLVPAAVSLSLRADAALSRDSASAITFNRVAQVPATGLAVISFATLAAFFRRLGGLRQLLFLDGALRDDTAFVRRGYARELDSAFRTLAALLFPSICVEAAHKAVFFFCTVRVEPPAAVFPFTTPLLLPWRAVALVATVASWVYRTGVFLLVCVLFRLTCELQILRFEGIYGMFDAEARPAAAAEIFAEHRRIRTQLLATATGTGCSSSAASSPSPSASSARSSSPSRPGTPRASPTPATSWYARTTTHDGEGLITLRMSPETTRPRARALSCCVQVGSAVQLSGFFMCLLGAARITHRAQRMVSIASQWHMSMESSVYHGKSSSPATTSASDVVDASSGSSMAVSQAEPGAPCSYRSREALVTYLRHNGGGITLFGFTLDRGLLHTIFVFEMTLVLWILSKVVVL >Sspon.06G0012640-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:50486070:50491483:1 gene:Sspon.06G0012640-4D transcript:Sspon.06G0012640-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LSPAPAGGATPSHILPSPVASTHPRGHPSGIPDPIPFPISFPSTCLCSCVWTMHGERESLLLLDRSADAMERGGERHHPLSVFLRDARLAFRWDELGQEIMKIAVPGALALMADPVASLVDTAFIGHIGPVELGAVGVSIAVFNQVSRIAVFPLVSVTTSFVAEEDALSNGRDNDKIHQENESNVSLSEMEELISPEGASATTSISSFETDSCEVSVEQKRKNIPSVSTALLLGGVLGLLETLLLVLSAKPILGYMGVTPDSAMMKPALQYLVLRSLGAPAVLLSLATQGVFRGFKDTKTPLYATGFLLLARVIAATFCVTLSASMAARLGSTPMAAFQICLQTWLACSLLADGLAFAGQAILASAFARKDYPKATATASRILQLALVLGLILSILLGIGLRIGSRLFTDDQGVLHHIYIGIPVLVAIVSIICILTLESYSGFIGIWIALVIYMSLRMFAGFW >Sspon.01G0056120-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1C:86409335:86416554:-1 gene:Sspon.01G0056120-1C transcript:Sspon.01G0056120-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLDLELSTLVLQEEERAFQIWYPPSFMRVLGADSIIITALGPLHCHIRALVLRLFGPESLRLVLLRDVQWSARAELRSWLRLPDVEVRAATSRMIFGVTAKKLISHDDAASEGSLWKCFDACTRGLLAFPLCGRKRVMKMLKEQLGARRNEPEREAVRHETMSIGLTAILKFLKDNPKALEELTEEHENVQKRRADPDSDEITWEEYKLYYTKRIKGYDLFICRSPENPKVYENPSMFNPWRWKRRFYGIDFVDEAQKKVRIIQQNMKAAQSRQKSYADKRRRPLEFQVGDYVYLKVTLIKKKQFGIKKKLAARFVGPYRIIEKKGPVTYKLQLPEAMGSIFPVFHVSQLKKCLRVPKERIEPQGIRIKSDLEYQILEIENRKEKAFSGLPGRRPVSGPARQAGPARAHAPHARPLALPRARIRPTAVACARRVDAARRRRRTGGAPVAPASSALAFTRTTRPPCFALASFSLASATLASLAQAAAAAPPRHHRRGRTLTTVDHFPIARRAQLGVSSAAAPWPPGTAARSRSSSVFPSPLLFPFLRPPPPRGARARPFSHHRGLRRPATVRQRCRPTMHAGELSAELVWPRSRRPWLRLIAWSTVVVTSSPATSPTARSRRSNLPSFLCVWPEESPVAVPEEQTGADPQELQAEEVPEEDEQGEDLPDWPNKVPFDREASDMIQAGTYTGARTGAEGNSTGTRRVSPSFHIKLLPKIEV >Sspon.01G0048860-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1B:110493930:110498152:-1 gene:Sspon.01G0048860-1B transcript:Sspon.01G0048860-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding FSIDGKHPGCDQSYVGRREFSLTLENDIYLRFQSFDNATELESSIKDKCPFKIDIGPVYSV >Sspon.01G0025720-3D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1D:88174513:88177452:1 gene:Sspon.01G0025720-3D transcript:Sspon.01G0025720-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEMSKELDDLRAEVEALTAQLRAKSDLADGLKRAGADQAARLRDARAEAERNAAEAAARAEEAAAAGDRCGVLESRLAEKEQALRHLCAAHEALKSTLREKIEGLEGDKRGLLAALEDDEARRVEHEAALRARDDEVARLRGLLSEKDRRCGEAEKRALAPREVVMRDDMLVKLEEEKAAVQGKLKWKDEQFRHLEEALKKVQDDFRAAKKEWGSDRSTLVDRIDTLEADLDSKTRVAEDFRSRLDMCSQALAHEEGRRKRVEAEMSELRHMYGNVVSEYEGAKSIVESLSSNTDGEIASLRSSLAEKATLLKEMGYRKTRLEQENEDLRSRLKEYQEAQIGGADAVVSLKSLREKFRALEQTHRSCTEKLRDKEEEWRLQMAKLVNDLDGRLSQLESKDILIGQLQNELLGSYGSLELQIVENWEALIILTVVQAKFHEACSFVDTAQLNMQHHCQEIEKQIASAKKQLEEQSCTIVQSEAEQKQQSQVIAKLHARIEELEHMEQEQKKMQRQLDVYKEMLDNSSRDAHCLKDEASKKESTLQEKLREALSALDEANCALADRKSELSQLEINLHHQKQAIEHLEKLKVDMETEVESYMYDNRILKRDLDAALVAKVEAEEFLRQEKMKLICALDEAKYTLSERNSELTQFETNFHQQRQALENLEKVKVDMETELKTCMDENCVLKRDLDVAIIAKMEAEECHTKEKEELCGIINEKRMMIDKLQQHIAVLEEENMDQKLDLGSLIKMEYEKSIHEVKNRYSEIVEVSDKKLLELEERLRFVEQKFSCREQELMKMFDQEESDWYTLIAEKEIAISDIQQTAESVQLDIKHLLEAAAAKVAEVQLEVNQLYGFAETLNSLNIVQEHDTAFKDMLIAECERELDSLQVNLVQEKHQSRNLKNLIEQLKAQTASEMSEKAKEHLEVTTKLKSLEERNETLDEHLRELKSRATDMSNVVLQERNQLVDELTGLTNTIGE >Sspon.04G0016690-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:14889722:14906724:1 gene:Sspon.04G0016690-1P transcript:Sspon.04G0016690-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEIEVLEDTTTSSTSLVAAASTAPSAAEGAGAPAEDESLKNDVYTAAAYGDLEKLQRLVEGEGRPVTEPDGGGYHALQWAALNNRVAAAQYILEVALSPRSRAPSNASQFGRNLETRKIRGPDMQNPTSHFGPTFPLVLRLRLRPRTSRVTRDKRYAKSTNAQRPVKLSDPFLPPLPRRLPGPRPDPTEPMASEIEVLEDTTTSSTSLVAAASTAPSAAEGAGAPAEDESLKNDVYTAAAYGDLEKLQRLVEGEGRPVTEPDGGGYHALQWAALNNRVAAAQYILEHGADINAVDHTGQTALHWSAVRGHIQVAELLLKEGAKVDAADLYGYQDNARRVHDRGCGANTRFGKLSKLGLAPLLWCTIIGMLIIYMHSVISGQYATTMTAPFGMFAWSGVFLATAGLVMFYKCSRKDPGYININTRGSQNQRDDEPLLKMELENPALLSGNWSQLCITCKKNKWEFFMFLTLEVFAMIITGSAAIIRIVRDPDSPSSFGAWIHYSALQHPGVVSFLALDCFLFFGVAVLTVVQASQIARNITTNEMANSMRYAYLRGPGGRFRNPYDHGIRKNCSDFLLNGYNEDTERLDQTLHTDEEMGMIQMTSAVSQNGDNHHANGTDHSCADSQANSKPHSQVGSSQCCDHSKKTDRTPLGLGLGLGRNSASRQYVRSLIPL >Sspon.07G0010740-4D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4D:19642597:19644018:1 gene:Sspon.07G0010740-4D transcript:Sspon.07G0010740-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAYGLDVECPHIFDGTHFARWRNWMTCNFKFISPQLWWIVDVGFSFAIDKKNATQAQSKCLHLDSQATNVFYRSMDSSILCEIMTSVRHEIWVFLNEKYGAISNDDEPKKEAHEDVEHDHNKVVVEDCSTSRSSDDKNDHITRSLDKKNDNATSDASNDSTSCTLDGEDDGYESDASTSSSISSHGDTKVSIGGFVVDCDGPNFELLYKLSKALRNEMAKTSKLKNENSFLKTTCEQQKHLLYVTTCSHEELKLAHEELSVAHDNLAQEHALLTKLSNEETKTSESSSFGSNDQSHITNPCDVGKKHVSTSCDDLLDMPCSSQLNVCSTSMSCETNLLKENNELKSEVKNLSNKLERCYNSKVTFEHIMKTQRNLGDKSGLGFKKKLTRGERKQEKRMKRLLQKKLSHSMCYRCHEAGHLANGPNIEKQRRKEEERLKAQVFFKCRTWGHLTSMCPQAIGEATSKASTKATS >Sspon.01G0012260-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:36467669:36468166:1 gene:Sspon.01G0012260-3D transcript:Sspon.01G0012260-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVVVLDGSTVRGFVADEPAFARSVDARFAALDANGDGVLSRAELRRALESFRLLDGAGFGSAEPAPLPADVAALYDAVFEQFDADGSGAVDRAEFRDEMRRIMLAVADGLGSQPIQVAVDDEGGSFLLEAAEHEAAEIAARVEADRSKTEAEAEAEAASAAGPK >Sspon.04G0032230-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:22876045:22877117:1 gene:Sspon.04G0032230-1C transcript:Sspon.04G0032230-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MACENSNVTANGDGLCMASPRADPLNWGKAAEELMGSHLDEVKRMVAEYRQPLVKIEGASLRIAQVAAVAAGAGEARVELDESARGRVKASSDWVMNSMMNGTDSYGVTTGFGATSHRRTKEGGALQRELIRFLNAGAFGTGTDGHVNAAEAFKIAGIQGGFFELQPKEGLAMVNGTAVGSGLASTVLFEANILAVLAEVLSAVFCEVMNGKPEYTDHLTHKLKHHPGQIEAAAIMEHILEGSSYMKLAKKLGELDPLMKPKQDRIYY >Sspon.03G0025930-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3C:3212940:3214304:1 gene:Sspon.03G0025930-2C transcript:Sspon.03G0025930-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIGSAVSAVEEAGKEGRKKTRGRLRRCLNAGNMSRIQDWASLDADLVELVGWRVLAGDLQDYVRFRAACSHWSASTVCPHILDPRFHPRRWMMLPEGHGLYPGHPDLRGFVRFINLSTSAVVRIHLPLLDDHVILDSVDGLLLLHRDHDTVVRLLHPFTGKIVDLPPLASLLPQETRRYYTERLKRSLLMNPCASVSVSSRGTVTVMLALRFLHRVAYATGGDQQWTLSDWKLQSFLAPMSSNGKIYMLQYPCKSHKIHIYRIDPPCTTAPDGFPHSPPCTTTPDGFPHSPPCATTPDGFPHSPLLMKIAECPLDRFSIIFGLVECGSEILVVAYKDESRIDLAVYRLADLVIGRFVPITSIGNYALFLGERCLCVSLPPNKGLSKWLPSVSPNSVICLRWSRLNAGEQLFEQYSLSTGMWTPASDGNIVKMPPPSPHEFTHHIFTCCHHRYW >Sspon.02G0008060-2T-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2D:29729143:29729418:-1 gene:Sspon.02G0008060-2T transcript:Sspon.02G0008060-2T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPGMQAALIKAGVFVLVQALVYLILSQSSTVFSRTKSLGLRPTRSPSLSARRMLALLSDLPIAGEPSPVAAFARTRSASSPMLPADRKED >Sspon.05G0010120-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:23861157:23864258:-1 gene:Sspon.05G0010120-2B transcript:Sspon.05G0010120-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGFAVAAGPSVDYGGRVTFSVVVTCLMAASGGLIFGYDIGISGGVTAMESFLSRFFPGVLRRMAAARRDEYCVYDSHVLTAFTSSLYLAGLAASLVASRVTRAIGRQAVMLAGGALFFAGAAVNAAAVNVAMLIVGRMLLGFGIGFTNQAAPVYLAETAPPKWRGAFTTGFQLFLSIGNLAANLVNYGTSRIPTWGWRLSLGLAAAPAAVIVAGALLIPDTPSSLLLRGRPVEEARAALRRVRGAKADVDAELEDVARAVDAARAHEEGAFRRILRQEHRHHLAMAVAVPLFQQLTGVIVIAFFSPVLFQTAGFGSDAALMGAVILGAVNLGSTLLSTVTVDRYGRRPLLLTGGFVMIICQVAVAWIMGSQIGSNGGNAMARPYSLAVLALTCVFSAAFGWSWGPLAWVIPGEIFPVEIRSAGQGISVAVNLGATFLLTQTFLSMLCALKYATFIYYAAWVAVMTAFVVAFLPETKGVPLEAMGAIWERH >Sspon.01G0003250-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:6715840:6718389:-1 gene:Sspon.01G0003250-3C transcript:Sspon.01G0003250-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MWDQAVPRVSPKKVDLDAEAVSAAAPLLATLLELRDSTDDMCLAVVKKKVTFDPNVTTFEAAPIPEDDGEGADPADGGDSSEKGWMLAPECAKSEAFPLNHRYSNCAGIDSDSDYEDAEEFEDDDDEEEEEEGEDGLDECAMDDDEDEHGLLGIGRSEEDACESLFLLPFGKTSKDSGGQVAAPVVPPAESPSVLGSVENLSLTRRKEPRPRAAAAPKSSDKENVTVVQENRMDLLAEPPAAKRKEERPAVSDYSYTPSTPSKQEASVDASLSTWLGSSGTPESNSVRSYSPISREDRPILGALTVEDIKISSANSTPRRSRSPSPSPDDMPILGTVGAYWNCSAKEADPITRGGYRLLGSWNVRFRKWLATSSSRWHTTRRMVFGSLRLHRLVVGGIGRRCLSETASVHSYAAMPNSSTSCSAVCAMSATTPSAARASASTTSSDTSPSPRVQKARFRLRKSSSIIAWSSIVKLLSLPPASRTIRNPWNEAFITSRTATGCARSTRMETSSDRFCFSARSAGRMRASATPPT >Sspon.03G0026670-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:3398724:3399296:1 gene:Sspon.03G0026670-1B transcript:Sspon.03G0026670-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AMGKIRMPVPSLFRRRSKSPPPQTQPAVAGGKGNGSPPRAATAEEEMARVFRKFDANGDGRISRSELAALFESLGHAASDDEVARMMAEADADGDGFISLDEFAALNATVAGDAAAVEEDLRHAFRVFDADGNGTISAAELARVLRGLGESASVAQCRRMIEGVDQNGDGLISFEEFKVMMAGGGCFAKIA >Sspon.08G0026070-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:13581591:13587792:-1 gene:Sspon.08G0026070-2D transcript:Sspon.08G0026070-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable E3 ubiquitin ligase SUD1 [Source:Projected from Arabidopsis thaliana (AT4G34100) UniProtKB/Swiss-Prot;Acc:F4JKK0] MAEIAERAVAGELPEEPRPPHGEEEEEEEEEEGDVCRICRNRGDEDHPLRYPCACSGSIKFVHQDCLLQWLDHSNSRQCEVCKHAFSFSPVYAENAPTRLPFQELIVGVGMKACHVFQFILRLAFVLSVWLMIIPFITYWIWRLTFVRSLGEAQRLFLSHISAQLILSDCLHGFLLSAIIVLIFLGATSLRDYIRHLRELGGHDAERDDGGRERHGARAVRRLAGPNNRVPADGNIDELAEAQGIGPGELLRRNAENVAARLERLEAQVEQMLDGLDDADGAEDVPFDELVGMQGPVFHLVENAITVLASNAIFLIVVIFVPFSLGRIVLYYLSWFFSSASTPMLAKMMPFTETAISIANDTLKSALNVVKNFSSDSNNEGVIGHVIEVVTQSLKINATGLSVIQGTGKSSLMKGTTIGSSYLSDLTTLAVGYMFIFFLVFLYIGSLALLRYARGERFTIGRLYGIATILEAIPSLCRQFFAGMKHLMTMVKVAFLLVIELGVFPLMCGWWLDVCTLKMLGTTIAQRVEFFTMSPLASSSMHWLVGIVYMLQISIFVSLLRGVLRNGVLYFLRDPADPNYNPFRDLIDDPVHKHARRVLLSVAVYGSLIVMLVFLPVKLAMRVAPSIFPLDITIFDPFTEIPVDALLFQICIPFAIEHFKPRATIKSLLHHWFAAVGWALSLTDFLLPKPEENGGQENWNGRAERRERGHGGREMVAPQVEQRMIQHVAAEDNGRGNANEANDATEESDVDDQGDSEYGFVLRIVLLLVLAWMTLLIFNAGMIVIPISLGRLVFEAVPRLPITHGIKCNDLFSFSIGCYILWSAAAGTRYAIDYIRSRQLGFLVQQICKWCSIVLKSSFLLSIWIFVIPVLIGLLFELLVIVPMRVPIDESPVFLLYQDWALGLIFLKIWTRLVMLDQMAPLVDESWRSKFERVRDDGFSRLRGLWVLHEIIMPIVTKLLTALCVPYVLARGIFPVLGYPLIVNSAVYRFAWLGCLIFSTLFFCGKRFHVWFTNLHNSIRDDRYLIGRRLHNFGEDSHSPEPSESGATIGSDDQDRALVLRDQEEEVGLRMRRNNMRANQQPRLAL >Sspon.06G0005940-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:17953975:17955727:1 gene:Sspon.06G0005940-2B transcript:Sspon.06G0005940-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSFAFFRSQHRHPVNAAAHALLTWPILFTNLLILHFLPLPVAPALALTLAYAAAYLAVDRRAGALAGLLFLAAWAASRALAARLGFALSWKLVLATQLFCWTWQFLGHGLFEASGANSNWSLRLPRSKKGPTVSDLPEVFLMEPFLIFLQILNKLFGYEPYPGFCKNVDRKMEADLRESRELKQRKTT >Sspon.03G0035230-3D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3D:42864924:42866173:1 gene:Sspon.03G0035230-3D transcript:Sspon.03G0035230-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding EKVIVHRIMAESAHSAYDKAAEYFNIKVRRVPVNKEYLADVKGFKRCINGNTIMVPYSSL >Sspon.06G0015500-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:69433787:69435770:1 gene:Sspon.06G0015500-2B transcript:Sspon.06G0015500-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DLCRWHGFKHQFMTRILSMGAMWQKIKHFTEDEIEGITNNYGTLIGKGGFGEVYKGILDDHYDLVAILEEIVELAMACLRLDIKNRPEMNHVEKHLRTRTSIFVHFVNTHSWNTKDKQEKPQLKKSGSFFKRNASNAKIVSEFSNVRGFTKVELKEITDNYSHMLSGGMSAKFYKGRLEDNTVVVVRKFRDTDSQEAFINGGIVLSQIAHKNNIIKLLAETLAFIYEYADKGSLLDILGSQEDLPLDLRLRIAIRTAEALQYLHSSATGVIGHGSISASTVLLHNNFVPKLTDFSGASKLIMDSGSNSGDNVINSVLLEKVLDSDPSRYKTVLMNLESDVYRFGGVLLALVSRENNVSFDELIVEFTKAYQKDNSGKAMFDKDITAEQDIAALEEMGRLALRCTILNADEMAMRPTMQEVAEELRRIRRCWKLRTSEATPH >Sspon.01G0029280-5P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:85351803:85353046:1 gene:Sspon.01G0029280-5P transcript:Sspon.01G0029280-5P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKEIMAGGEHGEREEVVLVGDEEEEEDMLPGFRFHPTDEELVTFYLRRKVAGKRLSIEIIKDFDIYKHDPWDLPKSSSISGEKEWYFFCLRGRKYRNSIRPNRVTGSGFWKATGIDRPIHSAASGRAGDPIGLKKSLVFYRGSAGKGTKTEWMMHEFRLPPRAESAHTSPSEQEAEVWTICRIFRRNFTYKKHPQQQVAGSKLSAAAAVVVQPGESSSVTGSLESDTGDEYTNGMPQPPQAPAIDGYDYGYDQPMLQQGQWNSHALHAAATAPLPSPTMAAFHHSVLSSPAAGGLDDMYYRDGSSWDDISRMVMELTDPSPSVFSFYDTRSYA >Sspon.01G0029480-3C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6C:75484177:75484828:-1 gene:Sspon.01G0029480-3C transcript:Sspon.01G0029480-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRAGILGLPNVGKSTLFNAIVENRNAQAANFPFCTINPTLILVVRCFEDDDIIHVNGKVDPRSDIDVINLELIFSDLEQIEKRLDKLNKSKTKDVQVKVKEQAEKSGLEKIQQALMEGKPARSVDLAEHEKEAIQHLCLLTMKPVIYVANVTESDLAEPDSNPHVKEVAKAASDLQSGMVTISAQ >Sspon.03G0028020-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3B:7769037:7769234:1 gene:Sspon.03G0028020-1B transcript:Sspon.03G0028020-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNDILLLGHHLRRPAKTSKPSRRPSPIRVRVCLGLLDQSVIKQTPRTHPDSVFDDPHMDRKIIS >Sspon.04G0025040-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:24292524:24293366:1 gene:Sspon.04G0025040-1B transcript:Sspon.04G0025040-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGQAAVSFLTRMAKVAVGLGVAASAASTSFYTVDGGERAVIFDRVRGVLPQTTSEGTHFLVPILQKPFIFDIRTRPHSFSSTSGTKDLQMVNLTLRVLSRPDVEHLPDIFNSLGLEYDEKVLPSIGNEVLKAVVAQFNADQLLTERPHVSALVRESLTQRAREFNIVLDDVAITHLAYGPEFSQAVEKKQVAQQEAERSRFLVARAEQERRAAIVRAEGESEAARLISEATTTAGNGLIELRRIEAAKEIAGVLARTPNVSYIPAGDNGQMLLGLNAA >Sspon.05G0014570-1P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:5A:60691921:60692275:1 gene:Sspon.05G0014570-1P transcript:Sspon.05G0014570-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GDRLLRPEVIAEPEITITERTVEDQCLILASDGMWDVIDNDIACNVARQCLEDGIPPPAYAAAAAAAGRAPPAAGGVVGHQEDPRCVCAASLLGRLALGRETEDNISVIVVDLKYRG >Sspon.01G0044140-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1B:80823437:80824757:-1 gene:Sspon.01G0044140-1B transcript:Sspon.01G0044140-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding QQTVKFLHSQKQPRILLKLDITKAFDSVSWPFLMEVLRKLGFGARWCDLLCGLLVFNGTPGEAIQHRRGLRQGGPLSPMLFILVMDVLNWMVTRAADAGLLQPLARRPIHHRISLYADDVALFLQPAAADINLTLRMLQLFGEASGLKTNVQKSNVIPIHCSEDNMALIQSLLPCSVQDFPCKYLGLPLSTKKLTKEQFQPIIDKLADQLIGWKADLLTRAGRVVQVQHVLTASLIYVAMATDLPPWAIKAIDKIRRAFVWRGRKEANGGHCLIAWPKVCRSQELGGLGIADLKSLGYALRTRWSWLKKTEPNKPWACLPLKVSKEIECLLAMAVITEVGDGANTFFWKDRWLAGKSIQELAPRVHALAPKRTTSRRTVREALCDGKWLEDMQGEISVEALTEFLELWDVLSDVDLQEGIHDKHVWRLSGSGEYTAKSA >Sspon.02G0017390-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:50664894:50667944:-1 gene:Sspon.02G0017390-2B transcript:Sspon.02G0017390-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATARLLARVSRQCAGAVAASSAAGRHRGPLAAASVEQFAGSCLSARVPHNHFRYSTSIFQKIGFSSVSPQQSDNEVNELKDQERTLDGSSEDCSSGSIEGLDLSKDDLVKLVREKDELLKSKDDEIKDMKDKVFKKFGVEKFDPLNQKFDPNRHCAVFQIPDPSKPPGTVASVVK >Sspon.08G0012170-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:49827420:49828463:-1 gene:Sspon.08G0012170-1P transcript:Sspon.08G0012170-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RRACCAKEGVKRGAWTAKEDDILAAYVKAHGEGKWREVPQKAGKGRCGKSCRLRWLNYLRPNIKRGNISYDEEDLIIRLHKLLGNRSALIAGRLPGRTDNEIKNYWNSTLGRRAGTGAVGSSRVVVIAPDTGSHATPAASGSCETGQKQGAAAPRADPDSAGSAAATGTAAAVWAPKAVRCTGGLLFFHRDTPAPHAGDETTTPVAGGGGGEAGSSPDDCSSSAASVSPLVGLGSQQDEPCFSGGGGDWMDDVRALASFLESDEEWLRCQTTAEHERLA >Sspon.02G0036250-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:27702766:27703865:1 gene:Sspon.02G0036250-2C transcript:Sspon.02G0036250-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AMASTTAATDAKPAPAAGGPQLKLLVDRRSLRVLYAEARKDAVDFLIGLLRVPAGLAARALAKHGEPAPGSLGSLYAGALALDDSFFASGSPNRDALLSPAALPSAALPLLLGGEGAVPPPLAPPPTPQRYFRCSAYMNPCRGNPTNVTDVSGLPCPACRQPMTVEMRLAPGDAHGKLALAQAQEAAGLGGGGYVREVVTYLVMDDLTVVPMSTISAIMLLKKFDVKDCSALEEMTVELGTKQAVMLLKASLQSSTALTDVFSGGVSIDKIR >Sspon.02G0016070-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:37916314:37923529:-1 gene:Sspon.02G0016070-3D transcript:Sspon.02G0016070-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVDDDVEEDDIDFNPFLREGSPSETSSNLTSEAECEEHSSENRPSSQTYLQNTVVNENTSDSALPQNRLLSQDVVNEISPEETSTQVNLENDEGRLNELEKDVLASEAACSPTLQSPHHLSLEISEEDAICRRTRARYSLENYSLEELETFLQESDDDSGLQNVDEEEEYRKFLAAVLSGVGNDTQAFQGDDNQDEDDNDADFELEIEEALESDGDDNVEKYDDTNHRKEKDGRRPQTRQRRPLTELSGAGSYRQESNKTHLRPIVPYIPSALVTPAHAFGWQYPTQNTLFPSSLISLTRAPLACGFTDQQLGQLHVLIYEHVQLLIQTFSLCVLDSSRQDVANNVKRMIVELVGARDQALARSAPQRHIFFESQHLSSSFVSSENSECQWIPLIKSPIISILDVAPLELAPDYLSDVATAVVKYRKSHVDGTADKTRRKEPLFPSPVINSCKEVNNVSQDRSNSVPTASSPSSGQLKQKKSLAATLLENIKKDTVALVPAGIARLAQRFFSLFNFALFPHKSPPSAMASRVLFTDAEDRLLALGILEYNNDWAAIQKRFLPCKSKHQIFVRQKNRSSSKAPDNPVKDVRHMKTSPLTVAEKECIQEGLRIFKNDWTSVWRFVVPHRDPSLLQRQWRVASGVQKSYTKSDAEKERRRTYEAKRRKLRASMPDSHCGQEADNNASEDVENDDDSYVNEAFLEDTDTVLIKTCWKKHDDAVRHSIDEECGATCGYIEPQKGSTSYIPFMYCPSDGPSYVRTPSTAAPVVSCGSLDQLPASKLSKEKSDLPPVNLPPSVRVLSQVAFHPNATHFHGTSDHAAKNMYPVPPLAFTESAYRQLNLFPDHRANSRLQQNEISNDNAMEDGAEQDLQMHPLLFQYSRDVVSSYSHPVQNLINQSRKYDLFPFEKVRVERSNNQTTGSTENGTVNANTIDFHPLLQRTEVDVHNEVAEYDSNLDYHQSDNNMSEVPVDDQSTAGQASTSPSERETSIDLNIHLCSPTAIKDSNDFRSSFSRSNVQDEVSRKDKSSVPELEVVNSYFHHCIQEPNEESMQGIVMEQEELSDSEEDSQHVEFECEEMDDSEEEQVQGPEASPIQNKGISASVVFGDFHVSSDQSQIQQGSVQKDKQGASSMQKTQVPSRSVRAKLKPETAKCTGSRTSQRSSTSRTAETSRSKTRSSKVPQGQSSAERKPNDSRRTRKTPAPR >Sspon.03G0009910-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:26706015:26708519:-1 gene:Sspon.03G0009910-1P transcript:Sspon.03G0009910-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MWGPQNMPPAAFGKPYAAIYPHAGGFLHPFMPLMVNPLSAEPAKSVNSKDNSLNKKLKEIDGTAVSSGNSEKTSGDYSLEGSSDGNNQKVSGTPKKRSLDDRTTSETCGVSATNDKPGESGRLPTLSNMHIPDATMKPCVSTGSDFKVSGATSIEWPAKDDKESKRERRKQSNRESARRSRLRKQAETEELARKVELLTAENTSLRSEISKLTESSQKLRMENAALMEKLAGGTSDQAQEASADHPQTATAAPPSARVVKNFLSMMEVEGPSRGGGSGGSRRMEHGAPRLRQLLGSGPLAADAVAAS >Sspon.01G0041920-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:58379359:58385210:1 gene:Sspon.01G0041920-1B transcript:Sspon.01G0041920-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding HLFSDPAVAAVGPLFAASAQAQGGDFGWSSTSTTHLQQQPEFPDPRFAPGEPFAALAGRGFGSGDAVRVERPRPGAPPPGFGKPSHPPPTARGARNASGVVLSREQYNPRPMDFVPALADVHDAVGRMPREHGEQLSMPITGGGDAAVGMMYREQQQDRLLSRTPPEINANGQFGRMCLGEQHTLPILGGRRLHGDQYMPPVQEGRAPHSGHGQHESCLTNPLQREQRWQGFREDKGYVSRKVPNANAHYTFGKALVKELHHVTVPSGSSGPVEIMENQGSGLEGGRIGKVVLEHGIDGKVVVEASKFELSYDEKSKIRFAGHDEQYDGDDREDAIIEQMTQNLVIDGNGDAKGVVLEKTIPRSQDFRSDFSRGHHVSSQRIRFQRRNRPCRYDIDRFTPNFLSIFESLMPSEEEIAKQKQLLTSLSRLINTEWPNSKLYLYGSCASSFGFSNSDIDLCLSIDDKEMSKVDIILKLADILQAGNLQNIQPLTRARVPIVKLMDPETGLSCDICVNNLLAVVNTKLLRDYAQIDRRLRQLAFIVKHWAKIRRVNETYQGTLSSYSYVIMCIHLLQLRRILPCLQEMEATYYVKVDENNCAYFDQVEKLNNYGAHNRDTISRLLWAFFHYWAYEHDYTRDVISIRTGRIISKERKDWTRRVGNDRHLICIEDPFEISHDLGRVVDKFTIKILREEFERAANILQFDPNPSITLFEPYMPPLLPSLLQEETANAAEIEL >Sspon.05G0020650-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:85294038:85296004:1 gene:Sspon.05G0020650-3C transcript:Sspon.05G0020650-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMPSPSPSRSSGSCRVAPNLNLPAGFRFHPTDEELIVHYLMNQAASIPCPVPIIAEVNIYQCNPWDLPAKAVFGESEWYFFSPRDRKYPNGVRPNRAAGTGYWKATGTDKAIMSTPTGQHIGVKKALVFYGGRPPKGVKTDWIMHEYRLVTVADGKTAAAAKRRGGSMRLDDWVLCRIHKKSNDFQLSSDHQEQEGSSTVEQESPKPEPLEHDQFQFHQTTLTKSCSLTDLLNNFDYSALSQILDGPVDGSEALPQQNPPLPNYPTTTHETHQALNYNNNNNNLNNNNNNHVISLPHTNATARSADSIVANNCNNGLNKRKRMATAAMNDGVESFDYGSNAFSRKPKVLPTDSRNSSHLGSTSSSSYCNQQVVDTSGLFQYSSLLSYPFVANNAGTS >Sspon.08G0002330-3D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8D:5439584:5442335:1 gene:Sspon.08G0002330-3D transcript:Sspon.08G0002330-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADALFVVLRKLADSLGERALERISTELVEAAPVLTDYEHSMKQIEAELLILQAFIFQAGAKVGDKAFDAWLDQVRDVAHEVEDTIDEYAYLAVQAVDTGSFFKRKFRQIKKFAAWQKFHSQISQVEARIQRLGEIRNRYGILAGEIDRSDKLRKPSHLFMSDSSYLTDSSEIVGYVDEIGRLTQWLLEDKQERTLIAIFGMGGLGKTTIASSVYKNQNITRTFNCHAWVTVSQTYQVEELLREIINQLIDQRASMASGLMTMNRMRLVEAIQSYLLDKKYFIVLDDVWDKDAWLFLNYAFARNNCGSKVLITTRRKDVSCLAVDHYTIELKTLQYAESWELFCKKAFRASKDSQCPENLRFFAEKIVATCQGLPLAIVTIGSTLSYREFEEHGWESFYSQLSWQLANNPELNWISNVLNMSLNDLPSYLRSCFLYCSLYPEDYNIKRKVISKLWIAEGLVEDREDGTTMEEVANYYLVELTQRCLLRATESNAYGRPRTFVMHDLVREATSIIAKKEKFGIAYGDASTTQVPHEVRRLCIQRGAQTMNSIASSRLLSFILFDTEVPCSWIDDILSRFRLLRVLCLRFANIGQVPGMVTELYNLRYIDFSNTKVKIIPASFGKLVNLQVLDLRFTYVEELPLEITLLTNLRHLQVFVVHDLLQRSLDCFSATKIRGNICLLKNLQALHIVSASKDLVSQLGNLTLLRSLAIMKVRQSYIAELWGSLTKMPNLSKFLISAIDMDEILDLKMLKPLPNLKFLWLAGKLDVGVLPSMFSKFEKLAWLKMDWSGLKKDPIISFSHMLNLVDLRLYGTYGGEQLTFCAGWFPKLVRLELGDMEHLDWIEIEDGTMIGLHHVELVGLGNVKAVPAGIQYLRTLHQMFLTDMSKGFIQRLQGSDNYIVQHIPNIHIFYSSDSQA >Sspon.01G0034570-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:12395018:12399643:1 gene:Sspon.01G0034570-2C transcript:Sspon.01G0034570-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGDADAGGEPAAAEATLHIRCTNGSKFAVRADLGTTVGAFKAIVAETCDVPAPQQRLIYKGRILKDDQTLASYGVETDHTIHMVRGAAPPPASTAPAANQERTTAAPASSPAAGLGGLLQSLGATGAAANSGGSALFGSGLPELDQMQQQLAENPNLMREIMNMPLMQNLMNSPELIRSIIMNNPQMRELIDRNPDLAHVLNDPSIMRQTFEAVRNPELMREMMRNTDRAMSNIESSPEGFNMLRRMYETVQEPFLNATTMGSEGDRNSNPFAALLGNQGSNQARDSAANGTTTASDPTSGSPAPNTNPLPNPWGPNTGSAQGAARSPPASNTRSTTAGGLGGLGSADLGSMLGASGGGSDATFLTQVLQNPTMMQMMQNFMSNPQSMNQLLNMNPNVRNMMESNTQMREMIQNPEFLRQLTSPETLQQLISFQQSLMSQLGQQQAGQERTQSDSGAGNVNLNTLMNMFSGLGAGGGLGVPNAPNVPPEELYATQLAQLQEMGFFDTQENIRALAATAGNVHAAVERLLGNMGQ >Sspon.02G0026160-4D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2D:85456986:85458545:-1 gene:Sspon.02G0026160-4D transcript:Sspon.02G0026160-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSGLRSCPQSEDMWIEYLRMELTYLNKLKARKVALGEDVKTLQKNDNDAGQWKEENKELFMPLNEQGEDPKEPGLAGDALEEKEDLFWRQGLLIIQTIYHGAVEALPSSLSLRKKFLEILNSVDLAHSDELKLEVLDDLKRDFSHSEDYWDWFARLQLSNSNSSSNLNRKDALSNKLNRSIQVYDEAVRRLPNSKMYSLYAKFWMDVLYPDREDSITLFQDSEFDASEFTSSILKLYENAESCGCLTEDHACQYVSLYLKLERLEEAKTLAEKLCDGPLSNAAKLWSLRASMEINSIATAPGSSPLSKENLSSLFDLFSTVLSKLSVTEAEGLWH >Sspon.03G0003120-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:7901214:7911741:1 gene:Sspon.03G0003120-1A transcript:Sspon.03G0003120-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVVAGSRARAEQARLQWREQDPRSPEMAAPPRPPRPRPARAAVVYYLARNGHLEHPHFMEVALSCPDGLYLRDVIDRLDALRGKGMARMYSWASKRSYRNGFVWHDLADDDYIHPVVGREYVLKGTERLHPVPPALLDAAAASSSSSGSQDTPTSSSSARWEAHGRPAHRMKSASAADLGEYVVYKGEERAADAATQTEDGGRGHGHSQRRVLAPAAQEELSRAETSPPTASTSPETLEALIKADGRVVAAVSGSGRARASSVLMQLISCGSVSVRDARASPVMPRAHHHRAHARPPRPPASAAVEVPSYRAKIVEDKEYFSGSIIETAKRSPADDVTSQDLAVLRRSSSYNAERVIKLELAKEAGDLHDRCIPRKPKGKKDGYLVISCSAQGNNKG >Sspon.07G0019430-3C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7C:74464017:74464379:1 gene:Sspon.07G0019430-3C transcript:Sspon.07G0019430-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMEDSSFGDNKYDDVPGITGLSGGSFACLQRTLRRVGLQFRLDNNSSISSCLGLRLIKFFADHARVLEEIFVDTGNRRLDEHLNFNVETQIALAPKPISATASIQHKNLAGSSSEFSRIS >Sspon.06G0009940-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:43581982:43592230:1 gene:Sspon.06G0009940-2C transcript:Sspon.06G0009940-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polyadenylation and cleavage factor homolog 4 [Source:Projected from Arabidopsis thaliana (AT4G04885) UniProtKB/Swiss-Prot;Acc:Q0WPF2] MAGAAASPAGGHVVERFRSRLREEAGGGEPGAAAVVRVYAEALRELTFNCKPVITELTIIAGQHAALAARGIADAVCARVAEVPPDQILPSLYLLDSIVKNIGGEYVEHFATRLHSVFVDAYYRVHPNQYTSMRRLFRTWWPVFPSSVLRSIEDDLQFSPSENNRPTTSTNLHQTESLSPRPSHGIHVNPKYLEAQHKFKQANVAHQSAARDTMQTTDVQEDRINGLTSNTSRGWPSMFQKSSLQYADNPDQQEMSRPLAGTIRATSPHLLSTHSSDVNLDGPLVNSRKKLSRSPPLDVFPMNVSPKRALERLPPSHSVLGPDPRKLPDRNGMLRWALNDGAQQPTISMLDEEYRKQSARELIDAYGNCQGRDVDERLPKVQRLDPNGMASRSSARNWLTSEEEEYSWEDMSPTLTDRVRSSLPSFPPGTVRAGFPRANAGLLESDVVRHNFPSQATRSSVDGSPFNLEDRITTASHVDISTRRYPSNFGVQNGALLEYQNSEHTLEHGNIDTMLAPPWQKPTGLPLRIQAPQHPSVLDRIPQPANGEMPVKRLDISGTYNGLNVDIPLVEKHRSSPAPALTEWLPLHHTQSQTLPLIPPDTKHVRSASDSLEISSFVNQGASSSVFVPRHQYDSLDRKMGTSNLAQPPYQHQDLLPSNQQNQGTILGNQAHPHRPTQLHPHPHSHPHHQETFRSFASVSPFQGQGGTATMTPVSVLPTSFPVPPAVPPYGVPSAPGFPMPPLPPGPRPVPLQMGSSSSQVGGPQPFVSGLLSNLMRHGVISLEPPSQSQDCVGVDFNVDLKLRNESVINALYQDLSRQCKTCGLRFKCQEEHRAHMDWHVTKNRNSKNRKQSSRKYFVTAGEWLRAAETVGNDGVPAFVPSDPVPDRKEEKEIAVPADEEQTACALCQEPFEDFYSDETDEWMYRGAVYMNAPDGNIDGLERSQLGPIVHAKCPRKKPRFDHLLQ >Sspon.08G0001700-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:2124740:2127259:-1 gene:Sspon.08G0001700-2B transcript:Sspon.08G0001700-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGREGVVAGPVAEGSKSRPECINSSNPYHECSDYCLRKIAEARQRLDDELPDSWKRPPEQRTVHPDCINASNPYHECSDYCFRRIADAKSDLERGEGQPPADVATAAGSSDAVEQQRAEDNDADEQEGAGADHGYPQMTEKQKKLFELRLKMNEARKANQQAMVAEKKRMEPRGESRGVSKQKWLDDRKKKIGKLLDSNGLDMSKAYMLDTQETAETKYKKWEKEPAPYGWDVFNQKTLYDAYKKRTKNIEVDMEAYNKAKEADPEFYRDASSLQYGKVSNVPEENIDKMVKELKEREEKRKSFSRRRKFNEDKDIDSINDRNEHFNKKIERAFGKYTLEIKNNLERGTALPD >Sspon.07G0038300-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7D:75843204:75843478:1 gene:Sspon.07G0038300-1D transcript:Sspon.07G0038300-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding NFVSSLDRRAICCTAWSRPSHQQRSATGDLPMSEHPAIPLSDQAFRTRPAWQRLLAGAQLTAPLAQESVELTSREMSQQDDEYFKDVKRT >Sspon.05G0003200-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:1027808:1031628:1 gene:Sspon.05G0003200-2C transcript:Sspon.05G0003200-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASERNKDVPNEALSVDQSSISGVKRKRGRPRKYEYPVYELPQKVQPIQSAPPLLHCTQDGSSMASHTSGGSAHGNWSAQPRNPANASLQGNSGKDDFLGKHFVGKLTKKVPGFSLITVKVKDNQVLKGWVPDEINLRPITPKDDLAPELPMLRPSQVRKRASAIHMQPALPVPMHLEDVTLAKPLQMRRPVEKSIAKHAVPLAPRPYIGSGVVAAVPISVAPSNPEMRTLARQDAELVIPQSSVAAVPIKSVRPVSVPCKQLANQNEFTGKKSVDEVQKDSEPPNVTKESPVKAEKPNIALVDVVVKDSPGERQQLNDQVTDVVRESSGQTQNADVTMSDEIKVASGARDQPNSANSEQQSSKEPSDITEQSEQLKSETDVEKGVDASKSDASDDIQPEHDEQEMKVGGK >Sspon.07G0032000-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:34147268:34153363:1 gene:Sspon.07G0032000-2D transcript:Sspon.07G0032000-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASASVSATGGGARLVDRCIDAAARGPATVEAWRRQRRSLERLPAPLADALFRRLAARRLLFPSLLEVFGRSVEEVDLSGFLSVDAEWLAYLGSFRYLRVLKLADCKNADNDAVWSLSGMNTLKELDLSRCKKISDAGIKHIVTIESLEKLHLSETELTDNGVMLISSLTNLSFLDLGGILMTDKSLQSLQVLTRLEHLDIWGSETTNEGASALKSFARLIFLNLALTRVNHLSIPPTTRCLNMSNCEIHSICDEDSEVPVPLENFIVSAATFGNIDKVFSSIQASSLTHLDLSSCKLSNLSFLEKMKNLEHLDLSYNIITDGVIEHIAKLGTNLQYLSLKNTGITSQALCILAGTVPNLTSLSLANTKIDDSALAYIGMIPLLRTIDLSQTSIKGFAHTEVNSEKLLSMSAFEHLKYLESLNLEDTPLSAEVIPPLASFAALKYLYLKSDFLSDPALHALSAASNLIHLGFCGNILSSSGLLQFVPPTTLCVLDLSGCWILTGDAISTFRKRHPTIELRHELMQEVEANFVCGSQFRKPRRRRSPHVKAEVGNSFAGPNERIKYSKEELMELQGLATPNSLMLGTKECLPDQCVCLTRHFVDEI >Sspon.06G0021430-3D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6D:16270206:16270805:-1 gene:Sspon.06G0021430-3D transcript:Sspon.06G0021430-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGADGAQQHHPCSICMEPMAPTAAHRGGAACAHAFCRACLSGHVRAKLECGGGGGGGAVVRCPDASCAAALDPELCRGALPSEVFERWCAKLCESLFLGARRTYCPFPDCSEMMVADDDSEECVTQSECHGCRRLFCARCGVPWHAGVTCEEFARLGEGERAREDLLLVKAAREGNWKRCPRCRFYVEKSSGCLHITCR >Sspon.05G0014530-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:60980714:60981777:1 gene:Sspon.05G0014530-2B transcript:Sspon.05G0014530-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLRSVLAVSLAVALFAVAPASFALDEKELHLSLYLNQTYSGNGLNEAVVVEPGLPGEFGNIAVQDWPVTNGEGSDATVVGRAQGIQFKPSQRNDQAWYTTLTIVFERTSLKGSTLQMMGYIPQDGQWSIFGGTGQLTLARGVVNHKASSNCRINPRRLDFDA >Sspon.03G0014770-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3A:47274243:47275677:1 gene:Sspon.03G0014770-1A transcript:Sspon.03G0014770-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMRCTCTSLRKRAHHLPRRKTWPSKLAMTRRRRRGQAM >Sspon.06G0012620-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:9232572:9236491:-1 gene:Sspon.06G0012620-4D transcript:Sspon.06G0012620-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribulose-phosphate 3-epimerase, chloroplast precursor (EC 5.1.3.1) (Pentose-5-phosphate 3-epimerase) (PPE) (RPE) (R5P3E) [Source: Projected from Oryza sativa (Os03g0169100)] MATPSSSLCSSFASLRTASIGHRRGLTSSTPRKAFQVRASARVDKFSKSDIIVSPSILSANFAKLGDQVKAVEVAGCDWIHVDVMDGRFVPNITIGPLVVDALRPVTDLPLDVHLMIVEPEQRVPDFIKAGADIVSVHCEQTSTIHLHRTVNQIKSLGAKAGVVLNPATPLTAIDYVLDVVDLVLIMSVNPGFGGQSFIESQGVNPWIEVDGGVGPTNAYKVIEAGANAIVAGSAVFGAPDYAEGMLSKESRPAKDL >Sspon.01G0007070-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:19165892:19166506:-1 gene:Sspon.01G0007070-1A transcript:Sspon.01G0007070-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding HVHHHRPDEALGVHAPPRRQRRGRGRQGQGPLPSPGARRARAAPRRAGAPAAGALPPLRPRRRREPHQAGAGGAAALPGPPPGRRGRDPRAHRRHGRRRQRHRGVRRARLLPRAAAPGALPPRRGRRPRAARRGLPRLRPRRQRLHLRRRARALHGAHGPPHLLRRAHRHDERGRHRRRRRHQLPGVHRHHGQVRRRLPRPRCLV >Sspon.01G0057950-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1D:18401553:18401812:1 gene:Sspon.01G0057950-1D transcript:Sspon.01G0057950-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPEANESSGGAAMSLGPLWWASECHGVLYSLAVMLLSLAFVGFLEWQARRSFRRLSYDRSHVRRSRILRAPLGHRRTQPPLVLPA >Sspon.02G0021350-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:70452118:70453414:-1 gene:Sspon.02G0021350-2B transcript:Sspon.02G0021350-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHACGHDAHTTMLLGAARILQDRKSDLKGTVKLIFQPAEEGQGGAYYVLQEGVLDDVSAIFGLHVDPALPVGVVSSRPGPFAATSGRFLATVTGKGGHAAMPHESVDPVLAAATTIVSLQQIISREIDPLQGAVVSITFMKGGEAYNVIPESVTFGGTLRSMTNEGLSYLMKRITEIVEGQSAVHHCTASVDFMEDTMKRYPAVINDERMYAHAKEVAESLLGEKNVKLGPQVMGAEDFGFYAQRMAGAFFTIGVGNKSTMETIHSTHSPYFVIDEDVLPIGAAFHAGVAIEYVKKNHAST >Sspon.07G0038370-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:78061268:78061750:-1 gene:Sspon.07G0038370-1D transcript:Sspon.07G0038370-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCESVHSDSKDSATTPKLLHHGSCEQNKQTEAEQVNIPARDATAAPCLLFSSQTRNTPWFCHLHMQQEFWCHLASVSFLFHQQNTPKKIPAVQLGNTTNPFCLPSLASSYYSL >Sspon.04G0023870-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:15793714:15794702:1 gene:Sspon.04G0023870-1B transcript:Sspon.04G0023870-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEKPPPLRRRPTAAAGSWVRSLHCKSMAADDVAARVATTTAPKKLHHPLLPRAGCGSSGDTLNHVVSSKPTSRPKPKPKPKPKPGSKPSPEPAKKKTAKPKPATTVPPSPPPGPLGPVPALTELPAGHSSRQVVEIIFLSSWSSPLPVPVPQPPPPPPGAPTLTTTATSGSSAFPGVVEMLFRVHNPARAVARFEDYRAAVRARAGGASRSAADGNEMMRFSPGAAVRRLLLALLGGTTPPPRIRTFDGSGGAHASAARRPAAGRRAMFLCRVIAGRVAEAEAAAGKDEHFDSVRVGRGGELVVFDRRAVLPCFLVIYKL >Sspon.03G0021870-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:66637620:66638654:1 gene:Sspon.03G0021870-1A transcript:Sspon.03G0021870-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSVQTFPKQEADAEGESNPELYQHFANLVSSLPSSKGLSNNKFYRHDQGWHCSVVPIVGAMVADACFNARPSDVIVATLPKSGTTWMKSLLYATVHRREHPVDDGSAGHPLNSWGPHELIKFFEYQLYTKDKVPDLDRLPDPRLFATHVPFVSLPRSVVATPGCKIVYVCRDPKDHLISQWDFANKFRVKDGREPLPLEDAAKLFCAGLSPFGPYWDHVLGYWRAHRADPKKVLFFKYEEMQRDPAAHVRRLAEFVGLPFSSGEEDGGVVDAVVALCSFERMKGMAATKGGRTELSFGVVPNSSFFRQGVVGDWVNHLSPEMARRIDAITADKFKGSGLVV >Sspon.01G0017850-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1A:66656305:66657149:1 gene:Sspon.01G0017850-1A transcript:Sspon.01G0017850-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAGTMRVLGGEVSPFTARARLALELRGVAYELLDEPLGPKKSDRLLAANPVYGKIPVLLLPDGRAICESAVIVQYVEDVARGSGGGAEAGGGLLLPDDPYERAMHRFWTVFIDDKFWPALDAVSLAPTADARAQAVVDTRAALNRLEEAFKDRSDGAAFFSGRDAAPGLLDLALGCFLPALRACERLHGLSFVDASTTPLLDGWSRRFAAHPAAKRILPDTDKVVQFTRFLQAKFGVH >Sspon.02G0003350-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:10977879:10981121:-1 gene:Sspon.02G0003350-2C transcript:Sspon.02G0003350-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRQRQTGGPAHLPLPLSLPGLARSSSARRASVLVASTVDRRSEAGELGTRSAAGSWSGRGMETPPPFQESAHCDVCRCTFSTFRRRHHCRSCGRTLCHEHSSYHMALPQYGIYTDVRVCYDCFNKSSSQGRASNSVSAGSISGAADSFSGLSLGKEDASSPMKNSTVQGAAPLIECKCGMPLCICEAPKPEPTPVKNISTVPSTTQSNPRPKKPANTQQKASATSSSNSSPFLNIGLMSNDSNDKGLSDYEVSGEGLREAIKGGDVKGVKKLLTQGVDSNYCDKQGFTLLHLAALFNQTEIALILMDHGANVESKNGQGETPLDCAPAMLQYKMRQRMEELAASRRPLE >Sspon.01G0002720-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:6193014:6193689:-1 gene:Sspon.01G0002720-2B transcript:Sspon.01G0002720-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQIQYSEKYFDDTYEYRHVVLPPEVAKLLPKNRLLSENEWRAIGVQQSRGWVHYAIHRPEPHIMLFRRPINYQQQQEAAAAQMLAK >Sspon.02G0043580-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:92954467:92958527:1 gene:Sspon.02G0043580-1B transcript:Sspon.02G0043580-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIGYVVGVLGGAILAHAAYATIQCTLHPSSIPILLPSPRRRAPTKFRRGSPFSEGAFDFPSDSGSPFRDRAVLKITEEEFSRPPMDVMMELLLGLALCMWAGLAVPAKFVSVLPHSEENRIVSLPANLDFMIFNHRGRALPSDSDLKLKT >Sspon.01G0028810-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:100371433:100377734:-1 gene:Sspon.01G0028810-1A transcript:Sspon.01G0028810-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRITVSYSGYVAQNLAASFGLRCTTAAAAGAGAAPGAGCRFLQDALSRPFCLFASSRRADAHHDAEDHNHPKPMPKALPPAAAAGGGYSLLLPRSCSTKAAAPVDDPPASLAVGLLSVLASGMGSTGGIAGASSLAASPSISAGFNPSALLPFLQATKWLPCSDIVTAATASRSSGRPAAATAAPAPRTAAPAPRTAAPSPRPAPRAAAPSPCPSPVPSPAVAAPSKVGIQALVGSASIASGSAVIGRGAIASGAAGMVKKSGPSLPAGAGVRRKTGWLSRWVSSCSDDAKTVFAAVTVPLLYKSSLAEPRSIPSRSMFPTFDVGDRILAEKVSYIFREPEILDIVIFRAPPVLQALGYNSGDVFIKRVVAKGGDIVEVRDGTLLVNGVVQEEDFVLEPADYEMDPLTVPEGYVFVLGDNRNNSFDSHNWGPLPVKNILGRSVLRYWPPSRITDTIYEHDMAHRKLACKQLIRIGGKIGNNARSKSTHSPTLELDEATVLVASKLQFQRPNQLHVPHASVEPERAFGGDDVDPVRPVHLLDGRRGGASPLHGLVGEPRQRRRPARPRPELVPLHGADADGVSVKRPHPDSASGSQSYFSETASMTSSRKVGSGARSSSSGSAEMEAEPLEEAVRKASSAEADGEGAERSSSARRRGLEGGPRRRRRRRPWGRRIEER >Sspon.08G0001540-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:2660126:2663752:1 gene:Sspon.08G0001540-4D transcript:Sspon.08G0001540-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVGHVPAAPHTARRRRSRLARSPLPTTAPLPHPHGSCRPHPSSSGPLKTNSPGKMSLISQNAVQKRRLRKSEADDGNDEDIGSPTSIDAEVGKEAKLKNHNKERKKKRTKVQESQQNKEEEEMRQLESSLFGSLYAPLEFGTEAGATVVAPDRDVPLFFTDRSAGGGMDYFPIYEEDMAHEDEEDVVGIKGRKPVWVDEEEERTEVDIVKVSRLRKLRKEEDEHLISGKEYEARLRGQHAKLNPFTGWADMDQKTSLPAASDGESDDEGCVDDILQNNDELVVKDTVKLLPGMLEFSRLVDANIQDPSSGPINSVQFHRNGQLMLAAGLDKHLRFFQIDGKRNPKIQSIFIGDCPVHKASFLPDGSEVILSGRRKFFYSFDLVNAAVSKIGPLTGREEKSLESFEISPDSKTIAFVGNEGYILLISAKTKQLIGTLKMNGSVRSLAFADGGNQLLSSGGDGHVYHWDLGTRKCIHKAFDDGSLAGISLCTSQDSSLFATGSTSGIVNVYKRDDFLGGKRKPLKTIENLTTDIGEMKFNHDAQILAISSRKERNGMRLVHVPSFSVFQNWPGPRFSLHYPRCLDFSPGSGFLSVGHAGGKVLLYKLHHYKNA >Sspon.03G0016710-3D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3D:39556300:39557606:-1 gene:Sspon.03G0016710-3D transcript:Sspon.03G0016710-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKGRQVASGSPWDGIPGLLRRVTTDDALFFVNKRGRLLQFTVALRKFKWKDCHSPPDTKIAFIVDQEVFREKHHLRGRPQRPPLPVQQGHGALAQALPIASPGPLAHPRDGHATIAAVPGRL >Sspon.07G0020460-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:67529022:67534013:-1 gene:Sspon.07G0020460-4D transcript:Sspon.07G0020460-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDACAARRLLLFSLPTPKLPPEHPLLMRRRRGRGRPGIARCRLAPDGEVSDDLSELLQILPRDLRDNLQNEPRKDQLLEISQKELEDAQKAVGEFGGDNRAGIEGTLHRISAIRSRKGMVVGLTCRVGRAVTGHVDMVRDLLNYKESILFLGRPGVGKTTVMREIARVLADEFQKRVVIVDTSNEIGGDGDVPHAAIGGARRMQVPEPSMQHRVMIEAVENHMPEVVIVDEIGTEAEAQACRSIAERGVMLIGTAHGERLANIIKNPTLSDLIGGVETVTLGDDEARARRSQKSILERKAPPTFPFLIEMRERHYWVTHRTERSVDMLLHGKKPLVEGWIHKQKRDREDFRSFVHF >Sspon.02G0015300-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:39890918:39892429:-1 gene:Sspon.02G0015300-2B transcript:Sspon.02G0015300-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRAWVAAAAALNPQLALRSCTPAQSVSPAQSSAATGLRLRSRRPRPGKFVCRRAKNAGYEDYKFPDPIPEFAEQETSKFREHMAWRLEQKKEDYFGNHVEEIVDVCTEACIQFLYSMCIILGTFLENDYCGPGTLLVHPFLDMKGEIKERGLPGAPQAARAAIAWAEKNIDNDWKAWTGEY >Sspon.06G0034110-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:38948790:38950365:1 gene:Sspon.06G0034110-1D transcript:Sspon.06G0034110-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SAAWVALLHFISFLLQSHAGLLFLVTVPLRLPQLTDRTYTAVRSSRSLPPATTRRPSASNDKRERPCVVQERLRPVSCLSSAHPTADAHLSLICGQRVT >Sspon.04G0018720-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:76345369:76349569:1 gene:Sspon.04G0018720-3D transcript:Sspon.04G0018720-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MESIRLADIHWPSSLPAPASAHLRRRHLLRLPGQISLPRTSPSLFSPHHHRLCPTPRHDLLQPLLASAAPASSPEPKLPGPAASGAKLVPASPAPDSSPAPKPSGVKLVPFVISLAVGLAVRFLAPRPAEVSPRAWQLLSIFLSTIAGLVLGPLPVGAWAFLGLTAAVATHTLPFAAAFAAFTNEIIWLIVISFFFARGFVKTGLGDRVATYFVKWLGKSTLGLSYGLALGEACIAPAMPSTAARAGGIFLPIIKSLSLSAGSKPNHPSSRKLGTYLVMSQFQDTPEAPALAAEKQKQMGPVTKNEWVMIGTMIIAVALWIFGDAVGVSSVVAAMLGLSILLLLGVLDWVDILNEKSAWDTLACWVS >Sspon.06G0009210-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:38400540:38403949:1 gene:Sspon.06G0009210-4D transcript:Sspon.06G0009210-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GTP-binding protein ERG [Source:Projected from Arabidopsis thaliana (AT1G30960) UniProtKB/Swiss-Prot;Acc:O82653] MRRFLQALRPLQTLSLNPAPGIPTPLRLLSTSSAAASSDSDSAPAAAPAPAPAPAADADFDSADYALPTPGPAPSRKIKNPVAAMRKLRFDPSLRARADEALFGKGMGAAAVEEEDEDRSRGVALALLDAAMEPPDEDVEDFGEVREEDQMSLSVGIVGAPNAGKSSLTNTMVGTKVAAVSRKTNTTTHEILGCFFDTPGLMLGHHGFPYRDVTVRVESAWSSINLYDLLIVMFDVNRHLTLPDSRVIKLIKRLGTEVNPNQRRILCMNKVDLVKDKKDLLKVAKEFEDLPGYERYFMVSGLKGKGVKDLVQYLMDQAVRRPWDEEPTVMTEEVMKTISLEVVREKMLHHIHQEIPYVIEHRLMGWKELKDGSLRVEQHFIAPKQSQRQILVGKNGSKIGRIGIEANEELRSIFKRDVHLILQVRVAKKRNA >Sspon.03G0007160-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:26733027:26738352:-1 gene:Sspon.03G0007160-2B transcript:Sspon.03G0007160-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRADKGDGSIKRTKGVSHLKKGESYLSASRVQTPQTSVDFSNGVLTPAPRPPLLVASCPLLPPPRPEPSFCSVEGLGFRATAPPSPPRALPDVAAGAVASIRDALADAFLTSPPTWRSAAASNLAVFVAGSPVLLSGLSASGFAAAYLLGTLTWRAFGAPGFLVVVAYFVVGTAVTKLRIRQKEALGVAEKRGGRRGPGSVIGSSAAGCVCALLSIYHAGGTASSEIWRLGFVASFCTKLSDTVSSEIGKAFGRTTYLVTTLKVVPRGTEGAVSIEGTIAGILAAVFLAGFGYLLGQVDVSQVAVCVLASQIANYVESYIGATLQDKEGFEWFFEVL >Sspon.01G0042900-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:9386547:9389153:-1 gene:Sspon.01G0042900-2P transcript:Sspon.01G0042900-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MENLKEQRGHDAAGGNNAWMTVPAFGDWDMKNGALPDYSMDFSKIREMRKQNKKELSRASLGGDDDLLAQAQAQAQKPQPKLGRPRPADDHRRRPLHARDHSPTGGKKFLSYFQCCIKA >Sspon.03G0022860-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:92704832:92710593:1 gene:Sspon.03G0022860-2B transcript:Sspon.03G0022860-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MYPSPSLLALSFAPLVLLLLRLALRAARRRDKNHPSPTTTTTTHACALPPGAAYEPDMNRGHSSSSKLINEKLEEHRISTAKHCPHCGEKIDSKPDWVGLPAGVKFDPTDQELIEHLEAKVKDEGSRSHPLIDEFIPTIDGEDGICYTHPEKLPGVTRDGLSKHFFHRPSKAYTTGTRKRRKIQTECDVHKGETRWHKTGKTRPVMVNGRQKGCKKILVLYTNFGKHRKPEKTNWVMHQYHLGDLEEEKEGELVVCKIFYQTQPRQCSWSSDRGAAATAMVATTSAAQEQHRRDSGSGSCSSRDHEVSATSFPAGYTVTTAVEMQQHMKQSADHFSFAPFRKTFDQEVGIGGDQVPSNQLGRSEPHHAGQGQPHGPVLATTTAMPATAFLISRPSNPVSTIVPPAMQHASVVLDHDQFHVPAILLHHHDKFQPQHQQQQPQQKLDRRSAGLEELIMGCTSSTSTKGETSIAHPQETEWPYPYWPPDNQDHHG >Sspon.08G0006060-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:18919219:18934552:1 gene:Sspon.08G0006060-1P transcript:Sspon.08G0006060-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMATLMITGVLHRDITREILEIRPPVIARENNVQPETTARLQRKYSRIGDDYRSLSQVTEALAQAGLESSNLIVGIDFTKSNEWTGKISFNRHCLHDIGNTPNPYEQAISIIGRTLSAFDEDNLIPCFGFGDASTHDQEVFSFYPENRPCNGFEEALDRYREIVPTLRLAGPTSFSPIIETAIGIVDSTGGQYHVLLIIADGQVTRSVDTQSGQLSPQERDTIDAIVRASQFPLSIVLVGVGDGPWDMMHQFDDNIPARSFDNFQFVNFTEIMSKSIAADRKEAEFALSALMEIPTQYKATLDLQLLGRRQRITPRIALPPPTRTAYSRRSVDQIESGSSSGCWSHPEAQAEEWGKRTPSLHMDMATLMITGVLHRDITREILEIRPPVIARENNVQPETTARLQRKYSRIGDDYRSLSQVTEALAQAGLESSNLIVGIDFTKSNEWTGKISFNRHCLHDIGNTPNPYEQAISIIGRTLSAFDEDNLIPCFGFGDASTHDQEVFSFYPENRPCNGFEEALDRYREIVPTLRLAGPTSFAPIIETAIGIVDSTGGQYHVLLIIADGQVTRSVDTQSGQLSPQERDTIDAIVRASQFPLSIVLVGVGDGPWDMMHQFDDNIPARSFDNFQFVNFTEIMSKSIAADRKEAEFALSALMEIPTQYKATLDLQLLGRRQRITPRIALPPPTRTAYSRSTSFSQQSGVYSRSSSFDQQTSGSHQRSESLKQQQPAAPKRPDTYASERALEDRIMCPICMYKSKDLAFGCGHQLSEAAASPAPEPVYLPSPASELALHRTRKVACAAATFSFLPSHFLRVLCCSARPKEKDSVQGLSTSSLFIASRFCVASSSLCPPDRNTTPGTAGGTVRRSAATVYSAITSAPT >Sspon.03G0001640-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:3981848:3982258:1 gene:Sspon.03G0001640-1A transcript:Sspon.03G0001640-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTFMPPAPPAPTPPALLVPVAYATGDGAAVGAVGRGSSYGPVIAMLAVVAVLAAAAVAVGRLCFGRRAQGHAGGGAHDLEAWVERTCGPCVGATSLVQESREEGGGAAAGGAGAAAATPAAPEGTERGESTTSSA >Sspon.08G0008950-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:34708477:34715632:-1 gene:Sspon.08G0008950-2B transcript:Sspon.08G0008950-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVAMDSDYGARRELSPLQKARALYRPEPPPCLQGTTVTVEYGDAAIAADIADAHVISHAFPHTYGQPLAHFLTKTANVPDASIITEHPVVRVGVVFSGRQSPGGHNVIWGLYDAVKAHNSNSKVIGFLGGTDGLFAQKTMEITDEALSSYKNQGGYDMLGRTRDQIRTTVQVKAAMATCQALKLDALVIIGGVTSNTDAAQLAETFAELKCPTKVVGVPVTLNGDLKNQFVETTVGFDTICKVNSQLISNVCTDALSAEKYYYFIRLMGRKASHVALECALQSHPNMVILGEEVATSKLTIFDITKQICDAVQARAEKDKYHGVVLIPEGLVESIPELYALLQEIHGLHNKGVSVENISSHLSPWASALFEFLPPFIRKQIEIEKLLAQLVETEMNKRLKEGTYNGKKFNAICHFFGYQARGALPSKFDSDYGYVLGHVCYHIIAAGLNGYMATVTNLKSPVNKWKCGAAPITGADTKPISLCVEDRDYMGRIKQLQEYLEKVKSIVKPGCSQDVLKAALSAMASVTEMLTIMSSPSFCGQATI >Sspon.08G0000840-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:2960590:2961351:1 gene:Sspon.08G0000840-1A transcript:Sspon.08G0000840-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDHDAASQHPPAVAHNHHGRAWRRESSCAFQGKDHKNYGVLASWNSSTSYCSWEGVTCSCRRRVVALDLHSQGLTGTISPAIGNLTFLRGLNLSFNNLHGGIPPTIGSLRRLWYLDLRDNLLVGTIPSNISRCTSLKILVIADNQNFQGSIPAEIGNMPMLSALELYNNSITGTIPPSLRNLSQLAVLSLKGNHLQGSIPEGIGSNPQHWFLQLSINNLSGLLSPSLYNLSSLRCCEQPSWSSPRRSRKKPA >Sspon.08G0005190-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8A:15438276:15440123:1 gene:Sspon.08G0005190-1A transcript:Sspon.08G0005190-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDHYYVYLGLALVSLLVVLAKRRRGRADGHGLRLPPGPWQLPFIGSMHHLAGQLPHRAMRDLARRHGPVMLLRIGEVPTLVISSREAAREVMKTHDTSFASRPLSATVRVLTNNGRDIIFAPYGEHWRQLRKLAITELLSARRVLSFRAIREEEVAAMLRACASAAAESRPVEMRARLSALVADATVRAVMGDRFRDRDVFLRELDRSIGLSAGFNPADLWPSSRLVGLLSGAVRRAEECRDTVFGILDGIIKEHLQRMDSGGAGEAEDLLDVLLKIYKDGSLQIPLDMDVLKAVIFDIFGAGSETSATTLEWAIAELIRNPKAMQRATAEVREAFGARGAVAEHALGELRYLHLVIRETFRLHTPLPLLLPRQSQEPCRVLGYDVPAGTTVLVNVWALGRDGRYWPGDPEEFRPERFEAEASAVEFKGADFELLPFGAGRRMCPGMSFGLANVELALASLLFHFDWEAPGVSDPAEFDMTEAFGITARRKANLLLRPILRVPLPAGV >Sspon.02G0047540-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:17081662:17082278:1 gene:Sspon.02G0047540-1C transcript:Sspon.02G0047540-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGLRGHGTGCPPPRRLLRLLVVPAPRPRPAVIQGPCVRFDAEVANLHTPHLEGIRNPVSRRISIGYSDSPKYGSLRGLGPTLSRACSAPPPSCSLRSVPPIYTDAARPACPAGTRRTAERTQQGTAERGRGRRALGLIRDRRARVDTFGKRKETLKVKAYELSVLCGVDVALVVAAADGDGGGAAAD >Sspon.07G0010160-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:28764669:28767994:-1 gene:Sspon.07G0010160-2B transcript:Sspon.07G0010160-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQVLNKVGSYWFSKRASKEIDSIGDDISSISSSIGGSAKWMVNKIKGKMQKALPDLLKEYDMPAGLFPRDATNYEFNEETKKLTVYIPSACDVGYKDSSVLRFFTCVTGYLEKGKFSDIEGMKTKVLVWTKVTAIKTEGPKVHFTAGVKKTRSRDAYDVVRDGITIDKF >Sspon.06G0018060-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:79660891:79663068:-1 gene:Sspon.06G0018060-1P transcript:Sspon.06G0018060-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATANERWSLAGATALVTGGSKGIGHAIVEELASLGARVHTCSRNTAKLEECRRRWAEEKGLQVTVSVCDVGVRAEREALMGTVKDVFAGELDILVNNAAQVVFKPAVECTAEDYAQITATNLESCFHLSQLAHPLIRKSAVAGGGSIVHISSVGSCLGLPNVAIYSTTKGGMNQLTRSLAVEWASDKIRVNCVAPGIVFTDMAKQVPVVLEKESLSRIPLKRSGEPQEIASVVAFLCMPAASYVTGQVIYVDGGRTISA >Sspon.06G0024660-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:57282839:57288527:1 gene:Sspon.06G0024660-1B transcript:Sspon.06G0024660-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAALVSVSTGVMEPLISKLTKLLGDEYVKLKGIRKQVRFLRDELSAMSAALQMLGDAQELNPVMKDWRDKLRELAYDIEDYAVNGFNFKGLFLKFKKLIEFHEIANEIEQLKARAIEASERHKRYDFTEHSSTNSSTSAIAIDPRLPALYEEIDIKILGAIPSLHSLKLRTVGGTSGKIFIHGSDRFRSLKYFSLDIGLCGTALEFEAGSMPKLEQLQLWFCLHKMECVNYASDFGIQHLSALSMVKVSIGGDCSRDTKYNPAEDEDDSTIRCVAGAIKAATDILPNRPILRFDIWHDNDCESFKSGLKQHNQDTGGALTEWLKKPTGLLCSTHKQLLISNDPPRQLARILRECSA >Sspon.05G0000360-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:1879336:1879737:-1 gene:Sspon.05G0000360-1A transcript:Sspon.05G0000360-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSEAGAAATVIPIDDVARDHGKAPAVATAPPPPAAAAMTTAPRKTGVPFFRRADRGSRCVALLDFVLRVAAFGPALAAAIATGTSDETLSVFTQFFQFHARFDDFPALLSVSFARPSSDPTIPVIYPCMSN >Sspon.08G0011250-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:46235445:46240164:1 gene:Sspon.08G0011250-4D transcript:Sspon.08G0011250-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGSFLKVLANNFDVLAGPLISLAYPLYASVRAIETKNPIDDQQWLTYWVLYSFITLFELTFAPIIEWLPFWSYAKLFFNCWLVLPWFNGAAYVYDHFVRPMFVNRQIVNIWYVPRNEKLGKSDDVLSAAERYIEQNGPEAFEKLISKSTKSSKSRNTKRSILEEAEAENRAKAERESWGENPFYGKNFRY >Sspon.08G0013930-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:57591565:57592158:-1 gene:Sspon.08G0013930-1A transcript:Sspon.08G0013930-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding WRGTPLPSARRHSPRRPPRLRRRPRRCWAPVVERSRRSTARRWPTTPRGTGTARGRGSPPPPSARRRPRSAPASTRSTAASAASLAAAAPLRSRCRPTIAAAPRTPTSTGSPPRIPQTTSE >Sspon.04G0037330-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:67683551:67685255:1 gene:Sspon.04G0037330-1D transcript:Sspon.04G0037330-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MACAPYSGFLLPARVLPFRRGEVSVVRWATVYEPMGYPQAGGAPNKAHELSLASSHRSRGDRGSRGAPRTLLSSPPHRSRSDYNHRPTPPVAPPSDGAHPPPPLRPSRPASRAVSPLIAAPPPSVVPGRRASSPSLATRVRREEELPRVDPGAAASIPHCEGAEKMAFLA >Sspon.08G0002200-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:6824042:6827008:1 gene:Sspon.08G0002200-1A transcript:Sspon.08G0002200-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPQKAVLAETGEVVAAQDVKVNTVIAVKAGEVVPIDGVVVDGRSEVDESTLTGESFPVAKQPDSQVWAGTLNIDVLSTPVATFCALLTAARTGLLIKGGDVLETLARIKIAAFDKTGTITRGEFSVEEFQAVGELKPARVYTDDEIAARAIMRDIIRARPPTSG >Sspon.04G0011260-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:30272185:30277205:-1 gene:Sspon.04G0011260-2B transcript:Sspon.04G0011260-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQHIYATSCGCARPAIPLLLPAPTLLPLASSSSRLRRRRARPMGASDHLGLAAAAAAAKPRNGSRPCAAVLGEPLPALDDPGLLVHPSADFAAQALVSSTQQYREMYQKSIDDPAGFWSEIAGEFYWKQKWSPDEVCAENLDVTKGPIKIEWFKGGKTNICYNAVDRHVEAGNGDKIAMYWEGNEPSQDGKLTYSELREKVCQLSNYLKSVGVGKGDAVVIYLPMLMELPIAMLACARIGAVHSVVFAGFSAESLAQRVIDCKPKVVITCNAVKRGMKIIPLKDIVDASLDESAKNGVDVGICLTYENQSALNKVDTRWKTGRDVWWQDVVPDFPTKCDVEWVDAEDPLFLLYTSGSTGKPKGVLHTTGGYMIYSATTFKHAFDYKPTDIYWCTADCGWITGHSYVTYGPLLNGATVLVFEGAPNYPDPGRCWDIVDKYGVTIFYTAPTLIRSLMRDGTEYVARYSRKSLRVLGSVGEPINPTAWRWFYDVIGDSRCPISDTWWQTETGGFMITPLPGAWPQKPGSATLPFFGVQPVIVDEKGREMEGECSGYLCIKKSWPGAFRTLYGDKERYETTYFKPFAGYYFSGDGCSRDKDGYHWLTGRVDDVINVSGHRIGTAEVESALVSHPKCAEAAVVGIDHEVKGQGIYAFVTLVDGVPYSDDLRKSLVMTVRSQIGAFAAPDKIHWAPGLPKTRSGKIMRRILRKIASRQLDELGDTSTLADPGVVDQLIALSDS >Sspon.05G0021740-3D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7D:84010826:84011468:-1 gene:Sspon.05G0021740-3D transcript:Sspon.05G0021740-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPLLFYDLSLLPSSFSSSGDRGDGNSNSSSSSRLQLLAATARALELGYAAVALDHPHRGLLADSHRCRTEPFAPLSSLPLPSSAALHRRRLASPASEPFRQYTRITLSLDSAAATASALAPSASRLLRTYDLVAARPLTQAAFDHLCQAPLSAQHLDLISIDFSSHGKLPFRIKPPMLKLALQKGLHFEIAYSPLISTDVNAKRNLIAEVKV >Sspon.07G0018990-3D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7D:72718537:72726971:1 gene:Sspon.07G0018990-3D transcript:Sspon.07G0018990-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDYSDTRIQYSTAFLSLLPLINYLIQGLGTILAKSSKWFGEVELSIDRAHKVGNGFALLPVSSPPPLATRRQSSIHRSTAATAPAPAAQAHHRLSSHHARCERALQWLTAFTPPPNRTASRRGGQRQRRRRRRAGFVPGAGLIYRPQAPAKRRRGRSCRCSFCWALLVGRHPPSSTTAPVLTDAITLTVTARIPNTKMAYLYNDLTLSVATAANAVPLGTATLPGFTHGAGNASAQRGGKRKSKKKKKTPNQRKAGLPYQQQGETPTDPRDGADGEGRRGQGGGREVGWIWMTACSCSPPRPRGLGWWPFIPREPGRGEGKGRVREKKWKKRAEDPEVLVRLECAGDARPSWTARYLTRKGFKSSDHPAWGPAHAAGRKPVLHACARDTVLFKWILRVASCDDSTYTRPATSYVVPRGHDTESNARERKVEKKNKSNKEIEKAVKKKRKSAYAALGPICYGWSGPEPGIRTRPNLRPSRTDQSSSSFSSSIPASRRLLLPLVTLDNPCPTNHWIGNRHHWAHETRDLACNPTSPMSLGLSSARADAEPVDQKNYLEERCKPQCVKSLYDYERCVKRVENDGSGQKHCTGQYFDYWSCVDKCVAPKLFDKLK >Sspon.02G0032190-4D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2D:88330537:88331040:-1 gene:Sspon.02G0032190-4D transcript:Sspon.02G0032190-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSSSILLLLLAATSVACASASTFTIRNNCGFTVWPAATPVGGGRRLDPGQTWSLFVPAGTSSGRVWGRTGCSFNGNSGSCQTGDCGGALSCTLSGKPPMTLAEFTLGGSQDFYDISVIDGYNLAMQFSCSTGVTLNCGSSSCPDAYLFPNDNTKTHACSGNSNYQ >Sspon.02G0027860-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:101282138:101291954:-1 gene:Sspon.02G0027860-1A transcript:Sspon.02G0027860-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding IADRDHLKAPVCRPRRPPSKAPQSCRFLRAVASPSPPRPPVASAIPIAFKTPGKTLHFRRISLAVPVHRTPVGCWGSLAAPLFLGPGREGGRMQAAAGSVSWVAAQPSVLGRCGGGGGAPSASLKGSACGVGGGGCRVRGRGIAVPRCCARAQEKRPPRVRKSKEERREMVESFINSYRVANEGKFPSVNLTHKEVGGSYYIVREIVRDIIQENRVLGPGGLDAMALSFEDCADSSESSMKHELGQDSIEILDMSGSEVSKGYVPEISSTDESFPLQDNAISTQTLLGSSNILEAGVLNSVVQNGSAADAMFMETNLEKQDEVPSGGSIEFDLNSSEEQARLFAQVSDSDEGIALNSQADAQDGTVSSATDRVILPLESSAVYENNAALLRDHETLPNDNHDGSTDSAVDDANLLAVTNGVQAKQASLHEHDASTGSVSIDNAQSLDSQFRTTVSTDPINGFKLEDEVATKTIEASKVHMLQDELEQTLVDTSCDGQENSDSPVSHPALDTKVSQVVFL >Sspon.05G0026260-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:40892210:40906207:-1 gene:Sspon.05G0026260-3D transcript:Sspon.05G0026260-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSPLRRFRGFAHHHHHRERKDHRPPPAKLDELTYATQEMEDMRNCYDSLLSAAAATTNSVYEFAEAMDEMGTCLLEKAALNYDDDESGKVLMMLGKAQFELQKFVDGYRTNIINTITNPSESLLKELQVVEEMKDQCDQKRAEYEAMRAAFGEKGGRSRHPKNESFSSEQLQTSFLEYQEEAALFIFRLKSLKQGQFLSILTQAARHHAAQLSFFRKGLKHLESLEPCVKAVAEKQHIDYHFSGLDDDSNIDDYSSDQDNHSDGSELSFDYEINDRDKDLLTSRSPMDLDQAHPASSSRPLKEQEQENAEEIKATLAVPHMKPEIGTQSAPIFAENVADPSTRFRKTNLLNRTVHSYKLPTPSDDKNPASVVANKSPHSDQPESKSHVAVNLWHSSPLGKDFMPKSMHSGPVKMPSSNEGISAPLVYSYSTTDFKKMKAFSGPIPSKVGLSNPLFSATDRKPSWKRPPYVLPTRPHGPGWQSSVPPKVTPRVTSLPTTTPRISELHELPRPPANVGTMRPGLVGYSGPLVSRRPMPNVSTHVSPPSHTASPLPRPPAAMTRSYSIPSNSQRTPIITVNKLLEARHSRESSEVSSPPVTPISLADVSRRSTTETAVDKKRIK >Sspon.02G0019190-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:63357211:63361730:-1 gene:Sspon.02G0019190-2B transcript:Sspon.02G0019190-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SLFFSSPFLLVHRNSFLCLFSFLLLFACSCACNCVQIHARRFSKGKKKARARNPPIRKGSSLPGHKSPSAPAATTTSSIRLRLVVLPRSGLVLEFGAPSCSSLNSTALARFGSIRFGSFQSGFAAASAATGALRAAQAGPARRIPSRLLDLIRSPTPAPLRCCRCRCRSPLTDPGAISTRAAGSLDDSDRSGTKRRKASSPHAGPGGGGGGGGSMAGEKAPRAFSIEELPGHLIGEVLTSGGLAAADLARLEATCRALRPLAEYAASKLCAARAASAAMGPAARGELLERCGGSWKKVLRFLQSVDQSSGTVETSSGSVFTCGDNSSLCCGHGEVGRTIFRPMQVEALKGIPCKQVATGLSFTVILTRDGQVYTCGSNTHGQLGHGDTIDRATPKLIELFEGPTQVVQIAAGASYTFAVTDDGTVHSFGSCTNFCLGHGDQHDELLPRAIQSFKRRNIHVVRVSAGDEHAVALDALGYVYTWGRGYCGALGHGDENDKTSPELISSLKGQVAVQVCARKRKTFVLTDEGSVVAFGWMGFGSLGFPDRGSSDKVMRPRVLESLQDHYVSQISTGLYHTVAVTNKGIVFGFGDNERAQLGQEYIRGCLKPTEIMFQKSMEDIPIAAPSG >Sspon.05G0003130-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:1215136:1225350:1 gene:Sspon.05G0003130-3C transcript:Sspon.05G0003130-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALVVRRLGDPTLPPGGEASPFAAVSGDHPVPEISSPTAVRVRVVATSLNFANFLQVQGKYQERPPLPFVPGSDYSGVVDAVGPAVRGLRPGDRVCSFTGLGSFADFIVAEEKELFLVPDGCDLVTAGALPVAFGTSHLALVLLVLGAAGGVGVSAVQIGKVCGAVVIAVARGVEKSEYLKSIGADHDSLKLLNWGAHILVIGFASGDVPVIRANIALVKNWTVHGLYWGSYLTHRPRVLIDSLNELLSWLSKGLIKVQISHCYRLAEAHLAFSALRDRKAVGKVMIVMGSSAVSRL >Sspon.07G0033130-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7C:57235265:57237374:1 gene:Sspon.07G0033130-1C transcript:Sspon.07G0033130-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding TPAVSPAWVAFTRGPRVVGRFARLGREYGCALRVAGPPHHKVVEYVAAEEQDYEEVIKEYEEEIFVPEEFQEPPVTDTSDVVPAQGKPRNPQAIKAIIILESREIYSQSGVKCGLFGFSRQVCSTELLGLAHFQGA >Sspon.04G0018870-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:72917353:72921077:1 gene:Sspon.04G0018870-3C transcript:Sspon.04G0018870-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitogen-activated protein kinase 17 [Source:Projected from Arabidopsis thaliana (AT2G01450) UniProtKB/Swiss-Prot;Acc:Q84M93] MDFFTEYGEASQYHIQEVIGKGSYGVVAAAIDSHTGERVAIKKINDIFENVSDAARILREIKLLRLLRHPNIVQIKHIMLPPTRREFKDIYVVFELMESDLHQVIKANDNLTPEHHRFFLYQLICALKYMHSVTPIFLIYVIYITAAHVFHRDLKPRNILANSDSKLKICDFGLARASFNNSLSAIYWTDYVATRWYRAPELCGSFFSSYTPAIDIWSIGCIFAEVLTGAPLFPGRNVVHQLDLITDLLGTPSFRSLSKIRSDKAREYLLAMPRKRPIPFSHKFHNADPLALRLLERLLAFDPKDRPTAEEALADPYFSGLSKLELEPSAQPISKVDFEFEGRKLTKASVREMIYREILEYHPQMLQEYIEGGEQIHFLYPSGVDRFQRQFAHLEENYRRGVTKRVCSSEDGHNQDSDNEEQRAASYVARTTISPPRSQEEGRKLQSAYQSTTNTANTCAKSYLKSAPNISASRRGIKGNTGRKVLCTTKNYTSTKISSES >Sspon.01G0055210-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1C:75604057:75608769:1 gene:Sspon.01G0055210-1C transcript:Sspon.01G0055210-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MCEGPRNGRLGKRPAPRSPRKYYHLFSPSSLPKPAAGSITLRRGTAARGITQPATTDKGYDLRSRGPPTLGLAALDNLVSQSRRSSSSHHLQPSTAAPPPSPSSPSKDTSALRIMGDADQPTMADVMQILQTLTANMSQMQSDMAGMQAKLSSTSESSNHHDGQHHTDRPPRFQKMDFPRFDGKSDPLIFINRCESYFRQQRTMPEEVWMASYNLEDVAQLWFIQLQEDEGTPPWGRFKELLNLRFGPVLRSAPLFELSECRRMGSVEEYSNRFQALLPRAGRLEEGQRVQLYTGGLLPPLSHQVRIHAPETLAAAMSLARALELVELDRLNTTPAKAVPRAPPPSAPRTGVLAALPAPVPPPPALQALPAPQAPTLALPAPPPRPGGKRLSTEEQAERRKLGLCYNCNEPYSRGHNRVCRRIFYIDGVVLDDEGPEEEAPVYSLHAVAGVPMCGTLQLEVQVGAATLLALIDTGSTHCFIGEAAAQRAGLPVEPRPRLTATVANGERISCPGVLRQASVVIDNRPFEVDLYIMPLAGYDLVLGNQWLSTLGDIVWNVAAGTMAFKLADQQVCWHSVAPPTPPRLHSTSVAEPLLEELLTAFADMFAEPRGLPPVRGRAHRILLKPGTEPVAVRPYRYPAAHKTELEQQCATMIANGIVRRSDSAFSSPVLLVKKPDESWRFCVDYRALNAVTVKDVFPIPVVDELLDELHGAKYFTKLDLRSGYHQVRMQPEDVHKTAFRTHDGLYEFLVMPFGLCNAPATFQALMNDVLRPFLRRFVLVFFDDILIYSKFWADHLRHLRAVLSELRQHTLFVKRSKCAFGVASVAYLGHTISAAGVAMDSSKVQAIHDWPVPRSARAVRGFLGLAGYYRKFIHNYGSIAAPLTALLKKEGFTWGPAAMSAFLALKEAVTSAPVLAMPDFHKLFVVECDASSQGFGAVLIQEGHPIAFFSRQVAPRHHALAAYERELIGLVQAVRHWRPYLWGRRFTVRTDHYSLKYLLDQRLATIPQHHWVGKLLGFDFSVEYKPGATNAEELAAGTRSAPWALVDGMVQFAGRLYIPADSPLLQEIVGAIHEDGHEGVQRTLHRLRRDFHFANMKQVVQDRVRTCEVCQRYKSEHQHPAGLLLPLPVPQGVWTDVALDFEEALPRVRGKSVILTVVDRFSKYCHFIPLAHPYSAESVAQAFFNDIVRLHGVPQSMVSDRDSVFTSLFWQELMRLMGTKLQMTSAFHPQSDGQSESANRVIIMYLRCLVGDRPREWLRWLPWAEYLFNTAYQTSLRDTPFRIVYGRDPPSIRSYEPGDTRVPAAAKTMEERAAFLADIRYRLEQTQAYQKRFYNHAHREVTYQVGDWALLRLRQRAASSLPQAVGGKLKPRFFGPYRVVEIINEVAVRLALPPRARIHNVFHVGLLKKYQGTPPTEPPQLPLLHHGAIDPEPERAVRYRLAQGVHQALIQWKGASAASATWEDVVTLRSKFP >Sspon.02G0027480-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:122621669:122624754:1 gene:Sspon.02G0027480-2C transcript:Sspon.02G0027480-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGVSNGGGQSSLGYLFGSDEAPKPAEKPAPQKPTPPSSAERLKDIPAGIQSSKSNNYIRAEGQNCGNFLTDRPSTKVQAAPGGGSSLGYLFSGSKDGK >Sspon.06G0007310-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:25512133:25523256:1 gene:Sspon.06G0007310-4D transcript:Sspon.06G0007310-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKLEKMTSIDAQLRMLAPAKLSEDDKLVEYDALLLDRFLDILQDLHGEDLRELVQECYEIAADYERKHESEKLDELGNMLTSLDPGDSIVMAKAFSHMLNLANLAEEVQIAYRRRIKLKKGDFADENSALTESDIEETFKRLVVDLKKSPAEVFDALKSQTVDLVLTAHPTQSVRRSLLQKHSRIRNCLVQLCSKDITPDDKQELDEALQRENIGIDERVPYNAPLIQFSSWMGGDRDGNPRVTPEVTRDVCLLARMMAANLYCSQIEDLMFELSMWRCNDELRARADELHRSSKKDAKHYKEFWKKVPPSEPYRVILGDLRDKLYNTRERARQLLSSGYSDIPEESTVTNVEQFLEPLELCYRSLCACGDRVIADGSLLDFLRQVSTFGLCLVRLDIRQESDRHTDVLDAITTYLGIGSYREWSEERRQEWLLSELNGKRPLFGPDLPTTDEIADVLDTFRVIAELPADNFGAYIISMATAPSDVLAVELLQRECHVKTPLRVVPLFEKLADLEGAPAALARLFSVDWYRERINGKQEVMIGYSDSGKDAGRLSAAWQLYKAQEELIKVAKQFGVKLTMFHGRGGTVGRGGGPTHLAILSQPPDTIHGSLRVTVQGEVIEQSFGEEHLCFRTLHRFTAATLEHGMHPPISPKPEWRALLDEMAVVATKEYRSIVFHEPRFATPEMEYGRMNIGSRPSKRKPSGGIESLRAIPWIFAWTQTRFHLPVWLGFRAAFKHILEKDIRNLHMLQEMYNEWPFFRVTIDLIEMVFAKGDPGIAALYDKLLVSSELWPLGEKLRANYEETKRLLLQVAGHKDLLEGDLYLKQRLRLRDAYITTLNVCQAYTMKRIRDPDYHVTLRPHLSKEIMDWNKPAAELVKLNPTSEYAPGLEDTLILTMKGIAAGMQNTG >Sspon.01G0009840-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:27385611:27388462:1 gene:Sspon.01G0009840-2C transcript:Sspon.01G0009840-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALYLLFESASGYALFHAYGIDEIGQSVEAVRSSVLDLDRFGKAVKLTAFSPFSSAVDALNQCNAISEGIMTDELRSFLELNLPKPKEGKKAKYSLGVVEPKVGSHISEVTGIPCQSNEFVQELLRGVRLHFDRFINELKDSDLEKAQLGLGHSYSRAKVKFNVNRVDNMVIQAIFLLDTLDKDINSFSMRVREWFSWHFPELVKIVNDNYLYAKLAKFIVNKSDLAEKDIPALADIVGDEDKAKEIVEAAKASMGQDLSPVDLINVQQFAQRVMNLSEYRKNLYEYLVTKMNDIAPNLTSLIGEVVGARLISHAGSLSNLAKCAASTLQILGAEKALFRALKTRGNTPKYGLIFHSSFIGRASTKNKGRMARYLANKCSIASRIDCYSEASTSVFGQKLREQVEERLEFYDKGVAPRKNLDVMKAAIDSMVNGTSMDDDDNQKTDASAKKSKKKKTKTESDGDAMDVDKPSNAADGEAEPGTEKKKKKKKHKLEDAQEENGAAHANGDAEETETPEKKKKKSREVSEEAEPKTATEGKKKKKKKAKVEDDE >Sspon.03G0010230-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:42516068:42518816:-1 gene:Sspon.03G0010230-2C transcript:Sspon.03G0010230-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEQFILRVPPSVAERIERLMNESAAASSNPDEASLDLSFSELTFGTFVTLWVDEIFLRTEDGRNGTFMIGNESFPASLLDLPTVVESYKTYDDSVLIKTADVGQNASVIGGEEGGDRKKPPVARATKQPDVQEPAVNGEEAEPERSDTDESEN >Sspon.08G0030740-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8D:64847045:64851709:-1 gene:Sspon.08G0030740-1D transcript:Sspon.08G0030740-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding PLEQRGTQAPWSHGRRCNQRARLGSGHRRALHRSGPARLSAALDHRPECAAHANSPDVLRWRWSASMSYSSSSAYRTLFVGASRPLGAKELWKVSTPAKVKHFFWLALPRKCWTAARRHWRGLQQSPNCVLCLTGVEEIDHILLSCAFSQQVWHVTLGAIGFDQVVFAAADTFWTWWLRSRKSVSNELRRGFDSFVFLVGWHLWKERNSRTFNNATCSMGEIYVEGMSRILTLSYNHLPRELNLGIFPEHYAINKDRLLHRWIVEGLVPEKRGLTMLEVAESYFDELLSRNMIFSIGGQGDSPHKKRTAASRGGNEGMNVLHHVRSLSMFLLHGNKLLLDQLGSFTLLRVLDLEDCKGVENKHVRYACLLHLLKFLSFKGTVARELGKLEQLQELAIYVNGLDDLCGMDPSHPPDLSLPPSDDGDAARPPPSDLHPGAPPPLPSTDPGASNPDLSVHGAGGGPSALLGEPSSPGGAPSLPSPPEVVQAPVASTGSSPIPFSSLPVHPGVEAAHLTWLQLSDDEAIVEEASDSEDGDEEDDEDAAPAPTSDANLCDRHAAWAPPPSTASPSSLQPTSGPSPRPTKSLSPHATPFHPSGSSVGRSKACRWADADSLGAGSSVGEPTPAATRPSYLDAARKALRTNPLPVGALNAAQVAAVVEGTHSLPASQKRRRRRRTPSPQAARVPTKRRLGSRQASGVSVHLRLGPRPWASVATASRQPRRGPYVDVDGFQTVKSRRHRRCQGKAAPKRRRPVPPELDTGHRARACKRGRSPPYMSSSSEARHGRRASTLRRLSGQRRPSISPGRRATPSQAPPLSSPPRVLQPATPPMSPLQHHNTMPLPSATLDDTFSRPRVDVVVLSRDAEPVAADADLSLIIVALVGGNRPAISSDEVRAHLCAWLDRASRGPSTPPRHRCYGVVAVDEHDPGGADEIELDVPDRTYSVESAPDPMQLEAELAARSPVVPPVSQATLLEAPPCHAATSSGTVPACGIPSSIQGSADLSLLGESSQVTVVTGVIAAGASTLPAEPLSHVHRTLDAAEVDPKILSGDGLEALPSSHLTDLSTSHTRAPDAVAPTCATVAAADAAPVTAPSVTSVDDFILSISSNPDTPILTSPPRLRVSQVPDYSVVPRRSTRLADKPKASNPEVQAINVMLKKLGRDVPLPTSEDSGARRFHETFSGPLSPSTKEAMRELFPARKRFGSRRAAV >Sspon.01G0059650-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:64234002:64235716:-1 gene:Sspon.01G0059650-1D transcript:Sspon.01G0059650-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGYELHSRGPASRGFDALDNLVYRRWCHQAIQLEGELGLQGRGRCHGQPTGCHRPNRPKRHRSSSSIHRYHNQIEKPPPATCPIGEKKSPPPVQHHFHRRPPEPKARPIPLLSSPPLVTIADGPDSHQDQHMLHYATEEGAIKLSSSRASWVSKGGGDVMGSQQAAAGPIGPSVSSLAVKLGQGCLATKLGLGSSRSSSPIHRYHNQIEKPPPATCPIGEKNPHHHVQHHFHRRPPEPEARPIPLLSSPPLVTIADGLDSLQDQHLLHYATEEGAIKLSSSRASWVSKGGGDVMGSQQAAAGPIGLS >Sspon.03G0028260-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:12499678:12501651:-1 gene:Sspon.03G0028260-2C transcript:Sspon.03G0028260-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFNKLGGLLRHSALASSVAASSSPALFNAARLMSTKLFVGGLSWGVDDVKLRDAFSSFGEVAEARVITDRDTGRSRGFGFVNYTNSDDANAAISGMDGKVSQTYVIVYCITRRKLMGGRYVSTSLTTDLQGTEVVVAVSEVVTMVVATKAMVVAI >Sspon.02G0040240-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:66977510:66982393:-1 gene:Sspon.02G0040240-1B transcript:Sspon.02G0040240-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSWEAALRVRATASEAVAGELALLLVDVAAGLLRGCAAARRLAEGAGTEAFRRASVARGGGSDKPYLNRLQDANGLGLKWVIGEDYCRVVIQYPSDTVFKWVGFDVELELLYLATEIQTMVQDTKTKLEKESTVLFSADLFSKFEDDKEKLRVQYELQRKKEKATLSELEKTFSEKIANAEESLKKMKQDDKSIHILRKSIGSFLSGYPDDQSSQDDDGSCSHQMFSNLRFM >Sspon.06G0005430-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:16118787:16124270:1 gene:Sspon.06G0005430-2B transcript:Sspon.06G0005430-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATASNPFPFPSRRPPDDSLFYAVYPLPLPSGLPAPALHASLQSLHLSLLSHLAPFLSSHLFHRDPFTLSLPADPAAPCALCASPPVPHLHGALRFGDSLPDEWLAVSLLFALTRAFPDIAARAWDSDGEFLLIEAAFALPRWLDPESAPNRVFIFRGELHILPPSLFPETPSLEAALAAVYDDSIDTRAADAIQAAIQRRIAGLPEKAAENLHTARVIVPAPVAKVLKEEPCLIARAVEGFYDRDIDTMKHAARMEKFLKGPGGEGVEMVRTMVRMTRAMYAQLVQQNFQAPRGYPMPRREEGPEKWMEAELGMKIACGFEMMYQERRRQGEEGKGSTWEEELGGSWCFEGLLPGSMEYKRVMEDAMQYYKSSNLYSRTREILSEPVRRIDEILSMPYSADEFKGTDLPPSDDDSWLHGGDDELNVELRERQKELEEYEAAKKQRRSQKQSVSSSSKSQTDDFKLGEITESMQEFIRKMSSFEGAEIPADRRDMESVDLDVNQFFKAMESVLGGGSQEQAGSDDGFDRKSSSSDMDFDDSDEDNDFAEELGDKDVDESFMESYSDALNKELSSTTLEKSFARAPRPETNNEGPSDAAATDAEMTPVDVDLNLVESILNSYSSQQGLPGPASNLLGLMGVKVPPDAKKS >Sspon.01G0015970-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:48271026:48286343:1 gene:Sspon.01G0015970-1A transcript:Sspon.01G0015970-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSPSSSRLEHEADKPPHPHGLDALMMKRLVSRSWEESRLLWRLAFPALLTEVFQFSIGFVTTAFVGHLGEVELAAVSVVENILDSSAYGVLYGMGSALETLSGQAVGAGQLDRLGVYTQQSWIICVATALALAPVYVFAAPLLHHSLHQPDAVSRAAGPYARWAVPRLLAHAINIPLLMFFQAQSRIWAVAAISGAALGVHAVLTYVAVARLGYGLPGAAVAGDVSHWLVVAAQLAYMTTGGRFTDAWKGFTVRAFSNLGAFVKLSLGSAVMICLNFEFMTVMVALGFGTAIGVRVSNELGANRPKETKFAVIVAVSTSIFMGAIFLGVVLICRTRLPKLFSDSEEVIHGASNLGHLLALTVCMSSIWPVLSGVAVGAGWQVPVAFINVGCYYLVGIPMGILFGFKLKHGTMGIWIGMLTGTFLQMSILLAIIFTTKWDKQRLVSRSLEESRLLWSLAFPVLLAEMFQFSIEFVTTAFVGHLGEVELAAVTVAENILDTSAYGLLFGMGSALNTLIGQAVGAGQLDTLGTYTQQSLIICGAIALALAPVYIFATPILRLFLHQPVDVSRTAGQYARWAIPRLFAHAMDIPLLMFFRAQSRVWTLAAISGVALAVHTMLTYIAVRQLGYGLPGAAVAGDISQWLIVAAQFMYLTSGRFPDTWKGFTMHAFNNIGAFVKLSLGSAVMICLEFWYNTTLLILVGLLKHATLQLDIMSVCLNYEFMAILVALGFSTAVGIRVSNELGAKRPMETKFAVLVAVSTSMFMGAIFMGVVLVWRTSLPKLFSDSGEVIHGASKLGLLLALTVCMISICPVLSGVAVGAGWQVSVAFINIGCFYLVGIPMGILFGIKLKHGTMGIWMGMLTGTFLQMVILLTVILTTNWDKQAALTEVRMVEWGGKENLPLMKSPHTDDQMTPAKEKILAQDSKKNVDLLRTE >Sspon.01G0008620-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:23931256:23935920:-1 gene:Sspon.01G0008620-1A transcript:Sspon.01G0008620-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSHGSRVGGGGGALSRQGSVCSLTFSEVEGQLHGVNLDDLLRSGRKTADEVWRDIQGAAAACPRAQMTLEDFLSRGGGPPADAADTDTGSGARGWAQQLYQPAPAAQLELGRRHPAVGRPVPRPLGAGAGPVLDALYHDGQEGGAGAKRAAGEGGMAERCNERRKKRMIKNRESAARSRARKQAYTNELENKISQLEEENERLRRHKAPEPVVQYVPRQELKNQLRRANSANF >Sspon.05G0020030-1T-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:5D:80850416:80851132:1 gene:Sspon.05G0020030-1T transcript:Sspon.05G0020030-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFKCIESSVLLVEEMTDGINDATINQVSSEDALEKLKLVVGTTDKLTLSLAESALVTMCHLNHLCNIQEAENSRSVQLIRSGDYAESNDKMVESILRLFLASGVSEAIAKSCSEEASSVIGSSRHAYLHFWELVASFIKNAPLQIRKSALESMELWGLTKGSISGLYSILFSSQPIFHLQLAAFSLLLSEPFCQLSLVKNCSMGENCSSVQQSGLSQSAELMPDSEKKVHLRDELST >Sspon.05G0024850-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:26416734:26422073:-1 gene:Sspon.05G0024850-1P transcript:Sspon.05G0024850-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHSSRKKKKRGAAGRKAAKDHAAQLEGDETALDEELTALASILGEDFKVTSESPHTRCNICIRPYSDGMGFDDLNISAILSVICFPGYPHKCPKLRIVPEKNLSKEDADRLLSLLVDQANTYSREGRVMIFNLFEAAQEFLSEIAPAHVSVSTASFLGLSSTANEDVEVSLDSDPYPGISYVYNSFDLYSQLYDDTCWGRQGPDLTTDSGRKNIVSQVQSNVRSKRKTIIEKSRISADKVNNAKGSSGDKAEQQHTTKHGAIREAAPTLHVVDEETETESKTLSASNTGNTSDTPERGFSSLNEPEDTDLAEEPWNEEDCDSDFSSSNALSYVSDMLDDASRNKKRDLILVHLLRIACASKDSLSAALPTISAELCNIGVLSEWAKDLISDSPAVFGETFSHVFGQQIISSECSLFWRPDNSSSRPNSRYLNDFEELRSLGQGGFGRVALCKNKLDGRQYAVKKIRLKDRSPQVNEKIL >Sspon.03G0042400-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3C:65085947:65090665:-1 gene:Sspon.03G0042400-1C transcript:Sspon.03G0042400-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRHPPPSPSSFHLFPPLPSTSWAAADLQLVWAPLLFPGDPTGADAGPGAATPCDPARRGSACSPWRGGRGSLLSAQVLATAPAPGAASPGALPGAVGHLPPGAALATGATSFPAATSSGARLPPPATLPPGAAPNPSASLPATGAGGSGAGLPAVGGSGAVLPVVGAQGDGAAADAAGGHQQPPGADAPRGSSLPAPKADARGPGSPSRHGLLNSCPPPRPRPTPAALTPPAPAALPPAAGALGAAPVLPDTPTGPLHAPGAAASQALLPAMAAFPATPADSGSGPASPPQPWTSPWASSRPPPTAGALLTSRRFRSPAAAAGAVGTAAAYRGTSRRRVARRASSAPYPLPSARSPPPLQPSHLPGAATTMAASAAAAGAGGSGAANPRFNSTSTPPALAYSFSTTTSPDWIADSGATFHTTLDARLLSSLRPPHPSCPSSIMVANGTCLPVSSVGTASSHGSFHISDVLVAPGMIHNLLSIRRFTTDNSCSVEFDPSGLTVRDLASRVPLLRCNSTGPLYTLRFPASVSPSSPSSAAFAATPSSTTWHRRLGHPGRDALMQLGRSSDIRCSRAHDDHLCHACQLGRHVRLPFQSSSSHTTRVFDLIHCDLWTSPVLSMSGYKYYLVVVDDFSHYSWTFPLRAKFEAFPTLSHFFAWVSTQFGLTIKVVQCDNGREFDNSTSRAFFLSSGVHLRMSCPYTSSQNGKAERMIHTTNDIMRTLLLQASLPARFWAECLHTSTYLLNRLPSTACPAPTPHHALFGTPPRYDHLQVFGCACYPNTAATAPHKLAPRSTLCVFLGYSPDHKGYRCFDLTSRRVLISRHVVFDESAFPYSTTSPPTPPADPGEASFFPTDPVILPPFSLSPAGTPGAPASPLPDSHQDLPPVPDTAEAAPELPPSLPVASLPPVVLDAAVPIAEPSAPTLPPPGRFGLVYQRRREPSPPSPPGRFGIVYERRREPASPLSSPAPSSPVHAPPASPRSRVDPPVYHPPLLHRDPRHTHPMVTRQASRPQALTAAACEPGISPVPSSVRAALADPHWRRAMDEEYAALLANQTRADGSLERYKARWVLRGFTQRPGIDYAETFSPVVKPATVRAVLSLALERSWLVHQLDVKNAFLHGTLTETVYCSQPAGFVDSSHPDFVCRLNKSLYGLKQAPWAWYSRFATFLQTLGFTEAKSDTSLFIYHYGGETAYLLLYVDDIEFAMKDLGMLHHFLGVTVEPRPSGLFLHQRQFTCDILERAGTTACQSCSTPVDTQGKLSEAGGPMLGPADSTAYRSLAGALQYLTFTRPDITYAVQQLCLYMHAPREPHLAAMKRLLRYLRGTLGYGLLLDRSSTAELVVYTDADWAGCPDTRRSTSGYAVFLGGNLVSWSSKRQPVVSRSSAEAEYRAVANGVAEAAWLRQLLAELHRPLARSTLVYCDNVSAVYLSTNPVQHQRTKHVEIDLHFVRDRVAIGDVQVLHVPTTSQFVNIFTKGLPSSTFSEFRSSLNVSSG >Sspon.01G0005980-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1A:15573865:15576515:-1 gene:Sspon.01G0005980-1A transcript:Sspon.01G0005980-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding HSQVLKNSKHCRVCDKCVDGFDHHCRWLNNCIGKRNYKGFFALMASAVILVIDRSPWFVQLDPACDAVVVGALVLILCIVKRGEFSRQVVTKLGSSFSTVAFVIVVATCTILAMVATVPLVQLLCFHILLVKKGISTYDYIIALREQEDQQEVPGHQSPQMSIISSVTGFSTASSFGPLHRGSWCTPPRLFLEDQFDAIPPEVGISQNSGSKKTKEEEGARRKTGAVKISPWTLARLNAEEVSKAAAEARKKSKILKPIAKHGAPDNGSKPDHMPSYKRRLDRRGFPAELSLDPLATLSASGTESNYSDTATEICGSLAPLQLEARSAFQPSTAASTRNVASSPESSFDSPDLHPFRTSSATADEMQGAMTHSAHKGIEFKRSSSDGYEASGGEDSDRIPSRIVHRSSNWANVFMNSSQGGPPADLLTTSSE >Sspon.03G0032970-1P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3C:50058098:50058311:1 gene:Sspon.03G0032970-1P transcript:Sspon.03G0032970-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AQRQKTASAKAYVLLFTAYFFSGLMQLSLAAQEKPSTAVATARVIDGKAIDQAVAYLLMFAALFVTYFAH >Sspon.01G0004300-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:11684597:11685241:1 gene:Sspon.01G0004300-1A transcript:Sspon.01G0004300-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASATGNSSLRSCCSLPNLLVWLLNLSLLVLAAAALGPVFLLRPRPTPFGWALVSVHATTLLSALAALHAQLTHLCLAAHAALALAALSGHALASLAFFLRHDHSLRLLGSARDRREQFVLAFLEELLLLAMFMAQAVALAATCVVSRRWAREYQAAETEKAAAARKRGRKMARVQAESAAAAEAGVKAVDEKVMRSSSGKKVHWANNDGFEEC >Sspon.04G0020850-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4B:75749765:75752344:-1 gene:Sspon.04G0020850-2B transcript:Sspon.04G0020850-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding NDPIRYSGSLSSDSNTLGATSSHSGYSRHHSELLEEQISCLVRAQIRSEVQEVEGGIMSLLRSCLESEDGTSTSMISCYIDHHQSLSSEDKGWGCGWRNIQMLSSHLLKQRPEAREVLFGGSGFVPDIPSLQRWLEIAWDKNFDTIGSSHFHNEVYGAKKWIGAAECATLFRSFGLRARIVDFDSTESSDLQRKNGSRAASQVRGPMDKFLIKNNSPKSSSELCREDAESMRGQQALVDWVWNYFASGRSDRLATQRVTRTADLERSLRSKKGWQRLVKRGVHTLRRPQYQLCYVDPGIANSEEMEQLKIIAGILVRQSAVVWTTFEEP >Sspon.02G0006230-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:19866651:19868928:-1 gene:Sspon.02G0006230-2C transcript:Sspon.02G0006230-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKENLSLLSLVLLLVASPLVAAAWLSDSDVEIVAWSESGVGCGGSGRSYGANSTYEANLRHLAAVLPAETSASASLGHRVDRAIGYWPNRVQASATCWSGDDGDCATCIAEAFKEVERVCPFRREATFFGRETRDCYLHLAEFRVFSIDVFGRNTMFEAFAMGMASHPSSIWRSIIERLGHKFDDT >Sspon.05G0000480-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:2245752:2249600:-1 gene:Sspon.05G0000480-1A transcript:Sspon.05G0000480-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGRNAGVLALFDVDGTLTAPRKVVTPEMLEFMKQLRQYVTVGVVGGSDLVKITEQLGKTVITDYDYVFSENGLVAHKNGQLIGTQSLKSFVGEDKLKEFINFTLHYIADLDIPIKRGTFIEFRSGMINVSPIGRNCSQEERDEFEKYDKVHNIRPKMVSVLREKFAHMNLTFSIGGQISFDVFPQGWDKTYCLRYLEEFQEIHFFGDKTYKGGNDYEIFESERTVGHTVTSPNDTAEQCRSLFMSNKLEADSSQWHLSWRWHGVQSQVDQPNLPTGLTVPYAPLSKLEKYSSY >Sspon.03G0008270-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:6515563:6528903:-1 gene:Sspon.03G0008270-2B transcript:Sspon.03G0008270-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMRNRCGGAQVHQRQVACWMITGERQAARIRGLYLEALLRQDIAFFDKEMTTGQLVESMSGDTILIQDAIGEKVGKFIQLTATFVGGFVIAFAKGWLLASVMVSSIPPIVVAGAAMSWTISKLSSQGQAKYNEAGMVVEQTIGAIRTVASFNGENRAIALYNKYIRNAYVSAVQEGTATGLGFGFVMLILFCTYGLTAWYGAKLIMDKGYGGGQVVSVWMAFMTGAMSLGEATPCVTAFASGRAAGYRMMQIIQRKPQIDPNETDGIMLANMKGDIELRDVYFSYPSRPDQLIFYGFSLHVLSGKTMAIVGESGSGKSTVINLVERFYDPQAGEVSIDGINIKSLRLGWLREKIGLVSQEPLLFATSIRENIVYGKEDATAEEIMAATKLANAANFIDKLSNGLDTMVGEHGAQLSGGQKQRIAITRAILKNPKILLLDEATSALDMESERVVQEALNRIMQGKTTIIVAHRLSTIKDADTISVVHRGKVVELGLNLACFHYVYLMILEFDLVTCTHTELLQDPNGAYSHLVQLQDITGDPDASDIDYQRSTSAVKNVESLSKSMHSPSLKKSITGSASFGSTSVHFISIANMIVPEGTHTEPLPKVLDEGEECRKVALSRLISLNGPEMPVLLLGTVAAVISGVIFPMFGLLISSSINSFYEPPHQLRKDSRFWTLMYVASGVASFIFLPVESFLFGVAGGKLVERVRSLSFQSIVHQEISWFDRSSNASGNVGARLSVDASNIRRLVGDSLALMVRSTVTVIAGFVIAMVANWRLALIATVVLPLGGLQGFLQIKFLEGFSADAKAMYEEATQVANDAVSGIQTIASFCAEHKVMKTYYGKCKAPVRQGIRQGIVSGLGFGVSFFVLYSTYALCFYVGAKFMLDGKATFTEVFRVFFALLMATIGVSQTSALGSDSSKAKASASTIFALIDSKSKIDPSSDEGMVLTVALVGESGCGKSTVIALLERFYDPDSGTITLDGVDIKDLKVGWLRRQMGLVSQEPVLFNDTIRANIAYGKEGEVTEEEITAAAKAANAHQFISALPQGYGTVAGERGAQLSGGQKQRVAIARAVLRDPRILLLDEATSALDAESERAVQEALDRAAVGRTTVVVAHRLSTIRGADVIAVLRNGEVVAQGTHQQLMAARDGAYASLVELRMRVAKASAGDVVKGGKEEENGEKMVTMAKAPFHSMFKYADRTDVLLMLVGMVGALGNGMSMVIMTIIFGQMIDAFGGGTLDTIVPRVSKISCWTMTGERQATRIRSLYLKSVLGQDMTFFDVEMTTGQVVSSISADMTLIQGAIGEKVGKFVQLITTFFGGFVLAFIKGWLLTLVMLSTIPPFVVAAGIVAKMLSKISSEGLASYSDAGDIVERTIGSIRTVASFNGEKKAIILYNNFIKKAYKGAVKEGAVQGFGMGLLSLIYFSAFGLLIWYGSKLSLTKGYNGGDILTIMFAIMIGARNLGDATPCIASFEEGRVAAYRLFKTIKRRPEIDYGDSTGIVLENIKGEVELKDVFFSYPSRPDQLIFNGFSVHASSGTTMAIVGESGSGKSTVINLVERFYDPQAGEVLIDGMNIKGFKLEWIRGKIGLVNQEPLLFMTSIRENITYGKEDATLEEIKTAAELANAARFIENLPDGYDTTVGQRGAQLSGGQKQRIAIARAILKNPKILLLDEATSALDLESERIVQDALNRIMVGRTTLVVAHRLSTVRNADCISVVSKGKLVEQGHHDELVKDPNGAYSQLIRLQEKQQENGRMSDARLSGSASKRNVSLRRSISRSSAGSNRHSLSLPLGVPGPTELLEYNSGQGARQIENIDDKVPNKAPMGRLINLNKPEAAVLLFGSIAAAIDGAVVPTLGLAMASASKIFYEPPDQQRKDSILWALLCVGLGATAMISKIVNSFLFAIAGGKLIERIRALTFQSIVHQEVAWFDYPENSSGALNGRLCIDALNVRRLVGDNLALLVQSTATLTCGIVIAMVADWKLSLIILFVIPLVGLQGYAQVKFLQGFSQDAKIMYEEASQVATEAVGSIRTVASFCAEKRVMDKYNQRCQASRDQGIRTGIIGGLGFAFSYMMLYASAALCYYVGAKFVSQGKSTFGGVFKAYFALVMAMIGASQTSAMASDSAKANDSAISIFSILDRKSLIDSSSEEGSTLENVKGDIDFKHVSFKYPSRPDVQIFTDFTLTIPSGKTVALVGQSGSGKSTVISLLERFYEPDNGAILLDRVEISSLKVSWLRDQMGLVSQEPVLFSGTIRDNIAYGKHEEVTEEEIAAAARAANAHKFISSMPQGYNTTVGERGTQLSGGQKQRIAIARAILKDPRILLLDEATSALDAESESIVQDALNRAMVGRTTVIVAHRLSTIQGADMIAVLKDGTIVEKGRHETLMGIAGGAYASLVELRTM >Sspon.08G0016350-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:59412184:59414822:1 gene:Sspon.08G0016350-2B transcript:Sspon.08G0016350-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHCNLLVAIAAVVFLAVGTEASGSSIGFNLHHRFSPVVRQWMMEARGHGASGFSWLLPEEAAVGSPEYYSALLRHDRALFARRRGLANADGQSTLTFADGNATRLDTYGYLHYAEVEVGTPSSKFLVALDTGSDLFWLPCECKLCAKTGNTTYSHPSQSSTSKTVPCGHPLCERPDACAAAGTGKSSSCPYEVKYVSANTGSSGVLVEDVLHLVDGGGGKEVQAPIVFGCGQVQTGAFLRGAAAGGLMGLGMDKVSVPSALASSGLVASDSFSMCFSRDGVGRINFGDAGSPDQAETPFIAGSLQPSYYNISVGAITVDNKAMAVEFTAVVDSGTSFTYLNDPAYTLLTTNVSLSLCS >Sspon.03G0020340-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:82190571:82192643:1 gene:Sspon.03G0020340-3C transcript:Sspon.03G0020340-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMTGEFSRDSPFGGFFASGFKRAFKIKDFGDSIPGHGGITDRMDCQIIRNLSYEEQKYLYERLGEIFHERQLTQS >Sspon.07G0028860-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:70574141:70577274:-1 gene:Sspon.07G0028860-1B transcript:Sspon.07G0028860-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MENYHDSICLDLEKALADETVAPIDLPFLFLKAITRDFSDDQRIGSGGFGYVYKGALNKNGIVAVKKLHDNKFIGVQEKDFLHDVDCLTKVKHRNIVRLLGYSYETQHKLYPHEGRNVLADKPNMHWPSPGLQWGLRYKIIKGICEGVGYLHQQQRITHMDLKPDNILLDDSMVPKIADFGLSRHFSGNQSKIITENIVGSRGYMAPEFLKGGTIIFKADIYGLGVIIIEIPMGCKECPNVDKVLESWTNTIGTSISGPLLEQVKLCAEIGIDCMHNDPNRRPDIQDIICRPNETNISMQLRRFYRYYRQAKQACKPMRITASMAPEAKKVAHCSLSLINRTYCYFAYFIRPQFQAMYNIDRPISYRLDPMSTCILNVKMVEQELPPLDMGMFEILMISMESKRGLRNLESSIGKDPQKIDDDLLKGVEELDGEVHAAMLTAVICPPRDADPEVSSSSRPLHIDI >Sspon.08G0021110-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:32575976:32580670:1 gene:Sspon.08G0021110-1B transcript:Sspon.08G0021110-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEILGALNQGTCYHFNRQHGLSSGYALFITTKCNEPIAPQDVHGDMSSDNSNIAAGNSLISYGLQFGAIYFDEKYGKNMEKFTSAGLSSNIFFRAIYFDEKYGKNMEKFTSAGLSSNIFFRGVLLLGKEKKRAAKEIFQYGDHARGNKGPPNLNTMKHKSLDALGSQSPKPN >Sspon.03G0020550-3D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3D:50621586:50623392:1 gene:Sspon.03G0020550-3D transcript:Sspon.03G0020550-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPRLESVILAAACRFSNPLSVLKRPGVLASHCTPTVLRNAAEGFLPSVGLPPPFRQVVVRSGNLLGSPRFCHATAGMSDRLVDVYASEVLKVLKSTNNADNADLSDALRRFAVHMDEDIILTVLQKQRSNWQVALAFFNWAATLPGYAHGSRAYTEMLDILGRMKKVRHMRQLFDEIPEERRGEVVTNKMFAVLLNRYAGAHKVQEAIEVFYLRKDYGFELDLVGFQILLMSLCRYKHVKEAEALFREKKGEFPHVTKSWNIILNGWCVKGSWRDALRIWNDIIASKVERDLFTYGTFINALAKNGRISAAVKLFKSMWEKGINPDVAICNCIIDQLCFKKRIPEALEIFGEMNDRVCQADVATYNTLIKYLCKIKRMEKVYELLDEMEAKGCSPNNRTYSYILKTTEKPKDVIALMQRMEQTGCELDSDTYNLILNLYINWKYEKGVQQVWDEMERRGSGPDQRSFTIMVHGLHSQGKLDEALQYYTTMKSRGMIPEPRTKILVKAMHMKKDGAATEDDSTSMAGKHLKLDPRSRLFNVHR >Sspon.05G0022590-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:7837884:7838614:1 gene:Sspon.05G0022590-2D transcript:Sspon.05G0022590-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQRAGEKEERNSEDSSDHRRKYDVDAAAEGPGMNNHGESMDHQRNGGYEEAEEETAGVLKEVEVVLVGASEEEASAPLLVHPCSLLQLLLRACAGCLDLRGYCSDDDDPKPATDDDAATAAAAADSPQEGDHQGGGDKAASKEVVTQVRAVRRPSPPVGLIEGPAAVEGSTTRHRQRRNGDLLPSFFAWPMYGQLALVVAK >Sspon.04G0020050-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4A:70520849:70521156:-1 gene:Sspon.04G0020050-1A transcript:Sspon.04G0020050-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SICVVSLSSVCVQVLEKDEVVDMAGAGSGDLCSSTKGHGGSGTIPVGSCSLSHVSNASSLRACGSSGGSSRRRMKAISILSSSSSCCLFYVFVIPTPQQGH >Sspon.01G0014740-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:22620039:22622557:-1 gene:Sspon.01G0014740-2C transcript:Sspon.01G0014740-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRLPAAAAAAVRSYARSLRVPSDSAAVASARRAAKTPAAPLDTPRKSAAAAAAAAASSGRAEVRDLAAACGLEADERVPLAEVVSDCTRRWFQDALKEARAGDITMQVLVGQMYRSGYGVNKNEHKARVWMEKASRYRSTVWKESGYQNGFFTEHTKKRRSFPEAVV >Sspon.07G0027240-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7B:59380415:59380654:-1 gene:Sspon.07G0027240-1B transcript:Sspon.07G0027240-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVYGATCARPGLGAAVWGSCWAAAQYQVAKPMKLELSISASQVTCAAVVFNLASSASPALAPGDGEGVRGHRDLGQPP >Sspon.04G0012750-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:44484897:44485813:1 gene:Sspon.04G0012750-1A transcript:Sspon.04G0012750-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding YMAGAAYARAALALALAVATAAAAVEAQTEWLRAHATFYGGPDASGTTGGACGYGNLFAQGYGTRTTALSTALFSGGAACGQCYKLRWCKPGVSVTVTATNFCPPNSALPDGGWCNAVRAHFDMAQPAWEKIGVFSAGIIPVIYRRVSCVRKGGVRFTVNGHDYFNLVLLTNVAGPGSIRAMQGLSFRVTVTDGQTIVFADVVPPKWRFGQSFASNLQFKL >Sspon.04G0022980-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4B:9696341:9696563:1 gene:Sspon.04G0022980-1B transcript:Sspon.04G0022980-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding CTARPCFLSLFLSLPRSCPVPPRRVAMAASLSCRRRPHPPWHACFPTPVSSPTSAAPSPTQHPPYRERPTSRIR >Sspon.08G0011120-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:48555962:48559817:-1 gene:Sspon.08G0011120-1A transcript:Sspon.08G0011120-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAAVSSASSSFFLALASASPGGRRRARVGSPPFHTGAGPNFAFWRAPAPAPPRAPRDAALLVRAEAEAGGKDAPPERSGDATAASRLPRARRKAVSKRRDPLQPVGRYGSGTGNTASRSGAASIQNAALAAVEIKSIVAAPPTSIVKFPAPGYRVILPSGDVAPETVVLPAPEPLHESPGANSVLPASIPNPDTDGDVEPAEKKPAQVDGDSNGVAAPPPAEPVVQEATWDFKKYIGFDEPVEAKDDSRVAADGAGSFEHYENNDSGPLAGENVMNVIMVAAECSPWCKTGKDITLCGFFQSGLGDVVGALPKALARRGHRVMVVVPRYGDYVEAFDMGIRKYYKAAGQDLEVNYFHAFIDGVDFVFIDAPLFRHRQDDIYGGSRQEILKRMILFCKVAVEVPWHVPCGGVCYGDGNLVFIANDWHTALLPVYLKAYYRDHGLMQYTRSVLVIHNIAHQGRGPVAEFPYMDLPEHYLQHFELYDPVGGEHANIFAAGLKMADRAVTVSRGYLWELKTVEGGWGLHDIIRSNDWKINGIVNGIDHQEWNPRVDVHLRSDGYTNYSLQTLDAGKRQCKAALQRELGLEVRDDVPLLGFIGRLDGQKGVDIIGDAMPWIAGQDVQLVMLGTGRADLERMLQHLEREHPNKVRGWVGFSVPMAHRITAGADVLVMPSRFEPCGLNQLYAMAYGTVPVVHAVGGLRDTVAPFDPFGDAGLGWTFDRAEANKLIEALRHCLDTYRNYGESWRSIQARGMSQDLSWDHAAELYEDVLVKAKYQW >Sspon.01G0028030-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1B:64266935:64267420:1 gene:Sspon.01G0028030-2B transcript:Sspon.01G0028030-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGACNSCEATAVAAAPGSTAAEARVVLADGALRRFPGGTRASQAVKAAAGTAASWFLCSADGLELGGAVAAVGSEEALQPGQLYFVLPAAMRRRPLQAEEIAALAIRASAALVGDHDGPLVFPEAAGGSGNGSRSGKACRRSRRGSSRGRDFVPDLGAIAE >Sspon.07G0015070-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:54124533:54128840:-1 gene:Sspon.07G0015070-1P transcript:Sspon.07G0015070-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTLSVLLFWLLLAFSSLHAPVSCSAATPNDDTLAVGQALAIGGKLISRNGKFALGFFQPGSAITSKYTTTASPGWYLGVWYNMIPVFTTVWVANRDTPISDPVVNLTELKISADGNLVISTHNATEPIIWATHLINRTQASIANTTAVLLNSGNLALMETSSNAVPLWQSFDYPTDVFLPGAKFGRNKVTGLNRQFTSNKSLIDPGLGSYNIELDTNGGMLLSSRSPYLVYWSWSGSSLASKLVPLLTMILDMNPQTKGLISAAYVDNNEEEYYTYTLLNESSLVYALLDISGQLKLIVWSQATKSWQTPYAQPAVPCTAYATCGPFTVCNSKADPFCNCMDSFSVKSPRDWEVDDRTGGCIRNTPLDCNRNGNTTVSTDMFLPITRVTLPYNSQRVEDATTGAECTEACLKDCSCTAYSHNSSRCLIWHGELLNVKENDDIDNSSEDVLYLRLSAQDFHGVRKSKRKSIIGAVSAAIVISFGLLILILLSVIWRNKFKCFGGQLYNSQDIGGGIVAFRYADLGHATKNFLEMLGGGGFGSVFKGVLGDSTPIAVKRLDGARQGEKQFRAEVSSIGMIQHINLVKLIGFCSEGDKRLLVYEHMSNGSLDAHLFQSNATVLSWSTRHQIAIGVARGLSYLHQSCCECIIHCDVKPENILLDASYVPKIADFGMAAIVGRDFSRVLTTFRGTAGYLAPEWLSGVAITPKVDVYSFGMVLLEIISGRRNSPNQVYTSNSSHVDFFPVLAVSKLHEGDVTSLVDPQLNGDFNLEDAKRLCKVACWCIQDDECGRPTMGEVVQVLEGLQELDMPPMPRLLAALTERSDAFSMLIGADLFSSIIQWAAGSRL >Sspon.01G0002610-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:7008129:7009305:-1 gene:Sspon.01G0002610-1A transcript:Sspon.01G0002610-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHEQQERGSGHLLLFPFLAQGHLIPFLNLAKSLESLGQRGGSGQRRLAITIVSTPRNVAGLRRALPTGSSIGFAELPFSPSDRGLPPDAESADAVPLHAFPTFSIATELLRPSFEKLLTELAGREGRGNVCVLADMFLGWTAEIARALGVQHRMFLTNGAYASAPKGLHLMGKLSGLPTFAVGPVIGVGGRTATDDTAPDQDMCIKFLDSKPQACVLYVSFGSQNSIPASQMMELARGLEASGRPFIWVVRPPVEYDGEQGFRAEWLPDGFEERIAAAGQGVVVRGWAPQVRILAHASTGAFLSHFGWNSVLESLWHGVPVVGWPLLGDQLFDSRVLVELGVGVEVASGRFFGGLGSKGSASG >Sspon.03G0027890-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:7046807:7047018:-1 gene:Sspon.03G0027890-1B transcript:Sspon.03G0027890-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGRNPMQRFVDSPKPYNRRYVDVLCKLQLHPRFCNWIGRFMNAIVVYSCCATILKLMQPFFLFY >Sspon.05G0032630-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:20487154:20488679:-1 gene:Sspon.05G0032630-1C transcript:Sspon.05G0032630-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAAPSDSAAGRHRRDQQHAARSAARQRPGRSAAGRGIASGRAVEGGRPAARISKAVRSEEQLAAVVPVLENLKCKKEERIKQFSDIRSQIEKIRFELSEHNDQGGNASSLATEEHDLSTRKLNSYQAQHRALQKDKMRETMQSLCQLWKLMDSPEEEKRQFSKAMRILILPEEGITSPGVVYEETIEKMEAEVERLTKLKNSRPKEIVMKRRA >Sspon.02G0016000-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2A:43985029:43985298:1 gene:Sspon.02G0016000-1A transcript:Sspon.02G0016000-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKSKNPRRAGGASSSSSTSVAARGDRAAPWNRLTALAVLTVHSAFSAYLARDDARLVALVAVGYLLMLLLLFYHYGGLPLPGVKKTD >Sspon.03G0046240-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3D:33781275:33781785:-1 gene:Sspon.03G0046240-1D transcript:Sspon.03G0046240-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSYCLGGWILLVAELDADVELTDGATTQATYLLGGVIGSSSHLLAGAFIVEARWHGGACVQLDGAAVVGSVRKAHRPGRRNLCLIPLSRCLLPCVWHVVGRLRRRSPRFRGEGGTEVRAYRREREELVLGSWERVVSSGLEQMDKKLRTNG >Sspon.02G0032430-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:120364177:120368870:-1 gene:Sspon.02G0032430-1A transcript:Sspon.02G0032430-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAEVRHWNADVNGVSIHVAERGPEDGPAVLLLHGFPELWLSWRHQMAALAARGFRALAPDLRGYGDSSAPSDPAAYSIFHIVGDVVALLDHLQLAKVFVVGHDWGAQVAWHLCLFRPDRVRAAVVLGVPYFARHARPVTEALAAFGDGFYINHEEELGQYAEKFQKSGFTGPLNYYRMAETNWRLLAPWNEAKITVPVKFIAGDKDIGVQSFGIEKYIKSGAFKSIVPDLEVTIIEGHHFLQQEQAERVRHWTAGVNGISLHVAERGPADGPAVLLIHGFPELWLSWRHQMAALAARGFRALAPDLRGYGDSSVPTDPAAYSILHIVGDLVALLDHLQLTKVFVVGHDWGAQVAWHLCLFRPDRVRGAVVLGIPYFPRGPRPLTDRFVPLGDGFYINQFLEPGRTERSFARYDVATVLKKFYAMEIDEILAPPGVEIIDFLQAPSSPIPWLTEEELGQFAEKFEKTGFTGPLNYYRMFVTNWRLTAPWNGSKITVPAKFILSKNDVGLQSFGTEKYVKSGGLKENVPDLEVSIIEGHHFVQQEEAERVSLEILSFLDKFPSEGGSA >Sspon.07G0008190-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:22313483:22317038:-1 gene:Sspon.07G0008190-1A transcript:Sspon.07G0008190-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSASKSKSKDRSAAKVAKEQPKVAAKPMGNGMLASSYNNLSGKFHVLEPSASLLGSQGIDKFRNTDEIDEHSRSSHGTGDFDCASNNGSCSGESEDPKEKPTSTASRADSVPGCDIDKREKIRQKNEKKHQRQKERRAQELHERCKGYLMSRKLEALAQKLVAMGFSADQATMALIQNEGCVEESVTWLCNFDGSEEAKQQLAADQQSGANLKIDIADELSKIVSLEAKYKCTKQEVERAVVSCEGDLERAEEVLKTHKQESTAVPPKPEGSGDSSGLPNKQQVVLAQNPARPQTNGFSSVGSQQMRREEKDINYKLLMNGSGPKEPAIKGFQPLVTPIKPDLGRQQFVQPEKRRLNPNSIPSVPYVASSPLPVAVPQLKSDMRHVAGTNEVKSSMPNGSLRESVIVMQRPQSAGTKQSLPSTSHSMFAAEQSARDWFLNGASGVDMMLNGGLGHGLRNMSLDSVSSARSFGHANHQQSFVSNPIELAANGWGGTWSSGGTSSSRSVASSLGAFRGWNSSESSSALPHSDWRTNGPAPYDYTSVDWSVDTTLLNPAAKSERLSDTWSTMFMGGRSTRTPGNLNGAGIAGLHDSNHPMDPAPSPRPYEWPTFCRGGSS >Sspon.07G0013850-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:50041144:50043215:1 gene:Sspon.07G0013850-1A transcript:Sspon.07G0013850-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVSEKVVPSSSVSSSSDLDPLLKDLTEKKLSFRRNVVSLAAELKDVRNKLASQEQLFVRESQTRKQVAETKARSMEEEVSKLQKCLQDKDEQLRSSTSSTEQFLNNVFSNYIQYLHELDDLRIQLSFTQATAEASAASAKSAQMQCLSLLKELNEKDISLKEHELRVNKLGEQLDLLQKDLQARELSQMQLKDEVIRIETDIMDAVAKAGSRSDKDNELLKILSDVSPRNVQNLNNLLNAKDTEIARLREEIRILSAHWTNKTKELESQLEKHRRTDQELKKRVLKLEFCLQESQSQMRKLKRMGEKRDKALKELMDQVAMKQPNSMCHDKENFWESQGFKFIAS >Sspon.07G0006000-4D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7D:13630231:13637549:1 gene:Sspon.07G0006000-4D transcript:Sspon.07G0006000-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MYADTMKGHQPKGRTGDDDIFKGLADAWPTYFPEEAEVGLSESDHKVRLATALAESFSTLEVAEVLFSRVEDDGNDIICLPIDFQQLQEIIQELASADNHEEGRVPRTVECELTEDLVDCCIPGEIVTVTGIVKVLNNYMDVGGAGITLALFGGVQKNSMDQNKVPVRGDIHVIVVGDPGLGKSQLLQAAAAVSPRGIYVCGNTTTKAGLTVAVVKDSMTNDYAFEAGAMVLADRGICCIDEFDKMFSDHQALLEAMEQQCVSVAKAGLVASLSARTSVLAAANPIGGHYDRAKTVNENLKMSAALLSRFDLVFILLDKPDESLDKRVSDHIIALRNRSTSADGTPITARQLESLVRLAEARARVDLRDEVTEEDAQAFVKYFDHETWGYATLAKRYGVHDAYA >Sspon.04G0025120-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:29321167:29322951:1 gene:Sspon.04G0025120-3D transcript:Sspon.04G0025120-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRFSGGLTQMESFLKAFFPDILEKMNSATQDEYCIFDSQLLTTFVSSLYLAGMFACLVAGHITKKIGRRNSMLIGASLFFIGSVLNCTAVNVAMLVIGRVLLGFAVGFTNQSAPVYLAEIAPARWRGAFTSIFHFFLNVGMFVADLVNYRANTIAVWGWRLSLGVGIVPATVILVGATFIPDSPNSLVLRGKPDAARASLQRIRGRSADVDVELKDIMQAAEEDRRYESGAFRRIVRREYRPHLVMAVAIPVFFELTGMIVVTLFTPLLFYTIGFTSQKAILGSIITDVVSLASIAVAAVAVDRVGRRSLFMVGGAILLACLVAMAWIFGAQLGTDGEKAMRRPYAVAVVALVCLFTAGFGVSWGPLKWIIPSEIYPLEVRSVGQGMSEAISLALTFVQTQSFLNMLCSFKYGAFAYNAGWVVVMTTFIFFFLPETKGVPIESLREVWARHWYWKRFVKPPPPPSAPKVVDGPIVNITSDMLIGGMFFLAGAILDTSAVHISMLIIGRILLGFAVGFTSLVITVTAQPMRTLWSP >Sspon.02G0040580-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:70783328:70784417:-1 gene:Sspon.02G0040580-1B transcript:Sspon.02G0040580-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAALEGVSVTHRTLDVNGIKIHVAEAGDGSGSAGGTAVLFLHGFLELWHSWHHQLRSLSALGYRCLAPDLRGYGDSSAPPSPSSYTAFHLVGDVVGLLDALALPRVYVVGQGWGALLAWHLATFRPDRVRALVNMSVAFMPRNPAVRPLQAFRALYGDGYYLLRLQEPGAMEAEFARMDTRFIFRKLITTRDTGAISLSPEWWGAQDQDIPLPPWLTEEYVGRLAAKFDETGFAGAMNFYRCLDLNWELTAPWTGAKVTVPTKYIAGEDAMSYNYTGVQEYIHKGGLKGDVPGLEEVAVIAGAAHYIHLEKPEEVTEHIYEFIKKF >Sspon.02G0043940-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2B:98082536:98087524:1 gene:Sspon.02G0043940-1B transcript:Sspon.02G0043940-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEREERERGLVPQPPAKRMKLAPVVPTAADGGGGGQVASPRWRRLRQTLLVVLFLVRALEANQEHIAANGSNTNMRLCFLNGLEPPVYTDENLTSQNHAAIKLAMFEGDKMVTSGALSEAKIEILVLRGDFSNKCRDNWTQDEFDKHILQGRDGHDLVLGTVWLTNGMAELSQIRFREGSSRKNVIMAARVCKSKKTSGRVQEAIMKPVKVLDRSNKPNEKRHPPRLDDDLYRLEEIARDGAYHKRLQEVQIYKVGGFLRALNEDSNKLRAILKMENQQNAWAKLTEHARECVLEDRQELKQYHSKQGNVVLFFNCVHELVGAAFPQEYVACQRFNTAQKALVNKWKLHAYEKLKDISPDFVMKGNIPEPVSSSTDVAAAPSVLAVGASQQVFSANQLAPYQVMYLPGTGTAENLPQGEHGTPYQIADCDPIVADPDYLNTRYCQDQGIPLHGQQQVISPWPQNPQAMMGFAYPIELAGMNFDLYQDSGASTSAQAQLMFGPRNPTQPESTSPAAAPPWMLSIAEQDQGPDCPGFLRSVRPNDFQNIRCPFCDQAEETIEHLLISCVFSRQPYEHLRRTEPAILEIHEVTIDTSLSTGTLPTTESITLINPGKNQENASTRVKSRT >Sspon.07G0004480-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:9890697:9891587:-1 gene:Sspon.07G0004480-4D transcript:Sspon.07G0004480-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MISGNLTNEELGTGCTKAEGPAAAAGAGAVVAKSPVLSSSRHWPPSTESRIVRVSRVFGGKDRHSKVRTVKGLRDRRVRLSVPTAIQLYDLQDRLGLSQPSKVVDWLLDAAQHEIDKLPPLQFPPQAQDLVAHLPPSMVAPFSNVAAAADRTAAANASAAAMADGDKRHCHGGGIKGLMGLNNSIGLVNATMPLAHGLYYTPAGESWTTNGNNAAVHDHQVSHGNSPQTVAHHSPFSSLLSLAAPGPQLVFYSPEGGGFAMKETTDHQFPVDSLEQSQGQLSLSSARSFLHPGNQG >Sspon.02G0032090-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:117485621:117487667:1 gene:Sspon.02G0032090-1A transcript:Sspon.02G0032090-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAADDQRPQPQLEMPQHDKASTFAAAEEMATTTTTTKTKQLSDESDGGEPEENYRGWKSMPYVIGNETCEKLGTIGTTANLLVYLTTVYGMTGASAATLLNLWSGTVSMAPLLGAFLSDSYLGRYTTIAFASIASFLGMIILTLTSAVPSLHPAAHPKPTAGPSTLQMAVLLASFALLAVGAGGIRPCNLAFGADQFDPRTPAGRRGINSFFNWYYFTFTIAMMISATVIIYLQSNVNWALGLAVPATLMGLSCVLFFMGTRLYVRVRPEGSPFTSFVQVLVAAARKRRLPAPAPADLYDPPHRSSLVSKIAYTDQFLCLDKAAVRTPDDGDDADNNPTNPWRLCTLQQVEEVKCLARLLPVWSSGIVYYIVLTNLGNYNVLQAMQTDRHVGRGGFQIPAGSFVVFNMLALTLWLPFYDGVLVPAMQRLTKREGGITQLQRIGVGIVLSIVTMLVAAAVERHRRRVGDSTSCFLLVPQQMLAGLSEGFAIIGQVDFYYKQFPENMRSVAGALLSLGFSIASYASGLMVSVVHRTTGGRDGRPDWLAQNLNQGRVDLYYLLIAAMAAVNLVYYVVCARWYRFKKPAAADADVELELELEGKAAAPP >Sspon.04G0019270-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:68727489:68729433:-1 gene:Sspon.04G0019270-1T transcript:Sspon.04G0019270-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLWELTAITAYFLGLRRTYRLALRIQRRLIPPNHPRIRDFVYRRTRDVFNIAVSVHKNIQQRDIEVGRNLGNAILRWLDRMKPSAEIRPRLPGPPNGSSEQYRHVSSASRSTGRRRPPQKLHLTIERKDAVLASEHSPKSFPVLPTMTQPNRISASSQCRRISYTRSRQSPRRGRA >Sspon.01G0010470-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:26203723:26206174:-1 gene:Sspon.01G0010470-2B transcript:Sspon.01G0010470-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFLVERRCGGGGGETTTTVVSSMDDMDMSSHAAKPAGPAPFLTKTFQLVDDHRTDHIVSWGEDGATFVVWRPPEFARDLLPNYFKHNNFSSFVRQLNTYGFRKIVADRWEFANEFFRKGAKHLLSEIHRRKSSSCLQPPQKLQPPLPQHQPYLSLFLPPQPPRHPSGYHVQEEDHGGKDFLATLAEDNRELRRRNSLLLSELAHMRRLYNDIIYFLQNHVEPVPLPVATTTASCRLVELGSTDSDTSAPTWRPRGDDEAPVKLFGVRLNDGKKRRAQQVVPLEEKGDGEQGESLGDGDHNGHG >Sspon.04G0012270-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:41113327:41115591:1 gene:Sspon.04G0012270-3D transcript:Sspon.04G0012270-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQQDRTVRILQTLVEINNHAVRAKPSWFRLVCCSSSSCSVAAAAAAASNALPSAAARGKMVLQNDIDLLNPPAELEKLKHKKKRLVQSPNSFFMDVKCQGCFSITTVFSHSQTVVVCPGCQTVLCQPTGGKARLTEGCSFRRKGD >Sspon.06G0007440-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:28871195:28872478:1 gene:Sspon.06G0007440-1A transcript:Sspon.06G0007440-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSLRLPIRLDPTSRLLRGMATPLAGAPLPRALPLLRPAPAVRLASRGRFRATAASGEGAARPVLRTCKNCKQQYDPSVNHPSACRYHTAHFGGETKRKFESVYAGGTMDTPNSGKVFQYWHCCGSEDPFDVGCTASPHCSYDD >Sspon.03G0043050-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3C:75265377:75266753:-1 gene:Sspon.03G0043050-1C transcript:Sspon.03G0043050-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MANVHGCKDFHVRVVSRRLVMASDTTIEPHVVAVSNLDLLPQTIQVAMFCLYPKPRATMAFDAVVSTFEAGLPSLLNHYFLLAGRIATNPSSGLTEVHCSNQGAELVVGEVTGAALASLNYAEMSASLRRIQLPYGDDVALSVQVVAFPCGGFTVAWSTNHLLVDGRALSSLVSAWSELALSGKLSTGSQPNLDRSVFRPRPAPSYDAAALNEAFTAVDARSQVNVLTFQQSFVERLYYVDASDIAWLREEASRNGRRATRVQAVSAYLWKTLAGVVGAADARCRMGWWVDGRPRLTSPPELRAAMRNYVGNVTTFVLREERVDDVARAPLSDVAAMVSETITAPAYNEHFQELVDWVEEHKTERYVETACIGLGSPTMTVTSFASFATDTDFGFGPAAMALPTSALTARLCSGFMQIAARPGDDGSWIASAFLWPRLAAALESDEPRVFRPVTAEYLG >Sspon.08G0010940-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:48090002:48091419:-1 gene:Sspon.08G0010940-1A transcript:Sspon.08G0010940-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGATTQEQADHGRCSLPSKLLGCFLFALFTLPLVYFIFYSPPTSFISYTDTFVQSKTHRTAKNSSLQPPQAWLLCDYSDGKWVWDGSVTGPRYDSENCDMKSTEKCVVNGKPDKGYLHWRWQPAGCNLSALNPAEFLRLVRGKHLAFVGDSTARNQAEALVCFLSSVARPETVHRTYWSPLLVRAEGHSEDYAMTQQAVVLDALTEPWTADVGAMDVVVISAGHWFPRPAMYYEDGEVVGVYSRPDVNKTDIGYLGVYRKVIRRTLEYVVDAKSSTTGGDKLVVVATIAPAHFDSKYGWNHRDACSRTKPYEDGEAEVAATDAELRKVVLEEVATAATAKTTRLRGVRFEVLDVTKMATMRPDGHPGPYLFAHAYDGRPVPETVGNDCLHWCAPGLVDMFNDILAKMIVA >Sspon.07G0019480-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:74008408:74010989:1 gene:Sspon.07G0019480-2C transcript:Sspon.07G0019480-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPFRALPPGVYFNPTAEECVRDYIKPWAAGVPPPTDRVICDVDVYSDSPGALLLGREPGFSRGFDHKWLMLSHCGGRGKTAAGRGKRVVATGGSWQSEQTPKGVVGASDGEDEDEQPHGGRRRSFGFYVGKNGRKRGEKTPWIMEEFTALEDGHGGGDGTFVVFCRIYLTPRLEKEDKEKKRQILGDDMVAFDRNGKLKPVRVVVSPELFDAAAQGQVPAPPRVLGFQQAQPARRVLGHQQGESPTPSPSPRFLGYQQGQPAAPPLLRFLGHQHGQAAATPAVLGDHHGRAMLPWGVPAHHHGHAAAAPHQRFLGYRQGQAAVQGGPDEYCGTAVQPQLLHVLDPCYNQEASPSVRLVDPQQSKVMTHTEKKPRLAYGSPPPPPQSSESGPSSCVVHISPSQEQGVVIQIHDDNQCSAEPVAPTLPPQEIPGTATAGSAEAEPPCDASAPAPAELADDAGKAGAEPMLDPGIYMNLVEFEPFSEAVPDFLRLPWPLILNGIPKFWYTLISPPKLAVTTTRPHALPLSPLPPPPALLLGSLPSGLVATLHDSSLQDAPCTGLPPVGLPSPAPGGGGDCVHGTAADTSLQ >Sspon.03G0002270-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:13575237:13579092:-1 gene:Sspon.03G0002270-3D transcript:Sspon.03G0002270-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKRRDLGSWCCNHGEPERKTSRTTARSDHFSRSDYNSRVQKLRKGELVKCDGHDVHVVGGGGAVVERAGGDCVGQGLVQLVQALGERLLRLLRRLLQVADPQQLLLRQRVQLRYQDLHAKEKAKEVGGVARPDAMAMARSGTVHTLSSSDGRKPPVQKKQRSAGVAQAPVRRWKTSERASAALSWNSSS >Sspon.01G0028570-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:113313038:113318037:-1 gene:Sspon.01G0028570-2P transcript:Sspon.01G0028570-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAAAAVRHPRLFPPSPGRAARHRRAPSTVRMALREDGPSVAIVGATGAVGQEFLRVITDPDFPYRSLRLLASERSAGSASPSRTARPRGPGAFDGVDIALFSAGGSVSRKYGPAAVASGAVVVDNSSAFRMDPEVPLVIPEVNPEAMGNVRLGQGRLWQIRIAQPSSASWLPRRSIATLRMVVSTYQAASGAGAAAMEELKLQTQEVLEGKAPTCNIFKQQYAFNIFSHNAPVLENGYNEEEMKMVKETRKIWNDKDVKVTATCIRVPVMRAHAESVNLQFEKPLDEVSDKDDVAVGRIRQDLSLDDKKGLDIFVCGDQIRKGAALNAVQIAEMLLKYLVW >Sspon.02G0032450-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:120491155:120496813:-1 gene:Sspon.02G0032450-1A transcript:Sspon.02G0032450-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GKVSSSVAKLTMGKKLKKMRKKLTKIAAQRTQFAFNLDASSTDNEEIKKRQTTSKINRATIVGRQKEKDDIVTLLKSDEEQETLLIPIFGFGGIGKTTLARLVFNDDRMQGFDLRVWVYVSPHFDLEMIGKSILSQIKQPVEGLDGLQSVNNCLEETLGGRSCLIVLDDVWESNCFQLAELRLMLSNFKEKSKIRIIVTTRTEEVARNIGNVTPYKLKPLSDDHCWTLFQHIAFQSGFSSREDKNVLDKIGWDIAKKCQGVPMAVQALGFMLRNKNVEEWRNVRDSDIWDASSTTDMLPSLKLSYYQMPDFLKLCFSYCSVFPKGCEIHRGDLIQQWISLEFIPSSPGEHLTLEKIGENYVNELLEMSFLQNSRLASSITKEDTNNSMLLSMHDLIHDLARSVLGDQMLFLDGKKRYDSRSGNHRYALVVNGTRQIDLSNYVPTKLRSLHIFDSGEIHPSLYSKSLRVLDLSKFSSGNFPASIGKLKQLRYLGARGMQYISVPEDVTSLSKLMYLNISGSSKISTLPDSVKELRSLLHLDLSGSCNLSSLPESFGDLTNLSHLNLANCSLLTALPESVNKLRSLLHLDLSGCCNLCSLPESFGDLENLSHLNLANCSIFQALPKSVNKLRSLVHLDLSGCCYLFSLPESFGDLANLTDLNLGNCINLNTLPDSLIKLRNLFRLDLSGCSYLRSLPESSGDLMNLSHLYLANCSLLKTLPESVDKLKSLLHLDLSGCSSLCSLPESFGELINLSHLNLAKCTDLRSLPKSFGRLCELQYLNLSDCLRLNLWFDIETLCCLTKLQYLNLSRCPSLMHIPESVNNLKNLHTLDLSRCHWIERFPDSLCGMASLKFLLIHECRPWLQQRVRESHLKIGVQIMLPKFIVQKTASGTSSNISCLQSLYPELEIECLENVTSIEEANAVNLADKSILARLVLAWTPAVERFVEDEALLRALQPPGNLMFLKIQGYMATSFSGWVMGMESCLLHLVCIEMVDLPRCEHLPPFSQLKNLQQLILKRMPILKKLGTEICGGSGAFKKLEELTLENLDILEEWVTKIPANGEFMFPSLYKLEICRCPKLRLTPCLPRAFEWRIQASDEIIELMETEISLTVQKLSACQCDAGSSSSLALSELHDKSCQLQPNERALLRSPPALDVLEILNYRQENFPDDTGFLASLRSLKIDVSTRDPEKQSRWFLFPISAISGGQGLAVFPLPQLTALENLEISFKDCELQIKCMKGRRWTMQDSKNKVSMPISVFGLSDSPPRIALKFTLMLDANRKNHSGGGSVRRAVMLNYHHQIETRGEN >Sspon.02G0043150-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2B:89520493:89521667:-1 gene:Sspon.02G0043150-1B transcript:Sspon.02G0043150-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTWHSAHLPPPTTSLDHTDSLVSSTPANPSRPSLVAMSSIDENILSVCAALGGFIAVISLVPRSYCKIEIVAVPAYVAVQVLGSICASFALKGVFHLFLSGRVTVPNVTISTAQAFFTEFIISFNLLFVVTTVATDTRA >Sspon.08G0004630-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:14249489:14250920:-1 gene:Sspon.08G0004630-3C transcript:Sspon.08G0004630-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRLLLCLVISMQVVLIGTVVPAGGRPPSMYVLGSSIMDVGNNNYLPGPAADRANHPYNGIDFPGSIPTGRFSNGYNIADYVAKNMGFACSPPAYLSLAPTSSGGGPLVQAALSNGVNYASGGAGILDSTNAGNTIPLSKQVEYFGATKAKMVAAAGSHAVSALLSRSVFLLGIGNNDMYVFAAAELLARNRSAADQRRDAAVLYANLLSNYSATVTELYSMGARKLAIVNVWLIGCVPGVRAFSPVGACSDTLNQLAAGFDDALRSLLAGLAPRLPGLVYSLGDAFGFTRDAVADPRTLGYTDVARACCGSGQAPCLPNSTLCADRDQHLFWDRAHPSQRTAFLTAQAFYDGPAKYTTPINFMKLAQSN >Sspon.01G0023480-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1A:84669777:84673974:-1 gene:Sspon.01G0023480-1A transcript:Sspon.01G0023480-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHAACRCMPQPKNKRPTTPRVVFNLAGVVFYLARSAARCHCSPRSTLQAGVGDPPALLVETGTLSPSFASLPPRSPPGRFTHGLRPPRSFVPGAGRGDGMQHPQAQTMASAAGITSGQIQKNRILGSNSKPFAGIILKKPQQVGVLPLRVRGSIASSPWKLFSPKAAAPKSGDGLRIAVLGASGYTGAEIVRFLANHPQFHIKVMTADRKAGEQFGSVFPHLRTLDLPRLVAIKDADFSDVDAVFCCLPHGTTQEIIKSLPRHLKIVDLSADFRLRDINEYAEWYGHSHRAPELQEEAVYGLTELQRDDIRNARLVANPGCYPTSIQLPLVPLVKAKLIKLTNIIIDAKSGVSGAGRGAKEANLYTEIAEGIHAYGITSHRHVPEIEQGLTDAAESKVTISFTPHLMCMTRGMQSTIYVELASGVTPRDLYEHLKSTYEDEEFVKLLHSSSTPHTSHVKGSNYCFMNVYEDRIPGRAIIISVIDNLVKGASGQALQNLNLMMGLPENMGLQYPPLFP >Sspon.08G0027130-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:42178716:42179540:-1 gene:Sspon.08G0027130-1C transcript:Sspon.08G0027130-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAAFPAVVFSLLGAATFLVGSASAAWHAQVFVVGGEPRGWRKPTAPNEESYNHWAVRNRFHVGDFLHFKYDKNDSVLVVTRDAYQLCVVDKPTLHFEGGDTRFRLDHSAFYYFISGAEGHCDAGQRMTLRVMVPQQGDGSKPPAPAEAPAAAMSPGGEDDEGGTFDPPPGARRSSPPGSGGAGSSSPGSGSGSVSRPLPHVAPGADGNQTSAAGSMHGASSAAASPSIRGHRVVLAVALAALLLFLAA >Sspon.05G0002580-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:7760770:7763300:1 gene:Sspon.05G0002580-1A transcript:Sspon.05G0002580-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQTEKAFLKQPKVFLSSKKSGKGKKPGKGGNRFWKSIGLGFKTPREAIEGTYIDKKCPFTGTVSIRGRIIAGTCHSAKMNRTIIVRRNYLHFVKKYQRYEKRHSNIPAHISPCFRVKEGDHVIIGQCRPLSKTVRFNVVKVIPAGSAAAGKKAFTAA >Sspon.08G0013780-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:53111609:53114540:1 gene:Sspon.08G0013780-2B transcript:Sspon.08G0013780-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRYDSRTTIFSPEGRLYQVEYAMEAIGNAGSALGILAADGVVLVGEKKVTSKLLQTSRSAEKMYKIDSHLACAVAGIMSDANILINTARLHAQRYALSYQEPIPVEQLVQSLCDTKQGYTQFGGLRPFGVSFLFAGWDKHHGFQLYMSDPSGNYGGWKAAAVGANSQAAQSMLKQDYKDGLTREEAVALALKVLSKTMDSTSLTAEKLELAEVFLQPGTGEVQYQELTKEFHAKQIQCKHRDSKEVRSANRRRV >Sspon.08G0012820-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:54658649:54662804:1 gene:Sspon.08G0012820-1A transcript:Sspon.08G0012820-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVATASGSIDYGGNRGVAYMGNSNFRMAAEDSKHILKNVDWKTVGGAVTTESSKPIVKKRLPKKIRQVPDCYFLPRRSWPSALAIYGVVCAAGVGAGMLLEAVLEEKSAALAFPGLRQVFMLNNTFAIVRRAVRSDLKLFLPPGWVRVREERMEGYIKGYMDASWKPVVSRLDGGGTKTKPGAALGRRSNRLSAFYTALENVCSVQRCWKVPNPVIRGILRKTVAENVVPVYRRYLEDHPEVEVSKGRTVEELEQHFRNIFPKNG >Sspon.08G0014550-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:59470662:59473914:-1 gene:Sspon.08G0014550-1P transcript:Sspon.08G0014550-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSGRRMATGAPAAVALVALVWSSLALAAAAQNYNAIFNFGDSITDTGNLCTNGRPSSITFTQPPYGETYFGTPTCRCCDGRVIPDFLSSKFGLPFLPPSKSTTADFKKGANMAITGATAMDAPFFRSLGLSDKIWNNGPISFQLQWFQQVSSAVCGNDCKSYLGNSLFVFGEFGGNDYNAMLFGNYNADQASTYTPQIVSTIANGIEKLIAMGATDIVVPGVLPIGCFPIYLTIYGTSNSGDYDSLGCLKKFNDLSTNHNNQLQTQISSLQAKYKSARIMYADFYSAVYDMVKNPGSYGFSTVFQTCCGSGGGKYNYQNSARCGMSGASACSNPAAHLSWDGIHLTEAAYKQVTDGWLNGPYCRPAILHS >Sspon.03G0003610-3P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:15124356:15127166:1 gene:Sspon.03G0003610-3P transcript:Sspon.03G0003610-3P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSTPGSFGGTLANSGPVALSFPTTSFANFLGGGGSASSGADNGGVGLSKFKAMTPPSLPLSHPPASPSSYLHAFSGILDSPILLTPSLFPSPTTGAIPSEPFNWMGTSESLGGSVKTEQRQYTDFTFQTAASAPATSTMTGAAHTASFLPQSSVLMAPLGRAGDPYNGGEMQQQQPWTYQEPTAQFEAPPDNMLGNGGYSGAPGPVVSGFREQSQSNRPSSDDGYNWRKYGQKQMKGSENPRSYYKCSFPGCPTKKKVERSPDGQVTEIVYKGTHNHPKPLSTRGRSASSASAPVAAASYVLQSASDAVPEHSFGALSGTPENSSGSFGGDDEINGVSSRLAGNFGTDDLNDDEPDSKRWRKDGADGDAAGVSVAGSNRTVREPRVVVQTMSDIDVLDDGYRWRKYGQKVVKGNPNPSRSYYKCTTAGCPVRKHVERACHDTRAVVTTYEGKHNHDVPPARGSASLYRAALAAQQAGGYQQGGGLIRTADGFGFGAGGHGAPMQAAESGFALSGFGDAAGTAAYSYTSHHQHQQQTTNEAMCYAKDEPRDDMSFFEQPHLF >Sspon.03G0023120-3C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3C:89267701:89268348:1 gene:Sspon.03G0023120-3C transcript:Sspon.03G0023120-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAHQEPASSGGGPPRRPRVLLAASGSVAAIKFEGLCRSVAEWADVRAVATASALHFIDGASFPDGVPLYTDDDEWSRWRRVGNEVLHIELRRWADALVIAPLSANTLAKVAGGLCDNLLTCVVRAWDYSKPVYVAPAMNTFMWDNPFTAPHLAIMRELGMSIVQPVTKRLACGDYGNGAMAEPSEICKTLMLFF >Sspon.01G0038180-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:28564767:28566650:-1 gene:Sspon.01G0038180-1B transcript:Sspon.01G0038180-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSGKLRVVESVGEHVEGFAAGDTVVPTFLGQCSHCGSCTSEHSNVCDSVPFIIGPGMRRDGTTRFWDAQGSPLHDLLAVSSFSEYTVVDVNQVVKLDPAVPPKIACLLSCGAGTGVGAAWRSAKVEPGSAVAVFGLGSVGRSFGVTDFVNPSQLDNRSVIEVRIMKPASSQSHSYLISMQQVHHLLTFCVQLQVIVEMTGGGVDYSFECIGVPSVMTDAFRCTKMGKGKTVVLGLGRDSDQVCLPSLELLFGRCVMGSLFGGIKPKTDIPILAKKCMDKELQLDALVTHELGLQEINTAFDLLLQGKCLRCIIWMDKDNK >Sspon.05G0031640-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:7420015:7425225:-1 gene:Sspon.05G0031640-1P transcript:Sspon.05G0031640-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLPPRSSYQKKENAGPARREMGYRSTPRRNVLSALNNGEANGGAPSVPADGGGGGAGGAAEAAPVIEFSGREDVERLLAEKMKGKSKNDFKVGLGVLFTDDDDVLLLRICGGRYLKLVVLMQGRVDQMSDYIKKLRACIRWYMELEDGYLAEQEKLRGAMESENTRHTELESQLSNAIKELKATNLDLTRRCESLEESFNKEKSEKQIAVESYEKEKQERESAESSRDVLTVDLERVTHDAKRFSEQLKMVQDTNKRLQEYNTSLQQYNSNLQADASKSGETISKLQKEKSAMMETMAILRESNSSMGNQLESSRVSQQEAIRVKEELRKEVECLRVELKQVRDDRDHSVIQLNSLNIELGKYKEEIGKTSKECESFRRKVSELEETCNTQQEQIRTFQKQLAVATEKLKLADVTAIEAMTGYEEQKEKIKYLEQRLAQAESQIVEGDELRKKLHNTILELKGNIRVFCRVRPLLRFDGDSNGPEGASISFPTSVESTGRGIDLINQGQKLSFSYDKVFDHDASQEDVFVEISQLVQSALDGYKVCIFAYGQTGSGKTYTMMGKPGIDQKGIIPRSLEQIFKTSQFLESQGWNYTMQASMLEIYNETIRDLLAPGRSNGFEMTSNKQYTIKHDPHGNTTVSELTIIDVFGIADVTSLLEKASQSRSVGKTQMNEQSSRSHFVFTLKISGSNENTGQHVQGVLNLIDLAGSERLAKSGSTGDRLKETQSINKSLSALSDVIFAIAKGDDHVPFRNSKLTYLLQPCLGGDSKALMFVNISPEASSVGETICSLRFASRVNA >Sspon.07G0016900-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:84776111:84779782:-1 gene:Sspon.07G0016900-2C transcript:Sspon.07G0016900-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:calcium-dependent protein kinase 29 [Source:Projected from Arabidopsis thaliana (AT1G76040) TAIR;Acc:AT1G76040] MDQKQTANKKDSAARQALQAAFISSSSILCSQKEQPKLPLKPSRREGKKRRSGSSGSMGNCNCFTKTYEHEIPITTDPPQRPSMPLSFQAPPPPPPRLPAFPSLGTGSMGGRRTPTGEIGPILQRPMVDVRTLFHLERKLGIGQLGTTYLCTERATGLKYACKSVPKRKLVRRADIDDMRREITILQHLSGQPNVAEFKGAFEDADDVHVVTEFCSGGELSDRITAKGSYSERQASAVCRDILAVVHVCHFMGVMHRDIRPEHFLLVSPADDAPLKAIGFGHSLFIEEGKVCKDIVGSAYYVAPEVLHRNYGKEIDVWSAGVILYILLCGSPPFWAETDKGIFDAILVGQLDLSSSPWPSISESAKDLIRRMLNRDPQKRITAEQALEHPWLKGGAPDRPIDSAVLSRMKQFKAMNKLKQLALKVIAENLSQEEIKGLKQMFNNMDTDKSGTITVEELKEGLTKLGSKISEAEVQKLMEAVDMDKSGSIDYTEFLTAMMNKHKLGKEEDLIRAFQHFDKDDSGFNIIKRLNRDNKHNNHRYITRDELEQAMAEYGMSDQASIKEVLDEVDIDKDGRIDYEEFVEMMRKGS >Sspon.02G0036140-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:5409308:5414043:-1 gene:Sspon.02G0036140-2D transcript:Sspon.02G0036140-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGMATTALLRALRRPSSEAALRLATRPNVQPMNGYRHLNNRNLSVFNEFSKQLKGEAKSNPEFQKSMKEFGEKLGVVKEDLKVRTKKTTETIYKSVDDVWSEAEETSKKVTANIKEKMFAAKEEVKESFGVGKEESTSCRDGSPEASKHEKTGTSSHSDGTSEDATNSHTLFTKLKSTISSASPVVSGAFAKLKDTKVSTLAKQGYEIVKDELSSSSSRKKKHQARHASAKVEKSTRTDLVLTPTKRTVLGEKWEAFKNKIRGHPVYKRVDEYTKPVVTKGQEVAEDVRERWETSDNPVVQKIQESFSLSDFVADVQETIKPVLTAYSKGDVETLKKYCTKEVIERCKGEREAYASQGIFFDHKILHISEADVLETKMFGSSPIIILRFQTQQIYCVRDREGQVTEGGQDTIQTVFYSWAMQLMDSDEVPEEESYYPVWRLREMQQAGIKALI >Sspon.01G0063510-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:116104574:116109100:-1 gene:Sspon.01G0063510-1D transcript:Sspon.01G0063510-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ESGMDWRRGQWDLAQSPRGSTSSLWRWKTIFRIMCQRMTYCFSLRRNLRRDRPLMHTPLHWWNSAVVAYIFRLELLWQVCSLSTIMREFTAMHSVASLPFKDLILSATEKHKDGDDQSRAWNVPEPLMDYLKTNLNDSQLEAVNAGLSRRSFVLIQGPPGTGKTQTILGLLSAVLHSAPARMQIKGGFDVRKHGPELDIDGKYVKDADLLKPEVVSSNRKYRAHVLVCAPSNSALDEIVLRVLKTGIRDENNNTYNPKIVRIGVKALHSVKAVSMDYLIQQKLSGVDHTLDGGRRGTGEYDRIRASILDEAAIVFSTLSFSGSSIFSRMTRAFDVVIIDEAAQAVGDPVQLPATVISQTAQKLGYGTSLFKRFQAAGFPVQMLKIQYRMHPEISVFPSKEFYEGVLQDEEGLSRKLPWHSYSCFGPFCFFDVDGIESQPSGSGSWVNQDEVEFITLLYHQLAMRYPELKSSPEVGVISPYRHQVKLLKDSFRSTFGDQSKELIDVSTVDGFQGREKEIVIFSCVRCNEEQKIGFVSDFRRMNVAITRAKSDVL >Sspon.06G0010370-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:64779196:64783275:-1 gene:Sspon.06G0010370-2P transcript:Sspon.06G0010370-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANLEDVPSLDLMHELLRRMKCSSKPDKRLILIGPPGSGKGTQSPIIKDEYCLCHLATGDMLRAAVAAKTPLGIKAKEAMNKGELVSDDLVVGIIDEAMKKPSCQKGFILDGFPRTVTQAQKLDEMLAKQGANVDKVLNFAIDDAILEERITGRWIHSASGRTYHTKFAPPKSPGVDDVTGEPLIQRKDDTAEVLRSRLEAFHRQTEPVIDYYSKKGLVVNLPAEKPPKEVTAEVQKALS >Sspon.06G0004190-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:13103530:13105144:1 gene:Sspon.06G0004190-1A transcript:Sspon.06G0004190-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LVQNGTYLRNGPGLWEVGDHAFDHVFDGYGTLVRVSFQGARGRATGAHRQIESDAYKAARAHGHPLMREFSQLCPSEPGSLVDRLHHVVSHVSGAATSDNANTAVLPLGDGRVVCLAECTHPVVTRGGAEVLTLLPDFTRRGYLLARMTAGSNRREVIGRVRCRGGTTAPAWVHSFAVTEKYVVMPEMPVRYSPARMLMSERTPLYIMDWLPDSGSYMHVICRSTGNTVASVEVPPFVAFHFINAYEEKGDDGARASAVIADCCEYYADPSIIQALALHRLRSPETAKDFPDSRVARFRIPLDGSAMGELETVLDPDEHGRGVELSTINPAYVGKEYRYLYACTARRPCNFFNALTKMDLVEKEARSWHEEGTVPSEPFFVARPGATNEDDGVVIST >Sspon.06G0003730-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:9730982:9732895:1 gene:Sspon.06G0003730-3C transcript:Sspon.06G0003730-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGPTPSAAAASFRPPIPPPPPCFDYRAAVLADTRAAAAAAGDPALAGLVESGALVRVPRRRFGPVPAWRPPDFVEPDEVWILGTSHLSPDSVADVERVLRAVQPDNSPHCRAGIMYVSSDSSDEPLLKSNMFSLGGSKFFGAVNRSINLGGQSALALRLLLAVFSSKISSSANRPFGEEFRAARRVSEDIGAQLVLGDRPIEITLERAWKSLTWDQKTKLLISLFRGITSTTDTPDEKTAVSPYELYEKLSTSYPALLQPLIHERDMFLAWSLKRSKAVNKSKTVVGVVGKGHINGIVYALISDQGDLRFRDLVGRASSDTWVSSLIKGLVRDTIIGLVLWALYEQLQGVL >Sspon.02G0018290-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:58805396:58808960:-1 gene:Sspon.02G0018290-1A transcript:Sspon.02G0018290-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKGRRSKRRRSPAASLTDDLVVEILTRLPARSVCRFKCVSMTWRDLISAHNRKHPQSLAGIFTMHDAENMGQFHISPMFWAETAHWSPPGSTSCQQTGYIFWTAAMDSSFATTGLPSMTATYLCGDNGINFFAHYYSHVFLNNGCVHYLTSDPAIAVVDPQGKACRSIPVADNKDYGFIQQSQGRLHYANFEADDEDRVVRLHTAEAFDVLGREGSNLARDFEWVAIHPDCNMIFYTVGSDKTLLSYDMDRRQVQVICTLGQYTLERYLPYVPLFSETLNEDKFTSSLPENELRPQAAPFFRKGNIREQGKRWNCFCLKPTLTSPAITLGASRPA >Sspon.01G0017620-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:62100071:62104603:-1 gene:Sspon.01G0017620-2P transcript:Sspon.01G0017620-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCMDKAVPVDHNSSYQSKLGSKSISSSNPSTVKSGSTRSTWTVASYKDSRELPTHRTEGEILSSPNLKAFSFGDLKSASKNFRSDSLIGEGGFGYVFKGWIDEQTLAPSKPGNGMIVAIKKLKPEGFQGHKEWLTEVDYLGQLHHQNLVKLIGYCSDGDNRLLVYEYMPKGSLENHLFRRGADPLPWGIRLKVAIGAAKGLSFLHDAENQVIYRDFKASNILLDTEFNAKLSDFGLAKAGPTGDRTHVSTQVMGTRGYAAPEYVATGRLSVKADVYSFGVVLLELLTGRRALDKSKPVSEQNLVDWARPYLGDKRRLYRIMDSKLGGQYPKKGAHAVAGIALQCICNEGKMRPAMSEVVEKLEQLQDPKYNMVAPQVNTRRTSSSLSSGSVPRSPMKAQPSPRRLS >Sspon.03G0008250-3D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3D:27579269:27579901:1 gene:Sspon.03G0008250-3D transcript:Sspon.03G0008250-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MENGDETLASPTAAAEKAALNGGVADEEQVPITHPAKSYAAVAAENPAPNGGVAKEEEEEEGVAGAHTAAKSYAAVAARAEIEDLRAAKLDLEAKLAEARRENKSLAEETHRIEGVFMQAREEVTIAELAAASAEKEVASLRTEVDRLEALLKAEKGEHELDKESHEKLAKEVDAVRQEKLKLEEEISALKASATKEREAAPAAEAPKEGE >Sspon.03G0022020-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:67076115:67080987:-1 gene:Sspon.03G0022020-1A transcript:Sspon.03G0022020-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin carboxyl-terminal hydrolase 14 [Source:Projected from Arabidopsis thaliana (AT3G20630) UniProtKB/Swiss-Prot;Acc:Q8L6Y1] MDLLRSHLHKVRIPEPTNRIHKDECCVSFDTPVRLAVDKVLLAESADRKEQLAAWVADKKNISAYAMDLQQLDNGVIVPPTGWKCSKCDKTENLWLNLTDGMILCGRKLWDGSGGNNHAIEHYEQTKYPLAVKLGTITADLEAADVFSYPEDDSVEDPLLAQHLSHFGIDFSSLQKTEMTTAERELDANTNYDWNRIQESGKDAELLFGPGYTGLANLGNRYFEKQSLKAAFATAPADPTVDLNMQMTKLGHGLLSGKYSAPAKEGQEGIRPRMFKSVIAANHPEFSSMRQQDALDFFLHLIDRVEKANPGNHELNPCSGFKFVVEERVQCPSGKVSYNKRSLTFVECAEQLEAFNEKKAVMDLDGKE >Sspon.03G0014310-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:66977203:66981183:-1 gene:Sspon.03G0014310-1P transcript:Sspon.03G0014310-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVDKNADGRITAEEVKEIITLSASANKLSKLKERADEYTALIMEELDRDNLGYIELEDLEALLLQSPSQAAARSTTQSSKLSKALSMKLASNKNTGPFYHYWQEFMYFLEENWKRIWVMTLWLSICIGLFIWKFIQYRNRAVFHIMGYCVCTAKGAAETLKFNMALVLFPVCRNTITWIRSKTKIGAVVPFNDNINFHKVIAAGVAVGVALHAGAHLTCDFPRLLHASDAAYEPMKPFFGDKRPPNYWWFVKGTEGWTGVVMVVLMTIAFPWFRRNRLKDSNPLKKMTGFNAFWFTHHLFVIVYALLVVHGICLYLSRKWYKKTTWMYLAVPVLLYVSERILRLFRSHDAVRIQKVAVYPGNVLALYMSKPPGFRYRSGQYIFINCRAVSPYEWHPFSITSAPGDDYLSVHIRTRGDWTSRLRTVFSEACRPPTDGESGLLRADLSKGITESNARFPRLLIDGPYGAPAQDYREYDVLLLIGLGIGATPLISIVKDVLNHIQHGGSVAGTEPEGSGKAKKRPFMTKRAYFYWVTREEGSFEWFRGVMNEVAEKDKDGVIELHNHCSSVYEEGDARSALIVMLQELQHAKKGVDILSGTSVKTHFARPNWRSVFKHVAVNHENQRVGVFYCGEPVLVPQLRQFSADFTHKTNTKFEFHKENF >Sspon.05G0032880-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5C:23201841:23203414:1 gene:Sspon.05G0032880-1C transcript:Sspon.05G0032880-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVITALGTMVFVSLDGNRFGFEKSDVKIKDAPDASGQYVTAARVLALTTGRGPREDRTRWSVADTCHLRETPVGRDLAVIGASGHSPVRSREDRTRPVITDRTRPRVRCTYSKVPCTIGRVRSPLTGASGRSVENRDLTVTGDRRVRKAQFTPPLGHLDPFTTSKRSSPNLKPNNGKSRKSEEHRF >Sspon.07G0030950-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7D:14374695:14377609:-1 gene:Sspon.07G0030950-2D transcript:Sspon.07G0030950-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPAPPNAAAGDLFAANLTGALLAVASSAFIGVSFIVKKKGLRRAAAAGARAGVGGYGYLLEPLWWVGMVTMLIGEIANFVAYMFAPAVLVTPLGALSIIVSLSLLCGLCIGDLTDLDTSLRTPLWPDQHSGVCGNLLGDRIFDDLYAPLSPIYWHIQGNGDTGGKLKEDGLLSGDFITVVRQDYFV >Sspon.06G0004550-1T-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6D:11906729:11911315:-1 gene:Sspon.06G0004550-1T transcript:Sspon.06G0004550-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SFNPPAKFTVASPPQSSPSSPKHRPDLALLLSQLSANRIAHRASVATVLLRSGKAPPQSTSSWLSASTASHRLLVASLHSRGHYDDDRYMWAIIIRRFCDTRSSFPSHGALLRIHATPQKTPDTTTPRRRRTPGTRRPDATTPLRPADAAETPPPHPIAMPSTPTAVERISSGAVLLYDALSTRARSIGSSSNKTAPGVFLFDPARQEGLSGDNSGDEIGLPLWKFKIDADLLDVRELYRKHSPVYFQAISETLIH >Sspon.08G0021790-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:43464464:43465411:-1 gene:Sspon.08G0021790-1B transcript:Sspon.08G0021790-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSLARLGQSGETQHSRHYAYQQFARHLNSSTAQRSPTTCAPYIYIRTRPWTAEQQTNRLLFHSTSTYVFQPRTPMDRSNVAAAGAVSVEVRGGGGSPPAGAGGRKRRAVARGVQSTLSKTSMLANFLPTGTLLTFEMLLPAASGDGSCSAVSVAMLRALLALCAASCFLFHFTDSFRAPDGKVYYGFVTPRGLSLFRTGLGVEVPREERYRLAFVDVVHAVMSVLVFAAVALADYRVSGCLVTGHRKEMDEVMESFPLMVGAVCSGLFLLFPNTRYGIGCLAA >Sspon.01G0033080-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:111963176:111963643:-1 gene:Sspon.01G0033080-1A transcript:Sspon.01G0033080-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding HGRERSRSRREGEEGRGGAQGRRAEEEVGVAVRQGRAAVPRRPHRAVPQEGPVRAARRHGRPRLPRRRPRVPRRRGARARRQRGQGQQEDAHHPAPRAPGYPQRRGARQAAGRRHHRPRRRPPQHPLCAPPQEGGREGGQGAQVAQEGRQVPQEGL >Sspon.06G0032580-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:88580290:88585475:-1 gene:Sspon.06G0032580-1C transcript:Sspon.06G0032580-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding METLFLLHDIYAEVRMNHTPLKEITSHLHQWTIGVRAVRLCECKNKEQTPGLRIDFILIDEQAAQNMRRIHEGKEMQSKLVNIASVSNN >Sspon.06G0020990-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:11773146:11775367:1 gene:Sspon.06G0020990-1B transcript:Sspon.06G0020990-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGQAYMRQLQAHPLRTKAITSGVLAGCSDAVAQKISGASKLQLRRLLLIALYGFAYAGPFGHFLHKLMDRFFKGKKGKETTAKKVLVEQLTASPWNNMMFMMYFGLVVEGRPFGQVKNKVKKDYASVQLTAWRFWPIVSWINYEYMPLQLRVLFHSFVASCWAVFLNLKAARSIANTKKA >Sspon.02G0021080-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:96499069:96509342:1 gene:Sspon.02G0021080-1P transcript:Sspon.02G0021080-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKHSAESGVSMLLHGDLDVQIIEAKCLPNMDLMTERMRKCFTGYGACSTDCGKSENTHPDMRKIITSDPYVSVCLSGATVAQTRVIPNSENPKWDEHFYVQVAHSVSRIEFLVKDNDVFGAELIGVASIPVEHITPGDMVGGWFPISGQYSNPMKPSPELHLNIQYKPIDMNPLYKDGVGADGPQSVGVPNAYFPLRKGGKVTLYQDAHVPDNFQPQIEMDGGRTYEQNKCWEDICHAIIEAHHLIYIVGWSLYHPVKLVRESTKPVPNGNPVTIGEILKRKVQEGVRVIVLLWDDKTSHDKFLLKTDGLMHTHDEEARKYFKHSGVHCVLSPRYASNKLSIFKQQVVGTLFTHHQKCVIVDTQATGNNRKITAFIGGLDLCDGRYDTPEHRLFKDLDTIFKDDFHNPTFPVNKHGPRQPWHDLHCKIEGPAAYDILTNFEQRWRKSAKWKVSVRRAVSWHHDTLVKIDRMSWIVSPSADELNAHVVEEKDPENWHVQVFRSIDSGSVKGFPKLVQEAESQNLVCAKNLQIDKSIHNAYVKAIRSAQHFVYIENQYFIGSSYYWSAHRSAGAENLIPIELAIKIARKIKAKERFAAYIVIPMWPEGNPTTAAMQEILYWQGHTMSMMYKIVADALRKEGLHESHPQEYLNFYCLGTREGLNEVSSTNNSNENSALRLAQKFRRFMIYVHSKGMIVDDEYVLIGSANINQRSMDGSRDTEIAMGAYQPHYSWAGSGSPPRGQACLSSIALKQISHNTCACCIAVHLQVYGYRMSLWAEHLGTVEECFRRPESEECVQRVNQMADDNWACYVSPQMVDMKGHLMKYPMRVEQDGRVGPLPGQESFPDVGGKVMGTHSSLPNALTT >Sspon.06G0013000-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:51734945:51738798:1 gene:Sspon.06G0013000-4D transcript:Sspon.06G0013000-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosome-recycling factor, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G63190) UniProtKB/Swiss-Prot;Acc:Q9M1X0] MALHAVSPAAVSSPLRALSRSLPQRPGCGCLPKQSIVIYSSANFARLQAGPVDFAGRRLVLGRLDKRRVSSLACDSHVIRAVLTHATIEEIEAEKSLIEDQAKEKMEKAIETVQTNFNTVRTGRANPAMLDRIEVEYYGTPVNLKSIAQISTPDATSLLIQPYDKSSLKLIEKTIVAANLGVTPSNDGEVIRVTVPPLTSDRRKELAKTVAKLAEDGKVAIRNIRRDAIKAYDKLQKEKKLSEDNVKDLSADLQKVTDEYMKKIDSIQKQKEQVKTLLRLKANFQGHCGDVESLTSRAYDVTLC >Sspon.07G0019240-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:70001596:70003119:-1 gene:Sspon.07G0019240-1A transcript:Sspon.07G0019240-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GDAGAGAPLPAAGDDQDGLRRTAGAWISPSNWGDLRRPWRPATWPAVVVLARRSGGRRGPPTTSAHPRLGWLRFSVVGGLKPCSSHPFDCPAFQRKPRSHVPRAAPSHWWGFKFGPVLQPAPAKKKTRKRIAKKRDKPCALCDATQTPLWRTWPTDRRVMLCNACGIRVRTPGVVLPELVYLPHPATATTTVVSELQQPVPPSQEIQPVSDSPGAALPEKVYVHLPPPATAMTTVVSELEQPPSEEIQDSESPPDSPILESMIDVDVYLQRRTSPRREKSPPPPPPPPAEPAPAPGNEKDKPEKWCLHCGTTWTLQWRTGPAGESTLCNACGVRYRQGRLVPEYRPRASPTFNQSEHAYKHHEVLKIRKKQDHPAPPAVYKLFRTRKRRKGKGQQQPAQIRKKRKRSNDQNQPAVQAQPAAVPVLRHAGDELEQHLPPPPPELPRAADDRDTMVQHLPPPPAQPHAGGELMMDDEPLPPPDPFLFDGPAAPRIIDDDEPWVIVIDDD >Sspon.03G0009910-2P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3B:33392135:33394138:1 gene:Sspon.03G0009910-2P transcript:Sspon.03G0009910-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPAAFGKPYAAIYPHAGGFLHPFMPLMVNPLSAEPAKSVNSKDNSLNKKLKEIDGTAVSSGNSEKTRWLHHISKVSGTPKKRSLDDRTTSETCGVSATNDKPGESGRLPTLSNMHIPDATMKPCVSTGSDFRVSGATSIEWPAKDDKESKRERRKQSNRESARRSRLRKQAETEELARKVELLTAENTFLRSEISKLTESSQKLRMENAALMEKLAGGTSDQAQEASAGHPQTATAAPPSARVVKNFLSMMDVEGPSRGGGSRRMEHGAPRLRQLLGSGPLAADAVAAS >Sspon.08G0008870-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:33439623:33441256:1 gene:Sspon.08G0008870-3D transcript:Sspon.08G0008870-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGPYALRLSPPPRLHAMLFPQLRPHLRCRVSARVAAGGTSWSPAAGDSEDGVGGWWFPEYEKPMKQGRRRIGFGRAVVVGLGASVAIALAGLAWRFPSSRKRIQQLIVAPLHYVQEKMSTMESTETIKEDASDREYDEIEVSSAAHDKKAEAITDDSRQNCRTATASHFPFGVPTDPVHEEAFSILKKLQ >Sspon.02G0030860-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:113149976:113152499:-1 gene:Sspon.02G0030860-1A transcript:Sspon.02G0030860-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGDIIKLLSALATTRYPCHDSAFQAAAASITETHGSINLLINSAGILSIPNTTLSKVQKSSLMLAYEHMWPLLKIGGRSETGRGFALVANMSAKVSSIGDNALGGWYAYRASKTALNQLTKTVSVEFRRKDNIACILLHPGTVDTDLSRPFQRNVPKDKLFTREFSVHKLLSIIDNVKKSDNGKFFAWDGQEIPW >Sspon.04G0004070-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:12550268:12550666:-1 gene:Sspon.04G0004070-1P transcript:Sspon.04G0004070-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALEWVVLGYAAGAEAIMLLLLTLPGLDGLRRGMISVVRSALKPMMSVVPFCLFLLMDIYWKYETRPTCDDEHACTPSEHLRHQKSIMKSQRNALLIAAALLLYWILFSVTQLVVRLEQMQQRVDKLKKRDD >Sspon.05G0013100-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:13523501:13525445:-1 gene:Sspon.05G0013100-3C transcript:Sspon.05G0013100-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAPCCEKMGLKKGPWTPEEDKILVAHIQSFGHRNWRALPKQAGLLRCGKSCRLRWINYLRPDIKRGNFSKEEEDAIISLHEQLGNRWSAIAAKLPGRTDNEIKNVWHTHLKKRLEPTNKPEQRGAKAAGAGKKHRPKRGGAKKATVPATTAPVSPERSAASSSVTESSSMTEQEQEQGNTGSSPGFPKEESFTSSSDAEEFQFDDTFWSETLSMPLESFDVPMEPSDALGASSVGADGDMDYWLRVFMESGDVHQELPQI >Sspon.07G0020920-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:78435326:78436258:1 gene:Sspon.07G0020920-1A transcript:Sspon.07G0020920-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SIKPKRACLPVTCHHILPIEIHTLAAPIGHSYVSATPSLGYCVDGELKGSDAILSSRPDALLASGGAFLPCPSPLPPPSSYMPHYYSQPAPAIVPPPMFSQLHAIPHLSPTTPTSGMAAATPSPFRRALSTGDLIVRDREEEQRVATRYSAEERRERIDKYRSKRNQRNFQKKITVCLLSLHIGWFQLALAAYSNEWRRMQYTCRKTLADSRPRVKGRFARNGGDYTETETEADAVADHHVHVHVPAAAHQSESESESPAATAAAPEWWPGVQEEGINLAELCADDDEMLAAYLGVSSISIADRHYSCHP >Sspon.02G0027040-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:94182859:94189927:-1 gene:Sspon.02G0027040-2B transcript:Sspon.02G0027040-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSEASKKKAAQKKAAAAAKRGGKAAVASSSSSSATAAADKTANGVAALKLSDRTCTGVLASHPLSRDIHNNYYMVKCWQIESLSLTFHGHDLIVDSELELNYGRRYGLLGLNGCGKSTLLTAIGCRELPIPEHMDIYHLSHEIEASDMSALQAVVTCDEERVKLEKEAEILAAQDDGGGEALDRVYERLDAMDAGTAEKRAAEILFGLGFNKQMQAKKTKDFSGGWRMRIALARALFMNPTILLLDEPTNHLDLEACVWLEETLKKFDRILVVISHSQDFLNGVCTNIIHMQSKKLKLYTGNYDQYVQTRSELEENQMKQYKWEQEQIASMKEYIARFGHGSAKLARQAQSKEKTLAKMERGGLTEKVVRDRVLVFRFTDVGKLPPPVLQFVEVKFGYTPDNLIYKSLDFGVDLDSRIALVGPNGAGKSTLLKLMTGDLVPLDGMVRRHNHLRIAQYHQHLAEKLDLDMSALAYMMKEYPGTEEEKMRAAVGRFGLSGKAQVMPMKNLSDGQRSRVIFAWLAYRQPQLLLLDEPTNHLDIETIDSLAEALNEWDGGLVLVSHDFRLINQVAQEIWVCENQAVTRWEGDIMDFKAHLKSKAGLSD >Sspon.02G0005740-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:19098001:19101531:1 gene:Sspon.02G0005740-3C transcript:Sspon.02G0005740-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptide chain release factor PrfB1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G36170) UniProtKB/Swiss-Prot;Acc:Q9LVY0] MVDCPLLLLPAPRVAAAQYTRCAAGTAGGAPFLTWVNTVRSSSSGTGSQSSVADEPEAAEWALQDFYTLRKDVELALERVNEVRQSAGLEQLEEDIASLEKKSTDSSLWDDPSKAQEILVSLTEVKDRVKLLNNFKLQVEEAETIVKLTEELDSIDTGLLEEASKIIKALNKALDNFEMTQLLSGPYDKEGAVINISAGAGGTDAQDWADMLLRMYVRWGEKQRYKTRVVEKSPGEEAGIKSATVELEGRYAYGYISGEKGTHRIVRQSPFNAKGLRQTSFAGVEVMPLLPEESMAVDIPEEDLDISFTRAGGKGGQNVNKVETAVRMVHIPTGIAVRCSEERSQLANKIKALNRLKAKLLVIAEEQRASEIKQIRGDMVKAEWGQQIRNYVFHPYKLVKDVRTGCETSDITGVMDGELDPFIKAHLKFKLTAAATS >Sspon.02G0041970-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:83761148:83763810:1 gene:Sspon.02G0041970-1P transcript:Sspon.02G0041970-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKKASVRAAAAMGCGGDPQAPSRPTPKVTDGGTGTGRPASRLLSTRSLPSLLTDSAMSPASVAELSRNPACSSGRRNGSARSGGIGGSPAAAGLAGVLVAEEEAERGSCKNNRRVLLGMRLRVQLPPSGKAPGGGGGDLPGSPIEFGVKNRDAQLALLSPVQRSPLSSAAARLARRSEVEELAEEDYTCVIARGPNPKMTHIFEDRVVESRAGAVAGAGGGGGGGGGDACCFLSSSSSGCNKDAAFLLKEGGRHSFSEAEFSVCTRTDPVTCGISWDLEAQ >Sspon.03G0006670-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:23197765:23202893:1 gene:Sspon.03G0006670-3D transcript:Sspon.03G0006670-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDTSTVSLQDHTYLEAKIDGARGKFAFLDGDRVLNLPMFYLQGVVLFPEASLHLRVFQPRLVEAIDKAINHVDAPCMIGVPWGEVQIIEEDTPQRTPRDAFGQLAATNSFMQFASSTVDSDMDRDSLSPTSTSSDYSVTDKRIYLLGSRSSGLVRCGIADESSNEGQNSIPEQSCQSHESVKEIDGYGQPDKNTNTGDDDNLCFISSKSFQRARNKDTKQQKHYFATKNASQAPLSFWPRWAYEIKLPISESLRQELLEIDGISYRLQREIQLLKAFNIIRCRNCLTYIARRSDMVVTSSDSPMMTHSKPHRYTWTIALCSACQSNIGWLFRADNKNLHPRSFWAIRTSQISDDTQSRYN >Sspon.02G0008150-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:9284426:9287841:1 gene:Sspon.02G0008150-1T transcript:Sspon.02G0008150-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVSMDVSKPSALTAAGHEDATTKTRGGGDGLGKYYNQHIHDLLLAVQQRTNDLCRQEAQRNDLNSQVKLCREELHLLQEPGSHVGEVVKVMSKSKVLVKVHPEGKYIVDVDKSIDIAKLTPSTRVALRSGSYMLHVILPSKVDPLVNLMKVEKVPDSTYDMIGGLDQQIKEIKEVIELPIKHPELFESLGIAQPKGVILYGPPGTGKTLLARAVAHHTDCTFIRVSGSELVQKYIGEGSRMVRELFVMARGHAPSIIFMDEIDSIGSARMESSGSGDSEVQRTMLELLNQLDGFEATNKIKVLMATNRIDILDQALLRPGRIDRKIEFPNPSETSRFDILKIHSRRMNLMRGIDLKKIAAKMNGASGAELKAVCTEAGMFALRERRVHVTQEDFEMAVAKVMKKDTEKNMSLRKLW >Sspon.01G0034960-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:6506377:6507868:1 gene:Sspon.01G0034960-1B transcript:Sspon.01G0034960-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTTRSSSSSSLTATREGVDAVATTVREAWGVNDLHIFTADRDRPTRPTGERARRNGRQLELVKAAGPGTNRSKRESDDKKEGKYRGVRKRPWGKFAAEIRDPERGGSRVWLGTFDTAEEAARAYDRAAFAMKGATAVLNFPSGGGGAAGGRTSGGGGSSSSSTTSAPAARGTSRTRVPDSEKVELECLDDRVLEELLAEDKYNKNH >Sspon.02G0042130-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2B:81262570:81262711:-1 gene:Sspon.02G0042130-1B transcript:Sspon.02G0042130-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding WHFPGTVAGSTITNHTRGPPDCQDVQAMPALGLTLRAPVAPPSAPIS >Sspon.03G0016200-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:38154925:38157091:1 gene:Sspon.03G0016200-4D transcript:Sspon.03G0016200-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MITWVWSNVAWNTSDAEVAGEKVHSSSDRLAAPGRMNHREFLIIVYGNDFAVIAYQGQVKRSKGKAKSLRLPQPPALKEGVQLRKESSASVSALLSMGRHSCCYKQKLRKGLWSPEEDEKLMNHITKHGHGCWSTVPKLAGLQRCGKSCRLRWINYLRPDLKRGAFSQEEEDLIIELHAVLGNRWSQIATRLPGRTDNEIKNLWNSSIKKKLRQKGIDPNTHKPLAEVEHSKAAPTISTERTSESSDVDPSSGGALGNLSHLLSETAQSPELLPVLGKHRKETTSLAHLRVPPKELFLDQLVSGHENLTSCRPTGPIPNFPFQQLMCYNNEFGNKNGGSNNSFWFNQNESSGSTISTVMPPVSPSTLSTSTGLNRSPDNPHSGGTGLQNTQFYWETANPSSSSSRGSSGSNGLGFELQSTSSLLESSVFPWTDLTPDKNSQVHLEEELKWPDLLHGTFTDTPATMQNLSQALYEDVIKAESQFNIEGLCAAWSQNLQPQQHLQ >Sspon.06G0007400-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:28526890:28530784:-1 gene:Sspon.06G0007400-1A transcript:Sspon.06G0007400-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEFYRIIEDIIKMSKKKNPGGKKPPRQPIKKHPFQNGNSNKGNGKVQRFMESRSTIRQGVLAQRRSNLGGSQFSATKQAAKKAAAMPMRNGAVRWNKPSASTLVQRRPVGDAFQNAKVKESQNQAAPRTMDALFAQMKAQRMRTVPQQQANPAPGRHFNQQRRVQQQQRRGRGYGGRNVGNQ >Sspon.06G0034080-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6D:37873431:37873907:1 gene:Sspon.06G0034080-1D transcript:Sspon.06G0034080-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SSSSSGASAGGERAAEQPRLRGVRKRPWGRYAAEIRDPARKARVWLGTFDTPEQAARAYDAAARRLRGPRATTNYPAPAPVASASGGSAVEESPSSSTSGRDDSSLAAMAAVAPAPSSTTLELPVTATPAPALRQFLPPRSEEEKSCYARWSSSSSSGQ >Sspon.04G0001610-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:6901334:6905114:1 gene:Sspon.04G0001610-2C transcript:Sspon.04G0001610-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAASTTPAAVLASRLLLRRSPRLLRRLSVSRAPPAALASSSGRPLPPLARHSLGHRARMGHTAAAAAAAAEPALGLTKPNAIEPPQVTFSAKDVEFSEWKGDILAVAVTEKDLSKDADSKFENAVLKKLDAQLGGLLSEAAAEEDFTGKTGQSVVLRLAGQGFKRVGLIGLGQNAPSTAAAGRGLGESVALVAKAAQASSAAIVLASPSGIQEEFKLTAAAAIASGTVLGLYEDSRYKSESKKVHLKQVDIIGLGSGAEVDQKLKYANDLSSGVIFGRELVNSPANVLTPAVLAEEASKIASTYSDVFTATILDVEKCKELKMGSYLGVAAASANPPHFIHLCYKPTDGMSRESWLFGGYNIKTGPGCSIELMKFDMGGSAAVFGAAKALGQIKPPGVEVHFIVAACENMISGTGMRPGDIVTASNGKTIEVNNTDAEGRLTLADALVYACNQGVEKIIDLATLTGACVIALGPSIAGVFTPSDELAKEVTAASEVSGEKFWRLPLEESYWESMKSGVADMVNTGGRQGGSITAALFLKQFVDEKVQWMHIDMAGPVWNDKKRAATGFGVSTLVEWVLKNSSS >Sspon.01G0044730-2D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1D:79670886:79672154:-1 gene:Sspon.01G0044730-2D transcript:Sspon.01G0044730-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSMAGGGAPSRSASTIVANIASGYHILKIDGYSHTLATPTGKYIASLPFTVGGHRWYIRYYPNGNKSEAKDYISLFLHLHDSVTKPVEAQIKFRFVGVVAEQPLTLGELHSFSFGKGWGHYLIKSEDLEQSKHLRDDSFAIRCDVVVVTNEFRVEEAPESTAAAARISVPPSDLHQHLGSLLLTEKGADVVFDVAGETFAAHRCVLASRSPVFSAELFGAMKESDTGGVVHIEDMEPRVFKALLYFVYTDLFLMTEMTKEVEEGVFEAEEGDVDDDDDDEGVFSQHLLTKEAEEGGNDDGDEGVFSQHLLTKEAEEGGIDDDDEDVLSQHLLVAADKYNLERLKLLCESKLCDYIDVGTVATILALAEPHHCHELKKVCFHFLSSPANLRAVVASDGFKHLSRSCPSVMEELVAMLGNLVP >Sspon.02G0016570-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:46124194:46125291:1 gene:Sspon.02G0016570-1A transcript:Sspon.02G0016570-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQVCCDSAAAATAAAPVVGAEAEARARARAERRRRAAEAGAWKHAAAAAGGTADATRKRSLEAGELLVARKHGAASVAGRRREMEDAVSVREAFARAEGKADGHGGRRDFYGVFDGHGCSHVAEACRDRMHELLAEELDAGAAGVSCSPAASWTAAMERSFARMDAEVMSAGGRAAAAASGGACRCDAHKCDHVGSTAVVAVVEERRVVVANCGDSRALLCRGGDGAPPVPLSSDHKPDRPDELARIEAAGGRVIFWEGARVLGVLAMSRAIGDGYLKPYVSSVPEVTVTDRCDGDECLILASDGLWDVVSNEDAAEVARACLRRGRAKWCAEAAALLTKLALARRSSDNVSVVVVDLRRRNRH >Sspon.07G0024730-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7B:28763021:28763762:-1 gene:Sspon.07G0024730-1B transcript:Sspon.07G0024730-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLPSLKPLAIGLGEEEGGRDQIYRRENSSDGLLQITRTHLGALAEALLQPRHRLRSRGHLQFVSAAPHVVPEPAELPGELPQLLLTERFRVRLPPEANPVGRRGPEHLLPDGIHPRLLLGHARAGLLGPPRRGLHHLALAVVVAAAGERGEPADDAFDGGGAHCGRLAAAEEG >Sspon.07G0017980-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:80663689:80674250:1 gene:Sspon.07G0017980-3C transcript:Sspon.07G0017980-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKADDLVSKLSSMWEVDSGSGVRRVLSQEYSSLKQLMTLMMDDDISQHLQIIESGLEEGGDIISVKENMIKAWLFCHEQRIRIIYGRTMELPLVLPSGIRELWLSSCSITDGALAICLGGLTSLTKLRLEYNMALTTLLSEEVFEHLTKLERFEIIACWCLRSLGGLHAAPSLSSLNCTDCPCLELAHGAELMPVNLDCNLYIRGCILAADSFINGLPHLKFLSIYSCRSSPSLSIGHLTSLESLELFCIPDLYFLEGLSSLQIKGLSLIGVPNITANCISQFRVQKSLAVSSSLLLNHMLMAEEFTVPPFLSLRNCMEPSVLFEEPTNLSSVKRLDFMFCKMESLPRNLKFLSGLEVLFIDYCPNIASLPDLPSSLQSIEDYSSQKQLMTLMMDDDISKHLQIIESGLEEGGDEVWMKENIIKAWLFCHEQRIRFTYGRAMELPVVLPSGLELSLSSCKSMSFNLAECLSICSCVLPADSFINGLPHLKSLSIYSCRSSLSLSIGHLTSLESLDLFRLPDLFFLEGLSSLQLKHLSLIDVPNLTAYCISQLHVQESLCVSSSVLLNHMLTAEGFTVPPHLSFDDSKARSCCPVLRKNCREPDGESWPKISHIRWKDSVFFTLLLSFSVICLLQKHLIHT >Sspon.05G0018510-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:76131262:76138205:1 gene:Sspon.05G0018510-3C transcript:Sspon.05G0018510-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLFSTPAKVYQPAAEVDLGPGSAEHYISPNVKAPRVAGFLVKMLAWVLETPVLGWIVLSVLKRDNLVYKLVSDAEIPEPPLFTATHTWQAIPEQNVSATEPGLSPAERVQAAVACIPADLEPAAALGDGASFRRWTVQDFHRAYTSGQATPIMVARRFLAAVEECSGPDLNMGLFVSCDPGDVLRQADDSTRRYQQGAPLSAMDGVLVAVKDELDCLPYPTTGGTRWLGSARRCVADAACVAQLRACGAVMAGKANMHELGAGTSGINPHHGSTRNPYNVGRVSGGSSGGSAAAVCAGLCPVALGADGGGSVRMPAALCGVVGFKPTAGRLSNSGLLPLNWTVGMPGILAATVEDTLIASVPTSLSFHHKPNLIHLYFVANDDFVSIFSFSYAAIADQSKPSPLQQPELNLPLLTCTRSMPNIRLAKYAKWFDDSSEDIRSLCGKALQMLRTHYGWESVDVTVPEIEEMRLAHYVTMGSECTASLAKYLDNMDRSEIGWDVRIALSAYGSFSSRDYLNSQRLRCRQMYFHEKIFETADAIVTPMTGVTAYALQDDALSTGELDYINAAALVRYSIAGNFLGLPAITVPVGYDRGGLPVGLQFIGRPWSEATLLHLAYAMQEACGKEHRKKPKVHYDLLTKE >Sspon.02G0002680-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:8799784:8802492:1 gene:Sspon.02G0002680-1A transcript:Sspon.02G0002680-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRACPPPPTSALRWRRRTSFSGATDAPVPSSTGLRRLGHRVATGRFTLYMSGNDDKPHAAADRIKAATLSVAKGLSRAQAERAAAAAARNVNAYGQKEEGPSRWQERKEAKRQMYLMSTEKAAKLGTVKPKTSETSSVGAYTQCQKCFQHGHWTYECKNERVYISRPSRTQQLKNPKLKKSVPVSYQFENPDIIKEREEEQKLMKEKQKKEKAERKKGKSKRKHRSPSDSDSNSSGASVFDSDSESSVTGSEYSSGSSSSYSSSDSEDKKRQHRRKQKKRRHRRDSTSSASSESESASDSDSDDKGSRRKSKRKSGYEECMELKIQDNCWLGT >Sspon.07G0002190-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:4123404:4127280:-1 gene:Sspon.07G0002190-2B transcript:Sspon.07G0002190-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPARPAAASVSGAFGLSPDPKRCSFDQALRQKDFQENRLLMSFVNFHEQEKISKEIVTDAIESCMKKQADNLLNSLEVISGRLSQLELYCYKLERSIGELRSDVMDYHNEGNLNFQCLEKQVKEVQKSVQVVQEKQELAETQKEMSKLQIVHEDSAQKSEGTAPSVFMTRENEVALVPLHQVNAVQSPAVQFQSCSGLILQQLVPVQDHQRSNQTAVYCMRGQSHPEHRQAQMFQAAAQSVQTHTRKTQPQTVVEVPQVSSQAPEFYPQPQHQWQHQTGQQVQSQARQPQPQVVQQQQYSNIQQGPAQMIQLQTSSPHAHSTPQVTLVYPPYGAHQSACGNVEARTGGMVVPPSYSTISSSQRKHHEAAPIYVQSNTVSVPLAEQHQQLHSLNNGSFVPQPSKVNPCGVTSYTVQGNAQTYSPAYGSPSSNPATIVAVLNQQAHCSAPMVLHHLGPQSVQNHPVDIAEKVAWMGYSKDQAEGLALRMVAAGQPAEYNHLHDRLSSVGHGVAPHAWSG >Sspon.01G0028870-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:100481649:100484203:1 gene:Sspon.01G0028870-1A transcript:Sspon.01G0028870-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGFASYLAMKTGPERGGAAAAAQQALIDADLRELGVAARKLANHAFVLGGGLGFGTSFLKWLAFLAAVGEVGKWIAIIAVILRLFFPRHFPDWLELPGSIILLTVVAPSLFADTFRGDLVGVLICLAIGCYLLQEHIKASGGFRNAFRKGNGVSNSIGILLLFVYPVWAAVLRVL >Sspon.01G0044160-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:77585769:77596709:-1 gene:Sspon.01G0044160-2C transcript:Sspon.01G0044160-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAAELARLLCPRAPPRPSLPQSSVYSQRPQSLLRALPRLRPPICLRCRALDASRPVAVEGERDEEDGLEDEEESYFSVTSSGLSEVDYLGESTRGDLNVRRERLEALGGNGKSTLHGPIEEIAWKEAGEAETLLHDLGIAAPFSVRHSPRGIFCTRTLNLRSISVVGYDMDYTLIHYNVMAWEGRAYDYGMDNLKSMGFPVDDLKFDPDLVIRGLVIDKEKGNLVKADRFGYVKRAMHGTQMLSTRAVSEIYGRELVDLRKESRWEFLNTLFSVSEAVMFMQMVDKLDQGLVPAELGPLDYKGLYNAVSKALFRAHVEGQLKREIMAEPERFVEPDPELPLALLDQKEAGKKLLLITNSDYHYTNKMMNHAFNRFLPNDVGWRDLFEMVIVSARKPEFFQLSHPLYEVVTDDGLMRPCFKVKSGGLYSGGSAQMVEKSLDIHGDEILYVGDHIYTDVSQSKVHLRWRTALICRELEDEFDALVKSHDQKEKLVTLIQQKESVGDLFNQLRLALQRRSNSRPAQTLAATCMDDQEVTESMQKLLIVMQRLDEKIGPMLEADGELFNKRWGWLSRAGLWDKSHLTRQIEKYADIYTSRVSNILHYTPFMYFQSQEQTLAHDAHSYSGGKAIKVH >Sspon.08G0026150-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:17382307:17383294:1 gene:Sspon.08G0026150-1C transcript:Sspon.08G0026150-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding NVLEASRAANVRRVVVVSSVAAVIANPNIPDGAVVDEDCWSDEDYCRATKVEHNWYCVSKTVAEREALAYGERTGMDVVTVCPPWVLGPLLQPTVNATSMRFVAYLKGENTDEKRRNMVDVRDVADALVLTYETPEASGRRYICSAHAMKVSETVGLVSSLHPDLKLHSPRKFVQMEDEKGVSSKRLLALGWKFRAVEETLRDTIDSYKAAGILN >Sspon.08G0007100-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:22135702:22138141:1 gene:Sspon.08G0007100-1A transcript:Sspon.08G0007100-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARVVPTGRHEGGHAHSRNTLRPVPSLPPHFLYLPSSDRGCGFTLSVADLFGLLISLQFPVDRRVRVICVGWGDSDQFRSFSSVLMAWLAVALCRLFSMGLVKEGVDMEEGTLEIGMEYRTVSGVAGPLVILDKVKGPKYQEIVNIRLGDGTTRRGQVLEVDGEKAVVQVFEGTSGIDNKYTTVQFTGEVLKTPVSLDMLGRIFNGSGKPIDNGPPILPEAYLDISGSSINPSERTYPEEMIQTGISTMMS >Sspon.03G0011360-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:30881407:30883957:-1 gene:Sspon.03G0011360-1A transcript:Sspon.03G0011360-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFYVLALCQGSLYIVACILALFSFFPRRSLVRRSEFGGKWGAKAVDLYYERAYTTRMEAGVFAEDTINLASFAVDSLSSTTSSSTSSSREKQLAGVRVLHSLLQRDSSQEIISVITRSEKAVPTLIGMLGWTFEEDRDIRLFAASVTKELSGNLRIAGVPGAVKLVSSLLDAEKQSASVNNEGGRDDGPGRLGPQADGDNQIGGSTGNNGDNVANNQPQDEESLGNAGNASNRPGHEQEQSEQRGDNHGARWWCWVCQCWPLMKKKWSIPDEEPLTSSLPMLGLEILEGLACDTDNCAEIIKVTNLVPKIIGLTSYNESSTLKPLISSSLNLLRRLATRNGKIGVTLRQELWENPFLLSNLAGILENSRSCSTEVLKPAMDIIAIIAWDEVARQELGSVQVIIHKLVLVFVGQDGPTNHDQSVRVAAGEALTNLALESPANCLAILEERQPGYELVKDLKDMLGNDQYRCVAASLLQNLCACSRIRDRLRGPEASNHLSSDALLVVLENIKTAEGKQLEALIGLASQICYVLPPQCFVQGFEPHIIGETCVKLVGTLNSNKRPNHEYPRMRRAIVEMVISVLERYPHYAIIFRGGGMMNALSKAGMNPSKVEKYRIFLGNEGVVLENGMPLRYLVARARGLIDSATLTPGAQPNGHA >Sspon.03G0028940-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3B:12332898:12333352:1 gene:Sspon.03G0028940-1B transcript:Sspon.03G0028940-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAKPVLSLLVHLHAALLFLPDPAGGAVYNVLRYGARPDGATDAAGPFHRAWADACRSPRPATVYVPPGRYLVRSATFTGPCRSRAVTFAIAGTVIAPARYGARGSSGRWITFENMDGLVVSGGGTLDGRGRALWACRRRGQRDCPTPT >Sspon.02G0041500-3D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2D:70129830:70130163:1 gene:Sspon.02G0041500-3D transcript:Sspon.02G0041500-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLRVVLLVLALLLAATAVVSVLLLGEDDDAVVAPAPPFNSRVKAISWQPRYINSDIEHVVVGGGGARTHMLTMRFLFRGFRSGASMCICRIFVYKGFLSDDECDHLVTLV >Sspon.02G0042390-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2D:77610690:77613395:1 gene:Sspon.02G0042390-2D transcript:Sspon.02G0042390-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DEAREGAPAASDRGRGAGVRERQAVQRHPPAAPGARQAYGRQGPPGLREPQAIPARVTAPARAAPGAGHRRPLPQHPEPRRRPAQAAARGQRCQHGEGGGEAAAGSAGGRLVPLVAGEHGGRRRRRRRRRGHHQVARQRAVAGLLRPTQGV >Sspon.07G0003610-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:8612451:8617149:-1 gene:Sspon.07G0003610-4D transcript:Sspon.07G0003610-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding HLRRDINDEQGAQYRQRSLRGAIQGDANGDHASQWHQENRFYWDRRAQPKDPTPDYIVIMHFSELQLLPNNAVREFYVNINGELFYSGGVTPDYLRSNAVFNTVPLPSYPRYNVSINSTANSTLPPFINAVEMFSIISTTNFGTDSQDGSRTNTVKPQNETPVSHVPPAPGDAHAQSSLQLQNRRFTYNELQAITNNFEHVLGKGGFGKVYSGFLEDGTQVAVKLRSQTSNQGVKEFLGEAQILARIHHKNLVSMIGYSRDGQHMALVYEYMSEGTLHEQIAGNSGNRRCLTWRQRLRIAHESAQGLEYLHKGCNPPLIHRDVKATNILLNEKLEAKIADFGLSKSFNHDTSTQSTNSLVVVGTLGYVDPEYCRTRKPTTKSDVYSFGVVLLELVTGRPVILDDPDPTNIIDWARRRLARGNIEGVVDARMHGQGNYDVNSVWKAADIALKCTAQESTQRPTMTEVVTQLQECLQLEEGHHAGGDDAAIGSFYTGTSRDPSSAADGQSVDTSQSSTAFEMEQNFRRVPRMDDTSGPVAR >Sspon.03G0010920-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:45850930:45857205:1 gene:Sspon.03G0010920-3C transcript:Sspon.03G0010920-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MENSSSNGSLFVSAVGIGVGLGVGLGLASTQLMASSPRGDGSLGGGATAADIELELRRLLVDGQETKISFSNFPYYLSEEMRLALMSAAFPYLSQTILPKHIKVFKDSSRTILLCGQSETCLRSLAKAIANQFNARLLALDIFEFLHQIQHKYGGSSNAQVEVGFSAPVWNLDVKILLECLYKIIVSASTCSPVILYIRDVDIILRSSPRAFCMFQKMLNKQFGRVLIIGSHFLDDNQDSDDINKDLTNLFPYILETRPPNEEAHLQRWTRQMRHDMIKARDEILKHQIVGGLSSYNLECDDLSSISLDDYVEIASYLEDILAPAVSYHLMNNQDPKYRNGRLILSSTSLCYGLRIFQESNLEKDLVEIKDNSKVTKYNEYEKRIRELVIPASEIGVTFDDIGALADIKESIRELVMLPLQRPDLFNGGLLKPCRGILLFGPSGTGKTMLAKAIANEAGASFMNISMSTIMSKWCGEAEKSIQALFSLAAKIAPAIIFMDEVDGMLGTRERSNENEVSRRIKNEFMMHWDGVLSKQSEKILVLAATNRPFDLDDAIIRRFEHRIMVGLPTLESRELILNKLLSKENIEGIDLKELGKMTEGYSGSDLKNLCVAAAYRPVRELLQKEKQMKKDKNEKEVQGKNVHVENPQNEESKQEKSKDSKDMEAISEEGDEDEIDEVITLRPLTMEDLKQAKDEVSASFASDGVVMNEIKQWNELYGKSGSRNRQKLTYFLYLASKVSK >Sspon.04G0001510-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4B:2558406:2563533:-1 gene:Sspon.04G0001510-2B transcript:Sspon.04G0001510-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPSPGSRKRRDTGAIADRPLRRTWPGAHGAAAVEEGKEGPVEGELGPEQRSAEAGAPPRAGSMRRSASKGEQGGGGGGGEEGGAAAGERRGRGPPAPPWEPRLPPPPRRPEPEEAVALPAPAPEPELAWSPPSRRRGRCSPGARRATAGAAAPPARGPRAAPEPALAWSPPSRRAGPRGSPRARRGRAGACARPSPRPRRSPRALAEATPEPARACRWEQRIEEREDRERGLGAAARSTRYRRIEDLLACCQSMRICDAMTWESQQPGKMRCMRQQDAIEDIVVVGAGLAGLATALGLHRRGVRSLVLESSPALRTSGFAFTAWQNAFRALDALGVGDKIRKQHPQAQAYVTILSHPKLLVSPNSLEDWKSGHWSDALLPRETDSPQDSSDACRLRVMSSLTGEVAQELDLTVQPKRTLRTLLCSIGGLLFSSGAVCPSLRLQVLIGCDGINSVVAKWLGLAEPTYSGRMAARGLARFPDGHGFQPKFLQFNGRGLRSGKRPCNDTDIYWFFSFTPTENGYGTKLKRFVLANLAALKVPAKALAVIEASDDVFAVPLRFRPPLSLIAASISKGGVCVAGDALHPMTPDLGQGACAALEDSVVLARCLGTAVLGDGDGGTGTDQERRRVDAGLREYAAARRWRSVQLIATAYVVGFLQQSNNAVVSFLRDRVLSGFLARTLLKMSEYDCGTL >Sspon.01G0062260-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:102098977:102104958:-1 gene:Sspon.01G0062260-1D transcript:Sspon.01G0062260-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MANTGSSSSSAPVLPNTTGRGNGVTYPDIHLTAAKFTVARPLVGEWVGHRANTDTEHHRASTRRRHAQPLASMRTGEGAHGGAIFSSGTAMASSAAALVGKEREKEMERMSFNLMDAIERIPKLKGDNYNEWKKKLDLAFVLAEVEWIKTTPCPVEPEAPVRRADESDVDWNKRERDFAPIELAYSIDKKNCVDGFDSFITFTDDYSRYGYIYPIKERNEALDKFKIFKAEVENQHDLKIKVVRSDRGGEYYGRHTPYGQVPGPFARFLQENGIVAQYSTPGEPQQNGVAERRNRTLMDMVRSMISYSTLPVSLWMEALKTAIHILNRVPSKAVPKTPYELWTGRVPSIKHLRVWGSPAEAKVFNPNIGKLDPKTVSCHFIGYPEKSKGYRFYCPDRYTKFVETRHAVFLEDDMMRGSVAARKIDLEEKRVTAPTPMIQEPYFELPVFATPSVPDTTVSAPVIVPHAATINDNVEPVLQETIEPITADGGQQQPQDVYETEEFRMEDDPTSYEEAMSSDHSSKWLEAMQDEMKSMKVNKVWELETIPKGAKTVDCKWVYKIKYDSRGNVDKFKARLVAKGFTQREGIDYNETFSPVSCKDSFRIMMALVAHYNLELHQMDVKTAFLNGDLEENVYMAQPKGFVVEGKERMGCRLKKSIYGLKQASRQWYLKFDHTIKGFGFKENIEDNCIYAKFKNGKYIFLILYVDDILLASSDVTLLQETKGFLSSKFDMKDLGEASFVLGIEIHRDRNKGVLGLSQKAYIEKMLKKYSMHKCSPSPAPIVKGDRYGDHQCPKNSYELNQMKAVPYASAVGSLQYATTCTRPDLAYVTGLLGRFQSNPGQEHWKLVKKVLRYLQGTKSLMLTYSKSDSLEVVAYSDSDYAGEERKSTSGYVFTLAGGAISWKSCKQTVTASSTMYAEFVACYEASGQVNWLKKFLPGLKVVDSIHRPLKLYCDNYPAVQYAHNNRSSGAAKHIDIKYYVVKDRVRDQMISLEHISTEKMLADPLTKGLPPNVFKEHVAGMGLRESL >Sspon.02G0036510-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2B:25185127:25186741:1 gene:Sspon.02G0036510-1B transcript:Sspon.02G0036510-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPYLLAAGALVVFLYVTKNRRSSKLPPSPPSLPLIGHLQLIGRLAHRSLHELQLRYGGGGGLLFLQLGRRPTLVVSTAAAAADLFKNHDLAFASRPRSVGGDKLMYGCSNVSFAPYGDNWRRGKKIAVVHLLSPRRVESFAPVRAAEVAALVARTRLAAEAGEAVEMRELLYGYTNAVVTRAATGAAGATAEKLKQLMGNSAALMAGFQPEDVLPDAPARFVRWATGLDKKLEDMAAVWDKFLSEIVATHKEKGGGDAGEEDEDFLDVLLRLRKEGADGLELTDDRIKATIEDMIAAATETTSQTLEWTMAELIANPRVMDKLQDEIARVVNADQPAISEPDLNRMEYLKAVFKEVLRLHAPAPLLVPHESTTPAVVQGYEIPAKTALFVNVWAIGRDPAVWDAPDEFRPERFMSGSPSVDFRGTDYQLIPFGAGRRICPGINFALPVLELALVSLLRHFEWELPAGMRLADLDMGEAPGLTTPRRVPLVLVPKCKTLVQAAL >Sspon.01G0051840-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:18284477:18295363:1 gene:Sspon.01G0051840-1C transcript:Sspon.01G0051840-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKDQGGGAASSGGGFFSSFAAGMRSWGTAVHKSVNGLLGYEGLEVINPDGGTDDAEEEALRGRWKQEDRDSYWKMMHKYIGSDVTSLVTLPVIIFEPMTMLQKMAELMEYCELLDKADECEDPYMRMVYASTWAVSVYFAYQRPWKPFNPILGETYEMVNHQGITFLAEQVSHHPPMGVAHCENEHFTYDITSKLRTKFLGNSVEIYPVGRTRVTLKKSGVVLDLVPPLSKVNNLIFGRTWIDTPGEMVMTNLTTGDKVVLYFQPCGWFGAGRYEVDGYVYSAAEEPKIMITGKWNKSLSCQPCDQEGEPLPGMELKEIWRVAPAPQSDKYQYTHFAHKINSFDTAPKKLLASDSRLRPDRYALEKGDMSKSGAEKSRLEEQQRAEKRTREAKGEQFTPRWFNMTDVVAPTPWGDLEIYEYNGKYAEHRPAIDSSNVTDETDVTSIEFNPWQYGSSSSQ >Sspon.07G0009920-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:4652239:4658169:1 gene:Sspon.07G0009920-4D transcript:Sspon.07G0009920-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAVERRREDHSRRSRSPARDRERRGTPPRRRSSPERRKGSPARARSPPAKSSASHRDRDRDRSPPREKVKERVKSPQSPAKVSLSHKGRERSPPREKAKDQRFRSPKHTQEQSRSPSPARRHVSRSPSPRTKRLRRAQGEREVAQVTASDRRKASHREEQDTVRHREHDEGRDASTDRKVDKEAGQVTNGDRRKSLHREERDSRGKHREHDEGRDASRDRKLDREDTRGTAKDKKYDRDDGKDHSRERRAGKDDKSGASKETLPSRDDDRHGRPNRDDWKSASSREQRLDRGDRRDSTREKPTDHEESNGGSGRSSRRGRTVSPEEYRHQGRHESHPSPRVSRSAARTEDINSRGGEASWSGDPDALARMNATAEALEVKEKVQKPSFELSGKLAEETNRVAGVNLLYSEPAEARKSEIRWRLYVFKDGEPLNEPLYVHRMTCYLFGRERKVADVPTDHPSCSKQHAVLQYRLVEKEQPDGMMAKKVRPYLMDLDSTNGTFINGNRIEPRRYYELFEKDTLKFGNSRLEIGQLELLACDFFT >Sspon.05G0024100-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:12458423:12464720:1 gene:Sspon.05G0024100-1B transcript:Sspon.05G0024100-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKASVALFLAVNLVVFAVASASGGDCPTPTPSTPLTPTPTPASFGKCPRDALKLGVCANVLGLIKAKVGVPPTEPCCPLLEGLVDLEAALCLCTAIKGSILGINLNLPVDLSLILNHCGKTQVLLYSVAMAGNASVALFLAVSLVVFAMASACGGDCPTPPSPSTPTPTSASFGKCPRDALKLGVCANVLGLIKAKVGVPPTEPCCPLLEGLVDLEAALCLCTAIKGKILGKASSIALFLAVSLAVFAMASACGGNCPTPSTPSTPTPTSASFGKCPRDALKLGVCANVLGLIKAKVGVPPTEPCCPLLEGLVDLEAALCLCTAIKGKILGINLNLPVDLSLILNHCGKTVPTGF >Sspon.01G0012790-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1B:41690062:41690361:1 gene:Sspon.01G0012790-2B transcript:Sspon.01G0012790-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHIKMEAALELSGRWQQATDASLLRWRRKLRRAADECDAALHRWKLRELQEEDARERLERAPLPRRVAHAVLCFVSGLLLLIARRGGDDECSRACAAVQ >Sspon.08G0005600-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:17219395:17223164:1 gene:Sspon.08G0005600-2C transcript:Sspon.08G0005600-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAGKSVCVTGAGGFIASWLVKLLLSRGQYAVRGTVRDPGASKNAHLKALEGAGERLQLLKADLLDYNSVALAIAGCEGVFHVASPVPSSRSSNPEVEVIGPAVTGTINVLKACYEAKVRRVVVVSSCAAVFANPNWPKGRVFDEDCWSDEDYCRKNEDWYFLSKTLAERDPETVENRLRNMVDVRDVADALLLAYEKSEASGRYICSSHPLKVSDMINILKTLYPTYNYPKNFVEVDDDSVFSSEKLQKLGWTIRPIEETLRDTVESYKAFGILN >Sspon.07G0023140-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:13461474:13467697:1 gene:Sspon.07G0023140-1B transcript:Sspon.07G0023140-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AMWTYMLPNPSLCIFQPKTQQLQKSDKYAIDIWSQKSTGIKAMLGRGSPKLHLMQAASSKKKKRPSPKASGTGAEAASVVIIQATKPNRKTWEGIGRDRVGAWQGDWVGVRGSPNPDVECFSREFWSPVAKRSLRVHAVGSEVYGA >Sspon.02G0007640-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:24934605:24937297:1 gene:Sspon.02G0007640-3C transcript:Sspon.02G0007640-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPKPPSSPAGATEARKRGGRGKAIWLLFQFRIHPGSSGCIGNLSKSQSLPDSKLKRPLRKKSQDSSTGNRRRWQSGLEAMEKNVSISVSLEGNISSIPNSIVNDSKTSMENGVDTSFINHAAEAWAEMRRQWVGHQTEIPRKAPREPVISWSTTYDDLLSTSEHFPQRIPLSEMVDFLVDIWHEEGLYD >Sspon.08G0003790-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:10785230:10788107:1 gene:Sspon.08G0003790-1A transcript:Sspon.08G0003790-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKKGNKKTIRKTRSQWDSNQQSPASEASALSIRPCDQQTLFYNFALLLILFSNVLLPNHAGGSLETDSRLHVRITDADHRRWEVPQSVIPREALRDVTLGASTGASPYSRVLSAATSDLTFTLHASPFRFTVSRRSSGDVLFDTTASLVFKDRYLELTTALPADRASLYGLGEHTKHTFRLQRNDTFTLWNADIAAFNVDINLYGSHPFYLDVRPAAAHGVLLLNSNGMDVEYGGSYLTYKVIGGVLDFYFFAGPAPLDVVDQYTQLIGRPAPMPYWSFGFHQCRWGYKNLADLEDVVAGYAKARIPLEVMWTDIDYMDAFKDFTLDPVNFPAGPMRQFVDRLHRNGQKYVVIIDPGISVNETYGTFVRGMQQDVFLKRSGTNYLGKVWPGNVYFPDFFNPRATEFWAGEIALFRRMLPVDGLWIDMNEISNFVDPAPLNALDDPPYRINNSGVRRPINNKTTPASAVHYGGVREYDAHNLFGFLEARATHGALLADTGRRPFVLSRSTFVGSGRYTAHWTGDNAATWDDLRYSINTMLSFGLFGIPMVGADICGFGGNTTEELCSRWIQVQAFVQGSLSTSMPIPLTRMSCAELSCLLVKLGAFYPFARDHSAIGTIRRELYLWELVARSARKALGLRYRLLPYLYTLMHEAHTTGAPIARPLFFSYPKDVATYGVDRQFLLGRGVLVSPVLEPGATTVDAYFPAGRWFSLYDYTLAVASATGKRVTLPAPADTVNVHVAGGNILPLQLPALTTSRARQTVFHLLVALAEDGSASGELFLDDGESPEMAGPRGEWSLVRFSCAAGRDGVTVRSHVVRNAYGWKLVIGKVMFLGLHSPAPPREFAVYVNGVKTANSMGGALGYPRSGALGAAQVEGLSLAVSKEFELKVVTLS >Sspon.01G0037990-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:27239894:27240566:-1 gene:Sspon.01G0037990-1B transcript:Sspon.01G0037990-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding PSKCTTTARSCTATARLHGPIRKKPPPMVQTRDSRGETGQLVGVVLLFAQQVLASPSPQLLSPPSDRPTQNFPLPTHLFSPAKSRRAYQPYHRAGRGGLPDLLAPSQFSPLSPVQSNTIRAVSIARRRQVRVGCDRLAGAILIGVVDSSGQMQQEWRGYLGFLIATGDAVWWGRAHVVRYCDGVNV >Sspon.03G0013710-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3A:39216578:39221797:1 gene:Sspon.03G0013710-1A transcript:Sspon.03G0013710-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLLGAPLSGSPSPVSCSPTQLRSLAQVLLSGLTGSRLRRLLPAAHARAVVSDGLGFLYLANLLLHGYSKLGCIHDARHLFDGMPHRNLVSWSSAISMYAQHGADSQALVLFAAFRRSSDEAPNEFLLASVMRACMQSRAISFGEQVHGNAVKLGLDVNLYVGTALVNLYAKVACMDAAMRVYHALPAKNPVTWTAVITGYSRIGYGRLALDLFRKMGAQGVQPDRFVLASAVSACSTLAFLQGGRQIHGYAYRSAAGVDASVINALIDLYCKCSRPLVARKLFDRMKNHNLVSWTTMIAGYMQNSLDAEALEMFWQMCQAGWQPDAFACTSILNSCGSMEAISQGTQIHAHAIKANLENDEYVKNALIDMYAKCDHLTAARVAFDALAHDDVVSYNAMIEGYARQGDLTEAVHIFRKMRYCSLRPNRLTFVSLLGMSSLLSSIEWSKQIHGLIIKSGTSVDLYVGSALIDAYSKFSLVDDAKAVFLTMQNRDMAIWNAMIFGHTQNEQGEEAVKLFNQLRVSGMTPNEFTFVALVTVASNLASMFHGQQFHTQIIKAGCDINPHVSNALIDMYAKCGCIKEGWMLFESTCGKDVICWNSMITTNAQHGHAEEALRAFQLMREANVEPNYVTFVGVLSACAHAGLVDEGLHHFNSMKSKYGIEPGTEHYASVVNLLGRSGRLHFAQEFIEKMPIKPAAAVWRSLLSACRLFGNVEIGRYAAEMALLGDPSDSGPYVLLSNIYASKGLWADVQQLRLRMDYAGAVKEPGYSWIEVMKEVHTFIARGTEHPQAELIYAVLDDLTALLKDFGYHPDTSELALLGETVIVMNTNLCDLDHPGLAKLIAAHARPPNYLMFFDFFEPPNLAEKIHVEEWIPSTQQVVAIASDLAKALQYLQILGVVHRDIKPANILLDKDLHPHLADFGLAMFQKDIKRVSVENWKSSGKPTGGFHKRNMVGTLIYMAPEILRKDIHTEKSDVYSFAISIK >Sspon.01G0011750-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1A:31862839:31863167:1 gene:Sspon.01G0011750-1A transcript:Sspon.01G0011750-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SQPPASRCSPVGAPPVVHPSVRYYLPGVGRSLARPRGKAPMPRRIKRAARPTPFSLRSPLRRQPSNALASVAVHRRERGFAAGSPSSASASASDVLTLAFLCSVGPGC >Sspon.07G0034240-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7C:71369162:71369467:-1 gene:Sspon.07G0034240-1C transcript:Sspon.07G0034240-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKPDDLPPADQPGPAPDQPRLRHSTASRLVSGLAVGRARQGAVGETEQAAAQLAAGHTSQGGEGLAAGRACQGAVGLATSRMSKGAAGLAEPGAANHGNE >Sspon.08G0023700-1P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8D:59863920:59864141:1 gene:Sspon.08G0023700-1P transcript:Sspon.08G0023700-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LASVRMLPWAKANINPTGQALIISTVAGMAYFIAADKKILSLARRHSFEEAPAHLRNTSFQGTGRLHPAFFRP >Sspon.01G0010190-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:28581707:28602502:1 gene:Sspon.01G0010190-1A transcript:Sspon.01G0010190-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVINGPICFNCLMLNSSKCVSVDLLISRHAIFYNRRTSYNVFHGNHILNKRKRPDALSLIIHIFGIKGCCAKLLKCDCHVSTTTNSNSSNSKRCQYKKLLLKHCSVNSKRAADVAKNDNGKAQFLIGGKSAYYDQAYVQLEAYSTHQQVVSFIWAVLRRIIPEPLLGNSSGKRSLRINIWKFIKLRRFEKFCLSDCIGELKVSHYSWISNIGLSDCFCSALMEKEILLSNGSDEEKLQNLLHCWISWMFSDIVIPLVKAYFYVTERESRRYDVFYYPKTVWRDLTSTAIASLNRQNFRILRGTSRKEARQSCCSSRVRFVPKAKDMRPLVNLRGQSKDVLLNNCHLIIKKVRDDNPDKFGSSVFDYNNVHRNLWHFISSVRSHLKEKFKIYIVVADVSKAFNCIKHEMLLKVVDDALKCDDYVLRKCKKVVCNWSKNALYRFDSNVSISNGDDICDFLIQLSSSGGILVDQGKFGKIQKKEIQCFLSKQVECNVLKIGQNFYSQQVGIIQGNKLSPKLCSLYFGHLENSELSKFLHDSKIDSEKDVSTPKSLLMRFIDDFIFISLSKEHALDFFNRIRRGFSDYNCYMNDKKYGFNFEVANSEHCCNRIYKADDGFSFIPWSGLLINCDTLEIQADYTRYLDISSTITVKTHSSAKYLESKLCHYMRPKCHPIFFDSTINSPGTVRLNIYQAFLLCAMKFHCYFRSMPDASIRKLELLHIIKRTFRYMHNLIARRIHDVELQYNVRPVLKLRRKETMWLGLSAYLRVLQKKQSRYKDLLALLREEIRRCGHLDHHNDGLRYAVDDSHSSMFWKSI >Sspon.04G0000900-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:4521742:4524266:1 gene:Sspon.04G0000900-3D transcript:Sspon.04G0000900-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MWATSPPPHPLQLRRPPPHPFLVRRRNKRLDRIAASQDPLTALTRVLWGRALPPAQLVLAVRHGWTAAWQLLMRQLAPSDPATGAFTRTPARFPAVVGEPSSRLHLYVGLPCPWAHRTLVVRALLGLEAHLPVSVAVPGDDGAWSFTAGSPDRLYGKRKLREVYALRSGGFEGRASVPMLWDAERREVVCNESIVIIKFLCGLVDDGDGDGGLDLWPPELRQDIDRWYSLIYPSVNNGVYRCGFAQSQEAYDAAASELFGALDRLEAHLAGSRYLCGDRLTLADVCLFTTLIRFDLVYNTLFRCTRRKLAEYPSLHAYTRDIYQMPKVAETCDMEAIMAGYFKTLFPLNPGGIQPLPPATCERESLLRPHGREALSSAAGAPLEAAAVS >Sspon.04G0011540-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:31257394:31261426:1 gene:Sspon.04G0011540-2P transcript:Sspon.04G0011540-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLLHSFVLSPRVPDAPLALVDLSPEYLIGAPDSVRERVALRGLEEHATFADADEGGAAVAPPPSKILRVDAVRSCEDLLVELTEQVGSSGIRDIILPFRQDIQNFICIKKPTLPESSLELLREVDPEIQSMAAPSSVEQNGIKKHDNHQSLCNVHHLNSNIDTPRPPIASTELQPGNLTNLVNNLEKGYFKQCPIESTVDLDKPLETDRRFYNQPREYAINATSTRASEKDPSNVDSNMSGSSTSCNATLQGNIAEPLSKKSMVDETTVVQAQPCKGKSPNPPDYNNVIKRPNDDGISYQSSKDPRHESKTLQATMTPAFDRTNAPEASDLPEPIATEDTTMVQQLHSCTTHLNHLQHDSGQKVNQDLDGTFNIQPVEKYSIHEESTLRATSIIPSNCDKSQLEVSCADKNKHALHDDATMLGKNKVVCGGLNVQGAPESHSCNLTVHDKDGYRKTAKQDSNKQTIGKTAAEISHAHSSDDSISGFAAACLLSMSGKIPLCSQDQEANDSLRVSPEQDLCRKCGKGGQLLQCSSCLLSAHDSCFGSSLTFEDHGQLYCPVCICAKATEEYKKAKKTYIEARKNLAAFLGAEQLLKQHEQQTRLLPRAIYSEGQLNGHNNSSKKQTSVSETTIDDLAHQGEESNRQWKKQKINVTSDACNENKSNQLQDAEQDHVENTEAHEGSSSQNRCSPAANPEVETDKEDGPTHSHHQSKDSDEIEFTSSSDSGKPSSPPWHTIKHHRARLQEREATVSSNSTKAFGQKDQHEPLPSRKRNYAYPPKR >Sspon.03G0032180-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:35517416:35520262:-1 gene:Sspon.03G0032180-1B transcript:Sspon.03G0032180-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRDKVTAAARLVVSAPVADRLSRCRVMILDVQKQQDTDELVKRRGCSSWVIGTQIRNFLISDILLPPVSKDTSGGGGSRVVRARVAAAELERATALAAVGEDAFLVAREGPGVGCARVDLTGQQAPHRGGCPSSPRRFAAIESWASSTPTVAMMVVCDELVVYFGQI >Sspon.03G0025760-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:806266:818013:-1 gene:Sspon.03G0025760-1B transcript:Sspon.03G0025760-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRRLRPWAFLLFLLVLFPYDESGLWSRSRTRSGVAQATQRVFLYPQAPKVSSIVSSKYRTAYHFQPPKNWINGPMYYNGIYHQFYQYNPNGSLWGNIVWGHSVSTDLINWIRLEPAIERTTPSDINGCWTGSATILKSDQPAIIYTGADTEKRQVQNIAFPKNLSDPYLREWIKPDTNPLIQPVGQGLNPNQFRDPTTGWIGPDGLWRIAVGAELDGYSAALLYKSEDFVNWTRVDHPLYSSNASTMWECPDFFAVLPGKNIGLDLSAAIPNGAKHVLKMSLDNCDKYMIGVYDLKSDAFVPDSVLEDRRLWSRIDYGNYYASKSFFDSKKGRRIIWGWTNETDSSSDDVAKGWAGIHAIPRTIWLDKDSKQLLQRPVEEIESLRGKEVSQQGLELKKGDLFEIKEIDTLQADVEIDFELTSIGSADPFDPSWLLDIEKHCREADASVHGGVGPFGLVLLASDNMEEHTSVHFRVYKSQEKYMVLMCSDLRKSSLRPELYTPAYGGFFEFDLEKEKTISLRTLVTLMYVLVPMPCLFFGGGSTHFLTSREGGGWMNAAKFLTGASAMGSLAIPAILRHAGLIETGAMFIEFTSFFILYAQCCASIGLPWMKTGPMYYNGIYHQFYQYNPNGSLWGNIVWGHSVSTDLINWIRLEPAIERTTPSDINGCWTGSATILKSDQPAIIYTGADTEKRQVQNIAFPKNLSDPYLREWIKPDTNPLIQPVGQGLNPNQFRDPTTGWIGPDGLWRIAVGAELDGYSAALLYKSEDFVNWTRVDHPLYSSNASTMWECPDFFAVLPGKNIGLDLSAAIPNGAKHVLKMSLDNCDKYMIGVYDLKSDAFVPDSVLEDRRLWSRIDYGNYYASKSFFDSKKGRRIIWGWTNETDSSSDDVAKGWAGIHAIPRTIWLDKDSKQLLQRPVEEIESLRGKEVSQQGLELKKGDLFEIKEIDTLQADVEIDFELTSIGSADPFDPSWLLDIEKHCREADASVHGGVGPFGLVLLASDNMEEHTSVHFRVYKSQEKYMVLMCSDLRKSSLRPELYTPAYGGFFEFDLEKEKTISLRTLIDRSAVESFGGGGRVCIMARVYPVALIDDDGTRMYAFNNGTTTVKVPRLKAWSMRRAQVNVKG >Sspon.06G0035380-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:72298995:72321277:1 gene:Sspon.06G0035380-1D transcript:Sspon.06G0035380-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFQHRRPWCLTAVAAAAALLLSQLMTAGLAAIGPGDVAVYWGRHKDEGTLREACNASAYTTVIISFLSAFGHGTYKLDLSGHPVAGVGDDIDFCRSRGKLVLLSIGGQGGEYWLPSVQSATDVADYLWNAFLAGSSAGVARPFGSAQVDGIDFFIDQGATEHYDVLARRLYGYNRYYRGGGITLTATPRCAYPDQRLQGALATGLFNRVHVRLFGGNLQCEWGQFDSWDKWAKAYPGSRVFVGVVASPEADDDAYMSQKDLYYGILQFAEKVPNYGGLMIWDRSRGKLVLLSIGGQGGEYWLPSVQSATDVADYLWNAFLAGSSAGVARPFGSAQVDGIDFFIDQGATEHYDVLARRLYGYNRYYRGGGITLTATPRCAYPDQRLQGALATGLFNRVHVRLFGGNLQCEWGQFDSWDKWAKAYPGSRVFVGVVASPEADDDAYMSQKDLYYGILQFAEKVPNYGGLMIWDRTPGKTQNGLGEFDADKTTTQNITSFPVSRVTILEKISKIYPEQGWLMQVVMPFLLGMFLICSGLHTFVLYLGPHIALFTIKAVQCGRIDLKMAPYDTIQLKVGPSWLDKKCSEFGPPVYPASAHSVRIPVFDLLSQIQLEAVLWGIGLHSASFPHILYHEQ >Sspon.02G0014230-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:37923745:37924387:1 gene:Sspon.02G0014230-1A transcript:Sspon.02G0014230-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDCRNIIVSVLVLLSSWLLFVGHDHPRLLGRAQRPTAPVAASSVLQVGALLDLGSTGGRESRASISLALDDFYASRPADGSGTTVELHVADCKDDEITAASAGSKNDS >Sspon.01G0030830-1P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2A:75458396:75458716:1 gene:Sspon.01G0030830-1P transcript:Sspon.01G0030830-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRMVVGGIRRVVHLNMYSSAKSPSSSEAFEVTVKLVSIPASTLRRPWKTAKRWAEIPPMTQNCSFLHHSSMPTPLHLISRIPVAKIEIKREMNQILAKLLICIPR >Sspon.06G0014190-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:74542474:74546209:1 gene:Sspon.06G0014190-1A transcript:Sspon.06G0014190-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGGESMMTREQLLHLFSRFSFLTSLPEVKQRIADAVRDKQEAVAVTTEIQEEILREMGVDPSFGIGCLGKVNVVYENDMDLMIKFYQFVAKEEMAIDEAELEPRELAEKLHAQQIIQEQQLNMLVEMRKYSPESQSVILGTLHKELEEANFDINASILSPEQIQKIVQKWGSHMLRSRNLRQGVTAIFSITSLRRRHN >Sspon.02G0016640-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:40431085:40433516:1 gene:Sspon.02G0016640-2D transcript:Sspon.02G0016640-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sugar transport protein 14 [Source:Projected from Arabidopsis thaliana (AT1G77210) UniProtKB/Swiss-Prot;Acc:Q8GW61] MAGGFGGGGAAAGRAEFYEGKITGYFILACIVGSFGGSLFGYDLGVSSGVTSMDDFLKKFFPDVYHRKQAHLHETDYCKYDNQLLTLFTSSLYFAGLVSTFGASYVTKRRGRRASIMVGATSFFLGGAVNAAAMNIAMLIVGRVLLGVGIGFGNQAVPLYLSEIAPYRIRGAVNQLFQLTTCLGILVADIINYFTDRLHPWGWRVSLGLAMGPATAIFVGALFLPETPNSLVERGHLEEARRVLEKVRGTHKVDAEFEDLKEASDAARAVTGTFRNLLAVRNRPQLIIGALGIPAFQQLSGMNSILFYSPVIFQSLGFGSSAALYSSIITGSMLVVGALISMVTVDRLGRRFLFIEAGIQMISSMVVVAVILALKFGKGEELSKGVSTVLVVAICLFVVAYGWSWGPLGWLVPSELFPLEMRSAGQSVVVCVNLFWTAAVAQCFLAALCHLRWGVFVLFASLIVVMSIFVILLLPETKQVPIEEIWMLFDKHWYWKRVVRRDPKYQGNLHQQQQEMSRA >Sspon.08G0016080-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:63728821:63731266:-1 gene:Sspon.08G0016080-1A transcript:Sspon.08G0016080-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAFALDKICGSGRSADTTERRVMEAQSSTGFAQEDSRLCTCRTDLTFDFVVVEPELWYGDRTRLTPKQEEAALGLSSTCGSGIPAYICTMKKSNVVKRQMAFSRQFSKRYIFARLGTYGSETKVFAGRDLFGSKLNFSMVHGELRLLGGWPLFVKNHRIEAGHVCAFMFEEEEEGDLSLRVHVLGTVPLPTI >Sspon.02G0030550-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:111450823:111455779:1 gene:Sspon.02G0030550-1A transcript:Sspon.02G0030550-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGADDDDVVEVSCGGRGGGDPGAYAAVLKRKLDLYCAAVAKSMEAKSQESSLGYPNSQASDTSQLISQASFDGDIDGAGLVTNSNVIEDDDFQGKPANSGTSKELSDDDGDLEETTDPANTKKMRRMLSNRESARRSRKRKQAHLNDLESQVSRLTSENASLLKRLADMTQKFKDASLDNKNLTVDIETMRRKVNIAEEAIRRLTGTTLMLSTAFDKPTSSTPLSSCASDAASASVAIEDSMKHFLQAPLQNGQIKLDIPNAAIPLTSGVIGTKPASLQRVASLENLQKRIIGDSMHSETASTFSGPEALAD >Sspon.04G0012750-2P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1A:16905334:16906156:-1 gene:Sspon.04G0012750-2P transcript:Sspon.04G0012750-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSAPVLAALLLLTVGWTVDGIVAANAPPPTGWLMGAHATFYGGADASGTMGGACGYGNLYSQGYGSRTAALSTVLFNDGASCGQCYKIACDRRTDPTLCKPGATVTITATNFCPPNSALPDGGWCNQRQPHFDMAQPAWEKIGRDVRTGIIPVIYQRVPCVRRGGVRFTINGHDYFNLVLVTNVAGAGSIKSMDVKTSDSTDWMPMARNWGANWHSMAYLSGKRLSFRITITDGQTL >Sspon.06G0025270-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:64748817:64752211:1 gene:Sspon.06G0025270-1B transcript:Sspon.06G0025270-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISGELDGQWLGISFPKVLIDGPYGAPAQDYKQYDIVLLVGLGIGATPMISIIKDIINNMKLLDGDLEAGSGADTSVSSMASFRTRRAYFYWVTREQGSFEWFRGVMDEVAETDKKGVIELHNYCTSVYEEGDARSALIAMLQSLNHAKHGVDVVSGTRVKTHFARPNWRNVYKRIALNHQNQRVGVFYCGAPVLTKELRELAQDFSRKTNTKFEFHKENF >Sspon.05G0032420-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:17247742:17248188:-1 gene:Sspon.05G0032420-1C transcript:Sspon.05G0032420-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding HGASLAPRLPAGNGCNPGRRSRRGQRNTRRQQNRHVPGPVQRRGVRATGAGAANLRVPATATVAAIRTAGATICPGLTDAVPAGDRRLLRRRRCRGPVTTATEQLRASCRWLRALLQRLCLASCAACSRYCWLLRHGYSLHPFTVDSGV >Sspon.08G0014310-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:58711557:58713868:1 gene:Sspon.08G0014310-1A transcript:Sspon.08G0014310-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRFPNGTHVWLRNRVRRTYLYADEDGSGVSLSARRATMNAAWQVHLIVRHGIAFVLLRSAAYGRYLGPSPPEAQPDGHRSRAAVSAVQRDYSEPMQDLGGRTGLFQRRTGPMIDQERQIRYFLAGNDGKFLTMGAFRFLGRSVLNLTNQMAIKVDEDVSAITLCVYAGVFGRLTPLVVDLPRNQEPLNIVVLPISSPAAMRLRHPNVDAPEPEPASAPEAPPAP >Sspon.04G0025790-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:34046664:34047263:1 gene:Sspon.04G0025790-2C transcript:Sspon.04G0025790-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAMTGSTRASDGSFFLVLLFVALAGVADLAATVAFSGDDINNNAAALLTTPSSASPAELNGFMQCLLGCFTQVFGCSFGCMGKQGADLPLCIISCDQKSIVCMIRCGLSPSPSPPKPSPPGPKPPSPKPPKPPTPKPPKPPTPKPPTPAPPMPPPPGPPYPGPPTPEPAPPGPPYTPPYAITGRKAATSD >Sspon.08G0004500-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:10819838:10821121:-1 gene:Sspon.08G0004500-2B transcript:Sspon.08G0004500-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RHGVVAREGGRARAPRLARRRRRARARPQGRAWNPEPSPGRADLRVPGRAGDVEHAELGEGGRCHCRRAAEAAAEVAVLEAAALARPIAPGRCRRV >Sspon.04G0014290-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:49289726:49294261:-1 gene:Sspon.04G0014290-3D transcript:Sspon.04G0014290-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTRLPLPLLLLLITVAGAAHGRRGISSSSSSSGGGLSLLPAGSSDDDGGGGSAATVLRLPSSVGEDPPEAAACETTYGFLPCTDTAPGNLFLVLAYGFLMFKAATYLSGGSELLLQILGPGIVGGLFLPILGALPDAMLILVSGLSGTKEVAQSQVLIGMGLLAGSTVMLLTLLWGSCVVVGKCDLSENSIATDSRDTKGFSLFGSGVSTDVQTSYAARIMAISVLPFIIVQIPQIFKLHSGHRLTVLLGLIVAALLVLAYCLYQIFQPWIQRRRLEYAGLKHVMSGLLRHAQMHVFGRLLHEDGTPNIPVIEMLFHKIDLDNDGKLERGELQAFIIGVKFEDVDLDSNLVVDQVMADFDRSHNFVIEKGEFIDGILRWLEEAKRSVVTSGSYSKKFLQYFHTRTRDEHNLLLGKDDNDGEAIENPTWTSFKAILLLLLGTAMAAAFADPLVDAVHNFSNATSIPSFFISFIAMPMATNSSEAVSAIIFASRKKQRTLSLTFSEVYGGVTMNNTLCLAVFLGLVCVRGLTWDFSSEVLIIFLVCTIMGLFTSFRTNFPLWTCFVAYLLYPLSLVIVYVLDYKFGWS >Sspon.01G0042450-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:56414774:56434565:1 gene:Sspon.01G0042450-2D transcript:Sspon.01G0042450-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVCKCRKATRVYCFVHQVPVCGVCICFPEHQLCVVKNYAEWVVNSEYDWPQHCSSCNSVLEVGTEETTRLGCLHVLHTKCLISHIQNFPSQTAPAGYVCPSCSAPIWPPSSIKDTGSRLHSKLKEAIVQTGLETNVFGNHYVTIAKSDTWTPAFASDPLKNLSSTDDRESNSANSAKDAALPSTLHSGIYSSAVGSGTTIHVEPEIVEIEGPSPVLTQISEQESNFIRSPSPHGPGAMTRKGATSVDRQNSEISYYADDEDGNRKKYTKRGTFRHKFLRMLLPFWSSALPTLPVTAPSKKDSDAPEGSRPRHQRSSRMDPTKILLAMAILACILTMGILYYRLSQRGLSENFLEDAQ >Sspon.08G0005360-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:16266173:16271098:-1 gene:Sspon.08G0005360-1A transcript:Sspon.08G0005360-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGDAGRAPTAAAAQSLVESFCGVTSATPQEAAFYLESHNWALESAKRSHDTRGGSGSRSGSRARAGGASARGNVRTLSDLGGGQRTAGSDENSEDDDEWAPPPEYFTGGETSGMAVRGRSKRKNNTDEVFKQAKRNGAKQGPFEAHRRSSSRNFTGTGKLLRGETVERDAPQPPEEIVHNIYFWRNGFTVNDGPLRSFDDPANASFLKSIKDSVCPTELEPADGKSKVNVNLVRKEEEFTEPVKRAAPFEGERRTLMEPSDNNTSNAAASSSVPAPRTITVDDSLPSTSLQIRFADGSRLVARFNTSHTISDVRAFIDATRPEASEYTLQAGFPPKPLEDATKTIEEAGVANSVIIQSV >Sspon.03G0016410-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:72239158:72249524:-1 gene:Sspon.03G0016410-2C transcript:Sspon.03G0016410-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLHLLPLSCPAPPFHLRGGTGGSPRVRAGGRARTRALRGTDVEPETPPRRRRGRDGHDPHADGSGGDTGALLASVRRLLLSEAPAAEEGATDELEQGQFPKRWAIVFLCFSAFLLCNMDRVNMSIAILPMSAEYGWNPQTVGLIQSSFFWGYLLTQIAGGIWADTVGGKTVLGFGVVWWSIATVLTPVAAKLGLPFLLVVRAFMGIGEGVAMPAMNNILSKWVPVSERSRSLALVYSGMYLGSVTGLAFSPLLIHKFGWPSVFYSFGSLGVVWVTTWATKAYSSPLEDPGISVAEKNLIASQSTAGEPVKTIPWRLILSKPPVWALIVSHFCHNWGTFILLTWMPTYYNQVLKFNLMESGLFCVLPWFTMAVSANVGGWIADTLVSRGVSVTTVRKIMQSIGFLGPAFFLTQLSHVDSPAMAVLCMACSQGTDAFSQSGLYSNHQDIGPRYAGVLLGLSNTAGVLAGVFGTAATGYILQHGSWDNVFELSVVLYLGLWYGMYSQLARRFLIEGHAPPPASALLPRAAVPPARRDRRLAAGPAGGRARTRALRGTDVEPETPPRRRRGRDGHDPHADGSGGDTGALLASVRRLLLSEAPAAEEGATDELEQGQFPKRWAIVFLCFSAFLLCNMDRVNMSIAILPMSAEYGWNPQTVGLIQSSFFWGYLLTQIAGGIWADTVGGKTVLGFGVVWWSIATVLTPVAAKLGLPFLLVVRAFMGIGEGVAMPAMNNILSKWVPVSERSRSLALVYSGMYLGSALSGRDFGMECILNWREDS >Sspon.03G0001300-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:11073788:11079000:-1 gene:Sspon.03G0001300-2D transcript:Sspon.03G0001300-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GDGGQVAHAQGVPPLQLHPPGRRLQLHLPHAVAAPAAVPVRPAPALPPRPHRRLLCGRLLGLLHGARHARAVAQRAHRGRRAHRHLPGRRRAARLHTHLRLPRRAPVLRPRRGRRRHPQDGRRPRHRHLRPRVGRARARLLPPPRRRGRRRRPPRQELAVVLDFNRNSGEAARASTASSPGS >Sspon.08G0022560-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8B:49878135:49878308:-1 gene:Sspon.08G0022560-1B transcript:Sspon.08G0022560-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCYCDAPSSSSVHLLPPRSPAASSVDSPCRHPHVKFLVGRRRRKERCSNSACTCGW >Sspon.07G0016130-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:62236219:62242649:-1 gene:Sspon.07G0016130-2B transcript:Sspon.07G0016130-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGIDHQAAMEILKGAMGCLLHMLGKFLKQEHNLQTSTTTKEDLESLERQLRRMHAAALCNMPWPQQDQPDPQDDKHCAYELRELSYDIEDIIDNLRLCFDKGLEPISNHDSFRETLEDINTATQCYHAKTTEVLRCFGLILELPEGMGNLTSLEELRLGTLDSNSLNFAKDLSKMTDLRLLSIINTESFYGKWMEALVESLSKLQKIQELEGVQNNGKAIAVKLLHSMPQRLDYEEEEFRKEFENLRRLNHPNIVELLGYCYETKREFVEYEGKFVLADEIYRALCFEFMHNGSLQEHLDDEFHGLDWQTRYKIIKGTCEGLKYLHEGLESPMYHLDLKPGNILLDMDMAPKLADFGLSKLVYDDQTQATLRPLGTNGYCPPEFIEQNYVSNKFDIFSLGVVMIKIIAGSEGYRKTAEMSSQEFIDHVQENWRKRVHETPGCTSLKYECYQVKRCVEIALDCVHTDRKQRPNIGDIISWLNVIERELRNSNNEVECKPLPLLFRLADGLALWHGESGNATSSS >Sspon.02G0005150-2P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2B:15521208:15531514:-1 gene:Sspon.02G0005150-2P transcript:Sspon.02G0005150-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLAICLRKRLNLKANQPSERACETTRPDPPPPSRGQQRGGSRSPPSDLAPPPDLAPSPSHAHSPSSDLTTPPLLPSPRPELRSAAMAGAAGGFVTRAFEAMLKECAANRGKFAALQQSIQSYLDSIKGATAEGAVITEALASAGRVLDGPQAELVLQPLRLAVETKHVKLVEPALDCLHKLIAYDHLEGDPGLEGGKNSPIFTDILNMVCGCVDNTSSDSKSPVNQATSKAMLTQMISIVFRRMESEQVSVSPASSVVKDTPSSITKESENGEISTDSQDEEKVTLGDALSMNRPSEAPPTSVEELQNLAGGADIKGLEAVLDKAVELEDGKKVSRGIDLDTVNIIQRDALLLFRTLCKMSMKEESDEVATKTRLLSLELLQGLLEGVSNAFTKNFHFIDSVKAYLSYALLRASVSSLPVVFQGEIGVFFPLIVLRSLDSSDSPLSQKASVLRMLEKVCKDPQMLADVFVNYDCDLEGPNLFERTCLVSILKSLADWEQLRRDSSKQGSIVESHEEDASRSLGTDEMKSQEDSRNQFERAKAHKSTMEAAISEFNRKPTKGIEYLLSNKLIENKASSVAQFLKSNPSLDKVMIGEYLGQHEEFPLAVMHAYVDSMKFSGLKFDAAIREFLRGFRLPGEAQKIDRIMEKFAERYCADNPELFKNADTAYVLAYAVIMLNTDAHNPMVWPKMSKSDFVRMNSASDAEECAPKELLEEIYDSIVKEEIKMKDDSHDTSKTTKRPETEERGRLVNILNLALPRLKSASDTKAESEKIIKQTQALFKNQGQKKGVFYIAQQVELVRPMLEAVGWPLLATFSVTMEEGDSKPRVVSCMEGFRAGIHLTRVLGMDTMRYAFLTSLVRFTFLHAPKEMRSKNVEALRTLLGLADTDMDALQDTWNAVLECVSRLEYITSNPSISATVMLGSNQISRDSVVQSLKELAGKPAEQIFVNSVKLPSDSIVEFFTALCGVSAEELKQTPARVFSLQKLVEISYYNMARIRLVWARIWSVLAEHFIAAGSHHEEKVAMYAIDSLRQLGMKYLERAELNNFTFQSDILKPFVILMRNSHNSKIRGLIVDCIVQLIKSKVGSIKSGWRCVFMIFTAAADDEDESIVESAFENVEQVILEHFDQVVGDCFMDCVNCLIGFANNKCTPRISLKAIALLRICEDRLAEGFIPGGAVKPIDVVPEANFDVTEHYWFPMLAGLPSPSGRTQPAVSPRGQSFGQRIMGNMMDNLLAPDDDEADKVKRKRAL >Sspon.01G0017720-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1A:65687555:65687925:1 gene:Sspon.01G0017720-1A transcript:Sspon.01G0017720-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPSLTLLFLFLCLAIVLAIASPAEKTGLDPCVCPQEYEIRLHMYLHQFPAWPNVSNPNEVGAIASSHPIGFGTMYVHDWFLTIGPNPNEMIVARVQGFHLQAGQTTTSWYTSQIIVFQDDS >Sspon.08G0002970-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:6506165:6506449:1 gene:Sspon.08G0002970-1P transcript:Sspon.08G0002970-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGCCSRSRVVCPVLRLLAVLLLLLSPCSAQMNAAAVQVRSARRLLVSRPSTSRQKAEQQQQQMRVGGGSTPFKQAAASFGRKIPKSGRNPIQNR >Sspon.02G0027460-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:106829864:106832246:1 gene:Sspon.02G0027460-4D transcript:Sspon.02G0027460-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPLSSFCLHRIRSGSAASTAAPPSICANREASSSDGGEICKSLKDDKHEGDRVEAKKKGGVEAVVVGRKVMVAADGGSEEARTALQWALSHAVRPCDTVVLLDVVRGGGGNGKNRRDPRGSSQHLEAMRSICQAKRPEERVELSLAEGGNGKDRGPVIVEAARKQGVSLLVVGQKKRSSVTWRLLSMWIAGVKGGAGCTSASASAADYCVQHAACMALAVRRKSRRGGGYLITTRRQRDFWLLA >Sspon.03G0003280-3P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:23728041:23730056:-1 gene:Sspon.03G0003280-3P transcript:Sspon.03G0003280-3P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKTRGMGAGRKLKTHRRNQRWADKAYKKSHLGNEWKKPFAGSSHAKGIVLEKIGIEAKQPNSAIRKCARVQLVKNGKKIAAFVPNDGCLNYIEENDEVLIAGFGRKGHAVGDIPGVRFKVVKVSGVSLLALFKEKKEKPRS >Sspon.05G0000220-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:5599406:5600293:1 gene:Sspon.05G0000220-2C transcript:Sspon.05G0000220-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKLAATTSPPPPASAAAAAAVVPVVFVDGEQSVDLGTVTVQPSLGGVKRLQAVVADRVGVAPHQISASLARPRRARRVPLDDATDLAAAVAREGNGCYVVAGLRRSRRERRGGRSRRDRKGGASGGAGAVEKTILKRLPPSDLASLVGPPPPLSLPAAPAPPLLLDGWGYDDYEARLRELQRQRDWYLMSTAAAAGPDPSYFHLAAAGHPEHEDPAALWSPRLCPSPCPECEAAAAAMRQPAFHWCVRDAVVSAGFRSHVGPIERPPKKTPSPPPTLPPPSPGRLPGLLGMPVY >Sspon.05G0038090-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:32646559:32647091:-1 gene:Sspon.05G0038090-1D transcript:Sspon.05G0038090-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding TEVCSCPFCLMLVTDWRERDYLYLNPCFCLSTLPLPSSVASRRVLILEQWMIVSNKTVSEAEKQRGEFSWLVWFKSLQWKVTARTFSCKLDKS >Sspon.08G0001030-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:3968747:3969326:1 gene:Sspon.08G0001030-1A transcript:Sspon.08G0001030-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYGLLAMKALVLSSMVLSVVVASGVEPPVQRRRVPAMYVRGQQQPLGRGAPRANKPYYGIDLPGSGKPTGRFSNGYNVADFVGKYTLITFPRSKLRIGRSWDPRLHCK >Sspon.05G0018240-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:77990607:77998420:1 gene:Sspon.05G0018240-2B transcript:Sspon.05G0018240-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLIAQITTDLRSSDALRQSSALLQALQQCAAGRDVSALARTAATEILSAPSSAVCKRLALDLLRALPLPPDLLDPLLLSSLRSDLSFPDPDVAASSIASFPSLPSHLLPSLLSSAHAEIAGALSSPAESLRLAAVTSLSSLLPRDDLALMCSTNPSLMAHATTWWGRLAELALDSADAVSASAFEALARLFQELEGRRMSRLAGDKLVDGEGALAVRAQWAADAIDFIWSRRNMLIARSMVMPVESFRVTVYPLVHAAKMVASGVINTLRRIAKPGDATIADSVESSAEKLVGVSDIVSHLLPFLSSLDPPLVFEVGINMLALADVPGGKPEWASAAIIAILTLWDRQEFSSMRETIVRAVVTNLHLLDLGMQVSLFKRLLQMVRNLRAESDRMHALACICRTALCVDLFAKESVRRGQKPVPGTDVISLFEDVRVKEDLNSVTSKNLFREELVASLVESCFQLSLPLPELKNSGTESRVIGALAYGTGYGALNWTEPALDVVEVCRPCVLWDCDGRTYAIDCYLKLLVRLCHIYDTRGGVKTIKAGASQDQILNETRLRNLQLQLIRDLREVHTPRISSRLIWAISEHFDLEGLDPLLADDPEDPLNIIISNMHKILFNTDSSATTSNRIQDVQAVLICAQRLGARNARAGQLLTKELEEFRASTSADSVTKHQSRYVLQVIKYVTNHPDNRWVGVGDATGDYPFSHHKLTVQFSEASAAQDRKLEGLILNLTELELNRVDIRVGLSGALYYMDGFSRTVRHLRNLVSQDPVQSSVTVGVSHFERCSLWVQVLYYPFYGSGGSADYEGDYAEEDSQMMRQKRSLRPELGEPVVLRCQPYKFPLAELLLPLECSPVEYFRLWPSLPAMVECTGTYTYEGSGFKATAAQQYDSSPFLSGLKSISSKPFHQVCSHFIRTVAGFQLCYAAKTWFGGFVGMMIFGASEVSRNVDLGDETTTMICKFVMRASDESITREIESDLQGWLDDITDGAVEYMPEDEVKSAAAERLKISMERIALLKAAKPKVPPAKTEQEEEEERKQSEELDGFGNPKGPSTLSKLTAEEAEHRALQAAVLQEWHQLCKEKAMKAQ >Sspon.04G0031500-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4D:14911292:14912223:1 gene:Sspon.04G0031500-2D transcript:Sspon.04G0031500-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DCHVARPHNSPSPAATAFSSVGAPQPAPISRSSTLRVAPPSTSRRSHGVHCGWPKTPRQEGEAYAYASVYVGQLSMPPDSSLLATAVPHRSGCCMTPVVDGQRLPVAAAEAQPWVNPRGFPMRTWSCNGRRRRRWAGYRRGAWDWMWTSRPDVLLPARSISVLSEHLP >Sspon.02G0006280-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:12660404:12668500:-1 gene:Sspon.02G0006280-1P transcript:Sspon.02G0006280-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVLLLLLLLAVASSPATASGDGGAAVPVGTTVLSSFCSPTNSSVLQTYQPNSTFAANLQKLVAELPRNALASGFSAGRFGAAGPGAAYGIVLCRGDFLGQQCVDCLAEGFVQAASRCPSSVDATMYYDQCQLRFSDQDFLAGAGAGAANMPESAASNMNKVSAGNAAAFDALVTRLVDAVSDAASNRSTRYATGQAGFPPENMNVYALVQCTQDLTTGQCRECLTDLIDQMPRLFAGKVGGRILGVRCHIRYEKDVFFAQTQDMLTLTPLLGSTKGSSTTLWIVAVVVPVSVLLACFLACFLWIRKRRRRGTVSVPTMSMEMEQVLKLWRVEESDSEFSIFDFDQIADATNNFSDDQSSDRAASDPSTREGPDLGAAAYQLWQDGKWHELVDPALGDDLPVGEVIKCVQVALLCVQDSADDRPNMSEVVAMLGSEGITMPEPRQPAYYNVRITSLAVSSDSFGESSCRISSITLTDHEEGRLRGFIELKPEIFKPM >Sspon.02G0027090-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:98420106:98421871:1 gene:Sspon.02G0027090-1A transcript:Sspon.02G0027090-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVKDAAKPRLPPGFRFRPTDEELIVHYLRRRALASPLPPAVDIPDVRILAHDPSDLLPPGFSEQERYFFTCKEAKYVKGRRANRATGAGYWKATGKEKPVAVAIPAAARGGQGQAVLVGMKRSLVFYRGKPPTGSKTDWVMHEYRLAGAGLAPCRRAAAQDGDADAAVSRPAEGWVLCRVFRKKKGSAAASPGEDRSDGESESAGAGGPGFIDFFARADAAGRRRRAASPVVSSSCLTDASPERQQGREQETTSRGA >Sspon.07G0019700-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:73675712:73680380:1 gene:Sspon.07G0019700-3C transcript:Sspon.07G0019700-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLLHLQSTLLPSASALRRRAGAPAPSSSRRRCRVEAKIREIFMPALSSTMTEGKIVSWTAAEGDRLAKGDPVVVVESDKADMDVETFHDGFLAAVLVPAGESAPVGSAIALLAESEEEIPVAQSQAASFSSSPSPPPPQETAAEEASLPPPPPPPPAPVAVSAPAPPSPAAQGGGRVVASPYAKKLAKDLGVDLFSVTGSGPGGRIVAKDVEAALAAPKKAAPVTAARPDVPLGSTVPFTTMQGAVSKNMVESLAVPTFRVGYTITTDALDQLYKKIKSKGVTMSALLAKATAMALVQHPVVNSSCRDGKSFTYSSSINIAVAVAIDGGLITPVLQDADKLDIYSLSRKWKELVDKARAKQLQPHEYNSGTFTLSNLGMFGVDRFDAILPPGTGAIMAVGASEPTVVGTKDGRIGIKNQMQVNVTADHRIIYGADLAAFLQTLAKIIEDPKDLTF >Sspon.03G0044800-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:4060311:4064336:-1 gene:Sspon.03G0044800-1D transcript:Sspon.03G0044800-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMRDKKRNQKRVLARRTAAPRAGEGKDFLPLEGGPGKKLRKVQQPEEPENTATVVYIGHIPHGFYEDEMQGFFKQFGDIKRLRIARNRKTGKSKHYGFIEFESPVVRTLFQISLQQMYAISWLLKTLVMCFGVAKIVADEMNNYLLFEHTLQVSLVPPEKVHPKLWKGVRRGFIPIDRVAIERKRHNKDKTVEEHKKMVEGIVKRDEKRRKRIKAAGIDYECPALIGSIQPSAKKIKFDEV >Sspon.01G0019990-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:80172054:80178533:1 gene:Sspon.01G0019990-2B transcript:Sspon.01G0019990-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein arginine methyltransferase 6 [Source:Projected from Arabidopsis thaliana (AT3G20020) UniProtKB/TrEMBL;Acc:A0A178VA69] MFTGGADGNGHLPRPRRPRRGGVGHAGVMVSPQGQVAPGAHPHPAAPPCTDYDVAYFKAYSHIGVHEEMLKDHVRTSTYRNAIMHHKDLISGKVVLDVGCGTGVLSIFCAFAGATRVYAVDASDIALQAMEIVRENELSDKVVVLHGRIEDVNIEEKVDVIISEWMGYMLLYESMLGSIIFARDKWLKPGGLILPSHASLYMAPVTNCQRYHDSIYFWRDVYGIKMSSMMPLAKQCAFMEPSVETISGENVLTWPTVVAQVDCYTIQAQELETITAAFKFTSMLQAPLHGFAFWFDVEFNGPVRQKSKKQPSQSSDGNAQNASPSSKKKKPDVSIVLSTAPEDAPTHWQQTLLYLFEPIELNKDQIIEGSVTISQSQQHARFLNICLKYFTGDQWYVKEAVMR >Sspon.03G0010460-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:43960653:43961645:-1 gene:Sspon.03G0010460-3C transcript:Sspon.03G0010460-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSTTRVASRSCGRGSDDEPAVRKGPWTLEEDLILVSYVSQHGEGSWDNLARAAGLNRNGKSCRLRWLNYLRPGVRRGSITAGEDTVIRELHARWGNKWSKISKHLPGRTDNEIKNYWRTRIQKKKQPAKTMSSTQQQQEPSTTVSSGASSGGAAGDYYWCTKPDPDLQQQQAYYYCQEAVMAAAATTTNAVIVSSEGASSPAALTSQDSSTAAGDWYAYQQTTTYPYCSELSLVAGGGHGETAGLDALTMQFLSSHFTASFWTNGVDDFWETKPITDAF >Sspon.01G0028150-3C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1C:50706470:50709649:-1 gene:Sspon.01G0028150-3C transcript:Sspon.01G0028150-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFAELEAVEGLRWPWHSWPPTAPAAASLVVPTAVLCSPLQHPTAPDLLPLLPYAPLRCASPGCGAALNPFSRVHHGSARWSCPFCGAAANPFSRLLAPDALPAELFPTHSSVEYALPPDPAEAGGPGPPALVFVIDAATAAEELAVLKDEVRRVVQGLPEGIRVALVTFAASVWVHDLGFEGCARVVVLNGERELESDKIQELLGVHRSPYKKLAMRRSTEAQRFLLPVSECEFNITSAIEDLNSMSACPRGHRPLRATGAAISTAIALLEGCCSPSTGGRIMVFTSGPATVGPGCVVETDLGKAIRSHRDIFNSNAPLTDKARDFYKKVAKRLTDHALVLDLFACSLDQVGAAELRNPIEVSGGLMVHTESFESEQFKSCFRHMFKREGTNYLNMNFNATIEIVTSKEVKICGALGPCISLHRKNSSVSDKEIGEVDCSHKAEPPTVFFIQFMARYRHGDGSYRLRVTTVARRWAAPRSPEIAAGFDQEAAAAVMARLAVYRAETYHVRDVIRWLDKMLIRFTAKFGNYVPEDPSTFRLSTNFSLYPQFMYYLRRSQFIDVFNSSPDETAFFRLMLNREGVVGSLIMIQPTLFQYSFDGPPIPVLLDVSSISPDVILLFDSYFYIVIHYGSKIAQWRKLGYHKDPNHENLRKLLEAPEVDAEALLVDRFPVPKLIKCDQHGSQARFLLARLNPSVTQKTQLSEGSEVIFTDDVSLQVFIEHLQELAVQV >Sspon.06G0012890-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:67766989:67768530:-1 gene:Sspon.06G0012890-1A transcript:Sspon.06G0012890-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At1g19720 [Source:Projected from Arabidopsis thaliana (AT1G19720) UniProtKB/Swiss-Prot;Acc:Q9FXH1] MYVKCGEVGRARVVFEKMRQRDLGTWNSMIFGCCQSNEWEEARRLLDDMRRDGTEPGVVTWNTLISSYARSGELDVAMEVLEQMEESGVAPDVVTWTSLVSGFVHSDRGGEALQCFMRMRLAGVEPNGMTIASAISACASLRLLSQGMELHCHAIKVGSVNNVLSGNSLVDMYAKCGEIVAAKTIFNEIPEKDIFSWNSMVAGYAQAGYCGKAYELFCKMESLGVRRNVITWNIMISGYIRNGDDERAFELFQMMESYGVKRDTASWNALIAGSVHNGHSDRALRIFRQMQSLLVRPDYITILSIIPAFANLVAFSKVREIHACIFHNNLEMDGKIANALISAYSKSGDLAGACAVFDRHSSRNIISWNCIILAHLLHGSPSEALDRFCEMKQEGVRPDHTTLTAVIKAYGLQGKVSEAKQIFYNMTHDYNITPDLDHYAAIVDLLGHSGSLQEAYEFIDNMPLIPNLAVWEALLTAATIHGNARLANMAARELSSLDPVTLESKDWFLITGI >Sspon.01G0001800-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:4358284:4358610:-1 gene:Sspon.01G0001800-3C transcript:Sspon.01G0001800-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAAAAGVELGSSKPQIATQAEMAEARVPLAYRDQCAHLLIPLNKCRVAEFYLPWKCEPERHAYEKCEYELVMERMLKMQKIREAQEAKVKGGASIGLIPATAKLA >Sspon.04G0013720-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4A:49774475:49779007:1 gene:Sspon.04G0013720-1A transcript:Sspon.04G0013720-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKDSSANLIVHEMATGVRITMLIFLAVSVAVAQNVTESKVEEFHVGVVLDLGTTVGKVAHTSISIAVEDFYAIHPNHTTRLVLHVRDSKSDDVQAASAVLELLENYNVQAIIGPQKSSQAVFVSALGNKYQVPIISFTATSTSLSSRSLPYFVQATACDSAQVSSIASIIKAYGWREVVPIYVDNDYGRGILPDLFNVLEGIDAHIPYRSAIDESATSEQITQELYKLMTMQTRVFVVHMSSSLGSLFFTKAKEIDMMSKGFVWIITDGLANLIDSLNPSVVEAMNGALGVQFYVPKSARLDNFTMRWYMRSRNDHPNDPTLKLSVFGLWSYDTIWAVAQAAEKAKVTEAKSQRPPALKDSTTLGTLGNSRNRPAFLQAILQTKFEGLSGYFDLSDGKLQVSMFQIINIVGKAHRVIGFWTTQNGISQRLDQRITNATYWSTTHNLNTVIWPGESTEVPRGWEIPIDGKKLQVGIVIGGGFPKYIDANEDSLTGVVKASGLAIEIFEEAVERLPYALPYEYVVFNTTRNLQPTVTNVYELLKTGESVGYSRGSYIKGLLEELGFDASKIKAYDTPEDYHNALSKGSNNGGISAHVDEIPYIKLFLAEHCKGYTMVGPIYKTAGFGYAFQKGSPLIGDISQAILSITGGDTIIQIEKKWIGDQNNCQHVGTISGTGGLTFDSFAGPIIATGVASTTSLAVALIIHFCKNKKLGPENGDSEPILPQEETKDGVNEERQCQEEDRGRGMHEQLKTVMHSGSLVIYRGERIPSSRGSSSARF >Sspon.05G0001620-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:5026783:5029540:-1 gene:Sspon.05G0001620-1A transcript:Sspon.05G0001620-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPAPKSGDALFASVDRVNAELFTLTYGAIVRQLLTDLEEVEEVNKQLDQMGYNIGTRLVDEFLAKSNVSRCVDFKETADVIAKVSMKTEVTWVRDMLRGDDAYEMRVKLIKQVPEEYPYKDDD >Sspon.05G0010570-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:30453477:30454748:1 gene:Sspon.05G0010570-1P transcript:Sspon.05G0010570-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding HGRRRRGVPVAVALPVPVAVALPVPAPRRRPRHGRRRAARPRRRCYPPPQALAFLRRRPRGRLERRRHLHAHRRLGGAVRGAGAGQPPAPAVAGGRRGRLLPRRLLQGAQVRRAVQEPHRHAQEEVQDREGQARLRLALLRPPRRPAGPRAQAQFFLLLGCCCCRCGGRPERRAHGAAAHQLPAAHPHTAPFLGGGQAEDAAVAAAAAVGVVRLLRRVPAVFRGGEREEAAARGGALGRRRGGGGGQRWRGEQQREPRAGLARPGAGDPAARGGVRARGEREEGAGAPDGAGAPGVRAAAGGAARAVLPQDADGALQGRHGRRRGGGHRAHGRSPHPCRRQRRAESRRDRRGRHQQQSPRPVPHQGRQQQAPPPCCPSAAGTLPEQHDEPHRGRRRRQRQRLGQQQGSGTGGGCGRRGGREQPV >Sspon.08G0026640-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8C:28353385:28355129:1 gene:Sspon.08G0026640-1C transcript:Sspon.08G0026640-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAYGLDDCVEHIFDGTHYARWKNHMLDHFRALGPKFWWIIVVGFSHDLDGCGNRTQAQKDCRTLDAQAKFYLIKALNDEIFDKVRGLSSAHSMWTALKHHYCGSSIWDDGKFKKDDHIVMEVHEDVEHDHNEVVVEDCSTSMSSDDDDDHATRSLDKNDDNATSDASNDSTPCTLDGEDDGYESDASTSSQSSSHGDTKKLSNEETKTSESSLFGSNDQSHITNPCDVGKKHVSTSCDDLLYMPCSSQLNACSTSMSCETNLLKENNELKSEVKNLSNKLERCYNSKVTFEHMMKTQRNIGDKSGLGFKKKMTKGERKQEKRIKRLLQKKLSHSMCYRCHEAGHLANGCPNIEKLKKMKEEERLSMSSASSATWGHLTSMCPTKQLVKQQVKPQPKPLVEQEKTPQEQIKINHGNDGDLMKKKKKKTRRGGRARHPMQIQDAKMMSKNQNEKRNLTHIKCFKCGDMDTLPRGVLPSLRRRLKQLMRGKAMKSIT >Sspon.01G0013180-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1A:36003774:36007793:-1 gene:Sspon.01G0013180-1A transcript:Sspon.01G0013180-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTNVYGNGGVSQGREERYVLPFDPTREFLRYSILWTVATVSFYVDDASEVRWSDAMDGDFPSKPMSVYQRSDRRWATKAEGGGAACQPAASASPSAPASSLALPAPAPVGRRAGQAEAEFVRGCCARTLYPRLCYAGLAPYAASVHSSHARLALASANLTLATLNALAARIPSPSPGSGSVSSGALSDCADAVASAEDQAARAAERLGGVEQAVGPELLWRVNDALTWLSAAMTYEETCADGLGPRESAPASMRAELRARVRRAKQFTSIALALVNML >Sspon.08G0023060-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:52650120:52674261:-1 gene:Sspon.08G0023060-1B transcript:Sspon.08G0023060-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTITSSVKLAGGTLSVCGQAVLSGVPAAVAASSAAAVGAVDGVFLGADHAESAARHVVSLGALRDVRFMACFRSKLWWMSQRMGDKGGDVPRETQFLLVESRVAGGGGEDAAYVVFLPLVEGAFRASLQGGAGDELELCIESGDADTRVASFERALFVGSALKTFRVRAEKKLPGIVDYFGWCTWDAFYQDVTQEGVEAGLRSLVAGGAPPSSTDQPNPDDPAGEAKQPRLPRLTGIKNSHPRDDPAAGIRTGVRAAKEEYGLKYVFVWHAITGYWGGVRPGAAGTEQYLSSMQFPKISPGVAENDPGMKTDWITAQGVGLMHPRAVYRFYDDQHAYLAAAGVDGVKVDEQCILETLGAGHGGRAQLTRQYHQALDASVAKNFPENGIIACMSHNTDALYWYAPTIVLTTAVVRASDDFFPRDPASHTVHIAAVAYNSVFLGEFMLPDWDMFHSLHPAGEYHGSAPRLRAAEEDRLAGRLRCSVRVCLEGRPRTACSPTRHVTVSGELDQHPPLHYGSLLTGAFGACLTTPCHVLVGSCCSLLKIWNMNKFTGVLGVARRGSFAERRRPCSTGRRRRPDLRLQGQDVHLISEAATDAEWNGDCAVYRHASGDLVVLPNGVALSVSLKVLEQDILTVSPVKVSAPSMSPITVAMSNLLLVRSDRARRHVQQRRGGGSLTYHLLDGAKLLGDDGSASSSDATGLVCVEVRGVAGSVPTPRPRRCLLGSAQLEFTYDSSSGLVVLQLEAMPKERMTITSSVKLAGGTLSVCGQAVLSGVPAAVAASSAAAVGAVDGVFLGADHAESAARHVVSLGALRDVRFMACFRSKLWWMSQRMGDKGGDVPRETQFLLVESRVAGGGGEDAAYVVFLPLVEGAFRASLQGGAGDELELCIESGDADTRVASFERALFVGGAAESDPFAAIAGAVATAKSALKTFRVRAEKKLPGIVDYFGWCTWDAFYQDVTQEGVEAGLRSLVAGGAPPKFVIIDDGWQSVGTDQPNPDDPAGEAKQPRLPRLTGIRENSKFQSHDDPAAGIRTVVRAAKEEYGLKYVFVWHAITGYWGGVRPGAAGTEQYLSSMQFPKISPGVAENDPGMKTDWITAQGVGLMHPRAVYRFYDDQHAYLAAAGVDGVKVDEQCILETLGAGHGGRAQLTRQYHQALDASVAKNFPENGIIACMSHNTDALYWYAPTIVLTTAVVRASDDFFPRDPASHTVHIAAVAYNSVFLGEFMLPDWDMFHSLHPAGEYHGSARAISGGPYVSDAPGKHDFELLKKIVLPDGSVLRARLPGRPTKDCLFTDPARDGVSLLKIWNMNKFTGVLGVYNCQGAAWSFAEKKTMFHPAGADALTFGFRGSDVHLISEAATDAEWNGDCAVYRHASGDLVVLPNGVALSVSLKVLEQDILTVSPVKELAPGFRFAPIGLVDMFNSGAAVEGLTYHLLDGAKLLGDDGSASSSDATGLVCVEVRGRGRFGAYSSVRPRRCLLGSAQLEFTYDSSSGLVVLQLEAMPKERVRRIVIEL >Sspon.06G0012800-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:67474239:67476674:-1 gene:Sspon.06G0012800-1A transcript:Sspon.06G0012800-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMLVLNRLMSLQRDRQRRRWQIRARCRRRLITSMGKRKGSSCQQDGDGISRAGKIMRSSIPDLPEEILFHIHSLMPMCEAARAACASRAFLHSWRRHPNLIFNKDTIRLKINGRGENFHHKIGHILRKHSGISLKTFSLDYSNMCGFDGASYFDSWLQIALKPGIEILTLWLPTTKKIYNFPCSLLSDGVRNSLQYLKLHNVALHPTVELGPLRSLTSLHLCDVRITWDELECLLCNSLALEQLELECCAEIICLKIPCSLQRLSSLSVSSCYRLQVIESKAPNLSSLCLSGHRLNFSHVETLQVKKLAIDYPNFIRDACGKLPSSMPNLETLVISSLSE >Sspon.03G0037710-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:375537:390305:1 gene:Sspon.03G0037710-1C transcript:Sspon.03G0037710-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSPNLSDPSPSSRDPSRHLEKGSPGFQSSSLAPIPSIHPSLPPSHSVLCPSPGEALSPPPRTPADRRNSPPLACLVPAMPEIKCGAAEKVKPAASPSAEKPADIAGNISYHAQYNPHFSPTAFGPEQAFYATAESVRDHLIQRWNETYLHFHKTDPKQTYYLSMEYLQGRALTNAVGNLGITGAYAEAVKKFGYELEALAGQEKDAALGNGGLGRLASCFLDSMATLNLPAWGYGLRYRYGLFKQHIAKEGQEEIAEDWLDKFSPWEIPRHDVVFPVRFFGHVEILPDGSRKWVGGEVLKALAYDVPIPGYKTKNAISLRLWEAKATAEDFNLFQFNDGQYESAAQLHARAQQICAVLYPGDATEEGKLLRLKQQFFLCSASLQDMIARFKERKSDRVSGKWSEFPTKVAVQLNDTHPTLAIPELMRLLMDEEGLGWDEAWDITYRTISYTNHTVLPEALEKWSQIVMRKLLPRHMEIIEEIDKRFRELVISKHKEMEGKIDSMKVLDSSNPQKPVVRMANLCVVSSHTVNGVAELHSNILKQELFADYVSIWPTKFQNKTNGITPRRWLRFCNPELSEIVTKWLKSDQWTSNLDLLTGLRKFADDEKLHAEWAAAKLSCKKRLAKHVLDVTGVTIDPTSLFDIQIKRIHEYKRQLLNILGAVYRYKKLKEMSAEERQKVTPRTVMLGGKAFATYTNAKRIVKLVNDVGAVVNNDPEVNKYLKVVFIPNYNVSVAEVLIPGSELKGLPGLPELLARSHSIHPSLPPSHSVLCPSPGEALSPPPRTPADRRNSPPLACLVPAMPEIKCGAAEKVKPAASPSAEKPADIAGNISYHAQYNPHFSPTAFGPEQAFYATAESVRDHLIQRWNETYLHFHKTDPKQTYYLSMEYLQGRALTNAVGNLGITGAYAEAVKKFGYELEALAGQEKDAALGNGGLGRLASCFLDSMATLNLPAWGYGLRYRYGLFKQHIAKEGQEEIAEDWLDKFSPWEIPRHDVVFPVRFFGHVEILPDGSRKWVGGEVLKALAYDVPIPGYKTKNAISLRLWEAKATAEDFNLFQFNDGQYESAAQLHARAQQICAVLYPGDATEEGKLLRLKQQFFLCSASLQDMIARFKERKSDRVSGKWSEFPTKVAVQLNDTHPTLAIPELMRLLMDEEGLGWDEAWDITYRTISYTNHTVLPEALEKWSQIVMRKLLPRHMEIIEEIDKRFRELVISKHKEMEGKIDSMKVLDSSNPQKPVVRMANLCVVSSHTVNGVAELHSNILKQELFADYVSIWPTKFQNKTNGITPRRWLRFCNPELSEIVTKWLKSDQWTSNLDLLTGLRKFADDEKLHAEWAAAKLSCKKRLAKHVLDVTGVTIDPTSLFDIQIKRIHEYKRQLLNILGAVYRYKKLKEMSAEERQKVTPRTVMLGGKAFATYTNAKRIVKLVNDVGAVVNNDPEVNKYLKVVFIPNYNVSVAEVLIPGSELSQHISTAGMEASGTSNMKFSLNGCVIIGTLDGANVEIREEVGEDNFFLFGAKADQIAGLRKDRENGLFKPDPRFEEAKQLIRSGAFGSYDYEPLLDSLEGNSGFGRGDYFLVGYDFPSYIDAQDRVDAAYKDKKKWTKMSILNTAGSGKFSSDRTIAQYAKEIWDIKASPV >Sspon.02G0028750-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:97030707:97032028:1 gene:Sspon.02G0028750-2B transcript:Sspon.02G0028750-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQNCHRPPPSAVALVAITLLLPCFVSVASSSPDGGGTNNNIKLPTDGGGAGSFTEFVAENVELYHNVSTEQHKYGAGGKVWDPELLAAQGMVVRYVVSPDGHGKFRSITEAIKAVPDGNKKRIILDIRTATYKEKVLVPYTKPFITFSGNPKNPPVIMWNDRSATHGKDGKPVGTYGSATVAVDADYFVASGIHFKNNAPMAAPGSEGGQAVALRVYGNKAAFYDCTIDGGQDTLYDHRGLHYFKSCHILGTVDFIFGFGRSLYEDCAIMSVTKDVAIVTAQQRSKSIADALETGFSFLRCRIGSTTGAGQIYLGRAWGDSSRVVYSYTTMGKEVVPVGWDSWTIQKPEKSGIYYGEYQCSGPGALPHKRVGWSLVLNDAQAKPFTGTHFVYGDSWILPPPHLA >Sspon.08G0017370-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:2224789:2229396:-1 gene:Sspon.08G0017370-3D transcript:Sspon.08G0017370-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSADAAGPASSASAPPLAPLIAAQLNYLLSHSKLPIRVGQIWSGCRNGSPADRFTLAIPFCLDYVHWDFVYNALSPKVAPDVVFGPEDERFQPLVDYAVTGNGDKSCLARWDCRAPEGLLALVQELRELYIEYQKKRVHMVDDARVAFELSTVLSKEGIEVCMVPSADRPDEVKFAVPLLDSDFDFAKLVPGCPWRLPQKIHLQVIFPISRSSSYSSMPSAPRLKLISTPDLKSLLSVEDVKLPPWSNGMCLAEYLPALEDSLNGLLVEASASIGSRRRFIEALAPTFGRPLEADPIFCRRATILSISGTFTFLVHFAIPLQFPKQQPVLTLQSSQVIRAAGSLRC >Sspon.06G0002420-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:5356221:5358872:-1 gene:Sspon.06G0002420-4D transcript:Sspon.06G0002420-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDESDGEAEEYLFKVVIIGDSAVGKSNLLSRYARNEFNLHSKATIGVEFQTQSMDIDGKEVKAQIWDTAGQERFRAVTSAYYRGAFGALLVYDISRRSTFDNVGRWLQELNTHSDTTVAKMLVGNKCDLDNIREVPVEEGKALAEAEGLFFMETSALDATNVKTAFEIVIREIYSNVSRKILNSDSYKAELSLNRVSIDGDSKDDQKQTSRFGCC >Sspon.04G0014750-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4B:58158104:58161310:-1 gene:Sspon.04G0014750-2B transcript:Sspon.04G0014750-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding QNAPTQSLLGVVNSILDESIERKNGQIPYRIACLLRKVIVEIERRISTQAGHIRNQNNLIKAREEKYQSRIRVLEALAGGASGQTHVEKDKLEGKGQLAEDDMARLMQYEEDLVRLMKEKEDMVSLLKEKEDMIRLLKEKEDMVRLLKVKEDMGDLNKDKVDRLLKERDDTVVRLTQEKEDMIRMLKEKEDIIRLMKDKEDMVYMKDVTFEDTQRTTDENKNRLLKEKDDVVVRLTKEKDEIVVQLTKEKEDMIRLLKEKEDTIRQMKQKEDRLNLASGKVEDRKQAIDDDRDRLIKENNDAISKLTSEKAEIAKLLQKKENLIRLMKENEDKSDLKKGNVEDTKQSTGEEADRSIKEKGEIIRLTKEKEDYRNTIMKLKQEFEALRSSYEESCKLLESKKEDVVKLVTDKEMNDNIILQLRQELEETKKLHEADTKEDDYQNTIMKLKKEFKSLRSSYEESCKLLESKKEDVVKLVTDKEMNENIILQLRQELEATKKLHGAETKEKEDYRDTIMKLKQEFESLRSSYEESSKLLESKKEDVVKLITDKEINDNIILQLRQELEATKKVHEAETQQLQTKAAKVNKEFEQRIKEIELMLEDSTKRRRELEESTESRIQFWKQKEIVVNKFVGLQVKNAQIWFSRIFNH >Sspon.05G0013780-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:49123986:49142029:1 gene:Sspon.05G0013780-1A transcript:Sspon.05G0013780-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQLGLAAAASKALPLLPNRQRSPAGTKFPSSALSRPSNRRKSRTRSLRDGGDGVSDAKKHSQSVRQGLAGIIDLPSEAALEVDISHGSEDPRGPTDSYQMNGIINETHNGRHASVSKVVEFCAALGGKTPIHSILVANNGMAAAKFMRSVRTWANDTFGSEKAIQLIAMATPEDMRINAEHIRIADQFVEVPGGTNNNNYANVQLIVEIAERVGVSAVWPGWGHASENPELPDALTAKGIIFLGPPASSMNALGDKVGSALIAQAAGVPTLAWSGSHVEVPLECCLDAIPEEMYRKACVTTTEEAVASCQVVGYPAMIKASWGGGGKGIRKVHNDDEVRALFKQVQGEVPGSPIFIMRLAAQSRHLEVQLLCDQYGNVAALHSRDCSVQRRHQKIIEEGPVTVAPRETVKALEQAARRLAKAVGYVGAATVEYLYSMETGEYYFLELNPRLQVEHPVTEWIAEVNLPAAQVAVGMGIPLWQIPEIRRFYGMDYGGGYDIWRKTAALATPFNFDEVDSQWPKGHCVAVRITSEDPDDGFKPTGGKVKEISFKAKPNVWAYFSVKSGGGIHEFADSQFGMCKSRIFFFVICTGPHFLNIALSVTGHVFAYGLSRSAAITNMALALKEIQIRGEIHSNVDYTVDLLNASDFRENKIHTGWLDTRIAMRVQAERPPWYISVVGGALYKTVTTNAATVSEYVSYLTKGQIPPKHISLVNSTVSLNIEGSKYTIETVRTGHGSYRLRMNDSTVEANLDGNSHVIYAEEEAGGTRLQIDGKTCLLQNDHDPSKLLAETPCKLLRFLVADGAHVDADVPYAEVEVMKMCMPLLSPASGVVHCMMSEGQALQAGDLIARLDLDDPSAVKRAEPFGGVFPQMVLPVAASSQVHKRYAASLNAARMVLAGYEHNINEVVQDLVCCLDNPELPFLQWDELMSVLATRLPRNLKSELEDKYKEYKLNFYRGKNVDFPSKLLREIEENLAYGSEKEKATNERLVEPLMNLLKSYEGGRESHAHFIAKSLFEEYLTVEELFSDGIQHSKDLQKVVDIVLSHQGVRNKAKLVTALMEKLLALKASELLEQTKLSELRASIARSLSDLGMHKGDMTIKDSMEDLVSAPLPVEDALISLFDYSDPTVQQKVIETYISRLYQPHLVKDSIQMKFKESGAIAFWEFSEGHVDTRNGHGAILGGKRWGAMVVLKSLESASTAIVAALKDSAQYNSSEGNTMHIALLSAENESNMSGISSDDQAQHRMEKLTKILKDTSVASDLRAAGLKVISCIVQRDEARMPMRHTFFWLDEKSCYEEEQILRHVEPPLSALLELDKLKVKGYNEMKYTPSRDRQWHIYTLRNTENPKMLHRVFFRTIVRQPNAGNKFTSAQVSDTEVGGPEDSLSFTSNSILRSLMTAIEELELHAIRTGHSHMYLCILKEQKLLDLIPFSGSTIVDVGQDEATACSLLKSMALKIHDLVGARMHHLSVCQWEVKLKLDCDGPASGTWRVVTTNVTSHTCTIDIYREVEDTESQKLVYHSTTSAAGPGPLHGVALNNPYQPLSVIDLKRCSARNNRTTYCYDFPLAFETALQKSWQSNGSSVSESSENSKSYVKATELVFAEKHGSWGTPIIPMERPAGLNDIGMVAWILEMSTPEFPNGRQIIVVANDITFRAGSFGPREDAFFEAVTNLACERKLPLIYLAANSGARIGIADEVKSCFRVGWSDEGSPERGFQYIYLTEEDYARISSSVIAHKLQLDSGEIRWIIDSVVGKEDGLGVENIHGSAAIASAYSRAYEETFTLTFVTGRTVGIGAYLARLGIRCIQRLDQPIILTGFSALNKLLGREVYSSHMQLGGPKIMATNGVVHLTVPDDLEGVSNILRWLSYVPANIGGPLPITKPLDPPDRPVAYIPENTCDPRAAIRGVDDSQGKWLGGMFDKDSFVETFEGWAKTVVTGRAKLGGIPVGVIAVETQTMMQLVPADPGQLDSHERSIPRAGQVWFPDSATKTAQALLDFNREGLPLFILANWRGFSGGQRDLFEGILQAGSTIVENLRTYNQPAFVYIPMAGELRGGAWVVVDSKINPDRIECYAERTAKGNVLEPQGLIEIKFRSEELQDCMGRLDPELINLKAKLQDVKHGNGDIESLQKSIEARTKQLLPLYTQIAIRFAELHDTSLRMAAKGVIKKVVDWEESRSFFYKRLRRRISEDVLAKEIRQIVGDNFTHQSAMELIKEWYLASPATTGSTGWDDDDAFVAWKDSPENYKGYIQELRAQKVSESLSDLADSSSDLQAFSQGLSTLLDK >Sspon.07G0025080-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:37591119:37594221:-1 gene:Sspon.07G0025080-1B transcript:Sspon.07G0025080-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MESIRAGPFGGIFRPDNFVYGQSDVGNNCAKGHYIEGAELIDVVRKEAENCDYLQGHAGFVESLQAA >Sspon.07G0036980-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7D:47467461:47468637:-1 gene:Sspon.07G0036980-1D transcript:Sspon.07G0036980-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARVACTLGARIGPGSRSNVLSGGNGHVLVLGDPRGSATPCLAPPAPSSRTGRQRRWCGHSATHAADQAGHLGPMRWPVACRAPLPVRSGQAPAEGEPLLVQRMSSSRSPWCVHS >Sspon.03G0023960-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:91647198:91647674:-1 gene:Sspon.03G0023960-3C transcript:Sspon.03G0023960-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding XXXXXXXXXXXXXXXTLPPRPRQLLVTTTTIFITTTIFIITTTHHFHRQQLARVTRRSIRGTACRRGWCLQARTPCITEIKVGRHGTQPRTQAAPSPACGCMHAWIQQLFMTAAQLAQAAGSVFHGKYTRRTPSCCVCSSNRSSQVY >Sspon.04G0030570-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:1779993:1781303:-1 gene:Sspon.04G0030570-1C transcript:Sspon.04G0030570-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RPQAQQAESDVQIQERHRHRHLHVLLHHGGRGGGGIHAAAPPLAAHDGGLLPGGVVLLHLLLHHGVLLRGVPRRAPRLPPGAAPPLHLPRPRRRHRQLLRRAPPRPRRAFLLQLLPLRAARPPRRRLPPPAAPRREGRDRAPRRAGPLPPRRHSAPPWRRRVARPHHAVPRLRARPRRVPAVRAAPEPQEPVLHPACVLALAPPARRRRLRRALLRPPPGRHHPQPPLRVIRPRLRRRAPPPRQDRAFRRGRPRRRAPGRAQGRRRRVQGLLLILLGRPPPHQQPGEADRGHTRVHGAGARGGRPAVAPLRRVRARRRAARAGVRAGAGAVRAGQPGHGRVREDVADRHRGGRRRGGRRRGDAAVGGPEAQGLVPRRRGGVAHHAGAALRRQGPPGAAGHGVGGRQGVQALPGGAGVGRQVQCPHRHLHLHRPKVMD >Sspon.03G0031710-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:28183098:28187360:-1 gene:Sspon.03G0031710-2D transcript:Sspon.03G0031710-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVNPPRLLAAMAAHPPRLPVLLFVFLAVHVPSTHGDPHLPSTYDITMCSESTWCGGVEILYPFYLANATEATADYSGNFSCGYTDLSISCTLQGQTWTPTIRLGGNDYTVENISYHYDHQTILLVDSDVLGGDCPAVRHGVSFDETWLHSSSYNDNLTFFFGCYPHGPVLHEFDAYKINCTQFKSPPGAGPGDSFVVIMTDEHDWYVEQELATNCGNTMVVTVPVRGDVLMAASYNKSNFTSGGYGDVLKRGFELEWSRITEGGCHLCEASNGQCAYSQHREFLGCLCDGGKVGNPYCKRSSKLNPPRLLAAMAAHLPVPRLPVLLVFVFLAVHVHVPVSHAIAPSLPTTYDGSICSESVMCGRVNISYPFYLSNATTETTDHTSYYSCGYTDLKISCQGEGPTETPVITLRGENYTVQHIFYDHHTIILVDSDIVGDNCPAVRHEVSFNEAWLHNTSSNDNLTFFFGCVPLDPPMEFEFDVYKYKINCAGFKSPPGVRPGDAFVFTPGELDTDKHLEQELATNCSKVVTVPVIGDILITAASNQSNFTSGGYGYVLKGGFELEWSRITTDQCQQCEESDGRCAYSQHREFLGCLCDGGKVGNPYCKRSSK >Sspon.06G0023560-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:46118852:46120696:-1 gene:Sspon.06G0023560-1B transcript:Sspon.06G0023560-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPAEDAAVPEATVTATPAAAAAQTVWYDPMSSPQAPAPHQQPATEAVAETSPSVSSDARPLRVYADGIYDLFHFGHARALEQAKKSFPNTYLLVGCCSDEITHMYKGKTVMTEDERYESLRHCKYADTSGAANDVYEFVKAIGKFKETKRTEGISTSDIIMRILKDYNQYIMRNLTRGYSRKDLGVSYVKEKQLRVNMGISKLREKVKEHQEKVIKLLCNYFETDLHMIRKTLISNALHTQFHSAAKIAGTNPVEWMENADRWIVGFLEKFEEGCHMMETAIKDRIQEGLKRQGRSESNLSGEDSD >Sspon.08G0017710-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:3402298:3402624:1 gene:Sspon.08G0017710-2D transcript:Sspon.08G0017710-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGKGGSGGGGKGGGGGGGGGGGGKSGGGSGGGKGGGSYSGGGGGGGKSGGSGGGYAGHGGAGKSGGGSGGDGMMKAPGGDGAYISRSGFESNPQGYFQGLNQGGGNK >Sspon.02G0030960-3C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2C:107580257:107580871:-1 gene:Sspon.02G0030960-3C transcript:Sspon.02G0030960-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGAAKLTAAAAASAVFRSNQELTRLARSGQLAAARRLFDSMPRRNTVTYNAMLSALARHGRIDEARALFEGMPSRNAVSWNAMIAALSDHGRVADARSLFDTMPGRDDFSWTVMVSCYARAGELELARDVLDRMPGDKCTPCYNAMISGYAKNGRFDDAVKLLREMPAPDLVSWNSALAGLTQSGKMVRAVQFFNEMVEKDM >Sspon.04G0013530-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:48529853:48534326:-1 gene:Sspon.04G0013530-1A transcript:Sspon.04G0013530-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKLIHDDKGGVTISNDGATIMRLLDIVHPAAKILVDIAKSQDSEVGDGTTTVVLLASEFLKEAKPYIEDGAIQRVKELAVSIEGKSLEEKKTLLAKCAATTLSSKLIGGEKEFFASMVVDAVLAIGNDDRLNLIGIKKVPGGTMRDSFLVNGVAFKKTFSYAGFEQQPKKFLNPKILLLNIELELKSEKENAEIRLSDPLQYQSIVDAEWNIIYDKLDKCVKSGAKIVLSRLAIGDLATQYFADRDIFCAGRVTEEDLQRVAAATVGTVQTSVNNVIDEVLGSCEVFEEKQVGNERFNIFSGCPSGQTATIVLRGGADQFIEEAERSLHDAIMIVRRALKNSTVVPGGGAIDMEISKYLRQHARTIAGKSQFFVNSFAKALEVIPRQLCDNAGFDATDVLNKLRQKHASGEGANYGVDINTGGIADSFANFVWEPAVVKINAINAATEASCLILSVDETVKNPKSESAQGEAAAGAMAGRGGGAMRGRGGRGMRRR >Sspon.03G0038320-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:4103025:4105103:-1 gene:Sspon.03G0038320-1T transcript:Sspon.03G0038320-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDDEKATPPDSSQAPPPSLPLSAVRLNRPLVAANRAMAAVHAALVAAVIAHRAMALLSDSAGSASSWSRHVAMALADLTLLFLWALSQSGLWRPVTRAAFPDRLLAAVPRGALPRVDVLVVTADPDKEPPLGVMNTVVSAMALDYPGGALSVYLSDDAGSPLTLLAARKAYAFARAWVPFCRRHSVQCPWPDRYFAGDDAHDGGGGDRREEIAEETTRVKKLYEKLKADIEAAKKDESISGSWTKAKRQDHDAYVEIITAKEDGGEDGEEEMPALVYVAREKRRAWPHHFKAGALNALLRVSGVVSNAAYVLVLDCDMACNSRASAMDAMCFLLDGSRRSSPPTAPENLAFVQFPQMFHNLSHNDIYTNELRYIFRTRWFGLDGVRGPLLSGTGFYVRRDALYGAMPPPGSTDLSSMDAGELKTRFGHSD >Sspon.01G0039120-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:21978716:21982728:-1 gene:Sspon.01G0039120-2P transcript:Sspon.01G0039120-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LHLTIFLSPHHPIPPSAAACLQPYTTSSTSLRPLLRLFRSRLPALIPFLCSPQPDSPPYPYPYPYPGPWRCSPPPERTPVAGGGWRGRDQNSSWRRGLRWPRSPTRPGRRCSSPRQPIMNTTQKVNSVEQDAKVLKHASQFKRWGRKHPFVRYGLPLISLTVFGAVGLAHLIQGSKEVTKEKEDIEWEVVETTKALSRTGPVEGAYKPKKLSLEDELKALQQKVDINSYDYKPIPRPNEK >Sspon.02G0016360-1P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:44863655:44870848:-1 gene:Sspon.02G0016360-1P transcript:Sspon.02G0016360-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MACRPPCPCPCGWSCFCMLFFLGLLLAEAVHGASAPPTPSLAPAEAAETVFLQSPFAVRVLRRIAVRLGVSSWDFTAGAGPCDHGDSGVHCDCTFSNGTACHVTEIFLKGQNFSGELPPDFADLPNLLQLDLSRSLFHGGVPDQWARMKLQGLSLMGNSLSGPFPMVLTRITTLTNLSIESNEFHGPMPPEIGHLIRIEKLQIEGSLLEGPIPSSLSELTNLSDLRISDLRGSGSSFPDLSRMTSMNKLDLSFNKLSGEIPPSFASMGAVDYISTGNFMDDNINDDDYIATSASTLVVPNSDLYTKARLSPLSLTYYGLCMLSGSYTVNLHFAEIVFTNDSTYYSLGKRRFNVFIQGRMVLEDFDIEQSADFLIPLAVEPPKAGSSKKRSSRASIALIIGIPIVAIFAALIVSIYCIIKKQRKSSMHKELRALDLQIGSFTLRQIKAATRNFDAANKIGEGGFGSVYKNSDYVYGMLPLCPVEQYRLRMDWGTRHKICLGIARGLAYLHEESAIRIVHRDIKASNILLDKDLNAKISDFGLAKLNEDDHTHISTKVAGTIGYMAPEYAMRGYLTDKADVYSFGVVVLEIVSGKSNTNYRPKEDFVYLLDWVTPEF >Sspon.07G0036240-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:28642872:28644616:1 gene:Sspon.07G0036240-1D transcript:Sspon.07G0036240-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSQRAGAPTNGPAHTAPRRHFNSCAAAAPYLRRAAFFFKVSVGDPFLPTLSMLTHSRPMVYAGYRAIQPQPPMVSAIDEPTKLYISNLDYGVSNDDIKGTAEVIFSRRSDALAAVKRYNNVQLDGKPMKIEIIGTNIEAPPPPTAIFSFNPPAGNFNLPFKRVEVDLVGVGMGEGEGGGRETVDRGEKVSAEDLDAVLDKYHAAAMETS >Sspon.03G0020830-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3A:64412705:64413434:1 gene:Sspon.03G0020830-1A transcript:Sspon.03G0020830-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTTTTAALSMKLLIDRKAQRVLFAEASKDVVDFLFSLLALPIATAVKLVGKEAMVGSVGNLYASVDKLDSTYMQAGATKDALLCPTVLSPAASTNSSLLRLPAEPSSSSVQPKKLYRCASTNNSGGFGSPPYGFGGGGSCRDYITDEHGKACPRCLCSMTTAAQYLPSESEGASGSGSGSGQVAAQSSAKGFVQGVVTYTVLDDLTVTPMSAISSVTLLNTFAVRDIGDLHEKTVQLGYNE >Sspon.04G0007350-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:11468290:11470338:1 gene:Sspon.04G0007350-1P transcript:Sspon.04G0007350-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLAYTLHLSMGRVLATGDAIAAVPTHPSPSIDRSHLHTPPWPPPVLDRSACFLPWRSISMDPHLSSQVHAAAAAASAFPNGKKVEMNDVLPPGAVNLDVEAGAPTNAAGADPRDVDGDAGVDYMARAQWLRAAVLGANDGLVSVASLMIGVGAVNATRKAMLVSGMAGLVAGACSMAIGEFVSVYAQYDIEVSQIKRDGGEEEEEGARDSLPSPTQAAVASALAFAFGALLPLLAGVFIPSWAARVAAVCAATSVGLAGFGVAGAYLGGANMLRSGLRVLLGGWFAMLVTFGVLRLFGTPSASGCSGKGIMSQGAQLLTSECENLHVLSSKLVLQLYSPPQQQVRVEGSHIGSMFRGHNACSDESLYFVSDQTLGSFVRPGTRLLCRMLRHPAKLILLLIWTLNEDMDLEADSHA >Sspon.02G0015120-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:42744520:42747650:1 gene:Sspon.02G0015120-2C transcript:Sspon.02G0015120-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DMWAPPAASPTGAIPSLRPSRAVAVRVLVTLPTAARPQDQPRRRLCLAAPPPAPEMASAAAEGEGEEEEDVVVVEGDTERKKAVEMDAAMRRELAIRRLREEAEAEANEAGTRKGRSRRDFAVFENARGDALFTQSWTPAAADRLSGRYNHFAKLLNDQGLKVYAMDWKGHGGSDGVHGYVSSLDHAVGDKFLPVQKEFLEDVVLEENPGDTR >Sspon.04G0025150-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:28868561:28871492:-1 gene:Sspon.04G0025150-2C transcript:Sspon.04G0025150-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPPPTAPPSHMSAALITFPSSHPYPSLPAPPKPPTPRPPQLHLVPRVAAYPAASAATPRRSASSTSATERLRVLVRRGELDDALRLVDSLAGLDPPSPAAAGPCAALIKKLCASGRTADARRVLGACGRDVVAYNAMVAGYCGAGQLDAARRLVADMPVEPDAYTYNTLIRGLCGRGRTSNALAVLDDMLRRGCLPDVVTYTILLEATCKRSGYKQAMKLLDEMRDKGCAPDIITYNVILNGICQEGRVDDAMEFLENLPSYGFEPNTISYNIVLKGLFTAERWEDAEKLMEEMAHNGCPPNVVTFNMLISFLCRRGLVEPAMEVLEQIPKYGCTPNSLSYNPLLHAFCKQKKMDKAMAFVELMVSRGCYPDIVSYNTLLTALCRNGEVDVAIDLLHQLKDKGCSPVLISYNTVIDGLTKAGKTKEALELLDEMISKGLQPDIITYTTIASGLCREDRIEEAIRTFCKVQDMGIRPTVVLYNAILLGLCKRRETHNAIDLFAHMISNGCMPNESTYTILVEGLAYEGLLPKFWFKHKQDLKLSECVPSDYFYFVIGPSNYMVKKSQ >Sspon.01G0013590-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:37443795:37470868:1 gene:Sspon.01G0013590-1A transcript:Sspon.01G0013590-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQLSSCSTDTQPHIFSTRYVNSSEMANTTVPLMNDTIGESSFSFSPYMNPCRINLDYFDCVWNEQKGLGYQTTDKQHGKWSNPDDKATVGNYSLSSPGENHLNPEHLGNGRPTQGSSEMKHDLGSFSSKVSSSESGFVQPRECSSEFPEVNNTSVDSPCWKGTPASYQPSFGIMENNGAPPVVIGTVGYINSHQNQKLPELNSEYPWRFCEHHEASGSENDPFKAFKLPERCKISKDHKEVPPIDVRVLKDMTAHASYLPDKQHSTTHKCYDSEDSKNVITSSQQESLCPASKPKLLGEHGGSLTASIDELLSKSVINPIAITPRVHTDHLRTGSPHGNIFSAVVEKEESTQKRGEDPSQCYPGVEGNMLNMSSESSSSTRAIFLKLMHNLSVVLLSTCKGGSSLQEDEEELLQSVIQNLTAASSKRSKVEQKTDDGLSNSSQMKLKNINCARNNFWRSMHEDSAQENDDSELKATVSQVLTNHLEDKMLDNTEVSQASIYRNLWIEAEASACKLKYELQHARLKLETAKGLNDTVKANSLEGSKGSNSYMSSSKPQNHGKETITCAAACQGQGGDARDKQSPVVNRSIFNGVDADVFARFKVLQSRNDNVNSFSEIDCGEQQEASKRPYAVEDAVMARLKVLKSRPDNITSLSQENNKQQLDASTNSADNVDDAVMARLGILESHPNSAALLGQESSKQQLDARTNREDGIDDAVMARLRILKSRPDNETSMGDANKEQQDTCSDQLNGDDLDVVSNGTISNTLSEKCSKFTHSDDSADHLGGKDSVGLETFGDGNCAREKKEVGGSADVATPMRCKGTSDEVSIESAVHGEDNLGENHVWHQTAGDSHVCTEGSQEAHLISSPIDQYDHVDMIPVEEGADHAKVSRVPLTRTTSSPAAHHLDISASARGGGNLMRFTFSPNLRVLGYLEPMLHKLQIGDTIIEAGTKVSPSTIIPSLQVLALKLYLQDKEQAKLLPCFLRCFPNISALHIESAESEKPTGKLNLSYWQRQAGYIECLSRHVKKVYFHGFSGYRSELAFLRFVVAEAMELEILVITLSTGVNNTAEINEKLVDLGKSYWASKDPRMFVNQYGSGF >Sspon.01G0031320-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:107251810:107253383:-1 gene:Sspon.01G0031320-2B transcript:Sspon.01G0031320-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFSSVPVYLDPPNWNQHQHQHQPQQQQQQAHHGQLPSGGAGGGGGGGGMDAHAHHHHQLPPVPPPGGALMAPRPDMAAVVAASGGGGPTGGGSAIRPGSMTERARLAKIPQPEPGLKCPRCESTNTKFCYFNNYSLSQPRHFCKTCRRYWTRGGALRNVPVGGGCRRNKRTKSSKSNSSSAASASGAGGTSSSTSSTATGGSSAGGASAAIMPPHQGHGQLPFLASLHPLAGGDHYSTGASRLGFPGLSSLDPVDYHQFGASAGGGGGAAIGLEQWRQPQIQQFPFLSGRPDAVPPTMSGIYPFDVEGHGGDGTGFAGHMLGGSKVPGSAGLITQLASVKMEDNPASAAMANSSPREFLGLPGNLQFWGGGSNGGANGNNVGGAGNTGGGGGGGGGGGAVAPGSSWVDLSGFNSSSSGNVL >Sspon.06G0006390-4D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6D:21794426:21800671:1 gene:Sspon.06G0006390-4D transcript:Sspon.06G0006390-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRILWFVQVLFHVQCPRLVSWQFNDHQYFWDQGIPPLVAPSARPPAPAVTLADKPPTAVYVGKIAPTVDNDFLLSLLRLCGPVKSWKRAQDPSNGKPKGFGFCEFESAEGILRATRLLNKLSIDGQELVININDATKEYLKKHVEEKKRAQEKAKEAEDASGDGTTAVAENESSKPVPDESDKETGDAGDKDNEENTKKFGIVTDEDSQADKDVAEKISNMIEEWAVNETERSETASPDRRKDREHDKDKRDKDLERHDRERERERVRRDREKDHKHREADRLYRDRLKEWESREREKEYQRYSEKEKERDREHNRRREILKQEDDSDEEDNRKRKRRSSSSLEDRKRRRQREKEEDLADKIREEEEIAEARRRAVELQRQADEAAAAAAAAAEESATLMEVDGGDEKETDAQNKPAVVEADNILSFANGVGAGGLGKENNGEETSMAPGQLADTKQNSNAPAKKLGFGLIGLGKRTSVPSVFAEEDDENNVDKSIRPLVPIDYSNEELQAVQANSSTGANVAAAAEFAKRISVSNSKEEKADAEKDRNRRSSEGRPSDERREKLHDREKDKPKSENKKILDAKQLIDMIPRTKEELFAYDINWAIYDKHELHERMRPWISKKIIEFLGEEESTLVDYIVSCTKDHVQAEKMLDLLQSILDVEAEMFVLKMWRMLIFEIKKVESGLSGRA >Sspon.02G0019640-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:53805303:53806347:1 gene:Sspon.02G0019640-2B transcript:Sspon.02G0019640-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVIGTAASGVGGLVGNVISSPFNGVSCERVCSGTWDLLCFIEHLCVTSVLKFILAVMLVLIVLYVFYLLCKLGVVKCLAKNACKLVYMPCWGGCRLLHHLWRKVRDTERVYRGRRGQRRQPDVELGDLSTSSYDVDYRGSPSPSSASDYSGHHRGGAATAGRSSRWESSSSSSVRGRRKDRLRQSLRPRRASSKAEHAMRISRESDCERRHPHHPHSVGARRKEASSLHDHDRGSAA >Sspon.03G0010560-3C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3C:44134391:44134943:1 gene:Sspon.03G0010560-3C transcript:Sspon.03G0010560-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MYFVSKSLAEKAAMAYAAEHGLDFISIIPTLVVGPFLSAGMPPSLITALALVTGNEPHYSILKQVQFVHLDDLCDAHLFLFEHPAAAGRYVCSSHDATIHGLAAMLRDRYPEYDIPERFPGIEDDLQPVHFSSKKLLDHGFTFKYTVEDMFDAAIRTCREKGLIPLATAGAEGSASVRTPGETD >Sspon.03G0016180-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:80758710:80766930:1 gene:Sspon.03G0016180-2B transcript:Sspon.03G0016180-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phytochrome-interacting factor-like protein, Basic helix-loop-helix factor, Repression of seedling growth in the dar [Source: Projected from Oryza sativa (Os01g0286100)] MCAWCRSDSNEFAELLWENGQAVVHGRRKQPQAAFPPFTCGAASSSRAQEKQPGTDPVALLKTGGLFGDFSPGLDATRDNGDLDNSVPWIHYPIVEEDSAAPGLAESYSPDFFSELHAAAVAAAAATTNLSPLPPPVQHTGNNRSTPVATTSRGPEPSKETHRIPVPGPASRPEPQAEFAATRKPRPESGGEGLMNFSLFSRPAAMARASLQRPPQTGTDKASNVTTSTRVESMVLQLASGPRTAPVFTDQRMPWSQSKEVRFSCAAAPTAGNPQQEMPRDMTPQKKVETRKASEVAVATSSVCSGNGAGIGKDESWRLQKRKSQAECSASQDDDLDDESGGMQRSGSRGTKRSRTAEVHNLSERRRRDRINEKMRALQELIPNCNKIDKASMLDEAIEYLKTLQLQVQMMSMGSGLCIPPMLLPPTMHHLQIPPIAHFPHLGMGLGYGMGVLDMNSTAAVPFPPMPGAHFPCSMIPGTPPQGLGMPGRNMMPMFGVPGQAIHPSASSIQPFPSLAGLPVRPNLAPQVSAVMANMVQEQQQGVATQQQQSLNNDAQQRANTGDPQLQTIVQAENQHFSVPSSAQTESNQFLDGGGNTTHAAGRNEAET >Sspon.06G0029080-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6C:15226775:15227533:-1 gene:Sspon.06G0029080-1C transcript:Sspon.06G0029080-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKRPAAAAIPGSVSDASDGEAGAARNSHRRRASPSPSRSRSRSRSKSPRANVRPSAAASDSDADARAPSPRRTNRERSPRDHLDSDADAGTGRRAASPRRRGEHSPSFHSDSDADVAGRSPSPRRSRERTPGLRSDSDSDNSAAAAASDDAGAGAGAGDGDASPLPSARRSFRIKTNVKPVSTRPMDVPLRATAGSSQRRPKRRPSPHSPEHQKRPPRVWSPEDEVTILSALIEFRAKKGRLPASIQDTGK >Sspon.07G0032670-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7D:46267051:46277443:1 gene:Sspon.07G0032670-2D transcript:Sspon.07G0032670-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent DNA helicase Q-like SIM [Source:Projected from Arabidopsis thaliana (AT5G27680) UniProtKB/Swiss-Prot;Acc:Q9FT69] MMIKRRGALLCSFLWKWKVLCMGRIDSKNASSVELEKKLPIMPRISGKKMVHSFFPHLLRCDSPPEIAAPPAFSRRVTHCWPCAPPRRPREPSPDRARALDHCAARLRPPHRPSSAAREPLVGWCTRPRPATLDCRAALPRPRASPQFAMAACARSHPERKMPWWSSARPSRSPALIGPPPTGKSVGLGRAGSALLSRWLHLLVVAWLRSLRRCASSPRFESEALAFRIHPLPPSLEASRNFSHRLPCDPAPSRRRAEQGRGLRTGNFPRRRRRRRQNSLDMNAPRRGSGGARGLRPVLAMEPDAAGCGDVSADGLIAELLDMGFDFDAISAAVGAVGPRRVEVLELLLGGSGGARVEQGRRGGGVAGCPPSAARPRPSGKGTKLSNPSGRLRQSSITDHVASATGGRKESGREASASLPCTSAPGDPRVPVGADFCSKLGPQSRSLVENLTGESDQMDKVSAVLQKHFGFSCLKAFQKEVLDAWFAHRDCLVLAATGSGQPDSRVEGKAMAGMYKIVYVCPETILRLMEPLKKLAEKPGIALFAIDEVHCVSKWGHDFRPDYRRLSVLRENFSSSKLKFLKHDIPLMALTATATIPVREDIIKSLKMSKDTMIVLTSFFRPNLRFSVKHSKTSASSYGKDFQELIGTYNASRKRQQILHEIDTDSESSSYESLNDSASDDEDENVNSASHGDKNVGKTKSSMTLIKENVENELDLYQGVDDLDVSCGEFLECSQPESSESPSQSNQTSSSECGNQGPTIVYVPTRKETVELANFLCKSGLRAAAYNAKVVVATIAFGMGIDKSNVRRIIHYGFPQSLEAYYQEAGRAGRDGKLSDCSCNYYMKPSPPGITFVDNGIPKCDICTNGPPQMHDFKEEAIVFMNVLQGRSGDETEDMIYSSVPHYSSGRRGFGEAPNFRMVVSHIREKLPRFAATDKVWWQGLSRILEGLRYVQEAAETPRVSMQHPELTEEGLKFLRSSQSEEPLYAYPDAAMLLAMNEPRPFSDFSEWGRGWADPEIRRQRLAGKKAGRRKRKWRSRSRQQQPAGFTTAKERLAAILAKKRKRQTKRMAPVAPSVRVRCVTPGTVRSDPAESATGSVVDQIKSFSGRATPTTLLQRQRQCRAKRDGGGGERTLGQAADAATAAGGGSGRKGGGPVGPVLCVWGRGPRPV >Sspon.01G0040520-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:41388679:41390404:1 gene:Sspon.01G0040520-1B transcript:Sspon.01G0040520-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDATQDSLLFLFPATTTLLSPLAVLLVVLSLLWLYPGGPAWALIVSRSRATPPPGAPGVVTALVGPAAHRALASLSRSLPAAALRCRPSPSASRASSQPDTARELLASAAFADRPVKDAARGLLFHRAMGFAPSGDYWRALRRISSAYLFSPRSVSATAPRRVAIGERMLRDLSAAATGGGGGGEVVMRRVLHAASLDHVMATVFGARYDADSAEGAELEEMVKEGYDLLGLFNWGDHLPFLRWLDLQGVRRRCRSLVSRVNVFVARIIEEHRQKKKDDAANGESAAGDFVDVLLGLEGEEKLSDSDMIAVLWEMIFRGTDTVAILLEWVMARMVLHPGIQSKAQAELDAVVGRGRGRAVSDADVARLPYLQRVVKETLRVHPPGPLLSWARLAVHDAVVGGHLVPAGTTAMVNMWAIAHDPAVWAEPSAFRPERFEEEDVSVLGGDLRLAPFGAGRRVCPGKTLALATVHLWLAQLLHRFQWAPADGGVDLAERLGMSLEMEKPLVCKPTPR >Sspon.04G0006320-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:14065403:14068361:-1 gene:Sspon.04G0006320-2B transcript:Sspon.04G0006320-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAATTNGSAEPALKFLIYGRTGWIGGLLGGLCAARGIPFAYGAGRLESRASLEADIDAAAPTHVFNAAGVTGRPNVDWCETHRAETIRANVVGTLTLADVCRGRGLVLINYATGCIFEYDAGHPLGSGVGFKEEDTPNFVGSFYSKTKAMVEELLKNYENVCTLRVRMPISSDLSNPRNFITKITRYDKVVNIPNSMTILDELLPISIEMAKRNLTGIWNFTNPGVVSHNEILEMYRDYIDPSFSWKNFNLEEQAKVIVAPRSNNELDQTKLKREFPELLSIKESLLKYVFEPNCKTSKA >Sspon.04G0011290-4D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4D:36446836:36447945:1 gene:Sspon.04G0011290-4D transcript:Sspon.04G0011290-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGAGEGDAWADQEQGNGGGSRGVGGGGGGGGEAKRSEIYTYEAAWHIYAMNWSVRRDKKYRLAIASLLEQVTNRVEVVQLDEASGDIAPVLTFDHQYPPTKTMFMPDPHALRPDLLATSADHLRIWRIPSPDDAEDGAASANNNNGSVRCNGTQQPGIELRCELNGNRNSDFCGPLTSFDWNDADPRRIGTSSIDTTCTIWDVEREAVDTQLIAHDKEVYDIAWGGAGVFASVSADGSVRVFDLRDKEHSTIIYESGSGGSSGGGGGSNSGAGDGGAASPTPLVRLGWNKQDPRYMATIIMDSPKVVVLDIRYPTLPVVELHRHHAPVNAIAWAPHSSCHICTAGDDMQALIWDLSSMGTGSNGSGNGN >Sspon.03G0022070-4P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:46862772:46868662:-1 gene:Sspon.03G0022070-4P transcript:Sspon.03G0022070-4P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMPSLLSPRATSTRAVEPTVHSASPEPRLSYSSPGAAAAPSRASDDSCVVNDVDAFARTIAAIRSKPPAAAASGSGSTLASVLSHYAARWLPDAASSPSGRFLLSPESPTAAWLKKRLLLESLVAALPPDDGDGDDKDGGKSDGITCDFLLRLLRTGSTVGADAALLGDLEARAARRLDQASLGAVMIPAFGHGGLARGALAPCAPYASPPTLLDVPLVLRLVRGFLREGRAKAGGGGGAAAARVARLVDAYLAEAALEAGLRPAEFEELARAVPAHARAADDGLAPVQAHPRATKEERRSLCRLIDARKLSAEAAAHAVQNDRLPVRCVVQVLFLSSEHGGSGKLIAGHHRLAEWSGAGGGSFRDPQQIIRCPAAAASLDLPYYGAAIAAGGSARCPSKREVAVAAQHHELRRLREDVARLQVALVLLCVHASQSHMYCHALQAQVDRLGSESGRRRRGLFRWGAAFLFGGGPGPSAGATSRVDDSDSGVDRTPLSAGKLQGRGTPTVSRWR >Sspon.06G0008900-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:47712781:47715585:1 gene:Sspon.06G0008900-1A transcript:Sspon.06G0008900-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAATVPRSAAACRAAPLLPAARTAARHLALPRRAFSASVAAAPAPAPAPRCLLAARRAADGDNVETTPEAVPIEKRFPPFPSVMDINQIREILPHRFPFLLVDRVIEYKAGEYAVGIKNVTINDNFFPGHFPERPIMPGVLMVEAMAQVGGLVMLQPEVGGSRDNFFFAGIDKVRFRKPVIAGDTLIMRMTLTKYQKRFGLAKMEGKAYVGGDLVCEGEFLLVSATE >Sspon.04G0003420-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:11068005:11069144:1 gene:Sspon.04G0003420-4D transcript:Sspon.04G0003420-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCTGSRHALRGGVRGGGRSPHARSRSGGVHHTVALKSSTLGSLSLDRDEEEMMKWRDDGGVVVAAKTPPLPLKPQLMRQQKQVPSSPAKTQVREPEVINVWELMDGLDDKDEEGDADGEERREKSAPGSPEFDPDVIAAFRKALDEIPPPPDDPGNEECITKPDDGPGGGGEEVGVKKREIQRFPGIVRARVSAFQQRIDAKLAKPQPQPPALPPPPDSARKVVLYLTSLRGIRKTYEDCWSTKSILQSYGVRVDERDLSMHSGFKDELHAALGSTAGSRLPQVFADGRHLGGAEEIRRMHEAGELSKALEACEMAPPPSSGGKSIALEACSGCGGVRFVPCEECSGSCKVFLEEVGTFRRCPECNENGLVRCPLCSL >Sspon.07G0012270-3C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7C:50131551:50134053:1 gene:Sspon.07G0012270-3C transcript:Sspon.07G0012270-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLRRRRLAVAAAVVAVCAATLTPAAEGFDILQILGKHDEFSQFCKLLNETHLAGDINRDRTITVLAVANGDMGHLTGGHYSLGTLRHILELHVVADYYDDKKLKQLSHAATAASTLFQRSGFAPGMAGYVNITQHRGGKVSFIVDDAADTVKPVTYVKQIESHRYDYSVLQVSGVLSSPEAEAPVAPPAPVNLTDILSKKYCKSFAGLLAADPKVFDTLNGTKDTALTIFCPVDAAVAAFMPKFKNLTAKAKTAILLYHAVPDYYSMQFLKSNKGKVTTLATTSVAKKDYTYEAESKEDTVTLDTTVITSTIQATVRDDDPLAVYAVSKFLQPKELFKAKTADLAPAPAPEAGPKKKKKKPSSGSAASAPSDESADGPSADDSTDDAADKAAAAPSSLFAWWVRIAMALVLVLAA >Sspon.06G0004130-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:11220482:11238900:1 gene:Sspon.06G0004130-2C transcript:Sspon.06G0004130-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMPTFHLAPDLPPVSRLCFGTMTMGEQSGAACSLRLLDAAYDAGVNFFDSAEILHRLGVDYIDLYQIHWPDRYVPMFGETEYDPNCQYTSVPMEEQLEALGRAIDAGKHHGLWSSGASDKNKSMVEQLQRYGVIRSTKVAEVMEAIDRGLFVPPGGTPYYDSPMPIGYNATISAPHMHAACLELLEKNLQPGMRALDVGSGTGYLTACFALMVGPEGRAVGVEHIPELVATSIENIKKSAAAPQLNDGSLSIHVADGREGWPELAPYDAIHVGAAAPQIPEALIQQLKPGGRMVIPVGTVLQELKVVDKKLDGTIGIRDETISLLAYSPMAMGILSGKYHSSDNCGPPDARMNLFKGRYSEGESRYKLQSPKVEAAVKVCKMGRVGLGIGMGRDFRNVDRFRVFTARIPVASSAVPSAEHPVRFVVPTCAAPSRILSFRPSSILRQIPPATFNCRPQVVPRLTPNPSEESRRHLSSPATITMEHHGLWSSGASDKNKSMVEQLQRYGVIRSTKVAEVMEAIDRGLFVPPGGTPYYDNPMPIGYNATISAPHMHAACLELLEKNLQPGMRALDVGSGTGYLTACFALMVGPEGRAVGVEHIPELVATSIENIKKSAAAPQLNDGSLSIHVADGREGWPELAPYDAIHVGAAAPQIPEALIQQLKPGGRMVIPVGTVFQELKVVDKKLDGTIGIRDETSVRYVPLTSKESQLHAR >Sspon.01G0042730-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1B:67874882:67875352:-1 gene:Sspon.01G0042730-1B transcript:Sspon.01G0042730-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRCNDAFLATGRSSTEEQESGCCSVPPRAAAAAAASRSRSAALSAVTSSSTSARPPYTMVSCCAAVSAGSSCSWSTSAPGMRGRGRTTGARARVLSASAAFTYWLSAPHAAANRLVVVVAAVLFPVPPERAAAAAAAAAIAGDDLLSRMEEEEED >Sspon.01G0010130-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:73053142:73056415:-1 gene:Sspon.01G0010130-3D transcript:Sspon.01G0010130-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAADSDPAAAVAAMSTCAHCQREIPSSNIDLHSVHCARNLQKCQHCGEMIPRKLMDEHYDENHAPINCSLCKETIERESWDLHKGEKCPQRIVACEYCEFELPAVDLHEHQDVCGNRTELCQTCRKYIRLREWIGHEIQCHTNSNGSADTSSARAIPERELRPPPPVRPARPARPAHASPHKRLLFTIAVTGIAVMIGSILFQRDESF >Sspon.03G0018580-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3B:87149887:87165164:1 gene:Sspon.03G0018580-2B transcript:Sspon.03G0018580-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ASHLASRGSLIQHRASIPHGAPLRRLRRAPSLATPAPTRCAPRPHCYTPCPVCNSPKWPGGPKSRNEPILLQPGQWARYCTSRLRGCSGMVLQLGHCSSMIGAVTGSSPPSFPLLLVGSPTCRVVSHLERRLGSRPHPTPSPAPLPLPIHGSARSPINLSCINAPCTI >Sspon.05G0006020-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:10967674:10979882:1 gene:Sspon.05G0006020-3C transcript:Sspon.05G0006020-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dol-P-Man:Man(7)GlcNAc(2)-PP-Dol alpha-1,6-mannosyltransferase [Source:Projected from Arabidopsis thaliana (AT1G02145) UniProtKB/Swiss-Prot;Acc:A8MR93] MDSAPGAAGESWVEERAGAELRAIPPGGGWSGGRPPAPGMTDSGAMHDILYHTYHIEKYDHLEFPGVVPRTFIGAFVISILSSPAVFVLRLLHVPKFYSLLTVRLTLSCVTLMSLRLLRVQVKKKFGHQAEAFFVVLTATQFHLLFYSSRPLPNIFALALVNLAYSFWFKGSYVCTLQALIVAAVVFRCDIILLLGPIGLALLLSRSVSLLEAVKYCISTALICIGFTVLVDSIMWRRILWPEFQVLWFNSVLNRSSEWGTHSIHWYFTSALPRSMIVAYPLCMVGALLDRRIVPYMLPVFLFVVLYSKLPHKELRFIIGSIPMFNVSASLAASRLYNNRKKAGWNLLYILMLGAFLVSLGYSAVTFMASYNNYPGGYALKALHEADSSVKEKMVHIDAFTAMSGVSRFCENEYPWRYSKEEEIPIEEFEKMNFTYLLNEHHSIGGYQCLFAVDGFSRVKLKPQIPPLSLVKEPLVFAHGNMRDPDVLSLNWPGCP >Sspon.01G0055440-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1C:79028707:79033463:1 gene:Sspon.01G0055440-1C transcript:Sspon.01G0055440-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDATRLFLGALLLLPAALLLLLRARGRRRLPPGPPSLPLLGSVVWLTRGVHGPVRAIPVTLPASVRLPFAFVFIAWTVDCWTDLKFLGLGLAVIRRSRPSRNPDRIRDRDRLCNCSNLQVMSSSNRMRKYESGHQKRQKKQRIEELTQSQKGAMDRFIIREPQVSSPNNDTVGQGTAQDTENADDPNPNDDQPEIENIVEQVPDNIDGTNIDVNLDSSHAANIDDVSFQPDIFDPRLQKDQTIDKVAQRQLEKEKEHWRKVLFRIVAIVKFLGKHNLAFRGHNSKLYEDSNGNFLGLIEMLAEFDPVIQEHVRRITNDETQVHYLGPRVQNELIQLLGSAIKSEIIKKIKEAKYFSVILDCTPDAGHQEQMSLIIRYVDSSSCPVRVEEAFMGFLEVNDTTGQGIFDVLEEELKNLGLDIDNIRGQGYDNGSNMKGKHQGVQKKLLDINPRAFYSACGCHSLNLTLCDMAKACGKAKDFFGIIQRIYTTFAKSTKKWQILKDNITGLTLKSVSSTRWESRVDSVKAIRFQCANIREALLQASESDNDPLTSSEAKGLANNELGEYEFLVSIIIWYDILYAVNLVSKELQSKDMLIDVAIEKVQGLISFFNQYRETGFSNALEAAKEIAVDMDIGTTFRKKRQIKRKRHFDENPNDSNAATESPEELFRRSYFIAIVDQAISSLTTRFKQYQGYKKTFGFLFTSDALRSLDNKSLKSCCDHLGAALKRDGRSDIDADDLYVELIFLQDFIPQENKGPIEILNFLKRHECFPNATIAYRILLTIPCFELGLLFRRDAPGLTNSPSEIEPLLRRLFERYGPVVALRIGARLSVYVADRRIAHEALVDRGAALADRPALASVRLLGENDNSITRASYGPVWRLLRRNLVAETLHPSRVKLFAPARAWVRRVLVEKLAEPGPDAAPPRVVETFQYSMFCLLVLMCFGERLDEPAVRAIASAQRKGLIYRSKNMQVFAFFPAVTKHLFRARLDKARALRLRVKELFLPLINARREYKKRAGEPKGETTFEHSYVDTLLDIKLHEDGDRPLTDDEICILCSEFLDAGTDTTSTGLQWIMAELVKNPAIQEKLYNEIKAATDDDREGVSEEDVHKMPYLKAVILEALRKHPPGHFVLPHKAAEDMEIGGYLIPKGTTVNFMVAEMGRDEQEWKNPMQFSPERFLSGGDGEGVDVTGTKAIRMMPFGVGRRICAGLGIAMLHLEYFVSNMVREYEWKEVPGDEVDFAEKNEFTVVMKKPLRPRLVPRRSHLN >Sspon.01G0054510-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1C:57288076:57288897:-1 gene:Sspon.01G0054510-1C transcript:Sspon.01G0054510-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATMLAVALAVALAAVDVSAAPWERVDEEVRQLYEAWKSKHPARPPRGKDDDDLLRLEVFRDNLRYIDAHNAEADLGLHGFRLGLTPFADLTLEEFRGRVLGFQQSRRNDTTADAAADWHSAGEPQAVPAAVDWRKSGAVTRVRNQGACGGCWAFSAVAAMEGINKIVTGKLVELSEQELIDCDPKSDGCNGGRMDYAFQWVISNGGIGTEADYPYTGRDGTCDASK >Sspon.08G0027380-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:46305380:46307904:-1 gene:Sspon.08G0027380-2D transcript:Sspon.08G0027380-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGRHAAVQGKSLLVAENWFSVDEKPPIAYARVEILEGADMKPSDPNGLADPYVKGHLGPYRFHTKIHKKTLNPKWLEEFKIPITSWEALNLLSLQVRDKDPIFDDTLGDCSISINKLRGGKRHDIWIALKNIKTGKIHIAVTVLEEENEKVPNDEEEQCGIHKVDEASTPRSSFSSRTNHSESSDEFQKMSDEFEPVDIEGSEKPDVWVHRPGSDVTSAWEPRKGRPRCQDSKIHRENDACSDSPRSSVSESHRSDSSTEEATSSKSHRHLHKVKKGLGKLAGAVLHRSPRKENDDEASPCVAPHPNIRPVGESRVSVTYVVDQDPGANRSGSRPDDQQQSPEREELDSPTKRHLRKKAVHMVKHAGKTAHNLKSMFSKKGLDKSKEEHHSDEEGDVVAMQKNGVEVNPSLPSI >Sspon.03G0011660-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3A:31768601:31768831:-1 gene:Sspon.03G0011660-1A transcript:Sspon.03G0011660-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAKFCLCFGCKRALPSCSMSSWTSRPHRRISVRSRQRTMVFSAANSSLCRERARSPVGSLLRWERYRFEFDPGI >Sspon.05G0027310-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:5B:51552698:51553045:1 gene:Sspon.05G0027310-1B transcript:Sspon.05G0027310-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAYTILMALCMVVLFSCNAVEGAAYMRHEALSRKGLKEQRKLATISGLNPSVSNLSGQASSNVNGVNNNSESTNTNMSDASTAYTPMTATTTDSHHDLSVDQYRRITHNNENKP >Sspon.02G0020950-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:71090557:71094142:1 gene:Sspon.02G0020950-3C transcript:Sspon.02G0020950-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVARINPEKSIPHAVLSGASGLAILTVAKAGAILTYKLGTGLVVARRSDGSWSAPSAILSAGFGWGAQVGGELMDFIIVLRGPEAVRTFCSRMHFSLGAGVSAAAGPVGRVLEADLRAGDKGSGVCYTYSCSKGAFIGVSLEGNLVATRMDANLRFYGDPYLTTSDILTGNVEQPNAAKFLYTALDDLYSGLDC >Sspon.06G0026810-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:80940556:80941002:-1 gene:Sspon.06G0026810-1B transcript:Sspon.06G0026810-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSRPAQDKKPHHQPAISKPNETQNLPSVTAISNRKPPLPMDRVAMLASEQAVVVFTASNCLMGDVVTALLSSLGVNAAVHDLDRDPRGQEMERELARRLGAVAGRGSPAVPAVFVGGHLVGGTYRVMELHLAGELKPMIMRAGPICF >Sspon.04G0018710-2P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8B:2722163:2724334:-1 gene:Sspon.04G0018710-2P transcript:Sspon.04G0018710-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat extensin-like protein 2 [Source:Projected from Arabidopsis thaliana (AT1G62440) UniProtKB/Swiss-Prot;Acc:O48809] GHRAHCLLHSPPLHLSPKTSRHPHPAPPPLRQPAMIPAPPASTPGGSGWRRVLLAAALLLLALAPPASAQPTPTSPALQAAYTALQAWKRAAIFSDPSNFTANWVGPNVCAYNGIYCAPRPSDGALAVAGIDLNHADIAGHIPADLPLGLPDLALLHLNSNRFCGVLPDTFLRLRLLHELDLSNNRFVGAFPAVVLGLPALRYLDLRFNDFEGPIPPAVFDRPLDAILLNSNRLRGPIPGNLGNSPASVVVLAHNRLGGCIPPSIGRMADTLNEIVLIDDELTGCVPPQVGLLRKVTVFDVSGNHLQGPLPASVGGMAAVQQLNVAGNLLRGAVPMAVCGLQGTLRNFTYEDNFFTSRPGCAVAMADGRWNCIPGAPAQRPPPQCAAAAAPFDCRTAQCQAPPTSGTPGSGPGTPSQPLPPPGSNTPSYPSPPGSSATPSHPSPPGGSTTPSYPSPPTDGSSPKPSMPPSSGPSSHGGSPPSSGYQPPPPSSGWAPSGQPVGVPPPTEHPGGVWPPHTPAAPGTPGSAYPPGTPGSPSTPTTPGTPGSAFPPTTPGAPGSAYPPTTPGTPGSTYPPTTPGAPGSSPSTPTTPGTPGSTYPPTTPGAPGSSPSTPTTPGTPGSTFPPTTPGYHPPSPGGGSPGGHGGNQHGTPPSTPGSGGGVLPFPPAHGMPYSSPPPPPSDPAGNVPFPPVHGMSYSSPPPPLPPVYGVSYASPPPPLPPVYG >Sspon.01G0041440-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:49637739:49645671:1 gene:Sspon.01G0041440-1B transcript:Sspon.01G0041440-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VVLEGIGILGVLLGQDFALSGFMHSSLYLLLRELISSSAQIRTASDAVLRALATAGGHCSVGQFVVANADYIIDSLCRQLRHLDLNPHVPDVLASMLCYIGASRDILPFLEEPMQAVSSELEVLGRHDHPHLTVPFLKAVSEISKACRHESTCLPDEAQSFYAKVSSEGQEVKNTIEKRMESSAISERMNVDARPYFMTLEYWEDLLCKLNEMRRYRRIVGSLAGSCLSAAIPLLSSMKETACLVALDIVENATISIAKVEEAYKCECQSKGVIEEAIQFLSFDELLDGTDATEDVDENRLLPAMNKLWPYLVICLRNKISVPVVRKCTEVLSRAISISGGDFYVRRFHKDGSIVWRLLALSPFRRMSKMNEKAIILPYRDTSLTSEEPMAEISSQKIQIAVLDMIAAISSNKRSAVALESVLKKVCGLVVGIAYSSLTGLQEAAIRALAGLACMDADLVWLLLADVYYSLKQREPLLPNQDLALVSDLLPPPMSSREYLFVQYGGEGVRYDVDPSSVHEVPDPLSICNLAEVFFVMLAACVTH >Sspon.07G0001510-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:2337012:2342642:1 gene:Sspon.07G0001510-3C transcript:Sspon.07G0001510-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFVAAYLLAVLAGNSSPSAEDLTAILESVGCEVDNERMELLLSQLSGKDITELIAAGREKFASVPCGGGGVAVAAAAPAAGGAAPAAEAKKEEKVEEKEESDDDMGFSLFD >Sspon.02G0029410-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:106925953:106926308:-1 gene:Sspon.02G0029410-1A transcript:Sspon.02G0029410-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding EMPFPGALMRLIAEARLNPLRVPVALISFGIVLSAAALALIIFKAPAGIFLRPHGSTPAYLYYGVLTAVAILDGWHAGGKTVLWVSLLPLVLVFALGGLAFLK >Sspon.02G0033840-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:9288064:9301122:-1 gene:Sspon.02G0033840-1B transcript:Sspon.02G0033840-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNAGAGGLDDSGAGEDWVLAFCFGIRAVIEDQDRMLGRHPSVRISSNTWRAASSWPALTCARRSRSNEAAEKENSLYTSTRCGKRGGAGGRAERRDARIARASAERRARARMWSSWACARREGREAARRKRCSAASGSRRTRRSAAWRSAGVRGPEEERRRAAAEKRWRRRRRVRRPRSVASVAASAEVGAEEWMPRRRKESDRRRQSIFWGDKRGRSVAELGQDFRWSRSAERSPPLAIAGAAPPLAPGARAGGRRPPALRVLIHVLETCAAVLDRAPRQAHNLVLIPQVGDIPNASDSDKHIIKPLTPTTWSYTPVAIHSNTAPLCKEQEDGRAHQMSGCGWSALDSGGGFAA >Sspon.02G0037090-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:29437584:29439306:1 gene:Sspon.02G0037090-1B transcript:Sspon.02G0037090-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSTPLLSLLLLLFSACYTAPSSAADCDPADRATLLRVKAQLGDPAGLSSWLPSTNCCAWDPAVFCDVAGRVTGLALYSLPDVSARVPAALGDLAALEILQVDSVPGLAGPVPASFANLTRLLDLDINGTSISGPVPGCLLAGAVGLRTLVIANSKLAGPIPASLAALPGLRYLDLSGNMLSGAIPPGLLHGGSRFLILSNNRLTGEIPSDYGDGDVDTIDLSRNQLTGDPSPFLFGITKPAVKIDLSWNELEFDLTEVSFPHHLRFLDLSHNRVRGRVAKSLMDVKLEHFNVSYNELCGEVPAGRFMSMHGADCYAHNKPKFAQLLLTQNQDQQLHQIPSHTIPPHNIIGDKEQLPPSQLAITKE >Sspon.07G0019960-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:83073856:83081593:1 gene:Sspon.07G0019960-1P transcript:Sspon.07G0019960-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSFPPPGAVTICEINRDLAFPLARLIRSASPWPLAVAADALSDARAKDAYGDVLGMVFAPIPFQPEALLPSREPAAPAADQAEPAETAPAPGLAATISEFFRRMVFPPLDVSLIVISESLTLNPNLLEEFDTQKVSWNPYKHCLAFVSGKNQVTVQDFEDSDAKEPCILTSDHQKDVKAVEWRPNSGKMIAVACKGGICLWSASYPGNVPFMKPGITSSSSSAFPRSSGGQWILVDVLRGSSAELTPNEMPECRLQGEGSVGLTFTLRKLLSVSLQIIADAANESEYLDINLFM >Sspon.03G0014720-5P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:43137251:43141396:-1 gene:Sspon.03G0014720-5P transcript:Sspon.03G0014720-5P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPQISRRALGLLLVLAAAVVSPAAADEVVALTEADFEKEVGQDRGALVEFYAPWCGHCKKLAPEYEKLGASFKKAKSVLIAKVDCDEHKSLCSKYGVSGYPTIQWFPKGSLEPKKYEGERSVEALAEFVNSEAGTNVKIAAIPSSVVVLTPETFDSIVLDETKDVLVEFYAPWCGHCKHLAPVYEKLASVFKQDDGVVIANLDADKHTDLAEKYGVSGFPTLKFFPKGNKAGEDYDGGRDLDDFVKFINEKCGTSRDSKGQLNSEAGLVASLNPLVKEFLNAAGDKRKEVLSKIEEDVAKLSGSAAKHGKIYVTAAKKIMDKGPDYTKKETERLHRLLEKSISPSKADEFIIKKNILSTFSS >Sspon.06G0002520-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6C:6534207:6537641:1 gene:Sspon.06G0002520-2C transcript:Sspon.06G0002520-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SGNSNLESAVNWLLEHDSDPDIDQLPLVTREIIIECGDTSNEVRNDVQETRDTVQEQKPEEQTETGRQNRERIRAAKDLMEAKQTLEENQRKRMMESRIADQEEEKRARERIRQRIADDKAERRRRLGLPQENPSASAAVITPTKVKPVERVVTSEQLRDCLRTLKKNHKEDPAAVTRAYQILLKIVANIVKSPEEEKFRRIRLSNPVFKDRVGSLQGGVEFLELCGFQRLSALGYLVMPRDKVDLALLNAAG >Sspon.01G0000720-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:2423206:2425693:1 gene:Sspon.01G0000720-1A transcript:Sspon.01G0000720-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVMVACSAMAAAKEQEQERENASPKSKWEKWKRGGIIGAAALTGGALLAITGGLAAPAIAAGFGALAPTLGTLVPFIGASGFAAMAAAAGSVAGSVAVAASFGAAGAGLTGTKMARRIGKVKEFEFKPIGDNHNQGRLAVGILVSGFAFDEEDFWKPWEGWKDNLEKYGMYILQWESKHIIAVSTAIQDWLTSRLAMELMKQGAMRTVLSGLLAAFAWPATLLAATDFIDSKWSVAIDRSDKAGKMLAEVLLKGLQGNRPVTLIGFSLGARVIFKCLQELALSNDNEGLVERVVLLGAPVSVKGERWEPARKMVAGRFVNVYSRDDWILGVTFRASLLTQGLAGIQAIDVPGVENVDVTELVDGHSSYLSAAQQILEHLELNTYYPVFVPLPEARK >Sspon.07G0024990-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7B:34656381:34656752:-1 gene:Sspon.07G0024990-1B transcript:Sspon.07G0024990-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPCPASVPHSPPCWRRRPTPAHHAADSHPPHLASSYRRFAPPWWRGYRRRRGDQNEVVDDVQGHHYGEGSVTIVMELIRSLTGNAVAAADIARVRERRRDSVGRRPAAAAAWVLRSSGGHA >Sspon.03G0017850-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:55299529:55303265:-1 gene:Sspon.03G0017850-1A transcript:Sspon.03G0017850-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable uridine nucleosidase 2 [Source:Projected from Arabidopsis thaliana (AT1G05620) UniProtKB/Swiss-Prot;Acc:Q8LAC4] MAAVEGTTKKKVIIDTDPGIDDAMAIFVALRSPELEVLGLTTTFGNVHTALATRNALHLLEAVGRTDIPVAEGSHVTIKKVTKLRIASFVHGSDGLGNQDFPPPATKPVDQSAAAFLVEQANLYPGQVTVVALGPLTNLALAVELDPSFPKKIGQIIILGGAYSVNGNVNPAAEANIFGDPDAADIVFTCGADILAVGLNVTHQVVLTDADREKLEQCDSKYARYLCKIMGVYFDYHKDAYFIKGVYLHDPTTFIAAVNPSLLTYTEGVVRVQTVGITKGLTVFDNTKKRYGEITAWTGMPTVKVAVTVDAPAVVELMMQRLMTDD >Sspon.07G0006170-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7A:16072208:16075336:-1 gene:Sspon.07G0006170-1A transcript:Sspon.07G0006170-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEEPVINEVRPTQDKPKRGRGRPRKQKPGDGQVVMEKRSTSNQVNDTSYNVKQVGMDFDDYDNLQNKKKRNFDSFEDSEKSSAPTGGSSFKIGECIRRAASQLTGSSSIMKAQNEPTAYKNAAEGENGEFDISSDDAGDELTVEKRAKRRRLHRNHTADPKELLSQLCMVATEPMNGYSFSPMIISYFNDYRNYIVSTTTEANIVDKGSSRRGRKRKEVLPSPEVETTDHMQDSYWSGLSLHNHPIHDLQKESPTTRPRRRRRSSRHAYVPLSELGDPVPKKQIQVMERSIIHVDEKMVDELKPTALVLSFGRSAAIPSELDLVKMFSRYGPLKEGETEVHKETKTVKVVFKKRADAERAFSVAGKYGTFGPSLRSYRLVNMPFSLETLSANNPVKHPEDRDMEIPGSTKSEVPKDSMEVDLVQKTDKVEVAGELSSEEVETVRQTSQVEAADSAFVSQVDRLEKAGKIDAELIGRVNQIGKGTQAASVPEASSQQVGNVEQADTQKEASISDLPTETETLHSDAIIKELPQNAAHNMQTDVVVEAPKQSHISGDNTVFEADTEAPSTAQDHTEDDTGNEVLEEHMVSPELLQSQTSGEKLVGQTATEQKVGPEDPKSQSENFAAEPFVEGAVEQVEVEVESKTTVEVSGEQGYSIEQTVQVEAVIEASGGQLEVGRQISEDESMADATTEQSTVMVDETVEAEVVPVQENIENAAAAAVGVVEETAEGETKEEAADEKGKIENKEYADKLAEETRAGEITVEAPDEKTENKADAGTLAGETKEVEIEVGAPDEKIANKAIGETTEGQTTAGAPVEETITAEEMIEDVKVLDNKSAAADKPVENATVVTHENSTTVETTLQDAIAPADTNTTNAKNTAVGATAKALDEDAPAVEKTIEDTSVEAPDVQA >Sspon.01G0010710-4D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:32184759:32185803:-1 gene:Sspon.01G0010710-4D transcript:Sspon.01G0010710-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQEIQITKLPSQRQQQQELPLPPEDGTILQDHTSQDQQQQAAQGRHGAVQGGCQHHHHRSSSKLTVLPLIFLIYFEVAGGPYGSERAVRAAGPLFTLLGFLVFPFAWGVPESLVTAELSAALPGNGGFVRWADLAFGPLAGSLLGKWKYLSCVINIAAYPALLADYLGRVIPAVAAGTGGRTRTGAVVGMTVFLSFVNYTGLSIVGWGAVALGLVSLAPFVLMTGIAVPKMQPRRWTVQVQGSKDWRLFFNTLFWNLNYWDSASTMAGEVERPERTFPRALAVAVVLIAASYLLPLMAATGATDAPPDTWANGYLADAAATILHN >Sspon.01G0009290-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:33195449:33198551:-1 gene:Sspon.01G0009290-2B transcript:Sspon.01G0009290-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSYSGNRSSSSSSRPTTTSFDSYQFDFGTNASRSSGSRPLRDQRPGAATNPPARPATGAMWTHQPASAKPSWTHQPSPAAASAATMVGPGSGPTSMVGDIFGRSWSSAAPSSGIGIPQANNPGLFSDLLGSALGSSRAQSNAPLRSAAAPQASRPAGANPNANANANSSPFSMGGMAGALPKTTGAPMGTGGYGVGGRPMKPGGMAATAAAQPTVQQKDPFGSIDPFATKPGSMNAAKQANSVKPDQGFGAFQGVNSSAAAGFGSFQSADAGFGAFQSTGAAKPSSSTPPPAPASMPTPVAAAVNSSMDPLDNLFASTTGAPTAAAASNGGSGGDMFGEMDGWVDVEAEYGGGDSGGTTTELDGLPPPPSGLTVSAAKAKGMDSYKGGQYADAIKWLSWAVVLIEKSGKDADIVEVLSSRASSYKEVGEYKKAIADCSKVLDQDKENVSVLVQRALLYESTEKYRLGADDLRLVLKIDPTNRLARSRQIPSSVAESLPQAAVIVSVGCPSSSIVGSCSFKHLRLTAPFLKLLLAAASPHPTYHGPWAMLHSPAPAVPHSHYRDYKMSSPWTECAPRLLQIFLPKPYSLCSMA >Sspon.08G0008050-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:29387143:29388544:1 gene:Sspon.08G0008050-1P transcript:Sspon.08G0008050-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SPCH [Source:Projected from Arabidopsis thaliana (AT5G53210) UniProtKB/TrEMBL;Acc:A0A178UF96] MAEALCDQLFSDVDGDLMRQHTSDTDDLLGILEAWEDCVTGGGATTPRGAEVLHQTAGDAAATPKPVAAKRRRQGCREEDGTAVPAPKRQRCSPVSSEAAAASEDGAANKTSHITVERNRRKQMNEHLAVLRSLMPCFYVKRGDQASVIGGVVDYIKELQQVLQSLEAKKQRKAYTEQVLSPRPPACCSPRPPLSPRPPLLPLKSTPPISPRPAVVPISPRTPPAPSSPYKPCRPQPISVPLPPPGSSAYASPAMMPTREPAAASYLPSLDTIAADLCAYAAINKQLQAALPAATGGGVVLPDVKVEFSGANLVVKTVSHRAPGQTVKVIAALEGRSLEILDAKINTVNDTAVNSYTIK >Sspon.01G0049410-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:96713370:96722658:-1 gene:Sspon.01G0049410-2D transcript:Sspon.01G0049410-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIVPKETIEVIAQSVGIPSLGADVAVALAPDVEYRLREIMQESIKCMRHAKRAVLTADDVDSALGLRNVEPVYGFASGDTLRFKRAVGHKDLFYLDDREVDFKEIIEAPLPKAPLDTSVVAHWLAIEGVQPAIPENPAIDAIVPPTKNKRSEHGKDDGLPVDVKLPVKHVLSRELQMYFDKIAELTMSRSDTSLFKEALVSLAKDSGLHPLLHQLMPSMITCIVAKRLGHRLSDNHWELRDFSANLVASIRLLLLPNLVTYMQLLEPELQLEKQKNEMKRKEAWRVYVELVEFESKEYVALQISDKRKSSTDLSATQPPLKKMATDTTANSMASASMGGNMQGAMDGFSNQLANPGVMQSSSSGQMVESIPSAAIRRDQGSNLAQRVSAVLRQAWKEDQDTGHLLGSLYEIFGEAIFSFVQPPEISFHSCTSAAEHPESAVGGLKSESYNTKVSEGSDERVVHNNIRHNPILLHIQKCCNSILNPHINSISCRLASPGAHVLHHTDSLFDSACLAECIDHDTICDNVRLATSGKHITVNLPHLREVGCSPPTLKQDAIGLGIRTEPIIHLVKDLLRLAHVLRLAQCTEQAVEGSEVVGNTILLHDVERVKCLIKLLRPGIFAHDDAERLNIDHALLAHDGHNVPHELVLPDLG >Sspon.01G0018870-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:71385667:71388762:1 gene:Sspon.01G0018870-2C transcript:Sspon.01G0018870-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPSKRREMDLDWLLSIYQGGVWKVRVELPDAYPYKSPSIGFINKIYHPNVDEMSGSVCLDVINQTWSPMFDLVNVFEVFLPQLLLYPNPSDPLNGEAAALMMRDRSAYEQKVKEYCEKYAKPEDAGITPEDKSSDEELSEEEDDSGDEAILGNPDP >Sspon.06G0012290-3D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6D:48471896:48481884:-1 gene:Sspon.06G0012290-3D transcript:Sspon.06G0012290-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMQPQLGDCSPDKLASLPLTPRGVPDSQEGPWPVQEKAIDDWLPINARRNAKWWYSAFHNVTAMVGAGVLGLPYAMSELGWEVGIAVLLLSWIITLYTLWQMVEMHEMVPGKRFDRYHELGQHAFGEKLGLWIVVPQQLVVEMGLNIVYMVTGGQSLQKFHDVVCDDKCKDIKLPYFIMIFASCHFVLSQLPNFHSISGVSLAAAVMSLCYSTIAWIASVQKGKSPDVHYGLRATTTPGKVFGFFGALGDVAFAYAGHNVVLEIQATIPSTPEKPSKKPMWKGVIVAYIIVAVCYFPAALVCYWAFGNGVDENILVTLRKPKWLIALANMMVVVHLIGSYQVYAMPVFDMIETVLVRKFGFRPSLMLRLIARSVYVDTRRAVTGEQRLPAQARSGGGSGRPPVTPSPGGLSGLLSCSATSTPSPRLPRRRRHVGQLLGDRVDRVGAHGTSAEAEADYSLRATTTPGKVFGFLGALGDVAFTYAGHNVVLEIQATIPSTPGKPSKKPMWKGVVVAYVIIAACYLPVALVGYWAFGNGVDENILITLNRPRWLIAAANMMVVVHVVGSYQVYAMPVFDMIETVLVKKYWFRPGLRLRLIARTVYVGNASA >Sspon.02G0019020-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:64079511:64088589:1 gene:Sspon.02G0019020-3C transcript:Sspon.02G0019020-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VARVAGSLPLFQPPGGGLDLGAAPALSSAASARGPPPAARARGPHPAAGGRPVHGVARPSVAPHCSASRPSWTGSAPSAFHPPPPAMPHLPPPAALHHGASGASSAHGHAAWPYAAAALTAAALPAADPAAAGVGTGPRLFFSGPQLGRFVADPAALAAAAPAATLAAAGAPTAGAGTAAAATDAGGAATAGAAAALGSATVAATIEQATAAATIEQPADAVQWALTHPLTISEYEKLKKQLKPDFEDMVPWYSGTSTDLFKTVFDLMISVTLFVGRFDMRMMQAAMNKTPDEANSHDLLYDHLDGKDELWFDFIADTGDGGNSTYAVARLLAQPSLVIKSDDSRLTFPRGQLLLIGGDLAYPNPSSFSYERRFFCPFEYALQPPAWYKPEHIALQKPELPLGVSELRRYRGPQCFMIPGNHDWFDGLNTFIRYICHKSWLGGWFLPQKKSYFALKLPNGWWVFGLDQALHGDIDVYQFKFFAELCQQKVGESDSVIVITHEPNWLLDWYWGDSTGTNVAYLIREYLRGRCKLRMAGDLHHYMRHSCIESKEPVHVQHLLVNGCGGAFLHPTHVFENFRVFYGNKYETKSTYPSYNDSSKIALGNILKFRRKNWQFDVIGGFVYFVLVFSMFPQCDSFRILHEDSWAGRINGFFSAMWNAVFEILERSYVSLGGVVTLLMVSFFFVPTKLSRRRRVLLGFLHAAAHLTSAVLLMLLMELAIEICIRNHLLATSGYHTLYEWYRKVESEHFPDPTGLRARLEHWTFGLYPACIKYLMSAFDIPEVMAVTRSTICRKGIESLPRGGAIIYYVCVFLYFWVLSTPVVSLVFGSYLYLCINWFHIHFDEAFSSLRIANYKAFTRFHIKKNGDLEVFTLAVDKVPKDWMLDPDWDMEPKQPLQMSYTRKFPSKWRAASGLDPINAVRIVDRFVIPRTPSSPRTPSSPTTPGGSVR >Sspon.01G0020570-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:76454384:76455745:1 gene:Sspon.01G0020570-1A transcript:Sspon.01G0020570-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALEIEHTHLPISGLNLHVAHVGKGDLGTVVFLHGFPEIWYSWRHQMLAVAAAGYRAIAPDCRGYGLSDQPPEDEEASLDDLVADVLGILDALSVPKAFLVGKDFGAMPAYEFALQHPARTRGVVCLGIPFSPVPMSLDAMPEGLYIQRWREPGRAEADFGRFDVRRVVRTVYVLFSGAEIPVGKEGQEIMDLADLSTPLPEWFTEEDLDAYAKLYEKSGFGYPLKMPYRAIHKIPNRLDAKFQVPVFMVMGEKDYCFKFPGFETAMRSGIMNNFMPDLKITYIPEGSHFVQEQLPEQVNDLLLGFLKDHPSAA >Sspon.02G0036270-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:23823055:23824374:1 gene:Sspon.02G0036270-1B transcript:Sspon.02G0036270-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVKVLSSKLVKPAYNAGAAPSPARADEYIPLSIFDSVTYKMQMAIIYAFPPPAPSTVAIANGLAAVLAEYRVFAGQLGDAPDGTPAVLLNDRGARFVEASADADLVDMAPAKPTPELLRLHPDLEEELQEVVLLQLTRFRCGSLAVGFTSNHVVADGHATSNFLVAWGRATRGLPTGLPPVHHQAGLFKPRASPRVDFDHRSREWYRPSPAEKQHGHGGDGGVVENIVIHKAHFTKDFIAGLRARASEGRGRPFSRFETILAHLWRTMTRARGLNPDETSQIRLSVDGRHRLGLPAEYFGNLVLWAFPTATVVDLLGRPLKHAAQVIHDEVARVDGSYFRSFIDFATSGAAEKEGLAPSAICKDVLCPNVEVDSWLTFPFYELDFGTGSPSYFMPSYFPTEGMLFLVPSYIGDGSVDAFVPVFEHNLEAFKQCCYSME >Sspon.05G0008840-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:26207500:26211906:-1 gene:Sspon.05G0008840-1A transcript:Sspon.05G0008840-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEISFSAPPPPPDAACAATAAPLLAPAAAQTLPPVAAVSSSPPQQQTAVAVAVAAAVVAPPPADDKVLVSVEVLLHAASAARHEDVQVAVESLLYEVGLKQRLLRYAASALLFTERGVDTCLVSWNRIVLLHGPPGTGKTSLCKALAQKLSIRFKSRYSMCQLIEVNAHSLFSKWFSESGKLVAKLFQKIQEMVEEESNLVFVLIDEVESLAAARQAAISGSEPSDSIRVVNALLTQMDKLKSWPNVIILTTSNITTAIDIAFVDRADIKAYVGPPTLQARYEILRSCIQELLRVGILTYPQGSSVPCILNYSTLEDKKHCPEAAEPHGAFQLSSLLYEAAKLCEGLSGRSLRKLPFLAHASVTNPSCCDASTFLHTLIKLHKERSWSRV >Sspon.05G0018570-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:78940137:78949949:-1 gene:Sspon.05G0018570-1A transcript:Sspon.05G0018570-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding QKPKFSIMAGVLDALASYIQNMLMQMAAEEVHMLLGVSGEIDNMDIKLQDLKNILADADRRNITDQSVQAWVIELRNAMYDATDILDLCQLKAMERGPRHDAGCFNPLLFCIQNPLHAHDIGSRIKNLNKKLDGIKARGASFNFINLGTYEDRGRKMVSYGSSTRETSSGLDESSLVSEKIEEDMQNLVELLTKEEQTHRLYNKIMVFAIVGVGGIGKTTLARKIFNNDIVLQEFSKKIWLSVNQDFNELDILRRAIIEAGGDYHVAGDTKESLERILTQALKGHKTLMIMDDVWDYRAWEDVLRTPLVNAAAGNNCSRVLITTRHNTVARGMMAEEPYHRIRKLEPEDAWLLLKKQVVVNINDEPQVEMLKEIGMEIIGKCDYLPLAVKVIGGLLRQKTSRQGEWENVLRKSFWSVPQMPEELNNAIYLSYQDLHPSLKPCFLYYCLLPRGRVFFRDDIIGMWISEGFVHGTTCDLEEIGREYYDELIQRNLIEPDMGYIDQLVCNMHDIVRSFAQYVARDETLVVHKRDICISDKHISQKFIRLSIDKEGSKTHDLEWCSLQTQKSLRTLISVGHIKIKRGDSLLSFRSLRTLHLLSVNFDGLAESLYELKHLRYLSIHNSDTSLLPENIGKLEFLQHISLLGCNSLVKLPGSIGMLRHLRHLRITRTSINTIPRGFCGLTCMRNLYGFPANMDGDWCSLEELGPVSQLMNLSIRFLENVASASFATQARLGKKNRLRYLSMNCTTRLGIDGLMVKVEGGISEEEQRRIEEVFDELCPPSCLETLDIRGYFGQRLPSWMNPTAAVTLTPLWSLRILTMDELACCTELPSGLSQLPSLEHVQIRRAPAIKHVGFEFLKPKNQVGVAFPRLQELYFHGMVEWEQWVWEVHQKAMPILKKLYICMCKLRRMPPGLSLHARALQELLIYDVKNLSSFENCPSVVHLEVLRNTHLERISNLPKLQKLVILKCPEMKELQGMPALQRLTLEDYDMETVPRYLQDVNPRHLLLGCSLVLLTSIAAGKSGPEWDKFSHIQQVKAYANDKDCPRKWYVLYTRDPFRFETNISRSAINQACWDRTVLSYKKTCPIGDELSAGGCADKRLPLCLRFRQHVRADAMPIATWFTGLMKRACTAVKPTTSLPHQISGPKQQSGLR >Sspon.01G0011030-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:30445598:30449783:1 gene:Sspon.01G0011030-1A transcript:Sspon.01G0011030-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHDLCDDLELVADCGYDDYLDDFHFHDGFLSRSPLLPPAPAVVRPACSIYIFFFLQQHEQMGDTSALDFKEGKDMQGIPWERLNYTRDQYRQMRLKEYKSYKNLTRSRSGLEQECKQVERKDTFYDFHLNTRAVKSTIVHFQLRNLLWATSKHDVYLVQNYSVMHWSALLQRGREVLNVAGRLAPTQISTMAVKDNLMVAGGFQGELICKVGWVACRLVSVFLSSVSLHVSHSCALLIHQYVDKPGVAFCTNLSGDNSTTNAVDIYQAPNGATRVMAANNDCVVRTFDAERYSLLTQFTFPWSVNSTSVSPNGKLLAVLGDSTDCLIADPQSGKAMSTLRGHQDYSFASAWHPDGRVLATGNQDGTCRLWDARRLSEPLAVLGARIGAVRGLRFSPDGRFLAVAEAADFVHVYDAAAGYVGAEQEVELFGEVAGAAFSPDGEALFVSVADRTYGGLLEFRRRRADGYLD >Sspon.03G0005640-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:31602563:31608352:1 gene:Sspon.03G0005640-3C transcript:Sspon.03G0005640-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPRSAGGGVAGDEAIWRKLREAGFDEDAVRRRDKAALIGYISRLESEIYDYQHNLGLILLERKELTSKYEQLKASSEATEIMLKRERASQQSALAETRKREENLKRNLAIQKECISNLEKALHDMRGETAEVKVSYEAKLAEALQMIETAQKKFDEAEEKLLTAKSLEAECIRTRSTSLRSLQDIEDREDQLRRYRTSLELENASKEKEINLLRKSLDDTKKVLHEKEQSLLKEQLLLNQRDDNILERLGYITRSEKRLEEEKLNLEDERKVLLEEKNKLDLNMQAIISREENSVVKLMTEAIIQKESILDKRESELLVLQETIASKERAEIERLRQEQEVALVRRRQEFDTEMEIKLASFEEEIDARKALLDQRETAINEQEDAVAQREQNINLRLAELANKEESLVKKSDELREEEKRLSSERETLHMELQKEKEEIQNMKLDLEKEKSFFEEEKREAIQAQENLAITQNEREDLQSLQVKLKDEIDSLRAQKVDLMVDAERLLAEKERFEIEWELIDEKKEELQKEEARIAEERRVMDEHLKNELDIIKQEKENLRVQFKSSAESLAREHDEFMNKMQQEHASWLSRIQQEREDLKKDIDIQRIELLNSAKARQMEIDSYLREKEEEFEQKKSKELEYINSEKETIISTLEHVRLELQKLEEERNGAMLERERREQELSEIRDTIDALNEQREKLQEQRKLLHSDRESITLQIQQLNELEELKIESENKQLSLRQCGKSKNGGVENLKENGVHLSPDEDQNASPKQTSVKKLEVSPSVSTPISWVRKCAQVFIFKRSPEKSDDPHNDRLVPAKLAKTIDSSLAAAYSDGLFAHQLENGAGKVPQTVDGLKVGKKRLNNALSHGDSEILQPKRKQQRSTTQTLRSAAEPSNGHGVVDSEDKDEPDEDSDDEGEEEEKTSSAKKIWRFLIT >Sspon.02G0037250-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:31291271:31295075:-1 gene:Sspon.02G0037250-1B transcript:Sspon.02G0037250-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:TIM [Source:Projected from Arabidopsis thaliana (AT2G21170) UniProtKB/TrEMBL;Acc:A0A178VN28] MAAAPSSLASSHLSRLADLRRAAAVATPAVPQQLRIGCSRRRAQRVVAMAGSGKFFVGGNWKCVSACSASPPALRAFGMSVPMILEMLCNNSERSQGMSPVPPEDTEALNGTKDSISKLVSELNSATLETDVDVVVAPPFIYIDQVKNSLTGRIEVSAQNVWIGKGGAYTGEISAEQLVDIGVQWVILGHSERRHIIGEDDEFIGKKAAYALSQNVKLIACIGELLEEREAGKTFDVCFKQMKAFADSISNWADVVIAYEPVWAIGTGKVATPEQAQEVHAAVRDWLKTNVSPEVASSTRIIYGGSVNAANCAELAKKEDIDGFLVGGASLKAPDFATIVNSVTAKKIAA >Sspon.07G0012940-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:52638678:52641228:-1 gene:Sspon.07G0012940-2B transcript:Sspon.07G0012940-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQIIDGKAIAADIRREVAADVAALSSAHGLVPGLAVVIVGSRKDSQTYVSMKRKACAEVGICSIDVDLPEDISEPALVAEVHRLNADPAVHGILVQLPLPKHINEEKILSEICIEKDVDGFHPLNIGKLAMKGREPLFVPCTPKGCMELLSRSGVTVKGKRAVVVGRSNIVGLPVSLLLLKADATVSIVHSRTPDPESIVREADIVIAAAGQAMMIKGDWIKPGAAVIDVGTNSVDDPTRKSGYRLVGDVDFAAVSKVAGYLTPVPGGVGPMTVAMLLKNTVDGAKRGIVE >Sspon.01G0046390-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:87714719:87716884:1 gene:Sspon.01G0046390-3D transcript:Sspon.01G0046390-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEHPAPRSSVGSRSSALPPHHQRLPPAPDPLASIWIRRLHLTPSPPPPQPPPPPPLHIRAPPPAHQDAISTDESRTPPPPPPLPPRSTGFGPFRWSPRPSLGAPAGAWDAAASSAGTAAGRSAVGGVQTMLSPFFRLPAPLPPVADFEEVMPLRPLIGLGSHSDSGGFAGLSRQMVGSGDPRDAWLSARDAGAAYPSHALDMVPIRTLNDLHDRQHGAIPVQPNLARHDPSSSNQHDEPFSYWNMGRFRRNTTTSSITPIGVAPVGFGTKRNSDSTNFLPLKLRKLSRAI >Sspon.06G0016650-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:74842571:74843205:1 gene:Sspon.06G0016650-2B transcript:Sspon.06G0016650-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LGRNKDEGTLREACDTGAYTTVFISFLRAFGHGRYSLDLSGHPLAGVGDDIKHCQSKDILVLLSIGGPGASADYSLPSPKSAADIAEYLWDAYLGGSRVGLARRLYAYNRSYRGRLGVTLTATVRCAYPDTRLQAALATGHFARVHVRLYDSFNCSLFAREDAEKWVAEYPASPVFVGVSTEDKH >Sspon.01G0012920-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:38183457:38187275:-1 gene:Sspon.01G0012920-3D transcript:Sspon.01G0012920-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEEYDVIVLGTGLKECIISGLLSVDGLKVLHMDRNDYYGGESSSLNLTKLWRRFKGNDSPPEHLGVSKEYNVDMVPKFMMANGALVRVLIHTSVTKYLNFKAVDGGFVYNNGKIHKVPATDVEALKSNLMGLFEKRRARKFFIYVQDYEEDDPKSHEGLDLNKVTTKEVISKYGLEDDTVDFIGHALALHRDDSYLDEPAIDTVKRMKLYAESLARFQGGSPYIYPLYGLGELPQAFARLSAVYGGTYMLNKPECKVEFDESGKAYGVTSEGETAKCKKIVCDPSYLPEKVKKVGRVARAICIMKHPIPDTKDSHSVQIILPKKQLKRKSDMYVFCCSYAHNVAPKGKFIAFVSTEAETDKPEIELKPGIDLLGPVEETFFDIYDRYEPINNPEEDSCFLTNSYDSTTHFETTVKDVLALYNKITGK >Sspon.06G0013590-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:58800205:58800744:1 gene:Sspon.06G0013590-2B transcript:Sspon.06G0013590-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHAHGHYEELLPAKSPPQVSLVLSVLLVCPLLVLLVRRCSGTTTPSARAREQLLSKLPSPPSRLPIIGHLHLVGPLPHISLRDLSAKHGRDGLMLLHLGAVPTLIISSPSAAQAVLRTQDHIFASRAYSPVTDILFYGSTDVAFSPYCEHWRQVKKIATTHLLTNKRSGPTAMHASMR >Sspon.06G0009730-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:41935390:41939145:1 gene:Sspon.06G0009730-2B transcript:Sspon.06G0009730-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGSEASKPAEVPAETVLDWHKQDNKRMLHAVYRVGDLDRTIKYYTECFGMKLLRKRDVPEEKYTNAFLGFGPEDTNFAVELTYIHSYSTDYGVDKYDIGTGFGHFAIANEDVYKLAENIKSKGGKITREPGPVKGGSTVIAFAQDPDGYMFELIQRAETPEPLCQVMLRVGDLERSIKFYEKALGLKLLRKKDVPDYKYTIAMLGYADEDKTTVLELTYNYGVTEYSKGNAYAQVAIGTNDVYKSAEAVELATKELGGKILRQPGPLPGINTKIASFVDPMAGKWF >Sspon.01G0009960-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:30437787:30446857:1 gene:Sspon.01G0009960-3D transcript:Sspon.01G0009960-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Very-long-chain 3-oxoacyl-CoA reductase-like protein At1g24470 [Source:Projected from Arabidopsis thaliana (AT1G24470) UniProtKB/Swiss-Prot;Acc:Q9FYL6] MPAENEMALAADAPWPISSPPPAWFAALVAVGLYIVVRSAATFLLWLHRAFLRPGRDLAGRYGTWAVVTGATDGIGRAVALELARAGLHLVLVGRSPDKLARVAKEVLAAAPPSSCKVRTVAFDLAVTGDDARRGVARVAAAVEGRDVGILVNNAGATYPCAAYFHEVKRPVWEAVVRVNVEAATRITRALLPMMAARGRGAVVNVGSGSSVVVPAFPLYAVYAASKAYVDQFSRSLSAEYKQYGVDVQCQVPLYVATKMSPVKGASPFIPSPEEYARAALRCIGYEARCVPYWRHSVQWFLASLMPDAALNHWRLQTGIRKRNEMKAQALLGEKAC >Sspon.01G0009520-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:55447621:55450773:-1 gene:Sspon.01G0009520-1P transcript:Sspon.01G0009520-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQTDASELATLLTIKKDWGNPSALSSWSSQNASSSCRWAGIKRCVNGQVSALSFQNLNIINPVPASICNLKNLSYLDLSYNNLTGQFPTALYGCSALQFLDLSNNHFSGALPADIDKKLSSSAMEHLNLSSNGFSGSLPLAIAGFPKLKSLVLDTNSFNGSYPGAAIGNLTQLETLTLASNPFAPGPIPDEFGKLKKLKTLWMSGMNLTGGIPDKLSSLTELTTLALSDNKLDGKIPGWIWKLQKLEILYLFSNSFAGAIGPNITAVSLQQIDVSANWLTGPIPESIGKLSNLWLLYLYFNNLTGPIPSSVGRLPNLADIRLFSNRLSGPLPPELGKHSPLGNFEVSNNFLSGELPDTLCFNKKLYDIVVFNNSFSGAFPAILGDCVTVNNIMAYNNHFTGEFPEKVWSAFPDLTTVMIQNNSFTGTMPSVISSNITRIEMGNNQFSGAVPTSAPGLKTFMAENNQFSGPLPENMSGLANLIELNLAGNRISGSIPDSIRSLEHLNYLNFSSNQISGAIPSGIGLLTVLTLLDLSNNELTGEIPEEFNNLHLNSLNLSSNQLTGELPGSLQSAVYDRSFLGNRGLCAAVNPNINFPACRYRRHNQMSTGLVILFSVLAGAILIGAVGCFIVRRKKQQGRDVTSWKMMPFRKLDFSECDVLTNLREEDVIGSGGSGKVYRVHLPGRERGRGGGCGGTVVAVKKLWSRGKAEEKLDREFDTEVKILGDIRHNNIVSLLCYISSDDTKLLVYEYMENGSLDRWLHPKDNATATAALDWPTRLGIAIDAARGLSYMHDECAQPIMHRDVKSSNILLDPEFRAKIADFGLARILFKSGEPESVSAVGGTFGYMAPGTFLVLVVVRQHRRPTLLAFSGGLNRHSADLLWLELDAECGRGAKVNQKVDVYSFGVVLLELATGRVANDSSKDAAECCLVEWAWRRYKAEGPLHDVVDESIQDRAVYAEDAVAVFVLGVMCTGDDAPSRPSMKQVLQQLARYDRTANVAGACRDGRDVDLGQVPKGKQGRHQAAKRSYDVGAFLGGDEESGNFGARPV >Sspon.03G0004630-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:23050513:23055275:-1 gene:Sspon.03G0004630-2B transcript:Sspon.03G0004630-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLAQLGGSISRALAQMSNATVIDEKVLNDCLNEISRALLQADVQFKMVRDMQANIKRIVNLEALAAGTNKRRIMQQAVFTELCNMLDPGKPSFTPKKGKPSVVMFVGLQGSGKTTTCTKYAYYHQRKGFKPALVCADTFRAGAFDQLKQNATKAKIPFYGSYMESDPVKIAVEGVERFKKENCDLIIVDTSGRHKQEAALFEEMRQVSEATKPDLVIFVMDSSIGQAAFDQAQAFKQSVSVGAVIITKMDGHAKGGGALSAVAATKSPVIFIGTGEHIDEFEVFDVKPFVSRLLGMGDWSGFMDKIHEVVPTDQQPELLQKLSEGSFTLRLMYEQFQNILKMGPIGQVFSMLPGFSAELMPKGHEKESQAKIKRYMTMMDSMTDAELDSTNPKLMTESRIIRIARGSGRPVRDVMDMLEEYKRLAKIWGKMKGLKIPKKGEMSALSRNMNVQHMSKVLPPQMLKQIGGMGGLQSLMKQMGSKEMSGMFGGMGGER >Sspon.07G0020010-4D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7D:82885312:82886345:1 gene:Sspon.07G0020010-4D transcript:Sspon.07G0020010-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEKMRPYPAVVNNERMYAHAKAVAESLLGEKNVRVAPQVMGAEDFGFYAQRMAGAFFTIGVGNESTMVAVKQPHSPHFVIDEDALPVGAAFHAAVAIDFLKKHASL >Sspon.02G0016100-3C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2C:47282045:47282415:-1 gene:Sspon.02G0016100-3C transcript:Sspon.02G0016100-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DTGVGGGSRMARFLQYQRLECWDDGAAAPSPRSRWRWLPAVNGKTASPCLFSVKRLKWSKITSVLIPRKVAEFSVKIRHASARTEADVCPTVVFMLPWGLPVLSRPLLVGHKSRYHHGKDTF >Sspon.08G0022900-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:53849429:53850422:1 gene:Sspon.08G0022900-2D transcript:Sspon.08G0022900-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTYISLFYNNLTGEIPDICNCSSLTTILLYENNLTGLIPFSARCQLPYLDTLDLSGNRLVGVIPSSLSNFTNLTMVELNILNCTSIDVLRLVSNGIGGNIPPIISNLTAVLIYLDDNKISGAIPRAIGNLPLLETLSLSNNMLEGPIPSEIFQLQTLSELDLSYNQINGGIPETLSNLTELNQLILRNNRINGEIPKSIGAVQLKNYFSSTYPTIVFKVRYRKPCRTSLIWSVLFSTITSSQAP >Sspon.07G0023990-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:19557725:19558642:1 gene:Sspon.07G0023990-2D transcript:Sspon.07G0023990-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDLINQHELLELEERQKFLVVCLNSSEELNPRRDASRSNCSRLSSIMCPPITTDVRGALPPSTTPRHSAPPWVATIEFAPNTTHDVASFPRTSSMVGTAGPTRVLPTLLPS >Sspon.05G0026000-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:29807017:29808126:1 gene:Sspon.05G0026000-1B transcript:Sspon.05G0026000-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGGGGVEQQMQMVLRVKHPSSLGSSAGDEDEGEGSSRSALSVFKAKEEQIERRKMEVREKVFAHLGRVEEESKRLAFIRQELEGMADPTRKEVESIRKRIDTVNRQLKPLSKSCVKKCEKEYKEVLEAYNEKSKEKALLVNRLIELVSESERMRMKKLEELNKTVDSLY >Sspon.03G0030860-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3B:22925921:22926364:1 gene:Sspon.03G0030860-1B transcript:Sspon.03G0030860-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPELRSGVRQSRLRAKKVEDLVAQDPTDNLVVAAPTVAGRRGRGRGGRGGGRGAARGRGGRGRGVPVIDLDPDQPCEVLPGAGVGGRAAGGAQPIQDFADKVVKMDGGSAEKIAAGEDEGTTSPVPEKVCSSYAVLLAQVGCVSSLA >Sspon.02G0005340-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2A:16876205:16876710:1 gene:Sspon.02G0005340-1A transcript:Sspon.02G0005340-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GSMAEWGFANRITIIRQTETLATRGLMTLPSLKLGIEGPAGCRVWGAAEVKDLMTQPAPGTDSIGQRAPRPRRRRRPTAVVSGLPGRLARALLGRRFAHAVRRIGGDRRHGGVGHLTVTTTPPHDGNCAIDGVDSQVKFPRQQIGMRAPSAAAPASTEWTNVHYICV >Sspon.06G0023420-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:43469937:43471481:-1 gene:Sspon.06G0023420-1B transcript:Sspon.06G0023420-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding NGRRRGSERGRGQDVPRPHDGVRLLHLPGGVLGRAHLRVRHRHLRRGHLHGLVPQGVLPLRVRQGGGEQGHQPVLQVRQPAADALHVVAVPGGAGDVVRGGVGDAGVRPQVVHVLRRAHLHGRVGAERRRHRRADAHHGPHPAGRRRRLRQPVGAAVPVGDGAGQAPRHAQHRVPADDHHRHPGGEPDQLLDGEHPGRVGVAHRPRAGRRAGAHHHGGRAGAPGHAQLPHRQGLQRRRQEGAGEDPRHRRRARRVRRHGGGERGGERHRAPVAQHPGAPVPPAAHRGGADPVLPAADGDQRDHVLRAGAVPDHRVRRRRVADGGRHHGAGEHVRHRGVHRVRGPPGPAGAVPPGRHADVHLPDRGGHADRAAVRHRRRGRDVPLQRLAAGALHLPLRRRLRLVLGPPRVARPVGGVRAGGPVGGAEHRRVRQHDAHLHHRPVVPHHAVHDEVRPLLLLRRVDVRHDHLHRALPAGDQGGAHRGDEPRLEPALVLGQVRHRRHATRRRQPQIQRRL >Sspon.04G0008760-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:25908169:25912054:-1 gene:Sspon.04G0008760-2C transcript:Sspon.04G0008760-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKYNVVQKNKRQWKQDRKRAAHGEPGTGKLKQRTAPVSMSGKRKRKLERRLNREQKEAAMIKALENNMGDVDMVSAEESSEAAKGKSQVKFSVKKNSRIQIKRLKGKGRKKAKNAKQPAKEKVDAMLPIFQINKKKRSTAAVLLLLLAARYLRVGLEDEPELPHEAGMAILRDAETLQVPRVDALVGQLRPLHLQQLSAK >Sspon.07G0010340-1P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7A:32996162:32996768:1 gene:Sspon.07G0010340-1P transcript:Sspon.07G0010340-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding QALVMFTLTASLNVLRPAACQPGAAGPCEQASTGQMAALYAAVFMLCVSAGGARFNQATLGASQFDAAADRDVLFNWYFIFFYASSVIGSTVIVYVQDNVSWGLGYAISGAASLAGLLMLLVGTPYYRRPGARGSPFTELARVAVAAARKWKVNLDTSEELRFYHGTRSSDRDGNVISDTIGLATPSDSFRYEKDAFEHLA >Sspon.06G0029200-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6D:15639333:15641233:1 gene:Sspon.06G0029200-2D transcript:Sspon.06G0029200-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGSAAELEAAERVVMRWDSASAGAGADEPMLFDGGGDRAEADRFLRAVDDLRRLAPLSPAAVGSPRRLSSSSASSAAGSGALQVAMARLEDEFRHVLSSRALDLEIEALADLSSLSINSDRSNSADLPAAAADEDDSVSSSIGRRSTAYRSLRSIREIDLLPDDAVADLRAIASRMAAAGYGRECAQVYASVRKPAVDASLRRLGVERLSIGDVQRLEWDALEAKIRRWIRAARAAVRGRHHLRRLSARHARHPFAEAVKGAALQLFGFAEAISIGRRSPEKLFKIIDLHDALSDLLPDVSDIFAASKVAESIYVQAVEIRSRLADAVRGILSEFENAVLRDPPKTAVPGGTIHPLTRYVMNYSSLICDYKVTLSELIISRPSASARLSAEGNELAPSLADLELPELENQLPLASHIVWIIVVLEHNLEGKAALYKDPALSHLFMMNNVHYIVHKVKDSPDLWGMIGDDYLKRLTGKFTVAATNYQRTSWLKILNCLRDEGLHVSGGFSSGISKSALRERFKSFNAAFEDAHRVQSGWCVPDNQLREELRISIAEKLLPAYRSFLGRFRHHIENGKHPELYIKYSVEDLEIAVGDFFEGVPPSPHNRRRSHG >Sspon.02G0000380-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:5842432:5845479:-1 gene:Sspon.02G0000380-3D transcript:Sspon.02G0000380-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ascorbate peroxidase, ROS homeostasis, Chloroplast protection, Carbohydrate metabolism, Plant architecture, Fertility maintenanc [Source: Projected from Oryza sativa (Os07g0694700)] MVKAYPTVSEDYLKAVDKAKRKLRGLIAEKNCAPLMLRLAWHSAGTFDVATKTGGPFGTMKNLAEQAHGANAGLEIAVRLLEPIKEQFPILSYADFYQLAGVVAVEVTGGPDVPFHPGRQDKPEPPPEGRLPDATQGSDHLRQVFSTQMGLSDQDIVALSGGHTLGRCHKDRSGFEGAWTSNPLIFDNSYFTELLSGEKEGLLQLPSDKALLSDPSFRPLVDKYAADEDAFFADYAEAHLKLSELGFAEA >Sspon.07G0019210-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:72250391:72251907:1 gene:Sspon.07G0019210-4D transcript:Sspon.07G0019210-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding HHRPMPLPPLLPAMASTFSPTSAARALLPGSTSSPLFLAAAVCFPARSSASAESNTPVPGLLAGRIQPSRKGLDFRRGRFTVCNVAAPTACAQEATASAAAKESQRPVYPFAAIVGQDEMKLCLLLNVIDPKIGGVMIMGDRGTGKSTTVRSLVDLLPDIRVVFGDPFNSDPDDPEVMGPEVRERVLRGDISLPVTTAMHAQVGTVRDAELRVKIVEERARFDRDPKTFRESYNEEQEKLQQQISSARSYLGAVQIDHDLRVKISKVCSELNVDGLRGDIVTNRAAKALAALKGRDSVTVEDIATVIPNCLRHRLRKDPLESIDSGLLVIE >Sspon.07G0031590-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7D:24984632:24988493:-1 gene:Sspon.07G0031590-2D transcript:Sspon.07G0031590-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTGQSQRSVASSMRRRQDAELAAAEERKRAAAQAAAVAKRASRLAATELAAARAEVEAAAAADAARAAAAEVEVLRGSNSSSIAADDSADADLELLEREAARGRAAQWAAAHERGNSPDRRGHASGAPGGGAHGGGRRVNGEHGLHRRRGSLSPVRYRDHHEYQAVVRDIGHGGGWPTLTKTNYVEWPAVMRVKLQVRHMWDAVRYGDVDYDQDRRALDALIAAVPPEMQFSLTNKRTAKEAWDAIAAARIGSDRARKSTLQALRKEWENLAFKPAVEKLFRCVPEKYKQMARSIESLLDLSTMTIEEALGRLKVVDSDEPQRLSGPVTIGRKLLLTREQWAAGQGDRKKGEPSSTTGGRKRGKPRKDVQAGARGHAECDDRGGAQGGAVGKQKPARDDPCHNCGKLGHWAKDCRPPRHGQAHVAQAEEQPALFIAHASIELPPAAPAAAALLHLDEPKAHALLGDSSGNDKTDGGVRGTVKFGDASAVEIKGVGSVIFVAKTGEHRLLTGVYYVPALRNSIISLRQLDENGSRMEIEHGVLRIWDHRHRLLVKVNKSNRLYVLHAQVAQPLCLAAHRNDDAWRWHEHFGHLNFEALRQLGSKEMVRGMPHVDHVEQICNTCVLTKLRQLPFPRQASFRTKEKLELVHGDLCGPVTPATPGGRRYFLLVEDVSRYMWAVLLDAKAAAADAIKRLQAAVEAECGRKLRVLRTDNGGEFTAAEFAAYCADEGIHRHFSAPYTPQQNGVVERRNQTSAATRRWWPPPVPSSSREGCRRSTGALAWHGRQPVVSHLRVFGCLAFVKELNHVGRLDDWSTPGVFIGYAEGAKAYRVLDPATRCVRITRDVVFDKGRSWTSPTPPPHSPPPPASPSPPPPPAPASPQAPASPPPAPPALPRSPTPDPTPSGSAPAASAHDEQRTVEFATLLSNDEDRIDAYHGGEPLRYHTVDNLLGEQPVPGLAQHDFEAELHLAQDDGEPRSFAEAERDAAWRAAMQMEMDAIEQNKTWELADLPAGHHVISLKWVFKLKKDEAGEVIKHKARLVARGFVQQEGIDFDDAFVPVARMESVRLLLALAAQEGWRVHHMDVKSAFLNGNLKEEVYVRQPPGFIIPGKESKVLRLHKALYDLRQALRAWNAKLDSTLKQMGFQQSAHEAAVYRWGNGGNALLVGVYVDDFVITGTKDAEV >Sspon.05G0007090-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:16420344:16424591:-1 gene:Sspon.05G0007090-1P transcript:Sspon.05G0007090-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPSMICAVLQPVCGFINEAGVPAATARGVSSFACIKRNLGDLIKAMEDLQAVEKVVRGQVTLETNNLNECHPQVSLWLTRVLHVLVDPIVQESDQLFQSSCLCSSFLSLRKRYRLGKRVAEMLEDVDRLIREGKQFDTFASKRLPDSVEERPWTKTFGIEPVLKDLGKFCDSINVSIIGVCGPGGVGKTTLLNTFNNELKACGRDYQVVIMIEVSNSKTLNKAAIQSTITDRLGLPWDDRQTEEARARFLMKALRRKKFVILLDDVWNKFQLEDVGIPTPDSESKSKVILTSRYVDVCYQMGAQQSLIKMEYLEKEAAWELFRSNLSTQAILAIDSSGPNNAVKEHADAIVQSCSGLPLALKVIASAVAGLTTPSEWSLAMQATKHDIKDIDGIPEMFHKLKYSYDKLTQTQQQCFLYCTLFPEYGSISKDQLVEYWMAEELIPQDPNRGHRIINRLLSACLLESCGSDLEVKMHHIIRHLGLSLAVQQKIVVKAGMNLEKAPPHREWRTARRISLMYNDIRDLGISPECKDLVTLLVQNNPNLDKLSPTFFQSMYSLKVLDLSHTRITALPLCSTLAKLKFLNLSHTFIERLPEEFWMLKKLRHLDLSVTKALKETLDNCSKLYKLRVLNLFRSNYGIRDVNDLNIDSLRELEFLGITIYAEDVLKKLTNTHPLAKSTQRLSLKHCEQMQSIQISDFTHMVQLRELYVESCLDLIQLIADPDKGKASCLQILTLAKLPSLQTILVGSSPHHFRNLLEITISHCHKLHDITWVLKLDALEKLSICHCNELEQVVQETINKVDNRRGGIEHSIVQRSGIINGFSEEQEIHCMVEDACNEHVKGYQNKTQNERIKGVHHVDFPKLKAMVLTDLPKLTAICNPRDFPCLEIIRVERCPRLTALPLGQMSDCPKLKQICGSYDWWKKLEWNGKETIENKYFIPIKDED >Sspon.01G0033090-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:111791141:111797348:1 gene:Sspon.01G0033090-2P transcript:Sspon.01G0033090-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPRAQLLSAHAFAVSKDAASPSLPDQTTSAAVIPTPFYSVPATSPRLRRGDADGRPMILRRLHGRVSRLSLASAALLDAAPRALPSAGLFSGFHGAAGDGASSEHGRKPAGPLTLYRNLVSQGKLTHDSYQENVASELDNLLSRLQQYEMEMEDYHNKLYIWENSREKERRRLLVEEAEDKQRDGVWIDEKRGFLDKLVTRRRRGNIEPGVGKWVSYLNREKKLDTLVGHRPVAPVAPKGLYLYGNVGSGKTMLMDMFYGATEGVIKHRRRFHFHEAMLEIHDHMHDVWKRRDDDKSIHSSAFSWISSLPFDVKIKEWLIGEEKYKQQTQEKHILLAVADKFLVDRQANKTGASILCFDEIQTIDVFAVVALSGILSRLLSTGTDGMQRDIFLELLSKLDENCNKILVGTEKDYRRLIPTEGSTEVHYFWPATSDARSMYEAMWHDITNQSGGNIISVTIPVMFGRFITLIDELYNHHCRLICLAASSIDDLFQGTEEGPLFDLESFQFETESEGTKLRRDVLAGGNVGLGPSTTGLVAILSGQEEMFAFRRAISRLIEMQTPLYLERVQDVHPSFRLQHPPGLASNRPAASQPAPALQ >Sspon.01G0008860-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:24619373:24626825:-1 gene:Sspon.01G0008860-1A transcript:Sspon.01G0008860-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGFGHHGHHGQNPPAHPPAHGGVHQPTFKIFCKADEGYCLTVRDGNVVLAPANPRDEHQHWYKDMRFSNQIKDEEGNPAFALVNKATGLAIKHSLGQSHPVKLVPFNPEYQDESVLWTESGDVGKGFRCIRMVNNIRLNFDAFHGDKGHGGVHDGTTVVLWEWAKGDNQSWKILPWGDEAYAAGGSAAANAPYGHGEPTVRIYCKADDGFSVTVRNGTVCLAPTNPRDEYQHWIKDMRHSNSIKDEEGYPAFALVNRVTGEAIKHSQGEGHPVKLVPYNPNYQDESDHGGVRDGTAVVLWKWCEGDNQRWKIKCAVLGLHWSSPGSGVGVGEHSHPWSAAAAVFLE >Sspon.05G0003940-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:12046851:12048193:-1 gene:Sspon.05G0003940-1A transcript:Sspon.05G0003940-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQAWGNLQDKLQGRRWKEHQVRKITDKVFDRLTEDAQKREKEALRFEEVYIAVLCVYNDINKYLPGPHHDPPSKEKLKAMMDEYDVNLDGLLDREEFAEFIRKLTADSLCTISVKLLITLVAAPALALATKRATEGVPGVGKVVRKMPNALYASAITLGVVLVQKSAEGVE >Sspon.07G0014940-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:54533255:54538229:-1 gene:Sspon.07G0014940-1P transcript:Sspon.07G0014940-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHMAVSAATGVLSSLLSKLSVLLSDQYKQIKGVRRDIESLSRELTDMNAALVKLADREKLDVQTKVWRDRVCEMAYDIEDIIDIFMHHHGQGGDDKDGLFQKTARKIRKLRARFQIAGMIQDIKARVEEQSQSRDRYKVEESISEPRVVEVDPRLPAMYEDAKRLVGIDGPREEIINRLMEEVDNDYGQLKVMSIVGFGGLGKTTLANQVYAKIKNEFECTAFVSVSRTPHMPKILKDILSGVGYGSMEVEDDVQKLIDILRPWLTNKRYFVIIDDLWSIKDWRTIECSFVENNNASRVITTTRIQDVASASCIPSQGYVYQMQPLNELHSRRLFLKRLFDTEDSCPEQFRETFDQMLRKCKGVPLAITSIASLLANQSMHIEIWEKIHNSLGSELVTNPTLEWMRHVLSLSYNDISHELKTCLLYLGMYPEDYKIPKEALVRKWMAEGFVRERHGLDLEEAAENCFNQLINRSMIQPCFNEDFDTVWACQVHDLMLDLIILKCKEENFITIIDKYPMSGASQVRRISHQFHDRDMPLTVESKSASTVRSYISFHLADYMPPLSKFELLRVLDMERSLFMNPMYVRVQGFGLELPKKFGKLKHLMTLDISEPWLYGSSEQLSDFNSLSSLRHLKLPGRVTFKNGLSKLCNLRHLSGFEIGSNSIECNRDLGELTNLRDLQVKYDYSRPPGGEENNPETILAASLNKLGNSNLRCLEFQVGPSAKAASAQFWSNCLTRPRHLQSLRLSSVLMPKLPNWIAHADRLAHAVLSVQELRSNDVQVLAQLPCLIYLNLTAKTIPENNIIIHPNTFHSLKSFSFLCDELPRLTFEPEAMSWLQRLEIELDICEQGAMQLQGGSPIGGIGHLASLEEISFVIHAKCNQGSKIASACRDAISRHPKCQAMKIEFQKCVGMVCVC >Sspon.04G0013140-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:50630919:50635148:1 gene:Sspon.04G0013140-2B transcript:Sspon.04G0013140-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSHLALLSCLLVLLLSFDKFLFHYLKRRWFSGGSGGATVPRVAAKSRRTMAAAKWSETAMLVIDMQKDFVDPAMRSPMLVAGGEAVVPGVAEAVAIARERGIFLVWVVREHDPSGRDVELFRRHHYSGGKGPTVKGLKGAELADGLVIKQGEYKLVKTRFSAFFATHLDSVLKTAGIKNLVIVGVQTPNCIRQTVFDAVALDYEKVTVLIDATAAARPEIHLSNIRDMKNIGVDTPTVEEWRR >Sspon.07G0005160-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:13224601:13228609:-1 gene:Sspon.07G0005160-1A transcript:Sspon.07G0005160-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSLFPILLLCSSLLTSTTNADAPIYTGCPSNTYYTRGSAFGANLDALLSSLPAAAAASSGFVENTTGAAPDQAYGLAQCRADVNASDCRACLDGSTQSMGSTCPGQKSAMLIYDKCMLRHSNASFFGAVDTSVVVYTLPTQNATQPEQFTTQLGSLMKNLREKAADASPRMFAVGSAAVSPFVNIYGMAQCTRDLADDDCNRCLVSAVSYIPTCCNGKTSGLVVHPSCSIQFDVEPFYNIQAAEAAMSPAPAPAPGGGFVNGSDHLGPGSNASNHTVRTALLVSIPVAVVLLVLLLVVACLCKRNRKPHKHVQIASISKWRNNVNTLYLTSRREDDEEMRSSESFLYDLSTLRAATDNFSEENKLGEGGFGSVYKVWRQWSRGSVQPLLEGCPDERRRPQEMLRCIHVGLLCVQEDPHLRPSMASVVVMLNSRSITLPAPAAPAFVMPGRGITVTADGVLVTGTDDRQGERVVAAGRGESMSTNEASLTDLEPR >Sspon.08G0010190-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:44712074:44715910:-1 gene:Sspon.08G0010190-1A transcript:Sspon.08G0010190-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MICNHSHPFKKVLGCYNSKDYPRESCSSAFRLELESVRPEPQAPLEDYSLKREKKKERREISEKTLLERSTKGHPKAGSQKATQKPALTCTGALLPQARLHLEILPLQLHLDQEQLNFLINFFKNDSCNNDPHLHCENETVDVKSTSNGSNTVVDEALLPFFQKFDVKPLVLHINYIPRQFDPIALGKGNYAELLNILPWKGIDLKLKHVSAMGVYGWNSIGDTVAAEWLEDISKNQVHKLLKGLPPIRSLVAVGSGTRKLVSLPIKSYKKDRKLLKGVQRGAVAFIRSVTIEAVGLGVHLAAGAHDMLVKTEHALTTVPPPLASCEAKRTKHNIRANQPESAQQGMKQAYESLTDGFGRTASALIGNPIKVYNRGAGVGSVLATAICGAPAAAVAPVSASARALHYALLGLRNSLDPEHKKESMYKYQGPPQA >Sspon.01G0024140-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:85402210:85406944:-1 gene:Sspon.01G0024140-1P transcript:Sspon.01G0024140-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVKLGSKPDAFKRQGQAWFCTTGLPSDVTVEVGDMSFHLHKFPLLSKSAFLERSIEENSDQEECIIKLNDIPGGARSFELVARFCYGVKIEISPANVVYLRCASEHLEMTEAVAEENLIAQSEMFLNQVVLRNWKDSLAALETCDDLLPHAEDLQIVKRCIESLASKATTDPNLFGWPIREHGMMQSPGGSVLWNGISTGARPRNFNSDWWYGDASSLSFPMYKRLISTMESRGIRHEIIAGSLTYYAKKYLPGLNRRHSHSMGTVAPTATLSEVEQKNLLEEIDRLLPVHKGVASTKVLLGLLRTAMILKASPTCISNLEKRIGMQLDQATLEDLLLPNFSYTMETLYNVECVHRILDHFLAMDQANGGESPCLDDVMASPSLAPITSVAKLIDGYLAEIAPDINLKPPKFQALASAIPEYARPLDDGLYRAIDIYLKAHSWLSEAEREQLCRLLDCQKLSLEACTHAAQNERLPLRVVVQVLFFEQLQLRTSIAGCLLVSDNLEGSRPLRSGIATSGEAGGWATAVRENQVLKVGMDNMRMRLAELEKECSSMRQEIKKLGGRSGKGSGGGWASRVVPRRLGLKVRSQMCSAQESSVSEQQRSMSAKLDKLQAKVSKQKKQLAADA >Sspon.08G0013110-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:55660006:55664671:1 gene:Sspon.08G0013110-1A transcript:Sspon.08G0013110-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DExH-box ATP-dependent RNA helicase DExH9 [Source:Projected from Arabidopsis thaliana (AT1G59760) UniProtKB/Swiss-Prot;Acc:Q9XIF2] MDTLKRKAPDGPGAAEQASPLKAPRAAAATPEPPASTTLAAAEPVACVHDVSYPEGYDASASASRAIAGGADASAPAKKFPFQLDPFQSEAIRCLDNGESVMVSAHTSAGKTVVALYAIAMSLRNQQRVIYTSPIKALSNQKYREFKEEFSDVGLMTGDVTIEPNASCLVMTTEIWRSMQYKGSEVMREVAWIIFDEVHYMRDRERGVVWEESIVMAPKNSRFVFLSATVPNAKEFADWVAKVHKQPCHIVYTDYRPTPLQHYVFPSGGDGLYLVVDEKGKFREDSFQKALNALVPASDSAKKKENGKWQKVIMAGKSSEESDIFKMVKMIIQRQYDPVILFSFSKRECEFLAMQMAKMDLNEDDEKANIETIFWILIYVSNMLPLLKRGIGVHHSGLLPILKEVIEILFQEGLIKCLFATETFSIGLNMPAKTVVFTNVRKFDGDRFRWLSSGEYIQMSGRAGRRGIDQRGICILMVDEKMEPSTAKMMLKGSADSLNSAFHLSYNMLLNQMRSEDGDPEKLLRHSFYQFQADRSLPDLEKQIKELESERNSMVIEEEESLKDYYDLLQQHRSLKKDVHDIVLSPKHALPFLQPGRLVRIEYSTDEPANFSIDENVTWGIIINFEKVKSHGE >Sspon.04G0019810-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4B:72388714:72388983:-1 gene:Sspon.04G0019810-2B transcript:Sspon.04G0019810-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGAGGRAWVVRAGHDPQGPAGRADRVSACGYAGAAGLRRRARLLVFVYNDYNSKVAVTPVVGYGSMAYQPIASLLYMFSVCCWALAPYP >Sspon.04G0015170-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:56784226:56785399:1 gene:Sspon.04G0015170-1A transcript:Sspon.04G0015170-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding CDAGDASETQEVDGPVPGDEPDRQVLALPQRLGDGPVPSGPVRQGVRARDHRRPRRPDLHRDRPQRRRRGEPAARHAPVGRDPARPAMDHLRQVHDHPAVAGAAGEQRQDHRRARRAGAHRQRRRDHGAAVPERDHPQPARARRGALHGRADARLPDARRVPDQGRRRRHLAVPGHQRVDRPHLHVQLRGRAHRRGAELHGDHHLQLPLHQPQRRDAVRRQRLVPAGPDDADHRRLQPLRQGARAADAQVPVGLLPCRQQRLHALAHVRHRRRQGAHHHQPGQPIHCTTQHRRQADHQALRGRGRVEELGVAHGGRPVHERRHLRAVWRRGPQEDQRERVGQAQAGHLRHKAHPLLRHTVLLHGQAV >Sspon.02G0016950-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:100793624:100796230:1 gene:Sspon.02G0016950-3D transcript:Sspon.02G0016950-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGFRKADPDLEAGGSSLLYPGMTESPELRWAFVRKIYVILAVQLAMTAAVSGFVVKVPAVSNFFVSSNAGIALYIFLIILPFIVLCPLRYYHQKHPVNLLLLGLFTVAISFAVGMTCAFTSGCHSYSSGGGQLNCLHFLGCKEGHDFNFLGPFLFAAIMVLMVFSLIQIFFPLGKISVMIYGGLASLIFCGYIIYDTDNIIKRYTYDEYIWAAVSLYLDVINLFLALLQLLRAADS >Sspon.01G0031070-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1A:106846083:106846862:-1 gene:Sspon.01G0031070-1A transcript:Sspon.01G0031070-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCIKEIPTLKGDNHTKWKRKIDLAFILAEVDWVVITPCLTEPVAQVREANETDAAWQTRQRDFAPIKMPYDLDHKKWVTSNKKCLTVIKNIIEPTIVGSIPECDTKFDTFVVNYNIQPEKWDLEKLIAMCVQEKKRIKVANGGTINYVTENKKKNVNANSSSKAQGKALIHHQPQQKKFAVEKD >Sspon.04G0024460-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:23268088:23281740:-1 gene:Sspon.04G0024460-2C transcript:Sspon.04G0024460-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHVIGGKFKLGKKIGSGSFGELYLGVNVQSGEEVAIKLESVKSRHPQLHYESKLYMLLQGGTGIPHLKWFGVEGEYNVMVIDLLGPSLEDLFNYCNRKFSLKTVLMLADQMIARVEYMHTRGFLHRDIKPDNFLMGLGRKASQVYVIDYGLAKKYRDLQTHRHIPYRENKNLTGTARYASVNTHLGVEQSRRDDLESLGYVLMYFLRGSLPWQGLKAGTKKQKYDKISEKKMLTSIEALCKSYPSEFITYFHYCRSLRFEDKPDYSYLKKIFRDLFIREGYEPDYVFDWTVSRQAAENNRLRLSGKTGGLVGPSVDRAERAAGVNVQSGEEVAIKLESVKSRHPQLHYESKLYMLLQGGTGIPHLKWFGVEGEYNVMVIDLLGPSLEDLFNYCNRKFSLKTVLMLADQMIARVEYMHTRGFLHRDIKPDNFLMGLGRKASQVYVIDYGLAKKYRDLQTHRHIPYRENKNLTGTARYASVNTHLGVEQSRRDDLESLGYVLMYFLRGSLPWQGLKAGTKKQKYDKISEKKMLTSIEALCKSYPSEFITYFHYCRSLRFEDKPDYSYLKKIFRDLFIREGYEPDYVFDWTVSRQAAENNRLRLSGKTGGLVGPSVDRAERAAGLSVALTLKILHLGNKQGGILEAQIFGFSLLTARHDVPERFSGPADAFARRTGSGSGHYGEHTKHRPLLDSLMSSKM >Sspon.06G0020720-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:10147116:10153310:1 gene:Sspon.06G0020720-2D transcript:Sspon.06G0020720-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQSRRRGGNYRCPRRAALPAAALLLFLLAAVALLYVSPPPLADHPVLASSRRRRSTHALLNSSGYGGMEESERREISRAPTNGSTVRDDLWGSKLASKFYGCSNSSSKFLASNITTQPDRYLMIVTSGGLNQQRTGIIDAVVAARILNATLVVPKLDQASFWKDSSNFSDIFDTNWFISSLSKDVKIVKELPHIGGKLRAPHRMRVPRKCTERCYLNRVLPALLKKHVIRLTKFDYRLANRLQTDLQKLRCRVNYDALRFTAPIQEMGEKLIQRMRERSKFEPDMLAFSGCYYGGGEKERRELGALRKRWKGLHPNPEKGRRQGRCPLTPEEVGLMLRALGYRKDVHIYVASGEIYGGARTLAPLKALFPISTQKKQYLAKRSWLHSLNIPLAWLHLISFDAFVANNNGNMAKILAGRRRYFGHKRTIRPNAKRLYPLFFNRGNMSWDAFSSKVHMVQKGFMGEPKELRPGWGEFHENPSTCICERTDGKAVARAKSQDDQVLNSGADRGKGIGEPAVPNHTDEEVGEPDDDEDAPAEKEIVDAEMDDDALVQLCNQMALPLTPEEVGLMLRALGYRKDVHIYVASGEIYGGARTLAPLKALFPNLHTKETISSKEELAPFSKYSSRMAALDFIVCDESDAFVANNNGNMAKILAGRRRYFGHKRTIRPNAKRLYPLFFNRGNMSWDAFSSKVHMVQKGFMGEPKELRPGWGEFHENPSTCICERTDGKAVARAKSQDDQVLNSGADRGKGIGEPAVPNHTDEEVGEPDDDEDAPAEKEIVDAEMDDDALVGPDDPELEQILSD >Sspon.02G0005580-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:17150675:17151274:1 gene:Sspon.02G0005580-1P transcript:Sspon.02G0005580-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLFMCFGGAARVADDEAAAEEAARRGGKRTGRRRLPSFRWRRFFPGKLQGKKKKRPSSPPPAAEANKKRGTDMDDSGGGVFGAFTASSSSSSRLSSASSLYSYSSSPSTPARSSAVSCSLTASGALSPAPPPARRHAKGSSTTTSPAAGAAAVVLCLLLVVLCGRVGATLLTPTALYLFPLRWPAKAHRDEALDSLQCP >Sspon.04G0022990-3D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4D:15081266:15081670:-1 gene:Sspon.04G0022990-3D transcript:Sspon.04G0022990-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGRGRGKKLTTVRSHEDKGSSGEEVVPAKKRRGRPQKRFANKIDQADVENFVEKVDGDQEEVDDAKLKNSAAAGGNKRGRPLKEGSNIVIEDSNSIVRSSSDESTRTNGFRQIGSRRKNKPRRAAEAGLECK >Sspon.06G0011390-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:48868219:48869474:1 gene:Sspon.06G0011390-3C transcript:Sspon.06G0011390-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPGQETQVGDLPEVCLAQVIARTSPRDASRCAAVSPAFRAAADSDDVWGHFLPTRLDLDRLLLLPPPPPAPAVVAAKTSTKTTCSTDDDRKKKKKKDAYLGLCDAGALVDDGGEGCVRVWLEKATGATCYALSARRLSLPWDDGEFTWRWTTPPGPLSTRFAEGGHRGLSFPDQETTVAVGGRVVARHAVCLRPDDAEARKFRAVAGLDEVAPPPRQPRLRGDGWWEMEMGRVTCGGDEREQGEEVVVSFEVLGWYPKRGLIIEAIEFKPLR >Sspon.04G0017190-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:68838634:68841861:1 gene:Sspon.04G0017190-3C transcript:Sspon.04G0017190-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription initiation factor TFIID subunit 7 [Source:Projected from Arabidopsis thaliana (AT1G55300) UniProtKB/Swiss-Prot;Acc:B9DG24] PTPSRPSRRLPHSCSCSTEPQTQQRKERAPPLAGRRRRASAEASGHASECFLLHQTAAPREKSHHGVRDSGQRRKSAAQRGSRDLEPTCHFASVGYKLRFHRPSGFGTAMEEQFILRVPPSVAERIERLMNESAASSSNPDEASLDLSFSEDGRNGTFMIGNESFPASLLDLPAVVESYKTYDDSVLIKTADIGQMIMIREENDPAPEGAEYKHGLTPPMRDARRRRFRREPDLNAELVNQVEKHLINIMHGVSVNQNTSVIGGEEGGDRKKPPVARAAKQPGVQEPAANGEEAEPERSDTDESEN >Sspon.07G0030770-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7C:12434278:12434920:-1 gene:Sspon.07G0030770-1C transcript:Sspon.07G0030770-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GQVRRHAFDVLVPPTWPGGSFPTATATTAAHLFHAPDRPPRRSSAPHRTAPHRACGCEPPVRHDAIRVPVTHPGARMRCGRGDTGTGGRRRTEDLVSRLVTPRRCPSTRTHRATSPPPAIGHLNEMSAASDTEPAGPSSRRVPSSPWPPGDADLVLGAPSDLPAKRPLRARAREFNKMPLALALVLDPFRPCYQPDRSADCARREGRGVRAGA >Sspon.03G0024250-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:73893776:73897878:1 gene:Sspon.03G0024250-1A transcript:Sspon.03G0024250-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEKKNPPQAAFCGEAVIAEFEALTRDAAAVQRDTLRRILGDNATAEYLRCRGLAGRTDAASFRACVPLATHADIEPYIARIADGDTSALLTAKPITSISLSSGTTQGKRKYLPFNQELVKSTMQIYRTSYAFRNRAFPVEDGKALQFIYGSRQFTTTGGLTATTATTNVYRSEEFMPTMRAIQSQVCSPEAVIFGADFAQSLYCHLLCGLLYADEVRIPGPRLPDLRALTAPAVRRAVEALLTGPNPALADEVARRCAGLSNWYGVIPALFPNARYVHGIMTGSMEHYVKKLRHYAGGLPLVAAEYGASEGWVGANVEPETPPESVTFTVLPNIAYFEFIPLKATSCHGGAADDDTCYAEAEPVGLTEVTVGEHYEVVVTTFAGLYRYRLGDVVKVAGFYNSTPKLKFVCRRNLMLSINIDKNSEQDLQLAVDSAAKILAAEKLEVVDYSSHAEVSRDPGHYVVFWELNADGNDDVLQSCCDELDRAFTDPGYVGSRKTSGIGPLELRVLQRGTFQKVLRHYLSLGAPVSQFKSPRCVGRSNNSGVLQILSANVVKVFFSAAYD >Sspon.01G0021660-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:78898238:78900552:-1 gene:Sspon.01G0021660-2C transcript:Sspon.01G0021660-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVTLLRLPLARLSYHLRAVPSSRLPHPRLRFFTSHRLFSSLDHGSASASASAAVAEVISVPDAEELVEEESAAEAEAEAEAPRSFVLPRLPRPKLSVKERKELASYAHGLGKKLKSQQVGKSGVTPNLVSAFSDNLESNELLKLKIHGNCPGELPDVILQLEESTGSIAVDQIGRSVILYRPSTSKMKKKQQVAENARRFSRPEEGNARRFVKSEESFEERPRNSSGRRFVGSGSTFRGQQKRRPMASKGSSYGRG >Sspon.01G0004570-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:11458842:11462827:1 gene:Sspon.01G0004570-3C transcript:Sspon.01G0004570-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSEAPLLLAHPREGKEDPGADVGDRRCWWWAEATAEAGRLAALAAPMIAVALLQLMMQLISTIMVGHLGEVPLAGAAIANSLTNVSGFSVLMGLASGLETICGQAFGAEQYHKVALYTYRSIIVLLIASVPMAIIWVFIPDVLPLIGQDPQIASEAGRYALWLIPGLFAFSVAQCLSKFLQSQSLIFPMVLSSLTTLAVFIPLCWFMVYKVGMGNAGAAFAVSICDWVEVTVLGLYIKFSPSCEKTRAPFTWEAFRGIGNFMRLAVPSALMICLEWWSYELLVLLSGILPNAALETSVLSICISTVVLVYNLPYGIGTAASVRVSNELGAGNPDGARLVVIVALSIIICTAVLLSVTLLSLRHFVGIAFSNEEEVVNYVTRMVPLLSISVLTDNLQGVLSGISRGCGWQHLGAYVNLGAFYLVGIPVGLVAGFALHLGGAGFWIGMIAGGATQVTLLSVITAMTNWRKMADKARDRVYEGSLPTQAD >Sspon.03G0007740-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:35702639:35705159:-1 gene:Sspon.03G0007740-1P transcript:Sspon.03G0007740-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPGLYSDIGKKARDLLSKDFHTDQKFILTTYSSNGTVITASSTKKNETIFSEIQAQLKQKNVTVDVKANSDSLLLTTVTVEELGVPGLKKTMTIPFPHRGSGKFEVQYLHDYAGISASAGMNAKPLVNLSAVFGNKAIAAGADVAYDTATRDFTKYNAGLSFTNADLIAAVTLNDKGESLTASYYHLVNTEKNTAVGAEVTRNFSTKASTVTFGTQHALDPTTTVKARYSSNGMASALIQHEWRPKSFFTLSTEVHVDELVSRSPP >Sspon.07G0001270-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:2117061:2127293:-1 gene:Sspon.07G0001270-2D transcript:Sspon.07G0001270-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMMLGCDGKGSMRKTMMKKPTISKASDEKSEEDKEIVSYRCHRERCYGKCSGSFEDTTVVPPMRYTFGPIPKSATVEEGVQIFSVKDPLLLLTGPSHAVVILDPVSFEVQLKAKGEILLQTVEATISVQVIDGSWPEDVPGRISTCTASIPKMEISLLDSRGARMPINDAGVIELTRQVVSVEIIGELKVEVEAVYGGEVWIGTLHHIIMQMLLKEERRWIKNLKAHVKKTATL >Sspon.04G0019080-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4A:67916673:67917113:-1 gene:Sspon.04G0019080-1A transcript:Sspon.04G0019080-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGTRKGGNQIPTVYLLEPSISRISPPYLNPHGSRYPYPSYHCPDSPATKGNPKPSSSAGSCAWVGPSAHPASGSGMTTESRTRIGDPKRRGRGRGRKRRERAYLVRPRRSGSPSNGGGWMDAGGGGGVDQAEARRRSERRGEGDE >Sspon.02G0029730-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2A:108274339:108274989:-1 gene:Sspon.02G0029730-1A transcript:Sspon.02G0029730-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQGDEASSVNNESPEQQLTRDEDGATWLNLTLGASESPSPDAAAAAAATAAAASCSAESGSGSGSGSEPGANKPSAAAPHKVFSCNFCLRKFFSSQALGGHQNAHKRERSAAKRSYHAQRMIVGLPLHAHAALMHSLRVNPASSAIHKAASQQAAPIRTAPARFLEDGGVAAAWGTIACEEAPNSAWPGSFRLRAQHTEHEQASEQSKIDLNLRL >Sspon.02G0057880-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:66487495:66489830:1 gene:Sspon.02G0057880-1D transcript:Sspon.02G0057880-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQITQLTLFNNHRVGVGKSCLLLRFSDGSFTTSFITTIGIDFKIRTVELDGKRIKLQIWDTAGQERFRTITTAYYRGAMGILLVYDVTDESSFNNIRNWIRNIEQHASDNVNKILVGNKADMDESKRAVPTSKGQALADEYGIKFFETSAKTNLNVEQVFFSIARDIKQRLAESDSKPEDRTISINRPEGGEASASQKSACCGS >Sspon.07G0006960-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:21013938:21015378:1 gene:Sspon.07G0006960-2P transcript:Sspon.07G0006960-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFSHRHHSSIIHAAGPPALAAAAARPWSKAEDKVFETALVMWPEHAPDRWALVAAQLPGRTPREAWEHYEALVADVDRIERGAVDVPSCWDDDDDAQVRGGEEGSGPGRRAGAADRVRREGRRPGIPWSEEEHRLFLQGLEKYGRGDWRNISRFSVRTRTPTQVASHAQKYFNRQLNPASRDSKRKSIHDITTP >Sspon.05G0005820-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:12702691:12703816:1 gene:Sspon.05G0005820-2B transcript:Sspon.05G0005820-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAGK [Source:Projected from Arabidopsis thaliana (AT3G57560) UniProtKB/TrEMBL;Acc:A0A178V7F4] MNDRAPATAAMVLTKPHLSNSLLLGPSPPLSSPTLSSNHARPLAAPTCRRSRLRISATSTATPAPSAAAAAAAATAALSRVDVLSEALPFIQRFKGKTVVVKYGGAAMKSPELQASVIRDLVLLSCVGLRPVLVHGGGPEINSWLLRVGVEPQFRDGLRVTDALTMEVVEMVLVGKVNKNLVSLINIAGGTAVGLCGKDARLITARPSPNAVALGFVGEVSRVDATVLHPIIAAGHIPVIATVAADETGQAYNINADTAAGEIAAAVGAEKLLLLTDVSGILADRNDPGSLVKEIDIAGVRQMVADGKVAGGMIPKVECCVRALAQGVHTASIIDGRVPHSLLLEILTDEGTGTMITG >Sspon.07G0023560-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7C:15832477:15837236:-1 gene:Sspon.07G0023560-2C transcript:Sspon.07G0023560-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTGNHLPAGAQTFPASTPPLLSQIYAGSQPYAGRDTASLAAALVAARAAAAEGQARVRAAALVWEHEREAADALARQIAEAERLLSPASPAGANSSASPGRPSLPGRGVQNIRLLVPVVLEPESPSYARWRDLVVFTLRRYALDDHVLLDASAAVQTPSWLRLDSIVLSWILGTISLDLHDLVRTSPDARRAWLALEGQFLGNAEARALRLDASFRTFVQGDLSVGEFCRRMKGMADSLGDLGWPVEDRILVLNVLRGLSDRYAHLRTWITRQRPFPSFLEVRDDLVMEELTQGLQPVSTTPAGSSSSSTALAATSPRPPAPPRTTAPPPSSLLGPPPSGPSGGGGGRGGRRRRGGGRGGGRGGHTQAQVPGTASPRSAPATGPGSPASPGPSSSGAAPSPSVGADPGPSSPASAPGGRAGCRRRIPSRHPHRHLRRGSPRRYGSTSAGRGLRRSRSLSTGDTDTAATVSTGPWYPAGLPSAAASPTPAACSPDGDTTRGWHLAASVLAASTDDAVVSPVPSSVRDALLDPHWRRAMEEEYAALLANQTWELVPRPPGANVVTGKWIWTHKRRADGSLERYKARWVLRGFTQRPGVDYDETFSPVVKPATVRTVLSLALARSWPIHQLDVKNAFLHGLLTETVYCSQPAGFVDTTRPDMVCRLNRSLYGLKQAPRAWNHRFATFLMTLGFVEAKSDTSLFVYHHGADTAYLLLYVDDIVLTASSEPLLRRIIAALQQEFAMKDLGELHHFLGVTVEHRPAGLLLHQQQYTRDILERAGMSDCKPCSTPVDTQGKLSETEGPPVADPTAYRSLAGALQYLTFTRPDITYAVQQVCLHMHDPREPHLTALKRLLRYLRGTLDYGLLLHRASSTDLVVYTDADWAGCPDTRRSTSGYAVFLGGNLVSWSSKRQPVVSRSSAEAEYRAVANGVAEAAWLRQLLAELHTPPSRSTLIYCDNVSAVYLSTNPIQHQRTKHVEIDLHFVRDRVAMGEVRVLHVPTTSQFADIFTKGLPSSTFVEFRSSLNITGG >Sspon.04G0004910-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4B:9786869:9787963:1 gene:Sspon.04G0004910-2B transcript:Sspon.04G0004910-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FAD2 [Source:Projected from Arabidopsis thaliana (AT3G12120) UniProtKB/TrEMBL;Acc:A0A178VIK7] MTEKEREKQEQLARATGGAAMQRSPVEKPPFTVGQIKKAIPPHCFERSVLKSFSYVVHDLVIAAALLYFALAIIPALPSPLHYVAWPLYWIAQGCVCTGVWVIAHECGHHAFSDYQLLDDIVGLVLHSSLMVPYFSWKYSHRRHHSNTGSLERDEVFVPKKKEALPWYTPYVYNNPVGRLVHIVVQLTLGWPLYLATNASGRPYPRFACHFDPYGPIYNDRERAQIFISDAGVMAVSFGLYKLAATFGFWWVVRVYAVPLLIVNAWLVLITYLQHTHPALPHYDSSEWDWLRGALATMDRDYGILNRVFHNITDTHVAHHLFSTMPHYHAMEATKAIKPILGEYYQFDPTPVAKATWREARECIY >Sspon.03G0002860-3C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3C:22915700:22920637:1 gene:Sspon.03G0002860-3C transcript:Sspon.03G0002860-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPSPMAARAAGGCSSAALAFFRLHPLRRAVRPAAAFAGGCSSGLHGGCRSRMAHTIVDSVMDELRSRRRIRVSAKIGLQSTKELPDNKIDKRTLQKGSLLEFQKDSQRSLLAVVERPDGKKNWMVTDQNGILSSIKPQQVTYVVPGIMNFDYSRIDEFLEKTQDLLDPTVLECAWMELSEKDKSITVEEFAEIVYGTKESLESYCAHLLLSRDVVYFVKVESRDYSMYQPRSPAQVEELLRRKLAKEAAEKELEEFVHLLKSAKEFPVESKPPKTSWLVEEKVRQKIEALQAYAVDACDDEQKRLAGNILKAMGFTRTSSAALKLLINVGYFPVHVNLDLFRYDVRIRYTDEVLSAAEELLVDSPDADMHIRKDLSTLKVYAIDVDEADELDDALSATRLPDGRIKVWIHVADPTCLVKPRSIIDRSLLLFCSILSITAFGICYKTMSLVDREAMHRGTSIFLPTATIPMFPERLAMNAMSLQQEYTLENSVIKPTYMLTYESATELLYMNLEEEEELRILQEAASIRAQCRHSQGSIDTAMIEPRIKVSNPDDTEPNINLYVEDQANPAMQLVSEMMILCGEAVAAFGSDNNLPLPYRGHPSPTQQCQCSLIYLRGLQGALPISVCSVLQKWIFKNLYHMVYLVFLV >Sspon.03G0026040-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:1782830:1784644:-1 gene:Sspon.03G0026040-1B transcript:Sspon.03G0026040-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding METRVAGVPEDEESGLLPRPSAAGRRPSSRCPRFPPPPAAWATVDGPLGLPLEDAEGHARRFFLWGFACLPFLWAINCCYFWPVLRSSAASSPSAFAPIRPYVVRSAIGFTIFSVVLITWATTFIVGGEQLFGPMWNDLVMYNVADKLGITGFMG >Sspon.01G0021940-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1B:83766595:83767365:-1 gene:Sspon.01G0021940-2B transcript:Sspon.01G0021940-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSKEEEKEEQARKAHALAEKCFLAGNVIAARQWMQSAVRLAPDLPGTPQIVAAYDVHAAAARSSPTDWYAVLGLNPGGGGVTHDDIKKQHRRLCLLVHPDKNPCAAADGAFMLVQAASHALLAKHPPPGAAVRAPANQPAPPPPPQHQAAPPRPPEPQPRPRPQVVQMARRPAPPPTPRPAAPMPPTYSQQAKQAKPTQKSRRRRVSTPPIPQFTSPIADKCPVCGARANNGGGSNYRCINCQYSPLDGRHDDDY >Sspon.07G0013470-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:54180050:54180502:1 gene:Sspon.07G0013470-2B transcript:Sspon.07G0013470-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLVKIGPWGGRGGHEQDIVVTGVAPHRLESVVIRCEGAVDAISFTYAGIDGTSRSTGRWGGSGGRKHKVRFGDMEVVEEISGTYGPFDGHAGIVRSLTFVTNVGKHGPFGEPRQGMPFSVPLQNGARVVGFFGRSGSLLDALGVYVHP >Sspon.01G0017880-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:66797145:66798949:-1 gene:Sspon.01G0017880-1P transcript:Sspon.01G0017880-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKDGSGSQDLGHRFWPMLSYACGELCVIILLYVAALASYAATRLARICGLRPPCIMCTRLDRALHGKPWFSGDLVCALHRSEVSSLAHCKSHDQLARSGDLCKACLLSCKAVGVGEEVNSRSASSRSTRLCSCCSDPFKNARSAQKHSEAANAVESWNSVPADHPNEKTFVVGIEEVHESDGSPGSHGKSRKDSATSVNVGTAKSNYRSAAPTRIAVDRNGSVKNASVPRVNLTSPRPSEIISARDSNSTTQQEVKALLSQISSVRGLDSSSSEGSPSPGINALNEESNPTSKRPYLERNYSVLEPSDGSLTDDVEGESSLENVKKQLELNKKSMAALYKELEEERSASAVAASQTMAMINRLQEEKAAMQMEALQYLRMMEQQADHDHLAIQDLHDLLTEREKELLDLDAELAHCRRLLQNDPFNGDRLDGTDTLNNTDFVGSAMSHFEDDKAYILESLSRLEENLGISTNRLASGDARNSQEDILFEDHTRADGSPSNSDKLSGVASLKIEISLLNIRLRALEEDQEFLKQVLSSLRCGSDGLQCIQEITSHLAELRRVVTH >Sspon.01G0039980-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:9339187:9343033:-1 gene:Sspon.01G0039980-2P transcript:Sspon.01G0039980-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGQFAKPRSEPFEEREGVKLPSYRGDNVNGDDFTEKSRVPDPQRMIRAYAQSVATLNLLRAFATGGYAAMQRVTQWNLDFMDHSEQGDRYRELAHRVDEALGFMTAAGLTVDHPIMTTTDFWTSHECLLLPYEQALTREDSTSGLFYDCSAHMLWVGERTRQLDGAHVEFLRGVANPLGIKVSDKMNPSDLVKLIEILNPSNKPGRITIITRMGAENMRVKLPHLIRAVRNAGLIVTWITDPMHGNTIKAPCGLKTRPFDSILAEVRAFFDVHDQEGSHPGGIHLEMTGQNVTECIGGSRTVTFDDLSDRYHTHCDPRLNASQSVELAFIIAERLRKRRMRSGLNNSLPLPPLAF >Sspon.03G0008880-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:11439568:11444518:1 gene:Sspon.03G0008880-1P transcript:Sspon.03G0008880-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGGEEFAIGVVISAKTTLGEEFEGQIVAFDRPSNLLVIHILPKSLMLLTRISMSFTYRSQEGVGRGERGERRNVRVLKANYIREFSVVSKGDDPLDPAGCVLDLNAIYAREDAALRQAEIEAERIGVGVTPEAQSIFDALSKTLPVQWDKTDIVVMKEVRVRSPYLPENVSGGTAAANERVKKVIDFERKRLHSLVGKD >Sspon.07G0010990-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:36781921:36782701:-1 gene:Sspon.07G0010990-1A transcript:Sspon.07G0010990-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DVLLWRWRRVPAAGLAAGAAVRAARRPRLHRRRHHLDHRAAHLVHLPVLPLRDGGAGGGGGAHQGAAARHDLVHLQDTLL >Sspon.06G0020690-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:9888933:9893817:-1 gene:Sspon.06G0020690-1P transcript:Sspon.06G0020690-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ILDDGLQLNKDLLHGVAEIQQLERRFNFCIQHFPLLGRANLVAWQCASYCPSDLPHCHAPTIGNKNQQLLPPLSLYKEESQSQEDHHCSSLPSLLHFKNKRSFTASASIQIMACHLRSASVPSSPRSNKANIDEQLQSLKATISSPSVAIETMVVGLNKIVSIYSCIDELTSLPSNQRQQRKAVEEELERSLVLLDLCNTVQENFAELKVSVQEMQLVLKRGDHMALQAKVQSYARLAKKAQKQLKKINSKAASDIEGCGVVMLLAEAREIAVSMLELTSHFLAKKIVMPSSSKWSLVSKAFEKKKVVCKEEQLQALELDISDLESGVATLFRTLIQSRVSLLNTLTL >Sspon.02G0001430-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:119251957:119256399:1 gene:Sspon.02G0001430-2B transcript:Sspon.02G0001430-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCVHGRPSAPSPDHPPQPPEPPAPAAAQEDAGKAEQPAAPAEKPARRERRSRSSRSGPGPSFANRARGEQVAAGWPAWLSAVAGEAIDGWTPRRADSFEKIDKIGQGTYSNVYKARDTVSGKIVALKKVRFDNLEPESVRFMAREILILRRLDHPNVVKLDGLVTSRMTCSLYLVFEYMEHDLAGLAASPEIKFTEPQVKCYMHQLLSGLEHCHDRGVLHRDIKGSNLLLDNNGMLKIADFGLASFFDPDRKQPMTSRVVTLWYRPPELLLGATDYGVGVDLWSAGCILAELLAGRPIMPGRTEVEQLHKVFKLCGSPTEEYWKKSKLPHATIFKPQQPYKRRIRETFKDFPQSALQLIETLLAIDPADRLTATSALRSDFFTTEPFACEPSSLPKYPPSKEIDAKRRDEEARRLRAAGGRANGDGAKKTRTRDRPKAVPAPEANAELQVNIDKRRFITHANAKSKSEKFPPPHQDGAVGVPLDTSNHMDPLYEPPDPSSFSTVFTYEKGAVPTWSGPLVDPAAVVNQKRKHKSGRSSKQPATARA >Sspon.04G0000700-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:3098987:3103146:-1 gene:Sspon.04G0000700-1A transcript:Sspon.04G0000700-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ACYB-2 [Source:Projected from Arabidopsis thaliana (AT4G25570) UniProtKB/TrEMBL;Acc:A0A178UZ09] MALGLGVRAAPFTYAAHALAVAAAAMVLVWAIQFRGGLAIEATNKNLIFNVHPVLMLIGYIIIGGEAIMVYRVLPTTNHDTTKLIHLILHGIALVLGAVGIYFAFKNHNESGIANLYSLHSWIGIGTITLYGIQWIIGFVTFFFPGAAPNVKKGVLPWHILFGLFVYILALANAELGFLEKLTFLESSGLDKYGTEAFLVNFTALVVVLFGASVVVAAIAPVRLEEPQGYAPIPEN >Sspon.02G0039330-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:42878568:42879732:-1 gene:Sspon.02G0039330-3D transcript:Sspon.02G0039330-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPYTHPYQHHTAAVAPTKHGHHGHGHGEEDDDQSMLLLSLWPPGHHHGVAAASSACSSPPICAATTTTSMAAAASSYPWLTHQSHYNGGCGGGSSSSSHSRFLFHEHQQQEPNVSISLSIAPPCSNNAASCGAGGFAAPAAPSTVTTVTPGGSQVPSQYWIPSAAEILVGSTQFSCAVCNKTFNRFNNMQMHMWGHGSQYRKGSESLRGAITVGTAPPASLMRLPCYCCAEGCRNNIEHPRARPLKDFRTLQTHYRRKHGARPYACRRCGKRFAVRGDWRTHEKNCGKLWFCVCGSDFKHKRSLKDHVRSFGGGHAPHIVESVAIEDDEEEDDDHDDADLHTFDDSVNGGAGGEPSDMVV >Sspon.01G0000370-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:907891:908778:-1 gene:Sspon.01G0000370-2B transcript:Sspon.01G0000370-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWSMQVAVAVALALAFLVGGAWCGPPKVPPGKNITATYGSDWLEAKATWYGKPTGAGPDDNGGGCGYKDVNKAPFNSMGACGNVPIFKDGLGCGSCFEIKCDKPAECSGEPVVVYITDMNYEPIAAYHFDLAGTAFGAMAKKGEEEKLRKAGIIDMQFRRVKCKYGEKVTFHVEKGCNPNYLALLVKYVDGDGDIVAVDIKEKGGDTYEPLKHSWGAIWRKDSDKPLKFPVTVQITTEGGTKSVYNDVIPKTGSPTPPTPPNKPARRPTAALGWVGLDPNLIPKQCITIRLRMH >Sspon.04G0000580-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:2847837:2852332:1 gene:Sspon.04G0000580-1A transcript:Sspon.04G0000580-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LCFLQAAQASIAVGSQVWVEDPDVAWIDGEVVKVNGDTVTVKCSNEKTVTAKASNVHAKDPEEAPCGVDDMTKLAYLHEPGVLQNLKSRYDMNEIYTYTGNILIAVNPFRRLPHLYDTQMMQQYKGAEFGELSPHPFAVADVAYRLMRNEGISQSILVSGESGAGKTESTKMIMRYLAYMGGKAASEGRTVEKQVLQERERYKLGDPSTFHYLNQSNCIKLEGLDESKEYLETRKAMDIIGISSKEQEAIFRVVAAILHLGNVEFAEGDDGDSSKPKDEKSLFHLRTAAELFMCDEKALKDSLCQRIIVTRDENIVKTLDPEAAKGSRDALAKTVYSRLFDCFEQFCINLTNEKLQQHFNQHVFKMEQEEYTKEEINWSYIEFIDNQDVLDLIEKKPGGIIALLDEACMLPRSTHETFAQKLYQTFKNHKRFAKPKLSRSDFTICHYAGDVTYQTELFLDKNKDYVVAEHQALLSASKCAFVSGLFPLLSEDSSKSSKFSSIGSRFKQQLQSLLETLSSTEPHYIRCVKPNNLLKPAIFENQ >Sspon.07G0017730-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:64072989:64073705:1 gene:Sspon.07G0017730-1A transcript:Sspon.07G0017730-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKTKVHPNAADPEHAAPVTVVTPDEEPPPTVLTVWRKSLLFNCDGFTVYDDRGDLVFRVDSYDGSGRRRAEVVLMDATGTPLLTVRRKRRLGMLAGHWVIYDGDAAAADAEAETSTTNSRPLLSVRRHRRASSSSSKAKALAYVTPLLASSSSYVVEGSYGRRACAVRDARGESGDAVAEVRRKEAVLGDDVFWLVADPRLSATLAMGLVIALDEMFAGGRSSARSSSLLRSRTWSV >Sspon.07G0001040-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:1638365:1642231:1 gene:Sspon.07G0001040-1T transcript:Sspon.07G0001040-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKYAAPTWAILISGFFMLLSVSLSMYLIFEHLSAYNNPEEQKFVLGVILMVPCYAIESYVSLVNPDTSVYCGILRDGYEAFAMYCFGRYITACLGGEDRTIAFLKREGGEDSGEPLLHHVSEKGVIHHHFPINYILKPWRLGVRFYQIIKFGIFQYVIIKTLTASLSLILQPFGVYCDGEFKWGCGYPYFAVVLNFSQYWALYCLVEWYTATKDELAHIKPLAKFLAFKSIVFLTWWQGVIIAIMYSLGLVRSPLAQSLELKTSIQDFIICIEMGIASVVHLYVFPAKPYELLGKQYSPTNISVLGDYAASDPVDPDEVKDISRPTKVRLPQLEPDEIVATNIKESVRDFVIGSGEYVIKDFKFTVNQAVRPVEKRFDKMKKNIKFSRQSQDDNWVSASTPERTIRGIDDPLISGSASDSGIVGKGKRHRRDPSSAAAVDSWEGTEQAPDGFVIRGRRWEIKKS >Sspon.02G0015460-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:42207891:42209518:1 gene:Sspon.02G0015460-1A transcript:Sspon.02G0015460-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADRCFSLAAAAAADSFEVSRLPLVGGASAAAGGAADGRRKRAFQLTAHEELQLQVQLELGDVHELCGLDYDLHGPQQQERAKRRLTAEQVRELELSFEEEKRKLEPERKRELARRLGIAPRQVAVWFQNRRARWRTKQLEQDFDRLRAAHDDLLAGRDALLADNDRLRSQVITLTEKLQAKESSASEPEEQTVAAQETVYTLFQEDKLCSEIATGSVAAPAPGSNDSQESYFAGARSPPSSPEDDDCGCGGGDRTFFLPDALLATAMEQGLEGAAEEDGAQLNHWAWLWNDQQY >Sspon.03G0017890-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3A:55326226:55327029:1 gene:Sspon.03G0017890-1A transcript:Sspon.03G0017890-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAGLARLAPPPAPARALAPAHAALRPRPPCGHRSPPPAYGWRAVGSTGRATRLEAAPASSSPVALAFSFSWPRVSSNRSRSPPPRAHRRSARANAAVPAQRPHHFSIHLATGSATSSSSPNGVRYPLLADGEAQSLPSRSHHRRRPQGSSSASGALAPSFSPCFARLCSQPFRGSHACSGFDSEPEVGRWPTATTLRLRDVGATAPVAALRVRLLPSLLAGPPAWAEPPSRAAPPSVSRATPSPFRPRASAAAWAGPFGDFKFRF >Sspon.02G0026640-3C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:84693747:84697299:1 gene:Sspon.02G0026640-3C transcript:Sspon.02G0026640-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLSFWLLLLCLPPLDSFELPRRDSSRDADIEMGMHQADASDNLKDFLKKVDAIESLIAKLTNLLNKLQTANEESKAVTKASSMKAIKQRMEKDIDEVGKIARQAKTKVDELEKDNLSNRQKPGCGKGSAVDRSREQTTGAVKKKLKERMDDFQTLREAIRQEYREVVERRVFTVTGNRPDEETIDDLIETGKSEQIFKDAIQHQGRGQILDTVAEIQERHDAVRDLERKLLELQQIFMDMAVLVEAQGDMINNIETHVSNATNHIQHGVTALQNAKKLQKNSRKWMCYAIILLLVIVVVIVVAVIQPWKKG >Sspon.03G0014910-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:67718072:67718996:-1 gene:Sspon.03G0014910-1P transcript:Sspon.03G0014910-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYLSPYLEAVGSDFTGGANFAISGSTTLPRNVPFALHVQVQQFLHFKQRSLDLIAHGGGGTAPVDADGFRNALYLIDIGQNDLSAAFGSGAPYDDIVHQRIPAIVSEIKDAIMTLYYNGAKNFWVHGTGPLGCLPQKLAAPRPDDSDLDDSGCLKTLNDGAYEFNTQLCAACDGLRSQLRGATIVYTDVLLIKYDLIANHIAYGFEEPLMACCGYGGPPYNYNANVSCLGPGFRVCEDGTKFVSWDGVHYTDAANAVVAAKILSGQFSTPKMPFDYF >Sspon.08G0006890-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:21398515:21417401:1 gene:Sspon.08G0006890-1A transcript:Sspon.08G0006890-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDGARERDAERWEGYVDWRNRPATRGRHGGMGAASFVLVVEVLENLAFLANASNLVTYLMRFMHYSPSQSATTVTNFMGTAFLLALLGGFLSDAFFTTYTIYIVSAFIEFMGLVILMVQARTPSLMPPQCAAKLAPCEPVSGAKKAMLFAGLYLTALGVGGIKGSLPSHGAEQFDEHAPRGRKGRSTFFNYFVFCLSCGALVAVTFAVWVEDNKGWQWGFGISTIAILLSIPVFAAGSRLYRNKVPTGSPLTTIAKVLVAAALARRRGGCGYAQSAISNGAVIDRAPSPTGSTDMKEYCGKPGAGDMTISDAAAIVAAAAEPSHELAFLNRAVQCQPPRHGSLACTVQEVEDVKIVLMVLPIFFSTIMLNCCLAQLSTFSVEQAATMDTRVGSLTVPPASLPVFPVTFIIILAPVFDHVIVPFARRVTGTEMGITHLQRIGTGLVLSIVAMAVAAVVEVKRKNVAASNGMLDSAKPLPITFFWIAFQYLFLGSADLFTLAGLLEFFFSEAPPRMRSLATSLSWASLALGYYLSSVLVSIVNSATGRGDHRPWLQGASINHYHLERFYWVMCVLSTLNYLFFLFLAIRYKYRNAGEDGARERDAERWEGYVDWRNRPATRGRHGGMGAASFVLVVEVLENLAFLANASNLVTYLMRFMHYSPSQSATTVTNFMGTAFLLALLGGFLSDAFFTTYTIYIVSAFIEFMGLVILMVQARTPSLMPPQCAAKPAPCEPVSGAKKAMLFAGLYLTALGVGGIKGSLPSHGAEQFDEHAPRGRKGRSTFFNYFVFCLSCGALVAVTFAVWVEDNKGWQWGFGISTIAILLSIPVFAAGSRLYRNKVPTGSPLTTIAKVLVAAALARRRGGCGYAQSAISNGAVIDRAPSPTGSTDMKEYCGKPGAGDMTISDAAAIVAAAAEPSHELAFLNRAVQCQPPRHGRLACTVQEVEDVKIVLMVLPIFFSTIMLNCCLAQLSTFSVEQAATMDTRVGSLTVPPASLPVFPVTFIIILAPVYDHVIVPFARRVTGTEMGITHLQRIGTGLVLSIVAMAVAAVVEVKRKNVAASNGMLDSAKPLPITFFWIAFQYLFLGSADLFTLAGLLEFFFSEAPPRMRSLATSLSWASLALGYYLSSVLVSIVNSATGRGDHRPWLQGASLNHYHLERFYWVMCVLSTLNYLFFLFLAI >Sspon.03G0025170-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:25575212:25577764:-1 gene:Sspon.03G0025170-3C transcript:Sspon.03G0025170-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPSPAPAAVLVSNGAIAPHAPPSAAAFLDSTPGAYTTARCSGTLLWWPRHLRRLAESATLLARSHPHLLGLPLPRSRALDLDFFSIHSLVNPSVRVAIHEMRTRLPMTKHEDLALTALVSGAGAADSISGSGDGLHVFVHVGTYAPPVFGESGARLAVAGRGRDAAAAKYASWARIRKSLEKMRPPGVTELLLTNDGDHILEGAVTNFFVVCQQASLTSGKQKRKATGG >Sspon.08G0021440-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8C:43136615:43137548:1 gene:Sspon.08G0021440-2C transcript:Sspon.08G0021440-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLKALILAILGFAFFCCAARAARDLNDDSAMVARHEQWMAQYNRVYKDATEKAQRFEVFKANVKFIELFNAGGNRKFWLGVNQFADLTNDEFRATKTNKGFKPSPVKVPTGFRYENVSVDALPRPSTGGPRALSLPSRIKVNVGCEGGLMDDAFKFIIKNGGLTTESSYPYSATDGKCKSGSNSAATVKGYEDVPANNEAALMKAVANQPVSVAVDGGDMTFQFYSG >Sspon.07G0035610-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:10266284:10268555:1 gene:Sspon.07G0035610-1D transcript:Sspon.07G0035610-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLALLLSHPSPYILRVNWTLVVNSQLLARLIFEDSFVFFAEIETRSSKLHRTLNFSISNSVFVKSKDASGQTGKRTANDSRENKET >Sspon.02G0051570-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:80217978:80219879:1 gene:Sspon.02G0051570-1C transcript:Sspon.02G0051570-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPKINIVFLAGSTFIFGSWVCTADDSSKVAGHQTPAADALARLVETTVDQASISTPHQDALEYLVGNFGKFSISSLTQTQKHLKTLESNLGMTKFSESASKPLDNCGPSLVGGFKTCAIHSDHKGGGRRRNPTDRRSTRPNHHRNVRGEDHTQAGVRLLRNASDSSRIVTMMGPLPYQTGVTLSPPNRQIRGLPAHAAESADGREKREAAPPYPPAGGRVKPRRQIYSLEGEVGGRLAGSAHRREKWEAVPLAGSARQREREAAPQDLLAGGRRGRPRRLPEGDEGGRAAGSARQRAGHHCWGAGQGGSGGMPALCAVAVGRQGLAAPLWL >Sspon.01G0032610-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:113158592:113166293:1 gene:Sspon.01G0032610-2D transcript:Sspon.01G0032610-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VPRLVRMHSNEMEDIQEAYAGQIVAVFGVDCASGDTFTDGTVKYTMTSMNVPEPVMSLAVSPISKDSGGQFSKALNRFQKEDPTFRVGLDAESGQTIISGMGELHLDIYVERIKREYKVDAKVGKPRVNFRETITQRAEFDYLHKKQSGGQGQYGRVCGGSLIGHPVENLRIVLTDGASHQVDSSELAFKLAAIYAFRQCYTSAKPVILEPVMKVELKFPTEFQGTVTGDMNKRKGIIVGNEQEGDDTIVVCHVPLNNMFGYATAIRSVTQGKGEFTMEYLEHNIVSQDVQMQLVNSYKAAKGTE >Sspon.03G0026050-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:2233618:2235395:-1 gene:Sspon.03G0026050-3D transcript:Sspon.03G0026050-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALASAPRALFSRASPAALLVATAAAARRRSAAPICRASAAAGEETPPAAPKAADATAAPKKILKKKPVYSMKKGQIVRVDKEKYLNSINYLSVGHPPFYKGLDYIYEDRGEVLDIRNFETGEYALIAWVGIPTPPAWLPTYMLIKSDKLDYERI >Sspon.02G0025060-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2A:86146177:86147151:-1 gene:Sspon.02G0025060-1A transcript:Sspon.02G0025060-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVRRVGVCDLDGLAVRLHHRASSLAEELVEEILLRVPPVEPAHLVRASLVCKAWHSILSDDTFRRRYRRFHGTPPLQGYFCNDFSDSTVRFVPTISVAAASPVCALRLDDSCGQKPSALDCRHGRVLILIEANDNGRLIVWDPIAGSQRHLSLPTYMQYPCWYNHAGAVLCAKDGCDHLDCHGGPFLVVLVGTRLDYAYKEGGDVQTWASMYSSETDVWSSPTTPHDEANQYVDDRRPGLLIGDALYYTTIFGKYNIVKYDLRKHELSVMHLPVEFQSIRVAKKSFSPFSSREMTEGLALWPCRATASTCGHGKARTKALVGG >Sspon.02G0016300-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:48621907:48626146:-1 gene:Sspon.02G0016300-1P transcript:Sspon.02G0016300-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVDAGHNSMALVHAHLADSAVAPPRTLRQRQKWEVEYARYFGTPQRDPSAPPPPGLRHIIRGVHRHQGTWIPASCPASLYVSHPSLPSAVPVLTISIGDVVFVTCVTQCPIRGSRVVFVSFCDKSKQIQKFAVRFPQLCDAESFLSCVKVCSCETMDIIPSGSDYVCEDSPASEYIASNGLHHSLIIQCKSDTEEPCSLAASNHAFQEVYALDTSHDVANEETTAGKGMDAGEGVDTSTLTYDIMARIKTYMADESFNDMLFKLEKVIDELGGDMSL >Sspon.04G0003990-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:12876134:12881635:1 gene:Sspon.04G0003990-3D transcript:Sspon.04G0003990-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGGGEEGNASAHKGSARRRGPVQAGLDADDLLTLMHGSDPVKVELNRLENEVRDKDRELGEAHAEIKALRLSERAREKAVEELTAELEKVDEKLKLTESLLESKNLELKKTNDEKKAAMAAQFAAEATLRRVHAAQKDDDMPPIEAILAPLEAELKISRKEIAKLQDDNRALDRLTKQKEAALLEAERTVQIAMAKAAMVDDMQNKNQELIKQIEICQEENKILDKLHRQKVAEVEKLSQTVRELEEDVLQGGAAANVVRDYQRKVQEMNEEKKIRDRELARAKVTANRVAVVVANEWKDANDKVMPVKQWLEERRFLQGEMQQLRDKLAIAERTARSEAQLKEKCQLRLKVLEDSLRGPPSGSSRPPMEGKSISNGPPRRLSLGGVDSISKISPNGVLMRRSPSFNSRSPLSTSSCLVLKHAKGTSKSFDGGTRSLDRGKVLGSGPHSLNRSTDAVKDCETTDNWKANAEEKSNETTNNDSADMVSGVLYDVLQKEVVSLRKACHEKDQTLKDKEDAIEMLAKKVDTLHKAKEVEAKKKRQEIAALEKKFAAVCLEQERLGNLRGPGTSQTVSGRTASRGGLTRNLQ >Sspon.02G0015740-2P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:43732252:43734006:-1 gene:Sspon.02G0015740-2P transcript:Sspon.02G0015740-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSAAWREGRRTLLLVNLASIMERADEALLPAVYREVGAALHASPAGLGALSLCRSIVQAACYPLAAYAAARHNRAHVIAVGAFLWAAATFLVGVSDTFLQVAISRGLNGIGLALVVPSIQSLVADSTDDGTRGSAFGWLQLASSLGLISGGFVGLLLAQTTVLGIDGWRIAFHLVAAISVAVGILNWFFAVDPHFPRRDGKQAATAREVVAEMIEEAKFVVRIPTFQIFVAQGVSGSFPWSALSFASMWLELKGFSHSDTALLMTIFWVASSLGGLLGGKMGDLLAVRYPDAGRIVLSQISPLSAVPLAAVLLLGLPDDPSKGVSYGAVLFIMGVFMSWNGPATNFPIFAEIVPEKSRTSIYALDRSFESVLSSFAPPIVGLLAERVYGYRPNDKGESVEQDRGNAASLAKALYTSIAIPFIVCTAIYSFLYCSYPRDRERARMQSLIESELQQMEHESSCLELEDGGDGVPK >Sspon.02G0031350-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:114637962:114640888:1 gene:Sspon.02G0031350-1A transcript:Sspon.02G0031350-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKEEPKKEKKSKRKAAAAANGAAAAAVAAPGARAAVVASVAAFLEAAELPRTLAALQSEAGLEAGAWGSSQVNLEELVARFLESSNSTPAAAVLGSNEQDKENGDIAGEAGKKKKKNGGEAGEPESKASEPSAHEKPSGNIGDEAKEKKQKKKKDKGDPSAANAASGEATETVKNDDQKLDKKKSKKLGKDDYVEARVEKAELAIINKLEAAQKVNGDGDESREEEQKSQNDDADESSGAVEKKKKKKKEKSAVETLEKTDAGSAPAEADGAKGKNGVVETAKDDNEKKAKKKRKKSSPEENIEVEGKEAAGKDPVPKQDDVNKSGMDVDEDHQGKSSNENAVAGKKRKLEEVDGSIAPVTPKEDSTANRSLSNGFAEDKTNEDNNIKPSKRQKKSSEPKSVTPFQRIKVDSVTFADERLQDNSYWAKGGADTGYGAKAQEILGQVKGRGFRHEKTKKKRGTYRGGQIDLQTHSIKFENSDDE >Sspon.04G0016550-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:64460373:64461437:1 gene:Sspon.04G0016550-2B transcript:Sspon.04G0016550-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQHASYLAKRGIGMVEAVSSALTKAGYDKETKQQVFIQSDDSSVLEAFKKFTTFRRVLNIEAKISGASKPSVEDIKKFADTVRIHRNSVAQITGYFMTHFTDTVGSLQAANLTVFVGVLKNEFMNLGFDFFADPTIEVATYAFSVVADGIVTDYPATASSYFRSPCSDMKLNLSYSILPAQPGALVNLAAPGMLAPAGAPAPLLQPTDVVDPPLPPVKAVIAADAPAPGAADNTSSAFNSNAGNGLLWAGIVALLSLTFLH >Sspon.01G0033070-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1A:111868315:111869162:1 gene:Sspon.01G0033070-1A transcript:Sspon.01G0033070-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDLLRRASTVTSLTPALSVAPLLLQSRSAARSTIDVTRAAPSAPPHRRTPCMLFRTYVANVSSGCCKSRLGVAHVAMRVRNEEGASGPCARFGGAGPAWACEMQARVGGVLA >Sspon.01G0058930-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:36796194:36796619:-1 gene:Sspon.01G0058930-1D transcript:Sspon.01G0058930-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRAPLRVSVLIALPLSTAAAPGSSIASAAGGQSTSQQQRSIANQPDDGEGTNPTSLLCFLSNTSSNTNQVKQLGVKV >Sspon.03G0009990-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:33706637:33714264:-1 gene:Sspon.03G0009990-1P transcript:Sspon.03G0009990-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNVVEVEPGRPAADGRPSVGPTYRSAFARDGFPPPVPGMDSCYDIFRMAVEKYPNNRMLGHREIVDGKAGAYVWKTYKEVFDIANKIGNSIRSCGLAKGSRCGIYGANCPEWIITMEACNAHGIYCVPLYDTLGAGAVEFILCHAEVEIAFAEEKKIELLVKTLPKSNEFLKSIVSFGKVTQEQKEEVRKYGLSVYSWDEFLSLAADQEFDLPVKEKSDICTIMYTSGTTGDPKGVLISNASIICLIAGVDRLLSSQNEELAESDVYMSYLPLAHIFDRVVEELFIFHGASIGFWQGDVKLLVEDIGVLKPTVMCAVPRVLDRIFSGLQAKISSGGFLKSTLFNVAYKFKHFRMMRGAKHNEAASICDKVVFSKVKEGLGGNVRVILSGAAPLATHVEEYLRVVTCAHVLQGYGTFLLSHGNLCWIFCLATKSNVHDRNCWPPVPNIDVRLESVPEMDYDALASTPRGEICIRGETLFSGYYKREDLTKEVLIDGWFHTGDIGEWQPDGCMKIIDRKKNIFKLSQGEYVAVENLENVYGLVSALDSIWVYGNSFESFLVAVVNPSKEALESWAAANGISGDFESLCKKPKAKEYILGELSRIGKEKKLKGFEFIKDVHLEPVPFDMDRDLITPTYKKKRPQLLKYYQGVIDNMYRSAK >Sspon.06G0011050-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:55490722:55490967:1 gene:Sspon.06G0011050-1P transcript:Sspon.06G0011050-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFCLVRRLFPFLLGTAVGAYVAQNYRVPNIRGLAERGLDDARRYEEAYRRKAGSSDAGAGSSGGSRKKKAAAAHVDMDDDE >Sspon.08G0008260-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:30246648:30253628:1 gene:Sspon.08G0008260-1A transcript:Sspon.08G0008260-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPDFSRASGGPSFEFAFNSVNFSDRVLRIEIVAGDDALGAKGAAGEGCSSLADWARHRKRRREELRRGKESGKYMPDPGICKVEAEECDAYEEGNEPVAMIEESPPDIEPDGEDGESSDSSWSMECTQVLRVKSIYISSAILAAKSPFFYKLFSNGMKESDQRHATLRITASEENALMELLSFMYSGKLTTNQPTALLDILMVADKFEKFAYDHRVCTALSGSTLKHFNGAAVQPLTDTAKEFLANKYKDLTKFQDEAMNIPLAGIEAILWSNDLQVASEDAIYDFVIKWARAQYPKLEERREILGTRLLPLVRFCHMTCRKLRKVLACSDLDHEQATKCVTEALLYKADAPHRQRALAADVMTCRKYAERAYKYRPLKVVEFDRPYPQCIAYLDLKREECSRLFPSGRIYSQAFHLAGQGFFLSAHCNMDQQSAFYCFGLFLGMQEKGSTSVTVDYEFAARTRPSGEFVSKYKGYYTFTGGKAVGYRNLFAIPWPSFMADDSLFFIDGVLHLRAELTIKQP >Sspon.02G0033850-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:9335111:9337821:1 gene:Sspon.02G0033850-1B transcript:Sspon.02G0033850-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFNIANPTTGCQKKLEIDDDQKLRAFYDRRISQEVSGDALGEEFKGYVFKIMGGCDKQGFPMKQGVLTSGRVRLLLHRGTPCFRGYGRRNGERRRKSVRGCIVSQDLSVINLVIVKKGENDLPGLTDTEKPRMRGPKRASKIRKLFNLSKDDDVRKYVNTYRRTFTTKSGKKVSKAPKIQRLVTPLTLQRKRARIADKKKRIAKKKSEAAEYQKLLAQRLKEQRDRRSESLAKRRSKLSAAAKASAATSA >Sspon.01G0054650-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:64061514:64064928:-1 gene:Sspon.01G0054650-1C transcript:Sspon.01G0054650-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKRSFEKMTLHSLYSAFTLFLLPRDKPTPQGGRLSSSAAARRSPEPLYSSSRRQGELRSIRMESASTTVPSIVVYVTVPNREAGKKLAGSIISEKLAACVNIVPAYVALQHIIFTPNDFFVSSALNPSIGGRESVPEVIALPIQGGNLKYLEWLKNSTREN >Sspon.08G0000510-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:1810792:1812009:-1 gene:Sspon.08G0000510-1A transcript:Sspon.08G0000510-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAAIYNLFIINKSGGLIYYKDYGSAGRMDTNDSLRLASLWHSMHAISQQLSPTPGCTGIDLLQAHNFDLHCFQSLTGTKFFVVCETGAPNMEMLLKVIYELYTDFVLKNPFYEMEMPIRCELFDHNLAQVIQKDRVALLGR >Sspon.06G0002480-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:6462900:6464550:-1 gene:Sspon.06G0002480-3C transcript:Sspon.06G0002480-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHAGANGCRGLGINYGTVADDLPSASRSVQLLRAAGAGAVKIYDANADILRALAGTGMPVSIMVPNSAIPSLASSRAAAEDWVAANLAPHVPATRVAHLLVGNEVLSNRGIAGSTWRAVVPAMANLHRALRAHGIRKVKLGTTLAMDALSALYPPSAGAFRDDIAEDVVRPLLRFLNATRSYYFVDAYPYFAWAGNREAISLDYALFQGGASSRYVDPGNGLAYTNLLDQMLDAVVAAVGRLGYGDVKLAVSETGWPSGGDAGEAGANVRNAATYNRNLASRMSKNPGTPARPGAKVPVFLFSLYNEDQKPGAGSERHWGLYYPNGSRVYDVDLTGRRSSYQPLPPADDMDSTPAWCVLAGGVGGSKAMNETAVAAAVAYACQQGSGTCAAIQPGGACHEPDTMDAHASYAFNAYWQQFRKAGGTCFFNGLAVITSKDPSEYTPSRIDLSSSALLCVFRRPWIMQVRQLSELDDDAKVEGHRPPGRHRVVRKAIAMDAQALRIEVSKQSITVIRVSVTLTD >Sspon.06G0005930-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6A:19918288:19919382:-1 gene:Sspon.06G0005930-1A transcript:Sspon.06G0005930-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPAVLLPSPPGCHLASFPLCCHAPTKQPSTAAARGLLLRGARSPVVKRVPDGGGWLLWHQSGPRVALSTSMDGLRWSAPVHPDPLLPSEDWWAFDTASVRPSDVLVVSGPAASSRRFPSSAVYWLYYTGSTDERFGSPFPGADVPALPGLAISQDGRHWARIEGDHHTGALFSVAEDGEEPRGWEARCIVAPKVVMHADGDLRMYYHSFDEMLQRHAIGLARSRDGIRWKKAGKVLEAGRAGFFDECGVRHGHVVRDRAAGRYVMVFEGVDADGRVSIGMAVSEDGLKDWRRSSEMPVLCPSDDDEGWDGAGVGSPCLVQMDGAYDWRLYYMGVGRDGEASIGMAYSEGQALLKFEKCDAVLM >Sspon.08G0006580-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8B:18085409:18091645:-1 gene:Sspon.08G0006580-2B transcript:Sspon.08G0006580-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ELLPAAAALSGNERPRRPPSPVQWSHARGPGDGAAAAAAAAGAGAAPPPGAANKGGHAERRKQPLQSSVTQPKAEPAAAAVLPEGGKRCGGGGRRRGGRGRAKAPAEPRAALAVAVAPAPAQAPPPRTVIGPPVPSKGLSFCRRPGFGTVGARCVVKANHFLAELPDKDLTQYDVKITPEVSSRTLNRVIIAELVRLYRASDLGMRLPAYDGRKNLYTAGTLPFDAREFVVRLTDEDNGTGVPPRLRPSREREYRVAIKFAARADLHHLRQFIAGRQADAPQEALQVLDIVLRELANQRYVSIGRSFYSPDIRKPQRLGDGLQSWCGFYQSIRPTQMGLSLNIDMSSTAFIEPLPVIEFVAQILGKDVISRPLSDANRIKIKKALRGVKVEVTHRGNVRRKYRISGLTTQPTHELIFPIDEQMNMKSVVEYFKEMYGFTIQHPHLPCLQVGNQKKANYLPMEACKIVEGQRYTKRLNEKQITSLLKTVHQNGYEQDPYAKEFGINISEKLTSVEARVLPAPWLKYHDTGKEKECLPQVGQWNMVNKEFNSEPVMPIYSARPEQVVKALKNVYNIALNKLKGKELELLLAILPDNNGPLYDVTHPETGEDSSPSIAAVVASQDWPEVTKYAGLVCAQAHRQELIQDLYKTWHDPQRGTVTGGMIRELLISFRKATGQKPLRIIFYRDGVSEGQFYQVLLYELDAIRKEPLLILRYATQRSLISTSVVMLEFSYARCTRSVSVVPPAYYAHLAAFRARFYMEPEMSENQTSKSSNGTNGVSVKPLPAVKEKVKRVMFYC >Sspon.01G0012490-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:33892047:33896626:1 gene:Sspon.01G0012490-1A transcript:Sspon.01G0012490-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRDFLRVFGAGEGSGAPADAANAGAGHPDDVELSLGLSLGGRFGTDAGAKRPRLARSSSIASVCSVSSLHGDGDPSPAAPLPLLRTTSLPTETEEERWRRREMQSQRRLEARRKRVERRNSMGSLVPAGPKPAADAPAALGSGRRSIGSQGSNSVSTTEQGIGGSAINQSTDASPSTSDNTNQNNMLPPTKAAEKPLNGTVTEQPRLRTLGSLTTRTSSTSDIRKLMMEDMPMVSSKVEGPNTRRIDGFLYRYKKGEDVRIVCVCHGSFLTPAEFVKHAGGGDVSNPLRHIVVNPAPFSSLYASRGFTFKIGNGFCVGF >Sspon.05G0025800-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:23877663:23886122:-1 gene:Sspon.05G0025800-2C transcript:Sspon.05G0025800-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRLAPALLLAAALLLAARPGPAVIAADCPLDLSWPNYGLIASVCSDQNGHSKCCRYINAVLAVSSAMFANTTGTLGVPAQFSDACIANISDTLVAKGILPTAASFCGLGIKIQVSYQCVGMTTILEMLQSPNFSDVTRSCATTLSDDVTCKRCLNSGLSYLRHLVGEQDNVTLNTCRDAAFVAFVSQGNISTIDTAGCFFSVQELSALQVNISGPAPAGLAVPDISPSPLTVQVPVVPPKHHRSYKLVLFPAIGALVTGVAILLMIVLIFLIRRKNKELKKLEGNNPLDAWSFSCVKKGQEGNSTIFGRFSYKEMKKATRNFNTVLGGGENGTVFRGQLNDGSVVAIRRVECSPKQSQHEFCKEMEFLGRLHHRHLVGLKGFCSTRFERLISVVFKFRYLPIYGSYSVLFQVYEYMENGSLQDHLHCNMSCFVLILLMMHHLLNCMLKSILLFAAPSKHLLPWKNRVQIAIDVANALEYLHFYCDPPLYHGDVKPSNVFLDKNYLAKVIRTEFCHNLQSYSAHPNRYVDPEYMVTQEVTTKSDVYSYGVLLLELVTGKPVIQDNKSLVEWSRELIGTDYRLHELVDPSVADAFDLDELQVVADVIHWCTHRDGAARPSMKQVLRILYERLDPLSGRFARAVEGEEGYYYCHGGGRVKGKQAGGDLTQFSGDAARSWLPSSSSTSRSHCSRSVLLECNSPEPESSPAHGNGAFLA >Sspon.08G0001470-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:4967183:4968971:-1 gene:Sspon.08G0001470-1A transcript:Sspon.08G0001470-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSRRFLNLIVESHEPAIKSLCCIDLKRLQLFYPTSPPATRPPTMETFRLPSPNSYFQACSVDAVHQWRVACYTLAQRKVLCTDQCGRNFLYDASTHEVATMPEFHRPRLNSLALFIPSGEGKDDKREEGPAEGEDDNREEGREDEERCGGEGSLFLMEKILKPVTRRDIGHPTGDLEVLFYGNGSNMSHCKLLPPPPSYWNAPYSKIGSYAVVGNGSHICISVNGRGTYFLDTARHTWDKVEDWTLPFHGKVEYVPELKLWFGLSADAQHLAAADLSTIGSQPQQLVDGWKEFQPPEEWFELKDAQLVNLGSGRFCIARFFRYDDFEDDYSQDVAVLTGLETELLPTCKVFGSTFVNTMRNDIGN >Sspon.01G0009580-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:29403971:29404805:-1 gene:Sspon.01G0009580-2D transcript:Sspon.01G0009580-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MCISSLKLWRAMVRVHSASASSQGLGLGAAGPVAPPPDHQPAAARPRRVHGISEQPPPEVFTIWMKSLVLNGSGCTVYDSAGSIVYRVDNYGARRAADVCLMDVAGNVVLQILKKKKLGFGRRWEGYRWADQEQERQRPWLKIVRAWAWRGPSCSTCDCELGLGGNESTTTTVRYRMDDGRIAPARGARIVDGATGLAVAEVKRKTTAEGVALGADVLTLAVEPGVDRSVIMGLVLVHGLINRAM >Sspon.02G0006670-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:20070019:20072324:-1 gene:Sspon.02G0006670-1A transcript:Sspon.02G0006670-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAPCITPGRTLWVEDDDVGDRKEEARKGEGAGAPAAATEVKIRITRKQLEELLRRVEDGKHGGGGAPVQEVISELLCVASTSSNFRHRAEGQWRPSLQTIPEFESNCCLTIENCDKEFKQW >Sspon.03G0000360-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:11433782:11437627:-1 gene:Sspon.03G0000360-3C transcript:Sspon.03G0000360-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWDAKMLPAWDLGTVVGPSSSGGGGGALDLKLGAPTSSRAVATAAVGAAPTLPSANHPPPPPPAPSSSAPAKRPRPGHAQQAVPACSVQGCDADLSRCRDYHRRHKVCEAHSKTPVVTVAGQQQRFCQQCSRYACLPLCPRPLLWCRAVPRTDGRTPLRPPAPPMHLVLWSLDSIPFLPFPPTPSSHDSLLLLHARTRAFRARPYNTTRQVQWNWTFIQFRFHLLGEFDEVKRSCRKRLDGHNRRRRKPQPDPLNPAGLFGNHHGVTRFTSYPQLFTTSMAEPKWSVVKTETDVFQDQYYPPVHLNGAGAGAGSLFHGKDRKHFPFLSNHNNGGGDVAAAALGCQPFTITTTASSESSSKQSNGNCALSLLSDNPTPAQTAMIPTAQPLGAAMHYGRLPGSGGGDVSLTGMSYMRVGDSRHASILTTSSPSHTAVASPGPVTAAAAQQLQYHHGYYHVSGGEQGNNPDGAAIQALPFSSW >Sspon.05G0014490-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:55593708:55616069:-1 gene:Sspon.05G0014490-1P transcript:Sspon.05G0014490-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQMLLHAGPSFLLAPPPRFAAAAAPSSASPRRSRTPQSSPPTSHSARAAAPGPRRVRPVAPRTPMATGEEGAGSDVGLAEATQGFLLDARAYWVTRSLIAWDVSDQETSLFLYASRNATMCMSSGVIEGYDSKVELQPENDGLPSSVTQKFPFISSYRAFRIPSSVDVATLVKCQLAVASFDAHGNRQDVTGLQLPGVLDDMFAYTGPLGTIFSEEAVSMYLWAPTAQDVSVSFYDGPAGPLLETVQLNESNGVWSVTGPRNWENRYYLYEITVYHPATANIEKCLAADPYARGLSANSTRTWLVDINNETLKPLAWDGLAAEKPKLDSFSDISIYELHIRDFSAHDSTVDCHFRGGFCAFTCQDSAGIEHLKKLSDAGLTHVHLLPSFQFGGVDDIKNNWKCVDEAELSKLPPGSDLQQAAIVAIQEEDPYNWGYNPVLWGVPKGSYASNPDGPSRIIEYRRMVQALNRLGLRVVMDVVYNHLYSSGPFAITSVLDKIVPGYYLRRDSNGQIENSAAVNNTASEHFMVDRLIVDDLLNWAVNYKVDGFRFDLMGHIMKKTMIRAKSALQSITIDEHGVDGSKIYLYGEGWDFGEVAQNQRGINGSQLNMSGTGIGSFNDRVRDAINGGSPFGNPLQQGFSTGLFLEPNGFYQGNETETRRTLATYADHIQIGLAGNLKDYVLISYTGEARKGSEICTFDGSPVGYASSPIETINYASAHDNETLFDIISLKTPMNLSIDERCRINHLSTSMIALSQGIPFFHAGDEILRSKSLDRDSYNSGDWFNKIDFTYETNNWGVGLPPREKNEGNWPLMKPRLENPSFKPAKCDIIAALDNFVDILKIRYSSPLFHLTTASDIEVNSSDALVRQSAYEASTGRFTVPKRTTAVFVEPRC >Sspon.01G0020320-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:75226492:75226902:1 gene:Sspon.01G0020320-1P transcript:Sspon.01G0020320-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKVKIAVAIAILALLQVSCTTARRHSGGTSAVMTVNGFEKGQDGGGPSECDGKFHSNDDLIVALSSQWYAGGKRCHKKIRITSADTGRTVEAQVVDECDSHRGCKNNIVDSSPAVWKKLGLDTDVGEVRVTWSDA >Sspon.03G0019630-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:61258034:61261878:1 gene:Sspon.03G0019630-1A transcript:Sspon.03G0019630-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLATATPTTSSHFSAPLLPSFHMPRRNLTAPLHRIRRSRLLTVVASVPDPAAGPVEYTPWLIAGLGNPGNKYYGTRHNVRTVGFEMVDRIAGEEGITMNTIQSKSLLGIGSIGEVPVLVVKPQSYMNYSGEAIYDDMSLPNGVLRLQKKGGHGRHNGLQNVIENLDGRREFPRLSIGIGSPPGKMDPRAFLLQKFSSEERVQIDTALEQGVDAVRTVVLKGFSGSTERFNLVQKYKFHRV >Sspon.05G0015370-4D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:5D:56298076:56298255:-1 gene:Sspon.05G0015370-4D transcript:Sspon.05G0015370-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding IILVEYRSKAEKLYFGDMSLSRQVGHDKQGLPIGLQLIGRPWGEASLLRVASAVEVLVI >Sspon.05G0027280-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:49435971:49442299:1 gene:Sspon.05G0027280-3D transcript:Sspon.05G0027280-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVQTAEQEHLHGLEFGSIIVAYISCKCLVRHEINSYWLEKFAISEQPVPQYFSATAIGITMLSSSETCQLGSSSSNPVMDQQNLLPNNSNVDEQILLPNALEHEDYPHYLLDSHEVGMPSESLIGQQNTSLSLWEPAGSSSMGCLVDHGNFFQAKREHLASSLSIGGPLSIDRRRHEGTSSLPSHNLNIDLNINQADQFGSDDVDLEVLHGMQKVLMVQQAKTVIQPLRESILMDVMQSLLQMEAHAIVTRIIVLCYLHRPPVKLIQQIYYLHLEAEVTSKILDPALVPCLDLLLMLELNKSLGSTTSSIALQHRGTSSTSQEIRSHQPGSSSRAHQQQSLRLGHSSIDRQSSGYLDLQSFMQTIASREGGRPMSEIRNVFDQIRQGRNARLEELLALGERIGYEDYVEGEDLGRLDCGHDFHTACIKQWLVIKNLCPICKKTALDT >Sspon.07G0007940-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:18922299:18926399:-1 gene:Sspon.07G0007940-2B transcript:Sspon.07G0007940-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding PLMASSAARPLALLASASASLGIGRRAWARLAVSSSSAGSALPSLRGRRSFAASAGGAVMGKPGPGAVDAEAGMDAVQRRLMFECRCILVDEQDNVIGHESKFIGHLMEKIEAGNALHRAFSVFLFNSKYELLLQQRSATKVTFPLVWTNTCCSHPLYRESELIEENCSGVRNAAQRKLFDELGIVADELPVDQFIPLGRILYKAPSDGKWGELSVDYLLFMVRDVKLNPNPEEVADVKYMNRDQVKELLRKADAGEDNVKLSPWFRLVVDNFLMGWWDHVEQGRLQGAADMKTIHK >Sspon.01G0012340-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:32971273:32977092:1 gene:Sspon.01G0012340-2C transcript:Sspon.01G0012340-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRGAGHGGGDARRRALRSSRRRLPGWIWWLLGIFLLVGLMLFVLHHNQKEQFRPPVVDDGSEIEEVPREKVNFSEELLSSTSFARQLADQMTLAKAYVILAKEHGNLQLAWELSSQIRNCQRLLSEGAVSGRAITKDEAHPIISRLARLIYKAQDSHYDISTTIVTLKNHVQALEERAKAAIVQSAEFGQLAAESFPKNLHCLTVKLTEEWLRNPKLRSRSEENRNSTRLVDNNLYHFCIFSDNVLATSVVVNSTVSNANHPQQLVFHVVTDRVHFGAMSTWFLINDFKGCTVEVRCIDEFSWLNSSSSPLVRQLSEVETQGYYYSAGSKNPEREIKFHNPKFVSLLNHLRFYIPQILPNLEKVVFLDDDVVVQKDLTQLFSIELHGNVIGAVETCLESFHRYHKYLNFSHPTISSKIDPHTCGWAFGMNIFDLIAWRKANATSMYHYWQEQNSDLLLWRTGTLPAGLLTFYGLMEPLDRRWHVLGLGYDVDIDDRLIESAAVVHYNGNMKPWLKLAIRRYKYIWERYVNISHPTPIADAQIDS >Sspon.07G0034090-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:68887049:68894959:-1 gene:Sspon.07G0034090-1C transcript:Sspon.07G0034090-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDHIGNIMAFLKGMQSWIDRQHDLVKQSLDANMVVLQDLSAWKLKVQVDVEKLQSNVRNLCDKIEHLSSKQEELTNPAYKVLANFGVCKVVASGHQDYKVGDLVWGVTGWEEYTFVPKPESFFKINHPELPLSYYTGVLGMAGLTAWVGLLDVGKPKKGYNVFVSAVSGAVGQIVGQLAKLKGCYVVGSTGSDDKGIDIYFENVGGATLEAVLPNMRHHGRIPTCGMILQYNLQQEEVVRNLFHIITKRVRMEGFWSSTTSVSTS >Sspon.01G0038980-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:33214453:33215615:-1 gene:Sspon.01G0038980-2C transcript:Sspon.01G0038980-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LFSAAVAARRRCCRSPPLLRRRYYRRRGSRDDSEEPEEDDDNDERHGDDAGMEPELEPAGGGGKGGDETGKGADAAEGSGPEDEEERSKWDELLALPPHGSQVFIGGLPRDTTEDDLRELCEPLGEIYEVRLTKKDANESKGFAFVTFTDKDAAQRAIEDIQDREYKGRTLRCSLSQAKHRLFIGNVPKGLSEEELTNIIKAKGPGVVNIEMFKDQHDPNRNRFQTCWVILVAIDLSSISRALVLATALVDIAGLAIHLLPVMTSVSAIATWPGGRWSPTGSTVFLSKSSTSALFFTDSR >Sspon.02G0013910-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:30350079:30352652:-1 gene:Sspon.02G0013910-3D transcript:Sspon.02G0013910-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKKISDAATREGAKLLEHWFIGCPATYVVCEDACVKRYVGHSDNIVTPLWILKTVKEKNLQRLVHLSSDLARHVAMVLENVRTSEENRKLGSVPSINASSCGRPSTQEEIDEVHQERQKFVEVAKKEVRDRRARRMQSCEVPIHPITPATLLDSICWTISEPTSSASIYMDSSWSDDANEQQSTENGDVRDPDQPTDNFSRPLKESEQSELIFKNHFLTILFPIDRFGELGPSSKTFYNNGGFTCIQ >Sspon.03G0036440-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3C:85556504:85558755:-1 gene:Sspon.03G0036440-2C transcript:Sspon.03G0036440-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASATQALAHNNLDASGRMTHFVLVPLMAQGHTIPMTDMAYLLAKHGARVSFITTPVNASRITGFIDHAAAAGLAIQFIKLRFPALEFGLPEGCENVDMIKSRDLYRNFMDACAALREPLAAYLSQQRQSPSCIISDLSHWWTGDIAREFGIPRLTFNGFCGFAYLARYITVRDNLLEQVEDENELISFPGFPTLLELAKAKCPGSLSVPGLDQIRKNMYEEMMRSNGVVINSFQELEALYIESFEQITGKKVWTVGPMCLCNQDSNTMAARGNKASMDEAQCLQWLDSMNPGSVTFVSFGSMACTAPQQLVELGLGLESSNKPFIWVIKAGDKFPEVEEWLADGFEERVKDRGLIIRGWAPQ >Sspon.08G0015660-1P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8D:59878947:59879261:1 gene:Sspon.08G0015660-1P transcript:Sspon.08G0015660-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPWAKANINPTGQALIISTVAGMAYFIAADKKILSLARRHSFEEAPEHLRNTSFQGTGRLHPAFFRP >Sspon.02G0032700-3C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2C:98570238:98570516:-1 gene:Sspon.02G0032700-3C transcript:Sspon.02G0032700-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALTCSKSTATTISRRSAAETASRPEPSASPAVTGTSSGRLTDVSLELAEKEDDNGYVDYQDSVTAMVSFSIDDPTGGRKPMKIGSRDEYEDT >Sspon.02G0042320-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:85372838:85374425:-1 gene:Sspon.02G0042320-2C transcript:Sspon.02G0042320-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATHAGENGSGGHEEWRFARAGKEAVAGDKMSIRAARFKISANVDARDPRPVLPLAHGDPSVFPAFRTAAEAEDAVAAALRTGKFNCYPAGVGLPDARRALAEHLSSDLPYKLSTDDIFLTAGGTQAIEVVVSVLAQPGTNILLPRPGYPNYEARAGLHNLEVRRFDLIPERGWEIDIDSLETIADKNTTA >Sspon.02G0039130-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:49001576:49004434:-1 gene:Sspon.02G0039130-1B transcript:Sspon.02G0039130-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKCSPPTEHECLHYCNFEMIFFVAVRSRPARSLCPFPGASLRTRFALQAGLRSSPSVRFLCSSECRTSDPPRPSPPMPRSRQPLPGARHPLEQATVEHASPKLTVPLHHCFFSCNKGNDVEQRYQKIIRLTLGSGTALHMPRIMHQTKPVPYIIFPCLHLTE >Sspon.06G0001860-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:4557806:4559838:1 gene:Sspon.06G0001860-3C transcript:Sspon.06G0001860-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PsbP domain-containing protein 3, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G76450) UniProtKB/Swiss-Prot;Acc:Q9S720] MAAVTSTASLCPAAAGGLSSSSSFTRKPSSRRLQQGASTVCHCRPARVEGLDRRDALLGIVLSAAVAPLLAPAGALADEATTESQVGFTTYEDEANKFTIQVPQGWLVGAGEASGIKSVTAFYPEQAAADSNVSVAITGIGPDFTSLKSFGDVDSFAEGLVNGLDTSWQRPPGLAAKLIDSRAANGLYYLEYTLQNPGERRRHIVSAIGMAFNGWYNRLYTVTGQYIDDDDSEKYRPQIEKAVRSFRLT >Sspon.07G0008820-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:21074804:21077206:1 gene:Sspon.07G0008820-3D transcript:Sspon.07G0008820-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQNNPSQLLPSELIDRCIGSKIWVIMKGDKELVGTLCGFDVYVNMVLEDVTEYEYTAEGRRITKLDQILLNGNNIAILVPGGSPPDV >Sspon.02G0026820-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:77815184:77830690:1 gene:Sspon.02G0026820-3C transcript:Sspon.02G0026820-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding KGSDVNDLSLFGYDTSSCVIDHISSYSEIGPLALDISEIPEMFGFALLLRVGDALLLDLRNPTNVYCIRRISLTTSLIGERITVEDSCPGLDVDDDVAACALLELRDSANNIMKDDGYMDIDGVDSRGNVKPRIVCSWSWEPPEPVRQGWARLLFCLDDGEFHILEFTLDVDGVKLYTFEYIDRSLPCRPLFWMKNRMIIGFVEMGDGMIFKLGDRKLFHKSTIQNVAPILDLAIADYHGEKQDQMFACCGMSPEGSLRVLRNGVNVDRLLKTEAIYQGVTGLWTLRMKTTDAYHSFLVLSFVEETRILSVGLSFNDISDAVGFQPDVCTLACGLVADGLLVQIYSKGVKVCLPTVYAHPEGAPLTSPICTDWYPAITISVGAVGHNIVVVATSNPCCLYVLGVRSSSSYQYELYATHHVQLQYEVSCISIPQEDWRHDNVAFSCGEGDDICNNSPPKANVRKFAVIGTHRPSVEIISLEPGEALRVLTIGTISVNNAFGAPMSGCIPENVRFVAAERFYILAGLRNGMLLRFESEASDYLPGFFYKDSSIPSVNTFLQLISIRRIGITPVLLVPIHDSANADIIVLSDRPWLLHAARHSLAYSSISFLSASHVTPVSSVDCPNGLLFVAESCLHLVELVHGKRLNAQKFSIGGTPRKVLYHNESRTLLVLRTGLSGASSSSDIVQVDPQNGVLLSRYKCEPGETAKCMQIAKIGNDQVLIVGTNKSAGRPMMSNGEAESIKGRLIVLSLEAVESPRESSSFIPTSSFNPSSHSDSPFHEIVGYTTEEFSSNSLCSSPDEFCCNQIQAEQMAGQLRSLTHAILNGAVLAVCPYLDRYVLAAAGNMIYVFGFTNENPHRMKKCAVGRTRFTITCLKTFASRIAVGDCRDGVLFYSYNESHRKLELIYSDPAHRLVGDIALLNCETAVVSDRRGSISVLSCTRLEVSESPQKNLAVNCSFYMGETAMSIQKAAFRYRLPIDDDTDPVLETVYDCIVASTMLGSLFVMIPLTSEEHQLLQDVQERLSVHPLTAPVLGNDHAEFRQRGAPSVVPPILDGDMLVQFLELTGEQQQAILAHTLPGKGPHRPLSVFEVAEEHNGESGRDVPLVEVVGMVEHLKAGERLVSMTPATVGVVLSEMRWALGQKKINWFSGGVHHSHLE >Sspon.01G0047540-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:103851961:103853510:-1 gene:Sspon.01G0047540-1B transcript:Sspon.01G0047540-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAKRMARKAGALVIFVVDASGSMALNRMQNAKGAAMKLLAESYTSRDQVSIIPFRGDYAEVLLPPSRSIAMARKRLEKLPCGGGSPLAHGLSTAVRVGMNAEKSGDVGRIMIVAITDGRANVSLKRSTDPEAAAASDAPRPSSQELKDEILEVAGKIYKAGMSLLVIDTENKFVSTGFAKEIARVAQGKYYYLPNASDAVISAATKTALTDLKSS >Sspon.02G0021320-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:70423734:70428736:1 gene:Sspon.02G0021320-2B transcript:Sspon.02G0021320-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHGGGRAKVTPNLAVDGEGTRTLNLTVLQRLDPAVEDILITAAHVTLYDFDTDVNQWSRKDVEGSLFVVKRNAQPRFQFIVMNRRNTVNLAAEEDGLLNWLVIGPSPCHELHKQRQLHEVIKAAANAGGNSAVAVARQPIQPFGPTPVATHAATSITTAQSPGLHYLLPSQASSVSGMPADAHGGTGSIGRSTSLVNPSLFSPLTSSQTTMVRSNPAVPTAPPQHPRTAQQPQSAPLLQPFPLPTASPSPPYGTPLLQPFPPPNPSPSLASAPVLSPALSRDKVRDALLRLVEGKITWKALGIHKS >Sspon.08G0005990-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:16159115:16160539:-1 gene:Sspon.08G0005990-3D transcript:Sspon.08G0005990-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DARPSTPPPHAPRLPSPPTPAAAVPPRARRAAEEEEARPGRNDRRSYAQLTPPPPLLLLLRHRQQQWQQRQQRRRPRGGGAVRARPRAGLRRPTAARGPPGRAPRRGGPPVRGVPAGRRRRPARLPLRVRARAPGGCQGRRRGPRRRPGLGGCRRYFLRRRAAGVVWRLPRVQPDRALPALRAPNGEPGHPRRRRGRAPNPHPRPGRRARRAVAASPAGDRRTRRSGSGTAGGAHHRRRRRPGHPPPHRQPPPRLRPLHPAPLPLHAAPALLRRYPSSSAGRQHEHHDHHFERGHEPGAAPGRDAGRQLRHVLAQARRAGRACRLLEVGQGHGPRRGDRRGEGDNRRGFRPHRRPAPAGRSCHGSLLGRVRGARGDCAAGEPGAASGGAGGARQGDRRRAGCLRWEVVARTRTVGSRGARRRIRGAAAQRVRRVAGAAAAAAALPVGGLPRAGGARRVLSRVADAAAAVRVVVAV >Sspon.02G0036370-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:20763753:20767176:-1 gene:Sspon.02G0036370-3D transcript:Sspon.02G0036370-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein XAP5 CIRCADIAN TIMEKEEPER [Source:Projected from Arabidopsis thaliana (AT2G21150) UniProtKB/Swiss-Prot;Acc:Q8H110] MSGFGDGYVGTAQDAVKIRRLEKQREAERRKIEELKNKSADGQPGLLQFGSSTSEILETAFKKETVGLVTREQYVEKRVNIRTKIEEEEKEKLQKLQQEEEELQMQKRKKRRVKGDPRLSFCDDIENGSDEDDFEKQETKKNGPVKLGKDPTVETSFLPDREREAEEQAERERLKKQWLREQELIKNEPLSITYSYWDGTGHRRVIQVRKGDSIGEFLRAVQQQLAPEFREVRTTSVENLLYVKEDLIIPHQHSFYELIINKARGKSGPLFHFDVHEDVRTIADATKEKDESHAGKVVERHWYEKNKHIFPASRWEIYDPTKKWERYTIHGD >Sspon.05G0019290-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:82586552:82587174:-1 gene:Sspon.05G0019290-1A transcript:Sspon.05G0019290-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:A-type response regulator, Cytokinin signalin [Source: Projected from Oryza sativa (Os04g0524300)] LAVTAVDSGKRALELLGTVSSRLKEIPVVIMSSENVPTRINRFLEEGAEDFLLKPVRPADVSRLCSRVLR >Sspon.04G0000940-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:4664366:4671732:-1 gene:Sspon.04G0000940-3D transcript:Sspon.04G0000940-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQKKSRGRGGGGGAAASGDGHEDLARPPPLQAVLLADSFTLKFRPITLERPKVLLPLVHMPMIEYTLTWLESAGVEEAFVFCCAHSHQVKEYLEKAGWAGKSGSGSMAVTAVESHDAISAGDALRVIYDRGVIHGDFVLISGDTVSNMSLKDALQEHMDRKKKDPLAVMTMVIKHSKPSILTHQTRLGNDEIVMAIDPETKELLYYEDRADNSHLYITIDKDILTNNPTLQLHNDMEDCYIDICSPEVLSLFTDNFDYQHLRRHFVKGLLVDDIMGYKIYTHELRSGYAARIDNFRSYDTVSKDVIQRWTYPMVPDVISSRDCSESRLHRQGVYKASDVTLSPSAQIGANSVVGSATSIGDHCKVLNSVIGEGCKIGKNVLINGSFIWDNVIIEDGCKVSNSLVCDGVHLRAGAIVEPGCVLSFKVEVGKNVVVPAHSKVSLLPQPSNEDSDEELEYADTNSGITDSPPFSSMRSNGDQSTVPLEEDESGTSETGTCGVVGYIWTSVDTGIVEEWRQSIAPIPKEKLEELRHAVSDDDGSEDESNNPTLPDKDDSSDSVVEDDDHISKFEKEVEETFQRALGGGVNRDNLILEINGLRLAYSLQHADCAGAVFYSVMKSALVAAQSTNDTLLKTTADALGKWKDLLRNYTKTVDEEMEILLKFEEMCQETTKEFSLLFPKILPCLYDTEVVSEDAILRWAEEKEHADESDKVFVKQSEAFIKWLKEAEEEDDEEE >Sspon.04G0008710-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:25340283:25344993:1 gene:Sspon.04G0008710-1A transcript:Sspon.04G0008710-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRFLPVGGGGGGEPSSSSSSGGQHQQQGGLADQRAAAGLRYRGGDISLGHEHAHDDGHRHLDRQTTDDMLARHSSSPVGFFSNLVVDNGYPRSNKAGGSGGGEGQRDPSTANNSSGSSSGGRKMKPSEFNFTGGAQQGQQGGAAGHHLSRISEDGAFQAGGLMGDRAAGGRGSGESSSGGATAARSYSGGFSIVGPWEESRDIITTLGAYDPQFSGAMAGTALEMAGMDRYMQLQQDQVPFKVRAKRGCATHPRSIAERERRTRISEKLRKLQDLVPNMDKQTSTADMLDLAVEHIKGLQSELQRECNDLKTNAFQFRLITGGYS >Sspon.03G0006250-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:24262202:24265162:-1 gene:Sspon.03G0006250-2B transcript:Sspon.03G0006250-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIKGLSNVKEEVYGALDSFVAWELEFPLIVVKKALKKLEDEKEWKRIIQVIKWMFNKGQGKTMGSYYTLLNALIEDGRIEEAEELFGMMFADMEELGVRPDGSIIRMLGDVFQKLEMMDKYEKLKKKYPPPKWEYRYIKGKRIRMRVYPDSKTEEATEGDPDIDELKEVESIHLNNELEEAASSDLDRSI >Sspon.04G0009950-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:31526744:31562596:1 gene:Sspon.04G0009950-2D transcript:Sspon.04G0009950-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEVLVAVEGGRARDYGGGVTFSVAVTSLMAASCGLIFGYDIGVSGGVTQMDSFLKKFFPEVLRGMKNAKRDAYCKYDNQLLTAFTSSMYIAGMLASLMASSVTRRVGRKAAASCSSPAPSPNAGAVNIAMLIIGRILLGFGVGFTAQAAPLYLAETSPTRWRGGFTTAYHFFLVAGTLVANVANYFTNRIPDWGWRVSLGLAAVPATVIVTGALFVSDTPSSLVLRGEPDSARASLQRIRGADADVEAEFKDIVRAVEEARRNEEGAFTRLLRGKGYRHYLVMMVAIPAFFDLTGMVVISVFSPVLFRTVGFDSQKAIFGAVIISLVSLCGVVLSTFAVDRCGRRFLFLAGGTAMLLFQVAVSWVLAEHLGKHQAVTMPKKYAVAVVVLVCLYTFSFSVSWGPLKWVVPSEIYPVEIRSAGQAITLSVALTLSFTQTQVFISMLCAMKYAIFLFYSGWVLAMTVFIAAFLPETKGVPLETMRSVWVRHWFWRNLDARQEVQDNRILMAASCGLIFGYDSGVSGGVTQMDSFLGKFFPDVIDGRKSAKVDAYCKYDNQWLTAFTSSLWIAGALSSLVASRVTRRVGRQAIMLIGGVLFLAGSIINAAAVNIAMLIIGRMLLGFGLGFTLQAAPVYLSETAPARWRGAFTSAYNAFVVVGILSATVTNYFTNRIPGWGWRVSLGLAAVPGAAIVLGAFFVSDTPISHVMRGQHERARAALQRIRGDDDADVDAEFKDIVRAVDVARQNDDGAFRRLFSKEYRHYLAIGVDIPVFYEFTGMIVISIFSPVLFRTVGFSSQRAILGSVINSMTNLASTLLSSVVMDRVGRRFLFVVAISWIMADHLGKHGGVTTMPRSYATGMLVLICMCTFSFGLSWAPLRWVVPSEIYPMEVRSAGQALSISITLCISFVELQVFIALLCAMKYAVFLFYAAWLLAMTHWYWRRFVKDARQDSQVNCGFAAAGGGHARDDYGGGGVTVPVVVTCLMAASCGLIFGYDIGVTGGVTQMESFLVKFFPEVSSGTKNAKHDPYCKYDDQRLTAFTSSLYIAAMLSSLVASRVTRTVGRSAVMLIGGVLFLVGSAINAGAVNVAMLIIGRMLLGFGVGFTTQAAPLYLAETSPARWRGAFTTAYNIFQVLGALAATVTNFFANRIPGWGWRVSLGLAAVPATIVVLGALLVPDTPSSLVLRGDTDRARVSLRRLRGPGAETDAELKDIVRAVEDARRNDEGAYGRLCAKGYGHYLVMVVAIPSFFDLTGVIVMAVFSPVLFRTRFLTFLFPCCKNKLAMSCILAGHLGKHNDEVAMPRDYAVAVLVLMCLYTFSFGVSWGPLKWVVPSEIYPVEIRSAAQALTVSIALCLSFAQTQVFVSLLCAMKHAIFLFYAGWVLVMTAFVAAFLPETKGVPLEAMRSSVWARHWYWRRFVRDAKQEVQVNCL >Sspon.06G0030720-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:52518243:52521251:1 gene:Sspon.06G0030720-1C transcript:Sspon.06G0030720-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKFPRFDPALGIYKMAAVDATTKEMEALHVGQNDETKDNLIKDKAANSNNSVLAAQPSPPEDDDDEAQADGPSQDGAPGAVKKKKKKNKSKKKKDPLQQTDPPSIPVDELFPSGEFPEGEIQQYKDDNLWRTTSEEKRELERLQKPMYNSVRRAAEVHRQVRKYMRSIIKPGMLMVDLCETLENMVRKLIKENGLQAGIAFPTGCSLNWVAAHWTPNAGDKTVLQYDDVMKLDFGTHIDGYIVDCAFTVAFNPMFDPLLQATRDATNTGIKEAGIDARLGDVGAAIQEVMESYELKSMGKFSRVM >Sspon.07G0010340-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7B:29755729:29756374:-1 gene:Sspon.07G0010340-2B transcript:Sspon.07G0010340-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQPGASRRAIIADAFFAATLSSRSPWSSLCWSALVMFTLTASLNVLRPAACQPGAAGPCEQASTGQMAALYAAVFMLCVSAGGARFNQATLGASQFDAAADRDVLFNWYFIFFYASSVIGSTVIVYVQDNVSWGLGYAISGAASLAGLLMLLVGTPYYRRPGARGSPFTELARVAVAAARKWKVNLDTSED >Sspon.04G0023660-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:17761529:17762605:1 gene:Sspon.04G0023660-2C transcript:Sspon.04G0023660-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEMLMAGNANPNQNPNPPPSAPSAAGAQRAGAPAAGAAAAPNAGATGGAGAGTERRARPQKEKALNCPRCNSTNTKFCYYNNYSLQQPRYFCKTCRRYWTEGGSLRNVPVGGGSRKNKRSSSAVSAAAASTSAAMSGTVSVGLPAKNPKLMHEGAHDLNLAFPHHNGRTLQPPEFPAFPSLESSSVCNPGAAGMVGNGAAGRGMGSLSAMELLRSTGCYVPLQHVQFGMPAEYAAAGFALGEFRMPPPPQSQSVLGFSLDTHGTGGVGGAAGGYSAGLQESAAGRLLFPFEDLKPAVSAGGGGASNGADHHHQYEHSKDQAAGHGGSGASGVTSGHETPGFWSSSLIGNGSSNGGGGP >Sspon.08G0009640-3C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8C:41664292:41667184:-1 gene:Sspon.08G0009640-3C transcript:Sspon.08G0009640-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALASNNKVLASSNSPMASGGAQSHSHSPTVAGAVADEALGSNNKKPAASKPPSGSSTEYQLRKYLLLLATLVATVTYVAGLNLPGGAWQEDTDDGRHHAGDPILQYAHLHRYLTFYYCNATAFAASLVVSLLLLVLDGKNTGWWEALLRVVMVLDLLGLMGAYAAGSCRDKFTTIYSALLVCAVFAYVVVAFILYLFSSDGGGLEILLHSRKQRLMVDAGTLFRDLKNRKRLTGAADAEKQAGGVSVTATYERQELHEVLMLLATFAVTITYVAGLNPPGGFWGDTKDGHQMSDPVLMEHYSSRYQAFYVCNTTAFVASLLIIILLVDKKLTTKLSIRFVALYGLTITALLGLVGAYAAGSCRQLDDTTYVICLIAGVLAYIFLLVAVAGCSCFRTVYGSASKWLGALRIRCGFDRSSQDQSPPDQQNQISSRDIHQVQDQGSEAVEKEKKALEKERLEKAGSLVMLLATLVVSITYSAGLDPPGGLWPDTRDGHRNGDPILLTTHPTRYKVFFYSNSAAFVASLIVILMVQSRFLLKGHTLEAAMILDLFGLISAYAAGSCRDETTSIYVVALAGIVLVYVVIHIVLFTLDHGDNRHRQDEDKLENRREALLLLAILAATLTYQAGLTPPGGFWSEDEDGHRAGYPVLHDNYRPRYTAFFYCNAASFMASVALIVLLVNPNLYKPGIRCYALYVCMVVGMFGLMGAYAAGSSRDLRTSIYVLTLVVAVFAFVALEVVIFWVYPYLRDHWKQWWRCRKDAAAADSSGQAEPDDDGTKQNQQETEIQESKTQGEMQGTGEKNMREYLMLLGVLAASVTYQTGLKPPGGLWQDNSDSHSAGSPILHYSNKRRYHAFFYSNSTSFMASVVVIILLLPETLHKYRLPLWPMHTAILLDMLGLLGAYAAGSTRDWETSRNVIYL >Sspon.08G0025360-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:8943590:8945023:1 gene:Sspon.08G0025360-2D transcript:Sspon.08G0025360-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARPTALLALVVCAVAALAASAGATQFRVGGQSGWSVPGAGSESYNTWAGRLRFQIGDQLLFVYPKETDSVLLVDAAAYNACNTSSYVARFDDGSTVFTFDRSGPFFFISGNEASCRANEKLIVVVLADRSGTRTPPAAPPTSPAPLPSPPSSPPAAAPATSPPSSSPPSPGAAPVPAPAATPPSPAASAPAPAPTTTTPSSPPAPAAQTPSPSATPTPGGGLSSPPPSGSANAPAGEGGSPTTPPPPSAAAPVVAGFVGSLGAFIGYAMLAA >Sspon.07G0037790-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:63271362:63276948:1 gene:Sspon.07G0037790-1P transcript:Sspon.07G0037790-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRTCPQHGGAVTLAPQPWQGAAPSATGAAEFREAVGSRILLQTNPDAHEVHCSRERSRAAWEAIDEYLMPFVEKEKYELPSKCRLRPDNDMFREQEQHKIHFDINEWHCGFCKKAFRAEKFLDQHFENRHKNLVDNSEGRCMADLCGALHCDLMMQFKKPKSKCNAAAATRNRHLCESLADSCFPVNQGLAASRLHEFFLRQFCDAHTCNKGTKPFPKETNKQVLLGSLHLDPDTFAPVLSHCVPAPKGSEERYPRSKTVFKNWAEEKAILVSNSHNVPGSIWGQGAG >Sspon.02G0047420-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:16502468:16509956:1 gene:Sspon.02G0047420-1C transcript:Sspon.02G0047420-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLGLLLWIAGGGGGEGRTDTMEADSGKLFVGGISWETDEDRLREYFGRFGEVTEAVIMRDRNTGRARGFGFVVFADSGIAERVTMDKHMIDGRMVEAKKAVPRDDQSIASKNNGSSIGSPGPGRTRKIFVGGLASNVTEVEFRRYFEQFGVITDVVVMYDHNTQRPRGFGFITYDSEDAVDKALHKNFHELNGKMVEVKRAVPKEQSPGPIARSPAGGQNYAMNRVHNFLNGFNQGYNPNPLGGYGMRVDGRYGLLTGARNGFSSFGPGYGMGMNVEGGMSGYFGASSGFVNSSNGRQIGSYFNGSSNRLGSPIGYVGLNDDSGSILSSMSRNVWGNGNLNYTGNPTNANSFAPPGSGGGIAGDEISWGSLTSAHGMGNISSLGSGNLGRGTGDNNFGLPSGNYVRSNSTGTIGEPFSASANAYESNNPGAYGSSSIYGDSTWRFNSSEVDMPPFGHDLGNVDPDIKSEISASYMGNYTVNNNQTSRGITS >Sspon.02G0000820-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:4193837:4195643:-1 gene:Sspon.02G0000820-3D transcript:Sspon.02G0000820-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTFPSASRWSSPTRWPRRAAGAASTALAAAVFAVLDVVDVLLCFVYALLDGLLEDSPVRCYCHRSYDDDDGISEATAAAVNGYDQDEVSDTLYAHGRRSAVRDALLGLLRHVVGRRGRAPPDETVAPCKWRSPRWSDCACKSCVAWMGGEGEDGGSGRLHVVKHVRALFLTLVGRFADAAGTTQSETTTTPDPENAIFVHGFTSSSSFWAETVFREASSILDDCRLFAVDLLGFGRSPKPANCMYRVRDHVEAMERSLIEPHGLMSGSGSFHLVSHSMGCIIALALAAKHPTRVKSITLVAPVSRVRVHAHTSRQLLYLPLIVGCTCNNMQPYFLPCEQKASQVALSRLAGKKLWPPLLFGSAVMSWYEHVGRTVCFLVCKNHLLWEWLFRLFTGNTDVDFRVRDLTKHTHHSAWHTMHNVICGGAELQDRNLEAVAAAGIPVQVVHGADDQVVPVECSRHLKAKLPRAKLRVMDRRDHSTVVLGRERDFAEELKAFWWSAASRSHVQDAEVQRQRGC >Sspon.06G0009620-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:39482929:39486944:-1 gene:Sspon.06G0009620-3D transcript:Sspon.06G0009620-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIDIDFITMDISNSSRCRRRHRHRKNPPSESSVLGEVRDWAALPDDVLLNIFLRLGSCEIMWGAEAVCKAWRRVTVEEPELWCHIHITAVPEWSSIEIAVRDAVDRSAGLCEAFSGPWDDESLLYLAERSPSLKMLHLHLSRVLDASYEVLVEAIKKLPLLEDLEISPPYEHISASDRLFESVCKVRPLLKNLKTSFTMPSNYSFGEACLEERLDGDIYRTPMMCELRSLELSNYIFSHSALTAILDNCPLLESLHITGFSADSMDAELQAKCARVKNLNLPFSYDEDEEETEEDEDEDLQITMDISSSSRRRHRKNPPSESSVFGELRDWAALPDNVLLNIFLRLGSCEIMWGAEAVCKAWRRVMVEEPELWRNIHITAVPEWSSIEIAVRDAVDRSAGLCESFLGPWDDESLLYLAERSPSLKMLHLHLSRVLDASYEVLVEAIKKLPLLEDLEISPPYEHISASDRFFRSVCKARPLLKNLKISFTMPSDYSFGEACLEERYDGDIYRTPLMSQLRSLELSNYIFSRSALTAILDNCPLLESLHITGFCADSMDAELQAKCARVKNLNLPFSSDEDEEENEEDEDSEDEF >Sspon.01G0030630-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1A:106066367:106069025:1 gene:Sspon.01G0030630-1A transcript:Sspon.01G0030630-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCAAAALAVALIAAVAGATAAGSPAAGTCARRGAPPFLDAVGSRCPFVRIEPSPPLEVRGEAVDTELNLRRRGASYSILFYAAWCPFSSKFRPTFEALSTMYPQIHHFAVEESSATPRYGVRGFPAILFVNETTMVRYRGSKDLNSLVDFYKETTGLDPIAYIDVVQQESTGSLSSVMPWDHSLREMAKDELYLLVAVLFIILKVAANFIPVVMSHLRAFLVVRVQNLNLGIRRGSNHLLERALNVLDMRRLWSKLRLSNKATDLRKGASNARAWASSFASVSLGEPSSSRQA >Sspon.05G0003420-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:9637724:9639341:-1 gene:Sspon.05G0003420-2P transcript:Sspon.05G0003420-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAGAGAAVKGKTTACVTGGNGYIASALVKMLLEKGYAVKTTVRNPDDMEKNSHLLQLQALGPLEVLRADLDDEGSFDDAVAGCDYAFLVAAPVNLTSENPEKEQIEPTVRATLNVLRSCVKAGTVRRVVLTSAASTICIRPLEGDGHVLDEHSWSDLDYVTADKPPAWGYVISKVLAEKEAFRFAQDHGINLVVVCPVLTVGASPAPKASASVRASLSMLSGDEAAMAMLKGIEKSFGAVPIIHLHDLCRAEVFLAETATASSGRYICSSVSTTVVEIARFLADKYPQYHVNINQSGDDELLEKPRVSLSSAKLVKEGFQFRYNTLDAIYGDVIEYGNALGILLRY >Sspon.07G0009280-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:24521181:24525301:1 gene:Sspon.07G0009280-2B transcript:Sspon.07G0009280-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRTMMASSTASSHAAASTDASDAVERLSEQQSCRAQEAVKEDSAESRTMEAMVLAAPAACGGCAARGPAWELERILVFLLAAWGAVSLRFLRFGSRPWSSGELKTKHAPIYDPALARTLAEYTSAVYTADLTQLFTWTCERCCDLTEGFEVIELIVDVKNCLQAYVGFARDMNAVIVVFRGTQENSIQNWIEDLFWKQLDLDYPGMPEAKVHSGFYSAYHNTTMRDGVVRGIKSTRELYGDVPIMVTGHSMGGAMASFCALDLVVNLGFKDVTLMTFGQPRIGNAIFASNFKRYLPNAIRVTNEHDIVPHLPPYYHYFPQKTYHHFPREVWIHNVGLGSLIIYSIEEICDDSGEDPTCSRSVSGNSVHDHIHYLGISMHAESWGHCRIITDNRTLPQQYKMDPDGNIILSKQPGLSVNELHSAQ >Sspon.08G0003190-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:6791436:6818097:-1 gene:Sspon.08G0003190-4D transcript:Sspon.08G0003190-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPRSPTATAAAVLLALTVALAATTVAAARDLRSAAPLERADEEVRRLYEAWKSEQGRPRDGISVADGLRLEVFRDNLRYIDRHNAEADAGLHTFRLGLTPFTDLTLEEFRARAFGFLNSTLPRVASDRYLPRAGDDLPDAVDWRQQGAVTGVKNQLDCGGCWAFSAVAAMEGINKIVTNNLISLSEQELIDCDSEDYGCHGGEMQKAFQFVIDNGGIDTEADYPFIGTNGTCDAIREKRKVVSIDSYENVPTNDEKALQKAVANQPVSVAIDSNGPAFQHYSSGIFNGLCGYILDHGVTAVGYGSEDGEDFWIVKNSWGPEWGEAGYIRMKRNVLLPMGKCGIAMYASYPVKNGRYLRVAMSPPRSAAPAAALLALTVALAAATVAAAAAAPLERADDEVRRMYEAWKSEHGQPPCDVSSDRLRLEVFRDNLRYIDAHNAEADAGLHTFRLGLTPFADLTHEESRATATCPAPATTSPTPSTGACVALSPGSRTNRNAVHLHAGGCWAFSAVAAMEGINKIVTGDLVSLSEQELIDCDTQDSGCNGGQMDNAFQFVINNGGIDTEADYPFIGTDMACDAIRENRKVVSIDSYENVPANNEKALQKAVANQPVSVAIDAGGLAFQLYSSVSRSKQELFFFVLKLFFPIILRAYVQGIFSGFCGLKLDHGVTAVGYGSENGEDFWIVKNSWGPEWGEAGYIRMARNVFLPMGKCGIAMDASIAMRPPRFPVPAAALLALAVALSLAAAAVARHSYTIVPEPVERADDEVRRMYEAWKSDHRHGRPRGVVSSDDRLRLEVFRDNLRYIDAHNAEAEAGLHTFRLGLTPFADLTLEEYRGRVLGFRGRRGASAASRVGSGGASYRHPRPRGGDLPDAIDWREFGAVTGVKNQEQCEMHAGGCWAFSAVAAIEGINEIVTGNLVSLSEQEIIDCDTQDGGCNGGEMQNAFQFVINNGGIDTEADYPFLGTDAACDANRVNERVVTIDSFVNVATKNETALQEAVANQPVSVAIDASGRKFQHYTSVSIGDEPVPRSDHQMEVLFLAMNCLFYQSCITCVQGIFNGPCGTNLDHGVTAVGYGSENGKDYWIVKNSWSADWGEAGYIRIRRNVAAATGKCGIAMDASYPVKGSPNPTTTAGAAMDLDLSPAIAMRPTRLPLPAMALLALAVALALAATALARHSHTILPAPVERADDEVRRMYEAWKSKHGRPHDNCDMAGDEDRLRLEVFRDNLRYIDAHNAEADAGLHTFRLGLTPFADLTVEEYRGRVLGFRGRRSSASAASRVGSGGSTRYRRPRPRGGDLPDAIDWREFGAVTDVKNQGQCGGCWAFSAVAAIEGVNAIVTGNLVSLSEQEIIDCDTLDSGCNGGQMENAFQYVVDNGGIDTESDYPFLGTDGTCDANKENDEKVATIDGFVEVESNSEMALQEAVAIQPVSVAIDASGRAFQLYSSGIFNGPCGTNLDHGVTVVGYGSENGKDYWIVKNSWGTDWGEAGYIRMRRNVPRATGKCGIAMDASYPVKDTSHPATARAAMDVLKM >Sspon.08G0003950-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:11486137:11488886:1 gene:Sspon.08G0003950-1A transcript:Sspon.08G0003950-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEKEGRSFLPRLPPLDRSADIGDEEKELRQASDPVLPVPPPDAAMEGEQALVTVREIEVVQTGVSNSGTTTTIIKERELNFDLKLSVLLITLSMIPLTDILFLHGPTAKLNLTLKLSAFFAFIALISAICLMFQTFKLKVVVKPEQFIPKSQLRASKILFGTAVGSLFSTCICITYSLLPKAYYFLPLAFLPSFLVGGFHFLYREDDTGNGEISPEQSKARKKELKRATQLTLSLVSTSFSGFIGVLLAIYHKADSLGAAYSYVKVTVYLLLGGSVAGMFALLLCRLLSSNDNHRSRSQQLGTTWQRAILAAANIVMAAMLVSAVLMIAETILHGLLASAMFPVIAGAAAWLLVEFCSVADAHGGRTEEDGKAAHGTMYAIAVAVASLSFGAILAIFAGLLGGTVSKEQLKACTFLLASAFVAAVSLGVVTTGTARADKTKVSTEFAATVLACCGLGTLVLAALALFYQIMA >Sspon.07G0024310-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:21724575:21727100:1 gene:Sspon.07G0024310-3D transcript:Sspon.07G0024310-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cation/H(+) antiporter 20 [Source:Projected from Arabidopsis thaliana (AT3G53720) UniProtKB/Swiss-Prot;Acc:Q9M353] MLASNSSSSSTVNVKMASDGVWQGENPLDFALPLLAVQIAVILAVTQGLALALRPLRQPKVVAEILGGILLGPSALGRWGAFRRVIFPPWSTAALDTVSGLGLLLFLLLVGLELDFRAVAARAAQRGPAGIVPPFLAAPGLVPLLQLAIVPPPAPAFLPLCVFLGAALSVTALPVLACILKELGLLGTPLGETAMAAAAVNDVFAWALLALALAVSGGSSGGGGPSPRATPLAPVYILASGAAFVALMLCALRPLMARLARRAAQRAAALASSPGAVVACALLAGAVTDAIGVHPVFGAFVFGLSVPRDGGLAERAREKVAPLVSGLMLPLYFATSGLHTDVDAVRGAAAWGMAALVVAVAFLGKFGGTFVVATVTGMARREAAALGVAMSAKGLVELFVLNIGKERKVRAGYLYFARWFLLINREHAFLQHAGDRVQVLDDTTFAIFVIMALTTTVLATPLMTALYRSTPTATTPETDGTELKGGDACPA >Sspon.04G0035120-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:2786892:2791574:1 gene:Sspon.04G0035120-1D transcript:Sspon.04G0035120-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQYKNLGRSGLRVSQLSYGAWVTFGNQLDVKEAKALLQACRDAGVNFFDNAEVYANGRAEEIMGQAIRDLGWRRSDVVISTKLFWGGQGPNDKGLSRKHIVEGLRGSLRRLDMDYVDVVYCHRPDASTPVEETVRAMNWVIDQGWAFYWGTSEWSAQQITEAWAVANRLDLVGPIVEQPEYNLFSRHKNLANRSLVDDTLRKVNGLKPIAAELGVSLAQLSIAWCASNPNVSSVITGATKESQIVENMKALDVIPLLTPEVLDRIEAVVQSKPKRTESYR >Sspon.07G0022350-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:7443192:7445488:-1 gene:Sspon.07G0022350-2D transcript:Sspon.07G0022350-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPLHARRLPLPLPPNPSPGLPASGARRVPRPPRRCLATPPRNGSSSSPETEWCPVPPEQRPVNEYEALAASLPFSWAAGDLRVYCSRLALTGAAVALFVGLPVAAFGGRGGAGGDALHLALGATGSGILAVTLAVVKPVLNRVKFTLVGLAGSLILCILLYVNTENPKEPYENTGGRAIPGVYSDTAARSFEPDAFCGEPDLS >Sspon.08G0020480-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:22109586:22112126:-1 gene:Sspon.08G0020480-1B transcript:Sspon.08G0020480-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSKATAAVTLRTRKFMTNRLLSRKQFVLEVIHPGRANVSKAELKERLAKLYEVKDSNCIFVFKFRTHFGGGKSTGFGLIYDNVEAAKKFEPKYRLIRNGLATKVEKSRKQMKERKNRAKKIRGVKKTKAGDAKKK >Sspon.03G0046430-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3D:37800801:37806745:-1 gene:Sspon.03G0046430-1D transcript:Sspon.03G0046430-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSTTPERRRHAAAWAGEWATVSAAGACRVEEVGKQQLMRMTGLPARDLRALDPALPYEAPSVAGRDRAIVVSLESVRAVITASEVLVPIQQDPAAVPLIRELRARLGSTAATASSPPQQLSSPSGGGGQDGHEFGSGKILPFEFRALEVCLELAFKFWEQESSTLEKEAYPALDELSSKVSTLNLERVRQIKSRLVAISGRVQKVRDELEHLLDDDMDMAAMHLSEKLAYQVAGQSSRFHIGKEARQSDEERPSEGRVVALELELVPAISFVGDALLTASDGRGRGRRCGDGVVARSSDGGGGASRRWLRPSLEIRIRVVGEETGSSGEPRTECPGPHLSFIYAVRRGLPAMDGLGAPDQGASSRPKKAVGSRDDEIEDDEGSKDHSTSGFPLRINELEGLLEAYFVRIDGTLNKLSTLREYVDDTEDYINIMLDDKQNQLLQMGILLSTATLVITCGILVTGVLAINIHIPLYKFPTIVFWQATAGIIGGMVVLFAMALFYYRTTGIL >Sspon.01G0024840-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:88335414:88336784:-1 gene:Sspon.01G0024840-1A transcript:Sspon.01G0024840-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQRTSTAQVMSMDLSPKKPNKAYGSDGGAYYDWSPADLPMLGTASIGAAKLCLSAGGLALPSYSDSAKVAYGTCGVVLPEATKEKVIPVKEGDSLALPFGVVTWWHNAHAADLVVLFLGDTSTGHKRGQFTNFQLTGSTGIFTGLSTEFVARAWDLTQAATAELVSAQPGAGIIRVKDGHRMPQARDEDRAGLVLNCLEAPLDVDIKNGGRVVVLNTRNLPLVKEVGLGADLVRIDAHSMCSPGFSCDSAYQVTYIVRGSGRVQVVGIDGTRVLETRAEGGCLFIVPRFFVVSKIADETGMEWFSIITTPNPIFSHLAGRTSVWKAISPAILEASFNTTPEMEKLFRSKRLDSEIFFAPSSN >Sspon.07G0006180-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7A:16075827:16080469:1 gene:Sspon.07G0006180-1A transcript:Sspon.07G0006180-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLTEILQKLKTCCAVLNETTDKSSEQCNLQNEEYLSTILSEVMMELHGGETMTTFEFLESGLVKSLSNYLSNGKYLQVENNMNCSSDHFLAVVKRFQSFARMSFSRMGQGWGDVLLTLLVRKLQNALTSLDNFPVIMSHNFKPRSSISDIPTRHSTITPCIRVRLKKDEDETNLSSYDSAVNVEISSSLHTIEEFLWPKVSIDVNSQKAESPPSGTALESKYADDDSQERDSTPSQKADSPSEARWKYFQLTTFGSLKNHHGHMMDASVNSVAERVSSHSRKKFKVDRDDILVSAAKMMKSHAKSNALLEVEYKEEVGTGLGPTMEFYTLISHEFQKSGLGMWRGEFPCESGTNDAHVSGFVVAPNGLFPRPWSASADSASFQEVSERFHLLGLVVAKAIKDNRILDIPFSKAFYKLILGQELNIYDIQSFDSELAISLVEFQALACRRKYAESNLTRDCQIISDLTYRGCRIEDLAIEFGVPGYPEYVLSSGSRSDS >Sspon.02G0021250-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:71221784:71225024:-1 gene:Sspon.02G0021250-1A transcript:Sspon.02G0021250-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKENIRSIPEERIRSLTRPQERKHSSEANLPAACFDGTTAWLTCATEQQRPCLLPLLHARRFLAPLLYASPLRCAGAAVPLLFPPPGPADEQIRHQPTRASLCIGAMSSSATRAGPGVRGRRETSGATEQGEGDAEGALKAGGWTDLATADEGRAGFDKHTQRRQAWGGARERGREKRRAADRRRASHMRRQERGKARRRKVGEGKERFERIPGPGYHGDSEFRPTYELAKSRQTSPPLEATTRPRRHHRSEKRALVARRRGWPAFGGAPKMWCHSCKEGYDEEDAGTCKECYDEASETEEELKREIDELRSRLLFLRLPSPTLDAASTPNCDLLLHAIPSCSSSSSGAGGDGDAGRTPDTPAVPAHRVILCKAAAGGLAFCAHGQHEYKELVEKDPRLVVEIYEAYLNRQVNTAAGKDTDCSSRK >Sspon.02G0023720-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:80817387:80822305:-1 gene:Sspon.02G0023720-2B transcript:Sspon.02G0023720-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPELRSSTRQARLRSKKLDDLQPGEPPAKPVPPAPQRAAKRAPTRAARGRKGAAGRGAPPAPKPRRKGVEIADLEADPACEDPPKAVKGLEVAAAAPKNLPLKKVAKVGVPKMDGESPEKIVGAEDELTATPVPERVHVGNSPEYITDRKLGKGGFGQVYVGRRVSGGNARTGPDAYEVALKFEHRNSKGCNYGPPYEWQVYNTLNGCYGIPSVHYKGRQGDYYILVMDMLGPSLWDVWNSMGQTMSANMAACIAVEGISILEKLHSKGFVHGDVKPENFLLGQPGSPDDKKLYLIDLGLASKWRENNGHHVDYDQRPDIFRGTIRYASVHAHLGRTGSRRDDLESLAYTLIFLIRGRLPWQGYQGDTKSFLVCKKKMATSPEMLCCFCPAPFKQFLEIVTNMKFDEEPNYAKLISLFDGLIEAPASRPIRIDGAVKVGQKRGRLLANLEEDEQPKKKVRLGSPAAQWISVYNARRPMKQRYHYNVADSRLSQHIEKGNDDGLYISCVASSANLWALIMDAGTGFCSQVYELSPVFLHKDWIMEQWEKSYYITAIAGSTNGSSLVVMSKGTPYTQQSYKVSESFPYKWINKKWKEGFHVTSMATAGNRWGVVMSRNSGFSTQVVELDFLYPSEGIHRRWESGYRITSTAATNDQAAFILSMPKRKPMDETQETLRTSAFPSAHVKVSLQPMGPHVSCETSTCTVLMLQISLPLCREMGEESLSSFDLLRTNRVLIVCTWKSKRGPCFKRCEMDVESKSILMIGSVDLKIRYGILP >Sspon.04G0027460-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4B:59320497:59321127:1 gene:Sspon.04G0027460-1B transcript:Sspon.04G0027460-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSHSRRVGNWIVMVTETEFLPLPAFSWTHVKAEQGRLCLCCVRIKLERDSKGADVSSSCRRASLERGTTSSEHDGTVEGVPAAPHGFGKAHGRVEVDTLEWVAGSPIDHLSVSDFAPMAIGKARAAGNCVGAGAVGMVVHVETRAVVRDSGPRAASLEDPRVPSPFTKLQL >Sspon.08G0010330-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:40083427:40085741:1 gene:Sspon.08G0010330-2B transcript:Sspon.08G0010330-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGILAVLGVADVSLAKRSRIIELSRRLRHRGPDWSGLHCHQDCYLAHQRLAIIDPTSGDQPLYNEDKTVVVTVNGEIYNHEELKAKLKNHEFQTGSDCEVIAHLYEEYGEEFVDMLDGMFSFVLLDTRDKSFMAARDAIGICPLYMGWGLDGM >Sspon.07G0024420-3D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7D:23415096:23415435:1 gene:Sspon.07G0024420-3D transcript:Sspon.07G0024420-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding CDPGMQPLHLYGGTDGSWEVKPPALKLQAPAPPEPTPGINKWRDTMERHKWLQKVAVHCDAWLMKISGFATSYMTATER >Sspon.03G0003730-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:9677565:9678457:1 gene:Sspon.03G0003730-1P transcript:Sspon.03G0003730-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RPVAHPDGRVSPPRRHRLAPELHPRGRRRLSPPRVRPVPSPRALLVLVQPPPRGFAPGGLARSPFRREGSTPGPPRRRRSWCCIQEGSEVSDDKGNSNGEIKPEEAQEAWKVMLEQFKAEALRMQPCQCRRMMSTPRGRGS >Sspon.02G0046040-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:98524597:98542559:-1 gene:Sspon.02G0046040-2C transcript:Sspon.02G0046040-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGAEPEPDDAVLFVGVSLVLGIASRHLLRGTRVPYTVALLVLGVALGSLEYGTQHGLGKLGAGIRIWANINPDLLLAVFLPALLFESSFSMEVHQIKRCMAQMVLLAGPGVVISTVLLGAAVKLTFPYNWSWKTSLLLGGLLSATDPVAVVALLKELGASKKLSTIIEGESLMNDGTAIVVYQLFYRMVLGRTFDAGSIIKFLSEVSLGAVALGLAFGIVSILWLGFIFNDTIIEIALTLAVSYIAFFTAQDSLEVSGVLTVMTLGMFYAAFAKTAFKGESQQSLHHFWEMVAYIANTLIFILSGVVIADGVLQNNAHFEKHGSSWGFLLLLYVFVQISRLIVVGVLYPLLRHFGYGLDWKEAMILVWSGLRGAVALSLSLSVKRTSDAVQPYLKPEVGTMFVFFTSGIVFLTLIFNGSTTQFLLHMLGMDKLSATKLRILKYTRYEMLNKALEAFGELRDDEELGPADWITVKKYITCLNDLDNEPEHPHDVGGKDDRMHIMNLTDIRVRLLNGVQAAYWGMLEEGRITQATANILMRSVDEAMDLVSGQTLCDWKGLKSNVQFPNYYRFLQMSRLPRKLVTYFTVERLESGCYICAAFLRAHRIARRQLHDFLGDSEVARTVINESNAEGEEARKFLEDVRVTFPQVLRVLKTRQVTYSVLTHLSEYIQNLQKTGLLEEKEMVQLDDALQTDLKKFQRNPPIVKMPRISDLLNTHPLVGALPAAVRDPLLRNTKETVRGQGTALYREGSRPTGIWLVSIGVVKWTSQRLSRRHCLDPILSHGSTLGLYEVLIGKPYICDMTTDSVAHCFFIETENIEELRHSDPSIEVFLWQESALVLARLLLPRIFEKMGMREMRVLIAERSTMNIYIKGEDTEVEQNCIGILLEGFLKTENLTLITPPAVLLPWNADLSLFGLESSDYCHTARRYQVEARARIIFFEEAEVHGSASRLLLPQGQGGGHEPARSMSKEHSGLLSWPESFRRSHGSLGLAAEMPGGLSSRALQLSMYGSMVSLSSGQQGHRRQRRHRVQATTTNQKHSSSYPRMPSKERPLLSVQSEGSNMRRVAVLPLRDAAEAAATAQRRRKAMHLQEEDNSSDDSTGEVIVRVDSPSMLSFRQSAAAPPPQDQKCAAKATLQTRFIGSDGTSKENELHEVQMGRSYLMKKV >Sspon.04G0004770-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4B:9374564:9377534:-1 gene:Sspon.04G0004770-2B transcript:Sspon.04G0004770-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQSYHGGAAAGGSADFSGQMWVRGTRVLCVRHSASLGACLVLRLLLIRVSRGLGGGLGCEVLVRTDAPLSDDTRPRAGLPAADATKFLWDCLNQDDDELLGLLGNRTPLRDCCDFFADLGDITCKETLDLEESRESKRRRTLEYPSESNTINSVSNEAPSEQEDNHFEHCSYGTPVYIEPDQVPCSWESIANIDDQAGISGASTVKVKQNITTSVAYPFTLIKPSWEEGDVTLQDINKRIHAPPKKAPEILGTSAFSVLCRGFGVESL >Sspon.07G0003180-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:7753549:7757177:-1 gene:Sspon.07G0003180-1A transcript:Sspon.07G0003180-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKEARNHYHTRGSSRGGGGGTAAERDLLLQWGNRKRLRCVKVQRRDVEAAATAAAEKAQRRAAAAAAAAAQHHPTGLTHHRVLRNSEEFAIMKSPARQQQNNRIHTVASPDRECPGRGNSNNGVPQTYPDDKKGSSSGSEGSIWPKFAITLSNREKEEDFLVFKGSKLPQRPKKRAKVIQRTVNFVCPGTWLCDLTLERYEVREKKVSKKRPRGLKAMHDIDSDSE >Sspon.07G0010050-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7A:29748644:29752940:1 gene:Sspon.07G0010050-1A transcript:Sspon.07G0010050-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SKALAKRRRLTRPGCLTTFLIRLPSPNPKPKPLTRRHRRRHHHHFRRRPEAPSQRRRLPPRIETMSSDDEVREEKELDLSSNDVVTKYKAAAEILNNALKLVVSECKPKAKIVDLCEKGDSFIREQTGNVYKNAKRKIERGIAFPTCVSVNNTVCHFSPLATDDAVLEENDMVKIDMGCHIDGFIAVVAHTHVIANGPVTGRAADVLAAANTAAEVAMRLVRPGKKNKDVTEAIQKVAAAYDCKIVEGVLSHQLKQFVIDGNKVVLSVSNADTKVDDADFEENEVYAIDIVTSTGEGKPKLLDEKQTTIYKRAVDKNYHLKMKASRFIFSEISQKFPIMPFTARALEEKRARLGLVECMNHELLQPYPVLHEKPGDLVAHIKFTVLLMPNGSDKITSHPLQELKPTKSIEDNAEIKAWLALGTKSKKKGGGKKKKGKKGDAAEADPMEATNDEIQRDSILAFYYGSDSDQASRACGLPRNGNGYLKPDYPTGILSGTGYPSGKNYPAGTGMELFFYSHAVTDNPTGKILRVQACPSDGYNQPCTAESGGSPIPLVALPSPVV >Sspon.01G0025290-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:83856011:83860929:-1 gene:Sspon.01G0025290-1P transcript:Sspon.01G0025290-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGAPQEDVLGGGEAAQDEAPQSPQERGEVRQREEDGGVEEADEEEEAPSHLPFAPSSELLDDTTTVDPSYTISLIRQLLPQGSNVEKEFSVKQGVPEEKDANSSNGESAQPDYKDPWEECGCILWDLAASKPQAELMMNNLVLEVLLANLHVTQSPRVKEICLGILGNLACHESLVNAISLHNGLTATVVDQLFLDDSACLSEIFRFLAAVLRTSVSVSWAEALLPDEILSRILWIVGNTLNSTLLEKVIDFLSTVIDNQDVTAILLQPFIKVGLINHTISLLASEIEKSSKEGKLDRLHSLDLILNFIEELSAIDSCSGVMSQSDQLIQVLESIVKLPDKFEVTSYCASVVIVLANILSDGKHIVPRLSHDLSFLEGLFDILPLVSDDNQAQNALWCILACLLAQAPQIDMTSSSLEQFVSLLLGRFTHIKDDLESHRVDKKKELSAEDAYLKHGLRAICCVMERWIAEKSSLGEEDASLTESTLEDARHLLNYCQNYDL >Sspon.02G0007460-1P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:22041230:22046861:1 gene:Sspon.02G0007460-1P transcript:Sspon.02G0007460-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRGDLIIWKLQRRRRPSNSPHCAAAVVPRSAPRKAPFRLSGSFVPSTVHSFRLSLAASPKLKVRVGWASTAAAFKIPEASNEIAKVLCVRNEISKLSRKLESMRAIITDAEQTVVQNETTRDWLKRSREVIYEAENIIDRCRIEKERFQTSQPQECNPSSVFKCCRDVGIDYIVTSDIHELNQKLDSIIEEGERLHVEPAIEDQIRLDQTRSDLDFAPDLEPDIVGREVENDCENLIELLIKRDDIPTRPNRPLLAIIGTIGVGKTTLARKVYHKTETFFEPRVWVHVSKDLQHMTMWSGERFSKGDTARLQAELRTWLQGNKFLLVIDDVWGENVWDGLLEIQAQHGSPGSRVLITTRNERVARRMGAVHLHHVKGLNEDDGWWLLRTRAFLDESTGNMQDIGRRIVQKCNGLPMAIRRIGCHLREVDLKADDWERIYSSDFCGLSSRIRNAINTSYLELPYYLKRCFLYCSLYPEGSVIDRQCITQQWIAEGFIVTQQNTAVEEEAKKCYEELLGRGLLLPENQTCGAERSKMPHLFRSFALLQSQDEYFIGNPQDIGDTLRPCRLTITTGGVEAIRNGIRKLKTLRTIILCGSPLNDTILGDIFQKCTHLRVLDLGDTQIECVARSLGSMMHLRYLSFANTQVREIPSSIENLRMLQFLILKNCTCLNALPESLGRLTNLRTLDISGSGLNQVKFGFSMMKELKCLQGFLVSSRGSENRNGWSFQELGSLYKLTSLKILRLERTLTMEDAVQSALEAKHHLKELELCCSTDDGKTEISRAAKIKDVFEALKPGPSIVSLKLENYYGHGFPSWLDPFHLRELKQLTLDGCLHCQYLPSLGQMKNLKFLSINGSNLLTYIGHEIRGTPDDVVAFPKLEQLVISKMSNLKSWQGLEKRDMPSLMNFMIIGCPKLDSLPSWLKHCMALRSLHIDHADNLEAIENIPALKELEVCENSKLKVISNLGRLEDLKVVACLLLDVVQDVPSLRTVHSNEKNSTKLPQWLQPEKPFMLRRLEIVGTEELLDSCSSATAPYWPIIQNADHVYANLPDGSFYFSYAKSSSYFHKSARNLARSSLLSSASFIVPILPQAEEVVSTDEIRNISEPTGQSSSQSWMRILFTVLLFVAAHIFSLSSEY >Sspon.02G0040020-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:64282471:64287373:1 gene:Sspon.02G0040020-1B transcript:Sspon.02G0040020-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING/FYVE/PHD-type domain containing protein [Source: Projected from Oryza sativa (Os07g0446100)] MLDCLQWIDKEGKTPLMVACMRPDLFDVAKVLIELGANVNAYRPGSHCGTALHHAAKKGLQQTVHLLLSHGANPFIPNDDCNTALELAREKGHVNVVRAIEGRISLFCGWMRENYAPAFLDAIAPQLMTRKIWAVVLPREVRTPTRPLKLELAIYPELQASKPRAVLKLWKCQIEQPKLNQADPSIIIFDKGTKTRYKILPAYEGDKQQLQWFYNACCGMAQVFNTAPVPPANLPMPNPAPAISSVAPSELSAPSKEDVELAMAINASIQSAIAEGVPNVQPNASTPNNNGWGIPPSNSHNRWGPPVTPAPSKTSGQSQGWVDAPSSSTYNGWDVPGTSSSQSSSKPHKTKTNPPVLIPQEALQVLPTPTAPPFAEETFYSGPIHYPSIDSTPVDVTMPPTTEGGTTVSTTAAPPKQEENEADASDSGKTPSSTCVICLDAPVEGACIPCGHMAGCMSCLKDIESKKWGCPICRATINQVVRLYAV >Sspon.02G0019410-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:58787796:58788966:1 gene:Sspon.02G0019410-3D transcript:Sspon.02G0019410-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKEVDVSTLEAGGVRDYADPPPAPLIDIDELGKWSLYRAVIAEFVATLLFLYITVATVIGYKHQTDATASGADAACGGVGILGIAWAFGGMIFILVYCTAGISGGHINPAVTFGLFLARKVSLVRALLYMAAQSLGAICGVALVKGFQSGFYARYGGGANEISPGYSTGTGLAAEIIGTFVLVYTVFSATDPKRNARDSHVPVLAPLPIGFAVFMVHLATIPITGTGINPARSLGAAVVYNNSKAWSDQWIFWVGPFVGAAIAALYHQIVLRASARGYGSFRSNA >Sspon.02G0034650-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:13778787:13779479:-1 gene:Sspon.02G0034650-1B transcript:Sspon.02G0034650-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASVTIAGSFLAALALLAVAGEAAVFTVVNQCPYTVWAASVPVGGGRQLNRGETWRITAPAGTTAARIWARTGCQFDASGRGSCRTGDCGGVLQCTGYGRAPNTLAEYALNQFNNLDFFDISLIDGFNVPMNFLPDGGSGCSRGPRCAVDVNARCPAELRQDGVCNNACPVFKQDVYCCVGSAANNCGPTNYSRYFKGQCPDAYSYPKDDATSTFTCPAGTNYKVVFCP >Sspon.07G0020090-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:78024539:78031320:-1 gene:Sspon.07G0020090-2B transcript:Sspon.07G0020090-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTTLLFSPSSSLFLTKPSPVAKGRTAVADVRCSSGPLLSVGHEEEGEREGVAVVGRRRALASAAAAVCGAPVLGFAGLGLAATQGLLAGRIPGLSEPDENGWRTYRRPDDKSGGHGVGWSPIIPYSFKVPDGWDEVPVSIADLGGTEIDLRFANPKEGRLFVIVAPVRRFADDLDDATIEKIGNPEKVITAFGPEVIGENVEGKVLSTATAERSGRTYYQFELEPPHVFITATAAGNRLYLFSVTANGKTMVLNNRRN >Sspon.01G0011540-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:31444040:31446236:1 gene:Sspon.01G0011540-2C transcript:Sspon.01G0011540-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding KVDLWLPIRDENASRWKAVTRYASSSSSPTSPPTDRRLGHRGRQRGVRRRAYTFFKQRRGCRVRLYEDAHVAGDFAPRIRLADRSFYEPRRCWVDVFDAINRARRMVYIAGWSVNTDVVLVRDPREPSASSENLGELLIRKANEGLTVLMLVWDDRTSVGLGPIKRDGLMATHDQDTASYFRDTRVQCVLCPRNPDKDRSYVQDIETATMFTHHQKTVIVDGGGRTAPESPPGLVSFLGGIDLCDGRYDTQDHPLFRTLGTTHSKDFHQPNFPGASIRKGGPREPWHDIHCRVEGPAAWDVLENFEQRWKKQGKGDNMLVARTRRGQSARRPLRATRVFRSIDGGAAAGFPEGSADAPREAAALGLVSGKDHVIERSIQDAYIHAIRRARDFIYIENQYFLGSSYAWRQNDGVTVEDINALHLIPKELSLKIVSKIEAGERFAVYVVVPLWPEGVPESGSVQAILDWQRRTMEMMDYLTFFCLGNREAPSPGEYVPPEHPDPNTDYERAQQARRFMIYVHAKTMIVDDEYIIVGSANINQRSMDGGRDTEIAMGAYQPGYLATRNRPARGQVHGFRVSLWQEHLGQAAAAAAAGGDLLRPSSLACVRRMNQVAKQHWDMFASDTFQGDLPGHLMAYPVSVLDDGSVVATTESFPDTDAKVLGNKSDILPPILTT >Sspon.03G0040580-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:30273011:30273361:1 gene:Sspon.03G0040580-1C transcript:Sspon.03G0040580-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DGQLRRDAARGDVVGGRRRVGRAVGGRGGGGGRRRDQREEGPGPRGGGGDDQDHQEAAAGADGEEGRWPPRPQEPPGRRAAAGGRHERRPGLPPSPPLQGGALEARAAEHPGGRRVM >Sspon.01G0024340-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:89485569:89489148:1 gene:Sspon.01G0024340-2B transcript:Sspon.01G0024340-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDHDHDQDPDASSAAAAGERCPCCCCSSSSPAVPWRRSVKRKLGAEKGGEAAGDDEAGPAARVEAEDECAALREAVAAAQSAASALRAEVEEERLAAASAASEAMAMMLRLQREKAEVQMELRQFRRFADEKMALDAADIDQLRALLAQRAHRLVRLRARLREYRLQFLHLGIPLPEGEGEDLVAQNAAEEEEDLLLLEGEDGYIEGDGGYYPELRCNDGEYYYEDGQEEEDAVALDLERRICRLEHNQETRLLEPPLQEEEEEEEGTHLYTDEALPNSSGHERGGLYADEVLSEEDVEARSNLHNDDEELPESPTAGSADGEEASEADGVDSVSGSGSGSGSDRVYTIDKVHQGATVPIARAVDKYQGEAVEPDIKKLYMRLEALEADRESMRQALVAMRTEKAQLVLLREIAQQLAKDGAPVGSGAGAGPGVHHSPRKRTVGIVERRFTEDKKAALVKTYSMVALFKWVLTLFGKKKKLSQSRYTFGLSSNNVGLLLLLDKCPRIQKTLTRTK >Sspon.02G0050230-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:52494383:52501982:-1 gene:Sspon.02G0050230-1C transcript:Sspon.02G0050230-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding IGAVGVAEALAGVSFADAICASAATFGGERSRCSEVVTVSRHWLRPSRIGMGNIGGEWRLRSQRRCGCRRRRSSYICRRGSGRQILMGGNSDRSPCSDPSMPSPWARQTKTEMRQPQTIRPTRARTEAYRAPIPKMMLVQENRGRQANQLNIWEPRLPREVAVTLPSMELGIEAARWMIGKALGPVSGGVLEAWAASTKLGGNIEALRMELLYAEGMLNNARGHYGHGPRIKNPALSELLHKLRDLAYRADDALDEVDYFRIQDELEGTYNAAEEHDGGCLRNHALNARHAARAITKMLGFSKCSACFAKASYDKPDEDTTSTRVPLCGGRWPCTDVKASDEEEEEQDPRKGVCWPCPCGSGTDQL >Sspon.01G0033710-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:113288632:113296234:-1 gene:Sspon.01G0033710-1P transcript:Sspon.01G0033710-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATIPTTDSGLLLGSSALLRRTRRAASSARLPAAARRRPQLLVRASAKDIAFDQDSRASLQAGVEKLAAAVGVTLGPRGRNVVLDEFGTPKVVNDGVTIARAIELADPMENAGAALIREVASKTNDSAGDGTTTASVLAREIIKLGMLSITSGANPVSVKKGIDKTVQKLVEELEKKSRPVKGSGDIKAVAAISAGNDEFVGTMIAEAIDKVGPDGVLSIESSSSFETTVEVEEGMELDRGYISPQFVTNPEKSTVEFENARILVTDQKISSIKEIIPLLEQTTQLRAPLLIIAEDVSGEALATLVVNKLRGILNVAAIKAPGFGERRKALLQDIAIVTGAEYQSKDLGLLVENTTVEQLGIARKVTISSSSTTIIADAASKDDIQARIAQLKRELSQTDSAYDSEKLAERIAKLSGGVAVIKVGASTEAELEDRKLRIEDAKNATFAAIEEGIVPGGGAAYVHLSTFVSSIKETLDDPEERLGADIIQKALVAPAALIAHNAGVEGEVIVDKIRESEWEFGYNAMTDKHENLVEAGVIDPAKVTRCALQNAASVAGMVLTTQAIVVEKPQKAPAAAAAP >Sspon.05G0014610-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:60764596:60765424:1 gene:Sspon.05G0014610-1P transcript:Sspon.05G0014610-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSSLVLLAAALLALASWQQAVAYDPSPLQDFCVADKNSPVRVNGFPCKDPMAVTPDDFYNPAMIIDKRRDTNNKVGSNVTNINVESFPGLNTLGISLARIDYAPLGVNPPHIHPRATELLTVLEGTLYLGFVTSNPNRLFSKVVKKGDVFVFPKAMIHFQMNLDHEKPAAALSSLSSQNPGVITIASAVFGSKPPISDDVLAKAFQVEKKLIDWLRSQFWDTNY >Sspon.06G0025150-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:59618882:59620362:1 gene:Sspon.06G0025150-2P transcript:Sspon.06G0025150-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQFAAATAVLGCLLALATLASCNTEGDILYEQRQIWKDPNDVLVSWDPTLVNPCTWLHITCNNDNSVIRVDLANAGLSGYLIPDLGGLKNLQYLTLYGNNLTGPIPESLGNLTSLEGLELQKNALSGAIPSSLGNIKTLQFLKLNANMLTGTVPLEVLSLVIAGNLTELNIANNDLAGTVRSSGLRVTAIIQDKLKTT >Sspon.08G0021810-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:43547398:43551215:1 gene:Sspon.08G0021810-1B transcript:Sspon.08G0021810-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKRKPAVAAERDPDGIFRGVSAFIVPHGVQARRLEVWKQRLVQMGGRVVERPTKGELAGVNHVLAVDAKALLRELDAAWLHRFRGCVVSFEWMEECLKSGERLPNHKFAINYEEELKLVGTENLGAPQPAKRTKMSSEYPGDCQRISGEDRENELAAGGPPGASAHAFEGSSAGKQPNQYASSQSSSADSKDTVGSHGTFDIEEVSSGGPSIYAPADLNRNITKIFGRLIDIYRALGEDRRSFSYYKAIPVIEKLPFKIESADQVKGLPTIGKSLKDHINEIVTTGKLSKLEHFENDEKVRTISLFGEVWGVGPATALKLYEKGHRTLDDLRKDESLTNAQRIGLKFFDDIKQRIPRHEVSKMEKLLQDVGTDILPGVVIVCGGSYRRGKASCGDMDIIITHPDGESHVGFLSKFVQRLKEINFLREDLIFSIHSVDGTDSGVDTYFGLCTYPGRELRHRIDLKVYPRNRYACGLLHWTGNDVLNRRLRLLADSKGYVLDDTGLYLATRGSGGKHAGRSDAIVNCHTEKDVFETLGFPWLEPHERNL >Sspon.03G0035500-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3B:82123125:82123829:1 gene:Sspon.03G0035500-1B transcript:Sspon.03G0035500-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding YASPIAISTGKIHHLFLHSNRGVDLAQCEARHLACGTCYAFLPKNKCYSCHRHGAYSRNTALEGIVGCAKVLCPYDFYGCRTYATYHEAGDYQRDCPCAPCRCSEPGCAFVGSPPMLCDHLRDAHAWPMEEITYGRAHNIRLPEMCPPRLLQAEDDGRVFVRIGVTVACVRASAAAGPRYSCKLWATRNPGGETGRVEIAMAEADVPSSSSKPGPGDAAAAEAAPLSVRRSMLHE >Sspon.01G0003870-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:10001904:10008021:1 gene:Sspon.01G0003870-3C transcript:Sspon.01G0003870-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPHTDQPVSHGRVVVSQPLATAHAAWSERAHVWSRGAHLAGGLPDQPLQTLSRLCPSSPISFPTLSVSLPARARALPPSLLASPRLAAGDELELELVPRIEDGRRGMEPMNVDNGGLDAQIEQLMQCRPLAEQEVKALCEKAKEILMEESNVQPVKSPVTICGDIHGQFHDLVELFRIGGKCPDTNYLFMGDYVDRGYYSVETVTLLVALKVRYPHRITILRGNHESRQITQVYGFYDECLRKYGNANVWKTFTDLFDYFPLTALVESEIFCLHGGLSPSIENLDSVRSLDRVQEVPHEGPMCDLLCQIQTIDVVGAYPLVVLATLLARYNTSDISEQFNHTNNLKLEQKVVTIFSAPNYCYRCGNMASILEVDDCNSHTFIQANTHINIHHDLKDVKLWQ >Sspon.02G0004880-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:9816292:9825091:-1 gene:Sspon.02G0004880-3D transcript:Sspon.02G0004880-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGFSVDFDTADVAKRLKEELSQRNRGHEMLESWNGDPCSPSTWEGFSCEPKDGAQVVVKLIDNTTNPAVQQLSLKSIQTAIGNFKTLIGEGGFGSVYRGALANGQEVAVKVRSTSSTQGTREASKRKVLDWPTRLSVCIGAARAPESKNNLTSIRAMQAKPYIREYKIEEMVDPGIKGQYCSEAMWRVLEVASVCTEPFSTFRPTMEDVLRELEDALIIENNASEYMRSIESTGTLGSNRYLSIDRRCLHQGRRESTQLRDIYKQCPRFREGNGSATSGDLRGRRTGLLLLLEDGKLASLPFCLVPRKPQARASRARAVENPSVKKGTGSKSY >Sspon.06G0036160-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:90384429:90386069:-1 gene:Sspon.06G0036160-1D transcript:Sspon.06G0036160-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding HMDPSVSVSVCRLHPGVAVTGFCPACLRDRLAGLHPPSAADLRRCKSFSYARSAAAVEPQRRSCDLFRHGQPIAAAVQEEEEEEDHHQQEPGRSHSHQQPKKSSSLGGLLGKKLQQWRRKSKKEPVAVVIPVPLPEMEMPARHRPSCDADPRRASWDARSGPVPAALGRLPASMLSLPVEEDEDYDDSMAPPAVPRSDGQIPVEEDYCYYYDNAAAAAAVPGGSVQTRDYYLDSSSSSRRRRSVDRSTTSGRNSFSDANNSEATCRMMMMNGATANGNARVSPAAERLYHYHEAHHHHHQSVLVRHQYCSREEEELQQQGPNNLRADDFSGSFGSAFPFRDGVPASKPNKKGIKGWSIWGLISKKSSSRKYSAEAAPAGGGGGGGGEYEYPWPELRARGYNGQMLRCNSSISARSSFSGTGIGSGNLSGRRSISGVDMRDAAAARYNNKNNNGGFRTRRDEVLLERNLSTTRSSSYSRSGHHHDRDPAMAPQMGVGVGMGMQYHQQQQQQLSSGRPPNIPRRSSSNSKHEFSSLPAKAKTRRRTLGL >Sspon.05G0011810-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:34240503:34243641:-1 gene:Sspon.05G0011810-1A transcript:Sspon.05G0011810-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAALRQASRCLAFRGSLAAARPMLLAQSRGITYKLFVGGLSQFATEESLAEAFSRYGQVIEATVIRDKVTDIPKGFGFALNGRVIYVDIAKAKPDRATDALPIARGPPKPISND >Sspon.04G0001750-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:7003946:7027385:1 gene:Sspon.04G0001750-3D transcript:Sspon.04G0001750-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKAGKAKPKAKGDKKKKEEKVLPTVLDVTVETPDYTHLTLKGISTDRILDIRKLLAVHVDTCHLTSYSLSHEVRGAQLKDTVEVASLKPCHLSIVEEDYTEELAVAHVRRLLDIVACTTAFGAKKPEQKPASPDAAAAESVKTGSPGKTAPGGGEEPMYPPPKLEQFYDFFTFSHLTPPCIVRVCNGKPVTIVASQEGFYPAGKRALISRSLVGLLQQTSRAFDGAYKALMKAFVEHNKFGNLPYGFRSNTWVVPPVVADSPSVFPPLPTEDETWGGSGGGQGRDGKHDHRPWVKEFAILAAMPCKTAEERQVRDRKAFLLHSLFVDVAVLKAVASIQQLISNHTSLHETTNGTIGSVLHTEQVGDMKIMITKDKTDASSKLDVKLDGSQAPGMSSDELAQRNLLKGITADESAIVHDTATLGVVIVKHCGYTAVVQVPVGTELITASVAQQEIHIEDQPEGGSNALNVNSLRMLLHKSCAQVPGVQRLQTSDPQDNETTQTFVRKILTDSLQKLESESPIVTRPIRWELGACWVQHLQNPTSEKTETKKSDETKDVPTVKGLGKQFGQLKEIKKKTDDKGGKSTYVKENTSPNTDNGHTDNTASIKDDKDVVLQRLLPEAAFQRLKESETGLHAKVELSDKLPHIQSLCIHEMVVRAFKHIVRAVIAAVDDATIYQQKALDINERELGLDHPDTMKSYGDLAVFYYRLQHTELALKYVNRALYLLHLTCGPSHPNTAATYINVAMMEEGLGNVHVALRYLHEALKCNQRLLGADHIQTAASYHAIAIALSLMEAYSLSVQHEKTTLRILQAKLGSEDLRTQDASAWLEYFESKALEQQEAARNGTPKPDASIASRGHLSVSDLLDYINPDDELKAKEMQRKQARAKELIDQIRVSLMAQRRMTQRLLAASGLPPMSDEDETVHNSLNEVIQDWTAQVSPITREIEDQDKKKDANQIFFSTIIVSDLLDYINPDDELKAKEMQRKQARAKIKGRTGQNQSELVDDEDRRSPPPKNDNLLTENENSGVKENGTFVEYVKVKDEISSDTAICIPQDDFTEEYTSDEGWQAAVPKGRSTGSRRTGAGMRRPNLAKINTNSLHSENGRYKGRSTSNFSSPRVSPSEAAVPIGSSPLAKKLAKSSSFSSKAGSPAVSSNSGENSSNPNSKPASPAIATVAVKVIQSTAPSASQTVRKSLSYKEVAIAAPGTLVKALSDVHTEEKDTGGRGASPESAKSPKKSMKDGAIEVSPKADTSQVTKSPDGGKPEQTDVLIGSNQPETGHKKTSDPAETSVAQKNTDLPAPVTSSATQTEEADTPNDEAPTVIEANDSCSNDDERDSGEDTPGQLSSGGENEKSSLSESEKNDTPREGAKETSKLSAAAAPFNPSSVPAFGSMAVPGFREHGGLLPSPANVPPMLSIPLRKHPHQSATARVPYGPRLAGGYNRSGHRGLRKKPVLTSGEAPTESNTSAPRVMNPNAPEFVPGQSQSPNGHPASPNGPLASPGGIPSSPQDLLSSPDSTVESPVTPSPQVSECSQISPEGNNASSGINVEGGGVKQNVDDTNHTESKDGEVEPEQTKAPEVTEEGAAVKDATEESIAAEQPKSWADYSDGEAEVVEVAS >Sspon.01G0045200-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:87755070:87759357:1 gene:Sspon.01G0045200-1B transcript:Sspon.01G0045200-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVSAGLRPPPVAAAASLRGRRSASVPPRAASHSVRSVSRAVKIKASAIYDLQRNRSNLESLFCYDKSVPEENIGKPSGLNLEKKNVGDNPPCSSCEAKGAVLCGTCAGSGLYVDSILESQGIIVKVRCLVSFAGMLCAMGACTGAWGRCVALAFFNTHALLSVGADVVTPPGAMG >Sspon.02G0034140-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:12977872:12980967:-1 gene:Sspon.02G0034140-2C transcript:Sspon.02G0034140-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPQPLRAPAGHAACRCSAAPLFGKRAPLVVAFPRAGSGGAVVVSCSAVQESSTSTTVSKKKDAADGAKEATAAAAKPAAAAKPKKAAALPLPEMMQQEIIPPLKAALEAEDDVSQVQLAFQNNTLEGSFIKDDVPYYFWAFFPKGDLTGPKGFALSSYSNEVSTIEPFLIDEKRITAKYVVFWVYKRLAGQGILPVWKEEEGEEDANRGAWPRSESGRLVAGQALHIAALSKSYSRCLSATTSDEHTPTSFHSPKQQGDVALKGHVVKYCTWMCVNVSGSHAGDFPGSAPPHGCTKRRRKRATSRC >Sspon.03G0022150-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:90517215:90518055:-1 gene:Sspon.03G0022150-2B transcript:Sspon.03G0022150-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AAKVFESQLAESLLEGDGQLAFKKLATATLETLMPRIEGKGEFGQARWRLVYAPQIPSLLSVDPTVDPLKSLANNISFATEVEVQLGKRLQASVVRQMKDSEMAMQWTLIYQLTSRLRVLFQSTPSNRLLFEYSATSQD >Sspon.01G0025320-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:89483880:89486723:-1 gene:Sspon.01G0025320-2C transcript:Sspon.01G0025320-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CBM48 domain-containing protein, Compound granule formation and starch synthesi [Source: Projected from Oryza sativa (Os03g0686900)] MPALVPSLLLPIPALILPAPPRCHPRRRRGLLPAASSTSSSPHSSRLPYYRRRRHDGRSHTPTPAPRPPSQPQQPPPRDANAAAPRARGQEELEAAIYDFMCRSAKPGAFPTREELLAAGRSDLAAAVSSYGGWLSLGWSSSTAAEGPATTAAPRSSGGGHPDYPPETGVYYRGDLVPGSVEDSEWWVTGIAPCSAVLFYVLARCLAEFRCLRCREEEEDDDDEEEASSSGREPETEETSELRFKAGIEGMLTRLQKDRERARPPPRSSTHDTQSQGDDDAGNSGAPSHTAAGGRHIPRVPENGSVHGSHSQNGTIEGNNTLQSSSNDAWKTWTLGKGGLSHFEVSAAAEVLPTERRKLSQHDDIATVQNDVQMSSNGVAVSDYPSDGVGTERDEIHSRLQTLELDLSAALKTLRSRFDKVLSDMSNSNGATVLDDISDDWEFEETKVMQAQEELRSIRAKIAVLEGKMALEIFERNKIIEDKQRRLDEVEKALSELRTVCIMWANPASDVLVVGSFDGWTSQ >Sspon.08G0019900-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:13605775:13608917:-1 gene:Sspon.08G0019900-2D transcript:Sspon.08G0019900-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAVAFASAPAIFASASASVRPCRSAAPDIVSSDSGRPRRGARGAVRCEVASSSAPSAAGPQAARWAQRTVVIPPQRRGCHLITNKIVNEIRNDLAEFKCGMAHLFLQHTSASLTINENYDSDVQADTETFLSHIVPEGPSAPWRHTMEGPDDMPAHIKSSMFGCSLMIPITNGRLNVGTWQARKYLTWLVP >Sspon.01G0028000-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:64232123:64234062:1 gene:Sspon.01G0028000-2B transcript:Sspon.01G0028000-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKSRKIHRMLSEQEEQRPARGRRRRRQMKSSDGGDDSAAEIEIEEAEEEEDEAEHEQQQVRTGEGDGQPYSIAFPDFPGGPGTFEAAAKFCYGVRVELTAWNVAPLRCAAEYLEMTEDHDEDNLGARAEAYLNQTVLRHPGDATKALKSCEELLPLAEDLGIVSRCVEAIAARSSAASRSWFDDLAVLGLRMYKRVMAAMAARDDVRAEARESCLVSYARGTIPGLSRSMRRRLASAPVSSEVEQKELLEVVVASLPTHKCSGRVVTAKFLFALLRTALILRASDAARTALERKAATQLQQATLEDVLILSYSGTAETLYDVDCVQRIIRYFLAEEELGCEASSSTAIEEEEAVATEVVARPSAVVMVQVGKLVDNYLAEVASDANLKPAKFCELALSLPDHARIYDDGVYRAVDIYLKAHPRLTAEERDRVVGVVDCRKLTVEACTHAAQNERLPLRAVLQVLFFEQLQLRRAITGTLLAASNNGPPRAGPSEAAAWRGATVLAQESQVLRLDMDSVASRVQELERECSSMRRAIKKIDGRGGSPSPGRRSADGSRGGDGSRPAAGGWRARHGCKFSTQVCDSHARNVVASRASRMGMSP >Sspon.01G0012870-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:34707211:34711561:-1 gene:Sspon.01G0012870-1A transcript:Sspon.01G0012870-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIVEEAHNLRVVGEGKRGVIVLAHGFGTDQSVWKHLVPHLLADYRVVLFDTMGAGPTNPDYFDFARYTTLEGYALDLLAILQELGVQSCIYVGHSVSAVIGAIASISRPDLFTKLVLLSASPRYLNDVDYYGGFEQDELNELFEAMRSNYKAWCSGFAPLCVGGDMESVAVQEFSRTLFNIRPDIALSVAQTIFQSDVRSLLPLVCVPCHIIQSTKDLAVPVVVSEYLHKHLGGDSIVEVMPSEGHLPQLSSPDIVIPVLLRHIQHDIAV >Sspon.01G0033370-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1A:112401287:112401661:-1 gene:Sspon.01G0033370-1A transcript:Sspon.01G0033370-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCSSNPAAPTGRRGRKAWTEAEEAILREAMEKFAPQDDAPIPWIRIREYGHDVFHKTRLPEDLRVKWRNLMKKEPAGY >Sspon.07G0018120-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:80030347:80031053:-1 gene:Sspon.07G0018120-1P transcript:Sspon.07G0018120-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVLNSSRKTVVALAVVVAAAALLASTASAAITCGQVGSSLAPCIPYATGRASTLPASCCTGVRSLNSAARTSSDRQAACRCLKSLANSVKSVNMGTVATIPGKCGVSVGFPISMSTDCNKYGQLNDEIYDVSTSARDEASKPGK >Sspon.06G0013650-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:70886864:70887316:-1 gene:Sspon.06G0013650-1A transcript:Sspon.06G0013650-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYSSSRLAEASLLLLVVILLAAVGVSASAEAEMSAKTVAAVAAPARRKDDVVTTSSGSSSPSSSPSPAAGGGSAEKKESKDDNGNKEKEKEKPKGGGTSTKKPSPPAKCRLVCAKKCTMAAHKKCAAKCSHSCNALPICT >Sspon.01G0012600-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1A:34123442:34123837:-1 gene:Sspon.01G0012600-1A transcript:Sspon.01G0012600-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRGRPSVRTRLSKPVQRTSPISLPTSTSPQSLHSLLHLAPKSFPTHLGHRGITASSACPTLPRYRWSPAAPLSPPCPVPRRAASPPGPTRPHPSRPRRSSHHHHHHPGATRRRLPPDVRALVVPYCACA >Sspon.02G0058660-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2D:81742950:81744087:1 gene:Sspon.02G0058660-1D transcript:Sspon.02G0058660-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding HRQGELHRATSIGPAANSAQDVRRASASSAGRPGFPRTSSESLTGSREACSSLALARGGGAPPRGVPVNEEGKQLALAAAGAESCGCGVAVVCFAFSVGEGKFWVAHPLTDWLSIMAGGDMERHGGEQRQHACTYTSTRAGDCMCMAGYDIRHRLN >Sspon.08G0003370-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:8961276:8971594:1 gene:Sspon.08G0003370-1A transcript:Sspon.08G0003370-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Synaptotagmin-3 [Source:Projected from Arabidopsis thaliana (AT5G04220) UniProtKB/Swiss-Prot;Acc:Q7XA06] MGLVGGVLGFCLGLPIGLAAAYFVYLHYFAAARRLQDPVIKPLRDLDSETLQGTIPDIPLWVKSPDYERVDWMNKFIFDMWPFLDKAICNSIKRATRPIFDQYVGQYGIESIEFGQLTLGTLPPTFQGIKVYEMLEKELVIEPVIRWASTANVTVNAKVHSFKVTVQLEDLHIMLTPRVTLKSLVPSFPCFANLCVSLMEKPRIDFGFKLLGGDVMAIPVLYQYVQDQISKQISILYHWPKVIQIPILDGASGATKKPVGILHVKVIRALNLLKMDFLGKSDPYVKMRLSGERLPWKKTSVKMSNLNPEWNEHFRFIVKDPDTQVLELHMFDWEKVKMHDKLGMQVIPLRLLTPYESKLFTLDLVRSMNPNDPQNKKNRGKLIVELTFDPFREDNMASDGEGNASIRREADGESSGGVLLVSVENAEDVEGKRHTNPYAEVLFRGERKKTKVIRKTRDPRWSEEFQFMVDEPPVEDKIYIEESLGHVNINLVDVVNNGRINEKYHLINSRNGMIHVEIKWSTV >Sspon.04G0013030-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4B:60319931:60320942:-1 gene:Sspon.04G0013030-2B transcript:Sspon.04G0013030-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPISKDKRSTDPDAAEERSINTNIHYVRHRISAGWLKWRQASGVLCDKTVPQKLKGKFYRTAIRPAMLYGAKCWPTKRRHVQQLSVAEMRMLRWCCGHTRRDRVRNDDIRDRVGVAPIEEKLIQHRLRWFGHVQRRPPEAPVRSGVLKRADNVKSGRGRPKLTWDESVKRDLKEWNISKDLAMDRSAWRLTINVPEP >Sspon.05G0012050-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:36970966:36972187:-1 gene:Sspon.05G0012050-3D transcript:Sspon.05G0012050-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGGGGGVEQPMQMVLRVKHPSSLGSSAGDEDEGEGSSRSALSVFKAKEEQIERRKMEVREKVFAHLGRVEEESKRLAFIRQELEGMADPTRKEVESIRKRIDTMNRQLKPLSKSCVKKEKEYKEVLEAYNEKSREKALLVNRLIELVSESERMRMKKLEELNKTVDSLY >Sspon.02G0002530-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:8253093:8254580:-1 gene:Sspon.02G0002530-1A transcript:Sspon.02G0002530-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKKRKSLNPDNSLKDSEQVLASDFIRGDDLDDLLSKLVSSVESAKASRGGLPEKIWMKQQFAIGVNDVTRVLERMPHSVTASHSTQRSSEAPTVSGRRQAPLVPLQAVLVAADCNPKWLTKHIPTLASTRQVPVLCLKDNKGASLRLGHVVNVRTALAIGVKARDSIVNKTIDEVLKD >Sspon.03G0026100-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3C:2577499:2581847:1 gene:Sspon.03G0026100-2C transcript:Sspon.03G0026100-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPAGDGHCAAGEGGGGRRAEAARSAEAARERQLTRAIPTRIKSNAVVIRALPPGAPEERECRADAGTPCRRREAMATCILSRQNLRKLASFTLQNISQRQLISPYSPALRSTIASPSKFLSPLYLSGHSLAVRWATYGSVNLVLSDDGTPKFQIEEVEPSTKRRYLTKKRLKVQRKKERKKRKEANKNDPRRIRPKGKKIKQKFPTPEARLKYKIEKAKLKEARLVEKLKKYEVAKAQGPMAKPDDLSGEERFYLKKVSQKKSNYVPVGRRGVFGGVILNMHLHWKKHETVKVICKPCKPGQIQDYANEIARLSGGIPVNIIGDDTIVFYRGKNYVQPEVMSPIDTLSKKKALEKSKYEQSLETVRRFIAISEKELELYYRHVALYGNPQSQKAELVCGDDREASLLKMRGLDQGKDQEPHMATNHFSDLHISDVSESESDEEDSCGSEYDVNDDETESMTSISEDASVSDHGGLANWGKV >Sspon.01G0000270-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:1203842:1211837:-1 gene:Sspon.01G0000270-1T transcript:Sspon.01G0000270-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEELLIFTRGGLILWSSCRALGAAALKGSPIDALIRSCLLEERSADASFSQDNYALKWTFHNDLGLVFVAVYQKILHLLYVDDLLAAVRREFSQIYDPKRTSYDDFGDIFRQLHREAEARAEEMKKSKQALSSRLQPALSQKKVGPKGRRGAAAANKGGSGAKDDSDGDDSGNKDHNTLPNGAFKGQENGAPKENSLARPVVVKGKENGGPKDNGAFDVSKLQKLRTNKKNVAADNGTKKLTKPDTKKKGKQDRVWDDKPSNKKLDFTDPADERGDEVTDNVAVIEGESMMDKDEDLSSDSEDEEVEDGPKKKGWFSSMFQSIAGNNVLEKADLQPALKALKDRLMAKNVAEEIAEKLCESVAASLEGKKLGSFTRISSTVQTAMEDALLRILTPRRSIDILRDVHAAKERGRPYVIVFVGVNGVGKSTNLAKVAYWLLQHNLSVTLAACDTFRSGAVEQLRTHARRLQIPIFEKGYEKDPAVVAREAIQEATRNKSDVVLVDTAGRMQDNEPLMRALSKLINLNSPDLVLFVGEALVGNDAVDQLTKFNQKLADLSAVPTARLIDGILLTKFDTIDDKVGAALSMVYISGAPVMFVGCGQSYTDLKKLNVKSIVKTLLK >Sspon.04G0020120-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:73473605:73475690:1 gene:Sspon.04G0020120-2B transcript:Sspon.04G0020120-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVQRAALHGMLLLAAAVAASGAAAAKVPAMYVFGDSTADVGNNNYLPWSIARADFPHNGVDFPDGRPTGRFSNGLNGADFLAIAMGFSGSPPPYLSLMAATAANSSSEVTRNTTMAAAAAYMSGANFASGGSGLLDSTGSTISMTQQIGYFSDLKDQMSTRLSAGRVVESLSKSIFLISAGSNDAFDFFSENRSPGSTAIQQFSEAMISTYDSHVKALYHLGARKFAVINVPLIGCCPYLRSQNPTGECIAKSLNDGIKDLFNNLSSEMQGMKYSIGSAYQLVSSLIQSPHAAGKLQQLSRSILDTKPCTQVISRLFLWYIYRFAHFIPAGLEEVKSACCGGGRFNAEIGCTPISSCCSDRSKYLFWDLFHPTQATFKFAGFAFYDGPAQFVSPISIKQLVEA >Sspon.07G0033150-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7C:57276086:57282681:-1 gene:Sspon.07G0033150-1C transcript:Sspon.07G0033150-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTASRGSPPPCHACHACRTVQFLVTVLRASPDDGENIGRRAADPNTGIRAECMHYGGSVSPPREPARKKRVIPRRQPPVITMGENSSSGGVKESSLMWPMLTRDNYTEWEMMMQCNYEALEIWEVIDPGTNPKRSQDRQAMSALLRSVPKEMWQSLGRHKTVKEAWQAVRTMRIGADRVKEVNAQRLLKEFENIAFKNGESIDDFGMRITNLVGNLKTLGESIDDERVVKKFLRVAPARFNQMVVSIEMFCDLKRLTIEELVGRLRAAEERLDDKVDTVTDKMGRLLMAEEDWYEKNKHRFHSGAKPGGGGGGGGTSKGKAVARSDGGASGTVKLTFEGTPRRKGRCRNCGIYGHWAEDCKRPKKEKKKDLAQVEANVAIGDADHPGALMLATCNVVRGPTQCIHLTEKVTPVDVPDGVWVLDTGASNHMTGTRSMLSQLDESVRGTVRFRDGSRVEIRGMGSVIMEDRQHGHKVLTDVYYIPKLKSNIVSLGQLEEKNFLVVLGYGRLRVFDQDRKLLISAPQAVNRLYLATFGLVPPVCLLAQFENISWLWHARYGHLNFRALNELGSKQMVEGLPVVTRVEKVCDGCTLGKQHRKPFPQVSSFRASKGLELVHSDLCGHITPKTLGGASYFLLVVDDFSRFMWVEMLKTKDQALECFKKIKQRAELESGNKLMALRTDRGGEFVSNLFSIFCTDGGIKHFTTTPYSPQQNGVVERRNQMVVEMARCLLKTMNVPPEFWGEAVCTAVYILNRSPTKSLDKKTPFEAWFGKKPRVSHLKTFGCTAHVKLIGPGLTKLSDRSKKMIFIGYETGTKGYRFFDPTAKKLVISRDAVFDEKQPWDWDSAGADSDQDSDSFIVHYEESDRNPTIGDIADIPAADNDGGGPDDQGGVEGQHDATPGSQVNDNQAQAHNFATPPSNHSEDTFGGPLRFRTLNDLFDSTEELDDFEYSGVCMLAADEPVDVDEALEGKCWVEAMKNDGGLRGGDGGLRAGEDGGVRSRRSAAGVEGCRRRKQRASGETGARRRNAASSSRRRGMEEEGAAREWGGRSSEARRRELERTATASWVGEAPMRRGRAGATAARMGNWGVGTGKFARWAQISTRARARGHRMTPVSAPGGSGARGYADFFCPLPSLVDYEEVFAPVARLETVRLVLALAAHGKWEVHHMDVKSAFLNGELQEEVYVHQPPGFLNPNQPGKVLKLKKALYGLKQAPRAWNSKLDQELVKLGFCRSEEEHAVYKRGSGDSLLLLGVYVDDLIICGPDKKRIAEFKDQMSKTFSMSDLGLLSYYLGMEVNQKPGEITVCQRAYATKIVEQCGMKGCNPVDTPMEQNSKLMPGKPDLARDVTKYRSIVGSLRYLVNTRPDIAFAVGMVSRFMETPTTEHWAAVKRIVRYIVGTTEYGCTYKSYAISELKLLGFSDSDHAGDLEKRRSTSGVLFFLNGNVITWCSKKQRVVSLSSCEAEYIAAASAACQGVWLSRLIADLTSSKVQSFRLLMDNKAAIELSKNLVFHERSKHIDTRYHFIRECVANGVAEVEHIGTDRQLADILTKPLGRVKFIEMRQKLGFLVTVLRASPDDGEIIGRRAADPNTEHSIHVLLLPYPSQGHINPILQFGKRLAAHRGVRSTLAMTWFVLGQSGQPPPGGAVHIAAISDGCDRGGFGEAGGIEAYTARLESAGSGTLGELLRSEAAQGRPVCALVYDAFLPWAQRVGRRHGAACAAFFMQPCAVDVACGHAWPGRVEPPLDPPGLPAGLRPGDLPTFLTDTDDRGYLDLLVNQFGGLDMADHVLVNSFIGKL >Sspon.08G0011140-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:48570921:48573892:-1 gene:Sspon.08G0011140-1A transcript:Sspon.08G0011140-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GALT1 [Source:Projected from Arabidopsis thaliana (AT1G26810) UniProtKB/TrEMBL;Acc:A0A178WHF1] MVGEDQKEILPSRLRSNVSTMIAARKKKAEPRKYFPFRQGYLAVAILRIGAHGIHMTVDGKHITSFAFREDLEPGFVGEVRIAGDIKLLSVIASGLPTTEDFEHVTDLETLKAPPVPMNKSVDLFIGVFSTANNFKRRMAVRRTWMQYDDVRSGKAAVRFFVGLHKNEVVNEELWNEARTYGDIQLMPFVDYYSLILWKTIAICIYGTNVLSAKYVMKTDDDAFVRVDEILSSLDRTNISHGLLYGREWPEESYPPWAHGPGYIVSKDIAKEVYRKHKSGELKMFKLEDVAMGIWINEMKKDGLDVKYENDGRILVEGCEDGYVVAHYQEPRDMMCLWDKFQKTKRGTCCKE >Sspon.06G0032660-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:90931818:90934040:-1 gene:Sspon.06G0032660-1C transcript:Sspon.06G0032660-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHDGFLGGFHDLSWPFDHAAAAGAAPFDPLRVVVHVPHALMGAGVGWEHDAAVGALVPSQSQSPSPSSLAAAAAGGDFVEAALMEQLASRLGVSVPSPPSSRYASCHSTPVGSPSKPAAPCALAGDAVLAERAARLSSCFPVSGGKLSRVASSQSLLGEQAPAPAPTPGAVKQHASDGSCSDGPYRKRKASGGKSKAKDVVTTATPKSRETETRAKKCKLSTHAAHGEEQKPAATGEGWHGNGKGKEVAAEPPKDYIHVRARRGQATDSHSLAERVRREKISERMKLLQDLVPGCSKVTGKAVMLDEIINYVQSLQRQVEFLSMKLSTVNPRLELDVDSFIPEDANKLCAPATSSMAQPLPAVYAHEGSSSAFCYTSSPGTAAQSVVTNAKGFEMPSSFVNHGGTDRSLEGPQSPNPQMGSLWEEDDLQSLVLMGFRGNT >Sspon.03G0014610-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3B:69060397:69060846:1 gene:Sspon.03G0014610-2B transcript:Sspon.03G0014610-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAFLTTPLHPATTSFRFPAGTGGCSRPHWAPPALSPAATPAVPRRLLLPVAAGIWDLISGGAGGAAAASLAVRGGMQLFRQGDVAGSLKMAPAFLTTPSTLRRPPSVSPPAPEGAAALTGPHRRSPRRPRQRCLAGSSFQWRPAFGT >Sspon.07G0008810-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:21054689:21058116:-1 gene:Sspon.07G0008810-3D transcript:Sspon.07G0008810-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKEEKQELEGAAAAARRRLVIGVGFWVQGFRLFPWLGVNFFLKDGMGVAASSLQILQASANLPMVAKPLLGLLSDAVPIRGHRRLPYVAIGALLQAISWLAIALWQDISLQVLTIFLLLSNFGASICEAANDAIVAEAGKIVTSSSGSGQLQSFAWMFGSSAGALGNLLGGIALSYFSPKIMFLFFAILLLLQFFTTVAIPESSLKLPKAATPTNLSALTSIRKQVKELSCALSMPEMFWSIIWFTVSYAVIPFLLGTMFFYQTEVLRLDSSVIGLSKVFGQVALLAWSMSYNKYFKMMSARKVLSVLQFVTALIMLSDVLFVQGIYRKVGIPDSIYTIVFSGLLEGLMFFKVLPFSVHIAKLCPAGCEGSVMAFVMSALALATIVSGYLGVALAAFMGVSGNDFSALPACLLIEAACTMLPLCCSSLIKERREKEKKKE >Sspon.04G0015640-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:16328384:16331530:-1 gene:Sspon.04G0015640-2B transcript:Sspon.04G0015640-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSTAVASAAVLLVLLCSLLQSPAALASSGSGKPPPSYVVYLGGHPPRDDGVSPEVASRRAADSHYDLLGAVLGDREKAREAIFYSYTKHINGFAANLEPGHAAEIARCPGVVSVFPNRGRKLHTTRSWQFMGLERGGDVPHWSAWEKARYGEDTIIGNLDSGVWPESKSFDEGEMGPIPDDWKGICQNDHDRKFQCNSKLIGARYFNKGWAAASGVPLDDALKTPRDENGHGTHTLSTAGGAAVRGASAFGYGVGTARGGSPRARVAAYRVCFRPVNGSECFDADVLAAFEAAIADGVHVISASVGGDASDYLLDAVAIGSLHAVKAGVAVVCSASNNGPDLGTVTNVAPWILTVAASSVDREFTAFAVFNHTRVELCLMGSLDPEKARGKIVVCMRGITTRVEKGEAVRHAGGAAMILVNDEAIGNDLEADPHVLPAVHISYANGLALWAYIKNTKIPSGFVVKGRTILGMLPAPVMAAFSSQGPNTVNPEILKPDITAPGMNVIAAWSGAVAPTDRSFDKRRVAFNMLSGTSMSCPHVSGIAGLIKTLHPDWSPSAIKSAIMTSATELDAERNPILNSSHAPATPFSYGAGHVFPSRALDPGLVYDMTIVDYLDFLCALGYNATAMELFNKGSFVCPSTPMSLHDLNYPSITAHGLPAGTTTMIRRRLKNVGLPGTYTAAVVKEPEGVHVSVTPAMLVFRQPGEEKEFDVSFTVRDPAPPAGYAFGAIVWSDGSHQ >Sspon.02G0044560-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2B:102614457:102617295:-1 gene:Sspon.02G0044560-1B transcript:Sspon.02G0044560-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKLTLSGCKNLKELPPLWQLTALKRWEAVQGEEVTFPRLEKLVTQLCPELTSLPEAPNLSELEIQHRSQQMLVQVANCIVTASSLSKLELWINDDREATWLDDNSLIQLVDGEEKQNQNKSPSPLTVMVLHGCNVFFSHSSALALWACLVQLEDLKIWLCDALVHWPEEVFQSLCLGLHETASNGLCKRVTLFGWEPTREANLRPPSVLLRLHAGGAHHHEGGDSISRVGAAQPPPQGHAASRAPAPLCHHRRAHRRGEERVAGSRARRLSPPVALARRRKGPRHPFRSTSKGACCRRGAASRTAAGSRRGEGPRRIGREGRGRKGGQGRCQRRPDLRGARGKGERGKGEGPMHLGLLESGGFGCVTQRNWVTQIYKWVLDLGGDCWSQSNRTQTRFF >Sspon.05G0029630-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:71308968:71311565:-1 gene:Sspon.05G0029630-2C transcript:Sspon.05G0029630-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALLRSVATKVARAPPRLPSALERHGRLLASSRFSTSTGSTPPPANLRGPQTHDKKDPTGSGWYRAIVAYNEAASIAIFYLIGGIAFMHFSVSPSLDRIEADLDARCQAWGTMGEEIKKSHERTRAILGSNKESQIEATDVSEGKRITGIGY >Sspon.06G0028160-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:2739303:2741048:1 gene:Sspon.06G0028160-1C transcript:Sspon.06G0028160-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FH [Source:Projected from Arabidopsis thaliana (AT4G03240) UniProtKB/TrEMBL;Acc:A0A178V3B4] MASRKLLMGLTAKRRLQSRAQQLFWATGLPEATTSRSLVVAAATVWLSSRSPAPILFSSRTISSTQSVTQSAGDVSGSGSSAVDHKLAMQEDEFHKLADETIHDLLEKLEEYGDSIQMDGFDIEYGISCNTRWRIMQSLLVLTLRLGDLGTYVINKQTPNKQIWLSSPVSGPSRFDWDATTNGWIYKRTGVNLVQLLEKEIGGLCGTPVELS >Sspon.02G0044510-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2B:101865012:101865419:1 gene:Sspon.02G0044510-1B transcript:Sspon.02G0044510-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSRVLVVGGTGYIGRRIVRASLAQGHPTLVLMRPEIGLDIDKLQMLLSFKAQGARLVEASLEDHAALVAAVAQADVVISAMSGVHFRSHNLSLQHKLVEAIKEAGNIKVRQRHFTNCSSILATTYWRFRKLLF >Sspon.01G0038470-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:30272506:30274187:-1 gene:Sspon.01G0038470-1B transcript:Sspon.01G0038470-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MITTAYVMSGAINEWSLIASHLPGRTDNEIKNYWNSHLSRQIHTYRRKYTAGPDTTVTIDISKLQSADKRRGGRTPGRSPKSATNTTTSSTKTNRSKQPDPEPEPESGDAKGASSSPGTAATSAASSPRHSDGARSAVVDPDPNNQPNSSSGSTAEGPCSEDATGPWELDPIELGDLWEAESEIDALWSSIGAPLEGFDAVGGEAQ >Sspon.08G0006360-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8A:19889167:19889515:-1 gene:Sspon.08G0006360-1A transcript:Sspon.08G0006360-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding YVERTDGLHGSGGPSVGRVCLPCPRVEIEQDGRKSKCGRRVTGLSSGAQHLSSRRDGRTGGRVTLKAERLKRTRPIGEAGQATTSPELLAGQLWGCLAVVGYYEVVVPGAMTTDP >Sspon.05G0028010-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:53719547:53721311:1 gene:Sspon.05G0028010-2C transcript:Sspon.05G0028010-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Flavone synthase II (FNSII), Biosynthesis of tricin O-linked conjugate [Source: Projected from Oryza sativa (Os04g0101400)] MAMEEQPLSSSPSMVILSLLKNNPEAVLALVAVVTVVALRHLISSWRQQARLPPGPTSLPVIGHLHLLRPPVHRTFQELASRIGPLMHIRLGSTHCVVASTPEVASELIRGHEGSISERPLTAVARQFAYDSAGFAFAPYNTHWRFMKRLCMSELLGPRTVEQLRPIRRAGTVSLLGDLLASSARGETVDLTRHLIRLSNTSIIRMVASTVPGSVTDEAQELVKAVAELVGAFNADDYIAVIRGWDLQGLRRKAADVHRRFDALLEDILKHKEEARAARRLNDDDGHGSSKLDKKQATHSKDLLDILMDKAEDPAAEVKLTRENIKAFIIDVVTAGSDTSAAMVEWMLAELMNHPETLRKVVEEIDAVIGGDRIASEADLPQLPYLMAAYKETLRLHPAAPIAHRQSTDEMVVRGFTVPPQTAVFINVWAIGRDPAYWEEPLAFRPERFMPGGAAESLEPRGQHFQYMPFGSGRRGCPGMGLALQSVPAVLAALVQCFDWATVDGDGGVINNSKIDMSESDGLVCARKKPLLLRPTPRLTPFPAVV >Sspon.04G0029120-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:70361041:70363058:1 gene:Sspon.04G0029120-2P transcript:Sspon.04G0029120-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALQAQAQAQQQATSSPSPSPSPTRGRTGSGEWPDDAEKLPTATASPARSSDAVELVVVASTHHAAAAKYVPPRATSHTADPNPSRGGGGSAGWYSWSGGRPHAPPRRARPDPAPPLSQQPVEAPPPAPAPAPAPPPVSTPAPAPAQVPPTAPAPAPRAPSPHVQFSSADQVVPGILSRKRRAAAMQRTALLARGAAAGLCLAALAVLAADTRKGWARDSYSNYTQFRYSEAVNVIGFIYSVFQFVALAELMRTKKHLIPHPKRDLFDFTMDQLVPELTSVKG >Sspon.02G0038180-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:42913651:42915715:-1 gene:Sspon.02G0038180-2C transcript:Sspon.02G0038180-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPVKGWQVSKNRRRSSLFWSGLETHRREQRAGASATEEEAQVQPWWLRINHGRILEPSPESVVVDCAGHSIGSSIAGSSETGSMEEDGGSGSGSREPFVAGSGAGTGAGNSTGSNRGVGAGTSAGGGAKLPQVLQKSFGEVQGILEHNRVLIQEISQNQETRDADGLTRNVALIRELNTNIARVVDLYGDMSGSFARAVAAKKAAGGDKGGPKRPRSAGAGGQQQ >Sspon.08G0007330-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:24012678:24019348:-1 gene:Sspon.08G0007330-2C transcript:Sspon.08G0007330-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVSDPPKQYGITKPLSLLGPVEADLQRTADLEKFLVEAGLYESPDESARREEVLGKLDQIVKDWVKQLTSQRGYTDQMVEEANAVLFTFGSYRLGVHGPGADIDTLCVGPSYVNREEDFFIVLHGILAQTEDVTELQPVPDAHVPVMKFKFHGISIDLLYASVSLLVVPADLDISQGSVLYDVDEATVRSLNGCRVADQIIRLVPNIEVDLRNQICMFRFLNCLLPLQYLNNFRTTLRCLKYWAKRRGVYSNVTGFLGGVNWALLVARVCQLYPNAVPSMLVSRFFRVFTQWQWPNPVMLCSIEEDEVGFPVWDPRKNPRDRCHHMPIITPAYPCMNSSYNVSTSTLREIEMNKASWSALFEPFQFFEAYKNYLQVDIIAEDDEDLRLWKGWVESRLRQLTLKIERDTYGMLQCHPYPHEYADPYRQCAHCAFFMGLSRKEGVKIQEGQQFDIRGTVDEFRHDINLYMFWKPGMELAVSHVRRKQIPAYVFPEGYKRPRLSRHVNHQSDKNDTEDGTANRSPDSQLKRKHDSGGTDDIEPSRSAKRSSVSPVHPKNSPQSGSTGDETRCNNQIKRAPSDASGGSPASPQASERSPDTIVSAPRCTTTGAVCSGDAVSKHVPLVENCTTPTVAVCTTLKRVAEKVVSELVGSERLGSNNSSELLESMEKDVLAENVCFGGNGVTQGGLPEELEG >Sspon.04G0021920-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:5675433:5675963:-1 gene:Sspon.04G0021920-3D transcript:Sspon.04G0021920-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDGDWITARYLLSSILGRNPLVVDYVDEESFPVVDPSSPSSPSSSGAGSRRAEAPPPVRPPAGVAGTVCAVCTEEIAAADAVVRLPCAHWYHHGCIAPWLGIRATCPMCRAELPPSEPDDDEEAGGEGAGRAKPARTRGAAAGTSAGASATATARARREAPHEYLAVAGGVLSG >Sspon.02G0022550-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:76256483:76269430:1 gene:Sspon.02G0022550-1A transcript:Sspon.02G0022550-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGGLRGLASLLGLGWRLNRQRQPPSGDADDRRPVGAPFALRSGSPFGVLVFKEAINLDDYPTLIGEARMILKKCNGLPLAIVTIGGFLANQPKTPIVWRKMNEHISTELEMNPELGIIRAILMKSYDGLPYHLKSCFLYMSIFPEDYSISRRRLVHRWKAEGYSSEVRGKSVGEIADGYFMELIERSMVLPSKESIIGSRKGISSCKLHDLMREISISKAMEENLVFRMEEGCSLNTQGTIRHLAISSNWEGDQNEFECIVDLSHIRSLTVFGKWKPFYISDKMKLLRVLDLESTSGLVDHHLEPIGKLLHLKYLSLRNCGGIFHLPESLGNLKQLETLDVTDTRIIRLPHAITKLRKLQYLRAGNSLKLYGTLGKLPAWVTGLQNLVKLKLERTRLTELDGSIQVLGKLPNLAILRLLGLSFKAEEEEPRHLSCRREAFPSLTALETGWRTGIDSVLFEEGTAPKLELLLDRGRISFSGLSCLPSLKEAMIHKSLADDVQPQLSRNPNKPVLKFFFVRNWLLRAENGPKKRRSTETGGARVCSTIAILSCLESLSVHSGEDGLSTPPKKLQSLKLYGHGTVGKLPEWLTGLQNLEKLKLKRTRLTEVDGTKLPNLSWQKQCGLSLPAIRTNPF >Sspon.02G0021940-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2A:73266159:73266590:-1 gene:Sspon.02G0021940-1A transcript:Sspon.02G0021940-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGGCYVGTATKIFLALLAALAVVGVVLAFRAVLHRAAPKSSSASASSACAAAAACQPVLPDTDPAVQQPAARPPPTPAQNPTFPSPDAATWPPPPPSQPTPVPETPLQSPPPVPVLPPPQQQLPPPAPIVPPPPAFPSPPPPA >Sspon.01G0010410-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:7764524:7766410:-1 gene:Sspon.01G0010410-2P transcript:Sspon.01G0010410-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRLLPSLNRVLVEKLVQPKKTAGGILLPETSKQLNAAKVVAVGPGERDKAGNLIPVALKEGDTVLLPEYGGTEVKLAADKEYLLFREHDILGTLVD >Sspon.07G0004230-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:9535305:9536237:1 gene:Sspon.07G0004230-2B transcript:Sspon.07G0004230-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRWGIRRQNAAPSARCEEDDGGERGREGKGRGAFSFSPLSWLAKLAAKDKPGAATKRAPAPKNTAAAAPAFPSSCLPNRTSPSPAAVTVTPGSSSPPRRSTADAPVPRRRSVGNDNADSVAAARRQSCRHGRRHCSVGGDRELPPLGRLIPFSLLTGGSPARAAAAPSDTDAAGARRRRRRRSSRRLSVSGGRRPSVSGRMPPRVRVRSPRRAAATVEGLAVVRRTRDPQRAFRESMVEMIASAGGAGPAAAPPRPEELERLLACYLSLNADEHHDCIVKVFRQVWFEYVNLLPRPESGGRRRPPTARRC >Sspon.03G0009660-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:26033473:26036330:-1 gene:Sspon.03G0009660-1A transcript:Sspon.03G0009660-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQMDTRGQDENKILTARGCHSSPESQELAMKSCVPCNSKDLHPMSEDSAKKLLEQVNGWELITEGGILKLHRAWKVKNFVKGLEFFQLVAAIAEEQGHHPDLHLVGWNNVKIDVWTHSVRGLTSNDFILAAKINDLTLEGIIRKRAT >Sspon.04G0023290-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:11788738:11792533:1 gene:Sspon.04G0023290-1B transcript:Sspon.04G0023290-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKQEETRLSELEAEKVQYAIHERLRDMERMQKKAEDYRNSLQQEAQAKAQALRYEDELARKRMQTEHAAQRRQDAELVKMQEASALRREEARRATEQKILEEMIRTEKEKAEIDQEINRVEALAEAQARVHEEKQSEEVTKRMMLERMKGEKEKWLSAINTTFSHIEGGFKVLLTDRSKLIMGIGGVTALAAGVYTTREGARVTWSYINRILGQPSLIRESSMPKLLKPSSASLSGGAGFENVILHPSLKRRIEHLARATANTKSHGAPFRNMLFYGPPGTGKTLVAREMARKSGLDYAMMTGGDVAPLGSEAVTKIHQIFDWAKKSKKGMLVFIDEADAFLCERNSTHMSEAQRSALNALLFRTGDQSRDIVLVLATNRPGDLDAAITDRIDEVIEFPLPGEEERFQLLKLYLNQYILKEEGKGSSWGALFKKQQRKIQVNGISDDLLREAARKIDGFSGREIAKLVASVQAAVYGRPDCILDPQLFSEVVDYKVTEHHQRIKLASEGMA >Sspon.05G0004390-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:27388162:27389394:1 gene:Sspon.05G0004390-1P transcript:Sspon.05G0004390-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMEEPPQLFLCPISMELMEDPVTVSTGVTYDRRSIERWFFKYGKTTCPATMQRLNSFDLTPNHTLKRVISTWLDRASSSSSSSSPSTPLCNKLAREKLPSVLAGIEATPFKVTALKNLRRCMDEDVAAQQDFVAYGGIQVLGRVMTQALAESCAGGDFSAFRTCEEAGAVLATLPLSDDASVELVLKPECMKPVVAVVQRGSAESRLHAMAILAKISSASGAERDWTPGVDVDDLVKSLLELLSDGAPAKLSSRALDVLLDVTARSRGARRAKAVEVGAVCVLVELLPDADRRVAERALLLLKRLCKCPEGRLAFAEHALAVLAVARTMLRVSVLASRLAVSVMWLVACAVTPAERVLDDMLMSGGVAKLLALLQVENSASTKEKAAKLLRVHCTFWRQYPCFPTDLRD >Sspon.02G0045890-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:86018721:86026118:1 gene:Sspon.02G0045890-2D transcript:Sspon.02G0045890-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGAEPEPDDAVLFVGVSLVLGIASRHLLRGTRVPYTVALLVLGVALGSLEYGTQHGLGKLGAGIRIWANINPDLLLAVFLPALLFESSFSMEVHQIKRCMAQMVLLAGPGVVISTVLLGAAVKLTFPYNWSWKTSLLLGGLLSATDPVAVVALLKELGASKKLSTIIEGESLMNDGTAIVVYQLFYRMVLGRTFDAGSIIKFLSEVSLGAVALGLAFGIVSILWLGFIFNDTIIEIALTLAVSYIAFFTAQDSLEVSGVLTVMTLGMFYAAFAKTAFKGESQQSLHHFWEMVAYIANTLIFILSGVVIADGVLQNNAHFEKHGSSWGFLLLLYVFVQISRLIVVGVLYPLLRHFGYGLDWKEAMILVWSGLRGAVALSLSLSVKRTSDAVQPYLKPEVGTMFVFFTGGIVFLTLIFNGSTTQFLLHMLGMDKLSATK >Sspon.02G0051750-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:82605318:82607475:1 gene:Sspon.02G0051750-1C transcript:Sspon.02G0051750-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAISRPLVSVKALEGDMVTDAPGIALPPVFGAPIRPDVVRFAHKLLSCNKRQPYAVSRRAGHQTSAESWGTGRAVSRIPRVPGGGTHRAGQGAFGNMCRGGRMFAPTKIWRKWHHRVNINLRRVAVASALAATAVPALVQARGHRIETVPEMPLVISDSAESIEKTSQAIKILKQIGAYADAEKAKDSMRNRRYVNRKGPLIVYGTEGSKVVKAFRNLPGVDVANVERLNLLDLAPGGHLGRFVIWTESAFKKLEEVYGSFDAPSQKKKGFVLPRPKMTNADLGRIINSDEVQSVVKPLNKEVKRREKRKNPLKNMAAVLKLNPYLGTARKMATLAEAARVKARRDKLDSKRTKLSPEAAKVKAAGKAWYKTMISDSDYNEFENFTKWLGVTQ >Sspon.07G0018000-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:69769305:69776826:-1 gene:Sspon.07G0018000-2B transcript:Sspon.07G0018000-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ATWKTSRLHLAMSAPNSDSNMQQLVPIAPPGKASSGDSGKELVVADPAGKSSGGVKLREDEEDLEVKLRRIMENVPVRVSNTSGSSAGSGSGDFHQYRQMRRREQDRIARMDADYQKRKEIAEFQLRREERLKAAEERTAKKRLKRQKKKQRKKEKRAKTGNSGGEEPNRVESSDDDEGSDDDDKNQQNMKTLKKTNPATTPETETGVVDTKAEGSTWTAKEGETT >Sspon.04G0020560-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4C:78589208:78589744:1 gene:Sspon.04G0020560-2C transcript:Sspon.04G0020560-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPTNSIQFPCGLRAAPSPALLAAVLLNLEWNVIPYPPLLLLLLSPPPPTTASSSNATLPCSLLLLSALIWLVVALANQITSSDEAGPRTPLHSTPLHYGPDVTRVVFTSPSHSPLPCALPACLPVVVDVDDDQIQGYSFRPPISLPPAAHHPNSAALLVLDGWNSFLFQIPPPPPI >Sspon.07G0009130-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7B:23822256:23829865:1 gene:Sspon.07G0009130-2B transcript:Sspon.07G0009130-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPRKTKAAPEPAAPAFSIGNCKVEIRGSGLRCETTEQGLTISGPRGAKAVVSEGCQFILLNPSDADSQTKSLLQEVLMLYKQELPTMDYAADTGRKKYKTLILMSSSAAQHEERRGYCSHRLTQQPPRHGAQPPAARSSASSPSLIPTSLAIYRVIATVSYQIVPADTQYAEIPLAVVRSSYQRVGFVSVGEVDTKGKVRKIPVRADIKRALCFPGGSTLMVAHLKKQLPIMQTWEKPQTSLHTVVPDSMSPGDTNVLSSENMVLQTYKRRVRKTVKVITNEVCNAYGESSLSEQEPKKREAQYVLEDEEYRMQYKSELRDAVMRAKERPNSLFAGYTFCLSKHIQPSFDVLSAIIKSTGGKKMILGHKGQTQCQRLPHEWGLGKGITEAKFYPRRNSAERRLRTQDLIIKKLSELDEPSQTIFLVCEEEAELALVAAKSGIKTFSSDWFMSCVMKQELDLEAPQFIVSL >Sspon.06G0016390-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:73333164:73333880:1 gene:Sspon.06G0016390-2B transcript:Sspon.06G0016390-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPVTDTAGESSSPLLYSTPTTCPVVSASGSGELVSRKRHAGNPLALLAGEPLDEKRQRTMTSIDDAAAAAASPWAFILPDNLGVVLRFLPCLADRAAVRSVCRHWRAAARGHSLPPPLPLLMLPRFRFFSFSTQGAVVAMRRGWMPEEVATGHVGCVGSSEGWLLVARPCEDGTGSERFLMNAFSHEIVRLPMRQGGAAAPPTAGGAPLVPHVFLPSGEAPSHGAAASGVCHDENGS >Sspon.07G0024330-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:23515974:23519340:1 gene:Sspon.07G0024330-1P transcript:Sspon.07G0024330-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPGHCSFTLSELLSFLRLKIAGEPLPATAAAHFHDADIYTADPALLATLFDPAPEKKGESGSWFFFTHVRPKSSTDSRKSRQVAGGVGTWHSERAPRRVLDDEGNCAGHSQYFSYKLKIGKNCSERTEWYMLEFSDGQEADHERVHGGEPQLVLCNIYKAHTHSRSSNGSTSTPSYSASARKRKAVGEASAPHVKAKRQLFDSSAPASAARSQEQVRSTTPSNLRKIGDTSDCIALMTKAGGEATTSQLKIGDTSVFSRFWPEPEKSASDCIALMSKADGEATTSQLKIGDTSDFSRFWPEPEKSFDLGYTTTCGALPTLENCSRTNVRDVAMCSAGRMLGPLLSIAAMTPRRETNPLSWAMRMRAALYVAQTLECCSSKGRALNHDLHAYRVVFDVDGNPRLSCFGLMKNSRDGKSYSTNLAFMPPEYLKTGTRPYKRKPEPPKPRARLYEGKTTLPLSVATAGTPDTRIYLSYGIITEILHDFKIKFTQMALRLEL >Sspon.01G0013300-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:36426364:36438924:-1 gene:Sspon.01G0013300-1A transcript:Sspon.01G0013300-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SVEPVLLEMAGQSTELKLLGSFASPFVLRVKLELSFKGLSYNYLEEKDLYNSKSELLLKSNPVHKKVPVLIHNGKPVCESMIIIQYLEEAFADAGPSLLPSDPHERAVARFWASYVDEKVFSSWMMVFRGKTDEEKAEGMKQSFVVAATLEGALSECSKGKPFFGGDTVGYVDVALGGFVAWIHAIDKLYGLKLFDAGKTPLLAAWLERFCELDAAKAVMPDVEKLVELAKMRQAQAAAAAAAAVLKLKPLYAKQKMAGEEELKLLGMWASPFVLRAKLALSFKGLKYEYVEEEIFGNKSELLLKSNPVHKKVPVLIHNGKPICESQIIVQYIDEIYGGAGPSLLPVDPYDRAVARFWAAYIDDKVLFSSFMVMIMGKTDEEKAEGQKQLFVAAETLEGALKESSKGKPFFGGDSVGYVDIALGGFVAWVQTRDLLSGLKHFNADKTPLLAAWLERFGALNETQAVMPDVMKLVELSKKRQAQAAAAAAAVVQGAPKLTAWLERFGALNETQAVMPDVMKLVELSKKRQAQAAAAAAAVVQVNSNDLHHTAYTYTLTNKCVESSTHTVTRLLQPIGNFSIDGLRYQQMAGGDELKLLGFWGSPFVLRARLALSFKGLSYEYVEEDIKNKSELLLQSNPVHKKVPVLIHNGKPVCETQIIMQYLDEVYGGNGGPSLLPVDPYDRAMARFWAAYIDDKLLGSLMMMSMGKTEEEKAEGRKQSSGAVETLERALKECSKGKPFFGAPAMAGGDDLKLLGLWSSPFVLRVQLALSLKGLSYEYIEEEALVNKGELLLKYNPVHKKVPVLIHNGKPVCESRVILQYIDEAFAGTGPSLLPADPHERAVARFWAAYIDDTMLPVWNKSSMGKTEEEMAEGQKQSLAMAEVLEGALRDCGKGRPFFGGDSAGYVDVVLGGLLG >Sspon.08G0008450-1P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8A:22433858:22434703:-1 gene:Sspon.08G0008450-1P transcript:Sspon.08G0008450-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPHEQSSSMETWIPQQQPAVLQGTEEEPSSYESDATAGHEPETLTAADYLHELDQLRAKSSDVDKMSLQDGDASCLATCSTGDIEDDPFRTSYSYNVEGIGEDSGAFTSCVGTRWFRAPELLYGSTNYGQEIDLWSLGCILAELLKLEPLFSGISDIDQISRIINVLGDVTEETFPGCSNLPDYNKIFFNKVGKPTGLEACLPNRSPTEVSIIKQLICYDTTKRVSAADLLNHRYFTEEPLPAPIGGLQVPASKDEDDDSSMEEWGNYRDGVSDSDFDEFG >Sspon.06G0005680-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6B:16971183:16983472:-1 gene:Sspon.06G0005680-2B transcript:Sspon.06G0005680-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRRACPCLPDCCAKPAAETTSVPPLAMPHIRDVSGTRGFSPFASYTWSQRAPSCAEATAGQSPRRPALTESLPSAHVARTDARDRPASSAPPTPSRARAVRSPPEATAPPAPAPAPAAKRKGKADASSSSSSPPPRSRRALAVAMAASLLVLAVAFLVGAGPGGIDGGAAAPVEVEGSEVTYGSVIKLMHEKTKHRLHSHDVPYGSGSGQQSVTGFPEGDDSNSYWIIRPTPDSSSKQGDAIETGGIIKLQHMRTRRWLHSHLHASPLSDGHGGGDNVECLDVGHADAAPKSAELHLHHDRALPLAAAAGRAVWHQHRPYEAKPSMVDPRRPAYDARFHRREWDTAAQGVGVDKLLESAPSANPGSPKEDRHTRPRMAARVKSKFTFTTPSSAVSCFGGDELSDTGDYWRLEIEGSGKVWKRDQKVRLRHVDTGGYLHSHNKKYTRLGGGQQEVCGVREKRAENIWLAAEGVYLPRPRDASDTLFTTRDGDHLPDVTQKDSPGKSFEFLPHPATLWYWNLNRSDRRSMTPGSL >Sspon.01G0031550-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:115282317:115285480:-1 gene:Sspon.01G0031550-2B transcript:Sspon.01G0031550-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AT3g09210/F3L24_8 [Source:Projected from Arabidopsis thaliana (AT3G09210) UniProtKB/TrEMBL;Acc:Q94AA3] MSSLAYPLLRLPCRCSLAAAPASRLPAPVCLSASASEDAGGELTAREKRAQRRERRELRATDWKEEVQDRLIHEPARRRKKPPKRSWREDLNLDLLAELGPQWWLVRVSMAPGTDYVDLLTKAVSRRYPEVTFKIYNPSIQVKRRLKSGAISIKSKPLHPGLVFLYCTLNKELHDFIRDTEGCYGFIGATVGSIKRQIKKPKPIPIDEVESIIREETEEQERVDREFEEMENMGNVGPFSKPVEESELMLMNKIKKQFKKSSSKDGTRHSAFLPGATVHVLSGPFADFTGSILEVNRKNKKATVQLILFGKESFVDLDFDQIEAIEVDDNDSKQ >Sspon.05G0002730-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:6291534:6293877:-1 gene:Sspon.05G0002730-2B transcript:Sspon.05G0002730-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRMAAVLANKRSHGFRAFATLLAVLAFVGLASVPRGLATDPTQLQDFCVADNNSPVLVNGVVCKNPNVVKANDFFFHIVPAAPNGQGSGVAPVAVTEIPGLNTLGISLARIDFVPGGQNPPHTHPRGSEILTVIQGTLLVGFVTSNQLLNNTLFTIQLVVGDVFVFPQGLIHFQLNNGKTPAVAIAALSSQNPGTITIANAVFGAKPPILDDILARAFMLEKATVDWVQQAFGAPPVAGGGGGLPGGGGLPGGGGYPGYPGRT >Sspon.04G0005530-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4A:15874968:15877549:-1 gene:Sspon.04G0005530-1A transcript:Sspon.04G0005530-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At2g15820, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G15820) UniProtKB/Swiss-Prot;Acc:Q9XIL5] MATAPPCTCACGAPSPSLRCPLALPLPFASPHPAVRHATPPLVAQRRLAVSRLRAASALEALVLESDDEDEDEDEETEGAGAGLFQGEEWTATADERDAVRSPELEVFELEELPEQWRRSRIAWLCKELPAYKHSTFTRILNAQRKWITQDDVTYVAVHCLRIRHNDAAFRVYSWMVRQHWYRFNFALATRVADCLAREGKVEKCREVFDAMIKQGRVPAESTFHILVVAYLSVARGRCLEEACTIYNQMIQMGGYKPRLSLHNSLFRALVSKTGGTAKHNLRQAEFVYHNIVTSNLAVHKDIYAGLIWLHSYQDVIDRDRIKALRDEMKRAGFEESTDVLVSLMRAFSKEGDIEETEATWHWLLRSGCELPAQAYICRMELYARTGEPMKSLEMFKEMKNQNIPPNVASYHKIIEIMAKAREIEIAEKLLDEFVESDMKHLMPAFLDLMYLYLDLDMHEKLEQTFTKCLGQCRPNRILYTIYLESLVRIGNVSKAEEIFGEMHKNGMIGTNAKSCNIMLRGYLSAGDYQKAESIYELMCKKKYDIPVDSLEKLQSGLLSSKKVVKPPKPVSMKLDEEQREILIGLLLGGTQIESHAQKGVYTVNFKFQEDSNAHSVLRVHIHERFFEWLPSACRSLNRESEIPYRFSTIPHAHFGFFADQFFQKGQPVLPKLVHRWLSPRVLAYWFMFGGFRLQSGDIVLKVSGGNTDGVERIVNSLQTQSLTCKVKRKGRFFWIGFQGSNADSFWKIVEPYVLDSFASSTEERHNVGSDGLQDIDTYYEDDMQRHDRESEE >Sspon.07G0003410-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:8214705:8217317:-1 gene:Sspon.07G0003410-1A transcript:Sspon.07G0003410-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AMGCVSSKRFSRSAPAHEDAAVLASQTSVTVNEVEALYELYKKLSFSIVKDGLIHQEEFQLALFRNSKRANLFADQVFDLFDLKRNGVIDFEEFVRSLSVFHPKTHISEKTAVAFKLYDLRGTGYIEKEQLRDMVLALLDESDLCLSDSTVETIVDQTFSQADSNGDGRIDPEEWEEFVKKNPATLRNMSLPYFRDITMSFPSFIMHSEVCD >Sspon.01G0061540-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:94691001:94701456:1 gene:Sspon.01G0061540-1D transcript:Sspon.01G0061540-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIGCACSAARHRGPGHTRHDSAPGRKRRWEPTGSGHRGTGGRRPWPVTQPIQRSDGRKARFFPVPPPLSWDVAFVSPTGRKKRGKAPPSAPPSQVRSQRGRTTVDSHAELAKPPTACASTPSFLFFPDDDEGTDKMYYELPVGNRRPMCPGKGLPYCSSKKGEANQLRGSDRHPTPLLCTSLYIPCPLRFAWFLHQASGLGPPPRLPFPPVRVRIRAASQPPAHRSIDILLLTCSQFRSIDRAPLVLCAVSSCRWVVANDDEELIDPSPPADRPPDARLDSAIELICLLLYGVVIRTFIDRRLMIMQGTPVNIIVGSHVWLEDPGEAWVDGVVTEIKGGGDATIATTNGKTVVASLGSIYPKDTEAPPSGVDDMTKLAYLHEPGVLHNLSCRYGLNEIYTYTGNILIAVNPFQRLPHLYDVHMMEQYKGASFGELSPHLFAIADACYRALINDQGSQAILVSGESGAGKTETTKMLMRYLAFMGGRSGTEGRTVEQQVLEFVEIQFDKYGKISGAAVRTYLLERSRVCQVSDPERNYHCFYMLCSAPPEDVKRFKVGDPRSFHYLNQTNCYEVANVDDAREYIETRNAMDIVGIDQEEQDAIFRVVAAILHLGNINFSKGQEIDSSKLRDDKSVYHLKTVAELLMCDEKALEASLCQRVIVTPDGNITKPLDPDSAALSRDALAKTVYSRLFDWIVDKINNSIGQDPDATNIIGVLDIYGFESFKINSFEQLCINLTNEKLQQHFNQHVFKMEQEEYTREEIDWSYVEFVDNQDVLDLIEKKPGGIIALLDEACMFPKSTHETFAQKMYQTYKAHKRFSKPKLARTAFTINHYAGDVTYQADQFLDKNKDYVVAEHQALLNSSRCPFVANLFPPLPEETSKQSKFSSIGTRFKQQLQSLMETLNTTEPHYIRCVKPNAVLKPGIFENYNVLNQLRCGGVLEAIRISCAGYPTKRTFDEFIDRFGMLAPELVDSDEKAACAAICDRMGLKGYQIGKTKVFLRAGQMAELDARRAEILANAVRLIQRRIKTHLMRKEFINLRKASVQSQKFWRARLAKKLFEYMRRDAASIRIQKHVRTHSARKAYLQEARETGALKEAKDKLEKRVEELTWRLDVEKRLRADLEEAKGHEIEKLQSALQKLQENLEEAHVAIVKEKEAAKLAIEQAPPKIVEVPVVDNAKLEELTTQNKELEKSSHIHIWIQDELSTFKQKAEDLENKLLELQKQSDELSQETQEQASKVTQLQELIERLEASLSNMESENQVLRQQSLVVTSADEDKSKQIERLESKIATLESEIELLRSNSTLAVQEVVTPEMNQTTVIEELDKGHQLEEVKTVNEQVVVPPVKNLSKQKSLTDRQQGAESSGELAYWLSTTSTLLYLLQNTLKASSSLSKGTNRSRTTTGSLFSRMVQSARTSSGLGIPSGYSGMVGRPDTASMVEAKYPALRFKQQLTAYVEKIYGIIRDNLKKEVSPFLTMCIQVPPVIIRKTFSQVCAHLNVQLFNSNGEFLKAGLQDLEQWCSRTTEEYVGTSWDELQHIRQAVGFLVLHQKSHKTLEEITNELCPVLSITQIYRIATMFWDDKYGAQGLSQEVSACLLTYMTKHIRNSKCIRLPECVKCIY >Sspon.02G0007480-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:21903639:21904206:1 gene:Sspon.02G0007480-1A transcript:Sspon.02G0007480-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPNSTPGSIRHLTGHITGSFSFPFHGTVILPTGQDNEMEPAAAAGESRDPPPPPEDLSTVEPSPSSSSSSSATAVVAPGTQALAPPGAREVTAAMEAVERDAAAIAESYASLFASLRVALSNITMHLTVSTRGTEGHLDVRGEHGVFGGRRRPLTGIR >Sspon.01G0004270-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:10857612:10863269:1 gene:Sspon.01G0004270-2C transcript:Sspon.01G0004270-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVLSALQFEKHAGCESNNQNGHILLPNGKSLYQLFHDLRHVPAEALAAKFWEFAGVPMTAPAAEASPASWEPNGVQIDGVTAEPPWTPAPAARGDVEMLTEDEQEKARLFLLDLNLSSRGSAAEEMAYSLGDCSNESASDNSISNFNWGASKRRSVRQFRPQGGTETSSTTFSGSPDKGNSGLSTGTSKKKKGIEATQNAGGPLSLSIMDGVKSGSRVPTAVTPNYSKHDSTDLGLISLSSPVTSAQGPPPNCSIDSKYKELKMRNLSPKAGERDTTLHPLIFKEGGLPDNTLLTYKLKNGEVRRQGYKKGTVIVCNCCNEEPLKNMLAWEKGDNRCGKEPSTTSGPIVPLKRTLQERVVQTESCYFCGYGHTEFGKIDPNTIVFCNQCERPCHVKCYNSRVVKKKVPLEILKEFVCFRFLCCQECQSLRARLEGVEKCEEIAFLRRIRSNICWRLSSRADASRDVKLYMSQAIHIFKDAFVESTDAHSDVFSDMVYGTHVVSAAILKVRVEQVAELVLIATHSECRKKGYFRLLLKSIEANLRACNVSLLTAPVDPEMAQIWSEKLGFTILSAEEKKSMLESHPLVMFKDLGVICHTCFQLGVIAAGVLTCMIE >Sspon.04G0002880-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:8901339:8904239:-1 gene:Sspon.04G0002880-1A transcript:Sspon.04G0002880-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAGGCTVQQALAPEAAAVVKQAVSLARRRGNAQVTPLHVASAMLHQQVATATGPPSAAGLLRAACLQSHSHPLQCKALELCFNHAVAEVRALACRGGDGVWLIGYGTYQSYMRCRAGQPSLETLWGLQTLAVPAGSLALSLNFVGDSATAMAINHQSISKMAKCDADTSGNGSAPRCLSLLDAGGSGHLTAVSSFFADDCSATKCDPAVKSSIPPWLQHCRDQEPSRCKKSSTCGGSPSHPHRTALNFSTVVSPSSSSVSSYEQHYHLHQPYQPWLVVADAHEAKHPCNKARCAAVQLHVVDDEDVKLLSAIKVKSHDSSASNGSVECRSRFKELSAENLKVLCSALEKEVPWQAEIVPEIASTVLRCRSGMVRRRDADASSSRQACAKEDTWMLFHGGDAEGKARVARELAHLVFGSRKSFVSISGTTASSPARSGDSSEKQRKRPRLTEVSNHGCHESLYEAVRDNPHRVILVEDVEQGGWRCQRDILEAIQSGLVRSHAGGDEAALGDAIVVLSCQSFDAWSTTSSPPTTKKAKAESEEEPKEEESTGDDHHRKEAITTAAASPSSSPCFDLNMDVENDDLESCFTDASLLKAVDRTFFFRRPGESSD >Sspon.04G0026550-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:44640762:44644461:-1 gene:Sspon.04G0026550-2P transcript:Sspon.04G0026550-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKRRAPSPSPPPPPPPQQQEESSSEESGSEELESPRPLAHRRPPQAAANNADSSEADSESDTDVQAFQMRQVPRSPTKHTHPAPQPESDAEEEEEEEEEEGESSEPEPENPVPVVQKKTAAAAAGMSKTEQERKRPASDPAPTGKAKKAKAGEEKAVAGPEATLPAKAKKGKVELDKPAPDATPAGKAKKGKAELEKPAPDATPAGKAKKSKVEKAAPEATPSGPVRWGKDDEMKILEALAAHVKSEGELPKTDLLLANVRDRLVRKNCTYSDIYEKVRRLKERYEKAVSMGTVPSTEDGLQMYNLSEAVWGEKAREAAAAVTSQKAGAVTKGKKGQANKEKMDGNAKGGAAKEAAPSTANQSGDSQKGSKKGQARLSEEAATTASPSKSKKQESHNEELKKDAGNLAKGKKGKTDKGKMDRDTDSLTPKEIINANENGGILIRSKEEEIHDDETEGDANVQGVHRGFDDLQKLYSNLAVYVEEIEAHHPCGETLKRAFGSIADEKAEGLESKIKKLRVAETKAEVRQGDIKKELCTLKWTEGVDAHEES >Sspon.02G0020330-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2B:66288938:66289309:-1 gene:Sspon.02G0020330-2B transcript:Sspon.02G0020330-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARHALALLLLLAVAASAGGSHLGLDLDMDLGFLSSGARGRRECRGGTVGECLAEESALDLGASASAESHRRALYGGGYISYRALRRGNVPCSRRGASYYNCRPGAQANPYHRGCSRITRCRG >Sspon.02G0025170-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:87267810:87269123:-1 gene:Sspon.02G0025170-1A transcript:Sspon.02G0025170-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDILLSSVLGELTSRSINFFTSKVSNRSLLDVEDRLHKVLLRAQVIIDEAMGRHITNQAMIIQLGMLRDAVHRGYFMLDTYIYQRNNEEEAKDQVMRQYSSSLSIVNSVKRLCLSSRGDRLALKDLKEMVDNLSSMIVDVNEVVLFLTSYPRLHCHPYSMHLQLANCMFDRQIEAQQVINFLLHQHPNSVEELDVMPIVGPGHVGKSTLVAHVCKDERVRAHFSGILYFDIQGFTDDDLAAFKDECELKHRNLVSESNLEGRLLIVIELIGDLNEDSWSRLYSTSKEYAPKGSKIIVTSRFENIVKYGTAQTLTMKYLSHEAFWYFFKTLTFGSMDPKMHPRLTHMAMEIARMVRRSYIGANILACLLRDNFNVHFWCKILAFLRRYHQNHFSRFDEHPCDRLNQNRPALIMRLTTSSQRLCLVIGINDFQKKRFRR >Sspon.05G0009580-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:30225945:30229492:1 gene:Sspon.05G0009580-4D transcript:Sspon.05G0009580-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Agmatine deiminase [Source:Projected from Arabidopsis thaliana (AT5G08170) UniProtKB/Swiss-Prot;Acc:Q8GWW7] MLKVLEGLPAKMGFRMPAEWEPHEQCWMGWPERPDNWRENAGPAQEVFARAAIAISKFEHVTLCASAKQYPKVHELMEHQTNISVVEMSMNDSWFRDMGPTFITRKGDSRNGEQTIAGIDWQFNAWGGIYDDWSLDSNVAKKIVEIERIPRFPQKMILEGGSIHVDGEGTCITTEECLLNPNRNPNMTKLEIENELKDFLGVSKVIWIPRGLYGDEDTNGHVDNLCCFIKPGVILLSWTDDEKDPQYERSVEALSVLSQSVDAKGRQLEVVKIHVPGPLYMTKEEAEGVVSTGHAVPREPGTRLAASYVNFYIANGGIIVPSFGDNKWDKEAHAVLQKAFPDHEVVMVEGAREIVLGGGNIHCITQQQPVRPS >Sspon.02G0039330-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:51315846:51317007:1 gene:Sspon.02G0039330-1B transcript:Sspon.02G0039330-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPYTHPYQHHTAAVAPTKHGHHGHGHGEEEDDQSMLLLSLCPPGHHHGVSAASSACSSPPICAATTTTTSMAAAASSYPWLTHQSHYNGGCGGGSSSSSHSSFLFHEHQQQEPDVSISLSIAPPCSNNAASCGAGGFAAPAAPSTVTTVTPGGSQVPSQYWIPSAAEILVGSTQFSCAVCNKTFNRFNNMQMHMWGHGSQYRKGSESLRGAITVGTAPPASLMRLPCYCCAEGCRNNIEHPRARPLKDFRTLQTHYRRKHGARPYACRRCGKRFAVRGDWRTHEKNCGKLWFCVCGSDFKHKRSLKDHVRSFGGGHAPHIVESVAIEDDEEEDDDHDDADLHTFDDSVNGGAGGEPSDM >Sspon.01G0032720-1P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1A:110227615:110228565:1 gene:Sspon.01G0032720-1P transcript:Sspon.01G0032720-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding QAPSPWGDLEEVLARAATKDRTVIMTQINAAWTRPGSLLDLFFESFRTGEGGVARLLDHLVIVTMDPAAYEGCKAVHRHCYFLRTSNGVDYRSEKMFMSKDYLEMMWGRNRFQQTVLQLGYNFLFTDVDVMWFRDPFRHISMAADIAISSDVFIGDPYSLRNFPNGGFLFVRSSAKTIDFYRAWQQGRWRFLGKHEQDVFNLIKHEMAPKLDLAIQFLDTAYISGFCQLSKDLNKICTLHANCCVGLGAKLHDLRGVLDVWRNYTAGTPDERRAGKFQWKLPGICIH >Sspon.01G0017700-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:69219034:69220242:-1 gene:Sspon.01G0017700-2B transcript:Sspon.01G0017700-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMGRSGNGGGKVVSFDDAISGRRRNGSSLSSYLDMGRDADGGRATPLPLPAHAMASRRRMYADGELDVFAAERYFRGAMDGDGDRNEGFSVTTPAVAAVQVQQPLVETAAARPAADPEAVVMAKPSSTRASTVSFTASSASSANSQTALFRGGLRRRRSSRDNKCCVQVGVLMRTCSGKRSVRVDGCAAAKEAPDAAAEPAAANGIDWYRELRMHKAALGLSVSGDGNSHGLVAAGLGLPPSLNLGTAKVAAIGREVTTGEEKEAAAELTFSSSTRRRSNFTLVAPVRASVPASGRVVGDPGGAGKVGRGGSAHHLHDNDDDDDDDTGSESSSDLFEIKSLMIGDCPYEPSEASIQWSVVTADASERGDRVPARWVSGGGGARGPPVAGRQLRGHRAAGILA >Sspon.08G0023520-2D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8D:58014511:58014933:1 gene:Sspon.08G0023520-2D transcript:Sspon.08G0023520-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKAGVCRLGKSIYSRPRFQQLPGSRLSLAHSVERQHSGLTIISFTVLAFHSGLAIYNSWGRDAATVSFVLAADAALLLLFLCLVWLERARAAGRTRRRLKAAVWALTTLLTVMFTSRVAPLMPPVVATTATTAAGFLHE >Sspon.07G0015160-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:60028955:60035597:1 gene:Sspon.07G0015160-3C transcript:Sspon.07G0015160-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-hexosaminidase [Source:Projected from Arabidopsis thaliana (AT3G55260) UniProtKB/TrEMBL;Acc:A0A178VFV1] MPLNLPAYLLSALLLLLAGAAASARRHASPAANATSSGEPVYLWPLPKSVSSGSRTLTVDPDLALDPQGPGGRSPAVAEAFQRYRGLVFAPWAHAARARGARYDVTRLTVVVNSANDTLALGVDESYAIYVGAAGGVNSIVGGAIIEANTIYGAIRGLETFSQLCVFNYDTKNVEVRNAPWHIQDEPRFAFRGLLLDTSRHYLPVDVIKQVIDSMSFAKLNVLHWHIIDEESFPLEVPTYPNLWIGSYSKWERYTVEDAHDIVNYAKKRGINVMAEIDVPGHAESWGNGYPKLWPSPNCTEPLDVSSNFTFEVISGILSDMRKIFPFGLFHLGGDEVYTGCWNTTPHVRQWLNERNMTTKDAYKYFVLKAQQLAIKLNWIPVNWEETFNSFAENLNPLTVVHNWLGPGVCPKVVARGFRCIMSNQGVWYLDHLDVPWEDVYSGEPLDGISDKDQQKLVLGGEVCMWGETADTSDVLQTIWPRAAAAAERLWSQLEAITAQDVETTVLSRLHYFRCLLNHRGIAAAPVTNYYARRPPTGPDSCFVQ >Sspon.01G0021230-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:82587677:82593062:-1 gene:Sspon.01G0021230-2B transcript:Sspon.01G0021230-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSASARVATATAHLVAAAALLFMLARGGESVSFWLPPPTAGGGGFLGGADRYLTREEHWMTQTLDHFNPTDHRQFKQRYYEFLDYYRAPNGPIFLYICGEASCNGIGNNYLAVMAKKFGAALVSPEHRYYGKSSPFNSLTTENLQFLSSKQALFDLAVFRQYYQETLNAKYNRSGADSSWFVFGGSYAGALSAWFRLKFPHLTCGSLASSGVVLAVYNFTDFDKQIGISAGPECKAALQEITRLVDGQLQSGRNSVKELFGAPKLENDGDFLYLLADAAAIAFQYGNPDMLCSPLAEAKKNGTDLVETFASYVKDYYIGKFGASVASYDQQYLKNTTPSESSYRLWWYQVCSEVAYFQVAPKNDSVRSQKIDTRYHLDLCRNVFGEGVFPDVFMTNLYYGGTGIAGSKIVFANGSQDPWQHASKQKSSDELPSYLIECKNCGHCSDLSGCPQAPSNIEGDSSKCSPPESLNKVRKEIVDHIDLWLSECQEQGHDKEPSLGSRWSIASI >Sspon.08G0006240-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:16588638:16591772:1 gene:Sspon.08G0006240-4D transcript:Sspon.08G0006240-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDASTEVTDAIGAMGIDNGAKGKLLPSDSVEGHAEEHDVLADGAHSGESEVINPLEEVEMEATSQSQDIKPRVPEGVQGHSPKVVTKSQRQSPRGGDKSQARKSSPSPSYPKAPIARVSDPDLVDSSSSNGDAGASKKKTEKSSFRPVAKESPSLEDSKEKKKTQKSSNQHSVKKDIEEESNESVKPQRVGSTPSYGFSFKCDERAEKRREFYSKLEEKIHAQELEKSNLQAKSKETEEAELKMLRKSLNFKATPMPSFYKEPPPPKVELKKIPTTRARSPKLGRSKNTSSGGTEGNSNPQARSARLSLDEWVSQNGVKKAPAVNAVKKPQRKSQPKLPSEQTTKVDIAASVPSAEELENKSSSTGIVREPIRAQITPDEPGFSA >Sspon.01G0024960-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:97404661:97407432:-1 gene:Sspon.01G0024960-2B transcript:Sspon.01G0024960-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAEFCAAAGMELGVGDVMGLQQGIAITAPSPRGSGDLGLLKRAALTQAAAGPYPSPFLDEQKMLRFSKAAHTLPSGLDFGGPSEQAFLLSRTKRPFTPSQWMELEHQALIYKYLNAKAPIPSSLLISISKSFRSSNRVSWRPLYQGYRNADSDPEPGRCRRTDGKKWRCSKEAMADHKYCERHINRNRHRSRKPVENQPKKTTKEVPAAASSLPCAGPQGSLKKAKVNDSKPGTVSCWTDSLNRTMLSREKANKPTEDNSLLLNSTNSQPTLSLLSQLKQQNKPDKLGPTLENESNSDTILKAWGGNQPSHKSISSTQHHDAESLQSVLQNFSLAQNEKMESEKNKYSDSMLVSSTFYSADGPRSTCLTPNMTQVQQDCISSSWEMPQGGPLGEILTNSKNSEDLSKCESRSYG >Sspon.01G0030630-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:104941851:104953364:1 gene:Sspon.01G0030630-3D transcript:Sspon.01G0030630-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RLRSPSSPPLPAQTAAVSPAAGTCARRGAPPFLVAVGSRCPFVRIEPSPPLEVGPPPNDTELNLRRRGASYSILFYAAWCPFSSKFRPTFEALSTMYPQIHHFAVEESSATPRFVNVELPAILFVNETTMVRYRGSKDLNSLVDFYKETTGTIVAHHIDVVQQESTGSLSSVMLWDHSLREMAKDDLYLLVAVLFIILKVAANFIPVVMSHLRAFLVVRVQNLNLGIRRGSNHLLERALNVLDMRRLWSKLRLSNKATDLRKGASNARAWEP >Sspon.03G0029330-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:15131756:15143818:1 gene:Sspon.03G0029330-1B transcript:Sspon.03G0029330-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRRRWREAGDDTVGQKLDAGALFVLQSKGSWLHCGYHLTTSIVAPALLSLPFAFAALGWAAGIICLVIGAVVTFYSYNLISLVLEHHAAQGRRQLRFRDMATDILGQLTLPKAIYLLASPGGTIKLYVFVAIFGVFMMILAQLPSFHSLRHVNLVSLLLCLAYSFCAVAGSIYLGNSDKAPPKDYSISGDAQNRVFGVFNAIAIIATTYGNGIIPEIQATVAAPVTGKMFRGLCLCYAVVVTTFFSVAISGYWAVGNQAQGTLLSNFMVDGAAVIPEGLLLVTHLFTLLQLSAVGVVYLQPTNEVLEGLFSNAKLGQYAPRNVVPRFVSRTVAVALATTVAAMLPFFGDMNSLIGAFGFLPLDFAVPALFYNVTFKPSKKGVVFWLNTTIAVVFSGLAVIASVAAVRQIALDAKTYKLFANV >Sspon.08G0002870-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:6200723:6202799:1 gene:Sspon.08G0002870-4D transcript:Sspon.08G0002870-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPLYLRSSGSFKRLLLLLSIGHRSPTTKPVDADASTNDATKESPEEPGSSPGRSSRPAWRCFSYDEVHRATDGFHERNLVGRGGSSEVYRGELPDGRAVAVKRLLGASACERRERDFLAELGTVGHARHPNVCGLLGCCVDQDLYLVFDFSRRGSVAANLHDETLPAMGWAARHGIAVGTARGLEYLHKGCRRRIIHRDIKASNVLLTDDLQPQISDFGLAKWLPAEWTHRAIAPIEGTFGYGTPRHGSSARPLLSDGKTEALVDPRLGGDYDGEQARRVAFVASLCVRAPATWRPSMTERFRGGEFSGSLGPAFVAGAGHRKHMSPCVQLLADLQSYATVLELLEGGEIRQDRWAMPEAAASDEEQPWWLDDLDDEYEDEDFSTPSPSSCSSTTSN >Sspon.01G0005960-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:14965194:14965631:-1 gene:Sspon.01G0005960-2B transcript:Sspon.01G0005960-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATDELAPAPAPAAVAPAPAGADQSPAFSFSIWPPTQRTRDAVVRRLVDTLAGDTILCKRYGAVPAADADPAARAIEAEAFDAAAATGGAAATVEEGIEALQFYSKEVSRRLLEFVKSRSADAKADPPSEEAQAPAAPEAEAAEPA >Sspon.03G0036560-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3B:90693664:90694673:1 gene:Sspon.03G0036560-1B transcript:Sspon.03G0036560-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSALRDAAPAVMVPAALVPTATTAGSAADPGPTSNASASSSADATSLRHRTHHRLPRHHQSHRHLQSHPQCLHHHRSHRHRQVRLLRHHPSHRPLQRHRQGRLLRPTGTKPTADTTSTSKSTTTAQSSSTSSAGTSSKSSTSTKSSSCAGSCSKTSPTTGSYSTADTTATAQSSSTPSAGTSSKSSTSAKSSSRASSCSKTSPTTSSYSTTTTETTAATSSKPTSTSEPPAATKATSTTSSCTRSASKPSATANAAAYTTTSFKATTAAHAAPYATSCTYTAS >Sspon.07G0023610-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:18026991:18028574:1 gene:Sspon.07G0023610-1B transcript:Sspon.07G0023610-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MELCSLLLLLPFLLGFLYLAKVWAAGRNARRLPPSPPGLPLIGNLHQVGALPHRAMRALAATHGAPDLMRLRLGQVPAVVASSPAAAAALMREHDGAFGTRPYFRTAEILTYGFQDLVFAPHGEHWRHVRRLCSAHVLSAVRSHSFDGMREREVAALVRTIRERAASSSSSPAGVVDVSKALYGFANGVICRAVSGTGRLSREEEGRRSELFRALIEENTALLGGFCVGDYFPSLAWADALSGAGARAWRNFKRWDDVLEKVVQEHEARRRRGDGDCDGEEEEEDFVDVLLALQAEEKQDDDGFGLTRDAIKSLLADMFAAGTETSFITLEWAMSELVRNPAAMERLKSEIRAEAAGSGTVAAGRDALGGGATTPPYLRAVVKETLRLHPPVPLLLPRECMRDTTVLGFHVARGTRVFVNAWAVGRDPASWSAPDEFRPERFLGSGDNEAVDFRGAHFQFVPFGAGRRVCPGMQFGLATVELALASLVRLFDWALPGGAAPGDLDMSDAPGLATPRRVPLRLVAKPL >Sspon.01G0013500-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:3048697:3051397:1 gene:Sspon.01G0013500-2B transcript:Sspon.01G0013500-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKTKLPLPLVHSERLWARPWRWAKTAFFIVAMLASLLLVCAPPLLVVLLDLLLPPALLSNFLRAQAHAPPAASASAGVSFAAALAGQARAFRFGSSLVDLPAVSAARSLLILCAYTAGGGGGAAYRWVAVACSAASLGYVLAKAVAVFGVAGAGLQWQGKGQLVAVEAMFLMSLALAVAHLAMAYRASCRERRRLLVYRIDVEAVRLKGGQTPKALKQCLV >Sspon.02G0059350-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2D:92414982:92416828:-1 gene:Sspon.02G0059350-1D transcript:Sspon.02G0059350-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSTKQPVGQTTRVEEPTTSKDVGNVANTELPEQEPGQVPEQEVPEQVVPEQSLPGPLSEGQVPKTNQSVPEQTTATTSSTQGGLPDAAARGKSAMTGTHKTDTNMYMSTVDVETIMSATRRSPSMRRSREWSGRQNDSSEKYRNDMICDLQGLVKTAKYQKRCFDQIQGISKDNKELTAEVDRLRKRLETAKKNQTELESQRLNSMKMTEELKTKNQDIATLKTNAKKHILEIQKDRDLWKDRCVQMQFVKEAGEFTGTHVLSMVRAHYPLIDFTRFTKGYPKEVGVQEAGELRG >Sspon.01G0009180-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:27963989:27968279:1 gene:Sspon.01G0009180-2D transcript:Sspon.01G0009180-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATACPPLSLPSTSLFRGRSARAGPRPRQLSAIRCSAVGEAVVEEASPGTAEEPLLVSAIRGRKVERPPVWLMRQAGRYMKASPLPFYYDFLCSSAKHFVVSIPSYQSLCERYPSFRERSENVDLVVEISLQPWKVFKPDGIGLSTQSASLFRFNKMKPASSCNFILRLTLCTSMAASARKQSDGFGAMTTTTASFDDMALASLLLLHSAQLSEPLGVTQLFLSQVKNEAAVLGFVGAPFTLASYCVEGGSSKNFTMIKKMAFSEPAILHNLLQKFTTSMANYIKYQADHGAQAVQIFDSWATELSPADFEEFSLPYVKQIVDSVKETHPNLPLILYASGSGGLLERLPLTGVDVVSLDWTVDMAEGRKRLGSNIAVQGNVDPGVLFGSKEFISKRIYDTVQKAGNVGHVLNLGHGIKVGTPEENVAHFFEVAKGIRY >Sspon.08G0008750-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:37403701:37405990:1 gene:Sspon.08G0008750-1A transcript:Sspon.08G0008750-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSWTDMQNKLFEKALAKYDKDKLDYWQNVARAVGDGKTVDDVKRQFKELVRDVDHIESTGGQNSQYDGGRSSGSGIEQRSEVQDGATSSDKPIMQGGYFLHSPSTYLHYDMMAVMVALHQIIKLTPTQPKSET >Sspon.04G0002570-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4A:7793607:7795112:-1 gene:Sspon.04G0002570-1A transcript:Sspon.04G0002570-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQGSLALAQAVLEEKTKRKHMAVAAAPSLALPPPPRSTKESQRAPRHAPRDVVSWTSTIARAARQGDLHAAAASLCAMLSSPAAPAPNDVTLLTVLSACAGSPSSPLARPLALTLHARALKLFPSHLLLSTCLARFYLASRLPHLALQLFDSMPIRSVVTYNTMISGLIRNGLVDAAFEVFDGMPGPDKVSWTALIDGFVKNGRHDEAIDCFRAMLLDGVKPDYVTLIAVVSACAEVGSLGLGMWVHRLVLRQGLERNVRVANSLIDMYARCGQVNLAAQVFRSIRKRTVVSWNSMIVGFAANGRCTDAIELFEEMRRQGFKPDAVTLTGVLTACSHAGLTEHGLRYYDLMTTEHGVPVRMEHYGCVVDLLGRAGRLDEAMHVVETMPIRPNEVVLGALLAGCRMHGDLDMAEQLMQHLFELDPGGDANYVLLSNIYAAVGKWDGAGKVRSLMKTRGVKKRPGHSTVEINGEVHEFVSSNRSHPQAGEIDQMLGLLRHEMA >Sspon.04G0029560-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4C:75710481:75711170:1 gene:Sspon.04G0029560-2C transcript:Sspon.04G0029560-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVPAATASSADSATVSADAPAKVIDGKLVAKQVREEIAAEVTRMKDAIGIVPGLAVILVGSRKDSQTYVRNKKKACEAVGIKSYEVNLPEDSSEEEVIKHIASFNSDPSVHGILVQLPLPRVSVQLS >Sspon.01G0063190-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:111719414:111722441:-1 gene:Sspon.01G0063190-1D transcript:Sspon.01G0063190-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKWVVNLLSAVVILVLLLGLGYGVAWISTIPNPVQNLIPSLGLSSLWAEEAEALELRPRLMEVQPLLHLLQRAGADRKMGKVVEVGAHPAHRAAVAEVPAHPAHQAAADRRGWTALLLLPTTRKRSIEEKVTRKMMLLLGCGISSLSFSSPVIIYWSLGSSLLETITFHSLWDLIATAASCLAWLLFGITQLHIEAVVALCGPTFIVLYFIVFGPLKRESLAAIWISFGAALVQVFSQLLPFCSF >Sspon.08G0016300-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:64475205:64478300:-1 gene:Sspon.08G0016300-1A transcript:Sspon.08G0016300-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding HEHLGERAVGGAAGVPVPPDGGGAADLLPEEEGGVGAHRPGRHPRRRPQQARAMGHPREVPHRFWPPERLVLLQPQGQEVPDGDAHEPRHRRRVLEGHGPRQGHLRVGRPPHRHAQDARLLQGPRSARPEVRLDHARVPPRGGARRRRRPQPRRRGRRPSLLHLADAASFYRDPWRGGRPSGAGAGGVGHMQGVQEEEPRAPRPEQRRRDSSRIQDGGGGGAHGEQPEPLLVGDRHQRPRQQAAGAGDAAALRQRRRARPHPAVHGRRQAAGHQAGAPGPTAAAPPPPPPCCSYYDDRRLSCRRRRPLREVHEAPAPRARRRRRDAADPARGVRVRRRRRLGDRRLGRAGPARRVRAQRPLRRVQEHGRLLRRRAQCRRRLLLLHLVRARRRRRRRPVEPGEVGVGVARGLDDEQR >Sspon.03G0021690-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:52327724:52329711:-1 gene:Sspon.03G0021690-3D transcript:Sspon.03G0021690-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFGVVSLLDAVFRRMFTSAGLRQGSATVDAEDDTTIHYWAHPSLLEPPSDKDSEQRPPVVVLIHGFGPDPTWQWAAQAGPLSRHFHLVVPTLLFFGASATRAPARSDAFQAAALAALLTGQHLPGLGAGRTVHVVGTSYGGLVAYHLARELEQGQGGVRVGKVALCDSDACKGADDDRALATRSGVADVVELLAPADTRALRRLMAVCAHRPVKYVPECLLRNMLRVDSKKETLLLKCVKQSNDAKYFADKREEKIALIKGIITGEGFELAPLPQEVLIIWGEFDQIFPVDKAHKVKEKLGEKATVKVIPKTGHLPQQEDPKLFNRILLDFLLHPSASASNGSADAK >Sspon.01G0003160-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:7383856:7389197:1 gene:Sspon.01G0003160-2B transcript:Sspon.01G0003160-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEPEGVRGREDAAPGREAGDAVEAGDGFQLVTHGNKKKRAASGQDGGSAGSGAGSVRALTKDKGAAPAPGAKAKVPFHDPSIPRPQDVYKIIVDNYNPFEHVWLERSQDGTRRVHPLEKLPVKQFVDRNVPEREPVKPADLEDTPFTLVEDHKGLVELAKKLKGVTEFAVDLEHNQYRSFQGLTCLMQISTRTEDFIVDTLKLRIYIGLYLQEPFKDPTKRKVMHGADRDIMWLQRDFHIYVCNLFDTGQASRVLQMERNSLEHLLLHFCGVTAKKEYQNADWRSRPLPDEMIKYAREDTHYLLYIYDLMRQRLQRESTFENDLLLEVHKRSNEICLQFYEKELLTDTSYLHIYGLQEHELDAKQLAVVAALHEWRDRIARQEDESTGYILPNKALIEIAKQMPTDVGHLKRIVKSKYPYVESNLELIAYTVWNALKYSYAFEGIAEQLKKERLDQLALKSGQASDEVTPLDADIDRSNFDSSHQSANVSVASGSGAGFMSEAALIRSIHLEDKTQTISSVKTSGTLSGLIRPVNKDVLSNNIHQQVSQDLRHTLGALKGNLASGGQSNEQKLSGGVKPFYPNAGMHSDNVWIQTSQMNETMQLGNTPYYTQLPGYSTEVVGSRYEPEGLQMSGYLSGFEPGIESINQRSTVTGQPPGRHKEGNFQSS >Sspon.05G0014020-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:51040781:51042528:-1 gene:Sspon.05G0014020-1T transcript:Sspon.05G0014020-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIESRQMVRRQLRVLSTLDVARTQLYHFMAIVIAGMGFFTDAYDFFSISLVIDLISYEYYDGQMDSGVKAAISGIALCGAVPGQLVFGWLGDKMGRKRIYGITLVLMVVTSLASGLSFSKRQGKNVVTVLCFFRFWLGVSIGGDYPLSATIMSEYANKRRRGAFIAAGFGNLAAGIVGMVVSAAFMNSIKSNADYVWRIVLMFGAVPATLTYYWRMKMPETARYTALVAKDAKKVASDMSTVLNMHIVPEDEAVDELARHDQYGLFSVEFLRRHGVHLLGTSACWLALDITFYSLNLFMKDFFESVGLLPKLQYGDESRMILITALHTILTLCFSLPGYFFSVAFVDRIGRVRIQLLGFAMMSAFMLGLAIPRYDYWHRHKYGFAAMYGLTSFFANFGPNTTTFIIPAEIFPARLRSTCHGISGAFGKIGAIIGVFAFVCTGKHNHKRGMLFALVVCNLVGLGFTLLLPESKGKALEEITGEMEEPPQPQQEAAAVAAA >Sspon.07G0009470-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:26639963:26645256:-1 gene:Sspon.07G0009470-1A transcript:Sspon.07G0009470-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GVPTPTRPCSCSCRHVLLLVSLQLLLVAPWQGETAARALNFTRQDFPRAFVFGAGTSAYQVDVQLMSDTGLEAYRFSISWSRLLPSRIEIHVTLYHLDFPQILEDEYHGWLSPRVVEDFTAYADVCFRQFGDRVRHWITMDEPNVISIAAYDNGAFPPCRCSAPFGINCTAGNSSVEPYIVGYHSILAHAAAVRLYREKYQDTQKGVVGMNVYSFWSYPFSSSPADVAATQRSLDFMIGWIVNPLVYGDYPEVMKRIIGSRLPKFTKEQSEMIRGTADFLGINHYTSVYVSDRSNAAGTTGPRDYNADLAATFRCYMALFNDSIHDYERTEFLSSYIGSALAALRNGANVKGYFVWSFLDVFELLAGYYTRYGLYHVDFQDPELPRQPKLSAQ >Sspon.07G0022990-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:8492370:8495588:-1 gene:Sspon.07G0022990-1T transcript:Sspon.07G0022990-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding PRIKKIMQADEDVGKIALAVPVLVSKALELFLQDLCDRTYDITIRKGVKTVSSSHLKQCIQTYNVYDFLTEVVSKVPDIGPSDVIADDKLGKRRKAEEDGSEEELKRTRNETESYTSNGRGRGRGRGRGRHGRGAWREVVTTHEQFAENQSSKLASLKVEVADEVPNATEAKVATTPVSNARASLRNIDLNLDPTDEEDEVTVPPQVQLSAPATSSAAATAGPGPSAGPSVPRSKEGAKLKDFLGAWELPDMNKMEMDPV >Sspon.02G0006220-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:18076773:18078340:1 gene:Sspon.02G0006220-2B transcript:Sspon.02G0006220-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQSETSASPGLSTEYVIGDLPDGVYAVSRCRNGTGSSYCRACVTLALQEARTACPYHRESAFFNGNCSLRLLAAVHFDDLVLVSSETEKRNIVMRNLSLAMDSRDRKRCSE >Sspon.05G0003620-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:10630928:10634793:1 gene:Sspon.05G0003620-1A transcript:Sspon.05G0003620-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHPTLSAILRCLTLLCILALAVAADNNTIGSGQIRLDCGASSPPSTDADGRSWDTDTNSKFAPSLKGIAATASSQDPSLPSRTPYMTARIFTSNYTYSFPVSPGCMFVRLYFYPTNYGGNHAAADAYFGVTAGNLTLLYDFNASQTAAAVTPVGVAFFIREYSINVAEGSLNLTFSPSTHHNGSYAFINGIEIVPTPDLFTTPIPTLANGGNPDPFPILAGTGFQTMYRLNVGGQAIPPQGDVDFYRSWQDDSAYIYASGLGVTFGKDNNLTITYTPSVPNYTAPVDVYATARSMGPNAQVNLNYNLTWILPVDAGFYYLLRFHFCEIQYPITKVNQRSFFIYINNQTAQQEMDVIAFSGGIGKTVYTDYITITTGSGQTELWVALHPDLTTRPEYFDAILNGLEVFKLQNYGNNSLNGLNPPLPSFEPNSGKPSGRNKDSVPVPAIIGGAVGGFAALLIAFIGVCIICRRKKVAKESGKPDDGQWTPLTDYSKSRSNTSGKTTTTGSRTSTLPSNLCRHFSFGEIQAATNNFDQTSLLGKGGFGNVYLGEIDSGTMVAIKRGNPTSEQGVHEFQTEIEMLSKLRHRHLVSLIGYCDDMNEMILVYDYMANGTLREHLYNTKKPALSWKKRLEICIGAARGLHYLHTGAKHTIIHRDVKTTNILLDDKLVAKVSDFGLSKTGPNVDNTHVSTVVKGSFGYLDPEYFRRQQLTEKSDVYSFGVVMFEVLCARPALSPSLPKEQVSLADWALHCQKKGILGQIIDPHLQGKISPQCFMKFAETAEKCVADHSIDRPSMADVLWNLEFALQLQESAEDSSSVTDGTSSNTSPLVIPRLHSDEPLTDTTTTTSSSTMSMAGRSLASVDSDGLTPSVVFSQLMHPANCRRARDTLKAPLPAGDGSGYCLNLNSQSDCMQQPAAAPGGNPA >Sspon.05G0019440-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:80989691:80990545:1 gene:Sspon.05G0019440-3C transcript:Sspon.05G0019440-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MYHRSQYTISLTDGLLPCSGAAMFDVEYARWLDDHSRRLAELNGALHAHLADGDLRAIVDDALTHHDELFQLKAMAAKSDVFHLITGVWTTPAERCFLWMGGFRPSDLLKTLLPQLDPLTEQQVVGICNLQQSSQQAEEALSQGLEQLHQSLADTMSGGSLIDDANMSFMSQMALALSKLANLEGFVI >Sspon.07G0000530-4D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7D:785876:786546:-1 gene:Sspon.07G0000530-4D transcript:Sspon.07G0000530-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVLDGAEKFDSRKGCRFYTYIKYWIRKGMLALLAENSGVTLLPEVIPDEAASPEAPNEATLFWGQLRERLLLVLGRLPAREGQVLRLRHRLEDGRCRSLEEIGGIYRVSKEWIRKIKKPAMEKLRDDEDVRRDLHDFVCHF >Sspon.06G0025500-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6B:69407146:69408624:1 gene:Sspon.06G0025500-1B transcript:Sspon.06G0025500-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIRLLKLSYTLFPLNSDWPLDQFSTEAKRLIGRRFSDKHVQDDAKLWPFKVVEGREDRPMIEVQYKGKKQKFSAEEISAMVLTKMKETAEVYLDTPIKNAVITVPVYFNNSQRQATIDAGTIAGLNVMRIINEPTAAALAYGLEKMPISNKGRTVLVFDLGGGTFDVSLLSIDPRVAKDKGVFEVKAITGDTHLGGADFDTKMVEYCLREFTRKHGEMDIERNQKALRRLRTSCERAKRMLSSTAATNIEVDSLHKGIDFSTSITRSKFNELNKDLLSKCLQALQKCLRDANGDKRSVDDIVLVGGSTRIPKVREMLKEFFNGKELCQSINPDEAVAYGAAIQASILSGKKDSSRLVDILLRDVTPLSLGIEIRDDFTMSVVVPRNTATPTKMVKEFTTFHDNLETVNFLVYEGESTSTKDNNLLGEFQLTDIPPAPKGIPKFHVTFDIDANGVMNVSAEDKGTRRRNSITIVNHGGRLREHEIVHMLWKAE >Sspon.06G0028770-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:11249431:11251955:-1 gene:Sspon.06G0028770-1C transcript:Sspon.06G0028770-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SSPRSPSRSSPPPPTPRRSSSAPDAASRSRPSWPPPTPSRRAGLTRRRKRRAEIFDEEVGERCWPQATRVRGSTAVEPPEEAKVYVGNLPYDIDSEGLAQLFDQAGVVEVAERLARAVGLLVTMSTIEEADKAIEMFSRYDISGRLLNVNRASPRALAWRDLQDNLHLLSAYVGNLPWQVDDSRLTQLFTSMEKLLMLLLSTIENLGVHEDLEELDDAISALDGQVRIVSILSSEIPVHKELTPSSSQCCSRATTKGLLMRVVRVRWLLVCNEHERQRRAMIQIREADDAVTSC >Sspon.06G0026130-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:76327458:76328566:1 gene:Sspon.06G0026130-1B transcript:Sspon.06G0026130-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAQVSPHRNLSAAVVEILAGEMQRHCRIARQVPRLLNVCLGRNTYCHHGEFDDPIEKSWAREIEISEERDEILEIQHGAHKEDMMKHATDRVMKLASERAVVVFTLSSCCMCHTVTSLMTEMGANAVVHELDKDPMGKEMERALLNMLGVGGPAVPAVFIGGRLVGGLNNVMSLHFSGKLLPMLQSVGECIWF >Sspon.01G0042770-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:68114736:68119153:1 gene:Sspon.01G0042770-1B transcript:Sspon.01G0042770-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding FKTYKAEVENQLERKIKRLRSDRGGEYFSNAFDEFCVEHGIVHERTPPFSPQSNGIAERKNHTLTDLVNAMLSTAGVSKEWWGEAILTACHVLNRVPTKNKEITPFEEWEKRRLNLSYLRTWGCLAKVIVLQAGGAYYSWRLPPPRRLGGLWLRRSSQEDCARLRRSFVRGIVLTPRGSRRATLVERVIELPHFMSAISTLQFPMKNTPSETSHKTIIPHEQELSIPIDHAEDSHMHIPEEDDTIVTRKSKRQRFAKSFGDDFIVYLVENTPTTIREAYSSPDADLWKEAVRSKMDSIMSNGTWEVVDRPYGCQPIGCKWIFKKKLRPDGTIERYKARLVAKGYTQKEGEDFFDTYSPMDVKTAFLNGELDEEIYMDQPEGFVADGQENKFDHTLTAAGFAVNEADSCVYYRYGGGEAVMLCLYVDDILIFGSNLNVIEEVKKLLSSNFDMKDLGEADVILNIKLIREGDGGVTLLQSHYVKKVLSRFGFSDCDPAPTPYDPSMILRKNRRIARDQLTYSQIIGSLMYLASATRPNISYAVCKLSRFVSKRGDEHWCALERVLRYLKGTMTYGIHYTGNPRVLEGYCDANWISDADELHATSGYVFLLGGGAIS >Sspon.02G0011240-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:28694340:28696301:-1 gene:Sspon.02G0011240-2B transcript:Sspon.02G0011240-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVRIRLARFGCRNRPFYRVMAADSRSPRDGKHLEVLGYYNPLPGKDGGKRMGLKFDRVKYWLSVGAQPSDPVQSILFRAGLLPPPPMLAMLRKGGPRDRRPIHPMTGRPLDLEGVTIVDDSNAPEGDAEDPTDEVAS >Sspon.01G0019120-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:71595642:71596604:-1 gene:Sspon.01G0019120-1A transcript:Sspon.01G0019120-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MANCCPAVAGKKRARYDYNLGSIYNYRNLETLGEGTYGTVVKAQDQRTGETVAVKWIRPDDEGVTDLGAVFHEAACLEACLGDPSIVQMKEVAADEVTGHVFIVTEFVGPSLESHFPRRFSGAETRAIMRQLLRGAETLHGAGMIHRDIKPDNVLVSPGGALKICDLGMAARTRPAGGEPYPEETVAALWYRAPELMRGSRSRRTYGTAVDMWALGCMMIELLIGEPLFKDAETEDDVLHRARDLEYQMESMMDLASDSEFLPELSKAGREVLQGLLSLVPEKRLTAADALSHRWFEEEDAPLFPVLSSQKDQRGFISFL >Sspon.08G0023380-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8B:55217941:55218213:1 gene:Sspon.08G0023380-1B transcript:Sspon.08G0023380-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGRRGEEVEPTPTPAAAAEDCGLEFSEAGRRRQLRIHHWNSLRWLMDAGVREIGWPVEAIDLGEPEAVRVEHLGRSKVREWSGAVGILE >Sspon.05G0020680-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:89792973:89806574:-1 gene:Sspon.05G0020680-2B transcript:Sspon.05G0020680-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARVAAGLAALLLLLLLLASASSLGWKGGHAADNDGELKPAAKNENNLAAAARSSSAGRYAVIFDAGSTGSRVHVFKFDKKLDLVQIGDDIEFFANVYAMHSAVLAADSVLGRKAGIVVDEAAAPESSQPGAGRYAVIFDAGSTGTRVHVFRFDRKLELLEIGDEGIEVFAKVKPGLSSYAGHPQEAANSILPLLDKAKSVVPKQLMKRTPLRLGATAGLRLIGEEQSKQILEAVRNLVHTKSKFQYKPEWINVIEGSQEGSYLWVALNYLLDKLGGDYSQTVAVVDMGGGSVQMAYAISANTAANAPVSPLGEDPYVIREYLKGKDYNIYAHSYLHYGAFASRAEILKARNGPFSNCMLRGFSGEYTYNEEQYDATAAPEGAVYCKCREEIGKALNLNAPCETKNCTFNGIWNGGGGAGQDNLYVASSFYYVATEVGIIDVNAPSGKTTPGAFGASAEKACQMSVEEAKIEYPNVNDVDVPYLCMDLAYQYTLLADGFGVEATKEITVVDRVKHGEYYVEAEWPLGSAIEAVSTKKWQKRVYFDIR >Sspon.07G0030640-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7C:9841215:9841938:1 gene:Sspon.07G0030640-1C transcript:Sspon.07G0030640-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding KKAVQSEMDSIMSNETWEVVDRLYGCKPVGCKWVFKKKLRPDDTIEKYKARLVAKGYTQKEGEDYFDTYSPVARLTTICVLLSLVASHGLLIHQMDVKTAFLNGELDEEIYMDQPNRFVVKGHEGKVCKLLKSLYGLMQAPKQWHEKFDKTLTSVGFAVNEADKCVYYRYGGGNGVILCLYVDDILIFGNNIEVTKEVKEFLSNNFEMKDLGEADVILNIKLLREGNGGVTFVQSHYVEKV >Sspon.03G0041150-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:36774070:36777431:-1 gene:Sspon.03G0041150-1C transcript:Sspon.03G0041150-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAEVVVANGSGGADTKAAFKEIYSKLKEEMLEDPAFEFTDESLQWIDRMVDYNVLGGKCNRGLSVIDSYKILKGVDVLSKEETFLACTLGWCIEWLQAYFLVLDDIMDNSQTRRGQPCWFRVPQVGLIAVNDGIILRNHISRILQRHFKGKPYYVDLIDLFNEVEFKTASGQLLDLITTHEGEKDLTKYNLTVHRRIVQYKTAYYSFYLPVACALLLAGENLDNFGDVKNILVEMGTYFQVQDDYLDCFGDPEFIGK >Sspon.02G0021830-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:73011688:73016073:-1 gene:Sspon.02G0021830-1A transcript:Sspon.02G0021830-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSCAGARLHGRTGVGGAKCRPGAAALLGRRGTCFRPTVRRWNTAAPRGIRADLPPPPPPRASADGGAASSGPAVAVPEAGDATEQVAAVAQPVVLPERLGVDDGAGGNGKLPPAGGGGGGHGENGGGGGDGDEGEDEFGPILSFDQVVQEAEKRGVSLPSLPADMVEAAKSVGIQKLLLLRYLDMQASAWPLGPAIRSCSLLRNRMLVDPAFLFKIGTEIVIDTCCATFAEVQKRGEEFWSEFELYAADMLVGVVVNVALVGMLAPYARFGSRSASEGLLGRVRHAYDALPSSVFEAERPGYKFSVQQRIGTYFFKLLYGDSFVVLSVKKSEDDVPVPPLLKTSALWGAFLAVSSNTRYQIINGLERLVEASPVAKRVPAASLAFTVGVRFANNVYGGMQFVDWARMSGWLNRWAMCVYASEDENQGGHGLCRPRET >Sspon.04G0023310-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:11958987:11962661:1 gene:Sspon.04G0023310-1B transcript:Sspon.04G0023310-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VMPFTPAQYEELEQQALIYKYLVAGVPVPPDLVVPIRRGLDSLATRFYGHPTVGYATYFGKKLDPEPGRCRRTDGKKWRCSKEAAPDSKYCERHMHRGRNRSRKPVETQLVPQSQPPAATAAVTAALPLAAAAAATNGSSFQNHSLYPAIAGSTGGGGGASNISTPFSSSMGSSQLHMDNAASYAALGGGTAKDLRYNAYGIRSLAEEHNQLISEAIDSSMENQWRLPPSQTSSFPLSSYPQLGALSDLGQSTVSSLSKMERQHPLSFLGNSDFGAMDSAAKQENQTLRPFFDEWPKARDSWPGLSDENASLACSFPATQLSMSIPMASSDFSVPSSQSPNGK >Sspon.05G0002720-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:11498740:11501082:-1 gene:Sspon.05G0002720-4D transcript:Sspon.05G0002720-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASATRRGLSALLLSSSRALPRRLGPLAAHVAPWALLASRGARTASSGGSGYSPLNDPSPNWSNRPPKETILLDGCDYEHWLIVMEFPTDPKPSEEEMVAAYVKTLAAVLGSEEEAKKKIYSVCTSTYTGFGALISEELSYKVKGLPGVLWVLPDSYLDVPNKDYGGDLFVDGKVIHRPQFRFNERQQVRSKPRPRYDRRREIVQVERRETMQRSPSTQQQRLPFPQEATQNQEQH >Sspon.01G0051220-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:12539997:12540904:1 gene:Sspon.01G0051220-1C transcript:Sspon.01G0051220-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTATLSITSSPLSSAGSVKSSFLLEGLSSHTLSCFPFFFVSGLVSFLVPLSAATFFLLFTYIEGPIVLGASILLSLNNNRAGERILLRGAILLALEGTIRQSVVVLVPRVIAIRVILGTRATLVGSSSTAGIAAAALGTNLLLGEGRLQTRREGLLGLLHLLSTRLGLTMELAEDVPKVIVGGALGVVNLGELPADGSEEVVDVEEVEYLLVDGDEDEAEVVVEGPLEGVVVLGEAGVGGALLEEAGADEGVDGGALEGGGAERPAGRPEDEAAPGEDKQLLQHGGEPTYTCGSDR >Sspon.02G0019790-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:64371731:64382832:1 gene:Sspon.02G0019790-1A transcript:Sspon.02G0019790-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTVAWPRPRRLLQPGCLRSLGHGLCSRCLFLLCFLVVAFAASSSSAGRVVTSLPGFDGDLPFHLETGYVEVDEDAGVELFYYFVRSESESGAASDAPFLFWLTGGDRCSVFSGLAYEIGPIRFVLEPYNGTLPRLRYNQNSWSKVSHILFVDSPVGAGFSFSRDPKGYDVGDISSTLQLRDFLFKWFGDHPEYLANPFYIGGDSYAGKFGYLVGNAITGESIDSSSQVPYAHGVGIISDQLYETILGHCLGEDYTNPANVLCAQALDTFNSLISEVQNAQILLDTCVYASPAPNVARRTDSSDGRRILRTEMGRGMLNHPPARPPFGCIVSPHPQIKHLCSTYGYYLSYFWANDKRTREALRIKKGTVDEWVRCHDGDLPYTKDLESAIKYHRNLTSRGYRALVYSGDHDLLVPHLGTQAWVRSLNFPIVDEWRAWHLGGQAAGWVHDKYLVTNWNGFALELQGGGHTAPQYEPE >Sspon.01G0036620-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:14308769:14315813:1 gene:Sspon.01G0036620-2C transcript:Sspon.01G0036620-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MITRAKLVEQLREHQIRSAQSYSAALSVFSPNPHISSRRDLKVALIYAVLFCILMVSCYVGLYLKWFRLSAMFVIFGILLPASLKISRHRRLKRKRERRLLLPLSM >Sspon.08G0026130-2D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8D:14586106:14586942:-1 gene:Sspon.08G0026130-2D transcript:Sspon.08G0026130-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSKWWEQAALDFPPPQQHQQPQPHQAVPMPPALATAPAAPTAPAGASPEGGKQQQGQQQQAVGAAAGAIVPLRRPRGRPLGSKNKPKPPVIITRDSPDALHSHVLEVAPGADVTACVAEYARRRGRGVCVLGASGAVGDVAVRGAAAPLRGRFELLSVTGTVLPPPAPPEASGLAVLVSAGQGQVLGGCVVGPLVAAGPVTIFAATFANAVYERLPLADAPELDVKPDLSTATPAGGQDVQQPQLPMAPSQQPPPAMGAGGYADHRSPPYPWGGGV >Sspon.04G0020150-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4A:70881180:70884032:1 gene:Sspon.04G0020150-1A transcript:Sspon.04G0020150-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GF >Sspon.08G0016030-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:63670520:63672669:1 gene:Sspon.08G0016030-1A transcript:Sspon.08G0016030-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLFLEELPNTTPLEESIPDSAPTVPHETIGSSSSTSTSTCQKPVAAADSTYAPGLQEPDGPSLDEFLDNSSGQKLVEGVVSSLEKLHGTSTSYESNIADPQTMTQNTIDGSLRTLPSRSEKFVTGAADSTSILTLQEPDVSSSDEYLDSSSEELITASPRTVPLNTIDDSPPTLGPNILIYYPADSFEVFYIRIDRRGSIWIYPNVGGPFQSIDETENAIISFLHLWQRETRISTTKGGPNSLSSENTCSEKWHLAQAILDQFNDQNNLFGNLAHELEDLLRKQIIYENHRWYYHFNFTTKQKEADDDHSTGNKLFFAEVSHMQGKKVWEVNCCCMIEFESKDLAVYNHHPVEADAYTGGHLDGYLPFDFDALSSSDDDDGEHEYLYKDEDEEDEEEEFE >Sspon.07G0024400-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7B:24535068:24535511:1 gene:Sspon.07G0024400-1B transcript:Sspon.07G0024400-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARASDAAMLERQTLTSASVASGVCSAASLGFDGRRATSVTEATDASVAFGRARSGSNRLAFERDAERTGPRLSVRQTRAREASDVSVAEEVDRWISVAGTRGTRRSDGCYCAASVGLATDATVQRLSPPKNAQWVSNGSISLWSYK >Sspon.05G0032910-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5C:23743001:23745224:-1 gene:Sspon.05G0032910-1C transcript:Sspon.05G0032910-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGRKPNILAIMIRVIVAGMLVINKAAMDHGLNGFVFVFYRQAAASLLLLPIGVVLESRRNAKSIMSSALLFTIFVYALIGITVTGNLYDVSLKLTSATVLAASTNSIPVITFCLALLLRMEELKLRSPSGIAKLTGVALCLAGVLVIALYAGEFLSAVNHHRAFAAPTHGHASATAAKTLMGAAWIKGTFVAVLAVLAWSMSLVLQAAVLKEFPNKMLVTVTQCLFSTVQSFLVAVVAERNFSIWKLRLDISLLAVVYLGFLVSGLNYYLQAWCIEIKGPVFLTAWTPLTFILTIFCSSFFLGEMVHLGSVIGGILLCGGLYSVLWGKTGETKTVQCNIEATMVDAGAQDEVHRELEGQKENKSRQEQEKAEFVTCIQQV >Sspon.03G0023820-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:57432093:57432560:-1 gene:Sspon.03G0023820-3D transcript:Sspon.03G0023820-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GRLVPDSGEPMTAEMIQGMRYTQAVAREVIRHRPPATLVPHIAGEPFQLTEWYTVPKGAIVFPSVYESSFQGFPEAEAFDPERFFSESRREDVAYKRNFLAFGAGPHQCVGQRYALNHLVLFMALFVSVVDFRRERTAGCDDPVYMPTIVPKDGCA >Sspon.01G0056030-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:85786218:85792235:-1 gene:Sspon.01G0056030-1C transcript:Sspon.01G0056030-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMMKEEQCNVLVVLLLVVLVIPQGCRAQSSENLTRNSFPKGFVFGTAASAYQVGSWILQQLEESLWYDFNYLRGRYEGAVKDDGRGPAIWDKFAHTFGKIIDFSNADVAVDQYHRFEEDIQLMADMGMDAYRFSVAWPRIFPNGTGEVNQAGIDHYNNLINALLAKGIEPYVTLYHWDLPQALEDKYVGWLDRQIINDYAVYAEVCFKAFGDRVKHWITFNEPHTITVQGYDSGMQAPGRCSVLLHLYCKQGNSSTEPYIVAHNIILAHATVAEIYMKKYKATQNGQLGISFDVIWYEPMSNSTADVEATKRAQEFQLGWFADPFFFGDYPEIMRSRVGNRLPKFTAEEAALVKGSLDFMGINHYTTFYTQNDENGKPIGDRANSIWLYIVPGSMRSLMNYVKDRYNTPPVYITENGNPFISLKNALNDAKRIRYHNDYLTNLAASIREDGCDVRGYFVWSLLDNWEWTAGYTSRFGLYFVDYNNNLKRYPKNSVLWFKNLLASS >Sspon.04G0036280-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:27645939:27658226:-1 gene:Sspon.04G0036280-1D transcript:Sspon.04G0036280-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPQQESAAAGRKRRRRGGVRNRKRLSSQKGLPPAAAPPTPASPPVKRRRKDVADQAAAMPKRGNNSSLLDKVIYLTRMTGLEGMFSGAVAGYQEQMSHWPEQPVNVIINWLKSQSASWAVADFGCGNAAVAKNVKNEVFSIDLVSDDPSVIACDMAHASLIEYLVLMDESKTPLEPSSIDVAIFCLSLMGINYPSYLEEANRVLKPSGWLVIAEVRSRLDPNNGGADPEKFSKAIIQLGFSLVSKDVKNKMFILFYFRKKWGHVTPQDPKQIATIKDGIWYKNQNVAIKIVQKGDTPEEMTKKEGRFLREVTILSRVQHKNLVKIHVDSFLKEMTKRKR >Sspon.01G0025580-3C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1C:90178640:90183909:-1 gene:Sspon.01G0025580-3C transcript:Sspon.01G0025580-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDGNGGSFNGAAQSGEQHHKKPKSSELSKGSSENGVRTSMSHDDAVGVSGADSTHGGGRILDDCGLLPNNCLPCIASAVGVNEKKRTLSSSPTHSMKMPSLKLSFKKKSGEAHPSSTLLSTKGFLERPLAGSQVQLCLLETNMLNSWSRIDPGTFRVRGANYFRDKKKELAPNYAAYYPFGVDVYLSPQKLNHISRFVQLPDIQLSSKLPPLLVVNVQVPLYPASLFQNETDGEGVSFVLYFRLSDGYSKELPPSFIESIRRLVDDHVEKIKGFPMETTIPFRERLKILGRVANLEDLPLSAAERKLMHAYNEKPVLSRPQHEFYLGDNYFEIDIDMHRFSYISRKGFETFLDRLKACVLDVGLTIQGNKAEELPEQILCCVRLNGIDYTKYQPILTHGA >Sspon.03G0036300-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3B:89344582:89345538:-1 gene:Sspon.03G0036300-1B transcript:Sspon.03G0036300-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MANGGDWKKAADQTRRMHCTLHCTPTPARVRQTGQLAGLGDWGPAQRCSWRSRTVRHGFVSRRSDLPVLLSFVDQSMRADECRSAPQQCRCTNQQLYSGQWRRPVRQTSSCFFWFRDLKRVGEEDFPSPLRSDVFACTTHYQAASETTNQPWQSAMATTTGHALPAGVAAAAPSGLAAWHLSLRRRSGRPAGSGPRQPARVVPYRCTWARCLGLAVPQPAVAEHAARPDHAIHWPGARRSRD >Sspon.01G0034110-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:986638:989856:1 gene:Sspon.01G0034110-1B transcript:Sspon.01G0034110-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DEAD-box ATP-dependent RNA helicase 50 [Source:Projected from Arabidopsis thaliana (AT3G06980) UniProtKB/Swiss-Prot;Acc:Q8GUG7] MEVAGAQARAAPLLLRHPASLRTSVSVSCTGSRRSWAAAATAEGDETRGFDKVPMDTPGAYRLVDRTTGRSVIVWGGTDDGDEAAIPSPAVLSRTTDRRRRSQDVGGGTGIGNFGRLKAQKIKSLVTRSAHRKRESSNRSSTNRSDESSFDGSDDEEESYFERRKPERRTKLSSDGRTRSAHSLTSVLGQYRGDDDTGFPASEATSGSKGWGNVADVTYGRQNQKQREPLNFPQRKGPLDSGFFSRTTFKEIGCSDEILGALRNFDFPRPSHIQAMAYGPILEGRSCVIADQSGSGKTLAYLCPIIQNLRSEEVQGLHKSSPRNPRVIVLTPTAELASQVLNNCRLISKSGVPFRSMVATGGFRQKTQLESLDQELDVIIATPGRFLYLLQEGFVQLANLRCVVLDEVDILFGEEGFEQVLHQLITVAPVTTQYLFVTATLPLDIYNKVVETFPDCEVIMGPSVHRTSSRLEEILVDCSGDDNEEKNPETAFSNKKSALLKIIEESPVRKTIIFCNKIETCRKVENALRRVDRKASQIKVLPFHAALDQAQRITNIKEFLNKQTADSMFLVCTDRASRGIDFANVNHVVLFDYPRDPSEYMRRVGRTARGASGNGKAFVFAVGKQVSLARRVMERNMKGHPLHDVPCV >Sspon.01G0047030-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:89542327:89543025:-1 gene:Sspon.01G0047030-3D transcript:Sspon.01G0047030-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GSGKGQCSWQQRGRAGAGPPLRAPPRRVRRVGGVHRRRPRRVELQHRQLAQGQALPRRRRARFQVQRQGAQRGARERGGVQVVQRAQGRQGAHHRERPRHAEARRQLLYLQLPRPLPGRHEDRRHRRVS >Sspon.05G0005260-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:9362349:9367507:1 gene:Sspon.05G0005260-3C transcript:Sspon.05G0005260-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein RKD5 [Source:Projected from Arabidopsis thaliana (AT4G35590) UniProtKB/Swiss-Prot;Acc:O81791] MDTAVSTLTALAIFASTVEHGRCRLRQAFSIVSLSLTRGVFSFLVRAAAYRSVHGYRMVGKKSGGWVRWERWVERQFVLSLSFPPCLEVALPAAAPRILPAGWRTRPVFREGQTVETWRCIVAFDSVAAVTPSSPPPPVLSPFVNPQLQYLPNLYNDLLKVFRFQEEKKVSQLVNSKEQPIRSGEQEKTSDLADASESDSDGDSQSDKELAPPIQKHTRANRKHIDSITLVDIAQYFHLPIRDASKTLKIGVSILKRKCRQYGIPRWPHRKIKSLDSLIHDLEYVLAREDEDEEEEKQLQKDRLTAAINALTKRKSMLESEKETIQQKPTMDLMAETKLFREDVFKRRYRAKSSVMDDMDFDMD >Sspon.06G0001910-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6B:4859815:4863368:1 gene:Sspon.06G0001910-2B transcript:Sspon.06G0001910-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding QLHAVETPARANWNQQPRRGRKSRRRLGRRRTLSPSQYPFVSLPPETTRTPTRDFAQGNPKSQNPTASSLAHAMSQQPDAITIAAATDPSPAPAPAPAPAPTPPVVEWPEGGALTRDWVAGLESTLDWCSRHLPADRLPAVLPPALVQRLVLAAAAILHREPNLVRVDPRPGQTVVVVGDVHGQLHDVIFLLRDAGFPSEDRIFVFNGDYVDRGAWGVETFLLLLAWKVLLPNCVFLLRGNHESKYCTSVYGFEKEVMTKYKDQGPQVYRKFLRCFEDLPLATIIAGSVYTAHGGVFRGTIVLPSKRSKKGKKGHKFKADFTVDPTIMKLGSLDELLKARRTVLDPPWEGSNLIPGDVLWSDPSLQMGLSPNKERGIGLLWGPDITQQFLRTNHLKLIIRSHEGPDARDKRHDLLGMDNGYTIDHEVECGKLITLFSAPDYPQFQASEERHNNRGAYIVLNPPDFAAPVFHSFEAVKPRPAAHPFYDFEEVIDSDEELNLDAMDSGTSSLS >Sspon.03G0017860-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3A:55309668:55314271:1 gene:Sspon.03G0017860-1A transcript:Sspon.03G0017860-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding PGESLRDYIRRFSKQRTELPNITDSDVIMAFLSGTTCKELVRELGRNTPITANGLMDIITNFAAGEEAVGAIFGSDQDKGKRKDEDPVSSNRGSKRNNNKKKKNQQGKQEAPVDDLSEVAITFDRDDHPDYVPNPEIYPLVVDPIIANTRLTKVLMDGGSSLKIIYTQTLDLLGVTRTHLRPSVGGFHGVVPGKQAEPVGRVDLPVCFGTPANFRKKTLTFEVVGFYGTYHAILVRPCYARFMAVPNYTYLKLKMPSPNGVITIGPSYEHAYECDVECVEHGEAVLESATLAANLDGLAKEIPDPKCHADNFEPAEDVKLEAVLVDFLRANVDIFAWSPSDMPGIPREVTEHALEIRVGSKPVKQRLRRFNEEKRKVIGEEIRKLLKAGFIKEIKMKEFDQLATSFITPFGMYCYVTMPFGLRNIGATYQRCMQHVFGEHIGPTVEAYVDDIVVKTKRVSNLVDDLNVVFKFLKTKSIKLNPEKCVFGVPRGMLLGFIVSERGIKTNPEKIAAITKMSPICDLKGVQRVMGCLVALSRFISCLDEKALPLYRLLKKSEHFSWTLEAEEALTKLKATLSNSPILVPLATGEPLLLYIAAMTQVVSAVLVVERAEEGHALLVQRPVYFISEVLSETKVRYPQIQKLLYAIILTRRKLRHYFEGHPVTVVSSFPLGEIAQNREASGRIAKWTVELMSETLSYAPRKAIKSQLQAELWTMYFNRSLMKTGAGVGLLFISPLGVHMRYVIRLHFAASNKVVEYKALIAGLRIAVELGVRHLDVRATVPLDVFARDLHQPSVDTGAIEGADDPSIDPPSEAEAPSTGAEVMQTEGSTLPADLEPDWRIPYLDHLTREDLPLDKAEAQRIARWAKTFVIYGDNKELYRRSPMGILQRYITNEEGKNLLKDLHSGACSHHAAPRTLVGNAFRQGFYWPTAVSDAIKLTIPIAWPFPVWGLDLVGPLKKTTGGFTHLLVTIDKFSKWIEARPITSIRSEQAMLFFTDIVHRFGVPNCIITNNGTQFTGKKFLDFCDNHHICVLWPAVAHPKTNGQVECANDMVLQSLKPRIFDKLNKHGKKWAAELPSVLWSLRTTPSRATGFTPFFLVYGSEAMLPTDVEYGSPRLKAYNERNNDATRENALDQLEEARDVALLHSARYQQSLRRYHDKHVCRQDLNVGDLVLRRSQNNKGRHKLTPPWEGSYIVAEVLKPVTYKLSKEKGEIFTNTWNIEQLRRFFP >Sspon.06G0007970-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:30767948:30771462:1 gene:Sspon.06G0007970-4D transcript:Sspon.06G0007970-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPLAAALRPHLSRLGQTLSSRFLRLFSSHCPSDQTDFEPDPDHLIPSVPDNDDGELASFLHRLSSAASSTSSPKEALSLLLSLSTGPSPASPALLVRALWELRRDPDAAALALRYGDECSAVDGADGAGLPPPADAWHLAVWAAGKARRFDLAWAVVRRMRNRGVLTRRAMVILMERYAAANEVNKAVKTFDAMEKFKVESDQSVFYSLLRALCKSKNIEDAEELLLLRKKFFPLTAEGFNIILDGWCNVITDVAEAKRVWREMSNHCITPDGMSYTLMISCFSKVGNLFDTLRVYDEMKKRGWIPGIGVYNSLVYVLTRENCVKDAHNIFSKLTDEGLQPDVETYNNMIVPLCESCKLDEARMVMESMILKGIVPTISTYHAFLKQEGIDESLKLLQKMKEDGCGPKSDTFLMLIDKFFLLNESGNALRVWNEMRKYEISPVRSHYMTVVEGLVKHGCIPRALEYYDEMKEKGFASDTQLDKEFKTFLLNNRDHWRGAGTYNIIPQRGKHFTKRNTVTK >Sspon.01G0024140-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:85244452:85248602:-1 gene:Sspon.01G0024140-3C transcript:Sspon.01G0024140-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding PKMPQVCRFCTTGLPSDVTVEVGDMSFHLLQFPLLSKSAFLERSIEENSDQEECIIKLNDIPGGARSFELVARFCYGVKIELSPANVVYLRCASEHLEMTEAVAEENLIAQSEMFLNQVVLRNWKDSLTALETCDDLLPHAEDLQIVKRCIESLASKATTDPNLFGWPIREHGMMQSPGGSVLWNGISTGARPRNFNSDWWYGDASSLSFPMYKRLISTMESRGIRPEIIAGSLTYYAKKYLPGLNRRHSHSMGTVAPTATLSEVEQKNLLEEIDRLLPVHKGVASTKVLLGLLRTAMILKASPTCISNLEKRIGMQLDQATLEDLLLPNFSYTMETLYNVWQAHSWLSEAEREQLCRLLDCQKLSLEACTHAAQNERLPLRVVVQVLFFEQLQLRTSIAGCLLVSDNLEGSRPLRSGIATSARPGAGPRLSERTR >Sspon.05G0002690-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:12193718:12194243:-1 gene:Sspon.05G0002690-1P transcript:Sspon.05G0002690-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding HELPGSSSRHRRLPAAGHGLPSAGPAGVPAAGRGLPAACLRRAAPHGRRRVPAPAPSAAAAGLQGRQRRLLERMV >Sspon.01G0062340-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:103260539:103263577:-1 gene:Sspon.01G0062340-1D transcript:Sspon.01G0062340-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLSPTPTAVGTAAPATSPSTSLCLCIGPTSPDRRLAEPEQPPPGRRWGTCCELPVGIACLLLLDGRQLPSSSSSDVEELSNGKGKQDWMLGCFYLLTGVIIFTCNTVLQSFRNSSMLLNYILAECGVALGCSSEEIPRTSSAFSSRWSVSADALQAALFAEGCLPGLTAAGKWDRLRTHEL >Sspon.02G0022510-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:34704277:34705854:-1 gene:Sspon.02G0022510-2B transcript:Sspon.02G0022510-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450 93G2 protein (CYP93G2), flavanone 2-hydroxylase (F2H), C-glycosylflavone biosynthesi [Source: Projected from Oryza sativa (Os06g0102100)] MEAAASGGVGGLALLPGVLLLLALSTLVISRWSNRSSSRLPPSPMALPLIGHLHLIRPPPHRAFDRILARYGPLVYLRLGPSTHCVVVGTADAARDLLKHEASIPERPLTAVTRHLAYDDAGFAFAPYGPHWRFMKRLCMSELLGPRTVDQLRPVREAELAAVLAAARDAADRGEAIDVSRHLISMSNNAIMRMVASALPGHMTEAARDCAKHVAELVGAFNVEDYVGLCRGWDLQGLTRRTREVRDKFDALLEIMITGKEENRRRQHGQGQTTTDNSSKDLLDILMDAAEDVNAEVKLSRENIKAFVLDIFTAGSDTTATSVEWALALLLNHPDCMEKLRAELDAVVGASRLVGEQDVPRLPYLQAVFKETLRLQPPAVFAQRETIEPVHVRGYVIPPKTSVFFNIFSIGRDPGSWEEPLQFRPERFMPGGAGAGVDPKGQHMQLMPFGSGRRACPGMGLAMQAVPAFLAALVQCFQWEVPVPPGQSKAPPLDMEEQAGLVTARKNHLVLIPTPRLNPLPARAT >Sspon.01G0009780-3D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1D:30080684:30081889:-1 gene:Sspon.01G0009780-3D transcript:Sspon.01G0009780-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Divinyl chlorophyllide a 8-vinyl-reductase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G18660) UniProtKB/Swiss-Prot;Acc:Q1H537] MAALLLSSRLPTTGTATPSPTRPAPRFLSFPGTATRRRGRGPLLASSAASPPAPAPAAQPFRALPASETTVLVTGATGYIGRYVVRELLRRGHRVLAVARSRSGIRGRNSPEDVVADLSPAQVVFSDVTDPAALLADLAQHGPVHAAVCCLASRGGGVQDSWRVDYRATLHTLQAARGLGAAHFVLLSAICVQKPLLEFQRAKLKFEEELAAEAARDPAFTYSVVRPTAFFKSLGGQVDIVKNGQPYVMFGDGKLCACKPISEEDLAAFIADCIYDEDKANKVLPIGGPGKALTPLEQGEMLFRLLGREPKFIKVPIQIMDAVIWVLDGLAKLFPGLEDAAEFGKIGRYYASESMLLLDPETGEYSDEKTPSYGKDTLEQFFQRVIREGMAGQELGEQTIF >Sspon.01G0037740-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:25406091:25409279:1 gene:Sspon.01G0037740-1B transcript:Sspon.01G0037740-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VQRDFEELPSEAFRSLQDSLYVLLKKFNKGPQKVRTQICIAIAALAVHVPVEDWGAGGIVNWLSDEMKAHPEFIPGFLELLIVLPQETSSYKIAARPERRRQFEIDLCSSANVAIDLLTACMAIDQLKEQVLEGFSSWLRFCHGYEVSGALIVILHSLKV >Sspon.05G0001430-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:3541587:3544706:-1 gene:Sspon.05G0001430-3D transcript:Sspon.05G0001430-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASAEAGAAAARTLRWAGRAGHLGGVPRAAVFAAVGAFAKAYASLLNTTTVHNADALLRLVSARPPGTPLLTVSNHMSTLDDPLMWGFKGFPTSDAKLGRWVLTAEDICFKNVMPEKSFFGRRPPVPLCSKKIDIIVGEPIEFDMPSLKQEASMVPDDSSSEWKGWPTITPDGLDEAAQRWLYQKMSDKVQSVMERLRKTVVNMKQH >Sspon.08G0003350-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:7156052:7161556:-1 gene:Sspon.08G0003350-4D transcript:Sspon.08G0003350-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial intermediate peptidase, mitochondrial [Source:Projected from Arabidopsis thaliana (AT5G51540) UniProtKB/Swiss-Prot;Acc:F4KDA5] MLSRAAAIRRGAAAAFSTVSEKPETGLYGFDVLRTAKGFRRFVDDAIQRSDELVARVARLPSSPEIVRTMDEISNTVCSVIDSAELCRNTHPDREFVEEADKASMRIYEHLQYLNTNTTLYNAILKAEGESVLLTEEARRAATTLRIDFEKGGIHLPKDKLENANQLNLEIAQLGRKFNENVMNKPGFVDVYPASRIPRNMQRLFKSVSCFNTSKQMNTEKQKGLRIVTESGNLSSALRWISDEEWKSNYLKFPKVRKQVYIVGNSAPHENIGVLNELIHARDELAKIMGCKSYAEFAIRPNMAASADVVMSFLEDLSNIVKHKAEEEFKIIQNFKRRTCNEKSANLEPWDEDYFIGMMKSSVYDLDASVIAKYFPLSQCLKGLNVLVESLFGATFHQIPMRDGESWHPDVIKLCLHHPDEGDLGFMYLDLYSRKGKHPGCAHFAVQGGRRLSDSNYQLPIIALVCNFSGACGITARLNHGDVETLFHEFGHALHSLLSRTEYQHFSGTRVALDVAETPSNLFEYYAWDYRVLKTFALDETTGDAIPEKLVKALNASQNMFPATELQRQIFYSIMDLTLFGEQASKPMDTISTVADLRRKHTSWKYAEGTHWHTRFTHLITYGAGYYSYLYARCFATTIWQEVCQEDPLSRSAGSAIRDKFLRFGGSKDPSSLLKDFAGDAIIRNSGSGIIPNIGSLCKEIGL >Sspon.03G0021730-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3A:66128596:66133365:1 gene:Sspon.03G0021730-1A transcript:Sspon.03G0021730-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVRREGVGGSFPEISASSTWDDSKQLFEDSQEFRALDSETYARELFEECVVHLKERLKEKERLREEEKAKREKEREEKEKKKEKEKERKDKERKEKERDHREKEREKEKGKDRSRRDEMELDGADLDTMHAHGSDSDSENRHKRHKKDRDSSRRNGGHELEDGELGEDGEVCIWVHQYMGAEK >Sspon.07G0025170-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7D:32020108:32022323:-1 gene:Sspon.07G0025170-2D transcript:Sspon.07G0025170-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGDGEDTAPKDWSECVSQDQLQSVVDNAQKEMTEAIAMAVTDALIDLKLGNTLERLDKRLSALTDRVAALEVQPPPDQEVHDGSNTGGLKDAVYDVDGNVDQAATRQNRLRHRLRTNATGMGGAQHFNRHQGNHNHAPDDPYAKVKFKIPSFLDHYDAEGYLDWEMVVEQKFSSHLVPEEHRVRQATSEFKDFAIIWWTGLAAENATPSTWEELKRRSCRGVICRARTRLAPHTHRDLRGFPRHLPIGCPHQRARISLESNRLLHDLPVQVKILCRNLRRVHPQSPQWDALLAFSATTAMDLGICSGSALNLGLTTRPHPHSYHIQWLNDSGKAKEKLEPNVKAQGIKLKGAVMLARKSDLAEISDDDEYEDIFLAEIPPGLPPMRGIEHQIDLIPEASLPNGATNPEETKEIQPLL >Sspon.02G0046630-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:5279948:5281547:-1 gene:Sspon.02G0046630-1C transcript:Sspon.02G0046630-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQIAMPMAELPLHYSYYLLLILIPLLAAIPLLRSRRRGAPLPPPSPWALPVVGHLHHLALAGALPHRAMRDLARRLGPLMLLRLGELRVVVASSADAVREVMRTHNLAFTTRPVSHTARALLGDGSLGLVFAPYGDGWRQLRRICTTELLSARRVQSFRAVREDEVRRLLRSVTAAPAPVDLSEMVSAYVADASVRAIIGSKFRDRDTFLRLLERRLRNVPAQSLPDLFPSSRLATLVSPMLRLLKRERQDMMAFIDTIIQEHQDSRGAASTDNDEDLLDVLLRIQREHELDPPLTTDNIKAVIIDIFGGSSETSATTLQWTMAELMRNPRVMRKAQGEVRRVLTGQETITEDSLSGLCYLPLVIKEALRLHPPAPLLIPRECRTPCRVLGFDVPAGAMVLVNAWAIGRDPRHWDAPEEFSPERFEGDDAVDFKGTDFEFIPFGAGRRMCPGVAFGLANMDLALASLLYHFDWALPDGVEPGELDMTESPGITTRRLSHLLLVPTIR >Sspon.05G0019500-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:78329451:78331175:-1 gene:Sspon.05G0019500-3D transcript:Sspon.05G0019500-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPAVRTRRSTVVPLPSAGGTGTPSVDVVVPLSPLDTRWAALPPVCHVFLFPAAAHQQQVPFSDVVRGLRSSLAAVLPAFHTLAGELAYSPELGTVTIVCGEDAGVAFVEAETDLDLASLVFEDDDGAADLDVDALPQLVPDIRREVLPGADVRGAAGPGPGVVEAAPSTFATLAAHGWVSFALASGFTDAAPVFAVFLADCRAHMSPLVPDAYAGNCVESCVVALSGAELTGADGPALAFLAIRDAAAEVKRDPLAGSGSWITRFRAAPPGRKVVMAGSPWFPAYAVDFGFGRPARVERASLEQDGAMAIFAGREAGSVQASVVVAAGKMRAFHRMFEVKSS >Sspon.06G0007480-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:29257293:29267416:1 gene:Sspon.06G0007480-1A transcript:Sspon.06G0007480-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chloroplastic group IIA intron splicing facilitator CRS1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G16180) UniProtKB/Swiss-Prot;Acc:Q9LF10] MAPRVLHPNPRLRTPGASTPPPRQRLPSPAPTAPNRHRQGKTPKQQPSPSLPESPGAAPTERSSASSGASAPSSSLMLRAPSSVHASNAGAAAAAFHLPIEPSPPREPGQEVVGKAKPRAVPWAAARDEGLKVALRREKKPREPTHAETELETHELDRLRRLARGMGRWARAKKAGVTDEVVEEMRREWASGEELAAVRIVEPLRRSMDRAREILEIKTGGLVVWTKGDIHFVYRGSKYQQNTKHSHTSLTNVHKGSLVKHNVRTTLLKCGSEGPALINSYGEADDAFQENDQSICDQKDEEPVKGTLYEREVNRLLDTLGPRFVDWWWDTPLPVDADLLPEFVPGFKTPFRQCPPCVRPTLADEELTYLRKLARPLPTHFALGRNTRLQGLAAAILKLWEKSLIAKIAVKHLTGGTVILRNKDFIILYRGKDFLPGGVAQTVIQREAQVHDEQVKEEEARLKAVDSLQMVGELSSEGSSVGTFREYQDFHADLVHENTEKSNIMIELGAEKYRLEKELKDHEWKLSILNKKIERSNQALAKLHSSWSPSEQSADGELLTEEEKMMFRRIGRKMDGLVLLGRRGIFDGVIEEIHQHWKHKEVVKVITKQNQARQIMYTANLLEVETGGILIAVEKLTTSHAIILYRGKNYRRPAKSSFSNLLTKREALRRSIEVQRRGSMKYFVRERQKSILELKRRLLMTTDVMSWY >Sspon.05G0015200-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:58373847:58380673:-1 gene:Sspon.05G0015200-1A transcript:Sspon.05G0015200-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGAAAGTKWIRHIQRLSAVKVSAEAVERGQSRVIDASLTLIRERAKLKAELLRALGGVKASASLLGVPLGHNSSFLQGPAFAPPRIREAIWCKELNDPRVLTDVGDVPIQEIRDCGVEDDRLMHVISESVKTVMEEAELLRALGGVKASASLLGVPLGHNSSFLQGPAFAPPRIREAIWCGSTNSSTEEGKELNDPRVLTDVGDVPIQEIRDCGVEDDRLMHEPLRPLVLGGDHSISYPVVRAVSEKLGGPVDILHLDAHPDIYDCFEGNTYSHASSFARIMEGGYARRLLQVGLRSITKEGREQGKRFGVEQYEMRTFSKDREKLENLKLGEGVKGVYVSVDVDCLDPAFAPGVSHIEPGGLSFRDVLNILQNLQGDVVAADVVEFNPQRDTVDGMTAMVAAKLVRELTAKISK >Sspon.05G0014020-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:43632455:43634232:-1 gene:Sspon.05G0014020-3C transcript:Sspon.05G0014020-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIESRQMVRRQLRVLSTLDVARTQLYHFMAIVIAGMGFFTDAYDFFSISLVIDLISYEYYDGQMDSGVKAAISGIALCGAVPGQLVFGWLGDKMGRKRIYGVTLVLMVVTSLASGLSFSKRQGKNVVTVLCFFRFWLGVSIGGDYPLSATIMSEYANKRRRGAFIAAGFGNLAAGIVGMVVSAAFMKSRKSNADYVWRIVLMFGAVPATLTYYWRMKMPETARYTALVAKDAKKVASDMSTVLNMHIVPEDEAVDELARHDQYGLFSVEFLRRHGVHLLGTSACWLALDITFYSLNLFMKDFFESVGLLPKLQYGDETRMILITALHTILTLCFSLPGYFFSVAFVDRIGRVRIQLLGFAMMSAFMLGLAIPRYDYWRRHKYGFAAMYGLTSFFANFGPNTTTFIIPAEIFPARLRSTCHGISGAFGKIGAIIGVFAFVCTGKHNHKRGMLFALVVCNLVGLGFTLLLPESKGKALEEITGEMEEPPQPQQEAAAVAAAEYVHVVPV >Sspon.01G0054580-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:62138311:62139843:-1 gene:Sspon.01G0054580-1C transcript:Sspon.01G0054580-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVPPPPPPRTLPLPHFTLPPLAGQDHLFVAALRSHLSASPPPTAASLSRFLPGLTPLRLSHLVLLLAGRVSRPPHSLLTALLPSPPPPLPLAILLHSLPPRRCSELLTSVLPSVLPRAFLDLLHHLLLTARLAAGTQRAAAVPAIDVLFSACARNKKLSRATLTYRAMRAHGMLPTVESCNVFISAALRLRRPEIAISFFREMRRCRVSPNVYTVNMMMRANCVLGRVEDAAQVGADMVTYNALILGLCNEGKTRKAGKLVQELCAAKLEPNASTFSALIIGQCKKQNSEHAVDLLNAMKKAGFQPNYYTYKIVVSTFSKNKDFEGAVDVLKDMLRRCIAPEKALLHEFFEGLSEAKKLHLAADLRSVANGARFISDVYYTGDYRNIDEEKITC >Sspon.02G0045890-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2B:112780205:112780368:1 gene:Sspon.02G0045890-1B transcript:Sspon.02G0045890-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LTFPYNWSWKTSLLLGGLLSATDPVAVVALLKELGASKKLSTIIEGESLMNDG >Sspon.04G0013790-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:53217854:53221508:1 gene:Sspon.04G0013790-2B transcript:Sspon.04G0013790-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:50S ribosomal protein L21, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G35680) UniProtKB/Swiss-Prot;Acc:P51412] MATATLPLRLLPSKTLTLTTLPSARRSLSVAAVEPRRWRLRAAAEEAPEAVEVEFVEAEEEPAVPEPVEAQLAAAGAGKDADIFAVVMIGSRQYIVMPGRYIYTQRLKGANVNDQIILNKVLLVSTREKAYIGMPVVTNAAVHAVVEEQGRDDKVIVFKFKKKKKYQRKLGHRQPNTRLRITGISGYEEYPADPILDPAAA >Sspon.04G0025130-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:25193190:25197381:-1 gene:Sspon.04G0025130-1B transcript:Sspon.04G0025130-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQDTPMLKPGWMQRDKAAGAANIWATASSHSDYQAAGGSLRNHSSGHYRDHGSQQSSSGRSSGSNGSRQPDRDGMGKSRDYFNFRKFKDRDRQRDFDSRDWESRPAAAVCDDFKSFSTCKSERDRMNRTRSKADTWNKSSGSTSRNNAVSSSRSNDKSSTVASTGTGTVNSVRNAALGTSISNASVRTSVSNTSISNAVSNASNAASITFEREFPQLSSEDKNGRQSISKVPSPGISTAIQNVPMISPDGWSSMLADLPLLSDPKKSLATSSLLHIAPSKQTEVLPNSGTALSMAETVMQAPLRISIRPQLSTEAQKIEERTLKQLTLRPITPPASKSSALSSLKIKGTRLGDPTGPSKTSQPLKVLSANGSTRAPVKADVSKLSQPGSFQVLSREQNGTAHTTKDCPVKPVSPPTPLVSMETQKKPVVSQKLKFGTNERPLPLQGPSRDRKSNARDKVRFFEMLRTKASNGSSTVNVKQDSSLNLENDFSLFHSEMKCTGHGKCFCEEANSSEGSQRHLSDTEEHIPSLKSVVRRMPQQPLVESREADSSSEPADTGDEGFQSSLLGSTEGSLSSTPADSDDGWNRSQSGNEEASSLSEDTEPDDEYHPAHLSPEDKRFMMLLGWKEDEIVQVAPLDFDEIADTVSHSFASLISQRSDVSVKGCEELKKKLQSMESNEDIKSILLHIELQQHKSTGA >Sspon.04G0015220-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:57035623:57036645:-1 gene:Sspon.04G0015220-1A transcript:Sspon.04G0015220-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDLFTSASFKKYADLKHQVVLDDLESGGGGTEAEAAACLDRFFEDVEGVKEDLRGLEALHRRLQSAHEESKTAHDARAVKALRARMDADVDQVLRRAKAVKARLEALDRANAASRRLPGCGPGSSTDRTRTSVVTGLGKKLKDLMDDFQAFIRILNGECTQGLRTRMAAEYKETVARRYYTVTGEEAAEGTVEALIASGQSETFLQKAIQEQAAGRGQVLDTVSEIQERHDAVVELERSLRELHQVFLDMAALVEAQGHQLNDIESHVARASSFVLRGAVELEAAREYRRSGRKWACVAVVAGVVLVAVVVLPVLVNLHLLSLRR >Sspon.05G0025310-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5B:23207638:23212616:1 gene:Sspon.05G0025310-1B transcript:Sspon.05G0025310-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMKMARIKFNCHRDLERRIEVSHSHKYWERSSLFTHDTFDHEEESDEKEEKQKEKNKSITFKISKSNKKIEDDTNCPNKKDKSSKEGKDKEDDGKTMVFFKKKKKTLVTNLKDLNKFGYLKRINLLFKAYRVHNKTHDIVEEVHNVEFNETNDSQVEQKNLDHVRGTNLDIEVKNMSIGDVKPSPMKKWMSDKITEPLRTPMIHQKGPPEIESSNGRLQWLVDVATPEFSNGVITAPF >Sspon.07G0033860-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:61020353:61022237:-1 gene:Sspon.07G0033860-2D transcript:Sspon.07G0033860-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGWAARLEAPVAVVTGASRGIGRAIAVALGKAGCKVIVNYAKSGMEAEEVCREIEESGGTAISFAADVSCEADVESMMRAAIDAWGTLDVLVNNAGITRDALLMRMKRTQWQEVVDVNLTGVYLCAQQAAATVMMKRKKGRIINIASVSGIIGNVGQANYCAAKAGVIGLTKAMAREYGSRNINATPYMPQVLKMNETKHTLITLVNAVAPGWVASDMTAKLGDDVERKALETIPLGRFGRPEEVAGLVGFLAVHPAASYITGQASKNGLVSK >Sspon.03G0034960-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3B:77644936:77645112:1 gene:Sspon.03G0034960-1B transcript:Sspon.03G0034960-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKGSYVPPQYIPLYDLDTEEDRVPAVEENHAARHKLNRDPTQWSSGICACFDDPQSC >Sspon.02G0020830-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:67822771:67830249:1 gene:Sspon.02G0020830-1A transcript:Sspon.02G0020830-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVSSSKLAWFVLEFNVVAAAAAGRVSAHNTAQDYVNLHNSPRADVGVGTVTRNTTVAAYAQSYANQRAGDCRLVHSGGPYGENLFWGSAGYSWAASDAVGSWSGQSCGHYTQVVWRASTAIGCARVVCSNNAGVFIICNYNPPGNVIGQSPY >Sspon.08G0013320-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:56127421:56146835:1 gene:Sspon.08G0013320-1P transcript:Sspon.08G0013320-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHQAQGQMVQEVAAAAGGLPTLPTRYVLREKDRPSPAGGVAEPELAFPTVDVQRLADPGDVEEADKLRSALQSWGDRPRHAGGTAGRCPGRRGGVLPPALGGEAEAREPGRRRRVPARGYGIDRVDTDEQVLDWCDRLYLTVQPEEERRAQFWPARPPALAGLLHEYALGSEQVARRVLMAMGRLLGFGEGFFLDHVGEKGGTDARFTYYPPCPRPDLVYGLKPTPTTPSSPCSSSTQTSAASRDGGDADGDGRWVDVPVLARDELLVVVGEEMEIMSNAVFRAPTHRVVTSGRERMTLPEPHKDLQPAEELVAEDRPAMYRKLKAKTFGDGFWDAFALGERTIDFLNIKLAGQLGAADDVPARYVACAGAGNDDRKATVTAPVPVIDLARLCQPGGDGADDEASKLRLALESWGLFLVTNHGMEASLMDAMMDASREFFRQPLQEKQKHSNMIDGKHFQLEGYGNDWVPSEEQVLDWTDRLYLKVEPQEDRKLDLWPTCLSREPAMLWSPFYAGMFCTISPQNARVKDCLLPEMAKLLELDDDYFIDQFGGKADAYARFSYYPPCTRPDLVFGLKPHSDGTFVTLLMVDNSVGGLQVLRDGVWYDVPTRPHTLLINLGDQIEVMRAIIQKHLFVSYNPFMIGNGKLKIMSNGIFKSPVHRVVTNAEKERLSVALFYSIDPEREIRPADKLIDENHPALYKKVKIKEYIAGLYEHVARGEMATVALSGPAPSILSLHQVPSIQSTQPMDPDCFIPMQDCMLPEMVKLLELDDNYFINQFGNKANTYARFNSTLHARGWISSSSSARSALTDSRATVEPAMTPSTTPVVPTTFPGAGHSISVISCATPAHHPPPPSAASASFRALSTSFSSAATASGLFSPGDAPPTSVPFSAPPSSSADVPMAGESWKVPTPVKDLAALVEEPPSRFVQREEDRPGRLMVAADMPDPLPIVDLDKLSTADEAAKLRSALQTWGLFLATNHGINASLIEDLMKASREFFNQPLQERQKYSNLREGTRFQLEGYGSDPVIAPDHILDWSDRLQLKVEPEDERNLAQWPKHPESFRDLLHEYATKTKTVMEKILRAMAKILEIDEEDFINQIGGRPQAYARFNYYPPCPRPELVLGIKAHSDGPLLTVLLVDREVGGLQVQKENKWFNVPSIPHTLVINLGDSLEIMNNGIFKSPVHRVVTNAKKERVSLAMLYAVQRDNVLEPAAVPMAGESWKVPTPVKDLAALVEEPPSRFVQREEDRPGRLMVAADMPDPLPIVDLDKLSTADEAAKLRSALQTWGLFLVRSTSSSSSSSSPS >Sspon.03G0037420-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:59466162:59469547:1 gene:Sspon.03G0037420-3D transcript:Sspon.03G0037420-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SPESVLQTIKELEENIQEGLSVAKRKEENFIPCQACDVCKPEDVKKLVNFAINELGSVDIWVDRLNKPSWLTTVHQRSHECHAIPREGSYGSTKCGLRQFQGSLLESRRSKVGVHTASPGMVLTDLLLRNSSQNIGSKDESCKRKWKGNQLLNTTKDLACFGYCMGSTGPMGRAVYAAEADRIRNWAESRARFSFTDAMEMYTKTHGFPYSHSPWSARSLFFPALVDLFQAHDIPELPN >Sspon.02G0022260-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2B:72605507:72606955:-1 gene:Sspon.02G0022260-2B transcript:Sspon.02G0022260-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLPGRHHCHHKFTPSSPPASFRSPHLRRLRPLRPPILASANPPPPPSPLPSHFPSPRRPWRWRHSASGNGAGDVVQDLPPVEADGQDTVGGKKSFLGAVSLIIGTAVGPGMLGLPSATIRSGQAPSAAAILLSWVYVVSSIVLVAELSFAAMERDGVDEVSFTGLASSTLGAGLGAVVAVVYAALSFSLLVACVAGIGSLVSQLFPGVDPVLSNALFPCFAGVLVAFFPFKAVDGANRALCGLMLVSITALVVTGVSVGRTSLLKSLGYASWSPGAILPAIPVTVLTLGFHVITPFICKIVGDSVYDARKAILIGGAVPLAMVLSWNAVILGLASAGGNAGFDDPIKLLLSVNPAALPAVRGFAFAALATSLIGYAVSFPKQLADTVELILQRFTQKQGSVHQPNAGSSRNGEILTWTVLIIPIFIASFFSAAFSKALDFAGVYANCFLFGILPPVMAWIHRSQMKKRYAVRYDLYLSTLV >Sspon.07G0014520-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7A:52440076:52440845:1 gene:Sspon.07G0014520-1A transcript:Sspon.07G0014520-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNCSSDTAVCTVAVAVAAAPSSPPSSPQSQPAAVVLSPCAACKILRRRCVDRCVLAPFFPPTEPHKFATAHRVFGASNIIKLLQELPEEHRADAVSSMVYEAAARIRDPVYGCAGAICQLQKQVNDLKAQLARAHAELAGARAQHAHLLALLCVEVAAAGATASPPSYCGAADYSSSSHHQLAVVANPMMAADALYVVDGAGAALQMQASPDSWADEALWA >Sspon.06G0009660-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:51510497:51522836:-1 gene:Sspon.06G0009660-1A transcript:Sspon.06G0009660-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTSSRCRRGRGRGRRSGRKKRNWADLPLDAIWAVLRKLDHVEILMGAGQACRSWRRAARDDPSLWRRIDMCGHADLFNEVNLHGMAQVAVRRTKGQCEAFWGEYAGDDGFLLFLGEQAPSLKSLRLISCYNVSNEGFAEAIMKLPLLEELELSLSSNVFGQEVFETVGKSCPHLKRFRLSEHSFYSFEDVDYNKNGEALGIATMTELRSLQIFGNNLTNEGLTAILDSCSHLESLDIRHCFNVKMDNTLRAKSGRKKRNWADLPLDAISAVLRKLDHVDILMGAGQACRSWRRAARDDPLLWRRIDMCGHAGLFYQVNLHGMAQAAVRRAKGQCEAFWGEYAGDDAFLLFLGEQAPSLKSLRLISCYDVSNEGFAEAIKKLPLLEELELSLSKNVFGQEVFETVGKSCPHLKRFRLSKRGFWTFEDVDYNKNGEALGIATMTELRSLQIFGNNLTNEGLTAILDNCCHLESLDIRHCFNVEMDNTLRAKCSGIKTLRLPNDSTDDYEFIVSDPVWDNDSQTQSEDDFNGDMGYDVYYDLDTELDSDDDIYYPSNYIDGVDEDDEEARMILR >Sspon.03G0036400-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3C:85878448:85879339:-1 gene:Sspon.03G0036400-2C transcript:Sspon.03G0036400-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MESEGNAAAAAGAGHWEAPNGVIVLPVGSAAPRFLQQEPQYQTRKREMEREQQDPGAAGADPGVLGLDLTQPPEKVYYKTRLCEKFEAGKCAYEDGCTFAHGFDELRPPLPVPTSLIRRRSPLRPRSSSPGNAADGSQGGGCYRVCFEFRDTGACHRGDRCAFAHASVAEMMPFPGGPRSVEHALRNASPYAKAYSSPGSAAAAHRSSSSSSSYAPSSTRSFPSVPADVAGEGRRRKVTRLELLSRKKMSGIYGDWPEQD >Sspon.05G0009100-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:5A:26469183:26469878:-1 gene:Sspon.05G0009100-1A transcript:Sspon.05G0009100-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQTPEDTTPPVQQAPDRVPRPISELPAGYVFHPKGGSLLKSYLIARARGGAMKDPYGNTVADGVDVYAVRPEALPFPRRNRGLHGHVWAYFFATRPAGAGGGIGAAEGGDEDEDDDVRDVAAGGCWRRYGGGDKEYIGRDGEVYAFRRRFAFHEAGARSKKTVWRMKEFRLKETAPRFRGVVFHPDAKGLVIWKVWNQVIPEEEPAVDYYGNGGMNDDEEEEEEIGPVVIT >Sspon.08G0017330-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8B:1350225:1350414:-1 gene:Sspon.08G0017330-1B transcript:Sspon.08G0017330-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GRGTRRVLGAAGRGKGRRTRVLRSRRAGGHACPQLLIPAPEGVSPAQRDEGVKVIGGCRDEG >Sspon.02G0046230-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:2090064:2092301:1 gene:Sspon.02G0046230-1C transcript:Sspon.02G0046230-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGHIDHEINRQGGGPYVFRLKLGLSPVQWFFTIFLIAICFHAKPCTCSSSSDQDRRARYTWNTTFQQMNQGLLFHRDNESVTLQPGSLGYYMGNSSQLGFDLTDPGWFVIPKWFDPWKTSSGNDRIDLQEASFSIVFTLSVVSTTITPLLFDILPRLEPSPTDAGGLRPFSYEPVKIEALDSTTFHSGRTIRVTAEFQLPEEDDPNPARYSVCINYDHDAHNLSVYLVHDADAGTDVPTAAATRQLDAGDTLTPDALLFAISSSMGQLLQLHTWNFTIEVPVTEQSQGPNTATIVSSVVGSAAATAAIAAVVYFYLNSKYRRWKKDLDKLAKTMQSLPGVPMQVDFADIKRATNNFHETMRLGQGGFGTVYRCKLPAPKKGELIEVAVKKFTRADNRGYEDFLAEVSIINRLRHKNIVPLVGWSYNKGEPILIYEYMPNGSLDQHLFRRSSDEQRQATCMSQWGTRYSLVKDIATGLQYVHHEYEPMVLHRDIKASNIMVDFTFQGRLGDFGLACVLANGKDSYTDYGAPGTLGFRAPEYVYNGKATRKTDIFAFGVLVLEIVTGKRAVGKDVQFGHVTDWVWKLHAEGNLLAAVDVVLTTTSEFDADEAIRLLQLGMACSSPNPSDRPSMVDAVQIISKSVPAPDIPLSKPPLVWPPEGWGEPSSTSDYSTSSTSHFNTTSTFMVEMTAGTEHIS >Sspon.06G0001190-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:3967184:3975432:1 gene:Sspon.06G0001190-1A transcript:Sspon.06G0001190-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxisome biogenesis protein 5 [Source:Projected from Arabidopsis thaliana (AT5G56290) UniProtKB/Swiss-Prot;Acc:Q9FMA3] MALRHLVTGQNNCAPDGASSSNPLNALANAFLGQSSKAQSIKELPGSVSVPSTSDFSTAAPLSNIPGAENEFKQDHRPLARGDDFIRGGPANDWIESFRPPGFPELGGVEAQFQDFEQIYNNTGTTFRPPLDGPPQRVLSGVLHSFLTSGPAGVPFQPLPVPAALGLSESDKQCIRDRSCIMARHIFSDQPEEYIQAQVNTLLHSLDIDNRMRGPMHGQYPELQEYWNQSQSSMGPAPMHNAADKWITEFGKQNNNPENWANSFEQQYGPNGWASEFEQHQSQMAMGQMGGANMANLAAMEQSRMLAQTLASNNDPKFQNSKFFQFVSKMSRGELIIEDNQVKEGSTSQSSGWADEFQTQYNANANSWADQFAHEKLSQGADKWVSEFSSQHNQGALNENWVDEFSKLNVTDEWAEEFSGGGFGESSADPWVDEFQEHLSSFKQSSGTSRGVYVFSENNPYVGHPNPMQEGQELFHKGLLSEAVLALEAEVLKNPDNAEGWRLLGVTHAENDDDQQAIAAMMRALEANPTNLEILLALGVSHTNELEQGEALRYLYRWLQNHPKYGGLVPPQSTDSPYGPDVVRLFNEAAQMSPEDADVHIVLGVLYNLSREYDKAIASFKTALQLKPQDYSLWNKLGATQANSIQSADAILAYQQALDLKPNYVRAWANMGISYANQGLYEDSIRYYVRAVAMNPKADNAWQYLRISLSNTSRADMIAACDARNLDALQKEFPL >Sspon.07G0028840-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:86648728:86649195:-1 gene:Sspon.07G0028840-2C transcript:Sspon.07G0028840-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DMARRAQLVVATAIVAAAVLLLVAATYSEATVTCGQVSSAIGPCIPYVRGSGSSPSPACCSGVRSLNAAASTTADRRAACNCLKSAAARIGGLVAGNAASIPAKCGVSIPYTISPSIDCSRVS >Sspon.06G0026290-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:77973382:77974405:-1 gene:Sspon.06G0026290-1B transcript:Sspon.06G0026290-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding YMSSSTAAAAGGSTSMVSAAEASTGWHEFKVQGYSRYKATAGVGTAVSSGAFSVGGHTWRIQYFPCGYTGKENAAADDDGGIVKATFTLCLLDKDGAPLQSPDSRRVSGLLDFSSTHNEWQYKRFITSMDFDSLCLKADCFRIRCDVTVVLETRDESSVLPSPDLHWHLGNMLASGVGGDVAFEVGKQTFVAHKNVLAARSPVFMAEFFGSMKEEKAAATRVRILGIHTRAFKAMLHFIYTDSLPEIDEDNKIPMLQHLLVAADRYDIHILKLICENKLCISVNANVAVTTLVLAEQHGCRRLKEACIKLLKVHGNFKALGYDDYSYLK >Sspon.03G0036050-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:87584696:87588207:-1 gene:Sspon.03G0036050-1B transcript:Sspon.03G0036050-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAREASRGCGCNCPKSARPFSSLVVAWRHAMTPDAGRRPRAAPPQARRHSLRVAPSTPRGSLPAPPASGQAASSQHQASRSSLPSGFLLSYAATVRFAETLELLRQNPQPPPSSSVPLPATSPLPPEWRLSSSPCAKPGPSATHKPRPVSITCGPRDNRGPLQRGRSLSTEAILAIQSLKRLTSADRSPAAASAAAATALGRLLKADLVAAMAELQRQGHWSLALAALHVARGEPWYRPDPALYATFVSSAPASPSGEGEAAVDALVEAFLEEKARGGGFVDGDEDVYKLTRLLRALVAKARGRAAWKVYEAAVRKGGLDVDEYVYRVMARGMKRLGLHEEAAEVEADFAEWEATVSPPARDVLDEMRARDESKTTASASESTRI >Sspon.03G0027400-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:9625839:9627965:-1 gene:Sspon.03G0027400-2C transcript:Sspon.03G0027400-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLLAHMERAGPAGPTQVRDGTLLRVPLNAAAKHDRVIKASSLEIPVKPNKVNVQRHEPDPKLQSVAPALVGGNVVPQDTQEQTQTKRRKTTDCRRAFYRAGLQHKVAARMSAMLPPQLPRRRAALAALVVAAVLLAVAGNNAQRSAAASVPVYHHDDWAATRTTVTAAWRSARARRWGGVRGGARRELGSGGNIGYGALQKDQTPCSYRGASYYNCRPGGAANPYTRGCSAITQCRG >Sspon.04G0025950-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:33494643:33499059:1 gene:Sspon.04G0025950-1T transcript:Sspon.04G0025950-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPQAVAPAPEAPPSAQVVSSLAALRPRFQRDSRRVPEADLVSLRAQVGNAFVQQYYLVLHQSPDLVYRFYQDASRLARPASAAGAAGMDSVTTMEPGAATQAISEKIMEMDVSKAEIRTVDSQESLGGGVTVLVTGHLTGRDGVRREFSQSFFLAPQEKGYFVLNDIFRFVGDIPAPTAVEAQPEADAVVPPVAAPLANGTATPAVVPAIPDDHDAVPQQEHHVVDRSPPQPEEEDEAEVYNPPPEEVVDEEQPVPEVINEVPNNVAPVVATTVAPVLQEEAPKKSYASIVKVMKEVPLPAPAPPTRPAPPKPEKQSPPAPTPTPVTDVPPFSSNPDNSNIQEPEVDAHAIYVRSLPLNATETQLEDEFKKFGTIKQNGIQVRSNKIQGFCYGFVEFEDSTSVQSAIEASPVTIGGRQCYVEEKRTPGSRGSGRGGRFAPGRGNNFRSEGTRGRGNYGGGRGYGRGEFSYRSDYGGRSGGRGGSARGADVGYQRVDHAGYADGRGGRTAAAGAPAK >Sspon.05G0015790-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:117526680:117528456:1 gene:Sspon.05G0015790-2C transcript:Sspon.05G0015790-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFNMSRDPLVVGHVVGDIVDPFITTASLRVFYNNKEMTNGSELKPSQVMNEPRVHISGRDMRTLYTLVMVDPDAPSPSNPTKRENLHWLVTDIPETTDASFGNEIVPYESPRPTAGIHRFAFVLFRQSVRQTTYAPGWRSNFNTRDFAAIYNLGSPVAAVYFNCQRENGCGGR >Sspon.05G0020490-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:82397382:82401900:1 gene:Sspon.05G0020490-3D transcript:Sspon.05G0020490-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLLVVLVLLHFAAGAGAGAEPPRSPATALFVLGDSTVGCAATANLTTATTALPSSLSGGPCLFFPDARRRLPDLLAARMGLPSPPPISALNGTASEAARGVNFGGGGGGQLFYYGGGERGSSPSSVFRLGAVGQQVRLASETLQLLQLEAAAPGEWESAPAAAAAVFVLSFGADAYARLLARGPAEADAAAPKHGRRGFARLLADRVARAVSVRTVFSFAAARGTRFDARHVARPVVVVVVVWVQELYEAGVRRVAVMGVPPLGCAPRVMWERIPARDGGCVEEANELIEAYNGRLAARLDDLRPQLAGAHVVFCDVYKGMMQIISNPGTYGLEETREACCGLGPLRATVGCVSKEMACATPERHVWWDLYSPTEAADALLANWSWTSSSDSGAAAGATSICGPISLQQLAGTSSPPVEV >Sspon.06G0020980-3D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6D:12538063:12541585:1 gene:Sspon.06G0020980-3D transcript:Sspon.06G0020980-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SGARGSIVNQAQSLGRSLQPAPVCKNGVGSTVQNTKETSFVEVDVASSANGEVKMSLKCSLDSSNFTISMEEVFKMVEEKCLHSYKVLPPDFSIGKLMSEVCQSVVQLGTMHSEVNRDDGSLHNEVVAPFVKPIACEAAVGINDNAAGGPSVPESSEPCLQNSIVTWDPELAHSKQKTTHDITDISKGEERVRIPVVNEFGSETCPPSFYYVSRNLVFQNACVNISIARIGDEDCCADCSGNCLSASVPCACSRVTGGEFPYTPEGLLKSAFLDECTSVNHFPQEQHRFYCTVCPLERSKNEASPGPCKGHLVRKFIKECWSKCGCGMQCGNRVMQRGITCKLQVFFTPEGKGWGVRTVEDLPKGAFVCEYVGEVLTSAELHERAIENARNGKHMHQVLLDAAWGSSVLKDEEALSLDGSFYGNVGRFINHRASALHANGLIIDVAQLAFFTNKKVEAFEELTWDYGIDFDDVEGPSKPFRCMCGSRYCRDPKNPRRMGRAAAKRKNSSSAGVVAESEATRHFKASLGWWELEIGFSAERAASQPQGVSSLRPPASSKLERARAEGMAAAVSFSRVRALPTWSSSVSGDDHHSYSSVLAMSVSARPRFGARPLRSPARMMGNVNEGKGLFAPLVVVVRNIVGRKRFNQLRGKAIALHSQVINEFCKAIGADNKQRQGLIRLAKKNGEKLGFLA >Sspon.07G0001310-4D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7D:2405937:2406734:-1 gene:Sspon.07G0001310-4D transcript:Sspon.07G0001310-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGAALRLRLLYRMLRVGELLALVAFLSWSSSRVPSAAAAVLRFAGSLLLNARFVFVLGNAIVLLLLALSRHDLSASSSSSSSSSGQQTGTAATSTTAAPQPQDAAPAPASASFPSSFAGTPLSPPAPATSLEAFSAPPPVAAMEAAAPADAGTAFEFDDRPRAAARVSKLARAPRRSRSEKMGPRVLQLRRAASPPPTPELRRSESENGRRRSSVSARDARACGWGADDADEFRRTVEAFIDKHKRFHRQESMTMAVVAASGG >Sspon.03G0012410-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:50852499:50854964:-1 gene:Sspon.03G0012410-3C transcript:Sspon.03G0012410-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKAEKKPAAKKPAEEEPATEKAEKAPAGKKPKAEKRLPAGKSSAGKDGEGKKGKKKAKKSVETYKIYIFKVLKQVHPDIGISSKAMSIMNSFINDIFEKLAAEAAKLARYNKKPTITSREIQTSVRLVLPGELAKHAVSEGTKAVTNMRSSPPAFSSSTPANTATRLKSRRQA >Sspon.02G0057500-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2D:60278054:60280247:-1 gene:Sspon.02G0057500-1D transcript:Sspon.02G0057500-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQEVRNVQMETVRKPTRTVRQQNLQKQIERKSFWTRCTQQQRTVRTCAARTIRAWLVCARSREGERQATTLLSKTLVTATVERGGEKGSRGRRWQRRRSSPSSTAFMSSRGFGFQMSSVRRRRRRASGGGVPSVNPLYRSPGPVLRRPLLMARLLAFSLRVVLLHRLRLAVVAAARVVKGIAMAVGVAGSWFVDQARTSGGRCRRRALLQGLRYSGCIPGRCFFHGDSIPASELGDYCGSLQSLCAMGCLQIWEGHGFFFDDGGWRQLDGGGRRMLLAILGCTGSRVLNVRLSKKKRGWEGERPSLLADGMLTAGDLLPECWTQRDGEAPRPLLLGLLEHGRICTAGTAVPNLKTERTTRTDGQHKIKDERCF >Sspon.05G0029150-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:75908342:75908994:1 gene:Sspon.05G0029150-1B transcript:Sspon.05G0029150-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSACTTLWEVRSCFACCLPCFVAAAGVGEAVYILYNTSAGVVPGKLNVHVVPHTHDDVGWLNTVDQYYVGSNNSIQGACVQNVLDSLILALLKDENRKFIYVEQMVKDSAYYDVLEISTDASVAQIKKAYYLKAKLVHPYKNPGNPDAACKFQELGEAYQVLSDPRLRKNQPHLRQGQGFKRRKRKEKESSCIT >Sspon.01G0034240-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:950862:954062:-1 gene:Sspon.01G0034240-3D transcript:Sspon.01G0034240-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGASDLAGVEPGGKVARAGLGDLPELCAAEVLLRLGAPDICRLARLNRAFRGAAAADFVWEAKLPGNYGRLLRFVDDAEEGGSGRDWSAMGKKDVFARLAKPVPFDGGKREFWLEKSKGGICMALSSKALVITGIDDRRYWINMPTAESRFQSIAYLQQIWWFEVVGEVDFCFPAGTYSLYFRLHLGKSSTRFGRRICCSEQVHGWDKKPVRFQFSTSDGQHAVSQCYLDEPGNWILYHVGDFVASSSEESIKLKFSLAQIDCTHTKGGLCVDSVLIYPKGFEPERVIKAQK >Sspon.08G0002050-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:4805996:4815893:1 gene:Sspon.08G0002050-2D transcript:Sspon.08G0002050-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLCYFCPGLATRSRMPVKRYKKILAEIFPKTQDEEPNERRIGKLCEYASKNPLRVPKITVYLEQHIYRDLRSEQYGFAKVVMLIYRRLSVSCREQMPLFASSLLSIVHTLLDQKRQDDLRIVGCETLFDFAVNQVDGTYQFNLEGLVPRLCEIAQEVGEDERATALRAAALQSLSAMVWFMGELSHISSEFDNVVQVVLENYKPQKMQNDDQSTNDADNQLVQEGQNAEHPPSPFIITPAPSWENIVNVKGGVNLPVEDARDPKFWSRICVHNMAKLSREATTFRRILECLFRYFGNNSSWLSENGLALCVLLDMQLLVESSGQNMHLMLSLLIKHIEHKTMLKQPDMQLSIVEVAATLAEQSSAIASPATIGAISDLVRHLKRTFHITLGSKDMELVKWNEKFRKGIDECLVQLSKKVTDAGPVLDMMAVMLENIASTPVVARSTAAAVYRTAQIIASVPNLQYQNKVFPEAMFHQLLLTMIHPDHEARVAAHRIFAIVLVPSSVSPSIQASPSGQAKKHDMQRTLSRAVSVFSSSAAIFDKMKKDKYSENSQGESKDNSLHSVGEGTGQSKSQNLHVSQSRRRSMKVPNFSMKRGPSMAMRAPSVAIRAPSISLRGPSMASRAPSMSVKEDQSSSNKSDEETESVLVKLSTRQITLLLSSIWAQATSPENTPANYEAIAHTYSLLLLFSGSKRLGNAGYIGE >Sspon.08G0003460-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:9217044:9217325:-1 gene:Sspon.08G0003460-1A transcript:Sspon.08G0003460-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MCNGRECGRERAFRASLHEHDARPGPGPDAAAAAAASACRRDAKAEAETVATLRAKGRARTAAGEEREREWRQREAEIERTEKLMHLLLWGPN >Sspon.01G0004610-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:12300610:12301218:1 gene:Sspon.01G0004610-1A transcript:Sspon.01G0004610-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLGWAPVAEDLVQLCWLQKMERNCDGHGDPSQQHPVVASDSKLQRFFVTLFFRCQCTDGEARQQRLYDVQQTPAVEGNSKRRRLTFQNKAAEAEDSPSQRTRRAFLRSRTRTRREILVREWGKLGSAIRRAAAVCLTPSTGADEDDELDLPYVQLDK >Sspon.08G0015440-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:61968723:61973122:1 gene:Sspon.08G0015440-1A transcript:Sspon.08G0015440-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:1-D-deoxyxylulose 5-phosphate synthase-like protein [Source:Projected from Arabidopsis thaliana (AT5G11380) UniProtKB/TrEMBL;Acc:Q9LFL9] TLVQGLTRRVAALPDVDDFFWEKDPTPILDTIDAPIHLKNLSSKVVRELKQLADEVRSEIAFIISRKRQPCGPGCSVVELTIAIHYVFNAPMDKILWDAGQHAYAHKILTGRRSLFHTIKQKNGLSGFTSRFESEYDPFGAGHGCNSLSAGLGMAVARDINGRKNRIVTVISNWTTMAGQVYEAMGHAGFLDSNMVVILNDSCHTLLPKADGRPKMSVNAFSSALSKIQSSKGFRRFREAAKGLAKWFGKGMHEFAAKVDEYARGMIGPHGATLFEELGLYYIGPIDGRNIDDLICVLKEVASLDSTGPVLVHVITGTESDTGGNIRSEITANEEGPSNSSNDILKFLETGLSRTYNDCFVEALTAEAENDKRIVVVHGGMGMDRSLRLFQSRFPDRFFDLGIAEQHAVTFSAGLACGGLKPFCIIPSTFLQRAYDQIVEDVDMQKIPVRFAITNAGLVGSEGPTNSGPFDITLMSCLPNMIVMSPSNEDELIDMVATAAMIEDKPICFRYTRSAIVGTSGTLTYGNPLEIGKGEILVEGKEIAFLGYGEVVQRCLIARSLLSNFGIQATVANARFCKPLDIDLIRMLCQQHSFLITVEEGTVGGFGSHVSQFISLDGLLDGRIKWRPIVLPDRYIEHASLAEQLDLAGLTAHHIAATALTLLGRHRDALLLMK >Sspon.08G0008140-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8A:29565577:29565927:-1 gene:Sspon.08G0008140-1A transcript:Sspon.08G0008140-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQETSLEHNQNKKRRQQEPHRRRPSAAPMLGTLVPPPHLRQAHLARQMSDAFAPRTSSFYRVSISVRALNRRAQPVVDLRAALNPVHACTLAPAHRREARIVKKTISAALHVIHF >Sspon.04G0034510-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:75735944:75737161:-1 gene:Sspon.04G0034510-1C transcript:Sspon.04G0034510-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LMALRRIIKELKDLQRDPPTSCSAGPVSDDMFHWQATIIGPSDSPYSGGVFLITIHFPPDYPFKPPQMAFKTKVFHPNINSNGNICLDILKEQWSPALTVSKVLLSICSLLTDPNPDDPLVPEIAHMFKTDRLRYESTARGWTHKYAMG >Sspon.02G0040230-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:62059510:62061184:-1 gene:Sspon.02G0040230-2D transcript:Sspon.02G0040230-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Short-chain dehydrogenase/reductase SDRA [Source:Projected from Arabidopsis thaliana (AT4G05530) UniProtKB/Swiss-Prot;Acc:Q9S9W2] MLYSSFGHIDILVSNAAANPTVDGILEMKEAVLDKLWDINVKASILLLQDAAPHLRNGSSVIIISSISGYNPEQGLAMYGVTKTALFGLTKALAGEMGPNTRVNCIAPGFVPTRFASFLTENESIRKELNERSMLKRLGTVEDMAAAAAFLASDDASYITGETIVVAGGTQSRL >Sspon.01G0002290-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:6284222:6286945:-1 gene:Sspon.01G0002290-4D transcript:Sspon.01G0002290-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LPLAVATIRDGLLPWLFRGAKERRRRRKRSPAQSPNGRARVTDSTRFVLPCRGFPKKVDLDAEAVSAAAPLLATLLELRDSTDDMCLAVVKKKVTFDPNVTTFEAAPIPEDDGEGADPADGGDSSEKGWMLAPECAKSEAFPLNHRYSNCAGIDSDSDYEDAEEGEYDDDEEEEEEGEDGLDECAMDDDEDEHGLLGIGRSEEDACESLFLLPFGKTSKDSGGQVAAPVVPPAESPSVLGSVENLSLTRRKEPKPRAAAAPKSSDKENVTVVQENRMDLLAEPPAAKRKEERPAVSDYSYTPSTPSKQEASVDASLSTWLGSSGTPESNSVRSYSPISREDRPILGALTVEDIKISSANSTPRRSRSRKWLATSSSRWHTTRMMVFGSLRLHRLVVGGIGRRCLSETASVHSYAAMPNSSTSCSAVCAMSATTPSAARASASTTSSDTSPSPRVQKARFRLRKSSSIIAWSSIVKLLSLPPASRTIRNPWNEAFITSRTATGCARSTRMETSSD >Sspon.02G0049030-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:32216724:32223562:-1 gene:Sspon.02G0049030-1C transcript:Sspon.02G0049030-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGARLLRPREAEVDGLVRLSVLIIAGLPGPEGELRPIQPTPGCSALLAGRRRRSITTLKASCSSIRGSIDRSCKPELASCFLFEDALQSSAARPPGKSRAGAPVALLPGVGVVVAAGRFSTTTADDSQRLAGKVALITGAASGIGAATAREFVSHGAKVVLADVQDDQGRALAAELGASAASYTRCDVTDESQVSAAVDLAVARHGALDVAFWNAGVVGSLSRPALGALDLADFDRVMAVNARGVVAGVKHAARVMAPRRRGSIICTASIAGVLGSVTPHPYSVSKAAVVGLVRAVAGELARSGVRVNAVSPNYIATPLVMRILQEWYPERSADEHRLIVEKSINEMEGVVLQPEDVARAALYLASDESRYVNGHNLVVDGGFTVGKVPNMPPYAQYTNDNSPTHVPTHEKFQPQIPNTLYVCILSSAVQRRSRKTLLSTYLTSNVGDWEDMVVRGAIVTCNERSSGRLPGKVALITGGASGIGRATAAEFVRHGAKVILADVQDDLGRAVAAELRGGPDPDAAAAAVCHYTRCDVADEAQVAAAVDLAVALHGRLDVMFSNAGISGSLAPVPVAALDLADFDRVMAVNARAAVAGVKHAARVMVPRRAGSVICTASVAGVLGGVAFPPYSVSKAAVLGLVRAVAGELARSGVRVNAISPTYIPTPLVMGAMAEWFPGVTVEERKRIVEKDMNEMEGPVLEVEDVARAALYLASDESKYVNGHNLVVDGGFTVGK >Sspon.01G0006080-3D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1D:34320963:34322477:1 gene:Sspon.01G0006080-3D transcript:Sspon.01G0006080-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWCSKTAMEMLSNAVLSDIVSRSVSLLAKKREKQTTAAAQEYLLRRLCHLLLRSGTIVEEAERRHVTNPAMLRQLESLRDETLRGYYVLDTIRCQATPRGADDGRKDDDEAAALMSRHAFALSRFNPAKRVRVPSGNPEAPTTTMTRALRLRELRQAVCSLEAMIGDIKEFVVFMASYPPFHRQPYSAHLFIDRCMFGRHMEKERVMEFLLQMEEHPGSAATLGVLPIVGPAHIGKSTLVEHVCCDERVRDHFSLILFYTRNDLKDETVNSFRENCVIRHRNEEAVGKKLLIVIELLEDVGEETWNRLLHCSSKGSMPEGSRMIVTSRSEKIARLGTTPALRLKCLPIEAYWYFFRTTLFGSDDPGQYPELTSLAMEMANLMQGSFMFANVGAVVLRENFSARSWRRALSRTREYMAKNVSLFGEYPDDIKPTSWDHPRVTWSIVQERPDKYCMLCDIYERGSQEEVPEIPFSDMLAGCAHPRGEYEILFWKSRIPPHLSYVCK >Sspon.07G0020740-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:68217347:68221839:-1 gene:Sspon.07G0020740-2C transcript:Sspon.07G0020740-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHMDVKDASTTSTNFFGAICFFSFLGAFISDSYVKRFYTILIFAPIEILGYMLLAYQAHFPSLHPPPCDMINNPSDCTPVSGRNRSLLTLGLYLIPIGESSLRTCAAALGGDQFDEDTPEELPGKISFFNWFEISISLGAMVGVVFLVWVQDNVGWDLGFTLAALMVLVGTLGVAVGLPFYRHQKPAGSPVTRILQVFVAAFRKRKLRVPENLMEMHNKVTDGTGTSVESMERTAGFISILAYIPFSLLLSLTVEQAGTMDTRLGGIDIPPASLTVIPVAVQVLILLVYDRAVVPWLRRATGYAGGVTHLQRAGVGFASSVLAIATAALVEGRRRRRSGAPPMSAFWLTPQFVLLCVLDVTSFVGLLEFFYSEVAAGMKSIGGSLVFCILGVGSWLGSLLIQVVNDATARRGGGDSGHGWLGGANLNASRLDLFYWLLAVLGSSLSVSTCSARGA >Sspon.01G0017260-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:63045481:63049232:-1 gene:Sspon.01G0017260-1A transcript:Sspon.01G0017260-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ICDVVRYRIHRMKKKLKDELDSRNTIQHYICPSCNKRYSAFDALQLVSYTDEYFHCETCNGELVAESDKLASEEMGDGDDNVRKRRREKLKDMQQRIEEQLKPLVAQLDRVKNLPAPEFGSLQTWERANIGAFAIGDPAAADSSRNSQGQYGTPMPFIGETKVEVLIDGVKEEGAESGKNGSELKVLPPWMIKDGMNLTKEQRGEKIEPQKLEISEAKDDKKQDSKDDQSVQEEYIKAYYEALRKKQEEEEAKRRMQQEGKIFAPDSQTERQVGKKYKRDDDDEGIEWEEHQPTGTGNATETYKLADLNAEAQESGDDEDDNVWEEG >Sspon.08G0023960-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8B:60819152:60820464:-1 gene:Sspon.08G0023960-1B transcript:Sspon.08G0023960-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNEWNHQETDYPGGHTVLTRVAELLHPDTGSWDEELVREVFWEEDVRHILATPTNPDHEDALAWHFDNKGLFLVKSAYHVLDDEKNRMKSRQRGEGSNSSYAVNNRSAIWRKLWKLPCQPRVCHFLWRLARNSLAFKMNIQRRGEKGQGVDGIAADVLNLLNDLSKSERKEPIRQTTGNVRWKAPQPGQLKINSDGSFIQDTMQGSWGFTVRDYEDEVVLAGAGRLGSIPDVITAEAVARAQALQAATDHGISRVQVEMDSTILQKALVSPSMDLAACGMLIRDTRDLLNEYFVCSSVISIPRACNGIAHNLAKLAMCWGPGDRHVWASPLPEFVKSLIARDVVELMAPITRP >Sspon.01G0056820-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:93499711:93501955:1 gene:Sspon.01G0056820-1C transcript:Sspon.01G0056820-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSCAAECALSLACARWVARRLSLSGADDSASWPAASPASFEPVPRACRAALAAYNDDEQPGPPSSSPHCPPYRLLHDRARGEVVLAVRGLGLARPEDYRLLLDAGGPEPFAGGHAHRGLLRAAVWLLDREGPALRRMVAEAGCRRLVFVGHSLGAGVAALAVRMISCPELRHPCSTFSDLSSGNLPCLLCFICMRDTFVSEGKLTDPAKLYAPGTMWKIPPEVRTAVPTEGRFEHVVLSCNAAADHGIIWIEKEAQKALDLMEQEELTSPPAQQKMFKVQEALSLNVEEGTVGLHDIEHLVSLDDEGTSQGNSSSSLDFDSPRTSATSCNTSSSSTTTSGPPEWDKLVEAFLGDHDQHNDLRYVNCENFCNIVMNHLPFRCK >Sspon.02G0015390-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:20040093:20040750:1 gene:Sspon.02G0015390-2P transcript:Sspon.02G0015390-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCQAAEAAAVVIQHPGGKVERLYGAATAAEVMRGNPGHYVALVVLRVSGVGGKADPDPASTGAAAAGTGARITKVKLLKPKDTLLLGQVYRLITSQEVAKAIQARREDKTRRYSGGEVVVVDDRRGPGRHAAAAAAGSQGQGRRPTDQVERKRPEKANRQHRSGAGGGRGRHWQPSLQSISEAAS >Sspon.03G0004840-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3A:13623213:13623716:1 gene:Sspon.03G0004840-1A transcript:Sspon.03G0004840-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIIGFVTPIGPLSEENHKSNKNLLPLECEGTMECHTRDNDAVGLDARLESVFCEPTDFQNNIQVYDVELTVLGNYTGRGLPLDFLESKTTGEPIMGYPVDVDVLEDCSSASIHDHLPVVRRIGKKRLRRGHDGRWMKRTPLCFPAMSTAVRAVSTAVQTKRNSSKDP >Sspon.02G0056570-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2D:29371078:29374919:-1 gene:Sspon.02G0056570-1D transcript:Sspon.02G0056570-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPWKKGTKATKRPRSEPSTEVGEMTEYEIEMMNHCLSNNEYMRQIGLPVHTNPFANTKISPEKEGIGDSGSEYNGEDEPNSPSHDNMEPEKDDFLQELDNTISSLLADKNKTANKVTKRGGRKAQPHNQAGRLTRSNAKKATETEINQLQRKENACQTEGEDNIQTGHTENDMDLADDLFDEEDRVRGPNIGRKLDKMTRSRKGKLPLFIEPGKKRPNSMMIAAKFATECNITFQTDSTAAPVRKACVAMLKKAVRQQRYKLKKKYFDACPLHLVPKTSPLTSMSDGQWDKLVAYWKSENKMVEMEGKINAPDGEEPKDVADAVSEVLVQKTKKNSFLVNVGMKSSSASEDNAESRRELEAELVVEKQTSSDLRELVKTQQVQMDDMMKKFQDSETARARQDEELRKSKLRQMH >Sspon.02G0035870-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:22067491:22074525:1 gene:Sspon.02G0035870-1B transcript:Sspon.02G0035870-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSIKSALFSGHRPHSLAAAAIPQQQQGGAAPFHSTPVLQRKHKTQWHNRFNYYTRRRKNRETKRSMLRNMSEYAEYLFQSWRDEDEKNDASSGPSWFRGHRWVRNSNNNGFRTHDFYSRNFRSKGGFDFCTSDEDEPENLFRNVFRDQGTYYWSFSSDNSQRNSRRARSEKSRNWSSETETDEEDEVPAPSEVSLARQALGLSTSGPLKLEDVKSAYRACALRWHPDRHSGSSKATAEEKFKHCSAAYKTLCFQFIITG >Sspon.02G0031780-3D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2D:89370825:89373697:1 gene:Sspon.02G0031780-3D transcript:Sspon.02G0031780-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VAWLIGWALILEYTIGGSAVARGISPNLSSFVQGVVTVLNCFVMLFVIIAGSYIGFQTGWVGYKVAGGFFPYGANGMLAGSATVFFAYIGFDSVASTAEEPRILMAMARDGLLPSFFSDVHKTSQVPVKSTIVTGICAAALAFFMDVSQLAGMVSVGTLLAFTIVAVSILILRYVPPDEVPLPSSLQASFRLSQENDEEKLRGALGDDDHEQGSAEISDVVVESINDPLIEKQLYASNLNETKRRRTAACSIASVCIGVLILTTSASATFLPFGGTWMRVGVWLVMGVFVYIFYGRTHSSLTDVVYVPVAEANEIYGQSSSSGFVA >Sspon.04G0036870-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:52689541:52693977:1 gene:Sspon.04G0036870-1D transcript:Sspon.04G0036870-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGVRITMLIFLAFSAAAAQNVTESKVEEFHVGVVLDLGTTVGKAAHTSISIAVEDFYAVHSNHTTRLVLHVRDSKSDDVQAASAVLELLENYNVQAIIGPQKSSQAVFVSALGNKYQVPIISFTATSTSLSSRSLPYFVQATACDSAQVSSIASIIKAYGWREVVPIYVDNDYGRGILPDLFNVLEAIDAHIPYRSAIDESATSEQITQELYKLMTMQTRVFVVHMSSSLGSLFFTKAKEIDMMSKGFVWIITDGLANLIDSLNPSVVEAMNGALGVQFYVPKSARLDNFTMRWYMRSRNDHPNDPTLKLSVFGLWSYDTIWAVAQAAEKAKVTEAKSQRPPALKDSTTLGTLGNSRNRPAFLQAILQTKFEGLSGYFDLSDGKLQVSMFQIINVVGKAHRVIGFWTTQNGISQRSDQRITNATYWSTTHNLNTVIWPGESTKVPRGWEIPINGKKLQVGIVAGARFPKYIYANKDSLTGVVKASGLAIEIFEEAIKRLPYALPYEYVVFNRTENMSSSYDDFVYQVYLKKYDIAVGDTTIRYNRSLYVDFTLPYTESGIAMVVPVKESINKSAWIFLKPLTPGMWFGTIILFIYTGIVIWLLELLGDNKNVHGPIPRQLATMIYFSLFEEKLSFMMSEVALTHNVISAEEKVKRLISRIVLVIWMFFLMVLKSSYTASLTSMLTVQQLQPTVTNVYELLKTGESVGYSRGSYIKGLLEELGFDASKIKAYDTLKIITMHFPREARMVMKSHTSNYFLQSTARDTPWLGQYIRLRFWIFCTLMDDKLTWAFQKGSPLIGDISQAILNITGGDTIIQIEKKWIGDQNNCQNVGTVSGTGSMTFDSFAGPIIATGVASTTSLVVALIIHFCKNKKVGPENGDSEQILPQEETKDGVNEERQCQEEDRVEGCTNN >Sspon.06G0021540-3D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6D:17251785:17252176:1 gene:Sspon.06G0021540-3D transcript:Sspon.06G0021540-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRPDRKLANCVTPRPLRPRPAPTISSSSVAARGLAEMGSTPSMLTQYDIEEVQDHCNHAFSQQEIVSLYHRFCQLDRNGGGFVSADEFMSVPEFAVNPLSQ >Sspon.01G0000070-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:637017:638201:1 gene:Sspon.01G0000070-1A transcript:Sspon.01G0000070-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGASASCSMAAGACCACPSSSSSLFPHHRPRPRRHAGIGIATIMCSSSMNGYGYDSSSLPSLSLPFPIPAPRGVTSTSSGGRLRIFSGSANPVLAQEIACYLGMELGKIKIKRFADGEIYVQLQESVRGSDVFLVQPTCPPANENLMELLIMIDACRRASAKNITAVIPYFGYARADRKMQGRESIAAKLVANLITEAGAHRVLACDLHSGQSIGYFDIPVDHVYGQPVILDYLASKTICPNDVVVVSPDVGGVARARAFAKKLSDAPLAIVDKRRQGHNQAEVVNLIGDVRGKVAVMVDDMIDTAGTISKGAELLHKEGARAVYACSTHAVFSPPALQRLSSGLFQEVIITNTVPVQHLHSFPQLTVLSVANLLGETIWRVHDDCSLSGIFK >Sspon.04G0026850-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4B:51327526:51328961:1 gene:Sspon.04G0026850-1B transcript:Sspon.04G0026850-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MCNDESGFEDKPPFNLTADHLLDGLLTAEFGYRSCRSRYEFAGYHKKSSHQPSPYLLAKLRKQEALQKRCGPGTVAYKKALRRLESNAADVEDDDDCRYLVNISFRFRGLGNRMLAVASAFLYAVLTERVLLVNGGKDDPPPYVYLHLAGEYGFHDKLFYCGEHQQPLRGVPWLLMRADGYFVPGLFLTPPFVGELEAMFPEKDAAFYHLGRYLFHPSNAVWHAVTSYYSANLAGAGRLVGIQIRVFQEKQLPQQILDQLLSCVRDEKLLPETTTKDDNGTSSSYSVLVTSLSSWYYERLKGEYGGRLAGGVHQPSHEGRQRLRNEAHDVKALSEMYLLSMCDVLVTSGFSTFGYVAQGLGGLQPWVMSRPSPWEKWMEDQAAPQPPCQRTLSVEPCFHSPSSYDCTVRRDVELDK >Sspon.03G0004830-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:48090281:48093267:1 gene:Sspon.03G0004830-1P transcript:Sspon.03G0004830-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADYEQEQEMEVEALQAILMDDIKEIDPSESGIATTARCFQILLSPQDDDFDESAYVPVQLALIFAHTEKYPDEPPLLNVKSVRGIKPHDLTSLKEKLEQEATENLGMAMVYTLVSSAKEWLSEKYGQNGGDEEPEETEAEEEEVIIPHGEAVTVESFLAWRERFEAELALQRAKLMPDSALTAPKEKKLTGRQYFESGRHAVKGASTVADEDEEEEEDIEFDDDFEDDEEDMLEHYLAEQSGKSTA >Sspon.06G0016420-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:88980341:88982022:1 gene:Sspon.06G0016420-1A transcript:Sspon.06G0016420-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPSSCCAATASTSAPLRPASVKSSAPWSASAIRSSFWRRQRRERELSIRATAAAPPPPVDYADAGAGAGAEYVASLKIKLLSSVSGLNRGLAASQENLDRADAAARELESAAGGPVDLNRDLDKLQGRWRLLYSSAFSSRTLGGSRPGPPTGRLLPITLGQVFQRIDVVSRDFDNIVELELGAPWPLPPLEATATLAHKFEIVGTSGIKITFEKTTVKTKGSLSQLPPLEVPRIPDNLRPPTSNTGSGEFEVTYLDDDTRVTRGDRGELRVFVIA >Sspon.02G0058380-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2D:72949314:72949673:1 gene:Sspon.02G0058380-1D transcript:Sspon.02G0058380-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGAGARRRCLIAGRWMVVRAQAGGASLRPLDPGLPPRPALPATQVAQRGHSQPASRLSRPSQTPTLDPAATQTDRRDTSGIWRPDLPSRPPSCQFLRVADAAVLVDGVRIGLELDIG >Sspon.03G0034270-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3B:70371037:70372971:-1 gene:Sspon.03G0034270-1B transcript:Sspon.03G0034270-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding IQLGLGWRHYASAVCSRAPPSRIRGEPARCVGVAFLPLPGKTANGQRQGANPHQHTCTFRFYTDIALATAFVTGALPSAELRHWSSMMRPPPETSTRPQLHRRRRPVPLLTVAVSVALISLLCLPVAADASRALHYSREHRRQHHRARENKKNNHVSLPPDVDGDSPPEAPGLPPEAGGAPPLPPPAEDDDCGDVFDVRAFGASGGGGGSCSDDTPAFRAAWKAACSSDSATATLLVPSDGVFTISSTIFSGPCKPQLTFQVSFELHCLLPPRKIIDPSKPARPSFHAAVTVHQIDGVLMPPDGPASWPATDSRKQWIVFYKADRLTLAGEGTIEGNGEEWWDLPCKPHRLIRFFLSNDVTVCGLRIENSPQFHLRFDDCERVRVDGLFVSSPASSPNTDGVHVENTTSVQILNSRIYNGDDCVSIGAGCSDVHIENITCGHGHGI >Sspon.05G0023640-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:8097311:8115994:-1 gene:Sspon.05G0023640-1B transcript:Sspon.05G0023640-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMASQPKQMFGEPISLVGPTPADLEATAQLEKLLREAGMYESPEESAVRAEVLRDLQGIVDRWVKQLTLKHGYPDAMVDEATALLLPFGSYRLGVHGGGSDIDALVVGPSFVDRDQDFFGVLAGALAETEAVTDLQPVPGAHVPVMKMRFRGVQVDLVYASVNFPVVPRNLDLSDRSVLRGLDHVTARSMNGVRVADEILRLVPDAAAFRTTLRCVKLWAKARGVYSNVSGFLGGVAWAILVARVCQLYPNAAPSMLVSRFFKVLSQWRWPTPVMLCDIEHDAELGLPVWDGRRNPRDRTQLMPVITPAYPCMNCTYNVSQATQRIIKEQIQAGHVACQEIAGGGGRCWGALFQPFPFFRTHKSYLQVDATVAGGEEELREWKGWVESRLRQLVAKVERDTFGELLCHQNPRAYDAEPHGLRCASSFFVGLSKPQQQQKPSPPQGQQPQFDLRATADEFLQDVYTYRFWRPGLELAVKHVRRKDLPSYVMQKIRGPNIHELKRKRADDDSSPSNRISAYGEILPILKGTVPFVFLCCTIAGPRSLAITHGMAMASQPKQMFGEPISLVGPTPADLEATAQLEKLLREAGMYESPEESAVRAEVLRDLQGIVDRWVKQLTLKHGYPDAMVDEATALLLPFGSYRLGVHGGGSDIDALVVGPSFVDRDQDFFGVLAGALAETEAVTDLQPVPGAHVPVMKMRFRGVQVDLVYASVNFPVVPRNLDLSDRSVLRGLDHVTARSMNGVRVADEILRLVPDAAAFRTTLRCVKLWAKARGVYSNVSGFLGGVAWAILVARVCQLYPNAAPSMLVSRFFKVLSQWRWPTPVMLCDIEHDAELGLPVWDGRRNPRDRTQLMPVITPAYPCMNCTYNVSQATQRIIKEQIQAGHVACQEIAGGGGRCWGALFQPFPFFRTHKSYLQVDATVAGGEEELREWKGWVESRLRQLVAKVERDTFGELLCHQNPRAYDAEPHGLRCASSFFVGLSKPQQQQKPSPPQGQQPQFDLRATADEFLQDVYTYRFWRPGLELAVKHVRRKDLPSYVMQKIRGPNIHELKRKRADDDSSPSVVCISFCSEKENFLSGSLDRTVLLWDQRAEKSQGLLRVQGRPAVSYDDQGMVFAVAYGGHIRMFDARKFEKIASYNVKPVVTNSTLEASFSPDGNHIISGSGDGSVYAWNVRSGKVARWGSTDNEPPLVRWAPGSLMFVTGSSELSCWVPDLSKLGPFTISK >Sspon.01G0034890-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:5994898:5999895:-1 gene:Sspon.01G0034890-1B transcript:Sspon.01G0034890-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEELTSGDAAAASLAQEPRGQADGEGRSPREAEAFEDAVEEASTASASPVACCGGEAAAEASSPSAWRSQGDGPLAADEAETYESPSSSSSGCAAMEGEESPSVSELREEPGRVDTGMAASPEGQRAGGAEEHENSMATLGAGSPSREETESCMHSAPSSPSRSASSTSSPPLSASSTSSPQLPQIKQQSRHVRTGSFQRFREQMQRAWKWGPIGGGGGGERSPRELLLRTTVNIEAMANQKRQWYQVRSKSQDNLQYREPTSLFEHFFVVGLHSYANVGVIEDAFAKKKAWESNVARSEIVDRRKIQYHGPIPSMEPQILFKYPPGKRAEVREADLPSFCFPEGVKARLIERTPSMSDLNEVIFGQVSDNAPLYGVCLHVQEIVQKAPGILGAVSPLNPNSYKPSVSWFLHHAVTVYLQRSNIYLYILIQDFINSVLRIWWCVYSMNSIIAQERLDRITQFASEIALAEPVPRPVKEQDGVDGDFDSSNGIPYIDWTEYAVPVNSISGLISSSSVPSERDMSSYLFRSWEPNSSQSISASEISDSSYVREVKKEARHSFQHYEDCISGNLEPRCDSFGRASYIYDNGNTSSDLLSMHSPTSRRLKRAQSVDSLESSVKGAASDEEYEVNVKHEVIVDDEKVIGWAKVHNNEPLQIVCGYHALPLPPRGGELVFRPLEHLQPVKYSRPGLSLLGFREAILDNALIKAETNKINSHLAAAEEALALSIWTMATVCRSLSLES >Sspon.02G0024650-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2B:86465815:86467599:1 gene:Sspon.02G0024650-2B transcript:Sspon.02G0024650-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRIGVITFLTILLFRCLNPAAAVSTDQDQFVFSGFTGANLTLDGTATVTADGLLELTNGTVQLKGHAFHPAPVRLRTSPSGTARSFSSSFAFAIQTTYPGLSCHGIAFIVARGTDFSSALAAQYMGLANIDDNGNATNRFFAAEIDTMQNVEFQDVNNNHVGIDVNGLHSVAANPAGYYDDTNGSFHGVDLISGEVMQAWVEYDAEEARVDVTVALIGMSKPVRPLVSTRYNLSDVLAEPSYVGFSSATGPINSRHYILGWSFAVDGPAPAIDVAKLPKLPRLGPKPRSKVLEILLPIATALFIVTLGTLVVVLVRRRMRYAELREDWEVDFGPHRFSYKDLFNGTDGFKDKHLLGQGGFGRVYRGVLPKSKLEVAVKRVSHESRQGMKEFVAEVVSIGRIRHRNLVQLLGYCRRKGELLLVYDYMSNGSLDKYLHYEEAKPVLDWAQRMHIIKGVASGLLYLHEKWEKVVIHRDIKASNVLLDKEMNGRLGDFGLARLYDHGTDPQTTHMVGTMGYLAPELVRTGKASPLTDVFAFGTFLLEVTCGQRPIKEDEQGDQLLLVDWVLEHWHNGSLLATVDTKLKGNYNIEEAYL >Sspon.07G0015220-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:58674590:58675473:-1 gene:Sspon.07G0015220-2B transcript:Sspon.07G0015220-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVFNGVRFVRLRCCARRGKYLAADADGSSVCLTGQRGVHNAVWAVHHAAGPDGGPCVLLRGAYGRYLLATSVQAGTGPSHGVVTAQDGLGGAPPPPGMLWQAIPRRTTFVIRSGTGRYLRANGRYLRWRRAVTSAGDNGSTMMQWDIENVPIRMTRPCILDPTYQLTHARRRPLTESEVARQIRYVRGETDGSVNEGAWRTMQLNTHNLMQLRLTLACRLGASRDVTRTTLCVRAGRYAHLSPLLVDLPIGNDRIDIVILNHGTPG >Sspon.04G0032680-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:31715847:31723540:-1 gene:Sspon.04G0032680-2D transcript:Sspon.04G0032680-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAHRRLLCVLRALVGLRSIGAATAAAGFSSAPSRGCPLHAALARRGAPAAAALALFSRIRAATLPTPYTFSLLLASLAASSSSRSPNPSAGVCDRLAAAGLAHAQALKCGALAHPVVTNCLLKLYCALGMLPDARRVFDTSGTTALDAVSWNTMVSGYGKCGDLEAAREVFDRMPERGAGFLERNDRRLRAVFDQMTENGFRPDAVVLVSVLKACAHLGALERGRPGNVILETALVDMYCKCGCMNEAWWVFDGVQSHDVVLWNAMIGGLAMNGHGKRALELFRRMLDKGFVPNESTFVVVLCACTHTGRVDEGKDVFRAMRDHGIEPRREHYGCLADLLGRAGLMEEAEAVLLDMPMEPHASQWGALMSSCLMHNNVGVGERVGKKLIELEPDDGGRYVVLFNLYAVNGLWEDAKALRKMMEERGAKKETGLSFIEWNGLVHEFRSGDTRHPQTRLIYALLEDMEQRLQLIGYVKDTSQVLMDMDDEEDKGNTLSYHSERLALAFGILNIPHDMPIRIVKNLRVCRDCHVHAKLVSKLYQREIIVRDRHRFHLFREVSGADEMLVREEEEVGDSLYERSMAGEEEEAHQRAMPVSKRTSCTGEQPSRAGKEPRRKRHGPASPTGRGAWRRQRIVSKARCQQSIPFCSSDFCSVPLFFSSPTTPLPSLDSDGLLEARARWCYCRCFRRWGRCFCGCFCCNSFPDEPHGPGLSIRRLTSSVSRAPSVRTMEDAELLQPPERLGGLDWIHRGVIASMFQLLGVGTFLHFAPIRASGSAACFGNLPKTRSGAVQFSADYRLTASSFSSCHLPGSWTARKAPGPENGHMPSAPTALHAVMGWERREKIFHGSLTPVPVKATAAAQFQFLPRAEYLSWPPSSAPSGGPCSTINYHEDMN >Sspon.03G0016210-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:75411508:75412770:1 gene:Sspon.03G0016210-2B transcript:Sspon.03G0016210-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARIHLYVAAACAAVLALAAPALAGDPDMLQDANISADDFFFDGLRNPGNTSNAAGSLVTAANVENFPGVNTLGISIARIDYAPGGQNPPHTHPRATEIIFVLEGTLEVGFITTANKLFTKTLTKGDVFVFPRGLVHFQQNRGYCPAAVVAGFNSQLQGTQQIAMTLFGATPPVPTDILAKAFQIGNGEVEHIKANFAPK >Sspon.02G0015290-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:39892734:39896179:-1 gene:Sspon.02G0015290-2B transcript:Sspon.02G0015290-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQPAFLSSLRSRLRSAPPPPHHRLQPSRGYHVELGAREKALLEEDVALKRFKSYKNSVKQVSKIGNALTFAVVLACSYELAVLATSTK >Sspon.04G0008540-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:25692473:25696550:1 gene:Sspon.04G0008540-3D transcript:Sspon.04G0008540-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNLLLLLLLLCQQGVRRASGVAGAAGGGILSVPSAASLAHCPTRCGDAEFHYPFGTAPGCFRQGFQLTCDHTTHPPRLYWANSSTQIVGTDGTDHYFAYAAIGFSITMAPGTASTYTGSWESPAEGFVIDSDTHMYVVGCNVEAFLFSTGTNLSIIGSCMSWCPGDRATTMGGGDDSVPVAGNCNGLGCCSIALPEYLQGFRFTVSSSRSRRNGVVAQPDADADAEPSINVKVFLTDDYEFDTSDLYSSWVNRSVHTSLEIFATDEPSCEIASANKETYACSSGSICQTGKWGGYFCYCNPHVSGNPYVLDGCIEGYNPNPEGNCKRSCGNMSVDFPFGLEERCAAHQKFQLSCVSNKFAVLDRGDGTKYMVTKLSVNDGYLGVTSMLNDSSSSDDQVVVVHTSNGDFDYRLSTESMRGFIEFSQEFDIRMSWAVSNLTCATASQRNKTYACVSAHSECVNVTHGVLYLGYRCKCSQGFHGNPYVKGLDGCTDIDECLEPNDCNGVCHNTLGGYYCTSCPHGKVFEPTKRNCVTTAKQHSLLLGVTIGIGSALGSIIFVLCAILDLGNATRLPCEETSRGYSLEKEFASSISLPR >Sspon.07G0034200-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:67786060:67787010:1 gene:Sspon.07G0034200-2D transcript:Sspon.07G0034200-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding HVQPHARPQGRPLRLPARQARAAGQGRPRAAPAPRRRARRLPPRLRRAHPAGLLPCCRNNLLLLLLLLLLHVPRAAGRRRGGGVRQGNGRTAGGDGGAGALHHLQRDAAADRRRDRGLAARAAAPRALQLPRLRPRPRQPDVGRAQPRRPDRVPGGGRDVDRRRAVHPPGARVLPRRLRHGPHRRRRAARAAPPPGLRRAAGPRRRRGGVVPPRAQRPAPSLPRAGVGPRHGGRAHGVDAAGAGTDGRHLHRRHGGARAQARGWTHRRLRARRRPARRGRLLQGVPLRGVPRRAGRPDQALRHPVTPREGRHAILPL >Sspon.05G0007970-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:24677137:24680536:1 gene:Sspon.05G0007970-1A transcript:Sspon.05G0007970-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ACVAGDEDEEDEDEDEEGGGGAGGSRMFEMFLENGGTLPGPSEPSAHQHPAATPTPPPRPRHQHSMSMDGSTSLLGSAAAGTPGRAGADAKKAISDAKLAELALVDPKRAKRILANRQSAARSKERKMRYIAELERKVQTLQSEATTLSAQLAMLQRDTSGLTSDNSDLKIRVQTMEQQVRLQDALNDRLRDEIQQLKVATGQ >Sspon.07G0020600-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:79585660:79587098:-1 gene:Sspon.07G0020600-2B transcript:Sspon.07G0020600-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEAKKLFAASEVALHASRKDCWVVIGGKASEKEIFLAVYDVTKFLEDHPGGEDVLLHASASGDATEAFEEVGHSTSAVSMMDSYLIGSIEGYVRPSASKATDPWSADVPPNSRTMQGNKGHPNPNTFLDFLLPLFVLGLAFAA >Sspon.02G0021810-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2B:71557877:71559352:1 gene:Sspon.02G0021810-2B transcript:Sspon.02G0021810-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVHEARTGGSGAANGRRSRRRVVMFPLPFQGHINPMLQLGDVLHARGLAVTVLHTRLNAPDPARRHPEFQFVPVPDGVPAHVAASGNVIDIIEAMNAAMEADGAVALRAVLESVVVDEARPRAACIVFDANLLAVPSAAAAVGLRTLVLRTASAACLGCFLAYPMLHEKGYLPPQESKLYMPVKELPPLRVRDLFYSSWSNHKKMRELLARANEATKNSSGVVINTLDALEKPELKRIFEELHIPMVLAPGPLHKLSSKSTRSSTPDQDDYSCIEWLRLDKQPSESVLYVSFGSLASMDSSEFLEVAWGLANSGHPFLWVVRADSVRGLDGPDFPNGFEAAVHGRGKVIRWAPQLEVLAHPAVGGFWTHSGWNSTLEIISEGVPMICRPQFADQMMNTRYVVNTWGVGLELEGELERGKIEKAVRKLMEEREGEETRDRAKELKEN >Sspon.02G0018980-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:78735976:78738726:1 gene:Sspon.02G0018980-2C transcript:Sspon.02G0018980-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSVAVSLRALAAASLPKPSPSPAPSSPFLVLLAPALPRRLRSARRLPLAPLAASASDSVGVDYAEPAESEQEEEEAFAPEEEEAADELVEDDAVEASAAVEEDEEVGEYVEPPEEAKVYVGNLPYDVDSERLAQLFEQAGVVEVAEVIYNRETDRSRGFGFVTMSTVEEAEKAVEMLHRYDVNGRLLTVNKAAPRGSRVDSPPRPSGPSLRIYVGNLPWQVDDSRLVQLFSEHGKVVDARVVYDRESGRSRGFGFVTMATQDELDDAIAALDGQSLDGRALRVNVAEERPRRSF >Sspon.04G0017120-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:62256365:62256781:1 gene:Sspon.04G0017120-1A transcript:Sspon.04G0017120-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLELELLPSHHQLPPIRTAAALPTPRPGSDGGDNAADVDGGCATPKSAASVLRAPSACPPAPRKPRPAKRKQLLTTHHRACSCSTTGSAAAPRPAPVRWFIAVPHDVLAAVFVARPASAPCPMSPASKKMRVHVVGS >Sspon.01G0038140-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:28350375:28352690:-1 gene:Sspon.01G0038140-1B transcript:Sspon.01G0038140-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHAPPVHRIFFSTMPPQPCTTTRAAPSHSPVASISTGLALERTVQFTDRADERERASEQVKLGRSQARDGSRCCDGAAIAARHPAPAPLAVLGGGATARRGSWEEGEGEWRPAEEEEKGKGKERGLFVLDRLEKVIESEGGQVRVVRGQPWPPAAFACREGLMHIGFITMEPKTLFVPQYLDSSITLFVHRGEVEVGYIHKDELVERKLKMGDVLHIDAGSTFYLVNTGKGQRLQIICSIDASDSLGFGPPYQAFYLGGAGHPASVIAGHLRRVGKYLVATNRRPHRVLHRGRGAREWWRQRGAWQGNGHDDVLDKGARCEGGGAWRPVGRGDEGDECGSDDARRPTWSWRKLVNRFIGGAAGGGVTAEANKKGKKKGGAPEPYNLYDSEPGFRNAYGWTVSVDKHQYEPLKHPDIGVYLVNLTAGSMLAPHVNPRATEYGVVLGGEGTIQVVFPNGSLAMSEAVRPGDVFWIPRYFPFCQVASRGGPFEFFGFTTSARRNRPQFLVGAASVLRTMLGPEIAAAFGAHEKEFSKLVHAQREALIMPSFPASGKEEEEKHGKKKGREEKHGKGEGRREREKPLPL >Sspon.04G0015280-4P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4D:65922506:65927974:1 gene:Sspon.04G0015280-4P transcript:Sspon.04G0015280-4P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MIHAERSNESLVEAFKNMHVHEEMVPDDNEDTVHEVIEDGGVEESQEDAVEVDAEDDGVEESQDEAVEVEAEGSTDGAVLVNGNSSEEQW >Sspon.03G0021860-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:52791106:52795185:1 gene:Sspon.03G0021860-2D transcript:Sspon.03G0021860-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNYWSQLVNDIERAELTTLVWSSDDLIPPTERYIFNFNSKDELKRWHLYSDSEYGGLSSASLEITDGASGGDNPLTGVFSGNLSLDMSEDSTWRIRRYGFCGMRSKKFDGFIDLDAYDTIAMKIKGDGRCYISTIYTENWVNSPGQEEDNSWQAFVYIPQDRWQVLKIPLDRYLPTWRGNVIEAKLEMNPARIVGMSLSVNAEGGVPGAKTGPGDFRLEVDWIKALRML >Sspon.05G0021450-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:90217165:90222306:-1 gene:Sspon.05G0021450-1A transcript:Sspon.05G0021450-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRPGSKESQNYDINNQRVHPQPIDENMNQNGDPMDTMIGRIFNNISSLKSAYIQLQEAHTPYDPDKIQEADKLVIEELTKLSELKHAYREKHPKPVAASPQDSRLLSEIQEQQNLLKTYEVMVKKFQSQIQTRDTEITHLQQQIDEAKLRKSKLEKKLKQRGLLNKESEESDEEESYFSIELTPSLFTSAVDSAYQSIHDFSKPLINMMKAAGWDLDGAANAIEPGVVYTRRAHKKFAFESYICQRMFSGFQEESFSIKDSNISGSNEAFFHQFLAVRAMDPLDVLSQNPDSVFGKFCRSKYLLLVHPKMEGSFFGNMDQRNYVMSGGHPRTPFYQAFLKLAKSIWLLHRLAYSFDPKAKVFQVKKGSEFSDIHMESVVKNIILEDGAERPKVGLMIMPGFLIGTSVIQSRKRSKVPDSHGQSLLSTAAMAAASDSTHPPPSVTHDLDEDDDDEEFDDDDDDDDLDDEADDGSEPPASSSEAARLEAVLRRLTTDEVWIRVHQVTIRGCARTRRAAVEAAVGPDLARAATVRDLVRAAAAAGDRLRRLDAFDTVSITLDAAPPGVPGSAVVVLVDVAEARGRAAGDFGVFAHTQTKSCSLEGSVKLKNLLGYCETWDASGALELDKTAELSAGVEMPRIGAIPTPLMARISLLSDDWLKSSLKEHLMGVSVGLLSTMNHNLAYNLTWRKLTDPTHMSTSSVQEELGHSLLSSIKYAYKVDQRDSSIRPTRGYAFLSSSQVGGLAPGSKYSRFLRQEFDLRVALPLGVLNGAFNAGVAAGVIHPLERGSTVSSLLERFYFGGNRPLVCRLGGPSSLLGFKTRGLGATEFRTHDPHNSDNGTSTSPELNGLGGDIAVTAFADLSFDLPLKPLRDLGIHGHAFVCAGNLGKLMDYDLWKFPVTNFLQTFRSSAGFGVVVPTRLFRIEMNYCYILKQFDHDKGKTGIQFNFSSP >Sspon.05G0027580-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5B:54785603:54786108:-1 gene:Sspon.05G0027580-1B transcript:Sspon.05G0027580-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRAVTWAWYNVMFLISRTLIPFREREKISGLGKTFLNGTAAACVSWCHAARLSAAHVLVLTMPALENPIQFSICCPDLAHAALSVPGCRRHRASPPPSPSCPRPPNGLDLLPQSAAPGHPTLGCHR >Sspon.02G0000960-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:3567643:3570572:1 gene:Sspon.02G0000960-1A transcript:Sspon.02G0000960-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MELKLTWEEAQELLRPAPTAKPTVVMIEDYEFEEYDEPPVFAKRSIFTIRATGEQDQWIQCDECSKWRRLPLNVIVASKWTCTDNSWDPKCCSCSAPEELTPKELQSVMQQYEEMRKRKGSYGLKLNVAEMDASSLDALASAAVFGEVGNQGTASVATTTKHPRHRPGCTCIVCIQPPSGKGPKHNPACTCNVCMTVKRRFKTLMMRKKQRQSEREEAEASKKIAWVNRDEPEGSNLSRSPQTLDTTRDNSDVTMFDKVADMNKGRIDLNFHPAPAARDDQGQHGAQQPRPVSMMGLLEVASRPLDNYMKQNGLTSLVGEQGGGSSSTATVPQGPVESEERTSNEGRVASASAEREPDTMAIDEAGENQQDKAADDAAAPATT >Sspon.02G0015440-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2B:40581112:40584871:-1 gene:Sspon.02G0015440-2B transcript:Sspon.02G0015440-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFEEMGIEFSVEPSCIWRENAEYPGGEWLPGAELNAAANCLTAKPGRSSDSPAIVWRDEGKDSEPLNFMSLEELRKKVWWSSLVANALDALELPKGSAIAIDMPMDVNAVVIYLAIVLAGYVVVSIADSFAAPAIAMRLKISEAKAIFTQNILLTPYFGFYSRVVEAKAPMAIVIPVRGSLPIKGLRVDDLSWQDFLGKVNHTEAENYTAVKQPAYAFTNVLFSSGTTGEPKAIPWTHITPLKAAADGWCHMDIRKGDVVAWPTNLGWMMGPWLVYASLLNGASMALYNGSPNSSGFAKFVQLPNFQDAKVTMLGLVPSIARTWKNTDCTAGLDWSSIRCFSSSGEASSVDDYLWLMGRAGYKPVIEYCGGTEIGGGFVTGSLLQPQALSAFSTPAMGCNLFILDSSGNPLPQDSVGIGELALDPTLFGSSTTLLNADHQEVYFNGMPEWHGKILRRHGDEFERTSDGYYRAHGRADDTMNLGGIKVCNALWKYETAHCNAAFADPRMEFHDHFQVSSIEIERICNRVDDAIVETAAIGVPPVGGGPEQLTIAVVLKDQSSQVEDLNQLKLAFNTALKKLNPLFKVSSVVVVPSLPRTASNKVMRRVLRKEFSQAAQAAQAKHSKI >Sspon.07G0025850-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7B:48804037:48804495:1 gene:Sspon.07G0025850-1B transcript:Sspon.07G0025850-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GSKRNKRKKKFRGSLPGRRKVPRDISGGHNRIYQDYFVDQCVYSERHFRRRFRMFRSLFLRIVDAVESHDDYFRQKPDAIGTLGASPIQKVVAAVRMLAYGISADFLDEYVRMGESTIIECLKHFVKVVVEVFGEEYLRAPQCRGHGQAIGN >Sspon.01G0024650-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1A:87877809:87879013:-1 gene:Sspon.01G0024650-1A transcript:Sspon.01G0024650-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LKQAQETVDITTCDQSI >Sspon.06G0019050-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:61899197:61912878:-1 gene:Sspon.06G0019050-2C transcript:Sspon.06G0019050-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSATPEDFLAAQDGAPYLAAPEPFSPSVFLDLPPTPRPTDSADDDDPDLVLPFISRMLMEDDIHDKFFYQFPGHPALLTAQQPYAQTLSTTTASDAATNTTATTTTTSSSSGSGTAAASNSTLSPSPDPASWPHYEDTVDLSDLLRSPPYPSPDMGVGLDDFARLLSPAAQGQDDATAGGFHSHQNPPFSDGGGDRGEAQRQQPSFAAQSAGGPQPQHGIQSSAAFSSDKDEEEETKTESTTFPAGDADHAALASAFFGAHNGGNMDMLNMAFLKGMEEAMKFLPTTNSLLIDLADTSGQSLPKDRDSQPSTTFAPTQVKEEEEVDGISMFGGSSNGRGRKNRHSQEDLEVETGRNSKLMMPEQEETGASELFDELMSCKYDGVLKHMQDLRIAMDSESEKSARRVRGKQHGNEFVDLHTMLIHCAQAMATGDRRSATEMLKQIKQHSSLRGDATQRLAYCFAEGLEARLAGTGSQVYQSLVAKRTSVVEFLKAYKLFLAAISLSKVHIIFSNRNIVHAVAGRSKLHIVAYGVQYGLQWPGLLHFLAGREGGPPVVRFTGIDLPQPGFRPAYQIEETGRRLSNCAREFGVPFKFHAIAAKWETVCAEDLNIDPDEVLVVNSDCHFSNLMDESVDVDTPSPRDVVLNNIRKMRPNIFIQIVKNGTYGAPFFLTRFREALFYYSALFDMLDATIPRDNDVRLLIERDIVGRSALNVIACEGADRLDRPETYKQWQVRNHRAGLKQLPLNSEVVKFAKDKVKNYYHKDFLIDEDHRWLLQGWKGRMAAAAPEGEGLLSDPEPFSPSIFLDLPLTPRPDGNGEVPASSDDLVLPFITRILMEEDINDQFFYQFPDHPVLLQAQEPYAQILSDAATARTNSAASSGSPSTVSPSSSDGLAQLLLSPPYPDTGLHGFTDDGVGTFFLPAQEQSPAQLRATTLPAGDGDHTALASVFFNRGDADAEMLKKAFLKGMEEAKKFLPTTNSLLIDREAEEEELGISGRGRKDRDRLSWDDLEAETCRKSKLMVPEPEETGQMVDEMIVNGLKLCLKEMEALRITMGSEAKKKARKGKGKSAQGRSSSNEAVELSTLLIHCAQAVATDNRRSATELLRQIKQHSSPKGDATQRLAHCFAEGLEARLAGSRSQLYRSLMAERISVVEYLKAYWLYLAACCFKMTAFRFSNMTILKAIAGRKKVHIVNYGMDYGVQWPTLLYHMANLEGGPPEVRITGIDLPQPGFRPAMRIEETGRRLSNYARQLGVPFKFHGITARWDTVHVDDLNIDPDEVLIVNSIIQFGNLMDEGINIDSPSPRDVVLRTIRKMQPDAFILYVMNASYSAPFFVTRFREALFFHSAMFDMLDTTAPRDSHQRFLVEQHLFRQCALNVVACEGTDRVERPETYKQWQVRNHRAGLKQLPLHPDIVKNLRDKVRDQYHKDFVIDTDHNWLLEGWKGRILFAMSTWTDATQRLAHYFAEGLEARLAGSGSKLYNSLMAKRTSAVDFLKAYWLYAAACCFRVVAFKFANMTICKAIAGRKRVHIVDYGIQYGSQWPGLLKLLSICPGGPPEVRITGIDLPQPGFHPASRVKETGQRLRNYASQGGVPFKYRGIAAKWETVGVDDLDIDPDEVLVVNSILHFGNLMVDGRSPSPRDVVLSNIRKMRPDVFILFIMNGTYSSPYFVPRFREALFHYSAMFDMMDATTPRDSDLRVLVERDLFDQCAQNVIACEGLDRVERPETYKKWQLRNHRAGLGQLPLDPDIVKAVRESVRDKFHEDFVIDVDPMAASPEDFVADPAEPFSPSIFLDLPPTPRPNGNGEGKDPASDDLGLPFIERMLMEEGIDEEFFYQYPDHPALLQSQESYARVLSDATVDSSSDGCPIVSVSFGSDDLTTDASTASEQSPAQAQLGDAGVRSYAAAEEEMEKKANRTMLAAVDGDHAALASAFFSAQQDGGSNAMLNLAFLKGMEEANKFLPRDIDLLRGSATVLQVKEEADEDATANCRGRKNRHNRGDVLEANLCKESKMMAPEPEESGEVIDEMIANGFQLFFREMEGLRISMGNEAGKKRSRKGSARSANGAVDLCTLLIHCAQAVATDDRRSAAQLLWKIKQQSSPRGDATQRLAHYFAEGLEVQLAGSGSKLYNSLMARRTSAVDFLKANRLYAAACCFRMMAFKVANMTICKAIAGRKKVHIVDYGIHYGSQWPGLLKLLSICPGGPPEVRITGIDLPQPGFRPASRVKETGRRLSNYASQVSVPFKYRGIAAKWETVGVDDLDIDPDEVLIVNSILHFGNLMDEGIDTSSPSPRDVVLSNIRKMRPDVFILFIMNGTYTSPYFIRRFREALFHYSAMFDMMDATTPRDSDVRVLVERDLFGQCAQNVIACEGLDRVERPETYKNWQLRNHRAGLRQLPLDPDIVKDVQESVRDKYHEDFGTDVDLQWLLGGWKGRILYAMSTWAAADAISDS >Sspon.05G0001880-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:904200:907103:1 gene:Sspon.05G0001880-2B transcript:Sspon.05G0001880-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSYRHRCCAQLPIHKPGASAAQNHQPTQSFLCCLPRRVATRAAKIGSESPAADGVGDAARKRPSGGDGPTPVPADKRRRPEHPSSSSSAGSRDRHQAHHHQPHGRRPPSSSAEEKVRASHILIKHEGSRRKASWRDPEGLAISATTRDDAADLARALRDQIVSGDRKFEDIAAENSDCSSAKRGGDLGQCSVLNPTGQLVGSFGRGKMQKAFEKAAFALKVGEISDVVDTESGVHIIKRTG >Sspon.07G0001340-2P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3D:33721775:33731464:-1 gene:Sspon.07G0001340-2P transcript:Sspon.07G0001340-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSQEALQGKDGIAFVEAEEKAPRFPEVPPCDERV >Sspon.08G0029370-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:35438845:35439114:-1 gene:Sspon.08G0029370-1D transcript:Sspon.08G0029370-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPLPWVILRRVLVRKDTEAPEVEHPTAISISTTLRAPPRVTILSMAVAPNVHPDRPICSDELPYLVATGPLRSPALLLRRLGPHRHR >Sspon.02G0013340-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:35580577:35582249:1 gene:Sspon.02G0013340-1A transcript:Sspon.02G0013340-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVALHGLSMGGLPLALVAVAVVVVVVAVMMMSLKVCLLLSRVWDALIPRFVIVSRFGVSVQQHANPQMFGPQNQGGYQPSFPVDYSKRVGDLREQLRENPYQFVRNPSVDLRFWSRMHSDYYYKVLFKSGQHGSSPPIFQHKWVQHASLDNLNNPEIHRLVVDLDAWCLLPLMTFQHNWNEEIICQFYATLWIDSQNLVLHWLTQGVHYRCDYRTFSCLLGFTSEDRAASSLFDIYPDSVSHDDLEAAQIYKPGKAVDFTTTHLKPYFYVLNNLLHLTLDPKIGDSIHIMLDAPKVLCRFGAGGDRFSITDFMWRKIEQAANDPHKSLPYALFLMYIIEQVVGRSFHHNAVHKSYSVRHLGPKGIGGSSAPSPTRGPATRPSSRGAYRGGATTAPTHSSSERCRRGRGVIKYALGKLWEAFCYKAEKDDRRLRRIEQHLQIDPPESPLREFRDPFAEYNELYGAPATGLSEEAAPSDDPRGKKTAPVSSRLVDYTTDDDDDGSDSTNDRDYTEPY >Sspon.06G0011770-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:46857554:46860415:1 gene:Sspon.06G0011770-4D transcript:Sspon.06G0011770-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLPPGPADGGGCTGDDWFLDCGILDDLPAAACGAFPWDASPSSSNPSVEVGSYVNTTDVFKEPNDVFKEPGSNKRCLFIFFIFYLVSLPQLAWDKRLCCCCCCYLVLSSAVVSVQIQTHTYGLKKHSYCLAVCYHHFYNCNSIATCSLRSGSNDVPTSKASREKMRRNKLNDRFLELGSTLEPGKPVKADKAAILSDATRMVIQLRSEAQQLKETNGSLEEKIKELKAEKDELRDEKQKLKLEKESLEHQMKLMTSTPAYMPHPTLLPAPFPQAPLAPFHAQGQAAGQKLMMPFVSYP >Sspon.06G0024680-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:57358744:57359778:1 gene:Sspon.06G0024680-1B transcript:Sspon.06G0024680-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNITHNTSSSSSWDLDMSLGSHHHPLLFDSHPNPGPPPPPPLPFHLSSSHPAHHHPPPHPAHHHHHHPGLDPSPSSSLFPHPHHHHRLHHLGLDLDPASHSHGHHRHHEYGGGGHGEQQEPGGGGHEHQEEMRQQQHEAGAGGGQEDRGGGGGAEDVEEELGAMKEMMYRIAAMQPVDIDPATIKKPRRRNVRISEDPQSVAARHRRERISERIRILQRLVPGGTKMDTASMLDEAIRYIKFLKRQVQELQHQPPPTQQQYPASAGAGPSTSVVGVAAPGRPVGGPPFLPLGPGPLIDWAGLVRPVDIHGPTSSSSSSSMGGAHAALGFGFSSAGGQSSHGMH >Sspon.07G0028990-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:77825012:77827621:1 gene:Sspon.07G0028990-2C transcript:Sspon.07G0028990-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nitrate-inducible and autorepressible transcriptional repressor, Nitrogen respons [Source: Projected from Oryza sativa (Os02g0325600)] MEMDPPPPLHADRRRRCREYLLALEEERCKIQVFQRELPLCLDLVTQTIEGMRSHMDSVVVGSEETVSDHGGPVLEEFMPLKPTLSSSSSSDDDDEHDSSHHHQPTADGVDADKNDEAPGDPGTVAARTSTRRRLLPQPETKKAMPDWLQSVQLWTQNQRPPPPQHQDELLPCRPVALNACRKPGGAFQPFEKDKNKKEKEKKRAELPLPASSSATAAASSAVVGDSCDRTGATDTDTAGNNKRSGDKETSIKGGKDKEAQSSSQAPGRKPRRCWAPELHRRFLQALQQLGGSHAATPKQIRELMKVDGLTNDEVKSHLQKYRLHTRRPNSTAAVQSSATSVAPPAAPQFVVVGGIWVPPPEYAAAAAAAAAAAAAAAAKPQQVHDLAGDASGTTTTTANKVYAPVATTALTPGLLQPRPQRQSSSCSGGRRSGDACSGSPAVSSSSQTTSA >Sspon.05G0008500-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:25529265:25533416:-1 gene:Sspon.05G0008500-1P transcript:Sspon.05G0008500-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMDMRRHSVSVDVPLSRTLVQLKRVRSLRDPATNSMSKYASPSDHMIWETASSNGVTLELGRSAHHHLVEEDEDVGAEPTMGSERSFRGPNARTASYRKSSTVRIRGLNPPRNKQVHRVRQDGHRKSLDSNHSNHSSIRQLANNMVNNVDADKEEEEVNSYERPKFAMPDKADEEVKMPDYSKFRSKSSAAMSRVGSPCMSASEARSVGSRRSTLGHGTEDTRLRSNDVVGSNFSGCGISYCWSGASKYRELYSDSDGPDQPLLSTDGTEAAFQGNVPYTETPRCLSQKFRPRSFSELIGLNVVAQSLLYSSCKGKVAPMYLFHGPRGTGKTSTARIFAAALNCVSLEEQRPCGFCKECVILFSGKSRDVKELDAAKMDRLGRVKALLKSASLVPYSSRFKVFIIDECHLLQEDAWSAILKSLDEPYRHTVYIMITSDIESLPRTSITHCQKFHFPKIKVADIVYRLEKICIEEGLEFDHDGLYFIAAKSNGSLRDSEIMLDQLSLLGKKITISLVHELVGSVSDDELIELLDLALSSDTTNTVRRARELMGSAIDPLQLVSQLANLIMDILSGRLSEVGIKKLRHALKILSETEKQLRTSRNQATWVTVALLQFSTNEPNLAAEPNDMHAHSVTGYTDDWVSKVHSSANFCQACNSSKSNCSERHCRRLKLENIWRRAIGKCRSRSAKSFLRKEGFLSSVHVTEELAIAEVGFGHPDHLSRAEKMQSLIECSLQHVLGCNVEIRFKLVPCPVRKDARLKRQSFSFLNCSGRKQELSDSVVTDEDEAVRPGARETPLKGYTSSQQESPYTMQRADSKPTVHGCEDDARSTLTSNRSMTDDLTRTCRSETNYSKSVSEQGHFNSIQEPDLQPNCFSRTLKLQKKLLSSGAAHTICLRIQPHNKMDFLPKKEFDTYFCAYEPYEQCSRSNSRATYSSRDDDLWSKNSRFGKDEHTRWNMEDSNSAMTFTNFARSWRHGHHLRGQFLKESPGGVCVILVLGRQLRNYLVIFSWKCRSFMMLLVGSCTARQ >Sspon.03G0010030-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:33770713:33780159:1 gene:Sspon.03G0010030-2B transcript:Sspon.03G0010030-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AVKKCPFFRWIDAYKIVAAKKIKEDMEMKLKEEMQGAVAYEGHGEAKALVEMKPNCRIYEKERMDLKMDKLIQCRRALKCESCGNCFPNKDTNKMVYVMEQLACRLCAGILALKKYCGICLKSLQHKYGGRWVCCHGCESWVHSECDENCSNLKDLQDNSYHCPYCRVKMNSTLPGKNTKFSDVRKDSSAQKGSKPDKVALVCFDLEGTYQPDLELISCHCGPCKGQKFLFNEWERHAGCRSKNWRSSIKLKGSLMPFGKWIDRHQPGVCPTNPSKRLSQKMKKQKLIDLLNVHQECYGVTGKQDFTSWVCRACEKPEQKRECCLCPVKGGALKPTNVDNLWVHVTCAWFQPQVAFADELMEPAIGILNIQPLLFMKMCVICKQIHGSCTQCYRCSTYYHAICASRAGYRMELHCLEKNGKQTVKKISYCAQHRSPNPDNVLIIHTPAGTFSSKKLAQSNGKVAASRLIRKDIPLDLPSEVEILENISAARCRIYVRKDLKMEQLHRVRGPCQHRWDEIDNLNPPREERDPESFCTFKERLCYLQKTEHGRVCFGRSGIHRWGLFARRDIQEGEMVLEYRGEQVRRSVADLREEKYRVQGKDCYLFKISEEVVVDATDKGNVARLINHSCTPNCYARIMSVGHDESRIVLIAKKNVCAGDELTYDYLFDTDEADERKVPCLCQTANCRKFMN >Sspon.07G0019420-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:75853098:75858675:1 gene:Sspon.07G0019420-2B transcript:Sspon.07G0019420-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMRAAAALPRRSPRPPEPGSSSSRAPTPGAAFDPFDDDTDPPPRPELSPQQIGLCSDALAHFEGKGKRWDDLSKEYRSLSDIRHMKTISVARYPVNREKNRYIDVLPFDDTRVQLKSSARPPNNDDYINASFVKATEDNRIATFISTQGPLVRTFGDFWEMIHEYQCPAIVMLTQFDSIKCDEYLPRESGRRTYGNYDIKVSKTRTDSHHLQLRDVKVQNNESGKVHSVLHLAYLDWPDHGVPTNTNAVRQIWKRLHHIPTEHPIVIHCSAGIGRTGAYITIHNTIERILLGDKSSYDLVETVKNFRSQRPGMVQTEEQYKFCYRAIADELKDLLNSDH >Sspon.01G0011930-3D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1D:35655483:35656121:1 gene:Sspon.01G0011930-3D transcript:Sspon.01G0011930-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEKARTMARKLEIPQWKPDGLLPSPTSPLDRASPRGWRHRDAVGGVGLGILAALEAEGPHQPAALAVPRVSIPRRAARLEVSELGCSGRCATSLCGGGGRGCGPASARPGGVGGGTAFRVAEFLSCCDMCRRPLDGKDIFMYRGERAFCSMECRYHAIVSDEFQEEKERKRRAAAAMSSAVDVPKKSAAEMAGSPCSGGGQIFFTTGIVAA >Sspon.04G0014660-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:62603149:62606440:-1 gene:Sspon.04G0014660-4D transcript:Sspon.04G0014660-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial carrier protein CoAc2 [Source:Projected from Arabidopsis thaliana (AT4G26180) UniProtKB/Swiss-Prot;Acc:F4JU70] MDARAKEAAETSGPGLPLAVRELLAGGVAGGVAKTAVAPLERVKILFQTRRAEFRGSGLIGSFRTIYRTEGLLGFYRGNGASVARIVPYAALHYMAYEEYRRWIILGVPNVKQGPVLDLVAGSIAGGTAVICTYPLDLVRTKLAYQVKGALNVGFRESKPSEQVYKGIMDCVKTIYRQNGLKGIYRGMAPSLYGIFPYSGLKFYFYEKMKSHVPEEHRKDIIAKLGCGSVAGLLGQTITYPLDVVRRQMQVQALSSSNLVGKGTFESLVMIAKQQGWRQLFSGLSINYLKVVPSVAIGFTVYDSMKVWLKVPSREDTAVAVLTEERSNTAPIPS >Sspon.01G0020560-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:74007850:74009879:1 gene:Sspon.01G0020560-4D transcript:Sspon.01G0020560-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNGGGTGDKTKTKATGGLTGRELSSSSHASKRRRGLRCEPMADRFFLNDFPDFVAESEASDGDGEGHRPAGLRGLLSLPYTRLSDRFVRAARRLKDKVRPARPLLALSRFLCRRPPARCSTDCSRLPSLQVVEETWVKAGRKVTDYTLYTGSLGTALLLFKSFQVTGDRGDLALAADIVRACDEASRGLPFLTFICGRAGVCALGAVIAKHCDDQLRVTQYLSSFDEITVTEKVPNELLYGRAGYLWACLFLNKHLSEKTIPLEHINSVAKDIINEGRNLASKGSSPLMYEWHGKKYWGAAHGLAGIMHVLMHTELKPDEQDDVKNTLQYMIKNRFPSGNYPSSEGNDSDHLVHWCHGAPGVALTLAKAYEVFHDDYFKQSAVEAAEVVWNRGLLKRVGICHGVSGNAYVFLSLYRLTGNVEYLYRAKAFACFLLEKADQLIAEGAMHGGDRPFSLFEGKAGMAYLLLDMVDPSESRFPAYEL >Sspon.06G0015210-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:81850781:81852008:-1 gene:Sspon.06G0015210-2C transcript:Sspon.06G0015210-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRDLSRSRSPPRRRRRSPSPARYRGRRGRRDRSPSPIRSRSPYRPSYRRRSPSPSPRRRKSRSPSPRRRKSPSPSQKRYRRKRSPSVTSSPVTASQSSHLGLAENKNAIDTTRLEEEKK >Sspon.05G0029860-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:77489451:77503937:1 gene:Sspon.05G0029860-3D transcript:Sspon.05G0029860-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQAMKPSANQSIDPRTQMASSNGHAVPNVSQSDSKLELFGFDSLVNILGLKSMAGEQAQAPSSPRDSEDVEIAIGFPKETEPKQGTLMGVFVPCLQNILGIIYYIRFTWIVGMGGIWQSLVLVAFCGACTFLTGLSLSAIATNGAMKGGGPYYLIGRALGPEVGISIGLCFFLGNAVAGAMYVLGAVETFLDAIPSAGFFQETVTVVNNTLVNGTTKSGATTISTPSLHDLQIYGVVVTILLCFIVFGGVKIINKVAPAFLVPVLFSILCIYIGVSIAPEPGASKGITGLSIVTLAENWSSEYQPTNNAGVPDPNGALLGLFFPAVTGIMAGSNRSASLKDTQRSIPVGTLIIMFMISWTFTVVSLALASLIYYYVSLKGKAGDWGDGFKSAYFQLALRSLRSLGANNVHPKNWYPIPLIFCRPWGKLPENVPCHPKLADFANCMKKKGRGMSIFVSIIDGDYHESAEEANTAYRQLSAYIDYKHCEGVAEIIVARSISDGFRSIVQIMGLGNLKPNIVVMRYPEIWRRENLITQIPSSFISIINDCIIANKAIVIVKGLDEWPNEYQRLYGTIDLYWIVRDGGLMLLLSQLLLARDGFESCKIQVFCIAEEGTEAEELKADVKKYLMICGCKLRLLL >Sspon.06G0005280-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:15721891:15750579:-1 gene:Sspon.06G0005280-2B transcript:Sspon.06G0005280-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTPAPAALPKSGAVSKGYNFASTWEQNAPLTEQQKAAIAALSHAVAERPFPPNLEKSSGKDGGVAVPEKESALEEAGAMDAVLVNTHQFYKWFAELESAMKSETEEKYRLYENTLQERVNTCDGILKQVDDTLNLFEELQSLHSSVATKTKTLHDACDQLLVEKQRLIEFAEALRSRLNYFDELENVSSSFYSQNMNIGNEQFLPLLKRLDDCISYVENNPQYAESAVYLVKFRQLQSRALGMIRSHVLSILKGASSQVQAAIRSSDSGKNIVTEGVEASLIYVRFKAAASELKPILGEIESRSSRKEYAQILSECHSLFCEQRLYLVRGMVQQRISEFARKEALPSLTRSGCAYLMEACQFEHQLFAHFFPSSAADVSSMAPLMDPLCTYLYDTLRPRLIYEGNIDSLCELVDILKVELVEKQRLIEFAEALRSRLNYFDELENVSSSFYSQNMNIGNEQFLPLLKRLDDCISYVENNPQYAESAVYLVKFRQLQSRALGMIRSHVLSILKGASSQVQAAIRSSDSGKNIVTEGVEASLIYVRFKAAASELKPILGEIESRSSRKEYAQILSECHSLFCEQRLYLVRGMVQQRISEFARKEALPSLTRSGCAYLMEACQFEHQLFAHFFPSSAADVSSMAPLMDPLCTYLYDTLRPRLIYEGNIDSLCELVDILKVEVLGEQLSRRGESVAGLRPILQRILADVHERLAFCARTHIREEIANFRPSDEDLDYPGKLERSVDTSSSATVGDNSDIYVTWYRPLEKTVSCLSKLYRCLEPSVFTGLAQEAVEVCSTSLQSASKVISKKATHMDGQLFLIKHLLILREQIAPFDIEFSVTHKELDFSHLLDHLRRILRGQVSLFDWSRSTSLARTFSPRVLENQIDARKELEKSLKATCEEFIMSITKLVVDPMLSFVTKVTAVKVALSSGSQGQKLDSVLAKPLKTQAFASPDKVAELVQKVAAAIQQDLPKVMAKMRLYLQNPSTRMILFKPIKTNIVEAHIQLQSLLKSEYSTEEMQSIGMLPIPDLQSQLDSLL >Sspon.05G0000080-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:706461:708987:1 gene:Sspon.05G0000080-1P transcript:Sspon.05G0000080-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAPVPTVLCKRGQQQLVLVHTTKPPLPLRLTRRAVSVRAAPPRQQQRARPRPPPRNKPPGPARRPPRVPLDQDDYEYDDGGGYDDREEGRFAGGTRAAAMPKPPAGFVLDDQGRCIAAASKRIVTIIDDTSNRPLECIIRRVFRSSQDHDCLLLCPVDMLMTTNSSKSFHLLPMPLPEYICTLSKADSVIQQGVASAFLKRPFKSFMILVMVVTVYLLKDGAHYMIYTPVDPLLFVAVKDKDGVLRIAEDDLMEDPAVLDAVDEETEFTALVEEEEALLETVLGER >Sspon.03G0019570-4D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3D:47075148:47076123:1 gene:Sspon.03G0019570-4D transcript:Sspon.03G0019570-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSTRSGLGPHESAQHGPYFVLRGLGCQFSFLVPPVRLSDLPKPTSTRALRSPHLSHWADEEKLRGRHVLAVAAVRRLLLHRSQRAAAYPSFPTLFRSGIRLRGARGPAVSDDLVLRIAEQLEDSPDLTLPSSPFSSHVLFSDGILLSSSGAHVSTLADLPLAAPGPRRRRACRLPEFAHKDLFYDFNAVGARDVVVVHVPEGVKVADDPIHIMFAYNDSGAESMLMSNPRVLVVAEKAAEVAIVEEHFGAGEEGGCYWANPVAEIIIDEGARV >Sspon.04G0001680-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:6855986:6857420:-1 gene:Sspon.04G0001680-4D transcript:Sspon.04G0001680-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEILESCMVKPSDDAATTTPMHVVWLSNLDLLVARSHTPTLYVYRRPSPDIPGFFSPDVLKAALSKALVPFYPLAGRLAQDGAGRLEIHCTGDGALLVTARADATLQDLAGGGGLAPSDELRQMLVPSAADGEDRAGILAMFQVTYFKCGGVCVGAAIHHTAADGLAALDFVNTWAAIASMGVDEAAPRPWLDRTLLRARSPLDVRFDHSEYSRRRGAGGDPKTARVPFDSAILPLSKTQLDALRAGGGQGNKKVSTFKAVVAHVWRCACMARGLAGDADTRLYMTADARSRVRPLLPAGYLGNAIFRASTVAKAGDVVSEPLDAVAGRVSDATARLDDEYVRSLVDCLEQVVSDAAGLRKGEWVMPETDLWVISWQVLPIYDADFGWGRPAFMGRACLQFSGLVYLVPGPDGDGRLDVVVAMEPKSLARFKELFYELIK >Sspon.02G0054030-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:109400989:109402683:1 gene:Sspon.02G0054030-1C transcript:Sspon.02G0054030-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVACTGGMEQQPQPQPLPPRHVLATCASDSSCALTEPPRPSQRTPAPATTAMRARPRRRRPSPAAPAPAPSAASPSGAALSSPPSPYAAFASLGPGPAPGPGPGPAPEPSAAAASAFAGGRRDAGAGSSLSPPLIAMLAVVGAALLVVLYARLVSRVFRAARRRWRRRRRLRLLMIPAGSPSARGGDDSFASFTTYDNYYHTFSPYYGLDDAAIKSLPSAQYLAGVGSSSASARGSGASRECAVCLLEFADGDELRALPLCAHAFHADCIDVWLRAHASCPLCRAAVALPPPVPSPLHRAAARRVRPSLDDLLFFHPVPPAAHPPAAVGAGAEQQQQQAEIAPASPDQQHQLAAGARDFLLKRSYSFGFERSLAMVEAASTASPPWRYRVFSAAGAATDGGTSRGRGFWSKRWPSPFGTGGAGGSAAARVFSFRSYRGGAGCKPSPFSRRFRGGGSGFFMSLASEPPSMLAAAARRSSRAAAASSRLRCGDPEALLSPDRLSR >Sspon.01G0023400-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:88822104:88823643:-1 gene:Sspon.01G0023400-2B transcript:Sspon.01G0023400-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTLLQLDLRNNQLEGEIPATISLLRNLYYLALGTNKFTGVKNPRLRVLQLRSNMFYGNIPRKLSQLAYLRFLDLADNKLTGSIPTEFADLKSMWQRNTKLFVILVEDYTEYPYFGRVDVNWKGHYEIFQRTGCLVTGMDLSSNFLTGEIPTGLTNLQGLNLLNMSRNYLSGSIPKDIGDLKFLESIDFSWNQLTGTIPSSITNLTSLSSLNLSSNHLSGEIPKGNQLQTLDDPSIYVNNSGLCGFPLSIACPSDSSPVPSFDEKKGYHNDLEELWLSCWVLAGFIFGIWLWLGVLVFFKPWRMAIFDCVDKM >Sspon.07G0023530-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:17589240:17591924:1 gene:Sspon.07G0023530-1B transcript:Sspon.07G0023530-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding TMRECISIHIGQAGIQVGNACWELYCLEHGIQADGQMPSDKTVGGGDDAFNTFFSETGAGKHVPRAVFVDLEPTVIDEVRTGTYRQLFHPEQLISGKEDAANNFARGHYTVGKEIVDLCLDRIRKLADNCTGLQGFLVFNAVGGGTGSGLGSLLLERLSVDYGKKSKLGFTVYPSPQVSTSVVEPYNSVLSTHSLLEHTQVISSLTASLRFDGALNVDVNEFQTNLVPYPRIHFMLSSYAPVISAEKAYHEQLSVAEITNSAFEPSSMMAKCDPRHGKYMACCLMYRGDVVPKDVNAAVATIKTKRTIQFVDWCPTGFKCGINYQPPSVVPGGDLAKVQRAVCMISNSTSVVEVFSRIDHKFDLMYAKRAFVHWYVGEGMEEGEFSEAREDLAALEKDYEEVGAEFDEGEEGDEGDEY >Sspon.01G0016440-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:52510195:52510795:-1 gene:Sspon.01G0016440-3D transcript:Sspon.01G0016440-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKWGLAAAMASAAAVAAASGAELLACDCDASAPVAAPAATVGRCDGLVLSRQHHDDEVHEESSSVSRENSHTGGRRGDRFAPRFDGLRFIETLVTAHR >Sspon.01G0053450-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:36186152:36186898:-1 gene:Sspon.01G0053450-1C transcript:Sspon.01G0053450-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ASSATWRPLALDVAVASYSGAGPCPPAAPPPATCLLYSRDPRPVEVPRRPGGHPPPTLGRPPRRRPGRPRQRSVGRASNFPHRRKGYFSLFPCPEEACELEGTEGLNTNFLSPPRPVAPLSRVRRRRRLLALSPRTRHPSPTRAPPISAPSVSRSLIRLPRRALPWELGFERHRSLLPTSPTISCDPRRAAAATSPAS >Sspon.02G0047930-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:13722406:13723324:1 gene:Sspon.02G0047930-2D transcript:Sspon.02G0047930-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLVSSPSFRSVLSCSEGQAQSGESSWTDYFVDFMLSEEEKKRQADASSYFATEDEGKYDGDGSCREEEEEEDSMISDAASHAPWPTAALLPGRYKELKKLKKKPFSKALDHDGSLEDTASSPVNSPKVSVVSQLELSPKRRCNIRDLTKILFCMKEVGIGDDHGGEGMHCTDVAMEGARFGDQSQTGIAPCAELKDKGICLFPFSVLLHYQGQTEKKS >Sspon.06G0015650-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:70308408:70313362:1 gene:Sspon.06G0015650-1P transcript:Sspon.06G0015650-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKRKRVLELRDRLDRTLAMPDLAEEASLRALVKKQILASSLSGSDQGDVDLIAETRAREVSEFLEMLNTSRDGRSSKVRGVPQKEWKVKQDTDQLRVMYREGPDGTPFHTLLAEGFADGPIDVHVCRGNQLYTKNGEHNVFLKFPQYNLPTFRIDQSGCLKKVRIERVKVPWPVSEREALLHYFELEYLKEDVVIVIMKTLSDLDGIDVRTHGFNRDGIPEAGDTVRIDVFGGFVLQRITKERSFFRAIANMDIKLDFVPPWLINFISRQLIGSGHKLYQKAVSTVATHDDDYRKALRGPLYVRIREYQDSDDKAKVTAAEENATEVPPDNATIQNRLSLTNTISNSEIVEEETEQNTSINVDNLPTSHPYEPVEQAQQVENKPYISPEVERALCILDTAIAVLKGDKAGNVTRLQNLLSYDSTLEESTAGSRTSQTNILNADNLMNRHPITTAPQDSRDIRQAHSLPNEKINDSAEDAIDKNFLKNSTASTVTKTMSMTLRSAIRVHGEESLDTNGFHQNGLGNNKESKPARKTKRWLCCLTPTTSG >Sspon.01G0015700-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:51001273:51002747:1 gene:Sspon.01G0015700-2B transcript:Sspon.01G0015700-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGDPRVPNDVCRINALPDDMLLLILSYLNARQVVQTCVLSRQWRNLWRSVPRINATSDEFEHMSDDYEEDTVLFKKFANRFLMLRNPFALEDFRLWYDMPWESDDYSEDANLWICHALHCNARSIMVTVGDNTMHLDPSVFISGLFLRRLRLADVALFPGFTALKYLILHACDISDVEITSKTLKVLVVDIDCFYTFEEQCSISIPSLVRLDYSNFQRIPLLKNMKSPERAYVVLNTFHTEVDDICQFLKSLSGITDLNFSYMGHMGRSAMVPAGTAADQRKMITAAQMTAEVAAQAAAAAAQ >Sspon.05G0023770-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:9767237:9767701:-1 gene:Sspon.05G0023770-1B transcript:Sspon.05G0023770-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPRLVYASSPDGLGDGMEDALDVALRGVLERFRRRRASTAPEGLSAKRRSGRFVARRVDGKSTPAPPSDGKNGSAAAVNQNASGTKLAASASASTGKSLQKS >Sspon.03G0023350-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:71694851:71699885:-1 gene:Sspon.03G0023350-1A transcript:Sspon.03G0023350-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAHPLLSSAPVCWRLPPVGAVGEGNRVPRLRVASARPAARGVSGDPVKAREKGISLRRFIPNPPPKPSPPPRAAAAHEHAMAPPRPPPPLIDDVMAEIFLRLPPDEPEHLFRAALVCKPWLRILCDPGFLPRYRAFHGSPPLLGLLHRLMVIQGDPEPRLTPTTAARLSRYPDRGRSRALDCRHGRVLLHVGADCWHFVVWDPVTGDEHRLEEPDIPWLIYSAAVLCAVPGCDHLDCHGGPFRVVFVVTDDIEELVKATVYSSETGAWSTPVTLDSGCESYAQHRRDVLADSMLYYIPYVQPRRGAVIGDEAYFTLRWGNAIVKYDWGNNSISLINPPTQYVYHVALMVMEDSSLGFACVQGSSLYLWSRKVNSEETAEWVQCRSIELEEIIPVTSPDDEPFVVGCAEGMDAIFVSTDAGLFTIRLHSKLVKKVGESGVYFSVLPYMSFYTPGFIFGHLLVKSELKSGY >Sspon.04G0007240-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:20721049:20722937:1 gene:Sspon.04G0007240-1A transcript:Sspon.04G0007240-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:HD-ZIP I protein, Transcription activator, Stress response, Panicle developmen [Source: Projected from Oryza sativa (Os02g0649300)] MERGDDCQFMVVPPCHQYDEAAQFMHQMMAAAAGDQQDPNAGAGAGQGAGGGGGGGERNSKRRFTEEQVRSLETTFHARRAKLEPREKAELARELGLQPRQVAIWFQNKRARWRSKQLEHDYAELRAQYDALHARVESLRQEKLALAKQVDELRGRLSERQDQSGSCEINDAEAADDDKWNSRTSSLVQDDGATPPAVADASEDSAATEYAYDHVAYEGLHDPFCATPDLWDTWPLLEWNAVA >Sspon.02G0016990-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:48644137:48645784:1 gene:Sspon.02G0016990-1P transcript:Sspon.02G0016990-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIRVQCGCGESSCPEWAVVELQGVAGYTFTVGYHELAGTKVTLKKPLLEKKANAVCGEQEPPPAATEVELEVIGIIRHKILFKDRPKALISKPPTKEKKTVQPAAKYSGNSKWPEIIEGIRFEPGPAILSGLRS >Sspon.01G0038100-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:28136780:28139745:1 gene:Sspon.01G0038100-1B transcript:Sspon.01G0038100-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNEQGSGRRNLSGALHLRPGVVKNEQGSSHFPRRVRRVRKLAEPTRIRLGSWNVGSLTGKLRELVDAAIRKRVNILCVQETKWKGQKAKEVDDTGFKLWYTETTSGRNGVDILIDRSLKDGVVDVRRQGDRIILVKLVVGDSALNVISAYAPQVGFSEGTKRQFWEDLDSMVSTVPISEKLFIGGDLDGHVGATNVGFERVHGGFGYGSRNQEGEDVLNFALAYDLLIANTLFSKRESHLVTFSSEQHSSQIDFIFARREDRSACFDCKVLPRECVVPQHKLVVVDFRFQVRVHRGKRAKIARTKWWKLRGEAAQTFKERMLDEGAWEEGEDANDMWLKMATCVRKVASKVFGVSRGGKQEAKNTWWWNDEVQRAIREECFKRLHHDKSAANIEGYKIAKRVAKRAVSVAKGQAYDGLYQRLGTKEGEKDIYRMARIRERKTRDINQIKCIKDGTDRLLVKDEEIKDRWREYFDKLFNGENEGPTLKLDDSFDDTTDALSTMETIFLLRQLMEIYREQKDLHMVFIDLEKAYDKVPRNIMWWALEKHKVPTKYVTLIKDMYMDVVTFVRTCDGDTSDFPIKIGLHQGSALSPYLFALVMDEVTRDIQGDIPWCMLFADDVVLVDDSRAGVNRKLELWRHTLESKGFRLSRTKTEYMMCDFSATRHEEGDVSLDGQVVAKKDTFRYLGSMLQKDGDIDEDVRHRISAGWLKWRQASGVLCDKRVPQKLKDKFYRMAIRPTMLYGAECWPTKRRHVQQLSVAEMRMLRWCCGHTRRDRVRNDDIRDRVGVAPIEEKLIQHRLRWFGHVQRRPPEAPVRSGVLKRADNVKSGRGRPKLTWDESVKRDLKEWNISEDLAMDRSAWRLAINVPEP >Sspon.02G0047860-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:19809664:19810278:1 gene:Sspon.02G0047860-1C transcript:Sspon.02G0047860-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MWHIGQQRTTLPPSQRHDRPLPLVVHDLPLLVCRPTPSSSSARDPLILAHTTSCLHQCVVQEYY >Sspon.01G0025460-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1A:89968082:89969762:1 gene:Sspon.01G0025460-1A transcript:Sspon.01G0025460-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDSMYNAILLALLAVSILTFLRPVGWGSRRRRRPPGPRTLPVIGSVHHVVNTLVHRSLRDLAAVHGPIMMLKIGPMPLVVVTSRELAREVLKVQDPNFANRPRLLVGGICGYGWADIIFAPTSDYWRKIRKLCIQEVLSPKRILSFQCIREEEVARQVDAIRAAAAGAPVNLTRMLYDISSRTISRSSFGEVRPDMPVFQDAIKRVIGLSSGFNVPDLFPRLREVLGELTGMKRKLREIHRTFDAILVDIIDKRRRERAQMVAAGKEVVDENVVDVMLTLQKNDDAWGFPVTDNTIKAVVLDMFAGGTGTSGSSTEWAMSEIVRNTRVMKKLQEEIRRTFRGKETITETDLRNSDLKYLKLVMKEAIRLHPAAPLLVPRESIDTAELGGYVVPGGSRIVVNAWAISRDPRYWKDPEEFRPERFAEDGAVDFYGLHFEFTPFGAGRRMCPGYNYGLAGMELALLQLMYHFDWRLPPGVDELDMEEAMGLGVRRKNPLMLCATPYVPEPAMPVG >Sspon.03G0021220-1P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3A:65291751:65291908:1 gene:Sspon.03G0021220-1P transcript:Sspon.03G0021220-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VLMQTRSDKVRPKMLGLKVIRYMVQHLKEEYVVLLPETIPFLGELLEDVELP >Sspon.05G0022540-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:7690531:7696243:1 gene:Sspon.05G0022540-1P transcript:Sspon.05G0022540-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRGSAASVYLGVDVGTGSARAGLFDEKGKLLGSASSPIQIWKEKDCIEQSSTDIWHAVCAAVKSACSLANVAPEDVAGLGFAATCSLVAVDADGSPVSVSLSGDTRRNIIVWMDHRAVNQAERINATNSPVLQYCGGGVSPEMQAPKLLWVKENLQESWSMVCRWMDLSDWLAYRATGDDTRSLCTTVCKWTYLGHAHMEQWKESDSRDMEACGWDNVFWEEIGLGDLVKGNCAKIGRSVAFPGHPLGSGLTPTAAKASNFLVLSIFEVELGLLPGTPVGTSLIDAHAGGVGVMESIPDAGSKAAMVPEFWLTEGGQSATGALLDYIIENHVASPLLSNHAASQSISIFELLNKMLLSMSHEQNSPFLSALTQDTHVLPDFHGNRSPVADPKSKGVIYGLTLDTSEKHLALLYLATIQGIAYGTRHIVEHCNAHGHKIDTLLACGGLAKNSVYIQEHADITGCSIILPRENESVLLGAAVLGAVAGKKFPGVRDAMKALNAAGKVVNPSSDPRVKKYHDAKYQIFRSLYEQQLSHRETMTQALQ >Sspon.03G0031730-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:30366621:30370370:-1 gene:Sspon.03G0031730-1B transcript:Sspon.03G0031730-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHQRRAAQRGQGGAARAARGHSRGSSTGGARPSSPASGTHHLAIAAALGPIHRPTGGSAQRPTEHLNQVPYINFVTFCASKKMTLLNSNTFKTLKTDTSKNDERKKQKFVWLSCSPTNGGLE >Sspon.05G0034290-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5D:56170303:56179981:-1 gene:Sspon.05G0034290-2D transcript:Sspon.05G0034290-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding EVSLVNQEGIKVKCQTQGYKVNPMVFNLDARTIEEVPVVQEYPDVFPEELPEIPPDRDIEFIIDLIPRTAPIAKRPYRMAPAELAKLKEQIRELQQKGYIRPSSSPWGAPVLFVKKKDGSMRMCVDYRSLNEVTIKNKYPLPTIDDLFDQLKGAKYFSKIDLRSGYHQLKIKNSDVPKTAFVTRYGQYEFTVMSFGLTNAPAYFMNLMNKVFMEELDKFVVVFIDDILIYSKSAEEHGQHLRVVLEKLRRHKLYAKLSKCKFWLEKVVFLGHILTAEGVAVDPEKVEVVSHWQQPTNVSEVRSFLGLAGYYRRFIEEFSKIARPMTELLRKDKKFTWTESCEKSFQELKKRLTTAPVLTLPDIHQDFIIYCDASRQGLGCVLMQGGKRHYLIGNKCEIYTDHKSLKYIFTQSDLNLRQRRWLELIKDYNLEIYYHPGKANVVADALSRRSYGQQLVSKNTHLQEEIAQLNMHIVCQPQNDSLKVQPTLEEEIKKAQHRDKDLMKIHEQTGEKKAPYFKVDDKGTLWYKDRICVPKVGKFQELILDEAHNSAYSIHSGATKMYMDLKERYWWNGMKADVARFVAQCDVCQRVKAEHQKPAGLLQPLPITVWKWDEIGMDFVNGLPKTQKGNDSIWVIVDRLTKVAHFIPMRTKYSGDKLAQLYVDNIVKLHGVPTMGTKLDFSSAYHPQIDGQTKRVNQIIEDMLRACVLTYGKDWEKILSYAEFSYNNSYQASLGMSPFEALYGRKCRTPLIWSEVGERTLLKPSLIKEAEDRVAEIKEKLKEAQSRQKSYSDKRRRELSFVVEDFVYLKKCLRVPEEQISPDTIDLQDDLRYQEVPIKILDTVTKQTRTTTVRIYRVQWSRHTEAEATWEREDASRKEFSHLFRNQVNLEDEIPFKWADGVNEPENEDVGGEYREFDAEELDSQQEFAEDADRGKHKAKPWVRSFHCPNNITQLLRPCPEIHDCRDPNEMHGSEFVAALSPAQDLCGSTSICSSPTVSPLYYGGNILCYFVDVIQADTIDAAVEQILHELREDTSTRSSRNRENVIYFDGWDGLGASAVLQAVGQRLAVASSEAPAGLQFDQIIYIDCSNWESRRALQRVIVEQLELPAEVMEMLDRQDEDDDFQGVPQGSRNEMPEVLDATYQHIQALRRRFLVIFQNGSSEEIDLIITTFGFPMYGYSRNKLLWTFQGSLRLYPRTKVDRVLKSTATTDIFLSASRRDQDPLELWSYLVRQEAVQVARRNAAGSLLDQPALISECFFYTLKQCCMGSQCMMDYDFNIHCGNYWICDGIIHLQKQGEGDNRADDDDDDCGPWRAADALQREMRLDVDYCRHNRQYFPSHLVKGAEIIPCWTSSYRVTQVVDDGTIANGGIFQHYDKLCVLKLSYCSFTFSSPPFLYCRSLRFLWLDHCQDSNQVTCKVEASAEEIQCCFQRLWVLDVRYTPCEYWILSPQMMDLMTQLRELNVMGAQDWDIGQLHGRLPNICKLRVTKSIVRCSGHSEDDLFSSMNKLELLDFSGNRAMQEGGGAEKLFGISTSSDQLETVIINDGCAGIQKFSFRGCAKLMNLLLSGLFKDLHILDLSGTTVKTLDLSAMTAKRLDELFLDDCHKLCAILWPPEDKREGYLKKLHIDTTWLAASLIPMKEKSTEGSTAAGVTTSASLSVLHGGTAPSEFDWCIRVRDARLLWSLLPFEQYYKDNVLGHVEIYSPAAAFSCSNNNKAASSNSSIKQQHALVKVDKPTDTLVYADVDITFKDHPQLLSSEGDAPMLTQMWPCPSIPSHSALTCYTHIQDHQLSTKSLLEGEQTLASIVIPDMICRNAYILHVHDSLSITSIPGPAPALGSTWYYLEWCRVERCPKLEHAFTAPQLQASGDVCVFYLLETFWASELPKARYIWNWTPASVFQFQEKSFQYFKLLHMDLCPRVIHVLPLALQMVNGSLGQLETLEIMWCGDLREVFPLYTTVAKSGQQQQQSTTTTVHFQLLKRVHLHELPKLQGICGQWRISAPKLETVKIRGCWSLKHLPAISSNRSKKVECDCEKEWWDTLKWDGLDANHHPSLYKVTHSRYYKKTLLKGSVLR >Sspon.05G0007270-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:16901335:16902485:1 gene:Sspon.05G0007270-2B transcript:Sspon.05G0007270-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRSSSTPFPHHFLSSSSPSSRRSSLHLRRAFSDGHLPSLHPSSLPTPNDAGSNPGGGLHTELSFSIYNTFGGKDQAVAAPLASSSSSSQGPAQAKQEQEEEGGQQQPTVVQPDHPEVPLFLARGLGIDRIASGFFTAGADKTGCGGANMERVEEQDEAVAALDAQYKTMVDEQPGNALFLRNYAQFLHEVKGDTRRAEEYYSRAMLADPSDGEIMSQYAKLVWEVHHDPERCLGYFQKSVQAAPHDSHVLAAYASFLWEQDDDDDCGEQAMELLVGRRHRSAPREQGKRWS >Sspon.08G0025550-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8C:10269103:10271348:1 gene:Sspon.08G0025550-1C transcript:Sspon.08G0025550-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDQNVTCTTRAANHGGINGPTRAQEEVPGAPATYPKRVARPGGVAAAAAVICLTRATDPELVGTVRRPKGGRWAQSGPGMNSTRGDTVALLVLFTCATLGFVGILQAMSHGDDI >Sspon.04G0027610-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4B:60606699:60607031:1 gene:Sspon.04G0027610-1B transcript:Sspon.04G0027610-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMLAGEHRHDAPAPDMARSPPPPPRPLAVRPPSHRLAGPPPPSPFLLSPPELDTREGESYQRWRRGRERAAGTLTVANLSSGEGAGAGTVAGGRKMAGERKGAFDVQTR >Sspon.05G0013140-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:41749831:41752182:-1 gene:Sspon.05G0013140-1A transcript:Sspon.05G0013140-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATNKVVVDLRSDTVTKPSDAMRAAMAAAEVDDDEAMAAIMGKEAALFVPSGTMGNLVSVLVHCDVRGSEAILGDGSHIHLYENGGISTIGGVHPKTVRNNADGTMDIDRIVAAIRPPGGGLYYPTTRLICLENTHGNSGGKCLSVEYTDKVGEIAKSHGLKLHIDGARIFNASVALGVPVDRLVRAADSVSVCLSKGLGAPVGSVIVGSKEFIDKAKILRKTLGGGMRQVGVLCAAAHVAVRDTVGKLADDHRKAKALADGLNKIEQFTVDSASVETNMVFLDIVDSRIPSDKLCQVLGMHNVLANPRSPKRYRCSICLTCFKVDMQKLPPFLLLTITVLKAVEELLMGSSELENLADTTTKNSYGH >Sspon.02G0012680-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:33729470:33734984:-1 gene:Sspon.02G0012680-1A transcript:Sspon.02G0012680-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase 17 [Source:Projected from Arabidopsis thaliana (AT2G22420) UniProtKB/Swiss-Prot;Acc:Q9SJZ2] MAVPRGCLPLAAAVAVFLALLCCRGEAAVRELKVGYYAETCPEAEDIVRETMARARAREARSVASVMRLQFHDCFVNVSPPAHASDLAPGCDGSVLMDATPTMPGEKDALSNINSLRSFEVVDEIKEALEERCPGVVSCADIVIMAARDAVVLVRLGRDDSLTASQEDSDNIMPSPRANASALIRLFAGLNLSVTDLVALSGSHSIGEARCFSIVFRLYNQSGSGRPDPHMDAAYRRALDALCPKGGDEEVTGGLDATPRVFDNQYFKDLVALRGFLNSDQTLFSDNARTRRVVKRFSKDQDAFFRAFIEGMVKMGELQNPRKGEIRRNCRVANCSPVASRVFDI >Sspon.07G0009530-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7C:23592970:23594326:1 gene:Sspon.07G0009530-2C transcript:Sspon.07G0009530-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSSSLVAAALVLSSSALLLFCCFTTGAADAGNGGGGLRLGYYSESCPRAEDIVKEQVAQLYHKHGNTAVSWLRALFHDCMVRSCDASLLLDPTTATSGASEKASPRSVGMRNFKYIDDIKAAVERECPGTVSCADVLALAARDGAAILGGPRVVGMRTGRRDSRESHYAEVERDIPNHNDSVSTVLARFAAVGVDAEGAVALLGAHSVGRVHCSNLVPRLYPALDAGLDPGYGAYLRGRCPTADAREDTRDVAYARNDRATPMVLDNMYYKNLLARRGLLLVDQRLADDPRTAPFVARMAADNAYFHDRFAAALLTMSEYNPLGDDEGEVRRDC >Sspon.08G0000090-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:8827684:8833623:1 gene:Sspon.08G0000090-2B transcript:Sspon.08G0000090-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSMSTTPKPLDSAAAVAGGGGGGDEGGGGGGGGGGGKKQVRGAAAAAVMAPPPMAVPVPAAAPAAGEEVRKVRKPYTITKSRESWTEPEHDKFLEALQLFDRDWKKIEAYVGSKTVIQIRSHAQKYFLKVQKNGTGEHLPPPRPKRKAAHPYPQKASKNAPAVSQAILSQQQPTQREQGSVMPMDTATVRNTNANVEVPSWDNTVAQPFSAGHVQGAVATNNCSSSIESPSGTWPSSEAVEQENVVPPLRAMPDFARVYSFLGSIFDPDTSGHLQRLKAMDPIDIETVLLLMRNLSTNLRSPDFEQHRRLLSSYSYGGGTMLNLKAWKIMDLLRVAIFHSW >Sspon.02G0005900-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:19185134:19185940:-1 gene:Sspon.02G0005900-3C transcript:Sspon.02G0005900-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLFAFVRRARRSPPPTSPAAPEDTSTTAPPAEKRRRRPFSSSSSSSGSAAAWKPTLGAISEDAAMTAASMAKAQAKKPAAAPKARARSPRRATRAASYDDFRERGELGVSGSSHAWTTLRVTAQPSRPLMRSCGLALAHTFPREGGFGRRTEAETVDRIVDQLARGT >Sspon.01G0032170-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:109280910:109282099:1 gene:Sspon.01G0032170-1A transcript:Sspon.01G0032170-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVCNLGNNAMEMEAQQQREQSQAGGCTDDPAAVLTCLTFLEQKIGRLRGIIGAAPRPPRQMVSAELSCIAMQLVSISKSLAATGGTRTATATSTAACRRPGSYEVIELGKEEILAPHVHSCKVCGKGFKRDANLRMHMRGHGEEYKTAAALAKPASASAAAPSSSAGRCFYSCPFVGCKRNREHHSFQPLKTAVCVKNHYRRSHCDKSYTCRRCNVKRFSVLADLRTHEKHCGRDRWVCSCGTSFSRKDKLFGHVAAFDGHAPALPPDDDDSVANGGLGTGSERLTTMDTEAVSRMASMEFFPDAMLDGLSCSDIKGFALMDGQGQCLEDDDGRGSLSPLPMGLDSCDFDGFDLFGAPAIDF >Sspon.04G0025330-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:26085295:26086171:-1 gene:Sspon.04G0025330-1B transcript:Sspon.04G0025330-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding PMLGAGALGTVAAIRAPLGWVQQHGLRHLGPQPGRREVVVKLVAHGQVKAAAGTDRDAAGLGGGSSTDKRRLTAHALGRLSRGGADVGQVERTGRSQWVITDDSFITRVHIDAPGV >Sspon.08G0016190-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:58679241:58682523:-1 gene:Sspon.08G0016190-2B transcript:Sspon.08G0016190-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLTFGARSGLPPPLAVGATAVAEGVAGGEGEWRCRRVTAESSIGELGGRVEGFSFFGRVYLCAQPYDDPWYVRLLDALSLGPVMGQFCLALGLSSGPVAVYNPSHTSKNQGPRPGHAPRRSSTHPLPHRTARIDRLRPPAVPPPMRAVAAAASAPLRTLLLFFSPHLPAPTPRPRSRFAMNPSSSSSSSSRSHSRPAAFASPQPRGGGGRRRSGGGRGGGDGSDRIDALGRLLTRVLRHMAAELRLDMRTDGYVRVCDLLKLNLQTFAKVPLKSHTVDEIREAVRRDNKQRFSLLEEDGELLIRANQGHTVTTVTSESLLKPILSPDEVSVCVHGTYRKNLDSILQSGLKRIARLHVHFSSGLPSDGEVVSGIRQNVNILIHLDVSKALKDGMKLYISDNKVILTEGFDGVVPVKYFERIETWPGRAPIPFQR >Sspon.01G0009850-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:30177111:30184111:1 gene:Sspon.01G0009850-3D transcript:Sspon.01G0009850-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRGEASHRGRSSSRGRGWRERGRGGGRPSRPPPSSTASPAALNPTSTPAVSVDDAAPIMGTCPDMCPARERAQRERLRDLAVLERVGGDPTRTSPSLAVKKFCRTISSTNVLPSDVRPLPVLQETMGYLLHLLDSSKHPFETIHDFIFDRTRSIRQDLSMQNIVNDQAVQIYEDVVTFHIRSHQRLSTSCQDSDASSLCYLNMEQLTKCLLSLYDMYHALHKRDSHSKKEGEYYSFYVLLHLGCKIQKMINSLSLWYGQLASPVRRSKEMIFARTLLRCYRLGNFKRFFCMVAAEATDLQLRLVEPFLNEVRARALMYFNHSGYKLQHHPLEHLSGILMIKESELETLCGICGLEIIKSEGMKAFVPKQTSFTLPTSMPQSSASPSAALEGLSTSIASPSDS >Sspon.02G0008580-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:23478649:23483337:-1 gene:Sspon.02G0008580-2B transcript:Sspon.02G0008580-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCGQSKMEEEYAVRHCRERSELLALAIRQRYMLADAHHAYAESLRSVGALLHDFLRGVQSLPPPPPEPALRLPQQRKGDGLPAASPPPAIASSSAAAPPVAKQVRIAPDDGHIHFSDDDSDSEGGHIKFHDDEEPDQARRRPEVVRSAGEPGPPPPQMGPPYASGYAPPPYGPGYGYGYANGPGPGPGPDYGSIGMNGGGGYEPGYGVMGGGGGYGQSYGSAGYDDQGYGGMGSGGTAGGYDQSYGGIGGYGQSFFNINYARSQPPPPSVSHEHRLQATNARVHYYSGNGEQQPPPRGYGGGYYPPQSSSSYNQDAYGGYYGGGSAPAPPADIPSSSREPAAPPPPPSPPRVSTWDFLNPFETFESYYEQPTATAAPYSPSRSSKDVREEEGIPDLEDEDMEVVKEAYGDEKHPVKGYMGNGKAAKEEGRSSTGDELPRKSKASEASSSGSSLEHDVHVVEKSVVGEQVQPRQHVAGLPPTGSEKIYIDDTEVVVEIRTQFDRASQSASEVSKMLEVGKMPYYQKSSGFKVSAMMVCGIPTMEEEFLRFEEDKAMGSGNLSSTLQKLYMWEKKLLEEVKAEERMRVLYDRKREELKMLDEKGAEAHKLEATELYIRKLSTKISIAIQVVNTISEKISKLRDEELWPQTCELIQGLMKMWSVMLECHQIQLHAISQAKNIDSMIDAAKFGDAHMDLIKQLELQLLDWIACFVAWVSAQKIYVKTLNQWLRNGVIYVPEETEDGAPPFSPGRLGGPPIFIICNNWAASVDMISEKEVVEAMQAFASNILNLWERHRSEQRQGLMANKGMDRDLRLMERDEQSMRKALEEQNKKLVLISNQGSVSLSAQVVHERGPGAEVGSLQTSLRNIFEAMENFTSASANTYKELHLRAEEEKARVAQESGSVS >Sspon.01G0012190-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:32944926:32946053:1 gene:Sspon.01G0012190-2C transcript:Sspon.01G0012190-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRWMIDAEAWWRVGQAITDRELHSLAIWKAEARDSKPEQTPKQARHQSLAMAIPIANLSAVVTGALEAARAIATEMLPAAVTRDAVVEASRASVAWLTSHLWAWLAVARAVAFDNLPAGAAAAASSAADASGPCVQTAAKLFSGLYGWLAAAVVQKLPDVAAEKLLGDAAAWLVHARGGVAVYATLALALLAVAFLGGAVWALTCRSMKGPGLGGARVPRAVFEANPKRYYATVRTARKARRRAGGAGCKLLLAGLLVAFAAYLAAKML >Sspon.02G0041330-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2B:75201737:75208945:1 gene:Sspon.02G0041330-1B transcript:Sspon.02G0041330-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRPLLRRGAAAAAAAAVSSGGARATALPDPPAALASLLLASRSYAKAKGGGKPASSTSNRGKVRAKDPRGVASADDAAGADFSAGGGGDDIDTEFELPTDPLPPTYDPALDVGPGGRPLFAFTDTFGSFAHRDANVYVDFTLDEWNAVLPEGLPAGMMKEFQETRRCAVMVRKSFLDLRDNFRRIVDPAVTTKLKDFQHGIGANGRRGQWGCGEFAEGGGAAGRGEQLEADARRKTAAEGVVLPGDFTRGVGDGDVLPAAIWTGSWPSRVAWLGCFGRWDGPTRRRWMAPPPASRQAPPPPMAGRPPPPPPPSFFPAFPSLPSTSWAHILPPPFPQPPVDAAPAPGAGAAAPLAAAPTVDPGGSLAGGAPPGPPRAGLGVPWPVLAPASVPWPDPSAPAGDFLPPAVAAPAGGLPPDALALTAAPIRALELVAAPGAGAPPRPALGLPPDAAAGLSGAAAPAQDMAAHAAPSSPATAAAYAAASHGRAASPGPVGRCCHGAADRRCHLWRGPRLGDASRLLVGLQRPNRAGLGCPAVLQRCCLPPTGRLLQRPAARGRRWTRRAPSTGCPQLRSPGHGSPATHAYRHSASVRYAAVLSSGFSSPRAERGWGGRGGRRRRGRGRGGGFGFHDPSWPFQAPGGEPRLPAAAMLAAASPGFHPAAFPWATPSSASPGWASAALTHSCSTMAPRTPVGPQWIADSGATYHTTPDPIILSFVQLPSSSHPSSIMVANGSCLPVTSVGAAGAHGSFRLPDVLVAPSMVHNLLSIRRFTADNSCSVEFDSSGLTVKDLASRRPLLRCDSTGPLYTLRFPASPSSSSPTPLSAAFATTTSSTTWHRRLGHPGRDALMQLSRSSDIRCPRTHDEHLCHACQLGRHVRLPFHSSSQAAHIFDLVHCDLWTSPVISVSGYKFYLVLLDDFSHYSWTFPLRAKSEAFPAILHFFAWVSTQFGLTIKAVQCDNGREFDNSASCAFFLSYGVQLRMSCPYTSQNGKAERMIRTTTETMRTLLLQASLPARFWAESLHTSTYLLNRLPSAACPAPTPHHALFGTPPRYDHLRVFGCACYPNTTATAPHKLAPRSTLCVFLGYSPDHKGYRCYDLTSRRVLISRHVVFDESVFPFSSSPTPTSTSDLDLFSFFPTDAVVEPPFRLSPTGTAPPCPSPGPCPRSPPAGDTTCPGLAGSPHEPAPVTTPEAGPGPSPLAPPARFAQPTLVYQRRAPSGSAPVPTPDVGSESSTPAPPARFAQPVLVYQRRARPEPPPTSTPVAPSPVCPTASSPPATPTPPPRFPDARVATPVYHPSLLHRHPRHVHPMVTRHAAGTLPPPALAATPVDSQASSDLVPRPPGSNVVTGKWIWTHKRRADGTLERYKARWVLRGFTQRPGVDYDETFSLVVKPATVRTVLSLALTRSWPVHQLDVKNAFLHGLLTETVYCSQPAGFVASSCPDMVCRLNRSLYGLKQAPRAWNHRFAAFLRSLGFVEAKSDMSLFIFHHGAETAYLLLYVDDIVLTASSELLLRRIITSLQQEFAMKDLGVLHHFLGVTVAPHPAGLLLHQRQYTLDILERAGMTDCNPCSTPVDTQGKLSEAEGNPVSDPTAYRSLAGALQYLTFTRPDITYAVQQLCLHMHDPREPHLTALKRLLRYLRGTVGYGLLLHRRSSSTEMVVYTDADWAGCPDTRRSTSGYAVFLGGNLVSWSSKRQQVASRSSAEAEYRAVANGVAEVSWLRQLLAELHNPLLRSTLVYCNNVSAVYLSTNPVQHQQTKHVEIDLHFVRDRVAIGEVRVLHVPTTSQFADIFTKGLPSSTFTAFRSSLNITSG >Sspon.05G0009750-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:30636365:30637120:-1 gene:Sspon.05G0009750-3D transcript:Sspon.05G0009750-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGNKNGVSDAACLKPLDRVSPAYVPGAVYFLVGSALGVMAVLHASGLAEVGGEWASAARRAAQLAGSVGAHHHLLVAVSLLFLAVSVWRLAKRCAAVEGRVGSTDSAVRALHVGGVVCAVCGSKIRALKRGRRGVERARSEDSSACPDNSVSRSLAAEFEQAADREEEDNAGETSDSEEGNVQYLRRRLKKERLLKEVALEELEKERHAAASAADEAMAKIACLRSEKALVEREARQFQEMMKQKQMYDRQ >Sspon.02G0003580-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:11395013:11395330:-1 gene:Sspon.02G0003580-1A transcript:Sspon.02G0003580-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGTSTRSSAGRLSHGDGGTETALPKLQLLPWLHEAVVKVVAADDDRDYLAGSATAAKAGKRRSTAQAGLARGVKVLLSEVAEMIRTKFERSIPAAKFGHVAYIR >Sspon.01G0003100-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:7274591:7278941:-1 gene:Sspon.01G0003100-2B transcript:Sspon.01G0003100-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AVMTPPSLGNPGAPDANPGNEAPVRNPVARRALVMPAADGLEKSAPAPEPSPVYVPNNARSNGADPHQNGRFGSHPHGRGGGYGGGNRRGNGGGGGRRGSEHHGGFDGQRRGGGRRDGHGPVHQQRGHQPTYIRAPPTLAVVAGAPPPPPPFVSPATPQTPPYGAPMGLADIAPHVYYFAAPTSEGIQGLPFVPHPASPQAVLIDPFRKGLLEQIEYYCSDANLCKDLYLRQRMDDQGWVPLSLIAGFLQVQKITNNIQFILETVMLSNVVEKQGDKLRRRGAWENWLLPKQNYSAGSSFGPLSPVTSNIDSLASQFRSVGLEGATYHANMPGMHGEALLTRSATSVSLGYHASTFGGLQSNESGPLFGPKSARNLLRSDT >Sspon.06G0019120-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:70279643:70284548:-1 gene:Sspon.06G0019120-3C transcript:Sspon.06G0019120-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptide chain release factor APG3, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G62910) UniProtKB/Swiss-Prot;Acc:Q8RX79] MEAFLAGLFRAPPRAVPGPRGTPLSGLPLFHGRRRRRPSSSVVCMAEPYLIAKLDSAERAWKEMSVRLADPDIVSDPSEYQKLAQSVSELDQVVTTYRQFKDCERQLEETKALEKENEDDPDMSEMIASELEALSNQLAELEEKLKLLLLPSDPLDSRNILLEVRAGAGGDEAGIWAGDLAEMGGYKTYVMEVKGKQVYSKLKFESGVHRVQRVPLTETMGRVHTSTATVAIMPEADEVDVVIDPKDIELKTARSGGAGGQNVNKVETAVDLIHKPTGIRIFCTEERSQLQNKERAFQLLRAKLYEIKLREQQEAIRNQRKLQDNRVTDHRLKMNFELTGFLLGDIESAVQSCATMEQQELLEEMASSVGAVNGLACPENLALPIYSYR >Sspon.02G0051780-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:82895764:82900997:-1 gene:Sspon.02G0051780-1C transcript:Sspon.02G0051780-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVVHIATKQGLPTPEIAEGILDDLHCLQKHATAVSMLDKQRFKDIHNLIRDEKQLIDLILEFLDNKRRDGYGTPPMPSLEYLDLYIAKWKHLCAHHGQGVSFLPTVIGFHNMSSSLEEIHVQITDYEGASDREAKEIEVALRHAARTHPNHSSIEIEMHRMSDPEPMTSHQDEEALLLVTSPQSTSSRKPSPDQRLPKKRKAATDNYILE >Sspon.07G0023640-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:18334784:18337971:-1 gene:Sspon.07G0023640-1B transcript:Sspon.07G0023640-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSSAAAPHLRCPAHPRYATIHLVRAGVGSGFPGLSLGFGVGAGCGIGIGFGYGFGKGVAYDENGRYSNIGRSKQRSKGTPSEDHIDILVDELIENTKKLIKATSKEIDKWRRA >Sspon.08G0012920-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:54858168:54860169:1 gene:Sspon.08G0012920-1A transcript:Sspon.08G0012920-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIMAGMLPGVECARRRRLRQAGAGVGAEAAGGTRRSSFCLYAAGHGGAGLGGAANSGKRRGGVMEMIHGWTLDSNAREAKERLDQKLRSKREAAIKRHHSTGNIKLSRPHRSTSGRGTAAGAADERGERSALAPAMSGVQREVYSKKGVMRRLMRWSRPRWAAAEQAECAVCLDEFRAGDVLAHLPCGHRFHWACALPWLEGTSRCPFCRAAVDAAAAASNN >Sspon.08G0005590-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:16909119:16913260:1 gene:Sspon.08G0005590-1A transcript:Sspon.08G0005590-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVLLGPPAIRGARPPPSAVADAEAPASHPFLDLLDAGFNAPDPDAKAKAAGAEPPRKARTENNSATYASSGNPCLDLFFQVVPDTAPDRVRALVAAAWDHDALTALKLVANLRGVRGTGKSDKDGFYAAALWMHERHPRTLACNIPALAEFGYFKDFPELLYRLIHGSDVRKVAKARADADKARRAMKVRVAKLAERRTCARENYAAVVAATTIPSKPTLADYFTATLARTKSKSKRSRKAAAVAPVDTEEELDQAMEVAMEVEQEPEPVAMDVEQKPEAPPQQPQEEAAAKKKKATKKMPKAARLAVNALETYYSDRAYRFLFDCVAEFFADLLASDLKQLAPGGKKKKIGLAAKWCPTPDSPSRRLFPRDSSPDYADLSEEHYAYSVLHRLRREVLVPLRKVLELPEVYMSAQRWSQLPYARVASVAMRRYKSLFKKHDEARFGKYLEDVEAGKAKIAAGALLPHEIAAAAYRGESDDVSELQWRRMVDDLRKRGSLSNCIAVCDVSGSMGGTPMEVCIALGLLISELSEEPWAGRVITFSRRPEIHMVKGKTLKDKYSFVERMDWGGNTDFQAVFDRILRTAVDARLAREKMIRTVFVFSDMEFDQASANRWETDYEAINRKFRDAGYGDVVPQIVFWNLRDSRSTPVTSTQPGVAMVSGFSKNLVKLFLENDGVVSPEAVMAQAIAGKEYQKLAAILQDNSGDGEDQLE >Sspon.04G0013730-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:52550138:52557432:-1 gene:Sspon.04G0013730-2D transcript:Sspon.04G0013730-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGALLPADTREGPRDGMRETERRDRETNRTPWRRDSAASSRNSAALLPALRSPLRSIPLLWDRWAGSFESSGEAIAERALRSQELRGPELVVAVPLGHAVADVLHAAVWPVWHCHNLVAREQVLLPPHSMAMEAMEERLLGLSGQAERRRWAARGPRGISGRTTWGCAGGSAASAGGEAVAGRPPEGGSRRRGALAAPAEPELPAATETVWEGEPGGLTADEEMPEMAGAEKKATPSMESSPDFWKQKKEKSEER >Sspon.03G0026140-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:6823536:6827324:1 gene:Sspon.03G0026140-2C transcript:Sspon.03G0026140-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MERARLTPFPPREQATTRICKVSSFATEVLEIRSKEPSLRVLLIPGNPGIAAFYKDFVEALYENLGGQASVTAIGHISHSQKVTYTTYLMFVSHATMGANNPAANLDCEHGRLFSLYEQIDHKVDFIEQELQHSEQPMVLVGHSIGAYIGLEVFKRFQNKIIFFVGLYPFLKMNKSSVTQSAIGYIARSSLLSKLVSFSASFIGSLRPSITRGIVRRFLGPSWSVTAVDAVCCHLLRYNTMRNVLFMAMTEFQKLTEEPDWTFIRAQEEKMAFLFGVDDHWGPLSHLEEISKQAPRVTLSLETEGHTHGYCCTEAGSVWVAAYNANLIKNRMLLRNN >Sspon.06G0014910-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:83021581:83022453:1 gene:Sspon.06G0014910-2C transcript:Sspon.06G0014910-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding QWKSLVPALHLHGPAASCFPHPPSSPSPCPSPPRAEETGLLPPQAAAVVRLVGCDGRVRAYAPPVTARQLMQEHPRHLVCRADALLIGEKIPAVAPGEELQPGQAYFLLPAHLFRSVLSFVSLASSLLLLLSSSTAAAAGAGTGKKPGAGRPFELHRTASGTLQIKFSDDFLLAGGDGKGVEDDDDDDAAAAQKEQQQQPAVLLRGDDRLAKDYEELVGYGKSRRWAPKLETIQEAAAPAPAAPESADPAASPKGAGRGSRRSRALPFLGRLGSRRRRSSHDRDACSAAVA >Sspon.07G0019490-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:71990163:71993361:1 gene:Sspon.07G0019490-1A transcript:Sspon.07G0019490-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFYGPPGTGKTTTALAIAYQLYGPELYKSRVLELNASDDRGINVVRTKIKDFAAVAVGTARKAFLAVMDFSGYPCPPYKIIILDEADSMTEDAQNALRRTMETYSKVTRFFFICNYISRIIEPLASRCAKFRFKPLSEEVMSSRVMHICNEEDLNLDAQALSTLSTISQGDLRRAITYLQSAARLFGSSISSSDLISVSGVIPEDVVKSLLAACRSGDFDVANKEVSNIIADGYPVSQLMAQFLDVIVGANDIPDDQKARICKKLGETDKCLVDGADEYLQLLDVASETIRALFNMPQTLVF >Sspon.04G0024430-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:19376837:19378299:-1 gene:Sspon.04G0024430-1B transcript:Sspon.04G0024430-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAELKRDYEIGAEIGRGRFGVVHRCTSRATGEAFAVKSVDRSQLADDLDRELAELEPKLAQLAGAGNPGVVQTHAVYEDEAWTHTVMDLCTGPDLLEWVGLRRGAPVPEPLAAAIVAQVAQALALCHRRGVAHRDVKPDNILVAGNDGEDEEEDGGEAETATAPPRVLLADFGSAAWVGAGGLGRAEGLVGTPHYVAPEVVGGGEYGAKADVWSAGVVMYALLSGGALPFGGESAAEVLAAVLRGSVRFPPRLFSGVSPAAKDLMRRMICRDEWRRFTAEQVLAHPWIVSGGGARAMERPT >Sspon.01G0043670-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:76585222:76586372:-1 gene:Sspon.01G0043670-1B transcript:Sspon.01G0043670-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEERRRLELCYNCNEKFGRKHNKVCQRLFLLDSAAEEEEPTVDSTEADTDRHISLHAIARVRTSETMQICLQIGDTTFLALLDSGSTHNLIPKAGVAAPAGPTLHAATTGDILLKVLFADFTEPHGLPPPRFHDHNIALLPGSHPVAICSYRYPASQKDELERQCAAILEQGLIRHSSSAFSSQAIQKRAYDQLRRTVAYAVGAPASLPQATKGKLKPRSYGPYRVAKLISDVAVRLGFPPRARLHDVFHVELLKKFIGTPPDAPPPLPVIHHGAAVPEPERAVHTCFTRGVQHVLI >Sspon.02G0014740-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:37713565:37716471:1 gene:Sspon.02G0014740-2B transcript:Sspon.02G0014740-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AAMATSASTSGEWLKGALQELRERKGSSLEFDSDLISGLVSFCELAPPSDATSYVQNFIGKEAAQDIIQEYLRRRGHIGSSNGTESFQSSNLQPYVKPSADAATTQTKKQTRTQKDSASSYSQSSKSQSETAESQLPSKRGSKKKGAKAISLAEAAKGSIVFKQGKPCSCQARQHNLVSNCLSCGKIVCEQEGEGPCSFCGALVLKEGSTYAGLSDVGLPLSEAEAAAEAYAKRLVDYDRNAAARTKVYDDQSDYYEMEGNSWLSLQERSVLKQQQEEAEEAAKSQKGKVIVTFDLVGFQVILNKDGTTELETEHPIMRPSEEKDQSHRIQPNPTIREQPVFVETGPVKPKTVRAKQSKKLAKNGLCLEVTGRLQHDDKDMESILSGKVKKGDHLAYSSLGQAREGDDVECSPDF >Sspon.05G0032770-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:21763131:21765152:-1 gene:Sspon.05G0032770-1C transcript:Sspon.05G0032770-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GSTCTIDKAEILQWYRSAARHTLKYANFYYFTVTAECVSKQDMVSVYALQARNAVEAGFYGVEIHGAHVNDRTDKYGGSLENHCRFALEVVQAVANEIGADKVGIRISPFANYHEASDSNPEALGLYMAKALNKFGILYLHMVEPRMITVGEKTETPHSLRPMRDAFEGTFIAAGGRTGGYADLIAYGRWFLSNPDLPRRFGLDAPLNKYNRSTFYTPDPVIGYTDYPFFEPEA >Sspon.05G0002500-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:2821194:2822833:-1 gene:Sspon.05G0002500-2C transcript:Sspon.05G0002500-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRASKQRRRSHPSNSVDGRQPQPWQPRQTVRHGSSSAIANATPRPHLRLYKARPTPPHPASFTTRFARTIAATLLAQAAACPYCPTPKPPPPPPPKVKPPPSSTPCPPPPYSPTPTPSTPTGKCPVDTLKLLACVDALNGLVHAVVGTKASDTCCPLLSGVADLDAALCLCTTIKAKALSISVVLPVAISVLVNECGKHSNKISIIPEILSMRRKITDQHNEMRRDYDQEKYPIVSQKQMKHEIFKSK >Sspon.03G0047140-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3D:54232318:54232539:1 gene:Sspon.03G0047140-1D transcript:Sspon.03G0047140-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MWTSDAPPSSRGSFPTPALVATAAPRRIPQARAADSHRREGISVARAATVPRLLSHVHATPSATPAPRGSPRP >Sspon.01G0032070-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1A:109104204:109104945:1 gene:Sspon.01G0032070-1A transcript:Sspon.01G0032070-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding EELFLAGKIRDAHKKAKKAKRLCPTLPGVDNALAAYEVHVNATERDGAGGWRAILSIGPGANAADAATHDAVKKQFWRLSLLVHPDKTHCAAAESAFRLLREAHDEALSPPPPTPSSSASGRRRPGQGPAAEGEGADDDAAAGAGAARAHPHLLPLLQERVPRHGRAPGAAGRHEVRPLPRVAQHAVADEAADGKEASGRAGAAGVPVPGQVSRVWRAVSSARRAPWQPWSMCTDPIKPLPLSKGA >Sspon.07G0009980-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7A:29303829:29306205:-1 gene:Sspon.07G0009980-1A transcript:Sspon.07G0009980-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIAAISRSFPYFHAFRHLYAIATEPRWVQTVDVDTELPVYCPLEVTVAETEYYDETNYCEVTPCLLPERSVVCDAPSASCSNQPNSTNDSYFRVDQLVALAYNEALVDARITNGGIIHSTFLESLMKRVGDIFAELPNLKNHLHRYLSTGTWPDTQNDVVVLSWYLQWYNIPPPHVVASAVEKVRPRVRAGVSMLPLLRLLLPTTHLVGLMEIEKLQI >Sspon.08G0001530-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8B:1841188:1844324:-1 gene:Sspon.08G0001530-2B transcript:Sspon.08G0001530-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MERAAGEAGAPTPAARGGAGAPAAAGEGAGGGMVKGRSCKGCLYYSSALRSRARGPVCVGVTRAIPQVSERMVGELELEAIQEGRYLADFRYACAGYSMYLDDKETPTGIRDKARAQLPVCAGVELLADRKVPANVKKEGFVVSVLRTSTHLYNSAAPKPRHYKPGQPGHAGDDFLTKFQRNAGLVANGVAKNLNKVGTYIKDTVGDMMQPYRKRPK >Sspon.08G0005640-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:17215991:17219871:1 gene:Sspon.08G0005640-1A transcript:Sspon.08G0005640-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPQRSPAGAGGGGGGGPPALHYLSGPYGDTTFTKVFVGGLAWETRSEGLRAHFEVYGDILEAVVITDRATGRSKGYGFVTFQNAESARMACMDPYPVIDGRRANCNLAILGRPGPAVPFGRPSAYGPEYLYQQNAYGPYVGQQYVPVYGGPRTVGPAVYPYGQFGQPVPSDHAYSPGYAPSHVLPLSNQNVNATNVVRIPPVQQQFPPGAPRSQQQLLVPARAPPFPQNNISEQATG >Sspon.05G0027630-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:55630214:55632632:-1 gene:Sspon.05G0027630-1P transcript:Sspon.05G0027630-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGGGGEVIGRGGGGRGEVVVVGRAEIDTRAPFRSVKEAVVLFGERVLAGELSSGRRPLSVSEHTRSTAQNRVAATRPNHHAVSVATGVVTTATPRPLPPVTTELEEAKQKLEKEREEKQKMAGCIQTLQEELSHAMRELQRLKAREDEEAGAKVIDLEVDDGLHFIDDEKQQLAAPPRVSADGIAAAGGGELQKKRYVTFADPPTVAATATACRAPPLPDMVMELHRAPPQQPHQPQPHYYREPRFQRQMSAGHEAAKAMAEEGRKKKKKPLIPL >Sspon.04G0018080-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:74241292:74252374:1 gene:Sspon.04G0018080-2D transcript:Sspon.04G0018080-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding QSLSFLSLAGNSFTNLTNALWILKSSKNLTTLLIGLNFMNETMPDDESIDGFENLQVLSLSGCSLLGKIPYWLSKLTNLQILLLDDNQLTGPIPDWMSSLNFLFYLQISNNSLTGGIPTALTEMPMLKSEKTAAPLDPKDFEVPIYLGTSLQYHKVNAFPILLDLGNNNFTGVIPPQIGLLKKIQSLNLSFNKLYGVIPQSICNLTNLLALDLSSNHLTGGIPTALNNLNFLSEFNISFNDLEGHVPTTGQFCTFRNSSFGGNPKLCGPMLIHQCNSAEQGPVPTVYENQGGSNSIFTITFSLFFGLGVLYDQIVLSRYASSCTEQEKKSLLQFLAGLSQDSGLAKSWQEEGTDCCKWQGITCNGNKTVIEVSLPSRGLEGSITSLGNLTGLQHLNLSYNSLSGDLPLELVSSSSIIVLDISYNQLSGDFHKLSSSTTGQTLQVQYPPGFSNCSKLTVLKAGQNQLSGTLPDELFNATLLEHLSLFGNSLYGKLNGAHIAKLTNLVILDLGENNFSGKVPDSIVQLKRLQELHLGYNSMYGELPSTLSNCTNLTTIDLKNNNFSGELTKVNFSNLPNLKILDLMQNNFSGEIPESIYSCRNLTALRLAYNKFHGQLSEGLGNLKLLSFLSLAGNSFMNLTNALQILKSSKNLTTLLIGANFMNETMPDDDIIDGFENLQVFSLSECSLLGKIPYWLSKIKKLQMLLLDNNQLTGPIPDWISSLNFLFYLDLSNNSLNGEIPTALTEMSMLKSEKTAALLDPRVFELPVYADTSLQYRKVNAFPKVLDLGNNNFTGVIPPEIGLLKELLSLNLSFNKLYGDIPDSICNLTNLLVLDLSSNYLTGAIPRALNNLHFLTEFNVSFNDLEGPVPTTGQFSTFTNSSFGGNPKLCGPMLIQQCSSAGAPSTSKKKVHNKTAIFAIAFGVFFGGVAILLGLAHLLVLFRGKTSTTKNRSNNNCDIEAVSFNSNSGHLLVMVPGSKGDENKLTFTDIVKATNNFGKENIIGCGGHGLVFKAELPDGSKLAIKKLNGEMCLTEREFTAEVEALSMAQHENLVPLWGYCIHGNSRFLLYSFMENGSLDDWLHNRDDDASTFLDWPTRLRIAQGASCGLSYIHDVCEPHIVHRDIKCSNILLDKEFKAYVADFGLARLILPHKTHVTTELVGTLGYIPPEYGHGWVATLRGDIYSFGVVLLELLTGLRPVPVLSTSKELVPWVLEMRSQEKQIEVLDPTLRGTGHEEQMLMMLEVACKCVNHNASMRPPIMEVVSCLESINAG >Sspon.01G0043380-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:38656838:38658570:1 gene:Sspon.01G0043380-3D transcript:Sspon.01G0043380-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIRKSASRLLGSAYCASAAPTVDAAPRFDLLPPPPHPAPCSAPESCGGPGFSGPSASSAEPCELSRSPWDLIAELSLSDPQVEDDIVDKYFVHVTTRSSWLFSATMPAAASAKKKKNLQAAAGGDSTKLRRDTVKKPAAMSKEKEGEANKKAKVKKEEGQNAGAARVWLCKKNDGKRWHCNRPVSQPDTLCEYHFVQKRSYLNPDFESPSVAKLEEAAPVPVPVPAAASNKSSTRNKPRKKKPGSDLSATEGFYYYAGFGPFRSKRQCRSGGGMNGPVPAKQEEEDHAKDDDASRSQQQAEVDEAHDDTNQTATAARGDASSCEDDTAGIAGVDEGSSDDDFDGLGISGHGMNGNGDPKASNGDGKRKIPWKRWRKPVKARSLKSL >Sspon.03G0004220-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:17667216:17669418:1 gene:Sspon.03G0004220-1T transcript:Sspon.03G0004220-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKHRIVSLVAALLVLLALAVSSTRNAQEESMALAGGIKDVPANENDLHLQELARFAVDEHNKKANALLGYEKLVKAKTQVVAGTMYYLTVEVKDGEVKKLYEAKVWEKPWENFKELQEFKPVEEGASA >Sspon.05G0026430-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:35566067:35568846:-1 gene:Sspon.05G0026430-1P transcript:Sspon.05G0026430-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHQTMCIPVIVFACVCVLLLVAAVSCVLAVRALRRAMALNADLVWQKEALWQAERKSMNKSNAFACSSHNIRSALSAIAGLVDMSRSESQSLPGIMENLDQMGVCTNKLFDILNSILDTSKVQSGKMQLEEAEYSMADVLQESVDMANFTDEGHVVLRGWATWQIAGSSVSAPSRFAHPWHSGGGSLGCLFGAREDPGDQEHVQNDPNLVEFYFEVVDTGIGIPEEKRMSVFENYVQERLASRTKGPGKGEHAPEDKRGASVQLLQDIEGPSVPSGTLNRSNFIASAFQEARNFKGVHCVLYFHGYETRRILQTWMESIGVKVWLVPQAEFIGSTLEKVQSNSMATAAADCSGADWCFNSKEMEMAKLARIKHQAPCKVVLLEDIKTPSNDLRRLKELGCDLVLRKPVHGSRLFTLLTTLRDLQVPDAQAQSSQAGPEIAGNSHQQDLPDVVVSCVQDEAAASTEASCLAQEQKPEDDDKPLAGMQILLAEDTVVLQTIQRKILNQLGATVTVAQDGAVAVNFLKEALEQANVSEEDIVPLPYHVIFMDCQMPNMDGYEATKLIREEEQRHGIHTPIIALTAHDTEGLQKAIDAGMDLHLTKPILRNKIAEAVRSVFQ >Sspon.01G0016420-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:52249384:52255320:1 gene:Sspon.01G0016420-2P transcript:Sspon.01G0016420-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANGHSSLANGASTRGKPIKCKAAVAWGPGEPLVMEEVEVAPPGRLEVRVKVLFTSVCHTDLSFLKGENKLQRKFPRILGHEAAGVVESVGEGVEDLAPGDHVVPIFNGECGACAYCESDKTNLCGTYRVNPFKSTMASDGGTRFSVVDRNSGVRQPVYHFLNTSTFAEYTVLDAACAVKINPKAPLEKMCLLSCGISTGVGAAWNTANISAGNTVAIFGLGAVGLAVAEGARLRGASRIIGVDINPEKFTKGKEMGVTDFIDSKACDKPVHEVIREMTDGAGVDYSFECTGINDVLREAFVSTHDGWGLTVVLGIHATPKMVPLHPMELFDGRRITGCVFGDVKGKSQLPGIVDKCINGDLNINFDGFITHNMPFSDINKAIQLLEEGKSL >Sspon.04G0007930-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:22391135:22392797:-1 gene:Sspon.04G0007930-1A transcript:Sspon.04G0007930-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Defensin-like protein 8 [Source:Projected from Arabidopsis thaliana (AT2G02147) UniProtKB/Swiss-Prot;Acc:P82782] MGPICKRVGKKPPDKFATCLKILKNESHRFKGLCMSSSNCANVCQTENFPGGECKADGATRKCFCKKIC >Sspon.06G0010590-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:45536472:45552451:1 gene:Sspon.06G0010590-2B transcript:Sspon.06G0010590-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MERVSGTECREGKVVMSNKEEEKECQITKEEKGRKKGKKHKDKGRGGDCEDKAVMSNKEEKDCQITKEEKGRKKGKKHKDKGRGGDCDSSIHSGDGNHSIEMEQADVSATMAENPCLELAEGVMSKSSVKKHRKKKKKDKEVEINGQTQVLDADENVGSEDAERNNGEREHDRKSKKRKRKHQDDETSSDGSGNRIVIGKDKRRKKECLVTLDLEEGNKSDMSKIGKKTESKKKMRKERNNIGIDLRQNAPAGEGKNGKGNKEKKVCENKNDGDDREKENMAKRKDKGRRVSFTDDVEVFNIGGNDDVESDGSGETELVHGRRFTSEEDAMLMEAMVKYAEMKQLGEKGLEMIGDCIKNPELRGCWVEIAKELGKNEIHVKDTWRRIKPKNLKRGPWTQDEYQNLFDLVNLDLHVKAHQNFDPGHRQIRENISWEAISEKLTTRSNHDCCKKWYQQLASPLVKEGIWADTDDYLLVEALQNVDAVCVEDVDWENLLEHRSGEVCRQRWNQMVRLIGGHRDKPFIEQVEVLARRYCTEMLPYRKSKAVWGSVEMLAAYMVLKLQTFSAHCQGVSTVTSTNSGIVEGL >Sspon.08G0022930-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:53836435:53839086:1 gene:Sspon.08G0022930-1T transcript:Sspon.08G0022930-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMASRAPAGDPSSPSARAWGGGEDEAASGAGKVKLMCSYGGRIAPRPGDGALRYVGGQTRLISVPRAASFGELLRKVEAVDEASASSGGGVLVRYQLPGEDLDALISVSGPEDYDNMMEEYEKLAAAAPDGSAKLRVFLFPASGTDAAGCGSGSGGGGSGPHHLAAAAVDESGQRYIDAINRVSAEAMRRKDSVASADSSAHNSEASEYSGLVECMSPRAVPPPPASVATEYSYSGGGHYLSAFPDSVGFTAVSVSPSAMGIPAQNPILVRTEPSTLQPHQVAAAAYATPHQQPQVTTYVQQQPQVTHYVPHQQPQSASYVQQMPQSYIEPQQVHYINAQQFGVHGVPQSVNYVPVQMSQFMPSIPVTGSMATTAAQVGTFRPASAGAEPVLETTQFTRPVQAQFDQSYRVVQTPLSQLPPLSSVHLQTNDAQRQRFGVQQAMTSAVSMPVVTSSGTIPVVVSSATVPSMRYDDCTMCQKALPHAHSDNIIREQGNSRATGNLEAAPMFYSPHQDSACNKSSPGGSSGTPTNYLAEPRAGNTAVMAQFDPTLPVRKPAVQATASPDTGVPVQPTMIALPVSSAPAPNGVFVGHSPPAGAEDHIRYQQQPFSYSMQPPQVPVNGPQGIDASAYKDSNNPAAEPLREYARDLPHDYTRAIDARMQAVHLGPIAPPESSVQGKASIPHGVIDHAKVGKPPVNIDGSSIYKSQAGGYHMGITNAFTAPALTQEDNIARHSEQPPYAFDVGAQNVHPDLIQRPPNLPVQSNLRIPIEPPVSNEKFTVQPPNSGAQVPAGPPLQHPNEMPNHLVSAPPNGSSKFPLQATVGIDRVEATQEPAYTDSLFSNQDPWKAVGNASLVPPRPTKLTKSLLFLEVNIMMAICLI >Sspon.05G0020530-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:84497279:84506654:-1 gene:Sspon.05G0020530-1T transcript:Sspon.05G0020530-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEVGDDNSDMSFLKRIATSDVPLLKEYGVNGVVIALLLAVVTPVLLSMMFGKKTKQRAVRADVGGEEGFAMRNSRFSSLVEVPWEGATTMSALFEMASKKYSRRRCLGTRKLIKREFIESSDGRKFEKLHLGEYEWDTYAEAFNRACNFASGLIKMGHDLDSHAAIFSDTRAEWIIAAQGCFRQNLTVVTIYASLGEDALVHSLNEGVMITHGNMVATTAAVMTIVPNLGMNDVYLAYLPLAHVFELAAETVMLASGTAIGYGSALTMTDTSNKIKKGTKGDVSVLNPTLMISVPAILDRIRDAVFKKVGEKGGLTKKLFDFAYKRNLAAIEGSWFGSWAPERMIWDNIIYKPIRAMLGGRVRFVLCGGAPLSSDTQRFMNICLGVPVGQGYGLTETCAGAAFTEWDDTSVGRVGPPLPCCYVKLVSWEEGGYKISDSPMPRGEVLVGGHSITKGYFNNEAKTNEVYKVDERGIRWFYTGDIGQFHPDGCLEIIDRKKDIVKLQHGEYVSLGKVESALATSNYVENIMVYADPFHNYCVALVVPAHQALEKWAQNSGINHKGFEELCQNDQAVKEVQQSLSKAAKAARLEKFEVPAKIVLLPEPWTPESGLVTAALKLKREQIKAKFKDDLDKLYH >Sspon.05G0010780-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:25020990:25025958:-1 gene:Sspon.05G0010780-2B transcript:Sspon.05G0010780-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein FAR1-RELATED SEQUENCE 11 [Source:Projected from Arabidopsis thaliana (AT1G10240) UniProtKB/Swiss-Prot;Acc:Q9SY66] MTPADAVPASLLECVAQGADDSPDETRLSLETAAPSAEAGEGGPDAAPYVGQRFPTHDAAYEFYSGFARRCGFSIRRHRTEGKDGVGRGLTRRYFVCHRAGSAPAKPLAGAPRPQRNRSSSRCGCQAYMRIGKGLDAGVASHGVLQPPQPRAPGVVGQDKVRLLPAYRFISGADRDWILMFAKSGITVQQMMRIMELEKCIEPGSLPFTEKDVRNLIQSRKVEHEDDERVDLLRMCKNFKEKDPNFKCEFTKDANNHVENIAWTYVDSVQSYELFGDAVVFLNFMNRKSPLTILTDQNVCLKEAIEKELPSTKHVLCKWLIAARFPSWFGANLGQRYKDWKNDFDRL >Sspon.02G0017820-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:54101845:54104397:1 gene:Sspon.02G0017820-1A transcript:Sspon.02G0017820-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSGQPPDLKKYMDKKLQIKLNANRVVIGTLRGFDQFMNLVIDNTVEVNGNEKNDIGMVVITGNSVVMIEALEPVAKAQ >Sspon.01G0019300-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:73241154:73247104:-1 gene:Sspon.01G0019300-3C transcript:Sspon.01G0019300-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MELVTLLALTLLACSAAMLARLLVARAQRRRCYLLDYVCYKATDDRKLPTDLCGEIIQRNQLLGLEEYKFLLKVIANSGIGEETYGPRNMIEGGEARPDRLREGMEEMDETFHAVLDELFARSAAPGGVGIRPADVDVLVVNVSMFSPAPSLSARVVRRYGLREDVKVYNLTGMGCSATLIALDLVNRFFSAHAGQVALVMTSESIAPNWYAGNKKSFMLGNCLFRSGGCAYFLSNDPRLRAHAKLRLRHVVRTHTGASDEAYNCALQMEDDAGRPGFHLGKELPRAAVHAFIHNLRVLAPKVLPLPELLRLSCATFSARLARKRGSKSSNHLTIRMKAGVDHFCVHTGGAAVIDGVGKGLTLTEHDLEPSRMTLHRFGNTSASSVWYVLSYMEAQGRLRKGDRVLMVTFGAGFKCNSCVWVVENPATDAGVWKDRIHLYPLKDVSNPFMEKYGFLKDLTIDGPMM >Sspon.03G0020320-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:85270213:85270896:1 gene:Sspon.03G0020320-2B transcript:Sspon.03G0020320-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTFPLSGGSTISLALFSDVSNSRELLDLMQSGKLEPEVAFLNASLVPDVFPVLAAALKALLSKTRESLTTRTLHSELVYNYSGSKHITESLKRCGISDDTTYILAACFDASDEE >Sspon.03G0034640-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3B:75994338:75995078:1 gene:Sspon.03G0034640-1B transcript:Sspon.03G0034640-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MREFDYYIKMQLQQQQTQQLLTRLQQHPSPSSTEGGGSEVAPARASLDEEGAGSEVAPARASLDEEASEDVEAQGLGEFNDFVTAAASTATAVAGARSEDQLPRQGSGNSGPRSRRVRTGATTASVAAAPARVRQHEGAGQAASRSLFEGFSDDEDVKARDMREFDYYIKMQLQQQQTQKLLTRLQQHPSPSSTEGGGSEVAPARASLDEEGAGSEVAPARASLDEEGAGSEVAPARASLDLELRL >Sspon.01G0035290-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:9261394:9263389:-1 gene:Sspon.01G0035290-1B transcript:Sspon.01G0035290-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MCVTCEGKLNWQM >Sspon.02G0006660-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:14090480:14093830:1 gene:Sspon.02G0006660-3D transcript:Sspon.02G0006660-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRTGDDHRAVDGRLEALLSGAASEEPWLRRMASATALELRLLAPLAAPAVVVYMLIIVMSSTTQIVCGQLGNVQLAAASLGNNGIQVFAYGLMLGMGSAVETLCGQAYGAEKYEMLGVYLQRSTVLLMATGVPLAAMYALSEPLLLLLGQSPEIAGAAAEFAYGLIPQIFAYAANFPIQKFLQAQSIVAPSAYILAASFALHVALSWLAVYGLGLGLLGASLTLSLTWWVLVAGQFAYIVWSPRCRATWTGFTWAAFADLPGFAGLSAASAVMLALEVWYFQVLILLAGMLPDPQVALDSLTVCTSIQSWVFMISVGFNAAASVRVGNELGAGNPRSAAFSAWMVTALSAFVSGIAGLVTFLLRDKLSYIFTSGEVVSHAVADLCPLLVGTIVLCGIQPVLSGVAVGCGWQAMVAYINIGCYYFIGIPLGVLLGFKFDFGIKGLWGGMIGGTLIQTLILIWITLRTDWNKEVEEARKRLDKWDDSRQPLLASKE >Sspon.01G0063490-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:115987942:115991381:-1 gene:Sspon.01G0063490-1D transcript:Sspon.01G0063490-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDAFSPPSPPPAPAPSPIAADDLADARLAPWTSFSPWPAPPRDDHVSGGGRANPLFTILPVSALAIGLVLLVAVAVILAVTRRARPRQADAGGSSCYGDGKPGAPPSSCGSHNTRWGYAAAGTGCIYAGRLGFSAAQLPRSRGAQVFTYRELERATDGFSECNVVGRGASGAVFRGRLADGTTAAIKRLRAGPAAAGRARLRPPLDWQTRLGIALDCARALEFLHEHSSPAVIHRDFNCSNVLLDHNYRARVSDFGMAKVGSNKADGQVVTRVLGTTGYLAPEYDEHAAAISDQLLAVCRYASTGKLTTKSDVYSYGVVLLELLTGRVPVDTQRPPGEHVLALPRLTNRQKLVQMVDPALKGQFALKDLIQVLFLSNVPAALTALLSHLLLIYSAIMPLITSRSMTPRRTTHL >Sspon.05G0004890-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5C:31879168:31879611:-1 gene:Sspon.05G0004890-2C transcript:Sspon.05G0004890-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LIASEGDTVTPGTKVAIISKSAQPAETHVAPSEEATPKESSPPKVEEKPKVEEKAPKVEPPKMQAPKPTAPSKTSPSEPQLPPKERERRVPMPRLRKRIANRLKDSQNTFAMLTTFNEVDM >Sspon.02G0035340-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2B:18619385:18621523:1 gene:Sspon.02G0035340-1B transcript:Sspon.02G0035340-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASASRVRLGTLVPLSKDNAGSSNGSVSSIPIFQGSNVVGRDHLVVVDKRISRKHLSLLASTDGSIEVVVEGPNPIMVRSNGQRLKVCAKEKAKIAHGDVLELIPGDYFVKYVDMGDEHESSVPMHLSDLKKGKRHSEEDTAAVKRNRQIMEDEALARTLQESFAEDTTAVSGMTSGQTISSHDSAGSSGRNNERTHSVGPLKDMLPLTFRLMRVQGLPSWTNTSSVSIQDVIQENSRGSPHC >Sspon.03G0008170-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:6699963:6704822:-1 gene:Sspon.03G0008170-2B transcript:Sspon.03G0008170-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ALITLKKGSNLIKYSRKGRPKIREFRLSSDETSLVWHSHSKVKHLVLSSVSRIIPGQRTAVFRRFLRPEKDYLSFSLIYKNGQRSLDLVKFARIKQKLRSGFQLLRALITSCRKSYSADGPSDRLSVSDEVSHYQDNTFHDTTLDIASSITRTFNSAGYSTTNPLNSAKTDVVSDRGNMLRASTDSSRLSISSAPSSSSQGSGQDDIESLGDVYVWGEVWTDVIPAEGSSNYLCSKADILIPKPLESDVVLDVQQIACGYRHIALTTRQGEVFAWGEELGGRLGHGTDADISRPKLVEALAVSNVEYIACGEFHTCAVTSSGDLYTWGDGYYNAGLLGHGAGTSHWLPKRVSGPLEGVQVLSVSCGSWHSALTTSSGKVFTFGDGTFGALGHGNRETVAYPKEVETLSGFRTMKVACGLWHSAAIVEGSNQAGVNVMSRKLYTWGAGDKNQLGHGDKDARLVPTCIQSIIDYNFHQVACGHSMTIALSTSGHVFTMGSSSNGQLGNPKSDGKQPISVQDRLAGELVEEISCGSCHVAVLTSRSEVYTWGMGANGRLGHGGVEDKKKPTLVEALKDRHVKSIACGSNFTTCICIHKWVSGADQSVCSGCRQPFGFTRKRHNCYNCGLVHCHACSSRKVLKAALAPTPGKPHRVCDSCFMKLKAAETSSNSSHSKRNAIARRSVDSKDRSERPEIRPSRLATGSTPEPLKQAEIKAVRNEIKPDPMSTMRAPQVPSMLPFNNLAFGATFGGPASLKPMAMVPMPMAMPMSPSPLTKKPNPPAATPLCGKSDTDNLKMAKQVLNEDISKLQSQVNKLKQKCDAQEEQLQKAERRAENSASIAAEESSRRNGVLEFIRFLDSELKSIADRVPSDAADNLKTLQNHSERFLTEQGIRPLEVTGMHRKSASIGNLVMSHDGSTGNASSSVVSLVSESPCHRIMENSSRANGDLAPKLGTHGEVQLIEQFEPGVYVTLIQLRDGTKVFKRVRFSKRRFAEQQAEEWWRENQVRVFRKYNHPAN >Sspon.03G0019700-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:83974038:83976266:1 gene:Sspon.03G0019700-2B transcript:Sspon.03G0019700-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPNGSTALLPLLLLLLLPPLHSFANAGSLDADVAALSDFRLVADPSGAALATWNLSANPEPCAAGAWRGVTCAGGRVTRLVLEGLGLSGAAALPALARLDGLRVLSLKGNGFSGGIPDLSPLAGLKLLFLAGNALSGPIPPSLGALYRLYRLDLSSNNLSGVVPPELGRLDRLLTLRLDSNRLSGGIDAIALPRLQELNVSNNLMSGRIPAAVASFPAAAFGGNVGLCSAPLPPCKDEAQQPNASAAVNASAAGDCPPASAMVAASSPSGNPAGAEAAGGGGKGKMSRAAVVAIVAGDFAVVGLVAGLLFCYFWPRLSGRRSGRRLQQGEKIVYSSSPYGAAGVVAAAGGGGATFERGKMVFLEDVSCSNGGTRRFELEELLRASAEMLGKGGCGTAYRAVLDDGTVVTVKRLRNRGPGRTPLEWAARLRIAAGAARGLAYIHHSGRRGSGTPKLAHGNIKSTNILLDRFGVARLADCGLAQLTPAAAAARSAGYRAPEAPPPPRPWASHKGDVYALGVVLLELLTGRYPGSELPNGGVVVELPRWVQSVVREEWTSEVFDLELMKDKGIEEEMVAMLQLALSCAAAAPEQRPKIGYVVKMIDEVRACGVEASPSHESSLDESSGVSDSPAVSEGGGGGGALS >Sspon.04G0013730-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4A:49779467:49779818:-1 gene:Sspon.04G0013730-1A transcript:Sspon.04G0013730-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRETERRDTETNRTLWRRDSAASSRNSAALLPVLRCPLRSVPLLWDRWAGRFESSGEAIAERALRSQELRGPVLNPSRFQKAPDFYFAQVQGGL >Sspon.07G0030920-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7C:14889629:14892868:-1 gene:Sspon.07G0030920-1C transcript:Sspon.07G0030920-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VQTTAPHGQADAIDCGASCSYRCSKSGRPKMCLRACGTCCQRCGCVPPGTSGNEDVCPCYANMTTHDGKHNVSAFRWQSPIPGTPHEKSFVAGSLLGFARKKITAILSAGGARTIRQDIVVSVDVNTLSRASVEQK >Sspon.06G0008620-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:45392168:45393099:1 gene:Sspon.06G0008620-1A transcript:Sspon.06G0008620-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MERRSLALQASLALMMAAVASADSWLYEKFNTDGTVRANYDASGQQVAMLNLDRSSGAGFNSKEQYLFGEFTIQMKLIPGNSAGTVSCFYLSSGDGDGHDEIDMEFMGNSSGQPVVLNTNVWANGDGKKEHQFDLWFDPAADYHTYTITWNPTNIIFKVDDNVIRCFKRYADLPYPSSKPMTLHATLWDGSYWATEKGKVPIDWSGAPFVVSFRGYSADACISGGACAAGSDAWMNKQPDSAEWGTVKWAESNYMRYNYCDDGWRFPQGLPAECSRS >Sspon.04G0005160-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:14614718:14617067:1 gene:Sspon.04G0005160-3C transcript:Sspon.04G0005160-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLRRARSILLLLHYVAASHLLPCAPIHRAAGDTLPDPAPLDPALIFPSATPVQPAAAVPGGTIPAFPEQSDSLAGSSSTCPLAPSPALLPAVRSSCGAADGGALPPRLRCCPALAAWLFAAYAPAALAERPPARSPAAAAVDMPLPPDDSEACAGATDRALRAAGAALPRPPGGNGTCDVAFCYCGVRLRRLTCGPPPAEGGLWSPADAAARKLEKDCAQPGVPGCSKCLLALTTIKASNPGGGAAAEAAGKKQQTAARSSESDRDCELMGLMWLLQRNATRYGAAVTAVIQALMAVDEASAAGVVAVAADAGPAAACSLPVDDMPLPAEYAHQVGRASDAPRVCCFHLVVLLAILSFRFVNWL >Sspon.01G0023470-3D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:82038908:82042347:-1 gene:Sspon.01G0023470-3D transcript:Sspon.01G0023470-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPARRRPRRGATPAGGGDNSVPSSAADLLALAATLIPAAATAALKAPPQLKLLVHSLPVSHPLLLSLPQALALALAPPSDPDAGSTSDAPPPAPPPPRPAAVLLHLLVTHPTHPPRWDDLICPLALLHARLALLANADPPVAALAASCFELAWRADAPGREALVAQTLPYLVALALTSGSSARPVLRRLFAFRDALPLLDYDDDQSISDFKMLLLRCFASPLFLKAEEGRKFLALVLGVSEGIAREGLELIRAQVVMTGGKRAAVVAYGEVIFRAWKDGGWVRGEVGEAFLQGMVEGAVHAGSKEVAKAARKILSPFVEQRAVAGVEKLVFRLAEPVLFRSLQVANSNVRHNALHLLLDLFPLEDPDVTKDVNDPLLEKQFFLIDKLLMDEYPEIRAVAVEGICRILNQYWEVVPAPTISKFLSKIVDDMSKDSCNEVRLSTLNGLIYLLDNPQSHDILKVLLPRLSDMISDTAMSIRAAAVDLLLAIRDLRSFQYNKVVGLGILLSSLANDHPRIAQRITKLLIPSYFPSKLSPKEACARCIALIKRSPTAGARFCEFALSEGSPPRSIVDLVKYSITLALSQTGLNSDQIDGLIIASVNLIKSLSNERSSLSALREFFANAKLRLVLQIAVSEGARAALLSIAPVVLPDDLSVLHEECMDIVVNAARISKQEEYQETVLEAHKLIVLGDWSDELFEALTNTLQSKASDFAQIYGVEPLPCPVASSRRKKGKALKKIPVRDTVVGKGSSKSKVSNEELAVAAGAAWQINEIVKAKDLRDAFLQSSYSEIAFSSLKVISQVYVEQCLYLDTLDLAPILAYLSLATCNDLPDVNQTGSCFEVSFKFPPHLD >Sspon.07G0003850-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:9493509:9497043:-1 gene:Sspon.07G0003850-1A transcript:Sspon.07G0003850-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFLIKWSKPPMAHYRRIHRYVSSAAARAAAPVPVRHVPRSPGTTSAQSARIRELGRLGRLREAREVFGAMPFRDIIAWNSMIFAYCSNGMPDAARTLADAISGGNLRTGTILLSGYARAGRVRDARRVFDEMGVRNTVAWNAMVTCYVKNGDITLARKLFDAMPSRDVSSWNTMLTGYCHGQLMEEARNLFERMPERNLVSWTVMISGYVLIEQHGRAWDMFRTMLCEGMTPEQPNLVSVLSAVRHLGKPGILESIHGLVHKTGFERDVVVGTAILNVYTKDVNMLDTAVKFFEGMAVRNEYTWSTMIAALSQAGRIDDAFAVYQRDPLKSVPSRTAMLTGLARYGRIIDAKILFDQIPEPNVVSWNAMITGYMQNEMVDEAEELFNRMPNNLFDEARDVFDNMPSRDVVSWTTILSAYTQADQGNEAVEIFRSMLHEHELPNPPILTILLGLGGNFGAPKLGQQIHTIAMKLAMDSGLVVANAIVSMYFKCGSADSLKAMLNMALEENPSGYEGRQFFKSMSSDYGLVPLLEHYACMVDLLGRAGDVQGAEQFIYDMPIQPDSVIWSALLGACKIHKNVEIGRRAAEKLFFIEPSNAGNYVMLSNIYSSQGMWDEVAKVRKLMKEQGVNKDPGCSWMQIKNKMHSFVTGDEEHEQIQDIYATLWELYTLLKATGYVPDTDFVLHDIDEEQKESSLLYHSEKLAVAYGLLVTPKGMPIQIMKNLRICGMEAVHVVIFGDKLSEHEQVLFTLSPQTQGEAIPGLLDVHLYIKEVTHSSGPLMLHLASPASASDGVAT >Sspon.05G0008090-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:24801780:24807055:-1 gene:Sspon.05G0008090-1A transcript:Sspon.05G0008090-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGRHGGYRDYEARERELDAEASRRSKEQHHHLGGRHRDVDRRRDGGRSRGGRDFANGHGRRRSPPPRSRLAGRLGDREPGEVLSGSASDDSGGRPHRGRENGVPGSVREGDVAVGSGSSSPSKKRKFSPIIWDRDSPKPPHSDATRDKKVVEPVPSELPPPPPLPPQDHSPLRLAVEKSPMDAEPTFGTESALQLPEHEVNKVTELEEEYPTMRNISTSRWAGANDDEEDGTAPMKKKSVSPADPSVAGQWKRASPEPGEVSGGRTMSRSSDSGKMGNDEKEDFEVDKDDYMDVDREHASDSDTENHMSDTDSDNEIRRPETPEPEKPPHRCINMLQGCRSVDEFERLNKINEGTYGVVYRARDKKSSEIVALKKVKMEKEREGFPLTSLREINILLSFHHPSIVDVKEVVVGSSLDSIFMVMEYMEHDLKGVMETMKQPYTQSEVKCLMLQLLEGVKYLHDNWVLHRDLKTSNLLLNNRGELKICDFGLSRQYGSPLKPYTQLVVTLWYRAPELLLGTKEYSTAIDMWSVGCIMAELLAKEPLFNGKTEFEQLDKIFRTLGTPNEKIWPGYAKLPGVKVNFVKQPYNRLRDKFPAASFSGRPILSEAGFDLLNRLLTYDPDKRISADDALKHKWFSEVPLPKSKDFMPTFPALNELDRRTKRYLKSPDPLEEQRLKELQGNIGNRGLFGDLEAIAWVVGYLQLTALAYRKTRSELVPAI >Sspon.01G0037300-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:17856080:17861942:-1 gene:Sspon.01G0037300-2D transcript:Sspon.01G0037300-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEEEDAAAASPAAVRKPPKEEEEDDDELDNLPLAISRAKKSGNASASKVKKEEEDEEDNMPISRSRGKKGNEKQKGTTNSNMKASKVKKEEADSDDGGDFMPISQKKSAGAAGSNAKTSKVKKLKDEDLEDLKEVKKRKKRVGVKEEANLAIVKAEKVKKERKVYELPGQKHDPPAERDPLRIFYESLYEQVPTSDMAATWLMEWGLLPLDVATKVFEKKQGQKLKSPVKTPVAKRKPSSPTPTKALPSSAKKSVASAKSAGKLTSQKKRKASDDTDDDDDDDFMAPKTKTKRHKA >Sspon.05G0002090-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:1537179:1537520:-1 gene:Sspon.05G0002090-2B transcript:Sspon.05G0002090-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ETMAKLGSVVRWCGGWRERRERRQKQLRLRRQHSGGTVWLGRRRRSCGRLAVSRLVRWRLVAELLRPIRKALMEMVAGAALAGSGSSSAPGRRQLVTLPQLNFPFVGTLTLPAI >Sspon.04G0014810-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:61873813:61877111:1 gene:Sspon.04G0014810-3D transcript:Sspon.04G0014810-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDAAETSKTLHNWMSDQGDDDEETLQLSLGLPGGGGGGGGGGTGAGLPPAAWRMLTARDKEMRSAAAVDTSMLSLGYSAPAFSPRSQGKAKGSPAAATENARLASTNNASQARQRSPNTPVIGWPPVRAFRRNLATSSKASLEHQNGKKAARPEQTTKRAPFVKINMDGIPIGRKIDLNALGSYDELSLSVDKLFRGLLAAQQDPLDAGTEECSQEEVAISGLLDGTGEYTLVYEDYEGDRVLVGDVPWGMFVSSVKRLRVLKTSDISSSVSTSF >Sspon.02G0039900-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:64228241:64229032:1 gene:Sspon.02G0039900-2C transcript:Sspon.02G0039900-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGNSRWARARGLTPADGHKAGGRNLERVVGLSRAWGIRALTAFVCSRENLSRPKARPRAPSLPLPFQNLLDETSLTRTVSSCVSRICVQAEVDYMMGLSEWLIGDNIDRLSRQGIRLQVIGDTTKMPGSLRSAAVQADEATRHNSQLHVMLAICYSGRWDMVQACRELAREAQAGQLSADDIDESLVAGKLATSAAGEFSCPDLVIRTSGELRLSNFLLWQSAYSEFFFTDKMWPDFGEAEYLEALRSFQGRDRRFGQSTLL >Sspon.06G0016920-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:91814925:91822595:-1 gene:Sspon.06G0016920-1A transcript:Sspon.06G0016920-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VTGGDMEPPNSALLGVMGSLFRKLDDLPSPRKGWPQQLRGDLGSLATNLEKLYEVHNPPLTVNYWKKDVRELSYDVEDFVDEMVHHNEADAETGCTFDEILGPFKSRIEELVERYKRYKLEDVLGHITNTVVNHRRGWQREPGDLVGMDDGPANEILRWLKAKGGIEKELKVGSILGTEGVGSQKAGYENDSKKYLIIIDDLWAVSVWDVISRAFPEGNCCSRILTTTTIEDVAMACCSYDDTGHIFNLKPLSADQSKKLFTDAVFGPAKGCPQKFNDFADEITRKCGGLPLAIICIGNLIASQQDTLHLQHSLETNSNSVEILNKVLELYYRSLPHYLKPCLLYLSVYPENYLIQEEDLVKQWIAEDFFSATEEDKIEVASSYFDNLVSIGLIQRVLINSNKKQVLYAVHPTVFEFIKRKSLEGNFITIIDYSQLTVALTDKIRRLSLHFGSATYATPPTSSTGLSQVRSLTFMGLLNCMPSLVEFRLIRVVILHLRSDDGDRKFSLAEVDKLLLLRYLQVRCNVAVELPDKVQCLKHLETLEINAEVAAIPSDIAHVPRLSNVCIGGKKNHEHLTLSPVASGKAIGMDDSSSASMPSVQIFELLPPICIFPTIPEWIPQLRRLCVLEIVVRELQSNDINVLAELPVLTALSLYVRSRRPTAGHIVCSRGAFPALEYFKFVCGVLFLNFHKDTMPNLRRLKIGFNAHRGGEYGHMLGGIQHLLNLEEIAACTGKATDAEEFDRLTAESVLRDAISNHPCHPSLKIKRVDPYEEYDHSEIQDYCIQETEQHVIREVKGLRELFDPAIAEKDNMKILKELWKLAAQCLSLNIHTRPQMNEVAICLRMLKNDLKGSPQSILATHSKWAKQYTQRSRPPSFKKKLSFFKGNTSYFKVLSELCNVRTFTKEEINQVAHNYSYLLSGGTSSAAEVYKGTLEDNTMVAVHKLIYEDSEYAFINGGVVVSKLAHKNIIKILGCCLEAQTIAFLYEYIAKGSISDILGSQEDFPLDLRMRIAIKTAEALQYLHSSETGIIGHGSVSASTILLDNNFIPKLTEFSRACMLFKKSETTATVISSSLLEKALNNDLSRYCSVLMNLESDVYKFGGLLMALISRDKDSDYDDLIAIFTKGYETEKTGRALFDKDITAEEDIILLEELGRLALKCTILNADKIFMRPTMKEVAHELRTIRRSWKERRSEADTHVTAATTTDMVPAEPRLPNLMRHMFGYRRISIGDPIRSV >Sspon.03G0018670-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:57766662:57776120:1 gene:Sspon.03G0018670-1A transcript:Sspon.03G0018670-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEYCPSPQEDSALVAAPLTRPSPSPETAVRPSYGSCDRRYVKQVFDNLHGSISLDPLALQFVDTEEFQRLRDLKQLGLTYLVYPGAVHTRFEHSLGVYSLAGEAINNLKTYQGEELGIDHVDMQTVKLAGLLHDIGHGPFSHLFEHEVLPRVDPGSSWSHEDMSVLLLDSIVDKHAIDIENDYLQMVKDMITASSKHASTTSANEKHFLYDIVANGRNGIDVDKFDYIGRDCRACGLGCNFQYWRLMEGMRVMGDEICYPAKDYLSIHKLFSTRADLHRTVYTHAKVKAVELMLVDALIEANDYLGISLHAHDPEEFWKLDDTIIKTIETAPNNELKKAKEIIQRIRRRELYKFCNQYSVPKDKLEHFKNITAQDIKSSEVLLKEEDVAVSNVKIDLTRGKDNPLESIKFFKDFGCDEKFPITDDRVSHLLPAYNEDRIVRVYAKKPELVDVVSEAFENLQMRMYGEKTQVHETPKKKRIRSN >Sspon.02G0022210-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2B:72341688:72341866:1 gene:Sspon.02G0022210-2B transcript:Sspon.02G0022210-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding EDEDSSDDDDDDEDAGAAQRRSGRKDRRRAVRIAWEKLVRWSRSWRRRNRSDVLETTRK >Sspon.05G0027440-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:52901931:52909837:1 gene:Sspon.05G0027440-1B transcript:Sspon.05G0027440-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding METRCGAGQRPEEEGKQREREWEEAAEAVAYDSCTWPPPVVAVCGPGNSGKSAFSRLLLNTLIDRYKKVGYLDIDVGQPEFTPPGFVSLHIFEEKPKDLTILYLRNPKRCFFFGDICAKRNPKLLLTYIFGLHDYFLQEFYCLGEVENPKKSAIPLVINTSGWVKGTGLQILTEMLKYVSPSHVIRVSTTVERKNLPGGMFWMNEGEGDSSVNLVEIPAAQNSPRHLLAKKEARIIRHLRLIAYFRQCLPREFPVFCFDDLVQGFCSIHPFRLPLSKIHVIDLHCQVSLSGTDVQRFLNGTIVGVSTNDPPLSTECSTPCCIGLGFIKAIDISEDCIHLITPISHKLMEKVDILFLSCIAVPSCLLQ >Sspon.07G0025040-2T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:35682476:35687279:1 gene:Sspon.07G0025040-2T transcript:Sspon.07G0025040-2T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNLLKSKSESKLKKQASSSDEQQAKINEVRELLGDLTTEMPGFLTDSTIRRFLRTRNWSTVQATKALKETVKWRRQYRPDKIRWEDITEREHLLKKMYIADYLDKNGRTVLVLMPSIKSSVPAKELVKLLVYNLETMSSENAQENIVWVVDFCGWTVSSTPLAESRQSVHILQNYYPGLVGAAILCNPPKIFESFWKILNYFIEPELKEKVKFVYTNNSESQRIMADMFDLDKLESAFGGRNTSGIDIGKYSERMQRRDQTRNLHI >Sspon.03G0035520-2D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3D:45754064:45754396:-1 gene:Sspon.03G0035520-2D transcript:Sspon.03G0035520-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPANKGRCLGMETDVPSCAVPGAGGVAMDERMALCVLPAMLVGPLKEIHLRACLECGSRPRAAVLHVWRPWTGWEPGSPGKKKKELSAWLPGNGHLHRFFRAWLPVIVLL >Sspon.03G0025720-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:4655489:4659021:-1 gene:Sspon.03G0025720-1P transcript:Sspon.03G0025720-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAASCCCVSSHGHGLSRPLPRLRTARLVFPSAPSPRLSSRIPASPDPPLDVAAGDATGEDDWPAPDDDQDQDRRTATRASASRSRSKVGKRNRRLVRARVRVHAPLDAVWATLTDYEGLADFIPGLSECRLLDQHDGFARLYQVSLFMNEWGSVHRVEGQVGEQDLALGFKFNAKGTIDCYEGDMELVPAAGARRREIAFNMIDGDFKLFQGKWSVEEVDGSIVEGGGNSEEQEFQTTLSYLLELEPKLWVPVRLLEGRICSEIKNNLVCIREQAQRIHRLQHEVPPCTPSVSPLLQQPM >Sspon.07G0010970-3D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7D:34426093:34427872:-1 gene:Sspon.07G0010970-3D transcript:Sspon.07G0010970-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIIGATTMNFDFFNSIGLRDKIWNNGPLDTQIQWFHQLLPSVCGNDCKNYLSKSLFVVGEFGGNDYNAALFSRRSMAEVRGYVPRVVTKLIRGLETIIRSGAVDVVVPGVLPIGCFPTYLTLYGTSNAADYDHDGCLKSYNDLSYYHNELLKRSLASLRRTYPHARIMYADFYTQVTHMIRAPHNFGLKYGLKVCCGAGGQGKYNYNNKARCGMSGASACADPGNYLIWDGIHLTEAAYRSIADGWLKGPYCNPPIQH >Sspon.04G0003840-3P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:42667164:42677894:-1 gene:Sspon.04G0003840-3P transcript:Sspon.04G0003840-3P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAMEELSELAESMRQAASLLADDDPSDDAAPRRPTTFLNAVALGNVGAGKSAVLNSLIGHPVLPTGENGATRAPIVVDLQREPGLSSKAIVLQIDSKSQQVSASALRHSLQDRLSKGASGGSGRGRADEIYLKLRTSTAPSLKLIDLPGIDQRAVDDSMINEYAGHNDAILLVVIPAMQAADVASSRALRLAKDIDSDGTRTVGVISKVDQANGDAKTIACVQALLSNKGPKNLPDIEWVALIGQSVAIASSQSVGSENSLETAWRAEAESLKNILTGAPQNKLGRTALVDTIAKQIRKRMKVRVPNLLSGLQGKSQMVQDELARLGESMVQSPEGTRAVALELCREFEDKFLAHITSGEGSGWKIVASFEGKFPDRIKQLPLDRHFDLNNVKRIVLEADGYQPYLISPEKGLRSLIKIVLEMAKEPSRLCVEEVHRVLLDIVNASANATPGLGRYPPFKREVVAVASNALETFKNDAKKMVVALVDMERAFVPPQHFIRLVQRRMERQRREDELRNRSSKKTQEAEQSTSKRASSPQTDAEQGGGSLKSMKDKSGQQDKDAKEGSNLQVAGPAGEITAGYLLKKSAKTNGWSKRWFVLNEKSGKLGYTEKQEERHFRGVITLEECNLEEVEEEEPSKSSKDSKKANGSEKTPSLVFKITNRVAYKTVLKAHSAVVLKAESMADKVEWVNKIKAVIQSKGGSFKGPSTEGGSMRQSNSDGALDTMARRPADPEEELRWMSQEVRGYVEAVLNSLAANVPKRLAPSGFGQSNAKIEELLQEDHNAKRRREKYQKQSSLLSKLTRQLSIHDNRASVSSYSNDTTEAESPRTPSRSGEDWRSAFDSASNGPVAARTNSESRSRSADGRSRRYENGDVSSGANSGSRRTPNRLPPAPPKY >Sspon.01G0025850-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:90425935:90429548:1 gene:Sspon.01G0025850-1A transcript:Sspon.01G0025850-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPQAEAGAAAVLGADPAALTALLADLTSPANEARSRAEQQFHALRGSHPDALALSLAHLLLSPAHPSAPIAAVLLRRLIAPSSQSFVYPALSPATQSSLRALLLSAASAPALPRSVSRKLSDAVAELASFLLPANAWPDLLSFLYKSIDSPSSPPGLQESALNILARLASHLAASFPNLHGLLLAALSHPSSADVRVAGLNAAISLIQSLPSAGARDQFQDLLPAMMRALAESLNCGNEGSAQEALEMMIELAGAEPRFLRRQLPDVVASMLQIAEAPGLEDGTRHLAVEFVVTLAEARERAPGMMRKLPRYVGRLFAVLMSMLLDVQDEPAWHAAVSEEEDAGETGSYVFAQECLDRLSLAVGGNTILAVAAELLPSFFSSEDWKRRNAALMTIAQIAEGSAKVMIKNLEQVVGMVLNSFQDPHPRVRWAAINAVGQLSTDLGPELQNQLHHVVLPALASAMDDVQNPRVQAIQAHAASAILNFSENCRPDILTPYLDVIVGKLLLLLQTGNQMVQEAALTALASAADSSQEHFKKYYDAVMPYLKAILMNATDKSNRMLRAKSMECISLVGMAVGKQKFKDDAKQVMEVLMTLQGSQMEA >Sspon.07G0007660-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:20586473:20589672:1 gene:Sspon.07G0007660-1P transcript:Sspon.07G0007660-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATEVNQNFFAWSQEETSVQDLSQGTSQMFGHGSISFGRFDLESLEWEKWSVFTNDKRHEEFGKFNGLVAKKKAYFEEYFKRIRELKALQQQNQQTELHLEYNGDGSDSSQAGEYESATAHGAPTESETLVDDSTKQTKAATTIEHGMECYGYHENGSLVNEISASTHSSPVGDLEQIGKQMRGSVSGKTDILVQDANSSQDDPMVPETMITPNKRTIEKDSRVGQASKIIPKTVKMISSNVSDHTNVSKGPCSGKPSVINQMAKPENILSLRRPREATIDLVGTAARSGITGLRRPSSAALQRPSTRERRPVTKDASRKHAEVTTPCRPSTSERRTAIRDSALKHGNNAIPCRPSTAHRRPIAKESATKQCNIATPRRPSTADRRPVTQDSTPKLSNIAAPHRPSTAHRRPISKESAPKHFNVASPHRSSTGQRHTSARDMASKHIVIATSCLPSVVKQCPITGEDAHKHADVVTLSRSSTADRRPIVRDVAPKHATLSLPRRPSTAERRPIARDVAPKNGLPHRPSTAERHPIARNVELKHAPAQRPSTAERRPVTRETVLKQTNVANSRLPLTPDRCLTKKSVISTPERPSTGGRCPITNGTTVWSRGMPNYSKGAMVTEVTPQKAITPRVVRSSKLENLSYAKETVELQVDRKQKSSPFNLPSRKMLTSNVRDGQGLEKFKKPNKEVRSFFSFRAAGPLH >Sspon.04G0011830-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:32442995:32443198:-1 gene:Sspon.04G0011830-2B transcript:Sspon.04G0011830-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKSGVVQPDSIPASTSWSSSSLVDKIEAAHLDKDQSPYVSRLIRHTPKKSGSLNSRLMNQSSRLRA >Sspon.04G0004310-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:13638347:13641895:-1 gene:Sspon.04G0004310-3D transcript:Sspon.04G0004310-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPPPAGEQQQPQLHGVVIITLPPSDQPSKGKTITAFTYTDDAPPPPPEPVMGYPAATQVRRRPRRVLSTRRVAAAALVLGALAVAAYYCFYSDVAVQFLGMEQEEAQKERNETRSFLLPLYPKARQGRALREFGDVKLAARRVDDGGRKARNKMEVAKAAAAGNNSTALLPIKGNVFPDGQYYTSIFVGNPPRPYFLDVDTGSDLTWIQCDAPCTNCAKGPHPLYKPTKEKIVPPRDLLCQELQGNQNYCETCKQCDYEIEYADQSSSMGVLARDDMHLIATNGGREKLDFVFGCAYDQQGQLLSSPAKTDGILGLSSAAISLPSQLASHGIISNIFGHCITREQGGGGYMFLGDDYVPRWGITWTSIRSGPDNLYHTEAYHVKYGDQQLQMREQAGNAVQVIFDSGSSYTYLPNEIYENLVAAIKYASPGFVQDSSDRTLPLCWKADFPVRYLEDVKQFFKPLNLHFGKKWLFMSKTFTISLEDYLLISDKGNVCLGLLNGTEINHGSTIIVGGINDHPSILLHFNTYVSLRGKLVVYDNQRRQIGWANSDCTKPQSQKGFPFFL >Sspon.07G0029750-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:78304077:78307956:-1 gene:Sspon.07G0029750-1B transcript:Sspon.07G0029750-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thiamine biosynthetic bifunctional enzyme TH1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G22940) UniProtKB/Swiss-Prot;Acc:Q5M731] MPWPHVLTVAGSDSGAGAGIQVDIKACAALGAYCSSVITAVTAQNTGVHAVPEEFVGEQLRSVLSDMSVDVVKTGMLPSAGAVKVLCESIRKFPVKVMLYCCECMMKAYYANSAALVVDPVMVSTSGHTLSGPSTLATYRDELFSMADIVTPNLKEASKLLGDVSLHIISDMRNAAESIYKLGPKYVLVKGGDMPDSSDAVDVLFDGKEFIELRGLRIKTHNTHGTGCTLASCIAAELAKGATILHAVQVAKKFVESALYHSKDLVIGNGPQGPFDHLFELKSQLYKMGSLQKFNPDDLFLYAVTDSGMNKKWGCLIKDAVKAAIEGGATIVQSREKEAGTWEFLEAAKACVEICKSSGVPLLINDRIDVALACNADGVHVGQSDMPAWEVRELLGPGKIIGVSCKTPAQAKQAWKDGANYIGCGGVFPTTTKEDNPTLGFEGLRTLPVVAIGGINAGNAASVMELGFPNLKGVAVVSALFDRECVATETRNL >Sspon.03G0005290-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:30148594:30151410:1 gene:Sspon.03G0005290-1P transcript:Sspon.03G0005290-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPWAALLLPTTARLLPIRFPGIDASLLRPLAPGASASISLSSPSSGQPLSLRVTAIPRSTAPVRPPPDSLASLFSLHDWHGTTDLRLVSVADASLRAGSLMYLFRGDLGCMLHTGDFRWELGCDKARRAKQALLDALGGDAIDVLYLDNTYCHPSLNFPPRPVVAKQMVDIIRAHPDHEVIIGVDTLGKEDLLLHISRALQTKIWVWPQRLLTIHLLGIDENREIFTTQTSLTRIRAVPRYSVTIDNLEALNTVCPTIGIMPSGIPWLWKSSEGKVKSKGRSSLKSIGCLGRGEGLTEMDYNPLSPPKLFDKDSYALPYSEHACFSELEDFMHTLRPSTVIGIVSSSFCYVNPRHHFTHLCLDSNVNDDRTPIKNKGGDNDKLTPKRRRNGSVTPEERKVRISSSSLYRSKVTMKRRECCGARIHDTEEHIGVA >Sspon.03G0004490-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:30025554:30031493:1 gene:Sspon.03G0004490-2C transcript:Sspon.03G0004490-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Autophagy-related protein 18f [Source:Projected from Arabidopsis thaliana (AT5G54730) UniProtKB/Swiss-Prot;Acc:Q9FH32] MRDGAQAPRGGGGFFSARSLSNYMRIVSSGASTAASTLRSAGASLVNSMANHEEDGSRDQVQWAGFDKIECGGGLLRQVLLLAYKSGFQVWDVEHADDVRQLESRHDGAVSFIQVLKDPIFTANSGDRFADARPLLALACEGTHTGSGNNHDTNVPVFDGTNGAFHSIGGENLPTVIRFYSLRTHEYVHTLRFRSAVYSIRCSPRVVAISQATQIHCFDAATMEREYTVLTSPTVAQVSGYGPLGLGPRWIAYSGIPVPVPDTGRVSPQLLSLSPFVPPPGSNGSVVAYYAKESSKQLAAGIATLGEVGYKKLSKYYADFIPNGNGTIKQRSSGYKTNGVTNGHLIDGEYAGTVIVRDIVSKLLIVQFRAHTSPISALCFDPSGTLLVTASVHGQNMNVFRIIPPHGTSEAGQMGTYVHLYKLQRGITNAIIKDISFSDDSDWIMISSSRGTSHLFSISPYSGSTRFRYSDNNPAENDYIVDSSVNHTAHWSQNSATSLSLSQKTLFVSGPPVTLSVVSRIRNGSNMFKGAVHGAAAFATGASSPISGAIASTFHNCKGGDINSDGSSRMKYYLLVFSPSGSIIQYVLHLSAEQDSGFDFQTSPISYGPERETDTKFVIEALQKWDVCYKRNRRDSAESFAYSDFENGESNKLFLKAMRKGTSVYPFDCSAVERQKLSADENRNFYISQSELQTHVVQTPLWSRSGIHFQVMEGETLEADNADVISGEVEVEKIQTHNIESRSKNLIPVFDSLHTSRFQQTRLNAPDNNRYELLQRQKSGISEDGRLSRRSSCSSLDCMSEGPKSSDDGTFGKYVVDDNSSAVNNNPSVKFHAELVNNTGSLKSEAQLGFVNSKEDGEDGEQLPDL >Sspon.02G0012790-2D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2D:27908929:27909888:1 gene:Sspon.02G0012790-2D transcript:Sspon.02G0012790-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVEASHGSPPTRSKTMHRLMVAINCLMLALGVTGGQLLSRLYFSKGGHRQWLSGWLQTGGWPLLLPPVAASYVRRRRRARDRSGTPVLLTQTQPRILLAATGLGLIAGLDNLLYAWGLEFLPVSTSAILVSTQLAFTVLFAFLVVRQRLTVATANAVALLTAGAVVLGLHVSADRPAGVTGSQYWLGFALTLGAAVLYGLFLPLVELTYRCAAAGGGGHCAVTYALVVEMQLVIGFVATAFCTVGMIVNKDFQVRDLQFSPCAVHSNSSPQTERSRSRERDSCLTLTAICLLMLYRPSRDPTLLHTGVDHGVRILIID >Sspon.07G0009250-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:22684406:22689948:-1 gene:Sspon.07G0009250-3D transcript:Sspon.07G0009250-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRTSFAWDTRVARVARVERKETSHPFGFTMQALKNAPLDLQSKDKFLVQTTVVPFGTADEGIVPAFFSKESGQYIEEKKLKVVTISITEPQVEQLINGVPHAKETAGVPVTEQTLDNVNEAPNVVNEVRNSLKASFPSLSGTATFNENSSPVNECPTILQDFLVPPKQASFTLSESASNLQETSVASIETQFSSTEASIDLKESPPLEYTPAPSEVPLLSDKESTNADNVHIPQVSKDVQNLQMKLNNLEVKLEEAKTLIVKLREANRTTIQERDKLQKEMVFLKRAGAARSQSSTGFPLLFVIYMAVVGISLGYLLPL >Sspon.03G0003070-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:15423447:15427884:1 gene:Sspon.03G0003070-3D transcript:Sspon.03G0003070-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATTSQASLLLQKQLRDLAKHPVDGFSAGLVDDSNVFEWQLCFLTSCIIFGEMPVYPDGRVESIVLSIISMLSSPNDESPANIEAAKDWREKREDFKKKVRRIVRKSQEIITDDEIIENRFILNYECSMNVKARVKLHNN >Sspon.08G0009120-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:35188814:35195526:1 gene:Sspon.08G0009120-2B transcript:Sspon.08G0009120-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MACLCPLHLLLLLLTAASAASASGADEAHVSVAVAEKGLAFAKDVLIGEAVRSLTPLRLPGVEKAVRVPFLGGVRVAASNITLFHLDVGDNSTIFPGDSGLLVVASGITANISMHWSYCYDSWLFPIEITDSGTASILVQGMEVGITMVIKNNNGSLALSASQFINAFEDHIKAAVEKAIPENIIEGAGKLDSFLQGLPRTISLDDVAAFNMTFINDPHYGNSSIEFDINGLVTSAVAKTTNLQKHPQLSLACGGASKMLLLSLDEDVLNSALEVHFKAGSMHWVVDKVPDQSLLNTANWKFIIPRLYWNYPNDDMLLNISMASSPVIRITSEKIEATINADMVIDVVDGKETVPVACISVIVSASGVVDASGNKVYGRVGLDNFSLALKWSKIGNFHMSLIQGSASLGRKAGLAHFILALKGGDWSLVRSAYLTADARAPATSPTVAISGFRRRCWPTAFDRSQIPSPVTTSTTPSLPSRRHAKQAFQTPRLSSGTFQNPSPVLALPLLHLGLVRLRLHRQRAMGIGRKRRRGGGELGRVAEIVIVLATAGNARGGWAPTAAESALLAEARGALAAAVMGEMELRPRELFPREVVRALVEDLGLTRARDPAVFRYRPLKASIADRVRLTKRKVWH >Sspon.05G0015720-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:5A:61760530:61760922:1 gene:Sspon.05G0015720-1A transcript:Sspon.05G0015720-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVAVEAVAARVVELVALGMAPGKGLATELAVVVLVGMGVVEVVEVVVAKVVVRAMAMEAVKATALALALVVVLLVVAVLVVDMVAVVAAAKVDLATALARGMGQVKDMEQVVLMEEAMEAVVAVEVAVVK >Sspon.03G0043880-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:85774517:85776840:1 gene:Sspon.03G0043880-1C transcript:Sspon.03G0043880-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNTKGVEPVQRAAGLPLTALNHISVVCRCLESSLRFYRDVLGFVPIRRPGSFDFDGAWLFNYGIGVHLLQAEDPASMPPKKTEINPKDNHISFQLFFHDPDGFMVEVCTCDNLPIVPLVPEGHAILGLPQQPAAPACKRPAAAALRQQAPSPQQPLPVPVAVAVPTPAKAGGGGSCVGEVELEACIPACAMRSCPEHACV >Sspon.04G0025900-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:37058908:37065671:1 gene:Sspon.04G0025900-2C transcript:Sspon.04G0025900-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLVPAAQMLAHVILLNTFRRYSYTMALDDKEIVALSGAHTLGRPRPDRSGCGKPETKYVIASAKQICRRNEIKLMKGKEI >Sspon.03G0013070-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:36520979:36522825:-1 gene:Sspon.03G0013070-1A transcript:Sspon.03G0013070-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNKAGQTRRAHFGSRSAIGSDCTRTTRRRVQRDHHRQASDKMVANGDAPARGSAAAAASLRRRRTTSGAAAGGGGASSMLQFYTDEAAGRKMSPNTVLIMSIGFIAVVAMLHVFGKLYRTSN >Sspon.06G0005900-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:19830818:19831839:-1 gene:Sspon.06G0005900-1A transcript:Sspon.06G0005900-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCSSPAPTWLLRVSSAAADQASSSSSSKGGGRVLTVGTTTMDTAAAGGGGGGGGGGNAADLQESSSSGQSRLAARGHWRPAEDAKLRELVALYGPQNWNLIAEKLDGRSGKSCRLRWFNQLDPRISKRPFSDEEEERLMAAHRFYGNKWAMIARLFPGRTDNAVKNHWHVIMARKYREQSTAYRRRKLNQAVQRKLEAAASAAVVAMPPGAGSTGDVVGAVGHHHHHHHHLLAAAAAHAHDAAYSFAAADPYGAFGFGQYCSSFPSFPPASAEDPPPPPPFCLFP >Sspon.07G0000620-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7A:1355014:1355191:1 gene:Sspon.07G0000620-1A transcript:Sspon.07G0000620-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding WRTRRGGTRTTEQYPARSPLRQHLRASLVGQLILVSLTRRLAGVRRGERRSLAPRPVSG >Sspon.03G0007010-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:24250400:24254489:-1 gene:Sspon.03G0007010-4D transcript:Sspon.03G0007010-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPLIRTARCWLLRARVRAMATLPMASPAAAISSFTTRPLYSPSSRSHRLLLVRFFSVAPAPARAKGLRAAASAVEVSGVKIAREDVVKEDDPTNNVPDTIFSKIGLQLHRRDNHPLGILKNTIYDYFDKNFTGQFDKFDDLCPLVSVKQNFDDVLVPSDHVSRSYNDTYYVDGQTVLRCHTSAHQAELLRDGHTHFLVTGDVYRRDSIDSTHYPVFHQMEGFRVFSPDEWSGSGMDGTAYAAAELKKTLEGLARHLFGKSLVACAVEMRWVDTYFPFTNPSFELEIYFQDDWLEVLGCGVTEQEILKRNGRRDHVAWAFGLGLERLAMVLFDIPDIRLFWSNDKRFTSQYLLSSTLVSKTFIHVTQFSEGKLGVKFRPFSKFPPCYKDMSFWINDAFTENNLCEVVRGIAGDLVEEVKLIDNFTNKKGMTSHCYRIAYRSMERSLTDEEINNLQLNVREAVKDKLKVELR >Sspon.06G0021340-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:14315793:14319988:-1 gene:Sspon.06G0021340-1B transcript:Sspon.06G0021340-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA polymerase epsilon subunit B [Source:Projected from Arabidopsis thaliana (AT5G22110) UniProtKB/Swiss-Prot;Acc:Q500V9] MAAPSAATRRKLQRKFRLRGFTLKVDALEEAAAFLDRFPDAEDDALDLLLDELDKEPLQSSILDRDAVRRVVALLVEAEEALDAASPAITSARSALRVVDAFLVPRFHYDPIKKVFYEHTGRLAVHGEAGDKASLYRDRYQVLLQRLSRDKYFSKPAFDTVATEDSSCEITSIQSLIGCTGRRWIMGVISQLEERQFYLEDLTGAVNTCGFPPLEDREASVSLLMGLDFFGGGVIPTEEALRLSSLEKKAINDMFVILSDVWLDNPESYSQDVPMCYLVEKTMEKLAVVLDGYDSVEVVPSLFVLMGNFCSRPCNLAFNSFEELRDVRDYEISLSYVPSHRFQFGKLGEMIAARSRLKEHSRFLFVPGPDDAGPSKALPRCALPKYLIEELQKHIPNAIFVSNPCRVKFYTQEIVFFRQDLLYRMRRSCLIPPTTEETSDPFEHHSYHNPFEDINQSFSFQLVATITHQSHLCPLPLTVQPIIWNYDHCLRLYPTPHTIVLGDKSEQKAFKYTGITCFNPGSFANDSTFAAYRPCTKEVELSALEG >Sspon.06G0003000-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:7343890:7344473:-1 gene:Sspon.06G0003000-1P transcript:Sspon.06G0003000-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQSVDERTPWQLPPASELQDSGVTFRAKKSPRSMVDVTFDRRGGTLEIPAVESYTNHVIFANLLAYEQSRGRWELQRLLRAADGVRGGLAPRRGGPAARRRVRGGDEETASFYAHLGELCPPPEFVNNCYAGLFRDVREYCGRSWNRHRAVLVHDYFSNPWTSMSAAAAVFLLVLTVVQTVKTVLPYYSPN >Sspon.03G0027020-2D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3D:4773232:4773607:-1 gene:Sspon.03G0027020-2D transcript:Sspon.03G0027020-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RQGVGQRSRCRPPHAREPRPPSCSCHLLRSAVASPTPPCSASPAGRRPRPALLRLALLRPAQRLSGAACSAVSGAPVPGGQQPGLARPHLAPQHLHGLARPRPQRPNKSSRAAASRSTKHPLLCP >Sspon.03G0008720-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:30587146:30587848:1 gene:Sspon.03G0008720-2B transcript:Sspon.03G0008720-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LMAAVENDHRRGAKVTSAQSNSTGPAPRPSSSSRARLHDFSFPTLSWGTHRVLRCSKNGPASSPASPETPSLDKEEKAHRPEGGGGSLQLQRQRAALRPWNLRTRRSATAAPTRRQAGSDEADEEAQAAAECAPAPTAEAKKRAFSIALSKQEIAEDFAAIRGTRPPRRPKKRPRTVQRQLQLVYPGLCLVDVAPGSYKIE >Sspon.04G0010000-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:29732668:29734291:1 gene:Sspon.04G0010000-2P transcript:Sspon.04G0010000-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKGDIGDGQDILVRVHSECLTGDIFGSARCDCGDQLAMAMEMIEKAGRGVLVYLRGHEGRGIGLATSFVPITYRMMGLILWKLTRNLVFLWTHGNMGLAHRYYGI >Sspon.02G0057070-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2D:42790023:42791514:-1 gene:Sspon.02G0057070-1D transcript:Sspon.02G0057070-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ATAPHVVDDCLGIVQLLSDGTVTRSADYSALPLQGEVPSNLPVQWKDVVYDAAHALRLRMYRPTDGDRTTANNKLPVLVYFHGGGFCLCSFELPHFHAGALRLAAELPALVLSADYRLAPEHRLPAAHRDAEAVLSWLRAQAQADPWLADSADLGRVFICGDSAGGNIAHHVAVRYGSGQLALDPVVRLAGCVLLWPFFAAEERTASETAGLVDEHQFMGTALFDQAWRLALPVGATRDHPAANPFGPDSVPLDDVAFPPLFVVDPDQDVLHDRIQDYAARLTAMGKPVELAVFRGQGHGFFVFDPCGEASDQLIHIRAALWLYSHADGSVNYIFRNPQTCYPSILFFSSPTDRSFLPQSFRFVSVTSGGLAAAFALRRARQWVGRSLRRQSAELRRAVAWVWLSLRALLFPLCPRPA >Sspon.08G0007950-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:25386208:25391845:1 gene:Sspon.08G0007950-4D transcript:Sspon.08G0007950-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVITIDVLRRAGADVAVASVEPGAATVAASWGVKLAADALLADLAEDEFDLISLPGGMPGSSTFRDCKVLENMVKKHVEKGKLYAAICAAPAMALGTWGLLNGLKATCYPSFMDKLPSEVHAVESRVQIDGKCVTSRGPGTAMEYSVILVEQLYGKEKAKEVAGPMILVPIASGTEEMEATMIIDILRRAKANVVVASLEDKLEIVASRKVKMIADVLLDDALKEQYDLILLPGGLGGAEAYAKSDKLMDLIKKQAEANRLYGAICASPAIALEPHGLLKGKKGTSYPAMWNKLADQSECNNRVVVDGNLITSQGPGTSMEFSLAIVEKLFGRERALQLAKTMVFV >Sspon.05G0021100-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:86477634:86484504:1 gene:Sspon.05G0021100-2C transcript:Sspon.05G0021100-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding PARNASSGPLSRRPLSAVLVAMALDSVPPYPCDLGSSSRAAATARTQQRIRKDERTWTSDTYAPYDDGHQWRKYGEKKLSNSNFPRFYYRCTYKNDMKCPATKQVQQKDTSDPPLFSVTYFNHHTCSTSSSAIGSVRDVTSQSSSKKAVSICFSPHAASEQPSFLTSPATPRSPIMHSYSANQQPDRSAYTYQQLQWTGGVPSHASNGPAKMEVDESAQPSPSSSSNSALSRTLLPIGQSRFYYRCTYKNDMKCPATKQVQQKDTSDPPLFSVTYFNHHTCSTSSSAIGSVRDVTSQSSSKKAVSICFSPHAASEQPSFLTSPATPRSPIMHSYSANQQPDRSAYTYQQLQWTGGVPSHASNGPAKMEVDESAQPSPSSSSNSALSRTLLPIGQSRCIEYFHFL >Sspon.05G0031650-2D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:5D:9876881:9877321:1 gene:Sspon.05G0031650-2D transcript:Sspon.05G0031650-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPELLGLVLRRLPSPSLADRVRLGAVCREWRRIARQEPDLPPPLPWLTLLDGTFLGNQSGEIHRMHVPEDASLHGSVGDWLFLKRPDGKLELMNPFSKGVVQLPVEANGRLRDSIFHKLVPLSTLDDLSLEDSGFAVLTVTRRFQS >Sspon.05G0019530-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:81214878:81216069:1 gene:Sspon.05G0019530-3C transcript:Sspon.05G0019530-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQMARLGALLPLALAAILLLAVAASAKPSDDDRPPKAQGPKPYKEKEEHDKEKEHDKEKPKPMKVKCHHRKLYPYCTGKPMECPAACSQSCYADCSSCKPVCVCSVPGACGDPRFIGGDGNAFYFHGRRDADFCLLSDRDLHINAHFIGKRGADGMSRDFTWIQAIAVLFDGHQLYVGARKTAAWDDDVDRMELTLDGEPVRLPEGPDATWTSGAVPALSVTRTRAANGVLVALDGRFSIRANAVPITEEESRVHRYGVTADDCLAHLDLAFKFDALTGDVHGVVGQTYRPDYVNRFDVRASMPTMGGEGNFTTSSLFAADCAVARFAPGGGHHDDDGVAMVSELAGITCASGMSSGQGVVCK >Sspon.05G0024620-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:24301298:24308682:1 gene:Sspon.05G0024620-3D transcript:Sspon.05G0024620-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADMNFDEILEVPDTPDQQQSKYHVSSSVVGRDDTMAAANPSPVRKLRIRFKNNSLHGSSQNNACSVLPAASDTDHIFKQAEAAQILELSKDCNAKISLKKSVRTGISVDNEKRAEKHGLAQSRSISNNISCSVTGGRKPTFQAKDGEVVQQDAGHQNANFLGIGSGLPTIPVGKPGNRTCTSTTAKLKGVAGADVCPGSSSGEIKGEVITNKVIAGPASPLCVVPRRHVGQKRLVRNGCISPSNIAKRNVKVDEKREMCSSSEHLHHPHPQLDAFDRSNVIDLTDNSPIMTRQRSKVNNKLIPGHNMDTRASKKLRTDTTSRTSVPLSKYHANSSNCSEANLSGHNNKGKGISSDILDSDQIGESNLRGVDLSTAGTYVNKNSSDINVEQGWRTTHNHTSKLSISFMGNATSSSRRESGSSMRSNQNHGSAGGNHSSVSGATMMVPDRLGNKTTMIRKGWTKETSTSSHTGESSSAVDESRCSLQSSKISAVRNHTSHQHNIPVITIDDISPEARPSSSGFTNGTSVDPNIEAQLESDELLARQLQEQLYNESPRFAPTEERDARASRLSSYRNALRAHLATANDMISRLQNTAPITLGLGAALARYPGALHIQPNIDLNDYDALLALDENNHQHAGASESQINNLPQSVVQSNSIEDPCSVCLENPSVGDTIRHLPCFHKFHKECIDEWLRRKKLCPVCKFGIN >Sspon.08G0010910-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:45504701:45506120:1 gene:Sspon.08G0010910-3C transcript:Sspon.08G0010910-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DGRSVPTATGSPQPQQGVGQKSWLFPVQTYLRLARLWFPLLGSPPRPLLLPRRHSASGALSSAPVHQQHLLLRLNRSRSSGRSRREELQLRGGELGVGARPRATVQRNRVQREGEPRLHPQRRPDTGYLDWRWQPADGCPLPAFDATAFLSAVRGKHVAFVGDSMARNQAQSLICLLSAAFPYRLLYRDTGPGPRKHNFWRYAFPDYDVRVSFYWYPFLVRATGKAEDERVPYNHVHLDQPGDRWAADADTIDVAVLAAAHWLLNGAIYYNGSVVIGAHNAPAEMNYTSIGYAWPLRVAYRAAVERLMSTAAGGRPRSVVLATFSPSHFEGKSTSDPTACAKTEPYKEGENDMEWLYREVRDIVYDEANAARARSGNDSATRIEVLDVSKLASMRPDGHPGLYMHDHPFANGKTTEGMYSDCLHSCLPGPVDTFNEILLQILRKRR >Sspon.02G0023610-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:83341342:83342880:-1 gene:Sspon.02G0023610-2C transcript:Sspon.02G0023610-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLSIFPEDYEIEKYRLLCRWIAEGLVMEKRGFTPMEVAESYLDELVSRNMIQFQVSFGYYVKLETCRVHDMLLEVMGSKSLECNFVSLVGGPYAGMSYDRIRRLSIQGDNSRRLSSVEGAKKNMAGRRRHDNGIEGMNVQHVRSLSIFHQKGQQLLDHLVKFTLMRVLDLEGCLGLTKDHLQCICRLYLLRFLSFRGTDIREVPPQIEKLELLQTLDVRDTSGCRLPENVKKLYQLERLQANYYRNNDASRMWRLPLGLRNMTKLREVGFSLLGNDTEVAEDVGELEHLQELILYVDDIDFGTEVLQKLAQSLSKSYSLRRLIIGDMGYGKTLNFLHTLPTPPRLLRYLMIAGGIDTLPSWIGKLTYLDQINISWGRFVGDQPFDVLCNCPSLKTIIIHTMCYVGPELVARTKHTFPELANLRVSSSSTKPDIIRFEKGSMVNLQTLLVNMTNNEDKRIVGIEHLTSIKEVQLWGNKNNTAVGRALEQLKNENLRRHQESNEQFQIVVKYK >Sspon.04G0029590-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:72884097:72886001:1 gene:Sspon.04G0029590-1B transcript:Sspon.04G0029590-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding KPRAPTRARFAVVSNGRGRTAGAGVGGAARKLHHLLRSRDLRPALSYLRTLPSPFTLLPNHSLNALLRALAAAGRVRAATSLFRAIPAPTPHSFNSLLAALLRRGRRRAASALLAAFLRSPDASPDVATLNTLIHGLSTASPRPSAPTLFKLFRFLPETYAFSPDAITYNSMLSALCRAGDLATARKLFDRMRASEQGGKADASPNVVTYTTMIRACCAKRLADEALSLFKMMVADGVPPNRITYNTMVQGFCEAGRMELVKDVFGMDSFKPDTCTFNTLMAAHCREGRIEDAMKVFHQMMELRVRHDSASYSMMIRALCENGEFGRVEELVDNLLEKEVLKKKGGCVPLIAAYNPVFKYFCENGKAKKARVLFGQLLDRRSKVDFLAFKTLILGHCKEGDFEEGYQLVLSMLKRDLMPDTDCYIAVIDGFMQKGRMKSAWEALHRMLNSGLRPSTSTFHSVLLGLLKKDGCAKEAGDLIEIMLERKIRQNVDLSTNLIGVLFNSDLNDRAYKITKRLYDNGYYIKMEKLIAALCQDKKFIDAAEFTLFSLQKHHELGVEVHSLVLDGLCSDGRASEAFRLFYELIENRSTSAVAAPRSLVLLHHALEEAGKVKEADFVAKQMRRATARIRQRS >Sspon.08G0009760-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:42740292:42748826:1 gene:Sspon.08G0009760-1A transcript:Sspon.08G0009760-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDDDDDGVDPQIEDVNDYYFEFEDEDGEREPVCFSILPFQFGEDDNEADFSRKNVFLRRFADKSVPVYKEVVAWKIRLDTEQPNIYVLSIKHKWIKLLKPRKCYGEFARSILITVQMLHFVRRGGQRRSLNHLWDHLDEVFGKYNPKPVADDFMKHHTLIKLFVEKDQTLMKSKILQRLIKNGFERTKKVHARGLSMPQRKMAENLNVKLLVTLQQKELVLFYVQTAKISNTNVLDVESLSHPMGQMLRDVISMSHTLVLQMWPHGEQDSKSTSACSVQALSKSISQGMELSLGAKDKDGNPRAWQLSKTIFIYCLDHEIDKDTGTASRNHIKFPATPECNKTKELCNSKGRMTGKRRKNTDQSTKPTELSNRLCGAESEQADNVGTPSDGWPSTKGMSDCSVQDTPVDKDVELDDVACRIMEDKYANGREKTQEDYTRKETAHHKESSENQGQNDVLELDNLWVEIQADGSPLEPGNKRDNEWQENAYGLGSASGQEKETSRRENPESDRGMVPRNDSKIIDYRKGGTTVDTGAASRNHIKFPATAECTKTKELGDGKGRMTGKRRKNTDQSTKPTELSNRLCGAESEQADNVGAKSTSPQIIVEPHCAAKRFKDDPQIEQSIIGVGASQNGAETMNGHEKQFGISSCVASTETEKRVTCLAQKGTCLGTPCDGPSTKDMSDCSVEDNPVDKDVELDNVACRITEDKYTNGREKIQEACTRKETAQRKDSSENQGQNDVLELDNLLVEIQADGSPLEPGNKRDNEWQENAYGLGSASGQEKESSSRRENPESDIGMPPRNDSKIIDYRKGGTVDNVDDHSSEGSYSCQGEWSRSKCNDGLVAIDQDTSSDRSKKRSQPVENASDGNKTDLDNKKHILREDGRDADYEDRRTGRNTAADTSRYKCRDKTQSDRREEEPVERNTRTRSREHSPERQRMDRGDSYPGTYNRQRCESLHSVNSSRSGGDDRRQLSPCQSSFPSPEFGGNHSHLYPRDSQYRTSGRHNPPQYLGIPLYRPYAAASMGEHSAMCYRLAGGYGEGSCSSRPVTERYAPHLDRTNCQPRSQNDLQASWPVTERYAPHLDHTNYQPRSLNDLQASRPVTERYAPHLDRTNYQPRSQSALQYELRLVQALHNNNLV >Sspon.04G0020590-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:78598054:78602181:1 gene:Sspon.04G0020590-3C transcript:Sspon.04G0020590-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRHLAYPLRLPLLSRNPGAPTRTLCASSSTHEAPPPAVEAPAEKPAEAAPSPSAPTNPPRIEEPLHETILHMIRRRPWTTRLENSIRLLSPTLDAPLVHSVISGAASAGRADLAIQFFRFAYRRGGFRPEGGTFALLVPALASRRMLNHARCLVLDTMPSFSVAPDEPTLAALIAAYGRAAIPQEAVKLFRIMPDLGVPRTALSYNAVLKAILCRGREAMARRIYNAMIADGVAPDVSTYNTLIWGFGLCEKMETAVRVFGEMKGHGVTPDVTTYNSLLNAWVRAGDLESARKVFDEMAGEGIQRNSVSYNVMIKGYVEGDRVDEAVALFAEMGEKGLRLSEKTFAALMPGLCDDQGRAAEARKAVDDMAERRLTPKDKSVFLRLVTTLCKAGDLDGALEVHRKSGQFKHVLVDPRQYGVLMEGLCAGGKCETAIEVLDELMEKGTLLSPKSPVLEASAYNQVIEYLCSNGSTAKAETFFRQLMKKGVDDKAAFNSLIRGHAKEGVPEAAQEILAIMTRREVQTDPESHVLLVDSFLKKNEPADAKTALDSMMQHGHLPSPALFKSVMEALFNGGRVQTASRVMKSMIEKGVTENMDVAHKILEALFTRGHVEEAIGRVNLMVENGCMPDLDKLLVGLCDNDRVMEAQKLADFALDRDFDVSFSTYDRVLEALYAEEKTLPAYSMLCKIKNKGGVVDQKGCDALMESLKSEGYSKQADILSRILAENAQSMSKRGKRVAMGLSQIKDIQEVAVGSYNYNSSST >Sspon.02G0025730-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:89254684:89255627:-1 gene:Sspon.02G0025730-1A transcript:Sspon.02G0025730-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGNGQKSKMARERNAEKNKAAKGTCSQLETNKKAMNIQVRTIPQPPPLGPRPPDLSLCPTLPYPTLPYPVPTPLVCHLFLSKFGVRQGRVSPDSLGFQATPSGFATTSGQWSPTTRFSSIPSLAAPDPSMPCLPTSGSESHNGIQLGCCCTAQSFNLNCHHINVDESSQWVIMRHSCSGTSADTLRRLF >Sspon.07G0003040-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7A:7440351:7441508:1 gene:Sspon.07G0003040-1A transcript:Sspon.07G0003040-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSINNFLDADDDQDRGSLGLRSVRTLRLEFFPGHCSSGINRLIAKAADSWGVEDLEVLVKNTFQQHFAHSFPHHGLCNNPHNSRLRSLKLAACYIPPLEGFHALTSLVLQDLPESTPTTAYETIFTLCPQLQALHLKSCMLNQGVVAVHAPKSQIKQLIMEHCWFGLIKLYTLPMLESMAVLQTNVSYELSSFPYLTHLNITFHHGLAKTRCVHVGNHYDLNQYLGGTPGISNLIVRFTGYHRWFKPWSPTLLFPKLRRLLIADVPSSWDVSWPRLLIEAAPCLECLHIHITPWEEEPHDDISWEPSEFCHNQLKELVIIGFQGTERQIYFVNFVIKVSTSLQLVSLYKNGHVQDRGRWDWDMVTQQYQWVKEEKVKILNQIAE >Sspon.01G0041190-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:46934723:46940208:-1 gene:Sspon.01G0041190-1B transcript:Sspon.01G0041190-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLHGKSPAQGLLPRVRAATASRREERKRGGGGGGPASWWRRRRRRLAAPCSSPPAARTFRESAPASPPGCSSPPGVRPHRTCSSCPSAPARPPPSTVYPSASKRTKKTTTKLGRKKAKAATTTAEATTPRTRATLKKEAAEKARREAEESELRAAEAREAAKRAAREEAQAADEVIEAMALQVQRPDPPTEPTTARRSLFVQDQQDALQVQVHPTKMTPRRKLLATKGNRLLIDNTSYLTGRQDLGIVNTGPASHGLIHIQGSSAHLTGFDIAIPCLHLPSSNSDVATHVLPLQWKERRHDGSISCVIGLRAHLKKKAE >Sspon.06G0010320-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:45504758:45513888:-1 gene:Sspon.06G0010320-3C transcript:Sspon.06G0010320-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VNTIRQFYENLVPSYTIYDIDCPDYSFRKFTDDGKYLVAFSRNHQDLIVYRPIWLTYSCNEDCDSHDLPAKSKKFDRFFKQLYSIPLASSNEYICKDFFLYMECNQFGLFATSTAQSNDSTATDGAIEKITFYLVRLEDGVILDEKAFCNDFINLAHSIGAYLYEDLLCIVSLRYQTVHILQIRDSGNLVEVRRIGAFCREDDELFLHSHAQRVQHLKKKFYFHFQDYVDLIIWKFLDRHHLFIKFGSVDGGVSRSTDQNLAFFAVYNMETTDIVSLYENSSEELYSLFEQFYDHFHANPQDSSHGKFISSHSNDVHALDQLRTIKNKASSSSQLEFVKMMMASLPYTCQSQSPSPYFDLSLFRYDEKLISAIDRHRHCTEHPIKFISVRQPNVVKFKIKPGSDSGASDSRAKRISSFLFHPFFPLALSIQQTYMQPTVVNIHFRR >Sspon.04G0018230-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4A:65690999:65702251:1 gene:Sspon.04G0018230-1A transcript:Sspon.04G0018230-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVLGWCGFCPSLGVLMGLFVGKIQMEEDQSALLVSEGAIKSIKLSLSTGEEICTYSVNECPVTHPSQLGNPFLGLPLEAGKCESCGASENDKCEGHFGYIELPVPIYHPCHISELRQLLSLICLKCLRIKKGKVKQSNGKGNVSATLCYHCRALNILKKVSDDTRRKLAARGYIVQTGYVMKYLPVPPNCLYIPEFTDGQSIMSYDISIALLKKVLQKIEQIKRSRSGSPNFEAHDDESCDLQLAIGQYIRLRGTTRGPQDNTKRFSVGSADSAALSTKQWLEKMRTLFISKGSGFSSRSVLTGDPYIGLGVVGLPSEVAKRMTFEEQVTDININRLQEVVDKGLCLTYRDGQSTYAITVGSKGHTTLKVGQTISRRIVDGDVVFLNRPPSTHKHSLQAFYAYVHDDHTVKINPLMCGPFSADFDGDCVHIYYPQSLAAKAEALELFSVERQLISSHSGKVNVQLGNDSLVAMKAMSHRTVLHKELANQLAMFVPFSLLAPAVMKPIPSWTITQIVQGALPAKLTCQGDTHLVRDSTIIKLDLDKESVQDSFPDLVSSILHEKGPREALQFLNVLEPLLMEFLLLDGLSISLRDFSVPKALLEEAQKDIQNQSLILEQSRCSTSQFVELRVENNLKSIKQQISDYVGKFSDLGLLIDLKKEASMAKVVQQVGFVGLQLYREGKLYSRRLVEDCLSNFVNKHSAIGDEYPPEAYGIVQSSYFHGLNPYEELVHAISTRETMIRSSRGLSEPGTLFKNLMAILRDVVICYDGTVRNICSNSIIQLKYGEDDETDSPSAVPPGEPVGVLAATAISNPAYKAVLDSSQSNNASWESMKEILQTKTNYKNDVKDRKVVLFLNDCSCAKKFCKERAALAVQSCLKRVTLGDCATDICIEHQKQINLDGTSEGAPTLVGHIHLDKMPIDGKLHNVPCVQFSFSDDNTVLSESVERAVNVIADSVCSVLLDTIIKGDPRIQVAKVIWVESDATSWVKNTRKVSKGEPALEIIVEKDDAVSNGDAWRTTIDACLPVLNLIDTRRSIPYGIQQVRELIGISCAFDQVVQRLSTTVKMVNKGVLKDHLILVANSMTCTGSLIGFNIAGYKATFRSLKVQVPFTESTLFTPMKCFEKAAEKCDSDSLGCVVSSSSWGKHAAVGTGSSFQILWNENQLKSNKEYGDGLYDFLALVRTDQETGYMFLDDVDYLVEENAVDDMCLSPEPDGTLGKPTFEDNFEEQNIQKGSSWENGTTMNSSWEQNASAANDSGDWGGWSSGGGAAAKPADQDNSWEVHAKVQDNSTDWGGWSSGGGAAAKPADQDNSWEVHAKVQDNSVDWGGWSTEKPTGEATVSGQPAEMDTWADKGAKMDSDAGDDNWEKKSSTPEASNKDDPWGKSEHTWDKRKRDGGDGAWEKKSDDGHGNWEHPSNWNGQSLNVDQDTWGNARGKKKADGNCQWEEQPSTYKRKKTNADHDSSYNNVMPPSDNAWNAGEGIGRPNAKSNAGSSWGEKDKMESDEHPKVPKESDTWNRGKSNESPWDNTDAVQDSWVNSATRNNNTQDGSWDKVVAMKDPDSQQGSWSNVTIQKNDAQNDSWDNVADKAPNSAAEDSWGNLAATPVGNSDAKQSDSWDGWNAIPAENSQGTAQWKETTDSGNKDWKSDGWGAKSGNWSSQRNNPGRPPRRPDERGPPPPRQRFELTIEEKNILLEVEPLIFRVRRIFREACDGVRLKPEDEKFIQEKVLEHHPEKQSKVSGEIDHIMVNKHHTFQDTRCFFVVSTDGSQADFSYLKCLENFVRKNYTEDVDSFCMKYLRPRRRQAPPPDVGTAPGTPAEVPPSTAAETEQGTPAPPAEVPQETLGSPAVALEGSHNPRTDPTDDTELLGKEPDLTPASPAAAPQEAPEPDRTDDTGLQGKEAKPDLTPSSP >Sspon.02G0021860-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2A:73051926:73052159:1 gene:Sspon.02G0021860-1A transcript:Sspon.02G0021860-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKDKLPVTVLGLSLVALLLLSSNVVQDAAAMQGSLNYHMMAADQENGRNKDLFRSGANANAYTRGCEATEGCRGMR >Sspon.04G0002590-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:9000938:9001420:-1 gene:Sspon.04G0002590-2D transcript:Sspon.04G0002590-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCSSGTLHCKGSYAPRGAPLSYLFAGSPAIIANLWDVSDKDIDRFSKALLNSWLHDSSLDGNNCSKCCQLTKEFESMSIASEEKGRARRRGTRGNKQQQISDSTKCCSCRQRRIASYLSEARRACKLPLLIGASPVCYGMPTIIKKKVMMDSATRWEMT >Sspon.03G0018230-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:55918491:55920726:-1 gene:Sspon.03G0018230-1A transcript:Sspon.03G0018230-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPASPRDRKYPNGVRPNRAAGSGYWKATGTDKPIMLSGGAAGSSESSSSRAMIGVKKALVFYKGRPPKGMKTSWIMHEYRLAEALNAANTYRPMRFKNASMRLDDWVLCRIYKKTTPQLAYMSPPHEEASPSMDGGGFDHGLQPDDSVSADDIVAATSSYASQLPRPASISDYLVDYSAVSELFETLPPETTTTTMQPGTDAATRFYFGTSDPAASASSVAQQQSPLKRRSMEEDYSNSGMNMLHASSTSKRVMSDHHHASSMAANNAFSVFEPAGQTTLQDRI >Sspon.02G0043920-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:117011672:117017291:-1 gene:Sspon.02G0043920-2C transcript:Sspon.02G0043920-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AP-3 complex subunit delta [Source:Projected from Arabidopsis thaliana (AT1G48760) UniProtKB/Swiss-Prot;Acc:Q9C744] MSLTFECIRTVLTALPAHDAAVRLAIGKAKEFIAADDDPNLRYLGLLALGMLGPAYASTVNDCRDAIAKSLGDADTNIRQEALHLIMEMVNENNVMDIAGMLISHVDKSDPEFANDILGAVLAACGHNVYEMVVDFDWYVSLLADMARTLHCAQGDEIGRQLVDVGLRVQDARPELVRSARTLLIDPALLGNHFLFPVLSAAAWVSGEYVDLTKDPVELVEALLQPRTSLLPISVRAVYIHAVFKVITWCFSVYVERLDDSGTATDVMFDRFVADKTVSSEINAALGSGEEQDIGASTVRKDPFSHESILYMINLIQTTVGPLINCNEVEVQERAHNLIGFVHLVREIQELNKRKVTDGDKPSRLKELVMTMRTVFCQELGPVSVNAQMKVPPPDGLILNENLVELAGMVSEDDTTPSTSIFFYPCSRHYVDTRDEPPVSIGSSSLSEHRKRHGLFYLQTGKTEDETNDYPQANDSLPSSSNNSVNDDKSKTAELVFARKKSMATKSRPKVVKLDTEDFLSSMMPSANVPKEDPLSGALRDVLLGSDAKALSSQRASDINLEGMLNKTSSNESSSQQIENLGSHPASCSSSRTSKQQNHDKEKGTNPPESDGKETRKHRNSGRSGHRQGKHKHRERSNGQQRYMHNMTNKGADPSSRYMHKTINIYLHQSICNSTTTGLHQYCYPTATQLASSRNIPSRTGGTEAARTTTDGGWLRVQRQTDAARTTTEARGRGGDDHRGTRD >Sspon.03G0030040-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:19512041:19515670:-1 gene:Sspon.03G0030040-1B transcript:Sspon.03G0030040-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger protein VAR3, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G17790) UniProtKB/Swiss-Prot;Acc:Q8S9K3] MGGASKLLSSLLLTSSPLRLRPTTTAAAAALFLSPPAAASRRLLLLSSPSPPRTLSTSSSAATSPSLPHGSSSASPAPPPRAPFPEWSRLVDRLAAAGYGSGAPFPADELALASRCGLSDGAQAAVSTCLAFARDRPGLLSSLPRKDMEVLVTNAVPSLFRDGEASAQRLRRYLAGEETDVIVSERAETVDIVRYLLSYTYGSSDSYSEDKELTDSAVRNIMAELVSFSGLSQSSTFAESTPNQSCSSQHERFSRPLGQNIEMKRGDWICTRCSFMNFARNVRCLECNEQRPKKMLTGGEWECPQCDFYNYGRNMSCLKCDCKRPATIPPNPESVGAGLGGVAQLLSVKSVGKSEIERKLAENDEKAERWLSKVSQLHDSADLSSLAEDEDFPEIMPMRKGVNKFVVSTRKTPLERRLANAQYSSNNSPASSDPKISQTLDRILGRSTPTAAPNNQSVTSDTPTEAPKKSTDHLGGIDPVQFVPLSAELFAKPQSNNGQSNGNEKINAEDDSSMANNTVPVPERQSTESLDTAEKWSEKAAELDNPSATSDENFPEIMPIRKGENRFVVSKKKDRSLASQQYKRRSILEQADSSDFVPFVPFPPGYFAKKDTPIESTTDTGIVSEGSQPKGNLNNENWNRNYYQHQSQSHGAQSRPSGTAYTGTQITGNSQGNYNGGRGESTYHGTNFEQQPYNSGYSNNSYWSSYNNKSNNAWSENNNYNNNNAWSGNNSYNSSTRNGNSSYNSSHGYNYNGTWNDSSNSAWSSNSNNNQSGSFADNNSVNSSSSSMNANHAVHSSGYGGNSNRGYTGKSLEGSAVKDPDPLDMSEEAKAERWFRRAAQIKDISELANIPDEDFPEIMPMRKGVNRFVVSKRKTPLERRLTSPQYRRNLPIVSSEMDKDAS >Sspon.04G0035700-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:15895450:15898659:-1 gene:Sspon.04G0035700-1D transcript:Sspon.04G0035700-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding PRNCGHGFGRRRRERRAQGSLGLRALAGAAGAQPHGDALGAHEQARQAPGGAPFRVHIQVDEPCIILADGREYGAGRSKGGSLRTFDRGKPKKGGRPGGRDGKRLRPSNDPDLD >Sspon.01G0007320-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:19928269:19930468:1 gene:Sspon.01G0007320-1A transcript:Sspon.01G0007320-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVATVTELKQSISGKRTFRPSLISRHANEWPPTDVSSDLTVEVGTSSFALHKLCAQFPLVSRSGKIRRLVAEAKDAKLARLSLHGTPGGAPAFELAAKFCYGVHVDVTVANVAMLRCAAHYLQITDDFSDKSLELRAETFLRDAVLPSIASSVAVLRSCEALLPAAEDVGLVPRLIAAIASNVCKEQLTSGLSKLDQCAAQLKPAAAFADLDSPGEWWGKSVAALGLDFFQRLLSAVKAKGLKQETVTRILINYAQNSLHGLMARDVHRCGAGAADADAVKKQRAVVEAIVGLLPAQSKKSPVPMAFLSGLLKTAMAVSASSICRADLEKRIGMQLDQAILEDILITAGAGAGGTSPAGHGQQHALYDTDVVARIFSVFLNLDDDHNEEDAGFDYDSPRSPKQSLLVKAAKLLDSYLAEVALDSNILPSKFISLAELLPDHARVVTDGLYRAVDIFLKARTVHPNIKEAERYRLCKAIDCQRLTPDACSHAAQNERLPVQMAVQVLYYEQLRLRSAIQSGGGSMGGHDAALFFGCAAAAASAQGSVNMRSGSGVGSGAMSPRDNYASVRRENRELKLEVARMRMRLTDLEKDHVSMKRELVRVGPANRLLRGFARSLSRLFRMRPAAEPGLQQLGAKATADAK >Sspon.08G0007830-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:26851533:26854646:1 gene:Sspon.08G0007830-1A transcript:Sspon.08G0007830-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAASLLALRLLLLVGAAAAGAGAAAVGVSGGGQLWCVAKNNAEDGALQSAIDWACSVDGGRADCAAIQQGGACYDPPDLQQHASYAFNDYFLRSGGAASPAACDFSGAAALTALNPSHGSCVFPSSASPKNGSFTGTTTYGPTGADFSNSFSWKLNF >Sspon.01G0027720-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:96918794:96919183:1 gene:Sspon.01G0027720-1A transcript:Sspon.01G0027720-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEITHHFGVAASSHSHGHGQHHHPWASSLSAVVAPPPQQPPSAGLPLTLNTVAATGNSGASGNPVLQLANGGSLLDACVNVKAKGEPSSSSPYAGDLEAIKAKIISHPHYYSLLAAYLECKKASKTLY >Sspon.08G0028500-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8D:12740331:12743177:-1 gene:Sspon.08G0028500-1D transcript:Sspon.08G0028500-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MELRMLQIIDDEESEGLEQRPELQSISSLLDYFIHELSTRNNFEFVQAVLKLFLKIHGETIRRHSTLQSKVKKLLEVQSLVWQKIDKIFQGARCMVTFLSNSQF >Sspon.03G0008080-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3A:21983621:21984036:1 gene:Sspon.03G0008080-1A transcript:Sspon.03G0008080-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MIIIWPGRSHAQFKPSPSSGRCLRILSNWGALAPGRLIDTDPSDGAANASPARLRDGGPCCSPPFLFCARFPRAARAPSSPPAARPHACRVGLRTAPRARRACPIAPMTTAPPSAHPQRFHPLSLRARPRPNNIESWN >Sspon.03G0017020-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:79077389:79081610:1 gene:Sspon.03G0017020-2B transcript:Sspon.03G0017020-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTTILTLPAPEGNGTGGGDADQQLQQEPPKPPPPGAKADPPATVATHTRTIGIIHPPPDIRVIIEKTATFVAKNGPEFERRIISHNQGNAKFNFLQPSDPYHAYYQHRVTEIGAAPPGADAASGAETDAAPAEAPASAPADGAAAAAPADGSGADAKADHSAPFRVAPPPKVLVPPKAELYTVRLPEGITGEELDIIKLTAQFVARNGKNFLTSLAQRESNNMQFHFIRPTHSMFPFFTALTDAYSRVLRPAEGVPALLKELREGSKDLTTVLERCLNRLEWDRSQEQARQQAEDEIEQERMQMSMIDWHDFVVVETIEFADDEYEGLPVPLTLEELKRQKRMENLGEEEAMDLAEPAKEVEMDMDEEEMQLVEEGMRAAQLEENDGGAQVNVAGDDDAPMRIVKNYKRPEERIPAERDPTKFVVSPITGELIPISEMEEHMRISLIDPKYKEQKERMLAKIKETTLAPDDEISRNIVGLARTRPDIFGTTEEEVSNAVKAEIEKKKDEQPKQVIWDGHSGSIGRTATHALSQPQGGEEQFDASNVPGPAPLVRPGMPLPRPPQPLPLVNVPRFIAPPAPYSVPPPGPHMPGVPQMMPHMHLHPQQIPGQPPMVRMAGQMVHMPTNIPPPPGQTQFMPGPPRTFAMPPSSHMPTMVNPIGIPQPPAPPLPPQPPAEEQPPPPDEPEPKRPRTDDASLIPVEQFLAQHPGPASISVSVPNLDEGNLRGQVLQIPVQSLSDTVGSLKEQIAGELQLPANKQKLSVRTSFLKDNLTLAYYNVGPGVVINLTLRERGSRLKHLAPYGSHRHANKQ >Sspon.05G0004350-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:16403627:16404559:1 gene:Sspon.05G0004350-3D transcript:Sspon.05G0004350-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLDLGTAATRYVHQLHHLHPDLQLQHNYAKQPEPSEDDPNGSGGGGNSNNGGPYGEHDGGSSSSGPGAGDAPGGSGGNGEMVARRPRGRPPGSKNKPKPPVIITRESANTLRAHILEVGSGCDVFESVSTYARRRQRGVCVLSGSGVVTNVTLRQPSAPTGAVVTLHGRFEILSLSGSFLPPPAPPGATSLTIFLAGGQGQVVGGNVVGALYAAGPVIVIAASFANVAYERLPLEEEEAQAAPPGLQMQPSGGGADGAGGMGGGPFPPDPSAAGLPFFDLPLNNMAGGGSQLPPGADSHGWAGARPPF >Sspon.04G0003500-5P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:46039348:46043631:1 gene:Sspon.04G0003500-5P transcript:Sspon.04G0003500-5P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASTASRTNSRVNYSNEIHDLSTVQSGSAVPTLFYPDKSIADIFPPHLGKKVISEVVATFLLVFVTCGAASIYGEDNKRISQLGQSVAGGLIVTVMIYATGHISGAHMNPAVTLSFACFRHFPWIQVPFYWAAQFTGAMCAAFVLKAVLHPIAVIGTTTPSGPHWCALVIEIVVTFNMMFVTCAVATDSRAVGELAGLAVGSAVCITSIFAG >Sspon.03G0046120-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3D:32080693:32081995:-1 gene:Sspon.03G0046120-1D transcript:Sspon.03G0046120-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHKEDHQARDLRTYLAEVQLSFISEGVFFFINKIKQLHPRRCVTCAFLARNALRTSSSHAAPATLLHRATAPGKAACRPGAKASTRLAESCSSSCRAKHHVAHAQS >Sspon.02G0052460-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:67059095:67060238:-1 gene:Sspon.02G0052460-2D transcript:Sspon.02G0052460-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LLLQIDSVAVNVISLMVLGKKHAVGVDVDGSSVVAGGGGLPAIPEAFRWMIEEFLFLSGGHDIGDMIPWLSWLDPHGHVRRMKRLREMFDRFLDDVVDEHSERRRAGDEFVAMDAVDLLLELAEDPNLEVPIQRDGVKAFTLNLLVGLPDTTSVTVEWAMSELLRNPDALAKATKELDRVVGRERLVVTEGDIPSLPYMEAVVKETMRLHPVSPLLTPRLSREDVVSVGGHDIPEGTLVFVNVWAIARDPAVWGRTAGEFRPERFVGSGVDVKGHDLELLPFGSGRRMCPGVSLGLRMVQVVLANLVHGYAWKLPDGVSREELSMEETFGLSMPRKVRLDAVAEPWLPAH >Sspon.02G0033920-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:2157001:2163413:1 gene:Sspon.02G0033920-2C transcript:Sspon.02G0033920-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AP4M [Source:Projected from Arabidopsis thaliana (AT4G24550) UniProtKB/TrEMBL;Acc:A0A178UX13] AGVHDSYWTHACDVDTMNKILREKFVELYGTPILEDLLESFEKSFPKLKFPPLPERGDFDMNVFIFLDLGLSREIRLTESLSSCSRNIESLVVALVLAPQQTGATLSSLPCRAIETNGRDPLNTLQIITPTSSSPPSPTSCDLPFSGYPRSPASRRVTAGIQGSRPREKTWQRKRRRGGMISQFFVLSQRGDHIVFRDYRGEVPKGSAEIFFRKVKFWNDDEAEEAPPVFNVDGVNYIHVKVAGLFFVVTTMVNVSPSLLLELLQRIARVTKDYLGVLNEESLRKNFILVYELLDEVIDFGYPQTTSTEVLKSYIFNEPIMVDAGRLPPLGPAAMFMQGTKRMPGTAVTKSVVATEPGGKKREEIFVDIIERISVTFSSSGYILTSEIDGTIQMKSYLTGNPEIRLALNEDLSIGRTGSSYDYRSSSGGGTVILDDCNFHESVHLDSFDIDRTLTLIPPDGEFAVMNYRMTQEFKPPFRVTALIEEAGPSRIVGGCEHTLRAKLTFSQESHGNITKDAGPVNMNFTIPMYNASKLQVRYLQIAKKSKAYNPYRWVRYVTQANSYVARL >Sspon.05G0011000-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:31430403:31435060:-1 gene:Sspon.05G0011000-1A transcript:Sspon.05G0011000-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAEIPNSSPEATNPAAAPAPTSPLRRRSRRASAAFHLPHPPRAPRHALGPPAPAPRAAAAPAPAPLRSRSRSRSPQYLPDGKRRRHNDLNVEACRDFLRDRCTRSDLECRYAHPHNSVSVDQCVRARSRLLLRLQLLRSIGVEDPKLKTICRDFTRGKCSRSANECRFLHHSSVEDVAIVCQDFLRGQCNRIACRYSHVVAHPVPPMSHVPMPYPEMIIMT >Sspon.02G0045350-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:105259478:105261160:-1 gene:Sspon.02G0045350-1P transcript:Sspon.02G0045350-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQALTASSPASSSSSSSCPSSSTAHRHRRGTRSSVRFAPPRAATAAANSVYSAPLAAAAVRYAAPSKPAAPIAPIAPAQAAGEQDGEKGLSFLQRAAAAALDAFESGVIANLLERPRALPRSADPAVQIAGNFAPVGEQPPVRSLPVSGRIPPFISGVYVRNGANPCFEPAAGHHLFDGDGMVHAVRIRNAAAESYACRFTETARLRQERALGRAVFPKAIGELHGHSGIARLALFYARGLCGLVDPSRGTGVANAGLVYFNGRLLAMSEDDLPYQVRVTADGDLRTVGRYDFDGQLAGCASMIAHPKLDPDSGELFALSYDVIKRPYLRYFYFRPDGTKSDDVEIPLAQPTMIHDFAITERFVVVPDHQVVFKLGEMFRGGSPVVLDESKTSRFGVLPKYARDASEMAWVDVPDCFCFHLWNAWEDEATGEVVVIGSCMTPADSIFNDDDGDRGLQSVLTEIRLDTRTGASTRRAVLPASAQVNLEVGMVNRGMLGRRTRYAYLAVAEPWPKVSGFAKVDLATGDLVRFDYGEGRFGGEPCFVPTEGAPRAARTTGTSCP >Sspon.06G0010350-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:56880116:56883042:1 gene:Sspon.06G0010350-1P transcript:Sspon.06G0010350-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPLLHFRLHPQGLLRPRLRRSAPARLVLRRSLKTLCSSSSDYSPPPLHGPSLRRGRAPPDHPDPFARSFDLAALRVPAAACAPLERRLRGHLLNWPRVRNVARLPNDQGLLALPSPSRFSAEELGTPAPPTTAVARRGKLAREFNARGFVRFPNLARLSRPSPAARKRRERKAGGESDEEATRGRDKDKVYMVEVVGEGREGDEDDLKGLVGEEGLRRGAWWTGPSRLLLLDEKYAGRGVDELPEAVKVVLDHESHQNGSFAYELVHCQLTLFHNYWPMHEVLEALLPEGVIIPTGFETVGHIARLNLQDEHLPYCSGGTGKKQAKNPNRRQ >Sspon.06G0017120-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:97366240:97367641:-1 gene:Sspon.06G0017120-2C transcript:Sspon.06G0017120-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MESNSTANCATVPQPPPSTGKLITILSIDGGGIRGLIPATIITYLEAKLQELDGPDARIADYFDVIAGTSTGALLTSMLAAPTRTTGRCSLPRTSPRSTSRTAPRSSHRKSIETLFCSSICSSFLPLVAVTFIHAPVPRVPYNKAGFLTPVANLLGLFRGPKYDGVFLHDKIKSLTHDVKVADTVTNIIVPAFDVKYLQPIIFSTYEAKTDTLKNAHLSDICISTSAAPTYFPAHFFKTEATDGRSREYHLVDGGVAANNPTMVAMSMLTKEVLRRNPDFNAGRPTEYTNYLIISVGTGSAKQAEKYTAPQCAKWGLIQWLYNGGFTPIIDIFSHASSDMVDIHAAVLFQALHCEKNYLRIQDDTLIGNTSSVDIATKENMESLIGIGQDLLKKPVARVNIDTG >Sspon.01G0000550-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:2396931:2402208:-1 gene:Sspon.01G0000550-4D transcript:Sspon.01G0000550-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRWYLVPSNGIALRFPIEGGVCARGVLALGSHRSRRPRPRPRRHAAVFANRRRLTKWQRPWWKTFFSDWNDDEESLAGFREDDELLEEIAADQELSENEKFETWRRKAEAIVELREAQQDAMNAEQPSWQDWISGGGGGGVSGSGGGDWGGEASLVEQITDDPAEIVWDKGVIEVLRNTVDEDYEDMLFEDRVFMYASTNSAKFLALLIVVPWVIDFLVHDYVMMPFLERYVQKVPLAAELLDVRRSQKLQMARYRLEVEIGKSPPLSDEEVWDELREKAIELRDDWRLENRAAFANIWSDMVYGIVLFLLMCFNQSKVAMLKFTGYKLLNNISDSGKAFLIIIVSDILLGYHSESGWHTLVEVILEHYGLEADEAAVTFFVCLFPVALDVYIKFWVYKYLPRLSPSVGNSSSGLLV >Sspon.04G0013990-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4A:51160282:51160665:-1 gene:Sspon.04G0013990-1A transcript:Sspon.04G0013990-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPWFPAVPPALAAADGAGRINKKRAGLPRLLHKLFIKVLRLRPASAAVGEECCYYYSYGGAGSSSWAGVLSSIPEEDYDDDSSEEEEEGTPDVVVVPGPAVLRKAKSERFLVGPPDAATVVHVEVLV >Sspon.06G0007490-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:4461948:4467978:1 gene:Sspon.06G0007490-2B transcript:Sspon.06G0007490-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFQGPVPFRCSTGSLISAASGRLRSWSLPSIPAESVADHLATAADTLIWEGRMPDIQLGCHTIQSHGTKVARVHMYDWIILLCLAVLDGLLNIIEPFHRFVGRDMMTDLSYPLKGNTIPFWAVPLIAIVLPWVIFGVIYFKKKNVYDLHHGILGILYSVLITAVITDAIKDGVGRPRPDFFWRCFPDGKPNYDNITTDVICHGEKSVIKEGHKSFPRSFAGLGFLAWYLAGKLKAFDRKGHIAKLCLVFLPLLVASLVAVSRVDDYWHHWQDVFAGGILGLTVASFCYLQFFPFPFDNDALWPHAYFQQLAETHSNGNANSINIRPTESEDEQEDHGGIALRDTSPILESMESGRRP >Sspon.07G0007020-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:14092917:14100136:1 gene:Sspon.07G0007020-3C transcript:Sspon.07G0007020-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLSNRIGKESLKAGDHIYSWRSAWVYAHHGIYVGDDKVIHFTRSGQEVGTGTVVDILLVSSAPKRSNTPCPVCTNEISDTSTETNGVVSSCLSCFLAGGALYRFEYAVNPALFLAKARGGTCTLACSDPDDTVIRRAKYLLNNGFRCYNLFKSNCEDFAIYCKTGLLVAERGVVGQSGQAISIIGGPLAAVVSTPFRLVTTNIYGMAVMAVGVYCASRYAADIGNRRDVVKVEVEDLTAGLASGRIRAVENIAQLMGMESGAKHVPCFVRLESIQQASMQLRKGRGTILLNLGEAKKIMDQSWVADR >Sspon.01G0050170-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:120423535:120424170:-1 gene:Sspon.01G0050170-1B transcript:Sspon.01G0050170-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LQIALPDEAPKVRLQPGPWKLPVIGSMHRALRDLADANFATRPKFLGGDILHYGWSDIVFSPSGEYWRKLRQLCAAEILGPKRVLTFRHIREQEMATEVARIRAAGPSTPVELNAMFHNLANSIVARASFGNVQRNAESPTSSCRQSVLASSWQAGSRSPAARPLPDLETRARRSDRHAP >Sspon.03G0011470-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:58246441:58248076:1 gene:Sspon.03G0011470-2C transcript:Sspon.03G0011470-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMTDDSISGFSILLHRSSSSLVANRLPFSIHAPSPGPPGNFRSTAAHVESRSSPAFHWYNGLFVFGDSFADTGNFPKSNLSEVTRQWYKYKPYGASHGFLCDPTGRFSNSLVQSDFIAKILGRSEAPLTYRRTKAGYPDKFGVNFAVGGAGVFEVPRKAPMLAKQIDNFKKMLDDRTIGKWQFRQSVALVPISGNDYARVANMSSDGDIIDFIGNVTDEIARGVDRLRKLGVTKVLVNTLHPLACTPWQSRPSNYTKCVGRGNMAADFHNDDLRDKLQNATASDSVYLLDLNWAFTAIINPSDTDTEALLLGRRAPDAGRMGGRHGAAGTGHQGLPPHFIYIYIYIYIYIYIYIYYARI >Sspon.01G0008090-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1C:26214218:26216281:-1 gene:Sspon.01G0008090-2C transcript:Sspon.01G0008090-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GDEDEGIGVSSFKGSSDYSCASSVTDEEGREMKAPGVVARLMGLDAMPTSGVPEPYCTPFRDTRSFRDSHSLKRSPEYSLNDQFGHVPRRVDGYIRKPLDLRVPKMPSSPIERFQMEALPPRFQFSEECCPDHGGSSQDSSAKAQASSREKICSFSPARIPLRVSEPRESIPPSQRAVPLKPQSSRTAPELPDVRFSRAQQMNRSWNSEEDIVIFRSSIDSYEINNPSCSNSKNNKGKSISLAVQAKNNVQKREGVTGSGRNSGLQKEHDEQRANQPCRSQSNLQRNKQQKKPSSSGTSSPVLRQNNQKQNSLVTRGKVAPNKTVSTQQGRKLMAGDSSSGKIKSGSKMSKVGGRKDIVESISGDREGSSSNNKDFPQKKRLIERNSTNEKGTFVPEKPVGKLKKQVQPNVVMDEHIKWNKESKDTTDVVSFTFTSPLVKPLTGPSRLAGKWDARSNLDMDAGCDKDDSDNKAEGLSSVGLNFVNGDALSLLLEKKLKELTLKIDPSITFTRGDTFVPATFTLEEPPTSSCSNWVSESGVFDCSPAEVKPSQYDYCPSAQSSTKGQIFRGSKIKFKAPL >Sspon.08G0026790-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8C:32517370:32518684:1 gene:Sspon.08G0026790-1C transcript:Sspon.08G0026790-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGNGDGRSSTPRLDLDELGVAEDGRYMARAAQSEVEAAMGEWWRGRRQRGLGTHPIRPRPQPWGLGHRTTPGASPPGPSPKVGIDHLCLARDRPLTPLPHWRA >Sspon.01G0048590-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:109865597:109866283:1 gene:Sspon.01G0048590-1B transcript:Sspon.01G0048590-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSTASWSRYGAVPTSTPAPPPPQLKPEDVAGGEAAPSSSSSATATAAEAGVAFFSRARAFAGAAAGRPRAWREVLDPTAFSRPESCGEARARARRNLAYFRANYALAALVLVFLGLVYRPVSMLVFLALFVAWLGLYFGRGDGEPLVCLGREVDDRVVLAVLSAATVVAVALTRAGLNLLVSLVVAAAVIGLHAAFRVNFYLDERDAFDVAGNSFTDSAYGYALPR >Sspon.03G0012470-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3A:34598875:34599531:1 gene:Sspon.03G0012470-1A transcript:Sspon.03G0012470-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIPAAISGCCSSSRLSQPAGLLPSTSRRRFKVTAMAPKKKVLVGLSATPTFPNSATRLCSQHAHARTLQVNRYDENWSKQWFGAGIFAEGSEEVSVDVFKKLERRKVLSTVEKAGLLSKAEELGVTLSSLEQLGLLSKAEDLGLLSLVETAAGTSPSVLASISLPLLVAAVVEVVVVPDDSVALVAVQAVVAAVLAAGAAGLFVGSVVLAGLQESD >Sspon.02G0009710-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:26247601:26254274:1 gene:Sspon.02G0009710-1P transcript:Sspon.02G0009710-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFGGMFDGAAGSGVFSYDATGGGGTGMHNPSRLIPAPPLPKPGGFGATGLSLGLQTNMEGGQLGDLSRMGLIGSGGSGSGGDGDSLGRAREDENDSRSGSDNVDGASGDELDPDNSNPRKKKKRYHRHTPQQIQELEAVFKECPHPDEKQRMELSKRLNLESRQVKFWFQNRRTQMKTQIERHENALLRQENDKLRAENMTIREAMRNPICANCGGAAVLGEVSLEEQHLRIENARLKDELDRVCALAGKFLGRPISSGSSMSALQGCSGLELGVGTNGGFGLGPLGASALQPLPDLMGAGGLPGPVGSSAMRLPVGIGALDGAMHGAADGIDRTVLLELGLAAMEELMKVAQMDEPLWFRRPDGGGGGLETLNFDEYHRAFARVFGPSPAGYVSEGTREAGIAITSSVDLVDSLMDAARWSEMFPCIVARASTTDIISSGMGGTRSGSIQLMHAELQVLSPLVPIREVLFLRFCKQHAEGLWAVVDVSVDAILRPDGGNHHSHAQNGGGAAGYMGCRLLPTGCIVQDMNNGYSKVTWVVHAEYDEAVVHQLYRPLLQSGQTLGARRWLASLQRQCQYLAILCSNSLPARDHAGE >Sspon.01G0025090-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:86825226:86828251:-1 gene:Sspon.01G0025090-3C transcript:Sspon.01G0025090-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVLLLLLLFSIHWPGGSYAFSLMNYLCNNGSSYALNSTYRSNVVALLGSLSANASSSVVGFATGTLGHAPDQTWGLALCRGDVNGSGCASCLALVPNIAFGDCRGVKDVSIYYDRCLLRYSDTDFLASPGDAAEPVQYGTNLEVNVTADPGRFVGLAADLVAALSSWAARNSTARYAAGVVTSAKGFTTTDSNLVHNIYGLVQCTPNLAPEACLACLGRLKDEMPAVFNGSTGGQFNAVWCNLRFEVFLFYDSSPVVKLVAPSLTPAPSGAAVHDDAKSTRGTGYAATVVAIVLGVLVVLLLSTFMIYLWRKAQNKKKRMVEMSLKAKGTWRKFITLMVNDENKHAYADAEEADDSGSLLFDLATLRRATANFGEENVDMEALARYTRYHCYFARGFLPDGRQIAVKRLDKASGQGLKELRNELLLVAKLRHNNLAKLLGVCLKGQEKLLVYEYMLNRSLDTFLFVPEKRPLLDWETRYRILYGTARGLLYLHEDSQIRIVHRDLKASNILRRRHEPEDLRFRAGKALQRRQDYHHHEPNCRNARHRWVTGQKHRTLVLVYTIQVWDHWVRGTALEAVDPFLDCQAPETESEVMKCIHLGLLCVQENPADRPTMLDVLVMLHGQSSGFAAPSKPAFAFTYGETMSSDEQRNVSSAAAAAFSLNGMSVSEFQPR >Sspon.05G0016030-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:63382088:63382885:1 gene:Sspon.05G0016030-2B transcript:Sspon.05G0016030-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLVDWFYDLFVSLGLWQKEAKILFLGLDNAGKTTLLHMLKDERLSQHAPTQQPTSEELSIGRIRFKAFDLGGHRIARRVWKDYYAKVDAVVYMVDAADGTRFGESKTELGALLSDDALAGVPFLVLGNKIDIPQAAPEHALAYYLGLTGCTTGKGTVDLAGTGAQPIEVFMCSVVRKMGYGEGFKWMSQYIK >Sspon.01G0008560-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:24863508:24868483:-1 gene:Sspon.01G0008560-3D transcript:Sspon.01G0008560-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLIVLWLSIWAMCSAASAGAGSDMEALLEFGRGIRQDPSRRQAVPWNPTSALDSDGCPVDWHGVQCSGGQILSIAFDGIGLVGNASLSVLARMPMLRNLSLSDNKLEGSLPGELGSMASLQLLDLSSNRFSGPIPSELTKLAGLGYLNLSSNGFRGALPLGFRNLRKLKYLDLHGNGFTGKLDDVFAQLQSPIHVDLSCNQFSGSLASISDNSSVVSTLQYLNVSHNVLSGTLFDSAPMPLFDSLEVFDASFNMLSGSIPQFSFVISLKVLRLQNNNFSGSIPEAFFRETSMVLTELDLSCNQLTGPIRRVTSTNLKYLNLSHNSLQGTLPITFGSCSVVDLSGNMLYGNLSVARTWGNYLQMVDLSSNRLTGSWPNETTQFLRLTSLRISNNLLSGELPIVLGTYPELISIDLSLNELHGPLPGSLNNFNGSIPDGLPDDLVEFNVSYNNLSGSVPSNLLKFPDSSFHPGNELLVLPRSESPNGSDKSDEGRHGLKRGILYALIICVVVFVTGIIVLLLVHWKINSWKSSDKGTGQGKQHVTQGQSAQSQRSAEASTNEMQDVTLGSSPSAEYGAVPLPGKERQHEAQDVPIDAAYFNEPAGSSSALKDSTKSSMPSLSSSPPDAHSQHHHSILRVHSPDKLVGDLHLFDNSVVFTAEDLSRAPAEIIGRSCHGTSYKATLDNGHMLTVKWLKEGFAKSKKEFSREIKKLGSVQHPNLVPLRGYYWGPKEHERIMISDYVDATSLSTYLSEFDERNLPPLSVGQRLNIAIDIARCLDYLHNERVIPHGNIKSSNVLIQNSTPSALVTDYSLHRLMTPIGMAEQVLNAGALGYSPPEFSSTSKPCPSLKSDVYAFGVILLELLTGKIAGEIICMNDGVVDLTDWVRMLALEERVSECLTGTSRMLRAWKAPRMRWMACCA >Sspon.06G0016520-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6A:89655081:89655353:-1 gene:Sspon.06G0016520-1A transcript:Sspon.06G0016520-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGVPKVSEQSTNARLVEREWRVGVRAQADGGGVLRAAELWRCVEDVMGDRTAAAEVRRMAGDWKQVVAEAMGKGGSSYSPCSAGCFYGL >Sspon.04G0000860-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:3626608:3629696:1 gene:Sspon.04G0000860-1A transcript:Sspon.04G0000860-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAVLRRPLLAALLPGGAGASGPSSRFRLRRRRSPPPARAVSSDSPKPVASTSSSAGGDSPEEEPPVLPLLQELADCLVLPPKFLAQLPRDLRLDLNDAAFDLSNGPVLDEIAETMIKNGKLLSTRPVVQSDVQAMKEKRRLKFGELEFEVTAESANIGAAVAAIFGFISWQLAQGVQNVPDSTMQYANDNALQQAKSLKTALLVLGYTSTGLSLFAAFGLLLLAQQINSENKSE >Sspon.08G0005880-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:15406870:15411011:-1 gene:Sspon.08G0005880-2B transcript:Sspon.08G0005880-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SGVSKLKIEGSRIPTEIATFFMDTVNSSHAYATGGTSVSEFWSDPKRLAEALTTETEESCTTYNMLKVSRHLFRWTKEIAYTDYYERALINGVLSIQRGRDPGVMIYMLPQGPGRSKAKSYHGWGTQYESFWCCYGTGIESFSKLGDSIYFEEKGETPALYIIQFIPSTFNWRTAGLTVTQQLMPLSSSDQYLQVSFSISAKTNGQFATLNVRIPSWTSLNGAKATLNDKDLELASPGTFLTVSKQWGSGDRLSLQLPIHLRTEAIKDDRPEYASIQAVLFGPFLLAGLTTGDWEAKTGGATAAATDWITPVPPESNSQLVTLVQESGGKAFVLSAVNGSLTMQERPKDSSGTDAAVHATFRLVPQGGAGAATNSTAAALLEPLDMPGMVVTDKLTVSAEKSSGAPFNVVPGLAGVPGSVSLELGSRPGCFLVAGGSGEKVQVGCTGGVQKKHGDGGDWFRQAASFARAEPLRRYHSMSFAARGVRRNFLLEPLFTLRDEFYTIYFNLVA >Sspon.07G0022970-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7B:12021460:12021741:-1 gene:Sspon.07G0022970-1B transcript:Sspon.07G0022970-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTLSPSPFPVSPPRFICFQAQPSKKACLKAQLQPVEYIYRKKTLILSAAHFLPARPHTTTSTTATGHSTSTPPSSDFASSPLTHGTSSPPLC >Sspon.01G0038230-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:28971247:28971981:1 gene:Sspon.01G0038230-1B transcript:Sspon.01G0038230-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGARSVLEAWRLGVVKYGEALQLQERLVSDRKAGRVGDLVLSLQHPPTYTLGKRREKAERNLLAPEAELRALGAELHRTERGGDVTFHGPRQAVLYPVLSLRALRLGARRYVEGLESAMIQVAALHGVSARPGDPGETGVWVGDRKIGAIGVRISSGFTWHGLAFNIDPELGYFEHIVPCGIADKGVTSLRREVGDGVELPADGVIHDQLVRCLATTLGFTDVEFKDDSERGDLTEAAATQS >Sspon.03G0006570-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:18019676:18021902:-1 gene:Sspon.03G0006570-1A transcript:Sspon.03G0006570-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LVMEEATDGEMSLSNMVLGFMEDFGRDQRRPENDDDDEGSSGGDTAESKAFWQTQHSQIQEALAKTSPAESRIRADTEEAVKSMRAASCSCTGRPAARDCRLCMLRHVAERLRDAGYNSALCKSKWTRSLDILSGEHSYVEVVVQTRSGKAVRVVVELSFRAEFEVARASAGYRALVTALPEVFVGRADRLRGVVKVMCAAAKQCMKENNMHMGPWRKHKYMQAKWLGTPERTAAVEAAATPVVVVPSVTVGSPEKQTKFRASMLTFDFGRTAVEV >Sspon.03G0008770-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:28712779:28716420:1 gene:Sspon.03G0008770-2D transcript:Sspon.03G0008770-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAKAAAYYDELNRKGEGARRFKQGLGFSSSSSDAAAFPSKPTASSSFLSGFIRAGAAPAPAQLPNPAKQPPLHSRRPSSPRRRSSSPPLSPPRIRSRSRSPSRSSRRRSRSRSRSRERRRRSRSRERDRRASRRRSRSQGSRSPSRRSGRSSHSEGRWERHGDRRRRHDDGHQSSKGRGDRDGGKMDYSRLIVGYDKMTPAERVKAKMKLQLSETAAKDSTLGTATVGWERFQFNKDAPLDEDNDDIEVANDDASLVKNIGKSFRLSAVESKHEDKVRDAHDEAIFGVPTTLFVDTETGGDEPQTNHEDGKVEDIEADEPCSSLISDKELGYITTYEDKLCYRK >Sspon.08G0012110-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:8303984:8304829:-1 gene:Sspon.08G0012110-1P transcript:Sspon.08G0012110-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding HGEAAGGAQRRLLLAAAVHPAGAVAGAAEGRHHPAVRLRLLQRPAAAGAAGRRGRLPRRRQRQHGHVREQRRRQERRRGCGGRREEVRVPLLLPQLPDVAGAGRAPERAQARAAARQAGPPPGLPRHAPLRARPHVRPLQLPPPPPPRRRRPLRRPPSAAAAAAAAAPAAGALPDMDERQPRGALRRRWRRRTRLHVAANQREPGAGGALEGAAPCCDDHYCDHGEFRHVRPARRWWHGYPGRTGWWAGSSSMQGREGGDELVVPLALALVVLVHVAGEEAR >Sspon.03G0039380-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:8424021:8426522:-1 gene:Sspon.03G0039380-2D transcript:Sspon.03G0039380-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLAAIVADFCRCSLSTIVRDYFLDSLKAMEMQRNTDQIAQLGKEEDWPAASMLWTSKWKLTIQEAEDTDFVRYLREANIRVLYLVLNSRVDSLIESPE >Sspon.02G0014750-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:37716853:37725350:-1 gene:Sspon.02G0014750-2B transcript:Sspon.02G0014750-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEHLMLNIDDLSIPEPNAVTGAVIKNKSSGETIAHPPSMAHAILAVGESMVSEEEPLLQVVECRICQEEDSIKNLESPCACTGSLKYAHRACVQRWCNEKGDVTCEICHEPYEHGYTAPPRAHPDETTIDISGGWTITGTAFDLRDPRILAVAQNHIMEAEYDDYSATNASTAAFCRSAALVLMALLLLRHALTLTDEDDDDTSAMFSLFLLRAAGFLLPFYIMAWAISILQRRRQRQEAAALAATEVAFILQSGQGRGVHFTIAPDSPATPQHEPPQP >Sspon.03G0013570-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3B:50901071:50901542:1 gene:Sspon.03G0013570-2B transcript:Sspon.03G0013570-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGREAAAARPKLRRGLWSPEEDEKLYNHIIRSGVGCWSSVPKLAGLERCGKSCRLRWINYLRPDLKRGSFSQQEEDLIISLHKILGN >Sspon.08G0018930-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:9603664:9605112:1 gene:Sspon.08G0018930-1B transcript:Sspon.08G0018930-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMHHPHYLPRLHSPSSSSSSEAVDMDPRVWGRLPQPLVDRVLACLPTPSFLRLRAACRRFCNLIYSSPFLHSHLLLSPHLPFFAFAVPSAGYLLLLDPTRPQAPSWSRLPLPLPAAPGAGHQAFSPAAASAGLLAFLSDASGHKTLLLANPITRLLAPLPLCPTARLSPTVGLAAGPTSFIAVIAGDDLVSPFAVKNISADTFVADAASVPPSGFWAPSSILPRLSSLDPRAGMAFASGRFYCMSSSPFAVLVFDVAANVWSKVQPPMRRFLRSPALVELGGGREREARVALVSAVEKSRLSVPRSVRVWTLRGGHGVVAGGSNGGSGAWTEMARMPPDVHAQFAAAEGGRGFECAAHGDFVVLAPRGPAIPVLVFDSRRDEWRWAPPCPYPYAGGIAAGGAGFRVFAYEPRLATPAIGLLDATAPAAFLHGIQG >Sspon.03G0030260-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3B:20144686:20146041:-1 gene:Sspon.03G0030260-1B transcript:Sspon.03G0030260-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIAALTLIVLLFTTGHSAVHEQVAAPPSGEVSFKGGFSLPIIHRNDPRSPLRDPTVTTLDLFKEEIQLASSPMPADDALASEKMLAPVHFRRGLYLVPLRIGGSLDRISSRYLMFDTGTDLSWTQCPPCYACARGHYPPYNPSKSSTFRSVFCDDPLCEHSSGLRCANSPSRECIFSRGYSDGSRATGYLVSDIFHFSYEGNYDYHFEPQVVFGCTTSERSVFVREYNTGILALGISSLSLQAQVGVDRFSYCVPATAGREDGDQALSYLRFGSQAQLSGKRIPFWKFKDGYKVYLNRVTYQHGNRLTQQQPAPIFPGDEANANSKEKILVDSGTLGLWLPDYIFYPPLKKIEADISLTRVLFDDNPNAYCYIGDMADVEQVSVTLGFVGGAEMLLSGDSLFFEYNGNLICLGATPHNSTVLGIYAQRNTNMGFDLLKGEIAIDQAGCSL >Sspon.07G0023910-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7B:20433849:20434304:-1 gene:Sspon.07G0023910-1B transcript:Sspon.07G0023910-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SPDLPQQVSRVAGLGVFIVNTQQQPTSSIYINAIMHSTSSVLMAEAAALALAASVVSAMDIPSSIFLTDSQQLVTFNGSNHSSPPLWDIKHYTQKFINFNAAKQLQGLQNSKKSQLHGAPTSYSRLMYSDAP >Sspon.05G0008780-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:26070361:26073818:-1 gene:Sspon.05G0008780-1A transcript:Sspon.05G0008780-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SWI/SNF complex subunit SWI3A [Source:Projected from Arabidopsis thaliana (AT2G47620) UniProtKB/Swiss-Prot;Acc:Q8W475] MSPPAAGAAATSGDGPPPSPRELYTIPASSGWFRWDGIHETERRALPEFFGGAGGAGFGTATRNPRIYREYRDFIIAKYREDPARRLTFTEVRRALVGDVTLLRKLFAFLDSSGLINFSASSSSSGPASRKQEVGVVVEAPVGLQVMPRPPASYFAEEKRGAPGGEKENGFRLPPLTSYSDVFGEWAPGKAPICGFCGEECNGAKVETLQDGFKVCSKCSKSNNDNNKEEANKCPGDKKDSMENHASSAWTDAETLLLLEGVLKHGDDWDLIAQHVRTKNKSECIARLIQLPFGEHMLGTINGKSVSRLHINQATDGKTNQHIMKESSSHTTEMADGMQIDGNEDSADKSVEEYPTKRRRLFSSMDATTSLMEQLALLTTTASPDVVAAAADAAIKVFSNENPQAMKAFRLSEREYKTKAFASNHIQQIDRKIGDKDVKMHGQPGSDKQEKKFIANAYQLRAAVATAVGVAAARAKMLADQEEREMELLMASVIETQLRKMQYKIKHFEELESVMDQEYTTIQQMKGSLMNEWLKVLEHAFRAGVSLPRDELLTKLFLN >Sspon.02G0007510-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:21432311:21434946:1 gene:Sspon.02G0007510-2B transcript:Sspon.02G0007510-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-ureidopropionase [Source:Projected from Arabidopsis thaliana (AT5G64370) UniProtKB/Swiss-Prot;Acc:Q8H183] MATSNGKAAQGEEGKTPPPAGSIGGYESLHRLLEANLSPELFQEASRLLLGLNCARPLSAISLSDATTALAETHNFDVQAFRFSADKEFLRQPRVVRVGLIQNSIAVPITCHFADQKKAIMDKIKPVIDAAGASGVNILCLQEAWTMPFAFCTREKRWCEFAEPIDGESTQFLQELAQKYNMVIVSPILERDVNHGETIWNTAVVIGNNGNIIGIHRKNHIPRVGDFNESTYYMEGNTGHPVFETAYGKIGVNICYGRHHPLNWLAFGLNGAEIVFNPSATVGELSEPMWPIEARNAAIANSYFVGSINRVGTEVFPNPFTSGDGKPQHADFGHFYGSSHFSAPDASCTPSLSRYRDGLIISDMNLNLCRQIKDKWAFRMTARYEMYASLLSEYLKPDFKPQVIVDPLVNKKA >Sspon.04G0002010-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:3602119:3606843:-1 gene:Sspon.04G0002010-2B transcript:Sspon.04G0002010-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCDLFAAWWSSSTRLVSRIFRGSAADAPGPSPLRPAIPLHQKQAGLAASKLGVGTSKKHRAFVASDEQWYNKIFDPSSDFILTWNRIFLFSCFVALFIDPLYFYVPKISYGSPKFCVGTDTRFAVGVTFFRSIADLLYVLHIIIKFRTAYINPSSTLRVFGRGDLVTNPKEIACKYIRSDLVVDVAAALPLPQTYLQSITVRVEEWRLKQRDTEEWMRHRQLPCELRERVRRFIQYKWLATRGVNEESILQALPADLRRDIKRHLCLGLVRRVPFFAQMDDQLLDAICERLVSSLCTKGTYIVREGDPVTEMLFIIRGKLESSTTNGGRTGFFNSITLKPGDFCGEELLGWALVPRPTTNLPSSTRTVKALIEVEAFALQAEDLKFVASQFRRLHSKKLQHTFRYYSHHWRTWASCFIQAAWRRYKRRKMAKDLSMRESFNSVRLDEVDNEDDDSPPKNNLALKFIARTRKVPQNMKGLPKLTKPDEPDFSAEPED >Sspon.01G0053550-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:39230553:39231022:-1 gene:Sspon.01G0053550-1C transcript:Sspon.01G0053550-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPATELQASHEAAEEGQLHGHEGHGVPGGRRVQPAAGGALLRRPSPWPCPTEATWCRVGGDPYWPGAGVAYVLLVAVVAAALQASVVTKHGQPEQQASAGVASAVAAGLAAALLAFLSASNLFRLYGSKS >Sspon.04G0023340-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:15625342:15625932:1 gene:Sspon.04G0023340-2C transcript:Sspon.04G0023340-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVLNPCTLGTGFPGIYIEKTRKKAAGVTYTITSIIEAVDSTTLRITELPICCWTQDYEEFLEPLDPHNKNPNKETISVEYRKQSDAEDIYIVTILSEEHIDIAKRQGLPKKFKLTNWTNKYALAWPGWQHSEV >Sspon.04G0000900-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:2980987:2982302:1 gene:Sspon.04G0000900-1P transcript:Sspon.04G0000900-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MWATSPPPHPLQLRRPPPHPFLVRRRNKRLDRIAASQDPLTALTRVLWGRALPPAQLVLAVRHGWTTAWQLLMRQLAPSDPATGAFTRTPARFPAVVGEPSSRLHLYVGLPCPWAHRTLVIRALLGLEARLPVSVAVPGDDGAWSFTAGSPDRLYGKRKLREVYALRSGGFEGRASVPMLWDAERREVVCNESIEIVKFLCGLADDADGDGGLDLWPPELRQDIDRWYSLIYPSVNNGVYRCGFAQSQEAYDAAASELFGALDRLEAHLAGSRYLCGDRLTLADVCLFTTLIRFDLVYNTLFRCTRRKLAEYPSLHAYTRDIYQMPKVAETCDMEAIMAGYFKTLFPLNPGGIQPLPPATCDRESLLRPHGREALSSAAGAPLEAAAAS >Sspon.03G0018390-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:77771952:77774392:1 gene:Sspon.03G0018390-2C transcript:Sspon.03G0018390-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAMETDINAPPPPAPAGEGSSAPGPSSSSSSSSRKPNKRFEIKKWNAVALWAWDIVVDNCAICRNHIMDLCIECQANQASATSEECTVAWGVCNHAFHFHCISRWLKTRQVCPLDNSEWEFQKYGH >Sspon.07G0012990-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:46118986:46122891:1 gene:Sspon.07G0012990-2P transcript:Sspon.07G0012990-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVAAAEGAAAALRSVLSRAQQAAARAGRAPGSVRVVAVSKTKPVPVIRGVYDAGHRCFGENYVQELIDKAPQLPEDIEWHFIGNLQSNKARALLAGVPNLDMVESVDDEKIANRLDRVVADLGRKPLKVLVQVNTSGEESKFGVDPSGCVELAKHVKLNCPNLVFSGLMTIGMLDYSSTPENFKTLANCREEVCKELGIPEEQCELSMGMSADFEQAIEMGSTNVRVGSTIFGAREYPKKN >Sspon.01G0017890-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:66737810:66739943:1 gene:Sspon.01G0017890-1A transcript:Sspon.01G0017890-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPARAGGALEDQAEADVEETGRGTWRHAAFHVATTIATPAAYAPLPFAVASLGWPLGACSLVIGTLVTWCSSLVVASLWRWNGEKHTSYRLLAESIFGPWGYWYVSFFQQVASVGNNIAIQIAAGSSLKAVYKHYHTADDGAMTLQQFILVFGALELLLSQLPDIHSLRWVNAICTASTVGFAGTTIGVTIYDGYRIERKEISYSLQGSTATKIFRAFNALGTIAFSFGDAMLPEIQSTVREPVRANMYRGVSSAYTIIVVSYWTLAFSGYWAFGSQVQPYILPTFAHFQEWIQAKKNMSCRSCLCRLTYTSAYMAVITLVSAAMPFFGDFVSVCGAVGFTPLDFVLPAMALLKTWTMPDNPGLQCAVKMLGAAVAILFSIIGALACIGAIRSIALDVKTYKFFHDM >Sspon.02G0018490-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:55608473:55612595:-1 gene:Sspon.02G0018490-2D transcript:Sspon.02G0018490-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding TARDIITTSGQIQPLKIPDAVAALAQAAAKANDLPGWPLFSPPKVQLDKCTKCSREFCSAINFRRHTRVHRRTLKIDRLTVDDASTILSLTGVVVEASVHLPVSSDELFSLLDEASEKTFLCTNTAACIQKFLFDGEVDKIATELKNVVSCVSYMLEQKLVEAWCADKTAEALRCQKLLEEEEEAAQKRQAELMERKRMKKLRQKEQRLKNLKDEDVTVQSPEIMDDATCSTVIQSVKSISDPDHFEQEESQYLQFPAPITSESDNVFNVDLLVEDICCDLGPEMDKGVVLRQHISRRCLGRTERLAENSIVPGPVVTSKHPAFVRHSNYKDPNVCSVPSRNKTCTLKLQSEIEEQCQKHELDVDEHGMGPSKNSRVLIGSISVAIEDGSEHLQDFRSKNDPVPPSSKTVKHASVKAMQPTHEGNRNEGIPHSDSNSMPAAENRSHSSVTADEISYSTYCSADLVVDEHLQSSMFSSKEVTAFLSQRWKEAIAADHVKLVLCPEK >Sspon.01G0028650-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:90522579:90526924:-1 gene:Sspon.01G0028650-1T transcript:Sspon.01G0028650-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGEEEAGESRKLFVGGIPAGAQEPELRAHFARFGEVRSVIVMRDRETGHGRGFGFVEFEDEAAAAAALGDGDRPRHFLCGRMVDVKRARTRAPRNQGEQHSQPQQAEQGRGQGNQDNQSPAGNGTADSGNNVSYDSKKVFIGGLRDNITEEEFRAYFETFGTVTDVVVIYDSATSRSRGFGFVTFDSEEAVGKVMRQSFHNLNGTKVEAKIAIPKDEAYYRNRGRGTRPFGGRGPAGYEGSMYQPYNARYGPYNGYMPQPVPAQPYFPAPYFAVGAYPYGSGYPSQGVMTNVPGMMSRRVPPAYETYPQMYPGFNFLYRASYGGAATSFQHGINGGSDNKKDQTNVDMQQVDSTASVATMLEHMKLDCYQSQNHLSRIW >Sspon.06G0030640-1P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:5C:11738589:11740142:1 gene:Sspon.06G0030640-1P transcript:Sspon.06G0030640-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLDTNPTAFSAFGDAAASGFQPLNPDDVRSYLHKAVDFISDYYKSVESLPVLPDVKPGYLRNELRSAPPTSSASFDVTMKELRASVVPGMTHWASPNFFAFFPSTNSAAAIAGDLIASAMNTVGFTWQAAPAATEMEVLALDWLAQLLQLPPSFMNRTGAAGRGTGGGVILGTTSEAMLVTLVAARDAALRRSGSQGVSGLPRLAVYAADQTHSTFFKACRLAGFDPANIRSIPTGPETDYALDPARLLEVMQADVEAGLVPTYVCATVGTTSSNAVDPVGAIADVAAVFNAWVHVDAAYAGSACICPEFRHHLDGVERIDSISMSPHKWLLTCLDCTCLWVRDTHRLTDSLETNPEYLKNDASESGNVTDLKDMQVGVGRRFRGLKLWMVMRTYGAAKLQEHIRSDVAMAKMFEYSVRSDDRFEVVVPRNFALVCFRIKPHGGGMTEEDADEANRELMERLNRTGKAYLAHTVVGNRFVLRFAVGSSLQEERHVRSAWELINKTTTEIMQEHIDA >Sspon.03G0007690-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:25862025:25867710:-1 gene:Sspon.03G0007690-1P transcript:Sspon.03G0007690-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAPSSARGRAPPRPLHPLARGGSERQEMEAVAATHPGGAQRMRRKGRKQKQLWPRTVLRKWLNIRSPESDFSADEGDTTGDDTDSEVEYEEMCAWERKLHDDERSFRGFGAEAIGNQLGVAPCGLHRRRKSETLRAQYIDVRELRICAGTWNVAGRLPPNDLNIQEWLDMEEPADIYVLGFQEIVPLNAGNIFGAEDNRPVAMWEHIIRETLNKISPDKPKYKCHSDPPSPSRFKPSDDAFVMEDELISESDSESDGEVHPLNEQDLVDSVDGNHGNKCEHPTDAPETILQDDEFSRLPSMKTFDRSNNLSFKESNLEEKICQKLLTKTFSNSERLGMIWPEPPLDMLAQCLPDSTKSFASGKALRSYLSFKSVNGDSGPFAEDNSVPDFNINCAAVKRKRPYFVRIISKQMVGVYLSIWVRRSLRKHIQSLKVSTVGGSISVSMSIYQTHFCFICCHLTSGEKEGDELKRNADVQEIHRRTIFNPVSRVNMPKTIYDHERIVWLGDLNYRINLPYEKTHELISKQDWNELFGKDQLKVELQKGHLFEGWTEGVINFPPTYKYKVNSEKYISDDHKSGRRTPAWCDRILSHGKGMRLLSYKTVDLRLSDHRPVIAVYMADVERALTFTDAEVEEQLSFEEDTLYSFRLKSLEIFILHISSSGIEHPAEVPCWLLFEKQKY >Sspon.01G0007220-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:16409426:16414374:1 gene:Sspon.01G0007220-2C transcript:Sspon.01G0007220-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein phosphatase [Source:Projected from Arabidopsis thaliana (AT2G39840) UniProtKB/TrEMBL;Acc:A0A178VUA1] MAAAPAAGGQGGGGMDAALVDDIIRRLLEVRTARPGKQVQLSESEIRQLCTFSREIFLSQPNLLELEAPIKICGDIHGQYSDLLRLFEYGGFPPEANYLFLGDYVDRGKQSLETICLLLAYKIKYPENFFLLRGNHECASINRIYGFYDECKRRFNVRLWKVFTECFNTLPVAALIDDKILCMHGGLSPDLAHLDEIKNLQRPTDVPDQGLLCDLLWSDPGKDVQGWGMNDRGVSYTFGADKVSEFLQKHDLDLICRAHQVVEDGYEFFADRQLVTIFSAPNYCGEFDNAGAMMSVDETLMCSFQILKPAERKNKFMGSNKM >Sspon.03G0012190-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:43905936:43909009:1 gene:Sspon.03G0012190-2B transcript:Sspon.03G0012190-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSKEDERVGRRTTTAAGKWEVAPFEAEEGRQRQWTFRSGRWEVALARPVADWVPEQPRMEDDDHKAWAETRKTSAVESGVKDRLIAALELPGTDRATEPGHSRASPNPVVDAWVPPCRWALYCIHPPPIRHHHLLRLPAASATCLTPPPYRNATCVGSRAGRRLEERRQRSNQPRKSRKIAPRALGKAVQDLPRLSRLACRTIEVTEAGRAGQAERALELFDAMPVKSQVSWNAALAALVDAGRTDWALSFFREMPRRNATSYTTMIGGLSRAGGAAAASARLLFEELPLDQHNVFTWTAMVSCHVRNGEPGKAVELFVALYAEFFARGVLPNAHTFSSLLKACVGVRSLAMLLQLHGVIFKLVDEGSRHCFVWNALIDVHAKLGALLDAEKVFYGMRYRDICSWNIMMDGYSRHKLVDRALDLFRSMRKKDASTWSIIICCLGENSLGEDALRLFIDLIRSECHCGGNAKVLNPSIYTTVLHTCSVLAMLAFGRQVHARTIKDGFGRSNVFVSNSLISMYSSCGATLDLERVFEEMTVRDVVSWNSVIQGLGQNGLGRQALAVGERALEHGMYNGNTFIAILTSCSHAGLVVEGLSYFDAMAKKHGVEPTLDHYISVIDLLGRAGRLEEAYDLLRKMPFVSNALAWRTLLHSCLAHKNSAMGSIAVQELRALQPDGGAGNYERLVQGCGGSTADETQAGNEKSADHTPGCSWVT >Sspon.08G0017490-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:1909827:1914541:-1 gene:Sspon.08G0017490-1B transcript:Sspon.08G0017490-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPRSRIRGRDPPPPPPSGGRYRRRSPAPPSPRHQRRPRDPLPPQPKRRSSELPPPRRYEENPNPLPNGFAAAAAERRSRGDILLEAGRLAAHYLVAKGLLPEHVLLAREDPNHNPSYRPEPPAPVPAPVPAPAPTPAPSSYGRKRDEDDGPRWRRSGSGAGDLGRVSKWDDDREAKRSGWDRRSHSFDGRRKYNDGGGGDADRGGRRTRDYNEPKRPPMSRSYSYNDRRASADGRVDRRRRSRSRSRTRSYYGGSRRDPDLRAGTRDLDRSKVPDSGVVPAGGGDGGVHNVDVDEIPRQLKVPSSVVVMEMNDSAVEAVAIEDKQVESETAGLDHAQDMSEGEDGEFAEEISEDEDGEFAASDLNDEDGDEMDDTRSQPSDVHVHISESIEEPVHRQSQLSNTEEGMETGIAHMDACMVEPLAENNVCSETRYEMEAPQNGAETAVGDLYRDEQEQPLTEDNGCSEVKYEMETPQNGAETAVSDLYRDEQEQPLTEDNGCSEVKYEMEAPQSEFGTGVGNLDRDEQEQLLAENNNCSEVRYDMEAPQGEVETGVGRLRDDQAQQLMVTDGYSELRYERETPQTEVETVVGDLSRDEQELPAWANQMLEDESDLNNYDLNNEADEHAQDDTSENQVQDENAEDNHLLEDGHDLTKYDVNNEAGEHAHDNHLVNNAEILLNHSMVAHTSDNCHMNNEKMLLKQNVDEQQMENEQMLIDQVNTVQVLDIHHVNDEQLLLGHGADDHHQMEPNPMAFPLGAHDLDNNYYLSSKQILLNNDADQHAGDIHHLKDGQIILDEAADGQARVHNMGNGRTIPEIDLEDDYAQQSASRNTGDYLESMAPH >Sspon.02G0013070-3C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2C:37699549:37700583:1 gene:Sspon.02G0013070-3C transcript:Sspon.02G0013070-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRILLPLVLLFLLLGSHCSTGAAARRQLLQARRAGDPSSQVKFDFSPFLIEYKNGRVKRLMGTDVVAASSDALTGVTSRDVTIDPSTGVAARLYLPSFRANTRVPVLVYFHGGAFVVESAFTPIYHAYLNTLAARAGVVAVSVNYRLAPEHPLPAAYDDSWAALKWVLANANAGSDPWLSQYGDLSRLFLAGDSAGGNIAHNLALRAGEEGLDGGARIKGVALLDPYFQGRSPVGADSTDPAYLQSAARTWNFICAGRYPINHPYADPLVLPASSWQHLGASRVLVTVSGQDRLSPWQRAYYAALRGSAWPGEAELYETPGEGHVYFLTKLGSPQALAEMAKL >Sspon.01G0031120-1P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:103312255:103314686:1 gene:Sspon.01G0031120-1P transcript:Sspon.01G0031120-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGATSPAAAANLAGKSGVRVVVIGDPGTGKSSLIVALATEQFPENVPRVMPPTRLPADYFPDRVPITIIDTSSRFCLRAPVPRISDFPEQKPKLIAECQTADAVVLTYACDRPATLERLSSFWLPELRRLQLKAPVIVVGCKLDLRDEQQVSLEQVMAPIMQSFREIETCIECSALRQIQVPEVFYYAQKAVLHPTAPLFDQELQSLKPRCVRALKRIFIICDNDKDGALSDVELNEFQVRCFSAPLQPTEISGVKRVVQEKMPEGVNESGLTLTGFLFLHALFIEKGRLETTWTVLRKFGYDNDIKLRDDLIAMPIKRAPDQAL >Sspon.02G0002820-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:9575862:9577488:-1 gene:Sspon.02G0002820-1A transcript:Sspon.02G0002820-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRASAYWFSSSRATTGSPAVPGGRPEEPSRAGGDGGGGPARTAEGDERARLVVTTMPPPPLGATPGVPETRPWARSTSMSSVPWARLVVGLLLLVLLGYAFFKWGLPFLSEKVIMPIIQWEAKSFGRPVLAVVIIASLALFPVVLLPSGPPMWLTGIVFGYGFGFLIIMAGVTVGMSIPYWIGLLFRDRLNLWLEKKWPRQIALIKLAGQGSWFQQFRVAALLRISPFPYALFNYAVTVTEMKFIPYICGSVVGMVPDVFINIYSGRLIRTLAELNYRKHRMTTVEIVYNVVSVIVAIVFAIGFTVYARRALDNMERSEAICTEPVGAPAGSTEFRDQLQGCSTVRSVPIDVV >Sspon.03G0022730-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:69426282:69429109:-1 gene:Sspon.03G0022730-1A transcript:Sspon.03G0022730-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MISARAATVAAVPRLPGRSEGGGSCDGCSTYRKTVRRRAATAKVRALPPKRVEAVAIGSAAETETEEVVVEVAAATEELPVVPWATSVASGYTLLRDPHHNKGLAFTEKERDAHYLRGLLPPAVVSQELQETDERLFYKLLIENVVELLPYVYTPTVGEACQKYGSIFGRPQGLYVSLKDKGRVLEVLRNWPHRNVQCLPITIDVGTNNEKLLNDEFYIGLRQKRARGEEYDELMEEFMAAVKTFYGEKVLIQFEDFANHNA >Sspon.04G0016190-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:59663468:59667029:1 gene:Sspon.04G0016190-1A transcript:Sspon.04G0016190-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRFKPAAGLPPYHHRALPGPEPGHGQGPVPTAHGMMHREVRDPYGPPGPGMHLPPPGHGAGPFPYDMLPPLLPPEVLEQKLKLAVENDRLAVSHASLRKELAAAQQELQRLQAQGEAAKAAEEQEMRGLLDKVGKMEADLKACENVKVELQQAHAEAQNLVAVRQSMVANVQKLSKDLQRNLGEAQQLPALVAERDAARQENQHLRNTYDYERKLRVDHSESLQTMKRNYDSMVTELEKLRAELRDTSNLDKSGFFYNNTTQKADGISSHLSVGQISYDSGYGSAQARTTPTGLADPLSGSPGGTGLRSGFDPSRGNAYDGSHVANFSSSKTGTHDAARGATGFDSLKGSGYDASKAHVIGQASATAAHGGLAGYYGSNQATSAPYAWGQSASTYGSVQVPPSYASGSNTSYGAAAVRPYGSAQAQPSYGQTQTPSAYGHTQLPSSFSLAQSQSPFTAAQGSSPYGLAAQPPAYGSGRAGTNAGNYEASHGRK >Sspon.05G0034220-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:51733770:51734243:-1 gene:Sspon.05G0034220-1C transcript:Sspon.05G0034220-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MCHRLELVLVAVALMAASILQAVSSTATNSANLTGDDAAKTAYDVLEQNNLPRGLLPLGVKSYVLQPGGAFQVTLPGECNFFVTVAGKQFKFRFESTVSGTIKSGSISRVSGVSIQVEFAWLGINQLNIQLEKSTQSFPVSAFAQSARCS >Sspon.02G0057750-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:63888810:63890205:1 gene:Sspon.02G0057750-1D transcript:Sspon.02G0057750-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDAALTVVASAAGQSVEKMSSRSPSPPTSTPRAAAASKLQSEVLKDAISQIVGDAKEKDRKFTETIELQIGLKYYEPQKYKRFSGSVKLPHNPRPKMMCMLVRDRRKWIRTGWIHSGATEEGGVRVPEVLRPYMSGIDFILFNCSRNLWIAMTDLLAPNQREGLNQRGRLNERVLMNWMRDESSKM >Sspon.03G0025130-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:76030776:76031411:-1 gene:Sspon.03G0025130-1A transcript:Sspon.03G0025130-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPASHPLLYACVFRDTHLVAELAHSHSHSQSTAADPVTAAPPDDDLPALAAALVAAAPPHHRHLTHTAAGRAHALLLSPPLALAAVSRAPHLPASQLLLFLRRLRCLPEARMRDEMPRLALRLPLPPGDDAREADEVAAAEAHAEEEAARRDADLAARTTPKRDRASHRGRAGPAWTWRRQLWMVILADLLLLTILFAAWLAVCRGFSCIG >Sspon.06G0012140-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:65013519:65014975:1 gene:Sspon.06G0012140-1A transcript:Sspon.06G0012140-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPGELDGGAAHVMFIPSAGMAHLVPFFRFITALSSHGVQCSVMTVLPTVSDAEADHFAALFAAFPRIQRVDFNLLPLDASAFPGTDPFLLRWEALRRSAHLLDRLIAGATPRVSAIVTDVTLASHVIPVTKQLQLPCHVLFISSATMLSLVAYFPIHLDKKQQEEEQDAAAGVGDVDIPGVRRIRQSSLPQPLHDLNHLFTKQFIDNGRALSQADGILVNTFDALEPMALAALRDDKVVPGFPSVYAIGLLKSSSSPSSSCIDGAGCEKQAAAASSSPVIAWLGEQPARSVVYIAFGSRIAVSHEQIREMGAGLEASGCRFLWVLKTTVVDREDTAEPRDVLGDEFLEHVKGRGLVTKGWVEQEAVLRHAAVGLFLSHSGWNSVTEAAACGVPLLAWPRGGDQRSGGVGVWMERWSWDGEDGVVSGREIGEKVKAAMADAEVRARAARASQEAAKAVADGGTSYRSMQELIGKLKA >Sspon.01G0016120-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:49210953:49216806:-1 gene:Sspon.01G0016120-2C transcript:Sspon.01G0016120-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGAHGPGVRAQDSGQSGLERRRSCTGAGKGVGVRARSRQGDVTLKIIRGPLFDEVHQQFSSWIYQITRLYKNKEHAEVEYTIGPIPVDDDVGKEVITQLTANMSTNSTFYTDSNGRDFLKRVRNYREDWNLQVTQPVAGNYYPVNLGVYIADEKYELSVLVDRAVGASSIQDGQLEIMLHRRLLKDDGRGVAEPLDEVVCVDQDCEGLTARGTYYINVEKLGHGAHWRRTYGQQVYSPFLLAFTHEDATSSKSYNVAKASMMDANYSLPDNVAIVTLQNLDDGTTLLRLAHLFQIKELTETNLSANQKKSEMKKLDWRVIGDTESGPAPVKGGPVDCRALVVALGPMEIRTFLLKF >Sspon.07G0010350-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7A:31599213:31599616:-1 gene:Sspon.07G0010350-1A transcript:Sspon.07G0010350-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRQAKPTKQQTFWQMIEFPASEDLEFTINIAQTKLAFLAYIWRPKKALSTTSSGKQVAILAVYGQQKGV >Sspon.04G0014440-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:54089978:54093106:1 gene:Sspon.04G0014440-1T transcript:Sspon.04G0014440-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDSTDPLPTQPSPDPDTPPRKPLAGGGGGKLKKPLTDKQRAAAEQSLSHLRAHLVLRPLESPAAGARALPPPQEAALDALGLLNFFRLDLQSEAPRPDLIAPLVAYYDPACKRSFVRGVRVAVSRHDLTRALCLPPKPASAAPAPADVDPAAVVPAVMRLLQDYVLLPFQGDDMCILPQEVAAAEQAVREGAAHRVDWGGLIWGLVEKEMLELPKREDGVCYYGPHLQWLIYAQKPHLLELVEEGPVPEVSADVEMEEEEDDDVDLKSKSLVELEPGDGDGDAGNDVRSKSLEESELGNAGARNDGLDKLGLGDAVTRNKVMGELGLGDVDARNNSIEELVQEYARIKSLNECEAVDVDQDAMDNNIDEMETVNEDARSKSLNESEAVDVDPGAMDNNIDEMETVNEDARTKSLNESEAVDVDPGAMDNNIDEMETVNEDARTKSLDESEAVGVDLDAVDNNIDEMDAVNEDARSKSLNESEAVDAISNNIVELDAVNEDARSKSLNDESEAVEEDVKGPSFDDINTVDEHVNGTNTDGLCLGFVAVEAVPTVHEARLHNNEETAEEAPVGGDDVPGGDDVDGEEPSVEAVVVTQEVVAVAEELGDEEAEGDEEAERDEEKDAMGLSLGFNSSNDYGAMDVEEETNVENLDEDEDESDSGNEEAESEDDAFDVNDGEDMNWRIGDGQGDEGMAHSLQRCNTFGSMEFENLNKGEAEMRDELRFDDFPARASLERMTSSNLLQAMNSIPSSYNVAENVHDLPSGDFLAMGADAHKSGVDLGPGSSFLFGNNGKRNIDDIDGYNGNMQVQEEFPQSNQQKRMRHSNSSNVSPGSGFFNANFSVPIQNLMVEASRLYEQNEQKLQNLQFEKQQWSHMLQQKEAIIQSLNSARFEQQNKYQAELRRFEHDLNVMAQLVTTYKKALKQTRASFDEYRKKFPCNVRLYGDVAGGGGLVLGVRELEKRRCEEEQQKIAMVNGMIERFQYEWFSKLDEWGLAVNSLWSRMEGLYKEIELLKENRRARFATPATEESTLATEESIPATEE >Sspon.05G0012110-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:35339412:35350977:-1 gene:Sspon.05G0012110-1A transcript:Sspon.05G0012110-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASCDDDFGLLGDDAHQPATPPPAPPTAQQPAPPPSQQAAHPFSFTVAPAGAAAGAGSFAQVQEDSNHHAERGKPAQHAKRGRERAEEFSSDGGEYCSYINSSGSGGGGKKGRGGGGISSVHDLYRKDREEWTDGAISSLLDAYTDRFEQLNRGHLRGRDWEDVAAVVTDGQGKTTGGKSVEQCKNKIDNLKKRYKVECQRLTSSGGGATSHWPWFKKLEQIVGDSVSPASSKPLAAAEDVKPRQQQQQHGSKRYPLSSTGPPSGVGSSRTNPLSNPRWKRVLLKIGGTALRGAVPQNVDPKVIMLIAREVQVACHQGVEVAIVVGGRNIFCGDNWVAATGTDRASTYPIGMMASVMNSVLLQASLEKIGVETRVQTALMMQEVAEPYIRRRAIRHLEKGRVVIFGGIGAGTGNPLFTTDTAAALRASEINADVVLKGIVGDDESGCPPRSNSNASFEHISFRELAARGITKMDMTAVTCCEENNIPVVIFNMLEPGNISRAICGDQIGTLVDQSGRIT >Sspon.01G0048780-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:101120811:101124903:1 gene:Sspon.01G0048780-2D transcript:Sspon.01G0048780-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGQPPPQGPEDDFFDQFFSMAAGGSYPGAAAGGGRAPGDQPFSLALSLDAAAAEASGSGKHADGGKADREAVQLPGLFPPVFGGGVQPPHLRATPPTQVFHAQQPKQGGAAVGPQPPAPRPKVRARRGQATDPHSIAERLRRERIAERMRALQELVPNTNKTDRAAMLDEILDYVKFLRLQVKVLSMSRLGGAGAVAQLVADIPLSVKGEASDSGSKQQIWEKWSTDGTERQVAKLMEEDIGAAMQFLQSKALCMMPISLAMAIYDTQHSQDGQPVKPEPNTPS >Sspon.05G0008770-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:17665607:17667826:-1 gene:Sspon.05G0008770-3C transcript:Sspon.05G0008770-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MESVHRNHVRHPAKRPKPSLPNLRPFQPPVANNLMAKKSKGPAAAAAADETGVSSPQGSSAHGSEGGGEKEGAFLLGEPTWEDTGGGRWRCAETGHELPEREKEAYARSRACRLALIDHAVARKKPPLNAFKPHPEHKSKLVCKITGDTVNKLEDHIWKHINGKRFLNKLEKLEDQMASGEMADGEPVKSNEVAKKSKSRKDKKKANVASPSLPREPKPEMDDSDDPDFWVPPVGSRWDDDDGKDRWESSPGKPNSAKNEGGSDDDGGGDTDGGDEDATADKDDAESRELASRTKRMSIEAVGPSSFASRKKKPKEQ >Sspon.01G0024930-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:64773606:64775679:-1 gene:Sspon.01G0024930-1P transcript:Sspon.01G0024930-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSARIVVLLATILCAGAAVASSWEDHHDHGGHKSWRCARRCEDRPWHQRARCVEQCREEEREQEEERGGRHEHEHDRRGDRRGEGSSGDERAREREQEQGRRSFVFDRRSFRRVVRSEQGSVRALRPFHEASELLRGIRNYRMAVLEANPRSFVVPSHTDAHCICYVVQGEGVVTTIENGERRSYTIKEGHIIVAPAGAITYLANTDGRRKLVIAKILHTISVPGEFQFFFGSGGRNPESFLSSFSQSIQRAAYKTSSERLERLFGKRGKDKGIIVRATEEQIRELRRQASEGGHGPHWPLPPFGESHGPYSLLDQRPSIGNQHGQLYEADARSFHDLADHDVSVSFANITAGSMSAPLFNTRSFKIGYVARGEGKAEIVCPHHQSQGGESESERGKGRRRSEEEEGSEEEAGQGYHTIRARLSPGTAFLVPVGHPFVAVASRDSNLEIVCFEIHAEKNEKVFLAGADNVLKKLDRVAKALSFAAKAEEVDEVLGARREKGFLPGPEQEQEEERGGRHGGREEREQEEERHGRHGGRGEREQEEEREGRHGGRGRREEVAETLLRMVTARM >Sspon.07G0015970-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7B:61911746:61911924:-1 gene:Sspon.07G0015970-2B transcript:Sspon.07G0015970-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GDGGEEALPDRHRHTAYIHRYVRRVQGSLRPWYQHLRLHLLQNGDRLAPPAACRHYPRK >Sspon.01G0014850-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:42573557:42577029:-1 gene:Sspon.01G0014850-1A transcript:Sspon.01G0014850-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMLINCSGCRTPLQLPHGAPCIRCAICGAVTHVAPAPPADPNRGAVQPAPGWGPPPPPVHGRKRAVVCGISYRYSRHELKGCINDAKCMRHLLMTRFNFPDDSIIMLNEEQTDPYKIPTKHNIRMAMYWLVQGCQPGDSLVFHYSGHGAQQRNYSGDEVDGFDETLCPLDFETQGMIVDDEINTALVRPLPHGVKLHALIDACHSGTALDLPYLCRMDRSGQYVWEDHRPRSGVWKGTSGGEAISFSGCDDDQTSADTSALSKITSTGAMTFCFIQAIERGQGTTYGSILNSMRSTIRNTGDSAGVGGGAVTSLITMLLTGGSLSAGGLRQEPQLTACEPFDVYAKPFSL >Sspon.04G0011220-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:34523836:34524707:-1 gene:Sspon.04G0011220-1A transcript:Sspon.04G0011220-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGHRHALLPVPQAFAPEDAQGSSRVLCSGAASTSGRPAAAGTAAVSQSQHGILAHLYRHSVEVDALVRIENERLRAGLEEARRRHVRAVVSAVERGAARRLRAAEADLARALARNAELGERVREMGAEGQAWQGIASGHEAAAAGLRATLEQLLVQAPCAGAADEEGQGEVEDARSCCFEPERRQEGAADGKQARGSGSTRACRACGAADACVLLLPCRHLCLCGGCEAVVEACPVCAATKNASLHVLLS >Sspon.01G0030230-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:100211263:100212170:1 gene:Sspon.01G0030230-3D transcript:Sspon.01G0030230-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RDVRGEAAGGREPGEVGGGGGAAAEGGGGRRAAGGGAGAPGGGAGGAGAGAGARRRAAAAPRADLRQAPPTRRGGPRPDPRDPRAPPPPPGPGSGAGGAAASRRRHSLLVL >Sspon.01G0001400-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:4362634:4366886:1 gene:Sspon.01G0001400-1A transcript:Sspon.01G0001400-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHDPSLGFADYFSAAAGATAMDDDDGAPELYGLHADMEYLGMRGLAAMPAAAHHHHHHHGHGHGHVHSKAGVLLDDDAGAGPDGSGSADDATMRFLSEQQHPSQQAPLSLSLCRPDGGGVGVGVGVSVTTLHEQHLAGGSSRYHQQQPTPAAWMQQHDYSPQGPQPQHAWHLRGSRFLVPAQQVLQEFCSLPVDSSSAAASSKRAKADAKPSSHHQQQQEDGGGGGGEGSSSSASWAPSPQIQAMDALELQRLKDKLYIMLEEVDRRYRRYCEQMRALAGGFEAVAGERAAAAYTALASRTISRHFRSLRDGVVAQLQAARRALGEKDVAVPGMTRGDTPRLRVLDQCIRQQKALTQAGMMESHPWRPQRGLPERAVTILRAWLFEHFLHPYPSDVDKHILARQTGLSRSQVSNWFINARVRLWKPMVEEMYVEEMKAEGQLEDGGSGGDQQQGVVSNNPNPSNAAAEAADDGCGDNGAVVVDRKPTRAQLLHDAGSLASVVNIGGGSRAGAAARPLENFGIMDHLDFDAYGGGGGHHAGGGFGGGGVSLTLGLQQHDSHDGGGVNIAFGSPPPPAHHHGAAAGYLFTPTTTTAGHQMGGGLHPGQHHVQFGGASIHGEAAQHGQEHYRSLQGAGFHLLRDLAG >Sspon.03G0001350-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:17262894:17265956:1 gene:Sspon.03G0001350-1P transcript:Sspon.03G0001350-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGRELVVSFGEMLIDFVPTVAGVSLAEAPAFLKAPGGAPANVAIAVSRLGGGAAFVGKLGDDEFGRMLAAILRDNGVDDGGVVFDAGARTALAFVTLRADGEREFMFYRNPSADMLLTADELNVELIKRAAVFHYGSISLIAEPCRTAHLRAMEIAKEAGALLSYDPNLREALWPSREEARTQILSIWDQADIVKVSEVELEFLTGIDSVEDDVVMKLWRPTMKLLLVTLGDLGCKYYARDFHGAVPSFKVQQVDTTGAGDAFVGALLRRIVKDPSSLQDEKKLVESIKFANACGAITTTKKGAIPSLPTEAEVLRLIEKA >Sspon.05G0002830-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:10892885:10900189:-1 gene:Sspon.05G0002830-2D transcript:Sspon.05G0002830-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFDPLEWYCQPVKNGVWSLVVENAFGAYTPCGTDTLVVCISYLALFGVCFYRIWRTTRDYTVQRYKLRSPYYNYLLGLLVVYCIAEPLYRIATGTSIMNLDGQTGLAPFEIVSLIIESAAWCCMLVMILLETRIYINEFRWYIRFVVIYVMVGEAAMFNLVLSVRQYYSSSSIFYLYCSEIACQFLFGILMVVYLPSVDPYPGYTPIRNEVLVDNTDYEPLPGGEQICPERHVNIFARIFFSWMTPLMQQGFRRPITDKDIWKLDSWDETETLYSQFQKCWNDELRKPKPWLLRALHSSLWGRFWLGGFFKIGNDASQFVGPLVLNLLLDSMQKGDPSWSGYIYAFSIFAGVSLGVLAEAQYFQNVMRVGFRLRSTLIAAVFRKSLRLTNESRRKFASGRITNLISTDAESLQQVCQQLHSLWSAPFRIVISMVLLYAQLGPAALVGALMLVLLFPIQTVIISKMQKLTKEGLQRTDKRISLMNEVLAAMDTVKCYAWEQSFQSKVQDIRDDELSWFRRAQLLAALNSFILNSIPVVVTVVSFGVYSLLGGDLTPAKAFTSLSLFAVLRFPLFMLPNLITQVVNCKVSLKRLEDLLLAEERLLLPNPPIDPDLPAISIKNGYFSWESEAQRPTLSNVNLDVPVGSLVAIVGSTGEGKTSLISAMLGEIPPVSGSGTSVVIRGSVAYVPQVSWIFNATVRDNILFGSPFQPPRYERAIDVTSLQHDLDLLPGGDLTEIGERGVNISGGQKQRVSMARAVYSDSDVYIFDDPLSALDAHVGRQVLFT >Sspon.01G0020780-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:81589566:81590058:-1 gene:Sspon.01G0020780-2B transcript:Sspon.01G0020780-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GGHDTMNNKFWPIVDVRDVADAFLLAYENAEPSSRYICSLDQMDIKDLLAIMKSMYPNYSYVDKMVDVGCKVAVTSGKLLDLGWEPRKLEETLADSVECYKKAGLLQDVDGEPCRLPYFYRMNAEE >Sspon.07G0007930-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:18895525:18899821:1 gene:Sspon.07G0007930-1P transcript:Sspon.07G0007930-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGLDRWRDFFRGAGAGICDVIENAILVAAADAPRELLHRRDRIAERLFTTLRRDAAPPAPPSFGSAAASTTPATPFEEDKGSVRRVAEKECKVDSSSNVAHGGGHGHGDEDDDSDSDDERLRRAAASNYGHSYDDDDDEDDDQQQEDEQQHASDDTEEGEEDHEAEELEALTNEIDEESQIVGEVIRIKELLLHKEDHSDATLFESLRRLQLMQLSVSTLKATEIGRAVNRLRKHNSQEIRHLVRTLIEGWKVLVDEWVSTTNAALAENSPGSSNPSVVDEEEEEGLPSPPLDEGAFFATQPTSIQLSEFFDEMDEDGNLRHNESSLGSKRGNNGGRLGNHSAVARQEPPRSSPVFSIQEARFGKTRATNDASKPTKPQSSSLQAKPHGVLNKQSNPSSYESGPGRPLKAASQQRPFGDLKPKQTREHIAIERKPMASQMDKSRLGAQSSAGAKLELAKPKVYDDGLDNNRKLEAAKRRLQERYQEAENAKRQRTIQVMELGDIPKPKHQNRQPMVKSRNNLRNWANGRR >Sspon.03G0013590-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3A:38943323:38945943:-1 gene:Sspon.03G0013590-1A transcript:Sspon.03G0013590-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MELDCMQLDGAMEQILTILALVEIRRQKKVLAFHVFLDSIVEVSAPLKKKARRKDFAGVAARQPKVENVVRYSFHECHGGLHHGRRRGRHPPQHQPPGGLQRFLLQGAVRRVLRDIHAHRIPPRRSSGGRPSSPRLEIRSSPRPVPRSSRLEFALGVCPTHGPAMFVRRTRPPPETPLMMLRPVPPPLTSPALTMPRNGGAGPLAAHQSAPPLPTSNIGAEAWPPGFAYDVDVPLPPLLPPPGGRSYAEITRRSILPPGNALGAGFASSSHEGEAVPRR >Sspon.06G0002950-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:7998021:8006778:-1 gene:Sspon.06G0002950-2C transcript:Sspon.06G0002950-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPAAAWCAQHRLRFLLPSLFLAPVLFFLLSPPSSPPVFSLPASGELPPSGSRLIWAQRRLVEWRPCGWWRTAPVQGKASQFGGGIWILDLDTIMIEACPPCAAPSRRNGYIRIDCYGGLNQLRRDLCDGIGVARLLNATMVLPKFEVAAYWTESSGFADVFDVDYFIEQTRGYVEVVKDLPAEIASKEPFKVDCSKRKGHFDYVESVLPALLEHQYISLTPAMNQRRDRNPSYAKASYCQGCYSALRLNKKVHSKAVKLLQAIPQPFLSLHLRFEPDMVAYSRCAYTGLSSKSMDSIEAALGEGRKVLTGDAARLWRNRGKCPLTPSETAFILQALDIPTNTNIYLAAGDGLMELEGFTSVYKNIYTKSSLLAHEDFENMHGNTKAALDYYVSVNSDAYIATFFGNMDKMVTAMRTMRGLQKTLVLSRRAFANYTTAGLAGEQLAKAMWDAHREEYIRGRDRLCPSTAF >Sspon.07G0015140-2P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3A:66784470:66787004:1 gene:Sspon.07G0015140-2P transcript:Sspon.07G0015140-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLLYTLLGSLLLLSSLQHAPLHSVIVAAAANGYTLAAGQTLAAGDKLVSRNGKFALGFFQFQPPVPSSSISKSTNVTTTFSPGWYLGIWFNKIPVFTPVWVANREKPITDPELKLTQLKFLQDGNLAILLSENATTESIIWSTTHFINRSTETSTNTTSAILRNNGNLALVAHSNPSSDSNEAPLWQSFDHPTDVGIPGAKLGWNKVTGVKWQYISKKNLIDPGLGTYSLEIGSNGILRLGRRNPPLVATWSWPSGKLATLLPVLSGLLESDPRTKGLFKPTYIGNDEEEYFTYTSLNESSSSFVSLDISGQVKLNVWSESSQSWKTIYSQPADFCTTYAICGPFTVCNGNLGPNFCDCMETFSQKSPQDWELGDQTGGCVRNTPLDCTASGKNTTRSTDVFHPIARVTLPNHPRSIEDAAAQSDCAEACLSDCSCTAYSFNNSRCSVWNGELLDVKQNDGLDNTSEDVVYLRLAAKDLESWRTRNKRKPSVGVIVIASSIIVILVILMPLLLFMIWKKKFNWCCMPLHGIEGSGGGIIAFRYTDISHATKGFSERLGAGGFGSVFKGMLDDQTIIAVKKLDGVRQGEKQFRAEVSSIGLIQHINLVKLIGFCCEGDNRMLVYEHMTNGSLDAHLFQSNAVVLKWSIRYQIAIGIARGLCYLHQSCRDCIIHCDIKPENILLDASFVPKIADFGMAVFVGRDFSRVLTTFRGTAGYLAPEWLSGVAITPKADVYSFGMVLMEIISGRRNSPEVVHTSDNNPHAAYFPVHAVGKLHEGDVWSLVDPRLQGEFDVAEAERVCKLACWCIQEDENQRPTMGEVVRCLEGLQELDMPPMPRLLAAIT >Sspon.06G0009130-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:39322429:39324843:-1 gene:Sspon.06G0009130-2B transcript:Sspon.06G0009130-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARVPAADLNPHLSSPFTALRCRSPGDEAPLRVASRTPRRRAKPTNTRILCSAAGGSKRREREPLRRGAVSARLPVPDHIPRPPYVGADAVPDVCPDRQTHDGESIMRMLDACELAARVLHHAGAMVKPSVTTDEIDRAVHQMIVDAGAYPSPLGYGGFPKSVCTSVNECTCHGIPDSRELQDGDIINIDVTVYLNGYHGDTSRTYLCGEVDDATKQLVKVTEECMMRGISACKHGASFKEIGQRISEHAEKYGYGIDPFVGHGIGRIFHCEPIIWHTYDYEPGFMVAGQTFTIEPTLSMGSTQCVVWDDGWTAVAVDGSLSAQFEHTVLVTSDGAEILTGFP >Sspon.02G0011020-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:28481907:28487166:1 gene:Sspon.02G0011020-2B transcript:Sspon.02G0011020-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding METDEKRHGGKEAAALSGGHLCHVCGYQYPNPQPSAKLRRSHRKHCGKALPAAVAKVEEAVAGVGVGVGERQEGAGDRNAAAGTVLGTSGGGEGGRQREEISTTEANGGAAALRGSAGEVDSSVEDKVIAAEHSSPTCTGAQVIATELNENHLINCGSSENVFLEDTGAQIDISELSENGLVDCSSNSIEIVNEGSGTELLIACTNGSQNIVGHPAEREDSFDEFQDASPFLHQSDSEDGTAPSSVFSTEMNNLNVISAGSSVTTNANSLETNGLLKDQFSEDLNMTDLSAEHEVNLKLGGPYEQAVNVDYTYTDMVDSKSGEASLQETRLVILEPESESTCSRKVEGFMEDRMHVLHTMPEASPRSEVVGSDDVQLETITNPSTNPMSIGSDLNVVCIDNTATDCSMELPRQNWSVEDVPDDSQPVENSSKKTLRCSTAGFQDDLPVTKMDDTPVTNVDDVEFTFEERPQTDTVEENLSIQKTNEFTREEVCNKQIDPEIPTEDQFSTSQKHDTLLMGEASSVKNPFNLDDDRNDDLFELPTESCFLEVPNAVESRQQVDSTSLMVDQPTVSNQTRMAEVQQCHNSNEHILSASSASENGEVVGPEDIPVSSSSELVNKTCLTDHGLQENGHTSGDIFVPSQAASTELSTISMQDVSALSEVEEIMQTEDASAKDMTAARSIDCIEMKQAINTTANDTYAANVEEKKPIEGTAAGMNEVRQTDYVEEQTQASDTKELNTVQSIGNFEANKQTEDTDAKETNPRFNANDVGHKTQTAKEMAAAESTCSVEEKQQLNIMVGQDGSNNKLNEEIASTGAKLNSGRVRVPLKVLLAEASMENQVKKPSTKERVLSFRRRVTKDSDLSVKSGSPKSGSDDHHWSSPAKLPHKDVDKKSSKEKKQPWMPFVCCHSVR >Sspon.03G0031330-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:25254988:25261688:-1 gene:Sspon.03G0031330-2D transcript:Sspon.03G0031330-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSTVFVSGLAFATYFCWRLALVSFPLVLLLIIPGLIYGKYLLYLSRQSRHEYAKANSLVEQALGSIKTVYSFTAEKRIIQRYTAILDKTIKLGIKQGIAKGLAVGFTGLSFAIWAFLAWYGGRLVMLHHVSGGRIYAAGISFVLGGLSLGMALPELKHFTEASVAATRILDRINRVPQINADDQKALFWTKFGESSNLNLPNMPVLKNFNLQIPAGQTIALVGSSGSGKSTAIALVQRFYDAGEGTVKIDGFDIKELQLKWIRSKMGLVSQDHALFGTSIKENILFGKPDATMDEVYAAAMTANAHNFIRGLPEEYETKIGERGALLSGGQKQRIAIARAIIKNPAILLLDEATSALDSESEKLVQHALDQASMGRTTLVVAHKLSTVKNADQIAVVDGGTIAEIGTHDELISKGGPYSRLVKLQKMGFLSGQDKYSRHSMSRASPMPLTPAILKENNSDVPPPAPSFSRLLAMNAPEWRQAVVGSLSALVYGSLQPIYAITIGGMIAAFFVQDQNEMNAIIRHYALIFCSLSLVSIVVNLLQHYNFAYMGEHLVRRIRVQVLEKILTFEAAWFDEETNSSGALCSRLSNEASLVKTLVADRISLLLQTASGIIIAVTMGLMVAWKLALVMIAVQPSTMICYYAKKMVLSNVSRDLAKAQHQSTQIAIEAVYNHRMVTSFGCSSKVLQLFEHAQEEPLKKARKKSWVAGITTGLSPCLSFLSWALDFWYGGKLAQSGEISAGDVFKTFFVLVSTGKLIADAGSMTSDLAKGANAVASVFEVLDRKSISPKNSQVKEDQKKKIEGRIEFKKVDFAYPTRPECLILQDFSLDVKAGTSVGLVGRSGCGKSTIIGLIQRFYDVDRGSVRIDGMDVREMNILWFRGFTALVSQEPAMFSGSVRDNIAFGKPEADEDEIVEAAKAANAHEFISSLKDGYDTDCGEHGIQLSGGQKQRIAIARAIIRNPAILLLDEATSALDAQSEQVVQEALDRIMEDTIVVAHRLNTIKNVDSIAFLGEGKVVERGSYPQLMNKKGAFYNLATLQKLFAFLQESDPVYQPEREYA >Sspon.05G0016730-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:64320438:64327240:1 gene:Sspon.05G0016730-4D transcript:Sspon.05G0016730-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAWPATLYAYVTYDAVLGLLSMAAALAIAVMHGAVFGLLFIGAVLAVAAPAMLNSRPRNIVADQVFNIAMTRKRRRSGKTVRRSNAAGLTALALCLTKQLQLQAPPVVVAGEEPPGGPSTAAAAAAANLVFSPVSVYDALALLAAAARGGTLQELLDALGGDSRDDLAAFARRAAERALADRSGGGPAVAFACGAWLDAAWALLPSFRDAAAASYNAEARAVDFSNEPEKAVGEINGCLAVATNNHIDSILDPSSVDTLTTLMLCSAIYFKGRWEAPFSKAHTVVDKFHRLDGSTADVPFMCSVRSQYIAIRNGYKVLKLPVRQVLRDGMGIKSAFVASEADLADMAAAKRDEDAAGTGMPLYVADVCHKAVLEVNEGGAAVVGATASYMLCGASVVMDQLVKVDFVADHPFVFFVIEEVSRAIILVGRVLDPSIS >Sspon.01G0033600-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:113110321:113116846:1 gene:Sspon.01G0033600-1A transcript:Sspon.01G0033600-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASLVSSLTVPLVSAVLGAAIALVFLAGYLRRKRAAIAHIPPSATAAAPDQPKHVRPSNQGQHKKGHLRPHHHAADKDAAKKHHHLDVNTLRGHTDSVTALAFSNDAGNLATVCADGAIRVFRIDDTSSKSFKILRINLPAGAHPTAVAFSEGSSSVVVAAQALLGSSLYMYADVSAPPTAENKQQGKLSPPEIKWSHPKIHGKESVLNLAAACATHGSGDGSTIIISCSEATDIKVWHGKSGKELGAVDTNQLKNNMADISPNGRFIAAAAFTADVKVWEIVYSKDGSAKEVNKVMQLKGHKSAVTCLCFAPNSEQIITASKDGSIRVWNINVRYHLDEDPKTLRVMPIPLHDSKGSVCQYDHMNVSPDGKILAVTSGSTLQWLCVETGAVLDTAEKAHEGDITGIAWAPRTIPNGGVPVFILGTAGVDKKVKLWSAPEVGSTRADKD >Sspon.01G0012370-4D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1D:36843906:36845418:-1 gene:Sspon.01G0012370-4D transcript:Sspon.01G0012370-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVAKKEIIWTMVGGQTTEQGDCSQFKGNIPHCCKRDPAVV >Sspon.01G0021160-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:76564736:76576212:-1 gene:Sspon.01G0021160-2C transcript:Sspon.01G0021160-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTNEIAMMAITISAIRAESTSESLVLLDEVGAGTNPLEGAALGMSLLESFAEAGSFLTLATTHHGELKTLKYRQSKELHNNLELAQKNIVDHTSAQRKRKARVISEYAVMARSIIRKKFQQFQESAIAERLKEEEKAVNDAKSDRVKDPVLANTSAIGKTQNIDTDLGMATNDDEGGVPEVGDSVYVPKLKNEATVVKIDSSKNEVQVQAANYLRYSKTFGTVPEQLQIITF >Sspon.01G0042010-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:27078311:27080408:1 gene:Sspon.01G0042010-2C transcript:Sspon.01G0042010-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLRRILTGGGGLAALRAARAVKETTGIVGLEVVPNAREVLIGLYTRTLKEIEAVPKDEGYRKAVESFTNHRLQICQEEDDWNRIEDRIGCGQVEELIEEAEDELKLIAKMIEWDPWGVPDDYECEVIEDDTPIPKHVPQHRPVALPEEFFKTLDAVKSDPALQGDAPPQVKA >Sspon.02G0000330-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:5621512:5627548:-1 gene:Sspon.02G0000330-4D transcript:Sspon.02G0000330-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQHRRKPASAAAPPAAKQPSARRPTARLSLAGLVVSVFLVATFLYSEDVMKAASGSSAGSATTTAAAAEVGVSGRARSPDLRVLQEAAHQDVEADALHARREAEEHEDRDRKEARHEQQQQPPLTLPVGVGVGVVAEEQLVDNTKVKQTQKQPPPPQAAAIAGCDLYRGRWTFDAAGEQAPLYRESECEFLTEQVTCMRNGRRDDSYQKWRWQPDGCDLPRYDARLLLERLRNKRLMFVGDSLNRNQWESMVCLVQSVVPWGHKTLQKFVNNGSLNVFTAHDYNATVEFYWAPFLVESNSDDPQVHSVMDRVIAWRAIAKHAKNWKGVDYLVFNSYIWWLNTFEMKVMKGTSRRGHQQQQQQKERWSKYALVDRPVAYREVLKTWAKWVDRHIDPNRTRVFFMGMSPNHITPWAWGNYGGIKCAMETQPISKNRTGRLDIGTDWRLHGVARGVLARYLRRVPVQFVDITGLSELRKDAHTSVHTLRQGKLLTPEQQADPKTYADCIHWCLPGLPDTWNHFLYAHIISPSPPP >Sspon.02G0052650-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2C:95317910:95318278:1 gene:Sspon.02G0052650-1C transcript:Sspon.02G0052650-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIFFDSNSQQFISVADIYIGRQHAHGHPLISPSNYEKGDLLFGTLEHLTTTPPSKVMTALCRRISRCRIGATLHGNPTRRHPHGSTPDPWANDRLENLQLHLWLHRLLPILLRVLHQQHQL >Sspon.05G0017380-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:73403432:73406917:-1 gene:Sspon.05G0017380-2B transcript:Sspon.05G0017380-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSINRWIRRILECRIKMLRIDIDICGSCAGYLQMSNRLLVSRHLTRLELIKGVWFVGRCLNLDGCPALEHLEINECQLLGVRKIMSLSLKRLVITSCDSNHCEKRIRICVPRLVSLLLDNTGSPAGEDARTPLLGRMPELVGAEVWIDSYLDECNCDDPVACYHVTRDGDTSDVDSDSDDREDGSADCAGQNTTKCVVLEGLAQARDLVLIANRPTYIFRRDLRWCPTFSKLKTLVLDDDLWCQPADCSALACILEHAPLLEKLTLNSSCRSCCAADMEIIGPKTLTAKTRGYGDDKEVKNELCSMALRPGQKFTRR >Sspon.06G0008300-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:43698885:43703001:-1 gene:Sspon.06G0008300-1A transcript:Sspon.06G0008300-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKCDVVGEIFYSPPLTPSFLPSLSIPPPPLPSKPLLFPPSEAPTSSDFQGGGGQHNNCLLTEPKGDSFSRRAAAMRADLSTIQQTLTPEAAAALARAIDEAARRRHGQTTPLHVAAALLAAPAGLLRQACARAAAAGAGPGAGGGAGGAHPLQCRALELCFSVALDRLPAAASAAAAAHAAGPPVSNALVAALKRAQAQQRRGCPEAAQQPLLAVKVELEQLVLSILDDPSVSRVMREASFASAAVKTTIEQSLASPSPPSSAAAAAVSTPTVAATTPLAPSPSPLPRVGPANAYINPRLATVAGGGGDNAYINPRLAAVAGGGGDNAYINPRLAAIAGGGGDDARKVLDVMLKPARRNPVLVGDAGPDAVLKEAVRRIPTAGSPALAGAKVLPLEADLAKLAGDKAAMAARIGDLGAVVQRLLADHGAVVLDLGDLKWLVDGPAAAASEGGKAVVSEMARLLRRFGSGKVWAVGTAACATYLRCKVYHPTMEAEWDLQAVPIARSAPLAGAALSDHPLMAKLAMCLFCKGSYDRELAKLAVEQKEKPASRPEAVKPGLPHWMQPSSDQPQEQELKQKEAAEELEKKWCETCARTHGNRAGAPAPSLPLAALAPCLPIEPKLQLARGGVPTLKMNTNWEKPEGTPTSELRRSPPGSPVKTDLALGPLDPGATVEKDQKENYTEGLTAMQKAKIAGISDIESFKRLLKVLTEKRRNIGTRGDIWLLFVGPDQAGKRKMVNALSEQMVNAQPVVINFDGDSRLGKDGNAGFWGKTSLDRVTEAGIDQVDAVVRGKIKRAMETGRLPDSRGREVSLGNVIFVLTTNWLPEELRRPKFETLLQDEGRMFEVASSNWQLELSIGDKQVKHRADWLCDDARPAKGSRNSSDLSVEQEQEKGHLAVKCSTPAPDCDLLNLVDDAIVFRPVDFAPFRKTVTDCISAKFDSVIQSSNSFRIDEDAVDRMAGSIWLTDEKLEDWAEKVLMPSIERLWRNVKHYNGLTDKALPRWGGGREGLPMVVPIAIDGM >Sspon.05G0007210-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:22579450:22579860:1 gene:Sspon.05G0007210-1A transcript:Sspon.05G0007210-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSEKLEAARVALGKRKERERELQAAQAQQAQPLPVPAKAEPWKPAPARAAAGGGGGNKLLAGHLAHEFLAHGTLLGRRIEPSRGATAPAPAPARVEPDPKRRYAEVSWLLMASGAHVPGVVNPTQLGRWLQIKD >Sspon.02G0032560-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2A:121045095:121045796:-1 gene:Sspon.02G0032560-1A transcript:Sspon.02G0032560-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGGGAPPPPPPPAACAACKYQRRRCAADCPLAPYFPHDRPRVFRNAHRLFGVSNILKTLDRAGPDPGRRHEAMQCVLYESQAWDLYPSAGCVPIIHALQRRIQQAQHELRRVHADLQAYRLRADAAEGIAAAARAPVMYGGGDEQQMMINNAVTSDDGNMPQTLPWTIQQPPQSYEHPFFVNATTMLPQFHCQQQPIVVSTQLPELRDDMMNYFADGTNGENDEMPRQSR >Sspon.02G0053740-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:107878379:107879428:-1 gene:Sspon.02G0053740-1C transcript:Sspon.02G0053740-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGFLCWSVGRRSTATVSSAGGSRSGPRILYQRKPTLSKKNRDAHRRRWPMAEAMGRVVINLSDFADAFPCSPSYPGVEDEVCFDSPSAAPHATATRATPRAAATRAASAARNLARARAPMGAVGRASSDDEQPRGNPGRLPRWRRFGLERPEVDRRVGELFPGAQVESGARSPVTRAATK >Sspon.02G0008310-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:24467633:24473242:-1 gene:Sspon.02G0008310-1T transcript:Sspon.02G0008310-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAEVSVDEKLDKLRAEVAKLDQIRRVPFPHSTPLCTMGLPRSENEKAGFISLVSRYLSGEAEQIEWSKIQTPTDEVVVPYDTLASPPEDLEETKKLLDKLVVLKLNGGLGTTMGCTGPKSVIEVRNGFTFLDLIVIQIEIVEKYSNSNIEIHTFNQSQYPRIVTEDFVPLPSKGKSGKDGWYPPGHGDVFPSLNNSGKLDILLAQVTPKTLADVKGGTLISYEGRVQLLEIAQVPDEHVNEFKSIEKFKIFNTNNLWVNLKAIKRLVEAEALKMEIIPNPKEVDGVKVLQLETAAGAAIRFFDKAIGINVPRSRFLPVKATSDLLLVQSDLYTLVDGFVIRNPARANPANPSIELGPEFKKVANFLARFKSIPSIVELDSLKVSGDVWFGSGITLKGKVTITAKSGVKLEIPDGAVLENKDVNGPEDL >Sspon.05G0007290-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:14471882:14474386:1 gene:Sspon.05G0007290-3C transcript:Sspon.05G0007290-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATEELQLLGSIPGPEGAGEVDGAVAEEGRPRGGDDEILRFMDSVDGYLLLMDSISSALRQGWLDLAGARHSMGPSRVSSTLFDHKEQSAATKLQVADHDGLKPSEPKPHFALSKWSLQEECHSTYDVSERALTEPKMRHRGSVTTPENGNHESANTTASSTCADASNHVQRARSKALSVFGGLVSPKLRTAQLSFETALDLIVELANSRSNMLASFSQLKE >Sspon.05G0005430-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:19114799:19121060:-1 gene:Sspon.05G0005430-3D transcript:Sspon.05G0005430-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:YDA [Source:Projected from Arabidopsis thaliana (AT1G63700) UniProtKB/TrEMBL;Acc:A0A178WLG6] MPTWWGKSSSKDVKKTTKENLIDTFHRLISPNEQKGSTKSKRNCRRGNNTAAEKVCKSTTVSRPTSPSKEVSRCQSFSADRLHAQPLPIPGVRPRVTRAVADVNDSKPILEKRGKPPLLLPLPKPNPLHQGPGSSDIPSEIVVASVSSNCSADSEDRADSQLQSPVGNDTDNVTKVSSKNKSSNVRKDHSGATTTKTTKEILKPAANAFLSNHTQSTPPRGISADNNQPDLQNLRPVVFESAPNSLMSSPSRSPRPICPDHIPTSAFWAVKPHADVTFLGSGQCSSPGSGQTSGHNSVGGDMLAQLFWQPTRGSPECSPVPSPRMASPGPSSRVHSGSVSPLHPRSGGVAPESPTSRHDDGKKKQTHKLPLPPLSISNSSSFPNNSTPSSPISVPRSPGRTENPSSPASRWKKGKLIGRGTFGHVYAGFNNDSGEMCAMKEVTLFLDDPKSKESAKQLRQEISLLSRLRHPNIVQYLGSEMVDDKLYIYLEYVSGGSIHKLLQEYGQLGEPAIRSYTQQILSGLAYLHAKNTVHRDIKGANILVDPSGRVKLADFGMAKHINGQHCPFSFKGSPYWMAPEVIKNSNGCNLAVDIWSLGCTVLEMATSKPPWSQYEGIAAVFKIGNSKELPPIPDHLSEHCKDFIRKCLQRDPSQRPTSVELLQHPFIQNGISLEKSVFPNHLEHLAAISCRTKPK >Sspon.03G0039490-1P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3C:16404097:16406022:1 gene:Sspon.03G0039490-1P transcript:Sspon.03G0039490-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGGWIKLLLTLLVAGLLLVAPTTYAAAAAEGGAGGTVIGIDLGTTYSCVGVYRNGHVEIIANNQGNRITPSWVAFTDGGERLIGEAAKNQAAANPERTIYDAKRLIGRQFDDAVLQRDMKLLSYEVVERNGKPHVRVQVREGDVREFSPEEVSAMVLTKMKETAEAYLGEKVTDAVVTVPAYFDDAQRQATKDAGLIAGLNVLRIINEPTAAAIAYGIDDKGPEKKVLVFDLGGGTFDVSILAIDNGVFEVLATNGDTHLGGEDFDQRVMDYFIKLIRRKHGRDISVDARALGKLRRECERAKRALSSQHQVRVEIEALFDGVDISEQLTRARFEELNSDLFRKTMVPVKKAMADARLQKSDIDEIVLVGGSTRIPKVQQLLKDYFNGKEPSRGVNPDEAVAYGAAVQGGILSGHGKDLIVIDVAPLTLGMETVGGVMTKLIPRNSVIPTKKTQVFTTYQDRQTTVTIMVFQGERSMTKDNKLLGKFDLSGIPPAPRGTPQIEVTFEVDVNGILHVKAADKGTGRSEKVTITSDARRLSQEEMDRMVHEAEEFAEEDRKARERVDARNKLETYVYQVKSAVDDSTMADKMDADEKKKVEEAVREANEWIDVNSDADKEDFEEKLKELEDVCSPVISAVYQ >Sspon.02G0005460-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:11259299:11261452:-1 gene:Sspon.02G0005460-4D transcript:Sspon.02G0005460-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAHLAVALFLALAVVPTARGSDVPSFPLSQAQSPSNSSSPSNASSPPCHLDLSAELFGGVAAACGAGGGPGSLDRGRCCPVLAAWLFAAHARTALSVPPAPAPSALAGEEGLGPGDDGPMVPYDNQRCVEALGAALDKRGVALPRPNATCDTVLCFCGIRLHQIGSLRCPAAFAVGAGAAAKNATPTAAVKDLEKSCRNASYAGCSRCVQSLQKLKGNVSREVSGAGGDRARRMLGRDCQLMGLTWLLAKNKTAYIPTVSAVLRAMLYTAHPTESGSGSGGAAPRCSPDQENMPLAVDSLQFERTASAGSSAASATPRGALLLGLALSLMMIGWWDAFL >Sspon.06G0001920-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:6248870:6249289:1 gene:Sspon.06G0001920-1A transcript:Sspon.06G0001920-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CP12-3 [Source:Projected from Arabidopsis thaliana (AT1G76560) UniProtKB/TrEMBL;Acc:A0A178WDK7] MASPSIGSPSSSPTALPAGAGFRRSPSSVHPWRRRQQQQQQARRLVMAAARRRYRGTVRREAALAELVERKIAEAMEACGERGQDQDQGCRVAWDEVEEVSQARADLRRRIAEAPGDPLEPFCALNPSADDCAVVYVDD >Sspon.03G0005630-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:31591721:31595691:1 gene:Sspon.03G0005630-3C transcript:Sspon.03G0005630-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMHPLRRFLLLLLVGLLPAAVWSLAPPRFPRPQPRSRPGVNGVGDYEYETRYFRQRLDHFSFPGVGDEDEAAAFFQQRYLVGRGGGWAGPGGPIFFYCGNEGDIAWFASNSGLVWEAAPRFAALVHRYYGESMPFGSKAKAYNDSKSLAYLTAEQALADFAVLLTDLKSNLSAEGSPVVLFGGSYGGMLAAWMRLKYPHIAIGALASSAPILQFEDIVPSTIFYDLVSDDFRRESLSCFLTIKDSWKELDDQGNKQGGLLKLSKTFHLCQTLKTSGDLSDWLSSAYSYLAMVDYPLPSEFLMPLPANPIKEVCRNIDSQPEGTSTLERIYAGVNVYYNYTGTVGCFDLNDDPHGMGGWDWQACTEMVMPMSYSEVRSMYPPYKFDYPSYAEDCIKSYGVRPRPRWITTEFGGHNITKVLEKFGSNIIFFNGLLDPWSGGGVLKNISESVIAIVAPLGAHHIDLRPATPDDPDWLVALRESELKIISGWLSDYYGAGGALFQPVAAKGSSSS >Sspon.07G0020660-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:77001727:77001918:1 gene:Sspon.07G0020660-1A transcript:Sspon.07G0020660-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQMEGPADQIAAGWFAAEPAAERPVGLPRTGSSSRLNAQAPEFVPRGPPAPAPALWSRLRRR >Sspon.08G0012090-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:51931072:51938742:1 gene:Sspon.08G0012090-1A transcript:Sspon.08G0012090-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEATGHGGRVVDLSGAEIRGGLEDRNPPIFLPRQPAASPLLALDIGGTLIKLVYTASCGGGADLRFAKFERRRLQECFDFIRAEGLLACNGELRKVYDRYHAQATGGGAYKFADDFLEKLGVCLDKLDEMDSVVSGANFLLQNIPGAAFTHMNGQRNPVDVSPNNLFPYLLVNIGSGVSILKVTGNRKFERVTGTHWWWYHVWFSKTFNRLYDEFLQLSQKGDNFVLDLIVKDICGELVCQKQGLSTSTLASSFGKVITSEKKLTDYKPEDIASTLLSAFTYNIAQIAFLVASLLGLRRVFFGGSYIRGHKSTMENISYAIDFWSQSQMQAVFLRHEGYLGALGALMSYGDPSGENLTLEEKEPHHESAPVDGTSVDEENDSNIFPYLLVNIGSGVSMIEVIGKGKFERIIGSHLGGGTILGLARLLTGCSSYEEFLELSQRGNNLSVDLTVGDIYGGEGYPKVGLPASTTAASFGKVNSSKLSEYKVEDLAAALLNSFTYNIGQIAYFVANLSGLKRIFFRGEVQTTFLCHEGFLGTLGAFWSYENMGIDSLAAHEVIREVLLGAPYTGQFPSLPVTQQQENGEHSTLEGEVESLRHDNAMLKAEVERLQRENAELRAKLVRSGETSTL >Sspon.03G0005600-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:15974097:15979116:-1 gene:Sspon.03G0005600-1A transcript:Sspon.03G0005600-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGYQMMDLSTTSVLAAKAYKYKAESLVKEYLLADSYVSYTAVLGGILMCKMAYDITSIISLLYYKGYGSLTKTQKLEWNNRGMSTIHAIFITVMSVYLVFFSNLFSDQLDGPVTFRSSNLSIFTLGVSVGYFIADLAMIFWAYPSLGGMEYVARIILFVYLFYHIYFHYDQVKQMHTFSCILVFSVPTILLVMNIMWFAKILRGLKKTLAKR >Sspon.08G0006280-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:19688231:19689166:-1 gene:Sspon.08G0006280-1A transcript:Sspon.08G0006280-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAAAVAAAPTAHKRPAPDQEPRAADAKKRPRYKGFRSIEEFEVLEMLGEGAEGVVSRARDRRSGKEVALKWIRGDGPDGHGPPDRRALAMEAGCLRACRGHPSIIGIDGVAADPKTGDVHLVLELIEGGLSLRDSDYMWRTLSEDTIRGMMRQLFGVAKKVHSLGFIHGDIKSENILVCPLGELKLCDFGSATRKKPDRKPHQACPVGTLQYNAPELLDGDWYYGPAVDMWGLGCVMAELLSGERLFQAESEYEMTAEMSELRDRMTSAAGKLDLECLKDLSEDGRDVLTGLLAFCPEKRLTAAEALEHR >Sspon.06G0011070-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:59979645:59981258:1 gene:Sspon.06G0011070-1T transcript:Sspon.06G0011070-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGPVPPWASSSLATTILLATALFLVTTTVLRRLGHQQRQAARKGNLPPPGPRPWPVIGNLNLLGALPHRSIHELSARYGPLMSLRFGAFPAVVGSSVEVAEVILRTQDLAYLDRPRLACGKYTVYNYSGMLWSHYGPYWRQLRKLWMTELFSARQIRLTEGVRAEEVRAMLRDLHPTSTSTSTAAVVLKEHLLMVTLNVISRMVLGKKYVGEDAGSAAAATPEEFKWMVEEIFFLNGALHVGDLVPWLSWLDPHGYVGRMKRLAKMFDRFIEHVLREHGDRRRREGDAFVPRDMVDLLLQLADDPGLDFPLDRDGVKASVLELITGGTDTSSVTVEWAMSELLSKPDVLGKVTDELDRVVGRDRLVGEGDIPGLPYLNAVVKETMRLHPAVPLLVPRVSREHTTVAGYDVPAGTRVIVNVWAIGRDPTVWGDDAGEFRPERFLAGSKMSNVDVKGQHLELLPFGAGRRMCPANGFGLRMVQLVLANLVHGYAWRLPGGMAPEELGMEEKLGISVSRLHQLKAIPEPRLPDHIYFGP >Sspon.06G0012880-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:67730114:67734693:-1 gene:Sspon.06G0012880-1A transcript:Sspon.06G0012880-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQAGRRRSSSTMGGGGSGDHQQQHGGGSGDDGQLQQGGGDMVMPGFRFHPTEEELIDFYLRRRVEGKRFNIELINLVDLYRYDPWDLPALASIGDKEWYFYVPRDRKYRNGDRPNRVTPSGYWKATGADRTVYVEVKRPIGLKKTLVFYVGKAPKGLRSSWIMNEYRLPSGEADRYQKEISLCKVYKRPGIEDNFHLSTTTTRSSSSKAAATMEKKHHRTSASPRLAPMFDGGYSTAHMNKPYSGANTTIAMTSSAAARAATMAPQTSMFLSTPSLSSTTSTEEDGTSLYHMKVSSIYKGERTRMI >Sspon.04G0004710-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:13837367:13838835:-1 gene:Sspon.04G0004710-1A transcript:Sspon.04G0004710-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLRKITSSTHNNQTDIIMYQKPNADADRYDSAPSPAMILHRFCFLSSAIYLVYLFYGNGMEMQDSECINLVYLFYWNGMQDSECIG >Sspon.01G0023920-3C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1C:84773329:84774359:1 gene:Sspon.01G0023920-3C transcript:Sspon.01G0023920-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGSSPSPVAGYSVGGDGSHSASAIVAGAVTGSHVLKIVSYSRTKEVPNGQRIDSCHFHLGGHTWFVVYHPNGCAADSLDFISLFLAIHGAVPGKAAKAQVTISLLDQDGKPVPSYTKVTGFVDFAEKGSWGYQKFIERKALEKSEHLRDDSFTVRFDVTFMRDIQAVGTPSVQGGRRRQIPVGGKTFSAHRLILSTRSPVFKAELFGPMKESTTTKAIRIEDMEPEVFDALLTFIYTDILPETKEGEECAMAQHLLVAADRYNLERLKLICEDKLCKYIDTGSAATILALAEKHNCHGLKDACFAFLSSAKNLDAVMETDGFDYLT >Sspon.02G0017300-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:49596205:49597641:1 gene:Sspon.02G0017300-1A transcript:Sspon.02G0017300-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLGYNRHSVMVLLLCLLVLSSVRALVAAAGGRPPAMFVFGDSTLDVGNNNYLAGPNVPRANKPYYGIDFPGSVPTGRFSNGYNIADYLAKSMGFASSPPPYLSLAPSTARLVLTARGSGVSYASGGAGILDSTSIYLFSIGSNDLFVFATAQASASQNKSAADQRRDVATLYTSLISNYTATITDLHAMGARKFAIINVGLLGCVPAARLSSDGATGACLDGLNELASGLDDALASLFASLASRLPGFVYSLADYYGLSAATFDDPGASGYTDVADACCGGGRLGAEADCLPNATVCSNRDQHAFWDRVHPCQRGAMLAAQNFYDSRPGRYTAPINFKQLAYTSL >Sspon.01G0005000-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:11740760:11752381:-1 gene:Sspon.01G0005000-3D transcript:Sspon.01G0005000-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VEQILKEAQHRWLRPAEICEILKNYRNFRISPEPPNRPPSGSLFLFDRKVLRYFRKDGHNWRKKRDGKTVKEAHERLKSGSVDVLHCYYAHGEGKENFQRRSYWMLEEGHDDMLQAARMDSPLSQLPSQTTEGESSLSGQASEYEETESGNLQGFPATVTNTDIYSYCQDALPVALNEPGLGIGFNGADNQLDPSSLNGLVKPDQGSFKCPHPKVLFPQNYFLSLKDMELNPSHLMNNGLSIKDADVVGTDEESVCQLPGAISSFPPEDSFQQNDRSLEETINYPLLKTQSSSLSEMLKDSFNKSDSFTRWMSKALGEVDDSQIKSSSGVYWSSEETDNIIEASSRDQLDQFTLDPVLARDQLFSIVLITGRFLNSDEIQRCKWSCMFGEVEVPAEISADGTLRCYSPSHKPGRVPFYVTCTNRLACSEIQEFEFRPSVTQYMDAPSPHGVTNKTYLQMRLDDLLSLGHDEYQAMVSNPTKEMVDFSKKISSLMTDNDSWSKLLKLASDNESATDDNQDQFFEKRLKEKLHFWLVYKASGGGKGPNVLDDEGQGVLHLAAALGYDWVIRPTVSAGVNINFRDAHGWTALHWAAFCGRERTVVALIALGAAPGALTDPTPDFPTGSTPADLASANGYKGISGFLAESSLTSHLQTLDLKEGMGSNAPEISGLPGIGGVTERRASPLAGEGLQPGSMGDSLGAVRNAAQAAARIYQVFRVQSFQRKQAVQYEDDNGAVSDDRVISLLSVKPSKPVQLDPLHTAATRIQNKYRGWKGRKEFLLIRQRIVKIQAHVRGHQVRKHYRKIIWSVGIVEKVILRWRRKGVGLRGFRSTEGAMESNSSSSSNLIQNKPAEDDYDFLQQGRKQTEERLQKALARVKSMAQYPEARDQYQRILTVVTKIQESQAMQEKMLDESTEMDEGFFMSEFKELWDDDVPMPS >Sspon.06G0017830-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:79990880:79994904:1 gene:Sspon.06G0017830-1P transcript:Sspon.06G0017830-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPTLHILWADMVSAVEELSGLTSKELGEMLKESDNFVLRSKTEDRGPKQVDMEELVSSLPLHLLAVCLELGQGLDLTYVLRGIRFLHSLSDLASRHTRLEQVLLDDVKLSEQVMDLIFFLLSILAEQKKENNDGASPLVHASLVAASLHLLTSYFTSQWHELVHILLAHPKVDIFMDVAFDSLHEDVRLLNIRLSSMGSGSFHVGSLECRRAHFICQQCEASLQFLLLLCQQKLFRDRILKNKELSRNGGILSLSHTILKLVVPECLKQSTDLVASISRLKAKILSILLQLCEAESVSYLDEVATNPNSRRLGQTLALEVLNLLKIAFGRKQNITSDSHDKGKIYPMGSVLISALRLVDVFSDDSNFRSSFMTNT >Sspon.07G0019790-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:77511925:77513326:1 gene:Sspon.07G0019790-2B transcript:Sspon.07G0019790-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHAVHEGLKYTQSHEWVKTGDGVATVGITDHAQDHLGEVVFVELPEAGTTVSAGASFGNVESVKATSDVNSPISGEVVEVNSKLSETPGLINTSPYEDGWMIKVKPSSPGEADALLDAAKYTKHCEEEDAH >Sspon.06G0014880-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:83064104:83073643:-1 gene:Sspon.06G0014880-3C transcript:Sspon.06G0014880-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPPHAPPAAAPQPLLLLHHHPPALVLRVRVRLRPRGLRLARAPAPAATATAAAAASVAPDDISQLNYRLRAIARRREAASASASAASSSSFPVDPAQAEAYLRMIRDQQRLGLRQLRREEAEDDGDEERARKGVAAGSSLGHRVDPRELEAGEYVVHKKVGVGKFACISAEDGVDYVFIQYADGMAKLAVDQAARMLYRYNLYGLLLGPQPHEKTRPRNLSKLNDPSTWEKRRLKGKLAVQKMVVNLMELYLQRMRLGRPPYPKPASMDDFAAEFPYEPTPDQCQSKEEKYELITKIKNGDLHIIVGTHALLTERMVYGNLGLLVVDEEQFLKDSLPDVPIAVAHGKKMSKNIQLAMEDFASGEVKILVCTHIIESGIDIANANTMIVQFAELYGLAQLYQLRGRVGRSGREGFAYLFYTDKSLLSRVATDRLGAIEEHSELGQGFHVAEKDMGIRGFGSLFGDQQSGDVANVGIDLFFDMLFDSLSKVDQFCLVPVPYKDVQLDINISPRLSSEYISYLENPVELLNEAAKAAEKDLWTLIQFTEDLRRRYGKEPRDMELLLKKLYVRRMAADLGISRIYPSGKMIFMKTNMNKKVFRLMTEAMTSETHRNSLSFAGKEIKAELLVSLPDTLLLNWLFHCLSDCYTVIPALVKY >Sspon.01G0035260-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:9146555:9149564:1 gene:Sspon.01G0035260-1B transcript:Sspon.01G0035260-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAKDAAVPAEAQPLGRWPVLSYGVGHMLNDITSACWFTYLLLFLQQIGLAPRDAAVVMLSGQVADGLMTILAGEMIWPFQAMAHWRISPRRLLLSSVFGGCLLCTILGTDSYLVRTVGYSFFAAVFNIGWAATQVSHMSMVNCMTLNPTSRVALASCRNAFTMVANLGLYGIALAVFGAIKAKECSDIVIQYRWIAYLSIFIGCCFLVVFHVGTKEPTLKSETNCKKKARISWGYWFKKTLYYQVALLYMLARLITNVSQSLIAFYVTRDLRMNEYSKAIIPAIIFCCSFFVSIVLQEIKWNSRRLKSLLTVGATLWVISGVAVFVLPSQMHNLMYPLALVIGAANALVMVTTVGLESALVGEDLNGCAFVYGSLSFLDKISCGIALFVLESYEDTMSCGETRGLNTVSRYGTGLIPSCFAVLSLVVASTLRLQDATPSAAALEAPLLV >Sspon.01G0046320-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:96419668:96420387:1 gene:Sspon.01G0046320-1P transcript:Sspon.01G0046320-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ECTGPVRSGRPPDRRDVAAADGVPPGVRDPVRWRQHRLRGAPQQRLPHLQPEARRGRGRREAPALGPQARRLRHLPAAPRPRQQPHQRRFLRVSAGVLRHGHDRDVGAVPPGGAGDVHQRHGLRLLGRLPPHGRRQQGARRRAPPAGPPAHRVS >Sspon.05G0019330-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:84152625:84157672:1 gene:Sspon.05G0019330-2B transcript:Sspon.05G0019330-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPVARVHLAMAHAALPALLPTPPKWKMLPLLPTPPPCVTAILQAKLLTKPSRADSDERWDARKTKPASAEPSASASSGPRSADSVRSSAGHGSPRKNTTSPPPKPGRADSVERWDAHKKAASPASSSSSSSGKWTISRASSAEPPLPTPPKSKMLPLLSTPLCVAVVVLPNPNPNSSPPKKPSRADTDERWGARKDAGSNPPSKPGRADTVARWDAHKTKPSATAAPASSSSSGSARSGSPAGRASSSAERWDSNKKTRATSSLASSGSPTTSRPPSSQGWDSSKRSHAVCSRASSAERSDAHKKPRPPRAADVPDDDGVSSTASNDVDLDKPRPQLLPRALYSGPGFTAAPEARMLPMPSSLMVRAA >Sspon.06G0006590-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:43544063:43544977:1 gene:Sspon.06G0006590-3C transcript:Sspon.06G0006590-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAIDLSGEELMRALEPFIQDASSAPHGSSPLLHTHQPLSPSSPFSFHQVAAAAAYGGYPFADAAEGAGQLSPAQMQYIQARLHLQRQSPQSSVLGPRAQPMKASAAAGPTPPRPQKLYRGVRQRHWGKWVAEIRLPRNRTRLWLGTFDTAEEAALAYDQAAYRLRGDAARLNFPDNAASRGPLHASVDAKLQTLCQNIAASKKGAKKPASASAAAAAATSSSAPTSNCSSSPSSDDASSSCLESAAESSCPSPSPSASPESSTVPEMQQLDFSEAPWDEAAGFALTKYPSYEIDWDSLLAAN >Sspon.04G0025200-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:25453520:25457318:-1 gene:Sspon.04G0025200-1B transcript:Sspon.04G0025200-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTMRGALERAKMLVGMEVDEESALPPPEEQSFFDDINRHCTLNTTQASTDPSARPVSPHRSGGGSRFAALFLLRYRRGKALWFRDMLGCRTDVHLLGEFTCPAASLCWDNNISMIVFFNPVKFGVTFTLGNLMALGRYSLSYIPFARSIVSKLTTLHRPLL >Sspon.01G0032470-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:113145336:113146630:-1 gene:Sspon.01G0032470-1P transcript:Sspon.01G0032470-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPMALLPLSSTSASASAALPHIRPCPPAALHLRLPSHSLNARARARAVSTGYAASFYGGSAMSAAGGADEEEVGDESGFGPGLGLGGGGLGMSAALALEEREMPPCPPGLRQYETMVVLRPDMSEEERLAFIQRYEELLVTGGAMYVEVFNRGVIPLAYSIRKRNSRTGLPSTYYDGIYLLITYFTKPESMDALQLRLNADDDVIRSTSFKVRQRKAV >Sspon.03G0016620-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:76513921:76530738:1 gene:Sspon.03G0016620-2B transcript:Sspon.03G0016620-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLLVGALLLCAAAAVEPKPPLDTLGIPPQDEAYYRGGVIKCRDGSGSFSRDQLNDDFCDCPDGTDEPGTASFSLPEGISLFWARYPWGTSACPEAKFYCKNAGHTPVMIFSSRVNDGICDCCDGSDEYDSNVTCKNTCWEAGKAAREKLKKKIATYKNGVVIRKQEVERAKEAFAKDEAALAKLKGEEKMLQGLVDKLKGLVFVSIGLEPSAKEWYMEQMLGFCSFVVEISLSIYVEQKRLIEKAEEEERLRKEKEEKRIKEEAEKQAAVEKGAPDASRDVDSKETQDHVQEDENKVAEQHDGHATDHDNHVTESGASAEQDLSSDNPEGLSREELGRMVASRWTGESVNEVSKDDKKGHEDEQEIPEPAEEALEDELEIPEPAEENYGSYHSEVEDDRHKYEDEEFDHESEDEYVDDHDDHVESYRSDDDQKGDHHSDLTESGHASWLDKIQQTVQNVFQKFNFFKTPVDLSEASRVRKEYDDASSKLSKIQSKISSLAEKLKHDFGKDKEFYSFYDQCFETKEGKYTYKVCAYKKASQAEGHSSTNLGRWDKFEESYRVMHFSNGDKCWNGPDRSLKVRLRCGLSNELNDVDEPSRCEYVAVLSTPAVCVEEKLKELQNKLDAMSSKQPGHDEL >Sspon.07G0011410-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:39957476:39960752:-1 gene:Sspon.07G0011410-1T transcript:Sspon.07G0011410-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAEAAAAAERVVAELREACAAPAARLNEVAAAMEAEMHAGLREEGGSKVKMIISYVDNLPTGNEEGVFYALDLGGTNFRILRVHLAGKDKRVAKRESKEVSIPPHLMSGNASELFGFIASALAKYIASEEGHSNVFDDKQRELGFTFSFPVRQTSIASGTLIKWTKAFSIDDAVGEDVVAELQTAMEKQGVDMHVAALINDTVGTLAAGRYNDEDVVIGVILGTGSNAAYVEEASAIPKLEGELPKSGNMVINTEWGNFDSSCLPITEYDQALDEESLNPGEQIFEKLISGMYLGEIVRRVLLKIASQSSIFGNVSHTKLKTRFILRTPDISAMHHDDTPDLRIVAEKLADNLKIMDTSLDTRKMVVDICDIVTSRSARLAAAGIVGILRKIGKAVPGDERRSVVAIDGGLFEHYAEFRKCLEGTLVELLGEEASRSVAVKLTKDGSGLGAALIAAAQSQ >Sspon.07G0009180-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7A:25549047:25550010:-1 gene:Sspon.07G0009180-1A transcript:Sspon.07G0009180-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding PGAGKVDPRLLESRLGAISSREVGLRVTIGLETPISGSRVLNRRRSEDLGRGGCSRGGSRRREGLEKWLAQLWLGKHCGRERGRPAGTGTGALQSGAMEARSREERHGGRGGCSREGGAREGGAREVGAREADRAWEMAGGGVRAREMAGGARGRGSCTGQNLGPVASLHWAILKMHQGVTCPV >Sspon.07G0018020-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:77575095:77580257:-1 gene:Sspon.07G0018020-4D transcript:Sspon.07G0018020-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At3g02330, mitochondrial [Source:Projected from Arabidopsis thaliana (AT3G02330) UniProtKB/Swiss-Prot;Acc:Q9FWA6] MPHRDTVSWNTMLTAYAHAGDTGTAASLFGAMPDPDVVSWNALLSGYCQRGMFRDSVGLSIEMARRGVAPDRTTLAVLLKACGGLEDLVLGVQIHALAVKTGLEMDVRAGSALVDMYGKCRSLEDALHFFHGMGERNSVSWGAVIAGCVQNEQYTRGVELFVQMQRLGLGVSQPAYASVFRSCAAMPCLSTARQLHARAIKNKFSSDRVVGTAIVDVYAKADSLVDARRAFFGLPNHTVETCNAMMVGLVRTGLGAEAMQLFQFMTRSGIGFDVVSLSGVFSACAEVKGYFQGLQVHCLAIKSGFDVDVCVRNAILDLYGKCKALVEAYLVFQEMEQRDSVSWNAIIAALEQNECYEDTIAHLNEMLRSGMEPDDFTYGSVLKACAGLQSLEYGSVVHGKAIKSGLGLDAFVSSTVVDMYCKCGMITEAQKLHDRIGGQELVSWNSVISGFSLNKQSEEAQKFFSEMLDMGVKPDHFTYATVLDTCANLATIELGKQIHGQIIKQEMLGDEYISSTLVDMYAKCGNMPDSLLMFEKAQKLDFVSWNAMICGYALHGQGFEALEMFERMQKSNVVPNHATFVAVLRACSHVGLLDDGCRYFHLMTSHYKLEPQLEHFACMVDILGRSKGPQEALKFIRSMPLEADAVIWKTLLSICKIRQDVEVAETAASNVLRLDPDDSSVYILLSNVYAESGKWVDVSRTRRLMRQGRLKKEPGCSWIEVQSEMHGFLVGDKVHPRSREVYEMLNNLIGEMKLSGYEVSSALFAEVDEEGSTSEQDDFVGVVGNLEDSRLHLAMSAPNSDSNMQQLVPIAPPGKASSGDSGKELVVADPAGKSSGGVKLREDEEDLEVKLRRIMENVPVRVSNTSGSSAGSGSGDFHQYRQMRRREQDRIARMDADYQKRKEIAEFQLRREERLKAAEERTAKKRLKRQKKKQRKKEKRAKSGNSGGEEPNRVESSDDDEGSDDDDKSKQ >Sspon.04G0015510-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4A:57978717:57980046:1 gene:Sspon.04G0015510-1A transcript:Sspon.04G0015510-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKTPKNSHSGSNPKDYDAYARESACIVLTLCSRSSVAPAFSCKFCQRGRSLLIGSARSSVCCGIHGNRAPPLPPRRSRWWWRLSMKARPKSHFAGTDRPT >Sspon.05G0002320-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:2350943:2351206:-1 gene:Sspon.05G0002320-2B transcript:Sspon.05G0002320-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIHLLAFVAARGFLQVFQVSAPLLWPLNLWLPLARHLPEACAAFYDALVSHAGRLRATVRRHRRDGGSRSALDEYLRNATMITLSD >Sspon.04G0011990-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:40189815:40192412:1 gene:Sspon.04G0011990-4D transcript:Sspon.04G0011990-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEDPRDLHLILGEIANFAAYAFAPAVLVTPLGALSIIFSAVLAHFILKENLHMFGIVGCILCVVGSVGIVLHAPKERKIDSMKEIWHLATQPGFIVYSCVAVACVLFLIFRVVERSGHRLMLVYIAICSLMGSLTVISVKAVAIALKLSFSGSNQFIYVQTWFFIVVVTTCCLVQLNYLNKALDSFNTAVVSPVYYVMFTILTIVANMIMYKDWASQTATQIATQLCGFVTIVAGTFLLHKTRDMGNPPPPDQICLEEARECAPRSMNSSS >Sspon.01G0049530-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:96456519:96458602:-1 gene:Sspon.01G0049530-2D transcript:Sspon.01G0049530-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:WRKY DNA-binding protein 58 [Source:Projected from Arabidopsis thaliana (AT3G01080) UniProtKB/TrEMBL;Acc:A0A1I9LLS8] MDDRRGHGDAMGQRPFASAAQGQERVFDGGGGGGGPGPAFGGEFDQGSSLMALLGAGAISSQPPPPTWGVEEVTAAPAINLVPQSFSMANYAPPPSSYQQPTSFAPSPLGGRMDPYPPYLLADQPPQWPPPRAAATASFLPHSNFTVLFPRNPYDHDMQLPATAIFGGSGGLHAHALPPPPPPAIEQPAKDGYSWRKYGQKQLKDAESPRSYYKCTRDRCPVKKVVERSFDGFIKEITYKGRHNHPRPQERGLAGGGNDALAAAEEDVDGPSDDDEDDALMHEDDVGGAPGMGADGVAGQRVVKKPKIILQTPSEVDLLDDGYRWRKYGQKVVKGNPRPRSYYKCIADNCNVRKQIERASTDPRCVLTTYTGRHNHDPPGRGKEAAATVAAGGSSADPPSSANTASGSGAFQENWGFRRQLKEEC >Sspon.07G0033260-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:58645791:58649332:-1 gene:Sspon.07G0033260-1P transcript:Sspon.07G0033260-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thylakoid membrane protein TERC, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G12130) UniProtKB/Swiss-Prot;Acc:F4JZG9] NIRYVSMAIAKVEIQLYLVPFLIISDVCNKNRVLSYGIAGAVVFRAAMIILGTATIQLFAEEEEESDLSDNFIVKTCQKFIPVTDSYDADRFFTTQDGLWKATPLLLTLAVIELSDIAFAVGSFTSCFHVQFFLFYFMIPGIGTNISIIQIDSIPAVFGVTRDPLIILSSNIFAISGLRSLYVLISESMGELEYLQPAIGVVLGFVGTKMIFDFFGYHIPTEASLAIVTTCLSGGVILSLRKASKEEGDK >Sspon.07G0008430-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:22995784:22997963:-1 gene:Sspon.07G0008430-1A transcript:Sspon.07G0008430-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHHAVLLFLSEISPTRIRGGLNILFQLNITVGILFANLVNYGTNRIRPWGWRLSVSLAGVPGALFTIGAYLVVDTPNSLIERGRQEEGKAALKKIRGTNNVEAEFNMIVEASRIAHEVKHPFRNLLRRRNRPQLIIAILLQTFQQLTGMNAIMFYAPVLFITLGFKSDASLYSTVITGAVNVLSTLWSVYTVDRVGRRMLLLEGGMYMFLSQAAMAVVFRIKVTNDADRIDHAWAVMVVAMVCTFVSSFAWSWGPLGWLIPSEIFPLETRSVGQSVAVFANLLLTTVMAQAFLSMLCRLKYAIFVFFSAWIFVMSLFVLFFLPESKKVPIEMMTEKAWKQHWFWKRFIDDGTQLTTSAANNYN >Sspon.03G0032440-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:37712899:37722063:-1 gene:Sspon.03G0032440-1B transcript:Sspon.03G0032440-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGIPVLNNVERRTMKAPVLLELRLKSVESTHAKLKLKIHRRAAAMDNQAPQVPRVKLGTQGFEVALSLSVHLSLNYLVAVSKLGFGCMGLTGAYNSPLDDEAGIAVITHAFSRGITLFDTSNVYGPLTNEILLGKALKQLPREQVQVATKFGILRDESGNPTVCGRPEYVRACCEASLRRLDIDCIDLYYQHRIDTTIPIEETIGELKKLVEEGKVKYIGLSEASPDTIRRAHAVHPITAVQMEWSLWSRDIEPEIVPLCRELGIGIVPYSPLGRGFFGGRGVKEQVSAESVLHGIPRFAPENLEKNKQIYLRMEELANKHQCSPTQLALAWVLHQGDDVVPIPGTTKIKNLDSNVDSLKVKLTEEDLKEMDSQIREEDVAGGRQFTSFEHATWKYADTPKKQS >Sspon.07G0025740-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:50508422:50509786:-1 gene:Sspon.07G0025740-2D transcript:Sspon.07G0025740-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SMKKQLVALLVLIALPVRAVPVSGAGVVAALLTHADAGRGLARPELVRRMAHRARARRRLLSASQDERPAVRARVHAGGDGIVTNEYLVHLAVGTPPRPVALTLDTGSDLVWTQCAPCRDCFHQGLPLLDPAASSTYAALPCGAPRCRALPFTSCGGGGRSWGNNRSCAYVYHYGDKSVTAGEIATDRFTFGGDNGGGNGDGDSSLPTRRLTFGCGHFNKGVFQSNETGIAGFGRGRWSLPSQLNVTSFSYCFTSMFESKSSLVTLGGAPAAALYSHAHLSGEVRITPLLKNPSQPSLYFLSLKGISVGKTRLAVPEAKFRSTIIDSGASITTLPEQVYEAVKAEFAAQVGLPPSGVEGSALDLCFALPVTALWRRPAVPSLTLHLEGADWELPRANYVFEDLAARVMCVVLDAAPGEQTVIGNFQQQNTHVVYDLENDRLSFAPARCDSLVASL >Sspon.07G0008380-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:22880498:22887196:1 gene:Sspon.07G0008380-1A transcript:Sspon.07G0008380-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAALLPLAPLPHHPNPPRRPLCRCGASRRGFTVHTAIAIASASASASAPTAAAEATSPSPPPSPPTQTPSSKPGSPVLGGIANTRSWSQYYGSGFSIRVPPSFDDILEPEDYNAGMTYYGDKAKPRAYAARFASPDRDELISVVIKPSNQLKITFLEAKDITDLGTLKEASKIFVPAGAKVFSARTIKVKEEEDIRTYYFYEFCLDKQHVALMATVNSGKTYIAGATAPEMKWGDDGVKLRSAAVSLSVS >Sspon.03G0011200-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:37697210:37700888:-1 gene:Sspon.03G0011200-1T transcript:Sspon.03G0011200-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGDLLLRAHAGAPVLARAFACRLLVPARRRSGLASPLAASKVGIADVVGRRVRSGGADQKRRRRDAEEGFGFSGVATRRELVDEEEEEQDEEDEALKLGLGVEKNGGETDGVDGSYLSETRFDQCAISPLSLKAVKDAGYERMTEVQEATLPIILQGKDVLAKAKTGTGKTVAFLLPAIEVLSTLPRERNQLRPPINLLVMCPTRELANQVAVEARKLLRYHRSLGVQVVIGGTRLTQEQRSMQANPCQVVGINYNILVATPGRLKDHLENTPGFSSRLKGVKVLVLDEADRLLDMGFRRDIEKIIASIPRERQTLLFSATVPEEVRQISHVAMKKDYRFVNTVKEGDEETHAQVSQMYMVAPLDLHFSILYDVLKKHVAEDADYKVIIFCTTAMVTKLVAEVLSQLKLNIREIHSRKSQSARTKVSDEFRKSKGLILVSSDVSARGVDYPDVTLVIQVGIPADREQYIHRLGRTGRKGKEGQGLLLLAPWEKYFLGTVKDLSIAESAVPPVDSSVETEVKNAVRKVEMKSKECAYQAWLGYYNSNKTIGRDKSRLAHLAEEFSQSMGLAVPPAIPKNILRKMGLNNVPGLRSS >Sspon.08G0003670-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:10291678:10292867:1 gene:Sspon.08G0003670-1A transcript:Sspon.08G0003670-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDESWRCTMGSVLPRQRSSDQHAAGAGHQSLAPDDFRDVYGGPPRTVLLRSFGGEAVDYHSPTGHQYASYGGAEAFCRRPYPDGRAAAVPTEQGFFDDIFGARRHMRSRSRSKSKSSSAVSSDEFPSAGFCRPVATGGSRVDATLSSFTSRLRPVTIPSRRYDSSPPSSTSTRGEYQSSFTCSTAAHPAARYYYGGDTNKAAGSRSNHSRAGGGSAAATRHHRNHRGGSSFCCFTSNPETSSNAPSFRQAARGARSPAAETTITDYSGADYGYYYSPPSATSSSLVTNPLARTPRRLEDVVMEVRERAPLLMDDGDDIDSVGAAAVDEAIAWAKERFWSQA >Sspon.05G0019940-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:85474387:85477047:-1 gene:Sspon.05G0019940-1A transcript:Sspon.05G0019940-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSEDSLKSLSLDYLNLLINGQAFSDVAFSVEGRLVHAHRCVLAARSLFFRKLFCGLDPNHQPPPTPGSSAAGARAPELVIPVSSIRYEVLVLVLQFLYSGQASVAAPKSGPLPGCGARGCWHTRCGAAVDLALDTLAAARSFGVEQLALLVQKQLEAMVKEASVDDVMKVLMASRKFEMQELWATCSHLVARSGLSADLLAKHLPIDVVAKIEEIRAKSPVAVSTGGGPRSPFLTHHYLPINAVSSAADRDHRIRRMRRALDAADIELVKLMVMGEGLDLDDALAVHYAVQHCGRDVVKALLELGAADVNSRAGPAGKTALHLAAEMVSPDMVSVLLDHHADPNARTLDGVTPLDVLRSLTSEFLFKGAVPGLTHIEPNKLRLCLELVQSAVMVATRDDGAGTGTGGDARGGGSDGGGSFPRGDAADDSLVSLTMNSTLMYQGQEMAAAVAGESRKGSGGGGGRGGSPSNLYFPNGFP >Sspon.07G0025530-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:35088415:35092150:1 gene:Sspon.07G0025530-2D transcript:Sspon.07G0025530-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNGFCRKEKPLMRRIITIMDATANSDVQRAEEFKLKANDAFKANKFSQAIELYSQAIELNSSNAVYWANRAFAHTKLEEYGSAVQDATKAVEIDPRYSKGYYRRGAAYLAMGKFKEALKDFQQVKKICPNDPDATRKLKECEKAVQKIRFEEAISVGDAERRSVADSIDYHIIVNRVQANIHRKFM >Sspon.05G0005120-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:10351152:10352397:-1 gene:Sspon.05G0005120-2B transcript:Sspon.05G0005120-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPAAGTPPFLTKTYAMVEDPTTDETISWNDTGTAFVVWRPAEFARDLLPKHFKHSNFSSFVRQLNTYGFKKVVADRWEFANDGFRRGEKHLLGGIQRRKGTGAVAAVPMSGIPTGIPISSPPTSSGGEPAVSSSPPRGSTAGVSGAVAELEEENARLRRENARLARELARARRLCDGVRHLLARYDQGRGGDEDLPDGDEGHGGGGEGPSGPKPMLFGVAIGAKRIRGADGDDAEDGEDGTADENGEEHNDEEQDEDDERHAVRERGIKAARRNETSDLDVLTLSVRAAAAARTGGGSRDHKS >Sspon.07G0008650-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:20595600:20601882:-1 gene:Sspon.07G0008650-3D transcript:Sspon.07G0008650-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFSEQDVDIFGEDYDVHDGGAGAEADGGGDSSGSSSPSSSSSSSAAASSSSSSGGSSRSSSGGAAGEGEDGADEGDAEEYDSSNLIATRGAGAAGYRDDERGEHEDEEVEEERDLFGSDNEEYVRTPARSNYLVPVLPAIRNTNNHSRGGFGGRGGRGPPLLPRPGGHPGRHNFGYGRFGNGRHVEGLVSDMKLNKSEETLSRKAVTFQEPCEIACLSRAEGGEVYFDDRSLRLFKREICDYVGADLNKGFDTFVEKRDLGSEGFGDLLACIRNSNILLQHTIHFVAYRNNLNKILATAYLREPWKMGVHKRRGVVYLDVHKLPERPKSELERRRCYWGYSFENLATENSFSDDGRGIDANVEFCSVIKTKLGAHRIVMGAEMDCCDATDDGSVEAYEKEKLLRFWIQSFLAGVPYVVVGFRNDAGILVRTERLRTKDITQKVKAKNYWQGGVCLTFADEVLCWLYGTVKENEDYVLQFVHPFNRLELLRAQSPCPEAITLHVQQLSGAAD >Sspon.04G0023440-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:16048443:16051057:1 gene:Sspon.04G0023440-2C transcript:Sspon.04G0023440-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARDDGFPVWEAALLAGVAAVFAAGLAGVYVSMPRSDYSFLKLPRNLQELQVLTVHLEGYTSDYTIQVLVGYCAVYIFMQTFMIPGTIFMSLLAGALFGQLGGLALVIFAATAGASSCYFLSKLVGKPLVFSLWPDKLTFFQKQVAKRREKLLNYMLFLRVTPTLPNTFINFSSPIVGVPYHTFFLATAIGLIPAAYVTVRAGIALSDLRSLNDLYDPQSIAVLFLIGLVSVTPTLLGKNETPSRAPDMAASTN >Sspon.01G0044440-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:74824119:74824466:1 gene:Sspon.01G0044440-2D transcript:Sspon.01G0044440-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding WPMRCSTWTKRSTRQGMAMAPWWRKPYSRSASSSSAPKSGCLRWPSGTANRRCSSPSRPTRTVTHPLGATAAAAICCWCLLCMIVARQARSSSRARCSCLVGCLKKNRGEGTWGAG >Sspon.02G0001860-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:6038895:6045390:1 gene:Sspon.02G0001860-1A transcript:Sspon.02G0001860-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYEEEMPFTITVFKSGPLFISSKGIGWKSWKKRWFILTRTSLVFFKSDPSTLPQKSGEVNLTLGGIDLNNSGSVVVREDKRLLTVLFPDGRDGRAFTLKAESSEDLFEWKTALEEALAQAPNAALVMGHNGIFRNDTTDVYEGAIPNWREKRPIKSLVVGRPILLALEDIDGSPSFLEKALCFLEKHGIKVEGILRQAADVEEVDRRLQEYEQGRTEFAPEEDAHVVGDCVKHVLRELPSSPVPASCCTALLEAFRLEIKESRIKSMRAAISETFPEPNRRLLQRILKMMHTIASHTAENRMTPSAVAACMAPLLLRPLLAGECEMEDDFGMSGDNAAQLIAAANAANSAQGIVTTLLEEYESIFNDEHFRCSLSPDSQTGDSGSEESTDDETVDIKDNGFHDAENDVDQELDDAERILSGKLSETSASARADLNDYKEVNGKNSDAELSEDNTFESNVDLNDAPLSRLTENGKDPSNLVFTHETPLSVGEILLSLDAGIPLPGRAAEYSNDRHSSKPNGTQQHVKRTNLWGRSNVSNKLAIQRLEVMKNDLQIRIAKEARGNAILQASLERRKQALHERRVALEQDVSRLQEQLQAERDLRAALEVGLSMSSSQFSSSRSMDSKTKAELEEIALAEADVARLKQKVAELHLQLNQQRQHQYSSSADANDLPQHLPNHLSQNIVQTGFDRSIAFCNQEKKQRNEESLPSTSHWRSIKQHVLSHGSSRPFSLKHSLDTSLSDSREASTSVPAEGGPMLVNIPRTTEVRETPGYYAALTFFRKKKILGFEFSISCCDLQQGVEYGRPPSVPSSTLVELTTRLDFFKERRSQLMEQLHSLDLGHGSTSHGFPYKPSSPWNSP >Sspon.05G0035830-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:81196947:81198163:1 gene:Sspon.05G0035830-1C transcript:Sspon.05G0035830-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGALPDQRKRKAPAPAAAAEDEAEAEVEVEELESEVADLDRRTLEHLRRTAARLPDAAFSRLAPLRPPVRLGTPLFLPANLPCRASFFIYTIITANTSIDIAEVSVVSETSVAEDDQELEKVRILKSKVKANIADLPK >Sspon.04G0000140-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:1278100:1283693:1 gene:Sspon.04G0000140-3D transcript:Sspon.04G0000140-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTPSAGIPPPSFSAASSPSTSYSLLRPLRRCRRLPVPRAAAVPPKRSAPVPATSLPPEAPASAAAAPFSVTSAGTYLGGEDPLVSKLRTQLGVIHPLPAPPVNRSVVGLFALFFFVGAAFDKLWTLRKRRRAERELKVNGSWPQVPTPSFSLFLEEKDLQRKESVEWVNMVLGKLWKVYRTGIENWIVGLLQPVIDNLQKPDYVNRVEIRQFYLGEEPLSVRNVERRTSRRANDLQYQIGIRYAGGARMALALSLKFTKVPVVVPVWVRDFDIDGELWVKLRLIPTEPFLTKLLTEDLPRLFVRPKKIVLDFQQGRAMGPVSGSVASDIIQNVASDLIQEGNKDFVGELSVTLVDARKLSFVLFGKTDPYVAMILGDQVIKSKKNSQTTVIGLPGEPIWNQDFHMLVANPRKQKLTIQVKDSIGLTDITIGTGEVELGSLKDTVPTDKIVTLYGGWGLFGKREAGEVLLRLTYKAYVEDEEDEAMRSEFGAGYASDEDVLDYVGGMSKDSDFVGKERETFMDLLAALLVSEEFQGIVSSEAGSSSREGEQAGSGPESTDRVVTSTSTSAAAADTEASTVSNSSTDTALVWLAAITSVMVLVSSNLGGSGYFNP >Sspon.03G0033060-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:51093825:51098988:1 gene:Sspon.03G0033060-2C transcript:Sspon.03G0033060-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPPRLAALLLLATLLLLAAGSAAGSVFQVRRKFPSGVGGDAGANISALRVHDGHRHGRLLAAADLPLGGLGLPTDTGLYFTEIKLGTPPKRYYVQVDTGSDILWVNCISCEKCPRKSGLGGFCAATYGGKLPGCTANVPCEYSVMYGDGSSTIGFFVTDALQFNQVTGDGQTQPGNASVTFGCGAQQGGDLGSSNQALDGILGFGQANTSMLSQLAAAGKMKKIFAHCLDTIKGGGIFAIGNVVQPKVKTTPLVADMPHYNVNLKSIDVGGTTLQIPAHVFEAGEKKGTIIDSGTTLTYLPELVFKEVMAVVFNKHQDIVFHNVQDFMCFQYPGSVDDGFPTVTFHFEDDLALHVYPHEYFFPNGNDIYCVGFQNGALQSKDGKDIVLMGDLVLSNKLVVYDLENQVIGWTDYNCKCQHAQ >Sspon.01G0052740-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:24291535:24297572:1 gene:Sspon.01G0052740-2D transcript:Sspon.01G0052740-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:5'-AMP-activated protein kinase beta-2 subunit protein [Source:Projected from Arabidopsis thaliana (AT5G21170) UniProtKB/TrEMBL;Acc:Q2V357] MGNASGREEDAVAVDGDGADVEDGGGDSSVRSSERGFPPYGSGGANHVRRACSVGVVGGGGGAGSPPGSPGHSLSPRMFVPQTPVPPLQRAADVTPVFNQILMNEQEEEYDGPPQKEIPALIVWTLGGKNVYVEGSWDNWKSRKAMQKSGKDYSLLLVLPSGVYRYRFVVDGERRCLPDLPCETDAMGNAVNLLDVNDFVPESVESVAEFESPPSPDSSYSFQAPEEKDFAKEPPALPSQLHLGVLNSQNSVESCARPQHIVLNHLFIEKGWGAHPLVALGLTHRFESKYVTVVLYKPIER >Sspon.05G0008160-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:18837950:18849043:-1 gene:Sspon.05G0008160-2B transcript:Sspon.05G0008160-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLLRPAAIAGGRQVWPVAEDEEGAREAEAASQRLVEAVARGDEREAGELLAAGRADVNYAGVVWLRARRVAEAEPREGAAAEARAVHEEIRADVSPLFLAAGNGDVALVRALLAKGADVNGKVFRGYPATAAAREGCAEVAELLVRAGASQPACEEAVVEAALQGQAALAAIYMGSDLVRPRVAVHALVSAAARGFVDVVDALIKCGADANATARVLLRSLKPSLHANVDCTALFAAIVSRQVAVVRHLLQAGVKRDTKVRLGAWSWDASTGEELRVGAGLAEPYDAVWCAVEYYESTGSILRMLLRSGYTSTATHLGRTLLHHAILCGSAGAVQTLLASGADSEAPVKTSRSNRSRPVHLAARLGQPEILRMLVDRGCDVNARAEAGDTAAILCSRHKREDCLGVLVSAGADVALLNSAGDSPASVASSGGWKTGFERAVIGAIRSGTIPRSSDRNVFSPLMFGALCGDATAMEVLLAQSDVDVDEQDLDGCSPIMAAAKTGNVEAFRALVFACANVKLSNKRGETAIGLAQQSKKRDLFEQVMLEFALEKGMPGGFYALHCASRRGDTAAVRHLASTGCDVNIPDGDGYTPLMLAAREGHAGVCELLISYGARCDLRTPRGETALSLARAALATTGFNKAEDVIMDELGRQVVLQGAHVRKHTKGGRGRPHGKSLRMVAAAGVLRWGGSNRRNVICREAEVGGSSAFQRHRQRKGDAYEPGLFRVVTATGREVHFVCQGGEEAAELWVRGIRAVTRASFGKRGK >Sspon.06G0021470-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6C:16878055:16880477:1 gene:Sspon.06G0021470-2C transcript:Sspon.06G0021470-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAAGAASVVLILIVMGGAAVAYNASTQDDSSSSPSSPSPSGGGSGSGSNLISASKSVKMMCAQTDYRDACEKSLSKAAANASASSPKDIVRAAVAVIGDALGNAFNRSEVIKSNDPRVKAAVADCKEIYQNAKDDLGRTLHGIDASSMDGVAKRGYELRVLLSAVITHMETCIDGFPDGDLKKQMTDTMESGKELTSNALAIIEKASSVLVTLHIPGFTHRRLLGNNQEENMENELKVNHSGTFRGRDAAAPASDNRRLLSIDEEEYMENEPKVKHSSTFLGELKDDVMAADNRRLLSIDEEENMENQPKAKHSSTFLGELKDDVTAADNRRLLSVEEEGNMENQPKVNHSGTFLGELKYDVTAANNRRLLSVEEEGNLENQPKVKHSGDTFLGELKDDVAAAGNRRLLSIEEDTPQWVNGPERRLLKGNFQGKLKPNVVVAKDGSGKFKTINDALNAMPKQYTGRYLIYVKQGVYEEYVTITRAMENVTMYGDGAMKTVITGSRNFADGLTTYKTATFSKTKAIKHPFRTRGLKSLTDAVVAADAQGDGFIAIALGFRNTAGAAKHQAVALLVQSDRSIFLNCRMDAFQDTLYAHSKAQFYRNCVISGTIDFVFGDAAAVFQNCVLLLRRPMDNQQNIATAQGRADGRESTGFVFQYCRFTAEAALRDASRPPIRSYLARPWREYSRTLIMECDIPAFIDKAGYLPWNGDFGLKTLWYAEYANRGPGADTAGRVAWPGYKKVISKEEADKFTVQNFLHAEPWIKPTGTP >Sspon.07G0018450-1T-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7C:77875503:77878563:1 gene:Sspon.07G0018450-1T transcript:Sspon.07G0018450-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATMATRSTAALLSLALLVLASSALPASVAVTSPYVRPPPRATLSLPRDDDADGQTPQQ >Sspon.04G0007060-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:16642793:16645040:-1 gene:Sspon.04G0007060-1T transcript:Sspon.04G0007060-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAASSREADAARAAAREHTKRCRERRRLAREAVRLRRHLATSHAAYLRSLGVVASALTRFAVGEPLPVSDHTPPAVIAHRPVVAPSSPPPLLRAMEQPQAQGVQARQQEGGSGVSADVVGVAAPPTRTEGVGVGVGGAEEELRMVVRHRSLAEVAAGLEEYFVKASVAGDTVSSLLEASTTEFKGGSHSFLGTLCCLSAPAVDRIDSMSSRQRHSATLQQLLAWEKKLYKDVKHTITMQAREKLQIRHDKKLAELRDQEYSRKIDVDIQKLKAAWDRARAQLEIASQSVDATSSAIAQLRDTHLARQLLELCHSTLDMWRAMRQHHEAQGRFAQQLRGLSSRTSMEPTTEIHHQATRALEAAMNAWSAAMAHMAKHQRDYVHAIGGWLKLTLTPVSGAAEAVASPVAAERRRWGKVLDRVHCVDVLKAIKSFAGEVRAIHALQGDELRVARRVRQYSRELDRKSRMLRQVEKSYYDSYLPGVMSMLHWGRPAWRDHMQARDAGNEVAQRRDEIAACRKMVEDEMRRHAKAIDATRTATVTGVQGKLPAVFQSMAAFSASLANSLEAACRAPQQ >Sspon.08G0001460-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:2495183:2499815:-1 gene:Sspon.08G0001460-1P transcript:Sspon.08G0001460-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAQRSPAMAGVGGAAAAAAAGTAVGMPSQGTVPVRRRCEGTAMGAITLDLRPGLGVGPFTLGMPISDAFAQIERQPNIYDVVHVKYFDEEPLKLDFVISFPDHGFHLRFDPWSQRLRLVEIYDVKRLQLRYATALIGGPSTLATFAAVYALFGPTFPGIYDKERGIYTLFYPGLSFAFPIPSQYTNLFTNGEVADLPLEFPDGTTPVTCRVCIYDSSTDSKVGVGSLMDKAVVPALPAGSLYMEEVHAKLGEELWFTIGGQHIPFGASPQDVWTDLGRPCGIHQKQVDQMVIHSASEPRPRTTLCGDYFYNYFSRGIDILFDGQTHRIKKFVLHTNFPGHSDFNSYKKCNFVIYDAEAEGTVQPGNVSTNCITPSTKWEQVKEILGDCGRAAIQTQGSMNNPFGSTFVYGYQNIAFEVMKNGYIATVTLFQS >Sspon.01G0007630-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:14520660:14524498:-1 gene:Sspon.01G0007630-3D transcript:Sspon.01G0007630-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tubulin alpha-1 chain [Source:Projected from Arabidopsis thaliana (AT1G64740) UniProtKB/Swiss-Prot;Acc:P11139] MREIISIHIGQAGIQVGNSCWELYCLEHGIQPDGLMPSDTSLGIARDAFNTFFSETSAGKHVPRALFVDLEPTVIDEVKTGAYRQLFHPEQLISHKEDAANNFARGHYTVGREVVDLCLDRIRKLADNCTGLQGFLVFNAVGGGTGSGLGSLLLERLSVDYGRKSKLGFTIYPSPQISTAVVEPYNSVLSTHSLIEHTDVVVLLDNEAIYDICKRSLDIERPTYTNLNRLISQVISSLTTSLRFDGAINVDITEFQTNLVPYPRIHFMLSSYAPIISVEKAYHEQHSVPEITNSVFEPSSVMAKCDPRHGKYMACCLMYRGDVVPKDVNAAVHSIKTKRAVQFVDWCPTGFKCGINYQPPTVVPGGDLAKVQRAVCMISNNTAVAEVFSRIDRKFDLMYAKRAFVHWYVGEGMEEGEFSEAREDLAALEKDYEEVGAEVEDDEEEAEY >Sspon.08G0001730-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:3428929:3431767:1 gene:Sspon.08G0001730-3C transcript:Sspon.08G0001730-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALPSKLVIIANNCPPLRKSEIEYYAMLAKVTVHHFHGNNVDLGTACGKYYRVCCLSIIDPGDSDIIKTTPGEQTFLGDH >Sspon.05G0009770-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:27998633:28000745:1 gene:Sspon.05G0009770-1A transcript:Sspon.05G0009770-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AMLPPCAAAVLLLLALAAGAARGADDLASDTAALQAFLAPFGSATVSWNSSQPTCSWTGIVCTGGRVTEIHLPGEGLRGALPVGALGGLTKLAVLSLRYNALSGPLPPDLASCVELRVINLQSNLLSGDLPAEVLALPALTQLNLAENRFSGRISPAIAKNGRLQLLFLDGNRLTGELPNVSMPSLTSFNVSFNNLSGEIPTSFGGMPATSFLGMPLCGKPLTPCRAPSSEAPSSQSPTLPPEPPAPTTDTRGRGRHHLAGGAIAGIVIGCAFGFLLIAAVLVLACGALRREPRPTYRSHDAVAAELALHSKEAMSPNGYTPRVSDARPPPPPPSVPPAAAAGRKKLFFFGRIPRPYDLEDLLRASAEVLGKGTYGTTYKAAIESGPVMAVKRLKETSLPEREFRDKVAAIGGIDHPNVVPLQAYYFSKDEKLMVYEFVAMGSLSSMLPGNRGSGRSPLSWESRRRIALASARGLEYIHATGSMVTHGNIKSSNILLSRSVDARVADHGLAHLVGPAGAPTTRVAGYRAPEVVADPRRVTQKADVYSFGVLLLELLTGKAPTHAVLHDEGVDLPRWARSVVKEEWTSEVFDTELLRHPGAEEEMVEMLRLAMDCTEPAPDQRPAMPEIVARIEALGGMASASRAQSAGRSASMDEADDRPLRTTGSIRQS >Sspon.07G0020740-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:77802838:77811471:1 gene:Sspon.07G0020740-1A transcript:Sspon.07G0020740-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MWKNGGSKGEWKIGNAGLPNGEATCGKPVLRGTAPLRCADHDPKSEKLIVEALRNAGIDLPLTTKSVPKLSLLISETVREIQLKRKLSMNGGKTATSDLENWRKLYNLEQLSPCKIPSLILERKKTNLELSTARGGVLIGYTRTIHCGGLHPGIMPIRVAPRAEHVETESDELQHGEEPVEEIEAAGVEVGAAEPPMAAVAATTASAAEPRPDAEDAEDEGAADGFHARGHLAVEELEQADEGGDVQDAEEDELRRQPERRHGRGAAPPAAAALDQGGRGDGQDAGGEPDPRALQVRHPAGVPRRAPQPRHDGAVVDQQDEDLDRDGDDGEARRRDVDAAQPGVHGPCLLHGEGEEQRERDVGEDGAEEDGNHAEDSLGLLHLRHRAQRPRPRVAVVHRRLVKEPWTEKKGRSHGSSYKGPKSQKMIHK >Sspon.02G0007640-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:39733731:39736031:1 gene:Sspon.02G0007640-2B transcript:Sspon.02G0007640-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRSSGCIGNLSKSQSLPDSKLKRPLRKKSQDSSTGNRRRWQSGLEAMEKNVSISVSLEGNISSIPNSIVNDSKTSMENGVDTSFINHAAEAWAEMRRQWVGHRTEVPRKAPREPVISWSTTYDDLLSTSEPFPQRIPLSEMVDFLVDIWHEEGLYD >Sspon.02G0034060-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:10007560:10017273:-1 gene:Sspon.02G0034060-1B transcript:Sspon.02G0034060-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGLLAAVLAAVASLAAHVALNCPVQPVPSPPPPLTPPPNNLLQRLEKLGEGALDAPEDVYVDAAAGGAVYTATRDGWLQRMHPNNGSWERWRFVGGTGLLGITPSGDGTMLVCDADKRRPPVRPSAARFADAAIEASDGTVYFSDASTRFGFDRWYHDFIESSTTGRLLRYDPRSGETSIVLDRLGFANGVALPRDETFVVVCESTRWCRGAQLTMAPGLLGAAAAVLAAVASLAAHVALNCPVQPVPSTPRPPTPPPNNLLQRLDKLGEGALDAPEDVYVDAAAGGVLYTATRDGWLQRMHPNNGSWERWRFVGGTGLLGVAPSADGTMLVCDANKGLLRVGEEGVTLLASEVEGSTIRFLDAVIEASDGTVYFSDASTRFGFDRWFYDFLESRATGRLLRYDPRNGKTSVVLDRLSFANGVALPRDETFVVVCETRSSPWLDFITRWTFTKRVVASFPVLLKWSKATIKGAMVAQVSDDGNVVRVLDDSEGKVINSVTSVTEFNGDIFLGSLSTNFALRGIKYQLPGASCFFGTNISSIGEEVVTMATGLLAAAVLVAVASLAAHVALNCPVQPVPSTPRPPTPPPNNLLQVNESSRKILAARQFVVAVSCACVTLTRAVQRLEKLGEGALDAPEDVYVDAAAGGTLYTATRDGWLQRMHPNNGSWERWRFVGGTGLLGVAPSADGTMLVCDADKVGFADAAIEASDGTVYFSDVSTRFGFDRWFYDFLESHATGRLLRYDPRNGETSVVLDRLSCANGVALPRDETFVVVCETWRFSCIKVWLKGEKAGKAETFVDLPGAPDNIRLGSDGHFWIALHLSSPWLDFITRWTFTKRVVASFPVLLKWSKATIKGAMVAQVSDDGNVVRVLDDSEGKVINSVTSVTEFNGDIFLGSLSTNFVGKLSLAQVTQQEQGAVSS >Sspon.05G0018490-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:79379151:79383784:-1 gene:Sspon.05G0018490-2B transcript:Sspon.05G0018490-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRSWLWKRKSSDKSPGESDSSGSASSHSEPYFDDQPEIYQSPLPEVSPNIRNDDVQDSVKNLNEKVSPKVKDDDVQDSVKSLNEKLSAALLTINAKEDLVKQHTRVAEEAVAGWEQAEAEVASLKQLLETASQKNTSLEDQVSHLDDALKECVRQLRQAREEQEKKIRDTVAKKSKELESEKSELQHHIAELSKQLEATKLEATTVRVQCDLQEKLQIVEKENKDLKVELLALSKDLKILARERDLSNQAAETASKLHLESVKKITKVEAECLKLRHLTRPDDEMKNSDSWASALIAELDQFKNANTGTRNLVNNPVEIDLMDDFLEMEKLAALPEVDCVSSSFGAETDSDQGVTRDKSSKVETESLQCQVTDLLAQVEKIEGEKRELEMALADARDQLGTSCDTLIVANNKLIDLQMQLDLANESKHAAFGEAERLDGERKDLALQLESKSAQVDELQLMVASLEERVDRKELELQLEVISAQAADLRKTVASLEEKIDAERTLSMQHKANADMAEASKESLEAQLQSANTEIGKLKGIVQTLEIEVRKETDSCEELLKQIETMKTESERSLSVVSTKESLEAQLQVANSEVAKLRGMVNALECDAAKEKAYSSDLQMQLEAVEGIRKVLESELESSHQEVMKLKEKVSSLEVRLKDQTSLLVEFTAKSEDAVSRKKAMEGQLEAANLEVTKLRNKVSLLQGKVEQEKLLSEEYEAKCRKLEAQVSRDSREAKLWRLTNSNGDLKVKQEKELTSAAGKLAECQKTIANLGRQLKSLTDLDGVAPDPEKLEAKDTHLDFRDGDDDLQSADVADGLYELGLPKRN >Sspon.04G0007130-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4A:20368859:20369542:-1 gene:Sspon.04G0007130-1A transcript:Sspon.04G0007130-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLLRPLVLALLIASCAAQQSPPAQPPPTPNAPPSNSPPQAPPAPQAPPAGNPPPAPTATPPPAPTTTPPPAPPTTPPPAPTTPPPAPPTTPPPAPTTPPPSPPASPPPAPTTPPPSPPASPPPAPATPPPSPPMAPPPATPPPPATPPPPAAAPTPAPSVAPTLPPVVTPAASPKSPKAPTPAAATSPSLSPTGTPTNEDSGASARAASFATVVALAGAGLAVLL >Sspon.03G0003320-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:8313990:8316330:-1 gene:Sspon.03G0003320-1A transcript:Sspon.03G0003320-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEMSWQEDPPLQLSFRRVSIGFQFFFITAPVANSDLASLDTVMAYVRSASDSGVDTLVLILLWFICFNGSRFATDVFESRKKK >Sspon.03G0021880-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:85625850:85632738:-1 gene:Sspon.03G0021880-1T transcript:Sspon.03G0021880-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQILLHGTLHATIFEAESLSNPHRASGGAPKFIRKLVEGIEDTVGVGKGSTKIYATIDLEKARVGRTRMISNEPINPRWYESFHIYCAHMAADVIFTVKIDNPIGASLIGRAYLPVTDLLDGEEIDKWLEICDENREPIGDSKIHVKLQYFDVFKDRNWARGVRSTKYPGVPYTFFSQRQGCKVTLYQDAHVPDNFVPRIPLADGKNYEPHRCWEDIFDAISKAQHLIYITGWSVYTEITLVRDTSRPKPGGDVTLGELLKRKASEGVRVLMLVWDDRTSVGLLKKDGLMATHDEETANYFHGTEVNCVLCPRNPDDSGSFVQDLQISTMFTHHQKIVVVDHELPNQGSQQRRIVSFIGGIDLCDGRYDTQYHSLFRTLDTVHHDDFHQPNFEGGSIKKGGPREPWHDIHSRLKGQLLGMFFTTLSRDGGSRVVRTSSYVSGIFLTLLFPLLL >Sspon.08G0012110-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8A:52006224:52006565:-1 gene:Sspon.08G0012110-1A transcript:Sspon.08G0012110-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DQQAAAAQLLLLKEDAAGAGETAASGGERKFECHYCCRNFPTSQALGGHQNAHKRERQHARRAHLEASFAAHCGVYLPGAHLYGLFGYGGGGGHTALPPAAHYPAAVWTGTVPG >Sspon.02G0018880-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:60619459:60624535:1 gene:Sspon.02G0018880-1A transcript:Sspon.02G0018880-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRASASAVPMEVSASARRSATGPDPGGAKKPRLAQPPPPRDPRSYAAAASSNGAAEQALVDELLGQYRTALGELTFNSKPIITNLTIIAGENLQAAKPIAALICANILEVPSEQKLPSLYLLDSIVKNIGKDYVKHFSARLPEVFCKAYKQVDPAIHHSMRHLFGTWKGVFPLPPLQMIEKELGFQSSANGSSSAAPSRTDSQSPRPSNSIHGILGSGAKTTVIADTVDDIERANRLGTDRNAGRRLEAPNARPNIQRTQRDPFSNPVHEKQAGRDVRGLGFSNISQQAAVGTGQVRSKPKGQDGIGGPYYASGVGSSEEQFDRRSNFYASKDVRPSGSVRLDSALLPTPSINADRVGRPSSNKSWKHSEEEEYVWDDVHSKAAEYGGSNNVIKGEWMSDDGNAKFASLQRAKWAEAGPVERIDPNTHKLDNVSRFGLAAGHERRISAYMDQEEYLLGKREVEARIDREIRPEGQQFPPPRGSSLWVSQEKTLPDIGLDPRISRLSNQPAERSTIYTGTMTASITSSVPVGLSGHYAGRSSLDTANIVPIRSTEAFGQQKHRYWSSSPPQAHSPSSTAPFARQGSPNPAESDFYPSRSFSQLGQNPQEEYSQRALPVLAKDSHEPSQQATLQTQQYPTLQSKSHIKPSDPLQASFSRENSPSLFRPSHLGEVSLPSDSTPISSDLTSASNLLAGLIKSGFKPNNHSDAQLLGPSGSLPVASLSLQNTAGENTTLHTQTPDTSRPPLPPGLPPPPSTQSAEKAAPLSSLLSSLVAKGLISSPASDSSNAVLSQPKKASSMNAKDVTASAVPLPALKPSVGKVSSNSDSSAPTNASLPKAIETKMGDLIGLEFKPEKLRKYHEHVISSLFDDQSHQCKTCGIRFRLEEELSLHTSSCGPRESETIYTGIAPKRWYPSKNIYIDGSHEIEDSTEASDGDLGSTEEVCEFMVPADERQSICALCGEPFVDIYSLEKGNWMYKDAVFLDYPKGESSCGNNVEPEEHVPIVHVRCMPRGSNDDMEVD >Sspon.08G0016710-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:65709642:65713512:-1 gene:Sspon.08G0016710-1A transcript:Sspon.08G0016710-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSIHQLEEHGDEEGENDDRHGSQITSPLRILTSLFPCSGKWDSYCSVRFISVLCPCHAVQPSSRRRREVGDDDDDSSPIEQVALTVPVGDDPATPVLTFRMWVLGTASCAALSFLNAFFGYRKEPLTITAVSAQIAVLPLGRLMAAALPEGAFFRGRPWEFTLNPGPFNMKEHVLITIFANAGAGMVFGMNLVTSVRVFYGQHMSFFVALLIILTSQVSEVNEELVFLVVFDNHEPFQTSGSLDELGWFGYLIVHQVLGFGWAGIFRRYLVEPAAMWWPSNLVQVSLFRALHEKERRRKGRMTRNQFFLVAFICSFAYYVFPGYLFQMLTSLSWICWVFPSSVIAQQLGSGLHGLGIGAIGLDWSSISSYLGSPLASPWFATANIAAGFFIVMYIITPINYWFNVYKARNFPIYSDGLYTETGQKYNISSIMDSQFYFDTDAYEKNGPLYISTFFASSYGLGFACLTATVSHVLLFHGRFCYQLPLLVRSAKVHMKLKLQLSKSAFKDKKMDIHTKLMRRYKQVPEWWFICILVASVAVTMLTCEYYIEQLQLPWWGVLLACALAIFYTLPIGIIKATTNQAPGLNVITEYIMGYLYPGRPIANMCFKVYGYVGPRQALEFLQDFKLGHYMKIPPRTMFMAQVVGTLIAGSVNLGTTWWLMDTIPNVCNRELLPADSPWTCPYDNLFYDASVLWGLIGPRRIFGDLGTYSAVNWFFLGGAIAPLLVWCTQKAFPGQKWIRHVNVPVLIGATSLMPPGTAVNYTTWILIAFLSGYVVYRYRRNWWERHNYLLSGALDAGLAFMAVLLYLCLGLENKSLNWWGNDLDGCPLASCPTAKGITVDGCPAHN >Sspon.07G0011280-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:36604103:36607111:1 gene:Sspon.07G0011280-3D transcript:Sspon.07G0011280-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFPSTRRHDLTNSLKKLQCRTLIFVGENSEFHEDAIHMTTKLDRRYCALVEVQDCGSLVTEEQPYAMLMPMEYFLMGYGLYRPYQLSSSPRSPLSPCCISPELLSPESMGVKLKPIKTRDGVGGRVDGCCRLVKDQYLVPSEENTRKAEELPLTSAPFADLPDILIRMQTQRIHITPYTASEKCWLLWNDAELHPEILQSNGANVKIVNEYSSAVWVDKAEQCTQKSRLPTPSSANNSNLVPS >Sspon.04G0007520-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:21395061:21395747:-1 gene:Sspon.04G0007520-1A transcript:Sspon.04G0007520-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKIIVILLVLAAASPAALAAFDVIQMLADKPRYATFSKLLQQTKVAGEANQLRAATLLVVPDKLVKSLASLPDDKLRPAVANHVLLSYFDPIKLDEMKTRTAILPTLLSNTDKKLGVLNYSRADDGQMYFGAPGASCVAKLVKVVAARPYSVSIMEVSEPIVPAGFMTSTVAAPGRRGKGGKGKIKPSAAGIEDSKMVVRKPGEGVSGKVSKDTGSESAGPSPAPY >Sspon.02G0004880-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:15001488:15005448:-1 gene:Sspon.02G0004880-2B transcript:Sspon.02G0004880-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGFSVDFDTADVVKRLKEELSQRNRGHEMLESWNGDPCSPSTWEGFSCEPKDGAQVVVKLNFSVKNLQGPIPAAIGNLTELNEIYLQYNNFTGFIPASFSALRHLLKLSVICNPLLNNKQPDGFSSGVNFSHGGCATQEYYSSPAEDYQSPPTVASHRVFVIGGVAGGSLACTVALGSFFVCFNKRERRSPKKDCSSTTNPVFQECSIDNTTNPAVQQLSLKSIQTAIGNFKTLIGEGGFGSVYRGALANGQEVAVKVRSTSSTQGTREFNNESHLSAIAVKKINRYWSIRSCPMAHYKIASTVRHQKEKFLIGPRDCLFALVLLEILFHSGVINQKRCLQFWSSPAKPYIREYKIEEMVDPGIKGQYCSEAMWRVLEVASVCTEPFSTFRPTMEDVLRELEDALIIENNASEYMRSIESTGTLGSNRYLSIDKEDVCIRVGANRLN >Sspon.04G0017620-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4A:64209667:64211671:-1 gene:Sspon.04G0017620-1A transcript:Sspon.04G0017620-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDVVGGQSPQESDVSAIYQGSRYHATPPRRDVTPSTSPSPDQKVKALHPGTIQRGESRSIDASKEECGTRRRHRHWSQGHGFRHGASPPCAALANAHTHCASHHPLAKPTWPRCHQPNLWQQLPAGRCGPTQHRRTSRSLQTTAAGSGVRRRRCWPSPVASGSPRRIHPAFRSPASTPLPDPRAPTSNHQCASMRPDLTGGDGFAVREPKSENRRPRRQATTSTTNDPSMMHRIRSSSPLNLYRYAALLQTRQAAASPRSQPCRATHQAPPLQAPAQALADATTAAGGGPAEHHAALARPHPAGPRSPVPPLRRRVRLCDERRRALEIACTATSQQPPELLPRWSLQSHLPCSTMDLERSRRTGSLPGGHENNNAHQRLRLRLPPAPPGPPRPLLGREPREAAHLLLRTEARSHQARTSSASVGTTTTAACRQCQQWLCRRRRLRLLLHWAAPLQLPSSAISPSPRAPGPSAAISASRASLTGGPLWRRRGGRGKEGWRRRRLGSHPSRLHGGDAGEADLRI >Sspon.05G0013150-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:16291493:16294211:-1 gene:Sspon.05G0013150-2B transcript:Sspon.05G0013150-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:At4g28530 [Source:Projected from Arabidopsis thaliana (AT4G28530) UniProtKB/TrEMBL;Acc:Q8GUJ6] MGLREIESTLPPGFRFYPSDEELVCHYLYKKVANERAAQGTLVEVDLHAREPWELPDAAKLTASEWYFFSFRDRKYATGSRTNRATKSGYWKATGKDREVRSPATRAVVGMRKTLVFYQGRAPNGVKSCWVMHEFRLDSPHTPPKEDWVLCRVFQKRKDSEQDNGGSSSPTFAGASQSQGVLPDQPSMMDASYVVDQPGSSVGFAPPPQENLNLGGSLDALLMNGAMWQYSSSSVFDHFPQQDVISSPMMGLGSRGGGGDGCSFFYDSGFEDMANIGGMGFPQGWMG >Sspon.01G0009440-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:4188012:4189546:-1 gene:Sspon.01G0009440-1P transcript:Sspon.01G0009440-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGVVAAKGGAAAGKKKGSVAFTIDCTKPVEDKIMEIASLEKFLQERIKVAGGKAGSLGDSVTISREKTKVTVTSDGPFSKRYLKYLTKKYLKKHNVRDWLRVIAANKDHSVYELRYFNIAENEGEEED >Sspon.02G0014040-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:39810994:39819787:-1 gene:Sspon.02G0014040-2C transcript:Sspon.02G0014040-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAHLFCSAPAASPAANPRPLPTRGRHAHRAIPSRGFFPCRASLSPDGSLAVLGPPSPRTAPPMRKPYLREHSCLIFPPPRGRRPLAVIKFLGGAFIGAVPEVTYGYLLELLAREGFLVVCVPYNVTFNHEAAAREVFQRFHACYDALLASGLPEASLSALDIAELPLYSVGHSNGALLQLLVGSYFSEKIPKANAIVSFNNRPASEAVPYFEQIGPLFSQLMPMMEASPVYSVARNASGDAWKALFDLAGGFLREYDQEAMVSLSKFVVQLPSVMNQVKFSVDAIDDTDIVEDVLRPRIDSIGGQIKKSKGADRHSEELHHKPTEGATEAGDHTEPSDKFFNNAFRKGVTSKGRTVDDIAASHTTCKPCRSHWRPRAAAGALTPVRGSSAGRREREPASHRKLLPLSAKKPAAGAEAKKPSPVSSTGCSVCRPADPVPAHPHPFLAAVVCHEEQAAGNGDLGGADPGGAHRLAWQAAATAAHGHLRPRACTGCGRLATRPSDLAATCLEAQPSARHGPAQARSGHKGGGAATTEPQQARSSA >Sspon.01G0025670-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:90092104:90092655:1 gene:Sspon.01G0025670-3C transcript:Sspon.01G0025670-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLSRPFVEYLIWGWDNLPRTALMYYANFISSPEGYFHTVVCNAEEFRNTTVNSDLHFIFWDNPPMQHPHYLTVDDWDRMVASDAPFARKFLRDDPVLDRIDANILGRRGPGMVAPGGWCQAAAAAGGGGQNSNGTNDDPCAAVGNAAFLRPGPGAERLQRLVTSLLSEENFRPRQCVVEDDN >Sspon.02G0004430-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:16883552:16906916:-1 gene:Sspon.02G0004430-3C transcript:Sspon.02G0004430-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSDSNSATGGKTQNVSVPPVEGVAGGGTSYGWVDGGLRGTNLGAGVIDPTKIHSEDLLHVWSMPSTANVSQQEAPRPLEKINLLAARNERESFQIALRPKVSWATSGIAGSVQIQCTDLCSSSGDRLVVGQSITLRRVVPILGVPDALVPIDPLSPQVNLQPGETAAVWVSLNVPCGQPPGLYEGEIFITAVKTELDSRTESLPKSEKYRLYRELRSCLDLTGPIDYSSPEEMVQRLTSASTALRRVLDNPALQDCQENNGFGDMMDEDVMNNVSVRLKLSLTVWDFTLPVTPSLPAVFGISETVIEDRFCLEHGTEGWYSALDHHFRWLLQYRISPFFCRWGDSMRILAYTCPWPADHPKANEYYSDPRLAAYAVPYAPILSCTDAAKNSLRREVEILKSKPHWSKAYFYLWDEPLNVEQYDMICNISNELRSYAPDVRILTTYYCGPSGSELAPSTFEAFVKVPNVLRPHTQIFCTSEWVLGTREDLVKDIVAELRPDLGEEWWTYVCMGPSDPQPNWHIGMRGTQHRAVMWRVWKEGGTGFLYWGTNCYEKAMIPSAEICFRRGLPPGDGVLFYPGEVFSSSHEPVASTRLERILSGMQDIEYLKLYSSRYGREEGLALMEKTGMYLGPDRYTLDHGPVDVMRGEVYRTCRS >Sspon.08G0014410-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8B:54286260:54286652:1 gene:Sspon.08G0014410-2B transcript:Sspon.08G0014410-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTKQTARKSTGGKAPRKQLATKAARKSAPATGGVKKPHRFRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSSAVAALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRI >Sspon.01G0022170-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:84059768:84061032:-1 gene:Sspon.01G0022170-2B transcript:Sspon.01G0022170-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding YQNTQGTDSDSDPNNTTVFVGGLDPSVTDDLLKQTFSPYGELLYVKIPVGKRCGFVQYSNRASAEEAIRVLNGSQLGGQSIRLSWGRSPANKQPQQEQNQWSGGYYGYPQGYDPYGYARPPQDPAMYAYTPYPGYGNYQQQPPQQPPPQQ >Sspon.05G0010440-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:32155883:32167625:1 gene:Sspon.05G0010440-1P transcript:Sspon.05G0010440-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSTANTNAAESHLHCSTFASRYVRTALPRFKMPEQSIPKEAAFQIINDELMLDGNPRLNLASFVTTWMEPECDKLIQSSINKNYVDMDEYPVTTELQNRCVNMIAHLFNAPIGDDETAVGVGTVGSSEAIMLAGLAFKRKWQNKMKAAGKPYDKPNIVTGANVQVCWEKFARYFEVELKEVKLKEGYYVMDPEKAAEMVDENTICVAAILGSTLNGEFEDVKMLNDLLTAKNAETGWDTPIHVDAASGGFIAPFIYPELEWDFRLPLVKSINVSGHKYGLVYAGVGWVIWRSKEDLPDELIFHINYLGADQPTFTLNFSKGSSQIIAQYYQLIRLGFEGYKDVMQNCRDNATVLREGIEKMGYFDVVSKDSGVPLVAFSLKDSSKYTVFEVAESLRRFGWIVPAYTMPADAEHVAVMRVVIREDFSRGLAERLIADLGKTMADMDAHAGRKAPEQPKKSVHDIEKEVTTFWRRLVANNKKKSSM >Sspon.05G0009780-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:30578663:30581692:1 gene:Sspon.05G0009780-4D transcript:Sspon.05G0009780-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPASEELERRSRYLSALVRRTKLADPPQPEPEAEAVETKAKADVEPELKAPPRPHVGGEGKGGKEEEAKAEEKERTAVAAKARGEVKAAEGRKVAVCVRAADMPLPLQRRAVRVAVEAVAAMPRLESKRLALALKKVTVDTGQRRFSSCLFEPVDFDTTYGPAWHCIVGTSFGSYVTHSLGGFLYFSVDKVYILLFRTAVQPLAQPQ >Sspon.03G0030700-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:22044727:22048746:-1 gene:Sspon.03G0030700-1B transcript:Sspon.03G0030700-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRCLMFMLLFLVSSLISTVGLPVETPSELLQLGGGDVGGGRLSVDASDIAEASRDFGGLARAEPMAVFQPRVAGDVAGLVRAAFGSARGFRVSARGHGHSISGQAQAPGGVVVDMSHGPGAAARARALPVYSPALGGHYVDVWGGELWVDVLNWTLAHGGLAPRSWTDYLYLSVGGTLSNAGISGQAFHHGPQISNVYELDVVTGKGEVVTCSETENPDLFFGALGGLGQFGIITRARIALERAPQRVRWIRALYSNFTEFTADQERLISLGSSGGRRFDYVEGFVVAAEGLINNWRSSFFSPQNPVKLSSLKHHSGVLYCLEVTKNYDDDTAGSVDQDVDALLGELNFLPGTVFTTDLPYVDFLDRVHKAELKLRAKGMWEVPHPWLNLFVPASRIADFDRGVFRGVLGGRTAGAGGPILIYPMNKHKWDPRSSVVTPDEEVFYLVAFLRSALPGAPESLEALARQNQRILDFCAEAGIGAKQYLPNHKAQHEWAEHFGAARWERFARLKAEFDPRAILATGQGIFRPPGSPPLVADS >Sspon.07G0002240-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:5699032:5701719:1 gene:Sspon.07G0002240-2P transcript:Sspon.07G0002240-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDASSSLVLVVLTLHSALTAAAAADLPAAQPRHRRLLLQQDTNLAALPLPAKVPAVPVDAHVPSHGTGSFPAASTSPQGRDGVPKLQRSELERACEDFSNIVASYPHYTVYKGTLSTGVEIAVVSTMITSSKEWSEHSESCFRRKIDTLSRINHKNFVNLLGFCEEEEPFTRMLVLEYAPNGTLYESLHAEDFEHIAWRGRMRIIMGLAYCIQHMHELNPPVVHPDLQSSSILLSEDGAAKIADMSVWHEVISEGKMMTNGELDHHQEQVSAGLAGNVHSFGVLLLEIISGKLPFPYPGHERSLVSSALECITNDDLSIASLLDPTLGDHIHREDELAIIGEVIRACIQSDPRNRPSMREVAARLREAIGISPVAATPRLSPLWWAELEVLSTAEAN >Sspon.02G0016990-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:48302631:48303373:-1 gene:Sspon.02G0016990-1A transcript:Sspon.02G0016990-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIRVQCGCGESSCPEWAVVELQGVAGYTFTVGYHELAGTKVTLKKPLLVLRKKKANAVCGEQEPPEVELEVIGIIRHKILFKDRPKALISKPPTKEKKTVQPAAK >Sspon.02G0051040-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:71444530:71447494:-1 gene:Sspon.02G0051040-1C transcript:Sspon.02G0051040-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVAGVEGDVPFDPFLQELLVSGKMELDVKEEISKYLCEFSTVACAIKSNGSNSKVTRVLFCGPYFPASTRYTTKYLRDYPFIEVDEVGLEQVPDAIHNYHLCVVKNRRIDSDIIAKATQMKIIMQYGVGLEGVDVNAATEHKIKVARIPGRMTGNAVSCAEMAIYLTLGVLRKQKLMDAAVHQKDLGSPTGETIFGKTVLLLGFGAIGVEIAKRLKPFGVKILATKRNWSPGSLPCEPFDPEDPILKFSNVIITPHVAGVTEYSYRTMAKVVGNVALQLHSGETLTRIEFVN >Sspon.04G0021770-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:1175787:1178920:-1 gene:Sspon.04G0021770-1B transcript:Sspon.04G0021770-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPIIELSSLNGLKSHERYNGCLLLLFIKGVSHRQNPEEHEPLSSAVGGPSPTPAIVAVDTNLDTSSLDTYRAPPAPLPYDVCFAVAQNPDLEKPDIKIKTDGQPSPKVDEFESCEKGAPEDKADEEDNMMKKIPVQRPNASIISISVAYLNGWREARHAQFVTREFLRLSLLLKRRMAVLSGLLCLN >Sspon.01G0010140-1T-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1C:28398503:28398740:-1 gene:Sspon.01G0010140-1T transcript:Sspon.01G0010140-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding EALAAAAPPPGSLPPAAHAPLAASSGGGGRRPIRVGGAPWGGGQPKGVSGGAGSGGRGAAPPAAPFPRGQLQLPDRLQ >Sspon.05G0024430-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:15207868:15217559:-1 gene:Sspon.05G0024430-1B transcript:Sspon.05G0024430-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPQTTAAGGGGEEAVEEASTLRHRHNAAKNGGEEDGVASSQSQLLAGEGEGNAALSVERAFAEKAVPSWREQLTVRAFVVSFFLAVMFSIIVMKLGLTTGIIPSLNVSAGLLGFFFVRLWTAAIERVGLLKQPFTRQENTVIQTCVVAAYDIAFSGMHAASSSVLLAMQSSSSGFGTYLFGMSETIAKQATEADNPQNVKNPHIGWMIGFLFLASFIGLFALVPLRKVMIVDYKLTYPSGTATAYLINGFHTPEGAKLAKKQVKTLGKFFLYSFLWGFFQWFYTGGDNCGFQNFPTLGLQAYKNRFYFDFSPTYVGVGMICPHIVNVSVLLGGILSWGIMWPLIRNKKGSWYPASLLESSLHGLQGYRVFISIAIILGDGLYNFVKVLIRTTTAFISMMKKNSTLPVSNNGSPITEAVSFDDERRTELFLKDQIPRSVAYGGYVAVAAISIGTLPQVFPQLKWYYILVAYIFAPVLAFCNAYGTGLTDWSLASTYGKLAIFIFGAWAGASNGGVLVGLAACGVMMSIVSTAADLMQDFKTGYLTLASPRSMFISQVIGTAMGCVIAPCVFWLFYKAFSDIGVSGSEYPAPYAIVYRNMAILGVDGFSSLPKNCLTLCYIFFAAAIVVNLIRDLAPKKVARFIPLPMAMAIPFYIGSYFAIDMFVGTVILFAWQMINRAKADAFGPAVASGLICGDGIWTLPQSILALAKVKPPICMKFLSSASGGPAAHAGADALESGASLLRRRHAGGLAGADDDGYGGGNAEEVVVASVEQAFADKPVPSWREQLTVRAFVVGFILSILFSVIVMKLNLTTGVIPSLNVSASLLGFFLVRLWTKAIESMGLLKQPFTRQENTVIQTCVVSAYGLAFSGGFGSYLFGMSRSIAEQATEANDAQNIKDPHLGWMIGFMFLVSFVGLFVLVPLRKVMIVDYKLTYPSGTATAYLINGFHTPQGAERAKKQVRTLGKYFSLSFLWAFFQWFYTAGDDCGFASFPSLGLEAYKNKFYFDFSATYVGVGMICPYIVNVSLLLGGIISWGVMWPLISTKKGSWYPESLPDSSLHGLNGYKVFITISVILGDGLYNFLKVFGHTMYTFISMYWKKHANTLPVSDNGAPPDTTETESFDDKRRIELFVKDQIPKSVALGGYVCLAAITIGCLPLIIPQIKWYHISVAYIFAPILAFCNAYGCGLTDWSLASTYGKLAIFVIGAWAGASHGGVLVGLAACGVMMSIVGTASDLMQDFKTGYLTLASPRSMFISQVIGTVIGCVVAPCVFWLFYKSFDIGVSGGAYPAPYAIMYRNMSILGVDGLSLLPKNCLTLCYIFFAVSFTINLIKDLVPQKVAKFIPIPMAAAIPFYLGPYFAIDMFLGSVILFVWEWKNKAEADSFAPAVASGLMCGDGLWALPQAVLSLANVNPPICMKFLSRSVNAKVDTFLGN >Sspon.02G0045720-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:111652954:111655104:-1 gene:Sspon.02G0045720-1B transcript:Sspon.02G0045720-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSFAGYISDLVGYLPSNLVFLAADSNLLTGSIPSTISNLTRLRVISLSGNQISGMIPDSIALLENLQWLDLSMNSMDNNILVSIFELGLTCSIESAEQRMAMNNVVAKLKDIKKDYSAAMQGLGITK >Sspon.04G0011310-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:34870930:34876735:-1 gene:Sspon.04G0011310-1A transcript:Sspon.04G0011310-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNTGASGWFRGKEVTFRVDYTAPNIGREFGTVYLGDKNVAYSVVSAGWARVKEQGPKGGEQNPYLAELLRLEEVAKQQGVGRWSKEPGAAEESVRDLPPSAIGEASGFDAKGFAVANKGKSLEAIVEQVRDGSTVRVYLLPSFQFVQIYVAGVQAPSMGRRPSVPTVIAETDDTANGVNGEDSEGTPAQLTTAQRLVASAASAEIPPDRYGREAKHFTETRVLNRDGLAKYVEWSANMLDVEVKIKLKNAELQAKKDQLRIWTGFKPPVTNSKPIHDQKFTGKVVEVVSGDCIIVADDAAPYGSPSAERRVNLSSIRAPKLGNVRTDVKPEPFGRESKEFLRTRLIGKQVAVEMEYTRRISTVDGQSAAPTANMSDTRVLDYGSVFLGSPSQTDGDEISSAPSSASQPGVNVAELLLSRGFAKTSKHRDYEERSHYYDALLAAESRAEKAKKGVHSLKESPVMHITDLTTVSAKKAKDFLPFLQRNRRHSAIVEYVFSGHRFKLTIPKETCSIAFSLSGVRCPGKGEPYSDEAIALMRRRILQRDVEIEVEAVDRTGTFIGSLWESKTNMGSVLLEAGLAKLSSFGLDRISDAYVLQRAEQSAKQQKIKIWENYVEGENASNGSTPESKQKEILKVVVTEVLGGGKFYVQTVGDQRVASIQQQLASLKLKDAPVIGAFNPVKGEIVLAQFSLDNSWNRAMIVNGPRSVESPNDKFEVFYIDYGNQEVVTYSRLRPVDPSVSSSPALAQLCSLAFIKVPSLEDDFGQEAAEYLSECLLSSSKQYRAMIEERDASGGKSKGQGTGNVLIVTLVDAETESSINATMLEEGLARLERSKRWDTRERKTALQNLEQFQEKAKKERLRIWQYGDVESDEEEQAPAARKPGGRR >Sspon.02G0021090-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:69363664:69364736:1 gene:Sspon.02G0021090-1A transcript:Sspon.02G0021090-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding KMGSVLVGNTLYFKRGTSYAGEMTIIKYDLPTHQMCTINLQCAVEHGMDGLLIATEDGRLGGVATTINSTLCLLLREQGRLEESRAIQLHGLLPPQALEDKPFVACFADTGAGVIFLRTRVGYFTIDLNSGRSKKVGERGSGLGHIVPYVSFCTPAPGIVSTDEGPVAGVVVSTDDEPGAGVAVSTDEVPGDVAVSTDDGQGDVVVSTDQEPRAGMSSA >Sspon.07G0017280-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:66833572:66837650:-1 gene:Sspon.07G0017280-2B transcript:Sspon.07G0017280-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSDMSSLKKSDKKAALDFAAWSFNVSTSVGIIMVNKALMATHGFTFATTLTGLHFATTTLMTIVFRWLGLSQPSQLPVADLIKFVIFSNLSIVGMNVSLMWNSVGFYQIAKLCMIPASCLLEVVFDHVHYSRDTKLSIMVVLIGVAVCTVTDVSVNARGLIAAVIAVWSTALQQYYVHFLQRKYSLNSFNLLGHTAPAQAGSLLLVGPFVDYLLTGQRVDHFGFSSLALFFLTLSCFIAIGVNLSQFICIGRFSAVSFQVLGHMKTVLVLSLGFLFFGKEGLNIQVVIGMVLAVLGMIWYGNASAKPGGKERRSVLPVRSASLKGSSEEKDSVEK >Sspon.06G0009360-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:49953520:49958282:1 gene:Sspon.06G0009360-1A transcript:Sspon.06G0009360-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAQPKPAKRLGGMAEALAIAADLGFPAPTTQEDQSSSDKSDDLVKVLRELTVVQRNIANLQVELQGRKDDKNIAHLTHVSEMEKKCESLARITTILKDVIQNKDRIIARLQQPYSLDCIPVEAEYQKQFSELLLKAASDYGALTASVGDFQWSQNFRESPAEMLRPIPAALASCTRFFEAMSAMRESFSTLQKLRVGHSSLSLTPSGSSDDSKFLTPPQWRDGSMLDSWKQVEDINPESDGLADAMNQRRLSWPSIKRDP >Sspon.02G0050850-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:54709323:54712541:-1 gene:Sspon.02G0050850-2D transcript:Sspon.02G0050850-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPASVMRAAWQAVRWSVVVPTLQLAVYLCAAMSLMLFLERLYMAAVITGLWLRRRCRKRSRRRHLADELDDDDLAGDDDHCCPMVLVQIPMFNEGQVYRLSIGAACGMSWPSDRLVIQVLDDSTNPAIRELVEVECARWAGKGVRIRYENRSNRNGYKAGAMREGLKKHYARECEFVAIFDADFQPDSDFLRRTVPLLRRDPGVALVQARWRYVNADDCILTRIQEMSLNYHFAVEQEVGSACHAFFGFNGTAGVWRVAALADAGGWKERTTVEDMDLAVRASLRGWRFVYVGDLVVRNELPSTFKAYRYQQHRWSCGPANLFRKVLPEILRSDRVSLGKKLHLLYAFFFVRKVVAHLVTFLFYCVVIPACVLVQGDVRLPKYVAMYVPALITLLNAACTPRSCHLLIFWILFENVMSMHRSKAAVIGLLEASRANEWVVTDKLGGGKQAAAAAAVAARKKKQQELRSRCCSTRREMHVLELAMGACLLYCAVYDIVFFGRDHYYMYLLLQSAAAFIVGFGYVGATAPS >Sspon.01G0049620-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:113536880:113539646:1 gene:Sspon.01G0049620-1B transcript:Sspon.01G0049620-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTDLSDKMVKICCIGAGYVGGPTMAVIALKCPDIEVVVVDISKPRIEAWNSDTLPIYEPGLDDVVKQCRGKNLFFSTDVEKHVAEADIIFVSVNTPTKTRGLGAGKAADLTYWESAARMIADVSKSDKIVVEKSTVPVKTAEAIEKILTHNSKGINYQILSNPEFLAEGTAIEDLFKPDRVLIGGRETPEGRKAVQDLKDVYAHWVPEDRILTTNLWSAELSKLAANAFLAQRISSVNAISALCEATGANVSEVAYAVGKDTRIGPKFLNASVGFGGSCFQKDILNLVYICECNGLPEVANYWKQVIKINDYQKSRFVNRVVSSMFNTVAGKKIAVLGFAFKKDTGDTRETPAIDVCKGLLGDKAQISIYDPQVTEDQIQRDLAMNKFDWDHPMHLQPTSPTAVKQVSCVWDAYEATKGAHGLCILTEWDEFKTLDYQKIFDNMQKPAFVFDGRNIVDAEKLREIGFIVYSIGKPLDAWLKDMPA >Sspon.01G0038890-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:19433877:19434344:-1 gene:Sspon.01G0038890-2D transcript:Sspon.01G0038890-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VRLRLRMQETAAEPSPEACAGVAAAGAGRRRCGDGGGGGPRGVGRREGADPSPVAARRVAHGAGLGGGPGGGRSGPAGRGQERCRAAAARRCPARRQRGAPGARRVPQAAGTRGRRGVAAPGAVGAGGGAVVPRALVPVAVRVGGAQRAAMRGNAC >Sspon.03G0018390-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:43767373:43769557:1 gene:Sspon.03G0018390-3D transcript:Sspon.03G0018390-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAMETDINAPPPPAPAGEGSSAPGPSSSSRKPNKRFEIKKWNAVALWAWDIVVDNCAICRNHIMDLCIECQANQASATSEECTVAWGVCNHAFHFHCISRWLKTRQVCPLDNSEWEFQKYGH >Sspon.01G0003340-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:8781121:8784176:-1 gene:Sspon.01G0003340-3C transcript:Sspon.01G0003340-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDGSNTTKKSKLSWSKSLVRKWFNIRSKAHDFHADDVAAIGRTGGGDNEWRGSSFTRREPSTVKKSKTERSSRRSNGHSRRGKIDLDAAEATVTLDYRIFVATWNVGGRSPPNNMSLEDWLHAAPPADIYVLGFQEIVPLNAGNVLGTEDNVPAKRWVSLVRRTLNNLPGTSGNGSFRTPSPAPNPVVEIDDDFEGLSSRQNNATLFHRRSFQAGLSRSLRMEGDILAPQPRLERRYSVCDRAIYGRRPSDYENNYRWGGSSDDENNTGESPSTVYSPMSCGYGNASSLEDSQRRAGQTRYCLVASKQMVGLFLMIWARKDIRDDIRNLKVSCVGRGLMGYLGNKGSISISMSLHQTSFCFVCSHLTSGQKEGDELRRNSDVLEILRKTRFPMVYGQYERSPETILEHDRIIWLGDLNYRIALSYRSVKALVEMRNWKALLEKDQLRIEQRGGRVFVGWNEGNIYFPPTYKYSNNSDKYAGDDMNQKEKRRTPAWCDRILWYGRGLGQLSYVRGESRFSDHRPVYSVFSAEVESINHSRIQKMSCSSSQLDIEELLPYSYGYTDINPYGYTDLNFY >Sspon.05G0003990-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:15604948:15613366:1 gene:Sspon.05G0003990-2D transcript:Sspon.05G0003990-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRGRNRRSAAAAAADAVARPLVLALALVSTLPRAAHSQDLAPPPLRPPGRRATTCDNVPEPFGISSRGASSLPGGFGVTCGPNREAILSIGEHEYRIDSVSVPGSYVVIFAEPITQVCYDGKGKPTPDTGTGAKSLDGTTFTWSLEGTPFTFSKSNKLVNFGCNRTLMANFNLPGDPSPLYTSCTTTCNTPNISGSCLGEACCEASTDQMNGVKAFSLSFERTTANGTGDGEEDETCSAAFFLDKAEPVFNFSGDGVRPLKKALSQQGERRMILDWAIGSTTCDQAQSYAFEPLCKYGYGTCVDAPSGAGYLCKCPDGYDGNPYVSDGCQDINECRNYNSNNCTYQNLCNNTLGGYTCSCPENNIGDGYRTGTSCNTTLVTPGISMCDHPEKNPCTYIKDCIDLEGVVSCACPEGMSGDGRKKGSGCYFGSQKHFPLDTVLGVGLALMVTVTTAASCYCWAIKKRELGRKRAELFRKNGKDQCYAVAELSVRCLNVKGEERPAMVMVASVLHGLRRSFNIDQTTRRKDEPVRKNNEEEKYLRESRPIPSLQSSEVSTQCSMEAEMSSSHMPR >Sspon.05G0027560-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:49053372:49069618:1 gene:Sspon.05G0027560-2C transcript:Sspon.05G0027560-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sterol 3-beta-glucosyltransferase UGT80A2 [Source:Projected from Arabidopsis thaliana (AT3G07020) UniProtKB/Swiss-Prot;Acc:Q9M8Z7] MAAGEESGSGVAGGGGEEAPAASAPNGDRSGDSPLAAPSSSSADDRGFHRSSTMPGVIKNDEITNETAGPSNLERSRTERRRQNNPADNPAKQLLDERIPIKKKLKMLNRIATVKDDGTVVFDVPSGLEPTIVGGTEDIYTETAVEEASDGTEIPYRPPMQIVILIVGTRGDVQPFVAIGKRLQDYGHRVRLATHANFKEFVLTAGLEFFPLGGDPKILAEYMVKNKGFLPSGPSEIPIQRKQMKEIIFSLLPACKEPDPDTGIPFKVDAIIANPPAYGHTHVAEALKVPIHIFFTMPWTPTSEFPHPLSRVKQPAGYRLSYQIVDSMIWLGIRDMINEFRKKKLKLRPVTYLSGSQGSGNDIPHGYIWSPHLVPKPKDWGPKIDVVGFCFLDLASNYVPPEPLVKWLEAGDKPIYVGFGSLPVQDPQKMTEIIVKALEITGQRGIINKGWGGLGTLAEPKDFVYLLDNCPHDWLFLQCKAVCPTTIVPFFGDQPFWGDRVHARGLGPPPIPVDQFGLQKLVDAIKFMMKPEVKEKAVELAKAMESEDGVTGAVRAFLRHLPSKTEEQSLPQSSGFLEFLGPLTNGLRPAVRVILMCTFAVQHRRFHVCMANPFVYIKEAEEA >Sspon.03G0016650-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:76541357:76546591:1 gene:Sspon.03G0016650-2B transcript:Sspon.03G0016650-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGGDTAAWGEEEPVARRRPKTKIVCTLGPASRSVEMISRLLRAGMCVARFNFSHGSHEYHQETLDNLRAAMELTGILCAVMLDTKGPEIRTGFLKDGKPIQLKKGQEITISTDYSIKGDEKMISMSYKKLVDLKPGSVILCADGTITLTVLHSDKEQGLVRCRCENTWMLGERKNVNLPGVIVDLPTLTDKDKEDILKWGVPNKIDMIALSFVRKGSDLVEVRKVLGEHAKSIMLMSKVENQEGVANFDDILANSDAFMVARGDLGMEIPIEKIFFAQKVMIFKCNIQGKPVVTATQMLESMIKSPRPTRAEATDVANAVLDGTDCVMLSGETAAGAYPELACRLWPRSAYKQSLA >Sspon.06G0000270-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6A:1745734:1746543:1 gene:Sspon.06G0000270-1A transcript:Sspon.06G0000270-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRGSKNQEEGKTSASSAPAVSVASLREKPPPFRAPPLEATAAVLSPSPNQTHNNHSSPPMEVDPAADERRKNKGGLEWELERHYDDLEREMMLMAAERPHKQQRRHRPDLLQNCDLPPPAKLFGPVPTLQRLETAAAKDDGLLRALRLSQSRAREAEDKLAAAGATNVDLAALLVRDSVALSAHRRWVMMLEAENSLLRGRRGDADAGPDHGAGGVAAWWVALAVCVGVAGVGLFLC >Sspon.05G0020830-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:85760002:85761532:-1 gene:Sspon.05G0020830-3C transcript:Sspon.05G0020830-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAAAFPDRSSSTSGSMMAISASRIHPSSAAETTTTTRPLPPEPPLVPPGRGGASGGDAGRAPVPVAALHHTVWKRSSMGFHGTDGFSVYDAAGALAFRVDNYSRRRKVFAGELLLMDGQGAPLLALRPQIFSMHDQWNCYYTTSEEGQGTRPSSHRLFSMRKCSVLQKGHEAEVSMSGSCSTSFWVEGCFRRRSCKIRNSDGEEVARITRKKSNSLTLGDDVFSLVVQPGVDCAMIMAFVVVLDRICWKPYTPLICS >Sspon.01G0043310-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:73808413:73810634:1 gene:Sspon.01G0043310-1B transcript:Sspon.01G0043310-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGVCKLMIIVRMGIFVLFFKWRISAALSMISSTGTADKSTVLGMWMVSITGELWFALMWVLDQLPKMQPVRRTVYVSALDESMLPTMDVFVTTVDTEKEPPLVTVNTILSILAADYPAEKLTCYVSDDGGALLTRDAVTEAARFAGLWVPFCRKHAVEPRNPEAYFSPGVSNGVVKARRGDYKGRVWPELARDRRRVRREYEELRLRIDALQAGDVRRQQRSTSSPADGSCWRRGTGKIMPELLNCAVDVRVPALVYMCREKRRGRVHHGKAGAMNALLRASAVLSNAPFVLNLDCDHYVNNSQAVRAGVCHMLDGEGSDVAFVQFPQRFDGVDPADRYANHNRVFFDCTELGLDGLQGPIYVGTGCMFRRAALYGVDPPLWRPHGDDAGMGVATGTEADKLGASTEAEQWDTVSISSPFSFDAAAIGEATELVSCGSEDGTAWGRDIGWMYGTVTEDVATSFCMHRRGWRSAYCATSPDAFRGTAPINLTDRLYQVLRWAAGSLEIFFSHNNALFAGRQLHPLQHLAYLNTTVYPFTSIFLIAYCGLFPAIQLVTGNGATTGGAFFSIIIRPPSATYIAFVAALMLTLAMVAVLEARWSGIALVDWWRNQQFWMVSATGAYLAAAVQVALKVAAGKEVSFKLTSKQRAASSVKDRFAELYAVRWTVLMVPTAVVLAVNLTSMVAAMEGESWKDGSMAVFALAFNAYVVVHLYPFALGLM >Sspon.03G0009350-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:32487706:32488978:-1 gene:Sspon.03G0009350-2B transcript:Sspon.03G0009350-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DGGGVPRGGGVRLPVQGGADRGQRRREVEPAVAVRQGRVQPRDEVHHRRRVRHQDRPGRRQARQGADLGHRRAGEVPRHHERILPRRGGRSGGVRRDAPHHLRQRGALAAGAAGPHGRQHRGHAGGQQGRPAPPPRRDARGRRGLRGAARHLLHGDVGAGRHQRGPRLRRGAPPDLPRRQPERARHRGRPRRAAQGQDHRRRRRQGRGLPREHGRLLLGL >Sspon.03G0005240-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:15135110:15135487:1 gene:Sspon.03G0005240-1A transcript:Sspon.03G0005240-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADQWWDSTSHRSHGASACSAAAPPPPLTVMGGRLACGWASPTAAAAESTSSVTFQDPCYRSSTHQQPLSDVASSLGDPHMDWTQAFFYTYIASSSSILRIHVFLVSRALMVGVTDEASDLA >Sspon.02G0012510-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:52723884:52724335:-1 gene:Sspon.02G0012510-1P transcript:Sspon.02G0012510-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKAAAATAARWAEGYPWREKLAKYKGELGKGVWGYWELGAWKPLGISARKRARLRKEVLLAGEDWTYDPPRGEMRTKRKGHKCDRISAEKRANTVELMKKMPQMLLDYK >Sspon.06G0034980-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6D:62200530:62204062:1 gene:Sspon.06G0034980-1D transcript:Sspon.06G0034980-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQRWELIQDSLPSILRTNPTTEAVKECINIVYNNLPTNLKTCLLYFNMYPEDYTIEKDDLVKQWAAECFLSAEAQDKEEIAEIYFDELLNRGMIQPVDTNYKGNILSCVVHHMVYDLIRFKSTEENLIIRVDYLQQNLALPDKVRRLSLQFGGARSAKIPESIIKSQIRSLTFFGLIGCVPSVVDYRLLRVLILHILSDQENILDLTGIHGLIRLKYLKIESNGIIELPGRIQMLKNLEVLEVDARAVAVPSDISHLEHLCHLQLPRQTRFPGSIGRLTSLCTLSNFDLSKNSKENVIDLRNLTNLRDLRLICSSTQPDDILKNNMKGLGHILEKLGNLRSITLAHEVFSHINTLDDPCVPSKSISCDGFGIAPHYQPLLERIELSRHWCIFSNLPEWTKQLHRLCILNIAVGQLLIRDVDVLGGLPALTGLSLYIRTALAERIVFHKVGFSVLRYLKFRCSTPWLRFEVDALPNLQKLKLGFNAPKVDQHVAAPISIEHLSGLKEISAKIWGAGADAMSILMTAVWNHPRNPRINVQLVEPKFHVDEGRSLGMGKDEKEQENLERKDEILEVHHDAYYGTRYGESGEYENEAESIQIQEEANKNLEEKGEILEEDKEGYYESQNVGLGKDNNKQVGMLEKKPEEQDEIEEVIEECSSAHYKGLGKDENKQADTRIYMPKESSSHLCVTGADAMSILTTAVRNHRNPRINVQLVEPKFHVDEGRSLGMGKDEKEQENLEIKDEILEVHHDAYYGTRYGESGEYENEAESIQIQEEANKNLEEKGEILEEDKEGYYEAQNVGFGKDNNKQVGMLEKKPEEQDEIEEVIEECSSAHYKGLGKDENKQADTRTFFLKYTVQSSGGRQQVWGKPASTDVKNDKPYGVSGGCFEWLLGVLGAGVVKGAGSAKSAQFAWDWE >Sspon.05G0039960-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:82707266:82708410:1 gene:Sspon.05G0039960-1D transcript:Sspon.05G0039960-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDGLEPPPGINELKISSYSGRQYARGCRIKLVGPAPFPFLRVMKLSHFPKLKNLHGLVELPCLEQLELKWMLSLESISGGPFPSLVKLEMCCLPCLGEVWMVAERTKPDGEEWGGCCNFTPQLGQFRVGNFLIKLEISDCPKLELSGSEQLLQSPGQCQGSSLAPSFSHLKVQLSHDMTGRLGSGHGWELLQHMTALGSLKIDGFFGVQTELPESLWSLTSLRCLEVYSCSSIRVLPESLGELRSLQESTIQSCRSLRSLPQSMGHLTSLQVLEIRWCKALHQLLDCLGELCSLRKLVITDLPELTCLPRSICRLATSLQELKIQECSGITSVPQGIEGLASLQNLSVRDCVGLTSLPQGMKGL >Sspon.07G0036080-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7D:22042430:22043244:1 gene:Sspon.07G0036080-1D transcript:Sspon.07G0036080-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAKDGLQGHRTLSRRGVGPLPEVRWCKPLHSSALLRASEHRGNKGRTSCSECSSGWGCELQTLMNKGVKPLCNRSNDSRKETEATQEGSNCALKCVADRDRRSMSMAAARWDSSGKQTRTHTHVLVACPPRALARRSVPRWEKDFCESQGVPWKKVMDPDVGLKADSEGSIARWDDSGAVEALLAARRRYWVETNGGLRCRAVPTSPGPGLYCDMIIDDDGGPVDPELDAEYEEAFRAMEVTWEQNENFR >Sspon.02G0047980-2P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:20720823:20722300:1 gene:Sspon.02G0047980-2P transcript:Sspon.02G0047980-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTRPESPEKTLDSGGRLPIKAQGFYPSRRHSLQPLDRIGSTPHEPLTPPPKCFVSHVIQVNVPKTKKTYCKNKECKKHTLHKVTQYKKGKDSLSAQGKRRYDRKQSGYGGQTKPVFHKKAKTTKKIVLKLQCQSCKHYSQHAIKRCKHFEIGGDKKGKGTSLF >Sspon.04G0034200-2D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4D:75605851:75606075:-1 gene:Sspon.04G0034200-2D transcript:Sspon.04G0034200-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAVSSKVEPKSVFLIDILASPSASGKGAASSTQEDATVDSRCECDLSIRKLFTGGTASCWPFPSPCFLEPGW >Sspon.03G0013760-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:39484856:39501439:1 gene:Sspon.03G0013760-1A transcript:Sspon.03G0013760-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MILTIGDHLPRNLGVVITIWAPIVMIRTLGMLRARFKSIPEAFSKCNATKQREVPAAVHMAMNSKEGDEHELIEKVKLDRDRYNAVIECYESLMIILNSLLLDSNDQNIVNDIDRKVTYSMRNKTFLEDFEMAEIGKKSEPINDVEERKIVNALQDFMEITTRDFMKDGQSILKDENERKQRFMNLNINMIKEDSWREKFVRLHLLLTMKDSALDVPTNLDARRRITFFANSLFMKMPRAPHEWKNFLERIGVEPDNELSIKGRMDDIRLWASYRGQTLARTVRGMMYYRRALELQCYEDMINDQGYGLADLDRAKAARSKAIADIKFTYVVSCQLYGVHKASKDPREKGLYENILNLMLTYPALRIAYIDEKEVQLQNGKIEKQYYSVLVKGDDEEIYRIRLPGKPTEVGEGKPNNQNHAIIFTRGEALQAIDMNQDNYLEEAFKMRNLLEEFLLTHGKSEPTILGVREHIFTGSVSSLAWFMSSQETSFVTIGQLRGMMYYRRALELQCYEDMINDQGYGLADLDRAKAARSKAIADIKFTYVVSCQLYGMHKASKDPREKGLYENILNLMLTYPALRIAYIDEKEVQLQNGKIEKQYYSVLVKGDDEEIYRIRLPSKPTEVGEGKPNNQNHAIIFTRGEALQAIDMNQDNYLEEAFKMRNLLEEFLLTHGKSEPTILGVREHIFTGSQETSFVTIGQRVLAKTLKVRFHYGHPDVFDRLFHLTRGGISKASKVINLSEDIFAGFNSTLRQGNVTHHEYIQLGKGRDVGMNQISNFEAKVANGNGEQTLCRDIYRLGHRFDFYRMLSLYFTTVGFYFNSMVAVLTVYVFLYGRLYLVLSGLEKSILQDPNIQNIKPFENALATQSVFQLGMLLVLPMMMEVGLEKGFGRALAETILHGGAKYRATGRGFVVRHAKFAENYRMYSRSHFVKALELLILLVVYLAYGSSYRSSSLYLYVTVSIWFLVFCWLFAPFVFNPSCFEWHKTVDDWIDWWKWMGNRGGIGLAPEQSWEAWWVSEHDHLRNATIRSLLLEFILSLRFLIYQYGIVYHLHILEYAPLFQVVSMGREKFVTRFQLVFRILKGIVFLVLIGLLVLLFVGFDLAVADVGASILAFIPTGWFILLIAQLCGPLFRRLIIDPLHLLCCPYGTGGACRGPCCAKFRQRTGAALRKMGPWDSIQEMARMYEYTMGLLIFLPIAVLSWFPFVSEFQTRLLFNQAFSRGLQISRILAGQNVLFVETEVSDHVLFWGNTKIG >Sspon.01G0023760-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:85323285:85327468:-1 gene:Sspon.01G0023760-1A transcript:Sspon.01G0023760-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRDSLEADADMVAEVGEVAVGEDAPWQDADVDVAHWKSPQPLRRVAMVLVLKDDEEVLRPVARHLDVLLQNAELGAESPLDSLGPDFVAVPAQACHHGTRGQPLKLSYKNSGTIPTCDVSADDQVLYEATRTRLCWRLSVAGKADHSLQDVRSKLFPFKRKKINAEEVPSSISLPTKIKERSISSLVVDTPKVKPTGLTGRRTRAVARKAAAAAALPPCVNLVRLLKILHSHNSNLPANSSKAPQTRRQISPNAEASNHSSNKDTEGDSKDELADKSELWRPLNCLVEAANRTKSFRSSSQSPVVKGEQINGSTSGTFASKAKARDNLEKSKTEDDKKDVPTPPVLPKRRAQGTARKRKDLQAPTDVKPDVAAAHSAKKFSSIWFSLIASFDQQGDPPLPQIPSHYLRIKDGNVPASSIQKYLMQKLSLPSESEVEIKCCEQPVNPSQPLCNLVELWLKGRVTQTTQTMTGSSAKEFVMVLTYGRPKAPAM >Sspon.01G0029790-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:99738716:99747668:1 gene:Sspon.01G0029790-2D transcript:Sspon.01G0029790-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATWSRRVSVPALAAAAAVLLLTSVAAGDDHNGVYDPCADASVQRGDGFTFGVVFSGRDSFFSGGVQLSPCDSRLGLANRAPLALYRPQVDEISLLTVNSSSFDPSSSGGYMVAFAGKKYAARSPPVFVANISYTVTGFTLVLEFQKGTLQNLFWKPSGCSSCSGRSDFACVDGSCAIKTTSCRGKGGQVDCNPGIQLAFSGTDKHEAVLNSWYEVSKLRQYSLFGLFSNLKDSLTSQFSSFF >Sspon.06G0009910-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:53465916:53470908:-1 gene:Sspon.06G0009910-1A transcript:Sspon.06G0009910-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKGMPKKPKGDRIAAPALHPYQMVRLRECMRNKARLRELGLPCDEYDRVLTEGVAGHSDKNRSEDVHSNKYQSGDSESEYDPLQDDNGEEDLIDDDNAKCSKQKTRKQTNNQTTSIAPGGFKFQSRKRVFADQTSTRDTRSKKNIVQQDASLPPSDICVPPPSRANESHTGELVGNLDDHTQAAIEGQRYMHLLGDISALLDNSHMTSRADAIDQPNQHSRMDNRDGFAQHDDNTLVADIADGITQHGDHNQNTNEGDVSLPVLWLHLCVNASRFLDNDEELNEFDLFKELHYSRKKKCYTPIVQEAITRMENKLSTTTEGAAQVVANVLAENTKKNQFLKNVGFQNVRPRSSEESTETELEVEKRANAELRTQVADLSNKVQESEQARIMDREEMKRSQ >Sspon.04G0014960-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4B:59317825:59320143:-1 gene:Sspon.04G0014960-2B transcript:Sspon.04G0014960-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRKEQTDHASDTVPDAATPVGRGHARDARYEVVTSAVGLPNKSLPGPTRLLRTSAATPPRHHHPRRAHSHLATRHHHRARGRRHPTQSMAVSNNITACVTLLALICAVPVIASGIWFASAQGEECARLARWPVAILGGLLLLAALAGFVGAYWNRRRLLAFYLFAMASLVVLLIALLVFAFAVTRGSGAYPVLGRAYDDYHLDGFSMWLRGYVSDDPGRWEKIRACLAVSDTCKKLARQAAFTNAEQFYQSHLSPLQSGCCKPPSLCGFSYVSPTVWTAPARPAADPDCGLWSNDPGQLCYECESCKAGLLEALRDQWHKANIALVVATVALVILYLVGCSAYKNAQAAAIFGRYKY >Sspon.03G0027920-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3B:7141589:7141882:-1 gene:Sspon.03G0027920-1B transcript:Sspon.03G0027920-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding QDEAGTAAIKTVELDAILGGRAIQHRELQGYESDKFLSYFKPCIIPLEGGFASGFKKPEEEKFETRLYICRGKRAIRVKEVCEIHSPYCTSFTISAQ >Sspon.08G0013000-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:55259651:55264377:-1 gene:Sspon.08G0013000-1A transcript:Sspon.08G0013000-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VLRCLPPQSHDRWPQITTLGGALCHRCSMLVLVLAIQAYSQPHCQFFLMKMIFSDSAHGTPSMDDTSAKMKLLVDDPDEKDYKFDFDLRQIDDLLPDEDEFFAGITDDAEPIGQTNTTEELEEFDVFGNGGGMELDIDPVESITVSFANSSIVDGARGNGINPFGVPSTVGTVAGEHPFGEHPSRTLFVRNINSNVEDSELRSLFEVLTAAIQYGDIRTLYTATKHRGFVMISYFDIRAARNAMRALQNKPLRRRKLDIHFSIPKENPSDKDLNQGTLVIFNLDPSVSNEEVRQIFGAYGEVKEIRETPNKKHHKFIEFYDVRAAEAALRSLNKSEIAGKRIKLEPSRPGGTRRNLMQQLGHDLDQEEPRSYRHPHVGSPVANSPPGAWAQAHYSSPTDNNMLQTFTRSPTGNGMSPIGMPSLISNAPKIAPIGKDINRSKYDQVFSNGNQSLGAAFQHSHSYQDHNSEHMSSSPGTLSGPQFLWGSPKPYSEHSKSPIWRPPAIGPALSSSSRTQGQGFLYSSRQASLFGSSDQHHHHVGSAPSGAPFESHFGFLPESPETSFMKQVRFGNMGSVGPGRNGGGLMLGMASRASVNPGSSLIGSLTDNSSTNFRPILSPRLGQAFYANTPYHGPGTFGLDSSIDRARNRRVDSSVLQADSKRQYQLDLEKIRRGDDTRTTLMIKNIPNKYTSKMLLAAIDELHKGTYDFFYLPIDFKNKCNVGYAFINMISPVHIISFYQAFNGKKWEKFNSEKVASLAYARIQGRSALISHFQNSSLMNEDKRCRPILFNPNGQETANQEAFPINGICIHMPLEDDCLDNEEDKNQNEKTGESSTDMAGSL >Sspon.08G0018930-3D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2D:99157852:99158631:-1 gene:Sspon.08G0018930-3D transcript:Sspon.08G0018930-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LPHRAPLPTVGLAAGPTSFIAVIAGDDLVSPFAVKNISADTFVADAASVPPSGFWAPSSILPRLSSLDPRAGMAFASGRFYCMSSSPFAVLVFDVAANVWSKVQPPMRRFLRSPALVELGGGREREARVALVSAVEKSRLSVPRSVRVWTLRGGHGVVAGGSNGGSGAWTEMARMPPDVHAQFAAAEGGRGFECAAHGDFVVLAPRGPAIPVL >Sspon.06G0023990-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:49181406:49192808:-1 gene:Sspon.06G0023990-2C transcript:Sspon.06G0023990-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:MYB transcription factor [Source:Projected from Arabidopsis thaliana (AT1G63910) UniProtKB/TrEMBL;Acc:Q9SRB0] MGHHSCCNQQKVKRGLWSPEEDEKLIRYITTHGYGCWSEVPEKAGLQRCGKSCRLRWINYLRPDIRRGRFTAEEEKLIISLHAIVGNRWAHIASHLPGRTDNEIKNYWNSWIKKKIRKPAISTTSSSVTTASPPCSTAASDAALGHLQTPFSAAAAEHQLDAFLSQSLALPPPPKLGSGGQESPPPPLPPHCPFFMFDTSVSVSPPSSAAHQLQHPFLTFTAAAMDDAPISYHLPPLLDGMGIAGMAAMDCGGLGEEERGHDHREAGNNGQAPSGMANGGSGCCYGQKEEEPALGQDQWDDESAQHLLMWDDDQELTPMGHHSCCNQQKVKRGLWSPEEDEKLIRYITTHGYGCWSEVPRKPVGLQRCGKSCRLRWINYLRPDIRRGRFTAEEEKLIISLHAIVGNRWAHIASHLPGRTDNEIKNYWNSWIKKKIRKPAMSTTSSSVTTASPPCSTAASDAALGHLQTPFSAAAAEHQLDAFLSQSLALPPPPKLGSGGQESPPPPLPPHCPFFMFDTSVSVSPPSSAAHQLQHPFLTFTAAAMDDAPISYHLPPLLDGMGIAGMAAMDCGGL >Sspon.05G0015340-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:57903030:57905976:1 gene:Sspon.05G0015340-2B transcript:Sspon.05G0015340-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIRRPHSPAKQHLLRHHHPFATSSPPSSPLRHSSSSSPRTHHHGGYPHPFLFFTRRPLPRFAAFFLLGSFLGLLHFLSHLPHQTPHLRPSSPNNPAAAVHDDESSDADGNNNKLLVVVTPTRARAAQAYYLSRMGHTLRLVDPPLLWLVVEAGKPTPEAAAALRGTTVMHRYVGCCDKLNASDDPLRLRPHQMNAALELIENHRLDGIVYFADEEGVYSLDLFKRLRQVRRFGTWPVPVISDNRKDGVVLEGPVCKQNQVVGWHTSEHVSKLRRFHVAMSGFAFNSTMLWDPKLRSHLAWNSIRHPDTVTTFVEQLVEDESQMEGLPADCSQILNWHVPFGSENLAYPKGWRVATNLDVLDLIAGAVFQAYTLEIKP >Sspon.01G0037470-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:15821146:15823408:1 gene:Sspon.01G0037470-2D transcript:Sspon.01G0037470-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DETOXIFICATION 48 [Source:Projected from Arabidopsis thaliana (AT1G58340) UniProtKB/Swiss-Prot;Acc:Q9SLV0] HVQRRRDRRLVAGAGAAARLFQGRRAPAPPPRRRRRRQRPCTAALQLQVAGEARAIGRVSVPMAVTGLVMYSRALISMLFLGRLGELALAGGSLALGFANITGYSVLSGLALGMEPICGQAFGARRGKLLALALHRTVLLLLAVALPISALWVTSTGCVLKLLGQDEGVADAAQTFAAYASADLAVLAVLHPLRVYLRSQNLTLPITACSLFSVLLHGPINYLLVVRLDMGVAGVALAVALTDLNLLLALLCFLAISGAHRDSWVGPTMDCLRGWPELLRLAVPTATAVCLEWWWYELMIVLSGLLANPRATVASMGILIQATSLVYVFPSSLGQGASTRVSHQLGAGRPAGGAARRGRRPLHRRGRGPGGAAAFMVSVRGHWGRMFTSDADILRLTAVALPIAGLCELGNCPQTAGCGVLRGSARPASGARINLASFYLVGMPVGVALAFGARLGFAGLWLGLLAAQVACAVWMARAVAATDWDVEVGRAKELTKGSSNSHSECNTTTTTSSASASDITTVIATSNSAAGCKKNNGYVPISERCSNDSELEKLEEGLMTSDDIPSASVSGDTDAVVRENRGSSSCNDSGAAGTAATEGKEQQRRGGSERGPLISVSDGEHDGDSRGG >Sspon.01G0032060-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:109090131:109092465:-1 gene:Sspon.01G0032060-1A transcript:Sspon.01G0032060-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SLGMAIPLPGKALPRHDVTKGGGQADDGEHDAEAAAWPGDDGRPAVGGGGAARAMGHGGADHGAQLRGVPARDGVRAVCLGRLGPLDLAGGALAVGLTNITGHSVLFGLASGAGAGATLRAGVRVPEPRTSVQRAVLLLFLAAVPIALLWLNVGPILVALGQDPTISAHAAFALPDLAAGAVLQPLRVYLRSQGITRPMAAFSAIAVALHVPLNVALVFGMGLGVRGVAAAQALTNTNMLLFLLAYILWARACEGTWKGFARPAAAASGLPALASLAVPSCVGVCLEWWWYEVVTVLAGYLPNPAAAVGAAGVLIQTTSLMYTVPMALAACVPLQVQRRLQE >Sspon.05G0019570-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:85711294:85714608:1 gene:Sspon.05G0019570-2B transcript:Sspon.05G0019570-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFGVSPSMALALAGFCFSLIFIAFVCSRLACALLRRHRRGVRSRLAAALPRYAADYAFAVQVQVHHPAAGGGGGLGAAAVAALPVRAFAARPRVSGASDADSQCVICLAEYEEGDVLRVLPHCGHDFHMACIDLWLEQNSTCPVCRVSLLDNPDSEDTAPPPPLSVVVISPPSSPESSASDPCRCLFAGTGHSSRASEAPRHGMEPDQENQVASSPSVDGGANSNSMPLSEVNPTPENNSQTARKQQLGPCK >Sspon.05G0018390-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:77776311:77776786:-1 gene:Sspon.05G0018390-1A transcript:Sspon.05G0018390-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRGEDELVVAQLTAVSATPDTPELMRVAELSLFHYSEWSFKSLQLRFHDDSEVWVWEYPSRSWNAVIPATLLGRLRVAHRRALRRVRGDTQATISTTPQGEHTGAVIKPERVRHCLKAMRSSSSTSFSAVVVEKLVPPAADVLAMPTPSTPGR >Sspon.06G0001550-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:3565766:3567340:1 gene:Sspon.06G0001550-2B transcript:Sspon.06G0001550-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAERKGGAARKEEVVTREYTINLHKRLHGCTFKKKAPNAIKEIRKFAQKAMGTTDIRIDVKLNKHIWSSGIRSVPRRVRVRIARKRNDEEDAKEELYSLVTVAEIPAEGLKGLGTKVIDEAD >Sspon.02G0039250-1P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:44758400:44759098:-1 gene:Sspon.02G0039250-1P transcript:Sspon.02G0039250-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LHDLRLRLESALEGLARAAVLCGAVGSKDVLAALSRNHGLNTALSRFSGVPATRSSATSVPARRSSATSVPALWSRAVLTAVRRDDTALRIGEGETTNCSMEERAYMQEAMAALRVAKEVLGMQEGWRKEAIREMNRTGRFSRSLANSATDWPCLLLDVLSGAAEEEFFQPKLVLNNVDVLRKAICEDETMMPAAMYHDSFIWR >Sspon.06G0004160-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:12951952:12956720:-1 gene:Sspon.06G0004160-1A transcript:Sspon.06G0004160-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRSVSRSNSSRGIAAVVGVGPRLGSAVARKFASEGYTIAILSRDLGTSIYPLARSSVLLPCIFPRSSFDRKKLSQLAEEIAQEAKAQVFALRVDCADARSVREAFEGVLSLGPVEVLVYNACEPPPANDDDAAAARPTPFLAVTPDAFHRALAVSAGGAFHCAQQVIPGMVERGRGTIIFTGSSASVTGFAGYSDLSCGKFALRGLSQSLAREFQPAGVHIAHVIIDGVIGERRSPRSSRAGYTAGADPDAVAQSYWHVHAQDKSAWTHEMDIRSPSFM >Sspon.01G0008860-2P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:25724247:25725624:1 gene:Sspon.01G0008860-2P transcript:Sspon.01G0008860-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPPRCTTSHRPVPNPPSASSSDQAIHPTLPKTPRREELEKMFGFGHHGHHGQNPPAHPPAHGGVHQPTFKIFCKADEGYCLTVRDGNVVLAPANPRDEHQHWYKDMRFSNQIKDEEGNPAFALVNKATGLAIKHSLGQSHPVKLVPFNPEYQDESVLWTESGDVGKGFRCIRMVNNIRLNFDAFHGDKGHGGVHDGTTVVLWEWAKGDNQSWKILPWGDEAYAAGGSAAANAPYGHGGGEPTVRIYCKADDGFSVTVRNGTVCLAPTNPRDEYQHWVKDMRHSNSIKDEEGYPAFALVNRVTGEAIKHSQGEGHPVKLVPYNPNYQDESVLWTESRDVGHGFRCIRMVNNIYLNFDAFHGDKDHGGVRDGTAVVLWKWCEGDNQRWKIVPWCKSHSHLTQMQGTSLFVAAPALFHPPGS >Sspon.04G0015080-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:62496371:62506674:-1 gene:Sspon.04G0015080-3C transcript:Sspon.04G0015080-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATTAGPGAATPQPPRQYKLAPQSELRVEVLPDAPLRVRLVTGTAEIFGTELPPEGWVPVPPRSKSAIFTWHGATVELDGVSESEYTSDETPMVIYVNTHAILDARRARARAAAAQGGDMEASQGSITIPGCISATPIEKPIDIVDGIPLEMPLVYFYGHPNPSINADVYKVLMKELAKTLEKQFSGNAESRAAGMVINTMGWVEGLGYELLLNAIETFKANVVLEKLWKMLKDAVQSKSNIDVVKLHKSEGVVLRNSKYRQKTRSFRIREYFYGIANDLAPHSNIVNFSDVSVYKIGGGHQAPRSALPIGAEPVADPTRLVAVNISTDMIHTVLAVSYAKEPDEIISRKKLTYIAPCPGDLPSRLLIASSLTWYEA >Sspon.02G0011450-3D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2D:24652370:24652926:1 gene:Sspon.02G0011450-3D transcript:Sspon.02G0011450-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPRRVCVTGGGGFIASWLVKLLLSRGYAVHATLRDPSDPKNAHLKELEKAPENLHLFKADVLDYDTLTSAVEGCEGIFHLATPVPEDKIVDPEASPCLLDTYAYHQIM >Sspon.04G0007200-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:19707069:19709203:1 gene:Sspon.04G0007200-3C transcript:Sspon.04G0007200-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNKVFSLRSRPPTSTAGDLAAAVAMDRWTGVLHVPLSRGGPLFRVAASLLLSPAKTLAVPRVNAILFTGDRVRGTGDPAIERLSDAVHLAGVLAGKLPVETNAWVIDAACFAGPFAVYRELVPTVDGAGDPKGYDPTGLPAAAGVANILAHSIGEIQNRFLGFSAKDLTGNQHPSASLSSYSPPRTVILGFSKGGVVVNQLVTELSHWASGSMKNSVDVSRPNPSHLTQNLLVPTSNSDVLSSISEFHYVDVGLNCAGAYITDYAVIERICDYVVHAGKNLRFVLHGTPRQWSDPNRSWIRKEKDIMLQVLREEAHRSERRLVSSEKLYFEGRPRSLLMHFEILEAMDIS >Sspon.01G0008550-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:24824786:24825913:-1 gene:Sspon.01G0008550-3D transcript:Sspon.01G0008550-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VQAPDLRGGPAPRGGGRGPLRPGARLPGAPHLQQARRRALPGALLRGVAPLQRARPARGRRLPAHRPRHPRRRRRRRRRAGRAAPARRALAPPQGHAAGRAQALCALRRQVIRGGGAQARSAAAAPPLAGAGAKELQQHPQPPHGAPRLRRRHRRWCRPQVEGRVRQHAAELRHPRRHQGGPGRRGRGRGTPRLLRSPRRRGRRRSGRRQRQHPGVLLHQRRAQAARGVDHQLPHRRGHQALPPPRDRQGAPHPGAGRAHGRQRAGHAQHGARPAQGVQGQAARRGRRRQPHAGARLQHEAPLRAAARALRRHQDPRRHARGHQARRRRRGSRRRRRQEGARRVRRRRLRRALQGGRGRAQQAPHLPARDERLLAC >Sspon.07G0025290-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:40561533:40566745:-1 gene:Sspon.07G0025290-1B transcript:Sspon.07G0025290-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQQLLLLLPAPSRTFLKPLPSPFLSSLPRRHLVPVSAVRMAPAIRRGLLRYATKRSGLVEELEIAKDMQPRSRRANGIFWILLLNFGIYVADHLFQIRQIKSLYLYHAFPTWFQFVTSTFCHANWNHLSSNLFFVYIFGKLVEEEEGNFALWMSYILTGAGANLISWLVLPTSSVSLGASGAVFGLFTISVLVKMSWDWRKILEVLILGQFVVDKVMEAARATTITGQSFQVNNIAHVSGALIGAALVFLVSRIPFSSNDDNPKATKRE >Sspon.01G0023360-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:84299442:84301952:-1 gene:Sspon.01G0023360-1P transcript:Sspon.01G0023360-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine protein kinase, Abscisic acid (ABA)-activated protein kinase, Hyperosmotic stress response, ABA signal transductio [Source: Projected from Oryza sativa (Os03g0610900)] MDRAALTVGPGMDMPIMHDGDRYELVRDIGSGNFGVARLMRNRADGQLVAVKYIERGEKIDENVQREIINHRSLRHPNIIRFKEVILTQTHLAIVMEYASGGELFERICNAGRFSEDEQVCHRDLKLENTLLDGSTAPRLKICDFGYSKSSVLHSQPKSTVGTPAYIAPEVLLKKEYDGKVLCVLSLIILHFTYCFYSKCFLCSRIYISVSELAAHFDQTLTRFVWQRILGVQYSIPDYVHISPECQDLISRIFVANPATRITIPEIRNHPWFLKNLPADLMDDSTMSKQYEEPEQPMQSMDEIMQILAEATIPAAGSRGLNQFLNDGLDLDDDMEDLDSDADLDLESSGEIVYAM >Sspon.02G0018290-3D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2D:79355135:79356138:-1 gene:Sspon.02G0018290-3D transcript:Sspon.02G0018290-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RRRARAAGQLSSPACACATVADCGNQQRRSRWNLASCGWQPGCALTARRRSAPPALPGPAPVAAPSTEVTEPRCGCAAARFGRGDRLDLVVRMTYGRTVMLSSGVRRSDASFVDLWSPIRRLLLTSLKEKENDSATSFSISTTRCWPVLAASSWARRRAFSAWSRDVGLEEWHDGDDVLKDLVLQQLGAEAGLLLPELIDKADEIHSREARRWLGRSRRTHRLHLCR >Sspon.04G0016230-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4A:59769821:59771246:-1 gene:Sspon.04G0016230-1A transcript:Sspon.04G0016230-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MREEPSLTDWDRFARREYVRLAMEEDGEDASNARRRTDVEKDAGLSDRLGYLQRSCLCFGCSTNDCLPLEINIRGWRGKHGKEEHTVLLAFFLSKIKDGSFSHLSDNGGRELGLYY >Sspon.02G0024420-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:83590843:83591884:1 gene:Sspon.02G0024420-1A transcript:Sspon.02G0024420-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAVSAAACCAAAAPANEVAEDLFGFLRVLSDGTVLRSPAGPVFCPKTFPGSHPSVQWKEAVYDKAKNLRVRMYKPTPTASAGKQKLPVLVHFHGGGFCLGSCTWANVHEFCLRLAADAGTVVLSAGYRLAPEHRLPAAFDDGAGFMRWLRDQAAADGWLAEAADFGRVFVTGDSAGGTIAHHLAVRWHSAAEPGLVTVRGYVLFMPFFGGVRRTASEAECPEEAFPNLALVDRFWRLSLPAGATRDHPAANPFGPDSPDLGSVDFPPVLVVVGGLDLIRDRTVDYAERLAAMGKPVELSEFAGKPHGFYLHEPGSEATGELIQTVARFVDGCGAVVAASEAAA >Sspon.07G0028810-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7C:80630405:80630740:1 gene:Sspon.07G0028810-2C transcript:Sspon.07G0028810-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSSFLLAAVALAALFAVGSCGTELTLTIGKDSSSTKLSLITNVAISEVSVKPKGATDFSDDLKESPANTFTLDSKEPIKGPISFRFAAKAGGYRVVDDVIPADFKAGA >Sspon.05G0013960-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:49443009:49444631:-1 gene:Sspon.05G0013960-4D transcript:Sspon.05G0013960-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHDHKVLDALDAAKTQWYHFTAVVIAGMGFFTDAYDLFSISLVTKLLGRIYYFDPSSKTPGSLPPNVSAAVNGVAFCGTLVGQLFFGWLGDKMGRKKVYGMTLMLMVVCCLASGLSFGSTPKGVMATLCFFRFWLGFGIGGDYPLSATIMSEYANKRTRGAFIAAVFAMQGFGNLTGGIVAIVVSAAFKARFDAPAYKDDPAGSTVPPADYAWRIVLMFGAIPALLTYYWRMKMPETARYTALVAKNAKQATSDMARVLNVELADEQQKAKAEEALERRREEYGLFSRQFAKRHGLHLLGTTVCWFTLDIAFYSQNLFQKDIYAAVNWLPKADTMNALEEMFRISRAQTLVALCGTIPGYWFTVFFIDIIGRFAIQLGGFFFMTAFMLGLAIPYHHWTTPGHHVGFVVMYAFTFFFANFGPNSTTFVVPAEIFPARLRSTCHGISSAAGKSGAIVGSFGFLYAAQSTDPTKTDAGYPPGIGVRNSLFMLAGCNVIGFLFTFLVPEPKGKSLEELSGENEDEEAAPAQSNQQTVPTDLSE >Sspon.02G0008780-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:25127325:25128310:1 gene:Sspon.02G0008780-1A transcript:Sspon.02G0008780-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALGEAPHGSNKGSQQVLHFVASKGHCVVYTADGARFEVPLVCLGTTMSKEEFGFTGDNGKITLPCDAMVMEYALCLLKRGASTELEKAFLSTMAMSYHSANHAMHTAQCQNARYATFGVCTPAPRNAKRAGNLAFATVTRHGQRKEERERERGEERGGGERKRK >Sspon.08G0001410-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:4805720:4806331:-1 gene:Sspon.08G0001410-1A transcript:Sspon.08G0001410-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAVSLSLSLPLHHHAHAHAHGALPLPLPAPHHHHNHCAVPLSHHSSSSANAPSSKAHAHAQAPPAARLSLAVAARPHSSSSPALAPAAAAAPAPAPPAARSSSRAATGYAAALADACARAGTLRRAARHARALLHSGPGRRLEADAAAAVAGQQLDARVAALVRMLVAKGKPGMVAEALAEFAAICDHLLPHQPPRARHAY >Sspon.02G0024480-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2C:88933214:88933387:-1 gene:Sspon.02G0024480-2C transcript:Sspon.02G0024480-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MCKVAFWCVQQLPEARPPMSAVTKMLEGEMDVAPPTNPFPHLMAAPVVANLWTTTMTS >Sspon.04G0021190-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:74139184:74140094:-1 gene:Sspon.04G0021190-1A transcript:Sspon.04G0021190-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAGVVAIATTLTVRDMLYLYCDARSVYERFIGMGSHPEQTRNAVALLLWLDQAFHQAMHIRHLPTLDATAVSMVASEANRILDCLQQQSHHPAVLPPIPFISALCQCHHGGIDTAFLAFNQDLVVRGVADILDGVGALIFDDRLYRLLRRYQTGLVGRLSELEAPYTCRPVTVPEDCRSMFVTFSKGQPAVDRQEIFDYFRHKWGDCIVRVLMEKTTRGTPPMYGRIIFKSEAFVSLVLNGKHTVKITIGYRQIWLRKYIPRPHTINNLQ >Sspon.02G0012970-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:34745966:34748784:-1 gene:Sspon.02G0012970-1A transcript:Sspon.02G0012970-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGVLHRSASLCLRPAAEPAAADSGRGHGAVMVSCSPLAFPHFLGFPIRWDSSLLISGFSGGVPQIGDSRTQRGAALRLGGSNRRELLSVTMASRDHTGLTRQLLDFQHDTMDEFMVVACADSRVCPTAVLGFQPGEAFTVRNVANLVPPYEVENVLVVGHSRCGGIQALMSMKDDSTSGGFIKNWVSIGKSARLSTKAAAGNLSFDMQCKHCEKESINSSLLNLLTYPWIEKRVNEGTLNLHGGYYNFVDCTFEKWTLLYREGLEGGSKYAIKNRSTWS >Sspon.04G0015700-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:58426220:58428480:-1 gene:Sspon.04G0015700-1A transcript:Sspon.04G0015700-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DPKWASANIGVFICVKCSGVHRSLGTHISKVLSVTLDKWTDSEVDSMIEVGGNSQANAIYEAFLPEGYQKPHPDCTQEEREKFIRSKYELQEFLKPSLRIISHHASDSGKHASNASHSDGSKSQVGMIEFIGILNVKVIGGTNLAIRDMSSSDPYVVLTLGQQKAQTSVINGNLNPVWNEELKLSVPQQYGPLKLQVFDHDMVSKDDLMGEAEIDLQTMINAAAAFSNPELLGDIQIGRWLKSEDNALVRDSAVVVSGGKVKQGVALKLQHTESGELELEMEWMPLNV >Sspon.07G0025330-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:40822760:40827674:-1 gene:Sspon.07G0025330-1B transcript:Sspon.07G0025330-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMPIPPPPPPRKDFPAFPFAPYPIQSEFMSFLYAALSSGPGALALLESPTGTGKTLSIICSALQWLLDHRDAAATAPALPSGAAGPGGDDDEPDWLRDFTPLPPVKESTKKKAKPPALRKTVVSRKPDGFGEEDSGDDEREFLLEEYESDSEDVARRGVGKREHCGGSSSSEGEPLDEEEDEEEVTPKVYFTSRTHSQLSQFVGELKRTEFAGRIRTVCLGSRKNLCINKDVLKMGSANRINERCLELQKNKKSTKVKLEDDKRKVRPAKRSCGCPMLRSRSLQKEFRSEVSNQGALDIEDLARIGKKIGTCPYYGSRDMVRSADLVVLPYQSLLLKSARESLGLNLKNSVVIIDEAHNLADSLTNMYNSKITSSQLKTVLSHLDGYLNRFHNVLGAANRRYIQTLTVLTRSFIRVLINNQDGASTMSSMTINQFLFSLDIDNINIVKLCQFVKESNIIHKVSGYANKLTSIQNQFDLQLHDEGSSIACFQALVDFIRSLLNGNDDGRIIVAKQKLGGQPDEAYLKFVMLCADKIFSEVTRDAYAVILAGGTLQPIEETRLRLCPSLPPTDIKFFTCNHIVPPESILPIAVTRGPSGMTFDFSYNSRSSPSMIEELGRFICNIITVVPEGVVMFFSSYDYERRVYDAWMTAGTISKISKKKHVFREPRNSADVEAVLNKYKETIESCSKISQDTGVSGALLLAVVGGKISEGINFSNGMGRCVIMVGLPYPSPSDVELIETIKHIESISSSFLVGDDKASSRKYDDECELQPGYDILRKCTRGGREYYENLCMKAVNQSIGRAIRHINDYAAMLLVDSRYAQTSSTKNFSCPTDKLPQWIKARLSCAQNYGEVHRSLHQFFKFNKQK >Sspon.06G0015090-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:82761874:82762813:-1 gene:Sspon.06G0015090-1A transcript:Sspon.06G0015090-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSDSERAAATASLLLRVLTLLLVASIVILVTNKIYAPFRNVVDPPNTTFRDFYAYVLTLYVLSAAVIGGAYTLLVLPFTAIHVAQERRIGRDRRAIALLVFTDVVFAVLIATGAAAGLGLTVESQRFPQGPDFMNFFKLVDVSCGLMLVATLCMVIIIMVSVRSIN >Sspon.04G0020460-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:80405726:80408215:-1 gene:Sspon.04G0020460-4D transcript:Sspon.04G0020460-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNLEVGIPALLEDGINVLIYAGEYDLICNWLGNSRWVHSMEWSGQKDFVSSSDLSFVVDGAEAGVLKSHGPLSFLKVHNAGHMVPMDQPKASLEMLRRFTQGKLKESLPETMVLKAAM >Sspon.08G0006130-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:19324948:19330808:-1 gene:Sspon.08G0006130-1A transcript:Sspon.08G0006130-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKPAARSASTVSAAAAAESARRVTPMKLLVRVVEARGLPAVHLNGSSDPFVKLKLGKRRAKTAVVKRSLAPAWDEEFSFLVGDVAEELVVSVLNEDKYFSNDLLGLVRVPLSQVMETDDLSLGTQWYQLQPKSKKSKKKCRGEVCLHVSLSTRTHVSDESQSVPRPASDDLASSSDSPIERKTLSTTCSCIDLSAVSSIEPRASHSSFERFPDNILDLPARSSVEEAASEPGPAAADTDATPPNPSSVVEVLSRYFFRKPENAAPTVQHPTASDTDQPVDHQFQEPNVSSSEDREIPEKGTVVPESSLDELLKVMESKDQGSEMPANLPGGVLVDESYVAAPTELNSLLFSPNPDFWPAVAELQGTSGFQIEPWKLDSNESCVQRTLTYTKAASKLVKAVKATEEQKYLKAAANSYAVFSVVSTPDVPCGNCFKVEILYCITPGPHLSSEEQTSHLTVSWRVNFVQSTMIKGMIENGAKQGMAEGYAQFSEVLNQKLKVAELDDANSNKEKILASLHAQKETGWRLIVRFLGNFTFIFSVAIALYVIAHLHLSKPDVTHGLEYFGLDLPDSIGEVVVCAVLILQGQSIVKVTRRFLSAWKQRGSDHGVKAHGDGWLLTVALIEGTGITATGSSDLFDIYVVFTCNAKRKTSSIKFQTSDPKWNEIFEFDAMDDPPSRMDVALHDSSGQCVIGHTEVNFLKNNLSELTDIWLPLNGKCDQASNPKLHLRIFLNNSRGTEVVMNYLAKMGKEVGKKINLRSTQTNVAFRKLFALPPEEFLIDDFTCHLKRKMPLQGRLFFSPRIVGFYANIFGHKTKFFFLWEDVDDIQVIPATLSIGSPSLMIILRKDRGLEAKHGAKGTDHHGRLKFNFQSFVSFNDAYRIITAIWKIRALSPEQQGEAIEKDEVKELLPEEGGSLFTNVDVKMSEIFSSVLSVDVSAAFYIANGVTKHEYLLLQVESLMEMFSGGPLEHKMMQKAGCIDYTATQWELVGCNIQQRQTSYKFDKNLSRYGGEATTTEQKYSLVNQDGWAIEKVMTLQGVLLADYFNLQMKYYITNIPSKPNTCSMLVLLGIAWLKSTKQQKKVTKTIISNTSNRLKELFAEVEKELTSKNDRRDAI >Sspon.02G0002280-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:6989839:6998379:1 gene:Sspon.02G0002280-3C transcript:Sspon.02G0002280-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVAQENESQNQLGDMLNPSVIGGDPKRSSDVPSFADDLSKRVKVEPGLQPLCVMSPGGASIPNIETPGSSGQPDEEYKPNAAMEEMIITFLIRVSLVIEPKDKESSSMYKQALDLLTQALEVWPNANVKFNYLEKLLGNLSPTPQSKDPATALAQGLDVMNKVLEKQPRLFIRNNINHISQILDPCFSNKMLDAGKSLCSLLKMVFSAFPLEAATTPQDIKLLHQRVQELIHKNLAAVTTQQIALEPNKVQKNFIDLFIGLLFRVLQRHARDMGSSAGGHIRQGQRPELDSSVNSRPTIDSTVISNMKTVLKLISERVMSSSDHRKSMGQILQALLSEKGTDSSILLCILDMIKTWIEEDYRLASSTGSVGSLNSKEILTYLQKLSLVDRKSFPPAAQEEWDAKYLQLLYSLCADSTKYPLAFRQEFFHKIERQYMLGLRAKDPEMRKRFFKLYHDTVQDWEAVSDVFWLKQGLDLILAILVENEPITLAANSARVPALMIAGPVPDRITMPQQVPDAQESMDGTALSFDSLAARHAQFLNDANKLVVADIMAPLKELAFADPNVAYHLWVLVFPIVWVTLHKEEQVALAKPIIALLSKDYHKRQQGCRPNVAQALLEGLHLSHPQPRMPSELIKYIGKTCNVWYTAIALLESHMVLMNEAKCSESLAELYRLLNEDDMRCGLWKRKSITAETRAGLSLVQHGYWQQAQNLFYQAMIKATQGTYNNTVPKAEMCLWEEQWLSCASQLGQWEVLADYGKGVENHEILLDCLWKVPDWAYMKDNVISKAQVEETPKLRLIQAFFTLHDKGTNGVNEAENLISKGVELALEQWWQLPEMSVQSRMPLLQQFQQLVEVKESSKILLDIANGNKPASASSGANSNPNNSFADLKDILETWRLRTPNEWDNMTVWYDLLQWRNEMYNSVIDAFKDFGQTNPQLHHLGYRDKAWNVNKLAHIARKQGLPEVCVTILDKMYGHATMEVQEAFVKICEQAKAYLEMKGELVFKETKDEGIKYGVSNSRSHLARILYLLSFDGQNEPVGRALDKYLEQLPHWRSEAQHCKLVLLKIAQVYPQALYYWLRTYLMERRDVATKTEMGRNMLAQQRMLQQAMMANNAANNLPDGSARASNHAGGNMTSDNQSHQASQSVGAAGSHDGGNVQGQDPDRSTAEGGTNTSHDQGQQSSTGAEGSQVPLRRNSGHGWVTSAASAFDAAKDIMEALRSKHTNLANELELLLSEIGSRFVTLPEERLLAVVNALLHRCYKYPTATTGEVPQSLKKELSGVCRACFSQDAVNKHVDFVKEYKQDFERDLDPESATTFPATLAELTERLKHWKNILQSNVEDRFPAVLKLEEESKTLRDFHVVDIELPGQYFTDQEIAPDHTVKLDRVGPDIPIVRRHGSSFRRLTLIGSDGSQRHFIVQTSLTPNARSDERMLQLFRVLNKMFDKHKESRRRHLTIHTPIIIPVWSQVRMVEDDLMYSTFLEVYEINCARHNREADSPITIFKEQLNQAVSGQLSPEAVVELRLQAYNEITKNIVNDNIFSQYMHKILPTGNYLWTFKKQFAIQVALSCFMSYMLQIGGRAPNKILFGKNTGKIFQTDFHPAYDPNGMIEFNELVPFRLTRNLQAFFSNFGVEGLIVSAMCSAAQSVVSPKQSQHIWHHLAMFFRDELLSWSWRRPLGIPSVPVAAGMINPSDFQQKVVNNVDHVINRIKSISPHYLAEEEENATEPPQSVQRGVTELVEAALSSRNLCMMDPTWHPWF >Sspon.05G0011820-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:29125040:29130797:-1 gene:Sspon.05G0011820-2B transcript:Sspon.05G0011820-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRIFVQRGTAGSSSSSGRSGSQPAQQQAAAAREEELPLQPQPQLPELLAIDDTTEHLNEGSENSSSSNKPLRTDDTVSESSSSAEERAAREKPPKDESNVINPTFLVEELIGLQIPDQIEHGDSVPSGTSSSQTAAWPPVAARTSASGSRPSSPRSLVDGEGYNSADEQGPCYPSSYDDLERERMFEHDLRRVKGLEIRKMAEDGNCLFRAVADQVYGDAEAYDMARQMCVDYMERERDHFSQFITESFTLYCKRKRRDK >Sspon.08G0000190-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:786036:794408:-1 gene:Sspon.08G0000190-1P transcript:Sspon.08G0000190-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGPSPPPTPSTPQPHADSDADPAHRDNIIIPPESSPRRITRSMLQPKSPPSTTARSPDNAAPLKPKPEPPEEEEDGKPVPALRRFTRSLLVKDKDSNDDDLSGTTTASNASSSPSPHTTTTTSTSSNKRNKNTNKIPTNLRELLATGLLEGQPVKYIMRKGKRAVLRGVVKRIGILCSCSSCKGRKVVSPYYFEVHAGSTKKHPSDYIFLENGNNLHDVLRACTNATLDMLESAVRKAIGPAPQKRTFRCKGCKSSFSTFRSGKFALFCDSCLESKGAKNNSRSSKVGRSPTSSAKVYKSASPGAKSSSVGRLTRKDKGMHKVVFMSGVLPEGTDVGYYVGGKRLLDGYIKAFGIYCHCCSTVVSPSQFEAHAGRAARRKPYHNIYMSNGVSLHELSISLLKGQKMSNRQSDDLCSICSDGGQLLLCDTCPRAFHRECVSLSSAPKGTWCCRYCENRQQRESCLAYNNNAIAAGRVEGVDALEQIFTRSIRIATTPEIGFGGCALCKLHDFSKKKFSTRTVLLCDQCGREYHVGCLKEHNMADLTALPEGAWYCSTDCVRINQTLQDLLNRGGEPVPTMDLAVIKKKREVKGFNEDADLDVRWRVLKDKSSDDSKLVLSKAVAIFHETFDPIIQVSTGRDLIPAMVYGRSARDQDYTGMYCAVLTVNNTVVSAGLFRIMGSEIAELPLVATSRDSQGLGYFQALFSCIERLLASLEVKHFVLPAAEEAESIWTERFGFTKISQDELREYLKGGRTTVFQGTSNLHKLVAKLEC >Sspon.06G0007450-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:28983248:28984140:1 gene:Sspon.06G0007450-1A transcript:Sspon.06G0007450-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQWLTAGRGVVHAEMPAGQGVQRGINIWINLSAADKMVEPRYQDVASHDIPAVVTADGVSVKVIAGECLGTRSPLRPGTPALCLDVALRPGARLRQPVPRGWSACAYVVHGEAAFASNDGSTVAAAARTLVVFGGDGDGVEVRAQADDAAEQGQGARVLLVAARPHGEAVVRDGPFVMNSTEEVEQAREDYRRRRNGFEMADGWTSDHASTVATH >Sspon.03G0014410-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:45485690:45494532:1 gene:Sspon.03G0014410-1T transcript:Sspon.03G0014410-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDSVLFNDLGPSKPPSASFYDDMFDSYFNRAAEAPEPSPKASSSPSMPPPVFDKPVFDDDPDMVDPFDAIPLFGGGGGGEGEDFLGRVGSAAKPERRESEAVGFDEDLLPGLGGSTNSTEEPVREVERDRQPVGFDDDLIPGFDGSMKPAPQMEPETVAFDDGVIPDFGGGTSHPYSAREEPIIRQESESISSSKMSVSTPEDPFVILGGTHKSGYSSFGLFSDPLNNIGMPVKEGNTKVDAPDNTSGIFQGSDIFTDFPNAMPPFSFTSENQSDINERRYAENINSMSHSDRVPQEKPVQQASTEAPDNKLFEMNFPEASVIREVPVTTGFQTLNPFAGEDDLLEANQSSQRPNDVWVTVSDIALVTLPTSAPPPSRPPPPLAAKKPPTESVTSEAYAHHRNQGYHHSACSNTSKTSQIDELEDFFMAKPANFANGHPQVLKHEGNGHYPSAATASFMDWTEMGHSKGGNQGAFDSMFTGNQYRQPEIDEKAEVCAHEMETTDEEERLENERIQREHKEEQRRAEREREEQLEREREKVRQREQEEQRRHEREREARQAVDKAVREARERAAAEARMQAEKEARQRAERAAVWKATTEARERAAVEARERAAKAAAEAKEREAAEARERAAAESRERDAKVAAEARERAARAAAEAREKEAAEFQEKAAAEARAKAERAAVEKAAAEARRRAERAAFERVAAEARQRAANEARERAAAEAWARENQQRRATAEPDLESFFGMPSRSSSVPRSYNNTTTNPFDVQPHGNADSGGVRRTSSSSASSFTQPSSGNLMDGLSSIFGAPSSSAVFQEVDGESEERRKARLERHQRTMERAAKALAEKNERDLQAQQEQEERHRIGESLDFEIKRWATGKEGNLRALLSTLQYQILWPECGWRPISLTDLITAASVKKEYRKATLCIHPDKVQQKGANLQQKYIAEKVFDLLQEAWNKFNSEELF >Sspon.07G0018180-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:79895840:79896840:-1 gene:Sspon.07G0018180-3C transcript:Sspon.07G0018180-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARMQLAVAISVVAAVVVVLAATSEAAISCGQVSSAIAPCLSYARGQGSAPSAGCCSGVRSLNSAASTTADRRTACNCLKSAARGISGLNAGNAASIPSKCGVSVPYTISTSTDCSRVS >Sspon.02G0030330-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:110523814:110525147:1 gene:Sspon.02G0030330-1A transcript:Sspon.02G0030330-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPPPPTSTSTSAPDPPPLPTTTTTTGGVLGLGSLTTSARSLLAAARRSPVTTLVAAFFLLALFMYGEDVRTIAELSIDDYLYPDADFYNVSGLPPLALPPPACDLSRGRWVFDNVSLPAYREKDCTFLTKQVTCLANGRPDHLWQYWKWQPDDCSLPTYVSSLHSSPDLHPHCELAFRLIVLKVAFMEAMRGKRLMFVGDSLNRNQWESLVCLVQPILSTGRKKVVKRGSLTVFHAKEYRATLEFYWAPFLVESNSDNPKIHSIEHRIIRSERIEGHARYWRGVDYLIFNTYIWWMNTADMKVRSASALHCFLSAGLDWFLIEAYGRVFKTWSDWLNDNIDPARTSVFFMTISPLHIR >Sspon.07G0021660-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:3767890:3773625:1 gene:Sspon.07G0021660-1B transcript:Sspon.07G0021660-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVRLGFVVVASVAALTFQRANSGGRHNKDNGQARKREDKARSSEHEEQRQEEREKEEKEEVKTISGIINSACSLDDDDDDDDMLSEIESLLSGDIDIPIPRDRFDVNGRSRYNAYMANEAFEIERLHSLVREMEEREAKLESKLAYMANEISEIERLRSLVREMEEREAKLEGELAYMANEASEIERLQSLVREMEDREAKLEGKLAYMANEASETERLRSLVREMEEREAKLDGELLEYYGMKEMETDVTELQKQLKVKTVEINMLNDTINSLQEGRKNKTVEINMLNDTINSLQEERKKLQDDVAHGEVAKKELEVARSKIKELQQQIQLEAGQTKGQLMLLKQQVIGLKAKEEEAAKKKAEVERKLKKLKELEVEVLELRRKNKELLYEKRDLIVKLDAAEGTITESDVVANAREEINKLRHTNEDLTKQVEGLQMNRFSEVEELVYLRWVNACLRFELRNYQTPSGKVSARDLNRTLSPKSQERAKQLMLEYAGSERGHGDTDIESVSSMPSSPGSEDFDNISIDSSSSRYSFLSKRSNLMQKIKKWGRSKDDSSSLASPIGGSPLRKPKGPLEALMLKNAGDGTTITTYGKRDPNDALDDENVASSFQLMSKTVEGFVEEKYPAYKDRHILAIEREKAIKEKAGQARAQRFGGGHSSALISSPKGALPPKLAQIKERSPAANAESSEQSSDNQNNTLVVSQMKLANIEKRATRVPRRPPPRSTTTLGATNTASGVQMPRAPGAPPPPPPPPGKVGGPPPPPPPPGALPRNLGGGDKVHRAPEIVEFYQSLMKREAKRETSLGSMSSNVSDARSNMIGEIENRSTFLLAVKADVETQGEFVESLANEVRAASFVNIDDVVAFVNWLDEELSFLVDERAVLKHFDWPESKTDAIREAAFEYQDLIKLQNKVSSFTDDPQLACEETLKKMYSLLEKVEQSVYALLRTRDMTVSRYKEYGIPFDWLSDSGVVGKIKLASVQLANKYMKRVASELDGLEGTEKEPNREFLLLQGVRFAFRVHQFAGGFDAESMKAFEELRSKMTTQTSAPQISEG >Sspon.02G0017330-4D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2D:45105689:45108515:1 gene:Sspon.02G0017330-4D transcript:Sspon.02G0017330-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLRRGAPPCPGCPPRALAGVLCGSGGSAGGRCCAPIRRACFLRPTTEKSGGALGYQMKNCRWRPTFALETGGPSNTDGQDFDEDSGFLGRTRLGRLIQAAARELLDKLNSARTNSPTKIFLVLLGFYTANALATILGQTGDWDVLVAGVVVAAIEGIGMLMYRKPITRPPGRFQSLISMVNYWKAGVCLGLFVDAFKLGS >Sspon.04G0008440-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:21112006:21115188:1 gene:Sspon.04G0008440-1P transcript:Sspon.04G0008440-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQVSHAIKALQLLALASVASFSWPPPLYCPVLLAVGQYLNFKVYQLLGESGTYYGVRFGKTIPWVTEFPFGYIKDPQYVGSMLSLVALLCWVPLQYVLLWCLGYVFMMWVEHKEDPATRAK >Sspon.07G0028320-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7C:84327782:84332451:-1 gene:Sspon.07G0028320-2C transcript:Sspon.07G0028320-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALRVSCRSSSGLNVVSCSSLCCCLWMLLSIHVPGAGSLSFNLSFSQPQSPANLRELLNCTGDATIDTEGLHLTKDRGEQPATFSAGQAQYVEAVPLWDRASGKMASFTTTFHFNHNQSRLLCRGPQALGKASKTLGKAFAEHNSCKAASSKIRSAKASLPRAVYRALGKAFAEFGGSLGGDGLAFFLAPPQSGVPANDSSSGGGLGLLPRDPNYWNNIAGIIAVEFDTFQNLDYADISDDHIGIDVNSLNSTASTNITSQNNNLKSDFTKMAIVRYNNITKLLVADLNINNTWYNVNKTIDLRKYLPENVAVGFSAATGSYAELHQILSWSFTSTLQEPPAPAPLPPLTTAPLPPLTPDSIQNPKKTPVGNMIITVLVPLLFLLAGAAVLAFLLRQQKRKRRRRSSGGRGMPPNGSSDDDNDCQEQDDSRAELERGVAASGPRRYAYRDLATATNNFAEDCKLGRGGFGSVYRGKLAVAGEERPVAIKMLSSESSTQGRKEFEAEVRIISRLKHRNLVQLLGWCDSRHGLLLVYELVAQGSLDRHLHSSDSFLTWPERYISQRYRIILGLGSALRYLHQEWEQCVVHGDIKPSYIMLDESLSTRLGDFGLARLGDHGARWHTTRAVMGTARYIDPEFVNTHHPSTYSDVYSFGVVLLEIVSGRCPVIMLELEGGAHFSLVKWMWGLYGRNTILDAADERLRAAGDKADDRCMERVLVVGLWCAHPDQSERPSIAQAMHVLQSEDARLPALTPQMYRTVSEFAVTGRAVGALSVQSSSSRTTTTGGHSKVSSE >Sspon.02G0006550-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:13150848:13154602:1 gene:Sspon.02G0006550-2D transcript:Sspon.02G0006550-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKWGLSSGTPADSYYEVRSDCTDGVPKSKFKIKAGKTLSARKWQAAFSPDGCLDIASVLSRIQRGGVHPTVRGEVWEFLLGCFDPRSTFDEREEIRQIRRLQYARWKEDCRQMDSHVGSGKVITAPLITEDGRPIKDPLVLLEATSDKNTSEGAPTTSINGTEIDESAERITDKQIIEWKLTLHQIGLDVLRTDRTMVFYENKENLSKLWDILAVYAWIDKDVGYCQGMSDLCSPMIVLLNDEADAFWCFEKLMRRLRGNFKCTDQSVGVANQLQHLASIIQVLDPKLHDHLETLGGGDYLFAFRMFMVLFRREVSFGDSLYLWEMMWALEYDPDIFFAACEEQGAVHKNKVSKSKLKGLRHFGKWDNNKDKDKDKDKDNAKNGAEDGEDGPVPISVFMVASVLKEKREKLLQEARGLDDLIRILNDVNGNLDAKKACAGALKLHKKYLKKVQQAKKP >Sspon.01G0053400-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1C:36054036:36054911:-1 gene:Sspon.01G0053400-1C transcript:Sspon.01G0053400-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHEATRPPESRLKLNAVPYIDATSGSMSRDVLSQQKKTCLPSGFGGLELESSGATFRGPNRHGYYGPGLFLQLCFRCMVERGSRRLAAGRRHASVHLLDDADVNEVATGKATTTRAARSGHASSARSL >Sspon.06G0005440-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:16788877:16794244:1 gene:Sspon.06G0005440-4D transcript:Sspon.06G0005440-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding PRTMAASRALLPSPQASASPASARRARVAAAVPSSLTLAARGARLHRVRCAVLSSPAPVAPSESKPAHRISRSGSDGALRPKPAVLVAEKLSEAGLAVLRQFADVECAYGMSPAELLAKVSQFDALIVRSGTKVTREVLEAGRGRLRVVGRAGVGIDNVDLQAATEVGCLVVNAPTANTVAAAEHGIALLASMARNVSQADAALHIGKWQRNKYVGVSLVGKTLSIMGFGKVGSEVARRAKGLGMHVIAHDPYAPADRARALGVELVSFDEAIGRADFISLHMPLIPTTSKIFNDESFAKMKTGVRIINVARGGVIDEDALVRALDSGKVEQAALDVFTVEPPPKDSKLVLHENVTVTPHLGASTVKWQEGVAIEIAEAVVGALRGELAATAVNAPMVVCQIMSELAPYVSLAEKLGRLAVQLVAGESGIKGVKVVYTTARGPDDLDTRLLRAMVTKGLVEPVSSTFVNLVNADYTAKQRGLRITEERVAHDSPAAEAPLESVQVRLSNVQSKFAGAISDGGDIVLEGRVKYGVPHLTLVGPYEVDVSLEGNLILCRQIDQPGMIGKVGNILGQRNVNISFMSVGRKFRGKQAIMAIGVDEEPDKETLENIGVIPAIEEFVFL >Sspon.01G0033580-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:113078679:113081899:1 gene:Sspon.01G0033580-1A transcript:Sspon.01G0033580-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIHIDLCKVPETFFGLGLLLQLCDVIKVHQGGALMQLCSVIMVDQDGGVNEAGLGAQGKEELEMFPMQAMLPRMIVATCGCSLCPMQMINIFHLGSIENNMVSQ >Sspon.07G0022010-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:6160800:6162407:-1 gene:Sspon.07G0022010-3D transcript:Sspon.07G0022010-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQELERQIEQANATARSSHRPELQRTHVSGSGSSRRKKPGLVVDAEAPGADQPRDQSNTLLVEVMQELDRVKRELWELQREVKAAREAKAEADGDAETPTPRAMSPGSRTLDGVEREAGEENEEERGRGIAELAVAGGFREGVQVTRALADVLRRDRSLGASDPDDRFATASSSDVGLEPADTAVVPATEETNHAEYALTIGRHGEHERDDRSSRQAAESELTSARVELESIKEEGARFTGSVERTRMEKARVTEEIDRLAEQEERASAQVQQLNARLLRARSRLDAATAAEEMAEAVLAKLSAALRQLGEETEAAEKERALTELENQCVREDADTVGAEIAAVEQRVRESVKELEAARASEAVATAKLRAAVEAATLARAAVMSQQTSGNVTISRFEYEYLTGRPEVVRTVAEKKVAAAEAWAEALRAGEKEIAMRIEMIEKEMAQARALEADATDSPSGEEPEPRVGLQRAQTWRRPALREGVGSETSRTTGTPSSSVVLRKQRPPSFSIKSRKTRTVVSKYLKVITGKCGG >Sspon.04G0000400-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:3574291:3575180:-1 gene:Sspon.04G0000400-3D transcript:Sspon.04G0000400-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DMAGAAAAAANGGAAAAAAAATGAGSPCGACKFLRRRCVPECVFAPYFSSDQGAARFAAIHKVFGASNASKLLSHLPVADRCEAVVTITYEAQARLRDPVYGCVAQIFALQQQLMQAKAQLACGVQGAAHSPASHHHSALLRQDTAYSARRPGGPLDDCFMPELMAGFRDDVAAAAQHCAGKVDAGELQYLAQAMMRSPNYSL >Sspon.08G0020700-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8C:26865955:26869081:-1 gene:Sspon.08G0020700-2C transcript:Sspon.08G0020700-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RRKYEHVDTPPRRHLPPPRPCASSLAVPVNRIQSRHRQATAAVNALLLLASACSPSPRVRPASSSRTGTGTTHSARAGRGPHRAGVQSVAHAPLRLGVVGARRIPPPEPVNSTVHSSLAMPGDGGAAGRAMWVCAVLAALPFLAAAEAAGRYRAVFNFGDSLVDAGNLVTEGIPDYLATARLPYGQTYFGYPTGRCSDGRLVVDFIAQEFGLPLPPPSKAKNASFAQGANFAITGATALDTDFFQKRGLGKTVWNSGSLFTQIQWLRDLKPSLCSSAQECKEFFAKCLFIVGEFGGNDYNAPLFAGKDLKEAYKLMPHVIQGISDGVEQLIAEGAKDLIVPGVMPSGCFPVYLTMYTDPKEGHGSRTGCLKRFNTFSWVHNAMLKRALEKLREKHPGVRIIYGDYFTPIIQFILQPKKFGFYKQPPRACCGAPGRGPYNFNLTAKCGEPGASACADPKTHWSWDGIHLTEAAYRHIARGWLHGPFGDQPIVQSS >Sspon.04G0018630-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:76157561:76164305:-1 gene:Sspon.04G0018630-3D transcript:Sspon.04G0018630-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLGQRPGDKSDSRYCGVEVLDFPAGEGLPAVLSHSLASAFDFILAPLVDPNYRPAPGAVLPVSASDLVLGPAQWSNHVVGKISEWIDLDAEDERLRLDSELALKQEIAWASHVSLQACVLPAPRRSFCGNYARVVNQILQGLTNMHLWLRLPLEKSEPMDGNLVKIKNNNHTSEIVDSWELWNSFRLLCDHSSQLCVALDISSTLPSINSLVRWFGEPVKAAILQTNAFLTNSRGYPCLSKRHQRLLTGFFNHSVQVIISGRSNFNVFPASGVLSGDESYTEDSPVRHALTPYLEYIAYLYQRMDPLPEQERFEPLMDNLEAQTYETFEKDVVKYTQYRRAVAKALVDRVADDAVSTTRMVLMVVGAGRGPLVRASLQAAEETGRKLKVYAVEKNPNAVITLHSLIKLEGWESLVTVISSDMRCWDAPEKADILVSELLGSFGDNELSPECLDGAQRFLKPDGISIPSSYTSFIQPITASKLHNDIKAHKDIAHFETAYVVKLHRIATLAPPQQVFTFTHPNFSPNASNQRYTKLQFEMLPDMGSCLVHGFAGYFDSVLYKDVHLGIEPNTATPNMFSWFPIFFPLRKPIYVPEGSPIEVHFWRCCAPTKVWYEWAVTTPTPSPIHNSNGRSYWVGL >Sspon.06G0010370-3P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:62321061:62325033:-1 gene:Sspon.06G0010370-3P transcript:Sspon.06G0010370-3P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANLEDVPSLDLMHELLRRMKCSSKPDKRLILIGPPGSGKGTQSPIIKDEYCLCHLATGDMLRAAVAAKTPLGIKAKEAMNKGELVSDDLVVGIIDEAMKKPSCQKGFILDGFPRTVTQAQKLDEMLAKQGANVDKVLNFAIDDAILEERITGRWIHSASGRTYHTKLHLQSLRELMM >Sspon.08G0022870-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:51614593:51620416:1 gene:Sspon.08G0022870-1B transcript:Sspon.08G0022870-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWLTKFFRGSTHNISEGQYHSRPAEDAAWNEPSSSPVVTDILSEFNNEDIDRAIALSLSEEEQRKAKTIDKDMHLEEDEQLARAIQEKMAVPMVALHIICLAKLAMVAMHISHFAKMAVPMVEMHITHYHLCSHPDSERVQDVTERLVTGVFSVAWELFGIRNFSMSGIIHTIKLATRSSFTQNIPTNMNGLIEYRAHPFWLQKYCPSHEVDGTPRCCSCERMEPRESRYVLLDDGRKLCLECLDSAVMDTNECQPLYLEIQEFYEGLNMKVEQQVPLLLVERQALNEAMEGEKAGHHHLPETRGLCLSEEQTVSTILRRPRMAGNKIMGMITEPYRLTRRCEVTAILILYGLPRIPDTESRRRRGHLPSSCSPVDRVRDHGRIRQQRCFVILRIFFIHVIQKGGRSQFEHKLGDFFKHQIETDASMAYGEGFRAGNRAVLQYGLKRTLEHIWLTGTFPF >Sspon.04G0015280-5P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:18171202:18173131:1 gene:Sspon.04G0015280-5P transcript:Sspon.04G0015280-5P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPLRLEIKRKLAQRSERVKSVDLHPTEPWIMSSLYSGSVCIWNYQTQTMVKSFEVSELPVRSAKFIARKQWVVAGADDMFIRVYNYNTMDKVKLFEAHTDYIRCVAVHPTLPYVLSSSDDMLIKLWDWDKGWMCTQIFEGHSHYVMQITFN >Sspon.07G0019330-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:71626333:71627121:1 gene:Sspon.07G0019330-3D transcript:Sspon.07G0019330-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAVALLAPPSPAAASRAARAPVHGGDPTVGFTEEKLTESNFELQRPYDVPSGDRYSFHGGVRQLWVLSSDKPHDRNSHTSPRTEIRMTGYDYSSGLWQFEGYGYVPSGTTGVSIMQVFGAGAHATTLMLHVYDGALRYYDQQVVEDGIYDRWFRLNVVHDVGASTVTVYVDGVEKLRAPGRGGDSHYFKFGVYAQSHASSCMESRWKNVRMFRKDD >Sspon.07G0029130-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:75755348:75762034:-1 gene:Sspon.07G0029130-2C transcript:Sspon.07G0029130-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSFHRALDGGEAARTELVLLLVLSVVLTLVSSASAIDGSTINLTAGFVQVELPEGNFQVQSPYDVPENQRYSYDNVTGVRTFLVYASDKPFNTVTGTEPRTEVRLTGHDYSSGVWQFEGYGYVPAETSGASVMQIHNEDAGAKAMTLMLHVYNGTLRYYSGEAVEDGIYDRWFRLNVVHDVDASTIALYVDGAPRLAVNVTPSASHYFKFGVYMQHHDVSPLMESRWRNITVELPEGNFKVQWPYDVPENQRYSYDNATGVRTFLVYAGDKPFNNVTGTNPRTEVRLAVGTHPSNHEFTSSVFFLHAYTYVCFCMHDLQGHDYSSGAWQFEGYGYMPTGTSGASVMQIHREQGAAPATVLMLHVYNGTLRHYSGEAVEDRIYDRWFRLNVVHDVGASTVAVYVDGRRKFGTSVIPSDSYYFKFGVYMQHHDVSPLMESRWRNITLYTKPY >Sspon.03G0001670-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:18393022:18395322:1 gene:Sspon.03G0001670-2B transcript:Sspon.03G0001670-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRDLEDDGHGAVCSVIAAGGEAPEVAVGGGGGEAGDAHDNDVVMPGFRFHPTEEELIEFYLRRKVEGKRFNVELIAFLDLYRFDPWELPAMAVMGGKEWFFYVPRDRKYRNGDRPNRVTGSGYWKATGADRMIRGENNRPIGLKKTLVFYSGKAPKGVRSSWIMNEYRLPPPATDADPLIPKSEISLCRVYKRSGIDDGHGQSSSSTQASSARRTSSRTGVPTTTVRHGSLPSSTPLSPTQHLGSFHLLQGEFSSASPPAPIMDHQVVTVHSAPPQLLPHPMPCTYAPVTTMSTAEAAPQSAQAGAATALASTYSLFNMSAGAAPMAGSSRSVDELSTLVGPTPTQAYASLSAATGGHLLPILTTPPPPMLQMTPHGALPMVAPPSSSSVADKLSWDWNLIPDTTDRDYNPSGF >Sspon.05G0026720-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:38428233:38428871:-1 gene:Sspon.05G0026720-1B transcript:Sspon.05G0026720-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MERDLVMPSWVREAAAYGGAMESSPSRSRSSSGCQSGWTLYLDHSNGNGMRYLPCDDPGAQRWMVLQEADDVEDSMASDASSGPRLLDQDDDTRRHFEQRPQGYIGQHSYSSSVHYRSARSDGSGGFGSSTWSSSRSSQGGVKGHVGRRGVVVHGGEATTTRQYSEIVVDEEEELDDTASSSAVFCNPVVMVHVNFSSCRKHQRSSHELRNI >Sspon.08G0009980-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:42603804:42606732:-1 gene:Sspon.08G0009980-3C transcript:Sspon.08G0009980-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LPLRPTRLTQRHIPSMAALADVDSSALPPSESSKGKGKMDDEAEAEGAEKMCGICYVDGRRAIRGELDCCAHFFCFVCIMAWGRVESRCPFCKARFRTIRRPPVAGRFPDERIVSVPERNQVYNPQGNGSSTVGRDPYVNTICTVCSSSSDDELLLLCELCDSAAHTYCVGLGTTVPEGDWFCKDCGTIRDDQLRWQAENQGEFEIGIDVPEAEPVPDPLVSGVVDEEHDLERTDERSSGGSMGDPVPSIYDIVDDDFVTIAGIFRRRITEDIRPQGTSAEDIRPQGTSAGSQCPRSTQGRDNGLAAYHARIRLEVERARTLRNSRNLDKRVRELRENWAALRDGSVGFAPHMPGRRRKDMAGSASVATEHQCYAEPVTPSSRNGVAAASVPLRTPLSEETSTSLGHGKKVLHKDTRDARKAWKRLEMAKSSGGRKISNTPASLNCSPPFSMGNRSTSYSPIDTIVGHKNGNLPNKSCQQNFSACGHGTKVEHTPPANNSRGCHSLPENCRASVHERMVSFQNRINRESLNDNVASSIHNQHVDQSLVSSCSICRPEKLKSDMLHPQKYSSSSGQSAVTSSLQLGPSVGSGSQSTMVVNPEECSAVCDEGIAATIEVRKSSSPDRHEHKRKHSSERCHDQGSKRFRSTCKIAKSEISSLAIRELKLLKIDKTHGSDRFKEVARTATHSILAACRFEHSPSQSLALSRPVCKHSPKVKQLNSSAITDFCRECLRNFVKEAVSLALSGRQMDQTGTTC >Sspon.01G0017410-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1A:63913958:63916465:-1 gene:Sspon.01G0017410-1A transcript:Sspon.01G0017410-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKLHYFHQQYRRATVLIQCCWRQKLAKRALRNLKHAAYETGALREAKGKLEKSLEDLTLRFTLERRQRLATEESKALEISKLLKILDSVKSELEASNEENKNSCKKIASLQHQLDLSSKDQEAQQNNLSQIEEVKRENILLKTKNAEMEQELLKAQKCSHDNMDKLHDVEKNYGHLRDNLKKIKKVEDEF >Sspon.03G0045170-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3D:10582647:10582883:1 gene:Sspon.03G0045170-1D transcript:Sspon.03G0045170-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMGARFRMLARNARGCGVRGAMRAGTGCDAGCGSCVRTRLAAGRQGASPSIPSIRLAADVVINDDDDDTRTDGQINK >Sspon.02G0039770-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:55124718:55128568:-1 gene:Sspon.02G0039770-2D transcript:Sspon.02G0039770-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRLLSRARLVKLDRPILPPALTRLLSRGPPTPTTSEPEDKGKKAAAAAAVLVEAAATNRREDVEVGRDGSEEDDEDAGLPWRSWRPDVAWLSKALEPALDLYKQYSWKPFASSGRAENIPASTRTFSEILSDLQRSKISIKDWSLSDLTVGLYLIYLSQASSKNEAFKGVQISSNKMVQELIYHLELARGCYKGNATGLARYSMLRKRNVVKFVKDSSILRPGYYIGIDPRAKLVILGIRGTHTVYDLVTDLIALSDKKVSPKGFSTHFGTYEAARWYLRHELGIIRKCLEKHKDYKLRLVGHSLGGASAALLAIMLRKKSKEELGFSPDIISAVGFGTPPCISKEAAESCASYVSTVVLQDDIIPRLSAASLARLRNEILKTDWVGVLEKEDLKHIVDIVTNAKLVVSSIQDVARKLGDYAKIVPVSTNSGVTKDPANSTEMLSSNSRNDVFVPEDLFLPGTLYYLQRDIEDINGVEDESYTLWEGDPGENFQRILLSGNLISDHRCESIYYALREVLKTLPPPQAQDE >Sspon.06G0011560-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:52692638:52694627:-1 gene:Sspon.06G0011560-4D transcript:Sspon.06G0011560-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASAAEVRYGIVGVGMMGREHLHNLAHLAAEVEREQSVRVRVTGLADPHQESLRLGLQLAAELSLPAPQVRFKTFSGHRELLDSGLCDAIIVSSPNMTHYEILMDIISHREPHHILVEKPLCTTVQDCKKVIEAAKQRPDILVQVGLEYRYMPPVAKLIDIVKSGTLGQVRMVAIREHRFPFLVKVNNWNRFNCNSGGTLVEKCCHFFDLMRLFAAANPVRVMASGAIDVNHKDEVYDGKVPDIIDNAYVIVEFDNGSRGMLDLCMFAEGSRNEQEICVVGDIGK >Sspon.05G0015380-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:56291828:56294466:-1 gene:Sspon.05G0015380-4D transcript:Sspon.05G0015380-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSEVIEAGKLVNLWNEWGIQILVLVSFGLQVFLLVFGGVRRHSNSTALMLEDLLLGAHSQFDICKGVFADIIMLPNPSVRSQSKPRSVISYLGEDLYKLVEMELSLMYDFLYTKAAVIHTWYGFCIHFISLFGTATTFLLFQLSINSSGNRYSRVDVAISYVLLVGALVLEIISVCRAVLSTWTCSLLHRRGRGWEWPLHIVTSLGQRVHPASRRLWSGSIGQYNLFHLCTRNTNEVGSRLAMKLGLEDWWNKMHFSGTFPHANSSLSIQDIKKLVLQALRSKEQALQHQDTDLNSRGSFILKRMEAYKDFARWSVNIDFDESILVWHIATEVYIRKSKAKHAKELLEATEVLSNYLMFLLVVKPNMLPGAARHNIHLTSCEQLEGQCRMRFGDKDNPMAPSPVSWNPYYILKELFHHDGPSCSRIPRREKLAEVAWSFSQFALVSVRAPNPHGDSIRDNANMYAILLANELLSIELQWQDQRDTLELILGVWVEMSLYAADHCSQESHARQLSNGCEFITIVSLLAHHFKYYSGAFRGTDNLGEDKPASENNPVTENNPMSENCGNL >Sspon.08G0025870-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8C:13987840:13988129:1 gene:Sspon.08G0025870-1C transcript:Sspon.08G0025870-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSSSSCCGSEKVEQGCVSSSPMSSTWRIFTYKELHAATNGFSEENKLGEGGFGSVYWGKTSDGLQ >Sspon.01G0038900-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:32093498:32102726:-1 gene:Sspon.01G0038900-1B transcript:Sspon.01G0038900-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VMWARFWFSVPAKRPPPPPFTPPPPPPAPPKYGPLPEPSSVASLYDLAGNFLHRAKTVLDTTGTGGPAGLDAISSTSGARRAAAELTAPASDAAPAATKSDSWTLSSRAVHWIIVGAVVAAVLLVLCVVACFVRRRRRRRRRRPVVLVPPQLPAPMVYHTDGPTWPVLQQAPSEHYFAQQQRPTPPQTSGAFSDAGSENRLHSVDIVTELPTGGSHSYEQLAAATDGFAPGNIIGQGGFGQVADFGLAKYQPGDHTHVSTRIMGTFRYIAPEFLSSGKLTDKADVFSFGVVLLELITGRLPVQSSQSYMDDTLVGQARPLIQQVAEDGSLQSLIDPRLGTDYDPSIMMRMVECAAAAVRQSALQRPSMVQILKYLQGETRADDLSGVFKITTVEESYSSSMESGESVGPRPRRTQRSQGNTSNDYNSEQAPGDKPNWSTGSVRLKEIVYTIAYMVLASGQRVDDEGEDLVHGLPIRPRLHPLEPEVHDLALPSAVGRGRASVAVSATWNGRRRASVSAASRIQAAGDEEAGCRGRQRGVLLLPRIWGVWGLQLGRPRSGDEEARSTRGELRS >Sspon.04G0015290-1P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4D:65930892:65935381:-1 gene:Sspon.04G0015290-1P transcript:Sspon.04G0015290-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQQPPVNAAAPQPVLEQGKSAPSVSGGDWASFDAFGQQQTPQTSSSVNPLESALAQLSFSEAPSAPNASAYPASLDPTLKANDGGHSSVLDHSHSLFDAPFGISGNQASTVMSGQGSSVQQSPLAAPTAGLPSQATANPQGTSGIQGAASSTDSKFSGRKELPVDIFTALYPPSTPMMPGWQRAPQFGMGYAMQYPPGVGMQAYPHGAFPQPTYQQPGYSQHAYSHPQPVKASNPFDLGNESAPIQAHMPPSGPPGGASGPAPQTLIGNSSFGVPPQQPHQLYQSAAHPSHFMMQQVPNSMPMPGQQPNSMLATTYNDVSIEQIDITEDDDISALQFEPPDLHVEMSQEMVDINLANSSQGIKAFYFVMDRVQTGNLLGSRSFDGPFYLEQRKTIHLYRCPMLKFVLPLSWNHTLSSLETLHIVWCGDLRQVFPVEAGFLNKIAAEHPNGMLEFPRLKDLYLHHLSSLRQICEAKMFAPNLETVRLRGCWGLKRLPATNKGKHPVVVDCDKEWWDNLEWDGLDFSHHPSLFAPSHSSYYKKRMLRGTVLR >Sspon.05G0021270-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:89673518:89677718:1 gene:Sspon.05G0021270-1A transcript:Sspon.05G0021270-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPPPPARRPPPRLPHRTAAATPTLLLLLLLLTLLLLSPPPASAAQHHRHHTTGDGVVISQADYQGLQAIKHDLSDPYGFLRSWNDSGVTACSGAWAGIKCVLGSVVAITLPWRGLGGTLSARGLGQLVRLRRLSLHDNAIAGPVPASLGFLPDLRGVYLFNNRFSGAIPASIGGCVALQAFDASNNRLTGAVPPAVANSTRLIRLNLSRNALSDAVPVEVVASASLVFLDLSYNNLSGPIPDAFAGSDKSPSSTSSKLSLEDDDDSKEAITGRYQLVFLSLAYNSLDGPIPASLTKLTKLQHLDLAGNSLNGTIPAQLAALADLKALDLSGNSLAGEIPPGLGNLTATLQSFNVSYNNLSGAAPASLAKKFGEPAFTGNVLLCGYSASTPCPAPPSPAPASPAEEPPSRGGRKFSRRKLVLIIAGIVVGVLVLLLLCCLLLCFLGRNKRSSGGTAGTRSAKQAAAKEAGGAGAGAAAAGRAEKPGSGAAEVESGGDVGGKLVHFDGPLAFTADDLLCATAEIMGKSTYGTVYKATLEDGSLVAVKRLREKITKGHKEFEAEAAVLGRIRHPNLLALRAYYLGPKGEKLLVFDYMPNGSLHSFLHGVVRLTELGLDMSKILSVRGSEHQQNHSVASAKTDVYSLGVIILELLTGKSPADSTNGMDLPQWVASIVKEEWTSEVFDLELMRDAATAAGSAGDELMDTLKLALHCVDPAPAVRPEAREVLRQLEQIRPGPDGGAGPSEEGGAAHVPAASASAGDE >Sspon.02G0034580-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2B:13546540:13546929:-1 gene:Sspon.02G0034580-1B transcript:Sspon.02G0034580-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADHGSPSCHRCPEVKDLGLPSKDWCSEVADLERSSDHRCLEAANLDLLSVSSPHESPWGPCVCLPSAPTDILLTSVPNFGTLYLAQVAILLFGNNDRMKSPTTHGLHDETTWFPNQNYQEVYREDESQ >Sspon.04G0023110-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4B:10583490:10583723:1 gene:Sspon.04G0023110-1B transcript:Sspon.04G0023110-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQTCQNHGFLVNHLATDYHIYKREIIEAGKDKTKGNHSKKAKDNTNKDDKGGYPSIEGVMIIFGPPGLRGPPSLE >Sspon.04G0002320-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:49985134:49991076:1 gene:Sspon.04G0002320-2C transcript:Sspon.04G0002320-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQCSNLSGLNLEGEISPAVGSLKSLVSIDLKSNGLSGQIPDEIGDCSSLRTLDFSFNNLDGDIPFSISKLKHLENLILKNNQLIGAIPSTLDLAQNKLTGEIPRLIYWNELPTNLISDVKNNSLTGVIPDTIGNCTSFQVLDLSYNRFTGPIPFNIGFLQVATLENDVITCLIYISLPAKMHVPFIRSLQGNKFTGPIPSVIGLMQALAVLDLSYNQLSGPIPSILGNLTYTEKLYIQGNRLTGSIPPELGNMSTLHYLELNDNQLTGSIPPELGRLTGLFDLNLANNHLEGPIPDNLSSCVNLNSFNAYGNKLNGTIPRSLRKLESMTYLNLSSNFISNPGLCGYWLGSSCRSTGHREKRCHNWRCCGWTCYPLDDLSSCLRPHRPPAFKDVTVSKPVSNAPPKLVILHMNMALHVYDDIMRMTENLSEKYIIGYGASSTVYKCVLKNCKPVAIKKLYAHYPHSLKEFETELETVGSIKHRNLVSLQGYSLSPVGNLLFYDYMESGSLWDVLHEGSSKKKKLDWETRLRIALGAAQGLAYLHHDCSPRIIHRDVKSKNILLDKDYEAHLTDFGIAKSLCVSKTHTSTYVMGTIGYIDPEYARTSRLNEKSDVYSYGIVLLELLTGKKPVDNECNLHHLILSKTASNEVMDTVDPDIGETCKDLGEVKKLFQLALLCTKRQPSDRPTMHEVVRVLDCLVNPDPPPKPSAHQLGQLPQPSPAVPSYINEYVSLRGTGALSCANSSSTSDAELFLKFGEAISQNME >Sspon.02G0015470-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:40646484:40648016:-1 gene:Sspon.02G0015470-2B transcript:Sspon.02G0015470-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPPVSCQALHGPHVAIFPLMAKGHTMPLVDLACLLRGRGLAAVTFVTTPGNASFVRAALRRGGAGDGDDAAVLELAYPAGGHAPAGGEAAEGVASASSFAAFAEATSALQPRFEEALAALRPPVSLLVADGFLYWAHASAAALGVPSVSFLGTSAFAHVVREACVRDKPGASVVSPQEGGAGFDDDASTSAATTYYTVPEFAHLRFSLRDLVPPPLPMIDLDTKMAAAVAASRGLIVNTFHDLEGRYIEHWNQHIGPKVWAIGPLWLARQSSSFSSTGSQQLHAKPSWMQWLDDMAAAGKSVLYISLGTLAAISQAQLKEVADGLDRAGVNFLWAVRPDNADLGRGYEERVVGRGKVVREWVDQRQILRHPCVRGFLSHCGWNSVLESVAAGVPLVAWSCEFEQPINAKFVVDELRIGVRVHASDGAIGGLVKSEEITRAVKELMFGEAGMAMALRATEIAAQAQLAVSDGGSSWKEVEEMICELCVVDMHGWEANGSRPNLCTHILP >Sspon.01G0002410-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:6924963:6926840:1 gene:Sspon.01G0002410-1P transcript:Sspon.01G0002410-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAGHGLRSRTRDLFARPFRKKGYIPLTTYLRTYKIGDYVDVKVNGAVHKGMPHKFYHGRTGRVWNVTKRAIGVEINKQVNGRIIRKRIHVRVEHVQPSRCTEEFRLRKAKNDQLKADAKARGEVISTKRQPVGPKPGFMVEGATLETVTPIPYDVVNDLKGGY >Sspon.05G0020580-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:87456985:87459669:1 gene:Sspon.05G0020580-1A transcript:Sspon.05G0020580-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding KGGLISSLQSITSQQKIPSTLITSRQDIGSIPHCATQIQRSSTLVRTLQRRKRKTTFGDGTATAANPLAPEAVEKLISDTGESIRKMTGVEAAAISALVSGTLKVVGNKLAPLLIKEYSSIVGVKEDLQELHDLVEGINFWLEKTAENSIGSTQSFAWLKKLKDISYDVDDVVDEFQLKAERHDSNSDSVGRDKNKQEIISMLEENDDQQKIKIVSVIGLGGSGKTTLAKLVYNDGNIIERHLFEVRLWVHVSKEFVVNDLIKKFWKLFQQSLVTPPTGWDFEEVGKAIVDKCGGVPLAIKVLAGALHGKERIEEWQDVRYKNLLNVDGKEDRVAACLRLSYSHLPFNLKQCFKICSLFPKGHRIDKEQLIDLWIAHDMIAVEDGVDCLDWSMLATTTLSLFCKCISYKTSTKQMGE >Sspon.03G0003680-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:9536663:9541633:1 gene:Sspon.03G0003680-1A transcript:Sspon.03G0003680-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARIPSLKSLNAFPHAEEHLLKKTYSGAVVTILGLLIMITLFVHELQFYLTTYTVHQMSVDLKRGETLPIHINMSFPSLPCEVLSVDAIDMSGKHEVDLHTNIWKLRLDKYGHIIGTEYLSDLVEKGHGAHHDHDHGQEHHDEQKKHEQTFNEEAEKMIKSVKQALENGEGCRVYGMLDVQRVAGNFHISVHGLNIFVAEKIFEGSSHVNVSHIIHELSFGPKYPGIHNPLDETSRILHDTSGTFKYYIKVVPTEYKYLSKKVLPTNQFSVTEYFLPIRPTDRAWPAVYFLYDLSPITVTIKEERRNFLHFITRLCAVLGGTFAMTGMLDRWMYRLIESVTNSKTRSVLR >Sspon.05G0009990-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:28552780:28554562:1 gene:Sspon.05G0009990-1A transcript:Sspon.05G0009990-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKALLGGLSAILVVAVVVGVVATVTRSGKKAGDNFNVPGEASLATSGKSVKSLCAPTLYKESCEKTLSQATNGTENPKEVFHSVAKVALESVKTAVEQSKNIGEAKASDSMTESAREDCKKLLEDAVDDLRGMLEMAGGDIKVLFSRSDDLETWLTGVMTFMDTCIDGFVDEKLKADMHSVLRNATELSSNALAITNSLGGILKKLDLDMFKKDSRRRLLSEQDEKGWPVWMRSPERKLLAAGNQPKPNAVVAKDGSGQFKTIQQAVDAVPKGQQGRYVIYVKAGLYDEIVMVPKDKVNIFMYGDGPKQTRVTGKKSFADGITTMKTATFCEKQIFFFFFGLIIKTQTAIEASGFICKNMGFHNTAGAEKHQAGDLAAFYNCRFDAFQDTLYVHARRQFFRNCVISGTIDFIFGNSAAVFQNCLIITRRPMDNQQNSVTAHGRTDPNMKSGLVIQNCRLVPDQKLFPDRFKIPSYLGRPWKEFSRLVIMESTIADFIKPEGYMPWNGDFGIKTLYYAEYNNRGPGAGTSKRVNWPGFHVITRKDAEQFTAGPFIDGATWLKFTGTPHILGFKF >Sspon.05G0012390-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:27455556:27461117:-1 gene:Sspon.05G0012390-3C transcript:Sspon.05G0012390-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLRRVFFLLSSPSPRAPLAKPLLLLRPPKTLAPTARQLVAMSAPAPSAGGAGAMSRDAFRAAVTNTLERRLFFVPSFKIYGGVAGLYDYGPQGAPSKLTSSLSGARGHIHFVLEEGMLEVDCPCVTPEVVLKASGHVDKFTDLMVKDEKTGNCYRADHLLKDFCKDKLEKDHTLSPEMVEEYNRVLAILDDLSAEQLGAKIKEYGIVAPDTKNPLSDPYPFNLMFQTSIGPSGLSPGYMRPETAQEIEHFVDPEDKSHPKFGDVSDLEFLMFPREDQMAGRSATKLKLRNAVSEDKSGEKLEAHEKFAEPREVEKLVITPSKKELGLAFKGNQKMVLESLEAMTETEALDMKAVLESKGEVEFKCTVFPLVKLEKFEAVAKKISKALTAAGISHIIDMTGNTIGKRYARTDEIGVPLAITVDNTTSVTVRDRDSKDQIRVEVDEVASVVKEVTDGQSTWADIMWRYPAHTASAADEEESQP >Sspon.02G0041820-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:73057821:73059572:1 gene:Sspon.02G0041820-3D transcript:Sspon.02G0041820-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLGTSKGILEIAKFGVYVSVPVALTYLVATDSKTLKKLMGLRPYVVYPPEGPRPPPPEELRERAREIARKRQQS >Sspon.07G0010320-2P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7A:31604040:31605618:1 gene:Sspon.07G0010320-2P transcript:Sspon.07G0010320-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MESFVMPRQKSAKPHNRSEPKAFQGYSVWFLNRAALITAGDVATVDGSVVRPWRICTVQQVEDFKAVLRILPLWSASIVLSIAFGTQINFTVLQALAMDRALGRFTVPAGSMSVVILVSIVVSLVLLDRALLPLWQRLTGHTPTPLQRIGAGHVLAILSLAASAAVERHRMATVRAHGEEVHPAWVSPLSAMWLVLPLALAGAGEALYFPGGVTLYYEEFPPSLKNTSTGMVAVIIALGFYLSTALVDVVRSTTAWLPNNMNASRLENLYWLLTVMAAVNFGYYLFCAKLYKYQNV >Sspon.06G0016790-4D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6D:73000835:73001881:-1 gene:Sspon.06G0016790-4D transcript:Sspon.06G0016790-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRGEPLHFAGCNGFDTACGNWLVYRRSCDLLLVDPFSGATMTLPAQSSVRLGNEDEGEDSRDGHDSADDGEDSEGGHDSADDGDDSEDGSVYTDISVKLLTDVKYSDVIKLLVCSPNLIAALFKGRESNRLAVCRPGGSMWSVAGDLSLWITDMAFYQGKLYVVGYHEDLLALDISVDDNTGDPRVAQIGRVINVSHFDDEWTMLRMLYLVESCGSLLLVRRRIFHTHVHDDEQIHTFAGQCEPDLSIFEADFALSQWSKVMALADNQALFLGPCSRAVCMAQSDSPGNHVWFLDDYKDFHLWTEYRSRLSSGTSSVANPKPFSPLPMISWKGYLGNAGAAWLFPAN >Sspon.02G0037560-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2D:30264973:30266317:1 gene:Sspon.02G0037560-2D transcript:Sspon.02G0037560-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LRYRKIFVSAEVVKNTTYNYSNIAWSPYGAYWRQARKICADELFSARRLESIEHVRQEEVHALLRILHGTAGQVVPLKECLSTMSLNIITRMVLGRKCVDKEVVASGGGSVTTWKEFRWMLDELFLLNGVLNIGDWIPWLSWLDLQGYVRRMKRVGRMFNQFMENVVEEHNERRLREGDAFVPQDMVDRLLQLADDPSLDVKLTRDSVKAFTQDLVAGGTESAAVIVEWAISELLKNPDVFAKATEELDGVVGRDRWVTEKDIPHLPYMDAIVKETMRLHMVVPLLSPRLSREDTSVGGYDIPAGTRVLINAWTISRDPALWDAPEEFRPERFVGSKIDVKGQDFELLPFGSGRRMCPGHSLGLKVIQVTLVNLLQGFAWRLPDGMTEEELSMEEVFGLSTPRKFPLQAVVEPKLPARMYT >Sspon.02G0015690-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:41149890:41154750:1 gene:Sspon.02G0015690-2B transcript:Sspon.02G0015690-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHPRLVLPSPKPLLPAAAIATPSRRAVAVRAALSTASPPTKAAAGAEAVRSIRARQIVDSRGNPTVEVDLVAGDGRLHRSAVPSGASTGIYEALELRDCDKAVYGGKGVLQAVRNINDVIAPKLVGVDVRNQSDVDAIMLDIDGTENKSKLGANAILGVSLSVCRAGAGAKRVPLYKHIQELAGIKELVMPVPAFNVINGVYHVLKGIIKSKYGQDACNVGDEGGFAPNVQDNREGLVLLMDAIEKAGYTGKIKIGMDVAASEFLTKDGSYDLNFKNQPNDGAHVLSAQRLGDLYRDFVKDFPIVSIEDPFDQDDWSSWASLQSSVDIQIVGDDLLVTNPKRISEAIGKKACNALLLKVNQIGTVTESIQAALDSKAAGWGVMVSHRSGETEDNFIADLAVGLASGQIKTGAPCRSERLAKYNQLLRIEEELGDVRYAGEAFRSP >Sspon.07G0003230-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:7365297:7371919:-1 gene:Sspon.07G0003230-2B transcript:Sspon.07G0003230-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-galactosidase 17 [Source:Projected from Arabidopsis thaliana (AT1G72990) UniProtKB/Swiss-Prot;Acc:Q93Z24] MVRRFPVEPLLNWWHCCVVSVGGEASRRFWIENDTFRKDGAPFQIVGGDVHYFRIVPEYWKDRLLRAKALGLNTIQTYVPWNLHEPEPQSWEFKGFADIESYLRLAQELEMLVMLRIGPYICGEWDLGGFPPWLLTIEPALKLRSSDSSYLSLVERWWGVLLPKVAPLLYNNGGPVIMIENEFGSFGDDKNYLHYLVQLARRYLGNDIILYTTDGGAMGNLKNGSIPQDDVFAAVDFETGSNPWPIFRLQKKYNLPGKSAPLSSEFYTGWLTHWGERIATTDATSTAEALKTILCHNGSAVLYMAHGGTNFGFYNGANTGQDESDYKPDLTSYDYATLRRVIHECTGTPLHPLPSDIEKASYGLVKLQKVASLFDIIDNISDPLKVSVSEHPLYMEQIGQMFGFLLYMSEYEGKLPSSILSIPKVHDRAQVFVSCSVDGVRNLRYGGVIERWSNKTLEIPNLRCSSTTSLYILVENMGRVNYGPYIFDRKGILSPIQIDGITICYWKMYPLSLNSLDNLPKLQLITQIPYVGASKMSIQGDTEKNLQESSSYSNGSISALPAFYEGHFHIDHESEIKDTFISFRGWNKGVAFVNNFNIGRFWPARGPQCALYVPAPILKPGDNIVVIFELHDPNPELTIDFVTDPDFTCGPNQ >Sspon.01G0009360-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:26657477:26658409:-1 gene:Sspon.01G0009360-1A transcript:Sspon.01G0009360-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding NGNNMHSPPRRCSGHRGVPDRLRADQARQARRHRRRAVQHRHADRRRHFSGIPRRQRGAAVRRQRGGGRDDEPQRVVRDRGGPDQRAGGARGLLPAAGRYAAGQVQRQPAGGGGTGLLPAGLARRDARRRLPPRPGRLLLPHEL >Sspon.01G0023740-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:91059978:91062248:1 gene:Sspon.01G0023740-2B transcript:Sspon.01G0023740-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVEEANREAVQSCHRVLALLSQPHDPAQVRSIALGTDEACAKFRKVVSLLSKGGVGVGEAGPSDASGSGSHPRAKLVSRRQNPGFLTQKGFLDTNTSVVVLNSAHPSPTSAQVYPRTAVALDAQGVHPLGGPPKLVQPLSAHFQFGNVPSRYQFPNQQQQQQKLQAEMFKRSNSGINLKFESTSGTGTMSSARSFLSSLSMDGSVASLDGKSSSFHLIGGPAMSDPVNAQQAPRRRCMGRGEDGTGKCAVTGRCHCSKRSRKLRVKRSIKVPAISNKIADIPPDEYSWRKYGQKPIKGSPHPRGYYKCSSVRGCPARKHVERCVDDSSMLIVTYEGEHNHTRMPTQSAQV >Sspon.07G0014880-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:53479276:53481347:-1 gene:Sspon.07G0014880-1A transcript:Sspon.07G0014880-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MENPPSIIVTPIAAPAVSAQFTRLTFSNLLKGSTIVSEGVMTEGSDDGQFTVEWSVCYGEGCHKKKKILLLRVVGILMNLPWKFSA >Sspon.02G0005360-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:18158551:18161429:-1 gene:Sspon.02G0005360-2C transcript:Sspon.02G0005360-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRLEQGKFLGILVCWLLGNGSLFAWNSMLTIEDYYSHLFPNYHPTRVLTLSYQPFAFGITLILTFYEAKMNTRLRNLAGFSFSSSLDVATKGHGGLGVFIGVCIISAIFGIADANCQGALVGDLSLMCPEFIQSFMAGLAASGVLTSALRLITKAAFESSKDGLRIGAPGVLLLYTFVFAKLPIVKYYVQRQPLKVARLLPATLPLQGSSLNNRDKYAFPYPNAHDVQWIEEDPQKYKRLTTKELLMQNIDYAIDIYLIYVLTLSIFPGFLSEDTGEHNLGSW >Sspon.02G0035980-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:17383554:17389003:-1 gene:Sspon.02G0035980-3D transcript:Sspon.02G0035980-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mediator of RNA polymerase II transcription subunit 8 [Source:Projected from Arabidopsis thaliana (AT2G03070) UniProtKB/Swiss-Prot;Acc:Q4V3C1] MDPAAAALGAAPAAGAPPPGAAVGEQQAAPRVERLSAGVQQQLNLEGMRARAVGLYKAISRILEDFDVIARTNPSASPKWQDVLGQFSMVSMELFNIVEDIKNVSKVFVVYPRNVNAENATILPVMLSSKLLPEMEVEETTKREQLLSGITNLPVPTQIEKLKEQEGLLRAAVIYGEGLRVPGDQRQPQSLPSHLIEVLPLGDGSQNFGDSSGSYPKNTSTFAPNSVNNQGNQVQASGGQLLGRPAPSPGNTGTPNFENVSTPPMPYANSPRSGTNMMNTPSPQQHLTPQQQRQKLMQASQQQQLHQQQLRPSAAGMLAQVPGQQQMQYNQALSQQFHNRQMQPGRMQPGMAQSQLNQGTQLRSHLGQFTGAANSAMFNAAQASSNSQMMANIPGSQSLMPQMQYGMAGGHPQRSHPQMLTDQMFGMGATNSSMMGMQQQQQGVYGNMQAGAQNMQQGMVGLQNQTQNPNFTQQRQQNQQ >Sspon.04G0001310-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:4871153:4872777:1 gene:Sspon.04G0001310-1A transcript:Sspon.04G0001310-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGSVSLQFSAILQVPILMYLILPMPLIFFLGSDTSSIMSNEGDSWVNFTKFLTGASIVGSIAIPSILKHAGVIGWGALTMELSSFVVFGVAILLFLQMNSEDEYSGVF >Sspon.05G0012740-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:41924180:41928990:-1 gene:Sspon.05G0012740-4D transcript:Sspon.05G0012740-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEGEITGPLLASGDGAPAPEAVPPWREQVTARGLAVSAVLGVLFCLITHKLNLTVGIIPSLNVAAGLLGYFLVRTWTAALERFGVVSTPFTKQENTVIQTCVVACYGLAFSGGFGSYMLAMDQRTYELIGQDYPGNRAVDVKNPSLGWMIGFMFVVSFLGLFSLVALRKVMVIDYKLTYPSGTATAMLINSFHTTTGAELADKQVRCLGKYLSISFIWNCFKWFFSGVGDSCGFDNFPSLGLAAFENTFYFDFSPTYIGCGLICPHIVNCSTLLGAIISWGFLWPYISTKAGDWYPANLGSNDFKGLYGYKVFISVSVILGDGLYNLIKIIYATIKEIMNARAKQGRLPLVQVQDGDEGSKLSAEEKHLNETFIKDSIPPWLAGSGYIGLAAISTATVPMIFPQIKWYLVLSAYVVAPLLAFCNSYGTGLTDWNLASTYGKIGLFIFASWVGQNGGVIAGLAACGVMMSIVSTAADLMQDFKTGYLTLSSPRSMFVSQLIGTALGCVIAPLTFWLYWSAFDIGNPDGAFKAPYAVIFREMSILGVEGFSALPQHCLAICSFFFIASLVINLLRDITPKNVSRFIPIPMAMAIPFYIGAYFAIDMFVGTVILFVWERINRKECDDFSGAVASGLICGDGIWTVPSAILSILRIDPPIC >Sspon.01G0058670-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:31301591:31306853:-1 gene:Sspon.01G0058670-1D transcript:Sspon.01G0058670-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPQPNSAPRLALPAMARSQATSTFRPSSPTSPPYSTPPPAPPPPPRAPPTSATATPTPTPTPLARAAIGACAGAAAGAFTYAALLPIDAVKTRLQVQAPSATSWQVFLDILRTDGPVGLYRGLSAVILGSASSSAVYFGTCELAKSLLRPHLPPFLVPPLAGASGNVSSSAIMVPKELITQRLQSGAATGRSWQVLLGILRADGFFGLYAGYAATLLRNLPAGVLSYSSFEYLKAFTLKRRARESLTPGESVLCGALAGAISAALTTPLDVVKTRLMTRVGAEGSRTVLGTMREVVAEEGLVGLSRGIGPRVLHSACFAALGYCAFETARLAILQCYLERCQIKAKAAAQPEREDLKAVVNYLRTDGNVSCIGLWGRSMGAVKLAIQHMRKIVKRKASFDIMDLDTIQVAKRCFVPALFGHATEDDFILPHHSDKIYESYIGDKNIIKFDGDHNSPRPQFYFDSITIFFHNVLNPPDVAEDHYFLTPHSSLGQGHWDTEQDKEYRFSQSPTGTAHATTTEDAIAQLRSRRLMSRME >Sspon.04G0013880-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:54775221:54780793:-1 gene:Sspon.04G0013880-3C transcript:Sspon.04G0013880-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding METALGAANWLLSKVLNKLSNDLVAGYVASRELGLNFDKVKTQLNYTLGLLHAAQGRDVSYNPGLQALLEDLSRRADEAEDALDELHYFMIQDELDGTWEATPELGDGLGAQALHARHAARNAAGNWLSCFSCCRSQDDAAAAAVANDTHNTSEAKSDDGFAGGQCDKLPFDRVAMSNKIKQLLEDMHSKCSLISDLLKINQGISLVPGSMVNSLQRPAIGSKIRQDMLYGRSTIFNETIKGMTGATWHETLSVLPIVGPGGIGKTTFTQHLYNDKRTEEHFTVRAWVCVSTNFDVLKLSKEILGCLPATENEGGNETNNLDQLQKSIEKRLRSKRFLIVLDDIWQCSEDEWANLLAPFKMREDGTGSMIIVTTRFPYIAQMVKTTTLVNLEGLEPADFWNFFKACVFGEISVEHDKEELIEVARQIADKLKCSPLAAKTVGRLLKKRFSREHWVQILENKEWLNQTHDDDIMPALKISYDYLPFHLKKCFSYCALYPEDYKFESLEIGCFWTSIGITDFGGQNDKIEDIGSKYLDELFDYGFVMKGDENNYVMHDLMHELAQIVSSYECAHINCSGFRAENIPSSIRHLSILMQNKYIEDFGGEMDKLRRRIDIGNLRSLMIFGEYRRLSLVNILKDTFKEIKDLRVLFIFMNSLDSLPHNFSKLIHLRYLKLKSSYYSKVCLPSTVSRFYHLKFLDLRDWGSGCDLPKGISRLVNLCHFLSNVEFHSNVPEVGKMKLLQELRRFHVKKESAGFELRELGQFEKIGGRLQIYGLENVRTREEANEAKLTAKRNLTELALVWSGEQPSVEDDILDGLKPHSNLTALSIVNHGGVTGPTWLCSNTHLRNLETLHLEGVSWSALPPFGLMHHLGTLELENIVGIRQFGPDFIGGITEKSFTQLKNVKFADMPKLVEWVGGADTQLFSRLERIRCINCPKLIALPFSGFPNLCDLCTDKCPELCLPPLPHTSKLSSFQTDYLDYHRSRLSINKMPCELAFHNLGEVERLAIKDASLISFMDLQKLHPLRSIMVQRCDEAFLRALESGIVLQSVQSLQLEKFRVTGKSLTSLFRCFPSLSNLDLTASDEDYDEEVLLQFPLALASSLRIVRLWGCKNLVLPVEDGGAFQGLLSLESVSIDNCGKLFSGWSTGAADCNNINPFPPCVKDLRLWNEPSTLSMALLSNMTSLTHLELGNCKNITVDGFNPLITCKLEHLSVRNRKEDRETEPYSISIAGDLLAEVSKTKTMPAGSFQPVSLAVDCISAVLVAPICTCLSATLRTLWFICDWRAESFTEEQCQALQLLTSLEVLGIGDCRALQSLPQGLHHLSSLERLRIYGSHRIRSLPKEGFPDSLQVLTWNCILLCTKVKLAEDSRKVGKLKSAEAGGRRSNR >Sspon.01G0022620-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:79954864:79959688:-1 gene:Sspon.01G0022620-4D transcript:Sspon.01G0022620-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEARLKEDILREAERYGGAIMVIHETDDGEIFDTWENVDNEAVLTPLEVYKNLESEGLPIKYARVPITDGKAPKSSDFDTIAFNVTSASKNTAFVFNCQMGRGRTTTGTVIACLLKLRIDHGRPIRIPVCQYGHEDDAIYSTVGDTADHNGHLNSESWKPRTLTKLNSGFGINDILLLRKITRLFDNGIECRQTLDTVIDKCSALQNIRQAVLKYTRVINQQHVEPRVRRVALNRGAEYLERYLKLIAFSAYLGSEAFDGFCGQGETKISFKGWLQQRPEIQTMKWSIRLRPGRFFTVPHEQKATYPPPQGDVTMETIVKARSGSVLGKGSILKMYFFPGQKRSSSVNFRGAPHVFKVDGYPVYSMATPTIDGASDVLSYLGSKDTTGRSIGQKVVVTDLREEVVVYIKGSPFVLRELDQPVNTLKHVGISGPMVENIETRLKEDILSEVKQLGGRLLLHQEEFNAATSQCSVVGYWEHIDLEDVMTPAEVYSSLRDKGYCIDYNRIPLTREREALAADVDAIQSLIDESARYYLFISHIGYGGVAYAMAITCLRLGADAKFVMEETAETHFVSTSLAKNVSIKTKTDIALRQGDYRDILNLTRVLVHGPKSKEEVDTVIDRCSGAGHLREDIMHYRKALQDCSHDDDDDDDEEHSYLTDMGTKALRRYFFLITFRSYLYSTRSCETTFTSWMKARPELGHLCDNLKLDK >Sspon.01G0046360-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:89425349:89427977:1 gene:Sspon.01G0046360-2C transcript:Sspon.01G0046360-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLQSQSLHGIGCSSIVLARARVRQCLAAASHSHAPLLRANGGRTGTGLPSSSLKAGNAISFSRRRRRDLRVTAEAAKVTPASSGGVSISDVLWPSAGAFLAMAVLGKMDQMVAFKGVSLTIAPLGAVCCVLFSAPDSPAAKKYNMFVAQIGCAAFGVLALSLFGPGWFARGAALSASIAFMTITGASHPPAASLPLLFIDGLKFHNLQLWYALFPGAAGCIVLCLIQEVVLYLKKNFKF >Sspon.02G0048670-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:27669569:27670059:1 gene:Sspon.02G0048670-1C transcript:Sspon.02G0048670-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSSKPTAPVDPEVVLAHEFPEVEASPLFLATSPVRPPSRSHVLIPSSVLRRDVALYALGVGACGDDAVDEKELHFVHHRDGQPNIKVELPPSVNPLPFWCQLVAVAVLQPPVFGRSAVELALQPYGL >Sspon.04G0024780-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:26014876:26022147:-1 gene:Sspon.04G0024780-2D transcript:Sspon.04G0024780-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding CSCTARRWSGASRRRAGRAGASTAPTTTPAPSSRAASSSRRPSCPPSPPRTPSRPTSTSSGRTNRRRASSSSWASRWDSRSGRSRILRRLTRRLWLTLLLPSMGTAATAPGKQATCGDSLSLMHRYPSLLMQRGRMAKSTMRWALPLIDTD >Sspon.07G0008850-4D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7D:21151368:21153538:1 gene:Sspon.07G0008850-4D transcript:Sspon.07G0008850-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding EMNTNGDSRLVQEHRSYNNSHEVCNEIFLRKPPPLLLRTPPGRGRSPPGAAPPFRRPILIDPAAIVVVAQQIGTSLASSPGRRRLVPGLVTGYRRQHLPDLRRMEVDGSRPVPCRRGGGQARPHASTLRCHGGSRIWRVAAVGRICPSSPRRSMSAPSAPRVTRSGRTAAVMPSRWV >Sspon.02G0010960-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:29517010:29520917:1 gene:Sspon.02G0010960-1A transcript:Sspon.02G0010960-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANFWTSSHCKQLLDPEDVDLVPAADRDRGITPEEFRLIKIHMSFHIWRLAQQVKVRQRVIATAVTYFRRVYTRKSMSDYDPRLVAPTCLYLASKVEESTVQARLLVFYIKKCVVCLITMFIAIFTWKNCSRRPSLSLSPSLQSAGSDDKYRFEIKDILEMEMKLLEALDYYLVVFHPYRPLLQLLQDAGITDLTQFAWGLVNDTYKMDLILIYPPYMIALACIYIASVLKDKDTTAWFEELRVDMNIVKNISMEILDFYDTYKIDPQRGIPEDKISPVMN >Sspon.01G0048690-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1B:110116865:110117191:1 gene:Sspon.01G0048690-1B transcript:Sspon.01G0048690-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPPSAVAAVLLLLLLTAAPASGQIATSCTASLITTFTPCLNFVTGSTNGGGSPTQQCCGSLAEMVRTGADCACLILTGNVPFSLPINRTLAISLPKLCSSTSVPLQCR >Sspon.02G0002970-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:9983277:9988091:1 gene:Sspon.02G0002970-1A transcript:Sspon.02G0002970-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plastidial disproportionating enzyme1, alpha-1,4-D-glucanotransferase, Storage starch synthesis in rice endosper [Source: Projected from Oryza sativa (Os07g0627000)] MVLARVLTLPLPAPSSAPRRRFLLPPPPVRVLTVRAAACRAANAMAAVSLNPPTVAPDPAEVAAVGVGEELPEGYDQMMPTVEPSRRRRAGVLLHPTSLRGPHGIGDLGDEALAFLHWLHDAGCTLWQVLPLVPPGRTAGEDGSPYSGQDANCGNTLLLSLEELVKDGLLMSDELPDPMDTEYVEFDTVANLKEPLIAKVGLKMQLFLLLLTKASIEIFMAQQFLFQRQWQRIRKYAQKLGISIMGDMPIYVGYHSADVWANRKSFLLDKNGFPTFVSGVPPDAFSETGQLWNSPLYDWKAMEADGFSWWIKRIKRALDLYDEFRIDHFRGLAGFWAVPSVFVHSVDAKVALVGSWRAGPRNSFFDALFKAVGGIDIIAEDLGVITEDVVQLRKSIGAPGMAVLQFAFGGGSDNPHLPHNHEMDQVVYTGTHDNDTVLGWWQNLPEEEKKIVIRYLPEAENTDISWTMITTALSSVARTSMVTMQDILGLNSSARMNTPATQKGNWRWRIPSSIGFDSLSPEAAKLKELLALYNRQ >Sspon.03G0031400-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3C:45464817:45465194:1 gene:Sspon.03G0031400-2C transcript:Sspon.03G0031400-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAPERLLGGGGDVVGPRVSGSGAELGEREPQKNSAVEVDRNGFVALTESIQKFEEVFARMESSKRQHMVEVEQMRRDLQRDLDAKWREILEKAQAEIARLSNEDEDEGDADEDGDVGDDKRLEED >Sspon.02G0022490-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:74583422:74585050:1 gene:Sspon.02G0022490-2B transcript:Sspon.02G0022490-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGFAVSKSGADRREFKGKITWYVWICGIIAATSGLMFGYDIGISGGLTAMDDFLLLFFPSVYARKHRARENNYCKFDDQRLQLFTSSLYLAALVASFAASRACTRFGRKRTMQAASVFFLGGTALCAFASNLAMLIVGRVCLGVGVGFGNQAAPLFLSEIAPAHIRGALNILFQLNVTIGILLASIVNYFASRVHPLGWRYALGGAAVPAAALFLGSLVITETPTSLVERGRDGAGHRTLEKIRGTVDVDAEFEEIRAACDLARALSEEEKPYRRLMRPESRPPLVIAVAMQVFQQFTGINAIMFYAPVLFQTMGLGTDSSLLSAVVTGGVNVVSTVVSIILVDKVGRRKLLLEACVQMLVAQTAVGGIMLVHVRADNEPSRSWAVAIVVLICVYVSSFAWSWGPLGWLIPSETFPLETRTAGFSFAVSSNMLFTFLIAQAFLSMMCSMRAFIFFFFAVWIVAMATFVLALLPETKGVPIDEMVDRVWRRHWFWKRCFANADEARVNDNC >Sspon.08G0012450-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:51414885:51421104:1 gene:Sspon.08G0012450-3C transcript:Sspon.08G0012450-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFSAGSYFSSWPVNSASESYSLADGSVESYGGEGSMPPSSYFMTVRSDHNLKFSVHEQDSTMLPNEQLTYAGAGQTDLLPGDTLSRDKLCENLLELQRLQNNNNLQSNLVAPGVLQHNSTPGVFHPQLNTPGLSELPHALSSSIDSNGSEVSAFLADVNAVSSAPTLCSAFQNASSFMEPVNLEAFSFQGAQSDSVLNKTSQPNGNISVFDSATLASLHESKEFITGRLPSFASVQEANLASSGFKTQKQEQNAMCNVPIPAFTARNQMSVAATQGALIPQKIPSWINENKSEGPVSHPSDVQTQPNSAGNGVGVKPRVRARRGQATDPHSIAERLRREKISDRMKSLQDLVPNSNKADKASMLDEIIDYVKFLQLQVKVLSMSRLGAPGAVLPLLTESQTEGYHGQPLSAPTNAQGLLDALDSEDTFVFEEEVVKLMETSITSAMQYLQNKGLCLMPVALASAISTQKGVSAAAIPPER >Sspon.08G0013820-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:57388117:57410668:-1 gene:Sspon.08G0013820-1A transcript:Sspon.08G0013820-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPTWSLDSRLNKSETQSRGLFANPTTTTGPPPPPASQIRPAVFVLLVRPCGGEIPRRPALDSVERIVEDFAMDLAINPFSSGTRLRDMIRAIRACKTAAEERAVVRRECAAIRAAISEGDQDYRHRNMAKLMFIHMLGYPTHFGQMECLKLIAAAGFPEKRIGYLGLMLLLDERQEVLMLVTNSLKQDLNHSNQFIVGLALCALGNICSAEMARDLAPEVERLLQNRDPNTKKKAALCSIRIVRKVPDLAENFMSAAASLLKEKHHGVLISAVQLCMELCKASYEALEYLRKNCLEGLVRILRDVSNSSYAPEYDIGGITDPFLHIRVLKLMRILGQGDADCSEYINDILAQVSTKTESNKNAGNAILYECVETIMGIEATSGLRVLAINILGRFLSNRDNNIRYVALNMLMKAIAVDTQADADVSIRKRALELVYLLVNDTNVKPLTKELVDYLEVSDQDFKEDLTAKICSIVEKFSMDKLWYLDQMFRVLSLAGNYIKDDVWHALIVLMSNASELQGYSVRSLYKALQASGEQESLVRVAVWCIGEYGEMLVNNLSMLDMEEPITVTESDAVDAVEAALQRYSADVTTRAMCLVSLLKLSSRFPPTSERIKDIVAQNKGNTVLELQQRSIEFSSIIQRHQSIKSSLLERMPVLDEANYLVKRAASTQAAVSSVNSAPAATSGGPLKLPNGVAKPPPAPLADLLDLSSDDAPVTTSAPTTAPNDFLQDLLGIGLTDSSPIGGAPSTSNDILMDLLSIGSSPVQNGLPTSNSSLPAIETKPVPVTPQVVDLLDGLSSSTSLPDENAAYPTITAFQSATLRITFSFKKQPGKPQETTINASFTNLATTTFTDFVFQAAVPKFIQLRLDPASSSTLPASGNGSVTQSLSVTNNQHGQKPLAMRIRMSYKVNGEDRLEQGQISNFPAGL >Sspon.02G0027180-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:107919867:107923202:1 gene:Sspon.02G0027180-4D transcript:Sspon.02G0027180-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lysine histidine transporter-like 8 [Source:Projected from Arabidopsis thaliana (AT1G47670) UniProtKB/Swiss-Prot;Acc:Q9SX98] MAMAADGAASEPELVSIPATPHGLSTPEGAATPTGAGGGGGGRSKGSGTPGRRVVEGLRGYLEDVGHLTRLDPRDAWLPVTESRGGNARYAAFHSLNAGLGFQALLLPLAFPGLGWSWGIISLTIAYFWQLYTLWILVKLHEAVPGRRYNRYVELAQAAFGEKLGMWLALFPTIYLSAGTATALILVGGETMKLFFQIVCGPLCSPNPITTVEWYLVFTSLAVILSQLPNLNSIAGLSLIGGATAIMYCTMSWVLSVSQQRPPTVSYDPVTSNSFGISLFSTLNALGIIAFAFRGHNLALEIQATMPSTFKHPAHVPMWRGAKVAYLLIAIVALPFLSSLAGLLGGLTLPVTFAYPCFMWIRIKKPERFSFSWYLNWGLGLLGTAFSLAFSLGGVWSIVNNGMKLKFFKPN >Sspon.01G0003360-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:9220566:9220862:1 gene:Sspon.01G0003360-1A transcript:Sspon.01G0003360-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding HGGGGRVRQVRVLRPGRGLHPGLHPGRARGLRRAVALRPLLGGGAGRGGQEHGERRSRRGGGAGGGAPGPHGLLRQVQAEPRVPGRRRHAPDAAQVLQV >Sspon.02G0035880-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:22072153:22084743:-1 gene:Sspon.02G0035880-1B transcript:Sspon.02G0035880-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable plastid-lipid-associated protein 12, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G51110) UniProtKB/Swiss-Prot;Acc:Q8LAP6] MAAAPAAPGGLMHLRPPPPRCVSPRASASRAPRIWGTPPRLRLRRRRALALAAPSVASAEAEAYTEPELVLLEALLGVQGRGRAVAPRQLQARDPRFTRPLPSTPRAKSTVGSHFMQRTFVGVDSFRIFQEVYLRTDDPRVVNVVRFSESVGDLKVEAEATIKDGKCILFRFDRAAFAFKFLPFKVPYPVPFRLLGDEAKGWLDTTTLGTYGTTFVLQKSADARQILLSAISARTGVEEVINDFISSQNGTKTDLSILVGEWQLLWSSQTEGESWSSVASAGLKDFQIIKEDGKLKNSASPFPGFTLNATGNICKKGSGNTFTVSMEEGAVQVGGLQFPLDAQGEFGTTFVLQKSADARQILLSAISARTGVEEVINDFISSQNGTKTDLSILVGEWQLLWSSQTEGESWSSVASAGLKDFQIIKEDGKLKNSASPFPGFTLNATGNICKKGCGNTFTVSMEEGAVQVGGLQFPLDAQGEFVMEILYIDNKIRISRLNQHML >Sspon.04G0000890-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:2586448:2588964:-1 gene:Sspon.04G0000890-1P transcript:Sspon.04G0000890-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKRGRGGTAGNKFRMSLGLPVAATVNCADNTGAKNLYIISVKGIKGRLNRLPSACVGDMVMATVKKGKPDLRKKVMPAVIVRQRKPWRRKDGVYMYFEDNAGVIVNPKGEMKGSAITGPIGKECADLWPRIASAANAIV >Sspon.01G0049070-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:95961158:95962693:-1 gene:Sspon.01G0049070-2C transcript:Sspon.01G0049070-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DGHGDLPLLPGGAVLVRPPAASDDQGDRVGDGPSARGGVPEAPAPAAEGAGRLRPAGAGRDPRPVRVLLRAGRPRRVLHLPRARARLHRGSAQHAAGPLRGARPARGGAPRRRLLPGALRHLARGQDGPVHGHLHALHRPHRRLPRALVPRPQRAQPRAAQDAPLLPPVAPPAARDPQVPRGVRRTLRCHGERAGQGRQGRLRPPQRRRRLLLPVPGAAGARPRRVGAPGRRAQADHQVGAVPAQPAAQPGPAQARRGLPAPLVPPPAGAGQEGLRPAGRLLPRRGQERDRRGRAPRHCAGGGGAQHPLRHVLQLLRRHEDPVPVARQVAGPRRRAHARAAGDGGARRRARARRRGDHEGAGRDAAGEVGRVRGAADRAPRGDAVRARQAGHGGGEPRLRLRGARGGDALRLPAHGHQGPARLRARRGVRARQVPRRGWRAPASPRRLVQRARDGVAHAAGQAVRRQGLRRAHRAPPRRRALPPLRLLRRPGRRLRAGVVGDHHLAQESHLL >Sspon.02G0015740-1P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2C:43679948:43680686:-1 gene:Sspon.02G0015740-1P transcript:Sspon.02G0015740-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding QVAISRGLNGIGLALVVPSIQSLVADSTDDGTRGSAFGWLQLASSLGLISGGFVGLLLAQTTVLGIDGWRIAFHLVAAISVAVGILNWFFAVDPHFPRRDGKQAATAREVVAEMIEEAKFVVRIPTFQIFVAQGVSGSFPWSALSFASMWLELKGFSHSDTALLMTIFWVASSLGGLLGGKMGDLLAVRYPDAGRIVLSQISPLSAVPLAAVLLLGLPDDPSKGVSYGAVLFIMGVFMSWNGPATN >Sspon.02G0018870-3D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2D:57113654:57114715:1 gene:Sspon.02G0018870-3D transcript:Sspon.02G0018870-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDFSCFGDAAVTLAAGAAGGGGGGGGGAGAALDRSLQATTASDYRVALSSRKELRIKVTWTRGVAAGASGAGAAAGAAWGPTGIALAIDDGSSLVAPPLAAAALIGTPRRTAPAPAPAPAQHFLQKKRGTRSFVTDAGTAVSVYWDTAEAKYYPAGAAEPSRDYHLAVVADGELALLLGGGEAARDVQRRFAPAPRRALLGRREQVRGPFSSSPAPPPAAAQQAQLVHTTRCRFRDDGAEHEVTVACRGDEWASRDGEVAVSVDGKKVVEARRVKWNFRGNRTAVLGDGAVVEVMWDVHDWWFAGVSGGGGAQFMVKARGAADGGRVWMDEEMANKGHPPAGFFLHLQCYRR >Sspon.03G0022810-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3A:69647415:69647565:1 gene:Sspon.03G0022810-1A transcript:Sspon.03G0022810-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFVQLGSHEPHPPSRKEKRAKRSVRNRQGRQTELRPEELVIISYYYHTP >Sspon.01G0040830-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:36034056:36036748:1 gene:Sspon.01G0040830-2C transcript:Sspon.01G0040830-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAWVSVLLGMDDGAAAAAAGPAAVGLGDLPELCAAQVLLRLDPPEICRLARLNHAFRGAAGADFVWEAKLPENYSYLMEFVGSGDEGRRMRRRAGKKEIYARLARPVPFGGGHKEFWLDKSKGMICMALSSKALVITGIDDRRYWQHMPTSESR >Sspon.01G0000140-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:759718:764470:-1 gene:Sspon.01G0000140-1A transcript:Sspon.01G0000140-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPALPAFPLNRRPSDAKRRGRLVLSLVVLVAVSAAAALAYLSFPSAGRTSPPSAFSAVRTEADCCRGMEGLELWGPAVKWGSDHRLPSAAACCAACKAMCPHPEDGACRCDSWVFCGDERKCKDRLGECWLKKQKDVMAPAVIARGEDVMWTSGLIFGKGEGIVGLETNLGTLHIQLLPGCAPRSVDYFIELLGLRNFVRCRFYRAEGRGNVWDTKGDHEKNAAFGPPYALLQGTLEVDGVPFKEIPKEACPAVKRGSVAWVGSGPEFLISLADHEEWRDAYTVFGNVLPKDMVIAEEMALLPTSTDVWSNVTVKVLKDPIYFKVKRSSNASAV >Sspon.04G0002490-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:8543099:8547010:-1 gene:Sspon.04G0002490-3D transcript:Sspon.04G0002490-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFGDDVMDGAGSDAQRRKKRYHRHTPRQIQQLEAMFKECPHPDENQRMHLSRELGLEPRQIKFWFQNRRTQMKAQHERQDNCFLRAENDKIRCENIAMREALRNVICPTCGGPPVTDDYFDEQKLRMENARLKEEASRFALFTIAPSMAPHFTTLLFSHDGDLARVSCDVQLDRVSSLTSKYLGRPITQLPPVQPLSMSSSLDLSVGGLGSPALGPSLDLDLLSGGSSGYPPFHLPMTVSELERPMMAEMAMRAMDELIRMAQAGEHLWVKTAGGGPDGREVLNVDTYDSIFAKPGGSFRGPDVHVEGSRDSGLVFMSAIGLVDMFMDSSKWMEFFPAIVSKARTIDVLVNGMAGRSESLVLVGQLIPPIHPSLLLRSYGPSGFLISLTTDSACLFARVARRMQMYEELHVMSPVVPTREFCFLRYCRQIEHGLWAIADISVDLQQRDARFGAPPSRSCRLPSGCLIADMADGSSKVTWVEHMEIEDRVPIHLLYRDLILSAAAFGAHRWLAALQRACERCACLATAGMPHRDIAAAGVTPEGKRSMMKLSQRMVSSFCASLSASQLHRWTTLSGPNDVGVRVMVHRSTDPGQPSGVVLSAATSIWLPVPCDRVFAFVRDEHTRSQWDVLSHGNPVQEVSRIPNGSHPGNCISLLRGLNASQNSMLILQESCTDASGSLVVYAPIDIPAANVVMSGEDPSAIPLLPSGFTILPDGRPGASSSSSSAAGPLGAPAAAGSLVTVAFQILVSSLPSSKLNAESVATVNSLISTTVEQIKAALNCASH >Sspon.05G0036970-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:7337150:7341615:1 gene:Sspon.05G0036970-1P transcript:Sspon.05G0036970-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAASGLALPRVAAPCPAGTRGGLRPAFLRFAPPVALPPRQLRCCASTVDDGVVSAAASKPRLPRVVGMGSKLVGCGSAIPTLSVSNDDLSKIVETSDEWIAARTGIRNRRVLSGDETLRGLSIQAAQRALEMAEVKAEDVDLVLLCTSTPDDLFGGAASGFIVGLITATRFIKGGGLQNVLVVGADALSKYVDWTDRGTCILFGDAAGAVLVQACSADEDGLLGFCVQSDGNGQKHLNAITANDESILSNTNGVPGFPPKKATYSCIQMNGKEVFRFAVRCVPQSIEKALQEAGLSASSIDWLLLHQANQRIIDAAASRLDIPSDKVISNLANYGNTSAASIPLALDEAVRSGKVKTGDIIAASGFGAGLTWGSAIVYKV >Sspon.01G0006070-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:34313750:34317520:-1 gene:Sspon.01G0006070-3D transcript:Sspon.01G0006070-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCCSSRSSDSPASRVTRWRSTGIVALRDDRLKEVPNEVLQVGNSLRTLDLTNNKLVEIPQEIGRLVNMQRLVLAGNLMKTSQLILDTCGILKSSHLTEIGSLSCLKNQLSVSQNSLLCLPKSVGDLRNMSLLNVSDNKLKELPESIGACSSLEEFQANGNAIEDVPSSVCNLVCLKSLSLNGNKIRQLPQNLLKNCTALQNLSLHDNPITRDQFQQMDGFEEFEARRRKKFDKQIDSRVMMGSTALDEGVDFH >Sspon.03G0041180-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3C:37635757:37637265:1 gene:Sspon.03G0041180-1C transcript:Sspon.03G0041180-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPELHVNGGQQCKDTEEQQQPSASSTSGSGNGTELPLNDNRQYRNSGGAARGKKKHDAGQTKDSVAGEEAVAASHRAAEVVDMEDLEGTAMASTVVTRAMAVEAGGTTPDKEDVDGRTQAGRITSRRYPPWATQDILRTLRWEGQGHAAMVHGSAPNLLHGTVANPATAMVTQATGSLVHSRPAAATTTTTTSTVTTSSDAQKMATAPPSNNPIMPNDVPESSVQGTQKSKEKHGRARLKVVVLNPDLIPDLVDVVIGDFVYKLQFRVEKDVYDGEPQVIDMDSTID >Sspon.01G0018980-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1A:70919944:70924470:-1 gene:Sspon.01G0018980-1A transcript:Sspon.01G0018980-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAPKSTGIALSLLLLAAAAAADTAKASALPLLNSTLPDPAAVVADLHSKVATSRRRMQEAGGGGGCMTGNPIDDCWRCAGTDWRQDRQRLADCGIGFGRNALGGKGGPVYVVTDSSDRDPVNPVPGTLRHAAIQEGPLWIVFASDMAIRLNEELLVNSYKTIDGRGASVHIGGGGACITLQYVSNVIIHNVHVHDCVPAGNANVRSSPTHYGWRTRSDGDGISLFGARDVWVDHCALWRCADGLVDAIMGSTAITVSNSYFAHHDEVMLLGASDAYLPDSGMQVTIAFNRFGPGLVQRMPRCRRGYFHIVNNDYTSWEMYAIGGSASPTINSQGNRYIAPADPNAKEVTKRVDTAEGQWNGWNWRTEGDMMVNGAFFVPSGEGLEDIYDKASSTDPKSSALVDVLTQNAGVLGDPRTDCSSARFTAHHRSVLWARELSGGIRRSGSRVRVPSSMAFPDPSKSCRSLSKKKILPIQYQFEHSSSAIHCRGRSSIGTLRPYRPPHSFPPDQSERLRRRPTRVLDDDDKVHGRTVATWGWGEAALGGMMIGYDSGLRWNDAEETGYRGVNYAGVGAGGGGGGNGYGYLGMVYANGGGDRRCRSHSALPLISSVITLIALGCLRLL >Sspon.03G0028820-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3B:12009498:12015450:1 gene:Sspon.03G0028820-1B transcript:Sspon.03G0028820-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDALVINLVMNGNVGYSRSRGGNDGEDKEPTRTSGTISPACKLFLRLALRLAKQLVNKSDRGDNLVFSLLSNYATLALVAAGARGAALDELLALLGAASRDDLAKLIRSVLADYSGSGGLLIAFACSVWHDDAVVLKPVFRAIAIRRVLQGRGVGRGFLVIKYFFYLI >Sspon.06G0007140-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6A:26860456:26860647:-1 gene:Sspon.06G0007140-1A transcript:Sspon.06G0007140-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LLSQLIDLLSEAMIFVLQLHDMPVLVEHVSPSDLEPGSSLSNKGSLSFFPLSLGVLLGVECSQ >Sspon.05G0013660-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:48088172:48090538:-1 gene:Sspon.05G0013660-1A transcript:Sspon.05G0013660-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MENFSKGEKAAKIMEEIDKLQKKLKELQGEVQNHEEYSLLPTQTATSPKKCFAEPRYPELQIPEHIVQFSISQIRKATNNFHSENIIGEGGYGPVYKGDIDGMPVAIKSLRPHGTQGSQSISKRRLFWNSAITQVMVLSKLEHPHIVRLVGVCQESCTLVYEYLPNGTLLDRLSKGLPWEDRVRILVELRSALAYLHSHRPNAIIHADLKLTNVLLDAGDVSRLGDFGTARMVHVKPLEEETIIRRTNPMGTMGYMDPVFFSTGELTTESDVYAFGVVILQLLTGLDGLNIAEKVRGAAKLHSLLDVSAGPWPEVESERLLKLAL >Sspon.04G0025030-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5D:30613904:30614500:1 gene:Sspon.04G0025030-2D transcript:Sspon.04G0025030-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MANNGFGVQGNAAAGAWSSGLCDCFDDVGGSCCVGGSLYMLLTAVTGLGACVYSCIYRSRLRSQYGLTEQPCADCCVHLCCEACALCQEYRELKARGFDMSA >Sspon.06G0011290-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6A:61628910:61629224:1 gene:Sspon.06G0011290-1A transcript:Sspon.06G0011290-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHQGRPPGRRPPAHHGRRPPGHQTRAPAKDGRAPAVSRAVQAGMHTGLASNGGSKMLLTFVDALPTWYVRHLSTSLPHLTPCLPTSLWQAVAFRHVRPRDSLI >Sspon.07G0002550-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:6215411:6218533:1 gene:Sspon.07G0002550-1A transcript:Sspon.07G0002550-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MWKQFLSKLPRKSSASGDSGQCSNGTGIQRTSSCGSIPPGRPASAIRRMSSAVFPSSVVAGIEPLVSFKDVPNSEKQNLFVSKLSLCCVVFDFSDPNKSSVEKDIKRQALLDLIEFVESTNARFSEAAIAACSRMCAINLFRAFPPNCRSGSSGGGEGDEDEPMFDPAWCHLQLVYELLLKFIGSSSLDAKIGKKHFDHSFIVKLLNLLDSEDPRERDCLKTLLHRVYGKFMVHRPFIRKAVSNIFYQFVFETDRHNGIAELLEVFGSVISGFALPLKEEHKIFLWRVLVPLHKPKSVGVYLQQLTYCVTQFIEKDPKLASSVIIGLLRYWPITNCQKEVMFLSEIEEILESTSQAEFQKCMVPLFRRIAHCITSSHFQVAERALFVWNNDHIISLIAQNRQVIMPLVVPALEHNIQNHWNQAVLNLTANVKKMFSEMDDDLSSSCLAKYKEEEEKRVSIEAKRKLTWEKLEAAAAFQPVTGHTAVLNHCAVSASLVNRQEQRGTRKICYSRSRLWFRRRVSALNSEAPLNAEAPKAIQSSVADTTTEEAADARQEKPRRSTHQARIPILSTP >Sspon.01G0006020-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:15908776:15912350:-1 gene:Sspon.01G0006020-1A transcript:Sspon.01G0006020-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MELRLRLRLLLLALICLHAPRWASAQQPEEATVIVKGSSRIAATDDNYVCATIDWWPPEKCNYNQCPWGQASILNLDLGHPFLAQAIQAFDHLRIRLGGSLQDRVVYDVGTESPCSPFTNVSNGLFGFSVGCLGMDRWDKLNDLFQRTGAIVTFGVNALYGRYNVRHSIWTGKWNSTNAYDFIKYTISKGYPVSSWEFGNELSGHGIGAKVDAKLYGKDVIEFKSILRQLYKAPLSQPLLLAPGGFFDQQWYSQLLETSGHGVVNALSHHVYNLGGGNDVHLIRKILDPKYLDRAEDTYRDMQLTIQRHGTWASAWVSESGGVFNNGGLLVSNTFINSIWYLDQLGMASQYNTKVFCRQTLIVLYYGIGLWAMEFFQLISMHHVKYVLMLIITHSEHDCFKMQQGITLLLINLSNTTGYNVTLQNDINVSLGKRPDFEKRSSFTHRLKKAVSWLARKPPSNTKRREEYHLTAKDGDLQSKTMLLNGVPLELGDAGSIPAMDPVLVAVDSPVH >Sspon.05G0019140-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:80362183:80374037:-1 gene:Sspon.05G0019140-3C transcript:Sspon.05G0019140-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AMDKAALVAESTDDPGASGAGSSFSGLRAYGRALAQTPRRLVRRACAATSPGEEMSRVRARSGPRMARALRWWDLVGLGLGGMVGAGVFVTTGRAARLYAGPGVVVSYAIAGLCALLSAFCYTEFAVDMPVAGGAFSYLRVTSGEFAAFLTGANLIMEYVFSNAAVARSFTAYLGTAVGVDAPSKWRIPVPGLPEGFNQVDLVAVGVILLLSVCICYSTKESSVVNLVLTGVHVAFILFIIVMGFAHGDARNLSRPADPAHNPGGFFPHGAAGVFNGAAAVYLSYIGYDAVSTMAEEVERPDRDIPAGVSGSVVKGRDGMAWVSNVIGAGASLGILTSLMVAMLGQARYLCVIGRSGVVPAWLARVDPRTATPVNASAFPGLLTAALALFTELDVLLNLVSIGTLFVFYMVANAVVYRRYVGDPCPGSGQQQRRAWPTLAFIGAFSLIALCFTLLWQFAPGGAAKFGLLSATAAAAVAAVAAFQALVPQAREPELWGLPGMPWVPAASVFLNVFLLGSLDRPSYARFGFFSAAAVLVYVLYSVHASYDAEEGAAADGGGGDKLQDQGCQWSGAEGQVPFEWIGSDGDKT >Sspon.06G0029720-2D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6D:27885025:27885702:-1 gene:Sspon.06G0029720-2D transcript:Sspon.06G0029720-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTAAEVTVSTAVATAAATKCHMFKIEGYKRIKAMYGTGKCIDSCRFEAAGRTWRIRFYPDGDNRENAGHASLFLKLDDDDDDTGGNGKRDDKVLVEFKFSLVCHRGGWPAAAGDQPQSGTLTATFSNKGNNKALGYSQFMKRRDLEQSRFLRDDCLAICCDMAVLDSPVDVKEQAAQAHDLQRLGVACDCKDDACKSYHLRNASFSFKFREALLKLFLGCFHV >Sspon.01G0011500-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1A:31427630:31428898:1 gene:Sspon.01G0011500-1A transcript:Sspon.01G0011500-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTLPTSTWPHRHRTFLRPLALVLAIVRPASSQLLQPPPGATVSSAFSPPSPPVDGVGGGGGGGGRFTLTTSLLFVGLIAALFVLGFFSAFLRRCSGANATRRGGALAANAAVAAAAFASAAANGGRRRAAGLDAAAMEALPVLTYATARAVKAGRGALECAVCLSAFDDAGEKLRLLPGCCHVFHAACIDAWLAAHVTCPVCRADLSDPAVADAGHVLAADLDAQALAGEPTDTIVVVNVDGSTPAAVAGAGAGEDTTSSDEEQQAETAEERVDRFTLRLPERLRREIDEAKRLRRALSAVTASSTALPSGGELTTSSGALRTMSAARPSRRWSGLFRALSGSRRMMSEPDGGHRRVVPLPTGDGEVEVVVVRDDVDKYYAHSLTFAGFVIDGDVAAGDWNPEVFQVSTGVPAVVPTSQR >Sspon.03G0029210-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:14220648:14221246:1 gene:Sspon.03G0029210-1B transcript:Sspon.03G0029210-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding QEFLETEKGGGYCRLGQKVFLLANPEGEEATGVTKEDVEAAITSALSPSNLVVTDTSGGYEIEVVSEKFEGKRLLERHRMVNTALAPHMAEIHAVSIKKALTPAQAQPQPEPADKPQA >Sspon.08G0006510-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8A:20370759:20374121:1 gene:Sspon.08G0006510-1A transcript:Sspon.08G0006510-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIDAATAARVAWADGWMDHATVAFDWNMREKRETWDTYFTACTSGVDVYFGEDLGAKIYGVEVDAMNCGADFLLC >Sspon.03G0042240-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:52992622:53002508:-1 gene:Sspon.03G0042240-1C transcript:Sspon.03G0042240-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding TSQQEPHHYHLHDSKQNHQKRTKDIVFLVIDQFGSVDAMLMDSSEGPPVALSSSLLGYEAVTGSLDTFGFEDIKSILIRSNKKLRTRRNKEADSYISGRNYNMIDRCPGTRKLVLSWAFSDIRKRWYEPLFLEIILLSPPRPLEFGHSHSCERSNDVRQMLMLA >Sspon.08G0019720-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:17663034:17666459:1 gene:Sspon.08G0019720-2C transcript:Sspon.08G0019720-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDSALAYIKGQFSWKKDQEKDLERLDTILTEILTIVDVIEKREIKDGNQRRLLSKLKDAIYSAVDVLDSFQYLVLKSKVDSQSAVSRVTSSCIYLGKRLVGTDKFRWKLADILEKLDEVKTTADTLLKVVNFDNATAKLLPVTRLRVTSPLKENHHIYGRREELDKLREMLFEISDSNTPGPRQFQGLKEIGKEICQRLNGLPLAAKIIGRLLNADLDVSHWKKVLESDLSDDVMKILRLSYQHLPVQLKLCFSFCSLFPKNWRFDPKRLTEMWIAQGFVQKEDSYDSDLNIEDVAKGYFDELVQRSFFERSLLDLPTEYVMHDLINDLARNVSKDEYIRLENEKQKEIPPNIRHLSTSANLLGNMKKAELRNLRTLIVWRKTWPCLELSLPDDVFKKSKSIRVLDLSGCCLEKLPTSVKVLKHLRYFAFRVPERPWQTSLLRLYHLEVLVTRGHSCRYAECVNLPANMKRNLLKLRKAFLFNVGGGTISGFGGQTLLHGHGEFHVRKESGYRLGELKEIKNIRGQFKIRSLENVEHQQEAVNACLDCKEHIEYLELEWSILARALTSDLDYDVISALRPHPDLERLKIIGYRGTRSPSWFETNWLTALSSVILENCMGWVQLPPFGQLPLLKYLELRGMHAVRQIGQEFYGNGDIKGFPMLEDIIFDGMLSWEGWSGIEDGSLLPCLERLHIEKCPKLQQMPAISATPRVEVEIASRPPPIPCLIDSLIATTSQLIFLVSSYSFLSDLNGEQLSHVAELRLKYCLDPMPAGGFQQLSSLEVFRISDCLTLFSSNCTEDQDTNFLPPSLCQLEIAKSNVQSSLLQRYLQGLTCLSTLVLDSCNSMISLSFDYGPHLLPALETINIRYCDDLASLDGFRNLGALRELIVANCYNFCSLPADLNTVGSLNKLVICQCPLMRFLPQDGLPASMQTILLSNCSPDLDSELQRKEGAEWEKIVHIPVKKLE >Sspon.08G0009640-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8A:42399503:42402764:-1 gene:Sspon.08G0009640-1A transcript:Sspon.08G0009640-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALASNNKVLASSNSPMASGGAQSHSHSPTVAGAVADEALGSNNKKPAASKPPSGSSTEYQLRKYLLLLATLVATVTYVAGLNLPGGAWQEDTDDGRHHAGDPILQYAHLHRYLTFYYCNATAFAASLVVSLLLLVLDGKNTGWWEALLRVVMVLDLLGLMGAYAAGSCRDKFTTIYSALLVCAVFAYVVVAFILYLFSSDGGGLEILLHSRKQRLMVDAGTLFRDLKNRKRLTGAADAEKQAGGVSVTATYERQELHEVLMLLATFAVTITYVAGLNPPGGFWGDTKDGHQMSDPVLQEHYSSRYQAFYVCNTTAFVASLLIIILLVDKKLTTKLSIRFVALYGLTITALLGLVGAYAAGSCRQLDDTTYVICLIAGVLAYIFLLVAVAGCSCFRTVYGSASKWLGALKSRCGFDRSSQDQNPPDQQNQISSRGINHDEGGGEAVEMDPSKKAVEKDPSKEAVEKAKQSLVMLLATLVVSITYSAGLDPPGGLWPDTRDDHKIGDPILLTTHPTRYKVFFYSNSAAFVASLIVILMVQSRFLLKGHTLEAAMILDLFGLISAYAAGCCRDETTSIYVVALAGIVLVYVVIHIVLFTLDHGDNRHRQDEDKLENRREALLLLAILAATLTYQAGLTPPGGFWSEDEDGHRAGYPVLHDNYRPRYTAFFYCNAASFMASVALIVLLVNPNLYKPGIRCYALYVCMVVGMFGLMGAYAAGSSRDLRTSIYVLTLVVAVFAFVALEVVIFWVYPYLRDHWKQWWRCRKDAAAADSSGQAEPDDDGTKQNQQETEIQESKTQGEMQEAGEKNMREYLMLLGVLAASVTYQTGLKPPGGLWQDNSDSHSAGSPILHYSNKRRYHAFFYSNSTSFMASVVVIILLLPETLHKYRLPLWPMHTAILLDMLGLLGAYAAGSTRDWETSRNVIYL >Sspon.05G0015300-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:56448315:56452125:1 gene:Sspon.05G0015300-4D transcript:Sspon.05G0015300-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKETPPLHSRDDLQDATAASVVSTEQRPRPHLCIDIPEDHLPTPTPTPTQAGMSITPPSTRRGQQTSSNIPSTPVSSSSSSSRPGRPPLRSPSFMLRQTVKSLLPAGSFKSSAVSKLFSATKTKVMARTSSLPLDDALSSSQPPPIQQKPAAVHICRSKSLPMNMKKLNAKSFKRMDSLGGMYRVVPSTPRAPVVDPDIVPSDSGTTGGGGHDNDGGEDIPEEEAVCRICMVELSEGSDTLIKLECSCKGELALAHRDCAVKWFSIKGTRTCEVCKQDVQNLPVTLLRVQSIQQRDLMNRGGGSTARYDRYRVWHGTPILVIISILAYFCFLEELLVAHDGIAALAISLPFSCILGLFSSLTTTSMEIRASHGTYQNLALRAVARSYVWIYAAVQFLLVVFFTHLFYRYLHLQDVISIILATFAGFGVGMTGNSIVIEILRWRVRRVAPPTQQARRHRRARAPQQQQAPPASDSDQPSSQPSAADMGVGVGVGVGQHDAMAADGDVENPAVPQA >Sspon.05G0030960-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:2396093:2401132:1 gene:Sspon.05G0030960-1C transcript:Sspon.05G0030960-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHERGGGRGRGRGRGGGGRGGGGGYGQQHGGGGDGDGRGGYRPRGGEYGGGGGGGYGHDGGGYGGGRGGGGGHGGRGGQAYGPGGGRGGNAWAPAPGAWRGRGVGGGAAEYAPVRGSAPAPAPAPAARAVAPKDKEAPSSSGSVERIASSELARVEPLASTLAATSSVGTRVPMQRPDSGGSLSQAKVKLLVNHFIVNYREVTTIFHYDLNIKLDEASSNASGKELSKAEFLSVKDELFRESSLQRLSSCVAYDGGRNLYTSAELPAGLFRVRVRSKTYIVSVDLKKQLPFSQLSELPVPREVLQGLDVVVREASRWRKIILGRGFYSPSSSVDIGQGAVAMKGTQQTLKYTQQGLILCVDYSVMPFYKAGPVMDIVQKLVPHLDYRTTLNKRQMENLVDELKGRRVTVVHRRTNLKYTVQGLTPLPASQMTFVDAESGETKRLVDYYAQKHGKVIEYQMLPCLDLSKSKDKPNHVPIELCTLLEGQRFPKANLDKNSDRILKGKALIPASNRRNEIQDLVNASDGPCSGEIAQQFGISLDVRMTEVTGRILPPPNLKLGASNGQTSKFSMDHKCQWNLVKKRLVEGRDLQCWGIVDFSAEPSQSWQEPLNGRMFVEKIVRKCCELGIRMNSNPCFVHKSKMAVLSDPHRLQEELNKAKQAAVSKKQRLQLLFCPMSEQHPGYKTLKLICDTQLGILTQCFLSDRANKPNGQDQYMTNLALKINGKLGGSNVQLFDSLPRVAGAPFMLIGADVNHPSPGNVESPSIAGVVASINSGVNKYVARIRAQPHRCEVIQQLGEICLELIGVFEKLNRVKPQKIIYFRDGVSDSQFDMVLNEELADLEKAIKVDGYAPTITVIVAKKRHHTRLFPKDPGQPQTEKGNVPPGTVVDTGVVDPSAYDFYLCSHTGILGTSRPTHYYSLVDEHGFGSDDLQKLIYNLCFVFARCTKPVSLATPVYYADLVAYRGRVYYEAAMMASQRGMGSASSASSTSAGTFDFTSFPRLHKDVEDNMFFI >Sspon.04G0020500-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:80928212:80932012:1 gene:Sspon.04G0020500-3D transcript:Sspon.04G0020500-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEYQNVVGGRLKLKGKALDVKEGGVKKNKKKKHQLEESSQIEHNELQKGGNSDLPTDPNNELVEADKMGDEEGNPHPDYDHLTPAERRYMEQKQKIDMQKMPKVANKSHRDRIQDFNQYLANLSEHYDIPKVGPG >Sspon.05G0021440-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:90192698:90196461:-1 gene:Sspon.05G0021440-1A transcript:Sspon.05G0021440-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MWTDSPLLRDAGAAVLTGVSCSASGNRSLTARCWTRKLVHITVGLVYFLMWPLFSSDDVFAPFLAPLIVIVNIIKVTVIGLGLRTTQGPVVLCLCYNSDNNSFLEDITHLNRRDLQFPQLPLCFFMVRNLNPAHCSGVADIFGRRFGRVKLPHNPEKSYAGSIAMFLAGFIASVMFMSYFNIFGFVEKSWTMVAAFGVISLVAAVVESLPISTRLDDNLTVPVASVLVGALVFYSIGARNLCCMSSEDRRSISETVGMAAAAAETSNHSPGAELSRKCRERTEECFFSPENY >Sspon.01G0062600-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1D:105876723:105876928:-1 gene:Sspon.01G0062600-1D transcript:Sspon.01G0062600-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GKALQIMRGDQIRGEGRRIHGCLYQMALDPVVSTLDCSRFKVVRARAVFLLYSDIHIHGCIFPLVRT >Sspon.05G0031010-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:13435075:13436769:1 gene:Sspon.05G0031010-1T transcript:Sspon.05G0031010-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSLRSKREKRLRTLRREIAEPFYDKKEAAKLAAQAAALAAPPLQVRGPPPSQDAGSSRGASSASAMDVEMSDEGNNRSKTLLKPLGTISKKKVQLHLKIKKDKRKARKKGKFSFKK >Sspon.01G0007800-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1A:21709927:21713009:-1 gene:Sspon.01G0007800-1A transcript:Sspon.01G0007800-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIAVIDALVRRAHRWAWEASLGASRRAQLPPGDMGWPVVGAMWKFLWAFKSGNPDSFIGSFIRRFGRTGVYRTFMFSKPTILVATPDACKRVLMDDDSFAVGWPKATVALIGPKSFLTSPYEEHRRLRKLTAAPINGFDALSTYLGFIDRNVVSTLRGWSDAGEIEFLTELRRMTFKIIVQIFLTAADDATMLALERSYTDFNYGIRAMAINLPGFAFHKAFKARKKLVSVLQGVLNKRRAAKRHPRSSMDMMDRLIEVEDEHGRRLDDEEIIDILVMYLNAGHESSGHISMWTTVFLQENPEIFAKAKVIDETLRLVSISFVSFREATKDVFVNGYLIPKGWKVQLWYRSVHMDPEVYPDSKKFNPSRWEGYAPRAGTFLPFGLGTRLCPGNDLAKLEISVFLHHFLLGYKYAL >Sspon.04G0024650-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:24896667:24915092:1 gene:Sspon.04G0024650-2C transcript:Sspon.04G0024650-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVCSRKRSQLVEEGDSPQTSPRFSKTSSLKWLLLSLPRSNSDVSGKGQGNEPGRCPSLMELCVARVCQDIDKHSTFAMLPRDLSQQIFNELVNSNRLTEASLQIFRDCALQDIGLGEYPGVKDAWMEVVASQRQSLLSVDISCSEVTDSGIDLLTDCSSMQSLACNYCDQISESGLVILPGLSNLTSLSFKRSNAVTAEGMRAFANLVNLLNLDLEGCLKIHGGLIHLKDLTKLESLNMRYCNYIADSDIKYLTDLTNLKDLQLSCCKITDLGVSYIRGLQKLTHLNLEGCPVTASCLEAISGLSSLVLLNLNRCGIYDDGCEYFEGLKKLKVLNLGYNYITDACLAHLKGLISLESLNLDSCKVGDDGLSHLKGLVLLQSLDLSDNDIGNNGLQHLSGLCNLQSINLSFTLVTDIGMKKISMLNSLKSVNLDNRQITDVGLAALTSLTRLTHLDLFGARITDYGTNCFRFCGGFVTDAGVKNIKDLKALTLLNLSQNVNLTDKTLELISGLTALVNLNVSNSRVSNAGLKHLNDLQSLRSLSLDSTRVTANEMRKLRATMLPNLISMRPE >Sspon.06G0030440-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:47666558:47667256:1 gene:Sspon.06G0030440-1C transcript:Sspon.06G0030440-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSAPPLLSAAGAGQPSRSASCAVAKPTRGFHVFRIDGYSWTKALPGAERISSEVFTIGGHNWYVDYYPNGTDGGKDESDSISLYLRMLTRTGSKQRVRAEYKFSLLDLAGNAAYELPFETGVFTARAADNMGCGYAGFITKEELERRRDSLLREDCLAIRCDVGVTEVVGMLVEANRHGHRRLRSRSRSRSLSDSSDDDLPLPCRRGGQQQPPDDKEYIRRCLAAKRCRQ >Sspon.01G0017000-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:61367023:61373731:1 gene:Sspon.01G0017000-1A transcript:Sspon.01G0017000-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKRSFEKMTLLIFSFYPVPVAARQAHTTGRPPLLFRRGSPLAGALVFLKPSPGIESVYWWEGKAELRRARLRSKGTTFHSSSLDRSRQIVEDPHLVDAEAQLAEVHRLIDGDVAVPLLPEHTLLGLDLDLDLEINGGCGLFLFLLRLSPCPALETHAHLHPGSRACAGGVSADAAAGFGAGEGDGGGLKGWNGVKGTQLLAVTGGPSGKNAQVQKYMSAPGTIGGAAGSSAAGKARRQAGVGQRRRRPR >Sspon.01G0005730-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:15016226:15017415:-1 gene:Sspon.01G0005730-1A transcript:Sspon.01G0005730-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha/beta hydrolase, Strigolactone receptor, Strigolactone perception, Reguration of shoot branchin [Source: Projected from Oryza sativa (Os03g0203200)] MLRSTHSPSGSSSAAPASSSSDAAMVGGGGAAAAAGSGGAPSGAKLLQILNVRVVGNGDRFVVLSHGFGTDQSAWSRVLPYLTRDHRVVLYDLVCAGSVNPEHFDFRRYDTLDSYVDDLLAILDALRIPRCAFVGHSVSAMIGILAFLNDNDYHGGFELPEIQKVFDAMAANYSAWAVGYAPLAVGADVPAAVQEFSRTLFNMRPDISLHVCRTVFNTDLRGVLGMVRSPCVVVQTTRDVSVPASVAAYLRAHLGGRTTVEFLQTEGHLPHLSAPGLLAQVLRRALARY >Sspon.02G0008670-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:25028455:25037308:1 gene:Sspon.02G0008670-1A transcript:Sspon.02G0008670-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGVHSSSANNRVVSHITGPNSSQYVQSPFFTEPTKQDTVVTAADQAEDMQTVTHQQTITPAPDTAKPPFPGTQDASRKFQESTPDDGPVETYSPKPGAQAKLPASTLNVSPYASIKAKSTGQGEKPPTGYQASSPENQLGSSQTQGESAPAEQKNAVSDKKSANASKSFASAEPREEDTDAANTDQTSVPPTNVTHQVTSSTPDASDALSRDQESSPDDGEIRNLGSPLIASQEEASRAGRASEGSTPKVHSAIVDEKRTLPSSQTQTSRTGPDSGQIGGDARLSGAGVPATSSNEKQEAEPPAATQAPTSKGLQDTGNIESPRQASTDQTMTPAMNSAAPSDAPEGTEPGPNSSRSIQTPFSTEPTKEDTIVTAADQTEDMQTITQQQAITPAPDTAKPPFPGAQDASRKFQESIPDDGPVENYSPKPAQTQPPAATLDVSPDASSKAKSTGQGAKPPTGYQASSPETQLGSSQTQAEAAPAEQKFAVTDEKSVNASKSFASAEPREEDTDATTADQTSVPPTNVTRQVTSSTPDASDALSRDQESSPDDGQMRNLGSPLIASQEDASRAGRASEGSTPKVHSAIVDEKRTLPSSQAQTSSTGPDSAQIGGDALLSGAGMPVTSSMEKQEAEPSAATQAPTSKGLQDSGNIESPKQASTDQTMTPAMNSAAPSDAPEGTEPGPNSSRSIQTPFSTEPTKEDTIVTAADQAEDMQTITQEQAITPAPDTAKPPFPGAQDASRKFQESTADDDHIDEPPRGSSSEPRKGQTYIDEKTTTFPSSQANISESGSDLAPTLGDVVPSIGEGPAENYSPKPGAQTQLPAAAQDVFDSSSKAKSTGQAAKAPTGYLASSPDTQLGSSRTQGEAAPAEEKLGMHSVQPSKGASFDVLSNGKTTTTQDQVKTQPNGDLSSNQVVDQSESADSKGVNSGRNLEGASANEESKSQQQVDQFGTQSFKDNNKEVDGTVRYNISRAFEELQEPLSKPKGESNEEPENQQLADQAVVAPQEGNEKQAEQSKPNETETAELEDIVDSENTNLKNNRISQVETLDQSGKQASVFQQLGKNTKDAPNSTEDAPGDGKAVSKSEYSSRSSEESKVQLQSEDKTQGHETDAPSLETGHPSKGGLPANSYQNNSSQSQAEASNKSVEQPSP >Sspon.01G0056270-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1C:87412052:87418656:-1 gene:Sspon.01G0056270-1C transcript:Sspon.01G0056270-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding PGNPARAASTSMAGDDASPSSGHGGVKESTL >Sspon.01G0049800-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:115294561:115300220:-1 gene:Sspon.01G0049800-1B transcript:Sspon.01G0049800-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGARCSKLSVCWWPPHFKSPMLAVFDSLCAENGAAEDDGSGVPVFAEYSLDELRAATDGFAPDRIVSEHGEKAPNVVYRGTLFSFGRTVAIKRFGRSAWPDSRQFLEEARAVGQLRSGRLANLIGCCCESGERLLVAEFMPHETLAKHLFHWETNPLSWAMRMRAALYVAQALDYCSSKGRALYHDLHAYRVVFDVDGNPRLSCFGLMKNSRDGKSYSTNLAFTPPEYLKTGRVSPESVVYSFGTVLLDLLSGKHIPPSHALDLIRGKNFLVLMDSCLEGHVSNSDGTDLMRLASRCLQYEARDRPNLKTVVSGLASLQKDASVMFFLTMTPSHTLLGIQHDKKISDRVSLSAIGKAFARADLNEVHEILLHDGYNEDDAANAELSLQSWNGDVSESFVVKRHADNAFKSKEFVTAIECYSRFLDSGAAVAPTMLARRCFAYVVIGNPQEGLEDAKRAAVIASDWPMGHYLQAMALHDLGREAESQEALKIGTALEAARNSRARSV >Sspon.05G0013520-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:41039875:41057106:1 gene:Sspon.05G0013520-2C transcript:Sspon.05G0013520-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMDSDSSSHSGADYKSFCQITRDRLLLEMLRSTRKSSKSTWKVLIMDKLTVKIMSFSCKMADITEEGVSLVEDLYKRRQPLPSMDAIYFMQPTKENVRIFMSDMSGKNSLYKKAYVFFSSPVQRELVAHIKKDSTVLTRISALSEMNLEYFAIDSQGFITDHDKALEELFSEDAEGSHKYNACLNTMATRIATVFASLREFPRVHYRVARTIDASTLTTLRDLVPTKLAAAVWNSLARYKSTIPEFPQTETCELLIVDRSIDQIAPIIHEWTYDAMCHDLLCMDGNKYVQEVPSKNGSANEKKEVLLEDHDPVWLELRHAHIADANERLHEKMTSFVSKNKAAQLQQARSGGELSTKQLQKMVQALPQYSDQIDKLTLHVEIAGKLNNIIKEQHLKDVGQLEQDLVFGDAGTKELISFLRTRMDVSRENKLRLLMIYASINPEKFFESEKGAKLMQLAGLSADDMIVVNNMRCLRGPDTKKSSVGAFTLKFDLQKKKPGIRKERIGEESTWMLSRFYPILEDLIEKLSKGELPKDEYHCMNDPSPSFRGIPGSTSAQTSPAHQPAQSMRSRRIGGTWARPRNSDDGYSSDSVLKHASSDLRKLGQRLFIFVIGGATRSELRVAHKLSGKLKREIILGSSSLDDPPQFITKLKMLSTEELSLDDLQI >Sspon.03G0043070-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3C:75715753:75716070:1 gene:Sspon.03G0043070-1C transcript:Sspon.03G0043070-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPQDLELEEVLVLLEIEEQVPIEVVRNRFITGRTKMGKSSGRRRERKGSRTEAAKARGRAPLVVLLIDTRGGCRVEAPLGRGRGGVQSPAGQGAGKAGGAMFII >Sspon.06G0013690-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:50648848:50654697:1 gene:Sspon.06G0013690-4D transcript:Sspon.06G0013690-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinesin-1-like protein, Male meiosis and anther dehiscenc [Source: Projected from Oryza sativa (Os08g0117000)] MSNVTVCVRFRPLSHKERKANGDKVCFKKLDSESFVFKDEREEDVIFSFDKVFYEDAQQSDVYNFLAVPIVSGFVLSNSHFPYSGNRCHNGINGTIITYGQTGAGKTYSMEKTGLVQRVVDELFVCLGSSASTWTVKLSMVEIYLEKVRDLLDLSKDNLQIKESKTQGIYISGATEISILNSSDALENLSQGIANRAVGETQMNLASSRSHCLYIFSVQYGSTSDERVTSGKIILVDLAGSEKVEKTGAEGRVLDEAKTINKSLSALGNVINALTTGKQNHVPFRDSKLTRILQDALVSLKTKLIKASPKLIPEAVDNTKKPTTETHDQDDLRERILSKLRLSLKEEDVDLLEELFVQEGIIFDPSSVADIDSACRDTASEEISLLMQAVEELKETVEEPVPPPVLFHLPPQSPRLLPTTITMATAAAAYHCNAILHLPRPPHFRRLPPLPVPALVTRRAASFGLGAARLGPKVRASSVPPPPPFFETVEEEEEEEEEGEWSDAEAGFSDQAEDEQEWAGGNGAARGEDLGADAGEDLSGWARQWPRPRELFVCNLPRRCDVQDLLELFTPHGTVLSVEISRDAETGISRGTAFVTMRSLAEARTAINALDGFDLDGREVFVKLASDVISNRKNVNLSHITPMKDHIFESPYKIYVGNLAWSVQPQDLRELFTQCGTVVSTRLLTDRKGGRNRVYGFLSFSSAEELEAALKLDRTVSL >Sspon.07G0005060-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:11530113:11533676:-1 gene:Sspon.07G0005060-4D transcript:Sspon.07G0005060-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQRKAIFRAKLRETKEKQQRRIDPALVRYNEFDQPICRVCNITLKSEALWPAHQVSRKHHEAKAAAAAKAATGAGSRGNSAKQEQPLESQKQKSSTLPTNFFDNQGTKRQSDDTGSEGRSVRREVAVIQPKTIEASTGKSSVRMDQMSKKGSQSNTSVKGTLPGNFFDYGEEDEAPAPVPKELSTSQNVASSIHTKVKGVPDGFFDHNKTGSGMQPNEPSSETAQAKGSLPEGFFDNKDADLRARGIQPQKVDMNDAYKEFEKEIQEDLQEVDDRLEEEEIDAAAEREEYLTLEQQEYRQQVDMLKKQLVESKASRTAKLNSKPIGMDAESSSSDSSSDEEDDNTDFAVDWRAQHLK >Sspon.05G0026490-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5C:35019941:35024659:-1 gene:Sspon.05G0026490-2C transcript:Sspon.05G0026490-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLPSTAVALPRLRLRLPLPLPLHHRPIPLPLPLRHHHSSPHPRLLPLAASLPPPPPEELLHTQATGLVAASQANFMRVIVDATAPVLDHHRGSDLLCVVRALLKKIRRRVLVGDRVLVGAVDWTDRRGMIEDVFERRSEVADPPVANVDRLVVLFSLDQPKPEPATLTRFLVEAESTGIPFVLVFSKVELVDEQTIAYWRDRLKSWGYDPLFLSVDKQSGFTALEEMLEGQTTVVVGPSGVGKSSLINALRGNQDISEEDPIHKLVEQNSKWFGEQRVGTVSKKSGKGKHTTRHVSLLPIAGGGFLADTPGFNQPGLMKVTKKSLAETFPEIRKALKENEPTKCLFNDCVHLGEHGCVVKGEWERYPYYLQMLDEIKIREEIQLRTFGTKREGDVRYKTGVMGVKQAEPRLELKKHRRVSRKKINQSILDEIEDDLDDDYRFDVKQRTRK >Sspon.04G0009110-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:26354793:26358240:-1 gene:Sspon.04G0009110-1A transcript:Sspon.04G0009110-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGYCNRKKTDGICEGVCDSELGSKSVLSMSRLKCALRGFDLRVLLILLIGVPILIFAIYVHGQKVTYFLRPIWEKPPKPFTIRPHYYHENVSMDNLCKLHGWKVRETPRRVFDAVLFSNELDILDIRWHELSPYVSEFVLLESNSTFTGIKKDLHFKENRQRFDFAESRLTYGMIGGRFVKGENPFVEESYQRVALDQLIKIAGITDDDLLIMSDVDEIPSGHTINLLRWCDDIPEILHLQLRNYLYSFQFLLDDKSWRASVHRYRAGKTRYAHFRQTDELLADSGWHCSFCFRYINDFIFKMKAYSHVDRIRFKYFLNPKRIQHVICEGADLFDMLPEEYTFQEIIAKLGPIPSTFSAVHLPAYLLEQNDRYRYLLPGNCNRESG >Sspon.04G0013310-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:53841166:53855998:-1 gene:Sspon.04G0013310-3D transcript:Sspon.04G0013310-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQMQTLTLRAGPSARRSTPPSASSAHLAASGRCLLRAPGSLRRRRTRALRASASLEQVKEVAGSPAPSAGKSSQATRRDVRNIAIVAHVDHGKTTLVDSMLRQAKVFRDNQVVQERIMDSNDLERERGITILSKNTSITYKGTKINIIDTPGHSDFGGEVERVLNMVEGVLLVVDSVEGPMPQTRFVLKKALEFGHAVVVVVNKIDRPTARPEFVVNSTFELFIELNATDEQCDFQTVYASGIKGKAGLSPDNLADDLGPLFEAILRCIPEPRIEKDGALQMLVSNTEYDEHKGRIAIGRLHAGELQRGMEVKVCTPDDACRVSKVSELFVYQNFSRVPVESVSAGDICAVCGMDDIMIGETIADKVTGTPLPTIKVEEPTVRMSFSINTSPFVGREGKYVTSRNLRDRLYRELERNLAMKVEDGETADTFLVSGRGTLHLTILIENMRREGYEFMIGPPKVINKTVDGKLLEPYEIAAVEVPEESMGSVVELLGKRRGQMVDMEADGPEGTTLLKYKIPTRGLIGLRNAILTASRGRAILNTIFDSYGPWAGDLSSRDQGSLVAFEDGSTTSYALLNAQERGVLFVQPGQDVYKGQIVGIHQRPGDLAINVCKKKAATNVRSNKETTEGPMPQTRFVLKKALEFGHAVVVVVNKIDRPTARPEFVVNSTFELFIELNATDEQVSNTEYDEHKGRIAIGRLHAGELQRGWRSRFVHQTMLAGLVKTSAASPLNLLSAGDICAVCGMDDIMIGETIADKVTGTPLPTIKVEEPTVRMSFSINTSPFVGREGKYVTSRNLRDRLYRELERNLAMKVEDGETADTFLVSGRGTLHLTILIENMRREGYEFMIGPPKVINKTVDGKLLEPYEIAAVEVPEESMGSVVELLGKRRGQMVDMEADGPEGTTLLKYKIPTRGLIGLRNAILTASRGRAILNTIFDSYGPWAGDLSSRDQGSLVAFEDGSTTSYALLNAQERGVLFVQPGQDVYKGQIVGIHQRPGDLAINVCKKKAATNVRSNKETTVVLDEALSYSLDDCIEFIQEDELVEVTPASIRMCKNPKISKKKGPQCRNGNLYSAS >Sspon.03G0010850-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3C:45999758:46000183:-1 gene:Sspon.03G0010850-2C transcript:Sspon.03G0010850-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VTMILYEVLRLYPPVVLLTRRTYKEMVLGGIKYPSGVTLLLPIIFIHHDPNIWGKDASEFNPQRFEDGISNATKHQAAFFPFGWGPRICIGQNFALLEAKMALCTILQHFSFELSPSYTHAPYTVITLHPQHGAQIRLKKL >Sspon.08G0012620-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:51794354:51800479:1 gene:Sspon.08G0012620-3C transcript:Sspon.08G0012620-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTRIVYSRDFLLSFGELEHCKKLPTGFDTALLSELQDLSAGVLERNKGYYSTSQGRPDGSGGGYTYSSRGGNTGGRWDTRSSGSSDRDGELPDRDSQTQAGRGGNQYRRNWQNTEHDGLLGSGGFPRPSGYAGQLSSKDHGNAPQLNRTSERYQPPPSFELMRKEQHKAMQGKKNGPDILKENPSDDIISQLQTSTAKANAKTKNEKLDGSAVSLYQEDTTKPSSVLLAPAARPLVPPGFATAFADKKLQPQSSNIAHEPKCHNATTEANMLTVAWLGGQLEGDQSATEFASESKEKGISNNVAIMGPKHTLPAGGVTSSAELPSSILKGSEDWEADVMDKYSIGKEGKSKIIDPVRKGDSVSILEQLFGNVLSKSGSNLPTYVENQPLKTDDDMIASSVPESSKFARWFLDEDLKPAEDLSSKSLLSMIVKNENPGPIHAPISDAAVQNLSPRAPIDKLDSASKLISFTSPTPADGILEQYIHSDIPEAVPVMMTCEDLEQTMLAQVSNSSSTQINATKEQLTVMDEPVAMQKVPVDNHASQHLLSLLQKGTDNKGAPLLGFQRGSTDEPHSVGANLMANGGISGSDPVNSVNNVPTSGKNLTLEALFGAAFMNELHSKDAPVSIRGSTTGGPTEFAETGKTLLSSSHEGYYPVEQTIHFNNTKNAAVPREPGIEHSAVPGLNQGSAIFDKKGMEIHLPEEDNLFTMSDSLPGQNSDTLASVGSGRVEGLLPEKAVDDLSYRFQSLVPGNAEHIQVLGPDALGSHPRDQRYQVDSQNLYHLLQGRPPMMAPRPMMDHIVNRKQPAPFDMPQSIHHDSHRSFPSNVNPMQHNLHGPGVPHLDPNAHIMLQHTSMPGRFPPEGLPRGVPPSQPVHHMAGYRPEMGNVNNFHMHPRQPNYGEFGLMMPGPSGPEVRGNHPEAFERLMQMEMSARSKQQQVHHPAMAAGRVPSGMYGHELDAKLRYR >Sspon.08G0006150-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:19349146:19351547:1 gene:Sspon.08G0006150-1A transcript:Sspon.08G0006150-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEISDEERMEMSTMEREHLPPSWQGNGDADVEVEEEEDHLWPTKDGPLPIFLKKLSNMCNHVLNPKTPGKTQFENVEYRVKMTLNNPLTAAREAFASQMRADQGSSCKHILKGIAGSVDPGEILALMGPSGSGKTTLLKILGGRLDGGIKGQITYNDTPYSPCLKRRIGFVTQDDVLFPQLTVEETLVFAAFLRLPACMSKQQKRDRVDAIISELNLERCRHTKIGGAFVRGVSGGERKRTSIGNEILVDPSLLLLDEPTSGLDSTSASKLILVLQRLAKVAASTPHTFARRNATYDDDDHTRRTIITTIHQPSSRMFHMFDKLLLISDGHAIYHGKARDCMHHFSSLGFVPEIPMNPAEFLLDLATGNLDDISVPEALRGSPDPQEFRSQVVRHLQLKYRAGGEPPAGRRRTPTEQLRLAVRARKDHRRSIGWLQQFAVLSRRTFRERTSDYLDKMRLAQAVGVALLLGLLWWKSQSGTEAQLRDQVGLIFYICIFWTSSSLFGSVYVFPFEKLYLVKERKADMYRLSAYYASSTLCDAVPHVVYPVLFMAILYFMAGLRRTVPCFFLTLLATLLIVFTSQGTGELLGAAILSVKRAGVMASLVLMLFLLTGGYYVQHIPKFIRWLKYVSFMHYGFNLLLKAQYHGHLTYNCASRGGCQRLQSSPSFDTVDLDGGMREVWILLAMALAYRLLAYFCLLKRISLTPL >Sspon.06G0019020-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:62007758:62011845:-1 gene:Sspon.06G0019020-3C transcript:Sspon.06G0019020-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPLLLLAAFLHGLSPAAAQGGGLPSLPIGVNYGANADNLPTPAAVATFLATKTTIDRVKLFDANPAFLDAFAANAPSISLAVSIPNALLPTFADKSAGLDAARGWVRDNLSPHVAAGANVTLLLAGNEVLGPTVVPDLVVALLPAMRRLAQALQLESLPGVRVTTPHYLGILAPSDGIPSHARFRPGLDTKVLAPMLKFHNDTGSPFMVNAYPYFSYNAATLNYAVFRPNAGVYDPGTKLNYTSMFDAQMDAIYTAMKRLGYGAGVEIAVGEAGWPTKAEAGQVGVGPEEARDFNAGMIRVCSGGKGTPMMPGRRFETYVFSLFDENQKPGPLAERNFGIFNTDFTPKYDLGLLRQGSPSPNPSPNPSPSGVGKWCVAKSGASATDLQNNINYACGYIDCKPIQSGGACFDPNNVQSHASYVMNAYYQSNGLHDYDCDFKGTGAVTSTDPSKLRELQIRLLTGRSKSRQCGGRGTSLESTSSSRLQPRQRREVDTAGLAPNTSILMSDTHASCSQSLLVVLNLRRAAIALLSSVPLPQHEGGVN >Sspon.08G0018520-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:6541226:6544530:1 gene:Sspon.08G0018520-1P transcript:Sspon.08G0018520-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SMGSSSQHDSISASGSLESADGSRSSINKWTKITSTNSWRWCLGLIYIVAVAGIWIAASYIVQSVVDAGVSPFLITYICNSLFVVYIPIVEAARYFEDSIGDFWTKLKFKDAESLQQASDLESVNLLQSGGHEISVASDQAQTRPSEDTSVPDTSFPAQTELGVVACSKGLDAKGRWTRARVAKVSMLISPFWFLAQLTFNLSLRYTTVQSNTILSSTSSLFTFLVALVFLGETFTWLKLISVLLCMGGTIIVSLADSSSSVNAIATNPLLGDFLSIVSAGLYAVYITLIRKKLPDEKEGQGQVSMAQFLGFLGLFNMLFFLPVALVLNFAKLEPFHKLTWEQVGLVVGTGLIDNVLSDYLWAKAILLTTTTVATAGLTIQVPIAAIVDTLTGHAPHLLDYIGAAAVLVGFAGINIPVGESPQVVQQEQETPIVSMVDDPIHLPSSTNATDVVS >Sspon.03G0002580-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:6328803:6334491:1 gene:Sspon.03G0002580-1A transcript:Sspon.03G0002580-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVASSSSAASSSSSSPCSCSPVTSGAHRRRLTDAAAAVDYSVPCDDDGAGAGAARVKALFFARRASGGKRVPVVDQAWVRNAVACLLGVAVVIGLVMSSRRGAVGAGGRLVRRVDAEVLGWREENLTAVARRPPDPPMTQTWIKPDSEGYTKCIERPKNRCSELIFPILLQFNRTNSTTTGYIIAEANGGLNQMRLGISDMVAVAKLMNATLVIPTLDHKSFWTDPSDFKDIFDVQHFKETLEDDIMIVDSLPPEFKRLKPYIRAPKSWARASYYRAFTRTLKKAKVVKFTHTDSRIVNNGLPPSIQRLRCRANYEALRYNQKIEELGNNLVDRLRNGSNHYIALHLRYEKDMLSFTGCSHNLTYQEAEELREMRLEVQHWKEKEINSKERRLQGGCPMTPREAALFLKAMGYPSSTKIYIVSGEIYGVHSMDAFKAEYPNVYTHYSLATANELESLKLYQNRLAAVDYNVALQSDVFVYTYDGNMAKAVQGHRRYEGFQKTINPDRHKLVELIDKLDEGTIDWTEFASEVKMHHENRIGGPHQRLSGRSPRHEEYFYANPLPGCLCKRNTQDQVA >Sspon.02G0002180-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:7116754:7120219:-1 gene:Sspon.02G0002180-1A transcript:Sspon.02G0002180-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NADH dehydrogenase [ubiquinone] flavoprotein 1, mitochondrial [Source:Projected from Arabidopsis thaliana (AT5G08530) UniProtKB/TrEMBL;Acc:A0A178UJ45] EMALRRALLRSAEISPDRQAAIEYFHSLSRAQPARSLNGAGRSFSTQAATTSSTPQPPPPPPPPEKTHFGGLKDEDRIFTNLYGLHDPFLKGAMKRGDWYRTKDLVIKGADWIVNEMKKSGLRGRGGAGFPSGLKWSFMPKVSDGRPSYLVVNADESEPGTCKDREIMRHDPHKLLEGCLIAGVGMRASAAYIYIRGEYVNERLNLEKARQEAYAAGLLGKNACGSGYDFDVHIHFGAGAYICGEETALLESLEGKQGKPRLKPPFPANAGLYGCPTTVTNVETVAVSPTILRRGPEWFASFGRKNNSGTKLFCISGHVNKPCTVEEEMSIPLKELLERHCGGVRGGWDNLLAVIPGGSSVPLLPKHICDDVLMDYDALKAVTSGLGTAAVIVMDKSTDVVDAIARLSYFYKHESCGQCTPCREGTPWLWMIMERLKVGNAKLEEIDMLQEVTKQIEGHTICALGDAAAWPVQGLIRHFRPELERRIRERAERELLAASA >Sspon.04G0012000-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:37230319:37235152:1 gene:Sspon.04G0012000-3C transcript:Sspon.04G0012000-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVSAAPMPPRLALRAHPSSSASPLPMRALPFLRSRLRSLTTAYAVKQDVALWTPAPVAAVSAATADGSISHFVVDLSDAADLVDSYTTPGQYLKIRVPSAAEELKPAFMAIASPPGAGPRFEFVVKTVPGTTAERLCTLRHGDVVELGAVTGDGFALDKINPPDVAQTVLMFATGTGISTIRSLIEFGFAANERADVRLYYGARSLQSMAYQERFKNWESTGVKIIPVLSRPDDNWKGERGHVQDAFYRNKNIVNPSSTGAVLCGQNEMQEELTSALVADGVSRDKILTNY >Sspon.02G0008020-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:16021481:16025281:1 gene:Sspon.02G0008020-3C transcript:Sspon.02G0008020-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNEEQDPSAMVSLGLRNSQEIWSLADNSRVFLEALKLFFEKREKEIGSLIFDKDDQLAVEFVTAAANIRASSFGIPLHSLFEAKGVAGNIVHAVATTNAVIAGLIVIEAIKVLKGDYQDYRMTYCLEHPARKMLLMPVEPFEPSKSCYVCSETPLVLEVNTKTTKLREVIEKVIKSKLGMNLPLIMVGATLVFEDGEDLEEDEIANYALNLEKVLAELPAPVLNGTTLTVEDLHQELKCSINIKHRDEFDEEKEPDGMVLAGWSGPVDKQITSNGENRSVPSSSNTEDVDGTAEDISAKPGMKRKLNEILEAKENFDALENPSDVGSSSAQVVEDDDDDELVMFDEDPRQSKKKRLQ >Sspon.07G0035510-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7D:8357185:8357486:-1 gene:Sspon.07G0035510-1D transcript:Sspon.07G0035510-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAPSQTLYLAAASGCCARRQDVRYGYDYDYDYDSAHVVFPFLFPVPFPQNLLPFSPSTLNPRVAAYVHGELSRSQSQAPDTPAAPFAERRYGKPPTTTT >Sspon.07G0034790-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:81044251:81047235:1 gene:Sspon.07G0034790-1C transcript:Sspon.07G0034790-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFTAHRSACLCFKSSLHIDPQDSASSYAYTCSKTIIMNPTPVHPPKIYNKGVVDEDGLVPKFEDLVATPVHPAKTGWRTYRRPDDKSGGHGVGWSPIIPYSFKVPDGWDEFVSLDDDDMEDSEPEEDRNIIYAEIVLLMVEDNSEDEHHHHVLLLGNPPHEWAFRIVIAPSELD >Sspon.01G0026560-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:76508774:76509937:-1 gene:Sspon.01G0026560-3C transcript:Sspon.01G0026560-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding TMATPPPPKRLCGRSLLDLPDDLIPEILLRLPPHDPRRLVRCSAVCKPWRRLLTGPAFLRRYRAFHGVPPMLGLLFHLELPSNRFLARFVPTTSFRPRTLDHAGCYVRDARHGRILFRNVTGEENDHDLFVWSPVTDEVWGLYMPCPFYYWNVAVLCAAAAREGGCDCDHLDCHGGPFLVVFVDTDDDGMTYARVYSSETGAWSDATYAQHPNGLADMDMLEPIALVGNRIYFPAAQSKTIVEYDLGRRKLAFVDPPLAHQGHGILMPAMGGGLGFASVLGSRLYLWSRETGSDRTGAAWTQSTILELNTLPDCETRVPLNQPTALGFTEILMEEDVTAVGFAEGLGVIFVRTSAGVFAINLESGQVKKISSRRPELDIVIPYMSFYT >Sspon.07G0022370-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:9066316:9069287:-1 gene:Sspon.07G0022370-1T transcript:Sspon.07G0022370-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLKTELCRFSGQKIYPGKGIRFIRADSQVFLFANSKCKRYFHNRLKPAKLTWTAMYRKQHKKKRRRTTKKPYSRSIVGASLEVIQKKRAEKPEVRDAAREAALREIKERIKKTKDEKKAKKAEVTKSQKAQTKGAVQKGSKGPKLGGGGGKR >Sspon.04G0024830-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:22304607:22304980:-1 gene:Sspon.04G0024830-1P transcript:Sspon.04G0024830-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFVGLVSLVALIFLLSFRSLLLQQVLVAAVVFLSRIEKLKLVFGFVEGEGSAVAARSGRHDRSQQHAEQWAEERKRMRWFMTRDYASARRHTPRNNRLDP >Sspon.06G0005950-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:17922936:17928737:1 gene:Sspon.06G0005950-2B transcript:Sspon.06G0005950-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PTB1 [Source:Projected from Arabidopsis thaliana (AT3G01150) UniProtKB/TrEMBL;Acc:A0A384LJ51] MPSGSATQFRYTQTPSKVLHLRNMPWECTEEELVELCKPFGRVVNTMCNVGANRNQAFVEFADQNQAISMVSYYASSSEPAQVRGKTVYIQYSNRQEITNNKGTGDSSGNVLLVTFEGVQPNDVTIEVIHLVFSAFGFVHKIATFEKAAGFQALIQYTDAPTALEAKNSLDGRSIPKYLLPEHISACHMRITFSAHKDLNIKFQSHRSRDYTNPYLPVNQTAIEGIVQPTVGPDGKVKEPESNVLLASIENMQYAVTVDVLHTVFSAFGSVQKIAMFEKNGGMQALIQYPDITTAAVAKQALEGHCIYDGGYCKLHLSYSRHTDLNVKAHDERSRDYTLSDPNAQLQAAAQAPVLSSLGVAWQNTASAPAAPFYASTAASTPVGQVPAWNPNMQAGGFASASTAYPNQPLMANSMPHYPAIGSSSGAPPVSFQASQQMPQYGMPPGAPPHAPPAGQPMYFPK >Sspon.01G0011620-3D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1D:51153930:51155185:-1 gene:Sspon.01G0011620-3D transcript:Sspon.01G0011620-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding TPTISSISSPNHHGLLRRRERERERRRRRRRSPRRRPGQAERWLEIAEKLLAARDLVGCKRFAERAVEADPLLPGADELLAVADVLLASQSMGASGHPDPLAILQLPPGASPDHAAVSRAFRRLALLLGQRNPHPGAEMALRLVNDAYAILSDPSRRAPPSANPATGTPSSYQYAAAAAAAPAPDPLEFWTACPFCCYVHQYPRDLIGRALRCP >Sspon.06G0016970-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:76413509:76418639:-1 gene:Sspon.06G0016970-2B transcript:Sspon.06G0016970-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LGAMESLLRKLDELLRTEERAQGLIGDLRIISTKMEKLSEVHSPPRTVKYWMNDARELSYDMEVCVDQFVHASQPEDLPDKVAWKLTWTKEILGFEARVKEVNERCEKYNLVNKYVINNPAKIVVSHHLGTLYKEPDPVGMEEPTKKLFEWLMPRGHSEEDLKLKVVSILGDEGVGKSTLVKRLWQESSQDKFEFKCRAFVQTAKKPDTRMILRSILSQVHPKRPLNACKVPKLIDDLREHLHVTRYFIVIDGLWDVSVWHIIKRAFPVGNQRIITTTSVENIAHVCCSYDSNSIVNVKCLCGDEAKKLFVDRAFGSQKGCPPQFNDVTDEITRKCGGFPLAIICTARIVASQPETEQEQQLIQQMDIVNSNKKGLLSYTVHPVVFDFITSKSMEDNFVTIIDYSQPAVVLSEKVRRLSLHFGSATYATTPESVELSQVRSLSFIGLLGCMPSIAEFKLVRVMVLHICSDDEDSRFSLSEICHLLQLRYLQVRCNVTVNLPYEIQYLKYLETLEINAVVENVPSDIVHLSRLLHIHLGRKTGLNPAFSSVDWNSYTCSNISIESFEFLPPIWMSGLPRWFRQLRKLHRMEIVVRQLSNNIVALAELPFLKHLSLYVRTAPTTEIILSSGMFPALEFFKFSCGVLSLGFQKGTLPNLRRLKLVFNAHKREQYGILVGISHLLSLQKVVASIGLAPGAEESNRIAAESAFKDAIREHPCHRSFEEVVKLKRVDPVDEDWNQQEESSNERGQVPLWKLAFQTPPQLPIFTGSKIEDEAGNPLEVILVDADTGSPAALQLGLRIELVPVFGDFPPDGREDWSAHEFQRNVVREREGKRPLLTGDVRLTMRDGRATVGELHFTDNSSWVHSRKFRIGACVVPASYDGGRIVEAITEAFMVRDHRGELYRKHYPPVLGDSVWRLEKIGKEGVFHRKLKRNNIETVQEFLRMLTVKPDELDQILGNGMTDRMWEATTNHASTCVPDDTVYMYRTALGAIYVNSVFDLVGFEMGGITYPPQQLNTRVQTFVQQLTLEAYEHQHNLQLLPEASDHDLQPSPPLNPRFPFLPNARPFRRRN >Sspon.01G0047270-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:101783765:101784313:-1 gene:Sspon.01G0047270-1B transcript:Sspon.01G0047270-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVPAPFMDDAKVIVSTYEELPRRRRRVISRVASSSTAAARTKASAPRQAGSGSNSRRALLLAYAQQLRRRRRGGQQSGAPLLLEWGKWKAGHPVAGGGDVVAVRWPNLMRRIGRNWCSRLRCCVRLCVRTFLRQVKRIRENASCKKGDTSSMLD >Sspon.01G0035540-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:12010340:12014147:1 gene:Sspon.01G0035540-1B transcript:Sspon.01G0035540-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFIPVFGLKVGSNWMYQIAVLSTPAMAATYQTGLVAEPQLLNTEIITQRATNFVADTNRKDKCIGYLDVFVHQARDIHNVCIYHKQDVYAKLCLTSSPDISCSTKVINSAGRNPVFEESLRLDVQTVDASLKCEIWMLSRVRNYLEDQLLGFALVPLADIVMRDGKLVQEFSLTSTDLFHTPAGFVQLSLSYAGCSPDVILISSPNKSPSGVDDSGNDHVVPSQLENIVFPDLNVAKEDEIMVSKYLEMGSLNSENPIKVENGMSLHSGNDDDDVPLKHGKIEFKDSENPAKAENGKLPRFGGAVPGTAICADKVVENRDESPLSCVSTTGSSTTLSATQQSVSEPNSEPSETSIEASPRQCHREKSQDVTDGEADSSETPPKDEVIKPVISINLQPEQSVVQQDIVDMYMKSMQQFTESLSKMKLPLDVDNSSPSNEESYSSTIEKTSPSPSSASKGSRENGLRARIRAKESKLSLPDI >Sspon.05G0021670-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:59136049:59137098:1 gene:Sspon.05G0021670-1P transcript:Sspon.05G0021670-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGIWRPSGKKLADMMNQVLSVRRKFDQCEVSLVEPSQVSYVVKLATDSLTVQIAKALAANAVKEKRESCAICLEDTDITKIHVVEGCAHRFCFSCMKEHVKVKLLNGTLPACPQEGCATKLSVEGSRVFLSPRLLEIMVQRMREGQIPPSQKIYCPYPKCSALMSLGEVIRPMQESSSRYTAADAATLRKCVKCRGSFCLSCKVPWHDGMSCYEYKMRYPHARPEDAKLQNLARQRLWRQCVKCKHMIELAEGCYHMICVCGYEFCYTCGKEWKNKKASCSCPLWDERNIIRDEDDDDYEEDEDGLY >Sspon.04G0018030-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:70895982:70904931:1 gene:Sspon.04G0018030-3C transcript:Sspon.04G0018030-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPNQFSHKNCCSRLSVAFLGLAILLLISLATPASSCTELEKTSLLQFLAGLSQDSGLSKSWQEEGTDCCKWEGVTCNGNKTVIKVSLPSRGLEGSITSLGNLTGLQHLNLSYNSLSGDLPLELVSSSSIIVLDISFNQISGDLHDLPSSTSGQPLKVLNISSNQFTGQFTSTTWKGMENLVTLNASNNSFSGQISSHFCNISPNFAILELCYNKLSGSVPPGLGNCSQLKVLKTGHNYLSGTLPDELFNATLLEHLSFFSNSLYGKLNGAHIAKLTNLVILDLGENNFSGKVPDSIVQLKRLQELHLGYNSMYGELPSTLSNCTNLTTIDLKNNNFSGELTKVNFSNLPNLKILDLMDNNFSGKIAETIYSCHKLTALRLTIISMLSKRLGNLKSLSFLSLAGNSFTNLTNALQILKSSKNLTTLLIGANFMNETMPDDDSIDGFENLQMLLLNGNQLTGPIPDWISSLNFLFSLDLSNNSLNGGIPIALTEMPMLKSEKTATLLDPRVFELPVYVDTSHQYRKVNAFPKVLDLGNNNFTGVIPPEIGLLKELLSLNLSFNRLHGDIPLSLCNLTNLLALDLSSNHLTGAIPAALNNLHFLSKFNVSFNDLEGPVPTTGQFCTFKNSSFGGNPKLCGPMLIHQCDSADKGPLSTVYENQGGIKVIFTITFSLFFGVGVLYDQISLHFSYKKYSSRLPVPVLAVALVLLISLASPASSCTEQEKKSLLQFLAGLSQDSGLAKSWQEEGTDCCKWQGITCNGNKTVIEVSLPSRGLEGSITSLGNLTGLQHLNLSYNSLSGDLPLELVSSSSIIVLDISYNQLSGDFHKLSSSTTGQTLQVFNISSNLFTGQFTFTSWKGMENLVALNASNNSFTGQIPSHLCNISPSFAVLELCYNKLSGSIPPGFSNCSKLTVLKAGQNQLSGTLPDELFNATLLEHLSLFGNSLYGKLNGAHIAKLTNLVILDLGENNFSGKVPDSIVQLKRLQELHLGYNSMYGELPSTLSNCTNLTTIDLKNNNFSGELTKVNFSNLPNLKILDLMQNNFSGEIPESIYSCRNLTALRLAYNKFHGQLSEGLGNLKLLSFLSLAGNSFMNLTNALQILKSSKNLTTLLIGANFMNETMPDDDIIDGFENLQVFSLSECSLLGKIPYWLSKIKKLQMLLLDNNQLTGPIPDWISSLNFLFYLDLSNNSLNGEIPTALTEMSMLKSEKTAALLDPRVFELPVYADTSLQYRKVNAFPKVLDLGNNNFTGVIPPEIGLLKELLSLNLSFNKLYGDIPDSICNLTNLLVLDLSSNYLTGAIPRALNNLHFLTEFNVSFNDLEGPVPTTGQFSTFTNSSFGGNPKLCGPMLIQQCSSAGAPSTSKKKVHNKTAIFAIAFGVFFGGVAILLGLAHLLVLFRGKTSTTKNRSNNNCDIEAVSFNSNSGHLLVMVPGSKGDENKFTFTDIVKATNNFGKENIIGCGGHGLVFKAELPDGSKLAIKKLNGEMCLTEREFTAEVEALSMAQHENLVPLWGYCIHGNSRFLLYSFMENGSLDDWLHNRDDDASTFLDWPTRLRIAQGASCGLSYIHDVCEPHIVHRDIKCSNILLDKEFKAYVADFGLARLILPHKTHVTTELVGTLGYIPPEYGHGWVATLRGDIYSFGVVLLELLTGLRPVPVLSTSKELVPWVLEMRSQEKQIEVLDPTLRGTGHEEQMLMMLEVACKCVNHNASMRPPIMEVVSCLESINAGMQRHESAKT >Sspon.07G0003350-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:7877302:7882293:1 gene:Sspon.07G0003350-3D transcript:Sspon.07G0003350-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MREPGPAAPFTAASLHEHVLRLHQCGGSSLLRRRAHAASLVSGSLAASLPLAGALLLSYTALPDIPSARLILRHHPLRLRSAFLWNSLSRALASAALPCEALRVYNCLVRSGVRPDDRTFPFALHAAAAAVAQGEHPAKGAELHAAALRRGLLADVFAGNTLVTFYAACGRAADARMVFDEMPVRGVVSWNSLVSALLTNGMLEDAKRAVVGMMRNGVPVNVASLVSVVPACGTQRDEGFGLCVHGLVLKSGLDSVVNLGNALVDMYGKFGDLESSMRVFNGMQEKNEVSWNSALGCFAHAGFHEDVLEMFRVMSEHEVTPGSVTLSSLLPALVDLGYFHLGKEVHGYSIRRAMESDIFIANSLMDMYAKFGCLEKASAIFENIEGRNVVSWNAMIANLAQNGAETEAFRLVIEMQKNGECPNSFTLVNLLPACSRVASVKMGKQIHAWSIRRSLMSDLFVSNALIDVYAKCGQLSLAQDIFDRSEKDDVSYNTLIVGYSQSQCCFESLHLFQQMRSAGIEYDAVSFMGCLSACANISAFKQGKEIHGVLVRRLLNAHPFLPNSLLDLYTKGGMLDTASKIFNRITRKDVASWNIMILGYGMHGQIDVAFKLFDLMKDDGVDYDHVSYIAVLSACSHGGLVDRGKKYFSQMIAQNIKPQQMHYACMVDLLGRAGQLSESVEIITNMPFPANSDVWGALLGSCRIHGDIELARLAAEHLFELKPEHSGYYTLLRNMYSESGMWNEANEIKTLMKSRKVQKNPAYSWVQSGNKLQAFLVGDGYAWEEHMACVPTNFTLLGTALSTSLAKGRPEVAKALKRIQQWKVTQTMDGQTSILNEQRRMDKLCAAEAIGGLQNLMPGFYSAV >Sspon.05G0002610-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:7819308:7824799:1 gene:Sspon.05G0002610-1A transcript:Sspon.05G0002610-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATFTETEITPLFVVVPAPDAMDPRAAAIAAASVKMEEFRRNMLDYSETMTSLGLIPSRPPPKRWFPRLARLWRLFLGNEYEPVLGNVTQNPHMHNGICWIHGNFVARKKGSGVFSFLTAPRSVFFYELAYMDGFEGVVTCTPVEQEPQLVHSGIQHLLMSVGRMLPVLDWELKEDRNELTGYSCGTNGL >Sspon.04G0017320-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:66571473:66575777:1 gene:Sspon.04G0017320-2B transcript:Sspon.04G0017320-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAGWVAGLVAESFFVACPAHESRKKNERNIFCLACCASICPHCGPAHRHHPLLQVSLTYTINSAKVIFLKPRPQSRPFKGSGNICLTCDRILQEPFHFCSLSCKVDHVMMQGGDLSNILQYYGGGGTPADPDRLAFPRFENLRVDGSDLDDDTDCGQVTPNSILEDPTEHYGNSGGGGGGSSDNGSDTRVDGGARRGGEAAKRKKGGGFFPQIVLSLGGGGNRRKGAPH >Sspon.06G0013100-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:56168588:56172622:1 gene:Sspon.06G0013100-1P transcript:Sspon.06G0013100-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQMEMDYRLMDNLSPSTLLNSISCLGALTSDVSTVRPKPIQKYCKNVYDISSIVNPLVEDLCKSPEEQLNEVLKDLDTAVNEASGLIGNWHQTTSKIYFKLQDINYEHMFDLIKEVAMELAETNAVGSENLLKLSSLLSLSTNMELYMESVSLENLRTRALRSENREELELAEQMIPLVNYMHDHLLREKQQLSINGVPIPADFCCPLSLELMSDPVIVASGQTYERVYIKLWLDEGFTICPKTRQRLGHSNLIPNYTVKALIANWCESHDIRLPDPMKSLKLNFPSAASSLQDSSAAGGSPLHPSVVSRANIPGSPEADVYLRNLNGASPPHSLANQNSHLHANRPGHEVSTSQTSENANGSAPDVSRLSLASSEARESSLEGRRGGSIGQMSEHSTEEAFQSSNLDRDLQDNLASSSLNGSLPNSGQLDGECDNGITRVPSDRTNYSSDASGEVTDGGGAPVASSVPQREHLIPPRLGTRAPFIRRQPSDRGFPRIISSSTMDARSDLSAIENQVRKLIDDLKSDSIDVQRSAASDLRSLAKHNMENRIVIANCGAVNLLVSLLHSPDAKTQEHAVTALLNLSINDNNKIAIANADAVDPLIHVLETGNPEAKENSAATLFSLSVIEENKVRIGRSGAIKPLVDLLGNGTPRGKKDAATALFNLSILHENKARIVQADAVRHLVELMDPAAGMVDKAVAVLANLATIPEGRNAIGQARGIPALVEVVELGLQEGRRMLRPPCFSSVQTATDFAV >Sspon.03G0001160-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:9190195:9197073:1 gene:Sspon.03G0001160-4D transcript:Sspon.03G0001160-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSRLLPRRHHRLLLQTLLRAAPAAPDVDLHQRLCSSSAASSPSLSIWRRKKEMGKEGLMAVAQLKRLAALPPAGGHPRLEQFMRSHVSRLLRTDLLAVLAELLRQDHVILSMKIYGVVRKEIWYRPDMYLYRDMLYMLARNKKINETRQVWADLKSEDVLFDQHTYGDIVRAFCDAGLIDLAMEIYEDMRSSPDPPLSLPFRVILKGLVPYPELREKIKQDFLELFPDMIVYDPPDTLSDIDEEFNISPSLIELASSSEDQKSGTYSKKFSTVWRTSRSRK >Sspon.02G0020860-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:68060310:68075192:1 gene:Sspon.02G0020860-2B transcript:Sspon.02G0020860-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding METQAEPRRAKRRKPDSCPPGAGAEEDGVDRISLLPDAILGCRPHPNPHQLWRSAPLNLDGSDPLTINVVSRILSAHQGPGRRFRFPAQRLQDHPATVDAWLQSRALDNLQEIDCWIPFIAPAVLQPPPPPASTFRFSSCLCVATLSQCHLSDDVAQALQFPPSSRSSQFIGISEDSLHSIIAASPVLECLLLSSIFGFHCVRINSASLTSIGVGANAGYEPTVTFLEEFMVEDAPCLKRILYHRPPLTRVRLQVSVISAPILETLGCLNCSDHFSRLTLGSMIIEPCYEFRIINLTTPACTVKVLAVNIFILSLDVIIDLMRCFPCLEKLYIQSYKGGYKNLWRRKHHNLIKCLDIRLKTVVLNNYRGIKSQVNFATFFVVNAKMLESMTFECQRDSVTDRFLAEQHQLLQLEKRASRCARFYFTTESCRHEFLHINHVHDLSIRDETYIQEPPLGAAAEEEDRIDRISRHPDAILGEVISLLPTKDAARTQILGTRWRHLWRSAPLNLDGGDRRTIAAVSRILSAHRGPGRRFRFPAQRLQDHPATVDAWLRSPALDNLQEIDCWIVLFATGVLQLPPPPPVSIFRFSSCLCVATLSRCHLSDDMAQALHFPKLKKLALQWVSISEDSLHSIIAASPVLECLLLSTIFGFPCVRINSASLTSIGVGANAGYEPTVTFLEEFIIEDAPCLKRILYHRPPLTRVRLQVSVISAPNLETLGCLNSSDYSSRLTLGSMIIEEEFQVFNSTTRACSVKILAVYIFTFSLDVIIDLMKCFPCLEKLYIQAYQGGDKNLWRRKHRNLIKCLDIRLKTVVLNPYLGIRSQVNFATFFVLNAKMLESMTFQCQSYYVSERFLTEQHQLLQLEKRASRCARFYFTTKICDHDFMHINHAHDLSKTDPFECEETG >Sspon.03G0002400-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3A:6063521:6064285:1 gene:Sspon.03G0002400-1A transcript:Sspon.03G0002400-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALSGRVGVKCGRWNPTAEQVKVLTELFRAGLRTPSTEQIQRISTHLSAFGKVESKNVFYWFQNHKARERHHHKKRRRGASSPDSGSGSGSNEEDGGRGAASHDAEADVDLVLQPPESKREARSYGHHHRLAVTCYVRDVVEQQEATWERPMREVETLELFPLKSYVDLEAAEKVRYVRGSAASEQCREFSFFDVSAAGRDPPLELRLCSFGP >Sspon.03G0021230-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:87310508:87311868:-1 gene:Sspon.03G0021230-1P transcript:Sspon.03G0021230-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MREHLHYSNKLVGIRRHYRRCAPQRPTSPSPSSSSRSAAYLIFGRPTPTSTRSRHAARPRLAPAAAAPAPGNATDDEEDRGLPPPRQLTDPPYSLGRAILDYDAGGTGAAGAGRRRPRVLVVTGSAPTRCPDPDGDHLLLRAFKNKADYCRVHGLDVFYNAAFLDAEMSGFWAKLPLLRALMLAHPEVELLWWVDSDAVFTDMAFEPPWERYERHNLVLHGWPAKVFEERSWVGVNTGSFLIRNCQWSLDLLDAWAPMGSRGPVRDSYGELFARELSGRPPFEADDQSALVYLLLTQRGRWGDKTFIESTYELNGFWEGIVDRYEELRRKGRPAGGLGDDRWPFVTHFVGCKPCRRYADSYPADRCRRGMERAFNFADDQIMRLYGFEHEALNTTAVQRVGNETGGTLDADDEELGRLLHPTFRAARPTQT >Sspon.06G0017810-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:79715970:79720818:-1 gene:Sspon.06G0017810-2B transcript:Sspon.06G0017810-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTAHQQAAAREDEPEEKTRRKAIIANGGGGKAVAVRCHPALADYPGRKASIRGVVGELLAMAGSAGGKGSDGGRKKSLISLGVGDASSHACFRRGGEFAAEAVADAARSGAFDCYAPSHGFPAARRLVPPLTNATFFHALCALLRLLNQPTNSAVAEYLSAGARHRTHDADVFLTVGGTGAIVAITTVLGGAPGANILLPRPGFPPYEAACEIAGAEPRFYDLLPRRGWEADLAGVRALADAATAAVVVINPNNPCGVVYSAQHLLQIAETARDLGIPIIADEVYAHMVFGGSKFVPMASLAHIAPVITIGALSKRFMLPGWRLGWLAFCDPNGALKHVRTATERLLNVTSGPASIVQAAVPKIISVEHPEFHRNVVDLLESAADALFRRVNQIEALKCYTKPEGSMFIMVEISTSILYGVADDMDFARELIKEECVLVLPGSIIGLKNWARIFFGAPVNLILEACDRIELFCRRRMLKQKQLKN >Sspon.07G0020190-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:71617205:71622315:1 gene:Sspon.07G0020190-3C transcript:Sspon.07G0020190-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thiamine biosynthetic bifunctional enzyme TH1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G22940) UniProtKB/Swiss-Prot;Acc:Q5M731] MASVPPLPAALAPYRPSDAAAALLFRSPSFSPSSPTRPRRFPVVASAREMPWPHVLTVAGSDSGAGAGIQADIKACAALGAYCSSVITAVTAQNTVGGVHAVPEEFVGEQLRSVLSDMSVDVVKTGMLPSAGVVKVLCESLRKFPVKALVVDPVMVSTSGDTLSGPSTLATYRDELFSMADIVTPNVKEASKLLGDVSLHTISDMRNAAESIYKLGPKYVLVKGGDMPDSSDAVDVLFDGKEFTELRGLRIKTRNTHGTGCTLASCIAAELAKGATMLHAVQVAKKFVESALYHCKDLVIGNGSQGPFDHLFELKSPLYKMGSLQTFNPDDLFLYAVTDSGMNKKWGRSIKDAVKAAIEGGATIVQLREKDAETREFLEAAKACVEICKSSGVPLLINDRIDVALACNADGVHVGQSDMPAWEVRELLGPGKIIGVSCKTPAQAEQAWKDGANYIGCGGVFPTSTKENNPTLGFEGLRTVCLASKLPVVAIGGINAGNAGSVMELGFPNLKGVAVVSALFDRECVATETRNLRSILKNRPFEIEI >Sspon.07G0002380-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:4333750:4338511:1 gene:Sspon.07G0002380-2B transcript:Sspon.07G0002380-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPLLEARDYLGAGATSPCCSSSCSSGGEGAGPHLALRLGLPGSDSPGRGAEAEHVDAALTLGPAPAPAPPRGGAKRGFADSLDRSAKRDGDAAGGVTGEEKGAAAAAAGAPPAAKAQVVGWPPVRSYRKNTLAASATKTKGEDEGRSEAGCCYVKVSMDGAPYLRKVDLKTYSSYEDLSFGLEKMFSCFITSQSSSRKTSRRDRLTDGSRADALQDKEYVLTYEDKDADWMLVGDLPWDLFTTICQKLRIMRGSDAAGMAPRSLE >Sspon.01G0012710-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:34308409:34310562:-1 gene:Sspon.01G0012710-1A transcript:Sspon.01G0012710-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VKVIRKHFQRRYPSMAARRNVRYSPLPSEDGGDNNFTKEDVDLRYTYTPKSYRRIPWKSIALALFLLLLGTSLLFLSYFIFTGHMEGDSSQAYGLLFLGFLSFLPGFYETRVAYYSWRGAPGYTFASIPDY >Sspon.03G0003200-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:8104193:8107652:1 gene:Sspon.03G0003200-1A transcript:Sspon.03G0003200-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRAASNAYSWWWASHIRSMQSKWLDNNLQEMETRVKSMIKLIEINADTFAKKAELYFKNRPELVNLVEETYRSYQALADRCDRISGELHKSNHTIATAFPEQVQLSLQNDNGDGFPRGITGINISKGTSPAPKRTQTHKRITSQMSKEKAQEEIERLQKGILVLQTEKEFFKSSYESSLDKYLDIERRATEMQEEVWSLQETFNTSAVIEDNEARALMAARALICCEDTLINLQDQQKRSSQEAKTEFQRVIDAKMKLKALKSECGHPDNQKELSDQQYVVTSSAHPSTENNDSIPQDCRLQLQEVCQKIKLQFESCSEASVVHLAGMVDEFVDKVIALEIAATSQNAQINRMRAEADELHKRLNSLEEEKASLVGDSSKLSERLRQVEEVLQTIQQIEKSVHTENGNIHRQLIDACNSLTDFVEKVDAPLSEETVDSSEGSKGIASEEDADKPGTFSDPFQADSGTAGKSMDEDSLDSFDISSEAQEEDADGTLGWQQVVLNGLEGKDKILLRDYTSILRNYKDTKKQLSEIEKKNQEYHLEAMSEMKELKSANVTKDDEIRSLRRMLSSLQSKINTYPIQCAEKSEESSKANNDPCLEDKEIGEIEEYMKHCRNEEPHVSSLEEKFREEISKVLEESLDFWLRFSTSYHYIQKFQKAFDKAKTELDRLTDAKAQEGLDTGSAGQSVRKQESAALEKKLRDLSTDLQVWLEKNVLLQGELESRFSLLCSIQEEISKVTTLDRTDEAHFTPFQAAKFQGEVSNMKQENNKVTKELQAGLDHVRGLQVEIGRTVLKLRDNIELSIGRSNQAQKNFRSLSAKAGVPLRTFLFGSKPKKASLFSCMPAMPKPVSDMRPGHFR >Sspon.07G0015190-1T-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7A:54322955:54323572:-1 gene:Sspon.07G0015190-1T transcript:Sspon.07G0015190-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAYEEEEDDGYFIIAAAYEDDGDDDGFVIAASSEEEDDDYIKVITTFVAPPLPLPSSSSSSHCGAAAIASSSPAPYGFDAEEHISPSSSPATHFLDVHDAAAALWQQDAVDIDEAPEEQQAPTPVFFLYRHRKIDYKIADDDDEVTDEEEDEDDYMYQGYYDLADRGRARHVKRSSGRRGRTKKASTMGRPRGDVRFAYCSGNL >Sspon.05G0006140-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:19778154:19779506:-1 gene:Sspon.05G0006140-1P transcript:Sspon.05G0006140-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MICSHLVNLSLLFGAILSWGVMWPLISKQKGNWYSANASQSSMSGIYGYKAFLCIALLLGDGLYNFVKVIVITVKNIRERSRRKNQNKVADADTMALDDMQRDEVFSRDNIPTWLSYTGYAALSLIAVIVIPIMFREVKWYYVILAYLLAPALGFCNAYGTGLTDMNMGYNYGKIALFMLAAWAGKDNGVVAGLVGCGLVKQLALISADLMHDFKTGHLTLTSPRSMLVGQAVGTLMGCVLAPLTFMLFYRAFDVGDPDGYWKAPYALIYRNMAILGVEGFSALPTHCLELCAGFFAFAVLANLARDLLPRRIARFVPLPMAMAVPFLVGASFAIDMCVGSLVVFAWHKLDSKKAALLVPAVASGLICGDGIWTFPSSLLALAKIKPPICMKF >Sspon.07G0014390-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:57462511:57464940:-1 gene:Sspon.07G0014390-2B transcript:Sspon.07G0014390-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAATAASLLPLLLLFLSDGPGPSPAAAVRFDYASLTLGSLRLLGDAHLKNGTIRLSRDLPVPTSGAGRALYATAVPVRGGFSTQFAFTVATLNPSSVGGGLAFVLATDGATLGDAGAYIGVSVATDAAAVEFDTLMDVQFGDPNGNHVGLDLGSMVSAAAADLGADDAGGGGVDLASGRTVNAWIDYRPDEKGAGGGVLEVFVSYAAKRPPKPVLSAPLDLGERVKDAAFVGFSASTQGSTEAHAIEWWSFSTASPAPSPRSAPAAAPPYSTPVLPPPVSNPVLPSPLLPGVTTPTPPAATTDAAAPAGSIAPSGSAAAMGRNAGGSGTPRPPAHAAVAGAATAGAFVAASFAGVALWALARRARARKRGQTAVAVATKRDSSVASAAALARSPREFSYKELSAATRGFDASRVIGNGAFGTVYKGIVPDTGAMVAVKRCTNARGGGNASGEQQARSEFLSELSIIAGLRHRNLLRLQGWCYEKGEILLVYDYMHNGSLDKALFDASAPVLPWHHRREILMGVASALAYLHHECERRVIHRDVKSSNVMLDEAYRARLGDFGLARQAEHGESPDATAAAGTMGYLAPEYLLTGRATEATDVFSFGALALEVACGRRPIGTTTDGGGRCCNNLVEWVWSLHGDARLLEAMDPRLGGEFDENEARRALLVGLACSSPEPALRPGMRGVVQVLGGEADPPFVPAARPSMSLVGSANNQQLLLSLQDSVSDYNALLGLAALSDDSSSADSLSSSSLTSTLRRGGHDIGFSSTAGDARLLEFVFGILVDLEREKKEQQMYVLMGT >Sspon.03G0040030-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:24704779:24706966:-1 gene:Sspon.03G0040030-1T transcript:Sspon.03G0040030-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding FEMAAAEEAASSAPAPERLLEVEEDEVVIDFKPNAKCRADLRLRSLHPSLPVAFKVQTSSPLKFLVSPPRGAVPPLSTASLRVVLRPQPHAPPSFPRSRADRFLVLSSLSAARLDSAVGSSNDDGLGGGVSAVRLRVFFGGPYLLRLAADAGDAAAVRLILRRQPHLLPFLEHLQAAAAPDAAEQWAPPLHAAAARGDCGEMRRLGPDALAARDREGRTVLHAAAAAGEAEAVAVLVDMGADTSAVDARGRTPLDVAREKVQQEVVDVLERWELVMTAARRGDLGSLESLLSKRAGVRGRDQYGLTALHLAAIKGHCDAIALLAGSGCMDIECEDVEGHRPLHLAVEGGHAEAVELLLDMGADVNARTRRGATPLQMAEAMGYEAVAQLLCARGAEVAAAPALCVAPSSSSSISC >Sspon.08G0014970-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:60236040:60242944:-1 gene:Sspon.08G0014970-1A transcript:Sspon.08G0014970-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGAGRRGIPSLLKSPVPAETEATSLQQEHIASDITQLVGWTPLIELKRIAEKDNVNARIIGKLEFYQPLCSVKDRSALRMIEDAEEKGLISPGITTLVEPTSGNMGIGLAYIALTRGYRFVAVMPAEYSLDKQILLRYLGANLVLTDPTLGFQGQLDKVEHLKKEIPNVHVLDQFANAANPEAHFKWTGPEIWKDTAGKVDIFVAGSGTGGTVSGVGKYLKMKNPAVKVICVEPSESPVISGGKPSRHKIQGVGPGFVPKNLDTSITDEIITVTAEDAMTNARRLAREEGLLVGISSGANLAACLKVASRQENKGKMIVTVFPSAHRMDPLIELKRITSRVGIDARIVGKIEAYQPLCSIKDRSALRMIEDAEERGLISPGVTTLVEPTSGNMGLGLVLFAIQKGYRLIAVMPAKYSLDKQILLRFMGAELHLTDPALGFPGMFDKVEQLRKQLPNVHVLNQVTNKANSEAHFRLTGPEIWKDTAGKVDIFVAGSGTGGTVSGVGKYLKMQKPGVKIICVEPAESPVISGGAPGKHKIQGVGPGFIPEVLDTSVIDETVTVTTEEAMANARRLAKEEGLLVGISSGANLAACLKVASREENRGKMIVTVFPSGGERYMNSDLFADVREECIAMTF >Sspon.06G0034530-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:46752646:46753880:-1 gene:Sspon.06G0034530-1D transcript:Sspon.06G0034530-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLATLPTLHPGRPMHTTCFSLSLFMSSNGWDTCWYVAATTRAGGQRVVIVMRRDESRPVKGITSEAMLVTLVSARSVGVAGITRLVVYAADQTHSTFFKACRLAGFDPVNIRSIPTGPETDYALDPAKLLEIMQADVDAGLVPTYICATVGTTSTNAVDPVGAIADVAAMFSAWVHIDAAYAGSACICPEFRHHLDGVERVDSISMSPHKWLMTCLDCTCLWVRDTHRLTDSLETNPEYLKNDASESGNVTDLKDMQVGVGRRFRGLKLWMVMRTYGSAKLQEHIRSDVAMAKMFEDAVRADDRFEVVVPRNFALVCFRIRPQGAMTEEDAEEVNRELMERLNRTGKAYLAHTAVGGKFVLRFAVGSSLQEERHVRSAWELIKKTTTEIMEEEI >Sspon.02G0010580-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:33092458:33094123:1 gene:Sspon.02G0010580-3C transcript:Sspon.02G0010580-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFPHLTQMEHGLAGASHGTPSFLFCHGAAMADSASLETSSGVLDTSPQGTASDDKKPRKPREDSTSFSSAHSKDSNSKESTKKRGGKRDRSSKKVDEEPKGYIHVRARRGQATDSHSLAERVRRERISERMRVLQALVPGCDKVTGKALILDEIINYVQSLQNQVEFLSMRIASLSPVLYGFGMDSDAFSDHTQKIEGMLHHEALAMPTSVLNRPPSEAIMDMHTSTSSPSYEVHGDGGTSISFPQDNGSYMVQTVGEPRQELFNQVVFGNRMCSFQ >Sspon.08G0008350-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8C:31933583:31933981:-1 gene:Sspon.08G0008350-2C transcript:Sspon.08G0008350-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTCFAKKQPIAAVPLSSSLEDKRYEPVVKMCGITSAIDAEMAVKAGAKLIGMILWPNSKRSVPLSEAKEISRVAKSYGAESVGVFVDDDNSTILRSSDSCNLDLIQVYLPLPYSTLCNRKRYNLISNFTDALL >Sspon.06G0019290-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6B:1126825:1127217:1 gene:Sspon.06G0019290-1B transcript:Sspon.06G0019290-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAIAFAVFLLVVASAAVAFATEAPAASPKHSASTPSKAPSTSPDKFEKAPTASPENAAATPKATPAKAPAATSKSEVSPSEAPASGSGAASPSESAASEKAPTGAPTDSSASPSVSPSGDEAAASPDSS >Sspon.07G0027490-3D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7D:54168938:54172464:-1 gene:Sspon.07G0027490-3D transcript:Sspon.07G0027490-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAVYGTTIVRDSLDVRCVYHFRRDSDNCQLINSEAESLILTGPKRGLALSGDIYVETDLKMQDHQVQDRELSKGLVIIRNASTGPSVTGYTVQTKSLATRLSTVDLTYTVVDRALEGTIAVQVLQGGFHGKIIACTANMQYKLVLYDTKEADAMIVDDCGDIQLMRPVVSVYVKDLLIIVAETSDGKSESIQFAPIINGRDEGSLAVGFTKMRVKLSWKSGHYSHIDSTVDHTPPTLNGSSTTPVSTAGYSAPSLLMCFRSGGICSVYMEAMGSDGDITASVVWYSTEPIPSLHLLVKTRIRFKNLNDSKELPCRVSCAFETTCALLSNSV >Sspon.01G0021140-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:77791955:77794055:-1 gene:Sspon.01G0021140-1A transcript:Sspon.01G0021140-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVFGKSVIAEPSNVIFLSAILNTEGSNPSHKCDKRCQNEHIFGNMYRCKLTGTTHICDKNCNQRILYDNHNSLCRVSGQFFPLSPLEQQAVRGIRRKHEVDSNEGCSFKRRRGAQLHPSPFERSYSAVSPIPSQVNMLILFSSSGGKKLTKESLLIPCCGGLT >Sspon.04G0015050-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:62410437:62411006:-1 gene:Sspon.04G0015050-2D transcript:Sspon.04G0015050-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFMLGDPAFSAAVQHLMDLLDELERQLNTPTRAYVRDRRAMANTPMDVKELPSGPIVLAVDMPGVSLADVKVQVEEGNVLAISGERKRPAEDGADGKQQRQAGADGGKQKQQVGVDGGEQQGVKYLRMERRMGKFMRRFPLPESADLDSIRAEYKDGVLTVIVDKKPPPEPKKPRVVQVMLGGEQQGE >Sspon.07G0007130-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:18800400:18805529:-1 gene:Sspon.07G0007130-1A transcript:Sspon.07G0007130-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPQRVYEAWKGNNKFFFGGRLIFGPDAKSLILSVSLIVVPVLVFCGFVARHLRHHFPDYNAGYAIPAVAVVFMIYVLVLLLITSAQDPGIVPRAAHPPEEEFSYGNALSGGTPGRLQFPRVKEVMVNGTPVKVKYCDTCMVYRPPRCSHCSICNNCVERFDHHCPWVGQCIGQRNYRYFFMFVSSSTLLCIYVFAMSALYIKFLMDEGYPTTTYENFRYRSDSRPNIYSQGCLNNFLEVFCSKTKPSKHKFRAYAQEEVRPPTVSFGREVEDEPVGGPRSKVEDDLEIGSDLLKISQRRNYEDVGVEVGGPHRHSSEMEGIANAKFVACSESQIPAVGSDVRVRHSSWDRRSGNWDMSSDVLTRSASDVIERSVFATEAAHPSLAETH >Sspon.01G0029730-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:86868842:86871891:1 gene:Sspon.01G0029730-2C transcript:Sspon.01G0029730-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to Glycolate oxidase (EC 1.1.3.15) (Fragment) [Source: Projected from Oryza sativa (Os07g0152900)] EEEQAQVLRSLPIDDEANMGEITNVMEYQAIAKQKLPKMAYDYYASGAEDEWTLQENREAFSRILFRPRILIDVSKIDMTTTVLGFKISMPIMVAPTAMQKMAHPDGEYATARAAAAAGTIMTLSSWATSSVEEVVSTGPGIRFFQLYVYKDRKVVEQLVRRAERAGFKAIALTVDTPRLGRREADIKNRFVLPPHLTLKNFEGLDLGKMDQPPYGHSLMEEFVRAEHEQAADSGLASYVAGQVDRTLSWKDVKWLQTITTMPILVKGVITAEDTRLAVANGAAGIIVSNHGARQLDYVPATISALEEVVKAARGQIPVFLDGGVRRGTDVFKALALGAAGVFVGRPVVFSLAAAGEAGVSNVLRMLRDEFELTMALSGCTSLADITRNHIITESDRLRAMPSRL >Sspon.08G0015480-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8A:62028133:62030936:-1 gene:Sspon.08G0015480-1A transcript:Sspon.08G0015480-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RVPTIAPKFGWATKSTSQTPFLLGHPRPHPAIPPAPVR >Sspon.03G0025300-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:60769532:60773543:1 gene:Sspon.03G0025300-3D transcript:Sspon.03G0025300-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGADYYKILGVDRGAGDDDLKKAYRKLAMRWHPDKNSTNKKEAETKFKEISVAYEVLSDPKKRAIYDQLGEEGLKGQPPPGAGAGGPGAFPFFPGGAHSTAHHFNPRSADDIFKEFFGFPGMGGMGGMRAEPGFQRSMFGNDIFSSRFGAEGSTSMRQPSHKPPAIENRLPVSLADLYKGVTKKMKISRETIDASGRISNAEDILTIEVKPGWKKGTKITFPDKGNEAPNMKPADIVFIIDEKPHDVFTRDGNDLVMTEKISLVEALTGYTARVTTLDGRSLSLPINSIIHPNYEEVIPREGMPIPKDPTKKGNLRIKFNIMFPSRLTSDQKAGIRRLLGS >Sspon.07G0011250-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:36569258:36569984:1 gene:Sspon.07G0011250-3D transcript:Sspon.07G0011250-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AMVSALLLVFTAVVALAASHGAAFDPNPLQDFCVADSTSTVRVNGSPCKDPATVTPDDFFFAGVDHPGGTASRRYGFTALPVQIPGLNTLGASHARVDVAPGAVFPPHYHPRASETAVVLDGTVYFGFVTSYPDNKLFAKVLRKGDVFAVPQGLVHFLYNNGTAPASLYATLSSQNPGLVLLGDALFGSGLADDLLAKTFLTDRETVDKIGLK >Sspon.07G0028360-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:83696361:83698278:1 gene:Sspon.07G0028360-2C transcript:Sspon.07G0028360-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAETDADKLRFIEEVTADVDALQQRVLAEILGRNADAEYLATRCGLAGGATDRATFRAKVPMVTYEDLQPYILRIAHGNRSPILSGSGHPVSEFLTSSGTSSGDRKLIPIVEDDHDRHHRLHSLVGAVVNQSVMVRGMIDACFACRYVPGLDKGSGLYFLFVKSETTPGGLPARTILTRIFTSDGFWKLPYNPHRGLTSPAAAVVCEDTFQSMYAQMLCGLCHRHAVLRVGAAFASGVLRAIRFFQRNWAQLAADIDAGTLTDRVTDPSVRQALAGVLRPDPELARFVRSEGSKGDAAGIIARLWPNTKYVHAVATGSMAHYVPALNHYSGGLPIVSTAYFSSECSIGINLRPMCDPSEVSYTVMPNMAYFEFLPTDAAAATASQLVELARVEAGREYELVVTTYSGLCRYRVGDVLRVTGFHNTAPQFRFVRRGDALLSVESDKTDEVELQRAVDRASVALLRPLGAAVADYTARTCAETVPGHYVVYWELQLLGAEGSSSTDVVVDGEVLDRCCLEMEEGLSSVYRQSRVADGTVGPLEIRVVRPGTFEELADHAVARGASVGQYKVPRCVTAPPDIELLDSRVVSNHFSPALPHWVPGQQFQ >Sspon.02G0010930-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:24053497:24055840:1 gene:Sspon.02G0010930-4D transcript:Sspon.02G0010930-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTVSGTRRAPRRQSQDGSADKVLVILEASSRVASRRVPLTPVPGARNSPIDVEAIEDEVQAVSPSRVPPPRRNRRTRREPISVVDLEVEASREGNKRQRVVPVGHHLTPDWGAGSSLQQKGCPVFDACPNCFYIALRIELTTSFDSQMPYKPAKSLPRRSPRNHFLPAQYVGIRWRSLPQRLVAMSSATRASSRPSRFRRNALLAGRG >Sspon.05G0000490-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:1423768:1425188:-1 gene:Sspon.05G0000490-2D transcript:Sspon.05G0000490-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPFVILTCRTQEQKSSVANGAGSEPEWNETFIFTVSDETPQLHLKIMDSDLTNDDFVGEATIPLEAVFQEGSLPPAVHPVVKEEKYCGEIKLALTFTPAVDKQHVRENSTLLGSISFWTNTRMKYCSMLMIKLVLIYFA >Sspon.07G0010460-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:27999756:28001252:-1 gene:Sspon.07G0010460-1T transcript:Sspon.07G0010460-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDNKEKENEHEKHDGADIEEEEEDEGHKRVVVLGPQVPLKEQLELDKDDESLRRWKEQLLGQVDTEQLGETAEPEVKVLNLTILSPGRPDLVLPIPFQADDKGYAFTLKDGSLYSFRFSFTVSNNIVSGLKYTNTVWKTGVRVENQKMMLGTFSPQLEPYVYEGEEETTPAGIFARGSYSAKLKFFDDDGKCYLETSYYFEIRKEWPATQ >Sspon.03G0000520-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:1583280:1583954:-1 gene:Sspon.03G0000520-1A transcript:Sspon.03G0000520-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MWNSRSYFAGGQDNGNDQPYGYGGGGQEYEATGGKKRASSRLKKSSGSKNKDADAEDEYPKYGTNNTSGGNKDEGGDNRYNHRNSNGGADDDYNGGGNHHYNGGGVVGSPYYGGGGGGGYGNSSPYYGGGGYGNSSPYGGGYGTTGGDAPYNNAPAAFWAPQDGARSPLYINTRAVHVYGVPGGYDNDSNNDDHQRRRGGGFFGPAFHAVGHFFDRKFGFNDRD >Sspon.05G0032140-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:14232137:14234359:1 gene:Sspon.05G0032140-1C transcript:Sspon.05G0032140-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCFLACFGGDADRRRRRRKSRKQSPARSPPRSIHVRALTDSGILSCARPVVAVAREAADVVVKEVSPPLRGAKPSTLAEAVEVPDEVVAEAADVAANDASLLSKEVKASTTPSAVAAADEAVTDASPVEELRDLSEQKVLEKQAAPSLSPVKCSPIVPAVVSPQDSVECSPVPAVVVSALDSDLSDAVYMIVTVNKPSFMLCREVNEHGSHSSGKKKVTFNMNVTTYENAQNDQEVVSPAPKSSGTSVEAESPLITTKKLRDRCHYVHPVLNPVQNLSQWKEVKSLKAQPVHDKMLDKENVSLVPDVGPSHYCNSASHTRMNPSMSSNKEVSLDASLSTWLVSSENSTVDKVQSKSPCSNSSVNREERPILGALTVDDLKQSSAASSPQRSPRTNREGAPILGTVGSYWHCTEQNNEYCSSGSNSGTNGIPNSTSKYREDKRVNWHSTPFN >Sspon.03G0023560-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:90158040:90164720:-1 gene:Sspon.03G0023560-3C transcript:Sspon.03G0023560-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPSASGEDLPGRGGEQLQAGKTRPAVGGTAGRSFQAGSCAAGGDEPPGGEQSGRRGRAASRRRGRGGPARWSSTSGTSKWQTKALPLPLILSISRRAGAVEHGKSSRACAIERGKSQWDQFFHGPARVRLRVASAPPSAETLEMSSMGLLPVALQFPIHLRFPCPIRAAPIRCPTRLLHASTLPTGRVAFQKPPRPLSSTLFVSFAAVPRADASHTRLAASACSPLPRLPSPPRAPSTDRRVVRSASPAATSPGRDAAPHQCRPTSGNLRRGLRRRSSSVLPPASCDDTPSERPRLRPPPVAWHGPQGAAALHRTNAAGARRGSAAAPPAFGHLPRGASSSRTPAAAMAVPRTLSHGRWSTTVLVEPLQHIWYQRMRQHVEASFGLMLQVINKPIQLLTQSWPQDDRKNERLLLWLISFLCTHIHHGLTIGCVKSVKLQVGINILNSSISKTSIQQKVN >Sspon.06G0005540-3D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6D:17155774:17156164:1 gene:Sspon.06G0005540-3D transcript:Sspon.06G0005540-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEWACRQQTSRPPPRHRHRHPSHRPHFLPAVLLCMTREKAKPKALRSAPLHSTLRCRPCPPSGQLSLFSLPPPHRAAPLAPITPTFPFPEPALPMGSSGLLSLPAAAVLVVLLSSAPLVALASEPLNPE >Sspon.03G0022740-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:88119803:88121525:1 gene:Sspon.03G0022740-2C transcript:Sspon.03G0022740-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSFFRDARLPQQRVVEGVPFPAVLVPRAPAGAGEFLAAVRSERACRLEPLVRDAGAPLLRGFPATTAADFDRAVDAFGYEELPYVGGAAPRTNVVGRVFTANESPPDQKIPFHHEMAQVPTFPSKLFFCEVEPKSGGETPIVLSHYVYKRMKEKFTEFVEKLEKDGLIYTRLLGEGDDPSSPIGRGWQSTFLTKDKAVAEESPNNGFL >Sspon.01G0016540-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:57233147:57239131:-1 gene:Sspon.01G0016540-1P transcript:Sspon.01G0016540-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAPSSSGCGGGGHHTSASQSTATHTSPASFDGGLLLRFLQNPPSAHPREEFLAPPPVAPGAHHLFSDPAVAAVGPLFAASAQAQGGDFGWSSTSTTHLQQQPEFPDPRFAPGEPFAALAGRGFGSGDAVRVERPRPGAPPPGFGKPSHPPPTARGARNASGVVLSREQYNPRPMDFVPALADVHDAVGRMPRGHGEQLSMPITGGGDAAVGMMYREQQQDRLLSRTPPEINANGQFGRMCLGEQHTLPILGGRRLHGDQYMPPVQEGRAPHSGHGQHESCLTNPLQREQRWQGFREDKGYVSRKVPNANAHYTFGKALVKELHHVTVPSGSSGPVEIMENQGSGLEGGRIGKVVLEHGIDGKVVVEASKFELSYDEKSKIRFAGHDEQYDGDDREDAIIEQMTQNLVIDGNGDAKGVVLEKTIPRSKDFRSDFSRGHHVSSQRIRFQRRNRPCRYDIDRFTPNFLSIFESLMPSEEEIAKQKQLLTSLSRLINTEWPNSKLYLYGSCASSFGFSNSDIDLCLSIDDKEMSKVDIILKLADILQAGNLQNIQPLTRARVPIVKLMDPETGLSCDICVNNLLAVVNTKLLRDYAQIDRRLRQLAFIVKHWAKIRRVNETYQGTLSSYAYVIMCIHLLQLRRILPCLQEMEATYYVKVDENNCAYFDQVEKLNNYGAHNRDTISRLLWAFFHYWAYEHDYTRDVISIRTGRIISKERKDWTRRVGNDRHLICIEDPFEISHDLGRVVDKFTIKILREEFERAANILQFDPNPSITLFEPYMPPLLPSLLQEETANAAEIEL >Sspon.06G0005640-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:17036686:17039455:1 gene:Sspon.06G0005640-2B transcript:Sspon.06G0005640-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAFHRVSISLLAFGLAVAVAGLAGAVVVDCQGPQVRKNKINPPPSLLFSDAAARGTRGKSAGALREAGVRGVGQVPDAAPHGAARPLHGPCLVWSQLRRRHGGAQLRLARQSRLPMAAWAAADAVHGDPLANGGGDGIKATFSDSLGVGYVACVVLTNTVRRTRALEISVKHNFNGFTELLGQQVYVAKPGPLHSIGPRRAAARPCASCTNAYPVLWGPPRLRALHAGGAIISCAAGRFLGQPWWCRLLQEVDLPARKRKGASVRLPSRSGSCCPCLRKRNCRSQHVSEFN >Sspon.01G0001540-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:4516717:4520546:-1 gene:Sspon.01G0001540-1A transcript:Sspon.01G0001540-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASLKLASPKPLASAPSALLGGRRAQARPLPARRLPPPARVAVQPTAAPAAPRIGSFDKVLEALIGGTDFSEEDAETTLRLLLDEKDEARISAFLVLLRAKGETYEEVWFIVGLAKAMLSCCVRVDGLDDAVDIVGTGGDGADTVNISTGSTILAAAAGAKQGSRASSSACGSADVLEALGVNIELGPEGIKRCVNEVGVGFMMSANYHPAMKIVRPVRKKLKIKTVFNILGPLLNPARVPHAVIGVYHENIVTKMAKAAQKFGMKRALVVHSKGLDEISPLGPGYILDVTPGKIEKMLFDPLDFGIPRCTLEDLKGGDPAFNAKVLQDVLAGQRGSIADALVLNAAASLLVSGKVKNLHDGVALAQETQRSGKAINTLESWIK >Sspon.07G0011910-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:42614688:42617771:1 gene:Sspon.07G0011910-1A transcript:Sspon.07G0011910-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding QHDPEGCLGHLKQYKFKEIRKATNNFSQTNILGEGGYGIVYKGDLDGTTVAVKRLKGRDSVVKDDQFHTEIEVISLAVHRNLLHLTGFCIANNERLLVYPYMPNGTVASKLKDGDFVKQFPYEKYHIRECVNGEPTLDWPRRKRIALGASQGLLYLHEQCDPKIIHRDIKASNVLLDEYLEAVVADFGLAKLVDRWMSHVVTSVRGTPGRIPPEYYKFCHASEKTDVFCFGLFLMELVTGRVTLELHENEYEKGGILELAKELLEQSQLSMFVDRKLGSNYNSAELEELVQIALLCTMYRPCHRPKMFEIVKMLEEGDGVAEKWEAVKNIDDPNPDWSPEFVSIGINYDDEDRRNSIELQAIELSGPR >Sspon.07G0010620-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:34461544:34462999:-1 gene:Sspon.07G0010620-1A transcript:Sspon.07G0010620-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDDIGDHIVKHFIEPTLYDKVKFVYSNNSYSQRILADMFDLDKLEFAFGGRNTTSLDITKYSERMRRRDQIRGACKDASGTITPSGQRIQSTT >Sspon.01G0013510-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1A:37294586:37298648:1 gene:Sspon.01G0013510-1A transcript:Sspon.01G0013510-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEHLLSTAVHDTLPGNYVRPESQRPRLAEVVTGARIPVVDLGSPDRPAVVAAIGDACRSHGFFQVLNHGIHADLIAAVMDVGRDFFRLPPEEKAKLYSDDPARKIRLSTSFNVRKETVHNWRDYLRLHCHPLHEFVPDWPSNPPDFKDTMSTYCKEVRELGFRLYAAISESLGLEASYMKETLGEQEQHMAVNFYPPCPEPELTYGLPAHTDPNALTILLMDQDVAGLQVLHGSKWVAVNPQPGALIINIGDQLQALSNGQYRSVWHRAVVNSDRERMSVASFLCPCNHVVLGPAQKLVTEDTPAVYRNYTYDEYYKKFWSRNLDQEHCLELFRT >Sspon.03G0007310-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:1101022:1101534:1 gene:Sspon.03G0007310-1T transcript:Sspon.03G0007310-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSRGTASKSADKDSTSTRPARLRVMRPKVKEFLTSPRRSRPKKQPPPPPPPPPPPPPPPPPRKEKVKERKKKEKEKAATGEEKFERAQYNCAFQDEDEGGRDFAPPGKVRSHPRWPGQVFDAADASEIALQHRRAGAPLVAYFWDRTFAWSDPSALLPFCANFT >Sspon.01G0040230-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1C:31847581:31847796:-1 gene:Sspon.01G0040230-2C transcript:Sspon.01G0040230-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MWERLPSHWGVRPLGLHCMYLLGRGEAIAWSYRGVVVWKLRGRRGAVSVSPSGPHGHLGKVQADKVGGLGV >Sspon.08G0016410-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:61571100:61572204:1 gene:Sspon.08G0016410-3D transcript:Sspon.08G0016410-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVTEEVAPAVAVAEEPAPKEAKEVVETPEKVEEGKKPDEGEGKKAAEKEKKARKPRSRKPKSAGPHHPPYFEMIKEAILSQDGGKVGASPYAIAKHMGEKHRDVLPPNYRKVLAVQLRGFAAKGRLVKVKASFRLSASEEKKASAAAKTKKKAASAPTLAKRTRPAAAAAAPAKKKTAPAAAAPREARKARAKRARKVAPAPVQPKPKSPRAAAAAGKKANKASA >Sspon.01G0032320-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:110434699:110437868:-1 gene:Sspon.01G0032320-2P transcript:Sspon.01G0032320-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIWDDKTSHDKFLLKTVVGTLFTHHQKCVIVDSQAAGNNRKITAFIGGLDLCDGRYDTPEHRLFKDLDTVFKKDFHNPTFPANSYGPRQPWHDLHCKIEGPAAYDILTNFEQRWRKATKWKVNLKKVASWHYDTLIKLNRMSWIVSPATGEANAHVCDEQDPENWHVQVFRSIDSGSIKGFPKLVQEAESQNLVCAKNLKIDRSIHSAYVKAIRSAQRFIYIENQYFIGSSFCWPSCKNAGADNLIPIELALKIASKIKEKERFAVYIVIPMWPEGIPTTAPMQQILFWQVNDDVLTWYSVLKGQTMSMMYKIVADALQSQGLIDAHPQDYLNFYCLGKRELASDDDLSPRTLCNDTSALHAAQKQRRFMIYVHSKGMVVDDEYVIIGSANINQRSMEGSRDTEIAMGAYQPHYTSCWATGDAAGHPPRGQVHGYRMSLWAEHLGTVEERFRRPEAEECVRRVNEMAEENWRAYVSPDMEETRGHLLKYPVHVGGDGQVGPLPGHECFPDVGGKVLGTQSSLPNALT >Sspon.03G0025460-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3B:78563638:78571939:1 gene:Sspon.03G0025460-2B transcript:Sspon.03G0025460-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGVVVVEEGNQQQEDSPPPPRPPLAVEALRDKIVEKVKANRVTLIVGDTGCGKSSLVPQFLLEENMEPILCTQPRRFAVVAVARAIAESRNWQLGEEVGYHIGHSNVSDLNSKRSKIVFKTAGVVLEQMCDRGIAALRYKVIILDEVHERSVESDLVLASIKQFLMKKSDLRLVLMSATADITRYKEYFRDLGRGERVEVIAIPCSPRTSIFQRKVLYLEQVHILHRSIDTDEALQTMKISKSCRKVILATNIAESSVTIPGVAYVIDSCRSLQVYWDPIRKTDAAGLVWISKSQQSMIVPALLQRVLNPPDPDAIEDALESLVQIHALEITSSGRYEPTFYGCLLNSLPLSFDSSVLALKFCELGAVHEGILISIMLDIQPLPIVQPFGYQALRQKYRDNYFKGNGSVQIGKKEATTMGNLCAFQFWERVFKDKHRLEYLKDVAKTQEPEESHTFLTKPEEEWCAVHNLVPAAFKNISEIYDDVMMQLHRFRPSFLVKINPPKFLQPSEFNHTCLYHKILDLEVDMDSLSLEAENSHSNSQKRCAATPYVSPTDFGTSTIVELLKTLVKEMKTQHAEEKTISYKGQLGSNVKPTLGTEACVFFANGSCNLGAACRFSHSSLAPKPVCKFYLTLQGCRSGNSCPYSHDFGSLVSAPVTSGVCSQEGRATSLCCTRLLPAAGDGYILVMNDKTLEFSSKLSQFYDACKIVAGTPGLQSAESHSVPKGLKILENLADPSSLITGLEHKLPVPWTQLKRVFWFADFDNDESATEHALLRKFFMNIAIKILSERLSDLQVILIMKNTRYVQLQVERLARECFFFLSESFMFDEATLGWFSDISRHPRGMQVAAPVTYVFNMHPPSATQFGDYPAELRAALRRD >Sspon.06G0019610-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6C:3286000:3289774:1 gene:Sspon.06G0019610-2C transcript:Sspon.06G0019610-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQCRIQVVVVAGAEEEARQIDRKRELGRTDARVRTQLPRPTPPDPSCLLPFGPRLRPWHPIPAAPASTASIFAIDPYARKTQQPAFSVTAPLTPSRESSLHLLATTLSSPEVPFAHFLWSSVAADQQPTHYSGNSTTTEGLGFLHAYIQIYFCNTQMQHTSETDEIFRTYTCNICNI >Sspon.07G0020180-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:7344574:7346764:-1 gene:Sspon.07G0020180-2B transcript:Sspon.07G0020180-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSCYAAHGLARQAWALFAALRRSGALAPDGFTFSALLRPPPPRRRRLRHPADADDGMLLAMGALAHGLVLRLGLLADVVVATALLDMYAKCGGRVDEARRVFDAMAVRNVVSWNAMVVCYGRVGAGKDAVELFRRMLRDESCCPDELTLASVLSSCAGMAAANEATQVHAYAVKRGFHGFLQVANAVITAYGKTGFVREAMQMFAMVCDPDVVTWSSMISSFAYLGLSKDAVHVFERMLQQGVQHDGVAFLGVLSACSHAGLVEEGLHYFVMMTRGYSIDPNPQHLACLVDLLGRAGRIEDAYKIVTRLSCESNADIVGAFLGACKMRGKIELAKWAADRLLCLEPSEAVNYLLMSNAFAATGAWNELAKFFDAE >Sspon.02G0025830-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2B:91068464:91074698:1 gene:Sspon.02G0025830-2B transcript:Sspon.02G0025830-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein LEO1 homolog [Source:Projected from Arabidopsis thaliana (AT5G61150) UniProtKB/Swiss-Prot;Acc:Q9FNQ0] MAGGDRERDPEEETRNQMMQNLFGDQSEDEDEVDDDDDIDVVEVVDEDDGHQQQLLSPPQHHQELEDDADDDEEDDARSHAHALHGGYHSEEVDGEAENGGEGEGESEGQVGMEEESEGEAHRADLDQGESDGDKVQSSPERELDDQRMEPDARGMDSEDEGYQQRTVSSRRRGVVASESEGSEDNYYADGAQEDEELRQTRKQSSPMEEERDHEVVRDVFGESDEDEPAPYRARHDIDEESHRSPMEDEGQYEKDMQPDDVVADEDMRYESDDNRELKPKEKPVGPPLDLVIPFKQPPAQPDKMNVIKVSNIMGIDPKPFDPKTYVEEDVFVTDESGTKKRIRLEDNIVRWRTVRNADGTTSRESNARFVKWKDGSMQLLIGNEVLDISVHEAHHDQSHLFLRHGKNKKTVKMQKWFETKDPEKAKMERERNQGQTIRAHSILQRKREKVSRKYTQPARPRRQLSPGFLEDALDEDEEPDYGSRRMAGRRRFEDELEAEALAERRIINAKKSNMSRNVPRKPPYPPARPPRRHADEYSESEREESEYETDGEDIEHSPPRGREDELDEEEEYEEDVEEEAPLSDEEMEAPKRKRESGGGGGHRREELVSEDDDDDDDSPPRKQPAVQHRRKAV >Sspon.02G0019220-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:65143623:65149311:1 gene:Sspon.02G0019220-3C transcript:Sspon.02G0019220-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALKLLQRVKELNYLVLECLAERTLADRYRILVSGGKGYDPRVDPLGAQKEILNLASILGLEITVAVAYESSFKTQGSPVLSDESTGGRGGSSTYLGAASIVHCLENYKPHVVITSRVADASLFLAPMVVDFCNVQFHQISKDKVRCDGAKPSNACCPEKLLQLSPTVRSWIGERYPGIDEKIVSYVMGYDSLKAIGGGEYSYLAKQILDVRLRMDGLFELEEHAVQFVEEFIALYTNGPAGGGGISTGHRKEIILQKILVDRENIFWRSHAKKASIPCLQNQATYSETGQIHVSQAQQSPTSHAMGIQHFDASMKTPASPVPASPGKRIALYHVAHSRAGDKGNDMNFSVIPHFPDDIGWLRSVITPDWVKNAVSPLLDLSSFPDERAIQRRNNLLELVTVEIYYVPGISSLNVVVY >Sspon.07G0000910-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:1964554:1968339:-1 gene:Sspon.07G0000910-1A transcript:Sspon.07G0000910-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASEAAAAAAATPVAPAEGSVIAIHSLDEWSIQIEEANSAKKLVVIDFTATWCPPCRTIAPIFADMAKKFPNVVFLKVDVDEMKTIAEQFSVEAMPTFLFMREGDVKDRLVGAAKDELAKKLELQMVAQ >Sspon.07G0019570-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:76443602:76447441:1 gene:Sspon.07G0019570-2B transcript:Sspon.07G0019570-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MIIPVRCFTCGKVIGNKWDLYLDLLQADYSEGDALDALELFRYCCRRMLMTHVDLIEKLLNYNTLEKTETKY >Sspon.02G0004820-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:10261503:10266187:-1 gene:Sspon.02G0004820-2D transcript:Sspon.02G0004820-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASGSGGNEPGGRPWTATSTWVPGPAGGAVEDAVSFETSEDDAEVSPAGVVLYHPPADSDRDMAPSPCEVTVSFRGKYEIHRVYVRSTARIYELYHSPDAKGTSKDYLCTVRCGLAVKEPQPCGEESMSQWTGSALNSDKREHEAKSVSSGSDEDSWVDVKIPESTAGNKTLEYRERNAIQTCQENTLAHYEATAEMTDVNPCVSLTIRFLSLQSKTSVHIEEIYIFADPVDSTNDVSVRGPGNMGGSSLLAMLVPGLMQMSKSRNLKIDDSYFSDGSRNQESSTCERVTQEAGLCSTNDSKYTSAGIESGMNPTVGVTVSDENGSQGEFQFKDPDSLPLPVQTLESTQAPSVKDQQELNTCHIANPLINENFTPYNHIERKLDTLLSKVEKMEIYCSRFEDSMIKPLGSIEARLQRLEEQFSAFSVDIQYLRGSSAFRSAPDGMPNTKSSQEEAHNDANDRSTSTTDRKPGLVVRAPDFMSDDSCGYNVITNGNHVNCRGPNVVPRLLVKVPDFISQPEYGNLHDGPALSSEKERKISPGLVVKVPEFPDDDDDDNDDDELEEKKQAEVCGDGDDHTWSDDTLRKSAAGNTKSKEPVSINGALASALEALLTSTMGTSSSKPAVCATSNLSAESINDSSGCSLCPGKTGKMTTKDGSADQFLGTSDDANLVGAFISSQDIDTTPHNSLSEEMLDSKVEINEQNCDLNTEKVAFVAITEPLDVPSPTDTIEESIDDGSWVNRQNNGSNLDTMHGPLDPPKPPTVFEPVDSGVQVNENRPSISLVEFLAARNASSCKNGTSEVCLGNDGAEKLSFDRTSAGAGKNSKNISQLLVKRALEVDADERIFLSSVPIGANFEGSSSPTPRTSGHDINNTKEAVSDKECGLKDTENGFRLSSTMDSIFSQYHATASKKKLIENSSLDWSLDENFPKQNGEHSWSSLSSMESFSGAPAREPVVSGNDTSGNYMEDLAGIGDCPVATLISGDEFQKVCDLLYEFKDDMLGMTSAAKGINKSIPSLEVLLAESSDSEAQISDLEGIDSGAGIGSPRLFSTLSSSDDDASAVDEPLVDVADLTTPSEPHTSALNEPLVDMVDLTNPSGIDASSVNEPSADVVDLPHTSNEPLVSLDDLPKPPETSFGGSSGEHLDSLI >Sspon.05G0033880-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5C:44784224:44785895:1 gene:Sspon.05G0033880-1C transcript:Sspon.05G0033880-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLYLVFLALLTFVLLRRMGASRRSGNGIHRLPPSPPGLPVIGHLHLLGSNPHLSLTDIAGRHGADDGFMLLRLGQVPNLVVSCPRAAEAVLRAHDHTFASRPPSAVSDIVFSYSDVALAPYGDYWRLVRRLVTTHVLSASKVQSLRRARQEEVALVVAKIRDAAAARSAPVDLTEVFCVFTNDMVCRAVSGKFFRRLEGRHRAFQEIINSQVILIAGFNLDDCFPWLAKVGGVFARLLFAKAFTLKKQWDVLLDEIITEHAAKLEEERHDKVSDDAGQSQEEDADFVHVLLSLQQEYRLTRQQVKSILVDMFGAGTDTSSILLEYAMIELIRNPHIMAKVRDEITKNTPKGQEMVKEENLTNMSYLRAIIKETLRLYPPTPLLLPHLCMEDCEVNGYRIAAGTRVIVNAWALGRDASAWERADGFIPERFLDSGSSAATDFRGRDFKFVPFGAGRRMCPGINFGMAVVELMLANLLYCFRWELPAGMAPEDVDMSVKYGLTSRRKEKLLLVPRL >Sspon.03G0005920-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:21068386:21071933:1 gene:Sspon.03G0005920-2D transcript:Sspon.03G0005920-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPDQQSAASYAAKHLRLMMCGSSALPSPLMKRWEEVTGHRLLERYGMTEFVMALSNPLHGIRKEGTVGKPLPRVEAKIIMEDGTETTTGVGELCIRSPSLFKEYWKRPESYRNQSPESFIDGGFFKTGDTVTVDEEGYFIILGRTNADIMKFGGYKLSALEIEAVLLEHDAVLECAVLGLPDEAYGEVICAIIVPKEDAKKTAEQDSKPVLTLEALTSWSKDKLAPYKIPTKLYLWDSLPRNAMGKTCSALQGSHSNTSASVGLLRVVVLFPLHVLPLLAIHPAAPSEVHEVRHAVAREQPIVVVVVPDVQVVDEPARSSPSPARHLDHLHDEGTGQVAHSEGHVQQRHAEAGHALGQLGVEELDLPDDAERLGEPRKRELRHQPERRDGDPAQRPPPPRALHYRGDSRRRCGEEQAPTDALLHGEPARVARVPLQCRRDPPVVAGRP >Sspon.08G0004220-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8C:12712347:12784142:1 gene:Sspon.08G0004220-2C transcript:Sspon.08G0004220-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHLQLSSVAGAGARPAAAGGRGDEMEDVALLDSYDEEMGLPLPPPPGAAGAEEAHVRVTGMTCSACTSAVEAAVSARRGVRRVAVSLLQNRAHVVFDPALAKVRLPVLLSPRLGVACVDVRVRCDPCVLALATVRVDARLVRLRGKLGARARVEDIIEAIEDAGFEAEIIPESAVSQPKSQKTLSAQFRIGGMTCANCVNSVEGILKKLPGVKGAVVALATSLGEVEYVPSVISKDEIVQAIEDAGFEAAFLQSTEQDKVLLGLTGLHTERDVEVLNDILKKLDGLRQFGVNNVLSEVEIVFDPEAVGLRSIVDTIEMASNGRLKAHVQNPYTRGASNDAQEASKMLNLLRSSLFLSFVVGKRFYVAAYRAVKHGSTNMDVLVVLGTTASYAYSVCALLYGAFTGYHPPVYFETSAMIITFVLFGKYLEVLAKGKTSDAIKKLVELVPSTAVLVLKDKEGKHVGEREIDARLVQPGDVLKVLPGSKVPADGVVVWGTSHVNERTLTQGKAVVTAAKVFSGMDLGDFLTLVASAEASSEHPLAKAVLDYAFHFHFFGKLPSSKDGIEQQKDKVLSQWLLEAEDFSAVPGKGVQCSINGKHVLVGNRSLMTENGVTIPPEAETFLIDLESNAKTGILVAYDGDFVGLMGITDPLKREAAVVVEGLKKLGVHPVMLTGDNWRTAQAVAKE >Sspon.08G0019810-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:15342422:15342955:1 gene:Sspon.08G0019810-1B transcript:Sspon.08G0019810-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKHKLHNDVLVFLSVLLLLGCFSIHAECRAVMDEMDNQNVYAYGPCIQSDIRRCRGPVILCYCCVNDRTRCSGMLRQCERMCSVQKMN >Sspon.06G0028570-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:7046972:7051236:1 gene:Sspon.06G0028570-2D transcript:Sspon.06G0028570-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSVALRWRRGQICRRRPESSSSGGARLRSSLEPAQDLGVSCSAIVLWYMLALRAVQFIAMGASQSVVSGHHAHPEGRQHRLKRVSSNEVREPCMMCSKPVELGVGEVAYRCRYAGCGFVLHDACYHLPKKMKHFAHSGSGHHLTLSDGHQLPAGAGRRSCNICAVGIDVGGGGGPSSFVYACIKCHSFYAHPRCCRLPQTMHNRALHQHALTLLPPPQPRGKGHGGRRGRSCLNVAGNCRNAKRLDSDAWSYQCNQCDVEVCLECQLPDGRPAAVEPKNGCSNVVETLGAVGQQLATATGTALRALCCAWLGTPMHTATPAS >Sspon.03G0010790-3C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3C:44590461:44593076:-1 gene:Sspon.03G0010790-3C transcript:Sspon.03G0010790-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHCRSDGNNSKAGITTDDVIGECKLFYFAGMETTSVLLTWTMIVLSMHPEWQDRAREEVLHVFGDKTPDYDGLSRLRIVTMVLYEVLRLYTPLTAVQRQTYKPMELGGVRYPAGVMLMLPLLCIHHDKDVWGPDASEFRPQRFAEGISKASRDAPAFFPFGWGPRTCIGQSFALLEAKMGLSMILQRFAFHLSPAYTHAPFPHGMLQPEHGAQDMYYVVDANHARNYTCKARLRRSGYATSAGFGGFNLKTTGNKFDAFGPQSSEDLGATRPHVASSETLNQGSFSGHWNLKNWPSWFSSSQASPEPAMPVPQAARAACPGGALPNWLG >Sspon.02G0053920-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:108915143:108915900:-1 gene:Sspon.02G0053920-1C transcript:Sspon.02G0053920-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMHSLLVQPPNGDRYSDENTARDARRRRVAQQTPTNKKAMSRTTATLFAVAAAALCFSSSFYSGAAADTVADSCDAIRDFVDVAFCASRLGSVPGAASADRHGHLLMAADLAAASGASARDAAAGMARREGDGEDARDALEACGILYGAASVPALRLMRGYAAARAWGAARALLPLTGQAGIGCDAALEGSATAKARMAAANREFDQLSTMATALLNKLT >Sspon.03G0000850-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:10180703:10185188:-1 gene:Sspon.03G0000850-1T transcript:Sspon.03G0000850-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALADATAFPYGLRVLVVDDDPTWIKILEKMLRKCSYEVTTCGLASIALQILRERRNKFDIVISDVNMPDMDGFKLLELIGLEMDLPVIMMSIDGETSRVMKGVQHGACDYLLKPVRMKELRNIWQHVYRKKMHEVKEIEGNDSCDDLQIFRNGVEGFDERGLFMRADSDTMRKRKDMDKDHADQDSSDGATVKKARVVWSVDLHQKFVNAVNQIGFDKVGPKKILDLMNVPGLTRENVASHLQKYRLYLSRLQKQNEERIMGAARQDFSHKGPSDNLNLRSSFQEQPGNLSNGFQHSSQKIQAQINIPDPHLDDTKTSVPLKVPNKNGTSVSDAVDPQNVTSASPLSGVFSFERMPVNQDRKLSETMILECQSCVPPKQFMQYPKHNHERCDLLGDYSCLPKPDLEHPIAPGHLYAPPPVISMSCSVEGGVRDFSDVKPDLLGCMKSLSPALTCTVESVSAQLSDSVVTSTNSDQKFSSVEGLSSIKDCDFDQERNQTTLLTSEEASIICGTDLTCLYDDLSGYQLQGVSFGNIGLNSIDLFQCNDEMALPGLQNNWYDDVEFPLLDGGLFA >Sspon.01G0008150-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:22189808:22192204:-1 gene:Sspon.01G0008150-3D transcript:Sspon.01G0008150-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGCDGAKSSASMEEEACAEKKYGGIAPKKPLISKDHERAYFDSADWVLGKQAANSSTRAAVESLKPKLKVSCIGPLRHLMTSPDTANFT >Sspon.01G0061600-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1D:94920617:94921006:-1 gene:Sspon.01G0061600-1D transcript:Sspon.01G0061600-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding APHALSASPPLPRRLRYSAPSRRGGTAAPVRLLATAAPLGPTVSALPRGHTVPAEPAHIDERRLPVAATCPTSSSPNLLRTVAPISLAAWTCSTSPSSSIAWIPSSLRSPLTNCGQVWLWLWTALVKVR >Sspon.05G0001530-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:3800774:3805442:1 gene:Sspon.05G0001530-3D transcript:Sspon.05G0001530-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSAAGSSSSASALARLPGPGHLRAPPRSGWRDHGRPRRATVRCSFAPVETARIKVVGVGGGGNNAVNRMIGSGLQGIEFYAINTDSQALINSQAQYPLQIGEQLTRGLGTGGNPNLGEQAAEESREAIATALRDSDLVFITAGMGGGTGSGAAPVVAQISKEAGYLTLLNVLTCVCPFQALEALEKLEKSVDTLIMMSFVRVFKEYQTLSQWVVSPLSCSNLSVNCGHVTYEKTLQIPGLVNVDFADVKAVMKNSGTAMLGVGVSSSKNRAQEAAEQATLAPLIGSSIEAATGVVYNITGGKDITLQEVNKVSQIVTSLADPSANIIFGAVVDDRYTGEIHVTIIATGFPQSFQKSLLADPKGARIVESKEKAATLAHKAAAAAVQPGIVRAAIENPHLGIDNLWILDG >Sspon.08G0025840-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8C:13530628:13530798:1 gene:Sspon.08G0025840-1C transcript:Sspon.08G0025840-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAAARVWEVKAEGLLRARARRWPRRPLLVGLSTQTETVLLVRKKATVKDVQALW >Sspon.05G0018630-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:79459633:79461548:1 gene:Sspon.05G0018630-1A transcript:Sspon.05G0018630-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding QTWLITEETEIIVCALAAPDNPVPGPFSAKHKRRTFWTACSSCKKKNKHSIEYLGCNVSCLQCSATFKATEVSRPRNQCTTAIENVQSGAIVTSPGTVVEPSKMTPTVETPLQKRVKREINATKAAGKHGDNLQGSFNEQKTNTSMEPNIISGRVHHKEPVRINIKKTIAEDETKKFLITKGRDKVTEATIKGDNAKKVKVRSQQLDGEHNGSTEGSGCSNQFQHPNSGWCGLLLCGSFVGGEGHGAVCLRGSFAGGGVNGATVSTSGLWHAIQHDVAFILEYEVVLGVSQVELVLEMIWVN >Sspon.05G0004770-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:17240738:17242741:1 gene:Sspon.05G0004770-2D transcript:Sspon.05G0004770-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAISKPLLSDLVAQIGKVPSSHIRPVGDRPDLANVDNESGAGIPLIDLTKLNGPERPKVVEAIGRACESDGFFMVTNHGIPAGVVEGMLRVAREFFHLPESERLKCYSDDPKKAIRLSTSFNVRTEKVSNWRDFLRLHCYPLESFVDQWPSNPPSFRQVVGTYATEARALALRLLEAISESLGLERSHMVRAMGRHAQHMAVNYYPPCPQPELTYGLPGHKDPNAITLLLQDGVSGLQVQRGGRWVAVNPVPNALVINIGDQMQALSNDRYKSVLHRVIVNSESERISVPTFYCPSPEAVIAPADALVDDGHPLAYRPFTYQEYYDEFWNMGLQSASCLDRFRPGGSIE >Sspon.07G0003220-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:7312280:7314763:1 gene:Sspon.07G0003220-2B transcript:Sspon.07G0003220-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALYRRVLLLRRLSHLHPSPAPAICSSPPPTLAGLLTPLGRRHLAFSSAEEAAAERRRRKRRLRIEPPMNALRRGPPPPRDPNAPRLPDTTSALTGPRLSLHNRVQSLIRSGDLDGASVAARAAVSSRVRPTVFTCNAVAAAMVRAARHDDAVALFDFFFRRSNIVPNIVSYNTLILAHCEADRVDTAVQVYHDMLASAPFSPSAVTFRHLTKGLVAAGRIHDALDLLREMLDRGAGADSLVYNNLIAGYIDLDDWGRAFELFNELAERCLVYDGVVHTTFMEGYWRQGKDKEAMDNYQSLLDRGFKMTPATCNVLLETLFKHGKHKEANDLWETMIDNHTPPSFIGINAESYNVMVNQCFREGKFQEAIEVFHRQPRKNVQMDVGCFNNIIGKLCENGMLSEAEKLFEEMEKKSVLPDVYTYTYLVDSCFKEGHVEDTMEYFYKMADGKQHGPKFNIGFFNRMFEGLTEAGRIDDALKVYGRMPDKEIKPNMTTFEILIKALCKEGDLDQARGLVMDMARGSIVPPPEFRESVVNFFKKAGRQEEIEKAFEEKPMPTTQPRTEYQSRPEYHSRNAVGAGQVKQPGFSSASAVEPEFVYSQPQQSTLRNNPNQQPEFGSSRSWNSGFGAPQVQPGYGAPQPVQAVAGSSQPPRPQFGASQGEPGYSNIGNQHGQFGSPQREPKFSNYPPQVGYGAQLPQSGYRFELQQEQVGFGNRVAQPAYVASSQPSYDTRWSSSGYGGSTQGQLGYGAPQVQSHESQLPHHQGGFGMPHIQNNIGFYRHNPGYVPSNGLQGSDAPHGDSETAASKDYQEATTSEDRQQVAFLKA >Sspon.01G0038560-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:30644180:30648267:-1 gene:Sspon.01G0038560-1B transcript:Sspon.01G0038560-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SSVFSQHCSLSPLPAYEPAFDWENERSLIFGQRVPEKPFSGTICLYNRDRREKLSEDFYFHILPTDMQDAQGSLDRRGVFSLDAPSPSVCLLIQLEKAATEEGGVTPSVYSRKEPVHLAEKEKQKLQVWSRIMPYKESFAWAMIPLFEGNHAGGLSDAASPSSPLAPSLSGSSSQDSIVDPISKLTLDGKVNHYSSGSSVIVEISNLNKVKESYIEDSLQDPKRKVHKPVKGVLRLEVEKLRDGRNDVDNASEGGSMANDLNDAGDINNGRSNRSSFDGIRSSANSIAIGKKDAHHNGHISNAENGDIFQAFDFRMLTRSEPFSQLFHCLYVYPLTVSLSRKRNLFVRVELRKDDSDIRKPPLEVIVGYSVLPLSTHIQLHSDVSLPILRELIPHYLQESGKERMDYLEDGKTVFRLRLRLCSSLFPVNERIRDFFVEYDRHTLHTSPPWGSELLEVIILLLANVED >Sspon.04G0001960-3C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4C:37940874:37941728:1 gene:Sspon.04G0001960-3C transcript:Sspon.04G0001960-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding PNPWRQDVAPHRPNAPGRQQFFNPGPPPPLHGNGSAAAGASEASESNMERIRLPRPIVTLRASAHDGRWAIQCFPLAEHKVLCADQSGRTFLFDADTRHVVTMPILHKPKDMPFSIFIPSASDNLHSDSNSDSDSDDYDDDDVEDDVGTLFVMEGCPSMEPTSDDQPSDQFEAYVYGQRKLSHFKSWKCQPLPPPPYVRDPMWRKFRPQITSYAVISGGAQILISAESAGTYCMDTATHTWSQVGRWMLPLHGKIEYVPELDLWFGFSAKDQLSAVDLSNLALV >Sspon.06G0033790-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:22087913:22089496:1 gene:Sspon.06G0033790-1D transcript:Sspon.06G0033790-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding YTTTIAGGLSSGPGHRDGLAQNATFSADFELVYVPKICALLVADRGNRMVRQINLKPEDCAHEKQSAYGFAGLGTTSVSAIAILCALLGLIIGFLVRHFYPVNTVRSETYRQEVSINHFFSRIQKQLLQTQRKATLISFCDIKSAVASSMDYTLLDRLIRLGRGYLAMVFPSVRLQQEVPLRPSRRRPELRKTSTAPSIGLNNKAPLPPTGQLGDLISFAGDAGDKEGSGNANSREGKVPSYEGDLMGLLYIPPGSDKKIDHMIETNLSSFSSHVNRRRLTVSGCSVSRRVHGDK >Sspon.03G0035790-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:84418353:84423457:1 gene:Sspon.03G0035790-1B transcript:Sspon.03G0035790-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPLLLLLLASFLLSPPAASAADSSGCWPKTCGGLNITYPFWLEEPGRPPCGPPSFQLKCNSNRAFLTKSVYQAYEVLSIFPNNKSFHVVDHNLPLVTGCPAPTMNISLLSPRTFVFSTANKELLFLGKCTESLPQVSTGFHSLPCDNHSFVRLGDGRDFSSHGIQGGIPQGCLFAVVPILDVPDENGDDYIASMKNGFLVEWKAVPDDCPKCMARGGECRYGDTSKEFACDCSGDKCVCGVGGSLLVACFFFVWHKRKRRKQARAPNGFTRSESSMQSYSKDLELGGSPHIFTYEELEEATDGFSDSRELGDGGFGTVYKGKLRDGRVVAVKRLYKNNYKRVEQFINEVDILSRLLHQNLVILYGCTSRSSRDLMLVYEFIPNGTVADHLHGSRASERGLTWPLRMNIAIETAEALAYLHAVEIIHRDVKTNNILLDNSFHVKVADFGLSRLCPPEVTHVSTVPQGTPGYVDPVYHQCYKLTEKSDVYSFGVVLVELISSKPAVDMSRSHSDINLANMALNRIQNHKVDQLVDPELGYETDEETKKSIDLVAELAFQCLQLERDSRPSMKEIVEALNCIKNGDSPENKMDKSSPSPKEDAHLLTNSIQYSPDSVIHRFHSQSTMHSVASNASG >Sspon.01G0033440-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:112696833:112700266:1 gene:Sspon.01G0033440-1P transcript:Sspon.01G0033440-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKATLKGRYEGDKATAATTLAAPAGDLRLKASATEAAFANGPSLRGLTLTLEKPGAFLVDLKPHNQDVRFQFMNSALVLDKRVSLTYTHSTSFATAPAPPAAAPPSRTALDCSVTFDPANKVTLSHSLGSGGCRLKYTYAHGVDRLTTIEPLFDTNKNAWEFAVTKKFTGGDTVKGTYAASTKLFGLEWSRDSIAGGSFKVGTTFDLSDQSKAPKLIAESTWNYEI >Sspon.05G0014490-3C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5C:48260003:48268681:-1 gene:Sspon.05G0014490-3C transcript:Sspon.05G0014490-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRGAAGEEGHCRVRLGGGRSAGGHCWWRLGGRVGAGGRRWEGWRAAAAVASRGAVAGGGGWEEGGDATCAQDIVPGYYLRRDSNGQIENSAAVNNTASEHFMVDRLIVDDLLNWAVNYKVDGFRFDLMGHIMKKTMIRAKSALQSLTIDEHGVDGSKIYLYGEGWDFGEVAQNQRGINGSQLNMSGTGIGSFNDRVRDAINGGSPFGNPLQQGFSTGLFLEIRFWADHWLQGKTIAGLAPNLHKMISNRVVKNWTPNGFYQGNETETRRMLATYADHIQINYASAHDNETLFDIISLKTPMNLSIDERCRINHLSTSMIALSQGIPFFHAGDEILRSKSLDRDSYNSGDWFNKIDFTYETNNWGVGLPPREKNEGNWPLMTVI >Sspon.01G0002350-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1A:6434231:6435349:-1 gene:Sspon.01G0002350-1A transcript:Sspon.01G0002350-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ARAFPSSFHINRGINVIISRNKRRGGERNGREKREAPGGGGLEPGGREERGAGRRQGKATHQAEAGEPRAWQFRSSLQSSALPLHTITTAAAATRGQRAEQRRRVRGDEAERSPGPVGEMGIRRARRFLFLAAAAVAVLLLHAPPPASCADIYALIYKGCANQSFPGGVAPASIAALSATLSAQSASAKFYKTSSSSASTASSTSVFGLFQCRGDLSATDCAACVSRAMSSWPGVCGASVAARVQLAGCLALYEVSGFPQVSGIQMLFKTCGTGGGGGDFEMRRDTAFAALEGGVATSSGGFVATSYQAVYAMAQCEGDLSTGDCSQCVTQAVQHVEVECGGASSGQVYLDKCYISYSYYPHGVPHGGGAGGQ >Sspon.05G0009420-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:22558468:22559454:1 gene:Sspon.05G0009420-1P transcript:Sspon.05G0009420-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQKIVIKVSMSSEKSRSKAMALVARADGVSSMGITGGGKDRLEVVGVDVDTVCLVTCLRKKLGHADILQVEEVKDKKPEEKPKPKVVELPPYYCPCYYGYYCHYHRH >Sspon.08G0005640-3D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8D:14845054:14849072:-1 gene:Sspon.08G0005640-3D transcript:Sspon.08G0005640-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPQRSPAGAGGGGGGGPPALHYLSGPYGDTTFTKVFVGGLAWETRSEGLRAHFEVYGDILEAVVITDRATGRSKGYGFGPGSILELKAVFVSGAECCDSVRTDELCGLNWEVTFQNAESARMACMDPYPVIDGRRANCNLAILGRPGPAVPFVAPIRPVIPYSGGVAVPGGMPSAYGPEYLYQQNAYGPYVGQQYVPVYGGPRTVGPAVYPYGQFGQPVPSDHAYSPGYAPSHVLPLSNQNVNATNVVRIPPVQQQFPPVVLYINSIVGMAMDNGNMGLWEAEVT >Sspon.03G0025980-1P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3C:35521917:35524659:1 gene:Sspon.03G0025980-1P transcript:Sspon.03G0025980-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATSSRRPAALLVLVAAAAVVALAAADDVEVEGRYPPLAPGLSFDFYKKSCPKAESIVRDFLTSAVRQNVGLAAALIRLHFHDCFVQGCDASVLLDSTATQPSEQLSPPNLTLRPAAFKAVNDIRARLEQACGRVVSCADIVALAARESVALGGGPAYKVPLGRRDGLAAASNAAVLAALPAPTSKVPTLLSFLAKINLDVTDLVALSGGHTVGIAHCSSFGNRLFPTQDPTLNKFFAGQLYGTCPTNTTVNTTVNDIRTPNTFDNKYYVDLLNREGLFTSDQDLLTNATTRPLVTKFAVDQNAFFEQFVYSYVKMGQINVLTGSQGQVRANCSVRNAGAGGDELPWSVVETVVDAAGSLVL >Sspon.05G0018490-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:76092489:76095371:1 gene:Sspon.05G0018490-3C transcript:Sspon.05G0018490-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPEIYQSPLPEVSPNIRNDDVQDSVKNLNEKVSPKVKDDNVQDSVKSLNEKLSAALLTINAKEDLVKQHTRVAEEAVAGWEQAEAEVASLKQLLETASQKNTSLEDQVSHLDDALKECVRQLRQAREEQEKKIRDTVAKKSKELESEKSELQHHIAELIGSHKLEATTVRVQCDLQEKLQIVEKENKDLKVELLALSKDLKILARERDLSNQAAETASKLHLESVKKITKVEAECLKLRHLTRRTSLINDSRTITNSACMESQTDSQSDSGERMLADDEMKNSDLWASALIAELDQFKNANTGTRNLVNNPVEIDLMDDFLEMEKLAALPEVDCVSSSFGAETDSDQGVTRDKSSKVETESLQCQVTDLLAQVEKIEGEKRELEMALADARISLAHHMQLDLANESKHAAFGEAERLDGERKDLALQLESKSAQVDELQLMVASLEERVDRKELELQLEVISAEAADLRKTLASLEEKIDAERTLSMQHKANADMAEASKESLEAQLQSANTEIGKLKGIVQTLESEVRKETDSCEELLKQIETMKTESERSLSVVSTKESLEAQLQVANSEVAKLRGMVNALECDAAKEKAYSSDLQMQLEAVEGIRKVLESELESSHQEVMKLKEKVSSLEVRLKDQTSLLVEFTAKSEDAVSRKKAMEGQLEAANLEVTKLRNKVSLLQGKVEQEKLLSEEYEAKCRKLEAQVSRDSREAKLWRLTNSNGDLKVKQEKELTSAAGKLAECQKTIANLGRQLKSLTDLDGVAPDPEKLEAKDTHLDFRDGDDDLQSADVADGLYEQGLPKRNGSCLSPLRSGGLPSLSSYLSKTKR >Sspon.03G0042660-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:37857204:37857455:1 gene:Sspon.03G0042660-2D transcript:Sspon.03G0042660-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGKSSFFASLFGCKKKQGSGGRQEEAAPPPQRYYHGTRVRPSDDDYYYGQNWYADRDINRRASEYIERVHRGMLATSEQDE >Sspon.03G0011390-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:39578734:39584278:-1 gene:Sspon.03G0011390-2C transcript:Sspon.03G0011390-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGFISSSLALVVLALHLAVDGCSAINLEGSVLLKFQSRVEEDPYGAMVGWSPRDGDPCSWNGVRCVDGRVVMLLLSNNCFQWPLIQHSYGNFDQENDFNIYDNLGRGNMNRRAENGLESGSSSQENKKDTSNLSAQLPSQYTARNPAAQLSQRRLLQDSNLAAPSSANALVPAAVPVPSTGTGSFSAFSSNNAPVPAVNPPSSPPMVPSTTAEEVTERRSIKWLYLIVVPLVALLIGIACMLLLCRTKSGTTIGPWKTGLSGQLQKAFVTGVPKLQRSELEGACEDFSNIVATYPQYTVYKGTLSSGVEIAVVSTMITSSKDWSKHSEGRFRKKIDSLSRINHKNYINLLGYCEEEEPFMRMMVMEYAPNGTLYEHLHVEGFDPIDWNGRMRVIMGVAYCTLHMHELSPPITHPELKSSAILLSEDGAAKIVDMSVWHELYSRGNMPKDDDLVDHHERVAADPAGNVYSFGLLMLEIISGKPPYSEEKGSLSNLALECIRDNRSMSCLLDPNLKDHKEKDLEIICDLVQDCIQSDPKKRPTMREVTTRLRETLSISPEAATPRLSPLWWAELEILSVEAS >Sspon.07G0027200-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:59106969:59111769:1 gene:Sspon.07G0027200-1B transcript:Sspon.07G0027200-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAYATTGVQMMAQRPCIPACRQVLGSTSALSAFGRAICTRTGFASSSKATSAGPLVSSNCKRVVRAMSQRGAQGLPIDLTGKRAFIAGVADDNGYGWAIAKALAAAGAEILVGTWVPALNIFETSLRRGKFDESRKLPDGSLMEIAKVYPLDAVYDTPEDVPEDVKANKRYAGASNWTVKEVAETIKNDFGSIDILVHSLANGPEVTKPLMETSRRGYLAAISASRGASISLTYIASERTIPGYGGGMSSAKAALESDTRVHTFLESPMVLAYEAGRKGKIRVNTISADEVGNTAAFLASPLASAITGSTIYVDNGLNTMGLALDSPTLST >Sspon.04G0020770-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:81499453:81500076:-1 gene:Sspon.04G0020770-3D transcript:Sspon.04G0020770-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMATQGLADQDQPHQLSEPSTNSSSTSHHGAAAASSIATNRWGPYSGAGDFASNMAVILAALLAALALGLALSAAVRYLLRRARRRRAGSGDRQGNSSGSGVSVEDPEKPPVELEAPPPPPPALVYSAAGTKLAGAAAECAICLAEFADGDAVRVMPTCRHGFHARCIERWLAGGRRSSCPTCRAPAAVVAAAAAAQPADESATPTP >Sspon.02G0011430-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:34790872:34792526:1 gene:Sspon.02G0011430-3C transcript:Sspon.02G0011430-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DWYSAAKTIAEETALEYGEKNGLTVVTVHSCSLLVNTSSELLIYIIKGGPRVMKNLTWNIVDVRDVADALLLVYEKVESSGRYICSPDRISTSDMLNLFKKAYPNHNYVNCDNKDYESAISPFTSEKLKNLGWKPRKVDETLSDSIEYFEKAGFVQDNTFTCGKSKLIILEDQDAYKLK >Sspon.01G0040500-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1B:41352448:41352909:-1 gene:Sspon.01G0040500-1B transcript:Sspon.01G0040500-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHALGKRATHTRPCTPPERKKKTHGLGFYPPTALEGSLGGGGRDTAVVADEMLVGWGSGRRRLGGFGGGCVVVESSVRCHVIRGGRGRGRGRCHRRAALWLREGGVEEAVAGGGGSRQPWVVGARGRVRWWRGASGGRTRGEDGVGEEEDDA >Sspon.04G0024850-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:22554943:22557083:1 gene:Sspon.04G0024850-1B transcript:Sspon.04G0024850-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AMASAARQPRISHVRWAARPPMKNAAGAARISKLTLLRSCATTQCAAKHGSHVQRLHVFWTPTFSVLLLGDGDGSDGVRACLLGRPRTSGFGPLRRGRSCAGAWPSVLASPRRCARRAGRDEHGVPLCAVRCTVSGTHEPQLQAFLVAGSPFRRRADVQSLHAIAITSFSALCVSLSLSPCAFRLSRAVRLRDLFLFRVPVRRLQVQALAQPPSSVLLPALPVLASVLCQRIRLAALRFVFLCQFSEMRRSSPGMMSLSYDAGSGRGLLACYVKAKPRPSKWDDAQRWLSSSRANDDDRRRSSCADDRLLLPSASQKGRHSWSTADGAALPAALELAARDDDGDAEAEAETKKVDSVLAYGQPPRCLSLRDIGTEMTPTGSKEPSRANTPRAALPATPAPAGRAIRAPRRRPDSSTDRGGSPPGLAAADRGAGFKCADAQERKEAAPGAPTTTAVSPATAWDAAERAKHMARYRSEEMKIQAWENRRRQKAELQMKTTQAKAERMKRRAQEKTASKLASAQAAAREKRAQAEAKLNRRAARVGDRADALRRTTGHLPSSSSVFSLKLPLMCS >Sspon.01G0019130-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:114575588:114582926:1 gene:Sspon.01G0019130-2B transcript:Sspon.01G0019130-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFQVGGQGARPTFFEMSAAQQLPASLRAALTYSLGVFALRRPLLHKVLDYEDEFFALLMGVLESHSLRTTDGSFSESLYGLRRRPVKVSVKRKGPGTESSDKVYDSALRKRQKILSVVFLVVLPYFKSKLQSIYNKEREARLQATLWGQDDVRFDEAGFVLDQEQTSQAQTEPTTGEVSNLTRFKKNFVSLIGVCYPWIHATNEGFSFAYQLLYLLDATGFYSPALHVLGLHVCRATGQELMESSSRISRIRNRELERLRGPPWFKAVQQVFLSCVYTTLDYAQTGLIAAVFFFKMMEWWYQSAEERMSAPTVYPPPPPPPTPKVAKDGVPLPPDRTLCPLCCQKRANPSVLSVSAAYSNLSLRWVFYRSSMSKPNVLSITNLCDIVLSCSIKGK >Sspon.08G0028210-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8D:6796634:6799768:1 gene:Sspon.08G0028210-1D transcript:Sspon.08G0028210-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSEILIGTVHSHHSITLRHATACVLYLVRRTARPRLLAAAAAAAGLRLWSASRGDLLTFASWLWTSIPRKIKSDASRLHVVIIYGDASARTSGRTDVHACWSVSLHLVSCVCSFGAPRWGQCCPDVSRPLGNAPASAIHLKHAMYPDAASSDVCSGAPQP >Sspon.01G0039190-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:32860987:32870067:1 gene:Sspon.01G0039190-1B transcript:Sspon.01G0039190-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSALSRYYLNNFHDGVRQIDDEAPSKPAPPSTSVVNKKSKTDVEREQLFEGSSDVHTPRKKSTQEILTKYKFKGDAAAAAAHAKQKLVERQEKLARITEQSAELESEAANFATLAQQIRKNTETCPALAAYGYGALARLIKRSSADYVETTGRGHVVAGSREVPLFMAVANTLDPRPPIGLCGSWPTWARGQGPSCSTADHAMELRLRPARVVTPAPVYSKVDPTVRAPPPQVHISHQKATIRPDSFPRASLAVSARSHRAPTAWMWRGKYLGAAPSSVGPRATSESHQPRAPGTPLGYVGAPAPRHVTDLLSRSRRHCAEGEASRTASATLRDGLGAGAKGRGWLKRGGPPPVLLLLAGTGRRRSLRTASATLRDGLGAGAKGRGWLKRGGPPPVLLLLAGTGRRCRGRR >Sspon.05G0030830-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:1472674:1476987:1 gene:Sspon.05G0030830-1C transcript:Sspon.05G0030830-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLARFVYRDQRTRDHFDLQMWVCVSNNFDEVCLTREMLEHIYKDRRDFNKISSFNVLQELLADNIRSRRFLLVVDDVCDNKDTNCWSRLLAPLKCSEVIGCMILATTRSPSVAKMIGTQSTLELKGLDGDDFWLLFKSCAFGDENHGYHPNLQAIGKQIVKTLKGNPLAAQSVGALLRQDLTCEHWYKVQEQWTTLQKGSDSILPILKFSYDHLPFYLQRCFSYCSLFPEDYKFNGDRLVRAWISQSFVYCKDTINTIEETGKQYLDRLVDFGFFQKDGSHYIMHDLMHELAKMVSSNEYATIDGLNSNTTPQKIRHLSIISYAYDEVEQFGFHLNKFERELQKIGSLEKLRTLIRDKELFGRIAYSKVLPTFYHLHTLDGSHINLVVPSHMSNLVNLRHLIAGEETYSNIANFGKMTSLEELKGFRVQNAYGFEIGQLQSMNGLVTLRISQLENVSCKEEATGARLEDKEQLEDLCLSRNGSGTRFEPNTVAETVLEGLRPNRNIKHIQIKGFNGRVCPTWLTTNSSVISLRSLHLENCSQWRRIQLGRITSIGTLKLINMWSIVDVSVPSLEELLLIELPNLERCVGTYKKNLSSHLRILRMERCGKLKDFTLFQNYDYFKAGQKTMFPFLNKLSIKHCPQIMQWTTLPLEDLQSLEELELIEMPGVIHLSVPCLKKLILIQLPNLECCTSLNEDQLSDSIEVCLSQDEEKEWLPNAYELTVHDCSHVMVSRPLPPSTKCSKVSVSIRGVSAPLAMKMMKDLSSFTIQFEELSILDEKVLAFHNLTSILNFYIVSCPNLVSVSFQAFRKLVSLERMEINDCPKLLSPSMMLDEIYNDNDTMQLPTPFARYLTIESSGMMGELLLPCVQSLELTIKDCWKITSVPFICPVEEQSKRLSLLYISSTITSTKIALTRDEQVLLKIPHPLYTSVKKLNISNCPGLVICNSEEGFSTFAFLEVLTVTGCPKLLMSVVHENNIHQRCLLPPSLNQFEATHLPPRLQPYFPGNHTSLRRLTVWDSPRLESLQLHFCTSLEEIEIFNCKNLSALGGLPYLNSLKILKLERNPKLSVVTLEPSYHDQESWEDQNGGHNLYPKLEWLETDNVSLLTMPFCKHITALQHFTLSSSRSHNRMTRLSEEQQGALQQLTSLKELRFLCCNDLISLPAVLHCLSSLKKLEIGYCPSISRLPEEGLPLASMEELELRGCSEELYKQCRLAATSKLRVIIDGEVVDDLTACALTEPPGGQPGPWHSLASFCRHYNNTVVLSLHEADA >Sspon.01G0028070-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:98300844:98303943:1 gene:Sspon.01G0028070-1A transcript:Sspon.01G0028070-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA repair protein XRCC4 [Source:Projected from Arabidopsis thaliana (AT3G23100) UniProtKB/Swiss-Prot;Acc:Q682V0] MAAASSVAPARHSCAKLSVPVEDPKALPAVPVEDPKALPAGAGTVFVKATWLPSRFSLAVTDGAGAWVADASDHEVRLRAEQWDQPVADYLALAERYLAFQQPDSTYSFHDAGKGNRRLSWTFERQGTKLEWRWKLQPSPNTQQTISEILDFLMDANIRLSEEVVRKTQSFDKLKQEAEKCLQQSERFNNEKAEFEQATFSKFVAVLNSKKAKLRQLRDKVVELESTGKPSKEVEQEEENSTDRTELFEGESDKEASVKDEPSETGSDNIHSSPEKSASTSRGRGGGRKRAKK >Sspon.08G0004590-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:14115090:14115905:-1 gene:Sspon.08G0004590-3C transcript:Sspon.08G0004590-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AAFKQDLLRNLLLGLRARTAEAACPFDAMSLQERKRAVKCSADVALAVARRGAAGAAVGGRARWPKAILAAAAAAAAASSSHSPGGTCKVRKSACKCKRVVARRRADAKRIIRGAYAAASGAAAAASCVDVARRLVRRRTMALRKVIPGGNAAAMDDEAALLRETMDYVVHLRAQVDVLRRVSAAVQRSTFLRYIYTFCFICAAFCRSNKRLKETHLKLQ >Sspon.03G0023120-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3B:94138903:94139571:1 gene:Sspon.03G0023120-2B transcript:Sspon.03G0023120-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAHQEPASSGGGPPRRPRVLLAASGSVAAIKFEGLCRSVAEWADVRAVATASALHFIDGASFPNGVPLYTDDDEWSRWRRVGNEVLHIELRRWADALVIAPLSANTLAKVRTHASCRLSALAPPPPPATADKAACCQVAGGLCDNLLTCVVRAWDYSKPVYVTPAMNTFMWDNPFTARHLAILRELGMSIVQPVTKRLACGDYGNGAMAEPSEICKTLMLFF >Sspon.01G0034250-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:2761121:2767596:1 gene:Sspon.01G0034250-2C transcript:Sspon.01G0034250-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSQSVQIEKPPKSGCLFLGLPKSCCSISAFGDVAAVSDDFVESSPSSSGYLNSAMDASSHEDSQSERAVKMNQNSRDNNKMIKICDKLIGVFLVDKPTPTDWRKLLAFSREWDNIRPHFFKRCQEKADTELNPEMKHNLLRLGRKLKEIDEDVQRHNELLEVVKSTPSDKLGSIVAKRRKDFTVEFFNHLYYVAESYHDEPEKQTELAKLGNDCVDALQAHDDTTGSLEALNAAELKLKDILNSPSVDAACRKIDDLAEKKELDSALVLMLSKAWSAAKGTDITKSERQMPKDIRILKHLIMIEDPEERLSALNDAFTPGPELQGDNVDTLYTSPEALHTWARAIVDAYYNSREGTLLGQARDMMNPKILLHSYKNIVLSADVLYLQLHSLQLQNKDFPHSNGVEATNTAQALELGPISSKQGLLLLNPLFDSEAKST >Sspon.03G0004280-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3A:10764927:10765121:1 gene:Sspon.03G0004280-1A transcript:Sspon.03G0004280-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSCLRPNDFRLMSTSNVMAMASVAITCSIRAAATSGWHGLGAHAGGQRDGGEEGREGEGRGE >Sspon.07G0012400-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7B:49933407:49935166:1 gene:Sspon.07G0012400-2B transcript:Sspon.07G0012400-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPITESIVPLNPEMKPGKCESLGTHELNPYLKGIGSDYSNGVNFAMAGSTVTHGVSDYSLNVQVDQFVYFRHRSLEMFERGLKGPVSKEGFQNALYMMDIGHNDMVGVAHTPSDQWDKKITEIIGEVRKAISILYDNGARKFWIHGTGALGCLPALVVQENKGEKDKHGCLAGVNRAAKAYNRKLSQLCDDLRFHLKGATVVYTDMFAIKYDFVANHTKYGIEWPFMVCCGNGGPPYNMELGKPGCGDLCPPEAKVVSWDGVHFTDFGSGLAAKLAMSGEYSKPRVKLVSLIHGGSKKASDS >Sspon.07G0028220-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7B:65062525:65063262:-1 gene:Sspon.07G0028220-1B transcript:Sspon.07G0028220-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIVEELGHLAELRVLGIEILSKTNREDNRLDKSLVECLNKLHKTQNLFILIKSGECNLDGWVVAPQHLRRLELDPCCPFSALPGWVNPSLLGDLSVLQIRVKGLQQEDLEILGRLPAFCSLHLWVDHEDLGIHGAFVVGGCSFACLVICSLWGFGGPVVFQQGAMPTLVDLMFDFPVQLTREINGSFDMGLGNLPSLQMVLVRFKTGGASIPVVEEAEAALRHAIEVVHSNHPTFKELRAYEYY >Sspon.04G0028920-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4B:69082798:69087111:1 gene:Sspon.04G0028920-1B transcript:Sspon.04G0028920-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLVVLNASNNSFVGKIPATFCVSAPSFAVLELSNNQFSGSIPPWLGNCSVMTSLSAGHNNLRGTLPNELFSITSLEHLSLPNNQLKGSLRGITRLTNLITIDLGGNEFSRNIPDSIGELKTLEGIHLDHNRMSGKLPSTLSNCTKLVTIDLKNNTFSGEITRVNFSTLTNLKTLDLAWNKFTGTIPESIYSCSNLIALRLSWNEFPSQLSEIIGDLKFLSFLSLVSISLTNISSAFQILRNCRNLTTLLIGYNFMNETMPEDDRISGFENLQVLSMEGCSLSGKIPPWLSKLTNLEMLILYNNKLTGIIPDWMSNLNSLFYIDISNNSLTGEISTALMEVPMLQTDKIAPKTFELPVYETQSLQYRMTSAFPKVLNLGNNDFTGVIPEQIGQLKALLVLNLSFNKRSFITRNKSNNKDVMEGMASNFNSQQSLVMVPQGKGEKDKLTFTDLVKATNNFGKENIIGCGGYGLVYKAQLPNGSKAAIKKLSDEIVLMDREFSAEVDALSMTPHDNVVPLWGYCIQGNSRFLIYSYMENGSLDDWLHNRDDDASSFLDWPRRLKIAQGARRGLSYIHNVCKPHIVHRDIKSSNILLDKEFKAYVADFGLSRLIPPSKTHVTTELVGTLGYIPPEYVQGWVATLRGDMPNAGETGRGGMRLGGQWARDCACALRAQSGAGMARNWLSVRPRGPPETAAPPFADHGVELGAVIYGVDLGAVIWIHLITLLGLH >Sspon.01G0006110-1P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1A:15996285:16000538:1 gene:Sspon.01G0006110-1P transcript:Sspon.01G0006110-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFRRILSTAVRRRSAVAAAAAGNAREASTAVAAAPGVLAPDATPVRAPVMPYDRIAEAVNARLRRLEHPDPRFLRYASPVPSHADHTAILAAPETRVTTLPNGLRVATESSLAARTATVGVWIDAGSRYENEEAAGVAHFVEHMLFKGTGKRSAAQLEQEIEDMGGHLNAYTSREQTTYYAKVLDKDVPRAIEVLADILQNSNLDQKRIESEREVILREMQEVEGQSEEVIFDHLHATAFQYTSLGRPILGSADNVKSITKEDLENYIATHYTAPRMVITAAGNVKHEDIVEQAKKLFNKLSTDPTTTNMLVAKEPASFTGSEVRIIDDDMPLAQFAVAFNGASWVDPDSVALMVMQSMLGSWNKSAGGGKHMGSELVQRAAINDIAESVMAFNTNYKDTGLFGVYAVAKADCLDDLAFAIMHEMSKLSYRVTEEDVIRARNQLKSSIQLHLDGSTAVVEDIGRQLLTYGRRIPTPELFARIDAVDASTVKRVANRFIFDQDVAIAAMGPIQGLPDYNWFRRRTYMLRY >Sspon.01G0041910-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:38809903:38814101:-1 gene:Sspon.01G0041910-1P transcript:Sspon.01G0041910-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRNRKESGYPTAALEEEQEEAEEQTEEGDEEEGEEQTEEGSGGEDQAEAEAETPAQEAVEPPKLADGFYEIEAIRRRRLRKGQLQYLVKWRGWPESANTWEPLENLKACSDIVDAFNKRSRSPRSSRKRKRKTATTPTSDPNPSRGKRGRPPRSEARSMQGIHASEVKKLPCRTSSRRANCNSNKTSPGGLDASVNLLGQRVVQEGSSGVVSVGFLSQGAPLSVSLTDQQDVQHPANGSLKVDNSIRATPPQGGQVTGAKKRKSGCVRRFKQDEVTQEQGDIRDRTSDKPGNETVDSTEGETGDKNKGEDSGNQIHMPKIIKIIKPVRYFATVMDGVQQVAITFKALRSDGEEVFVDDKQLKAKEPLVLINYYEQHLRYNPTS >Sspon.03G0010170-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:2400408:2403992:1 gene:Sspon.03G0010170-2B transcript:Sspon.03G0010170-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTVGSIASRWRELQGADSWSGLLDPLDLDLRTNLITYGELTQATYDGFNQEKRSPHAGACLFGYSDLLASSGATAAGSYTITKFIYATSALPVPEAFLLLPLPDLLPESWSRESNWMGYVAVATDEGVAALGRRDILVAWRGTMRSLEWVNDFDFTPVSAAPVLGSAAAANPAALVHRGFLSVYTSSNPDSKLMALYKDEVTSITVTGHSLGASLATLNAVDLAANGVNAPPAGSSQPPCPVTAFVFASPRVGDGNFKTAFASFPDLRALHVKNAGDIVPTYPPLGYVDVAVQLPIATGRSPYLKQPGTIATLHNLECYLHGVAGEQGSAGGFKLEVDRDVALTNKGEDALKDQYPVPVEWWVAKNKFMVKGADGHWALQDFEQI >Sspon.02G0015800-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:37367753:37369821:-1 gene:Sspon.02G0015800-4D transcript:Sspon.02G0015800-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding TMAKPSVAVPEVGGVPAAQASCPCPGTTLFPYPPPRGAGIAAAVVRRKCLQVELGAGAAGLLGGACWGVESMRASSPTHAKAAAAAALAGGGVADEERAAWTVRHPSALGKFEQIVAASEGKRIVMFLDYDGTLSPIVDDPDAAFMSEQMRMAVRSVAKHFPTAIVSGRCRDKVFEFVKLAELYYAGSHGMDIKGPAKASSRHAKAKAKGVLFQPASEFLPMIEEVHERLVETTRCIPGAKVENNKFCVSVHFRCVDEQMWGELSESVKAVLREYPKLRLAQGRMVFEVRPSIKWDKGKALEFLLESLGFADCSNVLPVYIGDDRTDEDAFKVLRRRGQGQGVGILVSKHPKETTASYSLQEPAQVMEFLLRLVEWKRLSRARLRLQ >Sspon.04G0032270-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:23470531:23476200:1 gene:Sspon.04G0032270-1C transcript:Sspon.04G0032270-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSVTFRKVLGKFTYDLLEVKINEKDKKVSCKLKIPSKLPPRYDSEDLGENPALLLALQCAKKSLSLCQCEDLKDLGTELTELSSIADMLNQKNKTGQTLDSTYLVDLADKLKLVTDLPWDTKDHNGFLPKMKQFRLNNGLRAYNRKSRTQLDGL >Sspon.02G0005900-4D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2D:12006197:12008902:1 gene:Sspon.02G0005900-4D transcript:Sspon.02G0005900-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLFAFVRRARRSPPTSPAAPEDTSTAAPPAEKRRRRPFSSSSSSSSSGSAAAWKPTLGAISEDAAMTAASTAKAQAQAKKPAAEPKARARSPRRATRAASYDDFRFIHLLSLQALRPADAAAGVHADGLPVLSAGEPQASKTTTAAVYLVCAALRLDERRRLPALCSKPD >Sspon.04G0000490-3P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:3445605:3449586:-1 gene:Sspon.04G0000490-3P transcript:Sspon.04G0000490-3P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIRPVPRPFCPPNGYYGPPPGYCDGHCCDLHYGRAAHPDETQLHSSQLTYDLYNPSVGIYHPGSTHEHEHETVYVEPSSSSPDTAGDGYFEMEEEVGKRFYPMVPVPHVPKINGEIPSIDEATMDHERLTERLKLYELLNTRCKEMATFRALSDQLYQSPDHHEFVREQIINQLKTNRDAYDGYVPMAYDDYLEKVARNGEWGDHVTLQAAADKYGVKIFVMTSFKDTCYIEIQPKVQKSNKVVLLSFWAEVHYNSIYPQNGECTEVADDDQEEEMVAVLAAPPPLMADDRGLGASSSSLRDWDGCRSSGARHDSGSGGGPGGPAIVQMIQGTYGIMINISGAEQTVPDNGNTMNEMK >Sspon.08G0019110-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:10424990:10434862:1 gene:Sspon.08G0019110-1B transcript:Sspon.08G0019110-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SLNTRAPGLPRRPSSGSSVESASATCGVRTVDSSPPTSPYSRRPRPAGALPKPLVTLEGSRSPGAANAAAGDFFVEGALGGDFSIQSRKDMEGSLRAPLFVVQSSDWDCSCSVVWDPFHADCAVPQELETAEVREQLKYINKLKSRLGDSNQKR >Sspon.08G0006700-1P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6A:89639648:89644365:1 gene:Sspon.08G0006700-1P transcript:Sspon.08G0006700-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARRGRGDGANGVVRPRPRDRGVGGGGSMAGRVAVLAFCVAGIWSAYIYQGVLQETLSTKRFGPEARRFEHLAFLNFAQNVICFVWSFIMIKLWSSGSSSDGRAPLWKYWGVSVTNTIGPTMGIEALKYISYPAQVLAKSSKMIPVMLMGTLLYGVKYTLPEYFCTFLVAGGVSSFALLKTSSKTIKKLANPNAPLGYTLCFLNLAFDGYTNSTQDLIKSRYPKTNPWDIMLGMNLWGTIYNAVIMFVAPLLFSNWPYANGFEALRFCQENPEVAWDIFLFCLCGAVGQNFIFLTISRFGSLTNTTITTTRKFMSIVVSSVISGNPLSLKQWGSVVMVFLGLSIQIYLKWKRKKGRDHKE >Sspon.06G0011300-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:61668687:61698687:1 gene:Sspon.06G0011300-1A transcript:Sspon.06G0011300-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASASTSGGGARPWRTALLTLRDESLASPSPTALLELLRRVLLSPASPSLAASAAALSPHEVRLLIPSVAAPLWLGSISLAVVFRLSVQVGSDVAFLADTAVAAASCPGADGAMRGVCHLIYDVMCKTNMEIDSSGWLAMLKFVDTLVKCSIEGACVKGLSSRTAALNTTSECLHILRFWSRDYGRSISLTESSHSLTVLVSIVSCLQAELNISDKPANATGISSRNSGSVNTKNSNIWDMKISAFSMVEDILSKVASNMTENLWQSVIEVLRKVMDFVAARNLVIESSIMSRSSPPPTLAPKETRTDSKPRASRGRYRPPHLRNKDGGENDSLEGRNSDSEYSRYDISSSDSDLSDSDGYAKSGDRFRSSKARLAVILCIQDICRADPKLLTSQWPVLLPENDVLQQRKYQATLMTCLLFDPITKVRVEAASTIATMLEGQALVLTQVAEYKESSKRGSFTTLSSSLGQILMQLHTGALYLIQRETQATLLAALFRVLILLISATPYTRMPKELLPTVIKVLCSKLLDRHSNKTEHYALLVNVLSCLETAFSKVPPTLDVFVVLTEDCCAGPSHDQEESNVIAILLHCIEEEMHYSVLRSAVHNYPSCANLIWEKLRDNVLNLLQIESFEDQKYDANFGPPGPKEESSIKGRCLVAGIKVMDECLRVSSGFKGADDIKECRLLDIQQISDCTINKTIKSAPHFEMEAAGSSQNCTLDITLGTSRWIEVIETHLPQGLSHGSAMVRTASLTCFAGMTSDVFFSMPENKRDYVTSSSVHAALNDTVPSVRSAACRAIGIVACFPQILSSSSLPGKFIDAIEFNTHNSSTPVRVTAAWALANLCSCIRFRALEVHTDPYAGVLNKSSISLLVEVALRLAKDSEKVKSNAVRALGYLSRFIRFNYQAGTINDPSNSDSVFYGDPVWLERMVQALVSCVTTGNVKVQWNVCHALSNLASSVYSILLLLIRDSNNYKIKMHAAVALAVPVSRLDYGSSFPDVVRGLVHALESLNSNNSSLPSNFKQKDNLEKQLTFTALHLLSFVSPNDDPSLKDFLMKKASFLEDWLKSLCTSFNNSEHQPLATEAINDEDGFSPNVTQKSMLSSALQSLLGIYTGRNQQAITQRFEQLARGIA >Sspon.05G0006260-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:20127378:20129854:-1 gene:Sspon.05G0006260-1A transcript:Sspon.05G0006260-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRRSYQYLHADALKHNLHLHVSPIHWQLGAYKMWSSEGGGLSPVARVCDKMLASITPRFPDAPAFHSPPHHMSLTTVAFSPHAGKELCAACSVQNTSNMNEGDSEGLPLLDHSCFLGDTRYHLPNAAFSKCHGQ >Sspon.04G0031950-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4C:19753639:19753842:1 gene:Sspon.04G0031950-1C transcript:Sspon.04G0031950-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MWVPYRQRPSPAASYTPFGSGHYLDDILALDRFHHYHGVSRCRPPLRPCPLATSWSASIAARLGLEP >Sspon.01G0017170-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:58972706:58975314:-1 gene:Sspon.01G0017170-2D transcript:Sspon.01G0017170-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVSLNQPLINDDPLPLPLPGSIAKGDQIEGLSAGWTNERHSSYISSMEASFVEQLYGQENCNYDANKNNLRNIAIKCFPENPWVRRFKPRGSCVNHRGTGMEPIVDDYGSGTDTVREKVRTHAGVVKTSVIIGKSKEVTDQNFPDDGVQSSNDPCKKQKSTSGTASNDQGT >Sspon.01G0056510-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:88315956:88319208:1 gene:Sspon.01G0056510-2D transcript:Sspon.01G0056510-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MESRGRRPRSPERQRPAAARKVPVVYYLTRSRHLEHPHFVEVPLASPEGLYLRDVINHLNLVRGKGMAAMYSWSCKRSYKNGFVWHDLSEDDLVVPATDGEYVLKGSELVDQSPSGPFYPVSNGNQKQPSRPKEGAQQPLPRDQSYPSSPPSVIVRESKARRSPSVPSQDEDDTPSPCRDRSLETMSQELEPQRNERTQLPASGSASPVEFRVYKPTGCMDAATQTDDLGRRSGRRAPEIRKKSLSTDHDAVVREITEYRQSHPRRSADLQGISRELLHQCITPLSIPSTHGKSESLESLIRADNVTNSFRILEEEDIVVPTCPKLKPTNVLMQLITCGSLSVKDRENAGIVQTYKPRFPNLKFPSPLISRTIMMGELDYLSENPRLMGMRLEEKEYFSGSLIETKMQRDVPAERYSALKRSSSYNAERAGDALDCTRREEDKTDDTSSRTRCLPRTPILSSFLHPKGDSLKSPVSDCRRSSSARLDCDLASGDGSRRFADAAVASATTTTRADSFRKEERLVKIEES >Sspon.05G0017970-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:72161136:72163922:1 gene:Sspon.05G0017970-2D transcript:Sspon.05G0017970-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKAAAGIRHGQCDVLEVSAGRNTVLHVAAEQGHDELIQELYHRFREHGLLLSHRNSALDTPLHCAARTGHVRAVAVLVQLSRDCREMSILGCRNEAGDTALHLAARHGYCMVVEALVSVAAGPAAELNNAGVSPLYLAVMSGSVQAIKAIIKCKCKGASPALTDQVDSSGSSPLHFASSAGDRSIMHAILRAAAPTTVYRKDSAGLSALHVAARMGHHRVAKDMLRIYPDAAELRDGNGGTFLHTACRERQSSVMSVVIKSRTLRGLLLDAQDRDGNTALHLAVAAGAPGVVEDLLRKGEARADVLNSDGDMAFDLAAASTSSFTMVRLVVTLVAYGARLRPQRQDQLTPWSGHDVVQGIERTSDSLAVVTVLIATSAFAAGFNVPGGYSDATGQAHLAGKLFFDTFLFLDMFAVATSVVAVILLVYGKTSRSAVGSFKSFAWALQCMWVSLMTLMLAFYVALVAVVTTSAVSQYGLMAIEACIFALQICVTMWIMPAKRGGHDLEVYKTAHQSRERQECDHEAAVSSRWRFRTPLLSVYSYN >Sspon.04G0005670-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:15754550:15757024:1 gene:Sspon.04G0005670-3C transcript:Sspon.04G0005670-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At1g31920 [Source:Projected from Arabidopsis thaliana (AT1G31920) UniProtKB/Swiss-Prot;Acc:Q9C6T2] MVGGLVLSPAQTHQVATPRTAAPAPAQAFEKRPRDQAQPCGTVAAVSVRSLEDVRKVHARHIKLALDRCPRHTRPLLAACALSGWPGGMELAASIFESLVEPETFDYNTLMRGHVDGGRGDREPASALRLYVDMLEAGVGPDSYTFPFILKACAQLAALQEGRQLQAHIVKLGFQHDEHVQNSLISFYGKCGEPAMARLAFNRVEAEERTTASWSALLAAYTKAGLWGECLESFGAMVLDGWRPDESSMVSVLSACAHLGAFDVGRSIHCALLRNTARLNTIMHTSLVDMYAKCGSIEKAAAVFDAMGDKNAWTYSAMLSGLALHGDGRKALQVFDAMVREGHAPDSAAYVGVLNACSRAGLLEDGLRCFDRMRLEHKVAPNAQHYGCMVDLMARAGRLDDARALIGSMPTGPTDTAWRSLLNACRIHGDLDLAERALEELRRLGAANAGDYVIVADMHARAKNWAAAAALRTEAVDWGLAQSPGFSAVEVRGELHRFVSQDMSHPRTRDIYEMLHQMEWQLRFDGYKPDTSEVAMELGEEEKRRVVAAQSQKLAMAFGLLSTPEGASVRVVTNLRMSKECHAYSALISEIFGREIVIRDRNRLHRFRRGACSCRDYW >Sspon.05G0012860-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5A:40496204:40499886:1 gene:Sspon.05G0012860-1A transcript:Sspon.05G0012860-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calmodulin-binding transcription activator 4 [Source:Projected from Arabidopsis thaliana (AT1G67310) UniProtKB/Swiss-Prot;Acc:Q9FYG2] MTCVFAQQSDVEYQKNSEYHPPERLDSSDLRIQLSAAKRFLLGPEATIDSPSLNSVLRNRVNSVTDTISAYDSRFESSLNPDWQTKTAFTFQSNSQDSEIAELFDHGQFEPYSREDTTFALGQTIKFNIREISPEWAFSYEITKVIITGDFLCDPSNLCWAVMFGDSEVPVEIVQPGVLRCHTPLHSSGNLRICITSGNREVCSEFKDFEFRSKPTSSSFTDIAPSSRHLKSCEELLLLAKFARMLLSGNGSPEVPDGDPQSGQCPKLKMNEELWDRLIDELKVGCENPLSSVDWILEELLKSKLQQWLSVKLRGFNGTDSLPKHEQGIIHLISALGYEWALSSVLSAGVGLNFRDSNGWTALHWAAYFGREKMVAALLAAGASATAVTDPTAQDPVGKTAAFVASERGHTGLAGYLSEVSLTSYLASLTIEESDVSKGSAKVEAERAVESISQRSAQLHGGTEDELSMKDSLAAVRNAAQAAARIQNAFRAFSFRKRQQKTARLRDEYGMTQEDIDELAAASRLYHQAHASSGQFYDKAAVSIQKKYKGWKGRKHFLNMRRNAVKIQAHVRGHQVRKKYRTIVSTVSVLEKVILRWRRKGHGLRGFRAEQQPMVEAIEEDDEEDDDFDDDEAVKVFRRQKVDQAVKEAVSRVMSMVDSTEARMQYRRMLEEFRQATAELEGSNEVTSIFDSDLELLGINNFM >Sspon.07G0017500-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7A:62788346:62788792:1 gene:Sspon.07G0017500-1A transcript:Sspon.07G0017500-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSRAAVSPTHHLHLALSLVMLPAVEVATPASRGQWRSSCGRRWSSCVASRSGEPHPERTTSSGGSGEPHPKWAAGSVKSGEPHPRAADPCNNTPSPPQRRIRAPSYQRGPCSRLPARPTSAAPASASRHGLSYCRCRIFYILLLLCI >Sspon.07G0030960-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7C:15049834:15051353:-1 gene:Sspon.07G0030960-1C transcript:Sspon.07G0030960-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSRGSRGERRHLLDPPLLLLMQQLEARRRQGRAEEVMGRSRAMAGVLFGGEEDGWRYSEEPQETAKHHNRLGPAGLALHYANIINHIDNIVSRSCAMPPNARDTLYHSLPPTVKSALRSKLQSFEVKEELTASRIKAEMEKILRWLVPFASNTNK >Sspon.04G0019010-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:73291485:73294309:1 gene:Sspon.04G0019010-2C transcript:Sspon.04G0019010-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSPSSANLLALLRRNAATPAVALRLFLHLSSAACPPPPRSTSFLARLVAAHPAADALLPRLHRHILSFPDPSPHLLALLSCSDVLPLHLAIPAFRSLRALASAPPPPTPVYNRLILAALRESRLDLVEALYKDLLLAGAQPDVFTRNLLLQALCDAGRMELAQRVFNAMPARNEFSFGILARGYCRAGRSIDALKVLDGMPSMNLVVCNTVVSGFCKEGLVEEAERLVERMRVQGLAPNVVTFNARISALCKAGRVLDAYRIFKDMQEDWQHGLPRPDQVTFDVMLSGFCDAGFVDEARVLVDIMRCGGFLRRVESYNRWLSGLVRNRRVSEAQELLREMAHEGIQPNSYTYNIIVSGLCKEGKAFDARRVENFIRSGVMSPDVVTYTNLLHAYCSKGNIAAANRILDEMAQKGCAPNSFTYNVLLQSLWRAGRTTEAERLLERMSEKGYSLDTAGCNIIIDGLCRNSRLDVAMGIVDGMWEEGSTAFGRLGNSFLSVVSDSSICQRCLPDRITYSILISALCKEGRFDEAKKKLLEMIVKDISPDSVIYDTFIHGYCKHGKTSLAIKVLRDMEKKGCNPSTRTYNLLIRGFEEKHKSDEIMKLMSEMKEKGIAPNVMTYNSLIKSFCERGMLNKAMPLLDEMLENELVPNITSFDLLIKAFCKTTDFPSAQMVFDAALRTCGQKEVLYSLMCTELSTYGKWIEAKNILEIALEMRVSIQSFPYKQIISGLCEVGEVDHAHSLLKLLIAKRHLFDPAAFMPVIDALGDRGKKQDVDMLSAKMMEMADRSDGLELTLITPGSCKHEHDRNGESDWRTLLHRDDSAHTIMKITKRVRTGWGQRGNVYEHKQQQSDDFY >Sspon.02G0033200-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:5433815:5437602:1 gene:Sspon.02G0033200-1B transcript:Sspon.02G0033200-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSPADGAAYWLRWQVLVCGALIALPTAAAAALLPRLRRAAPPLRAADLWVPCWARLHPGWLLAYRAFALAAAVALLARLLVAKGLAVFYFYTQWTFLFSHHIFRAISAHGCWVYSRKSLGKAHESHVFLNADVENLDVSNSISGERKKDETNMMVSYYEQIVNERRTGFWGRCMQIIYQTSAGATMLTDVTFWGLLVPFFYRDKFGLALVTDGMHSLNAVFLLIDTVLNNMPFPWYRMAFFVFWSCAYVTFQWVLHACGGLSWWPYPFLDLSSSGAPLWYLGMAIAHIPCFFLYWFIVKAKHTYFPRMFPHAYVRTI >Sspon.06G0016770-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:91171402:91172992:1 gene:Sspon.06G0016770-1A transcript:Sspon.06G0016770-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCVPDHEFAMREVAQAYELIKSQQPLLQFCDEQPSSATTTNLVQRLLNEALQALRLALSVMDPQLPAAVTGHHSSSTTANRPHLLRQKSSAGAGDSEGVMSTMTRGKRRRNYFRCSYKYDRGCQATKQIQQHNNSDPPMFQVTYNSEHTCNHTTTSNKYNNDNDLPHLSYSNAEGIVTIPTSHAMKEQEQGLLSSLVEVSTLCLDSMPTEDPFCFSSHYTLNPYQADDLVMEMSNIPCVRSDGYLDIGPMALSVETLEDTPFNDLKLDELFDSSWIDN >Sspon.02G0029510-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:107407545:107409151:1 gene:Sspon.02G0029510-1A transcript:Sspon.02G0029510-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLWSLFLLPLLCLPARVRSEDYSDVTVIVRGSETIASTSDEFVCATIDWWPPEKCNYDQCPWGRASVLNLDLTNTLLAKAIQAFSPLRIRVGGSLQDQVLYGTPNLGLSCDPFTKVSSGLFGFSQGCITLERWDDINDLFLNTGAVVTFGLNALQGRQQTRKGVWGGPWNSSNAREFIEYTVSKNYPIDSWEFGNELSGSGIGASVSAEQYGKDLVELQTIINELYGDSSKPLVVAPGGFYDQKWFAQLLDVSGPNVLNAMTHHIYNLGAGESFQILFWLYSLRNFCFMSYIEGTQLC >Sspon.03G0028160-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:8306205:8307634:-1 gene:Sspon.03G0028160-1B transcript:Sspon.03G0028160-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKIPRLLMGRGSFAKVARNVGTEEEVAIKIMDKDHLSKLGAVQQQIMREIDIMRRVCHPHIVRIHEVMATRKSIFIVMEFVGGSTLNAHLASARRVFQQLVSALDYCHSLGVYHRDIKPDNILVDATGNIKVTDFGLSVLAGTAQREALLHTICGDAHADVWARGVILFALVAGRYPFNHKDTSLYHMIRRCDYHCLPWFSTGLVGLVRRILCPDPVRRIAIPQMKENLWFKKDFKEIPRSLNEPELRDSDSDSDDEAMASSTSSGDPASPMACPMHTSVSAPSLTTLKSTGSVAVQAEPCMRRPKSLNAFDIIASSPSLDLSGLFHEPSEQMRFVSAAPVSKIFSKLEEIAGHVSFTARTKEYQVSIEETRNENQGVLLISAKIFKLTPELVMVKICKKAGDTTQYRQFCNNELKPGLRGLVDGLSKDNAECMASISG >Sspon.06G0020320-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6B:8600886:8601197:-1 gene:Sspon.06G0020320-1B transcript:Sspon.06G0020320-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQIDPSRCALPPWERALDLQAPPAASEDVGIPGIAPCLGFYLRALYNRLPSHPAAISAFPSPRGIQPPPRDEELGDSQLSREDGNYPSGPSRFPSPRSCLRH >Sspon.03G0023790-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:91113398:91114564:-1 gene:Sspon.03G0023790-3C transcript:Sspon.03G0023790-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRGSLLAVAATLLVAVVAAAVPPTCERIECPAYEVVDSANGFEIRRYTDAMWITTAPIEDISFVAATRTGFLHDGPFCASSFAVSFYVPTKNQADPPPAEGLRVDPWAGARYAAVRRFGGFVADDDVGEQAAQLDASLQGTRWAAAVNDARRADPASPYTVAQYNSPFEFSGRVNEIWMLFDDATAAATS >Sspon.03G0002880-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:7284520:7286557:1 gene:Sspon.03G0002880-2P transcript:Sspon.03G0002880-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGARHAGLRRGQAAAAVFSACPFLAFAVLLALPGLAAADTHYYTFNVQMTNVTRVCVTKSIPTVNGQFPGPKVVVREGDRLVVKVHNHINYNVSFHWHGVRQLRNGWADGPAYITQCPIQGGQSYVYDFTITGQRGTLWWHAHFSWLRVHLYGPLVILPKRGEGYPFPRPYKEVPILFGEWFNADTEAVINQALQTGAGPNVSDAYTFNGLPGPTYNCSSKDTYKLKVKPGRTYMLRLINSALNDELFFGVANHTLTVVEADANYVKPFTVNTLVISPGQTMNVLLTTPPNPASPAYAMAIAPYTNTQGTFDNTTAAAVLEYAPTTLPPLPLPLPALPLYNDTGAVANFSRNFRSLNSARYPASVPAAVDRHLLFTVGLGTDPCPSNQTCQGPNGTKFAASINNNSFFQPRTALLEAHYRRRYAGVLLADFPTTPPHPFNYTGTPPNNTFVQHGTRVVPLGFNTSVELVLQGTSIQGAESHPLHLHGYDFFVVGQGFGNFDPVNDPPKYNLADPVERNTIS >Sspon.04G0006880-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4A:19653798:19655075:1 gene:Sspon.04G0006880-1A transcript:Sspon.04G0006880-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSYTILFGALVVLALLVSPIACSRKLAKPNKPKPTHKPAARVTPRSNYTATPSVSDAYGSGGWLSAGATYYGAPNGDGSDGGACGYQTAVGQRPFSSMIAAGSPSLFKGGKGCGACYESVTVEWSALGLTECVHVPPVTPQVKCDSNAACSSQPATVVITDECPGGVCLAEAAHFDMSGTSMGAMAKPGMADRLRAAGILKIQYRRVPCKYSGVNIAFRVDQGSNPFYFEVLVEFEDGDGDLSAVDLMEAGCGTWTPMVQNWGALWRYNSNTGKALKAPFSLRLTSDSGKVLVANNVIPAGWNPGATYRSL >Sspon.07G0016650-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:59392057:59394405:1 gene:Sspon.07G0016650-1A transcript:Sspon.07G0016650-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMASTATAAASPRLAFPLLSAPASSSSSSNTLRFPLRRRRASRPLAVAAFKKLSEASPVPIPQEPTQPLVDEDALPPKPGVYGVYDPAGELQFVGISRNVRASIEGHRRKVPANLCASVKVAVSDEETPDRAALNNAWKSWMQEHIEATGKAPPGNVAGNNTWVGAPQRPPDLRLTPGRHVQLTVPLEQLIDRLVKENKVVAFIKGSRSAPQCGFSQRVVGILEAHGADFVTVDVLDEEHNHGLRETLKTYSNWPTFPQIFIGGELVGGCDIISSMAEKGELAALFQK >Sspon.01G0030210-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1A:105043866:105047104:1 gene:Sspon.01G0030210-1A transcript:Sspon.01G0030210-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKIFERLNIIAPSPKQQQSVTPNASNSMSKKPVLQDAGPSSMYNQSSSLKFQDLDGANGPLDTNLNGSLLKKDKLNIIKDVPPKAAFSDRPTLLGNSVSASKSLTPGFKMAVIEDSPELDDDLEVPIPSSKIEVETTEQKIDSNRKEQKVEQNISEQRVEPNLKKNIAGSPVSEQPVASLSKTVPSSGGLLLSNDPGKAVPNASVDNNTGFAFSNAPPGTRPATGVSAMPLASVKDDKQTGASNSIFGIKQSIASDSETSTVKNKSTLGQSVTKPTTLPSTSPERGDKTEKAEDVAKSSDKVLPSAASTTLNAPFRFTSAASTSSLSNGFSYLPPKLETAPPTDKPAISSAASTSIFAVPSSSPAISSSPAFTAFSFSSSAPVGSSVATSAKSDGTTAENKAASTISFGIGGAKDEVKPVAPDATSKPSSKLFTSPVSSSIASFSSSPVTSTPSFSPVAASSDAAGIPMAAPSSTSTAPGLQSASTPSFTFPSSGNSLFGFSSPAQSTGLSTSSVAGSTSQPSAASTLFGSKPTQSEGTMQQPSQSSKPQSGSPFPAMTPGVGASSSGSGTLSFGLGASSTGSGTISFGVGASSSAPGTSSAFGAAAHSSGPGVFSFGAGASSSGSGTVPFGVGAASSGPGAMSFGSGATSSGPGAMSFGAGASSSGPGIVSSGAGASSSGPGTVSFGAGVSSGPGTVSFGATTSTSGAGFGNSPFGTGATFANPFSSSSSTGFKFSSPSSSAGPSTVASTSVFASTSTASSASAFSNPFGSSSSPPSTFTFGQSASSGSGFAFGAQPAPTFSSQPSSVFSFTSANTSMNSSPQPSFGMTNTNTAFGMASPGNDQMNEDSMADDTSQAAPAPAPIFGSSSFGQQNMSPAAPVFGAPAVQPAGVFQFGGQQGSAQQNPSFPAAGSLEFQGGNFSLGSGGGGGDKSNRRVIK >Sspon.06G0025080-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:57419846:57420776:1 gene:Sspon.06G0025080-2D transcript:Sspon.06G0025080-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding WPGFTTCLSSPPPSSCYCCYPSSQQPQQQRRRSQTSGSACSTPPRRRRGGWTAGRRRSSWTPSTLDRARLSRRMICRTTSLTPRSLRSMTTSRIFR >Sspon.01G0035660-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:12816860:12818561:1 gene:Sspon.01G0035660-1B transcript:Sspon.01G0035660-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKQHDASDDGGIPAAEAPAKRPPLNKYALACAVLASMNSILLGYDVSVMSGAQLYMKQDLKISDTKIEILAGIINIFSLVGSLAAGRTSDWIGRRYTMVLAAAIFFAGALIMGLAPGYGILMLGRFVAGVGVGYALMIAPVYTAEVAPTSARGLLTSFPEVFINTGLLLGYVSNYAFHGLPVHLSWRVMFLVGAVPPLFLALGVLAMPESPRWLVMQGRIGDARRVLAKTSDSPAEAEERLADIKKAIGIPEGVGDNDDDDVVVVPRRSKQGSHGEGVWRDLLIRPTPPVRRILIACLGLQFFQQASGIDSVVLYSPRVFDKAGLTSDDNSLGATMAVGASKTLFILVATFFLDRVGRRPLLLTSAGGMVVSLVTLASALHAIDRLPEGHATPLAGVSIAAVLTFVASFSIGMGPIAWVYSSEIFPLRLRAQGCALGTAMNRIMSGAITMSFISLYKAITLAGSFYLYAGIAAAGWIFMFFFLPETRGRSLEDTEKLFGGGEDKEDGHDVHKKSTELSSSQQ >Sspon.04G0028570-1P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4D:71451760:71454314:-1 gene:Sspon.04G0028570-1P transcript:Sspon.04G0028570-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTADGAAEWQTLSFRFRSPKRPFFPPSVLCFAASPVSKSLVDCGRRRGRSSSHESRSAERERQRERCPSLPAERRMKKCPSELELEAFLRCREDATAAAVAAGVQKPVHDVAALAPFAAGGVFPPSDLSAFSFADSNTLNGSIHNHLWSHNHNVRHPAVSTTIESQSSISAAASPTSATNLYLKESQTLGGTSGSDSDSESLFDIEGSPCEQSTNPQDVKRMRRMVSNRESARRSRKRKQAHLADLETQVDQLRGENASLFKQLTDANQQFTTAVTDHRILKSDVEALRVKVKLAEDMVARGALSCGLGSLGLSPVLNPRQACRGPDVLSGLDFPGDDACFTGLSPTEQLQNSPLQSIASLESLENRMVSEVTSCGGPGVDVWPWDHSNGGLSK >Sspon.05G0007220-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:24272525:24282631:1 gene:Sspon.05G0007220-3D transcript:Sspon.05G0007220-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCFLACFGGDADRRRRRRRRKSRKQSPARSPPRSIHVRALTDSGILSCARPVVAVAREAADVVVKEVSPPLRGARPSTLAEAVEVPDEVVGEAADVAANDASLLSKEVKASTTPSAVAAADEAVTDASPVEELRDLSEQKVLEKQAAPSLSPVKCSPIVPAVVSPQDSVECSPVAAVVVSALDSDLSDAVYMIVTVNKPSFMLCREVNEHGSQSSGKKKVTFDMNVTTYENAVPPDQEEEPPKEDENYVQNIVVLPENHRYQNCFDSDDDVGDEYAEDDVYGDDSDEDEEDFLDCKIDLVDEEEIRTEENKQESHESVFSLSMSNDQQNDQEVVSPAPKSSGTSVEAESPLITTKKLRDRCQYVHPVLNPVQNLSQWKEVKSLKAQPVHDKMLDKENVSLVPDVGPSHYCNSASHTRMNPSMSSNKEVSLDASLSTWLVSSENSTVDKVQSKSPCSNSSVNREERPILGALTVDDLKQSSAASSPQRSPRTNREGAPILGTVGSYWHCTEQNNEYCSSGSNSGTNGIPNSTSKYREDKRVNWHSTPFNRLRHSTAIMHRPMLLPLITMEPLRALITPRHLRSHRVLMVMATMVLTVHRRQVIMVTTVTMHQHRRQVITVIIAITHQRRHQVIKSIVPQHRYMPTTNRLRLRNYIILTITLQCPLLFMVARPRLWHSTTIMHMPMLLPLITMQPLRALIMPWHLRSHRVLMVIATMVLTMHRCQVIMVIVSTTHQHRRQVIMVTTVTTHQHRHQVITVIIAITHLQRRQVIKNIVPQHRYMPTTNRLRLRNYIILVITLQCPLLFMVARP >Sspon.08G0006700-2P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8D:17668455:17670770:-1 gene:Sspon.08G0006700-2P transcript:Sspon.08G0006700-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIEALKYISYPAQVLAKSSKMIPVMLMGTLLYGVKYTLPEYFCTFLVAGGVSSFALLKTSSKTIKKLANPNAPLGYTLCFLNLAFDGYTN >Sspon.01G0000500-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:927816:928917:1 gene:Sspon.01G0000500-2B transcript:Sspon.01G0000500-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEMEWKQHNDLLHIALARSACVTACKAAALACEMMSERNLRLARRRALPPAIAVVVVLLLGHHHPRALVQAQPSPGYFPSSTVRSTAFSEDYDNLWGPQHQTLSQDKMALTLLMDRTSGSGFKSKRSYRNGYFGVSIKVQPGYTAGVNTAFYLSNNELYPGKHDEIDMELLGTVPGEPYTLQTNVYVRGTGDGARLVGREMRFHLWFDPAADFHHYAILWNPDEIVFLVDDVPVRRYAASAAGAAAFPDREMWAYGSIWDASDWATDGGRYRADYRYQPSWSACSWSG >Sspon.05G0030540-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:91157208:91162898:-1 gene:Sspon.05G0030540-1B transcript:Sspon.05G0030540-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDISECSPVPESVPAHPDPASVSPDAWRRFETAALAVVNKIQPTAASEQLRAAVIAYVQRLFWFQARYQVFPFGSVPLKTYLPDGDIDLTLFGPAISDENLANEVCAILKSEERRKDSEFEVKDVHYVPAEVKLVKCLVQNIVVDISVNQIGGLCTLCFLEKVDQNFGKNHLFKRSIMLVKDWCYYESRILGAHHGLISTYALETLVLYIFHIFHKSLDGPLAVLYRFLDYYSKFDWDNKGISLFGPVSLSSLPELVSKMLSICDCNYWSLTCFCWTADPPDTQDDDFLQREEFLKECTESFSVLPRNSETNPRVFSRRFLNIVDPLKQSNNLGRSVSKGNFYRIRSAFDFGARKLGKILQVPSCLTVSEVNQFFRNTLKRNRTGLRPDVWVSSSDLVTNDPVSSVLDVERVNKVTPNNSCDVLSNQLSNINISDSNNHGSMADHKEIKSVSWGLLDSDATSHTATDSVSMRGGGDFSEASPTPSETCTLQSEPARRLITDTIPSYHGVSAKQFTGRSHHYIEDAKHNAYSYSTGLIDGLGTSNSVLTSDTQPGGTTNDTVPNLTGDFDTNLHNLLYARGFHQDNPTTQLYYPMPMPPPLQYQNMHPSNGHGRKNPYGYAGRNGVVPGPVYSPGYFVYRPLYQADDHMAMRARGTGTYFPDPNLRKERPPTGRGERGRNHSHQNNYQKFHHHGRPDMPVDMIPFEELRHEPPLQIYAPSANDHGIHLQ >Sspon.06G0013260-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:57309669:57314140:-1 gene:Sspon.06G0013260-2B transcript:Sspon.06G0013260-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWAKNIEICELVAQDPGKAKDVIKSIKKSIGSRSKRTQLFAVMLLEMLLNNCGEPIHRQVIDNGLLPILVKIVKKKTDLPVREKIFLLLDATQTSLGGAKAKFPQYYGAYYELVSAGVQFSNRPNVVVTRAEVPVPEPRTEPNNESLATRLNEAQQEVHTQPAPDASIVQKASSVMEVLRDVLNSMDPRHPEGATDEFVLDLVEQCTFQKQRIMHLVMTSRDETVVSQAIELNEELHKVLVRHDALLSVHPTTTVPSNIKEEAEEEDAESLYRRLRKGKALSQDYTDDSVPSFRSMPEDKLRRPLTIQSPHPDKRLGALNIRSPDREEPRHDPAPLIPPPPAKHAERERFFREKSIDGVASLPGHLRGLSQHSRDGSSSCSGSTDYGD >Sspon.03G0009690-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:31140568:31141397:1 gene:Sspon.03G0009690-4D transcript:Sspon.03G0009690-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DAARGGALHVRAALRQLRRRLLAAVRRRGGGPLLQALRRRRRGGLQRRAVRGLRGLHALARHAIHAPRRGRGGSCARRGGRRPALGGALRSQLQRQAAGDARRRGGRPPVRQLRHHLHAALEERAARTQVALQRVRDPVQEGGAARGGRGGGAGGGGGGRRGGLRLVRVRAAAAAVGLLRAGGRGEGGVLRHVRRRRGRGRRRAVPAVGARRHAVVAGVRDCAGDAEPVPVLL >Sspon.01G0030200-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1A:104916486:104930396:-1 gene:Sspon.01G0030200-1A transcript:Sspon.01G0030200-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RTPPSIWHLTPDCPNNFENDCPN >Sspon.08G0006660-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:20634915:20636096:-1 gene:Sspon.08G0006660-1A transcript:Sspon.08G0006660-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGELIPGLPEEVARECLVRVGFDQLPVVRRISRQWKSEVESPDYHRQRRAEGLARPVLALVQAQPTAPPADDGAGGPVDKHSTAAGSGGPANSYRMVLLDPVEGRWAPLPPLPWPSESLPLFCQVAAVDGGGGQGQGRKRLVVVGGWHPETWAPTDAVFVYDFLTGAWRRGAPMPGSRRSFFACAAVGGAVYVAGGHDDEKNALRSALAYDPEADAWAQLPDMAEERDEPRGLCAAAGGSSRFLVVGGYPTQAQGRFVGSAECFDPATSTWAPVDLMIEDGVCPRTCCAAPGPGPSERMYMLSRDGHLVARDGAAAASAWRPVAQVPEDARTAATVSAIPDGRVVVIGSGCHGGDQTVYVLREEPGKGASSWTRAPAPPEFSGHVQASCFLEI >Sspon.08G0028680-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8D:15152303:15154981:-1 gene:Sspon.08G0028680-1D transcript:Sspon.08G0028680-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVILPAVPLPSNTTIAPRPGPGLLRPRSRPGPGLLRSAPDLSRARARESRSWSPLLLHVLANAQRVRLVAVLEQRLELLEASESPMFGVARLRRRWSLSGREGLGSSLPPTEPARRDSGVFEELKRLFSTTPEVGNQMEANGGHSGDRTLDQTRSLFDRTHPVSVQRLRVSQRFDRTRSASGHDRPDASGRSGSLLDSNRTLALWRPRVRSGTGPARPVEPSASGPRDQRVRSVVRESSRCVIGASGQLDQRIRSSRLRLFQVPNGYIRRVWRSVRASGHSEEGFGDSILGRLPREPGGAAAAATCNPSPAGRHRSRHARLLFFFGKKWNNNGGGGNRTESVPYPAAMRRRAHRVRLREKPREGGKPGITHRIVVIGSGQGVLLAFDFDGVEFLCAISRVHNGWRLSAGDVLLGGPSIIRSGQL >Sspon.02G0037930-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:42248498:42252907:-1 gene:Sspon.02G0037930-2C transcript:Sspon.02G0037930-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MCFQTGKWEWKFENKPVNIYYEEHEQEVADNVKNILMIPTISDVSTVEEWRVVAKDIVGRKGELGYRATIVDWPGLGYSDRPSLNYNADVMESFLVELINSPNSPVANADDELVIVGGGHAATIAIRAAGKGLIRLSAVAAVAPTWAGPLPIVFGRGSDMETRYGLLRGTLRAPALGWMMYNVLVSNEKSIQSQYKSHVYANPDNVTPDIIESRYELTKRKGARFVPAAFLTGLLDPVQSREEFLQLFAKLDGDVPVLVVSTLNAPKRSKAEMEALKGSKGVTKFVEVAGALLPQEEYPLAVAEELYSFLQESLATRR >Sspon.08G0024890-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:3196169:3197515:1 gene:Sspon.08G0024890-2D transcript:Sspon.08G0024890-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLPAFTVRRGDAVLVAPSSPTPRETKPLSDIDDSEGMRFYSSGIHLYRANPAKAGQDPARVIREALAQVLVPYYPLAGRLREVEGRKLVVDCAAQGVLFAEADADLTADDFGDVQSPPFPCFEQFILESTTVAGVEPVVDRPLLYVQVTRLKCGGFIFGQRFCHCIVDAPGGMQFEKAVCEIACGAAAPSVEPAWGREMFMARNPPRPAYPHLEYREPAGGVGVDRMLTTPAADMARVPFFFGAREIAGLRQRAPPGMRCSRFELVAACIWRSRTAALGYAPDEEVRLSFIVNARGRPDIPLPEGFYGNAFAYSVAATTAGELCGGDLGFALELVKKAKSAVTYDYLLSVADLMVLTGRPLFEVSRTYIVSDVSHAGFKSVDFGWGEAVYGGPAKGGEGPLPGVTNYFSRAKNGKGEEGTVVPICLPKDAMEKFQLEVEGLTAEL >Sspon.02G0018440-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:59243832:59244797:-1 gene:Sspon.02G0018440-1A transcript:Sspon.02G0018440-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRYEVEVTVGSARDLKNVNWRNGDLKPYAVVWIDSGARCSTRVDLDNGENPVWDEKLLVPLPPSSRLDDAVLYIDVVHANAAEGVKPLVGSARLPLRDVLDDAGIGGKASRNLRLKRPSGRPHGRLDVRVAVKEPSRYYDPNPYPAPAGYANAGTRGDPYGSSAAAAAGGGYYGSGGGGYGGSGGGYGYGAAQPYTAAPPAGYPSTYGSAPPPPQPAYGAPPAAAYGAPPVAATAGYGTSAVGADGKKKNKMGMGTGLAVGAAAGVLGGLALAGGASYLEDKFEDRVSERVEENLEREDSYGGGGYGGGYDDYGGDDDY >Sspon.01G0032230-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:110215986:110221109:-1 gene:Sspon.01G0032230-3D transcript:Sspon.01G0032230-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVSSRKKQAPPSHQQQREEAEDQGSPATAEAMDAAAAAVQEAEAGCSGKAQEVEAGAEGRTVVVGVRSPADAESRALLTWVLVNVAAPLDRVVAVHVVLASAAEAAAAVDFEAMLGVYEGFCNLKQINLKLKICKDSSVRKALVREASLFGAAKVVLGVAKKRRAISSSHSVANYCAKKLSAKCAVLAVSNGKIEFRRESSVHSGKVSAEVLPCIDDEMYCVVPFQARQAKGGTLPLQEPKDGGEGDTTRDVGTKGSELEDTIKDEQLVSSVVPADLSTGQAEIDADPSSSMAEEPVVDQRDEIAELPGKGASVLYCVLPGRSSDSVASTSSRQDHDSVDLPSEGAGELYCLLPPRNGHSVRSTGDSKRSNASQKDDKPALPSLEGDGDLYCQLPRSGRPGRSSGGSKRSVGIRGMIRRSSSFSSDINLNSETSPIKKDGSVSMTTTERSSSAVSTEAEDSPKGTARNAETPSSSPMSLRRMIERRPDRCHLHRRIFRHHRSSSLEWAKYSMVQWAMRLPSRYSSVHPDGKSLKSDASPRLNCDSETESTTAVEPVSMFSFAFYDVVWPPSELESLQEKYSSVCRLFSYEELKLATANYSPDMLIGKGGTSQVFKARLDDGTFSAIKILKPSVDAIHEFVTEIEIVTSLQHENIVALRGFSFENYNLVLAYEYMPQGSLDKALHGKNDDTDFLIWERRIKIAIDIARALEFLHLGGVTQSVIHGDVKSSNILLSEDFGARLCDFGLAKRVSASTPHLTCTDITGTFGYMAPEYFSYGKVNEKIDIYAFGVVLLEIISGRRPITPGSAKGQESLVGWAKPLLSSGEIKQLVDPFLGNDYDCDEMERMTLAASLCTRTSSHSRPEMSLVLKLLQGDDETINWARAQVTASFDGSDEEVAAAAPDVNMQSYLNLALLGVEEDDTLSHCSSTERTVDTSADGYWSRSSSFD >Sspon.08G0026740-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8C:31615368:31617196:1 gene:Sspon.08G0026740-1C transcript:Sspon.08G0026740-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGADPRARPGCVRSVPPLAWGRCGFRVRSGDGGSGFPRAAGLRSIRPPTRVGTLRVLRFSLAWFGGGSGGGLESFSGENLSCTVKSSSPQVVPADPAGAWWSPHPAAPAALAGGSPHPHPGIASHPGASSSASRRRAPSSAPPALAVAAAHLGTCISGWSCPPRHTAPSHHLRPRRSRVVRAASRAWRRRHRGRPRLVRVFTRAQVGARPWAKKPWLVSCSKQAALASPYARSPQRRQADPSSVPTAAFSR >Sspon.04G0010050-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:31872364:31884347:-1 gene:Sspon.04G0010050-4D transcript:Sspon.04G0010050-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQMAATTTDSQAAVPPHHPHAHPHVPPQHAHPHHHMPQPRWVVIPYPPPPPMVAAPPPPPPPQFAKHFAAGPPQAAAGRRTPTPPAAGSGGNGCEENKTIWVGDLQYWMDENYLHSCFGPSGEVITIKVIRNRQTGQSEGYGFVEFFSHASAEKALQSFTGHVMPNTDRAFKLNWASYSMGEKRSEVASDHSIFVGDLAADVTDEMLLELFSSKYRSVKGAKVIIDANTGRSRGYGFVRFGDDNDKSHAMSEMNGANSYRTRDSQKIFSFSELDDYCTQEILAPRLLVIQMEILLIERTDAEEALQGLNGSLIGKQAVRLSWGRSPSHKQSRGDSGNRRNMYFGTPFYGGYGYASPVPHPNMYAAAYGAYPMYGNQQLVS >Sspon.02G0045180-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2C:106100108:106101331:-1 gene:Sspon.02G0045180-2C transcript:Sspon.02G0045180-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MERRCKNWEPVASEEPRCVVHDVPDDLLRLILLHLDSPLWLVRAVCACKRWRRVVDGGDGGRAFLRLARSLHPPVVVGHYNNYVGGGHRFVPSSPTPIRLSSIDFFPQEIAGKSPAHHAIDRWQVADCHGGLVLLREGSEGVVSDLVVCDPLSCRYRDIHHPPNQTSIGPRVFLLDGGDDGNISLSNFRVLYCSYSYPDDVFKVYSFSVTDGAEWRLVRQSSTTPALNHDKLFCSYISMGRVIGRIDRSLYLDSPGGSVRVLDNANARLELSEVDLPTRVRKSGLDYTAFAVVHGTSPEPTASPATAYIVHVCGEDLEVFRQIPSSGEWVLEHSIPRLSEATRGLQGHPEPSRWRAVDVIAAGTRLAALRVYLSCGSWLFSLDVDTMQLQVVPEHDVAAYPSRAMDPK >Sspon.05G0005240-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:18711017:18713877:-1 gene:Sspon.05G0005240-4D transcript:Sspon.05G0005240-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDTSFKASGAKRKKAGGAKRGLTPFFVFLAEFRPQYLEKYPELKGVKEVSKAAGEKWRSMSDEEKAKYGSSKKQDDKASKKESTSSKKAKTDGREGEKAAKSEVEDDDEQDGNEDEE >Sspon.05G0008360-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:19553070:19562432:1 gene:Sspon.05G0008360-2B transcript:Sspon.05G0008360-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MELRLVAQTTEHAGWRSQVYSKPGKVGGGLVKEETNFASDRQRGEAAAVRGIKADGSRGGPTEAATELLPPTRSPLGARSARNLAAGGSTPSSRRNSFNSLSRDLDLPSEQGCLSIVVLGASGDLAKKKTFPALYHLFDQGFIQSGEVHIFGYARSNLSDDGLRERIRGYLKGAPEDLSEFLQLIKYVSGSYDTGEGFEKLNKAISEYEASNKSGSYRRLFYLALPPSVYPSVCKMIRTYCMNPSSQPGWTRVIVEKPFGKDLDSAEELSAQLGELFEEHQLFRIDHYLGKELVQNLLVLRFANRLFLPLWNRDNIDNIQIVFREDFGTEGRGGYFDQYGIIRDIIQNHLLQVFCLIAMEKPVSLKPEHIRDEKVKVLQSVNPIKPEEVVLGQYDGYKDDPTVPDDSNTPTFASVVLRVHNERWEGVPFILKAGKALNSRKAEVRVQFKDVPGDIFRSKKQRRNEFVIRLQPSEAMYMKLTVKKPGLEMATEQSELDLSYGMRYQNIKIPEAYERLILDTIRGDQQHFVRRDELKYLLSRFDFSGKGNGEKDEETDEKKRLHVVSKLHAILRPFLLRRMKEDVEQLLPRKKEIIIYANMTEHQKQIQRHLVEKTFDNYLNEESDIILKRPGIKARLHNLMIQLRKNCNHPDLLEAQVDSTGLYPPVEKILDNVVLVFSQWTKVLDIIEYYLDSKGHDVCRIDGSVKLEERRRQIAEFNDLNSSMRFFLLSTRAGGLGINLTSADTCILYDSDWNPQMDLQAMDRCHRIGQTRPVHGRIIKKAFGKLKLEHVVIGKGQFEQDRAKPNVLNEGELLALLKDEQDEEDRMIQTDISDEDLLKLMDRSDLSGPPGGANARLLSR >Sspon.02G0037360-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:32789329:32792572:1 gene:Sspon.02G0037360-1B transcript:Sspon.02G0037360-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRCRFGLLVFGPVSTMVPSSNISVQKTLYEVLSVSEDATYDEIRAAYKSAALNTHPDKARATLESSVPSSEQQEFSSVQKAWEILRHPTSRADYDKQLQSSRQNIEIIASEIEVGDMIIESTADTLELLYPCRCGDYFSITSCELSEMGIFVSGDGEVELPASDSASASVVLGCGSCSLKTRLM >Sspon.01G0048130-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:107307335:107308002:1 gene:Sspon.01G0048130-1B transcript:Sspon.01G0048130-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVQIARKVGTIQVWSKIQVYDEEGVDEIWSAVYQGLWGIYLRIPSWLYMGRTIRGGLAHKIKACTARLQ >Sspon.01G0053800-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:43157917:43162052:-1 gene:Sspon.01G0053800-2D transcript:Sspon.01G0053800-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVQVEANHGAGSPPWKLYHNPHYAPHDTPHHHLSSCCSPSSCQARNRKDPEPPMIIEPDGDDEEVEELDQGGYGYGAMSSELELCVARIRDLRAELEFERRMRRKAEALSEALAAELADERRRGEAAEAQCRALREEAGAARAEAERALVGLEEERRMLRVAELWREERGFVEFPKAVRLRPREERVDLVSNLECQRAQLRVLMRHRSPAAGMGLIGAPENLNSKSRNFPPDSAPAAAPAILLLMLLISTLSTGAGFAGAGSATAAGSTALGTPGGVLHRISAQLTPSKKGCCFISAAPLFTPSRLWGSLASRPLIRSLLALLVPGQSGNLSCCPTTLNSVARFVCPLNGVLPYMSSCRKMPNVHQSTALPWPSPLMISGARYSCVPTNDMDRAPVGSTTSSGRPVGACCCLGSAPLDFLAVVLPLLFLLLWPKSLGMKHVGWMQQRIKQGLDEYLAACSGKCLPGCRCRSAWRSPPGQNSMTRQAKRSVSKCAYRVGRNGWSSSCRISRSVCARLSFRRLSSDALSMTFIANQQGLATPALPALPQLSSDRYTVPMSPHPSLRSSRKLPIARGPSRTRAARMAFHLGSPPLCGFPALPPVPAPEPVPLLQPLPSLAALLLTLVLALRLVTVQLGARLVTVAAAPELSMLLCGLAAPLGGRDASHEMTGFGTGGFSRPSTATKTVSISSTGSGGWLAPEKELLGGASPSASSSLDAMLILTLSNSKATTFSAVTLPSPILAPPPPPPTATPPIWSSNTSGMNRNWKSWYSGSDPGSRGASSTWVRKRVCVPVPPSPR >Sspon.01G0063110-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:111358692:111359343:1 gene:Sspon.01G0063110-1D transcript:Sspon.01G0063110-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSSSCRVTAEKPNGSKAVTLLLRLSTLALSLTSAVVMASASECTIYGHGGAKVTITFKNYPPFVYQVVLSITAAVLEAAAIYLQLGKGDDDGEEEAPKLPRIILVVLDVVVPALVNTATGAVISAVIAYGPEINACTAAGGGRFCEQVSRSKLLSIATSIAASSAAVAKDVPLPFSVWPISS >Sspon.03G0026310-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:9703143:9708115:-1 gene:Sspon.03G0026310-2P transcript:Sspon.03G0026310-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSMEASTAPENGSAAGGGAGGTACNGAGAASNGGGMERRLRSSAASASWAAHLPLEVGTRVMCRWRDQKLHPVKVIERRKGSASSSPTDYEYYVHYTEFNRRLDEWVKLEQLDLDTVETDVDEKVEDKATSLKMTRHQKRKIDETHVEQGHEELDAASLREHEEFTKVKNIAKIELGRYEIDTWYFSPFPPEYNDCPKLFFCEFCLNFMKRKEQLQRHMKKCDLKHPPGDEIYRSGTLSMFEVDGKKNKVYGQNLCYLAKLFLDHKTLYYDVDLFCFMSSANVMIGDVIWWDISLRKNTLKNLIIWLAFSLSPHTKEKDMGTYELSKKEGKVGTPERPLSDLGLLSYRGYWTRVLLEILKKHKGNISIKELSDMTAIKADDILSTLQSLDLIQYRKGQHVICADPKVLDRHLKAAGRGGLDVDVSKLIWTPYKEQG >Sspon.04G0026900-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4B:52379857:52380701:-1 gene:Sspon.04G0026900-1B transcript:Sspon.04G0026900-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDVSEVNGGSRILIEGARPHGDTASAIGSSSAFIACPSATRLVGADACALVRLHVRAPGDVVAPGRSSSKSDRHSAVRIDRASRRRPVAVDRADVIEAALRV >Sspon.01G0019060-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:71441961:71450966:1 gene:Sspon.01G0019060-1A transcript:Sspon.01G0019060-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTATVTLAAARSPAVALLSLRRRAPAAAAVRFPGLRVGSGCRRIAMASAAHARAPADPLPKGADLFFRAVISNMEKVYLSRNPTAKTILELVRSYDGDHICYDHLAFRTFGVNGYGINSLSEFFTDFGYLPREELRFPAKKLRALWFSPPTNGGYSGTGTYGPLPRIFISELLVDELTTQSQEIIHKYLKTSVNGNNHAALASTSGELTWEKPIYSDFQILSRESEYAAWTLVNGYALNHATIATHRLESDIRNINKFNKFVEDNGFKLNSEGGILKVSPDGLLQQSSTVADSSLFTFADGITESIPRSYIEFAERLLLPQFKDLQDEEVKEYHRRDGFEVGNADKIFEKFLILGASEESKKTKAAVSKLRIDPFVLEISRVYSDTRIRSLKALSKPWSKSN >Sspon.04G0010070-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:31889913:31893245:-1 gene:Sspon.04G0010070-2P transcript:Sspon.04G0010070-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSATTAAAGDDDDEVVHDFAPLLLVYKSGRLERPLAMPRVPPGRDAATGVVSKDVALSPHSFVRLYLPPAAATAERKLPVVVYFHGGGFVIGSAGSAAYHRCLNDLVAACPAVAMSVDYRLAPEHPVPAAYEDSLAALKWALSSSSAAAEAGADPWVAAHGDPARVFLAGDSAGGNICHHLAMHPDVKRAGLKGIVLIHPWFWGKDAIGGEEPRSPASKSKQQQQKGLWEFVCPGAVDGVDDPRMNPTAPGAPGLENLACQKVMVCVAEGDVLRWRGKLYTEAAARARKDVELFESQGVGHVFYLLEPAQEKARELLDRIGAFVVHDFSPLLLVYRSGRLERPLIMPFDVPGHDAATGVESKDVPLSPSTFVRLYLPPDATSSGDDGGEKKKANKLPVVVYFHGGGFVMGSAGSCVYHRFVNDLVAACPAVAVSVDYRLAPEHMLPAAYEDSLAALKWVLSATDTWLATHGDLGRVFLVGDSAGGNICHHLAMHPDVNQGAGLRGVVLIHPWFWGKEPIGGEQEHRRPRAKRAEAEGRGRKKHLWEFVCPGAVDGVDDPRMNPTAPGAPGLESLACEKVMVCVAEGDHLRWRGKAYAEAVAQAKKGVARAELLADTAAVEMLESEGVGHVFFLLDPEMEEAKELLGRIAAFVRAK >Sspon.01G0042040-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:61913195:61923552:1 gene:Sspon.01G0042040-1T transcript:Sspon.01G0042040-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPPIFLLFLVLTAASVVSSAARREAFRRDPGHPHWHHGAFHDVEGSIRADVRRMLHTRAEVPFQVPLEVNVVLIGFNGDGGYRYSVDGHKLEEFLKVGFPLHRPSCFETGEPIDIEHHIMYNVIAAGQPELISLEKSLKEAMQPAGTARDSEYGRELPLFEVEATAVESIFQRLYSFIFDMEPGYPANEMDRPVPAAIFVVNFDKVRMDPRNKEVNLDSLMYGTIGGLTEQELKKQEAEYIYRYRYNGGGATQVWLSSGRFVVIDLSAGPCTYGKIETEEGSVSYRSMPRLSQIIFPRGLAAPSASSTQDIFIGQLGGLISTTIEHVIAPDIRLLVPIIVLQNHNRYNILQEGHNYSIDVQAIEREVKKMVHPGQEVIIISGSHALHQHEKLAVAVSKATRSHSLHETKSDGRFHVRTKTYLDGAILKEEMERSADVLSAGLLEVANPSLSSRFFLKQHWTDGEDDVKDSIKHKPLWESYMPKNKKERRGTGKKKHGNMYRTYGTRVIPVFVLSLADVDAELLMEEESLVWASKDVVIVLEHNNEKIPLSYVSETTRQFALPSLAQRHILAGLASAVGGLSAPYERASRIHERHVVNWLWAAGCHPFGPFSNSSQISQILQDVALRTTIYAQVDAALRKIRDTSEFVQSFASEHLKTPLGELVKGNKNKSTTELWVEKFYKKVTTLPEPFPHDLVEKLEEYLDKLEGQLVDLSSLLYDHRLVDAYQNSTDILQSTIFTQQYVERVLANERDRMKCCSIEYSHPKQSSQAFVYGGILLAGFLVYSL >Sspon.04G0008590-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:25863279:25865205:-1 gene:Sspon.04G0008590-4D transcript:Sspon.04G0008590-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRGKQKIDAQRRNAERNQKSKGSQLEARAGGLKVVCPICKVQLANEKQLTDHYGSKHPKEKPPSTSNTE >Sspon.05G0033570-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5C:35016953:35018093:1 gene:Sspon.05G0033570-1C transcript:Sspon.05G0033570-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKCSDPAQARLVEGRPAHGHTTLRRVCSGGCQAASCGGRRAWNLEMDEWEMPQVSRSRTSDRTARRCSCVVIDVEENQRA >Sspon.01G0009680-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:29346468:29348429:1 gene:Sspon.01G0009680-2P transcript:Sspon.01G0009680-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGAAAAPGVQTVVLKVAIHCHGCKKKVRRVLRSIEGVQNVTVDASQHKVTVVGTVDADTLIQKLYKSGKKGEPWQCHPPTKKTEPAPEAPPAPKPAGDGGNKDAAPAAAAADKKPEEAVKPVKEPQGESSEEKKKKPEQEGGAAEKKPEAESKEAEKKKPPEQEGGAVEKKPEAESKKEEKVEAKKDGGDSKGAETKAKAAAEPAKEAAAPAPAPAPAAAANDKDEAKKSDKPKDAGKAEPAAVTTTERSLPTPPAPKHAYEHEYRHPYYAPQPVVSYHASHPSSSVSYTYFAPQAQPAYSTQQAHPHQAYSMHQPQPAYSYSTQQPQPEKQWSPSYLYMPYPHAAAPEPYYQQQDHYSPPGMHASPMHDSYRIFDDENPNSCSVM >Sspon.02G0033950-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:9690161:9691381:1 gene:Sspon.02G0033950-1B transcript:Sspon.02G0033950-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding PDFVNTLLELKGPKYDGDFLHSKIEGLLGATRVNETLTNVVIPAFDVKNLQPTIFSTFDVCDPIIYSYDRRVNRVHARVQAQIRPLKNALLSDVCISTSAAPTYLPAHFFQTKDDAGNTRDFNLIDGGVAANNPTMVTINQITRKMIVDKQEIFPGGPTDYDKFLVISIGTGSAKGAATYTAKEAAEWGILSWLHTKEGYTPSYSSAALVDYNVSILFQALRSEKNYLRIQDDSLKGTEATVDVATEENMKKLIGIGETMLASMVSRVDMETGKPVVVPEEGTNADALTRFAKMLSDERKARTSSNQGKPGSAL >Sspon.06G0025680-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:78742352:78749760:1 gene:Sspon.06G0025680-2C transcript:Sspon.06G0025680-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding YKFDDERVTKEDAKKALEEQYGGEEELPQVNPGFNNAPFKFTKYSNAYMLVYIRESDKEKIMCTVDEKDIAEHLRVRLKKEQEEKEHKKKEKAEAHLYTIIKVARDEDLKEQIGKDIYFDLVDHEKVRNFRIQKQMPFSSFKEEVAKEYGIPVQFQRFWLWAKRQNHTYRPNRPLTLHEETQSVGQLREVSNKAHNAELKLFLEVELGLDLRPLPPPEKGKEDILLFFKLYNPEKEELRFMGRLFEIKFEPNVMCEIIDKKLTFRSSQLEDGDIICFQKSPGAEYDAQVRYPDVPSFLEYVHNRQVVHFRSLEKPKDDDFSLELSKLHTYDDVVERVARQLELDDPAKIRLTSHNCYSQQPKPQPVKYRGVEHLLDMLIHYNQTSDILYYEVLDIPLPELQFLKTLKVAFHHPTKDEVVIHSIRLPKNSTIADVINDLKTKIFPLQEKIENINDQYWTLRAEEIPEEEKNIGPNDRLIHVYHFMKDINQTQQIQNFGDPFFLLIHEGETLAEVKKRIQSKLQVSADEFSKWKFAFISMNRPDYLQDSDVISTRFQRREVYGAWEQYLGMEHTDTAPKRAYTVNQNRHSYEKPVKIYN >Sspon.01G0037340-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:21126267:21131247:1 gene:Sspon.01G0037340-1B transcript:Sspon.01G0037340-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMALPVVNATAAVLARVSSAFQCPLARAVVFGVHIDGHLVVEGLLIAVIVFQLSRKSYKPPKKPLTEKVRRLMSYVMSGSQSRYASNQGGGQNRYSNVGKCRWTTYIVDGKEVVNFASANYLGLIGNEKIIVRDNDEGVHWAVQNGLHLSRSTVVYFKHNDMASLASTLEKLTRGNKRAEKIRRYIVVESIYQNSGQIAPLDEIVKLKEKYRFRVILEESHSFGVLGKSGRGLAEHYGVPIEKIDIITAGMGNALATDGGFCTGSVRVVDHQRLSSSGYVFSASLPPYLASAAVSAVNYLEENPSVLANLRSNIALLHKELSDTPGLEITSHVLSPIVFLKLKKSTGSPTTDLDLLETIADKVLKEDSVFIVTSKKSNLDRCKLPIGIRLFVSAGHTESDISRLSSSLKRVSASVLSDY >Sspon.07G0007900-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:21225128:21229770:-1 gene:Sspon.07G0007900-1A transcript:Sspon.07G0007900-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MERRPAKRPGEPYCSPAFSHLCVLLIDRLLNFGVDESYKLSAQTVYGALHALETFSQLCNFDFNANLIELHSAPWTILDAPRFPYRGLLIDTSRHYLPVPVIKSVIDSMTFSKLNVLHWHIVDEQSFPLQIPSYPKLWNGAYSYSERYTFDDAIDIVQYAEKRGVNVLAEIDVPGHALSWGVGYPSLWPSATCKEPLDVSNDFTFQISARFLSSSLSIWGEMKLLQHGMNESDAYRYFVLRAQKIAISHGYDIINWEETFNNFGDKLDRKTVVHNWLGSGVAEKVVAAGLRCIVSNQDKWYLDHLDATWEGFYTNEPLTNIYNPEQQKLVLGGEVCMWGEHIDASDIQQTIWPRAAAAAERLWTPIEKLAKDTRSVTARLARFRCLLNQRGVAAAPLAGYGRSAPSEPGSCLRQ >Sspon.05G0008260-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:25151009:25180329:-1 gene:Sspon.05G0008260-1A transcript:Sspon.05G0008260-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIDADELASGNCRCANSHMEVKGYLCTTTTLRIRHPSGHRKGGPIRGESGDTPVAMATDHRASLLPFAAALLVLLLAGGAAADDASSDDDAGTSRTPGCSNKFQLVKVKNWVNGTEGTTVVGLSAKFGAPLPRDIHEAKKSFAVLANPVDCCSNLTSKLTSSVAIATRGECAFTEKANTAQAGGATGLLVINDNEELYKMVCGENETSINVTIPVVMIPQSAGKKLKNLLHHGAMEVQLYSPNRPTVDLSACFLWIMAVGTIVCASLWTEFVACEQVDERYNQLTRKDGPDTGTNYREDKEIFEISAKGAIVFIIVASVFLLLLFYFMSSWFVWVLIVLFCIGGIEGMHVCLVTLLARIFKDCGRKIVQLPFFGEILILSVGIVPFCMVFAILWAVYRHASFAWIGQDVLGICLMITVLQMARLPNIKVASALLSAAFVYDIFWVFISPLIFNESVMITVARGDNTGESIPMLLRIPRFFDPWGGYDMIGFGDIIFPGLLVAFSYRFDRATRKGVLNGYFLWLIVGYAVGLFITYLALFLMDGQGQPALLYLVPCTLGVIVILGWLRGELHELWNYGKSQAENLVNEP >Sspon.06G0029590-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:24673255:24674634:-1 gene:Sspon.06G0029590-2D transcript:Sspon.06G0029590-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRGRRHRRPAAPATLPDNDDILSEILLRLPPRPSSLPRALLVCKRWRRLVTDPHFRRHFRSRHPNPPLIGFFDEFVHSSFFRSVMDPPDLIPPERFCPPIGDEKGGCDPNHWRNFGCRHGRVLLYSLKEKEIVVWDPPTGHHRRVAVPPELDNGERMIWNGAVLCAAASDHSHVHGGFCSCPFKVAVVGVARNHTQVFACIYSSQTGKWSNLVSAAVPFVVYFVDRPGVLVGNALYWMPLGHDYAIAEFDLDKHTLALVECPSGAEVSKGGSRILLAQNGVLCLAIPSGNSLQMWERKVCSEGVASWVLRKSDKLQKILGQSSSMAILGYADDINVMSLWIDGSCCFLQLNSLQFTKLWKTNIVSKNHPYASIYDSVNNGSMIIGKFTQ >Sspon.05G0036040-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:83844644:83851057:1 gene:Sspon.05G0036040-1C transcript:Sspon.05G0036040-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AAESRNEVELIAKLQHPTCCASSDGASNAMGNCWCTSTSPITALTPSSSVRKTKIMKQMHQVYSRIASVLLQQEGYTYTSAIQFAIVSILHS >Sspon.02G0001300-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:4574446:4576890:-1 gene:Sspon.02G0001300-1A transcript:Sspon.02G0001300-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMSASSSAAGGGEAEPLVHAAFNSNATHFFAATSSGIHGFSCTPLKHAFSMGFVPSPDGGSRSRVITADLAPSGTLAAVVFRPEPPSAPDADADDEGDRIRYCRYVVRGEMLGDDIIPSMSSSSRVRAVRHASGHVLVAGDGKALLHYTTSGRAVKRCLEVDTGPNPLGVCALAEARDGKTVVLACPRPAKGQVHVQVWRRGTDGGGCVDVHAHSSSVVCVALSRDGRLLATASSKGTVVRIFTAADGNKVDEERHASRAVVAACGDMLEEKASTCAVVTTTRAAVHPAGGSETATFAVRRWRPGYLNYHWRYDEWAADIEAVEVQAVGVHGDKTLLVLDGRVDMYGLGGDGRVHVLHRVETRSARPLCAASRAVPVAFACAGAGAEVGEVRVERWAAAAGGFAPLSSFRAHSSRLECVAVSWDGRFVATASFKGTMLRRGADRADIYSMAFSPESKWLAVSSDKGTIHVFSVNVDVPSPSPAQEDSHNPDTDSPNAGSALNAKQGSSWSFFSGTTTSLRNSSMCRLVPGTRILAGFVPGYFRQDGSLAKFRLREGVKYVVAFSHVPNTILVVGMDG >Sspon.02G0018770-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:63449751:63454515:1 gene:Sspon.02G0018770-3C transcript:Sspon.02G0018770-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uridine nucleosidase 1 [Source:Projected from Arabidopsis thaliana (AT2G36310) UniProtKB/Swiss-Prot;Acc:Q9SJM7] MEAGNGQIHRSDEREEMKLIIDTDPGIDDSVAIMMAFQLPGVQVLGFTTIFGNCTTEHATRNALILCEKAGHPEVPVAEGSHEPLKGGKPDVADFVHGSEGLGNIELPDPTIKKVEESAAEFLVDKVSQFPGEVSVLALGPLTNVALAIKKDPSFVKNVKKIVVLGGAFFSAGNATPSAEANIHHDPEAADIVFTSGANIYVVGLNITTQVSFTDKDLLELRNSKGKHAQFLCDVCNFYLDWHIKSYGAPVIFLHDPVSFAALVRPELFTFKKGVVRVETQGICVGHTSMDMLLKKWNSENPWTGYSPISVAWTVDVPKVVAFVKELVIKQ >Sspon.07G0035210-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:2807509:2808806:-1 gene:Sspon.07G0035210-1D transcript:Sspon.07G0035210-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRHCTSSHHQHQQQHRHHQSIAAAAPDTMAAASTNFSLFFPLHTNKAEFAHVAMAADGFDDDSHSSVTTSPSSPSSSSTGSVDCTLSLGTPSSRRAAAETAKQRAACLASCGTVSWDVAATADQSYCCCCCQGGSRLSPAAEAMNKGAAVPCAGHGQQDPMLVDRRCANCGTSSTPLWRNGPRGPKVCLLRLHRLLNLAISVFKLWFKKEERRAAATATAAAAMDQGGCGYFAQRAQYGAAAAAAAGRAAPVPYGGCEGPAFPCGGDIVTDAEAVPPQFLAWRLDVVAPAQAAAFAAVWPERTTLF >Sspon.02G0009240-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:26294769:26297159:-1 gene:Sspon.02G0009240-1A transcript:Sspon.02G0009240-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTDDPSTATASSSDDTFFDALDSLPSPSPSPSPPPSPSAPPPHTPSSSTLRRRPRRAKSLKQPDSVLSPSPSASAATSTVTAVEDEPLKPDSSEATSAAPRTVPASEVEEEEEEEEVEHNKATDADADADADADVEVEARAPTPTPTPAPSILEYLAVLVIKAVVFQVSALISCLSFPVRLLQWWFLFVTDPLGLARRARTWALGVAGDAVGTLTARLGGGEGVGKVAQRIVWGSLWAAYVCVVLCALLIMAFVGGGLLVGRIVEEPVQVTETLNFDYTKPSPMAFVPVPRLVPPNHRMQLEVSLTLPESDYNRRLGVFQVKAEFLSADGKVISTSSQPCMLKFKSVHMHFIETFLQSVSLLSGYSSESQVIRLKMRGITQGLEPTTAVRIILEQRAEFGPGAGIPEIYAASLKLEAELPLFKRLLWNWRWTLFVWSSMGFFVFELLFALVCCRPCIFPRSGHNVAAP >Sspon.08G0028410-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:10854332:10856772:1 gene:Sspon.08G0028410-1D transcript:Sspon.08G0028410-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRASLLACISQWPATGVHLPVTGDASLAIRGRVAAARLHLSLVLLARQNLVDLGAAELPYPPPYSSVREKRRATEPISIPHSTEACQQAAPEGRQHLVEVNLKAKDTCNC >Sspon.08G0006540-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:20385806:20390189:-1 gene:Sspon.08G0006540-1A transcript:Sspon.08G0006540-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMANTTSLRSRLLVLPPPPALPTAVSFRLRPCTTTVSSSSKRRSSRLAARVAPPGGAVAPASAASKEDEQEEMGNGGGLSAADAERLCEFLRADLPHLFDDVGVDRSAYDDRVRFRDPITRHDTIDGYLFNIRLLKLLFRPDFYLHAVKQTGPYELTTRWTMVMKFMLLPWKPELVFTGLSIMGVNPQNLKFNSHVDLWDSIQNNEYFSSEGLWDVFKQLRIYKTPDIETPNYLILKRTAHYEVRSYAPFLVVEAKGDKLTGSSGFNNVTGYIFGNNASSEKIPMTTPVFTQASDDTLSDVSIQIVLPMNKDLDSLPAPNTAVTLRKVEGGIAAVKKFSGRPKEEIVLQKEKNLRSQLLNDGLKPHPGCLLARYNDPRTKSFLMRNEVLIRLIDFTLEL >Sspon.07G0030040-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:1637948:1640543:1 gene:Sspon.07G0030040-1C transcript:Sspon.07G0030040-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSLRRLCFVLPMDVDEAVPPASSDSEATSRRSQVTSTLEAYARATAGHLLSCFRRYRPCCRGGADRCHDSSGMPFEDIAAWKQLAGAGGGPRIFSYSELYIGTRGFSDGEVLGSGGFGRLLDAADAKLPDGGASTLFDVGRVMHLGLLCSLHDPRARPTMRWVVENLSDGCSGGDLPRLPSFVALPKYISLTTSSSSDSGATTVSTDRSTATTSLSKPVYATAAADTIYHTAEDGSRAGSRSASADSGRRSSSRLSPVASIPHVDVPREISFKEIVAITNDFSESQVVAELDFGTGYEGFLDTGHGRIHVLLVRMARLGMACTRSDPAARPSMRKIVSILDGNDEVLDKFEQRKESTEDWQRRNATNLALVRRFQALGIH >Sspon.03G0038590-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:6228188:6230788:1 gene:Sspon.03G0038590-1C transcript:Sspon.03G0038590-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGCYLTGRCTLKFLSLPRIANDVPATGRPFAGTKTQVVTYQLSEYLIWSVHASEPTGCAAYCGADGTAVYFQLNSRFWDKEPGRNRVPYFLSGSLSEEGENIKIGSRLQTSPLPNVPVVTKKALATSDVTGLLTCQLNSPTGNGDAVNPEVHDDQDDGRSEEQGAGAVNQELDGDRDGHSEEHAAGMVNTEFGDDQDDGHSEEQGAGAIILASPTKEENDGTWNSKGGESPKDLKVVPPNSVALHQVRWNMNKGSERWLCYGGAAGIIRCQRI >Sspon.01G0003190-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:8577014:8578650:-1 gene:Sspon.01G0003190-3C transcript:Sspon.01G0003190-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NDH-dependent cyclic electron flow 1 [Source:Projected from Arabidopsis thaliana (AT1G64770) UniProtKB/TrEMBL;Acc:F4I890] MATSSVLPLHLPSCARRASTALRASAAPAAATATTAQSLEESFGRKGLRFVADPAGGAPTAELSVRNGSSLHLRLGDGLVTSYKPKVYWKDDGCREVLYTVAGKGGVGLVLNEASSSSGGAGIAAQWSLVDGAEWTVRDADSDSYDAVQVELGCTKGKLDISYVVTLYGVSMATAVIVRNTGSKPVEVTGAVLSHIKFDKRGGTAVEGLRGCPYCSQPPPAAGFSLLSPAEAMMREDPGWFSGGGEEPRQGVWTVEEDLYTVLKKKVSRVYAAPPEERKKRVYSTAPSKFTTIDQYSGLGFRLVRMGFDDMYLCSPGGMYEKFGKDYFLCTGMASMLVPVVVNPGEEWKAAQVIEHDNL >Sspon.07G0003030-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7B:6948614:6950839:1 gene:Sspon.07G0003030-2B transcript:Sspon.07G0003030-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLTVFLLRVCFTNRASKGSSREGPREWASGGTGTRTRSCWSKEVDQLHGREKGQVKHASLSRLGKKNKNKKTGSGDDEDEGEDVASDHRRRSRSCSRVAAALIVFALGLVLCFVRGLRFGPAPLRFVNITWDDFKMYRCTYKVQCYCRGTSSIKSGVSGSIWLSHNGQYSSTHQAEQDHQQALLRLPPLGLLGLPWLEKGCLL >Sspon.04G0005660-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:15798593:15802327:-1 gene:Sspon.04G0005660-1P transcript:Sspon.04G0005660-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDNLMDKVSAFGERLKITGTEVSKKMTAGMSSMSFKMKELFQGQTPADKIVEDATSENLDGPDWNSNLEICDLINTEKVNSVELIRGIKKRIMLKDARVQYLSLVLLETIVKNCEKAFSEVAAERVLDEMVRLIDDPQTVVNNRNKALMLIEAWGESGDELRYLPVYEETYKSLKSRGVRFPGRDNESLAPIFTPPRSVAEADVDASLPQQAFEDVHVHTYTAEETKEAFDVARNSVELLSTVLSSSPEQDALQDDLTATLVQQCYQSQHTIQRIIETVGDNEAVLFEALSVNDEIQKVLSKYEEMKQPRASEHAEQRPVVIPIATEHEDSTTIGSEDALVRKPAAARARSGGDDDILDDLDEMIFGKKGGSSSSQEGPKKPDPKKDDLISF >Sspon.02G0026390-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:91917697:91919873:-1 gene:Sspon.02G0026390-1A transcript:Sspon.02G0026390-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKATKWDANRLHSRGRRGLQLDDIFFTSPSTSPLLLLMEAELEKARGQVRELEDERRVMTKRLERFLRKLAEEKAAWKARVRDKARHAVAALRDELGAERRHRRQLEQANARLLRDLAEARSSAKQQTQSYEMERKARELMEDACSELTREVEEDQAEVELLRRECLRMREEMEEERRMLQMAEVWREERVQMKLSDAKLALENKYTHLNRLQAEMEAFLRSKDDESASHSAALREARLISDAAAVTSSSVGRSRQSGENHHHHHHPVDSVDADSVLDHFRRKEKERHRHAAAERERDDDGRRYSSSPASPASSKSNDMLQSVSPATDRFLAKAEDDDDMYADGGSSADLEMDSCSWVGTGDRSASVANGNGAGSGVTTEARSSGASRSSTKNRAHTQALEKTGRTAADGGGWSPSYSADRRRAATSSSSSRCRRAVQLVRRFRIALPPQRGGGGKQKQSLKEKLMEARMDDHKPLHAHAGGGRRGVNVERGGVRALEEGGWKGTRTGT >Sspon.01G0003690-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:8109117:8109317:1 gene:Sspon.01G0003690-2B transcript:Sspon.01G0003690-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAVLVAVVLMQCCNMIVTARPLLETPAVAGGPTGTSSWLGLIMQVLGGPGGNNTGCHAPNGSCP >Sspon.02G0004300-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:13195950:13198476:1 gene:Sspon.02G0004300-1A transcript:Sspon.02G0004300-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQESLGMHCLQHSRLYVDIDIGAARVARTREVEFHPTSPAWNQSFRLHCAYPAAAVTFTVKNQHLIGAGVLGAGSVSAARVASGQPLECWLDLRGGEHAHETHTPSLRVRLHFFDVERDPFWSAGVRLPEFVGVKPAFFPERTNCSVTLYQNAHLSDAFDPGVRLDGGLAYRPARLWEDLYAAIRDARRFVYVAGWSVNTEITLVRDAGRRAVVPGAEGVTLGELLKRKADEGVAVLVMPWQDNTSVSFLGNAGLMKTHDEETRRFFEGTNVRCFLCPRNADASLTMVQHVETSVEFTHHQKTVTLDAATPGTDERHVVSFIGGIDLCDGRYDDEKHTLFRDLDTTYLHDFMQNNYKHASLQRGGPREPWHDVHCRLEGPAAWDVLANFEQRWRKQAPENMRGCLLDLSPATFPDPVSFDGNDPWNVQVFRSIDDASVVGFPSDPVEAAAMGLTSGKDVTVDRSIQIGYVEAVRRARRFIYIENQYFLGGCASWAEDRDAGCLNLVPVEIALKVAAKIRRGERFAVYVVTPMWPEGAPAGEAVQAILLWNRRTVEMMYGVVAKAIEDAGLRGQAHPCDYLNFFCLGNREAPLPGEYSPPETPEEDTDYWRAQVNRRGPIYVHAKLMIVDDEYVMVGSANLNERSLAGNRDSEIAQGSYQPAHLNGPCGRARGQVHGFRMSLWNEHFIMGRHASEDADDGALFLEPESLECVRAVRRAAERLWDSYTQDRVEDLPGHLLPFPITVSEFGEVADLPADGCFPDTRAPVRGRKAVKLPDILTT >Sspon.07G0028040-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:89246832:89249850:-1 gene:Sspon.07G0028040-2C transcript:Sspon.07G0028040-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEWVRQAEVWVRQAESWIRQQPPEQIYFAAAVVALTILLLIVASCLKSSKPNTIVLSGLSGSGKTTIFYQLRDGSSHQGTVTSMEENNDTFVLHSEQERKGKVKPVHVVDVPGHSRLKPKLDEVLPKAAGVVFVVDAQDFLSSMQAAAEYLYDILTKATVVKKKVPVLIFCNKTDKVTAHSKEFIKKQLEKEINKLRESRNAISSADISDEVQLGVPGEVFNFSQCLNKVAVAEGAGSTGNVSAVEQFIREHVKA >Sspon.06G0010680-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:45794137:45795289:1 gene:Sspon.06G0010680-2B transcript:Sspon.06G0010680-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSLSSPFPSPVHLPQRLICEISRPRTARLCLIQPHPAVPAMDESEEYSNGNGGGGQQQQHHHHGYEWKFPAALSANTTSVHVTALDGVVNVNSLFTVAVFVGLSLATPGQLRSLANDPRCDAGPGVARSLLVLEVVAFSSFLFSSLVAQGLKLALNLINSKDPHDALRAHIDARVLRLGMLASAVGSVVGCVFLMTSMVMVVQIRLGTLGCPTDRAAAKAAAGLVGLVTTALAVYVGTVFYTFTH >Sspon.01G0049120-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:111532232:111534625:1 gene:Sspon.01G0049120-1B transcript:Sspon.01G0049120-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSPEDFLAQGAYLAAPEPFSPSIFLDLPPTPRPDADDPASSDDLVLPFISQMLMEQDIDDQFFYQFPDHPALLNTQQPYAQILSDTTASDSAASAAAAATTTTSGTGGSSTVSPSSSSAPASADPTSLYDSFELSQLPGLDDFTADELNALFLPGQDGGTVGFQQSPAFLDTGGGGGQRLIASLAPQNADCGEAQQQRASLAAQDAGGGAGIMRSEFLNGAEGETKTEATTLLAGDGDHAALASAFFGVQNGGNMDLLNMAFRKGMEEAKKFLPTNNSLLIDLEDTLGHSEALPTDSKSATGFAAGQVKEAAVVDEMLLFGGSRSSNGRSRKNRHTEEDLETETGRNTKLMMPEQEEAGASELYNEIMTSGHEGFLKHMEDLRIAMDSESEKSARRVNGKGARGRQRGNEVVDLRSMLIHCAQSVATGDRRSANEVLRQIKQHSSLRGDATQRLAHCFAEGLEARLAGTGSQVYQSLMAQRTSVVDYLKAYKLFMAASCFKKVKFMFSNMTICHAVAGRSKLHIVEYGVQHGFQYPGLLHLLAKREGGPPEVTFTAIAVPQPGFRPAHQIEETGRRLSNCAREVGVSFKFHGIAAKWETVRAKDLNINPAEVLVVNSECFIGHLMDESVLVDNPSPRDMVLNNIREMQPDVFIHSVVNGTYGVPFFLTRFREALFFYSALFDMIDATIPRDNDERLLIERDMLGRSALNVIACEGADRVERPETYRQWQVRNHRAGLRQLPLNPEVIKAASDKVKNFYHRDFLIDVDNHWLLLGWKGRVLHAMSTWVAEDNKHVF >Sspon.02G0008500-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:27388710:27394084:1 gene:Sspon.02G0008500-3C transcript:Sspon.02G0008500-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHKAAKRVAVVGAGTSGLAACKHLLARGFRPVVYEAGASVGGLWTRTLASTRLQSPTAGYRFSDFPWPKGADTYPRHGQVVEYLAAYARRFGVHECVRFRSQVVAAEYVGAADDDVAEQWAGNGEAFGGNGAGVWRLTVRHGDSNTTQLYEFDFLILCIGRFSGVPNIPAFPPGGGPDAFRGRVLHSMDLSDMDDADAAALVKGKRVAVVGSGKSAFDITAECADANGVERPCTMICRSPQWLLHDVSVWGKLHLGYLYMNRFAELMVRKPGAGLASTLLATLLTPLAWLKSKLTGAYYKKAIPMREYGMEPGFGFAGSISSCKIGMLPEAFYDKVRDGSVVIRRSRSFAFCEDGLVLDGGDAAAAAAVVPADLVILATGFRGDQKLRDMFVAPRVKAIVAGSSDTAVPPLYRECVHPRIPQMAVVGYSESLTNIYSIEMMAKWVARFLDGAFRLPSVPRMEQNVAEWGAYMRRSNGESFRGSCLGAVNI >Sspon.04G0012620-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:18937794:18939926:-1 gene:Sspon.04G0012620-1P transcript:Sspon.04G0012620-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLWVFGYGSLIWNPGFDFDDKILGFIKGYKRTFNLACIDHRGTPEHPARTCTLETDDEAICWGIAYCVKGGPEKERKAMQYLERRECEYDQKISIDFYKEGDPLKPAVTGILVFVSTPDPIGNKYYLGPAPLQDMARQIATANGPTGYNRDYLFSMEKALASISHEDDSIIELADEVRKVLNRTKETKITGANASLKSHVPLVHLSALPEGTVVDSR >Sspon.04G0015540-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:16750965:16753849:1 gene:Sspon.04G0015540-2B transcript:Sspon.04G0015540-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHVLLALHETEAEREARIRDMFAFFDTAGRGQLDYAQIEAGLAALQVPAECKYARELLRACDRDRDGRVGYDDFRRYMDDKELELYRIFQAIDVEHNGCILPEELWDALVKAGMCFLGQTTLIQYLCCHAGDSGHLVAVLTHDACAYVENIIFKTGNRHASGAGSGGLSCISINRE >Sspon.04G0000030-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:11486155:11488316:-1 gene:Sspon.04G0000030-2C transcript:Sspon.04G0000030-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIGAVSDELLGTFVPIAVYWLYSGLYVALDGVGREEAATKNVVSKAAVVRGVLLQQVFQVAVSLTLFAVIGDESGIGQKQPPALVIVLQFITAMVVMDTWQYFMHRYMHINKFLYKHIHSKHHTLVVPYSFGALYNHPLEGLILDTIGGALSFLVSGMTPRTSIFFFSFATIKTVDDHCGLWLPGNILQALFGNNSAYHDIHHQLYGNKYNFSQPFFVMWDKIFRTYMPYSIEQRKGGGIESRPVMTYVAAGGLIYTELFIKSN >Sspon.01G0041430-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:49575597:49578450:-1 gene:Sspon.01G0041430-1B transcript:Sspon.01G0041430-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding METVAAMDGGWTPRRQHRNGGFWMRAEIETDRGSPIPRGPGFGFAAAVREPLVKLRRPQYDFERWDWDYFVWPHDRLDANLEMRDSDPEATLEADLKATESFVNHSTLQLESYEMSQHTQEQHAAALPCDHLADNLEMRDSDLEATLEADGKASESFLNHSTLQLESSLMCHDATLGVRRNRENEAKLGTDRKTKERSTRQLKRSEMDGRAKTQHEEEKENQEAGLLRTSMPVIVNKKAVGPRSARRRRVFAEANRTLDGR >Sspon.02G0027440-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:99273318:99281968:1 gene:Sspon.02G0027440-1A transcript:Sspon.02G0027440-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVAGDTAVRLAYPPARRDDSVVDDYHGDPDSEETKEFVARQAELAETVLTGCPDRENLRREVTRLFDHPRHAAPFRRGNKYFHFHNSGLQAQSVLYMQDDLDAEEEVLLDPNTLSEDGTVALSTYSISKDGNYIAYGLSESGSDWVSIHVMSITNKQPMPDKLSWVKFSSISWTHDGKGFFYGRYPAPRGGEVDAGTETNINLNHQIYYHVLGSDQSEDILCWKDPEHPKYTFGASVTEDGKYIILGIYEGCDPVNKLYYCEISSLPRGIEGFRETQDLLPFVKLIDNFDAQYEVVANDGDEFTFLTNKSAPKNKLVRVNIKNPELWTDVLPEHEKDVLESADAVNNNQLLVCYMSDVKHILQIRDLRTGNVIHQLPLEIGAVSEISCRREDKEVFIGFTSFLSPGIIFRCNLAPTIPEMKMFREISVPGFDRTSFEVKQVFVPSKDGTKIPMFIMSKKDIDLDGSHPTLLYGYGGFNISITPSFSVSRVVLCKNMGFVVCVANIRGGGEYGEEWHKAGALAMKQNCFDDFAACAEFLISSGYTSSRRLCIEGGSNGGLLVAASINQRPDLFGCALAHVGVMDMLRFHKFTIGHAWTTDYGCSDKEEEFQWLIKYSPLHDVRRPWEQSSGNHCQHPATMLLTADHDDRVVPLHSLKLLATLQHVLCTSTEDSPQTNPIIGRIDQKSGHGAGRPTQKLLSVEMIENSSSKQIRSAQLQFHRL >Sspon.01G0026290-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:91325517:91333836:1 gene:Sspon.01G0026290-1A transcript:Sspon.01G0026290-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGQNRKAAKLGPLEVQRVSSPRAPSRCLFNRRHPRFCGAPLSSPPDTPETKMETAEEAGQEAVSAGGEDMIPEAAACSREEGKEEEEEVEVSFDELGLDEQLKRALRKKGVAKATPIQREAIPLILEGKDVVAKAKTGSGKTFAYLLPLLHELLKLSSEGRIRKPAPNAFILVPTRELCQQVYNEALSLLEFCTCKLRVVQVTASMSDKDITVALSGPPNILVSTPACVATCISKGIIRGPSVKESLSMMILDEADLLLSYRCEEDLKALIPHIPRSCQSILMSATSSSDVDKLTKLLLHNPFILTLSEVGQAKDDVIPKNVQQFWISCDTKDKMLHILALLKFELIQKKVLIFVNSIDMAFRLRLFLEKFGIRSAVLNAELPQNSRLHIIEAFNARLFDYLIATDDTKTKEEKQTNKENKKEPKISRKREKQTNKENQKEPKVSRKHLQQTLDAEFGVVRGIDFKNVVNFDMPLDAAGYVHRIGRTGRANKTGASISLVSPEEDSTFKEIEHMLQDVEKKDMDCISPFPLLTKDAVESLRYRAQDVARSVTTRDIQEARRQDIKNEILNSEKLKSHFEENPRDLDLLKHDKLLSNKEIPAHLRDVPDYLIDPKTKEASNVVKLSRAAMGIDKPQRRKRQGFKGGSGKSRDPLKTFSAEGKRRRQRKEREGEPHRRKKSKKAES >Sspon.07G0007080-4P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:12987351:12988614:-1 gene:Sspon.07G0007080-4P transcript:Sspon.07G0007080-4P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEADGHAGDDGASRPAPRLNERILSSLSRRSVAAHPWHDLDIGEKSLPCCARGTSSSFHNQYHRAFCFQRCLAALSANSGGSSSSLYVRAGPDAPAAFNVVVEISKGSKVKYELDKKTGLIKVDRVLYSSVVYPHNYGFIPRTLCEDNDPMDVLVLMQEPVLPGCFLRARAIGLMPMIDQSVLGEKYGLFCCRILGGQGEKDDKIIAVCTDDPEYRHYNDLNELSPHRVQEIRRFFEDYKKNETRRLPSTRCSLRAPLAMPSSTPC >Sspon.05G0003160-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:1157807:1158184:-1 gene:Sspon.05G0003160-2C transcript:Sspon.05G0003160-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNASSAAPSVKPVAETSSSCLTQGITAAHNFEVINFSLLEGMGVGENFRVGGFDWNISLYPDGITAEYKHHVSAFLTPQGQGVGEECVRVRFTFTVLGKDGKIIPSSHL >Sspon.02G0047360-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:7887544:7890435:-1 gene:Sspon.02G0047360-2D transcript:Sspon.02G0047360-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LALALRASGAGAGAGGDCHFPAVFNFGDSNSDTGGLSSLFGAAPPPNGRTFFGMPAGRYCDGRLVIDFIAESLGLTHLSAYLNSIGSNFTQGANFATAGSSIRRQNTSLFLSGFSPISLDVQFWEFEQFINRSQLVYNNKGDNRNPETISISGIYREILPRAEYFSQALYTFDIGQNDITSSYFVNNSTEEVEAIIPDLMERLTSIIQSVYSRGGRYFWIHNTGPLGCLPYALLHRPDLATPADGTGCSVTYNKVAQLFNQRLKETVASLRKTHPDAAFTYVDVYTAKYKLISQAKKLGKALTLVLCVMQALNVSTRGGAHVCIVSDAGFDDPLLTCCGHGGGRYNLDLSIGCGGKKQVNGTSVVVGNSCEDPSKRVSWDGVHFTEAANKFVFDQIVAGALSEPPVALRQ >Sspon.03G0028830-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:9366141:9369469:-1 gene:Sspon.03G0028830-3D transcript:Sspon.03G0028830-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTEKLAGDGCSGGEGHVEVEVGMGVDGKGVIECRICQEEGEEAAMDSPCACTGTLKFAHRKCIQRWCNKKGNITCEICNQFSYLSCYPYVLQVYSPNYVIPPPKCCSDEMDMDLRQNWVGRIDPHDSHFLAIAIAEQQLLQAEFDDCVSANSSGVTCCRTIALIVRQKNSFFTDLILICVGLWNLILALLQLMFLLLVRHVIVIVRDVSMLQDATVLFSATLQFAGFFLPCYVIARSCYALQHRRRRQV >Sspon.01G0019860-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:75581687:75597415:-1 gene:Sspon.01G0019860-3C transcript:Sspon.01G0019860-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAIMLAREHVLRARAGETPRRIVVFLAPTVHLVHQQFKVIREYTDLDAVECHGASGVGDWNAKRWKEAIETKEEFYIDSEWRPAIFGMTASPVSKKGASTIEDCEAQIAELELVLDAKVYNVEDRNELESFSTGAKIVNKYYDPYLFDLDDLESKLQMLLEEFDDLLVSLQESSPNKFEDTDSILEMSRKSLSRYHGKILYGLNTLGPIVTLEVVKIYNESINTVGDSEDCLFSKASLNLQVSYFKEALFLIDEFLPQGYGELMKSESGSAELTKRGYISSKVETLINIFKSFGSSEEVLCMIFVERIMTAKAVERFMRGNVNFSHFSISYLTGGSTSEDALSPAVQRFTLDLFRAGKVNLLFTTDVTEEGIDVPNCSCVIRFDLPRTVCSYVQSRGRARRSSSSYVLMIERGNLVQQEHIFRIIRTEYYVKTFALHKQPNTPSLDLPLQEKYMYHVESTGATITAECCVNLIYKYCEKLPKDRYYLPKPCFEVGIKDGSYQCTLTMPPNAAFRSIVGPPSSTCNLAKQLVCLEACKKLHELGELDDHLVPLTEEPMDIDTDITDKKCVSGPGTTKRKELHGTINVHGLSGNWIHESETVILNTYTFDFCCDQEGETYAGFVLLMESVLDDDVAHSEIDLFLIPNKMVHTTITPCGKIQLNKEQLRKGKLFQEFFFNGIFGRLFHGSGTSGLQREFIFRKGHEIRWGSDNMYLLLPLRNSSHIQHDLNIYWEAVESCFGAVEQLRNLYLEDGNLNYENLCSHKRSNKGEDIIHLANKSLHFSAVKDSVVLSLHTGRIYSVLDLILDTTADDSFDEMYNGKVSPFVSFVDYYHQKYGIVIQHPGQPLLLLKQSHNAHNLLFSKMKYQDGSTGNSLLVEKEQIHARVPPELLIHIDVTTDILKSFYLLPSVMHRVQSLMLASQLRSDISYTQRIPSSLILEAITTLRCCETFSLERLELLGDSVLKYVIGCDLFLRYPMKHEGHLSDMRSKAVCNATLHRHGIRRSLQVDVFKPKSICVGYIRDTAFDPRRWVAPGQISLRPFPCNCGIKTAFVPLSGVYISDDPSFVVGKPCDRGHRWMCSKTVSDCVEALVGAYYVGGGIAAAIWVMRWFGIDVRCDMELVQKAKSNSNASRMCSLSKLKDIEELEAKLKYNFSVKGLLLEAISHPSLQELGVDYCYQRLEFLGDSVLDLLITRHLYATHTDVDPGELTDLRSSLVSNENFAQAVVRNNIHKHLQHGSGILLEQVTEYVRSNLEYHGKENEFLQQATCKAPKVLGDIMESITGAIFIDVNFNVDLVWKIVEPLLSPMITPDKLALPPYRELLELCSHLGCFIKSKCTSKGEDLIIEMTVQLRDELLIAQGHDRNRKSAKAKAAARILVDLKKRGLSLKQCFSKAKQLDIVSSEPQSESTSYSDAMAFHMLSVVLPLKMDKGGPRTALFRLCKSLQWPIPEFEFVEQRFRTPIVLDGVTTTNFNSFVSTITLHIPDVTVITLQGERRTDKKSSQDSASLVMLQKLEELKVCICKTQPSNMDS >Sspon.04G0025350-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:29891005:29897492:1 gene:Sspon.04G0025350-2C transcript:Sspon.04G0025350-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFKKPVDVKTIQRLSGADKKKLRRTAKERFPQASDADLDAILPPKLRHILRSFKFKGKQAKKTALDVEITVAKYPNHALVYGIEGEFPMIFNTDARGHELFPTVYALWKVPHLLPAFTLKGGEVSRFVIGGADLMFPGISIPPEGFPSFEAGQPWAVKVPGNPAPIAVGSTTMSSTEALKAGLRGKALKILHYYRDMLWQVHTEQGWDSADGRYVPNEGFFDDIVVEDPNSVSTSQSPDSAEEPEGTNDGSVSAEDAGVDISDNHGADPGIQSEAVEEITDAVNELKLPEDKTTEQAPVEMEHHNLTTEEIDSLLDKCLLQALYTSIKEKDLPMPGSTLWANHILPCRPPGVTLDIKKSSHKKLSKWLQSKSSSGLISAKEDKYKKEVILLAINRKHPDYMVFRPEKRVQEVVEQEKDVAESSVTKQLEVAEIYKPSSHVKPIFVTVEADMEKYYSAPEASDIVFRYVEKENLVKPTDKAKVILDVTLCDALYKGAIKKGSAYPTEIHKKDLGSTFLNRMQVHHKVSRGTQEVIRKGAIRTIQIMTERRQGNKKMTRLSGLECFLMDPDSLASELQKKFACSTTTAELPVMP >Sspon.02G0002580-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:8448884:8451090:1 gene:Sspon.02G0002580-1A transcript:Sspon.02G0002580-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPTGTIVFASVGVTNFGFDVFSVAVPARASADAEAGVSAEELDERRHTDGVSVNFNAQFADDAGDAVAFVSERTGAASLFLSRPPGSESDRPEPLPAAEGSLFHDRPTVRGGRVYFVSAHEKPDRSFQSWAAVYAARVGERDGEPERLTPRGVVDMSPAVSGSGDLLAVASYGDRPWAFDFRVLETEVAVFRAADPSRRAVVVPRGGWPAWHGDAALFFHRVADDGWWSVFRVGVSPDTLQPTGPEGRVTPPGLHCFTPAAPALGGGRWIAVATRRKGRAQRHVELFDLETERFSPLTELLNPGLHHYNPFFSPSGARVGYHRFRGVGAPGDSLVPHLQPVRSPVPSIRMLRVNGTFPSFSPDAAYLAVNGDFFTTPGVMVLRSDGSRRWTVSKEPNLFYTTWSPTEPGVVFTSMGPIFETPKATVRIARVEFDPADLTDDDRGEVIGATVRPLTRPEAGNDAFPAVSPCGRWLVFRSGRTGHKNLYVVDTARGEDGGVRRLTEGEWIDTMPSWSPDGSLIAFSSNRHDPTNPAVFSIYLVRPDGSGLRRVYVAGPEGSAEADKERINHVCFSPDSQWLLFTANMGSVVAEPISGPNQFQPLG >Sspon.07G0035090-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:255899:261158:1 gene:Sspon.07G0035090-1D transcript:Sspon.07G0035090-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIGHNRHRSTRFLTSASLVRAFDGKTNEHYFDLKVDPDIHYLLLLSFFFWGVCGGRYIEVRREGKEVYRGFLAEYDLVHNFVVVQVSRFRDVNVGTFHRKLKSVPHGEACVVGRDVSGDLTAKSVELGGDLRVHKDDKDLDSKTSKVHSCWHEPIFGCGKSSFPTMGHNFEVAGVLLDILGKGERSCTVNKFGAPVGGKSNSHPEAGMILVNTFEEPFGDIRGKGGNKRGKDVWTKLGEKTSSRISRSVVALASFNDCLITKMWCRRKKKFCMHGWNGSTKILTSASLIRNSGDENNIVENLRVSAHYSAIACSFKVLLKSHHLYHFQIEVLLPSSTERINGTLEHYDLHYNVALVSVKNHYDLRPANTLPSRPKWCSVVAAVGRCFESSALMAMSGKLISLRSALDCLYLVNSSCKITKLGVGGPLITLDGDILGMNFYDEKIGTPFMFWQDIAKVLSSFEKRRWPVPMPCWRRPDYVDEEEDKFDADEREYCYLKGDSLKEIESNLFGS >Sspon.03G0014100-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:63122873:63123771:1 gene:Sspon.03G0014100-2C transcript:Sspon.03G0014100-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPPVKIFGHPMSTNVARVLVCLEEVGAEYELVTIDFLAGEHEVPDHVARNPFGKIPALQDGDLILFESRAIAKYILRKFKSSEVDLLREGDIAAAAMVDVWAEVEAQQYYPALSPVVFECIIFPVMRGTTTDQKVVDESLDKLKKLLEVYEARLSEHKYLAGDFFSFADLNHFPFTFYFMATPYASVFDAYPRVKAWWQSIMSRPSIKKIAANMPT >Sspon.06G0016650-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:72283598:72297480:1 gene:Sspon.06G0016650-3D transcript:Sspon.06G0016650-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFQHRRPWCLTAVAAAAALLLSQLMTAGLAAIGPGDVAVYWGRHKDEGTLREACNASAYTTVIISFLSAFGHGTYKLDLSGHPVAGVGDDIDFCRSRGKLVLLSIGGQGGEYWLPSVQSATDVADYLWNAFLAGSSAGVARPFGSAQVDGIDFFIDQGATEHYDVLARRLYGYNRYYRGGGITLTATPRCAYPDQRLQGALATGLFNRVHVRLFGGNLQCEWGQFDSWDKWAKAYPGSRVFVGVVASPEADDDAYMSQKDLYYGILQFAEKVPNYGGLMIWDRYYDEKNHYMVYRPPRARLHPTTNKKASFTPDLVQQQWLGLKAWVADANEVEVVIHSPGVTEDPTYAHPASPVSCIIRRAGRQWPYLTRLTRGPVHHPARGPSEMVWMVESLKAIKYIDGDSFAAPRGQCIVELLARRESAMHRAGDRHGSPYLEKTVAGELVGAREDGGRGKGKREATMGTRVRWSSGEREKEKREAAAGAKLAGSPVNPSTRGGAVGGGRCEGDEEYGEAEEEGHAKGGVKARREEDDGVACSQSARPIMRTSGSMRTSRRSALFGAKDHSRCRTMFAEEDGVIDGLCSEQAKRLLIVRSQRELREAIKLVNEHVAAMTRSRSGVQHGADMRIGGAAECVCVRAAWVADANEVEVVIHSPSVTEDPAYTHPASPVSCIIRHAGRQCPNLTRLTSDERARTSSRGPSEMVWMVESLRAIKYIDGDSFAAPRGQCTVELLAGRESAMHRAGDPHRAGARQYVLSFSVGDARNACRVAYAGRESHQERLPRRLRDDIDFCRSRGKLVLLSIGGQGGEYWLPSVQSATDVADYLWNAFLAGSSAGVARPFGSAQVDGIDFFIDQGATEHYDVLARRLYGYNRYYRGGGITLTATPRCAYPDQRLQGALATGLFNRVHVRLFGGNLQCEWGQFDSWDKWAKAYPGSRVFVGVVASPEADDDAYMSQKDLYYGILQFAEKVPNYGGLMIWDRYYDEKNHYMVYRPPRARLHPTTNKKASFTPDLVQQQWLGLKAWVADANEVEVVIHSPGVTEDPTYAHPASPVSCIIRRAGRQWPYLTRLTRGPVHHPARGPSEMVWMVESLKAIKYIDGDSFAAPRGQCIVELLARRESAMHRAGDRHGSPYLEKTVAGELVGAREDGGRGKGKREATMGTRVRWSSGEREKEKREAAAGAKLAGSPVNPSTRGGAVGGGRCEGDEEYGEAEEEGHAKGGVKARREEDDGVACSQSARPIMRTSGSMRTSRRSALFGAKDHSRCRTMFAEEDGVIDGLCSEQAKRLLIVRSQRELREAIKLVNEHVAAMTRSRSGVQHGADMRIGGAAECVCVRAAWVADANEVEVVIHSPSVTEDPAYTHPASPVSCIIRHAGRQCPNLTRLTSDERARTSSRGPSERWAIKYIDGDSFAAPRGQCTVELLAGRESAMHRAGDPHRAGARQYVLSFSVGDARNACRVAYAGRESHQGVAHAPICANAC >Sspon.08G0018130-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8C:6468480:6471677:1 gene:Sspon.08G0018130-2C transcript:Sspon.08G0018130-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVRQRPMQLSRHAIASSGVTLTTLLLILVLLCRLCGRAGAACTGEEREALLSLLADLSPQPGDGLNASWRGGSPDCCTWDGVGCGGDGAVTRVWLPRRGLGGTISPAVANLSALTHLNLSGNSLGGAFPAALLSLPNAAVVDVSYNRLSGSLPDLPPAVGAGGTLPLQALDVSSNYLEGQFPSAIWAHTPSLVSLNASNNSFQGLGGGIPAGFGNCSRLRVLSVGRNNLTGALPDDIFDVKPLQQLLIRSNKIQGRLDPGRIAKLSNLVSLDLSYNAFTGELPESISQLPKLDELRLAHNNLTGTLPPALSNWTALRYLDLRGNSFVGDLDAVDFSGLGNLTIFDVATNNFTGTIPQSIYSCTSLKALRMATNHIGGQVAPEIGNLRRLQFLSLTANSFTNISGMFWNLQGCENLTALLASYNFYGEALPDAGWVGDHVRGLRLLVMEKCKLTGQIPTWLSKLQDLSILDLADNRLTGPIPRWIGSMKKLYYLDLSGNQLSGGIPPSLAELPLLTSEQARKNFDTGHMPLSFTLTPPNKGAPNWTGRGYYQISGIATTLNFSNNYLTGTIPPEIGRLVTLQVLDVGSNNLSGGIPPELCNLTKLQFLILRRNHLTGPIPAALNRLNFLAVFSVAYNDLEGPIPTGGQFDAFPPVFFRENPKLCGKMIAVPCTKPHAGGESASSKLVSKRILVAIVLGVCSGVIVIVVLAGCMVIAIRRAKSKVSVSDDGKFAEASMFDSTTDLYGDDSKDTVLIMSEAGGDAAKNVTFSDILKATNNFGPASIIGSGGYGLVYLAELEDGTRLAVKKLNGDMCLMEREFRAEVETLSSASARHENLVPLQGFCVRGRLRLLLYPYMANGSLHDWLHDRPGGAEELRWRDRLRIARGASRGVLQIHEHCTPRIVHRDIKSSNILLDESGEARVADFGLARLILPDRTHVTTELVGTPGYIPPEYGQEWVATRRGDVYSFGVVLLELLTGRRPVEVVPAQRQQWELVGWVAQMRSQGRHAESAGPPDQGRRRRRSADAVRARPRMPLRRRRALQPA >Sspon.05G0017190-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:67688121:67693776:-1 gene:Sspon.05G0017190-2D transcript:Sspon.05G0017190-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTAVAIGKEIPDDIPQHGYQNYYKSQGYKLHYHMEDNSNNGKKMRTKDTITMDKVMNHLDRLLLELIPKPRTSEQLDEDLPRAPPPHADGDEAIVDHSPRDEDPVDRLHRRCGEKMLREDHASYLLLTCSAGRLGTARTWTRRRRQWPWRATSRTASASSPIPRPRHPPASGHGLDAQDAEPLRQPPAAPPRRRAASGGVRDQLWRDPRCRNARLRDGEQWIEDQTRRGAVPLRGAVDRGLPGPGAHVLRPHFQAPLPDLPCLRLPVPDEGHLTDATLKFRALDLSGCPALQDLDMVRCRIRVDRILSQSLRRLGINGCNFDEILRCCISTPRLISMRLAVNYGRTPILDSMPTLFTAQVRLQDDCEDICQHNSYYGDCVDGKCGGCYGIGSDGSSSVLLQGLSDATDLELTSYPNVFILTKDFKFCTMFSKLKSLLLNEWCMEPDIGPLVYFLRYSPVMEKLTILLENDFQRKYDVVVADEKDSPTKHFSVSEQLKTVKIKWYKNNELVPKISIILSTYGVPPEHIIVEEVFNLPDDLLLRRPLPPVLPNPIATKHAVVFGRWGRAKRRRLDKAAAVASDGGEDRISALPDDALHPILSFLPSDDAVKTCVLARRWRHLWRSTRSLRVTPRPRRCGFWYRPWTPSKLTSFVNHFLLLRGAAVPVDEVLRVCFQTKPRLCLDYVRFESQLLTRVELTAAKFYGSIDFS >Sspon.01G0034730-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1C:6087295:6088278:-1 gene:Sspon.01G0034730-2C transcript:Sspon.01G0034730-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPTTNVQSCTNPYALVQQFKRRPADLYPPPRAIHLVVLRLAPSPARQQDGRAQGDKQVLPADFDPSKVLRRRRRPKNEQTKVRTMLPMTVRCGACREYLGRGTKFNARMEDVPGERYLGAIRVFRFCIKCLRCSAEIALKTDPRNSGYALESGASATRDDDTAAREEEEERRRDGVGDAMAALERRARDGRREMDADAALEEARSLNARRARVAPEQALEALLCRRRSQAKTVQEQEQNVDEALVRSIRFRNSAGYVNRIEDDDDWEEEEDVFTVSLPKTMPDHQAHKNKNEKRRRQAPVVIVSKRRCVPTVPEGKSHDGGHADKSE >Sspon.07G0029120-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7B:74273048:74273523:-1 gene:Sspon.07G0029120-1B transcript:Sspon.07G0029120-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AKSAAKAASCLPNPARIPLRYEPKRVYPAEQPSFPPPASPPPRSDSTNPHPRGRKGKGKRGRHGSARHAPGTGSGRWDESSRGYGRPSGAGCGSAMRIRGRGKEGEEEEEATRREEEEEGGEGREGREGKEQGKGVVVVAGRWTSVRHVRSDHNRQR >Sspon.07G0003580-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:9182427:9185767:-1 gene:Sspon.07G0003580-1P transcript:Sspon.07G0003580-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPLLSPPLLADALATLPRSFPCSRSTRQLPTVGFAGAVRSDRQRSWRARRRDLRSCATATEADHEREEEDVADDYYSVLGVMPDATPEEIKKAYYGCMKECHPDLSGNDPDVTNFCMFINEVYSVLSDPAQRAVYDEIHGYTATAMNPFFDDSAPKDHVFVDEFTCIGCKNCANICPGVFEIEEDFGRSRVYSQSGSTELIQDAIDSCPVDCIHWTSAAQLSLLENEMRRVERVNQVGLMLAGMGASVDVFRMASARWEKRQAKVLEKVRTRMVKQENSDTRSSWSEIWGSPPRDQNNEAEASERAKRAAAAARRWREFSRRGADRPPTHKLPEPVGSKD >Sspon.01G0004250-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:10279146:10281195:1 gene:Sspon.01G0004250-3D transcript:Sspon.01G0004250-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSLLPTTSGGAHICPSPPRPRRRRGFQVIAAASAPPRPDGVGRRAVSLAGVAGWLATTVGRADAASPLDKYVKRRKLEPLESYVPAVLLTIDQFVDLEKSLEFEKPMYDESRTLLRSGPASSLRANIRAVAQYASSNGQGKAASDAVDECLRALEDLDSLLLRASRNDPSASVETMRSKISVALAALDNLLQTVPSAVLDKGKAIADAYRTPVDDYVEENAAELDPRLKQLEDIL >Sspon.01G0025700-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1A:90169563:90170981:1 gene:Sspon.01G0025700-1A transcript:Sspon.01G0025700-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNASISTLQSQVAALQQERPAASFSSSQGSGQGNHLGDRPPRFHKLDFPKFDGKSDPLAFINCCESYFHQQRIAVEEQGCMASYNLEGDTQMWFLQVQQDEGTPSWHRFSELLNLRLGPPIFSNPLGELMACKWTSSVSEYQDRFEALLPRVGTLMEAQRVQAFTAGLQPPLSLDVEIHNPQSLIVAMSLARKLELRERCAAAVAPPSAPPRDQQQGLLAVPPPCLALPAPPPAAIAAATTVMVEGHPVRCLSMTEMEERRQLGLYFNCNEKFGRGHNKVCQLPFLLDLAAVDDATKAASDDPTNATLPMLLHAITGVHTSNTMQVRLRLGDVNVHPHRLGLDAQPHRREGCQPHRTPRLPMVGCSSASVTMASGERVPCTGVYRQEPFSINGNQFSADFFALPLLGYDVVLGTQWLATLSPILSDFAAFTMSFWHKDHPVFWLVKKSVGTPPTASATWEDIDNFIDNSPIF >Sspon.05G0005410-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:17409844:17422893:-1 gene:Sspon.05G0005410-1A transcript:Sspon.05G0005410-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGCAALVAGGRLPPPALPAGSAAAEGAPYGQRSRQRKVAVAGAGWAGLAAAHHLVKQGYDVTLLAAESGPTEEVGLRGFWHPYRNIFALVDELGISPFTGWNKAAYYSTEGLAVEFPIFHNQPRLPPPFGVFAYPEFPNLPLIDRLTSIPVIAAVIDFDNTDTVWRKYDAMTAKELFKTYGCSQRLYKEVFEPAIQAALRKNFSPWLQSLELKGLKFVKNKVPTSLTTDADTGCISAIVCGDDVYEADAFVSAMGLSSLQSIVKNSFALPYYIQVTLEVKSPFLRSDREFRNLLHLSTVDVISIKLWFDKKISQYALRLITITIPKVANVCSGFDDSSGWAFFDLTSIHDDYYEESTTIVEAEFVCSGHLYQFENIQHFQPGCTYSCNLFSQYNASHLVLVNDEDIVSEASSHLIKCIQDFEGATVIRYSIRRSPNSVINFLPGSYKYTLRGSTSFPNLFIAGDWIVNRHGSFSKDEKNMQQEKEGPGIYDLAKLIENRQSTALKFQQLCKCVSSEHMVGIIHANLSTLVPAMEAISDAGTRGGGGHPIMNDVLFTLQV >Sspon.07G0002870-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:6500950:6505649:1 gene:Sspon.07G0002870-4D transcript:Sspon.07G0002870-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLQEKTTPTHQGKEKKKGSAMKKERGRKRTSSVLDSTNGTVISEEMSEYNLDEPTMEEKLATLNLINRENGMSDTEKQSLSMAPPSADSVHILLKQALRADDNVALLTCLYNRDEKVITKSISLLTPADVVKLLKFFVLQIQSRGAVLVCLLPWLQTLLNRHMSSIVSQEFSLSLLNSLYQLIDARTSTFKSALQLSTTLDYLFSEIADDEADEEVPPPIIYEDKDTDDEESEVDAMETDREEAEELGDVTDASENSDGSEIMSD >Sspon.03G0008170-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:36916733:36927952:1 gene:Sspon.03G0008170-3C transcript:Sspon.03G0008170-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGNRERPMRYLGLVWLWRGIGGRRRSREDNYFIASTGVGFYPALISLKKGSKLIKYSRKGKPKIRSFRLSSVCKDQVEVEVWFSALEGAAPSSSSQGSGTDDIESLGDVYVWGEVWTDAAPSDGHTTSSCSKVDVLIPKPLESDVVLDVNQIVCGSRHVALATRQGEVFTWGEELGGRLGHGTDTDISRPKLVESISVTIVDFISCGEFHTCAISASGDLFNWGDGSYHAGLLGYDTGASHWLPKRVSGPLEGLQVLSVACGSWHSALITSTGRLYTFGDGTFGALGHGNRESSAYPKEVEALNGFRTIKVACGVWHSAAIVEATVQTGMNVVSKKLYTWGDGDKNRLGQGDKEARLIPTCVQALLEHNFHQLACGQNMTVALATSGHVYTMGSSDNGQLGNPKSDGKQPCLVKDKLAHELVEEISCGAAHVAVLTSRSEVYTWGMGANGRLGHGDLNDKKAPTIVEALKDRHVKNTSSSNANRRNAATRRSTDSREKQERPEIRPPRLVATPSAEPVKYMEVKATKSDMKTADSIMKASQASALLQFKDLGFAAQFGGLQPMGMSPALAISPAVPAFSLAPPSPYTKKAKSPPASAIPQSCKVDVDHLQKSNDLLNQQLLKLQSQASTVEDLKQKCEAQHEQLQKSDKKAKSVASMATEESSKRNAAVEFVKFLDHELKGIVDKLPVDAADSMKALQMKTQSLLREQSGHPSELMNPMERDLHLSSGGSGRYDLVTHKSGGVGYLTMSQDGSSASGSAISITSESPSHRFMENSAKAHGDFAPKHGTHGEVQLIEQFEPGVYVTLIQLKDARGDLQRIRPKNGGGKTKSGSSRNTTIQLMHHMETQHFTRKNIIHDLQIRSTQVVEDALHDETLQNRILTGNSALCSGDLLGEGHHVGASSREIGSPATSQPCLLMHAPLKLWHAF >Sspon.07G0028970-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7B:72347242:72349908:1 gene:Sspon.07G0028970-1B transcript:Sspon.07G0028970-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGSVSMPCGRRDFTPRSVLRASLGSDSDRLSSVVKDCGKKLSGLEPFVDVDEAKRLAEEVERMRYLREMAREKKEDNLQQMLEDTVLDFDPKQGGEYYTRFTFADLTKFDLDEESPLGPMRFTDAVYKNKDDYELCEGINFFSVRITTSDVGFPINVYGTVIARDSLDKRCVYLFSRERDQCQHINSEDESLILTGPKRGLALIGPNYVEIDLKIKDHQGQDKELSKGMLSINGIERRRLKQCVLESDNLATRLSTVDVLYGVVKDAVEGTIAIEVLQGDFNGKITAQTTSILNTIVLYDSQVAGGMTGDGTGAIKLLRSIVSVYVKDKLIIVAETSDGKFKQTIDFTPKINGREEDIITIGVTKIDFTPKINGREEEVMTIGVTKMRVKVAWSIMDF >Sspon.01G0004280-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:10330328:10332998:-1 gene:Sspon.01G0004280-3D transcript:Sspon.01G0004280-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGAVTPPPLAGARRVPRGRGFLHRRLAASPMKDESMISTDGGNEETDTDSLNVARGLSHPGLSSSLSNKASVVPTPLLPSGPSDLRFNRLRPSIDESDCKYKRLFGCYVAREAVIDEEYWIAAWLRAEDHYENESGNRYVESFKRKFASKEFHALKKRCSKQHGEKYICFVAVKNDDLRRTVLNSVVGTLDVCVRHPLHGEKFPEEPGKSSLHCRIYQPDQPKFGYVTNVCVAKYARRQGIASNMLLLAIDAARLNGAENIYIHVHKDNLPAWRLYDQIGFKMVDQDGACCSSDLCLLSFSS >Sspon.07G0016660-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:72892903:72894116:-1 gene:Sspon.07G0016660-1T transcript:Sspon.07G0016660-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQITEGLYRQLEPRTKKLRFTVPFPLLAFPVYLLYRSPGKTGSHFLPSSELFTPKEKGDVMISTTCWCIMLASLLAMACAFGPVQVLKMYGLPYLVFVMWLDLVTYLHHHGHQERLPWYRGEEWSYLRGGLTTVDRDYGWINNIHHDIGTHVIHHLFPQIPHYHLVEATKAAKPVLGRYYREPQKSGPLPLHLLGVLLRSLRVDHFVSDHGDVVYYQTDHDLNTTAAHGWAAQNHHKQK >Sspon.01G0022910-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:85840015:85841687:1 gene:Sspon.01G0022910-2B transcript:Sspon.01G0022910-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIVTLSLILTFLLIIRKLTRSCYTASSPPSPTPTKSTAAAALRLPPGPWQLPLIGSLHHLLLSRFSDLPHQALREMSGTYGPLMLLRFGSVPTLVASSAEAAREVMRTHDLAFCSRYLSATLDIISCGGRDILFSPYNDRWRDPRKVCMLELFNPRRVLSFRTVREEEVARLLRSISDQCGGHHAGAAVVNLSDGICRMVNDVVVRTAIGDRCKHRDEFLHELDEAVRLTGGFSLADLYPSSRLVRRFSVAARDMGRCQKNVYRIIESIIHERKVAATRVPADRDDDDDLLGVLLRLQREGGLQFPLTNEIVSTVIFDIFAAGSETSSTVLVWAMSELVKNPRVLHKAQSEARKTFKGQEKLTEDDMSRLSYLHLVIKEALRLHAPVPFLLPRQCREACQVMGYDVPKGTKVFVNVWGIARDDKVWGDGEVFRPERFESSSVDFRGNDFEFTPFGAGRRICPGITHGLANMELVLASLLYHFDWEQPGGAGSGDLDMTEAFGITLRRKSKLMLKAIPRV >Sspon.05G0015270-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:58769091:58776342:1 gene:Sspon.05G0015270-1A transcript:Sspon.05G0015270-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGGAGAGSSSGGSGSGGGGGGGGGGGREGDWDCGSCGNRNYAFRSLCNRCKQPRLLVDPNTPRDSKWLPAPGLDLHRKNCKKCGLPKEEAAMPALQMAGMAMPAYATYIARLQQSLAASASAYKMNFGMAANSPLQQQLLANANWPYGCLVDMGCNLLVGHLATATQISFQVFQRIGVMETGSAAVDFITIHLCKECGASVPSGIPSTTMKATSDASSTLGTKRLASEELANDWDNKRLNPGNDNYPLSTAGPNNLFLGIEQGAGSSNGQGAFSKFDNGSSMALPSGQGMSGLMGKGAKWRDGDWLCNNCNNHNYASRRFATGAKLRKNLQFTLEHRDESRHGQHPAGKEEEYPEFQIAEQGREHLCLYKVEDESDGHGDRLPHGPCFNRVDLTQNHPLERVPRQTQAEGVQAHEHQHGHRIAPVHRHCIVLPEVLRKYP >Sspon.08G0010800-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:47543649:47549431:-1 gene:Sspon.08G0010800-1A transcript:Sspon.08G0010800-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AHRRGANGGPAPPRLASVYSEVQTNRLLHALPLPSVLRSNFSVVDGPASSAAGNPDEIAKLFPNVFGQPSVSLVPAAEPAATRPLKVGVVLSGGQAPGGHNVICGIFDYLQERAKGSTLYGFKGGPAGIMKCKYVELTSDFVYPYRNQGGFDMICSGRDKIETPEQFKQAEDTANKLDLDGLVVIGGDDSNTNACLLGEYFRSRNMKTRVIGCPKTIDGDLKCKEVPTSFGFDTACKIYSEMIGNVMTDARSTGKYYHFVRLMGRAASHITLECALQTHPNAALIGEEEQLMLERDPHGNVQSPTEDPLRRPSLRIGFREFPGQLRSLLSNSLSCSFFAICRYEGRCGLPTNFDSNYCYALGYGAGALLQSGKTGLISSVGNLVAPVEEWTVGGTALTSLMDVERRHGKFKPVIKKAMVELDAAPFKKYASMRDEWAIKNRYISPVLGVETGHWASWSSLQSPYCELFNK >Sspon.01G0000860-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:2375331:2375654:-1 gene:Sspon.01G0000860-2C transcript:Sspon.01G0000860-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDARPRRRRAHTAEEAAATLRKAWCRLRLSARDPARVPPWDAVVLTAASPQQAALYNRQLERARALGRFPASTAAIAVPDPDGARIGSGAATLHAVAALARRLAAQV >Sspon.03G0001380-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3A:3422080:3425576:-1 gene:Sspon.03G0001380-1A transcript:Sspon.03G0001380-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ERRTRPRPPLRTDATPRPVPARRRNATPAPRPVPTRRNATPRAHRSTPAPKLADPQHLRPCHQLTTIVHPRPQLQQRENTIATTKDTIMQQNETKLRIVSCNNQKNIYCCNNPHHMLQHLEGIIATKGRDLTRRNPSRHQTLRSRGGGQGDGGGGGGGRGGGGTRDPEGRKEGEGGLRSRSFPTYLVDVRAVVLVSKSMEVTAREDERVGREGRRVGSSEAAAIAPPLRCEECIQVAAGEGEGARGGREGGRKARRQLEGRRRAGELGAAEESWRIESPKFRRNQPSSRPSRPIAVVEAYVKHDLF >Sspon.02G0011730-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:4997032:4999911:1 gene:Sspon.02G0011730-2P transcript:Sspon.02G0011730-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMLWRNNSNGKQDKLAAMQMPDDWQETSTLLAALEKIESWIFSRIVETVWWQALTPHMQKRAEGSSTPKAGRVLGPALGDQQQGTFSVNLWKAAFHDAFSRMCPLRAGGHECGCLPLLAKLVMEQCVARLDVAMFNAILRESASEIPTDPISDPIVDPKVLPIPAGDLSFGSGAQLKNSIGNWSRWLTHKLGIDDDDSEDIGDVEDERRGTAETKSFQLLNELSDLLMLPKDMLLEKSIRKEVCPSIGLQLVTRILCNFTPDEFCPDAVPSTVLEELNSESLLERHTNKDLINVFPCIAAPIVYRAPSTLDAAEKVADIGGGAKLDRKASMVQRRGYTSDDDLDDLDSPLASLIDRSAPPSPSNGFAHFSAQRGVSMENTRYTLLREVWLEQR >Sspon.04G0014970-4D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4D:64521368:64524756:-1 gene:Sspon.04G0014970-4D transcript:Sspon.04G0014970-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GNIAKWLKKEGDKVSPGEVLCEVETDKATVEMECMEEGYLAKIIQGDGAKEIKVGEVIAITVEEEGDIEKFKDYKPSSSAEPVAPVESKAQPEPSQPKVEEKKHTQAPEAKAPKIEEASQSGDRIFASPLARKLAEDNNVPLSSVKGTGPDGRILKADIEDYLAKGGMREAFAAPGLGYIDIPNAQIRKVTANRLLASKQTIPHYYLTVDARVDKLVKLRGELNPLQDASGGKKISINDLVIKAAALALRKVPQVNSSWMNDFIRQYHNVNINVAVQTEHGLFVPVIKDADKKGLGTIAEEVKQLAQKARDNSLKPADYEGGTFTVSNLGGPFGIKQFCAIINPPQSAILAIGSAEKRVIPGSADGQYEFGSFMSATLSCDHRVIDGAIGAEFLKAFKGYIESPTSMLL >Sspon.03G0040920-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:34849786:34852312:1 gene:Sspon.03G0040920-1C transcript:Sspon.03G0040920-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAEELVVTLAPVAVYWVYAGIYDLLLARTTVLDKYRLHSRRDEETKNIASRKDVVRGVLLLQAIQVAISVAVLKLEGHGAAAAANADGGLTAAPPPAEPFLVMAARFGVAMLVHDTWQYFMHRLMHSVPYMYRRFHSWHHRVAAPYAYAAQYGHPVDGVLTDTLSGTAAYLASGMSPHAAVAFFVFATVKGVDDHCGVAAPWNPFYAAFRNNSAYHDVHHQRGGGRCNFSQPFFVVWDRLLGTHAPYALRHRDDGGLEVKAFIKDRQMQ >Sspon.04G0029300-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4B:71747036:71749969:1 gene:Sspon.04G0029300-1B transcript:Sspon.04G0029300-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQPKLNSEGVPCLCLPAWCLSAAAAAAPPVRTHPTDATCRRRPPLLPAAASAALTTARLAMPGILLAPLSCRSRRRLQLHLSRPLLAILSNTFSASASSSPPRAPPLPPLSPLLPPRPEDARLVAAAKSAIAASFHDWFLESRSPASAPAPPRALDAIYGALASDDTAALEALPLSEKLVLAVLRHRPLGLHDADALLLLRLRFFDWSGRRPRYSHTRVVYHAVFRLLACARRNAVLLDWLRLFSDTPATGGHLRFHDTLVVGYAVAGDPQRGLNVLGRMRFRGLDLNAVSSRILLNSLVDASLHDLAESFARNLAPSPFATCILIKSHCRRARLDDAVALLDTMPFADASRGPAAGSIVTEFCRRGRFAEAAQLVDKFPSGDVYGAWIHGLSEAGKLDATMKFLSDKKESEGYILDGKRCDQLVYRLLVRNRLGEVYDLLVQMMEEGIAPGISTMNAALCFFCKAGLVEVAMHLYRSRMELGIDPNKDVYNNLIRALCHGGETEEACLLLEEAMAGGYFPARQTFSMLANVLCQEGKLDRVRELLDRALKQEVWTIDSVLAKYLAAVCKSGNVEAACELPQIASSKRHVGLYRYESTYKSLIRALILIKRVDVLPRLILQMQDMGHIPTRNLYQSVVCALCELNRYTEVLDLLDSQLKRSELQPRVCYNYFISGAGHAKRADMAKAVYDQMEVSGIEPSIVSNILLLMSYLRSKRIGDALNFFNLVRAKKTPCTRLYNVFISGLCEAKKPEQAMVFWREARDNGVIPSINCYEHLVLLLCSVRDYGTAVKVIDDFRETGRPVSAFLCNVLLLHTLMGNDLLKAFLRSRDKSKPLEVKGEEIQGREAGRLLIGDLVSAFASGIRNMNDLEHLGEEMEKFFPVDIYTYNMLLRGLSMAGRMDSAINLYERICRQGYQPNRWTFDIIVHGFCKNGDRNEAERWMDAMYRNGFYPTWYTMRLYNNLLLRANDQKIISFV >Sspon.06G0002740-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:5992893:5996650:-1 gene:Sspon.06G0002740-3D transcript:Sspon.06G0002740-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AMARWGEMEEEDDDEYAKLVRRMNPPRVVIDNDACDNATVIQVDRVKKHGILLEAVQVLVDLNLVITKAYISSDGNWFMAVFNVTDQDGSKLQNMEVIDHIQQCLESDGYLPPPANGGFAPPEDQFTSIELTGADRPGLLSEVCAVLAALSCNIVKAELWTHDRRAAAVIQITDEATGLAIHDVGRLSRAQELLSNLMQGDGTCNRGGTGVSVGAARAERRLHKMMLDDRGSGSGGGEEAVGGGEERGGCGKARPKAAKVVVMDCTERQYTVVILRCRDRPKLLFDTLCALNDLQYVVFHGTVDAEGASKEAYQEYYIRHVDGHPVRSDAERTRLVRCLEAAVERRASQGLELELEVRTEDRVGLLSEITRVFRENSLSIIRAAIATKDGKAEDTFYVSDTYGNPVDGRTIDAVGEQLGHAVLRVKRGRHDASAKAKAEGGAVSVLGSLLKGSFQGLRLIRSYS >Sspon.04G0028960-2D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4D:75213883:75219460:-1 gene:Sspon.04G0028960-2D transcript:Sspon.04G0028960-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRPIELATGEPVPTSSALRCPCRCSRAGEAAAPSLTPSTPLRRATPRAGATAPRPKRACGPRCHRYHTKRERMHRRRCHIGQASVRAAGCAIASGVGERVGCWSRRYHTGQARARAAGCVVTSGASERAGRRSRRYHTGQASAWAAAATSGHATVVPCSPYRRQTVACLGADEVHGHQCQLPRVLCRHAPRV >Sspon.01G0050860-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:8361037:8361264:-1 gene:Sspon.01G0050860-1T transcript:Sspon.01G0050860-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKAVLVAVLLTQCCDVMIVAARPLLHVTAGPDTRATMMTMQVQDKSNGPRRPGGGNCNWKKPGHPGCPPQQPSS >Sspon.02G0019330-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:65481120:65483520:1 gene:Sspon.02G0019330-3C transcript:Sspon.02G0019330-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPRATAAAATSRPRRNPKPKPDSSFLSPLASPSATPRTRTRTRTRKGAIRGGSPSPVSSSPGSSPADLNISFLSSPGSASPPKPKPKLRSRAKPKPKPDPSFLSPLASPSPSPAPRTRKRAVRGVVGSSPASSSPGSSPADLSISFLSSPGSPASPPKPSARAKHAARAPLVASPRAATPSPAASPQPASVAATGVSSVGDLRTAVASQMEDLKRRLDALHSRAHADLDASFSRVSKRIKTQNQACQQLADEVDKEHKKMSDNIKESSEIARAKYKQIIAEAQASTTRVCKVTIPEMTKSVEKAIDGLCSRYNISMPV >Sspon.03G0028130-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:7453248:7458175:1 gene:Sspon.03G0028130-3D transcript:Sspon.03G0028130-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPQLAAVGPAITAPRFRTTLPCCTRPRGLTSWRRAALPKDEDYYLIDAEESIGDGFSFSGGKYGDGPSKSDEWFAQGSRENGFGFSDKPQPKYGFDYTLDEYASSLESLVNAVAPDKLSIVVQITDKHVNLPSTLASFSNFLLGEIFSQDPLRASDKAGHHVQEDRGEELGNIIRSVLR >Sspon.04G0028450-3D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4D:70885267:70885839:-1 gene:Sspon.04G0028450-3D transcript:Sspon.04G0028450-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGPNTKAFQQGFLKNMILSLQACSEFCISSSASGCAAMMSLQQRKCAIKSSADIAMATARGGGARWPQAVLASSSSPSRRPSKPSSSPGKKKNTRVCKKIVRRCLHTRRRSRGGTGAASSLARTRTAMIGSREIARRLVRKRTKVLRKMIPGGELLDEISLLHEAVDYVAHLHAQVDVLRRISNAVQRG >Sspon.07G0001690-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:3941221:3941934:1 gene:Sspon.07G0001690-1A transcript:Sspon.07G0001690-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRQKIEIRRIESDEARQVCFSKRRAGLFKKASELSILCGADVAAVVFSPAGKAFSFGHPSVESVVERFLASSSSPSPAGAGAGHSSAGGGEDRAVSELNRQHGELRAQLDAEKTRQERADEAIRKEREARSPAMAWIDADLGAMGHDDLVAFWAALAGVQATVAASADQLLRDALLVGRRGRHQPPPAQLAGGGVAFDVGAFGIGVQVQPPPGFAGVDLQGFGGQAAAILGAAGPS >Sspon.04G0000360-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:2409920:2410641:-1 gene:Sspon.04G0000360-1A transcript:Sspon.04G0000360-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLSASAASILLHAFVLTTLLLAATVSITTAFVPVVDHRDDVNTTMFTNGSLTSTPSAENWEMYYCFLCTGRHPLLIHYCPIYWDECHLNCWDVIPEASAPPAPVPPSSAHPTAVQGKECYVMKLYMSGRYVIVERLSCDGIASCFLTCGGGELDDQKVAMGTATTTVPATTTAAIQGSFVPFELCNTQVNALRAPPSAGVVLLSSSALMDGCVSRRTRVQ >Sspon.07G0027220-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:59289206:59291691:-1 gene:Sspon.07G0027220-1B transcript:Sspon.07G0027220-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding CWRGRWRRGEEEEGQGGMVLGGGMGMAAAAVGGDDAVVMQLATAEGEETVVTVNCPDQAGLGCDLCRTILEFGLRITRGDVSTDGHWCFVVFWVVPRSSSIKIRWASLKNRLMSMCPSSYSIPFYPDISQPGPSQFYLLKLLSPDRKGLLHDVTHILSDLELIIQRVKVSTTPDGRVVDLFFITDGMELLHKKERQEETCSTLIATLGPSISCEVLSAEGFQQGFSSLPPKIAEELFRLELADSEICSSSLSAELKKVQTATINFDNSLSPSHTLVQIICADQKGLIYDILRTMKDCNIQIFYGRFRSDKQGSVSKGCREVDLFVKQVDGKKVMDPEKQDALQSRLRSEMLHPLRVMIVGRGPDTELLVANPVELSGKGRPRVFYDATLALKALGICIFSAEIGRQAASERQWEVYRFLLDDSKEFPLANSLTNRNRVVDRVRKTLMGCYN >Sspon.07G0015690-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:55991594:55996950:-1 gene:Sspon.07G0015690-1A transcript:Sspon.07G0015690-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPQQHCWPVGLPKLNWDRPLLRRCPSRQRPPQPNTARPGSGGEEVEHRRTAYARGSSPRSARRSVVAGGGPPTGASDSDSHSSGLVLLLATRCIPSARTSLVDFGRGLAVLHPEDPEACISDTELMIREREFQHAYHRSNERLSPIRDQEVSYGLKWAIAGKGVIVKDKVFHNLETSELQKGGTTYPDCLSGIPLHVRGDVIGDVPGVSKAQFAKLLKLVTFHLSSISCLYVQDGAVGSSAECDAKVRVISDNPSAVMSLSNVLWKIPDRAISHDTSPLTIYATSSISNNVKTVIGSGTQYANGFAAADIERSSLILCGKAFADSAIVKDALTAMTAPILSARGGLPVPGWLLCFGGFIVLLFAPVEIMMSCLEIHNALLSIDCGAVISSKGSTVLFPTKARREPKLFIKPAAVIIVSSDSTGAIPSVSKLSPGQAAYHFLAGYHDGKFVPAYSRAPSPADPLALASSLFSHLKEDDTPAYLINAKHSGKYIDDNGFMKLLKLALYHNLPDIKTEDFREIHLWLQLGNSRGSTGTFFPASLANTQVKLLYLCLSMLNLILFEK >Sspon.07G0024170-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:21135937:21146904:-1 gene:Sspon.07G0024170-1T transcript:Sspon.07G0024170-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPRPTSLSSPAALIHLRLQPLPSIPPLHPTTLPFPRSLPLHLPSLRLNGPHLPPLPLASSGSGSGITGTGGEDGLPPLPLASSGSGSGITGTGGEDDLPPSGGGGGGGGGDGEGEGEGDGSDDASVNRREALFVLAQLGRKLESLPADLAAAVEGGRIPAEIVRRFVDLEASPVFRWLLQFGGFKERLLADDLFLTKVAIECGVGIFTKTAAEYEKRRENFVKELDFVIADVVMAIVADFMLVWLPAPTVSLQPPLAVNSGAIAKFFYNCPDNAFQVALSGTSYSLLQRVGAILRNGAKLFAVGTSASLVGTGVTNALIKARQAASKDFDGEVENLPILSTSVAYGVYMAVSSNL >Sspon.06G0025990-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:74871153:74875071:-1 gene:Sspon.06G0025990-1B transcript:Sspon.06G0025990-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFLGGGVASTERTAPPSGGAASQRRRCLTVVVQHHLLFPISNKVNQRQPDDSIHHPLGGFVRQCPDGSARALLSSGVVTVGRCCEVAAFDGSTASTVITDEIEMLLDKPDKSVLGTAAKGVFTKEPTAKVSHGRKDAEYGFNIGRGTNVVCDCSRLMGDHRVQLAIFPLRTAKALGAFKRTWIGEAMGNH >Sspon.01G0024670-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:87907511:87908118:-1 gene:Sspon.01G0024670-1A transcript:Sspon.01G0024670-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSIFSLEIPVQHRGDAVSSLVYEANARVRDPVYGCVGAISSLQQQVEALQAQLALAQAEMVRLKMSNDYILHRLKAARTGGGSSYTGSPSSMSSPKTAEPEAHCKATPELLDMVVDQPSMDDAHFWSY >Sspon.03G0019200-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:59349447:59354202:-1 gene:Sspon.03G0019200-1A transcript:Sspon.03G0019200-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFRATAAAAVAVFAAAVLAVASGDSLADLGGAAREIESAPEVKNLGPWAKGLLKGMPAAAAGPAAMAPVAKYPLVLAEDRTRRPDVLRHLKMYEGGWNVTNRHYWASVSFTGVSGFLLGALWFIFFGIAVAGRCYFGSRMSKRKVSYADVVGPVLLGVFALALIAGCIVLLYGQSKFHEEATQTVDFVVNQSDFTIQTLRNVTEYLSFAQTITVAALYLPSDVQSQIDNLKGDLNKAADTISLKTAENYKRIRKVLHIIFVTLCWKIVAALFILLGIFLILNSAAKDTCEAMDQWAQHPQAETALSNILPCVDESTTNRTLYQSKEVVVRLVGIVNRAISALSNRRPHHKHPGQFMPYLCSPYDSNLNDRPCKCREVTFENATTAWLNYTCMAQDTDLCSGNKTLTYDIYSQLVLAANVSYALYHYTPFLLNLQDCKFVRATFSTIASQYCPPLEHDLGLVSAGLALIASGFVLYLIWMLFADRPQRREEVSDLASGSRITPVDSSSPS >Sspon.02G0022600-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:76452152:76454556:1 gene:Sspon.02G0022600-1A transcript:Sspon.02G0022600-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAHEERDNHKVVKTWVKQVRDVAYDVEDCLQDLAVRLGKPSRWCFLQTVLDRHRIATRMKELRAKVEDVSQRNVRYRLIKDTTGPKSDIGAGPLSIAGATMFGIEEARRQKDKAKVDLSRLINEGNEDLRVIAVWGTSGVLGQSVLVNGVYDDLKRSNKFELYAWIRIVHPFNPLEFLHCVMRQFYSTSFEQARKTQEKANMVSQVLKKMSLMEQDDLVDAFFEHVSEKSYLIVLNDMSTIEEWHAIKEYFPDNKKGSRIIVSTEHGEVASLCTGQESIVSELNQPSFDQSIFASHNKASQNQIALMESGSSSSETTLDTNNSVMPMDEIVESLFVGGNEKIARKSLSRLGTMASALEESQLVGREKEKFELINLISKQDDQQTMVISVWGMGGLGKTTLVVKEVYQSQELSDLFEKRACVTIMRPFVLNEVLKSIAMQLCEESSNRKNNIDIELGTTKVAETKLLTEELGKLTKRKRFLIVLDDLSSVVEWGMIIQSLPKIENASRIVITTREENIAKHCSEKQECIYKLNVLNPREALHLFTKKVLLQ >Sspon.08G0030540-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:61760393:61763874:-1 gene:Sspon.08G0030540-1D transcript:Sspon.08G0030540-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFQLSSLAASAGLCLLSALAAALLVLTLYVLGVVASFAVFCAREFARRDPDRPPLMGTAFRQLKNFDRLFDEHVKYALAHRTCRLAYHGHSELFTADPAVVEHVLKTSFSKYSKGAYNIGIMKDLFGDGIFAIDGDKWRHQRKLASFEFSTKVLREFSSVVFRANATRLTDKISSAAANRTIINMQDLLMKTTMDSIFKVGFGFELNTLSGSDESSVQFSNAFDEANSIVYHRYVDLFWQLKRYFNIGSEAKLKKNIQIIDDFVIKLIHQKREQMNGQDHKAREDILSRFIIASKEDPETINDRYLRDIVLNFLIAGKDTTGNTLSTAPVQDKVALEIKEFVKWAEEDNNTEDFTARLNDGAIDKMHYLHAAISETLRLYPAVPVDGKMVEEDDVLPNGYKAIKGDGMNYMIYAMGRMTYLWGEDAEDFKPERWIANGVFQQESPYKFVSFNPFAFNKAFFNDQAGPRICLGKEFAYRQMKIMAATLIHFFRFKLADESKDATYKTMFTLHMDKGLQLYAYPRST >Sspon.01G0033690-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1A:113251097:113251847:1 gene:Sspon.01G0033690-1A transcript:Sspon.01G0033690-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLAPARPTAAPAAATLAVGSGEENRSRNRSGRLPLLFCFFLFLPRVSRSSTSNRRLETTPVTEVATEEEWRRRGALWRTTRTATLPSTAPPPLFFLSYSSLSSSIMLSNRPWQPEGGKRMALSRAPSPELALPRWVERSPVERPRVSALGYFPHGV >Sspon.05G0036160-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:84356618:84359491:1 gene:Sspon.05G0036160-1C transcript:Sspon.05G0036160-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQPTRRARQTATTWREREDQFNGLNRANGWLADAMIAALRNFPFPPTTAVQASPVPGRRSLPFRAGRPKHFCRCWRAASASKAKNPLVEEKGETLGSIPIPACFPHPPNPSPVAAFVFNELFACRLVAERRRQTSFLPRSSPSPPPEEAAGRPARPPGMVVVRPLSARRALRAGKGSKAAMAAVEERGSVLRDLGGPAAVVAVVGCGRGGRCLAWLVLRGVVRVLRRRLLWRSAWPGGGSSRRSGKGVTGSGTPVLDLAQRRASEARLVGSGGGADGLRLVQLLVACAEGRGAAAGAPGLGPVANPSPEIGSGFFSDVVVAWPVSDSARRWCHGGATSGAWSGRGPLFSAWVSSARRGSPPLCSPLVFFFMVAVLRRWSGLCWRWGAVFDYAVPPPCPRLSSWGGRYEDGGLGVRGGATTGARSAAVAGGGYGYGGWMVDVHADGPAGCGSSSAAACASSRGLAATTRCLAGSRHLDRRPCYGFFGRMPGDGDTCGCRSLVEGPCPILDERRRRLGVVTFLKASLWISARSGYLGQGWFVFSGVKWLLASMTQSDRGVEACVDVPIRPSKELIVSWYLGVQEE >Sspon.03G0007130-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:34934370:34948531:-1 gene:Sspon.03G0007130-3C transcript:Sspon.03G0007130-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGELEPGPGLPAVVTGRDPRVGEILRGEKRGDGGDPIVYSVFQSDGSRPAISVLVPPNPLRSPSLHSPPNPAAHFSFSPPPRTQKPRPPAAAMLLLHRLLPRLLLVPSTHTSTTLRPSRLPLRLSLSPRFSALSHLAVPQTVDQSDDEGAPQGKVQVRLPLDRLFVPPGATVDAGDQDAVSARVLKGSNIVLGPYARGDAQVVNADFVKSSVRPDDCPRDGLPEFALVGRSNVGKSSLLNSLVRRKRLALTSKKPGKTQCINHFKVNDSWYLVDLPGYGYASAPQEARTNWDEFTRNYFLSRENLVSVFLLIDASIPAKKIDLDYASWLGKLGCNFVDPGETIGFR >Sspon.05G0026550-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:43142464:43144229:-1 gene:Sspon.05G0026550-3D transcript:Sspon.05G0026550-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVHLGDGIKFIEDSVAANHSVNGSARNAIKILVLDVDSSDLSSGLSCPPENFVEDPFLMKAKEFLSEGGLFIINLVSRSSSVREMVVSRLKAVFEHLYSLQLEEDINEVLFASPSERYLEINNLDAGASKLQELLKNPVDVESDIQKLQKL >Sspon.01G0036240-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:15003367:15006136:1 gene:Sspon.01G0036240-3D transcript:Sspon.01G0036240-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to HSP protein (Fragment) [Source: Projected from Oryza sativa (Os03g0224700)] MGSKKRSPQPPASPAGGEVGAQTAGKATPVTAAPESAPVAVVPKPPDVAPFLTKVYDMVSDPATDAVISWSATGGSFVIWDSHVFERDLLPRHFKHNHFTSFIRQLNTYGFHKVDPDRWEWANEGFVKGQKHLLKTIKRKKKSSQDVPSDLQSVPVKTAPGTENIEIGKYGGLEKEVETLKRDKALLMQQLVDLRQYQQSSSLEVQNLIQRLRVMEQNQQQMMALLAIVVQNPDFLNQLVQQQRRSNWWNDDGNRKRRFQALEHGPIDDQETSGGGAQIIQYRPPVPETSNQPIPTNEAFYSTHAQPVSSPVQPVSSPALEMPMDVEMTSNNVDTLDSTGNDFDTSALCEWDDMDIFGDELEHILQQTEQDFQVDPPLTVEDYGYDRPRLEQDCQMEAQQNCKNPQYG >Sspon.07G0019450-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:71763806:71768758:1 gene:Sspon.07G0019450-1P transcript:Sspon.07G0019450-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLEDGYCLIYKTEEPVKLWVNKVGPYNNPQETYNYYSLPFCQPSENPTHKWGGLGEVLGGNELIDSQLEIKFLKNVEQGFICTLELDAKKVQQFADAIESSYWFEFFIGFVGETDKNSENKHYLYTHKNILVKYNDNRIIHVNLTQESPKLLEDGKKLEMTYSVKWVATDVSFARRFEVYLDYPFFEHQIHWFSIFNSFMMVIFLTGLVSMILMRTLRNDYAKYAREDDDLESLERDVNEESGWKLVHGDVFRPPQSLMFLSALVGIGTQLAALILLVIVLAIVGMLYIGRGAIITTFIVCYALTSFISGYVSGGLYSRNGGKNWIKAMVLTASLFPFLCFSIGFALNTIAIFYRSLAAIPFGTMVVMFVLWAFISFPLVLLGTVVGRNWSGAPNNPCRVKTIPRPIPEKKWYLTPSVISLMGGLLPFGSIFIEMYFVFTSFWNYKVYYVYGFMLLVFVILLIVTICVTIVGTYFLLNAENYHWQWTSFSSAASTALYVYLYSIYYYHVKTKMSGFFQTSFYFGYTLMFCFGLGILCGCNQ >Sspon.02G0026670-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:84583994:84591029:1 gene:Sspon.02G0026670-3C transcript:Sspon.02G0026670-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding METSISPPGTSKQSAVRKPSPGSSLKDLCLVSKQGSIAEVESALALLKKSGGNIDGRNAFGLSALHLATWRNHLPIARRLLDAGADPDARDGESGWSSLHRALHFGHLCIAGVLLQFGASLTLEDTKGRTPVDLLSCPVSQANGDFLDAVATEVFSWGSGTNYQLGTGNAHIQKLPCKVDTLHGSYIKTVAASKFHSVAVSSDGELYTWGFGRGGRLGHPDIHSGQTTAVITPRQVTVGLGRKRVNVVAAAKHHTVIATETGELFTWGSNREGQLGYPSVDTQPTPRRVSSLKQRIISVAAANKHSAAVADTGEVFTWGCNKEGQLGYGTSNSASNCMPRMVEYLKGKVFRGVSAAKYHTIVLGVDGEVFTWGHRLVTPRRVVVARCLKKGGNTNLKFHRMERLQVISVAAGTTHSTALTADGDVFMWDAKKHKDEMPIFTRVHGVKRATSVCVGETHMLVLSSIYHPEYPPKPKSQGIKSMLEWNSGTEELDEDILFNDVQPNSGLSGSSGEMSKGVPSLKSLCEKVAVEYLLEPKNAIQLLEVADSLEAKELKKHCEDLAIRNLDYIFTVGAPSIMNASPEILVNLEKLLDEKSSEPWSQRRLPTMTATYPAVIDSDVEEDEAREFPKPRKCGKSASRPSAMSSHDNFLQKDCTAEQAVSKQIRALRKKLQQIEILEAKQLSGHQLDNQQLAKLKSRAALEGELAELGVPLEAYSASSACPAEGRKAEVSKKQKRKNKQATQTPSVKSEPGQQISITDLQEVLPGNVSAEKEVCAADPIKRIETGAFSNTKDIASPLEKKALQPTSSKKKNRKGGLSLFLSGALDDTPKPSLPAPVVLVTPKPEGPAWGGAKITKGPASLRDIQSEQSKTNEPTSAKTKDRYEYSPDSAGRVRLSSFIPDSHSSPIAVTPARAVPSSEGDKSTPPWSSSATSPNISRPSLRDIQMQQEKRHHGISHSPKTRTSGFSIPPGGSPEVGGVKDSVPNRWFRPETDAPSSIRSIQIEEQAMKDFKRFYSSVRIVKPQV >Sspon.03G0017710-1P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3D:42476234:42476704:-1 gene:Sspon.03G0017710-1P transcript:Sspon.03G0017710-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTMHPGIKSMEIDTEKCTLTVVGTVDPVRIVQKLKKKCFEATIVSVEDDKPQEKKDPCKEACEKLCKEKCDKITCCKECKEKCEKDCKDKCEKACEAWLGKGCCSCSRCKPSPGCYYDPCAVPSYPYGYYNGCPSRYPYYGCYEEGSHEGACTIQ >Sspon.04G0036220-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:25037928:25039552:-1 gene:Sspon.04G0036220-1D transcript:Sspon.04G0036220-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTACFVIVSKNDIPIYEAEVGSALKKEDLAHQHQFILHAALDVVQDLSWTTNAMFLRSVDRFSDFVVFMLLHDSRSDDGIKSFFQEVHELYMKIFLNPLYLPGSRIASSHFDTPRSGRLQGNTFSMHFDEDPVVVQCHAEKWRR >Sspon.06G0006060-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:20411902:20413139:-1 gene:Sspon.06G0006060-1A transcript:Sspon.06G0006060-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNILSIKVSESDVPYPINIYGTMVARDQVDYRCVYLLKRGRDNPQRITSVVCYNGVIN >Sspon.01G0015710-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:51123042:51132000:-1 gene:Sspon.01G0015710-2B transcript:Sspon.01G0015710-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSSPSSAAPARVSARKRAAKAEEIHQNQEEEEVAAASSAKRRRKAAASSEKKPKQGKSPKAGRKKKGEAEAEEPVEDDVCADEPDEEELAIGEEEAEAEAEEQAMQDEAAAAAAGSPGKKRVGRRTAAAVGDHEPEFVGEPVPAAEARSSWPKRYERSTAAKRPDEEEEVKARCHYRSAKVDNVVYSLGDDVYVKAGENEADYIGRITEFFEGIDQCHYFTCRWFFRAEDTVINSLVSINVDGHKHDPRRVFLSKEKNDNALDCIISKIKIVYVNPNRWAVDLNSFACQSLKYNHPQTEVRNEKADDFLALLKEWSVLCDKYVHQDMGSDLAGSENEEDGSSPLDKDEFVVEKLIGICYGGSGRDNGLYFKVHWEGYGPEEDTWEPIDNLRNRNEPLKDEKNKQMVTFMDIVAYLKPKYVLMENVVDILKFADGYLGRYALSCLVAMNYQARLGMMVAGCYGLPQFRMRVFLWGALSSMVLPKYPLPTYDVVVRGGAPNAFLQCIVAYDETQKPSLKKALLLGDAISDLPEASVENHQPNDVMEYGGSPKTEFQRYIRLGRKGMLDWSFGGEAGPDEGKLLDHQPLRLNSDDYERVQQIPVKKGANFRDLKGVKVGANNVVEWDLEIKRVYLSSGKPLVPDYAMSFIKGKSSKPFGRLWWDETVPTVVTRAEPHNQIILHPTQARVLTVRENARLQGFPDYYRLFGPIKEKYIQVGNAVAVPVARALGYCLGQAYLGESEGSHPLYELPPSFTRTVAQAGASSVATPAGEVVEQ >Sspon.07G0009390-3C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:96968514:96979423:-1 gene:Sspon.07G0009390-3C transcript:Sspon.07G0009390-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNNRRPLLVAAAAGQPAPWPALLLPLHSTAISPLSSSSTWAQVLAAAPAAPPGANPPAAQAFAAAPAVPPPPAAPAPLFPAAAGPGAGASQPQPAAVEDAPTRLEQQPPRLEAHPLQPARLEQPPPPGPLHLDFAAAGGLPGGSGHLPLAPRDAAGPRPDSVLAAALVAARAAAAEGQARVRAAAVIWERERDAADALARQIAEAEQLLASPASQDAGAASSASPGRPTLPGRGVQNIRLLVPVVLEPESPSYARWRDLVLLTLRRYALDDHVLVDVSVAAQTPSWLRLDSIVLSWILGTISLDLHDLVRTSPDARRAWLALEGQFLGNAEARALRLDASFRTFVQGDLSVSEFCRRM >Sspon.07G0002240-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7A:5454346:5456217:1 gene:Sspon.07G0002240-1A transcript:Sspon.07G0002240-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRARRATTPAAYLSRSMRWLYMVVLPAVALLLLAGLACWLLCRKSAVATIGPWKTGLSGQLQKAFVTGVPKLQRSELERACEDFSNIVASYPHYTVYKGTLSTGVEIAVVSTMITSSKEWSEHSESCFRRKIDTLSRINHKNFVNLLGFCEEEEPFTRMLVLEYAPNGTLYESLHAEDFEHIAWRGRMRIIMGLAYCIQHMHELNPPVVHPDLQSSSILLSEDGAAKIADMSVWHEVISEGKTMTNGELDHHQEQVSAGLAGNIHSFGVLLLEIISGKLPFPYPGHERSLVSSALECITNDDLSIASLLDPTLGDHIHREDELAIIGEVIRACIQSDPRNRPSMREVAARLREAIGISPVAATPRLSPLWWAELE >Sspon.03G0039490-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:10857974:10870543:1 gene:Sspon.03G0039490-2D transcript:Sspon.03G0039490-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MWTASAHIITAVIGSGVVSLGWAIAQLGWVAGPAVMLLFSLVTYYTSSLLADCYRSGDPCTGKRNYTYMDAVNANISGIKVQICGFLQYANIVGVAIGYTIAASISMLGVQGSLTGISIGVVTPMDKVWRSLQAFGDIAFAYSYSLILIEIQDTIRAPPPSESTVMKRATVVSVAVTTLFYMLCGCMGYAAFGDAAPGNLLTGFGFYEPFWLLDVANAAIVVHLVGAYQVYCQPLFAFVEKWAAQRWPDSAYVTGEVEVPLPTRRCCKVNLFRATWRTAFVVATTVVSMLLPFFNDVVGFLGALGFWPLTVYFPVEMYVVQKKVPRWSPRWVCLQMLSLGCLVISVAAAAGSIAGIASDLKVYRPFKSLLSSSKRALRAGVAGDVPAVLAPDELDEVIHDALVEVLASEVGVAVGGEHLEHAVVDGQDADVERATAEVEHEHLLLWALVVDAVGDGRRGGLVDYAEHVEPGDEASVLGGLALRVVEVGRDGDDGMAENVVATYYYPTAAPAAMEVCGAELGKGTGDKCFDDDGRPKRNGTMWTASAHIITAVIGSGVLSLGWAIAQLGWVAGPAVMLLFSLVTYYTSSLLADCYRSGDPCTGKRNYTYMDAVNANISGIKVQICGFLQYANIVGVAIGYTIAASISMLGVQGSLTGISIGVVTPMDKVWRSLQAFGDIAFAYSYSLILIEIQDTIRAPPPSESTVMKRATVVSVAVTTLFYMLCGCMGYAAFGDAAPGNLLTGFGFYEPFWLLDVANAAIVVHLVGAYQVYCQPLFAFVEKWAAQRWPDSAYVTGEVEVPLPTRRCCKVNLFRATWRTAFVVATTVVSMLLPFFNDVVGFLGALGFWPLTVYFPVEMYVVQKKVPRWSPRWVCLQMLSLGCLVISVAAAAGSIAGIASDLKVYRPFKSY >Sspon.01G0038640-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1C:16033479:16034981:-1 gene:Sspon.01G0038640-2C transcript:Sspon.01G0038640-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPAQIVDSDTDTASDSATTHESTNDLSNRQTAPTQVSNTTRFQLPPPNRLAFFPGSLAAAAAAMEKEKKLSFSISSSKQRPPKPPARPAAAAYDDDADLRSAPAPAQQYVTEFDPSQTLAASAAARAVIAPLPNSGNFLTHRPRKPSSLPTPEEEAALAAESGGGGPAFVLDTSTAPDDPSSKIGYGLTRRNGATDAAAAAKESDKAPPPPPPAPTADAAAAGNLMLQRYKEDMDVLPEHRGMDEFNEVPVEGFGAALLAGYGWTEGKGIGRNNKTGDTKVVEYDRRAGTQGLGYNPSEADPRKTRSGDWIVGDKKASENGSAKRRDRDSRDRMEERDSSARKKRSSEQRSEKEGREKERNGRGSREGTSNASDTRSNVRWLQSHIRVRIVSQKLSKRLYLMKGKVVDVVGPTTCDIMMDDGSELLQGVEQDMLETVLPRMNGRVLVLYGKHKGLYGHLVEKNSEEEIGLVEDADTKDIVRVRYDQMAEYTGDPELLGY >Sspon.06G0026210-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:77756603:77757937:1 gene:Sspon.06G0026210-1P transcript:Sspon.06G0026210-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRRLHPINSDIKSGIKFHPDGDRVENADWIAIFLQHDHTDAVDVKARYKFGVLDYSGESVPTFSQETSVDDTFSSKNPSWGFSKFVKRKDLEESSYLKDDCLKLPSALETVMATESFDHLVTSCPSLIKEMLTGMPTCPQCLHTLVPASHMVKGVAHPDTA >Sspon.07G0017840-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:81118491:81124865:-1 gene:Sspon.07G0017840-3C transcript:Sspon.07G0017840-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASLGAANWLLGQVLNKLSDDLVKAYVSSTELGLNLVEIRKEMLYTKGLLEAAQGRDFAGNSGLKGLLEDLSKKADEAEDALDELHYFMIQDKLDGTREASPELGDGLSAKAQHARRAARHTSANWLSCFSCCRSQDDVAAAAKMSVDHGVHVGKLPFDRVAMSNKIKQLIEELHSNCAPVSDLLKIVSGSNPQQHMPGFAKRPDTSSEITQKLFGRDAIFENTIEEIISVTQTGKTLSVLPIVGPGGIGKTTFTQHLYNHKRIKEHFIIRAWICVSTSFDVLKLTKEILSCLPATEDVGNKTENETTNLDKLQKTVAERLKSKRFLIVLDDIWECSSNDEWEKLLAPLKRDETSGNVILVTTRFPKIVEMVTKETRPIDLRGLDPDEFWKFFQICAFGRIQDEHDDQELIGIARQIADKLKCSPLAAKTVGRLLIKNPFQEYWMKILDSKQWLEENHENDIIPALKISYGYLPFHLKKCFSCFSLFPEDYKFEKFEILRIIDYTRQNKKFEDIGSEYLDELLDNGFLIKGDENYYVMHDLLHDLSCIVSLEECAYINCSMFEANEIPKTIRCLSIFMHDTDHIQNFEEEMGKLKERIDIKNLRSLMIFGEYSRLHLVNVLRDTFKEIKGLRVLPIFMNSHNSLPYNFSKLLHLRYLKLMSPEYREVCLPSTVSRFYHLKFLDLNQWESGCSLPKDISCLENLRHFIAVKEFHSNVPEVGKMKFLQELKKFDVKKESVGFEIGELGKLMELNIFGLENVRTKQEAKEAKLMAKRNLVKLGLVWDKEQESTGDDILDSIQPHSNIRRLCIVNHGGVVGPSWLCNNNLYMKNLETLHLESISWANLPPIGQMYHLTKLKLKNIVGISQIGPDLFGGTTEKSFTHLKEVEFHDMQELVEWVGGANCHLFSRLERIRCINCPRLTALLMSSWSISSTEDNTIWFPSLHDLHIYRCPKLCLPTLPHTSKVSRIQTECFSYYDRTRLNIQKTSKLVFHNLGDVEWLTVQDALLLSFMDLQKLHSLRHIEVNRCEETFFRGLDDGAVLHTVQTLELKQFSLTRKLLSNLFKCFPALSHLDQSTLPMALLANLTSITSLELVNCKDITVDGFNRLITFNLEHLTVYNRRDGEAEPYSVAADLLAAVARTKTMPAGSFQLVRLDVDSISAVLVAPICSRLSTTLQRLDFSYDWRMEKFTEEQDEALRLLTSLQDLCFYNWLCSPSPKGCIAFLLSRNYRTQKIRSLPKEGLPDSLRLLHIQNCGPEIYEECQKLRGTRPDIN >Sspon.04G0009020-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4C:26638550:26639989:1 gene:Sspon.04G0009020-2C transcript:Sspon.04G0009020-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LTAERAVDALKLAALCDAPRLYLACARLAGKDLDAVERSEGWRFAGRHDAALRLNLLQLLHDADQRKERWERERASQHVYGQLSDAMAFLDRIFAGEGSCEDEDGVRRGLEQLMRHFAACGGRTRKPAACPRCRRAFQLLRLHASVCDRAGDGDGGEPCKVALCSNLKAKMQEEGVDKTWKLLVKKVTRARVMSALASRE >Sspon.03G0003790-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:9813986:9816599:1 gene:Sspon.03G0003790-1A transcript:Sspon.03G0003790-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLFVEKLLVGLFASVMVAIAVSKIRGRKLRLPPGPVPVPIFGNWLQVGDDLNHRNLAALARKFGDIFLLRMGQRNLVVVSSPPLAREVLHTQGVEFGSRTRNVVFDIFTGKGQDMVFTVYGDHWRKMRRIMTVPFFTNKVVQQYRPGWEAEAAAVVDDVRADPAAATEGVVLRRRLQLMMYNNMYRIMFDRRFESMDDPLFLRLRALNGERSRLAQSFEYNYGDFIPFLRPFLRGYLRICKEVKETRLKLFKDFFLEERKKLASTKAMDSNGLKCAIDHILEAQQKGEINEDNVLYIVENINVAAIETTLWSIEWAIAELVNHPEIQQKLRQELDTVLGPGHQITEPDTQNLPYLQAVIKETLRLRMAIPLLVPHMNLHDAKLGGYDIPAESKILVNAWYLANNPDSWRRPEEFRPERFLEEEKHVEANGNDFRYLPFGVGRRSCPGIILALPILGITIGRLVQNFELLPPPGQDKLDTTEKGGQFSLHILKHSNIVCKPRTF >Sspon.05G0023870-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:10988954:11011654:1 gene:Sspon.05G0023870-1B transcript:Sspon.05G0023870-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMDVLVSVALDEVCASISTGLPVTGLWTALSGEFEAAGLPLDLAVKSALFARLIALPHISLMERKPGEKPAKTVEAAERHGALLFASPALSDNFLGIYDHRYSSSKLSAKQKETLERVGASRTSGVTQKSLWESIGMETKESIGMEPNQSKPSKSIRMKANNFHYVVKTLQSQGLIVGKQAIVKSNDVGGETEYDSRDSLVVSTNLLYLSRYAKELNMNSYQRIEIAKPKLGRDEEINIDALQEDENLSVDYKSYVSIHDYLPAMKAICSSCVRYKKDLSYKMPRGHRAWRNVLRRLLDAQLVEEIGAKVDNEVIRCLRLLKKFNPNEFKRESTASNFKLGNKCLVTDQLMELPLENSIYDMIHAQGPKGATLVELCRRLGGKYNPKVLIKRVSSMLEKFNLTSQAEVVGKTKQYRYWTLENFSLYKANTALQNCDALCDHDYCPNLWSSVPSKESDSLSPQGDTCVNSKVLFQEDCRDTTVVHHLLSNHEASVGVSQPVGQGKVALQRNRHRCSTSTFDDRQKRILHILKKKNFVLMVELRKWLQKLEKENGKILDRKTLIRTLNNLQQEGRCKCTKINVPVVTNYTGSRSVDVILNPSVKVMSPELMDQIRNRLKNFDSQSRSGAAAKLKQNQRMTAIHGLRVQRRAKVKKTPVSEAIHANGFIGAKMIRAKLLHKFLWEYVSGLPNWCNLFDCVKEGQHDKKIDQSYKLKLIELVNKHVEDSDVRSGAITTYSLELRPYIEEPTPRVVPSSHVSVNHRPKFRHDFVLSKQESVDAYWETLKYCYLTAGLADQNAFPGNCVPEVSRLRSWSSLRVMTTEKRLELQKRLMNESDKERLSYKVCRIIAKDLNLSVQQVLCASSKTRHLNEQASISDTQNQQKFNRSTSRKRKRSADEIAMKFIKQKVEASGSSSQRSAKSILDKEVTERISPSSSGRPGQCYRNGSTPTYDIGTPLHTNKDMESSPLISQSTLLSKRCMGKKRFFWTSESDRKLLMIYTRYRTIHGAKISRVDWNSISDLPAPPAACCKRMSTLRANTNIRIAVNRICNILAIRYDRYLETERRSKAEELLSQIAICSCKNFEQFNWDNFDDLEIRSALDEILEFIRVGKMGQTKQISLENERRNDSNDVTEEILTEQVVQCAASTSTVVPETGFREHLKSCRLTNSVHASKNMAIPCRDHENIVELNKADITKRGVCKFLAVANALELLKVFFLSSSLGSEAQAALIATFQLYSESEIFTALSFLREKNFMVTGNGMKPVTLSGKFFNASYSPFPFGSGKKASESSKWLFVCIQIYIAGNSFTSFL >Sspon.06G0003600-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6A:10876397:10876997:-1 gene:Sspon.06G0003600-1A transcript:Sspon.06G0003600-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding KKSPLSHVPTHDGAPSPPRPTAAALAPRRPLPTTAHGSTSVIPAPLPTTARGGTSGIGDPSPPWPAAAAPAPPPYHGSWRRPSLARSVEACPPPPAVAAAAATPSSTRPLSSAPALLPPGCGSNGLNTPSCDGDKARSATACPLSQVRLCWPALPGARPATTHDGEGAASPPQRYVVVAPPPLADPVAWYLAGGSMATTM >Sspon.02G0030690-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:112687471:112693408:-1 gene:Sspon.02G0030690-1A transcript:Sspon.02G0030690-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTPDRPRAAAAVAAGFEDSPVFNFINNLSPIPPPKPLDTAHNVQLFKSSDLAPVSSIFASPHVNPAKETKVLIRDESVQLPQELHSPSSVRTRIGTSGSFRMIRCKDIVSENCNITCQLNEASIDFSDHTSNSTGQLTQSIQNAAGSMESEDQCGDGKTDLTMSQECTGLEGMNLDESGQDKMDSSHSGIVVTSEQNNDEPAAYNGDYMITHQPSSDMLTLAVTSGTETQLVNDTKSDDPYSCESFLDDQSSGYCTQNSVHEPHLYWTGAVEGAAVAYTPQALPGALQSQLMPCNKLNEPKDHMPTEQNVCLATAFTWHARRSLFNEKAGVSSKGVDKASDHHPVNSTTPKCKTISGDNSKPLRTPPCALPGIGLHLNALAAMPKEKIEIQSTINELSNLIGLSGSSPAPSEQIITNDDFNQTTDVATAEASSQGSPKKKRQKFDNGDGTSCKRCSCKKSKCLKLYCECFAAGVYCSEPCLCIGCLNNQSHTETVLSTRQQIESRNPLAFAPKVIHTSEPGLELGVRDTNLLTSPIKLLLQLVTKEDAIARSRRWRGMLHKLQMRGLLEEKNVCVKEEKSEIDKQLVIYQTTDAAPAENVLTTPSMVECRPLACLPPSSSKKSSKKPRSSTKLTGHPSRLCNLQAPPKTDIVLSPFENYAEMALAIAHQIS >Sspon.03G0012090-3C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3C:49957470:49959668:-1 gene:Sspon.03G0012090-3C transcript:Sspon.03G0012090-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding WRPASINQRRASLLRSKPDPRAKRAGTNGFPRPAESPRSPPPAGRRNEAEAPVGGHDAESGRRRRAADSPWIRLEEKGGREGELALGTEEQGRRRRRQSCGGGREGVGDSWRWLGLAR >Sspon.03G0031550-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3B:28784618:28786197:1 gene:Sspon.03G0031550-1B transcript:Sspon.03G0031550-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQEAATIGSCATKILSFPITPIAAAAVAPLLRRNGDGMGMGIGRARCACAFLPSTRREPFSFFFSSVRPMVPARHRRGPTQGKLSRSGHFWPSGPAANAKTAREPDEKLGYLYLSCKMGMAMASFVAAPHHHGSHLAAGFPFSARAGRSVGRSGATITMRAQVDAQPELTSSVSLCFSSHSSPNSHTLALVLFCSKIVSQKKKKSNSDSGSSSGGGDGRASSGRRVWRRRKLTKEDDMLRYKLDRIPFLEEKVRKVRENGKIICLDINQLMLSQENRFAFTMEVAEEANAYLEKNRHEYGLKKPILHVLSDRMNEAGFSRPEGYLYPYPIKPGPYFIKEEGN >Sspon.06G0028910-2D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6D:11737728:11737960:1 gene:Sspon.06G0028910-2D transcript:Sspon.06G0028910-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding EYLSHVPHVLSKTATVGVAMELEDSLYPLLREVSIGIDPYEVFEGVDWALLIGAKPRGPGMERAALLDINGQIFADQ >Sspon.05G0016550-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:68065479:68067339:1 gene:Sspon.05G0016550-1A transcript:Sspon.05G0016550-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIFESSLLVSIQIPSGCSSFIPRPAKSQDSQLDSSCGSSLLQSLPSLDPSTVLQVQLLESPEMATGASGDGKPSLLSTLPKQLPADFLKEITNGFHADRKLGQGAFGTVYMGFLQDGQPIAVKKLSDNSPVATEKQFKNEVGNLMAIQHENIVRLYGYCHEAQKKVIEHNGRYILVDVVESMLCYEYAPNGNLDNWIFDMSSRPPWATCFKIIKGICQGLYFLHKGTDRPIVHLDLQPANILLDDNMVPKIADFGLSRLFGEEQTRINTINVVGAKGYMAPEYLYRGEISTRSDIYSLGVLIMEITTGQKNSSHDKDMSGRDFVRQTWTDEHIASKYSSLDADSLQQVKTCIETGLKCVDIDQKKRPSIVEIVDKLDGRHAH >Sspon.02G0060040-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:107765235:107766888:-1 gene:Sspon.02G0060040-1D transcript:Sspon.02G0060040-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADVGEPPPSLCPLCGHPASISVSTPTSAAANASPARPPLKRRTPPVPEAPPAVVRVEIGDEAAALREALARQQAALADVQAELDAERGAAAGAASEAMTMILRLQREKAEAMMEARQFRRYAEEKMAHDAAELAALEEALSKRDAAGMRALTPRHPCGTAPSTGGSTPRRPSATPRHPPSSPSPAASSGGGAGGYYPPLRCCIDHPPTASEADALETPRDQLTRLAHRVHLLERGATPWPPPTRRPSSASRRDPRSRATRVPTRTTVSTYDDCGASDRVYTVDAIHGAPLAVPEGGSYGGGGTPIGSDCGYGGAPWTEDEEVRRLSARMQALEADRESMRQAIISMGAEKAQVMLLKEIAQKLCKEAAPPPVPAAAAVAQQSFYKGGNTQPAMTVTVRPPRHPPVLMQRKVVKRQPSLFAAVVKWVTSIIEFLSLNYPVPESLPSIVHAAVPRLCFVLKFFAIDGMMVGRYPIGQCGNNVGLLLLLDKAPRASGHGHQRPPKRI >Sspon.03G0023520-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3A:72071787:72073086:-1 gene:Sspon.03G0023520-1A transcript:Sspon.03G0023520-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VRVRERERHRRGHGRRAGRVSELGRWKRCWQWQWQQQMQRRHDLRAVHAEDFRGGAGDLLHDLRGLRLRGGEPEHQRHGDVPGHLRRVGPGRHGAGLLRRPHLRRALQPRRHRRIRHVWTLPLEAGAVVRGSPGAGIHAGQPYAARGVRRRHGARPLLRDGAVRDGRAGGGARVRHLLLPHVRRLRRRHRQQSG >Sspon.01G0042230-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:64301173:64314074:1 gene:Sspon.01G0042230-1B transcript:Sspon.01G0042230-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLPSDGLDDLLFDFYKKQVLKYLRTKMTPKIVRTLQRIKDGGPGSSGIGDCKLRMESFLASFVAGESFFLGGVNSRLESMFGYVLSKEVISGIVGVFALRCSKMPRMQEEFEKLVVDLLKFLLDSSCIKLYDCEVITPPMKRKDISGISPAAPAKRIATRDNPTEQPFNIDAKVRFPEVQEIPIMRDILKLDDGDVVFVKEVNLNDKLNKMSKISDALYNKTSVVRSKVDEEAAATVKNAHSPSLSIVIEERVNVDAECVSILESAKFPLTSQDKMPYNAVLSLGNSKHVRSIVVGIGGCNLRYFSLAESMAPGNEVCNYLYFPVMVGKHWLLFVVDLNRKLFFFLDSKYSKDDDFSTCTRKKLIEAFLYAWALFVGLNTRFDEFLAGQSNNVSPVTSSLRPHVLDIPVPLSQGSSSQHATCALAQ >Sspon.08G0010100-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8A:44472214:44472909:1 gene:Sspon.08G0010100-1A transcript:Sspon.08G0010100-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding YENVSVDALPTTIDWRTKGAVTPIKDQGQCAVAATEGIVKISTGKLTSLSEQELVDCDVHGEDQGCEGGLMDDAFKFIIKNGGLTTESSYPYTAADGKCKSGSNSAATIKSFEDVPANDEAALMKAVANQPVSVAVDGGDMTFQFYSGGVMTGSCGTDLDHGIAAIGYGQTSDGTKYWLMKNSWGTTW >Sspon.07G0027140-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7B:58889666:58893906:-1 gene:Sspon.07G0027140-1B transcript:Sspon.07G0027140-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VVLGESIKSRWGFLSLSALTMKQQQQTFSRAPVFSYEGSNSFCGATSRVELKMFLKMFGKNKAHIKSTYLHLIFLRPIPTSLRVARPHCLCLPAGLRRLLRSRIYCQPLGLNLSLSNLPPPPSPRRLLHLQSISPLPPPPPGS >Sspon.03G0008020-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:21694088:21694992:1 gene:Sspon.03G0008020-1A transcript:Sspon.03G0008020-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRKPAAQQRGVGGAGAGVMRTTLKRGAWTPEEDELLSRAVAKEGEGRWRTLPRRAGLLRCGKSCRLRWMNYLRPDIKRGPIAADEEDLILRLHRLLGNRWSLIAGRLPGRTDNEIKNYWNSHLSKKLIARGIDPRTHTPLPGAAASPYSHRAGAAAAAAGSADKTPPPPEVEPLFAPPPPAHPSSSCGAGVGCDFATSMMGIGAEGFDGFGDPFCAPDVAARGGFDLGCPIVDDATFSSFLDSLMNEERIADYFGDHKDADGENDLGGA >Sspon.06G0025370-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:66296091:66298864:-1 gene:Sspon.06G0025370-1B transcript:Sspon.06G0025370-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to TaWIN1 [Source: Projected from Oryza sativa (Os11g0546900)] MSPSEPTREESVYMAKLAEQAERYEEMVEFMERVARSAGGAGGGEELSVEERNLLSVAYKNVIGARRASWRIISSIEQKEEGRGNEAHAASIRAYRSKIEAELARICDGILALLDSHLVPSAGAAESKVFYLKMKGDYHRYLAEFKSGAERKDAAESTMNAYKAAQDIALADLAPTHPIRLGLALNFSVFYYEILNSPDRACNLAKQAFDEAISELDSLGEESYKDSTLIMQLLRDNLTLWTSDTNEDGGDEIKEAAAPKESTEGQ >Sspon.06G0002840-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6C:7511626:7515545:-1 gene:Sspon.06G0002840-2C transcript:Sspon.06G0002840-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SFITSQQGTRFSPFAPPRLEATSWPGVIKTEENPYYTHQIPLGSSSSSSRQQHFVGSTTPAYAKEGRRFPFLQEGEISFATGVVLEPPACQPLLKTVAVAPPESSSSSAGGGKMFSDGLTRVLDSECALSLLSAPANSSGIDVSRMVRPTEHVPMAQPVVSGLQFGSSSWFSPRPQASTGATTGGGFPSCPAVVEGEHQQLNTVLSPHDNEVNYGGMFHVGGGSGGGEGSSDGGTSSSMPFSWQYAAPSPTPRRDARCPFTPARGALSYPRGKPNPKPNLKSNPIPNPKPNPKTVGEKPSPNPNPQDPKPAGIRPEPDPLPSLGVPPGGLLPPRYPPLARRPLPARRPPPPTAPTWRGALHTPAQHPPHLGGTLSHPRRPSSSHPAAMALPAPGSLSPRPLLQLYVSIVSEGSEIYVAIVASRCFKSRSEVFARVAMAPVADEQRLVAGLRLLPHFELVLNVEFSGAAARWDTGRVHCTLRGGGAASVYGALAWDGRWWGTPVWVGCVAKLIPVFAGRAQQPTWRPDERCPSAVRALA >Sspon.08G0019940-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:15951437:15954641:-1 gene:Sspon.08G0019940-1B transcript:Sspon.08G0019940-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIHGLILEVRVTGCRKLRDTEFFTRQDPYVVLEYATTKLRTRTCTDGGRNPTFDEKFHIPLIEGLRELNVIVWNSNTLTHDDFVGSGRVYLHKVLTNGYDDSSWPLQTRHMRSAGEVKLIMHVDVSAMKNKMGRSVAASSAHPVPALSMPVPTPAPAPAPAPAPAPAPAPALASAIPYTGVTPSYPPNIHHLRSNHTNPHPLDTLHPIHRNHMSNLTHRNHMGNNHTRPRRQHSPRIHL >Sspon.02G0017910-2D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1D:27958109:27961188:-1 gene:Sspon.02G0017910-2D transcript:Sspon.02G0017910-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSVHHLHFSSVVQTPPSTTAAAAAARSRRWVTPVVATVAPLSALLACGAAVMLLFRRQKRRRQRLQVSGRAI >Sspon.08G0005200-3C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8C:15875881:15877313:1 gene:Sspon.08G0005200-3C transcript:Sspon.08G0005200-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLPVHLLLLLPLLAVVSFLWLSRGSLCRRGSGERLPPSPWALPVIGHLHHLAGALPHRAMRDLAARHGPVMLLRLGGLPVVVASSADAAREVMKARDIEFATRPVTRMVRLAIPEGAEGIVFAPYGDRWRQTRKICTVELLSARRVQSFRPIREEEVGRLLHAVASAAAPRPRAVNLSELLAVYAAESSVRAIIGSRIKDRDTFLALLERGLKLFANMSLPDLYPSSRLAMLVSRMPGRMKQHRQEANAFMDAMVQEHGESRAADDGDKEDLLDVLLRIQREGDQQFPLTTDNIKTVIGDMFAGGSETGATTLQWIMAELMRNPGVMKKAQDEVRQALAVAGRQRVTEDNLSNLHYMHLVIKEGLRLHPPLPLLLPRECRSSCQVLGFDVPAGTTVFVNTWAIARDPSYWDKPEEFVPERFEDSSVDFKGTDFEY >Sspon.02G0019430-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:63311111:63320094:-1 gene:Sspon.02G0019430-1A transcript:Sspon.02G0019430-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MYAPPPSVGGMWSQPRRNHDEEYATARDHRRHDADYRNDGNHHEFDSYRGVDRLRDNYHATDNYYESGSHRDFGVDRSKRIGSREHAEFHGEFEDRYRSSHQSREDSYERDHEYGRYGYDSDYDRGRRDSSWRRRDSCESERERSGLSREREESPYMRHSRSRSHGRDDRSRSRSRSRSPRARSHGRNQRDGLYDYNRFDRRRGYDWDDRRHGDSVVPSATVVVKGLSLKTNDDDLYQILAQWGPLRSVRVIKERNSGMSRGFAFIDFPTVEAARRMMEATGENGLEIDGRNVFFQYSSKPTGGMVGPSLGQENFTRPTYGHRTAAAPCDWICTICGCMNFARRTSCFQCNEPRTEDALPADATGPTHVLVVRGLDENADEEMLRYEFAKHAPIKDIRLVRDKFTHVSRGFAFVHFHSVEDATKALEATNGITLEKNGQVLRVAYAKSTHGPASGGSQSNSLAAAAIEAASFAQQYDAIGWAPKEYNPDDKQSSNSESQKDGGTTQSGFVWDEKSGYYYDSASGFYYDGTTGLYYDSNSGVWYSYDQQTQQYVPCNDQNNTKAAGEAASENTKTSDSNSGKKVVISAPAATIKQSEKTSLPDAVQAAANAALAAEKREKEKAKEIKLASKSSLIANKKKMNNVLAMWKQRNQEGQVARVVLDDKEPSSSDDKFNHSHSGTGFSLKSKPNSDFENAMDNSIGQGIVSTHMLDSDIKPRPVSNSLGTTVMGVIRGSARGVIKSDTAFHALSDAGSTDSRTTISTRASGLMTSPEALVTPAPFKTDLSALVSNTSSGVSGSGKRRFSEAPGQSQYRDRAAERRNLYGSSLGTDTIGLDSTGDYPSRKGSSEIGSMPFPPGVGERSSGEIGNSENYEVITADRAIDESNVGNRILRNMGWQEGLGLGKDGSGIKEPVQAKSVDVRAGLGSQQRKADPSLEAQAGDSYKTIIQKKAMARFKEMS >Sspon.01G0027550-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:96430074:96433459:1 gene:Sspon.01G0027550-1A transcript:Sspon.01G0027550-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSLGVEGIMFRRKHASHFDSSDADQRKAKIDELKSALEPLSARSEKYCSEACLTRYLEARNWNVAKSRKMLEDNLKWRAAYRPEDIRWVNPPIDFSLPDVAFLKYHVSVEGETGKMYRASFRDREGRTVVVMRPAKQARSPFYKVLSLSVFSFRKPLLSVSFVQNSSNTSSHEGHIRFLVYSLENAILSLPEGQEKMIWLIDFTGWTMANAVPIKTARETVVKYFLDPRTIEKVKYIYPKDEESIKVIHKYIDPEVLPMEFGGKSSVVYNHEEYSELMAKDDIKRSSIWGADAQADHVNHVIDGLYKVS >Sspon.06G0002600-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:6626683:6629104:1 gene:Sspon.06G0002600-3C transcript:Sspon.06G0002600-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proteasome subunit beta type [Source:Projected from Arabidopsis thaliana (AT3G60820) UniProtKB/TrEMBL;Acc:A0A178V6R9] MARFDPYENNGGTCVAVAGADYCVVAADTRLSVGYSILSRDHSKIAQLADKCVLASSGFQGDIKALQKALTAKELIYEHNNNKKMSCPAMAQLLSNTLYYKRFFPYYAFNVLAGLDTQGKGCVFTYDAVGSYERTGYSAQGTGSALMMPVLDNQLKSPSPLLLPALDAVTPLSESDAVDLVKDVFASATERDIYTGDRLEIVVINSSGTHRECIELRKD >Sspon.03G0028600-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3B:9867498:9868607:-1 gene:Sspon.03G0028600-1B transcript:Sspon.03G0028600-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLAKTLLLVALVVVSAVELCRAIEFDERDLASDEALWDLYERWQTHHRVHRHHGEKGRRFGSFKENVRFIHAHNKRGDRPYRLRLNRFGDMGREEFRSTFADSRINDLRRSESPAAPAVPGFMYDDVTDLPRSVDWRKESAVTAVKDQGHCGSCWAFSTVVAVEGINAIRTGSLVSLSEQELIDCDTDENGCQGGLMENAFEFIKSYGGITTESAYPYHASNGTCDSVRARRGRIVSIDGHQMVPTGSEDALAKAVANQPVSVAIDAGGQAFQFYSEGVFTGDCGTDLDHGVAAVGYGVSDDGTAYWIVKNSWGPSWGEGGYIRMQRGAGNGGLCGIAMEASFPIKTSPKPARKPRRALISRDTSSQ >Sspon.06G0010720-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:45964777:45974073:-1 gene:Sspon.06G0010720-2B transcript:Sspon.06G0010720-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLSRELVFLILQFLDEEKFKETVHKLEQESGFYFNMKHFEDLVQGGEWDEVERYLSGFTKVEDNRYSMKIFFEIRKQKYLEALDRHDRAKAVEILVKDLKVFASFNEELFKEITQLLTLENFRQNEQLSKYGDTKSARNIMLLELKKLIEANPLFRDKLNFPPFKASRLRTLINQSLNWQHQLCKNPRPNPDIKTLFTDHSCAAPTNGARAPPPANGPLVGSIPKSAGFPPMGAHAPFQPVVSPSPNAIAGWMTNANPSLPHAAVAQGPPGLVQAPNTAAFLKHPRTPTSAPGIDYQSADSEHLMKRMRVGQPDEVSFSGASHPANMYTQEDLPKQVCRTLNQGSNVMSLDFHPVQQTILLVGTNVGDIAVWEVGSRERIAHRTFKVWDIGSCTLPLQASLMKDAAISVNRCLWSPDGTILGVAFSKHIVQTYTFVPNGDLRQQAEIDAHIGGVNDIAFSHPNKTLSIITCGDDKLIKVWDAQTGQKQYTFEGHEAPVYSVCPHYKESIQFIFSTAIDGKIKAWLYDCLGSRVDYDAPGHWCTTMAYSADGTRLFSCGTSKEGDSHLVEWNETEGAIKRTYNGFRKRSLGVVQFDTTRNRFLAAGDEFLVKFWDMDNNNILTTTDCDGGLPASPRLRFNREGSLLAVTTSDNGIKILANTDGQRLLRMLESRAFDGSRGPPQQINTKPPIVALGPVSNVSSPIAVNAERPDRILPAVSTSGLAPMDPSRTPDVKPRITDESEKVKTWKLADIVDNGHLRALHLTDTDTNPSKVVRLLYTNNGIALLALGSNAVHKLWKWQRGDRNPSGKSTASVAPHLWQPANGIPMTNDTNDGNPEEATACIALSKNDSYVMSASGGKVSLFNMMTFKVMTTFMAPPPAATFLAFHPQDNNIIAIGMEDSTIQIYNVRIDDVKSKLKGHQKKITGLAFSQSMNVLVSSGADAQLCVWSIDGWEKKKSRYIQPPANRPGTLVGDTRVQFHNDQTHLLVVHESQLAIYDGNLECLRSWSPRDALPAPISSAIYSCDGLLVYAAFCDGAIGVFEAESLRLRCRIAPSAYIPPSILACAGRVYPLVVAAHPMEPNQIALGMSDGKVHVVEPLDGDPKWGTAPPQDNGVHPAISAAPSAAPNQASDQPTR >Sspon.01G0013130-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:35816449:35820735:1 gene:Sspon.01G0013130-1A transcript:Sspon.01G0013130-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETVDDKVSYLQAVTGISDTDLCTEILAAHNWDLQLAVSSITANPSSPSASASTSSRADPAPSAPLAADAEFVAPSPPPPPPMPLPPQQQQQQPGIAWRLVTLPFYVVSGGVGLVAGTFRLGAWVAGGVLSRSLSLLGLAGQAGSGDRLLELPPSAAEAADFVAKFEREFGAGRGPRFVAQGFADALQRAQREFKLLFVYLHSPDHPDTPAFCGGCLCSEPVAAFIDENFVAWGGSIRRTEGFKMSNSLNASRFPFCAVVMASTNQRIMLLQQVEGPKSPEEMITILQRVVEECTTSLVAARIEAEERLNNQRLREEQDAAYRAALEADQARERERIEELERREREAAEAERKRKEEEEALARAAQEAAEKEAALARRRQEKAMALGAEPEKGPGVTRVLIRFPTGERKERRFHSSTTVTSLYDYVDSLDCLKAEKYSLVSNFPRVTYGPEKHSLTLEEAGLHPQASLFIEIEQ >Sspon.05G0023340-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:7023352:7024897:1 gene:Sspon.05G0023340-1B transcript:Sspon.05G0023340-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAFSSTGACVLLALLLGLCTAGAAAGRVDDGLEVTWGDGRGSVSPDGQVLTLSLDHSSGSGFRSKDTYLFARADVQIKLVPNNSAGTVATFYFISEGPWDVHDEVDLEFLGNVSGQPYTLHTNVYAYGNGSREQQFHLWFDPTTDFHTYSIEWTQQHILVLVDGTPIREFKNHADRGVPYPSSQQMRLYGSLWDAEDWATQGGRVKTDWSQAPFVAQYRNFTATAPADATASAANGYGQQMDVAAQQAMKWARDNYMVYDYCADTKRFPQGVPPECSMP >Sspon.07G0035710-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:12773791:12775999:1 gene:Sspon.07G0035710-1D transcript:Sspon.07G0035710-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VNKATSFMSHLLTVLSEQRHLAYNLFDQLNQFRHAIFLLGSGGLENQFANSLVILYRNRKEPMGHEWGDELAAPSPLFLEQSYDSVHLLQQVNSQKMLEGVSINHSTLLNTDNWKHANQQYFKCLAKMQQLRQVNKAMSFMSHLLTVLSEQRHLAYNLFDQLNQFRHAIFLLGSGGIGKSKSLSSSQNMLLSSMWQQKKLFDTVLTMTTDSNLLLRSFKDCHYNSCNNFIEVAEISSLLGKFILKFSESKELLDKCLLGCNNIFAGAYKSMPHAATEMEQLFADNCQHIDSLLMNTCLDPIIYLQMHIRLIDSLGEDMQAHCHQDLSVGSVKKVLISRLEELLEKFSSLLVDFQATTTPHNV >Sspon.04G0024650-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:25116804:25124200:1 gene:Sspon.04G0024650-3D transcript:Sspon.04G0024650-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVCSRKRSQLVDEGDSLQTSPRFSKTSSLKWLLLSLPRSNSDVSGKGQGNEPGRCPSLMELCVARVCQDIDKHSTFAMLPRDLSQQIFNELVNSNRLTEALLQIFRDCALQDIGLGEYPGVKDAWMEVVASQRQSLLSVDISCSEVTDSGIDLLTDCSSMQSLACNYCDQISESGLVVLSGLSNLTSLSFKRSNAVTAEGMRAFANLVNLLNLDLEGCLKIHGGLIHLKDLTKLESLNMRYCNYIADSDIKYLTDLTNLKDLQLSCCKITDLGVSYIRGLQKLTHLNLEGCPVTASCLEAISGLSSLVLLNLNRCGIYDDGCEYFEGLKKLKVLNLGYNYITDACLAHLKGLISLESLNLDSCKVGDDGLSHLKGLVLLQSLDLSDNDVGNNGLQHLSGLCNLQSINLSFTLVTDIGMKKISMLNSLKSVNLDNRQITDVGLAALTSLTRLTHLDLFGARITDYGTNCFRYFKNLLSLEVCGGFVTDAGVKNIKDLKALTLLNLSQNVNLTDKTLELISGLTALVNLNVSNSRVSNAGLKHLNDLQSLRSLSLDSTRVTANEMRKLQATMLPNLISMRPE >Sspon.01G0062770-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:107287319:107288255:1 gene:Sspon.01G0062770-1D transcript:Sspon.01G0062770-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSRQIDDGPGVLQLRRWTVLQPHLKLSEFREAFISPTRRLFGLLSEHGDLVLATAEVNPSQVESHRALSDTCTQAVFKTLSTIPRVKSLAWGYCSDAFDDSSFNEILVVSSDTSITVHAFCCSHKSTLAVNSTSDAKELHGEWKEWHPTECAVLEDVSC >Sspon.01G0026330-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:96816598:96817741:-1 gene:Sspon.01G0026330-4D transcript:Sspon.01G0026330-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VHGPSCRAGEEGLARHRRAPRPPAKDRSEEAEERGADVRVPRRARHVADAEGDGRAGAAGGEGGRRGRGRRGRRRCQEEEERVATVHLLLLRVLS >Sspon.03G0013490-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:49902405:49904185:1 gene:Sspon.03G0013490-2B transcript:Sspon.03G0013490-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MENQMNVVASRRQPGDWSCRSCQYVNFCKRDACQRCGEAKLGVDRTDYAALGGDWDVKPGDWYCYRCSVNNYASRGSCFKCGAAKNESPAAVAQGWGYTVAGQPGMKPGDWICPRLGCHVQNYANRTECFRCSMPKSYYG >Sspon.02G0046870-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2C:8745106:8745312:1 gene:Sspon.02G0046870-1C transcript:Sspon.02G0046870-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLFLVSVSFSLASNPFGRAVPRAHEGPTIIDTHGHGPMSSTHTVGHFRPGPARTEVGASEISSKRL >Sspon.01G0022820-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:81877671:81883833:1 gene:Sspon.01G0022820-3C transcript:Sspon.01G0022820-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISEEPEGAAGDGGVTKRGSKARGKGAAKGRGRPKRRSDDRPFAAPAMDGVAFGDRVLRERRHAPNVFCERDTDDDDEETATNQFKPQRKKRNDAGKKKGPRKTKPEHADSKAHFPNSKCHDDRKGDGAETVRGKDSRNGEVLQTAKKRKKRDAGKVSVTKRLKREDEEQKKASSSKHKDCNETNRKGKKMLTGDNALMCHQCQRNDKGRVVWCKSCRNKRFCVPCIQRWCKMNLLNNAHIVARTVIARDAYECEELKRYFPSKFSCLLLINKFPFLTKQPPKKEISEGNQIRYACHVLHLLLPWLRQLRQEQQEEKKLEAKIQGVLMNEVKLEQAECNIDERVYWFVDFHRSCKYCFYDLCLSCCGEIRKGEIPGGEEVKILEPEPSDKAYIFVTKNQHKRCSLRGHNSSLKNVSSNGMGSSEAPKNSLLLWKSESDGSICCPPKELGGCGGSVLDLKCLFPEKMLSDLEERADRIVRSKVFAKAVTKRTDQCPCYDHSGSVRIHDVREAANRKCSSDNHIYCPVATGIKEDDLVHFQMHWTKGEPVIVSDVLQLTSGLSWEPLVMWRALREKKTNGDVEDEHFAVRAIDCLDWNEVEINIHMFFMGYMKGRMHPTVHWPEMLKLKDWPPSSSFDQRLPRHGAEFISALPFPEYTDPRYGPLNLAVKLPDGTLKPDLGPKTYIAYGFHQELGRGDSVTKLHCDMSDAVNILTHTTQVTYEGYQLKKIEKLRKKMKEQDLQELYGVSESGTERDLLSSSTDSRNLTVDETSKISCKDAGQCSDYIDKNNSYAGMHNGAHCITGMSGDHERTGGALWDIFRREDSDKLQDYLRKHATEFRHVNCNPVKQVIHPIHDQTFYLTEEHKRKLKEEYGVEPWTFEQRLGEAVFIPAGCPHQVRNLKQQMFLLLKPKLFLFVQSCIKVAMDFVSPENVDECIKLTGEFRRLPPGHRAKEDKLEIKKIALHALNQVINFLDPFSEGLKSGAAQPKNKKEAEAEEQKKPKRGHQCCKSNDEATDDEPKKKAGRQRGEKSGDGKSKDDAVDEKPPKRQSNRLKGSGKRR >Sspon.01G0019730-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:71579388:71580649:-1 gene:Sspon.01G0019730-4D transcript:Sspon.01G0019730-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKATSGNQVEKTEDQNKQVLESDEQIGALNQSKPIKRNNRRPLKSLDSGAADELGVLRRCEGREKARRKSKDAVKDEVEENVEVKSKNVRKASGRAAPKNSRKRKLDNANSEPSSPASTDDDIELTVEDLVSIAEEFVNADKQKQCELETVKATRQKEHLPCSTISAEADTGQSIVNDGSVKGLMQCTTVTRNTRAIEYTEDKNTSHQEVKCLSSIKTTEDVAQDMINLLFGHLLSKPAGNTKKSDPVESMTRTINQVPEEKGWHSEVPRQEEPVKKSEPVESMTTTTNHVPEKKDWRSELPKLGEPVTKKKSSLRDKVALFM >Sspon.02G0033710-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:8515195:8519472:1 gene:Sspon.02G0033710-1B transcript:Sspon.02G0033710-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALLALVLLLLSGGGAAGDDVAALLEFKKGIADRDRDRVLGSWSPPATTESGSGGGGCPASWRGVVCDGDAVVSVALDGLGLAGELKLGTLSGMRALQNLSLAGNAFSGRLPPGIGSLSSLRHLDLAGNRFYGPIPGRLANLSSLVHLNLSHNNFTSGFPTDGIQQLQNLRRIDVRNNNFWGNATDLLAKLRNAEHIDLSDNLFTGSIDLDIESLASIGNTVKYLNLSHNKLDGGFFRNETVGAFKNLAVLDLSNNGLAGTVPRLDAWFSLEIFSVAGNGLFGMMPETLLQNSMRLVEVDLSRNGFSGSVPIVNSTTLKVLNLSSNVLSGSLPATMGKCTSVDLSGNLFSGELAILRSWDGIVEVIDLSSNKLVGSYPNDASQFQNLVSLKLRNNSLSGSLPSVLGTYQKLSVLDLSQNTLEGSVLPTLFMSPTLTVLNLSGNKFSGTIPFQSTHSTESILLSSQPALRIVDLSSNSLTGPLPPDISNLQKLEFLILMMNELSGEIPSEISKLQALEYLDLSHNHLTGRIPDMPQNGLKVFNVSYNNLQGTVPKSVEKFPLSCFRPGNDMLVFPDGLPASGNDDYTGVGQSQTSHGHKAGVRVALIIGCIGAVLLVIFIALALYVVRSQELCGRNGFRSQITIRDLKGRISRPNLFKSPKDNVIPSKTSFSNDHLLTAAARSMSAQKELLAEASVEYGYTDPKEVAESTTLGVTETSSAIQAHESSPRSALPTSPHFADSRFLEEPVAFEVYSPDRLVGELIFMDSTLVFTAEDLSRAPAEVLGRSSHGTTYKAVLQSGHVLTVKWLRVGLVKHKKEFTKEIKRIGTIRHPNIVSWRAFYWGPKEQERLIISDYVNGDSLALYLYESTPRRYSRLSVSQRLRIAIDLARCLQFLHHEKGLPHGNLKPTNIFLTGPDLSPKLVDYGLHRFMTPSGTAEQILNLGALGYRAPELANAAKPAPTFKCDVYAFGVIVMEMLTRKSAGDIISGQSGAVDLTDWVQMCNREGRGTDCFDRDITGLEESPRIMDELLAISLRCILPVNERPNMKTVCDDLCSITA >Sspon.06G0028730-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:11174175:11186804:1 gene:Sspon.06G0028730-1C transcript:Sspon.06G0028730-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGGGLEVEVRVVGGARSCFAALPLHLIHALERTSATSDLPPVLALELRGPAGARWSLAWSGAASRSRAIEVAQELAECISLPDGTTAQLSVARSLAKADSVSIEPYSEDDWEILESRADLAEETILKQVGIVYEGMKFPLWLDGHNIVKFVVVSSFPEKTVVQLVPGTEVAVAPKKRKEKPPQDLQKQSALREQVKTKALLRVQAADRKYAHTFKYKGVEIGVVVSYAVLIHPDTAASISVGNLQLVTVSPKSSKKGLALKGKEVGQKKGISVTKERAHEAIIYILLSDSVAKGHVMLPYSIRHFISADTYSANIKEDEPLVTISPLQFKMRVKDAHDSSKLVSQEADTSRITRIPSENGDFFQEARYSESENLHGADIESISESVSKQKFFIKHWLIGHLKEMGLHASHTKMNSIVLPTNILLHLEAIDKEGTKGVEFLYLLTLTSENSSFNNSQLNVETAWSVPTGKSDDLELHFGKLELGEPVSFDSLVDSGSSDGFKLTRSSLGWMENAMSDVTKRLSVLLSATSLRLFNRIKFPFPGHVLVYGPRGSGKTALTRASAKYFEDHKDILAHIIYIDCSKLALGKAKETRQEIEDRMSEALLHSPSIIIFDDLDSVISVSSDPQVSQSSSSSDSLVRYLTDIMDEYKDKIRNTCGYGPIAFMASVQSLQSLPQDLTSSGRFDFHIELPALAVPERKALLQHQVGEHELLCSEEVLSEIASKCEGYDAYDLEILVDRAVHAAAYRFLLPSNASHNSLKRTLLMEDFSKAMHGFLPVAMRDLRKYAPDDKDGGWEDVGGLNEAVTIIKETLELPSKYPNIFTKAPVRLRSNILLYGPPGCGKTHIVRAAAAACSLRFISVKGPELLNKYIGSSEQSVRDFFAKAVAAAPCLLFFDEFDSIAPQRGTHSAGVSDRVVNQFLTELDGVETLTGVFVFAATSKPQLIDAALLRPGRFDRLVFCDFPRWDERLEILKVHSRTVSLASDASLEDVASLTEGFTGADLAAILTDAGLAAVHELLDNQENGVPESEPCISKELLMSVTRKARPSTPADEKRRYDREFGEFVSSRKSISTKLLGWGKAIGGTCSRPKALVNQSDSITHDVHIELAIDHADTGPEAA >Sspon.05G0010200-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:31615237:31616906:1 gene:Sspon.05G0010200-4D transcript:Sspon.05G0010200-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPLLKPHSHVVVAAALHSVRRSADRHGRCPATVHLGRFHDHGCSRSGRSKRSGSAKVSAFPSLDVVPLMVTMVEHVDMSRDYVVTKSIWHLSDAALKSVCESSALTDPFYDSDTYRSAGGDGTVHWYYDRQEDLEASAREELLREELLEEIEQRVGGLRELEEVVIK >Sspon.04G0006030-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:16694993:16695298:-1 gene:Sspon.04G0006030-3D transcript:Sspon.04G0006030-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAHLACAFFFDAAEPAVGEPSLHALDACALCAKPLARDSDIFMYRGDTPFCSEECRYEQMQLDAIRARSGGRRQPSYSSGAEARRGHRDTRKVSAVAS >Sspon.03G0024730-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:75112528:75115433:1 gene:Sspon.03G0024730-1A transcript:Sspon.03G0024730-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding KYNNASRQFQISGLQIPFKEPSPRSKDGSCPETVQCAVPCIVTLQPSVCRNCQGREQSVSQSYREERSMSFHGDYLMAPSLSKHFAEDLLRGAMDLQESIVMLERFQAASQSMRLPNKKRRPETGEKSTEIDTIIREVLLKPSNAKQVLPVSANDGLKQQLRNSTDELKNVVKDSFYRKNHLSVPNNEQASLSQSARYLPNNYLMPKPTQQKKVVPRSLPSCAAVQPEKSKGPSLVAKLMGLDGLPSPKSNSTVKDDKIKTASSPRALFDTERPKSKRLLPQLFREESGFDTEMPWSEKLPPEEFNVQKNSTSSQKGIGTSYNNRVINEIVSMKSIHSDTNVEQARPKSPKEIKIVSPTSRKQQANENTEINRRTMEKQKPYLSERNSQGRNVTKAKAGPVSRNAEVVKRRDRKSVASRSSRTCDSVKPNVSKPSNNSRVKRVPMRNVKSSTIDELVAYEIEREIFHALDQIDGPSTEHSATPSDEGCPSADSDEASSVGDILKDSGEPNETLLSAIHDYRISSADGDAIHPSTYRTPTKEAEIKDQISLLLLSDKSFLSRAAELIGIDVYGDLNDQCNRISKVEMKNHKLYLDAAGEQLELKHCQQNSPCYTRLQGQKCRSSEYFSLEELLRDISNGIRNLNGYCSEDARGSKDNVDMKVERDLSCTDALINSVWDMGWQGLICMEETDFFVRDAGEEILSLLIEDAVLDMCLH >Sspon.08G0000550-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:516885:523937:-1 gene:Sspon.08G0000550-2B transcript:Sspon.08G0000550-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMAQVEERVGLDSPGLPIDKRLPPDAVKSPLMDNAIQPKEGTDGYPVKARKPYTITKQREKWTEEEHGKFLEALKLYGRSWRQIQEHIGTKTAVQIRSHAQKFFSKVVREPGASNAIEIPPPRPKRKPLHPYPRKCADNTTMANPPTGEPKNAPISSSSGSDQENGSPVSVLSAMQSDAFGSSDPSMGCTSPASSDDGNNVAEVVNGEENLVTQQIEDDRSRQELKQDNSDGELSEEDSSRVQETSLKLFGKTVIIPDPKKVCSSDGGAGDGEKDSHSSMQEMLQASPVGGVAAYPAHNGWILPFHSFPLHMYESGDARISPLHIWWPYYGFSVGHPRSFGTGLHNEGASESDTGKSPSVESSSDCMGNVQTTTPTNCKVVKESLGPVQTLESGPSVELKPSVNSAFVRVKPSSSCRDQPRNYGVGLLYRGSLCNPRIVHCWSRSTVYRDEFREELPFLALAITVESEPFNS >Sspon.04G0021450-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:640449:644785:1 gene:Sspon.04G0021450-1P transcript:Sspon.04G0021450-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPTTSRRGPGGARNMDDENLTFETSPGVEVVSSFDQMGIKDDLLRGIYGYGFEKPSAIQQRAVLPIINGRDVIAQAQSGTGKTSMISLTVCQIVDTAVREVQALILSPTRELASQTERVMLAIGDHLNIQVHACIGGKSIGEDIRRLENGVHVVSGTPGRVCDMIKRRTLRTRAIKLLVLDEADEMLSRGFKDQIYDVYRYLPPELQVSLVINYDLPNNRELYIHRIGRSGRFGRKGVAINFVRKDDIRILRDIEQYYSTQIDEMPMNVADLI >Sspon.02G0022820-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:76939163:76939640:1 gene:Sspon.02G0022820-1A transcript:Sspon.02G0022820-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASANSWTLEIASPVAAPLLFRAAVLDWHTLAPKLASHVVASAHPVEGDSGVGSVRQFNFTSVMPFTFTKERLDFLDLDKCECKQTLLEGGGIGIGIETATSHIKVEPAAGGGSVAKVETTFKPLPGVE >Sspon.02G0015860-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2B:41846966:41850238:1 gene:Sspon.02G0015860-2B transcript:Sspon.02G0015860-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RRHEWEVSYQFKSPDCVRKLSATINGQTPGPTIRATQGDTVEVKVRNSLLTENLAIHWHGIRQIGTPWADGTEGVTQCPILPGDTFTYAFVVDRPGTYMYHAHYGMQRSAGLYGVVVVVAADDDAEPFAYDDEHDVLLNDWWHNSTYEQAVGLASVPMVWVGEPHSLLINGRGRFNCSAAVPGTCNATSPECATPVFAVVPGKTYRFRIVSVTSLSALNFEIEGHEMTVVEADGHYVKPFVVKNLNIYSGETYSVLIKADQDTNRNYWLASNVVSREPATPTGTAILSYNGDRAPPATTPPTGPAWNDTMYRFQQSVATVAHPAHVEPPPPRADRTILLLNTQNKIDGHIKWALNGVSFALPHTPYLVAMKRGLLGTFDQRPPPENYTHQGYDVYAPPPNPNATTSDGLYRLQFGSVVDVVLQNANMLAPNKSETHPWHLHGHDFWVLGYGIGRFDPAVHPASYNLKDPILKNTVAVHPYGWTA >Sspon.01G0046960-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:93075344:93078702:1 gene:Sspon.01G0046960-2C transcript:Sspon.01G0046960-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLAPASWALPLQTGGAGAAAAAAGPSCRAMLAVAEPRWAAALRRPRVLVAPARCAALDGPGASGEEAKIEEQKKKKPARGRPVWRRILFASKKTRSIIILNALTVIYASDIPVLKEVEALTEPAVFNMVRFVVAAIPFVPFAVRAFGDRRVRYAGLELGVWISLAYLAQAIGLLSSDAGRASFITAFTVIVVPLIDGLLGASIPKLTWFGAIMSLFGIGLLECGGSPPCVGDILNFFSAVFFGIHMLRTEQISRSTDKEKFLALLSFEVLVVAFSSVLWCMFKDGYVDTSESSFDSWTFGMLWDTAASFPWIPALYTGVLSTVLCMWAEMVAMGDVSATETAIVYGLEPVWGAAFAWFLLGERWDNTAWIGAALVLCGSLTVQLFGSAPEKSKKIKKRSSNALETPVKQQDYLSLSPIPVDSGKFIGRQLERRNKAL >Sspon.02G0007020-3C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:22079240:22084971:-1 gene:Sspon.02G0007020-3C transcript:Sspon.02G0007020-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTAESQFHAVIPRGRLRQRDRRRAHDIISSTAAPRFLVGRRLSAGAAVAAGSRRLLTAGHRPFAASSGTPQAAGGASGPSSDGGSSMSSAPLLGAESGGEPAAARPPPPSSWVERVVDTAEARAQLGFAVPMVVTSMAYYGIPLVSVMFSGHLGDVQLAGATLGNSWATVTGYAFVTGLSGALETLCGQAYGAGLYRMLGLYLQSSLIMSAAVSAAVSALWWFTEPVLLFLRQEPEVSRAAAAFVQAQVPGLFAFAFVQCLLRYLQTQSVVLPLVVCSVAPFALHVALTHLLVNVLGLGLAGAGAAVSATFWVSCLMLLAYVLRSGAVSETWKGFSAEAFKYVPPTVKLATPSAVMVCLEYWAFELLVLIAGLLPNSTVSTSLIAMCVSTEAIAYMITFGFSAAVSSTRVSNEIGAGNVERAKNAVSVTMKLSVFLAVTFVLLLAFGHGLWARLFSGSATIVSAFGAITPLMVISIVLDSAQGVLSGVARGCGWQHLAAVTNLVAFYFVGMPLAILFAFKLDLRTRGLWAGLICGLTCQASTLLVITVRTKWSNLAEAMQEKKANYVA >Sspon.05G0019160-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:80403762:80408435:-1 gene:Sspon.05G0019160-3C transcript:Sspon.05G0019160-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPQPNGGKVTPNLAMDAEATRVLNLTVLQRLDPAVEDILITAAHVTLYDFNIDLNQWSRKDVEGSLFVVKRNSQPRFQFIVMNRRNTDNLVEDLLSDFEYELQPPYLLYRNASQEVNGIWFYNQHDCEAVASLFGRILNAYAKVPPKPKAPSTKSEFEELEPPPASAAIDGPLEPPPASAAVVLDTPEESLINYFSGAASIGSVSNAPLPGRVHPSTESDRSSHVPLIIPSAAPTHQLPPSVASSAPPLPIHDTNAHTSRSTNLVTPDFFAPPPSSSTPLAPPGASVIPTAPPLHPTPASVQRSQYGTPLLQPFPPPTPPPSLNPPHSNRPVVTRDRVKDALQRLVQSDEFIDLVYRELQKSLV >Sspon.07G0009730-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7A:27911162:27912205:-1 gene:Sspon.07G0009730-1A transcript:Sspon.07G0009730-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLSRLQGFGCQEDTILCGIFDGHGPWGHYVAKAVRDSLPPSLLCHWQEALALASLIDGEKRLGDCQFDLWKQSYVAACAAVDDELRRSHRFDAVQSGCTALSIVKQGDLMVVANVGDSRAVLGTTSDDGAIAAVQLTVDFKPNLPRKSLLTGHAFLCTGAAAVADVVSWNTMCAEEKERIRRCNGQVYCLADEPGVHRVWQPNRESPGLAMSRAFGDYCVKDYGVISVPEVTQRRITSNDQFVILATDGVPFVVAFFANTLAFFISVWDVLSNDEAVQIVAGTPDREKAAKRLVECAVRAWRRKRRGIAVDDCSAICLFFHPPPS >Sspon.04G0016280-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:63943596:63952048:1 gene:Sspon.04G0016280-2B transcript:Sspon.04G0016280-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKDDGPPEAAARAKKPAPVMRSFASVFMHADAADVVLMVLGLVGAMGDGMSTPVMLLITSRIFNDLGNGPDLLDEFSSKVNENARNLVFLALGNWVMAFLGEPALHTHAPMSMPPPAVCLLARACTGLILIYNRCAVSSLAEGYCWARTAERQASRMRERYLRAVLRQDVEYFDLKVGSTSEVITSVSNDSLVVQDVLSEKVANFVMNCSMFLGSYAVGFALLWHLTLVALPSVLLLIIPGFMYGRILIGLARRIREQYTRPGAIAEQAVSSVRTVYSFVAERTTMAQFSAALEESARLGIKQGLAKGVAIGSNGITFTIWAFNVWYGSRLVMYHGYQGGTVFAVSAAIVVGGLALGSGLSNVKYFSEASSAAERVQEVIQRVPKIDSESSAGDELANVAGENVEFCYPSRPETPIFVSFNLRVPAGRTVALVGGSGSGKSTVIALLERFYDPAAGEVTLDGVDIRRLRLKWLRAQMGLVSQEPALFATSIRENILFGKEDATEEEVGERGVQMSGGQKQRIAIARAILKSPKILLLDEATSALDTESERVVQEALDLASVGRTTIVIAHRLSTIRNADMIAVMQYGEVKELGSHDDLIANENGLYTSLVRLQQTSDSREANQVGGTGSTSAAGQSSSHSMSRRFSAASRSSSGRSMGDAENDNITEKPKLPVPSFRRLLMLNAPEWKQALMGSFSAIVFGGIQPVYSYAMGSMISIYFLADHNEIKDKTRTYALIFVALAVLSFLINIGQHYNFGAMGEYLTKRVREQMLAKILTFEIGWFDRDENSSGAICSQLAKDANVVRSLVGDRMALVIQTVSAVLIACTMGLVIAWRLALVMIAVQPLIIVCFYARRVLLKSMSQKSIQAQSESSRLAAEAVSNLRTITAFSSQERILRLFDQAQDGPRKESIRQSWFAGLGLGTSMSLMTCTWALDFWYGGKLVAEHHITSKALFQTFMILVSTGRVIADAGSMTTDLAKGADAVASVFAVLDRETEIDPDNPEGYKPERLKGEVDIRGVDFAYPSRPDVIIFKGFSLSIQPGKSTALVGQSGSGKSTIIGLIERFYDPLRGALRRHIGLVSQEPTLFAGTIRENIVYGTETATEAEIENAARSANAHDFISNLKDGYDTWCGERGVQLSGGQKQRIAIARAILKNPAILLLDEATSALDSQSEKVVQEALDRVMVGRTSIVVAHRLSTIQNCDQITVLEKGIVVEKGTHASLMAKGPSGTYFGLVSLQQGGNQH >Sspon.01G0044320-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:81553260:81559117:-1 gene:Sspon.01G0044320-1B transcript:Sspon.01G0044320-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LTFEVEPFLPNFGLRHLWNSKKGGGEDHGNGTPKDTEMKEAQEKGNSSIPEASSGNATNFNLAEKACNKPEAQMDYDWSNDDLLGEEDELNQAARDFLGVQKGVPVKVTNAAALANTRSAPARLGQRQLQTPRPAVIATNTLQVCVDRSSTKGGDLSPAHQQVVMPTVASPTVAICGVHVDEPALHKEQVQIAVGLDNGVPGGALADGAQHLGILLDAMLGAGVSADGGSEEEFGSVMRMAILSDGELTPIRRSKRNAIAGDVGSLEKAEKRVAVKNLEEPQEKDRLKPSYCPSKNENEIESEEDEIDPDTFTISRLCGDLTEEVMDDNNADLDETLRHDIPQVSEEENEMLIAPFTKEEVKMVQHMPGDSQFWASLMKVKDEFLLLGKFELGDGSQVIFRGTYWIRFWTLLQKEEYRQIMMMGCRKIETAAMEEVPEGTKESPG >Sspon.07G0000340-4D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7D:491701:496158:-1 gene:Sspon.07G0000340-4D transcript:Sspon.07G0000340-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVGSSAGRPNGSPRSERGTGGRQGSAAVSCWIRLCVSSSSSSARAKVDTAAATARAVSGNASPILTLSSSASVSLGTGKNNGIQNQPVRQIAPASTLPGNSENISPPSIVADGLTVSFQLRKFTFNELRIATRNFRPESLLGEGGFGRVYKGWIGENRTAPGRPGTGLTVAVKSLNRDGQQGHKEWVAEVNFLGNLKHPNLDYNAKLSDFGLARDGPIGDKTHVSTRVMGTYGYAAPEYVMTGHLTSKSDVYSFGVVLLELMTGRRSMDKNRPAGEHNLVEWARPHLKQRQGFQSLMDPKLGGNISLKGAYKVTQLARACLARDPKARPLMSQVVEILKPLPDLKDMVASSPGLYLSLQAEQVARLGYPSGSRSIGQHGSFATRNGQQPMRSLSHGPHGHASPSPYLQSPTPRTNG >Sspon.05G0011470-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:24200344:24203776:-1 gene:Sspon.05G0011470-2C transcript:Sspon.05G0011470-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRYHRVEKPREEEAPIGANEIRITAQGRPRNYITYALALLQDNATDDIVIKAMGRAINKTVVIVELLKRRIAGLHQNTSIESIDITDTWEPLEEGLVTLETIRHVSLITIKLSKKELDTSSPGYQPPIPADQVRPAAEFDQDAGRLYQVVVEEDVVVGAGAGGGEETIMMSLENQRRRLPMDIVAEGGAVEVVDLLRLEGAMVVIIMRWKKLVDMMVDIMHHLCKDMKVAEEGAEVGAVGVVVGVEAKARDLLHSSRLAGASALGYAITSVLSKCYRPL >Sspon.02G0022730-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:77462754:77464989:-1 gene:Sspon.02G0022730-1P transcript:Sspon.02G0022730-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTLRSRTEEGEGVLPIDTLYEILLCLPVKDLCRLRAVCRPWRSLLSDPQFMAAHATRHRRPLIVAGHDKSHRDDGILSDIIDISGRVIKQIIRSTEDEWLISTQRNLACIAKGTSKSCQLLNLVTGDRFSLPEGLSQEHTPRKLDFMNYRASVALGQVASTGEFKLLRVIDNAFFGSRYMQLCEVFTLGGSGDARWIGKKAAQDRVDMSPMSRVVIDGVVYFLLDEDLINRNH >Sspon.07G0000490-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:121483:126082:-1 gene:Sspon.07G0000490-1P transcript:Sspon.07G0000490-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RPN13 [Source:Projected from Arabidopsis thaliana (AT2G26590) UniProtKB/TrEMBL;Acc:A0A178VY31] METTEPLQDIMCEFRAGKMSREGTRVVPDTRKGLVRVGRGEEGLVHFQWLDRGQNIVEDDQIIFPDEAVFEKVTESSGRVYILKFKHDSRKFFFWMQEPNADGDAQTCMQVNAYINRPLDAEADLRVPIEAEMSEDTADDDISSRAGNLVESMTADLAGEVTSAAGPVRLEDLQRILSAIQPSGAASSDPDAGKCYGKLVHSCYSSTRFLFNLLVRAGVAGLGLGDILKPDLVLPLIENLPIEQLASHLPEGSWTPGDIVELLQSPPLRQQLDAFTHVLRTGQIDLAQFGVDPSKCKSFSQTTTLHLIVDSLYISSRWPHSWRHWKTRLEEVPLKLETRMQRPSEAVGMMPWTRVDIYLVGAECELIS >Sspon.05G0018980-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:78966216:78968395:1 gene:Sspon.05G0018980-3C transcript:Sspon.05G0018980-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNMEQQVEHSHLPIRGLSLHVAQAGKGELGIVVFLHGFPEIWYSWRHQMLAVAAAGYRAVAPDWRGYGLSDQPPEPEAASYDDLVEDLLAILDALSIPKAFLVAKDFGAMPAYLFALRHPRRTCGVMCLGIPFLNGGSPSTGLPEGFYILRWREPGRAEADFGRYDVKRVVRTIYVLFSRSEIPIAKEDQEIMDLAELSTPLPEWFTEDDLAVYVSLYEKSGFWYPMEMPYRSLHKTKPIEDPKFQVPVFVVMGEKDYVFKFPGVESVLKDGIMEKSAPDLKITYIPEGSHFVQEQFPDKVNDLLVSFLKDHTVAA >Sspon.01G0006800-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:18164142:18167663:1 gene:Sspon.01G0006800-1A transcript:Sspon.01G0006800-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDLPLPLFVLLFLVLLPTPCSSWQPADDGDASVSRSVFPMDGDVAWVVQVSDLHISTYNPESAAELALLGTALRAIRPHLLLVTGDITDAKNQQRTSSRQDEYEWVTYKKTIDAIVGQGGIDKSRIFDIRGNHDTYGVPYRGGKLDFFSTYSVNSQFKRLSTISSILVQGDRSYQFLGIDDTMSVGIRFPANLFGHATDKRIEAVDSELQYWTNHSNVPVTKVVFGHFPMSFTASSEKGQRYESVFARQSISAYLCGHLHAKVSKQLWRYHEIRTTEDHKSSFWEWELGDWKDSRLMRILAIDGGAVSFIDHTLKQALQTSILITYPTDSRSMNVLDSEKWSMRNDINVLIFSHQVIRNVSARVFDSHSEFKIVEEIPLQLVASTSAHRPLFHAKWNAENYRSSSATRYWLQVFVLDSHGVKISSEQRPFSVEGKMAIPTSSWTNYLLLEVQWEDMYQVLLWSNLVFTIVLLFIPKLLYHFVRRSSSYQRWAVSILSSPIQQRKAYFWLVWFLMEGTRSKPFWFSMVIYVLWLIEMPWFWGHATSENGEIALMYLSGWSVPVHDGGLMGNKLSNPDVLVITLPFLYLVVVPVIVLIYGLFAENAIVFLRHRRRIVYSADSANMHAGPSSLLPVAPRALLMKFTDKMVSMMIQFCGSWTRRALLLTCLITAAIHLKLCSKLMSAYGIVPVVLSPPLTWIPLLLLGGAAYCTVLHSFITRGFSEGEDPETERLWKSEERLQR >Sspon.04G0022300-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:4952985:4953397:1 gene:Sspon.04G0022300-1B transcript:Sspon.04G0022300-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MYPSAPPDAYNKFSSSGAPPTAPPPAAYQQQHGANMNPSRPGGGLRKWSTGLFHCMDDPGNCLITCLCPCITFGQIADIVDKGTCRESISSQSQLPLFFLS >Sspon.01G0018480-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:68824209:68827343:-1 gene:Sspon.01G0018480-1A transcript:Sspon.01G0018480-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATATLLSSSFAMPAAARRAYSSSSSTSLGFATSQLAGLSLSSGAATPTAVALLPKRQQLQPIVARRVCPFTDKKTNRANKVSFSNHKTKKQQFVNLQYKKLWWEAGKRFVKLRLSTKALKTIEKHGLDAVARKAGIDLNKNNRDDSFPRCPSSSDARYGPLSRHRPSAAALSLLRQVARLSPALPALEDRVRRSLTDAHISTAPSSVRRAMEPLYGLLQRLDETSKALMNKLLDDALLKQAKALTTASDSVPIQAASQGPAPADENLDEASRALLMKILEDATQEAVRRQAKMLSGCSLVSPALERKLSTQSDCRTQHADPLGPRPDQASWPVSDRAG >Sspon.01G0045320-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:82093831:82095106:-1 gene:Sspon.01G0045320-3D transcript:Sspon.01G0045320-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MESGGVIAEPSWSSLVVPSQAEESEIMEQLLGTFPSNGEEDHQEFPWPIQASNSFYFHCNASASTYSSTSSNGSGSLSLIMPSEHGSCYLSDSCAAPLHLNMVQDQGAALFMDSILNPAYGSCDSSCDDLEDSSMNLLDTIGTSDKRKHLEQGKLDGHTRSRKYAKKSDSKRAKMAMQQENGQSLSSCTFENDSIASQGRPVSDKPGGKTQADRRSATESQSLYARKRRERINERLRILQNLVPNGTKVDISTMLEEAVQYVKFLQLQIKLLSSDEMWMYAPIAYNGMNIGIDLNLSQHR >Sspon.02G0008330-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:26947838:26949572:-1 gene:Sspon.02G0008330-2C transcript:Sspon.02G0008330-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVGLPPGFRFHPTDEELVNYYLKRKIHGLKIELDIIPEVDLYKCEPWELADKSFLPSRDPEWYFFGPRDRKYPNGFRTNRATRAGYWKSTGKDRRVLHHGGRPIGMKKTLVYYRGRAPQGVRTDWVMHEYRLDDKDAEDTLPIQDTYALCRVFKKNAICTEVDGLQAQCSMALLEGACQQLLTSGSQEYQTPSPDVPVGSTSGGADDDADKDESWMQFISDDAWCSSTADGAEESTSC >Sspon.01G0054250-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:50176536:50177108:-1 gene:Sspon.01G0054250-1C transcript:Sspon.01G0054250-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPKNNQNPRVFLDLTIGGEKVGRVVIELFADKVPKTAENFRLLCTGERGAGRWSGKRLHYKGAPFHRVVPGFMCQGGDITAGNGTGGESALGDGGRYFPDEALGAVRHSGPGVVSMANAGPNTNGSQFFITFADAPWLDGRHVAFGRVVQGMDAVRAIEKAGSMSGRTVRPVVIADCGELKDHAAAMF >Sspon.08G0013240-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:65544711:65547309:1 gene:Sspon.08G0013240-4D transcript:Sspon.08G0013240-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LLQTTSMAHSLSLRGLRCSRAGASCPLRPTAGLSTDPTAGISRSNHKTLPVTAPLYKRLARSAPPFLRAHKQTLLPSAARPPARGGGREDEGAAAPGKMKTILASETMDIPEGVTVTVAAKLVTVEGPRGKLTRNFKHLNLDFQLQEGGRKLKVDAWFGTRRTMAAIRTAISHVQNLIKGVTKGYRYKMRFVYAHFPINASITNSNTAIEIRNFLGEKKVRKVDMLEGVTILRSEKVKDELILDGNDIELVSRSAALINQGVIEEEQ >Sspon.07G0001110-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:1422195:1423838:-1 gene:Sspon.07G0001110-1T transcript:Sspon.07G0001110-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPESDRLHSPPVAETPYSISIRVAPSNEAKLDAHCPDAGERKTTHPQPSLTLRSEEPPRQQQVQGTLARSDSTEERDRRFDQFKTLGGRLERQLSSIRGGSQHPAAAVGGDDEASRVSEAETDDDSGEVPTADRYFAALEGPELETLRATEVPVLPEDETWPFLLRFPISAFGMCMGVSSQAMLWKTLELERSTAFLRVSPGVNDVLWWVSAALMALVSFIYLLKVVFYFEAVRREFHHPIRVNFFFAPWIACLFLAKGLPEHVTALHHAVWYQLMAPILLLDLKMYGQWMSGGEWRLSRVASPTSHLAVVGNFVGALLGARMGLREPALFFFAVGTVHYVVLFVTLYQRLPTNVPLPRDLHPVFFLFVATPSVASVAWARISDEFGLGARVAYYVALFLYASLVARVSFFFRGVRFSLAWWAYTFPVTSAAIATVVYASAMTSALNQALAVGLSAVASVTVAGVLAATVYRAFVRRDLFPNDVSIAIRRRPKAKFGKILKRIRTSSADLKELVVSRHSGGGSASETSISEPPTPMVYGRGRAEP >Sspon.03G0009400-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:3643919:3651463:1 gene:Sspon.03G0009400-2B transcript:Sspon.03G0009400-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MWTVELGVNIAGDEQQTWTYGGSWTFMRLDNLTLQCKLCNKGRDGQRGTETPNSKKVANSLPFYQVTQTMGASRVSDLRLAGREIEIGVMSHRNMLWTHQSVNPEWEQGHVQVQPGSSYYAGTVSDSSNLGVQVAVGVPGNTANVGICDLRNHERPHVHNSYPHASATSRFVFPTNMYNPSMSAAAVNIYIPQTQSFGLGNVLPPSLHNQVSTGTIDESSSSVNFGDSAIGFMKRKNAVVAGNHHFLHGFAGSSSSVHAPQNPVRGPWNASSQSNSLPSSAASNLPEYHNSNGWPFLEESSADASNSFSSVAACPELVPHGNYLYPASHISQCNTWVPQAASHGVPQWGYSNAMVNPPGTADMPNGNIQDYHAGHSSIHGPLPHFCQNPLHSMQAPQIQVPHQQFIGNNVVHGLNPSAAGLPLDPRMLALPFNAEHTFGHPMHPPLINQANNGALRILPYQNATVMDHSRIYEAGHVIDEHRDMRLDELVALQEQIGDVSTGLTESYIQENLRSTFHVPGAASISDQFSELSLENDACIICQEEYEAKELIGTLECGHKYHVNCIKQWLMMKNLCPICKTTALSSDRRNG >Sspon.05G0037150-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:9902695:9911749:1 gene:Sspon.05G0037150-1D transcript:Sspon.05G0037150-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRKHAPAFTPEAATASASGGAGQPHNLPVLQAKMKRDPEGYEEELRQLHRHFESSVFLFQQQAALATTSSSGGGGEVAKELGDLALFLAHVAPFYPDDLADLPDQIGGLLDTNARGLPPGLRAHLVQALILLVNRKHTSGGADQGRFFPAPMPRKHAPAFTPEAATASASGGAGQPHNLPVLQAKMKRDPEGYEEELRQLHRHFESSVFLFQQQAALATTSSSGGGGEVAKELGDLALFLAHVAPFYPDDLADLPDQIGGLLDTNARGLPPGLRAHLVQALILLVNRKIVDLEDTMELFMELQVIGDRAVKKLAFSHIVHSIRRMNQKHKNEPTNRKLQNILFKFLQAEEESRAKRAFTILCDLHRRRVWFDERTTNAICDACFHPSSRIMIAAISFLLGYENAPQEDDSDASSSEDEADQNPQILLSKQDVYKANHKGTAASKKKKKAKLQRVIRSMKRQQRKSVEETGSSFYSPLTYLKDAQGFAEKLFSRLQKCNERFEVRMMMLKVIARTIGLHHLVLLNFYPYLQRYVQPHQRDVTTLLAAAVQACHDMVPPDAVEPLFKQIVNQFVHDRSRQRCATLFSAYRLCAIAVGLNVVREICMRMPLMMNEDLLQDLVLYKKSHEKAVSIAARSLITLFREICPSLLVKKDRGRPVDPKAQPKAFGEVTVASNVPGAELLDENISSEGEGSDDDLRALKRLATAKKAEVSSDETGKILSDEDFKRIKVLKAKKEAKLALAQHGLIKGGDTRSVTFKMPSSDQLSRKRVDPLELEAHVRRKMSKEERLALVKAGREDRGPYMARTAVKQKKTGGLSNKQKQHKKRMPLAATRAKAARSRQEKKQQRKRSGNQFRGRKAWK >Sspon.01G0059990-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:71675772:71676561:-1 gene:Sspon.01G0059990-1D transcript:Sspon.01G0059990-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVLNEDGAAVDDSDRQRCSCLPAFCFWGGSGSGGGAQAKRRRRRRPRFRLRLSWLAWPWFRRRGKGDAGGGGGGDGSSEKGKKRRRGGRRLLLLLTTSLQPKKALASVVSGDSSTLLPVPVLAKVRLILIRSVVEWWSIDRVMGVIIVVTRDHDYSPLLARSIDIDCGWFNGIDRRRGVVGMGAGPTEGGCVIDPPTPPSPPASFFLSLPRVTVVTVFTRHKRGRNNL >Sspon.02G0015330-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:41756301:41760004:-1 gene:Sspon.02G0015330-1A transcript:Sspon.02G0015330-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPFFKTEVKGTSDQDFFLGWQCCGVAGYKRGGIDYRIQDLAGGTYYHDPEGCLGHLKQYKFKEIRKATNNFSQTNILGEGGYGIVYKGDLDGTTVAVKRLKGRDSVVKDDQFHTEIEVISLAVHRNLLHLTGFCIANNERLLVYPYMPNGTVASKLKECVNGEPTLDWPRRKRIALGASQGLLYLHEQCDPKIIHRDIKASNVLLDEYLEAVVADFGLAKLVDHWMSHVVTSVRGTPGRIPPEYYKFCHASEKTDVFCFGLFLMELVTGRVTLELHENEYEKGGILELAKELLEQSQLSMFVDRKLGSNYDSAELEEMTLPPPQDVEIVKMLEEGDGVAEKWEAVKNIEDPNPDWSPEFVSIGINYDDEDQAQFDRAASH >Sspon.01G0049010-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:100237021:100240633:-1 gene:Sspon.01G0049010-2D transcript:Sspon.01G0049010-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTPARKRLMRDFKRLQQDPPAGISGAPHDNNIMLWNAVIFGPDDTPWDGGTFKLTLQFTEDYPNKPPTVRFVSRMFHPNIYADGSICLDILQNQWSPIYDVAAILTSIQSLLCDPNPNSPANSEAARMFSENKREYNRKVREVVEQSWTAD >Sspon.08G0019910-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8B:15896862:15899876:1 gene:Sspon.08G0019910-1B transcript:Sspon.08G0019910-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVIYPLIFLFLLLLHGASAALKDPVQKWQTLSGAPPLVIARGGYSGLFPDSSQFGYQFALSDSLPEVVLFCDLQLSSDNVGFCKTGLALDDSTLIAKVFPKNDKTYKVNGDDLHGWFSVDFTSNQLMDNVTLIQNVLSRPSIFDGTMGISLVDDVVELRPPQLWINIQYGQFFLDHKLNIEEYISSRAKKIGVNYVSSPEVGFLKSLGGKLGKSNVKLIFRFLDQQLIEPSTKQPYGAILKDLKSVKAFASGILVPKTYIWPVDKDHYLQPATTLVKDAHGLDLEVYAFKFANDFISSYNYSYDPSAEYLQFIDSSDFSVDGVLTDFPSTASAAIACLAHTKDSPLPPPGNDTRPLIITHNGACGLFPGCTDLAYQQAVEDGADIIDCSVQMSRDAVPFCLDSPDLTKGTTAATVFLTKAATVNEIQNGSGIFSFDLLSNEIQTLKQGLKRNPAAKNSGKLMTLVDFLAFSKSSNISGVLVDVRNAPYLATRGIDIVDAVSSTLINTSYDKETRQQVLIASDDSAVLRAFKKFPAFKRVLQIGNAISDVSKASVEEMAKFANAVSISRGSVVQAQGSFLLRFTDVIDKMHAANLSVYVGLLRNEFMNLGFDFWANPMVEIVTYSSLMADGIVTEFPATAAEYFSEYMSA >Sspon.02G0020750-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:67499596:67533048:-1 gene:Sspon.02G0020750-1A transcript:Sspon.02G0020750-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAATIDRVVFALNGQRYEVSGVDPSTRLLEFIRTRTPFKGPKLGCGEGGCGACVVLIAKYNPKTDEVTEFTASSCLTLLYTINFCSVITTEGLGNSHDGFHAVQERMSGFHASQCGFCTPGMCMSIFTSLINADKSKRPEPPKGFSKLKVSEAEKAFSGNMCRCTGYRPIVDACKSFASDVDLEDLGLNIFWKKGDRNPDVSDLPSYTLGGGVCTFPDFLKTEIKSLLDHLNNPCIAPSREGWYHPRSIKEYYKLINSCLFSDSVKVVVGNTSSGVPGYKDQDLYSKYIDIGGIPELSNIVKMESGFEIGAATPISRTIEILEEECESISSPNGSVVFRKLANHMSKVATPFVRNTASIGGNIVLAQKFPFPSDIATILLGAGAIVCLQVVAERRQITLEEFLEQPPIDATTLLLSIFIPHWISDSGTNTSLLFETYRAAPRPLGNAVSYVNCAFLGHASVDEQSDTLVLSNMRLAFGAYGTEHAIRAKKVEEFLTGKALTASVVLGAIKLLRETVVPMEGTSHPEYRVSAAVGFLFSFLSPLSKGIPDPGKSLTSSSADSSDTDDVRNLPLSSRRESFSSDEYKPVGEPIKKYGVELQASGEAVYVDDIPAPKNCLYGEFIYSTQPLAYVKSIKFKSSLASEKIVSFVSAKDIPSGGQNIGSSFTFGDEPLFGYPIAEYAGQALGIVIAETQRYADMAAKQVIIEYDSEDLSPPIITVEQAVEKSSYFDVPPELYPKEVGDVSKGMTEADHKIPSTEVKLASEYHFYMETQTALAVPDEDNTLVVYSSSQYPELAQSVIARCLGIPFSNVRVITRRVGGGFGGKAFRSFQVATAAALCAYKLRRPVRMYLNRNTDMVMIGGRHPVKAHYSVGFRSDGKIMALHLDLLINAGISPDASPMIPGTIISSVKKYNWGALSFDIKLCKTNNSSKSVMRAPGDTQGSLIADAVIEHVASVLSVDANTVREKNFHTYDTLQLFYPDSAGEASTYTLHSIFDRLASTSSYLDRAESIMKFNKPRPAPGRVSVLNDGSIVVEVGGIEIGQGLWTKVQQMTAFALGKLWPDGGESLLERVRVLQADTLNLIQATLQACNMLFDRLKPVLDRLQQQSENVSWDTLISQAMRTGYLAKFLVSTLTMELVEIDLLTGAITLIRGDLVYDCGKSLNPAVDLGQSTDYSFGLDEEMDFKCKDAHDADDFKIVRI >Sspon.03G0010150-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:32408403:32412949:1 gene:Sspon.03G0010150-4D transcript:Sspon.03G0010150-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKHRHRQLRLLPLLAAAVACSSLLRACAAAAGTGTLGLRQHRPASQHNGTRHGGGRQRGGGAPGTGMASCNLFQGSWVYDDTLPMYDTAGCPFVEPEFDCQKYGRPDKLYLKYRWRPTSCELPRFNGQEFLGRWKGKKILFVGDSISLNQWESLACMLHAAAPASKVAYARGNPVSSVTFQDYGVSVAYYRSTYLVDIVEESVGRVLKLDSITGDAWLGADVLVFNTWHWWTHTGRDQPWDYVQDGGQVMKDMDRLTAFSKGMSTWARWVDSNVDTSRTKVYFQGISPTHYNGADWGEGSRSCAQQTQPVAGSAYPAGPVPAQGAVRAALAGMSKPVYLLDVTLLSQLRRDGHPSAYSGGHPGNDCSHWCLAGVPDTWNQILYASLLA >Sspon.03G0035420-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:78386274:78386798:1 gene:Sspon.03G0035420-2C transcript:Sspon.03G0035420-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AAATADAVGPPDGHASSARRRRYGGGRGGSRRREVQQPVREPVRRAVRVREPAAAGVLPAAGARVLAPAAGAGVLPAADPDPDPDHALLPARVHAGDALHARVQPDTVRGRGRVVHAALHARRVQPADAGDALPAGPRVPAQRRACPRRRRAVARGDLPRRGVRGGLRLLRLVID >Sspon.04G0008990-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:22322918:22325231:-1 gene:Sspon.04G0008990-2B transcript:Sspon.04G0008990-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTAASGTSPVTQFHSNADESTSHSSPLPTLIERSQRHCYGDGIPGEFPLAVSPSIVLHVLSACDLDPKDLANLEASHTTTFSAFATCTFFSKPANFAPNFALSLPELAAFDMCQKRTMFKLMKQEDQECLKQRCGGSWKHVLKYILVREKNGSRVIAGPGHSIVITSNGDVYSFGANCSGQLGLGDSEDRFRPCIIRSLQGIRITQAAVGSRWTMLVSDTGSVYAFGKDNFRGAELVDDAPIQTTTPKVVESLQGVFVVQAAIGGYFSAVLSREGRVYTFSWGKAERLGHSSDPSDVKPRLLSGLEDVPVAYISAGNCYLLMLAYQPNGMSVYSVGCGLGGKLGHGCKTNKGMPKLIEQFQSLSFKPVSIAAGTWHAAALGSDGRVCTWGWGHTGCLGHGDEEYKAVPTVVEGLRNVKAVHLSTGEYTTFVVAENGDVYSFGSGESLVFQEDDEAEEGPDFSTPSIVSSLKALNKKVVQISPTNASYWLNSEMGHPHTFAVMDSGDLCAFGGGIRGQLGVKLLEGVEKVSIPMHVPIGLN >Sspon.05G0002280-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:2408797:2409375:-1 gene:Sspon.05G0002280-2B transcript:Sspon.05G0002280-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAPTPGATPHVLVIPFPAQGHALPLLDFVALLAARGLRLTVVTTPANLQLLSPLLAAHPTAVRAATFPFPSHPSLPPGLENTKGCRPGHFPAFVHALAELRRPILAWVKAQPDPVVAVVADFFCGWAQPLAREIGAAGIVFSPSGVLGTAVPHSTFRRLVRRPAQCDDDDEFSVSFRRFPASRHSSGVNS >Sspon.03G0027700-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3B:6610046:6610420:1 gene:Sspon.03G0027700-1B transcript:Sspon.03G0027700-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRLRAGSPVYGRQRSGSSTGSSSPGGVSPSHHRSSSTSSAAGAAGISNVRRTQNVAARAAAARLAQVMASQNAAAAAGDDDDDDDYAADHPPPPPGRFGSGRVAHGSNGVSLLGRSARSPSPAV >Sspon.08G0029090-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:23453322:23455315:-1 gene:Sspon.08G0029090-1D transcript:Sspon.08G0029090-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAGVIILGPIPDDPAFLPICFNGSRSPHCSSGSQLQDSILIFLAVPGMPPMPMSVLGSESIASVKLRIQRFKGFVVTKQRLVLDGHELARNNCPVKDYGLAEGNVLHLVIRLSDLRVINIETATGKKFQFQVDQSRNVKFLKNKLAAEGDEDIGNLEDHKLEYDGEELEDHQLVADISKRDDAVIHLFIRKPAKVRTQQVDRDTLVTVVNPQEKGNLQNEACAMNSAKSVGVRSAPVEPIVNRKAKLSPEVMEMINSTIAGLEKGHLPVMSAEGSGGVYFMRDAAGQKNVAVFKPIDEEPMAKNNPRGLPLSTDGEGMKRGTIVGEGAFREVAAYILDHPVSDSKSGHSVGFSGVPPTTLVRTLHRGKSFKIGSLQMFMENNGSTEDMGPRPFPVKEVHKIAVLDIRLANADRHAGNILFEDCTFEWLYWPQAREPLNDETIEYIKSLDAEEDIKLLKFHGWELPPRCARVLRISTMLLKKGAARGLTPHDIGRILCRETVNRASEIEDIILEAEDAVLPGSSENMFLETVSEIIDHHLDKE >Sspon.05G0006250-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:20058668:20060104:-1 gene:Sspon.05G0006250-1A transcript:Sspon.05G0006250-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTAFLSPAKLALQGRRFTSAKTAGAGAGRVSVRVRVPPARAQQEQQVKEEEAEVAASLPPTQGAEEQAQARKGDAQSLPRQPLAESKNMSREYGGQWLSCTTRHIRIYAAYIDPVTNAFDQTQMDKLTLMLDPQDEFAWTDEACQMVFNEFQDLVDHYEGAELSEYTLRLIGSDLEHYIRKMLYDGVLKYNMRSRVLNFSMGKPRMKFNSNQIPEAK >Sspon.07G0014270-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:50993923:51011657:1 gene:Sspon.07G0014270-3D transcript:Sspon.07G0014270-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPPPPELADDLLREVFLRLRPDDPACLLHASLACKRWHRILTDPAFRRLHRELHRMPSLVGFLRIAEGDPPYASCFVPNNPASDCPAARDLPGRLVLDCRHGRVLFVAPSPSLGTQLNYDLVVWDPLTNEERCLPRLSPSPTVICGRHFNAAVLCSAPAEGCDHSKCHGGPFRVAFVWSRTSGDLHSFLTSARVYSSETGNWSEPISVLHPNVFVLDRMPCPSTLVGDTLYFRFGFMHALEYQLGAQCLSIILGPPQSVFQSSAISLMSMEDGGLGCMDVEEDESSLRLRLWSRDAASRCDEDAGWTRGRAIELEKLLPDGALPSPRLAYDLRSRVPSIQLLGFVEGTDVIFVGTQALNHPHAVYMVQFNSGRARKVFDQYTLIIPYTSFFIPAMPPPPPELSDDLLGEAFLRLPPDDPACLLRASLACKRWRRILADPAFRRRHREVHRMPSVVGFLRISGDYASRFVTNNPASGRPAARDLPGRFVLDCRHGRVLLSAPSPLLGPKLNYDLIVWDPLRNEQRCLPRLSPPLTDVSNRYFNAAVLCSAAVEGCDHSICHGGPFRVAFIWSHGGQLHARVYSSETDNWSEAISVQKPPRRPMSLCLPMFLCRNTLVGDTLYLEYALEYQLGAQRLSIIKGPPRPVSQIRSFFVMPMGDGGLGCMDVEEDESSLRLQLWSREAASDSDEVARWTRGRAIDLEELLPNGALPSPRWASVPHSRSVSLVGFVEGTDVIFVGTRPRRDHPDAVYMVQLNSGRSSKISGLHQLSEFMSRLDRATLS >Sspon.04G0004270-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:12900784:12906481:1 gene:Sspon.04G0004270-1A transcript:Sspon.04G0004270-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPAPVIKAGHIRAMAHQHPAYRSQPLAHWMNGWGADGNRERMPSIHLSSNILLLAGLRIAYGGTKHEAGTALLARKSQELRKLKWRKKSLDIPPLIYPNGIVKNILRHFSQLLNSSVKPNPYTSCKCQTETQCIFNVEEPHNKLQDHTCGFLASCAVVASSLLPPFARAIGKTGSTINRIQSIIAGVVYSKCMGSLY >Sspon.05G0009550-2T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:18898631:18903355:1 gene:Sspon.05G0009550-2T transcript:Sspon.05G0009550-2T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Poly(A)-specific ribonuclease PARN [Source:Projected from Arabidopsis thaliana (AT1G55870) UniProtKB/Swiss-Prot;Acc:Q9LG26] MQMQGRLRRVLRAPPPRILLYRAISSPLPGGDGDGGGGSGVAVKQVTRGNMAEALEKLRGRVREAAFVGVDLEMSGVTSAPWRDTFELDRADVRYLKLRDSAERFAALQLGVCPFRWDPAKSAFVAHPHNFYIFPRKELPSDCSSREFLCRTTSIDFLAKYQFDFNTCFREGVSYLSRAQEEEALQKFNMLYHGQTATSSTNSEEDRDIPLKSASDILFTERMKMNFKEWRDVIVSKPMVDNHLSGNIKCCAGQFQTVFFKMRPAIMLNGFSSHQLKLIQQVLRKNFKDLVFVCTFGEDDTSEKKVVYSDTDEDRILLMKDVQEDLLKNREESVKSAIGIRHVIDLLSSERKLIVGHSCFLDIAQVYSKFVGPLPSSIKEFALSFHKIFPHIADTRHLMSVNQAVQKLMKHKSKSLSSAFSLLCPSSYSSAEKPSSHSPVTIEVEGDETTSSCFISGAKHEAGYDAYMTGCIFAQLCAYLGIKFEQFSPLENLATNTKLQKHINFLSPSWNSGTVIDLSTGMERPEPGYVRRYPAAVYDNIIVIWGFPSKVRPKEIKDCICKVFGSGSVTTVFSIDSTAALVQFKKQESVNDFLDLKAVLERADSAISILHPLSTILEGGQTRAAKYDTYRDICNSSESKYLFADQAEAVCATSKNQLKENVDDNLISGVLDGVALASVTEGDGIISGSKNQDAVDVTCCDILDALHDGKALLGRRM >Sspon.02G0011150-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:30048799:30049191:-1 gene:Sspon.02G0011150-1A transcript:Sspon.02G0011150-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RQWRRPATRVSRRCLPSRRQRHLCRPRLRRRRLPRPRPRRLRLRRRRRRRRWTARRPRRRRKRITSRRRRRRRAAGCGRPPSARRLRASRRCRGCPSSGSRRCPRQRRRGCSSPSRATSPPSSGRCLPRSG >Sspon.05G0020050-4P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:80849276:80856627:1 gene:Sspon.05G0020050-4P transcript:Sspon.05G0020050-4P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWLENSLQAFDLPPKNRSDEALRRWRDAVSVVKNPRRRFRMVADLATRRQNDLKRRSTQEKIRVALYVQQAALNFIDGVKNKEYRLTDDIIKAGFSINPDELASITSKHDMKVLKMHGGVDGISTKIRSSFDHGICARDLDTRQTIYGVNRYAEKPARSFWMFVWDALQDMTLIILMVCAVLSAVVGLASEGWPKGMYDGLGIILSILLVVMVTAISDYRQSLQFKELDNEKKKISIHVTRDGCRQKISIFDLVVGDVVHLSIGDQVPADGLYVHGYSLLIDESSLSGESEPVYISKDKPFILAGTKVQDGSAKMLVTAVGMRTEWGRLMSTLSEGGEDETPLQVKLNGVATIIGKIGLLFATLTFVVLMVRFLIEKGLTVGLSKWYSTDALTIVNYFATAVTIIVVAVPEGLPLAVTLSLAFAMKKLMNDKALVRHLSACETMGSAGTICTDKTGTLTTNHMVVDKIWISEVSKSLTSNNSLEDLNSAISSATLSLLLQGIFENTSAEVVKDKDDGQTVLGTPTERAILEFGLKLEGHHDAEDRSCTKVKVEPFNSVKKKMAVLVSLLNGKYRWYTKGASEIIVEMCDMMIDGDGNSVPLSEAQRKNVLGTINSFASDALRTLCLAYKEGDDFSDDTDSPTGGFTLISIFGIKDPVRPGVKEAVKACMSAGIIVRMVTGDNINTAKAIAKECGILTDGGIAIEGQEFRNKSPEEMRDLIPKIQVMARSLPLDKHTLVTNLRGMFKEVVAVTGDGTNDAPALHEADIGLAMGIAGTEVAKESADVIVLDDNFATIINVARWGRAVYINIQKFVQFQLTVNIVALVINFVSACITGSAPLTAVQLLWVNMIMDTLGALALATEPPNDDMMKRHPVGRGESFITKVMWRNIIGQSLYQLVVLGVLMFGGERLLNIKGADSKSVINTLIFNSFVFCQVFNEINSREMQKINVFRGMFSNWIFIGIIAVTAAFQVVIIEFLGTFASTVPLSWQLWL >Sspon.05G0000860-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:2170119:2170862:1 gene:Sspon.05G0000860-3D transcript:Sspon.05G0000860-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADYHRIHPATVGSPPPSAPPEHGKKPSHDQLLPVTAPALYAPAPLPPPQRRRRHNRCCRCVCYTFLALVLLVVALGATAGILYLVFRPKIPTFHVDRLTVTQFDVNTTTATVTDSFDVDVTATNPNRRIGIYYDGGDVTASFNGTVLCRGAFPALYQGHRTTIRPHISLAGETRLDSDVAAQLLQQQQAGFVPLTVRARVPIRIKFGAIRLWKMTGKANCNLVVDNIQAGTQLSIRSNTCSFKLKI >Sspon.05G0010510-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:21378868:21383653:-1 gene:Sspon.05G0010510-3C transcript:Sspon.05G0010510-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAMELVGRSFLPGPAAASPGREQRRGGPCFAAVGREGSAHKRRPSLRSTAPVGALAERVVVAPAPPERAGTAPPEPHQQSVAARAVVTVRRRRKEDAKCRVAEQLDAYADRVGRSVLLELISTETDPRKGGPKKSRRSALVGWFEKKDVKAERVVYTADFTVDGSFGEPGAVTVLNRHQREFFIESIVMEGFPSGPAHFTCNSWVQPTRVDRNPRVFFTNKPYLPGETPPGLQELRRQELSNLRGEGADTGDRRITDRVWEYDVYNDLGNPDKGAEYARPILGGEQQLPYPRRMRTGRPKTFTGKPPSAFNSLSLFNDRAESRVEYPEPIYVSRDEEFEEGKNEMLSEGALKALLHNFMPLLVSSVSPDIRDFAGFHDVDNLFKEGLRLKQALHDQLFQKIPFVRKIQENSEGLLRYDTPDIIKKDKFAWLRDDEFARQALAGINPVNIERLQAFPPMSKLDPALYGPPESAITEEHIIGQLDGMSVQQALQDNRLYMLDYHDIFLPFLDRINAQDGRKAYGTRTLFFLTAAGTLKPIAIELCLPPMTDGCARAKRVFTPPADATSNWLWQLAKAHVCSNDAGVHQLINHWLRTHACMEPFIISAHRQLSAMHPIFKLLKPHMRYTLKINALARQILINGDGVIESGFTPGRYCMEMSSFAYRELWRLDQEGLPADLIRRGMAVEDPTQPHGLRLLIEDYPYATDGLLLWDAITRWCDAYVAMYYPSDESVQGDTELQSWYREAVQTGHADKRDAPWWPRLSTPDDLASLLTTLLWLTSAQHAALNFGQYPLGGYIPNRPPLMRRLVPAEGDPEYAHLVADPHRFFLAALPSLTQTTTFMTVIDTLSTHSADEQYLGERPDEAWTADPAALAAAREFADEVRRAEEEMERRNADTGRRNRCGAGVLPYELMAPTSGPGITCRGVPNSVTI >Sspon.03G0000570-3C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3C:13082096:13082431:1 gene:Sspon.03G0000570-3C transcript:Sspon.03G0000570-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTHLSPATRDHIRLSAETAVVPLPSARPAPSPASSPSSRRHQLPPGSTLRRRSMNSPTTTRRRPRPPNSPPIAAAARILGGRSARSRRCLGARSGARLAAKVSDSVGDPGAA >Sspon.02G0005140-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:15542269:15546750:-1 gene:Sspon.02G0005140-2B transcript:Sspon.02G0005140-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVAVGGGGGGGWGTWEELVLGGAVLRHGGAAWATVADELRTRSPCTFSPEECEAKFAEIQLRYSACNAWFEELRKQRVAELKRDLEKSENSIGSLQYVIQSLSNSKHVDGSSECRTSHTESCPHSENTADTNSSGKETSRDRSSAASFTEEASNSQKSQKVQQCDTDSIQAIKPSPDESYPQAQVEKVGPKDGLLWGSRKQRGRRARRTLLKGGDSSGDGEPTSTACIQREGSSEGCMKNLKTPNVESVVMKKGVKTPKVESGVMNKDLKTPNVGSGIMKKGLKTPKVESDLLKKGVRTPKAESDVMKKGLKISKVEPDVIKDLKTPKTGSDVMKKGLRSPKAECGQPVIERVKLKLAEILNTISTQDDCKMLQRQLDTQRKRARYKKMIRRHMDFRILHSKVKSGAISCTKELLRDMLIFINNVIAFYPKATLEHMAAVKLRDFACKTVKQSASLFLKSHGETRTAGAPVVKKNARALQPGCPGPGDARAIKVSSRDTTAKEGDGKRPCSDELANQKTIQRNEQAKKRGVGRPPKSGQRIAEAQEDGPIKGRKRGAGALVDSPSKGRKRSAAAQEDSPSKGGKKSRR >Sspon.02G0010380-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:28705130:28716291:-1 gene:Sspon.02G0010380-1A transcript:Sspon.02G0010380-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRVHSMIEKLAQFTDIRCCLIVGGLSTKVQEVALRSMPDIVVATPGRIIDHLRNSLSVGLEDLAVVILDEADRLLELGFSAEIQELIRMCPKRRQTMLFSATMTEEIDELIKLSLNKPVRLEADPSLKRPATLTEEVVRIRRARESNQEAVLLALCLKTFKRSVIIFSGTKQSAHRLKIIFGLSGMKAAELHGNLTQAQRLEALELFKKQEVDFLIATDVAARGIDIVGVRTVINFACPRDVKTYLHRVGRTARAGREGYAVTFVTDDDRSLLKAIAKKAGSQLKSRIVAEKPVAECAQLIEQLEGQISIIIREERVEREARKAEMEIAKAENMIAHKDEIYSRPKRTWFATEREKKLLAAAAKESLDQGKSTSGVISAKQAEDLRLKEKKRREHEKNLPRKKRRRLEAQREMLEDEDEDDEEAKENDRGKKPKKGQSLVDAAYRKAKSLKAASKRGPGAGKGKKEKNARQHSEKTPSRHEEMRELFQNDMSERKQGRSSKKNNNFAHKKSKNAFKSKARYKRRK >Sspon.06G0003690-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:9592905:9593996:-1 gene:Sspon.06G0003690-3C transcript:Sspon.06G0003690-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PCF2 [Source: Projected from Oryza sativa (Os08g0544800)] MEAQAQDNRAEDGDGARQHHVAGGVATATAAAAGMVMGAIPMHGFMVPKPEPVECFGGMAMVRSKPPPRNRDRHTKVEGRGRRIRMPAACAARIFQLTRELGHKSDGETIRWLLQQSEPAIVAATGTGTVPAIATTVDGVLRIPTQSSSSSSPSSLTTVVDGDDAYAAKRRRKLQPTRAAAGASPLATAAPAAAYYPVIADPLLQGTGGAAISVPSGLAPITASGAPQGLVPVFAVPAAGSPGGGGNRMIPQATAVWMVPQPGAAAGGAANQPTQFWAIQSAPQLINLGGAQTAVFPAAVNVADFQHQHQPISSMSHNGNSEQLHLQHPGSGSHEQQRGNVDHPEEDDDDDDDEPVSDSSPEE >Sspon.04G0032440-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4C:26814633:26815441:1 gene:Sspon.04G0032440-1C transcript:Sspon.04G0032440-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHYEACIQAIINYKAQYEHVKIGKEVARDTTLTKEQFMLVPPYWVEGKGMCWEMMVDWWCSQEWRDQHDAARGQRRKMPGPSHHQGSLTLEEYKERYEAAHPGQEIGPLGAYALSKKGKATTDITYNPDDPPEVYTNSTVHSRISSCCDSAHAIHGPDFDPYNEAISGEAAMRAGEASSMGGTGLPTP >Sspon.01G0022910-4D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:80227879:80229536:1 gene:Sspon.01G0022910-4D transcript:Sspon.01G0022910-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSMTLCLILIFLLIIRKLTRSYTASPSPTPAKSTAAAALRLPPGPWQLPLIGSLHHLLLSRFSDLPHQALREMSGTYGPLMLLRFGSVPTLVASSAEAAREVMRTHDLAFCSRYLSATLDIISCGGRDILFSPYNDRWRDLRKVCMLELFNPRRVLSFRTVREEEVARLLRSISDQCGGHHAGAAVVNLSDGICRMVNDVVVRTAIGDRCKHRDEFLHELDEAVRLTGGFSLADLYPSSRLVRRFSVAARDMGRCQKNVYRIIESIIHERKATSLVPEREDDDDLLGVLLRLQREGGLQFPLTNEIVSTVIFDIFAAGSETSSTVLVWAMSELVKNPRVLHKAQSEVRETFKGQEKLTEDDMSRLSYLHLVIKEALRLHAPVPFLLPRQCREACQVMGYDVPKGTKVFVNVWAIARDDKVWGDGEVFRPERFESSSVDFRGNDFEFTPFGAGRRICPGITHGLANMELVLASLLYHFDWEQPGGAGSGDLDMTEAFGITLRRKSKLMLKAIPRV >Sspon.02G0056920-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2D:38482957:38485566:-1 gene:Sspon.02G0056920-1D transcript:Sspon.02G0056920-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding PMEFGWRVVVGSLIGFFGAACGSVGGVGGGGIFVPMLALIIGFDPKSSTAISKCMIMGGSVSTVYYNLKLKHPSLDMPLIDYDLAWGQEPEHATTIPIEADAPANKAKTPTDEANYVASCSVWYWVLNSLQIPVAVGVTVYEAYGLRTGKRVLSSKGSQQQSTLRIRQLLVYCLFGILAGLIGGLLGMGGGFIMGPLFLELGIPPQVSSATATFTMMFSSSMSVVEYYLLHRFPVPYAAYFTGVAFVAAITGQHCVRKLIAWLGRASLIIFILASMIFVSALTLGGVGISNIVHRMERHQYMGFESLCKV >Sspon.04G0009650-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:28727754:28730484:1 gene:Sspon.04G0009650-4D transcript:Sspon.04G0009650-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFTPQDKHTGGSRGAGDADAFAASATAGWRFDPGTGRGGLREQSGSDAARGALLGRVVREELAVRSEEIRRIAADNTLVLEDILGLRQELAVIEDEIHMLTKQTIPRLRLDNEMEYRDIIQGGMQLEEQMRALKPIKAEVLLLSSEKMELEALRRELSVKVQSLYRELEQIRSENKQIPAIREGLHEIQEEILKARMAYEHEKRAKIELLEQSQAIERDFINIKMEAQRLRTELEKRRPGVFKHHAFGSYYNR >Sspon.03G0028380-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:9451036:9453684:-1 gene:Sspon.03G0028380-1P transcript:Sspon.03G0028380-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADDGEGAESSAAGERQGVPFALGGPVFVPFMVGPISTVPEFMSSAFHELQDLEDELGDPGDEFDDELCVDELKVLSEEELVERALQEAMEVASTDNQLALITLFWIAFSFEALMFFLSKDSDSGTQPQSEDQTLDGGMSANPTPTNEALTLSQSAERESSGLPVEDVVAVLNEPQSRNGKPRGKKAKARCRKGKTGTITLDSLVEGGSHGSTIDMSIVPFEPEGNEGSGTMPSNPPAENGASESPVDGMSIVPHDPEGTNGQTTCRKGKKRGRHFDREVRAEILQGSYLTKAEKWVQIKAKQDENKFAARLHSF >Sspon.05G0018810-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:82673402:82675778:-1 gene:Sspon.05G0018810-2B transcript:Sspon.05G0018810-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFRSIVRDVRDGFGSLSRRGFEVRFLSHRRGKSHGAVHELHDPVPEVQSSCWASLPPELLRDVIERLETSEDTWPSRKHVVACAAVCRTWREMCREIVKSPEFSGKITFPVSLKQPGPRDGTIQCFIKRDKSTQIYSLYLSFSSAVPAENGKFLLSAKRNCRPTYTEYTISINSGDISRSASNNTYIGKLRSNFLGTKFVIYDTQPPYNATSPAVAGKTSQRFYSKQGSTKVSSSQYSIAQVSYELNVLGTRGPRRMNCVMHSIPASCLEAGGSVPCQPDSIGARTVGASFSSVSLSKPSTMDHSMRFSSSRISDAITADGIVSRGQALSDGDDEECKDTPLVLRNKAPRWHEQLQCWCLNFRGRVTVASVKNFQLVAATTQPAAAGAPTPSQAAPPAPAPAPGPPPPDQEKVLLQFGKVGKDIFTMDYCYPLSAFQAFAMCLSSFDTKLACE >Sspon.07G0013660-2P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7D:48717763:48717981:-1 gene:Sspon.07G0013660-2P transcript:Sspon.07G0013660-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSQKQFPPQQQGSQPGKEHAMDPRPEAIIQNYKAANKLKDKVALVTGGDSGIGRAVCLLFAAEGASVAFTF >Sspon.01G0018680-3C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1C:70801211:70801651:1 gene:Sspon.01G0018680-3C transcript:Sspon.01G0018680-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding TLFNMFDDFVLLARGGLIAYHGPIYEVETYFAGLGIKVPGRENPPDYFIDILEGIVKTKLRGNVTPKHLPLLWMLHNGYEVPDDLQKDLENINTIRELYTVRSISEQSSEEQSENTDSVNRNLSQSNELLERKTPGVFAQYDTIWGG >Sspon.07G0010750-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7A:34996083:34997128:1 gene:Sspon.07G0010750-1A transcript:Sspon.07G0010750-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLTQSTSFWLQYGSCKVLLSYKPDVSPYSDLPQKQALTNLNEVGEATLTKDSAESSTAEVNWLEYPLAALSEERDKPMNHQPIVTKQETRNADDTSLKVYMEWAKQLQHWREL >Sspon.05G0006120-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:20861210:20862870:1 gene:Sspon.05G0006120-4D transcript:Sspon.05G0006120-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAPAPAALSSTISTEARLLASAAAVSCASFASSSANVSEASGARPAASGSSGSGSASSARSIPELYEERGALREFGLRELRAATRDFSPLLMVGEGGFGCVYRGVLRLPRGGPGGTPVAVKRLNPNGGQGHKEWLAEVHFLGVVEHRNLVRLIGYCASQTDRGPQRLLVYEFIPNKTLDDHLFNRAYPVLPWEVRLQIALGAAEGLLYLHEGLELQIIYRDFKASNVLLDEEFRPKLSDFGLAREGPSEGQTHVSTAVMGTFGYAAPDYVQTGHLTTKSDVWSFGVVLYEILTARRSIERNRPRNEQKLLEWVRRHPPESEQFAAIMDARLQGRYPMRGATEVARLATGCLAKHAKDRPTMRAVVEGLRQATRHTEMDGVVVVVGAAAECQGSPPREDASAVAVAAEARKRRMLHLAALGGAAADAHARRRLMLMRAAATAAAAP >Sspon.01G0039110-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:20298930:20305176:1 gene:Sspon.01G0039110-2C transcript:Sspon.01G0039110-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKILIDEEFSNDVNARHISPGAVGRLMGLDSLPSSGIHNQHRHTQSPAPKTSPVMEAAKTKMHRSPRSKIGNTTPRSDKVDSADIDFIRQKFMDAKRLSTDESLQMSEEFNETLDALVSNRDLLLEFLQKFDPAIRRNLHNHGSPSSAANCITILKPSRRNHFIDMDNIYPQEKGTESIFNEQKEVKHSLRKPYSNVPLQSRKEDSCSLRQKLSRSSHQENTGKRGCPTRIVVLKPNFEKPHDIEEALPLHHKIPHSDYRSHKECPEIGRWTPYTEDYMSQVPLGDSETLSRMGKGSREIAREITKQMRAARGGSRKHAVKPEIRTPASDERSQFLPSVTKLKTPEAIHRYSEPCDAWASSSLNSSPTYLTETSVSKEAKKHLSNRWKKTHQCQHQETDSDSFSTLGDMLALSDQNASMVANHKMTSRKCPKAGVQSDKMQNSCIYPLGISSNDGWRDTATSKLTRSKSLPSSFIRGVQKSNNRKRTGSVTYNEFSMLKDVLKVGPHYSEHACRSRQRQSLSRDSTIHGDESDLMSTDNEEQMAVEREIHVNYEEPINGTAVTETSGQSQHPANLDDAVGILDTSSAIPVSNKRPLSPAGQNQQMLKVTTTALDNCLLVPSLDDLMAKHEQVEYHEGDDYLATYDPQIESDSPEEINHHLGDDNQTLCIPPNESESPANSNKDDQQSPVSVLESSMDAEDVYSGDFEKIKLRLQLRLLKRETTDTGDDNELFILSDDEAARQSLPEMEESHSFRNMEERDFSYVFDMLVALGIHAPNEDELIDNCYLLECPAGLDFLMILKRSTAASFYGHNMRGSSCSISQMLFLGILLPL >Sspon.03G0010600-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:28809032:28811676:-1 gene:Sspon.03G0010600-1A transcript:Sspon.03G0010600-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKKPQQESGSAEIAGEMAREAASKATASRGSGAEAEAAAGEYWSEALKSFLDHIPVSSVPGALQPTASPAVEVKLDGSVLGALDAMYSSNAAGAVIVDVVQSSFGKYVDRDIGFVEFSSLVLWALEELGKVEGEPTDSTSDFLSSLKQHHQIAETKIAWLAKLSLWEPFFPVRTHDTLFHAMLLFSKHHRLNVAPVVESMNSSVIGFVTQSSGLEWLDKIADKQLSEFRFAYVRKPVLVYSDQTLADGLHILSKEKMGVAVIDRKTSCLIGSIQCSDLYLFLDDSSLFSKRTTTTLEELINLNNKADSKCSTAENSSAPAQNILALRNRQPSVAGLPATNLKSDTLKQAMEKLTASRSSCSFIIDEQGHVEGVVTTRDIISVFSPPYMDSRIDGGTFFSAALEQAGCRVENGQMIQNS >Sspon.04G0031410-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:12855615:12859568:-1 gene:Sspon.04G0031410-1C transcript:Sspon.04G0031410-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DVVMESACFGLTWTAVVSSEILSFCVGFSLIAVAFFAAAADGDDVRRGGGVIAKLCMIPASCLLDVVFDHVHYSRDTKLSIMVVLIGLAICTVTDVYVNARGLIAAVIAVWSIALQQYYVHFVQRKYSPNSFNFLGHTAPAQAGQKGGSLQFTKQ >Sspon.01G0042110-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:62994983:63019178:1 gene:Sspon.01G0042110-1B transcript:Sspon.01G0042110-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPPPAAPAPVRLRLLFENSRLLRRVQRDEGLRRCWLLLSPELATVADLAAHVAARFRLRRTCPRGVVLSMDGFTLPPFESTCIFRDNDIIRVEQKSCTKQVGHNDVHCIQDHEIIEKRPLPVDDRILAIQDQKDGCKHQEEEAHDHQLEENATASHSIENDGTSSKRKWNDGIAEIPESSKGTRQKVENSGKQIDYSKEEQSGSKKLKLSVIDIEAKKATPQHETTTTLVEQQKSEGNNQTELKCEAKVVDYNAQSDTKKLESRSARRKKIKRRMRQTGKLQSEKNVHEDSPIAADFPSSSNQDGLPVPSSNQESHVHFSSLKADEEESDTSEEIVPVVVRPGHIRFEPAGGEPDKSPAKELQGTFQWSGTMSKKKGQKWGIDSSNKKNADIGYHAGIAGSSTEVNNHVKDIKVTENGFCAVSNRRNNEGCNIEMSSAKLLLMKKSLVEGDLIAYRLVELSSMLCPELSAYRVGKVLIYDPISLRIILLPVQEYPITTEEKENKDDSDMLADLSPYKEDGSLEIEYSSLLDVRLLKGIEPVLGAASTPSAETCNEIGSALAGRPVTLHKNEGNIESQKSPLVANSTEDEERKLEGNIGSQKSPLVANNTKDEERKLGTIIHTKHCPLKYFGKSGSTVWEKNDEPSDKVDVQENGWGTWKQNASTSAWSYRALRSSALGPTMAMLRGKNSQRGKPPYRKNGK >Sspon.07G0010360-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:29824834:29834088:-1 gene:Sspon.07G0010360-2B transcript:Sspon.07G0010360-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASANAISTASLISPLSQGRATRARYGRSQRFVVRAEAKDIAFDQKSRAALQAGVEKLANAVGVTLGPRGRNVVLDEYGSPKVVNDGVTIARAIELYDPMENAGAALIREVASKTNDSAGDGTTTASVLAREIIKLGLLSVTSGANPVSLKKGIDKTVQGLIQELENKARPVKGGGDIKAIDKVGPDGVLSIESSSSFETTVEVEEGMEIDRGYISPQFVTNLEKSIVEFENAKVLITDQKITSIKEILPILEKTTQLRAPLFIIAEDITGEALATLVVNKLRGILNVAAIKAPSFGERRKAVLQDIAIVTGAEFLAKDLGLLVENATEEQLGTARKVTIHQTTTTLIADAASKDEIQARVAQLKKELAETDSVYDTEKLAERIAKLAGGVAVIKVGAATETELEDRQLRIEDAKNATFAAIEEGIVPGGGTAYVHLSTIVPSIKEKIEDPDERLGADIIQKALVAPASLIAHNAGVEGEVVVEKIKDSVWEVGYNAMTDKYENLIEAGVIDPAKVTRCALQNAASVAGMVLTTQAIVVEKPKPKPQAAQPAEGSLAV >Sspon.06G0007920-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:34330960:34336516:1 gene:Sspon.06G0007920-2C transcript:Sspon.06G0007920-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLSTSSGPPVVNVYHEKSMILPDVSRVLTCLYEKNVKFETFKASYKDILSLQASRSVPVPFYDGPIFLQDSRAICRYIAETYEHQGYPFLLGKDVLERASIEQWLRNEEHAFDPPSRALFCHLAFPLEDKDDDYDEDINREKRKLEEVLEVYEQRLGETEFLAGNKFTLADLVHLPGTHHVITSERFAYLYDSRKNVQRWWNTISARDSWQQVLKDMKAVEEEHRKEELKKEQQQWQTEYLQPFSGRDIHISHRQQEGTKSQTVLVPPPSTGTIITSIPSAPQEHETSSELKPSSPIQRNEGGFFTTTEKHPPTTRQRDPNTQKPPSSVQNTKSSFFQPSTTTTTIKMDQRTDSENPRQKGASTPSKTSQRQAGALADNKRATEADQDRAPSPAQKSGVQDVQKQGKAIPTGQKVSGTSPRQVESEEDTQDGTDGEERFSTKRLRRMFNPDAQGSQDPTMEEEAPAIKDPSGVHNREKQTTTVPANKITSSPSTGTRAPYTPEATDERGDISPAKGVPYNDRATARPGYSQSIQQVPPPTPSNDKLAKIEGVDIRKPQRASQQTPADAKSSPELMQGADPHARIVSDEQTKKSSTMGERAPEATRKASDSQGTSASVQKAILDTYGKQAPASQGEIPGVQDTGDRDTNKKSIVGKRAAEPTSGTLGDKSTIAAMVDPSAALPAPARTQASVGQNASVDPSEGNLDENGKNKAAKSSPDDPRSKLLITPGKLAPNPDTQNRATSGQLSKPSPQLSSLSDIRNEKTSIAETSQTSVVSPNRQPGGQAPRNTGVLSSVPPPVKPPEDNNKAYEEEAVEQVARDQSKAQLAENKKQGDYAAPVNRIGKSKEEDSLADASSYNMGQAQATTGEPSKLQIQSDQNKPQASKDGGKQTDETANSPTLATSEKVLPSNPEKSKQLQGDKSAIILQENVKQGSEAASLGSGAEQQRKKDLPTNDDKNYEKSSEVISEEKITSDTQQVKNSRNDSTSDRSIKPSQSEGNKDNLPESERRGT >Sspon.03G0012820-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3A:35559996:35560952:-1 gene:Sspon.03G0012820-1A transcript:Sspon.03G0012820-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDRAYAPAAKPVPVRATNGTANGGGAGGPPRPAPPSMLPGGRVPPPPMYRPKPAQSRPPARRPRRSARGWCCACCLWLTLVLVGLVFLGAIAAGVFYVVYRPRPPSFAVTSLRLAALNVSDSDALTSRIEFTVTARNPNDKIAFRYGDIAASFASEGADVGDGVVPGFLHPAGNTTVIRAEASTAAATIDPVQAAALRSKKSHVMAAQMEAKVGFQIGRFKSKSINVRVNCAGVSVGLAKPAPAAAPAPDAEPTVVVAAAPAPARGGRGRGRSPRSVVRMSSSSSSLSSSSSGGGGGKMTPTDAKCKVRIKIWIWSF >Sspon.02G0032460-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:120498965:120503368:1 gene:Sspon.02G0032460-1A transcript:Sspon.02G0032460-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAFAAQVVRGRWFMAYGSFLIMSAAGATYIFAIYSKDIKSTLGYTQEQLNTVGFFKDVGANVGIHAGLVAELAPPWLVLAIGAAMNLGGYLMLYLSVTGRAGAAPPLWLVCLYIAVGANSQAFANTGALVTCVKNFPESRGVMLGLLKGFVGLSGAIFTQLYLAFYGPGGSGGDTRPLILLVGWLPAAVSVAFLATIRIIRAPPRSPVAGRREYRAFCGFLYVSLALAAYLLVAIVLQKRFRFTRAEYAVSAAVVFLMLLLPLGIVLREEAALLKANITNAPESQAPALPAATKQQPPPALPATTAGQSTFLKKNDYTILQALVSVDMLLLFTATVFGVGGTLTAIDNMGQIGESLGYPQRSVATFVSLISIWNYLGRVTAGFASEALLSRHRIPRPLLVAGVLLLTVPGHLLIAFGVPGSLYAASVLVGFCFGAAYPMILAIISELFGLRYYSTLYNVGNVASPVGSYILNVRVAGRMYDREAARQGAAVVPGKAGGVTCVGKRCYRESFLVVAAVTVGAAAVALALAWRTRAFYAGDIYARFKEGATGTRASGNRVRVGEDEDALAAAAAAAESVSKEQAKVDARDARDTSS >Sspon.03G0003060-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:23121576:23122331:-1 gene:Sspon.03G0003060-2C transcript:Sspon.03G0003060-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPKLLLLLATSLLSCGLLVAADYAPMTLTVVNNCPYPVWPGIQANSGHDVLEGGGFFLPPLSHKSFPAPAHPWSGRIWARTGCAGAGAQLHCATGDCGGRLQCGGLGGAAPATLAQVNLHHGSGNSDQTSYGVSVVDGFNVGLSVTPHEGRGNCPVLACRKNLTETCPGELQLRSPAGSVLACKSGCEAFRTDELCCRNMYNSPRTCRASKYSEFFKRECPQAFTYAHDSPSLTHECAAPRELKVIFCH >Sspon.07G0009500-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:25371718:25374992:-1 gene:Sspon.07G0009500-2B transcript:Sspon.07G0009500-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGRLLPFLPWLLLLLSSHAVTAFRFTVDDFPDGFAFGAGTAAFQYEGAVDEDGKSPSIWNTYAHSARNPNEHSGDFASDGYHKYKEDVKLMKDIGLKAYRFTISWSRLIPNGRGAVNPKGLQFYNDMINELVKEGIQVHAALYHLDLPQILEDEYDGWLSPRIVDDFTAYAEVCFREFGDRVAHWTTMMEPNIIAQGAYDVGIVAPGRCSYPFGRDCTVGNSTVEPYLFLHYNLLAHSSVVRLYREKYQAVQKGVVGINLYSLCIYALTDSAEDIQATERANDFLFGSILHPFLFGDYPESMKKAAGARLPSFSSYESELVTGAFDFIGLNHYSSIYASNNPDVSKMPVRDQAADIGALFRETRDGQTAIEYPTGRMVDPQGLEHVLKYIREKYGNISIYIQENGFGQFDDSLMDVERIDFLKVYIASTLKAIRDGANVKGYSVWSLLDLYEMFGGYKAHFGLISVDFRDLRRQRQPRLSAYWYSDFLKNNVAIQVDNGEATATSHEQI >Sspon.03G0029500-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3B:16145393:16145590:1 gene:Sspon.03G0029500-1B transcript:Sspon.03G0029500-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AAPPINAAGVGSLWSDQLVPSVSVCERERECACWARLCWAAREAASERESERNCALVGRALLGRA >Sspon.08G0001310-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:2106566:2107753:-1 gene:Sspon.08G0001310-4D transcript:Sspon.08G0001310-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAVDVAEPEAAARLQRVVNQIMQRQDEITGHVQRLVAGFNQLESQKVQVIEKLESEKAQKEAQVQKLTEELESERAQKTAEVQKVMEENVRLRAMLDKKEAQLQAMSEQCKFMALNHHN >Sspon.01G0052770-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:21543448:21546147:1 gene:Sspon.01G0052770-1P transcript:Sspon.01G0052770-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:LL-diaminopimelate aminotransferase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G33680) UniProtKB/Swiss-Prot;Acc:Q93ZN9] MAKLQAGYLFPEIARRRAAHLLKYPDAKIISLGIGDTTEPIPNVITNAMAERAHALSTIDGYSGYGAEQGEKKLRAAIAATYYADLGIEDSDIFVSDGAKCDISRLQVLFGSNVTIAVQDPSYPAYVDSSVIMGQTDLYQQDVQKYGNIEYMRCSPENGFFPDLSTVPRTDIIFFCSPNNPTGAAASRDQLTKLVKFAKDNGSIIVYDSAYAMYISDDSPKSIFEIPGAKEVAIETASFSKYAGFTGVRLGWTVVPKELLFSDGHPVAKDFNRIVCTCFNGASNIAQAGGLACLSPEGLKAMHDVVGFYKENTEIIVDTFTSLGFNVYGAKNAPYVWVHFPGRNSWDVFAEILEKANVVTTPGSGFGPGGEGFVRVSAFGHRDNIIEAARRLKQLYK >Sspon.04G0016510-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:66260285:66265417:1 gene:Sspon.04G0016510-2B transcript:Sspon.04G0016510-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASTAAIPTANTNGNHALSSDSHSSQDARRRTAGITRRKALPPILLAKIPNNDLSHTIRGESVLDKSKYSSEARKDVVASAAAERQNKSTTDAVASAVAVRQKKSPTKQEKAKWVTALSVLVKLCLLISATAWMGQVFWRWQSGELSFTTLDMENRLSKVEGFKKTAKMLQLQLDVLDKKLGNDIDKAKRDIAKQFEDKGNKIEKKMRTLEDKTDKLDKSLAELSDMGFLSKNEFEEILSQLKKKKGFGGTDDEISLDDIRLYAKEVVEMEIARHSADGLGVVDYALGSGGAKVVSHSEPFMNGKNYLPGRSIVHTTAQKMLEPSFGQPGECFALKGSSGFVKVKLRTGIIPEAVTLEHVDKSVAYDRSSAPKDFRVRGWYQGSHVDSEKDSNVMAALGEFSYDLDKSNAQTFQLERTTDSRVVNMVQLDFSSNHGNLELTCIYRFRVHGSLNTGG >Sspon.01G0017240-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:68149050:68152760:1 gene:Sspon.01G0017240-2B transcript:Sspon.01G0017240-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVLALADHLGDDAIARRAQCIQNEIETKPGSHPFDEIIYCSLSNPQSMGQQPNKFFREVLALCDYPHLLEQSETNSLFSSDAIARAREILDLFPGRATGGYKLCYLCPKITTFSGYPPGPRLRDIIAAGIASRDNFPCNADDIFLTDGAAPPVHMMMHLLIRDQKDGILCPIPSHSLYTSYMVLQGATLVPYYLDESRGWGVSMSDLKKQLDGARSMGVVVRGLVVINPGNPTGHVLVEENQREIVDFCRNEDLVLLADEVYQENIYTDEKKFHSFKKIARSMGYGEGDISLVSFHSISNGYYGECGRRGGYMEVTGFNSEVKKQVYKVASLSSCSNISGQILMSLVMNPPQVGDESYTSYQEERDSILSSFARCAKAMVCTFNRLEGVTCSKAEGAMFVFPSVRLPKKAIAAAEECNTQPDAFYALRLLETTGIVVVPGSVFGQYKTKNSSRFFWYSNMVFLYYILKIHGTWHFRCTVLPQEEKLPLIISRFMAFHEVFTEEFRD >Sspon.06G0015360-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6B:68594889:68595293:-1 gene:Sspon.06G0015360-2B transcript:Sspon.06G0015360-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPVANAKCCPSTSLMLNLSSLLALVLLPSMATCREEMKSIDVGQRVLPVRLGPPAFGPDREPRLRPPRRRARRLQRPRPPLARVDRVRPQLQAFGRPLGLQFHHGGRRRAAQLRQRRGRPPGDRPRLLHGQQRH >Sspon.05G0019810-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:86958820:86968814:-1 gene:Sspon.05G0019810-2B transcript:Sspon.05G0019810-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MYHHAADRRSRRSRRPSTRRSFILKSPQLSTDVLVGALLSKENMPQSPTSRRGETELVDVDPNRPAAPAPAAPPPPPPRMEDACFLWELRKYVLLQATLAASVTYSAGLSPPGGVWPDNDDSSSAGTRLAGDPVLQVTYARRYETFFYFNATAFVASIVTINLLLMQSLSRHRGWLRALQAAMILDQLGLMGAYAAGSCRELAMSAYVVALVALVSSYVCAHVLLFALRAGASTDEDVLEEHVERSRKYLLIFATLVATVTYQAGLSTPGGFLSDSQDNDHLAGDPMLRGHHPDRFMGFFYFNTTAFVASLVVIMLLMSRTVTHHGFRSCALWVCTGAALIGLTGAFAVGSSRSVKTSIYVVALVVAVLSYIGLQVLVFFCKPVENWVHNIQDTLQRYLRLDQIESQDPRVRAVHDPQETAEADQLLQKSRMYLLLLGILAASVTYQAGLNPPGGFWQAKAADGVHHYLAGDPVLHNTYPRRYLVFFYCNATAFVASLVILILLLSNIFSTQGIKYCALQVSMIMDLLGLIGAYAAGSCRQVSKSVYVSILVIPVFLYVGIHVMVFISLPNIATWRKTVRNKLKESVPRWLKKLFELPPEEDENMKWKLEKRRKLLLLLAILAASLTYQAGLSPPGGFWQENKTGHVVGNPVLNDNYRRRYMAFFYCNATAFVSSLAIIMLLVNRKLSARGIQSHALSVCVTLDLVGLMGAFAAGSTRKVSTSIYVLILVFAVLVCIMLQVVLVVSESVEGLLQRLLSFFDVTEGEAGFILPHTTVNNGGPRDLWYEKLPKYLLLLAALAATVTYEAAMNPPGGLWDDGQTVHVAGDPVLRSSHPNRYKAFFYCNATSFMASLVIMVLLLIKRVCRAKQAILALHTAMILNLFGLMGAYTAGSCRRMRTSAYILALVIGVSVYIVVLVVVSIGVAKWLKGVMDELVERVIWCFSIEDL >Sspon.03G0038310-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:5228786:5229496:1 gene:Sspon.03G0038310-1P transcript:Sspon.03G0038310-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDADDSSSASSSSSSPPASPAGHHLHRLPPKRRTGRKKFRETRHPVYRGVRARGGGTRWVCEVREPQAQARIWLGTYPTPEMAARAHDVAAIALRGATAADLNFPDSEHALPPARTAAPQDIRCAAAQAAELYRPSSSSASGLLQQHGRRTIVPPPPEASPCCWTTSTGTRGTDGGDTAACCYGFLDEDAIFDMPGLIDDMARGMLLTPPAMGRRLGWGALDDDDDHVDCTLWMVD >Sspon.02G0032670-4D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2D:85874202:85876754:-1 gene:Sspon.02G0032670-4D transcript:Sspon.02G0032670-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cation/H(+) antiporter 28 [Source:Projected from Arabidopsis thaliana (AT3G52080) UniProtKB/Swiss-Prot;Acc:Q8L709] MDCSMTSSILSANYNTILFEFGVILVSSKVLYALLRKVYQPRVFSDLLLGIILAQFRVLSLTNAINLVFGKIGGFVFAPYLFALGVEMDPTTLLDAPTGDSVVAYAGILSTCVLVTLFHMPLMQATSGVVHERSLRSFLGLAAVLANTASPVLTRLTTDLKIAKTAVGRLAVGAGLASDMLTTMLIAVGSMIWRDTGVDGRESDDSPIVQPVLTAAVLVVVIVSAFVSRAMAEWVGARNPEGRRMRGFDLSLVALAAAALCWLSSALRLNVNMAAFLVGLAFPSEGRVSRLLVSKTNFVLSSFVLPLYVAHVCLSLRQTTDDIEVAGLTRDDGFRAYVMELPFPWWKVFFVTVMGTLGKLTGCAAAGLLRGLGMLEALALGMLLNVKGYFHLYCAQAAFDAGIITDKSFMAIIFMVALNVAVTPMVGMGIASWARRSVQWRLMGLQHHDPSTELRLVVGLHGPQDVPTLSYIMEALRWGGGAGGGGELAVYAVDMVQMTDQTAAAIVKGGGFDGVTVVDEEVSEMRKLIGEALDAYQAECGGGAKVKVRRLLALSSFPDMHSDMCICAEDAMAALVLLPFHKAQRADGSMEPGHHGFRVVNQKVLQLAPCSVGVVVDRGLGGKHAAAVVSGSSSQQSQTQAAAVVVVFIGGADDREALTLASLMSKHPGVRLTALRVVQNATAQARARARTSLFESKGSRRGGGGGGGGSGGGMMGAPASSALGQEEAQMQVDDKFFAEFYRKHVAGSKQPGAAVAAIGYLEKHVADGAELVAVLRGMQAEYRLFIVGRGRDRSSVLTEGLDEWAECLELGPVGDILASSDFSTTASVLIVQQYDAKKHYKIIDDEFMPL >Sspon.07G0021950-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:5288575:5290032:1 gene:Sspon.07G0021950-1B transcript:Sspon.07G0021950-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSRSQNPSLVAMASSLLRSGHRLFLRRHRLPAAFSTAAAEELIDVRKLPTDYDPSTFDPSSPSRPPPSDRVWRLVEEVSSLTLAETAALSSLLLRRLDIPSAPPIAILNSAAGLGGAGATAGAAGEKAAAAAEKTVFELRLEAFDAASKIKVIKEIRSFTDLGLKEAKELVEKAPAVIKGGVSKEEAEAIVEKMKAVGAKISYELVPSAPM >Sspon.03G0014730-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:47155866:47158425:1 gene:Sspon.03G0014730-1A transcript:Sspon.03G0014730-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNPSGHHPATAAPSSAPSKGKSSAPNPSGHHAATPAPSGTPSKGKSAAAQAAASGQGSSSHHHSAGGGGGGGGADASATTLKRKRGVFQKDLQHMMYGFGDDPNPLPETVALVEDIVVEYVTDLVHKAQNVASKRGKLLTEDFLYLIRKDLRKLHRATELLSMNEELKQARKAFDVDEETLATTNI >Sspon.01G0000830-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:2326915:2327998:1 gene:Sspon.01G0000830-2C transcript:Sspon.01G0000830-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVFYYVVFGALAAVVAGLELGKSGKDRVATTSAFNSFKNNYVLVYSLMMSGDWLQGPYVYYLYSQYGFDKGDIGRLFIAGFGSSMLFGTIVGSLADKQGRKRACITYCISYILSCITKHSPEYRVLMIGRILGGIATSLLFSAFESWLVAEHNK >Sspon.06G0000240-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:14408946:14410734:-1 gene:Sspon.06G0000240-2B transcript:Sspon.06G0000240-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding IQFENNCEIGVFSKLTNAYCLVAIGGSENFYSAFEAELADAIPVVKTSIGGTRIIGRLCVGNKNGLLLPHTTTDQELQHLRNCLPDQVVVQRIDERLSALGNCIACNDHVALTHPDLDKETEELIADVLGVEVFRQTIAGNILVGSYCTFSNRGGLVHPHTSVEDLDELSTLLQVPLVAGTVNRGSEVIAAGMTVNDWTAFCGSDTTATELSVIESVFKLREGQPTAIVEDMRKSLIDSYV >Sspon.02G0008560-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2C:27447660:27448583:-1 gene:Sspon.02G0008560-2C transcript:Sspon.02G0008560-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAHLRSISLPTRPHSLVLKAEKELQRLRSCVSASPPPPAHALRALLQDLSDLHEWVEGVIRLPSNWDALRLPRHRRLVEGELEASVTLLDQCGAARDGLADAKERVRDLRSLLRRHRAVNSHGAPRRGGVDAAAASVSARAEACAAPLKKVARAIRRESGRCAGAALDTTRDDLSCGGAPPRPLAMLAEVRELTVCVLQSSMDALLRQVVVRPPSAGKWSLVSRALAHNRSRSFGSGEARDGADELVLGSFGAIMDVTVTGGDRPLDARSHLQSLEGCIEGLEDGLERLFRNLIRSRVCLLNCVSL >Sspon.03G0016000-3C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3C:71363528:71364112:-1 gene:Sspon.03G0016000-3C transcript:Sspon.03G0016000-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFASGSGSASTRDADAGASTLEFGRKEAEADCGHISASQTDLLARAHFLGGGGGGAVEEEEAEVFSTSPLTQQDPQRQGQSQRGQAGEEEDGIAMSSVPFTQTQPSPSPSSSPPSDSRERKPRKPRICTRKMRGGAKIRTPTPTPTPTPTPTPSPGPELDPLARIVLMIPTAPLPTTGNEDILELARSRGIF >Sspon.02G0044170-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2B:100023655:100025886:1 gene:Sspon.02G0044170-1B transcript:Sspon.02G0044170-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPYYDGYWTEYVEQYYRAHRLQQEKSRLFDEKRELERQLAEKTRAAQVSSAQVFTLGHKARELEHRNTGLSGELAKQREDTRKAALLFMNAADRYQEEAKKQIRAKVEELANTRKAGLMLMDTADAYQEAARKQIKEKVEELEEARKAAVALMKAADAYQQEAKKQIRDKVEELKSGLRIALAKNLELEDDHGKAKAENDKLRLEVERFIMELGALAEEEDAATKAFDTEKEEILMELEDSKIMKVEVSVCLLAQAPHAVPGAEVACMRAARGRRGSLPTQLAAAGEKLAHVQPAARGRACLRISPGRGGGRPSPPTPVANLRPRQLHTRAVPFPSIATASAALLSSDAQSGGTESSWRWLESAQFYRTSCFSRVPQPDPAASPRDNDDK >Sspon.08G0012990-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:64261250:64264852:1 gene:Sspon.08G0012990-1P transcript:Sspon.08G0012990-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGDALLQRFATVNRHIHLALDALPYQTFDMPEEVLEQFKRAATTAAPPDAQLSKDICSALADKAFDPRVLTRISDKLQLHTMADIKKESLALHEMVISSGGEPDGCVEEMSSLLKKLKDCVVTEAPTTETPSTRSASIKHTSPIIPDEFRCPISLELMQDPVIVSSGQTYERSCIQKWLDSGHKTCPKTQQLLSHTSLTPNFVLKSLIAQWCEANGIELPKNKANSRDKKAAKSSDYDHAGLVSLMNRLRSGSQDEQRAAAGEIRLLAKRNVNNRICIAEAGAIPLLVNLLSSSDPRTQEHAVTALLNLSIHENNKASIVSSHAIPKIVEVLKTGSMEARENAAATLFSLSVVDENKVTIGGAGAIPPLINLLCDGSPRGKKDAATAIFNLCIYQGNKIRAVKAGIVIHLMNFLVDPTGGMIDEALTLLAILAGNPEAKAVIAQSDPIPPLVEVIKTGSPRNKENAAAVLWSLCCTDVEQTRAAKAAGAEDALKELSDSGTERAKRKASSILELMRQAEEALEIK >Sspon.07G0007120-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:16721220:16725762:1 gene:Sspon.07G0007120-2B transcript:Sspon.07G0007120-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DVGAVQGNPYPQRRARDCRSDLLQLLQPFGVVSKIVMLRAKNQALLQMEDIHASVSALQYYTSVQPSIRGRNVYMQFSSHQELTTDQSSHGRNSDQESEPNRILLVTIHHMIYPITVEVLHQVFKAYGFVEKIVTFQKSAGFQALIQFHSRQEAVEAFGSLHGRNIYDGCCQLDIQYSNLSELQVHYNNDRSRDFTNPSLPTEQRPRAPQMGRAAMIAAAFGGSLPHGVTGTNERCTLIVSNLNTDKIDEDKLFNLFSLYGNIVRIKILRNKPDHALVEMADGLQAELAVHYLKGAILFGKKLEVNYSKYPNITPAPDAHDYLNSSLNRFNSNVVKNYRHCCSPTKMIHISALPQEISEEAILNHVSEHGTVLNTKLFEVNGKRQALVLFETEEEATEALVSKHASTLEGNTIRISFSQMQSI >Sspon.06G0003170-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:9896179:9901702:-1 gene:Sspon.06G0003170-1A transcript:Sspon.06G0003170-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFEGGMGFTHVSERVVSLLLKLPLVHSVLRSLSLGPFPSLSLSLLSLLRHSGYMTLDNYSLNIAFSATARLPSVSVGAQLHSLSVKLGLVSDTFVLNSLINMYSSCSYPTTARQVLDSAPQGACDTVSWNTIISGYLRGGMPNKALQAFDQMVKEHVSLDDVTLVNALVASAKAGKVNVGRLCHSLVVVNGAGINCYMGSSLISMYSKCGLVEDARKVFHRMHERNVVCWTSMISGYTQLGKFKEAVDLFRDMQITGMKADDGTIATVVSSCAQMGALDLGRYVHAYCDVHGLGKELSVKNSLIDMYSKCGDIKKAHEIFCGLTKRNVFSWTSMIMGFTVNGLCSEALDLFVQMEGEGKVMPNEVTFLGVLTSCSHGGLVEQGFHHFQRMSMVYNLAPRIEHYGCMVDLLGRAKLLTEAEQFIYEMPIAPDVVVWRSLLFACRACGEVGLAEFVAERILELEPKKCAGHVLLSNVYATTSRWVDVNKLRTSMDSSRMSKQPGCSFIEVDGCVHEFFAGDESHLETEAIYNILLGINELLVGESLLDSPGVMDRKNQTPQGAFERRRYMNVVVQKARHPELASYIHSVTIGLLPFIQKGLVERVVVIFYDKGHVPIEKFAFKLAVNQSYCSKLEEANLEFALRAFLIKLTVAEPVTKPLPSDGSWEITAYFRSLPADGDREAQLWIPTDTKLWMQPPQITPIKSVSCDPLKMQLYLEHPSPTEPKDPPA >Sspon.08G0020500-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:22323906:22327495:1 gene:Sspon.08G0020500-2D transcript:Sspon.08G0020500-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MCPRAENINCYHHSFDKKNEYLDDAEDQLAHNDRSMFQGRKEKAGSYMVGDNSDVTTKPEEGAVDHHSDTFDHNENTGETVFDKDSTNLHEDFKRNTERSEAEEGQVNSADGNSEANSNNNEDETTGHAEEGKHDTESNSAAESKSEVNSTGDDMSQNNHAQEENTGEASGTSHDEVVQGDESTSGSGNGNGSDGEGEKKEAVNTQSGSESLPDVAKAEATDDHATGSLPDETGNIPSVHTDNSQNDASENQGDATSATSDSSEHGTGEAVHIETGLEDETATATASSGTGSGDDKGNSSDSTSAEENTETASGDDEKGMETGTTTVASHSKEENSENSSVSTEAENSQGDSSSGVNGSSEETSNKGDGATETSNNGEQVDPKIETSTSTNDEHNESQGADGISGSNDSNGNGPEQTDFFLSPPTPRDSPWTAYTKATFMIERVGHEQDLLMSFAAVTCLAMG >Sspon.02G0002370-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:6677833:6679798:1 gene:Sspon.02G0002370-2B transcript:Sspon.02G0002370-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GELAVPGGRDRRQRLQPPLLPEPDAGLRRLLDAPRHQVHRTVTRVPHPAGRQDAVRAGHLPAGLRPEVHLPLPQRQQHRRRRRVRPDHRLPAVAKRPHVPPQRAAPGQARRAPPRAPGPGRLARLRRLLRRGRWRRRRPGPERLRARHGARRVLRRRRIPQRQLHRALHGAWRRDVRRPVQVRVLGRPAHDGGRGPDHGTRGARRPVTVAGRIK >Sspon.01G0037250-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:17790446:17792738:-1 gene:Sspon.01G0037250-2D transcript:Sspon.01G0037250-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPQLTGAPGSAAAAGGAAAVKPQFHHYHHHRLPPRHHHPSPTSLLSKLAFWSVCSLSLLLAFLLLSPAAPAPRAAPESPRRSLHASPSSTASWGGAAWEKKVRASARVRRSRGHSVLVTGAAGFVGCHAAAALRRRGDGVLGLDNFNDYYDTALKRGRAALLARSGVYVVDGDIADAELLAKLFDVVPFTHVLHLAAQAGVRHALVDPMSYVRANVAGLVALLEAARAANPQPAIVWASSSSVYGLNSHVPFSEHDRTDRPASLYAATKKAGEEIAHVYNHIYGLSLTALRFFTVYGPWGRPDMAYFFFTRDILAGRPITVYESAGGGSHQTTISRDFTYIDDIVKGCVAALDTAGRSTGSGGKKRGTAPFRTYNLGNTSPVPVTQLVDLLEKLLKVKAVRKVVKMPRNGDVPYTHANVSLAQRELGYRPSTDLQTGLKKFVRWYLEYYHPELAEKQKLRTSSNGKGSRGRNGSSNMMPNETMLIVDC >Sspon.07G0023050-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7B:12500130:12502025:1 gene:Sspon.07G0023050-1B transcript:Sspon.07G0023050-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIEVEAKQDARCSIKRTLRCSIRTSWRCASEHWALFSLVLLLYLLYKYTPRFFAFLLSTSPVIICTSLLLAVLLCHGSAHLPEIHEDRKAPEQSSAGSSSRNVRIEEQQRFSVPTLKKNIAREASFGRRDWNKHADLDENVPLLKQEAATEEYMKYNAEKESKGAFSSKDEYANSFDDMHRNTVDGEEATLGLCSSSENVSEDVKVVAKPDDQGTVSACAQSGEAAEISDHKSADGTPSKCKWGRAFSVRRRKKLADIKVEAINSVVDNQLDHSLFSPFDTVGSHDNSSSGFDPDNAERHCPDVSMTDTAPVLDETETGPPLGVDCSCPDRITNDDSGNHSNISSLDSQPQTVNNEVTDNSKAKADGEEKDDAGTEPALLWTADNEKNVMDLGYSEIERNRRLETLMVKRKSRKNIQFDPDGMGGVADDVSRFRPQVQPISVSARRMNPFADDAEIPGSAPPILHSRDNNPFDFLTDEQSDDSGLPAPDNLEPQEPIPVLHQDALFRRHESFSFGRPPQSQRHGPSRFKPCFSLEEFSLDEASSSSFQRQFSDRSVSRLSVVSECDTVSSVGDQEHNDLIRNYIRGVRESSPSLLGQDGGGGDAVCAAGNECSDGISSFVDNEALNAVIC >Sspon.07G0020130-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7A:74902228:74904698:-1 gene:Sspon.07G0020130-1A transcript:Sspon.07G0020130-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLRALSKVLAWSTPSLPARCATARTTPTAVGPGQLMVDESLVLVEDLLESEDEADEDDDVAAPTLTAPTLFSSPAPPLSDALAAATRGPSPGLSSSLSPHAAPFHPGGSAVGRSKACRWADADVDDSDAEGPPIVSPTPYLDAVLHRPLHPRTSPRFMRNRPTPAVAYGATAVEGARLTRRCHRRSSGASGSRGAPTGSAARVPTHLRLGPPSACCDGGMVVGVGDARHGGVQRRRRRRWRSLPTHLEHAGRVTSVGADRVLVQQRLGPRVPSSRRRRFYAPDVDGWRDVLPCVTEAGRSNPKLGVGSVATLTVPRPKQKQLSQVKRIPAELLGRCFKCLSYSHRVATCRLPCRCLRCHGFGHHAKDCRRWTASTSAAGASLPRHSACDPPMASQHAPRGGVGATAAGAVGGGRRRRRRRRHRARPKDSGLGAPADQERLPSSPTAAFGLASSTVASCPHEPDLLAVVPCEGTGPPTWVDPMLDELDASLELAALLVASRSVGASALGRPSTPPAAPLGAQARLVDARSPPPTVHLNFADDVASGVEAEAPSSHVNDTGRVDVEAADAALAVSEAALAVDLCLLGPDVCGLGETSSAMVLAGRSPPAMSAVAAAASSIEESLEERLYLPLQTPLIHGPPRLRRSRTPAPVTSLRRSERIAAQPREADTTKQAQRVLMNKLGLEAPSRNVGSDTVRKYKAAFLEPLSDSTHDALQLLLGGEFDPVAMKLNMIGLDDEDN >Sspon.03G0012430-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:50903178:50906795:1 gene:Sspon.03G0012430-3C transcript:Sspon.03G0012430-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCSNSKVDNEEPVRRSKDRRQLMKQLVRRRPELAAAHIAYLHALRNTGATLRQFVELESALSQQPPEGLAAPPLPTPQPPLPPPPPPPQPPVTSSLPPSPRPPPPLPFSPITTIRKMEKRDDELPPPPPLVLSPIRLRKRDDELHGGDFTDDDDDTDSCSTPLPPPLPPGIDWEDLDPYNVRPLSFPSPFADRNDKEVASQVTMDNDPEVDTEFDGEEDESVLGNDDGVVDRVHVNPAKGRALGDGNSSMVSWVTKDSDSVAVPWRSKKSLVEIVKEIDEYFLKAAASGNDVVVLLDSAGGRPDALEIEAKKGKNSKSAKVFSTLSWSWSFKSQQANSESSVLNSSDSSGYGYHGKTLEKLYDEEQKLYKLIKDEEFARLQYKKHVSVLQKLESGEHDRLHAERVRDAIEELQTRIISLEEAVSLVCFSISNLRDEELYPQIIELSAGLVHMWRNMYECHQVQNHIAQQVSFLGNIPGSEPTSDTHCQATSQLEIEVSGWHNAFCNLITLQREYISILNQWISLTDCLPDDGGLMRSSSGIRSLSEELQRALDRLPEKVAAEAIKTFMSIIHSIVVQQSEERQLKKKSNNMDSKFQTQLEKHSENAMQNSAQPPNKNHYSVSKNETKLDAFRKQVEEEKARYLTSVRVSRAMTLNNLQTSLPNVFHALVGFSGLCVQAFEGISRCSEVAVIHSGAASPAICS >Sspon.01G0036630-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:19510747:19513990:1 gene:Sspon.01G0036630-1P transcript:Sspon.01G0036630-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450 90B1 [Source:Projected from Arabidopsis thaliana (AT3G50660) UniProtKB/Swiss-Prot;Acc:O64989] MGAMMASITSELLFFLPFILLALLALYTTTVAKCDGTHQWRRPKKKRPNLPPGALGWPFVGETFGYLRAHPATSVGRFMEQHVARYGKIYRSSLFGERTVVSADAGLNRYILQNEGRLFECSYPRSIGGILGKWSMLVLVGDAHREMRAISLNFLSSVRLRAVLLPEVERHTLLVLRSWPPSDGTFSAQHQAKKFTFNLMAKNIMSMDPGEEETERLRLEYITFMKGVVSAPLNFPGTAYWKALKSRASILGVIERKMEDRLQKMSKENSSVEEDDLLGWALKQSNLSKEQILDLLLSLLFAGHETSSMALALAIFFLEGCPKAVQELREEHLEIARRQRLRGASKLSWEDYKEMC >Sspon.01G0011150-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:37437100:37446280:1 gene:Sspon.01G0011150-2B transcript:Sspon.01G0011150-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G38770) UniProtKB/TrEMBL;Acc:Q8L5Y4] MPKVFGTGVFEFRHPRAAEYPLPADAAAPDTAAAPDKVPAATGGGSITLLDIQRDRLTRVATEHWGTPAAASAFDADLVRKIYATELCVEGRGRKTVPLQRVMILEVSQYLENYLWPLFDPADASFEHVMSIILMVNEKFRENVAAWTCFHDRKDAFKGFLWRVLKLKEEERALTMAEKTNYLLFMINSFQSLEDELVRETILQLVSLKLWNTLSFGRLQMELCLNPELIKKWTKIKRREAKEAKKADQPINPSEMLENKFLRNLIEEFLEILDSKVILSNQDAGEDSVLNESLSGQIDDSCVLYCERFMEFLIDMLSQLPTRRFLRPLVADVAVVAKCHLSALYTHEKGRLFAQLVDLLQFYEGFEINDHSGTQLGDDDVLQAHYSRFQAFQLLAFKQVPKLRDFALSSIGSLHKRADLTKKLLVLSDVELQDLLKLISAKDPCSGRRDFLIEVLVAFFEKRQSQKDAVNALPLYPNEQIMWDESLVPSINYSGEGCLALPKLNLQFLTLHDYLLRNFNLFRLESTYEIREDIQEAVPHLHAYINNEGETAFRGWSRMAVPIKEFKITEVKQPNIGEVKPSAVTADVTFSISSYRHQIKSEWDALKEHDVLFLLSIRPSFEPLSPEEAAKSTVPERLGLQYVRGCEVIEIRDEEGTLMNDFTGRIKREEWKPPKGEIRTVRVALDTAQYHIDVTETAEKGAENLYGTFNILMRRKPKENNFKAILESIRDLMNETCVVPEWLHNIFLGYGNPSAAQWMNMPDLLEVIDFKDTFLDANHVQQSFPDYQVTFINSDGTENLHPSPPFKIRLSKKMRESSHALPGNVNSNLTAKSSNNIADGGPQKEKLIVESYIPADPGPYPQDKPKQNSVRFTPTQRDVPARYLLRLGQGEQELATDLDFSRQGRVNAMLVRRLELLGEVSKLARSLRLPEDVGYTCETAAYFWLLHVYARWEQFLAACSQNQDKPSFVKDRFPFSEFFADTPQPIFTGESFEMDMHAAKGCFKHLSTIFQELEECRAFELLKSTVERANYLMTKQAKIVAMTCTHAALKRRDFLQLGFKFDNLLMEESAQILEIETFIPMLLQRQEDGHARLKRCILIGDHHQLPPVVKNMAFQKYSHMDQSLFTRFVRLGVPYIELNAQGRARPSIAELYNWRYRELGDLPYVREQAIFHKANAGFSFEYQLVDVPDYKGKGESAPSPWFYQNEGEAEYIVSVYIYMRLIGYPANKISILTTYNGQKLLIRDVINKRCKPWNIEPPNKVTTVDKFQGQQNDFILLSLVRTRFVGHLRDVRRLIVAMSRARLGLYVFCRRSLFEQCYELQPTFQLLLQRPDKLALNLEECTPFTERPLEETGNIHYITGIEDIDHLVKFRLEHLRQMQYMQYYAPPANELPQAVPENIVDAIPSENGNAGSALNDANEHMAVEENGGATDTVIDNRMEEDGVEAKDDMTQEGNMGEGSGEEDTQGEAQASTNDKMEEANAASTDKMEEANAMSMDKMVEANATSTDKMEEENSDPKDKMDEE >Sspon.02G0039870-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2B:62453223:62453703:-1 gene:Sspon.02G0039870-1B transcript:Sspon.02G0039870-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAAPSDPAAGRHRRDQQHAAKSAARQRPGRSAAGRGVASGRAVEGRRPAARISKAVRSEEQLAAVVPVLENLKCKKEERIKQFSDIRSQIEKIALS >Sspon.01G0030730-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:105009347:105013856:1 gene:Sspon.01G0030730-2D transcript:Sspon.01G0030730-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQVEDLEDIKSIPADASFGGEQKEEHPTESAPQNKVVNVPEQTSTVSRISPAAKLLIKEHGLDTSALRASGPRGTLLKGDVLAALKSGVSSSSTKEKKAPAQPSPQPTRDSQAQASPVLQKADTYEDISNSQIRKVIAKRLLESKQTTPHLYLSKEQHGIKVSVNDIIIKAVAIALRNVPEANAYWNNEKEETQKCDSVDISIAVATEKGLMTPIIRNADQKTISAISSESGILAVGRGNKVVEPVVDSDGTEKAAAVTKMSLTLSADHRVFDGQVGGKFFTELALNFSDIRRLLL >Sspon.03G0013540-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:38686713:38691312:-1 gene:Sspon.03G0013540-1A transcript:Sspon.03G0013540-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNRAAFVVAFLLRAIYGGMQIVTKAAFDEGMSTSVFVFYRHLTGILFLVPIAFVLERMETLNLKRFHGIAKASGVLFSIAGVIVLAFYQGPAFRSFIHHNPFHHTSNSHAGVTAHPKRVRMCPETSFIYTFSDHSRCRSNVSLCLLMIFTYTVIVSQGPMLEAYPSKLLNTTLQMIFATIQCFFIALAAERDFSKWKLAFDIRLFAVLYCYGILVSGVAYYMQVWVIEKSGPVFLAMTMPITLLVTIVLSLFLGEAVTLGSILGGVIMVGGLYSVLWAKRSEQVDASKQQMAPTPAEPTQ >Sspon.02G0012630-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:33655334:33660621:-1 gene:Sspon.02G0012630-1P transcript:Sspon.02G0012630-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MENLHLAVAHRPPLPVPAAGHLRRRHLHLQHLPAPLSLPSTPLVLSFPHHHRLSPTLRRHLRLPLRVSQTPDANPEPEPEPEPTGAKLVPLVVSIAVGLAVRFLAPRPVEVSPQAWQLLSIFLSTIAGLVLGPLPVGAWAFLGLTAAVATRTLPFTAAFSAFTNEVIWLIVISFFFARGFVKTGLGDRIATYFVKWLGSSTLGLSYGLTISEACIAPAMPSTTARAGGVFLPIIKSLSLSADSKPNHPSSRKLGSYLVMTQFQASGNSSALFLTAAAQNLLCLKLAEELGVIIANPWVSWFKAASLPALVSLLATPYLLYKIFPPETKDTPDAPALAEEKLKRMGPVTKSEWVMIATMILAVSLWIFGDAIGVSSVVAAMLGLSILLLLGVLDWDDCLNEKSAWDTLAWFAVLVGMAAQLTNLGIVSWMSSCVAKLLQSFSLSWPVAFCVLEAAYFLIHYLFASQTGHVGALYSAFLAMHIAAGVPRAMSALALAFNTNLFGAITHYSSGQAAVYFGAGYIELPDVFRLGFITALINTLTWGVVGTIWWKFLGLY >Sspon.05G0024390-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:22049078:22051175:1 gene:Sspon.05G0024390-3D transcript:Sspon.05G0024390-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFKAFFTDDGISLLDKRFLPAMDKVGRVCHVFFTPTHAMLLHNLLGATAAGPDGGGPQCVAQFAKDLLFREYNVSSRNGNQIAFSVEVALLHRALRSVLAVHAQPPAAGDATGAPAIQVKLVNKLPAGSRTATPFLTFETKGARAAVVQDVPISRPLSRSDVERLQAALDAAKDLPQTLVQVPDLPQLQSLVDRLKNVGDLLTVAVTQYGDLHLQVSTSLVTVGSEFRKLRVIGDRANAPVGDQNLTSSTRMDMAVERGEALSVQVNMKHLVKSLHCHLAKPDCTFYGIAPGGACLTVVFQYFIPGTRLADKSISFYCRLPVLDPGS >Sspon.06G0015880-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:24952764:24958938:-1 gene:Sspon.06G0015880-1P transcript:Sspon.06G0015880-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAAANPKAKPTPMPPPAAPTVAAQKPQAGASRASSSSSSAADLNPNKRPNPGNAAAAAGAAAAAPEPTPSVNGEASRSPLIPAPHPHAPHPQQHPPPGASPLLSGPPPSRPLLTVAAVESVMAAIPPPPRYGLEDLDRRTVALSDGTVRTYFALPHEPPPQLRQPPPPIPAHLLAPPPPPLPLLRPPIERWAPPPPMPALLPAAGLLPVPLPKRKWEDQANGGVPGESSGRKQPQKSEARAAKQVKVEETGVDPKVLKTAFLKMVKLMNENEADKKNYRANGRLSQLKCPVCQRDSVDLHALLNHAYYAKNQEHRADHLGFHKALCVLMGWNYSVAPVHKTAYQALSTIDAEANQGDLILWPPTVVIENTYKSKHDGQKDGMSDKDMESKLREMGFAGVDVQPLPGKDGQRSMQAKFPASLDGLNNASRLVELFERQGHGRAAWARIRSNVPTSDGGNNNPMLVKVDGKGERTWVLYGYLATAWDLDILDPESKQNATVKSRKELDLD >Sspon.05G0025410-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:19838657:19842593:1 gene:Sspon.05G0025410-2C transcript:Sspon.05G0025410-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTAEEKGKRARTDGAEEDGGQPIDRALLLSIEKLQEIQDQIEKVNEEASNEVLEVEQKYNKIRRPVYVRRNEMIQKIPDFWLTAFLSHPMLGDLLTEDDQQIFKHLESMFVDESEDIKSGCSITLTFSPNPYFEDQKLTKAYSINDDGTVTVKATSIKWKAGMDIVNGKTCNKKGDKRLLVDDSFFTWFSNTEDKSFAHGEIDQELEGEFELEDDEEEGSDEEAEDEDEDKEDEEEP >Sspon.02G0024690-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:89975248:89975761:-1 gene:Sspon.02G0024690-3C transcript:Sspon.02G0024690-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSPKLLACLVAAALALAAIVVVSPSAAQNSPQDYVDPHNAVRADVGLSPVSWDDTMAAYAQSYATQRQGDYQLIPLRRALRREHLLGLRQRRLVGVRRRGVQYYNHDTNSCADGQVCGHYTQVVWRDSTSIGCARVVCDNNAGVFITCNYNPPGNVVGQSPY >Sspon.01G0027370-1T-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1C:94428938:94431499:-1 gene:Sspon.01G0027370-1T transcript:Sspon.01G0027370-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding STVYFIGMAILTLSASVPMLMPPSCEGSFCPPASPFQYTVFFLGLYLIALGAGGIKPCVSSFGADQFDDTDPAERIQKGSFFNWYYFSINIGGLISSSFLVWVQDNVGWGLGFGIPTVFMGLAIISFFSGTSLYRFQKPGGSPIIRVCQVIVASLRKWNVPVPEDSSLLYELPDGVATIEGSRQLEHTDELRCLDKAATVTEVDVKTADFNNPWRICTVTQVEELKILVRMFPVWATTIVFSAVYAQMSTMFVEQGMVLDPSLGSFKIPPASLSTFDTLSVIICVPMYDYIVVPIARRFTGNERGFTELQRMGIGLVISIIAMSVAAVLEIKRLAVAREAVDQNIPVPVSIFWQIPQYFLIGLAEVFTFIGALEFFYNQSPDAMRSVCSAFNLLTIAFGNYLSTFILTMVAYFTTRGGNPGWIPDNLNEGHLDYFFWLIAGLSFLNLI >Sspon.05G0017290-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:72225607:72229746:-1 gene:Sspon.05G0017290-1A transcript:Sspon.05G0017290-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MACSVNNHHSISWFFALLLTLLLAETLAHRSYDASSTNRNSSTPGASGGLRVPARCRPEHAAALLQLKRSSFIFTAPPDGCEYILDNTTVSSWIEGTDCCRWGGVACDRVFGSVTGLDLSSRILGINGSGLHPALFSLTSLKHLVLDGNQFCGSQLPASGFERLTYLEDLSLHYCNISGSIPPSFAGLHSLKTINLSSNDFNGSIPSSIGNLSLLTELSLSWNHFSGGIPSSLSKLTYLSLLDCSSSGLSGRVPSLQNLSRLEFIDLSNNNLERFGLSDALQKGFGLSGRVPSLQNLSRLEFLDLSYNNLEGNTPLSDGNVLPNLLSVDMSCNSFTGAIRASIFTQPALEVPSVIRYLSELEYLDLSNNSIRGKIPDWIWRNMSSLSLQQNLFTKVGQLPAYLFIGSMNLSSNKLRGFVPFPLEADTLDYSNNKFSSIRSVDFDRLYRTAGSIYLANNTLRGPIPYAECHDDNYALVRILDLSGNNFGGPVPSYLLNGNGCGNALEVLNLRGNYLNGTWPDEMGESCSLQIVDLHGNQIQGRLPRSLARCKSLLHLDVGRNKFVDVFPSWLGNLPYLWLLVLRSNQFYGPLTMPSGNNHSVENSYFSSLQIIDLAGNCFNGVLPSEFFTVLKSMIHPQMNPDQLQFDTSNFAYSSDLDVPVPIEVSMKQLYLSVNKILYSLVVIDLSDNRFSGCIPKTIGNLTALHVLNLSRNALSGNIPGEFGRLSNVESLDLSWNDLTGSIPPAMASLTNLEVLNLSYNDLSGSIPTGRQFNTFPSSSFQGGNRGLYGCPLPVRCNQMGAIPPAPPASATATNHSSFEVIILWLFIGSGYGVGIAVSIVLQMTCCGRHMNNALEKIASCIA >Sspon.02G0015840-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:43426400:43426900:1 gene:Sspon.02G0015840-1A transcript:Sspon.02G0015840-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AMSTGTIPIVMRGAATVNTATIFGRKSRCNVKATAATATSAGRASGSRRDYYKVLSLEHRPDVGAEEIKRAYRRLALRHHPDVCPPSRRAESTELFLELRRAYETLSDPARRVRYDADLRTDDGGEGARPRPGVAFARSVWESQLCVLRARSEQRQRARSSQPQRAV >Sspon.01G0042420-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:106280384:106284918:1 gene:Sspon.01G0042420-2D transcript:Sspon.01G0042420-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:K Homology domain containing protein, Nuclear RNA/DNA binding protein of the STAR (Signal Transduction and Activation of RNA) family, Flowering time contro [Source: Projected from Oryza sativa (Os03g0815700)] MSGLYSQGFSPARNLSPQIRSNPDVDSQYLAELLAEHQKLGPFMQVLPICNKLLSQEIMRVSSTVHNHGFGDLDRHRFRSPSPMSSPNPRANFPGNGFSPWSGLQERLGFPQGTSMDWQGAPPSPSSHVVKKILRLEVPVDSYPNFNFVGRILGPRGNSLKRVEASTGCRVFIRGKGSIKDPGKEDKLRGKPGYEHLSDPLHILIEAEFLASIIDARLRHAQEIIEELLKPVDESQDFYKRQQLRELAMLNSTLREDSPHPGSVSPFSNGGMKRAKTGQ >Sspon.03G0039750-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:13201807:13204321:1 gene:Sspon.03G0039750-2D transcript:Sspon.03G0039750-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DMAGLISKINRSSIGPLPTCYSSSMKTLVKSMLRKSPEHRPTASEIIKNPYLQPYVNQYRPFADILHPGRSPEKPVTNSRSSQRSMSGSQCSSISGTGSDMDSIQSSEINTSGLASSSNNTIDTEGVEATDLQSHKDTACPELERQDSSKSVQDNHHPRHENKQPKIIKKILTTLREESKFRGSNSPVRASRVKLNSPSNRERSSDDSKQSDISSSSRSSEVMSHESANASCEPMKRGHASPPLKHLSPIVEHSPKVKIKVDELLHPHPARQVGEAVDAAAGKVKNRTPPIFIRCTIIPARRQGVASPSRQHTGVKRAHNKVMTEHPRSPCRPTHSPSNALVELPSVPIVPPSHLEDLQRKTGNSRAKSAPPRALATKEDSSACSSSTIGYAENTNQFEPSEPDSPTYLVSSCKCLIPDTIIQNHDIKSKAISEVNTTNCEKKITSNGAIVLSAAMVQSSDITEQEFICKDGMPSSQVGQCSDTVTVPSDEDKFTVQELLSSVPDLSSFVPTTAMNTEPGRGSTPTQSSEKPSGSHLNPPPVEDIIHVISHSSFRVNGEQAVKETGSESIDVDKRLNMVREEIGVRSIEPNLIPSGHIDSATVNPNVAEANTTFQKPASTDVTKLPTIPEGNFSTLETNNGFKQEAAPAKEILDVTSFRQRAEALEGLLELSAELLEHSRLEELAIVLKPFGKAKVSPRETAIWLAKSFKGMMNDEASRSS >Sspon.01G0051460-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1C:16057251:16058258:-1 gene:Sspon.01G0051460-1C transcript:Sspon.01G0051460-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYDQMLSPLFSAGRSAWRAAQHGGVGGGDAVTRQILKCTRWQLEETTDFVTCPYHYYCDSSYPGDYSAAVGVLVAAFAAYCFLSTLVFTVLDLVRGNGSSAPAAGVRGIKRKYLLPSGPFLLPLVLLALARGQRVNAVFPLAQLGPALLLLLQASALAFRNEADGDIRYAVLEASTVSGVLHASLYLDAVVLPYYTGLEALRWSRFSGECASCLCRMEPLVVGGTAVQYRGLSKTALAIIFALCSRMVCRIYGEERLSAWTRSALEAVGWVFVAADAVYLVGWVAAEGGGVGVTAYSLVAGLVFLSVFGKVYRFLAWVEARQSQWKSSLCHSTV >Sspon.08G0013530-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:56499322:56503132:-1 gene:Sspon.08G0013530-1A transcript:Sspon.08G0013530-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVCPPKTQICDVVRYRIRRMKKKYEDELDSRNTIQHYICPSCNKRYSAFDAVQLVSYTDEYFHCETCNGELVAESDKLASEEMGDGDDNVRKRRREKLKDMQQRIEEQLKPLVAQLDRVKNLPAPEFGSLQTWERANIGAFAIGDPAAAGSSKNSQGQYGTPTYIGETKVKIYLLTGGVKEGAESGKNGSELKVLPPWMIKDGMNLTKEQRGVKIEPQKLEKSETKDDKKHDWKDDQSVQEEYIKAYYESLRKRQEEEAAKRRMQQECKIFVPDSQTERQVDKKYKRDDDDEGIEWEEHQPTGTGKN >Sspon.01G0019340-1P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1A:72512484:72513873:1 gene:Sspon.01G0019340-1P transcript:Sspon.01G0019340-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VSRWSLGAIMYEML >Sspon.02G0035130-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:16669687:16671419:1 gene:Sspon.02G0035130-1B transcript:Sspon.02G0035130-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATEEWELPEEDAYDYEFDFDLENPFTSPADEPIASLLDAEGHHAPSFSAAASAARRDAVAFISKVRGRPHAPPHSLSLLSGFSVWDFRCSLTRSVQVRFGGELAVHPRVAYLALNYVDRFLSKRQLACEQQPWPRLLALSCLSLAAKMQRVATFSIDHIQRDEDFMFDAATVRRMERWVLGALEWRARSVTPLAFLGFFLSACYPPPRHPSQVAAVKARAVDLLFRAQPEVKMAEFSPSVVAASALLAAAGEVAAANLPAFQAGVAACPFVNSEKLRECGEVLAAACGVGPGRAAASADTPVTVLRHHRSASSASESDWTIGSATNGRGGNGDAKKRCMGPPSQRG >Sspon.08G0004220-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8A:12209167:12210376:-1 gene:Sspon.08G0004220-1A transcript:Sspon.08G0004220-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHLQLSSVAGAGARPAAAGGRGDEMEDVALLDSYDEEMGLPPPGEAVAAEGAAEEAHVRVTGMTCSACTSAVEAAVSARRGVRRVAVSLLQNRAHVVFDPALAKVRLPVLLSPRLGMACVDVRVRCDPCVLATVRVDARLVRLRGKLGARARDYFWRSY >Sspon.01G0004760-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:11789301:11791775:-1 gene:Sspon.01G0004760-2C transcript:Sspon.01G0004760-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPEVEVAAATAMETEAPAAAGQKREREEGDDPAADGGEAATEEAAAAAKKPKVEGEAKEAEEAEEGKAEETEEGKAAEADGKPVKLGPKEFASAVEMFDYFFALLHSWTPQLEFNKYEQMVLEDLLKKGHADPAKKIGAGVEAFEIRNHPVWQSRCFFVRRIDGSADDFSFRKCVDNILPLPEDMKIGNGKKSGGHHKSGGGGGRGGGRGGGGRGGWRGGRGRGRRGG >Sspon.06G0017350-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:94099678:94099971:-1 gene:Sspon.06G0017350-1A transcript:Sspon.06G0017350-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKKIFVPVAGSVMLFTHGNGMSEDRIEELGQLTELMVLSIFLSEWRDKLTGCLCKLSQLSHLIIFIP >Sspon.04G0031330-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:11328598:11330911:1 gene:Sspon.04G0031330-1C transcript:Sspon.04G0031330-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGSGSFLKVLVNNMDVLAGPVVSLAYPLYASVRAIETKSAVDDQQWLTYWVLYSFITLFELTFAPVLECLVCILELTMMVICGIFFRAINNSSLSGLTQNCFSIAVLPQFNGAAHVYEHFVRPMIVNQQVVNIWYIPKKDESSRPDDVISAAQRYIEQNGSKAFENLVNKLNTKLNKSWLVELNTKFN >Sspon.04G0012460-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:26302324:26304762:1 gene:Sspon.04G0012460-3D transcript:Sspon.04G0012460-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Co-chaperone protein p23-1 [Source:Projected from Arabidopsis thaliana (AT4G02450) UniProtKB/Swiss-Prot;Acc:Q8L7U4] CREVNSCLYVGFLTSGIVFCSRHPEVKWAQRIDKVYITVQLPDAKDAKVNLEPDGVFTFSGSAGTNVYELKLDLNDKVNVEGSKISVGVRSIFCIVEKAEAKWWKKLVRDDQRAPHFVKVDWDKWVDEDDDGTDVNLDGMDFSNLGGMGGMGGMGDMAGLGGLGGMGGMGGLGGMGGMGGLGGMGGMGGMGMDDFEDESDDEEEVSKPQAAGKAVEAEKTESAEAKTEAAQSS >Sspon.05G0012510-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5B:32653731:32654607:-1 gene:Sspon.05G0012510-2B transcript:Sspon.05G0012510-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DVSREFRSTLEREIGIDEVSQSTNYRPTTMNNNQQPAADPNIKPEPAPYTSEELMKVTEEQLAASAAAAWNPQQPAASQQQGLTFLVLQFLEPFSSIYST >Sspon.07G0009720-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:27901544:27911165:1 gene:Sspon.07G0009720-1A transcript:Sspon.07G0009720-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding PVAPPLTATVAHAAGGSFPELTCPADFAAVAAPGGRFSVVGFGSLLSERSARSTFPELEGFRVAALRGFRRVFAHAAPIFFERGIAIEATKEFSSLSVEPCDGELIVVTVFEIKEEEVPAFIEREHEFRFLAVVPEGLDGVPFTNRAVVCARYSDEEYFQGRCQGSKEIYYQRYGRYNIDKIWRDDILPCRLYLRHCVLAAKNLGEPAYSNFLDHTYLGDRKTTIREYLATTGAGIMEEEPPKSLKSRYGG >Sspon.01G0006410-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:16971657:16975765:1 gene:Sspon.01G0006410-1A transcript:Sspon.01G0006410-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADHGEAVGRCILVGLHMDAVGRDLLRWALHQESRRGDRIVAVHVYRKSDKTNTLKLIRTLDDYLAEYEALCNQKQVVLVGRVTPGSSIQKELVKEAKLCAAMVVVLGANKKYSFGGSSCLAKYCAKKLPPTTTVVAIQDGKAVFVREAPKPPLGAEPKPVLRTVLHPSVGLEPKVIIPNPNRSARSMDFDARSCGHGAAAAPATKSFDDATKGDGSVTLEQRLGWPLLRPAHAAAAAAAPVQTPSAKNQEPRKQSVVHWVMSLPRRSAPSESAESHAGAGLESELKAMLGGSGARCRWFRYEELYDSTNHFAAENLIGNGGNSRVYRGSLACGQLVAIKLSKASAQASKDFLREVDIITKLQHPGIVPLIGVCVEGRNLISVYSYLPRGSLEDNLHGERSKPALSWENRYRAALGIAEALSYLHSGSSRPVIHRDVKSSNILLAEEFEPQLSDFGLAIWAPTNPTSLTHSDVVGTFGYRLPPSLFLGGVVLYPVFCGSSPNHQLMYLAPEYFMYGKVTDRVDVYAFGVVLLELLSGRKPISSDGSSPKGQESLVMWATPVLSSGDISDLLDPRLDVKHDEAEVRRMASAASLCLRRLARLRPPISQILSILRGESTASIADQDATEPDCLDDEAYPAANVRSHLDLALLDVEDSESISSTEHSSGLSPLEEYLRERWSRSSSFD >Sspon.01G0005020-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:11774450:11778828:-1 gene:Sspon.01G0005020-3D transcript:Sspon.01G0005020-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLDARHRHTPDSSSSPDAAADMGLPLAGAAYQPYVSELLSFSIERLHKEPELLRVDAERVRRQMQEVAVENYGAFIAASEALSFVRAQLESFDGHLEAMIEEIPNLTSGCTEFVESAQQILEERKLNQTLLANHTTLLDLLEIPQLMDTCIRNGNYDEALDLEAFVSKISKLHPDLPVVQGLAAEVKKTVQSLISQLLQKLRSNIQLPECLRIVAHLRRIGVFSESELRLQFLRCREACLSGILEDLDQRNVYDYLKGMVTCHRVHLFDVVNQYRAIFNNDKSGNDENYDGGLLFRWAMQQVSNHLTTLQVMLPNITEGGSLSNILEQCMYCAMGLGLVGLDFCGLLPPIFENAVLNLFSKNMSTAVENFQVVLDSHRWVPMPSIGFVANGVVDETSDDVTPPSVLMEHPPLAVFVNGVSAAMNELRPCAPLSLKVVLAQDVVKGLHAVSDSLVRYKAMRMLRGNESALFLSLCQAFIEVAYPYCAACFGRCYPNGATLISECQGTFDAVRQLLTVPARSNSSSIERRQSGGIERRQSGGIERRQSGAIERKQSVESTGTAVTDNGLSADGPGLETNDAAATTTPPIEDDVSTHPPASK >Sspon.04G0004000-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:12331414:12336243:-1 gene:Sspon.04G0004000-1A transcript:Sspon.04G0004000-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGGGEEGNASAHKGSARRRGPVQAGLDADDLLTLMHGSDPVKVELNRLENEVRDKDRELGEAHAEIKALRLSERAREKAVEELTAELEKVDEKLKLTESLLESKNLELKKTNDEKKAAMAAQFAAEATLRRVHAAQKDDDMPPIEAILAPLEAELKISRKEIAKLQDDNRALDRLTKQKEAALLEAERTVQIAMAKAAMVDDMQNKNQELIKQIEICQEENKILDKLHRQKVAEVEKLSQTVRELEEDVLQGGATANVVRDYQRKVQEMNEEKKIRNRELARAKVTANRVAVVVANEWKDANDKVMPVKQWLEERRFLQGEMQQLRDKLAIAERTARSEAQLKEKCQLRLKVLEDSLRGPPSGSSRPPMEGKSISNGPPRRLSLGGVDSISKISPNGVLMRRSPSFNSRSPLSTSSCLVLKHAKGTSKSFDGGTRSLDRGKVLGSGPHSLNRSTDAVKDCETTDNWKANAEEKSNETTNNDSADMVSGVLYDVLQKEVVSLRKACHEKDQTLKDKEDAIEMLAKKVDTLHKAKEVEAKKKRQEIAALEKKFAAVCLEQERLGNLRGPGTSQTVSGRY >Sspon.07G0012300-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:53172877:53174689:1 gene:Sspon.07G0012300-4D transcript:Sspon.07G0012300-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GMPLSRYQIQVAAEGSKLREMKFRVVCRKLYDYVRYDLKEIAFPSSLPDPPHIKKRPKLTWHERWCILKEATRLYGASWVRDIGPDLRPNDYKKAAEDEEEQSSDAIPSSGSGKKGKASSEPSLLEDLAVAARGGAETLKPALRRIYMARASTYTDAMKNFVETYQEGLKDQLQEKADGGEGGGGGRQQQQEQGDEASKPPPP >Sspon.04G0003350-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:6640498:6642649:-1 gene:Sspon.04G0003350-2B transcript:Sspon.04G0003350-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSRMPAIWVLILGAVFTFGVAVSPAQASRSHRHYDFVIKKADYTRLCQRKSILTVNGQFPGPTIYARKGNVVTVNVHNHGDKNITIHWHGMDQPRNPWSDGPEFITQCPIQPGASFTYKIILSWEEGTLWWHAHSDFDRNTVHGAIVIRPGRGTTYPFKKPHKEIPIFLGEWWKSDLRLLADALRTGSEFNASDANTINGQPGDLFPCSRDGTFKLPVEDGKTYMLRLINAVFTYESFFSVAGHSLTVVGTDGSYVKPFSVDYIFIAPGQTVTAPAHGRPRVAWAAELPVLHGRAAAVAEQPVPRATAVLEYADDAAAPSGGAAPDPEFPALPAINDSAAADAYTSRLRSLASEEHPVNVPRHVQEHMLVTLAVNVMACSPDEHCQGPRGNRMGASLNNVSFEAPRHANILDAYYHKVRGVHTTDFPSNPPLLFNFTADDLPPELWFTKRGTKVKVLEYGTTVEVVLQNTAILGSDSHPMHLHGFSFYVVGTGSGNFDRHRDPAGYNLVDPPYQNTVAVPSFGWSAIRFRAENPGVWFMHCHIERHMAWGMDTVFIVKDGNVPEAKMLPPPPGMP >Sspon.02G0044000-3D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2D:102744773:102748819:-1 gene:Sspon.02G0044000-3D transcript:Sspon.02G0044000-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLNIGNPFLIKGSGLQNFVMSSRGGQSVTLIGDTLVVFGGEGHGRSLLNDLHILDLETMTWDEFETTGIPLLQGQSTLLLALQSVSSDIWRGSHSTCFSDLHLLDTQTMEWSRPKQQGVTPEPRAGHAGVTIGEYWFITGGGNSRKGVSDTLVLNMSTYEWSVLTDLEARAPPTSEAYALKASLKPSVLSQQIDEAESNGFAPVSVAENSSRKVIFEIEELKDVKELHLVRDQLSIEQTRASKLENEISEIQQRLQKMDTLEKEFESLRSELDSTVSEEEASIGNQTHRSRGFWRWNG >Sspon.02G0015390-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:21927267:21927927:-1 gene:Sspon.02G0015390-1P transcript:Sspon.02G0015390-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCQAAEAAAVVIQHPGGKVERLYGAATAAEVMRGNPGHYVALVVLRVSGVGGGKADPDPASTGAAAAGTGARITKVKLLKPKDTLLLGQVYRLITSQEVAKAIQARREDKTRRYSGGEVVAVDDRRGPGRHAAAAAAGSQGQGRRPTDQVERKRPEKANRQHRSGAGGGRGRHWQPSLQSISEAAS >Sspon.03G0007240-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3D:24947254:24948091:1 gene:Sspon.03G0007240-2D transcript:Sspon.03G0007240-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSDQNPAATPASGPAEPAPPGKPTAVSSQVLDMGAQLVQALKPVRQMKQHACSFALYAHDLHRQMEVHHFVSRLNQDVLQGSSAPSTTPTSPRPASSIILVMLAGVEYMVSDIIFEGLPPDEQRLWHSHAYEVKAGLWTDVGVQEALQSSEMASLAKTYGKFWCTWQVDRGDALPLGAPALMVSPQAAEPGRARGELVRDRDERYGVDSSAGGLKAARVEMDEPEWINPNADYWRLHRKGFAVDVVPAEMKRHAPF >Sspon.02G0058730-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:82275925:82277750:-1 gene:Sspon.02G0058730-1D transcript:Sspon.02G0058730-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEDWLPSPDYLGCLIGLASPSSVGLAGIPHPMGLDWQLVVHPNPWLSDWQPFLPNPWVSDWPGHPDPSWIPTAMLTRPFHQSNLFDHSYVVHLERTPLPHTPNLDLAKGLQQPPRVGDGAYSRSGNRAVTAHHTGDEHQPLPKLYWST >Sspon.03G0034190-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3B:69530987:69531189:1 gene:Sspon.03G0034190-1B transcript:Sspon.03G0034190-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRFARPLRHRFRSSDPLGTGLASPDPKKGSSSRPTLRAHGHPQTGAQAQQETRTASNHHRHKSSSP >Sspon.05G0019120-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:80239475:80241784:1 gene:Sspon.05G0019120-3C transcript:Sspon.05G0019120-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MERAIIAAVFLLFSLQAAAATVAGAGGVIWRRPPTLASRHYASSSLQVPRAGDDASDGGVSVPPTVQYETRRYTQRLDHFNSLPASYATFQQRYLINDTFWGGRTAPIFLYAGNEGDIDLFTNNTGFMWEAAPRFRAMLVFVEHRYYGESMPFGGTREAAFRDAATKGYLTVTQALADYASFVLSLKANLSAPAAPVLVFGGSYGGMLAAWMRLKYPHVVMGAVASSAPILSFYGIVDPYAFYDRINDDFKSESKNCYDVLRKSWDVLYNALATKEGQAQLRRTFNMCNGSSVQDIPSLLREAVVEAAMTDYPTASGFLTPLPAYPVRSMCRAIDNRQQAAAASSGNDGNSSTTAQLLLLSQQVRDAMNVYYNHTGAAACFGAEEVDDPYGIYDGWNWQACTEVMVMAYGVRDGSVLPLSPFNFTDVVDDCRKNTGLPPRPFWIETEFGGYDIANVLKKSASNILFFNGLRDPWSTGGVLKSISDSIIALVEPKGAHHVDLRFSSKEDPEWLKQVRVKETRIIARWLKQYYSDEGIAT >Sspon.04G0033690-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4C:64395830:64396245:1 gene:Sspon.04G0033690-1C transcript:Sspon.04G0033690-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding CR >Sspon.07G0025300-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7B:40561610:40562833:1 gene:Sspon.07G0025300-1B transcript:Sspon.07G0025300-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTYKAPNKAKPDHADADDSDELGVFAPERYFYGDDALWPWPCERSSSSLSSAFRTGTHEHDRSAPTPTAVTSSSEASWNSRSALLPNEPAEKLRAVAVAAATPIIEARPSDAEGQRAGRGSASSSNLRRWLLGVAACACAGGASEESVSADEVETSERFPETEIPEVTAARSSVTPASGRWLVGGDKTTLAGMDGHRRATNKGEVSTPVLHPQAAATSDSDERRRIKSLEMFRPVQDRGSALGSATQRSAFTIVAGNTALGGDAPRAASGGGGSPGEDDAAPSELACAYPPSEASVVWSVVTADRAASGNFSSAASGYYYHYCFNDVDEGAMRRAAARNTHNRRSGIPSGSSLLLCMSEKAVDAVGPARSVHRQEVQPAAVATLGASGGSRNGHGVYKPQDVIRRR >Sspon.01G0022740-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:53674683:53677551:-1 gene:Sspon.01G0022740-3C transcript:Sspon.01G0022740-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDEEEAVNANADRECREDEAEEGEWLQHPHAAGGGETSALTRAVAAACANMDSSALVDALCLSGRSSLRAFLPALLGARDPHALLVRAVGGFLDLASAVPGRAPSEWWANCVALIECAPRLAAPSPDALAQAKRLAGHWKEMVVAGPAGAGGRDMGGMAGWGLLTFIASYSIVPEFGADEIIRLFGDIAPQVKDNCVELCKRLGLIVKMTDSINHFIENGQPLDAIRLAHTFSLTDKYPPLTIMNDYIENAKKTAEDILSKESYTLESLNQAMAKKVDALIFSWSAIDGCDIDSVQRKSIKEEITQLLHKYANKQQSLAGVSASISSSHQQQNFQEEYQQRPQMRLVEQQKQQRNPHGLQPKPGEKQHQQQKPQETQHRHIQNQQEPQEREMWQNQKRRGQNKKNRKRKQRRQKLQQQNKRPRLPPYVRPGIDNGQPFSGTRRAPFAPYSTAIPRYFWSRPCDDHSQHHVPVFRH >Sspon.05G0017360-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:72366160:72369433:-1 gene:Sspon.05G0017360-1P transcript:Sspon.05G0017360-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLRKKNAKDPALAMADGIDRLGALPDEVLHHVLSFLRAQDAVRTCMLARRWRHLWRFATGLRIGCGEDEWAVVQELREFVDNLFLLRGLTPLVKCEFSFDEYRDEDIPRVMLWIKHAILCEVQMLCLKKIWFDGWPFISQHLTKLDLEDILLKDKLLNFSSSCPALQNLTLTNCSIECTKILSESLKYLSITECHFSRKFRTHVCAPSLISLRLEDHWYKTPVLEGMPSLVDAIVRVIHDSADCCTYSNYWDCGFEDCEDCYGDTRDNDNCVLLEGLSEAKSLALVAETNTVIFTRDLKRCPTFSKLKTLLLNEYWCLAPGFSALTCILKHTPVLEKLTLQLFLKGHKHEVEMKGRYISMQKSRQYQNTLRLLKSNVKQSARMLSKF >Sspon.01G0024180-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:87003767:87005003:-1 gene:Sspon.01G0024180-1A transcript:Sspon.01G0024180-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWRASTVLLVVCLLSASPFVAVIAQTEPQAPPADGGACGGPAVGDKCHSVANALRLKLIAIVSILLASVIGVCLPLFSRSVPALRPGGNAFVVVKAFASGVILGTGYVHVLPDSFNALGSPCLPRRPWAEFPFTGFVAMLAALVTLMVDSIMLSFHSRGAKGKGRAAVARHGHDNCPPQVHCHGHGHLEMSEARPEAADKAEEDVEAGKVQLRRNRVIAQVSVLHPPFIVVDLRVDLIGAQVLEMGIVVHSVVIGLGMGASQNVCTIRPLVAALCFHQLFEGMGLGGCILQAEYGARMKSGLVFFFATTTPFGIALGLALTKVYSDTSPTALIVVGLLNAASAGLLHYMALVDLLGADFMGPKLQSSVRLQMVSFLAVLLGAGGMSVMAMWA >Sspon.03G0001270-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3A:3179822:3183116:-1 gene:Sspon.03G0001270-1A transcript:Sspon.03G0001270-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPALIVNSVLISATICLLLEPSPHLSSDCMLHAREVTVGARWMTTAGAGLSLLLLQLARYPQQNLGCSLVQCCCAGWCWMANRGRRSGEGLDGLMKGLKLIEEEEVGVKGAWRMNAKELGKVPQAVERLDELEFNFILVWIRVLDLLIGLMNVEMGEAIVDRVGQTIEVESDADGSAVGRYLWIKELEGTTWRRKWRWTMNNKVRRTTLKKTFKKLEDRSLYGDEVNNLSKGNVKEKITKRTFRRVPRSIKGSVEAGLEQPGTKRGLEIGAEEDVEENKKARTFSGDENEELTTFKAGLVDQFRQEK >Sspon.05G0000700-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:2630172:2633385:-1 gene:Sspon.05G0000700-1A transcript:Sspon.05G0000700-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFFGDDGADDLPRTTSHPFDSDDFGAAAADGDGDGGYASFAEGGVEEVDEEITVESDGVPIRHVSGGYSPSPFSPELEPNGGDGPILPPPTEMREEGILLREWRRKNAIELEKKEQKEKELRAQIIAEAEEFKKAFFEKRIQNCASNMVNNREREKIFVASQEKFHANADKQYWKSISDLIPHEIATIEKRGKKDKDKKPSIIVIQGPKPGKPTDLSRLRHILVKLKHAPPPHMLQPPPAPAAKEGAKDGAKEGAKDVAKEAAAAPANGAKQPAESQENPANGPSEAEKEQPAASE >Sspon.06G0005000-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:55774720:55779042:-1 gene:Sspon.06G0005000-2P transcript:Sspon.06G0005000-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTCIACSKQQFAAAGGPPLHEPPEDDDVVDGGGGAATPSTRHAIKALTAQIKDMALKASGAYRHCKPCAGSSAAASRRHHPYHHRRGGSGFGGSDAGSASDRFHYAYRRAGSSAASTPRLRSGGAALSSGDATPSMSVRTDFPAGDEEEDEMASEAAGGCGGGKDDDAKEWLAQVEPGVLITFVSLPQGGNDLKRIRFSREMFNKWQAQRWWAENYDKVMELYNVQRFNQTVPLPITPKSEDESSKEDSPVTPPLDKERLPRTFHRQGGGAMGYSSSDSLEHHSNRYCTDHHHHHGHQCCDSMGLASTPKLSSISGAKTETSSMDASMRTSSSPEEVDRSGELSVSISNASDQEREWVEEDEPGAGLYLVGSESSAVFGS >Sspon.05G0025940-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:36050565:36058180:-1 gene:Sspon.05G0025940-3D transcript:Sspon.05G0025940-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGLLVLALVAAAACLMAVRGADGAGECGATPPDRMALKLAPCAPAAQNPSSAPSSSCCNAVHSIGKQSPKCLCAVMLSKTAKSAGIKPEVAITIPKRCNLVDRPIGYKCGVSLWSLCSWPRPASLRRGVPSADGAGECGATPPDTMALKLAPCASAAEDPSSAPSSSCCSAVHSIGKQSPKCLCAVMLSNTAKSAGIKAEVAITIPKRCNLVDRPVGYKCGGRSWPAPQSWSRRVARTAPAPAPVVASADRRGRTTWRKLAPCASAVDPEAAPSGSCCPAVRDVGRRHSPECLCALLLSDVVKHSGVDLEAVITIPKRCNIASRPVGYKCGGAYSFR >Sspon.06G0016430-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:88994295:88998506:1 gene:Sspon.06G0016430-1A transcript:Sspon.06G0016430-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQPSVILATASYDHTIRFWEAKSGRCYRTIQYPDSQVNRLEITPDKRFLAAAGNPHIGLFYVNSNSPQPVISYDSHTSNVMAVGFHCDGNWMYSGSEDGTVRIWDLRTGTCQREYESRAAVNTVVLHPNQKELISGDQNGNIRVWDLAANSCSCELVPEVDTAVRSLTVMWDGSMVVAANNRGTCYVWRLLKGTQTITCFEPLHKLQAHDGYILKCLLSPEFCDPNRYLATASSDHTVKIWNVDGFKLERTLVGILPQHHLTTLKRFGMSMASSWKE >Sspon.02G0012420-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:32757382:32759713:-1 gene:Sspon.02G0012420-1A transcript:Sspon.02G0012420-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMNERGEKGMEGNASSGAAGIPVEWQTQFSAAAFSCAPPPPQQQQQQVPMMDSAFASAGLWASTSQAMALSDVGVMSAARGGGGFLAPVPSFLPQGLGHFPVDSGFIERAARASCFGGGGVMGATAGFGAADHQPMNNAFSGSSEALLDHQRKNGNDKGEPELGRNGHDGVLSSEAAGGDCSSKGTSDSKKRRRPNEVMGGDQVQSANLPADSANESVHSKDKGEESSPATTTGPGKSKGKGAKETSESQKEDYIHVRARRGQATNSHSLAERLRREKISERMKLLQDLVPGCSKVTGKAVMLDEIINYVQSLQRQVEFLSMKLSTVNPRLDLNIEGLLSKDLLRFPGVPSSSLGFSPEMMHPQLQLSQPGLIQGGAAGMPNPDVFRRIMQAQLSAKDGSQMSHALNGGSFSDVAQMAYPSLGSQDLSIRPSQDGFQM >Sspon.08G0013410-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8D:54065122:54066132:-1 gene:Sspon.08G0013410-2D transcript:Sspon.08G0013410-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding KTAAPLPPLPLLDLGSGKAAGGRVFFRLQTTQKPFGCPSPPRASEQQPPVPAPAPASQRPAPRPPIPAPTPAPGARPATPRVPAPPPPRRRQPAALPPPPPPPPSAGARAAPSPCSTSLSASSAEPPPPSTSASIAHPSQVSSNGFQMDCCVRVYFGGIVRKEDGEFQDMEEELEWFDEPPSFNDLCVRLNAKFSGDFIIKGRFDSGKTRAKYVLMPLRDPAHWSRYTR >Sspon.01G0018660-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:70812632:70819345:1 gene:Sspon.01G0018660-3C transcript:Sspon.01G0018660-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPAAAAATATAAALRLRAASSSLSPPLAALLPYRRASLILPPLRRICSPAPPHASAAPDSQPSPRLPSPIMDAQFELFRAQLDESSTLRDRIRAVVAEIESASRVATAALLLVHQPVPLADVLVKAKAQVAVIKGLYAQLAEVLQECPGQYYRYHGDWRTETQMVVSMLAFMHWLETGGLLMHAEAQEKLGLSSGEFGLDVEDYLTGMKYDLRRVEEVYYDVKIRGLVPAELKLETQS >Sspon.01G0006640-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:20926307:20930438:1 gene:Sspon.01G0006640-2B transcript:Sspon.01G0006640-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAMRGGSLLRFGFRHVSSLLFQAPPCPAPSLGLNLAVGRTGLVRLRCSAAGAGDDGGKKVSARLALTQQVLRDAEERAASAGSDPAPKITLDHVTVNFARSGGPGGQNVNKVNTKVDMRFNVKQAHWLGERIKERILQAEKNRINKDGELVISSTKTRTQKGNIEDALQKIQAIIDAASYVPPPPTEDQKKKIEKIAAAAERKRLQNKKVISQKKEFRRNRTSWD >Sspon.01G0028380-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:94620557:94629288:1 gene:Sspon.01G0028380-2D transcript:Sspon.01G0028380-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPFFSTPFQPYVYQSQQGSVTAFQISGGDVQVLQVMLKSQEKLTAKPGTMCYMSGNMQMDNNYLPENDGGVWQWIFGKSVSSTIFFNSGSDDGYVGIAAPFPGRILPVDLANFGGELLCQADAFLCSVNDVSVSSTVDPRPRNVEIGAEMILKQKLRGQGMAFLVGGGSVMQKILAPREVITVDAACIVAMTTTINFQLKNPNQLRRAVFGGDNQLTASLTGPGVVFIQSLPFHRLSQRIASRSVAGPSLRDNPKFFIQIVMFFFLAYVMIVSSIILTDV >Sspon.05G0005730-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:19966496:19966891:1 gene:Sspon.05G0005730-1T transcript:Sspon.05G0005730-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding NGRQGLGRAVPGREPGGVRHGQRLRWRLPHADPVHRFDADPDVGLVRQVPPRRAQAWRVRQCSRPHQGQGGRATHGAMLPAAGGARRPRGRPVPLHRHQGQHPRHQPQPARRPQPHPQPLRQDRAHRIQMPL >Sspon.04G0029950-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4B:75364711:75365649:-1 gene:Sspon.04G0029950-1B transcript:Sspon.04G0029950-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MWAPLPHRPLSLRLGPLAAGSKPADRPTLLPFRYSPVEREIAATLPPLPPPPQGSDAWSATRKTFASDTPWPNIHLSLTAIAASTLREGVIAGRSQAAPPSSVIGVLHMRCTWEAGGDVSGPHAGVRCGRETFVSAGPTWPRETQARASNVMHGRTKTDHSRRRPDAGVHPDVRG >Sspon.07G0033070-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7C:57208498:57208659:-1 gene:Sspon.07G0033070-1C transcript:Sspon.07G0033070-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MWEMGPAVVAACELPQSARGVRARVRAAAMVVGSAHVPLSGHRTKRAGEREGE >Sspon.02G0026360-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:91673654:91674960:-1 gene:Sspon.02G0026360-1A transcript:Sspon.02G0026360-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)-binding domain containing protein [Source: Projected from Oryza sativa (Os10g0100300)] MDTIVSLSPPSLRTSHHHHHHTSSSASTTASRHLLPAAAASSSSSPRLLTKQRSSSSSIIIGNNHSSPTRQRSLLLSSRASAASSASEKEKDVVFVAGATGRVGSRAVRELIKLGFRVRAAVRNAQRATSLVQSVQQLKLEAQLELVECDLEKQAQEGIVSAIGNASLVVCSIGASEKEILDVTGPYRIDYMATNKLVQAASAAKVEHFILVTSLGTNKIGFPAFLLNLFWGVLYWKRRAEEALIASGTPYTIIRPGGMERPTDAYKETHNLVLAPEDTYVGGQVSNLQ >Sspon.05G0010250-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:24230114:24241282:-1 gene:Sspon.05G0010250-2B transcript:Sspon.05G0010250-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALLELEKVQRVLSLMSSRGLSHTDSGGGGGGGGGAAADRFLAGFLLFMVQPFDSLTVENKFLLVSELLAKATPDTLEEVRHLTHLEANQDISSGGLLQPIKKFKMHAEKSTIQAVPMVGFDAMTRAKSTLEDFCRSYFMFHGLDVNKPQAIFKYLPVLSFTESYIYQLDASNEDCLHQVPDDNTSKVLGKKEEAVNETSLSQMIEPLEDLFQCQGLMTDRLRTELKSGIQYWSLERKLCQALLRNEEISIEDVMKAIHLKSFDYRVLNLLMFQLTGQHVNELHMDFLSVSEFLVEISDDLYDYEAKCIGEAEEKYESFSKKLEPSLSGSYWRRCEEATKE >Sspon.06G0035140-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:67566139:67568556:-1 gene:Sspon.06G0035140-1D transcript:Sspon.06G0035140-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNLHSTIYMALHRGADDEVYMSFGVPIASLSLLIRMEINYSGKVNILSWDSNMSWTGLYTQPAHKCNEYGYCGPYGYCENTGTAPTCKCLDGFEPNDDEGWVSGRFSQGCRQKTVLRCGGHGFLTLPHMKVPDHFIFLRNKSFDECAAECWSNCSCVAYAHANMSTKGINGDDTRCLIWTGKMIDMERYSQGGETLYIRINKSSGDMTKTKTLETALAAVTNKQGSTEIRNRLMLGDMSTANEVSGESVELSLYCFKVIATATNNFSDSTILGQGGFGTVYKGTLGDKEIAVKRLCKGSGQGAVEFKNEVALIAKLQHRNLVKLLGFCIHADEKLLIYEYLPNKSLDAFLFNAARKSLLDWPTRFMIIKGIARGLLYLHQDSRLTVIHRDLKAGNVLLGAEMNPKISDFGLARTFSVNEQQSIKIVMCAHLPKSGYMAPEYALEGIISIKSDVYSFGVMLLEIVSGSKVSTTGPVTGSPNLIAYAWKLWKDGNIRNLVDPSIVDDSSLGESLRCIHIGLLLVQDNPNARPPMPWVVTSLDNEGIELPQPREPAYFARRHYETGEAGGGESCVIDMTLATLEGR >Sspon.05G0022090-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:2135338:2138136:-1 gene:Sspon.05G0022090-1B transcript:Sspon.05G0022090-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIEYNWFVAIGCNILAQLIPVGSEKDTSICSTMCMCVDNMNISACSGGLCSTPIEGLAPSYSIKVLPVQSSTPTSRLLDTTHAAAFIVDKAWYNIYGHDMSSIITSLYWPQSVPAVLEWWFDLSRAQDPYMFSGLDDPATVSPTTQTQTVREYGATVLKDTKAIHTSEMDAKTSMSVYSRMPGCLSMPWNLRQCARNIQMLTKQKHQEPSISCLVDVQMAQAKARRNDPRRLNAVIESK >Sspon.02G0011670-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2B:29571970:29575329:1 gene:Sspon.02G0011670-2B transcript:Sspon.02G0011670-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTKSIHEALHRSRKCSPLRSRSTRGPRMAAGRRAAGSARHHYIRWKPRATGCHAIGRREKRGSPAVRQRPWRRGPDSGANRVRGSCGCLELRFTQLFFYVLARSRKKKRREETEESRSVRAADRSGGLEPAAPAAAPRSRHHERNPASLPQVCHGRHVGARPRLPSIHSIKATEPRAILSLGSGRGREGAEPGAMAEPGEGSRRRREAEAPVVALECVAGSSKAEEWGGGAGVVQEGDVVEAVRVGRGSGGGGGGAAAALELEAPFKGGRAGLHKALHAAFKRGDTSVEVRVRGGREPQACIVPHHASPGAGGGGGRKQYVLRSLHDPNYLLGFVDRLESECLVLQGTRSTRVASALSKAQLQDGYVAYPWDKKMRDTLRATNSSCYFSMLVLPKALDNNACHYESFDDTLARANAWFCSSQASGIPIDLMTVQSEALLTKISGETASASVNSSSLSDLSNVTNATLYGFEDYHGVDIGVVKAARLWYSCIGGELLLEISLEEGDTRLGFAISRTEEGFIYISSVIEDDKESEAPSTRSGLCDLF >Sspon.08G0003370-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:6981167:6997797:1 gene:Sspon.08G0003370-4D transcript:Sspon.08G0003370-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Synaptotagmin-3 [Source:Projected from Arabidopsis thaliana (AT5G04220) UniProtKB/Swiss-Prot;Acc:Q7XA06] MGLVGGVLGFCLGLPIGLAAAYFVYLRYFAAARRLQDPVIKPLRDLDSETLQATIPDIPLWVKSPDYERAICNNIKRATRPMFDQYVGQYGIESIEFGQLTLGTLPPTFQGIKVYEMLEKELVIEPVIRWASTSNVTVNAKVHSFKVTVQLEDLHIMLTPRVTLKSLVPSFPCFANLCVSLMEKPRIDFGFKLLGGDVMAIPLLYQYVQAYIICSLWSYYHFFSQDQISKQISILYHWPKVIQIPILDGASGATKKPIGILHVKVIRALNLLKMDFLGKSDPYVKMRLSGERLPWKKTSVKMSNLNPEWNEHFRFIVKDPDTQVLELHMFDWEKVKMHDKLGMQVIPLRLLTPYESKLFTLDLVRSMNPNDPQNKKNRGKLIVELTFDPFREDNMASDGEGNASIRREADGESSGGVLLVSVENAEDVEGKRHTNPYAEVLFRGERKKTKESLGHVNINLVDVVNNGRINEKYHLINSRNGMIHVEIKWST >Sspon.02G0044610-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2B:102998421:102998876:-1 gene:Sspon.02G0044610-1B transcript:Sspon.02G0044610-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIRPAAAAGSATAAVAGAVLALLAAATLCSGSSSATGDEAELIVSTRSMRMITTRWSQLVVPAMYVFGDSLVDAGNNDFLPAPAPKAVPPNGVDLPRTILWRTGRFTNAYNLADVIGKVLFASRVRITLRFLLSPDRACAPIRTLRDQS >Sspon.04G0001270-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:5613595:5615344:1 gene:Sspon.04G0001270-2P transcript:Sspon.04G0001270-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLEQERIEAEKKRVKEDAQQLARVFETIGAFKIPRKGGKGKQIFGRQVLSWIILYVFSLVLLSVFFLTISFIVHSVTAQDIVDIIKSQLNRDVDKKLVTVPEIREIGEYVAEIKLHPDVTARVRLNVYAK >Sspon.04G0026340-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:42085152:42089020:1 gene:Sspon.04G0026340-1B transcript:Sspon.04G0026340-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RPT1A [Source:Projected from Arabidopsis thaliana (AT1G53750) UniProtKB/TrEMBL;Acc:A0A178W3N8] MAPEPEDDIMNEKNPRPLDEDDIALLKTYGLGPYSTSIKKVEKEIKEMAKKINDLCGIKESDTGLAPPSQWDLVSDKQMMQEEQPLQVARCTKIISPNTDDAKYVINVKQIAKFVVGLGDKVSPTDIEEGMRVGVDRNKYQIQIPLPPKIDPSVTMMTVEEKPDVTYNDVGGCKEQIEKMREVVELPMLHPEKFVKLGIDPPKGVLCYGPPGTGKTLLARAVANRTDACFIRVIGSELVQKYVGEGARMVRELFQMARSKKACIVFFDEVDAIGGARFDDGVGGDNEVQRTMLEIVNQLDGFDARGNIKVLMATNRPDTLDPALLRPGRLDRKVEFGLPDLEGRTQIFKIHTRTMNCERDIRFELLARLCPNSTGADIRSVCTEAGMYAIRARRKTVTEKDFLDAVNKVIKGYQKFSATPKYMVYN >Sspon.02G0009020-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:20429586:20432758:-1 gene:Sspon.02G0009020-4D transcript:Sspon.02G0009020-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLTGSALSFARPVKAISIKSASFSGLRKDNVAFRLQPVPQRFAVCCPAKKETVDEVCKIVKKQLALADGTEVCGSSKFQELGADSLDTVEIVMGLEEAFKITVEESSAQSIATVEDAANLIDELVAAEAAKAK >Sspon.05G0036360-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5C:85968511:85969622:-1 gene:Sspon.05G0036360-1C transcript:Sspon.05G0036360-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGLLCCCCLFLATLNAQQQQQLQPAAGGNRASPSCIPHERDALLEFKHGVSSDPAGLLDSWRRDTASRTAAGGEASGAATELATSTSFDLEAHLATSKQICTVVDWPNVMNMLPSSRVLHLSTCSLANANQSLPHLNLTNLEELDASWNSFNHPMVSSWFWNITSLKRLNLDSTGMFGQFPDALGDMTSLQVLDLSGNYYYDDDAKNMRFMTASMKNLCNLEVGTTSPDLSQHL >Sspon.05G0006490-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:14646646:14649340:-1 gene:Sspon.05G0006490-2B transcript:Sspon.05G0006490-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sphingolipid delta(4)-desaturase DES1-like [Source:Projected from Arabidopsis thaliana (AT4G04930) UniProtKB/Swiss-Prot;Acc:Q9ZPH4] MGAGMEAEEGVMATDFFWSYTDEPHASRRREILAKYPQIKELFGPDPWAFLKIALVVSLQLWTATFLRDASWLKLLTVAYFFGSFLNHNLFLAIHELSHNLAFTTPSLNRWLGIFANLPIGVPMSITFQKYHLEHHRFQGVDGIDMDIPSQAEAHAVRNAVSKSIWVVLQLFFYALRPVFLKPKPPGLWEFTNLAVQVGLDAGLVCLCGWRSLAYLILSTFVGGGMHPMAGHFISEHYVFSPEQETYSYYGPLNLMAWHVGYHNEHHDFPRIPGIRLHRVKEIAPEYYDSLKSYRSWSQVIYMYIMDQTVGPFSRIKRKAPKKDS >Sspon.03G0044460-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:94542578:94544440:-1 gene:Sspon.03G0044460-1C transcript:Sspon.03G0044460-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMELEDDGAMRGRGTGGTWTEEDRALGAAVLGADAFAYLTKGGGAISEGLVAASLPDDLQNKLQELVESESPGTGWNYAIFWQLSRTKSGDLVLGWGDGSCREPRDGEVGSAASAGSDDTKQRMRKRVLQRLHIAFGVADEEDYAPGIDQVTDTEMFFLASMYFAFPRRAGGPGQAFAAGIPLWVPNSERKVFPANYCYRGFLANAAGFRTIVLVPFESGVLELGSMQHIAESSDTIQTIRSVFAGTSGDKAAVQRHEGNGPAPPGRSPGLAKIFGKDLNLGRPSVGPAVGVSKVDERTWEQRSAAGGTSLLPSVQKGLQNFTWSQARGLNSHQQKFGNGVLIVSNEAAHRNNGAVDSPSATQFQLQKAPQLQKLQLQKLPVVQKTPQLVNQQPMQPQVPRQIDFSAGSSSKPGVLVTRAAAVLDGESAEVDGLCKEEGPPPVIEDRRPRKRGRKPANGREEPLNHVEAERQRREKLNQRFYALRAVVPNISKMDKASLLGDAITYITDLQKKLKEMESERERLLESGMVDPRERAPRPEVDIQVVQDEVLVRVMSPMDNHPVKKVFQAFEEAEVRVGESKVTGNNNGTVVHSFIIKCPGAEQQTREKVIAAMSRAMSS >Sspon.06G0015220-3P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:82715110:82716911:-1 gene:Sspon.06G0015220-3P transcript:Sspon.06G0015220-3P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGATVTVEEVRKAQRATGPATVLAIGTATPANCVHQADYPDYYFRITKSEHMTDLKEKFKRMCDKSQIRKRYMHLTEEYLAENPNMCAYMAPSLDARQDIVVVEVPKLGKAAAQKAIKEWGQPKSKITHLVFCTTSGVDMPGADYQLTKMLGLRPSVNRLMMYQQGCFAGGTVLRVAKDLAENNRGARVLVVCSEITAVTFRGPSESHLDSMVGQALFGDGAAAVIVGADPDERVERPLFQLVSAAQTILPDSEGAIDGHLREVGLTFHLLKDVPGLISKNIERALEEAFKPLGISDYNSIFWVAHPGGPAILDQVEAKVGLEKERMRATRHVLSEYGNMSSACVLFILDEMRRRSAEDGQATTGEGFDWGVLFGFGPGLTVETVVLHSVPITTGAAITA >Sspon.06G0015840-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:68717517:68721797:-1 gene:Sspon.06G0015840-4D transcript:Sspon.06G0015840-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTLGPDYCINAKVGCDKLQDNMMECNREEAIRARRIALKKLEKRDFSGAQRVALQAQRLYPELENLSQLLTVCKVYCAAEAKINRQLDWYGILQVQVTANDTVIRKQYDELAFWLHPNKNTLPGAEAAFKLVSEAHMILSDHVKRSRYDIKRQTAELSDITLGKRSGARYVQPYDCAIVFWTVCPHCQKRFVYYQRNFLAVCDACGKNFFAFKLSEQAMPLRFLSLAPDNSQVSLQMFSHQQHGVPDQLIQYTELRSTGGSMDTEPTVDSPCTEEIITWDGISGVHGEGSSETRSNVVQCSAVNQSHNSSPSADKGATGSMMLESPASDVVNWNFGREDASTVPNAAGSCNLQMLGKRKHDGCADRGHRKDSCINKRRSKDSSLSDANSTADKMYNDDVAVANTQAAKHVSDTMDSQGEGNATHEGSQQEYKEEATDIANQTHGNPGIAYECTVASAAGTLVTMRGKGRTIHLLTLIQLMINDNVAGGENQAAEPVPITLDSQDERNATQEGSQQKYKKDGTDIANETHCNSVITYECSDFFDFGTLRKFNRIAVDQIWAIYDDHDCMPRNYAQINHVDASNNNVQLTWLEHNTMNLQETRWTRKELPVACGNFCLGETCVLEDPSMYLSHKVSWVAGKNRTSFEIHPKKGEIWALYKESSLLQSRDTDNHQAVDFEVVEVSDDSMSVGIIVFPLVKIEGFVSLFAEPKDKTNILIPSSQLLRFSHSIPYYKTNGTEKVGVGGLMELDTAALPCDLATVFPSITLDSFIDLNKEKVTEFVSITYPDSEFYNFKEDRSCEKFERGQIWALYSSTDTFPNLYGWINKVEKEPFKVHLTWLDSFPEGVDKHWLEQDIPVSCGKFVIRNSTAEHCETCAFSHLAVSRCEIDAKRQVNILPKVGEVWAIYKNWAPDWIPSSKDRPAKYAIGEIKMCTETTTLFAFLTKVDGHLSVFKPDVQKGALEVRRKENLRFSHRIPSFRLTKENGGKLCGFYELDPAAIPDLL >Sspon.07G0019720-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:77019179:77021174:1 gene:Sspon.07G0019720-2B transcript:Sspon.07G0019720-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAPASTSRGFLPCLPAAAASSESLSELWTCGRRHLPFFPLPSPLAGAPGLLCGHGGSGGAFRAGDGGHGEGPGVRHAAAGAAPGLARGRPPRRPDPPRHVPHHRHRQGRRGRRRGGGVRGAERRHLRRHCRRRQAEGRCWWRGQEGRLSEERPAILGCEEEHQGFGGWARMAQVRTEGDTKLQAPKGLLPVHAQARPAVLGAAAGAAPRRRPGRLHRHLHRRAHLPGPGHRRRAARRARDRRRAPPRGVPPHQLRGQRQHRHDQYHHHRPAGRAQGRRRAAAGGALEAGGRQRRAGGGAEQPHPGGERCGDDARAGPGGRDVCPAAAAAALLRR >Sspon.06G0016670-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:90765540:90790371:-1 gene:Sspon.06G0016670-1A transcript:Sspon.06G0016670-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MELEDAFRKRARASGVDGGSSAGGPDRLSSLPDCLLHAIMSFLKARQAVQTCVLSTRWRHLWRSVPCLDIDFDEFNKAPPSDDGSCSEDDTSDSDSDRSRFDLFNNINNNNDKDWEQFTKYKDWEDFEDFAVTLMRRCNIAQLDSFRLHVVPSRAPEFANRLAGGWLRRAMKYFTPARASKLRLSSGSWLLKRLHLGNVLLDDHFVKRVSSVCCSLEDLELDNCSCQIQSITSHSLKTLVLKKCGWRNLFEIILPTLKTLVIDGGTNTDASLLFILAPALAYLHLAVDVYKFCGGVSLNEVPSVGKALIHLRGHIYNFAESKLDGDQFKLLCSISNSTNLELSGVGTTVLGKEPRFQEFKNLRNLLLDNCDLSDDFRTLVFFLQGSPILEKLTLRCCEFPEYSNKKNGTPILNKTSSSELCGLDLLCENLKVEIIYDYGPATVQTCVLSTRWRHLWRSVPCLDIDFDEFRNMSPASDVFDSNESSSSDDTSDYDNDNHKDWEDFEDFAVTLMRRCNIAQLDSFRLHIVRSRAPEFGNRVAAGWLRRAMKYCTPGRASQQGLSSGSWLLKRLHLCHVLLDNNFLKHVSSVCCSLEDLELDDCSCRIQSITSHSLKTLVLKKCRSRNLSEIIWRTLKTLVIDGGWNTGACQLVILALSVAYLHLAMYVNHFNGGVSLNEVPSVAKALIHLKGHRYRFFSRLCGDQFKLLCSISNSTNLELSGVGTGVLGKGPRFQEFKNLRNLLLDGCDLSDDFKTLVSFFGGSPILEKLTLRCCVFPEYSNKNKGTPILNKTSSSELHGLDLLCENLKAFYVASQFSPNGDAMELEGGDTMELDGAAAGRARASGGGGGGGSPAGDLDDRLSALPDSLLHTIMSFLKARQAVQTCVLATRWRHLWRSLPCLDVDQDEFRAVPKPSNNHHPAPDVDDSDIEFDDSDGNEDDGIKNNEWDNFEDFADCLMHHCNIAQLDSLRLHSSMARQTEARARPAAASTRPPRASVPAFGGWEVAGGAGAAAPDYSLDFTNIRAARMQQRRKALSWSSFVGNAAVAVETSPGGAGGGDDEEEKRRQWSSSASASDGDDDDRERRRRHQLRRLRSADDRQPIQPGRSAPKKHLRLPIPATMTTMAPCFLLEILLS >Sspon.01G0015150-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:48371913:48373350:-1 gene:Sspon.01G0015150-2B transcript:Sspon.01G0015150-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIDHESPFKELRLKNRRIMGGGGPDLEPEEEAATAAYAEQWPRWLQPLLSARFFAHCKTHSDSHRSGECNMFCLDCSSAAAGADTRALCSLCLAHGHRDHHTIQIRRSSYHDVIRVSDIQRFMDIAGVQTYVINSARVVFLNERPQQQKPGCGGGGKAASASANLCEVCARSLLDNFRFCSLGCKVIGCSPDATKTRNWLLRPADGDDSTSSSSALRNADKKQSFTPPTPQPTLPTKRRKGIPHRAPFGSLIVEY >Sspon.03G0026270-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:2322056:2325160:-1 gene:Sspon.03G0026270-1B transcript:Sspon.03G0026270-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RPMAVYASSEFSRVFSSLDRDGDGKLSAAELRLCMKAALGEDVSAEEADRLVASADADGDGLLSQEELLALALAGTAAEEEEEEGEGEGEGERWRKLRAAFGMYDMEGEGCITPLSLKRMLSRLGSHQDIDECRAMICRFDLNGDGVLSFEEMKAALGEDVSAEEADRLVASPDTDGDGLLSQEELLAMALALAGTAAAAEKEEEGEGERRRELREAFGMYAMSGEGCITPLSLKRMLGRLGSHQDIDECRAMICRFDLSGDGVLSFEG >Sspon.04G0026120-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:42727693:42732965:1 gene:Sspon.04G0026120-2D transcript:Sspon.04G0026120-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:7-dehydrocholesterol reductase [Source:Projected from Arabidopsis thaliana (AT1G50430) UniProtKB/Swiss-Prot;Acc:Q9LDU6] MPTLVAWKIIFGFGLFEAVLQLLLPGKRFEGPISPAGNVPIYKGHVAPSSSDSGSSGNVIIDFYWGMELYPRIGKYFDIKVFTNCRFGMMSWAVLAVTYCIKQLALSILLAGMLCIYINYDCDRQRQEFRRTNGKCSVWGKAPSKTTKGETKTSLLLTSGWWGLSRHFHYVPEILSAFFWTVPALFNHFLPYFYVIFLTILLFDRAKRDDDRCSSKYGKYWKMYCNKVPYRVIPGIY >Sspon.08G0014850-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:60088066:60095950:-1 gene:Sspon.08G0014850-1A transcript:Sspon.08G0014850-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPQSLQEVNKGVDQAEWRIPLWGSFGMEVSRVLGGGIVPGSLILVGGDPGVGKSSLMLQLASNILEGFKAKESSPVVYVSGEESIEQIGNRADRMSITSSNLYLYSGTDIEDILDKIQPLSPKALIIDSIQTVYVRSFAGSAGNLSQIGHVTKTGDIAGPRLLEHIVDVVLYMEGERCSSHRLLRSVKNRFGSTDELGVFEMAEFGLQAVLNPSQMFLTEHDSDSEILAGLAVAVILDGSRTFALEVQVLMKQAGLKLQDSTIFLNVVSGFKLTETAGDLAIAASICSSFLEFPIPNDVAFIGEVGLGGELRTVPRMDKRVMAIAKLGYNKCVVPKTSEKLLRQLDLDIEILPCSNLKQLINTGRFPIPIHKNGNTKSLDDCRSNRKSKGTDCA >Sspon.05G0008550-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:19859215:19861290:1 gene:Sspon.05G0008550-2B transcript:Sspon.05G0008550-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGSSSSSPSASFERLWGWFGPEAHERRWRPWFQQPVRCGSTAITLDTDGKFARFGVGDTGVVAKQKGRQQSPPKKKKMSRKAKVNQLKWYRLKAKKKMKSPNPEVRIRYKLEKAKRKEEWLIEKLRKYEVPRTPEPVHDPEILTEEEKFYLKRTGEKKKNYVPVGRRGVFGGVVLNMHLHWKNHETVKVVCKPCRPGQVYEYAEELTRLSKGTVIDIKPNNTIIFYRGKNYVQPQVMSPPDTLSKQKALEKYRYLQSLEHTSQFIEKLEKELEDYKKHVALFKNREGVAYEKISNEDSTRLAMGEHRIGVLNC >Sspon.01G0014900-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:56851365:56852789:1 gene:Sspon.01G0014900-2C transcript:Sspon.01G0014900-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDLSVWFVSSGGGGTPESSRLAAAMELHQAQKEAMAPQQAPPLFLDFSHGDCGGGRKRQREAESVSPQFFSLQQPPQAQAQAPKLINLSQLHKRPAMGLRLDFDEGSEHVSCTSSASASCLLSEELAAQRDQHKNEMDRLIQEHAERLRRALADTRRRHYRSLVGAAEAAATQRIREKEAEASEAARRGADLEDRVARLRAEAAAWQAKALADQSTAAALHAQLQQAAAAAQARGKAEEEEDNAGGAAAADDAGSCFVDPDRVVEIAPPRPPPARPCRTCRQRSASVVLLPCRHLCVCAECEPAVPAGAVAAACPMCRGAVTGTVQVFFS >Sspon.01G0030470-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:105748958:105751124:1 gene:Sspon.01G0030470-1A transcript:Sspon.01G0030470-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAFLVVLSWTAGVARADFAKDRAMCADKLMGLATCLTFVQDKATARAPTPDCCAGLKQVAAASKMCMCVLVKDRDEPALGFKINVTRAMDLPSLCSNPATFSDCPKILGMSPDAPEAEIFKEYAKKHESKNGTTIPAAATGAAATTAAGAGRQPCAVFFYPVSALLASVAVLLA >Sspon.05G0007180-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:22551355:22558968:-1 gene:Sspon.05G0007180-1A transcript:Sspon.05G0007180-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRSPDQRQGLGEDGVWPPSSDHGTLAPTPRSNPRSSDSRPLLAELDIAASRGSAANGRIQNLEAVPAQPRPHGLGRAIDGAAAKLEELTVQRDVTSWLRMKRSGGDGQGIASAAACAEALMADTVRILSRCMELYPTSPISHLKAEMCAAILRVVDRCAIAFWNGAARVSAWARAGKWAEEFGGVGTWAFLERSKPRVAASAVGSVAAAGLSRCGSRVTNQTVQIQLPHDVWVTSLRDPQPVNSPESSASHPPHRTPTCCETPSSPPRKHTPEEEEKARTMAPPAASISPPLVARPPLPSEGSPDSRPGVAAAAGARALSRNQEQNGSPIRAWALFCALAGVSKAVLDAFFLGKAFAEALTERVFSVVGQWQAEQQKQVQEFQNINLNYTLGAPLLYLGLPPI >Sspon.02G0042560-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2C:88251714:88252987:1 gene:Sspon.02G0042560-2C transcript:Sspon.02G0042560-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSLVMPRAASFSTILAEIPILAISSPSSAPVSTPTPTPSPGPGPAHDAGVDLNPWGPGEPWSDRLGGAGDPGRAPG >Sspon.06G0029790-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:35043957:35046958:-1 gene:Sspon.06G0029790-1C transcript:Sspon.06G0029790-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding IKCSRGLGRIESRPGKGQGRHPAARLGFGVRNELPQARLPCAVRVPGLRQSGLSARIQEIRSARLLQLQFEATAVRASSTSSQQAAAMGSGSNSKEGEERVLSHGDVVLIRSDLAILRGPCFINDCIIAFYFAHLSASLQDDDDLLLLPPSIPYLLSNLPDSAAVAAVADPLRLASRRLVLLPVNLPIAARLADALRPLLSVSGSDSKRDTVPLIEGPTPRQTNGYDCGVYVMAIARALCAWWNNGQDHREGGDWFQAVRRDVDAHSVKVMRADLLQLINTLIQDKAKANSTSKGDTDP >Sspon.01G0054990-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:68401250:68407986:-1 gene:Sspon.01G0054990-2D transcript:Sspon.01G0054990-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTATVTLAAARSPAVALLSLRRRAPAAAPIRFPGLRVGSGCRRIAMASAAHARAPADPLPKGADLFFRAVISNMEKVYLSRNPTAKTILELVRSYDGDHICYDHLAFRTFGVNGYGINSLSEFFTDFGYLPREELRFPAKKLRALWFSPPTNGGYSGTGTYGPLPRIFISELLVDELTTQSQEIIHKYLKTSVNGNNHAALASTSGELTWEKPIYSDFQILSRESEYAAWTLVNGYALNHATIATHRLESDIRNINKFNKFVEDNGFKLNSEGGILKVSPDGLLQQSSTVADSSLFTFADGITESIPRSYIEFAERLLLPQFKDLQDVEVKEYHRRDGFEVGNADKIFESTSKDQLTRTSA >Sspon.04G0001230-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:6668544:6669721:1 gene:Sspon.04G0001230-3D transcript:Sspon.04G0001230-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MELELGLAPPSARHPIPMTIDHLTAEDELSSTSSDHHHPCAARAGKRGFAEAFQEATTTTTLPLFDDGSSCGGRNSSSKRTLVGWPPVSSARSRACGGAGAGAKYVKVKKEGDAIGRKVDLSLHASYDELLATLARMFPTTTGNQDDKEISSTSARHVDVVVTYEDGEGDWMLVGDVPWDDFARSVKRLKILG >Sspon.08G0007800-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:23932475:23938741:-1 gene:Sspon.08G0007800-3D transcript:Sspon.08G0007800-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAVAAEVPIRGADAVPAAEREAVRAAAAEAAAKGGEGESRKEEVRDYESDMRKLEELFSKLNPSAEEFVPPSRRRVDGGARRLSADAPVFVSPAIDYYARHHQLPPPPLQQQQPMHVLQFVGGVGGGGMGGGGGRDSSSDGSVNGQPNRRRRNGFIQGRRRMMGGRPRRADREDSVRRTVYVSDIDQHVTEQKLAEVFSTCGQVVDCRICGDPNSVLRFAFIEFADDVGAQAALALGGTVLGFYPVKVLPSKTAILPVNPKFLPRTEDEKEMVSRTVYCTNIDKKIGEDEVKQFFEGTCGEVSRLRLLGDYVHSTCIAFVEFVQADSAILALNCSGIALGTLPVRQEHIFYMCLKVLTMVRVSVAHFLLTLLCTVDFCRVSPSKTPVRPRSPRVTSY >Sspon.02G0013600-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:38419726:38420800:-1 gene:Sspon.02G0013600-2C transcript:Sspon.02G0013600-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPALSFPIIDMGLLGGEERPAAMELLRDACENWGFFEILNHGISTELMDEVEKLTKDHYKRVREQRFLDFASKTLKDAQDVKAAENLDWESTFFVRHLPESNIAEIPDLDEDYRRAMRQFAGELEALAERLLDLLCENLGLDRGYLARAFRGPRTGAPTFGTKVSSYPPCPRPDLVSGLRAHTDAGGIILLFQDDRVGGLQLLKDGAWVDVPPLRHSIVVNLGDQLEVITNGRYKSVMHRVVAQPDGNRMSIASFYNPGSDAVIFPAPALVKAEEAAAGAYPRFVFEDYMKLYVRHKFEAKEPRFEAFKSVETDSSNCIAIA >Sspon.01G0002990-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:5184272:5189256:-1 gene:Sspon.01G0002990-3C transcript:Sspon.01G0002990-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ras-related protein RABF1 [Source:Projected from Arabidopsis thaliana (AT3G54840) UniProtKB/Swiss-Prot;Acc:Q9CB01] MGCSSSVPARSTGGLNTISNDSSPATDSKDLRAKLVLLGDSGVGKSCIVLRFVRGQFDPTSKVTVGASFLSQTLALEDSTIVKFEIWDTAGQERYAALAPLYYRGAAAAIVVYDITSPESFSKAQYWVKADLHDNRSVSSQDAQDYAEKNNMFFIETSAKTADNINQLFEGILI >Sspon.08G0007320-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:28349903:28354182:1 gene:Sspon.08G0007320-4D transcript:Sspon.08G0007320-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRLLLLLALSLVASASAVPAVIRMVPDDPTAASSGAVDDADALFCDSWRLSVETANAGPWRAVPARCGDFVRDYMEGPRYASDSAVAAADALAFASDALAAAAEWGGSASARPAWVFDVDETLLSNAPYYAVNGWGSQEFNETSFDEWVDVAKAPALPSSLNLYNQLQGLGFHVILLTGRSEFQRNTTESNLLSAGYSSWEKLILRQSSDIGKTAVQYKSERRAAMEAEGFKILGNSGDQWSDLIGSPMATRSFKLPNPIGIV >Sspon.03G0013280-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:37268383:37272677:1 gene:Sspon.03G0013280-1A transcript:Sspon.03G0013280-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RHHHHTRPPRRNPVPVSCHTTPRHGAVTTPPRPPRARHPPRALLRGVRGPAHVRGGADVRAGHGRLRLHAGGQLRALHGRAQHQRQRARLRHLRVGSGPNTVFGLGQCFRDLSLVDCKLCFSEVRSLLPKCYPRVGGRLYLDGCFGRYGNYSFFGEALDAASDAHVCAEGNYTGADPRAFGDAVRAALANVTAAAEAPGSQGFGAGSAAVGAATAFAIAQCWEALNGTACAQCLRAASDAVAPCAPATEGRALFAGCYLRYSTRQFWNVNTTAGSGSSGHSGILWILLGSFLGALAIVLVIAFLAWKKRSLSRNKGCNSFIDMYGDGLSVRIAQSSLNFKYEELRKATNYFDPSNKLGQGSYGAVYKAVLMDGKEVAVKRLFLNTRQWADQFFNEVDLISQVRHKNLVKLLGCSMNGPESFLVYEYYFNKSLDLFLFDTSRRRNLTWDLRVNIIQGIAEGLSYLHEESEIRIIHRDIKASNILLDDKLKPKITDFGLARAFGEDVTHLTTGVAGTLGYMAPEYIVHGHLTEKADVFSYGVLVLEIVTGKRCSNSNGSHGGQFLLTKVWKHYKDNRVEMIVDRSIYEDSIRDEVMHILQIGLLCTQANPDDRPTMGKVVELLRNRRNALEIVLSDPPFLNVDAVEDIKEGEHSRLLSSNSAPSLSGSSRSYLSGR >Sspon.06G0017600-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6A:95426101:95426211:1 gene:Sspon.06G0017600-1A transcript:Sspon.06G0017600-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GVSFTKWTIEYDEPDGEAFSKHHLEAVLVDNSNVIL >Sspon.06G0014610-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:63403784:63409473:-1 gene:Sspon.06G0014610-1T transcript:Sspon.06G0014610-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSAHSSHSSVSTAGGDDDEDDEEPSAAAPAPVRVPPAASASKVLEQEPEVLPCLAADSPLSPQPSAAGTPRLLAGPGIKVWDPCHVLLPPPPPSPSPHPGREADAAAVEVVLVSHGECAAAMRPDLVGGRWPAAALTARGERQARALAVFLRSRGARLAAAYASPLDRARATAALVCRELDFPEGQIQLSDALTEMSQGQWEGCPKSEIYTPEMVNLMESTQPDFSAPSGESLRQVQFRMMEFLNRTVLRLPEKVAMGDTLSQQNELKGFSRQSSSNSVQDGPPWDLLYRLNRHSLQRKKSGKSRLQFVTSGDNEAEDDFSPKEVNQRHLLHEGSLGSSATSIAIFSHVTPIRCLIAGLLDCNPIISKRICIDDSSVTVLEHSLKTGWQIKRLNDTAHLRLL >Sspon.01G0033310-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:113588058:113589556:1 gene:Sspon.01G0033310-1P transcript:Sspon.01G0033310-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMERGSRADGRNPNQLRPFSCTGNPLHRAHGSARWAQGDTVVLAAVYGPKPGTRKGENPEKASIEVVWKPKTGQIGRQEREYEMTLKRTLQGICLLTVHPNTTTSVVLQVVGDDGSLLPCAINASCAALAFAGIPMKHLAVAIGCGVMDNGAIILDTNTAEEQVEIA >Sspon.05G0022670-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:8233875:8238876:-1 gene:Sspon.05G0022670-2D transcript:Sspon.05G0022670-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:15-cis-phytoene desaturase, chloroplastic/chromoplastic [Source:Projected from Arabidopsis thaliana (AT4G14210) UniProtKB/Swiss-Prot;Acc:Q07356] MGNFLALLGCEGIGEFVIGLGLTWSRLRLLGAVVVCKDFPRPPLESTINYLEAGQLSSFFRNSERPSKPLQVVIAGAGLAGLSTAKYLADAGHKPILLEARDVLGGKVAAWKDEDGDYYETGLHIFSYPNIQNLFGELGIEDRLQWKEHSMIFAMPNKPGEFSRFDFPETLPAPVNGIWAILRNNEMLTWPEKVKFAIGLLPAMVGGQPYVEAQDGLTVSEWMKKQGVPDRVNDEVFIAMSKALNFINPDELSMQCILIALNRFLQEKHGSKMAFLDGNPPERLCMPIVDHIRSRGGEVRLNSRIKKIELNPDGTVKHFALSDGTQITGDAYVCATQVDIFKLLVPQEWSEITYFKKLEKLVGVPVINVHIWSSLLSVYADMSVTCKEYYDPNRSMLELVFAPADEWIGRSDTEIIDATMEELAKLFPDEIAADQSKAKILKYHVVKTPRSVYKTVPNCEPCRPLQRSPIEGFYLAGDYTKQKYLASMEGAVLSGKLCAQSIVQDYSRLALRSQKSLQSEGVPVPS >Sspon.03G0020810-3D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3D:51077332:51078334:-1 gene:Sspon.03G0020810-3D transcript:Sspon.03G0020810-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSMIRSNGNVDLRPSDVGDCFQNSDRHGRSQQCYAKHEAAGGHQGARVLYAEASKDVVDFLFSSSVGTVVKILGNDAMVGSIGNLYGSVEKLDETYVRSADAKGALLAPAGGYDGGKLLQLPEAAQSTEFYRCASGGNYSECITYMSKVSGTCCLSNNCSRTMTTKMIVVDSSRAAAQSSGHAAGKGFVQGVVTYTVMDDLKVAPMSTISGITLLSTFGITDIGMLQEKTVKLGYEEGLKILKASLQFKTVFTDVFLARKK >Sspon.06G0010720-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:42193063:42202455:1 gene:Sspon.06G0010720-3D transcript:Sspon.06G0010720-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLSRELVFLILQFLDEEKFKETVHKLEQESGFYFNMKHFEDLVQGGEWDEVERYLSGFTKVEDNRYSMKIFFEIRKQKYLEALDRHDRAKAVEILVKDLKVFASFNEELFKEITQLLTLENFRQNEQLSKYGDTKSARNIMLLELKKLIEANPLFRDKLNFPPFKASRLRTLINQSLNWQHQLCKNPRPNPDIKTLFTDHSCAAPTNGARAPPPANGPLVGSIPKSAGFPPMGAHAPFQPVVSPSPNAIAGWMTNANPSLPHAAVAQGPPGLVQAPNTAAFLKHPRTPTSAPGIDYQSADSEHLMKRMRVGQPDEVSFSGASHPANMYTQEDLPKQVCRTLNQGSNVMSLDFHPVQQTILLVGTNVGDIAVWEVGSRERIAHRTFKVWDIGSCTLPLQASLMKDAAISVNRCLWSPDGTILGVAFSKHIVQTYTFVPNGDLRQQAEIDAHIGGVNDIAFSHPNKTLSIITCGDDKLIKVWDAQTGQKQYTFEGHEAPVYSVCPHYKESIQFIFSTAIDGKIKAWLYDCLGSRVDYDAPGHWCTTMAYSADGTRLFSCGTSKEGDSHLVEWNETEGAIKRTYNGFRKRSLGVVQFDTTRNRFLAAGDEFLVKFWDMDNNNILTTTDCDGGLPASPRLRFNREGSLLAVTTSDNGIKILANTDGQRLLRMLESRAFDGSRGPPQQINTKPPIVALGPVSNVSSPIAVNAERPDRILPAVSTSGLAPMDPSRTPDVKPRITDESEKVKTWKLADIVDNGHLRALHLTDTDTNPSKVVRLLYTNNGIALLALGSNAVHKLWKWQRGDRNPSGKSTASVAPHLWQPANGILMTNDTNDGNPEEATACIALSKNDSYVMSASGGKVSLFNMMTFKVMTTFMAPPPAATFLAFHPQDNNIIAIGMEDSTIQIYNVRIDDVKSKLKGHQKKITGLAFSQSMNVLVSSGADAQLCVWSIDGWEKKKSRYIQPPANRPGTLVGDTRVQFHNDQTHLLVVHESQLAIYDGNLECLRSWSPRDALPAPISSAIYSCDGLLVYAAFCDGAIGVFEAESLRLRCRIAPSAYIPPSILACAGRVYPLVVAAHPMEPNQIALGMSDGKVHVVEPLDGDPKWGTAPPQDNGVHPAISAAPSAAPNQASDQPTR >Sspon.03G0030460-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:29950881:29953072:-1 gene:Sspon.03G0030460-2C transcript:Sspon.03G0030460-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRTCSIVRLHITPPGIVSSGICERSKNRRVDRDPSSLGSDLMFVLYKSRYCNSTKELIPEGAIRPLIQLKNVVPHERNPLSIIPFLRAWCLLQPNSSTDKPFGLLGAKIRCYPESHGTDHGMHLDMILIFTFLQQRGNLEITKKVMPLVVYFIHLSIQVIYETFTNAVAYDPILGNRELLWEHALAGHRPANSDEREGQPATLHGQHLRLVPDLRTSTHQVVANLFTEELPRRLPGKTIHLELAADVHAVAHILDPRRQDDLALGVVLGHRDANHPEIEWLPNVVQQQHKVHLAEHPVEHTGALLGRPVLPRHAEAVADDPLDVVEIEVLADLDTLTPRRGKNSKPVRIQRSEHRWGRKEAEQGLLPGADAHRICRTEKSNKIQSAGSIKLGILGKTRKRRRNQLEFLGSVCRKWTNR >Sspon.01G0017580-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:64791744:64793063:-1 gene:Sspon.01G0017580-1A transcript:Sspon.01G0017580-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLYDKREDMALLDGDSCLAPRASRGGCEVDLQWADVLLEGAGRKRRTPEDFEDEVQEMDEVDGGGKRSKPPSPQPHTPDIREAHAPGRRCRTVVAGGGEHSGGGGDLIGEIGRDLSINCLLRLSRSEYGSVASLNHDFRSLVRGGEIYRLRRQNNIAEHWVYFSCNVLEWDAYDPYRKRWISVPKMPPDECFMCSDKESLAVGTELLVFGMAHIVFRYSVLTNSWTRGEVMHSPRCLFGSASVGEKAYVAGGTDSLGRILSSAELYNSETHTWTPLPSMNKARKNCSGVFMDGKFYVIGGVTNNNMILTCGEVYDMQSKTWSVIENMSGGLNGVSGAPPLVAVVKNELYAADYSEKDVKKYDKQNNSWITLGKLPERSVSMNGWGLAFRACGERLIVIGGPRTPVGGMIELTSWIPDDKPPVWNLMDRRPSGNFVYNCA >Sspon.04G0015040-3D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4D:62417439:62417954:-1 gene:Sspon.04G0015040-3D transcript:Sspon.04G0015040-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFTFGGFGDPVFSAALQQLMDLPDELERQMNAPTRAYVRDRRAMANTPMDVKELPSGAIVLAVDMPGVSPADVKVQVEEGNVLTISGERKRPAEDGGAAEGKQQAAAGADGEKQGVKYLRMERRMGKFMRRFPLPESADLDSIRAAYKDGVLTVTVDKKPPPEPKKPRVVQ >Sspon.07G0024630-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:25273407:25276924:1 gene:Sspon.07G0024630-2D transcript:Sspon.07G0024630-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CAAX prenyl protease 2 [Source:Projected from Arabidopsis thaliana (AT2G36305) UniProtKB/Swiss-Prot;Acc:Q8GW19] MAPLAGLHPASPPAISGAVAVAACAVMAVSYVAVLYAPTVILRFPPPTSLRTFLHRRFACAAVASAASALATASLLRVWSLSDFADMLAVFGVRKDHLIQAVAIPLFLTSLVYAGSFVTRLWLLASSWGSGDAVEIGCAQRLAQWIQAAVADVMVWRNYVVAPFTEELVFRACMIPLLLCGGFKMSTIIFLSPVFFSLAHLNHLFELHQQGCNFVRSLLIVGVQLGYTIIFGWYAAFLFIRTGNLLCPIIAHVFCNMMGLPVFSSPRTKGAASVAFVAGSISFFWLLFPATSPVLYNSSFDRCNCWHGFCNWK >Sspon.01G0008340-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1A:23226202:23226672:-1 gene:Sspon.01G0008340-1A transcript:Sspon.01G0008340-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATANATATTETASASSAQANATSGTTAKPSSCTTSATQASTTTCSTTNAKPATTTSTKTSAKTTTTSKATTTTKTATTTSTKTSTKTTATSKATTTTETATTTGTATKAAASAKTTSNSTSQSTTCTATKASASTKTTSSSTTCTTTKSTSSTTT >Sspon.04G0007730-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4A:21910418:21911888:1 gene:Sspon.04G0007730-1A transcript:Sspon.04G0007730-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLESPVGVGFSYTNTSSDLLQLGDKITADDAYKFLLNWFKRFPQYKSHDFYIAGESYAGHYVPQLSEKIFDGNRAGPKESYINFKGLMVGNALMDDETDQTGMIDYAWDHAVISDRVYRDVKAKCDFGMVNVTDACDAALQEYFAVYRLIDMYSLYTPVCTDPGSSASASSHRKVAVHGAAPRIFSKYRGWIMKPAGYDPCTAEYAEVYFNRPDVQAALHANVTKIGYNWTHCSDVIGTWNDAAFSTLPIIRKLVAGGLRVWVFSGDTDGRIPVTATRLTLNKLGLKTVQEWTPWYDHLQVGGWTIVYEGLTFVTIRGAGHEVPLHAPRQALTLFSNFLAGTKMPPT >Sspon.01G0029590-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:103732534:103736765:1 gene:Sspon.01G0029590-1P transcript:Sspon.01G0029590-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MANPPPYFSAGPYSAPAPAAKDQGAAKEDQDVAQLVLDLCVPELREKALLFLSKKREKCEDLALLLWHSYGTMAALLQEIVSIYRSLSPPKLSSDQSTRVCNALALLQCVASHPDTRMPFVNALVPLYLYPFLNTTYKTREYEFLRLTSLGVIGALVKFDDHEVVAFLLTSEIIPLCLRAVDMGSELSKTVATFIIQKIMLDDAGIAYVCASSERFCAVASVLAQMVEELVEQPSPRLLKHIIRCYLRLTDDRRACNALRNSLPTALRDGTFNDLIEVDLTARLWLHQLLHNIMMMSNGGGGPHPVLGRAMGM >Sspon.05G0016090-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:60522102:60527537:-1 gene:Sspon.05G0016090-3C transcript:Sspon.05G0016090-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLSSLFLGGGAFFFFLATTVSVYTHTYKTGGNANDSGAATTHLHFYMHDEYTGPRPTAMRVVSGRSLLPPASSSDDDDGDTTAAETSPSSAQRRQFGDIVVLNNALTEGPSIDSARVGTAQGFGVRVSEGGVVSHVTMHLVLEAGEHRGSSFTVSCRIDVEEKVRESVVVGGTGRFRFARGYVLTRNYDYDLGRGGVVDLDTAGGSSATTHLHFFMHDDYTGPHPTAMRVVSGRSLLPTASSDDVGANNDSSAAAGSTLSLLLTSPRQFGDVVVLNNALTEGPRGDTARVGTAQGFAVRMSEGGILSHLTMHMVLEAGEHRGSSVTANGRIDMDAKVRESVIIGGTGKFRFARGYMLTRNYDYDLARGGVVEIDVYVQH >Sspon.01G0001940-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:4670393:4672158:-1 gene:Sspon.01G0001940-2B transcript:Sspon.01G0001940-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAC domain containing protein 36 [Source:Projected from Arabidopsis thaliana (AT2G17040) UniProtKB/TrEMBL;Acc:F4IME8] MAIMAGAPSMEVEQDLPGFRFHPTEEELLGFYLSRVVHGKQLHFDIIGTLNIYRHDPWDLPAMAKIGEREWYFFVPRDRKAGSGGRPNRTTERGFWKATGSDRAVRSSADAKRVIGLKKTLVFYQGRAPRGTKTDWVMNEYRLPDRAAAGRAAPPPPKEDMVLCKIYRKATPLKELEQRASAMEEMQRRSSAARAASLVQAAGSAADDYLSVSSDDAHDSSFLQLPSSSSSAPSGDSYGAPAPREAKTEAADAMAITVASTSSMAAVQPPAVRHADLPTLQVPTNNLGVADWTQMQDPFQLRSPWQDQLFFSPLAHLLY >Sspon.08G0014730-1P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8D:56900830:56903285:1 gene:Sspon.08G0014730-1P transcript:Sspon.08G0014730-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMKVDMSALETNFGNSTFPAGDDTYFSAGAPDVPSMVLPTCDDFDGFQADTKNMVKNKKGTTTLAFIFDKGVIVAADSRASMGGYISSQTVRKIIEINPYMLGTMAGGAADCQFWHRNLGNKCRLHELSNKRRISIAGASKLLANILYSYRGMGLSIGTMIAGWDEKGPGLYYVDSEGARLVGNKFSVGSGSLYAYGVLDDGYRFNMSVKEAAELARRAIYGATFRDAASGGCVSVYHVGPDGWTKLSGDDVGELHYHYYPVQKTPVEQEMTDAPTTSA >Sspon.01G0011390-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:31422870:31427437:1 gene:Sspon.01G0011390-3C transcript:Sspon.01G0011390-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:COX6B [Source:Projected from Arabidopsis thaliana (AT1G22450) UniProtKB/TrEMBL;Acc:A0A178WPQ4] MAAEAKTPSLAEEYSLPPQEVAVEKAAEEKPSSGTETEAAPSTNDEAPPSVEDKNETSEVQDTAEKSEAEETNTAAEETPAAEEASETAEEEEAEKPEIKIETAPADFRFPTTNQTRHCFTRYVEYHRCVAAKGEDAPECDKFAKYYRSLCPGEWVDRWNEQRENGTFPGPL >Sspon.02G0042570-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2C:88182820:88183116:1 gene:Sspon.02G0042570-2C transcript:Sspon.02G0042570-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKVAILLLLVLLAMVSPHQATKLGCRDFEKARILHDCRDFIRPGGRYLIPLRTGNCCWAVRAVPGLDMPCILTLLTPVEKKEHSESKILGLRNVCR >Sspon.03G0021020-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:64766075:64768713:-1 gene:Sspon.03G0021020-1A transcript:Sspon.03G0021020-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRYDDRYDADHRYDDRYSGNTRLYVGRVSSRTRTRDLEALFGRYGSDPRDADDARYHLDGREFNGSRLIVEFAKGVPRGPGGSREYMGRGPPPGSGRCFNCAGDWKNRCYRCGDRGHIERDCQNSPKNLRRGRSYSRSPSPRRERSLDRSYSRSRSRSYSRSRYDLQGGTAMTKGALVTAAAQGGALTIAAALEGSLHHTREGTAAPALMSPAPRERNGSDYSMSPRRADSRSPADREHRDISPAANGRSPSP >Sspon.07G0032890-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7C:56149447:56149692:-1 gene:Sspon.07G0032890-1C transcript:Sspon.07G0032890-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ATGSPGLHRAGPHSALDGEGREGEGIGRGGERWGTAVKGRERRSARREGEERREGSGTVHPFS >Sspon.04G0018840-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:67388325:67396674:1 gene:Sspon.04G0018840-1A transcript:Sspon.04G0018840-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKKRTQTDLAVPEAVPASDNTRNEAATAEAPAKKKKLAMERKKERKELDKERHRQSAESAKPQPPAAEAAAPMNPPPAPAAVGPGLHMNVFRDLASPEASVREAAAEALLVELRQVQKAYEKSARKGESEPGDGDSASQMEAEKDDGLDNCAPSVRYAIRRLIRGISSSREYARQGFALGLAAVLESIRAIKVESIMKLIPNLLEYSSSMKGPEAKDNLLGRLFGFGAIVRSGRVSRQWTRDKSSPIVKEFVSVVVELGGKKRYLTEPAVAVILDLVRKLPDEAILSEVLEAPGVQDWFNKAADVGDPDALFLALKLQERTIVQKEIFGKLLPHPFSSDNFFAEQHLKSIAACFKESAFCLPRIHSLWLAITEMLVREAASQHDINTSSGKKHKKNKKASSCEDSKRNLRNFCEVVIEGSLLLSSHDRKHLAFSILLSLLPKLSPSAIEVVLSSKVVHGLMDILSNESSWLYNAGKHFLKELVSVASHDNDRCAAVIINLQKYSGGRFDSMTKTKTVKELAGKFHSVEDCLYLVQNLMALFVDEESVTDEPSDQSQTTDENSEIGSTEEQELLGQGNTDLLKSWVVNTISCVLKNLKLTSKGNSDSEMAKCIEEKFQVQTEILKFLAVQGLFSASLGTEVTSFELQEKFKWPKNPISTSLRKECIEQLQFLLEDAQKDEALHVPSEVKSNDLGYYFMRFINTVCNIPSVSLFRTLSGNDDNAFKKLMAVESMLFHEERKTGPGLESAKMHAMRYLLIQLLLQVLLHPDEYWEAAVDVTICCKKSFPVIAQSDNSCAQESAEHGSQESDEDGSEESDEDGSEDPNEEVSLEFMDVLVQTFLSVLPHASGPVFRVFCDDITETGLLDMLRVVKIDLKGHRQTDSDDDDDGRVDIEDDDETVMEDEEVGEIDDVTDGEDNSSDEGDVDQDDFNKAVLNETKGGDKAEATKDGDDSDDSDGMDDDAMFRIDPYIARIFKERNLPGSETKQSQLMRFKLHVLTLLDIYLQRNPGKVLVLEVYSFLMQAFVKSHGADGTEQFRQRIAGILQRRVFKGNEYPEGDVVEFGKLESLLEKALRLASRSRYNTVASVAQNATFWILKIINSMNCSKQKLASVVDKFRSILNDYDRKKSRLKLGFVKEVAKRNPWIGQELFGFVLQRTENTKAQYRRNQMLELVDYILKSWAGDASEVFLNHLAQLCGLIQEALSAVPENKSRRKEVRNFCTGILQTVLKLDLKEQFQNALSPEAYSLCEAKLGAAFTTFKK >Sspon.02G0004740-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:14438554:14439833:-1 gene:Sspon.02G0004740-2B transcript:Sspon.02G0004740-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLFAVVCTLLILLSAGNVDCRRLGDSDSDRQYKLFVFGDDYADTGNYPLADLSKTTRAWYYPYGSNDKDHGMSASGRFSNGLVLPDFIARILGQEESPPAERKREQDGVDPSGMNFAVGGAGVVEGTHEASKLGTQVDKFRRLVRHGIIDKDLTDSVALIAFSGKRDYARVNQMTSSEINAMAQVVTDKIADAVEQMMDLGVEKVLVSTLPPIGCTPWLSRSSDYSSSCDSQKVASIHNAYLEEKVFKDAAVFNLDLKTMFKRLTSGSGSLSKKFKHKLEPCCEIFDQSEYCGQMEDGVAAYSLCSTPDKYFYWDDINPTHAGWKAVVKELEESIKNFL >Sspon.02G0020710-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2B:67494895:67495272:-1 gene:Sspon.02G0020710-2B transcript:Sspon.02G0020710-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMSRAVLLLVVLTGAAAVAAASPTTASAPDDANTKPSILTPIAHTPVGSFEGADGPVADNAIDDDDAAPVGSPIGTTMTEPEPELAPPGPPDSSSATAASVRGPAAAAAVAGAALAAAVAIFAF >Sspon.08G0011960-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:49142489:49150804:1 gene:Sspon.08G0011960-3C transcript:Sspon.08G0011960-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKVVQNISYELDSFNKRKWDHIIVNQFLRDLREAKKRGNSERRHKEALAILAATAPSVVPTSRNATVRKETENNVASARRENMTRSNAGSSRIGQLSSSPQAKDLSFSNSKVSEETNFGIFDLAKFSKKSALPCDICMRCDTVLNRIFVCSSCKAAVHLDCYQSLKYPTGPWKCELCHEMPRDFVVSGELSDQNGAKACLVQCGLCHGTSGAFRKTVKGQWVHAFCAEAYRQQYGPEEVKSMKQMRVELELLRFLCERIVKREKVKKDLVGCAHDILAARRMTAVSSSWTSCYASGPGASSESATTSVNNKSYGGTIQRSDDVTVRSDDVTVDSTVTKKHTVRFSLHNRDTDRNTADSSTSTISYKRKLDDGESFAFKSLPGTPATALLESQDVEKKPIDKKRREIYQKELVMATSHQALLKNKSPPERYVYTRRSSISKRKQCSQHVVEGPGG >Sspon.01G0023070-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:87528567:87532793:-1 gene:Sspon.01G0023070-2B transcript:Sspon.01G0023070-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCNITTCSSAHVSFLVSGSAQTCFDDQLLESHIKNEIIEKRQLVRALLNSEDNKPSSYEPLPSMCVACGASTFEVWITLPKWAAQVLKHLAPEISYRSLVALGIAWVNGTPVSSFDRQDADRLLFFCSNQCKDQAIQNVSYSHLSSWSASLTKDRTTGSIESKQMSFGAKGVGGDNKMSPSLKPRLKPATMRTLAHSRKQQMHPFMGFPRSIILRHLLSKVCYETLEPPRQLNAIKHGNIPQNAGDEARGDCPQAPGNLLEKLWDCKPWHELEIARPGGRHGTRQAAEVTNAPNAKWGAQANPAAASNV >Sspon.03G0022710-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:77490932:77493757:1 gene:Sspon.03G0022710-1P transcript:Sspon.03G0022710-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MCMLIASSAFISELIHVGQKQAALQALHDLITSKRYRSWQKPLEKIMMKYVELCVDLRKGRYAKDGLIQYRIVCQQVNVSSLEDVIKHFMQLSNEKAEQAKSQAEALEDALDVEDLEADKRPEDLMLSFVSGEKGKDRSDKEVVTPWFKFLWETYRTVLEILRNNSKLEALYAMTAHRAFQFCKQYKRTTEFRRLCEIIRNHLANLNKYRDQRDRPDLTAPESLQLYLDTRVEQLKVATELSLWQEAFRSVEDIHGLMSMVKKMPKPSILVVYYAKLTEIFWISDSHLYHAYAWLKLFNLQKSYNKNLSQKDLQLIASSVLLAALSVAPYDQKYGASHLETENEKERNMRMANLVNFSLDSKRENREMPSRASLLSELASKGVLSCASQEVRDLYNLLEHEFLPLDLASKVQPLLSKISKIGGKLSSASSVPEVKLSQYISALEKLTTLRVLQQASCIFQSMKIDMLSRMIPFFDFSVVEKISVDAVKRNFVAIKVNHLSGAVHFGTVVCGPDSVHQ >Sspon.01G0063040-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:110515149:110515880:-1 gene:Sspon.01G0063040-1D transcript:Sspon.01G0063040-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLGSRFLHIHSSLPPKQTCEYNTSCKHKESTEYKRSKPFRPNAAQSTPRIHRLPTQKAQNAIKIQPFRGGGCNGTSSSSSAGSTARGLTEPEPNPRQIAAQSNLDRAALGRRTTLAVEAPKAAPSFSSPEEHPEQPAAWREEDPGEERVTLGFSPRPGGCGGGGRWPRLRNPLMPPA >Sspon.03G0046300-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3D:35172928:35173092:-1 gene:Sspon.03G0046300-1D transcript:Sspon.03G0046300-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWWWSPSKHAKKIVRCSGEVIVRGVVLAPREPRRATLVERDAKGDKWSIRVKC >Sspon.03G0003130-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:23282703:23284915:-1 gene:Sspon.03G0003130-2C transcript:Sspon.03G0003130-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding YMASTTKCGYNQFYRDISHVLDKIVVYFRMEMFFGSFLSESASSQSLFSHPDVERCPFLRNINGATTFSFTSALPVQARGGKGPIFEDGPGFESAFKLFHGRDGIVPLSERSYVSDENHNGSIDVKTEPALPFNPLAARAASISLSAFGPFGFGFFNGKGKRQNKKPNNLDQSHQKPKTPDQSSMQQKGVNPPSHEAFSDEWLENGQCPLARSYRAMSGVLPLVAKALQPPAGMKLKCPPAVVAVRAALARTALVKSLRPQPLPSKMVAIALLGMAANIPLGVWREHTKKFSPQWFAAVHAAVPFIAMLRKSVVMPRTAMAFTIAASIVGQTIGSRAERIRLRNQAAKGTGDSATNTAMYPNKNGHCSDTEDKAWDPLATKITGSAARGSPAPTPSMCF >Sspon.01G0034140-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:1180306:1189586:-1 gene:Sspon.01G0034140-2C transcript:Sspon.01G0034140-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVNILLPGINICKPEDCFIYEWGKVTERCCAVPCTGAHHPLEILDTDCKVDPRSFEFKKNKFGKPEILWRSDDSRMERPLHFNISHTSSLIACGISMDTPIGIDVEDKKRKTAKNILSLARRYFTPSEIDYLAKIPDPDGQQKEFIKLWTLKEAYVKALGRGFSGAPFNKFSIILAANNRIKISVAPKVSNDSDSSCDCLSENWQFAVAELNSSHYMAICIDDDSISSDCSVILLFQLHIVYNVADSGNGRLPLGLKVWKTIPFVEDILVSGTEAVKLVS >Sspon.07G0018470-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:77701065:77703665:-1 gene:Sspon.07G0018470-2C transcript:Sspon.07G0018470-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKLVFVLPVVLLGLALQAILRPPPPKLCGSPGGPPLTSPRIKLRDGRYLAYREDGVQKDKARYKIITVHAFDSTKDFPLPVSKELVEELGIYLLAYDRAGYGESDPNPKRHVKSEALDIEELADQLGLGQKFYVLGASMGGYSVWGCLQLAGAAMVVPIINYWWPSFPAELSRQAFKRLVVPEQRTLWIAHNIPSLLYMWMTQRWFPSSAAAMHHPEIFSKHDMEVLQKMMAMPKTIENKSRQQGIYESIHRDLLVAFGSWEFDPMNITNPFPQNEGSVHIWQGYEDRLVLVELQRYISKKLPWIKYHEIPEEGKSHVGFIRLPSGARRLSSAISTANGAIQAVDLSESERVCAQVHLKYPNLTNIVPAFRSQRSTPETIRPLSSSEMSKTKRFVVTLLTMQTFPLYKAMSLNLLMQPPWHTPHDVIPGRQRARLAVLLCTETAATMHLQSRS >Sspon.03G0024600-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3A:74779559:74780878:1 gene:Sspon.03G0024600-1A transcript:Sspon.03G0024600-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVRPDGPTHPHRSPALRVVRLNPRSSSALCTARLRPTPRSLPPSRRTRSLLLLVARTACLVEPRPVLVVLMMVVLAHRRWCWWRSHSRAAGSHPDVWNRPTLMSRQPTARWHGTKPTILVRHEHGTARCFTSPGQPGLMPRAVPGPLLRQAERHGLARNYSRVVQPLAPQPRSCVTAPHPVAPAASSTPPSRRRLLNTVEHAGVSSSRIAHAPPANLASTGRLFQHGVVEHIGVERGEGLEPLLLASSGSSSMASASSSGSSSSGTLPFPPLSPVSGCGLGRAMSRTMPFGPAQPKNRLGRPCLGRW >Sspon.02G0012000-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:31996472:31999009:-1 gene:Sspon.02G0012000-1A transcript:Sspon.02G0012000-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMTRRALSRGCCRLAVAVVLALAVVASVAGDDESNGPDWHVVSVTSLLPSAVCAPKRAAASNSSALSVVHRHGPCSPLQARGGEPSHAEILDRDQDRVDSIHRLTAGPSTAGADPASASKGVSLPARRGVPLGTANYIVSVGLGTPRRDFQVVFDTGSDLSWVQCKPCNDCYQQHDPLFDPSQSATYSAVPCGAPECQRLDSGSCSSGKCRYEVVYGDMSQTDGNLARDTLTLGPSSSDQIQGFVFGCGDDDTGLFGKADGLFGLGRDKVSLASQAAAKYGAGFSYCLPSSSSAEGYLSLGSAAPPNAHFTAMVTRSDTPSFYYLNLVGIKVAGRAVRVSPAVFRTPGTVIDSGTVITRLPSRAYSALRSSFAGLMRRYKRAPALSILDTCYDFTSRAKVQIPSVALLFDGGATLNLGFGGVLYVANKSQACLAFASNGDDTSVGILGNMQQKTFAVVYDVANQKIGFGAKGCS >Sspon.01G0028340-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1A:99073617:99075109:-1 gene:Sspon.01G0028340-1A transcript:Sspon.01G0028340-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVDTAAVAGAGRRLRVFFLPSFIRGHLIPQTDLACRVAAARPAEVEATMVVTPANAALIAPTVARAAAAGHAVRVLCYPFPDVGLGEGVECLTTAPRTTRGVAAELGVPRLTFHPVGIFALLSMNNLFTIRSDIIRTSSAAAGTVLSVPGLPGKEIAIPVSELPNFLVQDDHLSKGWEQVRACQIAGFGVIVNTFIDLEQPYCEEFRRVEARRAYFVGPLGQPSRSTLHRGGDGNVDCLSWLSTKPSRSVVFVCFGSWADFSVTQTRELALGLEASDQPFLWVVRSHDCSDQWAPEGWEQRVASRGLVVRGWAPQLAVLAHPSVGAFVTHCGWNSVLEAASAGVPVLTWPLVFEQFINERLVTEVAAFGARAWDGGRRSERAEDAETVPAEAIARAVAGFMQGGEQRDRLKARAEELAERARAAVSEDGLSWRDINRLIDDLLQTRASGLAQGNSVQV >Sspon.04G0014350-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:57650031:57666172:1 gene:Sspon.04G0014350-2C transcript:Sspon.04G0014350-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-specific protease 15 [Source:Projected from Arabidopsis thaliana (AT1G17110) UniProtKB/TrEMBL;Acc:F4I642] MLQPREADVPALFLVFIVLPVAAYFLLGRWHDAASKKARVSVLAQRAAEEAYREETMACPDIIPPGPSLRTMPYFRPAPSLRQEYHECATCHAPAKTRCSRCKSVRYCSGKCQIVHWRQGHKETCQKWLGSGSSCFGGSSTEATEQMPFLTNLNSPLPGGDIHLRDMNFDTLSEPSFPTTDGYNLDTDPFLADRSNMNRSNQGIHMSENGAVGVSFEKNNYNAADEIHSPEILSGNKVSNNYFGSDAMSGNGDATYPAKSNAQQPSSCAPDMRKQSKASITVYQPDPGVYLTSDMVSSCEGSYASASEPLQRSLSSGKTIGKTYVVNKRPPHPSNKVVSAQKSQDGVSTSYQNDGHEKNPYNKNDQRSTPTCESSQPTSSNLQKFGASKVEVLKKPSKFLKTSLVGLINDNKRNKVLFPYEDLVKFFQYEARGISPRGLFNCGNSCYANAVLQCLMCTKPLMIYLLLRLHSKDCSSKNWCLMCELEQYASTLRESGGPLSPSRILSNLRNIGCRLGGGTQEDAHEFLRCSAYVKARKQLSVHEVPNILTVVLKRFQVQVVSANQVMSEGAYMLFYLRSFPRPPRIYIEKGLPVTSVKRHTSKSSKGSKHERKQTELLFSANDQAYGVYDFRPDGEGYTQDQQAELRSRDFHHRDDAFADSVSTDFSEATSSEWSLFTSSDESSFTTESTRDSFSVVDYGDNAGLDPISSIFGPSYAPEHPPGNFVSCTRFSPSNPQTRYFSESTGFVSDSSMPAHSSGIVHRGRYPDRACASSAEPLASAHQRSGYGRYPLSRDGFVQTSGFCQM >Sspon.08G0021970-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8B:44752476:44753168:1 gene:Sspon.08G0021970-1B transcript:Sspon.08G0021970-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALIPIKQLDSPCGYLRWKESVLLRVHTLGVARVLFEDRPTGDGDDAAAQAAAKKWARDDAVCRGHILATLSDRLLPDYQRFATAADLWCALARTYHVDTPRVWRDKFDAFEFEEGAEGVLLEQIAHAEALGVAARLPEGLVAYELCGRLPEVVSDAVVVRSGPDNEMTMSLVWDVARRVVASGVGPERLWRTTRVMADEAEGCCSDGPKPEQSTGRRNRGEPGHVAKNSR >Sspon.03G0043470-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3C:81418139:81418537:1 gene:Sspon.03G0043470-1C transcript:Sspon.03G0043470-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTARRAFLDARRQQSGTTVKKEAKRLCYRAQEISFRTEYWKIGNVIIFSPRHFTRTEGGDAGLRLLSVKEDDDEAQRPGITAPLWRSRTQNREREIGLGRRRQEERKLYFTTREISQTRGSSTVVLVCFA >Sspon.01G0027560-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:99322239:99322813:1 gene:Sspon.01G0027560-2B transcript:Sspon.01G0027560-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVHNTVLSPELDRKDLGINAFVQDLHALLILQVHEVQFLNRTRVKEIFDSLVKGFEYFWGIKQKCNGQPLRVMILQDACTFSCSLYGCGMGH >Sspon.01G0040890-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:43814199:43815045:1 gene:Sspon.01G0040890-1B transcript:Sspon.01G0040890-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKEDLKLLGLLVSSFVIRVRMALSMKGVSYQYVEEDLFNKSELLLKLNPVHKKVPVLIHNGKPICESLVIVQYVDELFAGRSILPTDPYERATARFWAAYIEDKLCPAWIGILKAKAEEERAEKVKETLAAIEHVEVAFAKCSNGNAFFGGNSVGYLDIVLGSFLFWFEALRRMYGLEIINTSKTPLLAAWAERFGGSAEAKVVVPEADKAVQYANKLHAAAAVKLVSSE >Sspon.01G0013290-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:114210828:114213662:-1 gene:Sspon.01G0013290-1P transcript:Sspon.01G0013290-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LKPLAAMGAQDYYSTAVAAAGVALLAICSYYLLLVGRRGQGQRGGGNGKQTKRYAPVVGTVFHQLCHVRRLHDYHTDLFRQRKTFQLLVPAGRRQIYTCDPAVVEHILRTNFTNYGKGTFNYENMSDLLGDGIFAVDGDKWRQQRKIASYDFSTRALRDFSGAVFKRNAARLAGIVSRNAASGQPMEFQGLALRATMDSIFTIAFGLDLDTLLGGGSGSGEGSRFAAAFDDASEFTLLRYVNAFWKAQRFLGVGPEAKLRRRVKVVDEFVYKCIRDRAQELSDSKATEDAVPDSRQDMLSRFIRTATNETGTVDHKYLRDIILNIVIAGKDTTAGALAWFLYMACKHPEIQEKICQEATKVTSASETATVDEFAQSLTDEALNKMHYLHAALTETLRLYPSVPLDNKQCFGDDVLPDGSSVSKGDIVFYVPYAMGRMEYLWGKDAEVFRPERWLDQNGEFQQESPFKFTAFQMKVFAAVLLRFFVFHLRDGEKATVNYRTMITLHIDE >Sspon.05G0009620-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:19106947:19112258:-1 gene:Sspon.05G0009620-3C transcript:Sspon.05G0009620-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-dependent RNA polymerase 2 [Source:Projected from Arabidopsis thaliana (AT4G11130) UniProtKB/Swiss-Prot;Acc:O82504] MSTAAPAPGSTATVRVSNIPASAIAAELLAFFDSAVTTAGAAFACEIAAAHRGWLSRGHGSVQFDSASAATHAIDLASSGRLPPFLGSCLSVSAAHADLLPRAPDLSLRAADASLILGNRVAERELEVAYSWDGVRAEVIPGKRRVDLYLKQDSRSYKLEVLFEDIRECFGCHIDGTGAILLQLAYAPRIYTAISGSTVKSRFTDDRFHACKEDAKFAWVRALDFTPNNSFGKCSTLVLKLSKGVPVSEILESLPFSGELGELAISSMDAFGSSSNVVPLVDCPNGFSVPYEVLFRLNSLVHMGKLVARHVNADLFKVLEELSIDTLRRIFEKMSKLKSTCYEPLQFIRHEAHSMSMSKKALLSNKEGGKLMRCYRIHITPSKIYCLGPEEEVSNYVVKYHSQYASDFARVTFVDEDWSKLSPNALSARTEQGFFSTPLKTGLYHRILSILKEGFCIGPKKYEFLAFSASQLRGNSVWMFASNNSLTAESIRRWMGHFEDIRSVSKCAARMGQLFSSSRQTLEVTSYDVEVIPDIEVTTDGTKYIFSDGIGKISTRFARNVAKLIGLDPAHLPSAFQIRYGGYKGVVAVDPTSFFNLSLRPSMKKFESKSTMLNITNWSKSQPCYVNREIISLLSTLGIKDEVFESMQQDDMHESDGMLTNKEAALSVLGKIGGADTKTAAEMLLQGYEPSSEPYLLMILKAHRANRLTDIRTRCKIHVQKGRVLIGCLDETCKLDYGQVYIRITKNRKDQKYSEQPFFCNDDGKTAVIVGKVAITKNPCLHPGDVRVLEAVYDPALDARGLIDCVVFPQIGERPHPNECSGGDLDGDLFFITWDDKLIPEKVDAPMDYTATRPRIMDHAVTLEEIQKHFVSYMINDALGVISTAHLIHADRNPLKARSPECLQLAALHSMAVDFAKTGAPAEMPLALRPREFPDFMERWERPMYVSNSVLGKLYRAALCHEENAEALLPAAPPSCVYDPDLEVAGFDKFLDTAEEQYEMYAEKLGTLMTYYSAEGEDEILTGNIRNKLVYLRRDNKRYFEMKDRIIAAVDALHAEVRGWLRACRADDASKVASAWYHVTYHPDRRGEKRFWSFPWIVCDTLLAIKAARRCRKRVEDAAVPMDCDASATPMDCEEPL >Sspon.03G0009190-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:39258053:39261415:1 gene:Sspon.03G0009190-2C transcript:Sspon.03G0009190-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GMEVQVVDLSSDSEGEVSAHSPDHMRPSRQPDPNRGGGDTGSSAMDILFEQAAAGAAWGDALKKGKEKVVEGESAGPPKHGGELLGAAGRVLGAGSDPWSAVVSKCKAWDGGSNGAGCWGSWGDRGDQLTNSAPMPRLGSERKGFHETSSDKWKGILGASPADPVNSLWSSWDTDMKDNEDETFSQRSAAAREISSCDDFLMEDSSSSWLSKIKGLHFPLPDEHQLRTRQIENDEIFARRLQEQLNQEQPGSQHSEVVDTTIAWTLHEQDAEHARFAARESQSSSSQRDRSMAHLYSYGRHSPVQSFASWASNRTPIPMPSRRGLQRNSNCPQAEQRNMLISQLTKGCFGEDMDLEMRMAVLDSLSEAFRNCEDTLSPDSDVDYEDSIAFDVDIQYRGASDDQINSLPLSLVEGENCSDEPCNICLDCPAAGDSIRHLPCLHKFHKKCIDRWLGMRTWCPICKSNDLRPPRTGDNTRRA >Sspon.01G0019050-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:68253774:68257580:1 gene:Sspon.01G0019050-3D transcript:Sspon.01G0019050-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPERERKRKRAAEEWVRRKRGRKRRKREGREGERQVGWTSDPMEALGEDVMGRVMEFLDARSVARCTAVSCAWRGVAADNRLWAPKCAELMAGKAHIPRLTLICTGSKLSTYSMAIMDGKRSRITKEDLCDHAWEYRFTIAAPDYWRNLDPSWKHTGPPMRRYFHPDGYHSADPHDAVWGGHECTYTVITSFVDDGQIREHYVRINRWPPMKVSRKDDWSWELSNHLYRYNSIPDSDKKGCTGPLFPVW >Sspon.01G0027750-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:96983194:96988537:1 gene:Sspon.01G0027750-1A transcript:Sspon.01G0027750-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAISLPRTLAPLITPRACNPRRLSAAPARASPPRGGGRVGRCSLTVPAAAGASASSPGLAPDTGASAWDALGGVSVLAAGTGNAVALTDLWDSTEGLAVVALLRHFGWELASVLKDSMAKFDSAGAKLIAIGVGTPEKARILADRLPFPMDSLYADPERKAYNVLGLYHGLGRTLFSPGSAKIYSRLDYIKEATKNYTLEATPADLTGVLQQGGMFVFRGKELLYSWRDEGTGDHAPLDDVLSACRKIPKKTPNRQDEPQVSKNLE >Sspon.02G0035260-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:12818456:12820096:1 gene:Sspon.02G0035260-2D transcript:Sspon.02G0035260-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKENLALLSLVLLLVASPFVAAAWLSDSDVEIVAWSESGVGCGGSGRSYGANSTYEANLRHLAAVLPAETSASASLGHRVDRAIGYWPNRVQASATCWSGDNGDCATCIVEAFKEVERVCPFRREATFFGRETRDCYLHLAEFRVFSIDVFGVTPLFYLEINN >Sspon.02G0023800-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:81255651:81256877:-1 gene:Sspon.02G0023800-1A transcript:Sspon.02G0023800-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAAGSLRLGRGHLANNTVAALQLNMQPGAMAHESWKQESEETGEAPILCINNCGFFGSSMTNNMCSKCYKDFIKLDDAATAAAAEAVVDNKQAETAQEQPPKPPSNRCLICRKKVGLTGFQCRCGGTFCSMHRYTDPPVHLRLQDGGQGADRQAEPRRDGQEDQQDLMGGGSIM >Sspon.02G0014250-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:40471957:40476134:1 gene:Sspon.02G0014250-2C transcript:Sspon.02G0014250-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLITPFSYVAAIDLLKDFKVQAIIGPKTSMQARFIIGIGNMTNVPILSYSATSPYLSAKQSKYFIRTALDDASQVPAIGSLIEYFSWRQVVLIYEDSEFGRGIIPYLVDALQDIDTHIPYRSIIPSVPTDDQINVELNKLKTMQTRVFVVHMSSNVAARLFVLAHDAEMLVDALTLGFKSPSSQNNNNFNDLRMLGVSQDGPRLVDAIQATKFQGISGEFILVDGQRQASVFEIFNVIGNSYRSAGFWTPKFGLSKKLVTSSGPSDTIGLNTLIWPGGSAQAPRGWEWPVAGKKLKIAVPVKPAPNAFVNVKKNPATGKFDVTGYCIDVFEAVMQEMPYAVPYEYVPVVDPNMATNLTISYTEICHQVSLKKYDAMVGDTTIIINRSLYVNFTLPYTESGVQMVVPMKKNWSTSLWVFLKPIEPILWAAFLAVFVFTGFVIWLVEHKESRDFGGSAWRQLVNIAYFSFQALLAVPKGEPIEPCSARECHQPDACGSWPVHQLDAKNAFLHDLLTETVYCSQPAGFVDSSRPDMVRRLNRSLYGLKQAPRAWNHRFAAFLLTLGFVEAKSDTSLFIYHHGADTAYLLLYVDDIVLTASSEPLLRRIIAALQQEFAMKDLGVLHHFLGVTVEPRPDGLLLHQRQYTRDILERAGMTDCNPCSTLYLTFTRPDITYAVQQVCLHMHDPREPHLTALKRLLRYLRGTLDYGLLLHRSSSADLVVYTDADWAGCPDTRRSTSGYAVFLGGNLVSWSSKRQPVVSRSSAEAEYRAVANGVAEASWLRQLLAELHTASSRSTLIYCDNVSAVYLSTNPIQHQRTKHVEIDLHFVHDRVAMGEVRVLHVPTTSQFADIFTKGLPSSTFTEFRSSLNITSS >Sspon.05G0018190-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:76750675:76751841:1 gene:Sspon.05G0018190-1A transcript:Sspon.05G0018190-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LHCDWLGGGTPGDSDGKHSKSKPDDVRIIAGHDDALLIEIREIRVPSPEVRRGQPPTTTFLDHFLYEAGGGARPPSLSLLPGFYVPVQLGYRKHRDPTPQFRYLGMTNTGILRRGEDDLVVCQYEMTCDPPIYDTAELCMLRIGRDREWIVRRVPIVEHREGSSELQPWRHRQRSLVADAAVPVGNRFLCWVDYGTTGFILCDMVAEEIPKLRYVPLPPATQHDGYCGHCPDETRAYCTLGAAGHDAVRFVGVSPPRCCLGDVPGKTSCEGSSSSFNVTTWTMTLSTEEATTWVKDVVLDCDEIWKWRLPNYGCLPRDKHLEYPMVSSDDPDVIYFKAEDDPDVICFKVEVMAIEINTRTKKLLSVVPSGTRDLLEDEESSRLITAKLR >Sspon.03G0024160-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:92143250:92146447:1 gene:Sspon.03G0024160-2C transcript:Sspon.03G0024160-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDALTRLHRSLVGGDDEDQPEDSILADTEGLCSLSPLQRIYAFAACLVAGLALMILSFIVFVRPIKFAVMFTFGNILAVGSTAFVMGPQKQLRMMFDPVRLYATAIYVGCVVLALIFALWIHDKLLTLIAIICEICALFWYSLSYIPFARRMVSDLMVKLCDTEL >Sspon.02G0001740-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:5910024:5912433:-1 gene:Sspon.02G0001740-1A transcript:Sspon.02G0001740-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKAEKKPAAKKPAEEEPAAPAEKAPAGKKPKAEKRLPAGKSAGKEGGEKKGRKKGKKSVETYKIYIFKVLKQVHPDIGISSKAMSIMNSFINDIFEKLAGEAAKLARYNKKPTITSREIQTSVRLVLPGELAKHAVSEGTKAVTKFTSIDPLAHMNSDLICHPLSPQPGGGPQCACCYFKKH >Sspon.06G0011800-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:71683133:71686078:1 gene:Sspon.06G0011800-1P transcript:Sspon.06G0011800-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AMSTYDKAIESYKKAVTMAASLAAWKMLVRGVANELVPNEVRDFLCSGLGYLRSRMSSQHTVVIEETEGWASNQLYDAARTYLATRINTDMQRLRVSRVDEGKSLMFSMEEGVEMTDIHDGAEFRWRLVFRNVAGAGNGNANGHARCGGNYRVEVLRSLEMSFYRKHKEKAITSYLPHILAEAKKIKDQDRTLKIYMNEGESWFAINLHHPSTFTTLAMEHKMKQSVMDDLERFVRRKEYYKRIGKAWKRGYLLYGPPGTGKSSLIAAMANYLKFDIYDLELTEVNWNSTLRRLLIGMTNRSILVIEDIDCSVDLQQRAEEGQSPSASEDQVTLSGLLNFVDGLCSASGEERIIIFTTNYKERLDPALLRPGRMDMHIHMGYCCPESFRILASNYHSITEHDTYPEIEALIKEEGVRVTPAEVAEVLMRNDDTDIALEGLIQFLKGKTEA >Sspon.06G0002780-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:8626106:8628303:-1 gene:Sspon.06G0002780-1A transcript:Sspon.06G0002780-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LLDFWLQVDSANKYGTLLEVVQVLTDLKLTINRAYISSDGEWFMDVFHVVDQDGNKLYDGQVIDRIEQSLGAGSLSFRGPPERLVAVEAEAEEAQTTIELVGRDRPGLLSEVFAVLTDLKCNIVASEVWTHDGRVAALVYVTDADTLGAIEDPARLDTVKRLLRHVLRGSSRDKKASRAAISAGVEHAQRRLHQMMQADRSAHREGERGEASGAGAGLPVVAVEDCAERGYTLVNVRCRDRPKLLFDTVCTLTDMQYVVFHGTVIAEGSEAYQAEKDTCSFLLLVVTNLATCMALQEYYIRHLRHLDDSAGSSGEDRDRLCRCLEAAIQRRYTEGLRLELCCEDRVGLLSDVTRIFREHGLSVTHAEVETRGAQAANVFYVVDASGEPVQGQAVDAVRAEIGEQFLFVREQHDGAGGGAGGPKSPVGGGGRRSLGNMIRSRSEKFLYNLGLIRSC >Sspon.02G0021500-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:72240805:72247699:-1 gene:Sspon.02G0021500-1A transcript:Sspon.02G0021500-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRAMAPRELWWLWATLAAVSLLLYYLMTNQRRRWGLRSGRRPPGPRPLPLIGNLLDLREGNLHHTLARLARAHGAPVMRLDLGLVPAVVISSRDAAREAFTAHDRRLAARAVPDGKRALNFCNRSMIWLPSSDPLWRTLRGIVASHIFSPRSLATTRAVRERKVRDLVAYLRGRAGMEVDVKEAVYGGVINLVSSSLFSVDVVDVGAESAHGLQELVEELIECIAKPNVSDFFPFLRPLDLQGWRRWVAGHLSKIFQVLDDIIDRRLAEASSTPNGDDDRHGDFLDVLVELKSTGKITRDNLTLVLFDMFTAGSDTMALTVEWAMAELLRNPSVMAKLRAEIKATVGGKKEAVDEDDVAGLPYLQAVVKEAMRLHPVAPVMLPHKAVEDGVEIGGYAVPKGCTVIFNTWAIMRDPAAWERPDDFVPERFLNREQDIVGKQFEFIPFGSGRRLCPGVPMAERVVPLILASLVHAFEWQLPDGMSPEQVDVSEKFTTANVLAFPLKNLTFILFDVFTAGSDTMALTVEAMAELLRNPSVMAKLRTEIKGAVGGKEEAVDKDDVAGLRYLQAVVKEAMRLHPVAPVMLPHKAMEDRVEISGYFVLEWFMDREFEFSGKQFEFTPFGSGHRLCPGVPMAERAMPLILTSLVHVFEWQLPDDMSAEQVDVTEKFTTANVLAFPLKAMP >Sspon.02G0043910-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:101878416:101884089:-1 gene:Sspon.02G0043910-3D transcript:Sspon.02G0043910-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dihydrofolate synthetase [Source:Projected from Arabidopsis thaliana (AT5G41480) UniProtKB/Swiss-Prot;Acc:F4JYE9] MLGHRIPAALRLPRGLLLRRRSTIARRGLSVMARGEAEEGPLGGFFEYMERLRNYERSGVPRGAGTDSDDGFDLGRMRRLLRRLGDPHTHFPAVHIAGTKGKGSTAAFLSNIMREQGYNVGCYSSPHLLTIRERISVGNGGPVPVGLLSDLFDEAKEAIDEAIESENGSLTHFEVFTALSFLLFSQENVDIAIIEAGLGGARDATNVIRSTELAASVITTVGREHLVALGGSLQSIAIAKSGIIKQGRPVVIGGPFAADIEQIIRDRAFLTQSPVISACGPGMKSFTKCIDWDNGKPYQRCYINIKISNDVPLVSYMGNLHLLKLIGSHLFFKSSDSANYPFQSIEMHDINLQLLGHHQRQNAVTAACAALCLRSQGWDISDASIQAGLEETQLPGRSQFLTAEEASVIGLGGASTVLIDGAHTEESAKALSGVIKTVRPEGPLALVVGMASDKEHLAFAEQLLSGQTPDVVLLTEASIAGGTSRVMPASSLKEVWIAAARDRGIEYVDIGGISGTETPEHIGHLLGSLSSSSGRKPMVIGCQDGAPFSSNLIIAASQLLESRGRAPGLICVTGSLHLVGAVLQQLGRN >Sspon.05G0018810-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:75380194:75384287:-1 gene:Sspon.05G0018810-4D transcript:Sspon.05G0018810-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFRSIVRDVRDGFGSLSRRGFEVRFLSHRRGKSHGAVHELHDPVPEVQSSCWASLPPELLRDVIERLEASEDTWPSRKHVVACAAVCRTWREMCREIVKSPEFSGKITFPVSLKQPGPRDGTIQCFIKRDKSTQIYSLYLSFSSAVPAENGKLLLSAKRNCRPTYTEYTISINSGDISRSASNNTYIGKLRSNFLGTKFVIYDTQPPYNATSPAVAGKTSQRFYSKQGSTKVSSSRYSIAQVSYELNVLGTRGPRRMNCVMHSIPASCLEAGGSVPCQPDSIGARTVGASFSSVSLSKPSTMDHSMRFSSSRISDAITADGIVSRGQALSDGDDEECKDTPLVLRNKAPRWHEQLQCWCLNFRGRVTVASVKNFQLVAATTQPAAAGAPTPSQAAPPPPAPAPGPPPPDQEKVLLQFGKVGKDIFTMDYCYPLSAFQAFAMCLSSFDTKLACE >Sspon.01G0032610-1P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:102573149:102582512:1 gene:Sspon.01G0032610-1P transcript:Sspon.01G0032610-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRYEIPRVAFINKLDRMGADPWKVLNQLVCSSLVHTRTPSSQVHPEMAGRCFLPPAASSLFASCCGAALGINRLHLSGEVISQNVTASDVPLNMEDLVTEKRRELIEVVSEVDDQLAEAFLNDEPITANQLKAAIRRATVAQKFIPVYMGSAFKNKGVQPLLDGVLDYLPCPLEVDNYALDQNKSEEKVLLAGTPAEPLVALAFKLEEGRFGQLTYLRIYDGVIRKGDFIYNVNTGKKIKVPRLVRMHSNEMEDIQEAYAGQIVAVFGVDCASGDTFTDGTVKYTMTSMNVPEPVMSLAVSPISKDSGGQFSKALNRFQKEDPTFRVGLDAESGQTIISGMGELHLDIYVERIKREYKGAFGSMDISGWEMAVRGQALLGSRDKLVMDIPDRGIFSQMLDIPATKKLPASSSMFSNLCH >Sspon.02G0018110-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:59629213:59630728:1 gene:Sspon.02G0018110-2B transcript:Sspon.02G0018110-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RMAAFAALFDPLYCPEEHLDLYHEEPGEGADEQWPDQHGQQQQQPAALDDELPALFEALRAKEGVVLAGEGEEDGYGGAAGREAAVGWASRAAARLGFSALTAALAAAYLDRCFLPGGALRLGDQPWMARLAAVACVALAAKVEETRVPLLPDLQLCAAATSDADAADPYVFEAKTVRRMELLVLSALGWRMHPVTPFSYLQPVLADAAMRLRNCEGVLLAVMAGACFFIFLYEFQASSQCSVVAFPCQRLDFYVYSKKNMADWRWPRHRPSAWAAAALLTTAGGDDGDTELLALINAPQDETAECAKIISEVTGMSFLACDVGVSAGNKRKHAAARMYSPPLSPSGVIGALSCFSCESSTSTATTAAGVVGPWAPSAPVSVSSSPESHGRPPKRAAAVPHPLPPDEESRDAWPSTCAA >Sspon.04G0029030-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4B:69793192:69795364:-1 gene:Sspon.04G0029030-1B transcript:Sspon.04G0029030-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQAISKRRRPVVPNVGSGSMISDEVLLLEILVRLPVKSLVRFKSVCKAWCATIASPHFVRLHLELARASSSSMVLVPRKYQPEPTKVVSRFVHIYSFQPAAQSKVAKLIFMYEPRPNGIPMFKIPLHCDGLILIPSITGHIFVCNLATKEFVELPQGTWNVSLDQRVAFGFDPSSGMYKVARHFLRSYREGQIRTEYDVGHEVLTFGDGIETLEWKATIDPPYPIKARTPICLPGFFYWSAVQSVADADHGKLATDVILRFSMRDDTFTVHPNPPCRSCLSPNDLMCELSGKLCYVHSPSPCEVSIWLAQDGQNIITWSLRCHVNLPIPRILRVYACASADQGTVFLSVDARHLFKCNLHDGSLETVVSMPYGLLYDHGKGKSSFAPGCGYMTLRAMAELGPKSSRGQSLKKMAILSFYQARMDATNAVYASLGVAMAPIGL >Sspon.03G0013640-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:51245351:51248207:1 gene:Sspon.03G0013640-2B transcript:Sspon.03G0013640-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWGDPALTSFVADSSYRHLCCYGAGIAGNVFAFVLFISPLPTFKRIVRNGSTEQFSAMPYIYSLLNCLICMWYGLPFVSYGVVLVATVNSIGAVFQLAYTAVFIAFADAKQRLKVSALLAAVFVVFGLIVFVSLALLDHQTRQMFVGYLSVASLIFMFASPLSIINLVIRTKSVEYMPFYLSLSMFLMSASFFGYGVLLRDFFIYIPNGIGTILGIVQLMLYAYFRKGSSEEAKLPLLVTHT >Sspon.08G0030280-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8D:56665440:56674672:1 gene:Sspon.08G0030280-1D transcript:Sspon.08G0030280-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MYTSGTTGDPKGVMISNESLLVNIAGPDSVLQYIGEVDVKLLVDDIAALKPTLFCAVPRVLDRIYSDVRLESVPEMGYDALSSIPRGEICIRGSVLFSGYYKREDLVQEVMIDGWFHTGDIGEWQPDGSLKVIDRKKNIFKLSQGEYVAVENLENVYGVLQDIDSIWVYGNSFESSLVAVVNPNQQALERWRNKMLKGFEFIKAIHLDPLPFDIERDLITPTYKKKRPQMLKYYQGGSHNFDLPEKKRSDICTIMYTSGTTGDPKGVMISNESLLVNIAGPDSVLQYIGEVDVKLLVDDIAALKPTLFCAVPRVLDRIYSGDIGEWQPDGSLKVIDRKKNIFKLSQGEYVAVENLENVYGVLQDIDSIWVYGNSFESSLVAVVNPNQQALERWAEQNGITGSFAELCEHARAKEHILAELTKIAKEKKLKGFEFIKAIHLDPLPFDIERDLITPTYKKKRPQMLKYYQ >Sspon.03G0005750-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:16257357:16258106:-1 gene:Sspon.03G0005750-1A transcript:Sspon.03G0005750-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein CHLORORESPIRATORY REDUCTION 7, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G39210) UniProtKB/Swiss-Prot;Acc:Q9FL87] MRAEKAAAAAESRPAVVNRSRQKGVTAAAPPAALAEEGVRGGAARASLKRPPRIQGHGNQVCAARRRRADIQSDTYVLMEPGMDEEFVSREELEERLKRWLENWPGDALPPDLAKFDTVDAAVSYLVRSVCVLEIDGE >Sspon.08G0024270-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:63218017:63222210:-1 gene:Sspon.08G0024270-1B transcript:Sspon.08G0024270-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGEEAASALSSWAAALLLGAAALVLLDAAARRAHGWYREAPLGAARRARLPPGEMGWPVVGGMWAFLRAFKSGKPDAFIASFVRRFGRTGVYRGFMFSSPTVLVTTPEACKQVLMDDDAFVTGWPKATVALIGPKSFIAMPYDEHRRLRKLTAAPINGFDALTAYLPFIDRTVTSSLRAWADESSSGAGRGVEFLTELRRMTFKIIVQIFLGGADEPTTRALERSYTDLNYGMRAMAINLPGFAYRRALRARRWLVAVLQGVLDERRAAKAKGVVVSGSGVDMMDRLIEAADERGRRLDDDEIIDVLIMYLNAGHESSGHITMWATVFLQENPDIFAKAKAEQEAIMRSIPASQQGLTLRDFRKMEYLSQVIDETLRLVNISFVSFRQATKDVFVNGYLIPKGWKVQLWYRSVHMDQQVYPDPTKFNPSRWEGHLPRAGTFLAFGLGARLCPGNDLAKLEISVFLHHFLLGYKLTRTNPKCRVRYLPHPRPVDNCLARITRVSEDY >Sspon.08G0020330-1P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2B:75347064:75347903:1 gene:Sspon.08G0020330-1P transcript:Sspon.08G0020330-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKEANERVTSLHDAIIDERWRRHWKSSGGGERPEPEDLLDVLIMLNDGEAKPMLSIEEVKAQVMDIMMASMDNPSNAVEWALAEMVNNPEMLKKAVDEIDGVVGRERLVQESDIPRLNYVKACIREAFRLHPVAPFNLPHVTLADTTVAGYHVPKGSHVVISRTGLGRNPHVWDDPLLFNPERHIAAANSEAEVSLVEHDLRFISFSTGRRGCVAAVIGTAMSVTLLGRLLQGFSWSKIAGVAVIDLSESRHDTSMARPLVLRAEPRLPAHLYHGISP >Sspon.07G0017170-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:66051465:66053642:1 gene:Sspon.07G0017170-2B transcript:Sspon.07G0017170-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVSSSSSSEQQASALTLLLLLPVLYISYHLIKLALTTTKKTKKPTTHGLKSHPLLGHLPAFLKNRHRFLDWSTELIVASQDHRMGFWIPGMRTGIVTGNPADVEHVLRANFANYPKGEHATAMLRDFLGRGLFNSDGEQWLWQRKNASHEFSSRSLRRFVVDVVSDEVAGRLLPLLRRAADGDGRVILDLQDVLERFAFDTICMVAFGHDPGCLCLADADVGGAGVCWADDSKSEFMRAFGEAQDLIVGRFLDPVEVSWKVKKWLNVGTERRLKKAIADVHEFAMSIVRARRASASADHHRDDVLSRFVASAEHSDETLRDIVLSFLIAGRETTSSALTWFFWLLSSRPAVTARILAEVRAARASTGTRPGQPLGFDALRGLHYLHAALTESMRLYPPAPLDSQSCAADDALPDGTHVGKGWSITYSAYMKSVVASVLEEFVVDVRKEVAGGGVPEHVLSVTLRMKGGLPVQKIANSVEDARFLEDKIGKMKT >Sspon.02G0043960-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2B:98389601:98391432:1 gene:Sspon.02G0043960-1B transcript:Sspon.02G0043960-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPPSPLPDPAVLMDELVEEFLLRLPPEDPALLARAALVSRQWYRVVTDPSFRRRFREFHRSTPLLGYILNLADSIRFVPMSSFRPPHTHRGGVRAVSSSHGRVLLHRSTGENLYALRSTGKDPDVLLSVWDPITDEQQDLPVVPLRPSLCFGMSNWDAVLICTNGDGCNHLHCHFKVIFVGKRLRTFSCFYSSEADSWSDPVFASEGIIGAFIPGNCVLIESTLYGKSAASYDIFKYDMLPNQMCNIRIILGPGVRLDDCMLIATEDGRLGVAKASNSTLVVWVREHGRWEESRTIDLQALLPLDALPDPLLPYEVRPWLTGFAETGAGVIFLWTRVGYFTIDLKSGSCKKVGEDLVSARVVPYVSICTPAPGAVSTDDRLETGVAISTYEVPVADIAVSTDEGPGAGVAASANEGPGTAGMCQMPKKPKLQ >Sspon.08G0015080-1P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8B:55252627:55253781:1 gene:Sspon.08G0015080-1P transcript:Sspon.08G0015080-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPESLSWSDLPPELLGLVLRRLPSLADRVRVRAVCHPWRSIAQLQSLPPPLPWLTLPDGTFLSIPDGEIIRMAVPDDARCYGSIDNWLLLMQRDGGCSLMNPFSKATLDLPKLATVWREDWFNALSQFNPLFYKVVVPSPLDTSPESLVAVLILDDGNYSRVCICQPPVATDMTRTSRGGIMEPSMDLDDVAFFNGKLYGVAFGDRLLMFEIGYDLGNKPKISSTEFIINSMDAYLRDLPRSLSREEVHTIREYVVECCDRLLMVKRFIHNVRPISTGPFFEHHRTVGFAVFEADLSTNPGQWRRVNKLGGQALFIGRHCSKSFAVEEFNGIQEDCIYFMCDYARPDPGDPLRDSGVYNIRNGTITPLLSQNALVLPQHNGGR >Sspon.05G0024880-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:18591690:18609811:-1 gene:Sspon.05G0024880-1B transcript:Sspon.05G0024880-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPEEKLRCTKEPFIEDVGTRRIKSIRFSVLSGSEVRKSAEVQVWNNRIYGHDMKPVPNGLLDPRMGIPNKRDKCSTCHGEFSDCPGHFGYLKLALPVFNVGFFNCILDVLKCICKSCSRVLLMEKDRREFLKKMRNPRADALQKSATMKKVRDKCKLSCCPRCDYRNGVVKKGRAGLIVVHDCSKVLDGHTEELKNALQNKKEKVSTNSVRVLDPATVLSLFRRMTDEDCELLNLGDRPEKLIVTEIAVPPVPIRPSVVVGNSRTSNEDSITVILKSIVNTNSILKETLHTGGPFTKCFDCWQYLQLQVVEYVNSDAPCLPDSQHRGLVQRLKGKTGRFRGNLSGKRTEYTGRTVISPDPNLKITEVAVPVLMARVLTYPERVSNYNIEKLRQCIRNGPFKYPGANFVTQPDGTKQSLKYGDRRITARDLKCGCIVERHLEDGDVVLFNRQPSLHRMSIMCHRARIMPWRTLRFNESVCNPYNADFDGDEMNLHVPQTEEARTEALMLMGVQNNLCTPKNGEILVASTQDFLTSSFLVTRKDTFYDRSSFTLLCSYLGDAMENIDLPTPALIKPVELWTGKQLFSVLVRPNAHTRVFLNLAVQEKIYSKKKGKKEGGEETMCGRETMCPNDGYVYFRNSELLSGQVGKATLGNGNKDGLYSVLLRDYNSHAAASCMNRLAKFSARFIGNHGFSIGVDDVQPGEHLNRQKKKEIDGGYKKCHDLISLFAKGALALHPGCNAAQTLEHNITGVLNEIRSIAGNVCMDTLHWRNSPLIMSQCGSKGSPINISQMVACVGQQSVGGRRAPDGFINRTLPHFPINSKTPAAKGFVANSFYTGLTATEFFFHTMGGREGLVDTAVKTAETGYMSRRLMKGLEDLSVFYDQTVRNASGGIVQFLYGDDGMDPAKMEGKDGMPLNLDQLFMKVMATCPQRGSDTLSPEAIKQMLENKLLQHDTSSDGGCSEEFKKNLTEFLDKRIELMKCTRRALHLHEDHVEKKDSCVEESVAAIISGISAKQLQVFLDTCLSRYQSKKIEAGASIGAIGAQSIGEPGTQMTLKTFHFAGVASMNVTLGVPRIKEIINAAKKISTPIITAQLLSRKDVLSARIVKGSMEKAVLGEVAAAIQIVLKSSQPNLVVKLDMQLIETLHMGISADSVQLSILNHPKIKLKSEHVLMGTPGVDASKTKSNHIMETNQRLGIEAARRSIIDEIQYTMKSHGMNIDRRHMMLLADLMTYKGEILGITRYGIAKMKSSVLMLASFEKTSEHLFNASYSGREDQIEGVSECIIMGIPMQLGTGILKVRQRLDHVPEFKYQPDPILSLRANNALFGCVGRCA >Sspon.01G0002400-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1A:6534060:6535065:-1 gene:Sspon.01G0002400-1A transcript:Sspon.01G0002400-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGDTPVPSPTATPVATATTGDESICNLHPDTMGKPSISGGDIVQLQRKGKRRHDDKGEDKKAKIDKAVRRSSLWTRRRDVAPRVQSFGLINRQGPQPAPLLGPHRDGQHEHKEQGSEFCFSKQQTPKSLPNLSEPAVATLPFLFLELLV >Sspon.05G0028240-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:5B:64318504:64321413:1 gene:Sspon.05G0028240-1B transcript:Sspon.05G0028240-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHDLVYDLARSVLAKQGNTGESRIHFELLDDCTESLQAFTQYPTNIRGLRFLESDKTVSHGGASSSSAKFKSVQVRGKIGIYDDDVFSSAKDLQVLDLSECSIRKLPNSIGNLKQLRYLNAPRVQHWVFPYHVLKLSKVTYLSLRGSSAILALPDSIGEMEGLMYLDLSGCSGIKKLPVSFGKLTKLVHLDFSNCSQVTYVSESLKSLTNLEYLNLSYCENIGDLSENLGRLLKLRNLNISYSSYVHYNYRWPIMAEVLVTLTNLECLNFSAQGRLRAIRNLPEALNRFTNLKYLNLSGLEREHWFSRREGPIAFGNLKNLVHLNLSRCSGVHGFLDNLGSLTKLQYLNLSECSCFDACTELHLSRMSEAISNLTELRYLNISHCYPKQAFSVFLECIGNLLNLEHLDLSRNANLTSLPDSFRSLRKLHTLDLTDCYNLQDLPSSFVNEMNSLQFLELNDCFSLDMSKYNQHNKSVVVLPRFVVHSHSHGSRSNLFLINDVNCTDLAISRLQNVKSVQEARSIKLAGKDRMKILELNWMKDVERSVEDMEVLGELVPPTTLEELKMDGYNSVRFPKWFVGSSIACHLPNLVSISMSDFPRCNSLPPLGQLPNLKRLVLERMNGISEINWDLLCGSRQTFPQLEYFTLRGMESLKVWYTIYHGGRDGVGDFMFPNLSDLEISDCPNLRLEPCPHSVSQRWEVERSDGVISSWGEGASEINVTSSASVPVSTLFVSDCKVPMHQWRLLHHLPGLTTLRISECSDLSSSPEITRALSSLRILEIGELPNWLGQLASLEDLTISCHQVKAPHDGFPCLTRLRSLCLSEFGSMTTVQQWVGSHVSLQRLEISFCENLNDLPESIGRLTSLMDLDINYCHGITSLLESIGCLTSLEILHIRNCRSITCLPESIGCLTSLKELHIIFCDSMTSLPESIQQLTKLEKIDIIGCEGLKKWCESEENKARLADIKEKVI >Sspon.02G0006330-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:18888570:18889882:1 gene:Sspon.02G0006330-1A transcript:Sspon.02G0006330-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GFARRSHSPHHCISCLRCRGRPRGAHAHPRRPQRDRVAVRPRRPAPRHAPAQRPAASRLVVRRHGVRADPDLAHRRGVPDDAGHRHAAVVVPKPSPTRAATSSGRSARPAAPSASSSRRRLYNPSSSTTFGVLPCNSSLSLCAAALAGAAPPPGCACVYNMTYGSGWTFVFQGSETFTFGSSTPAGQARVPGIAFGCSNASGGFNTSSASGLVGLGRGSLSLVSQLGVPKFSYCLTPVQDTNSTSTLLLGPSASLNDTGVSSTPFVASPSSAPMSTYYYLNLTGISLGTTALSIPPNALSLKADGTGGFII >Sspon.06G0007210-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6B:23601177:23601662:-1 gene:Sspon.06G0007210-2B transcript:Sspon.06G0007210-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFMTELVYGDRSQATATVKYISLLVCFLASFTCFIHSARYYVQASFLITTLDSDVPAAYVQHAVIRGGNFWSMGLRALYLATTLLMWVFGPIPMFACSVLMVAILHMLDTNSLPLHQHQFTVRKRHEQRALTSTIATRQQQPSPQNPMLSNPVLSPVTFFS >Sspon.08G0004960-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:12099183:12102253:1 gene:Sspon.08G0004960-2D transcript:Sspon.08G0004960-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIINPGDDISNPDLLSIEDGLATSKAQASEPQPPLVNEGVGAVTNKVEGEVHPNEVSKSRPADWISGFPPVSDGRLQIIKNNDLEELQELGSGTFGTVYHGKWRGTDVAIKRINDRCFAGKPSEQEKMRSDFWNEASKLADLHHPNVVAFYGVVLDGPGGSIATVTEYMVNGSLRTALLKNAKTLDRRKRLIIAMDTAFGMEYLHSKNIVHFDLKSDNLLVNLRDPQRPICKVGDLGLSKVKCQTLISGGVRGTLPWMAPELLNGSSSLVSEKVAL >Sspon.04G0029830-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:79978463:79979365:1 gene:Sspon.04G0029830-2D transcript:Sspon.04G0029830-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RPB15.9.9 [Source:Projected from Arabidopsis thaliana (AT5G09920) UniProtKB/TrEMBL;Acc:A0A178UIQ5] MSGEEEENAAELKIGEEFLKAKCLMNCEVAIILEHKYEQILQHSSESDPSSQVSQVFEKSLQYVKRFSRYKNPDAVRQVRETLSRYGLAEFELCTLGNLCPDTSGEATALVPSLKSGGRFVGDAGDEKIEKMLNDLSLIKKFE >Sspon.03G0003790-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:19121560:19124750:1 gene:Sspon.03G0003790-2B transcript:Sspon.03G0003790-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLFVEKLLVGLFASVVVAIAVSKIRGRKLRLPPGPVPVPIFGNWLQVGDDLNHRNLAALARKFGDIFLLRMGQRNLVVVSSPPLAREVLHTQGVEFGSRTRNVVFDIFTGKGQDMVFTVYGDHWRKMRRIMTVPFFTNKVVQQYRAGWEAEAAAVVDDVRADPAAATEGVVLRRRLQLMMYNNMYRIMFDRRFESMDDPLFLRLRALNGERSRLAQSFEYNYGDFIPILRPFLRGYLRICKEVKETRLKLFKDFFLEERKKLASTKAMDSNGLKCAIDHILEAQQKAIETTLWSIEWAIAELVNHPEIQQKLRQELDTVLGPGHQITEPDTQNLPYLQAVIKETLRLRMAIPLLVPHMNLHDAKLGGYDIPAESKILVNAWYLANNPDSWRRPEEFRPERFLEEEKHVEANGNDFRYLPFGVGRRSCPGIILALPILGITIGRLVQNFELLPPPGQDKLDTTEKGGQFSLHILKHSNIVCKPRTF >Sspon.01G0024450-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1B:28222469:28225545:1 gene:Sspon.01G0024450-2B transcript:Sspon.01G0024450-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding C >Sspon.04G0006220-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:17286548:17287033:-1 gene:Sspon.04G0006220-2P transcript:Sspon.04G0006220-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFPLVCYCNAVPRPVAALFKLLHAVALVFVLVLCFLGLYEFPYDPEDHAPVINGPPRRPRGEAPRPEAVKQRLPLVEFLELVAASSSSSSSGPEPTCRVCLERLEATDEVRRLGNCTHAFHTRCIDRWIDLGEVTCPLCRSHLLPRRRAGLLGMGMPRLG >Sspon.01G0015120-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:44762901:44765127:-1 gene:Sspon.01G0015120-4D transcript:Sspon.01G0015120-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVATEEMAVYCFDTLVAHYTGEQPPPPAFEDGNHPLFVTWKKVANGSEPRLRGCIGTLEPRQIVSGFKDYALTSALRDRRFPPIQSKELPTLECTVSILTDYEIAEDYLDWEVGKHGLIIDFTDPDYNIRRSATYLPEVAGHEGWTHAETIDSLMRKAGYQGTITESLRKKIKVTRYQSTLYTMHYGEYVAYLKKNRGTPPSITGVPAVNGFKLGH >Sspon.04G0022270-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:9696189:9701661:1 gene:Sspon.04G0022270-2C transcript:Sspon.04G0022270-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKSPAADVEAGLLAHLNSAGEVPDSRSFASSLGVSHLELVGVIKSLSAFRIVESKDIIKETWVLTEEAKGYAAKGSPEAQLVAAIPPEGASKDALKAKLGDAFDVGMKAAARNKWIGFEKGNKDLVLRKVESVKDELQEQLRRLENGEAVPDKVIDDLKRRKLITKEKSIWHSLKKGPEFVLKRKTLATDVTTEHLRSGDWKDLEFKDYNYGAQGQPIAKGYVQPLMEVREAIENIFIMMGFTEMPTNNFVESSFWNFDALFQPQQHPARDSHDTFFLKAPATTQQLPEDYLEKVKQVHQSGGYGSKGYGYDWKRDEAEKNLLRTHTTAVSTRMLYKLAQEKPFAPKRYYSIDRVFRNEAVDRTHLAEFHQIEGLICDYGLTLGDLIGVLEDFFSSLGMSKLRFKPAYNPYTEPSMEIFSYHDGLKKWVEVGNSGMFRPEMLLPMGLPEGVNVIAWGLSLERPTMILYGIDNIRDLFGPKVDFNLIKSNPLCRLGL >Sspon.08G0013220-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:63816705:63819550:1 gene:Sspon.08G0013220-2B transcript:Sspon.08G0013220-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQDVVAHVYDVATAGSDTTVLHINRFFKDAIGLGGIFHTAIQVYGDEEWSFGYCDRGTGVFSCPPCKNPMYTYRESIVLGKTNCCILKVNQILRELSWEWPGQSYELLSRNCNHFCNTFCEKLEVPKLPGWVNRFANAGDAALEVAETTAVKLKQAKKEIVTACKAASTFLTGTSSSTSSNAEDTGGSTSSGNSLFEGAWIRSIVGMTMKPSKSLVCGDSSDSDSSESESESDGDRPNSDENAEQQAKDATQEQGKKNENNGPQGHS >Sspon.02G0007680-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:4013496:4016821:1 gene:Sspon.02G0007680-2B transcript:Sspon.02G0007680-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSVPSPPSSSPRAAAAPGPCCRFDALRRACTGHAAVAARAAGWALGALLTCVFAVVGSLVGIFIGAFMGMSTESGMFRGAGVGAVSGAVFSIEAVESCIEIWRSSHSGKYSILFVLDIISSLFSGRIVWEKVSPALQRAVQSQMSLMSTPFIDNNDLFETGSTGGMSRDLIDRIPKMRFSAASNCDQETDSSCCSVCLQKAGVFNHHPLPLIFRWRNPCEKSKRGAKASP >Sspon.04G0013210-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:46929890:46930396:-1 gene:Sspon.04G0013210-1A transcript:Sspon.04G0013210-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGAGRVIPTSLLLAVLITATSLRVVVVATANAPASGGGSVQAGGGGAKNRGISASRFFLATAAAATMRKTGRDRACGRGYPAGACSGGAGGGAAGEWRRLKCCGGLCTDVLASASNCGACGKRCAFGRLCCGGRCVAVAYDVENCGACGRACAHGLPCTYGMCGYA >Sspon.01G0030370-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:104033556:104038106:-1 gene:Sspon.01G0030370-1P transcript:Sspon.01G0030370-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRHRSVHRRGRRYDPIAGARSPCAEEHVKSVQEPQAAITTTSSVSRILARLEFEWGRTIYIRQDLQGTFHTYPHLGGPYQSLQEADQAIDRHLHDLLDPKMFRQQNDTSTLDLVIRQDLYWPDGTSKRSKSHANQKARDQMRQMAQALVDKYNEDHHLLGDLAYELKDVLNFNSISEHQSCYYHLNFSTNSKAANDSESSTSNIFFAEVKLFKKGEHRELLSCCFCTVDSIINGQPCKACNNPDKFDIKHPDSSVELAAGHLDPRNQFSGFMREIDFHDSEDEDRYLRDAEAELRRIYRGLDDPSVIERLFRLPPGVTIVQD >Sspon.06G0027600-2D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6D:87881873:87882199:-1 gene:Sspon.06G0027600-2D transcript:Sspon.06G0027600-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALAALFAVGLCGNTVTLTVGKGTTSTYTHLVLVANLPIHELAIREKGAAEFLDDMKESPAMTFTLDSKAPLKAPLSVRFAVKGGGYRNKDEIFPAGLKPGSVINTNI >Sspon.05G0022690-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:3817527:3821352:1 gene:Sspon.05G0022690-1B transcript:Sspon.05G0022690-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTATASCCSSPVAWARPRAAPASASAAALRVGRCPAVAVAVAAPDVAFHPDVSRAADSLQAEFRAVDRAIALNSSRVAAAFRRARVAPHHFGGSTGYGHDDGGGREALDSVFADIVGAEAAIVRPQVPPPHTTLTGFLSSLMNQLIDHCSTDAQFFSGTHAIACALFALLRPGHELLAVAGPPYDTLEEVIGIRGSANVGSLKDFGVAYREVPLAADGGLDWDALACSIRPETGCAFIQRSCGYSWRKSLSMQNPNCMVMVDNCYGEFVETSEPAMVGADLIAGSLIKNPGGTIAPCGGYVAGKKHLVEAAAARLSAPGLGVEFGSTPGHVMRSLFQGLFLAPQTVGEAVKGGLLIAEVMSAKGYRVQPLPRVPRHDIVQAVELGSRERLIAFCEVVQQTCPVGSFIKPTAGDTPGYASEVIFADGTFIDGSTSELSCDGPLRDPYAIFCQGGTHWTQWALVLSDVLTVCE >Sspon.07G0027060-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7B:58805653:58805976:1 gene:Sspon.07G0027060-1B transcript:Sspon.07G0027060-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSSSQSQSSVGGGAGAGARPATVGPRGTAAAAAGMRRRRATSSAGGGGFSGGGGSNMLRFYTDEAPGLRLSPTMVLVMSLCFIGFVTALHIFGKLYRSRTAAASA >Sspon.02G0014780-4P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6B:17589623:17591412:-1 gene:Sspon.02G0014780-4P transcript:Sspon.02G0014780-4P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQRLTYRKRHSYATKSNQTRVVKTPGGKLVYQYTKKRASGPKCPVTGKKIQGIPHLRPAEYKRSRLSRNRRTVNRPYGGVLSGIAVRERIIRAFLVEEQKIVKKVLKIQKTKDKTAAK >Sspon.01G0010780-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:30122312:30124270:1 gene:Sspon.01G0010780-2C transcript:Sspon.01G0010780-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATLFSTSLSPQFLSLSAKPTTAAPSAAAFPSGLPQLHALSAAAAGSRPLEPVRAAAAAAVTEELEAEGQPGGEEEFSEDLRVFVGNLPFSVDSAQLAGLFEQAGSVEMVEVIYDKLTGRSRGFGFVTMSSVEEVEAAVEQFNGYVLDGRSLRVNSGPPPPRDQSSPRVSRGDANRVYVGNLSWGVDNSALANLFSGQWQGEVLEARIVYDRESGRSRGFGFVTYGSAEEVENAISNLDGADLDGRQIRVTLAESKPPRRQY >Sspon.05G0005880-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:10565700:10566964:-1 gene:Sspon.05G0005880-3C transcript:Sspon.05G0005880-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLFDALSSRFKTVNKIHQRSSTCTVCGDNSNLTQDTFVMFDYDSFTQSTKSSKPAAIQNPLPKNARITCREYKRVLDSGRAHLLLDVRPVHHFQIASIANSVNIPLHELQERLPRLRDALSEVADVSHGKHRPLYFVCQSGDDSLAAVGILRENGFPYASAIAGGLECWAREVDPGFPVYW >Sspon.08G0006860-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:21208496:21212151:-1 gene:Sspon.08G0006860-1A transcript:Sspon.08G0006860-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPPPQTLLLLFLLLGSLAPAVTAVAFSGLDAFLASAAARDPSAGNDTFAALPAALRRALSARTSLLPSFLLNLSATVPVHVRLAGSSFPASSGRSLPSLVNAAVSSAHFLSSRRPHRLAVSHTLHLDVTGPVAASKLASSAGAAVRAHLDKSPAPFHNNALSGVPYSLVDDLVAEDYRALAGSGPAEAVYIYLLDLGKQPRQYAYTAASSGTDASSPGYSRCLGPVWAGKDRYIWIDLGAGPVNYGPALSGDGVLPVFHPLATLHGRPKSEKALLADLASLVLSAYKSLLVPSLRIPVHYENSLLIRFIHIHGDRKEEDGLDFRVIEQSIRDGDLPYGGQSLKFDMHTVKYSECPICSFAIARSTNSFTSRFLFENYTLIVNEYLDSKRLRQVLSDSADEIHRLAGVHENYEHDKVVPVFVFDLDYDKLLPLDRYHQAVAFGDMVVAVRTRSSQTVSDYTCNGRHVLTMTRNLERPIIGSVLQSMWGVSPTHLSWSPEHNATVVDYTWSTGHTPFGPFSETKSLSFVQKDAARRNVLLTTLNYTITSTIDILESMAAHGGEKILVRKKRHVEFIQRWNLLTYKLEKVVSAMSRLDYDKAMYFLRSSDHDLYEIHSLVYQASQELEASLL >Sspon.07G0013600-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:48574251:48574613:-1 gene:Sspon.07G0013600-4D transcript:Sspon.07G0013600-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SMAGRGSMIGTATASSPSPSLTATRKMPPNNTVAMPPYTGTPHPKSTRWSPRCRLSDTTKRFAAASMDGRPRSTRRSMVDRTYSAVTAAFASRTISTVSPSELACSSPAVVSTAEGTIAFP >Sspon.06G0020800-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:11128939:11129493:-1 gene:Sspon.06G0020800-1B transcript:Sspon.06G0020800-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DMMLRRVASAPVPDWLEALLATRFFLACAAHPASPRNECNMFCLDCRGAPPPAFCYYCRAHRHSSHRVIQVRGVSSSSSSPPLLPPVVVDWQCGRLRGLIRPAFTLQIRRSSYHDVVRVSEVEDVLDISGVQTYVINSARVLFLNERPQPRGAGAAAGKAAASPYNCEICGRALLDPFRFCSLGC >Sspon.06G0001640-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:3741587:3746334:-1 gene:Sspon.06G0001640-3C transcript:Sspon.06G0001640-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLYSPALCLRRSSTHSHPNQFQGGFTQSMASWKCPHSQSSLYHPKSGLVDFSLSKNVKSSQPQSIKYFVSLMGQQFRCGLSTREGSLSVKLDIPSHEKSRIGWNWKNMHHKIGGAAGGLCFGFSVTGVASAEVPVIRIKDNAETSSSSASSSHGKKVYTDYSVTGIPGDGRCLFRSVVHGACIRSGKPIPNEDLQRKLADELRAMVADEFVKRREETEWFVEGDFDTYVSHIREPHVWGGEPELFMASHVLQMPITVYMCDEDAGGLIAIAEYGQQYGKEDPIQVLYHGFGHYDALQIPAKVGSKRNCRHGASLRS >Sspon.06G0009850-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:43598665:43604307:-1 gene:Sspon.06G0009850-2C transcript:Sspon.06G0009850-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPARRMPAMIGRNGMAFGSSSALSLSQADLLDSHHLQQAFQQQLFDQIPTTAVDSSDNIIHGRSDTLVDEFESKSCSENPDGTSGDDGQEDPNQRPNKKKRYHRHTQHQIQEMEAFFKECPHPDDKQRKELSRELGLEPLQNQHERQENAQLRAENDKLRAENMRYKEAFGTASCPSCGGPAALGEMSFDEHHLRLENARLRDEIDRISGIAAKHVGKPMVSFPVLSSPLAAAAARSPLDLAGAYGVQPAGLGADHLFGVGAGAGDLLRSVSTGQLDADKPMIVELAVAAMDELLRMARLDAPLWGGGVAGVQLDEEEYGRMFPGGLGPRQYGLRPEASRDNAVVIMTRDSLVEILMDTMSMEFQVPSPLVPTRESYFVRYCKNNPDGTWAVVDVSLDSLRPSPVMKCRRRPSGCLIQEMPNGYSKVIWVEHVEVDDRSVHNLYRPLVNSGLAFGAKRWVGTLDRQCERFASAMASNIPNGDLGVITSIEGRKSMLKLAERMVASFCGGVTASAAHQWTTLSGSGAEDVRVMTRKSVDDPGRPPGIVLNAATSFWLPVPPKRVFDFLRDETSRSEWDILSNGGAVQEMAHIANGRDHGNCVSLLRVNSANSNQSNMLILQESCTDASGSYVVYAPVDVVAMNVVLNGGDPDYVALLPSGFAILPDGPPGMAPHGEGAALEAGGGSLLTVAFQILVDSVPTAKLSLGSVATVNSLIACTVERIKAAVCAEGNPQ >Sspon.04G0031590-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:14543896:14557430:-1 gene:Sspon.04G0031590-1C transcript:Sspon.04G0031590-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHRLLRDAQADGWERSDFPIICESCLGDNPYVRMARAGIDYDSSYGKARPNDTILKLQRTAPYYKRNRAHVCSFFVRGECTRGAECPYRHEMPETGELSQQNIKDRYYGVNDPVALKLLSKAGEMPSLTPPDDETIRTLYIGGLDSRITEQDLRDQFYAHGEIESIRMVLQRAIAFVTYTTREGAEKAAEELANKLVIKGVRLKLMWGKPQAPKPEEDESGRQGQVSHGGLLPRAVISQQQSVDQPQPPGMEGQQQQAAPASYYFNIPAPPPAERTLYPSMDPQRMGAIVKSQDGEGKPGPQQAGQAQPSSSSAQGGYPAPPPYYHGRDARYKKTEICQTCCKLKNVCQARAGIDYDSSYGKARPNDTILKLQRTAPYYKRNRAHVCSFFVRGECTRGAECPYRHEMPETGELSQQNIKDRYYGVNDPVALKLLSKAGEMPSLTPPDDETIRTLYIGGLDSRITEQDLRDQFYAHGEIESIRMVLQRAIAFVTYTTREGAEKAAEELANKLVIKGVRLKLMWGKPQAPKPEEDESGRQGQVSHGGLLPRAVISQQQSGDQPQPPGMEGQQQQAAPASYYFNIPAPPAAERTLYPSMDPQRMGAIVKSQDGEGKPGPQQAGQAQPSSSSAQGGYPAPPPYYHGQYPPYYPPPPPYGGYMPPPRMPYPPQYPPYQPMLAQPAQAQASSSQQPPQAGAGQQPPHGPPAQQQPQQPIQN >Sspon.02G0009060-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:28445791:28449222:1 gene:Sspon.02G0009060-2C transcript:Sspon.02G0009060-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCCQSRLERLEAVSRCKARRRYTKHLVQARRDMAAAHALYLRALRATGASLLHFASAESDHPHPHSSAAHHHHGSPPSPPSPPPPATPPPPPPPPPPPLSPSPTTRSWTTNSSSISASAILPPPPPPPMPSSWDFWDPFAPSSSRSATEDADWDDAATTVVDAPIAAAPPVVTAAAAVAAPPSIVTATTTSTTPSELTVVAVPRGGAGKKDLAEIATELDEYFLKAADAGARVVALLEAPVCEPPEPTTTNSSLPGMPFSSTNQLNWKVLSYSKSLKAMGWTWGGGGGYGKGNNGLTRFGRGDGNGGGGGMLSHSSTVEKLYAWEKKLFLEVKSYEGYKQEHDKKVSLLRKQEVKGVDYLKMEKNKMEIESLESKMLVANQSIETTTSEIIRLRESELFPQLLELVAGLMSMWRGMYECHQVQTHIVQQLEYLNNARNTNPTSNVHRQAALQLEIEVDRWYSAFCSLVKSQRDYVYSLTGWLRLSLFCHHDPLTKAQNSDIYSLCEEWQLAIDRIPDKVASEGIKTLLTVIHAVVIQQAEEQKQKKRSESAFKEFEKKAEELRSLESKYGSYIGAEGYREMSRKSPVADKRAKVETLRSRADEEKSKYEKSIGVTRAMTLNNLQTGFPNVFQAMTGFASVCMEAFESVYNFKRSSDRILD >Sspon.08G0013020-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:55303581:55305579:1 gene:Sspon.08G0013020-1A transcript:Sspon.08G0013020-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGFQLLLIVALLAGELHCARTAAPPADAPETAPDSPEPPAADQQTPPQAPGPTPPLPPPPPRRRGSPHRRPPAPPKQDPGPPAAPNQDPAPPDQKDPAPPRLVVPPQDPAGVAAPTSPGMINRTTGCTTLLALGDSTVDPGNNNHLPTTARANFLPYGLNFYGRRPTGRFTNGRLATDMLAEKLGISRIIPGFFDPNLRLAQLRRGVSFASGGSGYDDSTANRINVMSFSAQLRNLFRYKLLIRTLLGPRRAERLVNRAAFVISSGTNDLLSVYLATNRSNAISMELYENHLIAHVANYTQAMIMLGGRRFIFVGLPPMGCLPIARTLVGTGSDRCDETLNQLATSFNSKLIQLLNFINFQHQIRTSYIDTYTTIHSATVNPNAFGMQQFSFSYVPQAQEVYSLTEVSRGCCGSGVIEVGQTCRGRRTCGDPSKYLYWDAVHPTEMTNQVIATAMMDSIRELYS >Sspon.08G0019980-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:16334067:16339229:1 gene:Sspon.08G0019980-1B transcript:Sspon.08G0019980-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VTAPSPLRLASSGALPTSLPSSSASSSSPSSRSGVEARVRGRHGRRDKSGGSSSGCWSHPEAQAEEWGKRTPSLHMDMATLMITGVLHRDITREILEIRPPVIARENNVQPETTARLQRKYSRIGDDYRSLSQVTEALAQAGLESSNLIVGIDFTKSNEWTGKISFNRHCLHDIGNTPNPYEQAISIIGRTLSAFDEDNLIPCFGFGDASTHDQEVFSFYPENRPCNGFEEALDRYREIVPTLRLAGPTSFAPIIETAIGIVDSTGGQYHVLLIIADGQVTRSVDTQSGQLSPQERDTIDAIVRASQFPLSIVLVGVGDGPWDMMHQFDDNIPARSFDNFQFVNFTEIMSKSIAADRKEAEFALSALMEIPTQYKATLDLQLLGRRQRITPRIALPPPTRTAYSRSTSFSQQSGVYSRSSSFDQQTSGSHQRSESLKQQQPAAPKRPDTYASERALEDRIMCPICMYKSKDLAFGCGHQTCYECGRNLERCPLCQQNITTRIRLY >Sspon.01G0021900-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:80427619:80428949:1 gene:Sspon.01G0021900-1A transcript:Sspon.01G0021900-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRRCPLLAAVLLLLLLHAAGGTDTDTVTPAAPLEGNRTLVSAGRAKYVLGFFAPDPDDTAGRAYLGIWFNGIPERTVVWVANRGSPVLGGVRDAAQLRVLANGSLAIVVDDDTDTDQHHQDAVVWATPPPPPGTTTASSGGGGNATAYAQLLENGNLVLRVPGAGVVWQSFDYPTDTLLPGMKLGIDFRTGLDRRMTSWRAAGTRRRGTRRRGELFLYRRSARTYGSGPWNGYQFTGVPNLKSNSLLTFRFVSNADEAYYSYGVRRRAAHAFVLNSSGQIQRLMWIDMTRSWSVFWSYPLDECDGYRACGPYGVCSVERNPVCGCVPGFDPRFPAEWALRDGSGGCRRRTELNCTGDGFATLTNMKLPESANATVDMSLGLDECRRTCLRNCACRAYASANVSSPGATGCFMWTGDLLDMRQFGNGGQNLFVRLAASDL >Sspon.06G0017980-1P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6B:57558392:57559927:-1 gene:Sspon.06G0017980-1P transcript:Sspon.06G0017980-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLSLHINNTKKAPHFDGTNYAYWKVKMTAHLKSINREVWKVTETKFEVANPEAPTPVEEKKLQYNDIAISALHEALDDKTFEQVKNIEVAHDAWAKLEETFEGTEGTKTAKAYILQEKFSSFKMQDDESVPEMFHRLQVIVNELKALGEEVKDNQFSMKFLRSLPKRFDTLITVLVRTTLKDSTPQQIFQEVMTDDSYREDDEKDELVKKKKKENEKKDDEKKKSVAFKATTSKGKSKIESSSDEDSSSCDSDDIDEKMALFVKQFGKFMKKKGYRARRRKNSSKKNEHNMRCFRCHSKDHLIAKCPYDSDDEDAIKKERKKQKKKQEKKESSHKKKNDSHVATWDSDDSSSDDEDDNKSKKKGHASIAIQEKVFLFDTPSCFMAKAAKVSSDDESDHDNASDSDSDEEEFTKDELITMLEDCTQHYKESRKECKGLLKEKKNLMQELDELRASYESLKVDHKKLQKSHTKLEEAHSSLVEKCENMPTNVEKAKTCNIGISCDIIDEFS >Sspon.01G0011840-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1B:39095045:39095500:-1 gene:Sspon.01G0011840-2B transcript:Sspon.01G0011840-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIVGAVTIAAATAAVLSLASSESLASDEVRSGATAAAEAGSPLPATSHECAVCLSELPAGAGSQPAVRALPACGHEFHADCIGRWLPLRPECPLCRRPVPIADADAGGQQGQQPAAAAAPAWARPATTIACGFGDGRVVWTRSPSASARQ >Sspon.06G0027460-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:85514977:85518914:1 gene:Sspon.06G0027460-1B transcript:Sspon.06G0027460-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLTLDLASPVAVTPDPASTASITPDLRGRERSPDGDIIDCVHISKQPAFDHPLLKNHTIQVHLFTAPFTFWFKCCACYAYLIVMMPSCRPEGLNNKSNIAPNPFTQTWHQNGKCPENTIPIRRTKEEDVLRVSSIERYGKKSPWSIPNRFSIDDPDTVNVLRGHQHAIASAPEDDNYYGTQATFNLWEPIVERDEGFSLTQFWISSGSYSNNDLNTIEAGWQVYPGLYKDRHTRLFIYWTRDAYNKTGCYNLLCSGFIQTSNQIAIGASNSYLSPVSIYGGSQYDITILVWKDPKEGNWWLQVGGHDLGYWPTLIFSKLAGSAASVEWGGEVASSPDAGQTSTQMGSGHFPEEGFSKASYVKNIQLVDSTNNLKSPTGVNLLAKWPKCYNVQNGTSADWGTYIFYGGPGKNPNCQ >Sspon.01G0043100-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:71464035:71466591:-1 gene:Sspon.01G0043100-1B transcript:Sspon.01G0043100-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MYALDPTLRVDLLGLSLSCHSVICCRVSPLQKAQVTSLVRKGAQKITLSIGDGANDVSMIQAAHVGIGISGQEGMQAVMASDFAIAQFRFLTDLLLVHGRWSYLRLCKVITYFFYKNLTFTLTQFWFTFQTGFSGQRFYDDWFQSLYNVIFTALPVIIVGLFDKLQVGMVTVHLARFLAYGTLALWPLLVSWIQRWLFPYDYQIIQEQHKDEPHEYSRVQLPETSHLSPEEARSYMISMLPRESSKHTGFAFDSPGYESFFASQQGVGVPHKPWDVARRASMKQQRAGKS >Sspon.04G0014530-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:60680832:60683143:1 gene:Sspon.04G0014530-4D transcript:Sspon.04G0014530-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRPARCYRQIKNKPYPKSRYCRGVPDPKIRIYDVGMKKKGVDEFPFCVHLVSWEKENVSSEALEAARIACNKYMTKSAGKDAFHLRVRVHPFHVLRINKMLSCAGADRLQTGMRGAFGKPQGTCARVDIGQVLLSVRCKESNANHAEEALRRAKFKFPGRQKIIRSRKWGFTKFTRAEYLKYKSEGRIAPDGVNAKLLGVHGPLSKRAPGKAFLAENIQASA >Sspon.06G0026660-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:80382824:80385984:-1 gene:Sspon.06G0026660-1B transcript:Sspon.06G0026660-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTGRSTNGRLMSMLHLAFLKFCCWLVRLPAARAGRSRSNMLAGGRSKEERWSLAGATALVTGGSKGIGCAM >Sspon.06G0027930-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:89960792:89964149:1 gene:Sspon.06G0027930-1B transcript:Sspon.06G0027930-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFRCCWRLTSTSLALSSSRSTYLPEGVQNFTVQIFVHLLGWRQLQIYLPRLLRSPPPATNLDASRWALFPASVTARRQSRSDSPSPASFTAITAQSDNLRLASLKVGYSRIPPVTVDSQLTDCVGSELRFGFGFSTAYGTLRPLFRIQISEKLVQFFELQQKKHFPHVFEPAIRQEEPELC >Sspon.05G0012750-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:5A:39981172:39981465:-1 gene:Sspon.05G0012750-1A transcript:Sspon.05G0012750-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTWPLPMETAFTPSCSRTAVRIDCGFEEEEVQNNGKERGVWTGEERQRGESLSSRHQVRNRGEYEKTRVSRVGFALLYLGEISVGRFTSYDGLEI >Sspon.03G0032150-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:35280605:35282072:-1 gene:Sspon.03G0032150-1B transcript:Sspon.03G0032150-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTPRTPTPAPERAPPPVPIPPPPVEMPPPPSPSSSQPGDEYHTPAPSLANGSPREEASSFPSDGREGAPAPPKSPQLSPMRLAAPRLLPPPSPSPRAGQNGQEEEEGGANKAAAAAPGREPLRLATKDLARSPSSQRSLATTNSSPSPSPSPTPPSPLTPAAAPVVNSSSGNNNRNGQSTPKRAAETKLQLSLSSPAPAAATAAIAAQHFDPVEEAVTSPLHLGGQTQQQQEQHAAVAAENGGSVPPDVAAAVAVGERRELSVTLRLGTAVLSLAAFSVIASARTSGWAGDYYAHHLQYRSALAYLLMSASSAAASRNDLWVSRFGTDAFNRKITSALWLSFI >Sspon.02G0013800-3D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2D:29897006:29897923:-1 gene:Sspon.02G0013800-3D transcript:Sspon.02G0013800-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPHKIETGHQDVVHDVAMDYYGKRIATASSDNTIKIVGVSGTSHQQLATLSGHQGPVWQVAWAHPKFGSMLASCGYDGRVIIWKEGGRPDEWVQSHTFTEHKSSVNSIAWAPHELGLCLACGSSDGNISVFAARADGVWDTTRIDQAHPVGVTSVSWAPAMAPGALITAGPSGQFEYVQKLASGGCDNTVKVWKLQNGSWRMDCFPALQMHKDWVRDVAWAPNLGLPKSTIASASQDGTVVIWTEAKEGEQWVGRILHDFKTPVWRLSWSLTGNILAASDGNNNVTLWKEAVDGEWQQVTTVEP >Sspon.03G0034730-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:76121535:76124564:-1 gene:Sspon.03G0034730-1B transcript:Sspon.03G0034730-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLAIQSYVVYMGSPSGGGNGGVSDPEAVQAAHLQMLSSIVPSDEQGRVALTQSYHHAFEGFAAALTEKEAAALSGHERVVSVFKDRALELHTTRSWDFLEAQSGLQSGRLGQRASGDVIIGFVDTGVWPESPSFNDAGMRDVPARWRGVCVEGPDFKKSNCNKKLIGARYYGVQPESSAPNASSSAVATPAATGSPRDTVGHGTHTASTAAGAVVSDADYYGLARGAAKGGAPSSRVAVYRACSLGGCSTSAVLKAIDDAVGDGVDVISISIGMSSVFQSDFLTDPIALGALHAHQRGVLVVCSGGNDGPNPYTVVNSAPWILTVAASSIDRSFQSSIALGNGDVVKGVAINFSNHSLSGEQYPLVFGAEVAAHYAPVAEASNCYPGSLDAQKVAGKIVVCVSTDPMVSRRVKKLVAEGSGARGLVLIDDAEKDVPFVAGGFALSQVGTDAGAQILEYINSTNQPSREERQTFLFYTGTAGGVWLTVDLFSCWFRNPTAVILPTEDVGDFKPAPVVASFSGRGPGQTESILKPDLMAPGVSILAATIPSTDSEDVPPGKKPSAYAIKSGTSMACPHVAGAAAFVKSAHPGWTPSMIRSALMTTATTTNNLGKPLASSTGAAATGHDMGAGEMSPLRALSPGLVFDTTTQDYLNFLCYYGYKEEHVRKISGAARFSCPAGAPSPDLIASAVNYPSISVPRLQRGKPATVARTAMNVGPSNATYEATVDAPAGLTVRVSPDRLVFSRRWTTARYQVSFDVAAGAGVSKGYVHGAVTWSDGAHSVRTPFAVNVL >Sspon.02G0054090-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2C:110239374:110240079:-1 gene:Sspon.02G0054090-1C transcript:Sspon.02G0054090-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GQISTDLCHLRYLNIIDLSHNRLSGSLPPCIGGISFGYPTDDYDFWSRDYGMDINVGVGQPDMDSSHLPLFSYAADYIRQGFTFSTKGNVYTYSRSFFNLMFGIDLSANMLSGEIPREIGNLSHVKSLNLSHNFFIGQIPATIANMSAIESLDFSHNKLSGPIPWQMSQMSSLEVFSVAYNNLTGCIPNLGQFSSFSGDSYLGNTNLHNLSEGNKCSLTAGPMEVGDVDDASDDL >Sspon.03G0010790-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:29425465:29428412:-1 gene:Sspon.03G0010790-1A transcript:Sspon.03G0010790-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding PTMGASGVTTTLLGAAVGDAQPAPFPWKLVLLCSALAWCAVRALEWAWWRPRRLARVLRSQGLRGTAYRSLAGDAPLTERLNREARSRPLPLGCHDVAPRAMPLFHHTMKVTGKTSITWFGPVPRVTITKPELVREVLSNKFGHYEKLKFRKLQRMLHNGLGSHEGEKWAKHRRIINPAFHLEKFQRMLPAFAACCTDLVKRWEGLVAIGQPCEVDVWPEMQNLTGDVISRAAFGSSYLEGRRIFQLQGEQVQLVVQAMHKLHIPGRRYLPTKTNRRMKQIASEIEALLKGIIAKRENALRTGSSAASDDLLGLLLESNMEHCRGDGNNSKAGITTDDVIGECKLFYFAGMETTSVLLTWTMIVLSMHPEWQDRAREEVLHVFGDKTPDYDGLSRLMQVTMVLYEVLRLYTPLTAVQRQTYKPMELGGVRYPAGVMLMLPLLCIHHDKDVWGPDASEFRPQRFAEGISKASRDAPAFFPFGWGPRTCIGQSFALLEAKMGLSMILQRFAFHLSPAYTHAPFPHGMLQPEHGAQVMLRPL >Sspon.07G0016700-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:83135595:83138067:-1 gene:Sspon.07G0016700-4D transcript:Sspon.07G0016700-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRVSPSPPAAAAAAANQLAGAGVTPAPVRVAAPRGGVSPSAAAACRAAGKGKEVLSGVVFQPFEEIKGELALVPQTPDKSLARHKFVDDCEAALNEQINVEYNASYAYHSLFAYFDRDNVALKGFAKFFKESSDEEREHAEKLMEYQNKRGGRVRLQSIVTPLTEFDHPEKGDALYAMELALALEKLVNEKLHNLHGVATRCNDPQLMDFIESEFLEEQVEAINKISKYVAQLRRVGKGHGVWHFDQMLLEEEA >Sspon.04G0011830-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:37264957:37267760:-1 gene:Sspon.04G0011830-1A transcript:Sspon.04G0011830-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVQVAATEEPRDPFLPLRWESTGDQWWYATPIDWAAASGHYDVVRELLRLDPNLLVKLTSLRRIRRLESVWDDDARLRDAATNRAAVARRLLLDCEKPADKHHHLPRGGGDANRLLRAGYGGWLLYTAAAAGDERFVRELLAAQPLLVFGEGEYGVTDVLYAAARSGRPEVFRLLFDAVLSAASCPVGGEGDEFRREMMCRAVHAAARGGSLDVLRDLLRGCSDAAAYRDAQGSTILHSAAAKGQVEVVKDLIASFDIVNSIDDQGNTALHIAAFRGHLRVVEALITASSSLISATNEAGDTFLHMALTGFGTPEFRRLDRQMELIRQLVGGAIVDISSTINAQNYDGKTILHLAVVGNLHPDLVEHLMSVPSIDLNICDNDGMTPLDLLRKQPRTTSSEILIKQLILVGGFTNSRDHETRSVIASQIKMHSIVGSPGTSFKISDAEIFLDAGIDVPGISERAVSFSSIIGRVDADILRPKLKKLNSFQDAAKHIKVLLRWPHRKWKKSSSGQKDLDDDASSVDSAKTWSHEETPTPLRQRYSRISSLFNKRTYAGKTSPSEAMQKSGVVQPDSIPASTSWSSSSLVDKIEAAHLDKDQSPYVSRLIRHTPKKSGSLNSRLMNQSSRLRA >Sspon.02G0023240-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:81465790:81469755:1 gene:Sspon.02G0023240-3C transcript:Sspon.02G0023240-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G10420) UniProtKB/TrEMBL;Acc:F4J3R7] MLRALNPSPCPTPLRARPACRASPRRRARGSWARPRAAAVPQQQQPPVRRPSGDRLCAPSRGAAQLPAPDVSAAAAAPGARGELEAFLEVVPARMRRGLARHQEVRDLVEVVMDLGRRPIARFPSGDWVISDQVVTADDLRQAVAKVGDFSEDNRSGINHSLHRISAIRNRKAQIIGLTCRVGRAISGSAEMIRDLVVSGGSILVIGPPGVGKTTLIREIARILADEGKKRVIIVDTSNEIGGDGDVPHSGIGRARRMQVPKVTMQHNVMIEAVENHMPEVIVIDEIGTELEAMAASTIAQRGVQLVGTAHGVTIESIIKNPCLQMLVGGIESVTLGDEEAKKRKVQKTILERKGPPTFSCAVEMISKTECRVHHKLDATVDAILAGKPPKFEARKMHNKSMGSEMPLVIPDREYEIESLPLYQEDMVTKSISSEGNLSEDFAASKQTKIKSMPSDDNFGDDFVYTRKAKVKKSMPGKSLVRVYTYQISEADVLQVATVMGFDDELDVTDDIGAAAVILASSSEMKQNPWIHNVAKYHKLPIFVVKTNTMAQIVKAIRMIVGRDNRSSSKQPKVMEGEIEIEDDAPIRKPSLEEIDALEEARLAIEYIVIPGGEPVELLPRCSEIVARQLELVESYQLLAETFGSDSNSRLQILPVKITKKGSSKDNGVSKPTKQTGSDLIVSENGGGSSFSRLPFLPK >Sspon.08G0016420-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:59426601:59432388:-1 gene:Sspon.08G0016420-2B transcript:Sspon.08G0016420-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNLGHRENGRQRPDQYKAVHTQWMMPQRQLKDHHSMNLLSLMNEKDSAIRERDHALAEKKAAIAERDMAFAQRDAAMAERNAAIVERDNALAALELARTNGFNMNNGNGFHQGPPLNGTKNIHHHDQISHVQTSALQLADSPYDHVREMHISEAYPITTAPGNIGKAKKPRKSNSQASPLKRPSGVLRKTKKATGDWKNGGMTGVAGDSARASVMKNEWKDQDLGLNQVAFDESTMPAPACSCTGELHQCYKWGNGGWQSSCCTTNMSMYPLPVMPNRRHARMGGRKMSGGAFTKLLSRLAAEGHDLSIPVDLKDHWAKHGTNRYITIR >Sspon.05G0028190-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:59956298:59957524:-1 gene:Sspon.05G0028190-2C transcript:Sspon.05G0028190-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTRRCSSSSSSSNVPPGLALPCLLLLLLAGVSNGKLQVGFYSNSCPGAESTSGSADPTILPALIRLQFHDCFVRGCDASVLIKGGSNNAEGLRGVEIIEGAKTQLEAQCPGVVSCADIVVLAARDAIAFTGGPSFDVPTGRLDGKVSNLRDADALPDVHDGIDALRSKFRANGLDEKDLVLLTGTRSCMHLAALFLHACTMDARTEHVHTHMHDDAAAHTVGTTACFFLQDRLYNFPLPGGGRGSDPTIPPGFLSELKSRCAPGDFNTRLALDRGSEGVFDTSILRNIRNGFAVIGSDAALYNDTATVDVVDSYSGLLSNFFGPYFRQDFADAMVRMGRIGVVTGRKQGEVRKVCSKFN >Sspon.02G0020140-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:65785055:65789179:1 gene:Sspon.02G0020140-1A transcript:Sspon.02G0020140-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAGIIESDLVLTVSPHYVKELTSGPDKGVELDGVLRTKPLEIGIVNGMDVYEWDPATDKYISVKYDATTVTEARALNKERLQAEVGLPVDSSIPVIVFVGRLEEQKGSDILIAAIPEFVGENVQIIVLGTGKKKMEEELTQLEVKYPNNARGIAKFNVPLAHMMFAGADFIIVPSRFEPCGLIQLQGMRYGVVPICSSTGGLVDTVKEGVTGFHMGSFNVECETVDPADVTAVASTVTRALKQYDTPAFHEMVQNCMAQDLSWKGPAKKWEEVLLGLGVEGSQAGIDGEEIAPLAKENVATP >Sspon.08G0030150-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8D:56258829:56259304:-1 gene:Sspon.08G0030150-1D transcript:Sspon.08G0030150-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding WFVRITSISVELYSVKKASLGLRFISPWPPGVTVPRHLFAVPIYFQAPSTAPLPPRRRRGFKPRPSIIHPYALRSLGSNKSLPQLCLGQKAMLLLFLWREDPRLQTKATQFPASSNGSVTRRYRRRPSVSRKSLEVEDQGQFTRGCLVTKGLSQLRS >Sspon.03G0026020-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:6712453:6716477:1 gene:Sspon.03G0026020-2C transcript:Sspon.03G0026020-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSISGELPGEGGSDGEEEVFINEEDIIHEITIDEEDLPDRDEEDDDVGDGMDEVFTVACSPTDASLVASGGKDDRGFLWRIGSQEGALELTGHKDTVGAVAFSSDGNLLACGSFDGQINVWNTATRTLKGTLEGSGSGFEWLKWHPRGHLIIAGSEDCNVWMWNADHNAILNTFAGHSSTVTCGDFTPDGHGYHSDGLTCLSMTSDSLTVVSGSKDSSVHIVNVNSGQVGVAYSSSWSLFFSHNFSLFDQCLVFFHVVGSLVGHTNSIECVGISPSYGWVATGSMDQKLIIWDLTHQSSRCTCEHDEGVTSLAWLGSSRYVASGCIDGKVRIWDSLSGDCVRELSGHADVVQSLAITADGNTMVSASSDGSARVFDISMFNLIPDSGIRNLGGWV >Sspon.03G0010120-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:32356519:32356929:-1 gene:Sspon.03G0010120-4D transcript:Sspon.03G0010120-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SMAEREGGVVKKGHEEGLKMAVSLLEEFGLPLGLLPLADVIEVGFVRATGYMWIAQRKKVEHQFKLVSKQVSYDVEDHRVRQRQAHQEAQGRQGQGAHAVAPRQRDHRRRPAHGQDPLQEPRRRHQDLPSRGVRRGT >Sspon.03G0043310-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3C:79805515:79805694:1 gene:Sspon.03G0043310-1C transcript:Sspon.03G0043310-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPANKGRWLGMETDVPSCAKPGAGGVAMDERMALCVLPAMLVGPLKEIHLRVRMDVVQP >Sspon.04G0032470-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:27251493:27255383:-1 gene:Sspon.04G0032470-1C transcript:Sspon.04G0032470-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding QGTDRHQGADGERQVPVQGHGAGGRRGRGRLRGHRRRRQGPGCRRRRGRRLHQAHHRPAQEDGRRAARRGRRGQEEGGEEARPRRRLQPVLLPLPAAAGTGRDRDQGADELRQVPVQGHGGGGGHGRRRLRGDRRRRQGQGRRRGRGRRLRQAHQRAAQEGGARAPAAGRRGQEGRQEAAGRRRARLPAAAPDHRRLRPPCRLLVV >Sspon.04G0029140-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:72665337:72666537:1 gene:Sspon.04G0029140-2C transcript:Sspon.04G0029140-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVGVGGAVASLLPQRRRGGTVPWSSAAAFGGQRRRASVAVRASYEAGVGVMATKVGMMTYFEPETGKPVPVTVVGFREGGNVVTQVKTAATDGYDAVQVGYHGVREDKLTRPELGHLGKAGAPPLKHLQEFRLTAVDAFEPGQPLDFADLFKEGDIIDVSGNTIGKGFQGGIKRHNFKRGLMTHGSKSHRQLGSIGAGTTPGRVYKGKKMPGRMGGTKTKIRKLKIVKIDNDLRVLMIKGAVPGKPGNLLRITPAKIVGKNIPKN >Sspon.07G0037290-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7D:52386939:52394673:1 gene:Sspon.07G0037290-1D transcript:Sspon.07G0037290-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHRPSVNGSITTAGWHAGPALMVPSPPLDGTPVRRRWFHHYRQLALQAGIDVYNVSAGPAVPAPGAARGEVEPSSPGILARVTLSPSDAAPPRLPPGCGSLVTAAWAGPWCGALTLIFLLPFWTWNSIAQQFFSAPILRERPQYKTPMIHYRKQRLCRVQFALPSVKYRTLGKELLCRVPHSANKNTRQRNLCRVPDTQQSPALGKDGPQEFGLPLLPPSKAKNASFAQGANFAITGATALDTDFFQKRGLGKTVWNFGSLFTQIQWLRDLKPSLCSSAQGTPDPRMQIPSILGCEECKEFLAKCLFIVGEFGGNDYNAPLFAGKDLKEAYKLMPHVIQGISDGVEQLIAEGAKDLIVPGVMPSGCFPVYLTMYTDPKEGYGSRTGCLKRFNTFSWVHNAMLKRALEKLREKHPGVRIIYGDYFTPIIQFILQPKKFGELLSFLYALPL >Sspon.02G0017210-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:44575256:44576210:1 gene:Sspon.02G0017210-2P transcript:Sspon.02G0017210-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGTISRIRLENFMCHSSLHIELDQHVNFITGQNGSGKSAILTALCVAFGCRAKNTQRAASLKDFIKTGCSYAAITVDINNHGEDAFKPELYGNTIILERRITESTSSTVLKDQH >Sspon.04G0002540-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:8834581:8835311:1 gene:Sspon.04G0002540-4D transcript:Sspon.04G0002540-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGTAVAASQADFAPPQKKKKPFPASPRIASLSPSHPCIDMFTSCSPYINNQASMSPTATATTCRSVRAMKLYVPAGQRSAQDAEQNGQTVAPWSHPKLPLPCRDARADADANALVPPLNFAMVDDGIFRSGLPDAANFRFLLSLNLRSISSPSQPLQLWATLTRDSVVACPYPEENARFLQQNGIELHQFGIEGSKVLPSRQRPS >Sspon.08G0013020-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:64399088:64401286:-1 gene:Sspon.08G0013020-1P transcript:Sspon.08G0013020-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHQIAFGRDSWVSQGTAFCSYTLHIYMGFIMLHLTVLHTHTCIRSSCQPKAWISPRLILIQVYNNTSHEGFPAATHSGIACRGVALRKNSSTTSRCTGDCTRLSRTTSSRSANATAGAWANTSSSTSTSTTAGITTPTTTGTTKAGSRTTGSTKPGSSTTGSEGSGTAKVGCATTGPCWGGSADITWNDQPHYGLYYTPCTGRLNRGPWKQQPSATTARANFLPYGLNFYGRRPTGRFTNGRLATDMLAEKLGISRIIPGFFDPNLRLAQLRRGVSFASGGSGYDDSTANRINVMSFSAQLRNLFRYKLLIRTLLGPRRAERLVNRAAFVISSGTNDLLSVYLATNRSNAISMELYENHLIAHVANYTQAMIMLGGRRFIFVGLPPMGCLPIARTLVGTGSDRCDETLNQLATSFNSKLIQLLNFINFQHQIRTSYIDTYTTIHSATVNPNAFGLTEVSRGCCGSGVIEVGQTCRGRRTCGDPSKYLYWDAVHPTEMTNQVIATAMMDSIRELYS >Sspon.01G0008900-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:27935353:27938943:-1 gene:Sspon.01G0008900-1P transcript:Sspon.01G0008900-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRKVTSNMELDSAVFQVSSPDNRYEAIACSKGKTELIASGPFDQLVLHLEDAKAFQSCSSSGTFKLLLTGDEKGSSWFTKSTLQRFLHIINSSDTSKSVNEVLDEMSQLEETRKFHQSLYVKGNDGPNSSETTKNELLRALDLRLTALKEEILILLNRAVGSNLSTREISDLSAFVQRFGTSEFSWLMRCMLLILGCQPSEVPLQQDSTIEKIDKGENAHKPCDISPQTNPQRPIANNVSPAKLAQIERESSSESEDSSESSDEGEAIVERSRPLVRSASPRRSASPMRRVQIGRSGSRRSTAIAIKSLSYFPPSQRIPLDKDDESSTCNGETDQPPRKSDNNVRRMSVQDAINLFESKQKDQNTDSQNKKAGLFATKSVLRRWSAGMGDALNEKSEGKVSESTSESKSNVASETEKVGAEMKAELDNTPKNFVTPEVEDLTFHANSHDIGVPETESTVCSNICAEQAKFGLEESSDRAMASAEWNRQKEAELNQMLLKMMEVMPGKFAGANVTAAGLSSTNQQKGVSHGQHKEKRDTKVRTEKGTRRPAKEPSTKSLKETAGQNKPTMTSKTGTSAERRISPVPKGHGEIHHLLSCPKK >Sspon.01G0035450-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:12049731:12050805:-1 gene:Sspon.01G0035450-2D transcript:Sspon.01G0035450-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVARTTTAMANRSSCLLVLALAALLLAAAVRVVDGGGTAAAPAPAPSADCTDALLSLVGCLSYVEEGSTVATPDPTCCSGLKDVVHKEVACLCQVFQSGQNFGVSLNMTKALQLPAACKVKTPPFSKCHGTVSSFHAVPCLPFACSLVRGPILRVRAVAVVVNSFGITGSGSNREPDQHSSTLPSAFWRCRPLGNTADLPRCSNCCRDSVRVPSLVRAGLTCHVPSSCAPPYLVPYPSLTTDKRSM >Sspon.07G0025150-2D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7D:32026196:32026495:-1 gene:Sspon.07G0025150-2D transcript:Sspon.07G0025150-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAASRGGTEAAVVLSVIPAFAYKREGGIGGGGDATGWAQCVICLGLVQVGEVVRRLPVCKHLFHVECIDMWLRSHSTCPICRAAVQPNAAGQPEPPPV >Sspon.02G0023810-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:81310793:81315686:1 gene:Sspon.02G0023810-1A transcript:Sspon.02G0023810-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DRCQLIIQRRSPISFSSNNISGSSVIDGSIVQQSPPQDQVQKQRSSSVTSHPMIEASGALHAPKKSRIDFRQDELQQQLIQQLLHGQSSLHLQGQQNPQLQALIQQHKLAQLQQRQQQLLQPFAQIQPSQIGISRQPQLRPPLAQPGIAGPIRTPVDTGLCSRRLMQYLYHKRHRPEVNPITYWRKLVEEYFAPRARERWCVSSYDKRANASVSTPQSAQVTWRCDICNTHGGKGYGSTYEVLPRLCQIRFDHGVIDEYLFLDMPNEFRLPNGLMLLEHTKVVQKCVYEHQHVTHEGHLRIIFTPELKVMSWEFCSRRHEEYITRRVLAPQVNNLLQVAQKYQAAASESGPAGVSNNDAQTICNMFVIASQQLVKNLEQHTLNEHGLSKRYVRCLQVSEVVNHMKDLIEFTSKNNLGPIGSLKNYPKPNVPKLPGQNSHEAKQITAAAGLPNDQNNTKVMGVKQEISAVGNSTPQNAAALNSYQNLLRSSSANQSLLQQEASSVFKGPAAMHNGLQLEASRSFRGPNQVQLAQFQHSGSFQHPLPQHNNLQGLGVQNNHHGLGVSPQYQQHVLNQLLQEVKNTNGRTLPQQPPPDTPNANSGITSGGANTNSAATGEQAQGISNSAVKGAATVGTGPSNVINNSTASIVPSRSNSFKSVSSNPAVATAATAARGNAATSKAEPFHEFDDFEQLLTNEL >Sspon.01G0015540-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:46763737:46777327:1 gene:Sspon.01G0015540-3D transcript:Sspon.01G0015540-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSSSSSSSVVYEGWMVRYGRRKIGRSFIHTRYFVLEPRMLSYYKRKPQHKADKVGGKLPIKSLPIDGNCRVEDRGLKMHHGHMLYVLCVYNKREKHNRITMAAFNIQEALIWKEKIEMVIDQRQGVAPSDGNKAFSTSQQKASLENGRKSSSSDRESQYSHEEEEEEEEEEEENKQSLLRRTTIGNGPPESLYDWTRENDLGISNQGSPDQVFSRGHWRLARSCSRAMKAVGVVEASCEAIFQLVMSMDTTRFEWDCSFQYGSLVEEVDGHTAILYHRLQLDWFPMFVWPRDLCYVRYWRRNDDGSYVVLFQSREHQNCGPQPGFIRAHIESGGFNISPLKSRNGRIRTQVQHLMQIDLKGWGVGYVPSFQQHCLLHMLNSVAGLREWFSQSDESQVLPRIPVMVNMTQSVSSKKGRKAQESTTQTSIQMDPSRHSTVLEEESDEDDEFLIPESEPEPSTREDAADVRQSGRNEEDSDQIDLSGFSGNLRRDDRDNSRDCWRISDGNNFRVRSKNFVYDKSKIPAGKPLMELVAVDWFKDAKRMDHVARRKGCAVQVAAEKGLFALAINLQVPGTTNYSMVFYFVMKKLIPNSLLQRFVDGDDEYRNSRFKLIPSVPKGSWIVRQSVGSTPCLLGKAVDITYIRGSNYLEIDVDIGSSTVANGVLGLVCGVITTLVVDMAFLVQANTYEELPERLIGAVRMSHIELSSAIVPVLED >Sspon.01G0016400-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:51666763:51667621:-1 gene:Sspon.01G0016400-1A transcript:Sspon.01G0016400-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTVTSCTLLSHGSAVRPAVYSSGRPAAVGVASLSSQRRPRPLSVCCATSQKGDHNPKTDLHPFNIPAFVLVHPVPPREERWQLEEDAEKVNLWFEVPGQSQYDLAVEIDEDVLVIKKKVHIVGGDVGQRSPGGGVADYAPQQQTRRGATAAEASKEAAAQPGGEVIYARMLLPAGYSREGVEAELKSGVLRVTVAKIKERARRKIDVSIHV >Sspon.01G0044690-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:84733497:84737178:1 gene:Sspon.01G0044690-1B transcript:Sspon.01G0044690-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLTAERGRDGDRPEVVVIDIESGSLEGASASSIVDRQDSLFREVVTGHHHRAAGGAGHADHDSWGTTLRLAFQCVGILYGDVGTSPLYVYSTTFGHGGGVGHPDDVLGVLSLIIYSFMLFTVIKIVVVALHANDDGDGGTFALYSLISRYAKVSLLPNHQAEDELVSSYNSHEKPSSATLRRAHWLKHMLETSKSAKISLFLLTILAIAMVISDAVLTPPISVLSAVSGLKEKVPDLTTDQIVWITVAILVVLFAIQRFGTDKVGYSFAPIILLWLLLIGGVGLYNLIKYDVGVLRSFNPKYIIDYFRRNKKEGWVSLGDILLVFTGTEALFANLGYFSIRSIQLSFSLGLLPSVLLTYIGQAAYLRKHPEHFADTFFRSTPSALFWPTFILAIAASIIGSQAMISCAFATVSHLQTLSCFPRVRILHTSKRFHGQLYVPEVNLLLCIAACVVTVSFKTTTIIGKAHEICVILVMLITTLLMTIVMLLVWKINIWWIALFFIVFVPIESIYLSSVLYKFTHGPYVPVVMSAVLMVVMIVWHYVHVKRYKYELKHTLSPAKAEKLLEKHDLKRIPGVALFYTELVQGIPPIFPHLIEKVPAIHSVLIFVSIKHLHVPHVDAAERFLFRQVEPKEYKVFRCVARYGYQDSIGEEAEDFVVALVESLQYYIRDVNLYSVDEMQNVSYPISRDQSLSRDKPSGRHAIYAEEMITPIQSFSELTILSNVKLMMVVNEQASKMNIEELAKIEEEQKVIQREAEKGVVYILGEAEVVARPQSSLLKKIVVNYIYSFLRKNFMQGEKMLSIPHGKLLKVGISYEI >Sspon.03G0004440-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:30377366:30382315:-1 gene:Sspon.03G0004440-2C transcript:Sspon.03G0004440-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVRHIVRRRLSTVATTTPVPTPGSILNPSSPSTPLTSREKTRLAISLLKSSPPPPPDQILSICRAAALTPETHIDRIALSLAASKLSSAPDTLRDLASTVLTPRHAPHAIVLFGQANLLPDAISTFQSSPPPAPSTPSSLRASSPTFPDAHGVKPNTETFNTIIKSFAESGTIRSFYSVFDEMCKKGLKPNATTFTTALAGFYKEERFDDVGKVLELMKKNGCGESLPVYNVRVQSLCKLGRSGEARALVDEMVKKGTKPSWVTYNHLIYGFCKEGNLEEAKRLYKEMGRKGLVGDNNFYFTLIHYLCKGDDFDTALGLYNEIAAKNWVPCFSTMKMLVNGLAGSSRIDEAKGIIEKMKEKFPDKTEGWKEEQQDFKLFVT >Sspon.04G0029040-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:69816605:69821470:1 gene:Sspon.04G0029040-1B transcript:Sspon.04G0029040-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSWHLPGQASCLIPPGANLLFRMQDATSCLGFPPHFHNPARDLYLNSIGTSVAPVMLSSGSDDSREASPTRTSASRKQDKGEKQASPDHAGDRDGASQNKSKISAATRRKTVGSKKEGSTMDKKQANTPRRSTPKKDMVTLSSDSDASLGNSPSRAGEDNHEEDSLSAAKRKDAQQTKTEKTKVAGTKAGPDQACNGDAEDDMQDKLTGNSVSQRLPLIFPDKVQRSKALIECDGDSIDLSGDIGAVGRIVVSNGPTGNQDLLLDLKGTVYKTTIVPSRTFCVVSVGQSEAKIEAIMNDFIQLEPQSNLFEAETMMEGTLDGFTFDSDEEGDKLYEPQANQNDLNNEDEGQPKAKTKRKSEKTAGKAPKKAKVAGKGPKKGVRKTQPAKRGRKAKK >Sspon.07G0002820-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:6822099:6823105:1 gene:Sspon.07G0002820-1A transcript:Sspon.07G0002820-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding PMEESRRRVAASVHPQPGFLQHPSLHHASDVLPPAVYVQENMPTPNLRTPEKPAKSSEQKGFSPSVDGNGLSPHRTDFHEIQAALIHFDAQFYSLM >Sspon.02G0030480-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:110978754:110997472:-1 gene:Sspon.02G0030480-1A transcript:Sspon.02G0030480-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPASKPSDSHIALQAALDGNLRLLKMMARKVNLREAKGDKGRNALHAAAAGDHLDVCRFLVEELGLDVNSTTEEGMSPVHFAAAAGSMCVLKYILERGVDPAMPDSSRGCTPLHCAAEEGHCEAVRLLLSKGIGVDPLNYRGTPLHLAVSKDQDQVVKILLEHGADPNKVVNHVFSPLMVACCAHSLKCMKLLVEGGADVNLITPYGQTILMEAVDDGLTEIVKFLLEAGADPNIADEDGKIPIMCAAGHAQRELIEILFPKTRPIPSAMNRDPFDATLFANRSICWLRQREGDRALLDAQQCTMMRPDWSKGWYREGAALAFLKDYKKAVVAFEHALKLDPAMMARKVNLREAKGDKGRNALHAAAAGDHLDVCRFLVEELGLDVNSTTEEGMSPVHFAAAAGSMCVLKYILERGVDPAMPDSSRGCTPLHCAAEEGHCKAVRLLLSKGIDVDPVNYRGTPLHLAVSKDQDQVVKILLEHGADLSLVCAAQYWMAPNKVVNHVFSPLMVACCAHSLKCMKLLVEGGANVNLITPYGQTILMEAVDDGLIEIVKFLLEAGADPNIADEDGKIPIMCAAGHAQRELIEILFPKTRPIPSVPDWSIDGIIRSIDSLCFKTRDEALLEEQIAEVKLQGKEAFAKGEYLTAIYFYCQAMNRDPFDATLFANRSICWLRQREGDRALLDAQQCTMMRPDWSKGWYREGAALAFLKDYKKAVVAFEHALKLDPASDEIKKAVMAPAAATIIVLLTRNPSLSLIKKYLPPPPPPPFC >Sspon.01G0054090-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:47458693:47462011:-1 gene:Sspon.01G0054090-1C transcript:Sspon.01G0054090-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRGSISDEEHGGHLPLHGNLSQVSIENPYWKMESLLLNSRFILGKTPNNCDFPVNLYMCKRHIASPFFSADHQA >Sspon.04G0013640-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:49287480:49295402:-1 gene:Sspon.04G0013640-1A transcript:Sspon.04G0013640-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plastidic type i signal peptidase 1 [Source:Projected from Arabidopsis thaliana (AT3G24590) UniProtKB/TrEMBL;Acc:A0A1I9LMR3] MSSVHFFPASSQTPVPARLLKTSPKPPLPCTRTLPTTAFQSVSGNCNAWRCPYLRLRRRVRTPAAPADAPPVGPDGGSGGGGAGGGSGGEEEEEEEGEKKEKRLLPEWMNVTTEDAKTVLAAVAISLAFRTFVAEPRFIPSLSMFPTFDVGDRIVAEKEVGYTDNDVFIKRVVAREGDVVEVHEGKLVVNGEARNEEFILEPPSYDMNPVVHEGKLVVNGEARNEEFILEPPSYDMNPV >Sspon.01G0049730-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:114555291:114557879:-1 gene:Sspon.01G0049730-1B transcript:Sspon.01G0049730-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSCVSSTRHRRRSRKLSVAARKFRRKVSSAIADAPIIRGRHGVVHVEAPDSNVTLHLTKLQWQHNQMDAGSVICEEAWYDSVSILESPDSDDDLDNDFASVSGDPLPDVTGGSNAPQCKDAACFLDTMQLLRSIANAEACDQSEQPDKSDDSNVAATATNSGSCNDEECCSSTLKELQAAMSPRPPFTTSIPSNKVQPMPIVGVSPHHQQQKKKTAVVRLSFRRRSYEGDEMTEMSGSANYLYRPRAGFTVPCSTGEKLSEGCWSVLEPSTFRVRGESFFKDKRKYPAPNCSPYTPIGADMFAYTRKIHHIAQHLSLPSLKTHETFPTLLIVNIQLPTYPATVFGDNDGDGISLVLYFKLSDSFDKEISPQLQDSIKRLMNEETEKVKGFPVDSIVPYTERLKILAGLANPEDLQLSTAERKLVQTYNQKPVLSRPQHKFYKGPNYFEIDLDVHRFSFISRKGLETFRERLKHGALDLGLTIQAQKAEELPEHVLCCMRLNKIDFADNGQIPTLITAADE >Sspon.07G0005470-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:12302197:12312342:1 gene:Sspon.07G0005470-3D transcript:Sspon.07G0005470-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPALEWTDTNGSPSDKVIGSQAELGKFNEDISIHPAPPIQEATALESSMLPNITNIDLKDNFDQGLPGDENGTFCQTTVKRLKDKEVMKDSTVKRLKDQLFVARAYYPSILKLDGMEKLSREMKQNIQELEHMLSEAISDDDLSKLHGVNMAKMDQTISAAKSCAIECTNVEKKLKQLLDMTEDEALFHARQSAYLYSLGVQTLPKSLHCLSMRLTVDYFKSKADIEHSGAEKLENPAFQHYIIFSSNLLASSMTVNSTVINSEESANMVFHLVTDAQNFYAFKNWFIRNAYKGATVNVLNFEYFQLKNLVNGKVEQLSTSEEFRITSHSNAPTLNTLLRTEYISMFGHSLFVLPEFFSSLKRVIVLEDDTIVQRDLSLLWNLDLKGKVIGAVQFCRVRFGQLRAYLHDFPYNSSSCIWMSGVTVIDFDKWREHDVTGIHHRIQNKMQHESEASWRAATLPAGLLVFQDLIHPIEGQWVQFGLGHDYGLTHGAIKKAAILHYNGNMKPWLELGIRRYRKYWKRYLPRDDPFMIDCNVNP >Sspon.02G0012020-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2A:32010479:32010748:-1 gene:Sspon.02G0012020-1A transcript:Sspon.02G0012020-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VLVLERPDACAALRFPRAPTLHIALAPACPRPVLVFRTPMLGPRHPDTVGNARLRVGLLVPPPLLTHIATRATPDLRFKHPDIRLATYV >Sspon.02G0052820-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2C:96554480:96557059:-1 gene:Sspon.02G0052820-1C transcript:Sspon.02G0052820-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFNPPVPQQDSDWEIRVAVLVSLLLQVFLIFVGPMRKRSSSPIPRFTVWSCYLLADWVADLALGLLLNNMGNIGGGGGSSSSSFGLKRGGGGGTAGNNNNASSGSSSPIIFAFWTPFLLLHLGGPDTITAYSLEDNELWLRHLIGLLFELFSACVIFFCSLHGNPMIPATVLMFIVGIIKYAERTYSLYSGSVDGFRNKILDDPDPGPNYAKLMTEFDAKEKAGLDVEIAIANGEAEEAHKEMEKQETMRLVLDTNKSVEVRAYEFFLVFRRLFVNVILSFKERRLSQAFFLGDTEEITRSSSDDEPTIIRKAFEVIEVELNFIYDMVYTKAPVAYSSWHCWGPGPGWVLRCICSCCLVSALVIFFFLDKPGHQILPVDVGITYALLLGGLALDVAALLMIFFSNRAKVYLETKSRRFRWLARLREATKCWRTRRWSGKTSQLNLISHALGKPDQLGKRAAFCLGLNKIAWCLRIEDIVDQFVFIRRVRLQKDEEEERCGCLGRFFRERLVPKCCRNEENVSESLLFGFIFRGLKDAVQKLKKEQEERKKKPPAAQKLKMEQEEGTEAAAAAAGTGTTPEQEASTEEADKDAMAEKDAIAEVCRRRGDGVVRSHEGDIKRGTTDGDVVVKSQEDDIKRVKETTDGDARFKVILDSVVKSDFDESLLLWHIATDLCLLRDKQGPMLKKTMDMQAIGETLSEYMLYLLIKQPDMLAATAGIGLIRYRDTRAEARRFFDSMAAWSPDHADARRMLLSVNTTKKPVQVKGDRSKSVLFDGVILAKALRELEEELMWDVITGVWGEMLTFAAAKCRGSMHVRQLSRGGELITLVWLLMAHMGLGDMYQIQEGDAKAKLIVNDQ >Sspon.05G0018190-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:73799296:73800147:-1 gene:Sspon.05G0018190-3D transcript:Sspon.05G0018190-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MWKNETSLENTTDQNEEAQCYRSLGMENTCILRRGEDDLVVCQFEKTSDPPIYNMADLCILRFGRDREWIVKRVPIVEHREGRSELQPWRWTRQKHIWIHAAVPVGNRFLCWVDYNTAFIVCDMADEETPKVRYVPLPTMQHEGYCGHYTLGAAGLDAVRFVSVSRPPSSSFNVTTWTMTLSTEDATTWVKDVVLDGDEIWKWWFPNYGCLPRDKHLEYPMVSSDDPDVIYFKVEGMAVKINTRSKKLLSVVPSGITRDQHLSKRHQRSIMAKLCW >Sspon.07G0037560-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:55446080:55458970:1 gene:Sspon.07G0037560-1D transcript:Sspon.07G0037560-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTAGKGAWVVPAPAYREVDGWEGVGEDAPGFRCGHSLTAVAPTKGHGPRLILFGGATAIEAGASSGLPGISSVLPFLARLSFRVSPFRVCSLRDLMAASFGWLAGVTNSVHSYDVEKRRWTRLHPAGEPPSPRAAHAAAAVGTMVVFQGGIGPAGHSTDDLYVLDLTNDKFKWHRVVVQGAGPGPRYGHCMDLVAQRYLVTVSGNDGKRVLSDAWALDTAQKPYRWQKLNPEGDKPSARMYATASARSDGMLLLCGGRDASGTPLSDAYGLLMHTNGQWEWTLAPGVSPSPRYQHAAVFVGARLHVTGGVLRGGRAIEGEGAIAVLDTAAGVWLDRNGIVTSRTLKSSNEHDAASDLLRRCRHAAASVGSQIYIYGGLRGDILLDDFLVAENAPFQSEITSSMYNVDRVPRGESQNRNNSFHSDSPVHQSTNNRQETASGFSADKKSIDMLIEASTAEAEAVSAVWRAAKEASAASSEDSLSEGIGSESPLSETSPMPEDFDDGGSLEPDVKLHSRAVCRRTLNRQRSPQGLHKKVISFLLKPRNWRAPADRTFFLDSYEVGELCYAAEQIFMQEPTVLQLKAPIKVFGDLHGQFGDLMRLFDEYGFPSTAGDITYIDYLFLGDYVDRGQHSLETITLLLALKIEYPENVHLIRGNHEAADINALFGFRLECVERMGESDGIWAWTRFNQLFNYLPLAAMIEKKIICMHGGIGRSINTVEQIEKLERPITMDVGSIILMDLLWSDPTENDSVEGLRPNARGPGLVTFGPDRVTEFCKRNKLQLIIRAHECVMDGFERFAHGQLITLFSATNYCGTANNAGAILVVGRGLVIVPKLIHPLPPPVNSPESSPERGDATWMQELNIQRPPTPTRGRPQAAGTYGIAKKNNQQDIMDTLEIISL >Sspon.02G0048740-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:27775859:27782637:1 gene:Sspon.02G0048740-1C transcript:Sspon.02G0048740-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MILNVYHEALHYNWGNLPGRHLQNSSMPSNHTLHPVQWLVEKCIISTHKEISAASYERYERASGNNVLSYHSDDGFCAAGDAASRKTIWEKICGPGAAPSPVMLPRTRQVATRELRDLSRSDQTPRCATTLSSAPPSPSRHRSFLPLHCGSCPPRLSPTREPTAAFSTRPAAAVSTEATSSTTDELYTSVASSFSFLPPRLDVSFSSPCRLGIRTRGTSGCYPLHLFSMLVTFEAAWATACGARNEVQARTSGR >Sspon.05G0015410-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5A:59230460:59232769:-1 gene:Sspon.05G0015410-1A transcript:Sspon.05G0015410-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGDGFDDLWSSQPSASGPARAGLDLNSQAPAAEGFPGLRLYGDFLQGDDVELLPRRGGGSGLPPYRPPRAGAGDAWATPAPQQAGQLLFGGSSSALAGRGGGNGGGYTGRSSSGAVGGVRQRANSAAAAAGRRPQRTNTASRGSGGQGEDDHGPMIEEEEEDGGSSSEDEIISMCRNNLVQQQNLILQLVPILGMYFDNYFVKLPKGVTGDSGLDWVNETLARDTQCYNMFRVERPLFYKLHNTLIRTYGLKSTKKMKSVEALAMFLWIVGSSQPVRQADNRFRRSMETVSRKFNKVLTCLLKLAYDNIRPKDPTFSEVHPNLENPVFWPHFNECIGAIDGTHIKVVVDKSKRIPYLNRHNETSQNVLAVCDFDMRFTFVLSGWPGSAHDMRVFKDAITTHHHKFPHPPPGKYYVVDAGYPNRPGYLSPYRCTRYHVEQWQNGPPPQGMKEIFNHAHAKVRNVIERTFGVLKMKFRILLNMQRFSEDKQTRIIVACMALHNFIRESRIADREFDACDADENYNPMPSPSASTWPDDEPLVEDVNMNAFRDELAHALFYGM >Sspon.02G0002690-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:6791986:6796788:-1 gene:Sspon.02G0002690-2B transcript:Sspon.02G0002690-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRPAAAGRPWFLICLRRSSGRAPLNWLRSPKSGRFLALPAEFGLVLTTTLPVNELTKSLRKIGYDVVPSDDADQRLDSYLKDGCKAGCRLNKMVWAIDVDLAPEGGKQMLSVD >Sspon.01G0013480-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1A:36917383:36917717:-1 gene:Sspon.01G0013480-1A transcript:Sspon.01G0013480-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding FSPLPPEVSMQEAQLAKEKMNGKLVCGRPMVVHLASEKSSLDSSSSHRAVKDKKLAGGSGGKSQYTDRAAKIAAIKNKLKSLEEGGCCTKKPRLNPDNLTGIREQSHKKF >Sspon.03G0015340-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3A:48901649:48902343:-1 gene:Sspon.03G0015340-1A transcript:Sspon.03G0015340-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GAACSCGGQQLLGPRPDDRELGRPVEAPGGGAPRGRGPVGVLPLQLRGYQDTLYVHSLRQFFRDCDVYGTIDFVFGNAAVVLQGCSLYARKPLPNQSNIFTAQGREDPNQNTGISIHRCKVAAAADLDSSATKTYLGRPWKQYSRTVYLQSELDSLVDPAGWLEWNGNFALDTLYYGEYMNTGPGAGTSGRVKWKGYRVITSATEASAFTVGSFIDGDVWLAGTSIPFTT >Sspon.07G0035290-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:4696086:4697399:1 gene:Sspon.07G0035290-1D transcript:Sspon.07G0035290-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSSSVCDLCDDAMAEILVRLPSKSMLRCRAVCKAWRRITTNRSFLAAHAARRPLEMITLSSPPMPWTVNAVSVSLRSTPPPPPSPLFHRRHFVASDGTTFARMLKVLYSLDGLLLLEQSRGLYIVCNPITRQWTDLPALPLQPPYVDTAFACGFYAHGPSGEYRLLCHALLQAEAAAGNDWKSRMNAADDDHYYYILSTGTGGGLGQKPQPRRLGAAPRGNADSPAYRITQQYEVPVAHRGALHWFALHPEASSTGRMLAFDTVSETFRLMAGPPQAQERGETTNMALLELDGGEELGVAAMQAGQFLTTPTTPTSVAIWALQDYEAETWTLRHRVEVPFTYFGRSADPARCAVSIAGAAGGAAVLIGDPYFHVLRLYHLGDKRLISHIFLGHGSLPTFLLFRQSCVSHAFFDSPRSPGVAYVNFLYQGQGDE >Sspon.03G0012170-3C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3C:50239835:50240275:1 gene:Sspon.03G0012170-3C transcript:Sspon.03G0012170-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHKGSRNSRRESKSQALTSAGQVLAEIEDVVSVAVVLPPRRRHATPRYLRARRGQICISTTRNPANLRVLTSKAGPTTSRSGGGAASQSYAWRVRFRDRDDSMRSSDSMGGGVGERNPRWRKGRANGGEEEPVGAGTRGGLGPGWK >Sspon.05G0014750-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:54397983:54399717:-1 gene:Sspon.05G0014750-2B transcript:Sspon.05G0014750-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQGTSLLPKDKGPTAEPGKAIGIKRLQTDTPSGPAYHNVYVRRKVESEHSKVNPSQELKGNGKDKAKELEACQDVQHEEANKPQVASPVAESVELVSSKSPEQPNAEIVPEKTEPPVASSTGIHEEVKQSSIEYWNERFNRLQTYLENCDNSTQEGYLRKLRSLSAAGRNMHAIELEKRAINLLVEEEWH >Sspon.07G0034630-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:76742076:76745973:1 gene:Sspon.07G0034630-1C transcript:Sspon.07G0034630-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPGRAGRRQLLLQSLASIPENDKHERRIHRSSARERRLTLPAELQETDPPPSIPASLWIKKNDRTYKHGKGILVRRP >Sspon.01G0003760-3D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:8856591:8861270:1 gene:Sspon.01G0003760-3D transcript:Sspon.01G0003760-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCRICGLIQQRIEKIFEHSWKKVPCWETIDYASKGYTGNMEKFLKMQRSRAIMKSILTKLDMSGMAMRSKTISEKVFFELIEDNLQKDSKTMRVMIIQNLRKLTENILHYYQGEILKELPGLVDFTVLLNMSSKQEYIIKGLAGLKRFEAHAKCNAVSLHPCLKDVKIVDKKNRNISKRMMDSIVCGIDISDGVKAKFIHNLLSISEAAGEKVLVFSQYVRSLHFLETLFTKMKGWKSGVNTFLMDGSSTQEQREQAIERFNNSPEAKVFFGSIKACGEGISLVGASRIVILDVHENPAVMRQAIGRAFRPGQSRVVYCYRLVASGSSEEEDHHTAFKKERVSKLWFEWDELCSNEDFELAKVDVSDCKDMFLESPALQADIKSLFKRYVFVINMDLI >Sspon.03G0025540-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3A:78266713:78268113:1 gene:Sspon.03G0025540-1A transcript:Sspon.03G0025540-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAINDEHKPLHILFFPFLAPGHLIPIADMAALFAARGVKCTILTTPMNAQVIRSAVDRANDASRGTEGAVAIDIAVVPFPDVGLPPGVECGPALNSMADRKKFFHGAQLLREPFNQFLAENRPDAVVTDSFFDWSTDAAAEHGVPRIAFLGSSLFSRACSDTTVRNNPVEGAPDDPDALVLLPGLPHRVELRRSQLFEPKKRPELWAFMQRGNAADQRSYGEVFNSFHELEPNYLEHYTTTLGRRAWLVGPVALASKDTATRGASNGPSPDTDGCMQWLDTKPESSVVYVSFGTLTHFSPTELRELARGLDLSGKNFVWVISGDADTEESEWMPDGFAELMARGDRGFIIRGWAPQMLILTHPAVGGFVTHCGWNSTLEAMGAGVPMVTWPRFADQFYNEKLVVELLKVGVGVGSTDYASKFETRRVIGGEVIAEAVGRVMGDGEDAEAIREKAKELGEKARRAVAN >Sspon.04G0024550-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:20330432:20331206:-1 gene:Sspon.04G0024550-1B transcript:Sspon.04G0024550-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLGAASALLSCGQQRKQGEKAKQVAGCRRQWPRLGIGPAAYLHCISTSTRARGEEKGDGGCETMGAGGKVCGGRHGPIAAGRVAGRRPMAEGVESDGRGQRPLRHSRSHPGESSGEEEEELVGEGASSSSTGASSSAGHGERQSWRSGGGAWPRRERRQRGPRLVLAVVLLRGGGGSAGRSEEARRGSGASARVWSRGREGLQRRAW >Sspon.07G0021190-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:1690235:1693975:-1 gene:Sspon.07G0021190-1P transcript:Sspon.07G0021190-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGKLPWRTVVLMEVQWGFAFFDVATNIFCMPVEYSSRARRFIVEDEKLRTLIKSKLGIDSFKEKEDVVREVVDRDFLTCAGTLQRLEELRLCCCVEMRKACAKLELVPGISGMIKDYFRYFEVMAKILSPGLVDEEWDSSKWTTAGEPWFTPDQMQKIDAAAGDARKKVEGDHKLAAMIKESLLDYRCFSKSKEECLQKLRSYEAKKLRSYEAKAKRGQKGSHTEMAEEEVVEAAAEGRKGEDGADGQPTGSQQLAVANQTRPPVAMELRGAAVAGWGA >Sspon.04G0011440-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:35472044:35474518:-1 gene:Sspon.04G0011440-1A transcript:Sspon.04G0011440-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LNFAELTYLQMISAALDGHYDEKRKSNVEYSEDEKKAKIASLKKKAMSASQKFRHSMKRGRKSSKVMSISILDDREPEEVQAVDAFRQLLVLEELLPSQHDDYHMMLRFLKARKFDIEKAKQMWSDMLKWRKEFGADTILKEFEFEEADKVAECYPQGYHGVDKEGRPVYIERLGQIDVNRLMQVTTMDRFVKNHVKEFEKNFAVKFPACSIAAKRHIDQSTTILDVQGVGMKQFSKAARDLIGMLQKIDGDNYPETLCRMFIINAGQGFRLLWGTVKSFLDPKTTAKIHVLGNKYQSKLLEVIDASELPEIFGGTCQCEGGCMKADKGPWKDPEIMKTPGSPYSCDVPMVEKAIDAICKSQGTPPDEKLAITKAIINASDGSKPPLYAGIIALVMSIAAMVRVTRNMPGK >Sspon.01G0001280-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:3870944:3871987:1 gene:Sspon.01G0001280-4D transcript:Sspon.01G0001280-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTATTLMDGEVAALVKVSAVVWAAMSYARLAAARLRPGAPRLLALLPVVALLCAIPFAFSTSTFRGTSGFFLAWLGSFKLLLLAAGIGPLDPSLRLSHFVCSASLPVKLRRQSKEKSQDPVRGPARILLSGAVIPGVIYAYQFKSSMSRYQLLALYTLHIYFSLDLLLATVHTMIHDLLGMEMEPQVDRPYLASSLRDFWGRRWNLMVPSILRPSVFRPVRARLGAAAGVLAAFLVSGLMHELMFYYIMWSAPSGEVTAFFLLHGACAAAEGWWASHAGWWRPPRAAAVPLTLAFVAGTGFWLFFPAMVKGGLDEMVLRECQGMVVLMEQAARRLAGATDLVSSTM >Sspon.04G0003480-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4C:11458286:11458843:-1 gene:Sspon.04G0003480-2C transcript:Sspon.04G0003480-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VLSKSFTITNNCRYTVWPGILSSAGSPSLETTGFELPPGASQTLQAPAGWSGRLWGRTLCTTDDTNNKFACATGDCGSGAVSCGGGNAAPPATLAEFTLDGSGGMDFYDVSLVDGYNLPLLVAPSGAAPGAVGTNCAPTGCLVDLNAACPADLRVVVTSSSAAADVNADDVVACKSACLAFGSPQT >Sspon.08G0006130-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:64357664:64365874:1 gene:Sspon.08G0006130-2P transcript:Sspon.08G0006130-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRVHVIEARGLPAIYLNGSSDPYVRLQLGRRRPRATTVVKRSLSPVWDEEFGFLVGDVAEELVVSVLNEDRFFGAEFLGRVRVPLTAIMETDDLSLGTRWYQLQPRSAAAKFRKKRRGEICLRVYLSVRATLCEDAHQAPPQPIDDISCSSHRSIETNDSSLSATASSLDLSACTSIDQACLKSLDGFNQSIMEARGPRSTGPPSCVSTEQSILLEPEEDDGSAIADTSSVVEVMSRYFRKTADVTHSVALDPVSTDQFRNTQMNSECRENGDGCTLPEVSLDELMKNMESKDQACEMPANLPGGVLVDQSYIIAPAELNSLLFSGTSDFWPEVSELQGTSGFQIEPWKHDNSENCLKRTITYTKAASKLVKSVKATEEQKYLKATGSSFAVLSSVSTPDVPCGNCFKVEILYRIISGSQLPLEEQITQLTVSWRLNFVQSTMLKGMIENGAKQGLAEGYSHFSEVLSRKIKVAELDDANSKDKILASLQTQKESNWKLVARFLGSFAFICSLSTALYIITHLHLAKPNVVHGGLEYFGIDLPDSIGEIVFCLILIIQGHNIIKVGRRFLQAWKQRGSDHGVKAHGDGWLLTIALIEGSGVVSAGTPGFPDPYVVFTCNGKRKTSSVKYQTSEPKWNEIFEFDAMDDPPARLDVVVHDSDGPSNETPIGQTEVNFVKNKLSDLGDMWLPLDGRFPQGHQPKLHLRIFLNNSRGTEVVMNYLEKMGKEVGKKMQLRSSQTNSAFRKLFSLPPEEFLIDDFTCHLKRKMPLQFFFLWEDIDDIQVVPPKLATVGSPSLMIILCKDRGLEARHGAKALDPQGRLKFHFQTFVSFNDAHRIIMAIWKMRSPGLEQKGEMIDKEPELKENPYEEGSLLANEDVKMSEVYSAVLSVDVSALMEMFSGGPLEHKVMERAGCVDYSATEWELLNRNVYQRRISFRFDKSLSRYGGEATTTQQKYNLPNQNDWIVEEVMTLQGVQNEDYSSIQLKYHMTSTPLRPNSCSIKVLLGIAWLKGTKHQKKAAKNVMMNSANRLREIFSEVEKEVTSRKGAKMKESMYRVTA >Sspon.06G0033690-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6D:19653798:19655101:-1 gene:Sspon.06G0033690-1D transcript:Sspon.06G0033690-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding KLKKADYKPGEQGRSLLYRTRLPSTSVILLVVVGIDPGPGGEVGLTLMQISIPSSVSTPADLGGTPTTMQHAAVVSSNLHPAVATTSSPAPRRASWPNARPSSSVRVRSSSSMRNGGNGSASTDSLDHLQRASMARQRQQQQQQPRPRRVIHTTPFGLWDSFPEARTLDQMVRTMERLMDGDDADTNGRFGVVVPAAAPTAVPRADNGRRGRTPWEVRERAGEYLVRFDMPGMARDDVRVSVQDRTLVVVAEKQQRPAAAGGVEKQEAAAGGGYEEGDEEEEAWPAASFGRYRTRVELPENVDVERIAAEVRDGVLYLTIPKLSAGGKVVNILVH >Sspon.07G0009010-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:82886720:82890807:1 gene:Sspon.07G0009010-2B transcript:Sspon.07G0009010-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MYADAGFGFGFAAAYSPALASSQSQPPPFDYAFSSAPAPAPPLLSMLAMDHDAYAALPMPDLPAAHLGNLVQPSMVSEYDLGGEGDLFKAPEAIIEEPLLSLDPVAAAFSMMTGNESAMEQTIKDAGIGTIPNDPLLSEVLYECEKELMEKSAIEETISELMDVKIPMLQVEEIPSQAEQVLIQTQLPAMEKEKQSIPECSLQKSVSSGCLNSADWINGPVRPNFLDFQGLDFEAAFGMRRAYSEGDIQNLGANTPRPAANAQTSCERLVTISDLKTEERKQKLSRYRKKKIKRNFGRKIKYACRKALADSQPRVRGRFAKIEECDLLKPSV >Sspon.03G0010890-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:29642187:29644303:-1 gene:Sspon.03G0010890-1A transcript:Sspon.03G0010890-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSCVALLRESSPSPWSVLGGVAAVLVLWWAAQVLEWAWWAPRRMERILRAQGLSGTQYRFLWGDLKEESRLTAAALARPVHSDQPHDVFPRVSPLLHRVLEKHGKLSFTWFGTTPRITVTDPQLAREVMSNKDGCFVKTKITTRVTKLLFGGQLSWKWMQGMLPAFSAACSDLICRWENLVADSVGTKELDVWSEFQNLSGDVISRAVFGVSYQEGRQIFRLQAEQVVRVTNAFKTNHIPGFSLLPTENNRRMKAIDRETKTILRGIIEKRHEAMKNGEPTRDDLLGLLLESNMNYTDSDGKSSRGITLEEVIEECKLFYFAGTETTAVLLTWTIVVLSMHPEWQDQARDEVLEVFGQNNPDLSGVSRLKVVSVLARLIEKETFHCADDSVSFRDLHANILSYLIIFF >Sspon.06G0009130-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:37907957:37910535:-1 gene:Sspon.06G0009130-4D transcript:Sspon.06G0009130-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARVPAADLNPHLSSPFTALRCRSPGDEAPLRVASRTPRRRAKPTNTRILCSAAEVQGSGGSKRRREREPLRRGAVSARLPVPDHIPRPPYVGADAVPDVCPDRQTHDGESIMRMLDACELAARVLHHAGAMVKPSVTTDEIDRAVHQMIVDAGAYPSPLGYGGFPKSVCTSVNECTCHGIPDSRELQDGDIINIDVTVYLNGYHGDTSRTYLCGEVDDATKQLVKVTEECMMRGISACKHGASFKEIGQRIRS >Sspon.01G0015360-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:46327041:46329446:1 gene:Sspon.01G0015360-4D transcript:Sspon.01G0015360-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:TOM1-like protein 1 [Source:Projected from Arabidopsis thaliana (AT5G16880) UniProtKB/Swiss-Prot;Acc:Q9LFL3] MSDNLMDKVNALGERLKISGAEVSRKMSVGVSNMSFKMKEFFQGQNMADKIVDEATLETMDAPDWATNLEICDMVNTDRVNSVEVIRAVKRRIMLKNPRVQYLSLVLLETIVKNCDKAFSEIAAERVLDEMVKLIDDPQTIVNNRNKALVLIEAWGESGDDLRYLPVYEETYKSLRSRGIRFPGRDDESLAPIFTPPRSVPVAEPYSEVAQEGYQEIPDESFAPARTAPAVQVNEALRWLVIVSSFFPLCYLPLRKKRFYRMNWQPLSFNNANNVSTRSRGSSRRLVIMRLSFLRH >Sspon.08G0029830-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:50444862:50448884:-1 gene:Sspon.08G0029830-1D transcript:Sspon.08G0029830-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding FSAVAPRRRPPLAAAADASPSSSPRKSGEDAPPPAGGTLPATAGVTPCEQARKALCVRSPFDGEEAAGRDPWLPSRVARWAAVGDVRKNHKKSQPQQQQPEPAPPLVQHPRVPAGCKGFWEQLEPYFREFTAEDFEELVTKHQFCPSQIDPYFVIPVVGSSKELGENSDLSHAFEADESPDLSSNLGKHNEELDRSKEDIQDSLDDIGASVELVTQDESNKENCDQDMQEVIVQQEEQPVEIVLDQSRTNSIVLPGCREDLWPSLSWLLGARGRFVLTSERPNKKRKLLGADAGLEQLVLLPSPEVEAGSVCDPEYRDATEVDTERCLQDCVNALCALSKGESLWRPEFHVKDMESMEPVTNIVDTQENQSKLVCSLCKVMHGACVRCSHGACRTSFHPICAREFKLQIEIWGNFGHDNVEMRAFCAKHSAVKSISSIQNDKSVSELDSAQVEPHDGKPLTGNEQQVRFTRSNKDKFVNSTITTSSCILNKAQTTEVATVPSTLGSSQETQSAEAQTTEVATVPSTLGSTQETQSADMAVDQPSADGNIMSNSGDVSGILRKTAQLVDQGKPDETTAYSPGLKLKMIKWLQNSERAPSVQVKSFKEGSLA >Sspon.03G0009500-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:25852702:25863542:-1 gene:Sspon.03G0009500-1A transcript:Sspon.03G0009500-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:WD repeat-containing protein GTS1 [Source:Projected from Arabidopsis thaliana (AT2G47790) UniProtKB/Swiss-Prot;Acc:Q944S2] MDGYGEADNVAMEVEAEASPCRGRSSPVLSGSPSQNSDRSMSSWRLGLKNSIQTNFGDDYVFQIASCQEISTLAVSLSTNALKFYSPETGQYLGECKGHEGAIHEISFSAPASPQVICSCSSDGTVRAWDTRNFKQISLLRGGASQEMFTFSFGGSSGSLLAAGSNAQVLFWDWRSSKQIACLEESHMDDVTQVKFAPDQQSKLISAAVDGLICVFDTDGDIDEDNHLLSVMNAETSVAKVGFFGNTYQKLWCLTHIETLSVWDWNDGARELNIEDARSLATDRWNLDHVDYFVDCHYSMPDDRLWLIGGTAEGTLGYFPLRNDHAGAIGSAEAILEGGHTGVVRSVYPSAGIYESLGQNKGIFGWTGGEDGRLCCWRSDEIAEINKSWISSSLVWKQQKKTKNRHLPY >Sspon.04G0022220-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:9774698:9780609:1 gene:Sspon.04G0022220-2C transcript:Sspon.04G0022220-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGNLASVVVAVDGSEESMNALRWALDNLRLRPDGELVVLHVQPPPNIAAGLNPAPIPFGGPSGVEVPAFTQAIEAHQRRITQAILEHALKICSEKNMEVKTEVVVGDPKEKICEVAANRKADLLVMGCRAIGPLKRVFLGSVSNYCINHVGCPVVVIKGT >Sspon.04G0017250-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:68947385:68961110:1 gene:Sspon.04G0017250-3C transcript:Sspon.04G0017250-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Succinate-semialdehyde dehydrogenase, mitochondrial [Source:Projected from Arabidopsis thaliana (AT1G79440) UniProtKB/Swiss-Prot;Acc:Q9SAK4] MAMAMMTMRRAVALGARHIPAAAAASSRVVPLRHMSTDAGAAMEKIRAAGLLRTQGLIAGKWVDAYDGKTIEVQNPATGEVLANVPCMGSRETSDAIASAHSTFYSWSKLTASERSKALRKWYDLIISHKEELALLMTLEQGKPMKEALGEVNYGASFIEYFAEEAKRIYGDIIPPTLSDRRLLPVGVVGAITPWNFPLAMITRKVGPALACGCTVVVKPSEFTPLTALAAADLALQAGIPAGALNVVMGNAPEIGDALLQSTQVRKITFTGSTAVGKKLMAGSANTVKKVSLELGGNAPCIVFDDADIDVAVKGSLAAKFRNSGQTCVCANRILVQEGIYEKFATAFIKAVQSLKVGNGLEESTSQGPLINEAAVQKVEKFINDATSKGANVMLGGKRHSLGMSFYEPTVVGNVSNDMLLFREEVFGPVAPLIPFKTEEEAVHMANDTNAGLAAYIFTKSIPRSWRVAPFGGVKQSGLGREGSKYGIDEYLEVDKLTGLICCYFCSVVATLTCLFRGQLQLKYICMGNLG >Sspon.01G0026370-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:91626532:91627708:1 gene:Sspon.01G0026370-1A transcript:Sspon.01G0026370-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDVAVLTSSATRHSCKVCRKGFPCGRSLGGHMRSHSLAEVETALDDDDGDDGGGEEHQQRRGFDCVTATGAGGYGLRENPKKTRRLSSLNDCDSGGVDERSSHRGGRGELLSPCTSSEVDRERRLARGGGVELELERDREQEDAVLMIPTEPASGLMPPPRRRRRSMRVPAPAPSPPPAFDKEPEDVALCLIMLSRDIIDHRRCSTATGAEYSPEEDSTRRDYQYQYHHHDADSNDDASIGTKINKRKPNRSLVGDEKRGRYECPGCGRAFQSYQALGGHRASHKRINSNCSIAKAVVDHQPEPSVETNTSSFSTASPDANYAADIAPTAVVALKAKPHKPIKFERSVDTSGHTRSPASSMSAHMLSKTMALLTMSNQ >Sspon.07G0025040-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:36031021:36036160:-1 gene:Sspon.07G0025040-1B transcript:Sspon.07G0025040-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNLLKSKSESKLKKQASSSDEQQAKINEVRELLGDLTTEMPGFLTDSTIRRFLRTRNWSTVQATKALKETVKWRRQYRPDKIRWILNYFIEPELKEKVKFVYTNNSESQRIMADMFDLDKLESAFGGRNTSGIDIVKYSERMQRRDQTRNLHI >Sspon.03G0018020-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3B:79644787:79647065:1 gene:Sspon.03G0018020-2B transcript:Sspon.03G0018020-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRPPPGPVDPSKCSAAGPGIAGGSAGTPSTFTVVAKDRHSRRLTAGGARVRVRVSPAAGVGGDDLEGAVKDNGDGSYTVTYVVPKRGNYMVHIELDDAPVMGSPFPVFFSGTTATPSAAFPTALPAVSSAYPNMVNQTMPNMPNYTGAPSSAFPSLLGVMPSSSVGSPGGVILPGVGASLGEICRDYM >Sspon.04G0023690-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:14665832:14668285:-1 gene:Sspon.04G0023690-1B transcript:Sspon.04G0023690-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFCPVCGMLLQIDPGTGSHRLRLFCPVCPYVCPIKNKIVKKARLVKKEVEPIFSTADEMKSAPKTAVTCQRCNHGEAYFKQMQIRSADEPMTTIY >Sspon.05G0024280-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:13712611:13713351:-1 gene:Sspon.05G0024280-1B transcript:Sspon.05G0024280-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFHEKDAHRHSSDEHEPAPLPLVRLNHVSFQCESMEASVGFYQRVLGFELVKRPASLDFRGAWLHKYGMGIHLLQRGSDSSAPAVAARPPAINPKGNHISFQCTDMAPMKARLGDMGLEFVAARVRDGETVVEQLFFHDPDGNVIEVCDCEKLPVIPLADAGGAGLPSLLLPVETNVHG >Sspon.03G0012210-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3B:43957662:43958640:-1 gene:Sspon.03G0012210-2B transcript:Sspon.03G0012210-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQFTEQAAVYAAARPAYPKDLFAKLSAFTAHHRRAWDIGTGNGQAAIGVSMHLKSLLLSVKQRLQFNLFMFNVQVAEHYDSVVATDASAEQLRHATPHPKVRYLHTPDATPGEDDVVAMLGGEGSVDLITVAQAVHWFDLPAFYGVARRVLRRPGGVIAVWGYNYRMSPVEDMMARFFDTTLPYRDPRARYNAAKPDQLTYCTDGYQDLPFPFEDIGLGKEGEPARLDMEQEMSFEGLIGMLRSWSAVTTAKQQGVDLLGERVVKELEEGWGGASLVRKVTYKGFLLAGTPGPVADE >Sspon.01G0036990-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:14697837:14703661:-1 gene:Sspon.01G0036990-1T transcript:Sspon.01G0036990-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPIPREWVGLQQFPAATQTKLHELLGKLKEEDVSTLTILVMGKGGVGKSSTVNSIVGERVTTVSAFQSEGLRPMMCSRTRAGFTLNIIDTPGLIEGGYINEQAVDIIKRFLLGKTINVLLYVDRLDAYRMDTLDEQDFPLPIALVENSGRCKANEHGEKILPDGTPWVPNLMKEITVVISNGSKPIHVDQKLIDGPNPNNRWKMFIPLILAVEYFLVVKGIRRVIHADIANGKVDEWEQRYRDLVGSRDPVEQKGSTSRNRKA >Sspon.05G0020490-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:84455389:84459963:1 gene:Sspon.05G0020490-2C transcript:Sspon.05G0020490-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLLVVLVLLHFAAGAGAGAEPARSPATALFVLGDSTVGCAATASGILPLNLTTATTALPSSLSGGPCLFFPAARRRLPDLLAARMGLPSPPPISALNGTASEAARGVNFGGGGGGQLFYYGGGERGSSPSSVFRLGAVGQQVRLASETLQLLQLEAAAPGEWESAPAAAAAVFVLSFGADAYERLLARGPAEVDAAAPKHGRRGFARLLADRVARAVSELYEAGVRRVAVMGVPPLGCAPRVMWERIPARDGGCVEEANELIEAYNGRLAARLDDLRPLLAGADVVFCDVYKGMMEIISNPATYGLEETREACCGLGPLRATVGCVSKEMACATPERHVWWDLYSPTEAADALLANWSWTSSSDSGAAAGATSICGPISLQQLAG >Sspon.03G0025890-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:1477552:1479656:-1 gene:Sspon.03G0025890-3D transcript:Sspon.03G0025890-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPTPMLSLLALATLLSVPAPGAAQQQTTNVTSGTSLQAAAGAAWPSPSGRFAFGFYATDGGLAVGVWLATTPNITVTWTANRNDTPSTGGALWLTYDGRLVWTGPTDGQDRNLAVPSRPAAAAAMRDDGSFMLYDANGTMVWSTFAAPTDTLLPGQDRRPRAQLFSSVSLTDRATGRYRLNNQLNDGNLVVSAARGRPASSGSGRTTGATYCVLGRDAQPDCRCPPGFGFIDAADAARGCTETVGAGDCATAGSGPTASMVAMQNMSWADTPYAVLGSGTSAADCQAACVADCLCAAVLLNSNGGTCTKQQLPLRYGRAGGGYTLFVKNAAGSPAIGGGGGDRRVTVALVCIGVLTFVSLAALVVAARLVLANRRTTAEPDAGEALDEEAPLRSYSYQELEDATWSFREPLGRGAFGTVFRGTLPHNGEKAIAVKRLEKMVEEGEVEFQREVRAIGRTSHRNLVRLLGFCHEGANRLLVYEYMSNGSLAERLFKNSSGPPAWDERMGIALDVARGLHYLHDELDSRVIHCDVKPQNILMDASGTAKIADFGLAKLLQPEQTRTFTGVRGTRGYLAPEWYRGAGPVTVKADVYSYGVVLLEIVTCRRSMELEEAGEERTLMECAHEWLVRGEVWRVVGGDEAVDAAEMERAVKVALWCAQAEPQARPAMRSVILMLEGLVEVPFPPPPAAS >Sspon.05G0002590-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:12340519:12344462:-1 gene:Sspon.05G0002590-3D transcript:Sspon.05G0002590-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEEMVVGLSAPGPVGRWGAAPPQAMLERMKDYGQEGAFALWDDLSPEDRELLVRDIESLDLSRIDRIIRRSLGSQGIPLPAVEPVPESSVSKVEDRSPEEKERWWKKGLKAISEGKLAVVLLAGGQGTRLGSSDPKGCFNIGLPSGKSLFQLQAERILCVQKLASQSSESPSNTVPIHWYIMTSPFTDAATRKFFETRRYFGLDPEQVTFFQQGTLPCVSADGRFIMETPYRVAKAPDGNGGVYAALKSKKLLEDMAARGVKYVDCYGVDNALVRVADPTFLGYFIDKGVSSAAKVVRKAYPQENVGVFVQRGRGGPLSVVEYSEMDAAMTTEINQSTGRLRYCWSNICLHMFTLDFLNQVANSLEKDSVYHLAEKKIPSIHGFTTGLKLEQFIFDAFTYSPSTALFEVMREEEFAPVKNANGATYDTPDSAKLMLLRLHSRWVVAAGGFLTHSVPLYMTGVEVSPLSSYTGENLEAICRGRTFHAPSEISF >Sspon.02G0026590-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:13799346:13805900:-1 gene:Sspon.02G0026590-3D transcript:Sspon.02G0026590-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAPAAGKGKRKRHLSEDDVYLLLHRYAPGTILTALQEVAQHAEGRRIDWRAVVGKSATGITSAREYQMLWRHFAYHHDLDDSVYAGDQPLGDDSDLELELEPNPIPTKEALSEASALAKALISGSSREQASGHRINLDPPVLNTQNEKIVRVPSEKQLAQSHRITNVTGPVANSKQPSHIGPSPGHLDPNGAYKKRKKPKAWSKEEDADLAAGVQKYGEGKWEDILHKCNFDSTRTPDQLSQRWALKRPGGSTKPASAKHASVGSEERSAALKALSLAVGPMRRSAFAPKMPEVRSAATPSPAPAPAQALPVPVPVAMPLRVAAQVQTPLHQGQQAPVQAAPPKLSNASNKTRKKQAAQPNPTIVPSSIQAAAIAAGGRLATASTAASFLKAAQSKNVVHIKSLGATSLKSSASSKASIVVEHGTQPGGSQHLEPLNPSAVHGVSGVTVVNQSGPPAGARSLETKKALSTTLAPVPCEEDDSEFCVITIDDLFPEDAKQPEVVDAKQPETVDTKAKQPETTGLKAQQPENADPKAMQQETMDPKSKQPDTLEVEIVDPKDKDMLEFDQYVASQGGHLNTDDLNKSKCTDSASQAQCLVGSQKKPQKLIPADGKGNPVTVVGKVKPVTAGVAATGKKTKIPVSHSAAGTPRGIVDTVNANAPNKTLVRRAATPVPAGCQAPPLKHAVNTKGNQMTTSNATVFSSGVPASSQTSVVAKVLAKQIHHPAAARLSRIVWR >Sspon.06G0011200-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:48211675:48220776:-1 gene:Sspon.06G0011200-2B transcript:Sspon.06G0011200-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPRLAAAAAASALPLPLPPPAVAAPSGTLRALVLSPGAAAAISSTLRRRGSPACLVRRLCSSHHSSAAAATTAVDEARRGRKQLGMTPPLYDYLLANVREHPILRELREETAAMRGSQMQVSPAQAQLLAMLVQILGARRCIEVGVFTGYSSLAVALALPESGHLVACERDERCLEVAKKYYQRAGVAQKIDVKHALAADSLRSLLDCGEASSYDFAFVDADKRMYEEYFELLLKLVRVGGLIVMDNVLWYGKVADPLVDDPKTISIRKFNKKVLEDKRVNISMVPIGDGMTICRKLVDT >Sspon.01G0038220-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:28969709:28970782:-1 gene:Sspon.01G0038220-1B transcript:Sspon.01G0038220-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:tRNA-specific adenosine deaminase TAD2 [Source:Projected from Arabidopsis thaliana (AT1G48175) UniProtKB/Swiss-Prot;Acc:Q6IDB6] MATAFMEVALKQAKFALDNLEVPVGCVIVEDGKVISSGSNKTNATRNATRHAEMEAIDVLLREWQNMDLDQPQVAEKFAGCDLYVTCEPCIMCATALSIIGIREVYFGCANDKFGGCGSIMSLHKGATSSSDDLSGSQASKPKGFKCTGGIMAEEAVALFRCFYEQGNPN >Sspon.02G0020940-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:71026369:71037888:-1 gene:Sspon.02G0020940-3C transcript:Sspon.02G0020940-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chromatin assembly factor 1 subunit FAS1 [Source:Projected from Arabidopsis thaliana (AT1G65470) UniProtKB/Swiss-Prot;Acc:Q9SXY0] MADPRLYPTGSRSDDRRDAAYPGSERRLYISICTAAFPGVPYRSTTATATATAALLCKLAAKDASNARSNQNSLMENAGEVLDLDEIRSIVESKHKNDADITKMHSKTEAQELQAISKAVKKQQTEQKKKVGYFLTAKSYFFSVVCNVAAYVIPWTNERELRHINEKTEREAKRIKRENKQLKKRQEEEERAKKKKEKEEAELKRKASIQKQANLMERFLKRKANSNTESSGSHHLERTKCSKSSGNIEELAVAATSGMDCTLSKESHLSMEELRMIHVVKWRKLYKHNRLCHWGVRRCPKIQLFPELRLQKSSAAITSDSMSTPTKEQSSQKSTGSLDITKLLDELEIPSRSQNSISSSVLLVKKLLQFDKSSRPAYYGTWRKKSSTVRARQPFQRDEELNHDVESDEEWEEDDPDDPGERLSDFEEDDEKTMNEHDSMIDAEEEADNSFVVPNDYLSDDEGMQCEPVCVIFDEISTMLSIPGVTVEELNALLQRQKALHIITEHALKIDRPLVISNLDHRKLDLLNAEDITGMLKMEKICLQALCMKKYPGSPIIDVPVVNMTIEDGFRRSNRKSPRTPVSSKAISESDMPEFAKLVASCPQGIVKLVELLHETFPYVSKAQLKNKVREIAEFTNNRWQVKQDILDRYSFSLSPDKDEGPKCAALCSSQQRQPPNESGNTGESSPQCSLKSEMARQQSSAQGSHGSTQRPDP >Sspon.03G0008620-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:38104515:38115264:1 gene:Sspon.03G0008620-3C transcript:Sspon.03G0008620-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAHLPVPRLPVLLLFVFLAVHVHFPASHAIPPPLPTTYDGSICSKSFYCGGHNISYPFYLSNATRETTDYEYNYSCGYTDLKISCQGEGPTATPVISLGGENYAVQKIFYDNHTIILADSDVFIGGSCPAVSHNVSFDELWLYNSSAFHNLTFFFGCHLGVSMTLEFDAYKIKCADFKSPPDAGPGDSFVLMIDKLDKYLVQELVRNCNMTVTVPARGDGLIAASNQINFTRGGYGDVLKRGFELEWIRFTANDQCHLCEESEGKCAYNPYKEFLGCLCDEGKVVGIPDCKHIVSPTTAPAPAPTPNVLGNNSSCGYPGLAIDCVDDEYPILQLGSSPDDSYNVTGIDYHNSTISLVDLDVLDGDESCPVDHNVTVPPAVWLNLLPEYTVEYLLFFANCSISTIPGQPYINPISCPSSSVGYYSFVIPSDSEVPQQTLSRECKQVIQVPVLQNASLTIDQQWSINGYRVALEQGFQLGWNSSRRSELCIKCEGSNGGCAYSRYGEFVACLCTNGRVSDQECTKGTCGDRVRVWSGSAATLAVWRGRLATSPLASPLLQAGRPLIKPSCARVSLPVVSVSHPTFAGTARLAALATAKARLKTSLDKKNGACPPSANQNRAPETPESRTELPASPAATMPSLSHQDRGRLLLALLLLAAAVASRGDDDTYAVSACRSRPYLCGGVNISYPFYLATDTNAVPDHDGESYCGYPGLAVSCDGGDNKPVLKLGDDNYTISDIDYANLIVSLADADTAGNTGCPVVDHNVTVPQDVRLSLILHSVDYLFFFVGCSFGPEAEPAPKPPKPPTIKPITCGDMDKPASMTFVLPRGEVPPGDWSSACRQIFEVPVLKSSVPSKAVAEDPVWRNDGYGKALRAGFQLSWDRSSVGPCGQCEQSSGKCGYSPAGEFLGCLCADGRVHDGGCSKISADSSALSWPGVVAGGAGVAGLAAAIFLFMRKRKQKKVINSSSKLLKYSGSGGTPRSQVGDMESGSIEDPATHLFTYEELEEATNCFNENRELGDGGFGTVYKGYLKDGRVVAVKRLYNNSYRRVEQFQNEAAILSGLRHPNLVMFYGCTSSQSRELLLVYVDPEYHQCYQLTDKSDVYSFGVVLVELISSKPAVDITRHRNEINLAGMAISKIQKCQLEELVDLGLGYETDPATKKMMTMVAELAFRCLQQNGEMRPPIKEVLEVLRGIQGECLMEKDGDKKNKDGPVSPTTVHAPWDSRATTPNTS >Sspon.03G0006210-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:32726110:32729678:1 gene:Sspon.03G0006210-3C transcript:Sspon.03G0006210-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLPPRIPTAVHHWPEGGQHGAAAAWADDFAEFAASRRGAHRRSLSDSVAFVEVAPADGAAGEFDRLDDDQLMSMFPDEAGGGGGSSSAPGSENGGSSDSDGDKRVGGAPAGGTTTGNGNACDGEQNEAAGDAQAPATGPAAAASTELIRDPKRVKRILANRQSAQRSRVRKLQYISELERSVTTLQNEVSVLSPRVAFLDQQRTILTVGNSHLKQRIAALAQDKIFKDAHQEALKKEIERLRQVYEQQNLKMSAGAAASDHGPPPPVRAEKELMS >Sspon.06G0033300-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6D:11900512:11900765:-1 gene:Sspon.06G0033300-1D transcript:Sspon.06G0033300-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MCVQEEERIKAANGGTLNFVKDNKRKNVNANANSPSKPKGKGPMQHQPQQNRFAVNKDQCLYCKKEGHYKKDCPEFLKMIMAKK >Sspon.08G0011710-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:50117386:50125216:1 gene:Sspon.08G0011710-3D transcript:Sspon.08G0011710-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)H dehydrogenase C1 [Source:Projected from Arabidopsis thaliana (AT5G08740) TAIR;Acc:AT5G08740] MIARLVLALGAEAKIDVVPSSAEYALPFTTLEDALRVESKLKMLERKRFGKSSPTIEVAIVGLGYSGVELAATISERLKNTGTVKAINVQTTICPTAPQGNRDAALKVLESRNIQLFLGYFVTCIKEASASDDSSSTVTYSEVDGDHRKLILDLQPAERGLKGQTLEADLVLWTVGSTSQIPQLQPPDAPYVIPLNGRGQVETEETLQVKGHPRTFAIGDSAALRDPSGKLLPATAQVAFQQADFAGWNLWAAINDRPLLPFRFQNLGEMMTLGRNDAAVTASFIEGLTLEGPLGHAARKLVYCLRMPTDEHRVKVGISWFTKTAIDSLASVQNAVANSVPLPTKMGDAAATNPSSPTAAMDPDSEVVFDFQPYLCQYKSGRIHRPGGAPTAPAGTDPATGVVSKDIRAGPASARVYLPPGATGKIPVIVYFHGGGFVVGSPARPGTHNYLNDLVARSGAIGVSGYYRLAPEHKLPAAYDDAWAALRWAVTLGDGEDPWLLEHADLSRVFLAGCSAGANIAHNTAVRPSAAGALPDGVTIRGLALVHPYFTGSEAVGGEMAFGPEIRPFMDRTWRFVVSETVGLDDPRVNPFVDDAARKASAGIPCERVLVCVAENDFLLKERALWYYREIKASGYAGEVELFESKGVGHAFHFDMLDSEQGVQLQERTVAFIKK >Sspon.08G0016260-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:59074007:59074282:-1 gene:Sspon.08G0016260-2B transcript:Sspon.08G0016260-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKILAFSILSSSPADISAAGFFSTRLSWRTSAARKPQPQQADTAAPKQEKAAEQRSSRAPAPAGEREREARPRFAPEFDGLNCFESIVSF >Sspon.07G0008630-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:22093558:22105496:-1 gene:Sspon.07G0008630-2B transcript:Sspon.07G0008630-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRAAPRARGRRGVSQAAVEAAPSSSRARRRDKAPVVVIDLGDDEDEDCGGGGGARKTVGGAAGRRGGSTEVPPPPPLPPPMMVPAGAVAMRTRSRRRAMQAAAVVEEAPTTKRRKKGATPDAAEASRGRGSKAAAASTATSRDKRRAGASRSTSRDKRRARSGRGSEPARAGRARKRKGNESGAETEVEAPARRERAKAPCVSESDDNGGRGDDASDDGNAEPRAGVTIGADLVNGDRRTAKGEDHIEEHGGYQENSAVNLNDDLVSGDAEAVEGAGDEDTRGNSGLASTADVVAEEMAPFEDDYDDEMLEEQLVGDVIRAYSNGRNFDADGVDWEAEDEMEFDDDADNSDFMDDAHDSDFVNDADEGGKSEPMQNHAKMEIQDLVNQKVVLGGGRCEEEGGQEEELGVGKEADKKEDVEPKSEAAPGSDQGGLHKEILSSDEEIKVLENMSSAPSRKASVQSKLPTIPSCVAWRTRSSWGINRDRLSYNTYFEALSDEPKEDDDDTEVELDEDEDDNNDDDSSDAYDKDDEEKEEEEEEEEAERRKLKNGIYTSDDDMINITVPTSRYDMFERKNTSRYDMFERKNTSRYDVFEKKHTSRYDMFEKKNTSRYDMFEKKNTSRYDIEWVEDEDKDANVDMLQPISFKKDSSWNPVAVGNDTFTEQQKQSRFTWELERRKKHKLGMKTNPLYERDLNSDSNSSGSDQIRKYGFKRDGSHKVDRKKKHTSSKSGKKPSSAIMLKRQSLLKLLVDKMSGDKSLESFPFDQNPQLQFIFKEMHPLVFSFGDEDLVAADRPEQDVGLDMLWADFDFALESENIGTYYDDEGQEEGNQLDFSLAPVTPCSRGKHEFIIDDQIGIRCKYCSLVNLEIKFMFPSLVSFLMATSHGILSTVQASKSGHLTHQFFQVSGFAEKFAWPNAKGVKDTLVFHDLYEQAGSDIEQSQDLHQYGTVWNLIPGVISTMYEHQREAFEFMWTNLVGDIRLDELKHGAKPDVVGGCVICHAPGTGKTRLAIVFIQTYMKVFPDCRPVIIAPRGMLFAWDEEFKKWNVDVPFHIMNTTDYTGKEDRDICKLIKKEHRTEKLTRLVKLLSWNKGHGILGISYGLYTKLTSEKPGCTEENKVRSILLDNPGLLVLDEGHTPRNERSVMWKTLGKVKTEKRIILSGTPFQNNFLELYNILCLVRPRFGEMFLTKGRVGRRHYVSKKQKDKFSDKYEKGVWASLTSNVTDDNAEKVRSILKPFVHIHNGNILRTLPGLRESVIILKPLPLQKSIIRKVENIGSGNNFEHEYVISLASTHPSLVTAINMSEEEASLIDKPMLGKLRSNPYEGVKTRFVMEVVRLCEALREKVLIFSQYIQPLELIKEHLRKFFKWREGKEILQMDGKILPRYRQASIEAFNNPNNESRVLLASTRACCEGISLTGASRVVLLDVVWNPAVGRQAISRAFRIGQKKFVYTYNLITYGTGEGDKYDRQAEKDHLSKLVFSTEDEFNNVRNMLSKAEMEHCSKLISEDKVLEEMTSHDQLKGMFLKIHYPPTESNIVYTYNQITPE >Sspon.01G0001790-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:5123781:5126934:1 gene:Sspon.01G0001790-1A transcript:Sspon.01G0001790-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATHAALAASRIPTSARLHSRAASRQRVDLADFSGLRPGSCSVSTAAREASFSDVLAAQLVAKATGENAVRAPAEAKLKVAINGFGRIGRNFLRCWHGREDSPLDVVVVNDSGGVRNASHLLKYDSMLGTFKADVKVVDDTTISVDGKPITVVSSRDPLKLPWAELGIDIVIEGTGVFVDGPGAGKHIQAGAKKVIITAPAKGADIPTYVVGVNEGDYDHGVADIISNASCTTNCLAPFVKVLDEEFGIVKGTMTTTHSYTGDQRLLDASHRDLRRARAAALNIVPTSTGAAKAVALVLPQLKGKLNGIALRVPTPNVSVVDLVINTVKTGITADDVNAAFRKAADGPLKGVLSVCDVPLVSVDFRCSDVSSTIDASLTMVMGDDMVKVVAWYDNEWGYSQRVVDLAHLVAAKWPGVAAGSGDPLEDFCKDNPETDECKVYEA >Sspon.02G0048110-2D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2D:13608993:13611206:-1 gene:Sspon.02G0048110-2D transcript:Sspon.02G0048110-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATPEEFLGQRDPEPEPFSPSVFLDLPPTPSEEDPAASTDDLALTFISRILMEEDIDEKFLYHYPDHPALLQAQQPFAQILSDAGASSDSFATNSDGSGACTLPPSSDVPAFANTTWPYDPAEFPRLLLSSPCPDIEAGTDDFTPDDANQFLLPQQDTASARFHQSADQTNAFQNCGGAGIQCSELLADPEEETTASITSSRTGDREHGALVSTFFSDQNRVNMDMLNQAFLRGMKEAKKFLPIDSSLLVEARGCKLPQVFVPGHARNEDRVDRMLTFQGISNGRGRKNRCNWQDLENAEMSRNSKLMVLELEETDEIIDEIILNEYRLCLNGMLGLDITMDSKDGKFVRKGNWKSALRRQSLNEAVDFHTLLIHCAQAISMDDRWSAAMLLKQIKQHSSPRGDASQRLAHCFADGLEARLAGTGSQVYKSLMSKRTSQVDILKAYQLYLTVCCFKMMAYKFSNMTIANVIAGRTKLHIVDYGMREGIQWPSFLGILSTWEGGPPEVRITGVDLPQPGFRPAAHIEEIGRRLSKCAQQFGIPFKFQGIAAKWEMVSVDDLHIDPDEALVINGLFDFGNLMDEGVDIYSPSPRDMVLNNIRKMRPDVFIFCNINGSHGTPFFVTRFREVLFFFSALFDMLDVTVPRDNDQRLLIERDLFGRFAMNLIACEGSDRVERHETYKQWQVRNHRAGLRQLPLDPDIVKVVRNKVKESYHKDFVIDVDHQWLLEGWKGRIICA >Sspon.01G0045030-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:86849469:86852163:-1 gene:Sspon.01G0045030-1B transcript:Sspon.01G0045030-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSATSGFYAFVDPADESNELELFLDQPRSMHHYPPVSYTFNDSDHITSSTSYSSATDGPQFCDLSSNAAPDWYGTSVADSSNNSWINSDITINYLNKLLMDEDNDDKVKLHHGEHALRAMEEPFYRILGQNNPAYPDSPSLCSCGHLNNLDDSINKSSGLSCSSCSVAIDSSNNHSNHNMQAFEAPWSLSDIVKETKRSTEGTRNMELGVKIDGLSIAEKRSRDNQSLQVAFSFNGPTRDEMFDRVLLFSEHKPTDEAIVLQEMMTNKSTGHSQNEQGRTSARRKTRGKKQQKKEVVDLRTILIHCAQAVSVNNHTLANDMLNIIRQHSSITGDDTQRLAFCLVDCLEARLAGTGSQLYRNLITKIFNPVGILKTILDVSKGKSKVHIIDFGIYFGFQWPSLFEQLTKREDGPPKVRITGIELPKQGFRPNQMNKQNTGQRLADYASMFNVPFEYQAISSKWETICIEDLNIEEDDVLIVNCIYRMENVGDETVSINSARNRVLNTIRMMKPKVFVHGIVNGSYGTPFFLTRFKEVMYHYSALFDIFDKTVPQDNETRMLIERGIFQCQLLNVIACEGSERIERPENYKKWKSRNLNAGLEQLPLNPDIVKVIREMVGKYHKDYVINEDDHWLLLGWKGRILNAISTWKPSESYDGDKTYIRSFLVQAERKKGKNGGWYRQC >Sspon.06G0007900-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:39937384:39949307:1 gene:Sspon.06G0007900-1A transcript:Sspon.06G0007900-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARRVGGDGIDRTQETLRADADGAVVRVVGLEMADSGLAGVLIRLIPHWHMRKEEKVDKTHGFESIHGKAALMEKGSAGEDDGDPRWRERCRTWRVRATSGQQAVDGREDNSLVQRRKMGRRRRRGLSSRKPAAPPGP >Sspon.02G0032750-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:100808692:100812686:1 gene:Sspon.02G0032750-3D transcript:Sspon.02G0032750-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLVDWFYGVLASLGLWQKEAKILFLGLDNAGKTTLLHMLKDERLVQHQPTQYPTSEELSIGKIKFKAFDLGGHQIARRVWKDYYAKVDAVVYLVDAYDKERFAESKKELDALLSDDSLATVPFLILGNKIDIPYAASEEELRYHMGLSNFTTGKGKVNLGESNVRPLEVFMCSVVRKMGYGDGFKWVSQYIN >Sspon.08G0004150-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8A:11999413:12000465:-1 gene:Sspon.08G0004150-1A transcript:Sspon.08G0004150-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding METLTEVVRSSKQIKIQGFSLTSAFSNGEVLRSSVWNACGYKWEVHVLPTKYFEFEGYSIALNLYFRDEVPNGGNVKATFGYRLIDPSANLNPSQGQGYSSVSQKFKRSGDCHYGGALVARSTLQTSGYLKDDAFIVECTLAVLRELYKNPTTHRPADDLVPSSGLHHHLGELLQKGTGADVTLVAAGESFAAHKAILASRSSVFMAEFFGHMKEKRSPRVEIKDMDAPILGAMLRFIYTDSVPEPEDGDGGVGAMHTAQHLLAAADRYGIDRLKLACEDRLFDGVSVDTAATTLALAEQHGCYHLKARCVELIAANLEAVMATEGYKHLKASCPSVMDDLLMAVHWRKN >Sspon.03G0016990-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:53369429:53373556:-1 gene:Sspon.03G0016990-1A transcript:Sspon.03G0016990-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHGVSESDGSDSFEWDSDGNGEEAASFNAAGASSSAMTSTNTDAPGPSTRVANGNGKAGPSAALVQKYMDMGFAEEIVLKAMKDNGDNGADSLVELLLTYQELGNDLKVDNGFASGCVPQTVDDSDDDDILENWDDEDAGGRSTRAANSFDDSGDEDFLHEISQTDEKVDSLVKMGFPEDEAALAITRCGQDASVSVLVDSIYASQTAGDGYCGNLSDYEDNSYGGRNKGRFMDGNKKKRKTYGGQAQGSIGPLDGSSSEPMPLPHPMVGFNLPDQWTRPVNRSLPSQAMGPPYFYYENVALAPKGVWTTISRFLYDIQPEFVDSKYFCAAARKRGYIHNLPLENRSPLLPIPPKTISEAFPHTRRWWPSWDPRRQFNCLQTCVSSAKLLERIRVALTNSSDPPPPRVQKFVLEECRKWNLAWVGLNKVAPLEPDEMEFLLGFPKDHTRGISRTERYRSLGNSFQVDTVAYHLSVLKDMYPQGMNVLSLFSGIGGAEVALHRLGIRMKTVISVEKSEVNRTILKSWWDQTQTGLLIEISDVQTLTSERIEAYVRRIGGFDLVIGGSPCNNLAGSNRHHRDGLEGEHSSLFYHYVRILDSVKSIMERF >Sspon.03G0014870-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:67586428:67603803:-1 gene:Sspon.03G0014870-2C transcript:Sspon.03G0014870-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRLVARTSTSKRQQLSFPVLLLVLFQSSCWCCYAATVGYSYSEHEGDRVAFLPGQPRSPPVSQFAGYVTVNENNGRALFYWFFEAQTSPAHKPLLLWLNGGPGCSSVGYGAASELGPLRVTRHGAGLEFNKFAWNREANLLFLESPVGVGFSYTNTSSDLTKLDDAFVAEDAYSFLVNWFKRFPQYKGREFYISGESYAGHYVPQLAELVYDRNKGKTNTYINLKGFMVGNPLTDDYYDSKGLAEYAWSHSVVSDEVYERIKKVCDFRISNWTDDCDNAMNTVFSQYQEIDIYNIYAPRCNLPPSSAALAVDQAFVANDQEHFRRRIRMFSGYDPCYSSYAEMYFNNADVQRAFHANVSGTRKWQVCSDSILRSYNFSVLSILPIYSKLIKAGLRVWLYSGDADGRVPVIGSRYCVEALGLPVKTQWQPWYLNKQVAGRFVEYHGMTMVTIRGAGHLVPLNKPSEVSVLPSALAVASRGEQEGDRVAFLPGQPRSPPVSQFAGYVTVNEHNGRALFYWFFEAQTSPAHKPLLLWLNGGPGCSSVGYGAASELGPLLVNGSGTGLEFNKFAWTREANLLFLESPVGVGFSYSNTTSDQDNIDDGFVAEDSYTFLVNWFRRFPQYKSHDFYISGESYAGHYVPQLAEMVYEHNKHLEANQRINLKGFIVGNAVTDAYYDYKGLLEFAWSHSVISDQLYKHVKSVCTFRTIFLTGECAHAMSLVYTQYDKIDIYNVYAPKCNTDESALSSSSKNTVEKTAKKFKRLRMFSGYDPCYSTHIEDYLNRIDVQKSLHANVSGWIKDRRWSICSDSVFDNYYDTIFTVRPIYSKLVKTGLRVWVYSGDMDGRVPIIGSRYWVEELGLPVKSQWQPWYLNSQVAGRFVEYEGLTLMTVRGGGHDVPQDKPAEALFYGDRDVGFGADACRCGEGCLTIRPEALVTVLKEERDCEALELIKVSS >Sspon.02G0048890-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2C:30905292:30906401:1 gene:Sspon.02G0048890-1C transcript:Sspon.02G0048890-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATAVSLARSVLDGVLSSAGSAIADEVARLIGVPEEVDFIRNEMEMMQSFLKVASAHPEATVRNDIVKTWVKQVRDLAYDVEDCLLDFALYADRTSSSRVGSCLPGAIFERRRIAKRIRDLKASVEALNQRHQRYLQIAVGPAAGVPEAQSSSALHEHDEHSAELAFQVSDIIGRDKEIKAVTKLLSVSNGALRVVSVWGMGGMGKSSLVRMVHNDPDVLAEFDCRAWITVPHPLDNPDVFKRRLMEELGVPYGQKIEDHLREKQYLVIVDDLLSQDEWETIWQTFRFCNETNSRIIVTTRREDVARHCAGAGDVAEGKELIYELKPLDNKESKRLLCQKVRPTYPCSCTPSSQNRCHRSQFPRNKRC >Sspon.03G0021690-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3A:66050558:66051271:-1 gene:Sspon.03G0021690-1A transcript:Sspon.03G0021690-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFGVVSLLDAVFRRAFTSAGLRPGSAVVDADADTTVHFWAHRSLLLPPPPTPNSTTNAEAEEQKQRPVVVLVHGFGPGPTWQWAAQVGPLSRHFDLVVPTLLFFGASRTRAPARSEASQAAAVAALLAGHHLPGLGVSGRPVHVVGASYGGIVAYHLARALQQRGGAGVALGKVVLCDSDVTKGPEDDRVLAARGGVEEVTELMVPADTKMMRRLTALSFHRPPMYLPECIARDLLR >Sspon.01G0017690-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1A:65563198:65563626:1 gene:Sspon.01G0017690-1A transcript:Sspon.01G0017690-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADELDELIGFLSDRNPQVRGAAVDIVRGLTGGEDGLRALTARADRALPALLRLLASAGGSGAGEAAADSLVNLSQDAALAARLVALGAVDAAMDVVAKRGGEQPALARSLVMLLVNLTHVESGVAALLQVRLAILLKESAT >Sspon.05G0018330-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:70466521:70471906:1 gene:Sspon.05G0018330-1P transcript:Sspon.05G0018330-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSATSAAPPGRRTRSRPPSASSRKSDDPSAAAANGNGNGKAASKPASPHQLTGERTVKKLRLSKALTIPEGTTVSDACRRMAARRVDAVLLTDSQGLLSGIVTDKDIATRVIAEGLRVEQTIISKIMTRNPIYVMSDTPAIEALQKMVQGKFRHLPVVENGEVIAMLDIAKCLYDAISRLEKAAEQGSAIAAAVEGVERQLGGNFSVPSALIETLRERMFKPSLSTIVTENTKVAIVSPTDPVCVAAQKMREFRVEGGNGTVNDVANSVMQRFWDSALALEPPDEEFDSRSEVSLLLQSEAGDGKSSVYPPVIGNSFSFKLQDRKGRVHRFTCGSESLDELVSSVRQRLSIADEKEAVQLLYEDDEGDRVLLTTDADLAGAVLHAKSSGLKVVGLQITCSIHLTKTNVHLQLLKLHIEDPSLNTEVTKPSQELAPPPRSNTSYLKKFVHSLNANKEKGDGGQMSKLKLQRGGPETSELNFTPGMLRIAVLIDNDKK >Sspon.05G0035050-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:68451428:68454838:1 gene:Sspon.05G0035050-1T transcript:Sspon.05G0035050-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPDHSRMVMPPTFATGSADRLSALPDEILQHILSFLPAQEAVRTCVLAQSWRHVWELVRRLHITGTTTPTYVGQVRGFVDRLLQARLSMISRSPLDMCEIEFDMFDDNDEAFIHRWIGHVLQCRVQWLSLNIFDNEADEMPWFPLPEPLFSPYLTRLDLYGIEFNASFAHFSSCPALQDLKIEKCDLSEVSEMKSPSLRRLSIKDCISCPNWRFRIFVPRLVWLWLDIPSADRTPQLESMPDLVAAYVKLDWCLDECICEGDRLDCCHVNQAAYSYSYSDIDDGDEEDSDSYASDHAVVDYGYSSTEDDDDEHDEEGFSVDDISSKVTGKCIILGGLSEATDLTLISSHEMFVFRRDLRWCPTFSKLRTLLINDYWCEPPDCRALARILEHSLVLEKLTIVLSGKGPKYEVEMKGCLNAVRRPAMMISEHLSIVEVKCDVVNDTFHNVLRFLDSLNICRLKD >Sspon.08G0030000-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:54297824:54309186:1 gene:Sspon.08G0030000-1D transcript:Sspon.08G0030000-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPSPPPPVLPISEHEDEIVAAVDANPVVVVIGETGSGKSTQLSQILHRRGYTRRGAIAVTQPRRVAAVSVSRRVAQEFGVPLGDEVGYAIRFEDRTSERTCIKYLTDGVLLRESLSNPELKQYSVIILDEAHERSLNTYGLMKRLIKDRASDLKVLITSATLDGLKVSKFFSGCPVLNIPGTLFPVEKFYCTERPTNYIESSLRTAIDIHAKEPPGDVLIFMTGKDDIDKMVSKLEERIQNLEEGSCIDALVRVFAPAPPNCRRFIVATNVAETSLTVDGVVFVIDCGYVKQRQYNPSSGMYSLDVVQISRLISELGELEELGLASESLEDALRQLYLIDAIDENGQITDVGRVMAELPLEPSLSRTLIEANELGCLSQALTVASILSAEITLRSASKDMDGKRKRQELPDGSGWGDHIQLLQIFESWDQSGYDPKWCSDHDLQVRSMKLSKDVRNQLCQIIKKIAKGSTDVHARKSRKSDPDYRKLRRALCVGYGNQLAERMLHHNGYHTVGYRTQLVQVHPSSVLEGDEYGKFPMYVIYHELISTTRPYMRNICAVEQAWVEPILKKLEKLDVNRL >Sspon.01G0005630-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:13431421:13434912:-1 gene:Sspon.01G0005630-1P transcript:Sspon.01G0005630-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGPGLFSDIGKKAKDLLTKDYTYDQKLTISTASASGVGLTSTAVKKGGLYSLDVSSVYKYKNTTVDVKVDTESNISTTLTVLDALPSTKLVTSVKLPDYNSGKVELQYFHENATFATVVGTKPTPVIDLSGTVGVQGVAFGAEAGYDTATGKFTKYTAAIGVTKPDYHAAFILADKGDTIKVSGVYHLDEKQKASAVAELTRRLSTNQNTLTVGGLYTVDPQTAVKARLNNTGTLAALLQHELKPKSVLTISGEFDTKALDRPPKFGLALALKP >Sspon.01G0044860-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1B:85808659:85809053:-1 gene:Sspon.01G0044860-1B transcript:Sspon.01G0044860-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAHEGTKLLKPRIETLASCQAAPNCSRSSPHRPPLALDCSAPQHGRTAHSSATPPPPPTGRPPLRRPASAGSRHLPQSATPADSPLAPSFLPFPDSQREGAGDRRRHTSSAAAAPSGSPSRPLGSADSTS >Sspon.03G0022160-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:90544003:90546844:-1 gene:Sspon.03G0022160-2B transcript:Sspon.03G0022160-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LSLPQPAASLIPAQPWRRCPPSLPPPPAARSTSAISWRTTHRTTSPPPPPPPPPPGSVSRAFLSAMVFFLTECGGVVGAENLREELRQECLRKGTELAQVVADALFALPPSEDRDGPIVHLPPPTVRLPREKHLPKPKPPTKWELFAKAKGITKRKKNKREWDEQTQSWKRTYGYDRVNDDKDIPIVEAKLTDEPGVDPFAQRRDEKKKRVEKQEKNRLENLKKAAKIGALPSHIQLAAKALPITGTKADLPKKSRKEDLESVVGMASSATASGGKFDEKLPGEKPPKHPGKHRKFLPVAEGKGMGSLEKQQNDKILNSLLARNSDEPLDVGKAITMYKVKKEKQRRKEKDMSSKSDKLKPQKKPHKKSSKKKA >Sspon.04G0037560-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4D:74205540:74207750:-1 gene:Sspon.04G0037560-1D transcript:Sspon.04G0037560-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SCTELEKTSLLQFLAGFSQDADLHKLWQEGTSCCKWEGVACNRNSAVTHVSLPSRGLEGRISPALGELIHLEHLNLSHNSLSGSFPLVLLSSRSIVVLDVSFNQLSGELHELPSSTPDKPLKWQYPPGLGNCSMLRVLKAGQNNLSGSLPHELFNATSLECISFSSNSLEGILDGEYIAKLTNLVILDLGENGFTGKIPYSIGQLKRLQKFHLDDNGMSGELPSTLSNCTNLMTIDLKKNRFTGELAKILKRSKNLTTLLIGNNFVQETMPDDESIDGFENLQANHRPSSKRSDRQRSTEGGGTGNPCGHAHALQSSGRGLEYKLEQHARRRGAFGGLRSAPKVIDFNHHGHGVAALSASS >Sspon.02G0056700-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2D:30800054:30808217:1 gene:Sspon.02G0056700-1D transcript:Sspon.02G0056700-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRRTTSESGCHDLAMWPLLQTSSVDLQRLALCANGNHDQTPPSLDLTCKRRVRSRAATRHQASDRTRRCPMATQRQVGSRDGPELLKHDLMCQVPYDRMQASVRSFSSGHALQPRLTPPRQRSSALTERSLSTSGYLLAPASGHTTDTTPFSRTHDWTHPLCVKSQQCPTSGCHLLGGLVACGSVEARKEIMRCSGEGFVRGTVLTPREPRRATLVERVIELPSLLGESKGEVRVVSEWERELVLNVEYAPTINQDPLKVDIVDGGVTGSEPQQALLFMGRLAAGGAFLLARDEEGDEYNGGALSAPLWVTLLLVPSSSGTCGPWRCHGAKEALGVVRLLYSDMLSVRLCRFGPVSLRCAFWRLLGGEGGRLEGLMRGPWSPPSPLRPWRSLSSCVMPRV >Sspon.01G0053090-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1C:31296999:31297245:-1 gene:Sspon.01G0053090-1C transcript:Sspon.01G0053090-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AWIAWARRRTDDHEPALLRASPGGGNCIHSSRRRGPGVQDGHDDFPIPTPPANFTSPILSINLSGTARKLQADFRARQHLR >Sspon.02G0025780-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:94951746:94953887:-1 gene:Sspon.02G0025780-3C transcript:Sspon.02G0025780-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLHRSATTAVLFALHFLFLTTASVASSPSSLPEQEDDMLALLHLKRALTSGGGAGNALRQWSPESGAHHCSWPGVTCDARSGRVVALALGGRLGGELSPAVARLTELKALSFPSAGLGGEIPPQLWRLRRLQVLNLAGNSLRGRLPATFPEGLKSLDLSGNRLNGAIPPALGSCAALRCLRLASNSLDGTIPARIGELARLRVLDLSGNRLTGGVPPELLHCRGLVRMDLSRNLLHGRLPSGLAELKSLKFLSLSGNNFSGEIASCLGQLGLLQFLNLTNNSLSGEVPVDLGNNKLSEEETTAAAVNAADAVSPVHVITAHSVTGELFPVSPIPTIVRQLTEIMPGTSNGSTSSSGSNGLGGLGIKEIAAIASASAIVVVLLVALTLCICTRKWPLRPSKRSVRTREVKVFADVEIGAPLTYEAVVHATGNFNASNCIGNGGFGPTYRAEVAPGVLVAIKKLAIGKQHGDKEFHAEVRILGQCRHPHLVTLLGYHISESEMFLIYNYLPGGNLERFIQERGKRPISWRRLHKIALDVARALAYMHDECAPRILHRDVKPNNILLDNECNAYLSDFGLARFLRISETHATTDVAGTFGYVAPEYAMSCRVSDKADVYSYGVVLLELISDKKALDPSFSPYGNGFNIVSWAVRLIQRGRVREFFIEGLWEKAPHDDLVEFLNLAVQCTQESLASRPTMKHVVRRLRELRPPSY >Sspon.05G0013040-3D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5D:42964427:42968397:1 gene:Sspon.05G0013040-3D transcript:Sspon.05G0013040-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCPYTSSQNGKAERMIRTTNDTVRTLLFQASLPARFWAEGLHTSTYLLNRLPSAACPAPTPHHALFGTPPRYDHLRVFGCACYPNTAATSSHKLDPRSTLCVFLRYSPDHKGYRCFDITSRRVLISRHVVFDESVFPFSSTTTPPVPDPPSLFPTDPVVQPPFSWSPAGTASPRSVPATCPGSPAGPGPSSSGAAPSSPIGADPGPSSPASAPGGVVPDTVAGSRPGTCPGTSVAIHRAGTGVPAQAAATAARGPFSAGDTDTTAAVPAGSWCPTGLPHAAASPTPVRRAMEEEYAALLANQTWELVPRPPGSNVVTGKWIWTHKRRADGSLERYKAQWVLRSFTQRPGVDYDETFSPVVKPATVRTVLSLALARSWPVHQLDVKNAFLHGLLTETVYCSQPAGFVDSTRPDMVCRLNRSLYGLKQAPRAWNHRFAAFLLTLGFVEAKSDTSLFVYHHGADTAYLLLYVDDIVLTASSEPLLRRIIAALQQEFAMKDLGELHHFLGVTVEHRPAGLLLHQRQYTRDIRKRAGMTDCNPCSTPVDTQGKLSEVEGPPVADPTAYRSLAGALQYLTFTRPDITYAVQQVCLHIHDPREPHLTALKRLLRYLQGTLDYGLLLHRVSSTDLVVYTDADWAGCSDTRRSTSGYAVFLGGNLVSWSSKRQPVVSRSSAEAEYRAVANGVAEACWLRQLLAELHTPPSRSTLIYCDNVSAVYLSTNPIQHQRTKHAEIDLHFVHDRVAMGEVRVLHVPTTSQFADIFTKGLPSSTFAEFRSSLNITELAKCIANPSCAANVACLNTCNNRPDETECQIKCGDLFENSVVDEFNDCAVSRKKCVPRKSDVGEFPVPDPSALVKNFNMADFNGKWYISSGLNPTFDTFDCQLHEFHVEGDKLIANITWRISTPDSGFFTRSTVQRFVQDPSQPGILYNHDNEFLHYQDDWYIISSKVENKDDDYIFVYYRGRNDAWDGYGGSVLYTRSKTVPETIIPELERAAKSIGRDFSTFIRTDNTCGPELPLVERIEKTVEEGEKTIVREVKEIEGEVEELEKEEASLFQKLAEGLMEVKQDLMNFLQGLSKEEMELLDQLNMEATEVEQVFSRALPLR >Sspon.01G0031260-1T-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1A:107504752:107505168:1 gene:Sspon.01G0031260-1T transcript:Sspon.01G0031260-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKHPRDSVAGAVPLSLALSLGGGVAAEHGSSKRHRRAAAGGGDGGGEFVCKTCSRAFGSFQALGGHRTSHLRGRHGLALGMPAPAPAKDAKETATKQAAPASHLCHVCGLGFEMGQALGGHMRRHREEAAAATAQAPP >Sspon.07G0028980-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:72627096:72629644:-1 gene:Sspon.07G0028980-2C transcript:Sspon.07G0028980-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DAVYKNKDDYELCEGINIFSVRIATSDVGFPIHVYGTVIARDSLDKKCVYVFRCDRDHCQLINSEVCTLVLTGPKRGLALIGENYVEIDLKIKDHQGQDKELSKGILSIPGIERRDLEQCEIESGSLATRLSTVDVLYGVVKDAVEGTIAVEVLQGDFNGKITAHTTSILNSVVLYDSQVVDGMTGDGTGAMKLMRSVLSVYVKDMLIIVAETSDGKSRRAIDFTPKINGREEKVIIIGITKMRVKVAWS >Sspon.08G0011220-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:46814404:46816382:1 gene:Sspon.08G0011220-3C transcript:Sspon.08G0011220-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFAVSDELLGTFVPIAVYWLYSGLYVVLDGLGMDDYRLHPKGEEARNIVSKWTVVRGVLVQQAFQIAVSLLLFTVLGDENGTVRKQPSALVIALQFIIAMFVMDTWQYFMHRYMHINKFLYKHVHSKHHTLVVPYAFGALYNHPLEGLILDTIGGALSFLISGMTPRTGIFFFSFATIKTVDDHCGLWLPGNILHVFFSNDSAYHDIHHQLYGNKYNFSQPFFVMWDKILGTYMPYTLETRKG >Sspon.05G0005900-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:18730371:18733069:-1 gene:Sspon.05G0005900-1A transcript:Sspon.05G0005900-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAQNGAGGGAPVVLNVYDLTPMNNYLYWFGLGIFHSGIEVHGMEYGFGAHEFPTSGVFEVEPKSCPGFIYRRSVWMGTTDLSRAEFRSFIENLAGKYNGNTYHLISKNCNHFTDDVCKNLTRKSIPGWVNRLARVGSFFNCLLPEGIQVSTVRHVPTHPAFSDDDMDSISSSIIGDSDLEELDQHLLPSTADGHSIDVPPKLAKDLL >Sspon.07G0015850-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7A:56203153:56204794:-1 gene:Sspon.07G0015850-1A transcript:Sspon.07G0015850-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFNLEEFFDQDEDLSDLISPFGREEIDDIIVNLPCDKAPGPDAFNGYFVKKTWHTLRDDFYVLYQDFYNHSVDMKSINALYITLVPKKDNPEVINDFMPISLLNTSFKLIIKTLSNRLQKKILRLVHENQYDFIKTKSIQDYLGWAFEYLYQCKHSRREIVILKLDFEKAFDVIEHSTILKIMEAKGFPSKWIYWVQDILSGVRQASTGLKVNFLMSWLVPINMSNERASELANVFGCSLGSFPFTYLGLPLGTTKPLAKDFAPQFLTYAGRLQLINSVISTLPTYFMCSLKLPITRIDEIDKLRKNCLWRGNDFDRKGYNLAAWDLVMKPKSKGGLRVINLTLQNEALLLKQLDKFYKKDIQWVQLIWDPYYDNGNDAPHLVKEKGSFWWKDLMRLSTTYRGIARCTPVVSDIVSLWNDLLLDSIFSIKFANLFEFSKNKSISLASARSQDNLIDLFRIPMTRDAFNELQVL >Sspon.04G0020510-1T-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4A:72255809:72256705:1 gene:Sspon.04G0020510-1T transcript:Sspon.04G0020510-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MYMNKYRLHPSTHEDSKNHVSKRQVISNVLKQQLVQVAMVTMVMMFKVKEESAISTKTSYPKLASQIAVAMVWFDLWQYAWHRALHANRFLYRNLHSWHHRLVVPYSFGALYGHPLESFITDTIGGTAAFLVSGMSPRASIFFFSLCTVKVIDNHCGLSLLPSWDCMSFWNNAAYHDVHHQLRGGKYNYSQLFFVVWDRLFGTYMPFLIEDREGMLQVRAPGLDYRRSN >Sspon.07G0025250-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:40040878:40044104:-1 gene:Sspon.07G0025250-1B transcript:Sspon.07G0025250-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGMGRLFPGISLHLQQLLLLLAVCCSTGVVRAAVQGSTARSIQMVNRCGPFLGVVVPNAFEMEPLLRSPSFSPAKGLPSYLDVAGRRFRFGIIGEQKVVIVMTGLAMLNSGVTTQLLLTLFDVKGIVHFGIAGNADPNRQIGDVAVPRYWAHTGLWNWQRYGDGPDKELALESNGDYTRKLGNLNFTDYSVDKNARSDGGNLLNSVWYQPEEVFPVNGTPEVREHEFWVPVDGYYYDLLSRKLEGLKLEGCVNNGTTCLPRRPVVAMVERGCSASVFVDNAAYREFLRSRFGVTAIDMETAAVALVALQQGAPFIAIRALSDLAGGGSAESNEAGVFAPLAAQNAVTAAVEFISLL >Sspon.02G0030380-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:110615097:110616308:-1 gene:Sspon.02G0030380-1A transcript:Sspon.02G0030380-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLAVSSRCECFDLHRQLVPYGEAYCWQKSIVERRKGLLDSGEDHSDTLIALQHPPVYTLGTRSKKEYLHFDMEDAPFEVHRIDRGGEVTYHGPGQLVMYPIMNLKYHKEDLDWYFRSLEEVIIRALKSAFSIMATRVEGLTGVWVGNQKVAAIGIHGSRMIVYHGLALNVTTDLAPFKMIDPCGIKDRGVGSIKEILRKASGAREIDDASLMDIAYDSMIKEFAELFQLSLDISPDCSF >Sspon.02G0013590-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:38415352:38419040:1 gene:Sspon.02G0013590-2C transcript:Sspon.02G0013590-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPFPTVARIRPPLAHPTCHSPSPATAALSSMGAPHLTPSCTPLRPASCPHPPHAVAAMDCTTARRGGLCLLLVIFSCVLKRSGCCTPVADGQRPDNSLLLGRSRGPTHVSFLMRERGGGFATDMGAGAESDADTGAWVGTWTWGGSDSDEGNGLEERRRACSASTCAVARDREEGGAARHFHFHHAKRFEPGEIWSGEGATRPALDATSKLGTRCGAFPPQAQAHRVLRPPGHVSRSSSQAESHSDIPSMVTWIRYASICAMESATKVDDEQWLAYWIIYSFITLFEMAAENILYWIPLWYEAKLLLVAWLVLPQFRGASFIYDKFVREQLRKNGVRLHDHHGHAADHVPHVFQILYLAPAPAPSLPSHLPWHPFLSCPSLSCTPLPIVPLPITEHLLVMEPLPVGQPTRRNSVERGHSCQCVAQHRQW >Sspon.02G0024680-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:85058106:85060132:1 gene:Sspon.02G0024680-1A transcript:Sspon.02G0024680-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAHMPCHHLLPVILSVGLVLLPAASAATDDGDQFVYNGFAGANLTLDGSATVTPAGLVELTNGTTHEKGHAFHPSPLRLRGPPPQGSPSSTNGTTTAAVRSFSVAFVFGIVSSYLDFSTHGLAFVVAPTTDLSTALTDQYLGLTNTQDDGNASNHMLAVELDTVQNIEFHDISANHVGIDVNSLASVQSHDAGYYSSSDNNNGSSSSFQNLSLISRDAMQVWVDYDGDATRIDVTMAPLGMAKPVNPLLSHVQNLSAVLAEPSSAPAIDVARLPKLPQVSSSSNARSKLLEIVLPIASAAVVLAAGAALVLLVRRRLRYTELREDWESEFGPHRFAYKDLFHATRGFRDTHLLGAGGFGMVFRGVLRKSGVEVAVKKVSHGSRQGMKEFVAEIVSIGRIRHRNLVRLLGYCRRKDELILVYDYMPNGSLDRYLHGSGGQGDGDGDGDDADDVAPTLDWDRRFRVIRGIAAGLHYLHERWEKVVVHRDIKTSNVLLDGEMNARLGDFGLAKLYEHGDHPQTTRVVGTTGYLAPELVRTGRATPLTDVFAFGTFVLEVTCGRRPIAGQTLLVDWVLQHWHGETLVEAVDPRLRGEFSSEEACLALKVGLLCSHPSADARPSMQQVLQYLDGEAPLPEMTRAELSFDMLAL >Sspon.01G0020130-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:73701521:73705431:1 gene:Sspon.01G0020130-4D transcript:Sspon.01G0020130-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQIRLSKIGSSDSGAAATGSAAAAGASAAVGAAAALGGGIPESVTVACPDHLVIADLPVAKSLGAVTTSAAAATRAIGRRSRRPLGERVHICSRCEFPIAIYGRLIPCEHAFCLTCARSDSSCYLCDERIQKIQSVKMMEGIFICAAPMCLKSFLKKADFEFHVPDVHANLLQTNQEKEEERNESDAPNISRASAGDTQRQSQMPEMSTARAPPRSGVSQDREERSRYHQSREQTPLRPPTLSKPPSFHGRHSYPPGDTQAENNPPQGFDRPYNWTSQSRQESPGAATPLRQESDHSTQDKQQLMANAPYMFPPIPPHQGNFMMPMNMNQPLIPNAPFNYPLQQDGNPQYFSAPFQMQLPDTGSDQGSMPSVQPPAGPMSFPEGLQRPWAMGLMPFQSMALGQGMADGVGDPQGGGGLAFMQAGFGGMPDGSMNTGMPDRGDGRGILAQMPMSMQMQMSLPPPPPTQPPSGSQQTFNRS >Sspon.04G0000980-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:3930031:3931472:1 gene:Sspon.04G0000980-1A transcript:Sspon.04G0000980-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKSNCGQEKELVCVTGAGGFIGSWVVKDLLQRGYRVRGTARDPGGQQERAPAGSGGRQGAPHPVPRRRPRPRLPPRRLRRLRRHLPRRLPGLQRPGARAGGGGGHQECHQRRGGRGRAPRRLHLLLRRRPHGPQPEPRRRPRRDLLERLRLLRANREPVLLRQDDGGDHGDGGGGGAGPAAGRGAAVHDHGAHAAADAQLQHQPRRALPHGHQALHPQRRRRLRRRPRRRARARPRLRAPQRVRTVPLHRHRAAPRTARRHAQGPLPAVPRHRQVRGRWQAIGEAVQVLQPEAQGSGLGVHSAEEELVRDRGVPAAEGTPACHPTAAARVLV >Sspon.02G0014870-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2B:38051204:38051638:1 gene:Sspon.02G0014870-2B transcript:Sspon.02G0014870-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQMKRLRDKLGCPHHPPHNLHGYMRLDTPNDTQSLRANRYGPRPSETRVAANTCASKVPDMDWIAGQEYMITAYHQESETTPSSLSARSAVKQSSSACAAAPCPALRTRCHVADCGGAAAPLPRSAAALLPAASPVTPRAMNVR >Sspon.08G0018760-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:8215781:8218113:-1 gene:Sspon.08G0018760-1B transcript:Sspon.08G0018760-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTKARHFAVVRVVRVLRQMLANKELLDAASTSQQEPDHSAKDVQPNCMVVDFELLPILVAI >Sspon.03G0023830-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:91172994:91174345:-1 gene:Sspon.03G0023830-3C transcript:Sspon.03G0023830-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AQLPPKIPTMAPAWPEFGGGHHHHQHQRSPSVGTFLAAPMPPPPLHPQPQQPSWVDEFLDFSAAKRGAHRRSVSDSVTFLEPGPDDGNAGVGAHDFDRLDDDQLLSMFSDDLQPPPPQQTAPAPAPAPVASSSSPSDHNSINDEKTDRGEAEEAQSKCHGDAADPAPASAAAVDPKRVKRCLANRQSAQRSRVRKLQYISELERSVTSLQVEVSALSPRVAFLDHQRSLLTLGNSHLKQRIAALAQDKIFKDGDRHCRPTLPRLRSSPVAIAIQFALAACMQCNAVLQDAATAAAELCVCGVCAAHQEALRKEIERLRQIYHQQSLKSGGEPPAPDAAPVRGDKDDMIGSSEGTAVPAPGPPS >Sspon.04G0009840-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:28227745:28230693:-1 gene:Sspon.04G0009840-3C transcript:Sspon.04G0009840-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sucrose transport protein SUC3 [Source:Projected from Arabidopsis thaliana (AT2G02860) UniProtKB/Swiss-Prot;Acc:O80605] MDGDVGKEDANKQRLEWATMNLERGVVGGEKKGDGNGGNASRKPPIGIVRLFLACMVSGGIQYGWALQLSLLSPYSQVQPIVGYYSDRCTSKMGRRRPFILAGCIIICLSVMVIGFSADIGRRMGDTKEHCSTFTGSRWYAAAVYIVGFWFLDFANNTVQGPARAMMADLAAGQHGPNVGQAIFSLWMALGSVLGYLAGANAKWHEWLPWLKTAACCDACANLKGAFLTAVILIILTMSVTLWLAGEELLDKANVDDASGGACSAFVDLFKSLKNLPPAMFSVLAVTAVTWLSWFPFIQYNTDWMGREIFHGEPQGAGGKADLYDAGVREGAVGLLFCSIALGVTSFLIPKLCRKLTSRVVWSISNLMVFVLMTAMVVLGIVSMKGYKPSLAASLSAGPDHRFKSGALAIFALIGIPQAVLFSVPWAVVSEVAAEEGGGQGLTIGVLNIAIVLPQLVIALSAGPIDGAFNKGNTPALGIGGVFALICAVLALVLLPKTRGVSSATVMAGGGH >Sspon.01G0046660-2D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1D:92573145:92573498:1 gene:Sspon.01G0046660-2D transcript:Sspon.01G0046660-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SVRDSSIQGHFCTPPFLRWMVQPFWAAKQQLHTPGSCLVPVELQVMYSKSRQYMGQNFALARHAHASVVWMEQPMTMSPAIFRKKNVDEAIHEGDRESVGERGEGYRDSYHTEGEPEQ >Sspon.03G0007690-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:7816012:7823962:-1 gene:Sspon.03G0007690-2P transcript:Sspon.03G0007690-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASAGASQALRNGGGMQMQDQILIFLAVLVSGLRGTKDFLMQRLAEMVLCCGADWGCVPQLWNRVVLRKWLNIGAGSGDSDFSADEHDTSEGETDREGYLTLLFSTSAPLFLERNTCLVGNMRYVTKKGYWVDWLQARLVLGWHVALYNNAIIDAVGDEMNDVPYRLRRHRSEIVRSQYVDVRELRICVGTWNVGGRFPPSDLDIEEWLAMEEPADIYVIGFQEIVPLNAGNIFGAEDSRPVAVWEHIIRETLNKKCSDKSGFKCHSDPPSLSRFNPSDVALSMEHELLSESDKDSDGELHPLIDQDHNCGLQDKTYENLEAFPEDDLACDVIIDKRAKRKRLEFVRIISKQMVGIFLSIWVRRSLRKHIQNLRVSTVGVGAMGYIGNKARINISQHVYTSDSFLFVCCHLAAGEKDGDDLKRNSNVEEILRRTVFNPVNAVGMPMRIHDHERIIWLGDLNYRINLSYERTHELISKQDWDGLFERDQLKRELRKGCTFDGWFEGVISFPPTYKYEFDSEYYVSDESKSERRTPAWCDRILSLGKGIRLLSYKRGELTLSDHRPVAAVYVAEVEVSRRRKLQRALTFPDAEVEDHLSSEKDGLAEQRGWTGSLQTSSRIPEKARPGHAFAGERTGSFKARKLFTFQQSKEAELAGIASLSNRAEKP >Sspon.02G0051030-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2C:71442275:71442481:1 gene:Sspon.02G0051030-1C transcript:Sspon.02G0051030-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSRECVTHGILAGNTVVKMVGSGPGEGPVGTHLHVGKVHGLHVLPNRELDPLKGILVRSSNEGQCQV >Sspon.04G0006050-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:16588774:16592865:1 gene:Sspon.04G0006050-2C transcript:Sspon.04G0006050-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAAAWRLSQRAVTSPLLGIGRSQIRNLFSTMASLSPAAASPKRLRVYSSAAAADNGTGSGKRVGTHNGSFHCDEALGCFLIRLTSQFAGADVVRTRDSQILDTLDVVLDVGGVYDPSRHRYDHHQKGFSEVFGHGFNTKLSSAGLVYKHFGKEIIAKELGVNEDHEDVHRLYLSIYKSFVEALDAIDNGINQYDTDQPPKYVNSTHLSSRVGRLNPDWTDPDQSPEKENAAFEQAMILAGSEFMESVRFHVKSWLPARSIVLECLLSRGKIDPSGEIMVLDRFCPWKLHLFELEEELKTDPLTKYVLYQDERSKSWRVQAVAVAPDRFESRKALPEKWRGMRDDELSAETGIPGCVFVHMSGFIGGNKTYEGALEMARAALKC >Sspon.01G0059320-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:46869284:46874571:1 gene:Sspon.01G0059320-1D transcript:Sspon.01G0059320-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRWSRLRGVPYLRRQRWFGEDEAVEGLTVVRWSRRIGQWRFGLDESPESGRCFFSGHCSRAEEEVERGGSREGRKWRARV >Sspon.05G0020780-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:85626594:85630103:1 gene:Sspon.05G0020780-3C transcript:Sspon.05G0020780-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKKLLPKTKSKKKKEAASSAIPTLDRLHETLEMLEKKERFLQKKCSAEIEKAKDYTKSKNKNAAIQCLKKKKLYETQIEQLSNFQLRVHDQIIMLESAKATTDTVDALRSGSSAVKAIQQSVNIDDIESAIEEANEQTENMREIQQALATPVGASADFDEDELEAELEELEEEEIEDELPEPPARQSVAPVEPPARAKAVPAPQQGSDLSELTRLQAEMAL >Sspon.07G0019580-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:72358980:72360776:-1 gene:Sspon.07G0019580-1P transcript:Sspon.07G0019580-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLHLLRSVLDQHLPQQWQLVPATPLLLLAILPFLSIFLVATRSRRRQNTTSTSYHLPPRASGLPILGNLHQMGALPHRSLRELARRHGPVVMLRLGTVPAVVVSSADAARDVLKTHDADCCSRPDTPGPRRLSYQHNDVAFSPYSEQWRERRRLLVVEFLSKRRIQATWYAREAEMDKLISKLSSVGRRRSVFLEDHIFGYMDGIVGTVAFGNIYGTEHFAYKEHFHHVIDEAMVVRSSFSAEDYFPNAVGRLVDRLTGVASLRERVFREFDAFFEMMLDQHLDPARRAKKPGNGCGLIDVLVGLMEEHQGSFRFSRDVVKALLTNTFIGAVDTGAVTIIWAMAEVVRKPEVLKKVQEEVRAVVGSKGRVEQDDVAKLRYLRAVVMETLRLQPALPLLVPRETMRRITVSGYDVPAKTRVLVNAWAIGRDPASWDDPEKFVPERFEGEEAAAASFFNRAQFEFLPFGAGRRMCPGIDMGVATTEFTLANLLYCFDWELPEGVRREDMCMEEAGGLTVHKKTPLLLVPTRYNHI >Sspon.03G0030220-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:28536601:28552466:1 gene:Sspon.03G0030220-1P transcript:Sspon.03G0030220-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHEQRRQRQQHSPRGNAPGSGRCGTSSGKHKGGGGKGGGKKPIKVVYISNPMRVKTSAAGFRALVQELTGRDADPSKYSPEDLAGAADATVAAADLDCAAAQGLSPGGAAASSETIVAIPSPAAADHLPDAAAEAAPHGTDYYDEEEDADGFGSQLLENNYTVFSPPTLLYDHHPHSKNARSFTFGVKNNRGLDKTALPYQLLSKASSHLVKGTCEAWSVTPMQYYRSRPPTDLAPSSMAAAGKAAGALALALVLALAGANSEGDALSALRRSLRDPGGVLQSWDPTLVNPCTWFHVTCDRDNRVTRLDLGNLNLSGHLVPELGKLEHLQYLELYKNNIKEQSLLSLVI >Sspon.02G0019760-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:66475306:66482445:1 gene:Sspon.02G0019760-3C transcript:Sspon.02G0019760-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGIHKAESTEFRDLLYLTSKQPFILRLAFSAGIGGLLFGYDTGVISGALLYIRDDFEQVEKSTVLQETIVSMAVAGAIVGAGAGGWMNDRFGRRPSILIADILFLAGSIVMAFAPSPPVIIVGRVLVGLGVGMASMTSPLYISEASPARIRGALVSTNGLLITAGQFLSYLINLAFTKVNGTWRWMLGVAGVPALLQFVLMLALPESPRWLYRKDRKREAEEIMRKVYPPEEVVEEIEALRASVEADMAQERSIGGGGLVGTLRKAFGSVVVRRGLTAGVLCQVAQQFVGINTVMYYSPTIVQLAGFASNSTALALSLVTSGLNAAGSVVSMFLVDKAGRRRLMLLSLTGVVACLGMLSGVFFAVDSHSPDVSLAGTALFGANGTCPEFDLATVAGVEWTCAQCIKAPSECGFCADTDKLLPGACLRMSDASRRACRAGRREWYTRGCPSSFGWLALVALGAYIVSYSPGMGSVPWLINSEVYPLRFRGVCGGIAAVANWTSNLLVTQTFLSLTQALGTAGTFLLFGGVSAMAFLLIFLLVPETKGLQFEEVEQMLGSKDYKAWKKFNSKA >Sspon.08G0014650-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:54509057:54511814:-1 gene:Sspon.08G0014650-1P transcript:Sspon.08G0014650-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPPLHLRLRLSLLPPHPISPSRRYASRSSSVAPSPLAVRPLAQRRGERPRPLCAARDGAEAEAEGFAWPDGSEEELRRLLELLPGELRRRVETHPELPALVEVVMDLGRPPLARFPSGDFLLSHRPISFDDLRHATSQVGDFGADNRAGISRTLHRISAIRNRKGVIVGLTCRVGRAVPGSANLLQDLVKDGGSLLLIGPPGVGKTTVIREIARMLADDYKKRVMIVDTSNEIGGDGDIPHPGIGNARRLQVPNQDMQHKVLIEAVENHMPQAIVIDEIGTKLEAMAASTIAQRGIQLVATAHGVTIENLIMNPSLEMLVGGIQSVTLGDEEANRRGVQKTVLERKGPSTFTCAAEIVSKKELRVHRSLEATVDALLAGKLPNVEIRKLGSKGSMEE >Sspon.01G0003220-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:8410055:8413181:-1 gene:Sspon.01G0003220-1P transcript:Sspon.01G0003220-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MERLISTPTSSPSQTRIRGPLFLPSRRLPAAAAWPRLRRQPTRPGAIALASPLRHEALSAAPEEARSDPPPPAAVGSPWKLLGSLLPKASTAALFLLMTLVTSSLHSSLPAYASMQPVAKTGGRLLTTEILSSGWAGFLAGCLHTLSGPDHLVALAPLSIGRSRLESGLVGALWGCGHDAGQVIFGLLFLLLKDRLHIEVFRAWGTRVVGLTLLIIGAMGVREASEAQESSLVLEGIDASMNNNDPLQSPSTPRKKKVGFATFATGIVHGLQPDALLMVLPALALPSRFAGAAFLVMFLVGTVFSMGSYTAFIGSCSEALKEKVPRITEKLTWAASLVAVGMGLALLVGQFFGFSLY >Sspon.03G0020350-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3C:82319324:82319662:1 gene:Sspon.03G0020350-2C transcript:Sspon.03G0020350-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPADLALQRQLQAPEAGAASSKVVTALWVLGLFTASVAITLAMREPLPVPGVHKNAYLLALSVAFFAGVAGVMAAVCIGWPTTPTAAGRRATGRKKLVYSAAAVAVGGFMV >Sspon.01G0021880-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:79427791:79431042:1 gene:Sspon.01G0021880-1P transcript:Sspon.01G0021880-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSATNLRLLSWDCADDPLDFGAFAGAAFLPLQRREAKRERGVSPEAVQARPVDELGGGAAEGEEKARAAKKGNSNNHSDKKTVKIMTYNVWFREELELIRRMNAIGDLIQHHSPDLICFQEVTPNIYLLFEKSDWWQAYKCSLPHEMAMQRPYYSMQMSKLPVKSFDRKPFYNSKMGRELCIADVTVGGVIKLVVATSHLESPSPGPPTWDQMFSKERVGQANESDSGAFRNVIFCGDTNWDDKGDGPFPLPDGWIDAWDELKPGENGWTYDTKANIMLSGNRKLQKRLDRFVCKLSDFKVDSIEMIGEEAIPGATYIKEKKVRQEIRQLVLPVLPSDHFGLVLTISSQSEI >Sspon.01G0034220-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:2232791:2236804:1 gene:Sspon.01G0034220-2C transcript:Sspon.01G0034220-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGAVQALVAQCEDLKLKYYEEMDKRKKLHNIVQETKGNIRVFCRCRPLSKDEVSSGQKCVVDFGGSSDGDIVIANGGTIKKTFKFDRVFTPNDDQDGYNVCIFAYGQTGTGKTFTMEGTESNRGVNYRTLEELFNIAEERKESVTYDLSVSVLEELVLAQHSSFAFRLEIKPNSEGQNHVPGLVEAKIENINEVWKVLQTGSNARAVGSNNVNEHSSRSHCMLCIMVRAKNLLTGECTSNLAGSERLAKTDVQGERLKEAQNINRSLSALGDVISALATKSSHIPYSRVRRIELGPAKKQVDTVELQKTKQMLERAKQELRLKDDSLRKLEENLQNLETKAKGKEQLCKNLQEKVKELEGQLDSKAHSQITSEKQQHQLSGKLKEKEEMCTALQQKIVDLECKLRQPHQSESEVALLKKTIKELEVKLKEQEHDRSVVELSIKELELRLKEQEHQRSVAELKIKELELKLKEQEHQQSVVELKTKVDDLLMPPKMQAREIGHELLETQRTESMLQIKLRHLESKTKEQDTNMMTVESTVVATPVNAKVPQPPFPKDEAMSEKENHILRSSDALNKQQPDAENPSLPPEAPEAGNEKKRKGVTRNVSIGGEQENNGVVPAGGQNAGRKRSLPAEREARLKRKSTEPPPQVKNLVRSTASSRAAATATHKAAPSSRVAKQQPGGNKTRGWVR >Sspon.02G0012040-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2A:32020191:32020610:1 gene:Sspon.02G0012040-1A transcript:Sspon.02G0012040-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LLGSGAPKGLRGSVDPARQARAQASDRVEHLLHRTHRLPTKSRRGPAGVPSYSHRTDEGHGPHDDGHAHASAVHVVSPLPDCPMACGGMTGEVLAAGGPAARRIRVVLVVRVRFRAVSTGQHRALQGAGSNRSWVVGSE >Sspon.02G0045710-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2B:110992739:110995994:-1 gene:Sspon.02G0045710-1B transcript:Sspon.02G0045710-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MYVSFRFDSLWKGSRKPCVSARAAQRYMHATIDLGKVRVGRTRIISNEPINPRWYESFHIYCAHRATNVIFTVKIDNPIGASLIGRAYLPVTDLLDGEEIDRWLEICDDNREPIGHSKIHVKLQYLDVSRDRNWAREITLVRDTNRPKPGGDVTLGELLKRKASEGVRVLMLVWDDRTSVDVGPLKKDGIMATHDEETANYFYGTDVNCVLCGRNPDDSGSLVQDLKISTKFTHHQKIVVVDHELPNQGSQRRRIVSFIGGIDLCNGRYDTQYHSLFRTLDTVHHDDFHQPNFKGGSIKKGGPREPWHDIHSRLEGPIAWDVLYNFEQRWRKQGGKDLLVRLRDLSDIIIPPSPVMFPEDRETWNVQLFRSIDGGAAFGFPETPKEAARAGLVSGKDQITDRSIQDAYINAIRRAKNFIYIENQYFLGSSYGWKPQGIKPEEIGALHLIPKELSLKIVSKIEAGERFTVYVVVPMWPENPPEESSIQAILDWQRRTMDMMYTDITQALQAKGIEANPKDYLTFFCLGNREVKLEGEYEPEQHPEPDTDYIRAQEARRFMIYVHSKMMIVDDEYIIIGSANINQRSMDGARDSEIAMGAYQPYHLATRQPARGQIHGFRMSLWYEHLGMLDDVFQRPESVECVQKVNRIAEKYWDLYSSDDLEQDLPGHLLSYPIAVTADGTVTALPWMENFPDTRARVLGSKSLYIPPI >Sspon.03G0029520-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3B:16161326:16161925:1 gene:Sspon.03G0029520-1B transcript:Sspon.03G0029520-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RIRQGPYSAISGIRPYPSGLDPLDPARSSTRKKGWRDTELRVISPSHPRRHHGVQGWPAIPGAHRYSHSYRDRQNITSRLPDVLASSYPIKGQARTLQEDTDKRARHKSKAKYQQQRFPIQRSTSQAIALILFFLFLRLGLTAPSHKLVTPTQAPRCKEIQNSLPAGRRAFFCPNQDKLSCILLASPSRLGTRNTHSSV >Sspon.01G0018430-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:69567600:69570552:-1 gene:Sspon.01G0018430-3C transcript:Sspon.01G0018430-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable serine/threonine-protein kinase PBL16 [Source:Projected from Arabidopsis thaliana (AT5G56460) UniProtKB/Swiss-Prot;Acc:Q9FM85] LVLCPSCFGFREAPSAQRIASVRSRCSPKRELEIEIGRRKDLFPMGNCLGDSPSYVNKVSSTAKPETPKVQSPSEVDRSDDRKLPSNPGEVEALLRGASAAARNPLVAFSFSELRKVANDFRKEALIGGGGFGRVYKGSFAPPAGADADLSGGATLPVAIKVHDGDNSFQGHREWLAEVIFLGQLFHPNLVKLVGYCCEDEHRVLVYEYMALGSVESHLFSRTSPPLPWATRMKIALGAARGLAFLHDAEPRPVIYRDFKTSNILLDADFNAKLSDFGLAKDGPVGEQSHVSTRVMGTYGYAAPEYMMTGHLTASSDVYSYGVVLLELLTGRRSLDRSRPPREQALTDWALPALPHKKRVQGIVDPRLAGGAGGWDDPPPARAVQKTAMLAYHCLNRNPKARPLMRDVVASLEPLQQPPEDPAGAHAAM >Sspon.01G0000670-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:1523881:1524868:1 gene:Sspon.01G0000670-1P transcript:Sspon.01G0000670-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNMIRSVRRRFTVGHLATVTDPATLRRAAAELLATAIFVFAAEGATLTLGRMHGHDKGVVGGLVGVALAHALALAAAVACAANTSGGHVNPAVTFGALLAGRICLVRSLVYWAAQLLGAVAAALVLRLATGGMHLPEYALAGGVSGWQAAVLEAAMAFGLMHAYFATVMDHHPRRVRAGAVVAAPLAVGLLAGANVLACGALEGAVMNPARAFGPAVVGSRRWGNHWVYWVGPMVGAGLSGVLYEHLVAGPAGGEE >Sspon.01G0016850-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:57840453:57842848:-1 gene:Sspon.01G0016850-1A transcript:Sspon.01G0016850-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTKFVKNHNHGPVPPRRLDSRPADQDCDQIEKPHSIEADPVEEPFEGMEFESEEAAKFFYVNYARLNGFRARISRYCRSRRDNSIISRQIVCSKEGFREVRTKKMMTDEGKTKRPRMITRVGCKAMIVVKKMNSGKWMVSKFEKEHNHSLSHSKMVPSTSNITSREVADFAAKSADPNEVKNEGFGAGTQCNPADSLTVLYNNLCQEAIKFAKEGSVTEEIYHVAVSALKEAAEKVAEVKRSRPTLPHCGFISESKHDVLQVKTTSALQCSNQVELTITSPGSRPVQDSASNLLLIPTNILTDSRLYNGVDTVPLSRGFPKNGRQGTHTSESSVMHFETTEKTSSQKSQNTCSSKLIHGKEQVIHGTSKDSMVAIPAIPLALCMPVTQNLPGSSAEPIRQPQKGICALGPFGGVLSELNNQGTGPKSLVHATALACGARVVPLEEAASLINAIESKIRSGGAIIAKLPSSNLTSPVPPSIAMSSSSEDEEEHDHSEPLTVDIDRNFNQQSSDEMKLQSEPSESETEADN >Sspon.06G0025460-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:68626909:68627755:-1 gene:Sspon.06G0025460-1B transcript:Sspon.06G0025460-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAHGYRYPARYTVKYMHLLAADDEGVLARALRVVPHPPAPTVATPVGPAALPNPRHHRGVPQQGVVRFDEALDN >Sspon.02G0032830-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2C:10570835:10572209:1 gene:Sspon.02G0032830-2C transcript:Sspon.02G0032830-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGKIINHPDLMLSTVQLLWSRDGMEHLKSVEQETGTYILYDRLSLNIKVFGSSDKVAAAEEKLVRALVQLHEKKPLEVCLRGRNLPPNLMKEVIKKFGADLEGLKNEVPAVDLQLNTRRQALYVRGSKEDKQRVEEMISELIASSDHNAPLPSKNACPICLCELEDPFKLESCGHMFCLACLVDQCESAIKSQDGFPLCCLKNGCKKLLLLTDLRSLLPDKLDELFRASLNAFVASSAGLYRFCPTPDCTSIYQVAAAGAEDKPFVCGACSVETCTKCHVEYHPFISCEAYKEYKADPDARTLLEWRKGKENVKNCPSCGYTIEKAEGCNHVECRCGSHICWNCLENFKSSEECYGHL >Sspon.08G0016630-1P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8B:60837664:60841457:-1 gene:Sspon.08G0016630-1P transcript:Sspon.08G0016630-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MENGGGTMRRLAATEWVMCSTCSGFVFRKRRTAEVVEVVKEVEKMRDLMKAVLEHGPLQEIEPAEATKEQWKVLQRRRMIMMETKKCPTCSGSPPTSSREEGSELMAVLPSQGGMERKKIATEDEAVVADARSEQKLKQSREEGKRSSSRLKEKMERKKIATEDEAMVADARSEHKLKQSREEGKRSSSRLKEKKKAKSEDKDGSGSKKKKAKRSSEMEGSGSKEVKMKGSGLKEAAKIEDIIKSDSVDRKTKIEVFRFEKAFESLMNQPPVKSQSKEEAKMEDSESAVGNSKTKMVTKRLDKKSIDIFKNQPPPKPLISNSLYVNQLLDVVTYHDEVQRVFLEYLEYHSSIKGYAEVQEEVTNDEGDDHKLYKYPAEFDLGENPRVRGLNVQLESMYATVAISYDFLEEAKEDYEYDGTNDYALATLNRAAVTRAQDALDALLPHAEALIAEMRAIGGKYFILPKLEGKISIKDFVPEGSMKHLLEAEHFFQEELIFLAFLRISWDEDGREIWTVTTRMRIWSSQRKDDLESMFCRIL >Sspon.01G0015780-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:57608701:57610578:1 gene:Sspon.01G0015780-2B transcript:Sspon.01G0015780-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At4g18520, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G18520) UniProtKB/Swiss-Prot;Acc:Q0WNP3] MLLCCCPFPHQIQTCAPRSPFHQSCLPRSALSFGEQGRSKKSKSHRVRAQVFKSKNQSLRSQSHRADGHGHPADKIPRKDLPRSAAPSLPDAEAVAFWLRFCRSALDVRRTHAVALRSLGSLGLFVSNNLISAYVRFDGAANARKVFDEMRERSVVSWTAMMNGYQKLGRHGEVVRLLMGMLAAGVQGNSLTFVCLLKSCGERCDAKLGQQVHCCVVKGGLSNMIVDSAVAHFYAQCGDVAAASTVFDRMASHDVVSWTTMITAYVQHGHGDKALQMFPTMVSQGFRPNEFTVCSALKACAEEKALRFGKQLHGAIVKKLYKYDIHVGSALVTMYARCGEVFDAQAVFDKMPRRNTITWTSMISGYAQSGCGEEAVLLFRKMKMRRVFVNHLTVVGLLSACGSMQSIYIGKELHAQIIKNCMEENLQIGSTLVWFYSRCGEHTYAARILGAMPDRDAVAWTAMISGYNNLGHNVEALKSLDEMLWDGVAPNTYTYSSALRACARLEALRDGRRIHGVVNKTRAFSNVFVGCSLIDMYMRCGKVDEARRVFDAMPERNLVTWKVMITGFTQNCLCEEALKYMYLMQQDGYDVDDFVLSTVLSSCGGHQLKSDCILNNLSTFSEVLD >Sspon.04G0018520-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:66588649:66589543:1 gene:Sspon.04G0018520-1A transcript:Sspon.04G0018520-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding PPSSPALLPDHRRFSIRGRVYPAILPARGHAVSGKVRIRLSLSTRQPEPVANTAEIWRRRPPRQLPSESVASVLRPGSQGALTDRELHVFDIFEDEEYVKTTVEVSLADAPEKSLAYAYIWGNERDPDLHGEWDFEEWRKVHLKDYLEMTQEFMQELGQF >Sspon.01G0011480-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:31229238:31232131:-1 gene:Sspon.01G0011480-2C transcript:Sspon.01G0011480-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uric acid degradation bifunctional protein TTL [Source:Projected from Arabidopsis thaliana (AT5G58220) UniProtKB/Swiss-Prot;Acc:Q9LVM5] MAAPMPLSAEDVLRVNGSRRFAAAMAAASPFASLADALLAARRIWLDEVDVNGWLEAFAAHPAIGAFAAYPAIGTTSPSVSKWSKEEQSAALSTATDSTAQELAEWNARYREKFGFVFMICASGRTAPEVLAELKRRYTNRPIVELEAAAQEELKITELRLAKLFSSEPTVPSTTTEDPATQSDKAAGSSNRSRPPITTHVLDTARGSPASGIEVHLEMWKDSSAPPSFSNKDFSGWSTLGYSVTNNDGRSGQLMGIVDNIAPGFYRISFNTGKYSPAGFFPYVSIIFEIKENQTAEHFHVPLLHSPFSFTTYRGS >Sspon.03G0024590-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3A:74777629:74779299:1 gene:Sspon.03G0024590-1A transcript:Sspon.03G0024590-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVSPLLALLLVALLSLLLFLSTGRKTLGGSDGRRLPPSPPGLPILGHLPLLGPLPHRKLWAMAQAHGPVMLLRFGRVPTVVASSAAAAQEVMKTRDLAFASRPRIRMAELLVYGRDVAFVPYGEHWRQGRRVCVLHLLSQRRVTSFRHAREREVAAMLARVRRDGGGAVNLTAQIISYTNGIISRAAFGDKGGSYYDGPGGGEKLTKLFADFEGLLGTVTMGDFVPWLAWVDALMGLDAKATRTSAEMDAFLERVIADHRQRRRGGHRDGDDDRDFVDVLLDVNEDEADAGHVCRRHGHDLHDAGMGHGGAHQPPTRDAQGPGRDPRAAVAVAGSDRVTEDHLEKLRYLRCVIKETLRLRTPLPLLLPRETTVDTELLGYHVPARTRVIVNAWAIARDPATWERADEFVPERFVGDDLTADYLLGQDFRFVPFGAGRRGCPGVGFSVPAMELALASLLYHFDWELPAGGPSKLEMDELNGLSVRLKANLCLVAKPWCRQ >Sspon.01G0029810-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:83428839:83429453:1 gene:Sspon.01G0029810-2B transcript:Sspon.01G0029810-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQERSSPPSQAAAVNLSLDLAPAARGEATDEVAAPTRSVGGKQVRLFPCLFCNKKFLKSQALGGHQNAHKKERAASWNPYVYDHEHHHAAAAAAAPPPDYCRRSTTEASNKSVPIFSHGGPATETAASVKLEMPADDGGAPPYYMDHVVLPAAAPYHPCAKRDDNTVDNMRVWKRTSHTSSSAAPPRSADTNTGEEMDLELRL >Sspon.02G0048170-2D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2D:33970448:33971695:1 gene:Sspon.02G0048170-2D transcript:Sspon.02G0048170-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATMVFLPCWGSGHFMSMIAAGKRMLDASGGALSLAVLVMQAPTPAKASEVEDHVCRESSSGLDIRFINLPAVEPPTDCLAPEEFNFRYIQCQAPQVEEAIAGLSSPVTAIVFDLFCTPLLDVAGELAVPRYAYFASTGAFLALMLRLPLPGIREDLIVRLKQTEGMVHVPGLPPVPVSYMPACLSGSKIGNYEWFEYYARRLMDTSGIIINSSVELEPGVLAAIADDRCVPGRPAPTIYAIGPVIWFAAPEQHQPHACVQWLDTQPSASVVFLCFGSNGVLDVAQAREVAAGLERGGHRFLWVLRGAPAAGSRHPTNADLDTVLPTGFLARTWGRGLVWPAWAPQKAILAHPAVGGFVTHCGWNSILESLWFGVPMVPWPLYSEQHLNAFELVREMGVAVHFKNMDVTKKDLL >Sspon.07G0010480-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:33018389:33022875:-1 gene:Sspon.07G0010480-1A transcript:Sspon.07G0010480-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plastidic large subunit of ADP-glucose pyrophosphorylase (AGP), Starch synthesis in rice polle [Source: Projected from Oryza sativa (Os07g0243200)] MACPAAAPHTSAAFLGSPAAPLPARRASPPAPRRAWPAAAATTSSCVLADAPREIKEEQAAAAAAARRDVSPDTVASIILGGGAGTRLFPLTRTRAKPAVPVGGCYRLIDIPMSNCINSKINKIYVLTQFNSQSLNRHIARTYNFGEGVGFGGGSVEVLAATQTAGESGKKWFQGTADAVRQFLWLFEDARLKCIENILILSGDHLYRMDYMDFVQKHVDSGADISVACVPMDESRASDFGLMKADRNGRITDFLEKPKGENLKSMQMDMGLFGLSPEFASTYKYMASMGIYVFKADVLRKLLRGHYPTANDFGSEVIPMAAKDYDVQAYLFDGYWEDIGTIKSFFEANLALTDQSPNFYFYDPVKPIFTSPRFLPPTKVENCKVLNSIVSHGCFLTECSVEHSVIGIRSRLELGVQLKDTMMMGADYYQTEAERLYELSVGKVPVGVGENTKIRNCIIDKNARIGKNVVIMNSENVQEADRPAEGYYIRSGITMVLKNAVILN >Sspon.06G0014200-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:88647729:88652419:1 gene:Sspon.06G0014200-3C transcript:Sspon.06G0014200-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGASDPTAVERTAFRRAEKQYKLYKPLNLKGRPRSRSKPSGGEGGGADLSAVVDFHTLLAADGELPAGIGRHDCAGFDRPVFCFLDRPGFYFIPGALSTEEQCCWIRESLKTFPQPPNRTNLTAIYGSISDLLIAAENQKILVEAENPDIQERNEQNNCGGKTESKYFKFVDSESQKGEEHRSTAATTLVRKLRWSTLGLQFDWSKRNYDVSLPHNKIPDALASLAKKMAIPAMPSGEEFKPGAAIVNYYGPSDMLGGHVDDMEADW >Sspon.07G0008670-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7A:23816127:23820881:1 gene:Sspon.07G0008670-1A transcript:Sspon.07G0008670-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LRDPALLIIAAEQRQENRLNAVVKATGSLESWRQESEGTVD >Sspon.05G0029510-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:79331728:79334863:1 gene:Sspon.05G0029510-1B transcript:Sspon.05G0029510-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAAATGRFLLVLMACSVHAVTWSYRVWALTLILGYNQLSGDFPSGISNLRNLMWLALHGNQFTGKVPEWLGTLNSLQRLLLDNNNFTGFIPSSLSNLTQLSNLGLQANKFEGHVPTSIGVLQNLQVCNFSNNLLHGGIPKEMFAIPAILSIDLSANNLHGQLPDEIGNAKGLVYLILSSNMLFGELPTTIGNCENLEHIGLRQNSFGGRIPVSLGNIRGLEVLDLSHNNLTGSIPMSLTNLQYLEQLDLSFNNISGEVPLKGIFSNVTAVRIDGNPGLCGGPLELHLLACHVMPANSSKKGHSIVQKVDVYLLP >Sspon.03G0002130-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:12810965:12818082:-1 gene:Sspon.03G0002130-4D transcript:Sspon.03G0002130-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEKESTSIPLSQAAEAVDPEDPAKSPPRPSSPTTSTRKACCAVLQSWVSRKFMTGCVVLFPVAVTFFITWWFIQFVDGFFQSLYAKLGVDIFGLGFLTSLVFIFLVGIFVSSWVGSTIFWVGEWFIKKMPFVRHIYSASKQVSTAISPDQNTTAFKEVAIIRHPRIGEYAFGFITSTVVLQTDKGDEELCSVYVPTNHLYIGDIFLVNSEEIIRPNLSIREGIEIIVSGGMTMPQVIASLEPMPRKSQNIRLNRMTVVLFPVAVTFFITWWFIQFVDGFFSPLYAKLGVDIFGLGFLTSLVFIFLVGIFVSSWVGSTIFWVGEWFIKKMPFVRHIYSASKQVSTAISPDQNTTAFKEVAIIRHPRIGEYAFGFITSTVVLQTDKGDEELCSVYVPTNHLYIGDIFLVNSEEIIRPNLSIREGIEIIVSGGMTMPQVIASLEPMPRKSQNIRLNRMT >Sspon.06G0005990-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:18632122:18636472:1 gene:Sspon.06G0005990-4D transcript:Sspon.06G0005990-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAVPSRVALSAASRIPNRHAVAGDRSFIYKGRCQSLAIPMALSAAAPGKGGGVLDRPVEKTTPGRQSEFDVKKSRKMTPPYHVILHNDNYNRREYVVQVLMKVIPGMTVDNAVNIMQEAHVNGLSVVIVCSQSEAEEHCTSLRGNGLRSSIEPASGGC >Sspon.01G0019020-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1A:71066041:71067085:1 gene:Sspon.01G0019020-1A transcript:Sspon.01G0019020-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHLFEFGEEELMEQNENGSTIIEKPSTSDTIKTAEPVPVDSLMLSLLSYHEHEALLQENEEEKLTKEEQDMALSEWESLSKAAPDPERKSNMNAVPTYPNLVRPLKPASRSRQLQQPK >Sspon.03G0008040-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:21928496:21929692:-1 gene:Sspon.03G0008040-1A transcript:Sspon.03G0008040-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAASKQQLRRLRTLGRGASGAVVWLATDDATGQLLAVKSAGPGTAAAERLRHEARVLSALRSPHIVRCLGSRAGGGEYQLFLEFAPGGSLADEAARSAGGRIPERDVRRHAADVARALAYLHGDQVSLVHGDVKAANVVVGADGRAKLADFGCARPAVACCDRRPLAGSPAFMAPEVARGEEQGPPADVWALACTVIEMATGAAPWSDADDVYAAVHRIAYTDAVPETPAWLSSEAKDFLRVCLQRNPRQRPSAAQLLDHPFLVSAEPAKHGRAWATASPKSTLNVELWDSDDDEEEEASESATGRISSLASPRSALPDWDSEDGWIDVHSEECCQPQVSEVAGAGFGRRSDEALDAAEVGLHVVDVDVEDAIRYPTCHVGVVEDFVKCQQRHSSLS >Sspon.05G0015240-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5B:57317883:57318960:1 gene:Sspon.05G0015240-2B transcript:Sspon.05G0015240-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LPGVTRDGHSNHFFHRTSKAYPTSTRIRRKILSERGQRSSEDVGEAHWQQTGKTRPVIVCGRQKGCKKILVLQNIKQGKRERTNWVMHQYHLGMSEEEKDGELVLSKVFYRCPDATVIEQNDEKVEVTSEATPNILP >Sspon.04G0009930-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:29590037:29595565:-1 gene:Sspon.04G0009930-4D transcript:Sspon.04G0009930-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASQSSMSGAGEPGMRTVVWFRRDLRVEDNPALAAAARTAGEVVPAYVWAPEEDGPYYPGRVSRWWLSQSLKHLDASLRRLGAGRLVTRRSNDAVVALLDLVRSTGATHLFFNHLYDPLSLVRDHRVKEQLTAEGITVQSFNADLLYEPWEVLDDDGCPFTMFAPFWNRCLCMPDPAAPLLPPKRINSGDLSRCLWDELIFEDESERGSNALLARAWSPGWQNADKALTAFLNGPLMDYSVNRKKADSASTSLLSPYLHFGELSVRKVFHQVRMKQLMWSNDGNHTGEESCALFLRSIGLREYSRYLTFNHPCSHEKPLLSHLRFFPWVVNEVYFKVWRQGRTGYPLVDAGMRELWATGWVHDRIRVVVSSFFVKVLQLPWRWGMKYFWDTLLDADLESDALGWQYISGSLPDGRELDRIDNPQFEGYKFDPHGEYVRRWLPELARLPTEWIHHPWDAPESVLQAAGVELGSNYPRPIVELDAANSRLQDALSEMWELEAASRAAMENGMEEGLGDSTDEPPIDFPQELRMEVDRQPIQPAIHTPAVAGRRREDQMVPSMTSSFIRAETELTADFGNTSEDSRPEVPSNIHSQARAEREETVDGGTGSTVRMNGSHQQQNLQNNMHRVLGIAPSVSEASSSWTGREGGVVPVWSPPAASVHSDPYAADEADISSRSYLDRHPQSHTMMNWSQLSRSLTTGWEVDN >Sspon.06G0013010-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:51743417:51748922:1 gene:Sspon.06G0013010-1T transcript:Sspon.06G0013010-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCVSSKQFHGGDDHGDGKPRRRPSSNSLKRLVSYSSSKRHEDLEEEDEEGVPVPATSSSSAVRRTGNDASTARLIRKPPAPVVEVVPALPEEAATLAVGAVDAERAVAAVTGNRKRPPADVQVNGAAEQEPRSGGLRPEGEAKPRIRDVPNGVQGEHVAAGWPRWLTEVAAEAVRGWQPRRAESFEKLDKIGQGTYSSVYKARDLENGKIVALKKVRFANMDPESVRFMAREIHILRRLDHPNVIKLEGLVTSRMSSSLYLVFEYMEHDLAGLAATPGLKFSEPQVKCYMQQLLSGLDHCHNRGVLHRDIKGANLLLDNNGILKIADFGLATFFNPNQKQHLTSRVVTLWYRPPELLLGATNYGAAVDLWSAGCILAELLSGKPIMPGRTEVEQLHKIFKLCGSPSEEFWANLKLSRATIFKPQHPYRRCVNDVYKDFPTTALTLLDHLLAVEPGNRGTAASALDSEFFTTKPYACDPSSLPKYPPSKEYDAKLRDEEARRQRAAAKGQEAEAGRRKQLPAPDGNNGLQHRRVQVNPKSSSYKFTPKEDAVSGFPIDPPARAADNGYPQRVPLMQAGRSSSTLGRSSGMDPKAQRFHTSQIITAEMSNQSTASGQRGNAPKMSNLGESARRQYLREHRSSSRYSQLTAADPSDRPEWNHQVQERPSSSHRKDDAAANKEPTVVNGTKKNRIHYSGPLMPPGVNMDEILREHERQIQQAVRRARLDKGKGKHNVERDQSEALLYTTGNIRADR >Sspon.04G0000260-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:5122991:5124199:1 gene:Sspon.04G0000260-1P transcript:Sspon.04G0000260-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTSSELVAQTKKTKTAALTLLMTTTTPTSSSTDDHSTADLVQVDDSHGHHQPLAAAVKRKQRTKRRRHHPPAAASSSASASVSSSESTTTEEEDMAHCLILLAQGAAGASVVDSKPSPAVLPSSTLPTAPHQNQAPPRPAAPPRAERYTSRKYTEAAATPDGVRAGFYVYECKTCNKCFPTFQALGGHRASHKKPRLAATADGDIAAAVNVDGTTTTTATKATTTTASPSAPPLAVQRQPQPRPLQTAAIDIAAATSAAFPDVTVTTALSLSSVAAAAASGKLRVHECSICGAEFASGQALGGHMRRHRPLNAPDRAVTTAIVAADTTGNSNSKKESSAGINLELDLNLPAPSDEEAAVVSLLPPPPPPPPAVVMLGLGQFNDGKKAGLMLTASALVDCHY >Sspon.08G0012890-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:54773695:54775902:-1 gene:Sspon.08G0012890-1A transcript:Sspon.08G0012890-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAAAPARGLGRHEYAVLALTFASYASFHASRKPPSIVKAVLSADWAPFSGPRGPHRLGELDVAFLSSYALAMFAAGHLADRADLRRLLGAAMLASGAACAALGAAYFLDVHALAFFVAAQVASGVVQSAGWPCVVAVVGNWFGHASKRGTIMGVWNSHTSVGNIAGSVLAAAVLEFGWGWSFLVPAVVIAALGVVVLVFLVAHPSEAGLEVEVMEVEMNGDGGEEVGLLGEDKKEVQGNEDDEFELEMGSQLPRAIGFVEAWKLPGVAPYSFCLFFSKLVAYTFLYWLPFYIRNNAVAGQFLSHKASGILSIVFDIGGVLGGISAGFLSDAIGARAITSVLFLFLSIPALIVYRTYGSISMQHNIGLMFLSGYFVNGPYSLITTAVATDLGTQDAIKGNSRALATVSAIIDGTGSVGAALGPLLTGYISTRG >Sspon.03G0032870-1P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3C:50436666:50439239:1 gene:Sspon.03G0032870-1P transcript:Sspon.03G0032870-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLPAAVLLLVLQLASAAAQAGGRPPASCPTTCGNVSVPYPFGIGDGCSLPLPGFNLTCDQTQQHPPRLLLGDGGTLQVVEISLANSTVRAIDTAGAVNITYHGEPEGNGTWSGLGSGSGDTYVLSEERNQFVVTGCNVQGTLLGDSRNVIIGCSSFCSIKDIWINPVVNTSGGDGTVACSGVGCCQTPIPIGRPNYTVEFKYLDLEYMGKLPTALRIAERGWFDGVAAQMLNESATDAQVQQVPAPVVLEWVVASTPVAPPGSTAAEDTGNWSCPVDATRSACRSSHSTCHNVTGNYRNGYVCRCQDGYDGNPYLAGDGGCQDIDECALPEKCSGVCTNRDGGYECRCPRGARGNPYTADGHGCVKTSLGLSVGLGVGSGAGLLVLALGSAFVARGIKNRRARMLKQNRGHLLQQLVPQNTDIAERMIIPLVELEKATNNFDNARKLGGGGHGTVYKGILSDLHVVAIKKSKVAIQREIDEFINEVAILSQVNHRNVVRLFGCCLETEVPLLVYEFISNGTLYDHLHVEGPTSLGWDHRLRIATETARALAYLHMAVSFPIVHRDVKSHNILLDGSLTTKVSDFGASRCIPPDQTGVTTAIQGTLGYLDPMYYYTGRLTEKSDVYSFGVVLIELLTRKKPFSYRSPENDGLIAQFSSLLTHGNVSCVLDPQVMEGGKEVNEVAALATICVKLKAEERPTMRQVELTLESIQESLQQMKLHSVGPSKSKENVILPIVEGRSTEESSRQYSLEEEFLQSARYPR >Sspon.07G0022090-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:6734087:6735022:1 gene:Sspon.07G0022090-3D transcript:Sspon.07G0022090-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADESVYCPDCHRTTEVVLDHATGDTICTECALVLEAHYIDEGSEWRNFADDGGGEDRDPSRVGGPNDPFLSNTPLVTKIAYAGPQKTQGEGGHALPRMRVSAGGGGADHEQTLVDAFHAIADMADRLGLVATIRDRAKDVYKRLDEAKACPTRGKKRDVFYAACLYVACRNEGKPRTYKELATVTAAGAAAKKEIGKMTTLIKKVLGEEAGQVMDIGVVRAADYMRRFCSRLGMGNQEMRAAQEAARRLENGLDVRRNPESIAAAISYMVVQRAGAGKTVRDVSMATGVAEATIKEAHKDLTPHADMLFA >Sspon.02G0047260-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2C:13330029:13330627:1 gene:Sspon.02G0047260-1C transcript:Sspon.02G0047260-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding QYGASQTTPIPTSSDVHSGSRSLTPSGRPCGSCSRGIAGGPPPRPSRPECWTPVVAPWFLMNHDQFLISNARGLSRARRTAVKDVVVLEHVSVVCLQETKVAAFTDNMMNELPGLDFAYSFLPSVGISGGVLIGWRTDRWRGSHQSLGTYSVTVRLSTVHRADVECLLNSIYGPTDLALKEAFLSELEGLASSCPEGH >Sspon.03G0026220-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:2198954:2205017:-1 gene:Sspon.03G0026220-1B transcript:Sspon.03G0026220-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD kinase 1 [Source:Projected from Arabidopsis thaliana (AT3G21070) UniProtKB/TrEMBL;Acc:A0A1I9LRY1] MSLDELADKAGSSRSVRASCAGATPGGGSGGWASNFRPICFDGVFGLAGSDECVVSVLQEASSSEEVQLAPSDSKFRSLSPAPIPIPAPPSIRSLVDSVSDERVNVDIVASHQSENGSISTASSTVSLESEKAAYEFLAQTPVRSTDAHLVEFSEAMRTVAKALRRVAEGKAAAQAEAAEWKRKYDLETAHKQPSYNSCISNDLDKLASQLTLETPAPDQLGCCGKHGICAHEVLQDEVPGAIPRSNHKVVGRKASFKLSWGCNGDKNGQHKHDFVSFEKGDITTAERSSKQILLKWESPPQTVLFITKPNSNSVRVLCAEMVRWLREHKNINIFVEPRVKKELLTEDSYYNFVQTWDDDEEIKMLHTKVDLIVTLGGDGTVLWAASLFKGPVPPVVAFALGSLGFMTPFPSEQYHELLDNVLNGPFSITLRNRIQCHVIRDAAKDEIITEEPFLVLNEVTIDRGISSYLTNLEVYCDSSFVTCVQGDGLIISTTSGSTAYSLAAGGSMVHPQVPGILFTPICPHSLSFRPLILPEYVTLRVQVPYNSRGNAWASFDGKDRQQLSPGDALICSISPWPVPTACLVDSTTDFLRSIHEGLHWNLRKSQSFDGPRD >Sspon.02G0034340-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:12289808:12294881:1 gene:Sspon.02G0034340-1B transcript:Sspon.02G0034340-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVRASAAWVASRSSHVTVDLQEIEKVVDQIKGDVPKVEWDFEGIHYFDNGPLTVQYLFVLDALNFCFWPDKDLSYDHLASGLKLALEKDKNALDSDRLQNYTGPQLRQLLNWPRPLPIEEERVRLLHEVGMELERSFGGQAANLVKSAGSSAATLIELITRHFPGFRDHSLYKGHQVFLYKRAQIFVADLWGAFKGQNYGEFHDINSITIFADYIVPAVLRELGILKYGSNLSCSIDSNSEIVPGSEEEVEIRACSIYAVEQMRDLIGKKFGKQLLSIDIDLWLWSCGVQNMALSHHRTLSIYY >Sspon.02G0031880-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:103593294:103597906:-1 gene:Sspon.02G0031880-3C transcript:Sspon.02G0031880-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRQHLALAAAAKSHAALLKYGASSPTPWNQLLTAYSASGSGLAAARRVFDEIPRPDAVSWNSLLAAHVAAGAHRDAWRLLRAMHARGLAASTFALGSALRSAAAARRPELGAQLQSFAVKCGLADNVFSASALLDVYAKCGRLSDARRVFDGMPVRNTVSWNALIAGYAESRKLAQAMELFLEMQRVGLVPDDATFAALLATIEGPRWYSLMQQLHGMIVKYGSALGLVVLNAAITAYSQCGALADSRRIFDGIQSRDLISWNSMLGAYAYHGMDDEAMRFFVRMMRESGVRPDMYSFTSVISVCSEHGCDDQRGRSIHSLVIKIGLEGVTHVCNAMIAMYTRFTEYCMMEDAYKCFSSLVFKDAVSWNSMLTGYSHHGLSSDALRFFRCMRAENIRTDEFALSAALRSCSDLAVLRLGRQVHSLVIQSGFASNDFVSSSLIFMYSKCGMLGDARKSFEEADKGSSVPWNSMMFGYAQHGQAQTVTDLFNEMLDLKVPLDHVTFVALITAYSHGGLVDEGSEILNTMETRYKIPLRMEHYACGVDLYGRAGQLDKAKELIESMPFQPDAMVWMTLLGACRIHGNMELASDVASHLFVAEPRQHSTYVLLSSMYSGLGMWSARATVQKVMKNIGLSKVPGWSWIEVKNEVHSFNADDRLQMQITVVEANMREESLQLRLISF >Sspon.01G0051230-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:12572616:12575127:1 gene:Sspon.01G0051230-1C transcript:Sspon.01G0051230-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVLAGAADLAGIVMHLHTRPGPAADDRALINYILAFPVALKCHIIFDSDVKGDLQGLLAEDDLNVVLASKHRPRCIIEFISQSLQMLDLDEQKRSIMESKLSIFLEGIGVCEQLMGIPIPLSYTRLTSRFLVLWHLTLPVILWEECKWIVVPATFISAASLFCIEEVGVLIEEPFPMLALDALCKQLHDGIKDVMAVQNSVHSRLVAKTTKDHRGSRCENNGWPSSKREAAKID >Sspon.02G0009800-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2A:27398387:27399073:1 gene:Sspon.02G0009800-1A transcript:Sspon.02G0009800-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MCPIKKETSAESGSPPCSSPSSSSTSLLEHHQQTVWTSPPKRPAGRTKFRETRHPVFRGVRRRGNAGRWVCEVRVPGRRGCRLWLGTFDTADAAARAHDAAMLAIAGGAGCLNFADSAWLLAVPAASTYASLADVRRAVAEAVESFLRRGEAAAEEEDARSAASSTSSSPASDDDGSEESSSATEDSPPFELDMFGDMSWDLYYASLAQAMLVEPPPTVPAFCDDGVAE >Sspon.01G0000490-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:895140:899394:1 gene:Sspon.01G0000490-3C transcript:Sspon.01G0000490-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSRYVIEEDPYDIDAYHDRVYEEMAMRARFESARPVVAMRGGPVAEEDGGDGGAEEDEDEEDEYEEEEEEEEREGVQLVAGDCEPPPRARVSGVAAAAAVETANTSTCPVCMDPWTSQGPHRISCIPCGHVYGRSCLDKWLTRHGNQSAKCPQCAKRFKQKDIINLYAQEVAIPNSELEKEISYLREEIDSLKKKVVRHDKMFEEMTKRQNDMEQGIIDGVSSKRQKVAEHSYEAANLEPSIPATISFSLQNELPVDGARVISIDASNQKILVSGRATAIGAEYVLTKINMSNHEVRKIHLPPDTKAVRDMCILPGGSAIFTSLGKKLSLFSMTTDSVALNWDLPAPGWSCAVGPSGSHHIYAGLQNGNVLVFDIRQTARPLHSMAGLSTNPVHTLHSVIDNGGSRKVLSASAIGPCMWDADNNQSRPHLLTGMGDERVCISLACAAPSCDLMVASFRPKVNPSGDEVVTSQVYLSQTPTRSGSGKSGYHALIRRMDNTSFTEGIACYANVSELRMSKSAIIPYGDNQHLFAYGDESLRGVRTWQLPSFRTHADLCTHREPILDLRYAETESPGGGRYLGCLSEEKLQVFRVY >Sspon.03G0009440-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:40802156:40804565:-1 gene:Sspon.03G0009440-3C transcript:Sspon.03G0009440-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MACSFSPSSSATRLQAVDAVAAGVKNGRVPLNLKASVAPAQRSLGLGCCRATARQEGATQEPNVAVSSARAQLDLLEQLTSPTPDGIAGLENGMPTEPRRRSTIREQLSALANGKVVDEFTLPLGKKLKEGLKNLNKLTVSQRRNIKRQALLTQVSGRNDSVFFATVGAFVLVPPFAILAIAVITGYVQLFP >Sspon.03G0011030-4D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3D:34721992:34722459:1 gene:Sspon.03G0011030-4D transcript:Sspon.03G0011030-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding YRQPPPRRHPIPRPPHPTPLPARLHAHSCTERDVTVPFRRQYTTRHSLHLEIPAKQEEPAAREASRVPCFVLTCLGPSASPYPSCPRPPPPPPPPAPSEPAAACRSGSQGKRESRGRRLRCSTSRGGLALSPPGWPRPPSTRRPWSAARASSRRC >Sspon.08G0002810-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:7999300:8001216:-1 gene:Sspon.08G0002810-1A transcript:Sspon.08G0002810-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMTTCNACNVVFVDDEQKRIHYRSEWHRYNLKRKVARVPGVTEALFLAWEVALSVGTTPTLYTCPLCEKEYTSSRAHEQHLSSRSHLMRAASQEPSGSSTAERITSLPVRATTTVEEEELAGEPTSNSMQVNAADYSSTRRDEEIEELDLDPSCCFMCDLEHGTVDDCMVHLHRKHGFFVPDSEYLKDPGGLLAYVGLKVKRDFICLYCDDRRQPFQSLEAVRKHMDAKGHCRVRYGDGGDDEDADLEDFYDYSSSCADVDGKQLVAAADGNCGIELGIGGSELVITNKSEKGTRVRSLGSREFIRYYRQKPRPSSSTTGRALALSMASRYKSVGLSELQTVRMKKADLVVLE >Sspon.02G0024220-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2A:82888400:82890724:1 gene:Sspon.02G0024220-1A transcript:Sspon.02G0024220-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTAAGGAGVGAEAAAAAVAAAAMEKQLASASQPAVEVRTVREVGGSNTWPMLTRTNYGEWAVHMKWKLRARRWWAAVETGNAGEDAEVGVMEALMASTSSEFHEAIGSKNTAKEAWDMLASFRLGSDRAKKAKAQQLRREFDDLRFKPGEAVEDFALRLQSIASQLATYGKPVDDEDVVAKLLRVVPAKYAQLALSIEMMLDLSTLSLEDVTGWLRAVEDRAPPEKEKPKLLLTEEEWSARMKEKRKTGEGCSRGGGDRGGGNGGKQRGKAPAEKKRGKKKFPDPNACRKCGEVGHWARECPNKKPERKEEAHLARDDSDGEHALLMGVFCAESGDAEAVEVEQRSAPPVVHLDEPRAQVHLGAAANESEPRWYLDSGASNHMTGCRAVFSELDEKHGGSVRFSDGSRVQIRGRGTVLFKCKNGEHRALTEVYYIPELRSSIISLGQLDEHGAEVLIRQGVLRIKDQDGRLLAKVMRSRNRLYLLDFKVEQPVCLAAVSMEEPWLWHGRFGHLSFDALGRIEKMVTGIPRIKHAAELCDSCLAGKQRRLPFPKAARYRAADLLELVHGDLCGPITPSTHGGRRYFLLLVDDHSRFMWLRLLASKDEAADAIKQFKARVEAESGKKLRVLRTDRGGEFTAVEFATYCAEGVGRHLTAPYSPQQNGVVERRNQTIVGMARSMMKAKKIPATFWGEAVTTAVFILNRAPTKALKGQTLFEAWHGRKPSVAFMRTFGCVGHVKTTKPGLGKLEDRSTKAVLLGYEEGSCNTSVLRKH >Sspon.08G0000600-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:2060569:2062716:1 gene:Sspon.08G0000600-1A transcript:Sspon.08G0000600-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MCIAAWIWQAHPVHQLLLILNRDEFHCRPTKAVGWWGEGSKKILGGRDVLGGGTWMGCTKDGRLAFLTNVLEPDAMPGARTRGDLPLRFLQAIRLGKNFRELLREHGDDEIEVKDIVERLMTDTTKADKDRLPNTGCDPNWEHGLSSIFIEVQTDQGLYGTRSTAVLSVNYDGEASLYEKYLESGIWKDHTVNYQIE >Sspon.01G0023680-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:82598851:82603797:-1 gene:Sspon.01G0023680-4D transcript:Sspon.01G0023680-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMGIMGKAALSKSWQESKLLWHIAFPAILTAVFQFSIGFVTVGFVGHIGSVELAAVTIVENVIEGFAYGVLLGMGSALETLCGQAVGAGQVSMLGVYIQRSWLICGATALVLTPTYLFTTAILRALRQPSDIADVAGTYCRWVVPQLFAYAANFPLQKFFQSQSRVWVVTAISGCGLAVHVVLNYVFVARLGHGLLAAAVVGNVTWWLIIVAQVGYLVSGCFPEAWQGFSKLAFHNLAAFVKLSLASAVMLCLELWYYTAVLILVGFLKNAKLQIDVMSICINYQLWTLMVAMGFNAAVSVRVSNELGANRPKAAKFSVVVAVLTSGSVGAVFFVVFLAWRTGLPRFFSEDDDVLREASRLGYLLAGSIFLNSVQPVLSGVAIGAGWQALVAFVNIGSYYFVGIPLAALFGFKLSMDAMGIWLGMTLGTLLQTAILVFISYRTKWEKQAMRAEERVREWGGRSDALPSSATQVAPAVQDADPSSNATPI >Sspon.01G0013270-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:36314159:36316873:-1 gene:Sspon.01G0013270-3C transcript:Sspon.01G0013270-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MENGNNAGHPPHYPPYQYPYPQAQLYPYGYQYPPAPPPSSSAAPAAPYLSPSPSFPSYAPAPPPPPQQQYHSGPLQAYPPPPQYHAYPPPPHPSPYGHGYDPYPSSPYPSSYPSTNPSPSPSPGLSPSSSFHHQHASAPEPPSPAPSAPSYPIEDVLASMRLSDRHDYASSPSVPPPSTPFSGDGSSHGGGMQAVPYGAAAGGSQHGGGMQMVPYGAAAGGSQHGGSFRASLKVVLLHGSLDIWVHDARHLPNKDMFSKRVGELLGPRITSAVGSKMSSASMTSDPYVTVQVSYATVGRTYVIPNCENPVWSQNFLVPVGHEAAEVQFVVKDSDVFGAQIIGVVSIPAEKLLAGERIQGVYPVLESNGKPCAPGAVLHLSIQYIPVAQLTMYHHGVIAGPDSLGVPHTYFPLRRGMRVTLYQDAYVPDGCLPDIWLGNGLRYQHGQCWRDIYDAICQARKLIYIVGWSVFHTIHLVRDGTQAPSLGDLLKIKSQEGVRVLLLVWDDPTSRSILGYKLDGFMGTRDEETRRFFKHSSVQVLLCPRSAGKRHSWVKQQETGTIFTHHQKTVIVDADAGNYRRKIVAFVGGLDLCGGRYDTPWHPLFRTLQNEHKEDYHNPNFN >Sspon.03G0004130-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:16993757:16994537:-1 gene:Sspon.03G0004130-1T transcript:Sspon.03G0004130-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPFERLLDGLEGPPPAALVADAYVPWVVGVGNRRGVPVWSLFPMSAAFFYAYYHFDRLPAWLTDYEHAPDSGETIANSDQRLGQYIAGLASSSIRLSDLEP >Sspon.04G0001520-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:6227859:6230108:-1 gene:Sspon.04G0001520-2C transcript:Sspon.04G0001520-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVLNYAFVESMQQQDAIEDIVVVGAGLAGLATALGLHRRGVRSLVLESSPALRTSGFAFTAWQNAFRALDALGVGDKIRKQHPQAQALRVMSSSTGEVAQELDLTVQPKR >Sspon.06G0011450-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:45856402:45859188:1 gene:Sspon.06G0011450-3D transcript:Sspon.06G0011450-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPGGCLEVRLFYVRVSPHGGAAPPARLALELRPAGGDGEAQAPAIPLPLRLDRHDAASGEATYVSTAAVRLAPPAAAFDVADHRGAALLRGSLRRCPGAKGGPPAWEIDCVPAAAAAASASAFEVYVAGCCAGEPAVLTHALRLATPEEAAGALVRRRSGALAAASNEGNNDMNTGSMQYPEGWYSDDDDGQLSWFNAGVRVGVGIGLGVCVGVGIGVGLLMRSYQATTRSLKRRFF >Sspon.03G0009260-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:4825357:4828391:-1 gene:Sspon.03G0009260-1P transcript:Sspon.03G0009260-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSEHWISRLAAAKRFYAAQLGHSDRTGMEELDMDEEVRPEFACPYCYEDHDVGSLCAHLEEEHPFEPQAAACPVCSEMVTKDMVNHITMQHGYLFKNRRRLRRFIIPGSQALSLLSRDLREAHLQVLLGGGHRSSNNNSTTNISADPLLSSFGLSFPTSDAEQTSKSTISIPDDATMVKETPAQARKLRSIRPSQVKKGSRNGSKPASEPHSCKTCCSLLYSGTN >Sspon.08G0013040-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:55352302:55357179:-1 gene:Sspon.08G0013040-1A transcript:Sspon.08G0013040-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLRANPLAAVPVSFSTSPAASYSYFPWPSSSSTTAARCSVGSTARLAAAVQFDPLRSGLVTAEGGEEQQRDDTEGDSDDWEEEGPQGSDSNGISGIHVPRQRYIAVPKAALLDAVLSQFASDADAADFKRCARCLDAILHAEHKRMLEEMRTSYMLTQRSQEEGDGSATDDSSTSDVVNGKAASGFFGITQEDGTLFLTRSLGLRTLLGLTPDPDSQTRVAFATQFQRSFMNLLRNAQFEELSAQDLLLTYALNSDYLLTLPIYVDWKKAAQSNAIIFRRGYATERQKGLLLVEKLDYLQSKFLQNVFFGLSKPLKKPGKWLNEALKRSTDNPGFQIWINKLRIWLKEQTYADNSLLLIETWDKLSSDQLPDADIPIWIAAQRAVSRYEGFLSPVGPRGRLLRRLLKWTGLIPSLPEATIRSNNETKHLEGHVRPNFLPRITLANIWEPASIESCNNNIWEIVKASFGILFGRSTLQEPAFQELILLYTDEAAQSEKKDTSEMLPLQLKIYEKIPLPDLPVVFPHKKLSFRILDTVRLDIATVIGLLAYVVNYKFESLASSPSAFLLDIVAGSALVILAFRVALGYKQTRDRYQLLVNKTLYEKTLASGFGSVYFLLDASEQQQYKEALLAYAMLLCRKKYQVSSRASIKDTCEQFMYEKFKAKIEMPIDQAMETLVRLGLVIELPTDDGSSVIGLPCSEAYEILKSRWDGLLEQETEQGRWTHEW >Sspon.05G0006610-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:20702454:20726875:1 gene:Sspon.05G0006610-1A transcript:Sspon.05G0006610-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEGMGAAAVKKLKGLAFSDVLFHQLDITDVSSIARLANFLNTRFGKLDILVNNAAVGGVVYSQDPVDDLESREEKRLEWLWRNCRETYDAAKEGLQTNYYGTKHVIEALLPLLKASDDGRIVNVSSDFGLLRHFRNEDLKQEVNDVSKLTEERLDELLDQFLRDFKAGTAEARGWPVAFAAYKVSKAAVNAYSRILAAKQPALRVNCAHPGYVKSDITLHSGLLTPEEGASNVVKVALLPDGGVTGAFFEEGKELASFVNGVTVVLTARDETRGAEAVHKLTGLGLPDDGLQTNYYGVKHITEALLPLLLSSDGRIVTSPPALGCSESDELKQELNDVDNLDEERLDGLLDAFIKDFEGGSVEARGWPAEFSAYKVAKAAMNAYSRVLARRHPALRVNCVDPGYVRTDMTRNSGLLTPEEAGGTVVAVALLPVGGPTGAFFDGGAGKEISVAVVTGGNKGIGLEVCRQLAGKGVTVVLTARDEARGAAAVENLRDLGLSDVIFHQLDITDAPSIARLAEFLRTRFGKLDILVNNAAIGGIEYVHDQDCGSLSGMDMDQRLGWLWQNCRETYDDAKTGLRTNYYGTKQVIQALLPLLQASSDGRIVNVSSHFGQLRLFRNEELKRELNDIDSLTPERLDGLLDTFLKDFEAGAVESNGWPVYFSAYKVAKAAMNAYSRILARRHPELRINCAHPGYVKTDMTIHSGLLTPEEGGSRVAVVALLPEGGPTGAFFEDFAESSPWCTLMEAAIHSASKTRIAVVTGGNKGIGLEVCRQLASDGATVVLTARDERRGAAAVEKLREAGLSCVIFHQLEITDAPSIARLAEFLKTRFGKLDILINNAAIGAVEYVQDPVDSSTNEEKFSGMDQGQRLECMFKGVRETYDAAREGVKTNYYGTKHVIEALLPLLQASSDGRIVNVSSEFGLLRLINNEELRQELNDVEKLTEERLDEVLATYLRDFEAGEVEARGWPMDFSAYKVAKVAMNAYSRILARKHPELRINCAHPGYVSTDMTIHTGPLTPEEGAGNLVNVALLPEGGPTGAYFALGEEAPFV >Sspon.05G0008480-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:19762397:19766687:1 gene:Sspon.05G0008480-2B transcript:Sspon.05G0008480-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEEGAMSPTRMLAKGHLRVATGGGTPADGGIAVRHLPHHHSTKKDGVGGKTEQDNHEGVDSLPSQELKKLANGNSKVRYTLHDVAFIYLLIWYAYLTMLCINANADSWYINDYRKLVVPIIEEYFSTGDVELASSELKGLGSDQFQHYFVKKLISMAMDRHDKEKEMASILLSSLYADLLSSYTISEGFMMLLESTEDLTVDIPDATDVLAVFIARAIVDEILPPVFLTRARALLPEFSKGIQVLQVVEKSYLSAPHHAEVVERKWGGSTHFTVEEAKRRIQNILREYIESGDIDEAFRCIRELSLPFFHHEVVKRALTFGMENISSQPLILKLLKEAAAGCLISPNQISKGFSRLAEGVDDLSLDIPSAKALFDKLVSTAISEGWLDASFGKSAAPDEEMQNTSAVKVKRFKEESGHIIHEYFLSDDVPELIRSLEELSAPEFNPIFLKKLVTLAMDRKSREKEMASVLLSSLRLELFSTEDIMKGFVMLLQSAEDTALDIVDAPSELALFLARAVIDEVLIPLNLDEISIKLRPNSSGSQTVQMARALLSARHSGERILRCWGGGTGWAVEDAKDKITKLLEEYNTGGDLGEACRCIRDLGMPFFNHEVVKKALVMAMEKHSDTSILALLQECFGEGLITINQMTKGFARVKEGLDDLILDIPNAQEKFGEYVELATERGWLLPTFASIT >Sspon.05G0011020-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:31517517:31521365:-1 gene:Sspon.05G0011020-1A transcript:Sspon.05G0011020-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEILSPSPPPSPCPLLRYGGRREQHYGEAWVHVAVGRSPEKTLGLLRWALRRFGCGRITLLHVHQPSPVIPTLLGKIPAAQATEELVRSHRKSEKEEMNKMLHTYLAFCHRAQVQTRLLVTENDQIHNGILSLVDQYRITKLIMGSAPDKIFDRQQCSCVLSNLVRVERKAHLDQRSECGHRQRYPIHILTTLDPYLMKDIMREALTTVDLDQGVVSDYDRSIDYEAFGAHEANHFNRMSMSDWEDDTEAALNSTFWSDSSVHVDTLQLYSKEVLARNVKQVMMEADRSRKDAFIKDSDSAKKHEMEMREELEVVLVATRKQHEDLIKNKERAVSGLDSSMRRLAILDAHAEKIKLRIDEFSAELEVIQSSIESLYQKKLKMQKLENRHIDLDKGCTYSHDTLSNCVSNAFGDDLYSFREFTMSDMQSATCKFSESFKIWSQGRGCVYKGEIMNRTVMIYKLHCHSIESVRQFQQEVYILSKVRHPHLVKLVGGMPRSAVSNSRPLPWKIRVRIVAEISDALAFLHSCKPQMIVHGNLKLENILLDTECHCKIADFGISRLFTDDMKDYPSGGSTSELKGSFPYADPEYKRSKVLTPKSDVYYFGIVILQLLTGKQEPAGLAGEVRRAMSCGKLSTILDPTAGQWSMEVAGRLAELGLRCSEDSSRDRPDLTPEIVRGLEQLHLMREERAPSSFLNLVFFFLFCFGLQEVMHDPQVCADGVTYEGWAIREQMETGQGTAPLNNLKLEHLNLTPNHALRFAIQDWLRHSH >Sspon.01G0052820-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:21933296:21936756:1 gene:Sspon.01G0052820-2D transcript:Sspon.01G0052820-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSQSPIPRLRPPRANRPATSSPTSRPISPAATASTSSSRSPATPRASRSPLGRRRPRRLRPPQVLRVQRRPQPQGFPSRQSLNALRAHPHPPPVLALLAYGGEGVYYFVEQFVWLAKAGLLPAHLLPRLQRLSAWAELLGYVGSIAIKLEEVAKIESSIKKRLAEDCDKESEPVKTMQGKLLLKRMSVVQDVADAVMALGDVTDGKGLLGSSTLMASAGLLSASVVARILLGTQGYSCLLPELCRWLKKARDTRTEKSKNPGAVKSRGDQREETANSPFPSRLHIRSDFSRVTDT >Sspon.01G0056360-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:86442781:86447547:1 gene:Sspon.01G0056360-2D transcript:Sspon.01G0056360-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVSSSLSPTVAVINSDMSTTSRWASSLPDDLVRLIASLLLAGDLLDYVRFRAVCSAWRSGTASPRGRGVVDPRFHPRRWMMLPEGNGLHPGHPKLHGYVRFFNLDTGAFVRVHIPLFQDHCVLDSIDGLLVLQRDHDTAVRVLHPFTGDIVDLPPLSTLLPQSHLCGLTGLPMKRRLRFLRNISATATFAAGVVTVMLAFVRLHHVAVATPQDQQWTMSTWSCPGHALWPSQGKIYVSCATFGRPSVIQIFQIDTPRLPDELLQPPKLIATCNGVGQRYQAISIVECDSEILVMFYAYALSKMLVYKLADLVVGRYIPVTSIGDKTIFIKQRSISASAKAFPILNLKGDTIIYSHYFENESYLSEYHLSNDTRSPAMDHCSLDGVEPGPCSLIHHMITCCSRRHWTSVRTDSFSVKKKRDACLPSWPYPPRPTPPCPSSTPDSTALVFLASARASWPLSSISGSSSTRFTIGLAGATSHWLDREVHRQRRPSSTPAERPPISPTAVDRRHPPACALIFPASAALRGHCPPSLVAPALGLLPAWSTRPPIGMLQVFHVIVAKGDQDVAYVAIVVHVLHLSPHLLLPRLGISSSSRCRLGIRRPPPSILDATDIQDGVGPMKWHEKRTPGVQALLAPTRQANLMARQNLAEDSAILSSTAVGEKTNGSMKRVLGCPLLASIQTDHTVVYSTTK >Sspon.03G0002340-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:15264992:15270605:-1 gene:Sspon.03G0002340-2P transcript:Sspon.03G0002340-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSGILLQIFYGFLGSWTAYLISVLYVEYRSRKEKEGVSFKNHVIQWFEVLDGLLGPYWKAAGLAFNCTFLLFGSVIQLIACASNIYYINDRLDKRTWTYIFGACCATTVFIPSFHNYRIWSFLGLGMTTYTAWYLAIAALINGQAEGVAHTGPTKLVLYFTGATNILYTFGGHAVTVEIMHAMWKPAKFKYIYLLATLYVFTLTLPSAAAMYWAFGDELLSHSNAFSLLPKTGWRDAAVILMLIHQFITFGFACTPLYFVWEKVIGMHDTKSIFKRALARLPIVVPIWFLAIIFPFFGPINSAVGALLVSFTVYIIPALAHILTYRTASARMNAAEKPPFFLPSWTGMFVLNMFIVVWVLVVGFGLGGWASMVNFIRQIDTFGLFAKCYQCPKPPVPAAAQSPAPLPHH >Sspon.08G0023710-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8B:57583870:57584052:1 gene:Sspon.08G0023710-1B transcript:Sspon.08G0023710-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLILELGIWVLPFTLLLAPARRMVRLVDELQRIFLAVACRRAPPPTLGRLWSRLDRLDSAT >Sspon.01G0058810-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:35695003:35703415:1 gene:Sspon.01G0058810-1D transcript:Sspon.01G0058810-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSHAQRSRAQRPDASSSQSRSHRSVPFPSHRLHLNFSLLYAHSHPTPAAVHPKPNGLHLAAGRPSTTGTGPYPARASSSLPLLLPPQPDLVAPAAAPTTLAVMSSTTLSPSQPPAVSPASAATPPLPASPYYSSSLPRDPSSSVPCGRPSHEHPSGSLSHTLLVTAAAINNSDIPGLDADAAAERDAIIFRSYIALGPYQLVVSEIDLSAVNSLEAVKLLALYLTGDRVLGTPTCEEIQCMNRNYTVFGFSQIKAHPWHKFTIVLLLRHLVTVVMVGAAKQFTFNLLTGQKQYHLQLVQIKAYPWLKPLLATFGQYRAEIGHYVLPYCCHAVTVDREGSKGDLQQRMQAMFSSTEKQDKGHLEKEACTKKLTDPKKIIGADRASDRGGRETGRGRAGKGARRTEVGGRRGADGREMGHGKSSGRVYGPNGRTLARPMKTLPRAPQKVHLMAI >Sspon.01G0019590-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1A:73211670:73213043:1 gene:Sspon.01G0019590-1A transcript:Sspon.01G0019590-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RPSGQAFLTVHSAPPSPYTALLYVYLASSFMLPPPYYPSLSRYATSRAGPPECRIPRTSVSFAHHPSSFAADAGVSRFGPRSLA >Sspon.01G0050450-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1C:2077469:2077649:1 gene:Sspon.01G0050450-1C transcript:Sspon.01G0050450-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPVGWSIPIFKKRIDGYIEEVPNPIWDYHKYIPYSKPGNVHDQIELYGPPKDLDDFCEK >Sspon.08G0015060-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:55165387:55167877:-1 gene:Sspon.08G0015060-2B transcript:Sspon.08G0015060-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDATPPSKKAKTTTAAAPPPHKLREAAALADEVRTPEKPAKKLAPSPAPAPAAEQIPTPEKPEEMPRARGRSVAFSVKEIRRAALGLRRPAAQAEAAVEDELESVERELGVGAGASRSPVKRKAEVKLPESYEMLCEFFNCLESSTRLLRMKGSKATFPNICSSIQHLTERRFTHSHLAQLKYIMPEAIVINKILLRDDTTCCMYPDLQVNLLVSAVENVVKQKGETAYLALRRVFRQRLVEFYREHPEGDDIPEHELPHPFNRTRSSMPQDEQRTVPESSSPLKPSDVNGQQTAVMSHMSQSFKRRFSQRSPISSTTASAPSPLVKVASTVPSPLSRNSLFSRDVSGSMCVDDKSSAKEVVCKSVVLENTPAKFASTPVRLMAPTPDLKTPKRPTSATGYDTPPLKMAKRSARAKLFATPTKDSSKDGENQSANISGADADDELLSFLPQSLLKSVKEKEERALEEKETGFADQVKRQKLIASLPSTFDTIFLIYQSRQRSVMTKQELIHKIIASSPKIADRSEVEEHLTLLKELVPDWISEKTARSGDALCCIEATLSQSEIRQRLYDAAE >Sspon.04G0037920-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:79721854:79723701:-1 gene:Sspon.04G0037920-1D transcript:Sspon.04G0037920-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding YMYLPLHLKRCFSFCAVYPKDCNFVKEDLAEIWVAEGFVEPEHNIPLQQTGCKYFEELEYLSFFQKLRGKYVMHDLIHDMAQLVSKDECFIIKEKNDLERIPQNVRHLSVLKSRDFKFSDLGNLCKHTKLRTLLCYMSLKDRAGNTVMEKWCTELLCMRVMVFSFISQSTGLPDSIRNMKNLRCLKILDSCFFKSIPEAFCCLYNLELFCARKSTFAEVPRGFSNLINLQKFESESLIIDAAMGNGEDVRLIKNFNLITRDLCIHNVCEISKNQAAEIKLMNKDYIFSLSLVWTNKQGPPKHNEMEVFEALHPPTNIKSVHLYFYPGEYLPSWFRGSDSAMLSSLTEIWIVSCPRLSSLELFLHPAYMPAIKTMRIGHCRSLESVPTERFENLHSLEALYVVTCPKMKSRRLLAPSLKTLHLVDSGSFGDDIDCISLTTFTLLSSHLASLRSIEEKFPLLTDLTIESCFQLETLHHGSFSCLKNLSIESCPHLKWQSEMVLPSSLQELTLTDCGDLSAWFPRCLEKFTSLKSLKMAKCKHIEYIPHGLWISNLKSLQELMIRNCEDLVSIGAPEGIAHIPKVWIENCPKLKEVQQQLRKGY >Sspon.02G0043490-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2B:92157839:92159509:1 gene:Sspon.02G0043490-1B transcript:Sspon.02G0043490-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFDGRAEYLADLDLWLGLSSTVVGLGSASPRSYVYGELCTTSGLSLSAMAMSQPPTLMQLLYTPPDEWMMATNAKQAELINLGSGKFAIAKVFNLYDGYTSDYYGPDYGLDDDMDMVTDDQLLVVTGVEIRPRQPRDSCYIWSCNDGLEWIPHKSISYMCDNHRIHQEWSTQPREAEETELQQGLEPYGSTRMSGSGLLSKPRARFSHPPWACS >Sspon.05G0013400-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:46708198:46710194:-1 gene:Sspon.05G0013400-2D transcript:Sspon.05G0013400-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARPAPLATRAAANGTCQSRVEPFGYKCEEHTVRKTDNSNVTTADGYILSLQRIPGGRGSGQSAAAGNKIPVLLQHGLLMDGVTWLMNSPNESLGYILADGGYDVWIANTRGTVYSRGHTTLSSSDPTYWDWSWDELASNDLSAVVPYVYAQSGQQRLHYVGHSLGTLIAFAALSQRQQLGMLRSAGLLSPIAYLNKVASPLALAGADTFLAEALYWLGLDEFDPTGALLAHAGDNCCLDNSSVQASATKNMVHLAQMIRRGTLAKYDYGNAADNTKHYGQATPPAYDVSAIPDDFPLFLSYGGRDTLSDPQDVSHLLQVLKSHDGDKLTVQYLEDYAHADFVFAGNARERVYAPLMAFFKLQDK >Sspon.01G0028810-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:111323636:111327606:-1 gene:Sspon.01G0028810-2B transcript:Sspon.01G0028810-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSSSSVLPLIPLLLALLLLSAPSPSASAELAFLTASSNGSASISADPELEDLAPEPTFLEEVIDAVSEKYDWDPDAEVRVWPLDADAVRVGAVQRYEFRARAGGSAALARFSDEAVQWRRPSAPAVEEVDGPDGVDVVPAEGAFGFDTGVRDVELVGPLELKLAGNEDGGLVELQLPSGNATYTRLKRIFVADGIALKVIGAQKVSVTHPHSIGLLSNGSLLTSNNDRSQIWPLSYSTCAPLLQVGVVGSVIIVVHQTSVSGGHVKTFSRSHDTIELLSDKCKINIANRLISEFLFASISPRLIKLEKILKAWFSKRNHENSSMHFIEAKVTSIPLVKFRLEFERDITEEDPIWEDVPEWKTKPMVQRVPVDIIARVEDDYRLKAISVKKVRRQFPLVDTTTWGSLASNISFTKFLSFILPPEPLSLDSTRIVAFEQASARREGKN >Sspon.05G0021250-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:87230203:87231672:1 gene:Sspon.05G0021250-1P transcript:Sspon.05G0021250-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSTSAAATPPASPAPPAPTSPKPGATRRQRKAVPLGDVTNLLLRPETPTPIKPRRTARRPLPAPSDASAVSPPPAPPLPRSRPRSSRPPPRRPRPRPRRRPPTRSRPRPSRRPPPRSRLRPSRPSPPASRRKGACSNRPPSARFTRGAGVRRLRLRDGATPPSPTRARNLSVLRRVALLLGNLRGTSGKRILGPSLRQLLAVKLKR >Sspon.05G0003910-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:4221735:4224260:-1 gene:Sspon.05G0003910-3C transcript:Sspon.05G0003910-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRRQAWQFAAALVFFHGSEYVLAAAFHGRQNVTATSLLISKQYVLAMGFAMLEHLTEILIFPEVKEYWFVSNTGLLMVIIGEIIRKLAVVTAGRSFTHVIRTYYEDQHQLITHGLYRFMRHPGYSGFLIWAVGTQVMLCNPLSTVAFTLVLWRFFSKRIPYEEFFLRQFFGSEYDEYARR >Sspon.04G0022510-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:6903076:6905652:1 gene:Sspon.04G0022510-1B transcript:Sspon.04G0022510-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGGPPRKRNFKIEAFKNRVELDPKYAERTWKVLEHAIHEIYNHNASGLSFEELYRSAYNMVLHKYGEKLYDGLQNTMTWRLKEISKSIEAAQGGLFLEELNAKWMDHNKALQMIRDILMYMDRTYVPTSHRTPVHELGLNLWRDHIIHSPMIHSRLVNTLLDLIKGERMGEVINRGLMRSITKMLMDLGPAVYQDDFEKPFLDVSASFYSVESQEFIECCDCGNYLKKAERRLNEEMERVSHYLDAGSEAKITSVVEKEMIANHMHRLVHMENSGLVNMLVDDRYEDLGRMYSLFRRVPDGLSTIRDVMTSYLRETGKQLVTDPESLKDPVEFVQRLLNEKDKHDKIISVAFGNDKTFQNALNSSFEYFINLNNRSPEFISLYVDDKLRKGLKGATEEDVEVILDKVMMLFRYLQEKDVFEKYYKQHLAKRLLSGKTVSDDAERSMIVKLKTECGYQFTSKLEGMFTDMKTSQDTMQDFYAKNPVLLATFQLKFLQYMCVLMLFNNADGLTYKDIERDTEIPASDLKRCLQSLACVKGKNVLRKEPMSKDISEDDTFYFNDKFTSKLVKVKIGTVVAQKESEPEKQETRQRVEEDRKPQIEAAIVRIMKSRRVLDHNSIVAE >Sspon.03G0027640-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3B:6495732:6498053:-1 gene:Sspon.03G0027640-1B transcript:Sspon.03G0027640-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIDIGPMVIPGECVVSQHKLVVVGSRFLVRARRVKQAKIARTKWWKLEGEASKVFKERVIEEGPWKDEGDANNMWEKMATCIWKVAAEVLGVTKGSGCDLKDAWWWNEDVQKAIKEKKECYKHLYQDRCADNIEKYKVARKTAKRAVGETKGQAYEGLYQRLNTKEGEKDIYRMARAHDRKTRDFNQVKCIKDQREQLLVKEDEIRHRWQEYFDKLFNAENENSIVRLEDLFEDTNRRFVRRIQVQEVREALKRMKVGKAMGPDGIPIKVWWCLGDLAIVWLMKLFNNIYRSNKMPKEWRRSILVPIYKHKGDIQSYTNYRGIKLMSHTMKLWERVIEYRLRRTTQISTNQFRFMPGRSTIEAIFLIRQVMEWFREQKDLHMVFIDLGKAYDRIPRNVMWWALDKHKVSTKYVTLIKDMYNNTVTSVRTNDGNIDYFPIKIGLHQRSALSPYLFALVMDEVSRDIQGNIPWCMLFADDIVLVDESQARVNRKLELWRQTLESKGFREEEVSLEGQVVLKKDIFRYLGSMLQKDGDIDADICHRIKAGWMKWRQASGILYDTRVLQKLKGKFYRTAIRPAMLYGGECWPTKRRHVQQPSVTEMRMLRWICGYTRMDRVRNDDIRDCLGVTPIEEKLDQHRLRWFGYVQRRPSEAL >Sspon.02G0032870-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:1329011:1331410:-1 gene:Sspon.02G0032870-1B transcript:Sspon.02G0032870-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPRCSLLVLALAAALSVAVAYDPLDPNGNITIKWDIMSWTPDGYVAVVTINNFQMYRQIMAPGWTLGWTWAKREVIWSMVGAQATEQGDCSRFKANIPHCCKRTPTVVDLLPGVPYNQQIANCCRGGVISAYGQDPAAAVAAFQVSVGQAGTTNRTVKVPKNFTLLGPGPGYTCGPAKIVPSTVFLTPDRRRKTQALSAFPFAFSYPPIYLSIYLPGSCDDIYGDRCLHAVTWNVTCTYSQHLASKYPSCCVSFSSFYNDTIVPCAKGDSKRLAVTGKHEHAHAAAARGHRDKEAPLLQCTTHMCPVRVHWHVKLNYKEYWRAKIAITNFNYHMNYTQWTLVAQHPNLDNITEVFSFDYKPVVAYGSINDTAMFYGLKYFNDHLMQAGPYGNVQSEVLMRKDASTFTFRQGWAFPRKVYFNGDECQMPPPDAYPYLPNSALPTAAASLLGAAVAAVVVLLVMIVA >Sspon.08G0030760-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:64958767:64961162:1 gene:Sspon.08G0030760-1D transcript:Sspon.08G0030760-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVPGGASSIKPKVEPCEEEEEPPLPPPPPFEASDDWEVTPLSGDNPFFTSVYTQEPSRCPSSRYTSHPTVSNRNGVHAAHIIPARFHRHLPEVRAPAVLLCRGRSWTVSYCSVGKWRRLQGAWKDFARDNGLRLGDACVFELVVPTTAGTKAASSTERGGNDSSGGSSKDKQGKTMEVVLRGGLPEEITSMGATSDDPLINAPKALN >Sspon.03G0026850-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:3953290:3959789:1 gene:Sspon.03G0026850-1B transcript:Sspon.03G0026850-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGLLSSSSALLRRAAGAAAQLSRAECGSASASAPSHLRRSPLQLDYESDPPLDDTKALEKESSLNVAVSQLTTDFDRDSNLCLERFSRTRNASVISTGSLKLDLALGVGGLPKIRRKRTSNDFSGIYKEVPCGGNALGFYAAVRMRTSRRELRYSEDEATGIGISVQIIKNKLAPASLKEAGIDIRFGKGICHESEILEMASSVGVILKDGCGYWINNEFLAGKVEAEKFLHENAAVADEICNTVRNEFRQRQDLQTDSCFSASVATAAPQSRVRAIGVCYGVIGSGLPSKSDVVQLYKSNGISSMRFYFADQDLLTALRGSGIALALDVGNDKVGELASDPAAASSWVRDNVQAYYPDVDIRYVVVGNEVPGAASVLQAMQNVHAALASAGLASSVRVSTAVKMDAIENSSPPSSGVFKDPSAMSPIVQFLAGNGAPLLANVYPYFAYEYSDGIDLNYALFQPSSTTVTDPANGLVYTNLFDAMVDAVRAALDKAGGGGVDVVVSESGWPSADGKGATVDNARTYNQNLINHAGKGTPRKPGPMEVYVFAMFNEDQKDGDPTERKFGLFNPDKTPVYPINFAGN >Sspon.02G0041670-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:81749686:81751463:-1 gene:Sspon.02G0041670-2C transcript:Sspon.02G0041670-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCPKRNSLAGLPDEAMLEILARAPFRSVCRSKCVAKAWRDLIDDPLNRRRLPQTLQGFFSMFPPMCFRYGSDLKQFFKYLALASPVPLDIDPCLSFSFLTKLPETESVSFLDSCNGLLLFEHCPRSNPYDVLSYTVCNPATKHWATVPVCVCCPPPKAQSQIETYLIFDPARDVSPHFHLIQFWKGGWDEEAPLTYEEIAMLKLSVHTYSSESGVWSHSQTDCNEQGQLEGWRHQGILKYGCHQRTFVNGILHLIVSDLDRHQIVAVDVQGKTKRIITMPAAAEGRRWYYTPCIGQSQGRLYYINRDFDAHDSRQVQSSELSIWELQDYDTQEWVLKDTANTQHRITSPDESPSYRTYLSDSVAPFHVK >Sspon.01G0023790-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:85454695:85457182:1 gene:Sspon.01G0023790-1A transcript:Sspon.01G0023790-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:A member of Aux/IAA family protein, Regulation of lateral root initiatio [Source: Projected from Oryza sativa (Os03g0633500)] MPGLGFEETELRLGLPGGNDAGDAAAAARKRGFEETIDLKLKLEQPAAASSAAAHVEQEQEDEAGAGDVVVPAAVPLPSPPAATTGGAGTTMKRSPSQSSVVPADAQPADPEKPRAPKAQAVGWPPVRSFRKNILAVQSQRGGGGGDGGKLLAPPAFVKVSMDGAPYLRKVDLRTYGSYQELSKALQNMFSSSFTIGTYIRTPTVHSPAWTTSCMHELADMSVCPGLPWLASIILLVCSCGWQQGTTMMKEMKLADLVSGSDEYVPTYEDKDGDWMLVGDVPWEMFVESCKRLRIMKGSEAVGLGQSTQGDGEVQQQLLSKRLRPGLSIP >Sspon.01G0033300-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:113584584:113587815:-1 gene:Sspon.01G0033300-1P transcript:Sspon.01G0033300-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKHRDPASEAASGDSPAEAIRSLFSADNPFRRKASTEEAPATPAPAPAATPLRKQPSRGAEAAEPSSKKKKKKKSKEEGPRPKRKRDELEAGRERRRGAGAEKPPRVGEKRKAPDDAAAGAGEEEEEFDDESKLLRTVFVGNLPLRTKRKVLIKEFAAFGEIESVRIRSVPLVDSKLSRKGAVLQGKVNELVDNVHAYIVFKDEQSARAALSHNMALFGGNHIRVDMACPPRKKLRGEGPLYDRKRTVFVGNLPFDVKDEEVYQVFCSSSGSEGDVEAIRVIRDPSSSLGKGIAYVLFKTREAANSIARKRDMKIRDRLLRLTHAKPVDSTPKKTEVQKRSRVPKHKEVSTPGSKSNEGSEKDKRKASALSYQGLRSSKSGVVKKVKVNQQPSNKGKQSKTSETGASAHKDKRPAVAARKAKQLAKKRKVDASTLENAHRSKKPRKQKSKWTFLDRWSILPDYQ >Sspon.01G0007940-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1B:31426100:31426739:1 gene:Sspon.01G0007940-2B transcript:Sspon.01G0007940-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LATPRGHQGAATPRPHETAELDAAEAAFAAEESAEQRNRFLVLQLYEALNARDARRAQELLAPDLEWWFHGPPTRQHMMRLLTGADQRDDKNRGGGGGGGGFVFSPRSVDAFGSTVIAEGADDARQLYWVHAWTVGPDGVITQLREYFNTDLTVTLLSGAAASTKKADIAGAPPKQQDADSSSSSSSPSAAAGPKCLWQSRRVDSAHKSLPGL >Sspon.02G0017400-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:50233361:50235397:-1 gene:Sspon.02G0017400-1A transcript:Sspon.02G0017400-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTVAPRLSRSSTRYGPISSSAASFSGPVRKWRKAWVPLTGAGTAGSGGGSSRGDNKVVLFRWTPVNGGSGGGGGADGGMEPAAAGASTSRRRYVPAAGEAQSASKKGTSSELNLNLELEEPDDDTDADMSTDEPRNVEDNNARPESRLKRKAF >Sspon.01G0000470-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:1039216:1046245:-1 gene:Sspon.01G0000470-3C transcript:Sspon.01G0000470-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFKLHRHRSSDRVGERFDFRFSNFRAVQVPAVSDRLFLSIVSVDNGKTIAKSSKVASRSGICQWPDTILEPIWFSKDEVSKEFEECQYKIIVSLLKVQCLGTKSKLSGVRSLRDMAPRHDDRSPTPTNDEMDNRSDCSDGMFNKGVRSSSENHLGGTYQDESGNRETSFSASGSHRSSNSGDSTADRTNFSPRDNSNGGLYAGRQDSASSYASYVSAGRGDDGLRSNNSSFSSRASGPSLLQGNTPKTFSNGLSQLSMGASDSSKDLLEAAEETIEELRDEAKMWERHSRKLKADLEMLKKECSDKSKQQAELAVELSAAQAERDSYRHEIEELKSSLQDVNTRQIITGTPKRSDWIDLQKELEGEVKFLKESNTDLTIQLNRTQESNIELLSILQELEETIEEQRVEISKISKVKQTADPENELLVKEDKEWAKKLSMKEDEITILREKLDRALNIGNGGGAGSNAIYLELEKENEILRAKIQELEKDCSELTDENLELIYKLKENGLTKGQVPRISNNNELQFEELTSRIRQLEEELRNKEMLRDDSFSESSMSNADELQRKCADLELKLLNFRSQTCELEEKFQKSQDELEQRNLELSELRRKINGLHSTELEVCESGATWKYQSRIADLEDTEQPETDILKARFELQLQENDDLRRSKIEMENFISEIQAEKSQLEERLSASLKESSITSKCLDEVRKDILVLSSSIDSHVSTNKFLERNIVELESCKAELELHVSELEQENIELSERISGLEAQLTYLTNEKESSELQMHDPDLSSSISKIKSNSKLQSTVESLIEECSSLQNLTADLKKQKLELHSHLTQKEQELDESKKRNFEFSKTVEFLEAKLSSLQKDISSKEQSLLSELESIFQEHMEQEERINRAHFMLNKIEKEKTLEVENLEREVVSLTAQVSSTHEERESATLDAIREVSVLRADNAKLEANLQDVSAQLRHYESQLEDLRKESKNKIKGLVDSLNASKQSEEMLTSDAEHMKKLMEAAKSNEDALRKTSNELELKLKSSDYEKQQMLEEISSLKLQVQKIMNLQDEVFKLQSSLDEAKFEKGKLEEFLRSVTEDCEELKAQKAMLTDKVSDMQETLRNGEEEKRNRIAMQAKLVRLESDLSASEASHVHEAELKNELSRIKRSNSEYQRKIQSLEQENEDLTRRVQIMEKGFEQMSHVKENLGKQEIGGDNQAAIQSKIQLLETKLAEALEENKMYRAQQKSPMPDGQSAGGDGKEGNTDRILQLEGELRDMKERLLNMSLQYAEVEAQRERLVMELKAIKKG >Sspon.01G0028180-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:98658059:98661430:-1 gene:Sspon.01G0028180-1A transcript:Sspon.01G0028180-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKTPVRMKAVVYALSPFQQKVMPGLWKDITTKIHHKVTENWISATLLLTPVVGTYQDAMIRHVVQRAGEAFPQILNALLSKLTATAVGVFMMATKSKVKPPEPDRMHDHVKHVQPLWRLVQHEVALH >Sspon.03G0018130-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:55721695:55727821:1 gene:Sspon.03G0018130-1A transcript:Sspon.03G0018130-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Light-regulated protein, Regulation of light-dependent attachment of LEAF-TYPE FERREDOXIN-NADP+ OXIDOREDUCTASE (LFNR) to the thylakoid membran [Source: Projected from Oryza sativa (Os01g0102900)] MQAAATAVGFSAVLPAKARPAARSTVVARVPATRRSVRAAAAAVVVAEPTQVDYSSRFSVFPMEACDLLGGDACIGEMYPEAKLAAAAPEASRRVDGVERDYLSYDDPKTSENAGCRYRRWVLAVLPAKARPAARSTVVARVPATRRSVRAAAAAVVVAEPTQVDYSSRFSVFPMEACDLLGGDACIGEMYPEAKLAAAAPEASRRVDGVERDYLSYDDPKTVFPGEACDDLGGEFCEAPYLDGVSRELAHA >Sspon.02G0021780-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2A:72866973:72867611:1 gene:Sspon.02G0021780-1A transcript:Sspon.02G0021780-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTPSQTLSNVEFHPQCVQNAAMAVCAKTSLCGAHETILPLLLISSLNPSGSGGTSSPPLLTKPGRTTHRNGTPLPANPHAISSNTPRSTQATLPRLTYSTERGGCASSQARQPRSGACRLCSADAWDSKCRGPTANAGRDSSRWILASSDASIPSNVLMTTPDAEARMASATQPMKLHSASVSLPSTRIRSRARSGSRSGTSSSSLSSAGS >Sspon.01G0016740-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:57732856:57739325:-1 gene:Sspon.01G0016740-1A transcript:Sspon.01G0016740-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGNADASSTAPLAWHDFLERMRQPSAAEFVNFIVTFSNRAPDPEKDSAAVQEFLENMEGAFRAHTPWAGSSEEELESAGEGLEKYVMTKLFNRVFASVPEDVKSDEELFEKMSLLQQFIRPENLDIKPEYQNETSWLLAQKELQKINMYKAPRDKLACILNCCKVINNLLLNASIVSNENPPGADEFLPVLIYVTIKANPPQLHSNLLYIQRYRRQTRLVSEAQYFFTNILSAESFIWNIDGESLSMNELDFQRKMDSAREHLLGLSADSENQDSQANPDVQDRKSQNLKANRNSDASLPLKDHVQGSGQDMRRDSDVTVSGKHVEQAQSVCDLEKKGGVELLNEDDLNKKFQEYPFLFARAGDLTIADVESLLNSYKQLVLRYVALAQGMGVSPETTLAQSGQTSDLVVSEEPENLNSGVNDNENSERTSKKVDVISENHHSEAVDTEASEQMTQKTAVDSSDDLKALHQPENA >Sspon.07G0032470-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:50157804:50159490:-1 gene:Sspon.07G0032470-1C transcript:Sspon.07G0032470-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVLYVAHETPSLSSTSSDISGPSFDISESKEHIGGAFIFSLDMSNLSELILVVSNKVEQLAEARRGREKV >Sspon.03G0008970-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:24426363:24428333:-1 gene:Sspon.03G0008970-1A transcript:Sspon.03G0008970-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDVGLGVRLIVAAAPAPPVPAPPGKSPFPLTSFSSLRGRCSSWWFSNGNAHGRDTTTTTTTTSSRATRRRWWSDPDGSQQDYGSSSLEDEDYDYDYEDEAAFSGLGWAGKLFDESWFSKVFKTYGVLLPVMLTSMFAATGLKAFLMAMAIPLSQSAISSFLLRRKGNRDDWWRIFFKKNYRWSNYYEGRRRRHNYQSWVSKDFAASAASTAVGADGNTKSSSSGDGGGNKSSGRYGGWDELLDNNTTAAAQKAKRSSKHSQLATLLAARNRSLQRLGRKMRIITAAGGGGRVEQGVGAPPERMRMRRRRMPRMMGLGSTRYKQAAAPLMRLLVAVFPFLGSWFRLL >Sspon.06G0013170-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:52291785:52295221:1 gene:Sspon.06G0013170-4D transcript:Sspon.06G0013170-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding HGGPERGGARLQPLHLQGAAPRVKKLIKEKKDDPGISEYIDMEKELQEVITLTEEILATAKQSESAQNAAGLSPPNYSAGVQSEGLDDLSHSHKFAVGTRVQAVWSEDGEWYNATVEALTPNGYYVAYDGWGNREEVDPDNVRLLEEEAADALRQAEKEAEATKMAIKRKIEQAATSDFQARSLPAKLRIEPSDPEDVKAAKRKKIHAFKSKARFEQLEFAQNKRQNAWQQFQTKGKSKKVGFFSGRKKESIFKSPEDHRGKVGVTGSGKGLTDFQRREKHLHLKGGSGDAADDEE >Sspon.01G0042600-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:65974071:65974609:1 gene:Sspon.01G0042600-2C transcript:Sspon.01G0042600-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHPLLSSALVCSRLLPVGNCVPQPRLCVASARPAGGRVRSLSVRCEQGAKGGGSGGLDVWLSRGAMLGFVGAVTVELTTGKGVLQNVGLTAPLPTVALALTAVVGVLTAFFIFQSGSRD >Sspon.01G0035310-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:9354232:9355437:1 gene:Sspon.01G0035310-1B transcript:Sspon.01G0035310-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRAGAAPDAFAFTFVLKSCSRCHSPGRLPSDLHAQAFKHGCFGARSRSEHAHVQNALLHAYASRSAVDDARRVFDEMPVRDVVSFSGLLTAHLKNNQLDSARMVFDQMPHRDVVSWTAMISAYARASRPQEALALFDAMPVQPDEVTMVSVVSACTALGDLVTGERLRLYVDSNGFGWMVSLRNALMDMYAKCGCLPEARALFDGMAIRSLASWNTLISAYASHGDEENTIALFHQMLAHDNSLKPDGVTLLAVLTMYAHKGCVEEGRTVFDAMQRGDYGKVELTIEHYGCMVDLLSRAGQLEEAYKMIEQMLIPSNDVVWGVLLGACRMHGNIDMAEKAVQKLRILNPHEGGYYILLIDMYTAAGRTAEAMEVRRTMNKTGAKKTTGWSCPTTVCLPQQ >Sspon.03G0020950-1P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3B:86775742:86776788:1 gene:Sspon.03G0020950-1P transcript:Sspon.03G0020950-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSDTAPRVVEDYRGVIQLLSDGTIVRSDPAVLRPPDEHFPDVPGVQWEDVVYDAAHGLSLRVYRPAAATAGDAATEKKKKKLPVLMYFHSGGFCLGAFSQPNFHSGCLRLASELPAVVLSADYRLGPEHRLPAAIDDATAALSWLRDQHATAVGVAGAYHHPWLAESADFTRVFVAGESSGANMSHHVAVRHGSGHLALAPLRVAGYVLVTPFFSGVQRTAAEASPSPAVSPSFTTEMADKMWRLSLPVGATRDHPVTNPFGPDSPALDAVAFPRVLVVSAGRDILHERVLRYAARLEEMDKPVEVAVLEGQEHAFFSRQPWSEGTNEMIRVVKRFVYRENDATSAE >Sspon.06G0007850-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:35554803:35557695:1 gene:Sspon.06G0007850-1P transcript:Sspon.06G0007850-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLFGFSTSSHLTSPHPWVRALPLHTPPARGRTEKAGASNSRAEAGRRKKLPPPPPPPPPRLPPLFLVVSFLGPISSPAPPFPHRFLLPYPLLLVPLRSVSWGLVISAMASIKKPKVVLAQPAVGAPASRPPFFSRAPGPVRGAGDEAAYRASLRYRALLQDYQELIKETQAKKKRLHMERLRKQRLLAEVKFLRKRYKSMSENPSQTIVCRLRNPAMSSASWTAASAGDAQHQSVHAAGSSSRSQLVHRRHGGSPRASPVIDLNEAQPGYEEMEIEEHYGYRAALGVNKSKRYPMEGDAAAGPSQVRMPVFWDVQNPAGRSGKRKISWQDQLALRV >Sspon.01G0008860-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:3782886:3789871:-1 gene:Sspon.01G0008860-2B transcript:Sspon.01G0008860-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWLGHHHHNQPAPPASGPNQVFKIFCRANENYCLAVRDGAVVLAPTNPKDEHQHWYKDMRFSTRVKDEEGMPAFALVNKATGLAIKHSLGQSHPVKLAPFNPDQEDASVLWTESKDVGKGFRCIRMVNNTRLNFDAFHGDKDHGGVHDGTTVVLWEWCKGENQSWKILPWGPEVNALAGAHAANGGVHPVRVFCKAGGEDYSLTVRNGTACLAPTNPRDEYQHWIKDMRHSTRVRDEEGYPAFALVNKVTGEALKHSTGQGHPVKLVPYNPEYQDESVLWTESRDVGNGFRCVRMVNNIYLNFDAFHGDKAHGGVHDGTEIVLWKWCEGDNQRWKILPWFGVMAYYGGYGGGIATPGYAPPVPYGMSQVNIEGNGCGRPLPPQPTVKVYCRANPNYAMTIRDGKVVLAPANPKDEYQHWIKDMRWSTSIKDEEGYPAFALVNKATGEAIKHSLGQSHPVRLVPYNPDFLDESVLWTESRDVGNGFRCIRMVNNIYLNFDALHGDKWHGGVRDGTDIVLWK >Sspon.01G0014810-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:43869862:43872280:-1 gene:Sspon.01G0014810-4D transcript:Sspon.01G0014810-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPACSAALLAAFVVVLAYSAVSCRGQLADNYYAGKCGNFSCGGPSYQVQLGRRDGMVSQASMASILPGPNVDIPTAINLFGRKGINALEMVSLMGAHTVGVTHCSVIHDRLFNYNGSGLPDPAMDPMYVWILTTFACPKGQAYDNIVYLDDPSSILLVDKSYYSQIMKRHGVLSVDQALGDHNATAWMVNFLATTDFFPSMFSHALNKLAALDVLTGTAGEIRKNCRRTN >Sspon.03G0005650-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:16079049:16082894:1 gene:Sspon.03G0005650-1A transcript:Sspon.03G0005650-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQWWGREARGGGGGTSVVVKMESPDWAVPESAAAAAAGGKAGRGKNARQITWVLLLKAHRAAGKLTGAASAALSVAAAARRRVAAGRTDADDAGAAPPGESPALRTRFYGFLRASLVLSMLLLAVDVAAHLQGWHLAVDVPDLLAVEGLFAAGYASWVRVRLEYLAPALQFMANACVVLFLIQSADRLILCLGCLWIKLKGIKPAPKAAGKGSDDVEAGTTGEFPMVLVQIPMCNEKEVYQQSIGAVCGLDWPRPNFLVQVLDDSDDAATSALIKEEVEKWQREGVRILYRHRVIRDGYKAGNLKSAMNCSYVKDYEFVVIFDADFQPQPDFLKRTVPHFKGNEDVGLVQARWSFVNKVEQQVNGIFLNFFGFNGTAGVWRIKALEDSGGWMERTTVEDMDIAVRAHLKGWKFLFLNDVECQCELPESYEAYRKQQHRWHSGPMQLFRLCFVDIIKSKIGFWKKFNLIFLFFLLRKLILPFYSFTLFCIILPMTMFIPEAELPAWVVCYIPATMSLLNILPAPKSFPFIVPYLLFENTMSVTKFNAMISGLFQLGSAYEWVVTKKSGRSSEGDLIALVEKQSKQQRVGSAPNLDSLTKESPNLKKDPKKKKHNRIYRKELALSFLLLTAAARSLLSVQGIHFYFLLFQGVSFLVVGLDLIGEQVE >Sspon.03G0028310-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:7620054:7623051:-1 gene:Sspon.03G0028310-3D transcript:Sspon.03G0028310-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNCGPPDQLPPASAPSCFLNLNWDQSMAAATAGDHLDPALSSMVSSPASNSTAAAATDGLALHGISPQPQYGGTPLSSPPKLNLSMMGQFHHYPPPQVGGAAPGGLPILENLMPMGHLDQFLADPGFAERAARLSGFDGRPGGSGYGGAVPGQFGLPDAGPIGALKELELGNGRDESSVSDPASASAEMALKAPSDGNAKKRKASGKGKGKDGPGSTAAKDLTKEESSGKRCKSADESNGAEDNSTKGKAAQSNSENGGKKQGKDSTSKPPEPPKDYIHVRARRGEATDSHSLAERVRREKISQRMKLLQDLVPGCNKVVGKAVMLDEIINYVQSLQRQVEFLSMKLATVNPQLDFNNLPNLLPKDIHQSCGPLQNSHFPLETSGAPLPYLNQPHQGNPLGCSLTNGMDSQSSMHPLDPAFCRPMNSQHPFLNGVSDAASQVGAFWQDDLQSVVHMDIGQSQEIAPTSSNSYNGSLQTVHMKMEL >Sspon.02G0005580-4D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2D:11515497:11515937:1 gene:Sspon.02G0005580-4D transcript:Sspon.02G0005580-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LSFRRKFLPGYKGGSEKKPSAASSKKRGVDADDVVYGVSRASSVASSALLSSASSLDSDTSSASASSSSSRSSTASSSPSVSGVLHPPPVKRQASNNKRPASSSPAAGAAAVVLCLLMVVFCGRVGATLLTSTALYLFPRRWPARTT >Sspon.05G0020410-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:86754830:86759044:-1 gene:Sspon.05G0020410-1A transcript:Sspon.05G0020410-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LQQPAGGTMAMASALRLSLLAPRAVSISASASAGRAARHLLSRRLALRLPPPPPRPAALSAASAVRPREAARVQAASFCSGPAPAAGPTGGGAKDWRAFLAWYLVALDKNPIATKAVTSAVLTLAGDLICQFIFAPIFIGVFMSLLVTLEGKPSIVVPKLKQEWLPSVLANWQLWIPFQFFNFYFVPQKFQVLAANFVSLAWNVILSFKAHKEVIAK >Sspon.01G0020400-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:18226192:18230477:1 gene:Sspon.01G0020400-2B transcript:Sspon.01G0020400-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MISSSTTNATTSNFLFSPMAAPYPGYYCVGGAYGDGTSTAGVYCSHLPAMPIKSDGTLCNMEVGMMPSSPPKLEDFLGGGNGGSQETDTYYSHQQGQEEGASRDYRQYQHHQLVPYDIQPLTEAEMLQEDAVPMEEAMAAAAKNFVLTSYGACYSNGEMHPLSLSMMSPGSQSSSCVGAAPQQQQQHHHQITAVATAAAAAAAAAQGRSNGDGEQCVGRKRGTGKGGHKQTVHRKSIDTFGQRTSRYRGVTRHRWTGRYEAHLWDNSCRKDGQTRKGRQGGYDTEDKAARAYDLAALKYWGPATHINFPDTPTAEFDLTSLTEYSAATQEEAAEAYDIAAIKFRGLNAVTNFNITRYDVDKIMESSTLLPAEEARKVKAIEAANNAPMMHNGDRELNPAEETGAGWRMVLHGSPQEAVHCPEAADLQSGIMSEFHPSLHGIVGLEKIESAVHDHHLDVPGKTTGSINFSNSSSQVTSLGNSREGSPERLGLAMLYGKQPSAVSLGTMSPWMPMEAQTVAQVLKQPNVVSHLPVFAAWADA >Sspon.03G0004810-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:13491956:13496101:-1 gene:Sspon.03G0004810-1A transcript:Sspon.03G0004810-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sphingosine-1-phosphate lyase, Disease resistance respons [Source: Projected from Oryza sativa (Os01g0100900)] MQSGGAKNDRRAELPTIGLAEQVIEELETLKTKDVDWQGKCSGTVYIAGSESEGHFELINKAYSMFSHTNPLHQDVFKSVANMEAEVVAMTAALLGSKEKSSGGQICGNMTSGGTESILLAVKTTRDYMRSKKGITKPEMIMAESAHSAYDKAAEYFNIKVRRVPVNKEYLADVKGFKRCINGNTIMMIGSAPGFPHGLIDPIEELGELASRYDICLHIDLCLGGFVLLFARKLGYPIPPFDFSVKGVTSISSDVHKYGLAPKGTSIVLYRNHEIRKWTGGLYVSPTMAGSRPGGLIAGAWAAMMSLGLNGYLDSTSRIMEVSKKIQRGIGEIPGLFVIGKPDMTVVAFGSDVVDIFEVNDIMSSKGWHLNALQRPNSLHICVTLQHTTIYDQFLKDLQDSVNTVKANPGPISGGMAPIYGAAGKMPDRGTVRELLVEFMDSSC >Sspon.07G0004220-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:10366263:10370847:-1 gene:Sspon.07G0004220-1A transcript:Sspon.07G0004220-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSRSYSNLLDLAAGNFAALGPSGGGTRRLGSFGAKRMPRVMTVPGTLSDLDDDDDEQAATSSVASDVPSSAVGERLIVVANQLPVVARRRPDGGRGWVFSWDEDSLLLRLRDGVPDEMEVFFVGSLSADVPPGEQDEVSQTLIDGFRCAPVFLTPELNERFYHHFCKRYLWPLFHYMLPFASPLPPTAEAAASGDGGRFDRSAWEAYVLANKHFFEKVVEVINPEDDYVWVHDYHLMALPTFLRRRFNRLRIGFFLHSPFPSSEIYRTLPVRDEVLRTLLNCDLIGFHTFDYARHFLSCCSRMLGLEYQSKRGYIGLEYFGRTVGIKIMPMGIHMGQLQSVLRLPEKEDKVAELRQQFQGKAVLLGVDDTDIFKGINLKLLAFENMLRMHPKWQGRAVLVQIANPPRGKGKELEAIQAEIRESCDRINREFGQTGYNPVVFIDRNVSSAERLAYYTIAECVVVTAVRDGMNLTPYEYIVCRQGIPGSESAPEVSGPKKSMLVVSEFIGCSPSLSGAIRVNPWNVETTAEALNEAISMSEQEKQLRHGKHYRYVSTHDVAYWSKSFVQDLERACKDHFRKPCWGMGLGFGFRVVALDPHFTKLNLNTIIMSYERAKSRVILLDYDGTLVPQTSIDKKPSSEILRIINTLCSDSNNTVFIVSGRSRDSLGSLFASCPKLGIAAEHGYFLRWTRDEGWQSSTHTSDFGWMQMAEPVMNLYTESTDGSYIETKETALVWHHQDADPGFGSTQAKEMLDHLESVLANEPVAVKSGQFIVEVKPQGVSKGLVAEKILTLMKEKGQQADFVLCIGDDRSDEDMFENIADVMKRNVVAPKTPLFACTVGQKPSKARFYLDDTLEVVNMLSLLADASEPDPVIELEDDLATSVSAIDISDEPPQLGKFLPNNTVWCTVTSPNMAHNLVRTLTQQHR >Sspon.01G0025590-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:90172671:90172947:-1 gene:Sspon.01G0025590-3C transcript:Sspon.01G0025590-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNEEGKMVDLYVPRKCSATNRIITAKDHASVQINIGHLDENGVYVGNFTTFALSGFVRAQ >Sspon.06G0016030-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:87447576:87449377:1 gene:Sspon.06G0016030-1A transcript:Sspon.06G0016030-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LALASLCAAAFFLLPAAAGTIHSSCQDGSSSSPPAPAPSPPAPPAGPPPLTTFDPCLMQYCGDSGTCEKASEFTHRCSCRDAYANLLNDTSYPEATSESTDDAGSPPAPGAAAGSLGSDCKGLGIDVINGSTPSSSPPAPFSFTVKKSGAGTAAATPAHTLLGLLLLHTFYGLQQI >Sspon.06G0004950-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:16266582:16267709:1 gene:Sspon.06G0004950-1A transcript:Sspon.06G0004950-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSWALISHLHTVAGPTITLLYPLYASVCAMESPSKVDDEQWLSYWIIYSFITLLEMLAEPLLYWIPVWYPVKLLFLAWLVLPQFKGASFVYEKLVREQLNKYRARYPPKAKDGAADVVDDDDDDHKVHIAKVEHDHVQ >Sspon.03G0012350-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3B:44255648:44256041:1 gene:Sspon.03G0012350-2B transcript:Sspon.03G0012350-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GLKLEDFLVRVDGKRRLTITDRRKPTPGKVRLNKTFQFQLPKTANPDAITGCFNGVVLTLTVPTKGKGQQQPAVTVAAKEEELKAKAEPGREKEPKAKAEPDREKELIDTAVAAFTLGVLFSHRLFSSRN >Sspon.02G0025500-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2D:83758092:83759474:1 gene:Sspon.02G0025500-2D transcript:Sspon.02G0025500-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPPPPALVEELIEEILLRFPPRDPALLVRAALVCKQWCRLVSGAGFRRRFRELHRTAPMLGFLHSRLNYSSRWKDKFVRTAASCPPIAYRSHCWVLDARHGRVLLRAEPEDALVIWDPIADEQKEVPWPKPSQPLVSWNAAVLCAAHGSCDHLDCHRRSFLVVFVCTDTTAETTICTYSCDTATWTSWSDQIFAHQQPSACVDPFKPSALVGNALYFGFYRRKNMVLKYELESRAKSVIDLPHIRWDCGVLITTVDGGLGFANLHESKLYMWSRKDGLGVDAGWTQNRVIDLEMLLRSNAILTLPDVVGIADEIGVIFLRTRTDNADALFTFDLRTYKLKKISEGRRFHTIFPYMSFYTPGSWLLSLSQEVP >Sspon.04G0011340-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:37616777:37619659:-1 gene:Sspon.04G0011340-3D transcript:Sspon.04G0011340-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSAEAESAAAATERFQRHDSLYGDAEKVTNGKHHGSGDSWARTLMLAFQSIGVVYGDIGTSPLYVYSSTFPDGIRHPDDLLGVLSLILYTLILIPMLKYVFVVLHANDNGDGGTFALYSLISRYAKIRMIPDQQSEDATVSNYRVEAASSRLRRAQWLKEKLESSNAAKIGLFTITILGTSMVMGDGTLTPAISVLSAVSGIREKAPNLSQSEVVWISVAILFLLFSVQRFGTDKVGYSFAPIISVWFVLIAGTGMYNLAVHDATVLRAFNPMYIVDYFRRNGKEAWVSLGGVVLCITGTEAMFADLGHFNIRAIQISFTCILFPSVALCYMGQAAYLRKFPENVGDTFYKSIPTPMFWPVFLVAILAAIIASQAMLSGAFAILSKALSLGCFPRVEVVHTSSKYAGQVYLPEVNFLIGAASVAVTLGFQTTANIGNAYGICVVTVFSITTHLLAVVMLLVWRAHPALSAAFYAVFGLVEFLYLSSILSKFAEGGYLPFCFSLVLMALMAAWHYVHVLRYWYELDRAVPAAELAAVLARRDVRRVPGVGLLYSELVQGIPPVFPRLVDKIPSVHAVFVFVSIKHLPIPRVAAPERFIFRRVGPVSHRVFRCVARYGYTDPMEGHREFAAFLLDRLKTFVQEEAAFAASSGSSAAVAEEEQRFIDAEAERGVVYLMGEAKVTAAAGSSLLKRVVVNSVYGFLRKNLRESHKALSIPKDQLLRVGITYEI >Sspon.03G0029730-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:15961441:15964666:1 gene:Sspon.03G0029730-3D transcript:Sspon.03G0029730-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMGHQFAALVVVLLLVLAGVAAMATADQESDRIRELPGQPPNVGFSQYSGYVTVNPARGRALFYWLVEAVPAAGPIAPLVLWLNGGPGCSSVGYGASEEVGPFRIRPDGQTLYLNPNSWNKAANLLFLESPAGVGFSYSNSSLDLYTAGDAKTALDAYAFLVNWLERFPQYKYREFYIAGESYAGHYVPQLAQLIYQKNKGVQNPTINFKGFMVGNAVTDDYHDYLGTFEYWWTHGLISDKTYHNLNATCLLDSSQHPSPDCVKNLNLASAEEGNIDPYSLNTKPCNDTASLKLGLGGRYPWLSRAYDPCTERYASIYYNRPEVQMAMHANTTGIHYPWQTCSDIVGSYWADSPRSMLPIYQELIAAGIKIWVFSGDTDAVVPVTATRYSIGALKLPTVVNWYPWYDHGKVGGWSQVYKGLTLVTVAGAGHEVPLHRPRQALIMFRHFLQNKPMPTQ >Sspon.07G0031240-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7C:20097298:20100292:1 gene:Sspon.07G0031240-1C transcript:Sspon.07G0031240-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSRATRRGASCSTVYHMVLENRMIQGKSMSARRDGPVEANTRWVALAFSCHGDERRTTHERQPATGPRQGGPDRDAACGHGDELGDVSADPPPRLLQADAATGAVALALGKFARWHGRPSRRHSSSEEAQDRNARQVAVKGERGLRLEHTEDMDRCLDLSCGRRWFVEMCVNLPEFLAGLFHPWITSVTVGKGEKTGAYRSWQPRDVPTSNGDRKLSDFWKLEWFFPMNGSGGFISILAWPRRSSVDHVVIAGKGKNAEEVLERPGCAVSGEASPRL >Sspon.04G0010970-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:33615304:33620473:-1 gene:Sspon.04G0010970-1A transcript:Sspon.04G0010970-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGAKADGAEITLEHTPTWIVASVCSIIVVISLLFERLLHRLGKKLTKGRRKPLYDALLKVKEELMLLGFISLLLTVFQGAAQKVCVRESVMHHLLPCSLPADDAAKITAHFMFAGGTRRLLAGGGASSDYCLNKGKVPILSIEAIHQLHIFIFVLAVTHVVLSAVTVILGITQTRNWKYWEEKIQQNDDSVTEEDYTTLRLGFVMKHCRGHPKFNFYNYMNRALEGDFKKVVGISVICQLLCGYSTLPLYALISQMGSSFKKAIFDENISECLTNWAENARRRNRMPRTSVGDNSPIVEGIQIINLKELLDIDIDR >Sspon.04G0002200-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:6837469:6846951:-1 gene:Sspon.04G0002200-1A transcript:Sspon.04G0002200-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPASSSAPPAPPEEAAARRRLPEELKLRRRTLESVLEQCQRALEMMHEADLGRLQRGKLQGGGGGGGGGGGGVRRRRRGRGGAAATASEADYEADELCDLLKSRVQSPEFLEKLDTLQKSIYQHGAVDETVSWDIISAADIWDDKSMNVSDDSEDGYVLVKQEDIVDGIACFMAAYLLSLKQTKDLTPNQLQQALSKTFSAKKRKSKLQKAWDGTKVVYNIASWSATAIGIYQNPAIVQAATAAFWTSCRFSTGTVIH >Sspon.02G0036200-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:23388957:23389745:-1 gene:Sspon.02G0036200-1B transcript:Sspon.02G0036200-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASTMSISSTAMAGTPIKVGSFGEGRITMRKTAAKPKVAASGSPWYGPDRVKYLGPFSGEPPSYLTGEFPGDYGWDTAGLSADPETFAKNRELEVIHSRWAMLGALGCVFPELLARNGVKFGEAVWFKAGSQIFSEGGLDYLGNPSLIHAQSILAIWAVQVVLMGAVEGYRIAGGPLGEVVDPLYPGGSFDPLGLADDPEAFAELKVKELKNGRLAMFSMFGFFVQAIVTGKGPLENLADHLADPVNNNAWAYATNFVPGN >Sspon.06G0001290-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6B:2307434:2308495:-1 gene:Sspon.06G0001290-2B transcript:Sspon.06G0001290-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTITLRDLEKATNNFDKARVIGGGGHGVVFKGIIDLKVVAIKKSRIIVEREINEFINEVAILSQVNHRNVVKLLGCCLETEVPLLVYEFISNGTLYQHLHVEGPISLPWVDRIRIALEVSRALSYLHSAASMPIFHRDIKSSNILLDDNLTAKVSDFGTSRYILIDQTGVTTEVQGTRGYLDPMYYYTGRLTDKSDVFSFGVLLIELLTRKQPFVYRSSHGDNLVSHFRNLVTIGNLVGIIDPQVMEEEDGEVQEVATLATMCTKLSGEDRPTMREVEIILESILVKKKQVPYRYIATRRRDESDEPPIRCISIEMTTNQTDRRLAMEDANSSEISRQYTMEEEILLSGNYPR >Sspon.03G0032370-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3B:37300522:37302170:1 gene:Sspon.03G0032370-1B transcript:Sspon.03G0032370-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LPGPARRGQRLVGARRAAAHGTECVEQHLHGILWCVAVAVEAVEAAAEIAGDDADEIARRRLVLANKYNGRSMLEPRLFQHAYGKLYLVSPELVARMDTAWKEDRWLLSQLLDEMKSPAAPKPLTKSERRLADVLAAPRGSCTRRLSCSTATTAFAVKHFIGDAEAEVSMLSSVAHPNVAHAAYCFRDEERKEYFVVMDQLMAKDLGSYVKEMSSPRRRIPFPLVVAVDIMLQIARGMEYLHANKICHGELNPSNVLVKPRQPDGYVHVKVAGFELPAGTVTNGAKVSGNGNGNAAGGGGDDTCIWYAPEVLEHQESRDRHTDKADVYSFAMICFELLTGKVPFEDNHLQGDKTSKNIRAGERPLFPFQAPKYLVALTKRCWHADPAQRPPFASVCRVLRYVKRFLVMNPEQQQGQQAADAPPAAPAADYLDIEAQLLRRIPAWQRGQGAPPRVADVPFQMFAYRALEREKTAAGAHASRDYRATDSGSEENSLCGDENGVGATTPDDDASTVSGGTVRSRPDSSDGKKTPP >Sspon.01G0004990-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:11730085:11733810:-1 gene:Sspon.01G0004990-3D transcript:Sspon.01G0004990-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSPPEDLQCAVNGNCAASPVKPPAALAAAGDGELRWLRRCVEAAAKGFAIGAGLKGGLALFSVLVRIRSRRSPRSRKAGAMTNEEAVVLAVKETVRYGLFLGTFAGSYVSVDEYIAAVWGRKRTARWRSLLAGLIAGPSMLLTGPGTQHTSLAIYILMRAAVLASRCGIKSKRFGKICKPLTWSHGDVFLMCLSSAQILSAYILKQDSLPSSYKSFLNKHGGKDLSILQGVKDVVNHTAFSNLAGIEKYYKSVGVDIKLDPNMKVPCSIVHGNQSCTGHVFSFLLQAYGRAVPVYVPVYLVPALVVHRQHLMKRPYSIIGKSFLGVARSSLFLSVYCASAWAWTCLLFRTFHRANTPLVILGTFPTGLALLIEKKSRRIEISLYCLARAIESFFTWMTDAGLCPPILQIKRADVVVFSIATSIIMHCYAQEREVFRSKYLNVLDWVFGVPPPPDSEDKNCYRPDEAKRC >Sspon.06G0012390-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6A:66037221:66040303:-1 gene:Sspon.06G0012390-1A transcript:Sspon.06G0012390-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding CRCGACRWRRRPTPSTTTRRWTREMRTWAVRISCGGSIGRCPARASWRRSGGGAGTGTPVTSVSARRGRRRGGTTGGASPSPTALSIALLISEL >Sspon.01G0050590-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:5138314:5142117:-1 gene:Sspon.01G0050590-1C transcript:Sspon.01G0050590-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAYDRAEKISHVLRKSDDNTEMPDAMDVVFQTALEYGKSGAANEVLGHQSRSTALYSKSIILLTFLVQEAPMLPLNPPLSLSPSDQQRIHRYIANLKSHLCSAQVAGQQQRSIIHGDVSGPCIHRAPQAFLPHLLGLIRQRGDEVHAPVLQPLARSDDPDGLVHLLQAVCASTRPQQVLLERLHTERDAVDADAHELPELCGVERAGVHLDGDLGARRQAEPGAQRVEDRGDQARRDERRRAAPEEDSLERHAVVGDRARGGDLAEQEAHVFDDPGVFRGLAAAMGRG >Sspon.07G0029340-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7B:75782514:75783379:-1 gene:Sspon.07G0029340-1B transcript:Sspon.07G0029340-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MACPCPRLIPSPLQESKIPRRRRVKLKAGGRDIEQTRKKPNRLATTPSSPGSSLESWSRSITRNEFAVPPHDDCARTEERRITCPAALPSPIRDRRSAAACKCLSHRAGVATKPFCRRRPPVPGSQRCHEAVRLAPRRHEAIRLAESLAGGCPAPATWLPGAPCCRLSPSVASCLPAE >Sspon.08G0013860-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8A:57469127:57470796:1 gene:Sspon.08G0013860-1A transcript:Sspon.08G0013860-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAAAGRQQLPPASAMDLFPDGAFVRLRNRAQETYLHADDDGEGVSLRPHGRVFSLNAVWRVHRVEVDGTTFVLLQGAAYGRYLALSPAEAPPGHRGNRAVQRDYEGADVGALMWRPFRMVDDARYVRLRQDFNRNLRANGRFRFWHTAVTVDTNEGRWTTMLQWTVGRFQLGPGLPPLPRPTVVSSLSFLLGSWNPSAPRLDSVNGLLGSWNGFLRGVDFRNNCTNLGGRSGLFRRRRPEADERRTIRHVRANDEGEFDHNYNNWPTFHFYGRSVFNLRMQVGIRQPGGEYGDGITLAVQPGLQGRLTPLVTDLPRS >Sspon.04G0001730-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:6594109:6594420:1 gene:Sspon.04G0001730-2C transcript:Sspon.04G0001730-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATELTAAQLRAYDGTDPSKPIYVSVRGKVYDVTSGRGFYGPGGAYAVFAGREASRALGKMSKDEADVSGDLSGLTDKELGVLADWETKFQAKYPVVARLADA >Sspon.06G0019970-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8C:30605713:30606693:1 gene:Sspon.06G0019970-2C transcript:Sspon.06G0019970-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGRPIDFHFHEFRLDYEQTKHLAVGKAVYSDPFSAGGHMWKIKCFPRGNWSSDSDEHLSVFVQLENQPRLSVSAIFEVFLMDKDGQPSSTAHQRTGVHLFQMEDWGWSRFVTQTELVENHVKDGHIKIICGIMVVNDRSISVPPSDIVEHLGTLLDSRDGTDVSFIVGNETFHAHRALLGARSPVFKAELLGSMVEAKMASITLHNIAPATFKLMLRFMYTDTFPGDAELGECPSEMIRHLLAAADRYALDRLKLSCARKLWDIVSVDTVAATLACAEMYNCSELKIKCIDFFAMEKNFRKAVLTDGFVQLVQQFPSIVAELREKP >Sspon.02G0035530-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:19747429:19752304:1 gene:Sspon.02G0035530-1B transcript:Sspon.02G0035530-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MCPAAATTSSAKPSKKRKQPVVAPPESDSEEESVYDTASDDGEEEEERQELESEDEDEEVEEGSEGDEEGEYDDESEVEEEEVKEEKEKEEEVKEKKEKKDKKEEEKKAKKDKKQEEKKAKKDKKEDEKMAKEDKKEDEKKAKKKSEGSGILSNKLFSELPISELTAKAIREMNYTHLTQIQARSIPHLLEGKDVMGAAKTGSGKTLAFLIPAIELLYHLHFSPRNGTGVIVVCPTRELAIQTHNVAKELMKYHSQTLGYVIGGNNRRSEADQLAKGVNLLVATPGRLLDHLQNTKSFIYKRLKNRQTVLFSATQTPEVEKFAKLSFEKNEESKEKPVYVGVDDDKSKATVEGLQQGYCVISSEKRFLVLYAFLKKKQNKKIMVFFSSCNSVKFHAELLNFLGIECSDIHGKQKQQKRTTTFFSFCKAEKGILLCTNVAARGLDIPDVDYIVQYDPPDEPKDYIHRVGRTARGEKGKGSALLFLLPEELKFLIYLKAAKVTLTEYEFNQKNVPNLQSHLENIVGENYFLNQSAKEAYRSYVLAYDSHSMKDIFNVHQLDLQKVAASFCFRNPPKVNLDLDSSAAKHRKKMRRVDGGKRHGISASNPYGRKDKDGGDKQQFARF >Sspon.03G0040750-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:22175483:22177357:-1 gene:Sspon.03G0040750-1P transcript:Sspon.03G0040750-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPGHQRAVLSSLVWCGSICLPPAPADGRSRWRRGQVSLLKLEEDKNQLSKGLDPWSNPTAATSTLHYLLQEKERAQAQEQLQIYHQQGFSYLQHHHRRQQQQSRAAAAGASAGGDGVSSGESTPVDALATAFGGGRIVRSAAGRKDRHSKVCTARGLRDRRVRLAAHTAIRFYDVQDRLGYDRPSKAVDWLIRNAKAAIDELPADRAEGQQPATEAADATEPSEQVTSTSSYGFGNPGGAIGGVAGSFMPHSVGADGGVSGSGNVKYLFPSSSTASTTPTQDDYRGSPPDLLSGTTSSHHQPQELCLTLQSTNNHQQQQQMFSHVSPNHHGMISGAGVQGWPEHGQRMPSWHAASENSSAGDGRGAAGNGDSYMFGVPPRHQGLDHQGQLFSQGEPLQSSGGWPSARAWLDPLAAIHQAPSTMAGQVGFSHLVGAGAGGFMGFLAPAAAHQRLQGEEEQGSEAMRE >Sspon.02G0042220-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2B:81697129:81701574:1 gene:Sspon.02G0042220-1B transcript:Sspon.02G0042220-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MWPYHATGADSRPPVAMLTGALLPCWSSTRRLPGYGVDSTFWDSRGRWMGPGSPGSLVQHHGPDASADNSCSVEFDSSGLTVKDLASRRPLLRCDSTGPLYTLRFPAAPSSSSPSVLSAAFAASTSSTTWHRRLGHPGRDALIQLSRSSGLPCTRAHDEQLCHACQLGRHVRLPFSSFSSHAACIFDLVHCDLWTSPVLSISGYKYYLVVVDDFSHYSWTFPLRAKSDTFTTLLHFFTWVSTQFGLTIKAVQCDNGREFDNSTSRAFFLSHGVQLRMSCPYTSSQNGKAERMIRTTNDTVRTLLFQASLPARFWAESLHTSTYLLNRLPSAACPAPTPHHALFGTPPRYDHLRVFGCACYPNTTTTSSHKLDPRSTLCLPRVPLRRAPAGPCPGSPRVRARRPRRGPVLSGWCRPGAFVPRFCPGGSCRSPTPDPVPAPAPAPPSRFAAPVRVYQRRPRPPPLAVPSPPGTPTPPPQSPPARGAPPVYHPPLLHRHPRHVHPMVTRHAAGTLPPRALEASTGDAVVSPVPSSVRDALLDPHWRRAMEEEYAALLANQTWELVPRPPGSNVVTGKWIWTHKRRADGSLERYKARWVLRGFTQRPGVDYDETFSPVVKPATVRTVLSLALARSWPVHQLDVKNAFLHGLLTETVYCSQPAGFDSTRPDMVCRLNRSLYGLKQAPRAWNHRFAAFLLTLRFVEAKSDTSLFVYHHGADTAYLLLYVDDIVLTASSEPLLRRIITALQQEFAMKDLGELHHFLGVTVEHRPAGLLFTS >Sspon.04G0016770-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4C:67401430:67402528:-1 gene:Sspon.04G0016770-2C transcript:Sspon.04G0016770-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LQAPEKHQDLVRSVFQLLSARPDSVSNFVKVDSVFGPGTKMVYKHLATLYFVFVFDSSENELAMLDLIQDSAPIKDAWFHPAE >Sspon.02G0001010-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:4412957:4417841:1 gene:Sspon.02G0001010-2B transcript:Sspon.02G0001010-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASVSCLLVVLAALASAASAQLSSTFYDTSCPNALSTIKSAVDAAVMQEARTGASLLRMHFHDCFLGGPSWTVLLGRRDSTASFPNETTDLPAPTNSLQQLLSAFSNKNLDATDMVALSGAHTIGQAQCSSFNDHIYNDTNINATFATSLQANCPMSGGTSLAPLDTMTPTTFDNDYYTNLLSQKGLLHSDQELFNNGSTDSTVSNFASNTSAFTSAFTLLVVAVAALASAASAQLSSTFYDTSCPNALSTIKSAVTAAVNNEARMGASLLRLHFHDCFVDASLQTLALAWIFEKLQSHVGCDASVLLADTGSFTGEQGAIPNKNSLRGFSVIDNIKTQVKAVCNQTVSCADILAVAARGSVVALGGPSWTVLLGRRDSTTASKDNAERDLPAPSLDLANLTQSFANKNLSVTDMVALSGGHTIGQAQCRFFRDHIYNDTNINSTFAASLQANCPQANGSGDSTLAPLDAASPTTFDNAYFSNLLSQNGLLHSDQQLFNGGSTDSTVRSFASSASAFSSAFATAMVSMGNIAPKTGSQGQIRVSCSKVNS >Sspon.06G0007960-1P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6C:35624250:35636264:-1 gene:Sspon.06G0007960-1P transcript:Sspon.06G0007960-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLGTSGVFLPSPDPPPSRRTRRSSWSRCRRPWLAVHPPLLLPTPISPLFPPHGLTSWIPSSASADAQLLHPHSAAGVRLPPLGLPAPPLPLPSHRAAAAFTAGADTGGAGGGDDAAAAAAGAPVAEAPLLPAAGAPLVTAGAGPATLQAALTVLLGATSGPWALTISKPPWLPSCALVDQPRLLPCPMPPPSPGQPWLLVGGLAQGVFPAPPPSPRLHGMDSDSALAAALVSVRAAAAAGQARVRAAALAWERERDAADALARQIVEVEHLLAHDIGAASSGSTGPRVSTTAVLWHDPADPLMAQLHYQAGGVQNIRLLVPVVLEPESPSHARWRDLVLLTLRRYALDDHVLADAPVMAPTPSWLCLDSIVLSWIIGTISLDLHDLVCNSADARQAWLALEGQFLGNAEARALRLDASFRTFVQGDLSVGEFCRKMKTMADSLGDLGWPVEDCILVLNVLRGLSDRYAHLRTWITRQRPFPTFLQVRDDLVMEELTQGLQPGSTTAPGPSTSSTALAATPPRPFAPPRPSATLSSSLLGPPSGPSRGGGGAVTVAVAAALGVGGPWWWRGQPCADSTTGHTMAFLPKPVVRAYLYVALPRPRWRASSTGGHVHWCSTSRRFPYVTVGYTLRQLARDCRLGSGGPGSFDLGPGVLCSDVIARGPFTLFASRRRLRRLYFLYHLAPFGLTIKAVQCDNGCEFDNSTSRAFFLSHGVQLRMSCPYTSSQNGKAERMIRTTNDTVRTLLFQASLPARFWAEGLLEYPLSFLTTLPPAAGGAAAAGQQLPAMAGRPPSPSPPSYTQFPPLSSPWDPLAPRSLTYSAVAARSAGQLPLSGLQAPPTSLPPSGAGAPLAATGAPTAATAPLTSSGAAPQLQGAPISSSRAAPALPAAAAGAFTPEARAALQQPAAAGQQPPLLLHPLSEGVPAGIQSALPGGLPLGLTGPESALAAALVTARAAAAEGQARVRAAALVWEHERDAADALARQIAEAERLLSPASPVAGATSSALPGRRLHYQAGGVQNIRLLVPVVLEPESPSYARWRDLVLLTIRCYALDDHVLVDASVAVQTPSWLRLDSIVLSWILGTIALDLHDLVRTSPDARRAWLALEGQFLGNAEARDLRLDASFRTFVQGDLSVGEFCHRMKGMADSLGDLGWPVEDRILVLNVLRGLSDRYAHLRTWNTRQRPFPTFLEVRDDLVMEELSQGLQPGSTTSTGSSSSSTALAATSPRSSAPPRSSAPPPPSLLGLPPSGPSGGGRVVAVVVAAEGVAGVAGSRGTRGPGASPCGPTTLRGRLSSTGAPPAGPPPGAFPATPWTPLPGVVGWDQAALARSFSTMGLTPPVGPEWIADSGATYHTIPDPGILSSLHSPSSSLPSSIMVANGSCLPVTSVGTTGAHGSFRLPDVLVAPSMVHNLLSISSFTADNSCSVEFDPSGLTVKDLASRRPLLRCDSTGPLYTLCFPAAPSSSSPSVLLAAFAASTSSTTWHRRLGHPGRDALIQLSRSSGLPCTRAHDEQLCHACQLGRHVRLSFHSSSFHAAHIFDLVHCDLWTSHVLSISGYKYYLVVVDDFLHYSWTFPLRAKSDTFTTLFHFFTWASTQFGLTIKAVQCDNGREFDNSTSRAFFLSHGVQLRMSCPYTSSQNGKAERMIRTTNDTMRILLFQASLPARFWAEGLHTSTYLLNRLPSAACPAPTPHHALFGTPPRYDHLRVFGYRCAELLAGLLSRLPGGSGPVVLWRGPALSGWRRPGAFVPRFCPGGGGPCRSPSPTPAPAPPSRFAAPVQVYQRRPRSPPLAVPSPLGTPTPPPQSPPTRGAPPVYHPPLLHRHPRYVHPMVTRHAAGTLPPPCPSASTGDAVVSPVPSSVRDALLDPHWRRAMEEEYAALLANQTWELVPRLPGSNVVTGKWIWTHKRRADGTLERYKARWVLRGFTQRPGVDYDETFSPVVKPATVRTVLSLVLARSWPVHQLDVKNAFLHGLLTETVYCNQPAGFVDSIRPDMVCWLNRSLRPQAGTSGAKSDTSLFVYHHGADTAYLLLYVDDIVVTASSEPLLRRIIVALQQEFAMKDLGELHHFLGVTVEHRPAGLLLHRRQYTRDILERAGMTECNPCSTLVDTQGKLSEVKGPLVSDPTAYRSLAGALQYLTFTRPDITYTVQQVCLHMHDPREPHLTALKRLLRYLRGTFDYGLLLHQATSTDLVYTDADWVGCSDTRRSTSGYAVFLGGNLVSWSSKRQPVVSRSSAEADTALSPTAWRRPSGSGSFLQSCTLRPPGAHWSTATMSVRSASPPTPSSTSGRSMWRSTSTLSVIGWPWARCGFFMFRRPPSSRTSSPKASRPRPSPSFAPASTSPVASCVCGGVAYVLSCSAWNSAASVVQTAGGVGGLHTSTYLLNRLPSAVYPAPTPHHVLFGTPPRYDHLRVFGCACYPNTAATSSHKLDPRSTLYVFLGYSFDHKGYRCFDLSSRRVLISRHVVFDESVFPFSSTPITTPAPDPSLFPTDTVVQPPFPLSPAGTASPPSLPGTCPGSPASPATSSSGAAPTSPFGADPGTSSPATAPGGPCRLPSPAAAPAPPSRFAAPVRVYQRRPRPPPLAVPSPPGTPTPPPQSPSARDAPPVYHLPLLHRHPRHVHPMVTRHAAGTLPPRALAASTGDEPISPVPSSVRDALLDPHWRRAMEEEYAALLANQTWELVPRPPGSNVVTGKWIWTHKRRVDGTLERYKARWVLRGFTQRPGVDYDETFSPVVKPATARTVLSLALARSWPVHQLDVKNAFSAQPAHQDSVLQSAGGAKSNTSLFIYHHGADTAYLLLYVDDIVLTASSEPLLRRIIAALQQEFAMKDLGVLHHFLGVTVETHSAGLLLHQRQYTRDILERAGMTDCNLCSTPVDTQGKLSEVEGPPVSDPTAYRSLAGALQYLTFTRPDITYAVQQICLHMHDLREPHLTALKRLLRYLRGTIDYGLLLHQSSAELVVYTDADWVGCPDTRRSTSGYAVFLGGNLVPWSSKRQPVVSRSSAEAEYRAVANGVAEASWLRQLLAELHTPPSRSTLIYCDNVSAVYLSTNPIQHQRTKHVEIDLHFVRDRVALGEVRVLHVPTTSQFADIFTKGLPSTTFTESVGGLVIEESLKVGADSNQFPEKWIFHSREKKPGKAFVDGKKIDFITIGGRTSAYVPELQKLDGTDAAASRSKGGKDKENDDDTKSGKGRNAPKPAKGRVKAAKGSSNKATNTSDDGDEEDYEVEGAKPAKRGRKQPARVANTSSKNAGSIHGDEATDEEDARPAKRGKKQIEKTTKRSLKEANHEDSDEEAVGKIEAKPGKAPTEAKSLPKQVDDAGPARRPQRKVRQP >Sspon.03G0023640-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:95900551:95905201:-1 gene:Sspon.03G0023640-2B transcript:Sspon.03G0023640-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAAPSLYRRALPSPPAVDFTSPDGKRLFSEALEGGTMEGFFGLASCFQTQSEPAFCGLASLAVVLNALAIDPGRRWKGPWRWFDESMLDCCEPLDKVKAKGITFGKVACLAHCAGAKVQPFRAHQVTVDDLRRHLVRCASSTDCHLIASYNRRHFKQENVLQQVRDTRLFMIVHDLQCASIQCGNRSPSSEDPVTRIAASVCCQGAAMLSRNLASSDGGDGPKTAISDFVVSEGNEPGVDMLLPRSHHSWSSYNSSTRDEIIKYPSSADVLTVLLLALHPGTWSGIIDERLKAEFQTLVSTDDLPDVLKRETKEGAHN >Sspon.08G0005240-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:15581297:15584398:-1 gene:Sspon.08G0005240-1A transcript:Sspon.08G0005240-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGQSSDSNPTDAFEEYMLLEKDPDLYRMVFSGPSQISPWIDPSVLNLKHRIGRGPFGDVWIATHHQRTEDYDRYHEVAVKMLHPIKEDQLQFFSGRFDEIFSKCQGLGNVCFLHGISTQNGRICIAMKFYEGSIGDKMARLKGGKLPLSEVLSAKDADHDNIGWDNSENVMVDRPAMANRINWLHFKDKLQVGDKVRSRKLKNSCSPETMEIPDGTIVGIEDDGERDPYILVRVHGLHNPLKVRCSTVERVTYGFAAGDWVRLREEDKKRSQVGILHSIDRDGAVTVGLIGMETLWKGNYTDLQMAQAYCVGQFVRLKANISSPRFEWQRKRGGGLATGRISQIHPNGCLAVKFRGKFNLGEVCSCLADPSEVEVVSFDKCEGIVKKYEHLEDFHWAVRPLFIAIGFFTTLKLGVFVGKSIARPRSRKVASISDKSGDYEQQQVQNNVNGAWLPPPVANMLFGDVVGTSG >Sspon.06G0002110-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6A:6900584:6901625:1 gene:Sspon.06G0002110-1A transcript:Sspon.06G0002110-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GVLKVNIDKKSGDWRGGTRKDIPEKGNLSKPIVKAYGSGDEIVKSSIKPRVGKMALTGTRLEEVKFDGSDNFGLWQTREIKKPIEPGPARRRCSWPDPRPRSRQWLDPCTYSRSWPDPLLLATGSAPPPPPVAGSAIDGRSEAESAPGARRRGRTRRAMLRGEGRRAALKGEGGCAWACAPPWPPRRSPPRAPHHAIPRHHGHRATTAPLRTTRPDPASPLHPNRIQPPRAVMERSAVVLGRESMRHRAREERSVVELWRGGAGRRWRARRRWR >Sspon.04G0026820-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4B:50956097:50956441:1 gene:Sspon.04G0026820-1B transcript:Sspon.04G0026820-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAGHGGAGTASPSRSSSPSNARPHPSSPNTGAVARAHSDAPPLVSRRQRCDTSSQRCSSPTLSAVARWPGAAAAPLLQIRRRSSPPHPSTRKSSGVLPGPGDRRPDPAAGDQI >Sspon.03G0040030-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:16219754:16223988:1 gene:Sspon.03G0040030-2D transcript:Sspon.03G0040030-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAEEAASSAPAPERLLEVEEDEVVIDFKPNAKCRADLRLRSLHPSLPVAFKVQTSSPLKFLVSPPRGAVPPLSTASLRVVLRPQPHAPQSFPRSRADRFLVLSSLSAARLDSAVGSSNDDGLGGGVSAVRLRVFFGGPYLLRLAADAGDAAAVRLILRRQPHLLPFLEHLQAAAAPDAAEQWAPPLHAAAARGDCGEMRRLGPDALAARDREGRTVLHAAAAAGEAEAVAVLVDMGADTSAVDARGRTPLDVAREKGYQEVVDVLERWELVMTAARRGDLGSLESLLSKRAGVRGRDQYGLTALHLAAIKGHCNAIALLAGWAAWTSSARTWKGTGRCISPSRAATPRPWSCCSTWAPTSTPGPGAAPRRSRWRRPWGTRPSLSFCALEAQRWLLHRRCASRRRLHRPYRAPDLICWFQKSWEGWKDTKLHCTCDLAQIELITAPLKVYALDWSLCIHGVVVLHLL >Sspon.07G0028770-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:81647060:81649440:-1 gene:Sspon.07G0028770-2C transcript:Sspon.07G0028770-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTRIHPSSSDAAGRARRRRAAAAAAAEAERERRPAVYTVWKRSSMGFQGTDGFCVYDDAGNLAFRVDNYSRRRKLCAGELLLMDGQGTPLLSLRPQLLSLHDRWNCYTATEDAVDKKASSTAPAQQQVFTMSRCSALRSSSDNAEVHMSASRAGSAAASSSSSSLSCKHPQAVAAAPGYRVEGSFSRRSCKIRRGSDGREAARITRKSAGVASRPVATLGDDVFSLVVQPGVDVATVMAIVVVMDRICHKPYTPMVCSSQ >Sspon.01G0058070-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:20548083:20551260:-1 gene:Sspon.01G0058070-1D transcript:Sspon.01G0058070-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPEPEDDIMNEKNPRPLDEDDIALLKTYGLGPYLTSIKKVEKEIKEMAKKINDLCGIKESDTGLAPPSQWDLVSDKQMMQEEQPLQVARCTKIISPNTDDAKYVINVKQIAKFVVGLGDKVSPTDIEEGMRVGFHIDWCETH >Sspon.01G0045320-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1C:97829423:97830221:1 gene:Sspon.01G0045320-2C transcript:Sspon.01G0045320-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSGGVIAEPSWSSLVVPSQAEESEIMEQLLGTFPSNGEEDHQEFPWPIQASNSFYFHCNASASTYSSTSSNGSGSLSLIMPSEHGSCYLSDSCAAPLHLNMVQDQGAALFMDSILNPAYGSCDSSCDDLEDSSMNLLDTIGTSDKRKHLEQGKLDGHTRSRKYAKKSDSKRAKMAMQQENGQSLSSCTFENDSIASQGRPVSDKPGGKTQADRRSATESQSLYARVLF >Sspon.06G0032050-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:78185984:78194481:-1 gene:Sspon.06G0032050-1C transcript:Sspon.06G0032050-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGLGSMAGYGIQTMLKEGHRHLSGLEEAVLKNINACRELSAITRTSLSPNGMNKMVINHLDKLFVTNDAATIVNELEVQHPAAKILVLAGRAQQEEIGDGANLTISFAGELLEKAEELIRMGLHPSEIIISYTKAINKTIQILEDLVEKGSENMDVRNKEEVVLRMRSAVASKQFGQEDIMCPLVADACIQVCPKNPANFNVDNVRVAKLLGGGLHNSSVVRGMVLKNDAVGSIKRVEKAKIAVFAGGVDTSATETKGTVLIHSAEQLKLSQPNAEELGYVDSVSVEEIDGTRVTVVKNEEGGNSVATVVLRGSTDSILDDLERAVDDGVNTDSRIIPGAAATEIELAKRLKEFSLKETGLDQYAIAKFAESLEMVPRTLAENAGLSAMEIISSLYAEHASGNVKVGIDLEEGACKDISTLKIWDLYVKKFFALKYSADAACTVLRVDQEYCSANVSNDPFQGSFLQFISPTELIDHDRQVAEPDPQRRHQSVEVGVEHVGVCDPAVDHRLRRAAVEEGVVQREVVVAVQAEERVDVDGERCAAAVEEANHVRHDPRHVVAEPPRRRHRVARRRVVHVRVHGDRRLDRRPVPGLVQRALDVLERRHRHVVERAVVRLEERLVADGHEPGRPAIYGNRSVQITSARQTRTSQKRNGSIYALDVDAAVEGVLGDVVLDPLPGPVVVCDGGEHVVGYGDHGLDACPGEALHGALVGVEDLHLLEAVVREQARHHLGREPARGHGAPVHPKRVHTRRRRRNGSHREPAEEQDTRRRHGTSGARSSVSTGKKGPEPRGLKRSKQVDGSVATPTTVQDGVKLNNRSFSKADRRWSIDWWEQ >Sspon.01G0006490-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1A:17061787:17067080:-1 gene:Sspon.01G0006490-1A transcript:Sspon.01G0006490-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNNCGYTVWPGLLSGAGTAPLSTTGFALAHGASATVDAPKSWSGRMWARTLCAADAATGKFTCATGDCGSGSVQCNGGGAAPPATLAEFTLDGSGGLDFFDVSLVDGYNIPMLIVPQGAGGGGGGNGSADSSKCMATGCLVDLNGACPADLRVMAASTTSGAGGGAVACRSACEAFGTPQYCCSGAYGNPNTCRPSTYSQFFKNACPRAYSYAYDDSTSTFTCATGISYAITFCPSTTSGKYSGENPQAAGVQPTNGSMVYLGGEQLATGAASVTAARASQLLLAAAAAVALL >Sspon.01G0014030-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:38734871:38735267:-1 gene:Sspon.01G0014030-1A transcript:Sspon.01G0014030-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARLALLLAVTLLSAAADGCSTTTCPSPETPTLQPPATPTQGRRRRRVADVGGGGNSSGSYVPDRRAESSGMRERAEPAEA >Sspon.07G0028850-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:70508080:70515229:-1 gene:Sspon.07G0028850-1B transcript:Sspon.07G0028850-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSARHNEVITFAAKNTKRKRGSFQNEPNDPLLVSEEASSGSGGSASTSLEVNSEDVATDDQISGAPRSVVLQACTLTSGLLLAGELLLRQASHLASLNGWPIADPTDVSFNFETWHLELVAGLVIVISSSRYILLQTCSDFRDSSEAANRQILTSLEPLDYIVVACLPGISEELLFRGAMMPILGLNWISALMIGTIFGVLHLGNGRKYSFAIWATFVGFAYGIGTIASSSIIVPMASHSINNIIGGLLWRYTKNSQ >Sspon.04G0024360-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:19023334:19024015:1 gene:Sspon.04G0024360-1B transcript:Sspon.04G0024360-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLEDSSKKRAAKCRKEYDIIYEQLPVLLEKMDILFPTGKMESSEPENKSETKKKLVAPLPAVTPEEIGEGYKDLEESIRGFIKDLDEAEKETGGTSQAATPPSSVEDE >Sspon.05G0001900-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:2024095:2024499:-1 gene:Sspon.05G0001900-2B transcript:Sspon.05G0001900-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin-responsive protein IAA33 [Source:Projected from Arabidopsis thaliana (AT5G57420) UniProtKB/Swiss-Prot;Acc:Q9FKM7] MSGGGAASASASGSFEQHAKRRPPAGPPPQQPRKLLTLSVQDGDVAAGVVPPVTVVLDGRCICHRVHLNRHTGYRSLAGTLRRMFVDADADDHDRQRGGEDDDDLDLANAVPGHVVAYEDLEDDLLLAGDLKWK >Sspon.08G0000710-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:1047988:1052555:1 gene:Sspon.08G0000710-2B transcript:Sspon.08G0000710-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWEGLGSQWASIWRLELISSVASSDTLHGITRHWRLWPATRLYYLLVITGMCCITDAKVASLANGKDSSCQQDGSPQGRKRRRYSGPDLPEDIWRHIHFLMLLQDAARAACVSHAFLSSWRCRPDITISWKTLGLDKNFHGKGEIAKDFNSIVDQILKNRSGIGLKTLKIDFCDYKADTYSYLNNWLEIAITPELEELSLDLFPRKEKYSFPCSLLSNGRGNSIQHLKLVWCAFSNTVRLDCLKNLTSLHLRDVHITGNELGCLFSSSSALERLELHGCHRIVCLEIPCHLQHLRYLGVFVCERLKVIESKAPNISSFRLSEIQGKFSLGESSLKLKDMMLSMNCTISFARAKLPFIVPNLKSLSLASDYEVPNSPLVSKTFLHLKYLSITLSEGAFSPYYDCFSAVSFLDAAPSLETLLLGVTQLRMKHEPFVGEPSPQNQIMGTRHSNLKSVKITGFCSAKSLVELTCYILEYATSLDCLTLDTTWGFFPRCSDHEISKCPPLTKNIIRDSENALLVIRAWIEGKVPPSVKFNVLAHCSKCHNADED >Sspon.02G0000730-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:2573462:2574750:1 gene:Sspon.02G0000730-1A transcript:Sspon.02G0000730-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SPVTPLQRAAEVPPPMFNQILMNQQQEDSDGPPQKKIPTLLTWTLGGRNIYVEGSWDKWTSKKPVEKSGKDHTILLMLSSGVHRYRFIVDGERRFILDLPCETDNMGQIVNLVDVHDFIPESVESVSELMAPPSPDSSYGFHVPGEKEFAKEPPQLPAQLYLGVLNSRSSEEGCARPRHVVLDHLYIEKGWGAQPLVALGYTHRFRSKYVTCVLYKAIER >Sspon.04G0024970-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:23820887:23826375:-1 gene:Sspon.04G0024970-1B transcript:Sspon.04G0024970-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEKIGLPPKPSMRGATWVVDASHCQGCSVQFSLFTRKHHCQRCGGLFCSSCTQQRMVLRGQGDSPVRICDPCKNLEEAARHELRYGHKNRATRATTKSASKPEDEILSEILGGGERIQSLDSELPGRTTSSASTSRRTSSNFSADANGDESLSAEAHNYELNNTASIFTPEELRQQAVEEKKRYKTLKSEGKPEEALQAFKHGKELERQAAALELELRRSRRMATKAPNASAAVGTSTTAGSDEAETKRSSTGKRIKKEKNDLASELRDLGWSDADLRDETKAAPMSLEGELSQLLREVPPKPLEGKRTGGVDKSQVNALKRQALLLKREGRLAEAKEELKKAKILEKQLEEQEILGEAEDSDDDLAAIIRNMDDDKNDDIFIDDPKFPAFNFEQILGASNDLATDGHFDVTDDDMNDPDMAAALKSFGWSEEDDKQLENHEPVSSNQELLKEQVLALKREAVANRRSGNVAEAMSLLKKAKLLEKDLETEETGSKVPSPEVQKTTHAEDATFAGMNARPISVPKSKLAIQRELLALKKKALALRREGKVDESEEELKKGSILEKQLEELDNSSKLPVAKETRSLPSNPPYKVEAPNISLADEVYEPEVTDNDMQDPALLSVLKNMGWEDAGSDSVETIDKPSISSHVVPHKSSKTKGQLQKELLGIKRKALALRREGKTAEAEEELEKAKVLEQQLAEIEESNNLSASQSVTTAGHQITENKYDVQHVPGVDATVQPSSVRNAMKGDEILPVHASESGTSVVTLCGSSSKRQIGTTNSKQGDVGEESRAGSSPALSQPAFTDPLGSEKGPHSPPVVHDHNEHQKTLGDDTLKDEILLHKRKAVAFKREGKMAKAREELKLAKLLEKRLEGAQQDSMDGVGESITPDVEQNSVVQQPASSSNHADDETSAPPAQVSKSTQPQKAMSSRDRLKIQRESLAHKRNALKLRREGKTAEADAEFELAKELESQLEESDNQSSSSGGKSSEPNDAIVENLLDPQIMSALRSIGWSDMDLSMQFSSEQPQKPMHSSNSQPPQKVESKSSVAVASKPQSERSQLEEQIKVEKLKALNLKREGKQAEALEALRSAKRLEKKLNST >Sspon.01G0025470-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:90425064:90430225:-1 gene:Sspon.01G0025470-3C transcript:Sspon.01G0025470-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTTFPTSTPFFAAHHQGPRRSRPSISAAVYGRGRRWRPLRVACEKVVGIDLGTTNSAVAAMEGGKPTIVTNAEGARTTPSVVAYTKSGDRLVGQIAKRQAVVNPENTFFSVKRFIGRKMNEVDEESKQVSYRVVRDDNGNVKLDCPAIGKQFAAEEISAQVLRKLVDDASKFLNDKVTKAVITVLLTSTIHKGQQPKMLAALLGWSIWFEKKNNETILVFDLGGGTFDVSVLEVGDGVFEVLSTSGDTHLGGDDFDKRIVDWLAGNFKNDEGIDLLKDKQALQRLTEAAEKAKMELSSLTQTNISLPFITATADGPKHIETTLTRAKFEELCSDLLDRLRTPVDNALRDAKLQFKDIDEVILVGGSTRIPAVQELVKKMTGKDPNVTVNPDEVVALGAAVQAGVLSGDVSDIVLLDVTPLSLGLETLGGVMTKIIPRNTTLPTSKSEVFSTAADGQTSVEINVLQGEREFVRDNKSLGSFRLDGIPPAPRGVPQIEVKFDIDANGILSVTAVDKGTGKKQDITITGASTLPKDEVERMVDEAEKFAKEDKEKRDAIDTKNQAESVIYQTEKQLKELGDKVPGEVKGKVESKLQELKDAVAGGSTQTMKDAISALNQEVMQIGQSLYSQQGAPGAGPGSADASAGSAGTSEKPGDEGDVIDADFTDSK >Sspon.08G0012070-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:51812740:51815266:1 gene:Sspon.08G0012070-1A transcript:Sspon.08G0012070-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAWWSSPRTTLHPRAWQHEQSSTYRTQDLSSVSLYAASVILNLVLTKSALIDGHGNNHNSPAMHANSGGEQEHYTVTDEVNF >Sspon.07G0034580-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:75630075:75631705:-1 gene:Sspon.07G0034580-1C transcript:Sspon.07G0034580-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAFLVLAHGHGAVTPGAVALSAPAATAGGPASVVVRPWVARLQSLHDLPISLDGAGEQQGGFAECWGAVMGLSSCYSEILLFFVNGESYIGPECCVAIRGATRYCWPAMLASVGFTAEEADVLRGFCDGEETAHQLGSPPPVPAPGRPGSQADLNDDYCLP >Sspon.03G0031810-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3B:31278977:31285852:1 gene:Sspon.03G0031810-1B transcript:Sspon.03G0031810-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGDEMLRGISGGQRKRVTTGKTVFHNHIRSTTFVLLKFFLNYIIWMFPAGEMLVGPARALFMDEISTGLDSSTTFQIVNSLRQSIHILGGTAVISLLQPAPETYNLFDDIILLSDGQVVYQGPREEVLEFFDSVGFRCPERKGVADFLQEVTSKKDQKQYWVRPDEPYRFVSVKEFVTAFKSFHTGRAIANELAVPFEKSKSHPAALTTTRYGVSGKELLKANIDREILLMKRNSFVYIFRTFQLMLMSIIAMTLFFRTKMKHDTVNDGGIYMGAVFFGVLMIMFNGMSELSLTVFKLPIFFKQRDLLFFPAWSYTLPSWIVKVPITFIEVGGYVFLTYYVIGFDPNVSRFFKQYLLLLAVNQMAAALFRFISGVSRNMIVANVFASFMLLVFMVLGGFILVRDKIKKWWIWGYWISPMMYAQNAISVNEMLGHSWDKILNSTASNETLGVQTLKSRVVFTEAKWYWIGFGALVGFTILFNALFTLALTYLKPYGNSRPSVSEEELQEKHANIKGEVLDGNHLVSASRHRSTGVNTETDSTIMEDDSSSTKKGIILPFDPLSLTFDNIKYSVDMPQEMKAQGVQEDRLELLKGVSGSFRPGVLTALMGVSGAGKTTLMDVLAGRKTGGYIEGDIRISGYPKKQETFARVSGYCEQNDIHSPQIFIEEVMELVELKPLRNALVGLPGVNGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFEAFDERGGEEIYAGPLGHHSSELIKYFEAIQGVSKIKDGYNPATWMLEVTTTSQEQILGLDFNDMYKKSELYQRNKALIKELSQPAPGSSDLHFSSKYAQSSVTQCVACLWKQNLSYWRNPPYNTVRFFFTTIIALLLGTIFWDLGGKVSTSQDLMNAMGSMYAAVMFIGIMNCTSVQPVVAVERTVFYRERAAGMYSAFPYAFGQVVIELPYALVQDILYGVIVYSMIGFEWTAAKFFWYLFFGYFTLLYFTFYGMMAVGLTPNYNIAAIVSSAFYAIWNLFSGFIIPRPKTPIWWRWYCWLCPVAWTLYGLVVSQFGDVMTLMDDKRPVKVFVEDYFDFKYSWLGWVAAVVVAFAVLFATLFGFAIM >Sspon.02G0009000-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:5962089:5964049:1 gene:Sspon.02G0009000-4D transcript:Sspon.02G0009000-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AHEDLVEDVAWHLKDENIFGSVGDDCKLMMWDLRTNKPEQSIVAHQKEFIVGHYVLTLPTSVGVFICFKEKFGFVKIVICSVNSLSFNPFNEWILATASGDATIKLFDMRKLSRSLHTFDSHEAEVFQVEWNPNLATVLASSAADKRVMIWDINRIGDEQSEEDADDGPPELLFVHGGHTAKISELSWNPSEKWVIASVAEDNILQIWEMAESIYCDDLSMQVN >Sspon.08G0020440-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:21156668:21163413:1 gene:Sspon.08G0020440-2D transcript:Sspon.08G0020440-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVSDPPKQYGITKPLSLLGPVEADLQRTADLEKFLVEAGLYESPDESARREEVLGKLDQIVKDWVKQLTSQRGYTDQMVEEANAVLFTFGSYRLGVHGPGADIDTLCVGPSYVNREEDFFIVLHGILAQTEDVTELQPVPDAHVPVMKFKFHGISIDLLYASVSLLVVPADLDISQGSVLYDVDEATVRSLNGCRVADQIIRLVPNIENFRTTLRCLKYWAKRRGVYSNVTGFLGGVNWALLVARVCQLYPNAVPSMLVSRFFRVFTQWQWPNPVMLCSIEEDEVGFPVWDPRKNPRDRCHHMPIITPAYPCMNSSYNVSTSTLRVMMEQFQFGNKICQEIEMNKASWSALFEPFQFFEAYKNYLQVDIIAEDDEDLRLWKGWVESRLRQLTLKIERDTYGMLQCHPYPHEYADPSRQCAHCAFFMGLSRKEGVKIQEGQQFDIRGTVDEFRHDINLYMFWKPGMELAVSHVRRKQIPAYVFPEGYKRPRLSRHVNHQSDKNDTEDGTANRSPDSQLKRKHDSGGTDDIEPSRSAKRSSVSPVHPKNSPQSGSTGDETRCNNQIKRAPSDASGGSPASPQASERSPDTIVSAPRCTTTGAVCSGDAVSKHVPLVENCTTPTVAVCTTLKRVAEKVVSELVGSERLGSNNSSELLESMEKDVLAENVCFGGNGVTQGGLPEELEVMLLVHTAPVFVLSNMI >Sspon.02G0022240-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:72533478:72535811:1 gene:Sspon.02G0022240-2B transcript:Sspon.02G0022240-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFRVYDHVPWPSPHSAPSPVATGRNPHDMLRLAFFLLALAPSPATAAAAAMASAAPGPVNTASASCPYDLATAAQMIPRECHANATAAQGATGCCWYVFAAYIFAAANHANRTGEAFLPRGPAAACSDAFAALLLAGGLVPRSLLAGNDGSCDLTSDDPGKQLAAGARPCQLATIDAVRALAPTALPNATRLCAAPGAKRAPPVRPDTLGCSECRGAVIATTYEMLASARTKEFVPCGMAATVAVWSRAPPPLERYSDYALCMLQVLENVNSLGTGNLVPSPPPPPAAASATKSPPAAGSASSSSSSKNTVPIAAGSAAAGVAAVVCLGFAAVAVARNRRRRRMTAGGDSESGNDSSDDDDSVASLPPLPREGLYIFTKAELMQATNGYDKKLLLGTGGAGKVYLGHLPSGQRVAIKKIYRSKKVSEFYAEVAVLAKLRHCNLTTLVGYCRGGRGGGDHALVYEYMAGGNLWRALFHGEPPLPWRRRLEVAVDVAEGLAYLHGFREGAVVHRDVKPTNVLLSESGAAKLSDFGVSRIVPEGGTHVSTEVRGTRGYVDPESFSAGTVSEAADVYSFGVVLLELATGMRSVVPTPSGGAESIVQAAHWAVAEAGGASGTATAAEAMVDRRLGPAWDRATVCAVFALACRCVRPYKHERPEIAEVLAQLKAVLADYNARVDGDGDVLLPDAESSEGTAATPEPAGSMPSTSSSSTLNTEVMSTASLQARMG >Sspon.03G0021460-3C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3C:84140853:84141332:-1 gene:Sspon.03G0021460-3C transcript:Sspon.03G0021460-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVRVLSLDSARAAATEENMDVDGRPAPGARHRQEGSGCSCGCAGTVAALLPSPGAKRKRAYGGSGVDAGSTSRGEVDAVQPQKRRHLAWMLSHQGLLSGFGRVSSAPREPPPATLSRSRRARTVALAMSRIRRRIGKPTTTRHRREPSLGRQFSRITL >Sspon.07G0010710-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:31905406:31906343:-1 gene:Sspon.07G0010710-2D transcript:Sspon.07G0010710-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding CQNGHTLCSTCKARVHNRCPTCRQELGDIRCLALEKVAESLELPCKYCSLGCPEIFPYYSKIKHEAQCSFRPYNCPYAGSECAVAGDIPFLVAHLRDDHKVDMHSGCTFNHRYVKSNPREVENATWMLTVFHCFGQYFCLHFEAFQLGMAPVYMAFLRFMGDENEARNYTYSLEVGGNGRKVVWEGTPRSIRDSHRKVRDSHDGLIIQRNMALFFSGGDRKELKLRVTGRIWKEQTNPDGACIPNLCS >Sspon.06G0011870-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:51828049:51831277:1 gene:Sspon.06G0011870-3C transcript:Sspon.06G0011870-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAIRSPTRAAASAAGPAAPTHPRAVLRVASPASSSARPRRGAVAVAAAAMQPAKAVAAEAASPAAAAVEMGNGAAVAGLQRPDAMGRFGKFGGKYVPETLMHALTELENAFHALATDEEFQKELDGILKDYVGRESPLYFAERLTEHYKCADGTGPLIYLKREDLNHTGAHKINNAVAQALLAKRLGKQRIIAETGAGQHGVATATVCARFGLQCIIYMGAQDMERQALNVFRMRLLGAEVRAVHSGTATLKDATSEAIRDWVTNVETTHYILGSVAGPHPYPMMVREFHKVIGKETRRQAMDKWGGKPDVLVACVGGGSNAMGLFHEFVEDQDVRLIGVEAAGHGVDTDKHAATLTKGEVGVLHGSMSYLLQDDDGQVIEPHSISAGLDYPGVGPEHSFLKDIGRAEYDSVTDQEALDAFKRVSRLEGIIPALETSHALAYLEKLCPTLPDGVRVVVNCSGRGDKDVHTASKYLDV >Sspon.03G0022260-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:67869334:67878868:-1 gene:Sspon.03G0022260-1A transcript:Sspon.03G0022260-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTEDSAAAGEVRRLLAHLDSQQQTLADCHGAWSRTLAYFASLEEDLASRSAALEEALAAADASTSESLAALEARESAVPARLAEASAALSAAVAEAETESTGPPPTDIKGALRWICRRMDAAALWRFMAAHRRELAVVRKEAGPAVAMAVDPPRLVLDVVSDFLAAGSGVGEDQCWVLGMLLRSLLDSNGRKPPEIGDTLVERAFAVTQEWQERFGINMDKLSSENKEVEMAEADGLGNSGATKKKEGKEEEEGQEEEEEEEEEEGEEEEEGEGAEGKVSEEGEGAEKIGPEDEKKGAAVGTMEGKTGEEGNKGAPEQPEAQIFVQMVAAFGLKDKFDEEFLRRLFVANGRKRELARFACVLGFEESLGGIVDELIKSGNIIEAIFVAHEADLLERFPPVPLLKSYLRNSTDKAQVVLKSGRHSSSALTDSNFFQEEANNLEGNAYRSIIRCVESCQLQSVFPIEVMKKKLAKLEKEKSEKKKAAGPSRFQNKRSRGAAGPYPFPAAKAARGSNFGPRFQNPISRSLNYAAHAGYINPAAASSYYVPGSVSGRRGGVPFGGPGATYGGSPNFAAGGGQQSFR >Sspon.06G0001200-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:3984569:3988001:-1 gene:Sspon.06G0001200-1A transcript:Sspon.06G0001200-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALKFLNKKGWHTGSLRNIERVWKAEQAEEAERRKTEELKKQVAAEKEKAEFRAMQERAGLRPAQERLDFLYESGLAVGKSSEGFQALQQSAPGAAAASTSAQASAADSSKAATPGALFEDKPQSANDTWRKLHSDPLLLIRQREQDAIARIKNNPIKMAEIKKSVEAEKKQKEEKKEKKRHKKHRHHKSKSKRHHSDENSDSDEISDGKDERWKRAHSSLDHKKEENRSRHKKQHREDSSDSDNDEPQRRKQDVSEDDELRRRRRDEDEPRRRLHDDDEPRRRQQDDNEPRRRRQDDGEPRRRRQDDDEPRRRRQDDDEPRGRRQDDEKPRERSYVARPRYDRADADDRKRRHHSPPDRHPANSKHDGPDSRPKRVDDGHKTGNSTFEHRSRSEQGSGEQMRQGSEHGRNNGPSFNRRRGGVHHMSEEERLARLRQMEADAEVHEEQRWKRLKKAADDDAKEAATVNVNQLKGKNFLEDEKKSIFGTDKGGCATIEESIRRRAFYSQGGRDAEGNAFRR >Sspon.06G0018450-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:99276307:99281355:-1 gene:Sspon.06G0018450-1A transcript:Sspon.06G0018450-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQRARRAREREQRRLLDLAVESGFDRDLAASCLARLLEVYGTYLLGRLRRRRGFPSSLSGEDGLSLVTVENCGNDFLASLADATQPTDDWDDLKGIETEACGNLNDMMMKNVTDCNGVAMDSSYMSREPNLSSQRAPDHSEGFGFASDDSDFEMGDGMDNLHDNSFSMQRQTQSRNSGMQSRSSAKSTVTRATNRYESMTPTSNREMPPAAFHQRREVLSYEQLCCLDDVNFANVVIFGNKTFRPLQYEACKAAMNNQDCFILMPTGGGKSLCYQLPATLHPGVTVVVSPLLSLIQDQIVALTYKFAIPAAFLNSQQTPAQASAVIQELRYGTPSFKLLYVTPERIAANYSFMEILRGLDQRWGHDFRPDYRGLGCLKQNFPRVPIMALTATATESVRKDVLNALRIPNAVILKRSFDRLNLNYEVIGKTKTPQKQLGDLLKERFMNKSGIVYCLSKNECADTANSSANQCPREMAQREVKVICATIAFGMGIDKPDVRFVIHNTMSKSIESYYQESGRAGRDDLPAHCIVLYQKKDFSRIVCMLRNADNFKSESFKVAMEQAKKMQTYCELKTECRRQALLEHFGEQYNRQRCKDGPSPCDNCLKT >Sspon.07G0002990-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7B:6931191:6931923:-1 gene:Sspon.07G0002990-2B transcript:Sspon.07G0002990-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSRIIVASLLLLLLLLAFAATAEARVVRELIGEDACQQTCNQVRFKKMCQSLTKLPKVTTPRELLLASMRVAAEKAKEAKSRVDVYAARSHGGRPMESILGACSTGYDNVVQTLEETQKIVAKQGTQVDMNTQLSDAVTSAGDCDNAFQDFPEMKDPFLAMQRNVWRLVDNVLNIAVVVKQSGDAHAHGH >Sspon.07G0020450-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:76272840:76275324:1 gene:Sspon.07G0020450-1A transcript:Sspon.07G0020450-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEAEWNDERTRLVCELFEEQVRAGNRPNTHLNNIGYRQVAAKFQQRTQLLYTKLQLKNKWDKFKNDYITWRKLLVVGKGLPWDTAKGTFVADEEWWKKINKELPGARKFRHGGLQHEDKLKVMFDYITSNGVDPSPPAPESPKNGVDNSPPAATGLPSAPDCPLNEAEHSPVTAHGLPSGTDSHMNGTDHLPLATHDLPTVQEIHMNGVNLDGSDNNTEDNDDTHQESVFQYASNRKKIPIRFSAAKKKKKNKSETALLMQAHLYRIAELAQKAQDTFEKFSSQADLAPWPSIQDVMTLVRECGARCGSNEHFIATELFVSREQREMFLTMETAEERFQWLRRKYIVKYLSGTAGTSLGTR >Sspon.03G0018890-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3A:58689777:58693616:1 gene:Sspon.03G0018890-1A transcript:Sspon.03G0018890-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPPRGKWRSRRHRRPLDQNRAKLSLGTPCLQPTAQAIQQGDTKRSQQGGRRSRTGPPDPKRWRQTVTRKEAVATAAASKPTPATKGSASGMQREERASMQQAQPSMHNQPSSMHEPTKPAGGAHRGRPTSGQRGRADQAAQAPRPTDPAKTQPHEPQRPAAPAGAALAGGSPTHGRLARMRQRSRGQADRSGSTGSGAKAVDPELAAGKGTDGGRLTCSPRPRAAAAAGRRSSDGGRERGPRGARRRRWLVSPRVATGTTRGACLPPIDISASPPATNPPAPNVTGPTRRNHSSFTTNRVFGIVLGSIGSLIVLIVSIAFIAYKLRARQHWEMEEGVEEFGELPGMPIRFTFQQLQEATDQFRYKLGEGGFGSVFEGQYNEEKIAVKRLERSGQGKREFLAEVQTIGSIHHIHLVRLIGFCAEKSHRLLVYEYMPKGSLDRWIYHSHENDAPSLDWKTRRQVITHIAKGLSYLHEECSKRIAHLDVKPQNILFDENFNAKLSDFGLCKLIDRDKSQVITRMRGTPGYLAPEWLTSQITEKADVYSFGIVVMEIISGRKNLDTSKSEESIHLITLLEEKVKSDQLADLIDKNNADMQAHKQDVIQVMQLAMWCLQIDCKRRPQMSEVVKVLEGSMDAEANIDHSFVATSAA >Sspon.05G0008050-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:26964443:26969927:1 gene:Sspon.05G0008050-4D transcript:Sspon.05G0008050-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHSNANALLWKKGPVRTVWKLSACKESELGRAKVARTGTDIVAAVGNDSMSTPAMREKNPPFFFSLWEKQGEGKGLCRSLGLKAAMQGEFGLENMGTRGGRLLTVLASARNSPNGFVTLSLFVSPLADEIILDVHSEHGLRLEVLATLLFGIVRIFSKKIDFILHDSYELRRSFQLSQLAEPTVPSGRSTPRVLKQVNKDVRVGRSVVGQQNTSKVTKTVHAVRTTEVSSAISSEGHSVMVETEVTVGISVVIKEARVPNGLPTFTRPTRFELDSFDLGIDEDTDDEGDDHHQSAHQDFTEGDKPEIDDKDADSAWFTPLKDVLPPELMDMVAEAKDLAKESKTGDNSVREVNTDENNGGSDCTVISIPLQENQELQYSDNALESMSCGSRSANYTTEASENDSLLGKLNTTAPAAGFPGLGSDTGEESLEPLVIRCETRADNKLSPSTPEPLQEGIPGPSSSSRFGVRTPAKTEKSQATRKRRRSLLYNKHDCIQTERESQRRVRRKLTWSLFDDEGTVLSNEMLRGAIEDASDLVQQRRKAPHTHLDIWRVAKLGSLPYTFMDPLIPCRSLIYPSLGAIIDTSIPLARSTAPEAPESSCEESFKARRRLSYEHSESIHACKDTGSIERESILDASRKRKLEEPIDSEVPVDCQTESWPVQDEFCACNEDTIKEKSTQVKGDEPSSKAPPKNGLHESENQIPLHIEALNAAVDNIDEDILVDEEHSRDEGLQNSTRTRKIASLLHQLFLDQKRKEGTTTLSLSQVLEGRKRKTAASFFYETLVLKNRGLVEVKQEQHYDDIILSATPKLEAELQRCGN >Sspon.04G0037240-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4D:64502621:64506527:1 gene:Sspon.04G0037240-1D transcript:Sspon.04G0037240-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLWLRSLKVQLQRLLPGNWKWELEEIDEKLFATTFPSEADLQRGSHDKVLSKFEDYERSMLSGK >Sspon.02G0011010-5P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:24169735:24171481:-1 gene:Sspon.02G0011010-5P transcript:Sspon.02G0011010-5P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPLLTQKIVKKRVKQFKRPHLDRYKCLKPSWRRPKGIDSRVRRKFKGCTLMPNIGYGSDKKTRHYLPNKFKKFVVHNVSELELLMMHNRIYCAEIAHNVSTRKRKEIVERAAQLDIVVTNKLARLRSQEDE >Sspon.02G0012580-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:28000030:28000911:1 gene:Sspon.02G0012580-4D transcript:Sspon.02G0012580-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFDDHDDGDEEMTQMPVTSSYEAPPLAVAAGFGGGVAVPKPPGGGRASKAPSGGLGLHGAAGGRYRECLKNHAVGIGGHAVDGCGEFMPGGEEGTLDALRCAACNCHRNFHRKESPATEGSPAALVAYGATPQHHHFSPYYRTPAGAGYFHHHHHHHQPLHHMAHTPRPLALPSTSPHSGRDDGDDLSAGMMAAGPMSAVGPLTLSGMSLGGSGGAGPSGGSGGSGSGKKRFRTKFTQEQKDKMLAFSERVGWRIQKHDEAAVQQFCDEVCVKRHVLKVWMHNNKHTLGKKP >Sspon.04G0024560-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4B:20365726:20366319:1 gene:Sspon.04G0024560-1B transcript:Sspon.04G0024560-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDGISEEAAKALSELIPATDKLKVLHFHNNMTGDEGAMYIAEMVKRSPNVESFRCSATRIGSDGGVALSEALGTCTRLKKLDLRDNLFGVDAGLALSETLPKLPDLVELYLSDLNLENEGTKAIANALKQSAPQLEVLEMAGNEINARAAPDLAECLSAMQSLKKLTLAENELKDDGAVIIAKSLEDGTQISRNLM >Sspon.03G0042250-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:54594180:54596161:-1 gene:Sspon.03G0042250-1C transcript:Sspon.03G0042250-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MENQMNEVASSWQPGDWSCRSCQHVNFCKRDACQCCGEAKLGVDPNPTDYAAPGGVWRVKPGDWLCYRCSVNNYASRGSCFKCGAAKNESPAAVAQGWRYTVAGQPGMKPGDWICPRLGCHVQNYANRTECFRCSMPKSY >Sspon.03G0015850-3P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:55972603:55973793:-1 gene:Sspon.03G0015850-3P transcript:Sspon.03G0015850-3P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVDTFLFTSESVNEGHPDKLCDQVSDAVLDACLAEDPDSKVACETCTKTNMVMVFGEITTKANVDYEKIVRETCRNIGFVSADVGLDADHCKVLVNIEQQSPDIAQGVHGHFTKRPEEIGAGDQGHMFGYATDETPELMPLSHVLATKLGARLTEVRKNGTCPWLRPDGKTQVTVEYRNEGGAMVPIRVHTVLISTQHDETVTNDEIAADLKEHVIKPVIPEQYLDEKTIFHLNPSGRFVIGGPHGDAGLTGRKIIIDTYGGWGAHGGGAFSGKDPTKVDRSGAYVARQAAKSIVANGLARRAIVQVSYAIGVPEPLSVFVDTYGTGTIPDKEILKIVKENFDFRPGMIIINLDLKKGGNGRYLKTAAYGHFGRDDPDFTWEVVKPLKAEKPSA >Sspon.03G0008280-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3A:22455430:22459606:1 gene:Sspon.03G0008280-1A transcript:Sspon.03G0008280-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SCAKTTCTSFNGSTKHEQNLHENVKPLQLATNGSSRLAYRSPVLKHQWNLSASSSSANVVTTFDDDKGVSSSVVEEKIGVLLLNLGGPETLDDVQPFLFNLFADPDIIRLPRLFRFLQRPLAKLISTFRAPKSKEGYASIGGGSPLRKITDEQANALKIALEKKNLNANIYVGMRYWYPFTEEAIDQIKKDKISKLVVLPLYPQYSISTSGSSIRVLQNIVNAHGVPLTYVQDAGDPYRDQMEDCISLIMGELRSRGILNGHTLAYQSRVGPVQWLKPYTDEVLVELGQNGVKSLLAVPVSFVSEHIETLEEIDMEYKELALESGIENWGRVPALGCTSTFISDLADAVVEALPSASALATRKPEDTDSNMDLRHYLTK >Sspon.04G0008930-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:26416873:26419682:1 gene:Sspon.04G0008930-3C transcript:Sspon.04G0008930-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding FPSLCSFISSYFRAMFVDKFLLRKTFPLCCLRWILHYTVFECPPNSTTEILMQRTPNFFGILQSLISIWSKKEFIQSYSVEQQAYITAAIGLCLEKLTKKELETTKAVLNSILQGVSCRLESPIDLIRKMASAVALTFSKVVDPKNPLYLDDNCCEIVDWDFGVLSPKEIKAPLDAVESKTKPKARENKRNAIEKKAKAIKHDIPDVRAKIVEINSIDHDQMYDTATNGQFEEEECDEESMNMDAYSDSSLEPYDLSDDDTDLQKKFSHLSDLAAALRKPDDPDGVENALNYAEKLVRASPDELRYNSGDLVRALVHVRCSDVAMEGEEDSAEEKRQKALVALLVTCPFESLDVLTKLLYSSSVDIGQRILIIDAMTEAAQELAETKTVQTEQRHGNLITDTSPSWLVPSNIGPPGAGPWREVSEPGTLLSWSHRYEREVPSKSGQLKSGKSRKWGLGKAKDLQVEWSKNRFPLYAAAFMLPVMQGYDKRSHGVDLLNRDFVVLGKLIYMLGVCMKSMAMHPEASALAPALLDMIRSRDVSRHAEAYVRRSALFAASCILISLHPSYVASSLIEGNQDISTGLEWIRTWALQVAEADPDTECTSMAMTCLRLHSEMALQTSRALESADHSKTGRAIPSKLDSIILPFGNMM >Sspon.03G0020310-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:85609483:85616793:-1 gene:Sspon.03G0020310-2B transcript:Sspon.03G0020310-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVDAALLAIVVAFLLPLRLVSLGLRLASGRHSTSARHLRRSCAALAVATALLAVIFALPRDHARECAAPVASVPGGGDDDGVFREELRSEVEQLKLQLARLESVWDSKSKVLDEKLDPLEEENGRVMRAMELDIQSLMNEQENIKIQESLCSSYFGDSIKAMENEVQILKDGSRKMNSDIWTVAKDTTEKVEALHSAIKKVQVIADEWVKANSTINRIWSFTKETEKRVEGLQADEEKSAILERLMMLRTDLMLDHLSLMLRLELSHKSC >Sspon.04G0005720-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:12216068:12218229:-1 gene:Sspon.04G0005720-2B transcript:Sspon.04G0005720-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQAFRKLFDAFFGTSEMRIYVVDSLDRERIGKAKAEFQAIINDPLMLNSVILVFANKQDMKGAMTPMEVCEGLGLYDLKNRIWHIQGSCALKGDGLYEGLDWLASTLKELQASGRLPSGGTSLF >Sspon.05G0010790-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:30417644:30418138:1 gene:Sspon.05G0010790-1A transcript:Sspon.05G0010790-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCLNAGAEDFIVKPLQSKDVQRLRNCYTAARPSSKGGAAPCEAAAVAKRNNKPPLVLPPSAVAGATSPSGRRGNLAGVAMVLHSSSVELSQFLPLLLKLVVLAYAVLCLAELLHR >Sspon.02G0045370-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:109211305:109213484:1 gene:Sspon.02G0045370-1B transcript:Sspon.02G0045370-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGNLGRVADARRVFDEMPTTSVVLGNTMVACYVRAGDVEAGRDMFDRMGEAVVARELFEEMPERNVNSWNMVIAACSREGAWADALAVFNRMRHARFQPDPATMAVLMSACAQLGSLSVASQMLCNRTLRSKSMVLHY >Sspon.06G0026700-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:80418679:80419659:-1 gene:Sspon.06G0026700-1B transcript:Sspon.06G0026700-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVATSMSRAERWNLAGATALVTGGSKGIGHAIVEELAGFGARVHTCSRNAAELEECRRRWEEKGLQVTISVCDVSVRGDREDLMDTVTATFDGKLDILVNNAGQSLFKQTAECTSEDYARIMATNLESCFHLSQLAHPLLLAAGDGGGSVVNISSIAGFIGLPALAVYSMTKGAMNQLTRSLAAEWAGDGIRVNCIAPGGIRTDISSDKTIDPELVKKEMARLPMGRIGEPEEVASMVAFLCMPAASYMTGQVICIDGGRTIA >Sspon.04G0028800-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4B:68363786:68363980:1 gene:Sspon.04G0028800-1B transcript:Sspon.04G0028800-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GHRHREIKIGPCFPSIDGNALSDRGNAPSCLKRCTSKGYAGGRCDTVSGGLPGDCFCLTLDGRA >Sspon.06G0011460-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:62678002:62680587:1 gene:Sspon.06G0011460-1A transcript:Sspon.06G0011460-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGGFLLEAAKKEKESLQMLLIAKKEELLRKTSECGRLQEKSLALAKELAALKLSADMNLQEEEILKLASLGNHGNLQNAVDVLKRSLAIRNKSYKELMIQCNVLGRSESRMQQKLEKAKELIKKLRARVLELEKEIEDKENSLLRDLRSSKKFKADHIKSGNVTANNAFTRSSPGYENQTNELDEVMQDPCNDKTHFDRLKPEAKSDLNAKDNLDNKNAEVIDLEADDSVYGDEPKAKYSAKPSGTDGSTLNPKNKFSLCQNDDQQSMAFECTTTHVAKGGPFLKHREATVKSTFMANIRTKLHIPPESPSVRTNVTSSTLEGETLTIDGISKQATRLASGTGPQQIHNFNSLSDDFQAPGIPGMDGARKSIGKWCKGSAAPGSASGNRGNLIAVGPDGRGGKVKILRDLGQSQDSKSQALWPKAPKVGSKGGQSQIHHFFGKR >Sspon.04G0018960-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4A:67663012:67667728:1 gene:Sspon.04G0018960-1A transcript:Sspon.04G0018960-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable ATP synthase 24 kDa subunit, mitochondrial [Source:Projected from Arabidopsis thaliana (AT2G21870) UniProtKB/Swiss-Prot;Acc:Q9SJ12] MACSSPSGRVCAGPVHTRACASSTVALGRGSPAGRYESDTDGFQRPPSSSTSNPASSIHDGAADAATASPRRHLRPPPTSAAEPIRTGAGGGETRRLALRIGVGPGSGGRRREFIASEPTSKPRGGSESRWGDGDLCNSQATGRGGRTEGGWTGPSRAHRAPRGGMGPTEVQRTRGGACGIDACFEIRARVREVLAERTAESSGGAGTKRSFLGRPHFSSPLLPSPISLSRRSKRSGGGPSPSAAPTAASAMALAARLVSRSRQLYSVQAAFGNGGATQVRSFAAPADRPPVSGDDLLKGIFFEVKKKFETALGVLKKEKITVDPDDPAAVSRYAQVMKTVREKADLLSDSQRIKYTIETFTKGIPDARTYLNTLQQIRIKSGLIDHLGIEPLMMEALEKIEKDIKKPLLRSDKKNMATLLAEFDKINKRLGIRKEDLPKIEEELELEIAKSELTELKKECVEAMETQLKREEFQDEEMPDVRKLDIRNFL >Sspon.08G0004120-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8C:11913392:11914410:1 gene:Sspon.08G0004120-2C transcript:Sspon.08G0004120-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHTAVNLTEAARSEHLFKINGFTATKQTPRSFSPSRKCAVGGHDWQIQFCANRSAGPPNHPSDGCAGWVMFRLRLMSKAAGGGVAASFACRLVGPNQPGPGDSPDQISSASFHIYDFHDVYLVRRSKLEGWQCRYLKDDSILVQSAITVLLGELKNAVASDAGPPLSVPSSDLHTQFGELLRSQKGADDVTFHVSGESLYGHTKEASASAPCVEVKDMEAEVFRAMFRFIYTDTATELERGGWHATAMAQHLLEAADRYGLERLKRMCEDKVSTDISVGNVATTLALAEQHGCAKLKANCIEFILAVPENLFALAATE >Sspon.04G0018750-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4B:70203156:70203585:1 gene:Sspon.04G0018750-2B transcript:Sspon.04G0018750-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGQPNGVEDRRPRGDDHEADDDDDEEEEEGRHRGQGQGPPPQRRHQAQRPSSGPQQLQQQPRPPPPLTRNAGYVGKHRLSAAIARLDQELQSLQVPARLAPLPALLSLTFARSTATAAGRPARATPSSSVESTSWPPTCCRS >Sspon.03G0001740-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:10473978:10476217:-1 gene:Sspon.03G0001740-3D transcript:Sspon.03G0001740-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LILFNAEILRASSMAPNHNFVDPERIEHGSPLRLPGHPVNGQPMDLEGWSGIQTEVHILASVVVVVFLLASQHMGVLQASSMGWNGAPVLAATPIVKKVMRLDVPVDKYPNYNFVGRLLGPRGNSLKRVEASTQCRVYIRGRGSVKDSVKEDKLRDKPGYEHLNEQLHVLVEAEFPVDMVDARLNQAVSILEDLLKPIDESMDYYKKQQLRELAILNGTLREESPSPHLSPSVSPFNSTGMKRAKTGR >Sspon.06G0022830-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:36490095:36492380:1 gene:Sspon.06G0022830-1P transcript:Sspon.06G0022830-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAEAKAAVVPESVLRKRKREEQWAADKKEKALADRKKALESRKIIFARAKQYAQEYDAQEKELVQLKREARLKGGFYVSPEAKLLFVVRIRGINAMHPKTRKILQLLRLRQIFNGVFLKVNKATINMLRRVEPYVAYGYPNLKSVRELIYKRGYGKLNKQRIPLSNNNVIEEGLGKHNIICIEDLVHEIMMVGPHFKEANNFLWPFKLKAPLGGLKKKRNHYVEGGDAGNRENYINELIKRMN >Sspon.07G0025390-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:41710083:41712259:-1 gene:Sspon.07G0025390-1B transcript:Sspon.07G0025390-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding KAEMVGLVGGSTARAEHVVANAGGETEYVRRLHRHAPAEHQCTSTLVKHIKAPASQVWELVRSFDQPQRYKPFVRNCVVRGDQLEVGSLRDVNVKTGLPATTSTERLEQLDDDLHILGVKFVGGDHLFQNYSSIITVHPESIDGRPGTLVIESFVVDVPDGNTKDETCYFVEAVIKCNLKSLAEVSEQLAVEPPTSPI >Sspon.04G0016250-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4C:66378081:66380146:-1 gene:Sspon.04G0016250-2C transcript:Sspon.04G0016250-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASANPAVAEAAASGQRDELSESLAELFTNVSLMVRGELQGANNQLALLEKMNDRVAQEYSNYGDIAAGLRVFVEQLNEKNRGFDDYVSQIDAIDQQVTEFEAMVSMLDKHVAFLEKKVKSAYHISATQ >Sspon.08G0010900-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:43235769:43237869:-1 gene:Sspon.08G0010900-2B transcript:Sspon.08G0010900-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMRIERDLHMATGNGETSYTKNSRIQEKAMFQIKPVLEEASREVYTALLPKTMVVADLGCSSGPNTLRFVSEVIGIIARHCKELDRRHDRPPQLQFFLNDLPGNDFNNLFQLIEQFNKSTARKHKGEAEAGALPPSYITGLPGSYYTRIFPSESVHLFHSLFCLQWRSQAPEQLKGTKKTCLDIYITKTMSPSMVKLFQQQFQKDFSLFLKLRYEELVSGGQMVLTFIGRKHEDVLTGESNHLYGLLAQSLKSLVDEGLVEKEKLESFYLPMYSPSVGEVEAIVKQVGLFNMNHVKVFETNWDPYDDSESDVVHNSIRSGENVAKCLRAVMEPLVASQFGEAILDKLFQEYARRVAQHLENEKTKHAVLVLSIKKPIHV >Sspon.06G0003720-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:11380003:11383553:-1 gene:Sspon.06G0003720-1A transcript:Sspon.06G0003720-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSRLCEKYMHKLRGDELVWEMEAELSEFSVFDLHQILDATGDFSEENKLGEGGFGPVYKGQFTDGTEVAVKRLASHSGQGFIEFKNEVQLIAKLQHRNLVRLLGYEGRKALLDWNRRLAIIEGIAEGLFYLHKHSRMRVIHRDLKPSNILLDSEMNPKISDFRLAKIFGLNDTEGSTTTRVVGTYGYMAPEYASEGLFSVKSDVFSFGVLMIELLSGKRNSSTNQYGDFINLLGYAWQLWEEERWTDLIDASLIPQSHPAEITRCVIIALLCVQENAADRPTMLDVVAMLNSETMKLCEPKRPAYFNLRVSHQEASSTTSKSCNFDDMTISITTPR >Sspon.04G0030880-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:4508433:4509836:-1 gene:Sspon.04G0030880-1P transcript:Sspon.04G0030880-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLALLTVLLLAHAVAYLAWQAVSRRRRACCYLLDYACHKPSDDRKVTTEMAGDVIERNKRLGLSEYRFLLKVIVNSGIGEHTYCPRNVLQGREESPTHDDALEEMDDFFADAVAGVLAKTGVRARDVDLVVLNVGSFSPAPSLVSRLVHRFGMREDVMAYNLSGMGCSAGLIAVDLARNVMLTRPRTTMALVVTSESCAPNWYVGTDKSMMLGNCLFRCGGAAALLTNDPAFRSRAKMELRCLVRANIGAHDDAHAAAVHREDADGRLGVSLSKALPKAAVRAFTENLQRLAPRILPARELARFASRLLLRKLLLAPRNNSKAEGGASSPKIDFKTGVDHFCLHPGGTAVIEAVRKSLGLTAHDVEPARMTLHRWGNTSASSLWYVLSYMEAKRRLKRGDRVLMVTFGSGFKCNSCYWEVTRDLADAGAWEDCIDEYPPETLVNPYMDKFGWVNDVQGQGSGFIF >Sspon.07G0011690-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:41643338:41647122:-1 gene:Sspon.07G0011690-1A transcript:Sspon.07G0011690-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pre-mRNA splicing factor SR-like 1 [Source:Projected from Arabidopsis thaliana (AT5G37370) UniProtKB/Swiss-Prot;Acc:Q8RWB1] MQHHHHQGKPIDVLMEKVLRMNILSSDYFKELYRLKTYHEVIDEIYNTVEHVEPWMTGNCRGPSTAFCLLYKFFTMKLTVKQMHGLLKHLDSPYIRAIGFLYLRYVADPKVLWTWYEPYLRDDEEFSPGSNGRKTTMGVYVRDLILGQYYFDSLLPRIPLPVTRQITANLEKMKLPTKLSGATGDSSRQGSEDTARRPPSVKASLSVSFGQRAPHRASTRDSSPVRRTVTHDDHRRSYSPSRRSASREGPDHDRSDRELDRSSRDCDRDRDRSSRDRYRSSRDLDRDKDVRDYHRHEHDSRDREYYRSRRSEERRDDRRDRESSRHRRSSSRHRSRSRSRSRSRRSRSQSRSRSRGRNEQRSSPFRDANKEKAATVSSNLAKLKDLYGDITEKKEDSDAEKLHRDSCAEEVIRLGGPRWR >Sspon.08G0020780-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8B:25843719:25844614:1 gene:Sspon.08G0020780-1B transcript:Sspon.08G0020780-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGAWTCSYCLHTNGFPKLLYATMQRLRIKEYPEYEGREYYEQHVERCEVTVYIAKSEDFPDITDDWSMTAIEFRFTNTYQAVILPTAREEPPRLERPCRSPASARRKPRLMTEYLLALNEQYDKPAAKLRDYITRTMEAEVYTRRLYVYYAKTQARVTIAESREFALAEALRTVEERHAEQLRIAYIVTRPNRRMLAADGQEPAILDGIPVHPPQRRRMDHVVPPAPPPSEVPDAKSLLPLTQPPSREEGDPRSSTIEGPKELCHEALCLDDVD >Sspon.06G0003910-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:28558336:28561378:1 gene:Sspon.06G0003910-1P transcript:Sspon.06G0003910-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DGPLRPRDHRLLPRRPPLPGRVRPRGRPQGERRRRRPRHGHRRPRRREEVHPQAPGLQVRAQDRVPGHPHRAGVRGLKADARVLINRARVECQSHRLTVEDPVTVEYITRYIAGLQQKYTQSGGVRPFGLSTLIVGFDPYTDKPALYQTDPSGTFSAWKANATGRNSNSMREFLEKNYKETSGKETIKLAIRALLEVVESGGKNIEVAVMTKKDGLQELEEAEIDEYVAEIEAEKAAAEAAKK >Sspon.07G0001660-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:86687101:86687576:-1 gene:Sspon.07G0001660-1P transcript:Sspon.07G0001660-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding IAVFAGGVDTSATETKGTVLIHSAEQLENYAKTEEAKVEELIKAVADSGAKVIFSGAAVGDMALHFCERY >Sspon.08G0019170-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:59420094:59423912:1 gene:Sspon.08G0019170-3D transcript:Sspon.08G0019170-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:WAV6 [Source:Projected from Arabidopsis thaliana (AT5G57090) UniProtKB/TrEMBL;Acc:A0A384LBE9] MQYRFLAADSLQKLVILAALAVWHNVLSRYRRGAAASSLDWTITLFSLSTLPNTLVMGIPLLRAMYGDFSGNLMVQIVVLQSVIWYTLMLFLFEYRGAKALISEQFPPDVGASIASFRVDSDVVSLNGREALQADAEVGSDGRVHVVIRRSASASTTGGGGGGYGAARSGVGGYRPYGPSSAMTPRASNLTGVEIYSLQTSREPTPRGSSFNQSDFYAMFNGSKMASPLAQPGAAGARAPGLDEQVANKFASGKGGGDAMAYPAPNPGMMPPPRKKELGGSNSNSNKELHMFVWSSSASPVSEANLRNAVNHAASTDFAAAPPPPMPVDGATPKGVSGTVTPAKKPDPAANGGDLEIEDGLKSPAKGLAAKFPVSGSPYVAPRKKGADAPGLEEAAHPMPPASVMTRLILIMVWRKLIRNPNTYSSLIGLVWSLVSFRFNIQMPSIIKGSISILSDAGLGMAMFSLDYIRDVSYLNREIRSDGATFDLGLFMALQPKIISCGKRVATFAMAVRFLTGPAVIAATSIAIGLRGVLLHEYNCHPQILSTAVIFGMLIALPITILYYIQTCIEESKALQAGMHALAPRDSAVDHMRKHLLVIVN >Sspon.04G0004690-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:14722992:14724894:-1 gene:Sspon.04G0004690-2D transcript:Sspon.04G0004690-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MCQPAVCEEKGPIAICRRHLWTGWTVDDANEQGTLLKIERAEKKRGAVKQQAKKRRRWAQPLLGKLSESSYSSSDEHLVNRTGTLWTAMAHIITAVIGSGVLSLAWSVAQLGWVGGPAAMVFFAGVTAVQSTLIADCYISHDPERGVVRNRSYVDAVRLYLGEKSHLFCGFFLNFSLFGTGVVYTLTSATSMR >Sspon.05G0000660-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:2506423:2508667:1 gene:Sspon.05G0000660-1A transcript:Sspon.05G0000660-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDFPPDTSGKFEDFKDFVRSNFEICEWVGLSVVAAQVLSIILGMVLRTLGPDRETDYDSDDDTTVSARLPLLRNQALHGSDYAEPNTSRRSDSWKLRILDKISY >Sspon.03G0021790-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:52724848:52728269:1 gene:Sspon.03G0021790-4D transcript:Sspon.03G0021790-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPGVMMSGRSPTRSRRRTACAAPPLPLLWCALVMACVSGAMAVDAQGAALLAWKRTLRGGEEALRDWRDSDASPCRWTGVSCNAAGRVTELSLQFVDLHGGVPADLSASAVGATLTRLVLTGTNLTGPIPPQLGDLPALAYLDLSNNALTGSIPAACAGPGGLQSLYLNSNRLEGAIPDAIGNLTGLRELIIYDNQLEGAIPASIGQMASLEVLRAGGNKNLQGALPPEIGNCSNLTMLGLAETSISGPLPATLGQLKSLDTIAIYTAMLSGPIPPELGQCSSLVNIYLYENALSGSIPPQLGRLSNLKNLLLWQNNLVGVIPPELGACAGLTVLDLSMNGLTGHIPASLGNLTSLQELQLSVNKVSGPIPAELARCTNLTDLELDNNQISGAIPAEIGKLTALRMLYLWANQLTGSIPPEIGGARASSRSTCEIPPEIGNCTSLVRFRASGNHLAGAIPPEVGKLGDLSFFDLSSNRLSGAIPAEIAGCRNLTFVDLHGNAIAGVLPPGLFHDMLSLQYLDLSYNSIGGAIPSDIGKLGSLTKLVLGGNRLTGQIPPEIGSCSRLQLLDLGGNTLSGAIPASIGKIPGLEIALNLSCNGLSGAIPKEFGGLVRLGVLDVSHNQLSGDLQPLSALQNLLPMSDVEGNPGLCLSRCPGDASESERAARSAARVATAVLVSALVALLAAAAFLLVGRRRRSSVLFGGTRSDEDGKDAEMLPPWDVTLYQKLEISVGDVARSLTPANVIGQGWSGSVYRASVPSTGAAIAVKRFRSCDEASAEAFACEVGVLPRVRHRNIVRLLGWAANRRTRLLFYDYLPNGTLGGLLHSGCGGGSTGTAVVEWEVRLSIAVGVAEGLAYLHHDCVPAILHRDVKADNILLGERYEACLADFGLARVAEDGANSSPPPFAGSYGYIAPEYGCMTKITTKSDVYSFGVVLLEAITGRRPVEAAFGEGRSVVQWVREHLHQKRDPAEVIDQRLQGRPDTQVQEMLQALGIALLCASARPEDRPTMKDVAALLRGLRSDSDGAEARKVSGGGCGARPLDSA >Sspon.06G0014520-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:80180632:80182383:-1 gene:Sspon.06G0014520-1A transcript:Sspon.06G0014520-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMPPSPPAEDESDHPGVAECLRLLDAVPAAAASSPAFRRHWPSISASLAALSASLASPAFPPAAPLLAPLAAALRALLSVSVSAGDAGDAPRLGHLHTVSLLSSTAADLSQLAADACLLASAPAPAGPSSSSAAASGSAAEDALVSRLRLGSAASRAAALEELATTAPALPPPSAAAAVSAVAALLDSASAGCVGDLRERAVAALAALASSDAARPSLAQEAGAVVPHLCRALESGSAAEHACAALLPLTASSRDAAAAVAARGGVAALLSACAGGTPAAQAAAAGVLRNLAAFPDLLPAFRDEGGAVPLLLLQLVSLGTPRAQEQALGCLQRLTAGDGDEAQRLKVEVFQAGALACVRDFFDGIGSGGDEPPGLAPALGLLRNMASFRYIAEIAAAAASGGGGSFVAHVAAALGSDRSATRTEAALALAELCGNAGNGSIGKAARRQQQQYYDAVVADAAVPRLVWMLEAKAAGERDAAARALAAVLGASSACRKAFRKDERGVVNAVQLLGDPSGSRVEERRYPVWVLLAVAQSRRCRKQMVAAGACGFLQGLVAAEVEGAKRLAECLGKGKMLGVFPRT >Sspon.04G0023020-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:9920865:9925138:1 gene:Sspon.04G0023020-1P transcript:Sspon.04G0023020-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDPRGRAAGPDRDGDDPHDLPLAEDANGEEAWQTPEKEAVAVGGCAGGDTTPDAEPESDDGEGGVGSPDQAEPNAGGERAPPVAAAQELEGIVGGAKVETNGEDAISHDADGEEEDDDDGDEEDDDDDDDSTPDASPRAEVKVEGESSTGMAQSGAGHRVEVEPDPFLDGDDSGTEEEQAAFMAELERFHREHSLEFKPPKFYGKGLNCLKLWRQVAHLGGHEQVTICKLWRQVGETFRPPKTCTTVSWSFRIFYEKALLEYEKHKVRTGQLQIPLPGLPPPSGADREVVVNQSSSARVRRDAAARAMQGWHAHRLLANGTHGDNILKDKDSIPLSSRDKNLKGFGVLKRKKASSPEYALKSSRSKINKSQEDSMVIDVGEPADWVKINVRQTKDCFEVYALVPGLLREEVHVQSDPAGRLVITGDPEQPDNPWGITPFKKVVVNLPSRIDPHQTSAVVTLHGQLFVRAPFGHPDM >Sspon.06G0020990-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:13205337:13207770:1 gene:Sspon.06G0020990-2C transcript:Sspon.06G0020990-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEVVAMAGQAYMRQLQAHPLRTKAITSGVLAGCSDAVAQKISGASKLQLRRLLLIALYGFAYAGPFGHFLHKLMDRFFKGKKGKETTAKKVLVEQLTASPWNNMMFMMYFGLVVEGRPFGQVKNKVKKDYASVQLTAWRFWPIVSWINYEYMPLQLRVLFHSFVASCWAVFLNLKAARSIANTKKA >Sspon.05G0002380-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:2598876:2604178:-1 gene:Sspon.05G0002380-2B transcript:Sspon.05G0002380-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGQRNSMEHYADVFGFDIASSSGNPVMDQQSYWNNVLGSVESQNLQGYQMNHSDATMPYGNEAQQDGTFLGFWESGEASSSGSALNYGSSSDVKTEHLNIGGGLRIGERRLVADNGISLDVDINLNANVNDLCGQSSNVNCTSQGPEQYGGSDRSGINSQPTDLRLHPYRTFLLGAEQADSFTLNPSENPLGDFSLMQESIDQRPGSSLDGRRLACKRKNIEGANGQSSAGASTSFSHRNDNAFHNIASSSYNPAPIRNSSSPNCLPVPSSIEDQLPRYGTNAGISAGTYDLNGGVNNTGNSQRSFRARTTTSQQIAPCSVWPSSNAIRLSNSWNHQPPHFQSAFDDPQEVIPVVSSVNLQYQHPVNVPGVPQAANRFTGHGASSSRAGSLENRILGSEDVPRRNVVPTNYSDLVPPSAVDLRRLVPEPSNWSSDVRGTAISGSIPPVSRANNSSTVNPPAGFNHQNLTRRHPRNLSEEIGRLSGALRGHQPPRLRSGFLLERQGDGVWGVPLSTRGREGRRLMEIRNALEMIHRGENVRLEELLALEERIGNVSTGLSEEAVIKSLKQRKFSSWRVKASLDPEPCCICQEEYVDGDDLGRLDCGHDFHAGCIKQWLVVKNVCPICKNTALKT >Sspon.07G0037320-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:52858022:52858614:1 gene:Sspon.07G0037320-1D transcript:Sspon.07G0037320-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTRNNPTMSKSSSWPKAKTVVKKWLNLKDSEFHSDCIGKLANFDILCSDDKTAMWLFAWPDESFGGEKMRRRKSCSDRDDLSGRVLLYIATFFTKQANILRSRYVEKASDFSPSIRILLSLC >Sspon.07G0006080-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:13919658:13924278:1 gene:Sspon.07G0006080-1P transcript:Sspon.07G0006080-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCCRSPAAAAREDVKSSHFPASAGGGKKKPHQARNGAGEKKRLSVLGEEGCDVGAGIEEKYALDRELGRGEFGVTYLCMDRGTRELLACKSISKRKLRTPVDVEDVRREVAIMRHLPKSASIVSLREACEDEGAVHLVMELCEGGELFDRIVARGHYTERAAAAVTRTIVEVVQLCHRHGVIHRDLKPENFLFANKKENSPLKAIDFGLSIFFKPGEKFSEIVGSPYYMAPEVLKRNYGPEIDIWSAGVILYILLCGVPPFWAETEQGVAQAILRGNIDFKREPWPNVSDNAKDLVRQMLQPDPKLRLTAKQVLEHPWLQNAKKAPNVPLGDIVKSRLKQFSRMNRFKRRALRVIADHLSAEEVEDIKEMFKVMDTDNDGIVSYEELKSGIAKFGSHLAESEVQMLIEAVDTNGRGALDYGEFLAVSLHLQRMANDEHLRRAFLFFDKDGNGFIEPEELQEALMEDRGADTMDVVNDILQEVDTDKDGKISYEEFVAMMKTGTDWRKASRHYSRGRFNSLSIKLIKDGSVKLGSE >Sspon.06G0009570-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:50974790:50980168:1 gene:Sspon.06G0009570-1A transcript:Sspon.06G0009570-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPKAKKDAAPAERPILGRFSSHLKIGIVGLPNVGKSTFFNIVTKLAIPAENFPFCTIEPNEARVNVPDERFDWLCKLYKPKSEVPAYLEVTDIAGLIRGAHAGDGLGNAFLSHIRAVDGIFHVLRAFEDAEITHVDDTVDPVRDMETISEELRLKDIEFMKKRLEDLDKSMKRSNDKLLKIEHELCERVIAHLEEGKDVRLGDWKAADIEILNTFQLLSAKPVVYLVNMSEKDFQRKKNKFLPKIHAWNMVVKPYFLSAVLLNRNYMIPKIIKTGFAAIHLIYFFTAGPDEVKCWQIRRQTKAPQAAGAIHTDFERGFICAE >Sspon.03G0000540-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:12798576:12799888:1 gene:Sspon.03G0000540-3C transcript:Sspon.03G0000540-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAQDDCKWRQIPAFGDWNLWDDMPVTQYFQAGTFFFAAPAEKDDEDLFKVPQFPAKPYSYKKCVVRVKGEKETAVPPARKGGRRRYVNEQQKWKPKGAVDEDLYKISPQLLCKKKLLRNLLG >Sspon.03G0028420-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3B:9253563:9253747:-1 gene:Sspon.03G0028420-1B transcript:Sspon.03G0028420-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ALVICVSLCVTVDEAGRIYSAGKRDDSVARAVHACANVLVAREQVSNSPVRGQRPDRRSK >Sspon.02G0021560-3D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2D:65234546:65235638:1 gene:Sspon.02G0021560-3D transcript:Sspon.02G0021560-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding QMSWYPRQHKQRLHETATEQHQYTTLTLTTGTRTTSNSPSRVATTPSLHRQRHDRLLPFVFPALLLPAPARLPSMEAPKGMSVGMLSLSILSSLLLHALVTHLNQLKAFFLELKVMEAATSITVQLNRASDLDLALSAAAAGQDRDYRFIAKAVGEAYRAVECGGGNPFGAVIARGDEEVVSCHNSVRRDTDPSAHAEACKKLGRIDLSDCEIYASCQPCPMCLGVGTDSPIQDKGSFKVVYGAKAQVAAAAGFSSSIPDAFVEYYQKSGMEIRQAEGEAARIAEEVFEKTQGRFQTK >Sspon.03G0007580-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:7884722:7886728:1 gene:Sspon.03G0007580-1P transcript:Sspon.03G0007580-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALASRLAQLQAKACEATRFVARHGCEYQRSLVEKNKKYVVEPPTIEKCQELSKQLLYTRLASLPGRYEAFWKELDQVKQLWKNRNDLKVEHAGVAALFGIELYAWFCAGEIVGRGFTLTGYHV >Sspon.04G0033490-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:58765890:58770125:-1 gene:Sspon.04G0033490-1C transcript:Sspon.04G0033490-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MYNGIGLQTARGSGTNGYVQTNKFFIRPRSTSGGGPPGGPHRPPLPDAADGGGGLGGMRKPNKEILEHDRKRQVELKLLVLRDALEEQGYTDGEIEERVDEARKAAEAEAAAAAAAEEAGTGLGAGRPPLPGGRGFTDTQSHHVAARKEKQLETLRAALGLDVEDAKKKGDVESDVESGELVPGKYYEELDTAGQKDSKDSKDAKKNKKKIENEKSSRHRSSRKSKYDSDSDHDHDTKTKKKSSRHDSEDGSETDYDEKEKKHNKKPRLGSDDDSENDRKKVKRGKSSRHDSDSDTDSGYGKKKAKHAKNDRGERKKIPVKNSHHDSESDTDSGYGKKKTEHARNDKGERKKIPVKNSRHDSKSDTDSGYGKKKTGHAKSERDERKKVPVKSSRHDSDSGTDSGYGKKKVEDAKNDRGERKKIPVKNSRQDSESDTDSGYGKKKTEHAKSERDERKKVPVKSSRHDSDSDTDSGYGKKKVEHAKNERDERKKIPVKSSRYDSESDTDSGYGKNKMEHAKNERNERKKVPVKSSRHDSESDTDIDYRKKKIEHAKGICDERKKMPVESSRHDSDSDTDSAYRKKVVEHANIHNGKNKIPVQSSHQNEKPRKYKESFRHDSDSDGLSRDKERQLNSASLKKDVQEKRKLASSSESSDYSSSLSSSDSDMSADSYEEQKRSRAEGRRNELTTQRQKEEERKELEKHQLREVERKELEKQKQREEERMEMEKKRQREREEERQREREQYGRKGGNDVERDNKRKLIDDRYDPNSKLEKRDTKTVRTEMTIDGRKSMVDTVDIWIVMIPRGQGVMMTHITIQGGIMNNAILEMNIETEGVAKCKASLD >Sspon.01G0020890-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:77126516:77129569:1 gene:Sspon.01G0020890-3C transcript:Sspon.01G0020890-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAEVWETLKQAIVAYTGLSPAAFFTAVAVAAALYHVVSGLFAAPPPPPPRPREEPEAEPLPPPVQLGEVSEEELRKYDGSDPKKPLLMAIKGQIYDVTQSRMFYGPGGPYALFAGKDASRALAKMSFEPQDLTGDISGLGPFELDALQDWEYKFMSKYVKVGTVKKTVPVEDGSTASTAPETSEATTEAEKAPATEEKPREVSSEAVKEKEAPADEGVQEN >Sspon.04G0030040-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4B:75633545:75635610:1 gene:Sspon.04G0030040-1B transcript:Sspon.04G0030040-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMRGSLFQERRRKYFDHLNTWGFLIVKISLSPKELDFHGYTLPKHVSVMDAVGIERRESREAAATDALQYPRATVPVGTVHVRERVEGTGG >Sspon.04G0036230-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:25570998:25571437:-1 gene:Sspon.04G0036230-1D transcript:Sspon.04G0036230-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGDFFFTFLGQESSYLNLICWIHPIFWGVNPRWLRYCAPRLVCLSSTIACNRVVLCSPTISDDSTC >Sspon.05G0027250-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:43377091:43377921:1 gene:Sspon.05G0027250-2C transcript:Sspon.05G0027250-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding IDGLCGKRIGIPNGFFHFETQTVMTTVYKQHIETMRRYGAIVIENLDIANLSESRDNTKSGFLVAAPAEFKINLNNYLSNLSDTPVRSLAEIIAFNAIHPVEEKLIEYDQLLLLLSESTTGIGPLQRAAIHRMEELSANGVEKLMKEHQLDAIFTPDSSVATVLAYNGLPGIEVPAGYDENGVPFGVTFGGLRGYEPRLIKMAYAFEQATK >Sspon.05G0022910-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:9269414:9272974:1 gene:Sspon.05G0022910-2D transcript:Sspon.05G0022910-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKKGLIMEMVHLQVFILLLLSSPCQSSDQLTRAKPLSPGDMLISKDRSLYVGIWYHNIPDRTFVWVANRDSPITTPSSAKLAITNNQELVLSDSATGRPLWTTATGGTGVVAVLLNSGNFVLRSANGTDIWQSFDHPTDTILPMMRVLFSYEGHAVTRLFASKGPADLSTGDFSFSIDPSSNLQFFAWHGTRLYYRINFFNDISAFGGSDNYGTSVIVYLSRVGDELYFEYRVSDDSPAYTRLSFDYTGKAGYERPSSGCDLYAACGPFGYCDNTESVPSCQCLDGFEPIDGHDISRGCRRNEALVCGMEDRFVTLSGMKAPQGFMHVENTSFDQCVAECSTNCSCTAYAYVSMSTAGTLAYTSRCLVWTGDLVDTGKVPSFGQDLYVRLAVSHGTRTRRKKKVQKRQMSEYLRSTDKAGDKNLEFPFIDFQDIAVATDNFSDTNMLGKGGFGKVYKGMLEGTNEVAIKRLSKSSGQGTEEFRNEVVLIAKLQHKNLVRLLGCCIHEDENGYMSPEYAMEGAFSVKSDTYSFGVLLLEIVSGIKISSPHLLMDFPNLIVQAWNLWKDGKIEDLVDSSVKENCPLDEISRCIHIGLLCVQDSLNCRPLMSAVVFMLENKTTPLPIPMQPVYFARRDAEPGRADSNRVSSMNNISLTALEGR >Sspon.07G0014410-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7A:52163099:52163521:-1 gene:Sspon.07G0014410-1A transcript:Sspon.07G0014410-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDKHAPSPIQRSLSAVTYCCGACGYDLRLRSSDRDTAGIVGAAYGRAARRGVVAFDAIDDARFGHADEFRCVDLRARRLFVRRTRLLCRKCGAGLGFGYDDRGDGERPPRYDIKIRALQPLAAADDDDDDDGDAAAPPPS >Sspon.03G0041160-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3C:37600214:37604929:-1 gene:Sspon.03G0041160-1C transcript:Sspon.03G0041160-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSAERAARPKAKGTGDGELGDSSGRAVRLKAAEEAAALAVQAAKQAAAAAEAAARTAQELRAEIAAEKGEEEDAEEEEEEVESRSRGLRTPSRDRRRSQSPLRDRRRRGGGRYESPQGRVVYRDSGSGTSWPMLDKTNYYEWSQTMKLRMQARDLWDAIEGGPVQFRDDRRALEVIVGAVPKEMGIPLLDKATAKEAWDAIAATRIGVDRVRRATLQRLRREWENIGVNPGEQVEDFALRLSTLHQQLVIHGDKDITEERVVEKFLRTVPAKYAQIVVAIEQFLDFEALTLEEVTGRLKAVDNREEQALTEPVAINGKLLYTEEQWRARWRKEKKGDDAGGSGFRNQRGGGGRSRGGGRGRGRGGGRGGGRGDGNVAGRVGPNTCLNCNQEGHWARECPQPRREDAERGGGGGNRAGRGGGNRGGGRGGGNQAGQRGGNQGRHEARAQYAECDEDGALFLAHGFISLEQSTPAHSYTAQHVEISEPRARAYLGVDEEVVDSGWYLDSGASHHMTGRQEFFADLNTGVRGTVRFGDASKVEIKGVGSIVFQAKTGEQRVLHGVYFIPALKNSILSLGQLDEGGSKVVIDDGVLRIWDKSRRLLAKVHRGKNRLYILHLEAAQPFCLAARKDEKAWQWHERFGHLNFEALRRLGKEEMARGMPKIDHVEQLCDTCVTTKQRRRSFPAAATYRAKDHLELVHGDLCGPVTPATSAGNRYILLLVDDATRFMWAVLLSSKDAAADAIKKVKAAAEVESGRKLKVLRTDNGGEFTVAEFAAYCANEGVKRHYSAPHSPQQNGVVERRNQTVVAMARALLKQRQMPAKFWGEAVMTAVHILNRSPTKALGDVTPYEAWHGRAPTVGHLKVFGCVAYTRRLSQLRKLDDRGEAGVFIGYAEGAKAYRVFDPASQRVRVSRDVVFDEGRGWDWTSPAAGTSGAAGSNFVVEFPWEEEVPGAGGSVQSHSSSQPHSASPEAFPAVESVLDAGEEVSETSRTPSPPPAPASPQVEHVTPLEDDDERVDAYHDDEELRYRKIHEIIGDQPTPPPAQRLFAELNLTHSGEPTSYEEAKDDQDWQAAMKEELRSVERNGTWELVSPRPGHRPISLKWVFKLKKDEHGAVIRHKARLVARGFVQQEGVDYEDVFAPVARMESVRVLLALAAQEGWAVHHMDVKSAFLNGELQEEVYVTQPPGFVVSGEEKKVYRLYKALYGLRQAPRAWNAKLDLTLKQMGFEQNVYEAAMYRKGSGDSLLVIGVYVDDLIITGVNQQKIEAFKAKMKQTFEMSDLGLLSFYLGIEVRQSEGSITLKQTHYAKKILELGGMAGCNPATTPMEERLKLSKESTAKEVNPTQYRRLVGSLRYLVHTRPDLAFAVGYVSRFLEKPTTEHLQAVKRILRYLAGTLDHGLCYTRTTGKARFVGYSDSDLAGDVDSSKSTTGCLFFLGTSLVSWQSVKQRVVALSSCEAEYVAMTTAATQALWLSRLFADLLGRKVEVVELRVDNKSALALAKNPVFHDRSKHIRIKHHFIRDCVDEGSIKTEFIPTADQLADILTKALGKTKLEEMRSRIGIKEIKIS >Sspon.02G0004550-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:14364497:14368117:-1 gene:Sspon.02G0004550-1A transcript:Sspon.02G0004550-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding APPRSPQRHGGPRGVRCGPHPPRFYRRFKPSTGGAPRSSLRVVASSSKADPVEERPPVAPLADVPVSADASSPVEPQPQVSTGTWKWRGYNIRYQHAGTSGPALVLIHGFGANSDHWRKNIPVLAMAHRVYAIDLIGYGYSDKPNPREIRENFYTFETWGEQLNTFCAEVVQSEAFFICNSIGGVVGLQAAVMEPQKCKGIVLLDISLRMLHIKKQPWFAKPFIKSFQSLLRNTIVGKVFFNAVATPESVKNILCQCYHDTSAVTDELVQIILQPGLDPGAVDVFLEFICYSGGPLPEELLPLVKCPVLVAWGEKDPWEPVELGRAYASFDTVEDFVVLPDVGHCPQVLFVAD >Sspon.07G0016950-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7A:60830487:60832214:-1 gene:Sspon.07G0016950-1A transcript:Sspon.07G0016950-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAPDRRPLQPCVARGPRPPRQHPPAQYVSLWGWLLLWPAYQIADISATYALGNLSLGGTGAGASSSAGSSCEQQVVAFWAPFLLLHLGRPDNISAYALEDNTLSLQQALEVALQVAGASYVLYNHIYLGHSGALLPASTILFAASVAKYVERALALRRGNLEHMRCSTKKTTTKLHQQQEQSTKHVVLRTSTAMGRRWRELDLEQALRFAHDMFRFCQRAMVDSSVELVDSQQDHHTSSSSEKIFSLGWRSMCKVVEMELSLMYDVLYTKASVVHTWGGYLIRIISPFATATAATFFWFLPKDNCLREADVIVTYMLLGSAFLLDMVWLVRALGSTWAFAFLDARPGVWLYHALLCSGRWHRLRRIFVSLDPSSWLLGQDPRTYRMWSGNIGRYNLLDDCTRPRSAMAGRLCRWLASKAGLEDYYYSRASTTPAGPRNSGAYSMKDITTAWGQEAVKRGGRQIFEADQLPFFGREFQEDILVWHIGTCILLACADQEHVQGTYGKPIEVLSEYLMFLVAVRRHMLPGLVLRSLFEVTRQAMADVWAEE >Sspon.01G0024980-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1A:88771233:88772448:1 gene:Sspon.01G0024980-1A transcript:Sspon.01G0024980-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGFHPIRSSSSPSSPGNTMARGSHSPLSPRERRRRRRNSREPQALAPEKQPPPPRSSQRSTRRMALLHSTLLSADLRPLATPPEPPPVPPPALLFLNPWLQSLSSRRHAAAGQQPVSPPPAAAAPLAPRPRRGRLALAASTRNGSCCSCSSSESLLAADIARDLSSLSQRNARGHAAAQRVVKNEKAVKAPRTILLIARGRGSWKRRGDGLDGRWRRIGVRGGAGSCAHLVGSPAPRWLLGSGTGTCVEWEGSGTVGVSEWEGSAWLGPGQSPWQLASDPVRVRGEEGPRGRTACSAIGSSADC >Sspon.05G0006030-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:19143434:19146275:-1 gene:Sspon.05G0006030-1A transcript:Sspon.05G0006030-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADEEDLADAPRVVGVLSALLERVVERNDAVADELTAGTASAASLAPPPSAFRATARPDISVRSYMARIARPACYVVAYVYLDRLLRRDRRGRGALAVDSYSVHRLLITAVLAAVKFMDDVCYNNAYFARVGGISLAEMNYLEVDFLFAVGFDLNVSPETFGHYCAVLRAEMLYLELEGPPPAAAASGPRLHSCCLSEDDGSSSNSQQQLAA >Sspon.06G0004610-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:11463482:11466968:-1 gene:Sspon.06G0004610-2B transcript:Sspon.06G0004610-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSDASKKKAAQKKAAAAAKRGAKVPTPSSSSSSAVAKSVDAVAALKLSDRTCTGVLASHPLARDIHIESLSLTFHGHDLIVDSELELNYGRRYGLLGLNGCGKSTLLTAIGCRELPIPEHMDIYHLTHEIEASDMSALQAVVSCDEERVKLEKEVEILAAQDDGGGDALDRVYERLEAIDASTAEKRAAEILYGLGFNKQMQAKKTRDFSGGWRMRIALARALFMNPTILLLDEPTNHLDLEACVWLEETLKKFDRILVVISHSQDFLNGVCTNIIHMQNKKLKLYTGNYDQYVQTRSELEENQMKQFKWEQEQIASMKEYIARFGHGSAKLARQAQSKEKTLAKMERGGLTEKVVRDKILVFRFTNVGKLPPPVLQFVEVTFGYTPDNLLYKKLDFGVDLDSRIALVGPNGAGKSTLLKLMTGELVPLDGMVRRHNHLRIAQFHQHLAEKLDLDMSALQYMMKEYPGNEEERMRAAVGKFGLSGKAQVMPMRNLSDGQRSRVIFAWLAYRQPQLLLLDEPTNHLDIETIDSLAEALNEWDGGLVLVSHDFRLINQVAHEIWVCENQAVTRWEGDIMDFKEHLRSKAGLSD >Sspon.02G0029950-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:96609979:96613890:1 gene:Sspon.02G0029950-2D transcript:Sspon.02G0029950-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRLVLDQLAGEALRELLHAVQGTLFCRSTAERLRRSVEPLLPLVQGLGPHSCQRSAGELGELAARVREALDLARRAAASPRWNVYRAAQLSRRMEAADRGIARWLERHAPAHVIGGVRRLRDEADARIGRLERRVEEIAAAAQPPAPPALSVPVAPHKGVPMPMEAPLCKPAFAAVPMEAPLGKAAFAAVPMEVPPHKGMAMSVPVPLKAASAKAGVMAMDMDLTEGHEDEGMVGGGVKVAKEKVKEMVMSGGGGWEVVGISGMGGSGKTTLAMEIFKDHKVRAYFNDRIFFETISQSANLETIKMKLWEQISGNIVLGAYNQIPEWQLKLGPRDRGPVLVILDDVWSLPQLEELIFKFPGCKTLVVSRFKFPTLVKQTYEMQLLDEAAALSVFCRAAFDQESVPQTADKKLVRQVSAECRGLPLALKVIGASLRDQPPKIWLSAKNRLSRGEAISDSHETKLLERMAASVECMSEKVRDCFLDLGCFPEDKKIPLDVLINIWMEIHDLDEPDAFAILVELSNKNLLTLVNDAQNKAGDLYSSYHDYSVTQHDVLRDLALHMSGRDPLNKRRRLVMPRREETLPRDWQRNKDTPFEAQIVSIHTGEMKESDWFQMSFPKAEVLILNFASSLYYLPPFIATMQNLKALVLINYGTSSAALDNLSAFTTLNGLRSLWLEKIRLPPLPKTTIPLKNLHKISLVLCELNSSLRGSTMDLSMTFPRLSNLTIDHCIDLKELPSSICEISSLETISISNCHDLTELPYELGKLHCLSILRVYACPALWRLPASVCSLKRLKYLDISQCINLTDLPEELGHLTSLEKIDMRECSRLRSLPRSSSSLKSLGHVVCDEETALLWREAEQVIPDLRVQVAEECYNLDWLTD >Sspon.07G0011250-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:38924166:38924892:1 gene:Sspon.07G0011250-1A transcript:Sspon.07G0011250-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSALLLVFTAVVALAAGHGAAFDPNPLQDFCVADSTSKVRVNGVPCKDPATVTPDDFFFAGVDHPGGTASRRYGFTALPVQIPGLNTLGASHARVDVAPGAVFPPHYHPRASETAVVLDGTVYFGFVTSYPDNKLFAKVLHKGDVFAVPQGLVHFLYNNGTPAALYATLSSQNPGLVLLGDALFGSGLADDLLAKTFLTDRETVDKIGL >Sspon.06G0001420-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:3128952:3130870:1 gene:Sspon.06G0001420-2B transcript:Sspon.06G0001420-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRQNEDYRSPAIPSLTKILLEDVKKIFKTTTGTPFMIPTTGTGAWESALTNTLSPGDRIVSFLIGQFSLLWIDQQQRLGFDVDVVESDWGHGADLAALEPSPSRPWPSCTTRPPRASPTTSPRMLDAYAHPALMLVDGVSSICALDFRMDEWGVDVALTGSQKALSMPTGMGIVCASPKALEASKTAKSVRVFFDWKDYLKFYEMGTHWPYTPSIQLLYGLRTALDLIFEEGLDNKEECFSDTVTAVVVPPYIDSAEIVKHAWKRYNLSLGLGLNKVAGKVFRIGHLGNLNELQLLGCLSGVEMVLKDVGYPVKLGSGVAAAVAYLSNSTPLIPSRI >Sspon.07G0015440-3C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7C:60320300:60320509:-1 gene:Sspon.07G0015440-3C transcript:Sspon.07G0015440-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAQLAIRPAEHSELRPISDTLASSGHGVTSSVLVVQQHDMSNADEVLVSVVVDGRAQDSEFAEDMAEP >Sspon.06G0014240-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:60794876:60796516:1 gene:Sspon.06G0014240-2B transcript:Sspon.06G0014240-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GKMEHIHMEHQGLLGGEFKEGICASIPKPPPGTSSSRPNSMVMQKVCPREFIPAHIIAEAISTLHGLDLRWSGPITPSERQYVEQYVLAMYPQYSHGLIEDGSCDKDDLYSTYYSNGSTASSPEAGGGERRRSSPVGSPSSAGGARPDMVDMMVRLEPSRLLDILTKKSSFPGSFISIPEIQARNRVLHHCGLTDDEYLVLFAPTPRDAMLQVGESYPFFRSSYYMSILEEDNDCIRAFAAYKEAKVIAAPESWLDLRIKGSQLSQYFRRKSKHAPKGLFAYPAVSPSSSSGAARYSLHWVSEAHRNAWHVLLDATALAVGEDRLPLSLHRPDFVLCTLGDTMRTRGIEQQPAARVTCLLVRRRSFDTSLSQPQQPQK >Sspon.05G0003130-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:5251078:5256297:1 gene:Sspon.05G0003130-2B transcript:Sspon.05G0003130-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALVVRRLGDPTLPPGGEASPFAAVSGDHPVPEISSPTAVRVRVAATSLNFANFLQVQGKYQERPPLPFVPGSDYSGVVDAVGPAVRGLRPGDRVCSFTGLGSFADFIVAEEKELFLVPDGCDLVTAGALPVAFGTSHLALVLLVLGAAGGVGVSAVQIGKVCGAVVIAVARGVEKSEYLKSIGADHVIDSSKDNVVESAKSFLKARGLKGVDVLYDPVGGKLTQDSLKLLNWGAHILVIGFASGDVPVIRANIALVKNWTVHGLYWGSYLTHRPRVLIDSLNELLSWLSKGLIKVQISHCYRLAEAHLAFSALRDRKAVGKVMIVMGSSAVSRL >Sspon.06G0031340-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:84276804:84282068:1 gene:Sspon.06G0031340-2D transcript:Sspon.06G0031340-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADDPTTGGGGGGDHVEDVVRAEKHNLVMMVHQNPRIGTKIEKRTRTEIVAGIVTEIGGGTGIVIGIGTGTGTGTETRIAKVGITVKDGNHETVLMITEAVILKGEENATEMGIVGIVLGLVQGVKIEDLGHVQEGPLPGSSLPNIGGMFPNMLPFGVAGQFNPLVIQPQAMTQQATRHARRVYVGGLPPSANEQTVAVYFNQVMAAIGGNTAGPGDAVLNVYINHDKKFAFVEMRSVEEASNAMALDGIMFEGAPVKVRRPTDYNPSLAAALGPSQPSPNLNLAAVGLTAGSTGGLEGPDRIFVGGLPYYFTEAQVRELLESFGPLRGFDLVKDRETGNSKGYAFCVYQDLTVTDIACAALNGIKMGDKTLTVRRANQGASQPRPEQESILLQAQQQVQLQKLVYQVGALPTKVVCLTQVVTADELKDDEDGQPVAGVGKVFLEYADIDGAAKAKTALHGRKFGGNPVVAVCYAEDKFSNGEYDG >Sspon.01G0002550-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1A:6789784:6789999:-1 gene:Sspon.01G0002550-1A transcript:Sspon.01G0002550-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MYDVFGKGYEGILEAMRKARRLNNHVFSMLRARCPLEDKVACAIKPSGAPLHRMKFMNTVSAFETLPQRAT >Sspon.01G0026620-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:93409372:93412805:1 gene:Sspon.01G0026620-1A transcript:Sspon.01G0026620-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLTKKLAMSCYFPLGTMLCVLFVLQFMFHMAGACAVEERAALLRIRSLGNAIVVPSWGRSEDCCSWERVTCNNSTRVLGLNLDSMYHFSWDWNLNLAIFSSFHELQLLDISRNSACLQNFEGLQELTKLRYLNLSGNYLIGDNVLESLGRLGSLEAINFVDTSLGGALQNIAFRNLKNLRELRLGYNRLNGSIPASLFELPRLEYLDLSENLLQGHIPEIDHTRTLKTLRELHLGLNQLNGSIPAWLFELPCLEYLDLSENLLQGHIPEIDHTRTLKTLRELHLGLNRLNGSIPAWLFELPCLEYLSLSGNLLQGHIPISLSSNISLSLKTLKLAGNNLNGKFDFFWLWNCTMLKEVDLSGNAELAIDVKFLTSVTPFQLRALMLSGCNLGNSIIAGPNLFDTQRQMQFLDLSNNNLAGILPNWMFSKDTALIYLDLANNLLVGSLDPLWQQQSNLQMINISMNFLTGQLPTDISSVFPNLTVLDASYNNISGHLPSSRCNNSYLHFVDLSNNKLTGEVPSCLFTDSLLEVLKLSNNNLGGPILGGANNYLYNFEAIYLDSNYFEGPLPNNLSGYVSIMDFHDNKLSGELDVSFWNVYYLHFLSVASNNLSGQIYPTICSMTYLNFLDISDNNFQGSIPNCSSQLPLYFLNMSSNTLSGFPSYFFNSSSVIALDLSYNQFKGHLDWIQHLSQINLLLLGVNMFEGQISADLCHLRYLNIIDLSHNRLSGSLPPCIGGISFGYPTYHYDLLSWFYTADLYAGQSIMDNEAPQLLYDVNFIRQGFTFSTKGNVYTYSRGFFNLMSGIDLSANMLSGEIPWEIGNLSHVKSLNLSHNFFIGQIPATIANMSAIESLDLSHNELSGTIPSQMTQMSSLEVFSVAYNNLSGCIPNLGQFSSFSGESYLGNANLHNLSDGNKCSLITGPMEVGDVDDASHDLVLYIISAVSFVLAFWGTVAFVFCHSLGQRVVLQL >Sspon.03G0025740-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:3842817:3848652:1 gene:Sspon.03G0025740-2C transcript:Sspon.03G0025740-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding NGSSFSPEVEFDVLKSSESDRKSDALTVQRAFITSTKGNASMKPKKQSYSTEIITSSPSMEIEHTVQSSIVTQLQCSPRPLPVRVAAMSQILVPKLFDKQGGQLLAVALLVFSRTWISDRIASLNGTSVKYVLEQDRAAFIRLAGISVLQSAANSIVSPSLRNLTSRIALGWRIRMTNHLLQYYLKRNAFYKVFNISGMSMDADQRMTHDVEKLTNDLAGLLTGMVKPVVDIIWFTWRMKLLSGRRGVAILYAYMFLGLGFLRAVSPDFGDLANQEQELEGTFRFMHSRLRTHAESIAFFGGGSREKAMIDAKFTTLLNHSKVLLRKKWLYGIFDDFVTKQLPHNVTWGLSMLYALEHKGDRALTSTQGELAHALRFLASVVSQSFIAFGDILELHKKFLELSGGINRIFELEELLQASQSNPAVPSDAINATSEEIISFRGVDIVTPSQKLLASQLSCDVSQGKSLLVTGPNGSGKSSIFRVLRGLWPIASGSLTKPSEGIFNVPQRPYTCLGTLRDQIIYPLSHEEAKLKMLSYETSDKSTASKMLDDHLKTILENVRLVYLLEREGWDATPNWEDILSLGEQQRLGMARLFFHCPKYGILDECTNATSVDVEEHLYRLATNMGITVITSSQRPALIPFHSLELKLIDGEGKWELCSIHQ >Sspon.03G0021220-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:51834737:51837497:1 gene:Sspon.03G0021220-3D transcript:Sspon.03G0021220-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GSLGALMEEVVLCGVCARDKKRDVSSDITKEVRDFANTILKTITGWMSASTYLTGITRLLDHSDSHVKRKTLGMLSETARGNSLVQKNQRKTQKLKHISGTTAIKVDKSSGPYFSKLCLKILELIDRDGVSDTSVKIAAISSLETLAKEYPSDNPVYSNCLATIIDQIGSDEAAVSSALIHTVGSLINVIGSKALPQLPLIMKNIMLISHQISCCPSGIYAHGSTRTAAELSNQDIAVLLSALTTIEVIVEKLGEFVNPYLKEILDLVVLHPECSTQMHPKLDAKAARVRELLTVKVPVRLILSPLLNLYSLAANCGDASLSSYNGPAGCRNYHSKIYEHCLAALDLRREHPDSLKNINMVEQSIIHAIISLTMKLTEGTFRPLFLRTLEWAESEVDESSSKKSLDRAIVFYKLVNKLAEKHRSLFTPYFKYLLEGSVQYLSEDDALAGSKQKKKKKKKAKLEDVQVEQKDKLLGLKLWNLRALVLKSLHKCFLYDNDQKILDSSNFQVLLKPIVSQFVVEPPESIESVLDAPSIEEVDETIILCLGQMAVTARSDVLWKPLNHEVLMQTRSDKVRPKMLGLKVIRYMVQHLKEEYVVLLPETIPFLGELLEDVELPVKTLSQEILKEMETLSGGSLRQYL >Sspon.01G0055450-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:79036015:79036224:-1 gene:Sspon.01G0055450-1C transcript:Sspon.01G0055450-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding PWHGGRPQRGMLGCRQRPGGSTVWPSLDAAVRGSRRRRNQLRRDKGAGTRQRRRRRRRSCWCKLQRSFKG >Sspon.05G0031050-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:3022422:3026746:1 gene:Sspon.05G0031050-1C transcript:Sspon.05G0031050-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRVPSMELHRSSPLVSGGRGRNTCPQKKPFLVQAKRLGGLEKASTRPGTQESGQPKKRAPLVRGTVSPPLPVPGNIPRPPYVGTKYVPEIAKEVQMHDKESIVHMRAACELAARVLDYAGTLVKPSVTTDEIDKAVHKMIIDAGAYPSPLGYGGFPKSVCTSVNECMCHGIPDSRELQDGDIINIDVTVYLNGYHGDTSKTFLCGEVDEASKRLVKVTEECLLRGISTCKHGTSFKKIGRRISEHAERNGFGVVECFVGHGVGRVFHSEPIIYHQRNNRPGQMIEGQTFTIEPIISMGSIDCDMWDDGWTAVTTDGSLAAQFEHTILITRTGAEILTKC >Sspon.04G0031700-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:15806442:15807431:1 gene:Sspon.04G0031700-1C transcript:Sspon.04G0031700-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VMGASSSAVVGVAEASSVFPSSFQSTHRAYPPMHPTAAAVRGVREAGDKQAYLEGVDQRRVVPQFAALAHPRIAPTSLALADPAASLPSSGGPRQRRLPRRVEWKGAFPIREVIRKGKGTAGQPMFGPIKRPMGWSSRARRARRPVVPVASKKKKRIPQKKKRTIFAEPRDGATRHVEERRDAKEGYVTSMHDPCPVGL >Sspon.06G0032480-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:86546756:86552871:-1 gene:Sspon.06G0032480-1C transcript:Sspon.06G0032480-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHYRSPTFTSSINLPGAERVRTLPAHEFCKQGFVLGKASEAGFGNEMYKILTAGALSVMKHRCARTYGRDLNIRVDIFENPAETNVLCSDWNNWKDPIIWFDGATDAVGIQFFLKNVHPRMKASASALFGLPDSLYARPNTFGELMRAIISPSSKVQEAVDWALKGANPDIVLHTRMMANRPVRARKAAVLCIKRALQISHIKGTPLVALVSDTPAFVKEIKSDISEFAEVLYFDYKLFAKTSGLEIAGNDKPLDFRSRDWGSAPRWVAFVDFFVAAQARYAVVTGAHRRVGTTYAQLIAALAAANRHGREPSGTNFTFLSSVHSNLLVEGLSTQVGWGHIWNRYAGPLSCRHQPHQCALTPLLPPAWWDGQWQSPIPRDVRRLLEYGVQLSNTGEVDERRLVSYCRSRKDHVKRYHVLPPYKNPARS >Sspon.06G0014740-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:61437276:61440201:1 gene:Sspon.06G0014740-4D transcript:Sspon.06G0014740-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALLRRALHLRRVLPSPAVTAAPAQRLAPAFSTTRTPTTSQQSAATTTIDLSSDESRRRLLNRLVYRSKQRGFLELDLVLGTWVEQHVHGMDEANIRALLQVLDLENPDLWKWLTSQEQPPEDLNSNLVFAAIKSKVTDNLTKHASPETRSAPGQPWVRGWDDIKKGKDGPKYGNQ >Sspon.03G0008380-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3A:22667184:22670927:-1 gene:Sspon.03G0008380-1A transcript:Sspon.03G0008380-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKDAGAVQHPLTAERGSVLITVDRGLVVGSKLHSTAPRVPQSHSPSPRFSPPRIQRGLSCNRRARSFSRARVAVEGWSLDVHERKAQRSYWEEHSGELTLEAMMLDSRAAELDKEERPEVLSLLPSYEGKSVLELGAGIGRFTGELAKTSGHVFAVDFIESVIKKIDKLVERMIKWLKVGGYIFFRESCFHQSGDLERKVNPTHYREPRFYTKICWLWQKVNSSEDGGFQSFLDNVQYKATGMRYECIFGDGYVSTGGAVALNVIIYHYPPETTKEFVDKLNLKPGQKVLDVGCGIGGGDFYMAEKYGTHVVGIDLSINMILFALERSIGRKCSVEFEVADCTAKTYPDHMFDVIYSRDTILHIQDKPSLFKSFFKWLKPGGKVLISDYCKSPGKPSEVFAAYIKQRGYDLHDVEAYGQMLKNAGFSHVIAEDRTDQKPAFFLCCSKSYLTLCFICSQEDYDDIVNGRKAKLHRSSAGEQRWGLFVATK >Sspon.07G0024530-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7B:25773392:25774609:-1 gene:Sspon.07G0024530-1B transcript:Sspon.07G0024530-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTTKPRAEDYTPLMDKIERRLSACLSLLSYSGILQMVQSVLTVTATYAMCTLKLPKGVIDNVDRAQKQCLWQGSDRTKKDGNLAAWPMVTKPKHKGGLNVINLNEQNDALLLKHLHKFYNKMDVPWVNLVWNKYYTDKVPHATREVGLFWWKDVQRLNTIFRGIARCTLGEGTTVTFWKDLWSEEILAHRFPRLYSFARNASISVNEVMSAEDLDSLFMLPLSQEAFEELQMLRDVLLSQTFDEQRIDEDLDHLFFDCAFSKRCWEKIGIQWNNDLSLYPRVAHARQQQNTPFFMEAVVIAAWEIWKLRNDRVFNNSSVHVDI >Sspon.02G0022530-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:74924182:74924814:1 gene:Sspon.02G0022530-1P transcript:Sspon.02G0022530-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWLHSLFSPLRRMWVRAHSERRNRRGMHILYKDVQSCQDEDVHVLWSILIDSHRHPAVLKLKL >Sspon.01G0024790-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1A:88222903:88223134:-1 gene:Sspon.01G0024790-1A transcript:Sspon.01G0024790-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPGGAVRTLQVVGRVFDDLPGLERLEAVTDVENVRSQRVLEKAGFHREGVLRRYIAGRGGGEARDAVIYSFLSSD >Sspon.04G0008190-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:1667042:1669592:1 gene:Sspon.04G0008190-1A transcript:Sspon.04G0008190-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEMGEALRSCMERLVIAREEREQIIVEAANEISSEKKKVRELQQKLEDANKKVAKLAAENNHLSKAADSKDALIGKLRESAAATGNKLADTTARLESAQKQAGSLQYEVRMLQKELEVRGQEREYDLKSVDAARRQQAEHLKRIAELEAECQRLRAMVRKRLPGPAAIAKMRDEVDQQPTQTPTSASPRRPRPAMPSSPRSVSVVALRTPSPRRSSVSDAEGYAFKLRAVEDENRALKQALAKRENELQFMQMKYADEACKLTVAQRQLKELTEENRQLSDANSQSESWASALVSELDKLRSGNQNGGASIMASSEMNLLDDFAEIEKLEMASGDQKRNAQRASPKKADTGPVTQEQNGNAPVLDGRFSNGHPEKVKNIWELVVQKHEASGESVETIIEQISQALDQAAISAKRDDSDALNDRSEIEKAVRNLVEEITSMIRTSPEDNVARSRVLLHNKSELFRRLEHLVQICHDLLEGKCNLGKFVDEVCLILKYIVSQYFSDQDQTDSLNTNAENFDGVKPSSTVTANGTHNTESAKPAATSATQTEVGEVPVESVESQIMVNHLQKLDTEPIHVIQAQDDSILPGRKSAFCEIQSSAAEASVEHRAAQEESHLATELNSQHQHSLQNSEILAAADKLAECQETITILSKQLQALKMPKTSGPLDTSSICNPRPSNAASDYKPQSLASILAEEFADAEASMSPTTPKQQVLSKKDEGEVCATPRRSVAQQQEENAGADKDSMQIVIHPVFATAPRQDDVSADPKRKKKRSTSLLGRIMFRKKVEGSS >Sspon.04G0017780-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:73171131:73175511:1 gene:Sspon.04G0017780-4D transcript:Sspon.04G0017780-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLGFVLLLVAAAALIKPSGVVGAEEEGWERFLLQWRQHTSLPAPLLNGDLVDGIWSICLPDMLGAAEILGNSLQFASDELLSQSSENALKAMLFLEFLSLLSPEKLSSTYDCIHANYFGLGIPQEFSLSLATYLESHQLLLGSNFYARRHLAENSIGDAPSMAPEFAPSMSSGDEVKSPQSVTETPYAPSSFHNNENPNQPHHSKPAQKHRGVPPPPVSLLEKHKDYVRLVLIVVLPTAAFSFIAAFLIFYCCGCNKNKVSVSEQRDDHPLLHMQLANVPGSSPDARVPASPLHKDNQNHSGVSMSQCFSCCFKRSVDATPPSEVIGGTPENNVTSDAPKPMPPPPPPPLPPLIKKAPPPPPGPPKGSKARLAQLSPVESSRSEGSSAGEQTSESSEAEVHAPRAKLRPFYWDKVLANPDQSMAWHDIKFGSFHVNEDMIEELFGYSGGNGNNLKDKELPSADPASQHISLLNVKKSCNLAVVFKAMNIRVQDIHDALIEGNELPRVLLETILRMKPTDEEEQKLRLYNGDFSQLGLAEQVMKALIDIPFAFKRVDTLRFMSSLQEDASSLRDSFHQLEAACGELKHRLFLKLLEAVLKTGNRLNDGTFRGGANAFKLDTLLKLSDVKGADGKTTLLHFVVQEIIRSEGVREARLAMESGLSPTRSTSDDNSNGSLQEDGDYYSNRGLSIVSGLSSEMDNVKRVAALEAEPLFATVVTLRQELLKSKEFLNEIATTEETSGFRRSLECFVEHADNETNFLMKEEKRLRSLVKKTIRYFHGNDSKDDGFRLFVIVRDFLVMLDKACREVGASQKKGTNKSRSSGNGNPTFPSILQEQQFPAVIDSDSSRSND >Sspon.06G0009030-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:48467707:48487066:-1 gene:Sspon.06G0009030-1A transcript:Sspon.06G0009030-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRGASPAASAPVQVRCAGCRGVLAVAPGMTEFICPKCRMAQRLPPELMPPSPPKASPTPPPSGPTPPPPPPPSLPPPPPPQPVPHPLARRSAPRAQGVDPTKIQLPCARCKAVLNVPHGLERFRCPQCGVDLAVDLSKLRHFLASAGPGFVPPPLPPPPPPPPPVPMPMPHLPFLPMMPRLPVPVPMLPMFPPVELPEEINEVAVDVERDEDESGTFGETFIDYRPPKLSLGLPHPDPVVETSSLSAVQPPEPTYKLTMMKELDETNALSSLQIETIVYACQRHLHHLPTGARAGFFIGDGAGVGKGRTIAGLIWENWQQGRHKALWISIGSDLKYDARRDLDDVGAKCVEVHALNKLPYSKLDSKTIGITDGVVFVTYSSLIASSENRSRLQQLVQWCGSEFDGLLVFDECHKAKNLIPEAGSQPTRTGKAVLEIQDMLPQARVVYCSATGASEPRNLGYMVRLGLWGDGTSFENFHQFLGALEKGGVGALELVAMDMKARGMYVCRTLSYKGANFDVLESLLEERMMNMYRKAAALWVELRVELLSAIEYYAEDKVNSAQIWRLYWASHQRFFRHMCMSAKVPAVVRLAKEALAEEKCVVIGLQSTGEARTEEAVAKYGIEMDDFVSGPRELLLKLVEDNYPLPPKPDCFEQDEEKVQEFQHKRHGSDMSLKGRVSKLGKKEDVSEDGGDEYPAPESDHESTESDEDFYMCQICNTEEEKSLLLYCSICASRVHPGCLSPPWTEIVTDDWTCYGCKEKVESYLKERDAYLTELSKRYDAALDRKSKILDIIRSLDLPSNPLDDIIDQLGGPDNVAEITGRRGMLIRASDGKGVIYQARNTKEVALDMINMHEKQQFMDGEKNVAIISEAGSAGVSLHADRRVKNQRRRVHITLELPWSADRAIQQFGRTHRSNQTSAPEYRLLFTNLGGEKRFASIVAKRLESLGALTQGDRRAGLSLSALNYDSNYGKKALTMMYRGIMEQDAFPVVPFGCSENQATLEEFITKAKAALVSVGIIRDPIMCNGKNGGKLTGRILDSDMHDVARFLNRILGLFPDIQNRQNARIEGQLDSGIVDIKAKSVEMKDSPKIVHVDTVSGASTVLYTFTVDRGVSWELANAILEERLKDKANSSSDGFYESRKEWMGRRHFLLAFEGSAEGLYRVIRPAVGEASREMPLVELKSKYRKVSSVDKISKGWQEEYDSSSKQCMHGPKCKLGSNCMVGRRLQEINVLGGLILPVWGAVAKALAKQVRLIHKRIRVVRLETTTDNKRFVGLIIPNSAVESVLEGLQWVQDIDD >Sspon.06G0023950-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:49222860:49226915:1 gene:Sspon.06G0023950-1P transcript:Sspon.06G0023950-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSTPAPEAAPGASSSSSAAAASAEDLAGGVAAMTLDERFDLLRGIGEECIQEDELRNLLEKKPVPICYDGFEPSGRMHIAQGIVKTINVNKMIRAGCKVKIWIADWFAQLNNKMGGDLKKIQTVGRYMIEIWKAAGMNLDGVEFLWSSEEINNRAHEYWPIADICQLGMDQRKVNMLAREYCDDIKRKNKPIILSHHMLPGFKEGQEKMSKSDPSSAIFMEDDEAQVNVKIKQAFCPPKIVEGNPCLEYIKYIVFPWFGRFEVIRKESNGGNKTFTSMDELISDYESGALHPADVKPALAKAINEILQPVRDHFNKNNEAKVLLNTVKKYRVTN >Sspon.02G0059000-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2D:87544962:87546710:1 gene:Sspon.02G0059000-1D transcript:Sspon.02G0059000-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDKNNAVAASSAGAPAVKRSKRKRAAGEDEIADKNAAPIAGAPTPALKKRKRKRTRTRTRTRPATNKASATAVGASDDILGTILARLPARTAIACTALSKHHRTLIRSPWFRSLHCRLGAPLPRAHVTYLAAASVRRRHEHQELPASRYYGFHLAGAGLIGGNGDAPAPMRSLAGWRFLGTEYVNTCNGVLLLATEGFSDPCRCTLWNPAVADVAREAPIPNNPPSSEKETSSVPIVVPGEEKKSSVRTVLYDKKTQFLALGLGYGRRSDTYKLLLCRKDRFRIHRFIRSHDGKIVATSTGGRTHQFEYSLVVHSLGGAADMPLRTVLPMETVLSYKDEVDQEIMERKSLYMDGTIYFLYWRKNVMHAFDVDNETVHTIDMPGDRQKDNVQLIEMSGRPCLVIITARDRIALWLLTTRYELVPMHVISYKSNLYYECRSIVGVWDCGGVLVLCFECSKKSNLLLYDVAAKKIFRANLPGDLAMKKSNCMLCWGYKPTLVSPGSIVAELSQDMERRRGRSAHITEVMNPLVLQERRKGQKATLRTVCLMEFLVRIMQKLPHDLQDVVEMPSMDSEEPDLLFQI >Sspon.02G0007710-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:21785657:21798027:-1 gene:Sspon.02G0007710-2B transcript:Sspon.02G0007710-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAESCGSRGASPPPPPSAGGAAGRRRKAEAYAEVLRRIRAGAGGYGAARPGLEDELWAHFQGLPARYALDVNVERVEDVLLHKKLLEQAHEPMNGLVFDVRPSQVVTLEESTGFESTTSFKQEEQDPQCSVFTSRDQRPLHEIIFACDDKPKLLSQLTSLLGELGLNIQEAHAYSTSDGYSLDIFVVDGWKYEVDILRSALREGVDKIKYRAWPLVPSMSARMDHQSLEVSPSSDFVQIPADAADVWEVDPRLLKFEQKLASGSFGDLYHGTYCSQDVAIKVLKPERVSVDMLREFAQEVRHKNVVQFIGACTRPPVLCIVTEFMHGGSIFDFLYNRRGNFQLPDVIRIASDVSKGMNYLHQINIVHRDLKTANLLMDDQIVKVADFGVARVKDQSGVMTAETGTYRWMAPEVDFAKLPVIEHLPYDHRADVFSFGIVLWELLTGKLPYEDMTPLQAAVAVVQKDLRPTIAADTHPMLADLLQRCWQKDPVLRPTFAEIVDILSSIKEVCVHTMNYVRGSEFCASQEASRSISLREEMRLLMRHRVMTSEYGQNRPDYNKAPDGLVHPGNGGHMFADRNEMR >Sspon.08G0028040-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8D:5469994:5470396:-1 gene:Sspon.08G0028040-1D transcript:Sspon.08G0028040-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DRDNDATVAVSVIVNWLMHVCGACLLSKDDVGEYILAYDMNAAAVGLVHISKDYQRASTTRGSRSPPSRLASSPDGKLSFVARRLLGKAHGDRQHMMVQRGPLLGLKLGMDHRLELGELRRSEERRGVLVVSW >Sspon.07G0038630-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7D:82877868:82880972:1 gene:Sspon.07G0038630-1D transcript:Sspon.07G0038630-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding YWDVVWNYPGSDQPRGMIDMLDSTEFKIVLSTEDQVELSFSSTYTPSRQDSVRLNVDKRLVMLKGSSGFYCYAILEHASDWPALNITEARLAFKLNTDKFNYMAISDDIQRYMPSAADRDEPRGTPLAYKEAVLLVNPQEPQFKGEVDDKYEYSMDNKDNAVHGWITGGGGHPSPMGFWVITPSNEFKSGGPMKRELTSHVGPTSLTVRSKIDPDDQLDPILPLKLKALNLYLCQMFLGTHYVGNDIVLSIGDGEYWKKVMGPVFIYLNSGPSRGADLRALWADAKAQARAEAGKWPYSFLESPDFAKADERGSVTGRLVVRDDTFTSTDDDVPVPARTTAYVGLAAPGQAGSWQTECKGYQFWTTAAADGSCGGGFSFTIGNVRPGVYSLYAWVPGVLGDYVSASSVTVTAGGAVVDLGELVFRPPRSGPTVWEIGVPDRTAAEFFVPDVDPRYANRLFVSKDRYRQYGLWARYAELYPDADPVFTVGQSDASKDWFFAHVTRKVGDGRYAPTTREIRFTLDRVVADGTYTLRVALAAAQMSRLQVRVNGRGGGVAELTTPEFGGGNAIARHGIHGVQWSFEFPIRGYLLQEGENSVSITQTKAAGEFLGVLYDYVRLEAPPGSCRDPTTAPATTTRRA >Sspon.06G0017060-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6A:92937382:92942356:1 gene:Sspon.06G0017060-1A transcript:Sspon.06G0017060-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKIFTLLALLALSQSSAHLLVQTIVAQLQQQQFLPVLSQLAVANPAAYLQLQQLLPANPLAAANAIAYLQQQQFLPALSQLAVENPAAYLQQQQLLPFNQLALPNAAAYLQQQPLPFTQSAVATAAAYHQQLQLLPVNPLALANPLAAAFLQQQQLLPFNQMSLMNPALSWQQPIVG >Sspon.07G0018080-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:69826498:69831652:1 gene:Sspon.07G0018080-2B transcript:Sspon.07G0018080-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQATPEKRVRFKFTSNPSAGTSAGGGGGDGAAAPASAPRREPPSSPPRSPSPPEPFTYNFGDGGGGDGAAAAPAPAPQRKPSSSLPRSPSPPKPFTYNPSAASSGGGGGGGGCGAAPKLSYSGFDRIAGWLGFPFSLGPDDEKPDEFKTENGWGSLFMSDPEVLSKYSSDPQNLPHYIGRQDSAQHTGVFSLRHNWQQLLDGKKTYLRRAAESNYADCVFVTPDSLDEALGCQEPLSHLVHGCAGKPIRQQKFKILCEHYLCFRPMRRDGSQFYRAFLFSYLENLGKMQSSQAEVTRLMECVARSRVNFRRLNWNNAYFSNPEVFFSSVVSEFEHLVNSVANGLNAVELYEISLQEISSSRSEDMMLFAILLTEVHIRTYEADYNRAVVSQNQNEKINALLFCKESVRPFDADVTLLQMKALSQALGIPLHLAAVDGVKIGGTVQVKCIDIIPRSGPLSSTRRYYLSSATDKQSFLPPGNLFSSDRMPLVTILITPNATAILYRK >Sspon.02G0003060-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2A:10111647:10112048:1 gene:Sspon.02G0003060-1A transcript:Sspon.02G0003060-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTLENLRRMCGHVVERATLARDLLAPAGSQLSGDPASFHSRCILAQRLDLFFPEKDDDLRADLAQFVAIEAIALCRHLDMAVIVFRDEASVPIAFWRQEEASHGVYSAVPPPHLALPTSFIHPSSIKVLVLF >Sspon.02G0050570-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:62795134:62799012:-1 gene:Sspon.02G0050570-1C transcript:Sspon.02G0050570-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTMTMTTTTMTMMIELPMRRRFMLQRPMFHFRCFMPCRLRAGWSMICQRVVRRILIRGQSIYELV >Sspon.03G0045760-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3D:24149221:24152835:-1 gene:Sspon.03G0045760-1D transcript:Sspon.03G0045760-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKANACPYLLLEITELPDVVHGITLKLCPLLLSGPNCSDGVLVFAEDSSQRSSCGLVRLHDNGNIERKAQIHALFSGSVVSVTGQRFGFATVALLPKPPELSFDPDSLFKLERHYSSNCASLQHALLLLVDNGNIIQRTLWSPSALGSLMCAHTGTTEHQKLECTMVMSVYIGCSDLPTYGACKLGSPNPLVTPCCVIPNGASDVLSTPLFEYELLVVSKLLTGIVRGDFLHLSGLVQSELPVLHHSISLCCTCGLLQPVRWMAKGLLLHEQKVVDLRHADMKPWPPPAVLSSDLVEMEIVREGAKLQPTSWPSFSSRYHLVAGASTDNIYIMRGLCTNHGLCYDKQLQHFPWDPGGSILLHRLGGKPKLKKGGMLGVSNGWALHMDHGLGPSRATSLLDRWAWASPTKENKGSSKGASNNYQKHNTLPRSTVLLPPQASFSLYLVPLSPLFRPSFP >Sspon.08G0015230-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8A:61350386:61350733:1 gene:Sspon.08G0015230-1A transcript:Sspon.08G0015230-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding NLAPTWVTKAGLGFLTLNSGLAVYRARGDPASILFVSGSYTTLLLLFRCLRDYERAAPGSPARERARRLVWPLTTLLTVAFAWKVAAVMPSAVAAAVVWALAVATTAGGFFAMFVP >Sspon.01G0015160-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:44848529:44849951:1 gene:Sspon.01G0015160-3D transcript:Sspon.01G0015160-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALQHRLTTTLVLLLAAVLFSVGTPSSEAHEGTPGLHPVVLLPGYSCGQLDARLTDEYKPPTPGCGVPKQGRRWFRLWENYTALQEDPALLPCYEDQLRLVYDRAAGDYRNLPGVETRVVSFGTTHSFFFDDPAKKNTCMERLVEALEGVGYTEGANLFGAPYDFRYAPAAPGVASRAFADFRSRLRLLVERASVRNGDKPVILVTHSFGGLFTTEFLNRSPLPWRRRYVKHLVMLCLGVGGSPLIMQVLASSTTSPSPTLLDSVLAFGNRSFASTFSLLPSPKVYGDTPLVITRARNYSAHDMPEFLAAVGFSDNEVERYRTRALPVTLNFRAPLVPMTSINGVGVPTIHSLIYWDGNFSAKPQVVNGDGDGIVSLDTVLALQTFVGDDPDQRYFKSVLIPNMTHTGIISDHVALGRVVKEILEANQATS >Sspon.02G0044540-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2B:102252074:102254249:-1 gene:Sspon.02G0044540-1B transcript:Sspon.02G0044540-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLTLTSTHLSYVARSTHLLNISSYILPSTGSEVVDQSKVHYKSSVEVDGYEWEIRLYPVCSPHYQVELQLVFLGEAGANKVTAALSCRLVDPSGRFEPSEEKTSETTSFQHPSDSSQPLAVVSIFEAIDLPYFDDKSLTVECTVTVFRSDLEAIPVPSSSLHQHLGELLASQAGADVTFTVSGESFPAHKNVLAARSPVFMAEFFGEMQEKSSGHAEINEMEASVFEAMLRFICTDAVPELNGKPPEAAATATATTLAQHLLVAGAADRYGLDKLRMMCERRLANVSTVAATLALAEQHGCSRLKAKCVEFIFIAGGSQENLHAVLKTDWFKDTLIALVPKITRPATPKDFRPISLCNFSCYVPYGGTIPSNVRVGIKSCNCNGSSAHIFLSVGCWTEAAAVKSAGTVRVQHRHSPCAAAAVKGS >Sspon.06G0006700-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:23211591:23215761:1 gene:Sspon.06G0006700-3C transcript:Sspon.06G0006700-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAEARAAWQRAANRCLVQEDRKRAPKLACCPPSAEQHGTNNGNCRNSADRPICSLVPLRWNPMHSNLPPDFRWWVQSLPNFGIQKDIVSEQLCSLGRDIHEKQVEDSAPPPKHEETLLCQAVDTSTEKIGDVLDPPWMVSSAFTKYSLETGLEEMKTVGSYSQVSKCIETLSNCLYKDNESPDFECIDRAPLKNPDKANFDMDAPWKGEKTRPWWQIADEDQLASLVAERATQHIENCDLPRPTQTVRINRTEPHTRQHIGDYGGPSSPAGRVSHPVPGHCDHIECSYSTGSADELVLLYGDGVWEQHGRNDTYSVAQYFSSSSTTALESKQTLWNASERDKILEALRHSQTRAREADMAAKKAHNEKDDVIKLLFRQASHLFACNQWLKIMQLENIVLQLKHKEHQIAAIIPELPWLTLKEKPTQGQEQRDWTRRKGRRQKKGGGFFDAILFAVGLGLAGAGFLLGWALGWLLPKFVFIINDEYVAYHIYQ >Sspon.07G0021840-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:4561896:4564922:-1 gene:Sspon.07G0021840-2C transcript:Sspon.07G0021840-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGSADAVAVARDVASSSPSKPASALDMMRYPRPSSDGLPLPNGIGSGTSKKPAAPTPATPAPRSSKDDVAPPPVATDSSRLAAFLATTSLEPKPRPRAPQTQAQPQPPEQAAPTSAPTTAAVAAVTKSPARDHGHGNGNGQHPSSDHSDPASPSSTGAGELLLQWGQNKRMRCRRDSASASPQRRQAGGGKIQRRSSSPAADRLMPPPSAAPYTRASNLRSASPLPPRSGSGSSGGASDAHHARPHPFRSAEDRAAGKFSAARAEKQRAVSPHKVGPGSVMGLGVPDPKPQQQQYSHNQGGSGSGQQQQQAGASSKPAPKLEVPRIYTTLSRKEKEDDFMAMKGTKLPQRPKRRPKNVEKTINAICPGMWLTDVTRSRYEVREKKCPKK >Sspon.05G0010870-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:31044863:31045200:-1 gene:Sspon.05G0010870-1P transcript:Sspon.05G0010870-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPLAKASVRLRAWKIVHHLKMLGSVSDVTTNLELSEAMVLGEWSATFPQFNNLRALELNRCDLSDGFQ >Sspon.05G0026270-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:33094396:33096317:-1 gene:Sspon.05G0026270-1B transcript:Sspon.05G0026270-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHEKGLKLPDALQFVWLSEFREFKDKTSAINHDTGVSWDLTEMIMRWHRPGQKMAVGKPEYKEIIERNLVSQSSLVCLCLSNLGHQFCFHFFKGVPCLFDEIVMEYLKKKKKLRKEDRIPMSLGLKMVLNRYGFDFSPEMVNKVVIMLGCLLLDCEYVDVKNTKILRLCGEHLKDISGIESKDWDLMKLATALKITCHPAKRTKAEKAFVSDAHKYEHKICKVICLRVYNELVRARWLIPEACNALESLVKGEKNASEVVQSW >Sspon.03G0001170-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:11683529:11693033:1 gene:Sspon.03G0001170-2B transcript:Sspon.03G0001170-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding METLMVDRVHSSLRLFMHRNAVFLCERLCAQFPSETNVQLLATCYLHNNQPYAAYHILKGKKLPESRYLFATSCFRMNLLREAEETLCPVNEPNMEVPSGATGHYLLGVIYRCTGRISAAAEQFTQALTLDPLLWAAYEELCILGIAEDTDECFSESTALRLQQEHTSTPTLVKSNFANENRVLSSRVSANLGDISPKQIKQLHANNIAEVSGYPHVRPTALHVQNSSTSNVAQFDTPSPTAAQTSSIMPPPLFRNVHAYQNTISGDAPTKQKANGVNQPLRRKYMDEARLKKVSGRLFNSDSTSIPRRSERLKDTATNSNSNTSQFGGNGTGHSLGKLRVNSSTPSKLCSTAICSVQVRKGKPQATENFDEGDYHFKFQNKYNISGTSSSVSTADGRSFEQDKAERILLQDSKLALGIREILGLFRTLGEGFRLSCLFKCQEALEVYRKLPESQFNTGWVLCQVGKAYFELVDYLEADRYFELAHRLSPCTLDGMDIYSTVLYHLNEEMRLSYLAQELISIDRLSPQAWCAVGNCFALRKDHETALKNFQRSVQLDSRFAYAHTLCGHEYSALEDYENSIKFYRCALQVDERHYNAWYGLGVVYLRQEKFEFAEHHFRRAFQINPRSSVLMCYLGMALHSLKRNEEALEMMEKAIAADKKNPLPKYQKALILLGLQKYQEALDELERLKEIAPHESSMYALMGKIYKQLNILDKAVFCFGIALDLKPPAADLAIIK >Sspon.07G0035840-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:13842608:13847046:-1 gene:Sspon.07G0035840-1D transcript:Sspon.07G0035840-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEAQAPLSATMAKEAESPPSATAAEATAPPPLVLFNSLTKREEPFQPRVEGKVGMYVCGVTPYDFSHIGHARAYVAFDVLFRYLKFLGYEVEYVRNFTDIDDKIIKRANERGETVTSLSSRFINEFLLDMTELQCLPPTCEPRVTEHIEHIIELITKIMENGKAYAMEGDVYFSVDSFPEYLSLSGRKFDQNQAGARVAFDMRKRHVFDIHGGGKDLIFPHHENELAQSRAAYPESEVKCWMHNGFVNKDDKKMAKSDNNFFTIRDIIALYHPMALRFFLMRTHYRSDVNHSDQALEIASDRVYYIYQTLYDCEEVLATYREEGVAVPVPSEEQNLIDKHHSEFLKYMSNDLKTTDVLDRCFMELLKAINSSLNDLKKLQQKIEQQKKKQQQQKKQQQKQQQLQKQPEDYIQALIALETELKNKLSILGLMPSLSLAEVLKQLKDKALKRAGLTEEKLQEQIEQRNVARKNKQFEVSDGIRKNLATKGIALMDEPSGTVWRPCEPERVGVPLTHQLKLAFLVV >Sspon.01G0058130-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:21717327:21719579:-1 gene:Sspon.01G0058130-1D transcript:Sspon.01G0058130-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLQEAQWRANSYEEKNGSSLGRCLCMYCAPMASCIELLQMGSRRTEVNTSQQHGKLTHLTNVRRRLASGQPASSSSSPSTTSSSTSSALFRSMGGHVQANGVLTQSLTRGHLAHSNSPETTACTVSLNPLAVARGAQESRGSSVEASSRGGGVGLTVKRRHPPAPARASPHRNDRIPRNAKIRRRARPPTGGGGKGRDSFRLFRPPPRLPLSFRRGILSAAKRQAGAEARSYHQLASCVRMGMAMAAPVPVREGVGGCGPRGASGGGPPWCVAGLEKLPGSRGPVVVAMNGLAVDGCPRRRGTKGSAKRSGTKRAKQEDSAPLSISPPLHCIEFMMSSRASQDKQQIALALAGALLCHCSLILWWLRLSSTFAFAGPRKQPVHDIMVMLVGARVLAVLSPQISLGKLEAAHPVHHTMLMLCASLWPCVRQCLSSSRMVSEAAGGGAWLSSARADETQQGARGAPLMPPDAPGSSDGHRTVLPN >Sspon.02G0007740-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:22629029:22629831:1 gene:Sspon.02G0007740-1A transcript:Sspon.02G0007740-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIRPNLTPTRPRRRRRGRSFHAVLFTNLTNYSDASTGPPLRITDLYYDWPRRRNLNLVRHQLSADPLYDVEWNNGTTFYFDSSACRVERFPVGVLPPWWLSGGGAQYLGRRVAGGIDCHVWGKAGFIFYYEEARTGRPVRWDFVDVTGIQQYVMSFEPGVELEDAQWQAPAYCFPDDDNDEDEDEEAKGNDNAASSSGEEAGDGLEAASRLLRKLAGAAAMS >Sspon.01G0005970-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:15569326:15572301:1 gene:Sspon.01G0005970-1A transcript:Sspon.01G0005970-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSFEMNDLKKIGLGLTGFGIFFSFLGIVFFFDKGLIAMGNILFLSGLGLTIGLKSTMQFFTKPKNYKGTISFGAGFFLVLIGWPFFGMLLEAYGFIVLFSGFWPTLAVFLQRIPIIGWIFQQPFVTSFLDRYRGKRVPV >Sspon.07G0030970-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7C:15061700:15062036:1 gene:Sspon.07G0030970-1C transcript:Sspon.07G0030970-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSCIISTWIESSVLLSLRRPANALTRRQPRRATQLLHEDSRTSSISDVRPCLPEPFDGEHLTDHPPPASSTLSSPTLSSSTRSLALASSCFAYTVYGRGFEPDPTIVVRR >Sspon.06G0021990-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6B:22210849:22214893:-1 gene:Sspon.06G0021990-1B transcript:Sspon.06G0021990-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:HTH [Source:Projected from Arabidopsis thaliana (AT1G72970) UniProtKB/TrEMBL;Acc:A0A178WG21] MVWVSTLEYVDSGTLSPNSVAYKNHWNADSWLCGGRNHRETRKKASTENQSCLGAKGLRSKGKGTELGVSSRFLSGRDHFTKLNLPPFLKASRFPTFQHEAYDYIIIGGGTAGCPLAATLSQKYKVLLLERGGSPYGNRNITLLENFHICLADVSPQSPSQGFISTDGVINARAKVLGGGTCINAGFYSRAKPSFVQQAGWDAELVNQSYPWVEERIVHWPKVAPWQAALRAGLLEAGVSPYNGYSYDHLYGTKVGGTIFDETGYRHTAADLLAAANPNNLRVLLHASVNKIVFNTEQGNRKPRAIGVQFKDENGGHHQAFLKTKRGSDIIVSAGAIGSPQLLLLSGIGPRNELNKHNISVVLRNEHVGKGMSDNPMNSIFVPMKNPTKQSLIETVGITDAGVFIEASSGFSQSDDSIHCHHGIMSAEIGQLSTIPPKQRSFDKIQKYVHNKYSLPKEVFDGGFILEKIDGPLSTGSLVLVDTDIDSNPNVTFNYFQHPQDLRRCVYGIKTIEKILKTNHFTNLTANGAGYPIETLLNMSISANINLIPKHTNDTTSLEQFCRDTVTTIWHYHGGCHVGKVVINTIG >Sspon.05G0002060-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:6645593:6648453:1 gene:Sspon.05G0002060-1A transcript:Sspon.05G0002060-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSSPAPPQEGAAAPSSWAEAASSAALRHYRSLPKKGKPQGRESTVLAAFLLSALQDPHSLTVLSMGTGTKCLGASMLSARGDLVHDAHAEVIARRALLRLVYSEIGRGAPPEWLVASVDGGRWRLRDGHCLHLYITQLPCGVMPVPLSQSELPREQLDSVNGDISFVQRKPGRGDTTLSMSCFDKITRWSLVGIQVVTKCPSGINALSVHSSTGALLSHILEPLYLSTITIGQLPDGAPERFSIENNIKKALDARCPLYPANCHLLKRRSQRFLRHLSHQQSFNRFLETYPLDMRVVYGTTGRKQGTSSKAAHLPSTESLLCKRRLLEAFMSLEHPLVGQLKCEELSYRALKDTAHDYRHTLELLRKAPFFGCWRAKPTFVDSFAVPR >Sspon.07G0011420-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:45935310:45938048:1 gene:Sspon.07G0011420-3C transcript:Sspon.07G0011420-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGDRATEEQLITPWAFSVASGYTLLRDPRHNKGLAFSEAERDAHYLRGLLPPALASQELQEKKLMHNLRQYEQPLHRYIAMMDLQERNERLFYKLLIDNVEELLPVVYTPTVGEACQKYGSIYRRPQGLYISLKDKGKILEVLKNWPERSIQVIVVTDGERILGLGDLGCQGMGIPVGKLSLYTALGGVRPSACLPITIDVGTNNETLLNDEFYIGLRQKRATGELLEEFMTAVKQNYGEKVLTQFEDFANHNAFDLLEKYRESHLVFNDDIQGTASVVLAGLLAALKVVGGTLADHTYLFLGAGEAGTGIAELIALEMSKQTGSPIEECRPKIWLMDSKGLIVASRIDSLQAFKKPWAHEHEPVATLLEAVQSLKPTVLIGTSGKGGTFTQDVVEAMGALNEKPVIFALSNPTSHSECTAEQAYTWTQGRAVFASGSPFPTVELDGKVLVPGQSNNAYIFPGFGLGVVISGAIRVRDDMLLAASEALAEQVTEEHFAKGLIFPPFTNIRAISARIAAKVAAKAYELGLASRLPRPDDLVKYAESCMYTPAYRSYR >Sspon.01G0016090-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:54368320:54369573:1 gene:Sspon.01G0016090-2B transcript:Sspon.01G0016090-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVQLRMLVDGMYQGYHVLDTFRFKPAEEAPLQIQVTQSSALSAPLKRSRAAASSVRTTKASIHELQVSLEKLETVVANMTEFVILLGGCKQMHKRPYDTYIYIDNFMFSRIVEKQELINALLQDNSCVGAPGVVPVIGAHRAGKKSLVGYACNDDMVRSHFSSVLHLKSNSFLKVSRETFMPVRTLVVVEFISDVDDSEWVKFYSDASSQMGAGSKVIIVSRFQEIARFGTVKPIILSLSDAEFSYLFKVLAFGGTDPENHQQLASIAMELAMNVSGMLLVANMLADLLRKNQNVQFWFHILKMLRKSIERNFSRFGEHPKQLVERDHPTDITMLVPPSSATLCLMPPHDDTSLLPKVKLADLVQGSTTIRPNEEFQITIWESRLPPFTMFVANCIAEEHPCTSSDNKRHKSACKQ >Sspon.04G0010630-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:31568725:31570123:-1 gene:Sspon.04G0010630-3C transcript:Sspon.04G0010630-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LTASVYFHEGYPYNLDFDYGALAQLQHFSINNLGDPFIESNYGVHSRQFEVGVLDWFAHLWELEKDEYWGYITNCGTEGNLHGILVGREVFPDGILYASRESHYSVFKAARMYRMDCVKVDTLMSGEIDCADFQRKLLQNRDKPAIINVNIGTTVKGAVDDLDLVIKTLEENGFKDRFYIHCDGALFGLMIPFVKKAPQVTFKKPIGSVSVSGHKFVGCPMPCGVQITRLEHINALSSNVEYLASRDATIMGSRNGHAPIFLWYTLNRKGYRGFQKEVQKCLRNAHYLKDRLKEAGVGAMLNELSSTVVFERPKDEEFVRRWQLACEGNIAHVVVMPSVNIDKLDYFLNELVEKRATWYQDGISQPPCIARDVGVENCLCGLHK >Sspon.03G0006220-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:21631086:21635048:1 gene:Sspon.03G0006220-3D transcript:Sspon.03G0006220-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSADELRLELDDLRRLEGLAKHPRVQTLLANEIRNVEAKRLRWQLAKATEPSPEPLAASSAPAPAARPVLSYVTLGSFSWDQDSEKIKIYVSLEGVEQEKVETTFKPTSVDVKFHDVKGKNYRCAIPKLNKEIVPEKCKVVVKPTKIIITLFKASKGNWLDLHFKEDKFKPSMDKEKDPMSGIMDLMKNMYEEGDEDMKRTIAKAWSDARSGKTTDSLSGLR >Sspon.08G0002850-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:8024291:8026952:1 gene:Sspon.08G0002850-1A transcript:Sspon.08G0002850-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Replication protein A2c, Reguration of meiotic crossove [Source: Projected from Oryza sativa (Os06g0693300)] MAAAASSYFAGPAILPSQRAAAAPDNSAVVTPSPAKQSRDPRFSGCAPTTVRHIARSFAAADATGGGDPVISIDGVDATNVWILGRAVSVVNMEAGVSFTLDDGTGKIPLVRWNGLYLKVQVTLVGFRAKKHGFARSIRPVTNFNEVVLHFIESMYVHLVNVRPKMQGQFPRTVQANASTHEMQAQVAHTVQTNAPAYMPFSGGVREHQVDFAPEVNQGRFPSSVQTNTSTHVPFSGGVREQQVHFTPQPNQLTQ >Sspon.05G0008100-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:5B:18328504:18328854:1 gene:Sspon.05G0008100-2B transcript:Sspon.05G0008100-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRCRAAGRWAAGHLLPLSTCPGRRSGCAFGDDTPTPTPCPPSRLVVVVHVAPIARAGSSCLMDAVGAVCRCRPVELRGLDRRLASARFYLRVQGRRALGSPLPANQRHRPVHPLHM >Sspon.01G0013970-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:39700806:39704219:1 gene:Sspon.01G0013970-2C transcript:Sspon.01G0013970-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein trichome birefringence-like 12 [Source:Projected from Arabidopsis thaliana (AT5G64470) UniProtKB/Swiss-Prot;Acc:Q9FGE9] WHPVENPEEARKDGIKGTYRVDADIPADDWVNVTKFYDVLIFNTGHWWATYKFPKDTPLVFYKGGKPIEPPLDIHNGLKVVLKNIASYIEREVPRRTLKLWRTQSPRHFYGGEWDHNGSCVSDRFLEEHELNSWFDPRFGGVNKEARTVNLAIQEALADTEIQLLNLTYMSEFRADAHPAIWLGKKDAVAVYGQDCMHWCLPGVPDTWGCIYTP >Sspon.03G0027330-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:5570272:5574844:1 gene:Sspon.03G0027330-1B transcript:Sspon.03G0027330-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGAAARECTGSSSFSSVNNTPREVEEEFLQYHIKPLLGGPMEMRNDGDLQRVTGAGPSEQPPAPPPPTVKKKRSLPGTPGAYVFACNDNRAYRRRIIDGDEDPSAEVIALSPRTLMATNRFVCEICHKGFQRDQNLQLHRRGHNLPWKLRQRGGGADGPGGGGGPPRKRVYVCPEASCVHHNPARALGDLTGIKKHYCRKHGEKKWKCERCAKRYAVHSDWKAHAKVCGTREYKCDCGTVFSRRDSFVTHRAFCDALAQENNKLSQPMNMATVASALQGQAAPHHLALPSSSQPDDGLDAAAAAAEDDDDFALDTKSPRLRMLPTMSDNAAAANNQLLLPALSMAGCMLSSLQQGAARPAPPSPATFFSGGGKAAGLDDPSIGGAFSPPGASASMSATALLQKAAKMGATAGRYSLGAGESGGFSTVGFGPMMVGPDHRLPVMGPFGPLKTPSAREPYDGLPFGQTQLVGLDVGRLLPGQQFFGSSHGHGVSSMTRAIGSLMHGGGGGGQQMDHRRPDDLRVVDYLGVEDQRSCFSGVSPFGPHIGPWS >Sspon.08G0029620-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:44912238:44914649:1 gene:Sspon.08G0029620-1D transcript:Sspon.08G0029620-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphate transporter PHO1 homolog 1 [Source:Projected from Arabidopsis thaliana (AT1G68740) UniProtKB/Swiss-Prot;Acc:Q93ZF5] MDDVEELFLRHFTDGDKRKAMVYLKPNQREESHCTTIIHWRCIALFIGYCIMARIAGMYTQQSNKVYMSTSYPVLSMFSLFFLHLFLYGCNIFMWRKTRINYTFIFEFTPTKELKYRDVFLICTTSMTTVVGVMFAHLTIIVKGNSSSAVQAIPGSLLLVVMVDFFMADQLCSQVPVLRSLEYLACYYITGSYMTQDYGYCTRVKHFRDLAYAVSFLPYYWRAMQCARRWFDEGDINHIVNLGKYVSAMLAAATKVAYENNSSTGWLSLVIIVSSIATIYQLYWDFVKDWGLLQFNSKNTWLRNDLILKQKYIYFVSMGLNLVLRLAWLQTVIHPNIGSLDSRVTLFFLAALEVIRRGHWNFYRLENEHLNNAGKFRAVK >Sspon.07G0015290-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:54887084:54898468:-1 gene:Sspon.07G0015290-1A transcript:Sspon.07G0015290-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DUF1620-containing and WD40-like repeat protein, Scaffold protein for assembly of the restoration of fertility comple [Source: Projected from Oryza sativa (Os05g0230600)] MAAPPRRSLLVLLAGVLVFASLATLATAIYEDQVGLADWHQKYIGKVKQAVFHSQKSGRRRVVVLTEENVISSLDLRSGDIFWRHVIDKNDPLDQLSLSHGKLMGLYSLQSNNKVAKDNLVLVSAGRWIYAVSSIDGAISWEKEFSIDGEDGLASIVDVTTSELPVEKDGVSVADVEHNLLEWLKVVHTQAKIIGDHDVMYKYLSKNLVFVATLSPKAAADIGSALPEEASLVTYLIDAVTGCILHRVTHHGAQGPVHAVLSENWVVYHYFNLRAHRFEMAVIEIYDQSRADNKDVMKLILGKHNLSAPITSYARPEVAVKSQSFFFTHSVKAMAVTQTAKGITSKQLLIGTIGDQVLALDKRYLDPRRSVNPSQQEKEEGIIPLTDSLPIIPQSFVTHSHQVEALRGIVSIPAKLESTTLVFTYGVDLFYTQLAPSRTYDSLTDEFSYALLLITIAVLVGAIIVTWIWSEKKELRDKWR >Sspon.04G0019220-2T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:74819752:74824035:-1 gene:Sspon.04G0019220-2T transcript:Sspon.04G0019220-2T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMATSYGSMANPPITSNTPFLNKQASNWIPATISNGTGGMFTVASRNSRNGFQVRAVTGDPGSRNVSDVKFPTDYTELLMQAKEAAESAFKDGKQLLEIEFPTAGLQTVPGDGEGGNEMTGSLLLIREFCDRFVPAEKATRTRVFFPEANEVSFARQSAFEGCSLKLDYLTKPSLFEDFGFTTKVKMADRVKPEDETFLVAYPYFNVNEMLVVEELYKEAVVGTNRKLIIFNGELDRIRSGYYPSFFYPKLAELSKTFLPKLDTVYYIHNFKGVKGGTLFRCYPEPWKVLRKASSGSYICLHQQEEMPSLKEVALDILPSV >Sspon.07G0027740-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:61376904:61378063:-1 gene:Sspon.07G0027740-1B transcript:Sspon.07G0027740-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSVPHPQPAAARGSNDVDSAPPRRSSKGKGNEHCAPPPHTPSNAAEGKLRAARTTPQPPPARPRTEEKTSSKARKEPKLARHQPASYQPTRGVSATMSTKIRTRLSTKSVVTLEPTGTTTMSASGLSLRITFLASSTLSPTTSGHDVLAPPHSGAAAVAVELMVVVVGSRELNCESVDR >Sspon.03G0018540-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:57384839:57385492:-1 gene:Sspon.03G0018540-1A transcript:Sspon.03G0018540-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKARTTHRSLFSLLVLLLLSVPIVTLKGADGGVVGSSRSSQCPRIPSMTAQQACGAVSGTRHMLELCLRTLRGSAGGVTVPLPVTRHAAVAVRGELDAYAATVAAATSLLDGGAVPADDERAAFGNCMVGYGSARVAMARVADDLRLALASGGCDDDDRAAELKAGYTGGLRGMDGCTRAMLDFPASPLYARNLADRNVTLLAALLCSLVPAPLA >Sspon.04G0001950-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:7382163:7394019:-1 gene:Sspon.04G0001950-4D transcript:Sspon.04G0001950-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEREGEAPAATVVVRRAPSTAPSARVSIWESVRACGVWSKEVDKAELRRQVVMPLYLRRAVAAAVKAKDEAAGVAAAAAEDQAGEDAEGPAVAPVVVFVNSRSGGRHGPELKVRLHELITEEQVFDLSVVKPSDFVHYGLSCLEKLADQGDNRAKAVREKMRIVVAGGDGTVGWVLGCLSDLYKLKREPVPPTGIIPLGTGNDLARSFGWGGSFPFGWRSAVKRYLSKASTAPICRLDSWQTVIQMPEGEIKELPYALKKVEPGDRLEISQENGTELSEKASFYKGVFYNYLSIGMDAQVAYGFHHLRDEKPYLAQGPVANKGWFCTPCTASPQLRGLKNILRLSIKKANCSEWEQVQMPSSVRSLVVLNLYNYGSGRHPWGNLKPEYLEKRGFVEAHSDDGLLEIFGLKEGWHASFVMAELIKAKHIAQAAAIKFEMRGGEWDRAYIQMDGEPWKQPLLQEHSTIVEINKVPYHSLMINGEQ >Sspon.08G0015960-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8A:63429440:63434302:1 gene:Sspon.08G0015960-1A transcript:Sspon.08G0015960-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AT4g01560/F11O4_6 [Source:Projected from Arabidopsis thaliana (AT4G01560) UniProtKB/TrEMBL;Acc:Q9M125] MAKEKKRKQPPADAAPPPGDGGEGRSERKGKKARKEKAEAILPSQIKNKDKRREVHAKLKREKKAQKRALARERGQAPPERQVPRTIENTREPDETVCRPDDQELFAGNDADEFNAVLKQHITPKILITTCRFNSGIVEYAKNRDFTSLIVVHTNRREPDALLIIGLPDGPTAHFKLSKLVLRKDIKNHGNPTSHKPELVLNNFTTRLGHRVGRMIQSLFPQDPNFRGRRVVTFHNQRDYIFFRHHRYIFETKEKKVASKDKKAKTSESKSESEKQVICRLQECGPRFVLKLLTLQHGTFDTKSGEYEWVHKGMLCT >Sspon.06G0003340-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6B:8460006:8466151:1 gene:Sspon.06G0003340-2B transcript:Sspon.06G0003340-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDVEMNAASDEEVMDDEDYYDYCDSDMGDDGDGGSEEEELVAGDYDEGIEAEGTDEVVSRREQLTCGICFEGYSSDMMSSAGCAHFYCHECWEGYISAAIGGGPGCLSLRCPDPSCSAMVLQGMINELAKDEDKEKYARFLLRAYVEGSKKYDETEARRERAKNSLERYMHYYERWASNQTSRQKAQADLLKAEKDQLANLTDIFGIPETQLKFIIEAWSQIIECRRVLKWTYAYGYYLDDKVKSEFFEYLQGEAESGLERLHQCAEKDLQKFLPSVKSDSTETTAPKPDEFSEFRVKLAGLTSVTRNYFENLVQALEAGLEDVRATAQAAGTSSAATSSKKGGTKSKKKQHTKPSSDHTDDGWPCERCTFLNPPSVDACSVCEKSRY >Sspon.06G0005430-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:16086478:16091960:1 gene:Sspon.06G0005430-1T transcript:Sspon.06G0005430-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATASNPFPFPSRRPPDDSLFYARPPRARAPRLAPVPPPLLLSHLAPFLSSHLFHRDPFTLSLPADPAAPCALCASPPVPHLHGALRFGDSLPDEWLAVSLLFALTRAFPDIAARAWDSDGEFLLIEAAFALPRWLDPESAPNRVFIFRGELHILPPSLFPETPSLEAALAAVYDDSIDTRAADAIQAAIQRRIAGLPEKAAENLHTARVIVPAPVAKVLKEEPCLIARAVEGFYDRDIDTMKHAARMEKFLKGPGGEGVEMVRTMVRMTRAMYAQLVQQNFQAPRGYPMPRREEGPEKWMEAELGMKIACGFEMMYQERRRQGEEGKGSTWEVYRKSLEAAGCFEGLLPGSMEYKRVMEDAMQYYKSSNLYSRTREILSEPVRRIDEILSMPYSADEFKGTDLPPSDDDSWLHGGDDELNVELRERQKELEEYEAAKKQRRSQKQSVSSSSKSQTDDFKLGEITESMQEFIRKMSSFEGAEIPADRRDMESVDLDVNQFFKAMESVLGGGSQEQAGSDDGFDRKSSSSDMDFDDSDEDNDFAEELGDKDVDESFMESYSDALNKELSSTTLEKSFARAPRLETNNEGPSDAAATDAEMTPVDVDLNLVESILNSYSSQQGLPGPASNLLGLMGVKVPPDAKKS >Sspon.01G0048380-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:105439279:105440839:1 gene:Sspon.01G0048380-2D transcript:Sspon.01G0048380-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLPLLALLFLSGALEAVVGASNGTSGDGASYTCSYGSPRSLASSSASQSVFSLDRYGARGDGRHDDTRALATAWKAACASPRPAVVLVPNGRRYLLKVVTLRGPCKSTVTVTVKGTLVASPNRADWSDRDRRLWIVFRSINKLTLDGGGAIDGNGHKWWPHSCKINKSLPCKEAPTALSFHYCTNLKVDNLKIVNSQQIHMSVEDCANAQLAKLSITAPGTSPNTDGIHITRSKDVRVTNCKIKTGDDCISIEDGTHKLHVSNVVCGPGHGISIGSLGDDNSRAKVSGITIDSVQLHGTTNGARIKTYQGGSGYAKDITFQNMIMYNVKNPIIIDQNYCDKARPCKEQRSAVQISNVVFKNIRGTTVTKDAIKMSCSKNVPCQGITLQNIDLKMQGGKGNTESTCQNAKWRKSGKVVPQPCTSKNKGIFGHLLEFLLSSHSLSSW >Sspon.07G0009780-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:13267597:13270612:1 gene:Sspon.07G0009780-3C transcript:Sspon.07G0009780-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha,alpha-trehalose-phosphate synthase [UDP-forming] 6 [Source:Projected from Arabidopsis thaliana (AT1G68020) UniProtKB/Swiss-Prot;Acc:Q94AH8] MASRSYSNLLDLATGAADQAPAAAAIGALRRRLPRVVTNPGFIDDSPASPSTPARPRTIIVANQLPIRSRRPASPEEPWTFEWDEDSLLRHLHHSSSPLMEFIYIGCLRDDIPQAEQDAVAQALLETHNCVPAFLPTDIAERYYHGFCKQHLWPLFHYMLPLSPDLGGRFDRALWQGYVSANKIFADKVLEVINPDDDFVWVHDYHLMVLPTFLRKRFNRIKLGFFLHSPFPSSEIYKTLPVREELLRALLNSDLIGFHTFDYARHFLSCCGRMLGLSYESKRGHICLEYYGRTVSIKILPVGVHMEQLKTVLGLPETEAKVAELMEMYSGKGRVVMLGVDDMDIFKGISLKLLAMEELLRQHPEWRGKLVLVQVANPARGRGKDVAEVQAETYSMVQRINEVYGEPGYEPVVLIDEPLQFYERVAYYVIAEVCLVTAVRDGMNLIPYEYIVSRQGNEKLDRMLRQGKPEEKKSMLVVSEFIGCSPSLSGAIRVNPWNIEAVADAMETALVLPENEKRLRHDKHFRYVSTHDVGYWAISFLEDLKRTCSDHSQRRCWGIGFGLRFRVVSLDLHFRKLSLESILMAYRRAKTRAILLDYDGTLMPQAINKSPSTESVRILNSLCGDKNNVVYLCSGYDRRTLHEWFPCENLGIAAEHGYFLRCKRDAEWKTCVTATDCSWKQIAEPVMCLYSETTDGSTIENRETVLVWNYEDADPDFGSCQAKELVDHLESVLANEPVSVKTTPHSVEVKPQGVSKGLVARRMLVSMKERGQCPDFVLCIGDDKSDEDMFQLIASAACGDSLGSKADVFACTVGRKPSKARYYLDDAAEVVRLMQGLSYVSEELALANHRDEDEDSSLD >Sspon.01G0023950-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:85954851:85962784:-1 gene:Sspon.01G0023950-1A transcript:Sspon.01G0023950-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MIWTSFCFVPPEKRHAAPEKGTPQKKKATTFHGEEGSDNHESRHGRCVQTHPPASDGISSVHQPTFKIFCKSDEGCCLAVRDGTLVLVPADSRDEHQHWFRDTRLSIAMKDEEGNPVFSLINKATNLAVKHSLGMNHPVRLAKFNPDNYDESLLWTESCDLGKDFGYIRMVHDISLGLEAIRADGVQMRDTDITTFVLSKRAESDTQSWKILYWDDEANATCGGLYTMPTCRIYNKAGEGFSLAVRDGAVCLVPSNPADKYQHWIKDTRCGNRIKDEEGYPAFALINRFSGEAIKFFSGLTDQGFLFLLLYGPKVKLVQYNPNYADKSVLWTESCDVGHGFRCIRPLDSINLNFHAFLDDKDNGTIVTLRHWSECDNQCWKTLRHWSECDNQCWKIDYWCVNPE >Sspon.01G0028340-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:76154321:76156110:1 gene:Sspon.01G0028340-2D transcript:Sspon.01G0028340-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MWLASHMDHDFTMDAWIGSTALAPAILGGGLHLFSQRHARSSTQEDGRTGAETRKKGIYKNNARRRLSTTVSMAAAVDTAAVAGAGRRLRVFFLPSFIRGHLIPQTDLAPAEVEATMVVTPANAALIAPTVARAAAAGHAVRVLCYPFPDVGLGEGVECLTTAPAHDAWRLYRAMEIVQPSHESLLRDHRPDAIVADAPFWWTNEVAAELGVPRLTFHPVGIFALLSMNNLFTIRSDIIRTSSAAAGTVLSVPGLPGKEIAIPVSELPNFLVQDDHLSKGWEQVRACQIAGFGVIVNTFIDLEQPYCEEFRRVEARRAYFVGPLGQPSRSTLHRGGDGNVDCLSWLSTKPSRSVVFVCFGSWADFSVTQTRELALGLEASDQPFLWVVRSHDCSDQWAPEGWEQRVASRGLVVRGWAPQLAVLAHPSVGAFVTHCGWNSVLEAASAGVPVLTWPLVFEQFINERLVTEVAAFGARVWDGGRRSERAEDAETVPAEAIARAVAGFMQGGEQRDRLKARAEELAERARAAVSEDGLSWRDINRLIDDLLQTRASGLAQGNSVQV >Sspon.08G0017050-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:61776381:61777943:-1 gene:Sspon.08G0017050-1P transcript:Sspon.08G0017050-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding HAARPSMAPYPVLQQQVHLGYACKPTATASVHHHGHGNPTADAVAQSLRRGAPADARGLRALIKALPASSAAAAVAVHAHATKLGLDRERTVRNGLIALYLACGERAAATALFDAFPGDGPDVVSWTAMVTGHARLGLARDAVALFFAMLDLDDGVSVDAVAAAAGFAACAEARDLALAREAHRGVVAARVALDVVAWNALVDMYAKCGDVAAARRWFRRMPVAKTIVSWNTMLAALARAGEHGEALALFREMQRAGVRPDGATFVAVLGACAQLGALDTGRWVHAYMRRQLGCDADGVVGNALLDMYAKCGAVDLAAAVFDGMARRDVYTYASMIAGLATHGRAEEALALFSAMRRAGVRPNGVALLGVLSACCHAGFVNEGLRHLGGMEEAYGVAPGVEHYGCAIDMLGRAGRLDEAAALVAAMPVPPDALVRGSLLAACRACGDVERAERVMRWMLAVDRSGGEAGDHVLMSNMYASKGRHGRALQLRKQMRRSKIVKDPGCSSIEIDGVVHEFQAVP >Sspon.01G0000930-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4C:19319341:19319796:1 gene:Sspon.01G0000930-2C transcript:Sspon.01G0000930-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RWTRLAGRLRRRLAVRDWAFVAHRLPYRFPDLADLDLFPASIAAPTAAAATHASPLLTCGAVSLTLDASADPPLGACRFIDDDALDRGLAAVAASLPNLRRLSATAASESAGLMAIAGGCPTLQELELHRCTDLALRPVSAFAHLQILRIVA >Sspon.05G0013050-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:42938446:42941733:1 gene:Sspon.05G0013050-3D transcript:Sspon.05G0013050-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRASPVSHGAAAAPLPPFARRRMARGVVVAMASTINRVKTVKEPYTPPREVHRQITHSLPPQKREIFDSLQPWAKDNLLNLLKPVEKSWQPQDFLPEPSSDEFYDEVKELRERANEIPDEYFVCLVGDMVTEEALPTYQTMLNTLDGVRDETGASPTTWAVWTRAWTAEENRHGDLLNKYMYLTGRVDMKQIEKTIQYLIGSGMDPGTENNPYLGFLYTSFQERATFVSHGNTARHAKEYGDLKLAQICGTIAADEKRHETAYTKIVEKLFEIDPDYTVLAFADMMRKKITMPAHLMYDGKDDNLFEHFSAVAQRLGVYTAKDYADILEFLVQRWKVADLTGLSGEGRRAQDFVCTLAPRIRRLDERAQARAKQAPVIPFSWIYDRKVQL >Sspon.02G0026920-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:96219715:96219936:1 gene:Sspon.02G0026920-1A transcript:Sspon.02G0026920-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LFVVLQSPLVTPRKPLQSDNTSHSQEEDSYSVTSLYPFFFSNMDCVEFSCGSYCPVCLVSPFSLIFLCIVILV >Sspon.08G0014330-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:56257027:56258218:-1 gene:Sspon.08G0014330-2D transcript:Sspon.08G0014330-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMVKIAVSCVEENRRRRPSMSNVVEALLTLLMFRKNGGLILVDYNGMVIWSTNAITSRSDHAMLLNSGNLVVMDTDGPGPRHVCKVTEKEAYPSSKMFAGDNSSFKFGHFLSSALTLLVIEVTLIIVGCWIVNKWERRPETMDEGYMIISSQFRRFSYKELDRATNVSEKSSEVAHQEQFTRESLMMEGRSQ >Sspon.01G0037230-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:15798596:15800545:-1 gene:Sspon.01G0037230-2C transcript:Sspon.01G0037230-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLSPSPPLPTAASEPKQQQPGGTKAAPEKTDKKYAHVPTPLHHGHGGASKKTPRGAKGGGDAADPAAYVAAVSCSDCRFKQRALAPASPGAVIRSLFVSLTRRSTPRSSPSASGGAGAGAGDAGDVEQWRLAAADLSRRLAAATRTRDEALEETTRLKHSLAELELKLSRLEARVLPTPAAAAAFPVDSFLRAVSTARAAVRNLARALSAHLRSPSSPGPSLESFLNRAFHADFELDTDADVHTPDPAGRCQANLAAYHAIAVLTWEEVLLHGTKHYSDGLSRFCDAKMSEVVSSLGWARARAWPEPLLQAFFLAAKGAWGVRLLARSVHPPLPVVRAERGARFDPRFMEDAAASRAGRLEPASVKMMVAPGFHVYLAGAGVVKCRVVCFYSSSNGRTGGHRDGGSSANSSVGLGSGCSDMNGSATDVVDSCKSSRVG >Sspon.05G0025040-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:27730120:27731821:1 gene:Sspon.05G0025040-3D transcript:Sspon.05G0025040-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTVDAASPALAPDGDAPAGEGLALAQFAAGCFWSVELVYQRLPGVARTEVGYSQGHRHAPTYRDVCGGGTGHAEVVRVHYDPNACPYDVLLDVFWAKHNPTTLNRQGNDVGTQYRSGIYYYTAEQEKLARESLAAKQKECKDTIVTEILPARRFYPAEEYHQQYLEKGGQSTKKGCSDPIRCYG >Sspon.05G0012150-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:27968764:27973231:1 gene:Sspon.05G0012150-3C transcript:Sspon.05G0012150-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDVELFYKDTSDHSISSEEEDMLVRSCSNLNVSFGYHCDSYQSFSPENNHGNGISPKNIFGTNTMMGSRNGSFTCLSGAAISANFTLANTNICNGLIGEEILPELDSPNAFRKIVSSPSMSRLDSLSTSQGSPVSTESSIIEISKNLWRSSAPTTVSSNFLTNTEVKMAGGAAGEDRVQAVCSEKNGWLICGIYDGFNGRDAADFLAVTLYDNIVYYLYLLENRIKQQNGLYNSSESSLNGLKSELTLAMRNSENEDIKFSETFRAGVLNCLSTAVEQAENDFLCMVEQEMDDRPDLVSVGSCVLVVLLHGTDLCILNMGDSRAVLASMPYVENGALMATQLTETHSLENPLEYQRLLADHPNDPSVVRGNKIKGKLKVTRAFGVGYLKQRKFNDALMGILRVRDLSSPPYVYTNPHTLSHKVTDDDLFVVLGSDGLFDFFSNDEVVRLVYQFMHDNPMGDPAKYLIEQLILKAAKEAALTAEELMRIPVGSRRKYHDDVTVIVIILGNAQRTMTASTSL >Sspon.03G0002750-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:14767014:14774481:-1 gene:Sspon.03G0002750-3D transcript:Sspon.03G0002750-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGGIGGSAGMTMENADSARAFVKDVKRIIIKVKQLNFQGYEVILVTSGAVGVGRQRLQYRKLIHSSFADLQNPQMNFDGKACAAVGQSGLMAIYDTLFSQLDVTSSQLLVTDRDFKDPSFGDQLRETVFSLLNLKVIPLFNENDAISTRRQPYEDSSGIFWDNDSLAALLAAELNADLLIMLSDVEGLYSGPPSDPQSKIIHTYVNEKHGKLISFGEKSNVGRGGMQAKVAAAANAASKGVPVVIASGFATDSIIKVLKGEKIGTLFHNEANLWECSKEATAREMAVAARDCSRRLQKLSSEERKKILLDIADALEANEDAIRSENEADVEAAQAAGYEKSLVARMTLKPGKITNLARSIRAIADMEDPISHTLKRTEVAKDLVFEKAYCPLGVLLIIFESRPDALVQIASLAIRSGNGLLLKGGKEAMRSNTILHKVITGVIPDIVGKKLIGHVTSKDEIADLLALDDVIDLVIPRGSKNLVSQIKATTKIPVLGHADGICHVYIDKSADMDMAKRIVLDAKVDYPAACNAMETLLVHKDLNKSEGLDDLLVELEKEGQNSKSLSLSFVYYAHTDCIITTDESAAEAFLQQVDSAAVFHNASTRFCDGTRFGLGAEVGISTGRIHARGPVGVDGLLTTRCILRGSGQVVNGDKGVVYTHKDLPLQ >Sspon.06G0011980-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:47315876:47318956:1 gene:Sspon.06G0011980-4D transcript:Sspon.06G0011980-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEELDHPEGSVSDRGGERQTASLPLPAAFLEFLNENGLDPAVYSMAATIPRYIRELDLVFSNPKAKTRHGVPNRRDRSRVEVWPREGFMAARVLCDSTGSSDCWLHGLSAREAEHILNDLQIYGIDAASGAAILALDVLPGDHVLDLCAAPGPKLCMLADTLGTTGSLTGVDVAKHRFAACRTMLQKYCLGDRTRLFVADGTLFSILPVNSRMRRMEGSIGVEENGSTFREWTSRRSWKDRQKTKKANANGPQHLLATSEPELIYYGKHSGLVGLCKSDVFCPSVDDEACTSGYDKVLVDAECTHDGSIKHIQKFEFWGWKTLDRRVLDAERTENLLQLQLHLLTNGFKLLCFRE >Sspon.06G0013890-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:89730141:89736407:-1 gene:Sspon.06G0013890-2C transcript:Sspon.06G0013890-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MELALFIISSIISLAGFLSVISASTTSQQPCGANGGPAGVRAGYWSPSSSRYSPVSSIDASLYTHLYYSSVSIDETSYAVAPQPTEEEGSLLAAFSGTVKSGSPSTKTMLSIGTNEYRVDASNAAFSRMASDKDLRGVFINSSVELARANGFDGLDLSWIFPVTQMDMENLGVLLAEWRARIMAESATNSLSGPLLLTATLYFSNHLFDMPDGNLDYPIDGISNNLDWANILTFGFHGDSSVTTADAPLYDKSSHFSVSYGVISWLDAGVPPCKLVMGIPLFGRSWFLRNKAKNGLGSPTAAAGTKQRKSNQTGIIAYAETKEYLNSESTVVTYDNQSVAEYFYNGDLWVSFDGAQVVQQKLEFAARSQLLGYFLWTVGFDDSNSTISKKGGSNQYVAFNSSSVSLGS >Sspon.03G0028320-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3C:12761801:12762271:1 gene:Sspon.03G0028320-2C transcript:Sspon.03G0028320-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALWTLVALSATVAFATEGRVAATAAAEAANEVLRAHQLPGGLLPAGITAFRHDAATGRFEAQLEAPCTARFEVGLRYNATVTGVISPGQIAAISGVAAQDLFMWFPVHDIKVDIPSSGVIYFNVGVVKKHLPLAVFDAPPACTPDPLLLRTVPQ >Sspon.02G0016030-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:44057422:44058485:1 gene:Sspon.02G0016030-1A transcript:Sspon.02G0016030-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPRQGEEQAGGAGGDSAAALRAPAHVMARVFSQLDCVDLLSCSLVCKQWYRDSAELREEWRMEYLDAWNQFGLSVTREPQPLCATCAIRSLRSLCP >Sspon.01G0040150-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1B:39083750:39084215:1 gene:Sspon.01G0040150-1B transcript:Sspon.01G0040150-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding FLSPPPPPRSPAPRAAAASLLCCALRQRRLRCLARRTRLPLPMCLSVDGSRPWCGRRRAHAWPRGWPSSSSPLSLPLCRRMVACSSEVAAHRKANRPCPSLRSPCAVCAAGGFALPAGHRIRLSVGGGGSAPRRWRRRPPVPVAVPVPRSLRAA >Sspon.02G0035580-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:15148835:15150257:-1 gene:Sspon.02G0035580-2D transcript:Sspon.02G0035580-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAADSSPLHIVIFPWLAFGHLVPCLELAERLAERGHRVSFVSTPGILSRLRPVAPALASLIDLVGLPFPRIDGLPEGVEATSDLPPGKADLHMEALDRLAPAFSAFLDAACAHGSDHKVDWLLIDNFHASMADVASEHKVPCILNMPYSAATTEDFGIPDLRTVPPMFRRFVEAFEKCKLIAARSSFELEPESLPLMTKILGKPVLPVGLLPPPPAGAGGNNTQRDDSAALSWLDEQPSKSVLYVAFGSEYPMTLKQLHEIALGLELAGTRFLWALKKPKGVHPDEDVLPPGFEERTRGRGSVVTGWVPQTSILGHGAVAAFMMHCGWGSTIEALQYGHPLIMMPLLIDHLSTARVMMGQRKVGVKVRKEKNDEAFLGDNIATAIRAVMCEEESRRIFVANAKKMQEIVADDKCHNRYIDEFIQSLRTYKN >Sspon.06G0017120-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:94786906:94787265:-1 gene:Sspon.06G0017120-1P transcript:Sspon.06G0017120-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEQNSVSILFLWEDLGAVLEVERGEVLGSEQRPVVLVGRRQHRREQGAGARPGNHVEVVGDPSIWAVQLLELGLEVCDDGSWDEATDAAAVDAENGDELPCGRRRLRHGGAVGRAVALH >Sspon.01G0024860-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:97480742:97485108:1 gene:Sspon.01G0024860-2B transcript:Sspon.01G0024860-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANAGSKIRNAKLVLLGDVGAGKSSLVLRFVKGQFVEFQESTIGAAFFSQTLAVNDETVKFEIWDTAGQERYHSLAPMYYRGAAAAIVVYDITNPASFTRAKKWVQELQAQAKTYAQENGLFFMETSAKTAINVNDIFYEIGELSTVLVKEIASRATGSKPTGWNGSESETKREDGQLFFMLLLKLCFNLIVLL >Sspon.08G0000860-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:611658:614430:1 gene:Sspon.08G0000860-3D transcript:Sspon.08G0000860-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCASAIDAFVQRGNRASPSPSPAATPGMSASRRTSSSATGKLSTLSSTSTFMPSTVSGVSVDDDYPEGQILECPNLKIYTFAELKSATKNFRPETVLGEGGFGKVYKGWVDEKTLNPSKASIGIMVAVKKLNPESVQGMEQWQSEVNFLGRISHPNLVKLLGYSMDDNELLLVYEFMSKGSLENHLFRRGAVYEPLPWSLRLKILIGAARGLAFLHSSERQIIYRDFKASNILLDSHFNAKLSDFGLAKHGPDVGTHVQQSHGNLWLCSS >Sspon.04G0015980-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:68148534:68149214:1 gene:Sspon.04G0015980-4D transcript:Sspon.04G0015980-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNLGGATIAPPGRMTMDGPPTPTVKTRLCNKYNTAEGCKWGGKCHFAHGERELGKPMLMDSYMPPPMGPRPTGHFAPPPMANPGLATPASFGASATAKISVDASLAGGIIGRGGVHTKQISRVTGAKLAIRDHESDSSLKNIELEGTFDQIRNASAMVSELIVSISGNAPPQAKNPAGGTHRGGGGTGSNFKTKICENFAKGSCSFGNKCHFAHGDNELRKPAAA >Sspon.07G0015260-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:54479516:54484508:-1 gene:Sspon.07G0015260-1A transcript:Sspon.07G0015260-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitogen-activated protein kinase kinase 6 [Source:Projected from Arabidopsis thaliana (AT5G56580) UniProtKB/Swiss-Prot;Acc:Q9FJV0] IEIERTKSQTKPLLPSPEPRHRRRRRRRRCFHPIPLPHLPPHLLLGAAPAPGYSRKATGNSFPLFDPRGAASPISHRETLTLPLRDGEAMRGKKPGTELKLAVPAQETPVDKFLTASGTFKDGELRLNQRGLRLISEENGDENQSTNLKVEDVQLSMDDLEVIQVIGKGSGGVVQLVRHKWVGTLYALKGIQMNIQEAVRKQIVQELKINQATQCPHIVMCHQSFYHNGVIYLVLEYMDRGSLADIIKQVKTILEPYLAVLCKQVLEGLLYLHHERHVIHRDMKPSNLLVNRKGEVKITDFGVSAVLASSMGQRDTFVGTYNYMAPERISGSSYDYKSDIWSLGLVILECAIGRFPYIPSEGEGWLSFYELLEAIVDQPPPSAPADQFSPEFCSFISSCIQKDPAGRMSASELLNHPFIKKFEGKDLDLRTLVESLEPPMNIP >Sspon.07G0026050-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:42538083:42538610:1 gene:Sspon.07G0026050-2D transcript:Sspon.07G0026050-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFLEAEMSWNVLISPSQLDRKGLLLRKAIIVRLLEDVTNRRASKEHGYYVAVSQLKAISEGKVRELTGDVLFPVSFTCITQKPMKGEVMVGHVDRILKHGVFLKSGPVESIFLAEKSMSDYKYIGGENAMFMNDHSKLEKDTAVRFKVLGFRWMEADRQFQLLATIAGDFLGPL >Sspon.01G0057130-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:97710743:97715775:-1 gene:Sspon.01G0057130-1C transcript:Sspon.01G0057130-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGKVVLQRIENKISRQVTFAKRRNGLLKKAYELSILCDAEVALVLFSHAGRLYQFSSSSNLLKTLERYQRYIYASADAAVPSSDEMQNNYQEYVKLKARVEVLQHSQRNLLGEDLAPLGPSELDQLESQVDKTLKQIRSRKTQVLLDELCDLKRKEQMLQDANRVLKRK >Sspon.04G0025340-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:26161595:26166993:-1 gene:Sspon.04G0025340-1B transcript:Sspon.04G0025340-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPLHCSASVHLHCLSPSRRLRLASSFPLLCRRLRRSTAIRAEAEARPPPPVSAAEPEPPDAGAVEAEGQGPVELRAPTLFSTDDNPTPLQTATSLLLTGAVSVFLFRSLRRRARRAKELRVRSSGVVKKPNNLTEEALEGLRLASASPIETEKPPSPIQALLGGIAAGVIAVILYKFSTTIEAALNRQTISDSFSKHSKVDNKLTRPVKTRAQAKAGVGKWSVLVCPKKQLEL >Sspon.01G0040520-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1C:33381973:33382542:-1 gene:Sspon.01G0040520-2C transcript:Sspon.01G0040520-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFRGTDTVAILLEWVMARMVLHPGIQSKAQAELDAVVGRGRAVSDADVARLPYLQRVVKETLRVHPPGPLLSWARLAVHDAVVGGHLVPAGTTAMVNMWAIAHDPAVWAEPSAFRPERFEEEDVSVLGGDLRLAPFGAGRRVCPGKTLALATVHLWLAQLLHRFQWAPADGGVDLAERLGMSLEMEKPL >Sspon.07G0018310-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:65903679:65915438:-1 gene:Sspon.07G0018310-1A transcript:Sspon.07G0018310-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGLNRQQEARVRILHGVSGVVKSSRLTLLLGPPGCGKTTLLKALAGKLNATGLKVTGEIEYNGVELSGFVPEKTAAYVDQYDLHVPEMTVRETIDFSARFQGVGNRAEIMKEVIRREKEAGITPDPDVDTYMKAISVEGLERSMQTDYIMKIMGLDICGDIMVGDAMRRGISGGEKKRLTTGEMIVGPSKALFMDEISTGLDSSTTFQIISCLQQLAHISESTILVSLLQPAPETYELFDDIILMAEGKIVYHGSKSCIMSFFESCGFKCPDRKGFADFLQEVLSEKDQQQYWSRSGESYNFVTIDQFCDKFKVSQIGQNLAREISKPYDKSKGHKSALSCSIYSLSKWELLKACFARELLLMKRNAFIYITKIVQIALLASITGTVFLHTHMGVDRVLANYYMGSLFFALILLMVNGFPELSMVVSRLPVFYKQRDYYFYPAWAYAVPAFILKVPISLVESIAWTSLSYFLIGYTPELLSLLKKLRDSFIDLYVLTHSICVRRFLRHLLILFLIHTGALSMFRCVASYCQTMVTSVVGGTVALLLILLFGGFLIPHPSMPNWLKWGFWLSPLSYAEIGLTENEFLAPRWIKNCSNLPCTLFLAPGTSRAIISRDKITKFNRRDQCTFMDTKDGIDKPQENSSTPTRTGRVVLPFVPLTISFLDVNYYVDTPVEMREQGYMESKLQLLHNVTGAFQPGVLSALMGVTGAGKTTLLDVLAGRKTGGVIEGDIRVGGYPRVQKTFARISGYCEQIDIHSSQITVGESVAYSAWLRLPIEIDSKTRDFYLRYMKITNNNYKEFVNQVLETVELDKIRDALVGIPGINGLSIEQRKRLTIAVELVSNPSIMFMDEPTSGLDARAAAIVMRAVKNVADTGRTVVCTIHQPSIEIFEAFDELMLMKRGGELIYAGPLGHHSCMLIQYFQAIPGVPKIKNNYNPSTWMLEVTTTSLEAQLGVDFAQVYRDSSMYKDKEEIVRRLSIPPVGTSDLHFPTRYPQNFREQFKACIWKQCLSYWRTPSYNLVRIVFITFACIAFGALYWQQGNINR >Sspon.05G0014090-1P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5A:51473557:51475208:-1 gene:Sspon.05G0014090-1P transcript:Sspon.05G0014090-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPIGPPLATKMAFDPMGRVYIALDNGTQINITSGAVGSVADSYHRATLDPDGVFRQYVYPKKVSDLRSQAWSMVSMQPSNICGALVTNVGSGTYGFNSYCLMDGTNNQTTCKCPDQYSFFDEERKSSSNIGLPMKAFTYAELEKATRGFQEVLGTGASGIVYKGQLEDEHGTCIAVKKIDKLEQESEKEFSVEVQAIGQTHHKNLVKLLGFCSEGKERLLVYEFMSNGSLNRFVFGDVHLQWNLRVQLALGVARGLLYLHEECSTQIKPQNILLDDKFTAKISDFGLAKLLGTNQTQTNTGIQGTRGYVAPEWFKSIGITAKVDVYSYGVILLELISRRRNVELETAEDKKILTYWASDCYRCGRVDLLVEGDAEAIFNPKVVE >Sspon.05G0010850-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:22295575:22298853:-1 gene:Sspon.05G0010850-3C transcript:Sspon.05G0010850-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVEMSCAAAPEVAVSMDWRGRPCRPRRHGGMRAAVFVLGIQAFEIMAIAAVGNNLITYVFGEMHFPLSQAANVVTNFVGTIFLVALLGGFLSDSYLGCFWTMLIFGFVELSGFILLSLQAHLPQLKPPPCNMASTSTVGGGGGCEKASGFKSTVFFLALYLVALGSGCLKPNMIAHGADQFDGATPRGARRLPTYFNAAYFSFCAGELVALTALVWVQTHSGMDVGFGISAVAMAAGLVCLNENDEFCRFLDKACIPVAPQGPNTKPESAWRLCTAAQVQQAKTLLAVTPIFACTIVFNTVLAQLQTFSVQQGSAMDTALPPGSGSFRIPPASLQAIPYAMLLALVPAYQLLLVPLMKRLTGTRSGITPLQRIGVGLGTVAFSMVAAADVERRRRDAAAEGRQMSVLWIVPQFLVFGVSEMFTAVGLIEFFYKQACAGGMQAFLTALTYCSYAFGFYLSSVLVSLVNRVTARHGGGGWLGDNDLNKDRLDLFYWMLAALSVLNFFCYLLCARWYNAGAD >Sspon.08G0009620-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:36077307:36079114:-1 gene:Sspon.08G0009620-1T transcript:Sspon.08G0009620-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLVVRVIEARGLPPAEADGTRDAYAKAQLGKQRAKTKVMRKTLCPAWDEEFAFRVGDLRDNLLVSVLHEDRYFADDVLGQVKVPLTAVLDADNRTLGTQWYQLQPKSKKSKLKDCGEIRLNVSLAQNSSEDTATLAHWASDDLASNSDKSTELVKGSSLPNIPIEVSTAVPEIDGIEVAKEDKSNAAPSFVNKLYQMFNSKPKDAEASAPPPSKLNDTSDITEETLSTSSEAPEKQDHDASATMTFDELLKAFGSQHEGKEMPENLSGGVVLDQVYAVAPSDLNTLLFSPSSDFLQSLAEIQGTTGLEVQQWRLENDGQIRGGW >Sspon.08G0019730-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8B:14563952:14564289:-1 gene:Sspon.08G0019730-1B transcript:Sspon.08G0019730-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPGSRRWANVRVMSGTILGGVLGFYVMHRVETSYKARPIPRPALLPHPTLCLALSRWFPRYEAHMLAKAKEAQQVQDEAQREDKAQLLPDS >Sspon.02G0000380-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:2619505:2622884:-1 gene:Sspon.02G0000380-2B transcript:Sspon.02G0000380-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKAYPTVSEDYLKAVDKAKRKLRGLIAEKNCAPLMLRLAWHSAGTFDVATKTGGPFGTMKNPPSRRTEPTPDWKLPSGC >Sspon.03G0002420-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3A:6075307:6076791:-1 gene:Sspon.03G0002420-1A transcript:Sspon.03G0002420-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQMISTMPMLDLDAAPAASAIMPKLQAAAACLDAPPSGIAVVSRQHVRPDAASAIGDLTLSVSDLPMLSCHYIQKGLFFPAPDLPMPSLVSLLLSSLSRALAVVPALAGSLVTLPDDRIVIRCNDAGVDFLHAVAPGLSLDDFLVPDADVPTKLTKDLFPMDRTVSYEGHRRPLTSFQVTVLGDGAVFIGIVANHAVVDGTSFWQFFNTWAAICRGESPKLPDFRRNFFGESTAVLRFPGGVGPSVTFDVDAPLRERVFHFSADAIRELKAIANRRPSGGQDAEVYGKMAHDPKHPQVRGEISSFQSLCAQIWLAVTRARKRLAPDATTTFRMAVNCRHRLQPAISPVYFGNAIQSAVTTATVSELARSDLGWAAGKLNESLAAYGDGTIRRAAAAWQAAPRCFPLGNPDGAVITMGSSNRFPMYEGNDFGWGRPLAVRSGRANKFDGKMSAFPGRAGDGSVDIEVCLPPETMAALLRDAEFMQYVSCPSHLL >Sspon.04G0011860-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:37342348:37342995:1 gene:Sspon.04G0011860-1A transcript:Sspon.04G0011860-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding KMKKASRYLKQLFSAIVAAVKARSTAVGTKASSLRTRLIVLGIMRNKKLLLSAIQSKIHAIMGGGGGSGHHHQGSSGYGVNGNGNGNDEGERLFLASSGARKAALLQSLPSFAVEQEARAVVLLSSLPSFALERDAAGADEEKDMVEAAAAGEKHLATVDDAAVAAPAGSAAEGEFRLEDEIDRVADVFIRRFHEQMKLQKLESFKRFCEMLERGA >Sspon.08G0008060-2D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8D:13573802:13574324:-1 gene:Sspon.08G0008060-2D transcript:Sspon.08G0008060-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding KNKMGRSVAASSAHPVPALSMPVPTPAPAPAPAPAPAPAPAPALASAIPYTGVTPSYPPVSAYPAATAYPAYPTPSHAPYTTAEYPPPPQQPYQPPPAGYPPSYPPQPYEQSYPPQPYGQSYPPQPYGQQPYPPPPAAQSPYPPGEMQLSRDKSILFHDISQCSNWITSLCLV >Sspon.01G0023230-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:81534745:81536450:1 gene:Sspon.01G0023230-4D transcript:Sspon.01G0023230-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADKENSAAAAPPRLTRAAAKRAAAVTAVAVAAKRKRVALTQLPTHPNAVHDDGDDKPVRKQQHLLLPAAQPKPKAAPAPAAAVAAASDDEEEDPQLCKPYASDIYSYLRSMESQPKRRPATDYIAAVQFDVSPNMRAILVDWLVEVAEEYKLVSDTLYLTVSYIDRFLSANALNRQRLQLLGVSAMLVASKYEEISPPNVEDFCYITDNTYTKQEIVKMESDILNVLKFEVGNPTPKTFLRMFIRSAQEDNNKYPSLQLEFLGSYLCELSLLDYSLLRFLPSLVAASVVFVARLTLDPHTHPWSKKMQTLTGYKPSELKDCVTAIHHMQLNRKYSSMMAIREKYKQHKCPNCGINLLKQFKAVSALLPPVEIPASYFIKKLKE >Sspon.01G0005250-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:12363577:12374988:1 gene:Sspon.01G0005250-2B transcript:Sspon.01G0005250-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRTVSDGGEDDHGADVASRTSSHRRMEHGHGYKVGVPPKKNLLTEFSDAVKETFFADDPLRQYKDLPKSKKIWLGLQHIFPVLDWSRHYSLGKFKGDFIAGLTIASLCIPQVTFNYADQRCGHHFTDTSFVPPLIYAVMGSSRDIAIGPVAVVSLLLGTLLQNEIDPKTHPLEYRRLAFTATFFAGVTQAALGFFRLGFIIEFLSHAAIVGFMAGAAITIALQQLKGFLGIANFTKKSDIVSVMKSVWGNVHHGGKRNKKLFLVSAIAPLTSVIISTFFVYITHADKHGVAIVKNIRKGINPPSSSLIYFTGPYLATGFKIGIVAGMIGLTEAIAIGRTFAALKDYQIDGNKEMVALGTMNIVGSLTSCYIATGSFSRSAVNYMAGCKTAVSNVVMSTVVMLTLLLITPLFKYTPNAILSSIIISAVLGLIDYESAYLIWKVDKLDFLACMGAFFGVIFSSVEYGLLIAVAISLAKILLQVTRPRTVLLGNLPRTTIYRNVEQYPDATKVPGVLIVRVDSAIYFTNSNYVKERILRWVRDEEQQQDQKLPKTEFLIVDLSPVIDIDTSGIHALEELLKVLEKRKIQLVLANPGPVVIQKLRSAKFTDMIGEDKILLTVGDAVKKFAPKVADNV >Sspon.01G0045830-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:93818965:93822246:1 gene:Sspon.01G0045830-1B transcript:Sspon.01G0045830-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MELHIESRARDFGGGSVLKSVNKSTLHFKKSRNRRSGSPNNWTPRKKTESYMKRKIKHLQEADGMTASLHETLGNANPHYTRMAREKIAAREAARKATDARKAAMVEASWCRILRAARIQNKNAEEVMEKAMLHATEAFEEARAMGVMMYDRPDCPHQQYEVESSSHTGAQSTHKVTASFQTAFQVDMEVSAAVKKAFVQLANSPDSAKREEFKELLWKISQNPDLTETGENSEDKQQLVDCSNEDTSVLKLNKENISSSCVSSDFNTTKVQESIDVVNIMLERLKALHEEELASLAVIVATSGLNAALQNERSKYHETGAENNIGAGSLRSQTRRYSTAASFIGVQGPNKEVTSELPSLDKFLVKHLSKLEREVQEAREASRKSTSVKSVTQGAHSQFTGSNAKAPESTSDLSSILVKHVSKLEKEILEAKKINERIHQVEGSCKDVKSNDKQLEFNKIQPEAENNCDLKGSCESKGSCKDSNHIKDNYDCVQENKENKNWYSRQLPPSGAKGKQGGKRLTRVEAARLEALKSFCTIDGNTLDAGLDKIFIKPIHRLEKEKREAREGQIDVQKHPQKLGQSATVTEGLDDILVKHVSRLEREKIDYQKKDALGEGWTNVQKHPQKLGQSATVTEGLDDILVKHVSRLEREKIDYQKRNALGEGWTNVPHDQRTNDNNCKSSDSLDQVLVKHVSRLEREKLEYEKRKALEGGTNVQDNRELHCNNAAASDSLDQILVKHVSRLEKEKIEPKKYGGMIVVKKSQTQCTNEAAGSLADIFVKRPTKLEQAKLASAAEEKPASGLNPVEERRRARQKELLDAWGGMGLGNSMKPHVSKIERDK >Sspon.04G0004420-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:8446301:8470786:-1 gene:Sspon.04G0004420-2B transcript:Sspon.04G0004420-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLLVLRAAPYRHSSHLCAATLLVLSPSQHRHFASFPSPPHFAARRILPSPLRVPIRAVESSPGPTKEEQEPSPAASEAQEQLAPAAPAFEVEELGWGTQLAVKLRMLVAPPWQRVRKGSVLNMKLRGEISDQLKTRFSSGLSLPQICENFVKAAYDPRISGIYLHIEPLRCGWARVDEIRRHIVDFKKSGKFVVGYMPVCGEKEYYLACACGELYAPPSAYVALFGLTVGQTFLRGVLEKVGIEPEIQRIGKYKSAGDQLARKSMSNEVREMLATLLDNIYGNWLETISSTLGKKKEEIEGFINSGVYQVARLKEEGWITDLLYDDEVMTLLKERVGQKDKKSLRMVDYSKYSRVSKQTLGLQGGGEQIAIIRASGSITRTRSPLSAPGSGIIAEQLIEKIRTVRESEKYKAVILRIDSPGGDALASDLMWREIRLLANSKPVVASMSDVAASGGYYMAMAAPVIVAEKLTLTGSIGVVTGKFCLQKFYERIDFNKEILSKGRYAELNVADQRPLSKYSRVSKQTLGLQGGGEQIAIIRASGSITRTRSPLSAPGSGIIAEQLIEKIRTVRESEKYKAVILRIDSPGGDALASDLMWREIRLLANSKPVVASMSDVAASGGYYMAMAAPVIVAEKLTLTGSIGVVTGKFCLQKFYERIDFNKEILSKGRYAELNVADQRPLRPDEAELFEKSAQNAYALFRDKAAMSRSMSVDQMESVAQGRVWSGHDAFSRGLVDSVGGLSQALAIAKQKANIPKDKKIQLVEVSKPSPSLPEILSGIGGSLLGVDRAVKGVFQDMTALSGVQARMDGILFENLGDMSGENQLLLLVKDIMSYFD >Sspon.05G0022390-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:3245729:3254416:-1 gene:Sspon.05G0022390-1B transcript:Sspon.05G0022390-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABC transporter B family member 19 [Source:Projected from Arabidopsis thaliana (AT3G28860) UniProtKB/Swiss-Prot;Acc:Q9LJX0] LRWRSWRRRTGRRTRWRTEEGGGGDAAGEGKKRVDQAVAFHELFSFADKWDLMLMAAGSLGALAHGAAMPFFFLLFGDLINGFGKNQTDLRTMTDEVAKYALYFVYLGLVVCVSSYAGDLPLSIRHSPSPRIACWMYTGERQVIALRKAYLDAVLRQDVGFFDTDARTGDIVFGVSTDTLLVQDAIGEKVGNFMHYIATFLAGLVVGFVSAWRLALLSVAVIPAIAFAGGLYAYTLTGLTSKSRESYANAGVVAEQAIAQVRTVYSFVGESKALNSYSEAIQNTLKLGYKAGMAKGLGIGCTYGIACMSWALVFWYAGVFIRNGQTDGGKAFTAIFSAIVGGMSLGQAFSNLGAFSKGKIAGYKLLEVIRQKPSIVNDHKDGKWLAEVHGNIEFKEVTFSYPSRPDVIIFRDFSLFFPAGKTVAVVGGSGSGKSTVVALIERFYDPNEGQVLLDNVDIKTLQLRWLRDQIGLVNQEPALFATTILENILYGKPDATIAEVEAAATASNAHSFISLLPNGYNTMKDAVGPLAGVLVGVKDNLCTANMPSTGGSRILDGYRPAYDATAVRRLREAGAIVVGKTNLDEFGMGSTTEGSAFQVTTNPWDDSRVPGGSSGGSASAVSARQCVVSLGSDTGGSVRQPASFCGVVGLKPTYGRVSRFGLMAYASSLDVVGCFGSSVFDTATILSVVAGHDKMDSTSSSQVVPDYASELVSLDLLESKPLAGVRIGIIQETLGEGVANGVISSIKGAASHLEQLGSVVEEVSLPSFSLGLPAYYILASSEASSNLSRYDGIRYGRQVSADDLNELYGESRANGLGHEVKMRILMGTYALSAGYYDAYYKRAQQVRTLVKESFKDALERYDILISPAAPSAAYKIGEKINDPLAMYAGDIMTVNVNLAGLPALVVPCGFVEGGPAGLPVGLQMIGSPFCEGNLLRVGHIFEQTLQNLSFVPPLLAES >Sspon.01G0020050-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:72235204:72243260:-1 gene:Sspon.01G0020050-3D transcript:Sspon.01G0020050-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGPSSPRRENDGEGSGRRSPAPATEKERPRSFDEKTRTACWRKAAVLAGRHPERWRLDAVGNVVCRRFWGCHGCLCYEYDHIVPFSRGGESTVENCQILQTRVNRSKSDKAWVEQAEMRGVSCDIKFTDQELDIIEMAVYGDVIRPGKTVAEMLGQVKSMNRMAACELPDKGAS >Sspon.01G0012890-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:34747997:34748995:1 gene:Sspon.01G0012890-1A transcript:Sspon.01G0012890-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MACQEAAEDHLASSAPFDDFEFCILSSGGLAPAGDDAAADMCVADEVFSGGKLLPLRLSSAASADAASAALLLLRSDSLDGAATSTTSSSGFSSRSVSRSSSCVSRSTSSNSASSDHAGPTGGSSSSSKQSASSSSAADAVSLPPRRSLSGSGSVFYAHPSPSPRQPPRRRSRTSAPAAARRSTGSAPPASWGVIRLGVVGAPEVLYAPRPAEYRKPAAARSGSGSRSARFDLQHRTEPAPADKKLALGLLGAGLVCSCSPDAVAPVGSAEVAAAEARRRRKKAEEKKRSASVTATATAKQSGKGTARRSRILEWLEDLSISKEKSAASSCR >Sspon.02G0048250-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:26590515:26591164:1 gene:Sspon.02G0048250-2D transcript:Sspon.02G0048250-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTRGGENRRGGDDEFYLRYYVGHKGKFGHEFLEFEFRPYGKLRYANNSNYKNDTMIRKEVFVSRAVLGEARRIIQESDIMREDDRNWPEPNYIGRQELEIVMGNEHISFTTSKIGSLVDVEGSRDPEGLRIFYYLVQTSGRNGRTAIQ >Sspon.08G0016560-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:65214820:65217923:-1 gene:Sspon.08G0016560-1A transcript:Sspon.08G0016560-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASVFVPRIKLGSQGLEVSAQGLGCMGMSAFYGPPKPELDLIKLMHHAVAAGVTLLDTADMYGPHTNEILLGKVGFRSLFFKFALKMSDVIMLLNSEKALQGGVREKVELATKFAVFFADGKMEVRGDPAYVRAACEGSLKRLGIDCIDLYYYSRIDKKVPIEVTVSEVCLPARQELGIGIVAYSPLGRGFLCSGAKLVDSLSEQDGRKYMPRFQPENIEKNDKIFEHVNAMAAKKGCTPSQLALAWVHHQGNDVCPIPGTTKIENFNQNVGALSVKLTPDEMAELESCAAAGEVLGDRYPPQMAITWKDSETPPLSSWKSE >Sspon.05G0014080-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:53980855:53983953:1 gene:Sspon.05G0014080-3C transcript:Sspon.05G0014080-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDITLLVSADATLAAARSRSRPSTSAFQRQIVPGRRRRASACRSVRARSAAAAAPAAGGISGHSNGVYTVGDFMTRRDNLHVVQPTTPVDQALELLVQHKISGLPVVDDDGKLIGVVSDYDLLALDSMSGNELANTNTNMFPDVDSTWKTFREIQRLLSKTNGKVVGDVMTSSPLAVRENTNLDAAARLLLETKYRRLPVVDSMGKLVGMITRGNVVSAALKIKKKTEEGA >Sspon.06G0001940-4D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6D:3735369:3742297:1 gene:Sspon.06G0001940-4D transcript:Sspon.06G0001940-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTRSSTPRQLLAFGCFHPTPCLVGVALAFAPDLRAAGRYVTVGVGVGSPGPLASIHRPIPVVVRVIPTDRPLARYRLLTCCRRGLSYVSIRSSDGEATTDGTAVVVVVTLQSQQAVYDDREGGWPPAGRLVLQRGLRRGSMVRAAVAGLEPTPSRLIGFGARDAAVGAKETPRTEHPSAMENAGRLEALKERGFRDAETPNGGHSSSYLQHRHSRSAMSTYVNVPDVSPRLSDHIPAVNRSKMSDRRYSLNLPEQLPEHRIITTANRAERSISKSVADLAWEIAVLEEEVVRKELHLLSLYRAAFDQSLGVSPRASAQVEQESHRQHSKRTTDEGTLRLRNIKESASYNLPTLSDSKRHTQELSRSSSGRSSLANFLSASIAEYVPKISCKLSEDILRCISAVYCKLASRPLQEANSETASTPSFSSASSSFSLKYPVDGWSPRCYYNVDATSDIYASSDGNNGQYSGMIIFPKIHIDEDKFDYASKMLDTIRSLIKRLEKIDPTKMAHEEQLCFWINIHNALVMHAFMAYGLQEKRMKSTDLILKVRLYTAKKLYHQLEQARTEFIQANVMVRKQTIFLPKVLHFYAKDASLELPDLIDIVCESMPELQRKEIRQYLRRSIDKCVEWLPYKSSFRYTVHRSLAE >Sspon.03G0035920-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3B:86324286:86329161:1 gene:Sspon.03G0035920-1B transcript:Sspon.03G0035920-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATALSWSSRATTAPYSVHGPAHSPPQLTIDVAPKLVFSGPSAPLAIEVFTICRTRSPTFKVAQAPYRLESTSVDVIETAVGRCLTDLVLVPHLATSHHFPKVYQSSSLHGNALLGVLSAPIRLHVRLDTGGCTRQR >Sspon.06G0017280-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:93958389:93961181:-1 gene:Sspon.06G0017280-1A transcript:Sspon.06G0017280-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding METAVAAARQHAGFGLTSLSHRLAKQFSAANGEGSAAGNLVFSPLSIYSALSLVAAGAQGRTLRELLHVLGARSRDSLDVNAVRGMVEQAIPLASTQDGGGASVSYASGLWHDSTRMLKPAYRDVAAASFRSVVHAVDFLTKPEEAVNQINSWVAASTNNLVDSIVDTTSVNSSTRLVVTNAIYFKGIWETPFNKPWTEEDKFHRLDGSTVDAQFMSTAASQFIGVHDGFKVLRMPYTVHRDHLFGSMVAPRYSMCILLPDTRDGLQGIMDAMASSPGFLQDHLPLNKVEVWEFRVPRFKLSFSSSVRKALQDLGVQAAFSVIAELPDLLEEDDESHEPLILGDVLHKALIEVNEEGTEAAAVTAFRGFLGWEQPPPRVDFVADHPFAFFVVEEVSVCPYLGPHPTASNISETINGSHVFRIDGLSATKDLGVGKSIKSSIFRVGGHGWYIECYPCGDVELSAGWVSLFLCRQEYHPGSRVSGECQFTLMESLKDRYLWKPSELIRFEDDDRRAGIDFIKREELESNMCVVFIDKFPAVPPPDLATKIVSGSHVLTVDGYSKTRRAFVIGEAIESSNFRVGGHNWCFYFYPCSEHENKDDDRVTVNLSLDDPAGNDEVKVRFEITLLTHSG >Sspon.02G0027100-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:124240472:124244347:-1 gene:Sspon.02G0027100-3C transcript:Sspon.02G0027100-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKDGKHDVPATTAAPPPAGAPQPAAPAPPAESARWGTRQMGPPAAPGAHPENQEAARWTAARGDQELPPYVIMGEPVAAPPQQQRGKGDSPMEHILDFFNTWSRKAEELASNIWFNLKTAPSMSDAAMGKLSLGAKALSEGGFDKLYKQTFSSSPEEHLKKTFACYLSTATGPVAGTLYLTNMNVAFCSDRPLSFTAPSGQTAWSYYKVMIPLGKIATVEPVTMKENPPEKYVHIVTVDSHDFWFMGFVSYDKAVHNLVEAVSQRSQSQHGVGVATAGSK >Sspon.06G0004780-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6B:12397629:12399654:-1 gene:Sspon.06G0004780-2B transcript:Sspon.06G0004780-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVRLRLRVSPRLHSIPLLLSQPDAATVRRSFACASASPAPAPARAMAAPSSSSATPSPYTTLVGRVSCEREIKRSKFIAVAAPVPNERAAMAFLDQVKDPRATHNCWAYKLGEQFRYNDDGEPSSTAGKPIYSAIISSGIDMVMVVVIRYFGGIKLGTGGLVRAYGGVASECLKDAPTCLVKPKARMGMEVPFDLLGTVYHQALVARQRVSDSTSAAAITTPAGYQ >Sspon.02G0010930-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:29480922:29483652:-1 gene:Sspon.02G0010930-1A transcript:Sspon.02G0010930-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTVSGTRRAPRRQSQDGSADKVLVILEASSRVASRRVPLTPVPGARNSPIDVEAIEDEVQAVSPSRVPPPRRNRRTRREPITVVDLEVEASREGNKRQRVVPVGHHLTPDWGAGSSLQSNAVQTGKEPAKEVPKESFFTCPICWNKMEEPSTTTCGHVFCDTCIKQAIKIQKKCPTCRKGLKMNSAHRIYLPKASS >Sspon.07G0033460-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:52987149:52987737:-1 gene:Sspon.07G0033460-2D transcript:Sspon.07G0033460-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LFPCVLQANAASGMAVDDDCKRRFLELKAKRTHRFIIYKIDEKKKMVVVEQVGEPVLNYDDFAASLPANECRYAIFDYDFVTEENCQKSKIFFIAWSPDTARVRSKMIYASSKERFKRELDGIQVELQATDSAEVGLDVIQGRAN >Sspon.08G0004850-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:11679328:11685579:-1 gene:Sspon.08G0004850-2B transcript:Sspon.08G0004850-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDEEMEKKVQQYLQRKGFRLTELALQEERNRLSTSATSDVALARFHEDPCFKWLPPADYMPLIRPIMYLQKTQALVVLGVINEHITFEVSPGQPSLITDDADVVALIGTSKDLAKQINQKEVHWGKRNAEGGKQGASLKKAKKDKLVGATGKNIRTETSMVSVAPRVKPELTLPSTPVEVEQSILEDLRNRAQLNSVALPSVSFYTFINTHNGLNCSSISHDGSLVVGGFSDSSVKSENGSSQGERISTLDEGKRTYTLFQGHSGPVYSAAFSPFGDFLLSSSSDSTIRLWSTKMNANLVCYKGHNYPVWDVQFSPVGHYFASASHDRTARIWSMDKIQPLRIMAGHLSDVDCVQWHVNGNYIATGSSDKTVRLWDVQTGECIRMFIGHRSMVLSLAMSPDGRYMASGDEDGTIMIWDLSTGRCVSPLLGHSSCVWTLAFSCEGALLASGSADCTVKLWDVASSTKTLKTEDVKGSSANRLRLLKALPTKSTPVYSLRFSRRNLLFASGALSLNSS >Sspon.07G0014940-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7C:59537240:59540777:-1 gene:Sspon.07G0014940-2C transcript:Sspon.07G0014940-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLVMSAATGALSSLLSKLSDLLTDQYKQRKGVRRDIEFLRRELTDMNAALEKLADMEKLDAQTKVWRDKVREMAYDIEDSIDIFMLKLGQGEDDDDNDGLFRRIVGKIRELRLHYQFADKIQELRARVEEQSQSRERYRIDGSISESRVVVEVDPRLPALFEDAKRLVGVDGPREEIIERLMGEDDRHCSRQCKVLSIVGFGGLGKTTLANQVYSKIKNEFECTAFVTVSRTPHMPKILKDILSGVGYRDTEMEDDVQKLITILRATLNNKRYFIIIDDLWSIKDWRTIECAFVENNNSSRVITTTRIQDIGTACCFPSQGHVYQMQPLNEIHSRRLFFKRVFGTDDSCPEQFIEISHGMLRKCKGVPLAITSIASLLANHMHVEIWEKIHNSLGSELYTNPTLEWMRHVLSLSYNDLSHELKTCLLYLGTYPEDYQIRKYELVLTCQVHDLMLELIISKCKEENFITIIDRKFPMNGASQIRRISHQFQNRDMALTDESMSASQVRTYICLRLADCTPPFSKFELLRVLDMERSFSMDLMCLDVSAINHLFLLRYLRVWGFRVELPKKFGKLKHLMTLNMSQPWLDNPSEQLSDFSSLSSLRHLSLPGCVTLKNGLSKLCNLRDLFWFDFGSNSTECIRDLSELTNLRNLQVMMYNYSRPDGVENNRKATILAASLNKLGNSNLRNLAFEVVSSARAPSAQFWNNCLARPRHLQRFSMYGVTMPKVPNWIGHADRLAHVELEVQELSSDDVQVLAQLPCLIYLQLRAKTIPENIIIHPQTFHSLKCFKFFCGELPSLTFEPAAMPQLQRLEIQLALGQGAMELQEGSLVGGIKDLASLEKISLHICAKCGQGSKIESAWRDAISRHPKSQALQIYVNCREFDENGKLV >Sspon.02G0013130-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:28704716:28708593:1 gene:Sspon.02G0013130-3D transcript:Sspon.02G0013130-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAASPALSPGTVPLGVLLRREVTKERMERPDVLCGEANRSRKGEDFTLLRADAGQRIPGDPSTSFSVFALFDGHNGSGAAIYARENLLNNVLRAIPTCFCREEWLAVLPRALVAAFVKTDKDLQAVAETSGTTVTFVIIDEWVVTVASVGDSRCILESADGSIYFLSADHRFDSNPDEVKRVTACGSKVGKMDIVGGPEVGPLRCWPGGLCLSRSIGDLDVGECIIAVPHVKQVKLSNAGGRIIIASDGVWDDLTCEMALDCSRGFSSDVAAHRIVNEATRPRGIRDDTTCIVIDILPPENIAPSPPKRSGRIAFNNMFRRRSLDAPFKTNRSEYAEPDVVEEIFEDGSPMLSKRIVNRYFATLEVALNMRS >Sspon.03G0009970-1T-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3C:40463437:40465170:-1 gene:Sspon.03G0009970-1T transcript:Sspon.03G0009970-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARFLSLIILPVLTILPFSYASPQLMLSTGSSLLVEEYRQTFLVSPNGDFSCGFYEVGRNAFSFSIWFTNTVDKTIVWSADPKSPVNGRGSMVLLNHDGNLVLVDVNGTVTWDSKTSSGKGTTLVLLDIGNLVIKDANGKILWGSFSSPTDTLLPFQPLTKGTRLVSGYNSLYFDNDNVLRLMYDGPEISSIYWPSADYTVFQNGRTNYNSSRIAVLDAEGYFRSSDLLKVKSSDWGTVTKRRLTIDYDGNLRMYSLNASSGRWIVTWEAIAKMCNVHGLCGQNGISILGALVLLFIGTGWWFLFPSKQTNQFRMFTLRELREATGKFKEEIGRGGSGIVYRGVLEDKRVVAVKKLTNFSYNQEELWAEMSIIGRINHMNLVRMWGFCSEDHHKLLVYEYVENESLDRYLFGN >Sspon.06G0029410-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:22539857:22541694:-1 gene:Sspon.06G0029410-1C transcript:Sspon.06G0029410-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRASSPTHARAAAALAAGVDEERYAAWMVKHPSALGMFDQVVAASKGKQIVVFLDYDGTLSPIVDDPDAAYMSDTMRRAVRSVAKHFPTAIVSGRCRDKVFEFVKLAELYYAGSHGMDIKGPAKGSRHTKAAKVPIHPSKPLFLPLPHRLRPPAPKGGRYLSLLCPLCGSQAKGVLFQPASQFLPMIEQVHDSLVEKTKCIPGAKVENNKFCVSVHFRCVDEKSWSTLAAMVKSVLKDYPKLKLTQGRMVFEVRPSIKWDKGKALEFLLESLGFADCTDVLPVYIGDDRTDEDAFKVLRKRGQGVGILVMEFLLRLVEWERLSKARPRW >Sspon.03G0004500-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:18140499:18141759:-1 gene:Sspon.03G0004500-4D transcript:Sspon.03G0004500-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRLPLLLVVVVAAVASCRPGRADPAMSVLPGLPVAGLAVGFYNESCPQVEDLVLAEMRCLVGKDKTIGPALLRFMFHDCLVRGCDASIMLISRNKTGERDAIPSYGLRGYEEIEHIKAKVEDACPLTVSCADIIVMAARDAVHLSNGPRYAVETGRRDGKVSADCDANNDLPPPSSKIVDLKTYFSFKGLGWKDLVVLSGSHTIGRAQCSTFASDRLYNYSGHVGQDPSLNKTYAAQLREQCEPGVADDATMVEMDPGSPYTFDLSYYRDVRSNRGLFSSDQALLDDPWTRAYVERMAAASASPDEFFADYAAAMTNMGRIQVLTGDNGEIRKLCAAH >Sspon.04G0004830-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:14003252:14004208:1 gene:Sspon.04G0004830-1A transcript:Sspon.04G0004830-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLLSLVDGSAHRDGATFPRQAPMALSHLSRRLLSPTAAAAAAHLPIPKTFAHGRDPFILLNPGRRFFSASSNPIPNPSSSTPTEHNQGAPSAPVSPDEMRHQEIEGPTVERDTSPLADETRRELDALRRTVQRLSGSLALLGGAHLAAGAWIAYGAPPLGVGSAAAVQGVAAFAFPFAVALVLRRAIKSIAFFQKMEANARLQVLTLCLQATKNVNLMLLRTRVMAIACALGVSVASVAAVLMR >Sspon.01G0052620-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:24829398:24843983:-1 gene:Sspon.01G0052620-1C transcript:Sspon.01G0052620-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLECLRRGLSLVQQPTTRVLARPYLHPARLFLHRFAADAMGEGSSAGKDAKGKGKGKSKAPAADSVLVVRDDSYLEAVTQKRIRLFEEIQARQAVERLNISGEVIKVSLPDGAIKEGKKWITTPMDIAKEISSGFASSCLIAQVDETLWDMGRPLEGDCKLQMFKFDTNEGRDTFWHSSAHILGESIERAYGCKLCIGPCTTRGEGFYYDAYYKDQTLNEEHFGIIESQAKKAVAEKQPFERIEVSRAEALEMFAENEFKATFLAPVEIINELPEDKTITVYRCGPLVDLCRGPHIPNTSFVKAFACLKASSSYWRGKVDRESLQRVYGISFPDSRRLTEYKHFLEEAKKRDHRILGKAQELFFFHELSPGSCFFLPHGARIYNKLMDFMRQQYRARGYQEVLSPNMYNMQLWETSGHAANYKENMFVFEIEKQEFGLKPMNCPGHCLMFANRVRSYREAVTQKRIRLFEEIQARQAVERLNISGEVIKVSLPDGAIKEGKKWITTPMDIAKEISSGFASSCLIAQVDETLWDMGRPLEGDCKLQMFKFDTNEGRDTFWHSSAHILGESIERAYGCKLCIGPCTTRGEGFYYDAYYKDQTLNEEHFGIIESQAKKAVAEKQPFERIEVSRAEALEMFAENEFKATFLAPVEIINELPEDKTITVYRCGPLVDLCRGPHIPNTSFVKAFACLKASSSYWRGKVDRESLQRVYGISFPDSRRLTEYKHFLEEAKKRDHRILGKAQELFFFHELSPGSCFFLPHGARIYNKLMDFMRQQYRARGYQEVLSPNMYNMQLWETSGHAANYKENMFVFEIEKQEFGLKPMNCPGHCLMFANRVRSYRELPLRMADFGVLHRNELSGALTGLTRVRRFQQDDAHIFCREDQIKDEVKAVLEFINYVYEIFGFKYELELSTRPEKYLGEIETWNKAEQQLTEALNEFGKPWKINEGDGAFYGPKIDIGVFDALKRKFQCATLQLDFQLPIRFKLAYSAEDEAKIERPVMIHRAILGSVERMFAILLEHYNGKWPLWLSPRQAIVCSVSSGSVEYAKQVLATLHEAGFHVDIDASDRTIQKKVREAQLAQFNYILVVGAQEAETGNICVRVRDNADLATMSVDGFITRLKEEI >Sspon.05G0031120-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:13938047:13938520:-1 gene:Sspon.05G0031120-2D transcript:Sspon.05G0031120-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQSGAKKSNKITEIVRMQQMLKKWRKLSVTPKEPSSPTAGGGGGGNAGESKAKKFLKRTLSLTDGPPSGSPPPPPKGHLAVCVGPAMQRFVIPMEYLKHRAFAALLREAEEEFGFQQEGVLRIPCEVPVFESILKAVEKNKKDAAFCYCSVEYAADE >Sspon.06G0009860-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:52589439:52592298:1 gene:Sspon.06G0009860-1A transcript:Sspon.06G0009860-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCEGLVDWRGNPSTQRFMVESELHVLVETICPFKVDGWRSTLLYIALYIIALGEGVMRACIPALGSDQFDGDNPTEARQQSSFFNWFTFCLSIGSVAGLILIVWLEDTKGWDVGFGLSALDPDSRRFAGFCRWPPFVFVVAFKNRKLELPENMEETQQNSYGVDSQEVPCPTTNDSLNLRMHISKFSMVYMHGYQISGQSLLCEHQHRDRWALVSQQRGKSRGDQDRSTHASTGLHLNGGARIEPTARRVHRPAGHDDEHEAGEGARLPGDALHHPVHLPDADAGGLRWLLVPLLRRRTGYTGGITQLQRIAVGFLATSVAPAVAAIVERKRKDTVVAGGQISLFWLALQFFLIGVADTTSFVGLLEFFTGEAPDGMKSIGVAFFWCQLGMASLLGTLLVRLVNRVTHSGSAPGWLEGKNLNSSHLDLFYWVVTAVSFLGWLNYLYWAKRYKYRQDPRISTKAAPDEDDSSMP >Sspon.08G0024040-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8B:61030319:61030775:1 gene:Sspon.08G0024040-1B transcript:Sspon.08G0024040-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRARILGFVAGILLLLVLSSRFDFASLFDLVGLVCSEFLVRVQFNPSVGSERFKKKTWPGRRKTRKAVAIYVFASSSSAITVCFVPLPTCVFMFLSPSVAEHMDGWWKGNPAKV >Sspon.07G0027820-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7C:63572783:63573805:-1 gene:Sspon.07G0027820-2C transcript:Sspon.07G0027820-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTTAAMRTSLVVVLLLLLLAAASAADASSSKDRCHSDDKKALLAINAAFDTPYHFASWTPDSSCCDWYDVDCDPFTGRVVGLSIFQDANLTGTIPDAIAGLAHLRNLMLHHLPGISGPIPPAIAKLSNLSMLIISYTGVSGPVPSFLGKLTALTLLELPFNSLTGAIPASLAALPYLSGIDLSRNRLTGAIPPLLLSRSPDQAYLVLSHNNLSGGIPAEFAAVNFAHLDLSRNALTGGGASALFGRGKELQYLDLSRNALSFNLSGVELPEHLYFVDVSHNAIYGGIPAQVANLTNLQFFNVSYNRLCGGVPTGGNTARFDAYNYQHNKCLCGPPLPTCN >Sspon.06G0005420-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:18218588:18224411:1 gene:Sspon.06G0005420-1A transcript:Sspon.06G0005420-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MIAAGAASMGRAATPAPGPQSSRLPRAVSRLRLVLRSSEAAARSGSERWMGCLRPAPSPATAAAVKEAKGKRPEVEVEPAHGGGEDVWSAGADAEVAQGGAFPEHLVIMVNGLVGSADDWKFAAEQFVRRMPDKVIVHRSKCNSATQTFDGVDLMGERLANEVLSVVEQRRGVKKISFVAHSLGGLVARYAIGRLYEHNSRTKSSGGRDDAEHLEGHIAGLEPMNFITFASPHLGSSGNKQLPFLCGLPFLERRASETAHLIVGRTGKHLFLTDNDDGRRPLLLRMVDDCDDLQFRSALRSFKRRVAYANANFDHMVGWRTSSIRRQHELPKHRLLVRDEKYPHIVYVEKEVTDNNGTEAHADLYDPEEEMIRGLTQVPWERVDVKSYWLNSDGADVINHMMDNFIV >Sspon.01G0016820-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1A:57819067:57820901:-1 gene:Sspon.01G0016820-1A transcript:Sspon.01G0016820-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIWVILPKSQGVPSWTSRDREWRIQRVIARPRSPELASLGELASLGECDPEQFRTHRKSRHGLVAQIDKQGRSSSSGRGVALEQANPAGGASPGRGLSRVSRPNRIDSFTRIESSQFSMGASARYLPALLRVAGAAESLCQRVIPPGPGERDTRDGRAAEGVTKGGPPARCEACGAKENGDTRSENGFAVQRPVLEAPRRAALLLACSERAGCRFYQTRALPVVPRIWPSSRCMHIRKLVATLPTHRRNGTPNLSGGRPGEVKRLDLLAVAVRVDQLLNPPGPGMENRTKALPGEGHLLAVAHFLRQVRTVSHGLLRTVCTHWFSPQAVDSGAVRASI >Sspon.03G0000970-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:8871974:8873962:-1 gene:Sspon.03G0000970-3D transcript:Sspon.03G0000970-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTRSSARGEPRRLGNAALLALMLCSVVALSLIRGRFAPIVTTAGDAIKSEDDAAAAAVAVSKVAINVDTGDGADEAAAEAAAEEKQKEEVQPKPADGSGGGAAKPVCYETSRRSDTCEAAGDVRVVGSSQTVYVDSLDREWKTKPYCRKHDNFALVHVKEWSLKPLPSGAAPQCTVNSSATAFVLSTGGFTGNPFHDYTDVLIPAFITAHPLRGEVQFLVSSYKSWWMNRYIQIFQQMSRHEVVDIDADDEVRCYRSVVVGPTFHRELGVDASKSPSGYSTADFRKMLRDAFGLERATATPSGDRWDIRRRPRLLIISRRPSRGRAFMNERAMADMAASLGFDVRIGEPDSSTDTSKFARLVNSCDVMVGVHGAGLTNMVFLPAGAVVVQVVPYGRLEWLARNTFAEPSAGMEVHYLEYVVQMDETTLSEQYPSDHPVLRDPMAIHKQGWNALKTTYLDKQNVRPHLGRLKNTFLQALKMLPHGRDD >Sspon.05G0015320-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:56460914:56462211:1 gene:Sspon.05G0015320-4D transcript:Sspon.05G0015320-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGNLKNMVVAFLVPLPSILFYLSFVRAGGDTGASPLSSWCAAHPLLLANILFFLNVDVLFWVVGLLLSNHWLIDLYWTVIPVMLLHYYRGHPASVVDAVRSAVAVGLTWVWSARLTHNYLRREGWEFGKREDWRFNEMRGQYGKTWWWMSFFAVYLSQQVFLIGICLPMYAIHSSNQPWGIWDLVATAACIAGIVIAHFADTQLHKFVTRNEKLKQLGEPTVPTLEDGLWRYSRHPNYFGEQLWWWGLYLFAWNLGQQWMFVGPLVNSLCLGYVTVLVERRMLKQEHRAEAYKLYQKRTSVWIPWFRKAVPESKLKET >Sspon.01G0020100-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:74585981:74587590:1 gene:Sspon.01G0020100-1A transcript:Sspon.01G0020100-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MELALASAKPSPRAAAGASPPPLLFSPLKPFPLLRFPPRPRRPAAARLRLRLRLRAAAGETTSPGEAVFGARRELTGIQPLVEALPPAARTAAELAVAAAAVAAGYGIGLRAGGESRAVAVAGAAVLGAASVAGAAAVNAVVPEVAAVGLHNYVAGHDDPTNLDNGEVEAIANKYGVSTQDEAFKAELCDLYASIFGEQKFQFSE >Sspon.03G0021630-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:65985313:65986492:-1 gene:Sspon.03G0021630-1A transcript:Sspon.03G0021630-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LLASHVSLPGQQHADDEDKIDFGGGNVHVVTNKEDWDQKIAEANKDEKIVVANFSASWCGPCRVISPVYAEMSQTYPQLMFLTIDVDELMEFSSSWDIRATPTFFFLKNGQQVDKLVGANKPELEKKVAAVAGASSSQADAAGSKTV >Sspon.06G0001370-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:1702692:1703483:-1 gene:Sspon.06G0001370-3D transcript:Sspon.06G0001370-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFKTGIFTVAVLAIVLSSPAVAQKPKSPPAPSATVLPPAPAPAPSPHHVDLADLLSVAGPFHTFLDYLQKTNVIETFQNQANDTKNGITIFVPKDSAFAALKKTTFANLTQDQLKSLLLYHAFPKYYSLAEFDKLSTLNPVTTFAGSQYTLNLTYNMGTIQVKSMWSNPKISSSVYSTRPVAVYEVNKVLLPMQIFKSDPPLAPAPAPAPDAKASDVAPSPTSGKSASAKAKAEEKSSSYQLGAGIAHYLALAVSGGLMLLW >Sspon.02G0015180-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:41348090:41349488:1 gene:Sspon.02G0015180-1A transcript:Sspon.02G0015180-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding EPGPRTPAGSWQRRHPCRRAPLPGVRRPAGSSVVVGGGGLRRGAVQEPALLRGAAVGAAAAQPARAVARPLGPHRRPAARGGPRRRLLRRRGPRQVRPAHGLHRHHARLGRHRLRRRRRGRRRVGPHARGHQVGHRLLRQGAHRAVRLLGGGGGRRHGPLLLAAAGGHDDVAAGVPHRQGQPGLRPRRRDRRRTRRGLHRLPPLQPALLTPSPAPRPAGVRVRRPVPRHVRQQHRGGAQLLRVRERLPGRAAVGGAVAAPRHGTRRLPPLRRRQGRLLRRRRLGHDRVQLGRQVRRRPGPRRQGMCSSCAFFLDHHPAGFLK >Sspon.01G0029530-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:102627415:102631710:-1 gene:Sspon.01G0029530-1A transcript:Sspon.01G0029530-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At4g21300 [Source:Projected from Arabidopsis thaliana (AT4G21300) UniProtKB/Swiss-Prot;Acc:Q9STE1] MRLAHLGLKLPRRPCCSTTFSSTEAVTDVSPADRLLALLRGCVSAPHLPLGLQIHARAVVSGALSSHTALHTRLLGMYVLARRFRDAVAVFSALPRAAAASSLPWNWLIRGFTAAGHHRFAVLFYVKMWTHPAAPRPDAHTLPYVVKSCAALGAVSLGRLVHRTARATGIASDVYVGSALIKMYSDAGLLRDARDAFDGMPWRDCVLWNVMMDGYIKAGDVGGAVHLFRNMRASGCEPNFATLACFLSVCAAEADLLSGVQLHSLAVKCGLEQEVAVANTLLSMYAKCRCLDDAWRLFELIPRDDLVTWNGMISGCVQNGLLDEALGLFCDMLRSGARPDSVTLVSLLPALTDLNGLKQGKEVHGYIIRNCVQMDAFLVSALVDIYFKCRDVRMAQNVYDVARAIDVVIGSTMISGYVLNGMSEEALQMFRYLIEQCIKPNAVTVASVLPACASMAALPLGQEIHGYVLRNAYEGKCYVESALMDMYAKCGRLDLSHYIFSKMSLKDEVTWNSMISSFSQNGEPQEALDLFRQMCMEGVKYNNVTISSALSACASLPAIYYGKEIHGVIIKGPIKADIFAESALIDMYAKCGNMELALRVFEFMPDKNEVSWNSIISAYGAHGLVQESVSLLHRMQEEGYKPDHVTFLALISACAHAGLVEEGLQLFQCMTKEYLIVPRMEHFACMVNLYSRSGRLDKAIQFIADMPFKPDAGIWGALLHACRVHRNVELADITSQELFKLDPSNSGYYVLMSNINAVAGRWDGVSKVRRLMKDNKILKIPGYSWVDVNNSSHLFVASDKSHPESEDIYTSLKTLLQELREEGYVPRPDLCHPMHPDNNTQISSCEAAIMVLLLQLQSCKKELVTIETKREFDSYVIIQNFQPTGKPPALHLCETLRIPYRVQHPVEELNELLVLMGNLGI >Sspon.05G0030450-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:90514785:90516164:1 gene:Sspon.05G0030450-1B transcript:Sspon.05G0030450-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRAHSIFHICKRAVVDSAVVVDVGSGEEKVLTYEWRTMWRLVEMELSLMYDILYTKAAVIHTWPGYCIRLVCPLAAAASFLLFWLSGKDKDSRIDVAITYALLAGASFLELTSLLGALGSSWTLAFLLATRWSGLRHAALCGGTWDRFRRLFVVPLRRYQHVVASRTTRQWSGAMGQYNMLRLCSGRARDAGGPVDRLVQALRLEEWWHRKRYAWTTEVSELVKKTLYDHVARSRVNTMGVVRKRWGEAALEKNGHDDLKWFLGVEIQEGILMWHIGTDVFLCATSGTRRAEDAGTARLVQAISNYMMFLLVERPYMLPGLAQNMLYQATCDNIEEVWRARGPNKTHQSSSSAGGGNRREQLAGVLLENRKDIEFSPKHPRLWFAVDLAYKLIHMSRDDPLQLALEVWLDILFYAANRCSRESHAKKLSSGSELTTIVWLMAENRHYLRKYQAANGN >Sspon.01G0010080-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:27968263:27971326:1 gene:Sspon.01G0010080-2C transcript:Sspon.01G0010080-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKEKRRRRGWFERIRRLFTSDPKPTPKPADKKAKSKRWLPGKLRAQQSFALPPPPPAGADQIRQAEDEQSKHAVAVALATAAAAEAAVAAAHAAAHVVRLTGQPPPVAPLPRQVLEQEQEHAAVAIQSAYRGYLARRALRALKGLVRLQALIRGQAVRRQTAATLRGLESLMRIQARHRSRAGGVDHQQQHQAADDDAQLLRRGRELFAAVHEQQASNKGWDSSIFSKEEMSAMTRSKEEAALKRVRALQYASLHNEKLGLGIRRPPSVNMSRDEVDALNQRWSWLEEWVGSQPPFDKDVPVAHQSPYTSTTTRGEDAAANRGDDVVDRLGCSARRSFARPRRTPGRGDCYYDDAAAAGCSPAPAPFPGYMASTASAKAKFRSMSTPKERSAATYSDAYSEHCFPFADRLLSPIPSMSPIPSIASDMGFARSTRPPVAQRSPRVVAKSPMTPVRSRSRRSSGHHSFGSEAALRQLQMEHYTPVR >Sspon.03G0037860-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:1864837:1866083:1 gene:Sspon.03G0037860-1C transcript:Sspon.03G0037860-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPGDAEGVTSSMGELARSSAFIDRGSSPPAPCLIMRNFVSANGSDVAAAELDDVWEDVSDSPRHAYTLDREWTHRQNQFQKMGYRDGITEGQKDSAQEGFNVGFRQSVNVGYKWGLVRGVASALASLPDSLKEKLVPDVQCRGKLQGLHSSVQEISADDALQMFHESICQSNRPSEGSGSHVTSTSDGATESNRMKSLSKDLVLLLHECSDIKVSEEVA >Sspon.07G0000950-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:10419561:10420064:-1 gene:Sspon.07G0000950-3D transcript:Sspon.07G0000950-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSTRAAHKAFLLCNYTLLGAASACIFLTLSLRLAPSACGLLLVFLHALTAVFAAAGCSGSFTEGGAGAGRAHAAHTAGAVLTAIFQGAAALLAFTRTADFLAELRSYVREEDGEVILKLIGGLGTAIFVLEWAALALAFALRLDDDGSEEADGEHCKSWASGYHV >Sspon.07G0025840-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:40833027:40835750:1 gene:Sspon.07G0025840-1T transcript:Sspon.07G0025840-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQEQKLEVSNAMYTYKHHCSIGVDVHEIFVKRSRLRVVLSYIGIIFLLANVCKPLLTKECLSLGSVWNITFAVLVAKCLQYKPVKRESVVIMPAFGVQLEIHFWSGRVDHRFVPIGKILKPLINECVTPVTCYWSLALLLRDEEELLLVFQRSRPPVKMLVPVWKALCTLTNCEHPSPSQMCNRDSTIT >Sspon.02G0010160-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:22961059:22964582:1 gene:Sspon.02G0010160-3D transcript:Sspon.02G0010160-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Flowering time control protein FPA [Source:Projected from Arabidopsis thaliana (AT2G43410) UniProtKB/Swiss-Prot;Acc:Q8LPQ9] MSSEPPPAESPEASGSGSGSPSKDAVGTEGGAAAGGPETNTLWVGNLPLHVTEGDLLALFGPHGALDCALARAGSRSYAFVLFRSPAEARAAVEATRGEKVKGAAMRTEFARPAKAVRNLWVGGISPSVSKEELEEEFQKFGKAEGVAFSQDQTSAYIDFEKLEDAISAHRALNGKTLGGKELCVDFQRSKGRAEWSEASSFNGRVSGPVGDKRGSGPPKGSAGIRMREAQPTNVLWVGFPGAYKVINEETLKQAMSAFGVVTKIKIFQTRQYAFVEFANVADAYNAKMNLDGHLFNDPRIQILFSNSELAPNKLDNPTLAAGFPRSEMYSSDGRQGPGIGSGTLQGYDPPRGGRSRCYDYGGMPTPGGILSQPESFDPREAKRMRLDAGADPHLRAGSTGLYSAGYRHQGNSVHAEGSSTPVIRVRGTVHRTSYIDHCWRGSIAKGGSPVCRARCLPITKGSDIPLPDVMNCSARTGLDMLAKHYADATGFDIVFFLPDSEDDFVSYTEFLRYLGSKSRAGVVKVDAGTTLFLVPPSDFLTNVLQVDGPERLYGVVLHIPQISAAAALRPQLTGTEQQPYYDERETLLTQRKYSIISPNDSGHVDADYRASLHEDSMHRLGHIPGRPRVDEGQAVQPALAGFPANQATGLQVQSSLKPDIMATLAKLLPSVQSPLVSGQMNATDRPSQMQDPSMLSKVWIPENQVTASNSSVGQIANVQHPGQQFSRQASAAHLTNYGNMVSAQERSIQHTAYNPEVALNLPPPPPLPTVPHSSATLPSQGGHSLPTQTNQQLYQPEQYYVPQSNYGPLAPASHSNLQISNTNNPTPTIPQVNPGPPTNNQIGNLAQLQHSMPLHVDRASQDFSSQGQQQNLGPGAAQAPEEDKSKKYQATL >Sspon.02G0011780-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:4857330:4859972:1 gene:Sspon.02G0011780-1P transcript:Sspon.02G0011780-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPYTSFFKNSYYYYASSYPAAPPPHHLPPPLPPYTTLYPAAAAAPQYPAYFFQAPPTTLPPLHDSPPSPPLREALPLLSQSPTRAASRAQPLLHVAVDSDSDDDADDFVLREAVGSSVTPSTRAPLFADLNCMPSCCDDGDGDPMDLEAAAPTDDDAAVALRIGLPPAPVNGGCGGAEADLLSGLSGRGACGGMEPEEDEDECKVDTGDGEEVVPLGFASTPIGRLNKGQYWIPTPAQILIGPTQFSCPVCYKTFNRYNNMQMHMWGHGSQYRKGPESLRGVQPTAMLRLPCYCCAPGCRNNIDHPRARPLKDFRTLQTHYKRKHGLKPFLCRKCGKAFAVKGDWRTHEKNCGKLWYCLCGSEFKHKRSLKDHARAFGHGHGAFGCNLDGGSGADGLDDDDDGAVSEIEHDLCAAACSSRSAAAAA >Sspon.02G0038650-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2B:43724990:43728863:1 gene:Sspon.02G0038650-1B transcript:Sspon.02G0038650-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GPFRSEQSAPPFLQFYLISSSCAAVHPQSSKKTTRDPRKVAPFARLPAPKPQERVQLPKADLPIFLGFFSVLGNCTVAFTWWCRQAMGRKCHAAAALAVAFAAAAAAAVAADRGLSLVGAAVAPEVEEMSLFRKVANLMWRSDGNSYQHVWPPMEVGWQIVLGSLIGFFGAAFGSVGGVGGGGIFVPMLTLIIGFDPKSSTAISKCMIMGASVSTVYYNLKLKHPTLDMPVIDYDLAVLIQPMLMLGISIGVIFNVIFPDWLEAAKRLEQTAAEEAEYAPLPTGPGVAANKKTLSSDEANYTATCSPWYWVLNLLQVPVSVGVTLYEGFGLMSGKRVLSSKGSEQTTMKFHQVVVYGLFGIAAGLVGGLLGLGGGFIMGPLFLELGIPPQVSSATATFAMMFSSSMSVVEYYLLNRFPVPYAVYFTFVAFVAALIGQHAVRKLINWLGRASLIIFILAFMIFVSAISLGGVGVSNMVHKIARHEYMGFENLCKYDA >Sspon.02G0009560-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:29988166:29990622:1 gene:Sspon.02G0009560-3C transcript:Sspon.02G0009560-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RABA3 [Source:Projected from Arabidopsis thaliana (AT1G01200) UniProtKB/TrEMBL;Acc:A0A178W3F7] MKEAEEEAAARWESEKEAEIDYVFKVVVVGDSAVGKTQLLARFTRDEFALDSKSTIGVEFQTRTLTLHRKRVKAQIWDTAGQERYRAVTSAYYRGALGAMVVYDVTRRATFEHVARWVEELRAHADGSSTVVALIGNKADMPAARREVAADEAARLAEEQGLFFSEASALTGDNVERAFLTLLEEVFAVVSRRALELDEARRIRGDQQGDGDGGGEVLSLKGTAVDVGSIMETSAMKRSS >Sspon.07G0009480-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:25354122:25364554:-1 gene:Sspon.07G0009480-2B transcript:Sspon.07G0009480-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSAPAFKMEEANGAVDLEVDIIGPSAVGSKLTSVEDPDATECSSSFGDTLSGSEDDARPSEISDIEVDSPFCRYPPNGDAAALLDAAASDNLDRLLKKKKVTDHWRKYVSPLMWRCQWLELRMKDLQSQVSKYDKELAVLKHEKELQTKMIELDCSSSRSVPFSSLCCRKTMKRRRRKRNEVKIDTSSYISNHAVFSYFEKTEADGHSIEDNANLADDNTKENNDADWLLGIEGGDTTVEQILLSIQAAQDRVFSLRSNLKQAMAKKNKGITLKINTSVNGTQSSNCSPGKGKVIGLNERSPQDTSECDMDDSAMPDSALSSYGEASNMDIFESTMSLLSEGPHQIGEFRESSEDVLIDNQAAEEGYQNFEVISHPSKRLRVSVKREAGAHSEDESVAPVIAIKKEETQEEATTSFSLHGAFLKPCFTGKRQERKPKKQMKRRRGGPTAAAAALISWRSKRIRKKKQL >Sspon.08G0000520-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:291465:294330:-1 gene:Sspon.08G0000520-4D transcript:Sspon.08G0000520-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGAVLVAIAAAIGNLLQGWDNATIAGAVIYIKREFHLETQPAVEGLLVATSLIGATIITTFSGPVADIVGRRPMLIASSLLYFAGGLIMLWSPSVLVLLLARLVDGFGVGLAVTLVPVYISETAPPEIRGLLNTLPQFTGSFGMFFSYCMIFYMTLGDHPSWRFMLGVLSVPSLAYLALTVLYLPESPRWLVSKGRMREARAILQMLRGREDVSGEMALLVEGLGSGGDTVIEEYVLGPATGAAAAGDESEHDTRDQVTLYGPEQGLSWVAQQVQGARSSVLGSAVELASRQGSMYEQMKDPVVTLLGSVHDKMPESGVPGASARGSTLFPNLGSMLSVAERPGDWDEENVPPNDDLDDDDEEEYLSDDEDGAGAAAGGGALHAPLLSRQSTDVDTSSSKKDAGSTSQPPGSSPMQRYSSMTGGETASTMGIGGGWQLAWKWTENVGPDGVRRGGVKRMYLHEEGGGGDGGDSSGPPRAGEYVHAAALVSRSMLYTKDVLIGQSPTPAFDNPPETVANRAAAASGTRWRELLEPGVRRALFCGVMIQILQQILDQAGVSVLLASLGLSADSASILISGLTTLLMLPSIGLAMRLMDVSGRRSLLLWTIPVLIASLMVLIVANLVPMATTVHAVLSTASVIVYFCCFVMGFGPIPNILCAEIFPTRVRGLCIAICSLTFWLGDIAVTYSLPAMLKAIGLAGVFGFYAFVCCLALIFVYLKVPETKGFPLEVIIEFFNIGAKSRSEQEPQVQ >Sspon.06G0000790-3D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6D:493040:494524:-1 gene:Sspon.06G0000790-3D transcript:Sspon.06G0000790-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVERDLHMSRGDGEDSYASNSRLQEKSILKTRPVLHKAVAAAHASSLSSGGGAMVVADLGCSSGPNTLLVVSEVLGAVADRREELTTMAGAQPPATHVQFFLNDLPGNDFNLVFQSLELFKKLAAKDKGDALPPYYVAGLPGSFYTRLFPGRCVHLFHSSYCLMWRSKVPDELAGGAVLNEGNMYIWETTPPAVVALYRRQFQEDFSLFLRLRHMELVSGGQMVLAFLGRKNKDVLRGEVSYMWGLLAQALQSLVKEGRVEKEKLDSFNLPFYAPSVDEVRDVIRQSQAFDVTHIQLFESNWDPHDDTEDDDGDLVLDGVQSGVNVAKSIRAVIGPLIAHHFGEHVLDDLFELYAKNVAVHLQKVKTKYPVIVVSLKAISRAPKNQANDKYYSGFTHAQFM >Sspon.01G0029200-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:101413304:101414405:-1 gene:Sspon.01G0029200-1A transcript:Sspon.01G0029200-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVVYRRKSSEVSDKSKSHDMVSIWDFYRKIAFQDILDATECFDDKYCIGIEGYGSIFRAELEGGSIYAIKLLHSVEDFTDEKAFHAEIQVLTKACVSDFGMAQNLKHSCSKLSSTMVFTEKCDVYSFGVLTMEVVIGKHPGDLLLPFFCRTEQCTKLKDILDHRIIVLTSDEEKDIILLMLVASACLQICPKARPTMQQAYQALTNRSCPTVILRPIHEVKLQDLHDFCSTIQTI >Sspon.02G0022270-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:75664912:75666797:-1 gene:Sspon.02G0022270-2C transcript:Sspon.02G0022270-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ASGAVLRSAGSRRLFSYSTLRAAAISGPAALPDAPAAAAAAAVAPAQPPPLAGTLWARSVATFTRTWSHVNVGTIGHVDHGKSTLTAAITKVISTTRNLLADDAMVPISSPLTPPLGDGEETDKKGAVVKRLKVQAIKKDIKQVPKKVNLVAKLVRGMRVEDALLQLQVTVKRAAKTVYQVIHSARANAAHNHGLDPDKLIVGKAFVGKGLYLKRLSYHAKGRCGIMVRPRCRLTVVVREATAEEEAKIARLRVSNYKKLTRKERQLMPHRLIEVSPRWARKRKEEAGATA >Sspon.03G0028300-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:12336004:12343695:1 gene:Sspon.03G0028300-2C transcript:Sspon.03G0028300-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MCIAKNEEAVAIQPNFPECFNNMANAWREKGDIDRAIQCYEHAIQLRPTFADAFSNLANAYIQKGNLIKASTCCQQALALNPRLVDAYCNLGEVLKAQGSYRDVIVLHFNFLLSIMQRAVYLCNKKDKKGEPLQKESPVQQHSHFLRFFSHHKEAIKFKPSFYDAHLNLGNLYKAVGMCQDAIICYQNAALAWPQNAVPYGSLGDAYYEQGQLDLAILSYRHATNCNPSYVEAYNNLGNALKGSGKCDEAICCYQTCLALQPNHPQALTNLGNVYMERSMLDIAASHFMAVLAVTTGLSAPYNNLAMIYKQQGSYDNAVACYNEVLRVDPLAADGLVNRGNTLKEAGRVSEAIQDYLQAAAIRPTMAEAHANLAYAYKDTGLLDSAIVSYKQALQLRPDFPEVTCNLLHTLQVHVYVIGMTEKRSSSRSVFFQMSLLPSVQPFHAMALPIDPTLALEISKKYADHYSSVALRFGLPVFTHPSRTPIKTDDRTSRLRIGYVSSDFGNHPLSHLMGSVFGMHNKDIVEVFCYALSRDDGTEWRQRIKGEAEHFTDVSAMPSDMIAKVINEDKIQILINLNGYTKGARSEIFAMQPAPIQVSYMGFPSTTGASYIDYLITDEFVSPLQYSHIYSEKLVHLPYCYFVNDYKQKNQEALDPVCSHKRADYGLPEDKFIFACFNQLYKMDPDIFDTWCNILKCVPNSVLWLLRFPAAGEMRLRAYAISKGVRSDQIIFTDVAAKTEHIRRSVLADLFLDTIVGWMNSPLCNGHTTGTDVLWAGLPMITLPLQKMATRVAGSLCLATGVGEEMIVNSLKEYEERAVFLAMNPSKLQALTNRLKAVRMTCPLFDTSRWVKFQP >Sspon.04G0014540-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:58335164:58336208:-1 gene:Sspon.04G0014540-3C transcript:Sspon.04G0014540-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Actin-related protein 2/3 complex subunit 3 [Source:Projected from Arabidopsis thaliana (AT1G60430) UniProtKB/Swiss-Prot;Acc:Q1ECJ7] VYHSSFVDDDGIRKACSCPLLPLKTHIKGPAPASDPDKADIVDEAITFFRANVFFKNFHVKSSADKLLIYLTFYINIALKRLEGCRTLAVGTKAIINLGLEKVPVPGEPGFPFPGLFTLPQSQEEAELLRNYLKQIREETSGRLLNCAYRANGFPNKWWLAFAKRKFMNIVIL >Sspon.01G0017360-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:60202735:60206174:1 gene:Sspon.01G0017360-4D transcript:Sspon.01G0017360-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MELTLPFLLLALLASPSLAHANGDGGNTTTYIVFMDPARMPAVHRTPAHWHAAHLESLSIDPARHLLYSYSAAAHGFAAALLPGHLPLLRGSPEVLQVVPDEVFQLHTTRSPEFLGLLTPAYQPAIGNLEAATHDVVIGVLDTGVWPESPSFAGGNLPPPPARWKGVCEAGVDFPASLCGRKLVGARSFSRGLRAANGGAIGVGKRSFRSARDRDGHGTHTASTAAGAVVANASLLGYATGTARGMAPGARVAAYKVCWPEGCLGSDILAGIDAAVADGVGVLSLSLGGGSAPYFRDTVAVGAFGAAAAGVFVSCSAGNSGPSGATVSNSAPWVATVGAGTLDRDFPAYVTLPTGARLAGVSLYAGPSPSPRPAMLPLLYGGGRDSASKLCLSGTLDPAAVRGKIVLCDRGVNARVEKGAVVKAAGGAGMILANTAASGEELVADSHLLPAVAVGRMVGDKIREYAARGGGRPMAMLSFGGTVLGVRPSPVVAAFSSRGPNTVVPEILKPDMIGPGVNILAAWTGVAGPTGLAKDGRRTLFNIISGTSMSCPHISGVAALMKAAHPDWSPAAIKSALMTTAYTVDNTNSSLRDAADGSLANAFAYGAGHVDPQKALSPGLVYDISTNDYAAFLCSLNYSAPHIQVITKTSNVSCPKKFRPGDLNYPSFSVVFNQKSKRVQRFRRELTNVGPATSVYNVKVISPESVAVTVAPAKLTFKKAGQKLRYHVTFASKAGQGHAKPDFGWISWVNDEHVVRSPVAYTWKM >Sspon.07G0021620-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:4183827:4188594:-1 gene:Sspon.07G0021620-2P transcript:Sspon.07G0021620-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKAKGKQRQDKFYHLAKEQGYRSRAAFKLLQLDARFRFLPTARSVLDLCAAPGGWVQVAVNHAPVGAFVVGVDLVPIRPIRGAHSLTEDITTTKCRAAVRRLMDSNGVSAFDVVLHDGSPNVGGAWAQEATSQSALVIDALRLATMFLAPKGAFITKVFRSQDYNAIMYCLKQLFEKVEATKPSASRSTSAEIYIICLKYKAPAKIQPELLDIKHLFSVVPEQTKSRDVMDGRKKRHRDGYEEGNTTLRKVGLASDFIWSDAQAPLEFLGSYNAISFDNPQSLPIKNHELTTDDIKNFCEDLLLLDKNSFKHILKWRIRLRKALSVSLQVTPKVSDAVENTKVTDDDVLLQEMEELTSVIDRKKKREKKRLSKRRAKDKARKATGMQIDATGDDYGDPDLFSISVIKGGKELEAVESAELDVEDEIGDSENEDTQAREDSDEEMDSDKEQQRYDAQLEEMLDEAYERFVTKKGGEIKQERKRAKRINPDADADLLEGGEDDGDVEMDQDFDEDQDQETNPLLLSLDEQRPTKEQIVKQWYSQDVFTEAATSVTEQSDTEDERESLQRNKKMDTGKKEKVAKAQCLQQEDFEIVPAEPVRNEDDSSSSSDESEDDLNGYRKAEVLAYAKKMLRKKQREQILDDAYNKHMFDDEGLPNWFVEDEKRHRQPMKPVTREEVAAMRAQFKEIDARPSKKVAEAKARKKRVVMKKLDKARQKADAIADQNDINERSKRKMIDQIYRKAMPKKPQKEYVVAKKGVQVRAGKGKVLVDPRMKKDKRAGGTGKKGKKGGKGAKGKGGPKGMRGKAGKKAGKAPR >Sspon.03G0011990-1P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3C:49431385:49434041:-1 gene:Sspon.03G0011990-1P transcript:Sspon.03G0011990-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAQSGGQQLNPPSSLLRRRHGALQASPLSPPPPPPPPPAPGPLSLPRHPDLDPEPRPYRRRAGPADAAAERRRRKRRLRVEPPLSRGPAPQRAPGAPRPASNPNAPKIPEPASVLSGKRLDLHRRILTLIRENDLDEAALLTRHSIYSNCRPTVFTCNAVLAALLRQARYADLLSLHRFVTQASVAPTVATYNLLLQAYCDCRRPDVALEHFRLLLKDDSPVLPSPTTYRILARSLAENGKLDQALELKDGMLERGLVAPDTQVYAFIMGGFVNAGDGEKAVSLYEELKEKLGGEPILDGVVYGNLMKGYFLKGMEKEAMDCYAEVLGEGSKVRFGAVSYNMVLDALGRNGRLEDALKLFDRMCMEHDPPRTIAVNHGSFNVMVDAYCRAERFQDAIEVFGKMAKKRCAPDSLSYNNLIDWLGKNELVGEAEGLYKEMGERGVNPDEYTYVLLIESCFKVDRVEDAVAYFNKMLDAGLRPNANAFNKVIGGLVKVDRLSEAQGFFDMMPEKEVKPNIASYELLLKAYIDAARLDDAIKIAKGILLDESVTFSDEMKALLEEALDKEGRDGEMTKLYEDVEREKAEAAARAAEEKARAEALAKEEEERKKAEAKAKEEAAARASRAAIEAVLGRKREAEKQEPVDGLNVEGAEVVESNSDTSDVSGEQSEGDEQKKQEPAEAS >Sspon.07G0032450-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:50134375:50140974:-1 gene:Sspon.07G0032450-1C transcript:Sspon.07G0032450-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLADSGSLRQQSVDPRAGGQCRPWVLYSAGRQVSGGSSQLVNGAAVSDPPLVFHAVSASPPLVLLLLIRRVLICVGTGESMCALILSTCGLLALCSYSRDAPLVFDEMSLKAFRTTCCLRELGTLSPQIEMRRSGLRYPVRNNRTSAQEKTQKQLAGNSSRTKLFLKRNGQPVKKRVKTIQVAKKQWYLIIKALGKEGFEIVLRHVTPKAQTQTG >Sspon.02G0013500-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:10491361:10494067:-1 gene:Sspon.02G0013500-1P transcript:Sspon.02G0013500-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSNWLSRWVSSCSDDAKTAFAAVTVPLLYGSSLAEPKSIPSKSMYPTFDIGDRILAEKVSYIFRDPEISDIVIFRAPPGLQAYGYSSGDVFIKRVVAKGGDYVEVRDGKLFVNGVVQDEDYVLEPHNYELELVLVPEGYVFVLGDNRNNSFDSHNWGPLPVRNIVGRSILRYWPPSKINDTIYEPDATRLAVPS >Sspon.03G0017350-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:54338244:54342824:1 gene:Sspon.03G0017350-1T transcript:Sspon.03G0017350-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLGLDGGGEEGEDGELGAGEEADARLPERPGEADCGYYLRTGACGFGERCRYNHPRDRGGTEFGGGAKNGAAQDFPERQGQPVCEYYLKTGTCKFGSNCKYHHPKQDGSVQSVILNNNGFPLRPGEKECSYYMKTGQCKFGSTCKFHHPEFGGIPVAPGIYPPLQSASVPSPHTYAPNWQMGRSPAVPGSYIPGSYTPMMLSSGMVPLQGWSPYPASVNPVASGGAQQTVQAGPLYGMGHHGSSTAIAYGGAYLPYSSSTGQSSNNHQEHGFPERPGQPECQYFMRTGDCKFGTTCKYNHPRDWSTPKSNYMFSHLCLPLRPGAQPCAYYAQNGYCRYGVACKYDHPMGTLGYSSSALPLSDMPIAPYPIGFSVATLSPSSSSPEYISTKDPSINQVASPVAAPEHVGAILPKGVFPSDTIMRTQTSTSVGSSSPGGGR >Sspon.07G0009300-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7A:26202388:26202584:1 gene:Sspon.07G0009300-1A transcript:Sspon.07G0009300-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RQVEQDVETVIHVLQPGPIGIVEHKFTDAEILEARATVKRAVDNWRRNWTLERNLGSTTFDKWK >Sspon.07G0021080-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7B:126086:128539:1 gene:Sspon.07G0021080-1B transcript:Sspon.07G0021080-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGEKKKDEESRFYDGPGAMNEPTRPTFTSQLLTRSLPPPPQGSSAEAACLSGGLKRRRHRHRRPPPLLEDKLPYAFYVGDEELSVQLGAYMRQKNANVEVTLRIVCQPQALFRIRPVNRCSATIAGSAVSDGKPPPDSTASPFFPNALLHCIDYNFTDNFTDMAASGSASSSVAPPTGLSRRRHHRCRAVKTTTVARAQKAALPPCACTRTLAKGADRGATRRCRVRTHARAGEWRPGGATSFLRAAMGGYCSALCWSSGAQSKWRKGGERKKGEGRWEPDLLRLFFPTTARTGHHDRRRCGRRLAREVRKRTECV >Sspon.02G0020220-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:66215538:66216872:1 gene:Sspon.02G0020220-1A transcript:Sspon.02G0020220-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDDGSLGIRNWGFYDTVKGNLGLQLMSSVPPDRDTKSLLPNGAFLQHHGHHNAPHQLHMQHLLHSRGPAGGRASGGMPTESQPIHMDFSRNEAWLQQSHHLQRPREQKVLHSRPVGLAGHVGHPGYGGHPAHGGHIVHHHPTGYEMISDAQHTLQMIQPQLETQFQEPPPCKEEEAPPPLVEDHPAVSTGPPVKKRQRGRQQNRQPKSPKPKKPKKAAVPLDDGVPNGHVPRRRGPKKTVGMVINGIELDLANIPTPVCSCTGAPQQCYRWGAGGWQSACCTTSISTYPLPMSTKRRGARISGRKMSQGAFKKVLEKLAGEGYNLANPIDLKTFWAKHAGADPVWVLGEVEPATPARKTEPPTILCMDEEEKKKMEEDEEKEKCPPPPQIGDPGSATGCRTVVRPDLLLVCLCANLQNL >Sspon.03G0022570-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:68709673:68712521:1 gene:Sspon.03G0022570-1A transcript:Sspon.03G0022570-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGFKVTRISEGPVKPASATPEETLPLAWVDRYPTHRGLVESMHIFRSGADAAPAVIRTALGKALAFFYPLAGRIVEGEQAGCPAIRCTADGVYFAEAEADCSLEDVRFLERPLLLPKEDLVPYPGDDRWPVESHNTIMMMQITKFTCGGFVMGLRFNHASADGMGAAQFINAVGDMARGLTEPKVLPVWHREKFPNPNIKPGPLPELPVLALDYIVLDFPTPYIDDLKRQYKAHSGKFCSGFDVLTAKLWQCRTRALALDPATEVKLCFFASVRHLLKLDRGYYGNSIFPVKMSAPAEKVLASSIMEVVDMIREAKDRMAVEFFRFAKEETDQDPFQMTFNYESIYVSDWSKLGFSEVDYGFGPPMFAGPLVNNDFIASVVILKAPLPLDGTRMLASCVTKEHSEEFARGMKEDLP >Sspon.03G0017730-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:42509616:42512796:1 gene:Sspon.03G0017730-4D transcript:Sspon.03G0017730-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPRSSVLLGAVAVALVLAAAPSALAGDPDYLQDLCVADLNSEVKVNGFPCKANAAADDFFSSILAKPGATNTTSGSVVTGANVEKVPGLNTLGVSLSRIDYAPGGLNPPHTHPRATELVFVLYGTLDVGFVTTANKLVSKTIAQGDVFAFPRGLVHFQRNTGAEPAAVISAFNSQLPGTQSIAMTLFGASPELPDEVLAKAFQIPDEEVDKIKAKFAPKKG >Sspon.08G0007950-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:27046456:27051488:1 gene:Sspon.08G0007950-3C transcript:Sspon.08G0007950-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LLPQVLVPIANGTEPMEAVITIDVLRRAGADVAVASVEPGAATVAASWGVKLAADALLADLAEDEFDLISLPGGMPGSSTFRDCKVLENMVKKHVEKGKLYAAICAAPAMALGTWGLLNGLKATCYPSFMDKLPSEVHAVESRVQIDGKCVTSRGPGTAMEYSVILVEQLYGKEKAKEVAGPMVMRPQHGVEFSIKELNATSWNVGETPNILVPIANGTEEMEATMIIDILRRAKANVVVASLEDKLEIVASRKVKMIADVLLDDALKEQYDLILLPGGLGGAEAYAKSDKLMDLIKKQAEANRLYGAICASPAIALEPHGLLKGKKGTSYPAMWNKLADQSECNNRVVVDGNLITSQGPGTSMEFSLAIVEKLFGRERALQLAKTM >Sspon.03G0004150-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:10504323:10505488:-1 gene:Sspon.03G0004150-1A transcript:Sspon.03G0004150-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PPD6 [Source:Projected from Arabidopsis thaliana (AT3G56650) UniProtKB/TrEMBL;Acc:A0A384KSV2] MASSVFSPLFTSLRLPVPTIIPRCTSPPQGAPSTVHDAVHRPAPLVAVTSHRRELVLGAALSAVLSRAPLPPAQAREVEVGTYLPPAPSNPGFVFFRATPKDTPALRAGNVEPYEFILPPTWKQTRVANILSGNYCQPKCAEPWVEVKFEDEKQGKVQVVASPLIRLTNKPNATIQDIGSPERLIASLGPFVTGNTFDADELVDTNVENVDGQTYYSYALETPLALTGSHNLAKATAKGNTVVLFVASANDKQWPASQKVLKAIVDSFQV >Sspon.08G0011860-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:51020299:51021304:-1 gene:Sspon.08G0011860-1A transcript:Sspon.08G0011860-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKYMRKRSVGRTPAVELGVRTRARSAAVASTAATAAAAAPAPKRPRKQATARAEAEAEAAVRGNGGGAAPAAGCCYLQLRSRRLFVPTGDVQCPVPPSPAAAVQRPGASGELVEVVAGISRCSSTASSVDVVLEVAPARETSGGEADEPREGCDVESVVVSDSAGCRRERRETTPSSRPPADLSDGERSPATDDDPKRHRLTALATTATTTAVACRRARMPPAGEIEEFFAAAEKAQAERFAAK >Sspon.01G0038450-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:29985635:29990336:-1 gene:Sspon.01G0038450-1B transcript:Sspon.01G0038450-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:30S ribosomal protein S1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G30510) UniProtKB/Swiss-Prot;Acc:Q93VC7] MAEGRKSGGLLSMAEGKKKDGDASLAAVLTAARVDEETDYSPVIAIFSHVAGLPCPPLSGRCAFAMRRRGGLRRRWCAVCGTYALIKDERERMRQLFDDASERCRTAPMDGVAFSPDNLDTAVESTDIDTEIGSLIKGTVFMTTSNGAYIDIQSKSTAFLTLDEACLLDIDNVEETGIHPGLVEQFMIIDENPGDETLILSLQAIQQELAWERCRQLQAEDVVVTGKVIGGNKGGVVALVEGLKGFVPFSQVSSKTTAEELLDKELPLKYVEVDEEQGRLVLSNRKAMADSQAQLGIGSVVLGTVESLKPYGAFVDIGGINGLLHVSQISHDRVADISTVLQPGDTLKVMILSHDRERGRVSLSTKKLEPTPGDMIRNPKLVFEKARINKNNIFSCAEYVLGNKLTYCCFCSNQADEMAQIFRQRIAQAEAMARADMLRFQPESGLTLSSEGILGSLSSDAPSEDSEPTDE >Sspon.05G0014120-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:5A:51263082:51265559:-1 gene:Sspon.05G0014120-1A transcript:Sspon.05G0014120-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALLLLSLLLLLSPTPLQAQQNITQGSSISLTPQGPSTSWLSPSGDFAFGFRPIEGNTSFYLLAIWFNKISDKTVAWYAKTTGPDPAPVQVSSGSRLQLNSNGALSLQDSTGTEVWNSQVVGASYAAMLNSGNFVLAASDGSTAWETFSSPADTILPTQVLTPGKALRSRIIANDYSNGRFLLNLQTDGASLFPVALPSGHPYDTYWSIAGNITKLVFNDTGRIYIAVDNGTQLQIMTSGVIGSMADYYHRATLDPDGAFRQYVYPKQGNNLQNQAWSVVGMEPPNICNVQTKIGSGTCGFNSYCKLDDTTNQTTCVCPAQHSFIDENRKYKGCKPDFQPQSCDLDETGSMTQFQLTSMDNVDWPQADYEMYTPITESLCGQLCLTDCFCAVAVYHVTDNTCWKKKVPLSNGYMGSDVQRTVLIKVPKNNSTHSELVDYSNRWKKDKKNWILGSSLFLGSSVLVNILLLSVILFSTYCTITIKEVPSLPSSSNVGLPLQAFSYAELEKATGGFQEVLGTGASGIVYKGQLKDDFGTNIAVKKIDKLEHETEKEFTVEVQTIGRTNHKNLVRLLGFCNEGKERLLVYEFMTNGPLNRFLFGDVRPQWNLRAQFALGVARGLLYLHEECSTQIIHCDIKPQNILLDDNFTAKISDFGLAKLLRTNQTQTNTGIRGTRGYVAPEWFKSIGITAKVDVYSFGVILLEIICCRQNVELEAAEEDRKILTDWANDCYRCGRVDLLVEGDDEAIFDLKKVERFVTVALWCLQEDPTMRPGMLKVTQMLDEAAAVPSPPDASSFVTSLPSEGGGNVCGSVTVVSPGDLQCWK >Sspon.07G0020640-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:76963012:76968628:1 gene:Sspon.07G0020640-1A transcript:Sspon.07G0020640-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVETSADHPPRLAIAAELARPISMMMMDSVHLMDIEGKLMLVDRQSNGSRQRRKFAVYCIDLDAKKMVPVHGLGGHAVFLGRQRSVSASPLVFSSISADTIYLGFDSLLTGAMDYSPIHLMDGTSEPRNVAKDIDGMPIYRPQRVDEYLSCESGPNQIKDHGRGPTGTDDFGGAKRKLDQPPVITIRGDVRDKRISSTRKEQRTALSSKASPAPFLDDLDLPLFTAMAYLHILIVLLLFSLQVPGNSATATRDTISAGQALSIHDKLVSQNGRYALGFFEAGSSQNTTNWYLGIWFNTIPKFTVGWVANRDDPMKNHTSLELKISHDGNLVVTNQPTDSIVWSTQASMKRNNTIAVLLNNSNLILRDASNSSKILWQSFDHPTDTLFQWAKLGWDNVTGLNRRLVSKKNSISPATGFYYEELDPSGVNQIVLASLKSSKPYWSSGVWNGKRFNSSPEVSRNVGYLSFVETTHEKYHTYHVSDEMNIYYNLGVSGQINVFIWPEGSQDWVLAHAEPGSQCDVYAACGPYTICSDDALPHCTCLKGFTVTSIEDWELDDRSNGCSRNTALDCNFSNESSVRSMDKFLSIPCVSLAQSERKTEDAKSSGECAQVCLGNCSCTAYSFSNNTCFIWHEELLNVRQIQCGTTADSNGETLNLRLAAKDMQSLEKNKRVSTIGVAIGTSAAVLCLFAFIMLIMIWRNKRKSSNRISQTAQGCNGIITFRYIDLQRATKNFSEKLGQGGFGSVFKGFLSDSTAIAVKRLDCAHHGEKQFRAEVKSIGIIQHINLVNLLGFCCEGARRLLVYEHMPNGSLDIHLFRSHATLLKWSTRYQIALGVARGLAYLHEGCYFGMAKFLGRDFSRVLTTFRGTIGYLAPEWITGVAITPKVDVYAY >Sspon.01G0006260-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:16561586:16565312:-1 gene:Sspon.01G0006260-2P transcript:Sspon.01G0006260-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAKSVTPGAVSHILAHPSTGSDGAVPDLVVQVLDLKSIGTGSRFSFMATDGKDKIKAMLPTNFASEVHSGNLKNLGLIRILDYTCNLVKGNNDKVLIVVKCELVCQALNAEINGEAKKEEPPIVLKPKDEGVVVAEQANAPPLVMKPKQEVKSASQIVNEQRGNAAPAARLSMTRRVHPLITLNPYQGNWVIKVRVTSKGNLRTYRNARGEGCVFNVELTDEDGTQIQATMFNEAAKKFYPIFELGKVYYVSKGSLRIANKQFKTVQNDYEMSLNENAIIEEAEGETFIPQVQYNFVKIDQLGSYVGGRELVDIVGVVQSVSPTLSVRRKIDNETIPKRDIVVADDSGKTVNISLWNDLATMTGQELLDMVDSSPVVAIKSLKVSDFQGVSLSTIGKSTLVINPGLPEAQNLKSWYDSEGKDTSLAPVGAEMGATRAGGLKSMYSDRVFLSHITSDPAMGQERPVFFSLNAIISHIKPDQNMWYRACKTCNKKVTEAFGSGYWCEGCQKNDSECSLRYIMVLKVSDPTGEAWVSVFNEHAEKIIGCSADELDRIRKEEGEDSYVLKLKEATWVPHLFRVSVTQHEYMNEKRQRITVRSEAPVDYAAESKYLLEEIAKLTAC >Sspon.05G0023260-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5D:11680158:11681155:1 gene:Sspon.05G0023260-2D transcript:Sspon.05G0023260-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding CCVSLSWMVMQGEHTGVLSWFGQKKALRPAG >Sspon.05G0001320-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:4386842:4389825:1 gene:Sspon.05G0001320-1P transcript:Sspon.05G0001320-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEAEQGFRPLDEASLVAYIKATPALASRLGGGGSLDSIEIKEVGDGNLNFVYIVQSEAGAIVVKQALPYVRCVGDSWPMTRERAYFEASTLREHGRLCPEHTPEVYHFDRAMSLMGMRYIEPPHIILRKGLIAGVEYPLLADHVSDYMAKTLFFTSLLYNNTTDHKNGVGKYSENVEMCRLTEQVVFSDPYRVSQYNRWTSPYLDEDAGAVRQDDELKLEVAELKSMFIERAQALIHGDLHTGSIMVTTGSTQVIDPEFGFYGPMGFDIGAFLGNLILAYYAQNGHANQANDRKAYKKWILKTIEESWNLFQKKFVELWNKHKEGNGEAYLPDIYNNSKLVSVAQKKYMTNLFHDSLGFGSAKMIRRIVGIAHVEDLESIKDASKRAECERAALNCAKAILKGRRQFETIEQVIEHIQSFDQD >Sspon.06G0019310-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:1398171:1401560:1 gene:Sspon.06G0019310-1B transcript:Sspon.06G0019310-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRLRATAAARLVALLVCLSPALLAPCRAVNEQGQALLRWKGPARGALDSSWRAADATPCRWQGVGCDARGNVVSLTIKSVDLGGTLPAGPELRPLRPSLKTLVLSGTNLTGAIPKELGDLAELTTLDLSKNQLSGAIPPELCRLTKLQSLALNTNSLRGAIPGDVGNLTSLTSLTLYDNELSGAIPASIGNLKKLQVLRAGGNQALKGPLPPEIGGCTDLTMLGLAETGLSGSLPETIGQLKKIQTIAIYTAMLTGSIPESIGNCTELTSLYLYQNSLSGPIPPQLGQLRKLQTVLLWQNELVGTIPPEIANCKDLVLIDLSLNSLTGPIPSSFGTLPNLQQLQLSTNKLTGAIPPELSNCTSLTDIEVDNNELSGEIGIDFPRLRNLTLFYAWQNRLTGPVPAGLAQCEGLQSLDLSYNNLTGAVPRELFALQNLTKLLLLDNDLSGFIPPEIGNCTNLYRLRLNNNRLSGAIPAEIGKLKNLNFLDLGSNRLVGPLPAALSGCDNLEFMDLHSNALSGTLPDELPRSLQFVDISDNKLTGLLGPGIGLLPELTKLNLGKNRISGGIPPELGSCEKLQLLDLGDNALSGGIPPELGKLPSLEISLNLSCNRLSGEIPAQFGELDKLGSLDISYNQLSGSLAPLARLENLVMLNISYNTFSGELPDTPFFQKLPLSDIAGNHLLVVGAGGDEAYRHAAVSALKLAMTILVVVSALLLLTATYVLARSRRRNGAIHGHGADETWEVTLYQKLDFSVDEVVRALTSANVIGTGSSGVVYRVALPNGDSLAVKKMWSSDEAGAFRNEISALGSIRHRNIVRLLGWGANRSTKLLFYTYLPNGSLSGFLHRGGVKGAADWGARYDVALGVAHAVAYLHHDCLPAILHGDIKAMNVLLGPRNEPYLADFGLARVLSGAVASGSAKLDSSKAPRIAGSYGYIAPEYASMQRITEKSDVYSFGVVVLEILTGRHPLDPTLPGGTHLVQWVREHVRAKRATVELLDPRLRGKPEAQVQEMLQVFSVAMLCIAHRAEDRPAMNDVVALLKEIRRPAERSEEGKKQPACNAAAAAAAASPAPLDGQAQRSPPRSPLPKGGSSSCSFA >Sspon.05G0008480-1T-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5B:19762252:19763734:-1 gene:Sspon.05G0008480-1T transcript:Sspon.05G0008480-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYLGSIENLTNELPNARTISRRKQGRFNFVGFLRHTGLRPHASSFTRTHPLLPHHSSSSSRPLPPQPLPPPASGSLAAGCTAHLPAPALDPALLLVFVLATAAAHRPLRPPYSPPPIPSAAAMAMGAPALTWPSHLLRHWNPNAALLSSPPPGRHASPKPLLSPPVLRKKRRREKVWGRAIAIQRGLLLRRRRCLTAIPPSAGVPPPVATRRCPFASILVGDIAPSSAAISLCPLLRLRTPYTTRTHTAQRHTGTKNQQQNRAKSNPKAVRAQDDPR >Sspon.01G0034220-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:1604844:1608002:1 gene:Sspon.01G0034220-1B transcript:Sspon.01G0034220-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding IVYADASPLVTSVLDGYNVCIFAYGQTGTGKTFTMEGTESNRGVNYRTLEELFNIAEERKESVTYDLSVSVLEVYNEQIRDLLATSPSKKLEIKPNSEGQNHVPGLVEAKIENINEVWKVLQTGSNARAVGSNNVNEHSSRSHCMLCIMVRAKNLLTGECTSSKLWLVDLAGSERLAKTDVQGERLKEAQNINRSLSALGDVISALATKSSHIPYRNSKLTHLLQDSLGGDSKALMFVQISPSDNDVSETLSSLNFASRVRRIELGPAKKQVDTVELQKTKQMLERAKQELRLKDDSLRKLEENLQNLEPKPKGKSNFAKTCKKRVIFEELVLMVFLGYCFSLVTDSLFEKYVKELEGQLDSKAHSQITSEKQQRQLSGKLKEKEEMCTALQQKIVDLECKLRQPHQSESEIKELEVKLKEQEHDRSVVELSVSSTKMLSLNLIKELELRLKEQEHQRSVAELKIKELELKLKEQEHQRSVAELKTKVDDLLMPPKMQAREIGHELLETQRTESMLQIKLRHLESKTKEQDTNMMTVESTVVATPVNAKVPQPPFPKDEAMSEKENRILRSSDALNKQQPDAENPSLPPEAPEAGNEKKRKGGTRNVSIGGEQENNGVVPGGQNAGRKRSLPAEREARLKRKSTESPQVKNLVRSTASSRAAAAATHKAAPSSRVTKQQPGGNKTRGWVR >Sspon.07G0024960-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:34377644:34380803:1 gene:Sspon.07G0024960-1B transcript:Sspon.07G0024960-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSRNPDALAAPALTPAPAAVALEAQAQNPSIAPGDPPSPEMETTAEALTREEVLRRRRRRAARLAGVYCRLYWAMAEEVRAQHRQYVWDLARSPLEAEQPPAPPGGPIPAAGEPPRPAPVPRRKKCGFTGCKVRAMATTRFCHSHILSDPKQLLYKPCAYITKSGLQGGLVTCGRPIIKSAAPSLCNIHLQRCQKSIAQAYRRVGFNPPLTGKVTPKFSVLVAECVRQIQDKRRKSLKPTAR >Sspon.05G0000170-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:764865:769557:1 gene:Sspon.05G0000170-2D transcript:Sspon.05G0000170-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSNQHLKAVELYTCAIALNKKNAIYYCNRAAAYTLLNMNNEAIEDCLKSIEIDPSYSKAYSRLGSAYFAMGNYHDALYKGYLKAAELDPSNENVRQNIENTHARQAQGPHPIFTSGGVPFNLFPPGSSPGQEFFAGWSSDISAPPPGHSISINLNDIFGQANVNASGQEPSQTGNSNSHTPPASFPTGAAVPPPFAFSGSGNEGTQARQASNGHEGEHGEPGVRRDDGIHINVAGPEQAAEALRAVMQMLGPQRDPHEGAPR >Sspon.02G0039260-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:53537787:53550653:-1 gene:Sspon.02G0039260-2C transcript:Sspon.02G0039260-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSMDAQTCITYWISQSQGNLHRHRSINLLRPAAAAISVEQDEVNTYLPKGDMWSVHKFGGTCMGTPQRIQSVADIVLGDSSERKLIIVSAMSKVTDMMYNLVQKAQSRDDSYTIALEEVFEKHMAAAKDLLDGEDLARFLSQLHSDVSNLRAMLRAIYIELWSAQMLSYAIKKSGAPCSWMDTREVLVVKPSGSNQVDPDYLESEKRLQKWFSRQPAEIIVATGFIASTAENIPTTLKRDGSDFSAAIIGSLVRARQVTIWTDVDGSYFGANVLHPRTIIPVMKDNIPIVIKNMFNLSAPGTVICKQPANENGDLDACVKSFATIDNLALVNVEGTGMAGVPGTASAIFSTVKDVGANVIMISQASSEHSVCFAVPEKEVAAVSAALHVRFREALAAGRLSKVEVINGCSILAAVGLRMASTPGVSAILFDALAKANINVRAIAQGCSEYNITVVLKQEDCVRALRAAHSRFFLSKTTLAVGIIGPGLIGGTLLNQLKDQAAVLKENMNIDVRVIGITGSSTMLLNDTGIDLTQWKQLLQKEAEPADIDNFVHHLSGNHVFPNKVLVDCTADTSVASHYYDWLKKGIHVITPNKKANSGPLDRYLKLRTLQRASYTHYFYEATVGAGLPIISTLRGLLETGDKILRIEGIFSGTLSYIFNNFEGTKTFSDVVAEAKEAGYTEPDPRDDLSGTDVARKVTILARESGLRLELSDIPVKSLVPETLASCSSADEFMQKLPSFDEDWARQRSDAEAADEVLRYVGVVDTVNKRGQVELRRYKRDHPFAQLSGSDNIIAFTTSRYKEQPLIVRGPGAGAEVTAGGVFCDILRLASYLGAPS >Sspon.02G0058210-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2D:71441856:71445492:1 gene:Sspon.02G0058210-1D transcript:Sspon.02G0058210-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GTVERDRYGYVELVDMQSVPVLFNEKPSFSELTVRAREEVHYRRDDGIIVEGVLHLGYPPNMLRKMIPIGCADQWDNYMRSAMKSQFQSLDVVVQRVLVDPIPLGLSPPMGEQAYFEPPVPERDVDAEVPPTVPDAQSAPNDVEHPPQEIPLTQNHPRDVPDNEGAPAADAQVQIGDGIRASNSVEIMNDSEPYEIVRAVDSDDDRPVGELTESDVEMLRRIFPGRRDPMVHEFSDLTHSDQAFAEGRDDELQEAPEAGPSMEIEKGRVFNDLPALKRWLQAFAVLRKRPYKVLHSYMERRYTVVYDKKRCPWRVCARKEKVSGKWKITRVVGPHTCSSHDLSTKHRQLTSTLIAKRLMKVLQGEPNMKVRTIIKIVNEEDGYEQLPVLLNAIQAVNPGMHYEYIPRPDAWKDGRQIFFRAFWCFPQCVEAFRHCRPVFSIDGTFLIGKEVGVISDRHQGILNDVREEIEGYAPLHHRWCTRHLAENLLRKDGVKDNFDLFQEAARQLEDRYFRRKLEEVRTASNAEGRQWLTGLMRDVEKWTRAHDAGDWRYEFQCSNMAESFNKLLLGIRGMPVNAIVQFTFYKLVAWFNDRHAHALQLQSEGKIWAPTPQAHLEKAKERAGTHEVTCFDHATGRYEVKHTGGTTSDGEVRESRIHVIHGAKPWRQDPWRQAMPRRLPRRPRRRSLGARKYGAMPLYLGAIDNGAKLRPPPPPPATARWRARLRPARRHRSRTRAYGQPASSVAGRPTCDERRSTAGFDSPLLSSPVLPLPAPTLHVLPQHPR >Sspon.05G0039680-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:74714014:74714569:-1 gene:Sspon.05G0039680-1D transcript:Sspon.05G0039680-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLFSPPAKVYKPAAEVDLGPDSGEHYISPNVKAPRVAGFLVKMLAWVLETPVLGWIVLSVLKRDNLIYKLVSDAEIPEPPLFTATHTWQGGYLPH >Sspon.05G0025640-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:22254257:22259838:1 gene:Sspon.05G0025640-2C transcript:Sspon.05G0025640-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQPAATVAAEAQPQGRGPAGRQGGGLGQSIAGIVRMAVFWYFAAKFFGPKRPPADPGMLMSNLFQKGEPMAFPRSVVIFELLKTKCMVAMLLQDMWMYLSENEKFNDFANEDALIWHEANIPYAVWGPTSTRTRSLTYYPSEALKHNGSLYAHVYFARSGYPVDPTDPEYEQKSAFGRTHPVVAFLPKSKAGKKKSLLGDSEEPEEQAPPKESKEFEDKDEGPTEYISYWKPNVTINLVEDFTRLTDLNVDPATGDYYPTVFFNEFWLLRDKLIALNETVEELPLNLEVGPISMTKWQLFLQIEQSFQVHRSYGSMLEGEADELKRVFLEGNPYLLGLTMVVSLLHSLFDFLAFKNDIQFWNKNKSMEGLSAKSVVLNFVCQLVVFLYLLDNDTSWMILASSGIGVCIEFWKIGKAMHIEVDRSGKIPMLRFRDRESYAQNKTKEYDAIAMKYLTYVLFLLVIGFSIYSLKYEKHKSWYSWILSSMTSCVYMFGFIMMCPQLFINYKLKSVAHMPWRQMTYKFLNTIIDDLFAFVIKMPILHRLSVFRDDVIFLIYLYQRWKYPVDKKRVNEFGFGGEDEPAAQEALEGSDSAAAAAQPTEDEAETSMEDKKTK >Sspon.06G0008980-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:40988792:40995140:-1 gene:Sspon.06G0008980-2C transcript:Sspon.06G0008980-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSFDSVIPLQSEPVLENNPSKGANAKDQILSGTENVSAGNARGASSLKSPKGPPEKASSVGKAGEQPFLYQQNVYAPQPQPLYPGGYMNPSGQWEEYPHYVNMEGLHSVSPGIYNDNQSLMLSPGYASNPQMMYGAYSPVSTVGDGQQYFPVHYPFSNPYYQPPASPSMGYSSSATGISQGDPMLQQEYFLPDGLLYSPTPGYHHPFNSFDRAPTQPNNAPGLFGQGNLPLASGMHHGSMYGPGSYKGRQQGSKFGGTTPSWSSAGRRFGTFDLSGNQQRGSMPFGSHNGSLEFMNEQNRGPRATKPKIQDTENSSGDEKNEKTVPLIDSELYNRSDFITEYKDAKFFVIKSYTEDHVHRSIKYNVWASTASGNRKLDSAYRAAKEKEVHCPIFLFFSVNGSGQFCGVAEMIGPVDFDRSVDYWQQDKWSGQFPVKWHIIKDVPNNLLRHIILENNDNKPVKLEQGLQMLTIFKSHEAETTILEDFDFYEQREKALQENRRQQQPASTDPQKLVDTKAQDAFAKAVQLKDTENSGTTPKADGTSAENGSAATAKVEGSANLSTGPVEESIQRHRLGNATAEPSLTDSGQNLHLHLFQHPHNLSEWGTDFRVCIPAPGHDLPKGGRQSWGMAGRTPLFTTANAACTAVISPSLPRSSNANEMMHRPFEHEQADSAARPHYLGLRKQSYPGQGHGSPTIDEYATRANR >Sspon.04G0014110-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4B:54759689:54760063:1 gene:Sspon.04G0014110-2B transcript:Sspon.04G0014110-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSKNVTGGGGGGVKVTYIETQFVTSDAAGFKDLVQRLTGRSAPLPPAPHSRPLPCRHDDGRRGWSRAAAGAGPQGAYQYPVAAEVRPAVSSRTPPYLEGMGLDDVIGMGDFSDLFYVGASERSD >Sspon.07G0029110-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7B:74268373:74269716:1 gene:Sspon.07G0029110-1B transcript:Sspon.07G0029110-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGNNPPSGSLMQGTPYGNLDLHHHSHMQMSAPSSGNQAFNHSQMPSNFPICLNQVTDSDQLPEFQFGEHGKVSHHHHHHHHQQHAKNSLSDDEEHGVHEDATDSQTGKGKKGSAWHRMKWTDSMVRLLITAASYTGEDPGADSGGGRRSCAMMQKKGKWKAISKVMGERGCLVSPQQCEDKFNDLNKRYKRLTDILGRGTTCRVVANPELLDGMTNLSDKMKDDARKILSSKHLFYEEMCSYHNNNRISLPEDPALQRSLQLALKCKDEHDARKRASGDADEDDQSADTDYEEENDDEHPMVHVNKGTLPMHKRMRYMAADMEDAGFGNSSSSQDCSRRSDPHSITVDINKAFPDGTNLALVHKDLATQSAEIEKQRMEIEVEALELAKQRLKWEIFSKKKDRELEKMRLENEQMKIENRRLELEVRDKELELERKLQGHGSHAMT >Sspon.01G0019090-3D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1D:68291206:68292659:1 gene:Sspon.01G0019090-3D transcript:Sspon.01G0019090-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding CLPMLFINPAPAALRMK >Sspon.02G0002320-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:9158445:9162013:1 gene:Sspon.02G0002320-1A transcript:Sspon.02G0002320-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSIGTGYDLSVTTFSPDGRVFQVEYATKAVDNSGTVVGIKCKDGIVLGVEKLVTSKMMLEGSNRRIHSVHRHSGLAVAGLAADGRQIVSRTKSEAASYEKVYGEAISVKELADRVASYVHLCTLYWWLRPFGCGVILGGYDRDGPQLYMIEPSGVSYKYFGAALGKGRQAAKTEIEKLKLSELTCREGIVEVAKIIYGVHDEAKDKAFELELSWICDESNRQHQKVPNELLEQAKAAAQAALEEMDAD >Sspon.06G0010290-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:44520207:44524022:-1 gene:Sspon.06G0010290-2P transcript:Sspon.06G0010290-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGKVTLGAVRKAQRAEGSAAVLAIGTATPANCVYQADYPDYYFRVTKSEHLTDLKEKFKRICHKSMITKRYMHLTEGFLQENPNMSSYSAPSLDARQDILIEEVPKLGAAAAEKALKEWGQPRSQITHLVFCTTSGVDMPGADYQLIKLLGLSLSVNRAMMYHQGCFAGGMVLRLAKDLAENNRGARVLIVCSEITAVTFRGPSESHLDSLVGQALFGDGAAAVIVGADPSAAEWPLFQLVSASQTILPDSEGAIEGHLREVGLTFHLQDRVPQLISTNIERLLEDAFTPLGISDWNSIFWVAHPGGPAILNMVEAKAGLDKARLCATRHILAEYGNMSSACVLFILDEMRNKSAEDGHTTTGEGMEWGVLFGFGPGLTVETIVLQSVPIITV >Sspon.03G0046210-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3D:33625726:33625902:-1 gene:Sspon.03G0046210-1D transcript:Sspon.03G0046210-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHYTGSHEETPVVELEDPEVGIAQEVLGDEEQDVKLPDCSDHQPSSFEKGKPRSISLPT >Sspon.01G0026760-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:112828026:112831552:1 gene:Sspon.01G0026760-4D transcript:Sspon.01G0026760-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMVSQAPMAGAGAGATLTAALCKRSNRVARVLAYALLEWILIALLLANGVFSYLISRFAAFFGLAPPCALCCRLGVDSLFETHRRGGAEPLRRLLCDVHTAELSRLGYCSAHRRLADAGDMCEDCAAAAAPGKAMLSWMGRSELGERDLACACCGIALESGFYSPPFLLTASAPWLRLCPQGRGRARPDGDVVFVSEGGPVIELFDEKPFVEDDSIGVLAYGAEVVANVERLVPLESIDSLVAAMGTVPSQSGDKGEEAVDHGDVRQNNVDMENTVGTNEEKIVMTSDDDKVDDVVDRLIDEQIAAVVFVPACIEATIDDGINAGKTVEAFADHQPPEDDSGFKDKDQKISFEDEISEYEQAEQVTPQQELCTMPTDPSDNEFVERLDRSIELEHFPQAESNHTMNSMPVEASEHVVVTQIEEKQVQQAEVNQELDSIPMRSREHTDEELEGERTEQAGLEQECDTVPIDSGEHGCLTSYAHTDDEQAEVKQKVTSVTADVLHYGADTFNVNTNTWKEDIEEDPTEAALTAIHQISYEPLTSLDKFSPDHSVSEEDREPDTPTHIEGICDSQELLDSKAAVSDAKSVDSSVATLSTDLESTELVSVDQLKSALASTRKSLKTLYSELENERNAAAIAADETMAMINRLQEQKAAMQMEAIQYQRLMEEQSEYDQEALQRLNELVVKREKEKQDLERELELYRHKLHLYEVKVRKMSRHKADDQNGSSSTSSSAEDSDDLSQSFYEGDESSHGLNGSNGSIPTDVVLQETARHLVTLDGSLADFEEERLSILEQLKVLEDKLFDLDDEESDNMKHFSEENHLSGASNGFSDDDSCFKLHDKRKGVTYRGKKHLPLFDDATVEAGNIPHGDEAHHSTEVTLDLAREQHKLAIANEIDQVHERLHALEADREYIKQCVRTLKKGGKGFDLLQEILQHLRDLRRIEQRARNSGELSPHYLHLYTD >Sspon.02G0029270-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:113673759:113675843:1 gene:Sspon.02G0029270-2C transcript:Sspon.02G0029270-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSKKPKPPILLLSLFLLASLAVAASQEFTYKGFSSSGAGKNPSLNLNGTSATEVLPSGVLRLTNETSKLLGHAFYPAPLRFLDRPNGTAVSFSTQFAFTIVPEFPKLGGHGFAFVVAPDPRMPGALPSQYLGLLSAADVGNATNHLFAVEFDTVQDFEFDDVNGNHVGVNLNSLISNASAKADPLNLKAGDTTAWIDYDGAAGVLNVSIANGTFGKPPAPLISFRVDLSAVFREQMYVGFSASTGVLASSHYVRGWSFRLGGGAAPALDLASLPSLPPIKNGKNRTSLILAVAFSVFVAIVVLAGAGAYGAYRYKNRDIIEPWELDYGPHRFKYAELRRATRGFREREVLGSGGFGKLTRTGKATCAADVFAFGALVLEVVAGRRPIEPHAEPEGLVLAEWAWERYAAGEVEKVVDARLAGAFDFAEAAAAVKVALWCSHPVPASRPTMREVARYLDGGEAGEVPEPPPPPPMPPAYSGEVGFDDFVHSYPSSSSFERAAAAGGGWDGGTQTSVATFPFSPLSMRSSHVSM >Sspon.04G0011420-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:34151506:34157694:-1 gene:Sspon.04G0011420-3C transcript:Sspon.04G0011420-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEWAMWSRGKRDKAQAGNGRWLGGDGEASVAGGAPQREVQVGNGSCRVEVTAVHSSIEGSGIGGEWEVITKRDRLLTLKLGVSEHNLPNPNKFGELTVHAEESSGSKAIMEMIFRCSDLEIKDLLTKSVLKSQLYVEKYVESNRHTFLDYISVGCQLNFMVGVDFTASNGNPRLPDSLHYIDPSGRPNVYQKVILEVGDVLQYYDPVKRFPAWGFGARPIDGPCSHCFNLNGSTYQPEDGVVTDFQETIDAIIKASDFPISIIIVGVGGADFKEMEFLDPNKGEKLESSTGRVASRDMIQFAPMKDMHGTGISTLQSLLAEIPGQFMTYMRTRETQLRWRLEEAAVV >Sspon.04G0010510-4D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4D:32380325:32385770:-1 gene:Sspon.04G0010510-4D transcript:Sspon.04G0010510-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MENMDASAGKGKNLIPDLNMEDRDSAGGSKDKPRSEAEVEVAAADADADKGKSVAVADDGKPNVNITLERERRKRMKDLFRALQDVMPHVPQKTDKVTLVGEAITYIKALQEKAAMVGKQALAWLSSLSLTAMPQTAQGISALCASDVPRGWAGVPVPPTAPAMPASPLRCTTWTGPNVALTVANDNAYISVYAPRRANILTMVMSVLDNHGIDVITAQISSDRGRALFMIYAHPASPSPAACHASERTPRPPSRRAASDDGHTAPPTTVAFSLTSAVPYGIGGSSSSGGNMETMDASSGKGKNLIPDLNMEERDDSAGGSKDKPHSEAEVEVAAADADADKGKSVAVADDGEPNVNIALERERRKRMKDLFRSLQDLMPHVPQKTAQGMAALCSSDAPRGWGGVPVPPAAPAVPASPMRYKTWTGPNVVLTVANDNAYISVCAPRRANTLTMVMSVLDNRGIDVITAQISSDRVRALFMIYAHHSFGLLLARHRGIGSLQQLICDLVSMTMGDSLAFLDREESFRRDEGI >Sspon.01G0037510-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:22394778:22395084:-1 gene:Sspon.01G0037510-1B transcript:Sspon.01G0037510-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLGPYQTTTDAPESRDSPGRPQLRRRRASPLSPAGPPLAAPQSVPDSPSALEWNVG >Sspon.02G0024650-3D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2D:80974279:80974950:-1 gene:Sspon.02G0024650-3D transcript:Sspon.02G0024650-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEFVAEVVSIGRIRHRNLVQLLGYCRRKGELLLVYDYMSNGSLDKYLHYEEAKPVLDWAQRMHIIKGVASGLLYLHEKWEKVVIHRDIKASNVLLDKEMNGRLGDFGLARLYDHGTDPQTTHMVGTMGYLAPELVRTGKASPLTDVFAFGTFLLEVTCGQRPIKEDEQGDQLLLVDWVLERWHNGSLLATVDTKLKGNYNIEEAYLVLKLGLLCSHPSASARP >Sspon.03G0005550-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3B:23228605:23229681:1 gene:Sspon.03G0005550-2B transcript:Sspon.03G0005550-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MELEAAPRQQQQLEEEEEAVGCPCGGAEAADQFERLHDAVLLDVLNRIGDVKALGRCALVSRRFHALVPLVDSVFVRVDCVIPDDPPPSSSTAGAAPGSPPHQPPAARGRGALAHIARVLLGGIARPIHALGQILSPAAAAVSRRSEPPLPASPPAPVADVSHHSPSEVLRSFKELRRLHIELPTGELGIDDGVLLKWKADFGSTLGSCVILGASSVSSKPPTGSTQAEPVAADSTAAAPDTSRETEEPGSLPDSLYTNGGLKLRVVWTISSLIAASARHYLLHPIICNHETLESLDLTDADGQGVLTMDKRQLQELRVRPVSPSGSSHRTLMPALSMRLHYAPLIELPGGMLLKGATL >Sspon.01G0056190-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:86613040:86614318:1 gene:Sspon.01G0056190-1C transcript:Sspon.01G0056190-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding NQKLLSLPPSSSRRQERRRRPPAASAAPPPPPPPPPPLSPSLPPVAAAGGSQRRERRPRVSPAIALLSSPSAHTAVASPFPRVPGLHGTRRAAPAEGVAGAPLLRLCVLHETLGLGSTQFLQTRRFGGGFFSKTSSTHASKHTTPD >Sspon.05G0032270-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:15889346:15891312:1 gene:Sspon.05G0032270-1C transcript:Sspon.05G0032270-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSPAWDGSLSLGSFNTSAAALVKRWKEIEVDDSLPEWTWKPCSKSGCRRRMFLQEQIEEMEKFDDAGDVAWVENSSDNVHVYDFHVVYSFSYKVQCYTFGVITLLLTLDEVKEGLPSHSQKVLRAPPSKQAVVDPAPLCNQ >Sspon.01G0019520-3D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:70922319:70924156:-1 gene:Sspon.01G0019520-3D transcript:Sspon.01G0019520-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSSSSGRSKLRQGHGSKVAPSSAEPSQQTTFKWSIDGFYSLLDKGEGWTYSTVFEIMGHNWYLKLNPRDKKSSNDEEYISLQLELASSSVKPNTVVDASFKLLIYDQSHGKHSKHLVSHNFQTASKSSGVSCMTSLRNLKKQSSGFLVNNNCVFGVEFIKVTTSKANTTSETLFVQKTSIFNEAKTYTWDIEDFFALKNPDYSPEFKVGGYKWYISMYPSREGNHLSLYLNLKKTNDLPNDTANLVELTLSIKNKEAGNHRKGTGRCQFSRKARSWGWSKFISLEDFKDSSNGYLVKTKCCVEAEVAIVGSSRTESG >Sspon.02G0002720-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:8976448:8981693:-1 gene:Sspon.02G0002720-1A transcript:Sspon.02G0002720-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVCYSMGAINSLVEKITPLTENHPMTEHLLQDLQSLRAEFMNKFARGRATAEQVKVWMKQVREMVYDIEDWIDLKKDFSESDMKQIEEFKGEIQEARSRCERYELLKQAPTSDAEPVYAGPREVPGRRLFWEEKPPDRRRRRTNGRRLHHHSQALASSPAPPVPPPCFVPGRPCLFLTKKGAKATPASVDLPQLVGDAALACERAHIGDCGNAQPQAAGPRHQSMPAPRMHNAPPEQKRRVHRSAYIAGPCVPHFDLRPQFGNSVSTPPSPASAAAVSAPSSPRHEPWTLPLTHPGREQRRPAAQLFFDELISRRLVQPAFDGNDDQPIGCTVHGVVFDFLESLSSEENFITPGEELNLDCGDEDEGDTLISNTYCLFEQKTEEEEDDAIFLQLSRVRSLAFSGDAGRRIPDLSAFKHLRLLDLEDTKGLENKRLEGIGHLSLLRYLGLCGTDVTNLPQQIIELEQLATLDLRRTREIASLIAVTHLHINVEAVEAQGVRALGSLPNLVVLRLDLNSSPSMTVSSNDGFQCLKSLWCNSHYGGGKGMQFEAGAMPQLRRLRLELDARGTRSKHDDFDIGIQHLPSLVQVHATIDWTNTALTASEVEAAENQIREQVSRSPNNPVLELNRRRQRYIAKPSEELVITVNSLQEWGKQIDPRKLVVVHFSAGWCRASRKITPVFADLAKKFQNVVFLKVDVDVDEMETVAKEFSVKGVPTFLFMKGGSVKDRVVGADKEELEEVLEEQVDLMF >Sspon.02G0049240-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2C:35677272:35678834:1 gene:Sspon.02G0049240-1C transcript:Sspon.02G0049240-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MIWCPHLVQCVNRSCQFFDLAVLVAPLQEDLDCLHRILLLFAGASGLVTNVDKCVATPIRCTEDMINTVQQVFPCVVAPFPCKYLGIPLSLGRLRRVEEQPLVDAVAARIPTWKSGLLTHAGRVLLTKVTLSAIPVHLSIACCMSSWGINQIDKRRRAFLWSGTETVAGGKCKVAWPVVCRPTDLGGLGVLDLRFFGFALRLRWEWLARAEPERCWASLPSRTEKPVAAMAAVSMSVVVGDGAAARLWTDDWAPVGRLCVFAPDLFDAVSARGKKRTLSDGLSQNRWARDITGAPTVQVLVQYLSVWRVLRDVNLDPLQSDRFVWKWSPDGKYAASSTYRAFFNGSSTLLGARELWKTKAPPKVKLFFWLALQCHLWTADRRRRHGLQDSDECNLYAQAPKTCGHLLVGCVLARQLWHMSLLPLGLQSLAPTAGDCNLAEWWLHHREQLQAEVRPSFDSMVLLVTWTLWKERNARVFRRRASAAPAMAKEMLMEAELWCDAGFRSLAAFAMIWSQNRLTM >Sspon.07G0011390-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:16925592:16929040:-1 gene:Sspon.07G0011390-2D transcript:Sspon.07G0011390-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Derlin-1 [Source:Projected from Arabidopsis thaliana (AT4G29330) UniProtKB/Swiss-Prot;Acc:Q8VZU9] MSSPSEYYKSLPPISKAYGTLCLFSTVLVRLHIVDGDFLVLYYPWVFKKFEVWRLFTSFFFLGPFSINFGIRLLMIARYGVMLEKGAFDKRTADFLWMMIFGAISLLVVSVIPVFNTYALGIPMVSMLLYVWSRENPNAQINIYGLFQLRAFYLPWVMLLLDVIFGSSLKPGLLGIMVGHLYYFFSVLHPLATGKNYLKTPKWVYPFT >Sspon.01G0031260-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1B:107328858:107329298:-1 gene:Sspon.01G0031260-2B transcript:Sspon.01G0031260-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKHPRDSAAGAVPLSLALSLGGGVAAEHGSSKRHRRAAAGGGDGGGEFVCKTCSRAFGSFQALGGHRTSHLRGRHGLALGIPAPAPAKDAKETATKQAAPASHLCHVCGLGFEMGQALGGHMRRHREEAAATTAQAPPVLLQLFV >Sspon.07G0015710-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:61936431:61936655:-1 gene:Sspon.07G0015710-3C transcript:Sspon.07G0015710-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LHGDGGTGVRRPQDVVAGGGRAQRGGSQEGDPQGQARCRHRRAAHRLACDHGLPPQPRPHLRRHRRPDALCRLIS >Sspon.02G0033750-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:8728173:8732228:1 gene:Sspon.02G0033750-1B transcript:Sspon.02G0033750-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Defective in cullin neddylation protein [Source:Projected from Arabidopsis thaliana (AT3G28970) UniProtKB/TrEMBL;Acc:Q9MBG8] MGSEGFAAAAAACPSAAEAFLKYYGELPDVEFACSRVFRSIRRFRVLSVAACGSGADACGIVSGGTSGETRQGLVELSLAIDGIEGMRDVIFADIPKLIPFIDLEDMGLFSCFYDFVFFICREKGQKSITIQRAVAAWKIVLNGRFRLLDRWCNFVEKYQRHNISEDTWQQLLAFSRCVNEDLEGYDPRGAWPVLIDDFVEQMHRIYHSTDCSSAMESQCSISSTFKGLDPLPGSKRKCPHFKSNEEDVELSDSFTRSVHLTPIKRLKESHDARYGVGESHKITHFSNSSSDYHEDMHLHNSRGCLQNSPCNVEDALSKGFEGCISMKCPF >Sspon.06G0030710-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:52517575:52517877:1 gene:Sspon.06G0030710-1C transcript:Sspon.06G0030710-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RRSLPSPAILALSAAPPLHLTTSFSLGRIASSPLRCAGAERRRRPPATSHRLRRQLVTISAYPPPTPPDGRWLPPGATPPPPPPNRGCRVQRAPARSPAL >Sspon.04G0018720-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4B:70228550:70230278:1 gene:Sspon.04G0018720-2B transcript:Sspon.04G0018720-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSCSLSTPPQPPLLAPSQSSPAPPPPARSSCPPPQPLILARAKALRREARAVCHLPRGRPRRAVPRAAARRGEPAGVAAALHLPLHHRGARVGPASRRRLGLPRAHGRRRHAHAPFAAAFAAFTNEIIWLIVISFFFARGFVKTGLGDRVATYFVKWLGKSTLGLSYGLALGEACIAPAMPSTAARAGGIFLPIIKSLSLSAGSKPNHPSSRKLGTYLVMSQFQFASYCFSPSNAILAIQNLPPEIKDTPEAPALAAEKQKQMGPVTKNEWVMIGTMIIAVALWIFG >Sspon.01G0006160-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1A:16032681:16036761:-1 gene:Sspon.01G0006160-1A transcript:Sspon.01G0006160-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MIETNHLCSVSNLGAERIYYTEDVQLMADMGMDAYRFSIAWSRILPRIQPYV >Sspon.01G0033320-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:114534944:114535588:-1 gene:Sspon.01G0033320-2D transcript:Sspon.01G0033320-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GPVGLAAHADTAQHHQPPPPYGRGRRRPRSPAGAPSPSPPSPSGDAGVHVRDAEARVLQPPPPGGALRERGRHAGGPRGDVGAAAAGLRALPGAVPAEPPGGRGAPRARGGVRGDGPRPGAAGRPGRRGHGPLRAPARGRGSRGRGGGEKQGGGGDRLLRAPELRRRPVAAQRGGGRPRVHPRRGGRVCPAQGPAAGADVPGPHPGLRLLPIILA >Sspon.04G0004570-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4A:13532426:13532740:1 gene:Sspon.04G0004570-1A transcript:Sspon.04G0004570-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RGLTHFDVDNFVEEVLVKDIDWLPGSPEPMSGSYVFVCSHGSRDKRCGVCGPALIKRFKEEINGLGLDGQVSVSACSHVGGHKYAGNVIIFSSDAKGNVTGHW >Sspon.02G0032110-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:103142085:103145090:-1 gene:Sspon.02G0032110-3C transcript:Sspon.02G0032110-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to Phytoene synthase 1, chloroplast precursor (EC 2.5.1.-) (Fruit ripening specific protein pTOM5) [Source: Projected from Oryza sativa (Os12g0626400)] GMAAGSSAVWAAHHQVHHACSGRFHHPSPSHSHHHGPRRVVLLPPASPLPARRGGASLARPPRASLAAAAPAVAVRTASEEAVYEVVLRQAALVETAAPQRRRTRRQHQPRWAEEKEEQEEGVVGWGLLGDAYDRCGEVCAEYAKTFYPGTQLMTPERRKAVWAISVWCRRTDELVDGPNASYITPTALDRWEKRLEDLFEGRPYDMYDAALSDTMSKFPVDIQPFKDMIEGMRLDLWKSRYMTFDELYLYCYYVAGTVGLMTVPVMGIAPDSKASTESVYNAALALGIANQLTNILRDVGDSARRGRIYLPLDELAQAGLTEEDIFRGKVTDKWRRFMKGQIQRARLFFDEAEKGVAHLDSASRWQVLASLWLYRQILDAIEANDYNNFTKRAYVGKAKKLLSLPVAYARAAVAS >Sspon.06G0015180-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:83367286:83371128:-1 gene:Sspon.06G0015180-1A transcript:Sspon.06G0015180-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutamyl-tRNA(Gln) amidotransferase subunit B, Primary root developmen [Source: Projected from Oryza sativa (Os11g0544800)] MALTLLRGMKTPVSSSSNAGLFFTVLRPRLCRFTARAESAQATEPKAAPRSIQLATKEAAEQKTQGFEAVIGIETHVQLSTVTKAFCSCPYNYGAQPNSTVCPTCMGHPGTLPVLNDKVVECAVKLGLALNCEISMTSKFDRKQYFYPDLPKGYQISQFDIPIAKKGYVDLDLPVEFGGGHRKFGITRVHMEEDAGKLLHSESSSYSQVDLNRAGVPLLEIVSEPDMRTGIEAAEYGAELQRIVRYLGVSNGNMQEGSLRCDVNVSVRPVGQSEFGTKVEIKNMNSFSAINRAIDYEISRQILLHKEGQADQIVQETRLWDESSQKTFTMRKKEGLADYRYFPEPDLPEVVLTSDYINEISKSMPELPEAKRRRYENMGLSMQDVLFLANDDNIGHFFDSTLEHGADAKLAANWIMGDIAAYLKDEKVSIDEIKLTPRELSELIASIKNGTISGKIGKEILAELISKGGTVKAVIEEKDLVQIADPAAIEAMVDKVIADNPKQLEQYRAGKTKLQGFFAGQVMKASKGKANPVLLNKILGEKLNAN >Sspon.06G0003980-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:10137543:10137974:-1 gene:Sspon.06G0003980-2B transcript:Sspon.06G0003980-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADEAAQAPSPSRFTARELAAAEQLIHLSESSCSSYAGALPRGSVASASSTSSPRSVNAPPPPPQPVIPPPPPAAAGLLAAAAEDDEEEDEDQQEVGGRRRRNKRYRPIAEIYAATEPKPIGAGARRRKADRPSTDGAPEARK >Sspon.02G0003290-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2C:2113782:2114324:-1 gene:Sspon.02G0003290-2C transcript:Sspon.02G0003290-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRWRQAASVARPNRRTRTLSRVSRMAVSTSLFSRSVGSKADPIPAPVVSPRAAPRRSVPLWARRADAAETRNTSYTIRRSLARGLRHHRGRAAGLTASVICGRGNLDAAAGVSLGGYDPGWAAAPAGGIAATGEKGGGEKIWSCGASAGVAGDAECRSPHRLQCWYDNIEVLQVGNYP >Sspon.01G0015290-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:45731636:45734404:1 gene:Sspon.01G0015290-3C transcript:Sspon.01G0015290-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPDLFLAITLHEKKDSEQLETDDYANRDLPGLSVVQLNDEIETAETQLSNALIATDVNPCTAKPSTRDIQLAIQTQFGFETLPAAITRFRSDFVIRFTTQHEKDIVASLRSCMATISTCSFFHGQASVGATPSAGKPNIQAYSFSEARSACRVDAYALNAESIPSSGQIGVQYPHVSGVSNVVFSVTMTTYPYNEAPAFQKDDSAAGLAHYSLARSVASFDTANQELERARYRGIVTYSSSSSYGASSEEPFYLLRVPYQPTLILRDQSRSLLARMGARKSLVGDQRQRKADTLSNADVFPGNHDEVDFELLGNRRGHEWRVQTNIYGNGSTSRGREERYLLPFDPTLRPHAYAVAWTPTAILFYVDGTPIREVVRVPAMGGDFPSKPMSVYATIWDGSAWATEGGRYKVEYAFAPFAADFSSLVLSGCPVAAAADDSECQVAVMTADCAVMTPAKRAAMRRFRRRYLLYTVCHDMYRYNGTIFPECDADGWERHDFHKWGESKRVSPSSRGYKQQRADMAVAVAAPGRPDTWPAIGTLRVD >Sspon.07G0009060-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:21869969:21870592:1 gene:Sspon.07G0009060-4D transcript:Sspon.07G0009060-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKVRSFFSRSRSGKRATGSARAGSSSPLSSAPPSPSPSPFARRSSSARRSLPVPAGNDETERVFRKFDANGDGQISRSELAALFASVGHAATDDEVSRMMEEADADGDGYISLPEFAALMDSTSADAAAVEEDLRHAFRVFDADGNGLITPAELARVLRGLGEAATVAQCRRMIQGVDRNGDGLVSFDEFKLMMAAGGGGFGRIGA >Sspon.05G0001200-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:2879776:2882893:1 gene:Sspon.05G0001200-2D transcript:Sspon.05G0001200-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSGALPPPHRTGLRSMDQVFAARAWGRQRLGPTTSMDKTANVVLDIEGLPQPPDKCCSGSPKMIRALSRKGSNRMERRGGDEQEQEDFAKKLIIKVVPSQLEQPLGQNKALVAPHCTPCTPVLIDSGEGRSKRFSRFTSINPRKILLLFATLSSVGTTILIYFTLAINSKAEA >Sspon.05G0016570-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:67719855:67723675:-1 gene:Sspon.05G0016570-2B transcript:Sspon.05G0016570-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSSSLGAMGSLLGKLRSLLVSAQDEDHQVPELDPQKEKLELLKQDLEAINTFLVNLSWVEAPNMMVKHWMNEVRDLSYDIEDYIDRTMHPRGPNSREESRSDSEVEELSTLVKQAKDAHERHNSYDLGRWASNPRSVLDGQGRVPRLNGDRSGGVPRFPGSANPQPAPAVASASGKTASLPKPFISRTYELVDDPAVDDVISWNDNGSAFIVWRPVEFARDLLPKYFKHNNFCSFVRLLNTYGFRKIVPDRWEFANDCFRRGEKPLLCHIHRRMAMLAALPMDLPVDQPVHSGEGEATDLVGIDDSKAELIKRLNIDAEQMLVVSIQGPPGVGKTTLAKQVYREVEGQFECRAFVRASKMPDTRMLLSNIISQIQCRHQGPPHGLPVQELIDSLRKHLQHKRYLIVIDGLWETTSWDIMEPLGGNHSSELFFNRVFGFKHDCSKQLKESSEEIIRTCGGLPLAIISIASILAIQPDNLELWRHVKEALFSRLRYNLTSEVMLREIVGLSYNSLPRHLKTCLLYLSMYPEGYTFLKADLVKQWSAEGFIIAVEEKNCDEVAECYFDELTCRGLIQPNHTNISDEVTLYTLHSNVFEVIRSMSIEENFSTVIDYSNTISELSVKVRRLSLRFSSAKYATKPETITLSPVRSLIFYGLVKCLPSIMEFEVLRVLILDVWGDHDQEELDLSGIDRLFQLRYMRITSNIIVKLPARVIGLPFLQTMEIYAKVTSVPTDIIHLPRLVHLCVRGEINLSKGIGHMGSLRTLQSFDLSSNSEDDIRQLGEMTDLRDLHVISSTEMSDPLKGKLIAFISSLEKLGNLKSVILELAPATSCTSIYLDCSWSISSLSIFLQRLELLPPICIFSRLPVWIGQLQKLCILKIVLRELTTGDVDSIAKLQELTILSLYVRQPTAEQIVFHRAAFPVLKFFKFRCGIMRIAFQPEAMPRLRSLNLEFNAHTGEQNGNTLGGIEHLLNLREITGRIGAAPGAEEADRIAVESVFKDAISKHSRLINFNLRIV >Sspon.07G0009240-4P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7B:24250283:24252308:1 gene:Sspon.07G0009240-4P transcript:Sspon.07G0009240-4P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKEKSHINIVVIGHVDSGKSTTTGHLIYKLGGIDKRVIERFEKEAAEMNKRSFKYAWVLDKLKAERERGITIDIALWKFETTKYYCTVIDAPGHRDFIKNMITGTSQADCAVLIIDSTTGGFEAGISKDGQTREHALLAFTLGVKQMICCCNKMDATTPKYSKARYDEIVKEVSSYLKKVGYNPDKIAFVPISGFEGDNMIERSTNLDWYKGPTLLEALDQITEPKRPSDKPLRLPLQDVYKIGGIGTVPVGRVETGVIKPGMVVTFGPTGLTTEVKSVEMHHEALQEALPGDNVGFNVKNVAVKDLKRGYVASNSKDDPAKEAASFTSQVIIMNHPGQIGNGYAPVLDCHTSHIAVKFAELITKIDRRSGKELEKEPKFLKNGDAGMVKMIPTKPMVVETFSEYPPLGRFAVRDMRQTVAVGVIKSVEKKDPTGAKVTKAAAKKK >Sspon.04G0010280-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:30021862:30029196:-1 gene:Sspon.04G0010280-3C transcript:Sspon.04G0010280-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLASRWRSQAEWACAAELEDEPAPSELNTVNSSGLFSVVSTTTITTATTSVSCRPTAPRPRAGRVLLRDERTGHKGQTSIGFTNESFKMRRQPGCGYHGDDGHLYVDQRKGQAFGPKFTSGDIIGTGINYLSQEFFFTKNGAQGYIFEEKMRQQSVSDKLNLEPDISHWIVRSYLLHYGYQDTLNAFDMASATDPPTNRQNGHAEPPEMYGLSHRKLLRQLIMSGDIDSTFKRLGEWYPQVIKDEKSVICFLLHSQRFIEYIRAEQLEDAVKYGRANLASFLTHKAFEGLLKDSVALLAYEKPAESCIGYLMDSPQREFVADAVNAAVLSTNPTMKDPESCLYSCLERLLRQLTVCSFEQRAFNNDQGGAFLLHKEVQNYERVFS >Sspon.01G0031040-3D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:103425285:103428925:1 gene:Sspon.01G0031040-3D transcript:Sspon.01G0031040-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MCDLVARTGRHQQRYEDGRRLVAGAWRFPSRLDSIGLGPIATACVRAHCPLDLNAAPARCASYSSPPYGALAWVLASGLLRRGCVPPGCIPFRYRANNDETSGDKTKKLVEVLMINSQSGPGLLFPKGGWENDETVEEAAAREAIEEAGVRGDIVHFLGLYDFKSKTHQDACCPEGMCRAAVFALHVKEELVSWPEQSTRQRTWLTVPDAASRCRYQWMQEALLTGFSDWHDKWSRGGGGTN >Sspon.01G0036620-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:19255932:19260591:1 gene:Sspon.01G0036620-1B transcript:Sspon.01G0036620-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MITRAKLVEQLREHQIRSAQSYSAALSVFSPNPHISSRLAPCQIPIEPADIDSSRQAVEVVGEAGADGVVAAGFGGGAEVEEEPGSRYQML >Sspon.01G0024020-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:86379323:86380465:1 gene:Sspon.01G0024020-1P transcript:Sspon.01G0024020-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AMKEEAVAASIQGPSAAPSWADMETDCLVHVFARLDLEDLAAAAPLVCRGWRRAAADPSLWRALDLRRDHVARFMPWGPLAVAFARRYAVPRFSLAGFLRLCVSRARGSADDVALPPLLADPADEIDHISLSCPRLRRLALPQLTAGDEARLPDLIPRWPLLEHLELEAKPSSSCSFPALAAQLELHCPGFASLKTSGAVKPEDAAALARSLPGLRSLCLDRSYLPREQLLPILAGCTGLREFSARGCVGFDEKDEEVLRRGARIQRFDVSGSKLVDDLEDELAAGGGFCDASSDVDVIVM >Sspon.04G0016030-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:17233502:17235388:-1 gene:Sspon.04G0016030-1P transcript:Sspon.04G0016030-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:bZIP transcription factor, Endoplasmic reticulum stress respons [Source: Projected from Oryza sativa (Os06g0622700)] MDIDLDALLASFSGEPAAVSDLIVPSAPATAHDAEAGSPESVTSRASPPGEVALSEIERFLMQEGEAEGELGGEVEGISVDQFFDALYDGGEGKDEGEAGASTDADSGRDEVVEVVTPEPETETVEVDGDDPVSKKKRRQMRNRDSAMKSRERKKSYIKDLETKSKYLEAECRRLSYALQCYAAENMALRQSLMKDRPVGAPTAMQESAVLTETLPLVSLLWLVSIVCLFLMPVLPNRSPAAPSSGGRDLVMAAGKTSSETSEILELILHGRRCKSTRAKIKLHTLPFHAVTAR >Sspon.06G0019600-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6C:3277809:3278396:1 gene:Sspon.06G0019600-2C transcript:Sspon.06G0019600-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MERIRRTNCSVLSPTVLVAWRERDLRSQAWAPRPPAPWRTAGTCRNWRAATASMQQGAAAAKGRGLAQTNSRRLCLQVGNVAEWRRQILRARGRRPSARGQRLLRLQRPSTAHLRPAALRAQRGRSLKTASGPGEGAATRHGRCPASVAPRDLARAAAPQPGPGLRARLRATWRGPPHGLEMGRKRHTRGGGWEP >Sspon.06G0017090-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:77163533:77165320:-1 gene:Sspon.06G0017090-1T transcript:Sspon.06G0017090-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATPSILQFTPSCAYAPTQGNEFNFSGLYLYHTYVGPNSTQSQIIVKDGIGTLTVNNWVIRDGLSGSSKVIARARGLHIFAGDWHNSFSLVFEDERFKESTLQVMGVPVEGGEWAIVGGTGRFAMATGVIKKRVHQRTGEGNIIELTIQGFCPLLKGSRSVAATKIGPWGGNGGSAQDITEPPKRLESITISSGDVVDSIAFSYVDQAGQKHTAGPWGGPGGNPKTIQLSDSEFVKEVSGTFAVYAGVVTVIKSIKLVTNLKTYGPFGQETGTSFTVPVQGNNGVAGFFGRSGTFLDAIGVYVHPL >Sspon.01G0054720-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1C:66547316:66547678:-1 gene:Sspon.01G0054720-1C transcript:Sspon.01G0054720-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYKEKVWDWGSYPGGVAMEPVQRSCAVMAPSPTGQWRAKGAACYWPAQGAPNPSASCRGGRVGWAGYNETATGGEEDKAEEASGVRRRSRTPPAASRSMRRCRAAALQVRVAGATPRAR >Sspon.06G0018950-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:63000487:63006166:1 gene:Sspon.06G0018950-3C transcript:Sspon.06G0018950-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVLDALAPYVKKLIADMAQEEVYMLLGVSSEITKLEDNMEGLKAFLKDAERRRITDMSVQKWSTKLKSAMYDATDILDLCQLEADKRRESRGGGNVEHKSPSCFQPFLFCLRNPIFAHKIGSRIKELNQRLEVINKEADQYKFNIGLGSNPEPRKLTAAELSSYRSSSHVDESAIVGEQIERDTRELVQVLTRDADYNHTIKVVSIIGAGGMGKTTLAQKIFSDATIQEHFKTKIWLSITKQFDVIELLRTAIENAGGDHGGRQDKNTLTEILINTLSTGRFLLVMDDVWSHEAWNNVLCVLVRNASKKKPGSRVLVTTRSAHLPQQMQAPLHQHRVKPLENDDAWSLLKKQLQPDQVDGIDQLKTIGMDILENCDGLPLAIKVIGGLLSTRYPSEHEWKSVLNKPAWSLTGLPPELDNRLYLSYEDLSPQIKQCFLYCSLFPKGEEIIQNVVTRMWISEGFIQPLDGSSTVSHEYGFEEMTTEYYQELIKRNLLQPAEGYSLTGYRCTMHDVVRTFAEYVAREESLVVIGREQAATGGVGGGGIHVRHLSVGQTMDMDASGSSWCSLQELAPLSQLRKLTLCGLEKVQDSWMAEKAMISSKRHLGYLELNYNASGHTIGTGGDEAKQQQQQSVTEEVLEKLCPPTCLENLTLEGGYVGRQLPNWMCAPASADFKSLRTCLAAPSSLMVCAVSQVWKG >Sspon.03G0019120-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:59291232:59293476:-1 gene:Sspon.03G0019120-1A transcript:Sspon.03G0019120-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSASLLQASTAFAPVFSTLPSRLQPAPRLHLRGSPNRRRGVSLAASSAASPEVEKESSPSSSPQESLSAVADSVKVLKEAAKTRKVPAPEILSALSKIKKAKLDTSTFFETLGGTESPGRTWMLIFTAKGRLDKGQYFPVTAVQRFDAAGKQIENGIYLGPIGCLTFEGRLSWKKKILAFIFERVRIKVGPLGPLEIGFGSGNDDREPSTKDPFFVWFYVDEEIAVAQGRGGGVAYWCRCQRVP >Sspon.07G0009450-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:26622197:26624636:1 gene:Sspon.07G0009450-1A transcript:Sspon.07G0009450-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTAPTQRPTTRHSSAFLLPSPPPSAPAPGPEPDDATVALVVLNQPLPRFAPLLWSRAALRVCADGGANRVFDGMPALLPGQDPDEVRASYKPDVIKGDMDSVRPEVKEHYSNLGTRIVDESHDQDTTDLHKCIAFIADNYPATNKSNLVQYRLSSRNNTSMSYGGLISTSNIVEEDKVTVTSDSDLIWTISLR >Sspon.08G0018420-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:6064662:6065215:1 gene:Sspon.08G0018420-1B transcript:Sspon.08G0018420-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRIEVYLPNKQVAEGTLQHYNLSYNIDVVSVMGFRCLRTAELHNQRQIEPHMEVVAVGRIFESGKLLDTSGIVADKEGNNLNCKELMISTCKVTKAGIGGPPIDFDGNFIGMNFHGSDADEASYKKPNRWPVPEPRWSYPRSRAPRAWTIGGVYDFLEILP >Sspon.06G0014640-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:63672677:63676158:-1 gene:Sspon.06G0014640-1P transcript:Sspon.06G0014640-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMPLLALVRSFSSRPLALVLVLVAAALCRPGSCDGAAAAADRIRRLPGQPEVSFGQYSGYVGVDDGGKRALFYYFVEADVDPASKPLVLWLNGGPGCSSLGVGAFSENGPFRPSGQVLVKNEYSWNKEANVIYLETPAGVGYSYSADAAYYQGVDDEMTGHYIPQLAEAMVEFNKKDRIFNLRGVALGNPVLEFTTDFNSRAEYFWSHGLISDATYRVFTSVCNYSRYVTEYYGGSLSPLCARVMNQVTRETSRFVDKYDVTLDVCLSSVLSQSKILSPHEQVGQRIDVCVEDETVRYLNRRDVQAALHARLVGVDKWAVCSSVLLYELLNLQIPTINIVGSLVKSGIRVLVYSGDQDSVIPLTGSRTLVQNLAHDMGLKTTTPYRVWFEGQQVGGWTQVYGGGALSFATIRGASHEAPFSQPLRSLVLFRAFLQGQPLPETFS >Sspon.08G0022660-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:51823716:51826740:1 gene:Sspon.08G0022660-2C transcript:Sspon.08G0022660-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-galactose/UDP-glucose transporter 7 [Source:Projected from Arabidopsis thaliana (AT4G31600) UniProtKB/Swiss-Prot;Acc:Q94B65] MGAEAGEPSSILSLAAAFSYGVASMAMVFVNKAVLMQYVHSMTLLTLQQIATALLIHFGQVLGMSKRKDFSLITAKKLLPVSIFYNANVGFALASLKGVNIPMYIAIKRITPLAVLVAGCMRGKGKPPTQVILSVICTAIGVLIAALGDFSFDLYGYCMALTSVFFQTMYLILVEKSGAEDGLSSVDLMFYNSILSLPFLFFLIIATGEFPHSLTVLSAKAASLTFSVILIISLVMGIVLNFTMFWCTIVNSALTTTIVGVLKGVGSTTLGFVLLGGVEVHALNVTGLVINTFGGIWYSYAKYKQKKKTPRKIQHDVESHAH >Sspon.02G0014600-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:32779994:32783665:1 gene:Sspon.02G0014600-4D transcript:Sspon.02G0014600-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPTREMQAMAAAGQISLDDLRAAAGAAGGVHDDFLDQMLGGLPPSAWPELASAAGGKAPDGGAQAEGMQHQAQHFGGGLYDESALLASRLRQHQISGGPAGGGAEAAKQMVLQQLAADLRQGHHMLLQGMGRSTGGGGSGDGGLHLPLSLGGGGSGGDVQALLKAAANSALRRERIAERMKALQELVPNANKTDKASMLDEIIDYVKFLQLQVLSMSRLGGAAAVAPLVADMSSEGRGGAAAAAGSDGLAMTEQQVAKLMEEDMGTAMQYLQGKGLCLMPVSLASAISSATCHMRPPVGGGLGIAAAAHHMAAMRLPHSMNGGAGAGAGADAVPASPSMSVLTAQSAMINGAGGGGADGEGSHSQQQHPKDAASVSKP >Sspon.05G0024570-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:13421913:13424787:-1 gene:Sspon.05G0024570-2C transcript:Sspon.05G0024570-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKVVDLRSDTVTKPSEAMRAAMAAADVDDDVLGADPTAQRFEAEMAAIMGKEAALFVPSGTMGNLISVLVHCDVRGSEAILGDNSHIHVYENGGISTIGGVHPRTVKNNPDGTMDIDKIVAAIRHPDLHYPTTRLICLENTHGNSGGKCLSVEYTDTVGEIAKSHGLKLHIDGARIFNAAVALGVPVDRLVKAADSVSVCLSKGLGAPVGSVIVGSKAFIDRAKILRKTLGGGMRQVGVLCAAAHVAVRETVGKLADDHRKAKVLAEGLKKIEQLKVDSALVETNMKAVEELLKGGTEFEHLTNGATKTSYGH >Sspon.02G0052110-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:87588648:87592193:-1 gene:Sspon.02G0052110-1C transcript:Sspon.02G0052110-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GNQRKRKMLSRVFLLAVRKARQIWRHPSLII >Sspon.06G0032290-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:82342954:82345581:-1 gene:Sspon.06G0032290-1C transcript:Sspon.06G0032290-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDSVLCGVAGNGKASDSHSLVVEIKMLDKLPEQYDGGASEKPMEASESDASRIMEKGSCSSWVAEMEKMLDIYDTNPTVESARWSGHSIYRVPQFMKDMTNSEAYSPRFVSLGPLHYGEPHLLPMEEHKRRAVAHMVNRSGKPLREFVAAINEVADELQAAYNDLDDVKWRGTNKGRFVEVMVMDGCFLLEWIRAEDLQVRGKVDEDYATNDPIFSNHSTVNLWGTMKRDMICMENQIPLVVLQRLLAVQRGTSLKARAIHNMVLRLLDVPCFDEGMDELGLHFLDIIRKGYCGTSPQWEGADTYKPRTPCAIELSEAGIQFRKSKNTHYVDFENGVLSMPRFKVADQTEANLLNLMAFEWLHPDANSFVTSYISFLDNIIVSERDAALLRSEGIIANMIGSDKKVVKMLNTLTKLAQTPMPGSKLGYVNWKVNKHCKKRRNKWRASFMNTYLSNPWVFTSMVAAFILLVATLLQTVYTVVPFHTK >Sspon.08G0028940-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8D:19291706:19294903:-1 gene:Sspon.08G0028940-1D transcript:Sspon.08G0028940-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEWDTSKAEGEMLEMAQLAGFGVPAHPFVVRFLRHFGLRLHDLTPHGVVHLAVFIILRECYLGIEPHFDLWRWIFRLNLNKNSDGSVQRIGATAIQLRNNLKLRYLELSFPTLEKGWHRKWFYLFNPSGSLPAFSPDRLGSVVPPSWKSLPEGPALEVAEGLLGQITALKDARLTRRMVLREFLFYRILPLMARLTLMWGCIGVGVPSAVAEGNLLEDSVTGVAWMVLGSASGEPTAGEGLTAFSVYEPRPDDLLYLGEVSIPPSPGGQGGEAAFRGSSLLPRGASLTLSLGESPAARSVEKRILPRAGASGGAVALVQPLVMKVCHRPLLPPQANRATMSDAAMAEPVVVAPARQTRSSTIREGPHPGSFPLEEEADRVETNIARLPLPPGHEEASSSSATALAPFTPPAGGRHVLDDSPEADTWLELKWRGAFDELVAFFYDSFAMMALLEYSRRKSDLVREWEKVASQALPSPPGGVGEVLVRERNSTCSAVARLEFELVAEREARRAAEARAEASRQKAAEVEETRLTTEDAVMEMRGSLGLLETARDAAHSELHGTQLVVAEEDAEKAWLECDRLWKELQVEAKVSVESQATLAEHGWEVDA >Sspon.01G0041660-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:47623272:47629561:-1 gene:Sspon.01G0041660-2C transcript:Sspon.01G0041660-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVTQSAVITSATRKPTFFDKAAIVSAPSDNESTVPLCSWRLCTVTQVEELKMLLRMSPIWASFVIVYAVDAQMPSTLVEQGMFMDNRVGSFTIPHASMSTVGVVSCLVWVPIYEIAMVPLARRFTGKEKGFSQAQRLGIGLALSMLTMVYAALLENRRLAVAEASGLRNQDVPVPMSILWQAPLYVVHGAAQVFAGVGATEFFYDQSPETMKSLCAALGQLALASASYMNSLLLSIVAVATTRGGAPGWIPDNLNEGHLDYFFWMMATLSLLNVALFVRYSMRHTVKMARTGGIKPCTAALGADQFDGADTAERVTKASFFNWYYFCINIGSLLSGTVLVWVQENIGWGVGFAVPMVLMVFGLAVFVAGRKVSRYRYKKLGGSPLTRVAQVVVAAVRNGHLELPEDMSALQHDTPLPTEQNFRLGSQTIQAYQAQLRLTVQGAELLKPHDAGVGMLTMS >Sspon.04G0000280-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:1577668:1582232:-1 gene:Sspon.04G0000280-3C transcript:Sspon.04G0000280-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:binding to TOMV RNA 1L (long form) [Source:Projected from Arabidopsis thaliana (AT5G04430) TAIR;Acc:AT5G04430] MEAPGSPYASSPESAPKRAPRSPPQQQQPPSEEGDDKEKPTHLRFLVSNTAAGCIIGKGGSTINDFQSQSGARIQLSRSHEFFPGTNDRIIMVSGLFDEVMKAMELILEKLLAEGEEFNEAEARPKVRLVVPNSSCGGIIGKGGATIKSFIEESHAGIKISPQDNKYVGLHDRLVTVTGTFDNQMNAIDLILKKLSEDVHYPPNLSSPFPYAGLTFPSYPGVPVGYMIPQVPYNNAVNYGPNNGYGGRYQNNKPSTPMRSPASNEAQESLTIGIADEHVGAVVGRAGRNITEIIQASGARIKISDRGDFISGTSDRKVTITGTSEAIRTAESMIMQRVSASSER >Sspon.03G0035010-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:60696749:60700281:-1 gene:Sspon.03G0035010-2C transcript:Sspon.03G0035010-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PUR ALPHA-1 [Source:Projected from Arabidopsis thaliana (AT2G32080) UniProtKB/TrEMBL;Acc:A0A178VXA3] GRRRRRWWGRRRSWGRCHGGRRSRAGRRRRWRWRGRRRGARQQDAAVRAQAVLLRSEGEPAGEVPQDLREDLGHALHHHRPRRRRPLVPRPLRLLHPHRRARRLQQGAPTPDQGVLFRYRGEQEGPIPQGVSEASVNRNRSTIIVPAGSSGEEGWEAFRNVLLEINNEASRLYVLPNHPNQQHMEPPERLPGLSDDVGAGFIAGHGSQSASGPEVDVDRLVDLPPQEEISGMGMSKVIRADQKRFFFDLGSNNRGHYLRISEVAGADRSSIILPLSGLKQFHEMVGHFVDIMKDRLEGMTGANVRTVEPSQR >Sspon.03G0026730-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:3683017:3692687:-1 gene:Sspon.03G0026730-1B transcript:Sspon.03G0026730-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGSAGAGSDFSVVVVGSDFASDAGAALLAPVDREVWHDCLPDLTEADSCFFDLEERQVVRVQGTDRAGRTIVRIVGKFFPASVIDGERLKKYVFYKLRTELPVGPFCILYMHSTVQSDDNNPGMSILRTIYEELPPEYKERLQVFYFLHPGLRSRLAIATLGRLFLSGGLYWKIKYISRLEYLWGDIKRVEVEIPDFVIEHDKVLEHRPLADYGIEPDPLHLVDVPAVGYSLGRYEDKWTPEDRCNTLRAAAKPTDGVGVRRRPGATSPWSWWAPTSRATPAPRFSPPVDREVWHDCLPDLTEADSCFFDLEERQVVRVQGTDRAGRTIVRIVGKFFPASVIDGERLKKYVFYKLRTELPVGPFCILYMHSTVQSDDNNPGMSILRTIYEELPPEYKERLQVFYFLHPGLRSRLAIATLGRLFLSGGLYWKIKYISRLEYLWGDIKRGEVEIPDFVIEHDKVLEHRPLADYGIEPDPLHLADVPAVGYSLGRYEDKWTPEDRWYSRNYM >Sspon.05G0001030-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:2359055:2360864:1 gene:Sspon.05G0001030-2D transcript:Sspon.05G0001030-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRLGANPANSCPLTPLGFLERIATVFGDCPSVVYHDTVYTWSQTHRRCLRLASALVSLGVSRGDIVSVLLPNVPAMYEMHFGVPMSGAVLNTINTRLDARTVAVLLRHAGSKLVFADPASLPLVRDALRQLPPGHRAPRVVPVEDPHEKEFPAAPPGTLTYEALLDGGDPEFAWVRPRSEWDPMILNYTSGTTSAPKGVVHCHRGLFLVTVDTLVEWAVPKQPTYLWTLPMFHANGWCFPWGMAAVGGTNVCLRRVDAAEVYATIARRGVDHLCGAPVVLNMLANAPESVRRPLQGKVRILTAGAPPPAAVLHRTESIGFEVTHGYGLTETAALVVSCAWKGEWNKLPASERARLKARQGVRTPGMAEVDIVDGETGRSVPRDGSTMGEIVLRGGCVMLGYLNDDTATRAAIRDNGWFYTGDVGVMHPDGYMEIRDRSKDVIISGGENISSVEVESVLYNHPAVNEAAVVARPDEFWGETPCAFVSLKSGSPGAVTAADVIAWCRERMPHYMVPKTVVLRAELPKTSTGKIQKYVLRNLAKEMGPTLKGASSR >Sspon.02G0027170-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:96424618:96440618:-1 gene:Sspon.02G0027170-2B transcript:Sspon.02G0027170-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVPSDFSCHGVVDNGPNGFTQGRREEANKLGPSWYFSRKELEENSPSRRDGIDSKKESNLRKSYCKFLQDLGKKLKLPQLTIATAMVFCHRFYLRQSLAKNDRRIIATVCMFLAGKVEETPIPLKDVILISYEFIHKKDPTAGQRIKQQKELFDKQKELILLGERVVLVTLEFDLNIHHAYKPLVEAIRRFNVGDINNFPQVAWSFVNDGLSSTSLCLQFEPHQIAAGVIFLAAKFLKVKLPSDGDKVWWQEFDVTLQQLEDFSNQMLELYQQNRTTQAQPSHGGEAKGSSAGVRNKHSSVKPEENSKEPSAHGRHQVSRLSSLQHSSSTGAPGHHDVGHSNSDKHFSGHKMLQNDNGGSKVKNRSGTKSDACMDRLHHDKRSSPGHHYSKASYESHNLAEEHKPHRSHDNSNETRDSVGDKEAPGLSTLRTDVVHKSDKDKVKAALEKQSKSEGGVPTKINVMDDGDLLDRGLEHGVKLAVEDEKGRREEANKLGPSWYFSRKELEENSPSRRDGIDSKKESNLRKSYCKFLQDLGKKLKLPQLTIATAMVFCHRFYLRQSLAKNDRRIIATVCMFLAGKVEETPIPLKDVILISYEFIHKKDPTAGQRIKQQKPHQIAAGVIFLAAKFLKVKLPSDGDKVWWQEFDVTLQQLEDFSNQMLELYQQNRTTQAQPSHGGEAKGSSAGVRNKHSSVKPEENSKEPSAHGRHQVSRLSNLQHSSSTGAPGHHDVGHSNSDKHFSGHKMLQNDNGGSKVKNRSGTKSDACMDRLHHDKRSSPGHHYSKASYESHNLAEEHKPHRSHDNSNETRDSVGDKEAPGLSTLRTDVVHKSDKDKVKAALEKQSKSEGGVSTKINVMDDGDLLDRGLEHGVKLAVEDEKVKQDERLVPW >Sspon.07G0006310-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:14619862:14623691:-1 gene:Sspon.07G0006310-2B transcript:Sspon.07G0006310-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTTLSHPVFDVKQMRQLKGEVGVVARADGSALFEMGNTRVIAAVYGPREVQNKGQQVNSNEALVRCEYRMAEFSTGDRRRKPKGDRLIYMSKFFKLMVVDLNYLEDSAGGSDVTVGILAKMDKVTLLQHQKEAALKSAFQMDAKLPMDTFENVMGLAIEGCKAIATYIREFL >Sspon.08G0006640-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:20567081:20568932:-1 gene:Sspon.08G0006640-1A transcript:Sspon.08G0006640-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSAGAAATVTRLAQRVVAPSAPTPRGQLPLSWLDRYPTQRALIESLHVFKGRADAEAPARAIERALAAALVSYYPIAGRLAVSDEGELVVDCTGEGVWFIEASASCTLEDVDYLEYPLMVPKDELLPHPSYPPESDPLAEDSLILLVQVTQFACGGFVVGFRFSHAVADGPGAAQFMTAVGDMARGHSAPLVAPAWGREAIPNPPSAAVGALPVPTELRLQYLAMDISTDYIEHFKARFLEQTGGQRCSAFEVLIAKAWQSRTRAAGFAPGSPVHVCFAMNARPALARLPGGNAAPLPGGFYGNCYYIMRVSAPAEAVSDASVYDVVRLIREGKKRLPAEFARWSAGDTGAGGVDPYRITSDYRTLLVSDWSRLGFAEVDYGWGCPVHVVPLTNLDYIATCILVRPSAHKPGARLITQCVAADAVDAFHKDMMRLD >Sspon.02G0020040-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2A:65478309:65479940:1 gene:Sspon.02G0020040-1A transcript:Sspon.02G0020040-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MIDKDAVPPDTFTYPKVLRACAETADLALGRAVHVRAADAGMDGDLFCQNALVSMYAKGGDLVSARRVFDGMEHRDVVSWNSMISGYAASGQWREAVELFRRMQAEGAEVNSVTWNTIAGGYIQMRDYRAAVGLIRDMVRGGASIDFVTLVIGSNACSRAGWLRLGKEIHGLAVRMQCHEIDSVINAVITMYARCNNMERALMLFRMMRCPGLVAWNTMIAGFALSDDAEAASRIFREMVCSDVQPNYVTVVTYLALCARVANLQHGRELHTHIIKQGFKGYRLLWNSLIDMYSKSGRLSVAQNVFDTMDDRDMISFTSMIAGYGMQGKGIVSLHFFRQMIDSGIMPDAIIMVTVLSACSHSGLVDEGEELFNKMVRSYGIKPQMEHYSCMVDLYARAGLLEKAEELLNQTPFPPTSTMVAALVGACHEQGNIIIGERSARRLLEMKTENAGHYVLIANMYAAAGCWNELATVRKLMRDLGVMKAPGLAWADLGNGFTPFLVGDRSNPLAPEIYEVLDELTEQMRNMNNCSDLDILSMEGLMG >Sspon.05G0000410-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:2035490:2040449:-1 gene:Sspon.05G0000410-1A transcript:Sspon.05G0000410-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRRCAEAAPSLLQVLLALHCGVVFLQCSAASGMGSDVSVLMAFKRAIIEDPHSVLSDWTDADGNACDWRGVICSAPQGSVISLKLSNSSLKGFIAPELGRLSFLQELYLDHNLLFGTIPKLIGSLRNLRVLDLSVNRLTGPIPSELGGLSSVSVINFHSNGLTGSIPSELGKLQNLVELRLDRNRLKGPIPGSNTASFSPTANIGSTTHNGLCPSPRLYVGDFSYNFLVGKIPPCLKYLPRSSFQGNCFQDEYSVQQRALQMCISGSTSQRGGTNGFKHPGHNKHDKMQQPIWLLVLEIATGVLLVVFVITGIVTASRSCKLKPSIRISSWNRSKSWSDEITVLIVHSSEEQLMNRLMCFPIILVDVSMFSPDSDMLKSLPKLSRQELEVACEDFSNIIGSSPETVVYKGTMKDGPEVSVISLCAFEGQWTSHHELFYQNKVLDLARLNHENIAKFLGYCRESDPFSRMLVFEYAPNGTLFEHLHYGEGGQLSWLRRMKIAIGIAQGLRYLHTELQPPFAISELNSNSVYVTEDFTPKLVDFECWKMMFTRQLSRHEKATGRLNNKSPFPGHGDPAEDKQADIQANTFAFGVILLEIISGRLPYCKDKGYLVDWATKYLQQAEEIGKLVDPELSSARSEDLAVLCSVVSRCIDPDPSKRPSMQIITGVLENGIDLSAAAILKESSLAWAELALAL >Sspon.04G0013340-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:44554423:44565070:1 gene:Sspon.04G0013340-2B transcript:Sspon.04G0013340-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSSNTNTSGGASDKEEKKEDKVKGKDSSEPSFKENERVLAYHGPLLYEAKQMQKLTKMTLRVSIQEKEKRSSQSPLVLQFPLTLKKQLVDDWEFVSQMGKLVKLPRSPTVDDILKKYLEHRAKKDGKINDSYAEILKGLRCYFDKALPAMLLYKKERDQYAEEVKGDVSPSTVYGAEHLLRLFVKLPELLAFVNMEEDALNKLQLKLLDVLKFIQKNQSTFFTTVYLDDGRKSADGAKTK >Sspon.07G0019200-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:74907344:74910274:-1 gene:Sspon.07G0019200-2B transcript:Sspon.07G0019200-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAEGKRWRFAMVCSSNMNRSMEAHSQLGRAGLDVESYGTGTHVKLPGPSLHEPNVYDFGTPYGAIYDDLRRKDPDLYKRNGLLPMLKRNTSVKLAPQRWQDNAGDGVFDMIMTFEERVFDLVVEDMSNREPRLMKCVLIINMDVKDNHEEAGVGAKLAVELCQKLEAIDGDWEDIIDDLITAFEKQHKRRLAYSISFY >Sspon.02G0024170-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:79171793:79172551:1 gene:Sspon.02G0024170-4D transcript:Sspon.02G0024170-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LPALRRRRAAVPRPPGLRLAQVRGGDAVREGGQEEVPGHPLRLHRLRHQPGTGDDDDADAVRGAVRAGAVGEPEGDGGAQAGGARLRAADGRPRRVHARRHAGLRRRRPHPRRRHRARAQEHRRPRPDHRLDP >Sspon.01G0062160-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:101353762:101364410:-1 gene:Sspon.01G0062160-1D transcript:Sspon.01G0062160-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MESAVLACEALTAGAGAAAAPGGGGDGGAGNVVMLRRSVLAACLTCPLCGRLFRDATTITECLHTFCRKCISEEFVNKEVCCCPICSIDLGCAPLEKLRIDHSLQYVRSKVFPFKKKKVAGPVTSPIKMKERSLSSLTKHAPQMSMQKYLTKRRTKASCLRNLPLHSTLRGSSNITKKSGGWRPLGSHFRGAKNKRSLRSKSEDVKTAEKRTDDPVDVTLPSQAKAKKQFTRRGNLDKRTGTKKLLALKGKQKNTKPKNTPNKRRLRALWFYLLAAFDQKGQPPLPQIPSKFLRIKDVNLPASFIQKYLVQKLNLSSEAEVEILCGGRPVNPGIPLHDIADYWIDKRPKGRVRSSVGTPAAEFVLKVFYARAFGAEFCHCVKCGKCRLLNPIAVSNKTLLLFYLTKVSDGIFS >Sspon.02G0015250-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:41571719:41578123:1 gene:Sspon.02G0015250-1A transcript:Sspon.02G0015250-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPASSVSGGSGPGGVSPDAIIEWLQDEMGYPSAPPAPEQLRKICRGNMIPVWSFLLRRVRSERTVATARRNILVHGVAARRAREGGAMGAGAGDAAAREAEARERDLAAEEAERLRGVVRRQRKELRARIAEVAREEAERKRVLGESSNARHKQVMLEAYEQQCDEACKIFAEYQRRLHQFVNQARDVRRSSIGVAGATGAVEDMQLQSDREDLYSSTIRSNRLPEDLLETAGERSIRKACETLAADMIETIRSSFPAFEGSGINSSCQLDAAKLGIDLDGEIPTDVKAVALDSLKNPFLLLQSIITYTSRMKTLIHRETDKIDIRADAELLRYKYENEQVIDAASTDASSPLPYQVYGNGKTGSQLSTRGTYDQLLERQLDVWAKEREVAGLKASLSTLTSEVQRLYKLCAEWKEAEDSLKKKWKKIEEFDARRSELECIYSALQRANMDASAFWEQQPLSARGYASSTIIPACHAVVDMSTNSRDLIERELAAFSQSLDNSLCRLPATPQALLEAVGSNGVTGSEALAAAEKHAALLTARAGARDPSAVPSICRISTALQYNFGTEGTDSGLASVLNSLEFCLKPCGSEASILEDLSKSINLVHIRRNLVENDRVLLNRAHRAQQEYERVANYCLKLAGEQEKVVSERWLPELRNAVQEARRCFDDCQRVRGLVDEWYEQPAATIVDWVTIDGQSVGAWINLVKQLHMEISRRTLAMSSVGDD >Sspon.05G0029850-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:80053447:80054584:-1 gene:Sspon.05G0029850-2C transcript:Sspon.05G0029850-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTVMASSATAVAPFQGLKSNASLPVARRSTTSLAKVSNGGRIRCMQVWPAYGNKKFETLSYLPPLTQEQLLKQVDYLLRNNWVPCLEFSKEGFVYRENSTSPCYYDGRYWTMWKLPMFGCTDASQVYKELQEAIASYPDAYVRILGFDNIKQTQCVSFIAYKPAGSE >Sspon.04G0008900-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:25915245:25916930:1 gene:Sspon.04G0008900-1A transcript:Sspon.04G0008900-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVGGVSLRPSPAPARVRTTLSSVVVGGRLALRAAGAPRGQLLARRALVVEARGRSWSERQMQQQRRMPQLPKIEDDGNPRFVIFIRTANVYFWYPLNIITGGTTAKIMLAAKDNFLGKYIYKDTLARNLAAVIYKDEDEIIDTAKEQYRVLKTENEFRYGYKVVEKGNIRSALTTSNVIELPKKDELKTVVDKVKDFFGDVTAGAKESFAQITGSAVSKEEEEAESKEKFRSRRRKKQRKSKEGLKTEK >Sspon.06G0020440-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6C:10000996:10001951:1 gene:Sspon.06G0020440-2C transcript:Sspon.06G0020440-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVGANDTTRAAGMGAGMAAGMAAGMGAGMGAAMGAGMAGYGVDDRRMMGVGMGYGGRPEPPLPPDASPTLYIEGLPANCTRREVSHIFRPFVGFREVRLVNKESRHPGGDPHVLCFVDFDNPAQATLALEALQACREACFENC >Sspon.02G0037160-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2B:30044125:30044411:1 gene:Sspon.02G0037160-1B transcript:Sspon.02G0037160-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AVEHMSIERQRQLLFFWTSVKYLPSDGFCGLSSKLYICKTSNSPDRLPSSQTCFYWLCLTPYTSLKMMENQLQKITQEHVEALVHGSCRCCSGF >Sspon.01G0032310-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:105600500:105603685:1 gene:Sspon.01G0032310-2B transcript:Sspon.01G0032310-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAPDTAAPSSPPPKSGIPPRYDLDAKWDACLDLSIRRVAYSSLAGAFAGLLLFRSPTTRWASVALGAGVGIGAAYTECSYLFNGAPPKWSPKVSTIPSAHSEGKDK >Sspon.06G0025310-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:65570947:65583111:-1 gene:Sspon.06G0025310-1B transcript:Sspon.06G0025310-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAMAGESSIRRAWVVDVEKTLDEADASVEVTRWQRHSIYRVPACIKDLNRKAYKPQVVSLGPFHHGRDGELLPMEEHKRRALRHLLRRAKRPLEEFAAAVEDVAEQLESAYLDLGDEWRGADGRERFLEMMIVDGCFLLEVMKATEEDGRNNVSDYAHNDPIFSHHGVLYMVPYIRRDMLMLENQLPLLLLEKLVAVETGNPPSADVINRMVLRFMSGSPSPRLPPGSTLGLHPLDVRRRSMLYGPKQPPQVPSRDIAPDTTDIIRSAVELYEAGIRFRKTKSDSLHNIRFRGGVLSMPAVSVDDSTEYMFLNLMAFERLHVGAGNDVTAYVFFMDNIIDSARDVALLSTSGIIQNAVGSDKAVAQLFNSISKDVVLEPQSSLDAMQREVNAYCGKPWNLWRANLIHTYFRSPWAFMSLAAAVFLLVMTVMQTVYTVLPFYQQDQTSGGSPAAPAPLSSIMAAAAGGGRRPWVVDVEKDLGEADVSVEVSRWQRHCIYRVPACIKDLKPKAYKPQVVSLGPFHHGDPELLPMEEHKRRALRHLLRRAKRPLEEFVAAVEEIAEPLESAYLDLGAEWGGAAVAGGWRERFLEVMIVDGCFLLEVMRAAGLDWRNTAVDYAPNDPIFSHHGVLYKVPYIRRDMLMLENQLPLILLEKLVAVETGKPTKGDVINRMVLRFLTPTPRLPTSGVGIGLHALDVHRRNMLYGHYQHPSPRQVPETDIIRSAVELYEAGIRFKKSSSESLHDIRFRHGVLSMPAVTVDDSTEYMFLNMMAFERLHVGAGNDVTAYVFFMDNIIDSAKDVALLSSKGIIQNAVGSDKAVAKLFNSISKDVVLEPDSALDAVHREVNAYCRKPWNMWRANLIHTYFRSPWAFMSLAAAVFLLVMTIMQTVYTVLQYYQPDQGSGGSLAAPAP >Sspon.05G0008500-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:27556585:27560511:-1 gene:Sspon.05G0008500-4D transcript:Sspon.05G0008500-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMDMRRHSVSVDVPLSRTLVQLKRVRSLRDPATNSMSKYASPSDHMIWETASSNGVTLELGRSAHHHLVEEDEDVGAEPTMGSERSFRGPNARTASYRKSSTVRIRGLNPPRNKQVHRVRQDGHRKSLDSNHSNHSSIRQLANNMVNNVDADKEEEEVNSYERPKFAMPDKADEEVKMPDYSKFRSKSSAAMSRVGSPCMSASEARSVGSRRSTLGHGTEDTRLRSNDVVGSNFSGCGISYCWSGASKYRELYSDSDGPDQPLLSTDGTEAAFQGNVPYTETPRCLSQKFRPRSFSELIGLNVVAQSLLYSSCKGKVAPMYLFHGPRGTGKTSTARIFAAALNCVSLEEQRPCGFCKECVILFSGKSRDVKELDAAKMDRLGRVKALLKSASLVPYSSRFKVFIIDECHLLQEDAWSAILKSLDEPYRHTVYIMITSDIESLPRTSITHCQKFHFPKIKVADIVYRLEKICIEEGLEFDHDGLYFIAAKSNGSLRDSEIMLDQLSLLGKKITISLVHELVGSVSDDELIELLDLALSSDTTNTVRRARELMGSAIDPLQLVSQLANLIMDILSGRCQSALTEVSKSFLGRYSLSEVGIKKLRHALKILSETEKQLRTSRNQATWVTVALLQFSTNEPNLAAEPNDMHAHSVTGYTDDWVSKVHSSANFCQACNSSKSNCSERHCRRLKLENIWRRAIGKCRSRSAKSFLRKEGFLSSVHVTEGKNKHAISIEFLISHIWIVLKMIHYMYKFSELAIAEVGFGHPDHLSRAEKMQSLIECSLQHVLGCNVEIRFKLVPCPVRKDARLKRQSFSFLNCSGRKQELSDSVVTDEDEAVRPGARETPLKGYTSSQQESPYTMQRADSKPTVHGCEDDARSTLTSNRSMTDDLTRTCRSETNYSKSVSEQGHFNSIQEPDLQPNCFSRTLKLQKKLLSSGAAHTICLRIQPHNKMDFLPKKEFDTYFCAYEPYEQCSRSNSRATYSSRDDDLWSKNSRFGSNLLLEGPKTIHVTEERMSILDGTWKTAILL >Sspon.02G0005120-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2B:15555816:15557133:-1 gene:Sspon.02G0005120-2B transcript:Sspon.02G0005120-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding IPVYPKGVSVLMPGQDMPTFIAHPAPAPCPPERIRWPSHQFRSCSEASFILLPPAASVHCGGRAAAGGSVELLLLIPLARIKDPRVYKAENIHRGKGCAKAARSQAACVVKQRPVSMLNLDRFGGDGRTGTSDDALERSDKSRPNREATRPQPPARSTAIPYGGIAAAALLQHDGRTETTGGIRATVSPTRLSKALAGRLFTCASLSR >Sspon.04G0011330-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:36586135:36590439:-1 gene:Sspon.04G0011330-3D transcript:Sspon.04G0011330-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDWVIGALINIVGSVAINFGTNLLKLGHDQREKLSLINNSEGNEKFVPKSVMHFQTWRIGILFFAAGNCLNFMSFAYAAQSLLAALGSIQFVSNIAFAYFVLNKTISVKVMVATTFIVFGNIFLVSFGNHQSPVYTPEQLIAKYSNLVFVLYCMSLVFVVAFNHYLYRSGETIISNSSKDVGTYWRTMLPFSYAVVSGAIGSCSVLFAKSLSNMLRLTMSSRYEFHSWFTYSIVLLFLCTAGFWMARLNEGLSLFDAILIVPMFQIAWTFFSICTGFVYFQEYQVFDTLRIIMFVLGMTFVFVGISLLAPDDNKADTKDGSSTAEGSIIDEDRNGKMQMEETDTDESNSFVTSVKVKAKRVLLKAKSACSMSLGLGEDTISASSVLAMPMVSSRTTGFRGIGNERSKIAISFGVGPHTGKEPEQGY >Sspon.01G0054070-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:47205956:47208364:-1 gene:Sspon.01G0054070-1C transcript:Sspon.01G0054070-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DMASTGALLSASSPPQVIANKADSVQAAAHSSAFTDSRQEYEPYTCNGSVDIKGNPASKKHTGKWRACYSIQGGEFCGSLAYYGVGTNLVSYLTQGMVLLTFAAVIPASIHTLDISPQDALPSLGLFLTALGLGGIWPCVPTFGADQFDDTDGAEKMQKGLYYNWYYFAVNGGFFFASTLLVYVQDNWGWGWGFGIPTLFSVIGIAGFLACMKLYRYQKPGGSALTRICQVVVAAVRKVHVDVPNDSSLLYEIPGKEAAIVGSRKLMHTEGLRFFDRAATISSPDETSSADVPSPWKLCTVTQVEELKILARMLPVLLAGIIFNMAEAFFPLFIEQGEVMDNYIYGFSIPPASLTTFNCLCILILAPCYNKVIMPMLSMITGKKRGLSDLQRIGVGLVFAVLSLVSAALVEMARLKIVDERGLVHRNTAAPMNILWQAPQYFFVGVAKVFTVVGFIEFAYEQSPDAMKVHGFSNSGIGKRATWMDPDNLNEGRLDRLFWLMAGLQLLNLLTFAYCA >Sspon.01G0045110-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:81053258:81056067:1 gene:Sspon.01G0045110-2D transcript:Sspon.01G0045110-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMASFWHDVSLIVLVLLIGVSNSKASPAAPLHSHSQLDVNHKKPIQTFRPYNIAHRGSNGELPEETAAAYLRAIEEGADFIETDILASKDGHLICFHNVTLDATTNVANHTEFADRKRTYEVQGENVTGWFIVDFTLKELKSLRVKQRLSFRDQRYNGKYQIITFEEYILIALYADRIVGIYPEIKNPVFVNQHVKWSNGKKFEDKFVEMLLKYGYKGEYMSEDWIKQPLFIQSFSPTSLIYISNMTNSPKLFLIDDTTIPTQDTNQSYYEITSNSYLEFIRNYVVGIGPWKDTIVPPNPKDNCLGQPTDLVARAHALNLQVHPYTFRNENQYLHFDFHQDPYAEYEYWLNEIGVDGLFTDFTGSLHKYQEWTTPYQKEKNPEALLREIANMLKNDGY >Sspon.02G0019480-2P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2B:77576376:77576623:-1 gene:Sspon.02G0019480-2P transcript:Sspon.02G0019480-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VPPTRAKSPKLTRRKSCSDTPHTPEGGNGSAVCCRLHRHSIGNSKDISSKAQCSPKSASKTGSATKSRVTKSREDLKASMKK >Sspon.03G0023030-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:93902448:93905177:-1 gene:Sspon.03G0023030-2B transcript:Sspon.03G0023030-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEKPAPVRVLYCGVCGLPAEYCEFGPDFERCKPWLRAHAPGVYPADLVAASSSSGGGDKDVDKVGERLQGVGISDGSTSAAGDASASKPDEVKRLPGGKLKKKEKQEVVIEKIVRNKRKCVTVVKGLELFGVKLSDASKKLGKKFATGASVVKGPTEKEQIDVQGDISYDIVEFITDTWPDVPESAIFFIEDGRKVPAA >Sspon.01G0006540-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:17153250:17154902:-1 gene:Sspon.01G0006540-3D transcript:Sspon.01G0006540-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SMEAAPAAVMERERLTAEMAFRDADEALRGGGGEPAPSIVIKIRRRLPDFARNIKLKYVKLGIRHGGSPTSVLPMLCVPAIAAAAYSFVRLDVIYYSIDLLTCVAWLGTALLLLTVYYFKRPRPVYLVEFACYKPEEQLKISKSAFLEMTESTGSFNEAALDFQTKITNRSALGDETYLPPGVQARPPRLNMAEARMEAEAVMFGCLDALFQSTGIDPRRDVRILIVNCSLFNPTPSLASMIINHYRMREDVKSFNLGGMGCSAGLIAIDLAKDMLQANPNSYAVVLSTENITLNWYFGNDRSMLLSNCIFRMGGAAALLSNKRADAGRAKYRLLHTVRTHKGATDECFNCVYQREDEVGKVGVSLARELMAVAGDALKTNITTLGPLVLPLSEQLKFVKSLMMRRVFRVKGVRPYIPDFRRAFEHFCVHAGGRAVLEEVQRSLSLQDTDMEPSKCALHRFGNTSSSSLWYELAYAEAKGRVRRGHRVWQIGFGSGFKCNSAVWRALRDVPALSSSSTGAAAGPDRKGAQSKSCCNPWVDDVDRYPPKAYV >Sspon.08G0000270-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:1543364:1547370:-1 gene:Sspon.08G0000270-2P transcript:Sspon.08G0000270-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAFLLLGLGFLLGILSLAIAEGLALLWAIRSLTRRSPPQLPVHPTPPRSVSRHGLNRQGFLWMLEQQKTPPGPKDSINRPSNAGSPGIRDKKLIVEVFPVKMSATLEGHSLTLSGPDDASQLTIDLLSCTVVAVSASNLPSRKWAKRYPIKLESKESDICRGSKEHVLVDNTVKTDGSSKLRGFLKRLAKKASVKASQESKTGMVPSKQDIKQPSTPSSSTSFNSQLPDSPNAKVDEKLADDGTLCWNLLISRLFFDAKMNDEMNKAIKARIQRTLSNTRTPAYIGEITLTDLNLGKLPPYLHRMRVLPPDLNESWAFEVDFEYSSGIVLYIETRLEVQAPELEKDILKTDIKDDSNGDVSSDFLDSLDQYGNQFRHSEALDSAAEVNDEADTLRKSKSTGWASTYMSRWKSIMNSIADQVSQIEWELESSVGDRKISSSYIASLIGNRIKASVRQSLVMPNCESFPISWMISDTDDWVPRRIAPFIWLNREPTETSTRHAADTTREMPGEAFASKAIAKNKSSPLPPSRRITKGSWNKTNIGVDGPEQAEASTSWQTWLVSASGAPLQSNEDATREQLRMPLLSSSGDERAGLVEEQTSPSAGEEGDAKKRKRGVRRARVMDLGRRMGGKLEEKGKHFIGKMRENARNNRLLLPDLEQATTPPAPAPS >Sspon.07G0015920-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7A:56616682:56616909:1 gene:Sspon.07G0015920-1A transcript:Sspon.07G0015920-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTATAAAPECGDAKTSWPEVVGLSVEEAKKVILKDKPDADIVVVPVGSSVTTDFVLNRVRIFVDIVAQTPRVG >Sspon.02G0036680-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2B:26209967:26210281:-1 gene:Sspon.02G0036680-1B transcript:Sspon.02G0036680-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRYRGRRRLTLRRGLATSRTTPGRRRHGGAWGPTRRRRVSRRHKPNLGAAGQRAEVLAPSGARPRRPNNAQYLGAELLNAETPDLGAARLNAEVWSLRPTILR >Sspon.02G0015520-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2D:36550326:36550927:1 gene:Sspon.02G0015520-2D transcript:Sspon.02G0015520-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGYDEPLPVTSSPMMLNQLTTAITDLARSVALQVQQGLTYRFKAGPPSTPGSPKYSTVKSYQSLPSKFTLIPQLLHVHKLHPPHVPLVSPKHSTYKSYQSSPSYLSS >Sspon.03G0015610-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3B:73606404:73606793:-1 gene:Sspon.03G0015610-2B transcript:Sspon.03G0015610-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVLMDRSAGGGNSRGAAAVAYAASADLCSEEVVEVSQGNAGSLPNGVPSYSVTITNTCLDCTVRDVHVSCGEFASTELVDPSDFRRLSYGDCLVRNGGPIGPGETISFEYSNSFIYKMEVATVSCADI >Sspon.04G0010820-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:35536699:35540650:-1 gene:Sspon.04G0010820-3D transcript:Sspon.04G0010820-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:N-carbamoylputrescine amidase [Source:Projected from Arabidopsis thaliana (AT2G27450) UniProtKB/Swiss-Prot;Acc:Q8VYF5] MAAAAGRKVAVAAVQFACTDVEAENVATAERLIREAHKKGAKIVLIQELFEGHYFCQAQRLDFFRRAKPYKGNPTIIRMQQLAKELEVVIPVSFFEEANNAHYNSVAIIDADGTDLGLYRKSHIPDGPGYQEKFYFNPGDTGFKAFKTKYATIGVGICWDQWFPECARAMALQGAEILFYPTAIGSEPQDGNLDSREHWKRVMQGHAGANLVPLVASNRIGRETVETEHGKSTITFYGNSFIAGPTGEIVKLANDKDEEVLVAEFDLDEIKSTRHGWGIFRDRRPELYKVLLTLDGEK >Sspon.05G0006570-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:18187216:18189298:-1 gene:Sspon.05G0006570-1P transcript:Sspon.05G0006570-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHGTLEVLLVGAKGLENTDYLCNMDPYAILKCRSQEQKSSIATGKGSSPEWNENFIFTVSDRTTDLLIKLMDSDTGTADDFVGEATIPLEAVYTERSIPPTLYNVVKGEKYCGEIKVGLTFTPEVVSRNLPLCLYLYTIVQILESNFNFNAFCDVYCLHPFSFVCHFG >Sspon.07G0016460-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:58223774:58230219:-1 gene:Sspon.07G0016460-1A transcript:Sspon.07G0016460-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEDDGNTTNGNGRRRRRTRRSAVRLSKLYSYACGHRPSVADDHSVSRIGGPGFSRVVLVNAAAGEPPAVADLQQQQITASGNSISTTKYNLFTFLPKSLFEQFRRVANIYFLLSAGIAYSPLAAYSSSSAIAPLVIVLVATMIKEAIEDWRRNQQDTEVNNRTTQVFQQGGDGDAVWSFRDAKWKDIRVGDIVKVHKDEFFPADLVLLSSSYDDAICYVETMNLDGETNLKLKQSLEVTSTSLPDDDSFLSFAGAVVRCEDPNAHLYTFVGNIEIDGQQHPLSPQQLLLRDSKLRNTDFVYGVVVFTGHDTKVMQNSMKVPSKRSNVEKKMDRVMYLLLFSLIVISVVSSVFFGIATGDDLQDGRMKRWYLRPDDTEIYYDPNRPAVAALLHFFTAIMLYGYFIPISLYISIEIVKLLQALFINNDIHMYHRETDTPAHARTSNLNEELGQVDTILTDKTGTLTCNSMEFIKCSIAGTAYGRGITEVERAMARRKGAPVIANPANMDKGNNSGIQLQQHFLSSSDSGGNNNKPAVKGFNFVDERVMGGNWVNQPCSDVIEMFFRLLAVCHTCIPEVDQESGKISYEAESPDEAAFVVAARELGFTFYKRTQTGVSLRELDPSSGKQVDRSYKILNVLEFNSARKRMSVVIKNEEGKIFLFTKGADSVMFERLSGSESAYREVTQQHINEYADAGLRTLVLAYRELKEDEYAYFDRKFTAAKNSVSTDRDEKIDEAADLVERDLILLGATAVEDKLQKGVPECIDKLAQAGIKIWVLTGDKMETAINIGYACSLLRQGMKQITITLETADIIALEKGSDKAALTKASKDSVARQINEGKKLVNASSGESFALIIDGKSLTYALEDDTKDMFLDLAVGCGSVICCRSSPKQKALVTRLVKTGTGKVTLAIGDGANDVGMIQEADIGVGISGAEGMQAVMASDVSIAQFRFLERLLLVHGHWCYSRISSMICYFFYKNITFGVTLFLYDAYTSFSGEPFYNDWAMACFNVFFTSLPVIAMGVFDQDVSARFCLKFPMLYQEGPQNLLFQWRRIIGWMLNGVASAVIIFFLSTASLQHQAFRSGGEVSDMATLGATAYTCIVWAVNFQMYITVSYFTLVQHVCIWLSIALWYIFLAVYGAITPSFSTTYYMVFVEALAGAPSYWVVTLLVTAAALVPYFTYAVVKSWFFPDYHNRIQWLRHREKAKAHPDPETSADVELSQVLRQFSVRSTGVGVSARRDATAVLRRLNVDYSQSPTPGVVVVTTDR >Sspon.02G0038730-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2B:44418465:44419820:1 gene:Sspon.02G0038730-1B transcript:Sspon.02G0038730-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine desulfurase, mitochondrial [Source:Projected from Arabidopsis thaliana (AT5G65720) UniProtKB/Swiss-Prot;Acc:O49543] MALSRRLLPLFLRRGAPVPARALSTAAAPAAVASEDDEEAITVKGVRISGRPLYMDMQATTPVDPRVLDAMLPFYLSRYGNPHSRTHLYGWESDAAVEDARARVASLVGADPREIFFTSGATECNNIAVKGVMRFYRERRRHVITTQTEHKCVLDSCRYLQQEGFEVTYLPVRKDGLVDLAQLEDAIRPDTGLVSVMAVNNEIGVVQPLEEIGRICKEKGVPFHTDAAQALGKIPIDVNRMGIGLMSLSGHKIYGPKGVGALYLRRRPRIRVEPQMSGGGQERGIRSGTVPTPLVVGFGAACEIAAQEMDYDHRRVSALQQRLLDGIRAQVDDIVINGSMEHRYPGNLNLSFAYVEGESLLMGLKEVAVSSGSACTSASLEPSYVLRALGVEEDMAHTSIRFGIGRFTTEEEVDRAIELTVHQVKNLRDMSPLYEMAKAGIDLKSIEWSQH >Sspon.08G0008520-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:32024157:32032482:-1 gene:Sspon.08G0008520-1A transcript:Sspon.08G0008520-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VSNGATFVKIDKMKHSPDGDYSLVIEDNGGGMSPKSLRHCMSFGFSQKCTTSSIGQYGNGFKTSTMRLGADAIVFTCTKDDRRLTRSIGLLSYTFLMRSNCSDIFVPAVDYELDASSSTFKRKMNCGEKHFLSNLSTLLKWCPFSTEDELLNQFSDMECHGTKIFVFNLWLNDALEMELDFITDKEDILISGAPEIRAGRNTVESLTQMHVANRFRYSLRVYASMLYLHVPENFQIILCGRAVEPHYVVNDLIYRECIKYRPQVEVTTEVDVITTIGFLKGAPRLDIYGFSVYHKNRLILPYWCAGSCSGRRRGIAGVLEANFIRPTHDKQDFERTGLFQRLETRLKDMAKETYHCHMVGYNPVMKRPPPAHYVSTTAENRDDNLAAQSTTKTHGYNSRARNYANKESLLQRFTGDLKKGGTPMFILIDLKAIMAQRCSELEEAGKQLASKLFRLLVT >Sspon.03G0028650-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:10333290:10336258:1 gene:Sspon.03G0028650-1B transcript:Sspon.03G0028650-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSVGVKIYSVFFKLFLRHRLQSLAAAGDLDNAAFGVSCRPDEATAPPNPAFSAAADGVASKDLHIDPNSSLSVRIFLPTPPPPSPHAHLLAHAPSRRASDPTPTPPAPAAGAPYRGYLPHAVASPRAAVSARRRLPIVVQFHGGGFVTGSNTAAANDAFCRRVAKLCDAIVVAVGYRLAPESRYPAAFEDGVKVLKWIAKQANLAMMTKVGGGVDTFGASTVEPWIAAHGDPARCVLLGASCGANIADYVTRKVVEDGKPFDPVKVVAQVLMYPFFIGSVPTHSEIRLVNSYFYDKSTCLLAWRLFLSEKEFNLDHPAANPLAPGRGGPPLKCMPPTLTVIAEHDWMRDRAIAYSEELRKVNVDSPVLDYKDTVHEFATLDVFLKTPQAQACAEDIAIWMKKYISLRGHEFSY >Sspon.05G0015610-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:55863140:55864429:-1 gene:Sspon.05G0015610-1T transcript:Sspon.05G0015610-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSRVTKSLPFLVAPAEATPAGALPLTAMDRALASLPVTALFVFENPIDQPAETIRRAMSRALVPCYPMAGRLTVIGDQLKIACTGEGAAFVRASASCTLQEARLSGPRPVVTVEDLTMNYAGQYSTDPPLLLVQVTEFSCGGFVVGVTWNHVVTDGAGMAQFLQAVGEFARGVPSSPSVLPVRVDPTLAELPPSITTMTKALVGSEHSDFPSSYIAVPPSFIDRVKDEFRRRRSGGGGGQEVAPASCTAFDVFTASIWKCHARATIASAASQDAPTALTFTVNVRKPAKAKDGYYGNVFAFGLAASTMREVADGDIVDLVRLVKDAKARVPYTFADGAAYIADEMGGRLKGLDGYDTLYLTSWWNLGLDDVDFGSGGAERVIGNMERKVVPACILCGRKDKAEGVAAMALCVKQEHAEAFHAELQSLK >Sspon.04G0020170-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:79370330:79375878:-1 gene:Sspon.04G0020170-3D transcript:Sspon.04G0020170-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPDGGGGMVTMIPPDDHLRSRSRLAAVLAPLLLFLAAVLSFPSTLRLAAIPLPTPLQRPVLMTPHQPPRRTTATTSPRVAVCLVGGARRFELTGPSIARHVLAGGAFAVPSALGQNSNQTAVDVFLHAPLDADAYKLSLLARAAAAAAGNSSNNVSLAAVRVFRPERLDVTTPPARAQVLTALNSPNGIQGLLQYFRLVEGCLDLIRDRESRGNFTYAAVLRTRLDGFWTAPLRLDDADLLLPSASSTDYYVVPEGSRYGGLNDRLGYGGRAATEAALSRLSMLPQLAAAGYEALNSEAAFAAQLKVSGVAARERRLPFCVLSDRAYAFPPVPGYGVPVASVGSAGPLSGAKCRPCRPACVGACAERSVARLQSGWSWTEYRNGTVELCDASGPWEDGWEALFDAAAGDDAARVRRSVARMGARECVAQMEAFKALAERWDAPSPVEICRIGFRARTAAAANVSAGGDS >Sspon.08G0006020-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:19071309:19073835:-1 gene:Sspon.08G0006020-1A transcript:Sspon.08G0006020-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSRRSMTCPAATPCGPPAPRAPPTEDHGLRWLRHRRRRRPSAHALSASAKAGVVLRNLRAGACVHGTIIVRGYGDDDVVLGALVDMYGHSGAPGDARRVFEEMRAPDGICYTSLISAFVRNDWFNEALRWFRAMVMTDGVWPDGCTFGSMMTVLGNLKWARQGREAHAQVVTRGLCGNVIVESSTLDMYAKCGMMVDARKVFNMMKVRNAVSWCALLGGIVRVGSMRRDVIVDPHSWTCTRNVVR >Sspon.01G0019110-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:72782069:72783662:-1 gene:Sspon.01G0019110-3C transcript:Sspon.01G0019110-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MELDQGKVFIGGISWETTEEKLSEHFSAYGEVTQAAVMRDKITGRPRGFGFVVFADPAVVDRALQDPHTLDGRTVDVKRALSREEQQASKAANPSGGRNTGGGGGGGGGGGDASGARTKKIFVGGLPSTLTEDGFRQYFQTFGSVTDVVVMYDQNTQRPRGFGFITFDSEDAVDRVLHKTFHDLGGKLVEVKRALPREANPGGSGSGRSGGSGGYQSNNGHNASSGGYDGRSDGGRYGQAQQGSGGYPGYGAGGYGAGAAGYGYGANSAVGYGNYGAGGYGGVPAAYGGHYGNPGAAGSGYQGGPPGSNRGPWGSQAPSAYGTGGYGGSAGYSAWNNSSGGGNAPSSQAPGGPAGYGSQGYGYGGYGGDPSYASHGGYGAYGARGDGAGNPATGGASGYSAGYGSGGANSGYSSAWSDPSQGGGFGGSVNGGAEGQSNYGTGYGSVQPR >Sspon.03G0042340-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:50131374:50132186:-1 gene:Sspon.03G0042340-2D transcript:Sspon.03G0042340-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DMATPVTFAPRRQQQAPEAVVGTAKKSSTTSTALWVLGLLTASAAITVAVYVLPPEVHKNAYFLAISCFFFAGVGGVMSAVCMATTTHRHATSWRKVMHVLHSQLASVVGAAFTPFSASTALGVLGLAAASTAITLAVCVPPPALHKNAYFLTISCFFFAGVAGVMSTVCMAAATQLRHRDTTGRKLMYVLLARLASVVVGAAFSTTTVMGVLGLAAASTAMNLQSVCQHHQPPRGVGESDYYYHLAISAVFFAGVAGVMAAVRDGGQVAK >Sspon.08G0020530-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:25159456:25160800:1 gene:Sspon.08G0020530-2C transcript:Sspon.08G0020530-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARREDDSYTNGSVFEVSVEEGRKDKSEAYAIDEPQPAAEAEADDDALCGMSASVSFIQQVTDNHLALFSALGFAIIQLIAEFFATFFLIFAGCGVITVNDKNDGKATFPGVALVWGMTVMAMIYAVGHVSGAHINPAVSVGFAISGRFPWRKACHVPAYMLVQTVAAAMASLVLRLMFGGEHLRASVTVHADGGSNIQSLVLEFFITFYLMFVVMAVATDDRAVGQMAGLAVGGTIILNALFAGPVSGASMNPARSIGPALVGNKYRSLWVYIFGPFAGAAAGAWAYNLIRRTDKTLAE >Sspon.02G0032670-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:113504148:113517492:1 gene:Sspon.02G0032670-2B transcript:Sspon.02G0032670-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCSMTSSILSANYNTILFEFGVILVSSKVLYALLRKVYQPRVFSDLLLGIILAQFRVLSLTNAINLVFGKIGGFVFAPYLFALGVEMDPTTLLDAPTGDSVVAYAGILSTCILVTLFHMPLMQATSGVVHERSLRSFLGLAAVLANTASPVLTRLTTDLKIAKTAVGRLAVGAGLASDMLTTMLIAVGSMIWRDTGVDGRESDDSPIVQPVLTAAVLVVVIVSAFVSRAMAEWVGARNPEGRRMRGFDLSLVALAAAALCWLSSALRLNVNMAAFLVGLAFPSEGRVSRLLVSKTNFVLSSFVLPLYVAHVCLSLRQTTDDIEVAGLTRDDGFRAYVMELPFPWWKVFFVTVMGTLGKLTGCAAAGLLRGLGMLEALALGMLLNVKGYFHLYCAQAAFDAGIITDKSFMAIIFMVALNVAVTPMVGMGIASWARRSVQWRLMGLQHHDPSTELRLVVGLHGPQDVPTLSYIMEALRWGGGAGGGGELAVYAVDMVQMTDQTAAAIVKGGGFDGVTVVDEEVSEMRKLIGEALDAYQAECVGGAKVKVRRLLALSSFPDMHSDMCICAEDAMAALVLLPFHKAQRVDGSMEPGHHGFRVVNQKVLQLAPCSVGVVVDRGLGGKHAAAVVSGSSSQQPQTQAAAVVVVFIGGADDREALTLASLMSKHPGVRLTALRVVQNATAQARARARTSLFESKGSRRGGGGGGGGSGSGMMGAPASSALGQEEAQMQVDDKFFAEFYRKHVAGSKQPGAAVAAIGYLEKHVADGAELVAVLRGMQAEYRLFIVGRGRDRSSVLTEGLDEWAECLELGPVGDILASSDFSTTASVLIVQQYDAKKHYKVIDDEFMPFILSANYNTILFEFGVILQGPVRAAPQSVPAARLLRPAAGIILAQFRVLSLTNAINLVFGKIGGFVFAPYLFALGVEMDPTTLLDAPTGDSVVAYAGILSTCVLVTLFHMPLMQATSGVVHERSLRSFLGLAAVLANTASPVLTRLTTDLKIAKTAVGRLAVGAGLASDMLTTMLIAVGSMIWRDTGVDGRESDDSPIVQPVLTAAVLVVVIVSAFVSRAMAEWVGARNPEGRRMRGFDLSLVALAAAALCWLSSALRLNVNMAAFLVGLAFPSEGRVSRLLVSKTNFVLSSFVLPLYVAHVCLSLRQTTDDIEVAGLTRDDGFRAYVMELPFPWWKVFFVTVMGTLGKLTGCAAAGLLRGLGMLEALALGMLLNVKGYFHLYCAQAAFDAGIITDKSFMAIIFMVALNVAVTPMVGMGIASWARRSVQWRLMGLQHHDPSTELRLVVGLHGPQDVPTLSYIMEALRWGGGAGGGGELAVYAVDMVQMTDQTAAAIVKGGGFDGVTVVDEEVSEMRKLIGEALDAYQAECGGGAKVKVRRLLALSSFPDMHSDMCICAEDAMAALVLLPFHKAQRADGSMEPGHHGFRVVNQKVLQLAPCSVGVVVDRGLGGKHAAAVVSGSSSQQSQTQAAAVVVVFIGGADDREALTLASLMSKHPGVRLTALRVVQNATAQARARARTSLFESKGSRRGGGGGGGGGSGGGMMGAPASSALGQEEAQMQVDDKFFAEFYRKHVAGSKQPGAAVAAIGYLEKHVADGAELVAVLRGMQAEYRLFIVGRGRDRSSVLTEGLDEWAECLELGPVGDILASSDFSTTASVLIVQQYDAKKHYKVIDDEFMPL >Sspon.03G0016390-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:85704075:85705259:-1 gene:Sspon.03G0016390-2B transcript:Sspon.03G0016390-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DFEEYLRLQSRTFVQYYRCLSLSLLKKENADEDGNRVIMPPSALDRLTRLNNIQYPMMFQIKNPSTERVTHCGVLEFVADEGFIHMPSWLMAHLGVLENEIVLVRSTSLPKATFIKLQSRTKDFLDVSHPRELLEYNFGKFPCVTAGETIAVTEGERRYYLDVLEARPADAVCSLDTDCAVDFAPRPDYVEPPPPVVASQGNNDKPLQPARFTGVAARMDGKPVEQPPTPSPAAAVNAVAPGVPKRKVRFGGPSAAGSGVSKGKEEGGAGKEQEKRFTGTQYSLNS >Sspon.04G0008300-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:23941379:23943841:-1 gene:Sspon.04G0008300-3C transcript:Sspon.04G0008300-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Two-component response regulator-like APRR1 [Source:Projected from Arabidopsis thaliana (AT5G61380) UniProtKB/Swiss-Prot;Acc:Q9LKL2] MVGGGEGDRVGGAGGGGLGVGGGQQFVDRSQVRILLCDGDATSSREVLRLLCNCSYHVTCAKSPRQVINILNYEGGEIDIILAEVDLPVSKCFKMLKYIARNKDLRHIPIIMMSNRDEVSVVVKCLRLGAAEYLVKPLRTNELLNLWTHVWRRRRMLGLPEKNFFNDNFELVLSEPSDANTNSTTLLSDETDDRPKENTNQETGTSNQLEYESNPSVAEPDQRDKMEGLPGSVLDASQASSPGRMFSRPIKTNLRIAGSSAFLAYVKTSTPTTSSFDSELQRGGSRLDSLDNQGNCSCATDRSDTGTDVNIRNKEAFEMPVQYPMVCFSSSNIHMERSNEGHNDTSGTPPVYHFPFYYPGMVEHNMAVSSVQNFQANINNAQAHTPPMMLPQYNVYPQCHALPMISSYQFNPAGMNMHSSHLPTQNVWSSASSTPMPEETCNRSERRAAALAKFRQKRKERCFDKKVRYVNRKKLAETRPRVRGQFVRQASNMDIISTGDDISEDEDDDPSSREVDMVSSPE >Sspon.04G0021930-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:2332155:2336420:-1 gene:Sspon.04G0021930-1B transcript:Sspon.04G0021930-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNVTSSVAARLAFFPPEPATYGVEAQDGAGSLLRMTGVSPDAGVEVRALLTRAGTRVVSAFWRHPAARLTLLYSHGNAADLGQMLGLFLELRAHLRVNIMSYDYSGYGASTGKPSEYNTYNDIEAVYDCLKKEYGIEEEDLILYGQSVGSGPTLHLASRLQKLRGVVLHSGILSGIRVLYPVKVTLWFDIFKGTADDIVDFTHGKRLWELAKEKYEPLWIKGGGHCNLETYPEYIRHLRKFINAMEKLAKDSKAAQAPTSSSMAGEVRHTKCLRFGKR >Sspon.05G0013470-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:47175733:47179552:-1 gene:Sspon.05G0013470-1A transcript:Sspon.05G0013470-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTPASAAAAPGSGRGVLRLLRSSACSLLPLAAAARPGARLTTALRARTQPAEPELVEQSVNTIRFLAVDAVEKAQSGHPGLPMGCAPLGHVLFDEFLRFNPKNPAWFDRDRFVLSAGHGCMLQYALLHLAGYDAVKMDDLKAFRQWRSRTPGHPENFETPGVEVTTGPLGQGFANAVGLALAEKHLASRFNKTDMKIVDHYTYVILGDGCQMEGVSNEAASLAGHWGLGKLIAFYDDNHISIDGNTDIAFTEDVLARYEALGWHTIWVKNGNTGYDDIRAAIKEAKGVKDKPTLIKVTTTIGFGSPNKANTYSVHGTALGSKEVEATRSNLGWLHEPFHVPDQVKRHWSRHIDEGASLEAEWNARFVEYEKKYHQEAAELKSIISGELPSGWDNALPTYAPEISPDATRNLSQQCLNALAKVIPGFLGGSADLATSNMTLLKMFGDFQRDTPEERNIRFGVREHGMGAISNGIAVHSPGLIPYCATFFVFTDYMRASIRLSALSESGVIFVMTHDSIGLGEDGPTHQPVEQLFSLRAMPNILMLRPADGNETSGAYKIAVLNRKRPSIIALSRQKLPQLKGTSVDAVSKGGYIISDNSSGNKPDFILIGTGSELEIAENAAGELRNKGRTVRVVSLVCWELFEEQPEEYKESVLPNEVTSRISIEAGVTFGWEKYIGQKGKAIGIDRFGVSAPAGKIYKELGLTVENVIAAAEAL >Sspon.03G0020500-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:82963932:82968970:1 gene:Sspon.03G0020500-3C transcript:Sspon.03G0020500-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKALLSSSLLPALPRATGGNRSRPLLLPPLRLRRGRRAASGARAGLHGLDSAALERAEAALYTLADAAVAAADAAAGAGAGGDAGEAAAAAQKNGGWFGFISEALEVVLKVLKDGLSAVHVPYAYGFAIILLTIIVKAVTLPLTKQQVESTLAMQNLQPQLKAIQQRYAGNQERIQLETARLYRQAGVNPLAGCFPTLATIPVWIGLYQALSNVANECLFGLSVFYGMKGLFTEGFFWIPSLGGPTTIAARQSGSGISWLFPFVDGHPPLGWHDTICYLVLPVLLVASQYVSMEIMKPPQSDDPSQKNTLLILKFLPFMIGWFSLSVPSGLSIYWLTNNVLSTAQQVWLRKMGGAKPVVSEGGSGIITAGRAKRSNAQPAGERFRQLKEENGRKLNKALAAGDSNASSSTYDMEDEELDDETTEEGGPVEEASSTSSDKKLPSYSGKKGKRSKRKRMANSVDQLGATVDLGDSFGGLLEAYI >Sspon.06G0027390-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:85107340:85111577:-1 gene:Sspon.06G0027390-1P transcript:Sspon.06G0027390-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPNMLPFGVAGQFNPLVIQPQAMTQQATRHARRVYVGGLPPSANEQTVAVYFNQVMAAIGGNTAGPGDAVLNVYINHDKKFAFVEMRSVEEASNAMALDGIMFEGAPVKVRRPTDYNPSLAAALGPSQPSPNLNLAAVGLTAGSTGGLEGPDRIFVGGLPYYFTEAQVRELLESFGPLRGFDLVKDRETGNSKGYAFCVYQDLTVTDIACAALNGIKMGDKTLTVRRANQGASQPRPEQESILLQAQQQVQLQKLVYQVGALPTKVVCLTQDLKVRPVILFPNNFSSGNLVKVIIPRPDPSGQPVAGVGKVFLEYADIDGAAKAKTALHGRKFGGNPVVAVCYAEDKFSNGEYDG >Sspon.01G0026240-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:102833432:102840080:1 gene:Sspon.01G0026240-4D transcript:Sspon.01G0026240-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWLARSLATSLNIPDDSGADDDPDATPTPSPSARIPPPPPPPLPTHPLHSGAAEGVKEDLTELSKTLTRQFWGVANFLAPPPGETSPSPSPSPQSAGDQSADARTPPEIAGIRNDFAEIGGRFRSGISRISGHKAVSGFSRMASSFFAPDDDAENEWEEERRRHIRYEMEEEAEGDEEWHQWEERVGLRVDDGNARHELEMERVRDEEDDELEELRARHEDDGELGEQRRHEEDGEPEELRVAHEEEEVEDWDVIGITEEVLVFATNIARHPETWLDFPLLPDDDESDGPFSYFDMSDAQQEHSLAIEHLAPRLAALRIELCPIHMSEECFWKIYFVLLHPRLNKRDAELLSTPQIVEARAMLMQRLQYQSKLENEQLGHHKDDVGVRLRENTLRGGTEAFPFMRQEAASVMPITEIEIEKHPIQVTEVAVVDKSVIREELPKDHTETSNVLQEAFDDDIDDWFDEEADLAGHPTIHIGDEEDVSFSDLEEDDVK >Sspon.01G0024820-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:88301971:88302318:1 gene:Sspon.01G0024820-1A transcript:Sspon.01G0024820-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIESVKCECCGLREDCTPDYIASVRAGFHGQWLCGLCCEAVRDEACRKKAHPGVEEAVRAHMAFCKMFKSNPAVRVADGMRQMLRRRSGDMSKPESAKKYSTSQVGDESSVTLY >Sspon.05G0031480-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:6243589:6246781:-1 gene:Sspon.05G0031480-1C transcript:Sspon.05G0031480-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding KARSAVRASQRPPITHTESRAHRGAAQRFPAMATTSVAASSPHPYLSRFRSRRRNPSATSTASVRGRLPPIRCSSTSSSPPPATGGEEGESGRRQLSKQSSWEAKDAEGDDYLYRLGKEADNLNVTVGARAGIVDDLFVGNFLGKDSDIVFDYRQKATRTFEYLQGDYYIAPAFLVNQGECAYISSNLPPTRHMPLTTASKIMRANRVRTEKKKAPKSKKTAKSKKGTTAE >Sspon.01G0054930-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1C:71389329:71391863:1 gene:Sspon.01G0054930-1C transcript:Sspon.01G0054930-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LAPAPTPVPIPSPAQAAAPACACCACPTACASCCIYPTSVMAARTATVRRERRGQGELRCTTRDRRGGQEADGGPLAEVLEWQTGNNDGLDYRGTSRWGVPGDGHEREQTRVWTLGHNVSEVEIFLAADQAFGPHGGAGCGCGLPLHGRAAAVSLSTGKRRSWVATPVGGGERRGGLCKMGKSIYSCGVQ >Sspon.04G0003700-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:45627586:45634137:-1 gene:Sspon.04G0003700-3C transcript:Sspon.04G0003700-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLICYTSNKFPTDYIPTVFDNFSANVVVDGTTVNLGLWDTAGQEDYNRLRPLSYRGADVFVLAFSLVSRASYENVMKKIFVKTSTTYLTILARYLLLQHRGRNFASTLAQLVTSSAAQKLSRSVALVQVLCNFFGVPGGLKKPNHTDRVSGDMEMEKMMGWQIPAFGMWNYCNDLSITQYFDSAMQARLIKRCWSRRGSDAGAAVVVGEKGGVACGDEQLVLFRTPSFQRKPAAQIKVIRREVEKHCDGNELQDGGGVRPDEVVAYPVKRKVVISKAVDEDLYKVPQPPLYQKPRKMRKVVWSMWIGCLGLDCIA >Sspon.07G0007080-3P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:14962333:14964750:-1 gene:Sspon.07G0007080-3P transcript:Sspon.07G0007080-3P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQENGATNGHAAEEHQDVMEVEPKQRRAPRLNERILSSLSRRSVAAHPWHDLEIGPEAPAVFNVQVVEITKGSKVKYELDKKTGLIKVDRVLYSSVVYPHNYGFIPRTLCEDNDPMDVLVLMQEPVLPGAFLRARAIGLMPMIDQGEKDDKIIAVCADDPEYRHYNDISELSPHRLQEIRRFFEDYKKNENKEVAVNDFLPAAAAREAIQYSMDLYGQYIMQTLRR >Sspon.02G0012470-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:32864828:32872463:1 gene:Sspon.02G0012470-1A transcript:Sspon.02G0012470-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPWPRTAGAAPVPPPEAAAAAATAAATPAPTSEQHRVKEEGNAAAAAVPKQEEEEAKPHLPREDDSEAVIQEHEQKINRYQAILAARLKAKFFSKKAFDRGNIFESETIVGGETIRSSRWPCTRSFANPEIFSRDKNSHEKGNSPSSAADSSAKNNSPPLAGGYLLYPHHTTVDIPALVRFPMFKLGIERAC >Sspon.07G0031170-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7C:17742891:17743483:-1 gene:Sspon.07G0031170-1C transcript:Sspon.07G0031170-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKLELPWAKADPWSQPRHKGTVSCFSVLSNNWYQSQVLFLPLLSFLAPPIHGRATRSPHLAHRNLLLALPFSPSHSLAGASFLGSRRESSLPLPPAGPSRRHCHADHVPARAGPSPSPSPTAQTAESSRS >Sspon.05G0007810-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5A:24356786:24359834:-1 gene:Sspon.05G0007810-1A transcript:Sspon.05G0007810-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MWVHHIFPARARLAARSRRLIDSSPLDSRTPSERDTTAAAAVHGRTATKDELLRQPSTARLSPPPPRICAPTPADDATPPPAHRVACAVHVATVRIHKTATTARRMLRGDPAAALGTRMARFPEAVVARGDLATPLRPPQRVPSGRRYVVHAVDAEVLDSVPKEFETEEAGEDDGDAGASAITVKRHYWEWLDAIDGGAQPIEDFADKALKMDGESAEKIVGGDDEVRPKEKNEDPNDYSECVSTRHPELTVLNLDVTLLKTETISIKGHEATVLKAVITSMT >Sspon.02G0011000-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:28413168:28414640:1 gene:Sspon.02G0011000-2B transcript:Sspon.02G0011000-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glucuronate 4-epimerase 6 [Source:Projected from Arabidopsis thaliana (AT3G23820) UniProtKB/Swiss-Prot;Acc:Q9LIS3] MPSSGIIAVDAAAKGMKLERYASGGALLLRRATSAKLVSASSHLLFRATVLATLALVFLFTLHYPSLLLRSFHLSAGGGDGSAGGAHSSAASHRSLLMSSSASYGGAAWEKEVRRSARPSRDGGISVLVTGAAGFVGTHCSLALKARGDGVLGLDNFNSYYDPSLKRARQTLLASRGVVVLDADINDGLLLEKLFDVAAFTHVLHLAAQAGVRYAMEAPQTYVASNVAGLVSVFEVAAKHADPQPAIVWASSSSVYGLNADAPFSEDHRTDRPASLYAATKKAGEAIAHTYNHIYGLSITGLRFFTVYGPWGRPDMAYFFFARSIVAGEPITLFRAADGSDARRDFTYIDDVVKGCLGALDTAGKSTGSRSGKKSGPAPLRVYNLGNTSPVPVTRMVAILEKLLGKKANKRIVTMPSNGDVPFTHANVSHAAHDFGYRPTTSLEAGLRHFVDWFVNYYKLDTKIAKGARSAAADKPAKKKKAAAAMSASS >Sspon.08G0001840-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8A:5596826:5604187:1 gene:Sspon.08G0001840-1A transcript:Sspon.08G0001840-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSTSWAYLLYQLLGRASFHLFHYDLKGRWHTSLGCPSLFPEGRQSLPEADVPVVHQLVTRLPFASPIRLAHQETNAATEEGYAAARQRGAPPAGSSKPVPFNCSESRVPEGGEICIGGLGGLGYTRTSRLPRLEHKIHLPSSPAPNGLGRPSMHWALLSAAIRSDRRSRPILVVRPLPSEGAASRRGWGAPRRRNSGDRLNDPQLPLRKLLHQPKYPGFSLNLTMASASSPHRKLLHSLVYWAVQRCRMSESPCRLTVSLKRPAEPARSSPLRVSVSDTGVGSKLEEFLELDALARETSVEKWGINDEAIYRYRINLQEEISSARFSKLATTYKNHARFRDLLKIGTGAANHVDRRKSKGLHVEVVIVIAHTASDLTCCMVREYVPDLAESIAGLILSSTDEEFQDECIALLGLGSEQDISEGAVQSSISEKMIRIIEMNDTKENAEDNVPYLFECEKLDENSELDEEDGDEEMAFDF >Sspon.01G0031890-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1A:108709425:108712916:1 gene:Sspon.01G0031890-1A transcript:Sspon.01G0031890-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLVRARLSSLDDDDDASSLSSASSSPPLSPAASSSSTPPTPRARAAVLAAPRVAAQLSSTEEDVSESFDDTTASSGDDADLAEEVSNGFFFTVARVPPPTSEDPSPVPGSEAAAAPEGGDSLGHAEEPLEDSSESVFQALDAGARNGLDGGVIADGDGTDAEGSLGGSFQTSGSFIGAQDGGEPAASGDFVTVSDGTVLKDDDKQGEQAVNDAESEPLLMPVAGVNDLGTVDALEGKGVPDREVAESLPIPGATEGEDAGAQLCSGESDAKGTTAKLDTSPEYAATGEVTSDGAQVRDNVDNPDSIADGGHNKVDEEADGYHDASDDLTSIPISSSDDAVELLAKELEDNVPTSKGTHFGLDDSDDVEINGDDDYEEEMNGKEVELFDYAALIELLRAASSSPEQGKAKVFPVESSETRQLPPTVASNARTSMASAPVPEVTADPVKEMTDEEKKIYRKVDMARIKYMRLVHRLGYDTNHQVPVQVLYRLSLVEGFRRVRMTNHSSEIENAWKRALQHEAEGTEDLEFSCNVLVLGKTGVGKSATINSIFGEDKSKTNAFLPATSSVKEITGVVDGVKFRVIDTPGLGTSAKDDKSNRKVLKAVKKYMKRCPPDIILYVDRLDTQREEVNSLSLLRGITSVLGLSIWPRTIITLTHSGADPPEGPNGSAVNYDMIVTHRTHAIQQSIRQITNDPRIQNPVALVENHHECRRNAEGEKVLPDGLIWRRLLLLLCYSLKMIAEIDSLSNRRASSTSFLGRFFQVPPIPYFLSSLLQSREHPRRSNEHNVGSVDSDFDLDELLNGDQEDEEDNYDQLPPFKPLSKSQVAKLSKEQQKLYFDEYDYRTKLLQKKQLKEQLERFKEVKKKEGDDNDVPSDDDHPDDGYDTDRYPMPEWTLPSSFDSDDPVYRYRCLVSTPNLLVRAVNNPDGWDHDYGFDGVSVQHSHDVANKYPASLWVQVNKDKREFTIHLDSSMSVKHGDYASSLAGFDIQTMMNQLAYTLRGETKFKNFKKNITTGGLSMTFLGNTMVAGAKLEDKLLVGNRLTLSGNTGAVSMRGDAAYGVNMEAILREKSYPVGEGLATLGVSLVKWRKEWTMAANLDSQVSVGRSSNMAVHVDVNNKLTGRVSIKANTSEQLNIALLGTCSVIMYLWNKMHPGADPNAE >Sspon.03G0015760-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:70882843:70883938:1 gene:Sspon.03G0015760-3C transcript:Sspon.03G0015760-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding PEMKRGSPRVAQLAALTTLLSWIVVSSVASPEAPLQVGYYSRTCPRAEDLIRNVVHAAIRRDPGNGPGLVRLFFHDCFLQGCDASVLLDAAPGSNASTVEKASQANNPSLRGFSVINRAKRVLERRCRRTVSCADIVAFAARDACGLMGGVDFAMPAGRRDGRVSNASEVLNNLPAPFANATVLVDGFAAKNLTADDMVTLSGAHSFGRSHCSAFSFRLYPQVAEDMNATYGRYLRTRCPAATGRRDRVVDLDPRTELRLDNQYYRNVQTREVLFTSDVTLLSRNDTAALVDLYARNRTLWASRFASAMVKMGHLDVLTGSQGEIRKFCNIV >Sspon.02G0005260-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:15901883:15904775:-1 gene:Sspon.02G0005260-2B transcript:Sspon.02G0005260-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKEKVHINIVVIGHVDSGKSTTTGHLIYKLGGIDKRVIERFEKEAAEMNKRSFKYAWVLDKLKAERERGITIDIALWKFETTKYYCTVIDAPGHRDFIKNMITGTSQADCAVLIIDSTTGGFEAGISKDGQTREHALLAFTLGVRQMICCCNKMDATTPKYSKARYDEIVKEVGSYLKKVGYNPDKIPFVPISGFEGDNMIERSTNLDWYKGPTLLEALDQINEPKRPLDKPLRLPLQDVYKIGGIGTVPVGRVETGLIKPGMVVTFGPTGLTTEVKSVEMHHESMQEALPGDNVGFNVKNVAVKDLKRGYVASNSKDDPAKEAASFTAQVIIMNHPGQIGNGYAPVLDCHTSHIAVKFSELLTKIDRRSGKELESAPKFLKNGDAGFVKMIPTKPMVVETFSEYPPLGRFAVRDMRQTVAVGVIKSVEKKDPTGAKVTKAAAKKK >Sspon.01G0021250-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:78130232:78135445:-1 gene:Sspon.01G0021250-1A transcript:Sspon.01G0021250-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAVAKIAMEWLQDPLSCVFLVTLAVVLLQLRRRGKAPLPPGPKPLPIVGNMALMDQLTHRGLAALAEKYGGLLHLRLGRLHAFAVSTPEYAREVLQTQDGVFSNRPATIAIAYLTYDRADMAFAHYGPFWRQMRKLCVMKLFSRRRAETWVAVRDECAALVRGVAVSSGGGEKAVNLGELIFNLTKNVTFRAAFGTRDGEDQEEFIAILQEFSKLFGAFNIGDFLPWLGWMDLQGINRRLRAARSALDRFIDKIIDEHVKRGKSPDDADADMVDDMLAFFAEAKPAAAVNGGAAANGDDLQSTLRLTRDNIKAIIMDVMFGGTETVASAIEWAMSEMMHSPDDLRRVQQELADVVGLDRNVNESDLDKLPFLKCVIKETLRLHPPIPLLLHETADDCVVGGYSVPKGSRVMINVWAIGRHRGSWKDADVFRPSRFTPEGEAAGLDFKGGCFEFLPFGSGRRSCPGTALGLYALELAVAQLAHGFNWSLPDGMKPSELDMGDIFGLTAPRATRLYAVPTPRLNCPLY >Sspon.01G0021160-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1A:77801813:77802294:-1 gene:Sspon.01G0021160-1A transcript:Sspon.01G0021160-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSIIRKKFQQFQESAIAERLKEEEKAVNDAKSDRVKDPVLANTSAIGKTQNIDTDLGMATNDDEGGVPEVGDSVYVPKLKNEATVVKIDSSKNEVQVQAGMMKLKLKLKDVKVQKRKVSR >Sspon.02G0002540-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:8347375:8349013:-1 gene:Sspon.02G0002540-2P transcript:Sspon.02G0002540-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RAMGLAWMVAAAVAAVLASWAFNALVHLVWRPHAITRRFREQGVRGPDYRFFSGSLGEIKRLRGEGAAVTLDVDDHDFIPMVQPHLRKWIAPAGRTFVYWTGARPNVCVADVNVVRQVLFDRTGLYPKNLMNPHVSRLLGKGLVLTDGDDWKRHRKVVHPAFNMDKLKMMTVTMSDCARSMMSEWEAQLAKGGEVEVELSSRFEELTADVISHTAFGSSYNEGKRVFLAQRELQYLAFSTFFNVQIPAFRYLPTEKNLRTWKLDRQVRGMLMDIIKTRLATKDTAGYGNDLLGLMLEACAPEHGETPVLSMDEIIDECKTFFFAGHDTTSHLLTWASFLLSTHPEWQDRLREEVRRECGDEVPTGDALNKLKLVNMFLLETLRLYGPVSLIQRKTGSDLDLGGIRVPEGAILTIPIATIHRDKEVWGDDAGEFKPERFENGVTRAAKYPNALLSFSSGPRSCIGQNFAMIEAKAVVAMILQRFALELSPKYVHAPIDVITLRPRHGLPMLLKR >Sspon.01G0055860-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:83886055:83892667:-1 gene:Sspon.01G0055860-2D transcript:Sspon.01G0055860-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVNGRGVNSVLRRTTFKGMDALHAAAGGKGKLPICRYLVEEAKMDVNKRDTFKGKSMTPLQHAVFSGNLPIVRFLLDHGADLHQEGSLGGHDRCTALHTAAEKGRCEIAKLLLSRGAYVDGKSCHMTPLQLAVHGGHDSTLKILLDHNADPNKEVNLSTPLTIALRTPSLSCLKLLIQAGAEVNGIGNPLATAAQEGLTEAIKCLLEAGANPNTTDWYGRFPIELAAVYGTREDVEILFPCTSPIPTVTNWSIDGIINHMELERKQREAVKVDPYDAGLFSSRSLCWLRTGDGKRALQDAVRCKMLCPKWAKAYHRQGQALILLKVRLKTQGVALVHISNCLYFELVALCLEFPF >Sspon.05G0023400-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:4283932:4287326:1 gene:Sspon.05G0023400-1P transcript:Sspon.05G0023400-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASRAWLARATAAAVLGFVLVVASAEAASGDVEMVFLKAAVAKGAVCLDGSPPVYHFSPGSGSGADNWVVHMECAVRKGNFRGSSKFMRPLSFSGILGGSQKSNPDFYNWNRVKIRYCDGSSFTGDVETVDTAKDLHYRGFRVWRAIIDDLLTVRGMNKAKNALLSGCSAGGLAAILHCDRFRDLFPATTKVKCFSDAGYFFDGKDISGNYYARSIYKNVVNLHGSAKNLPASCTSKQSPDLCMFPQYVVPTMRTPLFILNAAYDSWQVKNVLAPSPADPKKTWAQCKLDIKSCSPSQLTTLQNFRTDFLAALPTTPSVGMFIDSCNAHCQSGSQDTWLADGSPTVNKTQIGKAVGDWYYDREVSRQIDCPYPCNPTCKNREDD >Sspon.01G0004450-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:11059214:11061627:-1 gene:Sspon.01G0004450-2C transcript:Sspon.01G0004450-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RVPEVPPSAQHTQALLAHHAQLRRDLPAASEMSRGTGAGYDRHITIFSPEGRLYQVEYAFKAVKSAGVTSIGVRGADSVCVVTQKKVPDKLLDQTSVTHLFPITKFVGLLATGLTADARSLVSQARNEAAEFRFKWGYEMPIDVLAKWIADKAQVYTQHAYMRPLGVVAMVLGYDEEKNAQLFKCDPAGHFFGHKATSAGLKEQEAINFLEKKMKDDPKFTYDETVQIAISALQSVLQEDFKATEIEVGVVRKEDRVFRALTTEEIDQHLTAISERD >Sspon.05G0017210-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:72033312:72034583:-1 gene:Sspon.05G0017210-1A transcript:Sspon.05G0017210-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LDGDSEEDFSHAYHRKLDDELNRAACLWIRHAMANSQARVLRICFQTKPRLCLDYVRFESQLLTRVELTAAKFYGSTDFPRCPALQDLTMTECKIYGGDGISSRSLAHLTIANCYLDWDEPRRTRISTPRLVSLQLSVLNGRAPLLDKMPLLVAANVTLDYLCEDTCDHNDAHRDSCYRIDDDTSGVYVESLAICDSCHGGDNGSTVLLQGLSEATDLELIGDPSVFIFRKDCKSLATFSKLKTLLLNEWCMAVDFSALIYFLQCSPVLEKLTLQLGHCDTRYPVIKTEESYKLNELLFSVPKQLNIIVIRCPKENGLVKILLLLLMTNGVPREEIQIEQNFSPPI >Sspon.02G0011340-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:5287962:5288926:-1 gene:Sspon.02G0011340-1P transcript:Sspon.02G0011340-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SAMAAWRDGYLDMVLIPLAVLFPALYHLWLYRAVRRSPLSSTVGISAAARRIWVFSMKQNNEKQAVTVVQSIRNVLMGSTLVGTTSILFCTGVAAVLSSTYAVKKPLSDAVFGAHGEYMMALKYLLILSVFLLAFLCHSLAICTLNQASFLVNALSPSPHLHLPGVTRDYVADVMERGFLLNLAGNRLFFAGAPLLLWISGPVFPCICSMAMIPVLYNMDVVDDADDGRSSSSGGAKAAVAVDGESDDQSIEV >Sspon.08G0027040-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:34638156:34650128:1 gene:Sspon.08G0027040-2D transcript:Sspon.08G0027040-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLYIAQELNTSARVWEGGGCTLGGGAGRRVADGTGRPVPVYTATFFFLAAGADDAGEQEQLSQVGVWEVADVPVSTTAFFLAAGADGAGEREQRTLRAGVLFLLDPLLENKLITKNSNNRTDGNEYLLGASQDSCAGNLHGVAARPHPGQSPVRLQLGTADPQSRWPRPAARPAREPGTLESGATAALASSSSSSVAAAAAAAAPTAVAALRRRRHTDGRQEWVKPLDPKHRTSRVSSSPSTPAISGQRRKWPGWWSRPAGALGDTHAYGPSTIHMWPALQVGDLARRARARPPPIASTALAERTSLATARRKLGPSRSVVLASLIVIQDVAYARELTEANEAEGKNVKQGGAPGLKDEKWGGGYNGGYGYGGGYGGGYGQPGYGGGYGQPGYGGGYGTGYGGGYHHGHGGGYGPGYGGGYGPGYGGGYGYGHGGPGYGYGGGYGGGYGGGYGGGYGSGGGSVFCGLSPHPSLSTPPAAALPIRRDMDSEPDVELRGLDIDVELDPEDLQPSVPLKKVPGGDLFEAARAGDCDRLALLLEAGANVNARDRWDSVALYYACLAGHAEAARMLLEAGAVCAERTFDGDRCHYAALNLRLRWLLKSFEARPPPLAPLPAALRATFLACPANRTAFLEMLQGSAGAESTALAAAAGFGPKDDPSSACLFPPDITFYVDRKPVEAHRVILCARSPFFEKKFKTDWKDRKEVRFSNQKLYYGALYSLIHFFYSDRLEVAVDDMENLARACKVCKCEELQKILDKEVVHQKYAEYKSARELDLDNSQKRFILQAQSLPEEDRLPSALQRILQTCLANSREGYYSEESNEIIRNSEDDDLADLYIKVGNCGFQAAQNVPLLEEHDLSAEAFEKMLEYMYTDKLEHLDPDQAEELFDVASRYGVMKIREYILDIIACNFEMFADTREFRALLLTLPPPSGDDSLRTTRPSAPGTGGNTDQGNILDDLREKWLEAEGAELDERDESAALFDRRLEMLMLVAEKEADDEDA >Sspon.04G0034070-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:70819282:70821209:1 gene:Sspon.04G0034070-1C transcript:Sspon.04G0034070-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGLCQPEVEGQVPPNLSVASEVTDNGDASDGELGVQLFPSEPPGVAAAFWWDNGDASDAELGAKLFPNEPPKAAIGMWNRFVTNAKLCLDVYNQKNQATLFISANFVYKHSRENGFSYVDFRDYYHLNFHAQDKSGHSQLFFGEIEAHTHPTVENVTCCYAVSPSDAGGRGIPTVEEALKHEYPDWETVGLALVWQALNKSDTILS >Sspon.05G0013710-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5A:48663166:48666905:1 gene:Sspon.05G0013710-1A transcript:Sspon.05G0013710-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRGEAAATIGARYHRAVAGDGDHQGGRKACIRTAPVPPPPLHRPREEGERERRRERKRRGEAEMEAPARPVGSRGGAASGRQAPRRRDIGRDGESERSFWFLFLFPFFPDVRGGCLYLERHGAKSSSFRAFYFSEAMCSIVSLLYCLLILLSINITVVTAAGANKTEIDRQALLCFKSGITSDPLGILNSWRNTSRNFCNWSGVTCSTRLPPRVVSIDLTSMHLAGQISGCIANLTSLSQIDLTHNSLSGAIPADELGMLPGLRTLMLAGNHLEGNIPDSLGFSLSLSYVDFSNNSLTGGIPRSLASSSSLSTLILSRNNLAGGIPATLFANSSTLTTVDLQMNSFTGGIPPFDKVTALNYLCVTENFLSGSIPPSIGNISSLRSILLGQNKLTGLIPESLGHISKLLQLDLSFNSLSGHVPVPLYKLSSLKYFSLGSNGLGLVGQLPSDIGYTLPNLQVLDLSNNSLHDRIPSLGSLAKLRQVLLGRNQLEAYDWQFLSSLTNCTQLTKLSLEGNMMNGSLPGSIANLSPSLEYLLLGSNQISGSIPVEISNLVNLTMLSMENNLLSGSIPATMGKLRNLFILNLSKNKLSGQIPSSIGNISQLGKLFLDGNDLNGNIPSSLGQCLGLVQLNLSSNSLDGLLPKELFASPPLSLGLDLSFKNLTGEIPEDIGKLEHTVLLNVSNNLFSGVIPGTLGYLSTLSSLRMEGNKLQGGIPESFRNLRFIEYLNLSRNVLSGNVPEFFESLTMLETLDLSYNNFDGPIPTGGFYRNSSVVILGGNKGLCSRSSTLALPVCDGATKPKNHSVPLLLVLLFGRKVQTFPLNNETLKKVSYSDILRATNCFSSVHTISSTRTGSVYVGRFKYDKSLVAIKVFNLYEPAAYESYFIECEVLRSTRHRNLMRPVTLCSTLDTGNHEFKALIFKFMVNGSLESWLHCEHYSGLPERVLSLGQRIHIASDVASALDYVHNQVSPPLVHCDLKPSNILLDKDMTARLSDFGSAKFLFPGLSVPKSLAEVGGTIGYMAPEYAMGSEIATEGDVYSFGVLLLEILTGKNPTDDLFVDGLNLHNFAESMFPDRLAEIIDPHMVHEESQPCTEVWMQSCIVPLVALGLSCSMESPKDRPGMRDVCAKLSAIKDDFQKSHGQLSNSPCP >Sspon.01G0062230-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1D:102079212:102079442:1 gene:Sspon.01G0062230-1D transcript:Sspon.01G0062230-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLVECQIETGDEERLTELWLTPAPQPADADGGDRRRRSWVRPCLCGRRRPAVAASPSRASRGPDNGIQMELFLSF >Sspon.01G0017990-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:56320309:56320656:-1 gene:Sspon.01G0017990-2C transcript:Sspon.01G0017990-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding HALVAEPPAPPEVRDRLGAGRLVRRPGHVPGQVHQVDRAGAGHRVRPRRGRRRPARHRHRRRAQTQLLLQQQEAGRQLRRQPWGQKDDDGKEEEQRDDAAAHHRHLVRLRRRRRWR >Sspon.01G0062200-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:101818595:101823429:-1 gene:Sspon.01G0062200-1D transcript:Sspon.01G0062200-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGTGPGAEVLSPGEAEWPPELRLPPLPPVPEPPPPPARRAAPHLHLQPTPPAAAAAATKKDPSPPRHGEGFDDQQFLGSIMGAAAPPQQQQPPAAAVAPVKRKRGRPPKNRDGAAPVPAPVKPVNKKDEEVVCFICFDGGNLVVCDRRCTILHASSETNPSSGVGASGIAVGTSAAAAKRQYSTCAILVRTLSAKDVSNKANSLALEGTRASVTHVMVGVDFDDQNSWEYLFKLYWLDLKGKHSLTIEELKSAKTHWTVPTTAGRREKEDSSDELYDASNDQDATFDISSKKRRQNNSSGKRGRKRKIDGGITSRKCGISINRAGSLPNGSTGEGMSLPGDTKWASSELLEFIGHIEMAPTLNADSQRAIDSDSAQVNNGGYNELTDKLGSDKRRKAHKKIGRELTINPEDYAAIDMHNINLIYLRRSVMEDLIDEVAAFSDKIAGAFVRIRISGLGNKQDMYRLVKVLGTHKVAERYTVGKKTTDYALEISNLEKKEIITMDTISNQDFTELWTFSVFPKR >Sspon.04G0008300-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:20315688:20318846:-1 gene:Sspon.04G0008300-1T transcript:Sspon.04G0008300-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Two-component response regulator-like APRR1 [Source:Projected from Arabidopsis thaliana (AT5G61380) UniProtKB/Swiss-Prot;Acc:Q9LKL2] MVGGGEGDRVGGAGGGGLGVGGGQQFVDRSQVRILLCDGDATSSREVLWLLCNCAYHVTCAKSPRQVINILNYEGGEIDIILAEVDLPVSKCFKMLKYIARNKDLRHIPIIMMSNRDEVSVVVKCLRLGAAEYLVKPLRTNELLNLWTHVWRRRRMLGLPEKNFFNDNFELVLSEPSDANTNSTTLLSDETDDRPKENTNQETGTSNQLEYESNPSVAEPDQKDKMEGLPGSVLDASQASSPGRMFSRPIKTNLRIAGSSAFLAYVKTSTPTTSSFDSELQRGGSRLDSLDNQGNCSCATDRSDTGTDVNIRNKEAFEMPVQYPMVCFSSSNIHMERSNEGHNDTSGTPPVYHFPFYYPGMVEHNMAVSSVQNFQANINNAQAHTPPMMLPQYNVYPQCHALPMISSYQFNPAGMNMHSSHLPTQNVWSSASSTPMPEETCNRSERRAAALAKFRQKRKERCFDKKVRYVNRKKLAETRPRVRGQFVRQASNMDIISTGDDISEDEDDDPSSREVDMVSSPE >Sspon.02G0033710-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:9757780:9762059:1 gene:Sspon.02G0033710-2C transcript:Sspon.02G0033710-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALLALVLLLLSGGGAAGDDVAALLEFKKGIADRDRDRVLGSWSPPATTESGSGGGGCPASWRGVVCDGDAVVSVALDGLGLAGELKLGTLSGMRALQNLSLAGNAFSGRLPPGIGSLSSLRHLDLAGNRFYGPIPGRLANLSSLVHLNLSHNNFTSGFPTDGIQQLQNLRRIDVRNNNFWGNATDLLAKLRNAEHIDLSDNLFTGSIDLDIESLASIGNTVKYLNLSHNKLDGGFFRNETVGAFKNLAVLDLSNNGLAGTVPRLDAWFSLEIFSVAGNGLFGMMPETLLQNSMRLVEVDLSRNGFSGSVPIVNSTTLKVLNLSSNVLSGSLPATMGKCTSVDLSGNLFSGELAILRSWDGIVEVIDLSSNKLVGSYPNDASQFQNLVSLKLRNNSLSGSLPSVLGTYQKLSVLDLSQNTLEGSVLPTLFMSPTLTVLNLSGNKFSGTIPFQSTHSTESILLSSQPALRIVDLSSNSLTGPLPPDISNLQKLEFLILMMNELSGEIPSEISKLQALEYLDLSHNHLTGRIPDMPQNGLKVFNVSYNNLQGTVPKSVEKFPLSCFRPGNDMLVFPDGLPASGNDDYTGVGQSQTSHGHKAGVRVALIIGCIGAVLLVIFIALALYVVRSQELCGRNGFRSQITIRDLKGRISRPNLFKSPKDNVIPSKTSFSNDHLLTAAARSMSAQKELLAEASVEYGYTDPKEVAESTTLGVTETSSAIQAHESSPRSALPTSPHFADSRFLEEPVAFEVYSPDRLVGELIFMDSTLVFTAEDLSRAPAEVLGRSSHGTTYKAVLQSGHVLTVKWLRVGLVKHKKEFTKEIKRIGTIRHPNIVSWRAFYWGPKEQERLIISDYVNGDSLALYLYESTPRRYSRLSVSQRLRIAIDLARCLQFLHHEKGLPHGNLKPTNIFLTGPDLSPKLVDYGLHRFMTPSGTAEQILNLGALGYRAPELANAAKPAPTFKCDVYAFGVIVMEMLTRKSAGDIISGQSGAVDLTDWVQMCNREGRGTDCFDRDITGLEESPRIMDELLAISLRCILPVNERPNMKTVCDDLCSITA >Sspon.02G0021590-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:65546106:65551428:1 gene:Sspon.02G0021590-4D transcript:Sspon.02G0021590-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGWASAAAYTAAALASAAAATVVALRLVHRHLLHYAEPTHQRFIVRIILMVPASNFGGLGLRLLLGLGVAWEASNQTHLDPPSNNYVIQPYSALNIFAKVTSTMLQRKKCPRAWPSVLMAPDFGVMSYDAWVIYNFFSLCLAWVGGPGTVVGVLVFLAAKSGFIKNAEKAAYLQNFGLCVEMLVAAIGHRFAFSYKEYAGSNARPFGGFRGSLLHAMKFNDFYHDTVHQFAPTYHEYVLYSNEGEDEPTKYSPSTIVPTGEHLVELAEVTVVSSKASGMSSLLLNEADRAETMPSQVMEANTAASAEPYDLASFLNVELSDYPDQVPAIPNVREQ >Sspon.01G0021720-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:82998781:83001320:1 gene:Sspon.01G0021720-2B transcript:Sspon.01G0021720-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MWAWGCVERVAAGLLGGPLAGGGRWNTAVAVGVTAAAGLALVAIVVSSRRSVGPRAPTPFGIAYASTYACLNACFDAEADSSLRGGGGGRRPRLRPGSGATCSRRRGSFRTAHYSLDSSEAQRDVVKAQNRKGYLLLRKHCLRKSAYSMEESKQSTKTAEVNHEGSNSSEKGDESGCVSPVKSEEVPESPSMEEAITEEGNPSLSAEQEVQDDTSETKPEQMKEKQSSSSSSDEEGSEKSAVTHVEASHKNLASVCESSFEDEQESIPRYSNTGGDMDDVVLSKAARPVKSARAIEDFETWQRIIRLDAVRANDEWVSYSPSQASVSKERAIESAKAVFLKDYDHLEPYRIHHASRLVAVLEAYAIYDQEIGYCQGMSDLLAPLLAVLEEDDEAFWCFAGFMRKARHNFRLDEVGIRRQLNMVARIIKYKDFHLYRHLEMLQAEDCFFVYRMVVVMFRRELTFEQTLCLWEVMWADQAANRAEIAKSSWRKLQLGAPPTDDLLLYAIAASVLQKRKLIIESYSSMDEIIRECNSMAGQLDIWKLLDDAHDLVVTLHNRIE >Sspon.06G0021080-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6B:12282327:12283184:1 gene:Sspon.06G0021080-1B transcript:Sspon.06G0021080-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GRSSVRTKPALAHAAPPARFAHGTDHVRPRAAPAVRPCRARRARSTRHSLAHSQTRASRRGRAPSACPCPYRGVFTSVSDACFKSFICFLLYVATVVSGCFKGVVHGMRVGSVRGGVGDVRGGVGPVLFALPHESDALGARSLSVWAASGR >Sspon.06G0004440-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:11299550:11302495:-1 gene:Sspon.06G0004440-4D transcript:Sspon.06G0004440-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable calcium-binding protein CML48 [Source:Projected from Arabidopsis thaliana (AT2G27480) UniProtKB/Swiss-Prot;Acc:Q9ZQH1] MADYNRYGHSYSYGGGGGYSQGPPPSAPPAPHMPATTTSAGAPPSSSYGGYPPAAYPPPPPQAAAGGFGSAGYGYGSGSGYGFVPVAFPPGTHPEVERAFRAADRDGSGAIDERELQGALSAAYHRFSIRTVRLLMFLFNDPSSSTPSRMGPTQFVSLWNCLGQWRGIFDRYDRDRSGKIDSRELTEALRSLGYAVPPSVIELLIANYNNGVPSNGALDFDNFVECGMIVKGLTEKFKEKDTRYTGSAALTYDGFLSMVIPFIVP >Sspon.02G0015370-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:43164579:43166284:1 gene:Sspon.02G0015370-1T transcript:Sspon.02G0015370-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPYLLAAGALIVFLYVIKNRRSSKLPPSPPSLPLIGHLHLIGRLAHRSLHELQLRYGGGGGLLYLQLGRRRTLVVSTAAAAADLFRNHDLAFASRPHSVSGDKLMYGCNNVSFAPYGGNWRRGKKIATVHLLSQRRVESFAPVRAAEVAALVARARRAAEAGLAVELRELLYGYTNAVITRAATGAAGTTAERLKQLLGNSAALMVGFQPEDVLPDAPARFVRWATGLDKKLDDMAEAWDKFISELVATHKEKGAKEEDEDFLDVLLRLREEGTDGLELTDDRIKAVTKDMIAAATETSTQTLEWTMAELTANPRVMSKLQDEIARVVSADQTTIAEPDLNKMEYLKAVFKEVLRLHPPAPLLVPHESTTPAVVQGYEIPAKTALFVNVWAIGRDPAAWDTPDEFRPERFMGGSPPVDFRGTDYQFIPFGTGRRICPGINFALPVLELALASLLRHFEWELPAGMRPADLDMGEAPGLTTPRQVPLVLVPKCTTLVQAALQ >Sspon.03G0026000-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:6791861:6796129:-1 gene:Sspon.03G0026000-2C transcript:Sspon.03G0026000-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDSLRIAFIKEQYESKVQELKGQVFVSKKYAEEMLLKLQSALDDVETGNKNEIALAKRIEELSMKVSEMEVEMQDLSADKRELSNAYDSMMTELECTKLNLDCCNEEKQKIEVSLEECSEERNRIRVELDLVKKLLENMALTDNNASRDSSEPCTPGTTSIGHILGDGKAESASKATPNTTKMDSGLQEREIQSRSLPSNLSQGAEDVVKFGDNEESKNLENRDEEMESSTENNLNGNNSIKDISQEHKKLANEFNLFQKELERLKNENLSPLLPLDVNLTDPSLSGLERTLSQLDMANEHLQSIFPSFKELPGSGNAFERLLALELELAEALQAKKKTDILFQSSFLRQHNDEAAVLQSFRDINELIQDTIELKRRQMAVENELKEMQGRYSELSVQFAEVEGERQKLEMNLKNRTPWRS >Sspon.03G0033030-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3B:44271621:44279974:1 gene:Sspon.03G0033030-1B transcript:Sspon.03G0033030-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTSSATSSPHRLPAPTPAPHCLPTPAMADPTDLAAAAADLATAVADPCQVGLLLPSLLFSSWISELGFLRALPIISPTPAGIEGAGQAMGIVGGRFRGAGDCSCSGASEQSSFRPMQQAAASSVGQEGAKKGHAERERLKSRSIRSCDVAVRVSSECGVRTSGELVVVAARGELGGAAARGSPGGQRGGWRRHRGRANWINTKIAMGQGVVSHCQLQPQEGRRCCYKLPHPIDVPHVKKGMSEFLPMIILYMHQTINLEIRMDMSFLLPGMRASIFDPMQTRLEEGMNDVAGDWNGHVIPTAWDGASASDLMQMQLVEGMNDVEGMSEFLPMIIQYMHQTINLEIGMNMSFLLPGMGASATDPMQTLLVEGMNDVAAAPAAGIELHQVASDLQQKQENKAKESSKNTTTTLDNNINGDGGYAAAPTIGVELQQVASDQQ >Sspon.01G0006640-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:17517550:17521200:1 gene:Sspon.01G0006640-3D transcript:Sspon.01G0006640-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAMRGGSLLRFGFRHVSSLLFQAPPCPAPSLGLNLAVGRTGLVRLRCSAAGAGDDGGKKVSARLALTQQVLRDAEERAASAGSDPAPKITLDHVTVNFARSGGPGGQNVNKVNTKVDMRFNVKQAHWLGERIKERILQAEKNRINKDGELVISSTKTRTQKGNIEDALQKIQAIIDAASYVPPPPTEDQKEKIEKIAAAAERKRLQNKKVISQKKEFRRNRTSWD >Sspon.05G0032340-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:26351736:26353643:1 gene:Sspon.05G0032340-2D transcript:Sspon.05G0032340-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding KYGNMSYFQATIHKPHSMLTAYEPVAGLGRARELLHQHSLCPHSTRSFKLQEQVYPRLFLVSACHKRLGPVYASSGKENPKIINDPFSMESLNKAMAEAKRPRSIQDVLMEQMAKIGGLGSGGNGGNKNRYGRGGGGSDGPEDESFKESLYEMIQILIATVAFILVYIHIIRGEELYRLARDYTRYMVTGKRTARLKRAMLNWCDFSESITKKDVGAQEDYYGRSTSSESTWWQQPQHLVRRVEELCRGYLRPHAQES >Sspon.02G0016100-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:42765747:42771828:-1 gene:Sspon.02G0016100-2B transcript:Sspon.02G0016100-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDTEAAKNTATTGPTFASPLFSFSNPGGASFGSGFGFDSGAPPPPPPPAVEVQLCEESPVAAARLEPVVVDDSLTIYKGRVSTSDVFGVKDSDLVPGKYEGGLKLWEGSLDLVKALNSDIKEDKLSLEGKHVLELGCGHGLPGIFAGLKGADLIHFQDFNAEVLRCLTIPNVKVNLFKDSPEGTCTSRIVGFFAGDWSEMDKLLLWGDAEQGKTASGDTEGKMCNGYDIILMAETVYALSSLPNLYRLIKKCLRYPGGVVYMAGKKHYFGVGGGTRQFLRLVEKDGAMQPERLNDVADGSSNVREIKSVTFH >Sspon.06G0009200-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:41674452:41678072:-1 gene:Sspon.06G0009200-3C transcript:Sspon.06G0009200-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSAVTIGLEMDVPLLTEPFVLSTSPPPPPPSLGDSPFPPLRPPQAIMSESELAVIKPEALKTYIWLQCFDGSIQQVEEEVAMFCPMICREIMKNGTGSSKNHAIVLPERVNPASLSLILDYCRFHQVPGRSNKERKSFDEKFVRIDTEKLCKLASAALGLQLRPLVDLTCGALARIIGGKTPDEVRDIFHLPDDLTEEEKLEPLKNINDDPTIRLLNRLYAKKRKELQERQKLKDVQTQEEQKDERSVDELLCFINGDGDSRGGKASKNKKKNKRRKDQAKNPAKANSEPVNKEGASCVGPCKADSGTISRHPCQTPNLQDDIEYPFDNAFDNADLDDGLDPAMREEID >Sspon.05G0007780-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:24308991:24314490:1 gene:Sspon.05G0007780-1A transcript:Sspon.05G0007780-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPDQPIGDSHFEPRERVRYTRDQLLELREIVDVSEDILRIKQEIDVELHGEDQSWVRNDSAIQGQAQAQAQAQAQAQAQNRYAETDNRDWRARSAQPPPANEEKSWDNIREAKEAYASSGRQQEQANRQDQLSSQFASKAQVGPTSALIKAEVPWSARRGNLSEKERVLKTVKGILNKLTPEKFDLLKGQLMEAGITTADILKDVISLIFEKAVFEPTFCPMYAQLCSDLNEKLPTFPSEEPGGKEITFKRVLLNNCQEAFEGASSLRAEIAKLTGPDQEMERRDKERLVKLRTLGNIRLIGELLKQKMVPEKIVHHIVMELLGSGPDKKPCPEEEDVEAICHFFNTIGKQLDENPKSRRINDTYFIQMKELTMNPQLAPRLRFMVRDVIDLRSNNWVPRREEIKAKTISEIHSEAEKNLGLRPGAASVIRNGRSSPGGPLSPGGGFPMNRPGTGGMMPGMPGSRKMPGMPGLDNDNWEVPRSRSMPRGDSIRNQGPLLNKPSTVQKTSSINTRLLPQGSGAALIGKSALLGTGVPPSRPLSFTPGPAPAQTTQSPKPLSAAPAVAPAPEKPASAPKGNSAELQKKTIALLEEYFGIRILDEAQQCIEELQSPGYYPEIVKEAVNLALDKGTNFVDPLVRLLEHLYTKKIFKTQDLEAGCLLYGALLDDIGIDLPKAPTQFGEIVARLTLLGALRFEAVEEVLKKMEDTFYRKAVFNAVMKTLEANPSGQAILGSQAAVIDACNSLLK >Sspon.01G0046870-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:100364679:100370499:1 gene:Sspon.01G0046870-1B transcript:Sspon.01G0046870-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGGASVSGASDAFGMGQSSRNRSPLSFARSALDPSGFVWPPGASAPPRPPPRSDRLPNQPAFRAPTPSPRPPAPEPHPTSSIYGIPTPPIGARPARPSFPIGSGGATPVPIRQRRPRPYPGAPDRTTPKLQVSTPSVPPCSNDAHVADRPTSSTPAAGVREPGRRRRPTLTLQHPSADARRTTLHRCRTRSRDAQVSPRRRPPAVPSLTHRCSVSPSSNSGVRDMATLVHHLGSACPTEQQFYNYLIDVLLWVSMVGGRRAGVHMEGRRAAQHVHLQPARQGVVPEQPGPGCAQDARRNGQEGVPLDNVTDTTIVSVLMKGSSCCSSLLPAVMKGSVRAARSARAIRSSVVSVRKNALVPRLRFARSAFTSSGLPRASAPHDASSSYLSEDHMTGRAHTAASARQVLKSWSGLRRRRAPNRYAHPFSSLCAVRNRASEPWLHALSRSCRRPPPSRIPPPLLLRAASTRQVLRSWSGPSPAMTTQQGQGRGLMDPQDWPMIWNFSSASLELTDESVSSCIR >Sspon.01G0043910-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1B:77968783:77970057:1 gene:Sspon.01G0043910-1B transcript:Sspon.01G0043910-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ASASASADPCGICLGDISPGQAVFVAECSHTFHHRCISNNVARGNHDCPVCKVTWRDLPATIDPVPPPPRKYADDEETVAPQGVVQAVAAGLAADAGEMALKTHCEFPAVARDAPRDNFAVVVHVRAPDQARGAVAADEVRAPLDLVTVLDVSGSMKGRKLALLKQAMGFIIDNLGPADRLSVVSFSDEAIRKIRFTRMSSDGKASAKRAVESLVANGLTNISKGLLVASEVLASRYRNAVTSVILLSDGQDNQSGVGRNHQYLVPPLFRDADNRPGPIHTFGFGTDHDAVAMHTIAEVACGTFAFVENQEVIQDSFAQCIGGLLSVAVQDARLAVTCVHPGVRVREFKSGRYGNIVAEDGRTASVDVGELYADEERRFLLFLDVPRAEAAEEVTRLIKLSCTYRDTMTGRVRWMSLAKMPLFRG >Sspon.07G0004590-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:11145447:11146332:-1 gene:Sspon.07G0004590-2B transcript:Sspon.07G0004590-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAIEAIRSFRHLCSKQAQESLDNLLIDLYKIELLKQKLKMIYLGEAFNGKATKKARSHGKKFQGNLGWAYMQQNNFEAAELVYRKTQTIEPDANRACNLGLHEEARQALEDVRLRRIYGSEDEKVVARAEQLLRELNPLKCVSSPFEVGLSVHEEIMGKLDLVMNERLPVFEEIATFRDQMAC >Sspon.01G0035000-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:7829180:7837174:1 gene:Sspon.01G0035000-3D transcript:Sspon.01G0035000-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding IVFCTISSSDTEIYKRLLPLYFPRDKQEEEIAALKLPADVGDENGETVIDERKIRIKPLPAGAANSKTPIPTLADIPLPDSRLTRGRNSFKLDSYLDPAFMSIIKDPDLRRKEQWEKSAQANKGLNLANMLGYRGGVDSEGRPVMVVVGAHFLLRCLDLERFVLYVVKEFEPLIQKPYTIVYFHSAASLQPQPDLGFMKRLQQILGRKHQKNLHTIYILHPTLGLRTAVMAMQLFVDGEAT >Sspon.06G0013930-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:72975404:72982492:-1 gene:Sspon.06G0013930-1A transcript:Sspon.06G0013930-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPSGGGGGGAGEGSSSAAAAATIGAHGVDQEAMWQMNLGETMAPMELGPYPERVGEPDCSYYMRTGMCRFGMTCKFNHPADRKLAVAAARMKGEYPQRIGQPE >Sspon.04G0018340-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:71538418:71539261:1 gene:Sspon.04G0018340-3C transcript:Sspon.04G0018340-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLTTAGSSMEEQYYSVSVSAEEVGAHLSLGIGSGGGAKSLRLAPPPPRTVQLFGEVLSVQDDDRDGAEAQALHHHRGRPTGPAPPSRKKKRDAAAGGGTATAAAADRHQSKKAKTTCRDDGDGGGGRKKLRLTAAQATMLEDSFRAHNILSHGEKQELARRVGLSARQVEVWFQNRRARTKLKQTEVDCDLLRRWCDRLTDDNARLRRDLADLRRAAAASTSLAAAVCASCGGGTDKQQLAVVATAGNML >Sspon.03G0016950-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:74630298:74642258:-1 gene:Sspon.03G0016950-3C transcript:Sspon.03G0016950-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MERLQRIFGASGMGQPPTDSPLLDSSEQVYISSLALLKMLKHGAWILPLFPVPFPWNLGAGVPMEVMGLMLGEFVDDYTVRVVDVFAMPQSGTGVSVEAVDHVFQTNMLDMLKQTGRAEQGEAAMERLQRIFGASGMGQPPTDSPLLDSSEQVYISSLALLKMLKHGRAGVPMEVMGLMLGEFVDDYTVRVVDVFAMPQSGTGVSVEAVDHVFQTNMLDMLKQTGRPEMVVGWYHSHPGFGCWLSGVDINTQQSFEALNPRAVAVVIDPIQSVKGKVVIDAFRLINPQTMMLGQEPRQTTSNVGHLNKPSIQALIHGLNRHYYSIAINYRKNELEEKMLLNLHKKKWNDGLILKRFDTHSKTNEQTVQEMLNLAIKYNKAVQEEDELPPEKLAIANVGRQDAKKHLEEHVSNLMSSNIVQTLGTMLDTVVF >Sspon.05G0020800-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:90086913:90091187:1 gene:Sspon.05G0020800-2B transcript:Sspon.05G0020800-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCGTREENAVVAAHAQVQQLHLLQHPVKNAIADRKHTRTSSDISDPSTPRKVEDAKNISIYNDVIAFTLFELETITKSFRADYVLGEGGFGTVYKGYIDENVRVGLKSLPVAVKVLNKDGHQGHREWLTEVNCLGQLRHPNLVKLIGYCCEDDHRLLVYEFMFRGSLENHLFRKTATPLPWGTRMSIALGAAKGLACLHNAQRPVIYRDFKTSNILLDSVDSIDTIQWEYDYTAKLSDFGLAKAGPEGDQTHVSTRVMGTYGYAAPEYVMTGHLTARSDVYSFGVVLLELLTGRKSIDKSRPSREQSLVDWALPKLNDKRRLLQIIDPKLEGQYSVRAAHKACSLAFYCLSHNAKARPLMSDVVETLEPLQGCSGSDGSGQSSGLPDYRGRRRLTGNSVHFRAIPNPKCSPAVPACRVR >Sspon.01G0031200-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:107533078:107535152:-1 gene:Sspon.01G0031200-3D transcript:Sspon.01G0031200-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLLFRDMKGLSCSSPASTAICPSLERQPMVRSHKAIASPSPSPSPLAHVPPEPRTHRHDGKKGQQQQQQHKVVLLPNNTGGFVSPAGSSRYLLSSGRFAATVTEEIQEVVESAPAPAVDAKREEASEAADAKSGAQAQEQVVVLKVSLHCKACAGKVKKHLSKMEGVTSFNIDFAAKKVTVVGDVTPLGVLNSVSKVKNAQLWAAPPAIAA >Sspon.01G0023960-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:112514859:112516493:1 gene:Sspon.01G0023960-1P transcript:Sspon.01G0023960-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKASRWLRNLFLPGWKGRKAKDRGAADADCQSVLSAPLPSHSQAATAAPSGREKRRWSFRRPGAGASGGAQGQGPLASSSSHCFSEAEVHVVVAAQEQDQQQQVAAVVPEAASSNAGAVVPLPASVRTSGAGGGRGVEAAAAVKIQAAFRSYLARKALCALRGMVRLQAMVRGQLVRRQANLTLRRMQALVHAQRRARAERLRLLEVEDGASSRQQAAATTTTPLPPPSRRSPQHPRSRKPLEAAERSLEEHDVRIVEVDDGAPRAGARRNSCCHYSTSTATPSRTPAKAELLCQKVSPTPSALTDGSARTLSGRFDVDVASFASASEPTPVPVPSRRRAWREDPPFFPSYMANTESSRAKARSQSAPRQRLSSASETALPVAAASPSPSCWERPPSWGGGGGGGGGGGEGSARRRVSLDPLLDLPGGGTPSWRMERCASRARAGVSSVPGSECGSSSTVGHRGCARTGHGHGMGDY >Sspon.03G0002120-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:12631258:12636866:1 gene:Sspon.03G0002120-1T transcript:Sspon.03G0002120-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQLQSPRFLPIPRRSVTGRRRRARPVIAFNSQWKIPDVDTDAVRERVRSWMSLARGAVADAAHAARERGRHKEEPEGGKKKQRKEVVVEEQAFVAVPEVTVEPRVAQGWLSLDAVVSIEQFARLNGLTGRQVQRIFETLAPKHLHNDARSLVEYSCFRYLARDNSDFHPNLKELAFQKLIFVTMLAWEDPYNEDGGPLSLLDSYSVLGRLVGEDAFVRIAPAVAGVADVSTAHYLFRALVGAEKGLSFDLWTTYLAELLKQTHQMGDNFLYDEKVLCIGSSKKRPVLKWEENTAWPGSLTLTDKALYFEAIGLSGTKKPMRLDLTNQNSRVEKTKVGPFGSKLFDSAVSVSSGSVSDEWTLEFVDFSGEMRRDVWLAFISEIISVYRFIREYGPGGDDPAIHNVYGAYKGKKRAVSSAANSIARLQSLQFIRRLYEDPAKLVQFSYLSNAPFGDVVLQTLAVNFWGGPLTTKARSADQRSTQWHRSSEDPSSGHAHVYDIDGSVYLRKWMTSPSWASSHSANFWRNSSVKHGVILSKSLVVADKNLVEKAMVDCKERNKVVEMTQATIVAATIEGIPSNIDLFKELMLPFAIVAENFKKLQRWENPRSTFCFLLLVHTVLFRNMLSYVFPFTLMMMALSMLAVKGLKEQGRLGRSFGKVTIRDQPPSNTIQKILALKEAMASVENYLQNLNVSLLKIRTILLAGQPEVTTQVALVLLASSAVLLVFPFKYVLAFFTFDLFTRELEFRREMVRAFMNFLKERWESIHAAPVVVLPYEGAESSPKTLPAKASGQSEPQNMQRGSGYVTSKNGISSS >Sspon.02G0022030-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:74603879:74609386:1 gene:Sspon.02G0022030-3C transcript:Sspon.02G0022030-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGLDMSLDDLIKKSKSRPKANPASSSGPARRAPHPARAAPYPPAAPKARAAADSPYGVYSEHIATIAAVAPPPPRPAATARSLETGTKLHISNLDSGVTVEDVQELFSEVGELKRYSMNYDKDGRSKGTAEVVFARKVDALDAIKRYNGVLLDGKPMNLELIGNNVEPPPMPPIIPNRPLQNYNDIHSSVPQSQRGGQRRAPQGNGQPGRSYQSSGGRGQGKGRGQDRNRTAISAADLDAELDKYHAAAVKEE >Sspon.07G0003250-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:7429169:7430896:1 gene:Sspon.07G0003250-2B transcript:Sspon.07G0003250-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSIGDRYLKPFVIPKPEVAVFPRAKDDDCLILASDGLWDVVTNEEACKVARRKSKCGTRTIVSHHHCVMGVMNPLILLHKPLPIIL >Sspon.03G0023390-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:94726995:94727908:1 gene:Sspon.03G0023390-2B transcript:Sspon.03G0023390-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGTCGNCDCADKTQCTKKGDSYGAVVVDTESRVEIVEEEVTVAEHDGCKCGTSCSCGTSCSCGK >Sspon.06G0033540-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6D:17231269:17233098:-1 gene:Sspon.06G0033540-1D transcript:Sspon.06G0033540-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAENDTIQLQRAIFAQYIMMKKLFMELEVEREASATAASAAMSMIRKLQKEKDAERMEAWQYKRIAEEKINHTNRALEILKEVMELKELEISYLRNQLQVYKHKLLDAGIDDCDIADETIDSDKSLFPSNNMENLCHKIKRNFSLPTLKLNKLYTDMEIKKNGGVQSARSRLSDDGWEQISRDVMALEPKESFSTDLNSRGKHGEEPHSPSSGVLRESQTLDEPPCSSSFSMVSHQADICSDGAVQAREDTECTVNHDQHKDSCVHDSQTLDVPPCSSSFPVVSHQADICSDGAIQAREDMECTVNHDQLKDSCVRDFQTLDEPLCSSSLSVVSHQSDICSDGAVRAREDMECTVNHDKLKDSCVGTEMGELVVHPLSEVDPLQIPERSNTTIDSSCTESEIMTEESQPSPTVVTKGQGPRNLSRFAATRKIGSMNNVDRHVRRSSGTYTPRAGVERTISRLKRVQSEKMVELSDPRTSKEQIIMLKEVYEQLGMIESHMRPPDSQESPRNDTSLDSVMEIMSINYFSDPSSSLCCTAAFDIVDFTRNC >Sspon.07G0002840-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:7067284:7070324:-1 gene:Sspon.07G0002840-1A transcript:Sspon.07G0002840-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIAMEYFLTCALKEAFEVICNKGDSGKSSAKLLATFCDNILKKGCSEKLSDEAIEDAFFKKGLRSVDIATSFQKMRLSGVLLLDNDRFHKEEEKKDAELAKKLC >Sspon.02G0014200-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:35850626:35852028:1 gene:Sspon.02G0014200-2B transcript:Sspon.02G0014200-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAPCCDKATVKKGPWAPEEDAVLKAYIDEHGAGGNWIQLPHKIGLNRCGKSCRLRWLNYLRPNIRHGGFTEEEDRLICSLYISIGSRWATIAAQLPGRTDNDVKNHWNTKLKRRLLGGGRRPRAEARLQLLTSPTTWQHHNSFASSALERMQASMRLHRGHQARLDNPAAAAFTLHNYGSLGAPLWPSLSSSSSPSPAASESSEMLPRQPPGGGATSTGYCAGLWTHMPGSFGYTGAGVQENIDGTCTPPLSTSIGETMTTAVGVESSSSTPTASSASATFGSSMDDEIDMLLRQIQCFGENNAHHIGDEAAVDGIEHCFRASMDHHDTVDGSVGSWSSCCSTPGVDSVFHDYVQGYNQC >Sspon.07G0012530-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7B:50884502:50889447:-1 gene:Sspon.07G0012530-2B transcript:Sspon.07G0012530-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDETVVAIAGDGDDSDRRRPLLAPTEEIHPYTDPPSPQHPPLDAAAAQPDEQRKPERVASLDVFRGFTVAMMILVDDAGGAWPGINHAPWFGVTVADFVMPAFLFVIGVSAALVFKKMPNKTAATKKAAIRASKLFILGVILQGGYIHGRHKLTYGVDLDHIRLLGVLQRIAIGYFVAAMSEIWLVNNNLVDSPVSFVKKYFMEWFMAIAITVLYVALVFGLYVSNWEFEIQTSNSTLSIPSNSIETKMIHCGVRGSLGPPCNAVGLVDRVLLGENHLYKNPVYKRTKECSINSPDYGPLPPNAPDWCLAPFDPEGLLSKPLYTVSYMLLTGGVSGFLLLLLYYIVDVIHIKKPFVLFQWMGMNALIVYVLAACELFPTLLQGFYWLSPENNLVDVTESLLQAIFQSKQWGTLAFVLLEIVFWCLAAGFLHMKGLLETVEPNRRQFSDGTES >Sspon.03G0009150-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:6358942:6360559:1 gene:Sspon.03G0009150-1P transcript:Sspon.03G0009150-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAPCCDKASVKRGPWSPEEDEQLRSYVQRNGIGGNWIALPQKAGLNRCGKSCRLRWLNYLRPDIRHGGYTEEEDRIIWSLYSSIGSRWSIIASKLPGRTDNDVKNYWNTKLKKKAMAAVAAAGGASSSGGAFAAPATPTPTPPALSPASSSVTSSSGDVRFGAAYTEPHPQHHGGLIRFDAPRTELAPVPQAVAAQLDGAWTPAAAALDGGDVFLPLPELGGGGEQLFPYGDFFGGLLQDSRALEQLSACYFPNMAEIWGATAAVAPDGTCKPPGLCNTLT >Sspon.05G0034160-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:37824143:37826051:-1 gene:Sspon.05G0034160-1P transcript:Sspon.05G0034160-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPILSFTLRSLFVKEDTKAKIISGNSVHTTTTTRTTTTTSLIPLAPKCRSLADDEGYLLPEFNEMVLNEFGRDIDSIPTTPAARVREDVSNDHEVHKLRDLVRSLQEREKTLELQLLECYGLQEQDAAVRELENQLKINNVESKLYLLKIESLQSENLTLQTQLSENSKIVSELEATRTKCKLLKKKLVSDAEQAKEQIISLQKMVDSLQDKQTDEEKNHIEFEKTLKRLEELEKEATELRAANSRLQQENAHLIRRLEVTRLPPVPKPKNSMEVKALEEADRLKQETDNLAKEVEQLQSDRFADVEELVYLKWINACLRYELRNKDAPLGKTVARDLSKTLSPKSELKAKQLIMEYANAGAEDSHLGHVEFGSECSSSRASSGELDDVSIDIALMTKHNNKNPKKKKLFSKLRKLVLGKGKENHEVSTLERRMSISSCSFDDFTGRDSHDSYSSFMAEPNIPDSRRHGDHGFGTHSSLDSAKSSHVGAEIVGERSDHSGVKSVSSREEKVNAFGPSTCLDSSKAIPEDVEIHKFVDVLITSRSGSMSSRRSSSFRH >Sspon.08G0019900-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:15889573:15892544:-1 gene:Sspon.08G0019900-1B transcript:Sspon.08G0019900-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAVAFASAPAIFASASASVRPCRSAAPDIVSSDSGRPRRGARGAVRCEVASSSAPSAAGPQAARWAQRTVVIPPQRRGCHLITNKIVNEIRNDLAEFKCGMAHLFLQHTSASLTINENYDSDVQADTETFLSHIVPEGPSAPWRHTMEGPDDMPAHIKSSMFGCSLMIPITNGRLNVGTWQARKYLTWLGIWLCEHRDYATPRKIVITLNGI >Sspon.05G0029520-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:79335780:79342305:1 gene:Sspon.05G0029520-1B transcript:Sspon.05G0029520-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MERRLPPNDCPAAPPDLLRHGQAPPIAGEIPTAPPYYLDLRRMGAASGGCEQHQADGNSIRRMGSRWDGSSRRMGDPNYGGEISTPHRSPLSRHQADGSRTHRWCHGWRVRRKETSDDESFHSTVLLLLHRTHTLTCAQQANALTMYKNDDPFHRTFQYIHCWKILKDHSKWMNRRQPTGPQKPFSKKQKTTANSTLPTDAPNPVTGTVAETETRITTAERPAGTKKEKLKLKQRSSIEALDYLLTKKKEVDDEKELKKKERELKKEERELKKQEMWQKALALHEERIKLDKEKFDFERDQEEERIINIDMSNMSTRQQQFYDDQQKKILARRLGNYYELSMDPAFTGATHLGEVSRELAAGRTTRLRRRSRTPHFAMAAI >Sspon.06G0023380-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:43485382:43487713:1 gene:Sspon.06G0023380-1T transcript:Sspon.06G0023380-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAAAAGEGGKEKGGAGPGPGGACELCGAAARVYCSADEATLCWGCDAQVHGANFLVARHARALLCRGCARPTPWRAAGPRLGPTASFCDRCVRRGPGAVGVGGADEEMGGAGGGRDDEDDDNGSGDGDDEVVDEDEDDDEDDDEEEEEGEGENQVVPWTEEAEATPPPVASSTSSSSREAPANGASAAECAKENLPCSTSQPGLCHHGGRSDEATSSRNGGRFLASRHRKRSPSDFFSSGSAQSGSGTPARNCSNAGIGRNDFT >Sspon.03G0045330-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:15735809:15738985:-1 gene:Sspon.03G0045330-1D transcript:Sspon.03G0045330-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLWRRLVGRLKGHCIVVPHCLLVFMSAQTTANSGSEPLPPSKITFEACTIRERSMESHEPADWTLLHLHPLSLPMVNPPRAVRLEQSRAAQSKPLSCLVNNASLHCNNEIKLFPENKERVINKWQGIESKS >Sspon.07G0011730-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:41710822:41714002:-1 gene:Sspon.07G0011730-1A transcript:Sspon.07G0011730-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGGSRRGGGAAAEEPKIGSGNVFAALETLKKKKKKPAAADKAAAARDREDPKPEVFWAPAPLTAKSWADVDDDDDDDYFATTAPPPMPVWGDAHRSDAAKDHHAAPALEEELKKKELAELDAVLAELGLGTSNNSTQDESNGKKGADQAADGEKKDDAPAPLESKNSKKKKSKKDKSSKEPKEAQDQANGSEEAAVVEPDEDTATVDVKERLKKVVSMKKKKSSKEVDTAAKIATSEAAARSARLAAAKKKEKSHYNQQPVR >Sspon.03G0002930-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:15148033:15151612:1 gene:Sspon.03G0002930-2D transcript:Sspon.03G0002930-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAISSALPCSLLMAALMLLASAVEVQGITRHYDFNVTMANVTRLCASKSIITVNGQFPGPKIVAREGDRLVIRVTNHAQHNISLHWHGIRQLRTGWADGPAYITQCPIQTGQSYVYNYTIVGQRGTLWWHAHISWLRATVYGPLVILPKLGVPYPFPAPYKEVPVIFGEWWLADTEVVINQALQVGAGPNVSDAHTINGLPGPLYNCSAKDTFKLKVKPGKTYMLRLINAALNDELFFSIANHSLTVVEVDAVYVKPFTVDTLLIAPGQTTNVLLAAKPFYPGANYYMSARTYSTGRPATFDNTTVAGILEYEYPDAPSSAASFNKALPLYRPALPQLNDTSFVGNFTAKLRSLATPQYPAAVPQTVDKRFFFTVGLGTHPCPANTTCQGPTNTTKFAASVNNVSFVLPTKALLHSHFTGLSSGVYESDFPVAPLTPFNYTGTPPNNTNVANGTKLMVIPYGTNVELVMQGTSILGIESHPLHLHGFNFFVVGQGYGNYDPVNDPAKFNLVDPVERNTVGVPAGGWVAIRFLADNPGVWFMHCHLEVHTTWGLRMAWLVLDGNLPHQKLLPPPSDLPKC >Sspon.01G0050990-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:9911790:9917071:1 gene:Sspon.01G0050990-2D transcript:Sspon.01G0050990-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPYHQPTSLEEVRTLWIGDLQYWTDENYLYSCFAHTGEVQSVKIIRNKVTSLPEGYGFIEFVSHEAAEKILQTYNGTQMPGTEHTFRLNWASFSSGERRPDSGSDHSIFVGDLAPDVTDYLLQETFRVNYPSVKGAKVVTDPNTGRSKGYGFVKFADENEKNRAMTEMNGVYCSTRPMRISAAIPKKSTGSQLQYSAAKAVYPATAYAMPQLQAVLPDSDPTNTTIFIGNLDPNVTEDELRQICVQFGELMYVKIPVGKGCGFVQYASRVFTIADCNHCRASAEEAVQRLHGTMIGQQAVRLSWGRSPASKQDPSAVWSQQADPNQWASTYYGYGYDAYGYAQDPSYAYGAYAGYSQYPQQ >Sspon.02G0004020-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:14085675:14091516:-1 gene:Sspon.02G0004020-3C transcript:Sspon.02G0004020-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAATSLLLRHHRNPHLLLRAAIFSSRALPQQPELSPDPTAGAPDPAPLPPNPSTGSPFYSQNWRNPAAANPSSSLPPTVVAGSHFGAQHRMAAFYDTPDAAGLKETFGKYMAEQRWEDMKHLFDHWVRSLDATTGKPNHPDVDLFNHYLRANLMSGALPHEMLDLADHMREFELEPNTASYNLVLKSMVAGQESEGAEKLIERMLQTGTFPDDESYNLVVGLLIRQNLVDSSLKYLDLILKSGYTLSLTVYTDYIRACMRSGRLDTLASIIEKCKTTDKNKVLCPQWAWCIDIAEAAFEANNSKLALFALEFLARWIARGENVQPPVQLSVNEGLVISALSAAGRTFSTDLLNAAWSLLRKSLRQKRAPTPETYLAKIYAHSSIGQLQRAFGTLREFENAYGNSEDIDLELFSPFTSLHPLVVACCKDGFSTLDSEKFELTPDIHSYNALLCAFGKLKQTGEACNVFQHILTLGVKPNATTYSLLVDAHLANKDPKAALAIIDEMVDAGFTPSKDTLRKVRRRCSRESDFDSDEKVQSLAKQFNYRMGGENRREMLYSIEYNPLENLEM >Sspon.02G0018670-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:61781164:61781754:-1 gene:Sspon.02G0018670-2B transcript:Sspon.02G0018670-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLSYTYTTVLVALAVSAPLAALAGDPDILSDYIVPADANLGAITGAFFTYTGFRAARNMSTPVPNFTATKATMAEFPALNGQSVSYVLLMFPAGGSVNPTHTHPRAAELLLVLDGALSVGFVDTAGKLYTQDLAAGDMFVFPKGTVHWQFNQGDKPATALAALGSAAPGLVSLPATLFGASNIDDNVLAKSFKTD >Sspon.06G0028250-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6C:4735428:4739176:-1 gene:Sspon.06G0028250-1C transcript:Sspon.06G0028250-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPQLSTQRWAGSNFGARCAENPSATAARGAGFKAGKDGGVCNRGTPCDGYGIEMGDSDSSAREPLPPMPPNKPPDLAGDDGSPAITALKLPCWNCIKPSWLEVEEFNKLVKLPVEDGSILVFSQIERRADLCI >Sspon.07G0037830-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:62833947:62834648:-1 gene:Sspon.07G0037830-1D transcript:Sspon.07G0037830-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRDPLVVGSIVGDIVDYFAASALLRVMYGGREITCGSELRPSQVAGEPTVHITGARDGRPALYTLVMLDPDAPSPSNPTQREYLHWLVTDIPEGAGANHGNEVVAYESPRPSAGIHRFVFIVFRQAVRQAIYAPGWRANFNTRDFAACYSLGPPVAAAYFNCQREGGCGGRRYR >Sspon.08G0007240-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:20529068:20535727:1 gene:Sspon.08G0007240-4D transcript:Sspon.08G0007240-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADNGDPVAVLVAGWSSSWICGGEDSGQLTVASLCVQRTLIDCVNVVLLVAYVSTLAAACARRQCAATASQRSGAPGWVLLVVSTCCVAAAVAYGVTALQNASDIKAAVPCFVRGLVWIALAASLHAQQTRAARAVAVLWWGLFSLLVTAYNAEILAGGHSLGLAETIAWPVSLLLLLCALGSLLPRGHRDASNDSSGLSEPLIGKDRTVPTSELYRAGLFGQIAFSWLNPLLRVGRSKALDLDDIPLIADEDTAHHTSHKFAEAWSHHVSDKARSRRRVGSNSLALVLCKCFLGEILLTGFYAFLRTLSIAVAPLLLFAFVWYSNQEERDLRVGLALVGCLLLTKLVESLSQRHWFFDSRRTGMRIRSALMAVIFRKQLRLSSQGRNNHSTGEIVNYISVDAYRLGDAINWLHMGWSSPLQLVFAVATLFWALKLGALPGLVPLVIFGFLNVPFAKMMQGYQAKFMVAQDERLRSTSEILNSMKIIKLQSWEDKFRHTIESLRDGEFKWLRETQMKKAYGAVMYWMSPTVVSAVMFTATAIMGSAPLNASRLFTVLATLRVMAEPVRFLPEILTTMIQYKVSLDRIEKFLLEDEIREGDVKRVPSDNSDVRIQVQDGNFSWKASGADLSLRNVNLRVNRGEKVAVCGPVGSGKSSLLYALLGEIPRLSGSVEVFGSVAYVSQNSWIQSGTVRDNILFGKPFNKELYDKAIKSCALDKDIENFDHGDLTEIGQRGLNMSGGQKQRIQLARAVYNDADVYLLDDPFSAVDAHTAAVLFYECVMTALAEKTVVLVTHQVEFLTETDRILVMEGGQVSQQGKYSELLGSGTAFEKLVSAHQSSITALDTSASQQKQVQGQQASDEYIVPSAPQVIRQASDIEVTAKGPSAAIQLTEEEEKGIGDLGWKPYKDYINVSKAAFQFSGMCTSQVLFTCFQIASTYWLAVAVQMDSVGAALLVGTYSGLSIFSCCFAYFRSLFAAILGLKASKAFFGELMDSVFKAPMSFFDSTPVGRILTRASSDLSILDFDIPYSMAFVAAGGIEVVTTVLVMGAVTWQVLVVAIPVTITMIYVQRYYVSSARELVRINGTTKAPVMNYASESILGVVTIRAFAATDRYIHSNMQLIDTDATLFFHTVAAQEWVLIRVEALQSLTIITAALFLVLVPPGVISPGFAGLYLSYALTLTTEQVFFTRFYSYLENYIISVERIKQYMHLPVEPPAIIPENRPPTSWPQEGRIDLQDLKIRYRPNAPLVLEGITCTFGAGNKIGVVGRTGSGKSTLISSLFRIVDPAGGRILIDKLDICSIGLKDLRTKLSIIPQEPTLFRGTVRNNLDPLGLHSDQEIWEALERCQLKTAISSTSALLDTVVSDDGDNWSAGQRQLFCLGRVLLRRNKILVLDEATASIDSATDAILQKVIRQQFSSCTVITIAHRVPTVTDSDKVMVLSYGKLLEYETPAKLLEDKQSAFAKLVAEYWANTKRNST >Sspon.04G0027070-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4C:57153561:57154190:1 gene:Sspon.04G0027070-2C transcript:Sspon.04G0027070-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MACFGCSCRVVILVFINTVVIGGTAFVVYTLVRGMKAPHVILMLLVSVNASIYPVFCVTLFPWSSLGRCLRGVGVLLCLPCGRIRRAWSAAGDDGDSGFDLPEIVVQRQGQVRNVPPREAPLPGGGLGRVVAAGDIPAYAYEQQAGAVPDGTSSECPVCLGGIEKGEMVKRLPVCLHVFHQQCIDKWLHHHRTCPVCRGNVFTSLPLPG >Sspon.06G0007610-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:31342092:31365270:1 gene:Sspon.06G0007610-1A transcript:Sspon.06G0007610-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLAAASPHPGAAFSARRRPLLHPAAAGRESILQQCWRCEKTQDSSYGSSLRISRLPRTVHRDISKNLLAPTAGAVSIEQAEAIADLPKGDMWSVHKFGGTCMGTSERIHNVADIVLRDPSERKLVAVSAMSKVTDMMYNLVNKAQSRDDSYIAALDEVFDKHMATAKDLLAGEDLARFLSQLHADISNLKAMLRAIYIAGHATESFSDFVVGHGELWSAQMLSYAIQKSGTPCSWMDTREVLVVNPSGANQVDPDYLESEKRLEKWFSRSPAETIIATGFIASTPENIPTTLKRDGSDFSAAIIGSLVKARQVTIWTDVDGVFSADPRKVSEAVILSTLSYQEAWEMSYFGANVLHPRTIIPVMKYNIPIVIRNIFNTSAPGTMICQQPANENGDLEACVKAFATIDKLALVNVEGTGMAGVPGTANAIFGAVKDEGGSIVLSSYFYLPMRKLLPDCNISALLQASSEHSVCFAVPEKEVASVSAALHARFCEALAAGRLSKVEVIHNCSILAAVGLRMASTPGVSATLFDALAKANINVRAIAQGCSEYNITVVLKQEDCVRALRAAHSRFFLSKTTLAVGIIGPGLIGRTLLNQLKDQAAVLKENLNIDLRVMGITGIDLTQWKEKLQTEAELANLDKFVHHLSENHFFPNRVLVDCTADTNVASHYYDWLKKGIHVITPNKKANSGPLDRYLKLRTLQRASYTHYFYEATVGAGLPIISTLRGLLETGDKILRIEGIFRSFVMYEHKGVIL >Sspon.03G0037950-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:7048963:7052266:1 gene:Sspon.03G0037950-1P transcript:Sspon.03G0037950-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPSHVLPFLSPAGGCTASSARARSGRRAGLLRCSAAAGQAGFFTRLGRLIKEKAKSDVEKLFSGFSKTRENLSVVDELLTYWNLADTDRVLDDLEEALLVSDFGPKISFRIVDTLREEIRDGKLKSGAEIKAALKRCILELLTSKGGNTELNLGFRKPAVIMIVGVNGGGKTTSLGKLAYRFKNEGVKVLMAAGDTFRAAARDQLEVWAERTGKLAYRFKNEGVKVLMAAGDTFRAAARDQLEVWAERTGSEIVIDNDKKAQPPA >Sspon.03G0003380-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:34255279:34258017:1 gene:Sspon.03G0003380-1P transcript:Sspon.03G0003380-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASGGAYAAQLPAVPEWLNKGDNAWQLTAATLVGIQSMPGLVVLYGSIVKKKWAVNSAFMALYAYASSLLVWVLVGFRMAFGERLLPFWGKAGVALSQDYLVRRASLSATAHGDTPRTEPLYPEATLVLFQFEFAAITLVLLAGSVLGRMNIKAWMAFTPLWLLFSYTVGAFSLWGGGFLYHWGVIDYSGGYVIHLSSGVAGFTAAYWVGPRLKSDRERFSPNNILLMIAGGGLLWMGWAGFNGGAPYAANIAASVAVLNTNVSAATSLLTWTCLDVIFFNKPSVIGAVQGMMTGLVCITPGAGLVQTWAAVIMGVFAGSVPWFTMMILHKKSALLMKVDDTLAVFHTHAVAGLLGGVLTGLLATPELLEIESPVPGLRGAFYGGGAQQVGKQLAGAAFVVAWNVAVTSLILFAIGLLVPLRMPDDQLMIGDDAAHGEEAYALWGDGEKFDATRHDLAARVGGGGMMDREGSADQRMSSMGARGVTIQ >Sspon.02G0016150-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:44287174:44294898:-1 gene:Sspon.02G0016150-1A transcript:Sspon.02G0016150-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ALSFLVLLLLITPVVVSQQGILSIDCGVDANYSGYTDKFFGIVYVSDEPYTDAGENHRVAADRESSYWAGHQTLRSFPSGLRNCYALPTVAGTRYLLRLEFAYGNYDGKNSSSLEFDLHLGANFWDTLHMGRATMSSSSGTIREAIFVAWAGWAPLCLVNTGRGTPFVNFVELRPLGAGLYPQVAPGLIMSMYWRQNMGANVSTWYPADPYGRYWWHGDAASNPRWVNELTTQPIESDSIFAVPSSVLQTAVAAAGNGTALTAATWQDDTTKLRFMVLLYFADFQNTQFRQFGIYLDDSGLVPVRKSYSPSYLSSSSVYVEDYRATDGKYSITLVPTNTSVRPTEDSPPQIGYAIMAIKIEYGVKKNWMGDPCFPTKYAWDGVKCSNASGNTSRITSLCDHDGSKCNTSSRSPPQNKAAIIAISVVVPVLVVLLVLLAYFVWREKRKANGNNNRPFLSHIVQPLSTHGSTRDPQPDNDAGSIQSHGDNLKKTENRRFTYKELEKFTNNFKKFIGQGGFGSVYYGHLEDNTEVAVKMRSESSSRGLDEFLAEVQNLTKVHHRNLVTLIGYCWEKDHLALVYEHMSQGSLLDHLRGFEIEKIVTKLEKKTLIFLKSICQIIELFFSIKHIEGKNGAAEALNWRTRVQIVLEAAQGMDDLHKGCNLPIVHRDVKTSNILLGQSLQVKIADFGLSKTYLSDSQTHISATAAGTAGYMDPEYYLTGRLTESSDVYSFGVVLLEVVTGEPPIVPGQGHIIQRVRQKIATGDISSVADLRFGSAYDISSMWKIIETAMMCTADSATQRPTMATVVIQLKESLVLEEAREKDSSVRASRESDMEAKV >Sspon.03G0025100-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3A:75915579:75917416:1 gene:Sspon.03G0025100-1A transcript:Sspon.03G0025100-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GIMVMKMELEDDGAMRGRGTGGTWTEEDRALGAAVLGADAFAYLTKGGGAISEGLVAASLPDDLQNKLQELVESESPGTGWNYAIFWQLSRTKSGDLVLGWGDGSCREPRDGEVGGAASAGSDDTKQRMRKRVLQRLHIAFGVADEEDYAPGIDQVTDTEMFFLASMYFAFPRRAGGPGQAFAAGIPSGFPIASASGVLELGSMQHIAESSDTIQTIRSVFAGTSGDKAAVQRHEGNGPAPPGRSPGLAKIFGKDLNLGRPSVGPAVGVSKVDERTWEQRSAAGGTSLLPSVQKGLQNFTWSQARGLNSHQQKFGNGVLIVSNEAAHRNNGAVDSPSATQFQLQKAPQLQKLQLQKLPVVQKTPQLVNQQPMQPQVPRQIDFSAGSSSKPGVLVTRAAAVLDGESAEVDGLCKEEGPPPVIEDRRPRKRGRKPANGREEPLNHVEAERQRREKLNQRFYALRAVVPNISKMDKASLLGDAITYITDLQKKLKEMESERERLLESGMVDPRERAPRPEVDIQVVQDEVLVRVMSPMDNHPVKKVFQAFEEAEVRVGESKVTGNNNGTVVHSFIIKCPGAEQQTREK >Sspon.08G0011950-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:51367923:51375423:-1 gene:Sspon.08G0011950-1A transcript:Sspon.08G0011950-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSKRPSPHAMDEAASATAARLRPSTPRSKKRTSRSKSRGRSRDRRRSSPNPNPSSRRERAADHGSAAAPSRKSDRKPKPRSFPDSATLATAMASVAAAAAAASSAAPASGGGRGSAGAVQKLWTESDEVALLTGAVAFKDRTGIAPRLPDMGELFESIKDSLATHLDQAKVYYKLKRLKSKFQHSVPGESSTAHEHRLRDLGAALWGAELARPEEKAIAVAEEADEDDADEGFVGGDREGAVKLPMVKEVLGEYWRLNGQTMSGVSLEKGLAMLGPQEASVAEVKWRRQLEADMRMQMRRHDLEKEMQLMEYIAGYIGGGAVRLVDCGLKSAEAAARLLLLAESLHCSTLAKFSSAVASRTAWLFCRLINPTSWLLTDRPNTV >Sspon.01G0034840-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1C:6762609:6764798:1 gene:Sspon.01G0034840-2C transcript:Sspon.01G0034840-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPAATKKKAANKLVVEEPTDDDVSICNLHPATMEKLSIFRGDVVLLKGKRRRDTVCTAIADEGCGEHALGINRSVRSNLRVRLGDVVSVHPCPDAAFGAKVHVLPLDDTVEGLTGDLFEAYLRPHFLNAYRPVRKGDLFLVRGGMRSVEFKVVGIHPPAEYCIVADDTEVFCDGEPVKREDEERLHGVGYDDVGGMRKQLAQIRELVELPLRHPQLFKSIGVKPPKGILLYGPPGSGKTLIARAVANETGAFFFCINGPEIMSKLAGESESNLRKAFQEAEKNAPSIVFIDEIDSIAPKREKTHGEVERRIVSQLLTLMDGLKTRSHVIVMGATNRPNSIDPALRRFGRFDREIDIGVPDEVGRLEVLRVHTKNMKLAEDVDLEAVAKDTHGYVGADLAALCTEAALQCIREKMDVIDLEDETIDAEILSSMAITNDHLRTALTGTNPSALRETVVEVPNVSWADIGGLEGVKRELQETVQYPVEHPDKFEKFGMSPSRGVLFYGPPGCGKTLLAKAIANECQANFISVKGPELLTMWFGESEANVRDIFDKARQSAPCVLFFDELDSIATQRGSHVGDAGGAADRVLNQLLTEMDGMSAKKTVFIIGATNRPDIIDPALLRPGRLDQLIYIPLPDEASRHQIFKACLRKSPVAKNVDLGALARFTAGYSGADITEICQRACKYAIREDIERERKAKEKPEDMVVDGDDEPAQIGAKHFEESMRYARRS >Sspon.02G0009630-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:25326472:25337821:1 gene:Sspon.02G0009630-2B transcript:Sspon.02G0009630-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable 6-phosphogluconolactonase 5, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G24400) UniProtKB/Swiss-Prot;Acc:Q84WW2] MATDGAASDAGSKKKLLIFDSEEDLAVSLAKYTAELSEKFASERGVFTAVLSGGSLIEALRKLTEPPYLDSVDWSKWHVFWVDERVVRKDHVDSNYKLAFDGFLSKVPIPPGQVYAINDALSAEGAADDYEACLKQLVKNGVISMSAATGFPRFDLQLLGMGPDGHIASLFPGHPLVNEKERWVTYIKDSPKPPPERITFTFPVINSSAYVAMVVTGAGKAAAVQKALSDKQTSSDLLPVEMAVLQDGEFTWFTDKAAVSMLQNK >Sspon.05G0033120-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5C:26311399:26313002:1 gene:Sspon.05G0033120-1C transcript:Sspon.05G0033120-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRRWRCNIWSSSPEDAQRQRTVPVCRSPLLRAPAQTARLDSDMIACFSPTAWSMLSALGRGAIRCSGELGPHRQRPRRRAARLGCPNPPLARAWLPQQHHVVQHDGEARADVGQHGHPQHTGRHATASTRTPALAPTETSTLAAFRLQRPSQGIKSKMLNAGLSQPLGELRGLMMLQRGQPPNPKSSGSPPPAAIPNCARPPKPVRPRSQISPHAPAALCSLQISRTPWLPHATAINGKRAAWSSCARGSPAERLLPDRTAWSASTEEAPACVLPFPIPHSSLLAIATLSSSIAACPNSKLRQPTIVDQGSHGGTSHVQQGRSKGVRLGRTP >Sspon.08G0017870-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:5091508:5093087:1 gene:Sspon.08G0017870-2C transcript:Sspon.08G0017870-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPMAAESNNGAAATATAVHPAGPQHRRRHVLLFPLPYQGHINPMFRLAGVLHARGFAITVFHNHFNAPDPARHPRYRFVPVPDGISGPAPVAIEDVVARIIALGAACEPAFRTRLAAVLEEDEYSGDAVACLVADAHPLPVFQVAKRMGVPALALRTGSAASYACFAAYPMLCDKGYLPLDMPVPELPPYRVRDLMQLGKGGHGLIRELLARAVAAVEASSGLILNTFDALEHGELAGIRLDLGAAVPVFDVGPLHKLSPAGGGGDSSLLLQDRACLEWLDAQPRDSVLYVSFGSLACMTPRDLAETAWGIAGSGVPFLWVVQPGLVRGAGGQSQHQQLLPEGFEAATRRRGIVVAWAPQEEVLRHRAVGGFWTHNGWNSTMESVCEGVPMLCRPYFGDQPGNARYVEHVWRVGFEVGGELERGSVEAAIRRLMTGKDGAEMRARAGELKKAAAECTGKGGSSCIAIDKLVTHVMSLDSSRGEAASFKF >Sspon.08G0001870-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:2525681:2535320:1 gene:Sspon.08G0001870-1P transcript:Sspon.08G0001870-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALAPAGRRRRARLRPRLSSCPAPLHAPRWVRRCGGRWARGAAGGVQPAAVVSVMFAGHQGELSLSGASVAATFANVTGFSVLLGMGSALDTFCGQSYGARQYDMLGTHTWTVCSVVDSWSFRVWFASVPYQIPADPKYCPDIGSLLWTYFATSRYAMLVAGSKFWPWPQRRRRSSGNLSILMVQCGIASCKKLAWMVKGGTKVKGCQSVSKASSSIYLYDLLSLEYWAFEMVVLLAGFLPDPELETSILSVSLNTMWMVYTIPSGLSSAISIRVSNELGAGNPHAARLSVYNHFFLAEGLFVAIITVLVRDVWGYLYSNKEEVVKHFLLLLTSWMEYNARYQVSFIPLPKKGDTSVMYKSVFPFQTAGAALDFVKRGAAKEAELDLVLVE >Sspon.05G0015580-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:29030532:29034348:1 gene:Sspon.05G0015580-2D transcript:Sspon.05G0015580-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKIKAVLDQETWVAVDVPEEFQAIVLSLSSTYSSVNGLEMPSTDDNSKFSDHRPTSQELTYSAENNADNGKVTSTGESKVESTSQTENNVAGNLKSTLQTIVHGGVGYHMVNCGLILLKMLSEYVDISKCLPSLSLEVVQRVVEILKLFNTRTCQLVLGAGAMQVSGLKSITSKHLALASQIISFIHSLIPDIRRVLFLKIPEARKQLLMSELDRVAQDYKIHRDEIHSKLVQIMRERLLANLRKLPQIVEGWNGPEDNDVQPSQFAKAVTKEVTYLHRILSQTLLEADVQIIFRQVVQIFHSHITEAFSKLEVSTPQAKN >Sspon.03G0011760-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:32042501:32045176:-1 gene:Sspon.03G0011760-1A transcript:Sspon.03G0011760-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:1,4-alpha-glucan-branching enzyme 3, chloroplastic/amyloplastic [Source:Projected from Arabidopsis thaliana (AT3G20440) UniProtKB/Swiss-Prot;Acc:D2WL32] MASFPLFLLVPRPPPPLVGADGCPISAGRAHLPPSCRPHPGRRHGCPFRCDSSSSSPAPRERPPRSRQRPGGRGDAVDPVGFLAKLGVSDRAFAQFLRDRHKALKDRRWEVCSRFIDLKEASSGFDLLGMHRHRQHRIDFMEWAPGARYCSLVGDFNQWSPTENCAREGHLGHDDFGYWFIILEDKLREGQEQDEYFFQEYNYVDDYDKGDNGVDAEEIMRRMKEEYWEPGEIRSRKSQLEMVVKLYEQMFGPNGPQTEEELGEIPDAQTRYNEFKASQKADSSSTLPSYDIIDNGQRFDIFNVVTDRASFEKFQAKKPPLAYWVEMRKGRIAWLEKYVPTISHKDKYRVYFNTPDGALERVPAWATYVLPDAEGKQSYAVHWEPPLEEIYKWRFGRPKVKGSLRIYECHVGISGSEQKVSSFQEFTSKVLPHIKKAGYNAVQLIGVVEHKDYSSIGYKVTNYFAVSSRFGTPDDFKKLVDEAHGLGLVVLLDIVHSYASADELVGLSLYDGSNDCYFHSGKRGHHKYWGTRMFKYDDVDVLHFLLSNLNWWVTEYQIDGFQFHSLSSMLYTHNGFSTFTGAMEEYCNQYVDKDALIYLILANEMLHQLHQDIITIAEDATFYPGLCEPTTQGGLGFDYWVNLSVPEMWLWHLENVPEREWSMNKIIKVLVSSNQNMLSYVENHNQSISGRKSFAEIILNSGECSVGPVNDDLIRASSLLKIIKLITFTTSRGAYLNFMGNEFAHPERVEFPMPNNDYSFQLANRQWELLDKGFHKHVFNFDKDVMSLDENERIISRGSPNILHCDDTSMVISFTRGPFLFVFNFNPEVSHQSYHVGVEEAGEYQLILNTDETKYGGRGTLKSSQYMQRTCDKRADGCRNSLELALPSRSAQ >Sspon.07G0021440-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:2913810:2914894:1 gene:Sspon.07G0021440-2C transcript:Sspon.07G0021440-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ethylene-responsive transcription factor ERF061 [Source:Projected from Arabidopsis thaliana (AT1G64380) UniProtKB/Swiss-Prot;Acc:Q9C7W2] MGRLAYIRPPGARHVDEQPNALPATAVKTSSRNYSNFLRTLPPPGSSFPGEVRSAVSSLLLSSAGGTSALDTVFSHLPPPVTIPPLGSSVYHRQCELLRHFAASQPQTHQLPTAAACSSSSSSTSSAASASFQLQPQQAPAPPDDPAVAAAMLRQKLYRGVRQRQWGKWVAEIRLPQNRVRVWLGTYDSPETAAHAYDRAAYRLRGEYARLNFPGVMDAPDPECPDHLRQLRAAVDAKIQAIRARMARKRARARRQREESARSAGSGSGAEAANKPAAARPVVSEGAATTTTTTTSETSTTLCGSPDGVLSVSAVSADGDCLLERMPSFDPELIWEMLNF >Sspon.07G0017100-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:49532436:49534673:1 gene:Sspon.07G0017100-3D transcript:Sspon.07G0017100-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVTVSCRRPSGLHVSCSLCCFWMLLLSIHVPRAGSLSFDLRFSKPQSPNLSQLINCTGDALLTQDTLELTRNRLDQSSTGSVGRAKYMQAVRLWDEATGETASFTTTFSFRIIPDARTYPGDGMAFFLGHFWSDTPRYSGGGSLGLFQGGSNGTGDGTIVAVEFDTYLNPEYADVSYSHVAIDVNSINSTAVEDTTSPTKNLTSAGYVMMATVRYVNVTRLLAVQLTINGTSYNVNATVDLRSYLPEHVAVGFSAATGIGGELHQILSWSFTSTLQEPPAPAPAPAPAPPRPPPLTSDNIQHPKKRSVGTLIVAVLVPLLFLLACAGVLAFFVRHKRNRTRRLGGMTPKETSDDDNDYQEQDNSRAELERGVAASGPRRYAYRDLAAATNNFAEDGKLGRGGFGSVYRGTLTVAGEERPVAIKMLSSDSSAQGRKEFEAEVRIISRLKHRNLVQLLGWCDSRHGLLLVYELVAQGSLDRRLHSSDGESFLTWPERYQIIVGLGSALRYLHQEWEQRVVHGDIKPSNIMLDDSLGAKLGDFGLARLGDHGGRWQTTKAVLGTAGYIDPEFVNTRHPSTHADVYSFGIVLLEVVSGRSPVILQQGEPPFVLLKWVWSLYGRNAILDAADERLRTGDELHDECMERVLVVGLWCAHPDQSERPSIAQAMHVLQSEDARLPALPPQMYKTASDLAVTGRSYGALSVESYSGGDSSTTPTTTTTTGDSKVSSGSSTTALLRDTKERS >Sspon.08G0017320-1T-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8B:1329701:1330775:1 gene:Sspon.08G0017320-1T transcript:Sspon.08G0017320-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LRAQHVPVQIHPPLFAFVSVRSAPFSPSRRRSPPGLGLALPPLLLVDVPLTARRPPARPPAGAPAAAMDDGDLDFSNPDTYLCPALGTDPPSSCSMDSYFDEILKDTEHHACTHTHTCNPPVHDLSHTHTCVHVHTKIVAASPGDGADTAESPSENNTATGNSNAASKKRPSGNRAAVRKYREKKKAHTASLEEEVVHLRALNQQLMKKLQSHAALEAEVARLRCLLVDIRGRIEGEIGAFPYQRPPRRSRTSTSCLVLTREASSVVLRPRLPTPAISDATIRCIATLDAGRYQWSGVGTRRL >Sspon.08G0026480-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8C:22976302:22976673:-1 gene:Sspon.08G0026480-1C transcript:Sspon.08G0026480-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSSPGCEESKDGTPFLASPSAAIAMPPSPILLQCTARLLCALLSLLLLGDQQWHRSEVSSPPQYGPPLSAALLRRFRPRARCQQSPHAPPPSVPPLPTEIPCSFSSVRATCSFSPLALLYFT >Sspon.07G0017390-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7A:62709324:62709691:-1 gene:Sspon.07G0017390-1A transcript:Sspon.07G0017390-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMHAHQRRQSSGSDGFIQMGAGINKEELKTGAGKSARLHQPAVADRLAGGGFCRRQTRWPEQPPRELSELADGDMIGGEEIL >Sspon.03G0019960-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:62019891:62027915:-1 gene:Sspon.03G0019960-1A transcript:Sspon.03G0019960-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGKSTCVAVLITLLLSPLLCPSASAQHTLGAGSSLSVEDHGRPFLTSPDGTFSCGFQEAGENAFSFSVWYAEAAEKTTIWTANTGAPVNGRRSRISFRRDGGLALDDANGSTVWESKTSGSGGGGSLTISLLDTGNLVISNGGRAVWQSFDWPTDTLVPSQPLTEHNKMVAAYFSLYYDNDNVLRLLYDGPDTSSIYWPNPDNGPLKNGRTTYNRSRVGVLDDTGVFLSSDNLVVRASDLGQPGVKRRLTIEQDGNLRIYSMNASTGGWTPMFSFVSNCSQLPEPQQYKFIKMPHTDFYGYDMGSNQSVTFEYCKKLCSERCSCVGFSYKPQGQGICYPKSMLFNGYTSSSFPGTIYLKVPIDFNASAPLIQAARRSTAAGIACNPNVTTILLQGSSGAFPRHGNDAKWVYLFAFAGALGVVDLIFILTGFWFLSSKQSIPSSLEAAGYRMVTGQFRRFTYRELKDATGNFKEELGRGSSGVVYRGVLDKGKVVAVKKLMTNLVRGDEELWAEMTVIGRINHINLVRIWGFCSDSKHKLLVYEYVENESLDRHLFDTDSSRTLPWRERYRIALGMARGLAYLHHECLEWVIHCDVKPENILLTREFDAKIADFGIYDQTTANGERLEMSQIAQLLRQVVDTGEVVPLVDGRLQGQFNPRQVMEMVRISLLCMEERSSNRPTMDDIAKLLTACDDDEHPAYRS >Sspon.06G0001720-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:5550841:5558553:1 gene:Sspon.06G0001720-1A transcript:Sspon.06G0001720-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHRAAPPDDVIVQVNAAAVAAVDDRISTGQITEEDVGIVDDGKQIGGGRSTRRTFSQSYKMKHRNPLEFTGWQLALLGYQSLGVVYGDIGTSPLYTFSSFTLPGPGADDVLGILSLILWTLTLVSLVKYVFIVLHADDHGEGGTFALYSLLRQHVNFSGKSMPVPVTRLASDANLKFHSKKSSLQPRMLKFLEGSPIAQAVITYLVLVGTCMVMGDGALTPSISGIYLINLLHHQFITSYQLFKESSQGLLALNKYGTSKVGFTFSPIMLVWFALIASIGLYNIIKYYPPVLKAISPHYIYLFFARNKRAGWEQFGTVVLCITVNQFITKSGAEAMFADLGHFNKKSIQMAYSCLVYPALILAYAGQAAFLIKNPSKLSTTFYSSVPEALFWPMFIVATLAAIVASQALISASFSIIKQSIALGCFPRVTMKHTSKKYEGRVYSPEINYFLMIACILITVGFKGGPEIGQAYGVAVIWVMLITTHLITVVMVIIWQSHSAIAGLFYVIYTVIEGLMTISLLYKIAQGGWVPFAITAFFLIITLSWTYGRSKKNEYEASNLMDRQEFIKTVNMSNRVPGICIFCTDLMNGIPPIVRHYVQHMGCLRELMVFVTVRHLPVTSVLPEERFLFDRLEPFGVYRCIVQYGYMDTQNMEDDEYVLSIIASLKEIAQSDDETMMMDSALANGTTFVLGRVILKMSSKQNCFKRFVINNLYRFLQKNFRSNISSLKIAPSKTLQIGMQYEI >Sspon.04G0032890-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:36646644:36647987:1 gene:Sspon.04G0032890-1C transcript:Sspon.04G0032890-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLAASQQQFAHSQMCFRARMQLKPPTRPKLYSGLMPQEEFDSYCDLIPGLPEDLAKICLALVPRTHFPVMGAVSKRWMSFLESKELIAVRKEVGKLEEWVYVLTPDAGAKGSHWEILECSGQKQSPLPRMPGLTKAGFGVVVIGGKLFVIAGYAADHGKDCVSDEVYQYDSCLNRWTDLAKMNVARCDFACAEVNGVIYVAGGFGPNGDSLSSVEVYDLEQNKWTLIEGLRRPRWGCFGCSFEGKLYVMGGRSSFTIGNSRFVDVYNPNNHAWDQVKNGCVMVTAHAVLGEKLFCIEWKNQRSLAIFNPADNSWQKVPVPLTGSSSTRFSFGVHEDKLLLFPLEEEPGYQTLMYDPAAPMGSEWCTSKLKPS >Sspon.03G0032680-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:48157507:48165472:-1 gene:Sspon.03G0032680-2C transcript:Sspon.03G0032680-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTAGELDSAAGGEDLEPLFDYKRVQPRMTFSFDDSDLEAADIFKHCNKRPKVQATTEEESKPDEEAAAPKVVVLDEEDWLQPPPPKAAFRAPAEEDSTFRELRLKKQEWAKFAESAQGILQKMDAITNKEVGPKEPPEQIILDEESEPPVEKAREKIVISIQDKDGHQQMRVYKDEKFDKLLKVYAKKAKLNPSDLYFVFDGEKINPSSTPQDLDLEDEDMIEVRCKRS >Sspon.01G0037480-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:15464709:15469279:1 gene:Sspon.01G0037480-2D transcript:Sspon.01G0037480-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSALRLILGSSSASRRQILSEMGYKFTLLSADIDEKAIRKENPEELVVALAHAKADAILEKMQNNGMMKEIVDSQETTLMITADQVVIHDGVIREKPSTPEEARKFIKGYSESHAATIGSVLVTNVKTGARREGWDKAEVYFHKIPDEVVESLIEEGNVFYVAGGLLVEHPLTSPLVEAIAGTIDSVMGLPKALTEKLIKESLPEP >Sspon.04G0018540-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4A:66656857:66657520:-1 gene:Sspon.04G0018540-1A transcript:Sspon.04G0018540-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHEPLRRRRIGELVGATGKKLSLAHRGTSSGTGSSSSSFLGSIPCSSPPRPSAWKPQHRQQESHLLVVDDGESVSRCSSCTATGKVGQAVGKGNQGLRNPKESSSEERGADKKGKTPKYRYIARYGHLSLSLWSLHGSDQMAGGLLHNHRHQGRRHMAVPDPQPKHKHKPASPPVTAVY >Sspon.03G0039470-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:10638878:10642501:-1 gene:Sspon.03G0039470-2D transcript:Sspon.03G0039470-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQPHLSPQLSPSPVLSSHFSPPATGASPWRRRLHRGRAFQPTLSSLREPNKATLRKASPNVPFRLGGGGGGSGSPKDRRPAADDKEEEAEGDGGAGALTGTLIAGALLVGFVGGFGAAGYVYKDQINTFLTQFSGFIDGYGPAGYALFVLVYAGLEVLAIPAIPLTMSAGLLFGSVTGTIIVSVGGTLAAAVAFLIARYFARERILKLVEGNKKFLAIDKAIGENGFKVVTLLRLSPLLPFSLGNYLYGLTSVKFLPYVLGSWLGMLPGSWAYVSAGAFGRAIIQDESEIGLGGNGQLWTLGVGLLFTAIAATYVTRLAKDAVKEIDD >Sspon.06G0007940-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:32304686:32308318:1 gene:Sspon.06G0007940-2B transcript:Sspon.06G0007940-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSAWAVALAALCIVALAPPAAGFYLPGVAPNDFEKKDPLPVKVNKLTSIKTQLPYSYYSLPFCKPDTIVDSAENLGEVLRGDRIENSPYTFEMREPQMCQIVCKISVGEKEAKLLKEKIEDEYRVNMILDNLPLVVPIQRVDQEGAYFYQHGFHVGAKGKYSGSKDEKYFIHNHLSFTVKYHRDEQRDVSRIVAFEVKPYSVKHEYEGQWNDKKTHLTTCDPHAQRIITSSESPQEVEVGKDIIFTYDVDFKESDIKWASRWDSYLLMTDDQIHWFSIVNSLMIVLFLSGMVAMIMLRTLYRDISKYNQLETQEEAQEETGWKLVHGDVFRPPSNSDWLCVYVGTGVQFFGMLLVTMVFAVLGFLSPSNRGGLMTAMLLLWVFMGLLAGYSSSRLYKLFKGSEWKNIALRTAFTFPGSVFAIFFFLNALIWGQKSSGAVPFTTMFALVLLWFGISVPLVFVGSFLGFKKPTIEDPVKTNKIPRQIPEQAWYMNPIFSILIGGILPFGAVFIELFFILTSIWLQQFYYIFGFLFLVFLILIVTCAEISIVLCYFQLCSEDYLWWWRSYLTSGSSALYLFLYATFYFFTKLEITKFVSAVLYFGYMLIASYAFFALTGTIGFYACFLFTRLIYSSVKIE >Sspon.01G0056550-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1C:90699764:90704619:-1 gene:Sspon.01G0056550-1C transcript:Sspon.01G0056550-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPNTLAPKQGRDANLCHRARAVLLQEEGANAGRQAGLCKGIHSVNWDSLDRVLDARGFPVLWRNWMRRLLVSSRSAVLVNGIPGPWLSCRRGLRLGYTLSPYLFLLMADILQKLVKADGGIRHPLVQDLAMPGRSFPQVYLGLPLSNVKLRLSAFAPLIAKVDRYLAGWKATLLSTAGRVVQINSVLDGLPSYAMGAMMLPPAIREALDKRRRAFLWAATDKIHLDTLQGDLGGTHWSTLRDLLPAYQRITRVSVGNGRDTAFREDVWLGDTPLQISFPALYSHFDGHGSSVQEVLPAPLGQQFQRRMSTQAREELQVLQDVSLTVDTDDRSCFFADSNQRLISGMIYRASMKGDETCPAYQFVWKNFALPRVRFFRWLITKNRIQCKSNLMRKRVLQEDICAICSTEHETADHIISGCHFAKEFWQRIGWQPENIAEVLSLWETTAPVPMPRTAFSSLILLICWELWKHRHDVVFRGMPPDHSRLIAACRSSAVQWRCRLPRNDTRLSTFWGQKRVNSAIPFGSPDLRESSLLSSLGAPLAAPPPPLPPAELLDRLIPVPLPGATTAGETAPSTAPELVHHGCTAGPPPDGSAAPCPLGSTRFVPPGRDSMLLTLAALPAAPLRPRPSLFQWHQEDE >Sspon.06G0019260-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6B:1105213:1106957:-1 gene:Sspon.06G0019260-1B transcript:Sspon.06G0019260-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPDGDPAFHRNEAISAVQDVDQYYGEDDDFDELYNDVNVGDGFLRNSHPPPPLPPQHAPPPQQNHLQQQQQLPPPPAQLNHNHQHQQQQQLPPPPLPQAQQPPPHSLPPSPPHAPPQQQVYAPSPSQPPSQLNLPPPQHHQIQQGDGFHRPGGNYSGGPVVVANGAGVGRGDGPGGTMLFVGELHWWTTDADLEAELSKYGPVKEVRFFDEKASGKSKGYCQVDFYDPAAATACKEGMNGHSFNGRPCVVAFASPNTVRRMGEAQVKTQQALAAQTSSVQPKVGRGGGGAGMPYAGGNYSGGRGGGAVSGGSGGNWGRGGGGGRGPVGNMRNNRMGPAVGRGIGNGMVAPPPPMLPQGGMLGQGFDPGFGAMGRMGSGFGNFLVGPGAGPFPGMMQPFPPVVAPHVNPAFFGRGGMGAGGVGMWPDPSMGAWVWGRGKPWEGEATGKGVVWCIREETGTEKDMPPEQELPERRHRNEHDMGRDRDRDYDRDRERDRDRERDRDRERDKDRGRHRDDRDRYGDYHMHRDRDSERNEDWDRGRSSGIRNRSREADHSKRRRMTPQ >Sspon.01G0010890-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:30191525:30192566:1 gene:Sspon.01G0010890-1A transcript:Sspon.01G0010890-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPPCCEKEGVKKGPWTTEEDLVLVSYVQEHGPGNWRAVPANTGLLRCSKSCRLRWTNYLRPGIRRGGFSEQEDRLIVHLQALLGNRWAAIASYLPDRTDNDVKNYWNTHLKKKLLLQQQRASTAAAASSPTPPKGQWELKLQTDIDLARRALRDALSVDAAAGPLGMAAAGSAPLAASAGGPAGAQACALTAGSVDRTMLDGWAAPPAAGRSCVVVGGTVNPAPATPGAAESASGLSSELTTECSGSISSAAPVVAAGHQLLVREEKAVAGQAEVPLSAIESWLLEDAGGEQKLPHESLLLDAVLHNFGF >Sspon.02G0008790-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:25129041:25129704:1 gene:Sspon.02G0008790-1A transcript:Sspon.02G0008790-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGSCLALPSLDHGEPCKLGGHTGTEECREPCEIACAQIEQRGSSSTASLPAARDASLLTAEGAFLRRVLRADLRQATSTRTDIVGVQHHRFSSSTGVLTR >Sspon.05G0022440-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:7492573:7494096:-1 gene:Sspon.05G0022440-3D transcript:Sspon.05G0022440-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VRTPCLGDKFSSMHGQKGVVGFLESQENFPFTHEGIVPDIVINPHAFPTRQTPGQLLEAALGKGIACKGTMRYATPFTTASVDVITEQLHKAGYSRWGAENVLNGRTGERMQSLVFMGPTFYQRLIHMSEDKVKFRNTGPVHPLTRQPVADRKRFGGVKFGEMERDCLLAHGSAANLHERLFMLSDFSQMHICQTCERVANVVMRSVPGGKKIRGPYCGFCKSSENIVRINVPYGAKLLYQELFSMGICLKFDTEVC >Sspon.03G0011780-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:39406207:39407906:-1 gene:Sspon.03G0011780-2B transcript:Sspon.03G0011780-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGRTRAGISRADQYYASYPAGTELLTDTAKLYKAALGNCFEIDEWGPIEFSIMAMHFDRQGKPPYAYHAQYLAHLLSHGQLDGSG >Sspon.02G0021570-3D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2D:65629168:65630577:1 gene:Sspon.02G0021570-3D transcript:Sspon.02G0021570-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMFLSSVLSELTSRSISFLMDKCSRQTSPPTVEETLSNLQRLLLRVHVIVEEADERHISNQAMLRQLSQLRKDMYIGYHTLDTFRCRVSPSFTPSIFSPAKRIRFSSDGSSSSEQEQLRQVLGCLEAAIRDTSELVVFLSGCPRRCRQPYSMYLILDNCMFGRRMEMERIMEFLLHEEVSIDGNPPGVLPIVGPGKVGKSTLIEHACNDERVRDHFSQIMCFRQCGTRDERTVATLSDCDVIKHRSRAMGEERILVIIELIGDMDEDVWKKFYSDSKHHVAGGSKIIVASRSDKIARFGTTYPLEVKLLTPEEHWYFFKVRTFGSTDPKDHPKLTSIAMDLAHRMHRSFFAASVLSTLLKANFDAHFWSMALASMKKFKRANPLLYGEKRVDLRQGNKPINIPIANKTSSEYLVILHSYETGFVQDTAQNEGPQMRIQDLCTHDVRPRGRFKVVACRSHIPPHYSYMWD >Sspon.05G0014230-1P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5D:50636002:50636614:-1 gene:Sspon.05G0014230-1P transcript:Sspon.05G0014230-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAERHERWMVEYGRVYKDAAEKARRFEVFKDNVAFVESFNANKNNKFWLGVNQFADLTTEEFKANKGFKPISAEKVPITGFKYENLSVSALPTAVDWRTKGAVTPIKNQGQCGCCWAFSAVAAMEGIVKLSTGNLISLSEQELWTVIPTAWTRVARVAGWTVPLSS >Sspon.06G0007920-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:40721196:40727611:-1 gene:Sspon.06G0007920-1A transcript:Sspon.06G0007920-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLSTSSGPPVVNVYHEKSMILPDVSRVLTCLYEKNVKFETFKASYKDILSLQASRSVPVPFYDGPIFLQDSRAICRYIAETYEHQGYPFLLGKDVLERASIEQWLRNEEHAFDPPSRALFCHLAFPLEDEDDDYDEDINREKQKLEEVLEVYEQRLGETEFLAGNKFTLADLVHLPGTHHVITSERFAYLYDSRKNVQRWWNTISARDSWQQVLKDMKVVEEEHRKEELKKEQQQWQTEYLQPFSGRDIHMSHRQQEGTKSQTVLVPPPSTGTIITSIPSAPQEHETSSELKPSSPIQRNEGGFFTTTEKHPPTTRQRDPNTQKPPSSVQNTKSSFFQPSTTTTTIKMDQRTDSENPRQKGASTHSKTSQRQAGALADTKRATEADQDRAPSPAQKSGVQDVQKQGKAIPTGQKVSGTSPRQVESEEDTQDGTDGEERFSTKRLRRMFNPDAQGSQDPTMEEEAPAIKDPSGVHNREKQTTTVPANKITSSPSTGTRAPYTPEATDERGDISPATGVPYNDRATARPGYSQSIQQVPPPTPSNDKLAKIEGVDIRKPQRASQQTPADAKSSPELMQGADPRARIVSDEQTKKSSTMGERAPEATRKASDSQGTSASVQKAILDTYGKQAPASQGEIPGVQDTGDRDTNKKSIVGKRAAEPTSGTLGDKSTIAAMVDPSAALPAPARTQASVGQNASVDPSEGNLDENGKNKAAKSSPDDPRSKLLITPGKLAPNPDTQNRATSGQLSKPSPQLSSLSDIRNEKTSIAETSQTSVVSPNRQPGGQAPRNTGVLSSVPPPVKPPEDNNKAYEEEAVEQVARDQSKAQLAENKKQGDYAAPVTRIGKSKEEDSLADASSYNMGQAQATTGEPSKLQIQSYQNKPQASKDGGKQIDETANSPTLATSEKVLPSNPEKSKQLQGDKSAIILQENVKQGSEAASLGSGEEQQRKKDLPTNDDKNYEKSSEVISEEKITSDTQQVKNSRNDSTSDRSIKPSQSEGNKDNLPESERRPISQRY >Sspon.03G0003640-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:18864659:18866623:-1 gene:Sspon.03G0003640-2B transcript:Sspon.03G0003640-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMRALPLTPSKSSSFGAHQIRQISGSPRSVRAYAKGNEEDNKQSLFGSITEALDFSQVRSEKDAELLYEARESTKGGGRMTREQYGALRRKIGGTYQDFFKSYVDVDGQYVEEGWVDKTCKICKKDTRGEPRQVDKLGRYAHVACLENPKPANFFAKIFAR >Sspon.01G0032650-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1A:109979441:109982616:-1 gene:Sspon.01G0032650-1A transcript:Sspon.01G0032650-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTTTANRLGLLVAATIVLVVATAAAPALAAAPAAAAATPPPSPFRRVYAFGDSFTDTGNTHSTTGPYSFGYVSSPPYGATFFHRSTNRYSDGRLVVDFLAETLALPTYLPPYLSSNSNSSTTAVGVNFAVAGATAIEHDFFARNNLSIDVTPQSIMTQLDWFDAHLRSAGTSERVADALFWVGEIGANDYAYTVVARDTIPPKLVRTMAVQRVTAFVEGLLERGAKYVIVQGLPLTGCLPLAMTLARADDRDAVGCAASVNRQSYAHNRRLLAGLRELRRRHPGAVVAYADYYAAHLAVMRAPARYGFAEPFRTCCGSGGGAYNFDLFTTCGSPQVTTACARPAEYVNWDGVHMTEAMYK >Sspon.02G0040260-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2B:67362373:67367264:-1 gene:Sspon.02G0040260-1B transcript:Sspon.02G0040260-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGFHASQCGFCTPGMCMSIFTSLINADKSKRPEPPKGFSKLKVSEAEKAFSGNMCRCTGYRPIVDACKSFASDVDLEDLGLNIFWKKGDRNPDVSDLPSYTLGGGVCTFPDFLKTEIKSSLDHLKNPCIAPSREGWYHPRSIKEYYKLINSCLFSDSVKVVVGNTSSGVPGYKDQDLYSKYIDIGGIPELSNIVKMESGFEIGAATPISRTIEILEEECESISSPNGSVVFRKLANHMSKVATPFVRNTASIGGNIVLAQKFPFPSDIATILLGAGAIVCLQVVAERRQITLEEFLEQPPIDATTLLLSIFIPHWISDSGTNTSLLFETYRAAPRPLGNAVSYVNCAFLGHASVDEQSDTLVLSNMRLAFGAYGTEHAIRAKKVEEFLTGKALTASVVLGAIKLLRETVVPMEGTSHPEYRVTAAVGFLFSFLSPLSKGIPDPGKSLTSSSADSSDTDDVRNLPLSSRRESFSSDEYKPVGEPIKKYGVELQASETQRYADMAAKQVIIEYDTEDLSPPIITVEQAVEKRSCFDVPPELYPKEVGDVSRGMAEADHKIPSTEVKLASEYHFYMETQTALAVPDEDNTLVVYSSSQYPELAQSVIARCLGIPFSNVRVITRRVGGGFGGKAFRSFQVATAAALCAYKLRRPVRMYLNRNTDMVMIGGRHPVKAHYSVGFKSDGEITALHLDLLINAGISPDASPIIPGTIISSVKKYNWGALSFDIKLCKTNNSSKSVMRAPGDTQGSLIADAVIEHVASVLSVDANSVREKNFHTYGTLQLFYPDSAGEASTYTLHSIFDRLVSTSSYLDRAESIKEFNSNNKWRKRGISCVPLIFNVEPRPAPGRVSVLNDGSIVVEVGGIEIGQGLWTKVQQMTAFALGKLWPDGGESLLERV >Sspon.02G0011220-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:24343235:24345605:-1 gene:Sspon.02G0011220-4D transcript:Sspon.02G0011220-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASDSDGDGHRRFDVIVVGAGVMGSCAAYAAASRGARVLLLERFDRLHVRGSSHGESRGTRSTYAKAYYTPILRLARHLWDEAQAEAGDRVLTPTPHLDLGSREDPALLAAVRNGGAIEVGEGSAWPGAGVFRVPDGWMAAASELGGVIQATKAVKMFQDLANKKGAVVKDRTEVIDVTKREGSILVKTTSGEEFHGAKCIITVGAWTSKLVRSVTGMDLPVQPWHTLLCYWKAKPGRERELTPEASFPTFASYGDPIIYGTPSMEFPGLIKIAMHGGWPCDPDSRGDMTTDTDDDDALVEPVARWIRDFMPDHIDTVERPLKPLPCMYSMTPDEDFVIDFLGGGFGKDVVVGAGFSGHGFKVAPAVGRILAEMAMDGEAAIAAAAGLELGHFSIGRFVDNPKGNLRDY >Sspon.01G0012160-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:32577115:32580719:1 gene:Sspon.01G0012160-1P transcript:Sspon.01G0012160-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding IIFIMAQSIMTAIKRTQVSKARMLSGCKKKGKQNGQDLEKFSIEILPEEATLEVHCLEFRIVKDNRIKLKEVSETLPEASQNGDSSNECAVSNVRDESSTEKLAAQHRLVTCNANGHGAAHADNVIKSATQAHDKEVKPSIVLKLEQSEGGQASLVGSHAVSGKSNQNTVDTAASGKNNFGGELCCSSSDPIHVPSPGSKSAGTFGAIKREVGVVGARQRPSNSAATNTSASNGLVKAVSAPKVSPHLEWKPKSVSPSSISHGVTAAPPAAPSPVDDGSKTEVSALSKKLSSHANVSHEHVIIPEHIRIPDSERTHFIFGSFESEIDPKTSLTASYDIVAKEDLNDHTPSSLAALDSTSTDGTPNGRTDNVGSCSPLPQSESAVSVS >Sspon.01G0001750-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:3797755:3802391:1 gene:Sspon.01G0001750-2B transcript:Sspon.01G0001750-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dolichyl-diphosphooligosaccharide--protein glycosyltransferase subunit 1A [Source:Projected from Arabidopsis thaliana (AT1G76400) UniProtKB/Swiss-Prot;Acc:Q9SFX3] MATPPPHLRRIAALLLVVLAGLLASTARADLVISKADRRVSTVLAAQISEPSSRLSPASALSDLTVDLTSHIVRVLASLKVENVGPDPVSQVLLAFPNIQAKNLAAIRAFGSEGKVKGQSTVLPIEVVQPSGAPPELTFFSALLPKPLEKGKTLYLDVLTVFTHSLQPFPEEITQAEAQLVVYQDSAHYLSPYPVKAQTLAIRLPGGRVESYTRHPNAKLVDSELKYGPFHDLPLAKEVIREIEISHWGNVQITEHYNIAHGGARLKGEFSRIDYQSRPYVRGVSSFRHLIAKLPPRAHSIYYRDEIGNISTSHLWSDSMKTQLEIEPRFPLFGGWQTTFTVGYGLPLKDFVFYSDGKRFLNITFGSPLEEILIEKLIVKVVLPEGSKDIEVSAPFPTQQQQEVKYSHLDIVGRPVVVLEKPDVIPEHNLYFQVYYKFNNISLLREPLMLISGFFLLFVACIGYMRTDMSISKSSPSYLAKLQWDEVQATVQKIQGIFEQCFAVHDKLEASLRDLSRTGDIQSCKAARKAADAQFKELSKDLKPLLTTLQSSPQSYQIWPKVEDLIAKEREMQEKLMTRHSTVVDSFERKLRGQDIENRIALQQQKIAALRQEVESLLEYISEI >Sspon.06G0004290-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:11423897:11427136:-1 gene:Sspon.06G0004290-2C transcript:Sspon.06G0004290-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histidine phosphotransfer protein, Cytokinin signaling and stress respons [Source: Projected from Oryza sativa (Os08g0557700)] MSAANQLAALIANMFATGLLDDQFQQLQLLQDPSAPNFVSEVVTLFCQDGERIIGELAKQLDKPSVDFDRVDAFVHQLKGSSASVGALKVKNTCIQFREFCQQKSKDGCLKTLETVRINFYELRGRFQTMLQLERQVNGFPPNN >Sspon.02G0031530-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2A:115158103:115158708:1 gene:Sspon.02G0031530-1A transcript:Sspon.02G0031530-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAADASPLEVSPRAAGSWRRSRPWSRSSSIGRSGSSSGGSGSIEYTSLRDVLEEEEQCGGGGECGAGGGGCGEQHHNHQQPWRWGSSWGEYSCHDIHDFDASNIGIRNQLLKHAASAYLQSAVVVAAGRDQGCCLARLWRRAQLRGGCAGGRRGRGGRGRVLMRACSWQGCVDDPAAFVATCARRLAAFVADRVSAIWAW >Sspon.04G0011500-4D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4D:38367050:38368123:1 gene:Sspon.04G0011500-4D transcript:Sspon.04G0011500-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAEIKTTEKRNNILIRGWLFILHYYCRPTFYRVYRKKSTEGFQSTPYVVTLFSCMLWIFYALLKSGAELLVTINGVGCVIETVYLGMYLVYAPKAARVLTAKMLLGLNVGVFGLVALVTMVLSNGGLRVRVLGWICVSVALSVFAAPLSIMRQVIRTKSVEFMPISLSFFLVLSAVIWFAYGALKKDVFVAAPNVLGFVFGVAQMALYMAYRNKKPAAAAVVLVEEVKLPAEHASKEVVAAAAHEGSRASCGAEVHPIDIDTLTLPVVEVHDPQAVVVIDVDAAAVAAGADDGAAGVPTAPEQPVMIKPDMAIAVE >Sspon.08G0016570-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:65274033:65275580:-1 gene:Sspon.08G0016570-1P transcript:Sspon.08G0016570-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGKGQRRREKNFLAAHGGDTRLPPPPKHRELEAIPSKLRRLIAFQNKHNANANANADASSGGAPRKQDDGLRKNKQPTDKAKDKKTKKQTLEAPADSNASEIKGSEDGSAANENVNAEGSKRKRKRGKAKDLRFEELDKNISVSKKQRRKKHLDEKKKKRKGNKAETVPDFPGREKVKFGEVVEAPPKLSFPKVKSALDASREMLRKEAIENYRNIKGWTSRPGLQLPTLAENTFLSQ >Sspon.08G0007060-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:19511907:19516184:-1 gene:Sspon.08G0007060-2D transcript:Sspon.08G0007060-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAIFSLAAGPRARVLERAAARIPGCLYICLWAPMIAGPLPSSHLLCVDAWITNDGGGRARAVFDEYRRAFCAIVSGCVPGWAYKDGRPYMELSETDLTSSASLPMAVFMGCDSGEIEVGLSTTSGPAVASHVQHSLLEELMQAAPTVPSSSSSSLPSLSIGSPEYSSFIRSMATWASASAAAEPSSQELHPAVPLPGLPAPVYGPHGQAPFLDPDSEQAAMTQAILAVISSSALPPPASIAVPPASTSPWLVHHRPQRSSPRRWRGAFRAYNAALSPRARPRPGAPGQRMIKTAIALMASVQMAMRHRELAEARQHEDAAATAAQPPPPTQQQHTSSQLHHMFSERRRRERLNESFQTLRALLPPGTKKDKATVLANTTEYMNKLIAEVSELEERNRRLEAQLGLPGATQQTPSDDDPSERVAVDVTNGASTSSSTSCQPQKVSIRVTVRAECDLSEVVVAMLARIKEIGRFTVVTVDARQRSSAHGQGWQRRARRDIPQGSCGEGCRGCGGKTSIAAV >Sspon.05G0015310-1P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:5B:57678307:57678726:1 gene:Sspon.05G0015310-1P transcript:Sspon.05G0015310-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNNVVATEVSNAVTKLNDHLATALTGSENTTTGTGGATTIITLAGDNSGATMDAAATGDVEDLVVVGNVDAEEHDEEEEGEENVVITAYANSNYQAVNNSVLVAGSCAVNDPGVHVVVVEHVDEIRDYDEDMDGQEF >Sspon.03G0020230-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:62727736:62729957:-1 gene:Sspon.03G0020230-1A transcript:Sspon.03G0020230-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSFGGRIVPRPTDKSLCYLGGETRIVAVDRHASLADVHARLSRSLLGGHPFTLKYQLPNEDLDSLISVSTDEDLDNLVDEYDRIAATSSGGGSSRTSRIRLFLFPAKPESSSSLGSLLDDSSKSENWFVDALNSAISGSLDGIPRGISTDSASVNCLLGLEDDSSVHSRSGVTNSAPTEDQRASQPKLPAGATAVAAAAGAGRHPHDVQSVPDSPMLDKNSSFGSTSSAPSLSNLPPIRVRPEDRPSDPRIMQPTAVEDHFAQMGISEQQLPPYIQPQQQVPIPAMTGMSPSEASSRVFSDDDKSDHGGGGRKPQPLKQEVPPVVDPTNRAVYYNDRSPPADLKRDMPVGTEAASYRLPVSAPDAAAAAGPTQVPPGYVLTQMHAPQPPQQHPPQQQQQQQQQQQPAPQQIVSAGNQHFIHNPATGTFIPIQSYYHHPVPQQAPQTVPRPQQGPTFDPNTGMYYLPMQQNAHQPYSMPPGAQVTLPPPTLVDTTPKPTVPIPQMAVRPEMQQPGVYRTTAAATPAPAPNAAPGYAGMAYHHVIQSHHHPSPQPVANMGGNFGYEYADPTRPQVYYSHAAAPPTLPPQYQPIVSPDAGQAEKH >Sspon.01G0057310-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:4255540:4259152:1 gene:Sspon.01G0057310-1D transcript:Sspon.01G0057310-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHSDHGAWDCVAISQQRRHPDIPVRRPRLRFHSCGCSVSAWGLQPATGLVSIDAGCYWRYSWYIDAGLWITLPKEASLTWQLAPSATRPKKIFNTSSSPHGARPDIQTLLYNVAEEGSFWCMAGATALQGHLIRWLSPDL >Sspon.01G0036480-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:15181067:15188899:1 gene:Sspon.01G0036480-2D transcript:Sspon.01G0036480-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVRQRRQLPHLTLPLDHFALRLPPQPQPTAAPSTSTSTSTSDARLSDYERLSVLGHGNGGTVYKARHRRSAQPVALKLFADGDTSAAREAEILMLAADAPHVVRLHAVIPSSASAAAAGEAPAALALELMPGGSLSGLLRRLGRPMGERPIAAVARQALLGLAALHALRVVHRDLKPSNLLVGAGGEVKIADFGAGKVLRRRLDPCASYLYRGHFPLLPEGQRPDWAALMCAICFGEAPEPPAAASEEFRDFVARCLEKKAGQRASVAELLEHPFVAERDAAAAQHALAALVAEAEQRDQ >Sspon.08G0003260-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:70506598:70508349:1 gene:Sspon.08G0003260-3C transcript:Sspon.08G0003260-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKAMAGPKLLVVHTSSNKALNGMSPASPMPFHGCSRCMWLVVFLALFTCVSLLTVFSTARDSSGAAYQAAPFTVSGGAGAAEAGLPRYVFDALVHYAAAAGNSSSSMPEPDVRAIASVLRRRAPCNLLVFGLGAETPLWRALNHGGRTVFLDENPYYVAHLEGKHPGLEAYDVAYATAVRELPDLLDAARAARAAECRPVQNLLFSECRLAINDLPNQLYDVAWDVILVDGPRGFTEGSPGRMSAIYSAAVMARTKGTETEVMVHDYERKVERACGREFLCDENRITATSTPSLGHFLVRGGAAVNREAFCGPPAAQKSKSN >Sspon.03G0008690-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:23308944:23309898:-1 gene:Sspon.03G0008690-1A transcript:Sspon.03G0008690-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATADPRAKPPPAASHHLKPWAAPPPPRAHRVPSSLPGPAVTGGGTARDRRRSSCSSSHRRVGADAGTVKEELPCDGRIKDLRAKLMGHLRDAADRLHLPPPPPSPPKPQPRPPEPGPEPAAAPLPQPTPSQQQEEEATAARPWNLRDRKSRRPTARGAAAAAAAALDASPAWEVGAGSEKARRSVPHQERAPFAVALTAEEVEEDVYALTGARPRRRPRKRPRVVQRQLDLLFPGLWLTEITADAYKVPDE >Sspon.02G0033110-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:4674217:4679216:-1 gene:Sspon.02G0033110-2C transcript:Sspon.02G0033110-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ASSKALLLLAAAVVAAACVVGAADAKFGRLGRLVITGVVPCNTGSLIDIATSPAFPSTDVELRCAGKVVAGATTNTNGTFSMELDMTNALAAFIGGCTLVVDTPLIKCNADLTDVGSLVSIASMAWKRLLVIGVVAVANAIVASGAPPPQPARIQADVVVTGFVPCNNGTSMRTGSAPGFPGTLVQLQCTGEGGAGALAANATTDGKGWFRMAVNTTVAPSSVASSCGLVVDTPLAACNATLPVTGALHSGLRLLVSMVFFPRGFSYVASSADDSPAA >Sspon.02G0009880-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:27395893:27397647:-1 gene:Sspon.02G0009880-1P transcript:Sspon.02G0009880-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPLLCLATAALAVVAVLVSATEAWRATPPPLPVLPIPSVPQLKWQRREVIMFFHFGMNTFTDSEWGTGSEDPSLFRPAGLNATQWMDAARAAGASLVILVAKHHDGFCLWPSQYTAHSVRASPWRGGRGDVVREFVDAARARGVDAGLYLSPWDRHDERYGEEVAYNEYYEAQLHELLTGYGSVSEIWFDGAKGKNATNMTYHFQEWFQTVKQLQRSINIFSDDGPDVRWVGDEKGYAGTTCWSTVNRSMITIGEAGIEKYLNEGDPRGRDWVPPECDVSIRTGWFWHKNETAKPLSQLLEIYYNSVGRNCVLLLNAPPNSTGLVEDADIARLREFGAAVATIFGTDLAAGSEARASSERGAGFAARNVLDGRDDTYWAPSTAGDGRRNGYWIELRLRRRPDKQARAFNVVRIQEHVALGQRVERHAVYVDGAPVANGTTVGHKRLHRLLCPVAGTTVRVWIAARRGPPLLSAVGLHYDPFVAADTM >Sspon.08G0019560-3D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8D:13871173:13872423:-1 gene:Sspon.08G0019560-3D transcript:Sspon.08G0019560-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGGGSIVELARMAAALARTEWAARVAARRPVRSYRGVQQRKNRYYSMIWNIHTKRLVWLGSYRTPEEAAYAWDAVARVTRGHWAKPNFPEPDWARAAPAREEVSTELVLALPMPAAAPKRREPAAAVAAQVSFDNWLLSASAAAEEPQRQQGAPAVVPPHQQHGTAPALPPFTQFFHLSGPATAAAAANGGAAVVNPSNPGSCVLTPQNVQMPPTNPASTALSSTEPVVHASQFLPAAAPTHHRELPVSQAGVSDVAVVGDNFTHDGASTSAAPPPVPRLTPNDLLLQIGLPGRGGLGGAQ >Sspon.01G0018060-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:67497386:67500028:-1 gene:Sspon.01G0018060-1A transcript:Sspon.01G0018060-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSRIPFTKCGNRRCQRLGAHALQKDPEPPSANAAHFCNIRPCANQRNPNQKKGKYLDEAGAEGAWLPTATEAERSESTPEQGTAGIHLRAAASAEFICIHPNAKLLPGPLPIRFCDRWCGPPPPPICFCDRWCGLPPSLLRAPKPQPRTRSVVQRCSGLLNIGARAGVLRRLRAPPGRRSASAVGTPHHLLRREARLLRMPGKDAFHQELRLCWHEGRSAIEGRGTCWASCAGSGSVGRRFHGRLRQREGEEQPALAMARAWARAQGRRWASCAGGGVMRGRLFSSGAGAAECGVPIKFSPFSVGGHRWRISFFPNGDHTACTVFLFLDEDMPEPLTARFEFAFMGEERASFFRNRKRTEEKVRSLPAVVTSFGSCTGRRSSGLLCRNTLDNYVSKHGSLTVHCDIVVFDEFLAEEPVTLTTFMSVPPSDLHRHLGDLLKTKKGADVVFHVGRETFAAHRAELLGAMKESRTQADVGQVDDMEVPVFKALLCFLYTDSLPEMSKEDEDAMYQHLLVAADRYDMERLKLMCEDKLCEYIDVGNSATILILAEQHHCHGLKKACFSFLSNPANLWAAMASDGFI >Sspon.03G0041150-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:6859660:6862807:-1 gene:Sspon.03G0041150-2D transcript:Sspon.03G0041150-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKAPDHGWLTTLYSELQAFFLVLDDIMDDSHTRRGQPCWFRVPQVGFIAVNDGIILRNHISRILRRHFKGKPYYADLLDLFNEVACALLLSGENLDKYGDVENILVEMGTYFQVQDDYLDCYGDPEFIGKENYGKKDPACVAKVKNLYKELSLEDDILKHFAMRYFRSTRMSYKKLIADIEAQPSIAVQNVLKSFLHKIYKRQK >Sspon.08G0030020-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:54316818:54317230:-1 gene:Sspon.08G0030020-1D transcript:Sspon.08G0030020-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKRFRVYVYEEGEPPILHDARAHGAVVASFVFAAGSRRRAASGVRTSEPARAHAFFLPFSVSKMVQFAYRPNTYDKTPLRAIVADYVRVVASGTPTGTAPPVPTTSCSPATIGSVSPLAR >Sspon.01G0009930-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:30318357:30322464:1 gene:Sspon.01G0009930-2D transcript:Sspon.01G0009930-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRSHANWNENTTKTFLDLCIAEKEKLNYNNKGLTKIGWQNLYRNFKSETGRAYDIKQLQNKFNGLKGMFNLWKKLKDKSGGRWDNKTKTITCDADWWENEIAENSNAKQFCGKPLAFEDELTILFGSMTTKDGRMLCLDGIGDRAPSGGCDASRARISEDNFGWSEENVGGSSVGRVSQRSSKDQEVDSRPTKKTKSIEYYLERVCESMLRKEREERIRKEREVTELLQLVKEDGVSEGFEHEKKMLL >Sspon.08G0008480-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8C:30312355:30315698:-1 gene:Sspon.08G0008480-2C transcript:Sspon.08G0008480-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cellulose synthase-like protein D1 [Source:Projected from Arabidopsis thaliana (AT2G33100) UniProtKB/Swiss-Prot;Acc:O49323] MSSSWSSASKTPVNSTRRPSSGRLPSISNDVDVDDEPEFTSTMSGGGSDYANYTVLMPPTPDNQPYSVGGGGGGAPSSASAGGTKPDDLPLPPYGPSASSKLVNRRGGAGADDGVGGGSGKMDRRLSTARVPAPSKSLLVRSQTGDFDHNRWLFETKGTYGIGNAYWPQDSNAYADDEDGGVGSGPVKMEDLVDKPWKPLSRKVPIPPGILSPYRLLVLVRFISLFLFLIWRVTNPNLDALWLWGISIVCEFWFAFSWLLDQMPKLNPINRAVDLSALREKFESVTPSNPTGRSDLPGLDVFISTADPYKEPPLTTANSLLSILGTEYPVEKLFVYISDDGGALLTFEAMAEACEFAKVWVPFCRKHSIEPRNPDAYFNQKGDPTKGKKRPDFVKDRRWIKREYDEFKVRINGLADLIRRRANAMNARERKIARDKAAAASSDAPVADAPTVKATWMADGTHWPGTWLDSAPDHGKGDHASIVQVMIKNPHYDVLHGDAGSHPYLDFTSVDVRIPMFVYLSREKRPGYDHNKKAGAMNAMVRASAILSNGPFMLNFDCDHYIYNCMAIREAMCYMLDRGGDRICYIQFPQRFEGIDPSDRYANHNTVFFDGNMRALDGLQGPMYVGTGCLFRRYAVYGFNPPRTNEYRGIYGQVKVPIDPHGHSGPGAAEELRPLSEHPDHEAPQRFGKSKMFIETIAVAEYQGRPLQDHPSVQNGRPPGALLMPRPPLDAATVAESVAVISCWYEDGTEWGLRVGWIYGSVTEDVVTGYRMHNRGWRSVYCITRRDAFRGTAPINLTDRLHQVLRWATGSVEIFFSKNNALLASQRLKFLQRLSYLNVGIYPFTSLFLIMYCLLPALSLFSGQFIVATLDPTFLCYLLLITITLMLLCLLEVKWSGIGLEEWWRNEQFWVIGGTSAHLAAVLQGLLKVIAGIEISFTLTAKAAAEDDDDPFAELYLVKWTSLFIPPLAVIGINIIALVVGVSRTVYAEIPQYSKLLGGGFFSFWVLAHYYPFAKGLMGRRGRTPTLVYVWAGLISITVSLLWITISPPDDRITQGG >Sspon.07G0013910-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7A:50144423:50145251:1 gene:Sspon.07G0013910-1A transcript:Sspon.07G0013910-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPNLGFGLLRRRQGMRETRAGAYRRLTEGGAAARETNHCGRRIKSPLQLETRLATDKKTNKTLRRSRVGTGTQSAGASRTEGEEKGRGDGRSPAAAAARGGRADPSPTRSGGGGGEWDGMPPSAAGTAAAEARRRRIAFGRIRRPRGGTLCKGWVGLGGGQRPVPTKHLLRLPLTRGPESGGGRVVRPAPLTNRPWAPHRSLRWVCVEWTGGVVRCASRTHTSWHGRTTPGTSKAAL >Sspon.07G0033620-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:62426175:62428240:-1 gene:Sspon.07G0033620-1C transcript:Sspon.07G0033620-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGEREGRQPMAVEEAVAWYEKLREKDEMQEKISKEITRLNLSTREKEFSKGLSKAELGKKRAKLEKERDALGQRYWQVIFETGSKPDFSGMTEAEQAEAAEKLRSNQLRYARWLQAGSDSRGRTMEAFARILDFDPKQGGRYYNRYTLVNLTKFDLDEELIETDYYKLSGAVNFLSVKVASSDIGFPIHVYSTVIVRDSIDERCVYHFRRDGDHCQLINSEAESLILTEPKHGLALCGYMYVEIDLKIKDHLGQPREFSKGLLSISDPTDCASLVSMVETKSLATRLSTVDVTYAVVNRALEGFIAVEVLQGGFHGKITAYTTSVQDILVLYYSKEADVMTVDDCGDIQLMRPVVSVHVEDFLIIVVQTSDDGKSESIQFTPLINGRDEGQLSVGATKMRVKLAWSVMNP >Sspon.02G0001150-2P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:29688069:29690031:1 gene:Sspon.02G0001150-2P transcript:Sspon.02G0001150-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SSQGIDLVAGGRNKKTKRTAPKSDDVYLKLLVKLYRFLVRRTKSNFNAVILKRLFMSKTNRPPLSLRRLVNFMEGKENQIAVIVGTVTDDKRVYEVPAMKVAALRFTETARARIANAGGECLTFDQLALRAPLGQNTVLLRGPKNAREAVKHFGSAPGVPHSHTKPYVRSKGRKFEKARGRRNSRGFK >Sspon.08G0025750-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8C:12391620:12391795:1 gene:Sspon.08G0025750-1C transcript:Sspon.08G0025750-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GVAVRLPPCLRLPGGRWRRGVPPRRPRQGRISHPDLSVLPRLSFPLCFVSSLHSTRLH >Sspon.04G0006890-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:19349978:19350306:1 gene:Sspon.04G0006890-3C transcript:Sspon.04G0006890-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVSSYCTNYYGDAAPAEAGAGVCDWCLSDDVVALFGVGMWACGKQQHPQVGEEAGSSAQQPASFPPSGCSKQGAGKVTGGSEHGEGGRRPRRYKLLKDVLC >Sspon.01G0020170-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:73454523:73456118:-1 gene:Sspon.01G0020170-4D transcript:Sspon.01G0020170-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSGSALVVFNVSYNFLQGEVPGTSALRRFPASAFDHNLRLCGEVVNAECREGPTSSSGAPAYGSSSSPVVRPAGDGGRAARKHARFRLAAWSVVVISLIAALVPLAAVFIFLHHKKKSQEVRLGGRAKATVTVVEDIKDMVEVEQGRGSGSRSTESGKGAELQFFRADGASFDLDELFRSTAEMLGKGRLGITYRVTLQAGPVVVVKRLRNMSHVPRREFTHTMQLLGKLRHENVVDLVACFYSKDEKLVVYEHVPGCSLFQLLHGNRGEGRTPLPWPARLSIAQGMARGLAYLHKSLPYFHRPPHGNLKSSNVLVFFSAPHGTQQKQAVPKLTDHGFHPLLPHHAHRLAAAKCPEFARRGGRRLSSRADVYCLGLVLLELVTGKVPVEEDGDLAEWARLALSHEWSTDILDVEIVGDRGRHGDMLRLTEVALLCAAVDPDRRPKVQDVVRMIDEIATGDGPEELAWR >Sspon.02G0001700-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:5576950:5577387:1 gene:Sspon.02G0001700-1A transcript:Sspon.02G0001700-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSLNPNAPLFIPAAYRQVEDFSPEWWELVNTTAWFRDHWFRQHQLHEAACDAADDFDVAALLPDDSVDLLDTEDLFYAPDVHQVAKPAPALLPGYDLDVLRALSLSSPRAAAVVAAPSPRAQQQQRFADKPAYHAGAIHQPR >Sspon.05G0002750-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:11404553:11405893:-1 gene:Sspon.05G0002750-3D transcript:Sspon.05G0002750-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAADVSSVARLLRGEAGKKGGPEIVTMDLLGGCGGGGGGAAEDEVVDLEVTVPAGWERRLDLLSGKTFLTPRHPSVQGGHQDLNLPPPAAPTTNSAAVCTLDMVRSALERAAAGRTTASPATSSTSSASTSSSSSSAGKRNRSPQPAMRAAACPSCLTYVLISEADPRCPRCSARVPPLRVKKSAAAEGSGKKPRIDLNAAADETE >Sspon.04G0007350-4D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4D:21835770:21836213:1 gene:Sspon.04G0007350-4D transcript:Sspon.04G0007350-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPNLSSDAPKFPSKPKHGEADVEAAAAAHGVDYLARAQWLRAAVLGANDGLVSVASLMIGVGAVNDGAREMLVSGLAGLMAGACSMAVGEFVSVYAQYDIEVAHSERGGSDDSSSEGRGGDEERLPSPIKAAAASALAFAVGAALPL >Sspon.02G0020360-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:67818074:67822465:-1 gene:Sspon.02G0020360-3C transcript:Sspon.02G0020360-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDARLSLPCRLPSPLPPPVLRRLPRAAAAASTSPRCRVGAAAAHARARARGPCRPRASEGEAKGQLQQQEVVDSNVLPYCSIDRKRKKTIGEMEQEFLQALQARARARAFYYDQKAIMSNEEFDNLKEELMWEGSSVVMLSPDEQKLLEASMAYVSGNPIMTDEEFDQLKLRLKKEGSDIVQEGPRCSLRSRKVYSDLTVDYFKMLLLNVPAAVVALTLFFFLDDLTGFEITLLLELPEPFSFIFTWFAALPLIFWVAQAITNVIVKDFLILKGPCPNCGTENLSFFGTILSVSSGGSKNSVKCTSCGTTLEYDSASRLITLPEPAEA >Sspon.08G0010070-2P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8D:42937765:42938828:1 gene:Sspon.08G0010070-2P transcript:Sspon.08G0010070-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLKASILAILSLAFFCSAALAARDLNDDSAMVARHEQWMAQYSRIYKDATEKAQRFEVFKANVKFIKSFNAGGNRKFWLGVNQFADLSNDEFRATKTNKGFKPSPVKVPTGFRYENVSVDALPATIDWRTKGAVTPIKDQGQCEGIVKISTGKLISLSEQELVDCDVHGEDQGCEGGLMDDAFKFIIKNGGLTTESSYPYSAADGKCKSGSNSAATVKGYEDVPANNEAALMKAVANQPVSVAVDGGDMTFQFYSGGVMTGSCGTDLDHGIAAIGYGQTSDGTKYWLMKNSWGTTWGEN >Sspon.02G0032120-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:117611312:117612235:1 gene:Sspon.02G0032120-1A transcript:Sspon.02G0032120-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAGSTNTPSTTALTMKLLVESSPLRQRVVFAEAAKDTVDFLFSLLAMPAGTAVKLLGKESMAGCMGNLYGSAERLDDSYVDPGPPGIKDDVLCTTPLCPAAAGPNSCLLFRVPSPAPAPAPKSFYVCPYTYNRSNCGGYVTEVKGALCPSCGSQMSKDTQFVGSPPVPPAEPARRGFVKGAVTYTVTDDLVISPMSNVSSIALLNACAVRDLGALQERTVHIGYKEGLEILRASLQSKTVLTDVFLGKKPPSMNNNGGNRSLGSGRRHECLTWRA >Sspon.02G0027050-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:68354568:68355612:1 gene:Sspon.02G0027050-2B transcript:Sspon.02G0027050-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMDGFGTQQQEETPPTTLHTPTAFNPKTAHVAPHDCSLQLSDLEHCSAPNRARLPWLAGMAEARRAISSGTTTIARVSADPL >Sspon.01G0012300-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:33444587:33449250:1 gene:Sspon.01G0012300-1A transcript:Sspon.01G0012300-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQPNWVFVEKESVTDLREQILQLIEHDCHDQEEKSRAELLEKLKSCKRDTLIELCRSFDVIGSRANRKEELVLFLMEFIKDHCSGDGINSDKKFKKRRRVKEDENLSTGKPSKKKKQEGEEEADERKGVEDRGKHSDCDLMDNKYSCADSKNGFPNEQTNFEPSERINDSVSENLDGASLSEAPILTDEQVIITTPPTKFVTAAAGDSTDVKALKRKMSYITKKKATPKEDCKVKLCGKQESKGDTKPRKQAIKPSKDELREAVFLILDTADFATMTFGDVVKEVDKYFGKDLFERKPLIRCLIEEELFRLADEAEKKELEESEAAEAKARAEQAAKEMAQVQTVESGINRQNVLQAGRDSNTKGSLKNANDSTNKTCIGGGASVESAFKRNSCYAAEGSEGHKADTDAKNKNITKDGNGEKVALAPIANSDCTSQLQDSNNVEAEMMKNNDVETLEGSKDGNVKGASNGENDTEDGRNEKNKSGTVGSNAEAVNCCEAEESVNHGNNERVDTQKMTKLMKLTIMRTVQIRRSKRKRYKCGTSQADGGSNNKAEDAEHNENTKVDDANSSKNGTAENRKTDVDVKGNSDGTAEGSPA >Sspon.01G0000460-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:2232424:2233776:1 gene:Sspon.01G0000460-1T transcript:Sspon.01G0000460-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLIDQVHSSPAAPRPPVPVVPPLSVVHRHRARATASSARLAPSRDISTGRDPRCLAVPRAPARPGEAMNCLQNLLKEPPIVGSRSMRRPSPLNLAMVRGGSRRSNTVRTAPGASTSGAESSAVEMGTEKSEVYSTNMTQAMGADMNLE >Sspon.01G0007970-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:21673965:21675248:1 gene:Sspon.01G0007970-4D transcript:Sspon.01G0007970-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGSGNASGGGSGGGASTCCYYALLGIRKNASATDIRTAYRRLAMKWHPDRWASDPGAAGEAKRRFQRIQEAYSVLSDKGKKAMYDAGLFDPLDDDDQPDEKKRKEINFPAVLSDGQDFSDFMQEMLVMMDNVKNEKPDTLEDLQKMLEDIVNGDGGGGVGGRMPPPPDGARRTRVAPYPQPQQARR >Sspon.07G0007270-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:14487869:14492731:1 gene:Sspon.07G0007270-4D transcript:Sspon.07G0007270-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding PDGVPPPTLLFSRPRHPFLRSSHRKPRATHTAVVHVDQIVRRIAFSPARVCEVAGEELAAQIRPSHPPARHSSSQWGFNQTTTMSLACLVCHGMSSPSHSRRSYSVSSSEEESRCGAAVACLGRRVTPAGTSASVGTSKVTPFPPMVSGQVGTEGTPRLQRSRAVSRDLVRDWNFDEVIVAN >Sspon.02G0052740-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2C:95775563:95776198:-1 gene:Sspon.02G0052740-1C transcript:Sspon.02G0052740-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTIAKESTIDFINTSTTYADKTCVQSTSRSKYFSERYKNMTPEQREARRESQRLHNSEPKRKEALKIADKKFREMRKHTLHPESIAMENPLYISEPVWPTTVASGAHGSMVKSSDWVIPESNATPLYIPPPHEEADDEGCDELLSNHMTKRSHVPSGQRHALLTRRNTMFEHRIGSNTRVSNKDGDCMAEDRVDGNTPLPQSIVTNNGKY >Sspon.06G0011510-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:50236562:50239106:1 gene:Sspon.06G0011510-2B transcript:Sspon.06G0011510-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVGGTTMSTADMLRLVDTLERLGIDKHFHEEIAVALSCIHTSSEEQDSSSDLHVVALKFCLLRQHGFWVSTDVFDRFRDDTGNFSKDLATDPKGLLSLYNAAHMAVPGEAALDEIATFARRHLELAKPKLRSPMVEQVSRALEIPRPRFMRRLEAMYYVTEYEQEKGHNTAILELAKLDFNILRSLHLKELKEISLWWSDLYNDVKLTYARNRIVETYLWDESCAPILPEYLRMFYVKMLSNLSEFEDNLEPWEKYRMPYIKEMFKLQSKKYLKEAEWFSKNYTPSFKEHVDVSLISTGVPMLFLVALMGAGKQATKEAFDWALHIPDMVRGCAETGRFLNDISSYFKIPNFPDLQHMPPLQVEEVPLQDLVAFDDLQPQFDLQQQNDIFDLGNIQLGFVETFVPPVDPVLALPSQSPSAAAVRCWANHFSLV >Sspon.02G0057810-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:64812853:64816948:-1 gene:Sspon.02G0057810-1D transcript:Sspon.02G0057810-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:OsNramp1 (Integral membrane protein) [Source: Projected from Oryza sativa (Os07g0258400)] LLWVTLIGLIFALIIQSLSANLGVVTGTAFAFNLLFHIPLWVGVLITGSSTLLLLGMQKYGVRKLELLVGLLVFVMAACFFIEMSIVKPPAKEVIYGLFIPSLSGPGATGDTIALLGALAQSILALCPECARQYSAVVYGVALLACGISSSITGTYAGQYIMQGFLDIKMKQWLRNLMTRSIAIVPSLIVAIIGGSSGAGRLIIIASIIGFSWMLGSVIIGINIYFLSSKFVGWILHSSLPIYANILIGIIVFPLMLLYVCAVIYLTLRNETIKFVSCGELQAIETDKSKVADDCNNEEKKEHITGED >Sspon.02G0026210-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:90957171:90963632:1 gene:Sspon.02G0026210-1A transcript:Sspon.02G0026210-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VQMGSDKQIGSPRPLLGTLKMGRVRTILTHTYPYPHEHSRHIMTAVIIACLFFISSDNMHTLIHKLDNNIKWWSMYVCLIGFFYFFSSPFLGRTIQPSYSNFNRWYRKLYVNCYAHHSYSKIVQLQSMGVDMRMNLSLFLTIYFSSVLFIIAFHIIFIGLWYIGLVARMAGTRPGIWTILQNCTVISIACCVFYSHCGNRAVHKSKSFGSSSDPNLLAFLKNENGSTWISNFLRMNQLKDEICSSWFAPVGSASDYPILAKWVIYGEPRFLMVTSMLHFFDHLVCSGSCAGPSDEISPLYSLWATFVGLYIANFVVERSTGWALTHPSTDLEDEKLKRHMKPDFLDMGRPFYNFNYIKTSADLFKTAFDLMVSVTLFVGRFDMRMMQAAMKGPTENTPNDDLLYDYFNEREDLWFDFVADTGDGGNSSYTVARLLAQPSIRTVIGGSMHTLPRGNLLIIGGDLAYPNPSSFTYERRFFRPFEYALQPPPWYRDEHIALDKPELPPGVSKMTEYDGPQCFIIPGNHDWFDGLHTFMRYICHKSWLGGWFLPQKKSYFALHLPKGWWIFGLDLSLHGDVDVYQFKFFADVCRNKVGENDSVIVVTHEPNWLLDWYWNETTGKNVSHLIQEYLKGRCKLRMAGDLHHFMRHSATRSEKTNFVQHLLVNGCGGAFLHPTHVFRNFERFSGTTYECKAAYPSYDESSRIALGNILKFRKKNWQFDIIGGFIYFILVFSMFPQCNLVHILNEETWSGRLKSFSGTIWSALLYIFEHSYVSSVGSLTLLMASYSFVPSKLSKRRRAILGCLHVLAHLTAALLLMLLLELGIEICIRNHLLATSGYHTLYEWYRSMESEHFPDPTGLRARLEQWTLGLYPACIKYLMSAFDVPEVMAVTRINICKNGMMSLSRSVLIMYYTSVFIYFWIFSTPVVSLIFGSYLYICINWFHIHFDEAFSSLRIANYKSFTRFHIKKDGDLEIFTLAVDKVPKGWKLDPRWESEGRGPHQLNHDRKHPSKWRSASSPDPVRSVRVVDHFTIERTRTPDTEPSS >Sspon.05G0000400-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:1158411:1160832:1 gene:Sspon.05G0000400-2D transcript:Sspon.05G0000400-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Oxalate--CoA ligase [Source:Projected from Arabidopsis thaliana (AT3G48990) UniProtKB/Swiss-Prot;Acc:Q9SMT7] MAATEATTLTALLKEAAAAFPSRRAVAVRGRLELTHAALDALVDAAAARLAADAGVLPGHVVALAFPNTVELVIMFLAVIRARAVAAPLNPAYTQEEFEFYLSDSEARLLITNAEGNAAAQAAAAKLGLAHAAATLHDAAGPVHLAGLPANGNGTKSNGSHQGGGAAGSPNDNDPSDVALFLHTSGTTSRPKGVPLTQRNLAASVRNIRSVYRLSESDATVVVLPLFHVHGLLCALLSSLASGASVALPASGRFSASTFWADMRASGATWYTAVPTIHQIILDRHASRPEAEAYPALRFIRSCSASLAPAILERLEAAFGAPVLEAYAMTEASHLMTSNPLPEDGARKPGSVGRAVGQELAVLDEEGRRVEVGSPGEVCIRGDNVTAGYKGNPEANEAAFRFGWFHTGDIGVVDEEGYVRLVGRIKELINRGGEKISPIEVDAVLLGAPGVAQAVSFGVPDDKYGEEINCAVIPRDGSALREEEVLAHCRRNLASFKVPKKVFITDDLPKTATGKIQRRIVAQHFVQPASA >Sspon.03G0035800-1P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3D:48415998:48416603:-1 gene:Sspon.03G0035800-1P transcript:Sspon.03G0035800-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQARLLLLSTLTFLAVHAVVTAAAAATSCAPRTCGNLTIAYPFWLPDQQPSSSSAPPCGPSAFQVDCRGGRASLARSFRGAYKILRVSYADRTVVVANDNVQTDARGCPVPRIDVSASLSFAPFTASSANAQLVFLFNCTGNPAGFVNVTCPGAQAVVRLDPRYNTTDARAVAGGCDYSVVPVMVRSPAARAPGTIRGC >Sspon.07G0034760-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:80707677:80711552:-1 gene:Sspon.07G0034760-1C transcript:Sspon.07G0034760-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLALSGLRWAASPIINKLLADAKAYLSVDMVRELQKLEATVLPQFDLVIQAAEKSPHRDKLEAWIRRLKEAFYDAEDQLDEHEYNLLKRKAKSGKDPLVGEDETSSIASAITKPLRAAKSRARNLLPENRKLINKMKELKDILLEAKELRDLLGLPHGNTVDWPPAVPATVVPTTTSLPTSKVFGRDRDRDRIVDFLLGKTTTDEASSARYSGLAIVGTGGMGKSTLAQYVYNDRRIEECFDVRMWICISRKLDVRCHTREIIESAKKGECPFCCEQVVRLENMDGTEFLALFKHHAFSGVQIEDQLLHTKLEHIAEEIAKRLGQCPLAAKVLGSRLSRKKDIAEWKAALKLNDLSEPFTSLLWSYEKLDPRLQSLFPKAHRYEPDQLVHFWVAEGFVDSCNLSSRTLEEVGRDYFNDMVSGSFFQQSDFGPYIMHDILHDLAESLSREDCFRLEDDNVIEIPCTVRHLSVSVGSMQRHKQIIHKLHHLRTIICIDQLMDNASVIFDQMLQNLKKLRVLHLSFHNSSKLPESVGELKHLRYLDFHGTSVSEFPRSLCALYHLQLLQLNYRVARLPDKVCNLSKLRHLGGYQGQIPNIGKLTSLQEINAFSVQKKQGYELRQLKDLNELRGHLSVENLENVTGKDEALESKLYLKNRLKGLRLIWSCKNGTDAEDILHLDILEGLRPPPQLSDLTIEGYECGTYPRWLLERSYFENLETFALRDCRLLEGLPPDTELLQHCSSLYLSNVPNLKTLSCLPASLAMLSIRGCPLLTFVTENQVEQHDLRENLMKADDLASKLASMWEVDSGSGVRSVLSQEYSSLKQLMALIMNDDISKQLQIMESGLQEGGGKAWMKENIIKAWLFCHEQRIRFTYGRAMELPVFLPSGLRELSLSSCSITDEALAICLGGLTSLKTLRLGYNMALTALPSEEVFQHVTKLVSFEITACWCLRSLGGLRAAPSLWGLYFYHCPSLEMARGAEFMPLDLSFLVINGCILAADSFINGLPHLKDLHICRCRSSPSLSIGHLTSLGSLSLQYLPDLCSLEGLSSLQLKRLRFRVPSYLSLHDCKEPSVSFEEPANLSYVKHLEFWCCKMESLPTNLKFVSSLQSLDISDCPNITSLPDLPSSLQRISIENCDVLKKNCREPDGESWPKISHIRWKTFY >Sspon.02G0020740-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2B:67512153:67513793:1 gene:Sspon.02G0020740-2B transcript:Sspon.02G0020740-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPANDPNTGASSGTATPAPNPAPALDDSYITYRYEDYEHLTQVIIEDAPLLERLVPFRRRWAAAESFRLRVVSAPRLRVLGSLSSAIPKVEIGGTVFELTTHRVNHVRPDSVIKEKRLQMQVVMLATTLRTVKILALEDVDSVDVVSNYLKCFPCLEKLYISALQRFTIAASYDKGNPIECLKRHLKMVALDGYEGKRSHVKFAKFFVRNAQLLELMKLRIFRNHYYCQPGTTKEWIEGQQRQLQVRSLASRYVKFHFVHDARYCQNVYCGKDINDLSVHISDSSRDDPFDKWFEPEKEPPPWW >Sspon.02G0003430-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2A:11229827:11230264:1 gene:Sspon.02G0003430-1A transcript:Sspon.02G0003430-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTRFKKNRKKRGHVSAGHGRIGKHRKHPGGRGNAGGMHHHRILFDKYHPGYFGKVGMRYFHKLRNKFYCPAVNIERLWSMVPADKAAEAGGDKAPQIDVTQFGYFKVLGKGVLPPKPIVVKAKLISKVAEKKIKAAGGAVVLTA >Sspon.07G0032070-2D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7D:35482440:35483275:1 gene:Sspon.07G0032070-2D transcript:Sspon.07G0032070-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding KIRAFQEFCDMSVEDRAALLTQVAGLSEEQARDVELVLEMIPSIEVDIKCETEGEEGIQEGDVVTMYAWVSLQRRNGLTAALPHCPNFPFHKEENFWLLLADLASNDVWLSQKVSFMDEATAITAASKAIQETQEALGASAKEIGNAVKEAVDRVKKGSRLVMGKFQAPAEGTHNLTSFCLCDSWIGCDTKTSFKLKVLKRSRAGTRGHVPEEGPAAAAEDGIEEEEEEEEEEYDDYESEYSDDEEDEKNKGKGKGKLVNGSAHQGAESDIDSGSDE >Sspon.05G0026980-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:48327857:48330262:-1 gene:Sspon.05G0026980-1B transcript:Sspon.05G0026980-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGRGQNSRRRSGIVAERRSGDGPRASVREQGDRQVALEARVKGLQALVVIGFIDVTAKRVLGQGMSQSDDIIVRKEQDYKSVEDVLHKCISLYKEPLTRRLVKKVVKQDYMMCLRKLTVMVQRIHPFPQMTGLQELLVEAGQIIEELAEESTRKK >Sspon.03G0020290-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:63015911:63017889:1 gene:Sspon.03G0020290-1A transcript:Sspon.03G0020290-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEVADMYTQDGTVDRKGNPALKKDTGNWRACPYILANECCERLAYYGMSTNLVHYMKTRLGQVNSVASNNVTNWSGTCYITPLIGAFFADAYLGRFWTIAGFMIIYIFGLALLTMASSVKGLVPTSCDNDGVCHPTDAQAAVVFVALYLIALGTGGIKPCVSSFGADQFDENDEREKKSKSSFFNWFYFSINIGALVASTVLVYVQTHVGWGWGFGIPAVVMAIAVVSFFVGTPLYRHQKPGGSPLTRIAQVLVASARKWNVAVPADKSRLHETLDKESGIEGSRKLDHTDQLVCLDRAAVVTAEDSAAGSATASPWRLCTVTQVEELKSVIRLLPIWASGIVFAAVYSQMSTMFILQGDTLDQRMGPKFKIPSATMSMVDTISVIFWVPVYDRVIVPIVRSFTGRPRGFTQLQRMGIGLVVSIFSMVAAGVLDIVRLRAVARHGLYDKDDHVPISIFWQIPQYFIIGCAEVFTFVGQLEFFYDQAPDAMRSMCSALSLTTVALGNYLSTVLVTIVTHITTRNGNIGWIPDNINRGHLDYFFWLLAVLSLLNFLVYLVIASWYKYKKTADDNPDAKGEH >Sspon.01G0002010-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:5649997:5651012:-1 gene:Sspon.01G0002010-2D transcript:Sspon.01G0002010-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPVTVYGPVISPAVARVAACLLEKDVPFQIEPVDMSKGEHKSPSFLKLQPFGQVPAFKDHLTTVFGTSLSRFYYSCCISSKSRAICRYICDQYADRGNQVLFGKKEGGAVGRAAIEQWIESEGQSFNPPSLAIIFQLAFAPMMGRATDLAVVEQNEAKLAKVLDVYDQRLGESQYFAGDDFSLADLVHLPNADFLVNRTNKAGLITERKNLARWWDDVSARPAWKKVTEMQSAPRPS >Sspon.03G0026980-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:4297450:4298103:-1 gene:Sspon.03G0026980-1B transcript:Sspon.03G0026980-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAKGELSPDMGDSIKEGNDSGNISKLLEYLITLTFAAIPFPYLHSVIHRDHFGVSAVGAFFELLSQPSLSVQHPEESKLVAPAELCPILKRLYRILIKRELPARDILQALRDETMNDPRERIEMAQSHAFYRPSLLGKP >Sspon.06G0001920-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:206944:207369:1 gene:Sspon.06G0001920-3C transcript:Sspon.06G0001920-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CP12-3 [Source:Projected from Arabidopsis thaliana (AT1G76560) UniProtKB/TrEMBL;Acc:A0A178WDK7] MASPSIGSPSSSPTALPAGAGLRRSPPSVHPWRRRQHQQQQQARRLVMAAAARRRYKGTVRREAALAELVERKIAEAMEACGERGQDQDEGCRVAWDEVEEVSQARADLRRRIAEAPGDPLEHFCALNPSADDCAVVYVDD >Sspon.08G0013640-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:52759943:52772559:-1 gene:Sspon.08G0013640-2B transcript:Sspon.08G0013640-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASARDSADAAERVVDDHDGWVSDGEMDVEMDVEGEFQDLDADRRDGGADGDDEYLLLTRIRDTSAAEARAGKDIQGIPWERIHIARQDYRKARLEQYKNYENFPQSGELMDKLCKQVEKSSKYYEFQHNTRSVKPSILHFQLRNLLWATSKHDVYFMSNSTVSHWSSLSHKISEVLDFSGHVAPAEKHPGSLLEGFSGVQVSTLAVNEGLLVAGGFQGELIGKDLEGRNVKFCARTTLSDNAITNAIDIHRSTSGSLRITVSNNDCGVREYDMERFQLLNHYRYNWPVNHTSVSPDKKLLAVVGDDRDALLVDSRNGKVTSTLVGHLDYSFATAWHPDSRTFATGNQDKTCRIWDTRNLSTSLAVLRGNIGAIRCIRYSSDGRFLVFSEPADFVHVYSVAADYKKRQEIDFFGEVSGITLSPDDESLFVGVCDRVYASLLQYRMIHAFDYLDSKGVCVKMSTVDSMFNCFERRSVCEDLNR >Sspon.07G0001320-4D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7D:2419180:2424987:-1 gene:Sspon.07G0001320-4D transcript:Sspon.07G0001320-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVAATTASHELVPQLQFPPGYRFVPTEEELVDVYLRAKIEGHKLPLNVINDVSILEWQPGRLVEEYKGYGESRWFFFTVREQSSSNKEKEPSRKVRVDGVTATWKATGSVQLIRRARSKVVVGSKRVLIYNSNDTAENGKWSMHEYILKDHAAIGQYALYSIQRKQHSDTEGNAGNDDMDPEKKKKKKTRKRKRTETETETPSTELEGVQLPHPGTTTLLAEPPLKPTRKAKAQRKKKPSMQVGVEGEQQQQAQSPMEPVTPPKKDELAPRQQEGRQQPPAPPVVLSAVPLQAVRVCPPSEPDGTLGASAPKPEEDTPDDMAQLLALLGYPAMFCNNQGQEQWPPSLTGTTTLLAAPDSNNIVDHASFQPFQQEEDSFAMQNQHLSLGENQSVYMEQWWQHADLFLPNNTTQPMNSSGGWEHGNDQRQIHQQQEENNGGGFGVVQDQPPVDEQSSGSDDLQVDSLLFGESVQMDELDAFVRGVLVRRDEPPQDLAVGTQEQLEGGDDPATLQAARTQEQGADDTVTSHQHDELQQQLAWLQLQRALNEHVRDGPCSCMICAAASSILSGRHRQLQAAAATALVSACLAGVRWKAGVGTRKGSSQAPRPMLRHLVRSGQLADARSLFDAMPHRDEVAYATLLSGYAVAADFPGAMALFSRFRAAYPPHAAADPFVLSPVLKACASAAAGAGAGLLPLLHAAAAAALHAFAVRSSALSSVFVSTALADAYAKAGRLELALQVLDEMPRKNVVSWTTLVASLARAGRRHDALRRFAEMRASGVDCDSHACAAALTACAGAGLPPRGREVHALCAKLGLDAVPFVANALAALYARCGDVDRALAAVGRMGTRDVAACTTLIASYVQTGRAEEAIEAFVRMLRDESSNSASPNEYTFSAVIAACPNIEGAYLGEQLHAQAARRGLSHTRSVANSLIKLYTRCGRLSAADAIFRESDVKDVVSWSTIISGYAQEGLAQESFALFSEMRHHSSCPRPNEFTLASLFSVCASAASLDAGCQLHALAIAAGLEHHAMVRSALVNMYGKSGSMSDANVVFSNRTKDDVISWTAMIVGHAEHGHSKEAFELFEEMCRVGLKPDHVTFIGVLTACSHAGEVELGLRYLNAMNKTCGLEPEKEHYGCVIDLLARAGRIHEAEELIGRIAADGRDGVVWTSLLRACAARGAEETGKKAAERMMEAEPWGSGAHVAMANLYASKGQWREAAQERHLMKQKGVLKGAGWSSVEVGGDDRGVGVFVSGDRTNPHDNAIYMMLELIYYGAGMVRQIPDQLDLVSEVELTVSK >Sspon.08G0008230-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:31543481:31545801:1 gene:Sspon.08G0008230-3C transcript:Sspon.08G0008230-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKRILKELKDLQKDPPTSCSAGPVAEDMFHWQATIMGPSDSPYAGGVFLVSIHFPPDYPFKPPKVAFKTKVFHPNINSNGSICLDILKEQWSPALTVSKVLLSICSLLTDPNPDDPLVPEIAHMYKTDSAKYESTARSWTQKYAMG >Sspon.08G0005150-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:15335710:15342041:-1 gene:Sspon.08G0005150-1A transcript:Sspon.08G0005150-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding TMGNPEKLMNQIFDLKFTSKSLQRQARKCEKEEKEQKLKVKKAIEKGNMDGARIYAENAIRKRTEHMNYLRLASRLDAVVARLDTQAKMQVIGKSMQSIVKSLDSALATGNLQKMSETMDNFERQFVNMEVQAEFMEGAMAGSTSLSTPETEVNSLMQQVADDYGLEVSVGLPQAAAHAIPAAKDKEVLRRV >Sspon.05G0012000-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:26844918:26851576:-1 gene:Sspon.05G0012000-2C transcript:Sspon.05G0012000-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVDQRVEWMREKSKETYNEDVQCMRTNYYGAKLVIEALLPLLQLSSSGRIVNISSGFGLLRNFNSEDLVKELDDIDNLTENRLEELLNKFLEDFKANLVEAHGRPTGGSSAYKVAKAALNAYTMILAKKYPTLHINCLTPGYVKTDISSYYLHGTPGFGAIAFVTPTPPRMGEGTMDAAVTNPWSNRIALVTGGNKGIGLETCRQLATKGLRVVLTARNTARGLEAVEAIRSSSAAAEVFFHQLDVTDPSSAARLADFIRGQFGRLDILINNAGVSGVDRDPLLVAKVKDQVEGMDVNQRVEWMRENSKETYEEAKQCMRTNYYGAKLVTEALLPLLQLSSSGKIVNVSSGFGLLRHFNSEELRKEFDDIDNLTEKRLEELLDLFLEDFKANLLEAHGWPTGGSSAYKVAKAALNAYTRILAKKYPALRINCLTPGYVKTDMSMHMGVLTLEEGARNPVKVALFPGDGPT >Sspon.05G0026240-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:40606366:40613478:-1 gene:Sspon.05G0026240-3D transcript:Sspon.05G0026240-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIAESCVDAVVMEMVAVYCGGLYAAKPELAARRIEAIGFQVGHQLSERYTMERPRFSDHLEAIKFICKDFWSELFKKQIDNLKTNHRGTFVLQDNRFRWLTRVSLDPYTENTDSTENDSAALGDTAAQTTTMLLYFPCGLIRGALTNLGIPCSVSADMSNLPASKKPDLKFSSHM >Sspon.02G0056170-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:18615426:18615811:-1 gene:Sspon.02G0056170-1D transcript:Sspon.02G0056170-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MINTKRIAQLAKKWQRMAALGRKRLTAATKEGHCAVYTVDGARFEVPLVCLGTTVFTELLQMSKEEFGSREAMYALCLLKRGASAELEKAFLSTMAISCHAANHM >Sspon.03G0036790-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:94099715:94102053:1 gene:Sspon.03G0036790-1B transcript:Sspon.03G0036790-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SPCRPAARSRRRRARRRAPPRALAEARCRPGREPASSMAARRERRGRGSPARGGRGGGGAAVAGGWRRRTTSSTSQPCGSSEACPSGSPAK >Sspon.01G0041840-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:60562723:60563097:-1 gene:Sspon.01G0041840-2D transcript:Sspon.01G0041840-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RSSSSLVVSLLVLLLLFAAGAPSLGVAAVPVHQRQGAADDGHGGSAAADSDAFYQKVGTFAVWVYSLWNDIKPVPKLESVLSASTRPAEGSAMEYLLVLRVAGLGTFRALVWGVPAEGSEDWKLK >Sspon.02G0020680-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2B:67297846:67300312:1 gene:Sspon.02G0020680-2B transcript:Sspon.02G0020680-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVELDTIQNKEFSDINANHAGANVNGLESLNSSSAGYYADEDGKFRNLSLISREAMQVWMDYDDTVSSITVTMAPLKVARPKKPLFTTTYNLTSVVTDVAYIGFSSATGTINTRHYVLGWSFNMNGPAPAIDISRLPKLPRMGPKPLSKVLQIVLPLASAAFVLAVGTVVFLLVRRHLKYAELREDWEVEFGPHRFSYKDLFYATEGFKDKHLLGIGGFGRVYKGILPVSKLEVAVKRVSHDSRQGLKEFIAEVVSIGRIQHRNLVRVLGYCRRRGELFLVYEYMPSGSVDKYLYGIEGKPILSWANRWHIIKGIASCLVYLHEEWEKVVIHRDIKPSNVLLDSDMNARLGDFGLARLYDHDTDPQTTHVVGTIGYLAPELGHTSKATPLTDVFSFGMFLLEITCAKRPISEDSQDSQCMLVDWVLDRWISGSLLETVDSRLQGNYNTAEVCLALKLGLLCSHPFSNSRPTTRQVMQYLDGEMPLPEMTPTDMSFHMMAIMQNEGFDDYVTGSTASIETILSLPREVKKAVRIGTEGEEMARISEGVQRWWWWWWWWWQWWW >Sspon.01G0052030-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:54517234:54518184:1 gene:Sspon.01G0052030-1P transcript:Sspon.01G0052030-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding HNALLHSACLLGSAPAWHGRGLHRQLLFRKQLRWQQQGRDQHQLRPRRPRRHSFHRRRLRHVHRREGQQHHLRPRAMPRRRLRQRLRGLPCRRRQAAPQHLQLQFRRENMVCYWLHAVRERQLLRAGGHGCGRDPGERAGHGQPEGVREGGGEGDRQGHGAGVGGGQRGARAGQGAVHAVRVHLRAGAVHAGPGAADVRAVPVHGGVQVRRLLRRAAGVPDQLQQLQGALRDLPLLLPARRQRRRRTRHHRHDEEHQDRRASL >Sspon.05G0034100-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5C:48920956:48922020:1 gene:Sspon.05G0034100-1C transcript:Sspon.05G0034100-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGFDEPLWDQLAKALADINAWLAAIESRQAAFVRQVSAAVRLQAAARGLLARRRVRRLCDLQLIQPRTPSQFLQAAAARGLRARQRLQEMRQKMQEAVLAVVRLQAAAHGLLARRQAREMRGLQLVHVPRAPLLRHQAALRHMEGPDLVRCVMEIGRGIATSGGELGVYSAGVWGRGCVATHRRTLISAVVLRHRPPRGRLCWSLSRLIPGGYTRAPLSFRWAPWDLGGYTRTGSSHGGCPPYLQESKIKSRSLFKVNKISRDVEGLFLGVKFMSSRVIVKLQLEDELHVQVGCSVRRVKGLLGLSPLGLISRLLRD >Sspon.03G0018990-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:81277709:81283087:1 gene:Sspon.03G0018990-2B transcript:Sspon.03G0018990-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AAVMGVNGHHEPRRGCNGTVCNGSVRPLDHFGDVDPWTAWAYKPRTISLLLMGTCFLIWASGALDPERSSSADRVSSVKRGVFAMIAVFLAYSFLQAPSTVLIRPHPAIWRLVHGMAVVYLVALTFLLFQTRDDARQFMKYLHPDLGVELPERSYGADCRIYVPDHPKSRFNNVYEIIFDEFVIAHILGWWGKAIMIRNQPLLWVLSIGFELMELTFRHMLPNFNECWWDSIVLDILICNWFGIWAGMKTVRYFDGRTYEWVGLSRQPNIISKVKRMLGQFTPAHWDKDEWHPTRGPWRFIQVLSLCVVFMAVELNTFFLKFCLWIPPRNPLIVYRLVLWWLIAIPTIREYNTYLQDSKPFKKVGSFCWLSLAICIVELLICIKSVSKVNAFVVDHILVSSRLASRALCVDMEIPNNDKEKDLIVTKKKFICLHSLPNSVLCT >Sspon.06G0013490-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:70348003:70350795:1 gene:Sspon.06G0013490-1A transcript:Sspon.06G0013490-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGGGSGGASGPDSRVETISRLAQWRIDTFGPCSYRRSDPFKLGIWNWYLSVEKSRSIFVRLFPEPGRVAKEQPPLARFLLRVSWAGPPRRTCVSPGMVQKLASKSTLGCLSRMLTDSIHTDVTINTTDGVLKAHKAVLAACSPVFESMFVHDLREKESSTINISDMCLESCSALLGFIYGTIEQGQFWKHRLPLLAAANKYGIGDIKDCCEESLLEDISSANVLERLHVAWLYQLERLKKGCLTYLFVFGKIYDVRDEMNSFFHHADRELMLEMFQEVLSVWKP >Sspon.07G0024230-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:22947699:22948785:-1 gene:Sspon.07G0024230-1B transcript:Sspon.07G0024230-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKHYDAKVKDVTHFDEFYHAIYELIEIPSKEELEEKYLQTAAPYSSRADFSSIFLRVFPQTQKFHKGRGTNVTAQEFENIAKAILKIDSFTFGKAAVDILVVLFGVPVCALLTKRFVPGLKAISDDIVIPAATSGAVVYLAKSNKL >Sspon.05G0026990-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:46770942:46774588:-1 gene:Sspon.05G0026990-2D transcript:Sspon.05G0026990-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIFVLSGQSNMAGRGGVHGRHWDGVVPPECAPDPSILRLSAALQWEEAREPLHADIDTTKTCGIGPGMAFARAVLPRLQEDTPGAGIRTGIGLVPCAVGGTAIREWSRGEHLYKQMVCRARVAAEYGEIEALLWYQGESDAESDADTCAYLENVERLIGNVRADLGMPQLPFIQVALASGNKRNIEKVRNAQFSVNLPNVVTVDPMGMALNEDNVHLTTESQVKLGKMLAEAYIMNFLTATCEYKALNIFNPPLSLFDKVTQLQLSCAQALKSKTLYLSYIREYAKEPQFMYSISNSLHNIS >Sspon.05G0037310-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:11617467:11624908:-1 gene:Sspon.05G0037310-1D transcript:Sspon.05G0037310-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLQQYDYEDSKTWKSLGKRVFDIYVQGERKEQNFDIKKALSELGEPSTSYSVVKRQALQHCWKTDELRTVVENFSSSNLLGEGGYGSVYKRQPSLAGSRILNLDWPIRYEICLGIARGLAYLHEESSICVVHRDIKSSNVLLDANLNPKISDFGLAKFYDDKNTHVSTKVAGYFLNELNSNVRIIFIAAKAINELNRNVPLMHF >Sspon.03G0039690-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:19961764:19968340:1 gene:Sspon.03G0039690-1C transcript:Sspon.03G0039690-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGVVVVATVTMVVVVAALAALAAAAAAAGRGARAAEDERGNAPAGRREVTYDGRALILDGARRMLFSGEMHYPRSTPEMWPDLIAKAKKGGLDVIQTYVFWNAHEPVQGRGLPFWLRGIPNITFRSDNEPFKRHMQKFVTTIVNLMKDERLFYPQGGPIIISQIENEYKLVEAAFHSKGPPYLHWAAAMAGHVFATDSGCVAFLVNFDKHKVSTIQFGEEVFQLAPKSISILSQCRELIFETGKINAQHGLRSAQVVQPLNHADRWKIFKEPIPAVPSKISHVGNQLCEHLSTTKDETDYLWYLATYNYRRNGNGDLVLNVESHAHILHAFINNDYVGSVHGSHDKPDNIVLNASISLREGQNSISLLCVMVGSPVGLYGETNKIYTSEGSSHAEWTAVDKSIHLPLIWYKTTFDTPWGNDPVTLNLTSMGKGEVWINGQSIGRYWVSFKTPSGQPSQSMYHIPQYFLKTGENLLVLMEEIGGDPLQITACLGRRKCVLPVRANKFGGDPCPGIIKSLSVAASVDEEKMNHFNQMENEHKLVEAAFHSKGPPYVHWAVAMA >Sspon.01G0028540-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:113084728:113087003:1 gene:Sspon.01G0028540-2B transcript:Sspon.01G0028540-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPVQCHIQTPSSDRPIHQSNNQSRLVGHTISCSTAMDKAYVAVLSFAFLFVLHYLVGRAGGNGRKGDNGKGKAAQRLPPSPPAVPFLGHLHLVKTPFHEALAGLAARHGPVFSMRMGSRGAVVVSSPECAKECFTEHDVAFANRPRFATQELVSFGGAALATASYGPYWRNLRRVAAVQLLSAHRVACMSSVISAEVRAMVRRMSHAAAAAPDGAARIQLKRRLFEVSLSVLMETIAQTKTSRTEANADTDMSPEAHEFKQIVDEIVPHLGTANLWDYLPVLQWFDVFGVRNKIMAAVSRRDAFLRRLIDAERQRMDDGGDSDKKSMIAVLLSLQKSEPEVYTDTMIMALCGNLFGAGTETTSSTTEWAMSLLLNHPEALKKAQAEMDAVVGNSRLITAEDVPRLGYLHCIINETVRMYPAAPLLLPHESSADCKVGGYDVPRGTLLIVNAYAIHRDPAVWEDPAEFRPERFEDGKAEGRLLMPFGMGRRKCPGETLALRTIGLVLGTLIQCFDWDRVDGLEIDMTAGGGLTMPRAVPLEATCKPRAAMRDVLLEL >Sspon.02G0004710-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:9422393:9426005:1 gene:Sspon.02G0004710-4D transcript:Sspon.02G0004710-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHRAAMLPLLLAAVLSITAAASAASSRSNPHPLDPLTPEEITAVRAAVLASPLVPARPLTFHYVGLDEPDKADVLAYAYGGTDTGGSSLRPPLPRRALVIARAGGQSHELRVDIASNGTSATVLSHAVHRGAGFPILTLEEQFAAVALPPAYPPFVESVRRRGVDMDDVLCAVFPVGWFGAGAGTAKRVAKMLCFVAGPTANFYARPIEGVTMVVDLDAMAIVGYRDRVTYPVPKAEGTDYRAGKTGPPLPGLQPAPGVAVQPEGRGFHIDGHVVRWANWEFHVGFDMRAGTVISLASVHDADAGTRRRVLYRGFVSEVFVPYMDPVEEWYYRTFLDAGEYGLGLWAFPLQPGADCPANAAYFDGSYAGQDGKPVSGENRICVFERYAGDVAWRHTEAGFPDQLIVPGACKITEVRPDVTLVVRMVVSPGNYDYILDWEFKTSGSIKFVVSLTGLLEVKGTSYTHADEITVDPHGSLVSENTLAIYHDHYVTYHLDLDIDGTNNSFVKNIITTRRNTGDPATGGADTHTPRRSYWTVRREVAETEADGQADVNARPADLLFVNPGKKTRMGHEVGYRLIPSGATAASVLADDDYPQRRASYTKKQVWVTPYSKAEKWASGLYADQSTGGDSLTAAGGTGGSGTRTSCYTLGLHHIPYQEDFPVMPTLSGGFELRPSNFFERNPILRIRPPSTHGASANCSCYSR >Sspon.07G0017860-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:78305516:78309682:-1 gene:Sspon.07G0017860-2D transcript:Sspon.07G0017860-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAALGAANWLLGQVLNKLSDDLVKAYVSSTELGLNLEEIEREMLYTRGLMEAAQGKDISGNSGLQGLLEKLGKKADEAEDALDELHYFVIQDKLDGTRDATPDLGEELHFNCTPVSDLLKIVSGSNPQPHMPAFTRRPDTSSEITQDKLFGRDAIFEKTINEIISVTNSEKILSVLPIVGPGGIGKTTFTQHVYNHTRIKEHFIVRAWICVSTNFDVLKITKEILSCLPATENAGNKTANETTNLDLLQKSIAERLKSKRFLIVLDDIWECSSTDEWEKLLAPFKKDETSGNVILVTTRFPKIVEMVKKETNPIDLCGLDPDEFWKFFQICAFGRIQDEHGDQELIGIAKQIADKLKCSPLAAKTVGRLLIKKPFQEHWMKILHNKEWLEENHDNDIIPALKISYDYLPFHLKKCFSCFSLFPEDYKFEKLEIICFWDSIGIIDCTRKNKKIEDIGSNYLDELVDNGFLIKGDDNFYVMHDLLHDLSRIVSLEECAYISCSSFDANEIPKTVRYLSIFMHDTHIQNFDEDIGKLKERIDIKNLQSLMIFGEYNRLHLINVLKDTFKEINGLRVLSIFMNSYSSLPKNFSKLVHLRYLKLKSPGYLKMCLPSTVPRFYHLKFLDLKQWRSGCSLPKDISRLENLRHFVAFENFHSNVPEVGKMKFLQELKEFHVKKESIGFELGELGKLEDLGGELNIHGLENVTTRQEAKEAKLMAKRNLVELGLLWNEKQESIGDDILDSIQPHSYIRRLRIVNHCGVVGPGWLCSNNLYMKNLETLHLESVSWDNLPPIGQMYHLRKLKLKNIVGISHIGPDFFGGTIEKSFTHLKEVEFHDMPELVEWVGGANCHLFSRLEKISCTDCPMLTTLLFSGWPTSSTEDSTIWFPSLRDLHIDRCPKLFLPPLPHTSMVSCIDTESLSYDRTKLNICKPFELVFHNLGDVERLTIQDASCFSFMDLQKLHSLRHIEVSRCEQTFLRGLDDGVVLHEVQTLELGQFSLTRKSLSNLFKHFPALSRLDVSASSGEDHEEVVLQFPPSSSLRDVRFRRCKNLILPMEEEEGAGFYGLSLLESVTIFNCDKLFSRWSIGGAAQTQSIIYPLPACIKELSLWDQQSTLPMALLANLTSLTSLVLVNCKDITADGFNPLITINLEHMTVYNERDGETEPYSVAADLLAAVARTKTMPAGSFQLVRLDVDSISAVLVAPICSCLSATLQRLSFSYDWRTEKFTEEQDEALRLLTSLQGLMFYNCGALQSLPQGLHRLPSLQELRIWETQKIRSLPKDGLPDSLRELYIEKCGPEIYEECQKLRGTSPDINVRASIARAES >Sspon.04G0027340-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:58406610:58414345:-1 gene:Sspon.04G0027340-1B transcript:Sspon.04G0027340-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endoribonuclease YBEY, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G25870) UniProtKB/Swiss-Prot;Acc:Q8L5Z4] MVRLHQLLSRALASHQILSSTTSSVRSTPRLLLPLRSPPPAPPPHGRTLLPIIVAASRQYGSSTFGRRRRSSRPPMLLGRKRAGRPTRKGPGELSVQIGIEEALPDDPEILSIAETLRTDVGKAVKLALNDLEGSDYMTRDPSICNVNKYASIEVSLLLCDDDFIRKLNKEWRDEDHATDVLSMSQHIPELDIPILQLGDIVISVETARRQAEERGHTLLDEIRILMVHGLLHLLGFDHEVSKVAEEEMEKEEEHILNTLEWRGKGLIKSAYDIATEADSSIEKAFLQEKHQAKLSHIICDIDGELIERLEIFGLYWILPSTIVDYEGGLHEKSIGSLREAIATGVNIIMVTGKSRASTIRTFKLLDFHEKGDFISETSPGGSLVYGRDGEEVYRAELDLDVCKEALLYSLKHKIPLVAYCEEQCLTLFEHPFVDLLHTVHNENKVKVMHSIEDLLECSSIQKLLLFDSTKEDSSVLRQHCSELTKGKAHVIKMHPNTIDIVPLNASKSGGLRILLDHLGITKDLCSGRQDMHLIGSSSSSEDNSYRGPSASRCNVLEDAEEGSEG >Sspon.02G0039680-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:61102010:61117735:1 gene:Sspon.02G0039680-2C transcript:Sspon.02G0039680-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNLDSTTPPAAAAAEMGTTEPAERDSTTSSVSALNETNKCKKKKINKKKTRKKKIKKKNKTREQQELPSDLDSTVVADGQVEVEEQEATDAAASAMEGTPKCNEEDAKVSVNLMPEKETNTTVVSVSESTPKHKKRRKKKNKMQGQLDSAKASSSVVAMDIIVADKSENGCTDVVGASGHADVNMDPTNGDDPSSAQSKANDADVLLKNKDGNKGNKNCAENNDLLQESSAGRKRRRGKRKWALANGGPDFSDDGGVFAKHSLHSSANHGLSCICASCLVEAHEEKIRNIYSPRGSLDMSYCTVTGCKTIDNKDKPLVLKELKKVWNKDKPNLPWDQGEFSPSNTLLVDDSPYKALCNPPNTAIFPEPYSYLNQRDDYSLGPGGDLRVYLQRIAAADNVQNFVRDNPFGQKSITESDPNWNFYVKIVDKMEKQIVDKAEKEIVDKVERSLVAPTYQ >Sspon.03G0025640-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:1042011:1044258:-1 gene:Sspon.03G0025640-3D transcript:Sspon.03G0025640-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPPNPNPNPTDDAPSPLAESAARLAALSLRGTRDLPPDFHTAEIHDLDDDDEGYLTAASRGGSSTSAWKEAPEGLHDDDKDGDDVSHPSPSSSGYAGERGSSLEDDPDPEPAQDWPRDKKHLHEVSSLHYTPSSTPITRTLPQVAVGHQACRSRVSGRCFIFLEEEEKAFLHPEQFWQANIFQIVFLVKGPIYLVCISCTEESYEGLRGQLELMYGQRGLAVACSPRPGSAPPSRVLCCWRGPAVAFFSSAFSGFSDTGRSFYKVYGDVFDRVFAPLHPWPRRHRPPRPRR >Sspon.08G0015790-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:63057909:63061943:1 gene:Sspon.08G0015790-1A transcript:Sspon.08G0015790-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MENLALLWGIIGPGVSGAIFGAGWWFWVDAVVCSAVQVSFLHYLPGIFASVAALMFNCVNKDEIGYDYYSPYGDDSEWRVKLWLFVAYVVSFVCLAGSVGLLVQDALTNKGLSVWTGVAGVLQCVLVLISGLVYWTCHSED >Sspon.07G0016830-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:64473309:64476826:1 gene:Sspon.07G0016830-2B transcript:Sspon.07G0016830-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNILRCLTGGDNHGDDHYRPHYHPTSEPGIILQSGWGSPPRFQPDHHQLGPHGGVASLVQDLLNFESTSMVPEALRNNVTSSKKAQVKWYRNILEAYKNCSPPPKTPAEAARLVAAALSRIQRADLEGFLAFYNLLIPSFSSASTSSDHQPSSLPEGIQFVLNTLPVHNKCIGDGDGFTAYVDTADPRESANVPLEVHEMVIERTQARIDRDYQTADALLRSLNEAGYKIITILGEEILAKKYRIRMRGIDAPELKMASGKESRNALVKLIGGKRVTIYVYGQDQFGRYVGDIYCDNVFIQVKHELCSHNLWFYGFNYDVINVCLDSGVFQEQMLKSGHVWHFKTYDKRPEFAQWEREARAARRGLFASENPEKPWDWRRDQRNANIPVY >Sspon.06G0016710-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:90915400:90918008:1 gene:Sspon.06G0016710-1A transcript:Sspon.06G0016710-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIAEGTRKRTRASDGGGGSSAGGPDRLSALPDCLLHIIMSSLKARQVVQTCVLSTRWRHLWRTVPCLDIDIVEFRTKAAAVHASDDDSTDPDSDKSKGWDEFEDFTVNLMLRCNIALLDSFRLDIDKGSRPQSQYYGYYSSATEGWEYSGRQAAAWLRRAMKYCTPGSGDAIIGPQRQGLNLSPSSWRLRRLHLCHVPLDNQFAEHLRSVCCSLEDLELDHCTCEIRSIASDSLKNLVLKHCTWDGFLCDIASPTLKTLVIDGGSTAFGCTLAISAPMVAYLCLDVDADHFLGGVSMNKMTSLDRASIHLRCHDYSLCKSIVASKLRGDQSKLLCSLSNVTSLELSSVGTTVLGEEPTFLEFQNLRNLLLDDCDLSDDFHILRFFHQGSPNLEKVTLRHCKFPGDSEDKEGTCKLDKTSSSGCCCSLDFLRDENVELQIIHEDGDACRSTDELVRDLPNLKGSTDAVPDTATAAASEDSMPHSTGVGPRRGARHRMTNVRISGPEWEWSM >Sspon.02G0045280-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2B:108661166:108662320:-1 gene:Sspon.02G0045280-1B transcript:Sspon.02G0045280-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RWPIANWAPMPEKENLKRENNSLRNEKKELVRQNNELQGQLDKRKRDMDASSRKVSTLEDRVLELEHQNKELESRVQRLVHQNNELQGQLDKRKRDMDASSRKVTTLEHKVLELEHQNKELESRVQRLVHQNNELQGQLDKRKRDMDASSRKVSTLEHRVLELEHQNTKLSRELVKQQEDTRKASLLFMNAADKYEEEARKQLREKVEELVNTRNAGLVIMNTADAYEEAARKQIKEKEWELEDTRKAILVLMNAADTYQEIADKQIKDMEEELKVMGAEKVEMDARVASLESGLKVALDKNKELEGDYDKVKFENAKLQLEAQRLMMELGVLAEAKDAATNSCNTETREIMKELEDLETKEETQGSKGLVKGENDEPCGRAFF >Sspon.01G0032080-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:109105778:109108651:-1 gene:Sspon.01G0032080-1A transcript:Sspon.01G0032080-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding PAAMSAAAKAKAKASGGKRAAAAKDPAEALVSDKRRRERGGMDDSDHDGGSDMKEIVTLLKHIKDKAHKDGQKKTEQASFSVATEIQTMVQDTKTRLDVHRQSFLKALSKTVMQCEGLLKNEYTKFQATHDKFCKDKAAHIQICADLFSKFEDDKEKLLAQYVLTGKKEKATLSELEKTFSEKIANAEESLKKPSQDDKSIHILRKSIGSFLSSDPDDQSGQD >Sspon.07G0033620-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:62656543:62658505:1 gene:Sspon.07G0033620-1P transcript:Sspon.07G0033620-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFTDSPHKVIETEYYKLPGAVNFLSVKVASSDIGFPIHVYGTVIVRDSIDERCVYHFRRDADHCQLINSEAESLILTGPKRGVALCGYMYVEIGMNIKDHLGQPREFSKGLLSISDPTDCASMVSMVETKSLATRLSTVDVTYAVVNRAIEGFIAVEVLQGGFHGKITAYTTSVQDILVLYHSKEADVMTVDDCGDIQLMRPVVSVHVEDFLIIVVQISDDGKSESIQFTPSINGRDEDQLTVGATKMRVKLAWSVMNP >Sspon.06G0025360-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:66289687:66291516:1 gene:Sspon.06G0025360-1B transcript:Sspon.06G0025360-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFDDAGVAVVAVKRMRLWEEDEVVEVEEEEDEEEEGMEVDAEAEPGWPLWGRRRRRGSGSPGRRRSSWRTRRRSTSPSSTSTRLRGRHGVPRARGARAQLPIPTISGSTCPKATSSC >Sspon.04G0012430-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:41144421:41149272:1 gene:Sspon.04G0012430-1A transcript:Sspon.04G0012430-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding YDPCNEQGAGFGNQQCAGDASGAGADAGASSIFPTLPRLGLFSPLVCSTSVSVRSDQTVHVALSASENRTLTSFFPFPPLLPFHKQRRRQVSLHTRAGDGSRDALACLLAGERESAATQRPGGSSPSDILVGAHGRDLDEAMAAVAVHDDELAIHDRELATLHNHRPRGGGSAVDPASAGANPFGENRKKLGGVGTAAVIMGGQGQAALTAVLTETHCKGGLPSRPYSAEHCKSQHGRAAGLAALTVPWEPPLLPPKLFRCVFKIRRRKVDADDAELTPLGELTIQIQGTFV >Sspon.02G0014140-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:37710629:37714335:-1 gene:Sspon.02G0014140-1A transcript:Sspon.02G0014140-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:E3 ubiquitin-protein ligase AIP2 [Source:Projected from Arabidopsis thaliana (AT5G20910) UniProtKB/Swiss-Prot;Acc:Q8RXD3] MSATATDEAAVEVRLQALRQRLGKKQHFEEAVNELAAAVRDRYAGASPTLRKSMYSTVCRVATVLQTRYTAPGFWHAGLNLFMGTEKLVSNPAEKEHLKTCISRAREHLDEKENEDSMPSNREADTRFLFEGHLTVGQEPPPPAWLVAQNLTRELSILTESSGDQDGNSNRTVPRAEEITPAIMNLLESISGDRDLEAALEESLQGIIEHPPRAPPASKEVVANLPVIAVTEEVIARLGSETECAVCRENLVVDDKMQELPCKHLFHPPCLKPWLDENNSCPICRHELRTDDHVYESRKEREKEEEEDRRGAANA >Sspon.01G0011770-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:31882777:31886887:1 gene:Sspon.01G0011770-1A transcript:Sspon.01G0011770-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLDSNGHGETGAQNAENIAPVSVKSPDTSLEIQEKLPHNLTEHQEVLGSPVGHAGSLSPEILSHIESARASDDSSKDEGDHAAPTNKDGVEIISENGFTGASIMPTAEIKSEEDNMYHHENIAVTPKKKAESVKGSEGSYRGLVDTSAPFESVKEAVTKFGGIVDWKGYRAQSLERRRVMQLELEKVQQEIPQFKKDGETAEVAKSHVIEELERTNRLVDELKHKLERAQLEVDQTKQDSELALLRAQEMEQGIDDEASVIAQTQLAVAKERHEKAVEELKLLKEELRSTHEQYAVLATERDVAIKRAEEVVCAAKDTEKQVEELTLELIASKESLELAHASHHEAEEHRLGAALAKEQDFLAWEKELQEAQAELHQLNEQIASKTNVESEADENKRKLLSLKSALAAYVENKLNEEAAMVQEQGSDEAKEISRSIKEALASKRKELAEFKGKLENARNEANLVRVIAESLSSELDREKALLATLQQSEGMASITVSSLEAELDRTKQEIEMVHKKEAETREKMAELPRMLQQTAQEAEDAKMAAHLAQEELRKAKEEAEQTKASATTADTRLRAVLKEIEASKESERLAIVAAQAMQESKETGNVGASPRGVTLPISEYRALSKRVHEAEEHANERVAAALAQIELAKESESRYLERLQEASRDMDEKKGDLQIALERAERANEGKLGAEQELRTWRAEHVQRRKAHEAAQHAASPVRTPPRMFVEQKGSYQEENEHLTDPKLHKSTGSVDQFVSDEKLRKKKSFFPQMSTLLSRKAQTQT >Sspon.01G0055940-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:85382524:85384273:-1 gene:Sspon.01G0055940-1C transcript:Sspon.01G0055940-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRQRQMDLARLLPDDVLADILRRLPPRSLAVSRCVCIWWRALIDDCGILRADLLPQSLAGLVISYNELEYAELFARPSVDLGDYGMPSGLVEDHCNGLLLLYGWVHNPATSGYAMLPELPAPRDHFFSSTTGLWEDKSFIRQGEAAGTVADMQLDQRFVELDERKAEYWRCALYVHCEPDFIMRISLSNSSYRVIKNPSGFQDENFVLGRSKKGIYYALLDATYRLRVWILDESSDDQVDWKLRHDSGRGLAFPPYLRCDSGPWILSNTNCDDESEDDDESQDEEEAQVEQEFEWNSDDDDSVILPTDDRVEEYHTFSEFHIVAFHPYKEIVFFSRLSSRVLAYHLSSSKLVDLGKLLPLNNFGPSVYLRNCFPYTPCRMDGLPKQIGKT >Sspon.02G0023650-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:80675457:80676153:-1 gene:Sspon.02G0023650-1A transcript:Sspon.02G0023650-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SMGLMSLTTIRLLAAAALVTTALLGPPMASGQQPAASCTVSLLTSFTPCFSFLTSNSSNGSPPTRECCRSLAALVNASTGCACLVLTGAVPLPALGVPVNRTLAVSLPKACDSLSVPLQCPDTSSAQSPAPGPVADTPSTPASTPEAPAPPTADPTATAPVSQGQTRPMVLPSSARRTTSAHVAAAPALALLLAVAAALV >Sspon.05G0005790-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:20131559:20133495:1 gene:Sspon.05G0005790-2D transcript:Sspon.05G0005790-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ALQLTKFDYRLTSDLDEDLQKLRCRELSAEDERSRGKCPLTPHEVGLMLRALGFGNDTLLYVASGEIYGGDETLQPLRELFPNFYTKEKLARDDLNPFLPFSSRRYMGHKRTIRPNAKKLNVLFQTRNQLGWDAFSRKVQKVQRGLMGEPDDIRPKQDDFHEFPSSCICLRKPGNISVTT >Sspon.02G0003300-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:9668903:9672014:-1 gene:Sspon.02G0003300-2B transcript:Sspon.02G0003300-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding HMDFSGGGGGRSRPEPARWLEIAGKLLAARDLVGCKRLAERAVEADPDLPGADELLAVADVLLASQRLLPSGRPDPVAVLQLQPAPGLDPAAAKRSFHRLSQLVSSPRNPRPAADTALHFIQEAFADLSNNASADPPPAPAAAPPPIPAPAPAPAAAPSPIPAPAPASGGASASADADAFWTVCPYCCHVYQYQRALVGRALRCQSAGCRRAFVATEIPNAPPIVPGTDMYYCAWGFFPMGFPKAADLSTNWRPFCPMFPGNSESPPQPAPAVTVNADVQNVENNGGADVQNAENNGGPINANSTPASVQPPDKSGDTGRAAGPSRGRMKKTTARKKVGALPKKQGSSSVGSGIGPSMLGADSLNGNAGSGQTVGTREININEVAKPTDSNTMLNFGGDEDIGFDLDVDATDAILGNLHNLPFLREDDNNRSRYLDQKLYPSVDCLH >Sspon.01G0048640-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:110044184:110045631:1 gene:Sspon.01G0048640-1B transcript:Sspon.01G0048640-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLPQSLIAGEDFQHILRLLNTNVDGKQKIMFAMTSIKGIGRRFSNIVCKKADIDMNKRQAPCFLSEDLYQISLLTSEELERLMTVVANPRQFKVPDWFLNRKKDYKDGRFSQVVSNALDMKLRDDLERLKKIRNHRGLRHYWGLRVRGQHTKTTGRRGKTVGVSKKR >Sspon.05G0023970-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:11439524:11443279:-1 gene:Sspon.05G0023970-1B transcript:Sspon.05G0023970-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCKGSKLDDQEAVALCRARADLLAAAVRHRYALADAHAALADSIESVAAPLHRLLCLQAAESPGLTLPSARKGGGGAPANRLPRSFDQFGASPGSEPASPGDSPPRRVPEQLQHPHYAAYGYDYATQPAYAYPAPAGSLQFYYARSRPPPASVAVTQRAPGPPERVRFGSFDAAGGYPQHYAYSAQRAPAPPPAALQRAAPPSPPKASSWDFLNVFENYDSYEYDSYYYDSATAATAAAAPYSPSRSSREVREEEGIPDLEDDVEEDSVVVKEVAGQYLAPGSGGARSRRSSLGGVSSTAELDQPENAVAHKDVIGELRRRPTAHRNVSVHAPPPPARRAVDNANVAGEIKAQLVRTAEAARQLAPMLEVGRPSYQGRSSVYQSSSNMMSAISVAHLGCKDMDVLDVGVIGKMVDTRSLSSALEKLYFWERKLYGEVKAEEKMRLLIAKNSKQLKLLDQKGAEPQKIDATRNLLRKLSTKIRIAVRVIAKVSRKINKLRDEELWPQCQVISEAKNFTSVLSGGNGQDLALELEVELIKWIISFSSWVNSQRNFVKALNGWLALCLNYEPEDNTTGVPSYSPGSIGAPLVFVICNKWSQAMDRISEKDLVNAMQALVSSVRHLWEQQHLEQSEQIISIREREKWIKILERKTQEINKEADELNRKLALIPSRQRHVPRTVQLYEAHCVEASNLHINLRLVLEALENFAANSLQAFQDVSKSAEETRLPRDDARREHRSSNRSSNYKTSS >Sspon.07G0038390-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:78097248:78105189:-1 gene:Sspon.07G0038390-1D transcript:Sspon.07G0038390-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQHRCIQKISVPRRGALASHPVPPAPGKPSAQSAACRGLAGGTRREGEGGGGRRGGEQSRRRDRRGRDS >Sspon.03G0030390-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:17800083:17801651:1 gene:Sspon.03G0030390-2D transcript:Sspon.03G0030390-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDANWTYLLATWNEWEIRMLVLTSLALQVFLLFSAGIRKRNVSAVLSLLLWLAYLLADSIAIYALGYLSQTRVPKGVDVDPQSFERTHSIQAFWAPFLLLHLGGQDTITAFSTEDNELWKRHLLSLLTQVVLAVYVFTKSHPGTNVLAPAVFMFLSGIVKYAERTWALKCASMDNLRSSMVTTPDPGPNYAKFMEEYRFTREAGLEAEIVIEQERRAEAAAAVTVAVAEESVPYTTVITEASHFFVIFKRLFVNLILSFQERTRSQGTFLRLTPEQAYKVIEIELSLMYDTLHSKAAVIHTWYGRLFRCLTLLSTSTACILFNVHDKGTHKSYSRIDVCITNILFGGALCLEVYAIGMMLVSYWTYAALQDCNCRSLGSLVFRSIQYFRPESRAKWSNLMAQHNLISFCLLDKPTMLTKVLSVLGLKAHWDSWLYIRHIDVSPELKVLVFRELKDKTASIVDAESYRKFSNHRG >Sspon.08G0001380-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:4777377:4780843:1 gene:Sspon.08G0001380-1A transcript:Sspon.08G0001380-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCFAFKSGGKSSGKSKAQQHPRPGAQTPPAKSAPAASSSSSSSSSGGVHSKTKASAASTPTRTIQELSEERGAQRLRVFDLDELGSATNGFSRALKLGEGGFGSVYRAFFRSAAGARVVLAVKRLNQRSLQGHKQWLAEVQFLGVLEHPNLVKLIGYCAVDSEASKHRLLVYEFMPNKSLDDHLFNRAHPPLSWRLRLQIMIGAARGLDYLHEGVPEVQVIYRDFKASNILLDAEFRPKLSDFGLAREGPTEGKTHVSTAVVGTHGYAAPDYIQTGHLTAKSDVWSFGVVLYEILTGRRSLERSRPAEEQKLLGWVRQHPPDSAGFRAIMDPRLGGRYPLAAAREVARLADRCLGKNPKERPAMRDVVEELERVLQMEPPPPPPADKKKGGDGRLPAKR >Sspon.03G0012180-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:50201927:50207610:-1 gene:Sspon.03G0012180-3C transcript:Sspon.03G0012180-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTNLGGAPCCCFGLTQLQPAAVRLRLPPARATDTSASQSPARLRAVLEQVDQALSKGNDEAALSLVRSSQGGDGGLRAFGAARQVPQRLYTLDELKLNGIDTSAFLSPVDLTLGSIERNLQIAAVLGGLSVSAALELSQLQILFLILGLLSLWSVDFVYFGGGVRNLVLDTIGHYLSQKYHNRVIQHEAGHFLIAYLLGVLPKGYTITSLDTLINQGSLNVQAGTAFVDYEFLGEINTGKLSATMVNKFSCIALAGVATEYLLYGLAEGGLADINKLDGLLKSLGFTQRKLIHR >Sspon.02G0023030-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:80674109:80677449:-1 gene:Sspon.02G0023030-3C transcript:Sspon.02G0023030-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQMTRWDEILTLPVQNPPSLEFSAADISWSMVEGWKDSMDRLALIPYSRVNDFVRGESNNKDCPTRFHVEARRRRPPTMNCKPKVDGILEYILYWCSFGPDDYRKGGNVRPSRPISEKRKTPAGRPNTKRGCVCHFIVKRLIVEPSVALVIYNHNKHVDKKGIPCHGSMDKMAVGTKAMFAPYISDELRLQVMSLLYVGIPVETIMQRHTEMVEKQGGPSNRDDLLTHRYVRRLERKIRRSVYELDDDDAISIDLWVENNQDYVFFYEDFSDTDTFVLGIQTDWQLQQMIQFGSHSLMASDSKFGTNKLKYPVHSILVFDQHKNAIPVAWIITPNFAHGEIYKWMGALYDRAHTKDPTWQLGGFIIDDPLADVRTIREVFHCPVLISLWRIRHAWHKNLVNKCSDIEKRSAMAKRLGDAISSICRGNGDVELFEGFLQDFVDCAGFLDYFEARWLPRLGAWITVLKATSLATAQVASAVESYHHLLKLRLLNEADKSVYWRADWLVHKLGTKVHSYYWLDEFSGKNSFSRYWRSEWSSGPNPWCQGMQIPDSDVVIEGNYASVVSQKNKEKSHVVLNPGSEFALCDCSWSRKGNICKHVVKSAKVCRDRGLALPSLAMFHYYQALANLVHCPPSDTLISDHAMAVAVSVKTQLDAVLCAVNGSSSISPAFKDPQSTSKPRESETEEANSENGVCASQSQPGAGDDEDQDIPTGKKRKSGNASGDSEDVSIYQDSLARKKHKSGEASGDNEEASTEEDSRARERTSATQATQPSETETSQATNGLPVSSVKLRLAEGAIGT >Sspon.05G0022380-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:3241482:3248107:1 gene:Sspon.05G0022380-1B transcript:Sspon.05G0022380-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGQNQRLNVVPTVTMLGAMKARLVGATRGHALLKKKSDALTVQFRAILKKIVAAKESMGETMRASSFSLAEAKYVAGDGVRHVVLQSVRAASVRVRSHQENVAGVKLPKFTHLVDPAAASGGPSNASPSLTGLARGGQQVAACRAAHVKAIEVLVELASLQTSFLTLDEAIKTTNRRVNALENVVKPRIENTISYIKGELDELEREDFFRLKKIQGYKKREIERQRAAAKLFAEEQLAEDLALKRGISVGAAANLLVADAEDWPLSINYQFPPHGNEVNEQTGLRYFGTEFKEEKSEINKRQQRRPTPMHSLEQPENVTEEIK >Sspon.02G0008550-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:27443201:27447242:-1 gene:Sspon.02G0008550-3C transcript:Sspon.02G0008550-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQPRRRGYPHGHGRHHRCPRRIALPAAGLGLLFLAVSLLSVSLLSAPPLADPRPGLGTSSSSSSSRRFLRRHPTKGSGGELEDSESGDALSVPAHGWTGHDDLWRSKLASNFHACSNSSSKFLDSGITTQPDRYLIVVTSGGLNQQRTGIVDAVVAAHILNATLVVPRLDQTSFWKDSSNFSEIFDMDWFISFLEKDVRIIKEPPEKGGKAIKPYKMRVPRKCTPRCYLNRVLPALLKKHVIRMTKYDYRLSNKLDTDLQKLRCRVNYHALRFTDPIQELAEKLILRMREKSRYFIALHLRFEPDMLAFSGCYYGGGEKERRELAAIRKRWRTLHIRDPEKGRRQGRCPLTPEEVGLMLRALGYRSDVHIYVASGEIYGGEDTLASLKALFPNFHTKETLSSQEELAPFLKFSSRMAAIDFIVCDESDAFVANNIGNMAKILAGQRRYFGHKRTIRPNAKQLYPLFMKRGNMSWDAFASQSRKDIWESPWKSHSGRGEFLANPAACICEKTGRNSVVVKSISGSSQEPVTDTGIRKAIGIGRPTYPVYTDEEADGSDTEEDPDSSGRGEVIDTEPNDDSVVRQEDPELEEILSD >Sspon.02G0017340-3D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2D:45109853:45111321:1 gene:Sspon.02G0017340-3D transcript:Sspon.02G0017340-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVPAPAPPLPALLSRCSSAPPRRLLSSPRTPAACRPPPAVARSVSVSVDAPAAAAEPAVLGAPSATPRRRLILLRHGESTARGRSTRDHDRPLSKAGRADAISVSNKLQQMGWIPELILCSDAMRTKETLKILQEHVQGLSEAVVHFIPSFYSIAAMDGQTAEHLQKAICKYSSDEILTVM >Sspon.05G0039350-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:69389893:69395642:-1 gene:Sspon.05G0039350-1D transcript:Sspon.05G0039350-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMCRWMGGHACLAGSTVAANGGEVRGAARAMGGLGQRAATMERMAPAVENLEAHPRELGADENKQKKSAVNWEIGAGGRLLLLFVPHTTGGLGATGPPPRDPVLPSASASGGRRPGPCGLRLCPLPPAAPHGRAAARPRRRGRGSARRPVTRPGGHHPGRTGVPAAPVPCAPTSGCLVGDSGSRQVAPGDACLQNHTTNRVNLWSRPSLRPGGSGLEPLALGVLEGTARTLGLYGLLDLDYAALLLRVRGGCLHLDRRTCAMHAAASLRSLWRRYFSRRFSLVGIAAASGAQSGLPPLAT >Sspon.07G0036260-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7D:30004598:30006266:-1 gene:Sspon.07G0036260-1D transcript:Sspon.07G0036260-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMEVIIKYKSKKAISNPEHKHWLVQDKQLLGYLLNSMKEVLVQVDTLPSSICSHVDRLESIFSVHSHARATKVLMQLSNTRKGSLSTPTYFTKMKPFGDELVATGKPIQDEEIVSFIILGHVFYYNPIDNCFGPTSKTSQARLECRLGQVKWEFVLVILGDRHHLDGLVVLRSLVVSRW >Sspon.07G0021910-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:5500370:5500951:1 gene:Sspon.07G0021910-3D transcript:Sspon.07G0021910-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLLRSGHRLFLRRHRLPAAFSTAAAEELIDVRKLPTDYDPSTFDPSSPSRPPPSDRVWRLVEEVSSLTLAETAALSSLLLRRLDIPSAPPIAILNSAAGLGGGGATAGAAGEKAAAAAEKTVFELRLEAFDAASKIKVIKEIRSFTDLGLKEAKELVEKAPAVIKGGVSKEEAEAIVEKMKAVGAKVVMD >Sspon.03G0007890-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:26309933:26312391:-1 gene:Sspon.03G0007890-4D transcript:Sspon.03G0007890-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MESTSGEDAPKRNPLPAALVSNLQSVLAARRPPGAEVSTAAAAGEAEAEAPEAEASDVPAGDGAPARPIVLLTCAGGIRSSGLAALVDALVAGGRCDVHVCAPESDKPACGHSITIRETITATSVDFTGAKAFEISGTPVDCVSLALSGRLFTWSSPALVISGINTGPNCGYEMFHSSAIAAAREALVYGVPSISISLNWKKDETKDSDFKDAAQACLPLINAALDDIVKGTFLRGCLLNIGVPSAPSANKGFKLTKQSGYSPAQSWQAVSASRPSSATHFMGMHQSLGIQLAQLGKDASAAGAARRVSAQRKTVEVESVAAAGKQEIREVVKKLFRAE >Sspon.02G0027300-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:96817271:96823776:-1 gene:Sspon.02G0027300-2B transcript:Sspon.02G0027300-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTAGDDDDDGPPPAYAAQRGPRRDPRLKDLGISCMLNTEVGALLAVIRRRPDPYSYLPPAVAAAEEATFAALINSLKALRGLLFQPRHGAWRCSDPSTYLTPFLDVVQSEEAPPAATGVALSSVLKILRIDVFDECSPGARDAVHAILTALTNSRIERISDAGAEEAVLLRVLQVLAALLRTRAAPLLSDSSVCTAVNTCFQIVQHAAGSRGSELLQRTARHCMHEILQAVFARLPDIRDDGDGDDLSLGSSAGFGARCMVDVFNFLCSLLVNASDMVLTPDGQGAFTSEEDVLLFSLVLVNSAVELGGEAIGKHPKLLRLIQDDLFFHLIHYATEYSPLVLSMICSTALNLYHFLRRFLKLQLEAFFMFVLLRVCGGGNGPQLQEVAVEGLISFCRQPTFVIEMYVNYDCDPLLHNVFEEVGKLLCKAAFPVSGPMTTIQLQAFEGLVNMITTIADNVEIDKTPEHNAYAVDVSEFRLFWTERWDSAAAGGGGGGDGGHRETWVDFVRKRKLRKKKVAVAANHYNRDQKKGVEFLKLCHLVPTPPDARSMAYFLRYSPGLDKIKIGEFLGDPDEFNLKVLKEFTETFDFTGAILDTALRTYLETFRLPGESQKIQRVLEAFSERFFEQQTTGVFATKDAAFILCYSLIMLNTDLHNPQVKKKMSEEDFIRNNRAINDKKDLPREYLSELFHSISTNAITVFSTSAAAVEMTRSRWADLVKRSRALEPFTPCDFKHKLSREVFIAVSGPTVATLAAIFDGADDEETLNQCVEGLVSVARIARYGLEDVLDELLCCLCKFTTLLNPYATTEETLFTFSNELKPRMATLALFTIANRFGESVRGAWKNVVDCLLKLKRLKLLPPSLIDPDGSGAEQRPGHRHRSSASDAGVIFPPTHRGAGTSRHVSGMIGRFSQFLSLDGGESLLSVGSEFENNLKIIKQCQAGSIFTESAKLPDEALQNLGRALIFAAGGKGQKFSTPIEEEDTVGFCWDLLALLATANLQRFATFWPPLLECFSAVSQLPLFSPSPFAEKAIVALFRVAVRLLSTPPPQRPSDSRVPEELVFKSINMMWKLDKEILDTCCEGISENIVKLLTEHADGVQTPLGWKTLLHLLTVTGRHPETFDQSVAAMIKLMTDGSANVTRFNYAAVIEAAFGFAALKISPLDVSTKILELMAESVNWLIQWHKSGYSDLGNSSGFSGSSSSSSSVDEASRMGNLAANMFIKLAEALRKTSLVRREEIRSQAVFELGRAFNLAATGDLDFGPAGCLACFNLVIFAMVDDLHEKTLEYSRREGAERETRSMEGTLAAAAELLADVFVLLLPTVAQAPGFRTFWLGVLRRMDTCMKCDLAAGGGAGLMQELVPRMLKRMIMEMKAKEVLVPRE >Sspon.01G0024480-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:88473264:88476938:1 gene:Sspon.01G0024480-2B transcript:Sspon.01G0024480-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYSGGGATLSEMYQSARRLLLSARDGVARIERLASLPTSSSYSSAPLVGGVAPGDPAVAEEVRREVAQIQGLCAQMDRLWRSIPAKGQRDLWKRKVEQLSEEVDSLKETLDKHSFRQKKRILEAKERAELFERANGESSHVLRIFDDEAQAKQSARNSSRMLEEAYETGVAILHKYADQRDRLKSAQRKALDVLNTVGLSNSVLKLIERRHRVDKWIAYAGMIITVVVMIVFWRLTH >Sspon.05G0022460-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:7540022:7542807:-1 gene:Sspon.05G0022460-2D transcript:Sspon.05G0022460-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEVHLGLPGPWASDYREKADHCTTKIGGVPDWPTGDMGIKPETLQCSLCGTKLCLVAQVHAPLAKLNIEERIIYVLVCPIPECGPKPKSWKVLRVQKCHNVMQTEGGGDELGQSNGPSSTSVPEEQNDKNKTPEINDNDFDLDALAEALEQAATLASNSKKKNKSKHANAPVKRPVLKEQACDLSIPVLPCFYIYYDKELHGGKGTVGSSSSEFVLDKEIMDTANDEEEKWEGEKYEYDKAVGADRTFLKFKKRLDAYPQQCFRYSYGGKPLLATTKLQDPGTCRLCGSPRQYELQLMSPLSYFLHEAGDGSSNYAPSSWTWLTVIIYTCSKSCCPSSCGGKPQSCCWGAAEEEILIQEDEVL >Sspon.05G0027530-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5B:54328432:54332919:1 gene:Sspon.05G0027530-1B transcript:Sspon.05G0027530-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMYRDRSSAAQQSRSRSVVAAGGNKRLELQSVVTDASRAIVVAPNTSFASNDDIVGTATAGGRGGSGNNAMVKYSDRYMSREVSFSRDNHDKLYVPARRDRDAPSFGYDVSVMSYSRSQYYDGDGGDGDEDDYDFDLYDDDDEIEVRIGKPVSIAGLFKYSAPLDILLLVLGCVGAMINGGSLPWYSYLFGNFINKVVNSDKSQMMKDVKQISFYMLFLAAAVVIGAYLEIMCWRMIGERSALRMRREYLKAVLRQEIGFFDTEVSTGEVMQSISSDVAQIQDVMGDKMAGFVHHVFTFIFGYVVGFIKSWKIALAVFAATPVMMSCGLAYKAIYGGLTAKDEASYKRAGSVAQQAISSIRTVLSFVMEDRLADRYAEWLNRAAPIGIKLGFAKGAGMGVIYLVTYSQWALALWLGSRLVANGDIKGGDAIACFFGVMVGGRGLALSLSYFAQFAQGRVAAGRVFEIVDRVPDIDAYGGGGRSLSSVRGRIEFKDVEFAYPSRPEAMILYNLNLTIPAAKMVALVGVSGGGKSTMFALLERFYDPTRGIITLDGQDLSSLNLRWLRSQMGLVGQEPVLFATSIVENVMMGKENATRQEAIAACAKANAHTFVLGLPDGYDTQVGDRGTQLSGGQKQRIALARAIIRDPRILLLDEPTSALDAESEAVVQQSIERLSVGRTVVVIAHRLATVRNADTIAVLDRGAVVESGRHDDLVARGGPYAALVKLASDSGSFTDDSGVYDDDILSSVSKSRYGGGARRTFPREEADVRAKTKDDASDSKVSVSEIWKLQRQEGSLLILGFLMGISAGAVFSVFPLLLGQAVEVYFDADTSKMKRQVGALAMAVVGLGVACILTMTGQQGLCGWAGARLTMRVRDRLFRAIMRQEPAWFDEEDNAMGILVTRLARDAVAFRSMFGDRYAVLLMAVGSAGVGLGICFALDWRLTLVAMGCTPLTLGASYLNLLINVGAKTDDGAYARASSIAAGAVSNVRTVAALCAQGNIVGTFNRALDGPVSKARRRSLVMGVILGLSQGAMYGAYTVTLWAGALFIKRDLSKFGDVSKIFLILVLSSFSVGQLAGLAPDTSGAPVAIAGILSILKRRPAISDENGSGKRRTIKDGRPVDVELKRVVFAYPSRPEVTVLNEFSVRVKAGSTVAVVGASGSGKSTVVWLVQRFYDPVGGQVMVGGIDVRELDLKWLRGECAMVGQEPALFSGSIRENIGFGNPKASWAEIEEAAKEANIHKFIAGYQGYDTQ >Sspon.02G0026920-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:81796995:81797213:-1 gene:Sspon.02G0026920-2C transcript:Sspon.02G0026920-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding CLWFCRVHWSHPGSLSNLTILLTVKKRTHSVTSLYPFFFSNMDCVEFSCGSYCSVCLVSPFSLIFLCIVILV >Sspon.03G0028150-3D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3D:7343536:7345419:1 gene:Sspon.03G0028150-3D transcript:Sspon.03G0028150-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNYVQFGRSGKRQNADINTGRPLATRTRNGESYGANGTTHLREDGRERHPERQREVVLHLRAGATLALAPGRSGGGRLLPPSPQPEPSRTPLLRRAEEDREAEAEPQAEEASPDASDEEGSAGGWRQPVSISAGPAG >Sspon.01G0047620-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:113257990:113259442:-1 gene:Sspon.01G0047620-2D transcript:Sspon.01G0047620-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTMMRLRQHLGLASSPAIYTSAIARLGNNTAHRRRTSTATFPRSTQKRLLLLVILLVLFSFVLIPSSVLLPPARPRTMSSSASSAAPFEKPRAVVKKVLAESQPEGQGATVRRSIGRHELRNLDPFLMLDEFSVSKPAGFPDHPHRGFETVTYMLEGAFTHQDFAGHKGTIKTGDVQWMTAGRGIVHSEMPAGDGVQKGLQLWINLSSKDKMIEPRYQELESKDISRAEKDGVEARVIAGEALGAASPVYTRTPTMYVDFTMRPGSRLHQPVPEGWNAFVYVVDGEGVFGREKAAPTARTTASCSDPATGSAPLRFVLVAGKPLGEPVVQHGPFVMNSRAEIQQAMEDYYYGKNGFERASQWSSSA >Sspon.02G0036060-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:17551442:17553595:1 gene:Sspon.02G0036060-2D transcript:Sspon.02G0036060-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKEGGGHRHHALRIPSPDNVAMEETSQANGSATSSLRRKAAETIATSLETYRSKPFSFWLLLFLSSGAMLTAFPASSLLSRLYYNDGGQSKWILSWAAVAGWPLPALFLLPLYALGKASPTPLSLALCFWYALLGFLSAADNLMYAWAYAYLPASTASLVAASSLAFSALFGRAIAKNTLNMSSLNAVVVITAGVVIVALDSGSDRPPGVTPRQYALGFVLDVLGSALHGLIFALSELVFARVLGRRSFHVVLEQQAAVSLCAFAFTSAGLAVAEGFPAMRREAARFAHGGEAAYANVMVWTAVTFQLGVLGGTGVLFLASTVLAGVLNAVRVPLTSIAAVIWFHDPMSGFKILALVITVWGFASYMVGHSSVRKTSTS >Sspon.03G0008070-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3A:21978837:21982451:1 gene:Sspon.03G0008070-1A transcript:Sspon.03G0008070-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDVPLGRWRGTCEKGNDPTFQCNRKLIGARFFSEGIQASRALGDGGGQQQPSQADLSSPRDYVGHGSHTLSTAGGSFVRGASVFGHGKGTAAGGAPGARVAMYKACYEPGCSGIDILAAILAAVADGVHILSLSLGAPPGDYLTDLTAIGAFFAVQSGVTVVCSAGNSGPQPSTATNLAPWIFTVGASTMDRDFPAYVSFNGETIQGQSLADSTLPIGQPCQIISGENANAVNQPTSNSSLCLPGSLDPDKVKGKIVVCVRGVNARVKKGFVVKQAGGAGMVLCNDASTGDTVLADAHVLPAAHCAFSQCVRLFTYLQSTNNPLGYINATDASFGVKPAPKIAAFSSRGPNAITPQILKPDITAPGVNVIAAYSGAVSPTELPFDDRRVAYKIMSGTSMSCPHVSGIVGLLKTKYPTWSPAMIKSAIMTTANTVANDGNPIQDEAGAVATPFGYGSGHMDPVRALDPGLVYDTTLGDYTNFLCSLEPTPTTQDPVPSLPVDLPMMGSLSPPVTSLLLPLFNAAGEPCKCSQGPYGRPEDLNYPSIAVPCLSGSATVKRRLKNVGGAPGKYKVTVTEPAGVKVMVVPSELEFRGGEEKEFTVKLDMDVNAPAAASNYVFGSIVWSDADADASDVKKGASRPESRRG >Sspon.02G0013380-3C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2C:38188083:38189579:-1 gene:Sspon.02G0013380-3C transcript:Sspon.02G0013380-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHRSERRLSSAVVRLPGRSRVSASPSPRRRSQSPSPRRDRRRRDRSPIPYRDRSPSPYRNRRRDPSPSPYHDRRARSPSPYRDRRRQWSPYHNDRGRDRDRVLPVRSGGGVWSDEDDEDKELQGLSYFEYRRLKRQKLRKSKKRCIWNITPSPPRVEGDEENYGYSDVEEEKVSPKGSPEASEEESKDASESESGESDSLSESSESEASKRKKKGRKNSRRSSKRSRRRHRHRSSNSEIEDESESDDDSVGSYDSEDSRDRSKKRSRRHNRSKKRGRSSRRKKRKSQDTATEQSSEEEVEEDSKKKSKSSKRKRSKRSDSEESVPSDATPDDVEEVEETKVPEIDPEAIKFKEMLEAQKKAALENDMPVGPMPLPRAEGHISYGGALRPGEGDAIAQYVQQGKRIPRRGEVGLSAEEIQKFEDLGYVMSGSRHQRMNAIRIRKENQVYSAEDKRALAMFNYEEKSKREHKVMADLQRLVQRTIGHDVGPSHDPFATTD >Sspon.08G0027410-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8C:47887460:47888530:1 gene:Sspon.08G0027410-1C transcript:Sspon.08G0027410-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAQIRSNLRDESIKPNYFNIENFLSNKCSLNFNSSHQTPPDIGYWRTAAALGPHAPTPVPPTPLPSLSRLLASPRHHTARIREGGRERRHLPMAFAVASKRAPLAVAGLLKKLLLAAPSASASGATPAAAALRPAPACAVAARRLLSTGGAPFRRDDFDSSEEYSGDDEEYSGDEDFVFRSRGFSVSLSSSGEPMSLGRLLALMEDEAEAALRRECWVSKEDADAVKLKVAMPGLAKEHVKVWADQDDLVIEGEGDKDTEYDDDDDAPARYSLRVEFPEDDFKMDQIKAEMKDGVLKVTVPKIKLEERKDVFVVK >Sspon.08G0023580-2D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8D:58743152:58748188:1 gene:Sspon.08G0023580-2D transcript:Sspon.08G0023580-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VGQSL >Sspon.03G0031860-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:31734757:31740247:-1 gene:Sspon.03G0031860-1B transcript:Sspon.03G0031860-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLTRILLQVQRFRAFVATFFTLMSSLLPYLGIVFCILCVYCSIGLQFFGGIVYAGNSKLEETDLFGNDYLLFNFNDYPSGMVTLFNLLVMGNWQIWMESYAHLTGSSWSLVYFVSFYLISVLLLLNLIVAFVLEAFFAEMELEKAGEADTQGEVGSNSSCGSGGTHWEVGDISRDGRERRSRWSSIRSGKKRALREGERQSGGCCCNSSGVGSCGGRIQRGPVGRRSRGGLGIQVLGGSGGARLAGRGI >Sspon.03G0015310-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:72334256:72345017:-1 gene:Sspon.03G0015310-2B transcript:Sspon.03G0015310-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWARLASRPRLRPAASAVGRPPHASPPAPPPTPRTVPAATSAPPLRHLLLLPRYRFAAAASSASTARLLGARWPQGHGRRFFASEASAAQASELVEVPLAQTGEGIAECELLRWFVAEGDQVDEFQPLCEVQSDKATIEITSRFKGKVHHIHFGPGDIVKVGETLLKMIVGDSQIVSPDNIVPSADKSHGVASAVSLSEGNVPSGTLSTPAVRHLAKQYGININEIVGTGKNGRVLKEDVLNYAVSKGVCKEQSSALEGDIGQVELLEEGKSLLHEHLYEDKKILLRGYQRSMVKSMSLAAKVPHFHYLEEINCDSLVQLKTTFQNENKDHTIKHTFLPFLIKSLSMALSKYPMLNSSFIEETNEVVFKGSHNIGVAMATAHGLVVPNIKKVQSLSILEITKELARLHEMASHNRLSAADIEGGTITLSNIGAIGGKFGSPLLNLPEVAIIALGRIQKLPRFDDDENVYPSSIINVTVGADHRVVDGATVARFCNEWKSLVEKPELLLLHMR >Sspon.03G0018900-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:81132355:81140403:1 gene:Sspon.03G0018900-2B transcript:Sspon.03G0018900-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSHKFLLAVLLLLLLNYASYADTSWEDDDFLKTCSSHRCHKNGPEIRFPFRLPSHRPSCGAPGMQLSCSGHDTILDHPVLGSYKVTAIYYRHRVMNIILPADSTSHCPLQKLVKRNQSTDVYTPVTYHASVLVGCSREATNQDGIVGPSSCLSLINNASQLWYLVLPATDMSTLPMGCAVVAKDIPIPYTYYKNGPRYETFFRRSLFKENANRAINFGETAFNWHLNNITSACQRCEQEGKHCGFSSNRGQPFCLHHATTSVTAFVVLVATALYLSLKKRYNEAIHLKVEMFLKTYGTSKPTRYTFSEVKKIARRFKEKVGQGGFGSVYKGQLPNGVPVAVKMLENSTGEGEDFINEVATIGQIHHANIVRLLGFCSEGTRRALIYEFMPNESLGRYIFLHDPNSQELLVPEKMLDIATGIARGMEYLHQGCNQRILHFDIKPHNILLDYSFSPKISDFGLAKLCARDQSIVTLTAARGTMGYIAPEIYSPNFGGVSYKSDVYSFGMLVLEMVSGKRNSDPGIENQNGMYLPEWIYERVVTEQDLTLSREIADQEKEKVRQLAIVALWCIQWNPKNRPSMTKVVNMLTGRLQNLPIPPKPYA >Sspon.06G0011350-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:44743095:44746723:1 gene:Sspon.06G0011350-4D transcript:Sspon.06G0011350-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDRDAAGSTSSLAATATQGQAPLSFLPSLDGNENLPLLQTESSIAALRLGDLVRSYHFIHWRVVVTRPGLRIPKMASSNGEAEQQWIENVKSGGAVPCLTPENCPNGWATPSGDSFMVRGPEYLTNKVKIPGGEYLLKPLGFDWIKGPAKICEILKDNNHRVRKAIDEEVSRGNQPFVWAFNLQLPKDNYSAIFYFVSLEAIPEGSLMDQFLKGDDAFRNSRLKLIANIVKGPWIVRAAVGEQAICILGRALSCKYTQGSNFIEVDVDIGSSIVANAIVHLAFGYITTLTVDLAFLIESQTESELPERLLGAGRFSELNPGSAGVFEKRSEEHQESTQSRPVGFWQGFSQLLNNQGNPREPSPTLQNTNGSLHKEEANENSKWVGNSGCIWLEDGIDIALRPGV >Sspon.03G0006030-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:16774968:16777481:-1 gene:Sspon.03G0006030-1A transcript:Sspon.03G0006030-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:HD-ZIP IV family of homeobox-leucine zipper protein with lipid-binding START domain-containing protein [Source:Projected from Arabidopsis thaliana (AT1G79840) UniProtKB/TrEMBL;Acc:F4HQC0] MTRAFKESPHPDETQRQQLSEQLSLSASQVKFWFQNRRCQTKVTPERHENSLLKPELEKLREENRVMRELIKKSLRSQGQLLRLENAKLKTEIERLRGALGNNAAAFPTSTGGIQISSRNSLDDVGHDRTKFLELAGRALDELTAMCSSGQPLWVRSVETGRDVLNIDEYARLFRHHDDDSGDRRGVWSVETSRETGVVYCNATKLVGAFVDVNQWKEMFPSMITKAATLEVIHAGENDHRDDIVQLMFAEVQTLTPLVPTRELHFLRHCKKLGTNKWAIVDVSLDNFESGAQTSSTLCMCLKKSSGCIVEEQSLGRCCKVTWVEHVKCREAAVPSLYQAVTASGLAFGARRWVAALGLQGERMVFSVATNVPTWGSNGVATLLGRQSVLKLAQRMASSLCRVIGASRDLAWSKASNHGGTREIRAMCRKSTGEPRGLIASAVLSVWLPVKPAALFGFLADESRRHEWDLMLPGQSVQSYVTVRKGDHRGNRVTAYAVEPSAEELRGKWILQDSSTSPCESTVAYAPIDAAVLQPVVYGDDSSAVAVLPCGFVVMPDGREARPAVTTSRKDKEEGRTAVESAGSLVTLVFQALASSSATDAALPTDAVKAVTRLVFCTLGNIKKALRC >Sspon.07G0025320-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:40220975:40226530:1 gene:Sspon.07G0025320-2C transcript:Sspon.07G0025320-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFYFKVRPEAGDYTIFMGLDKYENEDLIKYGFPEDIWFHVDKMSSAHVYVRLNKGQTMDDMSEGLLEDCAQLVKANSIQEITMVLKASPRRPIDFPIKVSGLTRIPSVWHRLMWQGVGWLQHVRKGAVCGRQEVACAVSEGVAGGKHEGVTPTPLRGGAPSWNPLHLRGGGKRGERGSEGSGAPGTQWCRLPASIRDPHPICSLICNSSTAFCSQVRTIKVEKRINEIVNRLNKTKVERKPDLKALHIELIIMTSFAAAEREAVSATEKAERKAQLRDKKRREEMERLEKEKQADIRSYKGLMVQEKMTSNKQIASGSKTLQELEEDFIVALHIITI >Sspon.02G0050490-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:62043883:62046862:1 gene:Sspon.02G0050490-1C transcript:Sspon.02G0050490-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIYGGVKGKTNLPEAVHRIAQGLGWAGTAPDLAEPGQATFGTSELDYALHLHQAAPG >Sspon.04G0033420-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:57057964:57060572:-1 gene:Sspon.04G0033420-1C transcript:Sspon.04G0033420-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding PVYDSAASRPRSLLRPPVYDTSAPRPGSLSGPSLYDASASRPGSLLRPPVKTQGLIVVKYNVKRLFLDINIMVLFPMLRSGHFSVYVVNFVKKRVDILDPNDWEAIGPGWKNTHMGKCHYVDSNQTFCKVMVRRLNDAIHKVRPDAGIPRFGNYKCEMVHNIPKQRPGSNDCAFYAMWYMEYYNADHGTVVFSYGVTSEVIRSDVLQYLVFHAANEQKELPEEIDGASSSYRTYAMS >Sspon.01G0042320-2D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1D:86461739:86462032:1 gene:Sspon.01G0042320-2D transcript:Sspon.01G0042320-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MACRRSMCSETNHACAAMGYQHAEICCASDRGHVMCADAASCNTQLRASSHQRMWGSVFVREPSKGTGRADGTVRLPCDRTVVGQGEDSCRTTAVFR >Sspon.07G0006440-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:12060286:12062914:1 gene:Sspon.07G0006440-3C transcript:Sspon.07G0006440-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding EMSYLISPNDLVDLSIKDDSKWSDQNHLKFRVNAIRGLVALLNGETESAQVYEKILEAFCMDDMSGNLLAAGNMVPEEASLGATCSYGQLLSHSGKFAEAEDYLTRALQKAEEQFGANHPKVGIILTCIARMYKMKAKSEGSTSIMVQEGLYRKALEVLKAPAINSEGTSKQVDWRDIISLARACPLRV >Sspon.01G0010570-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:31872259:31876504:1 gene:Sspon.01G0010570-4D transcript:Sspon.01G0010570-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:[Pyruvate dehydrogenase (acetyl-transferring)] kinase, mitochondrial [Source:Projected from Arabidopsis thaliana (AT3G06483) UniProtKB/Swiss-Prot;Acc:Q9SBJ1] MASEPVARAVAEEVARWGAMRQTGVSLRYMMEFGARPTERTLLLAAQFLHKELPIRIARRALDLDSLPFGLSTKPAILKPFTGLIFGLLASAKVRDWYVESFRDIRSFPEVRNQEDELAFTQMIKMIRVRHTNVVPAIALGVQQMKKDLGGPKAFPPGIHEIHQFLDRFYMSRIGIRMLIGQHVALHDPDPEPGVIGLINTKMSPMTVARIASEDARAICMREYGSSPDVDIYGDPGFTFPYVTPHLHLMIFELVKNSLRAVQERYMDSDKLAPPVRIIVADGAEDVTIKISDEGGGIPRSGLSRIFTYLYSTAENPPDLDGHNEGVTMAGYGYGIPISRLYARYFGGDLQIISMDGYGTDAYLHLSRLGDSEEP >Sspon.01G0004770-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:12838903:12844579:-1 gene:Sspon.01G0004770-1A transcript:Sspon.01G0004770-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHWKQKNEFLRKNKLLLSPQPNQFPREYLNSPAPSPEQPGASNPSFPVGSDANNEVITPFDLNKGENSKYEKSAASKMSNKGINRRASSHAANVDDNTNEVRSYLISVLSKNPKGMGLKALEKAAADAFPSASKKIESIIKNIANYQGPERYVLKPGVEVESSKRHASEGVSRSISENIEESAPSLKIDDPDICERIDIVGSPLAATDGKLNNDSEGKAGTSSDSGSDSDSDSDSSDSGSDSGSQSRSAAETGSGSSSDSDSDASSSSKEGSDAIVDITSDDDKANAAHIKVVDDLNLSSSPRDLTRLDVDDEQIDIGTNLDYRSTSPHIDLNNFNTGNDDAAAKGFSAGNLNKPSEMPGSKNMTSTRMDSIRGDNKYNEMSFLDNLFDDSVRTTSENSPKGEAGQLTAQHGNKRKSTSKDESKLGPVSIAKPKLKRSSGSDNSTTKPESAKKVKADITSPTGSLSEHKRSLPPEKHTNDRLNKETGNVSRDASRDSSPAMKGRPLAPGNLQRIDQSPNLPVPTMHSERTKENTMKSSSKKKSDKMQKPWHGMDGDFGPGYSHGVDHHANFDGSDDSSTRKRSRHGNPLIDDKMLKRSKDANVNVNSMNLTKSSREIVVPDEITSFPESNESNGEPSNSQRDNVERSPYGKKKLQRELSDLELGELRETSLENDDGRIRKQFERNSSSKSLDAKLTSVNNSYPSMNDRKAPATVFHDKRKPSPQEYGIGGHINQEGFPRKAAGYEFDDNRPQQRENFPDSQHLPRIDNSDSENVVYPDRSGEKTSKRETSMAHGRMLEYADMQKKKSTSRLPQNGTNNVIVSRMQKSISPSDNEERSRNNSLIETETGRKRRDSSSDDDNLFFSKYDKDAPELKGPIKDFSQYKDYVQEYNEKYGVYSYLNSQIDKTKSEFLKVQDDLNVAKERDKEQYYNTVERLRDMYRESGARHKLMKKVFVLLHEELQIIKQRIKDFTEAYSNE >Sspon.08G0012720-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8A:54207529:54210308:-1 gene:Sspon.08G0012720-1A transcript:Sspon.08G0012720-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRLFLTLIAIAVAVVSSVDSHPTDRAALLAFKSGVWGNLSDWGSPKMCNWTGVTCDSTERVVHLLLQNSNLSGIISPAIGNLSALKTLDLQFNQLSGSIPPELGMLSQLLGLRLSYNSLTGSIPEAVVCNCTSLTSIALSNNSLTGEIPFSARCRLPHLQHLSLYKNRLEGGIPLSMSNFTSLSWVLLHYNHLGGVLPSQMFDKMPFLQYLYLSGNNFSSDGGNTDLEPFLASLVNCTGLQELGVESNSLGGEIPAIIGNLSSANLSKLYLEDNEITGAIPRTIGNLHSLTDLELQYNMLEGPIPSEVFHPRCLTQIVLSNNQINGEIPKSIGLARQLDTVDISNSGLRGEIPETLSNLTMLEKLLLDHNQLSGAIPPGLSCQLILDLSYNKLTGQIPTGQAGLISSFQMYLNLSNNLLEGPLTLEFGNMEMIQALDLSRNRLSGGLPSSIAALKNLHFLDVSFNSLTGMIPQSLQGLPLQFANFSHNNFTGEVCSGGSFAKLTDDSFLGNPGLCGSIPGMAPCSRRKHGRFLYIAIGSGGAVAVGLLAMVCVVLDHYLMRSRSRLTAPSSQLSRFPTGLVNATGEKEIEHPRISYWELVDATDGFSEVNLIGKGGYGHVYRGVLHGETAIAVKVLHQDQGRAGEVVAGSFERECRVLRSIRHRNLIRVITACSTPEFKAVVLPFMPNGSLESLIHGPPSSGGGGKPARLDLDLLLSVASNVAEGMAYLHHHAPVKVVHCDLKPSNVLLDGDMTAVVSDFGISKLVMTDGARDPEVMGDASTSVCNSITRLLQGSVGYIAPEYGLGGRPSTQGDVYSFGVMLLEMISGKRPTDVISEEGHGLHDWAKKLFHLTYQFHSGMGRNDRYTPFHRSERNANESIPYRLKYRPFRPFRGVPGGI >Sspon.02G0004430-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:13329018:13346939:1 gene:Sspon.02G0004430-2B transcript:Sspon.02G0004430-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSDSNSATGGKTQNVSVPPVEGVAGGGTSYGWVDGGLRGTNLGAGVIDPTKIHSEDLLHVWSMPSTANVSQQEAPRPLEKINLLAARNERESFQIALRPKVSWATSGIAGSVQIQCTDLCSSSGDRETAAVWVSLNVPCGQPPGLYEGEIFITAVKTELDSRTESLPKSEKYRLYRELRSCLDLTGPRDYSSPEEMVQRLTSASTALRRVLDNPALQDCQENNGFGDMMDEDVMNNVSVRLKLSLTVWDFTLPVTPSLPAVFGISETVIEDRFCLEHGTEGWYSALDHHFRWLLQYRISPFFCRWGDSMRILAYTCPWPADHPKANEYYSDPRLAAYAVPYAPILSCTDAAKNSLRREVEILKSKPHWSKAYFYLWDEPLNVEQYDMICNISNELRSYAPDVRILTTYYCGPSGSELAPSTFEAFVKVPNVLRPHTQIFCTSEWVLGTREDLVKDIVAELRPDLGEEWWTYVCMGPSDPQPNWHIGMRGTQHRAVMWRVWKEGGTGFLYWGTNCYEKAMIPSAEICFRRGLPPGDGVLFYPGEVFSSSHEPVASTRLERILSGMQDIEYLKLYSSRYGREEGLALMEKTGMYLGPDRYTLDHGPVDVMRGEVYRTCRS >Sspon.08G0005210-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:15471408:15481191:-1 gene:Sspon.08G0005210-1A transcript:Sspon.08G0005210-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding WGWLALIRRVRKRWRKEWIREKGEIELTHGPLMGNFHPVNEIGDAILLSDVEGNVPEDFPEGVYIRNGPNPLNSTKTVADSVFGSTQYTYFEGHGMLHAVYFNKRSVEEWYISYMNKYVVSETFELESKKNEVTFIPAAEGQPYAMLVAFAFNMLRFGKPVKDSANTNIFEHAGRLFAIAENHLPYEININNLDTLGSYNINGDWDRPFTSHPKKVPGSGELVIMGVNPEKPHYVVGVISSDGQRLLHKVDLKFGESKFIHDIGVTSKYNIIMDYPLRFGISRTLLQKPIFDNDMNGKSRIGVMPRFGSADSVLWFDVDNHCSYHLFNCFEDGNEVVVRGCRTLGSVIPGAPHTVDKSKWYRRVFLPPDKNSEDFDPSLDGILFSRPYEWRLNLGSGIASEGYITSEDVAMDFPVINENFTGIINKYGYAQVVDSVATSKTVRVDAGLIKYKMIAKLHFDVHDKENKQFISVEYHALQEKQFCSGVQFVAKKNGIHEDDGWVITYVHDEGTNMSQVYIIDAKRFSDEPIAKITLPPKGSIRFPRKLFLQE >Sspon.04G0020800-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4A:72930250:72931327:-1 gene:Sspon.04G0020800-1A transcript:Sspon.04G0020800-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSVGHPTARRNKHGSHPILALAHSRIDAAHIQEAQNRSRKGYGVLQALDAAAPVMAVGLLLRLATSPGAGVGKQAGPALRGGQVVRPPGVYRARGQDHIEALKIKIIEPALQAGTRASSPLHRPHPPQRNLVLQALAAATLPVKMAAGRRLLLLLAASLGARPCKQVYLCVSDGGFMVHASTGHGRTRAAADCCCKAYGPYRTMATGLDGWTLAAWEQQPGNGHVQ >Sspon.02G0002840-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:9639677:9643615:1 gene:Sspon.02G0002840-1A transcript:Sspon.02G0002840-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSISSAVKRRLRLRPQCLPLASPATAPHRGCSSCGPREAVGSHDSLLLRLQSGPALTEVRRLHAALLVRGYRRSTVLAAQLVRAYARLRDGGFGHAVRVFDGMLTRNSFAWNAVIKGLVDAGRFSEALQWYWDMVRDGSVVADRFTYPPVLKACAALGVVELGRKVQENVEADIARGIAKSNVFVQCALVDMFAKCGCLCEARNVFESMEVRDLAAWTAMIGGTAHGGDWLEVMTLLNRMKSEGFRPDSIIFAAVIPACGKVKELRTGMALHGCAVKYGVGVKPNSTTLASILPSLSELRLLRNGLEHSEFLVSALIDFYGRQGSIREAEIVFEFTPKNDLVVLNSMIGGYVVNEDSESALRLLRALLKEGFKPDRVTVVSVLPLCNQHSKLLQACREHNRMDIAELVAERIFEQNPNDPGYHILLSNIYASAGMWSEIDLQASRQQGTGILIRSR >Sspon.03G0006200-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:32587608:32601303:1 gene:Sspon.03G0006200-2C transcript:Sspon.03G0006200-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:La-related protein 6A [Source:Projected from Arabidopsis thaliana (AT5G46250) UniProtKB/Swiss-Prot;Acc:Q94A38] MDGQAPPLLDAVVPEPLAVGDELHPPRPAEVEDALPSGMDGQAPPLDGVARDPLTVSDELQPTGEVVEEDALPVAPDIVKGEVVEEDALPVAPDIVKGEVVVEDALPIAPDIVNDASSEGPETCSGSVVLTDELRDRIVKQVEYYFSDENLPTDEFLLKYVKKNKKGFVPIETIASFRRMKKLVQDLSVIEAALRTSPKLVVSSDGKRVRRLHPLPQKELKDSKKSTVLVENLPPDFSMESIQEKFGTVGKVVNITINDPELVKESSTAKKPDFNLSSKVHVLVEYEAVEAAEKAVTVLSDESNWRTGMKVRLLSKQSVMGSGKYNKPSKENQDAVSKKIDQNLHSKEDQCITSEKISNADAVGSAKDKENLKFVFTTETEHHDQKSNSRGRKGRYKGQGQMQQNTNKQGSSGSESLNKPIPGPRMPDGTRGFTLGRGRSIPLQKSEKAEE >Sspon.07G0019420-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:71395410:71402353:-1 gene:Sspon.07G0019420-1A transcript:Sspon.07G0019420-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMRAAAALPRRSPRPPEPGSSSSRAPTPGAAFDPFDVDTDPPPRPELSPQQIGLCSDALAHFEGKGKRWDDLSNEYRSLSDVRHMKTISVAHYPVNREKNRYIDVLPFDDTRVQLKSSARPPNNDYINASFIKATEDNRVATFISTQGPLVRTFGDFWEMIYEYQCPAIVMLTQFDSIKCDEYLPRGSGRRTYGNYDIKVTKTRTDSHHLQLRDVKVQNNESGKVHSVLHLAYLDWPDHGVPTNTDAVRQIWKRLHHIPTEHPIVIHCSAGIGRTGAYITIHNTIERILLGDKSSYDLVETVKNFRSQRPGMVQTEL >Sspon.01G0016840-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:57834811:57839358:1 gene:Sspon.01G0016840-1A transcript:Sspon.01G0016840-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPNGLARIETNGKKKHDNGVCHDDSSAPVRAQTIDELHSLQRKRSAPTTPIKDGAASPFAAALSEEERHRQQLQSISASLASLTRETGPKVVKGDPARKGEAAAKGAPPTPQAHHQHHHPAAPTIAVSDSSLKFTHVLYNLSPAELYEQAIKYEKGSFITSTGALATLSGAKTGRSPRDKRVVKDEAAAQELWWGKGSPNIEMDEHTFLTNRERAVDYLNSLDKVFVNDQFLNWDPENRIKVRIISARAYHSLFMHNMCIRPTDEELESFGTPDFTIYNAGQFPCNRYTHYMTSSTSVDINLARREMVILGTQYAGEMKKGLFGVMHYLMPKRGILSLHSGCNMGKDGDVALFFGLSGNMDPKRIVVPSPAHVASDVLRLAYPSSCTGKTTLSTDHNRLLIGDDEHCWSDSGVSNIEGGCYAKCIDLSQEKEPDIWNAIKFGTVLENVVFDEHTREVDYADKSVTENTRAAYPIEYIPNAKIPCVGAHPKNVILLACDAFGVLPPVSKLNLAQTMYHFISGYTALVAGTEDGIKEPQATFSACFGAAFIMLHPTKYAAMLAEKMQKYGATGWLVNTGWSGGRYGVGKRIRLPYTRKIIDAIHSGELLTANYQKTEVFGLEIPTEIKGVPSEILDPINTWTDKAAYKETLLRLAGLFKKNFEVFASYKIGDDSSLTDEILAAGPNF >Sspon.06G0002310-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:5880366:5906265:1 gene:Sspon.06G0002310-3C transcript:Sspon.06G0002310-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQGSSPPPEIRSPDDRAAAEDAAEAEAEAEEEVFDDAFDIPHKNAPHDRLRRWRVRRLSSCETMGSATTICSDKTGTLTLNKMTVVEAYFAGTKLDPCDDVSQMSDSAASLIIEGIAQNTTGTVFLPEDGGAAEITGSPTEKAILSWGLKIGMDFHDVRTKSSVIHVFPFNSEKKRGAVAVQSDDGVHIHWKGAAEIVLSSCKSWLSVDGSVRSMSAEKHDEFKKSIEDMAANSLRCVAFAYCSFDIEKIPEEDIASWELPEDDLTLLGIIGIKVRMVTGDNIETAKAIALECGILDANSVISEPVVIEGKVFREMSESARGDAADKIIVMGRSSPNDKLLLVQALKRKGHVVAVTGDGTNDAPALHEADIGLSMGISGTEVAKESSDIIILDDDFTSVVKVVRWGRSVYANIQKFIQFQLTVNVAALVINVVAAVSSGDVPLNAVEALYQVAILLIFDFAGVRILRLQNESRSAAEKITNTFIFNTFVFCQILIIQFLGKFFKIVRLDWRLWLVSVAIGLVRSFNGHFRTEVAKESSDIIILDDDFTSVVKVVRWGRSVYANIQKFIQFQLTVNVAALVINVVAAVSSGDVPLNAVEIFNEFNARKPEEKNVFKGVTKNHLFMGIIGITTVFQILIIQFLGKFFKIVRLDWRLWLVSVAIGLVSWPLAYLGKFIPVPVRPFPDYFKPLCCRKSSRRDEEEGGQT >Sspon.03G0018980-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:78718091:78730647:1 gene:Sspon.03G0018980-2C transcript:Sspon.03G0018980-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDGMLECGLIAPDGEPWWYLDVVVGYKVRLEGMRGRDTRLLFCTTGVLLRRLLVDRNLKGVTHVIVDEIHERGMNEDFLLIVLKDLLPRRPELRLILMSATLNAELFSSYFGGAPMIHIPGFTYPVRSHFLEDILEITGHRLTPYNQIDDYGQEKSWKMQKQALRKRKSQIASVVEDAVEAADLRDYSSRTRDSLSCWNPDSIGFNLIENVLCHICQKERSGAILVFMTGWDDINALKEQLQANQLLGDPSKVLLLACHGSMASSEQKLIFDKPEPGVRKIVLATNLAETSITINDVVFVVDCGKAKETSYDALNNTPCLLPTWISKASVRQRRGRAGRVQPGECYHLYPRSVYDAFADYQLPELLRTPLQSLCLQIKSLRLGSISEFLSRALQSPESLSVQNAIEYLKVIGAFDQNEELTVLGKHLSMLPVEPKLGKMLIFGAIFNCLDPILTIVSGLSVRDPFLTPFDKKDLAESAKLQFSCRDYSDHLALVRAYEGWREAERDRAGYDYCWKNFLSVQTLKAIDSLRRQFLFLLKDTGLVDENMTLCNKWSRDENLVRAVICAGLYPGVSSVVNKEKSISLKTMEDGQVMLYSSSVNGKEAKIPFPWLVFNEKVKVNSVFLRDSTAISDSILLLFGGCIKQGGLDGHLKMLGGYIEFFMNRDLASTYLSLKSELENLIHCKLQNPRMDIQTSEELLSAIRLLVTEDPCSGRFVYGRQEPRSKKAKTMLLPSSMSGGGSNGGDNAKNQLQTFLTRAGHNNPTYKTKQVKSYLFRSTVEFNGMQFVGQPCANKKLAEKDAASEALNWLTGDGGGAITDSRGAQDADPMSLLMRPPRRRRHSHRRRS >Sspon.05G0016350-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:61350429:61351346:1 gene:Sspon.05G0016350-2C transcript:Sspon.05G0016350-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRRCPRQVDVALLAVALVFVACLATGPATAKQTNQVTVFWGRNKDEGSLREACDTGLYTTVIISFYSVFGHGRYWRGDLSGHQLSGVGADIKHCQSRGILVLLSIGGGGHDYSLPSSQSAADVADNLWNAHLGGRRRGVYRPFGDAAVDGIDFYIDNGGAADHYDELARRLARKGVRLTASPRCGCPDGRVDRALQTGLFERIHVRFYGDDKCSFKNGSTWGVVEEWNKWTARYPKTEVYLGLAAAESGVPDGAQGSIAVYLKYLYYLLLPEVQKAHNYGGVMVWDRYQDKKTGYSGVVKGWA >Sspon.01G0005120-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:13564201:13565124:-1 gene:Sspon.01G0005120-1A transcript:Sspon.01G0005120-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATTIDWHGSNAALPAALYGVADSKELVRALAPPMEQAAPTISFSYPCSGVEQQSAAGSFLGAGGGSGLLTLAQILQVQSRLQFLRRPAAAGGGALAAVATQQMKRQGHLGPPLHAAVDAKLHAICNGTLPQSQSNATAATATTTTTPSSFSSASPHVKSEPGCSGSESSFSADGDVSSTGSSDVVPEMQLLDFSEAPWDESESFHLRKYPSLEIDWDSILIS >Sspon.05G0003670-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:17740872:17747056:-1 gene:Sspon.05G0003670-2B transcript:Sspon.05G0003670-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPAPATPRWNLERPYLTGRFHQEAKAAAAAQGPGSKSFSLDSFSRGAGAGAGTGSVIGSYAVSVQELLVIDDLLSALVGIEGRYISIKRVRGKEGYVVFQIDSSMDLALQELTRRIFPLCEDYVLVSQFVESRSHFKNGLVNHALAAALRAFLLDYQAMVAQLEHQFRLGRLSVQGLWFFCQRMMSSLNALAVLVEKATSNNTNGSATLNLLQSQAKAMGGDSAVRSLLEKMTEYASGAYLRMLERWVYEGVIDDPYGEFFIAENKSLQKESLTQDYDAKYWQQRYSLKEGIPSFLTNVAAMILTTGKYLNVMRECGHNVQVSLSDNSKLMSFGSNHQYLECIKSAYDFASGELLTLMKDKYDLIGKLRSLKRYLLLDQGDFLVHFMDIAREELTKKPEEISAEKLQSLLDIALRSTAAASDPSHEELICCVERSSLLKKLATLKDLDCAYPADKLAAADVDQSMQLSITGLETFCLSNKVQWPLSLVISRKALTKYQLIFRLLFHCKHVSRQLCAAWQIQQVFRSVKILGTPILRSSILCRSMLKFVNSLLHYLTFEVLEPNWHLMHDRLQTARSIDEVIQIHDFFLQKCLKECLLLSPELLYATSIQLLMPSIDVTNSENTSKSVKSRSRINKSQDRDQQLKLASENVVMSESILKFEAAFNSELQSLAPTLSNSSHAEPYLTHLAQCILGVRIDQ >Sspon.07G0008450-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7A:23094002:23099971:-1 gene:Sspon.07G0008450-1A transcript:Sspon.07G0008450-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RKIADKVASSGYFVVVPDFFHGDPYVPENGKPIPEWIKSHTQEKGFEEAKPVIAALKEKGVSAVGAAGYCWGAKVVVELAKAHEIQAAVLLHPSFVTVNDIKEVKCPISILGAEIDKMSPPELVKQFEQVLSVNSGVGHFVKIFPGVAHGWSVRYSLDDAAAVKSAEEAFADMLDCEVREVVKQPPLVKRFNRQPVLWSIAKRRCRITQKEKGVITGGQTLAAMMEKGAEEEGPPAVRPAPDLRQRPGWSQASEPTARSWAPPRA >Sspon.06G0005090-2P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6C:16172148:16172609:1 gene:Sspon.06G0005090-2P transcript:Sspon.06G0005090-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLPFFVAFSAILLVGRYLPFALPLNARAVLAGNNDVTPATRAAKCAVSVAFAGLVLLVSSSSMQCSGGQQGQQCCPAEVAMEGRALWFNSAALFLGMVLGGAAVALPPHARQRRPIVDVAVEHLTRFTETIVITAFAHDVCIFFKVVEMIQK >Sspon.05G0024630-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:16988164:16996914:1 gene:Sspon.05G0024630-1T transcript:Sspon.05G0024630-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rnase III family protein, Shoot apical meristem (SAM) formation and maintenanc [Source: Projected from Oryza sativa (Os04g0509300)] MLPLEASAMGEGEDEAESSSSGAAAGERKDPRTIARKYQLDLCKRAVEENIVVYLGTGCGKTHIAVLLMYELGHLIRKPSREVCVFLAPTIPLVRQQAMVIADSTNFKVQRYYGSGKNSRDHQAWEKEMGEYEEFYNNADKHPRVFGMTASPIIGKGGSNKLTYTKCINSLEELLNAKVCSVDNVELESVIASPKIEVYFYGPVGHSNLTTAYIKELDGYKSQSECMLRESACNFKESQKKLKSLWRLHENLIFCLQEVGLFGALQAARTFLSPNSVSLDGKGVDINDYVNKATSLLSRDILEGADADSFDLETIEEPFFSKKFAVLIDVLSRYRLEENMKCIVFVKRIIVARVVAHILQNLKCLDFWKCEFLVGCHSGLRNMSRDKIGSIIEKFSSGEVNLLVATSVDLEALHLIFTRGNQSQEKLIDDYITGESIMDKEINSRTSNDMFDCLEENIYRVNDTGASISTACSVSLLHRYCDNLPRDMFFVPSPSFFFVDDIDGIVCRLILPPNAAFRQVNGQPCPSKDEAKRDACLKACIKLHELGALTDFLLPGQGSRKVKVSTTNISESNKDEDESFREELHEMLIPAVLRPSRCKLDCSLKLHFYYIEFIPVPADRRYQMFGL >Sspon.08G0001820-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:3911552:3915561:1 gene:Sspon.08G0001820-3C transcript:Sspon.08G0001820-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEVTGSGGGIGRKMAAGEVELKEKSGTAWSHSYLNQKPWHPLSYPNQRRKWIAEQVHANRARRQEEVQREFAQEQEFFRQTALFSKKDKEKMEVMKAVSFMYMRPPGYNAESAKAAEIEDEKKRPDKGPEKTQSGADKKNRPKDVFGRPLATEQEFEVLKNAPRLDTGAPARIKPFGVEVRNVRCLRCGNYGHQSGDRECPLKDVIMPNEESRLKRDDPLTTIMAQTDSSEPLKWELKQKPGMSPPRGGFDPDDPNQQIVAEDIFDEYGGFLNCDIPALISNFSASKSKNRSKKKSKHRQAKSAIHEDSGRHESSYHLSSDSENEKRNKTSRSKRKKTNCSDSSYSDSEIDARKGKSKSKHRHKKKYQLESSSDSEFEVREDTRRHLKREHVKEKRYESPLSFSKDQGDTESKRHSRRSREKCHCSYSSSSSERGRHSLQHKEKQYYSDSSSDQSHRHSRRSREKRHDRESSSPDAFRRSRRSMEKQPHTDPSPHHTYRHSKRSSGKQGYTERSHYETSRHSRRSREKRHYPDSSASDHSDSDRHNKHCHHHRRK >Sspon.08G0029840-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8D:51119462:51119779:-1 gene:Sspon.08G0029840-1D transcript:Sspon.08G0029840-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSSPPFCARASRLHGAVRPSDYPNPPLPPPSCAAATPTCYHACHPTRHLRLPWRGGILCMRYAASRIMDCSCRRTQSPAPRPPPPSSMLVLGECSDASVLRHA >Sspon.07G0010720-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:38064521:38066800:-1 gene:Sspon.07G0010720-3C transcript:Sspon.07G0010720-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHFLATLLPTAFILSFIALFPRVASRDTLSLGSSLRVESYETSILQSSDGTFSSGFYEVYTNAFTFSIWYSKAANKTIVWSANPDRPVHARRSVITLHKDGNMVLTDYDGAVMWQADGNFTDVQHARLLNTGNLIIEDSRGNTVWQSFDSPTDTFLPTQLITATTQLVPTTQSHSPGNYIFRFSDLSVLSLIYDAPEVSDIYWPDPDQNLYQDGRNQYNSTRLGILSHSGVLASSDFADGQPLVASDAGPDIKRRLTLDPDGNLRLYSLNDSDGSWSVSMVAMSQPCNIHGLCGPNGICHYSPKPTCSCPPGYVMRNPGNWTEGCMAIVNITCDDYDKKSMKFVKLRNTDFWGSDQQHLLSVSLQTCRNICISDCTCKGFQYQEGTGSCYPKAYLFSGRTYPTSDVRTIYLKLPTRVNVSNVLIPHSNVFDSVPHRLDCDQMNKSIREPFPNVHKTSGGESKWFYFYGFIAAFFIVEVSFISFAWFFVLRRELRPSELWASEEGYKVMTSNFRRYSYRELVKATRKFKVELGRGASGTVYKGVLEDDRHVAVKRLENVREGKEVFQAELSVIGRINHMNLVRIWGFCSEGSHRLLVSEFVENGSLANILFNEKSNILLDWKGRFNIALGVAKGLAYLHHECLEWVIHCDVKPENILLDQTFQPKITDFGLAKLLNRGGSTQNVSHVRGTLGYIAPEWVSSLPITAKVDVFSYGVVLLELLTGTRVSELVEGPGEVQNMLRKLVRKVSAKLEGEGQSWID >Sspon.07G0022440-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:8112730:8113140:-1 gene:Sspon.07G0022440-2D transcript:Sspon.07G0022440-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEELDEFEVLWPETCHTHEPPLPPPSPPVPVQAAQPSDAPAARSRPVDVPGPKAAHSWRWNGLGPRDGSGDHGDDGGNGSAIVPPHLLLSAGWRRSEEAEPAAWTLRASVGPPCKRARDLRHLRDSVLRMTGFIEG >Sspon.03G0034160-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:68657147:68664874:-1 gene:Sspon.03G0034160-1B transcript:Sspon.03G0034160-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein GFS12 [Source:Projected from Arabidopsis thaliana (AT5G18525) UniProtKB/Swiss-Prot;Acc:F4JY12] MGCSPEGAAAACPDCLGRLVLRDLAGSGLSFVHGLSDSPLPLAASAVVQVASDGDEESNGRQHISSFVLVGLCGGKVPFNSQQCDTSSLENGIHKDPQAEETIYTGDNQYTEQSDSSSCIECIEDRKSCSGPCYLTSSTEEYVINSLNLLSENKISGSAGLDFLSFVGFSAFDDLHSSGCVRHPNILPVLGVLETSDCFYMLQPKAPYTLENIMHYSPEAFCSDWHIRFLVYQIISALAYLHDLGVHHGNLRPSKILMSDSLWPYLSISDTCPVKHSWGFADRKCSPNSCCFMEDCSSKAIYTGFKLQSSLDWHSHFKQWWKGELTNYEYLLVLNKLAGRRWGDPAFHTVMPWVIDFTAMPDESSDNGWRDLTKSKWRLAKGDEQLDFTYSSSEVPHHVSDECLSELAVCSYKARRLPKTILRSAVRSVYEPNEYPSSMQRLYQWTPDECIPEFYSDPRIFTSLHSEMSDLALPSWATSAEDFICLHRDALESDRVSQQLHHWIDITFGYKLSGEASVEAKNVILPSSDPSRPKSIGRHQLFTRPHPKRLVSTPHAVYHNKMDSCARCCGKRSSATTDAVLNGCGSPNMLSEVGCLEEFEKATLFAELEYQLNPVYDYADADADPSICFSSDKYPKNQNADQILQHDNALPAAPNFDLGSFLECFESDDNSHMGYQELLLWYQKSNSENEHHANDVFSIGCILAEIYVQKPLFDNALLSAYKEIGIMPGALQGLPSHVALLVESCIQREWKRRPSAKHLLESSYFPPSVRSAYMFLAPLQVLCTSRERIKYAAKLASEGALKAMGEFAAEMCAPYCLSLVSSSLSDVDTESALSLLKEFLRSLTIQATKDLAPEYSHLKVALLQDSFIRDLWKKLGKQTYIEKIHPLVISNLCSSPNKIIASAASTVLIVSSGLLGENFVVKQILPLLWNVIFSCIDSSKMSKPEPQHSWNSFALIDSLSALEGLVSVLPVKTVIKELLQDQACLYIKVLMQIPLDLHVIQVAATALIDLCQRIGPENTFIYVLPQLKELFVELAFSHDSSGLNHPTKGIKSSDGNKSEPIKVESRIDLLLLLYPFLASLVGIEKLRESCSTWFLLEQALQRLYNWKWEPSGDCSKNAENMKGQRFQPGNYMSSEFVPTKLLFNGVGWSMPQSETTKKIGRNTAASNLENETSSDNLFTSSSGNQPWFWFPTPDSSWGVPEFLGRGGGMRDELPWKIKASILYSARAHPGALRSLAVHDDECTVFTGGVGPGFKGSIQRWELPNMSCTSGYYGHEEVVNSICILSITGRVASCDGTIHIWNGQTGKLIAAHAESSTTFPLQTPSIEQANMLNQDALSGGILSNAFRGSLYTTMHYMESEGILVAGMGNGSIRY >Sspon.07G0000390-3D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7D:557355:559929:1 gene:Sspon.07G0000390-3D transcript:Sspon.07G0000390-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRWYANVKEVGGGDTTVVLAHGYGANQTLWDKLLPVLSEHHRVILFDWDFTGRGGADEEEEAEAEAGRYTFGRFADDLIALMDDKGARGAVVVGHSMSAMAACIASVRRPDLFAHLVLLCASPRYIDSPEEGYVGGFDRASIDGMLGAMSSDFGAWVKGFVPNAAGGDPSASPALEQSFLSMHPGVALEVARMIFLGDQRGALDAVAVPCTVVQVAADFAASPAVAEYMQRRMEKAAEVEVVVIDSVGHFPQLVAPQQLLAVLQRVLQRTGGEV >Sspon.08G0022650-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:50485330:50499198:1 gene:Sspon.08G0022650-1B transcript:Sspon.08G0022650-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGRLAPQLVVQLLELHLQQLEVLGQDLVLLCLFHSQTEDLIKNSFDNSRRSVFWREDTIMTSKGREKTGFPQNLDTKHPLNLESKRRNARKLVGGKSGKSCRSYNEKLLRIGVPQKDGFKAFVNVSHPYFFCKDNATLPSTTKQVTGYVIDVFETAMEKLQHPHAMTSVSSMNLDGAAGDVTITANRIGQVEFTVHTHSLSDLEPIQWTFLAPLTKELWFATVGFFFFTGFVVWVIERPINPEYQGSSLRQFSNALYFIFSTLTFSHGQWFGLNFFIRIEEKWFGTAATLPAVSNTPSTPLTLQSFSGLFLTSGFISSLMLLIRIMRLVHARWTELRHGDADCMDNTPGDEECRKLQDGASNIPMLDHPYGLTPHPEASNGDHQQVSSGSGDDKEPCILDPEPSLNPESKSTNARKLLVERNLGKVCSDNTTKPLRIGVPLKHGFENFVKVSDPCSYCNDTNICSSTKKQNITGYSIDVFEDAMKELQDTPRYEYCIFDGSYDDLVGNVSSGNLDGAAGDVTITSDRINTVDFTMPYTQSGVALLVRRDRSDPIQWRFLTPLSKELWFATVGFFCFTGFVIWMIERPKNPEYQGSAMGQFSTAAYFAFSTLTFSHGQNVRSPLSRFAVVIWCFLVLVLVQSYTASLSSLLTADRLQPSVKDLNQLLKAGDSVGYQKGSFVLSLLRHRNFPAENLTAYSSADEYAKALRNGSKNGGVSAIVDEVPYLKAFLSDSRYEEEFEIQDQIFRTPGFGFVFNSCHFRLVNNLSSAILGITGGEEISTIEKEWLGTSTAAAAADASLTITKADYTPLTLRNFSGLFLWTCSSLMLLISIAKLAYARLTGAEDADAVQTAGSTNPGDQQYHPLENTTDNISVLIDHPHPEATNGDHQGGHGSDCSVPEGLLNEAISHERGHSANAVHDGSAPEQSLMMIEMNIV >Sspon.06G0023550-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:45917460:45919568:1 gene:Sspon.06G0023550-1B transcript:Sspon.06G0023550-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMGDSFEYYWETQQYLESEELSIYLGTQDDALSCYDSSSPDGSISNSSWAPAAGTVTTAAAGKAEEGPGGAGGGAAAAAAAAANKNILMERDRRRKLNDKLYALRSVVPNITKMDKASIIKDAIEYIEQLQAEERRMLQEVRALEAGAAEERCDYDYDEGALPQAAERGKKRMKRTQSVPSSSVPAPVEVLELRVSEVGDRVLVVNVTCGKGRDAMARVCRAVEELRLRVITANITSVAGCLMHTIFVEQVENDGHVHVLRNRKSRPRCRREPAKCDELLERDNHAESLT >Sspon.08G0026700-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8C:31453690:31458867:1 gene:Sspon.08G0026700-1C transcript:Sspon.08G0026700-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MWVRVTRRPSPAAARPAAAPTPSAAAALATGAGAAGAGAGAPGAAAGAAAGNEDAPGAGADGAVLQGAAVLPGAGAGDQAAAAATARAIAAELGMPAPPAADAAMAAAQGASTFPAPPPPPLSHLYAGRPDSALAAALVAARAAAAEGQARVRAAALAWERERDVADALARQIADVEQRLVLPTYPDVGATSSGSTGHRTSTTAIIWHDPANPLVAQLHYQARGVQNIRLLVPVVLEPESPSYTHWRDLVLLTLRRYALDDHVLVDASVAVQTPSWLRLDSIVLSWIIGTISLDLHDLVGNTADARQAWLALEGQFLGNAEARALRLDASFRTFVQGDLAVGEFCCKMKVMADSLGDLGWPVEDRILVLNVLRGLSERYSHLRTWITRQRPFPTFLQVRDDLVMEELTQGVQPGSLPVAGPSSSSTALATTPPPASSLLGPPPSGPSGGGGGRGGCRRRGGRGGAGRGTTPPPPRAPSALGGAPGAPGGAHRPPAATMYTGAPVAPLSSPWATPPSAQPGPVGWDPTALARSFNTMTLAPPTDPAWIADSGATYHTTSDPGILSSVHPPSSHPSSIMVANGSCLLVTSVGVAHPHGPFRLPDVLVTPSMLPLHTTLSSVPLLATTTSESSDVRATLTLLPPPPTSSLLARLSVFLGYSPDHKGYRCFDLASRRVLISRHVVFDESVFPYTTSPPPTTSELDLFSFPTDTVVQPPLVFPEGTTPPGPGPTPCTGPAAPASGAAPAPAPCAGPEPSTSARFVQPMRVYQRLERPAPLHPEPVPSAPAARFAQPVRVYQRRERPATLHPESPPAAQGAPSVYHPRHVHPMVTRQAAGTLRPRALATMPGGEPHVSPVPSSVHDALSDPHWRHAMEEEYAALLANQTWDLVPRPPGSNVVTGKWIWTHKRRANGTLERYKARWVLRGFTQRPGVDYDETFSPVVKSATVRTVLSLALSRSWPVHQLDVKNAFLHGTLTETVYYSQPAGFVDFSRPDMVCRLNKSLYGLKQAPRAWYSRFATYLMTLGFVEAKSDTSLFIYHQGAETAYLLLYVDDIVLTASSPQLLRRIITSLQQEFAMKDLGVLHHFLGVTVEPRTTGLLLHQRQYTIDILKHAGMTDCKPCSTPVDTQAKLSESEGNSVTDPTAYQSLAGALQYLTFTRPDITYAVQQICLHMHDPREPHLTALKRLLRYLRRTVDYGLHLHRSPSTDLVVYTDADWAGCLDTRRSTSGYAVFLGGNLVSWSSKRQPVVSRSSAEAEYRAVANGVAEASWLRQLLAELHSPLSRSTLVHYDNVSAVYLSTNPVQHQRTKHVEIDLHFVRDRVTIGDVRVLHVPTTSQFADIFTKGLPSSTFTEFRSSLNITSG >Sspon.08G0007140-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:22281228:22282955:1 gene:Sspon.08G0007140-1A transcript:Sspon.08G0007140-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAAALFPGALLQQWRLPATLVVAVAFSLVCILLLSRSRTRRSRRRSALNLPPGPQTLPLLGNLHQIGPLPHRSLWALARQHGPVMLLRLGSVPTVVVSSPEEAREVMKTHDVHCCSRPAMSGPRRLTYEYKDVAFAPYGDHVRDMRKLFILELLSMRRVQAAWDARVAQAGKLVLNLTRAGANPVALNEHIFSAVDGIIGTVVFGEIYGTEHFNLQFLNILSDVMDMLGSFSAEDFFPSTAGRFIDRLTGVTARRDRIFQRLDAFLEEVIDGHLNTAHNEQLGDGKRRSDLVQALIGLFKDNIGSTVPFTRDHVKAMLFDTFVGGIDTTSVTMVWAMAEMIQHPSVLKSVQDEIRAVVGGKEMASPDDVSKLKLLKMVVKETLRMHPPLTLLLPRETIQQVNIKGYDVPANTRIIVNVWAIGRDPDIWKDPEEFNPERFMGSDVDFNGADFEFLPFGSGRRICPGMAMAVTNMEFILANLLHCFDWELPVGARKEDMSMQEAGSLAFHKKTPLLLLPR >Sspon.01G0051030-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:894752:898729:1 gene:Sspon.01G0051030-2D transcript:Sspon.01G0051030-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAAAAATSTSTSTAAALLAPGLKLCAGRARVSCLPLHRVAAMASAPNSSFRPEEARSPPALELPTPPLSKVTPFRFEFLRAWLLAQFKVALCQLSVTADKSRNIAHARAAIEKAASDGAKLVLLPEIWNGPYSNDSFPKYAEDIEAGGDAAPSFSMMSEVARSLQITLVGGSIAERSGNNLYNTCCVFGSDGQLKGKHRKIHLFDIDIPGKITFKESKTLTAGQSPTVVDTDVGRIGIGICYDIRFQELAMLYAARGAHLLCYPGAFNMTTGPLHWELLQRARAADNQLFVATCGPARDTSAGYVAWGHSTLVGPFGEVIATTEHEEVTVIADIDYSLIEQRRQFLPLQHQRRGDLYQLVDVQRLGSQ >Sspon.04G0010290-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:30083040:30085733:-1 gene:Sspon.04G0010290-3C transcript:Sspon.04G0010290-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ACR3 [Source:Projected from Arabidopsis thaliana (AT1G76990) UniProtKB/TrEMBL;Acc:A0A178W888] MCSCLVKKYAKLRLKEESSESGRIDSPTPKMLPYFDPDYENFNQRINPPRVCIDNTTCTDCTLVKVDSMNKNGILLEVLQVLSDLDLHIFKAYITSDGGWFMDVFHVVDKQGQKITDDKTIKYIEEALGPESNLLRPKGSHSHGRSVGLHSIGDHTAIELKGPDRTGLLSEIFAVLADLQCNVLAAEVWTHRMRVACVVYVNDVATGQAIDDPCRVSTIENRLRHVLRGYGGGGGNDDGSGAHANFTDASSTPHHLDRRLHQLMHADVDAVHGDGNDGAGAGAPVAAGSEGDRPEVTVEHCEEKSYSVVNVKCKDRSKLLFDIVCTLTDMEYVVFHAAVSSEANYGIQELYIRRKDGKTLLKDEAEKVVRCLEAAISRRVSEGFTLEVCGRDRVGLLSDVTRVLREHGLTVSRADVTTVGGQATNVFYVRDTSGQPVDMKTVEGLRGQFGQTAMLNVKNVSVPAAVARATEPRSGGMVRSSFFSFGSLFAKLRA >Sspon.03G0045970-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3D:31013827:31017407:-1 gene:Sspon.03G0045970-1D transcript:Sspon.03G0045970-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding WIFLLGGVTGLVLLWQAGRLLHQLWWQPRRLERALRAQGIRGTSYQFPAGDLKEYGRLAKEAWSKPLPLRCHDIAQRVTPFVHRLVQEHGKTSMSWFGPSPKV >Sspon.03G0023950-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3A:73135636:73136821:1 gene:Sspon.03G0023950-1A transcript:Sspon.03G0023950-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKHEFLSPKAIANRIKAKGLQKLRWYCQMCQKQCRDENGFKCHCMSESHQRQMQVFGMAPDRIVEGFSEEFLETFLSLIRRAHNHSRVAATVVYNEYIADRHHVHMNSTRWATLTDFVKFLGCEGYCKVEDTPKGWFMTYIDRDSEQAVKDRLKRKGSNRACAAKPNDGDVAEGESESGSEEEYSGSDDDGEEQEDDSKEANKATGKIAIALQKAVLGPKVNPFEDKTKVKFGFDEEDDSSIREEKDEVATKKGKDVKAAEARRSALDELMKEEEKAKERSNKKDYWLCRGIVVKVMSKSLAEKGYYKQKGVVKKVIDKYVGEIEMLESKHVLRVDQDELETVIPQIGGLVRIVNGAYRGSNARLLSVDTQKFCAKV >Sspon.04G0018200-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:69102116:69104856:1 gene:Sspon.04G0018200-2B transcript:Sspon.04G0018200-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AMDAAERRLARVAAHLVPSSSSFPVTHATAVPPLAPSSTAASSSSSSPAADSYGRVHGDVPSEPPEWRAATDESGKEFVDIVYEKAVGEGIAQITINRPNRRNAFRPLTVKELMRAFNDARDDSSIGVIILTGQGTEAFCSSGDQALRDSDGYVDFDSFGRLNVLDLQVQIRRLPKPVIAQVAGYAVGGGHVLHMVCDITIAADNAIFEQTGPQVGSFDAGYGSSIMSRQVGPKRAREMWFLSRFYTADEADKMGLVNIVVQLAQLEQETVKWCRQILRNSPMAIRVLKSALNAADDGHAGLQELGGNATLIFYGTEEAKEGKNAYMERRRPDFSKFPRKP >Sspon.01G0017870-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:68535151:68539268:-1 gene:Sspon.01G0017870-2C transcript:Sspon.01G0017870-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQMQSRSKEQEVHEVARKSNEQTVLKQDTVDDEDSGDTTSSAGESKYPGWPGTSVFRMLIPAQKVGAIIGHKGERVRRLCEETRACVRIIGGHLCAAEQAVIIFGREQPDEPLPPAVDALLRVYQQTINDDSLDVGSDSVIVRRILAPSEQAASLIGEHGVMINSIMEASQTDIRVLDGDLPPVALEEDRVVEIWGLPARVHKALELVASHLRKYLVDRSVIPLFDCHVPMPILHMDMPPCHYIDYPEGPVHPVSPGYHSVSAEDLQHEQWIDTVYLRGRHPMGNLRHADSFEYRWEAPTPFRRYRSVTPPNHAITAYGPEASSPMEAYLSAPMELHSHHNLQNGWHSSPVNSTDSVERIRSLISVYGQQARLQKQTCQSAKLGKHPQFRISLHGSEAHPTRVSPRDSTEQPPSPSISACGREASPCFRMHPPTTVENLLNCRVSACGPEAQLPLHPAPRSSTSRSTAVASQVKKKMQVPIFYAEAVIGPAGERIEYIRRTSRSSILITNSEGAMSIEITGSAATDVLTAEQLIKNFMAEAAAASPGHSFDFIPSYLPAPRSAQADVLASPGTSEVSSLPERRLQMIY >Sspon.04G0029210-3D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4D:77085330:77085857:-1 gene:Sspon.04G0029210-3D transcript:Sspon.04G0029210-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSGSGSGSGCSGSLSAATAALAAAAGTEEELRALMEQRRAKRMLSNRESARRSRMRKQRHLDELTAQAAHLRRENAHVATALGLTTQGLLAVDAENAVLRTQAAELAARLGSLNDILACMNTNAAAAVGAVAVSVSLTAAAASSSDPYLAFDGATALDDLLRSCPENMYQFC >Sspon.07G0014360-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:51975528:51979646:1 gene:Sspon.07G0014360-1A transcript:Sspon.07G0014360-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVDYYKVLGVGRGATDDELKKAYRRLAMKYHPDKNPSPQADTLFKQVSEAYDVLSDPQKRAIYDQYGEEGLKAGAPPPSASASTHGPGAGLHGFRFNTRSAEEIFSEIFGGGFAGAGPRTPGVGVPPGFPMFGGAAGPGEASSAPVQRKAPPIERPMACTLEELYKGATKKVKISRDVLDVAGKPTNHEEILTIDIKPGWKKGTKITFLGKGNEARNVTPSDLIFIIEERAHPRFKRAGNDLIYTHTISLVEALTGCIVQVTTLDGRTLTIPVKSVVSPTHEEVVQGEGMPITREPSRKGNLRIKFQIKFPTSLTGNQKAAIQQLLS >Sspon.01G0038630-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:16208015:16210584:-1 gene:Sspon.01G0038630-1P transcript:Sspon.01G0038630-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEKKKQQSISIVCKRWPQKTSSLFAAIDDVPSSSAPTPARQYVAEFDPSQTLTPAVAPVVIVPLPNSGIFGRRKPSPLLAVQSAAAAGLHAFDLDTATSTTAAADPSSSTHYGLTRRNAADASDRGTDEFRDMPVEGFGAAILAGYGLIVTKGEDTKVAHRGARHGLGYNPSEPDKDCKRRSGGKRSRAEEDRDARRKRTCGGKRSRTEAWEELIRDSRGSSKMRWLQSHIRIRVASEKLGKRLYLTKGKVVDVVSPTTCDVVMDDGLRLVQGVEQDMLETVLPRTNGLVLVLYGKHRGVRGHLVEKNAEEEVGLVEDLDTKGMIRVGYDQMAEFTGDLDGGFAIQNFTLVNSLVKILPCDHQPNDSDKSIYPASTASAEVLVACKYGER >Sspon.01G0029440-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:91938926:91941478:1 gene:Sspon.01G0029440-1P transcript:Sspon.01G0029440-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ATAVYKVSSYAKDHNVPVIADGGISNSGHIVKALSLGASTVMMGSFLAGSHEAPGTYEYKDGRRVKKYRGMGSLEAMTKGSDARYLGDTLKLKVAQGVVGAVADKGSVLRFIPYTMQAVKQGFQDLGADSLQSAHDLLRSETLRLEVRTGAAQVEGGIHGLVSYEKKAF >Sspon.01G0037870-3P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:21410144:21413925:1 gene:Sspon.01G0037870-3P transcript:Sspon.01G0037870-3P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPDAGGSSSAPPPPSATIGAPETKISPFRAGAETKEEERGLKQVMVVLVGPPGSGKSTFAEAVVGGSTSGRHWVRVCQDTIGNGKAGTKIQCLKAASDALKEGKSVLIDRCNLEREQRADFVKLGSTLHADVHAVSLDLPAKVCISRAVSRKGHDGNLQGGKAALVVNRMLQKKETPLLTEGFSRIMCCNDDGDIKKAVDLYNGLGPSDSLPSGVFGQKSKRPVQVGIMKFLKKADASSVETSSGPKLALTEIKPVQQNPLPKQENVEAGFACPMEVEKGLNDKMENEEHAKESDYCDVGSRTLAFPSISTADSNLSEKSRILSLVKQKAAKKSIDSNRFFTYVGDITQLHTRGGLQCSVIGNAANWRLKPGGGGVNAAIYNAAGESLQHATKKCADALRPGTSVVVPLPSTSPLRQREGVTHVIHVLGPNMNPMRPDYLKNDYTRGSKILREAYNSLFENFASIVQSYMGNQNSESGAEKSASGGTSPNDTKMKREDSHGSERMKKHKLFQPIMTAKQQHECTKVNAPNCHDDAMTSSVVPSQTRQVDNKRNDVVTSKTWGSWAQSLYELAMNPEKYKNSDSILETSDEYIVLKDLYPKAKRHILVISRMDGLDSLADVKKEHLPLLRRMHSAGVKWAQKFLEEDAALEFRLGYHSVPSMRQLHLHIISQDFNSASLKNKKHWNSFTTSFFRDSVDVIEEIEQNGSTTTSSDEKVLAMELRCHRCRSAHPNIPKLKSHIAICKSSFPSHLLQKNRLLSSTMHMDRT >Sspon.03G0014350-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:64780992:64786637:-1 gene:Sspon.03G0014350-3C transcript:Sspon.03G0014350-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLWRTVRSMDVIMHSSSFLLPKLHQPVNKPVKNYALVVLNQQLPRFMPRLWDHANLRICADGGANHIFDEMYQMTNDEDKKSIRNKYVPEIIEGDMDSIRPEVKLFYSSQGSKISDKSHNQETTDLHKCISRIHHCTPDHEKPNLCVLVTGALGGRFDHEAANINVLYLFSDMRIVLLSDDCLIRLLPRTHRHELYIESSVEGPHCGLFPVGAPSTSTTTTGLKWNLSESKMRFGSMISTSNIVQSEKVTVESDADLLWTISLRNLT >Sspon.02G0032830-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:912031:920117:1 gene:Sspon.02G0032830-1B transcript:Sspon.02G0032830-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSQDRGPFRPPDWVPQPPHLLRDHHYHNEHRYQPHSHPHRDRHYSTEHRYQPRGQHQRDCHVQPSPPPSQFEVLLVRPGPDLSGPTAIEVEALVADLKSPTPVSISVHSSGRHAARLVFTSISDAAAAARELWELRLEGLHLLTLDLPHPALAAHASPHFASLFADHASRLLNSDLVALSAARSAELAASIRDVKQRLGSRNRFRDFHQLHLEKKTLESEKELIDAKIAEYKEAMWSIQRAMLRGSGDKEEGVDLFGAVEGADVDFVRVTMGHWNLLCDFNFKGIAGGGSIICTQPRKLAAISLAHRVDEENEAHERSLNTDLLLAMIKKMLLVRLDLRLIIMSATADADRLAEYFYGCQTFHVKGRTFPVEIKYVPDISAEASLNSVPSISSVASATASYVTDVVQMVNIIHKNEEEGAILAFLTSQLEVEWACETFSDPNAVVLPMHGKLSSVEQNLVFKSYPGKRKIIFCTNIAETSLTIREVKYVVDCGLAKEYRFVPSSGLNVLKVNWISQSSANQRAGRAGRTGAGKCYRLYPESDFGMMEAHQEPEIRKVHLGTAVLRILALGVTDVKYFEFIDAPDPEAINMAVHNLEQLGAIEYKCSGFELTETGRDLVKLGIEPRLGKIMLDCFSFGLMKEGLVLASVMANASSIFCRVGTNEEKYKADRLKVPFCHPDGDLFTSLAVYKKWEAGHDNKNMWCWQNSINAKTLRRCQETISELEKCLKHELNIIVPSYWSWNPEKPTMHDTSLKKIILSSLRGNLAMFSGHEKFGYQVISADQPVQLHPSCSLLTYGSKPEWVVFSEILSVPNQYLVCVTAVDRNDVCTVHPFIKPLEESKLQRKVITGIGNKSLRRFCGKCGQNLQKIISLLREDCRDDRIMVDLDFSSSEVLLFAKEHDMEMVFCMVNDALELEAKMLRDECDERRSGGSTIALFGSGAEIKHLELGKRHLTVEIQHQNARDIDEKELIGLVYSHVPGIANFHRLGNFQTNADETKWGRFTFLKPDYADHAISKLNGIEFHGSSLKVGPVSAYNHSGLPFPAVRAKVSWPRKPSRGLALVTCASGEAEFIVKDCFALGVGGRYVNCEVSKKYANCVFVTGIPLHVTEPELYDAFRSTTTRRILDIHLLRGPPTASSSDSECAEALMRAISLFMPNRNFPGQNFRVHVFPPEEKDSMMRATISFDGSFHREAARALDHLQGSVLPCCLPWQIIQCQHVFHSTVSCPMRIYNVISQEVGVLLESFRSQKGVSYNLEKNENGNFRVKLTANATKTIADLRRPLELLMKGKIINHPDLMLSTVQLLWSRDGMEHLKSVEQETGTYILYDRFSLNIKVFGSSDKVAAAEEKLVRALVQLHEKKPLEVCLRGRNLPPNLMKEVIKKFGADLEGLKNEVPAVDLQLNTRRQALYVRGSKEDKQRVEEMISELIASSDHNAPLPSKNACPICLCDLEDPFKLESCGHMFCLACLVDQCESAIKSQDGFPLCCLKNGCKKLLLLTDLRSLLPDKLDELFRASLNAFVASSAGLYRFCPTPDCTSIYQVAAAGAEDKPFVCGACSVETCTKCHVEYHPFISCEAYKEYKADPDARTLLEWRKGKENVKNCPSCGYTIEKAEGCNHVECRCGSHICWNCLENFKSSEECYGHL >Sspon.01G0019140-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1A:71774288:71774533:1 gene:Sspon.01G0019140-1A transcript:Sspon.01G0019140-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASLLGLYSGGSGRCRRVHARSGGRRLAAAVKQLLSRLMRRSWRRRVARPRRTAVRFGYDLHSYYQNFDDSIASSGHHRL >Sspon.02G0036600-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:21308014:21312347:-1 gene:Sspon.02G0036600-2C transcript:Sspon.02G0036600-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGVLSNRVDRDDLKAGDHIYTWRAAYIYAHHGIYAGDGMVIHFTRAAGHEIGTGTFLDWFLYSSSPAATEGPPCQKCGHLVKSDGVITSCLDCFLDGGSLYLFDYAVSPAFFLAKARGGTCTLAASDPSDVVIHRARYLLDNGFGMYSLFKNNCEDFAIYCKTGLLVETAFSVGRSGQLASLTAAFSAVASSPLRFLTTSAGGLAVVTSGMYCVGRYVSDIGVRRDVVKVPVERLVEQNVTSIPQSRATETDIAAGAPQQQLEVPCVVAEEAIISPAAPFTSRMLATDLIEDHKTPRNSRIAAEAYREIQAIESRKYANRPIPHQ >Sspon.06G0020860-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:11617086:11619452:1 gene:Sspon.06G0020860-1P transcript:Sspon.06G0020860-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAGEGRRVCGMPEKAQLHVAMLALQFGYAGFHVVSRLALNMGISKLVFPVYRNIIALCLLVPFAYFLEKKDRPQLTLNFVIQFFLLALCGITANQGFYLLGLDNTSPTFASAIQNSVPAITFAMAAALRIEKIRLDRRDGVAKVAGTLACVAGASVITLYKGPTIFGPSGGGEMTTVSKAVGGDKNWTLGCVYLIGHCLSWSGWLVLQAPVLKKYPARLSVTSYTCFFGVIQFLVIAAFMERDADAWKFHSGSELFTILYAGFIASGVAFAVQIWCIDRGGPVFVAVYQPVQTLVVAIMASLTLGEKFYLGGIIGAVLIIAGLYLVLWGKSEERARIARDATALVSGAGDRDREGLLAPGSGGGIRSKAAASAGVTQPLLLPSSTSTDNV >Sspon.03G0018790-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3A:58204230:58204852:-1 gene:Sspon.03G0018790-1A transcript:Sspon.03G0018790-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIGIRSFLSAALVFSLLLLSCSAQNTISWLVERTEPFSAVYVCAAGFLVPGALCT >Sspon.02G0043100-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:83568059:83573257:1 gene:Sspon.02G0043100-2D transcript:Sspon.02G0043100-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MANAASSASSPGVGPSSSPRLIVCGCLSAVTAATALLCVAVNAVSAAQSFRAGSNIFAGMFRCCAAVFSLFVAVLETEWGFIIWFWKIFEYWPARGMLQIFVAVMTKAYPSIERNDLILLQEIASYMLLACGAVYVISVHTTPIMALPPPPAVAALPEELVEEILLRIPPAEPASLLRAALVCKPWCRLISGPRFRRRFRELHRRPPMLGFLFNTSYEGSRFVPTTAFCPPCTNRFDWNMQDARHGRVLVRRYVPEEEDDALVVWDPITDEQRGLPFPQRLTISWSVAVLCAGTATGTCDHIDCHRGPFLVVFVGLDPSKMFIYTYSSDVNAWSEPISTEHPDPDNYNFIDVEKHPVLVGNALYFGVRFTNITMRYNLKSCEMSLMQLPSTYDWSYWGQRYMLTTTQEGVLGLVTVDHSKLCMWLRNDAAEVDAGWTQSRVIDLKTLLPHDGVFTSHDVVGVADGLGILFMMVESVLYTIDLKTSMVELYQYQDIGIKRIVPYMSFYTPGVAVNNKNWKLLVQVRDQVRVAQVLEKLKGHSSLNGTQLQQVRWWNAVHEGRDQCQASV >Sspon.02G0021030-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2C:91496159:91497235:1 gene:Sspon.02G0021030-2C transcript:Sspon.02G0021030-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPTGESQPPQPADRAVDLLGDLPTFVLDKILAGLPAPDVVRTSVLSPPWRHRWESVPGLDIQLHDVRDEGGSWGSAAGFLERCAGPVDRLSIRGVPLSVYHRADDWVRAVAGKSPRSLSLALPLATPLPSLFRCDPAALAELELRCCAIPGPPDGFAGFQRLTKLDLDDVVFTGGNAWAQLEAMVSAAAPTLVDLRLQNIAFSVADGGFVPGRWVILAPNLRRLVLCLRIAGAGLWELGPLPNLESARIFLNDSAENRDYVQMFTAISNVRELHIGNFDTATQVNSTVTGSHLLSLTITSSCIGPALRARICFASVSLSPSLCGVHAYPLNLCVYKYLKSNNETGAICCSILSHIFV >Sspon.06G0003120-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:7431302:7436542:-1 gene:Sspon.06G0003120-4D transcript:Sspon.06G0003120-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPHILMRLLLLAAAAAGAAGDGCLNAGCVALGSYLISRNQNLTYIASLFGINDYKTLAAYNPGTTNLDYIQAGQSVNISFTCGCHTFPSSSATYLGGSFPHKVVTGDTYSSIAQNYNNLTSEAWLARTNPYPANNIPDTNTTVNVMVNCTCGDRSISPDYGFFLTYPLMGQTLDAVAANYSFNSPSQLELLRKYNPGMDTATSGLVFIPVRAATSMDKTTLSTSQADSASGVPGITVDKSVEFSYEELFNATEGFSMSNKIGQGGFGAVYYAELRGEKAAIKKMDMQASHEFLAELKVLTHVHHLNLFTTHVHIGSEPLSWAARVQIALDSARGLEYIHEHTVPVYIHRDIKSANILIDKNYRAKVADFGLTKLTEVGNTSLPTRGIVGTFGYMPPEYARYGDVSPKVDVYAFGVVLYELISAKDAIVRSTESSSDSKGLVYLFEEALNTPDPKEGLQRLIDPALGEDYPIDSILKMTVLARACTQEDPKARPTMRSIVVALMTLSSTSEFWDMNAIQENQGVVNLMSGR >Sspon.01G0034690-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:12354453:12356406:-1 gene:Sspon.01G0034690-2C transcript:Sspon.01G0034690-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding HLFIRRYPGRSDPLDRPPNCISPRTTVVQGKPANKMICCGGAEEDTYPAPASRHPAAPRSRGKPRGPNAPRSSGPPKVLPIDVPAISMGELNNITGNFGPNALIGEGSYGKIYRAMLTSGEPVAIKKLDPSVSSDCLADFSAQLSMVSRLKNEYFLQLMGYYLDDSHRILVYQFASHGSLHDTLHGKKGVKDATPGPALSWAQRVKIAYGAARGLEYLHEKVQPSIVHRDVRSSNVLLFDGHDSKLADFNLTSQPPDGAARLHSTRVLGTFGYHAPDFGVILLELLTGRKPMDHTMPKGQQSLVTWATPRLSENKVSQCVDPKLGDDYPPKAVAKMAAVAALCVQYESDFRPNMTIAVKALQPQLGGPSTSSA >Sspon.07G0012910-1T-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7B:52402508:52403784:-1 gene:Sspon.07G0012910-1T transcript:Sspon.07G0012910-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRRIVKVRRQQTSSAPSSNPFSAIRFAPTDSGAQTSAPVPEAQPSDVKADEGSNGNGKDTLSVPDKNAGSGVNTDSGATTEAPPQPVETSDKAEDTKDESGGDKVVVGEPNEGSCMPSEVEGKTKEGDAEETEGADEAGNNDKISKDDTEKKDGGESETKDGLSDEQRDADKIGKDGTEKKDGGESEQKDADNKGQTSSATPLFSFKNLSSGQNAFTADGSSFPSFNLGAANNGSSATALATSAEAPRKFAMTEGPVETGEENEKAVFTADSALYEYLDGGWKERGKGELKLNVPVSGGERARLVMRTKGNYRLVLNASLYNDMSLKDMDKKGMTFACMNSIGESPSSLATFALKFKDTATREEFKDAVESHKTSKAPDAPLKTPENSPKAAE >Sspon.06G0003730-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:8892180:8894711:1 gene:Sspon.06G0003730-4D transcript:Sspon.06G0003730-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSRPATARMAAGPTPSAAAASFRPPIPPPPPCFDYRASVLADTRAAAAAAGDPALAGLVESGALVRVPRRRFGPVPAWRPPDFVEPDEVWILGTSHLSPDSVADVERVLRPVQPDNVVFRAARRVSEDIGAQLVLGDRPIEITLERAWKSLTWDQKTKLLISLFRGITSTTDTPDEKTAISPYELYEKLSTSYPALLQPLIHERDMFLAWSLKRSKAVNKSKAVVGVVGKGHINGIVYALISDQGDLRFRDLVGRASSDTWVSSLIKGLVRDTIIGLVLWALYEQLQGVL >Sspon.06G0033220-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6D:10680780:10681703:1 gene:Sspon.06G0033220-1D transcript:Sspon.06G0033220-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVRMVQVLQFRTAGREEKGLAHLVVLLAGKVPNENLGTGTLRCLCCRVAAPLSAARPPPALDTAPPLPQGRRSASAVWRLLCLPPRRRLGTVDAGSRMSRRSRARGSQPRAGAGGVVGSWGGGGWWRRGVVLFSQGSLARCEIEIEIHTSAAYPPVIIAHTGNRCRPARPPHSPHTRGVSVTGRTRHRVMAAFYARRRCDWAEATPRCGGLLRTRQEQKPGALFHVDEPWPRVPIKKAKFLDVNKALEVV >Sspon.08G0008690-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:35533824:35536935:1 gene:Sspon.08G0008690-1A transcript:Sspon.08G0008690-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTHPVLLFLLVLACTGAASGFYLPGVAPADFRKNDLLAVKVNQLSSIMTQLPYSYYSLPFCRPDTIINSAENLGQVLRGDRIENSPYVFEMMEAKLCQITCKIVLTEQGANDIKEKIDDEYRVNMILDNLPMVVPITMFDRDAPPFYLHGVHVGVKGLYAGSKDVMYFIHNHYSFLVKYNKEAQTDLARIVAFEVKPYSCQHKLDGDWKGNATRLKTCNPHSGHLVGNSEGPQQIEANKEIIFTYDVNFEESDIKWASRWDTYLRTTDGHWFPIVNSLTTVLFLSVMVAMIMLRTLYRDISKYNQLESQEEAQEESGWKLLHGDVFRPPVNADLLCVYVGTGVQFFGTLLVTLLIAILGLLSPSNRGGFMTAMLLLWVFMGPFAGYSTARLYKMFGGMEWKKVAIRTVLIFPGVVFLIFFALNMLLWGVKSSGAVPFTTMFALVFLWLGISMPLIFIGSYLGFKKPAIEDPVRTNKIPRPIPQQPWYMNPAVSMLIGGILPFGAVFMELFSILTIIWMHQFYYIFGFLFLVFVILIVTCAEITIVLCYFQLCSEDYQWWWRSYLTPGSSALYLFLYATFYFFTKMQITKAVPSVLYFGYMLIASYAFFVLTGTIGFYACFWFTRHIYSSVKID >Sspon.01G0017490-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:64257452:64260274:-1 gene:Sspon.01G0017490-1A transcript:Sspon.01G0017490-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding TMPSPSRSPPTPPAASEWCCVRCTFLNPDDSDSCEVCEASRPVEVDIDSPVVVGAALALASPKRGRRKKERSASPPPQRFGRKRERDASPDVVELCDSADKGPAAKTGNLEICLDKKTFKIMTYNVWFREDVEVIRRMDALGDLIQQHSPDFICFQEITPYIYMLLQKSDWWQQYNCLLSHEKAIQMPYYCMQLSKLPVKESDCIPFSNSIMGRELCFTSVITGEMKKLTLATTHLESPCPAPPKWDQMYSKERVDQAKQCLEILGRFPNAILCGDMNWDDKGDGPFPLQDGWTDAWVELKPGEDGWTYDTKANGMLSCNRKLQKRMDRFVCKLADFKIDSIEMIGKEAIPGVSYYKEKKIRKESQMVELPVFPSDHFGLVLTITQKENDSF >Sspon.06G0005540-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6A:18751191:18751434:1 gene:Sspon.06G0005540-1A transcript:Sspon.06G0005540-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTREKAKPKALPPSGQLSLFSLPPPHRAAPLALITPTFPFPEPALPMGSSGLLSLPAAAVLVVLLSSAPLVALASEPLNPE >Sspon.04G0003110-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:10455093:10458025:1 gene:Sspon.04G0003110-2C transcript:Sspon.04G0003110-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLTVPSSVPAVAEDCEQLHKAFEGWGTNEKLIISILAHRNAAQRRAIRRAYAEAYGKELLRALGDEIHGKFERAVILWTLDPAERDAVLANEEAKKWHPGGRALVEIACARTPAQLFAAKQAYHDRFKRSLEEDVASHVTGDFRKLLVPLVSAYRYDGPEVNTSLAHSEAKILHEKIDKKAYSDEEIIRILTTRSKAQLLATFNSYKDQFGHAINKDLKADPKDEFLATLRAIIRCFTCPDRYFEKVIRLALGGVGTDEDALTRVITTHAEVDLKLIKEAYQKRNSVPLERAVAKDTTRDYEDILLALLGAE >Sspon.06G0012910-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:67809703:67811097:-1 gene:Sspon.06G0012910-1A transcript:Sspon.06G0012910-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSMDYDNDKKPREQTRKSRTMLLLLILTNAASILIFSGAGAALHAHVRRHYPAVVHAWGSAKLLRELNVTGLAMAASHAEVVDLSGRLTAANKVMEAILGGKAAKHDMEAAQEEQREAAAGGLWQRDREQELSDELKLAVGPHRLPHKRLMIGGGGGDAVLFPALGQACHRYRDELERYMNYTVGGECPSDEASTQRLMLKGCEPLPRRRCRPPTPAGYVEPTPLPASLWAIPPDTSIVWDAYTCKSYGCLVNRGKTKGSYDCKDCFDLRGREKDRWVRRPGEKQADDDERNSLDYTIDSVLGLLPKGSVRIGLDIGGGSGTFAARMRERGVTVVTTSMNFDGPFNSFIASRGLVPMHLSVASRLPFFDGTLDVVHSMHVLSSWIPDAMLESALFDVYRVLRPGGVFWLDHFFCLGTQLDATYLPMFDRIGFKKLRWHAGRKLDRGIHMDEWYVSALLQKPRR >Sspon.07G0018290-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:65789448:65795088:-1 gene:Sspon.07G0018290-1A transcript:Sspon.07G0018290-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CCX5 [Source:Projected from Arabidopsis thaliana (AT1G08960) UniProtKB/TrEMBL;Acc:A0A178W3U3] MAIPTGTCAISSALAHPPALLDYAAIHSCLLRGDARLSLPLLALLLLLHFRLLAAAASARFTPAVSRLAARLRLSPSMAAVTLLALGNGAPDAFASAAALGGPRGMPRAGLAAILSAGAFVSAFVVGAVALIAAPFAVPPASFARDVFFYLVAASGLFYVYLSAEIFLWQAVGLVLFYAFFVGLVFYMDLGSAEGKAVSAAAAAAELEMVSGSGRVAVDLPITVEDRRQQHHPALCALLWKVTEVWEWPIAFVLKLTIPSTLPFEWSKVYISANICLCPLLVLYSFSSFIPLDTRIVFLLPQIRFPLWSVVLFASFCLALSHYLFEKEAPETENITSTLISFIMSVFWISTMAGELLNCLAAIGVIMDFPPAILGMTVLAWGNSVGDLVADVALAKAGQPTIAIAGCFAGPMFNMLVGLGTALVVQTARVYPKAYVLEFHVGIVVAFVFLLLSLMGTLLVVTWARFRVKNSDEIHRNRPS >Sspon.04G0004910-4D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4D:15137374:15138465:1 gene:Sspon.04G0004910-4D transcript:Sspon.04G0004910-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FAD2 [Source:Projected from Arabidopsis thaliana (AT3G12120) UniProtKB/TrEMBL;Acc:A0A178VIK7] MTEKEREKQEQLARATGGAAMQRSPVEKPPFTVGQIKKAIPPHCFERSVLKSFSYVVHDLVIAAALLGVCTGVWVIAHECGHHAFSDYQLLDDIVGLVLHSSLMVPYFSWKYSHRRHHSNTGSLERDEVFVPKKKEALPWYTPYVYNNPVGRLVHIVVQLTLGWPLYLATNASGRPYPRFACHFDPYGPIYNDRERAQIFISTPHTHPALPHYDSSEWDWLRGALATMDRDYGILNRVFHNITDTHVAHHLFSTMPHYHAMEATKAIKPILGEYYQFDPTPVAKATWREARECIY >Sspon.02G0016730-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:42313221:42317821:-1 gene:Sspon.02G0016730-4D transcript:Sspon.02G0016730-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRDTGAGDRTPGTPSPTHGGRLRHRKRSNEVPSDVNTTNGANLLLNDQNKYKSMLVRTYSSLWMMAGVVFLIYMGHLYIWAMVVVVQIFMAKELFNLLRKANEDRQLPGFRMLNWHFFFTAMLFTYGRFLSRQLVNTVTSDKLLYKLVSRLIKYQMFICYFLYIAGFVWFIITLKKKAYKYQFSQYAWTHMILLMVFAQSSFTVANIFDGIFWFLLPASLIAINDVAAYFFGFFFGKTPLIKLSPKKTWEGFIGASVTTLLSAFVLANFMGHFQWLTCPRKFPWREIAVMPVQWHALALGLFASIIAPFGGFFASGFKRAFKFKDFGDSIPGHGGFTDRMDCQMVMAVFAYIYYQSFVMVQDLSVETILEQILRNLTFEEQHELYEQLGKSLVRAN >Sspon.01G0039210-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:32873810:32876493:1 gene:Sspon.01G0039210-1B transcript:Sspon.01G0039210-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLVLSECCGLAPLRLRAGRRGAAIAAPSPPALSAAAAVPGRPASAAIHRDWALRVSAPTCLTSAVEEDKRSSSLLGEEDVGVAANGAAGGEFDPGAPPPFGLAEIRAAIPKHCWVKDPWRSMSYVLRDVVVVLGLAAAAARLDSWIVWPLYWAAQGTMFWALFVLGHDWYASTIPCKDWIFMRVLALDRLRRISHRTHHQNHGHVEKDESWHPLPERLYKSLDFMTRKLRFTMPFPLLAFPLYLFARSPGKTGSHFNPSSDLFQPNEKKDIITSTASWLAMVGVLAGLTFMMGPIPMLKLYGVPYLVFVAWLDMVTYLHHHGHEDKLPWYRGKTEAAKPVLGKYYKEPKKSGPLPWHLFGVLAQSLKQDHYVSDTGDVVYYQTDSAQKSD >Sspon.04G0020500-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4A:72206966:72208229:-1 gene:Sspon.04G0020500-1A transcript:Sspon.04G0020500-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEYQNVVGGRLKLKGKALDVKEGGVKKKKKKKHQLEESSQIEHNELQKGGNSDLPTDPNNELVEADKMGDEEGNPHPDYDHLTPAERRYMEQKQKIDMQKMAKVANKSHRDRIQDFNQYLANLSEHYDIPK >Sspon.08G0017890-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:4778856:4780139:-1 gene:Sspon.08G0017890-2C transcript:Sspon.08G0017890-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWRILKVTLSLPTLLGFLLVAPGADASPRNPEVFGRRHKLHSPINETTANLIRGFIDHVSAVGGAADPTYFAFHGAEATPEGYYGFIASLDVYGFPDLKQGQGTAGSVWVVDEGNGAQANAKAIIIGWNDDGFTKTGCLNTKCAGFQPEKGAAIAPGDVIQNVSPPKGGGNKQNLNLKVVKQGGASGDWVVHAGLNREPAPIGRFPRSLFTGGFAEKAAAVRFGGMVTAPAADNPPAPMGSGYLPGASAASVTGVQLVGPDGRASPVTSDLPKLESRPEAYAVSPVENGSFFYGGPAKAPTARGVIN >Sspon.03G0027450-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:5812119:5817173:-1 gene:Sspon.03G0027450-1B transcript:Sspon.03G0027450-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Autophagy-related protein 18a [Source:Projected from Arabidopsis thaliana (AT3G62770) UniProtKB/Swiss-Prot;Acc:Q93VB2] MLFRCNILALVGGGDNPHYPPNKVMIWDDHQSRCIGELSFRSPVRGVRLRRDRIIVVLENKIFVYNFADLKLVHQIETAPNPKGLCAVSQQPGSIVLVCPGAQKGQIRVRRGADRAEIYSLAFSNNLQYLSVSSDKGTIHVFNLKINVGSTANDKPMPAPDPEVPHISPPLSFIKGVLPKYFHSEWSVAQFRLHEGEQYIVAFGHEKNTVAVVGMDGSFYRCQFDPVNGGEMLQLECYNFLKPSEQP >Sspon.01G0028870-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:119105729:119108196:-1 gene:Sspon.01G0028870-2B transcript:Sspon.01G0028870-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGFASYLAMKTGPERGGAAAAAQQALIDADLRELGVAARKLANHAFVLGGGLGFGTSFLKWLAFLAAVYLLILDRTNWKTNMLTALLVPYIFFTLPNVLFSLIRGEVGKWIAIIAVILRLFFPRHFPDWLELPGSIILLTVVAPSLFADTFRGDLVGVLICLAIGCYLLQEHIKASGGFRNAFRKGNGVSNSIGILLLFVYPVWAAVLRVL >Sspon.06G0031090-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:54332349:54333856:-1 gene:Sspon.06G0031090-2D transcript:Sspon.06G0031090-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGKSSGNAARSRKRVEATVLKRSRDGSAFTRCEACNKDVPVVLIDMHSCSLDKQIRMTLEAQVVEKTVEVAKAADRKKSSAKGQGGGGNKDAKRKRSPTAFFLFMNDFRKEFKAANPDNKSVATVSKEGGEKWRSMTDEEKKPYIEKAAELKAQAENGEGSAENNVAKKKAKADDKDGDQEVDQPAKKRIRHKALDEDEDDAGDQEDEDEQNELDDDLDDDM >Sspon.06G0030190-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6C:42362338:42362904:1 gene:Sspon.06G0030190-1C transcript:Sspon.06G0030190-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGSPFPGPKWNSGGKALVFVPVFMCLPGHRGRAGHLLDEMPPKTTSAGCRSTGTLERATAAERLSALPVAPLHDIMSFLKSVGLRAVVGGGAAR >Sspon.05G0002560-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:2630301:2632603:-1 gene:Sspon.05G0002560-2C transcript:Sspon.05G0002560-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLLPKLTTPSGPSCKLPPSPLLKPQLAQPGHGGGKIQGSGSGSGAAQVAAPGHLSLLLLLSAPQQAADPAPKSTATKNRGKGGGDPQRSDFYLNLGTAVRTLRDDLPDVFDREPNYDIYREDITFVDPLNTFHGIDNYKTIFWALRFHGRLLFREIGLDVSRIWQLTETSIVVRWELWGTPRVPWESYGCFSGTSRYKVDRNGKIYEHKVDNLALDFPRSVAKVGSIADMVVATPSPNLTFWNVVGTGDGCSWTKLYEAVVEAVEREEHSSTGIGVGGLPVPCSFGCGCGCSF >Sspon.06G0013910-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:72880762:72884842:-1 gene:Sspon.06G0013910-1A transcript:Sspon.06G0013910-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNARRPAADSPAASDRETYSIVLGRHSRRSSKGPAAPPPEADDGVDVDLGALGGGMNVSRRHARIFYDFPRRAVRARGAWQERLPRRGRAPHPRVRPVKLDSQDLLQMGDAKFYFSPLPLRLRRRHPRRASAVQRAIPPPPSDDDEDEDEQGEAVAAAKRSKSYREADDQLLLQLEEKDVISSAATILSDLCGPKEWISMNKLHEVMFDKYGNMWHHSRVRKYLTSEDFPETETDGRPCHGLVLLLRKYPEHFVINIRKGGGFSTEFVSL >Sspon.08G0008450-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:31013302:31022825:1 gene:Sspon.08G0008450-3C transcript:Sspon.08G0008450-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AT4G28980 protein [Source:Projected from Arabidopsis thaliana (AT4G28980) UniProtKB/TrEMBL;Acc:B9DFZ7] MAIGGGGSWSIHGRADVTSRYEVLGPAGSGAYADVYRGRRRSDGAAVALKEVHDAVSARREAEALLAIPPSPNVVALIDHFPGGDCDDDVLVLEWLPLDLAAVVRDARRRGGGGEGPGGIPASQLKRWMLQVLEGVAACHRAGVLHRDLKPENLLISEDGVLKVADFGQARILQQTAPTFQEMHPHEQSSSMETWIPQQQPAVLQETEEEPTSYESDATAGQEPETLTAADYLHELDQLRAKSSDVDKMSLQDGDASCLATCSTGDIEDDPFRTSYSYNVEGIGEDSGAFTSCVGTRWFRAPELLYGSTNYGLEIDLWSLGCILAELLKLEPLFSGISDIDQISRIINVLGDITEETFPGCSNLPDYNKIFFNKVGKPTGLEACLPNRSPTEVSIIKQLICYDTTKRVSAADLLNHRYFTEEPLPAPIEGLQVPASKDEDDESSMEEWGNYRDGVSDSEFDEFGSMDVTKTDKGFSIRFS >Sspon.04G0003370-3C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4C:10838737:10839558:-1 gene:Sspon.04G0003370-3C transcript:Sspon.04G0003370-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RLLSALRAAVPLPSASLHRICLSTTASATPSAGFFAEDYLVASCGLTLAQARKASKYINHLSSPVRPDAVRAFLISIGLTEADVVAAVVSYPILLCYKVDETLTPRVARLREIGLSPPQISRLVTVAPEIVASPVKMSRLAFYISFLGSYDRVHSALKNCYYLLRQDLQTVVRPNIAFLRQCGLTDYDIGCHCLLRSRILLAEPQRVKEIAVRAEELGVSRNSVAFKHALVTVYSLNAGRLNAKLSFLKNVIGYSEAELGNLVCKAPTILAHSE >Sspon.08G0015010-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8D:57321875:57325827:1 gene:Sspon.08G0015010-2D transcript:Sspon.08G0015010-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPLEDLTRVLAELAVRLSQPPAGGGAASSGDSLSASISSLAAALNPSGDGSGASSGTRVLDAALSLMCFDPLEVNSARVDCLVRTLVSVLSASVSCRVVRPDGDAGEEMLCVGSSVSPVDCRELLQSCATLVEELRDCDDGRHSYDLLYAVAKTVVLSPHYQCLFPLPYYKEEGERVSHMGTIVAELINHPSNHILPSDHSIPPRGLGSVLELHNALVSSALDILLKPMTTAFPQVQVGKLSDLHPSYFNLLHQWIPLGVLLVSPSCVNEQNCDILLRYTSTGQVLGSNEAQIKTKDHASNDGFLGSCIGTAERWALIGAYHIFGWIDVVEDMSSLIFDCEDRCHCFVSQLRNKTGPYLLKCVKSLFKVLEQANQDKDFVIDLHNRLLNWNKNGQGCEIFGDVILEMNKRFNLPV >Sspon.03G0017350-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:41648582:41651344:1 gene:Sspon.03G0017350-4D transcript:Sspon.03G0017350-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPPHAEEAAAAAAAAAGAGGGGEGGGASPGTGLEGPMLRLGLDGGGEEGEDGELGAGEEADARLPERPGEADCGYYLRTGACGFGERCRYNHPRDRGGTEFGGGAKNGAAQDFPERQGQPVCEYYLKTGTCKFGSNCKYHHPKQDGSVQSVILNNNGFPLRPGEKECSYYTKTGQCKFGSTCKFNHPEFGGIPVAPGIYPPLQSASVPSPHTYAPNWQMGRSPAVPGSYIPGSYTPMMLSSGMVPLQGWSPYPASVNPVASGGAQQTVQAGPLYGMGHHGSSTAIAYGGAYLPYSSSTGQSSNNHQEHGFPERPGQPECQYFMRTGDCKFGTTCKYNHPRDWSTPKSNYMFSHLCLPLRPVSIQSL >Sspon.03G0005890-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:42059817:42065270:-1 gene:Sspon.03G0005890-2B transcript:Sspon.03G0005890-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial import inner membrane translocase subunit TIM50 [Source:Projected from Arabidopsis thaliana (AT1G55900) UniProtKB/Swiss-Prot;Acc:Q8VYE2] MSCVCVVIRFLLLCLAYSLDEVDQMTRELRKKSKQPIPEDASGFERFQAMAYSAAMKVPVAAIEVYLDVRSQIEDQIRGFSEPVSDKLLPDLLPQEQHVLTLVLDLNETLVYSDWKRERGWRTFKRPGVDAFLEHLGRFYEIVVYSDQLSMYVDPVVDRLDPKGNIRHRLSRVATKYENGKHYRDLSKLNRNPAQVLYISAHAKESSLQPENCVEIKPWKLESDDTQLLDLIPFLEYVAMVRPSDIRAVLASYQSGDIATEFIERSKEHQRRMQEQKKSGRLWRR >Sspon.02G0016860-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:44651141:44653224:1 gene:Sspon.02G0016860-2B transcript:Sspon.02G0016860-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GDSL esterase/lipase CPRD49 [Source:Projected from Arabidopsis thaliana (AT3G11210) UniProtKB/Swiss-Prot;Acc:Q9SRM5] MLGVGGRPLFVLFGSSIVQYSFSNGGWGAALADIYARKADILLRGYIGWNTRRAVQVMDKVFPKDSAVQPSLVIVYFGGNDSIAAHSSGLGPHVPLDEYIGNMRKIAEHLKSLSGKTRVIFLSCPPLNEEMLRNSTSSTILSEIVRTNETCRLYSDACIALCKEMNLKVVDLWHAMQKREDWMTACFTDGLHLSEEGSNIVVEEILKVLKEAEWEPCLHWKATPTEFAEDSPFDLVSSSGDTTMNPSEWTIHRKLPWD >Sspon.02G0008580-3C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2C:27471877:27479133:-1 gene:Sspon.02G0008580-3C transcript:Sspon.02G0008580-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding FRL >Sspon.04G0019140-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:63463134:63465301:-1 gene:Sspon.04G0019140-2D transcript:Sspon.04G0019140-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGNMRTTSDAILLTPYKLAREASVGHQNSEQLGSSSLPHLPVSKLLSWRLQQVVILHSQLALFLTKAYLFWCSAFLIYFYAPFWSVRRVPGPPTRFPIGHLHLLAKNGPDVFRAIAKEYGPIFRFTVCVEGEVTMVTMRRFHMGRQPLVIVANAELCKEVGIKKFKDIRNRSTPPPSIGSLHQDALFLTRDSAWSAMRSTVVPLYQPARLAGLIPVMQSYVDILVANIAGCPDQDCIPFCQLSLRMAIDIIGKTAFGIEFGLSKNDAGGGETEGVVGEGDDNVREFLKEYKLSMEFVKMDLSSSLSTILGLFLPCVQTPCKRLLRRVPGTADYKMNENERRLCSRIDAIIAGRRRDRATRRRGRDGDGAAPLDFIAALLDAMENGGGAKDFALADRHVRALAYEHLIAGTKTTAFTLSSVMYLVSCHPRVEEKLLREVDGFAPRDGRVPDADELQSRFPYLDQVIKEAMRFHLVSPLIARQTSERVEIGGYVLPKGAYVWLAPGVLARDAAQFPDPEEFRPERFAPEAEEERTRHPYAHIPFGVGPRACIGHKFALQQVKLAVVELYRRYIFRHSPAMESPIQFDFDLVLAFRHGVKLRAIRRG >Sspon.03G0020540-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:83004799:83005218:-1 gene:Sspon.03G0020540-3C transcript:Sspon.03G0020540-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFPFPSLSLAGLLARRVLLLYAAAWTAVATTAVAVAAFAPELAFVWAVGPGAPLSRACPGTTGGGGGFTVGLPLDGPPWDAVCVPVGMFGRARPDVIVPLVFAVVVVTGAVWFTTAVGVWEDEHDDEPSSPAAFIEQV >Sspon.05G0011260-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:23613893:23617512:1 gene:Sspon.05G0011260-3C transcript:Sspon.05G0011260-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoribosylglycinamide formyltransferase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G31220) UniProtKB/Swiss-Prot;Acc:P52422] MEAAAAHAPPAPALRRSLALSPTPAVRPDPKPWQGVVLSARRQSHAVRCEPPRRPEARLRAAAAAQPGGADAAAAGRRKRLAVFVSGGGSNFRAIHEAALGGAVHGDVVALVTDKPGCGGAEYARSNGIPVLVFPKSMSAPEGISVAQLLDSLRGYSVDFVLLAGYLKLIPAELILEYPKSILNIHPSLLPAFGGKGFYGSKVHKAVIASGARYSGPTVHFVDEHYDTGKTLAQRVVPVFADDTPELLAARVLHEEHQVYVEAVAALCEDRIVWREDGVPLIKSRINPDTCGITGKK >Sspon.08G0000880-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:946576:950144:1 gene:Sspon.08G0000880-2B transcript:Sspon.08G0000880-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPYKHRPSSGSNSSFWTTNSGAPVWNNNSALTVGQRGPILLEDYHLIEKLAQFDRERIPERVVHARGASAKGFFEVTHDVSHLTCADFLRAPGVQTPVIVRFSTVVHERGSPETLRDPRGFAVKFYTREGNFDLVGNNMPVFFIRDGMKFPDMVHAFKPNPKTNLQENWRIVDFFSHHPESLHMFTFLFDDVGIPLNYRHMEGFGVNTYTLINRDGKPHLVKFHWKPTCGVKCLLDDEAVTTIDLEHENKFDFDPLDVTKTWPEDIIPLQPVGRMVLNKNIDNFFAENEQIAFCPAIIVPGIHYSDDKLLQTRIFSYADTRGTALMSSQKDYSMATADVVDAEVRELVEKAYSRATQIITTHIDILHKLAQLLIEKETVDGEEFMSLFIDGQAELFVA >Sspon.05G0019710-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:61213979:61222577:1 gene:Sspon.05G0019710-1P transcript:Sspon.05G0019710-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDAAAQRRVGAATAHLQRPPPAGGCGLTVNPTAAEYVHAQGYSVVLPEKLQTGKWNVYRSAHSPLRLISRYPDTPDIGTLHDNFTYAVETFTDCKYLGTRIRTDGTIGDYKWMTYGEASTSRTAVGSGLIYHGVREGACIGLYFINRPEWIIVDHACSAYSYVSVPLYDTLGPDAVQFIVNHAAVEAIFCVPQTLSILLSFIAQMPCVRLIVVVGGDDANMPSTPVTTGVEIITYSRLLIQGKASPQHFRPPKPEDIATICYTSGTTGTPKGVVLSHENLIANVAGSSLNIKFYPSDVYISYLPLAHIYERVNQIAALHCGVAIGFYQGDNLKLMDDLAALRPTVFASVPRITNAVKESGGLKEKLFHTAYNAKRQAILKGKNPSPVWDKLVFNKIKARLGGRVRLMSSGASPLSADVMEFLRICFGGEILEGYGMTETSCIISAMDVGDKSIGHVGSPIASCEVKLVDVPEMNYTSDDLPYPRGEICVRGPIIFHGYYKDEVQTKEVIDEDGWLHTGDIGLWLPGGRLKIIDRKKNIFKLAQGEYIAPEKIENVYAKCKFIAQCFIYGDSLNSSLVGIVAVEPEVLKAWAASEGIQCEDLRQLCADPRARAAVLADMDSVGKEAQLRGFEFAKAVRLVAEPFTVENGLLTPTFKVKRPQAKTYFAKEISDMYAELREAEAPRSKL >Sspon.03G0046560-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3D:40762955:40763905:1 gene:Sspon.03G0046560-1D transcript:Sspon.03G0046560-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSISEPGTDYTCEEADGGGGPCGPFAKSLPAALPAAAFVGGSEATFCLASSSAGQDLAPITAASPDSSGGGVFFLHGDALAPTPAPAGSAASTAAAPAPPAFGSSITDANAQQQYLLPGCRVSPRRRRRHRERETQEQDSGETSERFLLLSGVTARVKHSRVREGQRDRGCGLGEGCVRVSACVERVRRQDRDGGGWPGGRAPRAGSGGPTGNGCCQPAGAGTACLRRFCPETRMFWCLSRGLSAADCKPNQYTTILRRLARTLSRYMAGRCEKPLA >Sspon.06G0012200-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:52975587:52978797:-1 gene:Sspon.06G0012200-2B transcript:Sspon.06G0012200-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RMASTGDGLAGPPPPPRPPPSPSRPPAETPTPTPNHAAISSPLLEPAAPGADAPLSRWLRRLEAFLSAAGLAASTPLGVAAAASALAVVGLALPAAAVTLSPCRAHRGGGCDDFEVEVFEVCVLLSQAAAAAVALVCVSRKMAMYGLRKFLFVDPDLGMRIRFQKEYVAKIQDFFRTLTWWIVPCLVVKVTREFFRFAHIFQESVWRACVVFFASIMSWMYLTTIILSSCMLFNLVCNLQVIHFDDYGKLLEQDADPLVYLKEHLQLRHNLSKISHRFRMFLLLLFFSVTASQFAILFKTTAYSGPINFTNGGDIQVSSVVQVVGLVLCLHAAAKISHRAQNIASLASRWHALATCSTDSTYVNTPNSSGNLVPFPAHLFLRDFSESDLESLDSGSLHGNSHGTAQLASYMSSYHKRESPVLYLLANPGGITIFGWIVDRAFLNTILMLELTLVLFVLSKTVVIPTKTLVHSYIGFP >Sspon.05G0020720-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:87823676:87827529:1 gene:Sspon.05G0020720-1A transcript:Sspon.05G0020720-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MELGDGSERAAKRQGLSSSDAAAGEDRLSALPDDVLVLILLKLTTRAAAQTRVLSHRWRRIWALLPVLRFTSPPEPHRLRDALDGHKVLLRHLLVGADGATPESLAVWLPAAARRVSGDLTLKTYGPVEDAGEEAAKRGAFEFPCFEQATTISFFLGFQGLAVPLTGVFARLTGLHLSRVWFHGPGELGDAVSSPRCPCLKRLTVQDSRGLRDLAIHSECMLWMDLRGLQALSRLTVVAPALEELTVESCFRKSEPVANISAPRLTRLEWSDEYDPSSVHIGKMAHLQWLRTRFYLVYGNGGVLHNQSCLSLLQRCQGIKSLFLPLMYLQGIENYDYMMENMAVLPDISLLCLMVMANGHAFEASAFHVLRLCTGIRWLRLHFVATGSEAQTVCTSSCKCVQQVEWETEELLLNHLEEVEIGVWRGTEHEAAFVKRLFDWGTKLKEMTINLCDSVSEVKAKELYQTFHSFSRPGVCMKFYRCKNNRKRRRVKTSEKLVE >Sspon.03G0012500-3C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3C:51063871:51067701:-1 gene:Sspon.03G0012500-3C transcript:Sspon.03G0012500-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEVEDDAAAAGAPLVANGAADVRRRRDQAKAILSKQAVKIATKAEQHERFIFKVTHLLGVLGFGGFCYLLGARPQDVPYVYCLFYVIFVPLRWIYYRYKKWHYYLLDFCYYANTFLLVMILFYPKDEKLFMVCFSFAEVLGILGLCSSVLYQLHFVSEYWSGFMTDLSASAGIVLFTIRWWNPQTFAAMHPEGRDARDTWPYVEDKSYLWTWLFFVPLAAYTLWQLMYFLIVNVLRRQRLLRDPEVMTSYRELSKKAQKANNIWWRLSGLLGDRNRQVMYILLQALFTVATMALTVPIFLSYRMHVVFLILKVCASTWNGGSFILEVMPRQVVQKQQKKLDMKHIEQGSSTQGAP >Sspon.05G0021250-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:89546600:89548785:-1 gene:Sspon.05G0021250-1A transcript:Sspon.05G0021250-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSTSAAATPPASPAPPALTSPKPGATKRQRKAVLLGDVTNLLRPETPTPIKPRRTARRPLPAPSDASAVSSSTCSSSASVTPALMPSSSASAAPAPEPSSSSAPVTPAPQPSSSATVTAAPRPPSAASATPAPAPSSTDTVTPAPEPSSAATVTPAPEPSSAATVTPAPEPSSAATVTPAPKPSFAAVLEEEGSVFESATICTVYARRMSTEAEAEGRSNPTITNKGKEPVGAAASCPLLGNLRGTSGEILKRPLARTPKLPEEFVKKQKAYFADVDAFELAEEEVSESELE >Sspon.01G0022530-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:86685541:86695231:1 gene:Sspon.01G0022530-2B transcript:Sspon.01G0022530-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKMIWGPSQTLVVWLVDTDSDSEWKIRRDDHFHQLIKDRWNERIAVLVVEVVSKHDHTANASSGVRCASGVTSTQGSVGAGNAQGSGAAANAQGSAAPNNAQGNGVPNNVEGSGDSCSPLPPSVPNEPAAPVDWAKLTILQEPNEDGEAKQAADEDKVYEAMSFKAADKRAEEAARESVPVPTMTAEIEGQSSQANSMTQKIAEIYAQLEKYQKATELFEEIARKSINNNLLKYGVRGILLNAGLCQLCRGDTVAINNSLERYQDIDPTFSGTREYKLL >Sspon.02G0037790-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2B:35446294:35446879:-1 gene:Sspon.02G0037790-1B transcript:Sspon.02G0037790-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFVLVGHDDSSSSEAAAGDLGSSTVDLPRLSSVGHYLPATAVTGACTLHWMPFAAAGLHRARPAGRAAVAAAAARPFAAVCGATARFPEDGLYVCADLPPLAPALLDVQLALAHVAAKEASHAVCHWYFNAVALIMRLLVDDDAGDGRGPAVFDRSKFELVFALKWGRV >Sspon.06G0006890-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:22964438:22966844:1 gene:Sspon.06G0006890-2B transcript:Sspon.06G0006890-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSTASAPSTSLAAAFRSSRAGRRRRALCLRPAASAAGASVVGDDGGAERFATSSSITDYLRYRRPELGGAGAGGGELQTAVVRFKKRLPWSLLHPFLHVDLVSTVHIADKEYFDRLQQELEDYDCVLYEMVTSRENLNNPKGPMAAKKMKSLRRGFSILGFIQKQMARILSLDYQLDCLDYGNEKWQHADLDYETFKQLQSERGESILTFAVDMTLKSTKALVQPSNMPDGLDFWRSKLLWASRVLPMPLVGLLVITGLCLPVENQDGFPELEALSRLDFGAALKIFLAKQLTSEFTAVPTPVEEKSVIIGERNRVATEKIKEAINRGYKRIAVLYGGGHMPDLGRRLREELNMVPADVQWVTAWSIRSREIDSKSLPFLKTLAEASGWPLNRYETLALLIFSLVLAVDLWFWELFF >Sspon.01G0005490-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:13060916:13062139:1 gene:Sspon.01G0005490-2B transcript:Sspon.01G0005490-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCQCQAAEVATVLIQHPGGGRTERAYWALSAAAVMAANPGHYVAAVITTTAQPAAGDGAASSTAATVKHLKLLRPDDTLLLGRVYRLVSFEEVLREFASKRQVRLSRVTVRAKDEGEDVKPASKHRRRRARASAAVGPGGGERKESSERSLAKVMRQTEEELEPDAGPSSGPSAKHADTPSDADLEALLQPHGALVGRRAARQWRPALQSIAEG >Sspon.08G0018470-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:6361004:6367532:-1 gene:Sspon.08G0018470-1B transcript:Sspon.08G0018470-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSQSRSRLCCRLLPLLLVLHFSGRAAAAAAAGGGGGKVPALIVFGDSTVDAGNNNFIPTVARGNFPPYGRDFDRGVATGRFSNGRLVTDFLSEAFGLPSSVPAYLDPGYTIDQLATGVSFASGGTGLDDLTAEIASVISLSQQLEYFREYKARLQLAKGESAANDIIAEAVYIFSIGTNDFIVNYFTVPLRQAQYTPPEYVAYLVGLAEAAVRDAYGLGARKMEFTGLAPFGCIPAARTLNRDEPSECNEEYNRMAARFNTGLQEVVRKLDGFENVEQGCCGTGLIETSVMCGLDEPFTCQDADKYVFFDSVHPSEQTYKILADHILNTALRVFL >Sspon.05G0031430-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:5754624:5756476:1 gene:Sspon.05G0031430-1C transcript:Sspon.05G0031430-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPSSRPSSSCSASKPRKPSPSPSPSPATAPKPKTVGSSRRRSPLSDLNSRDASAARERPGCFRFLLPSAAASSGSRSRARSASTPRTPRRPDSKHRPGSRGPDRLPDKESRNRSQRCAGQEPRMGGQEPFGARINKTGLAAGKKQWPAKDEQLDEFHALTPEKKADSEATPSTGATPPVHASISPEVAAACGSATPACFAAGHHVVPGVGDRRKCRPRGILAIAGEELTSQDLDEEPSRASIHWLSSPSGAEAWTSSTKCGNEEASVNWLASPRNEGGVDLLEDEIFVPRCSSEDAFWRFSPDCTGLLGSPLLSGLLDFGTPASEMSGATPSSGFLSLQKTPSSGDSISPFSLIVKRASQSSSRFRTLCAQQGLGNSYRYDSAADPTPISGESWPESVGNGTRSGLTRTGSRPLKMMDPLLECLEMMSLSPRPGDDDYGGNGTPPAPLPELSFQSSDALESIDLTTFKRSPRDIELKAETSFRKSVMADTRISWREGLVSRMFDIGDLDCCKWLSDDEDSPVLSLTEEALADGTNSQPGNGCCLHESGDQQEACGFGSVEFSCIGDELNSDSIKASPNHVSVAESMNTEGFELVTSDDSDWTLFYKNYLFES >Sspon.02G0001900-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:6346397:6349418:1 gene:Sspon.02G0001900-3C transcript:Sspon.02G0001900-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTPSSGGAARPRAAEEPRVELELELELPLGAAAPFDLAAAVCSHGLFMMAPNRWDPAGRALVRPLRLASDSSVSLLARVSAHPARSDSALLVAVHGAAALSPLDQDHILEQVRRMLRLSEEDGKMVAEFQAMHPAAREAGFGRVFRSPTLFEDMVKWTRTLSMATALCKLQLELKCSSSIEDFQSRTPPIREHNKRKRSKRQSVRVKLEARFSEDKLEGPRLASGGNGLTNLETYEILSCLPSVASEAIGPDDSLEPSELSLSNDPHLEGCISDFPTPEELANLDEDFLAKSCNLGYRAKRIVMLARSLVEGKVCLQKLEETRKMFVPAAEEASSIGSTYERLYKELSMISGFGPFTRANVLMCMGFFHTIPADTETIRHLKQIHKRATTISSINQELDKIYGKYAPFQFLAYWFELWGFYDKQFGKISDMEPSKYGLFTA >Sspon.06G0022440-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:57007437:57010719:1 gene:Sspon.06G0022440-2C transcript:Sspon.06G0022440-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPPPGAGLTDYERRREENIRRNEAILAGLRRKAAELSASFSPTPSSSPKRPKKQLPRARTASPVVLRRSLRTRGIPPGESSACADANTSRATPPSPTKPRTTRFSSSLASALRDATAAEPSPSLESGICAADGFDASRELVLRPVDVRKVVPGRILSVRILPLSDRTVVVAGNAHGHVGFWDVDRLVEEDEDGDGVDGVFEYFPHRGSVGGIVMHPGTPQKIYSSSYHGEICFMDVEKENFNTIHLCDYPIFSLCQAPNSPSSLYFAEGNELKLFDERTGKVPTTWSLHDHRINSIDFRPENPYIFATSSTDRTVCIWDMRRMKKKGPESLKVLEYNKAIQSAYFSPSGNMLATTSLDDTVRIFNMDNFDDSCILKHNNRTGRWLSTFKAIWSWNDSNLFVGNMKRAIDVISVGRSQKSLTASYMAFLESEHMTAIPCRFTLHPCKVGHLAGASSSGK >Sspon.07G0006800-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:17621190:17623554:1 gene:Sspon.07G0006800-1A transcript:Sspon.07G0006800-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFQVAAVAPSPCARSSSASSSPSTSSRPLPAFLGGGGGSAGLARSRSPLNWGTGVMVRRPRLARTPARCALSASLDGMGGGDAEFMKRIEELAAAAGVQPAGAAGCGGWPASVERSANNVGLPLSLRMLKRKKQQQQQAQRQQVAAARHSRWDEGLLLGSAGESVGRAFSSMVLIVRELQSFALQQMRDALLCDDLQGVLARVQGEMHASFVWLFQHIFAGTPALMVSLMLLLANFTVQSMGHSVAAAAATIPPTPPAVELVNTQRAEQSRPRFDAASVKTFSVGRTASVGENSGGGGGKTPPVAGATGDGRSDESLYRLSRVAPQQPSASPAAEVAAQDAANADEQAIWEMMLAEASKMQASARAEELSDSDVLRSLVAPVEAELETEHHAEHTLTQQRYEQAVAAEPNNSLILANFAQFLYLVQNDHDRAEHYFERAVRAEPADSEALSWYATFLWKARNDLAGAEDTYQQAIAADPGNAHHAAAYAHFLWNTGGEETCYPLD >Sspon.02G0032590-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:86153803:86156925:-1 gene:Sspon.02G0032590-4D transcript:Sspon.02G0032590-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPWPRTRWMALAASAIIQCCAGSSYCFGVYSAALKASQRYDQSALDAVAFFKDVGANAGLLSGLLAAWAPGGRRRPWIVLLAGALLCAAGYIPIWLAVAGVAPAPLPLMCLYMLLAAQAQTFFNTADVVSAVDNFPDRRGTSICFVVLLILVMSPIAVALKARTPHEESISEQRTGLLREEVAEDSENATSSTALGGSDQDLSAGKENLNVLQAMCKLNFWLLFLSMACGMGSGLATVNNISQIGGSLGYTTKETSTLVSLWSIWNFSGRFGAGFISDHFLRQRGVGRPFFIGVTLLIMSAGHAIISSGLPASLYIGSVLIGMCYGCQWALMPSITSEIFGLNHFGTIFNMVAVASPVGSYILSVRIVGYIYDIESPPDEHSCVGRQCFALSFMIMAGVCMFGSAVAFVLFIRTRKFYRRVIYARLQSFLD >Sspon.01G0011520-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:31169308:31173954:-1 gene:Sspon.01G0011520-2C transcript:Sspon.01G0011520-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADIMAASRAQGLRVCLRTTGPFFRVTATRGEGKEAVELGRAEGGVRPWPGGAVLHLDSMRMTRATLSVPDRPLFGLGMFLGAVAVRHGFDAGCRRAELLAINDTPLYHDKLVRFYTRMGFKAVHEVDGSSISDLGHMLVWGGRGTRMDANIEELLIKWRKRFRPQDEKAKEGAMALAVVLDQLADGLPAVKAVLALVFPLILFILLRHSRDAARSNSIREHKRMSPSPPAMPLIGHLHHIAGRLPHVSLRNLAARQQGDGGLMLLHLGTVPTLVVSSPHAAQQVLRTHDASFASRPGSVVGDILSYRPSDVGFAPYSEWWWQAKKLVIMHLLAPKKVQSYRPAREEEVGTVIARIRGAAAAAHGPVDMSELLSSFTNDILCCAVAGRSFRVEGRNKVFRELIDAGMVIVGGFNLENFYPRLAKVAGGMLTWPARRRAEKLRGRWDNIFDTLIDEHAREMAGADGGADLQESDFIVHTCALVRTRRVWTH >Sspon.08G0004720-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:11457152:11457525:-1 gene:Sspon.08G0004720-3D transcript:Sspon.08G0004720-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDGGGRKQDTTTAASKAQREAAAAGVSVHEWLQHVKASFLGLVGKVTARSEQEAAEADMRAAKAQVEATDEAEAKKKRLADG >Sspon.05G0016610-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:67814933:67815898:-1 gene:Sspon.05G0016610-2B transcript:Sspon.05G0016610-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKDYYVGHPATYTAPAPGTKQAPLQVAAGGEQTPVSTTQTPGATHNYFVGHPVNPEKTPTAQPAHPAAPPPPAQKKRSSSLLARWFPCVCGSRAPEQ >Sspon.04G0008630-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:25939412:25944579:-1 gene:Sspon.04G0008630-4D transcript:Sspon.04G0008630-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEEPLPASKNPRCARRRDLNALDPNMEESDGEDIGVPEVGMVFNNHTEVNRFYRKYARRVGFGVSVRRSSFSQEGTCLYLELMCCKGGRPRYEPKFRKRLHQPLTAQPRSE >Sspon.07G0001110-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7A:2352813:2354458:-1 gene:Sspon.07G0001110-1A transcript:Sspon.07G0001110-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding WQHRRVIAFTRRRVAETPYSISIRVAPSNEAKLDAHRPDAAEPKTTHPQPTL >Sspon.07G0031620-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:24937181:24937681:1 gene:Sspon.07G0031620-1C transcript:Sspon.07G0031620-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding HAKSRRRRWRGLQGRHQGLLEAPRVRPARRGRGAAPPAPPDRGARRRRRGRGAAGGGPAPPRPRVARSPPARGRPRAAPPARALAAAAAGAAPRRLRERHAPPRVLRRRGRIRRRWPVLHRGGSVRSAAPAHQRLRREGARRDLQVHPRARRGRGGSYRRAVARGGL >Sspon.05G0033130-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5C:26366969:26369412:-1 gene:Sspon.05G0033130-1C transcript:Sspon.05G0033130-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTEQATTDKPCACASHSCSGTSTRVRLPPSRVRAAVESDARAAPGVRRRQCAGVAKSKGGEAFRDVVRERSLADPGWSLPKRPGGGGRPWGDSDSTPPRGRNVLSSARRGGGGWDHGTQDGNGEFPVGFCFPIPVPAGEEISHPRPHQWSRGTGPVQTPPPMSWVPLLPWGAASGCRCRPGEPHADAGAATAIGSRAWMPLPPWEPCADADVAAVVGSRARTPRMKQPAWRSRSSTRVLDLSMCGGGMDERVRASWDRRRELASGATAASETGDVRHSAVRSGYRGIGDGGRCIVPVPARPDGDKFSPVFFPVGIKFSPSPSPNRGIPHGESGIGSPLPSLHGTSRQGGGRVGSVRGDGAVATGATRVGWVSACYCDHPPPRVTPRRRGGTWTGPAPNRCVCASDSHSASPGPCMAA >Sspon.05G0035920-2D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7D:80953652:80954242:1 gene:Sspon.05G0035920-2D transcript:Sspon.05G0035920-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLSVPFCGGSSSSAASPKASKKTKSRRRSKDGKKSGGGSSFSSTASSHECASTVTTPRTVLLPSSPPRAGTGTTASRKPPAPAPALTREELEVALRRVVSSEEELAEMLAEADAGLVVDAVAAAGDEGDLREAFAVFDADGDGRISAEELHAVLASLGDDCCSVDDCRRMIGGVDVDGDDFVCFDEFSRMMMHGL >Sspon.06G0002390-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6A:7819053:7820072:-1 gene:Sspon.06G0002390-1A transcript:Sspon.06G0002390-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNASVFDFDSCSFTHQFKLKFLETEKVDIGHSVISEDILAGGHLWRFVCYPRGSRKSDNGEYASIFLDHVSESKDAKAILEAFVMEKDGTPSSSHRRRLVLVFEPTGNTKSNWGWGRLVERSILESLYVTNGSFIIMCGAKVVLDDDPLEVPPSDIVSHLGHLLDCTDGSDVSFVIDGEMFPAHWAVLAARSPVFKAQLLGSMAEANMSSITLHDIAPATFKAMLRFMYTDAFPADAELGDSPIEMFQDLLAVADRFALDRLKLLCASKLWNDVSVDTVAATLMCAETYNCPELKKKCIDFFGEGKDFKTKAVLSEGFAQLVQKFPTILDELREKVVGP >Sspon.03G0033200-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:53544393:53557483:-1 gene:Sspon.03G0033200-2C transcript:Sspon.03G0033200-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAARLRLALLLLSVCLCSAWARPRLEPTIRLPSERAAAAAGDETDDAVGTRWAVLVAGSSGYYNYRHQADICHAYQIMKKGGLKDENIIVFMYDDIAHSAENPRPGVIINHPQGGDVYAGVPKDYTGREVSVNNLFAVLLGNKTALTGGSGKVVDSGPNDHIFVFYSDHGGPGVLGMPTYPYLYGDDLVDVLKKKHAAGTYKSLVFYLEACESGSIFEGLLPDDINVYATTASNAEESSWGTYCPGEFPSPPPEYDTCLGDLYSVSWMEDSDFHNLRTESLKQQYKLVKDRTAVQDTFSYGSHVMQYGSLELNVQKLFSYIGTNPANDGNTFVEDNSLPSFSKAVNQRDADLVYFWQKYRKLADGSSKKNEARKELLEVMSHRSHVDNSVELIGSLLFGSEDGPRVLKAVRAAGEPLVDDWSCLKSMVRTFEAQCGSLAQYGMKHMRSFANICNAGILPEAVSKVAAQACTSIPSNPWSSIDKVCNSINEERIAAISPTIRLPSERAAAAAGDETDDAVGTRWAVLVAGSSGYYNYRHQADICHAYQIMKKGGLKDENIIVFMYDDIAHSAENPRPGVIINHPQGGDVYAGVPKDYTGREVSVNNLFAVLLGNKTALTGGSGKVVDSGPNDHIFVFYSDHGGPGVLGMPTYPYLYGDDLVDVLKKKHAAGTYKSLVFYLEACESGSIFEGLLPDDINVYATTASNAEESSWGTYCPGEFPSPPPEYDTCLGDLYSVSWMEDSDFHNLRTESLKQQYKLVKDRTAVQDTFSYGSHVMQYGSLELNVQKLFSYIGTNPANDGNTFVEDNSLPSFSKAVNQRDADLVYFWQKYRKLADGSSKKNEARKELLEVMSHRSHVDNSVELIGSLLFGSEDGPRVLKAVRAAGEPLVDDWSCLKSMVRTFEAQCGSLAQYGMKHMRSFANICNAGILPEAVSKVAAQACTSIPSNPWSSIDKGFSA >Sspon.08G0000770-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:2648121:2649017:-1 gene:Sspon.08G0000770-1A transcript:Sspon.08G0000770-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQQRRGASPPSSGGAGAAELELPGFRFHPTEEELLEFYLTQVAHGRKLKFDIIPTVHLYRHDPWELPGLARIGEREWYFFVPRDGGGRAAKQAGSGSGSGSGGGRPSRTTERGFWKATGSDRAVRCAADPKRLIGLKKTLVYYEGRAPRGTKTDWVMNEYRLPDATTCCNAAGDSSAAASTNKLPKILRTVNMY >Sspon.06G0005930-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:18502699:18505307:1 gene:Sspon.06G0005930-3D transcript:Sspon.06G0005930-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding TFHMPHPSNGDAPGLHRPSSGGAPGLPCPSGGGALRPRSSKSSRRPSSLSQPKQQQPPGPGVHLSSTWPLGARSGEEAATTMRCGTSAGSIIENDMHHGEEVAAAATGDREEAAMMARKKGLGRRQAGQVVGTVVGRLGAFGGGGGVLRPRRWSGSRGEGGLWVAWRGGSLPVSEEDGGAPVNFLLFRRGRGGRWPWPRGSGRQGVDHGEGAGEEALRGKEDRCAEVLNTTRRTPVPIRHGTLAAMLPAVLLPSPPGCHLASFPLCCHAPTKQPSTAAARGLLLRGARSPVVKRVPDGGGWLLWHQSGPRVALSTSMDGLRWSAPVQPDPLLPSEDWWAFDTASVRPSDVLVVSGPAASSRRFPSSAVYWLYYTGSTDERFGSPFPDADVPALPGLAISQDGRHWARIEGDHHTGALFSVAEDGEEPRGWEARCIVAPKVVMHADGDLRMYYHSFDEMLQRHAIGLARSRDGIRWKKTGKVLEAGRAGSFDECGVRHGHVVRDRAAGWYVMVFEGVDADGRVSIGMAVSEDGLKDWRRSSEMPVLCPSDDDEGWDGAGVGSPCLVQMDGAYDWRLYYMGVGRDGEASIGMAYSEGQALLKFEKCDAVLM >Sspon.07G0010740-1P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8A:61287432:61288001:1 gene:Sspon.07G0010740-1P transcript:Sspon.07G0010740-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAYGLDVECPHIFDGTHFARWRNWMTCNFKFISPQLWWIVDVGFSFAIDKKNATQAQSKCLHLDSQATNVFYRSMDSSILCEIIDFESAHEIWVFLNEKYGAISNDDEPKKEAHEDVEHDHNKVVVEDCSTSRSSDDKNNHITRSLDKKNDNATSDASNDSTSCTLDGEDDGYESDASTSSSISSHGTL >Sspon.02G0015570-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:42478386:42480696:-1 gene:Sspon.02G0015570-1A transcript:Sspon.02G0015570-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGSGGSAPPPPLHMDDFQLEGKKPVKNPFVPIGALVTAGVLTAGLISFRYGNSQLGQKLMRARVVAQGATVALMIGSAYYYGDEIKLFKKGSSP >Sspon.05G0031190-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:14322994:14333362:1 gene:Sspon.05G0031190-2D transcript:Sspon.05G0031190-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKVRFGLLVAMFNAMSGDKSSAKKRSRLRAFLDRAYVPSGGRDDYFSVLRLVLPGLDRERGTYGLKEAALAAVLVDALGIARTLPTPSGSPTGAAAGVAATLATSASWLLRKNEYPKKPPKFYEVTNNSKERPDVWIESPDKSVIISVTSDIRTIKSEVFAAPYCLRFPRIQRVRYDKPWHECLDVQGIKYQAALRQGRIIHYEWILDCCKEKHLLHLQPRYILFLADFARHKFPEEIDSYADYYYWDIEIADLKQIFSNIDKDAGDSNMVNQYKKKHCVDERFCFFQGCCVYLHNAPLVNADYNVISDLALKRVKQDLTMHGGQVCSSIVPATHLVVVSVLQAYNFDNLYKSFPPAERRYLHDKQLHVVSNKWLEDSVEKQMKLSETAYSLKPDTLEELEIERSEENVQPPDHKLEEHMEVERSHAKNVPRERSRPTSSRTARAAPRPVRRIRPRRGNQKAKIGDDVESEESAPSESQDDQNLDTDDTSKIEEGITSKKKGLPPPASKPARRTRATRGNQHTKIDDGGSEESGPCETGQDNQKLGTDCISKMEVDNSDKDCGPPPGAQLFTLGEQEAKGVKLNTVEEKPDSPFQRTTAAEAMSSAPGEKIEQMVDPLHAMLLDMLPLLGKKGTEDTSRAPLAKVEKDPPAVGSSTSNSEILVPDAGTSGVPAPDPNAAPPAKKKKVSYKDVVSELLKDC >Sspon.05G0031850-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:10354723:10357396:1 gene:Sspon.05G0031850-1C transcript:Sspon.05G0031850-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVYVVHTRRDRRGWRGGDASAMTSRNLVRLASRSVAATAPAIPSSSSSGGALSLSRTGGRPLRATSPPPPASIASAGCWESRTLCSDGEDWEEVVVAEGDADAPDAHQEATEEHGVVFGVAPTDDEVRAAVASIKQVFEKAPAVDSEPADLQALALPISVHPSSGIFMNHFALDSDASEVGLDEWTEPARLVLNSSALLTKEHRSVLDAFHLLHEDASVQKMVMALSTDKAVWDAVMNNDVVQEFKKSFQDGMWLLDSAFGIFGLAWVNNGSSTAPPGFMMWVLENTQAKIRDFLEKILGLVNMLFQAGGKNYDFSDDIVKMSFMLSVFVFIVKKGVQYKIDAIMVQNMSKEWKSQGIIEVVDARRVQ >Sspon.02G0037680-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2B:35202573:35205451:-1 gene:Sspon.02G0037680-1B transcript:Sspon.02G0037680-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GWHDGWFYLRNDDGLLLSFSGQHRLRLDEMKLGVSLEESQMSHETLPLDEVVQCARWVMGSFKQEDIDEFSVQDLSAVKDSPPPVPEDHAARQQRLEGLPEEESPSETASEEEDEDSDEDDIGSWYDTATFLAYLPDVRSLQGPVGGGRYEKTLETNGRRNGPRSGAPAPLLGRPPSPGGQSPSTSRILPPSPLRINNNRRS >Sspon.01G0002260-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1A:6278454:6279237:1 gene:Sspon.01G0002260-1A transcript:Sspon.01G0002260-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRATSLLRIALPRADFSFPHGSAIVSRTSSPSRRRPVAEAHACATAAVEPVTAAPKRQKRREEPSLDAMPDECLFEVLHRMQGARELLTGIRASEAVLAPAALAVPDLNQEYLSEDDEAMLARGPSRARRPRTRASRRRPSPIAWLSSPSAGATRRVASPTLASPLSPAAARMLQPAGRWRRWARRRRRPAGRCLPPAASVRRTKEAAAA >Sspon.01G0057320-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:4319187:4322630:-1 gene:Sspon.01G0057320-1D transcript:Sspon.01G0057320-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSQANLDKMQLRQSYRNVWHTDMTNAIQADFPSIVGSEELSEASQILNCLSDLVYWTYIVHPFLLATLLIMALLNQTFTYPSCGIEMQIQTQHKVEMDKRDGKFGPQPMAVPPVQQMSRIDQPMPPPAGYAPQPAYGQPYGGYPPPPPGQGYPPAGYPQGGAYPPAQGYPQAGGYPPPAQGYPQGGAYPPPGSYPAQGSYPPAQGSYPHRVTLPNKEHTTGEMPLLGNQISCTYSEVSTELSLVET >Sspon.04G0037280-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:66328307:66329827:1 gene:Sspon.04G0037280-1D transcript:Sspon.04G0037280-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGTEHALSASSTATPPPPPHFVLVPLVAQGHVIPLVDLARLLAERGARASVVTTPLNVARQRGVAEQAARAGLLLEFVELPFPPADSSLPPDCQNADMLANNSQFLPFFLELRELAGPFDAYVRSLTPRPSCIISDWCNPWTAGVARSLGIPRLFFYGPSCFFSLCDLQASNHGLHERIAAGGDQDKHAVPEMPVPVVVTKATAPGFFTHPATQLLRDEAMEAMRTADGAVVNTFLDLEAQFVSRYEAALGKPVWTLGPLSLCNRDAEATASRGKVAAGAAGQQQAITAWLDKQAPGSVVYVSFGSVARKLPKQLFEVGHGLEDSGRPYLWVVKESEASTAEVQRWLQDLEARTAGRGLVVRGWAPQLAVLSHRAVGGFVTHCGWNSLLEAIVHGVPVVTWPHFGDQSFNEQLAVDVLGVGVPIGVTAPVFILDDESVAVVRGDIVRAVSALMGGGETADERRRKAKEYGEKAHRAMEKGGSSYENLTRLVQSFMRSEIKEHQDR >Sspon.06G0012710-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:54751155:54754840:-1 gene:Sspon.06G0012710-2B transcript:Sspon.06G0012710-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKPGEASAAPAPASAPPPMAAAAVAAPVTAAAPEATVSFQQPAAAAAERGSSSAVLVPPLATAAAGGGGAMALGPVMMKVPKKRGRPRKYGPDGSLIRPLNATPISASAPMPTSVAPGQYTPASADVTMKVISFSQQGPRAICILSANGVISNVTLRQPDSSGGTLTYEGRFELLSLSGSFMPTENSGTRSRSGGMSVSLASPDGRVVGGGVAGLLVAASPVQIVVGSFLPSYQMEQKNKKPRVDVAPATVPQTPPAVPISSTDTHSSEQGQQRSAAQRGMTSGGAYSVDQSWASPAQQPMAEVSRTPSSGDLKMTASGS >Sspon.05G0015070-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:56726351:56730421:-1 gene:Sspon.05G0015070-2B transcript:Sspon.05G0015070-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPENTTPPSTHVSAPEASTPASTLEAPLVASEFSSKDLAEFISLRDSEETVRWKVIRKRGWKLDNQINNDIKTSRHWLLADFGLLQESSRAMTWVLLPLGFD >Sspon.03G0023660-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:90770081:90773655:1 gene:Sspon.03G0023660-3C transcript:Sspon.03G0023660-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGARRWLRHGSGLQLLFFFLVVLLQAQASLGAASINGEGLALLELKVRVEADPHGVFQDWDPMDSSPCSWNLTGRELAGTLAPEIGSLQGLKFLLLPKNNFRGRIPREFGGLSALEVLDLSSNKLDGTIPEELGAMPLLKQLSLHDNQFQEGVQAIADDQAGCLSRKLGCWSLLYKSDFKDWISLSGLREKYNTNVPNFSEAHVMKNLQSFASAMRRRLLSETDNLPALLGNDAKSSDPENPKEIQRPVDVIPLGSGSFPAFPGIYGNALTPLLPEDIDATAVQQLSTEVAQSTDVDTSGTKYSKWAYIITIPAVILLIGLIVLIILVLRKRGRASVAPWKTGLSGPIQKALVTGAQKLNRLELEAACEDFSNIINTFPTCTVFKGILSSGVEIGVVSTVISSSKDWSRSAETCFKKKIDTLSRVNHKNFINLLGYCLENEPFTRMMVFEFAPHGSLSQHLHVKEFEHLDWAARMRVIMGIAYCLQYMHHELSPPVAIHDVRSDTTFISDDYAAKIADVGVWNELAAKAKAGKEDGSSRSEAPPDLPSNVYCLGALMIEIISGRVPDPDDHKPICSWASEYLTDKNYNKLVDASLKEHKDNELEAVCEVIQECIDTDPTQRPSMRDVVGKLQPPLGISPEAAAPRLSPLWWAELELLSVKST >Sspon.07G0019720-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:72900604:72902066:-1 gene:Sspon.07G0019720-1P transcript:Sspon.07G0019720-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAPFAQVMEDMAKGQEYATQLQALLRDSPEAGRLVDRILHAMSRTIDTAKAAAAEEEASEVQSDVTCAGTAAGGKRKAAAGGGDKRAACRKRGQQSSVVTKNTKDLDDGHAWRKYGQKEIQNSKHPKAYFRCTHKHDQQCLAQRQVQRRDDDPDVYTVTYIGVHTCRDPATAVGPLVVHAAAQELHHAGSRLISFAANASVATTSTTTTGNTTGQQAGHKDAAALLLARPLKLEGGGEQEEVLSSLTPAPGPDQGDVTSGLQLQQQHCYGGDLADMAAHFGYDDTFWTTLCSDSITDILSSDL >Sspon.01G0044980-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:86544246:86561688:-1 gene:Sspon.01G0044980-1B transcript:Sspon.01G0044980-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding YTKQNLHSTNLPAIKIDKDSEATGETILVVLRRALSQYSSLQGPDGHWPGDYSGILFILPLMIFALHVTRSLNEVLSIEHIREICRYIYNIQNEDGGWGTHTLGPSSMFGTCVNYATLRILGEELDGENDALSKGRAWILSHGSATAAPQWAKIYLSVPTIGLGTMQLFLSYGCFHIFSQFIPEVLVLLSDGLHANGLHLWKKFVGPITPTILAIRNEIYNIPYNEINWNKARNSCAKEDLIYHPSWLQSIAMAYLNKFIEPLSNLWPMNKLRERAMSNLMEHIHYEDETSNYVGLCPINKALNMICCWIEKPNSHEFRQHLPRIQDFLWIAEDGMKSKVYVGCHSWETALIVQAFCSTGLTEEFCSTLQKAHQFLKNAQVIQNIPNYKSYYHERTKGSWTLSNGENFWPIADTNAEALKAILLLSNIPSELVGDPIKQERLYDAVDCLLSFVEYVDSGKPHAVNTAQAMLALLYAGQVEQDPAPLYRAAKELINMQMESGEFPQQEVVGNFNSSLFFNYPNYRNLFPIWALGEFRQRLAKK >Sspon.07G0010870-3D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7D:33322912:33323799:-1 gene:Sspon.07G0010870-3D transcript:Sspon.07G0010870-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCSSRSGGRRLKDRLTQLLLRPANTFLRSPCSSSSSTSTVFTATAAAATTISISSTSSSTTAANYTSAAAPLSRAKPFSAALGRLRRPPPETESMRSNKEQRSTSSRHSGRRRFKNAVDKGGVRTLSSNPYGFTSSGEDDDTDGYADDDTETFLSSRSLMSSDSSGFYTYRKLLQPPSKNKSHLRRQRRRRPRCCRRPSASCVEMCGAGAVREPGFRPLVAMETATATAAAVEQVRKGLAVVKRSRDPYGDFRESMVEMIMGCSARRSWSGCYGRTCRSTRRDSTPSSSRRSPMS >Sspon.06G0004450-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:14003501:14007429:-1 gene:Sspon.06G0004450-1A transcript:Sspon.06G0004450-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Signal peptide peptidase-like 1 [Source:Projected from Arabidopsis thaliana (AT4G33410) UniProtKB/Swiss-Prot;Acc:Q93Z32] FEFSKRTAHSSGPFSYRYWPSSALVGPTTSPEPDPLSLPIPIRHPPFFSLMPRRNLAAARRPIHRHKRNPQGPIDRRPLRRSRAASLLARPGGNQRAARPPASRPRPHGPRKAAGRGKPQPATDSDPTSSQPQADRSSSPVLTTTAISHAYFHPTTQVFSPRPNLPPRGAASTGFALFFILSRRKIRLSWPPHIGLPTVRGHLPRLKIPGLGKSEHRIRDGILVEIDLLARAGITCSNCHSHFCGLCISIACSGLWQRDGEKLGFFRGFHYIGSVTGSNDSLASSCSLLLMFYLFSSVSHLVTAFTAVASAMALFFCLSPHITYLKTQFNLMDPFVSRCCSKSFTRLQGLLMLFCIATVLAWLVSGHWLLNNLLGISICIAFVSHVRLPNIKICALLLACLFVYDIFWVFFSERFFGANVMVSVATQKASNPVHTVANKLSLPGLQLITKKLELPVKLVFPRNLLGGIVPGNNPGDYMMLGLGDMAIPGMLLALVLFFDNRKFKDVNVPSDVSPPKRGNYVWYALTGYGIGLVAALAAGILSQSPQPALLYLVPSTLGPVMYLSWLRNELWELWEGSGTILNEKARLLEV >Sspon.01G0011990-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:32461022:32462234:1 gene:Sspon.01G0011990-2C transcript:Sspon.01G0011990-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNEFTAALAQIYEKLRQAGEKFEVVAVYFRCDESVFQESFASMPWLAIPYGDSMCEKLVRYFDLRALPTLVLVGPDGKTLNNNIADVVEEHGVDAWEGFPFDDEKMEVLISRSKAKAATQTLESLLVSGDLDYVVGKDGAKVPVADLVGKTVILYFSAKWCAPCRAFLPTLVKEYGKIKEKTSDFEIIVSVDKDQSAYDEYFSAMPWLALLLEDERKASLMKKMKIRAIPSLVAVGPSGATLTTDAKSHIVAHGADAFPFTEEVLEELGRKLDEEARAWPGKVRHELHELHELALTRRDAAVTYTCDECEGLGSSWSYRCDRCDFDLHPKCALGKEEEEAKAGIEQLLPAVAYVCEGGVCRKA >Sspon.02G0012190-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2A:32151782:32152105:1 gene:Sspon.02G0012190-1A transcript:Sspon.02G0012190-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAVAAPVVIGLDAEVGSGGDGGDAQLEVPPVEVVRKGAPLVEGVPVAVTAGGDDTEKEVKGEKGVNPVKWLGLYSSAQTSLIVGDGDFSFSLALATAFGSGANLVA >Sspon.03G0039400-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:14347718:14350608:1 gene:Sspon.03G0039400-1C transcript:Sspon.03G0039400-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDTLKSLSMDYLNLLINGQAFSDVTFNVEGRLVHAHRCILAARSLFFRKFFCGAAAAADAAAPGALLLDHLSPRSPSGASSSSSPRGGGAGASAAAPGAVIPVNSVSYEVFLLLLQFLYSGQVSLVPQKGEPRPGCGERACWHTHCAAAVDLALDTLAAARSFGVEELALLTQKQLAGMVEKASIEDVMKVLMASRKQDLHQLWTTCSHLVAKSGLPPEVLAKHLPIDVVAKIDELRLKSSLSRRSPFLAHHHPYHPAAGGMEASSAADIDDHHKIRRMRRALDSSDVELVKLMVMGEGLNLDEALALHYAVENCSREVVKALLELGAADVNHPAGPAGKTPLHVAAEMVCPDMVAVLLDHHADPNVRTVEGVTPLDILRTLTSDFLFKGAVPGLAHIEPNKLRLCLELVQSAAMVMSREDAHTAAAAVNAAPMYGEPSGGAGGPVYNASGTSSSMVNLSLDNRMVYLNLGMDAQFGKMGDGSDGDDGGSRGQGGPSSLFSPHGYH >Sspon.03G0009720-4D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3D:31394809:31395915:-1 gene:Sspon.03G0009720-4D transcript:Sspon.03G0009720-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MINAKNGRYDIDTRRCGIHSAPTKSMYLDAQQVVNCQATVRDHDVGSCAVKHHFPSPIVSWIEDLSSFGNASFSPYSEYVDEQARASVGQSSTSSNLHDMQISVRLTDEFMELAKENTSKNLETCGILGASFCQAVSEEEIHAVLSEQSLYPAGWIHLRAKPSFILACFNFFF >Sspon.04G0027930-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:62489474:62500212:1 gene:Sspon.04G0027930-1B transcript:Sspon.04G0027930-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHADEGGAQVQHVMQQADVEAVKNAVTGMSDQEINDACTCCYPAIAEHGVRFRLAFGTPHHYRNKKYVDIGCLVGGRKLFIWTLGVLLVVTVLAMLNLVRGRRILLPTLLEGPRCYSDAAVEPDGISAEAKTAGLGSAGVDLSHPDTVPALLLDPGLAGNYPAASRFFSWAASDPAAKAALNSRSFNSMLQLAAAHGDAERFWSLVTSMRSKGYGISKAAFRAASESFRAKDMARDADLLQDAFAAHGRNAAAAEVCKVLRAPDKDDSSKLAMLSESGVEVTDELVALVVEKVGQFPRQAMVFFRWVEQSAGSGIGWGKVYNAMARVLGREDCIEEFREVLRKMRGKGLEMDRDVYVTVTDRFLKRTMVEDAVDLFRFMASRPEKLSREDFVVLLKKVVVTGDLDLKLVTRVVRYYQHAGNEVKAFAFDSVLKSLRSVARLGESGRVLMAMKEGGFAPDSVDHEKAILAMCDAGNLEEAHKYLKGAKEAFKVLKNLMSEKTVVPWQTTYKYLIHKLIRQGHLKQAFEVLGLMKSHGYPPFIDPCITHISKSGTVDDALGLLNATSSKGLPSRTAYVRLFQALLKEDRHEVAQQLLSQSPASIQNHVDSMHQKDSKPYREKRQETRFSETSGQKSEVPENNNEFSSAGAIIIDFHGMQRGKIGCKQGVPRLFFLNLAAPLLGWSWYHLVPSERSSVSMMAVRGTLTRPRNSAPKKRSSLSTETTSSSATSPTRNPNSSSHTGLRLRFTTVVARGRRRPSCSRT >Sspon.01G0019510-3D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:70887895:70889556:-1 gene:Sspon.01G0019510-3D transcript:Sspon.01G0019510-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGACASSSRGRSNHGKNQKSAKVAAIRSTPAVQAGRAAASSEMEEKSSFTWRIDGFSSLLDKQKGWTNSGYFEMKELKWYLQLNLKDRKSRDERDYVSLVLVLSKTSDLKPNTIVEASFKLLIYDQAYGRHSEHEFSHQFQTTQSSRSSGISCMILVETLKEPSSGFIVGDSCVFGVELIKLTTAKAKHSSGTLHVQKINGFSAREAYTWVIVDFLTLKGRCYSPEFEIGGRKWYLTMYPSGIDGNEEFLSLYLHMAKPDGDDSQQNSGVLVEVSLSIKDKVTSNRNTKTGRCQFQATEDCDGWGWAKFMATKSVKDWYLVKGSCLIEADVAIVGSSKME >Sspon.01G0022610-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:82434782:82438720:1 gene:Sspon.01G0022610-1A transcript:Sspon.01G0022610-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADDDYNEIDMGYEDEPAEAEIEEGAEEEPENNEDAPDDVIGGEGEDKEQEKTKRERKTSKYMTKYERARILGTRALQISMNAPVMVELEGETDPLEIAMKELRARKIPFTIRRYLPDGSYEDWGVDELIVEDSWKRQVGGD >Sspon.07G0020200-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:68717322:68729647:-1 gene:Sspon.07G0020200-3D transcript:Sspon.07G0020200-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPWPHVLTVAGSDSGAGAGIQADIKACAALGAYCPSDITAVTAQNTGVHAVPEEFVGEQLRSVLSDMSVDRGRGMLPSAGVVKVLCESLRKFPVKVTPNVREASKLLGDVSLLTISDMRNAAESIYKLGPKYVLVKGGDMPDSSDAIDVFFDGKEFTGLRGLRIKTRNTHGTGCTLASCIAAELAKGATMMHAVQVVKKFVESALYHSKDLVIGNGPQGPFDHLFELKSPLYKMGSLQKFNPDDLLLYAVTDSGMNKKWGRSIKDVVKAAIEGGATIVQLRERDAETREFLEAAKACVETCKSSGVPLLINDRIDVALACNADGVHVGQSDMPAWEVRELLGPGKIIGVSCKTLSQAMQAWKDGADYIGCGGVFPTTTKANNPTLGFEGLRTVCLASKLPVVAIGGINAGNAGSVMELGFPNLKGVAVVSALFDRELTAAVAVGWGMKAIGWLASPIISEILKKGFSYLGFDAPKKLKQLETRLLLLERVMEAVEESPHRPRLEKLFRELKSAFCEAEDILDDIERVLKNGLEKIETIINDACQILEHLNLPSVANSNGRQNFPANSRSVVTTGAPPLKVFGRDKERDKVIAMLHKKECDGQQKSKSGIPYSVLGIHGIAGSGKSTLAQVVYAREKKDKQENKVGHFDHVMWVHVSQKFDLDVIFRELMEGATGSPCPTFNSRNALQEKLEEKLHGKRIFLVLDDVWYNNRDARKQEELQQILSPLKVGETGSKILVTSRSRDALLALGSVEERCIPIPDLDDEAFFEMFMHYALGDATIEDDHDRAKLEMLGEDIAKKLKRSPLAARTVGAQLCLRPNVEFWRRTKDRALLNDTMGALWWSYQHLDEQVRRCFSYCSIFPRRHHLKRKELVQLWMAEGFIKTSNAEEDLDGIGQEYFDELVSASFLQLGERRVEAGCEFVDYFTIHDLLRDIAEEAARGDCFRIEKDFTGQVPPDVRHLFVGSWDRKMVDKKISELQNLRTLIFGDSLPPDDEVYQGMFRRFRKLRVLILSFRPTGLAHNFPVPECIGQLKHLRYLRFDQSWAHTLILPSSITKLYHIQLIDVSDFVNVVFSGGKNMHHLVNLRCVSSRVDLDIPNIGRLKRLQVLPYFSAMKKQGFKLRQLKDLNKLEGRLEIRNLENVESKEEAVEASLADKERLTTLLLCWGNDTRCSPEEVEAEVLEGLCPSKYVERLEIWHYHGSTYPNWMVGKQNGGPKRLHNLGLYNCARLEPAPELFEVFVHLRWFRLWHSNWPALPDNIGQLTSLQVLDIGHCSNIRSLPALPRSLQKFCLVSCNKEFMRSCETVEDPNWQKIQHIPEKVMMHVT >Sspon.07G0018230-3C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7C:79601392:79604898:-1 gene:Sspon.07G0018230-3C transcript:Sspon.07G0018230-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoglucan phosphatase LSF2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G10940) UniProtKB/Swiss-Prot;Acc:Q9SRK5] MAAMANTSRLPTPCGLPTVSVGAKSRRLAMAAVGCGSGGSRSHRRSLGVFLCRSSSTAGAQGSTRMEDYNTAMKRMMRNPYEYHHDLGMNYAVISENLIVGSQPQKPEDIDHLKDEERVAYILCLQQDKDIEYWGIDFQSILNRCKQLGIQHIRRPAVDFDPDSLRSQLPKAVSALEWAISQRKGRVYVHCTAGLGRAPAVAIAYMFWFENMDLNTAYKKLTSIRPCGPNKRAIRAATYDLAKNDPSKEPFESLPEHAFEGIADWERKLIQDRYMVNLYVYKEIAIPGSWEQAPL >Sspon.07G0008680-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:19621379:19622735:1 gene:Sspon.07G0008680-3C transcript:Sspon.07G0008680-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLPQHHKPSAHSCCSSWIRRSPPPSPPHKKPGGGGRGRYACRLVPLLVLTVYSVVTVLRIPSSSLVVSTADSERVDRREDLEALKTHLPSNQNSLEAREETRSVASLPCSAFISGEAGHGEGEGVLCCDRSHYRSDVCYLRGDVRTDPSTSSVLLYNAPRGSAPEKVRPYTRKFEDSIMSSIDEVTIQPVAAPYNASDGGTLRRRCDVRHPRGVPAVVFSTGGYTGNVYHEFSDGLIPLFVTAQRFAGEVVFVVLEYHYWWLGRYGAVLEQLTNYKVVDFRYDRRVHCFDEMIVGLRIHGELVVDPKLMPNGIWDPPVN >Sspon.05G0002160-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:1250102:1250758:1 gene:Sspon.05G0002160-2B transcript:Sspon.05G0002160-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKVTVLKVDTSCAKCKRKVLQAVTGLHGVDKVEVDSEKSTMTVTGTVDPVDVIVQARKAGRRASVLTIGPPPKPAEEKKKPAEQDKKKTEEKKTTAADAEKKAPETPATVFVHHVPSWPACPRYQERVVYEQDPRLAPSCNLVQKKQFSLLKIRV >Sspon.02G0050690-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2C:65856763:65858271:-1 gene:Sspon.02G0050690-1C transcript:Sspon.02G0050690-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLVRTRCLLLQVLLLLSSAWMGHSGDEGALLAFKAKISGHSGVLDSWNQSTSYCNWEGVTCGKRHPWRVVSLDLSAQGLTGTISPAIGNLTFLLSLNLSTNALQGEIPPSIGSLRRLQRIDLSENMLTSVIPSNISRREIYMYSNLGVQGSIPAGIGNMPSLSVLMLFNCSITGTMPPSLGNISRLTKLSLSMNYLEGSIPAGIGNNPYLAVLQLSINNLSGLLPPSLLSNLSSFYYFYVAENKLHGHLPSDLGNGLPSMLQMGIGENQFTGPLPLSITNLSRLHKLYAGFNSFTGTVPSELGRLQDLQVFVLDYNMCETNNEQEWEFIASLTNCSRLQMLSIGGNRFAGKLPSSLANLTSNLQWLRTPSNYISGVIPSEIGNLASLANLDFDDNILTGAIPESIGKLTQLIQLYLYSNNLSGRIPSSIGNLTALSELNASGNSLEGSIPPSIRNLRKLSALDLSNNKLTGVIPNEIMELSSITISLSLSYNLLEGPLPSEV >Sspon.01G0028710-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:110844348:110847434:1 gene:Sspon.01G0028710-2B transcript:Sspon.01G0028710-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGNMAESKVASVDARTTKIRNVPIAVTPEGFWCCPSQAVLQKTAKNQNQQAKTKPKGGASPLASKASSIQRAPTISSERRTHSTPTRGAEVLAAEDAATNPPKAVNERPQKQHKVSVGFGQLEISDLKVVLYGKDGVAVKMSVHKNILAENSTFFADKLSRQSPVSSIEVPDCEDVEIYVETVGLMYCSDVKQRLIKQTVPRVLRVLKVAELLGFPACVMSCLDYLEAVPWVGEEEENVVSSVRNLQSENYGVSPVLKRVASDLTTPPNDTFSHIIELVLRSNEDRGRREMKSLVQKLLKENSTSCISGSSDLCSETLYRSCRNCLDSLLILFQQATDGDFAEQALNIKEPVFRQIALEADNLLWLAEILADRNAADEFAVIWSSQRELAGLHSKLPVKSRHLVSCVTARLFVAIGKGEMLPSKDTRWLLLDVWLQPLMDDYNWLQHGCRSFDRKVVEEGIGRTILTLPLEDQQTILLSWLGSFLKVGDSCPNLQKAFEVWWRRTFIRPYAEQQ >Sspon.07G0021880-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:5134434:5136131:1 gene:Sspon.07G0021880-1B transcript:Sspon.07G0021880-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATSSACTAAVALASLPFAASTSASPRVAASGHRGPRRFRAATVRCSSASPNVSQGAPAPAPPKPRIELEFVGPKPGADGSFPVDRADAASGEKLLRDIMNENKIELYAAYGKVMNCGGGGSCGTCIVEIIDGKELLNERTNTENRYLKKKPDSWRLACQTIVGNKENSGKALWFNGCPSGRNNDCAAPHCWMY >Sspon.02G0026980-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:96730818:96732954:1 gene:Sspon.02G0026980-1A transcript:Sspon.02G0026980-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEMVSSVVIQESFNQILSGLVKKYEGKEETNGFRNIERLEMAHIRLEAALETSNKWQINDTSMLRWQKKLKRAAQECDEKLHKCKQRILEEERMEQEVRNSSIPKWIGHATKSLVFSIFNRNSDELNPSVVQRFEWYADGASEFLRFIELGGTPLCHIMPFGSLINNLLAGKELHHTIVRGSQQPYKCQLWLIPFSTAEQGTEVFLIFIKKDGTTEGNIFFSMIVQLSESTDIVGIAVRSLQLFAPHVKFIVENITNELTQLPTQDFSWVPFAYSYHREHLYNLHNFASQWFRPNPLCCKQQQQHEVRHFSNLNMAGLSDVSLEPVIEFNLQWLVSNSVYSKRKTSLSEGTMSLQNSPYLKAGIAFAPHGSSEDILPVNKSSETVEIVGGQQHVLHTDISLEQLEDIMLAKAVDYFCLNGEASVYQMIWTSKHGAARIQVEKPSINTRRTSMRAQRTFGGPTNRKLLRGQDQKIGSFLGVLTHFLNLWGAHVPIRLQSSLMDWMQKEKETQLAERKAKPRRMGKG >Sspon.03G0020800-3D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3D:51064481:51064709:1 gene:Sspon.03G0020800-3D transcript:Sspon.03G0020800-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AGCSHVCRANLRAAGAERLHRWSERVRAGYRDVHGDGQPHSHPHVRHLQHHPAQYLRREGHRRPPGEDRAARLQR >Sspon.04G0008310-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4A:24183595:24185148:-1 gene:Sspon.04G0008310-1A transcript:Sspon.04G0008310-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding IPLRPCLLEFNEEEGTSAPKLMLTTSSEKSKRKRGQHGRHQLPTKVFAIHEVGKKGEPLEPVSVISKFSNACGVLVRERVDFNIDEWKKVDVLLKNSLWEEIKRRFTYPLGTNEELNRSYALSTCAKALRQFRWKLNQKYVKKGEMPFKEYGFITQERWDQFVRYHTSEDAMDKSEKFSLLAKRNLYPHHLGSTGYVVKKKKWRREEREAVVAGKPIEYEGLNERTRDFLKACRPKQLAQGKSKFNEPQTEEAEKKILAFVTAEQAGTFTPHREKDQLSAALGNPEHRGRVRGMSSRMSWKDAWAIDAGSCRTQQGYKEKLIQETSEETMREIVMEEIRNVLTSGDPKMVQLRSQFLGKASSMELMQRTQLDQGLSVPSSSASTVNQPADDIVSCTSCSLHIPVGRKKRMMEVATGMAIPGRTFQCQPIPIDYAKVLVVDVHPNHQRLEIDLPTKEGIRYLGDAKDNLILWNRYDIVLTTASPPLPPVQLESDNDPLQGQASASGNVTVEGEAATPS >Sspon.06G0017650-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:78192364:78199213:1 gene:Sspon.06G0017650-2D transcript:Sspon.06G0017650-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRVLSGLPMSYKKIAMANRMKSLRENLRKIAKEVVVFNFKKGSSTNNEQPYDERETMSYLPEEPVVGRDGEKQEIINMLSAKTSNDDESVIVAIYGLGGMGKSTLAQLVYNDAQLKKYDYRIWVYVSQDFNLSKIGSSIISQLPTQEGQQNLGTQQAIKQHLDKLLHGKTVLVVLDDLWEEKMTELGKLRVMLHVKGSKVDVIVTTRKEEIAMEVSSNKAYKLQRLEDDKCWEIIKRFSKFELKSNQEKMEQVGLDIAKKCGGVALAAQAVGFMLRSINDLSGWTEINNSDILNGSSEASDVLPSLKLSYERMPPQLRICFSYCAIFPKGHNIIEDDLVQHWIALDFIEQSKGKECINKLLGMSFLQVSKLPLTSQEHVVRYTMHDLVHDLATLTVADELKVFDVAPRRNTHANKYCRYSVLRNYDRKMKLANMPSKMRALRFSDCGELLDIQGGAFSFAKCLRTLDFSECTGILLPASIGEPKQLRCLIAPRMQNESLPECITELSKLQYLRLNGSTQISALPESIGKLGCLRYLCLSGCSSISELPESFGGLKSMVHIDMSGCSGIRELPESFGDLKSTVRLDMSGLEEWNTTYSVEDGVEEFMFPVLDRWRYDGVQALPEWLGNLSSLKSLVILGCRSINSLPSCIQQLTKLQKLEIRYNPELKKWCESEENKTKLAHINIIQ >Sspon.01G0025080-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:88906065:88908407:1 gene:Sspon.01G0025080-1A transcript:Sspon.01G0025080-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVGEDDAAWERAISAATKATSAPKTLALDGIVKSSTGRLPSAALLERVAASLEELSVAGARLSSLEGLPRLPALRRLSLPDNRLSGAAALAAVAEACGATLRHLDLGNNRIADVQELAPLAGVGVESLDLYQCPVTKVKGYREKVFALIPSLKHLDGADAEGNERLETDDDEDDEEDDEEGEEGEEGEGVEGEEEGEEDDGEEGDEEDGEDGEGDDEEEEEGDEEAEDEDGEAEDDELDADAEDKENIESKAGSSLPNKRKRDNEDDANGDK >Sspon.05G0014690-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:55804876:55806957:-1 gene:Sspon.05G0014690-1A transcript:Sspon.05G0014690-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFRPLEVWNRWAIQILLLLSLGMHVLLVPLAGIRRRRAPMLLRVPLWLAYHLADTIGIYAIGLLSLSSAPRDHRLMPFWAPFLLLHRGGPDSIGAYAFHDNQLWLRHLQLFVVKVLAVTYVLYILLPKGDTSLALAALLMWAVGVAKYGEKVAAIRGGNKSSIRRSLTKKPPAARHHHVHHWDHGVPNKAAAVDEEEAHLRHAHHMFHFCKRATVDSSWLEKDPEHSTLEMLRALRKQDARGMWAFAELQLSLLYDVLYTKAAVVHTWPGYLLRLASSLAIAAAFVLFHFSGRDDDDVIDVVVTYVLLAGALVMEMASVLNALGSSWTYAFLCTTRWSWLRYAALCTGRWDRLRQLVKTIQGRSVSVRRWSGEMGQYNMLHFCSRHRRAHRPLAGRMAMALGFEDWWNRKHYSATANISYELRQGLFRYVQRLTETGLNSQGVIRKSWGQEALEREDKGLYERIKRDRNLGVEFQEGVIIWHIGTDIFLARSRSGIEEAAAAGDDDAAADLLLVVRSIRTLSNYMMFLLVNHPNMLPGLAQGMVYRRTCENLTDRCKNQQGRHLITRDLGTKLREMFCLQDGPASVPEQQLSHVHELANKVYEEMPKYSQSVPRLCYANGVAVELLGRVKEKGVEAVLRLLLDVWMDFVVYAANRCSRESHAKKLSSGGELTSVVWIMTDFLNQEAYARQKD >Sspon.04G0021380-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4B:68538339:68539436:1 gene:Sspon.04G0021380-2B transcript:Sspon.04G0021380-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MACIAWRQRRSTNGEQAGRRVPRYPRRRLPGELRQGSWRLFLEAGHSARFFLPCVCTLYRGFWLPDGILLNLLRVHASFEAEERPAPADILLASFPKSGTTWLKALAFATTRRSVHSPLDGAAGHPLLSSSSHDCVRFLDTLRFLLERDGGNMTTAPRLLSTHLPYSLLPIRRATAGGGGCRIVYIARDPKDTLVSTWHFDAGLRRSAAAEGREGAGGAAMAFELYCQGHCGMGPQWEHVREYWEVSKRTPGSVLFLRYEEMLQDLAGNLKKMAEFMGCPFSAAEDDAGVVRSILELCSLDKQRSLAVNKTGACVENLEDTVITIDNKHFFRKGIMGDWRNHMTPEMAARLDGIVDEALQGSGFTF >Sspon.02G0035170-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:17183784:17186252:1 gene:Sspon.02G0035170-2P transcript:Sspon.02G0035170-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLWWITVVGDFWFAVSWLLNQASKLNPIRRVPNLALLNQHVDPPSPSGGGSSSQLPGVDVFINTVDPVDEPVLCTMNSVLSILATDYPVDRHATYFSDDGGSLVHYEALLETARFAALWTPFCRKHRVEPRAPDSYFAATADGTYAGDAPGEFVGDRRHVRQEYEELKARVDALFTVIPLRSEANHRGDAKQGGDHATYMADGTHWAGTWIEPAENHQKGHHAAIVQVILNHPGDEPQLGTPASSSSPLDFSAVDVRLPMLVYIAREKRPGYDHQKKAGAMNVQLRVSALLSNAPFIINFDGDHYINNSGAFRAAMCFMLDPRHGDDTAFVQFPQRFDDVDPTDRYCNHNRVFFDATSLGLNGIQGPSYVGTGCMFRRVALYGADPPRWQPDGASKLLDNPRRQFGNSMPFINSVTLAAHQERPLTPLASLDDERLVEELADVATCAYEDGTEWGNGVGWVYNIATEDVVTGFRVHRKGWRSMYCAMEPDAFRGTAPINLTERLYQILRWSGGSLDMFFSRNCPLLAGRRLHPMQRVAYANMTVYPISAAFIFAYDLLPLMWLSGHGEFYIQKPFQTYALYLFVGIAMMEVSGMVEIKWAGLTLLDWCRNEQFYMIGATGVYPAAVLHSLLRLVGLKGLPFKLTSKPVSASGGAAARERFAELYQVQWAPLLMPTVLVIAVNVAAIGAAVGRAAAFGWSFPQIAGAASGLLFNVWVLLLLYPFALGVMGRWSKRPYLLFVLLVAVLIVIASAYVAVMAVVAPDSVAAFWLGGWPGIGAVSPAG >Sspon.01G0021390-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1C:78546435:78546902:-1 gene:Sspon.01G0021390-2C transcript:Sspon.01G0021390-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGSTATGRLRQGEVTSMHPCRVAERRSVDPANHPHRADLRWIRQIRARGPRFARRSPKVVSADGEEEDGAEEKGELRGEGGGRMTSNDTSFLGARRGPPSPHTGGAATVAGEVAQKEGASGAGKCPLSPCGVLGRATLFRFITRILMITCLLVW >Sspon.07G0001810-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:3243486:3254342:-1 gene:Sspon.07G0001810-3C transcript:Sspon.07G0001810-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable sodium/metabolite cotransporter BASS4, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G56160) UniProtKB/Swiss-Prot;Acc:F4IZC4] MRIVGSHNEPEAPRRPLLARSPPLFGGHARRLLLPLPAFVSDSAMVSYHPSLSLLRPSVLTARASVIGRCPTPNAFVSTPVVSAVPLRLRPLLRAAAGGAASPVGGNGGKRAVPPSALLDFARSNFLPLALISGVILGLLDPTLGCLAHKYSLSKFSTFGIFVMSGLTLRTKELGTAFEAWPAGLYGLGSILLLTPFVSQFIMQVQFFPREFITGKWVKHIFDPKSLNVPLSLARYIGTGAGVSLPTEKLFRSLVTRLLIPLIIGKVAREASKGIADFVDRNQQGFSVGNAVLLSLVPWIQISRSRSLILSVQVEAFAAAITVGVLIHLALLAFNIAMLHILSRLGKKGDSVFAKKEYTRAVILVSSQ >Sspon.08G0001310-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:2049634:2050915:1 gene:Sspon.08G0001310-1P transcript:Sspon.08G0001310-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLSLMAAAAASCSSVFSETCRLHRHREANRRCFTRNLGAQPNAAAADENNTIAPAETDTIVDHLMKAVDVAEPEAAARLQRVVNQIMQRQDEITGHVQRLVAGFNQLESQKVQVIEKLESEKAQKEAQVQKLTEELESERAQKTAEVQKVMEENVRLRAMLDKKEAQLQAMSEQCKFMALNHHN >Sspon.02G0029300-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:98384874:98388466:-1 gene:Sspon.02G0029300-3D transcript:Sspon.02G0029300-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGYIRKSSTVPHHHQVATFSPLGDFYNKRFSQLGVRQGSMRAPLLLWAAATWLAVSAVAHPGRGGGGEQPLSRIAVERAVLAVDDAAHVKASPLVLGLKGEKSEWVDVEFFHPNPSSDDWIGVFSPANFSAAICEPENKRQSPPVLCTAPIKYQFTNFKNDDYNKTGKGYLKLQLINQREDFSFALFSGGLLKPKLIAVSNKVAFANPKAPVYPRLAQGKSWNEMTVTWTSGYDITEAVPFVEWGEKGGRRFLAPAGTLTFDRNSMCGAPARTVGWRHPGYIHTSYLKDLWPDSPYTYRLGHRLMNGTRVWSKSYNFKASPYPGQDSLQRVIIFGDMGKAEADSSNEFNNFQPGSLNTTNQIISDLENIDMVVHIGDICYANGYLSQWDQFTAQIEPIASTVPYMIGSGNHERDWPGTGSFYGNLDSGGECGVPAQTVFYTPAENRAKFWYATDYGMFRFCIAHTEEDWRPGTEQYKFIEQCLSSVDRQKQPWLIFLAHRVLGYSSCAYYESEGTFEEPMGREALQELWQKYKVDLAFYGHVHSYERTCPVYQSQCVVDASDHYSGPFQATTHVVVGGAGASLSEFTASKIQWSHFTDFDHGFVKLTAFNHSSLLFEYKKSRDGNVYDHFTISRDYRDILACSVDNCPRTTLAS >Sspon.04G0020480-2T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:80314384:80315976:1 gene:Sspon.04G0020480-2T transcript:Sspon.04G0020480-2T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LAMASGMEAVGAPGSSLHGVTGREPAFAFSTAAPEHDDDAAASKFDLPVDSEHKAKSIRLFSFANPHMRTFHLSWISFFTCFVSTFAAAPLVPIIRDNLNLTKADIGNAGVASVSGSIFSRLAMGAVCDLLGPRYGCAFLILLSAPTVFCMSLIDDAAGYIVVRFLIGFSLATFVSCQYWMSTMFNSKIIGTVNGLAAGWGNMGGGATQLIMPLVYDVIRKCGATPFTAWRLAYFVPGLMHVVMGVLVLTLGQDLPDGNLRTLQKKGNVNKDKFSKVMWYAVTNYRTWIFVLLYGYSMGVELTTDNVIAEYMYDRFDLDLRVAGTIAACFGMANIVARPMGGILSDIGARYWGMRARLWNIWILQTAGGAFCLWLGRASTLPVSIVAMVLFSLCAQAACGAVFGVIPFVSRRSLGIISGMTGAGGNFGAGLTQLLFFTSSTYSTGRGLEYMGIMIMACTLPVVFVHFPQWGSMFFPPSATADEESYYASEWNDDEKSKGLHSASLKFAENSRSERGKRNVILADAAATPEH >Sspon.02G0046190-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2C:1324440:1324652:-1 gene:Sspon.02G0046190-1C transcript:Sspon.02G0046190-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPCSVAFASLFFFCVLLLPAKHGASSHASYRLGSRQIFFKTSGRSSSSDSHQASRLPPATTCSSMATGT >Sspon.03G0014560-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:68181431:68187132:-1 gene:Sspon.03G0014560-2B transcript:Sspon.03G0014560-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MELKSNSETLCDNLTINTVSVMITVSLFRGPEGEDVFVGFSCVLGFIIRKYFWMLLSERSPFMGLTIYTNTVVLVVTAYALLLANSLQYIILVPFPISVAGFIAYLYKERLEHHGTTGAEDSSKDRKKPDKPGGAPAHLSTRSKDDDGLVKDGKKTGELEMVVIPYFMLLISAIVIPYKDSPVLSHFLLFSCCALGTLALLYSRLAEASPALKPALECIQMAYMVMLFITVHTVAAEWLGEITALVTMPELIAGLVWFYTTLLHGDPSNSNGAVVVHKVRSDKDSRVGSSSSDATQVPSSETKQVSATASILIKIKGFIFNGSMFIPLGGLLAGLITSTFAYDGELLATWNTMATVACSIAGCLPYLSIWMVSRWPGRIPSSDKATRLLKFAANMCLTGACLMLFALLAEKALAYRLFGLDEIIDPSLLKHLPAVHFGTAVALCFFGKHLAGALADLGKGLGGLQSASPAARASMEMKGRRRRKKKKI >Sspon.08G0029970-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8D:53972405:53973583:1 gene:Sspon.08G0029970-1D transcript:Sspon.08G0029970-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTDKVAEQPWRAELRRVFLRGLRPGGPGWVEACAAVAASMEELGCVVVAHGALDGELRRDLFGRAMPELFALPLAVKQETLSGSHIGGYIGPDPVKAPSLESLRISEATDAGKLHAFSPISFGPMETLPSVVILLLAKVIHVCSEAIEAFAKGMLELDHAVQKMVLESLGVRKEHVDSHLASLVYDVRLSRYGTLPAAATMTKRRTWLRIGTAAWDGAWISVAPEPGTFAVIAGDMLTVVTNGRVPACIHRVRTPSNHERLSVLFGSSLKHGAVVSALEELVDHGRHPLRFRPCDFDDYVKFRFGQQRPGLNSALQEFCGIVPSPPSEC >Sspon.02G0030660-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:112558894:112562503:-1 gene:Sspon.02G0030660-1A transcript:Sspon.02G0030660-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:TPR3 [Source:Projected from Arabidopsis thaliana (AT1G04190) UniProtKB/TrEMBL;Acc:A0A178WLS7] MADGGGEGGPPAAAAALKDQGNEQFKAGSYLKAAALYTQAIKLDPDNATLYRFLPLLFLLGRAGGRNPSCACARPAFGGSDGELRRGRLVKLSKALADAETTVKLKPQWEKGHFRKGCVLEAMERYEEAIAAFQIALQHNSQNAEVSRKIKRLSQLAREKKRALDVENMRSNVDIAKNLASLKTELAAKYGDAETGESIFSFIVNVIESAIKVWHDTGNVDPRVNFLLDQKTDTEKYAPVVNIDKAFESPNTHAECFTYLRQYAENSFAKAACMVSPKSIISYPQVWKGQGSRKWKLDQSDGFFVQFESPALRKIWFVSSTKEKGRTLC >Sspon.07G0006260-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:16465681:16470728:1 gene:Sspon.07G0006260-1P transcript:Sspon.07G0006260-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQETCPSVKNILLLDSEGKRVAVKYYSDDWPTLTAKLAFEKSVFAKTQKANAGTEAEIVMFDGQIVVYKFIQDLHFFVTGGEEENELILASVLQGFSDAVERLLKNMVDKRTALENLDLILLCLDEIVDGGIVLETEGREIAEKVSGHGLEGASSAEQTLVNALTQAREHFAKSLLMFTDLDSGTNMGKQEEMETKR >Sspon.07G0033610-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7C:61985140:61986995:-1 gene:Sspon.07G0033610-1C transcript:Sspon.07G0033610-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ATAVAIAIAAPAEQEKRGGGRNLICVEEMETSGQAGTVMHGLGSWASAFADEEEGEGRRGRRRGGGRYGFRFLWFVSCLLARSREPPGVTNTSSSTNWVVVEGRGRSVNGILGLLCREHYPGLVQLAGKTEPAYTFDHFATTPDVAYGNKAERVRRELWEGMQAHADLVVTKSCKKLVVDLHYEARIQATVTYYGSFLGQRLKKDAARTMQLTRDQYLQMIPAWCASYPECWEMIVDKWLSKAWAQEHNDARERRLMMPSGKATSDVSYNPEDPPEVYTNSSVHTCLSEYTSEARSVYGPEYDASTQDLDAEIIMRVGGSKKHGRYWMGNGVIDTTSTPSISQIRARSTSASVPIRPWPSPAQHRVDALEAQLEEERRQRQAEHEMMQAQLA >Sspon.06G0002770-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6A:8612715:8613134:-1 gene:Sspon.06G0002770-1A transcript:Sspon.06G0002770-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHEGRSDPIRSDRPSPSVHHPSGNGRQQQQRASATVAASGCWGKKRRLFKFLGQHQHGPHCGGDRSRRVRWRERLGLGVARLAHHPGPNWWAPFQISTGLPCAKGAAPKSQNPKCPFKKCYYNLSKKNTILDLLKIKVS >Sspon.08G0005020-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:14907335:14920803:1 gene:Sspon.08G0005020-1A transcript:Sspon.08G0005020-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIFEPFRAIGYITAGGVPFSVQRLGTETFVTVSVGKAFHVYNCAKLNLVLAGPQLPKKIRAIACYKDYTFAAYGSDIAVFKRTDQVATWSRHEEKVNMLYLFGEYVLSADAKGNVFMWAFRGAEPNSEPVGSISLGDKFTPTCIMHPDTYLNKVIVGSEEGPLQLWNISTKKKLYDFNGWNSPVRCCVSSPALDVVAVGCSDGSVHVHNVRYDEELMSFNHQIRGAVTALSFRTDGQPLLASGGSSGVISIWNLEKRRLHSVIREAHDGSIVSLHFFANEPILMSSAADNSLKMWIFDSNDGDARLLRFRSGHSAPPRCIRFYGNGKCILSAGQDRAFRLFSVIQDQQSRELSQRHVAKRAKRLRVKEEEIKLKPVVTFDCAEIRARDWCNVVTCHMDAPQAYVWRLQNFVIGEHVLTPSSSTVTPIKACVISACGSFTILGTEGGWIEKFNLQSGFSRGSYIDTLLAMQCAHDGEVVGLACDATNSSLISAGYHGDIKVWDFKTCKLKSRLDVGKSVTKIAFHRPNGLLATVAGDMVLILFDTVSMKMVRRFEGHTDRITDLCFSEDGKWLISSSMDGTLRIWDISLARQIDAMHVDVSITSLSMSPNMDVLATTHVDQNGVYLWVNQSLFSASTNTENYASGKHVRNVCLPAVSSTQRSEEEQVQNSGESYKSSIKPFVIMDHQIPNLVTLSLLPKSQWQSLTNLDIIKVRNKPIEPPKKPEKAPFFLPSVPSLSGEILFEPPASTKETDISTTKNTNHKISELSSHFSQLLQSCGETKNYLAFTDYLKGLSPSSLDMELRMLQIIDDEESEGLEQRPELQSISSLLDYFIHELSTRNNFEFVQAVLKLFLKIHGETIRRHSTLQSKVKKLLEVQSLVWQKIDKIFQGARCMVTFLSNSQF >Sspon.01G0033540-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:114772866:114776496:-1 gene:Sspon.01G0033540-2D transcript:Sspon.01G0033540-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLVSGMVAGLLLGVALMAAWSRMMRRRTTKRVAKAADIKILGSLSRDDLKKLCGDNFPEWVSFQQFEQVKWLNKHLSKLWPFIAQAATLVVKESVEPLLDDYHPPGIKSLKFSRFFLGNVPPKIEGIRIQNLQPGQIIMDIDFRWGGDPSIILAVDSIFASLPIQLKDLQVFTVVRTIFQLSEEIPCISAVVPKPKIQYTLKAVGGSLTAIPGLSDMIDDTVNSIVTDMLQWPHRIVVPLGVNVDTSEMELKPQGRLAVTVVKATCLVNMEMIGKSDPYVVLYIRPMLKVKTKVVDHNLNPEWNETFHLIIYDEDTLQQDKKMGVAKLAVNSLEAENPTEITLNVLQSLDSLKVKDNKGRGTLQLKVVYHPLTREEQVQAMEMEKKAMEDRKRLKEAGLIGSTMDALGGAASLVGSGVVGGVGLVGSGIGAVGSGLGKAGKFMGRTVTESFSMHRKRDQQQVMSRSHAQTP >Sspon.01G0014690-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:42292361:42293691:-1 gene:Sspon.01G0014690-1A transcript:Sspon.01G0014690-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEIMNKVGAYWLGQRANKEISSAGDDLESLSTSVGDGAKWLVNKLKGKMQKPLAELLKEHGLPVGLFPREATNYEFAPETRRLTVYIPSPCEVGYRDGSELRFDATVSGTLGDGRLTEVEGIKTKVLVWARVTAVKADAAKVHFTAGIKRSRSRDAYEVVRGGITVDEF >Sspon.02G0012440-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:31278278:31281863:1 gene:Sspon.02G0012440-2B transcript:Sspon.02G0012440-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding APAITAPAPSPPPPAAAAVAAAAATDPDRVVLNPKGVAVDLARLAELVDPYGEELRQRTAGLGSESELLGFMNALEGQWGSRRRRRKFVDAGMFADHLPRGWKLLLGLKRKERVAWINCRRYVSPKGHQFATCKEVSSYLMSLLGYQEAKTTASQINSAGVHGLDVNSVGLHQQTISIEEKQIAVPVNSVTLFNPSGDSHQQKLQKDEAPIEVNAKECRKCNLTFHDQSAYMQHQLSFHQRKAKRRRVSKSELDTNIDGKYEKTQQKTSGEVSGNFGHSVADVRYQGPSPAELFDGQPSLVAVPCGFQEMTVLPQQGKEPSVLPQQGKEPSVLPQQGKEPSMLPQQGKEPSMLPQQGKEPSALPQQGKEPSELPQQGKESSGDISVNQKDPLKEMNGFPEQEKGLVAGELVPVHHKDSLKDITDTAEIIKEHPTREPSSGHRLDTADNSDDHKTHDEACDSAVASLSVDAERKLSICNSMNVHENVSSKDSELSSADYSQKFNRSDETCDVHKEVSSTVNDPDERKCTDDPTGCTNMTQSLQVSESCDLLHGKFVSSPEEHDFNGQLESNPLSASRDEPDLNSIGMEVDGNISCNVENPRSFKSDKSAEDKIMDCEMTSLKDSELKNGVRVRDVNLNSCLDSISSPISGGNYETSDTPDDAIRSSIIAQCFGTTSNDDTTCKDGNFANQNNTCKGENFVNQKNDMMYQSNLTMDPIPRAQINVDCFTSSCSMTPEIKDYGNRGEDSAKEALVNSQNMTSNETGFDAEAYNSDIFNGTITESSLAQLNNVINMKHDFANCYSLSDLNTLTGGTATDDIDIHSMRSSFVSSTSRTEPNEHCTLDFDIKGSMLEALEKSDSDLDNQYNDAGPSCDSLPATGTSGTIDDFMAMQTNFGSFTSLVRAVEDVPLSRIMQD >Sspon.02G0007180-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:21459119:21460141:1 gene:Sspon.02G0007180-1A transcript:Sspon.02G0007180-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding NGEAAPSPPFPTPPRRRRCRGDLHLQQQHRCRPGRAGVPRGLPGGREPAGGHAVPHGPRRVRVADQPLPHLVRAVGGRGAGRAPRLPRLALGAGAVPGRLRLVGAHAADVRGPDGRQRDGHLRRRRGALRRRLLPRRVAPAHRRAVRDPVGGVRVPGPAAAGPLQRRVPGADVPGRAGGRVLPRRLRLPLLHLRVRCRGHGALRVGREQRHAVPGQVRVPVRRRRRVRRRGSPGGAAAAQRRRRGGRDGDRAGPRAGGDVHEPAGERVVRRGHADGADRDRGPVPGRLRRRRRRGRPGRERLPSARWQRLQRERRQRPAVPRAVALEPRPRRLLRPQLQQL >Sspon.08G0017140-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:61843754:61844546:1 gene:Sspon.08G0017140-2B transcript:Sspon.08G0017140-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MELCFYVYHAKMTAGYIVGSLVGSFAIAYLCDTFVSDKKAFGGSTPKTVSEKEWWQATDAKFQAWPRTAGPPVVMNPISRQNFIVKSTE >Sspon.03G0018010-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3B:79639209:79641605:1 gene:Sspon.03G0018010-2B transcript:Sspon.03G0018010-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATRSSNLSGTCLATCWNKAFEWLSAKARARFGFPTNRSSLICTLPSLTARAGLAPGRLDASLYPDAATTPTPAAHRMSPCSHRSCASDRIRQPATHLVPATTSGHTRPIPRLKPIPAAPRVKPAALLPSPRHHPPSCPHPPPCPFPVLMVAKRLVDAELDAVHGVRCHGVTRGAALVRARGTVLVNGHCRRMTRTAAEGGASPGGRRDSEHEARWRRRVPMSAEHTTSGAGDGRGRASREQRGRLGRAAGRPHSSIMVTTKRRRLPGRRVDTREHDLAGEPPARSLEAAAATSGTRCRSCCSSCSSSSSPLRLLVAAGCGRDLCGEKGAGEGAGARLGIWAPRGLRLEGELPASLLVGDDRALVRAVGFGRCCHGVSLYVLTCSLCLRFSFSTTESESGFCTTLWIPNPVLESATWMRSTIKGPRGRLCSSPQLPAGAREVRSGQGWRSVGVRVVWRDVLDGYG >Sspon.02G0031380-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:108437566:108442276:1 gene:Sspon.02G0031380-2B transcript:Sspon.02G0031380-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPGYQPLATMLGSAGPRELTGAVDLISHYKLLPHHDFFCNKPLPLAISDTHYLHNVVGDTEIRKGEGMELDQLVQNAYMRDKPAYIQPFDMETLGQAFQLRETAPVDLPSTEKGIPTISGKPKSESKDKEKKHKKHKDRDRDKDKEHKKHKHRHKDRSKDKDKDKDKDKKKDKSGHHDSDSKKHHEKMLAAKSSVTR >Sspon.01G0030150-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1A:104719185:104725518:1 gene:Sspon.01G0030150-1A transcript:Sspon.01G0030150-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTPMLIQASKLYTPPIFEAFQDEYERSMNAVARAALPFQCRTDLVTRGRERRATPVTHLVRMEPLRRSRDCNGVRGTTTGTNSARTAPGEDSSARSGVAPEEDAPLGHFGARRRKSRAAFREGATMAESEGTSTSASESERARAWWGLGSSGTGKREQEHGAVVWTLRGKSGWGRGARIRRGRTSGFHACDGARVPEDFPMDENYNPMPSSSVSSWPEDEPLVEDVNMNAFRDELAHALFHGV >Sspon.01G0003840-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:10122542:10125968:-1 gene:Sspon.01G0003840-3C transcript:Sspon.01G0003840-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSRVALEPLAEEPGGGEEEAARRRSASTRRCTGGRACSPGRGRGRRPTGRRPAVLLSVLACPLSPVPLLPRLPRHVASSAQYIIEQFRATTGCGKLEDGAVKSMYASGRVRLSMLQEPGGGGSGVIGGGGRGHEGSFVLWQLAPSMWLVEMSVAGQSVAAGSDGRVAWRRTPWLGAHAARGGSRPLRRALQGLDPVTIASIFSTAEHAGEKEVDGEDCFVLRLDVGPSVLSSWSDGTAEVIRHGLTGFFSQRSGLLARLEDSQLTRIQSPGAAAMYWETTIASAVSDYRAVDGGVAVAHAGTSTAHLARFGVGVRAARVVTRMEESWTIDDVAFNVPGLGPDAFIPPEEVRRSRSYGAAIAGGK >Sspon.01G0030630-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:108103193:108106348:1 gene:Sspon.01G0030630-1T transcript:Sspon.01G0030630-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCAAAALAVALIAAVAGATAAGSPAAGTCARRGAPPFLDAVGSRCPFVRIEPSPPLEVRGEAVDTELNLRRRGASYSILFYAAWCPFSSKFRPTFEALSTMYPQIHHFAVEESSATPSLFSRYGVRGFPAILFVNETTMVRYRGSKDLNSLVDFYKETTGLDPIAYIDVVQQESTGSLSSVMPWDHSLREMAKDELYLLVAVLFIILKVAANFIPVVMSHLRAFLVVRVQNLNLGIRRGSNHLLERALNVLDMRRLWSKLRLSNKATDLRKGASNARAWASSFASVSLGEPSSSRQA >Sspon.01G0023430-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1A:84420119:84423335:-1 gene:Sspon.01G0023430-1A transcript:Sspon.01G0023430-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSKPRDKIILATKVAGYSERSTFLRDNAEVVRVDAANIKESVEKSLKRLSTDYIDLLQIHWPDRYVALFGEFSYNPTKWRPSIPFEEQLKAFQELIDEGKVRYIGVSNETSYGVMEFVHAAKAQGLPKIVSIQNSYSLLVRCRFEVDLVEVCHPNNCNIGLLAYSPLAGGVLTGKYLDANADITRSRLNLFPGYMARYNASLAKEATLEYVKLAKKHGLTPVQLALGFVRDRPFTASSIIGATTIDQLKENIDAFTSTPRPLPQEVLDGIEDLFKRYKDPAIL >Sspon.01G0033670-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1A:113239241:113239596:1 gene:Sspon.01G0033670-1A transcript:Sspon.01G0033670-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GRQGPPRHPQRQAQSACHRRGGPADDQQEQGQEGRRRPPAI >Sspon.08G0001930-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:3437184:3440510:-1 gene:Sspon.08G0001930-3D transcript:Sspon.08G0001930-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRMAKLLLKLQSAAAADRRHGPGSGRRHPLAPRHAPAATRFVPSCRALCLLALAAAATMLALAFKLQNHRLGPADPSSSAAAISPRSGFAVVINTWRRPALLRRSVAHYAACAGVDAVHVVWSEPRPPPETLRRGVLGLNGTRRGADVRFEINDADSLNNRFRPIRGLAAEAVFSVDDDLIVPCSTLRFAFSVWQSAPSAMVGFVPRMHWPTNQRGSEEEYLYGSWWSVWWTGTYSMVLSKASFFHKKYLDMYTNQMLPSIRKYVNENRNCEDIAMSFLVANATGAPPVWVQAPSACFFPLPIAAAACADHTMVSIVTEAWALAGCGPASKAVAQELPVQQHPPAAVATGKTKKRAVSFRGVSSGGGQDHRREAAVVIGRRRSLASCALAALAASFSPLAADRAARALVLEEDDDIELLERVKEDRKKRLQKQGVISSSGTET >Sspon.05G0018900-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:78723520:78726744:1 gene:Sspon.05G0018900-3C transcript:Sspon.05G0018900-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVVKMFKRNDVLEEGESKNDHLFYQPESADGSSHSASVLTVEIQKTAGAVGPTMNTMERVDLSMEKGSCSFSLNCVEGFTLGTKPDLLPLINDVERSCPCVMDSSCPTVPNMEQKDVLENAEQPHPSEMVNPDGRSHSPVGRAFEIEEQGAEAGLCASVEDVEEQVDGSSPASESWEHPVPLNVVILRPWHDAPNSILRSKPPLNYSQSMQGSDLYVGNMDVLYPSKEWLDSRLKLDEQGAEPGLFASVEYVEQTHPNFTDKTSPIWSSEEQVDGSSPTSESWEQPAPLEVVRPWDDEPNSILRSKPPSNYSQPMQGSDLDVGNMDVLDPSKERMDSRLMSNTVEPSSSSNGGGTSVEKVNTEKTECLSGMDTVTPELDTVQGSNPSAEPSSSSNGGASAVEKHSAEITECLLGMGTLTPALHNVQALNPSTPKECLVSNADNSDEANRSDEVHSSHNDFNGSYYPKPVLKGRISKMMYPRLWFQLPKMWLVGKHHKWKMSLPSKHVSPRKATKSRFRKQYKGPNRHIPRHTKHQKTKLVMKDRNTDPAHIRSCRPSKQIDRTCSSASLDLSPKIKEVNDGNDAEPRCSKCVRTFENVMAKKRKRPILSYDEDAEAMQMEVRMRRRHVKSRVMKQRRSAENSEEAMSPGNSNNQHANDDMKARKQRRANKDKKAPLGNASVPCTRNDAARGIMKIGQEYISLAAHLSNQACKEVQELSLSLPALMKVTKHSKLKAWPGRELDQLVHYLTDHSLVLKYVVGFAKLLIFPSIFLPEQCQTFQGKHYLWGVFKRRMGTGKTGAAGVSHRQRDQLPRAVHGFCSETDPCDRWKPRANSESSPPKLFGLVIAQTTRLVHLFGSWKMK >Sspon.04G0002170-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:7920419:7920859:1 gene:Sspon.04G0002170-2D transcript:Sspon.04G0002170-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKHMHSQAGADSCYLMGDDQQVVAAARVPPRRPMMFRVPRRLVARAAGGASPPVPAAGPRNKKKKMAVARLGGKRRLFGAIRRLRMRWLAVLYRRTLRRLRAYYATAINDLLEGAAVISSLRGPTAGADCAFGTAFAPVVTVGL >Sspon.05G0010570-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:21551820:21553953:1 gene:Sspon.05G0010570-2C transcript:Sspon.05G0010570-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDDDVSHSLSPSPPSSALPVDGPVTVAAAPPGAFMAVALPIHRTAASLYASATGGGGGGGSGGGGGGGGGGREDAWSDGATSALIDAWGERFVALGRGSLRHPQWQEVADAVSSRDGYSKAPKSDVQCKNRIDTLKKKYKVERAKPVSAWQFFDRLDFLLAPTYGNKPGSGGGGGHNSNSRTQMPAALRVGFPQRSRTPLMPAAGSAAKRRAPSPEPSVSSESSDGFPPVPALPAVNGKRKRTDEGRADDGGSSGDDRAQGLRELAQAIRRFGEAYERVEAAKLEQAAEMERRRMDFTQELESQRVQFFLNTQMELTQAKNHASPATAAVPAGGSSRRMSVVTDAGGRSNHHSRYRISHGDRHRHAPRPHYQQYHDNNNHGGAATASEGEQSDDEEDDYEEESQAAGYGNLTVRG >Sspon.04G0019550-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4C:74472559:74476934:1 gene:Sspon.04G0019550-2C transcript:Sspon.04G0019550-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPYLLAKDLILQIIGEISVSGATYKSMEFVGSTVESLTMEERMTLCNMVIEAGGKNGVVPADETTFKYLEGKTSVDYEPVYSDAQARFFSDYRFDVSKLEPVVAKPHSPDNRALARECKDVKIDRVYIGSCTGGKTEDFLAAAKVFLASGKKVKVPTFLVPATQKVWMDVYSLPVPGSGGKTCSQIFEEAGCDTPASPSCGACLGGPRDTYARMNEPMVCVSTTNRNFPGRMGHKEGQIYLASPYTAAASALTGYVTDPRDFLI >Sspon.01G0063130-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:114504328:114505294:1 gene:Sspon.01G0063130-1P transcript:Sspon.01G0063130-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein NUCLEAR FUSION DEFECTIVE 2 [Source:Projected from Arabidopsis thaliana (AT1G24450) UniProtKB/Swiss-Prot;Acc:Q9FYL8] MWWRSAAVHGAFGYVYVDINGVTARLVGRPKETLSEFCTRPTTTASTAAATFPTSRRLSQRRSNPAMATPTPPPILLVLLLLVASFPSHPRATASAPLSTSPFDAALAALQSRVGYAFRAPSLLRRAMTHASYSRENGRALAVLGLAAAESAAALRALAADGDAAPSAVSRATSEAASGAACARAGARLGIPHVVRVAGRTSAALRALVGAVAVDANSTNAAGEVFWRLHALTSSAAIAARGLQFISSSVSTYCYGAIVFSCEL >Sspon.06G0016810-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:75645943:75649179:1 gene:Sspon.06G0016810-2B transcript:Sspon.06G0016810-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSPSKATGEDALVLCKDRMRHIRQAIDSRDALSAAHLSYTQSLRTVGTALRRYAESEISPESSLSISEVDKSPSHSSMASPSPSRAVENLASPAHRASPLSTQPSTRIHCMKAAATAPLTFVIDPSAAEFVGHESPVSAFVPPPPPLPPELCTSWDFFDPIDAAGSSSSNNENGVTLNFSRLKGLRESRVAEVVPLKKGEEEIMSDRRHTSFLMIMHHLSRKESQSKVAAKLEESEMEKELCAEAEDPSEFITHRAKDFVSSMKDIEARFMRAAEAGNEVSRMLETKKIRLDICKMPGSPGKLPTARFVSALRVCCNRDVILNQETAQHVSKVVTWKRSVSSLSSSSKSALMTSIIKDDVDDSNSDFVEEFAMVSGSHSSTLDRLHAWERKLYDEIKASENVRKAYDEKCNLLRRQFARGLNAQLIDKTRAVVKDLHSRVSVAIQAVDAISKRIEKIRDEELQPQLVELIQGLIRMWKLMLECHHKQFITITLAYHVKNSTPLHQGEHRRQAAMHLWNEMDSFSSSFRNWVTAHKSYVEALNAWLQKCLLQPPQDRRRRKRKVSFPPRQAVSPPIFVLCRDWLAMTESLPADEVCKSLKDVMQLLRDSFEHQDEQNKPRSESQECGMLENNSELEAVKSGSVASAEGLQSRLTAVLDRLTKFSEASLKCYEELKQNYELACDDYKR >Sspon.05G0018990-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:81202858:81204080:-1 gene:Sspon.05G0018990-1A transcript:Sspon.05G0018990-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRSTGDRVVIVGAGIAGALLAKTLQNHADVVLIDPKEYFEIPWANLRAKVDPAALERTVIPHSDYLTHAKVVTASAVGVDVDDSVVLTSIGRAVAYDFLVIATGRTCSRPQKQSERLEMFQHDKERIDAARSVLIIGGGPIGVELAAEIVMKSPEKRVTLVHGAPRLLMVMGARASAKALEWLRSKNVTVLLDQTVDLASATPDTREFTTSAGETVEADCHFVCTGRPVASGWLRGTLLGEHVDEEGHLRVDDHLRVGGLRNVFAVGDITDVPEAKQGHLAQRQAMVVSRNLRLLVKGATREEKLHRYKPSPRTSMTVTLGHRDALAELPFMTLIGHIPGAVKPRDHFITRTRRMMGIKSKPYGTMPH >Sspon.01G0043290-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:73556751:73559889:-1 gene:Sspon.01G0043290-1T transcript:Sspon.01G0043290-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSVAPGIVKEELLEQHQPMQDGVGGGGAAPRPMEGLHETFDLVEDPATDAVVSWSRAGNSFVVWDPHVFADAMLPRLFKHNNFSSFVRQLNTYGFRKVDPDRWEFANEGFLRGQRHLLKMIKRRKPPSAVPPSRQKQAPASCLEVGEFGFEEEMIG >Sspon.08G0005340-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8B:12917501:12919736:-1 gene:Sspon.08G0005340-2B transcript:Sspon.08G0005340-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSAASSLLSALLALAPENNLDCSFLDDVYLQKRPTVPKFGTWDSDDVGYTVYFDKVRENKGATAPPLHRPHNPNDPEEGPMMRVPPPSSSRPATAGGHRDREPPSSRRHHGQSHHRRTESSSSAASDPGGAAHQSKFAPPPQYYQRASPQPQPQPHHHHGGHHYHHGGHHQQHQPPSAHGHRARHAHREHRAAPGPRARSASPQSNAPNRQRPSTVPKFGVWDEQTAASAGQGFTVVFDNVKRHREVARSAMPAVPRVPSPPEGAALRRAHQKTPFVSK >Sspon.07G0016720-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7A:59679749:59681087:1 gene:Sspon.07G0016720-1A transcript:Sspon.07G0016720-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding FSANNRSLALSLSISFNGLSAAFYTLFANALSPSSPAVYLLLNAILPFGVSILALPAILLCHTNDSHLQSAPRHDRRVFLGLYILAFITGIYLVVFGSFTATGSTAWVILTGAMVLLALPLIIPACSSCSYVDTDGPDPASPLNHDDPHKPLLISNNHQMESNAMVQKPMEHQTQGNCCGTIVGKGRLAALGEEHSAKKLIWCVDFWLYYTAYFCGATVGLVYSNNLGQIAQSLQQQSQLTMLLAVYSSCSFFGRLLSALPDILHRKVSLARTGWLAAALVPMPMAFFLMWNQQDASTLVAGTALVGLSSGFIFAAAVSVTSELFGPNSVGVNHNILITNIPLGSLLYGQIAAMVYDGNGQKMTVMDNSTGIVDTMIVCMGMKCYSTTFFLWACITFLGLASSIVLFIRTKPAYAAAASRSS >Sspon.08G0001100-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:4135129:4136337:1 gene:Sspon.08G0001100-1A transcript:Sspon.08G0001100-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MERSSSTPSSRTPASSRLPLRSPLGVDEEYDSAFKSKSFLDLWSHAHRHLMHTFSSASSKLASSSKSGGVKDDGELDDAAAAAALEQSCSYTVLDDFVLEPSPEVLARGRGQRRRRRRRVERLLIEYFDVTQEACEACSSLLAAIGAARRHQLTLRRLLHRLEEDNGGGGSDNPAAARDAVAAHVRLDNPLSPGRLAGFHEVHGRCGPLAARLASAHRRLRRLARAMRVARGTAGAALVAACAAAVVAAVVFAAHAVVGVGAAAAAVGAGPATAVRWAAERVSPRHYARAGASVDAAARGAYIVGRDLDTVSCMVRRAHDELEHGRDVARIALRGRGERPLMQEVAREEAECEEDLRAQLEELEEHVCLCLITINRSRRMVAHEMTQGLPSSLEATPPPSQN >Sspon.07G0030070-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:1838028:1842485:1 gene:Sspon.07G0030070-1C transcript:Sspon.07G0030070-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLSCPGVSSMSKTAFDLGQLVAPCRSRCPGARAATRRRRTPCVCFVASLATTQPGTAAVDVPARTIPAVTTASAPERISVSSLLEVVSDDLLNLNNNLKSLVGAENPVLVSAAEQIFGAGGKRLRPALVFLVSRATAQLAALSELTTEHQRLAEIIEMIHTARKETIHQLYGTRVAVLAGDFMFAQSSWFLANLENIEVIKLISQVIKDFASGEIKQASTLFDCDVTLDDYLLKSYYKTASLIAASTKSAAIFSGVDTTICEQITAGTWALLPVEQLGKPAGSDLAKGNLTAPVIFALRDEPRLREIIDSEFSEPGSLATAVELVHRSGGIRRAQELAEEKGELAIQCLQCLPRTEFRSALERVVQYNLQRIQ >Sspon.05G0033000-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5C:25947127:25950352:1 gene:Sspon.05G0033000-1C transcript:Sspon.05G0033000-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAELCLCAVSCRALASLASPRLRASVPHAATDSRIKGAAMAMAALRQASRCLAFRGSLAAARPMLLAQSRGITYKLFVGATVIRDKVTDIPKGFGFVKFASPEEANNARKEMNGKARFLLRKYYCLFLLLFSFFVPIWKRAEQCELLTGIERPGHIRGHRKGQTGPCYRCSPNSERSS >Sspon.01G0024110-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:86735177:86741312:-1 gene:Sspon.01G0024110-1A transcript:Sspon.01G0024110-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVVVGGGKDRSSPGGGGAPQVDTGKYVRYTPEQVEALERVYSECPKPSSLRRQQLIRECPILSNIEPKQIKVWFQNRRCREKQRKEASRLQTVNRKLTAMNKLLMEENDRLQKQVSRLVYENGYMRQQLHNPSAATTDTSCESVVTSGQHHQQQNPAAPRPQRDANNPAGLLAIAEETLAEFLSKATGTAVDWTYAPTTLAAPRDFWTLRYTSGLEDGSLVICERSLTQSTGGPSGPNTPNFVRAEVLPSGYLIRPCEGGGSMIHIVDHVDLDAWSVPEVLRPLYESPKILAQKTTIAALRHIRQIAHESSGEMPYGGGRQPAVLRTFSQRLSRGFNDAVNGFPDDGWSLMSSDGAEDVTIAINSSPNKLIGSHVNSSQLFTAIGGGILCAKASMLLQNVPPALLVRFLREHRSEWADPGVDAYSAAALRASPYAVPGLRASGFMGSQVILPLAHTLEHEEFLEVIRLEGHSLCHDEVVLSRDMYLLQLCSGVDENAAGACAQLVFAPIDESFADDAPLLPSGFRVIPLDAKTVSMTGFVLEVLLFVMA >Sspon.08G0026100-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:14101017:14110166:-1 gene:Sspon.08G0026100-2D transcript:Sspon.08G0026100-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VIGVYDWSGNDPVIPELWLVPRFLPIHPGRFWCFTRVTYMSIAFLYAKRFVGPITPTILALRNEIYDLPYDEIDWNKARNTCAKEDMRYRPSAIYKTISNFLNTFVEPMLNSWPMNKLRERALNHILEHIHYEDETTQYIGLCPVTKVARFSDFLSHILSQSIEHDLLLGGKSHFRCLKRHLSRIHDYLWIAEDGMKTKIYDGTQNWEIGLIIQAFLSANIIEKYVLEMDKSLRYIKKAQFRHRSKGSWTLSTVDNSWASSDSSAEVMKAILLLSKVSHNLIGNAKEWLFDAIDCPLTFRILNPLESFRNLVIDYPTVECTSSIMHALILFGESYPGYRSEQIREYVNKAAIYIENNQKKDGSWFVQIHLLFLYGTWGICFIYGTFFAIKGLIAAGRTYQNNVCIRKACNFLLSTQLKIGGWGESYLSCERE >Sspon.06G0002750-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6A:8601371:8602346:-1 gene:Sspon.06G0002750-1A transcript:Sspon.06G0002750-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDATRCPGHNASWLCPAVSVAKFSRIREHAAGRYISPSVDPTVVTWPNPVPSRHLRMNCRVTWLAARRSVTCPKPPLIHPTHGGTGGPHPVRRPATSQDRQQVTYWPLCGATVPCDGKAGADRDVACDELRAGAQGRL >Sspon.03G0010990-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:34553729:34566203:1 gene:Sspon.03G0010990-2D transcript:Sspon.03G0010990-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASSSLRFQVSGFSLPKIWLCLFLVLVLQNCSPALSDSPYLVGMGSYDITGPAADVNMMGYANSEQIASGIHFRLKARAFIVGEPNGNRVVFVNLDACMASQLVTIKVLERLKARYGDLYNENNVAISGIHTHAGPGGYLQYVVYIITSLGFVRQSFDVIVNGIEQCIVEAHNNLRPGKIYVNKGDLLDAGVNRSPSAYLNNPAEERAKYKYDVDKEMTLVKFVDDESGPVGSFNWFATHGTSMSRTNSLISGDNKGAAARFMEDWAEQNGIPKQAAHGNHDGLESLHVTSGLPRRVSSIIPEPNEITDDLVQLASSYEASGGRRLSGSSITRRIRSTQQNRPKFVSAFCQSNCGDVSPNVLGTFCIDTGLPCDFNHSTCNGKNELCYGRGPGYPDEFESTRIIGNRQFQKAVDLFNSASEEIQGKVDFRHTYLDFSQLEVNIPTSTGGQQVVKTCPAAMGFAFAAGTTDGPGAFDFKQGDAKGNAFWRLVRNLLKKPGKEQVQCQAPKPILLDTGEMKEPYDWAPAILPIQIIRIGQLVILCVPGEFTTMAGRRLRDAVKTVLTSDNSGEFNNIHVVLAGLTNTYSQYITTFEEYQIQRYEGASTLYGPHTLSAYIQEFQKLATAIVANKEVPTNFQPPDMLDKQIGLLPGVMFDSTPHGVKFGDVSSDVPASSTFSKGSIVNATFYSACPRNDLLTDGTFALVEKLEGTDNWVPAYDDDDWSLRFKWSRPSKLSSRSFATLEWTIPEDAPSGVYRLGHFGASKPLIGSIEHFTGTSRAFAVQ >Sspon.02G0000070-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:11276626:11278365:-1 gene:Sspon.02G0000070-4D transcript:Sspon.02G0000070-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LYPSLSRLLAIGTNRRGATYSHPSHRSGYYTAVRDNGLSTRRKIPAVFSRMFSHYKVVIRKNRAEDHKCRTRMSRGYHTLSVPVAHSSATQQAQLAWKQLGHMYAYRGPRFPLLSRAACAVSLSFTRFHIIPGVMALAFGKMALAPPVLADSQPFKPRMDGIITKAQDTRQFLSSLVWSIWEGMTLLVRAVHLAFLFFPATALAPFADKFSIAFRRRWLSLMRRTLEKAGPAFIKWGQWAATRPDLFPSDLCVELAKLHSAAPAHGFAYSKAAIEKAFGRELSEIFESFEENPVASGSIAQIHRATLKHQHPGKHVAVKVRHPNVGESIKRDFLLINLVAKASNIVPGLSWLRLDESVRQFAVFMMSQVDLSREAAHLSRFIYNFRRWRHVSFPKPLYPFVHPSVLVETFENGESVSRFMDEIEGNARMKKDLAHIGTYAFLKMLLEDNFIHADMHPGNILVRLNESKLARRRFFRAKPHIVFLDVGMTAELTRSDRDNLQQFFKAVATRDGRTAAKCTLQLSKNQSCPNPVAFTE >Sspon.05G0009880-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5B:23276436:23278528:1 gene:Sspon.05G0009880-2B transcript:Sspon.05G0009880-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNYLVLEFYLLLLLISVDGEGEGWNRLLHGGSVKDEGDNIGVSIFIDMTTWRLWFPDDGIKAEMMSPSWNNFLRSFLRFVVVRSGHDEGLGRIIFRSVFLTLRWQKEEEEGRHQKEEVLQFRLQECWPLFVGHLFSGHLPSVCLCGHPYYKAFNSFCGYLGKFTCIPAQPGSHLINVAELAKRHRHAAPSVPSERRPQLRVRHFLPGRALAPQPAPGRRRRTGKKTRRSDPPAACVSSRPGAPKRASQSREGARRATAFPFPARGGGDGDGDGNVRANPRTRAAAPRARASRQGEGVRSPARAGDRVAPAHRSRHVNIRTSLRPARPHGRTRASLAARRLGTAGSLSGVRAHALGGRRHRSHGEKNEPDPAGTVSSLPLGFPPNDF >Sspon.04G0021150-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4B:75954969:75956254:-1 gene:Sspon.04G0021150-2B transcript:Sspon.04G0021150-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEGDNKKMITLRSSDNEEFEVEEAVAMESQTIRHMIEDDCADNGIPLPNVNSKILSKVIEYCNKHVHAAAKPADAADANAAAAAGGGEDLKNWDAEFVKVDQATLFDLILAANYLNIKGLLDLTCQTVADMIKGKTPEEIRKTFNIKNDFTQEEEDEIRRENQWAFE >Sspon.03G0003630-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:188263:189765:-1 gene:Sspon.03G0003630-4D transcript:Sspon.03G0003630-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MESRSIRIRHLSCSALLFDTMDGRRTILMGRYEIGKQLGQGTFAKVFYARNLTTSQAVAIKMINKDKVMKVGLMEQIKREIS >Sspon.08G0010220-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:39495930:39498836:-1 gene:Sspon.08G0010220-2B transcript:Sspon.08G0010220-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTWIIDSQRFATKIKNASGSSDPSKQKWISNPSKECPKCSHVIDNSDVVHQWPGLPKGVKFDPSDQELIWHLRAKHGNSGIKPHPFIDEFIPTVEEDEGICYTHPQKLPGVKQNGSVSHFFHRTFKAYNTGTRKRRKINTDDADVRWHKTGKTKPVLVDGKQLGCKKIMVLYMSLAKGGKAEKTNWVMHQYHLGTGEDERDGEYVVSKLFFQQQFKPGDKNAQELTTSDDLESMAAEADLPDFTTLPADRHVTTSDDLESMAAEADLPDFTTLPADKHVGTIQVVHNSEQNLYQVNRNCEINIEETVVLPPSEKTEDGDNPQSQDPKLWEGDSQFELLDSQQLAEGLALCDEFLLSQSQTSCGGGDEPRVTKPCLAAYAHLSAEDFKKDLEECQRLEPTDNTNLELDNTNEFRLSQIVWFQYHQLPSVT >Sspon.03G0001200-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3A:3062025:3062597:-1 gene:Sspon.03G0001200-1A transcript:Sspon.03G0001200-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEPPAALGLAARGNLLSAALPPVGPGRSNRRDLGNLHLHRREFRALPPSIDASSTSTIPDASWSTPTIPDACWSIPADTDTSWSISTIPGASWSTPAVYGATGGCREQAHRRREGTCGSWSSRQKSQVLGGGRFPSSLRGWRMDFEGLRKNFSHWGWNGDLLELSNPSKYRFFSLGMGIGGLLEMLLRY >Sspon.04G0006850-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:15781645:15785148:-1 gene:Sspon.04G0006850-2B transcript:Sspon.04G0006850-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vesicle-associated protein 1-3 [Source:Projected from Arabidopsis thaliana (AT4G00170) UniProtKB/Swiss-Prot;Acc:Q84WW5] MSNTLLRVHPSDLKMPFEPKKHNSACLELINKTDQWVAFKVKTTNPRKYAVRPASGVVPPRGSCGITITMQAPKEIPPDYYCKDKFLVQSIAADEGTTQKDIVPGMFSKAPGKLVEEFKVRVVYVPANPPSPVPEETEEEDESLDSDVDHEVGRPSTSNSATGQGHTCRPQASDDEDLLRKTKASSPGGFSTTFVLLVFLLSSLLGYLMFGGKA >Sspon.08G0030810-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:65904505:65906701:1 gene:Sspon.08G0030810-1D transcript:Sspon.08G0030810-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LMDVELGMMYNDLYTKAAVLRTKRGIVFRCISLACTVAALILFFFFTRRADHDTTNNTGGRVDTAITYTLFIGGLVVDICTLLTMLLASPWTWAWLRARGHRRIARISLCLLSCDMMSGWLGTTRPLWSNTMGQYRELAANRGRLSHTEFASETPALPSPELWQETLEELKHMWARLLVYAASKSRPEAHAAELARGGELLTLVWLLLSHNGLGVSSEGYGVGLVRDQAQPISDYGGTLYVFDNLPGQMPVNNCPPQPPPPPEQVHFDNT >Sspon.01G0038450-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:23745958:23750929:-1 gene:Sspon.01G0038450-1P transcript:Sspon.01G0038450-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VSAVRCCPGPTGIAFSPDDLDTAVESTDIDTEIGSLIKGTVFMTTSNGAYIDIQSKSTAFLPLDEACLLDIDNVEEAGIRPGLVEEFMIIDENPGDETLILSLQAIQQELAWERCRQLQAEDVVVTGKVIGGNKGGVVALVEGLKGFVPFSQVSSKTTAEELLDKELPLKYVEVDEEQGRLVLSNRKAMADSQAQLGIGSVVLGTVESLKPYGAFVDIGGINGLLHVSQISHDRVADISTVLQPGDTLKVMILSHDRERGRVSLSTKKLEPTPGDMIRNPKLVFEKARINKNNIFSCAEYVLGNKLTYCCFCSNQADEMAQIFRQRIAQAEAMARADMLRFQPESGLTLSSEGILGHCRRTHLQRIPNPQMNRGSDEVHRI >Sspon.06G0012770-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:55357825:55359870:1 gene:Sspon.06G0012770-2B transcript:Sspon.06G0012770-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMQGPAGATTRVAISLLLVPVLLASLAGGSPPPDPVSCTRGTSDCTVTSTYGSFPDRTICRAANATFPRTEQELVAAVASAAAARRKVKVATSHSHSFPKLACPGGRDGTIISTERLNATVRVDAARRLLTVESGMLLRDLVRVAADNGLALPHSPYWYGLTVGGMLATGAHGSSLWGKGSAVHEYVVALRIVTPAPAAQGFAVVRELAAGDPDLDAAKVSLGVLGVISQVTFELQPQFKRSVKFVTRDDEDMAEKLAVWGDLHEFGDVAWLPRQGKAIYREDDRVDVSTPGNGLNNYIGFRAQPTLGLLTARKAEERLEENGTDIARCLAARLPAATFELQAYGFTNDGVFFTGYPVVGFQHRIQASGTCINGGDDGLLSACTWDSRIRGPFFYQSGFSVAMSKVPAFVADVQQLRDLNPRAFCGMDAKMGVLMRYVKSSSAYLGKAEDSLDFDVTYYRSYDEGVPRAHADVYDELEQMALRKYGALPHWGKNRNFAFDGAIARYPGAARFMEVKDRYDPDGIFSSEWSDQVLGISGSPNVVGPRCAIEGLCVCSDDEHCAPEQGYFCRPGKVYTDARVCVFEQRTIRLVDEL >Sspon.01G0021110-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:77745273:77752862:-1 gene:Sspon.01G0021110-1A transcript:Sspon.01G0021110-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLSIAVLTSPLLPTSVPAVRPHPRRLLRLRVRSLAAASSFSPSARSLRLLEWGKVCDAVASFAGTVHGRDATKKQLWEVEDVSYEQSQRLLQETEAAVLLLDNAGGAMDFSGLDTVAIESAIHCVSGGAVIKGLEAMAVASLMLFVESLQVNIKAAIKLDEGSRSRLMTLTETILDAVINKSLVKYIQDIVDDDGSVKDTASPELRRYREQVQRLESRLYQLMDKLMRNADDEGSLSEVCIVNGRCCIRTTMDNSSSFDGLLLSSGSDAGSMIEPIAAIPLNDELQESRALVAKAELDVLSKLTDKILLDLDSIQNLLQEAIKLDKIAARAKYSVAYDGTFPDLYLPNFENETVTSTTGGPVEQISSAQLPKKAWKLYMPNAYHPLLLQRHQENIHRAKRDVASATAEIRRRRIYGQDIAEEDQLASDLDSMKLRVSQLEKNQPVPVDFMIAEETTVLVITGPNTGGKTISLKTVGLASLMAKIGLYILASEPVKIPWFNAAIRAESTSESLVLLDEVGAGTNPLEGAALGMSLLESFAEAGSFLTLATTHHGELKTLKYSNNSFENACMEFDEENLKPTFKILWGIPGRSNAINIAERLGLPLDIVESSRHLLGTAGAEINAVCFFGHFSALRTCTWLNCCPKYLVMILSSPSSSSAVDNGHGKIQTRISTTLSGGTISYY >Sspon.05G0031380-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:14487506:14487772:1 gene:Sspon.05G0031380-2D transcript:Sspon.05G0031380-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSNEQPKLARRREVAATGAVRVLCGAEKERVVATHKAPGACPRCGGAVVAVDVESERRILGLPLCLKIKRKYSCTRCFRRLVTLYS >Sspon.06G0025320-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:64157541:64165662:1 gene:Sspon.06G0025320-3D transcript:Sspon.06G0025320-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGGGMRPWVVDVQKNLGEADASVEVLRWQRHCIYHVPACIKDLKPKAYKPQVVSLGPFHHGDGELLTMEEHKRRALRHLLRRTKRPLGEFVAAVEEIAEPLESAYLDLGADGRERFLEMMIVDGCFLLEVMKAAEMDGRSNVSDYAPNDPIFSHHGVLYMVPYIRRDMLILENQLPLILLEKLIAVETGKPTNGDVINKMVLRFLTPTPRLPPTGVGIALYALDVLRQNMLYGHYQHRTCRIMPAEMDIIRSAVELYEAGIQFKKTNSESLHDIRFRHGVLSMPAVTVDDSTEYMFLNMMAFERLHAGAGSDVTAYVFFMDNVIDSAKDVALLSSKGIIQSALEIVHREVNAYCRKPWNMWRANLIHTYFQSPWAFLSLAAAFFLLVMTIMQTVYTVMQYYQGGSSD >Sspon.05G0003520-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:5C:459349:459966:-1 gene:Sspon.05G0003520-2C transcript:Sspon.05G0003520-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GFGFITPDDGSEDLFVHQSSIKSDGYRSLKEGEAVEYTVGSGNDGRTKAHDVTAPGGGNLAGGERPDGGNGGGRYGGGGGGYGGGGGDRGYGGGGDRGYGGGGDRGYGGGGDRGYGGGGDRGYGGGGDRGYGGGGGYGGGGGGGGGGRGCYKCGEEGHMARDCSQGGGGYGGGGGGYGGGGGGGRECYNCHQEGHISRDCPEKRR >Sspon.01G0036230-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:16752163:16753981:1 gene:Sspon.01G0036230-2D transcript:Sspon.01G0036230-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LALVTAAALLERADEALLPAVYKEVGEALGATPTALGSLTLCRALVQAVCYPLATCAAARYDRARVVAAGAFLWAVATLLVGASGTFLQMALARGFNGVGLALVVPAIYSLVADYSDDGTRGTAFGWVSMAQSMGHVAGNTLGVLLAATSFLGVSGWRLAFYALALVSASIATLTWLLGTDRRPVSVKATAAATLAQLAREAKDVVKVPTFQIIVAQGMAGSVPWSALSFAAMWLELVGFTHWQTTVLTNLNNLANALGALFAGFVGDPVALRFPNTGRIALAQVCTASSVPLAAVLLLALPDNPSAGAVYAATFFIFGFVSPWCPASTNNPIFAEIVPEKARTTVYALDRCFETVFASFAPPVVGILAERVFGYQPAASGTSVEADRENAAALSKAVFAEIAVPITVCCLTYTALYWTYPADRQHAQTAALQAVAGDQDCYCEASLVAHAAGAEGLNQALLA >Sspon.07G0004190-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:9548289:9550985:-1 gene:Sspon.07G0004190-2B transcript:Sspon.07G0004190-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRRRTLLKVIILGDSGYVNKKFSNQYKATIGADFLTKEVQFEDRLFTLQIWDTAGQERFQSLGVAFYRGADCCVLVYDVNSMKSFDNLNNWREEFLIQASPSDPDNFPFVLLGNKVDVDGGNSRVVSEKKAKAWCASKGNIPYFETSAKDGTNVEDAFQCIVKNALKNEPEEELYVPDTVDVVGGNRAQRSSGCC >Sspon.03G0018130-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:79859945:79861002:-1 gene:Sspon.03G0018130-2B transcript:Sspon.03G0018130-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Light-regulated protein, Regulation of light-dependent attachment of LEAF-TYPE FERREDOXIN-NADP+ OXIDOREDUCTASE (LFNR) to the thylakoid membran [Source: Projected from Oryza sativa (Os01g0102900)] MQAAATAVGFSAVLPAKARPAARSTVVARVPATRRSVRAAAAAAVVAEPTQVDYSSRFSVFPMEACDLLGGDACIGEMYPEAKLAAAAPEASRRVDGVERDYLSYDDPKTVFPGEACDDLGGEFCEAPYLDGVSRELAHA >Sspon.01G0014720-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:21240086:21240742:1 gene:Sspon.01G0014720-2D transcript:Sspon.01G0014720-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCIQASSPGSMPHQHHGRVLAGVGCAAEVAAAVAASAAGMRCGAHDGEVPAEAARHHEHAAPGPGRCCSAVVQHVAAPAAAVWSVVRRFDQPQAYKRFVRSCALLAGDGGVGTLREVRVVSGLPAASSRERLEILDDESHVLSFRVVGGEHRLQNYLSVTTVHPSPAAPDAATVVVESYVVDVPPGNTPEDTRVFVDTIVKCNLQSLATTAEKLAAVE >Sspon.05G0024620-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:16771635:16777309:-1 gene:Sspon.05G0024620-1B transcript:Sspon.05G0024620-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADMNFDEILEVPDTPDQQQSKYHVSSSVVGRDDTMAAANPSPVRKLRIRFKNNSLHGSSQNNACSVLPAASDTDHIFKQAEAAQILELSKDCNAKISLKKSVRTGISVDNEKRAEKHGLAQSRSISNNISCSVTGGRKPTFQAKDGEVVQQDAGHQNANFLGIGSGLPTIPVGKPGNRTCTSTTAKLKGVAGADVCPGSSSGETKGEVITNKVIAGPTSPLCVVPRRHVGQKRLVRNGCISPSNIAKRNVKVDEKREMCSSSEHLHHPHPQLDAFDRSNVIDLTDNSPIMTRQRSKVNNKLIPGHNMDTRASKKLRTDTTGRTSVPLSKYHANSSNCSEANLSGHNEKGKGISSDILDSDQIGESNLRGVDLSTAGTYVNKNSSDINVEQGWRTTHNHTSKLSISFMGNATSSSRRESGSSMRSNQNHGSAGGNHSSVSGATMMVPDRLGNKTTMIRKGWRKETSTSSHTGESSSAVDESRRSLQSSKISAVRNHTSHQHNIPVITIDDISPEARPSSSGFTNGTSVDPNIEAQLESDELLARQLQEQLYNESPRFAPTEEIDAIVAMSLQHEEDTHRTFRPVRRFQNNTRDARASRLSSYRNALRAHLATANDMISHLQNTAPITLGLGAALARYPGALHIQPNIDLNDYDALLALDENNHQHAGASESQINNLPQSVVQSNSIEDPCSVCLENPSVGDTIRHLPCFHKFHKECIDEWLRRKKLCPVCKFGIN >Sspon.05G0005010-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:15525404:15527760:1 gene:Sspon.05G0005010-1A transcript:Sspon.05G0005010-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SLAC1 [Source:Projected from Arabidopsis thaliana (AT1G12480) UniProtKB/TrEMBL;Acc:A0A178WLC1] MAADPSSSSTGQQTADILAAAPEDSRQMAMSGPLNVRGDRRPPPMQRAFSRQVSLGSGVTVLGMDRGGRNGGGRGQRALPRSGRSLGVLNHSGGLGQAAGDGAARRGGDFSMFRTKSTLSKQNSLLPTRIRESDLDLPTHVEDQSAGRPAEDPLNKSVPAGRYFAALRGPELDEVRDCEDILLPKDEVWPFLLRFPIGCFGVCLGLGSQAILWGALAASPAMRFLHVTPMINVALWLLAVAVLVATSVTYVLKCVFYFEAIRREYFHPVRVNFFFAPWIAAMFVTIGLPRAYAPERPHPAVWCAFVLPLFALELKIYGQWLSGGKRRLCKVANPSSHLSVVGNFVGAILAARVGWAEAGKLLWAIGVAHYIVVFVTLYQRLPTNEALPKELHPVYSMFIATPSAASLAWAAIYGSFDAVARTFFFMAIFLYLSLVVRINFFRGFGFSLAWWSYTFPMTTASLATVKYAEAVPCFASRALALSLSLMSSTMVSLLFVSTLLHALVWRSLFPNDLAIAITKDRQNGAVKPNGRGKRASKRVHDIKRWAKQAPLSLVSSITKSHSADKEEEERTD >Sspon.06G0001880-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:6143143:6145216:-1 gene:Sspon.06G0001880-1A transcript:Sspon.06G0001880-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPASGWGRAVGNTRSFVGNALGGLRGWSNLASWTVAGTLAYYLWVKPARQLQKEQEERAALAAVSDPYRYVEKRKPIPDPQDTGLTYGKKREPTKSED >Sspon.06G0030920-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6D:51945079:51949362:1 gene:Sspon.06G0030920-2D transcript:Sspon.06G0030920-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEELEQAKVLSGIDCSQPPARTWQRRFDDEGKKVAMYSLTMNDLMAIVDAYDPLRKWMDNCYRGVPLGGIGAGSIGRSYRGYFQQFQIFPLTNEEKPILANQFSAFVSRPNGKKYSTVLSAPTADLLKGVDKAGIGSWDWKLKEEKCTYHALFPRSWTVYDGEPDPEIKITCRQISPFIPHNYRESSFPAAVFTFTVHNSGSTAADVTLLFTWANSIGGKSELTGNHVNSKMTEHDGVHGVLLHHRTAGGHPPVTFAIASQETDGVRVSVCPSFTMGPSSSGELTAADMWDEIKKHGAFGRAGAGNSARAASKAGSSVGAAVAASTAVPAGATRVVSFSLAWACPDVKFPARSTYHRRYTKFYGVDADAAAEHLAHDALLEHMNWEAQIEEWQRPILHDKRLPEWYPVALFNELYYLNAGGTIWTDGQPPKKAGFASSEPFSIDTLVPVAAGGSAVDGVVRAVFFGDGAVPLRGGVRRGAAAWRRGQRGAVPVPGRHGVQHVQHLRRALLRLLRAAIPLPFAGAEPAAGLRPRRAPPRPTPAAHLRRPDRAAQGAGHRAARRRAQRPLGGDERVHAARPGAVEGPQPQVRPPGVPRRRGHGRRRVRGGGRGR >Sspon.03G0009820-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3A:26453309:26460105:1 gene:Sspon.03G0009820-1A transcript:Sspon.03G0009820-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MCIDRPFAFPTFYYWILTKTLLGVRFQKIDPPLGAVVIGAKIKCLGASSIGTNLFFHVGVDAELAVTWQPAQRQLYWQAPSSHFNLNILKFLLSLDIYHEMDVIYAISHRLLSWAILQNSDFQDIVVAASRRLEQVAKDIFIWEEIIRMCRRMGKAVIVATNMLESMIVHPTPTRAEVSDIAIAVREGADAVMLSGETAHGKFPLKAVKVMHTVALRTEATIPGGETPADLGQTFKKHGMVKDGEEVALVQSGNQPIWRSQSTHNIQTRRIGVGDKADVEDWRWTKDVTDTDSKGDHFLPPLDYRLPMAEPEIMDRGPVEKFQP >Sspon.02G0032220-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2B:110736159:110736671:1 gene:Sspon.02G0032220-2B transcript:Sspon.02G0032220-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTSMSSVLFLLLVATFAAGASAATFTVKNNCSSMVWPAAIPVGGGTQLDPGQTWTVDVPAGTTGRFWGRTGCSFSSGSGRCDTGDCAGALNCTVSGQPPTTLAEFTIGGSPSARDFYDISVIDGYNLPMAFSCSTGVGLVCTSPTCPAACLFPDDGCRIHACSSNSDYQ >Sspon.01G0041850-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:56729297:56731685:1 gene:Sspon.01G0041850-1B transcript:Sspon.01G0041850-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWVAPAAVECMWGRMRTTPSQAAVRPPPLLVRMGLLFVGLGALHSSPYHIDGRDPNGYVGCMWSICGLHDQGWKERPVFGKIRYMNYAGCKRKFDVDAYISYVKRLVAHARRGKLRNI >Sspon.02G0036160-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:27378350:27381842:-1 gene:Sspon.02G0036160-2C transcript:Sspon.02G0036160-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAGDNPHSISEKKAALRESPKQPNNVVNEQPTTSPFPEDKVAATVGIKRPQPYGPLSPTNHHTLGNPGANGHLVRVETDQSKGGTSARGESASSTSLKKAGTGSLQSQELILKHQNSTSHTQCAPRSASLAAAAATPSPALQSAVLSLHHSFGKQSPGKVAAQPANDVTTSLSPSNVVSSTAVLQSSVAANLAPSGVSAANTASCAAISATNLASCSALGTNVASSDAISATTLAPNQAPPSRSSNQDGSERFLRLQAFLRHNEQSDQEEYLHKLRSLSPIGRSRHAFELEKRAANLLIEEGKELQKMKVLNVLGKLVTPSLPNQPPSVMHLTQYQHIFMDAASLEFRRKCQFNKIEASQGHQVPNEISGNLTISAEMLHY >Sspon.08G0003640-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:9550796:9555031:1 gene:Sspon.08G0003640-3C transcript:Sspon.08G0003640-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RMDKGKSVVAELAASFSDVRVAPRQNPKPKSFLPSPSSDSFSKKAKPRKLVSLCLGTLGQHLEDIIIDISELAALFPPHIQLAIMSIARRRRLLNDEVLTSLAESSWEILDISGSDVTDAGLATVANVCSNLRAVDISRCEKITTAGVSEIVCHCPSLEILRCRGCPRSEVTARRCLNLLKPKLNTLEGDSWEELDTLDIGGGAESLRWLVQPKIDDNSKETLAAECPRVTVNPQPSLFDLSGSKVPVEALASVPLDHSVVEDIDPKTWAVSAAPRRPVAPPNPNAPPEIPIAERFRLAYVEREARLAPKRAKRERQQRRRAERDYMMNDIDARSIALAALASRNLRKS >Sspon.01G0004030-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:11146545:11154438:1 gene:Sspon.01G0004030-1A transcript:Sspon.01G0004030-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Conserved oligomeric Golgi complex subunit 4 [Source:Projected from Arabidopsis thaliana (AT4G01395) UniProtKB/Swiss-Prot;Acc:Q8L838] MAVPFSSPTPRSPRRPDAIVAPDPSSADVPPSLDFGDPASLAALRVLTDAGAATRLLHECVAYQRALDARLDALLARRADLDRAAASLLRSAPPLLSLAASDAAALKESSSSTAALADALSSRVRHLDAAHSRADAALARAEAALDRSRALEAARRALAADDLAAAATAAHEFLAIDARFPTDDDLRRDLLDIKRRLEGLARRRLAAVVDAQDHPAVLRLVRLFPLLGLADEGLQVYVAYLKKVVALRARADFEHLAELTSGTQPTSEQPDFVGCLTRLFKDIVLAVEENDAVLRELRGDDGVAYAIIELQEECDSRGTQILRRYADYRKLARLASDINSYTKNLLSVMGSMASAAGGNEGPDPREIELYLEEILALTQLGEDYTEFMVNKIRGLRDVKPELGPRAMKAFRNGNFNKMEQDLTGFYVIFEEFFMVENVRKAIRIDEPISDGLTTSMVDDAFFILQSCCRRAASTASINSVLAVLGGATSLLSNEYQEALQWRMREPNLGAKLFLGGVGVQKTGEEIATALNNIDISSEYVLKLRHEIEELCVEVSLVFFDSIHFRRFLTLALSVRAPRLAVASILGGSTPTLRDLAFSVSTAFSLTPRSCRSALKLRPAAMAVPFSSPTPRSPRRPDAIVAPDPSSADVPPSLDFGDPASLAALRVLTDAGAATRLLHECVAYQRALDARLDALLARRADLDRAAASLLRSAPPLLSLAASDAAALKESSSSTAALADALSSRVRHLDAAHSRADAALARAEAALDRSRALEAARRALAADDLAAAATAAHEFLAIDARFPTDDDLRRDLLDIKRRLEGLARRRLAAVSTHRTTLLCSASSACSHCSDLPMKDSSNEYQEALQWRMREPNLGAKLFLGGVGVQKTGEEIATALNNIDISSEYVLKLRHEIEELCVE >Sspon.02G0015800-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:46333607:46335941:1 gene:Sspon.02G0015800-3C transcript:Sspon.02G0015800-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPSVAVPEVGVPAAQASCPCPGTTLFPYPPPRGAGIAAAVVRRKCLQVELGAGAAGLLGGACWGVESMRASSPTHAKAAAAAALAGGGVVDEERAAWTVRHPSALGKFEQIVAASEGKRIVMFLDYDGTLSPIVDDPDAAFMSETMRMAVRSVAKHFPTAIVSGRCRDKVFEFVKLAELYYAGSHGMDIKGPAKASSRHAKAKAKGVLFQPASEFLPMIEEVHERLVETTRCIPGAKVENNKFCVSVHFRCVDEKMWGDLSESVKAVLREYPKLRLAQGRMVFEVRPTIKWDKGKALEFLLESLGFADCSNVLPVYIGDDRTDEDAFKVLRRRGQGQGVGILVSKHPKETTASYSLQEPAEVMEFLLRLVEWKRLSRARLRLQ >Sspon.07G0006160-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:16086503:16087025:1 gene:Sspon.07G0006160-1P transcript:Sspon.07G0006160-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ELMADAPASPGGGGGSHESGSPRGGGGGGGGNVREQDRFLPIANISRIMKKAIPANGKIAKDAKETVQECVSEFISFITCRASDKCQREKRKTINGDDLLWAMATLGFEDYIEPLKVYLQKYREVRTYEGVGD >Sspon.05G0006140-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:19741687:19744528:1 gene:Sspon.05G0006140-1A transcript:Sspon.05G0006140-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSQLRLHTAAAAVSELELLVPRGSGAGAMDQFQHDPAAGAHEIEKTTPAERAAAPDMESEPAAARVAERVPPWREQITARGMVAALLIGFVYTVIVMKLSLTTGLTPTMNVSAALLAFLALRGWTRALERLGIASRPFTRQENTVTTVVACYTIGFGGGFGSFLLGLNKKTYELSGVNTPGNVPGSYKEPAIGWMTGFLLAVSFVGLLTLLPLRKVLVIDYKLTYPSGTATAVLINGFHTPQGDKNAKNQVRGFLKYFGISFLWSFFQWFYTAGDNCGFAQFPTFGLQAFKQTFFFDFSLTYVGAGMICSHLVNLSLLFGAILSWGVMWPLISKQKGNWYSANASQSSMSGIYGYKAFLCIALLLGDGLYNFVKVIVITVKNIRERSRRKNQNKVADADTMALDDMQRDEVFSRDNIPTWLSYTGYAALSLIAVIVIPIMFREVKWYYVILAYLLAPALGFCNAYGTGLTDMNMGYNYGKIALFMLAAWAGKDNGVVAGLVGCGLVKQLALISADLMHDFKTGHLTLTSPRSMLVGQAVGTLMGCVLAPLTFMLFYRAFDVGDPDGYWKAPYALIYRNMAILGVEGFSALPTHCLELCAGFFAFAVLANLARDLLPRRIARFVPLPMAMAVPFLVGASFAIDMCVGSLVVFAWHKLDSKKAALLVPAVASGLICGDGIWTFPSSLLALAKIKPPICMKFTSGS >Sspon.08G0003290-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:5613905:5618114:1 gene:Sspon.08G0003290-2B transcript:Sspon.08G0003290-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein PECTIC ARABINOGALACTAN SYNTHESIS-RELATED [Source:Projected from Arabidopsis thaliana (AT3G26370) UniProtKB/Swiss-Prot;Acc:Q9LIN9] MAELRHATAAAAATRATSSPSKRDAEAASASSPLVASPRVGGGASGKDGLRPHQRWSLPPPVRSLSRSRTPGPPPPPPPTGSSSPQSPASPSLRSSPRLPSGHASSASGTLCGRILMQQRLRGSRVLSVRAMRSQASTKSEICNAVAIAKIMNATLILPVLKQDQIWKDQTKFEDIFDVDHFINYLKEDVRIVRDIPDWFTEKDELFTSIKRTVKNVPKYASAQFYIDNVLPRIKEKKIMSIKPFVDRLGYDNVPMEINRLRCRVNYHALKFLPDIEEMADKLATRMRNRTGSLNPYMEEKAMMATYRQQQWPRRYKVYGGNNRMAPLRNMFPNLVSKEDLVSKEEMEPFKKHVTSLAALDFLVCLKSDVFVMTHGGNFAKLIIGYRRYMGRHRLKSIKPDKGLMSKFFGDPYMLWATFVEDGQPEQQKRHLLPPPLCWASCRIIPAGRLGLSFTSLSAGNAQAQTWLA >Sspon.06G0026940-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:81580894:81582374:1 gene:Sspon.06G0026940-2D transcript:Sspon.06G0026940-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKALSLLDPPAKDPEKSNAEMKMKIAAAEEEEVDVVDARKASLIRLALDVFFKVYLLFCVGMVAAMVIVSDNWWDPWPGVLFLSPICIGTLWLTPKMKDVFITLFSFMLQRGYRKVTMILAPNYYHLRNKLISNV >Sspon.06G0017960-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:97477826:97480044:-1 gene:Sspon.06G0017960-1A transcript:Sspon.06G0017960-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATANERWSLAGATALVTGGSKGIGHAIVEELASLGARVHTCSRNAAELEECRRRWAEEKGLQVTVSVCDVGVRAEREALMGTVKDVFAGELDILVNNAAQVVFKLALECTAEDYAQITATNLESCFHLSQLAHPLIRKSAVAGGGSIVHISSVGSCLGLPNVAIYSTTKGGMNQLTRSLAVEWASDKIRVNCVAPGIVFTDMAKQVPVVLEKESLSRIPLKRSGEPQEIASVVAFLCMPAASYVTGQVVYVDGGRTISA >Sspon.06G0002160-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6A:6938198:6938852:-1 gene:Sspon.06G0002160-1A transcript:Sspon.06G0002160-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAILRRMVISFAHAFPPPPDTITISPLPPRPQDQLPHCLDSQSDGEMQEGEGDGDGEATGRERARDREERGRTPEIGKHRRGSANEEGREREEDGETARQGLTESPGGSEVTSKWGRRSEAAAGRRRRYLARKAEGQGERVERTERIVSMELQGVVWSVGNAAVENLVVWGRPFDFLHKAEAAENQFQTGPRQFVTETRP >Sspon.07G0002290-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:4339961:4341311:-1 gene:Sspon.07G0002290-3C transcript:Sspon.07G0002290-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAITAPSSMEQIPLVQCPRANAGQQAGAAAIPCVDLSAPGAAAAVADACRSVGFFRATNHGVPARVAEALEARAMAFFALPAQEKLDMSGAARPMGYGSKSIGSNGDVGWLEYLLLSVSANTVKVSSLPPSLRAALEEYTAAVREVCGRVLELIAEGLGVDRSLLRAMVVGREGSDELVRVNHYPPCPLPPPVDCGVTGFGEHTDPQIISVLRSNRTAGLQIKLRDGRWVPVPPAPDSFFVNVGDALQVLYYCAVHAQTSIAHALSGFRPAMAMHAQVLTNGRFKSVKHRVVAPEGAQSRLSVIYFGGPAPSQRIAPLPQVMRDGEQSLYREFTWAEYKTAMYKTRLADHRLGPFELRATAASTTNSCMPPPPSADPHCNSSGTCLPPPPQQQVAEVH >Sspon.03G0003530-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:9172075:9173711:-1 gene:Sspon.03G0003530-1A transcript:Sspon.03G0003530-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAGDSAGARGGLLCVTGGSGFIGSWLVRLLLDRGYTVHATVKNLQDEGETKHLQALDGADARLRLFQMDLLDAASSMRPAIEGARGVFHLASPLTLQTRDPEAILHSALSHIYTTTPGLFHRDDCEELLEPALKGTLSVLRAAKDCGVHRVVLMSSKSAMLPNPAWPADKVIVEDDSWADLQLLKKRQLWYHVSKTLAEKAAWEFAVKEGLQLVVLNPGTTLGPFFTPSVNTSLNILLQLMRGQELELDAVYTGWVDVRDVAQSAIVLYENPSAQGRHLCLASMERLADFADKIADMYPEFPVHRIKEDKQGWLMRVKEPSKKLIDLGVRFVPFDVTIRETVDCFRNKGLI >Sspon.03G0013820-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:85829304:85830631:1 gene:Sspon.03G0013820-3C transcript:Sspon.03G0013820-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQEQEHEKQQAKTSTTSSLPSSSERSSSSAPNNLREGVVESDEEIRRVPEMGGASASASSGAGADKEDGKQGGQLAAATGGAASGGSREEARPHRGGQGAEPAKAPAAEPRVRTAGAGAEEGVPDGAGGQGQGPGAPQCRARAAGVHAPEREQHAPPDSEEHDGAREQEERRRWRQGRRRRQEAPLRQEL >Sspon.02G0041970-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:98347613:98350245:-1 gene:Sspon.02G0041970-2C transcript:Sspon.02G0041970-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKKASGRAAAAMGCGGDPQAPSRPTPKVTDGGTGTGTGRPASRLLSTRSLPSLLTDSAMSPASVAELSRNPACSSGRRNGSARSGGIGGSPAAAGLAGVLVAEEEAERGSCKNNRRVLLGMRLRVQLPPPGKAPGGGGGDLPGSPIEFGVKNRDAQLALLSPVQRSPLSSAAARLARRSEVEELAEEDYTCVIARGPNPKMTHIFEDRVVESGAVAGAAAGGGGGRLLLPLKLFLWVQQGCCFLAERG >Sspon.06G0017310-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:75885692:75889890:1 gene:Sspon.06G0017310-4D transcript:Sspon.06G0017310-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VSYCLGFIIVCKRAIVLDEMKSTVFSAVVVSIGYELLGWDFAALLGITLAASTFGAIVITIFSGALLDWLGRRAILVYSSLVLFSGGVLMLWSPNIYIVLLARLIVGSGSGLVFTCVPIYISETSPPNMRGLLGTMPQFMFFLGTIFSYCLIFWLTLMSSSNWRIMIGAISAPSIVYFALLVYYLPESPRWLASDGKISEARVSLQWLRGKKHDVSGEIAVIVEGVDIISDSAVGTARAQSFSGTSASHTWPRSTFYWQLSDPLVDLLGSIHGNMSEGGSRRNSFFPVFNSFSFPEHEHMNEHRDGNSDQQTREAYSAGEVNNGDGLRASLLSQAASVEVNDTNTSFTSEGSSSYLRRHGTSVLAQEFMASIHDYDIEEEEIHGFVSPHQSAPRDMESTGRHPFRHQIVRLSETADMKFKWRVLLQPGIRHALCYGMLIQALQQSTGISGLLRCAPEILEQVGVSWFSDIGLSPHSTSILICILHALLILPCITAAMLLMDFCGRRVLVLATTPILILSLSVMSMSTLLNMGLFERAIVFHFALTICFCSYVVGLGPIPNILCSEIFPTKARATCASFCSLSYWFGGLLSAYCFPVMLSTIGLGGACGIYALVCCAPLFLFYYRIPETKMLNLELIAELFKLSRQEYESFVPAREKSEV >Sspon.02G0048980-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:31641975:31643961:1 gene:Sspon.02G0048980-1C transcript:Sspon.02G0048980-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta 7 subunit of 20S proteasome [Source: Projected from Oryza sativa (Os09g0515200)] MDGLSKSIGGDGPNVPAGSHPAGGSQAAAGGGATQRTQYPYVTGTSVIALKYKDGVIMACDTGASYGSTLRYKSVERIKAVGKHSIIGASGEYSDFQEILRYLDELTLSDHMWDDGNSLGPKEIHNYLTRVMYNRRNKFDPLWNSLVIGGVKKGPKGDEKYLGMVNMIGTHFEENHVATGFGNHLAIPILRAEWHEDMTFEEAVKLVEKCLLVLLYRDRSSINKFQ >Sspon.04G0002190-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:6836974:6843657:1 gene:Sspon.04G0002190-1A transcript:Sspon.04G0002190-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAVAGWTVAAVLLQVAGLSLFLYGFFPVKPTLRGFSGAESYQVPSCGSISAGEQEPTLPPDQLRSLYRELSGIPPVYDRLVLMVIDGLPAEFVLGRGRKPPSKEMMESMPYTQSLLAGCKAAAYHAKAAPPTVTMPRLKAMVSGAIGGFLDVAFNFNTQAFLEDNLLDQLHMIGLKLVMLGDETWIKLFPTLFTRQDGVSSFYVTKPHYSPDDDTTFFKESKSYFGLRLQIFAYAVKDTVEVDLNVSRHLEFELAATDWSVLVLHYLGLDHVGHIGGRQSVLMTQKMKEMDDVIRRVHTASLQDNLDRTLLVVVSDHGMTEGGNHGGSSYEETDSLALFIGHSVESPYCSPYDQKEALQVDLAPTLALLLGVPIPKNNFGVVLPELLNSLTGDFIFTFHFWCIKAFSSHQSSRLHRGSDFKSVKAGYYGTAADDYYGFLRYASEWLSHRATDKPFYLLVSAILLMTVSCLFLMSTVSCLFKARSLSQVDHHSESYLDQHWHLDEVLVLAGMFLYVISLSSSSFVEEEQYTWHFLTSTLYLIFLTKAVQSMLKGSNPTLGHKAEQKSFDKSNFSYATSFELTPGKRNVYKLCTILIVLVAGRVIRAWHQGGINWVHFPDISKLLAQADSSVVKSLQIISVLAVVVLFSVSLTLLRARPKFVTGVWLTHISCGFLVLLHIWANQISTSLPINHSTASIARQFYVIASVSISVTVLASPWVFPMFSTEAESSSSGSSPVKAIHGIRNSLFLTGITYTAFWGLLQLLLQQPINAIPLFLIFLQTVSSVVHFSLDKTLHKQWVQVVAIYFLGMAGHFGLGNTNSLASIDVAGAFIGISSYSTVLSGILMFMITYASPLMLYLGLVLHVSVKDIYDISAQQQLKWSYILDKMVTLPCLFPLLINSLALTSYTIVLLLMRNHLFVWSVFSPKYLYVCAATVCTYVGVFIIAMTAVYNCAVFKFRARSYRDKSL >Sspon.03G0008790-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:38207388:38211306:-1 gene:Sspon.03G0008790-2C transcript:Sspon.03G0008790-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAVKDQLQQMSTTCDSLLLELNVIWDEVGEPDMARDRMLLELEQECLDVYRRKVDQANRCRAQLRQAIAEAEAELVGICSAMGEPPIHVRQSNQKLHGLREELNAIVPYLEEMRKKKVERWDQFVDVIEQIKMVASEIRPADFASLRIPVDQSDLSLRKLEELIKELQSLQKEKSDRLKQVMEHLNTLHSLCEVLGVDFKQTVHEVHPSLGETDGSKNLSNSTIESLASAVNRLRELKVQRMQKLQDLASSMLELWNLMDTPLEEQQMFQNVTCNIAASEHEITEPNTLSIDFLSYVESEVLRLEQLKASKMKDLVLKKKTELEEHRRRAHLIGEEGYAAEFSDEAIEAGAVDPALVLEQIEAHIATVKEEAFSRKDILEKVERWLNACEEEAWLEDYNKDDNRYNAGRGAHLTLKRAEKARILVNKIPGLVDVLTTKIVAWETERGKEFTYDGVRLLSMLEEYMIVRQEKELEKKRQRDQKKIQDQLKAEQEALYGSKPSPSKPQSTKKAPRHSMGGANRRLSLGGPTTQAPKTDIMHSKTARAAKKAEDLGALSPSSRGLDIAGLPVKKLSFNASTLREAETPRKPFAQIMPGNNVSSVPARPISNDTEEENKTPKTFAGLNPKTPVTVTAPMQMAVTPAVANKVIATPATLFQEKAELPALPGDIEYSFEERRLAVYLARQVA >Sspon.05G0032410-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:16958866:16961963:-1 gene:Sspon.05G0032410-1C transcript:Sspon.05G0032410-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Elongator complex protein 3 [Source:Projected from Arabidopsis thaliana (AT5G50320) UniProtKB/TrEMBL;Acc:A0A178UGS1] MAAPEQPRRRKPAPGRGGVALPAGLSEEEARVRAIAEIVSAMGELSRRGEDVEFILMGGTFMSLPADYRDYFIRNLHDALSGHTSANVEEAICYSEHSAVKCIGMTIETRPDYCLGPHLRQMLSYGCTRLEIGVQSTYEDVARDTNRGHTVAAVADCFCLAKDAGFKDIHHKIRPDEVELVRRDYAANEGWETFLSYEDTRQDILIGLLRLRKCGRNVTCPELVGRCSIVRELHVYGTAVPVHGRDVDKLQHQ >Sspon.08G0020810-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:26555405:26569711:1 gene:Sspon.08G0020810-1B transcript:Sspon.08G0020810-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRHHHLFAILRRAVASAASQRAEPLPQLHSVALRNGAAGPFSPCFFSSRASSSAGAAKSLVEDEAELSDWISDLKTDSFHLGLSSGDEGDAPSTRRPSVGASRGGRGGRDSRGSFPRSRMAGGEFGGDRRGGSERRGRVMSSDLDDEDDDDDSGFGSSRGRRGRGRGERSSGFVRRGGRANGFDEEATFRSPRGQPSQRGRGSGVARRGGRYSDFDRDGGDGDTGFGSSRGRRGDSGQLSGLSHRRGRVSDLDDDEGSDDDLVGFGDRDGKQHHGRRRGGREDTVGLRRGRGRRDLGLSRKGGSYNDLGDYDGDIGLGSSRGRRDRGGRMSGLSQRRGRGSDLDDDEDDDAGPDGFEGSSVRHQGGRRGKMANGSHWGGRGSDMDFGHRQPRGAKNFDFGLSEDDDEAGEVDEDDEPSGFEDDLLDDKGVKEDVGEITDNNKSGSSMSAENEPAKHESVQGRSTGGGDSYLSQKRFDECPLSPLSLKGVKAAGYERMTAVQEATLPIILQGKDVLAKAKTGTGKTVAFLLPAVEVVSKLPPVDRDLKRPPISVVVVCPTRELADQAAAEANKLLKFHPSIGVQLVIGGTRMALEQKRMHTNPCQILVATPGRLRDHMENTPGFATRLLGVKVLILDEADRLLDMGFRSDIEKIVVALPKQRQTLLFSATVPDEVRQVCHIAMKRDLEFVNTVQEGSEETHSQVKQMHLIAPLDKQLSILYGLLTDHISENVDYKVIVFCTTAKVTSLVAELLSELKLNVREIHSRKPQSYRTRISKEFKESKGLILVSSDVSARGVDYPNVTLVVQLGVPTDREQYIHRLGRTGRRGNEGAGVLLLAPWEEYFLRSIKDLPITEATQPLIDLDTKKKVDKALAHVEVKDKESAYQAWLGYYNSNKFIGRDKYQLVSLANEFSRSMGLNNPPAVPKLALRKMGLNNIPGLRSK >Sspon.03G0026410-3D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3D:2873510:2874760:1 gene:Sspon.03G0026410-3D transcript:Sspon.03G0026410-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPAAATFLLSRPDEGGGGAEEQSGSHLEHEHEDEEGVPCVLDIFVHEARGIHNICIYGDQDVYARLALTSAPDDDGAARATRVARGGGASPRFGERLPPLRVPRGRIAVDVLKCELWMRSCARGVLDDQLLGFALVPLASVAAADGARVVEGEFELSSTDLLHSPAGTVRLSLALRPGIPEDDACAPPAGRGADAEPSIASEVVILGPAPAPPVDYSRIEFTDLRVEQENDAMAVQYLPFLRAGDGGVVASDYCEMSTGPRGEKSAASSDGSTSRNASASTASTVSEDRAVSSSAEAAEKPLPLPDLDEATTAPVSRRSPDTPTSHGGKATKGDVFTSPLGDMDMDIDMEAEQSAMQRQIMEMYVKSMQQFSESLAKMQLPIELGLDGGGGVVHKEETPDKNKVIERQQAKKDGAR >Sspon.07G0012500-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:42625112:42630491:1 gene:Sspon.07G0012500-3D transcript:Sspon.07G0012500-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPREIITIQVGQCGNQIGMEFWKQLCLEHGIGKDGLLEDFATQGGDRKDVFFYQADDQHFIPRSLLIDLEPRVINGIQNSEYRNLYNHENIFVAEHGGGAGNNWASGYHQGEQVVDDIMDMVDREADGSDSLEGFVLCHSIAGGTGSGMGSYLLETLNDRYSKKLVQTYSVFPNQMETSDVVVQPYNSLLTLKRLTLNADCVVVLDNTALNRIAVERLHLSNPTFAQTNSLVSTVMSASTTTLRYPGYMNNDLVGLLASLIPTPRCHFLMTGYTPLTVERQVNMIRKTTVLDVMRRLLQTKNIMVSSYARIKEASQAKYISILNIIQGEVDPTQVHESLQRIRERKLVNFIDWAPASIQVILFFVTIQKMDNAVLSNTVLQVSGLMLANHTSIRHLFSKCLGQYEKLRKKQAFLDNYRKFPMFADNDLSEFDESREIIESLVDEYKACESPDYIKWGMEDPGEANVAAALDSKLVV >Sspon.03G0021970-3C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3C:86018953:86019318:-1 gene:Sspon.03G0021970-3C transcript:Sspon.03G0021970-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSSGMVISVRPPAARPCACAASAAAGGARARADGGGAKWWAPLLGWSGQPDYIDARPAPEEEPETRQRASARRFGVLTEDKARRLRMQMMETESFHDAMYHSAIASRLASAAPAPDNKR >Sspon.03G0020340-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:85713386:85723591:1 gene:Sspon.03G0020340-2B transcript:Sspon.03G0020340-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCTLICCPAVHPFYSTPFSNTPKLLCFLNITITSSERAGLKAREQELKREIPIPIPCRDQVRNPAPQLSRIAGSPSSPSFPKHAALSLYRIPFHTRRPSRRRGGAAAACSPSPALRTREFNFGVPVMQRDTSSSDASASHAGRVRRRRHATEATTDGNRANGQPLLVNDQNKYKSMLIRTYSTVWMIGGFAFIVYMGHLYIWAMVVVIQIYMARELFNLLRKSSEEKQLPGFRLLNWHFFFTAMLYTYGRFLSRQLVNTVTSDHLLYKVVSGLIKYQMFICYFLYIAGFVWFILTLKKKAYKYQFKQYAWTHMILLTVFAQSAFTVANIFEGIFWFLLPASLIVINDIFAYLFGFFLGRTPLIKLSPKKTWEGFIGASVTTIISAFLLANVMGHSQWLTCARKDLSTGWLYCDPGPMFKPEHYSLGEWVPHWFPWKELAIMPVQWHALALGLFASIIAPFGGFFASGFKRAFKIKDFGDSIPGHGGITDRMDCQMVMAVFAYIYHQSFIAPQNFSVEIILDQIIRNLAYEEQKYLYERLGEIFHERQLTQS >Sspon.08G0020940-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:28315854:28322052:1 gene:Sspon.08G0020940-1B transcript:Sspon.08G0020940-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSPVPERSLIIESDDDDDTQSAVATNWTGHGHDEEEPEQEPGSDSDSSSSSSSCATPRRGPSSPAYTQQWPQSYRQSIDILSSVHSPNLSFLGTPTLSRLSNSFLAITDSFRGKAPETISNFIKPLLGPTSSDEQQQQHEDTRKSSQHIMPSRKSSLQQIPEDQKPLVVGHEASHNRNCSYTQGVMNGINVLCGVGILSTPYAIKQGGWIGLVILCLFALLAWYTGVLLRHCLDSKEGLETYPDIGHAAFGSTGRIVISACCIEYLILESDNLSKLFPTAHLTIGSLTLNSHVFFAILTTIIVMPTTWLRDLSCLSYISAGGVIASILVVICLFLVGVVNNVGFENEGTALNLPGIPIAIGLYGYCYSGHGIGLSTFLYAGAAVMGYKMFGEATESQFTLNLPDNSLISKLLPPNQQKYSTIIMLRSSLVMSTLLIALSVPFFGLVMALVGSLFAMLVTYILPCACFLAILKTKVGWHQIAACSFIIAVGVCCACVGTYSSLSKIIQNYT >Sspon.04G0029580-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:72818818:72824759:-1 gene:Sspon.04G0029580-1B transcript:Sspon.04G0029580-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIGAPGCNGKERKGGDHKAALRQKKLKSKTLKWRSSNGDMNSKVEAGGSDQVCDDAVLCSLSTASFSGLVSWKRVRTLLRSLFSISKVAERCDAVDPPVPRKLITEQEEAIADVLLSLSQAPSHSELTADMAIADSSNTNVASTSYYAGATKEGDQIVVLPSAANELANQSACIDKVVEQTNIVPNVNPVAGTTDQFSNKTPPLSTTEQIQDLSVGTAVNLPSPSKDTSNCSTQKQQKVQFDDSKCHPAQKPEAPLLQVNSDKSEVVPHEREKAKNNRDEDIISWVVKKMIMFSGPLQANWQLAPIQFLNPLTSPHLEIRTLQHPLVKNVGTPKAWKRSITHVYVSHVIQMHVNKEKAAASSSQIQAKPEERPLARCSRPPNGGFTTSHKATAAARDEKFYTVHFDVRVPAHQQPSAGICDMGGGRQRVSGQWWFLAEPARHGIVGATSDGTACPVPAPSDGATAAAAGRDAVPVPAPPCLQQGESGTRRGASAGSFFSLASVHHCFWFL >Sspon.02G0025470-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2B:89655691:89656077:1 gene:Sspon.02G0025470-2B transcript:Sspon.02G0025470-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEELVEEILLRFPLQEPASLVRATLVSKQWCRLISGPGFRRRFREFHRMPPMLGYLCNDIDGPARFVPTTAFCPPHADRGHRVTLDPHHGRVLLWEPKVVVGKVLVVYWCGTPSRTSRRSCPFRCCGP >Sspon.07G0007700-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:20694777:20697668:1 gene:Sspon.07G0007700-1A transcript:Sspon.07G0007700-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGGSRPAPVALFLLAASGICAQFTAVLAGDPQTSNNDKKAEAQPKGHTGKTVLFVLLGVGSVILLSFFIFKYWQKKKREEQHARLLKLFEEDDDIEVELGLRD >Sspon.01G0058380-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:27483929:27484376:-1 gene:Sspon.01G0058380-1D transcript:Sspon.01G0058380-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKHAGKDAFHLRVRAHPFHVLRINKMLSCAGADRLQTGMRGAFGKPTGTCARVAIGQVLLSVRCRDAHAPQAHEALRRAKFKFPGRQRIITSGKWGFTKFSRAEYLRLKSEGRLVPDGSNAK >Sspon.02G0013370-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:38174110:38174433:-1 gene:Sspon.02G0013370-1T transcript:Sspon.02G0013370-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEEAVSRTFRLEPLHLPAPTAGPHGVAVRSAPWRPGILRRRGDKQLLACQFRQARCTSAGSHRINPGVVRSIARAGEDDRPPLLVRFPELFCFVFHPLFAAKRRVE >Sspon.06G0011410-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:12176082:12179927:-1 gene:Sspon.06G0011410-2D transcript:Sspon.06G0011410-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFLQRQRLETTVAVAVAVVAVAAGAAYLFLRSRKHRGCLDPENFKEFKLVEKRQLSHNVAKFKFALPTPTSALGLPIGQHISCRGQDAAGEEVIKPYTPTTLDSDLGSFELVIKMYPQGRMSHHFREMKVGDYLSVKGPKGRFKYLPGQVRAFGMIAGGSGITPMFQVTRAILENPKDNTKTSFTLNLIAVIYLYVNGTGSIDDAYLEELDSMAKNYPDRFKIYYVLNQPPEVWDSGVGFVSKEMIQTHCPAPAADIQVLRCGPPPMNKAMAAHLDDLGYTKEMQFQF >Sspon.07G0025540-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:43412346:43417604:-1 gene:Sspon.07G0025540-1B transcript:Sspon.07G0025540-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSHPPDPTRPPVGDGGAARPPPSGLHPAASLPIPSIDPSSPQPDLSIHGVNGGLPALLGLPTTTECTPAASASPQLSPPEATQVLAASTNHSHNPFLSLSALGVMAKPVARSPPLEDEVVVEDVEDGDEEDGNVAAPPPMSGATLHGVLAGRAPSPSTASPSSWRMTGSPSPRLPHSLSPRAAPFFPGGCSVGRSKARRWADADPGAGSSDDEPTPAAACPSHLNAARKAQPRSYLDAVLRHELPASAPPPRSQPSAVDAAVGLRDVAGRVPTWCTASLLGPWMAAPLFANVLDVVDGSPPPMSMGGVRFSNGRTSVGPEDPGGTTRQMFQLPLPLTQSSDLPVATALSALDCKWPRRAAVMPVTASEAQGWWLTSREGGCGGHQRPPSKLPREVDVAVTGNVASSTVTYCPQEPDPLAVALCEGTVPPVWVDRMFDELAASLVVSPLVGASALRRPSTPPAAPLEFQALLADTHSPPRMVQLIYTDAEASGVDDRPPLPISPSALAGEFARRVSAPLKTPSSRRHHVVEPVALHPRVWRQSVPRGPLTPPSKRKGCLCPTVGYPGSGGFQEGSLGPTYSAKREALRVLLKFDVAGFEFEEFEEACYDPKAAKARCMDPPEEAIYRDLERAKLAVAVEEGVCKKPSTETWREIHSGLPDEAKLAVAVEGVSYKYRTGAAHTPPPRSCNLNCILKLKKPPGPFHAIPLGEGGGGGLAYEHDDGEHKWLTTHGCNCSK >Sspon.06G0008510-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:36186456:36190789:1 gene:Sspon.06G0008510-2B transcript:Sspon.06G0008510-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAWWRRKVVPRARRAWAAVAARLRARKPGSGGILKLHEDVHSCGYKDVQVMFHMLTSELEAAAQAQKPPPSPPRKQALPPAWPGRSSLTIAAAQ >Sspon.02G0054980-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:122901167:122903708:1 gene:Sspon.02G0054980-1C transcript:Sspon.02G0054980-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAERFRNTQLQDELDMYDDNTDLFVELPYLKKRSKIVEIVAARDIIFAIAQSGLAAAFNQITSKRIAFLNISPDEVLRSLFYNKSNDSLITVSVYAYDSYSTLKCRTTPIDTNDVYITSDQDLIISYCKSEAVAEDGTVTPFGSINARETMTGKCIAKLSANNLSVVPRGNSNSDSKRSLVQSTVSEAMEDVTALLYNERNEIYTGNSKGLVHVWSKSNRPQSICAKL >Sspon.03G0020640-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3B:86503491:86504324:-1 gene:Sspon.03G0020640-2B transcript:Sspon.03G0020640-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding QVTFLKCGGVALGTALHHVAIDASSAFHFFKTWSAFSKHGDLAAVELPCHDRTLLRARFPPTVHPDALLTLHPKLIFSDPEGPLAFEVFTISRDQVASLKHLCGGTSTFCALSALIWQCVARRLPPESEVRIVFPADLRRRMRPPLPDHYFGNAVFRLCVTGAAGEIGTVALGSIAARIKGTVELMDDELVRSAIDYFEMAEMDKRPALRGTLPLTDLHITSWFGRARYDADFGWGKPQFMSRAESVRGGYVYLMNDEGTTDDGGGSGDVRVLVCMEA >Sspon.03G0006030-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:24037884:24044731:1 gene:Sspon.03G0006030-2B transcript:Sspon.03G0006030-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:HD-ZIP IV family of homeobox-leucine zipper protein with lipid-binding START domain-containing protein [Source:Projected from Arabidopsis thaliana (AT1G79840) UniProtKB/TrEMBL;Acc:F4HQC0] MGPSGRPPPRTPADIFPAPALSLSLSLSLAGPFGRNEPAAARGDEVENGGEGHGGIRGSGLRPGEAAEISSENTWQASQSGGAWSGEEAGHEDAGGNKRRKSCHRHTAEQTRVLEAAFKESPHPDETQRQQLSEQLGLSASQVKFWFQHRRCQTKVTPERHENSLLKPELEKLREENRVMRELIKKSLRSQGQLLRVENAKLKAEIERLRRALGNNSAVFPTSTGGIQIRSRNSLDDVGHDKTKFLELAGRALDELTAMCSSGQPLWVRSVDTDRDVLNIDEYARLFRHHDGDRRGVWSVETSRETGVVYCNATKLVGAFVDVNQWKEMFPSMITKAATLEVIHAGENDHRDGIVQLMFAEVQTLTPLVPTRELHFLRHCKKLGTNKWAIVDVSLDNFEPGAQTSSTLCMCLKKPSGCIVEEQSLGRCCKVTWVEHVKCREAAVPSLYQAVTASGLAFGARRWVAALGLQGERMVFSVATNVPTWGSNGVATLLGRQSVLKLAQRMTSSLCRVIGASRDLAWSKASNHGGTREIRVMCRKSTGEPRGLIASAVLSVWLPVKPAALFGFLADESRRHEWDLMLPGQSVQSYVTVRKGDHRGNRVTAYAVQPSAEELRGKWILQDSSTSPCESTVAYAPIDAAVLQPVVYGDDSSAVAVLPCGFVVMPDGREARPAVTTSRKDKEEGRTAVESAGSLVTLVFQALASSSATDAALPTDAVKAVTRLVFCTLGNIKKALRC >Sspon.06G0025220-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:84032951:84039364:-1 gene:Sspon.06G0025220-2C transcript:Sspon.06G0025220-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTSPAVLDHDHGPHHLLSSVSSTSTDSPAAAAMPPLPSLPPTIPACDPHDGPASLQLIEDLTTHAGAIQQRVLREILAMNAGTDYIRGFLGADAERRHADELAAAFKERVPVVEYEDVKPYIERIANGAPSSLISSKTITELLTSKSSSEFRPLTAAVLVSSGTSGGQPKLMPSTEEELDRKTFLYNLLVPVMNKYVEGLDKGRCMYLLFVKPEITTPSGLVARPVLTSYYKSRHFRERPDSPYTRYTSPNEAILCPDSAQSMYAQLLCGLARRGEVLRVGAVFASAFLRAVKFLEGHWRALCDDIRAGRVDAARVTDAACRDAVARVVARPDPALADAIAAECEGAGSWRGIVRRLWPRTKYIDVIVTGSMAQYIPLLEFYGGGLPLVSTMYASSECYFGINLRPLDRPEDVAYTLLPNMCYYEFIKVEKDGEEARDGKVVDLVDVEVGGYYELLVTTFTGLYRYRVGDILQVSGFHNAAPQFRFVQRRNVVLSVDTDKTSEDDLLRAVTTAKRLLAPLGGATILSEYTAYADTASIPGHYVLFWELTPPPADSDDAVVRRVMEACCAEVEARLDAVYRRCRSRDRSVGPLEIRVVSPGAFDALMDLCVSHGSSVNQYKTPRCIKHPDAIAVLEARVVGRFFSDTVPHWEPFNVIDAGATAAATDVDADAHGAATASLNEGA >Sspon.05G0020050-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:85645185:85646800:-1 gene:Sspon.05G0020050-1A transcript:Sspon.05G0020050-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding HGSFFTIGQAYPCDTTCEVAKESADVIVIDDNFTTIINVARWGRAVYINIQKFVQFQLTVNIVALVINFVSACITGSAPLTAVQLLWVNMIMDTLGALALATEPPNDGMMKRPPVGRGESFITKVMWRNIIGQSLYQLVVLGVLMFAGEQFLNIKGADSKSVINTLIFNSFVFCQVFNEINSREMEKINVFRGMVTNWIFIAIIAVTVVFQVVIIEFLGTFASTVPLNWQLWLLSVGLGSVSLIVGAILKCIPVTKSDGVPASPNGYAPLPSGPDDI >Sspon.01G0048770-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:47512280:47513389:1 gene:Sspon.01G0048770-1P transcript:Sspon.01G0048770-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DTMTSRVQLVAPSHIMAAARILTTVFQLPLPNLPRSSFAVMAACVQAAATAVCDPSNARPTRNNRAGIAIGTTDDYEERCCLGMGAFGAVLKARHRATGQTVAIKRLAAADFCSQMALLREACLLEESGRDNPFVVGFHSVARNPATMDLCCLVMECVGPSLNDLLHQSRCAGMPPPPEAIVRAIMWQLLTGAKKMHNAHVVHRDIKPENILVGGEGSTVKICDFGLAMRMDEPPPYDPVGTLWYMAPEMLLGKPHYDALVDTWSLGCVMAELINGSPLFVHSNAAGQLAAIFDVLGVPDETTWPWFSSTPFATQVQVMMYAKQRNLLREVFPKTKLSEEGFQVLSGLLTCNPDKRLTAAAALKLPWFTK >Sspon.02G0039550-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:54744724:54745805:-1 gene:Sspon.02G0039550-1B transcript:Sspon.02G0039550-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQARVHQLLASLAAIYLILAVTQHVIVTGILTDDLEIMWGNAKFVTDSSGQQAIALALDRSTSSAFRSKKTCQFCRVDIEIKLVPGNSAGTVTTFYMITENPWQFHDEIDIEFLGNSSGQPYTMHTNMYARGQGDREKQYKFDFDPTQGYHKYTIIWNKDWILFLVDDKLYRQIKNNQIYGAPYPYYYPMRVYATIWNADEWATQGGRVKTDWSQAPFTAYFRNYRAISCDMYQASPLCLPGSGWFDQQLDESRKQQLAKVDSSNKIYDYCTDSKRYKNGLPKECGVN >Sspon.04G0000580-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:2832732:2842671:-1 gene:Sspon.04G0000580-1P transcript:Sspon.04G0000580-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIIGISSKEQEAIFRVVAAILHLGNVEFAEGDDGDSSKPKDEKSLFHLRTAAELFMCDEKALKDSLCQRIIVTRDENIVKTLDPEAAKGSRDALAKTVYSRSFMAIFHMFPLTLIWQMTFDLRLVNTINNSIGQDPNSKCLIGVLDIYGFESFKTNSFEQFCINLTNEKLQQHFNQKPGGIIALLDEAWYGRLIMLPRSTHETFAQKLYQTFKNHKRFAKPKLSRSDFTICHYAGDVTYQTELFLDKNKDYVVAEHQALLSASKCAFVSGLFPLLSEDSSKSSKFSSIGSRFKQQLQSLLETLSSTEPHYIRCVKPNNLLKPAIFENQNVLQQLRCGYLHYWGTIIGLPQGVMEAIRISCAGYPTRRTFYEFIDRFGILAPDVLSGSSDEVSAIRRLLDKVDLQGYQIGKTKVFLRAGQMAELDARRNEVLGRSASMIQRKVRSFLAQKSFIALRRAALQIQTVCRGELARRVYHNLRREAASLKIQTLYRMYTARKAYNELSASAVTIQSGLRGMCARKELHFRRQSRAAIIIQSRCRQFLARLHYSRTKKAAITTQCAWRGKVARKELRKLKMAARETGALQAAKNKLEKQVEELTWRLQLEKRMRADLEEAKSQENAKLQAALQEVQHQYKETKEILVQEREAAKKAAEIAPVIKEVPVIDTELMNKLRDENDKLKTLVSSLEKKIDDTEKKYQETSKISEDRLKQAMDAETKIVDLNMAMLRLQEKISTMESEEKVQRQALLGTPVKSMSEHLSIPIAPKNLENGYHEVEEHKEPQSAPPAIKEYGNGDPKMRKSCVDRQLENVDALIDCVGKNLGYCAGKPVAAITIYKCLLHWKSFEAEKTSVFDRLIQLIGSAIETHFVQCLMQNEDDNDNLAYWLSNTSSLLFLLQRSLKAAGAPGSVSRKKPPQPTSLFGRMAQGLRSASFANMHVEATDVVRQVEAKYPALLFKQQLTAYVEKIYGIVRDNIKKELSSLISLCIQAPRTMKASMLRVSGRLSGQSQSQSNHWQKIIESLDKLLKILQDNHVCVSLFPYHSLVLICWMCVYCCFVYPQVPPVLAQKIFTQIFSYINVQLFNSLLLRRECCSFSNGEYVKAGLAELELWCAKATTEYAASSWDELKHIRQAVGFLVIFQKFRISYDEIVNDLCPILSVQQLYRICTQYWDDKYNTQSVSSDVLSNMRVLMTEDSNSAESGSFLLDDNSSIPFSVDDITNSMQEKDFTDIKPADELLENPAF >Sspon.01G0025950-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:90583157:90584162:-1 gene:Sspon.01G0025950-1A transcript:Sspon.01G0025950-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MCRTVSDAVHVLDVIVGYDELDAEATGAASKYIPHGGYTQFLRPLGLRGKRIGVLNVFFQGYDDMQLVVYEKHLDTMRQQGAFVIKNLDIATNFTDLAEQEILLMAAEFKLSINAYLSDLLYSPVRSLTQVIAFNEAHPVEVNQIVFVFHYTESTGGIPINFLDIYDQMILFPVVLQERLKDFGQPDLIAAEKTNGIGTRERAAIQRLKEISTNGLEKLMKVHQLDAIVAPNSDASSVLTVGGYPGIAVPAGYDRQGVPFAICFGGLRGYEPRLIEIAYAFEQATKVRRPPSFKS >Sspon.01G0016220-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:49532674:49533873:1 gene:Sspon.01G0016220-2C transcript:Sspon.01G0016220-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATAAVRSWRRAFAALALLLQLRSARSATFTIANSCGYTVWPGLLSSAGTAALPSTGFALAPGESRAVAAPAGWSGRLWGRTLCAADAATGRFACATGDCGSGDVQCNGGGAATPATLAEFTLDGSGGLDFYDVSLVDGYNLPMVVAPTTSSSASATAAATGKCAPTGCAAELNAACPAGLRVEAAADGGPVACRSACDAFGDAQYCCSGAYGNPNTCRPSTYSQFFKTACPRAYSYAYDDATSTFTCAAGSTDYTVTFCPAIANAGDLELLRRRLLQPRRPRPAIDSQLPFRRRQPQIPLGI >Sspon.03G0016200-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:75278050:75279478:1 gene:Sspon.03G0016200-2B transcript:Sspon.03G0016200-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRHSCCYKQKLRKGLWSPEEDEKLMNHITKHGHGCWSTVPKLAGLQRCGKSCRLRWINYLRPDLKRGAFSQEEEDLIIELHAVLGNRWSQIATRLPGRTDNEIKNLWNSSIKKKLRQKGIDPNTHKPLAEVEHSKAAPTISTERTSESSDVDPSSGGALGNLSHLLSETAQSPELLPVLGKHRKETTSLAHLRVPPKELFLDQLVSGHENLTSCRSTGPIPNFPFHQLMCYNNEFGNKNGGSNNSFWFNQNESSGSTISTVMPPVSPSTLSTSTGLNRSPDNPHSGGTGLQNTQFYWETANPSSSSSRGSSGSNGLGFELQSTSSLLESSVFPWTDLTPDKNSQVHLEEELKWPDLLHGTFTDTPATMQNLSQSLYEDVIKAESQFNIEGLCAAWSQNLQPQQHLQ >Sspon.07G0030810-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7C:13559026:13559466:1 gene:Sspon.07G0030810-1C transcript:Sspon.07G0030810-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RHQLKASNHHHLPFLVSRGVVTLLIPVRRLPSFRSPLPSPHDAHRQRACHAAASPYVSVSRVTLAIRGAQQERQRCPAHDDGDWVRFGWNSDDGDRTHIAGPTRLPQLLLDAGKHSTPSPPWEKGKRHCRHWTACSIPFALFHTAE >Sspon.06G0022590-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:36600428:36609357:1 gene:Sspon.06G0022590-2C transcript:Sspon.06G0022590-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGFGTSRPASVALCAFLTFLNLFAFLLAVGAERRRSTGKVVPDEYDERSYCLYDTDASTVYGVSAFFVLLLQQAIVTAATRCLCFGPVLSSRGCAVTAFVLSWITFLIAEACLIGGSVRNAKHTKYLGYYMKHDLVTCATLRKGVFAAAAAMMIINLLASLVYYWSYSKAATGGFMKHQNEVGVGMTDYGLDKGVSGP >Sspon.01G0017930-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:66804832:66809189:-1 gene:Sspon.01G0017930-1A transcript:Sspon.01G0017930-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTQASEAAADKGLPLAVDATMVDEYVSQSKLLQEFVKIPTIGKAWIFNSKDDEMSKAVVSIGQSDLLANKRRTFLLNSHISKSPSKSVDFKWSPFPTEISGVSAVIPSPSGEKLLLVRNSEDDSPTKLDIWGPCQWENEIHIAKSVHGSLYTDEWFGGISWNQEETFIAYVAEEPPQPKPVFNDYGFKKEGSSEKDCKSWKGQGDWEETWGETYSKKRIPALCVYSHPEEIIYKYCVFMRNIMCSCSGEVRPVKQIPRSLSVGQVIWAPSSSYSLVFVAWGNKGETTTMIKLTADLISAFFPRFSPDGKYLVFISAKSAVDSGAHNATNSMHRIEWPADGKLDGSLGIADVVPVVMCPKDNCFPGLYCFGLLRDPWLTDGQTMIISSVWGSREVILSVNVVSCEVSRVSPQDSDYSWNLLAVDKNNILAGAKLPFESIFVSHKDSASNPTIVVLHGGPHSVYPSSYSKSLAFLFSQGYNLLVVNYREHTPFLTLTCMCIFRFLRGSLGFGEEALQSLPGNIGSQDVNDVLTALDLVIKRGLIDPSRVAVVGGSHGGFLTTHLIGQ >Sspon.05G0005450-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:17529845:17533893:1 gene:Sspon.05G0005450-1P transcript:Sspon.05G0005450-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSAIMAKKSYKQHSKSEKIQMGCMSGLIRMLDFRRSPKLLSDGTVKRDPKGFEDIHGNKSAINGKDHTVELIYAGRSSIRTLMEEEMASNTQPLKQAQSNVTGICSEDIDLNLAASLMEIYRSRTEGQEIRNSIESGRRSISTDKENNTDPPAHLYQIPSSIQRALEDVAEAVIRHQSANKKYINSGDETRSREFVDALQLLSSDKELFLMLMQDPSSRLLECLQNLYISLESTKLDSEEYDEETELHGMTNSLEQSVTSPSKVQRRHNSFLKEDRLVMRKPPKLNDSSRGLSRIVILKPSPARGHSSLISGSATSSPLSNHIDLQVQEASDKPDCHFSLRELKRRLRLAISDNRKDHQLISTSTNFHKAEADSGKLLPVTSMSETESLASTDSSDRAKEPSIVDKKTVTEDSASGMRNDVAHGVGVSSFSYEKAKMYIIERLNDQGEESYQIVQKSESFERLISLPGNDTFSPSNCPQEEKISIAHEAPESLNLHTIEQEDGSASPYSTRLYQATESADTSNLGTEMLIEPTIDHGSHPQNEGAISQELIHEGVKIMLDTMENSSLCAETGTSQEGVGEKNPDECSLEEAQSMNLLPELALYSLDDSINEQENHSPSEVVELVKPSELTFPYSPENTNDKEEKLSPQSVLDPIAEITSPRHKTPKRDEFSMPTSRVLFKEVDAPSTSPALWNEPQVDIFYDKATRVSFIKAVLEASELLSEENSQRWYTEEPLLDVSVLAEVGNSYCLTDDAVLLFDCVEEVLLKIRDKFFGTDPWVNFLKYVRPAPVGRQLVQEVAKGIDSLVGKEFPNTLEQVMMKDLDSGSWLDLRGDTESVVVELWDGLLDDLLEEVVFDLWL >Sspon.05G0018100-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:72554396:72563546:-1 gene:Sspon.05G0018100-4D transcript:Sspon.05G0018100-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding EWIIDMKGGLCPSTRSVHWKSKRVFFVTPQVLQNDIQSGICMVQQIVCLVIDEAHKASGNYAYCMVIRELLAARVPLRILALTATPGCKHHLSFQSCPTCGSAKHSKIQSVLDNLNISELIYCDEEDSRVNQYVNARKVEVVQVPSGSDATQVADMLLDIVRPHINRLRDAGVIDHRDYANWTQYELLNFKDKFKEAPPPNIHEIERGEIERSFVILGPLCHTRRLLLSHGTQFAHGYLDKKLKGGFLNLMRKNDLFWRLKQKMKLSSSQGTSTTPKDIDDKLIRPVKFIGQSSAGNNQMKGQSQKTQQAILQKFRSGVYNVLVATSIGEEGLDIVEVDLVICFDANVSPLRMIQRMGRTGRKNEGRGYRKKQGDCQTMRKLLHNSERFEYHASPHVYKPEVKYVKLRIDKYIPHLKKTRVAAKEASPSPWKMSEADGQMIARYFGACKEVWRPSLVAFPRFQLYPSVVHKVPHSFRTTDMLTDAMQQLQDPSLFRTKCEIPLQEPANVAAVEEGLEHEGLYAINGNEEMAQECDGSEASPRVVWNENVSVPGSPVKKYPIHTFFSGDYVTVDRRGSVLITFVPVLPQKFAFSKDIKNAVWNNKDQNKAEPYRSAAGVSRTTVEFVHPVANTDKHMFWIIHAFPEYSGHCDNVDDNHVFTTVPPKTLTCPREKMDTPCNVKLPESTYSYQEDMELSPRLTVYMEEGIVPESPVVEVSHLHQEMDEAADFGAVPKRGSPKSRDERAHASVAGCHKGPLNFEKNGQLLSGANELGGSSRLYVLDQTRAKTEEPMHPSNVKMTTPTRHSPSGNLLYDSFSGDYQLRSGGDASGSVQQAPKYRRLCKYGDKIKRVSSMSLDGCHDRFGECNIANKDRPNQIKHAMGVKRKTKRRLDAYIDEEVEVSEDADVSEDEDDNQSEDKYEDSFIDDQATPTEFTQTEQGGRHNGDMMGFYRQSLLTQTPIVLPSRYLDVSDNSASWTGNASCSSEARHNSTETPKEIQTHHTMNPSPSYSVVQDQCETVIANCESSTKLDCRKRRLSFQQPPAIPVINLEPEPAPEPSSHVATGVADHLYFDDDFFENLDLDAIEAQATEQLRQKTTQSTQKPVEIKSASEMSFAPPSFDLDLGF >Sspon.01G0037770-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:20237151:20237763:-1 gene:Sspon.01G0037770-3D transcript:Sspon.01G0037770-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVMSPCAACKILRRRCADGCVLAPYFPPTEPAKFTTAHRVFGASNIMKLLQDLPESSRADAVSSMVYEAEARLRDPVYGCAGAVCRLQKQANELKVQLARAQADLLNAQAQHANLLALFCVEMANRRGSQQQQQQHPSSPLTVDGGGFGAAYQQTFYDSDMDSATWPDHEAQLWT >Sspon.05G0015370-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:53304710:53312202:1 gene:Sspon.05G0015370-3C transcript:Sspon.05G0015370-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fatty acid amide hydrolase [Source:Projected from Arabidopsis thaliana (AT5G64440) UniProtKB/Swiss-Prot;Acc:Q7XJJ7] MPPVEEVDIAAVRYKPPTMQAPHLTGFSLRAFLWLMESSLLGPLITSMLQQTVIPERPMYYPEFPPQDPEPEVVLVDEDRDPVERVHEALQCLPQYDLSIHWTTEENPPFLYWKIRDFAHAYRSGITTPSAVAEYVIAGIEEWNNKKPPMPMLIYFNADDLKKQAEASTKRFQQGNPISILDGVFVAIKDDIDCFPYPTKGATTFFDQIHTVEKDAVCVARLRNCGVIFIGKANMHELGLGVTGNNPNYGTARNPHSVDRYTGGSSSGPAALVSSGLCSAAIGTDGGGSVRIPSALCGIVGFKTTYGRTDMTGVLCDSGTVEVASPLVSSVEDAILSPLCVPNLLSPENSNILGSVKIGKYTEWFNDVSDHEISSTCDDALKLLCSTFGCQIEEITLPELEEMRTAHVVSIGSESFCDLNPHYKAGRKTEFTLDTRTSLALFGSFTATDYVASQSIRRRIMHYHMEAFKKVDVIATPTTGITAPKIPPSALKSGESNYVVSAYLMRFIIAGNLLGLPAITVPVGHDKQGLPIGLQLIGRPWGEASLLRVASAVEELCLKKRKRPSAFYDILKA >Sspon.08G0008470-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8A:31673216:31674042:1 gene:Sspon.08G0008470-1A transcript:Sspon.08G0008470-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SSGSMLRTKKQFIFGSVSTRIKLVKGNSAGTVTTYYTSSIGDNHDEIDFEFLGNETGKPYTVHTNVFADGIGQKEMQFRPWFDPTADYHNYTIFWNQCMIVWFIDDIPIRVFRNYSAQGVPFPTRRQMYAFSSIWAAEDWATQGGRVKTDWTKAPFVAEYRDINLRVCDCAPYGAAGCPDSCASSSNWYAAPDLCQLSEKQLRQMRA >Sspon.04G0019380-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:77194722:77198216:1 gene:Sspon.04G0019380-4D transcript:Sspon.04G0019380-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFITGGGGGGGGGGLATRSYEPMATADTTDLRYWLHWRVGLCGLWVLACMAVAGYLIWRHEGAGADRRPGGASSSSSASSGPAGGELQGGGKGRRPGVLYDDEAWRPCLRDIHPAWLLAYRLISFFVLLSLLVVIVISDGGSIFYYYTQWTFILVTIYFGLGTALSIYGCSKFTDENVAAVAADMELGTNYMPHGLAAKPTFDEHGGDREIAGFWGYLLQIIYQTNAGAVMLTDCVFWFIIFPFLTVKDYNLNFLLIGMHSVNAVFLLGEAALNSLRFPWFRIAYFFLWTALYVIFQWIVHAATPIWWPYPFLDLTSNLAPLWYLAVAVLQLPCYVVFRLVIKLKHHLLAKWFPVSYVRG >Sspon.02G0010600-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:27786226:27790353:-1 gene:Sspon.02G0010600-2B transcript:Sspon.02G0010600-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SIDGFLAILNVTGGSFSPPTQKLPFTVTSIDEDSSLLYYSSIDLAGRLPTNNSKGPKFKRSLGNSDSRSTNSRLRIPVKGRIQLVVSNPEKTPLHTFFCTYDLSDMPAGTKTFMRQKITLSSASPSYPTREGSKASDVKVESVQCGSELRECGSDNGHELEKGDSADMECFSMECDVREPNEYATSGNSENDANAEGCCCQIDSCQGGKKSFNRSPKVNDIPAGGVLRYALHLRFLSPFSKNSSKSRQHGKLDLSSEPQTRSRTEEERRFYLYNDIRVVFPQRHSDSDEGELRVEHDFPANPKLSKEEVKPPWYLGRKH >Sspon.07G0012720-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7A:46183622:46184797:1 gene:Sspon.07G0012720-1A transcript:Sspon.07G0012720-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LELTLSNREKTGIQLQAGTAQNAGNQSSKIDWLNQVEGERNSLYKMALDWKQKKFPAEVVDLLVEYSSQGVADKGKWLSLLQFVDEWKISSVGVNREVRRNQTEVWFDIPSVDISLKGPTYTKKSLPLSPSGHVLRVALELAGIFYSHEFAPSLELAAGEAGVARTGPGHPAKGAEGAVPAPLAGGGHPGLLGEEAAISAALAMVSPCPPEEIGEESGVGGRWPDLPIAGVIESPELSNGRI >Sspon.07G0007580-3C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7C:15842479:15847214:-1 gene:Sspon.07G0007580-3C transcript:Sspon.07G0007580-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding FNLDKM >Sspon.07G0001270-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7A:2880660:2881686:-1 gene:Sspon.07G0001270-1A transcript:Sspon.07G0001270-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRYTFGPIPRSATVEEGVQIFFVKVSKLKEEEGVHWPLHVYGLIAVRDSMDPRRNFIFHRARDNCLTITEEIPEVQECPSMKLV >Sspon.01G0026460-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:92355510:92358459:1 gene:Sspon.01G0026460-1A transcript:Sspon.01G0026460-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKGKRGLGRHKQMVPERADRGGADGKQEVIEAWYMDDSQEDQRLPHHRDPKEFIPLEKLSELGIISWRLNPDNWENDENLKKIREARGYSYMDICDVCPEKLPNYETKIKNFFEEHLHTDEEIRYCLEGSGYFDVRDENDQWIRVAVKKGGMIVLPAGMYHR >Sspon.02G0010810-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:23856960:23863215:1 gene:Sspon.02G0010810-4D transcript:Sspon.02G0010810-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to Meiotic asynaptic mutant 1 [Source: Projected from Oryza sativa (Os09g0506800)] MDFMAGASTIYELMTRNLLRIAIYNISYIRGLFHEKYFSDKSVPALELLSFYLKNTYIAAEMKIKKLMPMDAESRRLIDWMEKGVYDALQKKYLKTLLFCICEREEGPMIEEYTFSFSYPNTSTEEVAMNMSRTGSKKGTTTFTSNASEVTPDQMRSSACKMIRTLVSLMRTLDPMPEERTILMKLLYYDDVTPEDYEPPFFKCCADNEAINIWNKNPLKMEVGNVNSKHLVLALKVKGNEAEGPSTFIFELKMMLLTVCSPVKVKSVLDPCDDDNVNSGDDGMTVDNESDHDDDFSDTEVHPSKADHYVIAPNDGKSKGQGTGTISEDDTQDAAHEEELTSQVKDWICLRDNGAINVSDVLSNFPDIATLMIDFSDIMERLLKDGVLSRASKDRYTVNQKTDPKTPHIKKETIMADVPSTEVTKHNNGDLLYMKALYHALPMDYVTIAKLQGKLDGEASQNIVRKLIDKMVQDGYVKNSANRRLGKAVIHSESTNRRLLEIKKILQANEGEQMAIDTNTEHAEPEHKDLLRDHEVRDGSTMGCFHSIGSDLTRTRELPELQQNVSMQSGQEASAVGKDPSRTPTSVREPAVPVCSLESGVLGKRIKRSLTGGSEMQSTQDKRSRKASMVKEPILQHVKCQNPQAQ >Sspon.01G0038380-2D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1D:25756262:25756720:1 gene:Sspon.01G0038380-2D transcript:Sspon.01G0038380-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSPSAHRPHLDSENSPLSRLTSHNRSHPFQPPSASARPPLPPHLTAGRCRTGRPFICGPIASHPLGLNRLVPHRASTTWLPRAATSTGDEDDVSGFDEVGQSFSGSESSSFSSRSERSSSSSSSGSEPSSSSYSFKSEGRCRCGIYRVPQ >Sspon.02G0031530-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2B:108637761:108638366:-1 gene:Sspon.02G0031530-2B transcript:Sspon.02G0031530-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAADASPLEVSPRAAGSWRRSRPWSRSSSIGRSGSSSGGSGSIEYTSLRDVLEEEEQCGGGGECGAGGGGCGEQHHNHQQPWRWGSSWGEYSCHDIHDFDASNIGIRNQLLKHAASAYLQSAVVVAAGRDQGCCLGRLWRRAQLRGGCAGGRRGRGGRGRVLMRACSWQGCVDDPAAFVVTCARRLAAFVADRVSAIWAW >Sspon.05G0020000-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:82463198:82466910:1 gene:Sspon.05G0020000-2C transcript:Sspon.05G0020000-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome c biogenesis protein family [Source:Projected from Arabidopsis thaliana (AT5G54290) UniProtKB/TrEMBL;Acc:F4K0A8] MLSVAMAARPVAVSRSRRPRLPTQAAAAVSDSDPSSSPVGSLVAAGAANAVELWDPLALHGSSGLVLADLGIGDWFGGLLFSAGQQANEAVQDQLSALSFSSLAVIFGAGLVTSLSPCTLSVLPLTLGYIGAFGSGKGRSEVVGNSIAFSLGLATTLAILGVAASFAGKAYGQVGQGLPVAASGLAIIMGLNLLEVLELQLPSFFSDFDPRAAAANLPSGVQAYLAGLTFALAASPCSTPVLATLLGYVATSRSLLSFRRYSAWINPISGAFLLGGGVYTLLDRVFPATSMAM >Sspon.02G0012640-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:33560718:33562073:1 gene:Sspon.02G0012640-1A transcript:Sspon.02G0012640-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRPRGGSPSSLAAMTNPDDDGYGVVGMEADADADEEMMACGGGGGGGEKKRRLSAEQVRALERSFEVENKLEPERKARLARDLGLQPRQVAVWFQNRRARWKTKQLERDYAALRHSYDALRHDHDALRRDKDALLAEIKELKAKLGDEEAAASFTSVKAEPAASDGPPPVGVGSSESDSSAVLNDADPPVPEAPVPEVQGGTLLDAPCAVAGPAVAVNHGGVFFHGSFLKVEEDETGLLDDDEPCGGFFAVEQPPPMAWWTEPTEHWN >Sspon.01G0027760-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:98365541:98372847:1 gene:Sspon.01G0027760-2B transcript:Sspon.01G0027760-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VQIQPSPSLAPSPPSGTTGATSLPARMLLVSQAANGSLSARRLPSKPPGASTTNPYPLFANPRLVRRRLALSGAGADQAPRRPASTPAAAGEGPSGSAPAAAATEDPVLVRVADDGVPLEGVIQIEKPGDAGAESKLVSYAKLGLLAGGDVFCLLLFSAIGRFSHGLPVLDAETFKTADPFIAGWLLSAYLLGGFGDDAKGRNGVGNAVITAAKSWALGIPLGLAIRAVTSGHLPPTPFILVTMGSTGVLLTAWRALVSQLLSNQQKQQDDVYRRGSPFELFEDPHSKLQGSVSNCRQVCIFPGQLDKPGASPWSQLRRRHSATTRSTDSSDRRILHESSGAARTFSNLLKPSFFPPLHCSIPNLFKVSHGVAHSKTIEAEQAQNCQAPQAAARPQWRLPLLRLLSCAAAVLLPVESQPCQDRSKRRERAGPASSVSVASGEQQEEATASHHVGEVDEAKASAHVGEADKGGHGEGGGEEKRSTDEQQEVDWKSDEEFKKFMGNPSIEAAIKLEKKRADRKLRELDREPDANPVAGLFRGLAKDQLAREKQRLELAEQTFKALDLNKVIALLNSLCLILITRWEKDFDYQIGADAAWRLQLKSCFGYDTFFAVDVRRFGDGGIFIGNLRKPIEEVRPKLEKKISEAAGTEVTLWFMEEKNADITKQVCMVQPKAEIDLQLEITKLSTPWGYLSAVALAVTTFGTIALMSGFFLKPGATFDDYVSDVLPLFGGFLTILGVSEIATRLTAAKYGVKLSPSFLVPSNWTGCLGVMNNYESLLPNKKALFDIPVARTASAYVTSVVLAVSAFIADGSFNGGENALFVRPEFFYNNPLLSFVQLVIGPYADELGNVLPNAVEGVGVPVDPLAFAGLLGIVVTSLNLLPIGRLEGGRIAQALFGRGTAALLSFGTSILLGVGAISGSVLCLAWGLFATFIRGGEEIPAQDEITPLGNDRFAWGFVLALVCLLTLFPNGGGTYSASFLGDPFFRGGI >Sspon.02G0010780-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:29224414:29228535:-1 gene:Sspon.02G0010780-1A transcript:Sspon.02G0010780-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:TITAN-like protein [Source:Projected from Arabidopsis thaliana (AT4G24900) UniProtKB/Swiss-Prot;Acc:F4JRR5] MPPKPAKPPPAAEFEYCELCRHHHDHGRRHRYVTKHRRNLDAALTSFRSKLSDLRRAFLRGSPSSQPPRPRLWCPFCSTDLVVLDSRSAGKNAIYHLASSEHLKGVKDFLRKHGGGMDQVDSLRISEDVLAKWEKSSESLSTGTKKGTEGLIGPSLKQIKDIQNEYTCDSLDSFAQNNISSFSNTASYVVMPLQSPTNGAYDPISTACHGASSSGSAPYSAPYGTVGLPITPWGSAETHKQQGALSTNLFHSSGPETKAQYENYPAAAEVDLTPGILERAVGEADWAAREADMVAGATPGSKANVHTGAPPPWLKANEHDPKNLPLRSCALPSRKGKSRKLNPKRVGAAWAERRRAEMELEKQGEIVPATSDSSWLPNFGSVWQSGTRKESRKDFEKSHKLHDTKSNHDLYLEIKPYISKRMRVGADKASDKAEELGSHLQQ >Sspon.01G0045360-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1B:89439344:89441629:1 gene:Sspon.01G0045360-1B transcript:Sspon.01G0045360-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGATARPKRIKAAAASALPDEIVEEILARLPAKSLRRFQCVSRSWHGLITSPPFRQLHSSRAGSRRPRGLFVRPAGYGGSFHACRQFGGPVEEILSSSDLAPGDVFPINKSCHGLVLLRCLDYSAHYYVWNPSTGDILALPDSTPFRTVGYKPHTFVSYGLGHCSTSDQYKVVRMYWHRNATFCEVFTLDQSTYWRPAATKPPPPCRRLLVRGGQGAVFCNGSLHFVAEDGVITTFNVTDETFGTLMPPAGLEYSGFDLTELDGCLCAYFSSQLPTPASPYYIWLLRDYEGCCWEELRCFDWGTMTDAEFAALKSHWIAPLAMYPDGSTKIMFGTGSCKVFVVDPSNGGNPPVTLFSLELEDGVVPDDGQFATMGFFEESLVPVGRTSDDIILSSPSAEAWCQVLSRLPARTVGRLNQVCNDWRAIIKSECFVVDLHLEYQLANLSNKSPRIMFTDGKPNSFKPLENFIINTSQVPPLIDDSSRVVCSKPCHGLNAGAFMSYDFVCNPITGYYKALPLDDDDGELRPLHRTSEDNNHMFAGRLGLGYDVEIGMHVLVRITFKERNFTTRDYKLECEIRCIGDTMFWGESLDPPHRPIADTPPAYSSGKIYWIADSKLLGQRSSGYEIIALDVATREFEILKGPPLRSHDDSIECVSIVELQGQICMVCSHPRFDSMEIWAMKGNGTWSMEYYIDLRRFTPEYSSELVTPIAIDPRDGRILLSTGRALGYYDPKTAEIQTIYCLGKHISKDKKFVPILFQESL >Sspon.04G0012540-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:41898081:41898455:1 gene:Sspon.04G0012540-1P transcript:Sspon.04G0012540-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHQRSKSMEIEPTIWQSARLQATGSDELGQSCRVYCPPATGRVPFEWEDEPGKPKGSARLDVVPPLCPSPAMQSARFTDRHSPRRKSKQSAGTMGGGFEGCIPLRFHLGRAMKRWVQRNKNFM >Sspon.02G0045560-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2B:109914113:109914956:1 gene:Sspon.02G0045560-1B transcript:Sspon.02G0045560-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHGHRGSGGASVHDGKRQATGHRRRRRRVGMGPVTGTRPVARPVGGAGAAGRVAGAGAHPIPPNGVAATPPFAALPVHAPVPAPAAGGEPARLRVQGPSKYHIKRETALEATDYGPVRASSTKKIRTCVRARLLGPSTSAQHRLGHITDFTPCPGRHARYGLVISRVLRSATLRTSRGPAGSQDTATLRTSRLRSPRKIRPRQSSPAPTKRHYPDNRCYAAGSSGDLQ >Sspon.07G0032530-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:51183921:51187986:-1 gene:Sspon.07G0032530-1C transcript:Sspon.07G0032530-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVARSIRCVSCTSNRKIGDHTGFAMWQAVGGTDAHDETLPSWMSLCKRSMVPGSTTYGDTRANERKPQFAAPLCHVACVNRWSH >Sspon.05G0011150-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:23421137:23428444:-1 gene:Sspon.05G0011150-2C transcript:Sspon.05G0011150-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding HRHVGSNNFNLVSSRSHTIFTLTIESSPSGENEAEEEVKLSQLNLIDLAGSESSKTETTGLRRKEGSYINKSLLTLGTVIAKLTDGKATHIPYRDSKLTRLLQSSLSGHGRISLICTVTPASSNSEETHNTLKFAHRSKHIEIKASQNKIIDEKSLIKKYQKEISSLKEELQQLRRGMMGNGGILPTDQEDLVNLKLQLEAGQVKLQSRLEQEEEAKAALMGRIQRLTKLILVSTKSSISSNVSGKTNLRRRHSFGEDELVYLPDRKREYFVDDDDVSLDSELSLEGKFDLNNPDESTRFGRRNRKRGMLGWFKLKKSDQLSGLSSSVDGDSTASGSPSCSKLSQQKHLLLDLKDGRRKSMTRKGDDPALADSFLERTQAGDLFSAAPRARHPLPSGTTIVDQIDLLQEQVKMLAGEVALCTSSLKRLTEQATNSPDDLQIQEQIEKLKDEITEKKSHIHMLEQRMVQSLETTEDPTIRTELSQTFSKLSAQLSEKTFELEIMSADNRILQDQLQAKVTENAELQETVARLRQEISNLSKAAKSEDSFASVQSSEPSTASTDTRDQANEVSNHANMPSRTNDLNDSGFISQVLKQASEIESLKQENLRLVEEKDGLEVHTQKLAEESSYAKELASAAAVELKNLAEEVTRLSYENAKLNADLAAAKELNASISRSNIHPDPKRRDHESGILVEELQKELVASCQREAVLEDTLSQKDRRESELLNIIDDAKCREHELENELASMWALVSKIKKENSQQDVFEFKAKQNGFHSSKTDSGRLVSEMQAPDNGSWDGLSTFEEARAAYNYERMRCKELESVVSRLKGEDLRGLDVKVLEELQNFHVEALSRICQEKMASQAL >Sspon.03G0032170-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:35303831:35308994:-1 gene:Sspon.03G0032170-1B transcript:Sspon.03G0032170-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLLPLPPHKPEFLWPYFSATKMGIVMREGDLERECKIECYKPARLRQAYSFRENWSPRHHALLSIWYLFSGPDIRLAPHDPDFSVEGMSSATSTEPSASSGGASTSADENARPPDSDIGIFNGQRNKSSVPVLIVALIGIFPLAPHSLQYKGYRLSLLGTTCTTGYALYRIPRTGNLQAVQAWCNHESPIGLKTTYSDTGTGSMVTGQMHTAFRGFGSPKTPWSPI >Sspon.05G0015650-3D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:5D:54237563:54238987:-1 gene:Sspon.05G0015650-3D transcript:Sspon.05G0015650-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYRELELTLLSAQELKSVNLITRMDVYAVVSISGDPLTRQCTAPDTYGGRNPCWNATFRFAVPPTAAAAAGASLHVLLRAERFLGDRDVGEVVVPLADILAGATGVGPQPPQVASYYVRKLHRWEPRGVLNVSYRLGPVVAPVVERAAPDKAAVNFEAAYAPVAVLRQPSHHHPSSVAYPAPGRPAVRVVAEHDEAAQNKSPVVMAYPVGVLQQASHPPAAKPDAYRPPSPPPPRPAAVHAARHEEAPAPAPAPAPRNGGSNGLGQSGPTHVYMGPHTQIIFGGAPTTTAGPPTRTASPARSTISPAGKKGTQGNYASAAESMSMSVVSPRRRKEDGWRPSVLSQSQSQHDTPSHRLAAASPFSSRSSSFSSPLSPYSSGSAHPSPYSSAHPSTASSPHPPLRPPAVGGAAEVTHGTNNTFHSTSSRGAAISSPLPSSSSPLVSNHSRGAAVSSPLPSSSPLLPNHSSVVRSL >Sspon.07G0006950-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:15980775:15985806:1 gene:Sspon.07G0006950-2B transcript:Sspon.07G0006950-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQRAGGDGVQALPVYVAAVDLACSEEFLELIKSALLAALEALIPGSLFGLMTFSHKIGLYDVQGPIPLVKNVFIPPDLEEDGLPVALEDAMPLHSFLAPVDTCKDRISAALETLRPTSSWERGAASGQEEDTVLLGERGFGTAMSALIEYLSSEYGSTFALARVFAFLSGAPDYGAGLLDTRRYGEQYASKGVDADLALLPEQIPFYRDLAAVAVQSGVCIDIFAVTDEYTDLASLKFLSIESGGSLFLYANTDDSTLPQDIYRLLSRPYAFGCVLRLRTSSDFEPGNSYGHFFPDPQYENVQHIICCDSFATYAYDFEFAHNNGFSSVIEPVKETSGNGSQSPASYKFCLKRRLRIRTLQYRPASNISEIYDSVDPEVVLHILVHKVILESLDKGVREGRHQVHAWLSLLAARYNQALSSDVRTPLSSIDIDFSQCPQLQTIPQLVFALLRSPLLRLHEEGCTGPSSLAKAIYPVLVSYSSPDKQAFPRHTLSRAALIMSESPIFLLDTFTNLIVYYSSTADPSIPFPPPRDCLLRTTINRLKQDRCITPKLTFIHGGNDDSTLFESYLIEEQDVDGSGFTTGSGFVAFRESVRNVAGEIIQEEIGS >Sspon.06G0010940-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:46714830:46718044:1 gene:Sspon.06G0010940-2B transcript:Sspon.06G0010940-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LIRGTDDVIVCVYADKSLIRSDDPEWFFFAPKDRKYPNGSRSNRATEAGYWKATGKDRIIKSKGDKRKQHTIGMKKTLVFHRGRAPKGERTGWIMHEYRTTEPEFESGEQGGYVLYRLFRKQEEKTERPTPEEMDRSGYSPTPSRSSPDNLEVNEEANTPLNKESPESALDDPIELPHSVETHVDPSAGASAHLVNPQNGNDDYNNFVSSFAPILPLENAFFPDIQQGAFGFDGIMNAPDDLDAFLNQTLVDPDEHSSTTSKVQYDSDIPTEFGNQWNMQVELQDDRSCLANIGFEPDESYPLLPYDTTDQDVLSVDSGADSFNELFNSMEDPNVRSAGRSNELFQESGIEFRPQQLDSTVQPSHVFTYQGDAIRRLRLQVVECMEIITKDESEDEVSCVVTPDRLNESIEESTAEKDVASDGDEVESTGIVIRSRDPAPSSSSSSEISFTRQGTAMQRLRLQSGLNKGPCPSSDDSSSCIIDESESQHKAEKAEIEEDASTNLAGSVDDLPGNSHDDEQKNIPEHDAEMAVPEAKSVLRLRKTSEESNKDVKQEGCLEPHVGAPMQRGGFQSYIIWLVLSVALLLLLCVGVYGWV >Sspon.06G0007720-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:36921715:36942370:1 gene:Sspon.06G0007720-1A transcript:Sspon.06G0007720-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLREMAAGGRFSIPAGARRTVADIKEIAGGHTDEEVYAMLRECNMDPNETAQRLLLEDTFHEVKRKRDKKKEGSKEPLDSRWRPAVQGRGGKSGRGNYSSHCLSSSNDSAGRSAISGKENGISLITGKGSGSTPIINVNMDVNASTFIPSLLSGLRNGPYQPVDSAAVLVTSSSAVGDKSGSTALADLTGGLPFEDVVTTMNPNSLQPLKPAPPSDPVVVSFLDSHSLGDVGASRQTIGIKKTSVEHKVGHDVSTDKVSDSAGTEDPLKVDKYFNDLQLSDKQHVIIPDHLQVSESEKYGLSFGSFDVSFQQTMGSSDPECVKSSLLKFNSSHELNGSIDEPQSMQRDQNSTSTVQEEAGLVPQASAKLENGAPSAMEISSIDPSPDPAQAGATCTGAAPMEPKECEDDSATSEDPQSTAILSAPSYLTYGLAPQSHSKQTVDFSTSYCTQSYHPATDADEWLTPSLAAQAAHKYGNIPVVPAQTGQDQEGNDSPVIVSSVSASVATPAAGVLSASVAIPQQPVPVFRQPFGVHIPHHPTNYVPYNQYISPFFIPSPTLHPFMGNATFAQPPSTGVMYPTPGSAGVLPPVKYSVPSFKPGANIGSQASIGVPGGHGTYGSSPSVYTNNAPVSSGNLAENDNVTSSQFKENSIYIAGLQTDGLTLWVPTPGRDISALQVNSFYGIPPQGQQVTYAPQAGHGPYGGIYHPAHSVAGAAVHPLLQPSHTMAGAVEIVGAPGVYQHPQAQINWGSY >Sspon.02G0027980-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:101721949:101722909:1 gene:Sspon.02G0027980-1A transcript:Sspon.02G0027980-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNWEPESLAPFQILRLPRGNPPAQPKRIKIFLAPPKREIPARPPAPRSDGPRLLHVSDPRPARSANPPPRPLHNPRLRPHSTSTPKSQIHHRRRHTSDSVEQTRRLRERLVSEEKRRARMSSGGGRGKPKGTKSVTRSTKAGLQFPVGRIARYLKAGKYAERVGGGAPVYLSAVLEYLAAEVLELAGNAARDNKKNRIVPRHIQLAVRNDEELSKLLGAVTIAAGGVLPNIHQTLLPKKAGGKGKADIGSASQEF >Sspon.07G0019710-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:70194318:70200275:1 gene:Sspon.07G0019710-3D transcript:Sspon.07G0019710-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVERRYGPCASHGFFLLRLLLPFLFFFSFLDVPPAADATTTTTQSPPLNDTQKSIMNDIASLVNSESASANTRWNADKNPCNWSGISCTTSSSSSAVTSIVLSNYGLSNSSIFAPLCRLHTLRNLDLSRNLFTNLSPQFFANSSCSMKEGLQSLNLSTNQLANSLSDLSGFRQLEVLDLSFNSFASRNLSADLGSFPKLRSFNASANKLNGDVPTSMVSSLVELVLSRNQLSGSIPPGLFKYENLTLLDLSQNQLTGVVPDNFTSLSKLETSLLSGNLLTGEIPASLSNVTTLTRFAANQNNLLGPIPTGVTKYVKMLDLSYNNISGNIPADLFLGMNLETIDLTSNNLEGHVDAKFSRSLYRLRLGTNKLSGEIPGTISNASNLAYLELNNNHLEGNIPLNLGGCKNLTLLNLASNRLQGQVPNEIGNLKNLVVLKLQMNNFSGSIPSTFSNFTNLNTLNLSYNSFSGSIPDGIMKLQNLSSMNLQANKIDGVIPNSISSLKILIELNLGNNLLTGSIPEMPASLSTALNLSHNLLSGNIPSDIGFLSELEILDLSYNNLSGQVPSSFVNLNSLTELVLAYNQLSGSLPVLPKNAAVNITGNPGLTNTNSNNMDTGSKKKRHTLLIIIVALAGALIGLCLLAVIVTLSFSKKVYRIEDEQSPSEEGVAQITGGRLVTMNSIHASAIDFMKAMEAVSNYSNIFLKTRFCTYYKAVMPNGSTYSVKKLNWSDKIFQIGSQEKFGHEIEVLGKLSNSNVMVPLAYVLTEDNAYLLYEHVHKGTVFDFLHGAKSDILDWPSRYSIALGVAQGLTFLHGCTQPVLLLDLSTRTIHLKSVNEPQIGDIELYKIIDPSKSTGSLSTIAGTVGYIPPEYAYTMRLTMAGNVYSFGVILLELLTGKPSVSDGTELAKWALSLSGRPDQRELILDTRVSGTSIAVRSQMLSVLNIALSCVAFSPDARPKMRNVLRMLFNANPRKISRKLDIPYGEIRCKKIT >Sspon.07G0008620-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:20399000:20401654:1 gene:Sspon.07G0008620-4D transcript:Sspon.07G0008620-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RNLSDVFWEAIRKRQFLSLYQGLTTKNIQSFVSSFFYFYGYSYFKRLYLEKSGAKSIGTTANLVVAAAAGACTVIVTQPLDTAASRMQTSAFGKSKGLRETLSEGTWMEAFDGLGISIILTCNPSIQYTVFDQLKQRIIQRQRRKNAGSAEDNSRVALSAFSAFLLGAVSKSIATVLTYPLIRCKVMIQAADPDEDDDDESERPSKSRTPKTMLGALHAIWSKEGIPGFFKGLNAQILKTVLSSALLLMIKEKISKFTWVSLLALRRYLFVYQKRIKSVTV >Sspon.03G0010850-1P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3C:46008729:46009938:-1 gene:Sspon.03G0010850-1P transcript:Sspon.03G0010850-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding FLPTKNNRRMKEIDLEIRKILREIIGKREKATKNGKTNNDDLLGLLLESNTRQSNGNASLGLTTEDVIEECKLFYFAGMETTSVLLTWTLIVLSMHPEWQERAREEVLSHFGRTRPDFDSLSRLKTVTMILHEVLRLYPPATFLTRRTYKEMELGGIKYPAGVNLLLPIIFIHHDPDIWGKDASEFNPERFANGISNATRHQAAFFPFGGGPRICIGQSFALLEAKMALCTILQRFSFELSPSYTHAPYTVITLHPQHGAQIRLKKL >Sspon.02G0030040-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:105629436:105631001:1 gene:Sspon.02G0030040-2B transcript:Sspon.02G0030040-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVGAAAVDDWSECAFSLTCEEDCADLGDSVVDDGEFFPLYNAGDEEEEEYLEQLVFKETSFCSSSSDSAADCDGDGDGDGDDGDEKYPSLASEEWFRQARLAAVKWILETRGCFGFGHRTAYLAIAYFDSFLLRRRVDREAMPWAAQLLSVACVSVAAKMEECQVPALSEFHAGGYDFDSASIRRMELLVLSTLGWRMGAVTPFDFLPCFSSRVHPHGGAGAGGHVALKAIGFIFATAEAGSVLDHRPSTVAAAAILAATYGPLLTKEALGSKMSCLSPSCLIEKEHVHACYSMMVGDMNRRGSKRSLPCSGSNEIATSTYHSVLVDDDVTDTAAAFATAVAARSKRIRLELTGIR >Sspon.04G0003630-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4A:11219012:11219665:-1 gene:Sspon.04G0003630-1A transcript:Sspon.04G0003630-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAREEETAVRAEEKAAAAPSARGKVTSNRRRRTGSGQGQRRKAARRQRAPPRASALTGERPRGGAASFFPPAAMGGCCRCVLTWPRLREWLGRGKSKERKRGADRDELRRQHPHATTGGRRRHELGEKNADGVRANVLGFRGWLADSAVLSSREGRTAVRSTSTAGISWAWFRPRRVRGAAGFPGPGPGCGLGAGGAAVRCWAALQRTHKAAPAGLR >Sspon.01G0036740-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:16369501:16392511:1 gene:Sspon.01G0036740-2D transcript:Sspon.01G0036740-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Anaphase-promoting complex subunit 6 [Source:Projected from Arabidopsis thaliana (AT1G78770) UniProtKB/Swiss-Prot;Acc:B3DNN5] MRNSNFGFPRIPPTSSAAAEAAAEPSPKRRRIGEATDPRAEMREEALERLRGVVRDCAGKHLYKSAIFLADKVAAATGDPCDVYMLAQALFLGRQFRRALHLLNNSRLLRDLRFRFLAAKCLEELKEWHQCLLMLGDAKVDEHGNVLDQDDGSDIYFDKDAEVHEINIKSALCFLRGKAYEALDNRDLACQWYKAAVKADPLCYEALECLVDNYMLTCEEESELLSSLQFGEEDGWLSAFYSCLITKHEKEYLVEAKFKELEQEACSISSSSSGETMKNNIDVLACKAEYYHQSGEYQKCFQLTSSKATGLDGTFPPAWIGTGIAYAAQEEGDQAMAAFRTAARCHLPTLYMGMQYVRMHNFKLAEQDNFEAAINYYHKALWLKPDDQFCTDMLTYALESICQSTARRSIV >Sspon.04G0020960-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:6269360:6276151:-1 gene:Sspon.04G0020960-2D transcript:Sspon.04G0020960-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQIDLMEAAEATAVESAILWRVQTILEALLPTGELDAWLHRVGLAGGIGELKSEVERMETVVNGVKGRAVGNKPLVRSLARVKELVYDADDVVDELDYCRLQHQVEGVMLAPAAEMEGMVRDGAEQVDASANSVVMLAPGSEPEGMAGHRNRHGAEQAGASANNTRIPNNSGRKNQSKAWDHFRKRGPTKAECIYCAAPITVGNGTSGLHKHLKSCNKKRSAIEETRNRPRKRMRMEYNVATTTHLWDKAEFLKRIQEIVLKLRSIRGDVVEYLKDSIAMSDQYQINNSDIRVRTSSSLPGKVYGRDVEKNEIIWAIKAAKSDNITVLPIVGFIGVGKTTLAKLVYHDPHVEREFERIWVWVSNIFDEVRVTREILDVVTMASHEGSRNRESYEGVSNYSKLLEVLKKHMACLSKKFLLVLDDVNDCMDASRWKDLLDALGSSCTKGNVIIVTARNLSIAQRLGTDKPDKLGALNDYDFWQLFKACAFGDNNYEEHLDIGRQKARKLNGNPLAAKTAADMLREQPTLHHWKSIMKNGVWESMRFRGGIMTALKITYYQLPYNLQQCLLLCSIFPNGYLFHIDDLVYMWISSRFVKSAEIGRGYLNDLVYKTDSILGHQNCYVVCGIMHEFARVISKTEFATIDGLECKEVLPTVRLFSILTDSVYHKDEQGFILRNVEFEEKLRSLVSSVKRLRMLILIGNYDPLFLQSLHTFMCNSVNYTHLRYLKLENKGSSEALSISLSKFYHLEVLDVGCQAVHAMSDLISTRHLVLAKGAHGVSSQAWSACLQTIHLEDCGRWETLLYLESLPFLTTLKLRNMSKVTKLLIPSLEELVLIDMPELYACFTELVRDLNSSLRILEIRKCQVLVSFPLFERCEKFEIEQKSWLPNVNKLTIHECPHLMVSNPLPPSSRFCKLSIREVSTFPDMEGSSNGEMEIANINPLIFNELSLDDKILSFHNLRTLTRLKIVGCRNLSYFSIESLRQLVCLKRLEICLCNNLFSLDVPSTRAHEDMAVVDFDALPSLECLSIEYCGITGERLSVMLQHVRALEELHLEGCKQITGLLIEGKENSSSNPTSAPRASSQGNSDGASTRSCPDKLLHIPSNLIPSLKKMSIENCELKFQGNREGFSGFTSLKELRIVDCLELIPSLVHEDEIDDQANGRWLLPCSLGVLHIEDASLETLQPCFPGDMTRLKVLVVSSISELTSLQLHSCTALEKLKIRYCKFLDALEGFQSLRSLRYLKVYRCPVLSQCLESLSTQGYELCPRLERLWINDPSFLTTPFYKHLTSLQCLQLYNKYRDIDVAGLTCEQEAALLLLTSLQELRFEGYYKLSDLPVGLHNFLSLKRLAISYCPNISRLPERGLPPSLEELEVCGCSEELTEQCRTLATSKLKNYEHNTEVPMRP >Sspon.04G0003240-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:10659029:10660806:1 gene:Sspon.04G0003240-2C transcript:Sspon.04G0003240-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DDISDEAVTGKIYRTDYFDKIGRSILVMRPGCQNTKNPNGQVKYLVYCMENAILNLPHGQDQMVWLIDFAGFNLGNLSIQVTKMTADVLQGHYPERLGVAILYNAPKFFEPFWKMASPLLEKKTRNKVKFVYSDRPDTMKIMEELFNMDELECAFGGKNPATFNINDYAVRMREDDKKMPSFWSPDNSALASEPYQMSSQKALESISGAKTEDAGSGKRENMETSPEKSEESDTESEKEEQTRTESSTVEQKTLPGEDNAPADKSGL >Sspon.08G0006620-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:20743964:20748356:-1 gene:Sspon.08G0006620-3C transcript:Sspon.08G0006620-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASIAASSFFPGSPAPAPDAPKNGLGERPESLDVRGVAAKPGASSNAVRAGKTRAHAAVPKVKGGGKSAVADGEHETVPSSVPRTFYNQLPDWSMLLAAITTIFLAAEKQWTMLDWKPRRPDMLTDTFGFGRIINDGLMFRQNFSIRSYEIGADRTASIETLMNHLQETALNHVKTAGLLGDGFGSTPEMSKRNLFWVVSQMQAIVERYPFWGDTVEVDTWVSANGKNGMRRDWHIRDSITGHTILKATSKWVMMNKLTRKLARIPDEVRTEIEPYFFERSAIVDEDNRKLPKLPDGQSTSAAKYVRTGLTPRWADLDINQHVNNVKYIAWILESAPISILENHELASIVLDYKRECGRDSVLQSHTSVHTDCNSESGETTLHCEHVLSLESGPTMVKARTMWRPKQTKAQETVVPSSF >Sspon.05G0025500-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:20422434:20427391:-1 gene:Sspon.05G0025500-1P transcript:Sspon.05G0025500-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKLSGLRSLEGFRSLAGSASTAMKAANPRPSPDTGGTSYGSFANLKITAEKLVKEQASVKTDLEMAHVKLRRATEQINLLEGKLQQAVNENAKLKVKQTEDSKLWQGLDSKLSSTKTLCDQLTETLQQLASQTEQAEEDKKFFEEMLGKNSKDLDEFNCLLRDLSTKLEDAEQKIISGRQEMLQIKQEKEEMDQSYKERLYSNDTTIKEKDSLIKQLEGSVEENKSRLICLDSHLQCMEQELKLKEDVCISLKGNLASSESEKNSLELMNKGYILETEKLCQDNKDLKELLSSFMVKVNELDKEHASVSSHVSRLISSFERFNEMAQKEKMLMTRSSKDKFEHLQSQYVDLTSENNALKTEIEELKSRLRELQRTQEIVMVQHVEECQVAEDKIRRLESEAEVSASNINQLEKIASELQGRIQKLLEDSTLAENHKQELLQKILKLESDNQELLGQVQSIMEEKSNNAESLQGEITKRDQQVNTLENQINQLRSVLDEKEQLYRCSVEREKTLEDQKLQVEASLSATECQLIEAKKQYDLMLEGEKIELSKHLEELSLKNDQAINEIRKKYELEKIEITNAEKEKAEKLIREIENKCNEKMSQNKHDSERIQQDNELKESILQAHHKEELQRIQSQAENELRERLSLLRKEHELQIKSLRMHHEEECQRMQEELELQKSKVEEKQRALLQLQWKVMGESQQVDQEVNSKKVLSTHQ >Sspon.07G0026420-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:53210454:53213284:1 gene:Sspon.07G0026420-1B transcript:Sspon.07G0026420-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQAKCVEFCFPTAHIRALSGDIAWRVIVASNEGAATVTGNASAANSCGVGPDELGVVAAGLPTLTAVGVAVVVLPLPEPGEERVRGTAAS >Sspon.04G0002050-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:6421770:6422783:1 gene:Sspon.04G0002050-1A transcript:Sspon.04G0002050-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RMKPPSSPGAPSSSPRTSLFLLPGILLAAVIYLVLFPDNFRLPVGMFGSSSSSCNNDNGPTTSAAGRLAAGGSRSPAEEAVDLRLLMGIVTTPSTYERRALLRLAYSLQPRPVRAVVDVRFVMCRIEREEDRILVALEIIAHGDVVVLNCTENMNDGKTYAYFSAVPGLFAGERYDYVGKTDDDTYYRVAALAESLRGKARRDAYQGYLTPCHWLPEKQYMSGMGYVVSWDVAEWIAATPELRDDHNDWEDVNFGGWLRKGGKYKNVYNEEPRMYDYWDREMAADVNCFRHEHIADAVAVHKLKDRLKWARTLHFFNATQGLKPSKLYHVDLDNVYHV >Sspon.03G0039390-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:8427820:8430767:1 gene:Sspon.03G0039390-2D transcript:Sspon.03G0039390-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATEDCDGLPMYIEEDEEEAAMEKQKRRQQSQKPPFKHPWETATPEEKARRELRGAMMDKLFEFDPKTGSGSYTQVWFVNFSTFNLDDETQYGPMRFTDSLIRDDDELIDSLNVLCLKIRSSDVGYPISVYGTVIVRDRLDMKCNYIFQRNRNNCQLVESEGESLILTGPTRGIVFCCDAYFEINLKIKQDRESEDRQFSKTLIDVDRATIDYTVKRHTIVSWLSEVDVIFAYVKKALEGTIEIRILSGSSAFYGKVTVCTTDFPSHILLYDSDFHDANTMGNDRVLKLLRRVVTVSVDQMLIFHIYPHSGDWNPKSLNSLH >Sspon.04G0016950-2P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8B:11344259:11345977:-1 gene:Sspon.04G0016950-2P transcript:Sspon.04G0016950-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQPCRRAFSSNLVGPPVEFLRAAAAGTAGPLVAAPTKPPPMKRLTENASPTFVSSGDPCLDFFLHVVPGTPATRVASLLADAWAADPNTALRLACNLVGVRGTSKSDRKGFSAAALWMHECHPVTLALNAPSIVELGYLKVLPEILHRIVKGVDTTRRPGKKAQVRVTGAAMGFRRLGKEECAAAFKELERKIERRWRAEAAARAVDMYRRDTNYRLLHDCTADMFADLIASDMQKLAVGKLYEISLAGKWCPSLNCRHDRSTLLSEAIARRLFPKGSELDLPEEMPDEHYVVYPRVASRAMRRYRKLFLKHDAERFELYLTDVAAGKAKIAAGALLPHEILASMDGDGVAELQWERVVSDLRALGTLSNCIAICDVSGSMTGTPMEVSVALGLLISELSDEPWHHRLITFSARPELHQITGKTLWEKTRFIRQMHWMMNTDFQAGFDKLLSVAVAGNLPPERMVRKVFVFSDMEFDQASSRPWETDYEAITRKFTEAGYGAVVPEIVFWNLRDSMSVPVTAGQKGVAMVSGFSKNLVKLFLDNDGILTPRAIMEKAISGPEYQNLIVYD >Sspon.06G0031010-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:57969928:57975529:1 gene:Sspon.06G0031010-1C transcript:Sspon.06G0031010-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKVESEHSKVNPSQELKGNGRDKAKELETRQGVQHEEANKPQVASPVVESVELVSSESPEKTNAELVPEKTEPPVACSTGIQEEVKRSSVEYWNERFNRLQTYLENCDHSTQEGYLRRKELQRMKALNVLGKIQSGFN >Sspon.03G0015460-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:73209782:73215316:1 gene:Sspon.03G0015460-2B transcript:Sspon.03G0015460-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVPGVVSPAADRFYCPPPRRHLLDKQHKQHPPLTASAAEGAAKPTPEELRRDPFPSPATATTNLESFIASTAVRVPARCPPRTPGTRGCGAGAPYYELADLWEAFGEWSAYGAGVPLLLNGTDGVVQYYVPFLSAIQLYGSRPPPSSKSCRCLNEDSDGDNALDTSSDVSNESDNDNERSIGRTTQCLAENICTDEESLSSDDCESSNQEPSPVFQYVEHDAPYGRQPLADMISVFTCKFPDLNTYKSCDLLPSSWISVAWYPIYRIPTGPTLQDLDACFLTFHSLSTAPDGTISGCPETNNFHNNIITAVPGKITLPLIGLASYKFNGSLWTSNQHHEQQLTTSLLKAADDWLCQHQVDHPDYRFFLTH >Sspon.03G0024860-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:60166858:60168645:1 gene:Sspon.03G0024860-3D transcript:Sspon.03G0024860-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQCADHSGQLPRLEGIEEEGGPAEKWAPTTVRPPETPTETMEFLARSWSLSAAEISKALRVLSCGKAASDSPPAVATSTTEQRPARPPRGSDHHQHQHQYQQRADAGMAAGGQAGGGMSPPISPRANLGDVKLLRAAGRGKTVGAWIKEQREKKRAEARSRNAQAYAATSVAGVAAAVAALVAGAVFSSSAPAPAPEQRPSKGGGSGGAGAGSGSGGAKTAAAVASAAALVASHCVEMAQAIGASHDQILAAIQSAVNAQTSGDVMALTAGAATALRGAAMLRARLHKEIQATALPGDGREPERDISPLVFVSRGGELLKRTRQGALHWKLVSVYINSNFQVKYQHEHEHDHKTPQ >Sspon.01G0016070-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:49022257:49027682:-1 gene:Sspon.01G0016070-3C transcript:Sspon.01G0016070-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMFWKDGGGTGGSNGRDLSGGPPCGQVRVLVVGDSGVGKSSLVHLLLKGSAVARPAQTIGCAVGVKHITYSSPGSSSNSIKGDAERNFFVELWDVSGHERYKDCRSLFYSQINGVIFVYDLSQRKTKTNLNKWAVEVAESGTFSAPLGSGGPGGLPVPYLVIANKVDIAPRDGRRVSSGNLVDVARQWVEKQGLLPSSEELPLADSFPGNSGLLTAAKEARYDKEALIKFFRMLIRRRYFSNELPAPSPWSLTPREDTILPVETVNDDDMFQRKSYSGQSYKYNGVAPLPAQRNLTPPPTLYPQQPMSSSSENYRYHRFSSSSIPDSSGGRTSRANLNI >Sspon.05G0015980-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:64255820:64308786:-1 gene:Sspon.05G0015980-1A transcript:Sspon.05G0015980-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKISTDLSDLSPESTPSLFLRLLAMAPPRRALLALAALLLLLSLSLAAAAFQSDELLLNDDDEFEGVGARPSAPSPPAAPAVSSSRRRSADAPLPGAGESNAVQFTLEHDLGDGQGFVPSGTFSARLKTFAHGTQTLTKLRFTRNDLTEDDKVAFKKLLQEDGFYTIRLPSNVLDTTKKHNVVSSIKARCIPRDSLDEHIVIHMDGVNILAVNYGSVGGCQYPRPMKLPSKWTFSSYTILKTAEQAPRTPSFADQLIEADNGLGEVMKPPEKSFWAKYWMYIIPLGLIVMNALPTYQRKLQGRANLEHNGHLLLLLPGEDDGCLYDNKGGLKFSTVVKEFGIHFRNTTKEDILMITMQIHFSCIFHGKQSEELFVKCDQGGCFFAEE >Sspon.01G0034830-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:6964683:6969255:-1 gene:Sspon.01G0034830-2C transcript:Sspon.01G0034830-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGEQQPATARISSSSAGSGRLVTPFWKGWLRNSNVAAVGAFFCSLHKPSFDAEKYERDARRYWDIFYKRHEDKFFKDRHYLDKEWGKYFEGRDGEKKVVLEAGCGAGNTIYPLLSTYPDIFAHACDFSPRAVDLVKKHKDFKPDRINAFVCDIASEQLTENMEPSSADIVTMIFMLSAVAPDKMPIVLENVRSVLKHGGRVLFRDYAFGDLAQERLMSKGQQISENFYVRGDGTRAYYFSNEYLVDLFSKCGFTLEEICVHNKQVENRSLELVMNRNWVQATFTLNSASPQGPNGQHDLLACEGEEDKLATDTSKKSSGEEIDLSEDFCNMFGTSHSLDEVQIIGIKAKGHDFKIRMLRKEYQHTCKSTGLMLWESAQFMCCLLAENPSIVAGKRVLELGCGSAGICSMVAASFTEFVVATDGDEESLDLLKQNVSSNLEPNLLSRIMIRKLFWGNKDDMKAVRELPGNGAGFDCIIGTDVTYNPDAILPLFVTARELISDRANKDLVPALILCYIQRRVDEDSILANATSQGFRLVDKWINGVHESNGIISSWFSGNDVCSAFRNTILSILYFEL >Sspon.04G0015420-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:61816470:61818801:1 gene:Sspon.04G0015420-2B transcript:Sspon.04G0015420-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVTEAVNNLSISGGGAAAGAGAGAEGHKKNRIQVSNTKKPLFFYVNLAKRYMQLHNEVELSALGMAIATVVTVAEILKNNGLAVEKKIMTSTVEVKDETKHRPIQKAKIEILLGKTDKFDELMAAAAEEREANEAEEQS >Sspon.04G0001710-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:6949707:6952808:1 gene:Sspon.04G0001710-3D transcript:Sspon.04G0001710-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitous nuclear protein, Regulation of photoperiodic flowerin [Source: Projected from Oryza sativa (Os02g0793900)] MDAPPPIRRRLTGEVRRFRHRYVPQKQKPSQRRPGRHGQETGGSSKATAPSRTPNSTHPSVSPEPQDNATKTSRQSRARGAATRSTRKEAQLAWSQEAEGAREQPGMEDPVPADPPRIFWKSRRSANGRSLQQEPDKDATEETNEQAQEEPMKTDDATDTTATAEDVQPDPKANLSDKRKALFEPLEPINGKRSAEMLLPPPDFEPASYPKGWLVGKKRKLVNVDVVESMRRIAIQEMNRKDREINGLNEQLEEDSRVLELLQKQLADERKKRAEIEKENSMLHEQVTMLMNMLDENEGFDEDGEAPPPDSFD >Sspon.06G0021000-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:11781411:11783816:1 gene:Sspon.06G0021000-1B transcript:Sspon.06G0021000-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEVTRDIQGDIPWCMLFADDVVLVDDSRTGVNRKLELRRHTLESKGFRLSRTKTEYMRHEEGDISLDGQVVAKKDTFRVPQKLKGKFYRTAIRPTMLTQLSVAEMRMSRWCCGHTKRDRVWNDDFRDRVGVAPIEEKLIQHRLRWFGHVPRRPPEAPVRSGVLKRADNNGGGVAGRARLRRREGRAIRGCASIVAHACPAGLDRPSVPRLRLCLSGHLISILHHHHPVFAACIDSFTRLRLLLRLAGSIAIKTDDDDDDHDGREHGAVKRQASGFLLDKYKQARLAMGDVTPAEL >Sspon.08G0007540-3D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8D:20203959:20204336:1 gene:Sspon.08G0007540-3D transcript:Sspon.08G0007540-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding FLSPHLKLVWPVGHHLPPPGAGHEPDPSGGYLYDDGFRAHFLQVYIQAALGSVRNGSDVRGYFVWSLMDVFEYLFAYSFRFGLYGVDFTAEDRTRYSRSSARWYAGFLRGGDLTLAQPLGHGSTYS >Sspon.05G0007000-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:12948102:12950413:-1 gene:Sspon.05G0007000-1P transcript:Sspon.05G0007000-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADENQAGKKEEEEFSTGPLSVLMMSVKNNTQVLINCRNNKKLLGRVRAFDRHCNMVLENVREMWTEVPKTGKGKKKALPVNKDRFISKMFLRGDSVIIVLRNPN >Sspon.01G0015280-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:44348237:44348599:1 gene:Sspon.01G0015280-1A transcript:Sspon.01G0015280-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPSLPLVKPLRLFRHRRRGAGRRRSGVGVGGSGSPMPGSIVPILCRQPLPHSSSSISFCSSPPAPQRSAHPLHLLPLPCRLQRPWAPGGHGGVLQPPRTTEG >Sspon.06G0002370-4D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6D:5114007:5114699:1 gene:Sspon.06G0002370-4D transcript:Sspon.06G0002370-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEWGWHRFATGGDLKLLYMVDGVVTLACGVTVAAAGKYETLAVPPPDLVSHLGGLLDCATGSDVSFVVDGKVFPAHRAVLAARSPVFKAQLFGFLADARMSSITLHDIDPAAFKVMLRFMYTDCLPGDNELGDAPAPSAMLQDLLAMADRYALDRLKLFCAKKLWEYVSTDTVGVILHCAEMYNCPELKRNCIVFVAEEDNVKKTLLTDGFLQLVQTIPSIVAELRKKLG >Sspon.07G0008450-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:11942842:11946371:-1 gene:Sspon.07G0008450-3C transcript:Sspon.07G0008450-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSQCCDNPPALNPASGEGKVVDSFGGLKAYVAGPEDSKAAVVLVADVFGFEAPILRKIADKVASSGYFVVVPDFFHGDPYVPENGKPIPEWINSHTPEKGFEEAKPVIAALKEKGVSAVGAAGYCWGAKVVVELAKAHEIQAAVLLHPSFVTVNDIKEVKCPISILGAEIDKMSPPELVKQFEQVLSVNSGVGHFVKIFPGVAHGWSVRYSHDDAAAVKSAEEAFADMLDWFNKNLK >Sspon.03G0007770-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:7392796:7393746:-1 gene:Sspon.03G0007770-1P transcript:Sspon.03G0007770-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding WRQSERAQCCTTTRRRRATPPPCPPTSPTEAAPPPPAPRPASPPRSRRRPRRSPSSSTSPSSSSTTRGSPARQACSPMARPPSSCRMPPPPPLVVVQSAQPAGTAAAAAEAVPERPRTERIAFRTRSEIEILDDGYKWRKYGKKSVKNSPNPRNYYRCSTEGCNVKKRVERDKDDPSYVVTTYEGTHNHVSPSTVYYASQDAASGRFFVAGTQPPGSLN >Sspon.04G0006470-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:18447620:18454684:-1 gene:Sspon.04G0006470-4D transcript:Sspon.04G0006470-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNSSAAAFFGVRDGDQQDQIKPLISPQQQQAAALPGVAGAPTAAAQPPPKKKRTMPDPDAEVIALSPKTLLATNRFVCEVCNKGFQREQNLQLHRRGHNLPWKLKQKNPLQAQRRRVYLCPEPTCVHHDPARALGDLTGIKKHFCRKHGEKKWKCDKCSKRYAVQSDWKAHSKICGTREYRCDCGTLFSRRDSFITHRAFCDALAQESARLPPTSLSSLTSHLYGATNAGNMALSLSQVGSHLTSTLQDGHHHHHPSTELLRFGATAGGGSSIAARLDHLLSPNGASAFRPPQAPPSSASFFLNAAGASVGQDFGDDAGNGPHSYLQANKPFHGLMQLSDLQGNGAGGPSLFNLGFFANNGNSSGSSHEHASQGLMNNDQFSGGAGGGGGGGGSEVSAAGIFGGNFIGGGDQVPPPGLYSDQASMLPQMSATALLQKAAQMGATSSANGGAASMFRGFVGSSSPHVRPATPHMEQSDANLNDLMNSLAGGGVGAGGMFGGGNGAVSAGMFDPRQLCNMAEHEVKFGQGGGDMTRDFLGVGGGGIVRGISTPRGGGDHQSSSDMSSLEAEMKSASSFNGGRMP >Sspon.01G0007890-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:21919110:21923193:1 gene:Sspon.01G0007890-1A transcript:Sspon.01G0007890-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVQEYLEKHLLSRKIEEAVNAAVRAKSPDPVLFIAGHMRRAAPAVITRVRARQILDGHGAPAVEVELHTNKAVHRASAAGAGALEGAAADAAGGSERRKILARAVADAVRVINDKVSEALVGMDPQQQAQIDQAIMDLDKARHKAELGANAMLAVSIAACKAGAAEKEAPLYKHIADLVGKSATTLPVPAITVINGGKHAGNGLPIQEIMILPVGAKNFEEAMQMGSETYHHLKDIILEKCGSDSCNIGDHGGISEGLDLVIAAIERAGYNGRIKLAIDVAATDFCVAPAIAIESMLSEPPSRNLLLRIEEELGSEGVYAGENWRTVSTS >Sspon.05G0008060-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5A:24794513:24796637:-1 gene:Sspon.05G0008060-1A transcript:Sspon.05G0008060-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFETIPLLQDLVVDKSEVETSQVVGCDSIVTERVETNLSKEPFLLVPLENKEEKESPGIICYIENNKVDVPEAISPRGDILELSISSKPTDDSLSLGCETPRESIFDPFAPGPKEAACAPKKKVASGAEVPPRRKLNFDSGDYPVKRLSFDSSDSDEEDQYLQVIHKMILDLLIVDGPLDGQEETGMNVTDSGLHGSCKTPDSKPLLTGIASTCPGAPLRPSLKALKLSPGICRKIDFDALLERRGLADDHNSPANHVGARSPAPDTEVTVRLRHQQDSPPPRKVKRVGPFLHLSSTGPRVGQPAGVARTLRPQGGNDALPLELEAVDESHRHQTFRLVRSVRLTSGPRYTVGPLEASDSPPQAVVLFRF >Sspon.01G0042750-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:67982354:67999038:1 gene:Sspon.01G0042750-1B transcript:Sspon.01G0042750-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPPPPEHSTSTEPSSAAKRASTSPMALSTKSHKAPPERKKRKTPPPPPPELTPAKTSDATDPASKKKLVLAAPSHAAGGGQSSKGAAQAKSAGHQSGKDALAHKAKTSDELRELYPHLVDEAMALVDPAVLERVLPRIDDNEAQALDKKIKGPRRQLTKAITESVYQVPSHCLQGLLYISRLPQILQKKIEVPNGFPHEKNEEVTSKYKYNGAVPSRIPCDNLKLQGLIKPPFNTFHGRARKIDAENPKIIPLLARQYLIDCLIKVIDKAPCATVMCHFAHNESMEKPSLMVGGSAETQSAEAEIVRAETGTLGGVFASSLRMGGGTRHGSKGPWRWSKAKTK >Sspon.08G0008510-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8C:32417340:32421429:1 gene:Sspon.08G0008510-2C transcript:Sspon.08G0008510-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha-glucan water dikinase 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G10760) UniProtKB/Swiss-Prot;Acc:Q9SAC6] KGWNQALEMAKQKDDQWALYAKAFLDRIRLALASKGEQYHNMMQPSAEYLGSLLSIDQWAVNIFTEEIIRGGSAATLSALLNRFDPVLRNVANLGSWQVISPVEVSGYVVVVDELLAVQNKSYDKPTILVAKSVKGEEEIPDGVLGVITPDMPDVLSHVSVRARNSKVLFATCFDHNTLSALEGFDQKLLSFKPTSADITYREITESELQQSSSPNAEVGHAVPSILLAKKKFLGKYAISAEEFSEEMVGAKSRNIAYLKGKVPSWVGVPTSVAIPFGTFEKVLSDGLNKEVAQSIEKLKIRLAQEDFSALGEIRKAVLNLIAPMQLVNELKERMLGSGMPWPGDEGDKRWEQAWMAIKKVWASKWNERAYFSTRKVKLDHEYLSMAVLVQEIVNADYAFVIHTTNPSSGDSSEIYAEVVKGLGETLVGAYPGRAMSFVCKKDDLDSPKLLGYPSKPIGLFIRRSIIFRSDSNGEDLEGYAGAGLYDSVPMDEEDEVVLDYTTDPLIVDRGFRISILSSIARAGHAIEELYGSPQDVEGVVKDGKIYVVQTRPQM >Sspon.02G0026940-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:72120117:72120534:1 gene:Sspon.02G0026940-2C transcript:Sspon.02G0026940-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARDVLMKKNEEIAHIHMELENTQQVLQTALQDRDEWRYLAEGTYEMNQLLISLVPSMQGTNAYALPSSNELGSTSSCNQAMSIGETALENADPIPICKQRPT >Sspon.02G0000350-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:5667053:5669578:1 gene:Sspon.02G0000350-2D transcript:Sspon.02G0000350-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRNRISHPFPSRREESRERQPGSSSSTAEAERRLDLERRAAMARLLLSVPVPISASIVLPSSSSTGTSTARRRGRSVRVSVAVPATQGQEGPAFDPAAAPPFGLADIRAAIPKHCWVKDPWRSMAYVLRDVVLVLGLAATAARLDSWLVWPLYWAAQGTMFWALFVLGHDCGHGSFSNNPSLNSVVGHILHSSILVPYHGWRISHRTHHQNHGHVDKDESWHPLPERLYKSLDSVVLMLRFRLPFPMLAYPFYLANRSPGKSGSHFHPASDLFQPSESNVVLTSTACWLAMAALLATLTFLMGPLQMLKLYFVPYWVFVMWLDFVTYLHHHGHNDKLPWYRGKEWTYLRGGLTTLDRDYGWINNIHHDIGTHVIHHLFPQIPHYHLIEATEAAKPVLGKYYREPQKSGPLPFHLLGDLVRSLRRDHYVSDTGDVVYYQTDPKLH >Sspon.07G0015250-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:38215382:38216484:1 gene:Sspon.07G0015250-1T transcript:Sspon.07G0015250-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSLLCSRRNHKKDRDGEQVQVIMSTAAAVMRKGPWTDEEDEQLVRFVRLFGERRWDFLAKVSGLRTVAGLRRTGKSCRLRWVNYLHPGLRRGRITADEERRIVELHAQWGSRWSRIARSLPGRTDNEIKNFWRTRTRKRALEERRAAAGAAATAEPASSSSPSSSVTTSECRPGSPTSSGSSSSSLREGRGGHGDDDAELEEWTPTAAPATKHEQQQPQPQEYYDGCSSMDQLWNEIAAADAAAMSYLVGDACWGGAGHYYYGAAAEPPPASPPWEYCGSDFSLWRIDDQEYYKKMLDSS >Sspon.07G0012650-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:45672378:45678589:-1 gene:Sspon.07G0012650-2D transcript:Sspon.07G0012650-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVGAAEPKEQAATAAGAPAEEDKAAAVSCSICLDAVLAASGERSTARLHCGHEFHLDCIGSAFNAKGVMQCPNCRKIEKGNWLYANGSRSAHDVSMDEWAHDEDLYDVSYSEMPLRFHWCPFVHDFMGQHVFTEQVAVSAAPGTAHPCPYVAYLHPLPPLTSSSSPHVPERTMDRSAYHDHWNPMAGPSDVRPMQTMQPADFHHSHWAHVPHSYAQPNSNNEQPGIPFGTRAARVDGDSQRRASVVSPSYFSNGSGSRSRPPSVPPLMPPFMRAHGSISEQYQQNSSSSLFAGAHRSGGMRPAPTPLPENPTFSLFPPGSSGHSSMETDDMGGSRFYAWERDRFAPYPLMPVDCETSWWTSQQQSHSTSEPASAPRRLFGQWLGVGRSSPENRSPEGSSYRQMHSPRM >Sspon.01G0010640-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:29892711:29895463:1 gene:Sspon.01G0010640-2C transcript:Sspon.01G0010640-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFPVPPLLLLAALLVVAATRLWDYVFVRLVWRPYAITKGFREQGIHGPSYSFFKGCNEEIRSMKEKTDGLVLDVGDHKYLPRIAPHYLKWRAQYGEPFLYWYGPQARICIFDYELARQILSSKSGHFVKNDAHPTLLALVGKGLGFMEGADWVRHRRVINPVFTIDKLKIMTKTMLDFAQNMASELEAEASGNENGETKVDINKHFSDLTVDNIAYAIFGNSYKLGKQVFQAQTEMLGITMATFLDVPIPGLKYLPTEANRRKWMLERKLKSLLKQIIQPRLASGEYGNDLLGVMLDSCTEAKQGGKQVDGLSLSMEEIIHECKLFFFAGHENTALLLTWSVYLLSIYPEWQERLRKEVLSEFGREAPNPNALNKLKEARNRYAYIAMTMVLFETLRLYSPALFMQRKTLADMTVGSTKLPKGTAIVIPIPIMHRDKEAWGDDADEFNPMRFENGITGAAKVPHGLLAF >Sspon.07G0033540-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:61707012:61709765:1 gene:Sspon.07G0033540-1P transcript:Sspon.07G0033540-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding TMLAQRGHILYQENRCIDARLSSIVMDATSGEKKTSWPEVVGMSIKEATEIILKDMPNAYIQVLPVGSPVTLDIRPDRVRIFVDTVTKTPIVG >Sspon.04G0030550-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4C:1769421:1769924:-1 gene:Sspon.04G0030550-1C transcript:Sspon.04G0030550-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDPHSLPVQMPHPLPHGCVGIKKKKNPLLPPGGAPPLPAALSPTWRPSPAASSSPSAFGCSSSSSSSAVSTASPPRVDPAAMDAPAMVGPLGAGEDGCVVHLLPHLLPRVNSLRSDAIRQRCGSPRAHDAPYRRRGPARSDGCPSGGATRLAWIPALMASTRPASL >Sspon.02G0043120-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:89467493:89470517:-1 gene:Sspon.02G0043120-1B transcript:Sspon.02G0043120-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPWLPQLMDELVEEILLRLPPDSPASLVRAGLVCKSYHSEPRQTARIHKNGIALEKDPEPLRCRYGQPTEHTLAIYTIILNLPMKPREQEP >Sspon.07G0019440-4P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:71021875:71022759:-1 gene:Sspon.07G0019440-4P transcript:Sspon.07G0019440-4P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSPCCEKAHTNKGAWTKEEDQRLVAYIKTHGEGCWRSLPKAAGLLRCGKSCRLRWINYLRPDLKRGNFTQEEDELIIKLHQILGNKWSLIAGRLPGRTDNEIKNYWNTHIKRKLLARGIDPKTHRPLGVSTSTAAASSHRQDQDHLLSARSSCSPETSGDDDSTSAPHDGGGIDLNLSISPPRQQPSPSPPPLPTRQEAEATSGGVILRDANSSEITTTPKESGTSSSSERKTICLCLNRL >Sspon.02G0018430-3C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:62033381:62034514:-1 gene:Sspon.02G0018430-3C transcript:Sspon.02G0018430-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRQQDDDTVHAAAIVLAAAARATSTGLQRHQQQLDDHASCAAAKKTRWWSRLKAKLRWFRPHGHPQRIADASRSPETGAPCAEQAAGSSSIHHYARHAPQPALAFVAPPSSPASSVLTSESPSPVVLLNANNASSSSYSSPTASIFAIGPYAREPQQLVSPPAFSASAGLTEPSTAPFTPPPESGLHLLATTPSSPEVPFARFLWSSAAADEHRHGTGEGFLHAYQLQPGSPVLVSPGSTSSSPPPWNMQQQHWVGTCSDGGRVPIKNESNDDEAATGGGEFVFGSNAAAAAGE >Sspon.03G0003440-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3C:23423810:23424521:1 gene:Sspon.03G0003440-2C transcript:Sspon.03G0003440-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWWSTKCSMLSVWRGMVLTDFFWGALCAEDGGASGARPAKVMSVSGSGKRGRYVRQVTGRHNDTDIHVAARGGDAAALRRALSVAAAAVAATGEGPEDLEAARRAVAAEPNEAGETPLVAAAERGHLEVVVELLRHLDAEGLAAKNRSGYDALHVAAREGRHGAL >Sspon.04G0008650-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:18170570:18174434:1 gene:Sspon.04G0008650-2P transcript:Sspon.04G0008650-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LPPRTASTTRYRLATPILPVRLLVSSSTAACRSAAPELRVAMDYSGTKEGAWWSRETVAVVTGANRGIGHALAARLAEHGLTVVLTARDGERGAAAAAPLLARGLAVVFRRLDVSDPASVAEFAAWIRDAVGGLDILVNNAAVSFNEIDTNSVEHAETVLRTNFYGAKMLTEALLPLFRQSSATSRILNISSQLGLLNVSAPNCLHFTDFSEFMQKVSDPSLKALLLDEDRLTEAGIEAMASRFLAQVKDGTWGEQGWPKVWTDYSVSKLALNAYSRLLARRLKARGARVSVNCFCPGFTRTDMTKGWGKRTAEEVADVGARLALLPPAELPTGTFFKWCTPQLYSK >Sspon.01G0008610-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:28945257:28946799:-1 gene:Sspon.01G0008610-1P transcript:Sspon.01G0008610-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMGLPGLRCCRLPARGSLPPLGPALARRPLPRTSSALRYSSLQAQAGDSIGEEVLRMFLEERQLHGDFVTKVSDMVWRRNGANVDAAEATTGQGSAVDVAQPEDVREDVVDEGMLRLAATRDWVSSDSSPPLSKRLSAKDRQNESDKRKELNLLKYEALKDELLLLTTGIGAACSLYCLLVFSLETPS >Sspon.01G0013360-3P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:114294106:114294917:1 gene:Sspon.01G0013360-3P transcript:Sspon.01G0013360-3P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding PAMAGGGDLKLLGMWASPFVLRVKLALSLKGLSYEYVEEDLFGGKSELLLKSNPVHNKVPVLIHNGKPVCESLIILQYIDEAFAGTGPSLLPVDPYERAVARFRAAYIDVQMLAAWMQASRGTEEDKAEGKKQWSVAVETLEGALRDCGKGKPFFGGDSVGYVDVVLGGLLGWMHANEEAFGIGSLDPQQTPLLVAWSERFGALETVEPVMPDVSRLVELGKMVLAREAAAAAGAS >Sspon.02G0038300-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2B:40823798:40824971:1 gene:Sspon.02G0038300-1B transcript:Sspon.02G0038300-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPQLGAMVHGAKLQRHGAMYPGAKSHPTWLHGDLRGVAWRHAAWRQGLAPRSMAPSLGAMVHGAKHPYYSLPPFLPRVIPTPPPLCDFEQWIDTEIKEADMRFLQGLKEWDAERLEILEKRRREEAAAKEHKEEEEMRRVAACREERERKLERVRRAKAAMEENPDAQNKGKWPRCTQ >Sspon.01G0048230-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:106149035:106149268:1 gene:Sspon.01G0048230-2D transcript:Sspon.01G0048230-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCGSDGCRDGGSSGSEEFRRPRPSKVAADDSVAPARSSDAAAPASWIDRKLLVDPNMLFVGDKIGEGAHGKVYKGK >Sspon.02G0048500-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:25650762:25656058:-1 gene:Sspon.02G0048500-1C transcript:Sspon.02G0048500-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding HTELGGRFPAYDGRDSLFTAGALPFGTKEFEVTLSAGGDKRMDRKYKAVINHATAISMLQLRMLLAGYPTDIPAQVLQVLETVLGDVLFNERNDIERVPIGTNDHTLGVEAWKGLYQSIRSTQDGLSLIADVCSSIFVQPLLLIDFVQKILKIDVDRNLTEHEYDKVSMLSPALIQNAMCIQMSDGMMLFSEFHLLKALWDVKIEVTHRGNKHHKYRIAGLSVKPTNDLSSESPSGATKTVIDHFRERYSLELKYKSLPCIHVGSEQKPIYLPIEVCKIVPRQCYQKNLECSQVSTLRKSASIQPEPEQSSHQVVDRKHTKCANEFGIEFDDNLTTVDARVLLPPNLKYHDSGSQKTWFPMNGHWNMKDKKVINGAKISNWACVNFCEDLSKKAIEEFCFKLAEMSRIIGADFANLKLPIFTARSDEVEHDIYIYGYPIGIYGYPIEIQALFFMAMRCALSWLDFGTINDIYGYKTEEYSQTALNKFNVIPESVSDCIFDFMPIHGIFIATLSSLATGEQAKAILDVVEECWQRLIGEMPLKICYPAMENQIITECGPKNTRWSYDNEGSWPDAAREALKRSIVYLRGQSIGTVAAIDKSQGAELSDAQVTFCSFRVKALLVPHGFSPKQLMDIVRKMSVSNKVTVCLDKSEDGSNDMCLKSFQAGIGATRLWCLKYGLHYDFSHIYGATVFSFTTPPGGTFYITLKFEGRSITLLLAGRDLYIKGWRDESHGSFEIRMEHCSAYMLGKVKVMNIEKNYSFLSTSGKVGGTRIGPDALREAFNILFKYKGERSSEVKRAIGVFAVNFPEAIRIQKVYKTVVRSFLNSDQQVLDPIPIAPDEPTPDPEDTNTLWIENYGHYSHQAILDANARDSGTDPPGIGNRDGAHVESATEIFREIRVGLRDACPEGENETEPQKIEDPDDKINQSFIPKPKPITRKKRRRKGKVPDLEGGDGESAESVALFLLEDWLPEEDEIDDDETRKQKGSDLEGGDGESGDDEIGDDEIGTGKKRRNGKGSNSEGGDRQSEEEIADDKQASKAKEVEWVNFSVGKFLERRMEERIRTMRISEWMSNKLQKTENFHQISQFNAEKATAKKFIPPKPHSMLQRNTVSLGQRLMYRVSRCLRFL >Sspon.06G0014740-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:81176701:81179599:1 gene:Sspon.06G0014740-1A transcript:Sspon.06G0014740-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALLRRALHLRRVLPSPAVTAASAQRLAPAFSTTRTPTTSQQSAATTTIDLSSDESRRRLLNRLVYRSKQRGFLELDLVLGTWVEQHVHGMDEANIRALLQVLDLENPDLWKWLTSQEQPPEDLNSNPVFAAIKSKVTDNLTKHASPETRSTPGQPWVRGWDDIKKGKDGPKYGNQ >Sspon.08G0008110-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:29480260:29480892:1 gene:Sspon.08G0008110-1A transcript:Sspon.08G0008110-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEYLESMKSLRSYMNDLEDDAAKRSVEEQQQRTAIDAHDADIALVRAQAKQVSEEAEQLGTARAKIGMQMAEKQGRIATLEIECATLKQWEIVRIWWRKGNVAVDS >Sspon.05G0034170-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:5C:50594260:50595325:-1 gene:Sspon.05G0034170-1C transcript:Sspon.05G0034170-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding IWHAIDSGTDVKRAHDRQAMAALLRSVPRDMWQMLGRKKTVKEAWEAVEKMRLGADQVKEVKPQRLLREFENIAFKEGESINEFGMRITNLAAELKVMGETVEDARVIKKFLRVVPSRFSPVVVSIEMFCDLKTMTVEELVGRLRVAEERLDDKVEQTVDKMGRLMLAEEDWLEKHKHRFSQGPKEGGGSSSGGGSSAGSGGRGGHQKGKAPAWSDGGNSEGVKLTSEGTPRRKGRCRNCHIYGHWEQDCKRPKREKKKDARQPEANVAIGGHEPGALMLAACDVDVVRKTAQTVHPTKKMVPIDVPDGVWVLDTGASNHMTGTKSVLTQLDEGVCGTVRFGNGSRVDIESIGSM >Sspon.05G0025850-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:35406370:35423153:-1 gene:Sspon.05G0025850-3D transcript:Sspon.05G0025850-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDREEDEELQMALRMSLQGSPPAQPEPKRSKPPPPAAESPEAEARRKQRELMAAAAEKRLRAAASPAAVSVARSSPQPVVLEPAAAPEGSKEQEEEPEQAGVSMEEAKEVEAEEEEKGEELPPDVAENLWAMVFGAGVSKAVLAQWSNQGIRFSSDPETTMGLAYVLKYLLFFSDDLSNPEFSNPLYTLGQRRFYQSSFAAGDDFSSLTDDRKTSIGSCYGGDSFLCGTGKRAVVASVARANRGVSGCGGDQLIISFDYLDKIDAVFEDLSIESAMDLQKVLRISTFTSRKDAFNTLVANIPLFESRLGAMLFLISALLSRGLEDIQADRDDPSQPLVTAPFGHASQEIVNLLLCGEAVPNVFDGKMDLGGGMSLKGIPNSVEVGFLTLLESLNLCKVGQYLKCPKWPIWVVGSESHYTVLFALNPNVQEENELEERESKIRRAFDAQDQSGGGGFISVEGFQQVLRDTDINFPSDKLEDLCNAGIIVWSEFWQALLQLDKRAGGMKDPTGLMGKKFTIFHFNGIAKSVLNGNASAGGSCPVQRPRLCKLNVTVPPRWTQDEYLADVVSASTSSSKDDSILSLAPPVQTNQHAPLVDCIRTRWPRAVCSWAGDVPSIV >Sspon.04G0006600-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:15145362:15149389:-1 gene:Sspon.04G0006600-2B transcript:Sspon.04G0006600-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQARSKSGLRSHGSGGRASSRPGSGTLGSPEKQPMLADRGGGDRREVVVKIDGNGNGRTPFSFHGADAGGDGGGGKAGNATSGTNSTATTPRTESRPRSSEISSPRSPAKVWREGSYEFWNKDGGGAAAPAGANGRPAATEAFSFKNRPPPQAPQASSPSMSPQQQPQPPEGGGGVDPPTRLIGNFLRKQAASGGEMSLDLDLEMEELGRTAQLRAQPSFSSSLERDGRVSFQEPQKRHSTSSCSSDSDTDDGRKRRGDDGEVVRCTSSSTAAGAGPLLRVKTRSRLMDPPPQSQPAPAPEPASAPAASPAFDEDRKSSGVRTPTKSGRLFSGFMSGNKSGPIGGKSGPMDEEEDDPFVDEDIPDDFKRGKLDALTVLQWLGLFLIIAALACSLSIKILSTKQVLGLHLWKWELLVFVLICGRLVSGWVIRIAVFGVERNFLLRKRVLYFVYGVRSAVQNALWLGLVLASWHFLFDKNVQQETNSPVLPYVTKILFCFLVATLIRLVKTLLLKVLASSFHVSTYFDRIQEALFNQYVIETLSGPPLVDENHVLEEVHELQRAGATIPKELRDAVPTKHVSGQRNIQLSGVMPKGEGSKQLSKEKGEGISIDALHKLNQKNISAWNMKRLMRIVRLGTLTTMDEQIQQATGDESATQILSEYEAKIAAKKIFHNVAKPGSKYIYLSDLMRFMRQEEAVKAMNLFEGAQEHNRVSKRSLKNWVVNAFRERKALALTLNDTKTAVNKLNQMANVLVGIIVFALWLLILGIATTHFFVFLSSQLLLAVFVFGNTLKTVFEAIVFLFVMHPFDVGDRCEIEGVQVVVEEMNIMTTVFLRYDNLKIYYPNSVLATKPIMNYYRSPDMGEAIDFSIHVATPVEKLALMKERLLRYIDNKKEHWYPGAMVVLRDVDDTNKLKVSIWLRHTLNWQDMGMRFVRRELVLQEMIKVLKDLEIEYRMLPLDVNVRNAPPIQSTRMPTTWSYS >Sspon.03G0009330-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:30462281:30462784:1 gene:Sspon.03G0009330-3D transcript:Sspon.03G0009330-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MYQAIPYSSARPWLMPPAADAAAVVAVKPEPAVLTIAEPVAAAEEEESARPDAAAGAGAGDDDGGKAEVGRAVAESPVLVVGRRGCCLSHVVKRLLQGLGVNPAVHEVADESALAGVVPAAGAGAEAAALPAVFVGGKLLGGLDRLMAVHISGELVPILKKAGALWL >Sspon.06G0028460-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6C:7361759:7362979:1 gene:Sspon.06G0028460-1C transcript:Sspon.06G0028460-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADNGNAKGGSGAYTINLENFSKRLKVFYDHWKEHKSDLWSSSDAIAIATPPPSDDLRYLKSSALDIWLLGYEFLETIIVFMHKQIHVLCSQKKANLIGTLKKAANEAVGADIVLHVKTKNGDGSDLMDDIVQVARSQSKSDKPVVGHIAKEVPEGKLLETWTEKLFGSGLRLVDITNGFSELFAVKDTTEITCVKKAAYLTSSVLKNFVVPKLEKVIDEEKKVSQSSLMDDIEKAILDPLKVKVKLKPDNVDICYPPVFQSGGKFDLKPGASSNDEYLYYDSVSVIICAIGSKYSSYCSNVTRTYLIDATPTQSKAYETLLKAHEAAVEQVKPGNQMSAVYQAAVVVIERDALELLPNLTKSAGTGIGLEFRESGLNLNAKNDRRIKQGMVFNVSLGLHNVQAETT >Sspon.01G0000460-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:829260:834788:1 gene:Sspon.01G0000460-2B transcript:Sspon.01G0000460-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SEX4 [Source:Projected from Arabidopsis thaliana (AT3G52180) UniProtKB/TrEMBL;Acc:A0A178VES0] MNCLQNLLKEPPIVGSRSMRRPSPLNLAMVRGGSRRSNTVRTAPGASTSGAESSAVEMGTEKSEVYSTNMTQAMGAALTYRHELGMNYNFIRPDLIVGSCLQSPLDVDKLRKIGVKTVFCLQQDSDLEYFGVDIGAIQDYSLQFKDIMHCRAEIRDFDAFDLRLRLPAVVSKLHKLINCNGGVAYIHCTAGLGRAPAVALAYMFWILGYSLNEGHQLLQSKRACFPKLEAIKLATADILTGLSKNTITLKWEDDGSSSVEISGLDIGWGQRIPLTYDEEKGAWFLEKELPEGRYEYKYIVDGKWLCNEHEMLTKPNADGHVNNYVQVFRDGTSDEEKELRERLTGPDPDLTGEERLMIREYLEQYADAGER >Sspon.08G0016380-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:59472695:59473877:1 gene:Sspon.08G0016380-2B transcript:Sspon.08G0016380-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding PRDAQRHAGGRWQTWPNQPPTATSPIRASPPVNQSPPRLDPPTDRVFSPARPAPSDPASHPDPLSQRSHETALVHASPAAHARPRPFPSVSRYYIIPPAPHFSPTIRILAPAVAARKSAPTTGGVKKPHRYRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSHAVLALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA >Sspon.03G0032810-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:33619549:33621437:1 gene:Sspon.03G0032810-2C transcript:Sspon.03G0032810-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALMMMRYTPHALMILAQVFFTLLYFITEAAFNGGLNPYVYVTYRYLLAACILCPFAYFYEKGSLTLNMYFSSLKYTSPTFVTSMINTVASITFVIAIVLRMEIVDVKTLRGLAKIAGTMVSLAGATTMSLYRGAAVKRLWRAPVHIHSSTSGGVDHVVAHESWVKGSLLALASCICWSIWIILQASSIKRYPAKLSLTAWMSMVGGLQSAVFAAFVQRNVEDWLIGFGLNFWCIVYTAIACNGLTVIIQLWCNKKKGPVFVTMFNPLLTVMVAILAYVVFGENLYAGSVIGGVLVILGLYMLLWGKDKDQEQQHISEGKDEHESDELDCCEKQATAVSDHFAARDDDQAPETATIKPN >Sspon.04G0006530-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:14811356:14812552:1 gene:Sspon.04G0006530-2B transcript:Sspon.04G0006530-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQQVPKVKIGEKITALQQIVSPFGKTDTASVLFETIKYIKFLHEQVQVNQLPWGDHAAAETSKAEMRQSMT >Sspon.01G0011740-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:34349675:34354255:-1 gene:Sspon.01G0011740-2D transcript:Sspon.01G0011740-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSLPLSHPTSSWARLAASPLPPQPHPLLPLAALCQQPPPPPPASLGAAEPPEPHGPSPAFPGGALPAAAPSPASLGALPSRPAIPGAGAPPSGAARPPGAGAARPGAAPLPPAAGAAALAAAAFPGGTRPDAAHAVACFLAPPPLVAPCTRPAAAGGPWRGCGSQRAPPAAAPPFPVAWPALAGSLPPALSHSRPSSSLAAAPQARLPAMGAGASLGPGWTPSASAPLFPTAWAPTAAAFPAAPAGHPQRRSPLARALARPGCRVRPCAVRRRSFWVLPRDARGGGPWRTPSSRSRTWWGSWFPRPVMAFPGSRWCALPAGRRHARCCFSRVSPSRFPVAYTFQRLARVGRWGSAALALLPHYGPDNSCRPTVDRRFGCHLPHHFRPHHPLFRSPSIFLSPLVHHGRKWVMPSCHVSDNSCSVEFDSTGLTVKDLASRRPLLRCDSTGPLYTLRFPASPSSSSPNPLSAAFATSSSSTTWHRRLGHPGRDALMQLSRSSDIRCPRTPGEHLCHACQLGRHVRLPFHSSSSQAAHIFDLIHCDLWTSPVISISGYKFYLVLLDDFSHYSWTFPLRAKSEAFPAILHFFAWVSTQFGLTIKAVQCDNGREFDNSASRAFFLSHGVQLRMSCPYTSSQNGKAERMIRTTTETMRTLLLQASLPARFWAESLHTSTYLLNRLPSAACPAPTPHHALFGTPPRYDHLRVFGGTGAMTLPLVGSSSRHVVFDESVFPFSSTPTPTSTPDLDLFSLFPTDAVVDPPFRLSTTAGSPHGPATVTTPDAGPGTSPLAPPARFAQPTLVYQRRAPPGSAPVTTPDAGPGPSPPAPPARFAQPVLVYQRRARPEPPPTSPPGLLLRRGASTTDCFFSAGDTDTAAAIPGCPCHDAGVPPPLLHRHPRHVHPMVTRHAAGTLPPRALATMPGDSQVSPVPSSVREALLDPHWRRAMEEEYAALLANRTWDLVPRPQAPTSSPASGSGHISVGRMALSRVVKPATVRTVLSLALTRSWPVHQLDVKNAFLHGLLTETVYCSQPAGFVDSSSPDMVCRLNRSLYGLKQAPRAWNHRFAAFLRSLGFVEAKSDTSLFIFHHGAETAYLLLYVDDIVLTASSESLLRRIITSLQREFAMKDLGVLHHFLGVTVAPHPAGLLHQRQYTLDILERAGMTDCKPCSTPVDTQGKLSEAEGHPVSDPTAYRSLAGALQYLTFTRPDITYAVQQLCLHMHDPREPHLTALKRLLRYLRGTVGYGLLLHRRSSSTEMVVYTDADWAGCPDTRRSTSGYAVFLGGNLVSWSSKRQQVASRSSAEAEYRAVANGVAEVSWLRQLLAELHNPLLRSTLVYCDNVSAVYLSTNPVQHQRTKHVEIDLHFVRDRVAIGEVRVLHVPTTSQFADIFTKGLPSSTFTAFRSSLNITSG >Sspon.04G0021170-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:82030777:82031781:1 gene:Sspon.04G0021170-2D transcript:Sspon.04G0021170-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVWKDYMSLQPSLISITPAADAAAGGGGGALYNHRHHQHLSPSGAGASHSPRSFSSPLQPPTPPPPTTLSLSSTLEFTYLGGAGAGGGGGSSTSGDDSRDLMAFSFAPADQYQQRYQTMKQSSSSAAAAGDSRRQRRMIKNRESAARSRARRQAYTNELELELEQLRRENEMLIKRHQELNVRLATSSSAQVPAHYSSTLHRCRSAPP >Sspon.08G0015730-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:57285762:57287549:1 gene:Sspon.08G0015730-2B transcript:Sspon.08G0015730-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEFRAMLRDAFGLERAAAAPSGDRWDIRRRPRLLIISRRTSRRLLNERAMVDMATSLGFDVRTGDPEVSTDVGRFARLVNSADVMVGVHGDGLTNMVFLPAGAVLVQVVPYGGLEWLARGTFREPAEGMEVHYLEYVVQKDETTLSEEYGEDDPVIKDPAAIHKQGWDALKAVYLDKQNVRPHLGRLKNTFVQALKLLPHGRQTTDD >Sspon.06G0002190-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6A:7020799:7022918:1 gene:Sspon.06G0002190-1A transcript:Sspon.06G0002190-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MCPNASTPATGDADADADTGGATVLAKLHASRAAIVSVLSAAAEAEVEIDAIGDRLDELLSSASPSSSHNLQSQAVAARALSARIDRTVAPAEPLLAAFRRVSALAEDAAPPANPGDAECAVAFVDRVDQLRDAIEEVVARGEEAVRRVEEAVGFLGRTKAAGRGRVRRLTEAAAALRAVYETEAEEMRFEGPLDDALLGLQELFEALLLRLKHPAPVDDDVAGAEGGTAGYELGTDDQVEAAARMARTLAGNDCLDICLDIYVTTRYRRAAKAMMRLNPAYLKSYTLEEIDAMEWESLESAMALWSPHFHVAIASVLVAERRLCARVLEPLPPAVWPECFAKIAARIVAAFFRFADGVAAAAREPQKLFKLLDMLDAVLRERERLDELFSSESATLVAIRERTREVERALARAAAGVFFEFGLRIETLYVTGAGAEAGHVPKIVRYAVNYLKCLASDDYRALMDTALRADLERGDEDDDAGKGDRAPLAEAAASVLEALHRHVEEARRMCPDTVASHVLAMNAYWYIYMRSRGSELAKLVGEDTMRRRYKAAAEEAAWEYQDAVWTPLVRLVSGSSSGAPKTWPPDDAREKAVAFADKLEERVRRHGAEYKIPDGDLRGQIKVATAKAVRGAYAGFLKANDKALAGGRKQLLPLDIIEGMVGRVFDEMSDGAAGS >Sspon.03G0035900-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:49059432:49063192:1 gene:Sspon.03G0035900-1P transcript:Sspon.03G0035900-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIDGEEAPPLLLDEAARPRRVALFVEPSPFAYISGYKNRFQNFIKHLREMGDEVIVVTNHEGVPQEFHGAKVIGSWSFPCPMYGKVPLSLALSPRIISEVAKFKPDIIHASSPGIMVFGALAIAKLLGVPLVMSYHTHVPVYIPRYTFSWLVEPMWQVIRFLHRAADLTLVPSAAISKDFETAQVISANRIRLWNKGVDSASFHPRFRNHEMRVRLSDSEPEKPLVIHVGRFGREKNLDFLKMVMDRLPGVRIAFIGDGPYRGELEKMFEGMPAVFTGMMQGEELSQAYASGDIFIMPSESETLGQVVLESMSSGVPVVAARAGGIPDIIPEDQEGKTSFLFTPGDLEDCLGKVQQLLMNREFRDNMGQMARSEMEKCDWRAASKTIRNEFYNAAIWYWQKKRAELVKPLQWLAQMFLPAPEVRSITQC >Sspon.04G0010300-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:30908249:30915744:-1 gene:Sspon.04G0010300-1A transcript:Sspon.04G0010300-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RMRRLLPPLAVNPPPCLPAPPPTAAGGSALAFPELIPLVERAISTGDVLRLGRAVHAHLVKTALTSHTLLSNRLVALYSRLPSPAAAVSAFEDLPHKNAHSYNTLLAALLCRPDTFPDALHLFDTMPADSRNLVSYNTLMSSLAHHGRQVEALRVVARLARDRFLGPGLAMDRFTVVSVATACAGIRAERPLREMHCAVVVSGMELTVIMANAMVNAYSKGGRVEDARHLFNQVSIRDNVTWTSMISGYCQVKKLDEAVQVFDMMPDKDRVAWTALISGHEQNGEEDTALELFEGMLAEGVSPTPFALVSALGACAKLGLVTRGKELHCFILRRSIGFDPFNIFIHNALVDMYSKCGDMTATMAVFRRMPEKDFISWNSMVTGFSHNGLGKQSLAIFEEMLVAGVRPTHVTFLAVLTACSHSGLVSNGRLILESMEDHGVEPRAEHYAAFIDALGRNCQLEEAIEFIKDLPSRIGPGTVGSWGALLGACRLHGNIELAEEVAEFLFKLEPGNSGRYVMLSNIYAAAGQWDDARRVRGLMKEKGLKKDQAYSWIEVRSVKHVFVADDMSPANRSCTAASMGGATNLPPGFHFFPSDEDLIVHFLRRKVANLPCRPDIVPTILLHRYDPWELKGTALQAGNQWYFFSHAAQSRTSPNGYWNPVGADETVTSSGCIVGMKKTLIFCTGEPFKGFKTNWIMHEYHLQDGGYNVSGSSTPSSSSSSRKSQRKRLHSSQESNSWVICRVFESSCGSQVSFHDEGTELSCLDEVFLSLDDYD >Sspon.01G0047140-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:100954600:100960264:-1 gene:Sspon.01G0047140-1B transcript:Sspon.01G0047140-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQEFQTLCVCGRLALVCIALRVGKKQEATPGDGSGGGGAHFLRDGGACFLLDGGAGHCLMSRRLLEYEASTPTRAIASISTPSPLTRSRKRMLELEGNIEPVQCLALSPDPAHEKGKPKKLKVVRSEKA >Sspon.03G0026030-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:6335122:6338186:-1 gene:Sspon.03G0026030-2C transcript:Sspon.03G0026030-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCTTSHDAFAAAVTSSSSRARARRASASGGDPAVLCRERVALIRAAADRRYALAAAHAAYFRSLAAVGDALRRFAASALAPATPGSSSPVLTLPPSPAKPVAASASVPPSPSSSSSTVSPLSHSLSDDDLHLHDLDDTRHGGGGGGSEEASTSTSTRYHHHFMRRSSTVPTVVYEDPDAQTQYTTAEASYGYAYGDGYGHAYSYSYGPAYPYGPYGEVIAGETPEAAPRHPGPPPSPPTAEASPWEFLDPFAQYDQFMEDYAGGNLPTNSPNYAELRRVEGIPELEDEAELERKAEKSKPSTSGVSDQDIKGKGPIQDNAASNVDFSGDNKLQKNANSKGDSSGGKLQRDATSISDSPGGMLQMKGSEPLPGGKLQRKGSEPAADAESEGGKPVSGNDSVPSNASSKRGKKNTVSLKGTVSSDIDGSSTSGKKKGVAFEAEQSIKAAEGGGESHGKSIQSVVSSEPFSPLHHGTRDVREAIDEVKELFHEAANCSTDVSRLLEVGKMPPRDTPRVLRYISSRVMDPLGLTVSTSSCLPKSHGTKSRASSSKASTSASSGAGHSNGIGHLSSTLEKLWFWEKKLYQEIKDEEKLRMKYKKYYRRLKSMDERGTESSTIDSVRLSARHLESKISINISTAKAFSLKIQDIRDEELYPQLVDLIQRFRRLWKAVLECHEKQLLAIQDSRIHRLKAMTVSESGVASEASRDLERELTKWYRCFNKWMSSQRSCAEALNEWLKKWIPEVQQEVTADGAPPFSPGELGAPPVFIISNDWFQAIEMVSKNDVLRTINQFSKVVHEFKKSQEDEQRQKRKADHASKDYNRKCKDLEIELGPSTMENPRYSHDNRVMDLEKLRKRRDEESTRHDKTLSHAHVAASATLPIGLVPVLQHITSFFQKNQRVYMQIRIQGA >Sspon.01G0002630-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:62323120:62323686:1 gene:Sspon.01G0002630-1P transcript:Sspon.01G0002630-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ELHGGVDERADADGAAAEPDAAREHVEALEAGGGFRDAPLLGLHPELHEESHEARVRPHQRDGELQRVQSDARHHQGRAAVLPKDQHQRPRQRRVRDARRGEARAELPRLHEPEHLRREAEGDVEELRLLHHDIAHYLGGAGGDSSQCKLPLIPSVG >Sspon.03G0007750-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:28050415:28051773:-1 gene:Sspon.03G0007750-1T transcript:Sspon.03G0007750-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding STTSITEKGKLRSWVGPNGQYYRELPCPSCRGRGYTPCKECGIDRSSLDCPMCNGKGIRMCLQCSGECVIWQESVDEQPWEKVRSSSPLKVKEDDEVDKLEININNPKRSKRTYASPSPEVAMKISRSLRSLNAQTGLFTKHMKLIHQDPELRAQRVAAIKRTKGTAAARKRASETQKAFFSDPENRLKRSIAM >Sspon.04G0023090-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:10455963:10457633:-1 gene:Sspon.04G0023090-1B transcript:Sspon.04G0023090-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMRRAARFAMGLHVPRNAPAARSLHASAGSKKIVGVFYKGGEYADRNPNFVGCAEHALGIRGWLESQGHQYIVTDDKDGPNCELEKHIADAHVLITTPFHPAYVTADRIARAKNLELLLTAGIGSDHVDLPAAAAAGLTVAEVTGSNTVSVAEDQLMRVLVLMRNFLPGHHQAISGEWDVAGVAHRAYDLEGKTVGTVGAGRIGRLLLQRLKPFNCRLLYHDRLRIDPALEAETGAQFEAELDAMLPKCDVVVLNMPLTEKTRGMFDKERIARMKKGVIIVNNARGAIMDTQAVADACATGHIAGYGGDVWHPQPAPRDHPWRYMPNNAMTPHISGTTIDGQLRYAAGVKDMLERYFKGQDLPVQNYIVKAGKLAGQY >Sspon.01G0014690-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:21199196:21200482:-1 gene:Sspon.01G0014690-3D transcript:Sspon.01G0014690-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEIMNKVGAYWLGQRANKEISSAGDDLEVLAVDQRRGRGEMAGEQAERQDAEAAGGAAQGARPPRGPVPARGDQLRVRAGDAAPDGVHPVPCEVGYRDGSELRFDATVSGTLGDGRLTEVEGIKTKVLVWARVTAVKADAAKVHFTAGIKRSRSRDAYEVVRGGITVDEF >Sspon.02G0014160-2D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2D:30835557:30835886:-1 gene:Sspon.02G0014160-2D transcript:Sspon.02G0014160-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MWAMWPSSTWARAEKDHHAPFFGWLISHHLIATDDGSHCPLGSMNSASPLPLRYLQTRAMGYGRPLFASIQTKQAGIFLPRTMMDMDPRLYALLASIGCHAMHPAAIAK >Sspon.07G0015710-6P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7C:61874499:61874729:1 gene:Sspon.07G0015710-6P transcript:Sspon.07G0015710-6P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTAAVTIAPGSGGAKTSWPEVVGLSIEEAKKVILKDKPDADIVVLPVGSRVTDDFLPNRVRIFVDTVAETPRVG >Sspon.02G0033530-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2B:7362161:7363583:-1 gene:Sspon.02G0033530-1B transcript:Sspon.02G0033530-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYARKWAGMRRRNGLRAEVGLCVEQTSQGVSRCAASSLYCPPTCTSKAQRSAVGPARSGTRLPSSRGARLPALPSTVRGCGSCLPVVSRSYYHQPAAYERHRCPYLR >Sspon.01G0026080-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:88927141:88937748:-1 gene:Sspon.01G0026080-2D transcript:Sspon.01G0026080-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPFCCAPLVPVSPAASAASAGAASSAVAGAPAVMPPPQPPPVPPPPPRSNSAPIGERRARAAGVSGGGGGGSSPPERGEAERDRRRRHLGNPVQVGQLRACGWRPRWFALHDGVLSYYKIHGPDRIVLSRETERGAKVIGEDSLRRLNRTSASSPSHSNGHHQPRNPIGEIHLKVSTVRESRSDDKRFSIFSGTKTLHLRAETREDRAAWLEALRATKDMFPRMSSSEMVGPGDTAAAVAVSTERLRQRLQQEGVSEAAIADSERIVRAEFEALHKQLVLSKQKNALLLETLRQLETEKVDLENTLVDESQRQSKEYGSASKPRHEKYSEGSASESDDYNEPQDPAEETDDDENLYFDTRDFLSSSSFKSSGSDYQRSDAGSDDEDDYPMDGIDPSMKSIGISYPYVRRRKKLPDPVEKEKGVSLWSMIKDNIGKDLTKVCLPVYFNEPLSSLQKCFEDLEYSYLIDRASEWGKRGNSLMRILSVAAFAVSGYASTDGRSCKPFNPLLGETYEADYPDKGLRFFSEKVSHHPMVVACHCEGTGWRFWADSNLKSKFWGRSIQLDPVTTSIYNLILGKLYCDHYGTMRIQGNREYSCKLKFKEQSIIDRNPHQVQGVVQDRNGRTVATLFGKWDESMHYVMGDCFGKGKGTEQFSEAHLLWKRSKPPKFPTRYNLTRFAITLNELTPGLKEKLPPTDSRLRPDQRCLENGEYERANAEKLRLEQRQRQARKMQESGWKPRWFAKDKDTDTYRYLGGYWESREKSSWEGCPDIFGQG >Sspon.01G0028010-3D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:93554362:93555804:1 gene:Sspon.01G0028010-3D transcript:Sspon.01G0028010-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSENLLFHLPFNFNFPAVHDQLHLHRISRSAEQRAALITLGAARPSITPEKRQGVFLSESEVKSIDLLLPLAYEITRRMILRQFGAAQLALARQCCPKIIERIIHQVIINCQSFTLIGVAGSLVGSVPLFAEGCAVVMKSFFMRFHAMSRTVDQSETIRLLIEALDIFLIGTALLTFGMGLYTMFYGSQSIQKQARHVDTSHLGAFNLKKLKEGARIRSVTQAKTRIGHAILLLLQVGVLEKFKSVPLVTGLDMACFGGV >Sspon.06G0009690-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:51706938:51709158:1 gene:Sspon.06G0009690-1A transcript:Sspon.06G0009690-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKRCADILMRLRRSKKSVWFNSPVDVEGLKLHDYRAIIRSPMDLGTVKQNLTAGRYPSHDAFAGDVRLTFNNALRYNPPDHHVHRYAGNLLASFEGMYKEAVSWFEQQRQQLEPPMQLDLPPPPPPQLPVSVPVQAPLRMGGGRRPKPKAREPNKREMDEEEKQKLRVEIENLPEEKMLNVLQIVQKRNSDPALTGEEVELDFDELDVETLWELDRFVVNWRKALKKSQRNSMMNGDAAAMNGHAIDVTIVPDDDDMVEVAVNPSVVVEIGESETDIPKKREMEVEDEYVDIGDEMPTVNYQSVEIEPDSPAASSSSGSGSGSSSSSDSESDSESDGDDASAPH >Sspon.02G0039550-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:15487907:15488988:-1 gene:Sspon.02G0039550-2C transcript:Sspon.02G0039550-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQARVHQLLASLASIYLILAVTQHVIVTGILTDDLEIMWGNAKFVTDSSGQQAIALALDRSTSSAFRSKKTCQFCRVDIEIKLVPGNSAGTVTTFYMITENPWQFHDEIDIEFLGNSSGQPYTMHTNMYARGQGDREKQYKFDFDPTQDYHKYTIIWNRDWILFLVDDKLYRQIKNNQIYGASYPYYYPMRVYATIWNADEWATQGGRVKTDWSQAPFTAYFRNYRAISCDMYQASPLCLPGSGWFDQQLDESRKQQLAQVDSSNKIYDYCTDSKRYKNGLPKECGVN >Sspon.02G0008400-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:24691997:24692534:1 gene:Sspon.02G0008400-1P transcript:Sspon.02G0008400-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPEYAMEGVFSIKTDVYGFGVLLLEVVTGTRRSSTSSTTGFQNLIVYAWNMWKEGNARDLADPSIMDTYLLDEVLLCSHVALLCIQENPTDRPLMSSIVYSLENGSTTLPTPNNPGHYGQRIGDMEQIRDENNSMNFLTLTTIEGR >Sspon.01G0039800-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:7014818:7016531:-1 gene:Sspon.01G0039800-3D transcript:Sspon.01G0039800-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASALFSTSLSPRFIALSSTKPAAPAASAFLPLRPPLRTVSAPGRRVFEPVAVAVSSEYETEGAEQEEEGAEEFSEDLKLFVGNLPFSVDSAQLAGLFEQAGSVEMVEVVYDRMTGRSRGFGFVTMSSAEEAGAAVEQFNGYTFQGRPLRVNCGPPPPRDEFTPRAPRGGGGGGGSFVDSGNKVYVGNLAWGVDNSTLENLFSEQGQVLDAKVIYDRESGRSRGFGFVTYGTVEEVNNAISNLDGIDLDGRQIRVTVAESKPRRQF >Sspon.03G0029710-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:23887976:23892781:1 gene:Sspon.03G0029710-2C transcript:Sspon.03G0029710-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAEVYSPTAAAAAQQQQRGKAASQAWRAVVGWIGFLLQVLLQILRGTPSCAQLLSFVGFRYPLISGPAASEPSPEVAFMPLRSEIPADAAPAPTPAPEPLGRLTVVLDLDETLVSAYESSSLPAIVRTQAVEAGLHCFDMECISSDKDVEGKQKVNHVTVFERPGLHEFLQKTSEFADLILFTAGLEGYARPLVDRIDVHNRFRLRLYRPSTVTTEYREHVKDLSCVSKDFGRIVIVDNNPFSFILQPLNGIPCVPFSAGQHSDDQHWVDLRASFPRLLKQLGSAVELPGLHPYGQDSGVSDGIGLDANGLHVLHQPPGFLVLQRLAVDGNHGVVRHHVRFDAATSATISIGSAAHEVEQVNRLAEQPGIAVHTEHGVVGHHAWRHACPAHGPEQPVRLAREVQLPVRVEDDVEHREVRLDARHGAHVREQRHGREVPPPSRQRGEDGGVGLRVGRDAVGRHVVEQQPLGVADKVGLAVGGDGGVVRLEVGPDPRGAQPGEERQRLGRVATAEGEVDEVGEEDQVRSHGVILHERQEGERVVEVARAGERGEEGGVGERVGGHAPLPHLEEEAGGEAELASAAGRAEE >Sspon.02G0023700-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:80925282:80928358:1 gene:Sspon.02G0023700-1A transcript:Sspon.02G0023700-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPAAAAAKGKDGEDGPVTGDMVSAGFAELERQQQLLATCTRLYQQLADHFGSLERGLAARSEQLRARRRAFDARTHRALDSLHRREASIDDSVSRALDHLHSISASAASSKAAAAAAPTPTPSDSAEEEGLAESLRALCLRMDSAAFLGFVVARRKEADALRAEMPPALKLCVDPAKFVMDAVADVFPVDRREVPRNPADLAWACVLILEAAVPALADPDPGIGAARPLVPRAARERARGIAREWKEAVEKKGGVEGAKPPDAHAFLQHVATFAVAEREDRPLYRRIVVSFSWRRQMPRLALTLGLEEEMADIIEELIAKRQQLDAVNFAYEAGLQEKFPPVPLLKSYLEDSKKTSTAASDNSSTSSGQSGSNANKKEQSALRAVIKCVEDRKLEAEFPLEDLRKQLEELEKAKTEKKKAASSATSGGSSGPATKRIRASNGGPMPPAKAGRLTNNACMSSFPAPTTFTQSPSHASYATTSPSHTSYATTSPSHTAYATTSPSHASYATASPSHPSYATASPSHPSYATPSPYPYDRPVGHGLYCNRSPPVIREPYVYPAEEVASVNVGIPMPYSTPPMSYPAPYGGYGNGMAAYTNGMAPAFHQAYY >Sspon.01G0016380-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:51600003:51601583:-1 gene:Sspon.01G0016380-1A transcript:Sspon.01G0016380-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MERERLTAELDLAVTAAEPSSFVVKIRRGLPDFARSVNLKYVRLGLRSGGIPAPSSWVPLALAPLLLAAAAYSLVGADKLYSLDLLTCVAWLAAAVLLLTVYFLKRPRPVYLVDFACYRPGDEHAISKEGFLDMTESTGCFNAEALEFQTKITRRSGLGNRTYLPPGIQARPPRLSMAEARAEAEAVMFGCLDALFAATGIDPRRDVRVLIVNCSLFNPTPSLASMVVHRYKMREDVKSFNLGGMGCSAGLIAVDLARDMLQANPGCYAVVVSTENITLNWYFGNDRSMLLSNCIFRMGGAAALLSNRRADAGRAKYRLLHTVRTHKGAADECYGCVYQREDGTGRVGVSLARELMAVAGDALKTNITTLGPLVLPLSEQLKFLRSLLLRRVLRSRGVRPYIPDFRRAFEHFCVHAGGRAVLEEVQRSLGLRDADMEPSRCTLHRFGNTSSSSLWYELAYAEAKGRVRRGHRVWQIGFGSGFKCNSAVWRALRDVPSVHVDGAGGGSSNCNPWVDSIQSYPPKAYI >Sspon.07G0013110-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:54087721:54092338:1 gene:Sspon.07G0013110-3C transcript:Sspon.07G0013110-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPAVKVYGWAFSPFVSRPLLALEEAGVDYELVPMSRQAGDHRLPEHLARNVQSRAIARHVLRKHKPELLGAGSLEQAAMVDMWLEVEAHQLHPAAIVIVVHCIFASYLGLERNQAAIDENVEKLKKVLEVYEARLSESKYLAGDFLSLADLSHFTIMHYFMATEYATLVEALPHVNAWWEGLAARPAAKKVAEFMPVDAPGSPKKQE >Sspon.06G0007650-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:34728138:34736781:1 gene:Sspon.06G0007650-1T transcript:Sspon.06G0007650-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSSPAMASPPLAAPGTHRGPGFSTLCLSPSPSWYPPLSASSSSAISTVSASTGLKPKPRGLGLRCQAAEESPPPVRGKPPLKVMISGAPASGKGTQCRMIVEKYGLVHISTGDLLRAEVSSGTEIGKKAKEYMDNGKLVPDQVVTDMVVSRLSQLDVQERGWLLDGYPRSFSQAQSLENLKIRPDIFIVLE >Sspon.02G0005290-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:16681659:16681943:-1 gene:Sspon.02G0005290-1A transcript:Sspon.02G0005290-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding PWRRTGTKRTRPRRAVASLPWTPLRAALPHPPPRTPHKQWIRPRESSHRWPPRRSVRSTS >Sspon.03G0027680-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:6555638:6557524:1 gene:Sspon.03G0027680-1B transcript:Sspon.03G0027680-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPTTRNLLHHDGKSSRGRSCYHPGQYYVGIAAQLEASAASARQQDQSKPSRSPRLLAMADDDESAAAAAGPGTSSPGGAGAANDEGDWLQLGLAAAAASSSSSASSSGDNTSTDPAPAPAPAPPAPMELDLFAYDKRNARMRPPLFPLPLRSYHQSYGGGRGRYRPAAAASGSMSAPFLPFMPPFRCSGDTIRVISPPRRTETPGLWLTLQAAPNQIREPILPQIAKSYLRIKDSNMKVEVVMKYLAEKLGIARSHQ >Sspon.06G0023270-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:40660694:40662380:-1 gene:Sspon.06G0023270-1P transcript:Sspon.06G0023270-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMPQQQPGVAPPPPQAAPGAPPHWGAIPPPMAPQHQYAPPPTQQAPPPPQMWGQAPPPQAAYGQAPPPPQAAYGQAPPPPQAAYGQAPPPPQAAYYGAPPAPAPAPAPMAAAPAGPSEVRTLWIGDLQYWMDENYIYGCFASTGEVQNVKLIRDKHTGQLQGYGFIEFISRAAAERVLQTYNGTMMPNVELPFRLNWASAGCKVVTDKLTMRSKGYGFVKFGDPNEQARAMTEMNGMLCSSRPMRIGPAANKKVTGVQEK >Sspon.03G0023490-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:89956860:89958173:1 gene:Sspon.03G0023490-3C transcript:Sspon.03G0023490-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding EARRTGRSLLASFVLAALAAQALVAVVESRTGSMEKLSQGKDVKKPDCVPGMDPRSFPGTGGGHGITPVTPSHGGSGSPGTTPSHGGGGYVPTPSHGGTLPSPSHGGSGSSPTSPSTGGGGYGGSPSTPDGGAYGGSPSTPGGGGAYGGSPSTPGGSSGTYGGGSPSPAHGGAYGSSPTPAYGDSPSHGGIGTSSPTPFVPVDPHSFGTCECWRSHPMEIWSAIGGRFPSTSSSMGTMGHFFGTAAGSMGGADVMSIQDALANTRADSTGALLREGAAALLNSMTRAGFPYTTEQVRDAFAAAASGGSDGAAAAQAAAFRKANEGKA >Sspon.04G0028970-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4B:69416603:69416842:1 gene:Sspon.04G0028970-1B transcript:Sspon.04G0028970-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAQIRSRATPNQILHRSPFSVLKEQQLVTPDRGAGGSNHRCWPERRGARVLRLAGALLLAVRKGARPHRQGKQWHPSPA >Sspon.06G0035460-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6D:75345386:75346809:1 gene:Sspon.06G0035460-1D transcript:Sspon.06G0035460-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTTDHGSRRWSDLASSLMAPFDESVLMWHVATDLCYCVDKPMLKAAAAAVGGGDEGSCTNKTRQHNAYLSRKISKYMAYLLFIRPEMLVPGARSGLFNIASDHIAAILEKSDSKGGVPMTKAQGIAQSVIDIEDILPPSKQGDKKFSHLLIHAKKIAEALGALRADDRWQVIDGVWVEMLCFSAARCSGYLHARSLGHGGEFLNYVWLLWSAMGLETLADKIIMTEPPGDEERRKEQEEEEEAIREEERKESAARASATRVDSKSSEPTGDLRTGKISWALLDTHRTSGSKSKSRKHHGTTRGQLSAPRPRNFSA >Sspon.07G0021650-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:3530229:3536691:1 gene:Sspon.07G0021650-1B transcript:Sspon.07G0021650-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein ROOT INITIATION DEFECTIVE 3 [Source:Projected from Arabidopsis thaliana (AT3G49180) UniProtKB/Swiss-Prot;Acc:Q9M3B4] MAPPPQPKQLVLAASSADAGVAAWDLRTGAEDIRLRPCASRPRSLTSVADRFLAAAQALPAGGNSGTIHFYHWEKPQVAVKSFPAEPIRALIADQEGSYLIGGGSNGNMFLWEVSSGELLHTWHAHYRAVRCLALYDYLLVSGSEDGSIKVWDLITVLDEQSRLEAQTPYMYSFSQHALPVTDIACFLGAIAVSSSEDRTCKVIGSVALDPRSHIFYAGGRDGKIYITAMGVDLSFRGSDESSILGTLDDHSKAVTSLASSTDGLLLVSGSEDGNVRVWDTRCQQVTRKFKHSQGPVTNVLIVTPQRVNLPPLQPLRKVCSANGEAERRAVVLPRPENDVPIPGNKTSIFMESYLDELQARDGELRRLVRESGAPPDPDLELEN >Sspon.03G0003360-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:18020914:18027956:1 gene:Sspon.03G0003360-2B transcript:Sspon.03G0003360-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRVQLVLLGLPILLFCSDVVTLFAPLPPAATEPDKAPHAFQPGDPSAAADASTHVEPQVDGPGSGTTVDLKFCASCSYRGTAMTMKRMLETSFTGIHVVLENYPPPFPKRALSKAVPLLQFGAMATLMAGDQIFPRFGMVPPPWYYSLRANRFGTMASIWLFGNFAHSFLQSSGAFEVYCNGQLVFSKLSEQRFPSELELQELIGSRIPDSPILENLEKDLVLDDADADDAMRD >Sspon.03G0036060-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:51770912:51776002:-1 gene:Sspon.03G0036060-2D transcript:Sspon.03G0036060-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding WRRSRRRRRRSCRTPRSGRRWTAASARKARPSSRAAPAGPASRPRSASPKGVRTEGGAARRSPARSAPRRRAAWSSSPTRSRSRRPSRTSAATD >Sspon.06G0008030-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6A:41986691:41988358:1 gene:Sspon.06G0008030-1A transcript:Sspon.06G0008030-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAARLLLRVLALLAAAQAVVGKSALLSLRELDGRRGAATESRYADAKLAQMLGEHKKAGAARTATTVLELKRHSLVAIPDDPAARDRYLRRLLAADESRANSFQLRNNDRAAAASTQSASAEVPLTSGIRFQTLNYVTTIALGGGSSGSPAANLTVIVDTGSDLTWVQCKPCSACYAQRDPLFDPAGSATYAAVRCNASACAASLKAATGTPGSCGTTAGGGNERCYYALAYGDGSFSRGVLATDAVALGGASLDGFVFGCGLSNRGLFGGTAGLMGLGRTELSLVSQTASRYGGVFSYCLPATTSGDASGSLSLGGDASSYRNTTSVAYTRMIADPAQPPFYFLNITGAAVGGTALAAQGLGATNVLIDSGTVITRLAPSVYRAVRAEFTRQFGAAGYPTAPGFSILDTCYDLTGHEEVKVPLLTLRLEGGADVTVDAAGMLFVVRKDGSQVCLAMASLSYEDQTPIIGNYQQKNKRVVYDTVGSRLGFADEDCNYV >Sspon.02G0010810-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:29237998:29245824:-1 gene:Sspon.02G0010810-1A transcript:Sspon.02G0010810-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to Meiotic asynaptic mutant 1 [Source: Projected from Oryza sativa (Os09g0506800)] MTPTPSCRLATRGARAPARLLLGWAGQPAAPASGQTPPHGFLYHLTAAAGSVEVAAASRQHREVVKKAMRRCGEMSQRLVFSEPRVFPEFKISNEKTSSLVFSSTALPHACGAAAINPTLYKYPQIPHHTITTLLSPLPLPPHTRAAQAARTRQNGGWVCPDRGCAAPMQVMAQKTKEAEITEQDSLLLTRNLLRIAIYNISYIRGLFHEKYFSDKSVPALEMKIKKLMPMDAESRRLIDWMEKGVYDALQKKYLKTLLFCICEREEGPMIEEYTFSFSYPNTSTEEVAMNMSRTGSKKGTTTFTSNASEVTPDQMRSSACKMIRTLVSLMRTLDPMPEERTILMKLLYYDDVTPEDYEPPFFKCCADNEAINIWNKNPLKMEVGNVNSKHLVLALKVKSVLDPCDDDNVNSGDDGMTVDNESDHDDDFSDTEVHPSKADHYVIAPNDDTQDAAHEEELTSQVKDWICLRDNGAINVSDVLSNFPDIATVIFSDIMERLLKDGVLSRASKDRYTVNQTYERFQKTDPKTPHIKKETIMADVPSTEAIDTNTEHAEPEHKDLLRDHEVRDGSTMGCFHSIGSDLTRTRELPELQQNVSMQSGQEASAVGKDPSRTPTSVREPAVPVCSLESGVLGKRIKRSLTGGSEMQSTQDKRSRKASMVKEPILQHVKCQNPQAQ >Sspon.07G0021670-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:4764889:4765707:1 gene:Sspon.07G0021670-3D transcript:Sspon.07G0021670-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIGAPPDDSRQRPADHAANILENVWATIMTGSATPASSSTAASSEVGEERPPEAILERLPSLGRWISMGAEEWDELLLSGTALASDDTASGELLAASPANQQADRQHGHRASSSSAAAAACKSYRGVRRRPWGKFAAEIRDTRRKGARVWLGTFATADEAALAYDKAALRMRGPRAHLNFPLDVVQRELQAAAAGDGCAETTRVLRRKRRRANHDAAGDDTRSHVSVMAAATGRDPTMVSFACAKKDQGTPPSMMPERSISDPGAVIDFEDI >Sspon.01G0028260-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1A:98829101:98829544:1 gene:Sspon.01G0028260-1A transcript:Sspon.01G0028260-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKIGPDLAQIASHICHSAAHHVFAVLPTRLPCASSRPPWTPRVHLLAAHPAVPLLLLNGRQAAPGTPHPLQHHLPPSLSRSRSPLPHAPSTPAMDGRAELAAMSSSLLRTSSALASCALVFLTPRRSSRALFPAPLAVTAPRRWSS >Sspon.04G0021670-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:769462:774385:1 gene:Sspon.04G0021670-2D transcript:Sspon.04G0021670-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLPFLLGFLIGALALAALEAAALLLLLRRLRRRQAAPEDAPPAADELPGERPFPYEKQGSLWILEPEKMPKVNERLSVGGPKETKDKKNIVEVFPAKKMAKIKGHSLCLSGPDGSQATIELLNCTVLAVSASSMPSRKWAKRYPIKLESKDNEIYNGSKVCYLYTDTSWEKESWCKSLRIAATADKEKLNWHVKLSEKFLNYISSLNSEYPCFLKPPMLSGEDHEVMDRASKTDGSSKVRLFLKKLAKKASTKAPLEGKTSSGSSVQGEKKILDKLRSYQGAPFIEALIGPQEDKLGSSSSQDTVKATAPPAALSQTGQLSTSLEVNADDRVADEGTLCWNLLSSRLFFDAKMSDEINKAIKARIQRTLSNMRTPSYVGEITLTNFSLGELPPYVHAMRVLPLDLNELWAFEVDFEYSSGILLHIETRLEVQEPELQKDIMKSNFGADANGEVDSDLLESIEQYGNQFKGSHKSASSTGESDEADASSESKSTGWTSAYISRWKTILHSIADHVSQVPLSLAIRISSVRGLLRIHMKPPPSDQIWYGFTSMPDLEWDLESSVGDRKITNSHIAALIGNRFKASLRDSLVLPNCESISMPWMLAEKDDWVPRKDAPFIWLNHEPTEMRSQATVTPPAHPEEGGANDDASSKRPMTSLPNSSGGSEESLRAVASIDEAKQEPMAEASLHSQSSSAPVSESVHSDGNEELRKPLLIAEKLQEDAPESRVMSPMSTSLRAVIPAGEQPQVSASPIGEDASEKAGGELG >Sspon.06G0002790-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:6034471:6037842:1 gene:Sspon.06G0002790-4D transcript:Sspon.06G0002790-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLSWRTACCLSVLCAVLLFLRPAEGIRFVIDREECFSHNVEYEGDTVHVSFVVIKADTPWHYSEEGVDLVVKDPNGAQVRDSRDKTSDKFEFIVQKRVNENMSRRAVHKALFESAALIAASVIQVYLLRRLFERKLGTSRSYYYPTATRFCLYKIEFSVPV >Sspon.03G0002620-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:6400511:6402310:1 gene:Sspon.03G0002620-1A transcript:Sspon.03G0002620-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAETAVSPAPQPQLQPPSSPNAAADADAAHSNSLSMADETQTLTEALLQPQPNHLSPPPSGEEDDGVTASGAPVGGDAAASAAAAAAANATMEERVRGPWSPEEDAVLSNLVEKLGARNWTLIARGIPGRSGKSCRLRWCNQLDPQVKRKPFTEEEDRIIMAAHAVHGNKWACIAKLLDGRTDNAIKNHWNSTLRRRYCNDGRCKHGGSVQRSIPEVSRAVSEEPWPLKDLSSFTAMDVRDAPVQTVPETSAGSWHITDQCSMTQGVDPPYLSRPAAKIGAFRPYNLGHTEPTQQEMPSSVVKSDSTPKVLTPESEVFKFVDPICFAAEVPNKCGHGCCSAHEQPHKNSLLGPEFNEFEDHPPILNTSFASLVSEISSIAWMRSGMQSSDASTLFQSVPPT >Sspon.06G0000580-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:2765912:2767789:-1 gene:Sspon.06G0000580-1A transcript:Sspon.06G0000580-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MITTAHSRQQHHAFEKSPGNNHMKSIDRKLQQAMNQAASKYMQRIYPLGIQRSSSNLTLSSLSLSQNSNDSSISSSNSSWEPKVPLLYGGTFSPWGDVMVSREMRREDDKVSDHDVEGGEEDFDCSEPGSLHRCSWITKNSDEAYVQFHDECWGVPVYSDNRLFELLSLSGMLIDHNWTEILKRRDMYREAFADFDPSAVARMDEDDVAEISGNRELRLAECRVRCIVENAKCIRKVAREFGSFSGYMWGHVNHRPVVGKYRHHKYIPFRTPKSEAVSKDLVRRGFRLVGPVIVYSFMQAAGMAIDHLVDCFRFHDCVRLAERSWGITNVAA >Sspon.03G0023640-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:56877573:56881244:-1 gene:Sspon.03G0023640-4D transcript:Sspon.03G0023640-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAAPSLYRRALPSPPAVDFTSPDGKRLFSEALEGGTMEGFFGLASCFQTQSEPAFCGLASLAVVLNALAIDPGRRWKGPWRWFDESMLDCYEPLDKVKAKGITFGKVACLAHCAGAKVQPFRAHQVTVDDFRRHLVRCASSTDCHLIASYNRRHFKQENVLQQVRGTRLFMIVHDLLCANIQCGNCSPSSEDPVTRIAASVCCQGAAMLSRNLASSDGGDGPKTAISDFVVSEGNEPGVDMLLPMSHHSWCSYNSSTRDEIVKYPSSADVLTVLLLALHPGTWSGIIDERLKAEFQTLVSTDDLPDVLKREILHLRWRLYYLKACEDEEYEDRVPPSP >Sspon.05G0022730-2D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:5D:8110671:8111168:1 gene:Sspon.05G0022730-2D transcript:Sspon.05G0022730-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCIRQATIDDLLAMQACNLMCLPENYQMTCYLYHMLSRPQLLFVAEDYGGRIVGYVLAKMEEDPSEPCHGHITSLAVLRSHRKLGLATKLMSAAQAAMDQVFGAEYVSLHVRQSNRAAFNLYTSTLGYQIHDIEAKYYADGEDAFGMRKPLRQPQPKKHHHHHGP >Sspon.07G0009630-3T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:24601633:24602148:-1 gene:Sspon.07G0009630-3T transcript:Sspon.07G0009630-3T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LAHRLLLVAVAAAAAVSGAAFANPTAYEALADFDFPPGILPKGVVAYTLDNATGAFTATLDASASGTGSSVCEFSIQGSYSLRYQTKITGKITPDHLSDLQGVSVKILFLWINIVEVTRRGDNLEFSVGIASADFGIENFLECPTCGCGFDCNDLLMLQKPGAATAKLRLRG >Sspon.01G0007020-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:16778435:16786170:1 gene:Sspon.01G0007020-2C transcript:Sspon.01G0007020-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding HGEFGRLLERRLQVEARSEWSGRRGFVSSFTIDDATLIKVQVFSLNEMKTATRNFHMLNCIGRGGFGAVYKGNLKDGTQIAIKKLAAESKQGISEFLTEINVISNVRHPNLVKLIGCCAEGSNRLLVYEYAENNSLANALLGPKNKCIPLDWQKRAAICIGTASGLAFLHEEAQPRIVHRDIKASNILLDKKLLPKIGDFGLAKLFPDTVTHISTRVAGTMGYLAPEYALLGQLTKKADIYSFGVLLLEVISGESSSKSTWGPNMHVLVEWLENYPEEEMLRFIKVALLCTQATSQQRPSMKQVVNMLSNQTEIDLQNVVAPGVLKEPRPRTGGFGGLTADTSSSQSTKANPAESYSTQTNMNSCQFSTTD >Sspon.04G0015590-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:66919741:66924297:-1 gene:Sspon.04G0015590-4D transcript:Sspon.04G0015590-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGADSEDAVKQLSLLMEQGACFLAGAKLRAVSRASLRLLRCSVFLTSLFLVRIFAVEAPLKRSFQNMHQGYPKETLVRFLKAREWNVSKAHKMIVDSLNWRIQNEIDSVLERPIVPVDLYRSIRDSQLIGLSGYTKENDVLLTATWVIKGLPVFGIGVGHSTYDKASVHYYVQSHIQINEYRDRIIL >Sspon.03G0025670-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:4311679:4314746:-1 gene:Sspon.03G0025670-1P transcript:Sspon.03G0025670-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSLLLPPPFVSVSPRPRAGFPVLKPRRAALLAATGGDATPTPAPDANANTNPLAALVEAPRALWRRTLQPLGDYGFGKRSVWEGGVGLFMVSGAALLALALAWLRGFQLRSRFRKYNTVFQFTQACGICVGTPVRIRGVTVGSVVRVDSSLRSIDATVEVEDDKIIIPRNSVVEVNQSGLLMETLIDITPKDPLPAPSVGPLHPDCTKEGLILCDKERMKGQQGVSLDALVGIFTRLGRDMEEIGVSKSYKLAEKVATIMEEAQPLLTRIEALAEEMQPLLSEVRDSDLLKDVETIAKGLADASGDLRKLKSSMLTPENTDLIKQSIFTLIYTLKNIESISSDISGFTGDETTRRNIKLLIKSLSRLL >Sspon.02G0028980-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2A:105190788:105191027:1 gene:Sspon.02G0028980-1A transcript:Sspon.02G0028980-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLELLKKGVIWRVGSGDTIRIWDDPWLPRGLTRRPITPRGQNLLTYVNDLINPITEQWDKELVIQTFWEEDAEAISRCM >Sspon.02G0022540-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2B:75005239:75005891:-1 gene:Sspon.02G0022540-2B transcript:Sspon.02G0022540-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDASQDVWDWEVLPDNRSFSMSHDSKNLDDQETEEHGLLPPSGDVDVHEPVDECKDIGVVTDETKPVLSVVADLMDSDDGGEEEKKAFQSPPDAKESAAADADDKFAQEEEEEDTKKEDDDDGKARPPQCVVFSVGKLKVNGIVGALCSFGVAAATVCIFLIGGRLQQHHRQQEQQKIQLQFYGDDKVR >Sspon.03G0036970-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:95911420:95914824:-1 gene:Sspon.03G0036970-1B transcript:Sspon.03G0036970-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVASLYRRVLPSPPAVDFASPEGKRLFAEALAAGTMEGFFPLVSVFQTQSEPAFCGLASLAVVLNALSIDPGRRWKGPWRWFDESMLDCCEPLDKVKAEGITFGKVACLAHCSGADENVLQQVRDTRLFAIVHDQQYANKPCCNCSSPSEEDSLTRIAAVVCCQGAAMLSGNLVPRDAFCCKETCLKCVQANGDGLKTVISGSVVSEGSEQGVDMLLPMSSPGASSCNSNLKNNVIKYPSSVDVLTVLLLALHPNTWLGIKDEKLKAEFQTLISTDSLPDDLKREILHLRRQLYYLKACKEEGCEDAEQPSPKQQS >Sspon.07G0007820-3C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7C:17098613:17099330:-1 gene:Sspon.07G0007820-3C transcript:Sspon.07G0007820-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVIWEYQGPQYAKPAEIEKLEALDEEDAYLAQSVFDHMQLNDNEVGVRVRY >Sspon.07G0015350-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:53067457:53075812:-1 gene:Sspon.07G0015350-4D transcript:Sspon.07G0015350-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSGKGRSIADIAKRWREHHGENSWKGLLDPLDLDLRKSIISYGELAEATYDGFNTERRSPHAGACMYGYSDLLASSGVAAGHYEVTRFIYATSGQPLPDAFLVQPLAALKDVWSRESNFMGYVAVATDEGAAALGRRDIVVAWRGTVQSLEWVNDLSFTPVPAAPVLGSKAAAANPLATVHMGFLSLYTSSHAGSKFNQTSARDQVFEEVRRLVELYKDEEMSITITGHSLGAAISILNAVDIVSNGVNVPAAGDGSSSTKPACPVTAIVFACPHVGDRFFRAAFQSFRDLKALHVKNAGDVVPMYPPLAYVDVAVTLNINTARSPYLKWPGTVQTLHNLECYLHGVAGEQGSAGGFKLEVERDVALVNKGADALKDEYPVPASWWALKNKGMGLLDPLDADLRRSIIAYGELAQATYDGFNREKRSPHAGACLHGRADLLAASGASAAADYAVTKFVYATSALPLPNAFLFRPLPALEDAAWCRESNWMGYVAVATDEGAARLGRRDVVVAWRGTIRSLEWVNDFDFTPTSALPVLGTAAAAHPLAMVHRGFLSVYTSRNANSNCYHVRVQVREEVTRLMELYKDEVTSFTITGHSLGASLATLNAVDIVANGINAPSSSSQPPCPLTAIVFASPHVGNLTFRKAFRSFPDLRALHVKNTGDIVPTYPPIGYFDVAVPLPIATARSPYLRHPGTLQMLHNLECYLHGVAGEQGSAGGFRMEVDRDVALVNKRVDALKDEYPVPAEWWAAQHKGMVRGADGRWTLQDFKQI >Sspon.02G0029610-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:107764679:107765640:-1 gene:Sspon.02G0029610-1A transcript:Sspon.02G0029610-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEQESHYPKTWHGRVGGALHSRDPALGRGGEGGCQRAASYAAPIERMRRPLRAAPVVEAAAVGERLQPPAVSPPGIEEGRGERPPQWRR >Sspon.07G0006060-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:14013262:14017518:1 gene:Sspon.07G0006060-2B transcript:Sspon.07G0006060-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQRAASNAYSWWWASHIRTTQSKWLETTVGEMEDRVKSMLKLIGADGDSFGKKAELYFRSRPELINHVEEMFRSYQALADRFDRISSELHKANHTIATVFPDQVQFSMQEGDGEGFPKAIGGIDLSNFKFPALEGLSMGSQSASRGTSPVPKRGAQAHRRVTSNMTKEKAQEEIDKLQKQILALQTEKEFLKTSYDSALGKYLDIEKQVAELQDEVCNLQDAFSTGVAIEDNEARALMAAQAIMSCEDTLVNLQDQKNRSTEEAKVELRRANEAIEKLKHFKNECGLPHAQMDGHDHHEIELVHALLFEDADDSALNEGRFDLQEICQRVKEIIESYPELSVAELADKVDRLVEKVINLELATTSQNAQIDRMKTEVDGLHERLHALEQDKVALVVDSSNMVDRLRKVEEMLQEVQQHWKSVQNGSENICKQMTEAIHELTEFVETLHAPEQEISEFVDSLRGSKGDASLEDDSELTSLSVQNEPSKSLHGTTSEIEKHEETSEGLVAQKQLVPKESKGEGKILLEDYASVLQSYKDTEQKLSEIEKRNQEYHLEAMSELKELKSANATKDEEIHSLRRMLSSLQKKMSASIIESIEKSEETSKISTSPTTEDKEIAKSEETSKISASPTTDDKEIAEIEEYIKQWQVDDSLASSVAEEKFRVEIDRVLGENLNFWLRFSTSYHQIRNFQISFDMLKTEMHRLTDEQEDGGTYGFAGSYQVAKLESAVLEKKFRDLNTDLQVWIEKNMLLKGEVENRFSSLCSIQEDISKITTLDKCDEVHFNPFQAAKFQGEVHNMKQENNKVAKELEAGLDHVRGLQVEVGRVLLKLRENLEVSIARSHRAQQNFRNLSTKAGVPLRTFLFGSKPKRPSLFSCMGPGVHKHHSGSKAGQSRWKLDSRIRILLLSKKETKSVFASKTMNNFYVLMR >Sspon.01G0038250-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:29259509:29259855:-1 gene:Sspon.01G0038250-1B transcript:Sspon.01G0038250-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAGDTKSKLKVGSPIVILEAPMTLKTAASVPSLRHTTTARSRPATSEGSWRGSPRTSGPCGSPSRHMHVPAGGKFFSPLDCDSGDDD >Sspon.01G0012590-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:33715007:33715833:-1 gene:Sspon.01G0012590-2C transcript:Sspon.01G0012590-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEEVGSSDSAYENIKRRVMDAARSVFRPEFMNRVDEYIVFKPLEREQINSIVKLQLARVQKRIADRKIKLEVSPGAIEFLGSLGYDPNYGARPVKRVIQQYVENELAKGILRGDFKDEDSIFVDTQVTVPSNGQLPQQNLVFRKVGEQLKTAAEGEKFLPAV >Sspon.03G0016270-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:75574317:75577386:1 gene:Sspon.03G0016270-2B transcript:Sspon.03G0016270-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MREILHIQGGQCGNQIGSKFWEVVCDEHGIDPTGRYVGTSDLQLERVNVYYNEASCGRFVPRAVLMDLEPGTMDSVRTGPYGQIFRPDNFVFGQSGAGNNWAKGHYTEGAELIDSVLDVVRKEAENCDCLQGQLNSDLRKLAVNLIPFPRLHFFMVGFAPLTSRGSQQYRALTVPELTQQMWDAKNMMCAADPRHGRYLTASAMFRGKMSTKEVDEQMINVQNKNSSYFVEWIPNNVKSSVCDIPPRGLSMASTFIGNSTSIQEMFRRVSEQFTAMFRRKAFLHWYTGEGMDEMEFTEAESNMNDLVSEYQQYQDATADEEAEYEDEEDAIQDE >Sspon.03G0045880-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:27455743:27456295:-1 gene:Sspon.03G0045880-1P transcript:Sspon.03G0045880-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AHANPIVEALMDDLGGRLGDVDALQRVQRNVDLVRAEIDEYAALHAEAAAELAQARQRLAEVPRPPEGLVLPLDDPEVAAHAAAYVEAAARVKLIKQNELLLVEALAFLAVFRALAFAFSRVHLLPGVLVTAAAAYALAYVASWGAVVPGPTSILRIAVLVLCFILGIPVVG >Sspon.05G0034240-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:52037484:52046079:1 gene:Sspon.05G0034240-1C transcript:Sspon.05G0034240-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MCHRLELVLVAVALMAASILQAVSSTATNSANLTGDDAAKTAYDVLEQNNLSRGLLPLGVKSYVLQPGGAFQVTLPGECNFFVTVAGKQFKFRFESTVSGTIKSGSISRVSGVSIQVEFAWLGINQVSRAGDQLNIQLEKSTQSFPSYVLQPGGAFQVTLPGECNFFVTVAGKHFKFRFESTVSGTIKSGSISRVSGVSIQVEFAWLGINHVSRAGDQLNIQLEKSTQSFPVSAFAQSARCS >Sspon.01G0012270-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1A:33413930:33419408:1 gene:Sspon.01G0012270-1A transcript:Sspon.01G0012270-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALGSGSWEYGRGQDNLIWTFDTFGYVDTLIRSIKDGSHHGSSPSTATTDAGMKAVPADCAASPVPATKITMPASAAGGAEAALLGKGRYKVWALAAIALLALWSMSAASVSLRWSSSGDLASVYGDLDVPLADDLDSLEMEEREKLVGRMWDMYTRTSDEVRLPRFWQEAFEAAYEELAGDDVQVRDAAISEIARMSAHKLELEQTLNENEIMMLSAVQVSAYLQIASLL >Sspon.01G0040080-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:38876136:38879068:-1 gene:Sspon.01G0040080-1B transcript:Sspon.01G0040080-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKGKFPVFSLPTAAAAHPAVAGVGLHTAAGVGCRLQPGTTAGGLLFPDPRVQVARKAPTSSAPRNQLTTPLHPPAVRLLLLCTRGAWEPIIEHQKAYASMDSGSTFYSDPKLESACFIFQRRPRFHVVVVAMEGSSMEDDSDGAASGAEHGRRSGVLILRGGRGGWLEDDSDVGRGSAGSRREGALWFLIGGSPMGTERVARPIVLPVDVDHSNFFVVEKRREEKRREEKRREEIEIEIEIEKR >Sspon.04G0025450-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:31744913:31749040:-1 gene:Sspon.04G0025450-2D transcript:Sspon.04G0025450-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKRRRLGLSPLGGLGLVLVELGEAAAGFFGRRPAISCTSGTCTSTVLHATYQPGETKRIREHTQLGNRGEKKDGRHTEEDEGEDEGDGEDGADEEDDGELRRSRQAAARHGAGGSSVPALQGGDPLARLLSLRRTRWRKQKPWLQSQRRFDFTGNASNRNRNCRIGSPADLGQPGDVLALPGSLPGKSFGAARELSDAAMAKRHERWIVEYGRVYKDAAEKARRFEVFKDNVAFVESFNANKNNKFWLGVNQFADLTTEEFKANKGFKPISAEKVPITGFKYENLSVSALPTAVDWRTKGAVTPIKNQGQCAAMEGIVKLSTGNLISLSEQELVDCDTHSMDEVPFEFVIKNGGLATESSYPYKAVDGKCKGGSKSAATIKGHEDVPVNNEAALMKAVANQPDFYALFRWCDDWLLRH >Sspon.02G0051380-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2C:76497163:76497393:-1 gene:Sspon.02G0051380-1C transcript:Sspon.02G0051380-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRNQALCRHVRNRKPSPPAMLILRLRACRRLLQLLVLISATATTTSAAAALYSSGHGDDERALVRIYMDSFSKSK >Sspon.03G0023050-4D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3D:55878034:55879804:1 gene:Sspon.03G0023050-4D transcript:Sspon.03G0023050-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RAP domain-containing protein, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G31890) UniProtKB/Swiss-Prot;Acc:Q8VZE7] MEAAIPLGVALPRGSTGICSFSVLLKSSTKPSLSCFGRVPRKLKLFPSRAVSEDRADATPQWQLDFLGASAVTPDTPEDEDEEDLLPAEATDWCVRARRSALRSIEERGLAPSLQRMVSPPKKKKKKTAKKKEFKKAAAELKRRNKQVDDAEADEDDDDDYDVVDDLQNMDDLELRVAQFADGMFDEKRQRNREAFVQTLSRFSAAPSNRSKEVSLNRSIVQAQTANEVLDLTAEVITAVAKGLSPSPLTPLNIATALHRIARNMEAVSMMQTHRLAFARQRDMSMLVGLAMVALPECSPQGVSNIAWALSKIGGDLLYLPEMDRIADVAMAKVQDFNAQNVANVAGAFASMRQSAPGLFSALALRAAQLLQTFKEQELAQFLWGCASLNECPHPLLDALDTAFQNDTSFQCHVSDLKSSAHQSSAQELSGGEGGSTSSARTLNFSRDQVGNIAWSYAVIGQMDRPFFSHMWKTLSQFEEQRISDQYREDMMFASQVYLANQSLKLEYRNLGLCLRSDLEEKITKAGKSKRFNQKTTSSFQKEVGRLLYSTGHEWVREYTIDGYTVDAVLVDEKLAFEIDGPTHFSRNLG >Sspon.05G0026570-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:37007025:37010049:1 gene:Sspon.05G0026570-1T transcript:Sspon.05G0026570-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLRLLAEVSPQDLLVSLSELQIHVHGYIKSMALKCAVDLSIPETIHRRGGAATLADIAADTKIHPAKVPDLHRVMEVLSTTGIFSATAAKDSGDAVYGLTTACRFLVGYRNLSPMVPFLVSPLVVSSFFSMSDWLRKEPAAAGSLFELAHGCSQSEMANQDAAFSSLLNDSVAADSQLFLEVVIMDKGRIFRGLSSLVDVGGGHGAAAQVIASAFPRIKCMVLDLPHVVSQATANDGNMHFIAGDMFESIPPADAVLLKNILHDWSDENCVKILQRCKQAIPSRTAGGKVIIIEMVRGSSQGDSKINEMEVIRNMFMLGINGVERDINEWKKIFSDAGFSDDYKIMPVLGPFSVIEIYP >Sspon.01G0043750-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1B:76934973:76935303:1 gene:Sspon.01G0043750-1B transcript:Sspon.01G0043750-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AAPDYWRNLDPSWKHTGPPMRRYFHPDGYHSADPHDAVWGGHECTYTVITSFVDDGQIREHYVRINRWPPMKVSRKDDWSWELSNHLYRYNSIPDSDKKGCTGPLFPVW >Sspon.02G0052030-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:78900258:78901634:-1 gene:Sspon.02G0052030-2D transcript:Sspon.02G0052030-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMLEAGKRLLATNGGRALSVTVLVMRPPTEQHASELETHIRRAQEAAGGLDVRFHRLPAVEPPTDSAGPVEFISRVVQLHAPHVRAAVSSLACPVAALVLDLFCTPFVDVARELSVPAYVYFTCNAAALSFFLRLPALCEEVAGEFGEMDGAADIPGLPPVPPLSLPTPIMERKKPECTWYAYHGRRFMDADGIIVNTAAELEQGVLSAIAEGRCTRGTGSRPAPTLYPIGPVISFPPPADPPHECVRWLDTQPPASVVFLCFGSGGFFTAPRAHEVARGLERSGHRFLWVLRGAPAPGTWSPTDADLTQLLPEGFVERTRDRGLVWPTTAPQKEILAHVAVGGFVTHCGWNSILESLWFGVPMAPWPLYAEQHLNAFALVAGMGVAVAMEVDRRRDNFVEAAELERAVKELMGGGTEGRKVREKAAEMQAACRKAVEDGGSSTAALSKLWNDICRQ >Sspon.03G0002390-1P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4D:70758096:70758542:1 gene:Sspon.03G0002390-1P transcript:Sspon.03G0002390-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRDVVTFVRTCDGDTSDFPIKIGLHQGSALSPYLFALVMDEVTRDIQGGIPWCMLFADDVVLVDDSRAGVNRKLELWRHTLESKGFRLSRTKTEYMRCDFSAARHEEGDVSLDWQVVAKKDTFRYLGSMLQKDGDIDEDVRHRISAGW >Sspon.06G0006620-3C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6C:22832991:22833413:-1 gene:Sspon.06G0006620-3C transcript:Sspon.06G0006620-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCRSQAATAVCVPGDARSMVVARRADRTVVAGDDDARVLHDVRYARLGDAGDDGGRQRRSTSRRFAAPPPTATASATCKPRVERQRRASRGPVAVTLPMVTKSPSKEAPPKDLAAEAKRVQAAAAVAAAPADQLLQVRN >Sspon.08G0019450-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:12151042:12158929:-1 gene:Sspon.08G0019450-1B transcript:Sspon.08G0019450-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGVGELLASAALKQVAGMLGTTIWEAIASQLKLGDELKALKDTMDSIHEGMVKSEKRLMKDGDVRVWMRELKAAAYDMEDMIMESESDILQNRDGSQSNNSTTEISIPVKLRLTMPGKLKGTKRRLENIEKLRRFDLIADNSSDDHDVIQMRATGPCLEEDGQSNQYDLPSVQKCVEMILSGKKYLVVLDDLWEENTSNLNQLKAMLKGGALGSKIIVTTRSKQVAKRMNRELPFKLDALPYDDCLKLFKDKAFPNGSEESDEFVKVGEKIVQKCGGVPLAVKSLGDRLLDMPLRKWEETLKSELWEGERYSKSNNPHDLLFKMHDLVHELARSVAVEEVAICDANHGSSGAKKDICRNYAIWIYMAARVLESYQITFTHSKIWSTLIYQDTNELRFLSLSGCSRIQNIIRFLGRFVKLEYLNLSGVALSGFDVRKDSEAPSSSTWHSSGYSGEDMSLKMLNGVIKSMPRLEYLSAGGLSLFSKEGIYEELLTLPDFVVSARRGGNSSNITLLKNILDSTHRELNIRCLEAVMSAEEAAMVELGRKHRLGSLCLEWSSSEWYTPSEPTVKTMSVLEHLEPHRNLKHLTIKGYNHSEVPRWINEIRDTLPNLVKLMLSDLVCCDYLPSPGNLSNLEELEIRNMPRLKGACLTACKNLKRLSLVALASGFTLRFTQATSRDCQGIHEMESGHAEEPETPEVARTQSGVSRLLA >Sspon.02G0010260-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:28569309:28572927:1 gene:Sspon.02G0010260-1P transcript:Sspon.02G0010260-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:At3g59380 [Source:Projected from Arabidopsis thaliana (AT3G59380) UniProtKB/TrEMBL;Acc:B3LF91] MEHAKSGPSSWPELADVVPVPQDDGPSPVVPIAYRDDFREVMDYFRALYFIGERSPRALRLTAEAIELNPGNYTVWHFRRLILEALDFDLLEEMKFVGKIAEWSNFITRHHKRWLAEKLGPDIANKENEFTMKILAIDAKNYHAWSHRQRYFVITRSPLLGGLTVVRDSEVDYTIEAILANPQNESPWRYLKGLYKGENNLLVDDERISGVCFKVLKNDWTCVFALSLLLDLLCTGLQPSDELKSTLEPIRSSHPETADADPATVVCCILQKCDPLRVNYWSWFKATLSQIS >Sspon.03G0045770-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3D:24172364:24172717:1 gene:Sspon.03G0045770-1D transcript:Sspon.03G0045770-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCSLLCTPAPCSSPSSSSQSQSTGAPPLFSSPCCTRKGEPPLLQSGRLAAELPPWSGRGEVARSGSSASGRSLGESASPIPHPETRCLAAEAVEPPARGRGEKPYCALPWPPACWA >Sspon.05G0004530-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:164871:165149:1 gene:Sspon.05G0004530-2B transcript:Sspon.05G0004530-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSVACAFFFDAEPLGEPGRHALDACALCSKPLTRNSDIFMYKGDTPFCSEDCRYEQMHHDAAYARQASSSRRKQQQQSQRSRGASVAAKAD >Sspon.02G0054590-1P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2C:97722818:97724158:-1 gene:Sspon.02G0054590-1P transcript:Sspon.02G0054590-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPLLWLALSCAALAFSTSAAGLRLELTHVDAKENCTTEERMRRATERTHRRLASMAGGEASAPVHWNESQYIAEYLIGDPPQQAEAIIDTGSNLIWTQCSTCRAAGCFGQNLTFYDPSRSRTAKAVACNDTACALGFETRCARDNKACAVLTSYGAGVIGGVLGTEVFTFGQSESSENNVSLAFGCITASRLTPGSLDGASGIIGLGRGKLSLPSQLGDNRFSYCLTPYFSDATNTSLLFVGASAGLSAGQPVTSVPFLKNPDDDPFNTFYYLPLTGITVGTAKLAVPAAAFDLREVAPAKWAGTLIDSGSPFTSLVDVAYQALRDELVRQLGASVVPPPAEAEGLDLCVGAAHGDAGKLVPPLVLHFGSGSGSGGGDVVVPPENYWGPVDDSTACMVVFSSGGPNSTLPLNETTIIGNYMQQDMQLLYDLEQGVLSFQPADCSS >Sspon.02G0021790-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2D:65940699:65942777:-1 gene:Sspon.02G0021790-2D transcript:Sspon.02G0021790-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGDRHRPSARVVLFPLPFQGHISPMLQLAGALHARGLAVTVLHTTFNAPDPACHPAFSFVAMPDTISDVVATTKNDIAKILALNAAVEAPALAECARDALASLLNKSEEGEPRMACLILDCTLTGMQKVAVGLGIPTLVLQTCSAAWFRLIRSYDMLYEKGYLPAQESNLLLPVKELPPLQLRDLFDPSILPNKEIGLKLLNLASETAANSCGAIINTFQALESHELAAVRDELLADKGVPTFAIGPLHKIASTDDVGTSLHNQDRSCIEWLDMQDLGSVLYVSFGSVVRITKDEFTEVAWGLADSGKPFLWVVRQDLVLGEEKIELPQGFKCAVEGRGKVIDWAPQQEVLAHSAIGGFWSHSGWNSTLESIYAGVPMLSSPYVGDQLATGRYVEDAWKIGVLLESLLERGKIKKAITTLMEANNGLEIRERAKNLKEKAQLCLER >Sspon.06G0000200-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:14381167:14391193:-1 gene:Sspon.06G0000200-2P transcript:Sspon.06G0000200-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRLISPAAACRGCGFVRPGFLAAFSSFHYRTLPSCSPKRTSSVKNCFSNTYNSRKEGSRWIRSEPPLSLNRAKFVGKRTSCSVATEPPPAATEEPDMDAPKEIFLKDYKAPDYYFDTVDLQFQLGEEKTIVTSKIVVSPGVEAGISAPLVLHGRDLKLLSIKVNGTELKGEEYKVNSRHLTLSTPPAGVFNLEIVTEIYPQLNTSLEGLYKSTGNFCTQCEAEGFRKITFFQDRPDVMAKYTCRIEADKTLYPVLLSNGNLIEQGDLEGGKHYALWEDPFKKPSYLFALVAGQLGCREDSFVTCSGRNVTLRIWTPAQDLPKTAHAMYSLKAAMKWDEEVFGLEYDLDLFNIVVVPDFNMGAMENKSLNIFQSRLVLASPETATDGDYAAILGVVGHEYFHNWTGNRVTCRDWFQLTLKEGLTVFRDQEFSSDLGCRTVKRIADVSKLRIYQFPQDAGPMAHPIRPHSYIKGAEVVRMYKTMFGASGFRKGMDLYFKRHDGQAVTCEDFYAAMCDANNAQLPNFLQWYSQAGTPTVKVASSYDPSSQTFSLKFSQEVPPTPGQPVKEPMFIPVAVGLVDSTGKDMPLTSVYSDGTLQTLSADGQPVFTTVLQFKKKEEGFIFKNIPEKPVPSLLRGYSAPVRLDSDLSESDLFFLLANDSDEFNRWEAGQVLARKLMLSLVADFQQQKTLVLNPKFVDGIRSILRNTSLDKEFIAKAITLPGQGEIMDMMEVADPDAVHAVPYLASLNEPDFIELALHEYKSATNMTEQFAALAALSQNPGQVRDDALLDFYNKWQDDYLVVSKWFALQATSEIPGNVANVQKLLSHPAFDMRNPNKVYSLIGGFCGSPVNFHAKDGSGYKFLGEIVLQLDKINPQVASRMVSAFSRWRRYDKTRQDLAKAQLEMIVSANGLSENVYEIASKSLAD >Sspon.03G0033060-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3B:44616333:44616786:1 gene:Sspon.03G0033060-1B transcript:Sspon.03G0033060-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPPRLAALLLLATLLLLAAGSAAGSVFQVRRKFPSGVGGDAGANISALRVHDGHRHGRLLAAADLPLGGLGLPTDTGLYFTEIKLGTPPKRYYVQVDTGSDILWVNCISCEKCPRKSGLG >Sspon.06G0027370-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:84247138:84247583:-1 gene:Sspon.06G0027370-3D transcript:Sspon.06G0027370-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPQVILVVTLAVLGVLAALPLGEGSWPCCDDCGICNRMIPPKCRCNDISAHGCHPECKKCVRNTLTAADDGAGPVGAYHCADMITNFCERRCTKAPAAAFLGEVF >Sspon.02G0028310-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2A:103226497:103226880:-1 gene:Sspon.02G0028310-1A transcript:Sspon.02G0028310-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVPNQTKYAGAPTEEATILRRGAAQAVATLPLPADAVLSPSPSRPRGVVEAAPKQRDAVGHRAQEGRRVVPVPARGGAVLTSAAMVSIFFHGNGQRRQGSPGSGHGSSKTVRRPCLVALTAKIRMD >Sspon.08G0028750-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:17108297:17113845:-1 gene:Sspon.08G0028750-1D transcript:Sspon.08G0028750-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LEAKPPSPGSGAAGAAAHIHGHRRWAAPLLASVLLSSLLISASLFFSSSRALLLSFSPLPSAASAEPLFVEAKLRQQMRAEERPARGAVPRIAYLISGSAGDGAALRRTLRALYHPANTYVVHLDLEAPAAERAELASAIRADPVYSRFRNVKVVTRANLVTYRGPTMVANTLHAAAILLREGGDWDWFINLSASDYPLVTQDGMLHVLSELPRQLNFIEHTSDIGWKEYQRAKPVIIDPGLYSLQKSDVFWITEKRSVPTAFKLFTGTAWMMLTHQFIEYCIWGWDNLPRTVLMYYANFLSSPEGYFHTVICNVPEFRNTTVNHDLHFISWDNPPKQHPHYLTLADFDGMVNSNAPFARKFGREDPVLDKIDQELLGRQPDGFVPGGWTDLLNTTEKGKPFTVERVQDLRPGPGVDRLKKLVTGLLTQEGSGASSRRTGTRSSPGAAPPSTHPSRRASRCPGTPAGQRASRTCRLLGPRSQYLSSLHGPVSATTRWVPSALTATPFGYVTPDWSTATWPVRGSYLMMRPVESPVAVTIWCCDRRYVLLLSVKYTSPVRWSTSTPLVKRSGSPPASVATTVASPPPGPTRISPM >Sspon.03G0015800-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3A:50352549:50353715:-1 gene:Sspon.03G0015800-1A transcript:Sspon.03G0015800-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSQWRGARRDHDGRVRSGARRGDPAVVSRSRATRQGRGGYGGEVEWGSTAQDGGALGDGHIGDESGASGRPHDAEKARNGRNTTEDATQAQLVAHQSKAVASGPGPSALPRSGSCMPPCYGGS >Sspon.08G0006990-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8B:19652361:19653255:1 gene:Sspon.08G0006990-2B transcript:Sspon.08G0006990-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLKPFEWDINEDEYRLFSSVLNLHFRSRWLAIKKKHSDKYAGNNSSTKISKTPETLETIQEETELTEAVNQPCNTLVVEWAHQRLPLPIQWILSAVCCIDDPKGTLSTSANYILDVSRAGLILLLGLEAISATLCLHAPLIWKVHALSVSIRSSMYLLQEDRSRDIFFALQELYGQHLNRLCQKLCKSNSVEEVKGVAVATSEEAMEISSLEILRFQEKIHGSYTTFVESLVDQFAAVSYGDFVFGRQVAIYLHRKVEPAVRLAAWNALSNAYVLDLLPPLDKCIGNAQGYLEPLE >Sspon.07G0016390-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:58122334:58129626:-1 gene:Sspon.07G0016390-1A transcript:Sspon.07G0016390-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIASGAVDRSHLSPFAPLSESSSSSFFSQDLVPTERQVGFWKSSEPMIDHKGSKPAFTSPLDKVHPMGSSPAGGLEHQRGQAFKGHLGMLNLGNLVGQQENAPGIPSISWGDVLSSSRSSIGLSTGGTAFVEPASADQHVHDYGDFPSSSSYTEVFSSKSARLMASGVYGQSADANGSGCEGDEPLGSMKEMEAQTIGDLLPDDDDLISGITDGFEYTGLSNQDDADEDIFYTGGGLELEHDDSNNVDKFREVSFKSQLSGDVKEIYNAPTSCNKKFVEFYDTRAAQEALNDLNNGDMSCSQIKVDHSHSGGAGSCFTEQCSGEQKQNAIAHQLKNSPLGTIVRPQHDKSQHGFSVNAPQKLSSPIRIESTRQHSNQTALGEPSGSLGHGNFGSGLQAFHPHSLPERHNGICNGSNSMTVNARNSNFRLTEGMDYNNHKVDHSDLHGHSSDQNEAFRVTGIGSCPLHGHHYTWSNSNGFPQSPSAPMLWSNFQQPVHMHCYPAMPPHVRRTAAHPMDQHHLGSAPNSVGGFAAHSFHPGSLESVGFPGSPQLYPSDLSVFASARGNYRETMFSPVSAGFPSIQQFFHAANGRNPMVHVSTSYDATNDQIRSRRHDGNAAQSENKKQFELDLDRIAKGEDSRTTLMIKNIPNKLIVNAINRYNCKLLLAVIDENHRGTYDFIYLPIDFKTFNGKKWEKFNSEKVASLAYARIQGRNALIAHFQNSSLMNEEKWCRPMLFHKDGPHAGDQSSDSGVLVDPPWFEWLLATAFII >Sspon.08G0003560-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:6582711:6584566:-1 gene:Sspon.08G0003560-2B transcript:Sspon.08G0003560-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGQYSRRKTREPKEENVTLGPTVREGEYVFGVAHIFASFNDTFIHITDLSGRETLVRITGTILKPWFMLDLEGRCGMKVKADRDESSPYAAMLAAQDVAQRCKELGITALHIKLRATGGNKTKTPGPGAQSALRALARSGMKIGRIEDVTPVPTDSTRRKGGRRGRRL >Sspon.02G0057910-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2D:67886862:67887316:-1 gene:Sspon.02G0057910-1D transcript:Sspon.02G0057910-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEEAEDDGTDADSGEDGTPPPLALLPGRNRRLLEGLVVIGLGVGQGQHLPGCEGAAPTATRARSAAIAAATSGGKLKSESEEDIRTKGEAAGWGGPRGRAATSVFWWTPGLEWPPAGLSESEGERA >Sspon.05G0014950-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:49579231:49583458:-1 gene:Sspon.05G0014950-3C transcript:Sspon.05G0014950-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyruvate dehydrogenase E1 component subunit alpha [Source:Projected from Arabidopsis thaliana (AT1G01090) UniProtKB/TrEMBL;Acc:A0A178W8A7] MAAASFTAAKFLAPVAARSGGERAPPLPAGASSSSSFVRTLRRGGAHHPRLRTALAVSSDLLAGNKAAQAAATHPAVTREEALELYEDMVLGRVFEDMCAQMYYRGKMFGFVHLYNGQEAVSTGFIKLLNQADCVVSTYRDHVHALSKGVPARSVMAELFGKATGCCRGQGGSMHMFSAPHNLLGGFAFIGEGIPVATGAAFAAKYRHEVLKESSPDGLDVTLAFFGDGTCNNGQFFECLNMAQLWKLPIVFVVENNLWAIGMSHLRATSDPEIWKKGPSFGMPGVHVDGMDVLKVREVAKEAIERARRGEGPTLVECETYRFRGHSLADPDELRKPDEKTHYAARDPITALKKYVIEQNLATESELKSIEKKIDDVVEEAVEFADASPHPPRSQLLENVFADPKGFGIGPDGKYRCEDPKFTQGTAQV >Sspon.02G0014640-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:39082636:39082797:1 gene:Sspon.02G0014640-1A transcript:Sspon.02G0014640-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVEPLAHDAEDDATDADEEELALASQLACPLFLRFSPLLLLSLQSPRPHLPT >Sspon.08G0029430-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:36816713:36817198:-1 gene:Sspon.08G0029430-1D transcript:Sspon.08G0029430-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding WRRRQRQRRRQQHHPSHARPCAPTTSGACSSGSRRMPAPRTSSTCSPTSSGRCPVWPPSAKPCSTRSPRRPVASGVLHGGRRRRRPLLPSACRFVRRQMMIPARGTPTPGRAPAPSGGGCGPMPATSRRSGSGWRPCGATWRKRSWTPGRGLACSPENEPPR >Sspon.08G0020050-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8B:16938124:16939744:1 gene:Sspon.08G0020050-1B transcript:Sspon.08G0020050-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGAPPWLSRPFPRAQDRQRDVARLLGLLLRPLPIYNRDHRGAFFSSLFCHCRLAPPLAADAVEPPPGTQTAPSRSPRPREPRGPTALAFFLPEPPHHHFLCPIPATEPPSRGQHPPPPPSASLWLHCDHYAVEQLGWATDAVIAGRPPCGHGRVTGEKESAEEGDDRWGRVVSERERERGRGRGWAGLLGRARCWPARASVGASARAGRVVGPSRREKEEAAREEIPAFEEVEVGEQVSGEELSSVGSGPEVGVFEPESVVASEQGKPRCI >Sspon.02G0040150-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2B:65763393:65764503:-1 gene:Sspon.02G0040150-1B transcript:Sspon.02G0040150-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLLALALSLTSPVHGATPGNVRASLPLQAHARQVRASATQHLRRKFDSSAVPLGSKWFGDRTGTRWDIALRPKKIGVTQPTLAINKSGMGGRAAADAALVAPGDLHTRRPFA >Sspon.03G0033440-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3B:50017455:50017787:1 gene:Sspon.03G0033440-1B transcript:Sspon.03G0033440-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSYLGPHPAGGIATVRMNQGRNGRATPPRGLGSGHKKHHRGLPRRCSARPQAARMRRREEPQRRHHFFRSPCPRIGTVRCSVSRGWKESGKEERRNAVGWLDAPASRRP >Sspon.02G0009520-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:29755211:29756120:1 gene:Sspon.02G0009520-2C transcript:Sspon.02G0009520-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGEDDVPEWMMEVGGAGGKGGKGGGGGALDKNKKRFSEEQIKSLESMFATQTKLEPRQKLQLARELGLQPRQVAIWFQNKRARWKSKQLEREYSALRDDYDALLCSYETLKKEKHALLKQLEKLAEMLHEPRGKYGGNADAGAGDDVRSGVGGMKEEFTDAGGAALYSSEGGGGGGGKLAHFTDDDVGALFRPSPQPTAAGFTSSGPPEHQPFQFHSSCWPSSTTEQTCSSSQWWEFESLTE >Sspon.06G0010150-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:43221529:43224841:-1 gene:Sspon.06G0010150-2D transcript:Sspon.06G0010150-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RWCSGSPTESGIATPPNPTRPASSRPQAGGLCTSTPRSARADRSAPSPRRRSKIPHLRPAEYVQEVQVVKEPQDCEPAVRERIIRAFLVEEQKIVKVLKIQKTKDKASKS >Sspon.04G0020160-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:79483247:79487949:-1 gene:Sspon.04G0020160-2D transcript:Sspon.04G0020160-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VNMVLTFQLAKPYTSCIPPGSETMLKAVLLVLVLPLAAAFLPQAQSQNGSLATGQSLQVGQTLVSAQGIFVLGFFTNGDNTYLGIWYNYINPQTIVWVANRDNPIKGGNGNLTFIQSSLVLLDTRRGSVTVWSSGSLSTNSPQAFLLDSGNLIINDTTMSGSTPGQVLWRSFDHPCDTFLSGMRIGYDTSEANNGLLQLISWKSESDPSPGDYTISMDPRRMPGLLLFNGPDLKYRTGPWNGQGFNGQPYVKPTNDVVFNMNVHEGSAYYSFTALNTSVQWRLVLAPNGTAYRRRSNSDNKWEDYWQWPQSTCDSYAFCGPNAICSTAVCQCLPEFLPKSPIDWNQRNFAGGCVRSASPFSCSSANGFSRISLVKVPDTQNATLVQVKSLDDCRELCLRNCSCNAYAYALPGEGDCVIWSGLYIILFVKKLVQSELFGTEHEHAPGSKLTANLEQSLDLDAIRVATNNFAERNSIISTRSKNIYKGTLPNVDPSNILLSDDLIPKISDFGLATLLDQGQPEGKAESFEGTRAPELFRRKLYSAKSDVYSFGVVLLEIVTGCKATSFRREDADDLPTY >Sspon.08G0003620-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:9949718:9955391:1 gene:Sspon.08G0003620-1A transcript:Sspon.08G0003620-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heat shock 70 kDa protein 16 [Source:Projected from Arabidopsis thaliana (AT1G11660) UniProtKB/Swiss-Prot;Acc:Q9SAB1] MSVVGFDVGNDTLVAAAARQRGIDVLLNAESKRESPAAVAFSTTRASSAATPRPPPPRTPPSPASSASCWAPRGGTRTPPSSATSRASPSPSPRRRRWWRRRRRPRRPRRPSHRALPDPPPLHAARLPQAARGGRPRRRPRRRLRDLRSLLLHSSAAPRYLDAAAVAGLKPLRLMHDLAATALGYGLYRSDLGGAGGPTCVAFVDVGQCDTQVAVVSFDASGMKVLSHGFDADLGGRDFDEVLFVHFAEEFRDRYRIDVVGNVKASMRLRAACEKAKKVLSANAEAVVNIECLMEEKDVRGVIRREDFEKLCARLLERVVEPCKRAVADSGIGLERLHSVELVGSGSRVPAIAKVLADSSEGNLVARSMLVQDAIPASIGFYTSDGPVSTLSSDVLFRRGLPFPSVKIITLHKNDSFSFDAYYADANELPPGTSTDIGSFQIGPFQAHTEASKVKLIDDYQRNANSADNMEVDTSGDDMGHKSRSERSIQRQELPITEYIYGAMSKQELLEAQEQEQQLAYQDKLMERTKDRKNALESYVYDTRNKLSERYRSFATDSEREQISVNLQQTEEWLYEEGDDETEAVYSSKLEELKKLVDPIENRCKDDEVRAEATRELLKCIVDHRMAAKSLSTPERDAVDNECNKAEQWLREGSQLQESLPKNVDPVLWSCEIKRKEEELDTFCRNIARYKGSPARTDGSR >Sspon.08G0027320-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8C:45254984:45255679:-1 gene:Sspon.08G0027320-1C transcript:Sspon.08G0027320-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DMP10 [Source:Projected from Arabidopsis thaliana (AT5G27370) UniProtKB/Swiss-Prot;Acc:Q3E912] MASPPPATVLQIPNSHTNSVPVRSPTIITAPHMPSKVADAESTAMPSAPNPGTATDKVMASTANLAQLLPTGTVLAYQALSPTFTNHGSCYPVNRWLTAVLVGVLAVLSLFFSFTDSIIGRDRKLYYGVATPGGFNVFNFSGEEEERGWALGELQRLRLRPLDYVHAFFTAVVFLTVAFSDVGLQRCFFSNASDNTNELLTNLPLGMAFLSSFVFLIFPTKRKGIGYNDTTP >Sspon.04G0007910-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:22379110:22381056:1 gene:Sspon.04G0007910-1A transcript:Sspon.04G0007910-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAFAGSAAEPPLADSYYALLRRNDEAGAYATSTAPSDDVPVAECELPMIDVGCLTSDDGCSSESQRAACTAAIARAAEEWGFFQVRNHGVSQALLDAMRREQARLFRLPFEAKATAGLLNDSYRWAPRPRRPRSSCPGPRPSTSRSPASPGPPPAPAATSANSPPSGM >Sspon.08G0010450-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:44049734:44053857:1 gene:Sspon.08G0010450-1P transcript:Sspon.08G0010450-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSIGGRRKGAKVMQLDGTAFRVKPPAFAGTVLRDHPGFQLLESEEVKLLGVRARPLAHDAQLRPGRLYFLVALPRPAVPPRRAWSGALHVGARERLESLMLTRRSTSDLSLPAGAGTAPASPLSTASEGGPVRLRMRLPKAQVEKLMAESRDGAEAAARIMQLCAANTAGSGAATPERGILRTPEPSPRFVPTPDWGVGVGAAAFPQTPERNPRFAATPDWGTGFMMPAGAATAPRTPERWPALPRAPEYASPDVKASRKEKRTRFVALSDEIIA >Sspon.02G0001140-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:2724264:2724960:1 gene:Sspon.02G0001140-2D transcript:Sspon.02G0001140-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWKRLLVIGVVAVASAVVASGAPPPQPARIQADVVVTGFVPCNNGTSMRTGSAPGFPGALVQLQCTGEGGAGALAGNATTDGKGWFRMAVNTTVTPSSVASSCGLVVDTPLAACNATLPVTGALHSGLRLLVSMVFFPRGFSYVASSADGSPAA >Sspon.04G0004870-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:14064465:14078690:1 gene:Sspon.04G0004870-3C transcript:Sspon.04G0004870-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKSKGGKNKGKAQGAGQSASAEPEVPATDGAEVVNPENGEVNEPPATEDGVADVEKGDGDAPEAVQPARKPAEGELHLYPVPVRTQSGEKLELQLSPGDSVIDVKQFLLDAPETCFYTCYDLILHTEDGSAHQLEDYNEISEIADITSGGCSLEMVAAIYDERSIRSHLRRVRELLSLSSIHVSLSTSLALQHESAQGKSAGSEKSPIQELDGLNFMEDSAGALTNLLASAPAEIKCVESIVFSSFNPPPSYRRLHGDLIYIDVATLEGNRYCITGSSKYFYVNSSNGSIFDSKPTKQGLEASTLVGLLQKISAKFKKGFREVLDRRASAHPFENVQSLLPVTSWLGAYPVPVHRRDAARAEDSVVLSYGTELIGMQRDWNEELQSCREFPHGNPQERILRGRALYKVTCDFVDAAVKGAVGVINRCIPPINPTDPECFHIFAVDSDYEHISKDHKPDCQNGSSRSTKVSSPDVITKPDTSHAESAEVADSKSEEAQLADSEQATYASANNDLKGSKAYQEADVSGLYNLAMAIIDYRGHRVVAQSIIPGILQGDKSDSLLYGSVDNGKKISWNEAFHSKVVEAAKRLHLKEHVVLDGSGNPVKLAATVECKGIVGSDDRHYILDLMRVTPRDSNYIGQEHRFCVLRPELIASFVEAESMKQSFKQKVPDAPVASTSDAKATSVEGDDRSEESSVHTHEENDNSSSDILFNPNVFTEYKLAGSPEEIAADEELVKRAGTYLIDIVIPKFVQDLCSLDISPMDGQTLTDALHLHGINIRYLGKIAGMVKHLPHLRDLFSAEIIVRSAKHVIKDILRQSLDHDIGPAIAHFLNCFVGKVLGASTKGSLSNAQSKTLKGHENSQIQKSSKGHKLSNAAASRKSLSAYSHLTSDGIWFSIKEFAKSKYQFEVSDDARLSAKRVAVLRNLCQKVGITIAARKYDLDASTPFEASDMLNLQPVVKHSVPTCTDAKNLMEAGKVRMAEGTLNEAYALFSEAFSLLQQITGPMHKDAANCCRYGNMALFYHGLNQTELALRHMSRTLLLLSLASGPDHPDVAATLINVAMMYQDASNMNTALRYLQEALMKNERLLGPDHVQTAVCYHALAIAFSCMSLYKLSIQHEKKTYDILVKQLGENDSRTKDSENWLNTFKLREEQVNAQKQKGQGANASDNAVKFLKANPAFYKQ >Sspon.04G0024500-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:20010785:20011048:-1 gene:Sspon.04G0024500-1B transcript:Sspon.04G0024500-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GGIPRGNGLLRGAPGRRRRWHPHFGSRGHEGRRVRRQQEARGGGGRGQGQVGGAASDGGKGTREGEARRRVVRWVAVPPDRRVPHRLI >Sspon.08G0021820-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:43607160:43611893:1 gene:Sspon.08G0021820-1B transcript:Sspon.08G0021820-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRKPKRKAPASPARHDTSPEPYPSHASPSSTQCLAVRDALLAFHGFPEEFAPFRLLRLGGRSPNRDPRPQPLSPTVLDGLVITLLSQNTTDAISRRAFASLKAAFPSWDQVVDEEGKRLEDAIRCGGLAATKAARIRAMLRDVRERRGKICLEYLRELSVDEVKKELSRFKGIGPKTVACVLMFYLQKDDFPVDTHVLRITKAMGWVPATASREKAYIHLNNKIPDDLKFDLNCLFVTHGKLCQSCTKKVATVVLEKSFSNSEVRCNATGQVQTTASDKQRAANASS >Sspon.02G0007780-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:25397074:25399745:1 gene:Sspon.02G0007780-2C transcript:Sspon.02G0007780-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKWKNILKDMAPLRSTGRFFRRHPSALCLLIFLLVLYKYFFGWFTLIVTTSPIFLIAGIFLGIILAYGEPNNPEKDHVYKKIENARSSDIHDSSKSVRGVPLPTIPFGEGRVAKHKNRVKKIRKRSHGVASSSEPGSSESGGSDTDTAPMLHAFHHLGSGSNSSQSSQDGDSNDSSTEDGAENQQGNDGNVRKGKQHAKVVAWTADDQKNILKIGCLEIERNQRLETLIARRRARKYVDRNLMEFGSTDSLPTIEELSKFNVQIPAVFAPRKNPFDLPYNEDNFPDSAPSALLETVNPFDLPSEQANESSSNGGANSSHAEPIPVACHLQRSALLRRHESFTEGAPFLSEFLQDTQPSRFRPYFMTEKMANEEMTDSVLEGETSEKSNSKASSAQDSASTSSVADQESQKDVLEDCSNQGQQSSFSQTEEHAHIAQHVREVSLALDMEPPVLISDSSDDDISLSGEHINDWEEAQQSENFSFSQNTLLEDPSVMQHHQEIDMTSNGLNQMSPHSNDLELTSSSTETTDDPFEVNDIEPPAKEVVVIDDTHILDPVYDLSPSGSEKPAPIGLVVDGPVLKDGHARTLDAEASIEEGVSPSRTEASSSEVAGPSLSSVEESKFLEKEASEIREQSMVGHVEEHGGSVSHADPSVCDISSQPSTGSSTNGSSSMVHLDNSANTLSNYLWAMVVTH >Sspon.02G0021500-4D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2D:65211550:65211909:-1 gene:Sspon.02G0021500-4D transcript:Sspon.02G0021500-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALTVEWAMAELLRNPSVMAKLRTEIKGAVGSKEEAVDKDDMAGLRYLQAVVKEAMRLHPVVPVMLPHKAVEDRVEIGGYVVPRGCTVIFNMWAIMRDPVAWELPDEFVPEWFMDREFEF >Sspon.06G0010520-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:45910588:45913290:-1 gene:Sspon.06G0010520-3C transcript:Sspon.06G0010520-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSELQARARFVQSSAASAGLHFDEDRWLSRVRQSLEREAADALGAAAKVFDVPRLLRATRPDAYLPQHFALGPYHCGRPELRDMERYKLAAAKRAEKLFAAGRKFDHLVQQLLQDQDKIRAPYHRFLELSDQTLAWMMAIDTCFLLDFLESYHHDEVTDMVSSATNWINATVRDAMMLENQIPLFLFSQALALRHPKGEQAAADALHAVLDRFIKEVSPIKTTAELVAAEVARHAHMLELLYHFLVPDATVFDGGDGDREPPPMVPEEFTIDMLDPSQQLPDYDKVKQACLQVSSLDVAPVRFIRKNLISRPMSMASSLPGKIMRKVPLLSAVAPLVTKLMASTDVEARLKGVNLGSIISSPLAQEIMIPSVATLARWGVRFVPTPEGIAGIAFDAATATMSLPIITLDGNTEVVLRNLVAYEAVAVRGPLVLARYTELMNGIIDTPKDVKILRQSGVVVNHLKSDKEAADMWNGMCRATRLGKVPRLDAAIREVNAHRSRRAAARAQKLLKKYVFRSWRILTLLAAVVLLLMTAMQTFCTVYPCSRWFGSVFQMPQVGGTQ >Sspon.02G0016670-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2B:45343139:45345545:-1 gene:Sspon.02G0016670-2B transcript:Sspon.02G0016670-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPGPPIGFSNGKSCPSEPPGKPNPLNLHSQFPQQISFSHPSYTMSAPHQQFPQQHLYPQNVQYVVVQPQYAPFSLPQLPPQPAGTMSMPPPASAGTMPLPALPLQPPIAGAVPFMSVSYSGTPHSVTGPDLQDTVSVDNEENAQPNRTSRRLRLNWTELESLRLINAWLNSFKLNSSRKNGVHWGNVTKLYNSSTPKDRRRSRNQLKLHWHKITMKMAHFYDCWCQIEKKYSSVQSDKMQLMDKTWVKFDKEARAMYLEEAKHHFTLGHLWKAVWDQPKWKSYISSLYSKGTKLSESGDCTSSSDDANDVSEKEMDDKDSMSAKKKREGKSKMSSPSIQLQQGIQSSVGPQNVLEKNNLVADTSRLYEFQPEKEKLMAGTLSFNELHHGNSVREDVPEKGRHPQDCKGLEHAMTVRCAPEKETHPQSSKMEKAKRKRRGNLSCPSSEVQEDIKRAMDLQRMLQKDREKMSEVQIQLSKEKLEMARLKHQEAKEKKETTLYEKYTELLMADTQRFNDFQKEEHQKAVKCMGVMLFGKDGLLHLYRKLNTKIGDSGAKSKRPKKESFIDDKEIK >Sspon.07G0021940-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:5499003:5500217:1 gene:Sspon.07G0021940-2D transcript:Sspon.07G0021940-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRYFHVTVEHSCRSWWSCCWNLGIHRLDRIYLLLSDNDGCIYRALSEVKVFSPDILR >Sspon.08G0005630-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:17190282:17197757:1 gene:Sspon.08G0005630-1A transcript:Sspon.08G0005630-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGAAGRTRTAVCVTGAGGFVASWLVERLLAGGRYVVHGTVRDPGTNSLLVFLFPVNWRVRAYMITCWTICAWCAGDAKNKHLAAMDGAADRLRLFRADLLDYGSVAAAIAGCDGVFHVASPVELLAPAVTGTMNVLKACSEAKVKRVVVVSSVAAVMVNPGWPQNEVMDESCWSDVEFCRTTQVILAPAVTGTQNVLEASHAANVRRVVVVSSVAAVIANPNIPDDAVVDEDCWSDEDYCRATKNTLVTSYCAGENTDEKRRNMVDVRDVADALVLTYETPEASGRRYICSAHAMKVSETVGLVSSLHPELKLHYPRKFVQMEDEKGVSSKRLLALGWKFRAVEETLRDTID >Sspon.02G0013520-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:29246192:29248971:1 gene:Sspon.02G0013520-3D transcript:Sspon.02G0013520-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPKNGGPASERRLLSRRILILCFLSFFLGMLVTDLFGSVPSPVVVQSRWHEHDRELQSLSEDFVAKPKPAEDSDIMGEVSKTHEAIQSLEKSIDTLQMELAAKRSSNELHGEGTGGISKQRRRAFVVIGINTAFSSRKRRDSVRETWMPQGEKLKKLEEKGIIIRFTIGHSATSNNVLDKAIDAEDEMHHDFLRLDHVEGYHKLSAKTKIFFSTAVALWDADFYVKVDDDVHVNLGMLIATLGRHKLKPRVYIGCMKSGPVLSDKNAKYHEPEFWKFGEDGNKYFRHATGQIYAISKDLATYISINQPILHKYANEDVSLGAWFIGLDVEHIDDRDMCCGTPPGQFLQHLCIKAPFPEYGNCEWKAQAGNVCVASFDWQCSGSAIQLRG >Sspon.05G0011730-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:36004013:36004999:-1 gene:Sspon.05G0011730-1T transcript:Sspon.05G0011730-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding TMAATALLLPSLAAPTATQRRTHSSLQPQLQLQRGSLACCSRSLRPPRRRPRLAVPAVQETKEGEAKTAEEITEKYGLEFGLWQVFSSKDEEEGGEGKKSRTDQAKELLAKYGGAYLATSISLSIVSFTLCYLLISAGVDVQELLAQVGIVTGETGGKVGTFALAYAAHKAASPIRFPPTVALTPVVASWIGKIRKGGD >Sspon.04G0009760-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:28978664:28984326:-1 gene:Sspon.04G0009760-1A transcript:Sspon.04G0009760-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGENKPHAVCVPLPAQGHVTPMMKLAKVLYCKGFYVTFVNTEYNHRRLIRSRGPQAVAGLPGFRFATIPDGLPHSDADATQDPAALCDSTMKTCLPHLKGLLDRLNNNGDGGVPPVTCVVADNVMSFGVDAAKEIGVPCLLFWTASACGYMGYRHFQFLMDEGLAPLKDEAQLTNGYLDTPVGWARGMSKHMRLRDFPSFIYTMQRGDILLDFVMHEVSRTNAAAAVILNTFDELEPEALDAMRAILRPPVYTIGPLSLLLERLAAAVPDPGVVDAAAALGTVRASLWKEDHTCLRWLDGRAARSVVYVNYGCVTTMSNQDLVEFAWGLASSGYDFLWIIRPDLVKGETAVLPPEFVESTKGRCLLASWCEQEAVLRHQAVGVFLTHSGWNSMTESLSAGVPMLCWPFFAEQQTNRRYACTEWGVGMEVDGDVRREALEATIREAMAGDKGREMKRRADEWKEAAIRATQPGGRALTNLDDLIKNHKPFDRFNITTSQRPQADMSAATLPTGHGQKPHAVCLPFPAQGHITPMLKLAKILHARGFRVTFVNTEYNHRRLVRSRGAAAVAGLTGFRFATIPDGLPESDADATQDPATISYATKHNCPPHLRSLLAGLDGVTCVVADNLMSFSVDAAREVGVPCALFWTASACGYMGYRNFRLLIDRGIIPFKDEEQLTNGFMDMPVDWAPGMSKHMRLKDFPTFLRTTDPNDVLMTFQLQEVERSEYASAVVVNTFDELEQPALAAMRAIIPAVYTIGPLASVTEQVVVRCGPSTRLWREDQSFLAWLDARKPRPRSVVYVNFGSVTVMSGQELAEFAWGLASSGHDFLWIVRPDVVKGDTASAAALPPGFLEATEGRGLLASWCDQEAVLRHEAVGLFLTHSGWNSTLESLGAGVPMLCWPFFAEQQTNCRYKCVEWGVAMEVGDDVRREAVEARIREAMGGDKGKEMARRAAEWKEAAAGSAARSLANLDRLINDVLLSPARLD >Sspon.06G0014160-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:74358203:74360766:1 gene:Sspon.06G0014160-1A transcript:Sspon.06G0014160-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTEVITTMIYMVFLMHFAITINPNTQPSWLFSLVSLSLAVVAVTLPFVVTTTHHAHRNAGAAATIPGPRGWPLVGSLPTMSSPLMHRRLATLADAHGARRLMSLTLGATPVVISSHPDTAREILSGAAFVDRPPKGAARELMFCRAIGFAPAGEYWRRLRRIAGAGMLSPHRMAALGGLRSRIVDNMVRRVADQMERSGEVAMRALLQRASLESMAGSVLGLEGVVVSEELGDMVREGYELVGMFNLGDHYYKTPWGPLMDLWGVGPMCRGLAARVRGYFGKIIEERRVVGDYHERDDLLSYMLSLPEEERLEDSDVTAVLWEMIFRGLDVVAILLEWAMARMSLHPDIQSKAQEEMDAMVGLRRPITDSDVPSLRFLHWIIKETLRMHPPGPLLSWARLAVQDAQVGKYVVPAGTTAMVNMWAISHDEAIWGDPWVFRPERFAAEEVSVLGSDLRLAPFGSGRRVCPGRMMGLATAQLWLARLLQEYRWMPPPANKPVRLAECLRLSMEMKTPLVCRAVPR >Sspon.02G0013840-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:34471532:34473891:-1 gene:Sspon.02G0013840-2B transcript:Sspon.02G0013840-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding TSMVMSRNLWPVLLILICFLVCPVRIHGFLWNIFSSSSAATDGNHAPVMELDGAVADFAMDGANDPRGLKLLENAQNKLAGPRNCWQEAYRKLFASCGEIMADKERQSRLAWHLSSCFQEDSGRPPFPSCVEGSKMVHCRKRLSESEGKVFLEFFLETNTLCHQFRAEAFKHNTERLVNDLTRTSKSAEEKLEVIEERSDQIIKESCKVHDTLSSIKKQANNLAEASKHAEEQINDVLVHSKSIFEQSNEIATTQAKLSKGQSEMREQIEAGTTRVEESYERLGNGMDKLKEETGYMKREIKSVSESISSKMEDLQRTADDIGSVAGKSLENQKQLLNGQNQAMDGLNKLHSSQAQALEDGRETIQKLAQFGQRQQEELLSRQEQIRQAHEHLIQNSHSILETQEEFRAKQANIFAALDKLYILHNAILAESRFIKAFFFYCCIVFLIYMLTSAKQTFSIRGQLSAGLCITLLLEIGLIKIGADDFDKQFWVMSKVFLVRMLFLGASTVQILHSIFTFRDYEVLNHGLLQTLVEKVRALEENAGERALSYGSESEEESLRDYSWVFDELTDEVDSKMDPPYVLPPEQSPPIRRRNQVIVAEEIGENSITTSFTRKYNLRPR >Sspon.02G0047430-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:16512459:16515136:1 gene:Sspon.02G0047430-1C transcript:Sspon.02G0047430-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDARPRPTPTPTPRESLLRARADTRRAKRPPLHTRRLQKSAKCSGTPPPVARWKKRVERVSPLPCARPSNPTVGFVSFPPPNSGLPVPRQTNATAVGAAPRGSLAGSSDCCPHRTRPPALLLLVCCGLCCARQKADCTPVQRPTTFPSPTPLIITTRHPPACSPARPPAMVSLAGSQIPSPSSGQSPCAAARPQRRQGHSMRTIRSALLQPDSSPGSTSPAPRDGGADAGDSDIENLTDSVIDFHLRELAATAGPAHPAAVAKSSSAINAAATELLDLSRDFSDYSSFNSDISGELERLAAAAGAAPPRSDAPDAAAVDLNDLESMDLSADAAPLERVEPFVLACVQALGPDAGPDARRGAAARIRLLAKHRSDIRELIGVSGAIPALVPLLRSTDPVAQESAVTALLNLSLEERNRSAITAAGAIKPLVYALRTGTAPAKQNAACALLSLSGIEENRATIGACGAIPPLVALLSAGSTRGKKDALTTLYRLCSARRNKERAVSAGAVVPLVHLIGERGSGTCEKAMVVLGSLAGIAEGREAVVEAGGIPALVEAIEDGPAKEKEFAVVALLQMCSDSPHNRALLVREGAIPPLVALSQSGSARAKHK >Sspon.02G0054140-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:110295971:110299389:-1 gene:Sspon.02G0054140-1C transcript:Sspon.02G0054140-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLDLESADISFSASRGGLLINPAVFINSFVNYISSSPVFEGIATQELLDVLALGVGFCIKSDYIVTNRYTERGATAVPFGAHVESEESQLSVIKCLDIELTWLSLTLVVVDKSGTHQGLTFEVDASLQQMNLGMEFLFEVKRLSISTVSSIRKNSREQLRDVPGPRFRSSKSVDLSPQSEIQEYLPFVEADNMRSYDHEAPSSSTSALGSLTGNTSLDFSSHENQILKHFSAYLKIKRKKFDGHSGLEHLCGGWSGSGSLSGLEVAMSLSNIENNEGKELALSFSRGSDFVEISSDVDKPCSIWSTLPFRVDSSEDDSDDGKPYKVIPRSSYHLVNKKNNYGIAFVDGLLEFVKKPGNPFKVQVFDESVFSDASRLTVNHMNLDNNTYLDVDDDVPFSVRDRLATGASSQHIIINVDKIVFTITHEVSDTDNVFQLVQTCISDIRGVTQIFPSKIRILSSFKVSAQYFIARRNLWSVLC >Sspon.01G0009810-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:27502238:27504031:-1 gene:Sspon.01G0009810-3C transcript:Sspon.01G0009810-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRDAGLDLRVSLRTDGDALPEWVADAADADPDVLFTDRSGHRRVGCLSFAVDELPVLVGKSPLQAYEAFFRSFADEFNDFLGSTITDVTVSLGPNGDLQYPSYPPGNHGSHGYAGIGEFQCYDKYMLARLKRHAESSGQPLWGLSGPHDGPRYDESPESSAFFREPGGSCKSAYGEFFLSWYAGELLAHGDRVLAAASRAFGGKPVALSAKVPLLRGPSPVEATAGLHGGYGPIAELFARHGCAVIASGVEARPDATAEERLARVKAACAEHGARLTAESAPLAVARDGAGSGSGAAGGVWLSAGRTRPCQFTYQRMGAEFFSPAHWPLFVRFVRALECPEEAHEDDLPASADGSERLTVPSAAAAAPQGEAAREVQTV >Sspon.02G0051540-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:79930658:79931748:1 gene:Sspon.02G0051540-1C transcript:Sspon.02G0051540-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFVCVLLVLVALEGWCLHHLDVKFAFLNGDLKEEVYVCQPPSFIVDVQENKLVHAAADGGASTAVKMILCYITGTTDYGLHYPRRPGMAHFIGYSDNDHAANINTSKSTSVWNSVLARKCLISWQSVKQQVVVLSSFEAEYITATSASTQAIWLARLLGDLLGRDAEAAELRVDSKSTLALAKNPVFHERNKNIRVKYHFIKGCLDEGSVRAN >Sspon.07G0020120-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7A:74905067:74906193:1 gene:Sspon.07G0020120-1A transcript:Sspon.07G0020120-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSGEIDGYDAVFLSPHKFVGGPGTPGILVMNKALYRLNAQPPSTCGGGTVAYVNGFNEEDTLYYDDIEEREDAGTPPILQKIRASLAFWVKEYIGYDRMSLREQVYSEMAMKRLISNPNIRVLGNMDVERLPIFSFLIYPPVTNNPLHEAAAADEPAFKRLPLHGRFVTRLLNDLFGIQARGGCACAGPYGHTLLNIKNDLSLRIRSAILEGYSGLKPGWTRLSFAYYLSKEEFNFILAAIEFIALYGHRFIPLYKFDWITGDWTFRKQAIKYHIMKEELALATGLHLLAENGQPKVSDKLTNKPG >Sspon.01G0049760-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:91883821:91885700:-1 gene:Sspon.01G0049760-2D transcript:Sspon.01G0049760-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAMRVESVEEAKQAAVILPAASQEQRRVFPAGMLKLFLGLMLLGVVVGLSAFGVFLARHAGEVAAVAPALFRPCLGAAAAEPEPEEGLERWTRPPARAQHAMTDEELLWLASYAPRARGGSGYPFRRVPKVAFMFLTHGPLPLAPLWERFFQGNEGRYSIYVHTMPLYRANFTADSVFYRRQIPSKAVQWGQMTMCDAERRLLANALLDISNEWFVLVSESCIPLFDFNTTYEYFQNSSHSFVMSIDDPGRDGRGRYNLNMAPEVELEQWRKGWQWFEADRDLAVAIVKDTVYYPKFKQFCRPGCYADEHYIQTMLKIEAPHKLANRTATWVDWSRGGPNSAHPATFGRGDITEEFLKGVREGETCLYNGQNTTLCYLFARKFAPSALQPLLELAPTVLGFG >Sspon.02G0034070-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:11665688:11668771:1 gene:Sspon.02G0034070-2C transcript:Sspon.02G0034070-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRSPGNSPRRLSPSPTPAPSTPRPPSPTPSTASASASALATASSKRRRPEVLDEDTYVAAIERIIERDFFPDLPRLRDRLDWLQAVRSRDPLILRDAQLKILDRRRRVQRRGTGPVPTPTPATSTALRSPSFLTTPAGSVAGGVGAPEEEEDDIADALSLDGFFCRFTSEDNESFSRILDKVNQRRRERYAHLLEPAEVGNKPLLEDAERDRITDGYGTSGQPPSTLEGAKFVAKNLLMYYPADRGEAPLTEEERAERLKAMTKEIDKSNTRLHGRATADDARPREEEAAILYAPVASSTPGGMAYHDPDKLKKYDLEDLRKTPNPFYLESDKKADNGYSFVRTPSPAPGVDESPFMTWGEIDGTPLRLDPDETPGGSERAHFKIPPPPARDVKAHLLSRDAARKIKERSKIFHRPPLPSPARGGSASPRTLSPAAQKFVRNAISKSAKSSNTIDESLRASYRGSTPSASTPKTRFSRDPGLASRSPSTRQGSTPPCKLVVG >Sspon.08G0017020-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:54447901:54460757:-1 gene:Sspon.08G0017020-2D transcript:Sspon.08G0017020-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding METLASAMRRENRRSKAPSSSSVATALASGRVPLVMAFLSGLAWLYVAGRLWQDAQTRAILSGFLEKSSGSVPKVLSVEDKLRNLGCKAIGSKIVEAEMDLTKAKSEGYLWGNRTAAVDSDKKQQLLAVIGVYTGFGSRLKRNVFRGSWMPRGDALKKLEEKGVVIRFVIGRSANRGDSLDRNIDDENRQTKDFLILESHEEAAEELPSKAKFFFSAAVETWDAEFYVKVEDNINLDLAGLIEMLEGRRGSQGLYMGCMKSGVVISEEYVLSLFNHQVTGRGVPEALLDDIQEVTREFFHLPSKEKLA >Sspon.02G0040510-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:70074113:70074580:-1 gene:Sspon.02G0040510-1B transcript:Sspon.02G0040510-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQLDKLTYFSQFFWLCLLLFTFYILFFNNNNGILGISRILKLRNQLLSHRGNKIRSKDPKNLEDISRKGFSTGLSYMYSSLSEVSQWCKTVDYLGKRRKITLISDFGEISGSRGMERQILYLISKSSYNTSSSRITCWKNIMLTHVPHGQGSII >Sspon.07G0022490-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7C:6021895:6022337:1 gene:Sspon.07G0022490-2C transcript:Sspon.07G0022490-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVIRAQRKGAAGSVFKSHTHHRKGPARFRALDVGERSGYLKGMVTDIVHDPGRGAPLARVTFRHPLRYRQQKELFLAAEGMYTGQQIYCGRRANLSIGNVLPVGTLPEGTVICNVESRVGDRGALARCSGDYAIVISHNTDNGTT >Sspon.01G0006540-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:20683485:20685140:1 gene:Sspon.01G0006540-2B transcript:Sspon.01G0006540-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAPAAVMERERLTAEMAFRGADEALRGGAGEPAPSIVIKIRRRLPDFARNIKLKYVKLGIRHGGSPTSVLPMLCVPAIAAAAYSFVRLDVIYYSIDLLTCVAWLGTALLLLTVYYFKRPRPVYLVEFACYKPEEQLKISKSAFLEMTESTGSFNEAALDFQTKITNRSALGDETYLPPGVQARPPRLNMAEARMEAEAVMFGCLDALFQSTGIDPRRDVRILIVNCSLFNPTPSLASMIINHYRMREDVKSFNLGGMGCSAGLIAIDLAKDMLQANPNSYAVVLSTENITLNWYFGNDRSMLLSNCIFRMGGAAALLSNKRADAGRAKYRLLHTVRTHKGATDECFNCVYQREDEVGKVGVSLARELMAVAGDALKTNITTLGPLVLPLSEQLKFVKSLMMRRVFRVKGVRPYIPDFRRAFEHFCVHAGGRAVLEEVQRSLSLQDTDMEPSKCALHRFGNTSSSSLWYELAYAEAKGRVRRGHRVWQIGFGSGFKCNSAVWRALRDVPALSSSSSTGAAAGPDRKGAQSKSCCNPWVDDVDRYPPKAYV >Sspon.03G0009570-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3A:25980597:25980998:-1 gene:Sspon.03G0009570-1A transcript:Sspon.03G0009570-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLQERKGRKARTEAVQVHTGMASKGERQRDDGRAHVRPSAPPNICASSSNQERKAKAIGRRVQWRRDSQHKSVTIPRVLGSGHEDHTRRPGKEASGRRACPGDDPFPGTATKEAASAVPLGLASLGRRAKQ >Sspon.07G0010300-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:31449791:31452230:-1 gene:Sspon.07G0010300-1A transcript:Sspon.07G0010300-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQHPTCAPPPAPAPVPVAWAVVPVDFKVVKKGPEMAMHDATGRLAFRVSASDGGATALQDDAGGVLVTVRSGGQGKWQAFSGNSLEERHIIFTAKVISASSSRKEVHVFISPRSTVEDSKPSYRLIGSTFRRACTIIKGDSIVAQTNLLYKLKKTIYSRPMPAFDRGQFNAIVSRFHSKARKYQ >Sspon.03G0038030-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3C:2865403:2865794:-1 gene:Sspon.03G0038030-1C transcript:Sspon.03G0038030-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLENGGGGGALNNQQLVTPDPAADYLRSGEIAVLCFLAVVLSSLGALLVGLAFDTIPLELDESGQVVFQSDSDGRAFVAELTCGGVALGVGCGSAAILALRCCSGSNSFVC >Sspon.02G0003770-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:11920869:11922541:1 gene:Sspon.02G0003770-1A transcript:Sspon.02G0003770-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLTMATGQEESLLLLLLPTTSPLPPLMAVFILAAVLLWLSPGGPAWALSRCRRPPSGPTGVVTALSSPVAHRTLAALSHAVDGGKALMAFSVGLTRLVMSSQPDTGREILVNPAFSDRPIKDAARHLLFHRAMGFAPSGDAHWRGLRRLTANHLFGPRRVAGAAHHRVSIGEAMVSDVAAAMARHGEVSLKRVLHVASLNHIMATVFGKHYDMDSQEGVLLDEMVTEGYDLLGKFNWADHLPLIKHLDLQGVRRRCNRLVLKVEVLVGNIIQEHRARRANGGVDDEYMGDFVDVLLDLEGEEKLSESDMIAVLWEMIFRGADTVAILMEWIMARMALHPDIQAKAQAELDAVVGGGRDLADADVANLPYIQCIVKETLRMHPPGPLLSWARLAIHDAHVGGHLIPAGTTAMVNMWSIAHDPAIWAEPDKFRPERFQEEDVSVLGSDLRLAPFGAGRRVCPGKMLALATTHLWIGLLLHKFEWAPAAAGGGVDLSERLNMSLEMATPLVCKAVPKAQA >Sspon.06G0019590-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6B:3354634:3355264:1 gene:Sspon.06G0019590-1B transcript:Sspon.06G0019590-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AVHGRQHPEPEGSPAEVYALGVPAIVQQQPGGGRPWSCHVSRLSAVAGQWARTHVVSAFLSRSPFPPSLPRLACMPGCCVVPDECTTWWRLQKRVIQCKSNLLLAIVDSSPGRCRLGARCGGLVGDWHRGA >Sspon.07G0015780-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7A:56165141:56165845:1 gene:Sspon.07G0015780-1A transcript:Sspon.07G0015780-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPSSPSPHPLPDLLFLLVLPVRPLRARRRRVPLPRLPLLRGRLPRGDGRAAAPHSLPPPPPLAPPPRPPPRRRRSRRPPPPPRRARRGGGAGADSRASPFNPVIVLRRSPPTATTDAADGSSSPTANPTTGGSSFELFYDDGAGSGLRPLPDSMSDFLMGSGFERLLDQLAQIEAGGLAAARARDAPPASKAAVESMPVVAVGAAHVAADAHCAVCKEPFDLGAEAREMPCAH >Sspon.06G0001580-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:29733362:29737802:1 gene:Sspon.06G0001580-1P transcript:Sspon.06G0001580-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVVSGMLRARLRGAARVRGGGGEGAGRWTTPGHEERPKGYLFNRPPPPPGESRKWEDWELPCYVTSFLTVVILGVGLNAKPDLTIETWAHQKALERLQQQELAAADAHAECI >Sspon.07G0010640-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:34473369:34473616:-1 gene:Sspon.07G0010640-1A transcript:Sspon.07G0010640-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIEEVRELLGDLPTEMPSFLSDGTIRRFLRAKNWSMEQATKALKEAVKWRRQFKPDKICWRFFSNNN >Sspon.02G0011320-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:28854629:28858366:1 gene:Sspon.02G0011320-2B transcript:Sspon.02G0011320-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:MBD2 [Source:Projected from Arabidopsis thaliana (AT5G35330) UniProtKB/TrEMBL;Acc:A0A178U6X6] MESSKSPQSSKNSQITVPSESNGPRFDNDGFASETASNQMVVFNTEAGDKEQDELGENHLQKSVITRGISPSIGAFTVQCAKCFKWRLIPTKEKYEEIRERIIQEPFVCKRAREWKPDVTCNDPEDISQDGSRLWAIDKPNIAQPPRGWERQIRIRGEGGTKFADVYYTSPTGRKLRSLVPPRESEYVAQGVTLAQFSFQIPRPLRQDYVKKKPKLINPSDEASTITSKSFQPEEVNPIAWAVPTAHEGDASEEASLADETLASEVVLTRKRKVESFSSVEPNHLSDELEPKLADAQNGEFN >Sspon.07G0005770-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:14909305:14917252:-1 gene:Sspon.07G0005770-1A transcript:Sspon.07G0005770-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVNEEAMAAHKRAFLDFLDQDVSPLAVPSAAAAAGSPGPRLTFLPPTAGREGVYMQAVRDMVQNKRHRLIIGMDDLRNHNLDLARRVIRSPGEYMQPASDAVSEVARNLDPKFLKEGERVMVGFSGPFGFHRVTPRDLMSSFIGTMVCVDGIVTKCSLVRPKVVKSVHFCPVTGAFLSREYRDITSFVGLPTGSVYPTRDENGNLLVTEYGMCEYKDHQTLSMQEVPENSAPGQLPRTVDVIVEDDLVDCCKPGDRVSIVGVYKALPGKSKGSVSGVFRTVLIANNVSLLNKEANAPVYTREDLKRMKEISRRNDTFDVLGNSLAPSIYGHLWIKKAVILLMLGGVEKNLKNGTHLRGDINMMMVGDPSVAKSQLLRAVMNIAPLAISTTGRGSSGVGLTAAVTSDQETGERRLEAGAMVLADRGVVCIDEFDKMNDQDRVAIHEVMEQQTVTIAKAGIHASLNARCSVIAAANPIYGTYDRSLTPTKNIGLPDSLLSRFDLLFIVLDQMDPEIDRQISEHVARMHRYCTDDGGARSLDKDGCAEEDNGDAIFVKYDRMLHGQDRRRGKKAKQDRLTVKFVKKYIHYAKNLIQPKLTDEASDHIATSYAELRDGSANAKSGGGTLPITARTLETIIRLSTAHAKMKLRHEVLKSDVEAALQVLNFAIYHKELTEMEEREQREMEMKQQADHDAGATGGTEDGHGSSGNDPMDVDVGNTSNDQNVPAERIEAFEAILGQHVLANHIDQMSIDEIEQAVNRESTAPYTRSQVEFILERMQDKNRIMIRDGVVLRLQVTMINTSAMAIVNSTDQLLKILPSSLLGKLPLRYLVKKLTAFGVLQNKVNQLQES >Sspon.07G0008310-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:22779457:22784348:1 gene:Sspon.07G0008310-1A transcript:Sspon.07G0008310-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVQRLLRLRMASHSCSRPAPAAALAPRAARPLSSLLLAPSPSAARPRSAPLSCAARPCRGHAAASASRGAAGRRPPSVAAMSSSTPPPGPVQKSEEEWQAILTPEQFNILRRKGTEYPGTGEYDKFFEEGIYKCAGCGTPLYKSSTKFNSGCGWPAFYEGLPGAIKQTPDPDGRRTEITCTACGGHLGHIFKGEGFKTPTNERHCVNSISLKSFL >Sspon.04G0018550-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4A:66657938:66661498:1 gene:Sspon.04G0018550-1A transcript:Sspon.04G0018550-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSFGMDWNQKASVLWDWELPIGANGSENPRMAAAPQGEAKFAGLEATRHESVHSSCGTFSSSSEMGYGSSKSSVSALTDSSPKAKGNSMELNFAPAKAPDKNTDSGKADDARTSPLSVIAISSGEPVLSLKLGKRTYFEDVCGGQSVKSLPSDTSAVTPPASAKKAKAAPNTQKSYCQVEGCKVDLSSAKEYHRKHRVCEPHSKAPKVVVAGLERRFCQQCSRFHGLSEFDQKKKSCRRRLNDHNARRRKPQPEALSFGSSRLSTMFYSSNTRQQTSLLFGQGPYGQMRSCASSSWDNPVGGFKFEETKAPWLRAAGVDGFHLSSQKVWNNFMPHGVHQDFDGFTALKGTSAKVLDQGIETSAAVSNPNGAPDLQHALSLLSNSSAGAGTNQQPIAQLHHHHAGLSTLVASSSSAMQASSPGLWQDGGGAALGHHAHAHAHTRFQALDATGSGAAIATTAQELLQLPRPPSLYDSSSSHYDLMR >Sspon.08G0029410-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:36474609:36476575:-1 gene:Sspon.08G0029410-1D transcript:Sspon.08G0029410-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding CGRLGGARIHGRLLCPSSPLLSGLVPNRILIGHRTARAPDRRSPRPDDEEEVGDESVQATGSKTRRPIHPPASDPIRSDDMVCVACLLPLFLIPVVNALPYLIDLIISKVYRLFGWEYRRPERVPPACPYKPAAQKNDEGASESKPLVNPHGAAAEDKKEE >Sspon.03G0034750-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3C:73490977:73494879:1 gene:Sspon.03G0034750-2C transcript:Sspon.03G0034750-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSSSKDGLNKSPNPQRLPISRPHGSGTKGNQIRLRTNHFKVSVHSTDVVFYHYNVNLKYEDNEPVKSKGVGRTVIDKLQDIYLANVNYAYDGEKKLFTMCALQNVKDEFIVVVEDGSSAKTARSRIPGGNGSPEGSDTKRMKRPMPYVSTTMIVEPGPVINFILSNQYIKDPRRIDWGKQRNGNGSDFVEITVYDYYLKHWDIRLQDSANFPCLDVGKPKRPTYLPIELCHLVSLQRYTKALTTLQRSSLVQSSRKNPSERKLDLSGALQRSNYNSDDMLKKCGISIAPEFAQVDGRVLQAPKLKAGDGQDLIARDGRWNFMNRKLIEAKGVDTWAVVNFTTKWNLRDLQDLVRRLINCGGNKGIIISPPQSIFEERLQRNASAASRVDDMSRLERRLKRSFVNPQLSCCVFFQIRTVTFMLGGLNSLLEIERNQAIPIVSMVPTIIFGMDVSHGAPRSNVPSIAAGWSCEGQFNQVLNIELAQIIE >Sspon.05G0018910-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:78732153:78736652:1 gene:Sspon.05G0018910-2C transcript:Sspon.05G0018910-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSTDQQGLLDAQLELWHSTFAFIKPMAFKAALGLGIADAIHCHGGTATLTQIATKAALHPSKTPYLRRLMRVLTVAGIFSIAKNSSDDDDGDHVYSLTPASRLLVGSSRNLTPTLSLILNNIFVSPFLDLGTWFEHELPATDLPLFELSHGKNVWDVVGHDPSMSQLFNAGMVADTRFLMDIAIKECGGVFQGISSLVDVGGGHGAAAQAISVAFPDIECTVLDLPHVVATAPACAGLSFVAGDMFEAIPPANAVFLKWIMHDWGDSECVTILRNCKKAIPPRGAGGK >Sspon.03G0022670-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:87970150:87974551:1 gene:Sspon.03G0022670-2C transcript:Sspon.03G0022670-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRRCSHCSNNGHNSRTCPARSAGGGVRLFGVRLTTAPAPAAMKKSASMSCIASSLGGGSGGSSPPAVGVGGVRGGGDGGAGYVSDDPGHATCSTNGRVERKKGTPWTEEEHRMFLMGLQKLGKGDWRGISRNFVVSRTPTQVASHAQKYFIRQTNSSRRKRRSSLFDMVAEMPMDESLAAAEQITVQNTQDEATISIQLPTLHLEQQKEAEFAKQLPTFQLRQHEESEYAEPSLTLPVLEMNSSVPFKTIAVPPVPAFYPALVPVPLTLWPPSVAHVEEAGTTHEILKPTPLNGKEVIKADDVVGMSKLSIGEASSGSMEPTALSLQLIGSTDTRQSAFHVSPPMNRPELSKRNRSPIHAV >Sspon.05G0021550-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:83418157:83423688:-1 gene:Sspon.05G0021550-1P transcript:Sspon.05G0021550-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPPPPTADPELPTTTTSSAPKSSSRPAAARLLDPLATSARSLLASARRSPVTTLAGAFFLLALVMYGEDARTIAELSIDDYLYPDADLYNVSGLPPLALPPPTCDLSRGRWVFDNVSVPAYREKDCTFLTKQVTCLANGRPDDMWQYWRWQPNDCSLPTFDARRFMEAMRGKRLMFVGDSLNRNQWESLVCLVQPILSKGRKKIVKRGSFNTFYAKEYRATLEFYWAPFLVESNSDNPNFHSIKERIISPERIESHAKNWKDVDYLIFNTYIWWMNNADIKVRRPNSKSWSENDEVPRIEAYGQVFKTWSDWLNDNIDPARTSVFFMTISSPHLSPQNWGNPDGIKCVKETLPYQNHSQPLDLYHDMRLYDLVVNVARSMEKVPVSVINITKMSDYRKDAHTGLYTFRQGKLLTSKQKEDPEKFADCIHWCLPGVPDVWNQILYTRILSKSSWHSNFSTPTSYPCQTT >Sspon.01G0037430-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:16156321:16159404:1 gene:Sspon.01G0037430-2C transcript:Sspon.01G0037430-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVMEGTVIGTAIESKPGNEQKDSLTEVPRATETLVARDCEVEKLIGLEDDTELTPYEGMEFESEDAARDFYSTYARSAGFRIRISRYTRSKRDNSVISRRIVCSKEGFHETRACDGLHSEQKQQERAGTRVGCKAMILIKKFDPGKWMVTKFVKNHNHGPVPPRRLDSRPADQDCDQIEKPHSIEADPVEEPFEGMEFESEEAAKIFYVNYARLNGFRARISRYCRSRRDNSIISRQIVCSKEGFREVRTKKMMTDEGKTKRPRMITRVGCKAMIVVKKMNSGKWMVSKFEKEHNHSLSHSKMVPSTSNITSREVADFAAKSADPNEVKSEGFGAGIQCNPADSLTVLYNNLCQEAIKFAKEGSVTEEIYHVAVSALKEAAEKVAEVKRSRPTLPHCGFISESKHDVLQVKTTSALQCSNQVELTITSPGSRPVQDSASNLLLIPTNILTDSRLYNGVDTVPLSREGPYRLLAAPIQAVPISYCPAEPIRQPQKGICALGPFGGVLSELNNQGTGPKSLVHATALACGARVVPLEEAASLINAIESKIRSGGAIIAKLPSSNLTSPVPPSIAMSSSSEDEEEHDHSEPLMVDVDRNFNQQSSDEMKLQSEPSESETEADN >Sspon.06G0028340-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:5558847:5560189:-1 gene:Sspon.06G0028340-1C transcript:Sspon.06G0028340-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAVAHIRNLGHGEETNPTPDIAAAHLAALRRSSSPPSADAGARSPPDSAPLLRSRPNGGGGRCAQTRYMALMDLQGMRGISNKLLIDNDRENISVDFIARLKLQEVEWPRSVSIWENRTEMLEALKILVT >Sspon.05G0039090-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:61236342:61243229:1 gene:Sspon.05G0039090-1D transcript:Sspon.05G0039090-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPASMAEVAVVAPMSVLEKPIDAPTTASANKKPPSSSNDKKRKGKGPPDPVLATEAPIAKWKGKGPPNPAPAAEAPIAKKAKTGGGDTRTFTALLPYGLPAPRTKRKWPPSATKRFKPPMAKKLSPVVTRSPMMRLLVSPLVVQPRSPSLSPRRSPSLSPWRSPVRSPRTSPACSLRSGAAHLGSHDHGKPRKLRSAIWKDMDLIYQDGKVIQGRCKHCYEIMDERVIVEGSVQHNPLNEGSILWITESRTSLGIVDEIARLLDGCDIFQY >Sspon.01G0005350-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1A:14531392:14531655:-1 gene:Sspon.01G0005350-1A transcript:Sspon.01G0005350-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKAVDDAGAPLILRDSFRMRRQHHGAMQPARYRSNTGSIRLSAPQTAKAAATPGVACARLYHARAGSGRTVRALRLEVNCAVRQTL >Sspon.02G0046640-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:5285451:5285675:-1 gene:Sspon.02G0046640-1C transcript:Sspon.02G0046640-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMPGIVTVAAVVGGAVSAYFLWPTAAVPAAAAATMKAPGAMGFLISRAAFLANPQLYFQILRTAGAAAAAAAFA >Sspon.02G0043680-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:124840278:124841271:1 gene:Sspon.02G0043680-2P transcript:Sspon.02G0043680-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SNETFLITINAAGCVIETIYIVMYFVYAPKKAKMFTAKIMLLLNVGVFGAILLLTLLLFKGDKRVVMLGWICVGFSVSVFVAPLSIMKRVIQTKSVEYMPFSLSLSLTLSAVVWFLYGLLIKDKYVALPNILGFTFGVVQMVLYVLYMNKTPVAVAEGKDASGKLPSAADEHVLVNIAKLSPALPERSSGVHPVTQMAAVPNRSCAAEAAAPPAMLPNRDVVDVFVSRHSPAVHVV >Sspon.08G0004140-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8A:11994832:11995929:-1 gene:Sspon.08G0004140-1A transcript:Sspon.08G0004140-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSFSNLTDAACVVYQFKINGYSATKSMARTDSLPSKRLAVGGYEWEVHYTPSHVVVSGCWIAFRLVLLSAPRRNDVKAAFRCRLLYTSSNSYYGHERGACVRDSNGNVEGQMSHAFKRAKESSGWIPLRKRNDVEAARVIENDSFTAECTITVVTELPPEPDTAKTIVVRPPIPPLSGLHSLHHDLGELLGKATGSDVVLVVSGETFAAHKAILASRSPVFMAQFFGPMRETRSERVEIMDMEAAVFGAMLRFIYTDMVPELERQEDGVVIAQHLLAAADRYGLDRLKSMCEDKLCDGTRVETAAMTLALAEQHGCPKLKARCVEFIAANLDDIMATEGYKHLMTSSPLVLNDLLRAVRGRKN >Sspon.06G0001480-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6B:3382994:3385428:-1 gene:Sspon.06G0001480-2B transcript:Sspon.06G0001480-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHAVAEIGERFAFYAVAANLITFLTGPLQVGIAAAAVATNAWSGTALMLPLLGGAIADLWLGRYLTIILASLLYTLGLGLLAVSTLVGHHCNNTATTAGEKCPPPPTVQVTLFYVSLYMVALGEGGHKPCTHAFGADQFSQSDPGESVSRGSFFNWWYFGVCAGTAATLLVVSYVQENHSWGLAFAIPCAVMACTLVVFLLGTRTYRYTDTSGTRNLFAYAAEAFARWRWRRRRSKRRAAGGAMISSSSSDEEAQVAATTTTTNTAVVVSEAKHVLRLFPIWAMTLVYAVVYSQSMTFFTKQAATLDRRVGELVKVPAAALLAFISITIMVLVPVYDRVVVPLSRRYTGRPSGITMLQRIGAGMFLSIVSTVIAALVEEHRLRVARDAGLTDKPKVQLPMSLWWMVPQYVVFGAADVFAMVGLQEFFYDQVPDRLRSIGLALYISIFGIGSFVSSALVSGIHRATAARGQSWFSDNLNHAHLDYFYWLLAALSALQFFAYFNSLSYSRAFSTCIDKTDRYLAGWQASLLNAMGRTVLINSVLDSQLIYAMCALQLPPGVTALMDKRRRSFLWCGNDAPSGAQSLVAWEKVCWSRENGGLGV >Sspon.02G0019260-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:62619624:62622166:1 gene:Sspon.02G0019260-1A transcript:Sspon.02G0019260-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAQRALLDELMGTARNLTEEEKKGHKELKWDDPDVCGPYMVRFCPHDLFVNTKSNIGPCSRIHDLKLKESFEKSPRHDTYMQRFEAELAQQCEKLVMDLDRKIRRGRERLAHDSAVPMPIPGKIAEQLSVREEQVKKLLEQIEELGEAGKVDEAEALMRRVDILNAEKTALANQADNKVAMLEKKMELCETCGSFLVADDALERTQSHVTGKQHIGYGMIRDFLAEYKVNYHFTSLEV >Sspon.02G0015400-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2A:41990802:41991362:1 gene:Sspon.02G0015400-1A transcript:Sspon.02G0015400-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAHRTSGHTASTSTAAAAATMIASSPPSPVRPPHPAPIGPWDPNSSCRTCFSDFAAVLVVLVCFSCVGLCIHYAARVLLRCLDRRSRAAREAQAQEPKPASDADGGSAGASPVAAGVWAEAECAICLAELDDLEGGERVRVRVLPACGHGFHAACVEAWLATRASCPTCRAPSSSRSRPSRTTRA >Sspon.07G0008230-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:19816419:19818307:-1 gene:Sspon.07G0008230-2P transcript:Sspon.07G0008230-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LQALNLRENSGSLNFMAQFPFYREILYKPDFKNKKIGPPVIFDMDMSPGDFISLIYLLKAPIEVIDIKISFLFYECNFTVRYTAENSVKYGAPRNTDHPELRQPSAFEVWQSIKEQLLPSEKITILTSGPLTNLANIMLSDRNTSSVMQKVYVVGGHIRGENDSKGNVFTVPSNRYAEFNMFLDPLAAKTVLESSLDITLIPLRSQRKAASFKSILHALKHTDHTPESSFVHRLLFLLHELQQKHRLYHSQDIFLGEVLGAVYLVEGFSMRPFIQSMPISVVANSSRSTNGQIVVNKQSANSVKVLVDFSSGKYYSRVGKSLGNKEQSAVVGSFAEQNTIWSRPPEILR >Sspon.03G0014850-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:47652498:47655859:1 gene:Sspon.03G0014850-1A transcript:Sspon.03G0014850-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQSFCDAVAGTAAPAATRRLKLKRPSASLMKARKLRNKAAGGKRPGAAASRKRVMAIRRKMEALRRLVPLCGDDGVAGRDSGGERLDELLLHAAGYILRLQMQAGAYRRVKPTLSGKARDRSAWRPHSPFLATTMPPATATTGATVTSTTTTARGPTSPYSAAMAIHNEDASADITSWLA >Sspon.05G0019710-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:81560515:81565300:-1 gene:Sspon.05G0019710-3C transcript:Sspon.05G0019710-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSKLSEIVDIWVQESAQMEQLEINKYCSYKWMTYGEASTNRTAIGSGLIYHGIPEGARIGLYFINRPEWIILDHACAAYSYVSVPLYDTLGPDAVQFIVNHATVEVIFCVPQTLSAILSFITQMPCVRLIVVVGGDDSNMPTAPASTECKIMTYNRLHNEGRMSPQTFRPPKPEDIATICYTSGTTGTPKSSAFTFQTGEVGLSIFAQGAVLSHENLIANVAGSSLGIKFYPSDMYISYLPLAHIYERANQVALLHYGVAIGFYQGDNLKLMDDLAALRPTIFASVPRITNAVKESGGLRERLYHTAYNAKRHAMINGTNPSPMWDKLVFNKIKARLGGRMRLMTSGASPLSPDVMEFLRICFGEVLEGYGMTETSCVISTMNIGDRSIGHVGSPNPSCEVKLVDVPEMNYTSEDQPYPRGEICVRGL >Sspon.06G0023450-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:43515125:43518723:-1 gene:Sspon.06G0023450-1B transcript:Sspon.06G0023450-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLRYCVIVAKATPLIAGLAVAAAALAGCWLAQHMESEPPALAELGPLAHGKSRETANAEKVKEAHKRVMVANHPDAGGSHYLASKINEAKDVLTGKTKGDMVFFRSSGFREKNCKLDEILRFTKTNQLELPFIDSFVSVLSHNLV >Sspon.05G0011570-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:24632056:24633720:-1 gene:Sspon.05G0011570-3C transcript:Sspon.05G0011570-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGRSPIPSSETETIQDQGSKTAKDQTPKIAKDVTQLIGKTPLVYLNKVVAGCEARVAAKLEIMAPCSSVKDRIGYSMIADAEEKGLITPGKSVLIEPTGGNTGIGLAFMAAAKGYRLIVAMPASVSTERRAVLRAFGAEVVLTDPTLTMDGVVRKAQEVAARTPGAHVLQQFANPANPRAHYETTGPEIWTATAGKVDALVAGIGTGGTVTGAGRYLKERNPAIKIYGVEPSDSAVLSGGKPGPHKIQGLGAGFVPGVLDVSLLDEVFQVSNEEAAGMAKQIALEEGLLVGISSGATAVAAVRVARRAENRGKLIVAIFASFGERYLSSFMYESLKNEAESMAFEP >Sspon.08G0002130-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:4983619:4997818:1 gene:Sspon.08G0002130-3D transcript:Sspon.08G0002130-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQGTKTNGIPKLTCRGGAESTTTNSDEPFDSRGPGARPEPPNFLLLASRSSAIGEFLPLLVLTCQPTMGWGNTITRRLKVFSMALFIYFDYKAVQKRVQWVSTGKKSAIWKKTHERNACRVLNLMIELEGLWVKMGQYLSTRADVLPEPYINVLKQLQDSLPPRPFEEVRGTIEKELGEPMSDLFADFVVDPLATASIAQVHRATLADGREVVVKIQHDGVKEIILEDLKNAKSLVEWIAWAEPQYDFNPMIDEWCKEAPKELDFNHEAENTRAVSRNLSRKTDCGSGSVSSAVDVLIPEVIQSTDKVLILEYMDGIRLNDNDSLEAYGVDKQKLVEEITRAYAHQIYIDGFFNGDPHPGNFLVSKEPPHKPILLDFGLTKRISKSMTQALAKMFLSCAEGDHVALLSAFSEMGLKLRVDMPQQAMDIATIFFRQSTTASEAKENIKALNDQRERNKKALQEKMKLNNKEVQRFNPVDAFPGDAIIFMRVLNLLRGLSASLNVRIVYLDIMRPFAESTLLGSLTHGQIPNSQWIFDSPANSDVESKLRNYLLELGSNKILGIQVCAYKDGKVIIDTAAGMLGKYDPRPVQPDSLFPVFSVTKGITAGMVHWLVDKGKLKYEETVANIWPNFGTNGKELIKVHHLLNHTSGLHNALGDVVKNDPLLVCDWEETLNQITKCTPETEPGSTQIYHYLSFGWLCGGVIEHASGKKFQEVLEEAIVRPLHIEGELYVGIPPGVESRLAALTVDTEELQKLSEIRAGADVPPALLNNIAQMASGLPALFNTLNVRRAIIPAANGHCSARALARYYAALATGGSVPPPHSSDSKPPLGSYVHTPKFPTAPLKKKKGTGKKKGSSSSTGNLQDVSSTDKNGYSQLRTSDADSEAAVVLGSGGGSSRMFSSDKILDAFMGVGEYEGMVHQDGKFGLGFRRYYDASSGKLRCFGHSGMGGSTGFCDVENNFAIAVMVNKLSLGSVTRGVIRLVLEELGLPVPDEYSATGEKGPDMVLNLTPPEQLR >Sspon.01G0016550-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:54314437:54317649:1 gene:Sspon.01G0016550-1T transcript:Sspon.01G0016550-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DHMELAGTWRKVMPYMAMVFLQFGFAGMFLISVASLRQGMSHYVLVVYRNVVAAIVMAPFALWFDRKTRPKLSLSVFIKILALGFSRPVLDQNFIYMGVNSTSASFASALTNVLPALTFVNAIITRMERIEIKERRSQAKIAGTAITVGGALLMILFKGPIVNFPWSKHVNGAVSDSGAHNSGHWLMGTFMILLSCFCWSAFFIFQSYTLRSYPCGLSLTTLICAMGATESGAVALVMERDTKAWSIGFDMRLFTAVYSVSINSC >Sspon.06G0016710-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:72654283:72655873:1 gene:Sspon.06G0016710-1T transcript:Sspon.06G0016710-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MACKYEQNPCLDIDIVEFRTKVAAVHASDDDSTDPDSDKSKGWDEFEDFTVNLMLRCNIALLDSFRLDIDKGSRPQSQYYGYYSSATQGWEYSGRQAAAWLRRAMKYCTPGSGDAIIGPQRQGLNLSPSSWRLRRLHLCHVPLDNQFAEHLRSVCCSLEDLELDHCTCEIRSIASDSLKNLVLKHCTWDGFLCDIASPTLKTLVIDGGSTAFGCTLAISAPMVAYLCLDVDADHFLGGVSMNKMTSLDRASIHLRCHDYSLSKSIVASKLRGDQSKLLCSLSNVTSLELSSVGTTVLGEEPTFLEFQNLRNLLLHDCDLSDDFHILRFFHQGSPNLEKVTLRHCKFPGDSEDKEGTCKLDKTSSSGCCCSLDFLRDENVELRIIHEDGDACRSTDELVRDLPNLKGSTDAAPDTATAAASGDSMPHSTGVGPRRGARHRMTSVRISGPEWERSM >Sspon.01G0000890-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:3046551:3050118:1 gene:Sspon.01G0000890-1A transcript:Sspon.01G0000890-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARFLGAGEEREEFFDSREVLSPASVASSPSSSGRHDDGGWLFAQQLLEVWVRDPGSVHERRQRFVKSLGLLDPSPYAARPGEETCSKPEASQEILPASPSAELFSAAPTFVSRGGEPTSSGDDGAATEERLECVFKNLDDGTVFVVDEMGKDGSFRSLRERRSNRTVTAAEFEQTFGSSPFIRELMRRVDDSDEPSTPEKTVMRRRRRRRLGWLRRLGIGVCVVDADAEEDDEVNSTSSASSRGCSRKVDRVKVRPYKKRSKELSAVYKGQVIKAHEGAIVTMKFSSDGQFLATGGEDGVVRVWRVVEGKRPDDRDFIEDDPSCVFFTVNENSELAPINSCEGGKGKHSKSSKGATDPACVVIPHRTFALSEDPVHEFRGHHDVILDLSWSKNRELLSASMDKTVRLWKIGCDSCLKVFSHNNYVTCIQFKPTNDNYFISGCIDGMVRIWDVPRCLVVDWVDSKEIITAVCYRPDGKGAVVGTITGNCRYYDASENHLELESQVPLYGRKKSPLKRIIGFQYCPSDPKKLMVTSGDSQVRILDGVHVVSSYKGLRSSSQVPAAFTPDGDHIISASDDSSIYMWNYANQIAPVTSRVKTVWSYERFFCNDVSVAIPWNASPAKSSISLACNIPSSRQEVSEEFHNLQDSTSCGNAEDSLEGDSLYQLPSGNFTLSNAFFAELAPRGKATWPEEQLPSNSVTPSSSALRKSQYKFLKTSCQSAATHAWGQVIVTAGWDGNIRSFQNYGLPMQV >Sspon.08G0004550-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:9900577:9903416:-1 gene:Sspon.08G0004550-2D transcript:Sspon.08G0004550-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGGGSVPTARSLGRGGGSAAATTRPINGGFGKGAATAPSSIDGSSGGGFPISSSSMDGFPFPPSPSQAWWDAAGGDPSSPGFGHSAALDASWDKDPRPPSGFMSYFGNQPQNFHLVGASIHSSPASSPPEVEILLPPPYNDNDNIRTERRILWTEDEDIRLMSAWIEHSTDSTCGADKGGKEYWSEVVESYNKTTPALRKRNLKQCKDRWHKINRWTDLFECAYVKARRLFTSGYSDQMWIDAAYKFYVEDNKDAKLGPFIGIEVWKICRKVSKWKTYNEDLKNARKRKSFHLEEERDEDDESLEEMPKRPMGQKAAKKAALAAKGKSKGSSCDDDGNSKESAIDLDKLGRFSKFQEETNAKRMKILELQQKLSSEKLETTKIAHLTAQENKEGKKLEKESKMMEAYNNLISQDTSSMSDAEKAERVTAMKCLRKALFPETV >Sspon.08G0009800-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:42878546:42886468:-1 gene:Sspon.08G0009800-1A transcript:Sspon.08G0009800-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETVVSMARVLLGSAISKAASAAADEASLLLGVQTEIWYIKDELKTILAFLRAAEVWAEQVQDLSYDIEDCMDEFKVHVKSQSLSRQLPKLGDRHRIAIRIRNLKSRVEEVSNRNTRYSLIKPISSISTDERDSYMEEIRNQSANNTDESELVGFATPKKELLKLIDVSLDDGPTKVICVVGMGGLGKTTLARKTYESKEDIPRYFSCCAWVTVSQSFDRKEILKDMIRQLLGADSLDKLLKELQGKLLVQVQHLSDCLVQGLKEKRYFVVLDDLWSIDAWNWINDISFPKNNNRGSRILVTTRDAGLAESCTSQPLIYHLEPLQMDDAVDLLLRKTSKRQQVLETGENMKHIVTKLVKKCGCLPLAILTVGGILATKKIAQWGKFFEELPSELESNPSLEAMRRMITLSYDHLPSHLKPCFLYLSIFPEDFEIQRRRLVGRWIAEGLVKARDGVNIEEVGNSYFNELINRSMIQPSTLNVEGVVKKCTIHDIVRDIIVSISREEKFVLLTKDNVTNVEEENIRHVAFHGNKCSEIGLNWSSVRSISVFGDRPMEPAPSFCLPQLRMLRVLDLEDAKFKITEKDANNIGALHHMKYLNISGTSYNFALLRSIGKLRCLQTLDLRETNIAALTTDMTELRNLRSLRCSKRLDYGYFNLMDNPKGCLTITMCVPMIFTLVNFSDRANLMSEVRMACSTCWSDTKGVRLPRGINHLKKLQVLEVVDIKGTSRKVIKEVGELSQLRKLSVTSKGATEDKYMILCAAIEKLSSLQSLHVDAEGSSDVESLEWLAFISSPPALLRSLKLNGSLADMPNWFRNLKQLVKMHLSRSRLKEDKTMEILGALPNLMLLRLYRNAYVGEKLVFRRGAFPNLKEVDIYFLKQVREIIFEEGTSPHLGSIEIYGCRLESGIVGIKHLPRLKIIALQYDDDVAKFDMLHEEVDAHPNHPVLQMSNVQR >Sspon.08G0011260-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8C:47067822:47068877:-1 gene:Sspon.08G0011260-2C transcript:Sspon.08G0011260-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLVQKQHFPLSVRPRTTVTLLSLRHQCRLPTTRFSAAAAESASRAAPFAVEDYLVATCHLTPAQALKASKVLSHLKSPSRPDAVLAFLSGLGLSDADIAAAVAYDPKLLCSEVERTLTPRLAELRDLGLSPSQIARLVLVDPARFRRPTVVSKLQYYVPLFGSFENLLHARRSNAYLLSSDLERVVKPNVAFLMECGLDACDIAKLSIPVPRLITTNPERVRAMVERAEAVGVPRGTGMFRHALLAVAFLSEEKIKAKVEFLKTTLRWSDAEVGVAVSKLPLVLKHSKDRLRRMSEFLITKVGLEPEYIAHRPALLTYSLERRLMPRHYVVNYLKENGLLEQDRSYYTAVQ >Sspon.05G0039000-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5D:58648150:58653356:-1 gene:Sspon.05G0039000-1D transcript:Sspon.05G0039000-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADRPPSSPSFFSFPSHSTPSWALVAAAPPGAAPAAGHLPVAPLTAGLPHLPAAHGAGADLQGARGSLPNLTRGSLPNPTHTAAAFTVGTDAAGTGGGEDAAPAAAGAEAPLLPAAGSPLLPAGPGTATLQAALTSLLGADAGAGGADHLPAALAALLRAAGSDAAFLHRAAMATGGGTPPLPGPSSDSALATALVAARATAAEGQARVRAAAAVWERERDAVDALARQIAEAEHLLTSPAGQDAGVTSFASPGRRVSHTAVLWHDPADPLVAQLHYQARGVQNIRLLVPVVLEPESPSYARWRDLVLLTLRRYALDDHVLVDASVAVQTPSWLRLDSIFLGNAEARALRLDASFRTFVQGDLSVGEFCRRMKGMADSLGDLGWPVEDHILVLNVLRGLSDRYAHLRTWITRQRPFPTFLEVRDDLVMEGSSLRASSRDPPLPRAPRPPRRPWLLLRRARLLHHGPPLHRHCLFWVPLPPGRAEVGGAVAVAVDAEGVAGVAGADTPRLRHLVGRRGGTMALLPAPVVRAHLHVALPRHRGRLAPTGGHAHRSSSCWSSTRRLPCYGMDSTLWDSSGGRQMGPSGPGSLVQHHGIWLPGVLCSDVTAPGPFTPFASRGAFVFFAVLFVSCLRRFYFLYYLAPAPRPSWTSSHAARIFDLVHCDLWTSPVLSISGYKYYLVVVDDFSHYSWTFPLRAKSDTFTTLLHFFTWVSTQFGLTIKAVQCDNGREFDNSTSRAFFLSHGVQLRMSCPYTSSQNGKAERMIRTTNDTVRTLLFQASLPARFWAEGLHTSTYLLNRLPSAACPAPTPHHALFGTPPRYDHLRVFGCACYPNTAATSSHKLDPRSTLCVFLGYSPDHKGYRCFDLTSRQVLISRHVVFDESVFPFSSTTTPPVPDPPSLFPTDPVVEPPFSWSPACTATPRSVPATGPGSPAGPGPSSSGAAPSSPMGTDPGPSSPGSAPGGVVPVAGAGSRPGTCPGTSVEVCRAGTGVPAQAAAAAARGPFSAGDTDTTAAVPAGSWCPAAASPTPAACSPDGDTARGWHTAASCPRGFDLRRGGLPEYAALLANQTWELVPRPPGSNVVTSKWIWTHKRRADGSLERYKARWVLRGFTQRPGVDYDETFSPVVKPATVRTVLSLALARSWPVHQLDVKNAFLHGLLTETVYCSQPAGFVDSTRPDMVCRLNRSLYGLKQAPRAWNHRFAAFLLTLGFMEAKSDTSLFVYHHGADTAYLLLYVDDIVLTASSEPLLRRIIAALQQEFAMKDLGELHHFLGVTVEHRPTGLLLHQRQYTRDILERAGMTDCNPCSTPVDTQGKLSEVEGPPVADPTAYRSLAGALQYLTFTRPDITYAVHQVCLHMHDPREPHLTALKRLLRYLRGTLDYGLLHRASSTDLVVYTDADWAGCPDTRRSTSGYAVFLGGNLVSWSSKRQPVVSRSSAEAEYRAVANGVAKAAWLRQLLAELHTPPSRSTLIYCDNVSAVYLSTNPIQHQRTKHVEIDLHFVRDRVAIGEVRVLHVPTTSQFADIFIKGLLSSTFAEFRSSLNITGG >Sspon.08G0012310-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:52574388:52577712:-1 gene:Sspon.08G0012310-1A transcript:Sspon.08G0012310-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCICAGVLHFFLFVLTPGGADVDHGAQAQELGLAALRVLPAAATATFFLGTILIVAAHIRAGGEGGGGAVAVAGEEPIQAPLGLRLLSRMALAAAAGLICLMAIAFYGAYYYGYFLSKQLDMVAVKASAYSFNSILSFDPITAWKQD >Sspon.07G0002580-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:6274992:6280744:1 gene:Sspon.07G0002580-1A transcript:Sspon.07G0002580-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPAATADPGSSSSPTPTLRKRTISIDTSRRGGDGALPETAPRPEREEQQEEEGSMAASAPAEAAAAAREGDLEPAGEPMSPAGRLFREKHFNCYIVAVIGLGAAVDVAAVRAGLEATLVRHPRFSSIQVNDESAKPRWVRTTVNLDDHVIVPYLDPSATSTKPDQAVEDYLSGLSTAPMDHSRPLWEFHVLDFPTSEATATVAIRMHHSLGDGVSLLSLLIACTRSAADPARLPELPPAPRRAGPLFARPRPPLSAGLVAFVLWLWSYVVLAWHTLVDVVCFVATAWFLRDQRTPFMAASEGVEFRRKRFVHRTLSLDDVKFVKNAMKCTVNDVLIGVTTAGLSQYYFRKTSDTNSERKKSQSIRVRSALLVNIRKTPGLHALSEMMDSGKNSGAKWGNLIGYMILPFHIAMHDDPLEYIRQGKRTAERKKASLEAVFTYWSGNLIVKLFGMKAAAALCYGMFTNTTMSFSSIGGPAEKVEFYGHPIVYIAPSVYGHPHALTVHYQSYTNSIKLVLAVDDAQFPDSHQLLDDFAKSSGSSVRQLQQDDRKKQDQHSFF >Sspon.05G0006590-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:20639907:20644060:1 gene:Sspon.05G0006590-1A transcript:Sspon.05G0006590-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDAISRPRNTRIAVVTGANRGIGLEVCRQLAVNGITVVLTAMDEGMGAAAVKKLKGLAFSDVLFHQLDITDVSSIARLANFLNTRFGKLDILVNNAAVGGVVYSQDPRLEWLWRNCRETYDAAKEGLQTNYYGTKHVIEALLPLLKASDDGRIVNVSSDFGLLRHFRNEDLKQEVNDVSKLTEERLDELLDQFLRDFKAGTAEARGWPVAFAAYKVSKAAVNAYSRILAAKQPALRVNCAHPGYVKSDITLHSGLLTPEEGASNVVKVALLPDGGVTGAFFEEGKELASFV >Sspon.01G0033850-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1A:113779609:113780496:-1 gene:Sspon.01G0033850-1A transcript:Sspon.01G0033850-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding PPAAAAPVPGAGAAAPLPDAAAGAPGGYTAGGAPPAAGLLAPPRAGPGVPWPDLAHAAALAAGGPPAPVPDTALTALAALPRGVPPLPPGKPLTTSCAEQLQDAIRLLRRHLRVARIQAFIFVRPPPVGSLPSAGAASRAGPCRLPTLCHRLHLPRRRSRAILQAPPTPWPPPPELLVWGAQESPTLGLTPHPCGLHLPGLSVGTQLPWPTPSAPPPALIGSPTRASLSTPRPMRVYFPLFVLPTLPVPLPSWSPMALAFLSPPWALPVPMTPFISLMFLWHPVWFTTFSLLTTK >Sspon.03G0021880-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:52985065:53039103:1 gene:Sspon.03G0021880-4D transcript:Sspon.03G0021880-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQILLHGTLHATIFEAESLSNPHRASGGAPKFIRKLVEGIEDTVGVGKGSTKIYATIDLEKARVGRTRMISNEPINPRWYESFHIYCAHMAADVIFTVKIDNPIGASLIGRAYLPVTDLLDGEEIDKWLEICDENREPIGDSKIHVKLQYFDVFKDRNWARGVRSTKYPGVPYTFFSQRQGCKVTLYQDAHVPDNFVPRIPLADGKNYEPHRCWEDIFDAISKAQHLIYITGWSVYTEITLVRDTSRPKPGGDVTLGELLKRKASEGVRVLMLVWDDRTSVGLLKKDGLMATHDEETANYFHGTEVNCVLCPRNPDDSGSFVQDLQISTMFTHHQKIVVVDHELPNQGSQQRRIVSFIGGIDLCDGRYDTQYHSLFRTLDTVHHDDFHQPNFEGGSIKKGGPREPWHDIHSRLEGPIAWDVLYNFEQRWRKQGGKDLLVRVRDLSDIIIPPSPVMFPEDKETWNAILDWQRRTMEMMYTDITQALQAKGIEANPKEYLTFFCLGNREVKQEGEYEPEEHPEPDTDYIRAQEARRFMIYVHTKMMIVDDEYIIIGSANINQRSMDGARDSEIAMGAYQPYHLATRQPARGQIHGFRMSLWYEHLGMLDDVFQRPESVECVQKVNRIAEKYWDLYSSDDLEQDLPGHLLSYPIGVTADGTVTELPGMENFPDTRARILGNNSAASARNAALASKARASPSRAASARAARSRFPRSRSAVLSRSSSRWRCFRARDRRADSRFNILRRKRRSSTHSATTGWTGNGPGDGAKSRSQLIERKIELLEDMATRVSNRRSRKWMNDRLLIELVPRLHVEEIKGLFAPPPWGEEVPLSAFCRTSVGEWDAFRSIDMDAEERVRAFIEDTSDKDVLTLNVQDPFQRLLLHGVFLQRDLDNHEQYDPEVPRVQKHRAFLKDHVVFKEAIHIENVSVVSKIHQTYRIGYLKDVILPRILDDATLASLNTMIHSNNASVISLLKDDALFIRQLFARMRSSDISMESKRELVLFLHEFCTLSKSLPLVQQLRLFRCNFLMNNAIEKILTLTRRREKFLVVAAVRFMRTIISRNDEHLIRHVVKFNLLKPIIDVFVDNGERYNMLHSGVLELLEYIRKENIKPLILYVIESFWEGDGLAKFEHFGSIQAFKLKYNQYLESAEPRLNASVPDMRKKAEQRGLEKEEEDYFNDSDEEDSGSGRRPKHAQNQHSKPKPKVPNGSEADDTDDASRPKSAGLVDYDDDDDEDFNPPPKEPSRPAEDDVPLNISPVKRKPVNAVDGKHADGEGRRRQKIETRISCAKIAAVTSTAIKHTDLQNKHASHLPTSATPSTEANGVFRECGTNSEEHQHSVENTETSRQAGGDCIKDVGSNMVTPSAKQNHEKCENITQ >Sspon.01G0044460-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:83002027:83004584:-1 gene:Sspon.01G0044460-1B transcript:Sspon.01G0044460-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CB5-E [Source:Projected from Arabidopsis thaliana (AT5G53560) UniProtKB/TrEMBL;Acc:A0A178USU5] MAGENKVFGFEEVAKHNVTKDCWIIIAGKVYDVTPFMDEHPGGDEVLLAVTGKDATADFEDIGHSDSARDMMEKYHIGQIDASTIPAKRTYVHPQQAPSHADKDNDLLIKILQFLVPIMILGLAFGIRQYTKSE >Sspon.05G0009700-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:22924833:22926949:1 gene:Sspon.05G0009700-2B transcript:Sspon.05G0009700-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSDAASPASAATARDAKKRGNRSAAKLKQSKRETRRGQFLSKGQGLISCLVCNDGKVATSPTGAGSNAGSPILASPHPPLPRRRVDSRSRGSDPEDREEAGAAGLEVDGSGLDSPGSDKSQGGDCPQRKGFSGNGGGPSLSSGSSVWSSSRSVSDAEEHTGGPEDESEVFDDWEMAADALYVDDSSCNQSSGPTPTPPPALTTAAPAHAARPEPIRSKTRAWAPDDIFRPQSLPSISKQASFPASIGNRWPEMGMSAVQQGILSLPLSCPICCDDLDPTDSSFCPCPCGFHMCLFCHNRIKLEDGRCPGCRKQYNTVSAAEGGGGGAKAAVGTGREMEKRLSRSCSMGPSY >Sspon.04G0010270-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:29708556:29711030:1 gene:Sspon.04G0010270-1P transcript:Sspon.04G0010270-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFEREREELGMKVESRVRKARTIRRAALEERTKRYFKQRLTWENKYRERVRSAIQKCNAAEKRRVGCWNLRKNGHRVQLAAKTASNQRETERSKLKEQLEEKLQKLMIHFIFGDFRCWRRFRTSRKTTVVLARAFDALGINQRSVVSMPFEELALCIESPAVLQTTKALLDRLESRFVFSQSSSSSEPENIDHLLKHLGSPKRRFLPSNAGRSKATLKKAVGNYDSSKLSRYSQRIALCAYMILGHPKSVLSGQGEQEKLLMESATIFVKEFELLVKTVLDALDGACILSPSVLDDATLGCSSYEESSSIVADLKKFRTQLVAFDKAWCAYLYHFVAWKAKDAKSLEDDLIRAACKLELSMIQTCKITNEGESDNLGDDLKAIRKQVAEDQKLLRERIQHLGGEAGIGRMESALSETRSKFFQAKENRSSVATTANVASPSVTCSSGQSNVSELGRIVTWTPKRQVELSNLVWSFLFTI >Sspon.01G0003000-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:66315711:66317186:1 gene:Sspon.01G0003000-3D transcript:Sspon.01G0003000-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEKKAGKDGGGDKKKDAGAAPQPIVLKVDLHCAGCASKVRKAIKRAPGASALRIAGGYIRNPLAVGGGCFLFLTVRSIALWPRLRAGVESVTPDMAAGKVVVTGPADAVELKERIEARAKKSVQIVSAGAGPPKKDKEKEKEKKADGGEKKADKEKGGDGGEKKADKEKGGGGEKKAEKEKGGGGGEKKGDKEKGADKLKEEKKPKEETVTLKIRLHCDGCIDRIKRRISKIKGVKDVAFDAAKDLVKVTGTMDAAALPAYLREKLSRDVEIVAPGKKDGGGGDKKDKGAGDGGDKKKDGGGEEKKDKAVAASASVAPMPLADAGMYQMPPHYGYGAYPPAPGGYYGGAPPHNHAGGFYPNAGVHYPPAPAYGYGPSHLHAPQMFSDENPNACSVM >Sspon.06G0013280-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:69059608:69065291:-1 gene:Sspon.06G0013280-1A transcript:Sspon.06G0013280-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGGTLQINWHDQQPVLSLDFHPASRRLATAGADHDIKVGCPRLLSSPLHRHCLALADSRFAPSPFRRRRSVTGSGLQIWEVASDGSDDKLPTATFKYALVPNNTAHSSAVNVLRFSPSGEYLASGADGGGIILWKLHPVDGGEAWKIHKTLLFHHKDVLDLQWSHDSAFLVSASVDNTCIIWEASKGTVHQKLEGHLHYVQGVAWDPLGQYIASLSSDRTCKIYANKPQGKSKNVERLNFVCQHTLVKVEYQNHDESKPPIKSHLFHDETLPSFFRRLAWSPDGSFLVLPAGLSKHSSEVINTAYIMSRRDLSRPAIQLPGASKAIVAVRFCPVLFKPRGSNPDGLFKLPYRVVFAVATLNSLYVYDTESVSPILVHAGLHYAAITDIAWSSDAKYLAVSSRDGYCTIIEFENEELGELHILPGSKEVAKGNLTPETKKPVSSDSMKVDNSASKLKMEASPVPVAVRAPLLPTENITRTGELAEGNVACENKKPVTVDSMEVDVDDNKVKEATIPVAVEVTPPPVSTKNSASSPREVVMRRCLPSCCGVRGLANGVLLLDRLLELDVLVY >Sspon.08G0012690-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:54147164:54148861:-1 gene:Sspon.08G0012690-1A transcript:Sspon.08G0012690-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKRSAAAQESRSRLGAHAVRLLHRIHHRFLRLLGATAPKTGRRTAKPARKVARPREPESLRAADEKQEQDVEVRSPDAAAAAVPAVSASEAAVAGKYWAHRYSLFNLYDRGVRMDAEGWYSATPESIAASQAARAAPGDLVVDAFAGCGGNSIQFAARGCYVVAVEIDPRKVELAAHNARVYGVEDRIEFIVGDFFRLAPFLKADLVFLSPPWGGPSYIQAPVYTLDMLKPKDGYAIFQAAQKIAPKVMMFLPRTVDVTQVEELSWLSCPPLDFESEENYVHHRFKGITAYFGRR >Sspon.08G0014090-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:55905644:55909353:1 gene:Sspon.08G0014090-3D transcript:Sspon.08G0014090-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEADERAEEARRAKEARRCGALLDPRDISFLTNRAAAYLHMGKYNECVRDCDEAVKRGRELSADKKLIAKALTRKAAALIKLADCARDYAPAIRSLRQSLDEHHSEETLEKLTKAESARNELEAQEQLHEAADHHRERGNELFKQKKYHEAAVHYTQAMKMNPKDPRVFSNRAQCYIYLGALPQGLEDAEKCIELDPTFLKGYVRKAKVQFLMEDYENAMATYQEGLRCETHIKRGHGGAGREFFKQMLEASEQPLGHTKSERMREFCTEDGLDRFQNAMEQFAIFKKEASEERLRRTESERMDASRDRAVREVEELRQKRGQMLLDLVTSMYLEFSPSELEFARKITVRARGCYPE >Sspon.07G0019680-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:72757468:72759167:-1 gene:Sspon.07G0019680-1A transcript:Sspon.07G0019680-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding TMRRQSSLARSYSSVAPAPASPPHNGGANGKHLPPPMEVSVEAGNAGAAEWLDDDGRPRRKGTFWTASAHIITAVIGSGVLSLAWAIAQLGWVAGPAAMLLFAFVTYYTATLLAECYRTGDPDTGKRNYTYMDAVRSNLGGKKVVFCGVIQYANLVGVAIGYTIASSISMKAIRRAGCFHTHGHGDPCKSSSTPYMILFGVVQILFSQIPDFDEIWWLSIVAAVMSFTYSCIGLSLGIAQTIVANGGFKGSLTSITFGAGVASTQKIWHTLQAFGDIAFAYSFSNILIEVQDTIKAPPPSESKVMQKATRLSVATTTVFYMLCGCMGYAAFGDNAPDNLLTGFGFYEPFWLLDVANIAIVVHLVGAYQVFCQPIFAFVERRAAAAWPDSAFISRELRVGPFALSVFRLTWRSSFVCVTTVLAMLLPFFGDVAGLLGAISFWPLTVYFPVEMYIKQRRMPRGSPRWISLQMLSFTCLLVSIAAAAGSIADVVDA >Sspon.01G0058280-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:24225748:24230229:1 gene:Sspon.01G0058280-1D transcript:Sspon.01G0058280-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GDMTIIGNYQQQNLHVLYDLAALAISCHAAAASAVRTQVIHTDAGRGLTRRELLQRMTRRSKARAARFLASSSASAPVTPGQRNGSDPSTEYLVHLGIGTPPQPVQLTLDTGSDLTWTQCRPCTSCFHQALPYFDPSLSSTFQELTCGNETTRVYTYSYGDNSTTNGQLDADTFTFVAVDGRTATAVPGLSFGCGHNNSGIFTSNETGIAGFGRGSLSLPSQLKVDNFSYCFTDITGSAPSPVLLGLPANLYSSAGGAVQTTPLIQSPKIPSFYYLSLKGITVGSTRLPVPESTFALTNSGTGGTIIDSGTSFTTLPLQVYQLLRAAFVSQVKLPPAEASNATTDADPICFVLPSSGDRPELPKLLFHFEGATLDLPRENYMFEIEDAGRSFTCLAINAGGDLTIIGNYQQQNIHVLYDLVNNRLSFVPAQCER >Sspon.01G0006590-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:20811180:20816444:1 gene:Sspon.01G0006590-1P transcript:Sspon.01G0006590-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAQVAATFLSFLSSSSSTHHPAPSSSVSLGTNPVLPVSLRAAVTGGPRVASRLRGRRVGAAVAQLPTTHPEVVSGEKKIRWSSRAVRSFAMAELEARKMRYPTTGTEGLLMGILVEGTSGAAKLLRANGITLLKVREEAANVLGKSEMFYFSPMHPPLTEAAQRALDWAVNEKLKSGEDGEVTANHLLLGIWSDKESAGHKILESLGFDDEKASLLAKTADQEAAMSPR >Sspon.04G0026500-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:52910698:52918280:-1 gene:Sspon.04G0026500-3D transcript:Sspon.04G0026500-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sirohydrochlorin ferrochelatase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G50170) UniProtKB/Swiss-Prot;Acc:Q84JH7] MRRDWNEDRLSFSTAVRKAIHFVNLRRAGRSSGNLAMNSIPKPAPGSETSGREDFAVGDKDAVVIVDHGSRRQESNLLLNDFVEMFRAKTSYRIVEPAHMELAEPTIKDAFGKCVQQGASRVIVSPYFLSPGRHWKQDIPALAAEASKEHSNIPYIVTAPLGLHELMVDIMNDRIKYCLRHVAGDVDECTVCAGTGKCRLYS >Sspon.01G0033220-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1A:112087498:112087764:-1 gene:Sspon.01G0033220-1A transcript:Sspon.01G0033220-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKILLKWMTGRNIVKLTCVHVGNAKKFLYSPFTESSAEALSAAAAETSNKASAQGGRGKAARGPSQAGCSGLAVTVSRQAGMQAGGEP >Sspon.05G0020500-4P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:82827981:82831615:1 gene:Sspon.05G0020500-4P transcript:Sspon.05G0020500-4P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSTGGASGGEAAEEAKVPLLQPRAAGGGDSKAAEEEEREAVAAAEAEWSSLPLRRRAWEENQKLWVVAGPSIFTRFASFGVTVISQAFIGHIGATELAAYALVSTVLMRFSNGILLGMASALETLCGQSYGAKQYHMLGIYLQRSWIILFACAVVLLPVYLFTEPLLVALGQDPEISAVAGTISLWYIPVMFSYVWAFTLQMYLQAQSKNMIITYLAVLNLGLHLVLSWLMTVRFHLGLAGVMGSMVIAMWIPVFGQLAFVFFGGCPLTWTGFSSTAFADLGAIIKLSLSSGVMLCLELWYNTILVLLTGYMKNAEIALDALSICLNINGWEMMVSIGFLAAAGVRVANELGAGSARRAKFAIYNVVIISSSIGFVLFVLFLFFRGNLAYIFTESQAVAKAVADLSPLLAFSILLNSVQPVLSGVAVGAGWQSVVAYVNVTSYYLIGIPLGAVLGYVVGLHVKGIWIGMLLGTLVQTIVLLFITLRTDWEKQVVTAQERLKKWYMDENRRMQASRRNP >Sspon.05G0006160-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:11221556:11224265:-1 gene:Sspon.05G0006160-3C transcript:Sspon.05G0006160-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPGGREDGKRRGATATADEDATDADAATSASAASLNDLCATATAGGAAAGAPAPFPRAAAWAVAALLAVGLGVGALVLAVVHSAALLVVALLLSAAVAAFLLWNAAAAASGRALRRFVDGLQASSLRVAADGQLVKITGFVSCGDISLISSYEKVENCVYTSTLLRKCARWGSVTLNPWNQCSKWKLAHAERFAADFYITDAKSGKRALVKAGHHSKVVPLINENLLVTTSKDTELSSTLKYWLEERNLSSEEAQLIRLEEGYIREGMRLSVIGMLSKKNGDAMILPPPEPVSTGFVLLSCLLPSYFDGIVLRLVDRSYFVPNSGVS >Sspon.03G0010590-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3B:35851573:35852470:-1 gene:Sspon.03G0010590-2B transcript:Sspon.03G0010590-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRRARLELRRMWLGAAVEGIDVRGARDVGDGIAVATAYTPTVLPRKVTTSRPTRSAIYGASLSSIRCSHRTWTPRMPHVKSRRTQCWRSCSLVRSHRCVHLCHFVNGLLPRSVGNGLGFTVTQAWPVSAYGLHQGSIAPSASELEAFDKIFDDNLTAYNVEALNALFPDGGKGSSRQPRRRKATT >Sspon.05G0015670-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:61345311:61349621:1 gene:Sspon.05G0015670-1A transcript:Sspon.05G0015670-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP sulfurylase 2 [Source:Projected from Arabidopsis thaliana (AT1G19920) UniProtKB/Swiss-Prot;Acc:Q43870] MATIHLLTPPGLHHPSASATSAARVRATASLAHPLLLSRRLRLAAPHSRSPSPSLSQRHGRRGAMSVRSSLIDPDGGALVELVAPPDRVPALRAEAEALPRVRLAPVDLQWAHVLAEGWASPLRGFMREHEYLQSLHFNCVRLPDGGLVNMSLPIVLAIGDADKEQIGGKPDVALQGPDGVVVAILRGVEIYPHNKEERIARTFGTTAPGLPYVDEAIAPAGNWLIGGDLEVLEPIKYNDGLDHYRLSPRQLRNEFDKRGADAVFAFQLRNPVHNGHALLMNDTRRRLLEMGYKNPILLLHPLGGFTKADDVPLPVRMEQHSKVLEDGVLDPETTIVSIFPSPMHYAGPTEVQWHAKARINAGANFYIVGRDPAGMGHPTEKRDLYNPDHGKKVLSMAPGLEKLNILPFKVAAYDTVAKEMAFFDPSRSQDFLFISGTKMRTFAKNGENPPDGFMCPGGWKVLVDYYNSLQAEEATPVP >Sspon.02G0030040-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:109106166:109107738:-1 gene:Sspon.02G0030040-1A transcript:Sspon.02G0030040-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMPVGAAAVDDWSECAFSLTCEEDCADLGDSVVDDGEFFPLYNAGDEEEEEYLEQLVFKETSFCSSSSDSAADCDGDGDGDDGDEKYPSLASEEWFRQARLAAVKWILETRGCFGFGHRTAYLAIAYFDSFLLRRRVDREAMPWAAQLLSVACVSVAAKMEECQVPALSEFHAGGYDFDSASIRRMELLVLSTLGWRMGAVTPFDFLPCFSSRVHPHGGAGAGGHVALKAIGFIFATAEAGSVLDHRPSTVAAAAILAATYGPLLTKEALGSKMSYLSPSCLIEKMSFTSLFLCLIRCVCFSDQEHVHACYSMMVGDMNRRGSKRSLPCSGSNEIATSTYHSVLVDDDVMDTAAAFATAVAARNKQIRLELTGI >Sspon.07G0013310-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7C:54269823:54270902:1 gene:Sspon.07G0013310-2C transcript:Sspon.07G0013310-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAAGAPVVALGDDLLREVFIRLPASVDLLRAAAACKPFLRAARSAPFLRRFRRRHPSSCPRLLGCLILFSNRRGGKSHFVPISASSSSSSAAAAADGGDFALSFLPGGGGQGASTWKHLDYRNGRLLLENLGSHELAIADPISRRCVSLPAPPAGRAVGYGLFSDDGDSSEFRVVCVSRDAASPELRALVLASGELSWADVAGIACQPDVAAGSRVMQANRSLYWRLEGGERMVAFSTASMELSVLNLPPALRELRIDAVDRGEEEDVNVLHLLTMIGFRVEVWAGTADGDGGMVWRRVEKSMRFHKALTEMIDPLFQSYRRNEVDVIGVAADLVFFRQWNHLLSVDLETMKLKKLPN >Sspon.07G0002190-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:5353450:5357245:1 gene:Sspon.07G0002190-1A transcript:Sspon.07G0002190-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPARPAAASVSGAFGLSPDPKRCSFDQALRQKDFQENRLLMSFVNFHEQEKISKEIVTDAIESCMKKQADNLLNSLEVISGRLSQLELYCYKLERSIGELRSDVMDYHNEGNLNFQCLEKQVKEVQKSVQVVQEKQELAETQKEMSKLQIVHEDSAQKSEGTAPSVFMTRENEVALVPLHQVNAVQSPAVQFQSCSGLILQQLVPVQDHQRSNQTAVYCMRGQSHPEHRQAQMFQAAAQSVQTHTRKTQPQTVVEVPQVSSQAPEFYPQPQHQWQHQTGQQVQSQARQPQPQVVQQQQYSNIQQGPAQMIQLQTSSPHAHSTPQVTLVYPPYGAHQSACGNVEARTGGMVVPPSYSTISSSQRKHHEAAPIYVQSNTVSVPLAEQHQQLHSLNNGSFVPQPSKVNPCGVTSYTVQGNAQTYSPAYGSPSSNPATIVAVLNQQAHCSAPMVLHHLGPQSVQNHPVDIAEKVAWMGYSKDQAEGLALRMVAAGQPAEYNHLHDRLSSVGHGVAPHAWSG >Sspon.08G0016970-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:66395265:66397735:-1 gene:Sspon.08G0016970-1A transcript:Sspon.08G0016970-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVGQSGALRRVTVHYANSPTRSTVAEVSLDDLDDELLQFVLADLLPGQEGLHQSILEGAYSNQQNHMRGAGPRGPYDYSQSQEYHGESSTAAAVTATRNSGMDQQIASDFEYAKQLQQEMEDLSVEDDGDDISCVPSPSDSDDEHDHHDEEEEADRQDGNGDDDDVDPDNMTYEQRQALVESVGTEDRGLSDELISYLQPWKYKSVKASGFFSRKTNHEDCPICLSTFRSRETMITLPCRHHYHAACVTKWLKVNKTCPVCKYELFGPS >Sspon.07G0013510-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:48093687:48100173:1 gene:Sspon.07G0013510-3D transcript:Sspon.07G0013510-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQHDQKKKAPSEMDFFTEYGEGSRYKIEEVIGKGSYGVVCSAMDTHTGEKVAIKKINDIFEHVSDATRILREIKLLRLLRHPDIVEIKHILLPPSRREFRDIYVVFELMESDLHQVIKANDDLTPEHYQFFLYQLLRGLKYIHTANVFHRDLKPKNILANADCKLKICDFGLARVAFNDTPTAIFWTDYVATRWYRAPELCGSFFSKYTPAIDIWSIGCIFAELLTGKPLFPGKNVVHQLDIITDLLGTPSPEAISRIRNEKARRYLSSMRRKKPIPFTQKFPNADPLALSLLERMLAFEPKDRPSAEEALADPYFKNIANVDREPSAQAVTKLEFEFERRRVTKEDIRELIYREILEYHPQMLREFLEGTESSGFMYPSAVDHFKKQFAYLEEHYAKGSTGTPPERQHNSLPRPSVVYSDNRSQATANITEDLSKCIIRENTQKTHQHSAYVASKFPPHVPQGDVARPGKAAGSVMQYNPYPASAAEQYEQRRIARHPAVAPNNIPSGSSYPRRSQTCKSETGDAERMDVNQAGQPKPYAANKLPATVDGRGGHWIPEYPETVELYSKLLISTPCRYSITKGYVLQY >Sspon.05G0006910-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:15491019:15494162:1 gene:Sspon.05G0006910-2B transcript:Sspon.05G0006910-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLWPSLRIRDSFKHGYLQKLELNLGNMKRAQRQRQERRGEGQEDQDDQPSGGGKAPLLEDRSPSGSVLAGALELAWDAVLLLTCCCCCFCCGACSDEEDHPTAR >Sspon.08G0016530-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8A:65064785:65065288:-1 gene:Sspon.08G0016530-1A transcript:Sspon.08G0016530-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSNKLAVLLVSLAMAAATAVHPCYAQNSPQDYLTPHNNARAAVGVGPVTWSTKLQQFAESYAAQRAGDCRLQHSGGPYGENIFWGSAGADWKAADAVRSWVDEKQWYNYATNSCAAGKVCGHYTQVVWRATTSIGCARVVCRDNRGVFIICNYEPRGNIVGQKPY >Sspon.01G0014730-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:42443800:42445918:1 gene:Sspon.01G0014730-1A transcript:Sspon.01G0014730-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCFHSTAKRQHPGYEDPVHLASQTAFSVSEVEALFELFKSISGSVIDDGLINKEEFQLALFKNQRKENLFANRIFDLFDVKKRGVIDFGDFVRALNVFHPNIPMEEKIDFSFKLYDMDGTGFIERKEVKQMLIALLGESEMRLSDEIIETILDKTFSDADANQDGKIDRTEWENFVTRNPSLMKIMTLPYLK >Sspon.07G0037410-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:53429895:53432167:-1 gene:Sspon.07G0037410-1D transcript:Sspon.07G0037410-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHMHQPCLFKLENNQSFGKLHTKHITELGSLLHTTTMHGLLAAKLVLLVAAIGHIFLISTASGNVTVSCKPHEHAALLAFKQAITSDSTNQLASWRQDDQDCCQWAGVTCSSQTGHVVELDLNSFLLVGQISPSLLSLDHLEYLDLSTNFLEGPNCTVPEFLGSMSNLRHLVLSNISFSGRVPSLLISNLTKLEYLDLSFTSFFGEVPPQLGNLSKLRISGAIPQGIQNCTRLEYLRFRSNQLSGQIPSLPTSLRILDVTMNLLTGHLPLGFGAPNLENLIISSNYITGKVPPSICESSQESFEWKNPREYWIYEINGITRSLQEQPFRFHPTKLVRFDIFKLPRLVI >Sspon.04G0011440-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:30907965:30911646:1 gene:Sspon.04G0011440-2B transcript:Sspon.04G0011440-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MISAALDGHYDEKRKSNVEYSEDEKKAKIASLKKKAMSASQKFRHSMKRGRKSSKVMSISILDDREPEEVQAVDAFRQLLVLEELLPSQHDDYHMMLRFLKARKFDIEKAKQMWSDMLKWRKEFGADTILEEFEFEEADKVAECYPQGYHGVDKEGRPVYIERLGQIDVNRLMQVTTMDRFVKNHVKEFEKNFAVKFPACSIAAKRHIDQSTTILDVQGVGMKQFSKAARDLIGMLQKIDGDNYPETLCRMFIINAGQGFRLLWGTVKSFLDPKTTAKIHVLGNKYQSKLLEVIDARIFGGTCQCEGGCMKADKGPWKDPEIMKVRTSPIWSFDLNLVTSNINHEIFKEKMVQSGAGRCGSHSMSSFEAEEKMICEDDMYPKKQALFDGETQLAGDGQRSQSQKISRSRIEHPQLSPVHEELIPTSHPTPGSPYSCDVPMVEKAIDAICKSQGTPPDEKLAITKAIINASDGSKPPLYAGIIALVMSIAAMVRVTRNMPGKTLQETLERQMEIVAYIEKKKKKSKVRRLRSMKLWAETMQRLVTSCVFTRDALGVTLGRL >Sspon.02G0017600-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:13248214:13249122:-1 gene:Sspon.02G0017600-3D transcript:Sspon.02G0017600-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGDVVPVSPRAEQPAAKKRRTAERRHHTPGTGSGAAPARHHHRGDRGALRPGHPRAVRATCRDARRRIAEEEEVAANGLARRPRPPARGQPGDPSLPGPPSDPAFPDAVQSTGVQYVLLVCGSDGEGAGAGADAAVGRPFQVLKAKLVLPQYRRLLVHTFSSEHGAWSPLTEIPIPNLHGSSGKQSSRPLVVGDVVHWLCLTDSRSYMLVLHVGAAQVNMTSLPASFPRDGKNNHSYEGDQYLLATATAGGNPVVLVADADSISAWEQSKHTKMWKPQVVIEN >Sspon.06G0008940-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6A:47924843:47925727:1 gene:Sspon.06G0008940-1A transcript:Sspon.06G0008940-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MANLVVVNIKRKDAEVASHGFSLFLDPKRIKLQDEAEIPEMMEEDEPLADADAGAAPVVVQPTMLMPPSPSPLQPTQGQEAAVLHDSTVSTESGSRSSEPTLAVEQAAPMAMGIEEDSWQSQPQRQPGKHPHFWSG >Sspon.03G0008990-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:4461407:4464805:1 gene:Sspon.03G0008990-3C transcript:Sspon.03G0008990-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aspartic proteinase NANA, chloroplast [Source:Projected from Arabidopsis thaliana (AT3G12700) UniProtKB/Swiss-Prot;Acc:Q9LTW4] MASRGHLLLALLVLAATAAAASGGRHQARVRRPARPRLELVPAAPDASLADRARDDVHRHAYIRSQLASRRGRRAAEVGASAFAMPLSSGAYTGTGQYFVRFRVGTPAQPFVLVADTGSDLTWVKCRGAGAAAGTGAGLPARVFRTAASKSWAPIACSSDTCTSYVPFSLANCSSPASLCAYDYRYKDGSAARGVVGTDSATIALSSGRGGGDSSGRRAKLQGIVLGCTATYDGQSFQSSDGVLSLGNSNISFASRAAARFGGRFSYCLVDHLAPRNATSYLTFGPGPEDPAASSSSAAQTPLLLDRRMSPFYAVTVDAVCVDGEALDIPADVWDVDRNGGAILDSGTSLTILATPAYRAVVAALSKHLAGLPRVTMDPFEYCYNWTAAAGGAPEIPKLELRFAGSARLEPPAKSYVIDAAPGVKCIGVQEGSWPGVSVIGNILQQEHLWEFDLRDRWLRFKHTRCAL >Sspon.07G0006510-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7B:14983660:14985499:1 gene:Sspon.07G0006510-2B transcript:Sspon.07G0006510-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLSAPEADILRLQPLEAEQGTKVFYAARGYGSGDSERMATHFVLNTGAKIPSVGLGTWQADNGLVGDAVYAAVKAGYRHIDCAQAYNNEKEVGFGLKKVLDEGIVKREDLFITSKLWNTNHAPEDVPVALDGTLKDLQTDYVDLYLMHWPVRMKKGAGFGPQSVVPSDIPATWAAMEKLYDAGKARAIGVSNFSSKKLADLLAVARVPPAVDQVECHPVWQQGKLRAFCVSNGIHLSAYSPLGSPGTMMVKAGAVLEHPVVVSAAEKLGKTPAQVALRWGVQMGHSVLPKSTDEGRIRANIDVYDWSIPEDLLAKFSEIEQASEVASGNSERCSGWTANVLHIFFHLRGCDLQEKLIRAGFFVDPEGVFKSIEEFWDGEI >Sspon.02G0034030-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:9917349:9922681:-1 gene:Sspon.02G0034030-1B transcript:Sspon.02G0034030-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAMLRSAARSLRLRQPLEPERCLLARRFLSSSFVPTEAFLPRRIREARRLIQSIVDYCGNVELFELAPMLASNLGQSMTPLQVYATVIRIFVESSMFEDALLTYVEAKNVGVDRRLCNFLLKCLVEGNQIMYARSLFDDMKSCGPSPNVCSYSILMSMYTHGERLCLNEAFELLCEMESNGVRPNATTYGTYLYGLCRSRQVTSAWDFLQTLSQSGGPCSNYCFNAVIHGFCSEGQVDKAIEVFHGMKKCGFVPDVHSYSILVDGLCKHGDLLKGYDMLDEMARNGICPNQVSYSSLLHGLCKTGQVALALKIFKNLQDHGFEHDQINYSIILHGCCQHLDLKAISDLWFDMIHHDIAPDVYNYTSLIYALCRHRNLQDALGVFELMLENGLSPNIVTCTILIDNFSKEGLVGEAFLFLDRIHQSLGIVPNLCMYRVIINGLCKTNKYSDVWKFLADMIKRGYVPDVVLYSIIIDGFVKALKLQEALRLYHNMLDEGVKPNTFTYSSLINGLCNDDRLPEAMGLIRDMIGEDLLLDNVLYTSIIACYCRRLNMKAANEWLREMERSGVFPDAFVYTCMIDGYSKVLAMDGACLMMEEMEKRKLKPTVVTYTALIIGYLKTGDEKEACMMYDSMRHAGIAPDVKLRCILGIGNDRGDCDDSQKGNGVT >Sspon.07G0015180-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:52629012:52635655:1 gene:Sspon.07G0015180-4D transcript:Sspon.07G0015180-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGHKNTTTAALLVLLLSLMLSLTVSVAHNLQWRLSVGTAVEVCVKAAVGAPDKLGDCPFSQRVLLTLEEKKVPYQMKLIDLSNKPGWFLKISPEGKVPVYNGGDGKWIANSDVITQVIEKKYPTPSLITPPQYASVCPYCLGLMIQYGCDDRGSKIFPSFVKFLMSKDANDGSEKALLYELQALELHLKAHGRPYISGKNVSAVDLSLAPKLFHLVVALEHFKHWKVPESLSSVHAYTQALFSRKSFIKTKPTKEHLIAGWVAHGARYIPGNLIFINCEGIIAGNVACGQAIVLVCLECLDQARNQGCKQTCFELQ >Sspon.04G0020820-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4B:75601440:75601932:-1 gene:Sspon.04G0020820-2B transcript:Sspon.04G0020820-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPRAPRRPESPSTVTAPYARETSLSLPPDCKMSRCRVLCLCGAPAQALAAAAPVMAVGLLLRLATSPGAGVGKQVPVQVLSSIALILRKGT >Sspon.02G0019930-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:65086515:65088543:1 gene:Sspon.02G0019930-1A transcript:Sspon.02G0019930-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGKRSFFAPKKKAANPFDSDSDDDKPQRQQRPAARASSVPPPDEQQRGGSSIFGGAGGDRAGLFASSTNHHYRNDFRDAGGLESQSVQELEGYAAYKAEETTRRTQGCVRIAEEMRDNASKTLVTVHQQGQQIHRTHMMAVDIDQDLSRSEKLLGDLGGLFSKKWKPKKNGAIKGPMLTRDDSFIRKGSHLEQRQKLGLADHPRPSNARQFRSEPSSALEKVEMEKAKQDDALSDLSDILTELKGMALDMGSEIESQTNAMGDAEKDYDELNFRVKGANTRARRLLGR >Sspon.01G0056010-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:85769491:85776152:-1 gene:Sspon.01G0056010-1C transcript:Sspon.01G0056010-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:IQD5 [Source:Projected from Arabidopsis thaliana (AT3G22190) UniProtKB/TrEMBL;Acc:A0A384K8F3] MFDLLGSIMGISSKWIKSLVGIRKQEKGQNAEKQEKGRNAESSETTSSANQSLHKRIHSLDPGGALAVEEITVQSGALTDDKSTQTISNSICSDSTSLDVPNSQAEHRSKEDLAATVVQSAFRAFLARRALRALKGIVLLQALIRGHSVRRQTTETLQCMQALVKAQARVRARQVRVALENQVARKKIPEQDDHENHVREVEGGWCGSIGSMEEMQAKALKRREAAAKRERAMAYALTHQRQAGSKQHSTSLQGLELGENHWGSNWFDRWMAVRPWENRLLDCNAKENLPMHEDKKDEEANSQITPKGKVSTSNTPGPSKKKGVNHKKSYSDVSCTSFAQPANVLPSTSLGSSKQKAKVTDEVFEEVSSQPTDIGSKAVRNSKDKLVQVNAPAKKLLSLPNNAGREAGKGPTRRNSINRSGPKAQADAPNQGGEPVELQA >Sspon.08G0004510-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:12818986:12821686:-1 gene:Sspon.08G0004510-1A transcript:Sspon.08G0004510-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSGRLNVTTSSSPGDDFPFAPMQQHQPQPPPPPPPPYVGFEHGVAGGGGGQRGAGGVGMQQHHLYDGLDFAAALQFQQEAPHHHHQLLTLPSSLGPMAPPPLPMPLQMPGMAGMPGDHVYPALGMVKREGGADGAAAGRIGLNLGRRTYFSPGDMLAVDRLLMRSRLGGVFGLGFGGAHHQPPRCQAEGCKANLSGAKHYHRRHKVCEYHAKASVVAAGGKQQRFCQQCSRSCRKRLAEHNRRRRKPATTASSKDAASPPANKKPNGGSITSSYSTDNKNLSTAKSTMSSNTSSVISCLDQAGNKQQLARPTLTLGASQDKDHQQQQQLSTMLQVQAAGGHHQEQHFITSLQVHNNGGSGIGGGNNNILSCSSVCSSGALPSANGEVSDQNTTTTNTGNGGSSNNMHNLFEVDFM >Sspon.01G0012580-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:34096385:34103727:1 gene:Sspon.01G0012580-1A transcript:Sspon.01G0012580-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRPPPRRTPANAPDRFARAAAPSIPSSPPAALCSIASGPSLEMSGVQEQFEIKFRLPDGTDIGPRRFPPASTVATLKETIIAQWPKGESPHARTRFPSRCRDKRAAKKAKDFSITGKLQELLSAL >Sspon.01G0006470-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:20596272:20600933:-1 gene:Sspon.01G0006470-2B transcript:Sspon.01G0006470-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEANGGVVANEKGAETVGVGRYVEMEQDQDSNTVKSRLSGLLWHGGSAYDAWFSCASNQVAQVLLTLPYSFSQLGMVSGIVFQLLYGLMGSWTAYLISILYVEYRTRKEREKADFRNHVIQWFEVLDGLLGRHWRNVGLAFNCTFLLFGSVIQLIACASNIYYINDKLDKRTWTYIFGACCATTVFIPSFHNYRIWSFLGLVMTTYTAWYLAVASLIHGQVDGVKHSGPTKMVLYFTGATNILYTFGGHAVTVEIMHAMWRPQKFKAIYLMATLYVLTLTLPSAASVYWAFGDQLLTHSNALALLPRTPFRDAAVVLMLVHQFITFGFACTPLYFVWEKLIGLHDCRSLCKRAAARLPVVVPIWFLAIIFPFFGPINSAVGSLLVSFTVYIIPALAHMITFRSATARENAVEPPPRLVGRWTGTYMINAFVVAWVLVVGFGFGGWASMTNFVRQIDTFGLFTKCYQCPPPPLPPGAAPLPFPGGLGNITMPFSGTAGGAELPPAPAPSPAHFFRHHHRHHGHGL >Sspon.01G0020710-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:77678158:77679371:-1 gene:Sspon.01G0020710-3C transcript:Sspon.01G0020710-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKKGKWSKEEDDLIINYIQRHGSIGRSWQALSDSLGLRRCGRSCRSRWLNYLRPGLKHGNFTLAEDRIICEMYSKRGSCWSVIAAQLPGRTDLAIKNYWNSTLKRKYLLPAARTAATWRRRTCPAGSTSSDAVGVPARSQQLVVYSSEESSTAGSSSPAVKPVLAGPTPAPVTAAGQEPIAAVSVSGPVVGIEQKPALLSRLPLEKTLPLLLPPPPPPAGDQVGERLTDLVCAPLSPIPLNFMEPELLACID >Sspon.06G0023660-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:46727091:46727387:1 gene:Sspon.06G0023660-1B transcript:Sspon.06G0023660-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLKSNDTRALFLAAIVVMAMVLAPCPAHGANDEKCEHMPRCTISKCRNKCKQWGMPTL >Sspon.08G0001300-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:2102558:2106202:1 gene:Sspon.08G0001300-4D transcript:Sspon.08G0001300-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEEAVSDNTKGLALAVASSAFIGASFILKKIGLLRAAKCGARAGGGGYTYLSEPLWWAGMTTMLLGEVANFIAYIFAPAVLVTPLGALSIIVSSVLAHFVLKERLEKLGVLGCVSCIVGSVVVVMHAPEEHMPNSVKEIWNLATQPGFLAYALTTLLLVGTLVLFFEPRYGQTNILIYMGICSSMGSLTVVSIKAIGVAIKLTLDGVNQAAYPYTWLFLMVAIVCGVSQINYLNKALDTFNLAIVSPIYYVMFTTLTIMASGIMFKDWAGQSFSSIASELCGLITILSGTILLHTAEEGANNSAALLPWPLDKGSISWCISLSSDNLLKNVEEDYFAALQSSPAPV >Sspon.02G0013610-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:36059275:36064321:1 gene:Sspon.02G0013610-1A transcript:Sspon.02G0013610-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SERLRKVLEYINEVHSLCGVLGIDFASTVHEVHPSLHQNGIEQSRNISNSTLEGLASTISKLKAERKSRIQKMRETMESLCQLWKLMDSPEEEKRQFSKIMTILILPEEGITSPGVLSEDTIDKMEAEVERLTKLKTSRLKEIVMKRRAELEEICQKAHIEPDVSTAPEQTDALIDSGLIDPSELLANIESQILKAKEESLSRKDIMDRINKWIAACDEEAWLEEYNQGRLISVLEEYRLSRQQKEEENRRYRDQKKLESILLAEKEAIFGSKPSPKRTSSLSRKTNGYRPNGNTNGLKTPTPRRSSLGSATPELLTPRSYSGHNRYFGDLRRLSTSHLNFGDDSLSTFTSISGSEPESLLDEHHTAGGIPCAESPATPGASVASAAQLRKLPIPSNP >Sspon.02G0016670-3C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:50047249:50049655:1 gene:Sspon.02G0016670-3C transcript:Sspon.02G0016670-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPGPPIGFSNGKSCPSEPPGKPNPLNLHSQFPQQISFSHPSYTMSAPHQQFPQQHLYPQNVQYVVVQPQYAPFSLPQLPPQPAGTMSMPPPASAGTMPLPALPLQPPIAGAVPFTSVSYSGTPHSVTGPDLQDTVSVDNEENAQPNRTSRRLRLNWTELESLRLINAWLNSFKLNSSRKNGVHWGNVTKLYNSSTPKDRRRSRNQLKLHWHKITMKMAHFYDCWCQIEKKYSSVQSDKRQLMDKTWVKFDKEARAMYLEEAKHHFTLGHLWKAVWDQPKWKSYISSLYSKGTKLSESGDCTSSSDDANDVSEKEMDDKDSMSAKKKREGKSKMSSPSIQLQQGIQSSVGPQNVLEKNNLVADTSRLYEFQPEKEKLMAGTLSFNELHHGNSVREDVPEKGRHPQDCKGLEHAMTVRCAPEKETHPQSSKMEKAKRKRRGNLSCPSSEVQEDIKRAMDLQRMLQKDREKMSEVQIQLSKEKLEMARLKHQEAKEKKETTLYEKYTELLMADTQRFNDFQKEEHQKAVKCMGVMLFGKDGLLHLYRKLNTKIGDSGAKSKRPKKESFIDDKEIK >Sspon.03G0038040-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3C:2870254:2874987:1 gene:Sspon.03G0038040-1C transcript:Sspon.03G0038040-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding YQIQLHHLESLKQTGSVSDYQKRFEELAHGIILYNLAFDDTFLVTKFLGGLKEEIRAAILLHRPKDVDTASALALIQEEELEACRLKSSFRNSPAVGFRAHPAVERTKVHVGEKVKLKAEKGEVEDKLATLKQYRRKNGLCFKCGEKWAPGHKCPNQVSIHVLEELWDAVDDTDSEMQEVADMSEYEGVMAIGSQLPKQAAVRKTMRLRGKVGALNVMILVDSGSVGSFISTKQAEHLKDQIKTCPPSSFITADGSPMSCTQSIPRLQWCTQGHTFVSAVKVLPLKCYDIILGQDWLEECSPMWVHWTKKIMRFQRKGSSIKLYGVKPEVTKCTAISTNNLKGLIKRTAISHCVQVAAVSAPEQEWVPYNANIYQVEPEVIEDTPGSIQILLSQYPGIFQEPSTLPPRRQHDHHIDLLPGSQPVNVRPYRYTPAQKSEIETQLSDMLKNGIIKQSTSPFASPVLLVKKKDGSWRFCIDYRHLNAITVKNKHPLPIVDELIDELANAKWFSKLDLRSGYHQICIADGDTHKTAFKTHNGLYEFLAFELLKSALTQAPVLAIPDFSKQFTLETDASETGFGAVLMQGGHPIAYLSYADAPLAQELLVQLALIAENEKGYALTDGVIRYKGRIWLGNNHLAQHHVIQSLHSSAVGGHSGFQATYQRIKALFAWPKMKEKIKEYVQSCPICQQAKSEHVRLPGLLQPLSTNYSMDCVLYGHSPRHLGIHNTDQLVPADLEEWLENRALLMDVIQQQLNRTCQRMKNQADKNRSERIFEVGDMVYMKLQPYIQTSVANRSCQKLSYKYFGPFKILQKVGTVAYKLDLLVDACIHPVHSVPVRILDSKLVTKGSATLNKLKVQWSHLPENYSIWEEAFDLRRCFPQAPAWGQVGFEECDSGLLHMTPPNAANKPPPRRNCFLVEAGSPSSVLRGTMYSSTTAMNKTPNATHRTPSNRTVPSDIQFHFAAGGGFEFSSCFCCPCPCGPSSRSLSIIFIADRCLQPRYDFWYGRQNDDAYIETDHFL >Sspon.06G0017130-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:74316488:74317896:-1 gene:Sspon.06G0017130-3D transcript:Sspon.06G0017130-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSISNGTANCATVPQPPPSTGKLITILSIDGGGIRGLIPATIIAYLEAKLQELDGLDARIADYFDVIAGTSTGALLTSMLAAPDENNRPLFAAKDLTTFYLENGPKIFPQKKAGLLTPVRNLLGLVRGPKYDGVFLHDKIKSLTHDVKVADTVTNVIVPAFDVKYLQPIIFSTYEAKNDALKNAHLSDICISTSAAPTYFPAHFFKTEDAADGRSREYHLVDGGVAANNPTMVAMSMLTKEVHRRNLDFNAGRPTEYTNYLVISVGTGSAKQAEKYTAEQCAKWGLIQWLYNGGFTPIIDIFSHASSDMVDIHASVLFQALHCEKNYLRIQDDTLTGNASSVDIATKENMESLIGIGQELLKKPVARVNIDTGVYESCSGEGTNAEALAHFAKQLSDERKLRKSNLNSY >Sspon.03G0005730-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:16355753:16358782:-1 gene:Sspon.03G0005730-1P transcript:Sspon.03G0005730-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding PRPVVLDSFGEREGDGRTDGNRDERARARAPAPRHPVPIPSADHPHPHTGVSSAVRRAPFPPVAVASLGLVHNMMAAELVKQFSNITLGEDNEICDVKQALKALRKKILSLDFDNSMHVHDPQDSFEYLEVLCKIKQLSEKLRTLDPGGEAKQLDELTVYAYDLSEMAMARLEEEFVYLLTHYKQPLEQEVLSFRSTEDGSAEDFSSSSFSEEQSEGKETPNDISGGPEYFVPDLIQPGALAAVKSIAKFMFLNGYDKECCQAYINSRQSAIDEYFGSLRLEKLSIEELMNTSWNKLNSLIKRWNRTMRVFIRVYLVSEKRLSKHVFGELTDSTADLCFSEISFNSVIQLLSFYVSVAIGPPKTEKLFRLLDMYDVLEDLLPEAESLFESGYDDMILNEYHEALLQLGESARKTFAEFKYAIQSYTSSSAVARGEVHPLTKYVMNYIRALTAYSKTLDSLLKDTDRRCMASDIQLMANSYPNFTATALHLQSVTAVLEANLEAGSRLYRDDRLQNIFMMNNIHYMVQKVKNSDLKSFLGDDWIRIHNRKFQQQAMRYERASWNNVLSYLSDDGLCAAGDAASRKTIREKIKNFNLSFEEVYRVQTAWSVPDDQLRDDVRISISLKVIQAYRTFVGRYSGFLDGRRHQDRYIKYRPEDLETLLLDLFEGTQKTLQYSFRVXX >Sspon.01G0007690-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:12998764:13002246:-1 gene:Sspon.01G0007690-2C transcript:Sspon.01G0007690-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homocysteine S-methyltransferase 1 [Source:Projected from Arabidopsis thaliana (AT3G25900) UniProtKB/Swiss-Prot;Acc:Q9SDL7] MGALEELVAKAGGCAVIDGGFATQLEALGADINDPLWSAACLITRPHLVKEVHMQYLEAGADIIISSSYQATIPGFLARGMSVAEAEDLLRTSVKLAVEARDEFWKSALRKAKPIYNRALVAASIGSYGAYLADGSEYSGSYGADITPEKLKDFHRRRLQVLASAGPDLIAFEAIPNKMEAQALVELLEEEKVQVPSWICFSSVDGKSLCSGESFADCLKILNASEKVAVVGVNCTPPQFIEGIICEFRKQTKKAIAVYPNSGEVWDGRAKRWLPVECLGHKSFDALAKRWQEAGASLIGGCCRTTPSTIRAVSKILKGRTGH >Sspon.03G0021470-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:65722322:65722861:-1 gene:Sspon.03G0021470-1A transcript:Sspon.03G0021470-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSLRCCLACVLPCGALDLVRIVHLSGRVDEYGRAVSAGEVLAAHPNHVLSRPCSSPQGVVRRILIVSPDSELERGEIYFLIPAASVPDAKKAGGGGAGTPSRHVRSKSEGSVVVAAAVTDRQLGQLGAESPPEKEAAKKKQQQQHKRAAAQQHRRRMSTGSHAAPWQPHLACIAEDL >Sspon.06G0013940-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:55665984:55670858:1 gene:Sspon.06G0013940-3D transcript:Sspon.06G0013940-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPNPRAPLLLAVIVIVLALGASVAAGAEDDASGISLGRRAGGFLHGLKKDALVEGDHGVALDEVGPGLFDALFASLSMILVSEIGDETFIIAALMAMRHPKSIVLSGALSALYVMTVLSTGLGRIVPNLISRKHTNSAATVLYLFFGLRLLYIAWKSDPKGSQKKEMEEVEEKLESGQGKSTIRRIFARFCTPIFLEAFILTFLAEWGDRSQIATIALATHKNAIGVAVGASLGHTVCTSLAVVGGSMLASKISQRTVATIGGVLFLGLLEHKSLLSN >Sspon.07G0001200-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:2693773:2694435:1 gene:Sspon.07G0001200-1A transcript:Sspon.07G0001200-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPASGFCPAGLLWGRREQLDIPCPHGHLILAHGRLCNFSGWKLNKGFTRSLPVRAVRNMHILLCQLVLFEELDDVLSGGRVGKPTELQNLSVLHAALIFKLLLRPRRRWGAPVLQLKVTTLVHLIGYCAIIFLLLASEHLNVPPAHVLLVAMQSKFCLHGCWEDHKRLTGKAPILVNQEAVHYVQPHKELADVNVIAIKGETSQPDTWKALDTSANPCTS >Sspon.08G0012830-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:53439156:53441891:-1 gene:Sspon.08G0012830-3D transcript:Sspon.08G0012830-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAAAAPTARKRPAPDQEPRAVDATKRPRYKDLRSIDEFEVLEVLGEGVEGVVSRACDRRSGKEVALKWIRGDAPDGHGPPDRRALAMEAGCLHACRGHPSIIGIDGVAADPKTGDVHLVLELIRGGLSLRESIWGTLSEDAIRLMMRQLFGAAKKVHDLDFIHRDIKSENILVCPLGELKVCDFGSATRKKPDGKPHEAYPVGTLQYNAPELLDRNWYYGPAIDMWGLGCVTAELLSGETLFQAESEYEMTTEMSRLRDRMTSAAGKLDPECLAKLSEDGRDVLKGLLTFCPEKRLTAVEALEHRQQPGTRRLRGCTIVDIQQSAEAKELRRQLPTSHREIEFVQAERKDTIQWTFLTPPRKELRFATVGFFCFTGNPEYQGSVLRQFSTAAYFAFLHSDIFSCAGPGTELHSKFVILALTFGEGSEPACEGWRLCWIPDWVICALPRCIEIPLQQTDDYAKALRNGSKNGGVSPIIDESLYLKAFLSDPTYLLFTAQSRAYFVFNLCHHQLANDLSSAILDITGGAEGSTIERKWLGAAAAADASPTVTKTSYTPLSLEIYPSLPH >Sspon.01G0027740-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:57207706:57211023:-1 gene:Sspon.01G0027740-2B transcript:Sspon.01G0027740-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GERGGRGREEAEEEAAAAVGGVPPAAGMLHGVRRRRGPIGSRRRRGGEQAEADASGCHGQWDCRKFCSFLFCLAWLYNGN >Sspon.03G0006160-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:10874614:10875903:-1 gene:Sspon.03G0006160-1P transcript:Sspon.03G0006160-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVLAKPPPALDQISLLRSPQPGDAASFFGVPAVDLSSPGAALAVVDACERFGFFKVVNHGVPTGVVDRLEAEAVGFFASPQAEKDACGPANPLGYGNKRIGRNGDMGWLEYLLLALDGAGHASSVSKASPVPSSSLRDAVNQYVAAVRGVATSVLEAVAEGLGVAPRDALSGMVTDAASDQVFRINHYPSCPLLQRLPDSCGVTGFGEHTDPQLVSVLRSNGTAGLQVALHDDGRWVPVPPDRDAFFVIVGDSLQVLTNGRLKSVRHRVVANSLKPRVSMIYFAGPAPAQRMAPLPQLLGHGEQSLYRDFTWGDYKKAAYRSRLGDNRLDPFRI >Sspon.06G0006990-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:26259738:26260666:1 gene:Sspon.06G0006990-1A transcript:Sspon.06G0006990-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAVESGGRARLASELGRVQDLVRQLELQLCAPADAASVDLCRRLVRQVVALTDRSIGMLHSSPDLAHSPPLSASPISSDAASDHHHNHPFRPASASPKKRKATARWTSQQVRVSAAGGGTEGPADDGHSWRKYGQKDILGAKHPRAYYRCTHRNSQNCPATKQVQRTDDHPALFDVVYHGEHTCRPGGAKRAQQQHNPHAQAALQGLAARLTSCPVRGASSPWSLASPVGSDSNGCLQHQGVSPCPVPGYRDWASEGDLQEVVSSAFAAVSSVAPLPVLDDEF >Sspon.07G0013090-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:46576911:46577837:1 gene:Sspon.07G0013090-3D transcript:Sspon.07G0013090-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGVVKVYGSAVSPYVATVLVCLEEAGAAYELVPVDMAARENKAPHHLARNYRSSGGGDLLREGDPEKAAMVDVWLEVEAHQYEPAIAQIVRHCVILPMIGAGGARDQRVVDESAGKLRAVLAAYEARLREHAYLAGGDAVSLADLAHFGFTHYLMATEYAALVEERPAVSAWWHRIAARPAARKVAALMSWPPPPNRARD >Sspon.02G0033930-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:9658338:9661512:-1 gene:Sspon.02G0033930-1B transcript:Sspon.02G0033930-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amidase 1 [Source:Projected from Arabidopsis thaliana (AT1G08980) UniProtKB/Swiss-Prot;Acc:Q9FR37] MAMGLEDGDYGAFMEKFELLPSQSQQQLPLHGLTFAVKDIFDISGRVTGFGNPDWARTHAPAGATSPVVLATLAAGAISIGKTIMDEMAYSINGENAHYGTPTNPCAPDRVPGGSSSGSAVAVAAKLVDFALGTDTGGSVRVPAAYCGIFGLRPSHGLVSTENVIPMSQMFDTVGSFARDLSTLSRVSNVLLPLPADNTIRRPTHFVIPKDCFEILGSLNDQTYQILNASVAKKFGNDAIDNRNLGEFISSNVPTVGKFISDFSRSEAASVPALSVISYVMRCLQRSEFKANHAEWVNTVKPNLGPGIRERVYEAIASEDGPMEDFHVLKTEFKLALSALVKDDGILAIPTVPGSPPKLRMEAVALENFRARAFSLLSIAGLSGFCQLSIPLGVRHGVPVSVSLVACHGADRFLLSVAQELYETLKEETGKAWSSPDSSL >Sspon.01G0060480-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:79919807:79920984:-1 gene:Sspon.01G0060480-1D transcript:Sspon.01G0060480-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGARLTLGHRPTAQLRSVPTTRWAQRIFRGSDETEPNRSGLSAGRRRRTMTLPRHCYGPCARRTVVDGRLHAHSRARGTRAGAKPVNMAKTGAGSMPGARRGGIFFSPTPPATVSYSLRP >Sspon.05G0006350-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:20305707:20308971:-1 gene:Sspon.05G0006350-1A transcript:Sspon.05G0006350-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AMAAGSETDEQLTEYEKQRLSRIRENEARLEALGLRSLAASPLLRSPSPGAAKGKQKKRSADEDEEYVPSDSGRGEEDDESSSESAQDEEVEGEGKSASRSRAQGKKKKLSKSGKSTKSTPAKGSASFADVVDDDTALQQAIALSLAEYSEKPSSAVGAETSSTVTGESESTPHKNKSKASVQDSAKNKKIKKKGKSRIQLTEDDVVAFFFSFAEVGKGYITPWDLERMATINDFIWTDSEISKMIRCFDSDGDGQINLEDFRSIVSQCNMLQEHDRRRDQTEQRLNCG >Sspon.04G0026560-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:44656067:44664554:1 gene:Sspon.04G0026560-1B transcript:Sspon.04G0026560-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSSNTNTSGGASDKEEKKEDKVKGKDSSEPFFKENERVLAYHGPLLYEAKVQRIENHEDEWRYFVHYLVSLNWDEWVANDRLLALTEENMRKQQELDKNQVVDKTMKSGRSTQHKPKGSNADAKADKDDTKSLMKGKKRKSQPGTEEKEKRSSQSPLVLQFPLTLKKQLVDDWEFVSQMGKLVKLPRSPTVDDILKKYLEHRAKKDGKINDSYAEILKGLRCYFDKALPAMLLYKKERDQYAEEVKGDVSPSTVYGAEHLLRLFVKLPELLAFVNMEVDALNKLQLKLLDVLKFIQKNQSTFFTTVYLDDGRKSADGAKTK >Sspon.04G0006330-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:15358359:15360645:-1 gene:Sspon.04G0006330-1P transcript:Sspon.04G0006330-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RGVNYTFVKDASLAPSVSYYDYIIVGGGTAGCPLAATLSERFRVLLLERGGSPYDDERIGDMARFADTLSDTSPGSPAQRFVSEDGVINSRPRVLGGGSCINAGFYTRASDDYVRDAGWDLEATGAAYRWVEDVVAFQPELGPWQAALQSGLLEAGVAPDNGFTFDHLDGTKVGGSIFDADGRRHTAADLLRYARAEGLDVLLRARVAKILFINVRGRRPVARGVVFHDSEGRMHKAYLNAGRRNEIILSAGAMGSPQLLMLSGVGPADHLSSFGISIVHDQPGVGQGMSDNPMNAIYVPSPSAVEISLIQVVGITQVGSYIEGASGSNWGVRPSASGGVDRPRNFGMFSPQTGQLATVPPKQRTPEAIARAAESMRQLDDSAFRGGFILEKVLGPLSTGHLELRNRDPDDNPSVTFNYFSHPEDLRRCVAGLSVIERVIHSKAFENFTYSYFSMETLLNMSTGFPVNLLPRHDSDSTSLEMFCKDTVMTIWHYHGGCQVGRVVDAEYRVLGVEALRVIDGSTFNASPGTNPQATVMMLGRYMGVRIQDERLKAEGLEGTKL >Sspon.02G0011330-3C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:34425521:34426825:1 gene:Sspon.02G0011330-3C transcript:Sspon.02G0011330-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAPVLKRKGEEAPEAWLLGGVPVPATKIRRLDAEVPPAGSGAGVLPQPQHAFGVEEARMSGHVGAPPAMDATPLLKRKGEEAPQPWFDVDGVPTPAAKIRRLDADVPAVESAVGVPLVEPGVSVTLQPFVVGDLRMSCDAPPAAAIGVAAPAANEERAIVVYQPAEAARNLLHGPLRPGASLRVSPDWIHGLKSTMPQEASNYRALFEEMAAGDENLSLAMVPWAPAKAHAQAASSSTSAGAEMDADQDGDGASMEVEHGVEGQTTLPAGGALQGEAFHHHYQQQQQHQWPAQHCVASPQLQLPAASCQPSPVTWSWRRREPEQEGH >Sspon.05G0021940-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:1477743:1478711:1 gene:Sspon.05G0021940-1B transcript:Sspon.05G0021940-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQCGYSYRPCGIALPLKKTGRQCEQAAAAPRNDGKSLTSSVTAALARDTQPHSTAGVTCGVPVRCTYVLTHGTAAPAPAPECTHQKRRAAGPIPADFRPPTLLADAQRRGYIYGHPVPPTSAPHPHTDIKQVPGCRATEQATRPSKAIPSPSSIQLADDVAKHVQVAITIPSLVWLRRAVRRWRSHGRAADASGSSSSSPRPCTAVPAGHVAVCVEAAGGSGSGSGSTRRFVVRVAHLSHPAFRELLRQAEEEYGFPAAPGPVALPCDEDHFLDVLHRVSSSPAADASSSCCCGLATRRCARGESRPLLQGRVMAVDQKLVW >Sspon.02G0022100-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:72189796:72192162:1 gene:Sspon.02G0022100-2B transcript:Sspon.02G0022100-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRTVSKQASQKKRDYAMKKASIFQLKTEVDFYLPGNSAQQVCDFKIKGSYFERSCGFYLGSSDTMVAQINRKYTATNILLGKDTFVVIVFPHVDYNHGGS >Sspon.03G0033520-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3B:51210747:51211706:1 gene:Sspon.03G0033520-1B transcript:Sspon.03G0033520-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQGGGQGAGDRISSLPDDILFSILLRIGSTRAAARTSVLSRRWRHVWARLPELRLGTCDVPPGATLLDSIDAALDACSAPAVQRLEVAMYCHGLRVHARRVAPWLRFASQRRVGDLYIEVPSQMKFFLSTPKPKKEELELPVCDGATRITLSLERQWRLLLCPAGSFMSLTDLHISCATMEGSELGALVSKQCPRLRNLYLFVRLAAASNVSIRSSSLDSLSFDVENTEKLEVIAPKLEVLTVCDATKADISAPKLAEISWDGDTGYDPQCHRFGNAGRHLRLLDLGSKCVVASLMHRFDKVDVLKLNLNLCNFKVCW >Sspon.05G0006700-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:12272079:12276388:-1 gene:Sspon.05G0006700-3C transcript:Sspon.05G0006700-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTYFFHPLAAPMASGGVRLHRCPFSLTTPARTAPRRPASLLVVRAKRAGSRAPAAAASHQPANPSAVPKRDVEEEVEEVEEEMPWIQDKALDLVEFTGTVTQAIPGPRVGSSPVPWLLAVPLAYVGVSFVLAVVRTVRRFTSPRTKKKRRVGKNIFLLKSLDDLFQKGREAIDYPALQDLMQKTGFDMDDVVRKYIRYTLNEKQFNPDVVVDLIHLRKASMLEDAEVAEILNEISRRIVREKGPVVMDLSGFTEQGFKRKLAVQALFGKILYLSELPEFCSRDSSLVVKEIFGVTDEDADSLRIHTVSTTGDIESIQKMVDDLDIEQGPSSLS >Sspon.04G0011990-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:37164572:37169508:1 gene:Sspon.04G0011990-3C transcript:Sspon.04G0011990-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGESFFLNLEVRILDWVYLTEKSLPDMVASLDNVRGLTLAMSSSAFIGSSFVIKKVGLKKAGDNGRRAGCASSKLCFIEVISVKAVAIALKLSFSGSNQFIYVQTWFFIDWASQTATQIATQLCGFVTIVAGTFLLHKTRDMGNPPPPDQICLEEARECAPRSMNSSS >Sspon.05G0011420-1P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5B:27474813:27482387:1 gene:Sspon.05G0011420-1P transcript:Sspon.05G0011420-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MYASLGHSEKRSNRSRGQERVCHRLLLGSLSRGALSHQGLKDAYIIYLNADESIDATRKGNLARFINHSWYRARRWWSTAGEGQVETCRADGLRVVKGGREAWIEGPGGRVIGRGEATDEEARWPSDEDDTGLREKRGTSGNLQTVEPPRKTWNLRESPDRRASGGKRGTSAPKFLAPIHPPLVAVFGPSLGAKSRGFQEAMYLWEDDDDRFSVENIPLYNSADDEPTSIIKDILLSSHDMVTQYNNISTVQSTENPGNAGTSEFAPIIVDELTASSNGLAPMNVEPLTASSNEFTPMTIEPSNAMPMVAHLVKNGSTEYSVQDTHDTSQNSVSKAANHANQTGSQNNSNHSALVPVKPAPKRRGRKPKRVLHKQLDIPDICDRLTSSVACEEILYCEDVKNQAVSEIDALYDEIRPAVEEHERDSQGSVSTSLAEKWIEASCCKYKAEFDLYVAIIKNIASTLLTSKDDVAPREHSGLKYLHNDGGSKIEFGLWTRFPSANSLVVFVLSTSRLVTIIRRDDASACIRRSPCLGDTSPACPRALSRAATGRPPQPPLLPYARCRVFVGTFSRSSPGP >Sspon.02G0041710-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2B:77535284:77536966:1 gene:Sspon.02G0041710-1B transcript:Sspon.02G0041710-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCRPHPVERGAGARLLCGPGEGGAGSRGLGGSSSGGNLLAPLAGGAREGGPLAGGARKGGPLASGARKGCGSAGYPPAAAAGREIVGRGRGEAAIWAQVVGWERGGSEGRRREEGVQPWPAGKRWQAAAPLLLGSAGGWGEEGGKRRLWYHVGNRRVS >Sspon.01G0010080-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:30827256:30829723:-1 gene:Sspon.01G0010080-3D transcript:Sspon.01G0010080-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKEKRRRRGWFERIRRLFTSDPKPTPKPADKKAKSKRWLPGKLRAQQSFALPPPAPAGADQIRQAEDEQSKHAVAVALATAAAAEAAVAAAHAAAHVVRLTGQPPPVAPLPRQAHEQEQEHAAVAIQSAYRGYLARRALRALKGLVRLQALIRGQAVRRQTAATLRGLESLMRIQARHRSRAGGVDHQHQAADDDAQLLRRGRELFATAAAVHEQQASNKGWDSSIFSKEEMSAMTRSKEEAALKRVRALQYASLHNEKLGLGIRRPPSVNVSRDEVDALNQRWSWLEEWVGSQPPFDKDVPVAHQSPYTSTTTRGEDAAANRDDDVVYRLGCSARRSFARPRRTPGRGDCYYDDAAAAGCSPAPAPFPGYMASTASAKAKFRSMSTPKERSAATYSDAYSEHCFPFADRLLSPIPSMSPIPSIASDMGFARSTRPPVAQRSPRVVAKSPMTPMRSRSRRSPGHHSFGSEAALHQLQKEHYTPVR >Sspon.04G0015220-3P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:18957841:18961105:-1 gene:Sspon.04G0015220-3P transcript:Sspon.04G0015220-3P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIRLDGKNCLVTGANSGIGFATAEGLTSHGATVYMLCRNKDRGEAALNQIRCKTGNANVHLEVNNAGLLEHKRETTAEGLELNFAVNVAATYTLTELVMPILEKAAPDARVITVASGGMYTEPLNKDLQFTKGTFDGTQQYARNKRVQVALTEWWAEKYGDKGVGFYSMHPGWADTPGVAKSLPGLLSGNLRTNDEGADTVVWLALQPKEKLASGAFYFDRAEAPKHLKFAGTAASHAQINSIVDSIRSICGFSVEERRTNKKRERERLRLNDHRLVGSVKMRRLTSTGKTRTAMSTMLARMAMHIHLRLLFWYSRADSSSTVPLRTKELACATWLSMSLSWWPCASTSAAMSRNTWCRSMRLRSMSFTASCRSWISEMVSITCPRPCSWIAFCRNDSLSPDEMSVSIVLSSGFSPVTV >Sspon.07G0038680-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7D:83209327:83211756:-1 gene:Sspon.07G0038680-1D transcript:Sspon.07G0038680-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTKFVNSFAVLPAEQTRGGILLAVNEDFFDLSDVELTANTISATITMRADGIKWKITVVYGPQGDAAKLQFLLQLKNIPPPEHNRWLILGDFNLIYREQDKNNSNLNRRLMGAFRAAIDHLRLKEIKLNGRHFTWSNQQDNPTLTRIDRFLCTSEWELIFPACFLHSLPSLMSDHTPLLLQGELDHHHNTSFRFENFWTEMEGFQELVQAIWNRPVTSALPIKRLHIKLARVAKGIKRWKKENIGDTKLQLAIVKEILLHLEAAQEHRLLTSLELDLHRRLKARSIGLAAIEKSRIRQRSRLTYIRCGDANTKFFHIRASARRRKNYIHCLHTDDGIAIGHEEKEIVIGDYFKNHIGSAVPRFATINWQSLGYEPHDLSDLEVPFSQEEVKNTINDMPSDKAPGPDGFTGAFFKACWEIIKDDVMAAINSLFAMNAQGFQWLNSACIVLLPKKADAMRVTDFRPISLIHSIAKIFSKLLANRLAPRLNSLVSNCQSAFIRRRSIHDNFLYIQGAVRKLHRQKIPTLFMKLDIHKAFDTVNWGYLLEILQALGFGPRWREWVSILFRTSTSSVSLNGRQGPSFSHARGVRQGDPLSPMLFILAMDPLQRLLDQATRHGILTPLPLTAARWRTSMYADDAAIFINPNKEDVEAIKVILQAFGTFSGLHINLQKSSVHPIRCENVDLDHVLSSFTGNRGTFPCKYLGLQLHTRSLQKVHVQPLIERIRQRLPNWKGRWLNKAGRLTLVTSVLSSMPTYHLTVFPLAAWARRKIDKIRRSFLWKGEENANGGHCLVNCQRHQTQRPRGLGSA >Sspon.04G0003490-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:10899418:10901675:-1 gene:Sspon.04G0003490-1A transcript:Sspon.04G0003490-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding HMDEIRSDDIEKQDEVMLPGFRFHPTDEELVRFYLKRKIQKKSLPIELIRQLDIYKYDPWDLQELASTGEKEWYFYCPRDRKYRNSTRPNRVTGAGFWKATGTDRPIYSSDGSKCIGLKKSLVFYKGRAAKGVKTDWMMHEFRLPSLTDPSLPQKKPLEKTIPPQDSWAICRIFKKTNSTAQRALSHSWVSPPLSSTNENYIRPSSQATQRSHHSSENTSSTMTDIISSIQFTGSSYMPSIVSSCRNPASIIDSSSRLAASLVLPSAGAEHHTMSVLSAIPLDLPAGVDIASMVLNASPTTLQNLDRIPPNIEFGQPHHPSNSNSMANRCTVDLPDIGNSVTAAPRSINFPFNLQGALLDDWRMTLPWDSLPCTTEVSTNYQSTKCY >Sspon.08G0001510-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:5003820:5006446:1 gene:Sspon.08G0001510-1A transcript:Sspon.08G0001510-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMTAEAAVAEAYTSWYTWSKIGDWTLPFHGKVEYVPELKLWFGLSGDAQHLAAADLSVMDTHSPPQLAAGHGWEEFQPPEEWAEQELVIDQEDVQLVNLGSGRFCIARFFIDWRASDDAAFYFVVLTGVEVVERVLHNGEVKLGMIPHKSKCHHKSPVDGTRIEQLF >Sspon.02G0039760-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:61033409:61036866:1 gene:Sspon.02G0039760-1B transcript:Sspon.02G0039760-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AMSASRACVVQRRRTTLPTPTPCHRRQREPQNASSPAPPARQRPSYTPQAHDRQPRRPGVLLQCRRSRGRRRPSPRPAPPLSRVALRCSSSPERLFLDSIRIDGFQIDGFMTRCSAQLVFNSESANKCDFYKPLPPGNCYYYIIHAYSATPSMRGTNESDC >Sspon.04G0026390-1P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4B:43441856:43443240:-1 gene:Sspon.04G0026390-1P transcript:Sspon.04G0026390-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPHVVVVPYPCAGNVNPALQIAKLLHHHGVYVTFINSEHNHRRVQATEGPGAVRGRDGFRFEAIPDGLSDADRGKQDYGRGLEMAVSTSWAAPFRDVVTRLTCTPGVPPVTCVLTTMLMSFALGVARELRIPTMALWTGSAASLMTHMRLRELRERGYVPLKDESLLTNGYLESTVIDWIPGMPPIRLGDFSSFLRTTDPDDFSLRFNESEANSCTNAGALILNTFEGLEADVLAALRAEYPRVYTVGPLGSLLNGAAADSAGGGLSLWKQDAECLAWLDTQEQGSVVYVNFGSHTVLTPEQLAEFAWGLAATGHPFLWAVRDNLIRGGGGGGRLALEALPPGFTAETAGRCRLTPWCPQEQVLQHAAVGCFVTHGGWNSTCESVAAGVPMVCWPGFADQFTNCKYACDVWGVGVRLDEEVRREQVATHVGE >Sspon.08G0005370-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8A:16298636:16298839:-1 gene:Sspon.08G0005370-1A transcript:Sspon.08G0005370-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGASLCCFGSGGGYCADDEPGYEQPRRSSRKVRPSDEDGLWYVGERDVDMKASEFIAKFHATASTSS >Sspon.08G0004690-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:14357452:14357776:-1 gene:Sspon.08G0004690-2C transcript:Sspon.08G0004690-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGGCSTCLEVIFAVVLPPLGVFFRYGCCSSEFFISLLLTVLCYVPGIVYSLYVILRAPPEPPGIDGERPYDMLA >Sspon.03G0024930-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:60292833:60294881:1 gene:Sspon.03G0024930-4D transcript:Sspon.03G0024930-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDCDDDDDGYDEDDYDFDEADFNQHLADKFDDLDLPPGVEATHFADLVTGHFRVHGHIILAACKHYMAGNDIGSVVPEDEDEEDSSSSAPKPKPGVIKAIPPNRRSSCSFNPSLKVLYEDLLMEFNVKGADTRKFIVEKLKKNQPAAT >Sspon.07G0006550-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:12263201:12270309:1 gene:Sspon.07G0006550-2C transcript:Sspon.07G0006550-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPAALRRAPWAAAATAARGLLGAMSVSRVPPPHRRLPYRAERSALLSSLSAPQPDAAADAHLLRVINYEISCAQKDCKKRDWAKELGEWFPFEIQDKEGTTRIILTRRDQKEQTEVEVFLPSAADPMEHNGEQKDDTKDDDSQALAISGPASRYYIPLVVKIHKGMASWLEINCRSYPDDLAIENLAFGTRDESVNSSNVEAKIWFKPRENCYSHILRGKTALAQKVFVHNKAKDNFVLRLWVCVGPPDSEDRFNLLYRMLDNLGLDTAKVEDIVDKSKVVTKAKDDEKKRIMEKPEATAELKRKVAGMVAHRPAAAAGLAGQSQAAETAKKETSDDGTKKEEGNVVTEAGVAAGEDANKQAKEEEDRIFEELLKEKIDNNRAVETSKIRRRREYTHTQSHKHSVART >Sspon.08G0023120-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8B:52926856:52930598:-1 gene:Sspon.08G0023120-1B transcript:Sspon.08G0023120-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALRNALLSELASRAVSFQVSTCGSRLMPVPATVREREEESLTHRLRLLLLRSATIVEEAEGRRVTNRGVLRQLRVLSDAMVRGHYVLDTVRYDAGGGDRREEEDGSKELQRMVRSLEAIIAGAKELAMFLTACTPVSCHQPYSAHMFLDKCMFDRNAERDQILEFLLQAEPPVPAPVTAANPAPAVLPIIGPALTGKSTLVEHVCNDDRVHSHFSLILLHAAAGNAGLGGLGTMATLSGDVDNEAWNSLFYSLGRCMSRGRKVILTSRSEKIERFGTTRAVRLKCLSTEAMWYFFKLSAFGSADPEEHPKLASVAIDMAVEARFISANIIAAMVRADLSSRQLWCNVLATARHYMRKNLVLFGEYPDNLKVKDHPRHTWSMNKLLKPNECFLIYEVLCSTQEQALLDPEVTIMDMLSRRAQPRGSVFYQTAEKGGAPRMKLRRFWLGMGLTSGKAKIGSFPLLHQPVHRLGPFCPGSSGRDEAECRREDEVEVECEPLGHDNEEGTSTAMEEEGGKAAAAGGNG >Sspon.05G0004150-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:15548370:15551991:1 gene:Sspon.05G0004150-2D transcript:Sspon.05G0004150-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAWAEAALVLASPSPATASSTTSSCGVRPRVTVDSGRLFCKGFPCTIRSKAGFQIHNYRTRTFKIKAKAESGDGYTQLAPLRFESPSGQLLVQILQSHPHLIPATVDQQLENLQSEKAAQSEEASKVPQDLLYKRIAEVKEKERQNALEEIIYCWIIYRFMDNDISMTSALSQGGGPVRDISALPNQEDKLQSIHSADAFEMIQNHLNLIMGEKIAAPPDTIVEISNLNLGKLYAASIMYGYFLKRVDERFQLEKTMKTLPPNPKQQIVFENLQPNPFWDMESLVQITPDGEEISLDNEESNPNKLKSYVSQLDADTLQRYATIRSKEAVSLIEKQTQALFGRPDIKVLDDGSVNAKDGRMITITFTELNHLVLEAVAFGSFLWEAESYVESKYHFVNS >Sspon.08G0022970-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:52104546:52109315:-1 gene:Sspon.08G0022970-1T transcript:Sspon.08G0022970-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MCVEEPEDAERFGFGDAGVEEPAKFPLAQIIMLSFCMHDSQQLDISLRYHFLHFNNQVFDGHGGKDAAHFVCDNLPRVIVEDSDFPLQLEKVVRRSFMQIDCQFAETCSLHRATSSGTTALTAMIFGRSLLVANAGDCRAVLSRCGTAIEMSMDHRPCSLSEKLRIESLGGYVDDGYLNGLLGVTRALGDWHLEGMKEVGEPGGPLSADPELKMITLTKDDEFLIIGSDGIWDVFSNQNSVDFARKRLQEHNDVKLCCKEIVEEAIRRGATDNLTAVLVSFHLEAPAQIKVDRPGRVARSISADGLNSLRILLGRQ >Sspon.05G0003030-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:9017167:9027333:1 gene:Sspon.05G0003030-1A transcript:Sspon.05G0003030-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RLRKGPAPAHPAPTPQVLHCEAPSSSLIISDDSLAGGQHHEQSKPQAAPRVPSSLSIEDEDGDFFLAADRQPEPTLPEVTQLKRLRKGPAPPHLAPSLPPLKVPGQPTVEACPVMSENATRAAVGSWEDEIEDWTTDEDRPVRDVPPSVGSCSTSSNSKFSLLNRGVLMTQSATKANRSKFTETPNTSAPTSLEESCTKKLLPKITVSPMRKIYLLDSDTDGDDNHNQNKAKTLQQNRKPQGSSTVLKSGAMMNDNWATPALDEFCNEYFKSPKDAGYSHQKEGNTHYKVSQPKNSGHFQQQTSSSGAELDDGPPAMHYLFHPDPKVGNLFRNRLQHFVPIGAGSTRGNEQNRAESLRRKQFSSSAAANDDWVTPGRMSVPTDASKRRVHASGSHSGSGHWFTGDSGRKIYVSKNGQELTGRDAYRQYQKESGRGFGRYKKKGSSGTKRGAAKVKTETAAKRGMSRAKRKRRHTHISVAQGVSNYEPAVVLFVVRLNLKPSMLRI >Sspon.06G0015390-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:84794799:84799843:1 gene:Sspon.06G0015390-1A transcript:Sspon.06G0015390-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRCLVLAAVSLVLLTLALPARASIPFTEKDLASEESLRALYEQWHSHYMVSRPGMQEQDKARRFNVFKENVRYIHEANKKDRPFRLALNKFADMTTDEFRRTYAGSRTRHHRALSGSRRHGEGSFMYAEAGNLPPAVDWRQRGAVTGIKDQGQCGSCWAFSTIAAVEGINKIRTGKLVSLSEQELVDCDDVDNQGCNGGLMDYAFQYIKRNGGITTESNYPYLAEQRSCNKAKKAVANQPVAIAIEASGQDFQFYSEGVFTGSCGTDLDHGVATVGYGTTRDGTKYWIVKNSWGEDWGERGYIRMQRGISDSQGLCGIAMEPSYPTKTATHEQEAEENVEEALAVLGMVFEHLQGIL >Sspon.03G0027250-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:5289580:5290898:1 gene:Sspon.03G0027250-1B transcript:Sspon.03G0027250-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEAMVHRGFYAAYHNTTLRDGVVSGIQKTREAYRDIPIIFTGHSMGGAMASFCALDLVVNYGLDGVKLMTFGQPRIGNAAFASYFKTYLPQAIRVTHAHDIVPHLPPYFAFFPQKTYHHFPREVWVHNVGLGTLVYSAEKICDDSGEDPTCCRSVIGNSVRDHIYYLGVSMHAEDWSSCRIVMDYSRLQYQMDLKGNLVLSKQPGLSNDRGFSAQ >Sspon.06G0006600-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:22035366:22037945:-1 gene:Sspon.06G0006600-2B transcript:Sspon.06G0006600-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ECKQVEKDSPYYEFHYNTRRARPSIIHFQLRNLVWATTKHDVYTMHDQSVTHWSSLEQTSTELINADDCIVPKQRGHGSQSVAMVQVTTMAVDSNLLVVGGFQGELICKRLDDDGVVYSTRVTDDENAITNSLEIYQDPSGSRRLVAANNDCSIRIFDTEYFDLLKHYVFPWSVNVSRPLAVLCTVVLSSYLAAKFFLQQSVSVSPNGKLFAVLGDHEDGCVVDPKCGKAIGSLRGHLDYSFASAWHPDGNIVATGSQDTTCRLWDIRNLSQSVAVLGGRMGSIRCVKFSSDGRFLATAEPVDFVHIYDAYADYSKSHEIDLFGEIGGLAFSPDTEAFYVGLADQTYGGMIEFSKRHQHHYLNSLW >Sspon.03G0007120-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:19384520:19387646:1 gene:Sspon.03G0007120-1A transcript:Sspon.03G0007120-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPWSESEGKRAHDPIFKCSSQNHHFKEMRADAAVALSERCTCIRVSCPIIVGAGPSGLAVAACLKEKGISSLILERSSCIASLWQLKTYDRLSLHLPRKFCELPLMPFPANYPIYPSKQQFVAYLESYAASFGISPTYNRTVVCAEYDEQLLLWRVRTQTSVTTGQEVEYLSRWLIVATGENAEAVQPDIRGLQEFPGTIMHTSAYKSGSAFTGKRVLVVGCGNSGMEVCLDLCNHNAEPHIVVRDAVHVLPREMLGHSTFGLSMWLLKWLPVHVVDRVLLCIAWAMLGDTAQLGLKRPALGPLELKSLSGKTPVLDVGTFAKIKSGDIKVCFTPLRHKAREIDCTTCRKTDIRKSGRICGWWLEEFDAIVLATGYKSNVPFWLKDRELFSEKDGLPRKAFPNGWKGENGLYSVGFTRRGLMGTSVEARSIAHDIEQQWKAKGMHPDGSSSSRRCCLP >Sspon.03G0016740-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:39503057:39506054:1 gene:Sspon.03G0016740-4D transcript:Sspon.03G0016740-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALPFSPRRPFSSPCFILCFLLGFVAGLFPFAHRHLHLDLHHLPLPEPPPAAPTKAAPPPPLPTTTTLIVVTPTRARPLQAYYLHRLAHTLRLVPQPLLWLVVDRGAATRETADLLRGCGLMYRHLPSSRRDAPDARRRAPEHAAERGLRRQRNAALDHIEHHRIHGLVYFADEDNVYSLDLFHQLRGIRSFGTWPVAMLGVGKSKTLLEGPVCDNSQVVGWHTDERDKRQRRFHVNTSGFAFNSSMLWDADKRAHQAWNYIRLLDTVRDGFQATTFIEQLVEDETHMEGIPTGCSKIMNVNLHLEDKHLVYPKGWQMTENLDVLIPL >Sspon.07G0004530-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:11364361:11379474:-1 gene:Sspon.07G0004530-1A transcript:Sspon.07G0004530-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGERRYTEQEEALEIKSSAASSPPTPTIKTPQKGMSRDMSGHSKCFLPRTRCISMNASFIMNMLEAFEPPFDMSQYVNADVHADPSNLHDHSHMGCTHSCERGDCSIISISRSNLSLDEQHDSPKEDTKTHESSRETDNKKVTPQHCTASLLKLNVPPIDVDKVRCIIRNIVRDWGEEGQKERDECYKPILEELNRLFPNRSDQRPPSCLVPGAGLGRLALEISSLALKRLMNGPYILGYTATAILFQTTINFVLFHFLIFIPQAAWDAVVTCFFLDTAHNIVEYIEIISKVLKDGGVWINLGPLLYHFADSYGPDDDMSIELSLEDVKKVAYHYGFTMENGYRAAFWTMRKDASRSKACKPR >Sspon.05G0009410-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:22554563:22557427:1 gene:Sspon.05G0009410-1P transcript:Sspon.05G0009410-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVRLPGASKPATAMATAALSLPPLPHRTPPPVAPSVSPPNSASASLKRLCKEGDLRQALRLLTARAPPAREHYGWVLDLVAARRAAAEGRQLHAHALATGSLDEDDDGFLATKLVFMYGRCGRVDDARRLFNGMPARTVFSWNALVGAYLSSGSAGEAMRVYGAMRASAAPGSAPDGCTLASVLKACGAEGDGRCGGEVHGLAVKVGLGRSTLVANALIGMYAKCGLLDSALRVFEWLQDARDVASWNSVISGCVQNGRALEALALFRGMQSAGFCMNSYTAVAVLQVCAELALLSLGRELHAALLKCGSELNIQFNALVVMYAKCGRVDSALRVFGQIAEKDYISWNSMLSCYVQNGFCAEAIEFFGEMLQHGFQPDHACVVSLSSALGHLSRLNNGREVHAYAIKQRLHTDLQVGNTLMDMYIKCDSIECSAKVFESMSIRDHISWTTILACFAQSSRHSEALEMFLELQKEGIMVDSMMIGSILETCSGLKSTSLLKQVHAYAIRNGLLDLILKNRLIDIYGECGEFDHSRNLFQRVEKKDIVSWTSMINCCTNSGRLDEAVSLFTEMQKANIQPDSVALVSILVAIAGLSSLTKGKQVHGFLIRRNFPIEGPVVSSLVDMYSGCGSMNYAIRVFERAKCKDVVLWTAMINATGMHGHGKQAIDLFKRMLQSGLTPDHVSFLALLYACSHSKLVEEGKHYMDIMTSKYRLKPWQEHYACVVDILGRSGQTEEAYEFIKSMPMDPKSVVWCALLGACRVHKNYDLAVVAANKLLELEPDNLGNYILVSNVFAEMGKWDNVKEVRTRMAERGLRKNPACSWIEIGNNIHTFTSRDYCHRDSEAIHLKLSEITEKLQKEGGYVEDTRFVLHDVSKEEKIDMLHKHSERLAIAFGLISTRPGMPIRIAKNLRVCGDCHEFTKLVSKLFEREIVVRDANRFHHFSGGSCSCQDFW >Sspon.08G0007680-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:25613166:25620483:1 gene:Sspon.08G0007680-1A transcript:Sspon.08G0007680-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAKKSLAPPPVLIFALFCSYAASIASSSSQAAAASSDDGFLQCLSASIPSQLVFTQSSPSFTPLLKSSIRNPKFFTPSTVRPLYIVTPTNASHVQAAVVCGRRNGVRVRVRSGGHDYEGLSYRSERPGEAFAVLDLSNLRAVRVDAQASTAWVDSGATLGELYYAVGKASNLLGFPAGLCPTVGVGGHFSGGGFGMLLRKYGLAIDNVVDAVLVDAKGRLLNRNTMGSDLFWAIRGGGGESFGVVLSWQVKLVPVPATVTVFNVPVSASQGAVDVVTRWQQVAPALPDDLFIRVLVQQQTASFQSLFLGTCDALLPVMSSRFPELRFNRTSCKEMTWIQSVPYIYLGSGSTVEDLLNRTTAASVFSSGYKATSDYVRQAIPRDVWANIFGKLAQPNAGLMILDPYGAQIGAVPESATPFPHRAGVLYNIQYMNFWPMAGGDGAVQTKWVRDFYAFMAPYVSSNPREAYFNYRDLDLGENVVVGNVSSYQAGMVWGHKYFKDNYRRLAMAKSQIDPDDYFRNEQSIPPLANTNLLAILQEAKMAMAKSSATLLLVFTFLCSYDAIIRSRASPDDFPQCLSASIPSQLVFTQSSPSFTSVLVSSIRNPRFFTPATVRPRWIVTPTNASHVQAAVVCGRRHGVRLRVHSGGHDYEGLSYRSQRPEVFAVVDLSSLRAVHIDKRSSTAWVDSGATLGELYYAVGQASDRLAFPAGLCPTIGVGGHFSGGGFGTLLRKYGLASDNVLDAVLVDAKGRLLDRTAMGSDVFWALRGGGGESFGIVLSWQVRLVPVPPTVTVFRIPVAAGEGAVDVVTRWQEVAPALPDDLFIRALLQNQSATFESLYLGTCDALVPVMRRRFPELGMNRTHCQEMTWIQTVPYFFLGAGATVEDILNRTTSLSTYTKMTSDYVRRAIPRDAWASIFVKLAQPNAGLMILDPYGARISAVPESATPFPHRAGVLYNIQYVSFWLANGDGSAHTKWVRDLYAFMEPHVSSSPREAYFNYRDLDLGENVVVGNVSSYQAGKVWGEKYFMDNYKRLAMVKGEIDPDDYFRNEQSVPPLVPAN >Sspon.04G0016680-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:12334632:12340059:-1 gene:Sspon.04G0016680-2P transcript:Sspon.04G0016680-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSAFLPELGTQVVVPVAAVVGIAFAVLQWVLVSKVKLTPEPRRADGSAGKSGGAGASEYLIEEEEGLNEHNVVVKCAEIQNAISEGATSFLFTEYKYVGLFMSIFAVLIFLFLGSVESFSTKSQPCHYSKGKMCKPALANAIFSTIAFVLGAVTSLVSGFLGMKIATYANARTTLEARKGVGKAFITAFRSGAVMGFLLAASGLFVLYIAINLFGIYYGDDWEGLYEAITGYGLGGSSMALFGRVGGGIYTKAADVGADLVGKVERNIPEDDPRNPAVIADNVGDNVGDIAGMGSDLFGSYAESSCAALVVASISSFGINHEFTPMLYPLLISSVGIIACLITTLFATDFFEIKAVDEIEPALKKQLIISTAVMTVGIALVSWLGLPYTFTIYNFGVQKTVYNWQLFLCVAVGLWAGLVIGFVTEYYTSNAYSPVQDVADSCRTGAATNVIFGLALGYKSVIIPIFAIAFSIFLSFSLAAMYGVAVAALGMLSTIATGLAIDAYGPISDNAGGIAEMAGMSHRIRERTDALDAAGNTTAAIGKGFAIGSAALVSLALFGAFVSRAAILTVDVLSPKVFIGLIVGAMLPYWFSAMTMKSVGSAALKMVEEVRRQFNTIPGIMEGTTKPDYATCVKISTDASIKEMIPPGALVMLTPLIVGILFGVETLSGVLAGALVSGVQIAISASNTGGAWDNAKKYIEAGASEHARTLGPKGSDPHKAAVIGDTIGDPLKDTSGPSLNILIKLMAVESLVFAPFFSTHGGILFKWL >Sspon.08G0024990-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:4535416:4540245:1 gene:Sspon.08G0024990-1C transcript:Sspon.08G0024990-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQDRRSLDSCALSVMRTFQGQNPEDRRVHTSKPWTSKRKFCELPDSVEVHIIDDDSDDSSKDYSVEDASKQLAAIYNPEITHDKQTDIDHYASPHQSSKKSRHGYGTVLPSIGTYTVQCASCYKWRIIPTKEKYQELRGSISQELFVCARAREWNRELSCDELEDISQDGSRMWAIDKPNIVQPPPGWDREVRLRGPSTKFADVYYTSPSGKKLRSLVDIGRYLEQNPHYIREGVNLSQFSFAIPKPLQEDYVQKRKFGDDHELLELHEIAQVDPLCWAAPPSRRELLGELGISALGLADIDQPGMSDSVSLHQLKVSEPPAQHRRRTVKQVSSRKRQRTPPAARYPFEEQSGGHANDIEH >Sspon.03G0020940-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3A:64672557:64673585:-1 gene:Sspon.03G0020940-1A transcript:Sspon.03G0020940-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGDTAPHVVEDFFGAIQLLSDGTVIRGDQTLLMPAEPFPDVAGVEWKDAVYDAARGLKVRLYKPAAAADAGDDGGNKSKLPVLVHFHGGGYCIGSYDQLGGGHYLRRRLAADLPALVLSVQYRLAPEHRLPAAIEDGATFLAWLRGQAALATAGCAGAGAAEPWLAESADFARTFLSGVSAGANLAHHLAVKAGSGQIDNLAPVRLAGHVLLSLFLGGVQRMATESTPPDGVSLTVAMSDQLWRMSLPVGASFDHPLANPFGPDSPDLEPVALPPVLVEAPEVDVLRDRVLLYAARLKEMGKDVELAEFEGEQHGFSVRRWGQANEELVRILKRFVHRCST >Sspon.05G0012580-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:33221534:33233204:-1 gene:Sspon.05G0012580-2B transcript:Sspon.05G0012580-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCFPCFGSTRDEEFKYYGAKGHGGGNGGVGRAAASSSSSSSAAAGGGGGRAAEAVVAPPRVERDHAGSDKMRAKGNAGSKKELSVLRDASGNVISAQTFTFRQLAAATKNFRDECFIGEGGFGRVYKGRLDMGQVVAIKQLNRDGNQGNKEFLVEVLMLSLLHHQNLVNLVGYCADGDQRLLVYEYMPLGSLEDHLHGTGTNNLPILKYWLCRSAVSIKSAEKFIDIISTLTLLQLSSTISSDLPPDKEPLDWNTRMKIAAGAAKGLEYLHDKAQPPVIYRDFKSSNILLGEGFHPKLSDFGLAKLGPVGDKSHVSTRVMGTYGYCAPEYAMTGQLTVKSDVYSFGVVLLELITGRKAIDSTRPASEQNLVSWARPLFNDRRKLPKMADPGLEGRFPTRGLYQALAVASMCIQSEAASRPLIADVVTALSYLANQIYDPSSAHTSKKAGGSDQRNRTFTFRQLAAATKNFRDECFIGEGGFGRVYKGRLDMGQVVAIKQLNRDGNQGNKEFLVEVLMLSLLHHQNLVNLVGYCADGDQRLLVYEYMPLGSLEDHLHDLPPDKEPLDWNTRMKIAAGAAKGLEYLHDKAQPPVIYRDFKSSNILLGEGFHPKLSDFGLAKLGPVGDKSHVSTRVMGTYGYCAPEYAMTGQLTVKSDVYSFGVVLLELITGRKAIDSTRPAPEQNLVSWARPLFNDRRKLPKMADPGLEGRFPTRGLYQALAVASMCIQSEAASRPLIADVVTALSYLANQIYDPSSVHTSKKAGGSDQRNRVGDSGRVLSKNDDAGSSGHRSPSKDRADSPREQFPGAANRGQDRERMVAEAKMWGENWREKRRAAQGSLDSPTGGG >Sspon.07G0005930-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:15501610:15505342:-1 gene:Sspon.07G0005930-1A transcript:Sspon.07G0005930-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SWSPFSTKDKHRINFLLGGSGLGCCPLIDSFLAVAAIVNFVLVWPVGSFLDPLLLSGRRRQTTRLALIESIKGGGKIGSSAPILGATALAQRVLVLRFSRVVFLQKLFRGMGTCRRSVLGVWFFFWFLLAFEQCASLNHEGAALLRFKAGIDADPYGALLDWDEESLSPCSWFGVECSDDGLVMALTQLCRNLANLGLKGVLSPEIGNFMHMESLILHNNSFYGTIPMEIGDLHDLKMLDLGYNNFSGLIPSELQNILSLEFLFLKGNILSGGLPVGLQQLTRLCEFENQDRDWLHRVPTTKFATVRIRRLLVSEQKDSEKISMEDLTKLPHLNLNKFPPAPSPSEPIPPHPASPATEQTTIQENKTKNFLAIYASIGAAIVFLVVALSALCFFYYCRRKTSTVVPLSATTSSRHLQTTTMEGITLFRRSDLEAACEGFSNIIGTLPGFKMYKGTLPCGAEIAVVSTTVAYAGGWSAIAEAHYMNKVKALSKVNHKNLMNLVGYCEDEKPFIRMMVFEYVSNGSLFERLHVKEAEHLNWQSRLRITMGVLYCLQYMHQQNTPVTLKNLNSSCIYLTEDDAAKVSDISFGVDKKEDDEYNAPDEYSTVYKFALLLLETISGRRPYSNDDGLLVLWAHRYLTGASPAMGMVDPTLNSVPEEHVRAFSELIRLCLSEDQRQRPTMAEVTKRMQEITGITQDQVIPRNSALWWAELEIITS >Sspon.02G0000660-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:2459284:2463121:-1 gene:Sspon.02G0000660-1A transcript:Sspon.02G0000660-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RINT1-like protein MAG2L [Source:Projected from Arabidopsis thaliana (AT1G08400) UniProtKB/Swiss-Prot;Acc:Q8GXP1] MPSPPPPRPPPASVRGFLDAHFASPDDLAAAPALAELLRRECAGLDASLRRAEARLGAAAASWLARSAEARADLRRVRSRGVRVGAEDDGAEAPTTVGLPPLVREIQRIDSIRLYAEATLQLEALVGNLEDAAFSIVRQAPKFNLSSILRKSNETEWKQGKLLHAVDAVRDIERELVRITTSRPQWTNLVMAVDSRVDKTLSILRPQALTDYRALLAALGWPPSLSSPDTEKDKYSQIPNPLVLMNKENKERYSQSFQALCALQHVQGNRELRLCQTAEVTPALADLKYFNRTACFDNGLWAIDELVHPIASRMEYHFAKWSEQPEFIFTLVYKITKDFMDGVDDVLQPLIDQARLVGLSAKESWVTGMVKMLVGYLERQIFPALVCSYRDHATVGKPEVDSSWMHLNDVMISFDKRMQLLADSGIQKIASLSEGLSRSLSVLSIYTEHPDWLQIWADVELSSAQDKLKSEMEDETNWSCSGQHDQLGHMENSMKFLLSTREDYKAPPVCEFVIKTALSMVERGHALPNRGMQIQYNRSSSVRFLNDFFLVLREGCEALQLSNTALEDQSLSKTSCAINTARYCENVLREWDEDTTFLDMGPQGSLFTDEISFLVKLGTNYLEQILSSVLLEFEDLSWEYVQNIGSWSGQTLLDYQILDEDNAGVSPGFVASLDVLTDRTTKLKQYLNSKDFLDLWRSIAEGLDYFIYSSIRWGEVSFSDPGVIQLRVDTKALLHIFRPFCSRPEAFLPFLSESLRLLTMKKSDAQCLLEMLTDGTKSDNWLKQQGLHHVNASQAAKTLSSRKFGG >Sspon.02G0006100-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:18563471:18565896:1 gene:Sspon.02G0006100-1A transcript:Sspon.02G0006100-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding KPASVYFSGGIDPPKTGKPSVPSTMPPPPSHLMDELVEECFLRLPLDDPTSFVRAALVCKRWRRILADRGGPNVVIQPTGVGQHQQRRSLRVRSSPRAAGRLPVSYSSCPSCSSARPARRRSPPASLRLARPADQDCCRLQSAARRGGDALPGAYSLLKLAGRRPSLPARLLRSPLLPADSDRLTVFKMKRTRTLASYFSRVPGQQGTVEAVQQPAPSPTQEQQAGQQGPPLQSQGTEQEQVSIRTRGSAAQAHTQPKEVITGATTSLPAIDLVQPPVEQTGNEYVDCVEEEGGSNQVLHPQDIVADPGCRKPIDEMHPNIRDDAKREYVLLGPCQPVGNEKMVQCNMHTAFPLAYRLVELALILPVATATVERIFSGTKVIKTESRNKMADDWLNHRMVCYIERAVFATIQNDDILRHFQELRTRKKKLPSSRTSAGSLGIRPSLGQFLGPPLLADRGFRRRFHDLHHGRTPSMLGFFNVSKRTGACFTPTSSFRPRNADDLNGRRAIDSRHGRVLLASPPLLSNRTVWDPITGEQVDLPGLHPPQYPCQFGLFNSRAAVLCATSDGACDHVDCHRGHFIVVLMHTYHHKVYAVRIKQGRGENGGDLGKKKKKKNTGGRGTVYGR >Sspon.07G0004680-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:10937370:10939498:-1 gene:Sspon.07G0004680-3D transcript:Sspon.07G0004680-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEAPVTTMREATAAAVPETHHELLEYIERVTAGAAQVQRRVLSEILAQNAPAEYLRRLGVSGDAPGAVDAFRRAAPLVTYEDILPDVLRIANGDTSPILSGKPIREFLTSNGRTWHAGSSGTSGGERKLMPAIADEMDRRSLLYSLLMPVMSQAVPGLDKGKCMYLYFVKAESRTPGGHPARPVLTSFYRSRHFLERPHDPYTVYTSPDEAILCVDAYQSMYAQLLCGLVHRADVLRVGAVFASGFLRAIRFLEKHWQRLCRDIRTGTLDAEAVTDRAVRAAVEQRVLLRADPALADAVEAECARPSWQGIIRRVWPNTKYIDVIVTGAMAQYIPQLEFYGGGLPLTCTMYASSESYFGINLNPMCKPSEVAYTLIPTMCYFEFLPLPQPDDDAGEPDQRDLVDLVDVKLGHEYELVVTTYSGLYRYRVGDVLRVAGFKNQAPMFNFLRRKNVVLSIDSDKTDEAELHAAVSGAVQHLAPFGASLVEYTSYADAGTIPGHYVLFWELRLRAAAAGGAATTTPVPASVFEDCCLAVEESLNSVYRQGRAADRSIGPLEIRVVSDGTFDKLMDYALARGASINQYKAPRCVRPGPVVELLDGRVQASYFSPKCPKWSPGVGKQWSSDAAAAKKAAAGGSNGGGGGA >Sspon.02G0013160-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:35335927:35339579:1 gene:Sspon.02G0013160-1A transcript:Sspon.02G0013160-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MYNPEHHQHQPAPPFMAPRMSFSDFAVEPPPPAAARGGAPAPGDADFEFSVGSHPMMAADQLFSKGRLLPLREAPHQAGASGRPVTLRDELRADERHGRVPRAPNIRWKELLGLKKAPKKQAAATADAAAGTSTDAHMSITSTSRAALHGAADVLQRLRGGAAAPGSRSRWRAGARGRRLRVLRRQHPMMAADQLFSKGRLLPLREAPHQAGASGRPVTLRDELRADERHGRVPRAPNIRWKELLGLKKAPKKQAAATADAAAGTSTDAHM >Sspon.03G0019080-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:60659336:60662764:-1 gene:Sspon.03G0019080-1P transcript:Sspon.03G0019080-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MCTIPKLFRELDLINYSFGSPVSSLEEKQKDETFAWNGDAAGVAVVSAASPDPAAEGGKDEVPEKDDDPSAGAALPPLSSDSSDSTQESGVLEDQELQVQNAIAAAANSPKESNSISSNDNGSSSETPSDPPEIPVPAPSPEIEPPSANEISATSSEVKASPFQMTPPIPEVKQTDWEAPVREWKPLCDLTSNYRIDWCELEGDVRVVGSNASVTLVAPPGADNHSFHEDIKPYPRKADPNAMHSVRALTVRSSVATATATDAPPPACTDWHNVPALVFSVRGYTGNYFHAYTDVILPLFLTARQYAGEVVLLVTDFQAWWVGKYLPVFRSLSNYAPVDLDRDPRVRCFRHVQVGLTSHDDFSIDLRRAPNGYSMLDFTQFMRDTYGLPRDVALSPVAPASRDQGQGRRGRRRPRLLVIARARTRRFLNTEEIVRGARKVGFEVVVSEGTHEVAPFAELVNTCDAVVGVHGAGLTNMVFLPRGGVVVQVLPLGPLEFVASYFRGPARDMGLAYLEYRISPEESTLVDQYPRDHPVLTDPMSVKAKDWVSFMGVYLFKQDVRLDMKRFRPVLKKALARLRAKPKNNNN >Sspon.05G0015210-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:54472935:54476549:-1 gene:Sspon.05G0015210-4D transcript:Sspon.05G0015210-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALATLLLPSSGSTATKAGTGDHLTDSRRHDHHHHGSKRKKKPPPSPQPSLPSSAPPRTPPAGARSHRVVMAASSSSSSSRKSPMVPAAAAGKNNHHAQYQQRRGHQQPTTKAAASSSSSSWEQVKSLLSCRSATAAARVHDPSAPSALARLRGAGGAGTCGASLCAMRDVVDAASSAASASDTAPLNRRRAHRGAGSSSSAASGAGNSSHHSSLRARGLSGCYECRAINVEPMSRRYPRPRELCACPQCGEVFTKADTLEHHQAIRHAVSELGPEDSGRNIVEIIFKSSWQKRDHRSICHIDRILKVHNAPRTVARFEAYRDAVRSRCRAVVAARAAADGNELLRFHSAPLACALGLSGATALCCSSSSGAGGGGGAADASAAAAASPCGVCTAIRHGFAPWVGAHPLGVRTTASSGRAHDCGSSSASSSSVQQQPHQLASDVNAPAACRAMLVCRVIAGRVRRDGDGDGATSSAADEDPEGPFDSVAGEDASSSSVYGNLEELFVANPRAILPCFVVIYRVLD >Sspon.02G0056130-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:18235692:18238538:1 gene:Sspon.02G0056130-1D transcript:Sspon.02G0056130-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFTVNDGAPPVRAVMSYSGRVETRIWDRASSDWAMVAVSPNYHECSRYSYCGPSGYCDHTDATPTCKCLEGFEPVSKEEWSNARFSPGCQRKEALRCGDAFLALSDMKVPDKFLHIGRKTLKECAAECSGNCSCVAYAYANLNASPANVDVTRCLVWIGDHQLVDTQKMGVPPYSTAGANAHDTLYLRVAGMSGKRTKANTIKIRLPILAGVIVLTSILLIWVCKFRGMFSIQYTDLSYLRTNTQQLLGAGRERNLENHKTINHGGFTSDELEEAKTTDDFELPFLKFKDILVARNNFSNMFMIGQGGFGKVYKGILEGGQEVAIKRLSRDSDQGIQEFRNEVVLIAKLQHRNLVRLLGCCIEGDEKLLIYEYLPNKSLDAIIFNCARNAPLDWPTRFKIIKGIARGLLYLHHDSRSTIIHRDLKASNVLLDAEMRPKIADFGMARIFGDNQENANTKRVVGTYGYMAPEYAVEGIFSVKSDVYSFGVLLLEIVSGIKISSFDRIPGFPNLIVYAWNYWNEGKAKDLVDKCIVENCLLDEASLLHPHGAFALTSSVVFNLENGCTTLPTPNHTAYFSQRNSDIEQMREGIMNTKNTMTLTVIEGR >Sspon.07G0012100-4D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7D:40744229:40744588:1 gene:Sspon.07G0012100-4D transcript:Sspon.07G0012100-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLDRKPSKCVVFEDDPRGVTAAHNCTMMAVALIGAHPAYELVQADLAIAKYSELSVINLRRLFANKGISFMDLQKQIIEKSPPKRRLTVDTIF >Sspon.06G0015710-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:70386869:70390107:1 gene:Sspon.06G0015710-2B transcript:Sspon.06G0015710-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sialyltransferase-like protein 1 [Source:Projected from Arabidopsis thaliana (AT1G08660) UniProtKB/Swiss-Prot;Acc:Q8VZJ0] MTRAHLPAAVGAAQRRPTVALLLGLALAFCLAVLSIQSSFFAAPVYSVIMAMASRGLGLTADIIDHCKLVLKFPEGTNSTWYNTQFKIFEPLEYKYDVCETILLWEQYRNMTTVLTREYLDVRPDGWLDYAAKRIAQLGADKCYNRTLCDELLSILLPAKPPFHPRQFATCAVVGNSGDLLKTEFGQEIDAHDAVFRDNEAPVNKKYAKHVGWKRDFRLVVRGAARNMAPILKGSSDEALIIKSLTHKEINAVIKLILGTQNGELTNGYNTEYFNINKAAGAMTRYFTGPRKGHNPLQGRAYYQLLECLGVIRIHSPMRAQRVEDWSDIPSKEEIRRAHAAAFHLKKHEAGQPAELGPFSNCKVWGTVDPDYGPVSGTSDMSETRKNSNYSKWELLPLEKLRREAQEHHIQMGGVSLYKMDGNKLDDLVCVRHQRSS >Sspon.07G0002710-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:6512815:6520083:1 gene:Sspon.07G0002710-1A transcript:Sspon.07G0002710-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CRS1 / YhbY (CRM) domain-containing protein [Source:Projected from Arabidopsis thaliana (AT3G18390) UniProtKB/TrEMBL;Acc:Q9LS51] MALSELPLHHSFRLSSRPNIHRLLPLRLLSCSSSRHVSSSSAAAASSPSSTGGNRASPPSTSTGAPWLQKWAPSDPSRPAPAPAPAPVPSPTTSIDRIVHRLRNLGLASDDDDPSSASAATATAAPPDGTERLGDLLDRSWARPDRQFAAASFDDAVLPWERDDEPAGPVRDEEDGVKRRRVKAPTLAELTIEDEELRRLRRLGMTLRDRITVPKAGVTTAVTEKIHDAWRKSELVRLKFHEDLAHDMKTAHELVERRTGGLIIWRSGSVMVVYRGSNYKRPLKPQTLNGASSPVKGEEGALFIPDASSPAENDVQGKDLAAQHANVSQLNMQNTEDMTVEELEFNQMLDELGPRFVDWWGTGILPVDADLLPQTIPGYKTPYRVLPTGMRSALTNAELTNLRKLARNLPCHFALGRNRNHQGLAAAIVKLWEKSLVVKIAVKRGIQNTNNKIMAEEIKNLTGGTLLLRNKFYIVIYRGKDFLPTSVAAVLAEREELTKDIQNMEEQRRNVLIAQPPDDGLDGHALVGTLAVSGGPSSLGKRKKQKLYRKLEHKLSINLTGGTLLLRNKFYIVIYRGKDFLPTSVAAVLAEREELTKDIQNMEEQRRNVLIAQPPDDGLDGHALVGTLAEFQEAQARWGREVTAKEQEEMKEASSRSEKQKLYRKLEHKLSIAQAKIHRAERLLSKIEASMVLADPCDDQEMITDEEKSVFRRIGLRLKSYLPLGVRGVFDGVIENMHLHWKHREVVKLISKQKTLSFVEETARLLAYESGGILVAIERVPKGYALIFYRGKNYRRPINIRPRNLLTKAKALKRAVAMQRHEALSQHIDQLESNIKQMKLDLGIEDYEEQDDDSSDSENEYGMAVTSGSYDEDQDDFDESADEDEYDYDDDIEDEEIDK >Sspon.02G0036630-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2B:25841444:25842640:-1 gene:Sspon.02G0036630-1B transcript:Sspon.02G0036630-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKPSCALPPLLVAFLCLTCSVCARFDDDYDDPRYGPGGFGRSPGFDRGPRGGYGRGPRFGRGPFGRDCRFGRCRGGGGGLGGGGGFGGGVGGDLGGGGGLGGGGGGGLGGGGGGGLGGGGGAGAGGGFGGGVGGGGGAGGGLGGGGGGGFGGGGGGGLGGGGGKGGGFGAGGGVGGGASGGGGLGGGGGGGMGGGGGGGLGGGAGGGFGAGGGVGGGGGGMGGGGGGGLGGGKGGGFGAGGGMGGGAGGGGGLGGGGGGGMGGGGGGGLGGGTGGGFGGGAGGGVGGGGGLGGGGGGGMGGGGGGGAGAGGGFGGGAGGGLGHGGGLGGGGGGGLGGGGGLGGGLGHGGSLGHGVGLGGGGGGSLGIGIGVGVGVGLGAGAGGGAGAGAGAGGGGR >Sspon.02G0036270-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:27946821:27948134:-1 gene:Sspon.02G0036270-2C transcript:Sspon.02G0036270-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVKVLSSKLVKPAYNAGAAPSPARADEYIPLSIFDSVTYKMQMAIIYAFPPPAPSTVAIANGLAAVLAEYRVFAGQLGDAPDGTPAVLLNDRGARFVEASADADLVDMAPAKPTPELLRLHPDLEEELQEVVLLQLTRFRCGSLAVGFTSNHVVADGHATSNFLVAWGRATRGLPTGLPPVHHQAGLFKPRASPRVDFDHRSREWYRPSPAEKQHGHGGDGGVVENIVIHKAHFTKDFIAGLRARASEGRGRPFSRFETILAHLWRTMTRARGLNPDETSQIRLSVDGRHRLGLPAEYFGNLVLWAFPTATVVDLLGRPLKHAAQVIHDEVARVDGSYFRSFIDFATSGAAEKEGLAPSAICKDVLCPNVEVDSWLTFPFYELDFGTGSPSYFMPSYFPTEGMLFLVPSYIGDGSVDAFVPVFEHNLEAFKQCCYSM >Sspon.06G0001330-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6A:4334917:4338364:-1 gene:Sspon.06G0001330-1A transcript:Sspon.06G0001330-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGEDGGRHTGAEGDGGRYGHDGAYGDGGHTRELLSPAAGFPPGFPFNPVYPPAYGMASSSSLQPSRLDLEGLDLNSASEWSDGHGFGELLRSGGAAVRQGPTPIRVPARGGRGTLGMRGPRAGGGAFMPGHPAATPGGYGPYGGASAFHGGASAFHAGASGVHGGASVFPGGASGFHGGASGVHGGASVFPGGASGFHGGASAFHGGAAAFHGDTSAFHGGYVSPTPTGGRNARGSRRRNARRRSGEDTHRGQWKPELIKQFCEIYCGVMDSGNDKFLRGKMTPRGWDKISNRFVLATQICHDTEQFKYLLKKLRTKWNFIEQKLRKGSGLGRGDGTIAQASEEWWMTATAGNQDLMALRDGMPDYLEEMDKMFTGFVADGSTSYAPGQQVVHDIPSEDADDEEQQEADDQDVATPVSVGSKRTSSSRSTPSLRSTATSPNKKVKSPAVRAICEKIGGLTAAIGDSRGYFNDTLRLRAAQREAAEQASREAAERKRKEEEEQVDLIITLAKECGFTESSPEWIGVLNIIESEKASIWFLKNGVEGRKQTIKAYANRVAASALGRNTSEGEVHEEADDSEEEFDDLLLATLEYEQAAAHAALLGMSTIAMHVDKYFTRSEYRVVPPGLSGDEWVRRNLGNTEDCYDMYRMTPKVFYKLHDMLLEKYGLPYSEKSSTVEALGMFLWIVGAPQSVRQARNIFQRSLGTVHNLFFNVLKSVVKLADDYIKPRDRRFRTMHSRLNNPRFYPYFKDCIGAIDGTHIPCVVPKDLVNQYMCRKNITTQNVMACCDFDLIFTFVNAGWPGSVHDMRVFDDSLSKFANVFPKPPTGTSLAVVSLHFLITCTSYLQVLADAGKFYLVDSGYPNRPGYLAPFRTTRYHLEEFRNAEEPQGKEELFNYAHSSLRNCIERAFGVLKQKFRILLGIPSYDLETQTHIIVACMALHNFIRLSEERDRHFARCDRDPNYVPAAASEQQPPTEEPPIVLDSQQMNEFRDLIAFQMFHAGQ >Sspon.08G0007030-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:19452707:19454887:-1 gene:Sspon.08G0007030-4D transcript:Sspon.08G0007030-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAIYMSQLSTALPLMEGDHQEHHHHHQGHFQAFTLPKDPPILFPFVIGNSRSSESSLSYGSADHHLLRQHRHQAMLEPQHMIGGSSAATASSVFATPFPTVESIRDDMIEPASYDPYDMGKLHQVVSGGSMDACSWTPAAAKMRITRKATAADPSGAGKKPRRRALQAGYDADMNMSGQPNLGVIRVCSDCNTTKTPLWRSGPCGPKSLCNACGIRQRKARRAMMAAASGSGPAVPTDSGKAATTASNAGTAAAAHPKVKKEKRVDVDRSLPFKKRCKVVQVQQDHAAAVAAPAAATNRAAVVVQATAAEVGDDDACPGRDLLVHDIGGLISWSRSPAAPASAAAASCSLFRASPALPVQQDEITDAAMLLMTLSCGLVRS >Sspon.03G0032340-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3B:37096712:37097161:-1 gene:Sspon.03G0032340-1B transcript:Sspon.03G0032340-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTDAAHAAAAAAAVACAPLARGRVINAIINSSSRFQPSAGGRPSAWSYAPATDVDAIGGGELWCEEMVRRPGGRGALDLPPCRLDGGRAPLGWMAAAGRKSCSRHAASTWRRAALCRGKRQTGNSVPQISLFWSAIVLNRDIAIVFS >Sspon.04G0007250-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:21526814:21530057:1 gene:Sspon.04G0007250-4D transcript:Sspon.04G0007250-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHHHGGAGSPYMAPTTAGTGMGTAPFSLTPTGPAVSVTDIPAAPPTMQLQPAGPSANFEELPAGGSGSGAGAGAAASIIQDDDMQADFGASGVGASGSGGHHRWPREETLALIRIRTEMDADFRNAPLKAPLWEDVARKLAGLGYQRSAKKCKEKFENVDKYYKRTKDARAGRQDGKSYRFFSQLEALHAAAPPPHPSGMSMTTTVQTGPHQPMAVPWTAGPTALGPPAGAGLPDLSFSSMSGSDSDSDSYSDDYDDSDAGEQGLGRGDCNREMMAIFEGMMKQVTDKQDAMQRVFLETLERWEAERTAREEAWRRQEVARMNREREQLARERAAAASRDAALIAFLQRVGGGQGQPVRLPPHSGGAGVVPAPPISDYTPSSPRRHDAAATSLQQLVPAPLKAVEALAWAGGEGSGSTSSSRWPKEEVEALIQMRNEKDEQYQDAGAKGPLWEDIAAGMRRIGYNRSAKRCKEKWENINKYYKKVKESNKRRPEDSKTCPYFHQLDAMYSKKHHGGGRGSRTAPGANMATAVTVAAVAVAATVQDNPSQRELEGKSSNDVDNRKNDEQGNVHTSPGNGDTAPTTTPPGDGAKGKTAEDNVNETNVQHQQQFSADETDSDDINMARDYTVYTEEGNDEDKMKYKMGVQKPDVIGSSGNVPEPAAAATAAAPTSSAAPTSSTFLAVQ >Sspon.02G0013740-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:36559363:36560916:1 gene:Sspon.02G0013740-1A transcript:Sspon.02G0013740-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEELERQRDLKETYKARLESTQEYLRFCLEIAQEHGFLHLISDAARPPPPQQSPHCDTEAEPATTVDADDADEDDGPAEAPPCDDPYLAATRDLAVQHGWSVVPDEIELHEVIGRGTTADIHRATWRGLDVAVKWVRPEFFRSNPGGEAFIAQEADVLSRQRHPHVLRLLGACLSPPDSCFLVTELLSGATLGEWLHGGRERRPRQRASPPPPVVDRVSRALEIALAMRHLHAQTPRVVHRDLKPSNVLLDADLRARVTDFGHARFLPDGKEALTGETGTYVYMAPEVIRCEPYTEKCDVYSFGIMLNELITAEHPYIETSYGPSKIALNVANGALRPKLPERDAYPTALTDLICRTWDAEPSSRPSFATITLALREIKQQI >Sspon.01G0013370-3C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1C:36463254:36463555:1 gene:Sspon.01G0013370-3C transcript:Sspon.01G0013370-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGFFCHTHIASWHTLHNIICGSAGKIDRCLEVVRDQLTCDVTVYHGSDDELLPVQCSYAVKSKIPRAQVKVIDGKDHVTIVVGRQKDLARELEEIWDRK >Sspon.06G0003140-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:9846997:9848368:1 gene:Sspon.06G0003140-1A transcript:Sspon.06G0003140-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTAALLVLMLLAFSSAAGAIGGAPLPSSEALDVRGGGRRRLLKSATFLSPPFFLRPGSVANKYYHDISFPRGHVAVKSFNGDVVDERGAPVPLHETYVHHWVVRPYYAAENATARPATILKRNAGVCEGDTHGQYFGLGSETRRTATWVPDPYAVEAGDPAAPREGYVEKWMLNVHAIDTRGTVDKVACTECRCDLYNVTVDEHGRGIPGDYAGGRPALLLRPDPVQGGGRARWRRRGEEALLPVHRGLARLERAPPPPPLCRPMPVGCMVEYEVEECSAEKRAKSDCVHVKVTKQVLPRGGDLVYAVAHQHAGAIGSSLHGQDGRLLCESTPIYGGGVEAGDEAGYIVGMSTCYPKKGTVRVRDGEVLTVVSNYSSERRHTGVMGLFAVLVADRPEQQPAAPSSSVSSNLL >Sspon.08G0007310-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:23972390:23977755:1 gene:Sspon.08G0007310-3C transcript:Sspon.08G0007310-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEDAAIEGGQLAPLLGSRSASTTGSPSPDGSLLRRLYAGHALARWGARMWEFSVGLYMIRIWPGSLLFTAIYGVVESSSVAVFGPIVGTMVDKLTYLQVLGLWLLVQSLSFIIAGASVTALLVYDDLKATSFPVFMALVIVTNVSGALAALSTLAGTILIEREWYANIYLASYISVLNIYKLIELHYCCRVVVISSRHPPAVLTGINSVVRRIDLSCKLLAPVFSGLVISFVSAQASAAALALWNVASVGLEYWLFVSVYNGVPALAESSRLMRTADATEAMLLSSSSSSSENVAPTENALDWRVRMTEQLSIIPCWESWVVYLRQDVALPGVALAFLYFTVLSLARGFSAIVGIGATLLYPVVHSWVSTLRTGLWSIWMQWCCLLVCVASIWAASDVASAWMLMAGVAASRLGLWMFDLAVMQLMQDGVPDHERCVVGGVQNSLQSVFDLLTYVMGIIISDPR >Sspon.05G0008590-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:7311898:7315068:1 gene:Sspon.05G0008590-2B transcript:Sspon.05G0008590-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGQPLHLLLAQPHHPRLAGLIPNHPTHYLPTSRLRLRVHRRKPKQLAAVLSRRPSGSQGDAPGRSGWERVPNAVAALVLQLTVCSLLFLFPNRARVLALPPPPGAAAVVEATQEEEDKEWEAALQKWKTKTYALSVPLRVVALRGSFPPSWIKDFVEAQGKRLKFSPELRANLDGIFSEMSQCMDKGQVQPKSAMAADVISLGDSWLGYAIRKGLLEPVKNAEEQDWFRCLSDRWKVHLRRNQNGEADPNGTIWGVPYRWGTMVIAYKKNKFKRHNLKPIQDWEDLWRPELAGRISMVDSPREVIGAVLKHLGSSYNTVDMEIDVNGGREAVLNSFTQLQKQVQLFDSMNYQKAFSVGDVWVAVGWSSDVIPAAKRMSNVAVIVPKSGSSLWADLWAIPCATRFQTDQIGSRTRGPSPLIHQWFDFCLQSARSLPFRQDVIPGASPLYLENPVPEVPQDKNKRKPKLDTNLLRGVPPPEILERCEFLEPLSGTALEDYQWLMSRVQRPRLGLFGNVLQKMSAVLDFKRRF >Sspon.07G0005270-3C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7C:8882146:8883332:1 gene:Sspon.07G0005270-3C transcript:Sspon.07G0005270-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLGQNPTEAELQDMINEVDADGNGTIDFPEFLNLMARKMKDTDSEEELKEAFRVFDKDQNGFISAAELRHVMTNLGEKLTDEEVDEMIREADVDGDGQINYEEFVKACFHSASPHPFQKNISPTSMLVLNVPLRHALVAAIFPLAHPIPCHVAPPSITRRSPQLVVPPFPACRGATGVLYRCCRCRRSTRRLWPLSTSWLP >Sspon.02G0018780-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:62042115:62045187:1 gene:Sspon.02G0018780-2B transcript:Sspon.02G0018780-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHGHGGLPPTLLLLLCLFVLMVLLTPPTAAEVARKHSHSHRAAGDHTDDTSGGGGNGSSPPSPTTTQQLWVFGDSYADTGNLGDLGRELTHAWYDPYGATFPGRPTGRFSDGRVLTDFVASAMGLPTPVAYKLRRGAARGLLARGMNFAVGGAGVLDTGNFQRNISAQIDLFQAQQQHPVPAAAAAHGGGVALVVVSGNDYSYAADKDNSTSAAIAYIPTVVRELGEQLRRLRDDVGMRRVVVTNLHPLGCTPLFTRALNYSGCDPLANAGAAQHNAALQSVLAAVDPANRTFLLLDLNAPFAAFADAPPAWAASSRFAEPRRPCCETFLSTAGGGYCGQQDDDGRRQYALCDDPSKHFYWDNVHPTQAAWAAVAETFRPKIREFVSS >Sspon.05G0018870-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:78576485:78580145:-1 gene:Sspon.05G0018870-2C transcript:Sspon.05G0018870-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding QMETISYPCSPPLFFPTHEESNYLLWSPQLTLHENAAIPADPSPDVREDCEFFDTIAIDSSDSHDQHAFDVDVSTHCDERFLCQESANLAAIQDELMEENSLSDLLLTGADAVEAGDSSLALAVLSKLHGLLAGTCENAATSSFGRLAYHFAQGLQSRISGARSPCYPPDPLQSGIMSAHQMIQELSPYVKFAHFTANQAILDATVSDTDIHVIDFNLGEGIQWPSLMSDLARLGGKSLHLTAIITDAGYRDDTHQAAARRLSEFAESLNLPFRYNSLCVHHEEDLDDFSRNCGGSVVVSCDTTNLCYRSGSKLQMLLLGCVRKLQPKSVVVIEEELVRIGKEACLSQASFVEFFFEALHHFTTVFESLSSCFSSGNNRACLRLVEKDMVGPKIQDFVGQYGAVTPEAAGAPKALEGFTSCELSACNIAQARMLVGLFNRSFGVAHEKGRLQLCWKSRPLISVSVWTKEGLFIMEALISAVEGGDLENGEFAASLEAASGKKCLFVVEFSWNVDEAAWANLKSTFQEATGHGSKILLIGRTREVAKLGTAMPIWMKSLSQEEYWYYFKALAFGSMDPDEHPKLASLGMQLAAELKGSFLGANILGEMLRSNPNAQFWHAILSQGCLVYDLREAGPGQEELPLPTSREVLTGVKVPAEDKFDV >Sspon.05G0015300-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:58858963:58862604:1 gene:Sspon.05G0015300-1A transcript:Sspon.05G0015300-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKETPPLHSRDDLQDATAASVVSTEQRPRPHLCIDIAEDHLPTPTPTPTQAGMSITPPSTRRGQQTSSNIPSTPVSSSSSSRPSRPPLRSPSFMLRQTVKSLLPAGSFKSSAVSKLFSATKTKVMARTSSLPLDDALSSSQPPPIQQKPAAVHICRSKSLPMNMKKLNAKSFKRMDSLGGMYRVVPSTPRAPVVDPDIVPSDSDHDNDGGEDIPEEEAVCRICMVELSEGSDTLIKLECSCKGELALAHRDCAVKWFSIKGTRTCEVCKQDVQNLPVTLLRVQSIQQRDLMNRGGGSTARYDRYRVWHGTPILVIISILAYFCFLEELLVAHDGIAALAISLPFSCILGLFSSLTTTSMVARRYVWIYAAVQFLLVVFFTHLFYRYAVISIILATFAGFGVGMSGNSIVIEILRWRARRVAPPTQQAPRHRRARAPQQQQAPPASDSVQPSSQPSAADMGVGQHDAMAAAGDVENPAVPQA >Sspon.06G0008420-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:33377338:33378298:-1 gene:Sspon.06G0008420-3D transcript:Sspon.06G0008420-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSHLGQAQAHHRGGEGGEPTTARSRWAPKPEQILILESIFNSGMVNPAKDETARIRRLLERFGAVRDANVFYWFQNRRSRSRRRARQLQQACGAAPQLPTTATAAVAGNLHGHDFNDSVSLFAMHGQSQARTAGMPAVAPMPPAVASSPHFFSDDIDGGDDLFAISRQMGLMSRGGDQHRCGYTDNNASQLSYQPTGEWTTTTATASIQVFINGVVYEVPGGGALDLAGTFGRDAMLVHSSGEILPVNENGVLMKSLQMGECYYL >Sspon.05G0034010-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5C:47599071:47603140:-1 gene:Sspon.05G0034010-1C transcript:Sspon.05G0034010-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MILMIFRMRRRRRCRASEARCPPQGAPARGSRPQGSSALPKEREGVWPLGPGEM >Sspon.04G0017440-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:72010954:72012734:-1 gene:Sspon.04G0017440-4D transcript:Sspon.04G0017440-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-prolyl cis-trans isomerase FKBP17-1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G19830) UniProtKB/Swiss-Prot;Acc:O81864] MVTTAAAAVAGAVPPPRKAKAVTVATTPPPTLTRRQLLAAVATASTLRTAAASAAAPRFAEIPGSGGVKALDLREGSGEIPAVGDQDETGDPMPFVFTLGSGKVIPGMEAAVKSMRVGGLRRVIIPPSQGYQNTSQEPIPPNFFDRQRLFTTIFNPTRLANGEGSTLGTLIFDIELINIRQHS >Sspon.02G0049650-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:41456332:41457922:-1 gene:Sspon.02G0049650-1C transcript:Sspon.02G0049650-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGTMIKVKTLTGKEIEIDIEPTDTIDRIKERVEEKEGIPPVQQRLIYAGKQLADDKTAKDYNIEGGSVLHLVLALRGGY >Sspon.07G0030410-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:6902867:6904429:1 gene:Sspon.07G0030410-1C transcript:Sspon.07G0030410-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAILNRADTTTTTANGGSGTTTPAAPVAIAMSSFPHHPPLDADEFRRQGRLVVDFIADYYARIDEYPVRPDVAPGFLARQLPETAPARPEPDALAAALRDVRDLILPGVTHWQSPRHFAHFAATASNVGALGEALAAGLNVNPFTWAASPAATELEVVVTDWLGKALHLPESLLFCGGGGGTLLGTSCEAMLCTIVAARDRKLAEVGEERIGDLVVYCSDQTHFSFQKAARIAGIRRGNCREIPTSRESGFTLSPKALAAAVRADEAAGRVPLFLCATVGTTPTAAVDPLRELCAAVAGRGVWVHVDAAYAGAACVCPELRHAVAGAEAVDSFSTNPHKWLLANMDCCALWVRRPAALTAALGTDHDVILKDPSAAQAQQGAVVDYKDWQVALSRRFRALKLWLVLRCHGVEGLRGLVRAHVRMAAAFEAMVRADARFEVPVPRQFALVCFRLRAAAAVVVGQKRARDVDDEATVAAGNELNRRLLEAVNATGRVYMSSAVVGGMYILRCAIGNSLTEERH >Sspon.08G0009560-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:42016962:42022509:1 gene:Sspon.08G0009560-1A transcript:Sspon.08G0009560-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAWMWLFFATIGGLYVAGDCFRVLRHLALCLRKPKDLRRRYGSWAVITGPTSGLGWSMAMELARAGLNLVLVGRDPAKLQDVSAKIARCHGVQTRTVVFDLSLVSTAQGDEAMRRLREAIEGLDVGVLVNNAGVNKPGALYLHEVEVESLMRMVRVNLQALTEVTAAVLPGMVRRRRGAVVNIGSGSTLAVPSFPLYSVYAATKRYVAVFSKNLYVEYKSKGIDVQCQVPLYVETNMISSAAKNSLFPVFVMGPDACARAAVRWIGHGPLCVPNLVHQLQWMTEQAPPLAWFFPLLAFVGGVYSVSLSLRLLSYLALSLRRPRDLRRRYGAWAVVTGPTSGIGRSVALELARRGINLVLLDLDAANLEETSDMVVSRHGVETKTVVFDLSLVGTPQGDESVRRLRAAIEGLDVGVLVNNAGVSRPSMVYLHEADVEALVRMVRVNLWALTEVTGAVLPGMLERGRGAIVNMGSASSEAIPSFPLNTIYAATKRYVAMFSRSLHVEYRSKGIDVQCQAPFFVATRMVSSAVRDKWLSPLVPTPDAYARAATRWIGHGPLCTPTLGHQLLWCLAGILPDAAHDWLRLREHLRLRALLQRMRAARASKTGPDA >Sspon.02G0022460-3P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1D:105239043:105239783:1 gene:Sspon.02G0022460-3P transcript:Sspon.02G0022460-3P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAERGGERGGERGGFGRGFGRGDRGGRRGGRRGPRQEEEKWVPVTKLGRLVKEGRFNKIEEIYLHSLPVKEHQIVETLVPGLKDEVMKITPVQKQTRAGQRTRFKAFVVVGDGDGHVGLGVKCAKEVATAIRGAIILAKLSVVPVRRGYWGNKIGQPHTVPCKVTGKCGSVTVRMVPAPRGSGIVAARVPKKVLQFAGIEDVFTSSRGSTKTLGNFVKVLLRSYSYYDVMLLVFYTFAILISECLM >Sspon.08G0014900-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:57229136:57231661:-1 gene:Sspon.08G0014900-1P transcript:Sspon.08G0014900-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRRAVALLVAVALAAVLLQPAAAAAGQKKPATAARREDIPYIRCQVCERIAREISAQVAKKQQALPPSKKVPEIEIIEIAENVCNLKKQEADWMLRIDIVEKGDKLELVEQDEEGHCNAECKTIERACQEVIGYADTDVAEFVYKNNPSVDQLMKFLCKDLSKACAKDPPPVPKDRVPGEPFARKPSKDAEMEKILRSMEGMPGAPSMKMYSRDDLMKNNFGNEDDDDEDDEDEDDNFPKNLGKALNDKGSQKKDLKQQVVQQFKDTSKKLKGHVNKVSNVVKKWWKGAKKPAKSSKSKTEL >Sspon.04G0005890-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:16695316:16697486:1 gene:Sspon.04G0005890-1A transcript:Sspon.04G0005890-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVASSSPPATIAGPQPTWVPYEPTRDCSQGLCSMYCPQWCYFIFPPPPPAFDIAGPSDGDDSSGPTFSPLVIAIIGVLASAFLLVSYYTIISKYCGTFSSLRNMLFGPRRGRGRGGGGGDSRSQEPWGAVPDNNPELILTVDDSSEPVRGVPQSQNQNVVSGYGGDGQVGLAPKDCPGRSEEASGIAEIKEDCALPVRASSSLSDTHREGRMSIADVLQASMEDELMMARESGLLAGSSGRCHGEHSKDGSGGGRSGRAMPDAAKRLPSVGRSCFSSRSGRGKDSILPMMSVEGILVIERR >Sspon.05G0005270-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:11577419:11581233:-1 gene:Sspon.05G0005270-2B transcript:Sspon.05G0005270-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARAADENRRPAAGKPAPGVREMGSRRALTDIKNLVGAAPYPCAVAKKPTLQKSRRDEKKTALPSSRPMTRKFAASLASNQGQPECKPILTEYQPILTDPEPGVDQQKESIGDGTVDIDVELYEPVDDSDSDIDMGETENKEMNQDESLMDIDSADSGNPLAATEYVEELYKFYRENEAKSCVRPDYMSSQQDINSKMRAILIDWLIEVHYKFNLMDETLFLTVNIIDRFLEKEVVRRKKLQLVGVTAMLLACKYEEVSVPVVEDLVLISDRAYTKGQILEMEKLILNTLQFNMSVPTPYVFMKRFLKAADADKQLELVSFFMLELCLVEYQMLNYQPSHLAAAAVYTAQCAINRCPHWTKVCESHSRYTSDQLLECSRMMVDFHQKAGTGKLTGVHRKYSTYKFGCAAKTLPAQFLLESGGTPPGLQVQSS >Sspon.01G0012690-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:37513107:37518368:-1 gene:Sspon.01G0012690-3D transcript:Sspon.01G0012690-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPNSDSNMQQLVPIAPPGKASGGDSGKELVVVDPAGKSSGGVKLREDEEDLEVKLRRIMENVPVRVSNTSGSSAGSGSGDFHQYRQMRRREQDRLARMDADYQKRKEMAEFELRREERMKAAEERTAKKRLKRQKKKQRKKDKRAKTGNNGGEESNRVESSDDEGSDDDDKSKQ >Sspon.07G0026960-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7B:57903995:57904299:-1 gene:Sspon.07G0026960-1B transcript:Sspon.07G0026960-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding KLLVGNWYLICNWKGHRNTGRYIPQKQLRLSTAARFWALFFAMAFSRKRAGCTVTTWSNPHVSDPTQQKRLWQRAKAKGTNRSYATGNAGKISSTSTWEG >Sspon.02G0019840-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:64624751:64632707:-1 gene:Sspon.02G0019840-2B transcript:Sspon.02G0019840-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGWLVFLGLAVHKRKNRTLRLSPMHLGRRKECDLRLRRSLPAIHQQTRASFLSTAHVPLDLRLIVSPLRRRAMTTRTRPCAAVTSFLLLLLLSSAAVSLAKTAGSRESKQGQQAGASVYVVMVKAPAQGVNYKAYQIRILATALGRYACHGMAPAPGRQQHFFGRLRVAMIGGSDEKAKQALIYSYKTAASGFAAKLTPAQVAALKRDHRNHPKLRRRRARRYPLWFHAREAAPENMWKTPGGNHESSRARTHDRPLHHWSAAPANCDCERGLGNERPAPNHLRDRPNGAIVSSDQQAVFLKRHPVAVSAPFGTQQHCSDNFEGIISFADSLAGGGSQPCHVRRDVDSVGKPFPARACTACPASANSPCPHAYRTVVAFVRLARNPPRPPRFDLPPSVHPAPIRSPPTRRRTLPRRSPARCVPRLPPTGSLRLIPRRPCPPVPVNSDRRGGIRRRVVHQRRVRAPPPLAPTSTSLGSVGRAACTTGGAGTTSTSVHDGRGRAAAYGARCSSEGNDATREEEAEAIRMGDFFNKLAGRASGRVKAQATRRWVCGSVR >Sspon.02G0015910-2T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:46643510:46650238:1 gene:Sspon.02G0015910-2T transcript:Sspon.02G0015910-2T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:HTH [Source:Projected from Arabidopsis thaliana (AT1G72970) UniProtKB/TrEMBL;Acc:A0A178WG21] MASSSRAVLFFKLLLLACLLCLVELSQGKEPFFTLKNLPPLQKASRYPAGCPTTYDYIIVGGGTAGCPLAATLSLRYKVLLLERGGSPYGNRNVSYMENFHIGLMNMAPDSPSQAFISTDGVINARARVLGGGTCINAGFYSRASSSFIQEVGWDEDLVNKSFPWVEEKIVQWPKIAPWQAALRDGLLQAGVAPFNGYTYDHVSGTKVGGTIFDETGYRHTAADLLAAGDPDNLRVLLHARRLKARAIGVQFTDENGRHHQAFLNSNKDSEIIVSAGAIGTPQLLLLSGIGPKNDLKNHNIPVVLHNKYVGKGMADNPMNSIFIPTRSPPRQSLIETVGITEEGVFIEASSGFGQSSESVHCHHGIMSAEIGQLSTIPPKQRTLEAAQKYTHNKLNLPKEVFHGGFILEKIDGPLSTGHLVLTDTDVRNNPAVTFNYFSHPQDLNRCVYGIKTIERILKTNRFSELSADGAGLSMERVLNMSVQANVNLIPKHTNDTESLEQFCKDTVITIWHYHGGCHVGKVVDQHYRVLGVSGLRVVDGSIFSRSPGTNPQATVMMMGRYMGVKILRERLGRAAGV >Sspon.03G0001700-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:15527241:15532069:1 gene:Sspon.03G0001700-3C transcript:Sspon.03G0001700-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein root UVB sensitive 6 [Source:Projected from Arabidopsis thaliana (AT5G49820) UniProtKB/Swiss-Prot;Acc:Q93YU2] MAPAVGLKRSATQTITLPPPETRLAVRDVMRSTIPSQPAEAPAASERPAPAAALQGFLCLEEVDGRRWSYVVDGGAAKGRAGAGPPSPLGPPSGPCRCSRRFRLPRTLKNEVYCGILLQEIMAFIRSYVVPEGFPDSVTPSYVPYMTWRALKHFFGGAMGVFTTRTLLSSVGVSQSKVTPGAIAINWILKDGAGRVGKMLFARQGKKFDYDLKQLRYTSDLLLEIGAGIELTTAAFPQFFLPMACVANVVKNVAAVTSTSTRTPIYKAYARGENIGDVTAKGESVGNIADLVRSVVLNTLNRARFTVAVDSFIKTGHIPSLKEGNSQETIFNPPWRHEPVAIGSRFGEAFQEPASFLAIRPLFEDERYMVTYNPTKDKVYALLKDQAKSDDIIKAAFHAHVLLHFINASHARRLKQKQKQANPDRSEHLYSRNMDFLAHIAESCKIVSSSYGTFKKKAREQGWIITRRESVNLQSEAAKFQEEQEFYDLWERHVLSSAPSPSV >Sspon.08G0000710-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:2442133:2446474:-1 gene:Sspon.08G0000710-1P transcript:Sspon.08G0000710-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWEGLGSQWASIWRLELISSVASSDTLHGITRHWRLWPATRLYYLLVITGMCCITDAKVASLANGKDSSCQQDGSPQGRKRRRYSGPDLPEDIWRHIHFLMLLQDAARAACVSHAFLSSWRCRPDITISWKTLGLDKNFHGKGEIAKDFNSIVDQILKNRSGIGLKTLKIDFCDYKADTYSYLNNWLEIAITPELEELSLDLFPRKEKYSFPCSLLSNGRGNSIQHLKLVWCAFSNTVRLDCLKNLTSLHLRDVHITGNELGCLFSSSSALERLELHGCHRIVCLEIPCHLQHLRYLGVFVCERLKVIESKAPNISSFRLSEIQGKFSLGESSLKLKDMMLSMNCTISFARAKLPFIVPNLKSLSLASDYEVPNSPLVSKTFLHLKYLSITLSEGAFSPYYDCFSAVSFLDAAPSLETLLLGVTQLRMKHEPFVGEPSPQNQIMGTRHSNLKSVKITGFCSAKSLVELTCYILEYATSLDCLTLDTTWGFFPRCSDHEISKCPPLTKNIIRDSENALLVIRAWIEGKVPPSVKFNVLAHCSKCHNADED >Sspon.06G0011730-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:64065993:64072418:1 gene:Sspon.06G0011730-1A transcript:Sspon.06G0011730-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQSPGGREGIFYSRQRKPSERSSSVFTPIAAMYSGGHALPDANRSPNLVFRSMKKDWDNIRHRKLQLVNFLAGLETASGNSALAGKIMKPTEQKEKGDIIVLDSDDEDGNGSEYNKLASGVNKDLRTSEVASNITERMAFNKSQAFETMHAYGDKNTQIVPYGQGSALVNQFPLQTSWQPSIQFERVAASIAEKRAETQMFLSLPTEKKRRRSDLSLLMLDSFVPKQRRKCDTGLAAADLPLDLQQTATSPEPEPDMAIEEEEKHKNESDGLEDYWKDFALAVESTKLDEVDEAANENEDDGKMEDIDCNHDIRIHEDLGHVCRVCGMIVRRADSIIDYQWKKASRRKTNCYGGHSKDADEIDCGTVKLSEDFIIADVAIHPRHAQTMKPHQLEGFNFLVKNLIGDKPGGCILAHAPGSGKTFMLISFIQSFMARYPSARPLVVLPKGILGTWKKEIQRWQVQDIPLYDFYSVKAEKRVEQLQILKSWEDKMSILFLGYKQFATIVTDDGGSNVTAACRDRLLKVPNLLILDEGHTPRNRETDVLESLNRVETPRKVVLSGTLFQNHVEEVFNILNLVRPKFLRMESSRPIARRIMSQVEISGRGSKGFADAAFTEAVEGTLLNDENFKRKAHVIRGLRELTKDVLHYYKGDILDELPGLVDFSVFLKLTPKQKDIIRNKLESHDRFKRSAIGTALYIIPVFHNFQRANTLRDDLVDSLLDSINVKDGVKANFFMNILSLANSAGEKVLAFSQYILPMKFFERLLVKMKGWHVGKEIFMISGDTSQEDREVAVDHFNNSADAKVLFGSIKACGEGISLVGASRVVILDVHLNPSVTRQAIGRAFRPGQQKKVFVYRLVAADSDEEKVHETAFKKEVIPKLWFEWSEHCTTEDFKLGQVDIDDSGDELLDTKAIRQDIKALYRRIEKCYAMQ >Sspon.04G0018430-3C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4C:71702674:71704011:1 gene:Sspon.04G0018430-3C transcript:Sspon.04G0018430-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALQNIGASNRDDAFYRYKMPRMITKIEGRGNGIKTNVVNMVDIAKALARPASYTTKYFGCELGAQSKFDEKTGISLVNGAHDTAKLAGLLEVFIKKYVQCYGCGNPETEILISKTQMISLKCAACGFVSDVDMRDKLTTFILKNPPEQKKGGKDKKAMRRAEKERLKEGEAADEEMKKLKKDAKKKGASSKESIAKGSKKKATAAGSDEDHSTSPTRSRDGDHAAADEEEDDDDVQWQTDTSIEAAKQRMQEQLSAATAEMVMLSTEETEKKKQATHANGSAKEIPVEKPAVTKPSPYEELVGDIKASLGSAPTPTQLKAVLASSTLPPQDVMNALLEALFDGVGKGFAKEVVKNKKYLAVAVPDEAAQILLVQAIEAFGGKCNPEALKEVPVVLKALYDGDILEEETIVDWYNAAVKDSQVVKNAKPFVEWLQSAESEEEDDE >Sspon.07G0016690-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:88762476:88765198:1 gene:Sspon.07G0016690-1P transcript:Sspon.07G0016690-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGILAVLGCADEAKGSKRARVLELSRRLKHRGPDWSGLRQVGDCYLSHQRLAIIDPASGDQPLYNEDQSVVVAVNGEIYNHQDLRTRLADAGHRFRTGSDCEVISHLYEEHGEEFVGMLDGVFSFVLLDTRHGDRASSFMAARDAIGVTPLYIGWGIDGSVWISSEMKALNDECEHFEIFPPGHLYSSNTKTGGGFSRWYNPPWYDEAIIPSVPYNPLALRKAFEKAVVKRLMTDVPFGVLLSGGLDSSLVAAVAVRHLAGTEAAKRWGTKLHSFCVGLEGSPDLKAAREVADYLGTLHHEFHFTVQDGIDAIEDVIYHTETYDVTTIRASTPMFLMSRKIKSLGVKMVISGEGSDELFGGYLYFHKAPNKEEFHRETCRKVKALHQYDCLRANKATSAWGLEARVPFLDKEFINQAMSIDPECKMVRPDLGRIEKWVVRKAFDDEEQPFLPKHILYRQKEQFSDGVGYSWIDGLKAHAASNVTDKMLSNAKFIFPHNTPTTKEAYYYRMIFERFFPQKSAILTVPGGPSVACSTAKAIEWDAQ >Sspon.07G0005360-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:8997992:9000400:-1 gene:Sspon.07G0005360-3C transcript:Sspon.07G0005360-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTKKSKKSTESINNKLQLVMKSGKYTLGYKTVLKTLRNSKGKLIIIANNCPPLRKSEIEYYAMLAKVSVHHFHGNNVDLGTACGKYYRVCCLSIIDPVM >Sspon.01G0032690-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:113452357:113452984:1 gene:Sspon.01G0032690-3D transcript:Sspon.01G0032690-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDRRGSSGGGDRFAVFPFSMGCMSQSAVSVADPSEKKAQAQAQGDPSSSSSAAAVTTATMAAQGSSEGGAAGEAVKAAATLGLVAAGVSRLMKGIRSLSQMFAAYDGEEEEEEEREMVIGYPTDVQHVGHIGWDGHNNTVGAGAAMASMVNAFSLPSSLSLRHLDMAMDCAAAHAAA >Sspon.03G0006750-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:18541203:18548086:-1 gene:Sspon.03G0006750-1A transcript:Sspon.03G0006750-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPQDGVHDSAVRAALLPSPAPRRAAVRLRPLPLLVAAAFAASYRLLFHHAPAPSYYQSLLLSLGSNDTAAAHLHALTIRPHLAGTGANALAAAHVASTLSSHSFATRLTSYSVLLSYPAHRSLSLSAPDRDTVLFALKQETYAGDPYEAVSAEAVPTFLAYAASGSVAAEAVYANYGRAEDYAYLAARGINVTGKVAVARYGKVFRGDIVRNARDAGAAAAVIYTDAKDYAAGKAFPDGPWMPPTGVQVGSTFKGVGDPTTPMWPSSEGCERLSIAEAMSSDDMPGIPALPVSWKDGETILQLIGGDVAPKDWQGGDGAAAYRIGPGPAVLNLTYIGNETMVTIQNVISVIEGREEPDRYVILGNHRDAWTFGAADPNSGTATLLELAQRLSELQKKGWRPRRTIILCNWDAEEYGLTGSTEWVEENRAMLTSRTVAYLNVDIAVDGYGFYASATPQLDELLKEASKQVQNPDNGTQSLYDLWMASNSSPLIGRLGGGGSDYSAFVQHIGIPSVDMTIGSDYAVYHSLYDDFIWMEKFGDPLFQRHVAVASMWGLVALRLSDEEILPFNYSNYVTELENGALGISETVLGMSVSLSPLHKSIEVFRKAVLKVDSELKIYAPSLHNDYGAQVYPGVDDAIQWAKKINTSESWQSVQHEIYRVSRVINQAALVLSGGLT >Sspon.04G0012550-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:41925360:41929809:1 gene:Sspon.04G0012550-1A transcript:Sspon.04G0012550-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSSSPSPLPPPMIGRAGNLTVFITPPSPASTPRGASRAPPPESRRSDFSTSTPQRAAPSPSPSPSPRNHESPVAAPAVVFTPPPPPAPVKVAPPPVQVPPPQYEKASVGGKHDGSAFGFFWDAVARVQEAHASLDEYVATWFGLDQSKYQWALNDYYEATGKEVDCVKGGDVTHGVKSQSSLHFRRTWPRVVDRRRFPSGT >Sspon.08G0001790-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:2440940:2443916:1 gene:Sspon.08G0001790-2B transcript:Sspon.08G0001790-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGGAGEEAEVEDAGSGWESSKPTLLQRWSSSVWAVSSSGRLMWAGNEAWRAHVGMAFVQVAYGGYHVLTKSVLNVGMNQIVFCVYRDLVALALLAPIALLRERCCPVPSVRARRPVTPRLLASFALLGFTGIFGNQLLFLLGLNYTNASYAAAFQPAIPVFTFLLAAIVGVEVINIFTKDGVVKVLGTAVCVFGAVLMVFYRGPSLIGMGGATVADAAALTSTWSSNAYSPQWLTAAVIRNGMETWSLGVVCLIGNCFLMGAYLVIQAPVLIKYPASLSLTAYSYSFATLFMVVTGVFATNGLHEWALTTTEVIAIIYAGIVASCLNYAIMTWANKILGPSLVALYNPLQPACSTLLSTIFLGTPIYVGSVIGGLFIISGLYLVTWARYNEAQRVLGARYLQPLLVEGLPTTKAEGSSFRGSIDP >Sspon.02G0048420-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:24580433:24595029:-1 gene:Sspon.02G0048420-1C transcript:Sspon.02G0048420-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNASGTCRAMAMQLQSGRCLALPVPAPRGHLRRVRPQRSQDGGDDDGRDRISSLPKDMRLEVLARLHCTCEAVRTSVLSEPWRRLWTELRELTFDDVADLDSLLIALAQVQPKLNRLGIKIPRNMVLGATSISLLLHATDKLEPLELVFILCREYRCVPFVLPCFARATSIELRISGLRFKLPPTGTFA >Sspon.03G0041670-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3C:44494825:44496062:-1 gene:Sspon.03G0041670-1C transcript:Sspon.03G0041670-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKFLAGMVELIVRKQMSVRAIPVVVPGKGCWNTLVATEHETQYVFHVVLYVGFLEAAKLAVGLAMEDASVQDDEKHVLVGGTHVQVAKEHLVVFAAVYMKHSGGAYVFVEDRPEVVGKLAAGHVDAEAELESLAASEVEYFAVEVKPADVDVVAVQQYVAAAEVEPVVLGVGDQMVGEELDLVGAVDLGLTELVDEAATDLQVLEHGKDEGDFGVGVEPDAAEAVVDLSMDGPGADFGLASSAGRTAFEAEHKKQVVQILAPHFGMVLALEVACIADTPAGIGYTVDIAASVGVWEAEIDAADEGTAAVVAPATPPVAVTESVTAVAVVEDGFGRVVAAAADPAEASDGFWVTG >Sspon.01G0028970-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1A:100874656:100876692:1 gene:Sspon.01G0028970-1A transcript:Sspon.01G0028970-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MIDLRRARSLLAAGVLLAAVLGAGGDDEQFVYSGFTGAPLALDGTAVITASGLLELTNGTAQLKGHAVHPAPLRFQRTPGGPVRSFSASFVFGIIPPYSDLSGHGIVFFVGKDSFANALPSQYLGFLNTSNNGNASNHVFGVELDTIRSTEFKDPDDNHVGIDVNSLTSVNATTAGYYDDGTGAFRNLTLISAKPMQVWVDYDGETAQINVFLAPLGTPKPSRPLVSATQNLSDVLVDPAYVGFSSATGTVRSEHYVLGWSFAMDGPAPDINIASLPKLPRFGPKPRSKVLEIVLPIATAAFVLAVVAVVVALVRRRLKYAELREDWEVEFGPHRFTYKDLFRATEGFKSKMLLGVGGFGRVYRGVLPKSKLEVAVKKVSHESRQGIKEFVAEVVSIGRLRHRNLVQLLGYCRRKGELLLVYDYMPNGSLDKYLYGKDDDKAMATLDWVQRFRIIKGVASGLLYIHEDWEQVVIHRDIKASNVLLDSEMNGRLGDFGLARLYDHGADPQTTHVVGTMGYLAPELARSGKASPLTDVFAFGAFILEVVCGRRPVEQSMTDSRLMLVDWVLEHWQKGSLAEVIDAKLQGCYDDDEAMLALKLGLLCSHPLPAARPSMRQVMQYLDGDMPFPELTPSHLSFSMLALMRSEGFDSFVLSASTHPSSSTAMSIGTMTGLSGGR >Sspon.08G0022880-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8C:52854396:52855278:1 gene:Sspon.08G0022880-2C transcript:Sspon.08G0022880-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSAGSTPTVRAARQQQRGAGAGAGESASSPVFLNVYDVTPANGYARWLGLGVYHSGVQGTFCSPGTHPRVPSLPPSLPPPPPLHGVEYAYGAHDGASSGIFEVVPRRCPGYTFRESVLVGTTELSRAEVRALMSDLAAEFPGDAYNLVSRNCNHFCDAACRRLVAGRARIPRWVNRLAKIGVVFTCVIPGNGRAVVRRTPSSASAAGKGGGGVRSRSTRQEASPAPPRPRTFFRSLSVGRRKNLTVPRPLSASPPPPLPLADASTSTSSGST >Sspon.06G0004150-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:12947054:12950471:1 gene:Sspon.06G0004150-1A transcript:Sspon.06G0004150-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLWRLPTTTLLPPPSAPPAPSTASLAAFLPSPPFSIIAAASLRTGPAAATTPQIRWVDAPGTGPGMSSSASSAGGEDAGEFTEVVIVRHGETSWNASRIIQGHLDAELNDIGRQQAVAVAHRLSKEAKPVAIYSSDLKRAAETAQTIARICNVPNVVFDPALRERHIGDLQGMKLQDAAAEKPEAYKAFMSHKRNQQIPGGGESLDQLSERCVSCLYDIVEKHKGERVILVSHGGTIRQLYRHASPTKPLHCKIHNTSVSVILVSDSTGRCIVKMCGDISHLQETGVLENAFGGDKTSA >Sspon.05G0018310-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:77883537:77886804:-1 gene:Sspon.05G0018310-1P transcript:Sspon.05G0018310-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASATLLKSSFLPKKAEWGATRQAAAPKPVTVSMVVRASAYADELVQTAGLVPLAGSNNESWCQGLDGLASREAAYYQAGARFAKWRTVVSIPNGPSELAVKEAAWGLARYAAISQENGLVPIVEPEILLDGEHGIERTFEVAQKVWAETFYYMAENNVMFEGILLKPSMVTPGAECDEKATPEQVAEYTLKLLHRRIPPAVPGIMFLSGGQSEVEATQNLNAMNQSPNPWHVSFSYARALQNTCLKTWGGRPENVKAAQDALLLRAKANSLAQLGKYTSDGEAAAAKEGMFVKNYSY >Sspon.04G0019250-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:74272166:74275399:-1 gene:Sspon.04G0019250-2C transcript:Sspon.04G0019250-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLRKIGSLFGISRDDADHPDSPSSAAAAAELPHDRAAAAAAAHGARRGFSVQVPVPVERQGPGPVLVPCPQGDGGVQGFRWYTRRLRIDEDGDVADEFLDEIIPEGSVNNNTGPVGRFQVKYNTKPTATALRKHVIVVDGDIRHSLEHQGQLRWV >Sspon.01G0039400-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:34529254:34532823:-1 gene:Sspon.01G0039400-1P transcript:Sspon.01G0039400-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLYIVGLGLGDERDITVRGLDAVRSCSKIYMEAYTSLLSLGLDPAALANLEKLYGKEITVADREMVEEHVDQVLSEAADTDVAFLVVGDPFGATTHTDLVVRAKKMGVEVKVIHNASVMNAIGVCGLQLYRYGETISIPFFTDEWRPDSFYEKIQNNRKLGLHTLCLLDIRVKEPTWESLARGKKVYEPPRFMTVNTAISQLFEVEEARGGSAYGRDTLCIGVARLGSDDQKIVAGPMEKLLDVDFGPPLHCLIIVGETHPLEEEMLEFYMINWCSAASEPKLRWPGDLRERDLTGVMGLLATTRGDRCATGGLVDLAKPMSLAMEGMGDMDGMGESRRSANGKQCSE >Sspon.02G0050050-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2C:48267391:48267849:-1 gene:Sspon.02G0050050-1C transcript:Sspon.02G0050050-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRFQLPPVLICLTITVLISSTASADTPDCPYPCLPPPSAGGAINSYPPPQPSTGGGGFGGSYPPPPPGSYQLTPPGVMPGFSPPYSGGFPSGPAPPPPNPVLPWFPWYYQHTNPITGSTTSASSLATDGRSTVTVAVLLPLSLLVLLRLL >Sspon.08G0008780-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:32160434:32172404:1 gene:Sspon.08G0008780-4D transcript:Sspon.08G0008780-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMWRAAARKLVDRALGSRAAHTSSGSKKIVGVFYKAGEYADKNPNFVGCVEGALGIRGWLESQGHQYIVTDDKEGPNCELEKHIEDMHVLITTPFHPAYVTAERIKKAKNLELLLTAGIGSDHIDLPAAAAAGLTVAEVTGSNTVSVAEDELLRILILLRNFLPGYQQVVQGEWNVAGIAHRAYDLEGKTVGTVGAGRIGRLLLQRLKPFNCNLLYHDRLQIDPELEKEIGAKFEEDLDVMLPKCDVIVINTPLTEKTRGMFNKERIAKMKKGVIVVNNARGAIMDTQAVADACSSGHIAGYGGDVWFPQPAPKDHPWRYMPNHAMTPHISGTTIDAQLRYAEGVRDMLDRYFKAEDFPVHNYIVKEGQLASQYQ >Sspon.03G0000780-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:13737120:13741972:1 gene:Sspon.03G0000780-3C transcript:Sspon.03G0000780-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMEAAADAMLAAASRAFTSTFAIAIQIQGCCICLVLALGWAAASIVRKRVIKNMRRNIVDGNSFAFLCDNIDELEHSVQENLPRVSVIMPLKGFGEHNLQNWRTQITSLYGGPLEFLFIVESKDDPAYHAVSRLIAEYKDNLEAKVVVAGLSTTCSQKIHNQLIGVEKMHKDTKYVLFLDDDVRLHPGTIGALTKEMEKNPEIFIQTGYPLDLPSGSLGSYCIYEYHMPCSMGFATGGKTFFLWGGCMMMHADDFRQDRYGVVSGLRDGGYSDDMTLAAIAGQHKRLISSPPVAVFPHPLASDLSFSRYWNYLRKQTFVLESYVSNVNWMMNRALFTSHCYLSWGFVWPYIMALVHVLAALRAPYSKVVKEASDSSWGLFLVSLLFICTLIELVSMWNLTKVEIQLCNMLSPEGPKVSLDSYNWGLVFIAVLVDNFLYPISAFRSHFSQSINWSGIRYYLRDGKISKIERENSSKYTDLGGKHLYGKRTYPSNKSLLGYLSRTLVQWHQPKKYD >Sspon.02G0021480-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:72168381:72178278:-1 gene:Sspon.02G0021480-1A transcript:Sspon.02G0021480-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEISGTSALTIFLFVVPLLILVSSLRANSNSKKRRPPGPWAFPFVGSIHHMLTSQPQAALRDLAAKHGPVMLLRLGQVDTLVVCSPAAAQEVLQGNDLSFASRSSLAPSEIICYGNLDLAFAPYGDYWRALRKLCVMELLSARKVRQFAPIRDRETMSLVTQIRAAAAAAGGHQQEAAVNLGRLLVSCTNSITGLATFGDGYSGERKEQFLSAIDVALRHSSCFCVSDLFPSLWFVDVLTGMRRRVWRAHRQLDKLFDKIIEECEARWKDESAAAGDNLLSIMLRVRDNQEFAFPFGNTNIKAVVVWVMSELIRHPESMAKAQAEVRIAFNNRTPQHHESHMDALLYTRLVIKETLRLHPPVPLLLPRVCRKTCDIGGFEVTQGCRVMINAWAMARSPEYWDDAEEFRPTRFENSVVADYKGTEFQYLPFGSGRRMCPGSAFGMATLELVVARLLYYFDWSLPGKMRPEELDMEAIIGATARRRNQLHLVATPYDVPVQ >Sspon.06G0019660-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:4216554:4217017:-1 gene:Sspon.06G0019660-2C transcript:Sspon.06G0019660-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPRGKSKKLNDESDEDGSGEEVSVSPTPPNTKRRRRGRPAHKPLTDDVEAEDDKDTGEVEEEDADDGAKPTPLCGQQATTATTPRARRLRPELARSGGGGGPGSSAAPTTTWWERTASKSSGFRPNGSRRKSTPRRAAEAGVECK >Sspon.05G0005690-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:12512876:12513883:-1 gene:Sspon.05G0005690-2B transcript:Sspon.05G0005690-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHHQFARLVPAARPALPPIHGGAVGRSSPPVHRAVALRRAPLASAAGRRACRPLAVSAQSTSPQAGLRLEEKFFEVEMKVRDYELDQYGVVNNAVYASYCQHGRHEVLESVGISADAVAR >Sspon.06G0021730-1T-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6D:19458335:19458697:-1 gene:Sspon.06G0021730-1T transcript:Sspon.06G0021730-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPTTATAASAPPPPPAAGPASSPGEPHAALLLALGYMRLRELLACARACRGLREAVAGDSLLWLRLAVEPPLSHRITDEALLALTDRAQGRLRSLHLLGCPRVSDAGLLRIVERNPDITE >Sspon.03G0031890-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:29824240:29827246:1 gene:Sspon.03G0031890-2D transcript:Sspon.03G0031890-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVATRSKTAPGPRPRSRPRPDLAAHPSEEELITSFLRPRVVCVAGDRPCASFIHDADVYSAGPGELTGGHAPAVASNGDSAWYFFSAVRAKTRDGQRKARTVDTGEGCWHSEAGAKPVVEEGHGGRVLVGHRQGFSFVTKVDGRRVRSGWLMVELSLDGADADDVVLCKIYFSPRARASAAAASASSGARGRPPRTTRTRDLELVDSYLRPWVETGVKTSAFIHDADVYAADPADLTRQFAPAVAGDGERAWYFYTPLHHKSVHGKRKTRTVATGGGCWHNEAKSKPVYMVSNGKQHQIGHRQSFSFVKKDTGVRVRTGWLMIELRLLKDGEKPEEEDSALGNRVLCKVYRSPRNPEPSGSGAAAASPGHKAEADDDESSDATLDDDYDDDDSSNASLEAASGPKRRRPDDMESSEATVAAPSRHSKANDEIPGGAAAAPGRSEKAEIGEDSVETSAAAPPRKRKALDDESSGAAAPARKKKADGSSSPGAPVSATEMQCPQCGTHLVVTLKRAESKSETDRQER >Sspon.06G0021100-2D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6D:12887607:12888569:1 gene:Sspon.06G0021100-2D transcript:Sspon.06G0021100-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDREEGLYGGRGDGSRLLVQDLQQPMECFSDEVNSRNGGEEEEEANDGSGSRPAPAPSSGAGGVDGPASVETGGKRRRGRPPGSKNKPKPPPVVTRDVVPAAAMRPHVLEIPSGGDVARALAGFARRRGLGICVLAGTGAVADVSLRHPASSSSADGGSAGAAAVVVFRGRYEILSISATFLAPSMSAAVPRAGAVSRDLSISLAGPHGQIVGGAVVGPLVAATTVVVLAAAFTDLTFHRLPLEDNASAASISGSGAEADEHRHRGHGHQQPPEHHDASGLHPQTMVAPAATQPVPLYARQSQELWAPAASAQRPRPPYQ >Sspon.04G0021060-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4B:75987705:75987995:-1 gene:Sspon.04G0021060-2B transcript:Sspon.04G0021060-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPKSKLSTTTAELSFLDGGRSRPPLLFSKLDRVGQARRKKQWEWILPSRKGCGGAEGKNWRPGRKAKGCAAKEREVWDGTGVGTPQPHAEDGVRG >Sspon.02G0046700-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2C:6078010:6078282:-1 gene:Sspon.02G0046700-1C transcript:Sspon.02G0046700-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQEATETGLLATSCDNLDSYTLDEHGGLQGMDRAVATCRLPLNQRKRNASACSLVPKHHAHLQENYPHPPRTLTIRINKHRTWAYPKAL >Sspon.06G0006560-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6B:21711499:21711855:1 gene:Sspon.06G0006560-2B transcript:Sspon.06G0006560-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGVTASALMGVMNPLLGNLCALLDRDDVKLEGVHRQIVFLRDELSSMSTTLEMVSESEEANPQVKEWISQLRELSYDVEDCIEIFMHRLGRVDTCDGFISKIINKVITLKTHYHIGVQ >Sspon.03G0023530-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:278205:281088:1 gene:Sspon.03G0023530-4D transcript:Sspon.03G0023530-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTWRERENNKRRERRRRAIAAKIFAGLRAHGGYKLPKHCDNNEVLKALCNEAGWVVEPDGTTYRKGSKPMERMDHIGCSVSPSPCSSYQVSPRASYNASPTSSSFPSGASSPFLPPNEMVNGGIDGNPILPWLKTFSNGTPSKKHPLLPPLLIHGGSISAPVTPPLSSPSARTPRMKTDWDEATVQPPWHGANSPTIVNSTPPSPGRSIAPDPAWLAGIQISSTSPNSPTFSLVSTNPFSVFKESIPVGNSSSRMCTPGQSGTCSPAIPGMPRHSDVHMMDVVSDEFAFGSSTNGAQQAAGLVRAWEGERIHEDSGSDDLELTLKL >Sspon.07G0019620-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:72411360:72413006:-1 gene:Sspon.07G0019620-1A transcript:Sspon.07G0019620-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVTVEAGNAGEAAWLDDDGRPRRAGTFWTASAHIITAVIGSGVLSLAWAIGQLGWVAGPRRHAPLRLRHLLHGHAARRVLPHGDPETGKRNYTYMDAVRSNLGGAKVVFCGVIQYANLVGVAIGYTIASSISMKAIRRAGCFHDNGHADPCSSSSIPYMIVFGAVQIVFSQIPDFDQISWLSIVAAVMSFTYSSIGLSLGIAQTGSLTGISIGAGVTSTQKIWHTLQAFGDIAFAYSFSNILIEIQIALFLINVALFFQDTIKAPPPSESKVIVATTTIFYMLCGCMGYAAFGDAAPDNLLTGFGFYEPFWLLDVANVAIVVHLVGAYQVFCQPIFAFVERRAAAAWPHSAFISRELRVGPFALSLFRLTWRSAFVCLTTVVAMLLPFFGDVVGLLGAVSFWPLTVYFPVEMYFKQRRVARGSTKWICLQTLSVSCLAVSIAAAAGSVADVIDALKVYRPRMFRHTAPEHSKTRKE >Sspon.04G0026680-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:12619082:12624809:1 gene:Sspon.04G0026680-1P transcript:Sspon.04G0026680-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MESGDTKFDASQYAFFGNNAVEEVELGGLDDDDGGDAAFIDHQDEENPLYGRDNMLEEGVGSFTDIDDLAGTFSKLTRIVNEPKQPGVVSHRGSISRQSSNAEWAQESGPSYWPTQPVLDTDHGLDKKNWWSQQPHSVNFIDSRLQRTSSSPQQDAQYNPVEPILGAKPSPLHRTSSYPQQEPQYSNTEPIPVPKSSFISYPPSGAASHSSPSQPHHVNMPSPPTAFQLPMSSAQNDLPLPQFHHGGTPPGPPFGRQNHVLNSGSMHGNGPRFMPGLMPHQLQRPNGLMPPQMQPPRQHGMLPIQQSSPQFSQLHAQMIGPHHSPPQSMQMFGPQHPSQMMSRFDANFAMPDLSDPRARSMLQHGRLGQRYPHQGYELNNIRMDNGWPRFRSKYMSTEEIENIARMQQAATQINDPYIDDYYHQACLAKKSAGAQLKHHFCPTLIRDPSSRARSKDEPHAYLQVDALGRLPFSSIRRPRPLLDVEQAFAPSDNAEKSVSKPLDQEPMLAARITIEDGLCLLLDVDDIDRLLQFSQQQDGGLQLRNRRQDSSSSLQNHCS >Sspon.04G0028290-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4B:64884519:64887016:-1 gene:Sspon.04G0028290-1B transcript:Sspon.04G0028290-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAVAARRATPLVLFFLVSASLLAADASAAAAAAAPSGPGANSSSFLLAAEQTQRKDPLDGLRYYTGGWNISDEHYWAPRSVFWLSVVLILDFAVGSGDAIIDPQSVGFTAAPVFAAAGVWFVVFGIGLFIAGCCFCCCPSSGGGDSYSRACLFVSLVLLLVVTAAAAVGCAVLYDGQGRFHGSTAATVDYVVRQSGDTVATLRGFTGFLETAKAAGVGPITLPDDVKGRIDDVVRKVGAASDELAARTASNAAKIRAALETVVHLMKMLTQLQNLMPLYLHAGWCSWGGSWLQGRLYCAVLFSSCTSKCLCCCFQVSTVTSTSIPVSGHTQHTLTLQVYVQRGGRHVRGDGRVGAAPAGAHGAGRHPAVRGHGRGERGAGPGQGGELPAGGRAQRRAHQRLQPRLPAAGPAAAQLQPVGPAGAAALQPLHGRPPRPRVRAGRAAPRRRRRAAGVAALRVPRLLLQRGGVRDPGACHAVHVRAARRRGRRQLRAVPLRPRPGGAGGLHVREGDVPVHRRRPLPGPQPVQRPGVPGPARRRRRRAAGGAALGRALAGAAAEERGQGDPAAGLVALQVSCGGEGVPQEPGEAVHV >Sspon.06G0006540-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:21698936:21701622:-1 gene:Sspon.06G0006540-2B transcript:Sspon.06G0006540-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAAALLLLPILAAAGEGAACPTPPAAAVIMRHASTSCRTVDALGLRGHRAGVVEVGFALPFDLAVTLAENWRPGDDGALQKAVALVLQNREDFVAILFYASWCPFSKIFRTDFQKLSSFFPTIAHFSFEESNIKPRVLSRYGVRAFPTIFLLNSTVRVRYHGSRAMNSLAMFYKDVTGLNPVSLDATSLERMEDTVTIIDHDKKTEKEDSLLSWARSPDRLLHQDTCLALASSFVLLRLLHFLLPKLNACMKQAWRTRLYELNRLFPSLS >Sspon.01G0043610-3D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:68603720:68604552:-1 gene:Sspon.01G0043610-3D transcript:Sspon.01G0043610-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLCFFDRKKLELVSRVGISPQYSVIRCLWHPRINQVFATVGDKKEGGTHILYDPSISQRGALVCVGRAPRKKSVDDFEVQPVIHNPHALPLFRDQPSRKRQREKILKDPLKSHKPEAPVNGPGYGGRVGTTKGSLLTQYLLKEGGLIKETWMNEDPREAILKYADAAEKDPKFIAPAYSQTQPKPVFAESDSDDEEK >Sspon.02G0043290-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:90934091:90935881:1 gene:Sspon.02G0043290-1B transcript:Sspon.02G0043290-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLRCLSPRPGGELKFNVDDVATKLSKYCVHLVVSAPKLLPGHHYDTSCVFDAVAVLCKLNQRGSKH >Sspon.01G0001900-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:5563733:5564773:1 gene:Sspon.01G0001900-1A transcript:Sspon.01G0001900-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGFRRRLTALSVPKASSLLRRTRHKKLSYTRVRCNSLPGRFHPVVAGLHESANALIIWTEEPAQAFSPAWVADGAAHLGRLLSGLTDLLHHPQARDPLRHRHRGNSKPAAPWAERLLDDLLLLADAHGCVREALLARRQLLAETHAALRRRDAARLAAALRARRRADRDLSRLASTLRCLSHRSSSASTAAAAATDHGEAAVADAFAAATCAAAAASAAIFAGLASASASSSASRAMPSPLASVVSPAKVAAAPVWWVADLLRWRRRTVAVAAASESSGPGAKEQVPLEAECCSEEEEEERQAAMERLRSLEDCVVAAENGCEQVYRMLVNARVSLLNVLTPCF >Sspon.01G0015390-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:44589416:44593282:1 gene:Sspon.01G0015390-1A transcript:Sspon.01G0015390-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATTARASRRLAPGDAHATPAVGPVSSGSGGGRAQEFNLSSGAATAVVFVSVLLCFILLCTYCRCARQRAVAGARRRVMRDLVPGVALFLRPSAAALPPVVPYAAAAAASAAKKKGLMPEDCPICLEPFGDDDGVRVVPACGHLYHAPCIDRWLDVRNSCPVCRCAVASLYAAADRERDAAAVAHGAHDDDDEDDQEAVLQRVVAMIEAIRDEQREDAVARRAPAGGGGGHSSGRATFISSYVVVSKGRVIKCNWVHSKKQEMNTRIRGECKLSLLYKVGKGMDK >Sspon.02G0027100-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:108517835:108520696:-1 gene:Sspon.02G0027100-4D transcript:Sspon.02G0027100-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDKDGKHDVPATTAAPPPAGAPEPAAPAPPAESARWGTRQMGPPAAPGAHPENQEAARWTAARGDQELPPYVIMGEPVAAAPQQQRGKGDSPMEHILDFFNTWSRKAEELASNIWFNLKTAPSMSDAAMGKLSLGAKALSEGGFDKLYKQTFSSSPEEHLKKTFACYLSTATGPVAGTLYLTNMNVAFCSDRPLSFTAPSGQTAWSYYKVMIPLGKIATVEPVTMKENPPEKYVHIVTVDSHDFWFMGFVSYDKAVHNLVEAVSQRSQSQHGVGVATAGSK >Sspon.05G0021530-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5A:90445576:90447079:1 gene:Sspon.05G0021530-1A transcript:Sspon.05G0021530-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEEEKAIASARRLEDPPKCHCGEQAVINPRNEQEFICPLRREDRDFPKCRFAEWVYGPKSHWPEPEKKEVPDWKKKRRSIAPPVMCKCGVEASYGLVPSGLGIGHFCGHMIDYDESTQKCKWESYDDVFKFKDEYKTRVALRKMRGYPANYVTDFVKNHKKKMLRRAQDLRVRNPESIAWKKWYEKKKKDVEEYQARKAEEDARKAAEEAERAEMQGLNDTIASLCAKIGCTGNWQADVARARYTENRTLKRDAGVGGTASRPIVVEEEAEPEEDDDTGRIGELIRLAEELGYPQDEDAYDMGRIAELLRLAEELGPMPEEDGDAFVAGPVRDLEEGQAAYHSQKTPLFDAWGPTDMTEEEAKLYSQAADEAEAVYYRRQASQAKAVEASKGKEVVVEDSESEDELLTQWCTQFD >Sspon.01G0058950-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:36865705:36866841:1 gene:Sspon.01G0058950-1D transcript:Sspon.01G0058950-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLHHTAEGSILRRRNKLRKDEPVKLKSNPKPRRVWQNKVAPQWKHHYWRCHHKNFKTELRYSTNELPQLPRARLRSNLKWASGKYGTRDGQPPATVATTKSKLGGSVLAPPHPATSLTNHDKN >Sspon.04G0009870-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:28884180:28885312:-1 gene:Sspon.04G0009870-1P transcript:Sspon.04G0009870-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MWKDQLLLMKLEGRSGRDRAAHDGESHLQLQPGTDDHSGASAAAARRVQQANKDQQESPESRYRRKAMLRAQDGVIRHMLKMMEACNARGFVYGVVDESGVPVSGSSDSLRGWWKDEVGFDRAGPMALSVAAAAAGLEAEGGPGSPMAASFLHGLHDIHDSTLGSLLSALIQHCEPPQRSFPLDRGLAPPWWPTGREAWWGMQGRGDQAHLAPRFDQMRQLVRQSKRLQHKMSARDADTWSKVITREEALDRHAQRALQITPLDEEDDDGGPDDDVSGGDSPLAAAAHVEKRKRKVGGEGATGSSGGGVDVGKELLALPDIDCVPDADRSSIDELMKLYYRCLQGTDDDDD >Sspon.08G0009160-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:40269736:40274796:-1 gene:Sspon.08G0009160-1A transcript:Sspon.08G0009160-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHGGNEHGFVVVQRRSYEPEAVCSASRVNLKPSSATVSVPLAHRYGPCAPSQYSKVPAPSFSETLRHSRARTNYIMSQASKGMGMASTPDDAAVTFTTRLGGFVDSLEYVVTLGFGTPSVPLVLLMDTGSDVSWVQCTPCNSTRCYPQKDPLFDPSKSSTYAPIACHTDTCRKLAGNHYQNGCTGGGTQCGYSVEYADGSHSRGVYSNETLTLAPGVTVKDFHFGCGHDQRGPNDKYDGLLGLGGAPESLVAQTSSVYGGAFSYCLPVLKSEAGFLALGAPPSANTSAFVFTPMRHLPGYATFYMVTMTGISVGGKPLGIPQSAFRGGMIIDSGTVVTELPETAYNALEAALRKALAAYPMLQRSGRLWRRTPCEDFDTCYNFTGYSNVTVPRVALTFSGGATIDLDVPNGILVNDCLAFQESGPDDGLGIIGNVNQRTLEVLYDAVRGNVGFRAEKPEQNGSIVYVPLVHRHGPCAPSLSTDTPSFAEMFRRSHARLSYIVSGVTRGMETYGDGKKVSVPAHLGTFVDSLEYVAEVSFGTPAVPQVVVIDTGSDLSWLQCKPCSSGQCSPQKDPLFDPSHSSTYSAVPCASDACKKLTADAYGSGCTNGKPCGFAISYADGTSTVGTYSKDKLTLAPGAIVKDFYFGCGHSKSSVRGLFDGLLGLGRLSESLGAQYGGGGGGFSYCLPAVNSKPGFLALGAGRNPSGFVFTPMGRVPGQPTFSTVTLAGITVSGKKLELRPSAFSGGMIVDSGTVVTGLQSTAYRALRSAFRQAMKAYRLVPHGDLDTCYNLTGYQNVVLPKIALTFSGGATINLDVPNGILVNGCLAFAESGQDGSTGVLGNVNQRNFEVLFDTSASKFGFRAKAC >Sspon.08G0011190-3D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8D:46153392:46157580:1 gene:Sspon.08G0011190-3D transcript:Sspon.08G0011190-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hexosyltransferase [Source:Projected from Arabidopsis thaliana (AT3G02350) UniProtKB/TrEMBL;Acc:A0A178VEE3] MAGGRAFRPSAPRRAAFAALLTLLLLAALSFLLSSTPASSARSSPPPSARLAAVRRHAADHAAVLAAYAAHARKLKEASAAQSLSFSTLSSDLSALSARLASHLSSSLPEDALRPLEKEARERIKFARALAADAKEGFDTQSKIQKLSDTVFAVGEQLARARRAGRMSSRIAADSTPKSLHCLAMRLLEARLANPSAFADDPDPSPEFDDPALYHYAIFSDNVLAVSVVVASAARAAADPSRHVFHVVTAPMYLPAFRVWFARRPPRLGVHVQLLAYSDFPFLNATNSPVVRQIDAGNRDVELLDYLRFYLPDMFPSLRRVVLLEDDVVVQKDLAALWQVDLDGKVNGAVETCFGGFRRYRKYLNFTQPIVRDRFNPSACAWAYGVNVFDLETWRRDGCTELFHQYMEMNEDGELWDPTSILTAGLMSFYGNTKPLDKSWHVMGLGYNPSISPEAIRSAAVIHFDGNMKPWLDVALNQYKALWTKSTPNDEARMGSCSPPHPTQPPTTSHIMEPP >Sspon.01G0054980-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1C:72339637:72339894:1 gene:Sspon.01G0054980-1C transcript:Sspon.01G0054980-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DYAAACTVEAKPLLGLGAWARGVVACSDQRAAPKGWAADCPIVDRSRMAVRVPMAHARRRLQLQVLSSRERRRGWMWELGDAVMQ >Sspon.01G0049020-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:101790157:101792807:1 gene:Sspon.01G0049020-1P transcript:Sspon.01G0049020-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAIKVASTSRWSSSPVVQSSPAPLPKRVAAAAAMPGRRRSVGTIRAVAAVAPAAPATPAKLTGAGGRCLTVSQTMSRLRAQGKTAFIPYITAGDPDLATTAEALRLLDACGADVIELGVPFSDPYADGPVIQASAARALESGTTPDAVLAMLKEVTPELSCPVVLFSYFNPIVHWGLPDFAAAVKDAGVHGLIVPDLPYGASCALRSEAIKNNLELVLLTTPSTPADRMEEITRASQGFVYLVSVNGVTGPRANVNTRVESLIQEVKQVTDKPVAVGFGISKPEHVKQIAEWGADGVIIGSAMVRQLGEAASPKEGLKRLEKYARSMKNALP >Sspon.06G0010250-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:45234832:45238174:-1 gene:Sspon.06G0010250-3C transcript:Sspon.06G0010250-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSVNIIEEARVAVPATAALPPEPIRLSALDAQWITLPLIQRVLIFVDDGDGNGSSLPPFASAVDALRASLAETLARFPTLAGRIVHVPATGDAAFDCGTASGSGVRFLVAEASDDAADAARLAEDEDHDAEAFAQLVPALDTGVLPAETLAAQVTRLRGGGLAVGVAMHHAAVDGRSVWRFLRAWAAACRGEGDADAAAAEPPTFDRAAIRLPGGEELARSVLRKWTPDLPVVRMPACAFSAAVAGHFIRPNLSRRTFTISAQDMHRLKQRIAELWPAHAQNAAGTPPSSFVAVAALAWVSFVRSKQHPAGIISRDDEVYLFFFADCRTRLDPPPGDGYFGTCISGCLARAAAGDLLGEGGVGVAAAAVAEEVRRAAEDPLAGWDWMTTLEQVDMDRLMNLAGSTRFPAYEAADFGWGPPGRTELVTMNHDGQVVLVAGKGGAGAGGVQASVSLHPAHMDAYKSHFRSYF >Sspon.04G0026010-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:39831548:39831967:1 gene:Sspon.04G0026010-2C transcript:Sspon.04G0026010-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVAAARGAGSGGGGGGGGGGPAPFLLKTYEMVDDPSSDAVVSWSDASDGSFVVWNAPEFAARMLPIYFKHNNFSSFIRQLNTYVRNNGPHLPALPYPALVYDALLGLGIRRTPLVGVVGDLGVKVARVQRIALLWLEL >Sspon.01G0013200-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:36073060:36077581:1 gene:Sspon.01G0013200-1A transcript:Sspon.01G0013200-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thymidine kinase a [Source:Projected from Arabidopsis thaliana (AT3G07800) UniProtKB/Swiss-Prot;Acc:Q9S750] MRSICAMRSLLAAAAAASAPTIVRASASPSRPPLLSLPSRRGRAARNMLGAARSVSAAVQSRAGGGAAVEVRAAQSGEIHVIVGPMFAGKTTALLRRVQAEAGNGRSVALIKSDKDNRYGLDSVVTHDGTKMACWALSELSSFHDKLGIEAYNKVDVIGIDEAQFFDDLYDFCCKAADRDGKIVVVAGLDGDYKRKKFGSVLDIVPLADSVTKLTARCELCGRRAFFTLRKTQETKTELIGGADVYMPVCRQHYMDGQIVIEATRIVLDLDRSTVTGKALK >Sspon.04G0026290-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:35150340:35154139:-1 gene:Sspon.04G0026290-2C transcript:Sspon.04G0026290-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GGGGSQSQGTKKLARKWQGTREEEDNDALLLLLVLPSMCSRLEADKQLREQKGVMASCSSFYFVPLLLLLMLLLASPPATAALASSESSGNATTGTLRPGKELLKHKRIRALLTKLNKPALKTIQSPDGDIIDCVPSHLQPAFDHPKLRGQKILDPPERPKNCNFTLGSSSGSSRVGEVVVQAWHATGEACPEGTVPIRRTTEKDLLRASSLRRYGRKPVRRGVRRDSTSSGHEHAVGYVNSEHYYGAKASVNVWSPRIGDPSEFSLSQIWVISGSFGNDLNTIEAGWQVSPELYGDSNPRFFTYWTTDAYQETGCYNHNCRGFVQTTNKIAVGAAITPESVYNGRQFDITLMLWKDPKHGHWWLELGPGLVVGYWPSYLFTHLARHANMVQFGGEVVNTRPSGSHTATQMGSGHFPREGFDRAAYFRNLQVVDCDNNLIPAANLKLLADHPGCYDIQGGSNSYWGSYFYYGGPGRN >Sspon.01G0006460-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:14800522:14805030:-1 gene:Sspon.01G0006460-3C transcript:Sspon.01G0006460-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALQWMILACVVAVEAAVAALVTLPVPRAVRGQIVALTSLFLQPLSSVIPFAAFQLLDIYWKKEHRLMCTSEICTAEERIRFEKSMFKAQKNVILCVSACLLYWCIYRIVKFNKDIKALEETEKRLKEE >Sspon.02G0018630-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2A:59809359:59810519:-1 gene:Sspon.02G0018630-1A transcript:Sspon.02G0018630-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:5-amino-6-(5-phospho-D-ribitylamino)uracil phosphatase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G11570) UniProtKB/Swiss-Prot;Acc:Q9LDD5] MVVDTVSASTSIIAPHLFDHRSRGAGASHHHHLRRAFHVVACRPLPTAFAGRRLVARVTRQSSPRLADWPVRALAMGVTKEASPRREYRGIPGDGGDMGDVGVTSPTPSWPPQNRADDPKLHNPLLRLERMGCGWLGVIFEWEGVIVEDDAELERQAWLTLAQEEGKSPPPAFVLRRVEGMKNEQAISEVLCWSRDPSELRRLALRKEEIHNSLRGGSYHQMRNGSREFMRTLANYKIPIAVVTTRPRKVIEEAIEAVGVRNFFDAVVAAEDVYRGKPDPEMFLYAAQLLSFIPERCIVFGNSNSAVEAAHDARMKCVAVASKHKIYELSAADLVVKQLDELSVVDLKNLADIESPEFGMEPEPEMEEEEEVPPPPTSVGVDDLFW >Sspon.08G0001140-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:1964091:1966680:1 gene:Sspon.08G0001140-3D transcript:Sspon.08G0001140-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATAPPPAAVSTTLSALIRCQKIRSSSPRAFYARCVSSNASAEAAEPSESRKGGHGGMRLEEAVPVGEGRSRIDAWISARLGGGGVSRARVQASIRAGLVAVNGRPVSKVPLPTVILCLYALFASCKVSHTVKGGDLVSCTVSELQPLRAVAEDIPLDIVYEDDHVLVVNKPAHMVVHPAPGNANGTLVNAILHHCKISTFTCLAHSSTGDECLDSSDDDIDVFNVDQFATEDASSEVRNALVRPGIVHRLDKGTSGLLVVAKDEHSHAQLAEQFKLHTIRRVYISLTCGVPNPNSGRIEASIARDPNNRIRMIATAGSGHRYARHAASRYKVREVFAGGGSALVEWRLETGRTHQIRAHAKYIGIPLLGDETYGGTKSMALSLLRPRTPLKYHSDLSNLISKVDRPCLHAALLGFKHPHSGKILEFSCPPPDDFSEVLDELRKVTSSDAQN >Sspon.01G0038600-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:30981275:30984356:-1 gene:Sspon.01G0038600-1B transcript:Sspon.01G0038600-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRVSRSSSLKESPHARQPNVVPPVDKTGDRSSPNSKGRRSPLRRMLDPILKPRHSSPVRPSFVPKCHLPVNTTKQSLDLGGSVPQNVQRRSVDLVINSNYPIEANINQPPRVLLNSARYIQKDKDSATTRQALLQLAWKNGLPLFMLSYGDSDILAATVRRKGISEKDDLESTYAVFTVEEPKKKSGAWIKAGGKNKKHNLLSSIVGEIKVARRKSRCHHTADVHVHREFVLVGSEFLPSSEESARSRNFESIIFTEYQTRNSAPIGCGCPPLGNFQPSTRNANSASANVIAVLPDGFHGTSTSGQPLPLIERWKSGGACDCGGWDEGCMLSVLTDASQDNDAIQANQAKDGSQRFELLVQGRSREDRNAFSMTSFKEGLYTVEFRSSIALLQAFAMCIVMLHGRYPSRMQVGSQAAQEHDLLAGHELKAMAASQGRAPTSYVPHRPPLSPLLVPVGLIYHGPQVYTQYAYPKPYDKYDLIVTSVTYMYMLFTEHCNHLRGPDAHGHRRYPYRRWSCVGALDDGDVLALLALPEIAGRQEAVHGKAPDVEVHLLDVPRLLHARPCVAVLAVTEPDERDGGAAGVGDVHAVDGAVVAEAGLHGGLPEDAPTDAAAGLDLQQVRVLELHQQARALAEVAPHRVPDDLDAAAVARAQAGRLRLHLEHEAVLAVDAPLADAHRVREQARRQLRIQALHVNHHVNVRSACCVGPFGLHAVVKEFVTCVQT >Sspon.02G0032720-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:90818034:90827432:-1 gene:Sspon.02G0032720-4D transcript:Sspon.02G0032720-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SUF3 [Source:Projected from Arabidopsis thaliana (AT3G33520) UniProtKB/TrEMBL;Acc:A0A178U6Y1] MDLGGKALTNYLKELVSYRSLNVMDETLLIDDAKEKLCFVSLDVPGDLHLARLSFKDNPFRCSYILPDGITYKKGFVKDMDEALRYRSLPLDEEAKRKEHSMDINKSEDRKKPELSQNEFVLTNERFLVPEMLFHPIDLGINQAGLAECIVRAVQACHPYLQPVLFESIILTGGSTLFPRFTDRLERELRPLVPDNYQVKITRQEDPILGVWRGGSILASTPDFESMCITKSEYEEMGSARCRRRFFH >Sspon.01G0006630-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:17534364:17537661:1 gene:Sspon.01G0006630-3D transcript:Sspon.01G0006630-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGLQLFSDIADDGTPRLNAASGEELVRVDRAVAVALGPRSPESPGTLFVTTRRVIWLSEAEKGKGYAVDFLDITLHAVSRDLEAYPSPCIYTQIEAEVGTDEEAGESNPEANELELSRVSEMRLILADPVDALFDVFCHCAELNPDPNAERNGENGWFHAEDMSDGGWVHDDEDMVDENGPQFFNANPIGQNGGYDLSRSVFELQINDQRFEDAEEEQESDENGH >Sspon.03G0013390-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3B:48910350:48914478:-1 gene:Sspon.03G0013390-2B transcript:Sspon.03G0013390-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MWDAVRYGDVDYDQDRRALDALIAAVPPEMQFSLTSKRTARRPGTPSLQHASAATAPASPHCRHFTRSGRTWPSSQVRTLMTLLSVEERAVEKLFRCVPEKYKQMARSIESLLDLSTMTIEEALGRLKVVDSDEPQRLSGPVTIGGKLLLTREQWAAGQGNRKKGEPSSTTGGRKRGKPRKDAQAGARGCAEGDNRGGAQGGAAGKQKPARGDPCYNCGKLGHWAKDCRQPRRGQAHVAQAEEEQPALFIAHASIELPPAAPAATALLHLDEPKAHALLDDSSGNDKTDGWCLNTGATHHMTGRREFFTELDSSVRGTVKFGDASAVEIKGVGSVVFVAKTGEHKLLTGVYYIPALRNSTISLGQLDESGSRVEIEHGVLRIWDHRHRLLVKVNRSNRLYVLHAQVAQPLCLAARRDDDAWRWHERFGHLNFEALRQLGSKEMVRGMPHVDHVEQFCDICVLTKLRRLPFPRQASFRAKEKLELVHGDLCGPVTPATPGGRRYFLLVDDVSRYMWAVLLDAKAAAADAIKHLQAAAKAECGHKLQVLRTDNGGEFTAAEFAAYCADEGVHRHFSAPYTPQQNGVVERRNQTVVATARALLKQRGMPAIYWGEAVMTAVHLLNRSPTKALDGTTPYEAWHGRKPAVSHLRVFGCLAFVKELNHVGKLDDRSTPGVFIGYVEGAKAYRVLDPTTRHVRITRDVVFDEGRSWTWGKMVDDGSTPTTSDFVVDYVHFKEAGGASSSSSPSSPTPPPRSPPPPASPSPPPPPAPASSAPATSAHDEQRTVEFATPLSNDEDRIDAYHGGEPLRYRTVDNLLGEQPVPRLAQHNFEAELHLTQDDGEPRSFAEAERDAAWCAAMQMEMDTVERNKTWELADLPAGHHAISLKWVFKLKKDETGEVIKHKARLVARDFVQQEGIDFDDAFAPVARMESVRLLALAAQEGWRVHHMDVKSAFLNGDLKEEAPRAWNAKLDSTLKGMGFEQSPHEAAIYRRGSGGKTLLVGVYVDDLVITGTKEVEIEAFKEKMRATFQMSDLGPLSFYLGIEVHQDSSGTSLRQTAYAKRIVELGGLTGCNPAHTPMEERLSRESTEEVDAMQYRRIVGSLRYLVHTRPDLAFAAVKRILRYVAGTSDYGLHYPRCPGAAHFIGYSDSDHAGDIDTSKSTSRTLFFLGKCPISWQSVKQQVVALSSCEAEYIAATTAATQTLWLARLLGDLLSRDAEAVELRVDSKSALALAKNLVFHERSKHIRVRYHFIRSCLEEGSVRINTQDQLADFLTKSLGRVKFQELRVRIGMAQIPHKEPHKT >Sspon.04G0018300-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:65874617:65880522:-1 gene:Sspon.04G0018300-1A transcript:Sspon.04G0018300-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWDSDSDGGGGDDEEEEEEVRPGGGGGDAGPGFSLAIEGVLGACGMVVSDALEPDFPIIYVNRGFEEATGYRAEEVLGRNCRFLQCRGPFAQRRHPLVDAAVVTGIRRCLEEGTEFQGDLLNFRKDGSPYMARLQLTPIYGDDEMITHYMGIQFFNDSNVDLGPSPGSVTKELARSTWIAPGNTDSPTSVGKGNLWEHSSLFLLSDEVICQKILSKLSPRDIASVNSVCKRLYHMTRNEDLWRMVCQNAWGTEATRALETVAGSRSLAWGRLARELTTLEAVAWRKLTVGGAVEPSRCNFSACAVGNRVVLFGGEGVNMQPMNDTFVLDLSANKPEWRHINVSSAPPGRWGHTLSCLNGSRLILFGGCGGQGLLNDVFILDLDAQHPTWREIPGLAPPVPRSWHSSCTVDGTKLVVSGGCADSGVLLSDTYLLDVTMEKPVWREIPASWSPPCRLGHSLSVYDGRKILMFGGLAKSGPLRLRSSDVFTLDLSDDKPCWRCITGSRMPGAGNPAGVGPPPRLDHVAVSLPGGRVLIFGGSVAGLHSASKLYLLDPTEEKPTWRLLNVPGHPPRFAWGHSTCVVGGTKAIVLGGQTGEEWTLTEIHELSLASSLV >Sspon.08G0009360-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:62482169:62492278:1 gene:Sspon.08G0009360-2B transcript:Sspon.08G0009360-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSHSKIARASTSTTTPAVYGLQGWTDLPEGLLHSVVPLLGSIIELLAFAGTCRSWRAAFSSYPSKSTLCTLLPPLLVQPHITVHAPNLPSSNDDGHKLGTCQVLDPANMKSSLRCQIPEETSEKLRFAGSSYGQLICGRGRNCLIVDVFAGAKVLSPQLPFTNNTYLYCGMLTTPLASPNSHLLVCAQPEQGKYFLLDWLIGSDSWSKLQLNRDDSVIVQIVEFNGQFIAMDNYHRLYTLSLAPQLGLHEIAIVWLDNMLGCPYAQPWLVVCSGMLLMVDYYFSSASSGAPVNYKAYRLDMSTEPATWVEVVKLENDALFMGRDVSEEPAILLHVPRTMGREKQLPPWFTKPKFGPQRRAYYHRAEGAKQQQKRLEATLSSHYRRRRRRPPAVMGAGTSRGGGVADRRRLLRQVLNLNTSHPKYYVLKIDMGLGSFDEKGSDIMQRPSKIGATSASTTNPAVYGPQGWADLPEGLLHSIVPLLSSFIELLGFASTCRSWRAAFASYPSKSTFCTLLPPLLVQPHISVCAPNLPSRSDDGHELRTCQVLDPANMKSTLRCQIPEETFEKLHFAGSSYGQLICGHGRNCLVVDVFTGAKVLPPQLPFGDNTYFYSGMLSAPLASPNSHLLEIETVWWDGKDECPCRRPWIVVCGDMLLMLDNYIILSSRGAHVKYKAYRLDMSTVPAAWVEVKKLENYSLFIGDDHSLFIGSDVRSPTFSCASLGRWSGRSNCLYYGYYDPPLVLHEIGDDADAVCDPDYGPDDLVFKRNWNTRLQPFWI >Sspon.04G0003510-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:11632632:11636189:1 gene:Sspon.04G0003510-1P transcript:Sspon.04G0003510-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLATASPHLQAAARRLSLAVPLNQGCSSVRLPRRRPGRYASVRAASTAAPPAKEGAEDLGFQEMSSGTRRRYYMLGGKGGVGKTSCAASLAVRFANSGHPTLVVSTDPAHSLSDSFAQDLSGGKLVQVEGPDSPLFALEINPEKAREEFRTASQKNGGTGVKDFMDSMGLGILAEQLGELKLGELLDTPPPGLDEAIAISKFVTSILRVTQVMQFLEAQEYSMFSRIVFDTAPTLRNKIASATSAIKSVFGQEVQQQDAANKLEQLRERMLKVRELFRDTESTEFIIVTIPTVMAISESSRLHSSLQKESVPVRRLIVNQVLPPSTSDCKFCAIKRKDQTRALDMIRSDPELMGLNIIQAPLVDMEIRGVPALKFLGDIVWK >Sspon.03G0008500-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:30116901:30120662:1 gene:Sspon.03G0008500-2B transcript:Sspon.03G0008500-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFCCIDELSPEQRPCLSRRASVQEQISSSFMDSPPPPHLDGPFFGADLIPSGRLRRMRGYRHSPGGLEEEIMMFQTRVLLGGMNMYDRYQDWRLDVDNMTYEELLELGDKIGYVNTGLREDEITRNLRKVKHPSFSSFRFTTEMERKCSICQEEFEANEEMGRLDCGHSYHVYCIKQWLSQKNTCPVCKTAVSKN >Sspon.07G0010490-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7A:33195012:33195374:-1 gene:Sspon.07G0010490-1A transcript:Sspon.07G0010490-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEVEVGPPGRGEEPEPVSPPEEEAGEVDLQLQLLLRSEYSALRHQIRDEKDDIGSLDSAKFHSTINDNESLHQCGKDHPLSLAHG >Sspon.02G0047650-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:18494236:18495729:1 gene:Sspon.02G0047650-1C transcript:Sspon.02G0047650-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMPRSRWKSCPSPVHFLIDIDDVLKFIQEAMVSIALFSNPSKCGDSSGAGDTRKASTRYRSLTWSELLRYRKQLVHARPSLDKFKKKKMRFVVANKAYIAVTLGAAIELKEQVAKPCSSAAKRGASVLAARSSSAAGK >Sspon.08G0002930-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:8158235:8162017:-1 gene:Sspon.08G0002930-1A transcript:Sspon.08G0002930-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSPLDISSGLWTMLGQASSVVQLVGVDVLGLVSMVVQAALAARRQRDACRRLAQHVEIVGGLLRELELAELMRREATRRPLEQLSAVLRRCYALATACQDSGGGYLGRLFRGTRMAEELRAAEQEIDMFIRLVPLIALVDATHDRRVKCLCGMYEEVAYDSGKVDLQEQEIMDVEKLVNVCTHIGKRCPGFKEFGFIEIVNGTENFSEKRILGRGGYGTVYKVQLSDGTVAAIKRLEENATLFDFNSELQLASLQHINLIKLLGWCVHGKERILVYEFMPRGSLDSIIFEKTRKTLLNWHRRLQIIKGTAEGLVYMHKHSLLRIIHGDLKPNNILLDDDMNPKISDFGSARILSSGVAEEQTSRVVGTSGYIAPEYASRGLYSVKTDVFSFGVLALVIISGRKNTILQKQGDTSIASTVFLLSDEGEGSTGGEATLGSAPSDPLPNLSLSPLHSVVTPRFWVLLSIMIIS >Sspon.03G0015020-3C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3C:68404666:68405542:1 gene:Sspon.03G0015020-3C transcript:Sspon.03G0015020-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVLSGSHTIGRSHCASFLATNRRRLANGTISAAYQALLEALCPPNPGQFTPNTTEIDVSTPAVLDNNYYKLLPLNLGLHFSDDQLIRNATLAPFANAFAANETLWKQKFAAAMIKMGNIEVKTGTTDEIRLNCSVVNPSSSAAAGVVEVLFPADEVATS >Sspon.07G0026090-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:51844825:51848506:-1 gene:Sspon.07G0026090-2C transcript:Sspon.07G0026090-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRRLLALAFRLHGRPQATQPWRRPPPRFLSSAASGPLDRLKSPPFARPVARNPASYPWDRFGGGQKRTMFIQTQSTPNPQSLMFYPGKPVMEVGSSDFPNARTAMTSPLAKALFAIDGVTRVFFGSDFVTVTKSEETSWDYLKPEVFAAIMDFYSSGQPLFLDSNAAASMDTAIHEDDSEIVAMIKELLETRIRPAVQDDGGDIEYRGFDPENGIVKLKMQGACSGCPSSSVTLKSGIENMLMHYVPEVKGVEQEFDGDEEAELAG >Sspon.03G0045960-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:30774469:30787034:-1 gene:Sspon.03G0045960-1D transcript:Sspon.03G0045960-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GYGKRLFQVHIIIIIVPKDIEKDFNSLKECTGQDACTENEEDIKLLKMDLTSDEVIDSPNSEADSSANYDENKSVLYAVNHVG >Sspon.01G0038890-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:32055018:32055494:1 gene:Sspon.01G0038890-1B transcript:Sspon.01G0038890-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMKMSASGSECKKRPPSRLQKHAPASLQLEQAAAGAGTGAGAGPAVWGDGRAPIPLLSPLVVSPTVPVWEADQAGAGADQLAEGRSGAEQQQQQQRGAARHGGSGERQAHDVSPRPPAPAVGAGWRHPALSAPVAEPASLVPLFQSQCALEVRNAQQ >Sspon.03G0036420-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:85967360:85970266:-1 gene:Sspon.03G0036420-1P transcript:Sspon.03G0036420-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFGGSSSLASGAKRPFEYGRTHVVRPKGTHKATIVWLHGLGDNGASWSQLLETLPLPNIKWICPTAPSRPVSVFGGFPSTAWFDVADLSEDAPDDIEGMDASAAHVANLLSTEPADIKLGVGGFSMGAATALYSATCFAHGKYGNGNPYPVNLSLAVGLSGWLPCARTLKNRIEASPEAAQRASSIPLLLCHGKADDVVLYKHGERSADALKANGFSNVLFKSYNSLGHYTVPEEMDEVCKWLTANLGLGAKSS >Sspon.01G0014750-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:29496815:29500079:-1 gene:Sspon.01G0014750-3D transcript:Sspon.01G0014750-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLATALTATMATRTTAPPPTPHAPRSFVPRIRPRRGLTAVRAASEGTTDGWTPGSWRARPARQIPEYPDTAALEATERTLAEFPPLVFAGEVRKLEERLGEAAMGRAFLLQGGDCAESFREFNAKNIRDTFRLILQMAVVLTFGGQMPTIKLFIRGVDNVFQVGRMGGQFAKPRSNPTETRDGVTLPSYRGDIINDQAFDEESRVPDPERLIRAYTQSASTLNLLRAFAHGGFADLQRVTQWNLDFLRHSTQGDRYLELSQRVHDAIGFMVAAGLTPQHPIMTTAELWTSHECLHLPYEQALTRKDSITGLYYDCSAHMLWVGERTRQLDGAHVEFLRGISNPLGIKVSDKLDPSELVKLCETLNPHNKPGRLTIITRMGAENMRVKLPRMIRAVRQAGMIVTWVSDPMHGNTISAPCGLKTRSFDAIRAELRAFFDVHGQEGSHPGGIHLEMTGQNVTECIGGSKAVTFDDLSARYHTHCDPRLNASQSLELAFAIADRLRNKRDKAWHNLTSRVIA >Sspon.01G0010580-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:29834433:29837232:1 gene:Sspon.01G0010580-3C transcript:Sspon.01G0010580-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVDMLFWAFDNPPPGNYLLISGDQDLSDLLHRLRMKRYDILLVRPPNASSQVLAAAAKKVWLWENLTAGELLLPEPPPARSVLGCKLHVNSSDTLKYSHSKVVFDYGKSDCNGKEGSQIRVRTLQKYVKKASYSSTTEISQDRVVPAGGVSRSSTGRTLSEVDHDSVSSLSLSSPDSTKRAKANISVPPENRTLSNLSSHRHALSTHSHAEATTQPVLADKPGIPTGCLPRNATLNFGASNGQCNQMSQHFRYSEAQNKLHSEFTTGSNKEKAVNRHGLKPLQMYVKKKTNITFGSANKPVGSIGVPECPVGIELDQASVSASPTFTQSHSSAQRPIASAHLHQVKAPHESILGKKPSTSVELHQVKAPHESILGEKPSTSVELVRVPHESVLSIRPSTSAEHASRNGTHDSDVCAINYNPTCQQFKSSEAQNKLHSCSNVGDSSGKLGNEYKTNKEQPYAKWINIFTASASNEINPSTTTFDNSNGSTSRYPSQSLSASSSSKSLQSAKVNDSNLLLSDHIPLLADNLHQDGATSIFGKKNNTSFQCTAKSGTFVFGASSGQYHQTYQQAQSSLPSINSHRGSTASPSVEHNGAPFAQTQTWSSGSAFEGLDDICNSFSRLNISKCPQGTTETRPQGTPTNGPSMGMPDNSGHPVGFHESRSSFHLDSNSSCCLNHSSDPQSGQPPFSGYTCTVGHQPNMSSDMQSSEHSGDKPRHEPEVGIILQALDILKTEKIFPIETNIADCICYGELNLRGFDVKKALELAIRHDAVIMKKLLNDMPLFVAKDESLWKCVNVTNTKAKNPTEELETVYKYISSPDGHSAMMNSQSRYQAAMILKRSCMQQYALGDILQVLHIVIVRKKWIVPHSSGWQPLSLNTTADTATTDAPGKVKSSFPVVVSG >Sspon.07G0026360-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7B:52898211:52903368:1 gene:Sspon.07G0026360-1B transcript:Sspon.07G0026360-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPTPVKSLRASAAVLNRSAEQDAAWVREVEAAKERRRRQEAAGASTVAGPDPAAAGSEPARVVAPGPVEAEPKSLPSAEATVEAAAEGAAAAATVEGAAPASGQEAALAKEPQPQQDLLVPPVGTTEGGPVEPSAGAPEAAASEVAVPVAGAPAVGAPGSEPPSGEVPAPEASTAEAPAPEALVAEGPVTAEGQAPVVVTLDDAPLDKGKEIVGAEEGETTSRAEASTPVGAASEGNEAGPSTGPGGAPAASSSSWPDFAALAIARAEEEIPRWGGPPLQFKDAANLDGEPILTLNDRDEVHRWQYLEGVRQHLARLLSVASDAVSRGMRDATEELKETSRRKSLFIRGESGVWASLSRQRALVEETQKRLADRTAEMAELRTAFSTVKEEVIQAWAAEALMRTEVDKAREEAAQARRDLEPLSARVKELEEDVSQVSRQRDLLNERDTALQAARKEIEALKATVREKDSALLGLEQTCGGLRDEVTGLKTHVEELESENREAHSTANSLQSLLEAETQRTRKLEGAITTVCDGLGVGPDADTGEDAPGNSFVRRMAALGHLAREKIRDSLHHGVKRALAVVRSGFVFDMELVADGFITDPERSDAENVDACLDLIEAAEGPGSRLASLFEPEVVPPADDEGL >Sspon.04G0024760-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:21573060:21586899:-1 gene:Sspon.04G0024760-1B transcript:Sspon.04G0024760-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHKRQKTSSLLNFKLEDFTSRLHRLYLLRGYPMPSESQPGMHLVNTFEERFDDLDGYSEEHARVKLLASSMSNSVVSLASFEGNVRHFTCTGTIFRHTPYEMTILTSASLVRCLGDEAKFVNKLKIKVCLPNGKLAVGKLWKYDCNYNIAVVKTKSFLEFRGAHIHGVQFNSELFQTNLVAIGRCYESGQLMASSGMLLHKNSILDCQELMVSTCKITKAGIGGPLIDSSGNFVGMNFYSKDETPFLPVSILLKCFKHFEISGYTAKPPLGAMVDDDPSDCSSEIPYVVLPANLWSYICPCEEAMWQVSTSQAVQQNSNNHNGGTIGFIKLPTISNRAGKIKENVVLAMVAKYLRNYILSAAVRGFLILVLTAIRINLNLMMEALAVTVYRVFDGSPASFSGIKGGDLISTLDGVALSNAQE >Sspon.07G0023430-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:16798715:16800610:-1 gene:Sspon.07G0023430-1B transcript:Sspon.07G0023430-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRGNEPVRPPYLDTNRRPGGGSHLQPPQRQSRGPYQLAAAGPAAVCLQFIRSASLPQPQEGKFRVIDSLSTEMSGQSAAARRSNSAERRSIFGSIEWGWLPDFSGKEEQICDKIYEIR >Sspon.01G0021720-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:79686732:79687838:-1 gene:Sspon.01G0021720-1A transcript:Sspon.01G0021720-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEESKQSTKTAEVNHEGSNSSEKGDESGCVSPVKSEEVPESPSMEEAITEEGNPSLSAEQEVQDDTSETKPEQMKENQSSSSSSDEEGSEKSAVTHVEASHKNLASVCESSFEDEQESIPRYSNTRGDMDDVVLSKAAHPVKSARAIEDFETWQRIIRLDAVRANDEWVSYSPSQASVSKERAIESAKAVFLKDYDHLEPYRIHHASRLVAILEAYAIYDQEIGYCQGMSDLLAPLLAVLEEDDEAFWCFAGFMRKARHNFRLDEVGIRRQLNMVARIIKYKDFHLYRHLEMLQAEDCFFVYRMVVVMFRRELTFEQTLCLWEVMWADQAANRAEIAKSSWRKLQLGAPPTNDLLLYAIAASVLQKRN >Sspon.08G0021850-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:45238112:45239270:1 gene:Sspon.08G0021850-1P transcript:Sspon.08G0021850-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEVRAWLLLLLVLLTGGASLGPAARAAPAPPLNVNYVKDWGRLVDHGTEVDLILDQSTAARGGGFKSKSTYGSGFFHLRMKLPSGYTAGVVTTFYLISQPEDGTRDEVDFEFLGDKAGVPITLQTNFFVNGHGEREQRLHLWFDPSADFHDYKILWNPYQLVMFVDETPIRVVRNLRSTVPGYEFPTKPMLIRASIWDGSSWATDGGRTKVDWSKAPFTAGYKGFDVSGCATGSGTPCDSPALWWNGGGYRDLTAQQRAAYEGVKKKYMISDYCANKKGRKI >Sspon.06G0005500-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6B:16362383:16362730:-1 gene:Sspon.06G0005500-2B transcript:Sspon.06G0005500-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SGCCKPPASCNFTYAGGTEWTKTKAAAGAGSASSADPDCGAWSNDEDDLCFGCQSCKAGVVDALKRDWKRAAIVNVVILAFVVVVFSVGCCAFRNSRRDNYAYHSGRGWKRGGDA >Sspon.07G0007980-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:21618526:21624019:1 gene:Sspon.07G0007980-1A transcript:Sspon.07G0007980-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATIIHAQVTSPSSPGIATSGVAVYRARRSCFGELQVHGSQVVSADLVVGLGLDPEPSCKGQDFLNTISTAYAERRLVAENIILPNKHKEEMETTIRNQLRRLHVVTFFLRHSPGLHFIGRNFSVAMDEQVTAPIGFNITFPGLLSLAIGTLSLSSKNKEMTYGSGKEDSPLCDVPDPIHLEEVVGEQIAELMKYEENVSLVRTPTPVLHLIMAGRGELLPLPAKENLPDG >Sspon.02G0025810-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2A:89647502:89647738:1 gene:Sspon.02G0025810-1A transcript:Sspon.02G0025810-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQMGVVKDRGFAERLRRSAKTELRSAKALSSATLGKGIPVTKYPAKAPLPRVFYRTLGKAFAERLGGIRQRKAAVTA >Sspon.08G0016890-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8A:66097779:66107435:-1 gene:Sspon.08G0016890-1A transcript:Sspon.08G0016890-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSNSACTSLRSSLSSSPRSPRLRLSPDSGGTAAARNPQAALWSRDGVARKMLSSSASKRDAARSGGELPRPHASGMARSGELPKGGSAGPTPAAVRHEGWMVRHGRRKIGRSFFHTRYFVLDNRVLAYYKKQPRDNMIPLKSIIIDGNCRVEDRGLKTHHGQMGGYNIQDTLAWKRKIELLIDQVQPEDEDEEEPRPTLLRRTTIGNGLRIFEELVEVEYLARSCSRAMRAVGVVEASCEAIFGLVMSMDVTRYELVWPRDLCYVRYWRRNDDGSYDEIHTVPRIPMMHTMVNALSMKKDQNLQEPDSKTKQTDSKHLDMVDEESEDDDDYQVPEADLEEEPTKSDSDAKSSDPIDLSWFSGIIRQDTNEKSRNCWAVPDSKIFKVRSKTFPHDKSKVPAGKYLMELVAIDWFKDTKRMDHVARRKGSAAQVAADKGMFTFLVNIQIPGPTHYSLVLYFVSNSLEKGSLLQRFADGDDDFRNSRLKLIPSVPKGSWIVRQSVGSTPCLLGKAVDCSYLRGPDYLEVDVDIGSSAVANGVLGLVFGVVTTLVVDMAFLIQLCPQKRDEPKQRTKRLDHVPTRTRLARRDASTMFRLARGSLDGTPRPRSDSHEARSTGRLDYREISDSHDPRSGRSTHLTHQL >Sspon.02G0022820-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:31358525:31359479:-1 gene:Sspon.02G0022820-1T transcript:Sspon.02G0022820-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SNGLRQQLDPRDRVAGGRTAPVPCRRVGLAHPGAQARLPRCRERPPCGGRRRRWQHQAVQLHRSHAVHLHEGEARFPGPGQVRVQANAHRGRRHRRWHRDGDVAHQGGARGRRRQRGEGGDDVQAAAGRGGEGRDHQGQGVAHRHFQGCRGLPRRQPRRLQ >Sspon.01G0020850-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:74546414:74548689:-1 gene:Sspon.01G0020850-1P transcript:Sspon.01G0020850-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSCLASPSGAALCRPRRPRCRVACSAADAGGNTEPAWAKGAGRLACGVLAAWAVASASNPVIAASQRLPPLSTEPNRCERAFVGNTIGQANGVYDKPLDLRFCDYSNEKTNLKGKSLAAALMSEAKFDGADMSEVVMSKAYAVGASFKGTDFTNAVIDRVNFGKADLTGAIFKNTVLSGSTFDDAKMDDVVFEDTIIGYIDLQKLCTNTSISPDARLELGC >Sspon.02G0054220-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:110888834:110891545:-1 gene:Sspon.02G0054220-1C transcript:Sspon.02G0054220-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLSLWKPLSHCAAVLLAKNHRRRGGGSGGHGGGSNGHRDDPSSFLRQLRDALDAASEDGSLCPPPDTAGADADAAVSRSRSLARLRAQRDFLRATALAAAAGPFRSLSDLPLLPHAIATFIAMYPDYASTADVDRLRVDHYSHLDAPGAGRVCLDYCGFGLFDSSWDSSSSSFTLHELNANLSNHALYGGAEPGTVENDIKERILEYLNVPASEYALVFTVSRGSAFRLLAECYPFETNRRLLTMFDHESQSVNWMAQSARAKGAKTRTAWFRWPTLKLCSTELRKEIVGKKKGRRRDAAVGLFVFPAQSRVTGAKYSYQWMALAQQNGWHVMLDAGALGPKDMDSLGLSLFRPDFIITSFYRVFGADPTGFGCLLIKKSVIGTLQGRNGCNASGMVRIVPVFPQYLSDSVDGFDAFDGLEDDAGINKDEKPSSNAQNGSQLPAFSGVYTSAQVRETFESDPGRDSSSDRDGASTIFEETESVSMGEVMRSPAFSEDCSSENSFWVDVGQSPLGSEKSGQFKKGKLGSPLPSSWFNGRKCNKRMSPNLTSRISRSPLYDGHVISFDAAVLSVSQDADCLKEDPEEEIFENGRRTHFRQVSEIQEEPEVEEVACQRAMNGGAEHKESAIRRETEGEFRLLGGRDGNSRFTGGRLFGVEEIDGGLSMGRRVSFSTEANIIADRLNRASDAAEASGYTFRDDDGCASDGYDDAQDWGRREPEIICRHIDHVDMMGLNRTTLRLRYLINWLVTSLLQLKLPDSKGGDGVPLVHIYGPKIKYERGAAVAFNVKQNDGTFVNAEVVQKIAEKNSISVGIGFLSHIKVDMNQKQLNGMLDIPEASFYKNGRRDNKRLTIRVEVVTASLGFLTNFEDVYKMWAFVAKFLDPSFLESERLTIAADHLEGQN >Sspon.05G0027440-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:46405235:46418516:1 gene:Sspon.05G0027440-1P transcript:Sspon.05G0027440-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding METGCGAGQRPEEEGKQRERQWEEAAEAVAYDSCTWPPPVVAVCGPGNSGKSAFSRLLLNTLIDRYKKVGYLDIDVGQPEFTPPGFVSLHIFEEKPKEFYCLGEVENPKKSAIPLVINTSGWVKGTGLQILTEMLKYVSPSHVIRVSTTVERKNLPGGMFWMNEGEGDSSVNLVEIPAAQNSPRHLLAKKEARIIRHLRLIAYFRQCLPREFPVFCFDDLVQGFCSIHPFRLPLSKIHVIDLHCQVSLSGTDVQRFLNGTIVGVSTNDPPLSTECSTPCCIGLGMASFIKAIDISEDCIHLITPISHKLMEKVDILFLSCIAVPSCLLQ >Sspon.07G0020190-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:68712881:68717144:-1 gene:Sspon.07G0020190-4D transcript:Sspon.07G0020190-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thiamine biosynthetic bifunctional enzyme TH1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G22940) UniProtKB/Swiss-Prot;Acc:Q5M731] MASVPPLPAALAPYRPSDAAALLFRSPAFSPSSPTRPRRFPVVASAREMPWPHVLTVAGSDSGAGAGIQADIKACAALGAYCSSVITAVTAQNTGVHAVPEEFVGEQLRSVLSDMSVDVVKTGMLPSARVVKVLCESLRKFPVKALVVDPVMVSTSGDTLSGPSTLATYRDELFSMADIVTPNVKEASKLLGDVSLHTISDMRNAAESIYKLGPKYVLVKGGDMPDSSDAVDVLFDGKEFTELRGLRIKTRNTHGTGCTLASCIAAELAKGATMLHAVQVAKKFVESALYHSKDLVIGNGPQGPFDHLFELKFPLYKMGSLQKFNPDDLFLYAVTDSGMNKKWGRSIKDAVKAAIEGGATIVQLREKDAETREFLEAAKACVEICKSSGVPLLINDRIDVALACNADGVHVGQSDMPAWEVRELLGPGKIIGVSCKTPAQAEQAWKDGANYIGCGGVFPTSTKENNPTLGFEGLRTVCLASKLPVVAIGGINAGNAGSVMELGFPNLKGVAVVSALFDRECVATETRNLRSILKNVCSRS >Sspon.06G0034010-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6D:36475216:36476102:1 gene:Sspon.06G0034010-1D transcript:Sspon.06G0034010-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRARKTARITTGGYYPPRSLVKPEELHEEPEELQEELEDTTPTPPSTPEQAPEPVLPQEEIEPKEEVIYLSDDEDVELTNNGGQPPSPSPGGSAEIGVPSLPMGWITRIHHKSSHDTRHTTDWVTYLMPTSRIGILQLNRCFSHSGRRATIEDGIEDAAFEACLGLRHLRYEIMQDDQYCYFPRGDPEYGWVMTDPGNLDLVPRVMVDFGHDMMVTNRRLVALVKALGKDVKRYQEVIDGLRIDQGMEPIYDHHEHQART >Sspon.05G0004070-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:15238605:15242977:1 gene:Sspon.05G0004070-3D transcript:Sspon.05G0004070-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAASSKGRAIAGSFVSRVLAGKAASPRRAVHASAYDKNLEEQVRPAFVPDDVIGGAGNPDKYWSPHPTTGVFGPAAVDPKLAAGGAPDAAANAAGGTVLDQKVWFRPLEDVEKPPPVA >Sspon.03G0032040-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:32133180:32139717:1 gene:Sspon.03G0032040-3D transcript:Sspon.03G0032040-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRSSRHRSHRSHRRGGSADRSESEGEESAPAAGAREEAAAAARVSRDPEPEKRRSSSGKEAVRSGNGYAEHGKKRKERVEEAVVDVVSDRWNSGVCDDHLVDKRSKSETFGHAEVEKLADKSRGSGDESKRSSRRAVVVDDRAEEVASKSDSGKRRSEKEKDLGRKESTGHYKDDRDREREKEREREKEWERQKERDRERSRDREREKEREREREREREKDRDRERDRERERERERPKERERDKKDYDSKLERYEDRKSGSKTSRTEEEVYSYRSTDVNEISAKEKYNNPDMQADKHSRRKDDSEDTDKWPTDNRESDDRKTLSRYEHGKSRSSKEQRFDDDKYKEKYKDDYGRDKRQHDDKFSDERVARGHESDRADYKSAKDGHRSSESHYRKDAVQDVEHYEDYGNRYKESRGKKRPPEENDDQYDLKPPSTRDQRVHLEKSSGSGRLDSLIERARPDRSSSPSKIHSRSSPSPSSYHDKDQSRHGSKAIDHGKREMPYDERNSRPRTSGRERTPASRLRDRDAENWSSERLKQKDDHQPRDVALEISTSSHYDRTPRKDKHTSPKQLSEKSPSSGDQRFSGRLSGGRSLDSKGERNSLTKYRDRDGDLAQERSHHQDRTPAKVPFREPTPSNSSIGRGGHFSGSSPNHPMPPSARNSDSSFLGLHDDDRRPQNGDRRFHGHQKRNDMNSVRGHGHAWNNPPNWPSPVSNGFVPIQHGGAPGFHPPVHQFPGPPMFNIRPQMKLNQPGVSYPMHDAVDRFSTHMRPFGWPNHLDESCPPHMQVWNGGSGVFPGEPYMYGRQEWDQNRPHAGSRGWELTGDVSKGPSDVPDAELPVAKKEPDSAITAVSDSGGQHNLQPQAEQKEIPHLTAETIEAKDYDSKRSKSLEAPQGAQLVTSMLLKNGVVFSKNYLSRISVSHDLVESELYKRCISLLGDLGVTKASHLVRNELQDNGNIEKMSTKYGSFNPFTSRYLKGDTLALHKNQTQKGLITASASVKMEKNMDVPEDDHDMEMLEPVVSNPALHCHTDVMGEGSLSKQELGDGIGGTIPATIGSGGLDAPPEIPLPQPEVVVATTAITQPNKDMEDVLPPAIEDGALQATLEHAVGILEVTPADGLEDVAPSAVGESGDDMEIILPAMAEPRVGKDAAPVASPLTVKRSPPSCKILRQVEVDKVNDGSPGVGRVSSILGTKLDVAATDGDSEALLVESRGVNSLY >Sspon.05G0026890-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:64598926:64611831:1 gene:Sspon.05G0026890-2C transcript:Sspon.05G0026890-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDKKDDEDGTSPPAPPRVAVAAAAAAPKPSTYAPMGALRGEECADLLALVSAVSRPLEDAVADFLARVPPERRPRFGNAVSFVLEDKMMLQPAERLVAFAILHQGYSSQLANPFLPLLINAACEETSEKAEQAFLQVLLSSTNGDNSEVLKQSAVDYLNGSDYASQVLLPREQLEKQCSYDAARPLPFSSNFRDATVRSAIPDPDVFQSFGNSSEVSSIIPNRDDMVATLLQQTSLKGLPPQWIRPSPPRLEILEGEVRLQFKSSFFS >Sspon.03G0035910-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3B:85717111:85717794:-1 gene:Sspon.03G0035910-1B transcript:Sspon.03G0035910-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLGPICCNQWQFGKQNFEDLFYADDVVLFLCLTRNDLELCNLLSEVFGHVTGLKTNLAKSSVIPIQCAEDDLRIIEETTDCAIKDFPSTYLGLPLTIRKPAKAELHPLIDKVADSLPGWKASLMTRVGRLTMVRTVFTAIPIYQMIASDLPKWVIRLLISEEGDSCGKVRRRPMEVIVLCHGNVYKSRFSMEVLAYIIMKSWAGRFTLDGFGSKILMLPGPGKGCQ >Sspon.05G0010120-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:29547783:29550919:-1 gene:Sspon.05G0010120-3D transcript:Sspon.05G0010120-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGFAVAAGPSVDYGGRVTFSVVVTCLMAASGGLIFGYDIGISGGVTAMESFLSRFFPGVLRRMAAARRDEYCVYDSHVLTAFTSSLYLAGLAASLVASRVTRAIGRQAVMLAGGALFFAGAAVNAAAVNVAMLIVGRMLLGFGIGFTNQAAPVYLAETAPPKWRGAFTTGFQLFLSIGNLAANLVNYGTSRIPTWGWRLSLGLAAAPAAVIVAGALLIPDTPSSLLLRGRPVEEARAALRRVRGGKADVDAELEDVARAVDAARAHEEGAFRRILRREHRHHLAMAVAVPLFQQLTGVIVIAFFSPVLFQTAGFGSDAALMGAVILGAVNLGSTLLSTVTVDRYGRRPLLLTGGFFMIICQVAVAWIMGSQIGANGGNAMARPYSLAVLALTCVFSAAFGWSWGPLAWVIPGEIFPVEIRSAGQGISVAVNLGATFLLTQTFLSMLCALKYATFIYYAAWVAVMTAFVVAFLPETKGVPLEAMGAIWERHWYWRRFVQPPPAKTTAEDP >Sspon.07G0009330-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:24673510:24683704:1 gene:Sspon.07G0009330-2B transcript:Sspon.07G0009330-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding METNGRGNQEEPHPQHHFVLVHGLCHGAWCWYKAATALRRAGHRVTAPGMAGCGAHPARVDEVRSFEEYSRPLLDAVAALPPGERAVLVGHSHGGCSVALAAERFPDKVAATVFVAASMPAVGRSMAAATTDEFLKFVGAEPDFFLDTKELHQENPNIPGRPVIFGPKFTAQRLYQLSPPEDLTLALSLIRPANRFNEDALMQDEKLLTEAGYGSARRVFVVVEDDLGIPAEFQRRMIAQSPGVEVEAMTAGGADHMAMLSRPQELVDLLLRIASHGKLPSDDVPWDAGRTNTGRQHLAVNGGARNRNCPSAPVEIRHKWQRGRYGMTRRQNY >Sspon.07G0013630-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7A:49018478:49018777:-1 gene:Sspon.07G0013630-1A transcript:Sspon.07G0013630-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSSCLPWLNSRAASRRRPSRRCGPGSFWRRDRSQPYSIPIAVSLTSCLLAKLVFTSDVRAVVKSVYEKVQQNLNKGVGGNGCWKSRSGVTTLMASA >Sspon.01G0017560-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:70039540:70044969:-1 gene:Sspon.01G0017560-2B transcript:Sspon.01G0017560-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIAKSLEIFYSLRSLLTDSSQINSVFSFGANEDFLKNDIRVKPDLDALGALGDVGWYCIRAILWAVDYELPKTVIALCDPVKNRAGVLLACGATLYWADGKTATFSCSFLTNVTMDITLVGTNGTIHVTDFVIPHEEKLAEFHVASKSSFAELDIGWDLPSKHVVATDLPQEALMVQEFARLVQGIRDAGGKPEGKWPAITRKTQLVLDAVKASIDKGSEPVERGGAALAPAEAGAGAAPALVGARDGAALAPAKSGDGAVRLPVEARDLALPVSGGGARAPSMGKKLARQAWGRSWRRVWGRRSRRPWGLAGLGGSLAGRSRPDDEFCISPHSQLNWTLRTTDTLTQTITPHTEPRPTVAAAPIAAAPRPPMSSSAAPVQPPPPRPVRFGILGCADIARKISRAMRMLPPGAATIAAVGSRSEDKARRFVAEIGFPAARVHGSYESLLGDPDVEAVYLPLPTSLHVPWATAVAARGKHLLLEKPTALCAAELDAILGACDAAGIQFMDTTMWIHNPRTAKMREIVSDKDAFGDVRVINSMCGFRASEEFLKNDIRVKPDLDALGVLGDVGWYCIRSILWAVDYEMPETVIAHRHPVKNQAGVLLACGATLYWADGKTANFNCSFLTNLAFDVTLYGTNGTLHVTDLVIPYEENSGEFSVASKSNFVKPTIGWDPLPRKHVVTTDLPQEALMVQEFTRLVQNIRDAGGRPEGKWPAITRKTQVVLDAVKASIDKGYEPIEVAS >Sspon.05G0019400-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:77832490:77836938:1 gene:Sspon.05G0019400-4D transcript:Sspon.05G0019400-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATAPALALHSSPAAARRSAGKPIFACLHRGGPSTASSVHLRAARTPARSPVRRRRRKGSGLVVRAEMFGQLTTGLESAWNKLRGVGAWLFFFFIPTIKASFLLFFHALRGYFHEELWKVSLPVVRRFVSSVIDKALGANAIRGVRPDQQLVKIVHDELVQLMGGEVSDLGKSCMLVAADVYRPAAIDQLTILGKQVGVPVYSEGTEAKPTQITKNAMEEAKSKNIDAIVVDTAGRLQIDKSMMDELKEVKKAVNPTEVLLVVDAMTGQEAAALVTTFNIEIGITGAILTKLDGDSRGGAALSVKEVSGKPIKFVGRGERLEDLELFYPDRMAQRVLGMGDVLSFVEKTQEVMRQEEAAELQKKIMSAKFDFNDFLKQSQNVAKMGSMSRIIGMMPGMNKITPAQIREAEKRLAFVESMINAMTAEEREKPELLAESRERRIRVAEESGKTEQEVSQLVAQLFQMRAQMQKLMSMVQGQEAIAGMGDLMDSLKAEEKAPPGTARRRRRNSKPKQRELDAVLS >Sspon.04G0021570-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:826052:837441:1 gene:Sspon.04G0021570-2C transcript:Sspon.04G0021570-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADEAEWIEAEAGNAGAGPPAPGGGEEIKRKRGRPKGSVDSYKRRRRTRRAGDPALAVSVASLGDLEHSSGCISSPPLPSPCTVYTVRDGAAAIAAQSMADEAEGVEAEAGNAGAAPAPGGGEETKRKGGRPKGSLNKNNKSNKDRTPKPKAGTKGRRRRRTSDRASSVPAVSLGDLGRGVAAGIRLLRERRPAPNAFFDWDSDSDTEHDDDDDDEETTINQVNHESAKTCVSVKKRGRGRPRKMEADQLDSKAQSSNGKSNGQMNSNAAGKKRGRGRPKKLTVEQVVSSCQFSNGETNRNQILNLTIPMHALDSQSDAEAARSKQSRNHKLLQNAKKRKRDVGKESMTKKLNKVDKEQKNLPSTKDETLDKNNMWFFTTIFYECKFCFKNIQKGSKMLTGENALMCHQCQRKDKPRVVQCQSCKKKRFCVPCIEQWYPNLPEDEFAVKCPYCRKNCNCKACLRMRGVEEPPKKEISKENEIRYACHIVSLLLPWMRELRQEQMEEKEVEANIRGVSMNEIKVEQAEVDLDDPTGVEHLLLIFIEAASIVSMIFALTAARSFAKYVPAEPKGGSYSFGNIPLSKDANRSKNSSNGQSYNSMPAVGNPNNGLLLWKAKSDGSIPCPPKEVGGCGNTLLDLKCLFPEKMLAEIEDRADKVLRSETLAKAMVSRSDRCPCFDHSDKIRTESISVREAASRKDSSDNFLYCPVATGIQDDDIVHFQMHWAKGEPVVVSDVLQLTSGLSWEPMVMWRALRERSKGKAEDEQFAVWAIDCLDWCEVEINIHKFFSGYTTGRTHARTHWPVMLKLKDWPPSSSFDKRLPRHGAEFISALPFREYTDPRYGPLNLAAKLPAGVLKPDLGPKSYIAYGFYKELGRGDSVTKLHCDISDAVNILTHTAEVTCQTDIGQIEKIQKDMRAQDLQELYGGLKSRTELKLSPAPTECRNESVDERLKTSYSREDNCVNRDNYNGLDINALPLDDDEDDANDKESSRGSESQSELGQCADHSNGVNTTDGMHNGGLYISHNRKSTDMIQLKKVGIKPQEEKSEKIDCSGIDAYLKGSSEDNLEMPVVESSEQQSTGGALWDIFRRQDSDKLQEYLRKHCSEFRHIYCNPVKKVFHPIHDQSFYLTEEHKRKLKEEYGIEPWTFEQKLGEAVFIPAGCPHQVRNLKSCIKVALDFVSPENVGECVKLTGEFRRLPSFHRAKEDKLEIKKMALHALNEAVNFLDPCSSEGLKIGVGENICVDGFVDEKPLPKRQGSRRRGEVPSKSNSKATDEKKGVASRTASPKVKLLMRSLKGEVRCRHHQHSEHQKKAKITLLSMSRSRRSFTVQPAPLRRTAPHPKSASIFKSGNSPGIAAIVIDL >Sspon.05G0016090-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:65388556:65389182:1 gene:Sspon.05G0016090-1A transcript:Sspon.05G0016090-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLSSLFLGGGAFFFFLATTVSVYTHIYKTGGNANDSGAATTHLHFYMHDEYTGPRPTALRVVSGRSLLPPASSSDDDDGDTTAAETSPSSAQRRQFGDIVVLNNALTEGPSIDSARVGTAQGFGVRVSEGGVVSHVTMHLVLEAGEHRGSSVTVSCRIDVEEKVRESVVVGGTGRFRFARGYVLTRNYDYDLGRGGVVEFDVYLLN >Sspon.04G0008260-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:24642230:24645635:1 gene:Sspon.04G0008260-4D transcript:Sspon.04G0008260-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding NLLLCIIYIKIPYSIFLLSGLSVQRIISELKKTTNPVEEKLVADGNSSSRKSNATSLKMLLEKEMSKEAESKRRPPSVIARLMGLEEDLPTKEPIVHHTVSDLSRDLNATNNTLKECHQFIMLKTQNDQSCHEKIEYNDVYEVSEGQSGTGYFHDRTSQKGWCLENKSKQLDIAQDKIMEPEHFAIEEKLLCTKELPEALVFPCSNRDLFLEFPEEHNPTFSRQSSGLHANRAPPQTKRITVLKPIRSVDINGIRLSKAEIGKQNVLNMRRFHQIPSPKEEIPSQPSRIVLLRPTTGKPGISKAKLTSRATSFQLTIRNSLNGSLDDNQASIGSAGLVHGIMQCRQDGCHQRDDSLLSSAYSNGYGGDESSFSDSEVDYTSGSEINYIEDGDTLSDSEGGSPLSKHSWNYIRRYEGQCPSSSFSRISHFSESSVITEAKKQLSERWSMVSCNETSQVQLSRRKCTLGEMLSIKEAKEDEATTGMFSVSSNQSCGLENELTTCSSNVTTSRKNDDNGVSSRKLPRSKSVPVISSTSENMVVNVQASNPESHKLKVAVVSNKGKSAFKGRVSDFFSSKSRIAIMKWMPLRKRCIVTTSGASVSLDSSTRNLDKLGVNKCLNSNRDQPSPTSVLDAPSEDSSCNEPETPGLTTSKNASTRSSPIETVARFLSWDDTASESQSLCNPRPSSRLPDVDDDESECHVLVQNIMSSAGLGTSQSRMVFTGWHLPDLPLDPVLCNKVSELQEKSSYRRLLFDCVNVALIEIGENTLLSAFPWSKRHSRTWGGTSSPDLGVEVWSILKDWIYGARMFVVSKRDNAGIILDRIVKQEVEARGWVKLMMAQVVDITEELEGGVMDELVEEAVLDFT >Sspon.05G0036940-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:5D:6197376:6197693:1 gene:Sspon.05G0036940-1D transcript:Sspon.05G0036940-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSARHTHDELDLDPVNGLQRELEHACHQRLKFARTPCTNMMWFIDIAARPALPERRLFNGSAKQRLLLPWLRDLCHAADDTLHHDRTWYAIAGAAGARVFDLLRF >Sspon.01G0025830-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:23773419:23780152:-1 gene:Sspon.01G0025830-2B transcript:Sspon.01G0025830-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFWHGVADRLTGKNKEAWNEGKIRGTVKLVKKEVLDVGDFNASLLDGLHSILGRDDGGVAFQLVSATAADPSNGGRGKVGKAAHLEEAVVSLKSKTDGETVFRVNFEWDESQGIPGAVLVRNLQHAEFFLKTLTLEGVPGRGTVVFVANSWIYPHKLYSQERIFFANDTYLPSKMPATLVPYRQDELKILRGDDNPGPYKEHDRVYRYDYYNDLGEPDKGEDHARPILGGNQEHLYPRRCRTGRHPTEKDPNSESRLFLLNLNIYVPRDERFGHLKMSDFLGYSLKAIIEAVLPTLGIIDDTPKEFDSFEDILGLYELGPEAPNNPLIAEVRKRIPSEFLRSILPNGSHDHPLKMPLPNIIKSDVLKRAPEFKFGWRTDEEFARETLAGVNPVIIKRLTEFPAKSTLDPSQYGDHTSKITEAHIQHNLEGLPVQNALKNNRLFILDHHDSFMPYLNKINKLEGNFIYASRTLLFLKDDGTLKPLAIELSLPHPDGEQHGAVSKVYTPAHTGVEGHIWQLAKAYACVNDSAWHQLISHWLNTHAVIEPFAIATNRQLSVVHPVHKLLSPHYRDTLNINALARQTLINAGGIFERTVFPGKYALGMSSDVYKSWNFNEQALPADLVKRGVAVPDQSSPYGVRLLIKDYPYAVDGLVIWWAIEQWVKEYLDVYYSNDGELQRDVELQAWWKEVREEAHGDLKDRDWWPRMNTVQQLARTCTTIIWVASALHAAVNFGQYPYAGYLPNRPTVSRRPMPEPGSDDYKKVGGGDEDGYLLP >Sspon.05G0026560-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:35803709:35811917:-1 gene:Sspon.05G0026560-2C transcript:Sspon.05G0026560-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTTPPPAILGTLGDFTSRENWDNFFALRGTGDNFEWYAEWPNLRAPLLALLGDSGATAEAGSAQEILVPACGSSALSERLYDAGFRRITNVDFSRVVVSDMLRRHARARPEMRWRVMDMTDMQFADGSFDVILDKGGLDALMEPGAGTKLGIKYLNEAKRVMKSGGKFVCLTLAESHVLALLLSEFRFGWDMSVQALASESSEKSAFQTFMVVMVKGKMGVAQTIKSSLVQSAEYCNMRQANAVIRALGNENIIRESYSSGVDVLLSLRDLQLGAIGDLKVIVPGRRRQFILGEQEASLYCYKAILLDAKKQTETFVYHCGVFIVPKARAQEWLFSSEEGQWHVVESARAARLIMVFLDSRHTNIDMDIIKKDLSPLVKDLEPGNPEEEAPI >Sspon.07G0037900-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7D:63863865:63866029:-1 gene:Sspon.07G0037900-1D transcript:Sspon.07G0037900-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGILQATSSTRVSNLRTPTGKDQEPLTTLGEGSNNLQFSKDVSRSQNVQELPSNPGQLLFIEKGYAASRYRTIQLKSCWPDAPVRVTGRVRSLQDKREQCASEVAVALTGRAAPGIRSESNMDMMGAMVKEYFGNLFTREVKEIDDGVLNDMDQKVSADMNQLLMAPFGREEDDLVNEVLGVIQNATVPTGWNDTTIVMIPNVGYQQNRPKDKCLSPPTLLRFVRPLSVSSDPRDVIQTPEPCDVIKTLDPFAQQLLRLTPPL >Sspon.01G0028900-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:100524898:100528514:-1 gene:Sspon.01G0028900-1A transcript:Sspon.01G0028900-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSVSSLAAALSHLSLPSTSTSKPHPALLLRLRSTSRRAVSLALRASAAEAAEPSEADLPAEEVVAVEEEAEEDALSGIALRKYVKQRLPGGFAAQRITATGRRKTAIARVVLQEGTGKVFINFRDAKEYLQGNPMWMEYCKVPLMTLGQAQAICLGVARALVKISNANRVPLKSEGLLTRDTRIVERKKAGLKKARKRPQQEALRQLSFGLLEYLQGNPMWMEYCKVPLMTLGFENNFDVFVKVHGGGLSGQAQAICLGVARALVKISNANRVPLKSEGLLTRDTRIVERKKAGLKKARKRPQFSKR >Sspon.05G0002180-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:6141528:6145250:-1 gene:Sspon.05G0002180-3D transcript:Sspon.05G0002180-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding PKPKPHKPLEPDPPPTPSRHGGAVPDHRRHDPPPRAPPVPAPPAAPPRPPPSASRSARSPTPSARASPPRSPPRPRQRRRPPPSPPPRAPSRGSRRCSWPRSSAPRGSRCCGSSPTSTAPTASPPEDLRAKISLCDALIVRSGTKVGRDVFEASGGRLRVVGRAGVGIDNVDLAAATEHGCLVVNAPTANTVAAAEHGIALLTAMARNIAQADASLKAGQWQRNKYVGVSLVGKTLAILGFGKVGSEVARRAKGLGMHVIAHDPYASADRARAIGVELVSMEEAMTTADFISLHMPLTPATNKMLNDEAFAKMKKGVRIINVARGGVIDEEALVRALDSGIVAQAALDVFTKEPPAPDNKLVLHENVTVTPHLGASTVEAQEGVAIEIAEAVIGALKGELAASAVNAPMVPAEVLSELAPFVVLAEKLGRLAVQLVAGGGGIKFVKVTYASARAPDDLDTRLLRAMVTKGLIEPISSVFVNLVNADFTAKQRGVRITEERILLDGSPETPIDYIQVQIANVESKFPSAISETGEITVEGRVKDGVPHLTKVGAFQVDVSLEGSLILCRQVDQPGMIGSVGSVLGEENVNVSFMSVGRIAPRKHAVMAIGVDEEPSKGTLTKIGEIPAIEEFVFLKL >Sspon.03G0026310-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:9741252:9746272:1 gene:Sspon.03G0026310-1P transcript:Sspon.03G0026310-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MWADVAQVSPHTELLTCGSHKSSGSSGPAQSRRLKAPNSPEGPTPNRSHRIRSGSDDEMGSMEASTAPENGSAAGGGAGGTACNGAGAASNGGGMERRLRSSAASASWAAHLPLEVGTRVMCRWRDQKLHPVKVIERRKGSASSSPTDYEYYVHYTEFNRRLDEWVKLEQLDLDTVETDVDEKVEDKATSLKMTRHQKRKIDETHVEQGHEELDAASLREHEEFTKVKNIAKIELGRYEIDTWYFSPFPPEYNDCPKLFFCEFCLNFMKRKEQLQRHMKKCDLKHPPGDEIYRSGTLSMFEVDGKKNKVYGQNLCYLAKLFLDHKTLYYDVDLFLFYVLCECDDRGCHMVGYFSKEKHSEESYNLACILTLPPYQRKGYGKFLIAFSYELSKKEGKVGTPERPLSDLGLLSYRGYWTRVLLEILKKHKGNISIKELSDMTAIKADDILSTLQSLDLIQYRKGQHVICADPKVLDRHLKAAGRGGLDVDVSKLIWTPYKEQG >Sspon.03G0017210-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:77959683:77964103:-1 gene:Sspon.03G0017210-2B transcript:Sspon.03G0017210-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPGIAPKTAAVPVAWAPQPRHMFVFGAGFVGRYVSERLLAQGWQVSGTCTSVTKKRELEMLGMNAFVFDATENSLENVYSLRQATHLLISIPPIPGIGDPLLKLDEDLRRMVSHGNLEWLCYLSSTSVYGDCGGVLVDEDHTVNPKSESAKLRYNAEKGWLNLIDDPNLSAFIFRLGGIYGPGRSALDTLAKGKSLSQRQKLRESKQKIFNVVDDDPAPRAEVFAFARSLIQGRYPDLITETIDAKSTGLDYQEIIVPAEKRVSNARMKQELGVNLLHPTYRSGLQSILDAWQIQSQLNRSQ >Sspon.05G0031820-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:9983267:9983608:1 gene:Sspon.05G0031820-1C transcript:Sspon.05G0031820-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFAMASACGGNCPTPPSPSTLTPTSASFGKCPRDALKLGVCANVLGLIKAKVGVPPTEPCCPLLEGLVNLEAALCLYTAIKGKILGINLNLPVDLSLILNHCSKTVPTGFKCL >Sspon.01G0010600-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:31899339:31904095:1 gene:Sspon.01G0010600-3D transcript:Sspon.01G0010600-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVLLVASATALLALAAAWLWDYAVVRLLWRPRAVAAMFRAQGVRGPPYSFLRGNNHDIRRMRAEADALRLDVRDHNYLPRVMPHFLTWKQQYGGPFLHWFGAQPRICLLDYESVRQVLFNKSGHFFKNDVHPTILALLGKGLVLVEGTDWVRHRRVVNPAFAMDKLKLISNFPLVTHSQNVCLYGSTMKMMTTTMVSCAEPLIKEWERLATGTESRVVEVEFSKQFQDLTADIISHTAFGSSYKEGKEVFHTQRQLLALAMATLLNVQLPGFKQWMLEKKIKTMLMAIIQPRLASNGSGYGDDLLGLMLEACLTTEQGEKRDELTLTMDEIIDECKTFFFAGHETTSLLLTWTMFLLSVYSEWQERLREEVIRECGNANPTADTLNKLKEMTMVLLETLRLYGPVMHMLRKPISDIRLGSLSIPKGNEIAIPIPFLHRDKEVWGDNANDFEPLRFENGITNAAKAPQALLSFSIGPRSCIGQNFAMLEAKSVMAMILKRFSFTLSSSYVHAPADHITLQPKFGLPIVLRPLDSLVEQY >Sspon.03G0017740-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:55108615:55109478:1 gene:Sspon.03G0017740-1A transcript:Sspon.03G0017740-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding TMEKTMLFLLLTLCASRLDGAVAQQYQYWTPATATFYGGSDASGTTGGSCGYGDLYSAGYGTQTTALSTALYGDGASCGACYLVTCDATRTQYCKPGSPSVTVTATNFCPPNYGDPNGWCNSPRQHFDMSQPAWETIGVYQAGIVPVNYRRVSCQRSGGIRFGISGHDYFELVTITNAGGAGAVAAAWIMGTGTDWLTMSRNWGENWQSGAYLTGKALSFKVQTDDGKVVVADNVAPANWQFGSTYQASVNFY >Sspon.05G0009850-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:30773379:30774925:-1 gene:Sspon.05G0009850-1P transcript:Sspon.05G0009850-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAGRRPGAVKNAASQGSPWTMPRRRGELDDWRVGVAAHQQRPRFNENSFLRYDSGHQNSSPHAPLVPELHVEMMRDSTTGCSAGRWTREQVAQSRTPVHMLGSRVASPRLPARPRSAEAEVHLSGVSFGNCAGATWPGDVPLLRTIFYSPKSHQLLLLFSSPPPSHSLYTTATPPGPSTIIEFSRAAARRLVHISPPCLSLLLDFTDLPCFTRAPPPFLPPQLMPCMADLFLQIGIAADMPLLPRWLLPCHIPSLRSA >Sspon.02G0021640-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:72475084:72475891:1 gene:Sspon.02G0021640-1A transcript:Sspon.02G0021640-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AYGRTDRPTCAAHLHCVPRAFARPCPTLPRSLPLIAHAAYSAEPRSVLVVLMMVVLTRRRWCSASADGAHAPPLSHLDVGLLSEEERASVEAMAVSMWGGGIGRMVLVWKRRASHLSIMEEAGEAPVWKRRGRIIRAAWAAERKGAVRTRALEAELARAAREAE >Sspon.01G0043810-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:73344611:73349438:-1 gene:Sspon.01G0043810-2C transcript:Sspon.01G0043810-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPTLSFAAEDEQVLVTEEDFPEVKVDFQTVLPLGEDPPAITSLCFNHNGKILAASATDGMIHIFCNQESFKTRMHEIALDSNGKRLLVTSGLVRAPIYQVVCNDGTKCVAVSHSA >Sspon.05G0036320-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:85391650:85394882:1 gene:Sspon.05G0036320-1C transcript:Sspon.05G0036320-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SYAGQPQEAASSILPLLEQAKKIVPLRLQKNTPLELGATAGLRLIGDEKADEILEAGVLENSWCDRYGGGSVQMAYAISRNAAANAPAVPDGKDPYITKEYLKGKDYNVYVHSYLYYGGLAARVEVLKAKNGPFSHCILRGFSGKYTYNGKEYDATASPEGAVYEKCREEVIKALNLNAPCETKNCTFNGVWNGGGGAGQDELYITSFFYGKASEFGFIDREAPSAKSTPAAFKAAANKVCSLSVQEAKAAYPNVVDVPYICMDLVYQYTLLVDGFGLSPTKEVTLVARVKYGEYYIEAAWPLGTAIEAIAPKKMSQDA >Sspon.01G0038650-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:26192573:26198943:1 gene:Sspon.01G0038650-2C transcript:Sspon.01G0038650-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPLNRRASLPRAGMEYFDARRKPHNVGKIIAALVLTTLCIFVLKQSPGFGGNSVFSRHEPGVTHVLVTGGAGYIGSHAALRLLKDKYRVTIVDNLSRGNMGAVKVLQGLFPQPGRLQFIFADLGDQKSVNKIFAENAFDAVMHFAAVAYVGESTLEPLRYYHNITSNTLLILEAMASHGVKTLIYSSTCATYGEPEKMPITEATPQFPINPYGKAKKMAEDIILDFSKSKGADMAVMILRYFNVIGSDPEGRLGEAPRPELREHGRISGACFDAALGVIPGLKVKGTDYPTADGTCIRDYIDVTDLVDAHVKALNKAEPRKVGIYNVGTGRGRSVNEFVDACKKATGVNIKIEYLSRRPGDYAEVYSDPTKINKELNWTAQYTNLRESLSVAWRWQKSHPHGYGPN >Sspon.02G0029400-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:98260204:98261241:1 gene:Sspon.02G0029400-1T transcript:Sspon.02G0029400-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRAGLARAATGHGAAATAAGRATRVMRAAVSAFFAGYHCFTSVAALLALPFSAAVLASEAMMAPSSGTLRGVAARLRAVFAAAGFPPSPFFALLEAKLSQTVLTFAATLPFALTFLLLAKACVAAMLRDDNDGASPSRRRSHLRIAALPPCGAVARAYPAVVATHLLNAFLMLSANAAVFSLLLLAFGAADLLGLTSHFWTLALSAAGAIVYSLAVGVATVVCNLAVVVAATEPGCAGHAAVLRACVAIRGRVSTALALALALPTNLGMAAAEALFGLRVVAQRRRDGRLKPGVAGEAFSIAYIHAICVVLEIIVSCMFYRSCKRSEADELRELEPEEKGDLQA >Sspon.07G0029580-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:35450011:35451494:1 gene:Sspon.07G0029580-1P transcript:Sspon.07G0029580-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MYNVRETFSWQYYYWYLTNDGIEHLRSFLNLPSEVVPNTLKKSSKPPSRPFGSGPPGDRPRGPPRFGEDRPRFGDRDGYRGAPRGAPGDFGGEKGGAPAEFQPSFRGSRPGFGRGGGGAFGAGGSSME >Sspon.01G0008200-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:22999037:23001770:1 gene:Sspon.01G0008200-1A transcript:Sspon.01G0008200-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptide chain release factor PrfB2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G56350) UniProtKB/Swiss-Prot;Acc:F4I532] KMASRFLTRSAAARLVSHLHRKTPGTTHRLPTHAAAALSSLLGPTDGLPHAAGSTLLRVPVRWFSSPSTEAVAEAPMTADGLTVHSIADKGWTILPEAESDWRSHAAAVAQSVRLIKKRLQWGWILEKTKQLAVVLERPDLWEDPVFAGRVSREQGELMGKIKSVNQFEQELIEHIEMLRLAREENDNELEQESMRALADMRRSAKEKELNALLSGDNDSCPCFIQVQAGAGGTESMDWAAMVMNMYRSWAQRRGYTVTVVEEMPGEVAGIQRATIKVDGEYAFGYAKAEVGVHRLVRISPFDSGKRRHTSFAAVAVIPILGDAASRYQIKDSDLRIERFRSGGPGGQHANTTESAIREKCRSQHMNKASAMAVLQSRLDQLEIARQAQMNAEHTQSLNEISWGNQIRSYVLQPYRMVKDLRTNYEVSDPDSVLEGDLDDFILNYLSSSLDEA >Sspon.01G0062990-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:109688066:109688377:-1 gene:Sspon.01G0062990-1D transcript:Sspon.01G0062990-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding PLRFTPVPFPSPSSSSSSRRTRPPAPEIWRSGRARGMEASAGLVAGSHNRNELVVIRRDGEPGPKPMDQRN >Sspon.03G0026290-3P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:1576190:1583697:1 gene:Sspon.03G0026290-3P transcript:Sspon.03G0026290-3P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVAAPSSSCSSISISSELGSLFAAFDADADGRISASELRECMRATLGAKAAVSAEEAEALVADADADGDGLLDAAEFAGLVARLHADVGEEDRRRGLRQAFGMYSETENNAEAGCITPASLRRMLARLGEHQDVDECRAMICRFDLDGDGVLSFDEFKIMMNAAISIGIGIGIGSELGSLFAAFDADADGRISASELRECMRATLGAEAAVSAEEAEALVADADADGDGLLDAAEFARLVARLQQDAGEEDRHRGLRQAFGMYSDNSMEGQGCITPASLRRMLARLGEHQDVDECRAMICRFDLDGDGVLSFD >Sspon.03G0002020-3P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:17484357:17487842:1 gene:Sspon.03G0002020-3P transcript:Sspon.03G0002020-3P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARNPGCTVFIGNLDDKVPERVGRVVDLHIPRDKETSRPKGYAFAEYETEEIAQYAVKLFSGLVRLQNKTLRFAISGQDKPSSNGNIPVTPRLNSVPQPKQPQPMGYSDTPLPQHTTVNGRSAGYGISPNHSYDTHSQAPVSSGLPSRGLSNGTYEYSRRVFGSVLNDVSRRATREPVPYPSY >Sspon.01G0010570-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:29827508:29831925:1 gene:Sspon.01G0010570-3C transcript:Sspon.01G0010570-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEPVARAVAEEVARWGAMRQTGVSLRYMMEFGARPTERTLLLAAQFLHKELPIRIARRALDLDSLPFGLSTKPAILKVRDWYVESFRDIRSFPEVRNQEDELAFTQMIKMIRVRHTNVVPAIALGVQQMKKDLGGPKAFPPGIHEIHQFLDRFYMSRIGIRMLIGQHVALHDPDPEPGVIGLINTKMSPMTVARIASEDARAICMREYGSSPDVDIYGDSGFTFPYVTPHLHLMIFELVKNSLRAVQERYMDSDKLAPPVRIIVADGAEDVTIKISDEGGGIPRSGLSRIFTYLYSTAENPPDLDGHNEGVTMAGYGYGIPISRLYARYFGGDLQIISMEGYGTDAYLHLSRLGDSEEPLP >Sspon.02G0021670-4D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2D:65753027:65753965:1 gene:Sspon.02G0021670-4D transcript:Sspon.02G0021670-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VEDLDEAISSLFLFPTGNATKEDLSRGLAACDVMIASAATFPYVERRMSAGMWDGNGVSNDGSLRGLQESWPELSAAVQESYQGAFAAPVGVQRSNGEWMQVDNVRRAVPLVSFLENAGCKKTTREEAVDQRLPLLIRSVVPQEPDEEDMQLGRPTAAACGQAEPTVRLVGPYGRCVDVPYGLYYSGNQVQLWSCKSNGDVNQLWTLKRDGTIRSNGKCLTSSGDAAGARVVIDDCPRVPTGRVVWEMRVDGTVALKGSSRGGGLVLAVTSSTLFAGLTVRRDDRGTGQSWTPTNDTAPLDAAIVGFRDLCLQ >Sspon.05G0002960-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:1666212:1669669:1 gene:Sspon.05G0002960-2C transcript:Sspon.05G0002960-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exonuclease DPD1, chloroplastic/mitochondrial [Source:Projected from Arabidopsis thaliana (AT5G26940) UniProtKB/Swiss-Prot;Acc:Q682U6] MSSLVLRFNLLRNNIRTSCPVRFLKQHAGFSSGKLLQPKSYEKRHFATNLTGAVSWHKTDPGSCVPSIPPLWLQQTSGHDQPATVLVFDVETTGFLHVSHRIVEFALRDLSGGKNCTFQTLINPERSVSEHAAKINKISTELVCKRGVPRFRDVLPILLAYVRSRQAPGKPVLWIAHNAKQFDVPFLNLEFDRCSAQVPADWLFVDSLLLAKKLKKIDGEKNFVNLEALGKRYGISSKGPSHRAMSDVEALCNILPKITKDLKLTCDGLMNEAMRFSDVRKVS >Sspon.04G0026500-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:52991464:52998286:1 gene:Sspon.04G0026500-2C transcript:Sspon.04G0026500-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPVSVPSQPFTATPAKQLVSSSTAVRKAIHFVNLRRAGRSSGNLAMNSIPKPAPGSETSGREDFAVGDKDAVVIVDHGSRRQESNLLLNDFVEMFRAKTSYRIVEPAHMVFFVVPAFLCLIMFFLCEKWKHLTY >Sspon.03G0002690-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:15218969:15223162:-1 gene:Sspon.03G0002690-2P transcript:Sspon.03G0002690-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGSTTGACEEEAEEERAASEALTVDSADEEGRRGSSSSASSEAASSTVSYTYSPPNEWQNKVAIKTCVSVVSTDVAGEADDGKEEKPPRGGVDAADRHRAPEMEMMKERFSKLLLGEDMSGSGKGVCTALAISNAITNLCATIFGQLWRLEPLLPEKKAMWRREMDWLLCVSDHIVELVPTWQTFPDGTRLEIMTSRPRSDLYINLPALRKLDNMLLEILEGFRDAEFWYVDQGICAPDCDGSASYRRTFHRRDDKWWLPVPRVPHGGLCEATRRQVEHRRDCANQILKAAMAINSNALAEMDVPDSYLDSLPKNGRATLGDVIYRYITSDHFSPDCLLDCLDLSSEYQALEIANRVEASVYVWRRRGSGGAAAKPASRAGARSSWGIVKDMIMDTEKRDLLAERAEGLLISLKQRFPGLTQTSLDMSKIQYNKDVGKSILESYSRVLESLASNIIARIDDLLNVDELSKQSDSIPSAGTATDAKIACKSNSSKQATVVPASGTPYATAYTTPSFSPAQLSSPSKIGRALLVDRRSHHVKGPAGAKRIAASAADRAGVAEVVKGMVVGSAVFDIPTTAVAAEM >Sspon.06G0018710-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:101281293:101283693:1 gene:Sspon.06G0018710-1A transcript:Sspon.06G0018710-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding FNGLHIQPQGTTEQATLFVRRVYVAGLPPSANEQTIGVFFNQVMAVIGGNTAGPGDAVCSVYMNHEQRSALVEFRVAEEASNAMALDGILFEGVPVMVRRPADYNPSQAAAMGPSQPSHKLNLAAVGLTAGSAGGGLEDPDRIFVGGLPYFYSEAQVRELLESFGHLRGFELVTDRGTGNSKGYAFCVYMDTTVTDIACAALNGIKMGDKTLIVRRANQGASQPRPEQERILLQAQQQVQLQKLANPVDAAPTTVVCLGQVVSADELKDDEKYEDIMDDMRQEACRYGNLVKVVIPRPDPSGHPVTGIGKVFLEYADVYSSTRAKMALHGRKFDGKSVVANYCPELKFANLDFDG >Sspon.06G0025120-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:87175804:87176994:-1 gene:Sspon.06G0025120-2C transcript:Sspon.06G0025120-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRRFFYDPYDYYYSSPYHYPYPYYQYQHPAPSRGVGGFFPAAANAAPEAVKVDPRPRVESSRPVSIPVRFVGSNTEPVRGAAAAVPRKRAPSAEAAAVRLQAAARGFMARRSVRAVRDVEREAAEVAEKVAREAEALRGDARARIGVGEALMKLLLRLDAVRGAREYRRRVTKRVLALQDAVDALEPKAALDSEAVAEENESEVTSEMADDSAAATELPVDAQHSGEIEVKAMSETTADMEVDGDRIEIETAPEAAEESEKLQDGGNIDGDKPEGSDAEGEWEMVAEEAEPTTAAASTEATLQEPVTSEVMRTTGQAGAADSALDTRKVMEMVAALCEQNAQQCAVIGALAERVDALERAVRRVEDAERRRRRGKKLKKEGKGSKSNNSKCYSD >Sspon.07G0019700-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:76864141:76869109:1 gene:Sspon.07G0019700-2B transcript:Sspon.07G0019700-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLLHLQSTLLPSASALRRRAGAPSPSSSRRRCRVEAKIREIFMPALSSTMTEGKIVSWTAAEGDRLAKGDPVVVVESDKADMDVETFHDGFLAAVLVPAGESAPVGSAIALLAESEEEIPVAQSQAASFSSSSPSPPPPQETAAEEASLPPPPPPPPAPVAVSAPAPPSPAAQGGGRVVASPYAKKLAKDLGVDLFSVAGSGPGGRIVAKDVEAALAAPKKAAPVIAARPDVPLGSTVPFTTMQGAVSKNMVESLAVPTFRVGYTITTDALDQLYKKIKSKGVTMSALLAKATAMALVQHPVVNSSCRDGKSFTYSSSINIAVAVAIDGGLITPVLQDADKLDIYSLSRKWKELVDKARAKQLQPHEYNSGTFTLSNLGMFGVDRFDAILPPGTGAIMAVGASEPTVVGTKDGRIGIKNQMQVNVTADHRIIYGADLAAFLQTLAKIIEDPKDLTF >Sspon.03G0042140-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:50811659:50820242:1 gene:Sspon.03G0042140-1C transcript:Sspon.03G0042140-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LRDKAQVEQLLRYVVEEVPEDAERKRSFKFPFIACEIFTCEIDVILRTLVEDEEVVICLMLRKTAPLMSYVQRHPEIVVQLVDLIGITSIMEVLIRLIGADEAIYSNYGDTLQWLENTDVLEMIADKFSSSDSPEVHANAAEILCAVTRCAPPSLAAKICSPSFVGRLFHHALEESRPKSVLVHSLSVCISLLDPKRLASASYQAFRSNLSHGALVTASPETVDGMLESLGNLLKLLDTSAAESVLPTTYGCLRPPLGKHRLKIVEFISVLLTIGSETAEKELIRQSAIKRSIDLFFEYPYNNFLHHHGKRPEVVEHVLHECDIVGKILVSERLSSLSTESNGPTVPSEGKTPKNWKCWSHDKNTNKLSQLGNSNNTIQTHLQENNEWVEWQTNVLVKRNEVENVYHWACGRPTSLHDRGRDSDDDDFRDRDYDVAALANNLSQAFRYGIYSNDDIEEAQGSLERDDEDVYFDDESAEVTEEADDGKVVGTEDEMETVYLGNGSAEEVKDVAECTEQPNCCTEDERLKNTDGMERHPDASNNDTDMCTNEAASAAAESSAPSVEKVAEKTVDEPLEAERTVDEPAESSLDSSVSVALPAPVNGSEPANSEASSEQVAHDTDVQQPVKEAPAEDVDAKKTDAAKASELTLEL >Sspon.01G0018370-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:73933459:73936826:1 gene:Sspon.01G0018370-2B transcript:Sspon.01G0018370-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VRPPQPASATTAVTYSGYHLLVVNGYSSLVKDIPSGEFRESRHFKVGGNRWTLQCYPNGYEPHHDGYMSFYLFLDQGNVVDPVMVQYEFSFVVDQDVNINEAGGTVRVCNVLSPPPDIRQDLSDLLQSGAGSDVAFQVRGRTLRAHRCVLAARSAVFKAQLFGPMKEGTTTGGVIHVRDMEEQVFRLLLDFIYSDSISVQEVDDAEEEIMWQQLLEAADRYDLPRLRLMCEQELCEYINTTTVASILVLAAQHQCRGLKEACLGFLNSPVNLKQVMALDGLEPLVSSCPSVLKDLIGKLALLKIDVNVGDSGAAALPPVIDVPEPDLHLHLSSLLHSEERTDVTFQVGGETFRAHRCVLAARSAVFRAELFGAMKDTASDIICIDDMEARVFKLLLTFVYSDSVPETNEEKEEVEGNDDDADADVMWQQLLVAADRYGIQRQRLTVRKRLGRYINTNTVATILSLAELHHCRRLKEECLHFLDCPAHLKQVMSVGGLDHLRSICPDRSRSQARGTLSMIYAARGEERKDPTPPRSLESRSLAPRPFSVRRPPPSAPQPAALRLAACRNPRIGVSISPPAAIPAAGSSRRPANGPLPQPARGCPPSCLRPAGQLTAWGWAPGRSPQPHTPPGRRAVSRRPGHQHPRRGVRRRQGATPCQPPPPGHIAYSTCLTE >Sspon.05G0008940-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:17787267:17787964:-1 gene:Sspon.05G0008940-2C transcript:Sspon.05G0008940-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VFLVSAVEALICLCICCISAPGYSRRRRRSTSTRNVRNGSSGSKDGGAGRRRGEAAAVAAASHGHGGRDEGAVGRRLRLRLRGVSPLLADGVAHAGVCGPGGRRRLRGAAGGARGVRAPRRLRVAAGQLLPVHVAAGAVRAPGGPRAPREVGGQGGRAGAAVVCRHGGPHEHGVLGGPERAGRRRGRAAGVRPGRRLNLPRRARARPVARAGASLT >Sspon.08G0001940-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:5746972:5749949:1 gene:Sspon.08G0001940-1A transcript:Sspon.08G0001940-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ALVRRNDPEVIDMLPRSVDIVVGDVGDPATVKAAVSGCSKIIYCATARSTITGDLNRVDNQGVRNASKAFQDYYNELAQLRAGKSSKSKLLIAKFKSAKSLKGWEVRQGSYFPNTFVSRFDEGIDASLDFSEDQQAVFSGFVFTRGGYVEISKRLSLPLGSTLDRYDGLLFSVGGNGRSYVVILETGPLADTTQSKKYFARMTTKVGFCRVRVPFSSFRPVNPQDPPLDPFLVHTLTIRFEPKRQRPGDGSQNASDPRNFELKLEYIKALPTGQETDFILVSCAGSGIESNRREQVLKAKKAGEDALRRSGLGYTIVRPGPLQEEPGGQRALIFDQGNRISQGISCADVADICVKALHDSTARNKSFDVCYEYVADQGNELYELVAHLPDKANNYLTPALSVLEKNT >Sspon.03G0016850-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:77496383:77504748:-1 gene:Sspon.03G0016850-2B transcript:Sspon.03G0016850-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ALEVTVWRDGNEYRQSYSRGKPTTTLTSITLTGETNPRQGTSIRFWPDKDIFTTTIDFDFNTISSRIRELAFLNPEITITLTKEEGHTVQHNEYCYAGGLVEYVKWLNTDKFWRSSDSYSDTVLGYANSIRTIDGGTHIDGLKASLTRTINNLAKKSKTIKDKDITLSGEHVREGMTCVISVKVPSPEFEGQTKTRLGNPEVRRIVEQSVQENLTEYLELHPDVLDSILSKSLNALKASLAAKRARELVRSKSVLKSSSLPGKLADCASNNPEESEIFIVEGDSAGGSAKQGRDRRFQAILPLRGKILNIERKDEAAVYKNEEIQNLILALGLGVKGEDFKKDALRYHKIVILTDADVDGAHIRTLLLTFFFRYQRALFDEGCIYVGVPPLYKVERGKQAHYCYDDADLKEIVNTFPANASYHIQRFKGLGEMMPAQLWETTMDPERRLLKQLKVEDAAEANVVFSSLMGTRVEYRKQLIQEAASTINMDQLDI >Sspon.02G0048460-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:16885341:16892477:1 gene:Sspon.02G0048460-2D transcript:Sspon.02G0048460-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQCMAQEGSEASVASSPPPPPPSASSSTSSSAAAVASWWRDSMHPAAYGAWPPQPPAARWPPMPAPTSHHHQQHGGRTTTSSGGGADDDLSASNATMTSFTNTSTTNHSGLSMDSGGAEAAAAVAAESHLWNQVLMGAGGEVGRSMQAVHDAHDDSENFLELLNSRTLAPELFAEPPACDYLKKMEYGSSHGGGGGGGGGGGWPDHQFTTAALEKHLSSGYGGGALAHHHHAAPGGGAPERLTANLSDLVSNWSIAPPNPCLGDAHHRAGVAAAACDNNAAAVAALGHGAKAGLFLDSGGGVCKHEIGGHGHGTMMEEAASGSSGGQEFLRPAEYSSMLGLSSNRMYMDVPWGNNAGAARSLSDLISFGGAPLGKPEQPAATSTKAQAEYKKQGQEISSPAKTSSGGGSKGSSEGKKKRSEEQQGSEGNAKKSKNEASSPTSSLKASQVPKVKLGDKITALQQIVSPFGKTDTASVLYEAINYIKWLHEQVQLLSDPYMKTSSSKDYNAWGGLDRKEKSETEIDLRSKGLCLVPVSCTPQVYRDNNGPDYWTPPYRSCLYR >Sspon.05G0020300-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:85809206:85813111:1 gene:Sspon.05G0020300-1P transcript:Sspon.05G0020300-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRVSAVRDRCLELERVIADRARSGSLGLDDALKLFDELLLHARPASVVAFNHLLAAVSRALGRRSSSTSESETVVSLFNRMIRDYSIKVAPDLCTYSILISCFCRMGRLEHGYAAFGLILKTGWRVNVIVIHQLLKGLCDAKRVGEAMDILLQRMPELGCTPNVVSYTILLKGFCNEKRAEEALELLHMMANDRGGSCPPNVVSYNTVINGFFKEGQVDKAFNLFLEMMDRGIQPNVVTYNTVIDGLCKAQVFDRAEGVFQQMIDKGVKPNNETYNCLIHGYLSIGQWKEVVRLLKEMSAHGLYPDCCTYALLLDFLCKNGRCREGRIFFDSMLRKGIKPDVTIYGIMLHGYATKGALPEMHSFLDLMVAKGTSPNHHIFNIFFSAYAKCGMIDEAMDSFNKMRQQGLRPDVVNYGALIDVLCKLGRVDDAEVKFNQMINEGVTPDIVVFSSLVYGLCTVDKWVRAEELIYEMLDQGIHPNTVFFNTILCNLCKEGRVMEGQRLIDSMVCMGVRPNVISYNTLIGGYCLAGRIDEASKLLDGMVSAGLKPNSFSYDTLLHGYCKAGRIDDAYCLFQEMLRKGLTPGVVTYNTILHGLFETRRFSEAKELYLNIMKSGKEWDIYTYNIILNGLCKSNCVDEAFKMFQSLCSKDVQLDIITFTIMIGALLKGGRKEDAMDLFATMSAYGLVPDVVTYCLIAENLIEEGSLEEFDGLFSTMEKSGCVANSCMLNSLVRRLLHRGDISRAGAYLYKLDEKNFSLEASTASMLIALFSREEYQHQAKSLPEKKKKLN >Sspon.04G0016490-3C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4C:75686271:75687020:1 gene:Sspon.04G0016490-3C transcript:Sspon.04G0016490-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASRQRGTVKWFNDTKGFGFISPEDGSEDLFVHQSSIKSEGFRSLAEGEEVEFSVSEGDDGRTKAVDVTGPDGSYVKGGGGGGGGGGGGYGSRGGGGSGGGGRSYGGSWGGARRSGGGGGAGACYKCGEPGHMARDCPSADGGGGGYGGGGYGGGGGGGGCFKCGEPGHMARDCPSGGGGYGGGGGGGYGGGGGGGACYNCGQAGHMARDCPSGGGGGGRFGGGGGGGDRSCYNCGEAGHIARDCPT >Sspon.02G0049920-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:37529466:37532593:1 gene:Sspon.02G0049920-2D transcript:Sspon.02G0049920-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASSSKPQPPPPRHLHLHVDTTSATAAATTTNGSGASPGSASSSHHSSRAPRPATPTGRGGGSEGTQNSGACAACKYQRRKCKPCPLAPYFPGDQQSRFIRAQRLFGVSNLLKTLKRVGPKYGPDAMRTIIYQSEARAVDPVGGCVGIMQELRRQIRDSEMELQFVRQQIAICHQQAAATDAGLSADPAAMILPAASSPVVGLVAAGQQDDMVAVDAIYAASQQPSIPAGGLPNNDPSSHQQQLYDYFCYNNGAAGDDGGMQQYGLADVVDSSVAKAGSPAVALGEQLGQRCQIEALSFMDAFNEKKPQVLPAKTIQHHGPAAAAGDVLHQLATSVLVKHDDDVDEHMEEQQATAGNLP >Sspon.01G0041720-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:50195976:50197875:1 gene:Sspon.01G0041720-3D transcript:Sspon.01G0041720-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MYQGYHVLNTFRFKPAEEAPLQIQVTQSSSLSAPLKRSRAAASSVRTTKASIHELQASLEKLETIVANMTEFVILLGGCKQMHKRPYDTYIYIDNFMFSRIVEKQELINALLQDNSCVGAPAVVPVIGAHRAGKKSLVGYACNDDMVRSHFSSVLHLKSNSFLQVHRETFMPVRTLVVVEFISDVDDSEWVKFYSDASSQMGEGSKVIIVSRFQEIARFGTVKPIILRSLSDAEFSYLFKVLAFGGTDPENHQQLASIAMELAMNVSGMLLVANMLADLLRKNQNVQFWFHILKMLRKSIERNFSRFGEHPKQLVERDHPTDITMLVPPSSATLRLMPPHDDTSLLPKVKLADLVQGSTTIRPNEEFQITIWESRLPPFANFVANCIAEEHLCTSSDKKRHKSTFGAGPESVKGEGDESADEFTCNRSYGSFHRLHTED >Sspon.06G0034390-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6D:44643295:44647917:1 gene:Sspon.06G0034390-1D transcript:Sspon.06G0034390-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSWQWRLGSLATEPVRHSGQPSSSHSRTTIRQSIHMAQQAGLLASLGQGMGGYQQDNAYDAYNNQSFQWNQYSKTTPAAGSAGAFL >Sspon.08G0010720-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8C:43929168:43929662:-1 gene:Sspon.08G0010720-2C transcript:Sspon.08G0010720-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHKIILAARSPIFMAEFFGSPMKESSSGVVDIKDIEPAAFKAMLHFIYTDTCPELAQQHGSDIGHQESMAIAQHLLSAADRFGLDRLKLMCEDKLCSGINVGMVATNLALAEQHSCSRLKTRCIKFIVDSPANLEAVLATEGYKHLVANCPFPMTELLRSAVEK >Sspon.03G0032700-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3B:39405311:39405688:1 gene:Sspon.03G0032700-1B transcript:Sspon.03G0032700-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLVSKVSRAVAACARRLTRRLLRARGLQRGGSRSVCLGRQLVLADGGGNDDDAAAGDRREEDGQGQVALWRRAILMGQRCEPLDFPGAIHYDSFGRRVQSPRCCSRRKAAGALFCRSSDAIDDA >Sspon.01G0009850-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:27733125:27737578:-1 gene:Sspon.01G0009850-1A transcript:Sspon.01G0009850-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRGEASHRGRSSSRGRGWRGRGRGGGRPSRPPPSSTASPAALNPTSTPAVSVDDAAPIMGTCPDMCPARERAQRERLRDLAVLERVGGDPTRTSPSLAVKKMYVHCRFYKKQWHPFETIHDFIFDRTRSIRQDLSMQNIVNDQAVQIYEDVVTFHIRSHQRLSTSCQDSDASSLCYLNMEQLTKCLLSLYDMYHVLHKRDSHSKNEGEYYSFYVLLHLGCKIQKMINSLSLWYGQLASPVRRSKEMIFARTLLRCYRLGNFKRFFCMVAAEATDLQLRLVEPFLNE >Sspon.04G0013520-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4A:48511888:48513262:1 gene:Sspon.04G0013520-1A transcript:Sspon.04G0013520-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LWFLSVASNNLSGPVPPKVSHLKKLSLLNASANALTAGVPRELGHCESLTVLDLSRNQVTGEIPKEITSLKVLTMLNLSRNRISGELPVEFGEMISLSKLDVSYNDLSGRVSLPQLRSRSRRFKTRTLLWLVPTASAMVLAVCLGLRWGRRAWREMAKRPRPAAWKMTRFQSLDLEMDDVLGCLREENVIGRGGTGTAYHCVTRGGAEVAVKRLPGPGRRDHGFRDEVTTLGGIGHRNVVRLLGFASSAEANLLLYEYMPMGSLGAVLHGDSSGLLLGWAARHRVATEAARALCYLHHTKTDVYSFGVVLLELVTGRRPLGDFGDEINLVHWARSVVPRPTDTAAVLAVADPRLPAGLIARLFRVGISCVRDSSQARPTMRDVVHVLSSFVVPPADPACSVCADDEA >Sspon.08G0021360-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:42833571:42835968:-1 gene:Sspon.08G0021360-2C transcript:Sspon.08G0021360-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRSEAQARKASLAESVLAAISELMSSAASAVDAEHKNFMDVGSHVHHGALPAMEVQKAQGSPTNTLHVMEYLAANVDLAKDLVTRCSAIAQQLMDDDLLGITEDLDKVIKNISNELNRIPASTFDSSRFAEPAVRDGHLQVVRNRHGYSEGDMPMVVAVERPRRRTLHNSDMPRLVDFLQGMYQESHELGGQTFSSLPEVAEYVEPLYDSFFCPVTNKVMVDPVTAESGVTYDRKAIEDYFDKFTDGSEPVICPVTKMAMQSKTLRSNLSLKSTIAEWIARNEATRVRIARTALSMATTEAMVLEAIHELKVLARLRKKNRDQMHKIGITKFLPRLLDHKDAFIRCDSLDLLCLLVEDDAGKEIIAKTRAISRTIKLLSSSSTDERHAAISFLLELSKSELLLENIGSTAGSILILTTMKFNSSSDPIAAEKAGEVLENLE >Sspon.02G0030180-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:95780439:95781947:1 gene:Sspon.02G0030180-3D transcript:Sspon.02G0030180-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSRAASWQSPTPAAWDATSLASALKAAAARRSAAHVGPLHAVLLKLGLSASAIHATSLAHLALRSGLPRYARELFDEMPRRDVVSWTSLVTGHAHQGLHRDSLALLRRMVASGAQPNCYTLSGGLLACAGVGQSALAHGKEIHARVVKMSSRGPVDPVVENGVLDMYSSCGSIEYALRVFRVMRVRNMVAWNTMMAALLGSGQAEDALRLFVSMVSSGVGVDGFSFSIVVDACGELALLKQGMQTHAQVVGGGFEADVVVRNSLVDMYAKCGCVDSAELVFKAVSSNDAVLWTTMISAYGKSGRVWDAVSMFDRMAHLGIKQDGIAYLAVLSACSHGGLVREGWYYFNLMSDGSSSVKMQPEHYGCMADLLCRRGYLEEALEFIQNMPFDSSIAAWSALLNSSRIHGNAKLGQFAASCLLKLDPENHSNWVALSSVHASGNDWHETWTIRESMSRECVKKEPGCSWVELCDGVHVFIMADQSHPELFQIVQSLDSLKDDIL >Sspon.02G0024760-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2B:87244056:87245152:1 gene:Sspon.02G0024760-2B transcript:Sspon.02G0024760-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MYTNCHPDILMAMDDGSSLGFVVIKDSTLDLWARKVNPDGSMGWVQDRVVLLTTGLACFAEGQAQKPSAKALPRAALGKEPSENFETAKGSLPRALYRAHGKAFAEGKENPRQRKKTRKK >Sspon.07G0037660-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:60674546:60674720:1 gene:Sspon.07G0037660-1D transcript:Sspon.07G0037660-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding WIGLVARQPPLSAGDMAAAAGANVIPATMRQTRDMRGRPRRRGKPRDGLYR >Sspon.01G0010600-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1A:29563834:29566214:1 gene:Sspon.01G0010600-1A transcript:Sspon.01G0010600-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVLLVASATALLALAAAWLCDYAVVRLLWRPRAVAAMFRAQGVRGPPYSFLRGNNHDIRRMRAEADALRLDVRDHNYLPRVMPHFLTWKQQYGGPFLYWFGAQPRICLLDYESVRQVLFNKSGHFFKNDVHPTILALLGKGLVMVEGTDWVRHRRVVNPAFAMDKLKMTTTTMVSCAEPLIKEWERLASRNESREVEVEFSKQFQDLTADVISHTGFGSSYKEGKEVFHTQKQLLALAMATLLNVQLPGFKQVNIFISWYLPTKNNRLKWMLERKIKTTLMAIIEPRLASNGSGYGDDLLGLMLEACLTTEQGEKRDELTLTMDEIIDECKTFFFAGHETTSHLLTWTMFLLSVYPEWQERLREEVLRECGKENPTADMLNKLKEMKMVLLETLRLYGPAILMLRKPISDIRLGSLSIPKGNGIAIPIPFLHRDKEVWGDNANDFEPLRFENGITNAAKTPQALLSFSIGPRSCIGQNFAMLEAKSVMAMILKRFSFTLSSSYVHAPADHIMLQPKFGLPIVLRPLDV >Sspon.02G0045380-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:123169963:123172514:1 gene:Sspon.02G0045380-2C transcript:Sspon.02G0045380-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRGSSAVPPPPSARLHAGALLAGLARRATTPAAARQLLVRGLLLPARAAVALIASSHSPRHARAVFDGAVPAASENVYLWTATIAAYARHASSSPSAAEQALALFRLMLRRGVPRPNAFTASSVVRCCSALRAVRVGIQVHGFLVNAGLGRAAHVGAALVDMYGNLGRVADARRVFDEMPTTSVVLGNTMVACYVRAGDVEAGRDMFDRMVERDPISWNTLMMGYLRQGEAVVARELFEEMPERNVNSWNMVIAACSREGAWADALAVFNRMRHARFQPDPATMAVLMSACAQLGSLSVASQVHGILRKGCVEMNFHVLNSLIDMLPFISRGGRLDPESLVELTFRADALQQNFT >Sspon.01G0029930-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:103987992:103989005:1 gene:Sspon.01G0029930-1A transcript:Sspon.01G0029930-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSTNTEAAASSQGKRCAACKNQRRKCAQDCVLAPYFPASDPHRYACVQRVFGASNVARMLQNLPVHERARAADTMAMEARRRVQDPVYGCAGIVGRLQGEIGAAQCELARTQAQIAVHAAAAAARARPAPVVDAQAAAQHAPAAPLQQQDDDDDVREAFQGLDALLIDDDRWDALT >Sspon.03G0039890-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:15331686:15334440:1 gene:Sspon.03G0039890-2D transcript:Sspon.03G0039890-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMPQQQAAAAAHQDEGGSPPPLSPASAALANARWNPTKEQVAVLEGLYEHGLRTPSAEQIQQITGRLREHGAIEGKNVFYWFQNHKARQRQRQKQDSFAYFTRLLRRPPAAARALHAARAAVPSRPRPVAGAGAARDRDAAASSSSAAPANAAYYYPPPQQQVTVMYQYPRMEVGQDKMMATAASQQHPAAMFQQAAAEPHFPSANGGGAGPAERVGPRRETLELFPLQPTFVLRRDKGRAAAGGSSASALTSASTATASTSVSEESEGLESGNCNGEEAPALPFYDFFGLQSGGR >Sspon.08G0026380-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:21427984:21429552:1 gene:Sspon.08G0026380-1C transcript:Sspon.08G0026380-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GMARFLQLLAGAAVLLLAASPPAMAGDDIVEVGVNWGSQLSHQLLPDSVVKMLKKNGIARVKMFDADPWPVGALVDSGIEVMLGIPNDMLETMSSSYGNAQDWVKENVTAYGDKLKVKYVRYVAVGNEPFLKAYNGSFMKTTFPALKNIQKALDEASVGDTVKAVVPLNADVYVSPDDKPSSGAFRPDINDLMTDMVKFLHDHGAPFVVNIYPFLSLYQSDNFPFEFAFFDGGKNINDKGGINYDNVFDANYDTLVHALKKAGVPNLKVIVGEAGWPTDGNKNANLKLARRFYDGLLKKLAKNEGTPIRKGKMEVYLFGLFDEDMKSIAPGNFERHWGIFTYDGKPKFPIDLTGQGHDKLLSPVTDVKYLPSQWCVFDDGAKDKSKLPGNIQYACASGDCTALGYGCSCNGLDEKSNISYAFNMYFQMQDQDVRACDFDGLAKITDKNASTRGCLFPVQIISASGRAAPSALLLAPLLALVVMLLV >Sspon.02G0025840-1T-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2A:89781860:89784160:-1 gene:Sspon.02G0025840-1T transcript:Sspon.02G0025840-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MWKSGTVSMSVSVAAGARVTLEAMRFRWVSTTALARPVVPDEYSTAAGSDCLTTTSASPSSGSCRKERNVGESSSTVRSPGGSLPLVPATANATDGLESASRRVTSAAVRDGLAGDTTAPTD >Sspon.04G0006250-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4A:17742786:17743799:1 gene:Sspon.04G0006250-1A transcript:Sspon.04G0006250-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAAAGGGGKESPAASLLRFVLLLLLPLTVLYIFYTLHVILSSTPSCPPADPVTGKGTSNALAVSHLTNNYNLTSSTPSPPPPPLPPPPPAVLTATTLQLQHVVFGIAASARLWEKRKEYIKIWWRPGGGMRGFVWMDRPVRPSSVPEGLPPIKVSADTSRFPYTHRRGHRSAIRISRIVSETFRLGLPDVRWFVMGDDDTVFLPDNLLAVLSRLDHRQPYYIGSPSESHLQNIYFSYGMAFGGGGFAISQPLAARLERMQDACIRRYPSLYGSDDRIQACMAELGVPLTRHPGFHQYDVYGDLLGLLAAHPVAPLVSLHHLDVVRPLFPNARSRPAA >Sspon.07G0007390-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:15181413:15182608:1 gene:Sspon.07G0007390-3C transcript:Sspon.07G0007390-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQVTDLKNSPPMLGKHRAVTRQALALLQDNYPEFVAKKVFINVPWWYLAANKVMSPFLTQRTKSKIVFCSPGKSAETLFRYIAPEQVPVQFGGLYKEDDTEFSTSDAVTELTVKPSSKEAIEIPATENSTVVWELRVLGWQVSYGAEFTPDAEGGYTVIVQKTRKVPAHEEPIMKGSFKVTEPGKVVLAVNNPASKKKKLLYRFK >Sspon.04G0021350-3D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1D:76864735:76866006:1 gene:Sspon.04G0021350-3D transcript:Sspon.04G0021350-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNIGIGMMCPYIITVSMFIGGVISWGIISPYLATKAGIWYPSDLSSNSLSGIRGYKVFIGVSMILADGLFNFLSIVLCTLYTMCKRRRQPIQGDNEVDSDTQLPFHCLNAAEQQKTKKTFDDRRRAQVFVRDQVSNSVNIICYILLSVVSTIAIPYLYPQMRHNHVALIYLVAPVIAFCDAYAFGVTDMNLSSTYGKLAMVLIGSSVGRNDGGVIAGLVSCGIVMGTMSNSNNLMQDLKTGYLTLTSPHAVFISQAIGTTLGCIINPIMFWIFYKVQNDDTDIFDAPYARVYRSIAMLSAGQDGLPMHSLWLCKLFFALAMVLSVFREVTTWKRWRVAQYIPSTVCVAIAFVVPARIPIDMFVGSLVVYLWRCANPSKAPAFAMAVASGMICGDGLGMLLSSTMALTQAQAPICIKFMSRTAN >Sspon.08G0015980-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:63505143:63508295:-1 gene:Sspon.08G0015980-1A transcript:Sspon.08G0015980-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTQNKRLKDVEISFPIVYGTISFWLGKKASEYNSHKWTVYVRSANNEDLSVIVKRVVFQLHPSFQNPTRVVEQPPFELSESGWGEFEIAITLYFHSDVCDKRLDLFHQLKLYPEEEAGPQSTKKPVVVETYDEIVFPEPTEAFFQRVQNHPAANVPRLPPGITLPPPGSMEIVPYEKKRGDTKDHPLSQWFSNFSEADELLKLAAARQQVQAHIAKLRRQLTMIEGMPQQSKAFSVPGQQFRHI >Sspon.02G0017810-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:54073051:54077182:-1 gene:Sspon.02G0017810-1A transcript:Sspon.02G0017810-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMRGGRLTGLTSQVLGMRCFSTEIFVSRLSFYTTEEEFKDVFSPFGTVEEARLMRDQQTGRIKGFGFVKYSSQAEAEKAVKAMDGKILRGRLIFVEMAKGHKSK >Sspon.03G0038630-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:6649348:6653312:-1 gene:Sspon.03G0038630-1C transcript:Sspon.03G0038630-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKPAPQPRRTPPAAGAATANGNGKAPSSSKPTSPCAPRRQALPLHLPLQSNHSCDGDVLIAVLCARAQVGGGAGEDGEEAALGRSRSRRPPRCRRRAGGWRPGASTPRCSPTPTGCSRDANETNPGGRVIAEGLKPEETFMDNVMTRNPVFVMSNSSTMEALQKMVQGPHALVESLREQMFKPSLSTIITENSCAPVVCPSDPVTLAAKKMREHRVNSVVVMTGNVLQGILTSKDLVLRVLAQNLSPDATLVEKAMTANPDCATLDTSILDALHSMQDGKFLHIPIIGCLDALQLAHAAISMVEGTSGANDLADSMMQKFWDSALATHPAEEFDARSDESRMVASDSADGKHVHPPHVNSSFCFKIEDRKGRMHRFSSVSESLDELVSTVAYRLGTDGEKSSINLLYDDDEGDRVLLTSDSDLTAAIQHAKSAGWKVLRLHMDDSQVMTESTVPRANTSTSQRARTSLRFGIVACAVALAGVAVIVYLKRSQL >Sspon.07G0000950-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7B:11031045:11031548:-1 gene:Sspon.07G0000950-2B transcript:Sspon.07G0000950-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSTRAAHKAFLLCNYTLLGAASACIFLTLSLRLAPSACGLLLVFLHALTAVFAAAGCSGSFTEGGAGAGRAHAAHTAGAVLTAIFQGAAALLAFTRTADFLAELRSYVREEDGEVILKLIGGLGTAIFVLEWAALALAFALRLDDDGSEEADGEHCKSWASGYHV >Sspon.04G0010430-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:31847813:31855353:1 gene:Sspon.04G0010430-1P transcript:Sspon.04G0010430-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDDRQHPAAVGTIVYMCLASPASWDLYHELHALDRFEQDYRRKLEEEKRSVTFERGDTVQIIRQELKSQRKHVHNLKKKSLWSKSLDGVMEKLVDIVQFLHVEIRDTFGPCDGESNESQESRQTLGSAGLSLHYANIISQIDNIVSRSSVPPQSTRDALYQGLPPNVKSALRTRLLTCTESEEVPITKIRSSMEKTLQWIVPVANNTARAHHGFGWVGEWANTGLGNLTR >Sspon.08G0028420-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8D:11430288:11430769:1 gene:Sspon.08G0028420-1D transcript:Sspon.08G0028420-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MELEPQFNKPYLAASLQDFWGRRWNLMVSAVLRPSVYNPVRAHLGVPRRRASHLPRVGPYARGDGILPHIPGANGAGGARGHRVVVATPLVVAFVVGTACWLFLPAIFGDGMDDLYLAEAAALVSSFRDVGARFLGLGSL >Sspon.05G0017560-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:69627074:69634481:1 gene:Sspon.05G0017560-3D transcript:Sspon.05G0017560-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPASAAAGRGGGMSSDNAKGLVLAVSSSAFIGASFIVKKMGLRRAADSGVRAGEWGLCSPRPSVDARSGVWISSQAGEIANFAAYAFAPAILVTPLGALSIIISAALAHAILQEKLHTFGILGCVLCVVGSITIVLHAPQERDIDSVKEVWDLATEPAFLCYAAIVVAAALVLIYFVVPHHGQTNIMVYIGVCSLLGSLTVMSVRALGIALKLTFSGTNQLFYPQTWAFALIVATCVSTQINYLNKDWDHQNPTQIVTEMCGFMTILSGTFLLHKTKDMTDSPGQCLSTRRPKHASQSAFAIEVMPLKFQDSIDDETLTVSLPKVENGYLKEEHLLRYKDSTFMGQGDIWSGKLVKKLFDRSRDYKVSMWSKERFGEDGGIGEAKS >Sspon.03G0013920-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:40979704:40990518:-1 gene:Sspon.03G0013920-1A transcript:Sspon.03G0013920-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTDQFDVYFHLWRDGGENWELERKKWEKEEEDSWSDALSRKNKRKVSSKKVSFNKKLIQDSPIRKSAPMELSSVIKIGDFFCPLMTDSSKVFGSASARAMTKRSPDHLVTQTHIADSNDPGALDVLNSPGAFTSPKSAVGRTLSSENRQSDVSVQKVFQKLKNDLHINHNYGSAANLQPPMWPSVGSQFQGDRFNFSPNPTTRLCFNCLSPAHLVKDCESETRCIYCFNYGHRARCCAKRRSDLRRKWAIKPHTHLSDEAQDENGKLTSILTPSDDLMDQVPAVMVHAASSSVATVTLGVHREVNINKQAVEENNLVEQAVIQHNKDPTIGRPTLSALNNRVTTTNQDEHVRGEAIFSLLAAGLRQILHGQITLPFTGSLGSILVPSSLIQIDLISLGIDSLNISVNCNVPTTSMVMIQIPEVKKASTILPAQHADLLTKKAPITQVYYRRKFKKTFTKQLITRKTTITKVYYRRKSKGRTREAVLQSVHDDNVFADFRVSYMPFHLQPTINEKRCSKCVSRRRKSNAPISTQMLRRSLRLKDKFDGHKPEIASSSKRPVTRNKRKGKNVAPQPDLLNNILLSPVTRPQRRMVPKFAFTALVLLMAVGGELGHAVPLRRGLSVGWMNGMKGGAPGGMQPSDTKLLAGVGKEANVYKRADEAKFISTVPAFEEEKPRGRKEQRKRGGHQLLHLVEELNYHPLNLLGLVDSPSSVMDAPLVRRQNLCPKLFMAY >Sspon.08G0001090-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:4127961:4128598:-1 gene:Sspon.08G0001090-1A transcript:Sspon.08G0001090-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMQVLAVASLLLLLWPGRRAGAAEYVVGDVSYGWESGSGINYAAWAREYAFAVGDVLVFQYVSSQHDVYEVTEEVYRSCDTAAAGGNGVRAKYTSGYDRVMLAEARGYWFIFDFPGHCLGGMRVAVNVSAGAAAGGGSPTVNPTPDGSAASTTTGGRPGWAAAGCLALGVLALMNTRPFCYYR >Sspon.02G0006550-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:19810148:19819448:1 gene:Sspon.02G0006550-1A transcript:Sspon.02G0006550-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKWGLSSGTPADSYYEVRSDCTDGVPKSKFKIKAGKTLSARKWQAAFSPDGCLDIASVLSRIQRGGVHPTVRGEVWEFLLGCFDPRSTFDEREEIRQIRRLQYARWKEDCRQMDSHVGSGKVITAPLITEDGRPIKDPLVLLEATSDKNTSEGAPTTSINGTEIDESAERITDKQIIEWKLTLHQIGLDVLRTDRTMVFYENKENLSKLWDILAVYAWIDKDVGYCQGMSDLCSPMIVLLNDEADAFWCFEKLMRRLRGNFKCTDQSVGVANQLQHLASIIQVLDPKLHDHLETLGGGDYLFAFRMFMVLFRREVSFGDSLYLWEMMWALEYDPDIFFAACEEQGAVHKNKVSKSKLKGLRHFGKWDNNKDKDKDKDKDKDNAKNGAEDGEDGPVPISVFMVASVLKEKREKLLQEARGLDDLIRILNDWGLSSGTPADSYYEVRSDCTDGVPKSKFKIKAGKTLSARKWQAAFSPDGCLDIASVLSRIQRGGVHPTVRGEVWEFLLGCFDPRSTFDEREEIRQIRRLQYARWKEDCRQMDSHVGSGKVITAPLITEDGRPIKDPLVLLEATSDKNTSEGAPTTSINGTEIDESAERITDKQIIEWKLTLHQIGLDVLRTDRTMVFYENKENLSKLWDILAVYAWIDKDVGYCQGMSDLCSPMIVLLNDEADAFWCFEKLMRRLRGNFKCTDQSVGVANQLQHLASIIQVLDPKLHDHLETLGGGDYLFAFRMFMVLFRREVSFGDSLYLWEMMWALEYDPDIFFAACEEQGAVHKNKVSKSKLKGLRHFGKWDNNKDKDKDKDKDNAKNGAEDGEDGPVPISVFMVASVLKEKREKLLQEARGLDDLIRILNDVNGNLDAKKACAGALKLHKKYLKK >Sspon.06G0020990-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:12552373:12554841:1 gene:Sspon.06G0020990-3D transcript:Sspon.06G0020990-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEVVAMAGQAYMRQLQAHPLRTKAITSGVLAGCSDAVAQKISGASKLQLRRLLLIALYGFAYAGPFGHFLHKLMDRFFKGKKGKETTAKKVLVEQLTASPWNNMMFMMYFGLVVEGRPFGQVKNKVKKDYASVQLTAWRFWPIVSWINYEYMPLQLRVLFHSFVASCWAVFLNLKAARSIGNTKKA >Sspon.03G0023810-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:96200854:96203360:-1 gene:Sspon.03G0023810-2B transcript:Sspon.03G0023810-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVLAKGELEQIALPAAEPPPADVRSVDLSAPSGPAREAAARALVAACEEHGFFRVTGHGVPAELVRAAEAAAVGFFARPQGEKDAEAPTLGYGSKRIGGNGDLGWVEYLLLGVTPAGAAVPAAASASSSTLPDLLDEYTVAVRRMACAVLELMAEGLGIAAGAGDGGALTRLVTRADSDCMLRVNHYPPRPPALSPCSLTGFGEHTDPQIISVLRANGTSGLEIALPRDGAWASVPPDGDAFFVNVGDTLQVLTNGRFRSVRHRVVVNSEKSRVSMVFFGGPPPGERLAPLPQLLGDGGRSRYRDFTWSEFKNSGCRTRLAEDRLSRFENK >Sspon.08G0009820-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:36953045:36961635:1 gene:Sspon.08G0009820-2B transcript:Sspon.08G0009820-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAADQLKELGEKLQAVAPAPPDELAKLLEKAVECLHGIEQSPGSSVMEAIQPSLKAVTREELLKHEDDNVKVLLATCFCEITRITAPDAPYNDDILRDIFYLIVGTFGGLSDVNSQSFGRRVAILETVARYRACIVMLDLECDDLITNMFQTFLGVVSDSHEENIVKSMQTIMILIIDESEDVQGSLLRVLLSALGQKKTGAAMSARKLARSVIEHSATKLEPYIKKFLTSSWAGNGSSSNDQIDHQGIVFDLYQCAPKVLKVIVPYITGELLADEVDNRSKSVELLGEIFSIPGAPIVECFKTLFAEFLKRLTDRVVEIRISVVEYLKRCLISNPSRAEAPEIIKALCDRLLDYEENVRKGVVAALCDVATHSPDAIPVDTIKVVAERVRDKSLAVKCYTMERLADIYKLYCQRGSDSSTNSDDFEWIPGKILRCLYDKDFRPESIDSILCGSLFPPEFPMKGRVKHWVTAATYFDKVEMKALEQILLQKQRLQQEMLKYISLRQLSQEDAPDLQKRISGCFRSISRLFSDSAKCEENLNMLHQLKDADIWNIFTSLLNCSTTFEKAWSLRAELLKILGEKHVLYNFVGTLTMRCSYLLVNKEYAKEILSEASEKKASGNTKLISSCMNLLTAISSFFPSLLSGLEEDIVELLKEDNEVLKEGIAHVLSKAGGNIREQLASTSSLDLLLERLCLEGTRRQAKYSVHALAAITKDDGLMSLSVLYKRLVDLLEEKKVNI >Sspon.04G0017870-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:68463430:68464905:-1 gene:Sspon.04G0017870-2B transcript:Sspon.04G0017870-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLRAPADEQRQEEALHGVAASGNESSNKKARAGLCGVLRERKVVDLARAKRRLVEVPYTATLAHTANALLAARVSGVAVAAPPGHWIGAGGSMILESDPATGAVRKHYIGMVNMLDILAHIAEASDDAEADGEAVDLDRRIAVPVSSVIGHSIEGLTLWTLHPTTSVLDCMETFSKGVHRALVPLESSADNVVAVELVESAPGYRMLTQMDVVRFLGTHGAELKGMLSRTVRELGAVNDTVFAVAGGTKVIDAIRAMRAASLTAVPVVDAAAAEGTETLLYGNGQRAIETFSATDLRDCPVARLQPWLGISVTEFKRKVAEYRASNRPVVPGADATDTSTPADTPAAAAVATDDEQSEHQQESALVTCSPDSTLGEAIEAAASRHVHRLWVVDEEGLLRGVVSLTDILRAVRDAALGEDRELHSIV >Sspon.06G0001310-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6A:4262558:4262893:-1 gene:Sspon.06G0001310-1A transcript:Sspon.06G0001310-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRVYQGKVSEILDEQVIQEGEEEGKQVAEIAAMCLRTKGEDRPSMRNVEMRLQGLQCRPEIEISLAEEGQLNELNGLTIRGGNNGNAGDNYCSRHYSIEEEILLSASLER >Sspon.01G0024810-3D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1D:86507180:86508149:1 gene:Sspon.01G0024810-3D transcript:Sspon.01G0024810-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein CDC73 homolog [Source:Projected from Arabidopsis thaliana (AT3G22590) UniProtKB/Swiss-Prot;Acc:Q9LJ87] MDPLSVLRDYAARNELDKIIFSGDEIHFGSDYTFPASTPTAFASKQSGRPYPLSAAVFLAQHHDLKHTDFIQAARLRRIPPVSLPDRKTFLDFLRFGHNSLPSADPLLPSAFQPQEPHLHPPSPPPEDPAAAEEATTGKQIRALERPFKDRNAILDARGRDFLAVFQAAVRRQDEQRKAGGKDAAPSSRPDSGSAAAALAKPKVLDRALGDGVVPIILVPSASQTLITIYNVKEFLEDGVFVPSEERMRATKGGKPESVTVQKKLIRTERAGGAGGAVSFEVRDKPASLKSDDWGRVVAVFVLGKEWQFKDWPFKDHVEIFNR >Sspon.07G0025570-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:43734705:43739818:-1 gene:Sspon.07G0025570-1B transcript:Sspon.07G0025570-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MWPIIRGGCSWPASVVSATAALLLAAVIVRLFIPRVWSSSGIRRQVTASATAGGARLPAGSLGWPLLGETLAFIRAAYSPRPESFVEKRRLLIDDCGVWTTIIVRYGKVFKSHLWGSPAVVSSDPEVSRAVLQADASAFVPWYPRSLMELMGQSSILVLGGGLQRRVHGLAGAFFKSPQLKAQVTADMQRRVGRAMDAWRSDGGGGAPPMRVQDEAKSIVFEILVRALIGLEEGDEMQYLRQQFQEFIAGLISLPVKLPGSQLYRSLRAKKRMTKLIKMIIQEKRKKMIAEGENMRGTNPRDMIDVLLGNDNDELTDELISDNMIDFMIPAEDSVPVLITLAVKYLSECPLALQQLEEENMELKRQKSDAGETLEWTDYMSLTFTQHVITETLRMGNIINGIMRKVVRDVEVRGHLIPKGWRVLVYFRAVHLDAAVHDDPHAFNPWRWKERADLVMSSSGFTPFGGGQRLCPGLDLARLEASIFLHHLVTSF >Sspon.02G0009810-4D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2D:22113187:22113903:-1 gene:Sspon.02G0009810-4D transcript:Sspon.02G0009810-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MCPIKKEMSTESSGSAASSWPSASPSPSTSSEHQTVWTSPPKRPAGRTKFRETRHPVFRGVRRRGNAGRWVCEVRVPGRRGCRLWLGTFDTAEAAARAHDAAMLAIAGAGACLNFADSAWLLAVPVSYASLADVRRAVAEAVEDFLQRREGEAAAAGDANARSATSSSAPSTSGNEDDAATDGEESSPATDTEDSSFQLDVFNDMSWDLYYASMAQGMLMELPSAVPAFGDDGDANVAD >Sspon.02G0004740-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:14997418:14998281:1 gene:Sspon.02G0004740-1A transcript:Sspon.02G0004740-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLFAVVCTLLILLSAGDVDCRRLGDSDSDRQYKLFVFGDDCADTGNYPLADLSKTTRAWHYPYGSNDKDHGMSASGRFSNGLVLPDFIARILGQEESPPAERKREQDGVDPSGMNFAVGGAGVVEGTHEASKLGTQVDKFRRLVRHGIIDKDLTDSIALIAFSGKRDYARVNQMTSSEINAMAQVVTDKIADAVEQIFF >Sspon.02G0022920-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:77287199:77288780:-1 gene:Sspon.02G0022920-1A transcript:Sspon.02G0022920-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSCRPREVCVVGAGMSGLAAARELRREGHAVTVMEQSGDVGGQWLYDPRTDGNDPLGAKAPVKVHSSMYASARVISPRECMGFSDFQFVPRPGGVGGRDARRFPGHREVHCYLRDFCEAFGLADAIRLNTRVVRVAMQAPPPSRDAVISGGGGYSDVKWKVSSVHVGPGGGGGEEEEVAVEEVFDAVVVANGHYSQPRLPSIAGMDVWQRRQLHSHSYREPGSFRGEAVVVVGCGDSGMDIATELCGVAREVHLAARSVEAATSPPPMLSKILANHSGIHLHPQVDRLCEDGRTVAFADGCRVVADAVIYCTGYTYSFPFLDTAGLVTVRDNRVGPLYEHTFPPALAPSLSFVGVPMRVFAPWLFEAQARWVALVLSGKAALPPEEDMLRAVQEEYRAREIAGVPTYHTHDIPSLDRSEIWEFFYRHSDLPRMEDWKAELFWTGFMNAKDDRDTFRDREDDSENVREGVRRWRCVSRAQYEAALAAARGGF >Sspon.07G0024090-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7B:21959081:21959833:-1 gene:Sspon.07G0024090-1B transcript:Sspon.07G0024090-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRPFLSPHHRSAAAPAPTPIAGAAAMRPASSSSRSSAGGGGSHHHHNHGSGHNNVSVSPPACTTCRHAPSSATLDLLILLLVLFSLAFLLASSLSHVARSLSPLLATPPAVAALAHAAAALPYAAAAAVLAAAAFLSCRRLPRRRCRNPRCRGLRKALEFDVQLQTEEAVRAGAGSTVGGADAAMWREIEALPWKGGQGGNNPDYECLRAELRRMAPPNGRAVLLFRNRCGCPVAKLEGWGAPKSKRRNK >Sspon.05G0012450-4D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5D:40539324:40546248:1 gene:Sspon.05G0012450-4D transcript:Sspon.05G0012450-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRSPELRWLGSLTRPGRLAPSPLAALASPRRRRRAPSPSQPPSSPSPSDSSTPSTAPASAGKSPLRRSRCRSSGDGEMLSLRVCFSYLVGAGRWAFPAGVPGAEGLDGPEWKKVSAKRFGIKESMIPAEAWNVLHRLRSRGASPNSMALLVFGYDVYLVGGCVRDLIMKKTPKDFDIITTADLRQVKDTFSGSAVIVGRRFPICHVHENNSIVEVSSFYTSARGSSGSQIYNSKSQNCSKNDFVRWKNCQGRDFTINGLMFNPYSEKIYDYLGGIEDIKKAKGRILMEMNYMLAYGSAEASLRLLWRFGLLEHLLPFQAAYFSSTRFKRKDKGTNMLLVSFILVHYVIPQHIQLACYCYRISLLAFHEALARRPCDPLVVATFALAFYLGGNMSLAVDIGKSINRQHDTGFRELLEPKVWTDKHLAGEVRSFAALMKRALTEMTDECHVANAMAKIPQAPSSDLVFIPLQAYLKVLKFIESVQYGKKERGYEPKRDGMINYHNLSNGTHAEITNLFTLVVFDTLYPTDMEDEDGCSS >Sspon.01G0039230-3D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:29692307:29694432:-1 gene:Sspon.01G0039230-3D transcript:Sspon.01G0039230-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSQNFLQPTFGAAKIVDTRNSTRLGGFDRDGGPASVGDARTGSGESDTIEDLFGGTLAMAPPAAPSVEPDEPLVWRSRNPFCEQSLNQDLLLQPPALASCPQQGRKQAGSRESKGSLEAMGPGRRNWKRKPKYLEAIFALRRRHSVSTPPDDRLAATVDESATEEGVSSSRPRKPRPRRPNPRYVGRE >Sspon.06G0000250-3D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6D:14496889:14497490:1 gene:Sspon.06G0000250-3D transcript:Sspon.06G0000250-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DDHSDLSSILPTLPTSQDKAPAPAQAELSASSTSHCNVDLRTSDTGGAQQLHQTTRANSSSKRLARKALNRSNNLCTRSRSSRLCLCLVSLRAEKGAEGGESNKRSRKAPCSTAGGAGTVAAYPFAVVKPGGADGSVTLADINQWILTPPARPVRHPVGEFACAPRVSAGNRPAPSGKTVAGFTRLRTAGRGTITIVRTR >Sspon.07G0015870-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7A:56209774:56211640:-1 gene:Sspon.07G0015870-1A transcript:Sspon.07G0015870-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding CQRQGAGGFDHAFAVKQIIGKLNSQRMEGLAGNGVNTSNMEASYLNEFWKEFDEDSTPTYTLALVDEELDTCIAEGEQSATPKKRRSSKGKTPIVDDEVRRSSRFKKNIKVSHIQLNAEPRRKNGQSKKTEHFHCGRPEKGTNNSEQENPNTFIAAEEIGVDISDQLAFNRKALQDRFFPGVIAPRPPSVPAGITIDRTDLEGTKQDAVAADEPFVPNGLDIVPWSPIFGSIALQVLTQHLQLREEHEMDAVNPRATGHDVREGSDIPIDVTVNSEDDSSSSSDATSLLSEHRAVNRGCSGHLRPIPSFILRGIFAPGASSSSQGQQQMQAPTFFKPIVIDTSTLFANQEKVHISNGMEIVPWKPTGAALALQLFATSVEYQGIEDQDQQGSLQSTASTFDHGFELEAQASRPSPITRVYKRRPKPKNGNMGLQQSPTGSSPGNFEFQVTQAQPSPPDSASQKAKRGHSRKMLSLPAPGNSSTPLVESSVRRSTKLNNVKEGFRFRTVRLDGEPSKKRKKPAVVLIDEATRQARPIPLEILQSWGIDCGIAPVELSEDALLQAPASSSTVINE >Sspon.05G0003070-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:1318530:1325367:1 gene:Sspon.05G0003070-3C transcript:Sspon.05G0003070-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAESDHGNCDAWAARDPSGVLSPYKFNRRAVQSSDVSLKIIYCGVCYADVIWTQNKHNDSQYPLVPGHEIAGVVTEVGTDVKGFKAYCFKIPDGYPLAKQTSSMRWSHCLYSNGATQHEPTWKHGNCDDWAATDPSGVLSPYKFNRRMQSSDVSLKIIYCGVCYADVISTQNKHNNSNYPLVPGHKIAGVVTEVGADVKGFKVGDHVGVGTYVNSCRDCENCNSSLENYCPKTVFTFNRTDTDGIITKGGYSTHIVVHERYCFKILDGYPLAKAAPLLCAGITVYTPMVRHNMNQPGKSLGVIGLGGLGHMTVKFGKAFGLKVTVLSTSESKREEAISVLGADNFVISSDTQQMEVGGPCTKDIQEMVNFCAENKIYPEIEIIKMDYINEAPARLVNRDVKYRFVIDVENSFNKVVRSVDRHDKLQMAPI >Sspon.08G0007690-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:21801987:21803402:1 gene:Sspon.08G0007690-2B transcript:Sspon.08G0007690-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPTRRARSPAATPPSPYAIKGWLSRCRRSTRSRNSRRAAAGADDDPLAILPDDLLFGSIFSRVFSDAADIARCAAACRRWGRIVAARGATTICRSLPPPDRLLPHLALGFFHGGTDDGNPRRRRLAASSQPSPWFVPMASATRLIGSPFPGLLLGGSLFHHARPVASRNGLVVLELWSVGARRAEDLALGVCNPMTGDVALLPPLAGPGHDYACALLTADDLLDEHGPPRPRRPGLFFSLVLVYNRRGFTALRCYTTSSSSDGDGSWGSEAMKPGAKIKGHILRHLGQAVVLAGVAYWPMYLGALGVRLDGAGAAVVDVCMVPYSSPNALPGNRLLGTTPDGRLSFISVGVREDISIFSFRVETLDLRSIGDMSTAASRWEKTNKLIYLHQLKEVSTTTQIKLRWLCEKSGTLFFTVGEGACTSGAFALNLATMSFEKLADGAECNSWTSFCGYEMDHTALIASITARFT >Sspon.01G0045920-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:95361266:95361649:1 gene:Sspon.01G0045920-1P transcript:Sspon.01G0045920-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SNGVQAAYFPVPRPDHPLHCPGPGAWLHDVLSYSAAAAVWRWRRVVPDQRAEAGGVCQRAEPTEAQHPPRRTTSAARCWRASSTWTPPSASAPPSRPTSWASTSTCPSTSVSSSTTAARSALRTSPAP >Sspon.03G0027530-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:6407167:6410338:1 gene:Sspon.03G0027530-1P transcript:Sspon.03G0027530-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGGGAPWAERARVVGTQIRNRFRVAPVDHRSLWLRADGRVATEAVRRWSDRVRDLLQRDRVADRSSTSTETSPEAAAKPSSSALRFYRKRVGKEVNGIEDSVIFRSLQALAVPLIGNACYVFMHGLNSVQVYGAEKLYQALQGRPKGKALLTVSNQVAAMDDPFVIASLLPPSVMLEAQKLRWTLSVKVLPVSRGEGIYQKGMDMALSKLNSGGWVHIFPEGSRSRDGGKTIAPAKRGVGRLVMDADRLPVVIPFVHTGMQDIMPVGKRIPRAGKRVIVVVGDPINFDDLLIDNCDDTQHISRGILYDKATERIGQRLQELKVEVDRLAAERQSELQNHNVSDDGYRLWQQVDWEGFGIGSSMLSSEPSAVQVPSKEAEPEPHLEVERSASPAPSASTSYNVAAPHWFQRHVDPSELMGFAARGLIKNGKFLEEGYRELQQSATTLNTWWISRANNAAHRWSTA >Sspon.03G0036880-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:472727:474861:-1 gene:Sspon.03G0036880-3D transcript:Sspon.03G0036880-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVLCSACEAAEARLLCCADEAALCARCDRDVHAANRLAGKHHRLPLLPPADVSAPNCDICQEAHAYFFCVEDRALLCRACDVAVHTANAFVSAHRRFLLTGVQVGLQPDAAAAAQDPDPHPPTAAAAADPLQTPPPPDRKASAGGGSPAPLYSDDDIDWAAGADAAGVGVSVAVGLPDWALVHEQFGAPPVPRPTEPALARTPASKRSPRRSLAAAFTVQGGGGLAAGLPDWPLDEFFGFSEYSAGLGFAENGTSKADSGKLGSTDGSPAGRSSSDASRDFFGEVPEFHQWSVPELPSPPTASGLHWQGSPRHGATTNTDTDTAAVFVPDISSTENPFRCYATAAGQPPAKRRRRC >Sspon.05G0014860-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:49210850:49219908:-1 gene:Sspon.05G0014860-3C transcript:Sspon.05G0014860-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein transport protein Sec24-like At3g07100 [Source:Projected from Arabidopsis thaliana (AT3G07100) UniProtKB/Swiss-Prot;Acc:Q9SFU0] MWRNFCTYTCTFDAMQPPMGNERPPPPGRPVSAFVPGAAVPPPPFAAGGPFARPPQSGATAPPFGAAPPAAMGGFRGPPPSQGPFGAGPPPQGPFTTSAPPSQGPFASAPPSQGPFASAPPSQGPFATAPPSQSPFTSPPPSQVPFAAGPPPTGPFAATPAPFRPPPSSLAQPQSPTGGALPPPPTYARPPQTQGYYTGAPPANPQFPMSRPAFQQPVQTMPPPPMGPASTFGNQAAYAGPPVGGTLQSLVEDFQSLALSSAPGSLDPGVDVKGLPRPLDGDEEPVKLMEAYPLNCHPRYFRLTTHAIPASQSLVSRWHLPLGAVVHPLAESPDGEEVPVINFGSAGVIRCRRCRTYINPYATFADSGRKWRCNLCTLLNDVPGEYFCALDASGRRYDTDQRPELSKGTVEFVAPTEYMVRPPMPPSYFFLIDVSVSAVRSGLLESSLSQPQMMVVADLDDVFLPLPDDLLVNLVDSRHVVESFLDSLPNMFHDNVNVESALGPALKAAFMVMSQIGGKLLVFQSTLPSLGIGRLRLRGDDVRAYGTDKEHTLRVPEDPFYKQMAAEFTKNQIAVDIFSFSEKYSDIASLGSLAKYTGGQVYHYPSFQAPTHGDKLKLELNRDLTRETAWESVMRIRCGKGVRFTTYHGHFMLRSTDLLALPAVDSDKAFAMQLSLEETLMTTQTVYFQVALLYTSSSGERRIRVHTAAAPVVTDLSEMYRQADTGAIVSLLGRIAVENSLSDKLDSVRQQLQLKLVRSLKEYRNLYVVQHRIGGRLIFPESLRFLPLYILAICKSLALRGGYADVSLDERCAAGFSMMILPVNRLLNFIYPSLYRVDEVLTMEPNKIDASLKRLPLTFQCLDTGGLYLLDDGFTFLVWLGRMLPPELVNNILGLSLANFPDLSKIQVRECDNELSRNFMKILRTLREKDPSYHQLCRVVRQGEQPREGYLLLSNLVEDQMAGTSSYNSEEK >Sspon.05G0005400-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:11542366:11543247:1 gene:Sspon.05G0005400-2B transcript:Sspon.05G0005400-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDSLKSSKSPAVINYGASWCRVCSQILPSFCKFSDKFKNLTFIYADIDECPETTQNIRYTPTFHFYRDGERVDEMLGTGEERLHDRL >Sspon.02G0017320-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:49759152:49765080:1 gene:Sspon.02G0017320-1A transcript:Sspon.02G0017320-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASASGEEKAAGRVALHRLFAFADRTDAALMAVGAVAAVANGMAQPLMTFILGDVIDAFGSGTADGVVHRVVQVSCWTITGERQAARIRALYLKAILRQDIAFFDMEMSAGQAVERMAGDTFLIQDAIGEKVGKSIQLLSTFIGGFIIAFTRGWLLALVMLSTVPPIIIAGAIVSKLMTGLSTRMQADYSHAGNVVEQTLGAIRTVVSFNGENQAITKYNTFIRKAYQSSLQEGVVNGLGFGLIMAILFSSYGLAVWYGSKLIVERGYNGGMVISVIMAIIMGAMSLGQTTPSVTAFAEGQGAAYRMFKIFERKPDIDIDDTTGIILEDINGDVELKDVYFSYPTRPEHLIFDGFSLQVPSGTTMALVGDSGSGKSTVISLVERFYDPQAGEVLIDGVDIRRMKLGWMRGTIGLVSQEPVLFSTTIRENIAYGTEKLTLEETKRAIELANAAIFIDKLPNGLDTMVGEHGTQLSGGQKQRIAIARAIIKNPKILLLDEATSALDMESERVVQEALNRIMVERTTIVVAHRLSTVKNADVISVLQHGKMVEQGSHVDLMKIPGGAYSQLIHLHETQQEAENVHNDMKISNSFGSRSIDSKPRSQSISRRSTSIVSSFGHSIPAPFGSPDPMGISDAPDIEEATDKVTSSQKKASIGRLFHLNKPETFVLALGSITAAMHGIMFPIYGILISTAIKVFYEPPEELLKDSRFWASMFVVLGACTFVLIPIEYFLFGLAGGKLVERIRSMTFRSIMRQEINWFDKPEHSSGSICARLSTNALNVKRLVGDNLALNVQTASTIISGFTIAMVANWKLALTTTVVIPFVAFQTYAQIVFLKGLNRNAKLRYEEASQVATDAVGGIRTVASFSAENKVMDAYEKKCGSPRRQGIKEGVVGGLGFGFSFLAFYLTYALCFYVGAKFVQQGTATFPEVFRVFFVLALATGGVSRTSAVGADSAKANDSAISIFEILDHKSKIDYSSEEGVTITSVRGDIDFQKVCFKYPLRPNVQIFKDLSLRIPSGKTVALVGESGSGKSTVIALLERFYDPESGKIFLDDVELQTLKVSWLRQQVGLVAQEPVLFNDTIRANIAYGKQGEVSEEEVIAAAEAANAHTFIATLPDGYNTIVGERGSQLSGGQKQRVAIARAIIKDPKVLLLDEATSALDAESERVVQEALNQVMVGRTTVVVAHRLSTIRGADIIAVLKNGAVLEKGRHEELMHVKDGTYASLVELSSSSA >Sspon.01G0026740-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:92352176:92357777:-1 gene:Sspon.01G0026740-3C transcript:Sspon.01G0026740-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SET domain group 37 [Source:Projected from Arabidopsis thaliana (AT2G17900) TAIR;Acc:AT2G17900] MASWLEQLQRELAGRGLAVASIPGKGRGLIATRTFFPGDVILNQEPYASTPNKILVGSSCDHCFTSGKLRKCSMCQVTWYCSSDCQKEGWKLHQLECRAMAALTEDRKKMLTPTIRLMVRLVLKRKLQNEKVIPSSSIDNYNLVDALESHISKVDENQLVLYAQMDNLVSLILPLIELDLKEIAHTFSKFSCNAHTICDPELRPLGTGLYPVISIINHSCVPNAVLIFDGRTAYVRALQPIGKDEEVSISYIETAAVTKKRHNDLKQYFFTCTCPRCVKGSEEDALLEGFRCKNQACDGFLLPDSGKKAYTCQKCSVSRDEEEIKKMRSEILQLSDKASSFLSSGNKTEAGSVYKIIEQLERNLYHAFSTTLLHTCETLLTIYMELQDWRTALAYCRLTIPVYERVYPPFHPMIGLQFYTCGKLEWLLECTEDALKSLTRAADILKVTHGTKSQFMKELFGKLEEARAEVSFRLSSRHGHDE >Sspon.05G0003770-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:14824354:14830032:-1 gene:Sspon.05G0003770-4D transcript:Sspon.05G0003770-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSEAAKVAVPESVLRKQKREEQWAAEKKEKALAEKKKSIESRKLIFTRAKQYAEEYDAQEKELVQLKREARLKGGFYVSPEAKLLFVVRIRGINAMHPKTRKILQLLRLRQIFNGVFLKVNKATINMLRRVEPYVAYGYPNLKSVRELIYKRGYGKLNKQRIPLSNNQVIEEGLGKHNIICIEDLVHEIMTVGPHFKEANNFLWPFKLKAPLGGLKKKRNHYVEGGDAGNRENYINELIKRMN >Sspon.01G0025730-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:81177954:81182275:-1 gene:Sspon.01G0025730-2B transcript:Sspon.01G0025730-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDATVASRQRQQQPASPATTKDSRGRAMMAELDAPLHALGFEMEELSPSRLIGRLPVTRTCCQPFKVLHGGVSALVAEALASMGAHMASGYSRIAGVQLSINHFRSAALGDTVLAQAVPVHVGRSTQVWEVKLWKMDPSTGEKGPQIAESRVTLLSNLPLPEEHKRAGDALKKYASKL >Sspon.03G0015500-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:73101288:73102495:1 gene:Sspon.03G0015500-2B transcript:Sspon.03G0015500-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MANLFLKQAKQYVATRPVYPPELFDFIASKTPRRDTAWDVGTGNGQAAASLATLYKAVVGTDTSAQQLAYATRLPNTRYVHTPPDLPLEGIHATVAAPASVDLITVAQAFHWLDLPRFYAQARSVLRPDHGVLAAWCYTEPRIDSAVDAVFWRLYHGSEKYWALNRRMVDDEYRSADFPFDPVEGETHTGPFEFSTQRRMDLDDYLMYITSWSAYQTAKDKGVELLDEATVQEFTAAWGGDVKEVKTVTYPIFLRIGKVRSERDDAPKRNGYFGRADND >Sspon.07G0020910-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7A:78382498:78385978:1 gene:Sspon.07G0020910-1A transcript:Sspon.07G0020910-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEIRAEFQSSGFSIGGAGPEDAAQILSTLLTYCINYKMSPADLVSNWEVYYLNRQLDGLKLESSYLDGFLSHLQNEVKDRIIKEEANLHIYSSNDADILITWKIGYENQQACFLHLGFVENLQMLPLRQSVQHSTGQRVRLDLKDLDHFSLFPGQVVGIEGHNPSGHCFVASKLIDSIPVSVDAQLPIAKKQAIDNESNQNSDAGTLSRALSSVIAAGPYTTTDNLLFEPLQELLSYACRKQPQLLILMGPFIDSDHPDIKKGTVDQSFHDIFHFEILRKIQDFTQYLGNTVRVILIPSVRDAHHDFVFPQPAFDLNCQKISHIRFTYIMQITCLANPCLFSS >Sspon.08G0026410-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:22151603:22152082:1 gene:Sspon.08G0026410-1C transcript:Sspon.08G0026410-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIMSLKRLLLRSNQLTGPIPRLTINLTLLDLSHNSLSGPLPSNFGAPSLRWVHLSFNSFSGHIPANNSLSGTFPSFLQGCTQLGLLDLSGNNFTYLDRGLDGIAISQAKQ >Sspon.01G0032180-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:109284580:109287569:-1 gene:Sspon.01G0032180-1A transcript:Sspon.01G0032180-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSAAASAVPPEDDVCSVCHDRFRIPCQANCSHWFCGKSLLLLFFLSLPGPAVPLFSLGRFGCSFLYLDPTAWREIEPVGRCGLLRRIVSYSKEGFELSRIRGFAKLLRFASRVLELALIRSKLQDSMQNEGECIIRVWHHGPAVQACKCPICRRLINLLVPAALSEQEDDPQAHRILGEIQHYNCIFGGAPRSLTQVGTLSMKSGVFTILSRIKSTLEIEVARPTLLHPKTVQRTNGSPADSPTCVQGADDDDGVLGLFGFVDDLLILLIVFLHLAAVYRSLLLYRHGGQ >Sspon.07G0010880-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7A:36311611:36313990:-1 gene:Sspon.07G0010880-1A transcript:Sspon.07G0010880-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding TPPPPARENGGGSVRFTHQPADKVVFRSQPIPGGQPPRAGSRVARAASRVLSRDKRYDSFKTFSGKLERQLTHLAGAPEVHEEEEEDEVVAITSRTTSMPKVERFFAALEGPELDKLKSSEELVLPADKTWPFLLRFPVSAFGMCLGVSSQAILWKTIASSSPTMFLHVTHKVNLVLWCISVVLMSAITTVYALKIAFFFEAVRREYYHPIRVNFFFAPWIACLFLAIGVPQSVATEPLPQWLWYALMAPVLILELKIYGQWMSGGQRRLSKVANPSNHLSVVGNFVGALLGANMGLREGPVFFFSVGLAHYSVLFVTLYQRLPTNETLPKELHPVFFLFVAAPSVASMALAKITGEFGYGPRVAYFIAMFLYASLAVRINFFWGFRFSLAWWAYTFPMTGAAIASIRYSTEVDNAFTKALCVALSAVAVLTVTALFVTTLVHALVLRKLFPNDICIAITDHKMKPIVELHESQGEDGSTNSNDIEAGAGGKQ >Sspon.07G0012290-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:59717402:59719469:1 gene:Sspon.07G0012290-2B transcript:Sspon.07G0012290-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFPPAFLDSSSWNDNQHHQQQQQAHHQHQVAAGGGGGGGDNNHELLQQPIMGGALPDGGGGGGGGGGGGQVGGPAKPMSMAERARLARIPLPEPGLKCPRCDSTNTKFCYFNNYSLSQPRHFCRACRRYWTRGGALRNVPVGGGYRRHAKRAKPKQQQQQAAAGGAASAGTGTANAALHQAPAGSTASSAAACTATTTNALPGGPGGGMLGGGLSMLPPLLRLADFDAMSLGSTFSGISSMGKPGSIDAYSNSVGGGGIPAGLEQWRVQQMQSFPFLHAMDQGPLGPPLAMAMAAPGMFQLGLDTSDNGRHGRGGNGGGEDGSSGGELHVMQAATKRESYPAPRGVYGDHHHHLAAAGGYTSYYSTNAATGNHLL >Sspon.01G0018000-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:63737596:63741649:-1 gene:Sspon.01G0018000-3D transcript:Sspon.01G0018000-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSEKTATAYGEYTYAELEREPYWPSEKLRISITGAGGFIGSHIARRLKSEGHYIIASDWKKNEHMTEDMFCHEFHLVDLRVMDNCLKVTQGVDHVFNLAADMGGMGFIQSNHSVIMYNNTMISFNMLEAARINGVKRFFYASSACIYPEFKQLDTNVSLKESDAWPAEPQDAYGLEKLATEELCKHYTKDFGIECRVGRFHNIYGPFGTWKGGREKAPAAFCRKAQTSTERFEMWGDGLQTRSFTFIDECVEGVLRLTKSDFREPVNIGSDEMVSMNEMAEIVLSFEDRKLPIHHIPGPEGVRGRNSDNTLIKEKLGWAPTMKLKDGLRFTYFWIKEQIEKEKTLGVDIAAYGSSKVVSTQAPVQLGSLRAADGKEGL >Sspon.05G0033410-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5C:31982364:31982810:-1 gene:Sspon.05G0033410-1C transcript:Sspon.05G0033410-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKYNFRAKSQGGVSLNLTWTSSSRRGPKESRGSGDVRSEDALCTLREVFPGVHRRVGFNVELKFDDDLVYTEERLTYLLQAVLKARGSGNAKEPQAAFL >Sspon.06G0008490-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:44814684:44819567:1 gene:Sspon.06G0008490-1A transcript:Sspon.06G0008490-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPAPAGAASAAASPSPFAELVKGPSGLEKIVLRGARNCCAEAIFKPPKAIRGGIPVCFPQFGTHGNLEQHGFARNRFWTIDNNPPPLPVNPAIKAFVDLILKPSEEDLKIWPHSFEFRLRVALGPSGDLSLTSRIRNTNTDGRPFSYTFAYHTYFSVSDISEVRVEGLETMDYLDNLKAKERFTEQGDAIVFESEVDKVYLAAPSKIAIIDHEKKRTFVVTKEGLPDAVVWNPWDKKAKAMQDFGDAEYKSMLCVEPAAVERPITLKPGEEWKGRLVLSAVPSSYCSGQLDPLKVLQG >Sspon.07G0013190-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:55129591:55130590:1 gene:Sspon.07G0013190-3D transcript:Sspon.07G0013190-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MYTEWCGPCKVMAPKFQEMSEKNLDVVFLKLDCNQDNKPLAKELGIKVVPTFKILKGGKVVKEVTGAKIEELAHAIDTVKSG >Sspon.01G0029350-3D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:97528811:97529795:-1 gene:Sspon.01G0029350-3D transcript:Sspon.01G0029350-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAIQSPAAPPARPPLRRSTTAAASPLRGPSQPQPALPAPPSIASRRTALVALVLAAAAPARPAAAAFSFGIRTTDSLASLTNSYRLSLVFSLSPIRLFLFVLARAAGPKELLREQKKKSASYLLAPIAASRDTLVKSQALLGNSMSSEAFNLPNLDAEEVRGRIGAAGRDCVPRQRNSIVAFQSRTGVEVCTFSLILKNAASLLANKDPLKVEADTRLGELIQSFSDLGTLADNSSFELADDR >Sspon.02G0023650-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:79947617:79948678:1 gene:Sspon.02G0023650-1T transcript:Sspon.02G0023650-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLMSLTTIRLLVAAALVTTALLGPPMASGQQPAASCTASLLTSFTPCFSFLTSNSSNGSPPTRECCRSLAALVNASTGCACLVLTGAVPLPALGVPVNRTLAVSLPKACDSLSVPLQCRDTSSAQSPAPGPVADTPSTPASTPATPEAPAPPTADPTATAPVSQGQTRPMVLPSSARRTTSAHVAAAPALALLLAVAAALV >Sspon.04G0023000-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:14096026:14097583:-1 gene:Sspon.04G0023000-2C transcript:Sspon.04G0023000-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWTTVETGDGAKLSVRLFKPPASGEEPDPGDDVAVVLVHPYTILGGVQGLLRGMAEGVARRGYTAVTFDMRGAGRSTGRASLTGSTEVGDVVAVCRWVAENIKPRGILLVGSSAGAPIAGSAVDKVDEVIGYVSIGYPFGLMASVLFGRHHDAILKSEKPKLFIMGTKDGFTSVKQLQNKLKSAAGQVDTHLIEGAGHFQMEGPAFDAQMVEIIVKFINSLPK >Sspon.03G0026570-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:3186762:3187558:1 gene:Sspon.03G0026570-1B transcript:Sspon.03G0026570-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPVRVISHYASPFGHRVEAALRLKGVPYELIAEEDLSNKSELLLASNPVHKKVPVLLHGDLAVCESLVIVQYVDDAFDGPSLLPADPYDRAMARFWGEAQKAMVKEAKENLALLEAQLDGKRFFGGDTPGYVDISEVTGLTLVDAKEFPALCQWSNEYNSNESLKPCLPDRDRLVTYFTENIEMYKMIARTMLQQ >Sspon.01G0008490-3P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:24309669:24312435:1 gene:Sspon.01G0008490-3P transcript:Sspon.01G0008490-3P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding TMADQLTDDQIAEFKEAFSLFDKDGAGCITTKELGTVMRSLGQNPTEAELQDMINEVDADGNGTIDFPEFLNLMARKMKDTDSEEELKEAFRVFDKDQNGFISAAELRHVMTNLGEKLTDEEVDEMIREADVDGDGQINYEEFVKVMMAK >Sspon.08G0020490-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:22309793:22310593:1 gene:Sspon.08G0020490-1B transcript:Sspon.08G0020490-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMEPNMARRLWHVVRAVLLMLRKGMPKRKLAMDLHLLVHRGKIAGKALGNLMTAHGHNSHHDKVAAAAEAAAVPPQKFSCGRPLDPSLAVYDPRGTREVEFSCSNTPSYPSLHLIPTGKRRRRNNNNSRRGTHRGANGAEPGWYNYDAADIARVFEILNNNDQLLSGGGDDTSSFDQQPSPLALIATPFGRTPAHVRQLRITDSPFPLRDDVDGGQVDLDAEEFIKKFYEQLRTQQSLATATPDYYGGGYAPPVSGIA >Sspon.04G0012110-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:40568162:40568895:1 gene:Sspon.04G0012110-1T transcript:Sspon.04G0012110-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATHAFFLAAALLSLSCFHAIAPDPTLLQDFCVVDKMSTVRVNGFPCKDTKDVVAEDFFFQGLHMAGNTTNKQGSAVTPVNVAQIAGLNTLGVSLARIDYAPYGLNPPHTHPRATEILTVLEGSLYVGFVTSNPDNKLFTKVLNKGDVFVFPEGLIHFQFNYGTKCAMALAALSSQNPGVITVVNAVFGSKPSISDDVLAKAF >Sspon.04G0032580-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:28681456:28685279:-1 gene:Sspon.04G0032580-1C transcript:Sspon.04G0032580-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding TQSRQRAAQLERAAPFTLAVSQPRLSLSLSPGRPTRSRTQTVPPHPTLPSTPGLPVSRSRAPMADASPLLPPAPGKSPATAARANDELRSFRACLAWLCVDHSSSPRVAAVGSWAVFLLLAVAAPAAVRLLPPADSPPRPFDGQVQVSLTLAASLAYVSLRALLHRAGGLRRLLYLDSLRRDSEDVQAGYAAQLARSFRVLACFVLPCALAEAAYKAYWYYHAAAAAHGGGSRSRRWWWAAAACCAVEVASWVYRVALFSMVCVLFRVICYLQILRMVGFAREFGRFADVATVLQHHRRIREQLRKISHRYRKFIVCSLVLVSASQFAALLATTRPHAVVNLATAGELALCSINLVAGLLVCLYSAAKITHKTQALTSVAAAWHADATVHAFDNDQENPDPDLPPTSGYLAPANAYRVAAGDESASDDDDDSRSECSSLDDPKYVPFQANNISFQKRQALVTYLENNRAGITVYGFVVDRAWLHALFMIEFSLVMWLLGKTVGIS >Sspon.03G0037200-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:97143744:97145722:1 gene:Sspon.03G0037200-1B transcript:Sspon.03G0037200-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GAGCSLAWLCCWLASQCAAAVAREYAAVFSFGDSLSDTGNLCVDGIPDYLATARSPYGMTYFGYPTGRVSDGRVVIDFIAQELGLPLLPPSKAKNATFRRGANFAITGATALGMDFFEEHGLARAVWSSGSLHTQIGWFRDMKPSICSSPQGFHEFFSDGRPDILDALMACLTHDVCTYIPECRELFRRSLFVVGEFGGNDYGSTIFSFRPLEEVHALVPHVVGAIARGVEELIAEGAVDLVVPGLLPTGCFPMFLSTFSDKPAAAYGPRSGCVKELNTLSWVHNAALQRKVEELRARHPAVRIVYADYYTPAIQFILHAEEYGMLKQMPRACCGAAGVGEYNFNLTSKCGEPGAYACQDPPTTGAGTAPT >Sspon.05G0019580-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:78523140:78524847:-1 gene:Sspon.05G0019580-4D transcript:Sspon.05G0019580-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNERTNKQGCTPTPSHHNNGLPLKPERTVGVRPGRDPTASTASASLKAQASSSSSGSYQLQCVQCRPPPSPAVGSPCHVAARLRPPPEAGRPHHLTHPRTPPLLALASHASPRAQIGGGGMVSASSLLLPSSVRDLASCVSDGAVRVACTTPASTLTVSSTSTSSSPAPPSTLSVAVTYRATPQAPSSPPLLLRLTWSHSPHGAPPALSFAGPTASSPAVLLRRRKGTCCLPSSAHRPPLAIFWDLTAASYDGAASSSSPEPVSGFYVVAVAGAEVVLAVGDLAAEFVKAKFEGQIPRARSILPVSRADRVVVAAPGQTPGAAVHTARVRFAEGAPEHEVAVGCCRAASGAGRPGEEELWVSVDGKRAVHARRLRWNFRGNQTVFVDGAPVDVLWDLHGWWFRDPPGCAVVMLRSRSALESRLWLEEEAKAPGFALIVQAFKTPP >Sspon.02G0033310-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:6619103:6621795:1 gene:Sspon.02G0033310-2C transcript:Sspon.02G0033310-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DWAIVSAQYGPINDWPTERIHKSRALPETLTRSIPSPPSGQPPSRRSPPAPPSATASLPSAARMTEAPFLPRERLFKQQHYFQNLTKHTYLKGRYDVITSVAIPLALAASSMFMIGRGVYNMSHGIGKKE >Sspon.04G0004420-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:13880213:13895054:1 gene:Sspon.04G0004420-3D transcript:Sspon.04G0004420-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLLVLRAAPYRHSSQLCAATLLVLSPSQHRHFASFPSPPHFAARRILPSPLRVPIRAVESSPGPTKEEQEPSPAASEAQEQLAPAAPAFEVEELGWGTQLAVKLRMLVAPPWQRVRKGSVLNMKLRGEISDQLKTRFSSGLSLPQICENFVKAAYDPRISGIYLHIEPLRCGWAKVDEIRRHIVDFKKSGKFVVGYMPVCGEKEYYLACACGELYAPPSAYVALFGLTVGQTFLRGVLEKVGIEPEIQRIGKYKSAGDQLARKSMSNEVREMLATLLDNIYGNWLETISSTLGKKKEEIEGFINSGVYQVARLKEEGWITDLLYDDEVMTLLKERVGQKDKKSLRMVDYSKYSRVSKQTLGLQGGGEQIAIIRASGSITRTRSPLSAPGSGIIAEQLIEKIRTVRESEKYKAVILRIDSPGGDALASDLMWREIRLLANSKPVVASMSDVAASGGYYMAMAAPVIVAEKLTLTGSIGVVTGKFCLQKFYERIDFNKEILSKGRYAELNVADQRPLRPDEAELFEKSAQNAYALFRDKAAMSRSMSVDQMESVAQGRVWSGHDAFSRGLVDSVGGLSQALAIAKQKANIPKDKKIQLVEVSKPSPSLPEILSGIGGSLLGVDRAVKGVFQDMTALSGVQARMDGILFENLGDMSGENQLLLLVKDIMSYFD >Sspon.04G0011030-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:30599671:30602057:1 gene:Sspon.04G0011030-4D transcript:Sspon.04G0011030-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GMAEAEAHSIVIVGGGICGLATALALHRKGIASLVLEKSRSLRADGAGIGVHANGWRVLEQLGVAAELRDTAHLITRYHDVWQQGNKTSREKVPVRTELRCLNRKDLIEALAKDIPAGTIRFGCRIAAIDADPSSHGAVLTMADGTTMKAQVLIGCEGTYSVVAKYLGLSPVRTIPRPVLRGFTWYPHGHSFDKEFLRLRVGDFFIGRLTITDNLVHFFITMPKPPTDVSTKDLKKVKDVALKEMEEVQCPAEIIDIVRDSDPESLNFVTEFWYRPPWEVVFGNFQKGTVTVAGDAMHAMGPFIGQGGSAGLEDAVVLARSLARAVDDADGAGKDAPAPAREKMIGEAIGEYIRERRPRVALLSLESFIMGALLVRSPSPVTKLACVAVLILLGSKSLRHAHYDCGRL >Sspon.02G0000280-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:1382833:1383679:-1 gene:Sspon.02G0000280-1A transcript:Sspon.02G0000280-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding QVHLPQTPSTLYFGQPISEKLTKNNHAQWKAHIRVAVRGARLQGFLTGVTKAPFAEITVQGEDGKEV >Sspon.07G0006190-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:14170097:14171869:-1 gene:Sspon.07G0006190-2B transcript:Sspon.07G0006190-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCFPCFGGGKGNNDDADAESPGDAAPAFNMTPPAMASADESSLRLAITGQAFAFRELAAATDHFTPYNLVGEGGFFRVYKGRLEKSGQVRRELPHLPADKKPMDWCTRMKVAHGAAQGLEYLHETANPPVVYGEFKASHILLDDKSTPKLSDFGLAELGKAGGSMPVASPMMGSFGCCAPEYDRTGQATMKSDVYSFGVVLVQLISGRRAVDTSKPVDEQNVVTWAMPMFKDQKRYHELVDPLIKKEYPAKALNQVVAMAAMCLQEEDSVRPLMADVVMTLGFLTAMPPDPPAPAAPPAATAPEPTKKDKESDHSDSSSSSSDDEGNDEEEEEEEAEEQ >Sspon.05G0021790-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:66193318:66207067:1 gene:Sspon.05G0021790-1P transcript:Sspon.05G0021790-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding METRGAAAAGEVGWYVLGPDQQGVGPYALVELREHFANGYLNESTMLWAQGRKEWMPLSSIPELHSVVTAAKDQSGQAAAPDVDDDFAKFQQEVTEAEKEVKGSAEEDGDVDRQDDERPVTPPDGEEEFTDDDGTIYKWDRTLRAWVPQNDVSGKKDGYAVEEMTFALEEEVFQAPDIPGPSALEEINTPAETKNKESDKAEKRGEKKQKSSQKPAEKKWKRLWRYFPSVE >Sspon.01G0006180-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:16044484:16047104:1 gene:Sspon.01G0006180-1A transcript:Sspon.01G0006180-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RMAGGFRVLHLVRPFLAFLPEVQSADRKIPFREKVIYTVISLFIFLVCSQLPLYGIHSTTGADPFYWMRVILASNRGTVMELGITPIVTSGMVMQLLVGSKIIEVDNSVREDRALRNGAQKLLGILIAIGEAVAYVLSGMYGSVSQLGTGNAILIILQLFFAGIIVICLDELLQKGYGLGSGISLFIATNISENIIWKAFSPTTINSGRGAEFEGAVIALFHLLITRTDKVRALREAFYRQNLPNVTNLLATVLVFLIVIYFQGFRVVLPVRSKNARGQQGSYPIKLFYTSNMPIILHSALITNLYFISQLLYRKYSGNFLVNLLGKWKESEYSGHSVPVGGLAYYVTAPSSLADVLANPFHALFYVVFMLSACALFSKTWIEVSGSSAKDVAKQLQEQQMVMPGHRESNLQKELNRYIPTAAAFGGVCIGALTVLADFMGAIGSGTGILLAVTIIYQYFETFEKERATELGFFGF >Sspon.01G0026280-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:91003687:91009613:1 gene:Sspon.01G0026280-3D transcript:Sspon.01G0026280-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETVGFTSGDAAAWRAALAAYDRRLAALDKPDLVAVDSFYRHDLPALLRCRDPDPFLAKPELVRLLQWKLSRGKWRPRLMDFVKGLDDAVVESASRKAFAALPDLRTAITELTVLKGVGPATASAVLAAYAPDVAPFMSDEAMLAALGNAKEYTLKQYLAFANKLQAKAKLHREPHDFYPPARVLSDLKQSLPLNFRGLLSKSHDNLLKTASKPCPTRPLTMIANVSTPGLSPPLSISFHNVMACLVSPSLQANRITTLYILRSGGHRHSRILSISSKHSSSCSFFSCTTKNPWYTAASTRRPSLDIASKNSYPWDIFLAKRANQGAVRDSIRRAPLPSHFHKHPHGLINSPPRAKPLNEGGVRHGVRRAATQLHLPRQLERVLEPPVRDEASEQGVEGDDVKGDIRSLHASEHVLGLVELALAAPSVEQGRVVAERKREPGLELLDKLLGGDDVAGLGEGLEEGKKERGLVVIGAGGEQ >Sspon.02G0059590-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:95858233:95859312:-1 gene:Sspon.02G0059590-1D transcript:Sspon.02G0059590-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQENQAHQFADGRQLVHLPLRKVGHEHAQHRCCRHLDGSTRRSSTCRQGWARSPPRESLAAQVDHGRARCLQIQRGNRWNQMSSSSSSRGAGGGVADWFYSPPHVCRQQQEEQLQRLKDLD >Sspon.08G0014110-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:55944964:55949977:1 gene:Sspon.08G0014110-3D transcript:Sspon.08G0014110-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGKVELKRIENKISRQVTFAKRRNGLLKKAYELSVLCDAEVALIIFSSRGRLFEFSTSSCMYKTLERYRSCNFASEASAPLEAELNNYQEYLKLKTRVEFLQTTQRNLLGEDLGPLNVKELEQLENQIEISLKHIRSSKNQQMLDQLFDLKRKEQQLQDANKDLRRKIQETSEENVLRLSCQDIACGGSSGHGDEANQERLQLALDPSLHIGEKGKK >Sspon.06G0000780-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6A:2859418:2861107:1 gene:Sspon.06G0000780-1A transcript:Sspon.06G0000780-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQRRPRELRAQPTLYARASCNHRGRESGTTREEGPAPHLDPVDPGLDVIHAVAKPPCHLADDTELALTWQHLDAKLGAVVIGVKPGAMDLGVKLLEFV >Sspon.04G0015920-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:17894199:17914129:-1 gene:Sspon.04G0015920-1P transcript:Sspon.04G0015920-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADDPLDSSPSASAAAAAAGGVSSAPAPALRPRREPFEYGLLPIPKLVFPEGTLTQTLSQLKERLAPGGPRVGAAALAEALQIPAEQAALALGTLAAVLPAEDPELGEDGAGNADLRDLLLFLYIQSYKRLVPRAHKDSPAVADVWPSTSAFDGYLSALSPIQVLNCHDSVIYILAPLKYATVYGCSDTTIILGAIGKVAPFNTYYPQLGEHLAQVGVDPNVNKWDQPFVLGVVDPHDSLSHPAGVSDVQAESATCLDPDLFTNFLIPSWSEVEGPIKYNPFTLPEVYWASQRKKHASLEDIQKNIRELEIDDNRKKELACALHAQFKDWLYGLKLAETGKARAVLSLRSLFVSASFSGPVSQLPSMADDPLDSSPSASAAAAAAGGVSSAPAPALRPRREPFEYGLLPIPKLVFPEGTLTQTLSQLKERLAPGGPRVGAAALAEALQIPAEQAALALGTLAAVLPAEDPELGEDGAGNADLRDLLLFLYIQSYKRLVPRAHKDSPAVADVWPSTSAFDGYLSALSPIQVLTMETFEHLGFLLQLSEGTPLSEAATFFANSDPDMPAAPVPSALVHGWILQHVASALEFMAEKSAAKENSQHNAFDPDVTMSDAVMSTRIHSSSPTGTSAPNYPGYYRNASFVEGCSKTSVVKQASDLKGHSIKVLNCHDSVIYILAPLKYATVYGCSDTTIILGAIGKVAPFNTYYPQLGEHLAQVGVDPNVNKWDQPFVLGVVDPHDSLSHPAGVSDVQAESATCLDPDLFTNFLIPSWSEVEGPIKYNPFTLPEVYWASQRKKHASLEDIQKNIRELEIDDNRKKELACALHAQFKDWLYASGNIRQLYCLQGE >Sspon.08G0001750-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:3432315:3438436:-1 gene:Sspon.08G0001750-3C transcript:Sspon.08G0001750-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDACSLVRRHLPRPLQLPLVAPPIPSRRRHRRVASAVVRCCCSAAAADHHQERPWEWYDRAIQSHSGSDLARSLGLLADMQASGARPSAGAYARLIRALSRAGRALEAEALLLEMRRLGPRPDAAHYNALLEGLLAAARLRLADRLLLQMADDGVARNRRTYTLLLGAYARAGRLEDSWWVLGEMRRRGIRLDTAGYSMLVRLYRDNGMWKKATDLVMEMQEVGVELDVKIYSGLIDTFGKYGQLADARRVFDKMRAEGVKPDISTWNALIRWHCRVGNMKRALRFFTSMQEEGMYPDPKIFVMIISRLGEQGKWDEIKKLFDGMKNRGFKESGAVYAVLVDIYGQYGHFRDARECIAALRAENTQLSPRVFCVLANAYAQQGLCEQTVNILQLMEEEGFEPNLVMLNLLINAFGTAGRHLEALAVFQHIKDSGMSPDVVTYTTLMKTFMRAKKFEKVSEVYREMERAGCTPDRKAREMLHDATVVLEQRGLQDNRLEIKDKWTPGLSA >Sspon.07G0019670-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:72720070:72722031:1 gene:Sspon.07G0019670-1A transcript:Sspon.07G0019670-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHNGGTTKHLVAPMEVSVEAGNADAAEYLDDDGRPRRTGTFWTASAHIITAVIGSGVLSLAWAIAQLGWIAGPAAMLLFAFVTYYTATLLAECYRTGHPDTGKRNYTYMDAVRSNLGGARVAFCGAIQYANLVGVAIGYTIASSISMQAITNGGFKGSLTGISIGADVTSTQKIWHSLQAFGDIAFAYSFSNILIEIQDTIKAPPPSESKVMQKATRLSVATTTIFYMLCGCMGYAAFGDKAPDNLLTGFGFFEPFWLIDIANIAIVVHLVGAYQVFCQPIFAFVERRAAAAWPDSAFIARELRVGPFALSVFRLTWRSAFVCVTTVVAMLLPFFGNVVGFLGAVSFWPLTVYFPVEMYIKQRRVPRGSTKWICLQTLSVGCLFVSIAAAAGSIADVIDALKVYHPFSS >Sspon.01G0018790-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:69772938:69775744:1 gene:Sspon.01G0018790-1A transcript:Sspon.01G0018790-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQQAIQIYGQPLEDPEMFWAPIEQAEQGLYGFLGDLQLSIASGSPRGLQIENILQLLELEGPQDQANLNDWLSGDLHPSPLLDTNAHYQTKCMRSGRRGTRKRRTSPWNPMFFESRVSREYTRRKNNSRWTAKEVEILVQGVSKFGVGRWALLKQQFFKTSIRTSVNLKVKYISNALHYEDKWRNLLKAYQGNSQKTTQLYLEPSLVEQIRKLAAKQPYPNKRHT >Sspon.01G0045180-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:87697483:87698116:1 gene:Sspon.01G0045180-1B transcript:Sspon.01G0045180-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEDGYEWRKYGQKFIKSIQKNRSYFRCRRKLCGAKKKVEWHPRDPSGDLRIVYEGAHQHAAPAAAAPPGGQLQGGGGASDSNRYELGAQYFGGARSQ >Sspon.05G0025880-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:5C:24989443:24991293:-1 gene:Sspon.05G0025880-2C transcript:Sspon.05G0025880-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLFYKSFDYPTDTLLPGAKLGRNKVTGLNRRFVSRRNLNDQAPGVYSIGLAPGLDESMRLSWKSSTEYWSSGEWNGRYFNAIPEMSDPAYCNYMFVTGDQEFYFSYTLVNESTIFQVVLDVSGQWKVRVWDWDRNDWVTFSYSPRSQCDVYAVCGAFAICSNNANPLCSCMKGFSVRSPEDWELEDRTGGCIRNTPLDCNDSNTHTSMSDKFYPMPFSRLPSNGIGIQNATSAKSCERFCLSNCSCTAYSYGQGGCSVWHGDLTNVAADDSGEILYLRLAAKEVQSWKDHKHGMIISVSVAVGVSTVTLAFIFLIVIWRSSKRSSHPVDSDQGGIGIIAFRYIDIKRATNNFSEKLGAGGFGSVFKGCLSDSVAIAVKRLGGAHQGEKQFRSEVSSIGIIQHVNLVKLVGFCCEGDRRLLVYEHMPNLSLDVHLFQSHGTVLGWNIRYQIALGVARGLAYLHHSCRDCIIHCDIKPQNILLDASFVPKIADFGMAKFLGRDFSCVLTSMRGTIGYLAPEWISGTAITSKVDVYSYGMVLLEIISGRRNAGKEAFTDDDHAKCFPVQVVDKLLSGGIGSLVDANLGGDVNLDDVERVCKVACWCIQDNEFDRPTMVE >Sspon.03G0024140-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:58115894:58118827:1 gene:Sspon.03G0024140-4D transcript:Sspon.03G0024140-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding PGSTVTKPAAAADLNGSCNCNEGHSPLLPDLPAATLQLPRSSFGDSFTDTGNFAIIAGPTTPGLLITKPPYGMTFFGHPTGRISDGRLAIDFIGKFPSFVSAPTDARRLEDLFDARKALGLPLLPPSMAANQSFKQGANFAVAGATALDQTFFVNDGDTAVPPYNISVGDQLGWFDAMKPSLCDSPQACQEYFAQALFVVGEFGWNDYGFMLLAGKSVAKARSHVPEVVGTICAATEVLLAAGVKTVVVSGLTPLGCATGNLVLFAGKSAADYEPATGCLKDLNLLSKEHNQQLRQALARLDARRPGVRVIYADFYAPIVDFATSPDRYGFNGTDGALNACCGGGGRYNFNLTAACGMPGVRACSDPSAYVNWDGIHLTEAANRLVADGWLRGPYAHPPILNTHC >Sspon.06G0028690-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:9367014:9369828:1 gene:Sspon.06G0028690-2D transcript:Sspon.06G0028690-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGSSKRIDGGGADKSSPDDGQNQNASPNVPASAAGDDGASAAAAAAAAAAPPAEGRRPFTSLSQEEADLALARVLQEQERAYMMLTGGEYAISDAGSYDYDEEEGEDDDDGSDYEEEGEALDEDEQVGDAQAEGAEGDLDLDPSQYEDDEAFARALQDAEERDVADRLMALVGIGDLRAMEQDDAGDEEEDEDDGVHTQELIALGEVVGTESKGLAADTIASLPSVTYQAQDKQDGNMEQCVICRVEFDEGESLVALPCKHPYHSECINQWLQLNKVCPMCSAEVSTSVNNVNKQA >Sspon.05G0000510-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5D:1433158:1434711:1 gene:Sspon.05G0000510-2D transcript:Sspon.05G0000510-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRCTVLLQILLPTLLLVSAITSADAGGIGVNYGTRGTTLPAPADVARFLARDTIVDRVRLLDADPVLLQAFAGTGLAVDVTVPNGVVPRLVSLAFARRWVRDNVVPYAGATNISRLLVGDEVTTEANRTLLLALVPAMQNLHTALVAASLHGRVKVSTTHSLGVLTTTEQPSSARFCDGYDTAIVRPMLRFLRATGAPFMVNAYPFYGLANDSSSLDFALFRVNDGVMDQGSGLVYGNMLDAQLDAVHSAVRRLGFGDVDIVVSETGWPSAGEDWEVGVGADLARDYNRNAIRHLGSGVGTPLMPNRTFEVSIFSLFDENLKPGPVSERNFGLFRGDMTPVYDVGIFTDPETLEPVSAKVTPAPSSPGAAGGRRQWCVPKPAADEMVLQENIDFACGQEGVDCAAIRPGGVCYEPDTVQGHAAYAMNLYFQSNGQHAYDCDFGQTGVVTTADP >Sspon.04G0021000-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:81590003:81600340:-1 gene:Sspon.04G0021000-3D transcript:Sspon.04G0021000-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G47450) TAIR;Acc:AT3G47450] KKRHHQLRTVLCGRCKLLSHGHMVTAVGGHGGYPGGKQFVSAEQLREKLSYLRHEKALIVKLVDIVDFNGSFLARVRDFAGANPIILVITKVDLLPRDTDLNCIGDWVVESVVKKKLNVLSVHLTSSKSLVGITGVISEIQQEKKGRDVYILEQWHTRIRWLRQPKNTSQYSLLFLEQPLALSKLKHFLGGGKLYDTPGVHLHHRQAAVIHADDLPSLAPQSRLKGRYIELSGNSLFWAGLVRVDVVKALPRSRLTFYGTQEAKD >Sspon.04G0011940-2T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:36978595:36981563:1 gene:Sspon.04G0011940-2T transcript:Sspon.04G0011940-2T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQVMVAVGLALCLIIISMYAFQLIADACRRLPPGPLPLPVIGNLMAVGRGNPQRSLARLAERYGPLMSLRLGVVPAVVVSSADAAREILQKHNAELADRPVLDAWHAHGHRSNSVISLPPHVRWRALRKLCATELFAPSRLKALQPLRQHKVEELVRYVSERAALGEPVAVREPLFTASMNIVSRTMFSVDLDSAGCRDTVQEAAVLAAKPNVSDFFPAIAAADLQDAVLDKEHEWQQKGAASIINRSTIKGLFTDMLVAGSDTSSTTVEWAMASLLENPEVMEKVKRELTRVVGTRAEVQESDIAQLPYLQAVVKEVLRLYPVVAMTYYRAEATVGVQGYTIPKGATIILNIWAVHRNADVWPDSHKFMPERFMDDGNNITADFSSKDCKLIPFGGGRRICLGMPLAYRTVHLILASLLHHFDWTLPEEARQN >Sspon.01G0033290-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:114430433:114434462:-1 gene:Sspon.01G0033290-2P transcript:Sspon.01G0033290-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGGVVAHAAAAAAAASTVTTAVAPPVPAHAAVVGNGGGAAAAAPPPPFLMKTYEMVDDPATDDVVSWGPGNNSFIVWNTPEFARDLLPKYFKHSNFSSFVRQLNTYGFRKVDPDRWEFANEGFLRGQKHLLKTINRRKPSLQGNSQPQQPQLQNAPVPSCVEVGKFGLEEEIERLKRDKNVLMQELVRLRQQQQTTDHQLQTLGKRLQGMESRQQQMMSFLAKAMQSPGFLAQFVQQNENSRRRIVAANKKRRLPKQDGGLDSESAAASLDGQIIKYQPLINEAAKAMLRKILKLDSSHRFESMGNSDNNNNFLLENYMPAAQAFESSSSTRNSGVTLAEVPANSGLPYVNASSGLSAICSPSVAPEIQCPVVLDNKSSNQVPNMSVVPPVSKPITAGSGDISIPEFLDLADLVNEDSVKFPGGAFEMPGPEFPLPEGDDSVPIETDETMYNNDETQSLPGIIDSFWEQFLVGSPLSTDNDEVDSGGLDTRGAPQENGWSKAGNIANLTEQMGFLSSTNHRDSGNGL >Sspon.02G0025490-2P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:93362644:93366814:-1 gene:Sspon.02G0025490-2P transcript:Sspon.02G0025490-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MANAASSASSPGVGPSSSPRLIVCGCLSAVTAATALVCVAVNAVSAAQSFRAGSNIFAGMFRCCAAVFSLFVAVLETEWGFIIWFWKIFEYWPARGMLQIFVAVMTKAYSSIERNDLILLQEIASYMLLACGAVYVISVHTTPIMALPPPPAAAALPEELVEEILLRIPPAEPASLLCAGLVCKPWCRLISGPRFRRRFHELHRTPPMLGFLFNTSYEGSCFVPTTAFCPPRTNRFDWNMQDARHGRVLVRRYLPEEEDDSLVVWDPITDEQRGLPFPPRLTISWSVAVLCAGTATGTCDHIDCHRGPFLVVFVGLDPSKMFIYTYSSDVNAWSEPISTEHPDPDNYNFIDVEKHPVLVGNALYFGVRFTNITMRYNFESCEMSLMQLPSTYDWSYWGQRYMLTTTQEGVLGLVTVDHSKLCMWLRNEAAEVDAGWTQSRVIDLKTLLPRDGVFTSHDVVGVADGLGILFMMVESVLYTIDLKTSMVELYQYQDIGIKYIVPYMSFYTPGPDNLGSARKNWKLLVQVRDQVRVAQVLEKLK >Sspon.05G0022350-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:3191237:3192976:-1 gene:Sspon.05G0022350-1B transcript:Sspon.05G0022350-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMRTPAIAFAALLLLLLLATRAHGIRLDRQLHEAINCKKMADPKTGDAEASIAGDSVKKHCTPDGRCSGTTKQMTPTPVVARDSEVMISVQAAPRFHEDYYGPRKVKKALAHAEAAAEAKQQSNSTGNDHHTAVGMKAATQQGRRHEAAETTSSAASSSPAPPGVAARQRQRQRQTYPDIMDIAGMDYSPATRKPPIHN >Sspon.03G0011520-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:31342322:31346412:1 gene:Sspon.03G0011520-1A transcript:Sspon.03G0011520-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRDIEAEYAPCCRICLSTDNHRGNLPRPSYATQLDTHLHGAERENAVQGIFHLHNSPDASHELISPCRCKGSQQFVHRSCLDQWRAVKEGTAFSHCTTCKAQFHLLVELLEDDICLRMKFWLFVSRDVIVAIAGVAFLLDRDGKFRNRFTDWMLSKHPLPFYYCVGVVFFFALVGLFGLLSHCFSCNYGGDDPSCLPEPECSYGCLDCETSRSGDDDDCVCVVIMVIVLVFALLGIFYGFIAATMAFQKIMQRHYHILKKKELTKARSKLKNIIGEQVYVVEDLKEGYSMPPKMDPKHEQRLKTLQLM >Sspon.07G0033900-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:66843200:66843577:-1 gene:Sspon.07G0033900-1C transcript:Sspon.07G0033900-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSIKPVVIMGATGTGKTKLSIDISKVIGGEVVNADKMQIYAGLDITTNKIQPSDQGGIPHHLFGVISSIADDLSVPSFRSMATSTAKSITRRGRVPVLVCGSTLFMHGFLIDHLDPSLGTLFLYQ >Sspon.04G0000490-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:2712542:2715502:-1 gene:Sspon.04G0000490-1A transcript:Sspon.04G0000490-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMCEKDQNLPWGYDLFRDPFAPPNGYYGPPPGYCDGHCCDLHYGRAAHPDETQLHSSQLTYDLYNPSVGIYHPGSTHEHEHETVYVEPSSSSPDTAGDGYFEMEEEHVPKINGEIPSIDEATMDHERLTERLKLYELVEHKVQGDGNCQFRALSDQLYQSPDHHEFVREQIINQLKTNRDAYDGYVPMAYDDYLEKVARNGEWGDHVTLQAAADKYGVKIFVMTSFKDTCYIEIQPKVQKSNKVVLLSFWAEVHYNSIYPQNGERFVACEHCRCTEVADDDQEEEMVAVLAAPPPLMADDRGLGASSSSLRDWDGCRSSGARHDSGSG >Sspon.01G0059270-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:45188161:45188455:-1 gene:Sspon.01G0059270-1D transcript:Sspon.01G0059270-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DNTQHFRLLFELFIFHGLRVTQGNEATSSSSSWRGDCSGGVADSRRGCCRRSPSILVRHPL >Sspon.08G0009340-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:35979205:36002133:-1 gene:Sspon.08G0009340-3D transcript:Sspon.08G0009340-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWVCSGTCIVVTSKESENLVIRTASRVTVLSASHSPVHRAPRPALPLPLPLPHPLNPRLIRGPCVSDNRTGVVVWSTGRPSPRSPSLGSSSSSPRRHPSSWRRRKKAATYKYPAPPKPASPTKPPKPTTAHPFSPWLDPRSSELREGIHGGGGSARGGPREDWRMHLYNAWLPPPVSTAARGEAAAFAGAVRTAADAWLPGDPDSAYATLKWISVFDLFIKAKSDIAPEDVQALVKLGLEIFHASHNKFVVQIKWGGLLIRLLRKHGKRLSLDVQWRPLYDTLIRTHFKRNMGPEGWKVRKQHFETVTSLVRASRNFFPEGVAAEIWSEFRPLLENPWHNSAFEGVGFLRLFLPANSKNQDHFTFDWIKNCLDIWDSVTNCNFWDIQWASIIARCIKNSRSVNWDAFLPLLFTRYLNMFEVPISSGNGSYPFPVEVPGNTRFLFSSKTRTLSKAIAKSVVYLLKPRSLAFEYFEKLINFLEQFYHPSNGGRWTYSLERFLRNLVVYFEERLQHEQFGMAVEDHDQSYLGKEERSVFVKAILKLLDRGQYSKDSSLAETVSVATSVLSYIEPTLVLPFVAKNFQLALETTTATHQLKNAVTSVAYSGRALLLCSLCSSQFDDSSVVDSFSDLVIASLSNALLGMDANDPPKTIATMQLIGSIFSNLAAVGVSDDVPIFLQSTTLSNWLDEFFSRLFSVLQNLESSSPINEGYQSSFMLGTFLVEDSPYYFCMLEILLGKLSKPLFNQSLKRIAKFVNANILPGATSEVGLLCCACVHSYPEEASVYLVKPILETIMSSFEGTPTTGYVGRVVADKASTKAALSPALETAIDYYLRVLAISISYAGPVLLNYKEELNHIITSAFQAPSWKVNGAGDHLLRSLLGNLVSYYPIDQYKPFNCQPIGNIIEPWGCSKAHQDREAEMLNFPPKWHDPSHDELSFANELLQFHFQSALEDLLTICQTKVHSETGDEKEHLKITLLRIHSALHGVMSCLPEIRPSYTDGRLLEVESTVFIAGSAGSTVGSSEMREKAAEFVHIACRYLLKERTDDSILLALVVRVIDALVNYGSLEYQEWSSHVQAWKLESASIMEPPCNFIVPFHAQGKKRPRWALVDKANLHSTWRCSQSSYHRYRMNADVSPSVLMINLMNDLLDLSLHNYETVRSYAGRSLTKLLKRWPSLISNCVLTLAGNLRDPKAPEHDSVSLSSFIMGILESSHHESLKCQKAITELFVMYNIRFSGISRSFFKNLENKADKPGFLSLISQINALGFETNGLHWRSESGVYSQMLAKIAGHFLRNLKSQLPHSRMLAISALNTLLQGSLHKASAQDLKQSVGHPEDCNIPSTEEILNEIIREEGFMNETLNSLSHVHIISDNDGSSKASYGASSFQSGSDKAITYFYFDFSASWPRTPSWISLVSGHTFYSNFARIFKRLIQQCGMPVISSLQTTLEEFLISKERSRQCVAAEAMAGMLHSDIIGNLEGENNWLMVQLHKIMLAPSVESAPEWAACIRYAVTGKERAGTCAPVLRQKVLECLCSPLPHSMATSVLAKRYSFLSVALIEISPPKMSPAEKQYHVKILDELLDSMNHSSAQVREAIGIAMCVTTSNMRLSGSFGTACSPQDLCGDVSMIEQTGNEYWSERLTDGANELAVSIQNSTQSKQLESTSDSAEDSLDDREQAAAKRMETIFHFIIASLKSGRSSVLLDIIIGLVYPILSLQETSNKDLSLLAKSAFELLKWRILHRPFLETAITSILSSVSDPNWRTRSALLSYLRTFTYRHTFILSGSEKSQIWQTIENLLVDNQVREHAAGVLAGLMKGIDEDLSKDFRERSYAQAQRIFVARRRNLKSSVATIHGAVLALTASVLSVPYDMPSWLPSHVTLLARFIREPSPIRSTVTKAVAEFKRTHADTWSIQKDAFTE >Sspon.05G0001140-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:2564881:2565828:1 gene:Sspon.05G0001140-3D transcript:Sspon.05G0001140-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding QMFLADKYSSLLPSLHQHHSKPSRRRSQQQQQQKGEADRFGAALAARLRGLLPLPASPLAVLARVADLLALTLADAGSALAGAGEGDAAAVAAHLDAGVALLDACNAIAARLDRLRRRRLLARLALHLLSSTSSSPSGRARARAALADRSDHPAASPSPLAPLPSLPFEQPRGRLSAAARVLAAVDAVSSLAAAAAAAILVGGYATFPRVSGGGDLPWAEPFNAVSGQLAALEGAGEVGAVDEAVRRLASTLDSGTDDEATVRAAAQEVERRTEELAPLLDRLSDAVGGVFRAALGLRNAELGCSMVGPAGKPCSK >Sspon.07G0013600-3C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7C:55401141:55402679:1 gene:Sspon.07G0013600-3C transcript:Sspon.07G0013600-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHDQQTPVITTTPAFAVHRRELVLVGPAGPTPRETKRLSDIDDQETLRGHLPSVYFYRRGAGAHADDDNPADVIRRALGEALVPYYPLAGRLREVEGRKLVVDCTGEGVAFVEADADVRLAELEAAGPLVPPFPWIDQLLCDVDRSSGVLNCPLLFIQVTRLLCGGFVLALHINHNISDGIGLGHFLSAVAELARGLPAPTTAPPPWSRELLEARVPPRPTFPHRVYDAAPIPPPPPPAGDMVVRTYTFARRDVAAIKERLLTHLHGTATTFEVLTAALWRARTAALELSPREEVRLVFIVGFRGMRELGIPAGFYGNAGVPSAVLTTAGELQASSLGDTVEMVREAKAAVTAEYVRSTIDFLVLRGRPSMPAAANFFLVSDNRHLGLHRVDLGWGMPVYGGIATVGFGGIFLVAVRDGDGEDAVAVPIMLPRPAMDRFASELEKMVAPSLVVDPAPAMTPAHTPTEDTHGTAGLGRKQIV >Sspon.04G0017010-3P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:14146733:14153531:-1 gene:Sspon.04G0017010-3P transcript:Sspon.04G0017010-3P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LLYGPLVAKVAQAWRETGSLPLGSSWCLHLLLLLALRSLTFQLWFSYGNMLFFTRRRRVVKDGVDFRQIDAEWDWDNMVILQTLIAATAMGSPAFPGVSELRVWDPRGWGLALVLHVTVSEPVFYWAHRALHRGPLFRQYHAKHHSSPVTQPLTAGFGTPLEALLLTLAMGAPLAGAFLAGAGSVSLVYGHVLLFDYLRCMGYSNVEVISHKAFAAFPPLRYLIYTATYLSLHHRERDCNFCLFMPLYDALGGTINSKSWELQKQVDQGMNDRVPDFVFLAHVVDVVSSMHAPFAFRSCSSLPWATHPVLLPLWPVAFGFMLLQWFFSKTFTVSFYFLRGRLHQTWSVPRYGFQYFIPSAKKGINRQIELAILRADKMGVKVISLAALNKNEALNGGGTLFVSKHPNLRVRVVHGNTLTAAVILNEIPSNVREVFLTGATSKLGRAIALYLCRKKIRVLMDDGMPCFQMLTLSTERFLKIQREAPSEFQQYLVQVTKYQAAQSCKTWIVGKWLSPREQRWAPPGTHFHQFVVPPIIGFRRDCTYGKLAAMRLPKDVQGLGSCEYTMERGVVHACHAGGVVHCLEGWEHHEVGAIDVDRIDVVWKAALRHGLTP >Sspon.05G0037720-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:20492430:20494733:1 gene:Sspon.05G0037720-1D transcript:Sspon.05G0037720-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RMESSLLDTAVAAGLCPAAAGRRRAGSTASFLSCSCSSRGTCIVSTPQFVSGLVSGFSFTKPIVLRPDCRVSASYSHSISRMLNGVRSAARKKLFRTDPAAADLLGIANWSDETSGGAGGHHQQQHWWTALENNFVLEATDDEYGGVVVDADRLPDDKAAFARSLAASLSYWKSQGKKGVWLKLPVDRAEFVPLAVQEGFKYHHAEEAYLMMTYWIPDDEPNMLPANASHQVGVGVSGAREYRGSSLDGVWKLPTGFILQSEEIYTGASREVKEETQVDTEFVDVVAFRHAHNVAFQKSDLFFICMLRPVSSEIKIDETEIQAAQWMALEEFVKQPFIQEDHMFQKIMDICIQRLRKCTAA >Sspon.07G0036410-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7D:35476559:35477287:-1 gene:Sspon.07G0036410-1D transcript:Sspon.07G0036410-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLISSGPGRHRTPMACAVMMATPQPRVRWHLEGSSAGGCDTVHQLTPRPAPAPHRVGPRIVQNGSSLGLRPSSHILGGLGAEGRRRRIHLLHSSLLGLMAASFKARAAAQRRDALLRRSIPTVACRDALRRHDGGTVSGATAARQSKSVQLEGIGSPPPRSICQGARPLRYWQLTAGTIDSI >Sspon.08G0030730-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8D:64843109:64844992:-1 gene:Sspon.08G0030730-1D transcript:Sspon.08G0030730-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVKIFLWLAFRRRHWTNDRRARHGLEGREECYLCDQAPETIDHLLTCCPYAREVWFFIGSALGRPLPPSAHTVRAWWRRLRAGLQPSQRKGFDSLFALVSWQLWKERNAQCFRESVAPATELLLVIKAQADLWVQAGAKRLGSLLSGGLLATYRVHRSSVQITDTSVTESGAPRPPLAYPATSAFQAMRSLAGNRSNTLCVSVGRRHLKYISSRAVVVSDSEASPRSASCACMVRPVVSARSAHAAQSLRRAVECVGRGARPLWRGRAGGGRGGEGRQRARMVGACHCRPEVRVGAGAPWHVREQPVPHTGEMRWRTSTRSPLASLVVCQIDLILEKENILISQLLH >Sspon.04G0034980-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:21810:23395:1 gene:Sspon.04G0034980-1D transcript:Sspon.04G0034980-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGGGSSHQQHLSSPTAVLGHVTPALRDLYAVGRKLGQGQFGTTYLCTELSTGAALACKSIAKRKLLTPEDVDDVRREIQIMHHLAGHASVVTIKGAYEDPLYVHIVMELCEGGELFDRIVDRGYFSERKAAEMARVIVGVVEACHSLGVMHRDLKPENFLLKDRGHDASLKAIDFGLSVFFKPGQVFTDVVGSPYYVAPEVLCKHYGPEADVWTAGVIVYILLSGVPPFWAETQQGIFDAVLKGAIDFDSEPWPTISDSAKDLIRRMLRSPPADRLSAHQVLCHPWICDNGVAPDRALDPAVLTRLKHFSAMNRLKKMALRVIARSLSEEELAGLKEMRHGSNLRESEIRDLMDAADVDNSGTIDYDEFIAATVHMSKLEREEHLLAAFAYFDKDGSGYITVDELEQACRDHNMVDVGLDDIITEVDQDNDGRIDYGEFVAMMKKGIIGHGRLTMRHTSDGSVLHGAG >Sspon.06G0004850-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6A:15418674:15419667:1 gene:Sspon.06G0004850-1A transcript:Sspon.06G0004850-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSFSSALLLLLNMAGALLAPPALPPGPASVGASASATADDDGDVDFFFFPFLVLYKSGRVQRFMGTDTVPASTDPATGVASRDVVVDAAARPRRAPLPAKPRHRTGTDDDDSGGRLPLLVFYHGGAFVTESAFSPTYHQYLNALVLRRVRRVPPRTRAPPPDGVRRRVGGAPGLLDGAAVRGLALLDPYFWGRPVPSETTDEDTRRWRERTWSFVCGGRYGIDDYQPAGHAAGGVAPGPLRTRAGHRGGAGHAQREGPCVCPRAQGERWPGEAELYETPGEYH >Sspon.05G0023020-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:4723790:4725980:-1 gene:Sspon.05G0023020-1B transcript:Sspon.05G0023020-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQLRQVGEALGGVQALMAFADDLRINPRQCRLLADACALAFAAVAAEVRAHLRFSERLTKWKPLEAPLRELHRAVRDAEGYVRHCLEPRDSWWARAAATTHGAFCVEQHMHSLLWSVAVVLEAVELVSEVTGSDPDELARRRLLFAKDYDRDMLEPRLFRQRLGARYLATRELCRQDGRGVEGGPVAPVAVPRRTEEPRLAGAADAERAPAGRPPDRAARVLHPASVLLQGDFHVRRRLVGNLKEVQWMGEAFAVKHFVGADADAVGAEAALLTSVAHPNVAHCRYCFHDEDKREFFLVMDQLMTKDLASHVKEVNSAKRRVPFPLAVVVDVMLQIARGMEYLHSRKIYHGDLNPSNVLVRTRHGDAHLHVKVAGFGQSAANPRPSPRASAKAANAINAAVAVAAANPCIWYAPEVLEQEAAKCTEKADVYSFGMVCFELLTGKIPFEDNHLQGEHMSKNIRTGERPLFPFQAPKYLTSLTKRCWHGDPAQRPAFTSICRVLRYVKRFVVMNPAPAEQPPPVPPVDYLDIEASLQRRFPAWQSGSGNAAPRVSDVPFQMFAYRVVEKERNRAAILHIGGGGRDKASDSSSDGNSLCGDESGSATLSDADALSVSSRGTTTTTRSLADRAGSRKVDGSRVASRLAGKPSKSKSMEKSKSMGVVRPPQIIRRTQRIKSDGHLNSAVSSPRRRGSGGGHASDSELA >Sspon.06G0016330-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:70838821:70852214:1 gene:Sspon.06G0016330-4D transcript:Sspon.06G0016330-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAATCLFAAAVSLSLPSTSAPTSSGRRCLRSPATLLRCSPTRRRGPVRALDEGLLEAAPSVPGVDVGDGGGGAEEVEDELEQRPPPRAFVKSRRQRQEEEEAAAGQDRFKLINGKEIFQEKAYLVGVECKRTGGNLFGIEESLKELEQLADTASLVVVGSTYQKLSTPNPRTYIGSGKVSEIRSAIQALDVETVIFDDELSPGQLRNLEKSFGGSVRVCDRTALILDIFNQRAATHEAALQVTLAQMEYQLPRLTKMWSHLERQAGGQVKGMGEKQIEVDKRILRTQISALRKELESVRKHRKLYRNRRQSVPIPVVSLVGYTNAGKSTLLNRLTGADVLAEDKLFATLDPTTRRVLMKNGTEFLLTDTVGFIQKLPTMLVAAFRATLEEISESSVIVHLVDISHPLAQQQIDAVERVLKELDVESIPKLIVWNKIDNTDEPLRVKEEAQKQGIICISAMNGDGLEEFCNAVQAKLKDSMVLIEAIVPYDKGDLLNDIHKVGMVEKMEYKENGTFVKAHVPLPLARLLTPLRQQVVATV >Sspon.07G0031500-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7C:23685341:23688881:-1 gene:Sspon.07G0031500-1C transcript:Sspon.07G0031500-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAEGLAAYRAVLRAARRTFAGDQLMLKESAVEIRRRFEDHRGLAPGADEAARALADAREAAHFITHMIVQATRAPSGSFAAASFNT >Sspon.01G0003930-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:10941714:10943225:-1 gene:Sspon.01G0003930-1A transcript:Sspon.01G0003930-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMASALTNHWVVGGSSHHEYKSNRSDDCFKVLTWNHNVIKGKQFLPREKVALTGWQITRAVPKDPKKGIHLRMTTKWWEIDMNDNMINIKSQDHFDEQLLMARDKFTVVHFFSPSCGACKALHSKVRQFAEMHPGLQFLMVNYNEQTDICKRLHVCVLPLFRFYRGAEGRMGFRLRVKQQKRGWKNPPTDISNATDASPKMDRDDGPIEPSND >Sspon.03G0018210-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3D:43200490:43201035:-1 gene:Sspon.03G0018210-2D transcript:Sspon.03G0018210-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MELGNLRRASINDTNVWGRSLRVNMESSLSIGTQISKLRFQSLSRIEQVSDKQLQLQPRRRGRESPTAATRLPPRTSPRDAENRPAVHLWAQALLPPPVLPPATATAGVLSHGETRDREGSGRRFARRRGCKGARSSERERSDSAARAGRGGEIDDDATAIF >Sspon.08G0015880-3D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8D:60227232:60228979:-1 gene:Sspon.08G0015880-3D transcript:Sspon.08G0015880-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGGLAHLLATLLLFLLPATPLAVGASAAAAGAEVDLVPAAPAQVVSEAAAEPDADAQQQHLLPRPLVIELPSARAAEEPDFDADVDDVPPDVRCASWRLAAEANNLATWKVVPPECAAHVRDYVTGAAYRSDLELVARESAAYARAALPLGADGRDAWVFDVDETLLSNLPYYAEHGYGAELFDHHKFNEWVERGEAAAIPSSLKLYNDVRGLGFKTFLLTGRSEGHRGVTVENLSKQGFHDWEKLILRAAGDRNKTATVYKSEKRKEMEEEGYRVLGNSGDQWSDLLGSSMSARSFKLPNPMYYIP >Sspon.08G0009730-3D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8D:37263720:37264280:1 gene:Sspon.08G0009730-3D transcript:Sspon.08G0009730-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGQPAPARPVVVVAMKGHPGSGKSTAARAIAAALRCPLLDKDDVRDCTLPLEGLVAAGMLNDLSYDVLWRMVERQVRLGLSVVIDSPLSRRAHLDVLTRLAGALVVVVECRPGDNAEWRRRLESRGAAVANGGGGDGWHKPKTWAELERLLEGYQGCTDYEIEDVPRIVVDTTDPAVDAQAIAEK >Sspon.04G0020120-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:76120830:76128648:-1 gene:Sspon.04G0020120-3C transcript:Sspon.04G0020120-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVHRAALHGMLLLAVAVAASGAAAAKVPAMYVFGDSTADVGNNNYLPWSIARADFPHNGVDFPGGRPTGRFSNGLIGADFLAIAMGFSGSPPPYLSLMAATAANSSSEGSTISMTQQIGYFSDLKHQMSTRLSAGRVAESLSKSIFLISAGSNDAFDFFSENRSPGSTAIQQFSEAMISTYDSHVKALYHLGARKFAVINVPLIGCCPYLRSQNPTGECVERLNQIAKSLNDRIKDLFNNLSSEMQGMKYSIGSAYQLVSSLIQSPHAAGKLQQLSRSILDTKPCTPVISRLFLWVGGSEECMLRWWQQYLFWDLFHPTQATSKFAGLAFYDGPAQFVSPISIKQLVEA >Sspon.06G0031990-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:73315846:73321186:-1 gene:Sspon.06G0031990-2D transcript:Sspon.06G0031990-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPASRIKQATSFLASPFIHEGLYSTAIPKHITEVCIEAAMDLVVGASNDAVKSLVDKLGSLLAQEYTLIGGVSDDIQYINDELASMQAFLNRLKQEAKHDEQRQDWMKQVREVAYDIEDCASTTGMLVSLKRAWYLLTTLYARHCIATEISNLKARAQHVSERRTRYGVENPARLGSGSDGANLIGTVAPVGIQDACVELEPRFMEAKEESSNDQPRFLAIVGFGGLGKTTLAMAMYRRFGDEFDCRPSHGKTVEEVADEDCFNELISRNLIRAVNSSNNGKVKSCQVHDMVVEYIVIKSSDENFITVVGGHWHTPFPSYKSDRQERETVERMKLSHKVPKEIGRLEYLQVLDIRDTKILQLPPSVDKLQHMAHLLAGSKSKREAEKQEAPARIVSTKQTDGYCGHWQCKKCFRCKAASTGLAKGLRALENLTNLKKLTVYKLRAFTDEDNILLLSAIEHFSSCSFKFLAIDDDFTGFLDSSLNASHAPPEHLHSLGLSGKLSQVPGWISRLHNLEKLTLSLTSLTASALLVLSELPELFSLIFTLDSTKKKTSALQILRQNAMESEGEIFVLAGGFEKLKLLRFVTPVLPPLSFLEGAMPKLESLELRFIMAEGVYGMENLVSLRQVLLTVSSQAPEVAKVKVSQIKTLASMHPKHPSVVVDEYKEL >Sspon.03G0036770-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3D:55890789:55892428:1 gene:Sspon.03G0036770-2D transcript:Sspon.03G0036770-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGTVHVVWAPKEQTGPRVVNSWARSPAGDAGGPFKSNTAPRWDFVRSRQPHALLPEPRSVHSDSSRVQHPKCRPPMASPLHSAELRTPDDSPRSTAALLSSSVAAPQRSSAPRLLLLLTVALAAATAFVLLRPPPALTVVTAVSVAARPLSKLPKPVVLLISSDGFRFGYQYKAPLPHIRRLFANGTSAAEGLIPVFPTLTFPNHYSIVTGLYPSSHGIINNYFPDPISGDYFNMQNHDPKFWLGEPLWATAANQGVLAATFFWPGSEVTKGSWNCPEKYCQQYNGSVPFEERVDTILGYFDLPSDQMPQFLTLYFEDPDHQGHQVGPDDPAITDAVVHIDEMLGRLIAGLEARGVFEDVNIILLGDHGMVRTCDTKLVFLEELAPWIKLKSDWVLSVTPLLAIRPPDGVAPAEVVAKMNEGLGSGKSYRIPPIIGLVEEGYKIEMKRSKKNECGGAHGYDNAFFSMRTIFAAHGPRFQGGRTVPSFENVEIYNVMASILNLKPAPNNGSASFPGTILLPSK >Sspon.06G0001840-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:4522159:4522979:1 gene:Sspon.06G0001840-2C transcript:Sspon.06G0001840-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAITILCAFPDCWRSQSTELKVEMVALHEKRVRKCLSKVKGIERVEVEASLQKVVVTGCVNRSKILKALRRVGLRAEPWSPHNELLSAYATTTLMFNNSYAFF >Sspon.02G0032760-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:103043643:103045208:1 gene:Sspon.02G0032760-4D transcript:Sspon.02G0032760-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding YLFDSLQGTRVLNCGHTMHLTCFEEMVEHDKYTCPICSKTALDLTRHLEMLDQEIEATIMPPVYRYKIWVLCNDCNKVSEVNFHVIGHKCSHCSSYNTRSTSRPADSSGSSSPSTDSSDNNM >Sspon.01G0018190-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:67974846:67978488:1 gene:Sspon.01G0018190-1A transcript:Sspon.01G0018190-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDGGGNSKMSKLSWSKSLVRKWFNIRGKSHDFHADAAAVGAGSGRSGGGDDDWRDGSFTRRDSCAAKKSRTGEESIPGGLLIDLAFGQSSTPDSHCPILFHPERASRRSHERSRRSKIDLDAAEATVMLDYRIFAATWNVGGRAPPGSLSLDDWLRTSPPADIYVLGFQEIVPLNAGNVLGAEDNGPARKWVSLVRRTLNSLPGSGGSGSLQTPSPAPYLVAEMDADFERSTQNNPSFFHRRSFQSGLSRSMRVDGDILAGPGPARLERRYSVNDRVMYCLVASKQMVGLFLMIWARKEMKNDIRNLKVSCVGRGLMGYLGNKGSISISMVLHQTSFCFVCSHLTSGQKDGDEHRRNSDVMEILRKTRFPRVCGQYERSPETILEHDRIIWLGDLNYRIALSYRSVKALVEMRNWKALLEKDQLTREQRGGRVFPGWNEGRIYFPPTYKYSNNSDKYAGDDMNQKEKKRTPAWCDRILWSPSSVNQYKDC >Sspon.03G0004400-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:11340720:11341181:1 gene:Sspon.03G0004400-1A transcript:Sspon.03G0004400-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCTASQRAAESWADDGEWEEASSEEEGEHHHHHRDERREDHHDHDSEVTIRITKRQLHELMEKNGGSGHGGLPLAGFGSGRRSAEQLLTDIMNSGEVHHRDHHHREEHWHWKPALQSIPEAVESSRHVHESDLSVFGGSVIQSSSFSPCSV >Sspon.04G0004700-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4B:9140377:9142346:-1 gene:Sspon.04G0004700-2B transcript:Sspon.04G0004700-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAKMLPSPWISASVLVLLLSLHPGVHAFYLPGTFMHTYSSGEVISAKVNSLTSIETELPFSYYSLPYCKPPDGVKKSAENLGEILMGDQIDNSPYRFQVNVNESVYLCTTDPLTKEQAELLKKRARDLYQVNMILDNLPVMRFTEQNGMTIQWTGFPVGYNPTGSNEDYIINHLKFRVLVHQYQAQGDVVVTSEDGVAMVESDRKSGFQIVGFEVVPCSVRRDPEAMSKLKMYDKADSVNCPLELEKSQVIRENERITFTYEVEYVKSNIKWPSRWDAYLKMDGAKVHWFSIMNSMMVVFFLAGIVFVIFLRTVRRDLTRYEEMDKEAQAQMNEELSGWKLVVGDVFREPSCPKLLGMLLTGMIILYLFLGIIAGYVGVRVWRTIKGTSQGWKSVAWLTACFFPGIVFIILTVLNSILWGKKSTGALPISLFFTLLALWFCISVPLTLIGGLLGTRAASIDYPVRTNQIPREIPERKFPSWLLVLGAGTLPFGTLFIELFFILSSIWLGRFYYVFGFLFIVLFLLVIVCGEVSLVLTYMHLCVEDWKWWWKAFFASGSVAFYVFLYSINYLVFDLRSLSGPVSATLYLGYSLIMALAIMLSTGAIGFLLSFYFVHYLFSSVKID >Sspon.08G0009470-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:64502496:64506501:-1 gene:Sspon.08G0009470-3D transcript:Sspon.08G0009470-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQPRLRCCPQLLLVSPPPRPAAACRQSATASSRGLRTPLAVFSVPGARAAGPPRLAAAAPARQEQWGIAAGDDDGGEADLGEALSRTRELVECAMFAAVAGLAYFLSNSLAIENYFSCFFPLPIVISSLRWGLEAGRKTVLMHGVVGLIMGTVWRLETNWIVSILLCSIVRALGACGYVLVSSFLIRENILALITVNIHASLTYILTAAGVNTIPSMDAIYVLFGTLLLLNCAFFIFLLHIMYTVFLMKLGIKSSLRPPRWLDKVI >Sspon.07G0001280-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:2949945:2959596:1 gene:Sspon.07G0001280-1A transcript:Sspon.07G0001280-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPARYRLFPTKAGYNEQLWLDTCCVLLRVATIECRQAMKHANDRLDLIAFCEMATMLGFDVKGSVRKTMTKKPMISKASDEKSEEEGIICYPIVPSMRYTFGPILRSATVEEGVQIFSIKVSELKEEEGVHWPLHVYGLIAVRDSMDPRLVILDPVAFEVQLKAKGKSESEDKVLVFDVLKTHHSVSHIGHPPPINTRHLRGKRSNLEFTFVVLLQTVEATISVQVIDGSWPDDVPGRISTCTASIPEMEISLLDSRGARMPINDAGVIEPTRQVVSVEFIGELKVEVEAIYGGEFESHLNFLGCFCKVLIH >Sspon.04G0026150-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:38243452:38245020:1 gene:Sspon.04G0026150-1B transcript:Sspon.04G0026150-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GSGRKPAGLPPGPWQLPVIGSLHHLLQGLPHRTIRDLSLRHGPLMLLRICERTAIVVSSAEAVGEMLKGHDGVIFAPYGDHWRLLRRILMTELLSARRVEAFRHIREDEAARLVSSLSSSSLPPGGQPPVDMDERLEVFIADSSVRAILGDRLPNRAAFLRMIKAGNEPSSLFDLRDLFPSSWLVRMLPRNRKAERHRQEMFRLMDDILVSHSQRRADDDSQDGGGGGVEQEHDMVDVLLRIQKEGDMRVSLNHGVIRAALIDVVGAALDTSTTTLRWAMAELIANPRVMHKAQLEIRRVMAGQQRVHEATLRDLHYLKAVIKETLRLHPPAPFVPRVCLDDRIKIQGYHVPQGTIVVANVWAISRDPKHWEDPDMFIPERFLQGDPDNHRCFDYKGSILSSLLSGLGGGCALGQIALASLLYHFDWKLPDGAKPEEIDMTELWGVTVTRKAKLFLHPIPCIPPAVASIDA >Sspon.01G0054230-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:51899637:51901689:1 gene:Sspon.01G0054230-2D transcript:Sspon.01G0054230-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAFSPRTVTMAMTLSLCCLLLLVLAGDGRGEVAAFELEEATIESIHRAFATGELTSRGLVELYLRRIASLDPTLHAIIELDPDGALAAADRADAAARSSSGALPPLHGVPVLLKDNIAAAGDGGVLNATAGSLAMVGSRPARDAGVVERLRRAGAVLLGTASLSEWCNFRGPGIPAGWSPRGGQGRNPYVPSATTCSSSSGSAIAAAANMAAVTIGTETDGSIMCPSSYNSVVGIKPTVGLTSRAGVIIISPRMDTIGKMGAILVDNLEIPSMNVINDAMQSGERALMLAEFKLSLNSYLSELATSPVRSLSDIIEFNKKHPVE >Sspon.01G0057710-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1D:15015804:15016346:-1 gene:Sspon.01G0057710-1D transcript:Sspon.01G0057710-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHNVLYTVAPAACTRLLHSYIDHGDPPSDRLRLLGVSLLGSCIVSFQRNTGAVVMCRAPVPSRSRPVKIALPSRSRLRPSHIIPACVDRQPRRRPFQFRSTISTRRPPPSPLVIPPANARKGARPDPQPGHGQTAHDQTKWRRKTSSNWTLFYCREKEISSVRRPVQKSMRLLRLRGDR >Sspon.02G0040000-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2B:64191463:64192232:-1 gene:Sspon.02G0040000-1B transcript:Sspon.02G0040000-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GKEDAVQDGKDDCGVARRGRWPCGESKEEADPHCSSWPGGVGEEDAGPCCSSWPGGAGEEDASPRCSCPGGAGGPSRMTGTTPRSRGWQWVAGSGTAAGHWIWAGGAGRCRSGALAIGGPAVGCRRWVLTASERRGARRKSRSQWGGRARAGRPAPNAECAWRRDREWRRDREWGSGEGAWVREGLRVMAAVGGNWDCEFGMSETLKSKIIYIFCSGSHVSAGLAGLRVRACSFLIRLPLSVGHTSMRTPMPAGTG >Sspon.02G0027560-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:101770478:101784269:-1 gene:Sspon.02G0027560-2B transcript:Sspon.02G0027560-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSRVLVVGGTGYIGRRIVRASLAQGHPTLVLMRPEISLDISKLQMLLSFKAQGARLVEASLEDHAALVAAVAQADVVISASAMSGVHLHSHNFSLQHKLVEAIKEAGNIKYWVSISDSNQSFNRPLATPTMEKSRVLVVGGTGYIGRRIVRASLAQGHPTLVLMRPEIGLDIDKLQMLISFKAQGARLVEASLEDHAALVAAVAQADVVISAMSGVHFRSHNLSLQHKLVEAIKEAGNIKRFVPSEFGMDPSKMGHALEPGRVTFDEKMDLRRAIEDANIPHTYVSANCFAGYFCPNLCQMRTLLPPKEKVHVYGDGNVKVIFCDEDDVATYTIKSVDDPRALNKTIYLRPHENILTQNDVIAKWEKLSGNVLEKIHIPADEFLASMKDTDFANQVGVGHYYHIFYEGCLTNFEIGEDGAEATLLYPEVQYTRVDEYMKIYL >Sspon.08G0029000-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8D:20061728:20061963:-1 gene:Sspon.08G0029000-1D transcript:Sspon.08G0029000-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding WIGAKVTNEDVTGSGEHVLSGLMALDRKRTHRARSAATGPGGGPSGNTAPGLHSTSPVLLVRMRWPGRPRPVLPAFE >Sspon.01G0023150-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1A:83840902:83843901:1 gene:Sspon.01G0023150-1A transcript:Sspon.01G0023150-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MIADVSRSDKIVVEKSTVPVKTAEAIEKILVHNSRGVRYQILSNPEFLAEGTAVQDLFAPDRVLIGGRETPEGRAAVAKLRDVYAQWVPPDRIITTNLWSAELSKLAANAFLAQRISSVNAISALCEATGADVTEVAHSVGRDARIGPRFLSASVGFGGSCFQKDILNLVYICECYGLPEVAAYWREVIRINDHQKSRFVNRVVSSMFNTVAGKKIAVLGFAFKKDTGDTRETPAIDVCNGLLGDKAVISIYDPQVTGEQVSRDLAMNKFDWDHPRHLQPLSATDLAKQVAVAPDAYEAARDAHAVCILTEWDEFRTLDYKRMFDAMHKPAFIFDGRNVVDPAKLREIGFV >Sspon.03G0023320-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:71594891:71606243:-1 gene:Sspon.03G0023320-1A transcript:Sspon.03G0023320-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHARLLSVPSPASTAPSSSSPYLPSASAGARPRRASWKPRGPTSAPPPPPLLSLRARASMQPAALPHDGQGGPPVHGVTNTVVGVLGGGQLGKMLCQAASQMGIRIVILDPLPGCPASSVCDEHVVGSFTDGDTVREFAKRCGVLTVEIEHVDAAALERLEKQGVDCEPKASTIMIIQDKYRQKRHFSKCGIPLPDFMEVDTLHSIEEAGEKFGYPLMVKSKRLAYDGRGNAVAKNKEELSSVVASLGGFEHGLYVERWTPFVKELSVIVARSRDSSTVCYPVVETIHKENICHVVEAPAEVPDKIKKLATSVAEKAIKSLEGAGVFAVELFLTEDNQILLNEVAPRPHNSGHHTIESCYTSQYEQHLRAILGLPLGDPSMKAPAAIMYNILGEDEGEAGFYLAHQLIRRALNIPGASVHWYAKPEMRKQRKMGHITIVGSSKISVKSRLDNLLQSNSSDPKEVSPRVAIIMGSQSDLPVMKDAEKVLKEFNIPCEQTIVSAHRTPERMYDYAKSAKDRGFEVIIAGAGGAAHLPGMVASLTSLPVIGVPIKTSTLSGFDSLLSIVQMPKGIPVATVAIGNAENAGLLAVRILAARDPELWDRVTKYQNDLRDMVLETAERLEDLGSQEFLKGMA >Sspon.06G0025030-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:88473233:88474468:1 gene:Sspon.06G0025030-2C transcript:Sspon.06G0025030-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDASGGGGQGLTLLGMWASPFVIRARIALNLKGLAYSCTEESLDDKSELLLKSNPVLKKVPVLIHDGKPVCESQIIVQYIDEAFPSAAGAGAGAPILPSDPYDRAVARFWASYVEDKLRHSTWVPVFRGRTAEERVEAARQVFAVLETLEQAFEECSKGKAFFGGDSAGLVDVMLGGHLGWLYATEAICGVKVVDAAKTPLLVAWAERFCALDAVKELIPDVGRLVEYNKARRAGLGLPLLLPYVELQQ >Sspon.02G0053420-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2C:105212140:105212328:-1 gene:Sspon.02G0053420-1C transcript:Sspon.02G0053420-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGVELGTYLGHRLSSNLDDLLAHLIIVPNGDVEVETARPYLASIRLLTCCVLKDVIHPEQP >Sspon.04G0014530-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:58332110:58334322:1 gene:Sspon.04G0014530-3C transcript:Sspon.04G0014530-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRPARCYRQIKNKPYPKSRYCRGVPDPKIRIYDVGMKKKGVDEFPFCVHLVSWEKENVSSEALEAARIACNKYMTKSAGKDAFHLRVRVHPFHVLRINKMLSCAGADRLQTGMRGAFGKPQGTCARVDIGQVLLSVRCKESNANHAEEALRRAKFKFPGRQKIIRSRKWGFTKFTRAEYLKYKSEGRIAPDGVNAKLLGVHGPLSKRAPGKAFLAENIQASA >Sspon.02G0052550-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:93947008:93947439:1 gene:Sspon.02G0052550-1C transcript:Sspon.02G0052550-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQAIESHRSGAEVITGDAACRKKSVELLEELGLPRGLLPMEDIQEFGYNSETGFMWLLQGKKKVEHTFKKIKQTVSYAAEVTAFTEKDKLRKITGVKTKELMLWFSVVEVYVPEASPEKVTFKTGTGLSDTFDAAAFALGE >Sspon.06G0006700-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6B:22206533:22207914:1 gene:Sspon.06G0006700-2B transcript:Sspon.06G0006700-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTVGSYSQVSKCIETLSNCLYKDNESPDFECIDRAPLKNPDKANFDMDAPWKGEKTRPWWQIADEDQLASLVAERATQHIENCDLPRPTQTWLKIMQLENIVLQLKHKEHQIAAIIPELPWLTLKEKPTQGQEQRDWTRRKGRRQKKGGGFFDAILFAVGLGLAGLGFFLGGLLGGCCQSCK >Sspon.07G0033560-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7C:61876725:61882517:1 gene:Sspon.07G0033560-1C transcript:Sspon.07G0033560-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding WLCRLPGSWQRIAVGKGRPWLTPSTLSPLPTATPSAVGKAQLRGPSPLPAPLSTSPSPSPSPSPHLPRAALSMDSVA >Sspon.04G0002800-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4B:4873203:4873574:-1 gene:Sspon.04G0002800-2B transcript:Sspon.04G0002800-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQIDAAIASTEYARACALLDPAPASASSQPPAQPEKGPEGQGDRGASPPACYDARVADEAYRAACAALGAGRPDDAVRSLRAALASCPPDKAAAVAKVRSMLAIASAQLHKQQHQAQQQSRK >Sspon.02G0006970-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2C:22228536:22229888:1 gene:Sspon.02G0006970-2C transcript:Sspon.02G0006970-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPTVVLLPVWGAGHLMPMLEAGKRLITRGGRALSLTVFLIPPPTEEVAADVDAHIRREEASGLDIRFVRLPAVEPPTDSRGIEEFVSRLVQLHAPHVRAAISSMSSPVAAFVIDFFCTTLLDVSREFAVPAYVYFTASAGMLALFLRLPSLHEEVPVQFEEMEGAVDVPGLPPVPPSSLPNPVMDKNHPNNTWFVYHGRRFAEADGIIVNTAAELEQSVLAAIADGRCTPGVHAPTVYPIGPVISFSPRTEQSHECVRWLDTQPPASVVLLCFGSGGFFTAPQAHEIAHGLERSGHRFLWVLRGPPAPGQWHPSDANLAELLPDGFLERTKGRGLVWPTKAPQKEILAHAAMGGFVTHGGWNSVLESLWFGVPMAPWPLYAEQHLNAFTLVAYIGVAVAMKVDRKRNNFVEAAELERAVKELMGGGEEGRKAREKAMEMRAACRNAVEE >Sspon.01G0040520-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:33333826:33335546:1 gene:Sspon.01G0040520-1T transcript:Sspon.01G0040520-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDATQDSLLFLFPATTTLLSPLLAVLLVVLSLLWLYPGGPAWALIISRSRATPPPGAPGVVTALAGPAAHRALASLSRSLPGGGAALSAFSVGLTRLVVASQPDTARELLASAAFADRPVKDAAGAPLPPRHGLRPSGDYWRALRRISSAYLFSPRSVSATAPRRVAIGERMLRDLSAAATGGGGGGEVVMRRVLHAASLDHVMATVFGARYDADSAEGAELEEMVKEGYDLLGLFNWGDHLPLLRWLDLQGVRRRCRSLVSRVNVFVARIIEEHRQKKKDAAANGESAAGDFVDVLLGLEGEEKLSDSDMIAVLWEMIFRGTDTVAILLEWVMARMVLHPGIQSKAQAELDAVVGRGRAVSDADVARLPYLQRVVKETLRVHPPGPLLSWARLAVHDAVVGGHLVPAGTTAMVNMWAIAHDPAVWAEPSAFRPERFEEEDVSVLGGDLRLAPFGAGRRVCPGKTLALATVHLWLAQLLHRFQWAPADGGVDLAERLGMSLEMEKPLVCKPTPR >Sspon.02G0027950-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:101642964:101645208:-1 gene:Sspon.02G0027950-1A transcript:Sspon.02G0027950-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rho GTPase-activating protein 2 [Source:Projected from Arabidopsis thaliana (AT4G03100) UniProtKB/Swiss-Prot;Acc:F4JI46] MTGVVVVVSSAGCKGGAGGGKRAAAAGRGGGEEERRRRAAVLELLLAAVRRSVVACRVERGAAGAGWPARDEEEEDDAAVVLGEMEIGWPTNVRHVAHVTFDRFHGFLGLPSEFEDEMPCRVPSASASVFGVSAESMQCTYDGKGNSVPTILLLMQERLYAQEGLKAEGIFRINPENDQEEHVRDQLNKGVVPEDIDVHCLASLIKAWFRELPEGVLDGLSPEQVLQCNSEGEFLELVTMLRPTQAALLNWAVELMSDVVEEEELNKMNARNIAMVFAPNMTQMSDPLTALMHAVQVMNFLKTLILRTLRERDDAATGEYTPYSSPASSSQHDDVECCYGSERDMDRSCELSDMHSQISKSGRHADYLVRYNTCFDSEQEVDDNLSEVEEGFLRRLERGLEADRPEESTREHWEIISEVMAVEDAELKAETKAVEKGIQNEEVAEQLR >Sspon.04G0015340-3P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8D:17384383:17386582:1 gene:Sspon.04G0015340-3P transcript:Sspon.04G0015340-3P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMDAEAGRWWTWNAAAVVAAGACLLLLMRVADALWWRPRRLEAHFARQGVRGPPYRFLLGCVTEMVALMAEAAAKPMSPPDSHDALPRVLAFYHYWRKIYGPMFLIWFGPTPRLTVADPELVREVLLTHADAFDRYEAHPVVRKLEGHGLVSLHDDKWALHRRVLTPAFYPDNLNRLAPHVGRSVAALAERWRAMASAAPGGEVELDVAEWYQAVAEETIARATFGRSCDSGRVVFRMQARLMAFASEAFRKVFVPGYRFWPTKKNRLQWSLDREIRRGLVTLIGNRSLEAARDDDDAELNDDKGSNGFRDLLGFMINANDKKKKLAPAIPVEDMLEECKTFFFAGKQTTTNLLTWATVLLAMHPDWQERARQEVLAVCGADELPSKEHLPKLKTLGMILNETLRLYPPAVATIRRAMRDVTLGGVSIPQDTELLIPIMAMHHDAALWGPDATQFNPARFAAGGAAKAAAHPLAFIPFGLGAADVHRAEPGAPGGEAHAGGRAPAVPARAVAQLRARAHGPHAALPAVRRAGDLPAGAGAGAGAGLVAAIRRCIDGDDGTTTLL >Sspon.06G0014460-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6A:79569107:79572414:1 gene:Sspon.06G0014460-1A transcript:Sspon.06G0014460-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding TQAALDSFSEKMTVESWLRNHARMEVVSVYSYGLTVAASLSIDRRPVIAFAHFLYHSFKMNYIEKYWLSELCHVMPVIDSGGHVVKERKSIIVPANGSKWVGLLGANPWRDKGYIELSADYKSVGHFAGNYTSKDQLLEFLKMHLHASDVPFIHPPNVRFHTVSSPLTVDNAFLLLEWIRNIKSNGVILPDRFLACVKEGSWLKTSVGYKPPNESFLSSANWGSLLQSGTSFIDIPMIDQQFYRNKLHVYKQELKAIGVRFEFQEASSYIGSHLMSMAASNVLTRDSVYSLLRLIRFLREKVLSPSQLIDSVKGGDWMKSTLGYRRPSDCIIKDSEWAVASCISDQPFLDVEFYGEGILSYKPELELLGVIVGFKNNYHLVIDNFKFRSSAITSESTVFILKCIRHAGSCQDFIRKLRDLKWVKTNVGFCAPNVSFLVDSEWECLVKIFKGVPIIDLGFYGSVISSYKEELKKAGLITRFEEASKAIANVFKRMVSESSLTKANVLALLLAYRQLRTHSPLPVELFNCMRTEKWIHTSLGFQSPSNTILFDNAWQYLSPIAILPFIDDGHGLGKEIYGYKDELRELGVTTEVKFGARFVLAGLSIPDDPSIMSKATILSFLECIKNYFDSATEPPKGFKDKISKRWLKTSLGYQCPDECILFDAKQCFLHMEDGPFIDEAFYGSEIASFKHPLAMIGVSVDVNCAQDLIARYLRNKTDTDTISRIYMYLKKCDWKPDNKKRNWIWIPNETEGGDWVSSRSCVLYDKNNLFGLQLHVLDKYYDSKLLDFFSLAFSVRHGPCSEDYCKLWATWESSVHELAISDCLAFWKFIATNWTKKTEEILSGCVKVPVCTDGKIILSNKENVFLPDDLLLADLFSKHPQQLLFIWYPSSALPSMSRARFNRIYGSIGVQTISKAVTKNDSFTSANSRFKTVVLSKVIKVGLLQIVLAFLSNPAFDIPAEDRHKMVSCLLNVTIEETDEPITMAYSVSLSSGEVVEVEAGRMLRWERENSKLYMQRTDGASSYEDKIEFATYFAHEISHGLLFQMPDQISSLAELIKIGSLLDFEPAAVAFLLKSKNLQLFPEDEDFLFRSKKMNLNSSPL >Sspon.06G0035780-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:84122374:84125511:-1 gene:Sspon.06G0035780-1D transcript:Sspon.06G0035780-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding TMKPFLSSSSSLLSPMAAAVAVVAVSIILSATPPVAAAAVEHTFQVSQVNMTHMCNEIPVTVVNGQLPGPTIEVTEGDAVIVHVVNKSPYNLTIHRHGVFQKRNCWNDGVPMVTQRPIRPNGNFTYRFDVAGQEGTLWWHAHDAFLRGSIYGALIIRPRNGAAAYPFPKPHKEIPILTGEWWEKDLAAVDRNFSTGVFEDGYVLDVEPGKTYLLRIINAALFSEYFIKIAGHKFTVVAADANYVTPYTTDVIVIAPGETMDALVVADAAPGRGTVQYQHSGGATNSSRDNIVVVAPEMPHQHDTIKSFYFHGNLTGLRHRQRARVPAHADEQLYVTLGLGSICRHGRKSCKRGDGSESIQVIANMNNVSFHDATVTPILEAHYYRRGGNGEVGTAGLPDHPPSAFNYTDPALITSGPEEMRLEPTSRATVVRRFRHGAVVDIVFQSTAMLQGDSNPMHLHGHDMFVLAQGIGNYDAAKDEAKYNLVNPARKNTVLVPNLGWVAIRFVADNP >Sspon.05G0013390-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5A:46003516:46004783:-1 gene:Sspon.05G0013390-1A transcript:Sspon.05G0013390-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEKAAAVGGLGGAGAADAAPAGEAAAARVRPVETLLRAAPLGLCVAAMTVMLRDQQSNEYGTVAYSDLGGFKYLVYANGICAAYSLVSAFYTAVPRPATVSRSWVVFLLDQVFTYLILAAGVAGAELLYLAYNGDKEVTWSEACGVFGSFCHQARTSVAITFGTVLCFILLSLISSYRVFSAYEAPPSSALGSKGVEIAAYPR >Sspon.06G0014420-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:58831688:58837404:-1 gene:Sspon.06G0014420-4D transcript:Sspon.06G0014420-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CBBY-like protein [Source:Projected from Arabidopsis thaliana (AT3G48420) UniProtKB/Swiss-Prot;Acc:Q94K71] MSTAAAAAAAASSTLLRASNISVARPVPVTAGQQLVPSGVACCIRTVSGRRAAVVRAAVAAAADGAAPAGTLPAALLFDCDGVLVDTEKDGHRISFNETFAERELGVSWDVELYGELLKIGGGKERMIAYFNRTGWPAKAPKTDEERKEFIASLHKRKTELFMALIEKKLLPLRPGVQRLIDEALGKAVKVAVCSTSNEKAVSAIVSYLLGADRAEKITIFAGDVVPRKKPDPAIYILAATTLGVDPQRLLGQTTLFFVNLCCC >Sspon.05G0002140-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:6747033:6748751:-1 gene:Sspon.05G0002140-1A transcript:Sspon.05G0002140-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHARHVLVPSVFLFVVALCTVVLSPPRWPRSTSFFQSSWLPPVNRREHGDSADGHPCDYSDGRWVRDDAADVTTYTEDCPFLDPGFRCTRNGRRDSSFRHWRWRPRRCDLPKFNATDMLERSRNGRIVFAGDSIGRNQWESMVCMLAADVPPTASASSSRVYERSGKPISRHKGYLAMVFADYNLSVEYYRAPMIVMVHRFPAANATGRGGVRGAVRLDVLPRHADRWAGADVLVLNTGHWWNQHKTVKAHYFEVVVRVWLMSRGNYFMVGDRLDETMSIKDAFRRSLQTELFSLALQPSVRGLNFLADCNHGFSSSENGSNGTWDTGGSCAGHREPLTTNVHFGEECSWMNAMIAKMTEGIQSHGRKARFLNITHMTELRPDGHPAGHREPGTPPDAPEDCSHWCLPGVPDVWNQVLYAHFLSAGYGTRRDER >Sspon.05G0032820-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5C:22988362:22992125:-1 gene:Sspon.05G0032820-1C transcript:Sspon.05G0032820-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ISGDPVGLDPAILWHGGAYRLPQALRQATPTPVAAPVAAPVAAERQRFGLHYTRRPRPAPAPQPQPAVPPVPATPVEAPSAPAPQVVAPEPPSRETRSRTGSLPPPMQRYGFTAEASSLASPLPGNTRAALADANWRAAMAEEYKALVDNGTWRLVPRPPRANVITGKRVFKHKFHADGSLARHKARWVVRGFSQRYGVDYDETFSPVVTPATIRVVLSIAASRSWPIHQLDVKNAFLHGHLNETVYCQQPPGFVDSAAPDHVCLLQKSLYGLKQAPRAWHQRFSGYIQQIGFTASTSDPSLFVYKQGDGIAYLLLYVDDIVLTASSTTLLRRLIERLHSEFAMTDLGDLHHFLGISVTRSAAGLFLSQRQYAVDLLQRAGMAECHSTATPVDTHAKLSAVDGAPVADVTQYRSLAGALQYLTLTRPDLAYAVQQVCLFMHDPREPHLAMLKRVLRYVKGTLSTGLHIGTGSISSLTAYSDADWAGCPDSRRSTSGYCVFLGDNLVSWSSKRQTTVSRSSAEAEYRAVAHAVAETCWLRQLLQELHAPISSATIVFCDNVSAVYMTANPVHHRRTKHIEIDIHFVREKVALGQGVRCFYMTSQLVSSVIELAHSVGHEGIQKTLQRLRQHFLVDHDRRLVADFVRACAVCQRNKTDSLHPAGLLQPLEVPSSVWSDIAMDFVEGLPRVHGKSVILTVVDRFSKHAHFIALSHPYTAASVAKAFFKAIVRLHGFPRSIVSNRDPVFTGNVWKDLFKRAGVQLRMSTAFHPQTDGQSEIVNKTIAMYLRCITGDRPRAWLDWLPWAEYCYNTSYHSALKATPFEVVFGRPPPALLPYTEGSASTDTVDTLLRDRDSFLQDVRERLLQTQEYAKRHYDGRHRALEFAVGDWVLLRLLHRPAQSLVPGRRSKLSPRFAGPYQVLDRIGAVAYRLQLPDGARIHDVFHVGVLKPWRGAPPETLPALPPLRHGRVLLTPECALKAQLRRGTWVVFIKWKGLDASEATWEPVEDFKTRFPDFQLEDELFVGEGRDVMVGKPGIEIYLPLGPWALLFTSPSLPPFPSVLGRRARRLLHAATADSSRGLPSSITTLYDPLVPTG >Sspon.03G0019910-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:61993526:61994966:1 gene:Sspon.03G0019910-1P transcript:Sspon.03G0019910-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding KGLPHTILTPTVPPDIRRHRAPSPEPPTRRVVVGSPRSLSAWRPDRLWPPGSLWSLLAKSLLVGLRSWLLPAVRQLAVLGRGLGRVGGRQLTDLGGASVLAAVRVRREPVRPPAARLELDSSHLYPSWLPACDR >Sspon.03G0038060-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:1791944:1813050:1 gene:Sspon.03G0038060-2D transcript:Sspon.03G0038060-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQAKEGSATDSSSEDISSQSHSTDLLSKLPIREGWAHPMVLYKNFWLGPRVATNIIHLQNSFKARQDDTIIASHPKCGTTWMKALVFTLTNRSRHEFGNHPLLFQHPQEVVPFIKIPFGVELTYVNTLPSPRLLTTHMPFSLFPKSITNCGCRVVYICREPKDAFVSQWHFQNKINREYSIDLDVVLPCFSPNGPFWEHCLEYWRESVINPDKVLFVKYEDLTSEPTKCVKRLAMFLGVPFSIKEEEDGVPEKIVRLCSFEKLSGYYANQAGDINQIGNLAFDKSVFFRKGMVGDWVNHISEEMGRKIDCIMEDKLKGSGLRGTTWMKALVFTITNRSRYEFGNHPLLFRHPQEVLPFIELPLGVELTYVDTLSSPRVLATHMPFSLLPNSIITCGCWIVYICRGPKDVINKEDDLDMFFERFSPYGPFWEHCLDYWRESIASPDKVLFLKYEDMASEPAKCVKRIAAFLGVPFSIKEEEDGVPEKVVRLCIFEKLSSLHANQGGDIVRRGNMVLDKSVFFINGMVGDWIKESFTNEPSESSNSEAHLVARLPTREGWMTPLTLYSQCWLRSHTLGKFMAVRDNFKPRSDDIILATHPKSGTNWLKALAFTIFNRSRCSLSDHPLLTTHPQMAVPFIGFSSTGGGDLDHLETLPSPRLLSTHLPLSLLPPAVSTLGCRVVYLCREPKDAFVSRWHFENTIGTGAPVGLDAAFAMFCEGCSPFGPFWEHYLQYWKESSARPREVMFLRYEQMASDTLEVARKLASFLGVPFTQEEEDRGVPQQVVSFCSFESLRNFQANKASDGVEAAGGKLFFQRSSVFRKGKIVYICRGPKDAFVSRWHFENKISREYSINMEDALDMFFEGFSPYGPFWEHCLEYWRESIASPDKVLFLKYEDMTSEPVKCVKRIAAFLSIPFSIKEEEDGVPEKVVRLCSFEKLSGLHANQAGDIVRSGNIVLDKSVFFRKGMVGDWTLPSPRLLATHLPLSLFPKSITSGCGCRVVYICRDPKDVFVSRWCYHNKVHRGHSVDLKTAFNMASEGFSGYGPFWDHCLEY >Sspon.02G0023580-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2A:80433459:80433803:-1 gene:Sspon.02G0023580-1A transcript:Sspon.02G0023580-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWRPQGCSDERSWSPSWTSFSPRSGLTVCPVLAQVDSRASLSRNRPAFQQIQTATAPRLLLSSSSPSLIGPACPCTLPSGSLSPSGLQRRRRRQVAVAGNRLTAVFYWALIYL >Sspon.02G0038720-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2C:47593793:47594860:-1 gene:Sspon.02G0038720-2C transcript:Sspon.02G0038720-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VNNSATKHLSGDECINIDVSIPAAAGFTCIATYWPNWHSSGHIKIAVVITRTDDQHHPHRHKLLVAHIDLPARTGLTPPPVTVRRETVTTDCNCNEGIVRREPVTTDCKDGMGKVKREPVTTDCCKEGISLIAKRVDVEAKCVVDDHFTAICTVAVPKFWPPVPLPTPSRLEHDIHKASDLTDVSFQVDGETFRAHRLVLAARSPVFRTELFGRRQMAESSQQQESSIAIQDMKASTFKSMLHYMYHGLLPAETAWPMSECQNLHVAADKYGLDTLKQTCEEILCASVTTDTVLSSLQFAEERACLKLKSRCLDFLADGEKFKAVAVTNEYIDLMKKVPSLLDHVQNRFKRPRLS >Sspon.07G0000120-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:394969:409996:-1 gene:Sspon.07G0000120-1A transcript:Sspon.07G0000120-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDINTIFNWSFSILIVGFPTLMFGLTSKVVKQMIDAETLELQRKLDEKQKSRAQTPEDQDKTSDKIAVEVFKEALSELRLCSRVEELLLKKKSITPGDSLETHSQKAYSLASSSSKAEQRILEHRRQKEDALNFRVKKENEVSASEKELLDEITDLEKQRDELEAQLKKEYSNALPSVTYWSIYH >Sspon.01G0027450-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1A:96217439:96217953:1 gene:Sspon.01G0027450-1A transcript:Sspon.01G0027450-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTGGGGGRGKAKPATKSVSRSSKAGLQFPVGRIARYLKAGKYAERVGAGAPVYLSAVLEYLAAEVLELAGNAARDNKKNRIVPRHIQLAVRNDEELSKLLGTVTIAAGGVMPNIHSTLLPKKAGHKGDIGSASQEF >Sspon.06G0016500-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:18203484:18204878:-1 gene:Sspon.06G0016500-2D transcript:Sspon.06G0016500-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATIQEHFLFVTDPMQSHTDPARRLAVRVAAAMPNARVTFSTAVSGHRHMFPHLTSPDGEVVQGVVSFIPYSDGFDSGFNPDKHGHGVGAYRERAREVGSETLASIVARLARRGHPVTRVVYTALVGWVPAVARAHGVPAALYWVKPATVFAVYYHYFHGHGALLDSCCANDDADPHAAAVRLPGLPPLKADALPSFASMATPGSRNYLTLDTLRDIFLALDEHRPTAVLVDTFDALEPEALRAVPGSTSSPWARWWWTSRAGHAWSCSSPTTPRVRGLAGHEAAALRGVRVLRQHPLVEQAAGRGVRRGLEATGRPYLLVARKGNSGGGGSDSGQGMVVEWCNQTKVLSHGAVGCFVTHCRWDSTLESITAGVPMVAVPRWADQPTVAALVEASAGVGVRAWVDGDGVVGRGELQRCVEMVMGSTGSASAVRARAECWGQRAKEAAAVGGTSQRNLRAFASGL >Sspon.03G0008800-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:4792424:4796136:1 gene:Sspon.03G0008800-2P transcript:Sspon.03G0008800-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRRVASSLLRSVSRLRAASPAAPRPRAPPHRPSPAGYLFNRTAAYASSAAAQAAPATPPPATGKTGGGKITDEFTGAGSIGQVCQVIGAVVDVRFDEGLPPILTALEVLDNNIRLVLEVAQHLGESMVRTIAMDGTEGLVRGQRVLNTGSPITVPVGRATLGRIINVIGEPIDERGDITTNHFLPIHREAPAFVEQATEQQILVTGIKVVDLLAPYQRGGKIGLFGGAGVGKTVLIMELINNVAKAHGGFSVFAGVGERTREGNDLYREMIESGVIKLGDKQSESKCALVYGQMNEPPGARARVGLTGLTVAEHFRDAEGQDVLLFIDNIFRFTQANSEVSALLGRIPSAVGYQPTLATDLGGLQERITTTKKGSITSVQAIYVPADDLTDPAPATTFAHLDATTVLSRQISELGIYPAVDPLDSTSRMLSPHVLGEDHYNTARGVQKVLQNYKNLQDIIAILGMDELSEDDKLTVARARKIQRFLSQPFHVAEVFTGAPGKYVELKESVKSFQGVLDGKYDDLPEQSFYMVGGIEEVIAKAEKIAKESAS >Sspon.01G0049680-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:96022544:96025372:-1 gene:Sspon.01G0049680-2D transcript:Sspon.01G0049680-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAVAPEANESSGGAAVSLGPLWWASECHEVLYSLAVMLPSLAFVGFLAWQARRSFRRLSYGRSHVVVVAYYALLWGVAVLNLLWCFLQAWQCMPDRAFSWNVLSLFTKSGMLFLEVSLIAFLLQGNDATGFESLARTFVISGAVVADVLLKEEDMLLENVYYSEMKDAGFFDADWD >Sspon.08G0003750-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:10502033:10504745:-1 gene:Sspon.08G0003750-1A transcript:Sspon.08G0003750-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLGWRVGSKLQRWGWSCLRGFSSAAVPSQLENVGFIGLGNMGSHMARNLITAGYKVTVHDINENSMKKFSDDGIPTKQSPLEVSESSDVIITMLPSSTHVLDVYNGPNGLLFGGERLGPWLYIDSSTVDPQTSRKISTAISRCHLKEKKGYAESPMILDAPVSGGVPAAEAGKLTFMAAKICNNMAMAISMLGVSEAFALGQNLGIKATTLTDIFNCSSARCWSSDTYNPVPGVMEGVPSSRNYSGGFTSKLMAKDLDLAMASASGVGFKCPMGSEALEIYRKLCEDGCELKDFSCAFRHHYAGKDEE >Sspon.08G0013210-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:55961899:55965001:-1 gene:Sspon.08G0013210-1A transcript:Sspon.08G0013210-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MWILRSLCLLALAASAAVSGRHHVPSPAHGTGQSMYLAPACRAHTASLADFGGVGDGTTSNTAAFRSAVDHLSQYSGEEGGGAMLYVPAGKWLTGPFNLTSHFTLFLHSDAVILASQGAMWWSKFHKNQLKYTRGYLIELMHSDTIYISNLTLLNSPAWNIHPDCYIVSGDDCVAIKSGWDEYGISYGMPSQHIVIRRLTCVSPTSAVIALGSEMSGGIQDVRAEDITAINSESGVRIKTAVGRGAYVKDVFVRRMTLTTMKRVFWMTGNYTSHPDEKYDHQAIPVVDNISYQDVVATGVYKEAARLEGIQASPFRGICVANVTAELSKSRKYPWKCADVEGVSVNPLQGAHDGACPFPTDTLPIDQVTMQQRRQLAAQVICGAVDARSKEWMWITTSSELKWWSVAFS >Sspon.02G0010390-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:28738814:28741984:-1 gene:Sspon.02G0010390-1A transcript:Sspon.02G0010390-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDESKNSKPEKPSSAAGAGQPNPFDFSSMSSLLNDPSIREMAEQIASDPVFNQMAEQLQKSAQGAGEQGIPALDPQQYMETMQQVMQNPQFVSMAERLGNALMQDPAMSSMLENFTSPAHKEQLEERMARIKEDPSLKPILDEIENGGPSAMVKYWNDPEVLQKIGQAMGVGLPGDSSASTALSGPEETEEEGGDDDESIVHHTASVGDEEAAFTILMTQQGLKKALDGGADKDEEDVEGRRALHFACGYGELKCAEILLEAGAAVDALDKNKNTPLHYAAGYGRKECVDLLLKYGAAVTLQNLDGKTPIEVARLNSQDEVLKLLEKDAFL >Sspon.07G0034770-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:80721622:80732275:-1 gene:Sspon.07G0034770-1C transcript:Sspon.07G0034770-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDASDLFDHMLRNQRKLRVLYLSFYNSSKLPESVGELKHLRYLNLIRTCPLLMFITNNEIEQYESRENIMNINDLASKLASLWEVDSGSHITSVLSEDCSSLKQFMTLMDDDISQHLQIIGSALEEGDIISVKDNIIKAWLFCHEQRIRVIYGRTMEMPLVLPSGLCELSLSSCSITDEALAICLGGLISLETLKLKYNMALTALPSEEESLTVNSSVLLNRLLRAEGFTVPPDLSITDCKEPFVSFEEPANLSSVKRTAENLMEKAGQRFRTFDGRASTNIELASLDLPLEINEKTPQGQYITVPSSLEASEFRLKSEANPSLMLLSPRFPVLPLALLRHPPRLQGRLWKYQNAKLISLNTEQAVPMRHSPIEPRAPTATMAAKRRVDNTTIPSTDTLPVSLFSIHIPGISSSGTIQSSATSQAFHRGVRDATCHKLCGSAHHVATGRFHQSPQEKLMTSLPGSTSHATRMAEAGAQSCAERHMQQTPGREARFNTHGVEEEHQTEELWWEVRAWR >Sspon.07G0008300-4D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7D:19552048:19552455:1 gene:Sspon.07G0008300-4D transcript:Sspon.07G0008300-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPHARPGEEHAPRRGRPPLPRAPRESISKLVIIEMGVLMISPDRSICQIFEGLKEFGLGVTEKGFLYGIRALCGMSRETWLHRVALYRSFGVSEGELPTILSFSDEIIKKIKKLRFFLDELKLELSEVMGQPVLI >Sspon.01G0008780-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:27674845:27682819:-1 gene:Sspon.01G0008780-1P transcript:Sspon.01G0008780-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSQKSRVLGAMSSSLPILPNPFKGSFPRPCNPQHIPMLRQLPDDSMPLRNDIHQSASLHPRAGVIGAPYSGYSASPLDSVSNHDSQSMVAPYISQSSSFEAFPSLSDNTPGTHTEAAWFTSSMDVSPLYTDNIAAPDDNRIQSIPAMTSDETAKQNDWWADIMNDDWKDILDATATDSHSKAMIQTSNSATSLPAVNQSASSHSMEICPVASPPNSSNASVAKQRMRWTPELHECFVDAVNQLGGSEKATPKGVLKLMKVDGLTIYHVKSHLQKYRTARYKPDLSEGTSEKRTAAEELVLDLKTSMDLTEALRLQMEVQKRLHEQLE >Sspon.06G0004790-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6C:13401597:13402400:1 gene:Sspon.06G0004790-2C transcript:Sspon.06G0004790-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCAPRPAWKCMDLRNGSSEFISPSTCTYTSPPQPPWLPDGPPYGHAEIDNAATQCSAEMQLNNATSG >Sspon.05G0016000-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:63204527:63215230:-1 gene:Sspon.05G0016000-2B transcript:Sspon.05G0016000-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRLLGLRSLSFGPEESPREIPSTADAAPPVGSSGWLVRFFDSAFFCEWIAVSYLYKHDHAGVRDYLCNRMYTLPLPGLEAYLFQVCYMLVHKPSPSLDRFVIDTCAKSLRIALKVHWLLAAVLELEDADDLDGIDKVQEQCQVAATVQGEWPPLVRPAPPSPAASPRGNPMLSRIRSSKQRLLSLASSPSLGLSPPAGGGVNVAEDAMGTGGKQPATPSSEDNKLLKRLSIGPKMRDALLFRRSGEKDEEQDRDGFFKRLLRDSRDKEEDDGDREGFFKRLLKDSREKDNEEEEGDRDGFFRRLLRDSKEEDMELTPSSDGLLKRLFRDKEDRQGEDDEKEGFFRRMFKDKNEERRDSIHARHGDEERVGKSVEDDDREGFFRKIFKDKNEEKKDEGHQKLDEREKVGANIEEDKRDGFFRQLFKEKNDEKKEGSTPSKKEEDDKGHKNADDDNFFRRLFKDKNEEKKGITHDRNEDDKCEEGDKENFFRKLFKDKHEERRTEGPDKNDDDGKGTSGIEEEDNPEFLSFRRLFRVHPEDSKSGHIESSQSNNLSEGSPGSESFFKRLFRDRDRSLEDSEIFGSKIAKEARIWSHIIFQLDSSCVHKHPGRTGINEKQSGKPPLPINAVAELRKGSYYASLELVQALCDTSYGLVDIFPIEDRKIALRESLTEINSQIASAEKNGGVCFPMGKGIYRVVHIPEDESVLLNSREKAPYLICVEVLKAEAPSHSKGSSDGHKLSKGGIPLANGDVQLPKPPPWAYPLWSRHETQNYETDRMLKSTSQVTLSAVPGVNMEDVDDNEPTRKKDHRRVPSTIAIEEVKAAALKGEAPPGLPLKGVGQSTQNLDPKATDGGDPKPTDALAGELWAVKRERIRRSSVHGKSPGWDLRSIIVKSGDDCRQEHLAVQLVAHFYALIETIPDTASIHSLKSRFPNISSLRDYYVAKYEENSPNFKLAQRNFVESMAGYSILCYLLQVKDRHNGNLLIDEEGHIIHIDFGFILSNSPGGVNFESAPFKLTRELLEVMDSDAEGTPSEFFDYFKVLCIQGFLTCRKHAERIILLVEMLQRDERS >Sspon.02G0046260-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2C:2137029:2137473:-1 gene:Sspon.02G0046260-1C transcript:Sspon.02G0046260-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VNARNSEDAAQPSVYSAGTARRRLPPLPSAHAGRLLPSVRITPGYKKKLPGARISGIPIRNRSATVAVPFPTSQPMQVYASLWDAERRSGRARAGDGSTSRSTVATRVPPLRQPANRRPPVPHLPLQQPFAGPHTPRGTQAERDQANQ >Sspon.02G0043200-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:121589614:121590887:1 gene:Sspon.02G0043200-2C transcript:Sspon.02G0043200-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MENMLHLAPSQALPTDRSTFLREQLPPAISAAASLPVIDMSRSRDEVRRAILDAGIEFGFFLVVNHGIPEEVMRDMAEVCEEFFQLPAADKAYMYSEDRHKPNRIISGTTYETGGEKYWRDCLRLACPFPVGDATRDWPHTPQRLRGVIEHFTTQTRGVGMELLQLLAEAMGIRPDYFEGDISSRDVVLNINHYPPCPNPEKTLGLPPHCDRNLITLLLPGSVYGLDVAYKGEWIKVEPMPGAFVVNFGQQLEVVTNGLLRSIEHRVVVTSSTLARTAVATFIMPTPDCLIGPAKEFVADDKPPCYRTVRFRDFMRIYNVVKLGSSVNLTTDLKNVQKEI >Sspon.02G0050380-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2C:57160029:57160259:-1 gene:Sspon.02G0050380-1C transcript:Sspon.02G0050380-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHVQTGNLLKSHKNLLDRLGSICSSYGDILALATPSASIGAEPSPGLDPRYIQLVEQLFSLVLEYTPTYIPSENL >Sspon.02G0015600-4D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2D:36649825:36652930:-1 gene:Sspon.02G0015600-4D transcript:Sspon.02G0015600-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPPVPSCLLYFSVSGLALMLGWVVRKIPDELVEHYLGRSGFHCPDLRLGREGEPKKNGRGGEGAIKAKNPSCCHPCWLRHRWLAQNLLQSAVAQSIWKGMAAVVDLRAQISEEREKKWESGEVSGSGSGGTSRERGRGSYAPWIRGGDHDRRLVLTMD >Sspon.06G0020760-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6B:10882567:10882956:-1 gene:Sspon.06G0020760-1B transcript:Sspon.06G0020760-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GPDCQSLAGVPSESASLRKRGVRLVLVPAASGNRQMVPAAALLAFDSKAEGYVVRGKQPRSDDTMGKVSSDNGGSGLRPTAGHGNVEKLLHRFLLGMRDLEERQAQCEERREQRDEAWHAETRLLHFEL >Sspon.01G0020260-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:115356252:115356845:-1 gene:Sspon.01G0020260-1P transcript:Sspon.01G0020260-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMKLLVLLALLQVLLLNAHVSEAKKHRKPGSAATGTCKASGVLHGKAGNCKRIHDSECCQAGESYPQFRCSPPVSAKTPAILTVNSFRKGQDGGGPSECDNRFHGDDEKVVALSSGWLRLDGTKRCGKMVRVTASNGRSVLAKVVDECDSVNGCDEEHNFEPPCPPNVVDGSPAVWKALGLNDKIGEVKVTWSDA >Sspon.03G0004470-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:11465717:11466528:-1 gene:Sspon.03G0004470-1A transcript:Sspon.03G0004470-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSIVMAADYVVGNPAGGWDGRTDYKSWAAAQTFAPGDSLSKCHQASARINILCRLSLCVACLPTTRKIPIALIPMQILQIQLLPQRHGGDQGRLRSVHDDRPHLTIFYDNSGSTTIALTMPGTRYFICGAPGHCLGGMKMVVEVADRPAPTTPTSPPPLPPPAPMTLAPAPRPWSPSPAPGAAPPRCAGHKKHRKRYCPPETLHAPAPAPTVQAVEAFPVAMFAPMSAPSPPPPTSGGAAVLRATWGEATAALAALGWFMLVAL >Sspon.01G0007110-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1A:19236711:19237604:1 gene:Sspon.01G0007110-1A transcript:Sspon.01G0007110-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RPL4 [Source:Projected from Arabidopsis thaliana (AT1G07320) UniProtKB/TrEMBL;Acc:A0A384KN11] MPGAAVASPLLLSLSSSSSPFLSSSSTSFLPPHSTAASPHAAVRTKADVSVLRALRAEAATLPVLSFTGEKVGEVTLDLKSAPPSTARAVVHRAIITDRQNARRGTASTLTRGEVRGGGKKPYQQKKTGKARRGSQRTPLRPGGGVVFGPKPRDWSIKINRKEKRLAISTALASAAVAEDAFVVEEFDEAFASGPKTRDFVAALQRWGLDPKQKAMFFATEFDDNVRLSGRNIGSLKMLTPRTLNLYDILDARKLFFTPAAVDYLNSRYGASVSDDYYTDDEDDGEEGTTAEAAQGT >Sspon.04G0028700-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:69563901:69564266:-1 gene:Sspon.04G0028700-2C transcript:Sspon.04G0028700-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding HEAAPEAAVPHGGGGGRGRRRPGGAAHQRGEEEEGEEAWGGAGGPRPGVRGRGGRARGALRGARRAAGRAALRRAPPPRRAGVRVRAPGGAPHPLPRRRLPPPPAPPLPRPFPLARRRMLRL >Sspon.02G0003180-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:10474329:10478538:-1 gene:Sspon.02G0003180-3C transcript:Sspon.02G0003180-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding NASPSAAGRPQQGPVQRAQFRGGAGPLLQPPLPQGGVAPARRAAPGARPGPRPGHHRPHVGARGPAAAEPAAPPARLRRLRHVAVGALPPRADRRRVRPHRARPRLLRRLLHAPPRPLRRLPGLRHQGRHGRHRGPQVRPRRRQLRRLRRTPDGRHVPGGRRPGRLGLRGGLPRGEGPGGGTLPRGWGRGGRRAARAAPPGGGAPPRPPHLRASAAHHALLLPLGLHQPTLIIWGEQDRVFPMELAHRLNRHLEGNSRLVVIKNAGHAVNIEKPKEVCRSIIEFFKEPVAGAADADDKVGTFHV >Sspon.07G0006910-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:16075959:16078841:-1 gene:Sspon.07G0006910-2B transcript:Sspon.07G0006910-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATQLVFSNASSCQCPLGISPINVRKTLLGESASFQRKKWFSGDSYRFSRLECSANSRRAGPRRTKDTLYDLHPEISLLYGEDNGAATVSSKEQGIDTAAERLVDTSPSYCYNEPKIKVIGVGGGGSNAVNRMIESSMKGVEFWIVNTDFQAMRMSPIEPENRLQIGQELTRGLGAGGNPEIGMNAAKESQELVEQAVAGADMVFVTAGMGGGTGTGGAPIIAGIAKSMGILTVGIVTTPFSFEGRRRALQAQEGIASLRSNVDTLIVIPNDKLLTAVSPNTPVTEAFNLADDILRQGVRGISDIITVPGLVNVDFADVRSVMSDAGSSLMGIGTATGKTRARDAALNAIQSPLLDIGIERATGIVWNITGGNDLTLTEVNAAAEVIYDLVDPGANLIFGSVIDPSYTGQVSITLIATGFKRQEESESRSSQAGGDSNRGGSGWFSPTSQEEGHALQIPEFLQRKGRSGFPR >Sspon.03G0021550-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:65860114:65862853:1 gene:Sspon.03G0021550-1A transcript:Sspon.03G0021550-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GTPNSTPNASGGAKSSKKSGGQKKSLEAAGSTQPSSKKQKTSGAFQEQSIDQLNDVTAVSGVNIRRIDTEKTGHRLVITSDVGRQILQMNQKAKEEWDKKQAEEADKNKKQTEADGSGATELEKEKEESRPKNVKPNKEEDDKMRTNAANVAARQAVGGSDMLSKWQLMAEQARQKREGLDVSAASQPGRGSGSRPLSKFGKGPGEHQEGSKRSHSVAFGTGGMKRPGSTPFAGPQRMISVKDVICALEREPQMTKSRLIYRLHERLPDSTVD >Sspon.07G0019390-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:75808726:75809259:1 gene:Sspon.07G0019390-2B transcript:Sspon.07G0019390-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAALLVLLPILVATAAPAASAASSSGEKSTHIKLYWHDVVSGPSPTAVPVARAAVTNTSKTGFGAVVVIDDPLTEGPDLNSSKPLGRAQGTYIGAGKDEVSLMMNMNFVFQAGKYNGSTVAIMGRNAVFNAVREMAVVGGTGVFRMARGYAQARTHTLDLKTGDATVEYNLYIKH >Sspon.05G0034750-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:63405498:63412081:1 gene:Sspon.05G0034750-1C transcript:Sspon.05G0034750-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTSPLCIPEFHEVNHSVEDCLFDWNQRKRDHTGVIRDGSGQSPEFQTMGVQRQRECAIGTLGRLSFQSPAASPAAADYEKSGHNYVPAIRILPVAHPIVPASSSSSVRIMLPPPSDAPTPHAVVSSDFDSPFTI >Sspon.01G0026470-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:69134708:69137251:1 gene:Sspon.01G0026470-4D transcript:Sspon.01G0026470-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:LSP1 [Source:Projected from Arabidopsis thaliana (AT5G35620) UniProtKB/TrEMBL;Acc:A0A178UEE3] MAEVEVPAAAVATTTPEAAATEGGAATEAKGPHKLHRQWTFWYDIQSKPKPGAAWGTSLKKAYTFDTVEDFWSLYDQIFRPSKLSGNADFHLFKAGVEPKWEDPECANGGKWTVPCNRKATFENMWLETLMALIGEQFDETEDICGIVASVRARGDKLALWTRTASNEAVQ >Sspon.07G0026890-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:50953328:50955797:1 gene:Sspon.07G0026890-3D transcript:Sspon.07G0026890-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRPPGDCVPVEAALSWVANLGLVGESPSSLDDLDSIFSAVLILRVPIYLVMMESHNPSHSQIAEVKMDISASASGAAGNKFCKGAACDFSESSNSTKDAKERSASMRKLIIAVILCIIFMTVEVVGGIKANSLAILTDAAHLLSDVAAFAISLFSLWAAGWEATPQQSYGFFRIEILGALVSIQLIWLLAGILVYEAIVRLINESGEVQGSLMFAVSAFGLLVNIVMAVLLGHDHGHGHGHSHGHSHDHGHGDSDDDHSHHEEQEQGHVHHHEHGHGSSITVTTHHHHHSGTGQHHDVEEPLIKHEADCEGTQSAAKAAKKPRRNINVHSAYLHVLGDSIQSIGVMIGGAIIWYKPEWKIIDLICTLIFSVIVLFTTIRMLRNILEVLMESTPREIDATRLERGLCEMEGVVAVHELHIWAITVGKVLLACHVTIAREADADQILDKVIGYIKTEYNISHVTIQVERE >Sspon.01G0000890-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:1821364:1826061:1 gene:Sspon.01G0000890-2B transcript:Sspon.01G0000890-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARFLGAGEEREEFFDSREVLSPASVASSPSSSGRHDDGGWLFAQQLLEVWVRDPGSVHERRQRFVKSLGLLDPSPYAARPGEETCSKPEASEEILPANPSAELFSAAPTFASRGGEPTASGDDGAATEERLECVFKNLDDGTVFVVDEMGKDGSFRSLRERRSNRTVTAAEFEQTFGSSPFIRELMRRVDDSDEPSTPEKTVMRRRRRRRLGWLRRLGIGVCVVDADAEEDDEVNSTSSASSRGCSRKVDRVKVRPYKKRSKELSAVYKGQVIKAHEGAIVTMKFSSDGQFLATGGEDGVVRVWRVVEGKRPDDRDFIEDDPSCVFFTVNENSELAPINSCEGGKGKHSKSSKGATDPACVVIPHRTFALSEDPVHEFRGHHDVILDLSWSKNRELLSASMDKTVRLWKIGCDSCLKVFSHNNYVTCIHFKPTNDNYFISGCIDGMVRIWDVPRCLVVDWVDSKEIITAVCYRPDGKGAVVGTITGNCRYYDASENHLELESQVPLYGRKKSPLKRIIGFQYCPSDPKKLMVTSGDSQVRILDGVHVVSSYKGLRSSSQVPAAFTPDGDHIISASDDSSIYMWNYANQIAPVTSRVKTVWSYERFFCNDVSVAIPWNASPAKSSISLACNIPSSRQEVSEEFHNLQDSTSCGNAEDSLEGDSLYQLPSGNFTLSNAFFAELAPRGKATWPEEQLPSNSVTPSSSALRKSQYKFLKTSCQSAATHAWGQVIVTAGWDGNIRSFQNYGLPMQV >Sspon.05G0031720-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:15284804:15286197:1 gene:Sspon.05G0031720-2D transcript:Sspon.05G0031720-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPKLDPSQVVEVFVRVTGGEVGAASSLAPKIGPLGLSPKKIGEDIAKETAKDWKGLRVTVKLTVQNRQAKVSVVPSAAALVIKALKEPERDRKKVKNIKHSGNISLDDVVEIAKTMRPRSMAKEMAGTVKEILGTCVSVGCTVDGKDPKDLQQEIDDGEAIQLKEIIRRC >Sspon.06G0034810-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:56524016:56527770:-1 gene:Sspon.06G0034810-1D transcript:Sspon.06G0034810-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIELNFVLQKEEPFAFPKKAQEPRQKEVELRLLEEETAKRVEQAIRKKVEESLNCDEIKHEIQRRIEEGRKSIHEEVAIQIEKEKEAALNEAKLKVEREKKEREELEKKLEEERKKAEEALMKEAMEQQQKELERYQELERLQKEREEAMKRKKMEEEQHRQNQMKLLGKNKSRPKLSFAFGMK >Sspon.08G0008760-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8A:37480677:37481160:-1 gene:Sspon.08G0008760-1A transcript:Sspon.08G0008760-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPLRSAAAAPCSVAGLLLHRFSSCSSSSVYSVRHHASPAVSSQPTTCSTTTWSPSPHSSSPRIRGFAAWASAPGQAGSADSPATKALEAKIKEQLEADTVTVVDTSGDGRHVW >Sspon.04G0023150-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:10747423:10751474:1 gene:Sspon.04G0023150-1B transcript:Sspon.04G0023150-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AMDKGKGGVVVAPAPAAAAGKKAKRFDIKKWNAVSLWALGIECQANQASATSEECTVASDNSEWEFQKLGHAVRGRLVGNPVFFFADVRGQQQVVVHAPEPRRRPGPALNRVLSDAASDRRRRDSSSSKATVGVVSLPPCRGRVTICAIPTTSSATTKRAA >Sspon.08G0000530-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:461429:462840:-1 gene:Sspon.08G0000530-2C transcript:Sspon.08G0000530-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRAPASLRLQAAASTATATPSEQQASSSPLLPPPPPQQQQQSAVSVDSDMVVILASFLCALVCVLGLALVSRCACRLCRRASSSTTATGPAQQEQAPPPKGLKKKAIDALPTVPFTAAAPPCPAAAADCAICLAEFAEGDALRVLPRCGHAFHVACVDAWLRTRATCPSCRAGIVAAPAQHKQQPQPQPPVVAPGACGRCGHVLMAATPAPQVHISFSAAADAHLKNKKKKKKKKKILRGPSSSRLRLHLSWSNQPPS >Sspon.03G0022280-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:90785676:90789482:-1 gene:Sspon.03G0022280-2B transcript:Sspon.03G0022280-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAHHQQSNASGTGANTKSGCDKMQSLKASAHINKSSGNMAAKRRKGADFSPFESHTESKIASKTGGLMEAPSRDAKMLSARPPNHYGKIKLQLFPIDETIQEIMQQEKHNPYLELTLAPRKKMSSIVQHLNTKWGSSQCAKGELMLFPNDTRLDTVGGSAKWTLEDSCTAADVHQQSSEPSLASAHSTDKTIGSKPPDIVFNEQKHMAGSGEFPNNFVTPSVVNNTNTSQVADNPSKVAPLSWLDSISNISFGALLSEAAPSQDSKQPPSQNNTCLQQIPVTCDSFDAAIASLIARQQPSNQPKVLNPSLWEAEETCHAFPFQNQTSQASSSVPGNSIATMSSSVLCAIPETGTDDQQCATDGRKEELNGQTSVLGDDINAKPDISMHESAGDPEPGASCPRFLNGTDSIGLSSLLTDSLDAFQKFSVF >Sspon.01G0034080-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:784651:790565:-1 gene:Sspon.01G0034080-1B transcript:Sspon.01G0034080-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AGRRPRRCRRPFSSPGYGRLGGLAWCAWGSDGFLLACAPRISRVRRRSSFQVRPVQARSVLLLNVPRVGRHPQLPPSPSTRLNPHRRCCSSSRQPPPPVPIGQQLGMKQMIMKKKLELQTALPSCQILTVVCTSKPQSLRTLGANGIVILIVAVLC >Sspon.01G0016540-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:54247419:54253660:-1 gene:Sspon.01G0016540-1A transcript:Sspon.01G0016540-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:UTP:RNA uridylyltransferase 1 [Source:Projected from Arabidopsis thaliana (AT2G45620) UniProtKB/Swiss-Prot;Acc:O64642] MADDPSSSGCGGGGHHTSASQSTATHTSPASFDGGLLLRFLQNPPSAHPREEFLAPPPVAPGAHHLFSDPAVAAVGPLFAASAQAQGGDFGWSSTSTTHLQQQPEFPDPRFAPGEPFAARAGRGFGSGDAVRVERPRPGAPPPGFDKPSHPPPTARGARNASGVVLSREQYNPRPMDFVPALADVHDAVGRMPHGHGEQLSMPITGGGDAAVGMMYREQQQDRLLSRTPPEINANGQFGRMCLGEQHTLPILGGRRLHGDQYMPPVQEGRAPHSGHGQHESCLTNPLQREQRWQGFREDKGYVSQKVPNANAHYTFGKALVKELHHVTVPAGSSGPVEIMENQGSGLEGGRIGKVVLEHGIDGKVVVEASKFELSYEKSKIRFAGHDEQYDGDDREDAIIEQMTQNLVIDGNGDAKGVVLEKTIPRSKDFRSDFSRGHHVSSQRIRFQRRNRPCRYDIDRFTPNFLSIFESLMPSEEEIAKQKQLLTSLSRLINTEWPNSKLYLYGSCASSFGFSNSDIDLCLSIDDKEMSKVDIILKLADILQAGNLQNIQPLTRARVPIVKLMDPETGLSCDICVNNLLAVVNTKLLRDYAQIDRRLRQLAFIVKHWAKIRRVNETYQGTLSSYAYVIMCIHLLQLRRILPCLQEMEATYYVKVDEYNCAYFDQVEKLNNYGAHNRDTISRLLWAFFHYWAYEHDYTRDVISIRTGRIISKERKDWTRRVGNDRHLICIEDPFEISHDLGRVVDKFTIKILREEFERAANILQFDPNPSITLFEPYMPPLLPGLLQEETKNAAEIEL >Sspon.01G0063080-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:114763677:114764498:-1 gene:Sspon.01G0063080-1P transcript:Sspon.01G0063080-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RAPMAQLLRAARPVLVLVLVLLLPFLLLATSASASSPTLVFLLAGQSNMGGRGGATNGTWDGVVPPACAPSPRILRLSPSLRWEEAREPLHAGIDLHNVLGVGPGMPFAHALLRSWRSARRRPAAVLGLVPCAQGATPIASWSRGTPLYDRMLARARAAVAGGSSKLAALLWYQGEADTIRRQDADVYTARMEAFVRDVRRDLGMPDLLVIQVGLATGQGKFVDIVRQAQRRVSLHNVKYVDAKGLPVASDYTHLTTPAQVQLGKMLAASYLAA >Sspon.05G0029620-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:81355842:81360065:-1 gene:Sspon.05G0029620-1B transcript:Sspon.05G0029620-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAASLRSIGSRFKGFPGWLLQCQQVKKRPISFIQNKAGIWVLEDACRKEGPFAKMKAKLNQGWDYLDDRVKGIILESIIKPTLLTIWGAGVFSVTRSLERLGLVDAGLSNATNQKELITAIVKVENEKTLEKLRQQRADDKAMLSKLAEEFDSHRKLTKVWLTRLIENTVDPSSKEEIAKFLKVFSLYDKDGDGMITMDEARSVIETLTDNMSESQLHKLVAESGRITLPDLLKLMVDKVRRCWGFNL >Sspon.06G0014330-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:61835887:61839124:1 gene:Sspon.06G0014330-1T transcript:Sspon.06G0014330-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQKPDDAMAEAAPKAAAVTGPELGFWLAARRRLALDDPFFAAGDLECELLAKHLALDLSEDDRNQLEKMEVASTWLLSIHVSEAHDSYFQAKVARGFPMYECLVEGCGMKLKSYKSRQQHLIDKHQFPKSFEFFKKARASQRQRQKSQRRQTAHKGEETRDNSMDIDGKGARQTNWRYRPKQHDSNESKENKQKHKEAKEKEMEVEQKIDELTSAVSKLSTADSTPASLTFGHRRSRGLTFVPRSIRQNKQAASQMEATND >Sspon.04G0012380-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:40896195:40900495:-1 gene:Sspon.04G0012380-1A transcript:Sspon.04G0012380-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEPAPAAAEEEKKAVADADAAVVAKGKEKAEVAPTVTASAAGTRGRFVAYPARVAEHADVVADAARFRAALEGLHAQMGTRLKVPIIGGKDLDLHQLYKEVTSRGGIDKLYFFRVQGWHQQEIDPASSSDNVDVDVIVDGKFEHGYIVTVIMGSKSTKAILYNCTEEPALPTPEPPVASNSTDLKGGRRRRRRRKKLSTTDPRHPKPNRSGYNFFFQDQHRMLKPQYPGQDRLISKMIGERWNNLSPEDKAIYQERGVQDKERYRTQLAAYKEELRTGQPISNAVPIQQRLPLTEVTIDEVDSKVSEGDMLLSNQGYSSSDESDHSGEKTVEDELNTETSPEVSMETTGSPGHPDPSADVDHFELRRRENPKADEKDNAPPDS >Sspon.03G0047370-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:58834977:58835703:1 gene:Sspon.03G0047370-1D transcript:Sspon.03G0047370-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKQRASWDDRTCALFLDLVQKQKDLCHWSHNTPSIIGDFNKDTNRGYYKKTLQNKYHDLKRGYLNWRDGQTHTSLVREPVTGEVTADDAWYGQGLGESSQKARERFKHPQCCDQLITLLGRTHMTEGSCLLLVAMNLTIPPSESPQTPQDLSGKHLHKKTSRAYSIDETIDGLNDVIRNASMR >Sspon.08G0017170-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:67700890:67702728:1 gene:Sspon.08G0017170-1A transcript:Sspon.08G0017170-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGTDMPNKNIMLFSLLVGLSIAGFSTGEDQFVYTGFTSSNLTLDGGATVTPSGLLELTNGTVRQKGHGFHPSSLLFYESSSGAVQSFSVSFVFAILSTYPETGHGLAFFIAPNKNFSVLAATITIFLFVRRNLRFAELREDWEVEYGPHRFSYKDLLDATGGYRDDNLLGTGGFGKECEKVILHRDIKASNVLIDNEIIGRIGDFGLAKLYDHGTDPEATHVVGTIGYLALELARIGRATPLTDVFAFGIFILEVTCGQRPIKQNTEGRQVMLVDWVLEHWHKGSLTDTVDIKIQGEYDIDEACLALKLGLLCSHPFTSARPKMRQVMKYLNKEMPIPELTPNLSFSMLALMQNDEFITHCPSEIGFGTISTISGVHITGQDFSKTTRKYITIADIVNETKESDCIDGND >Sspon.08G0006310-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:19805553:19809539:1 gene:Sspon.08G0006310-1A transcript:Sspon.08G0006310-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGPGDHPGMARKAAAGPMDAGSDVVATTSTTIFSSSDSNTPAAPRAPTPRPGRALSKKKKKTVALQDGSRGQRKNEDFVDVSRFGFPSKTAAAYGESGADAPVRICGLCLCQAVGCGSAEILKMVIGIDLLSQSLLPAIVELAEDRHWRVRLAIIEYIPLLASQLGVGFFYDKLGALCMQWLEDKVFSIRDAAANNLKRLAEEFGPEWAMQHIIPQVLEKINNPHYLYRMTTLQAISLLAPVMGPDITCQQLLPVVIASSKDRVPNMKFNVAKALQSLVPILDQSVMEKAVKPCLVELSEDPDVDVRYYAHQALRACDQMVISS >Sspon.02G0035950-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:22253508:22257955:1 gene:Sspon.02G0035950-1B transcript:Sspon.02G0035950-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MANKATAATSWRWRLLLLLLVTVAALCWIPPAIAAAAAAAAAASTANGARRSLLGFVEAQGNASYHCTPSGPCVPCQYSEKNDEKYSCSETGYRLPLKCVEAHNATKEGNNKSKQRKILDDASTSGGTKSASGGPKHYITYRSCAPLVGEEKLSVLGFEVMMAGMLLVSGPFVYYRKRRTNLMQGAARIPTSDPRF >Sspon.03G0005610-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:31104356:31108323:-1 gene:Sspon.03G0005610-3C transcript:Sspon.03G0005610-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSSSITFASSSSYLSNGSSPCSVAMPPPPGPPQAPPLAAGELWGGGVAAAGSGSSVEAVSLNRLSKNLERLLLDPDLDCSDADVEVPDGGPPVPIHRCILAARSDFFYDLFAARGRGGAARGDATAGAGGAAEGAASGRPRYKMEELVPGGRVGREAFQAFLGYMYTGKLRPSPVDVVSCADPVCPHDSCPPAIRSAVELMYAACTFKIPELTSLFQRRLLNFVDKTLVEDVIPILKVASHSGLTQVIDKCIQRIARSDLDDVSLDKELPPEAVEEIKNLRKSPIADGDGDAFISDPVHEKRVRRIHRALDSDDVELVKLLLNESDITLDDANALHYAASYCDSKVVSELLDLALANLNLKNSRGYTALHLAAMRREPAIIMCLLNKGANVSQLTADGRSAIGICRRLTRLKDYNTKMEQGQESNKDRLCIDILEREMMRNPMAVEDAVTSPLLADDLHMKLLYLENRVAFARLFFPAEAKVAMQIAQADTTEEFGGIVAASTCGKLREVDLNETPVTQNKRLRSRVDALMKTVELGRRYFPNCSQVLDKFLEDDLPDGLDQFYLQRGTADEQKVKRMRFCELKEDVLKAFSKDKADSSMFSGLSSSSSCSPPQKSTKR >Sspon.01G0019200-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:69532870:69535974:-1 gene:Sspon.01G0019200-4D transcript:Sspon.01G0019200-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRKAAAAAAAAKEEVEASYGENMLELEAMERNTRETTPCSLINSEMIGTPRTPGSTTRSSHSSHRRVKAPPVQAVPSSREMNEYFAAEQRRQQQAFIDKYNFDPVNDCPLPGRFEWVKLD >Sspon.06G0025820-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:72402722:72404076:-1 gene:Sspon.06G0025820-1B transcript:Sspon.06G0025820-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRVGGGVLISAPAVVCAMRKLFFSEPARPSPLERGKLSKFSGQLLHMPEPAVLPHFKPADYVDILAQIHEELESCPPDEKSCLYLLQFQVFRGLGEAKLSRRSLQSAWEKASTIHEKLIFGAWLKYEKKGEEAIADLLSSCGKCSQEFRLLDFVSQVGRMNGISPIGMRAISKFSLSGRLPYLSADAILEMLDFANKFCCKGLKDACERKLASFVSSRQDAIDFMECALELGCSILAASCLQVLLNELPECLNDEQVVRIFSSANKAQRLTMVGNASFSLYCLLSEVSMSTNPTSDVTVSFLEKLVESASDSRQKQLALHQHAPDF >Sspon.01G0009060-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:27737477:27740511:1 gene:Sspon.01G0009060-4D transcript:Sspon.01G0009060-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPLALLVLAHLVALVAAAEAKGGAAGAGLGDDVLGLIVFKADVSDPDGSLATWSEDDERPCAWDGVTCDARTGRVSALSLAGFGLSGKLGRGLLRLEALQSLSLARNNLSGDVPADLARLPALQTLDLSANAFAGAIPEGLFGRCRSLRDVSLASNAFSGGIPRDVAACATLASLNLSSNRLAGALPSDIWSLNALRTLDVSGNAVTGDLPIGISRMFNLRALNLRGNRLTGSLPDDIGDCPLLRSVDLGSNSLSGDLPESLRRLSTCTYLDLSSNEFTGSAPTWFGEMASLEMLDLSGNKFSGEIPGSIGRLMSLRELRLSGNGFTGALPESIGGCKSLMHVDVSWNSLTGALPSWVLSSGVQWVSVSQNTLSGEVKVPANASSVLQGVDLSNNALSGGIPSEISKLQNLQSLNMSWNSMSGSIPASILAIKSLEVLDLTANRLNGCIPASTGGESLKELRLGKNFLTGNIPAQIGNCSALASLDLSHNNLTGEIPETISNLTNLEIVDLSQNKLTGVLPKQLSNLPHLLQFNVSHNQLSGDLPPGSFFDTIPLSSVSDNPGLCGAKLNSSCPGVLPKPIVLNPNTSSDPISPTEPVPDGGRHHKKTILSISALVAIGAAALIAVGVITITVLNLRVRAPGSHSGAVLELSDGYLSQSPTTDMNAGKLVMFGGGNPEFSASTHALLNKDCELGRGGFGTVYKTILRDGQPVAIKKLTVSSLVKSQVEFEREVKMLGKLRHRNLVALKGYYWTPSLQLLIYEFVSGGNLHKQLHESSTTSCLSWKERFDIVLGIARSLAHLHRHDIIHYNLKSSNILLDGSGEAKVGDYGLAKLLPMLDRYVLSSKVQSVLGYMAPEFACRTVKITEKCDVYGFGVLILEILTGRTPVEYMEDDVIVLCDVVRAALDEGKVEECVDERLCGKFPLEEAVPIMKLGLVCTSQVPSNRPDMSEVVNILELIRCPQDSPETELG >Sspon.07G0023480-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:17017807:17020891:1 gene:Sspon.07G0023480-1B transcript:Sspon.07G0023480-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MYFVDISSSCRKAGMNAIRDGATRYTPNAGTLELRKAICKKLEGGSYYGSEVEGFGTIKDSESLCLFLLEKAQVALVPGDAFGDDKGVRISYAAAMSTLQTAMGKIKEAMALLKPPVAIVLKLT >Sspon.01G0008670-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:3570156:3572114:1 gene:Sspon.01G0008670-2B transcript:Sspon.01G0008670-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor, Response to ethylene stimulus, Wound signalin [Source: Projected from Oryza sativa (Os07g0685700)] MMGGGGALMLDQRMAAGDKALFGFAAGECFFGEGDLVNPAPAPATEETMGMSFPLDEMMMSDDDVDGIEELERRMWRDRVRLRRLKEQQQQQQQSGRPSAGSGGAKQEASGSRQRQSQEQARRKKMSRAQDGILKYMLKMMEVCNAQGFVYGIIPENGKPVTGASDNLRAWWKEKVRFDRNGPAAAAKYQADNAAAAAAGGEGGAGGAGGVAAAAPAGPHSLHELQDTTLGSLLSALMQHCDPPQRRFPLEKGHPPPWWPAGTEPWWPQAAVPGELGPPPYKKPHDLKKAWKVAVLTAVIKHMSPDVDKARRLVRQSKCLQDKMTAREIVTWLAVLRQEEELYLQLHPGARPALSSAAAAAAATIPFCASSGEYDVDGADGEDTGRNHQPRSNAAAAAFVDLSSSSSSMDDAGNNKFVMAAPAALMKEEAADAEFFQKRSAPAAAVEPELMLGSSFRAYTCGNVQCPHSSSAHGFLDRNARNAHQYSCKFNDSAGAGAAVAPPPRATESVFPASFGPPGQAAALGGLDFDLPVDGQRSLAELMDMYEANVGGAPRSLMSNVDTAAPGVQVSGPFPTPCLFGDTISNVIQQSAAAFYVRDGAPFGGEINAASPELRFGSGLNVAGGAAHYGGALQLQQPQPHKSTGSNANWFY >Sspon.01G0015970-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:49262006:49262793:1 gene:Sspon.01G0015970-4D transcript:Sspon.01G0015970-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRLVSRSWEESRLLWRLAFPALLTEVFQFSIGFVTTAFVGHLGEVELAAVSVVENILDSSAYGVLYGMGSALETLSGQAVGAGQLDRLGVYTQQSWIICVATALALAPAYVFAAPLLHHSLHQPDAVSRAAGPYARWAVPRLLAHAINIPLLMFFQAQSRIWAVAAISGAALGVHAVLTYVAVARLGYGLPGAAVAGDVSHWLVVAAQLAYMTTGGRFTDAWKGFT >Sspon.02G0018480-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:59392613:59393500:1 gene:Sspon.02G0018480-1A transcript:Sspon.02G0018480-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding QVGMAPKRRGNKVVGSVVKTKVVQETVEVIVADDDDGAEAEQQLVPEALALAPPAVDVSGSTVVHVVEVTTPDGGDNATGSNVKQPTAAKRGRGRREEEKQPAPPEDSVPVPQSQETQDPNEEQEEEEDTSKKKKQKQQDEEEEQQPETPRVASERKATPKKSKPKPKPKTKPQQQAGGGDAGKNRPKARRRLGQASAGGDAGMGGIGGYKRYVWRVLKQVHPDLGVSGHAMQVLDMMMADMFERLADEAARLCKATGRVTLTSREVQSAVRLVLPGDLGKHAISEGTKAISKYMS >Sspon.02G0014840-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:55841714:55843911:1 gene:Sspon.02G0014840-1P transcript:Sspon.02G0014840-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTLHHHHLLPLQRLPNSPRPPPPRLRLRLPTKPSRPHSRLLPRAAASTAATVSALEDFRRWLASHSAADGGKAFPAAVPEGLGLVAARDLPRGEVVAEVPKKLWMDADAVAASDIGRACGGGGGLRPWVAVALLLLSEVARGADSPWAPYLAILPRQIDSTIFCGTWCLQCRSEEELLEIQGTQLLSTTVGVKEYVQSEFDSVQAEIISTNKDLFPGSITFDDFLWAFGILRSRVFPELRGDKLALVPFADLVNHSPDITSEGSSWEIKGKGLFGRELMFSLRTPVDVKSGQQIYIQYDLDKSNAELALDYGFVESNPSRDSYTVTLEISESDPFYGDKLDIAELNGLGETAYFDIILDEPLPPQMLPYLRLLCIGGTDAFLLEALFRNLVWGHLELPLSPDNEESICQVMRDACKSALAAYHTTIEEDEELSERENLQPRLTIAIGVRAGEKKVLQHIDNIFKQREEELDGLEYYQERRLKDLGLVGDNGEIIFWES >Sspon.04G0011800-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:37437703:37439324:-1 gene:Sspon.04G0011800-2C transcript:Sspon.04G0011800-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MACRPPKPPVLFLVPVRRTLAIPARTSHRTYCVSLSRPLHAELPSDCADDDDMELDLEAGRQGSPAPASPSTPTSANNNKPSQKNKQKRRTRVVGCCCRVACAALLAAALVAAVLGALYLALDPKLPRYTVHALNVTAFGMDDDMTARATFDASVRFENPNRAIGIRYEEGSDLSVWFGGYRLSRGALPAFYQGPRGDAALVRVAMSEARLQGTGVVEVMRHVNDADGELPLVFRGEVPVRVKVGPFTTAKLTPSVRCDLVLNRLATEGSLGVESMDCKLSIKLW >Sspon.06G0022230-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:25845891:25847023:-1 gene:Sspon.06G0022230-1B transcript:Sspon.06G0022230-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQPQPRRGDEGLQAQGGKAPAAQQEPIKYGDAFPVKGELAEQPIAPRDAAAMRSAEDSVPGVQVPQESGGGFSAGAFMESAAAYNQAVGAVGQGQASDAVAKHGVNVTQDAVPGGRIVTEFVAGQVVGQYAVAEAPPQQQDGAGHKAAGNEGGARHGDGGAHGGRAGATAARRD >Sspon.08G0013710-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:57001762:57004377:1 gene:Sspon.08G0013710-1A transcript:Sspon.08G0013710-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHHRKLSDEMLSMHLLLFFSFAFLLQPVVRGASSSPRHDCPNKCGDVNIEYPFGIGLGCALEKGFELHCNKSQDGQRNITYFSNLPVANILLLKGQVRVMSSFASMCPNHSTTYLLNFSGTPFTVAEKENMFTVIGVNALGLMAGSRQSAIVSALSLSLSRMHAHKQRNTKRINRYILLLIAAAQYVIGCQTESSPPENLTAQEGCTGVGCCQVALSSKLSYHDISLSGDNTSDKNTSAIEDTKHCRYAMVVEAEKFKFDTAYLNTTTFWDEHNGEVPTILNWAVSNKTCDVATKDAASYACRSSNSECINSTSGLGYLCNCSEGYKGNPYLHDGCQDIDECALSPSPCPGRCVNRRGSFDCRHRGLSPSGTTILSIGISVAVVILAIAITCSYLTRERRKLASIKERYFRQHGGLLLLEQISRGQGTTFTIFTEAELMEATDQFDDKNVLGRGGHGTEGRLLDVIDDRIKGEENVGLLEEVADLAKQCLEMAGENRPAMRDVAERLSRLSRVTQHPWMQRDPEEMESFLAVREPSVDGMEMVSATFLTMERGVGRGLLEFG >Sspon.01G0021860-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:83526807:83529724:1 gene:Sspon.01G0021860-2B transcript:Sspon.01G0021860-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding QSESQDGNLQQVTGGISYNGYGLDEFVPEKTAAYISQYDLHIPQMTVRETLDFSTRCQCVGSRDEILEEVSKREKMAGIIPGHDIDLQMKATSIGASEKRLYTDNILKIMGLDICADTMVGDAMRRGISGGQKRRLTTAEMIIGPARAFFMDEISNGLDSSTTFRIIKCFQQLANINECTMLISLLQPTPEIFDLFDDLILMAEGKIIYHGPRNEAHIFLEESGFRCPERKGMADFLQEVLHQKDQRKYWSGTDESYRYVSPHQLSSMFKKYQKMKKLENPSVAQKIKLGNESLSFDNYSLSTLELFKACGARETLLIKRNMPFYAFKTVQLSIVAVITMSVFYRSHMTTDLTHANYYMGALFYSILIIMLNGTPEISMQIARLPSFYKQRRYHFYPSWAYAIPASILKVPFSLSDSLVWICITYYGIGYTWTASRLFYQFLILCLLHQSVTSLYRFIASHAQTHILSFLYHFMFVALLQLFGGFILAKPSMPGWLSWGFWVSPLSYAQISIAINEFLAPRWQKETMQNKAVGNQILTNHGLDYSWDFYWISVGVLLGYTIFFYIAFGLALAYRKQTNHAAIISAIQFQAYHGNMPRKCSTNGQEEETNIIKESDDHANVPKKVIPSTNLLSVLTP >Sspon.01G0010490-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:7811490:7816031:1 gene:Sspon.01G0010490-2P transcript:Sspon.01G0010490-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLCHGKPTQIPEAEAEEDPHVACGAGDGGDGATSPSAAAPAAKPGTPKQPKFPFYLPSPLPPSSYKGSPANSSVASTPARGGFKRPFPPPSPAKHIRALLARRHGSGGEPELGLDKSFGFSKHFFAKYDLGEEVGRGHFGYTCSAKAKKGEHKGQDVAVKVIPKAKMTTAIAIEDVRREVRILSSLTGHSNLVQFYDAFEDEDNVYIVMELCKGGELLDRILARGGKYYEEDAKVVMVQILSVVSFCHLQGVVHRDLKPENFLFSSKEENSPLKVIDFGLSDFVKPDERLNDIVGSAYYVAPEVLHRSYGTEADMWSIGVIAYILLCGSRPFWARTESGIFRAVLKAEPSFDEAPWPTLTAEAKDFVKRLLNKDYRKRMTAAQALSHPWIRNAQQVKVPLDMIIYKLMRAYISSSSLRKSALRALAKTLTTNQLFYVREQFELLGPNKNGYISLQNLKSALVKNSTDAMKDSRVVDFVNTVCTLQYRKLDFEEFAASAISVYQMEALETWEQHARRAYELFDKEGNRPIVIEELASELGLGPSVPLHVVLQDWIRHADGKLSFLGFIKLLHGVSSRSIPKA >Sspon.01G0059940-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1D:70902015:70902197:1 gene:Sspon.01G0059940-1D transcript:Sspon.01G0059940-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARCHPTSSHACGEPREVCITLDLSGNLKFNVGVGVEKRIGLEETQNLCSFLNNMDIGKP >Sspon.01G0061590-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1D:94758191:94758640:-1 gene:Sspon.01G0061590-1D transcript:Sspon.01G0061590-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIGCACSAARHRGPGHTRHDSAPGRKRRWEPTGSGHRGTGGRRPWPVTQPIQRSDGRKARFFPVPPPLSWDVAFVSPTGRKKRGKAPPSAPPSQVRSQRGRTTVDSHAELAKPPTACASTPSFLFFPDDDDDVGIDLPPIRPNGLIGP >Sspon.05G0012280-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:38688621:38691883:1 gene:Sspon.05G0012280-1P transcript:Sspon.05G0012280-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSLEVDNPGGGGGESANRLSLKRHDSLFGDAEKVSGGKYHGSEGSWARTLHLAFQSVGIIYGDIGTSPLYVYSSTFPDGIKYNDDLLGVLSLIIYTLIVIPMLKYVFIVLYANDNGDGGTFALYSLISRYAKIRLIPNQQAEDAMVSNYSIEAPNSQLRRAQWFKQKLESSKAAKIVLFTLTILGTSMVMGDGTLTPAISVLSAVSGIKEKAPNLTQTEVVWISVAILFLLFSVQRFGTDKVGYTFAPVISVWFLLIAGIGLYNLVIHDIGVLRAFNPWYIVQYFKRNGKEGWVSLGGIILCVTGTEGMFADLGHFNIRAVQISFNGILFPSVTLCYIGQAAYLRKFPENVGDTFYRSIPAPLFWPTFIVAILAAIIASQAMLSGAFAILSKALSLGCLPRVQVIHTSKKYEGQVYIPEVNFLMGLASIIVTIAFRTTTSIGNAYGICVVTTFSITTHLMTVVMLVIWKKHIVYVLLFYVVFGFTELIYLSSILSKFIQGGYLPFCFALVLMTLMATWHYVHVKRYWYELDHIVPTDQMTTLLEKNDVRRIPGVGLLYTELVQGIPPVFPRLIKKIPSVHSIFLFMSIKHLPIPRVVPAERFLFRQVGPREQRMFRCVARYGYSDALEEPKEFAAFLVDRLKMFIQEEIAFAQNEAAENEDEAAADQQAPARPRPSTSSVVHSEEAIQSRVSTHSGRITFHANQTAEEEKQLIDREVERGVVYLMGEANVSAGPKSSVLKKIVVNYIYTFLRKNLTEGHKALAIPKDQLLKVGITYEI >Sspon.03G0044390-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3C:93375539:93384361:-1 gene:Sspon.03G0044390-1C transcript:Sspon.03G0044390-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSFEAWVAWKDDVALMWPELSTMMHGADMHCKCALKVVELQGSWQGSRGPARRSSSKDVPLGRSPPSSSNHATGSRSRNAPTTTAGSVSYATSTPLTRGAGPVVARPTGQQEARGERGRGAPESAGRRAVSRFPSLAGWLVRSPTQRNAVRPAGSLLFPSRFYYSPSRRSRPATPRTSTLHYSRRRRPSAWRQVQPCERSCCSLPLLSRRGPGPGSHSFPSDPSRRRPPNSPPTPATSQRMSAQQGRTREPPHTRGPDAMSSSPSSSPPANGTAAPPTAAPPPPSNSSSPTPPARAPPSTPTPTPATPTGAPPTTPAPPGTPPAPLSPNAAALPAPGRATPASASASPPPSSSGSGLTTSVVVGIAVGGFAVLLLGSLFCLCLFRSNKRRRRRHHHHPPPPPPPPPPHLLHYYGHPPPPPPPPPPPHKGDQYQNWQHNAPPPPPDHVIKINSHPTPPPPPLNVHSSGSASNHSGGESRPPLSPGTALSFSRSTFTYEQLMTATNGFSDANLLGQGGFGFVHKGVLPNGTEVAVKQLRDGSGQGEREFQAEVEIISRVHHKHLVSLVGYCISGANRLLVYEFVPNNTLEFHLHGKGRPTLDWPTRLKIALGSAKGLAYLHEDCHPKIIHRDIKASNILLDLRFEAKVADFGLAKFTSDTNTHVSTRVMGTFGYLAPEYAASGKLTEKSDVFSFGVMLLELITGRRPVNSRQADDNLVDWARPLMIKAFEDGNHDALVDPRLGSEYNDNEMARMIACAAACVRHSSRRRPRMGQVVRALEGDVSLDDLNEGVRPGHSRFMGSYSSSASDYDTNQYNEDLKKFRKMALGGSGLQSSSGQTPTSEYGQNPSVSSSDGHQATTTTTTQEAEEPAASVKTDGDSAAAASRRQRQAQPDNGNNRKPKTNEKTFQASRKTAHQSRRGISHPVLHLGSSALPPPVLRSGPVRTRPPPRTQIRRLATMATRRRMLLKVIILGDSGYVNNKFSNQYKATIGADFLTKE >Sspon.02G0045790-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:100418819:100423028:1 gene:Sspon.02G0045790-2C transcript:Sspon.02G0045790-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLKPTRDSLGRNPLSDALHRNPGVVLNGQGPGRHPLSGASYRDPGTMKNEQGSGRRNLSGALHLRPGVVKNEQGSSHFPRRVRRVRKLAEPTRIRLGSWNVGSLTGKLRELVDAAIRRRVNILCVQETKWKGQKAKEVEDTGFKLWYTGTTSGRNGVGILIDRSLKDGVVDVRRQGDRIILVKLVVGDSALNVISAYAPQVGLSEGTKRQFWEDLDSMVSTVPISEKLFIGGDLNGHVGATNVGFERVHGGSGMGGKREAKDTWWWNDEVQRAIREKKECFKRLHHDKSAANIEGYKIAKRAAKRAVSVAKGQAYDGLYQRLGTKEGEKDIYRMARIRERKTRDINQIKCIKDGTDRLLVKDEEIKDRWREYFDKLFNGENEGPTLELDDSFDDTNRRFVRRIQEAEIGEALKRMKGGKAMGPDGIPIEVWRYLGARAIVWLTKLFNLIFRSNKMPEEWRRSILVPIFKNKGDVQSCTNYRGIKLMSHTMKLWERVIEHRLRRVTHVTQNQFGFMPGRSTMEAIFLLRQLMERYREQKKDLHMVFIDLEKAYDKVPRNVMWWALEKHKVPTKYVTLIKDMYRDVVTFVRTCDGDTSDFPIKIGLHQGSALSPYLFALVMDEVTRDIQGGIPWCMLFADDVVLVDDSRAGVNRKLELWRHTLESKGFRLSRTKTEYMRCDFSATRHEEGDVSLDGQVVAKKDTFRYLGSMLQKDGDIDEDVRHRISAGWLKWRQASGVLCDKRVPQKLKGKFYRTAIRPAMLYGAECWPTKRRHVQQLSVAEMRMLRWCCGHTRRDRVRNDDIRDRVGVAPIEEKLIQHRLRWFGHVQRRPPEAPVRSGVLKRADNVKSGRGRPKLTWDESVKRDLKEWNISKDLAMDRSTWRLAINVPEPRGQRRHFAPRDIFGGLLNRVRSVTLGPTRTPRSPRRSQGHLLRVPTSRPQAEVATWDQDGPIPRIFHSRAPSDGIRTAAAAPSPAIKAERGGPATLRALSEVGWLLLPLRFGFGFVRFSGFGFVGERNEMAASDVEYRCFVGGLAWATDDNSLHTAFSTYGEVLESKIIMDRETQRSRGFGFVTFSTEEAMRSAIEGMNGKELDGRN >Sspon.01G0063130-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:111536665:111537542:1 gene:Sspon.01G0063130-1D transcript:Sspon.01G0063130-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding HELHPGGVSAPTRPSHDEAERRRPWPVARKNPQRILHPAHSRRHFPHFPAPPPTPQQPSNGGSNATTDPPRPPAPRRLLPFPPSCHGLRAALHLPVRRGAGRAPVAGGLRLPRAVPAAPRHDARLLLPRERPRARRPGPGRRGVRRGAPRPGRRRRRRALRRVARHQRGRLRRRVRQGRGAPRDPARRARRRPDQRVRADRRLQRAPGARRRRRRRRQQHRCRRGGVLEAARAHYVCRHRRGV >Sspon.04G0030940-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:3526322:3527950:1 gene:Sspon.04G0030940-2P transcript:Sspon.04G0030940-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AESGDSSPNSAAATEDAHHEASEAPAPQPRPPPPPSKVRLMVSYGGRIQPRPHDNQLAYVNGETKILSLERPLVFADFAARLAALVGNAGDVCVKYQLPGEDLDALVSVTNDEDLEHLVLEYDRLHIQRPATASSAGSGSGSSRGGSTLRLRVFLFPVQSPQPPPPPAGLLEPKAEQRHWFVEALNTVPLPPSKHDPTPVPPPQQQKQESVFAQQSSSPPQAKHEAVFVQQAPPQPHTVVQMPPPPQQAHVVLAAASPDYLFGLDNGFVPPPAVKVKDPAGDPPTVRENVPVEIPAKNDERHPNPNANAGDHVAVSPVVSPAKYQRQIQELERLQVADNATHQPPPPAAAPAPAPSLAPVPVPAALPRNGSDDSLTRAYPPATATPTPTANAEYYVPKFPEKPPVPPPSSAPPATAYLQVQGRYASVAPGSGADHGPVFFIPAPHGYFAATASPGATSYPAVYAVAPPSATPTANGSAPSPAVSNATAYAPAPTQVAYDSNGRAIYYTSMLPQYPSAVSGMSAAGAVLGTEPAKPVVVKPTVS >Sspon.03G0021450-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:65734216:65743299:1 gene:Sspon.03G0021450-1P transcript:Sspon.03G0021450-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSETESAAVAAAPNPAAAAEPKPRRLRGIRRAPSPAASPPPPARASSRPPARMDVFAGLICAPKMYF >Sspon.01G0024180-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:85335680:85336919:-1 gene:Sspon.01G0024180-2C transcript:Sspon.01G0024180-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWRASTVLLVVCLLSAASPFVAVIAQTEPQAPPADGGACGGPAVGGKCHSVANALRLKLIAIVSILLASVIGVCLPLFSRSVPALRPGGNAFVVVKAFASGVILGTGYVHVLPDSFNALGSPCLPRRPWAEFPFTGFVAMLAALVTLMVDSIMLSFHSRGAKGKGRAAVARHGHDSCPPQVHCHGHEHLEMSEARPEAADKAEEDVEAGKVQLRRNRVIAQVSVLHPPFIIVDLRVDLIGAQVLEMGIVVHSVVIGLGMGASQNVCTIRPLVAALCFHQLFEGMGLGGCILKAEYGARMKSGLVFFFATTTPFGIALGLALTKVYSDTSPTALIVVGLLNAASAGLLHYMALVDLLGADFMGPKLQSSVRLQMVSFLAVLLGAGGMSVMAMWA >Sspon.01G0034770-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:5463600:5471014:1 gene:Sspon.01G0034770-1B transcript:Sspon.01G0034770-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKRGRGGTAGNKFRMSLGLPVAATVNCADNTGAKNLYIISVKGIKGRLNRLPSACVGDMVMATVKKGKPDLRKKVMPAVIVRQRKPWRRKDGVYMYFEDNAGVIVNPKGEMKGSAITGPIGKECADLWPRIASAANAIV >Sspon.05G0022600-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:7891595:7892284:-1 gene:Sspon.05G0022600-2D transcript:Sspon.05G0022600-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQRGEKEEHSTQESPDHRRKRKDDAPAAAAVQEGVHEESTDQLDDGGYEAETAGVIKEEQVEVVEEEASAPLVAHPCSLLQFLLPLRACAGCLVGLLHGYCSDNSDPNPAADDVAAVADAESSPQEGEGGGDDDDDDKAARSEVATQVLAPTPPPDPPKEGSGGHGGSHN >Sspon.05G0020030-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:80834441:80850607:-1 gene:Sspon.05G0020030-3D transcript:Sspon.05G0020030-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVELKALSALSTLFGQKPGEEVVQIVPQWAFEYKRLLLDYNREVRRATHEAMSSLITAVKKGIAPHLKSLMGPWWFSQFDPAPEVAQAARRSFEAAFPQSDRRLDALMLCVKETFLYLNENLKLTPQALSDKATPMDELEDMHQRVMSSSLLAMATLIEILLGVKLQSCDVDSTNTENKNLSKVRYSTGDSSDDIPIKLITDSLLRDCLDIIQQGEKLSKFQNHAEQLVSFFLSLDQIVVCKGEIWPLERLAKPLVEQSLPAIKSMNYKKNDEKLDIKSYLEFFNDELLPWHQRIGFAFLSVAGGCGPVIPVSLTTDTAALGGSDQEDQICFLSPETELKHERFVAFVDRLILKLGFSEVVLGIPGNIQSATSQSIDITSRISSLSRAWVAGEVLCTWKWKGGCALKTFLPSLVQYMKDESYLEISIVPLLLDALLGGALMHESGPWCIESSVLLVEEMTDGINDATINQVSSEDALEKLKLVVGTTDKLTLSLAESALVTMCHLNHLCNIQEAENSRSVQLIRSGDYAESNDKMQSGLSQSAELMPDSEKKVHLRDELSDLIEFPTSELLKTDLTARDRHIPVKAAAPSGKKKDTELAPEAEAAAKASKNAIATCSLLPYLESLWPIGTLQMASLAGSLYGMMIRLLPSFVRTWFTTLRDRSLSYSIESFTKQWCSPPLLLDEFSQVKDYVYGDENFSVSVNRSAFEIVATYKKEETGIDLVIRLPNCYPLRHVDVECTKSLGISEVKCRKWLLSLTSFVRNQNGAIAEAIRTWKSNFDKEFEGVEECPICYSILHTSNHSLPRLACKTCRHKFHGACLYKWFSTSNKSTCPLCQTPF >Sspon.08G0002120-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:4948895:4949628:1 gene:Sspon.08G0002120-4D transcript:Sspon.08G0002120-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKREGRQHGTVRISRSKVLKNRRRRHRRRRRGGGAVGGGVGGGGDGESGGEADERVEEHGQVPAAPARDKAKGAHKLRACDVALNHRLVAKASPEYRGKSASSLLVYLAGSGNSWHEDDDDAAGLEAPDAGLSDLYDLFVGRRADADADAGGEEADPDPAARDTDVDDVGEIQAIGEQGLLLDDKEDGDDDEEEEEEDMGFCMVGITIAVEFSDGEEDWIVVEEI >Sspon.08G0002670-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:5861109:5862669:-1 gene:Sspon.08G0002670-3C transcript:Sspon.08G0002670-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPPFQRAPSLSIRPASIERNNYPQPAATLWKDARNKQQLEFEAKLPGERVVCIIHSSMARLWLVLSVAVVVSAALLPPSAVAQLRTDYYASTCPNLEAIVRGSVRQSMAQSQIAAPAALRLFFHDCAVMGCDASIMIVNSNGDDEWRNTDNQSLKPDGFQAILSAKAAVDSNQQCQYKVSCADIMALAAREAVYLSGGPYYQVELGRFDGRVSTRDSVRLPGVNFTLDQLNAFFSGLGFSQAEMIALLGAHTLGAADCPFFQYRIGSDPSMDQGLASQLRGTCGSNPSGGFAFLDPTPGSFDSAFYRNLQGGRGLLGTDQVLYSDQRSRGAVNNYASNQGAFFADFVAAITKLGRIGAKTAATGEIRRDCRFPN >Sspon.01G0036250-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:18588824:18599290:1 gene:Sspon.01G0036250-1P transcript:Sspon.01G0036250-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSAGALLWHPPPQLHPGGVLFRQSRPLLRQRNLPLLSLAAAALEPERRCRRQRLRCAAVDGDGGPREAGPLPQKESPSSRIGAALEDPPPVENGSFGGPSEEEQSALYNFLYPSKDLLPDDKEMSIFDHLEELRERIFISVLAVGAAILGCFAFSKDLVLFLEAPVTVQGVRFLQLSPGEFFFTTLKQVSGYCGLLLGSPIILYEIIAFVIPGLTRDERKFLGPIVLGSSVLFYLGIFFSYTVLSPAALNFFVNYADGAVESLWSIDQYFEFILVLMFSTGLSFQVPVIQLLLGQLGLVSSDQMLSIWRYVVVGAVVAAAVLTPSTDPLTQMLLAGPLLGLYLGGAWMVKLIGR >Sspon.02G0007000-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:20466771:20470552:-1 gene:Sspon.02G0007000-2B transcript:Sspon.02G0007000-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding KQPSLSFEMDVTPNKNYSVWLHFAEIDNGVTAEEQRVFDVLINGDTAFKDVDIIRMTGERFTALVLNKTVAVSGTTLKIILQPVKGTCAIINAIEVFEIIPAEKKTLPQEVSALRTLKGSLGLPLRLGWNGDPCVPQQHPWNGVDCQFDNTKGNWIIDGLGLDNQGLKGVIPSDISKLQHLQNINLSGNSIKGNIPISLGTISAVQVLDLSYNELNGSIPESLGELALLQILNLNGNRLSGRVPASLGGRPLHRARFNFTDNAGLCGIPGLRECGPHLSMAAKIGMAFGVLLAILFLVVFAACWWKRRQNIIRAKKLAAAREAPYAKSRTQFTRDVQMTKHQRTHESARSSNNESSPHLLS >Sspon.01G0021740-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:79888102:79888917:-1 gene:Sspon.01G0021740-1A transcript:Sspon.01G0021740-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARDDGGELKLLGLWASPFVTRAKLALQIKGLSYEYVEEDIGNKSELLLSSNPVHKLVPVLIHNGKPVCESSVIVQYIDDAFTGTGPSLLPADPYQRAVARFWAAYLDDKVTCPVHSCCVFVFFMARTFSYGGAELTALTPHAQILTPWRRVFMVKTDEEKAEVMMQTIAAVAALEDGLKECSRGQGPFFGGDSVGYVDVLLGGMVSWVKAAEPLYGAKIIDAAKTPLLAAWMERFCELDAAKAVLQDVDAVVEYARAVQARFAAATANSQ >Sspon.04G0007360-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:20168965:20177028:-1 gene:Sspon.04G0007360-2P transcript:Sspon.04G0007360-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADEAKAKGNAAFAAGRFEEAVQHFSDAIALAPDNHVLYSNRSAAYASLGRYAEALGDAERTVALKPDWAKGYSRLGAAYLGLGDAPKAVEAYEKGLALEPSNEALKSGLAQARQAASAPRRPVGSGADAVGKMFQGPELWSKVAADPTTRGYLDQPDFVQMLREVQRNPSSLNSYLSDQRMVQVLTLMLGIKFQNQSNGAPEPAAAQSNPPPPKQQPEAKAREPEPEPEPEPEPEPMEVTEEEKEQKERKASAQKEKEAGNAAYKRKDFDTAIQHYTKAMELDDEDISYITNRAAVYLEMGKYDECIKDCDKAVERGRELHADFKMISRALTRKGAALAKLAKSSQDYDAAIETFQKALTEHRNPDTLKKLNDAERAKKELEQQEYYDPRIADEEREKGNEFFKQQKYPEAVKHYTEALRRNPKDPRVYSNRAACYTKLGALPEGLKDAEKCIELDPTFSKGYTRKGAIQFFMKEYDKALETYQAGLKHDPKNQELLDGVRRCVEQINKASRGELSEEELKERQNKAMQDPEIQNILTDPIMRQVLTDLQENPRAAQAHLKNPGVMQKIQKLVSAGIVQMK >Sspon.01G0042330-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:64869529:64873579:1 gene:Sspon.01G0042330-1B transcript:Sspon.01G0042330-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQHRQQRGFPSSLFSGPSLALAVMTLLCAAASTTAQQQPPPLPLPTLPLPQAQQPTTTTPGAIPSVPACPPALATLSPCVSYLMGNSTSPPTECCAQIRAMFQSQAPCLCAAMVSGPVQQLGSALGQLLPTSCDLPADACSGGTSAAPTDPMTPASGTTTPAAAAPATGPNGVDDPTAAPAGGVGIKSVPGLVHSAAAAGSTSGISAAALFVSFLRYKPPSPSYSPPGPRLVRSTAAVLAENPTVSPSHHSPAMARRWLQVTLALVAVAATVTLSSGQQMAAAFPTLPSCPPAPLSLSPCIGYVFGVGSATLASCCSQLRGFLQAQAPCLCAASKLAPSPIGLFLGQAQAMMPNVCDLPNPCDEAAAGEGEASTPPVAGTSATTPEATTPAAEPSSGTPAADPGTSGAPPTPTEDASATAMAPAGTGSKLPELLHAAGATSSRDMAAGTIFVAVLLASLATMYV >Sspon.01G0023720-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:85280290:85290098:1 gene:Sspon.01G0023720-1A transcript:Sspon.01G0023720-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKDGGFAKVLLLHVAALSMAGAVAAAAALARRRLRGGDRRKQLPQAPAMAEMPRLRVAESGRLEYLEKFSHYVGQSALTADSGTKKKWRTLVLEATRKQRFERVTRELKVTRVLSTLVEEMKAIGIGTAASQCTDVMAPVAHSERSPVLLLMGGGMGAGKSTVLKEIKQEALWANAVVVEADAFKETDVIYRAISSMGHHNDMLQTAELVHQSSTDAASSLLVTALNEGRDVILDGTLSWEPYVEQTIAMARAVHRQRYRMGIGYKVADDGTITESYWEPDGTEQPPPADGASRRPYRIEVVGVVCDAYLAVARGIRRAIITRRAVRVRSQLQSHKRFAAAFQRYSRLVDGARLYSTNSMGSARLIAWKDGVGSSLLVEPREFDCLDKVSRLNENATSVHDLYPDGTTTCGERSIWDDMIAAPARADTQRELKEAIRSVEAGGGEPDTPPTTPQRELLGVIRSAEEAANGEPAAPAAETPTATS >Sspon.07G0011470-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7C:54506610:54507110:1 gene:Sspon.07G0011470-2C transcript:Sspon.07G0011470-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDCTRQPIPNPQPAPPAPAPAPAPPAAAPQEASGDSESDTPVSASTVGDRPSVAAAYAEDDAESCSGAGGDNSRVDAASIDDDDAGRGGDDVTVEGDESEVDSRMSVLWWRRMIQDAAGGASGGGCARPQAAATDGGAAAVVAGPGGHTAESNRLFWEACIAHGY >Sspon.05G0023860-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:18283617:18286234:1 gene:Sspon.05G0023860-2D transcript:Sspon.05G0023860-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MCYITDALDICFLSDESKQVATIIKSMLQLALELRLCFQSLGDTCDLSVNQLSNLQYLINFSQVDVIRTKFEGNIRDLYILHSKSSKYGELGLSRFWGYLNYNEYHSMKISTDMGSFCF >Sspon.05G0006570-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:11970274:11971296:-1 gene:Sspon.05G0006570-3C transcript:Sspon.05G0006570-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AQGTLEVLLVGAKGLENTDYLCNMDPYALLQCRSHEQKSSVAPGKGCEPEWNETFVFTVSDGATELFIKLLDSDGGTDDDFVGEATYPLEAVYTEGCIPPTVYSVVKDEEYRGEIKVGLTFTPEVTISRDP >Sspon.07G0025040-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:36050333:36051397:-1 gene:Sspon.07G0025040-1T transcript:Sspon.07G0025040-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNLLKSKSEPKLKKQASSSDEQQAKINEVRELLGDLPTEMPGFLTDSTIRRFLRTRNWSTVQATKALKETVKWRRQYRPDKIRWEDITEREHLLKKMYIADYLDKNGRTVFVTMPSIKLM >Sspon.06G0002580-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:6755941:6757883:1 gene:Sspon.06G0002580-3C transcript:Sspon.06G0002580-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGGSSGADGEVDAGFAKLQGEDFEYYMQTYSIMLGRNSKKSTVDVDLSSLGGGMNISRHHARIFYDFQRRRFALDVIGKNGCLVEGVLHLPGNPPVKLDSQDLLQIGDKKFYFLLPTRSIFASAAAARHAPIIPPQLPPPSYAHARPGRPRLSEFHDRSFEGDYGREVDEIGNGINETVMRGKLIKRKKSSVDLDIYGGHRINVEPIGTLGEDSRSEIRSRGDRDIDNQQALQAEEKDVVSSVATVLSDLCGPGEWMPMAKLHTE >Sspon.04G0006900-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:20723828:20724753:1 gene:Sspon.04G0006900-3D transcript:Sspon.04G0006900-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding KMVKLAFGSVGDSFSATSIKAYVSEFIATLLFVFAGVGSAIASGQLTNDGALDPAGLVAIAIAHALALFVGVSIAANISGGHLNPAVTFGLAVGGHITILTGLFYWVAQLLGASVACLLLKFVTHGKAIPTHGVSGISELEGVVFEIVITFALVYTVYATAADPKKGSLGTIAPIAIGFIVGANILAAGPFSGGSMNPARSFGPAVAAGNFAGNWVYWVGPLIGGGLAGLVYGDVFIGGSYQQVADQDYA >Sspon.01G0018050-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1A:67481883:67482671:-1 gene:Sspon.01G0018050-1A transcript:Sspon.01G0018050-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELTSGAVSSLLGLLQKEAELLGRVGSDVDFIREEMESMNSFLEHLSRTAHLAGGHNKQVRTWMKQVRDLAHDCSNCIDYYLQSGDLAVHLARGGLRRYFWWTYWPAQKMLAQHRAAMRLRELKDRVSDVGKRRLRYGVEIPGKGARGGGYAAAQILPSPSQGAGAAAPVVEVIEDEDDEDDDTQNRVAAAAGGPADLRRRALEPPSLEDFFAEKLLNWISSSRQQDGRNHQESGHMIPSGGARISRQGIPWSKFFQCKYE >Sspon.03G0015830-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3A:50365400:50365825:1 gene:Sspon.03G0015830-1A transcript:Sspon.03G0015830-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGDAVAGDAAAGPRWPGTRRRGRGGRGAGEGAAARTAAAAARRPRQRRRDGLDSGGATGGFAGRGSGGGGAAGRGRGSRGAGEGLRATVAAPAAVRHRGGSGRRRRRGSGFYVGGARPSGRGGARLLFRPCPAMMGGGV >Sspon.07G0010240-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:30916564:30918782:-1 gene:Sspon.07G0010240-1P transcript:Sspon.07G0010240-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTGSLEHGGFTFTPPPFITSFTELLSGAGDVLGAGGADQERSPRGLFHHGARGGGGVGVPKFKSAQPPSLPISPPPMSPSSYFAIPAGLSPAELLDSPVLLHSSANILASPTTGAIPAQRFDWKQAADLIASRQDDSRSAAIGGFNDFSFHTATSNAMPAQTTSFPSFKQEQQQQQQQVEAAATNKSAVVASSNKQASSGGGNSNTKLEDGYNWRKYGQKQVKGSENPRSYYKCTYHSCSMKKKVERSLADGRITQIVYKGAHNHPKPLSTRRNSSGGVAAAEEQQAANNSLSAAAAGCGPEHSGATAENSSVTFGDDEAENGSHRSDGDEPDAKRWKQEDGENEGSSGGAGGKPVREPRLVVQTLSDIDILDDGFRWRKYGQKVVKGNPNPRSYYKCTTVGCPVRKHVERASHDTRAVITTYEGKHNHDVPVGRGASSRAAAAPMGSGAALMAAGGGQLGHQQQQPYTLDMLSGGAYGGGYAAKDEPRDDLFVDSLLC >Sspon.03G0032920-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3B:43008603:43009524:1 gene:Sspon.03G0032920-1B transcript:Sspon.03G0032920-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASFNRPIVWLPARTVTVDGTLSATTGEPNDGEDRISALPDDLCRNIVSRLPVKDSVRTTALSTRWRRVWHSTLLVLYDSHLDPDEPARVAAADCVFAGHPGPFHTVHLALCFFDKHERELDQWSRLLAAGGIRNLALVGLPEEMDLLRLPVDILRCAKLERLYLGCWNFPNTADLPDGTGIFPHLRELTMVNTFFEDRDLDHMLASSPTLETLALFFSLGKAKHVCLRGQRLQCVLFWESMAFDLEAVDSPLLKHLIMWDTCPPAPVGDMSLMGVRIPKGAPKLKVLGYLEPRVHELRIRNTVIK >Sspon.01G0046810-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1B:100313640:100315157:-1 gene:Sspon.01G0046810-1B transcript:Sspon.01G0046810-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQIVFNHVGGKPPFFDGVSSFAHWKRKMTMYLGSIHERVLQVTENDFAIVDPDHLTDEDRANRTCNRMALNTLYNSIDTKVFEGIKDLDLANEVWTRLSETYEGTKVVKSAKLYGLKSEFENFKKKDDETIIEMFHRLQVIVNDLKSLGHKTQDQDFCHKFLLSLPRRFKMLIMMLQRDGLEAMKPNDLLGEVLTYDKYDQDVDDKEKVEDEKKKKTVAFNATSSKGKTIIIEEEEEDNNEDGEFEIDDEALALVVKKMGHMFIKRRGFKKRNDNFKRNEQQRKCFNCDSTEHLQAECPYDKKKNKKDKFEKKKREAKMTFKKGKNGAYVITWESEDNEEEEESSNKAFASIAINKKPSLFDSSSSCFMAKEAKVLYDESDDESEMEYAHDSDNDNDNENDDDEPTKEQLYDLMQQTRDIAIAKDKECKKLSMKVAILEKVLIELKTTHESLVEDHEDLDKAHSKLEKAHSLLLEQQAKASGGSNGRSFDRWRAVTVGGSNGRV >Sspon.06G0010470-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:57185751:57187629:-1 gene:Sspon.06G0010470-1A transcript:Sspon.06G0010470-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFGRKKPLSLLELCVRKAIDNLRYMESVDGVEMDLLKRILPHCTLEHLTNIEKNTMMDLSSVTDPLWKRFYYQEFGEEHTNKVIARLKALKETRPDAKYTWWRLFEARKEKQKQAEDEMVEKFTKKFQAQKAEKQSKQIKLCTKVPPSSKRSFFGGSGPSSLSNCSYKSPILKKARMEADSKARLQSAIQKNTFSRSSQSIRTTSFNGQPVRTTIHRPNSTVTVTKPMGASRLHRPNSTINKPMGVGRQIQNSRPKF >Sspon.01G0005080-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:11497104:11502407:-1 gene:Sspon.01G0005080-2P transcript:Sspon.01G0005080-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDFCVVCADTLEWVAYGSCGHRDVCSTCVARLRFVMDDKKCCICKTVCPFVFVTKAMGEYTRVVTDFSVFPSGVNEGKAGDFWYHEDTQAYFDDADHYRMIRAMCRLSCSVCDNAEDQVALAAQAKRRSKFRSIDQLKGHLFHQHRLHMCNLCLEGRKVKHLMNKHIVFICEQKLYSRSQLAQHMKTGDSEVDGSEVERNGFAGHPLCEFCKSSFYGDNELYMHMSREHYSCHICQRQHPGQYDYFRNYDDLERHNAMEHGGRMSRSQRNAALQIPTSFIYRRNEQEQRRGRGRGRNALHDGSDSHISSSGQNGRATADGHAGRLDNVSGSFQSLSIGSSSGGAEVGQGRTGRVLEQLSFPPLLDPDIPDNSVDSFHDETSFPSLSEQQSRYALALNQSARGAARLGDDSLFPPLPGSSNNRGAASAQQGLQSLAKSTLAARLQRSKGPVKVLNTARPRPSENLEVLSSSTQTWPTPDQGLLSGSSQLRPGTQPTREIGSVPAVSSNIVWNPVATNKVKHSVSTPNLVSGGSSAQASSSSAYGSNRSQDPHQGNQTLPVAEDVRAANKSLVERMRSALGMDEDRYSAFKEIAGEYRQGIIDTSEYLSYVEQFGLSHLVPEMARLLPDPQKQRELADAYYTNTRFKSLQENGGGTSSQEGNRKKKGKGKAPVTESSAANDVKGALADNILDTVRRLQSNHQAQEGEAEVLSKDGYRPSKGVQPAAGPSSNLDSSSGAKDNTGKGGGNKQPKKTSKFLRARLGDNSLATLHLNRPSASPERPERESQGPETGLPVRGAWKNGGGQKLFLSNGRK >Sspon.01G0012470-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:37093708:37096623:-1 gene:Sspon.01G0012470-3D transcript:Sspon.01G0012470-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASSLALVAAVLLLSSTLAASDFCVCGSDQPTAVLQKAIDFACGPQGGADCTAILQGGGCYNPNTVAAHCSWAANSYYQNNKARGATCDFGGAATVSTTDPSFSGCTFASSATSAGAGTGTAGTTTAGGATTGTGTGTLSPGVGTGGFNGTGMGGSTLGPTGNTLDAAAPGLLPGAASLAAATVLSFLALQLHH >Sspon.03G0012800-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:52254996:52262570:1 gene:Sspon.03G0012800-3C transcript:Sspon.03G0012800-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding THLPIKAPTPSCTSGLPPFLHHPPTSAIADADPHTGRGASPGTISTRAEMGDACLSTQLIDGDGVFNVSGLENFMKDVRLGECGLSYAVVSIMGPQSSGHRLRKAQNIEPCTLVMDLEGTDGRERGEDDTAFEKQSALFALAVSDIVLINMWCHDIGREQAANKPLLKTVMMRLFSPRKTTLLFVIRDKSRTPLENLEPILRETFRRYGMLFRNPMPIKKLHLVQVVALSSYEEKEELFKQQVSDLRDRFQHSIAPGGLAGDRRGVVPASGFSFSSQQFWKVIKDNKDLDLPAHKVMVATVRCEEIGNEKLASFTADEEWQQFEEAVQHDFVPGFGKKLSSLLDRCLSEYDMEAIYFDEGVRSSKRQQLESKLLQLVNPAYQSLLGHLRTRTLEAFKESFDRALEKEGFAVAARNCTQTFLEKFEKGSEDAAIQQVNWDTSKVKDKLRRDIEAHVASVRATKLSELCAKYEAQLTKALAEPVESLLDSASEDTWPAIRKLLQRETKTAVSGLESAVSAFELDEATEKELLAKLEQHGRSVVESKAKEEAGRVLIRMKDRFSTLFSRDADSMPRVWTGKEDIKAITKTARSASMKLLSTMAAIRLEEDGDNIENTLSVALVDTSKPGTTDRSIQLSDPLASSSWERVAEEKTLITPVQCKNLWRQFKAETEYTVTQAIAAQEANKRNNNWLPPPWALAAMAILGFNEFMTLLRNPLYLFAIFVIYLVGKAIWVQSEIGREFQHGFLPAILSLSTKFVPTVMNILKRLADAGQEPAAAPERHRELELQPKSTRNGSSYSNVTSAGSSSVTTSEIGPEYSSPVAQ >Sspon.04G0010120-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:29891724:29893928:1 gene:Sspon.04G0010120-4D transcript:Sspon.04G0010120-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SRVGLSKLSFPFPAPESEKATAAQPMDSNNLLAAWPVVGPGVAGAVFGAGWWFWVDAVVCSAAAVPLLHYLPGFFASFAALMFNAVNREDIGDGYYSPYDDSEWRVKLWLFISYVVSFVSLAGSVGFLVQDALTDMGPSAWTGTAGVLQCVFVLVSGLIYWTCHSED >Sspon.01G0056880-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1C:93786935:93787408:1 gene:Sspon.01G0056880-1C transcript:Sspon.01G0056880-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPSILVVILLFHFQVADSSRPLSTEDQRPRADPTAPAAVHRAESHHYHRDAAETVNGRVAVETTTEDDDGSRTTARAEEGGAAAALSATTTTSARGKDGQDDGVGSRNGRPAAAVLLRSRLARRFLAAGVEGADSAARPSCGSSDVHNGCTPPSEH >Sspon.04G0008670-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4A:25273746:25274674:-1 gene:Sspon.04G0008670-1A transcript:Sspon.04G0008670-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to Chitinase (EC 3.2.1.14) A [Source: Projected from Oryza sativa (Os02g0605900)] MAQKLVAPPTALVAAVLALVVSAATTAAAQNCGCASDQCCSKYGYCGTGEDYCGAGCQSGPCDVPATNNVSVASIVTPAFFDALLAQAAASCEANGFYTRDAFLAAAGYYPSFGRTGTVDDSKREIAAFFGNANHETITRFINQPTNRVEFCYINEIDGPSKNYCDPNNTQWPCQAGKGYYGRGPLQISWNYNYGPAGQSIGFDGLGDPDAVARSALVAFRSALWYWMNNVHGVFVSGQGFGATIRAINGALECDGKNPDSVNNRVAYYKQFCQDFGVDPGSNLTC >Sspon.02G0000790-3D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2D:4305422:4306909:1 gene:Sspon.02G0000790-3D transcript:Sspon.02G0000790-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DTKSPALPAGEYQDDEPLERPTPTGPAQAPAANGGLVLTTQCEFPALARGASRDRFAVLVHAKAPSDVARAPLDLVTVLDVSISMTGQKLELLRQAMCFVIDQLGPADRLSVVTFSRHASRLTRLARMSGAGKAWAKIAVGALGVAPGTNIGQGLRVGAQVLAGRREKNVVAGMILLSDGQDTSGRWTSVGADGTKSYANLMPPSFTGAGGSSRPAPIHTFGFGTDHDAAAMHAIAEATGGTFSFVGNKAAIQDSFARCVGGLLSVAAQEARVAVTCLHRGVRIQEIKSGAYGSHVGADGRAASIDVGELYDGEVRRFLVLVYVPRARATEEVTRLVKASCTYREAATGQARHVAAPAAVVQRPLELTTLPAPSVDVERERVRLAATEDIAAARVAADGGQNAGAAQILESCLKAVERSAPGAAGDDPTCEAIKEELRDLSARVGDRAEYQKTGRACLLAGMSSHAQQRASGMDVQSTSKASAYLTPKMEEMVEIS >Sspon.05G0017930-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:72134367:72136315:-1 gene:Sspon.05G0017930-2D transcript:Sspon.05G0017930-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLRHVSFVDCPGHDILMATMLNGAAIMDGALLLIAANESCPQPQTSEHLAAVEIMRLQHIIILQNKIDLIQESAAMNQHEAIQKFIQGTIAQGAPVVPISAQLKYNIDVICEYIVKKIPIPERNFTSPPNMIVIRSFDVNKPGSEVNDIKGGVAGGSILKGVLRVNQKIEVRPGIVMKDESGRIKCTPIYTRIVSLYAEQNELQFAVPGGLIGVGTTMDPTLTRADRLVGQVLGEVGSLPDVYIELEVNFFLLRRLLGVRTSGTERASRVSKLVKGEILMLNIGSMSTGAKVAAVKNDLAKLQLTAPVCTNKLEKLALSRRIEKHWRLIGWGTIQAGTTLDVPPCPL >Sspon.01G0042400-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:65275695:65282478:-1 gene:Sspon.01G0042400-1B transcript:Sspon.01G0042400-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSMMWKGQKKMVTLEAICSFLDARTTELETDAYPALDELTSKISSRNLDRVRKLKSGMTRLTARVQKVRDELEQLLDDDDDMADLYLSRKLAGASSPVSGSGGPNWFPASPTIGSKISRASRASAATVHGNENDVEELEMLLEAYFMQIDGTLNKLTTLDNHRNQLIQLELFLSSGTVCLSLYSLVAGVFGMNIPYTWNDGHGYIFKWVVLVSGLFCAFIFVSIVAYARHKGLVGS >Sspon.03G0015740-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:50151617:50173386:-1 gene:Sspon.03G0015740-1A transcript:Sspon.03G0015740-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKNNELGITDDSNISKLHNTLKALSNFILSGSTAVGDVIYIEANSGAVKRVGRCDSFATEYDLEAEEYVPIPQGEVHKKKEILQGQGARYRVRQECAQERGTQSGAGWKHSSTNSDGKRGGLIGYLAWKMMAAVLLATLPSAQAKELEVGYYSKKCKGVENVVKWHVVRALKANRRTGAALVRLLFHDCFVRGCDGSVLLDASSDNPHPEKEARVNIGLAAFDLLEEIKAAVEKRCPGVVSCSDILIYAARDAASVLSNGHVHFAVPAGRLDGFVSKAEEAQAELPDSTHDVQQLIDNFAKKNFSVEELVILTGAHSIGQGHCSSFRGRLSEPSSQINPAYRDLLNYKCSQGSDPPVDNNVRDEDYGVVARFTPGFTSRVRKVPDFLDNSFYHNNLAKIVTFHSDWTLLTHKEAFGHVVEYRDNGTLWDEDFSDSLLKLSKLPMPAGSKGEIRKKCSVAAVLLATLPSAQAKELEVGYYSKKCKGVENVVKWHVVRALKANRRTGAALVRLLFHDCFVRGCDGSVLLDASSDNPHPEKKARVNIGLAAFDLLEEIKAAVEKRCPGVVSCSDILIYAARDAASVLSNGHVHFAVPAGRLDGFVSKAEEAQAELPDSTHDVQQLIDNFAKKNFSVEELVILTGAHSIGQGHCSSFRGRLSEPSSQINPAYRDLLNYKCSQGSDPPVDNNVRDEDYGVVARFTPGFTSRVRKVPDFLDNSFYHNNLAKIVTFHSDWTLLTHKEAFGHVVEYRDNGTLWDEDFSDSLLKLSKLPMPAGSKGEIRK >Sspon.07G0035440-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:7719959:7723664:-1 gene:Sspon.07G0035440-1D transcript:Sspon.07G0035440-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPSLVSLLPATSISPRRRQAKHHKAPTVSHFLFSRRVVSQPPLHASPSPAAAGGSGSDELHLLEKPLPTVSEDDEAEEEPEPAPVLSTEEALAPFLKFFQVKSTDPDACTDTAAGARADGDVKEEASRRDVLSAAGGRGVSYYDPKPGDFVAGVVVRSDGRTLDVDIGSGGEPALMLAKESAPVPGEEFGYVACDVASERAAEFAAEGRVGVVVRQVGGGGEGDEEATIGRNGKEKGARVLRAGTIIKWLNVPIKVKIYEWNASGLLSRIEGLRAFLPKPEMMTRPRNFTDLKNKVGQEMHVCITRIDQGNNELIISEKEAWAVTYLREGTLLQGTVRKLFPYGAQIRIGETNRGGLLHISNMTNGELRSVADVLKVGESVKALVVKSTPDKIALSTKELESEPGLLITNKEKVFSEAEEMAQRYREQIAEPPGSIAVEDSCDDAVPFDDEARSYANWKWLKFSKSGDVPNPKSGAES >Sspon.03G0020260-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3B:85234391:85239578:-1 gene:Sspon.03G0020260-2B transcript:Sspon.03G0020260-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSTVPISEKLFIGDLNGHVGATNVGFERVHRGFGYGSRNQEGEDVLNFALAYDLLIANTLFRKRESHLVTFRSGQHSSQINFILARREDRRACFDCKVLPGECVVPQHKLVVADFRFRVRVHRDKRVKIARTKWWKLRGEAAQTFKERMLGEGPWEEGEDADDMWLKMATCVRKVASEVFGVSRGGKREAKDTWWWNDEVQRAIREKECFKRLHHDKSAANIEGYKIAKRVAKRAVSVAKGQAYDDLYQRLGTKEGEKDIYRMARIRERKTRDINQIKCIKDGTDRLLVKDEEIKNRWREYFDKLFNGENEGPTLELDDSFDDTNRRFVRRIKETEIGEALKRMKGGKAMGHDDIPIEVWRCLGVRAIVWLTKLFNLIFRSNKMPEEWRSILVHIFKNKGDVQSCTNYRGIKLMSHTMKLWERVIEHRLRRVTRVTQNQFGFMPGRSTMEAIFLLRQLMERYREHKKDLHMVFIDLEKAYDKVTRDIQGDIPWCMLFADDVVLVDDSRTGVNRKLELWRHTLESKGFRLSRTKTEYMGCDFSVTRHEEGDVSLDGQVVAKKDTFRYLGSMLQKDGDIDEDVRHRISAGWLKWRQASGVLCDKRVPQKLKGKFYRTAIRPAMLYGAECWPTKRRHVQQLSVAEMRMLHWCCGHTRRDRVRNDDIRDRVGVAPIEEKLIQHRLRWFGHVQRRPSEASVRSGVLKRADNVKSDRGRPKLTWDESVKRDLKEWNISKDLAMDMSAWRLAINVHEPISSSDLVSKWMGESEKLVANLFQMARENAPSIIFIDEIDSLCGQRGEGNESEASRRIKTELLVQMQGVGHNDDKVLVLAATNTPYALDQAVRRRFDKRIYIPLPDLKARQHMFKVHLGDTPHSLTESDFESLARRTDGFSGSDIAVCVKDVLFEPVRKTQDAMFFFKADGDMWMPCGPKQPGAVQTTMQELASKGLAAKILPPPISRTDFEKVLSRQRPTVSKKDLE >Sspon.03G0003170-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:8041095:8043349:-1 gene:Sspon.03G0003170-1A transcript:Sspon.03G0003170-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSRQGHNITSFRQTEANSCKLPPPFHSLLLTHQRLWSVDTAVGCFRGDEFSLTSDQEKWLVDIMLSVTLVKLALVIYCRSFTNEIVKAYAQDHFFDVITNVIGLVAALLANYIEGWIDPLGAIILAIYTIRTWSMTVLENVHSLVGQSASPEYLQKLTYLCWNHHKAVRHIDTVRAYTFGSHYFVEVDIVLPSNMPLREAHDIGEALQEKLERLPEIERAFVHLDYEFTHRPEHALSHEK >Sspon.07G0002470-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:4862763:4865944:1 gene:Sspon.07G0002470-2B transcript:Sspon.07G0002470-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPHHPTTLTSPAPSLSPVRACSSPRTQPAPPPTPHAHTHYMTSRPGVRRNGHAHAHAHAARQADGIVPYRAGGGTAAAAAPAREGLPLAAATVITPARTHGAEQRGGPDDGRTMTTTRSSTGARMTAQDAAEAAVGAVGCGYDLTGDLRLGRAKPCGRLVDLDAAVAGPSQRDLAFPGGAVVAGVPAGVVADKGERARFRSDVLPFAQMAEQVNRSMSLAGSKIPSGAFNAMFDYRGCWHRDAAATRSLCFDARLVELYSVEAVRANLALREDVKRDLPPFWDPPALAEFIDKYGTHVIVGVKMGGKDVVCVKQLKGSDLTQSDVQARLKKLADDRLSSSSQEDSTAGSGSAAGDARLSQGLNGNFGPGSAAWQSFRSPVVSHKDTSQDIVCIHIRRGGVDSGQCHSKWLSTITGFPDVISMSFVPITSLLTGVRGSGFLSHALNLYLRYKPPIEELQQFLEFQVPRHWAPEFGELPLCLQRRKNSLPSLQFTLMGPKLHVNTAKVDSCNRPVTGIRLFLEGKKNDRLGVHLQHLSATPGAVTVLDEAASAEDVAVNERDYIEPVRSPLLSHICTAPVQYNGARIDDCAAIVTSAWLEVRDACCLKKVLFLRLGFSGVAAMKIRRSEWDGPFVVPRKSGSLSARLSAALSGGLAPVPVPPAEKVEVNSAIFPKGPPVPLPVQKMARHIDTTEVSRGPDDLPGYWVVTGAKLCMEGGKVALKVKYSLLIAVQEDTDHV >Sspon.02G0038470-2P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2D:25726219:25726584:1 gene:Sspon.02G0038470-2P transcript:Sspon.02G0038470-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTAWWWFVAMNAVVVAIAVLSSSCTRRPSLLLTPRRRRSSGVTRRTSSASAVLQSLLSFSLFSFPSACLSSSYLQPDAAAATTTDQETETEELVARSPPPIKPSPSASPRALQLTPPPPAP >Sspon.02G0055750-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2D:12020654:12021016:1 gene:Sspon.02G0055750-1D transcript:Sspon.02G0055750-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPNLKLILDEFNFKVVRGSVSYLQTSLTLQIETVETNIGKRFSDLENSSKVLNDRKPCMDATIDDLRLELGALRKTVNRVVINSGAATSAGILSTPGVAAGCGIFIRRSSGPRPKRTPR >Sspon.01G0021350-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:78580785:78586678:1 gene:Sspon.01G0021350-1A transcript:Sspon.01G0021350-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VISIQQWHGKEAFSLSRVLFPYMMSFFLKGTNIKRLFKWPLRRESTLSTHLLAEIPPEVELSDYRRLPSSYCESPTGLLHGEDFKVELIPDLDIFFERLYEYFCAKGLRCIITKWIIEILNVTFMVCAIGFFFLFVDWDALGHLKCGVEALEMGDKPCDLMNVIKNDPLVPFTYVKIITIGSMIILTTYGIINLIKFFVKLRSILNVRDFYYNSLKVTDLEIQTISWPKVVEKVVLLQKSQQLCVVKDLSEHDIIMRLMRKQNYLIGMVNKGIIAFPVACWLPGVGPTVSSRFHGRKSYLMLPKTLEWALNWCIFHSMFDSKFCVRKDFLTGPSVLTKRLVFMGIAMLLLSPCLVIFPLVYMFLRHAEEFYNNPSTASSRRWSNLSRWILREYNEVEHFFRHRMDNCTLHSLNYLKQFPTPLISIVAKFVSFVSGGLAGILLILGFLGESILEGHVFGRNLFWYTVVFGTIATVSRKVVADELQVIDPEGAMTLVVQHTHYMPKRWRGKESSEVVRKEFETLFQYTITMLLEEMASIFITPYLLIFVLPKRVNDILCFISEFTIYVDGVGDVCSFQSTYTSWQPNPDGKQFLCNLQRFKEKQIRKYTFQQTMEDSQLWSSSRGQSGNILHQLRPRDVFSGIGIIYNLNPLGLLDTDQRAYPYILDWYYTCHSERSGRDLDSYSHHDEASPESGEDIWLPPMSKPLTEIEEEQIWDSNLYERARSHLEASTTSAFFQHTTFNRQDREHNSTSCPWWAQASARQNDPRDRFSEPPCDSFIEPPDFADHYTSAHSSSQHSDWALNYAHLTGSQDNFHEPPNFGNHYHSSYHSNNGSEEGNRERDQRDNRANGSWTSPQAMSKTRFMDDDPDLEHGLSFHFADVPPNNDGNEDEDDGNRVGSNCSSMLASLHVRIVPRSSDPV >Sspon.01G0003440-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:8602192:8605193:-1 gene:Sspon.01G0003440-2C transcript:Sspon.01G0003440-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Subtilisin-like serine endopeptidase family protein [Source:Projected from Arabidopsis thaliana (AT4G26330) TAIR;Acc:AT4G26330] MLEIHTTRSWDFMGLRLHMQMEQSSQRHLKFGDDVIVGVLDTGVWPESESFRDDPHYGPIPSSWKGTCVKGEEFDPATACNRKLIGARYYLKGFESELGPLNTSDGSEYRSPRDRVGHGTHTASTAVGSVAPNASYFGLGRGTARGGAPRARLAVYKVCWYKDLTGRCSDADILAAFDEALCDGVHLVSASLGSPPPLMPLLSTSTEIGAFHAMQRGVVSVFSAGNDGPDASMVQNVSPWGLTVAASTIDRRFPTVITLGNNASIVVGFLSAPTMCTFDQLINSTAASGKIVLCFATMGTVSSDGAALAVYASNGTGVIFADTISRKSSQDSFWPTVHVDLYQGTQILYYIRDSSKPTVHISPSKTVVGETPAPAVAYFSSRGPSSISPKILKPDVTAPGVNILAAWPPKSSPTVIPLDKRSTEWNFDSGTSMSCPHVSGIAAVIKSVHPTWSPAAVKSALMTTAYMYDDTSDVMQAGGTLKAADAFDVGAGHVDPLRALDPGLVYDSGARDHVLFLCSLGYTEAAIRNMVLPCPALDTSCPPGGAAAAGHADLNYPAIVLPDLSGTVTVKRTVTNVGANRDAVYRAAVASPQGARAEVWPRELAFSSRHGGGEQASYYVTVTPAKLSRGRFDFGEVVWSDGFHRVRTPLVVRVTNLPDDGVQVQAPNATGDVHGAD >Sspon.01G0056950-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:90574635:90575761:1 gene:Sspon.01G0056950-2D transcript:Sspon.01G0056950-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVDSTAATAIETKIYAAPCQCHPAMLRNHACDRRRHIHHTAHLLQHAGLPIQTPRTHSASLLSRVHLAPLAPTHRARASRTARPRLSHMRHPLGRAKPSATSDGGGGGGARVAPTPTPTAGIARPGLPHVATCKRMF >Sspon.07G0002550-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:5480010:5482875:-1 gene:Sspon.07G0002550-4D transcript:Sspon.07G0002550-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MWKQFLSKLPRKSSASGDSGQCSNGTGIQRTSSCGSIPPGRPASAIRRMSSAVFPSSVVAGIEPLVSFKDVPNSEKQNLFVSKLSLCCVVFDFSDPNKSSVEKDIKRQALLDLIEFVESTNARFSEAAIAACSRMCAINLFRAFPPNCRSGSSGGGEGDEDEPMFDPAWCHLQLVYELLLKFIGSSSLDAKIGKKHFDHSFIVKLLNLLDSEDPRERDCLKTILHRVYGKFMVHRPFIRKAVSNIFYQFVFETDRHNGIAELLEVFGSVISGFALPLKEEHKIFLWRVLVPLHKPKSVGLYLQQLTYCVTQFIEKDPKLASSVIIGLLRYWPITNCQKEVMFLSEIEEILESTSQAEFQKCMVPLFRRIAHCITSSHFQVAERALFVWNNDHIISLIAQNHKVIMPLVVPALEHNIQNHWNQAVLNLTANVKKMFSEMDDDLSSSCLAKYKEEEEKRVSIEAKRKLTWEKLEAAAAFQPVTGHTAVLVGHQPSANMIATLI >Sspon.01G0012690-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1C:33938350:33938604:-1 gene:Sspon.01G0012690-2C transcript:Sspon.01G0012690-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPNSDSNMQQLVPIAPPGKASGGDSGKELVVVDPAGKSSGGVKLREDEEDLEVKLRRIMENVPVRVSNTSGSSAGSGSGDFHQ >Sspon.03G0021500-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3A:65767971:65768843:-1 gene:Sspon.03G0021500-1A transcript:Sspon.03G0021500-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MIIPMEELAKATNNFDKTRELGGGGHGTVYKGILSDLHVIAIKKSKITVHKEIDEFINEVAILSQVNHKNIVKLFGCSLETEVSLLVYEFISNVTLYHHLHVEGPRSLSWVNRLRIATEIASSLAYIHTAVSIPIIHRDIKFSNILLDDKMTSKISDFGASRYIPIDKTGLTTRVQGTIGYLDPMYFQTGRLIEKSDVYSFGVILVELLTRKKPFSYLSTEADGLVSHFLDHHAEGNLVQIIDPQVIEEGGEEVQEVAALAASYINFRGEERPTMRKVEHTPRSTRGKIR >Sspon.04G0014260-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:55601246:55605749:-1 gene:Sspon.04G0014260-2B transcript:Sspon.04G0014260-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGTSPGVADWWPRTKQRGCRTKLPWAMRRENCGRPPVVKSLSIILVRAVDGKTFVNALNDVIARQIKNPNAEEESSLSTLQNTFLGRNLKQGTSIYLTWLEPKRMLISISENQDPRQVDAEIESATVNYALYDGFFAFRCWPSL >Sspon.07G0005880-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:15452076:15458278:-1 gene:Sspon.07G0005880-1A transcript:Sspon.07G0005880-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Translation initiation factor IF-2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G17220) UniProtKB/Swiss-Prot;Acc:Q9SHI1] MASPASVTNLGSNGRSGPLPAAAAAAAARRAHLVTRISFTGFDGIRRWQYEPGRLCRCMVITNLIEEKGVQFSSRGSVSVKADDDNDLLLKPPQKPVQPNGPPESMKTASLPDQKSAGATLDDREKVRESLDAVLEKAEKLEASSFGNADGGNLSSRQNNVSSNGPGPTLVEEGGNSRKTKTLKSVWRKGNPVPTVRKVIREQPRTESRSQSIPVAKPSVSSPSKPAPLLLSKPSVAPLPRRPVKSDTSKEKKGPILIDKFASKRAAVDPIVPEELLNPLKPVRGPPAKVRVDRRKKPDTQAGSRRRMPNDDGLVDEDTADVPISGVAVRKGRRWSKAKRRAARLEAMQAEEPVRVEILEVGEEGMLIEDLAYDLAVSESEILRFLSVRGVMLDNVQTLDKDLVKMVCMEYDVEVLESGPVKVEEMAKKKEFLDEEDLDKLEVRPPIVTIMGHVDHGKTTLLDYIRKSKVVASEAGGITQGIGAYQVLVPVDGNPQACIFLDTPGHEAFGAMRARGARVTDICIVVVAADDGVQPQTSEAIAHARAAGVPIIIAINKIDKEGANAERVMQELSQIGLMPEMWGGDTPMIQISALTGDNVDELLETIMLVAELQELKANPHRNAKGTVIEACLDKAKGPLATLVVQNGTLNKADIIVCGEAFGKIRALYDDRGMLVDKAGPSNAVQVFPSLFSSIFSSVIGLNNVPLAGDEFEVVDNLDVARERANERAEALRLERISAKAGEGKVTLSSIAASVSSAKQTGIDTHELNVILKVDFQGTIEAIRQAIQALPQENVSLRFLLQAPGDVSVSDVDLAVASEGIIFGFNVRAPGSVKNYAKKKSVEIRLYKVIYDLIDDLRNAMEGLLEPAEEEVPIGSAKVRAVFSSGSGKVAGCMITTGKVVQDCNVRVLRKGKEVYVGSLDSLRRVKEAVKEVGAGLECGIGVDDFDEWQEGDIVEAFNTVKKARTLEEASATVTAALKDAGVPL >Sspon.03G0016550-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:72682658:72689288:1 gene:Sspon.03G0016550-3C transcript:Sspon.03G0016550-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:COP9 signalosome complex subunit 2 [Source:Projected from Arabidopsis thaliana (AT2G26990) UniProtKB/Swiss-Prot;Acc:Q8W207] MGSDADMEDYGFEYSDEEPEEQDVDIENQYYNSKGLVETDPEGALAGFDQVVSMEPEKAEWGFKALKQTVKLYYKLGKYKEMMDAYREMLTYIKSAVTRNYSEKCINNIMDFVSGSASQNFTLLQEFYQTTLKALEEAKNERLWFKTNLKLCKIWFDIGEYGRMSKILKELHKSCQREDGSDDQKKGTQLLEVYAIEIQMYTETKNNKKLKELYQRALSIKSAIPHPRIMGIIRECGGKMHMAERQWAEAATDFFEAFKNYDEAGNPRRIQCLKYLVLANMLMESEVNPFDGQEAKPYKNDPEILAMTNLIAAYQKNDIMEFEKILKSNRRTIMDDPFIRNYIEDLLKNIRTQVLLKLIKPYTRIRIPFISQELNFPEKDVEQLLVSLILDNRIQGHIDQVNKLLERGERSKGMRKYNAIDKWNTQLKSIYQTLSNRVG >Sspon.01G0028710-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1A:100060216:100062439:-1 gene:Sspon.01G0028710-1A transcript:Sspon.01G0028710-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESKVASVDARTTKIRNVPIAVTPEGFWCCPSQAVLQKTAKNQNQQAKTKPKGGASPLASKASSIQRAPTISSEKRTHSTPTRSKINSEEQRCLPAEDAATNPPKAVNERPQKQHKISVGFGQLEMSDLKVVLYGKDGVAVKMSVHKNILAENSTFFADKLSRQSPVSSIEVPDCEDVEIYVETVGLMYCSDVKQRLIKQTVPRVLRVLKVAELLGFPACVMSCLDYLEAVPWVGEEEENVVSSVRNLQSENYGVSPVLKRVTSDLTTPPNDTFSHIIELVLRSNEDRGRREMKSLVQKLLKENSTSCISGSSDLCSETLYRSCRNCLDSLLILFQQATDGDFAEQALNIKEPVFRQIALEADNLLWLAEILADRNAADEFAVIWSSQRELAGLHSKLPVKSRHLVSCVTARLFVAIGKGEMLPSKDTRRLLLDVWLQPLMDDYNWLQHGCRSFDRKVVEEGIGRTILTLPLEDQQTILLSWLGSFLKVGDSCPNLQKAFEVWWRRTFIRPYAEQQGNRSQSGRN >Sspon.01G0026500-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:74747952:74750532:-1 gene:Sspon.01G0026500-2B transcript:Sspon.01G0026500-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGSVTLKQKKRVKQAKNKYLKPGALAQIRYSRSTSRDIGKKRILLNAKDELELPPQPEVLLENNTPILSPARLNFEPFDSNKGQILPKTPKTPDASVFGGDSRLESLPLDLLIKIMCCLHHDQLKAVFHVSKRIRKAVELARQYHFNYTTPDRSRQELLLNKTPLPTEHWPFLRIDGKDVRVSTPRTPRAPKHAARFSRLRLVDVKPITAVLFQESPTPFPSKRLRRSVPPGLPRPVCKAAPSPRVLRYEEELCEAHGLATKGAEQTLFALVAWELWKERNNASSMVPQLLRHIKLTTDYYKIKLHSNVVRTQEIQQKTLKYSTDHAAIVQRSAVMTHHIPYRLMICAMRRL >Sspon.05G0013990-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5D:49344700:49348159:1 gene:Sspon.05G0013990-2D transcript:Sspon.05G0013990-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGARVRELEAETKFWTCLRELEVEMKFWACAKVCPSSYYKLKTNIRDMLLEKAETSSKLQTIDVMQRLGISHHFQDEISNTLYSISNESAKDQHTYDNIAFTALKFRILRENGFPTSLAFGDEEILDVARTYSAKALKELMPSMLPHLRESVAHALELPLHWRAPRLETRWFIDYYARDINMCPLLLQFAKLDFNQVQDEHQKDLAAVTWNIGLGEKLPFARDRLMECFHYANGIVWDPKHGPCRQMLAKVSNLIVYLDDVYNVYGTMDELVLFTNAIARWDAIPNETLPEYMKALYSVIYHTSNEVAEHALKEHAIHIAENGGNEDDSRKAMQDLILKSWKVINEEAFDSRQYSTPFNKACVNLARISHCVYQGGDGFGTPNDLKKKQIRDLFLDPVHI >Sspon.06G0016820-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:75640385:75643047:1 gene:Sspon.06G0016820-2B transcript:Sspon.06G0016820-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPDAVKSTLSNLAFGNVIAAAARDLQKEMVAKDKAQAAPASHDEVDLDELLDDPELEKLHAERIAALKKEAEKREVLKRQGHGEYREITEGDFLGEVTGSEKVICHFYHREFYRCKIMDKHLKALAPVYVETKFVKLDAENAPFFVAKLAIKTLPCVLLFKKGIAVDRLVGFQDLGSKDDFSTRALENILKMKGIIDEKKKDDDDDDEESESKNRRVRSSTAQDSDSD >Sspon.07G0008370-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:21172714:21175885:-1 gene:Sspon.07G0008370-2B transcript:Sspon.07G0008370-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFTVLLLVVVALLCLGGGGRVAASTDFNFPAVFNFGDSNSDTGGRVAAGFESIFPPYGSTFFGGPAGRFCDGRLIIDFLMEAIDMPLLNAYLDSVGTPSFRTGVNFAQAGCSITPAKPTSVSPFSFGLQIKQFFAFKDKVTKLLSKGDMYRKYIPQEDYFSEGLYMFDIGQNDLAGEFYSKTEDQVIASIPTILLEFENGLKKLYDQGARKFWIHNTGPLGCLPQNIALFGKGPSQLDELHCVAKHNRAAKLFNLQLHALCTKLRGEFAGASITYVDIHTIKYSLIANYSRYGFEHATQACCGYGGPPLNYDGNVPCGQTVSLNGKLVTAKGCSDTTEFVNWDGIHYTEAANFHIASQILTGKYSDPPFVDKMPFVIKPRF >Sspon.02G0006340-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2A:18891805:18892035:-1 gene:Sspon.02G0006340-1A transcript:Sspon.02G0006340-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADDITEFDIANFNHFGILARFFNYSTCSSASDNESMTSTNHLRSGKWRTRDTPQRLEGPQEYPVRLGNTAPHHPR >Sspon.05G0014410-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:53657241:53666232:1 gene:Sspon.05G0014410-2B transcript:Sspon.05G0014410-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LVYSVERAVEEIIPYLEDTGRSAHKAIYFDGWDGLAASSVLRAIAEHPPPSLMNKFDRIIHIDCSRWKSRRALQRAIVDELKLPHSQRVMAAFDRQDMEDDFSGVDDGSRVEIAEVTREIYQTVKDLSCLVVFHNGSDNTVDMADFGFLPNPEYREWFINRTNNKVLWTFSRRLRINPEIKEKVDSSHLCIYSNIDWPFDHDTAQLILEEAMEVVKHMQHKQSITPEIAAKCITYILWLHEMGGSTMDYNWATHASNYWVCDGIIGQQGQLDDDESWEVSTALHKQMQTMELSSFPVRFSGHEYMDVWKSVAYTSDSGGKENLTALPRNLTSFFLASKGRLYTTLPCDMFQRSERLRILKLSGCRFNFNSPPFCCCHSLRFLGIDHCEDQGQEEEDKRGRPIIEFFQSLWVLDICHTDWGFGGSHHAWRQLQNLHKLRVVDPTNSWETGKKDEFTDMVKLEILDLSGNNTIQVLPSLSSASRLRTLVLDGYVGLDHIGPEGIPTSLESFSLDAKVGKDGNNTAKISRITLAGCAKLVDFTLLGSLPNLEELDLSCTAVKTLNLKKEVQVEKLERILLMGCYQLRAIVWPENGMKQLKLLRIDTRQGVVLSMESSHHSIVCHEQEGYCHAHVSITDMRFLQSLLLTGREEFCWSTTSFKLNLYLSCTTKDDGKNYISEKVGHPFHSTGLIAGSRSVVHKSLMSNTCSTYNDVNIEQIVITEDDDSSALQFEPQGLHVEMGQEMVDINVANSQGIKALYFVMNRVQSLHLHDNCNISSIIPEHIASTTEGEINYRALKWCRVEKCPKLDTVFHTNYDGNEYWFDELETFWAADLLMARSIWSRGRIYRGGDSVSFAKLRAIHLYRCPRLTFVLPLSWFYTLSGLETLHIIKCSDLRHVFPVEAEFLNEIATEHPNGMLKFPSLKDLYLYHLSSLRQICEAKMFAPKLETVRLRGCWGLKRLPATNRREHDAPRVVMDCEKDWWESLEWDGMDFGHHPSLFAPSHSSYYKKRMLRGEEIIPYLEDTGRSAHKAIYFDGWDGLATSAVLRAIAEDPPPSLMNKFDRIIHIDCSRWKSRRALQRAIVDQLKLPQRVMAAFDRQDIEDDFSGVDEDSRAEIAEAAREIYQTTRDHSCLVIFHNESDNTIDIADFGFPQHSWFSDRTNNKVLWTFRGRLRLNPEIKEKVDSSHLYIYRNIDWPFDHDTAQLILEEAKEVVRHMQHKQNIITPKIAAKCITYILWLHEMAGSTMDYNWATHASNYWVCDGIMGQQGQLDDDESWEVSAALHKQMQRMEVSSRPVRFWGHEYMDVWKSVAYTSDSGEKENLTALSRNLTSFFLASKGGLYTTLPCDMFQQSERLRVLKLSGSRFNFNSPPFCCCHSLRFLGLDHCEDQGREEEDKQGRPIMVFFQSLWVLDISHTDWEFDLSQDLAEQMSSNIREVHIKKGRIWRSHLAWRQLQNIRKLRVIEPSSSWETGKKDEFTDMEKLELLNLSGNSTIQVLPSLSSATGLKTLVLDGCVGLDHVGPEGLPPALETFSLDVHQSEELTHLKQLRAILWWQEERQLEVLCIDTFETEETCPHYSDPSPIQDRNYVVTADARFIQSLLTKEYGTMITSSLYLDLHMPPTSSSNKSKGPSRDKVIPKPCYYTYSDVLLPGASTCDNEMRWPAPLDYHMEVGEGISLTAVESDKGIKAIHYVTLKCIYSLHVHDNSCILAVTPKRPLNSGYRILVLKWCRVERCPKLETVFASSSLRYTFDDLEAIWVSDLPAAACIWSKGSIYDDNQSFKALESIHLHKCPRLKFVLPLSRNTYLPKLKTLHITQCGDLKQVFPWDDVVVPRQHRGAGHTVKKFPNLKHVLLHDLFSLQEICEAKMLAPMLESIRIRGCWGLKRLPTIGNNNHRPVVLLRRLALLRRK >Sspon.07G0002710-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:5559515:5565834:1 gene:Sspon.07G0002710-2B transcript:Sspon.07G0002710-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CRS1 / YhbY (CRM) domain-containing protein [Source:Projected from Arabidopsis thaliana (AT3G18390) UniProtKB/TrEMBL;Acc:Q9LS51] ALSELPLHHSFRLSSRPTIHRLLPLRLLSSSSSPSRHVSSSPSAAAAASAASSPSSTGGNRTPPPSTSTGAPWLQKWAPSDPSRPAPAPSPVPSPTTSIDRIVHRLRNLGLASDDDDPSASAATAAVAPPDGTERLGDLLDRSWARPDRQFAAASFDDAVLPWERDDEPAGPVRDEEDGVKRRRVKAPTLAELTIEDEELRRLRRLGMTLRDRITVPKAGVTTAVTEKIHDAWRKSELVRLKFHEDLAHDMKTAHELVERRTGGLIIWRSGSVMVVYRGSNYKRPLKSQTLNVASSPVKGEEGALFIPDASSPAENDVQGKDLAAQHANVSQLNTQNAEDMTEEELEFNQMLDELGPRFVDWWGTGILPVDADLLPQTIPGYKTPYRVLPTGMRSALTNAELTNLRKLARNLPCHFALGRNRNHQGLATAIVKLWERSLVVKIAVKRGIQNTNNKIMAEEIKNLTGGTLLLRNKFYIVIYRGKDFLPTSVAAVLAEREELTKDIQNMEEQRRNVLIAQPPDDGLDGHALVGTLAEFQEAQARWGREVTAKEQEEMKEASSRSEKQKLYRKLEHKLSIAQAKIHRAERLLSKIEASMVLADPCDDQEMITDEEKSVFRRIGLRLKSYLPLGVRGVFDGVIENMHLHWKHREVVKLISKQKTLSFVEETARLLAYESGGILVAIERVPKGYALIFYRGKNYRRPINIRPRNLLTKAKALKRAVAMQRHEALSQHIDQLESNIKQTKLDLGIEDYEEQDDDSSDSENEYGMAVTSGSYDEDQDDFDESADEDEYDDYDDDIEDEEIDKYSGLTYCRTQVCQWTFMTGFQVPTVLSVKDSGGTNEYRKALAPPINMPPKDHYATGLLAESLILATTEEQRLRETGIEHPVWRDGAPWCLLC >Sspon.04G0001730-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:6971961:6972272:-1 gene:Sspon.04G0001730-3D transcript:Sspon.04G0001730-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATELTAAQLRAYDGTDPSKPIYVSVRGKVYDVTSGRGFYGPGGAYAVFAGREASRALGKMSKDEADVSGDLSGLTDKELGVLADWETKFQAKYPVVARLADA >Sspon.06G0010830-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:34888543:34893378:-1 gene:Sspon.06G0010830-2B transcript:Sspon.06G0010830-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGDDERSTSKHHHRDKDKDRDRSSSRHHRDKERDRERERSSSRHHRDDGDRDRERDRHHRDKDRDREERKEREREERKAREREEREREKEKERARRREERDREERERSRRRGDADGEEDDDDRDRKRRRRSSHHHHRDPEPEPASREEEEEVDAEEAERRRQRKKEEDMEAEQQRLDDEMERRRRRVKEWQEKRREQQQQDGGGAGGASAAVAAEADGVKAAGKKWTLDGEESDEEGDKEDGKKAEENGGAGDMDVDLPNGDGDANGGAGMEEDEIDPLDAFMNSMVLPEVAKLESAAAAMDTAPASGGDDKNGKSSKDVTSNGDKKGSRKAMGRIMQGDDSDSDYDDGDEDGAGEDDEDDEEFIKRVKKTKVEKLAIVDHSKIDYQPFRKNFYIEVKDISKMTSEEVVDYRKHLELKVHGKDVPKPIKTWVQSGLTSKLLDTIKKLGFEKPMPIQTQALPIIMSGRDCIGIAKTGSGKTLAFVLPMLRHVKDQPPVVPGDGPIGLIMAPTRELVVQIHSDIKKFSKVLGINCVAIYGGSGVAQQISELKRGAEIVVCTPGRMIDILCTSSGKITNLRRVTFLVMDEADRMFDMGFEPQITRIVQNTRPDRQTVLFSATFPRQVEILARKVLTKPVEIQVGGRSVVNKDISQLVEVRPDTERFFRLLELLGEWYVKGKILVFVHSQDKCDSLLKDLFQHGYPCLSLHGGKDQTDRESTIVDFKSNVCSLLIATSVAARGLDVKELELVVNYDVPNHYEDYVHRVGRTGRAGRKGFAVTFISEEEERYAPDLVKALELSEQAVPQDLKALADRFMAKVKQGTEQAHGTGYGGSGFKFNEEEDEARKTAKKAQAREYGYEEDKSDSDSDEEGGVRKAGGDLAAQAIANAHAAAALVANKAANNVNQQIPGSATVPLIPLLAATNQQNDEATARALQAAMNLQQNLARIQAHAVPEHYEAELEINDFPQNARWRITHKETLAPIQDWTGAAITTRGTYIPQGKIVGANERKLYLFIEGPTESSVKKAKAELKRVLEDCANQALNLPGSAQTGKYSINR >Sspon.01G0056280-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:87501794:87507018:1 gene:Sspon.01G0056280-1C transcript:Sspon.01G0056280-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding KEMKVGGLLTSAGINIGLCVLFLSLYSILRKQPQNVKVYFGRRIAEEHNRLRDAFILERFVPSPSWIVKSLRCTEEEILATAGLDAVVFNRILVFRYASCPDSVSIIRDIYNRKCGRKIKMEYKHIARLRLYHISRATSNPSHFTVLVRGIPKSSTESFSRTVESFFTKYHASSYLSHQVVYKVGKVQKIVSGAKKLLPSDYEQESEKSDVNDSSSSLPDEECGAAFVFFKTRYAALVVAKILQTSNPMRWVTTLAPERDDIYWSNLWLPYKQLWIRHIVTLLGSIVFMFLFLIPVTFIQGLTQLEQLQQRLPFLRGILEKKYMTQLITGYLPSVILQIFLYTVPPTMMLFSTLEGPISHSERKKSACCKVLYFTIWNVFFVNVLSGSAISQLNALSSPKDIPMQLAKAVPVQATFFTTYVLTSGWASLSSELMQLFSLTWNFARRYLLRMKEDSDLLYSFPYHTEVPKVLLCGLLGFTCSVLAPLILPFLLLYFCLGYVVYRNQFLNVYCTKYDTGGLYWPIAHNTTIFSLILTQIICLGVFGLKESPVSAGFTVPLIIFTLLFNQYCRKRHLPLFKTFPAQNLIDMDKEDQQSGTTEDLHQRLHSAYFQFHDTDDVPLEGVHSTAGRDEDGSGSSGESSCKESAADELKSGLSHPTLDGLPVSRLRNAVRSLGSVLRPQKRELPV >Sspon.06G0008280-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:39900010:39905085:1 gene:Sspon.06G0008280-1A transcript:Sspon.06G0008280-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DEVRTIFITGLPADVKERELHNLLRWLPGFEASQINFKGDQPMGFALFSTAHQAVAAKAALQDMVFDTETKVALHTEMAKKNLFVKRGVGIDANTVDQSKRLRTGGDYTHSPYAPPFHPPPPAVSMWGTPGYITAPPPYNPYAAYPVPPVAMTSPSPVTGPTAYAPVQNTKDNPPCNTLFIGNLGDTVVEEELRSLFSVQPGYKQMKVLRQDRNTVCFIEFEDVNAATSVHQTLQGAVIPSSGRGGMRIQYPLSIEKMLDS >Sspon.02G0010810-3C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:34086406:34098305:-1 gene:Sspon.02G0010810-3C transcript:Sspon.02G0010810-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to Meiotic asynaptic mutant 1 [Source: Projected from Oryza sativa (Os09g0506800)] MQVMAQKTKEAEITEQDSLLLTRNLLRIAIYNISYIRGLFHEKYFSDKSVPALEMKIKKLMPMDAESRRLIDWMEKGVYDALQKKYLKTLLFCICEREEGPMIEEYTFSFSYPNTSTEEVAMNMSRTGSKKGTTTFTSNASEVTPDQMRSSACKMIRTLVSLMRTLDPMPEERTILMKLLYYDDVTPEDYEPPFFKCCADNEAINIWNKNPLKMEVGNVNSKHLVLALKVKSVLDPCDDDNVNSGDDGMTVDNESDHDDDFSDTEVHPSKADHYVIAPNDDTQDAAHEEELTSQVKDWICLRDNGAINVSDVLSNFPDIATVIISDIMERLLKDGVLSRASKDRYTVNQTYERFQKTDPKTPHIKKETIMADVPSTEVTKHNNGDLLYMKVNLL >Sspon.02G0050630-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:63865658:63867988:-1 gene:Sspon.02G0050630-1C transcript:Sspon.02G0050630-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SWDHHDLISVKPEYNDSSRCSTSARLASIAPYSGRRETSVYATDIISGITIHCKVFVDKISRISIFHHAVKIDLDEIATLRVHAFDDEENVFSTLVGLQFLWHLTPRLVDNSSHHLVRILLKETHLSDCGGFCGDMNIRFELEDKNLGSDFFVVKGIEIGQEVVKAQLFEPQFDHVTDTITLTVAEAMSLEPSSPVLVTVGVLVKFKLKVFRQKVAQVVKLPSQYHRWHATNSSVAQVDSLGILHALSLGFTKVVVEDTRVSGHEQVSSLHVVIPRTLFLYLVPIMDDSAHLHGITNIPSSKVWYVYPGRKYMVLAKAFAEGFDAREIYIT >Sspon.01G0007810-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:19028395:19036030:-1 gene:Sspon.01G0007810-3D transcript:Sspon.01G0007810-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLQRRLSSVFSNADAKNVRWSWLRRSLYVLMLLSSYFAYLALFSRNSIHHSLLPRPFCEPPPAPAAAATRSPTTLAHIVFVIGASKTTWAKRGVYTGLWWRPGAMRGHVWLDEEPSGPWHPSWPPYRVLRPNATRFGREHAAAARMAQAVAEAYYETAAAGAGPEGAGSGEARWLVMEDDDTVFFPENLAAVLDRYDHREMYYVGSSSESVGQNVAHSYAMAFGGGGYAISFSAAAALAGIMDGCLDRYNELYGSDHRVQACLAELGVPLTREPGFHQGCLSRLMPRETRRRKPQDVQQNLCADLDEPKEKRTIKTNRCRTGAVPSFLDLKGHVYGLLAAHPVAPLVSLHHLDRLSPISPNSLKRLHAVRSLVGASRRDPARTLQQSICYYRPPGTVTLSVSVSWGYMVHLYPSATPLRTFRAWSGSPAGPFTVNTRPEAAPNATALPCHRRPVMFYLDRVTTEESPGSAGQKQNRTLSEYVPELVSSDACNGTKVQTIRVLALKMDPAVWKRLSARPIRWPGTVGNVAMLPAPGFPCGFDIREDLH >Sspon.02G0007770-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:16822290:16825797:1 gene:Sspon.02G0007770-4D transcript:Sspon.02G0007770-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRVASLSPSLSGDEVDVEEEEEDEGVDGYRKGGYHAVRPGDQFAAGRYVAQRKLGWGNFSTVWLAFDVQSQRYVALKIQKSAPDFAQAALHEIEFLSEITKRDPSNCKCIIQLVDHFKHAGPNGQHICLVFELLGDSLLKLVQYNRYKGIGLNRVKQICKSILVGLDYLHNELGIIHSDLKLENVLLVSTIDPSKDPIRSGLKPNLERPEGNPNGEAGLNAIEKKLKMRARRVLAKLAEKRKSAVESSRSERSLDGIDLTCKIVDFGNACWADKQFTDFIQTRQYRAPEIILGAGYSFSVDMWSFACIAFELATGEMLFTPKEGHGYSEDEDHLALMMELLGKMPKKIATMGTRSKEYFDRHGDLKRIRRLKLSSIERVLVDKYKICESDAREFASFLCPLLDFAPEKRPTALDCLKHPWLRYNEDKTCGPLNNNDAKNIDLAQSTGSITSGDCTNIDLTSKKGGPTGTCDKTADAKYNTRSITSNASINTDVQPNTGSIANRLAKNVDVNPNIGSITNRDAKTSDMKPHIGSVTISDSKSSNAKLDTGNITDRNAKTVNIKPSSDNISSRDDKSSNVDTTTSSVVNKDVKRSIRNMKPSTRIVSSADNAKCMDIKPISASAKSNDTINANVKSNTGIVANSDAKNTDAQTNIGSVDSSDDYSIDSKPNIGRVAASIQRLESSMSKVQIGKY >Sspon.04G0014440-3D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4D:60523653:60526805:1 gene:Sspon.04G0014440-3D transcript:Sspon.04G0014440-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDSADPLPTQPSPDPDMPPRKPLAGGGGGKLKKPLTDKQRAAAEQSLSHLRAHLVLRPLESPAAGARALPPPQEAALDALGLLNFFRLDLQSEAPRPDLIAPLVAYYDPACKRSFVRGVRVAVSRHDLTRALCLPPKPASAAPAPADVDPAAVVPAVMRLLQDYVLLPFQGDDMCILPQEVAAAEQAVREGAAHRVDWGGLIWGLVEKEMLELPKREDGVCYYGPHLQRLIYAQKPHLLVLELVEEGPVPEVSADVEMEEEDGDVDLKSKSLVELEPGDGDGDGDAGNDVRSKSLEESELGNDGLDKLGLGDAVTRNKVMEELGLGDVDARNNSVEELVEEYARIKSLNECEAVDVDQDAMDNNIDEMETVNEDARSKSLNESEAVDVDPGAMDNNIDEMETVNEDARTKSLNESEAVDVDPGAMDNNIDEMETVNEDARTKSLDESEAVDVDPDAMDNNIDEMDAVNEDARSKSLNESEAVDAISNNIVELGAVNEDARSKSLNDESEPVEEDVKGPSFYDMNTVDEHVNGTNMDGLCLGFVAVEAVPAVHEARLHNNEETTEEAPAGGDDVPGGDDVDGEEPSVEAVVVTQEVVAVAEELGDEEAEGDEEAERDEEKDAMGLSLGFNSSNGYGALDVEEETNVENLDEDESDSGNEEAESEDDEFDVNDGEDMNWRIGDGQGDEGMAHSLQRCNTFGSMEFENLNKGEVEMRDGLRFDDFPARASLERMTSSNLLQAMNSIPSSYNVAENVHDLPSGDFLAMGADAHKSGVDLGPGSSYLFGNNGKRNIDDIDGYNGNMQVQEDFPQSNQQKRMRHSNSSNISPGSGFFNASFSVPIQNLMVEASRLYEQNEQKLQNLQFEKQQWSHMLQQKEAIIQSLNSARFEQQNKYQAELRRFEHDLNVMAQLVTTYKKALKQTRASFDEYRKKFPCNVPLYGDVAGGGGLVLGVRELEKRRCEEEQQKIAMVNGMIERFQYEWFSKLDEWGLAVNSLWSRMEGLYKEIELLKENRRARFATPATEESTLATEESIPATENEFPDSQEVCGLV >Sspon.02G0022260-1P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2C:75607439:75608893:-1 gene:Sspon.02G0022260-1P transcript:Sspon.02G0022260-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLPGRHHCHHKFTPSSPPASFRSPHLRRLRPLRPPILASANPPPPPSPLPSHFPSPRRPWRWRHSASGNGAGDVVQDLPPVEADGKATVGGKKSFWGAVSLIIGTAVGPGMLGLPSATIRSGQAPSAAAILLSWVYVVSSIVLVAELSFAAMERDGVDEVSFTGLASSTLGAGLGAVVAVVYAALSFSLLVACVAGIGSLVSQLFPGVDPVLANALFPCFAGVLIAFFPFKAVDGANRALCGLMLVSITALVVTGVSVGRTSLLKSLGYASWSPGAILPAIPVTVLTLGFHVITPFICKIVGDSVYDARKAILIGGAVPLAMVLSWNAVILGLASAGGNAGFDDPIKLLLSVNPAALPAVRGFAFAALATSLIGYAVSFPKQLADTVELILQKFSQKQGSVHQPNSSSDYGRNGAILTWTVLIIPIFIASFYSAAFSKALDFAGVYANCFLFGILPPFMAWIHRSQMKKRYAVRYDLYLSTLV >Sspon.07G0027570-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:60921769:60923396:1 gene:Sspon.07G0027570-1B transcript:Sspon.07G0027570-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNAIKGLFISCDIPMAQFIVNLNASMPPSERFIVHMLDPTNMFVQPHVAHMIRSKIGEFRDQNSYEKPQ >Sspon.01G0033440-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:111310971:111314659:1 gene:Sspon.01G0033440-2P transcript:Sspon.01G0033440-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKATLKGRYEGDKATAATTLAAPAGDLRLKASATEAAFANGPSLRGLTLTLEKPGAFLVDLKPHNQDVRFQFMNSAFVLDKRVSLTYTHSTSFATAPAPPAAAPPSRTALDCSVTFDPANKVTLSHSLGSGGCRLKYTYAHGVDRLTTIEPLFDTNKNAWEFAVTKKFTGGDTVKGTYAASTKLFGLEWSRDSIAGGSFKVGTTFDLSDQSKAPKLIAESTWNYEI >Sspon.05G0009760-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:22948595:22952809:1 gene:Sspon.05G0009760-1P transcript:Sspon.05G0009760-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEKRPSHRERHFTAGEVVRDVIMGVSDGLTVPFALAAGLSGASAPSSLVLTAGLAEVAAGAISMGLGGYLAAQSEADHYKREMKREQEEIITVPDTEAAEIGEIMSQYGLEPHEYGPVIDGLRRNPQAWLDFMMRFELGLEKPDPKRALQSAFTIALSYVIGGLVPLLPYMFVSTAQNAMFISAGVTLVALLFFGYIKGRFTGNRPFISAVQTAIIGALASAAAYGMAKAVQAR >Sspon.03G0031190-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:72859079:72860684:-1 gene:Sspon.03G0031190-2C transcript:Sspon.03G0031190-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADKPSRALVLYAAGHAAVLAPPAGSAAAGSHLDAFASRASCGLLTLRSPPASHPTTGTEDNSSTILELAQLLDVYDHLYTGKNAESGQEVAQVDPQELVVPKLSERFTGLRAALVTSCPRVSSFAANLGFQVFQTNDFAPQSGSSGVTKEVGLINQAFDLLGFSDGNVQETSEFDLVFMHVAMENTSSKLGKLGMKTDLNRLEKLVGAVMESAPVGSAIASRIHVSVILSYGSASGNKDEFSLLTSLTEADSDLNLLRPRQSYTMKAGHTLDDIRLHHPILLAQWQEGVTRVDLAKGFSFEEFMK >Sspon.04G0029300-1P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4C:74336248:74338998:-1 gene:Sspon.04G0029300-1P transcript:Sspon.04G0029300-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGILLAPLSCRSRRRLQLHLSRPLLAILSNTFSASASSSPPRAPPLPPLSPLLPPRPEDARLVAAAKSAIAASFHDWFLESRSPASAPAPPRALDAIYGALASDDTAALEALPLSEKLVLAVLRHRPLGLHDADALLLLRLRFFDWSGRRPRYSHTRVVYHAVFRLLACARRNAVLLDWLRLFSDTPATGGHLRFHDTLVVGYAVAGDPQRGLNVLGRMRFRGLDLNAVSSRILLNSLVDASLHDLAESFARNLAPSPFATCILIKSHCRRARLDDAVALLDTMPFADASRGPAAGSVVTEFCRRGRFAEAAQLVDKFPSGDVYGAWIHGLSEAGKLDATMKFLSDKKESEGYILDGKRCDQLVYRLLVRNRLGEVYDLLVQMMEEGIAPGISTMNAALCFFCKAGLVEVAMHLYRSRMELGIDPNKDVYNNLIRALCHGGETEEACLLLEEAMAGGYFPARQTFSMLANVLCQEGKLDRVRELLDRALKQEVWTIDSVLAKYLAAVCKSGNVEAACELPQIASSKRHVGLYRYESTYKSLIRALILIKRVDVLPRLILQMQDMGHIPTRNLYQSVVCALCELNRYTEVLDLLDSQLKRSELQPRVCYNYFISGAGHAKRADMAKAVYDQMEVSGIEPSIVSNILLLMSYLRSKRIGDALNFFNLVRAKKTPCTRLYNVFISGLCEAKKPEQAMVFWREARDNGVIPSINCYEHLVLLLCSVRDYGTAVKVIDDFRETGRPVSAFLCNVLLLHTLMGNDLLKAFLRSRDKSKPLEVKGEEIQGREAGRLLIGDLVSAFASGIRNMNDLEHLGEEMEKYFPVDIYTYNMLLRGLSMAGRMDSAINLYERICRQGYQPNRWTFDIIVHGFCKNGDRNEAERWMDAMYRNGFYPTWYTMRLYNNLLLRANDQKIISFV >Sspon.05G0011620-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:33344378:33346750:-1 gene:Sspon.05G0011620-1A transcript:Sspon.05G0011620-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SAMHLLRPFILSVLLFVILHAPLPSATTDTLRPGHALAGRDKLVSANGKFALGFFQLQTGSSSSNSSYLGIWLDKVPVLTPVWTANRDNPVSNSTSPELIISGDGNMVVLAQGTSIWSSRASTTTNDTVAVLLGNGNLVLRSSSNSSLTFWESFDYPTDTMLPGVKIGWNKATGLNRRLVSRKNSIDLSSGIYSSGMDHDGVARMLWNSSVVYWSSTWNGRSFSAVPEMSAGSGPIANYTFVNNDQELYFTYNIFDESIIIHTRLHVSGQSQGRIWTGQDWMTVNQPAHHCDVYAVCGPFTVCTSNADLYCSCMKGFSVRSPADWEIEDKTGGCIRNTPLNCGADDGNKTGMADKFYSMPGIRLPENGKIMPNTSSAKECAQVCLSYCSCTAYSYGKDGCSIWHDGLLNVATDGNEEMIYLRLAAKELQSGKGNRSGMVIGVAVGTSIAALVFILLILIWRNGKWSRSLVDNDEGSVGIIAFKYSDLQDATKKFSEKLGAGGFGSVFKGCLSESIAIAVKRLDGARQGEKQFRAEVNSIGIIQHINLVKLIGFCCEGDRRLLVYEHMPNGSLDSHLFESFGTTLDWNIRYKIAIGVARGLAYLHHGCRDCIIHCDIKPQNILLDESFVPKIADFGMAKFLGRDFSRVVTTMRGTVGYLAPEWISGTPITSKIDVYSYGMVLLEIVSGKRNCIEHSSSHSEGQGDYLPVQVAHKLLHGDILSIVDANLHGDVNIEEAERVCKVACWCIQDLESDRPTMIEVVQFLEGICELEVPPMPRLLSAIAGSSPHQTR >Sspon.06G0025580-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:69669423:69670427:-1 gene:Sspon.06G0025580-1B transcript:Sspon.06G0025580-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTRDDGVRLQVLYLDSNQLTGPIPLLPVNLYVLDISRNHLSGQLPSNICDLNVDELNLANNHLEGKLPVCNQQRRAITLILSNNMLSGKLQLILEAYTELMVLDIARNNFTGTIPATITRLGGLFHLNLAGNSISGTIPHDLSNLWGMKTGDYNYYDRTNLSMTMKGQERYYYSLAFSHMVVIDLSSNHLTGRIPEEIASLINAVVNLNLSRNNLTENFPERIGAMQSLESLDLSENNLYGEIPQSLSNVTYLSFMDRLLLCKVYFVTGCFMDRLCNH >Sspon.01G0008520-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:23710600:23714033:-1 gene:Sspon.01G0008520-1A transcript:Sspon.01G0008520-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GHGFRKDLASMLANLKPRFLKFPGGNYVMGNYLINAFRWSETVGPWEERPGHFNDAWAYWTDDGLGFFEFLQLAEDLGACPVWVVNDGASIYQEVSSATIAAFVKDVVNGIEFARGDPETAWGSVRAAMGHPEPFQLYYVSIGNQECSKYYYKDNYVKFYSAIKASYPDIKIISSCDRSAISPVNPADLYDVHVYTSSGDMFSKSRMFDNTARNGPKVLALLLLPQLSSLQLAGNVLIRISQAIVSEYAVTGSDAGRGTLIAALAEAAFLIGLERNSDMVEMASCAPLFVNDNDQRWNPDAIVFNSWQHYGCPNYWMLHFFKDSSGAALHPSTIQLPNYDQLVTSAITWKNPHDGNTYLKIKVVNFGSKAVNLNISVTGLETDIQTFGSIKTVLTSGWLQDENSFQQPDKVVPAASPITNAGKQMGVVLNSYSLTSFDLLLDSDQTVPSASASSLHSSV >Sspon.06G0020450-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:9153806:9157617:1 gene:Sspon.06G0020450-1P transcript:Sspon.06G0020450-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding NMESSANFNLLFLPTTFHSRGGIKSPWSRRKRKRALTCQHWNRLFSANGKLRDGGRKFLKKVRSGGIEPGIRAEVWPFLLGVYDLNSSEEDRNTIKIKKRKEYEKLRRQCHRVLHCNRGNGLNVINEFMNEDFSDGAEGSESPYSNGVSKRACVMPKELKSLGNRTPEDFATWQRIIRVDAIRANTEWVLFARNQAEVSKEKALQSAISVGLKDFDHLEPYMIYHAARLVALLEAYALYDPEIGYCQGMSDLLSPIIAVMEEDHEAFWCFVGFMKKARHNFRLDEVGIRRQLKTVSQIIKRKDSHLYRHLQKLQAEDCFFLYRMVVVLFRRELTFEQTMCLWEVMWADQAAIRAGIGRSTWARIRLHAPPTDDLLLYAIAACVLQRRKLIIEKYSSMDEILRECNSMAGQLDVWKLLDDAHHL >Sspon.01G0053030-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:29296455:29298341:-1 gene:Sspon.01G0053030-1C transcript:Sspon.01G0053030-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SIYYPLKSPKGPVLPLRSVLVFFIAMFGFYVCYFSFHQITLENEAKMISADGAEQTEIHCTRPAIPHEQMRYVHFPRPVTYDRGECACNPVRFFVIISMQRSGSGWFETLLNSHPNVSSNGEIFSVRDRRENISSIFETLDRLYDMDWITSAAKNECTAAFGLKRGAMVIFLFRRNTLRRLISVLANNYDRKTKQLNGIHKSHVHSKEEAEILARFKPDLDVSTLIPSIRNAQRAMRTCLGRFSNTRHMVLYYEDVIRDRNALSRVQEFLGVPVRRLSSKHVKIHTRPLPDLVDNWEQVSQVLNGTQYGRFLDDADYVK >Sspon.05G0017100-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:16975019:16976168:1 gene:Sspon.05G0017100-1P transcript:Sspon.05G0017100-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVRGGAIAAALLAVAMALVLSSDTASAAGISSRRPSKNPPKMSTPGDPKKPPRNGKFTTVVAYHKRDYEITCTTEWGASCYVKCPARCPNKCLAYCAYCLTFCLCDLMPGTSCGDPRFTGADGNTFYFHGKKDESFCLVSDDRLHINARFMGNHNADSGRDFTWVQALGVTFGDGHRLYVGARRAAEWDEDEDHVVVALDGEPIDVEPAKGARWTSSAVPGLSVTRTDAVNAVVVELDGAFAISANAVPITDEDSRVHAYGKTGKDSLVHLDVSYQFPGGLTKDVDGVLGQTYRPDYVNKLDISAKMPVMGGADKYRSSGLFATDCAVSRFHGVGAGDAGFTSFA >Sspon.05G0001060-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5C:5995208:5996072:1 gene:Sspon.05G0001060-2C transcript:Sspon.05G0001060-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALASTSATAPAAVLKTPFLGARRALANAAAAKPAPRRALVVVAAVAPKKSWIPAFKSDAEFINPPWLDGSLPGDFGFDPLGLGKDPAFLKWYREAELIHGRWAMAAVLGIFVGQAWSGIPWFEAGADPGAIAPFSFGSLLGTQLLLMGWVESKRWVDFFNPDSQSVEWATPWSRTAENFANFTGEQGYPGGKFFDPLGLAGTVKDGVYIPDVDKLERLKLAEIKHARLAMLAMLIFYFEAGQGKTPLGALGL >Sspon.02G0006790-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:14554055:14556427:1 gene:Sspon.02G0006790-3D transcript:Sspon.02G0006790-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAKRVCSLSRPPNKPPLLAFFFLVAATAFARDTILPGEGISGNQTLVSQNGVFELGFFSPGTDIYHFLGVRIRNMPTNAGTPTFWFGDRVYISDLPSAALQLFGDRLYITENGTNLWWSSVAGGGGPAPAPASAAVAVLLDSGNLVVRDQANSSLVLWQSFDYPGDALLPGARLGLDGDTGNNVSMTYTNTNSWHNSSLSIDPDQRRRNGFVLTTDGWDVLRGTFPEWMVSSQDNGSSLLLLKTRQGAGMAEHLQLHLGQVSLRSWSSSAGCWVARWTFPSDCKSSAFFCGRFGACTSNGTCGCVDGFEPAKPSEWQLGYFVNGCSRSLPLSCTADNGLTAEHDDSFVLLDNLQGLPYNSQNVTAEGDEGCREACLSKCYCVAYAYDDDSGCKLWYNYLYNVSFAATPPFSKVYVRLGSELGAQKDSKTTGIVFMVVGLTATACVILILALVWRYRDRRALFATCRNFQEVEGSLAVYPYAQIKRATRNFSDKLGEGGFGCVFRGTMPGPTVVAVKCLKGFGQADKQFRAEVQTLGVIRHTNIVPLLGFCVKGSTRLLVYQYMDNGSLDAHLFSENNPCLLNWDLRYRIAHGIAKGLAYLHEECQDCIIHCDIKPENILLDAEFGVKIADFGMAKLLGREFSSTLTTIRGTMGYLAPEWVSGQPITKKADVYSFGIVLLEIVSGRRTTRRLKFGSHRYFPLYAAAQLNEGNVLSLLDRRLEGNANVEELDVACRVACWCIQDEESDRPSMAQVVRMLEGVLDAEIPPVPSSFKNLIEGENSSTSSEEG >Sspon.02G0026640-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2B:81561231:81564749:1 gene:Sspon.02G0026640-2B transcript:Sspon.02G0026640-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLSFWLLLLCLPPLDSFELPRRDSSRDADIEMGMHQADASDNLKDFLKKVDAIESLIAKLTNLLNKLQTANEESKAVTKASSMKAIKQRMEKDIDEVGKIARQAKTKVDELEKDNLSNRQKPGCGKGSAVDRSREQTTGAVKKKLKERMDDFQTLREAIRQEYREVVERRVFTVTGNRPDEETIDDLIETGKSEQIFKDAIQHQGRGQILDTVAEIQERHDAVRDLERKLLELQQIFMDMAVLVEAQGDMINNIETHVSNATNHIQHGVTALQNAKKLQKNSRKWMCYAIILLLVIVVVIVVAVIQPWKKG >Sspon.05G0003560-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:4373358:4376597:1 gene:Sspon.05G0003560-2B transcript:Sspon.05G0003560-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RGLLSWQNSTSSWAVISKAPSVDCDLYASCGPFGYCDRTKVPTCQCPDGFELVDSLNFSRGCRRKEELKCRAENYFMTMPNMKIPDKFLHIKNRTFDQCAAECARNFSCMAYAYSNLNTAGTIGDASRCLVWSGDLIDMEKASLLENLYIRLGESPGKWHKKKVQTRMMLEYLSSTDEAGGKNIELPFISFENIVTATDNFSDCNMLGKGGFGKVYKGKLEGTKEVAIKRLSKGSGQGTKEFINEVVLIAKLQHKNLVKLIGCCVHEDEKLLVYEYLPNKSLDYFLFDSARKSMLQWPTRFKIIQGVARAIMYLHQDSRLTIIHRDLKASNILLDKDMSPKISDFGMARIFSGDEFQANTNRVVGTYGYMSPEYAMEGAFSIKFDTYNFGVLMLEIVSGLKIISPHLIMDFPNLRAYAWNKWKEGKIEDLVDSSVMENCSLDEVS >Sspon.01G0004810-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:11441244:11451038:1 gene:Sspon.01G0004810-3D transcript:Sspon.01G0004810-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTAERADEEACRVALLDAGVKKEEWQVVAEGHHHGGGEGEGEGGNKQQLGARVWEESRKLWDIVAPAIFSRVVTYSMNVITQAFAGHLGDLELAAISIANTVVVGFNFGLMLGMASALETLCGQAFGAKKFHMMGVYMQRSWIVLFLCAVLLLPMYFFAEDVLLLTGQSPELSAMAGRVSVWFIPLHFSFAFLFPLQRFLQCQMKNFANAAASAVALAVHVFVSWLFVSRFRFGLAGIALTLNFSWWATGAMLFAYVSCGGCPDTWHGFSLEAFSGMWEFVKLSSASGVMLCLENWYYRILVLLTGNLKDAAIAVDALSICMTINGWEMMIPLAFFAGTGVRVANELGAGNGKGARFAAIVSSITSLVIGLFFWVLIMGLHDKFALIFTSSSVVLDAVDNLSVLLAFTILLNSIQPVLSGVAVGSGWQSMVAYVNIGSYYLIGIPLGILLGWLFNLGVLGIWAGMIGGTAVQTLILAIMTVRCDWEKEAMIASTRMDKLSEVR >Sspon.04G0019310-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4A:68762547:68763726:-1 gene:Sspon.04G0019310-1A transcript:Sspon.04G0019310-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSSFKPNPLSLSVPDPALDRRLRDSGYLDVLDSTTGGPSSASAPSTSASAAASPVAGPVSSGVAADVLAFARTLASLLALNPFARLSTADLAAHTDPGQAPRPGERPPRSRPHTPAPRGALHLDAFPALTPPINNESPFATRCTSTGHISRATKKKNQRAGAAAATSRPPAGRKPQALSRSFNPIGVHPIQIQSISMAPSISIGTAAPSWAAGASKNKSAGAGVDEAELLRRRNAELERELEALRLELGAARRRAETAEEAEERLCVQLGDTEVEALELARAYQAQVQALAAELAAARGARAAR >Sspon.05G0006180-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:13591165:13595299:-1 gene:Sspon.05G0006180-2B transcript:Sspon.05G0006180-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATMSTLLRAVHKASLASRAAAAAASRVAAMASRSARAAGVAAAANLGSGVLCPLKSCPACGDDVSTTTRPPGPFPSIADYPHLPDHAKTPTDKDMESDEAVWALYERWCKVFKKQRDPAEMARRSKYSNIMQSMCTTGTLTFLKIQRKQPFTFRKEERPNYFFQRAKTSHTLMSGIWPKDASNPSRRLAPRRRLRGGAPSPVPAPVLGPPPTLSSPADGHLNHLLAADLNEKLPTFPPEEPGGKEITFKHVLLNNCQEAFEGASSLRAEIAKITGRDQEMERRDKERLVKLRTLGNIRLIGELLKQKMVPEEIVHHIVMELLGSGPDKKPCPEEEDVEAICHFFSTIGKQLDENPKSRRINNTYFIQMKELTMNPQLAPRLRFMVHDVIDLRSNNWVPRREEIKAKTISEIHSEAEKNLGLRPGAASVIRNGHSSPGGPLSPGGGFLMNLPGTGGMMPGMPGSRKMPGMPGLDNDNWEVPCSRSMPRGDSIRNQGPLLNKPSTVQKASSINTRLLPQGSSATLIGKSALLGTGVPPSCPLSFTPGPAPAQTTPSPKPLNAAPDVAPAPEKPASAWELC >Sspon.03G0006780-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:18607114:18610042:-1 gene:Sspon.03G0006780-1A transcript:Sspon.03G0006780-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKFTAEELRAIMDKKNNIRNMSVIAHVDHGKSTLTDSLVAAAGIIAQEVAGDVRMTDTRADEAERGITIKSTGISLYYEMTDESLKNYKGERDGNQYLINLIDSPGHVDFSSEVTAALRITDGALVVVDCIEGVCVQTETVLRQALGERIRPVLTVNKMDRCFLELQVEGEEAYQTFSRVIENANVIMATYEDKLLGDVQVYPEKGTVAFSAGLHGWAFTLTNFAKMYASKFGVDEAKMMERLWGENFFDPATKKWTTKSTGSPTCKRGFVQFCYEPIKQIIKTCMNDQKEKLWPMLQKLNVTMKADEKELIGKALMKRVMQTWLPASTALLEMMIFHLPSPAKAQKYRVENLYEGPLDDVYATAIRNCDPEGPLMLYVSKMIPASDKGRFFAFGRVFSGKVATGMKVRIMGPNYVPGQKKDLYVKSVQRTVIWMGKKQESVEDVPCGNTVAMVGLDQFITKNATLTNEKEVDACPIRAMKFSVSPVVRVAVQCKVASDLPKLVEGLKRLAKSDPMVLCTMEESGEHIIAGAGELHLEICLKDLQEDFMGGAEIIVSPPVVSFRETVLEKSCRTVMSKSPNKHNRLYMEARPLEEGLAEAIDEGRIGPRDDPKVRSQILSQEFGWDKDLAKKIWCFGPETTGPNMVVDMCKGVQYLNEIKDSVVAGFQWASKEGALAEENMRGICFEVCDVVLHADAIHRGGGQVIPTARRVIYASQLTAKPRLLEPVYLVEIQAPENALGGIYGVLNQKRGHVFEEMQRPGTPLYNIKAYLPVIESFGFSSQLRAATSGQAFPQCVFDHWDMMGSDPLEAGSQAAQLVLDIRKRKGLKEQMTPLS >Sspon.02G0013320-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:16017738:16022361:1 gene:Sspon.02G0013320-1P transcript:Sspon.02G0013320-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLKDGQRSGAAGPGPLSRQGSIYSLTFDEFQNTLGGMGGGLGKDFGSMNMDELLRSIWTAEESQAIASASASASASASAAGAAGDGGAALQTQGSLTLPRTLSVKTVDEVWRDFVREGPPGTAAGGAEPQPNRQPTLGEMTLEEFLVRAGVVRDNPAAAAAAAAVPAQPVALRPIQAANNGASIFFGNFGGANDAGAGAMGFAPVGIGDQAMGNGLMPGVAGMAGGAVTVSPVDTSVAQLDSMGKGNGDLSSPMAPVPYPFEGVIRGRRSGAGVEKVVERRQRRMIKNRESAARSRARKQAYTMELEAEVQKLKEQNAELQKKQEEIMEMQKNQVLEVISNPYAQKKRCLRRTLTGPW >Sspon.08G0021620-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:40362894:40373983:-1 gene:Sspon.08G0021620-2D transcript:Sspon.08G0021620-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSQHVEMEAAKLLHKLIQESKDEPAKLATKLYVICQHMKLSGKEQSLPYQVISRAMETVVNQHGIDMDALRSSRIPFAGGPQAGDSSGAMSKDKEVIGNQSPMVGSDASQTSGQAGLWQLPSGSTDMTRHGASISGRVPTGPNRGDFSAADIHQASMSQKSGRSSGIESPASLQMEDTRSLNSHDSLKSDEKTSKKTSSKRKRMDSKGAGDLHSEDNSKSDAMSTGQNTRKGKQVGKAGRQGQPSMGIEHEQPHILQGGTAQVPPIHGGAPFLRTHPEGPSGRTMDKTKPSNPFSMAQIPNFPEGLASSGAPSSCKKVYRWSKFI >Sspon.07G0008310-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:18849848:18852570:1 gene:Sspon.07G0008310-1T transcript:Sspon.07G0008310-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSTPPPGPVQKSEEEWQAILTPEQFNILRRKGTEYPGTGEYDKFFEEGIYKCAGCGTPLYKSSTKFNSGCGWPAFYEGLPGAIKQTPDPDGRRTEITCTACGGHLGHIFKGEGFKTPTNERHCVNSISLKFTPS >Sspon.06G0004920-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:16111910:16113175:1 gene:Sspon.06G0004920-1A transcript:Sspon.06G0004920-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPARHLRGLTAAAALLAAALVLSAAVPAARAQEETEHEEEFSYVVGDENGPEHWGSIKAEWANCSAGRMQSPIDLSHERVSLVRSLGYLTHSYRPAQASIVNRGHDIMVRFNGDAGSLVINGTAYYLKQMHWHSPTEHTVNGRRKQIVSGSDGSEMFVRVRSHRRYDMELHLVHQTLENKTAVIGILYEIGGEDPFLQELEPSIHRIADRQDREEPVGVVDPRHARGRASVYYRYMGSLTTPPCTQGVIWTVVKRVRTVSKHQLELLREAVHD >Sspon.02G0002230-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:7226849:7229122:-1 gene:Sspon.02G0002230-1A transcript:Sspon.02G0002230-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MYIRVKRNKTTYFIQCEPTETALSIKQKLHSLIDQPPINQQLLLWASSDVLEDSKTLADQKVENDSIVALALRKDDDEFEEVFIARPEDFMSSS >Sspon.02G0022520-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:74926840:74934284:-1 gene:Sspon.02G0022520-1T transcript:Sspon.02G0022520-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSTTAAAGTAAAAAAAPVLLVTNDDGIDAPGLRFLVDQLVAAGRYRVLVCAPDTDKSGVSHSISWRTALRCKRVDITGATAFGVSGTPADCASLGISGKLFDGLVPDLVLSGINIGNNCGYHVIYSGTVAGAREAFLYGIPAISMSYDWVAGRSSVNDLKVSAEVCMPLINAIVTEIKNGTYPQGSFLNVDVPTDAAHHKGYKITKQGTYMARISWEQTVYKKPAVESYQTANMDVDGEKDSELVTSENDLLFKRVVNRYLIVGRSSDGVEGEEMDHNSLVDGYITVTPLGALSRTDPDAIPYFKACVSRLVDNFSSPGPSFSRSRVG >Sspon.07G0013230-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:47107439:47111807:-1 gene:Sspon.07G0013230-3D transcript:Sspon.07G0013230-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLHIIRFQVKKKQFFRNFITIILFGAVGTLISFVIISLGAMGLFKKLDVGPLELGDYLAIGAIFSATDSVCTLQVLNQDETPLLYSLVFGEGVVNDATSVVLFNAIENLDIANFDAIVLLNFVGKFFYLFFTSTILGVATGLLSAYIIKKLCFARHTFATLSFIAEIFLFLYVGMDALDIEKWKLASSSPKKPIALSAIILGLVMVGRAAFVFPLSFLSNLSKKEARPKISFKQQVIIWWAGLMRGAVSIALAYNKFTSSGHTEVRVNAIMITSTVIVVLFSTMVFGLLTKPLLSLLIPPRTGLNTSSLLSSQSILDPLLTSMVGSDFDVGQINSPQYNLQFILTAPTRSVHRLWRKFDDRFMRPMFGGRGFVPFVPGSPVERSVPEPHLGTVTEAEHS >Sspon.05G0008800-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:27962826:27965225:1 gene:Sspon.05G0008800-4D transcript:Sspon.05G0008800-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Partner protein of NRT2, Activator for NRT2, High-affinity nitrate transpor [Source: Projected from Oryza sativa (Os02g0595900)] MMARSGVALPPPLLLVIVVGACCARPAAAAHLSALGRTLVVEASPKAGQVLHAGEDTITVTWRLNASAPAGADAGYKAVKVTLCYAPASQEDRGWRRANDDLSKDKACQFEIAQPQPYYFRVAGVTGVHASLRVAAALLSALSVAAVAFFAVVERRRKDE >Sspon.01G0022510-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:82152401:82161252:-1 gene:Sspon.01G0022510-1A transcript:Sspon.01G0022510-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LTLLNVSVASRLVAAAAASSSASPLARLISRRGLAGAADGHGPAKVPLWKDPLSPSKWKEEHFVLASLSMWGALIYGGFKLFGGKKEDKTEVAPAKA >Sspon.01G0046720-2D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1D:93470565:93471510:1 gene:Sspon.01G0046720-2D transcript:Sspon.01G0046720-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLAAEPDDAHEEGENQQLLATTKGGPGLEGLVVGSYCHDVLIRGGRVVGETLGGAAAFVSNVLDAASPQDAALNETAPFVVVAKVGHDFVYASAPAPARHPPLLCASPTTSFHAQFSETAASAHAPDRELRRVRACDPIYPADLPDRRFAYGLAVGVAGEVLPETLERMIRLCRAVLVDAQALIRAFDGDGAVGHVALDDTPYARLLPRVAFVKASSEEAPYVGVETARRWCCVIVTEGRDGCRLYWDGGEARVAPFPAVQVDPTGAGDSFLAGFAAGLLWGLSATDAALLGNFFGAAAVSQVGVPTFHPKMLQ >Sspon.08G0016920-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8A:66157831:66160210:-1 gene:Sspon.08G0016920-1A transcript:Sspon.08G0016920-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxisomal biogenesis factor 11 family protein [Source: Projected from Oryza sativa (Os06g0127000)] MCQPATTKAAPHHGCDLGGTAHAVNPWRRCCWCLRRLLLPRSSSLAAAWILQQRAKFGGVGEIASHGIELTLYVPCNRAEARDKICRAIQYGSKFLSNGEPGPAQNVDKSTSLARKVFRLFKFVNDLHALISPPAKGTPLPLILLGKSKNAMLSTFLFLDQIVWAGRTGIYKNKERAEFLGRIAFYCFLGSNTCTTIIELAELQRLSTSMKKLEKELKHQELYKNEQYRMKLQKSNERLLALIKSSLDIVVAVGLLQLAPKKVTPRVTGAFGFASSLIACYQPTSEEQVRWPGVRGGGGGCIRRDWFGASPIDGW >Sspon.07G0014270-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:51553497:51559149:1 gene:Sspon.07G0014270-1A transcript:Sspon.07G0014270-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DMARACIIIPILILLLSLLCQSDEDQLTQAKALSPGDVIISKDGAFALGFFFAGNSNKSLYIGIWYNKVPERTVVWVANRDNPVIASSSAKLAINSKPELVLSDSQGRTHWMTMTTTNSTTAGGTGAFAALLSSGNFVLRMPTGETIWQSFDHPTDTLLPSMRLLLNHKAQVPTRLVSWKGPADPSTGNFSYGVDPNSNLQFFTWHGNLPYSRSSVINSASMSTGMSLSNGSSTIYQAIVDTRNDLYYTYTISEGAPYMRLWLDYTGKIRTQSWNSNTSSWMVVFERPHSSCDPYASCGPFGYCDSGGDVPTCRCPEGFDPIDGVNYSRGCQRKETLRCGKEDSFVTLPAMKAPDKFLYLSNRSFDQCAAECRRNCSCVAYAYTGLSLSDSNGDTSRCLVWTGDLIDMEKASFADNLYLRVAGSPVRKKSNLNKILLPIIAFVLLLTFTALVWTCKRTGRRQKKKVQKRLILEYLRSPDETGDKNIEFPFISFEDIVAATDHFSDSNMLGKGGFGKVYKGVLAGTKEVAVKRLSKGSVQGMEEFRNEVVLIAKLQHKNLVKLIGCCIHEDERLLVYEYLPNKSLDYFLSDSSKKSMLQWPTRFKIIQGVARGIMYLHQDSRLTIIHRDLKASNILLDEEMSPKISGFGMARIFFGEQLQANTNRVVGTSGYMAPEYAMEGSFSVKSDTYSFGVLLLEIVSGLKISSPHLLMDFPNLIAQAWNLWKDGKLEHLVNSFVVESSCPLDEVSRCVQIGLLCVQDDPSSRPFMAAVVFMLENRTTPLPTPKQPSYFAQRSYEPRKAGDHREVSMNDLSMTALEGGGAVVPVHAQWKPQSFGISTNESGRSYRGCVHRASRRRKAGSARMRRQRLQASEARRRFAVWSEGRRRRISTTRSSTSSDRGAIYRRCARALPGGGGEGLGLACPPPVEQGKRRAAARKDD >Sspon.04G0021830-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:5084153:5085379:-1 gene:Sspon.04G0021830-2C transcript:Sspon.04G0021830-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGACAVAVPVATPAAPPRGGAGPSSNLAPRGSSFSRRVNCRRDSPRVVAPTRSPVSPGVTPARPGPTRAWGKSSRRLRLSVDIGAWIPWWRRGHKVRKHPAPGHHKAKHCGSSADGVPASGSCSLGFAQSELGGLIQFEDYSKPRIRESRS >Sspon.01G0027370-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:99217585:99224961:-1 gene:Sspon.01G0027370-2B transcript:Sspon.01G0027370-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAERAEAVALEEGLLAPEESNQVVYTGDGSVDFSGNPVVKERTGRWKACPFILGNECCERLAYYGISTNLVTYLTKKLHAGNASAASNVTTWQGTCYLTPLIGAILADAYWGRYWTIATFSTVYFIGMSILTLSASVPMLMPPSCEGSFCPPASPFQYIVFFLGLYLIALGTGGIKPCVSSFGADQFDDTDPAERIQKGSFFNWFYFSINIGALISSSFLVWVQDNVGWGLGFGIPTVFMGLAIISFFSGTSLYRFQKPGGSPITRVCQVIVASLRKWNVPVPEDCSLLYELPNGVSTIEGSRQIEHTDELRCLDKAATVTEVGVKTADFNNPWRICTVTQVEELKILVRMFPVWATTIVFSAVYAQMSTMFVEQGMVLDPSLGSFKIPPASLSTFDTLSVIICVPMYDYIVVPIARRFTGNERGFTELQRMGIGLVISILAMSVAAVLEIKRLAVAREAHLVDQNVPVPLSIFWQIPQYFLIGLAEIFTFIGALEFFYDQSPDAMRSLCSALNLLTTAGGNYLSTFILTMVAYFTTRGGNPGWIPDNLNEGHLDYFFWLLAGLSFLNLIVYVICAGKYKGKKAA >Sspon.06G0002250-3C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6C:5347438:5350612:1 gene:Sspon.06G0002250-3C transcript:Sspon.06G0002250-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VDGTRPLSNVFHGAAIHPGTALPSSSRGLHDRVGGGIGTLSNFFRGAAVRPGMVVPSKHEILSGCAASRCLNTATAKTGRSTNGMPSSIIEDRLHDVKDFKFVAMVVRKYGGLQLRGATSGRFVLPPARSPFPSVSGGLWGLGYVGERRFSVRRCRLGFRRSRFPGSVWSVEAASWLWNKFLLAFLYLAVMFCCGGGGPVSGEPSGSGGPVGSSVCVGSYFGVRNRRLPAVMSAAEFLSLRVISGGCGSGNASSRVKFVSGGANRRVAATTTGEDEDEQDLNSSSM >Sspon.04G0001450-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4A:5126189:5127037:-1 gene:Sspon.04G0001450-1A transcript:Sspon.04G0001450-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha-1,6-mannosyl-glycoprotein 2-beta-N-acetylglucosaminyltransferase [Source:Projected from Arabidopsis thaliana (AT2G05320) UniProtKB/Swiss-Prot;Acc:Q9FT88] SPLSLSLSARNALPPRNTDRFPTLPDGHLKVVLYVHNRPRYLRLVVDSLSRVEGIGEALLIVSHDGYFPEMDRIVQGIDFCQVKQVFAPYSPHLFPDAFPGVSPGDCRSNDKAKEKGCQGDPDQYGNHRAPRIVSLKHHWWWMMNTVWDGMEETRDFDGHILFIEEDHYIFPNAYQNAQLLVDLKPKKCPQCHAINLAPSDVKSKGEGWESLVAEKMGNIGYAFNRTVWRKIHAKAKQFCAFDEYNWDITMWATVYPSFGAPVYSLRGPRRSAAHFGKCGLHQ >Sspon.02G0011360-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:24559049:24562693:-1 gene:Sspon.02G0011360-4D transcript:Sspon.02G0011360-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAWTQVLGEGRRASQTAMETETRSGVLSETASCAGTPRSVQSTCSLQRRYSSRSILKPQEGVLDMSPRFSYCKPVTHSRDKMFNRRHSLNLPEQLPGHYSRKATERTQKATSKSVADLVGEIAALEQEVIRKELHLLSLYRRAFDQYVSESCSFTSEQVDQEFLKNIDEGALRLRDIKHSAAFNLPTVSNSEVSKSGARHSSLVNFLSASISEYVPKISCKLSEDILGCIAAVYCKLSSTEPQGAECMASPSPSVSSSSTFSPRRRNDSWSPRYNFDSPRPCGLQEESCEQNIGMIVIPRIRIDADKFDYASKMLETIRSLIQRLEKVDPMKMTHEEQLCFWINIHNALVMHAFLAYGLHDKRMKSTDMILKAAYNVGGQSVNAQTIQNSILGCQSHRPSLWVRALFTPTKRSAAGTARHPYALHHPEPVAHFALSTGAFSDPPVRLYTAKKIQQQLEAARTEFIQGSVVARKQALLLPKVLHYYARDAALELRHLVELVCESMSDAQQKQLQQHGLRRRVDKCVEWMPYKSSFRYVVHRDLAD >Sspon.01G0001590-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:4567324:4568130:1 gene:Sspon.01G0001590-1A transcript:Sspon.01G0001590-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMNWRAVDHACVQKIVVKLDLHDNKDKQKALKAVSVLVGIDAVSMDMAAHKMTVIGTVDPVDVVSKLRSKSWAAHIDSIGPAKEPEKKEEKKDGGGEKKDGEGKKEEGDGGKKDGEGKKEEGDGKKEEGDGKKDGEGKKEDGEGKKEDGGKKEEDGKKDDKKEEGEKKPAAVAPPWMHQLPPQYMHMLTADYMNQYHRPPPPPPVYHPYVPPPPQQYYYMRNMSMEENPNSCAIL >Sspon.03G0041040-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3C:35780670:35780952:-1 gene:Sspon.03G0041040-1C transcript:Sspon.03G0041040-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LDEISIPLAPNFGYPTNLLHIAPPHLCSSLPLCPDVGCVSSLACPLLRRAHSCSPPFLLIGVPAPALSHSSSPAWTLLLTAEPTPYRLNYALR >Sspon.01G0033500-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:112757956:112759416:-1 gene:Sspon.01G0033500-1A transcript:Sspon.01G0033500-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPVSAVAAGSASVTYSAALRVQAKQETVEKVCEIVKNQLALPEDTTVIGETKFVDIGADSLDTVEIVMGLEEAFQISVDESSAQEIQTVEDAAALIDKLIAEKDA >Sspon.07G0018450-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:66860397:66861830:-1 gene:Sspon.07G0018450-1A transcript:Sspon.07G0018450-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable purple acid phosphatase 20 [Source:Projected from Arabidopsis thaliana (AT3G52780) UniProtKB/Swiss-Prot;Acc:Q9LXI7] MHAALVHISVVGPDKVRVSWITDDDAPATVDYGTTSGQYPFSATGNTTTYSYVFYHSGNIHDAVIGPLQPSTTYYYRCSATSPSTRELSFRTPPATLPFKFVIIGDLGQTGWTASTLKHVAAADYDMLLLPGDLSYADFVQSRWDSYGRLVEPLASARPWMVTQGNHEVEKLPLVQPKPFKAYNARWRMPYDAGAVPSGDNLYYSFDVAGGAVHVLMLGSYTDYGARSAQLRWLRADLAALDRRSGRPAFVLALVHAPWYNSNEAHQGEGDAMRDAMEALLYGARVDAVFAGHVHAYERFKRVYNGKEDPCAPVYVTIGDGGNREGLADKYIDPQPAISAFREASFGHGRLEVVNATHALWTWHRNDDDEPVITDQVWITSLAANPTCNKGKKKK >Sspon.08G0002460-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:5594552:5596102:1 gene:Sspon.08G0002460-4D transcript:Sspon.08G0002460-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMVLDWRSLGSLLATVVVFRTALRDFLPPEAQTLLRRFIAWVAAAFRPPHDTILIDEADGPPGGAINDLYDSAQLYLGARCLATSPTVRLHKPRQSPRPVASLPDSHTTHDTFRGVRVKWTSTARTVDRGSGGGGYGHPYNMFGGHGDQRGLELQFPRQHRDLIHGHYIPHLIDEATRMRLKSRERRLYTNRATGLGDLDHHRLWTSHAFSHPSTFDTLALDPALREEIRADLLRFAARRDYYARVGRAWKRGYLLHGPPGTGKTSLVAAIANLLEFDVYDLELTTVPTNSHLRRLLVSTTPKSVIVVEDIDCSLDLSDRNKKKKKGGGSNVGADNDEDAAAQLAVMSVSPAAAAAMAVMGRESISLSGVLNFVDGLWSSCVGERLMVFTTNHPERLDRALLRPGRMDKKIELGYCTPPALRVLAKNYLGVGDEGCEDADADPDTVNTLMAEAEGLLAAAKVQITPADIAEVFMGCDGDDAACALRKLVGELRWRRDATATTAVAPGESTEDTTE >Sspon.01G0019330-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1B:45698376:45699269:-1 gene:Sspon.01G0019330-2B transcript:Sspon.01G0019330-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTVLLDVKSTDTIDQIKSQINVLEGIDSSQQALFFAGNQLEKDNRLADYNIMENSCVDLYVTDGMQISVSIPSVGKTIKLNLKKSQSVADVKAVIEQKGGIPLDEQILMYGCQKLEDNKLLSQCGLSNGHTLHVLVCPTDKLRISVDVDGERTINLDVKGWYTVADVKLMIDTLEGLPASTQILMRTQPGGANTVLKDIETLQNQRIKNNDIVTLYLKVNFFIKTYEGRTLMMSMRTCDTAEEVMKVIEEKLEVNRGVYYLHYRGRVLSLGDTLRKHKIGNNSTVDVRLRNSYVPQ >Sspon.01G0048150-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:116446225:116459931:-1 gene:Sspon.01G0048150-2D transcript:Sspon.01G0048150-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSTRQEKKEFKDLIRAHMLNVDEENYKEAVDSSYKVSVTPGISNEIRQIIDDDSAEVNSSSSDFWILVAALKVCRYRSFEEEFSSPFVSEIQRYFTDEDYSYAMNFYILLRAVDRLAANYSRFPGMFDSEIDEDIPRLKTVAASVLSEMGLNGASLSEDLITEMCRFGGAEIHPVAAFVGGVASQEVIKLVTKQFVPLRGTFIFNGIDLKSQVLVL >Sspon.02G0025620-3C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2C:94425492:94425851:1 gene:Sspon.02G0025620-3C transcript:Sspon.02G0025620-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQAMECHRAGAEVFTGDATCRKKSVELLEELGLPKGLLPLEDIQEFGYNRDSGFIWLVQGKKVEHTFKKIMQKVSYDAEVTAFIENGKLRKITGIKTNAMMLWISINEVFVPEALPEK >Sspon.01G0028740-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:97385185:97385760:1 gene:Sspon.01G0028740-2D transcript:Sspon.01G0028740-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGICVSCDAADEGAATARVVLPSGELREYAPPATAATALQAAGGEGSSWFLCDADGIAFEGGPVAVAAVDPGEELQAGQIYFVLPAEMQRRRLTRDEVAALAVRASTALVKAAAAAAAAQPSSPCRRRRRRGAVAPLVFPVPEEEYAAADAVCSPVAAKPAVAAAQKRRVAYRGGRASRFSPDLTSIPESE >Sspon.04G0009610-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:28590689:28594388:-1 gene:Sspon.04G0009610-3D transcript:Sspon.04G0009610-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VILVAISSIMVCLSTSHRSQNRELHSLHQLINWSVAGVAMVLPLFSPPSVLSRLTSIFLGFAPPFLLLSIGYEAVFYSAFAMVLIGWIFVESANLYCSEESGLAHRRSLVDDSVFGYEERHLRLSDLRIPLLFVILFNVAFFGTGNFASIASFEISSVYRFITVFSPFLMAGLLIFKLFIPFMLVICTFSAITKVVRIPRLGCYFLVILLSDVMTIHFFFLVRNTGSWMEIGNSISHFGIVSAQVVFVLLLFALTNIYTRDILVSSRQLTARK >Sspon.01G0026690-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:97043091:97044925:-1 gene:Sspon.01G0026690-2D transcript:Sspon.01G0026690-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVRATLDKSAGYDLAVDIWSLGCTIIEMYTGKPPWSGLEGPAAMFKVLRTDPSIPDNLSPEGKDFLRCCFKRNPTERPTASKILCIAVQEIKYPGKVIHRVVVDVV >Sspon.01G0021940-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1A:80467878:80468543:-1 gene:Sspon.01G0021940-1A transcript:Sspon.01G0021940-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSAVRLAPDLPGTPQIVAAYDVHAAAAAARSSPTDWYAVLGLNPGGGGVTHDDIKKQHRRLCLLVHPDKNPCAAADGAFMLVQAASHALLAKHPPPGAAVPAPPPPQRQAAPPTPRAPEPQPRPRPQVVQMARRPAPPPTPRPAAPMPPTYSQQAKQAKPTQKSRRRRGSTPPRPQFTLPIADKCPVCGARVNNGGGSNYRCINCQYSPLDGRHDDDYGDD >Sspon.07G0027480-1P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6B:76744714:76745817:-1 gene:Sspon.07G0027480-1P transcript:Sspon.07G0027480-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLDIDFPIHVYGTVIARDSLDKKCVYLFRRGREDSQTINSKDESLILTGPKRGIALISDTYVETNLMIKGDDELQQDRELSKGILTIQGIARRWLKSCELESCSLATRLSTVDVVYAVVKDAVEATISVEVLAGEYFGEITACTSSIKNRLVLHDSRLTHSASVRTLRRSSHCCDLW >Sspon.01G0050340-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:473201:476182:1 gene:Sspon.01G0050340-1C transcript:Sspon.01G0050340-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VHASHADWLPTKISHPPPVIPSLPSSPQRHLVSSALSSSPTPSPLSLVCAPLTAAGHGGGASGAPLCSTAREDPAVTAATATPGVTFLKLCASGKRWSGATSTAIVNRGAQIGQRSHRRPPCLPENVQDPGVDEPTIVVKESEKNQPTMRSMHSRSTCSLAGNRETQNLLSVTVLLQLQSSYRFKKIDNKTDEDTSNSCLKACLLPANPCRYSNYAFFRMMDMSILWSRSLASFSLCFR >Sspon.05G0006530-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:16570238:16573976:1 gene:Sspon.05G0006530-1P transcript:Sspon.05G0006530-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Syntaxin-81 [Source:Projected from Arabidopsis thaliana (AT1G51740) UniProtKB/Swiss-Prot;Acc:P59277] MARVRDRTEDFKEAVRVAALSHGYTEAQLAALMSSFIIRKPSPKSPFTNAAIKTLESIRELERFIVKHRRDYVDLHRTTEQERDSIEHEVGVFVKACKEQIDILKNRIHEEEKNVSGKTWLGTRDESSRLDLIAHQHGVVLILSERLHSVTAQFDRLRSMRFQDAINRRMPRKKIQKRPEIEPAEPSKSNLVLQSDVSKIVEQEVSTAPMRVQEQLLDDETKALQVELTSLLDAVQETETKMIEMSALNHLMSTHVLQQAQQIQYLYDQAVEATNNVERGNKELSQAIQRNSSSRTFLLLFFFVLTFSVLFLDWYNN >Sspon.04G0030560-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4C:1772934:1773501:1 gene:Sspon.04G0030560-1C transcript:Sspon.04G0030560-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GDVHGQYSDLLRLFDYGGYPPQANYLFLGDYVDRGKQSLETICLLLAYKVKYPENFFLLRGNHECASVNRIYGFYDECKRRFSVKLWKTFTDCFNCLPVSALIDDKILCMHGGLSPELNKLEQILNLNRPTDVPDTGLLCDLLWSDPSNEATGWAMNDRGVSYTFGPDKVNEFLEKHDLDLICRAHQVA >Sspon.01G0034480-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:4751257:4752993:-1 gene:Sspon.01G0034480-1P transcript:Sspon.01G0034480-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQLPKRPANYVPLSPVGFLPRANAVYGDRASVIYGRVRFTWRQTYHRCRRLASALLSLGVRRGDVVSVLAPNVPAMYEMHFAVPMAGAVLNTINTRLDAGAVATILRHSGAKLFFVDYDYVRLASDALRLLAADGTHVVPLVVIDDIDSPTGARLGELEYEALVAHGDPDSELPPLEDEWDAVTLNYTSGTTSEPKGVVYSHRGAYLSTTSLLLQWGVATEPVYLWTLPMFHCNGWTFTWGMAARGGVNVCIRDARPADIYRAIARHRVTHMCCAPIVFSILLEGDGATRQLETPVHVLTGGAPPPAALLERVERIGFKVTHAYGLTEATGPALACEWQDQWDRLPLPERARLKARQGVSVLSLADADVKNADTMVSVPRDGKTVGEIVLRGSSVMKGYLNNPEANDSAFRGRWFLTGDVGVVHQDGYIEIKDRSKDVIISGGENICSKEVEEVLFRHPAVADAAVVAMPHPRWGETPCAFVVPRNNAAELSEDDVLAFCSKRMAGFMVPKKVEVVGALPRNALGKVEKVKLREAARKLAPTVPAQKPKGKTTTSSTTVGGRREEQPVAHVMSVSRL >Sspon.06G0026860-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:81291934:81298504:-1 gene:Sspon.06G0026860-1B transcript:Sspon.06G0026860-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPVASVERIIKVGLRIKEAADTVRRNAAVCQEIRTRVLRFGAVLSQLQRAGAVDDSPAMGAALDDLEETLRRALELAGDLARQLRAVKEDILNKVMLASFAINAHITILLLTMQTGGYLLPPLGQVRKSELDDEQSIEPGESEQTISIVVWTATNGYSDSNIIGRGGSSTVYKGVLKDGNVVAVKIFHNSSLGWAHNYNVFVLSSKLQHKNIVNFLGYAEGRIGEDSEQQYIWIEEYMPKGTLRNFVYGQGRQLLLDHWCSLMQIIEGIAQGVHYIHEQHIVHLDLKPDNILLDSEMNPVITDFELSKVLSDTNILRKMYQALTRSGVHSSRNRQDDTEITSDHNKIAGTFPYVAPEHTADGIISKKSDVYVFGITLLEAISAGRIDEEFDPAVFKEPQLMEIRRHIQLGLLCVQQDRTNRPTMSDVLQMLSGKKELPTPKKPTYTESYDKWIPAGNRFESYKESIPSPCHFVQPVDTDLYEEQSPLIPRQRRCIEWVLPDSPSAWSEGSLSPRHYIQKT >Sspon.01G0041870-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:57113379:57122009:1 gene:Sspon.01G0041870-1B transcript:Sspon.01G0041870-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEDQVAFLLQKYLGNYVRGLSKEALKISVWRGQSGRLAATSLLIRPSLPLFTPTPPVITLAVWGARVRELRSCAAQFWVFDETNVGFMMTSKCNVVHGDVELTNMQLKPEALNSLKLPVRVKAGFLGSVKLKVPWSRLGQEPVLVYLDRIFILAEPATQVEGCSEDAVQEAKRTRVREMEMKLLERQQQLKSELNSSWLGSFIGTVIGNIKLSIGNIHIRYEDVESNPGHPFAAGLVLSKLSAVTVDDFGKETFATGGDLDRVKKSVELESLAVYFDSDSSPWIVDKPWEDLLPSEWSQEQDGSRSASKKHAYILQPVSGKAKYTKIQLTEAKKTGEALQNAAVDLDDVTLSLSKDGYRDMLKMADNFSTFNQRLRYAHLRPSLPVKSDPRAWWKYAYKVVTQEMKKASGSLSWEQLLRNARLRKAYVSLYASLLKSDMSRLVVDDHEEIKRMDRELDMEVILQWRMLAHKFVEQSVETYQYAQQNKKQTGSSKDEEDSKSFTDEDWERLNRIIGYKETNEYIPDQQDMKLMQFDFEIRMKHNASKLTIDDSEFLADLSCQDFCCNLKMYPEAKIFDLKLGSYRLLSPYGLLAESASVIDSFVGIFSYKPFDEQLDWSLTAKASPCYITYLKDSIDQIVGFFKSSPTISQNLALETAAAVQMTLDEVKRTAQQQMTRVLKDQSRFSLNMDIAAPKITIPTKFRPDDVHETKLLLDLGNLVLRTEEIWDAYTSEEQDMYLNFNLVLSDVSAFLVDGDYHWNETSDETNLLPVIDKCGIALKLQQMVLVTIAVQIMNTCGIMLTSKDRHLFSHGSLRGKQVHQVPTELTNGVHNILALHDSGQILEDTGALILLFDNDEARKIWQSRLQGAIYRAS >Sspon.01G0054280-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1C:51994012:51998043:1 gene:Sspon.01G0054280-1C transcript:Sspon.01G0054280-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding EWAVTMKVKLRARRLWNAIEKGTDVEEDDMSAMEAILAAVPTEYREPLGAKKTAKEAWEAIAAMRVGSDHAKKATAQLLKQEYTNLKFKDGESVEDFSLRLQSLISKLGSYGVTINEEEAVSKYLHSVPPKYIQIALSIETMLDLSTLTIEDVTGRLRAVDERMEQATATTDSGKLLLTEEEWAAWMKEKKSEEASSSHGGDGKRRGKASLEKKKKKIDPNACRRCGKTGHWAKECPNRKQEKKAEAHLAQADDDDDEATLLMATFCALHDVEAFSELDGNVTGTVKFGDGSRVAIRGRGTIIFRCQNGEHRALTDVYYIPQLRSSIISIGQLDERGSEVNIKDGILKIRDREQQLLAKVKRSRNRLYLLDLKVEQPICLAAQRTEEPWLWHARYGHLSFDALGKLEKMVRGLPHIEHVGELCDSCLAGKQRRLPFPKMAKYRAAEALELVHGDLCGPITPATHGGRKYFILLVDDCSRFMWLQLLTSKSEAAKAIKKFKARAEAESGKKLRVLRTDRGGEFTSMEFAAYCAEEGVVRHHTAPYTPQQNGVVERRNQTVVGMSRSMMKAKRMPAEFWGEAVTTAVFILTRAPTKALKGKTPFEAWHGRKPDVSFLRTFGCVGHVKNTKPHLGKLEDRSTPMVLLGYEEGSKAYRLYDPKRGKVVISRDVVFDEMAAWDWEDSGLGKAAGVSSSFTIEHLVIQGGGDAGAQAAAAAAGEQAAAAAAAAGEQSPPAAAHSPPPQSPAMAGQGTPPLEFASPPTDIDEYVDAFHDGEEVRFRRVDNLVGEGGAPGLASRFLDDPELLLVSAEEPPTFTVAERDANWRRAMLEEMRAIEDNGTWELVDPPAGCRPIGLKWVYKVKRDERGAIVKYKARLVARGFVQREGIDFEEVFAPVARMESVRLLLAMAAAKDWRVHHLDVKSAFLNGELAETVFVKQAPGFAVKGAEHKVLKLRKALYGLRQAPRAWNAKLDATLGELGFTRCTTEHALYTRRRGKEELVVGVYVDDLIVTGARAGDIDSFKREMAARFKMSDLGALSYYLGIEVRQGKEHISLGQRAYAEKLLERSGMAECKPCATPMEERLKLSKHSVTAKVDAMRYRSIIGGLRYLTHTRPDIAFAVGYVSRFMEDPREDHWMAVKRLLRYVKGTLDQAIIFPKGGGKSGLRLTVFSEAPPKAKEGEPELTVFSDADMAGDIDGRRSTSSVLVFLGAAPIAWQSLKQKIVALSTCEAEYVAAATAACQAVWLRRLLGELTGEEAHPPALMVDNQPAIALAKNPVLHDRSKHIDTRFHFIRDCVDGGQIVLEFVETGRQLADILTKPLGRLRFMELRTKIGMVEIKQEQQD >Sspon.08G0008080-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:27015392:27016462:1 gene:Sspon.08G0008080-2B transcript:Sspon.08G0008080-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSRTCSWLVPLILSILLACTANGDHLKVGYYDKTCPDVQQIVHSVMASRVDADQSMAPAVLRLFFHDCFVDYDLDARDMVALSGAHTIGTARCHHYRNRVYSYNGEGGADIDPSFAELRRQTCQSTYDAPAPFDEQTPMRFDNAYYRDLVARRGLLTSDQALYGCGGPLDHLVEMYSTDGEAFAKDFARAMVKMGKIPPPPEMQVEVRLSCSKIN >Sspon.03G0030760-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:18931676:18932470:1 gene:Sspon.03G0030760-3D transcript:Sspon.03G0030760-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LMGHGGTGTVILRVKGRIRTYARVRWIRDSDGASTTALTKTSDRTTPPAPASSNGSSCSSRARLHDEPTRFGSAAIDMRCRRRPRLGMGWLRAVLSPLRKLWCRVNAVQQRTERGIYILYDDVKSCQCEDVHVLWSILVESHGLPPPTPMPTPPVLSLKR >Sspon.02G0047500-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:8817626:8824514:-1 gene:Sspon.02G0047500-2D transcript:Sspon.02G0047500-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNMRDNESSNDAQLDEPLGRVSILSYGSGHMLNDITSSCWFTYLLVFLTDLGLSPGDAATVMLSGQLADGFTTIFVGELVANLSLYGIALLIFTLLQSVNVLVQYRWIAYVSISLGCCFVVIFLVGTKEPGSIRHCMDKSLSRISWAYWFKKVLYYQVALVYTLTRLVTNVSQVPAIIYICSLIVSVILQETRWSSWRLKLYFSAGAVLWILSGLSGLANVSLLFSSVIYLGEMLYIRSVIYLGVSSTHHVLFYPNLEKLTYVTSISMEGVLVGEDLNGCAFVYGSLSFVDKVSCGVALYVLESYQGSTKIRSNLGTAFGYSVTRLGLGLVPAVCSLLSAIVAYTMDLPDTRRRPLVEPLLA >Sspon.07G0019840-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:73526264:73529557:-1 gene:Sspon.07G0019840-1A transcript:Sspon.07G0019840-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGHQHHHRLHASPSPPGLLHHISLPVRSLHRTRPPSVSFRPHLPRPPQSLPSPLPKKRSDHEAAADFGELGAGGAVADDSTVAEEQLEYGGGGVYTPSVGAGLPALLRAGRAGPAGDPVFFLLTAVAVTTSVAFSGMVAVAIPTMLAMRRAANSFTMLADAALEELPSTIAAIRLSGMEVTDLTLGLSDLSHEIADGVNKSAKVAQAVEAGMGQMQDIAISDNEKEVQIPENEVFNFLQE >Sspon.01G0003720-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:9602791:9607892:1 gene:Sspon.01G0003720-3C transcript:Sspon.01G0003720-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALQTLNPHRHAAAVSPAPSSVPRRGHPPPHPLLHLPPRRRLAGGAARPRAVAAAVSGAVNEARRRGAPQGGGGEDGRKDTDLATLGNLCVDVVLSVPQLPPAPREERKAYMERLAASPPDQKFWEAGGNCNLAFAAARLGLSCSTLGHAGEEIYGKFLLDVLQAEGISVVGMLENTNAAVCRQAYETLLCWVLVDPFQKHGFCSRADFSEEPAFSWIRKLPADIRTAIHHSKILFCNGYAFDEFFPDVIASSIDCAIDSGTAVFFDPGPRGKSLLHGTLDEQRALEHALRLCDVLLLTSDEAEALTNIRNSVQAGQELLKRGIRTKQVVIKMGSKGSIMVTKNTISCAPAFKIDVVDTVGCGDSFTAAIAFGFLHDLPAVNTLTLANAVGAATATGCGAGRNVARLDKVLQLLREADLNEEDTLWTELIEGNSLCIEVSILSGMARNGFSERIVHVPVTKVVSDLLPMLEAVLERNACTVHARHRASIGKRVVDALDEVNKLTEEGRQFRTFGFKPPPRAVSWLPQTKTVGLEPMLTRLHDLLEKGESSIIGVWGQGGIGKTTLLHAFNNDLEKEDHNYQ >Sspon.06G0014490-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:79966488:79966772:1 gene:Sspon.06G0014490-1A transcript:Sspon.06G0014490-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPCQPEDLKGRHKCLSLFLLNGEKTRRFCGGGGGGISLSNFKVMAALLRPHSYSSARGVPVACVVSTGSEVKGEWSSSFFSVFFVGGEVTKGA >Sspon.01G0030660-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1A:106077595:106078525:-1 gene:Sspon.01G0030660-1A transcript:Sspon.01G0030660-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIADNGIQKKLRAGEYSLSPPATRFRRLEPRCQRPTPDPPRPLPDPPLRESLLADPPGPPLDPLLGESPLLDPPLGESPLLDPPLGAPPTGSAGLTLHRIHLAHAVARALQQTPLAHAASRAAANVLHRICIAHALHRIR >Sspon.02G0004690-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:14907479:14908680:-1 gene:Sspon.02G0004690-1A transcript:Sspon.02G0004690-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VDAIALLAAQEALDPHAFRAEQEYGHLHPVRGRQVVPLRGRSDPLVHPRRVVPPAAAAPQALTP >Sspon.03G0027160-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:4904231:4908771:-1 gene:Sspon.03G0027160-1B transcript:Sspon.03G0027160-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLASLAKPRGRGWGCSGASRTISRTWRTKWLLCSKSVAYDIEDALDELEANATIWKRSPSKVKVKLCFSSINPLIVRITMSNKMRRIRLQLDKIAEDVKKLDFLPSSVSSTEQTNNEKGRETYIGARDYVQMVGREKEKKHFVKLLQNNGDRESSIIPIVGLGGMGKATIAKFVYTLKETNEFHFDLKARLDGRIPAEDTTLQHLKSQLDHILYGKVYLIVLDDSWEERVHTLEELVDMLQSGKEGSKIVVSSARIFKPTQRLRMHDMVHDLARYVAGDDLSYTNGAENINAKRDKLNCNYHLLINHNKASLTYIALPKKAGTGPKVDELREKLKLGELTLDGGSGDLWGQVVELEKAPCHDLNINGLENVKHLEGSEQAKISNNSSLTWLRLIWEHDNGSLVGHADAMADKSVLEKLVPPRSLQVLALVGYMSVDFHLPHLTSIVLYQLKGCSRLPPLGRLPNLRPLALFDMPDLKCVDREFYGDYGSCQKLRMIGLKGMDNLELWWTTRSSTEEDEFLIPNLHLLFAVDCPKLKFMPYPPRSMVWELSNSDHVLPEHGFGNLSSTTSPFFLGIVGTSLSSKAWRRTQHLSSIDGLHFDSITGLRTLLDAIRCFKFLRKLEIVWCVDIETLPEWLGNLTSLREITIEKCPTLSSLPESIRGLTELKKLLIIGCPELFEKCQGEDKHKIAHIPEVVTSV >Sspon.02G0019030-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:78788558:78791648:1 gene:Sspon.02G0019030-2C transcript:Sspon.02G0019030-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHEGPVLSFRHDAAGAMAGAIFMSNTLTREQCFQAGIFGLPLEYRSFVSHVRKGMPLFLFDHTLRKLYGVFEAASDGGFNINSAAFRSIHRSYPAQVRINIIWKCKPLSEDEFFPAIEDNYYQPRKFYFDLSYEQVVRLYELFADKRVGRPIHDYPQNECLQTNCSSKGIPDKENLTPDPHSSNQLPLLVPNISEVIRCSTPTRMHTDLPLNVEAHRDMPMPLGAEISGAQIAPTCSSHHDQIEPFSQREFFPAASVTDAVSTQVSAPCSETTRHYQLVGQSHPLPHNYPQNILPAGFTDQGPIEGSKFVANQSYSLCRGHMHNGLLTSGYGTQNPTYKGMNHLNSTFPPYDRLYPRLPVLNPQSNSDYQGQCDICFNQRRPDTHNGIHAYERQCLSEGEGLTMEELNQQDIPTCPQVIGCDGKTVSAIHQQKMSSTDYIQIPDCDEDFENDQMKHGTHGNASDSSDVENGIVDPRYTQHTVGAENDTKDQCSLPIKGVFSRLSVRKQLTSQEATGPTLKQLVSSLSRKTEQWSHKNRPIEDGLIIPLVGEQAVDCSHASLNQLSQLDLQEDVSIEPQLPFLNFKRRSEAGKAYANLGKEISGKGKRRKLVRPSFEENNASSNVGEQLKGNCTQDRNQNHQGSEKHFDIDLNIPAAPIDSNPVEVNRIAVCPSVIIKVQTEKPYEIDTNKANSSNLMETTEEHDPSSAPAQNVDTDFDIANLNTMGESKLRTILDHTSSLLQALAKLRNGKSDNCEQATSSICLEDKKINMPLNSDGGTKPEGN >Sspon.03G0036410-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:89644630:89648195:1 gene:Sspon.03G0036410-1B transcript:Sspon.03G0036410-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARVLSTTLLRGLVRSSRASTSAAVSLPAIQQFMNYSSGLGGAPNANGDSMATRVAADHDTHQDFQPTSKSSDMSFSDIVAQDVKEHPVVIYMKGYPDAPRCGFSALAVKVLQQYGKLAIITGLRFHKYLSKESLLGDLISYSPCTRQKGELKELLGDVAQKGEQSGSS >Sspon.03G0025110-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3A:75963785:75964601:1 gene:Sspon.03G0025110-1A transcript:Sspon.03G0025110-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding EGDLKSKLEENLMKLSANKSTREIVLGDSDNDASQEPVQMQVLPPPEFLTLWNKVQQLEELLRDSGSQPQQSSLSRGATEEEQDTAQSEISAFGNIINDLKLAISNAESRTQNAETRCTQLTQTNVQLNGELNSLKSQGSDRAGLLETKLKESDTQLQHARASVDAIVEQQGMLRLSMSDMEHVIEDLKEKYSKAETRAESAESKCSLLTDTNLELSEELSFLRGRVESLENSLHEANQLKVSSAKDIASKTKIIMDLVAKLALERERLHVQ >Sspon.01G0063200-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:111758710:111761082:1 gene:Sspon.01G0063200-1D transcript:Sspon.01G0063200-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding KSDVLGQPPSVRSSTTSGRRLGGANAGAAGTGHIQQQLVSLVPAGCLPRRCHGGRRAGPSLLYGMALTMASAQLGHMQVINLDGHRNSKFTRADTRRTRTVSGARPPPLHGAPTSASFSAQPARPAHWASAFAHHSLYVGAARAATCDVVALLAASVGARWSLSAAPGLSVTRTAHANAVVVELRGIFRNVANVVPIAPEDSWVLGYSTSAPTTVSHTLTYHTDCNVTTELDTDG >Sspon.03G0046950-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3D:50610333:50612428:-1 gene:Sspon.03G0046950-1D transcript:Sspon.03G0046950-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGILQAMSSTRVSNSRTPTGKDQEPLTTLDEGSNNLQLRAQSPPLLQAIYRVGKHGRVTKNPQQLEDQVPLECNSQATPPLVQTATNSVSCAAPQCHVWLQAYSASPASTTTVRTPPTQSYPNAAFGFRPAPPPQHPPPPTPLPRGKRCLEEREHSDSRRIKRVTRLVTYIPVLDFFAKHPAIEPYQLKVGSSFGNIPDGQRIKTTTIGASVLNGFVEFFFGAHAVNRSLEVILI >Sspon.05G0022920-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:8884248:8887052:-1 gene:Sspon.05G0022920-2D transcript:Sspon.05G0022920-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKLLCLTALIFPIILCLTTSAAGTASDTLDSGSNITDGSTLVSAGGSFTLGFFSPTGVPTKRYLGIWFTASPEAICWVANRETFLSNTSGVGVLVIGSTGSLQLLDGSGRTAWSANTTSSDAPVVAQAQLLESGNLVVRDQSGGHVLWQSFDHPSNTLLAGMRFGKDPQTGAEWVLTSWRASNDPTPGGYRRVLDTRGLLDSVSWKGNAKKYRTGPWNGLWFSGIPETASYKEMYSAQVVVRPDEIAYIFNAAAGAPFCRLVLNEVGVVQQLGWDPVSRVWNVFTQAPRDVCDDYAKCGAFGLCNVNTASTLFCSCVVGFSPVNPSQWSMRETRGGCRRNVALECGNGTTTDGFKMVRAVKLPDTDNATVDMGATLEQCRARCLANCSCVAYAAADIRGGGDGSGCVMWTDAIVDVRYVDKGQDIYLRLAKSELVEKKRNVVLIILPPVTACLLALMGMFLVWIWRKRKLRGKRRNMDIQKKMMAGHLDETNTLGDENIDLPFFSFGDIVSVTNNFAEDNLLGQGGFGKVYKGILGENREVAIKRLSQGSGQGIEEFRNEVVLIAKLQHRNLVRLFGCCIHGEEKLLIYEYLPNKSLDCFIFDAARKKVLDWPTRFRIIKGISRGVLYLHEDSRLTIVHRDLKTSNILLDADMNPKISDFGMARIFGGNQQEANTNTVVGTYGYMSPEYAMDGAFSVMSDTYSLGVILLEIISGLKITSTHSTSFRNLLAYTWSLWNDGKAMNLVDSSLVDSCLPNEAL >Sspon.05G0008940-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:28189483:28190171:1 gene:Sspon.05G0008940-3D transcript:Sspon.05G0008940-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding HVFLVSAVEALICLCICCISAPGYSRRRRRSTSTRNVRNGSSGSKDGGAGRRRGEAAAVAAASHGGGRDEGAVGRRLRLRGVSPLLADGVAHAGVCGPGGRRRLRGAAGGARGVRAPRRLRVAAGQLLPVHVAAGAVRAPGGPRAPREVGGQGGRAGAAVVCRHGGPHEHGVVGGPERAGRRRGRAAGARPGRRLSLPRRARARPVARAGASL >Sspon.07G0027910-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7B:63510018:63510664:-1 gene:Sspon.07G0027910-1B transcript:Sspon.07G0027910-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKKHGEKSRLKDSCKKSAAPISKDNAQAEISRLQKEILVLQTEKEFIKSSYESGIAKYWDLEKQINEMQEEVCYFQDEFNESAAIEDHEAQALMTATALKSCEGNSADENVNNDASARKDEFFSMKQEKTELQELVDKIRRYFEMSSDLSAEDIAEKIDELVNKKLWIWNS >Sspon.04G0003470-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:6960755:6970686:1 gene:Sspon.04G0003470-2B transcript:Sspon.04G0003470-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEESDVGVNPGKQMEEDKAAGESGDEGKIERSHSINLNRVPAVAVEARSTQGNGETHGAAVSGTKDAGTGKAEQSSGDGQEKLPKCEQVDYESEIEGCADDENPVKKAALVTLVGNEYCGGDDDERVQVLTIVKKDEPADDIGDCINPGTVAGYSEVKGAVGASATSSAVRPAGSRSSSFHGVTRHRWSGKYEAHLWDSSCRVEGRRRKGKQGSYDTEEKAARAYDVAAIKYWGQDTRLNFPAKTEEEAAEAYDIAAIEIRGKNAVTNFDRSNYVDKGMHCIEGEGLRLLASKPEE >Sspon.02G0017440-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2A:50407901:50408105:-1 gene:Sspon.02G0017440-1A transcript:Sspon.02G0017440-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GSSLQRQGKYKEAINYHSMVLNISKMTGEDAGVTEAYGAIADCYTELGELEKAGKFYDKYIARLENE >Sspon.01G0004300-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:10801012:10801617:-1 gene:Sspon.01G0004300-2C transcript:Sspon.01G0004300-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASATGNSSLRSCCSLPNLLVWLLNLSLLVLAAAALGPVFLLRPRPTPFGWALVSVHATTLLSALAALHAQLTHLCLAAHAAIALAALSGHALASLAFFLRHDHSLRLLGSARDRREQFVLAFLEELLLLAMFMAQAVALAATCVVSRRWAREYQAAETEKAAAARKRGRKMARVQAESAAAAEAGVKAVDEKVMRSSSGKK >Sspon.07G0007010-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:14081977:14083046:1 gene:Sspon.07G0007010-3C transcript:Sspon.07G0007010-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone deacetylase 8 [Source:Projected from Arabidopsis thaliana (AT1G08460) UniProtKB/Swiss-Prot;Acc:Q94EJ2] MASESSPPPAEAPPPGPGDKLAVFWHEGMLAHDAGRGVFDSGRDPGFLDVLDQHPENADRVRNMVSILRRGPVADFLSWHSGRPAHASELLSFHSSEYIEELVQANATGAKKLCEGTFLNPGSWGAALLAAGTTLSAVKHILDGHGNLAYALVRPPGHHAQPDHADGYCFLNNAGLAVQLALDSGRAKVAVVDIDVHYGNGTAEGFYRTDNVLTMSLHMRHGSWGPSHPQSGSVDEIGEGKGLGYNLNIPLPNGSGDAGYEYAMNELVVPAIDKFQPQLLVLVIGQDSSA >Sspon.03G0034000-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3B:67705480:67706054:1 gene:Sspon.03G0034000-1B transcript:Sspon.03G0034000-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKAQTTEAFGQNTNTGEVHAHIANDQRKAVAGRLHLGAAAPMVCRTPATASQAHSPLAITSMRLGLVLGDHHPSPWRML >Sspon.03G0010920-1P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3A:30016628:30020949:-1 gene:Sspon.03G0010920-1P transcript:Sspon.03G0010920-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPLQRPDLFNGGLLKPCRGILLFGPPGTGKTMLAKAIANEAGASFMNISMSTIMSKWCGEAEKSIQALFSLAAKIAPAIIFMDEVDGMLGTRERSNENEVSRRIKNEFMMHWDGVLSKQSEKILVLAATNRPFDLDDAIIRRFEHRIMVGLPTLESRELILNKLLSKENIEGIDFKELGKMTEGYSGSDLKNLCVAAAYRPVRELLQKEKQMKKDKNEKEVQGKNVHVENPQNEESKQEKSKDSKDMEAISEEGDEDEIDEVITLRPLTMEDLKQAKDE >Sspon.04G0036360-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:28610566:28612843:1 gene:Sspon.04G0036360-1D transcript:Sspon.04G0036360-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding PILSVPDETGANETIYMGASQSIPPMPNEANYMEADSPQTEPTQVENSTEDRIPKVGMKFCTEEEAYQFYNAYAGDKGFSIRRSSSHNVLNHFCFVPLSSSLGIEHHFDQLFIYRIWRPDKREESYSSSYSRPETLCMCEARMKISLTNGFQVHHLRSQRKITAAQLASVENAKAVGISNKATFDLMAKEAGGVENLGFTREDMKNKLYSKRSLQTNHRDTGGVLEYLEKKTSEDGKFFYSIQVDEDDLITNIFWTDSKMAADYEVFGDVVCFDTTYRKLNDGRPFGLLVGVNNHKKTIIFGAALLYDETAESFVWLFNTFLTAMSGKKPQTILTDEDAAMAIAIKIVLPETHHRICVWHMNQNACKHLAGVVEDYKKFNKDFQNCIYDQEEEDFINAWNNLLDKYKLQDNQWLQRLFDKREKWALAYGRNTFSADMVSTQRSESMNNELKGYISVKYDILTFFEHFERLVADKRNEEVKCDFKATQSTPKLKSDLRILRHAARIYTPAIFKVFQEQVMQTLNCDLFCCGDSNAEKEYKIKVYGKEHVVKFSAFKVEVKCSCKKFEFVGILCCHALKILDINNIKKIPEQYVLNRWTVDAKVVHIKSNSETHEDPKTKLSKRRKELCRMYIHLATREISRRCG >Sspon.03G0005810-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:20752189:20755230:-1 gene:Sspon.03G0005810-1P transcript:Sspon.03G0005810-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKLGTKAAAASSVAVHRGGLARGPQGTSRVAFGPAASRTKGLRAANNSVTPMAKEQRVDRSDIFELDSIRQVLIRLEDSIIFGLLERAQYCYNADTYDSKAFHMDGFEGSLVEYMVRETEKLHAQVGRYNSPDEYPFFPEDLPEPRLPPIQYPKVLHPVADSININKEIWKMYFDELLPRLVKEGSDGNAGSSAVCDTTCLQALSKRIHYGMFVAEAKFQESPEAYMPAIIAQDRDQLMHLLTYETVERAIEQRVEAKAKIFGQEVKIGAEDNSNPPVYKMRPSLVAELYSYRIMPLTKEVQIAYLLRRLD >Sspon.03G0040830-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:23556758:23570124:-1 gene:Sspon.03G0040830-2D transcript:Sspon.03G0040830-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCCGEKAEVDRTVKEKLVTKENVRQYQLELLEKQGAGTQLLTLEPCKEFLFAYGSFVGTETEQSVGLGRARPSARAPVEGLSAAGGLLWRSRRRHGFGPEALTFGRRATGLGRRAVDAVAWLGKHRRRRYAVATVKATALLGCGREGEYCVLLEASTPNGEDGCGVFSTPRDLLFLLMESQGHGFRALACSTRNPRGYYRVGRKGNHESSVSVSRCGPVQARTYTSLLDGDLGVSRRLPQTQRHAKPDFIINRLNRGVSGNNPSVVVPPSRTFCVEPRRVGRPRSGLHHAQASQQLPCPGVYTVQFFVGRRNSEERLPVTTSLPRAESDPDGDGQRTSTEQAMKFSPIDTEQGREPKHNGRLPFKGSSAYKRLVDSVPAAEFTYRSKSPAETMAPPRRAYPPCAQRYFLLLLALLPCCCLSSQPAADDAQLLLRIKSAWGDPPALAGWNASSPRAPCDWKFVGCDGTAGRVTNLTLASAGVAGPFPADAVGGLSALTHLDVSNNSISGAFPTALYRCASLQYLDLSQNNLTGELPADIGSLGKNLITLLLYSNGFNGSIPAGLGALTRLQTLWLAYNPFLPGVLPASFKNLTNLVSLWAQQCNLIGDFPSSVLEMKELELLSLSNNMLTGSIPAGVWSLKNLQQLFLYGNNLTGDVVVNDLAATNLNYIDLSENYKLTGAIPEAFGTLKNLTDLYLFSNNFSGEIPASIGQLQSLSIFKLFNNSFTGMLPPDLGKHSGLTIVEVDYNELTGAIPEGLCLRGPFRYLTAKSNKLNGSIPAGLANCATLESLALDNNQLSGDVPEALWTAPKLNYVTLRSNQLSGSLPVTMPSNLTTLAMENNKFRGSIPATAVALRKFTAENNQFSGPIPASIDDGMPQLLTLDLSGNKLSGDIPRSVAKLSQLTQMNMSRNQLTGEIPAELGAMPVLSVLDLSSNELSGAIPPALGKLQLTSLNLSSNQLSGEVPAGLATAAYDSSFLDNPGLCSAAVGPGYLTGVRSCAAGSQDGGSSGGVSHALRTGLLVAGAALLLIAAVFTFFVVRHIKKRRRVAERGDWKMTPFVVDLGFGKEFILRGLTEDNIIGRGGSGRVYRVTYTNRLNGSAGAVAVKQIRTAGKLDGKLEREFESEAGILGNVRHNNIVRLLCCLSGVESKLLVYDYMENGSLDTWLHGDALDAGGHPMARARSARRAPLDWLARLKVAVGAAQGLCYMHHECVPLIVHRDVKTSNILLDSEFRAKVADFGLARMLVQAGAPETMSAVAGSFGYMAPECAYTKKVNEKVDVYSFGVVLLELTTGKEPNDGGEHGSLAGWARHHYLSGGSIPDATDKSIRYAGYSDEIEVVFRDAVVAANHGRRAAYLTQKSKTERVPEHEEDPLLLPQRGSRRKQLSNGTGIDIESKSDFDSIHDPYINLQPQVISPSGSPPTSTWGPPHASGDCLCQPAGVGERNIFLLLGRIETSRVWSSPVGRRKLGAGSKRVPSQPRRAGQAKRETCTGGLCPGCALPRLRRAGHPLPQLRRARQPP >Sspon.01G0042620-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:65320779:65326618:-1 gene:Sspon.01G0042620-2C transcript:Sspon.01G0042620-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLALRLGFVAPPYPRTSSGRFAFSPSSCRAVANDAGVGGPARPVTVDGDPPTVVSAPGRRIVAIGDVHGDLSQTRAALVLAGVLSAEPEGHLWTGGRTVLVQVGDILDRGEDEIAILSLFSSLNMQAKSQGGAVFQVNGNHETMNVEGDFRYCDPGGFDECVRFLDYLDECDGNWDNAFLNWINVCERRKKEYGALPNGDWRPWDFVKKQKGFAARSSLLKRGGLLACELARHPVVLKINDWVFCHGGLLPHHVEYGIERMNREVSIWMKCSGEDRDDETDIPFIATRGYDSVVWSRLYSQDPAERTRRSLMLSSIVAEQTLEAVGAKGMVVGHTPQMHGVNCKCDGKVWCVDVGMSSGILYSRPEVLEIVNDRPRVLKKRRELYDEMEISVCRKPDANMDQKPKAACFAESDQRS >Sspon.06G0023020-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:39862476:39866652:-1 gene:Sspon.06G0023020-1B transcript:Sspon.06G0023020-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMASMSDSETTNRGSMWELDQSLDQPMDEEASQLKNMYREKYVFVVLRANDNGQVLSAAGGIRVQNQNMSTDVVVIVAVVILIGLFCMQHYGTDKVGWLFAPLVLLWFILIGSVGLVNIHKYNSSVLRAYNPVYTFRYFKRGKSEIWTSLGGVMLSITGHWQFNCNFCINCTAVVIVMLVTTFLMVPVMLLVWKSHWILVVIFLVLSLTVELPYFTACINKVDQGGWVPLVIAITFFVIMYVWHFCTVKRYEFEMHSKVSMAWILGLGPSLGLVRVPGIGFVYTELASGVPHIFSHFITNLPAIHSVVVFVCIKYLPVYTVPAEERFIMKRIGPKNYHMFRCVARYGYKDIHKKDDNFEKMLLDRLLIFVRLESMMDGYSDSEDFTMMEQKAERSTRSLQLTEKAGGNTMSSIGDLSYSSQDSIVPAKSPLTGNSLTRYSSQTFGDELEFLNRCKDAGVVHILGNTVVQARRDSGIVKKVAVNYVYAFLRKLCRENSVIFNVPHESLLN >Sspon.04G0015070-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4A:56379968:56383599:-1 gene:Sspon.04G0015070-1A transcript:Sspon.04G0015070-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPISKDRRSTDPDAAEERSINTNRFGSSKWLTKANDKNTEALFGKVKEQANGGQEVLPMVFKKVPMAAVAPPVDATHSFAACLTEEQKGFGRCSSMEEQRRQDVAGQRRLGEDCRGPHRQPHGSAVGRRQLLLSAWENATARNKGLPVWHPPRAIWRESDAKAMFFKRLGRKMDAIRI >Sspon.05G0003630-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:9364102:9368068:1 gene:Sspon.05G0003630-3D transcript:Sspon.05G0003630-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATACFPVLILLFSSSFCKSDDHLSQAKSLSPGDTLISDGGAFALGFFSLANSNNNLYIGIWYHNIPGRTVVWVANRDSPVTTPSSAKLVSPTAESSRCWTPTAASFGQTTIEWPPVSLLGKGADDPSTGEFSCSVDPSSDLQIFIWRGSQPYYRTTVLDGISVSGDTSRSNTNFIMYKTVVNTGDIFYFMYTVSDGAPYTRVMLDHTGRLRILTWSNSTSSWTVISESPGDCNLYAACGPFSYCDNTGAAPTCQCPDGFEPLDSLNFSRGCQRKEALKCGSGNHFVTLPKVKLPDKFLDVGNRSFDQCAAECSRNCSCTAYAFANLSSSGTIGSTSRCLIWTEDLVDMGRAALAAQSLYLRLAESSPVQKKRNLTMILLLTIPSLVLLAFIALFWAHKYRGKNDKSPVLQHATFVDNKNPSIFLLAGKQQKKEAQKRMMIEYLESTDEHGEKNIEFPFINFNDIIDATDNFSDSNMLGKGGFGNVYQGKLEGGKEVAIKRLNEKLLVYEYLPNKSLDSFLFDSARKKMLKWPTRFKIIQGIARGIMYLHQVSRLRIIHRDLKGSNILLDKEMSPKISDFGMARIFNGDQLQANTNRVVGTYGYMSPEYAMEGAFSVKSDTYSFGVLLLEIVSGLRIRSTHIIMDCPDLISYAWNLWKDGKIEELVDSSVMESCCLEEASRCVHIGPLCVQHSPSCRPLMSAVVSMLEIKTMQLPVPMQPVFFALRDGEPRRASDDRVLSVNDISLTTLEGR >Sspon.01G0015100-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:77820549:77821878:1 gene:Sspon.01G0015100-2B transcript:Sspon.01G0015100-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTEEAAVAEVPVTEVGAEAPAAQEAEKDKAKKAPKEKKAPKEKKDKGKKPAAHPPYAEMISEAIAALKERTGSSSVAIGKYVEEKHGGKLPSNFRKQLTTQLKKLAAAGKLTRVKNSFKLPVAASPATDAKPKAAAKPKAPKAAAKTAAKPKASPKAKAKIAAKPKAAASPKPKAKAAPAAASPPKPRGRPPKVAKTSAKASPAKAAAKKAAAPAAAAKKAVASPKKKAATPKKAAAAAAPARKGAARKANK >Sspon.08G0003380-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:6998337:7002842:-1 gene:Sspon.08G0003380-1P transcript:Sspon.08G0003380-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MCNINEELIEGKRITLEHRRRLSIAKQNAESIAMITKDLEKEFLAMPTTVEELEAAIQDTESEANSMLFLNQNVLQEYQNRQHEIESISNKLKDDKGEHEICCSEIETVKGKWLPTLRTLVSKINDTFSRNFQEMAVAGEVSLDEHGLDFDHYGILIKVKFRQTSQLQVLSSHHQSGGERSVSTILYLVSLQDLTNCPFRVVDEINQGMDPINERKMFQQLVRAASQINTPQCFLLTPKLLPDLEYSDACSILNIMNGPWIEEPAKAWSSGDCWRTVVSAAGH >Sspon.03G0031850-3D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3D:29625132:29627885:-1 gene:Sspon.03G0031850-3D transcript:Sspon.03G0031850-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPQRHDASAHHFLLLLLLGLLLLHPSANAASFPTLCGFTPPRDLQHSHGADLALPSSITAGHFSGGDDLRFARDRPYTRALTFYPRGSSARATSDPAVTHLSATLTLEGTRARFGLRERDSGRPHSVSFDLDGYYNSTATSNSTAELCMVGSGSYAREDGFGVVVLSDVVLRLHLPQPSNLSRPFVTGSVKGAGFGPIVLLAYAEDDYAYGQAASCPPPPVPVRQVLRAGYFFSCHSLRALLRSSYSLEYRPDEHDGASSSSSFPLRLRHGSMYLNQMRCAANGAVRAYMVFYANQSVASPSSNYTAVGRRTFVIGDEALVADGFWDPLRSQLCLRACRVASSGKSRADLQVRECGIGVRFWFPAVWSIRDRSIAAGMIWNTSGNSDAGNTAGVISVSRTGSYRGNMSGISYNYTRVEEAKKHYDSNPALSKERKGRFPGNYSYRDFTFPFFLEKQRLPGYARPVTIGSALVEGDEMTADAAFSQHGAAEANKQRLLNVSYSLEYQVASANASLSANVSPPRLQRISAEGVYDIKTGSLCLVACQGTNGSSDDCDVLVTFQFAPVNSVEGERGIGTITSLRKKGDPRFFEAMYFVSSGMTVQQREQSSSRMDMESIMLVVSMTLSCVFTALQLRHVNKHPEALPATSVTMLVVLALGYVIPLVLNLEDMYTDTRRRYILQLTSAGSLDLNEFMLRASTVLALVLQLRLLQLALSRRSTDQAGSKHEDSSSSDAERSTLWICLPLYVLGAVVVWIVHMSDGHHLHGPRASAFSISAPSGPALVDDLAAYAGLILDGFLLPQVVSNALSGSRVTALSPWFYAGGTVIRTAPHVYDVFRKHNYVPSWNWKPTTYVYASPRDDLFGVAWDVAIPCGAMLLAALLFLQQRLGGAFLCCLKSRRSGSGEYEMVSTTRISSE >Sspon.07G0011770-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:42066115:42067055:-1 gene:Sspon.07G0011770-1A transcript:Sspon.07G0011770-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding YIIHQEKIFENISDDNRLIVLPGFPHCLEVSKARSPGNFNSPGFEQFRAKILEEERRADGVVTNSFDELEPLYHEAYQMKIGKKVWSLGPMFLCNTEMDAMESRGDKTSVDGKHCLQWLDSMKPGSVLYVSFGSMARTMFSQIGEIALGLEASRRPFLWVIKSDNKASEQFLNEKLIVDILKVGVPVGVQNITARTMQAHEVSIVKRRQIQQAVLKLMGEDTEAEERRMRATELKKKARQAIDRGSSYS >Sspon.06G0001360-4D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6D:1743301:1744560:-1 gene:Sspon.06G0001360-4D transcript:Sspon.06G0001360-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPCSKLRRLTRGDGEMFPDWASLHPDLVELIACRVLAGDLHDYVRFRAVCSHWRASTARPCGRGVLDPRFHPRRWMMLPEGHGLHPGHPDLRGFVRFINLSTGAFARAHLPLLADHFILDTVDGLLLLHRNDDTAIRLLHPFTGDVAEFPRLASLLPQMEPQPSYYSERTKRSMLMRVRASVTVTSSGDITLVLALDLLHRVAYATAGDQRWTLSAWKLKPFLKPVSFQGKLYAVQLSSFDIGKLYIYQFDPPCPDADGDGQPRLPLPVKVAECPKDKLCFILHFVECCSELLLVSYNDVSRSKLLVYRVADLVSGKIEPLTDIGDYTLFIGDRSLCVSLSPNKGSRTRSFPSMSPNSIICMHTFTDPYSEMFARFEQYDLSTGIWTPASDGDVFRRPPPSPHTLIHHIFTSCKYAFW >Sspon.04G0014570-3C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4C:58626207:58627776:1 gene:Sspon.04G0014570-3C transcript:Sspon.04G0014570-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DGNENGKQAAVRALSWRERENNRVRERCRRVIARRIFAGLRMYGNYALPRHCDNNVVLMALCEEAGWTVEADGTTYRKGPKPDPAGDQHMADIGGSAPVNPGGASYSLTRASSPSGITLGGGGRGGSDPIPAWLKNLSKQLSDISYPNFFASSSNAPATPQNGSPPSSPPRLRKKARYSSPPPAAPPPSPARTSNSKSNVLPPPWATGAGASRFSFQTSTPPLMSPVTGRAPGPNPVTMMAGFQISYAGANKAPAYSSFVASGASSLGAGSSASASAWMLPPLPGRRSSGASAAVRGRGGVLLSPLGFSFRRSGGEQAGAREE >Sspon.01G0003720-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:8814196:8817126:1 gene:Sspon.01G0003720-4D transcript:Sspon.01G0003720-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALQTLDPHRHAAAVSPAPSSVPRRGYPLPQPLLHLPPSRSLAGGAARPRAVAAAVSGAVNEARRRGAPQEGGEDGRKDTDLATLGNLCVDVVLSVPQLPPAPREERKAYMERLAASPPDQCCFLCNASRADFSEEPAFSWIRKLPADIRTAIHHSKILFCNGYAFDEFFPDVIASSIDCAIDSGTAVFFDPGPRGKSLLHGTLDEQRALEHALRLSDVLLLTSDEAEALTNIRNPVQAGQELLKRGIRTKQVVIKMGSKGSIMVTKNTISCAPAFKIDVVDTVGCGDSFTAAIAFGFLHDLPAVNTLTLANAVGAATATGCGAGRNVARLDKVLQLLREADLNEEDTLWTELIEGNSLCIEVSILSGMARNGFSERIVHVPVTKVVSDLLPMLEAVLERNAVQA >Sspon.03G0020290-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:81796366:81798869:-1 gene:Sspon.03G0020290-2C transcript:Sspon.03G0020290-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEVADMYTQDGTVDRKGNPALKKDTGNWRACPYILANECCERLAYYGMSTNLVHYMKTRLGQVNSVASNNVTNWSGTCYITPLIGAFFADAYLGRFWTIAGFMIIYIFGLALLTMASSVKGLVPTSCDNDGVCHPTDAQAAVVFVALYLIALGTGGIKPCVSSFGADQFDENDEREKKSKSSFFNWFYFSINIGALVASTVLVYVQTHVGWGWGFGIPAVVMAIAVVSFFVGTPLYRHQKPGGSPLTRIAQVLVASARKWNVAVPADKSRLHETLDKESGIEGSRKLEHTDQLACLDRAAVVPAEESAAGSAASPWRLCTVTQVEELKSVIRLLPIWASGIVFAAVYSQMSTMFILQGDTLDQRMGPKFKIPSATMSMVDTISVIFWVPVYDRVIVPIVRSFTGRPRGFTQLQRMGIGLVVSIFSMVAAGVLDIVRLRAVARHGLYDKDDHVPISIFWQIPQYFIIGCAEVFTFVGQLEFFYDQAPDAMRSMCSALSLTTVALGNYLSTVLVTIVTHITTRNGNIGWIPDNINRGHLDYFFWLLAVLSLLNFLVYLVIASWYKYKKTADDNPDAKGEH >Sspon.01G0033780-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1A:113719222:113721616:-1 gene:Sspon.01G0033780-1A transcript:Sspon.01G0033780-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGRQRSLLLVAVVQFLSLCVAEWHLHLSYIVYLGAHAYGRDASAAEHARATQSHHHLLASILGGDEETARQSIFYSYTKSTLNGFAAHLEEAVAKQIEEHPEVVAVLESKMLKLHTTRSWDFMDLERDGQVLPGSIWNHAKFGQDVIIASLDSGVWPESHSFTDAGLAEVPARWKGSCQDTVKYGVACNRKLVGARFFNRDMLLSNPAVVAVNWTRDTEGHGTHTLSTAAGTFVPRASLFGYATGTAKGGAPRARVAAYKVCWSGECAAADVLAGFESAIHDGADVISVSFGQDAPLADDARSLFQEPVTLGSLHAAVHGVSVVCSAGNSGPYEDTVVNAAPWVTTVAATTVDRDFPNVLTLGNSVRLKGMSLESTTLHSNTLYPMVDAARAARATSNPYDASSCALGTLDPAAVKGKIVVCRRGGGEVSRVTKGMAVLDAGGAGMILANDRMDGEDIVADPHVLPATMITYSEAVSLYGYMASTANPVANISPSKTEVGVKNSPSVAGFSSRGPSGTLPYVLKPDIAAPGVDILAAFTEYVGPTELASDKRRSEYAILSGTSMACPHVSGVIALLKAARPEWSPAAMRSAIMTTARTQDNTGAPMRDHDGREANAFACGAGNVHPNRAVDPGLVYDATPDDYFTFLCSMGFSEADMKRLSAGKFLCPANKSKVPAREDLNYPSIVVPSLRGAQTVTRRLKNVGRPAKYLASWRAPLGITMEVKPTVLEFSKVGEEEEFKVTVTSQKDKIGMGYVFGRL >Sspon.01G0048610-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:109913477:109920091:-1 gene:Sspon.01G0048610-1B transcript:Sspon.01G0048610-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSRGFDSAGRIFSNATEMWEEELGSAATASTAGEVEAAPAPATATEGSGGASEEGAGDGKRKEWYSKAIAYWQGVEASTEGVLGGYGCVNDVDVKGSDAFLRPLLADRFATGKRRLVALDCGSGIGRVTKNFLLRHFNEVDLVEPVSHFLEAARENLTGYMDQGEDSHKAANFYCVPLQDFTPEEGRYDVIWIQWCIGQLPDDDFISFFNRAKVGLKPDGFFVLKENIARNGFVLDKVDNSVTRSDPYFRELFKRCGLYILSVKDQKELPEELFAVKMYALVTSQPKIQKCGKRRRPKNSPRMIRS >Sspon.02G0006570-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:13917498:13921138:-1 gene:Sspon.02G0006570-3D transcript:Sspon.02G0006570-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDFYVTIPYGLLLLAGGVAGYVKRGSAASLAAGADLAPRSSSPARSAPGPSRTAGVALPPSLPPSFRSVYDASKLYGLMLVCAVLLTVVMGIRYAKTRKIMPAGIIAAISAIVLIFYVYKISTGGYKVYIPVSAEPCSGDGMGSLAKVSFLLQSFHLNRLTR >Sspon.08G0012130-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:49615832:49618519:-1 gene:Sspon.08G0012130-3C transcript:Sspon.08G0012130-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LRPDQLKAAGSRSYRHCFIGSVPLLLLQEIWSCPYAMETLPSYAEDIDGGESPSISMLSEVAAARKITIIGGSIPEKASGKVFNTCCVIGPDGQILAKHRKNGQFQLHLFEINIPGDITLKESDTFTGGQETTIVDTGAHLICYPSAFNMSTGELLWDLMQKSRAVDNQLFGATCSPARDPNANSDYVIWGHSSLIGPFGEVLAAAGHEEATVIGEMDLSTIQENLPLEMQSRGDLYRLVDVLANDSMKSRSELCREMPA >Sspon.06G0005230-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6A:17582116:17582951:1 gene:Sspon.06G0005230-1A transcript:Sspon.06G0005230-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVSASHGALGPLLGKLTALLGDECGRLKGVRREIRSLKSELTSMQAAVQKYSMLQDPDVQAKAWISLVGELAYDTEDVIDKFIHQLGDGGHQSQSGFKEFFRKTIRGLKTLGSRRGIASQIDDLNVRVKEVKELKNSYKLDDVAQETHLVGIDGPRDDLINWMMEEENSSAKHRRVLSIVGFGGLGKTTLAKEVCHKIQGHFDCYAFVSISQQPNVKKIMKDLISEVPCKKDFTEDIDTWDEKKIIRKLGELLQDKK >Sspon.02G0030050-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:109111154:109118157:-1 gene:Sspon.02G0030050-1A transcript:Sspon.02G0030050-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytoplasmic tRNA 2-thiolation protein 2 [Source:Projected from Arabidopsis thaliana (AT4G35910) UniProtKB/Swiss-Prot;Acc:O65628] MAAAASACGGAGCGPQCSSAGGEGDAPADSMGRLSISAAAATCGKCDGGGAAVAVAGGVGLCADCFRAHLFGKFKLAVTSNAMVRPTDVVLLAFSGGPASRVALQFIHEMQSKAIQSWETSNSQALPAFSLGVAFVDESILSLSPGHEIDTAIKDIKSIVSSLSPGDKQLHVAPLEDVISSESDDKAARLKELVGVINDETGRDDFIRCLRMLSLQKIALENGYTKIMLGTCASGIACHVLSATVKGQGYALPADVQYVDTRWEVPVVLPLHDCLAQELNLLCELDSLKTQQLLDRPCSGINGLVASFVSRLREENPSREHTILRTAQKLKPFSFNKFSANGYHDFLPSRLRPKFQNIDANESTFSEILCMICGSPLSESELQNLENTKHKAHIDLYTAHCCQSCHFQILPAATDMYGHFFSLLPKFWTEKVDTASASHSSVRIKGSSEEPRPKPKGKQRIQAPTIVDVLTISPFDQKGPYIMPRAALPAMMERTIFLKD >Sspon.07G0031480-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:23448422:23450746:-1 gene:Sspon.07G0031480-2D transcript:Sspon.07G0031480-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQYAFAPAILVTPLGALSITIRHEFSVIELYALPYCNILFILSLIWSYLLFCSAVLAHVMLREKLHIFGILGCVLCVVGSTTIVLHAPPEHEIESVTEVWDLATEPAAILVYRFVPLYGQTHVMVYIGHERESSRHSMKLTFSGTNQLIHPQTWAFTLVVISCVNTQMNYLNKYTMFISLSILANVIMFKDWDRQNPTQIVTEMCGFVTILSGTFLLHKTKDMADGGLSTSSSFRLPTSSVRAQSKRTK >Sspon.03G0016370-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:82133683:82138003:1 gene:Sspon.03G0016370-2C transcript:Sspon.03G0016370-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAASVVASAVERLQTAAQDAAMSSSRSAVAFSEQAQQTLVPRAAGRVVSLSTCTKVSAISFAVGIVVGLTLKKRLRRWAARLLKRIKDDD >Sspon.02G0023810-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:75480735:75489246:-1 gene:Sspon.02G0023810-3D transcript:Sspon.02G0023810-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLGTVMVMTDANSSFSGGAQLQPSRSMNTDSFMRVPASPISFSSNNISGSSVIDGFIVQQSPPQDQVQKQRSSSVTSHPMIEASGALHAPKKSRIDFRQDELQQQLIQQLLHGQSSLHLQGQQNPQLQALIQQHKLAQLQQRQQQLLQPFAQIQPSQIGISRQPQLRPPLAQPAIAGPIRTPVDTGLCSRRLMQYLYHKRHRPENNPITYWRKLVEEYFAPRARERWCVSSYDKRANASVSTPQSAQDTWRCDICNTHGGKGYEATYEVLPRLCQIRFDHGVIDEYLFLDMPNEFRLPNGLMLLEHTKVVQKCVYEHQHVTHEGHLRIIFTPELKIMSWEFCSRRHEEYITRRVLAPQVAQKYQAAASESGPAGVSNNDAQTICNMFVTASRQLVKNLEQHTLNEHGLSKRYVRCLQISEVVNHMKDLIEFTSKNNLGPIEGLKNYPKPNVPKLPGQNSHEAKQITAAAGLPNDQNNTKVMGVKQEISAVGNSTPQNAAALNSYQNLLRSSSANQSLLQQEASSVFKGPAAMHNGLQLEASRSFRGPNQVQLAQFQHSGSFQHPLPQHNNLQGLGVQNNHHGLGVSPQYQQHVLNQLLQEVKNTNGRTLPQQPPPDTPNANSGITSGGANTNSAATGEQAQGISNSAVKGAATVGTGPSNVINNSTASIVPSRSNSFKSVSSNPAAATAARGNAATSKAEPFHEFDDFEQLLTNELVGNEFLWDN >Sspon.03G0028850-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3B:12133771:12137056:1 gene:Sspon.03G0028850-1B transcript:Sspon.03G0028850-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDGLATAAASGGAAVAAVAKTGKKKNAHGDPVIYVGSGRGYSTGMLTSSRWRSRVLLEAGRSRPASHRRPYRAVVGELVVVERDTSVELDATECAEVAGAVGGTDLGSGRGRWMERIHDGRREYGRSGAGEQLGRGWHRRATRHSPSCNREWGAQHRAAQAARNLYGRHLYVFWAKNEHQIGLTDPWTALLRPTSATFIGRMCLERSCPC >Sspon.07G0004270-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7A:10471355:10472068:1 gene:Sspon.07G0004270-1A transcript:Sspon.07G0004270-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAKAQHTSTTDQQTMLDAQLQLWHHTFGYVKSMALKAALDLGIPDAVHQHGGSATLPQIVTKVKLHPSKIPCLCRLMRVLTLTGVFGVVQQHSTTTDDDGGELVYELMPNVSPFLNMVLGTVFVSSFLDLGEWFQHELPDPSPFKLTHGRHVWDLARHDASFAKLCDNGMVADSEFIMDVMVKECGDVFQGISGSLVDVAGGLGGATQAIAKAFPHIECSVLDLPNVVAAAPTNTD >Sspon.07G0007390-3P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:19918365:19920162:1 gene:Sspon.07G0007390-3P transcript:Sspon.07G0007390-3P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEETQPEAAAAAPAAAEVVVTEAAPAEAEVPAAAETEAEAEAEKKADEAAVTADDAGEGTGSFKEESNLVEDLPDPEKKALDEFKQLIAAALAAGEFNLPPPPPPPKAKEEPKAEETKTEEAKTEEPAKEEPKAEAEAAAEEPKAEVAVDAPAEEVKTEVPPAEEAKAETVAEEAKPAESEPQEKTVVVAEEETATKAVEAIEETVVSAPAATSEETAAPEAVAETEAAAPEPVLIWGVPLVGDDERTDTVLLKFLRAREFKVKEAMAMLKSAVLWRKRFGITSLLDADLGLPELENVVFYRGADREGHPVCYNVYGEFQDKDLYEKAFGDDEKRERFLKWRIQLLERGILSKLDFSPSGICSMVQVTDLKNSPPMLGKHRTVTRQAVTLLQDNYPEFIAKKVFINVPWWYLAANKMMSPFLTQRTKS >Sspon.02G0022820-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:21509556:21510204:1 gene:Sspon.02G0022820-3D transcript:Sspon.02G0022820-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTNSWTLEISSRWPRRACSAPPCWTGTHWRPSSPPTLSRAPTPWRATAALAASGSSTSPQCRGMPRPTCSLAQSIKQLAHDVRSVTLAVMPFTFTKERLDFLDLDKCECKQTLIEGGGIGTAMSHIKVEPAAGGGSVAKVETTFKPLPGVEVKDEITKAKESLTAIFKGAEAYLVANPDAYN >Sspon.05G0019420-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:80915928:80924936:1 gene:Sspon.05G0019420-3C transcript:Sspon.05G0019420-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRARRTSVRTPLRPLICARSFFIPVFTSISSEKETRDLLRSAPRVDAHPVCSCSVSRPTAPALRPAPSSAVTLRYFFSFSTALPHCFMLLLLLCGPLRLMAWAPPRPHPLRPPRKVKRRALAWKPSDLASCYDCGASMQMAEEAAPRYDAWDVSDPYARSGGADVGARKWPECEKRSAAIAVRIAVAVSFPMAVAVAVKVYLLETDDLHMFLFSQCRGSDRGHISSAPPAPVLCMLTLSPSLPIPTHAPCRHRTESPAATYAEGDCSSDGLAWWASTRAAPIARTLDDMGKGTTAASGSRGNAAGARGLQELVLIGVEDGGERAREEEPRRRQRVAEVGHGYSVTCWASSTKTAASAWLPVCVGSLGVAGWLAPPVAPNGERKRLLHACTPSRPTRRQYYYYYPSDSSSVRTYVRLAHRPLCELAGSQQSTFHKPQDVLRVKNTARFTAVNRGYRPNRAGPVPERLNLNLKPVTGRLPVNRAGLPLPDPGGYRKPSSQSTCSGTMYALTASLGTKHKRSDFLSNLVSASLSLAPFSLALMAASRVGDPAESKRLRIGDEIAWSEFSGVYDRDDSLKENTNPKCLLKNHPGGHANNASSQRFSGNLKPTAAPIIGLSGKLGQGGGRGRHHPPAMFPKKAKTGASGRAPRAAVPEPGSPKVSCIGKVLSDRERAARLRRPPRPRGGNGGGGCCGGFAFLMRRNRSRNSVECVDQSPPPLPPLAESAARRRETKEVEAAAPAPGLGGMRRFASGRRAAEWAAEMDGGDGRRVQVLVQVGRPPARVGWVVGAWWHRQDRPPSGKATHLQEKRKKKSPANGRRRNATQDAWMPHVKNGADNARVRSPPSPILCVVALTPSLVSTCHGRAPCGIRVAGELHATEICATGELHTPSTSSTCRRASVRSSRRRCRASGAYCRCTSNELYVPTSLRSSKQKEML >Sspon.03G0023610-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:90697295:90701246:-1 gene:Sspon.03G0023610-1P transcript:Sspon.03G0023610-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPPGPEPMELDAPPPAAAEAAAAVPPAGSEKKKEGEGGDAVTGHIISTTIGGKNGEPKRTISYMAERVVGTGSFGIVFQAKCLETGETFAIKKVLQDRRYKNRELQLMRAMEHPNVICLKHCFFSTTSRDELFLNLVMEFVPETLYRVLKHYSNANQRMPLIYVKLYMYQLFRGLAYIHNVPGVCHRDVKPQNVLVDPLTHQVKLCDFGSAKVLVPGEPNISYICSRYYRAPELIFGATEYTTSIDIWSAGCVLAELLLGQPLFPGESAVDQLVEIIKVLGTPTREEIRCMNPNYTEFRFPQIKAHPWHKIFHKRMPPEAIDLASRLLQYSPSLRCSALDACAHPFFDELRAPNARLPNGRHFLCSLTSNMNFPFSALQLANASPDLINRLVPEHIRRQNGVNFGHMGS >Sspon.01G0059840-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1D:69252081:69252666:-1 gene:Sspon.01G0059840-1D transcript:Sspon.01G0059840-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding KSRSAARIPFLRIASRRGRDCPAAHPLELRRPAPAIALRQPAPATGCASSRSLPGSSRPPPQPACNQRRELELAALLCSLGLRPAAGDEIHALTAAMDADGNDTAEFDELASSLAPLLLGPCRPAVAVDHAQLAEALHAFDHDGNGFISAAELARSMALMGHPICYTELTDIMKEVSSASGSSPPSWPSLSSAS >Sspon.07G0024680-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:27610323:27613205:1 gene:Sspon.07G0024680-1B transcript:Sspon.07G0024680-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLLMMAAGVTFLHFSFDGQLAYGREIIGREKGMGHEEELPSSSSSLGYLMQCRICHEEENEGRAIMESPCGCSGSLKYAHRGCVQRWCDEKGSTLCEICLQNFEPGYTMPPKKTPVVETVVTIRSMCHMVPVAGDHVVTVEAADHCAFSLLTMYLLRAAGILLPLYVVMRLIRIVQTGQRHYRLQLLE >Sspon.06G0006440-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:23059761:23062683:1 gene:Sspon.06G0006440-1A transcript:Sspon.06G0006440-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPRHLFLLLPLRLLFLLPLLAAAKPVLEDGYTVTTFADLNPLPASGPHPYAILPRPRAGDLLLLDSAGSALYTLSLSSSPGEPRRLAGGKRGSGFDDGDAAFDRPRSVAVDAADNVYVADQRHGAVRKVAPSGYTTTIAGGLSSGPGHRDGLAQNATFSADFELVYVPKICALLVADRGNRMVRQINLKPEDCAHEKQSGLGTTSVSVIAILCALLGSIIGFLVRHFYPVNTVQSETYRQEVSINHFFSRIQKQFLRTQRKATLISFCDIKSAVASSMAYTLLHRLIRLGCGYIPWCSLVLQQEVPLRPSCRRPELRKTSTAPSIGLNNKAPLPPTGQLGDLISFAGDAGDKEGSGNANSQEGKVLSYEGDLMGLLYIPPGSVKKIDHMIETNLSGFSSHVNRRRLTVSGCSVSRRVHGDK >Sspon.03G0017070-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3A:53522618:53525940:1 gene:Sspon.03G0017070-1A transcript:Sspon.03G0017070-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLTFTKLFSRLFSKKEMRILMVGLDAAGKTTILYKLKLGEIVTTIPTIGFNVETVEYKNISFTVWDVGGQDKIRPLWRHYFQNTQGLIFVVDSNDRDRVVEARDELHRMLNEDELRDAVLLVFANKQDLPNAMNAAEITDKLGLHSLRQRHWYIQSTCATTGEGLYEGLDWLSNNIANKFNQRSHDL >Sspon.06G0019740-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:4427889:4428482:1 gene:Sspon.06G0019740-1B transcript:Sspon.06G0019740-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLPQRFKLLATRCAAGAPSPSRSPAPGYAGAASPGYRLRRRGVRGAGATSARARHRGRLRRFLCRRGGGGGAGVGAETLAAARQEDDRKPLFGGRPGGGGRTLRDLFVASPEAGRRKGGRSCDCSDVEDDDEDDGRAGGAGADPAGGGGGGAWRGGRRFGSGGLRSLLMRRSWRPVLVAIPEGDGGKIELGAIEE >Sspon.01G0044660-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:84338024:84338972:1 gene:Sspon.01G0044660-1B transcript:Sspon.01G0044660-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQHLAVVTQNDPRTFWSWDQIQNDGVVVPFPTHPRTPRFDVVCESYDQNSDDVSAESEGDVAAELGTNRNLGKTIVSTDSKGP >Sspon.03G0042060-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3C:50059356:50059526:-1 gene:Sspon.03G0042060-1C transcript:Sspon.03G0042060-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GCGKETNNFGGHPSLLLLLLHCTAPLLPAPTFALRVASSDGAATATPTRGDHSLGY >Sspon.07G0034910-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:83515607:83517825:1 gene:Sspon.07G0034910-1C transcript:Sspon.07G0034910-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGTQQLQRTASDPAPALFQQPSLTVTAQHNPTEMAPPALGPEIYGLGFRFNPTPQEAVTYYLPRLVSGDPRALHEAVRPVIHHAEVYACDPADLARRFRPMPRTHNRFFFTVVKKGVRAAGPGSWAGQSTAEIKDKQGVQIGELRKFRYKKRGVLTDWLMEEYSSCGLDGAGDGGMQFALCKVYVSPKAAPNSDAYKESAACFATPPPATPAVITQPAAAKRPAPPQDVMPPCAKRMRVPAVQPAPPPPQPPAPPAPTLSALAAVAKMHFAPPQPSAPRRGSTPQPSPALAPPPAPPAPTRSHLAAIRRMSFAPPQPCVPRRGLPADSVTLPSPAPIQAPALPVPIRPAVEARRLPLPMPAPPRPLSQPKEQVPLPTPQVMRVSLPKQRRILDPFEASMLRDEAEEQTVAAAPHPPESPAAAPVLQDDDDDDLVKALEDAMSTAEAEEQTVAAALQDEDEDGWDELDKEIEDAMRAAEADEETVTAAPARLQDDDDFAKVMEDMLSAEAEQPTVAALEFDVDAFFNFSEETRLRLNSQHSPPSIHGRSPVEVILGSGPPLLAVKFNARTLQIQRTEAWRIHEWLLAWISAQIETSCGSTAVHQHMQNVKAMECTR >Sspon.02G0021390-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:75911951:75912592:1 gene:Sspon.02G0021390-3D transcript:Sspon.02G0021390-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKLGECWDAWCDKWDEWKYCLLCVGIVAAVILFAVLLAAYGFVRHLDISVDDASLTRFELSTTPPALTSLSYNLSLTLVIRNPNWAMSLKNTKPLEAQYKFDDQVFDRFQLADKGAKHPAGKTRVYHLSPAGTTRAVMLGNAGEAEFRKENATGTFQVEVAVVGEVRYTARYTKCKVEASCPLKLQLAPPGTAAAVVFQKVKCKLTKPEKNC >Sspon.06G0016880-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:91670659:91677625:-1 gene:Sspon.06G0016880-1A transcript:Sspon.06G0016880-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMQLVDNRGVLSDWKDNQMSPCYWEYVNCQDNKVTTITLSSSGLTGTLSPSIAKLTTLQQLKLDNNNITGGIPLEFGNLSSLTILNLGRNNLNGSIPDSLGQLSKLQILDLSHNHLSGNIPSSFSNPPSLNDINLAYNNISGEIPQHLLQAAHYNFTGNHLNCGQNLFPCEGGSTRTGGSKNSKLKVVIGSIAGAVTLCVTVALVLLWWQRMRYRPEIFIDVSGQNDHMLEFGQIKRFSWRELQIATNYFSEQNVLGKGGFGKVYKGVLPGPDSIKIAVKRLFNVESREGEMAFLREVELISIAVHKNILRLIGFCTTPTERLLVYPFMENLSVASRLRDIKLNEPVLDWSTRMQIALGAAHGLEYLHEHCNPKIIHRDVKAANVLLDGNFEAVVGDFGLAKMMDIGRNTVTTGLRGTMGHIAPEYIKTGRPSVKTDIFGYGVMLLEIVTGERAIAFHPDRMEEAGEIMLIDQVKLWMEEGRLLDLVDRNLGGVYNLEELEKVTQIALLCTHMEPNQRPTMSEVVQMLEGEIVPAERWEEWQLAELQRRQQHEMRQQGKLFDFSEESLNIQEAIEFSKARSKLPPLQCPSISVVYVFVFGASPCSTILAKSISARASFPSLQYPPIITVYVCTFGLYELLLTSFKNSRNARSVHPILAQPIDERRMRVHVRLQPLRDHLLQHTERLLDKASLAETINPHGVVHHVRRRAHLRHPPEQPRHVPEPSLPAERAHDHRARGAVQGEPLRPHRRQQGVGLLEPPLPAAPEDERPEAASVGAHRTTAGARHSVEHLARVGEAARVAKPYAEHPVGGLDDEREPVGPQLEQHVRGLPEPAALRERGHHRARRLVRHRQVPPHDEYGGGRGVEPHEVAEVRAGGQAAEERQRRRGALRVRDGREQRDGVVRGEQGRVGDGGGGGGGSGVGR >Sspon.01G0045380-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:85039276:85039844:-1 gene:Sspon.01G0045380-2D transcript:Sspon.01G0045380-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MERKLWVLRRHGGWIVVGFPMVAVVVADDEFRSEAEGARGVAPADGCSTAAHLVLRDECLIRLFTKPSRDGALSALGKVAPGCGGSWLCSAAVGMADKKNSDQAREVACVRSAVYEKRHGGRGVAWAYGVGGVG >Sspon.01G0019170-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:77593709:77606559:1 gene:Sspon.01G0019170-2B transcript:Sspon.01G0019170-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQKAHCPSRAALPKPIKNPSGRRRKPYPSPCAAAGRPLRHLLPLLAPSFDPLRSSLAEALWSSKKSSVVAAPAAVSVPDGKSVKKGKRNAEDEIEKAVSAKKQKTASEKAVPVKKQPPPKKVESSSSDSEEDSSDSEVEVPVKKQPPPKKVESSSSDSEDSSDSEVEVKVQPKKVIQPKKGTQPAKQESSDDSSSESSSDDEPSKKPVASSNKPAASSSDSDDSSDSDDSSSDEEPAKKPTVLSKKPVTAVSNGSKQVKHDSSSSDSSSDEDEKSAANLKKPPVASVQKKTQESDSSDSDSDDDESEDDIPAKAPVVAKKKEVSSDSSDSDSDSESEDEDNNAKTVQPAKAAAPKKEESSGSSDSDSESDSDSDEPEKPTVPAKRPLATNKKNEESSDESDGSSDESSDESDEEPPQKKSKNSAPSGAAKAATKVSKKESSSDEDDGSSDESSDDEEESEPTKTPKKKETSVDTTQKLARNEPKTPAKSQSQATGSKTIFVGNLAYSIEREQVKEFFEEAGEVVDVRLSTFDDGSFKGYGHVEFATVEAAQKALEFIGHDLMGRPLRIDIAVERGAYTPSSGKDNGSFRKSAQRSGNTVFIKGFDTSGGEDQIRSALQQHFGSCGEITRISIPKDYDTDSLNKAYELNGTDLGGYSLYVDEAKPRPDNNRDGGFSGGRGEEGVTGGVVGAVGVDLEAVVEGVTVAVVAGVRLSDRPLVPLVQERRQHLVMTIEDARLAIIGYQLVRADKISIACRGRVWLCTSPCRGSCRADVDRDVNASGKREN >Sspon.01G0033020-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:113938603:113940237:1 gene:Sspon.01G0033020-1T transcript:Sspon.01G0033020-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSKLAEITRSKGERMNDLDYARMNTVPDAKQWDKTSYQHHDESRMDHINLGLMNLDLKMNEAATAMKLPFHNMPYNMNPMYPKGSNVNVNAFKMNVGVNKYSNSPNGKDANLKNNGGSNNNGGNSNGSANSNSAVDKRFKTLPTSEMLPRNEVLGGYIFVCNNDTMQEDLKRQLFGLPARYRDSVRAITPGLPLFLYNYTTHQLHGYLRLPVSVGLISIPLHGRIRSVKVRIRIRKLCKPLEEDSFRPVLHHYDGPKFRLELSIAE >Sspon.07G0027040-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7B:58783958:58785169:1 gene:Sspon.07G0027040-1B transcript:Sspon.07G0027040-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RGGFYLKIQPYKLNREKEDFAPKLIEGYNRIYVGNLPWDITDDDLKRFFSECKISSIRFGTDKETGEFKGYAHVDFSDGTSLAVALKLDQKVLKGRPVRIRCAVPKKDNQKVNDNGNSDQLESKIRTCYECGTPGHLSSSCPNKKDSEARKCYECGTPGHLSSACPNKKDSEVRKCYERGAPGHVSSACPNKDSDVISDEKEANANSVIAASKKRRTCYECGIPGHLSSSCPNKDSEFISDEKKTNVDSAMASSKKRRTCYECGTPGHLSSACPNTRASDSVPNNREPVDDAKPATTIMSEETKVGDELNSAASKKRRKCYECGISGHLSSACPNKKSAEPVCNEEKPDNHSNTVLSVIIDEKKASEDTKSAPVKKKKRRTCYECGIAGHLLSECPNKTAAKV >Sspon.01G0049110-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:33246419:33247249:1 gene:Sspon.01G0049110-2C transcript:Sspon.01G0049110-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSRRREHGGSGELDVFGATSYFAGLPPAPDDDCRRPSSATEPSADRLYFQAKVVQRDTTRMTTEDNSFRSPHQQQQPGLHDHAERHATNKQPQVVAAKRQQPYSGKSKLAALLSFMVSPSPSPRASFRKEEKKQEAPSTTRLRQAEVASAAGGEKETANNAYKAANSRSSSSRESSMQLQGLFGAHDDDDEELDLGVATGDRRLQGITVVRGIGGDEERWVVRCVPGGGAWDWDDEERREKMLDVDAAESASSEQQNIKDELVEVEQLQGHDDDDG >Sspon.07G0002580-3C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7C:4606084:4609535:-1 gene:Sspon.07G0002580-3C transcript:Sspon.07G0002580-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPAATADPGSSSSPTPTLRKRTISIDTSRRGGDGALLETAPRPEREEQQQQEEGSMAASAPAEAAVAAREGDLEAAGEPMSPAGRLFREKHLNCYIVAVIGLGAAVDVAAARAGLEATLVRHPRFSSIQ >Sspon.01G0020590-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1A:76468859:76469269:-1 gene:Sspon.01G0020590-1A transcript:Sspon.01G0020590-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LSIVSTGQQIGGGGTICRIRTPAPCAIRFQRLEHPLMGYVLSRESGPLPRLEVLIPYLTSKMFDWWLGSRKLVPKLSRRDFDTLMLLVSWNLWKECNNRMFSRCYSTPIKVELILEEAEEWILASFRQLKSHTVLQ >Sspon.01G0022990-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:80519339:80521163:-1 gene:Sspon.01G0022990-2D transcript:Sspon.01G0022990-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGRLFSVHIPSLAKLGAPRCAKFMASDTGTKLIQIDVSSDTVCPWCFVGKKNLEKAMEQSKDKFDFEVRWHPFFLNPDAPKEGVRKSDFFKAKFGPVQYERAISRMTEVFRGLGLEYDMSGL >Sspon.02G0036750-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:30714129:30722302:1 gene:Sspon.02G0036750-2C transcript:Sspon.02G0036750-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding IRFVDMADNEKEVGEGTTPRGADWEVVTLTASAYEAAPGPVGTEVKPINEDQERSSSNELLRSDHFVFPPSEHENLPVQTSFDEIQPEKDVQKPSTSVEDYSVKNDAGSERVQFDDEGKHLSDDDVEMRDDMPGYGSFHSEDDAHGFVSHDDGNESGEDFDDKSGHPSEPADSKSCDTGASCKCWLKKHMTCLSPTSVSSFASWAGDGEEEAVKSKVMHPLLYRSEPRDLCHH >Sspon.07G0007230-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:19013953:19017364:-1 gene:Sspon.07G0007230-1A transcript:Sspon.07G0007230-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding IPHGHSGISNRGGMNVGGNPGFSSSMNAIGGSIQGLSSNLANVGNRNSAPGLAASPVLGNLGPRLTNSGNIMGGSNIGRSISSGGLSMPSIASRMNLSGNSGSGAINIQGSNRMGSMLQQASPQFMNLLGSSYPSPGGSLSQNQVQSGSSSLGSSGMLYDGSSGDNAPFDINDFPQLTGRPNSAGGGQGQYGSLRKHGVSVNAIVQQNQEFSIQNEDFPALPGNKGSSSDYPMDIHHKDHLHENVQNAGSETIGLRPSNSPSPSTNSGVYEQFMQKYHQPQTQNSLRLQASSGPQQYKDQSQKSVQGTQAAPDPYSLLGLLSLIRMKEPGPTALALGIDLTSLGLNLNSQDNLYKTFGSPWSNEPAIGEPDYQIPACFSAEPPPALQSP >Sspon.06G0001940-3C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6C:246404:249133:-1 gene:Sspon.06G0001940-3C transcript:Sspon.06G0001940-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SAMSTYVDVP >Sspon.04G0015120-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:62759480:62761992:-1 gene:Sspon.04G0015120-2C transcript:Sspon.04G0015120-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ethylene receptor, Ethylene respons [Source: Projected from Oryza sativa (Os07g0259100)] MASSAGQGAALARRCGGCDGREEAAAEAMLQWQKVSDLLIAASLLSIPLLLLYFATCAELAPLRRVLLQLGTFIVMCGVTHLLNALAYDRPGSRALLVALTAAKVLGALATSAAAVSLPILFPRLLRLKVRESFLRTKARQLDRDLATVRRREETVWRVVRAVTHHIRDSVDARTILRTTMLQLAAALGLSNCAVWMPQRGGSGTDGGVLQLTHQLLADEEDDKVLHHSHSGGTVRAISVRDPDVAAVLASKDAKVLSRPGSALKAASCRNLPLAGAAAAIRIPNFHGGAIRPAYTSELLSYAILVMVLRADDDHHHRRPPTGWSNQDLEIVQAVAYQVAVALSHAAALEESQLIRHKLAEQHGALLRARSELAAATKARNTAHSAMRNAMGRPMHAVVGLLSVMQQDAAAMRPEQRLIVDAIARTSAVCSTLMDDVMETLPRMVDIRDPPLSASPTPPTLVSRRPFELRSLIRDAAFAARCLSGCRGLGFSHQLEASSLPEWVVGDDKRVFHLVLHMVGALLSRCHGHVATGRVLSFSVCSCSSIAGEHQDWIPSPLRPISFTGGNQVFVRFQIGLSKSDPGSSPASRPPQCGISPDSGGANMRLAFAMRKRIVEMMNGDMWWASESEGLGETMTCILRFQQHQPLNPHVPGSGTYRLGVGASSATAPHLHFNGLRILLADSDAMSMEVTRKLLERLGCQVLPVSSGADCLSLLGGGADPSFELVVLDLDGHGASGAGTAAAMDGFEVALRIREVSSTCWLLVLVAVEASGVVDDSVRDICRRAGVDGLIHKPITLPALGAQLQRVLQNN >Sspon.07G0001850-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:3123714:3124343:-1 gene:Sspon.07G0001850-2B transcript:Sspon.07G0001850-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPETESDHHRGVPGVARLDLVEPPAAPATSSSSCATTTATVRDEDEEDGFTFAAVAVAAPRLQDADCAFPDARIGGSVYPVFGRPRRSLSLPKQQQQQQQQDEEEEGPGAAGTATVRVPLGRLLVEEPWCDYADAELDGVPAETYCLWSPGVSPPAPAGSSRRGSPERCRKSGSRGDGKSSSTSFLPCKQDLVELVASVVTCGRSCYLF >Sspon.01G0009800-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1A:27829936:27830265:1 gene:Sspon.01G0009800-1A transcript:Sspon.01G0009800-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMLRWCCGHTRRDRVRNDDIRDRIGVAPIEEKLFQHRLRWFGHVQRRPPEVPVRSGVLKRADNVKSGRGRPKLTWDESVKRDLKEWNISKDLAMDRSAWRLAINVPEP >Sspon.06G0022940-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:38926345:38929503:1 gene:Sspon.06G0022940-1B transcript:Sspon.06G0022940-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQYNFYGWLTGRGSDDQHKPRWSFSQQCMAVVRRLATFLGIRNKKIFFISKLLDREHVKVDGLLECLVDGVDRFVSHHGNAGELRNLEAVFRDPRFLDLGFGSTILSMHVLTEQLLCKSAQHPDVETNAAAAHTSNINLLMEECRKLSRYMLYLMVACPSLLPLEDSSVAMLQQWQQHQLPLEQLVRPLRLDKETLEQIKEVWIRLIIYAASKSRSEQHAAQLARGGELLTFAAAGAMGPLRLPLPMKSLPLRDVPGQSTRDVAKSSLFLYCSCPDPGLLY >Sspon.02G0058470-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:76469401:76496336:-1 gene:Sspon.02G0058470-1D transcript:Sspon.02G0058470-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription initiation factor IIF subunit alpha [Source:Projected from Arabidopsis thaliana (AT4G12610) UniProtKB/Swiss-Prot;Acc:Q9SU25] MAFIGLILDEFDHLSISIVLWQEYNVRANSVTDKTYSIGRFVTGLPPFSKKKNAENKWSLHKEGLQGRQITENMREKYSKKPWILEDETGQYQYQGQMEGSQSATATYYLLMRHGKEFNAYPAGSWYNFSKIAQYKQLTLEEAEEKMNKRKTSATGYERWMMKAAANGPAAFGSDMKKLEATNGGEKESARPKKGKNNEEGNNSDKGEEDEEEEAARKNRLGLTKKGMDDDEEGGKDLDFDLDDEIEKGDDWEHEETFTDDDEAVDIDPEERADLAPEIPAPPEIKQDDEENEEEGGLSKSGKELKKLLGRGAGLNESDADEDEDDDDQEDESSPVLAPKQKDKVKDEPVDNSPAKPTPLGHARGTPPASKSKQKRKSGVDDAKTSSGAASKKAKVELDSKASGLKEEASSSAKPASKISASSKSGTDISPVTEDEIRSVLLAVAPVTTQDLVSRFKSRLRGSEDKNAFAEILKKISKIQKTNGHNYVVLRDDKK >Sspon.03G0014820-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3A:47453732:47457512:1 gene:Sspon.03G0014820-1A transcript:Sspon.03G0014820-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRPSLRGPPSFLLGSPPGLSGGGGGRGGRRRRGRGRGGGPGFHDPSWPFQAPSGVPCPPAAAMLAAASTGFHPAAFPWPTPSSASPASALAHSFRTMGPTTPVGPQWIADSGATYHTTSDPTILSSVHPPSSYHPSSIMVANGTCLPVTSVGAAGAHGSFRLPDVLVAPSMVHNLLSIRRFTADNSCSVEFDSTGLTVKDLASRRPLLRCDSTGPLYTLRFPASPSSSSPNPLSAAFATSSSSTTWHRRLGHPGRDALMQLSRSSDIRCPRTPGEHLCHACQLGRHVRLPFHSSSSQAAHIFDLIHCDLWTSPIISISGYKFYLVLLDDFSHYSWTFPLRAKSEAFPAILHFFAWVSTQFGLTIKAVQCDNGRVTPARFWAESLHTSTYLLNRLPSAACPAPTPHHALFGTPPRYDHLRVFGCACYPNTTATAPHKLAPRSTLCVFLGYSPDHKGYRCYDLTSRRVLISRHVVFDESVFPFSSTPTPTSTPDLDLFSLFPTDAVVDPPFRLSTTGTAPPCPSPGPCPRSPPAGDTPCPGLAGSPHGPATVTTPDAGLGRRPWHRLRASLSRRSSTSAVHLPDRPPLLRLTRGPGRRLRHHLRASPSRCSSTSDVHDRSRRLRLHRGLLLRRGALYHRLLLRRRHRHRRRDPGCPCHDAGVPPPAASPTPASCSPDGLSGTLFRPRGLAGPSLAPRDGRRVCGSPRQPDLGSGATSPGSNVVTGKWIWTHKRRADGTLERYKARWVLRGFTQRPGVDYDETFSPVVKPATVRTVLSLALTRSWPVHQLDVKNAFLHGLLTETVYCSQPAGFVDSSSPDMVCRLNRSLYGLKQAPRAWNHRFAAFLRSLGFVEAKSDTSLFIFHHGAETAYLLLYVDDIVLTASSESLLRRIITSLQREFAMKDLGVLHHFLGVTVAPHPAGLLLHQRQYTLDILERAGMTDCKPCSTPVDTQGKLSETEGHPVSDPTAYRSLAGALQYLTFTRPDITYAVQQLCLHMHDPREPHLTALKRLLRYLRGTVGYGLLLHQRSSSTEMVVYTDADWAGCPDTRRSTSGYAVFLGGNLVSWSSKRQQVASRSSAEAEYRAVANGVAEVSWLRQLLAELHNPLLRSTLVYCDNVSAVYLSTNPVQHQRTKHVEIDLHFVRDRVAIGEVRVLHVPTTSQFADIFTKGLPSSTFTAFRSSLNITSG >Sspon.08G0015160-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8A:60868849:60870108:-1 gene:Sspon.08G0015160-1A transcript:Sspon.08G0015160-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GREYSRVMRGKATGAGFVHILTLIRSRICMMKRQHTSGWHARRRTDTVKTTGQSAAAQPGAPAPQVATQEYMDPIEAEAQALLQGAKLARALNL >Sspon.06G0004450-1P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6C:11924747:11928165:-1 gene:Sspon.06G0004450-1P transcript:Sspon.06G0004450-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RQPARSAAAGQPPTPTAHARQPEEGSRSRPLTPIPPLVNPRQTDPLRLTTTAISHAYFHPTTQVFSPRP >Sspon.01G0000830-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:2761935:2765278:1 gene:Sspon.01G0000830-3D transcript:Sspon.01G0000830-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVFYYVVFGALAAVVAGLELGKSGKDRVATTSAFNSFKNNYVLRGFDPQWLSITFSKAIFLGNGLIAIVSGLFANLLAENLGFGPVAPFDAAACFLAIGMAIIMSSWSENYGDPSESKDLMAQFKVAAKAIASDEKIALLGAIQSLFEGSMYTFVFLWTPALSPNEEDIPHGFIFATFMLSSMLGSSIASRLLARKLKVEGYMQIVFSISAVTLVLPVVTNILVPTSSVKGGSISVGGSLQLLGFCTFEACVGIFWPSIMKMRSQYIPEEARSTIMNFFRIPLNLFVCVVLYNVNAFPITVMFGMCSIFLFMAAILQRRLMVVSDLHKSSTKAQEMIDEDEPLNP >Sspon.01G0037350-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:21177750:21182339:1 gene:Sspon.01G0037350-1B transcript:Sspon.01G0037350-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGIGRPRATTASLAPADLVLKAACERCGAASELYLTSCRHTTLCSDCGKALALARGRCTVCNAPVTKLIREFDVRVDTSAEKEKTHSIGRFTTGLPPFSKERSAENRWTQRKDVPQGRQLTGNMRDKHYSKRPWILEDETGEHQYQGQTEDPPATYYSLTLKGNDMVAVQSLMYNFSKIAQYKQLTLEEAEEKMNRRRSSASGYERWMMKAAANGAAAFSSGVKRLDDVNIGATNGIHPKKGDRNENGNQSDKVDVEEGGAARKNRLGLTMKGMDEDDGKDIDFDLDDEIEKGDDWEHEETFTDDDEAVDVDIEERPDLADPEAAPPEIKQDDNENELGGSDNLSKSGQELKKLLRRAAGENESDVDDKSTDVIITILLRTHIFFHRRWDALANLILWNRTHLCICFVQQEDDLPSPELAPKQLVPKSEPVDSNPAKPTPSAHAQSATPSSKATQKRKSGGGDANTSNGATSKKIKIEPETRTLVVKDEKLSSLEPISKPSLSERRESSPITEEEVRAVLRAIEPTTCQDLVLRFKARLITQEDKKTFSDIVRKISHKNCHGKVVLRKEQKIDCIGNQDAQQEASAEETTR >Sspon.01G0042980-1P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1C:66549541:66552983:-1 gene:Sspon.01G0042980-1P transcript:Sspon.01G0042980-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLAPSAAVAAVGSRSEEKARLFAADNGLDAAATRLHGSYEALLDDPDVDAVYLPLPTSLHVRWATAAAARGKHVLLEKPTALCAADLDAILAACDAAGVQFMDSTMWMHHPRTAKMRQIVDDKDAIGDIKTINSVFSFRANEDFLENDIRVKPDLDALGALGDAGWYCIRAILWAVDYELPKTVIALRDPVKNRAGVLLACGATLYWADGRTATFSCSFLANLTMDITLVGTNGTIHVTDFVLPYEEKSVEFHVASKSNFNDLHTGWDPLPSKHVVATDLPQEALMVQEFARLVQGIRDAGGKPEGKWPAITRKTQLVLDAVKASIDKGSEPVEVAS >Sspon.01G0001740-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:4305381:4307255:-1 gene:Sspon.01G0001740-3C transcript:Sspon.01G0001740-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSEATELIAAGRSRCPNQGALLTSMSAPAPTPIPLTSIAELRQHHSQLIRLGVASHPAHARRLLSFLARDPDSHFPYASRLLAHHPAPHPALFNPLFSALPTRHAARLLALMLSLPLHPDHFTFPRILPGAQPLHLVAQLHALLLKLGFHAHTQSLNALLAAYLANARPDLASRVFRGGGCALDVVSWTTMVGGLVKLGLADDARELFDGMPERNLVSWNAMMSGYVKAGRFLDALEVFDEMRARGVDGNAFVAATAVVACTGAGALARGREVHRWVERSGIEMDDKLATAVIDMYCKCGCVEEAWRVFEALPAKGLTSWNCMIGGFAVHGRGEDALKLFGRMEREGVVPDDATLVNVLTACAHAGMVSEGRHYFNYISQRYGIEPKMEHYGCMVDLYGRAGQLDEAKRVIQDMPMLPDVGVLGALFGASKIHGDVDLGEAIGWCVIELDPSNSGRYVLLANLLATAGRWEDVARVRRLMDERNVSKEAGRSVIEVQGEVCEFQCGGLCHPQAEEVYAMARDMMSKIRAEGYAPDTRDVLHAIAEEDKETPLFYHSEKLAIAFGLLHTRSGDTIRITKNLRVCRDCHEATKFVSRVFDREIVVRDRNRFHHFKDGLCSCKDYW >Sspon.01G0032880-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:111631567:111633408:1 gene:Sspon.01G0032880-2P transcript:Sspon.01G0032880-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKERLMKMAGAVRTGGKGTMRRKKKAVHKTGSTDDKRLQSTLKRVGVNTIPAIEEVNIFKDDLVIQFLNPKVQASIAANTWVVSGSPQTKKLQDVLPGIINQLGPDNMEHLKRIAEEMQKQVAAAGAAAQAKEDNDDDVPGLVPGETFEEVAQEAKA >Sspon.02G0016590-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:49062783:49065526:1 gene:Sspon.02G0016590-2C transcript:Sspon.02G0016590-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLHGRVAIVTGGAGGIGSAVSRHLASLGARVVVAYIGDPAPALTLVGGINDSSHPGESQQPRAIAVEADVSDAAQVKALFDAAAAAFGGELHILVTLAAVLDYSYPPLAETSEATFDAAFGTNTRGTFLCCREAARRLVRDGRGRIVTFSSSGVGSLRPGYSAYAASKAAVEVMTKILARELRGTGITANVVAPGSTGTPMMYTGKTEDDMERYIAEAPLGRLGMPEDIAPLVSFLASDAGHWVNAQVMNNGVARGRCRVRVQSLIGETEATQ >Sspon.06G0002580-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:8246225:8250198:1 gene:Sspon.06G0002580-1A transcript:Sspon.06G0002580-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LGAGAMPGGSSGADGEVDAGFAKLQGEDFEYYMQTYSIMLGRNSKKSTVDVDLSSLGGGMNISRHHARIFYDFQRRRFALDVIGKNGCLVEGVLHLPGNPPVKLDSQDLLQIGDKKFYFLLPTRSIFASAAAARHAPIIPPQLPPPSYAHARPGRPRLSEFHDRSFEGDYGREVDEIGNGINETVMRGKLIKRNKKSSVDLDIYGGHRINVEPIGTLGEDSRSEIRSRGDRDIDNQQALQAEEKDVVSSVATVLSDLCGPGEWMPMAKLHTELLDQFGNVWHPSRVRKYLTQDDWSPTETKGRPWFGLLALLRKYPEHFVINTRSKGRMTSEFVSLVSLLS >Sspon.02G0039180-3D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2D:44047620:44050991:1 gene:Sspon.02G0039180-3D transcript:Sspon.02G0039180-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding METPIVDSKAWNKWSKLQRTRRGHGLTTPTRSHGLLASESLMEYIIGQAFLDISTAVSVIAVLVSLMTKRSLQQLADNGAQSKNARPRPRQERPAGTGSARAPQDDAAAGGTLWALPPLRRLHQAEAEQGEVLKFFPYPMNITTVQFAVGTVVAFIMWVTGILRRPKVSPAQYVLQRMKLKRHYLQLLAAWFVVSLAPTTVTLQSSNVPSKKLMMKTKLSCAQGSMTLMGDVKP >Sspon.02G0034720-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:9115785:9118511:1 gene:Sspon.02G0034720-3D transcript:Sspon.02G0034720-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LSGLLLDQTQVRAISDEIKNVIIASATRKRERSERTKAEDFDADEGELLKEENEQEEEVFDQVSECLGTLIKTFKASFLPFFEELSMYITPMLGKDKTPEERRIAICIFDDVAEQCRESALKYYDTYLPSFWRHQTMKIQMFGRHPEARLPDNIMAYDNAVSALGKICQFHRDGIDAAQVLCNGTELATDETRNRMIQKLLPRDHVRVIDK >Sspon.04G0009830-4P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:23553290:23555891:-1 gene:Sspon.04G0009830-4P transcript:Sspon.04G0009830-4P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding NLADVVLGYDTIGGYVSGKSYFGALVGRVANRIANARFVLDGKAYHLFKNDGNNTLHGGHRGFSQVIWTVKEFVGGGDSPYITLYYHSFDGEQGFPGDLDVYVTYQLASPYVLRVHMNATAANKATPVNLAQHTYWNLGGEGSGDVLHNTVQLFASRYTPVDSALIPTGVLAPVAGTPYDFLAPTPVGSRIRQVSGGKAGVYGYDTNYAVDGDDAGGALRKVAVVRDRVGAGDGAVGEPARGAVLHGQLPPGRRGEGRQGVRAVRRAVPGDAGVPGRRKPPGLPLPDRQAGQVYKHDMVFKFSF >Sspon.01G0001340-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:12505410:12507512:1 gene:Sspon.01G0001340-3C transcript:Sspon.01G0001340-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLCASTTTISAFLLILFIHSGHCPAAAFYLPGSYPQRYRPGDTLAAKVNSLTSPSSKLPYPYYSLPFCAPQHGARHAAESLGELLLGDRIETSPYRFSMLNNTATPLFLCRTNPLSPGTAELIKSRIDDAYQVNLLLDTLPVMRYVKNPVAPDVLLRSTGFPVGVRADDGEYYVYNHIKLTVLVNKQNTTTRVETLMATADGADLLSFTGGKEGSGYTVVGFEVVPCSVEHDAAAIKNKSMYDEITSKAAAGCDPSVVSMRVQDNRPLVFSYEVAFVESSIEWPSRWDVYLEMGGARVHWFSILNSIVVVSFLAAIVLVILLRTVRRDLAQYEELGGSESGAHADELAGWKLVAGDVFREPSHPVLLCVLVGDGVRILGMGVVTIVFAALGFMSPACRGALVTGMLCFYLVLGVAAGYTAVSLWKTVRQGDTAGWKSVAWRASFAFPGIGFAVFTVLNCVLWYNGSTGAVPFLLFVVILLLWFFVSVPLTLAGGLLASRVRHIEFPVKTNKVARQVPAAQCSPWLFVAVAGTLPFGTLFIELFFIMSSLWLGRVYYVFGFLLVVLALLVTVCAEVSVVLTYMGLCVEDWRWWWRAFFASGSVALYTLGYAVYYLVFELHSLAGPVSAALYVGYSLLMALAVMLATGAVGLGASFCFVHYLFSTVKLD >Sspon.07G0008490-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7A:23145056:23145244:1 gene:Sspon.07G0008490-1A transcript:Sspon.07G0008490-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SDIPDAQVLEQVLDERMHPRSNRLHHQLLIKWHDVPPALATWEDEDKIIRLYPDFTGLRTSC >Sspon.01G0002440-3C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1C:6830949:6832937:1 gene:Sspon.01G0002440-3C transcript:Sspon.01G0002440-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRNRLIIWCLCILLLWWVQSNPCAICLGGMGAGGGQAIFTAECSHTFHFHCISASVAHGKLVCPLCNTRWPELPSVVQPQPAPVPRTLPRPEPMHRVQPLPMRHMPLHGWQPQHQPDEPQVFDDDERVEPPSSGDGGGDQRQAAASSRSGGTLAVTTHTEYSAVARNSSRDNFAVLVHIKAPGMTTDGEAAAGDAPRAPLDLVTVLDVSGSMTGAKLALLKQAMGFVIDNLGPHDRLSVVSFSDRARRVTRLLRMSGDGKATAKSAVESLIARGGTNIAEGLRTAARVLEERRHRNTVSSVILLSDGQDTYTVPRWSRGAGAAPNYEALVPPSFMTPGTRDWSAPVHTFGFGNDHDAAAMHVIAEATAGTFSYIENEAVIQDAFAQCIGGLLTVVVQEAHVAISCGHPGVRIGSIKSGRYESRVDEDGRSASVRVGELYADEERRFLLFLTVPAVEATDGETALIKVSCSYRDTAGGAHVDVTAEDTVVERPEQVVDAERSTEVERERVRVEAAEDIAAARAAAERGAHQEAVGILESRQQAVAQSEAALGGDATMQSLGAELHEMRQLVSNGNSYARSGRAFMLSGMSRHAHQRASANMLNMAGDMNMGAEEASSFATPAMRAMLLRSRRAREESSVEQPPPSKAGKEAESSAVHYQNQK >Sspon.06G0008180-1P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6C:36909081:36910038:-1 gene:Sspon.06G0008180-1P transcript:Sspon.06G0008180-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASASRLLPPVPPQAAQLRGPRDRLAVPRLRCRAAAAAASATDGAVLLERGGLAAVAVREFVTLDELRAAVRLRVRTFYEYAVDSVGTELGSGFGDLRESGGLTRIAGVEASPVVVVAGVGVAWGEAAVAPLARHCGKG >Sspon.03G0016610-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:39385225:39390806:1 gene:Sspon.03G0016610-2D transcript:Sspon.03G0016610-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFSPLVFLFTSANPVLCVFNPPGNGQPAKELRKNISSYSAFIAEWFTHELTRVPGKTNSELQLKDSKLYAKYCRICVPITHELHFAGQVAVAQTKIERLTTKFIVLTDER >Sspon.05G0007660-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:17721197:17728553:-1 gene:Sspon.05G0007660-2B transcript:Sspon.05G0007660-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDAPPAAPVMTPEEVQAEAELRMRDVSQRFGAIPEDHDELLRLLEEAEMWLSRVDQSPPESMYNALRPTMAVLITNELLEHPDPNVKVALTSCLTEVTRITAPEAPYDDDVMKAVFKRIVDTFADLDDMNSPSFSRRVSILDSVARVRCCVLMLDLDLDHMILDMFRHFFKTASTRHSEQVTHCMEIIMLFVIQESDDVHAELASCLLQNLTKEAQETLPASFGLAERVLGLCRDKLKPVLHELLKGTPLDGYSNVVTTLFQDAGENNVDASGKDMAAEGKLSEKSVSDESPQETSKVDQNVNCLGQEGTSPSSTPTTAIGNGAAPVDNVKSPDGPDSSKQKQELTSGEEQTPDSSKQKQELTSGDEQTPDSSKQKPELTSLDKQTKSSKQLISCDEEVQEPVTVETEKLSDVNSKKDHKLGSSIGSEMSEQSKVVKDNETLVASELSPETNDGDKKQLSETGNITAEESSKPTDTKPAVVKPKRGRPPAAKSQEKKPVGKKHASDLKSAKLDPVTDSGGRATRQLNKDAAKSSSTKAAEGESGKKQHKTSMKLQKEDAVSDKDTDEDISLKEMVSPTRIDKSKGQQEDGGASSKRKRLQEAQETPLSKKNKMLDENLVGSRIKVWWPDDKIDRSTKDTANKVAEAKEAQQNSCVISTNRHSNLSQVVENSDTCANSTKRERVMHVFH >Sspon.07G0005280-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:8888831:8889563:1 gene:Sspon.07G0005280-2C transcript:Sspon.07G0005280-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATAVAVTTSVCTSSGRSRGGPNVRPQARLACSLLLVRPPSPRRRLRMALAASSGKQKPPGEEEEKVPAWAKPGADEPPPWERQGGAARGPEEARQVPFYAYLLASAITAIAAIGSIFEYTNQRPVFGILGSDSALYAPILGFFVFTGIPTSVRIPDMRRAACLPLQRLLCR >Sspon.05G0010160-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:23915486:23938909:-1 gene:Sspon.05G0010160-2B transcript:Sspon.05G0010160-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEVLVAVEGGRARDYGGGVTFSVAVTSLMAASCGLIFGYDIGVSGGVTQMDSFLKKFFPEVLRGMKNAKRDAYCKYDNQLLTAFTSSMYIAGMLASLVASRVTRRVGRKAVMLKGGVMFLAGSLINAGAVNTAMLIIGRILLGFGVGFTAQAAPLYLAETSPTRWRGGFTTAYHFFLVAGTLVANVANYFTNRIPDWGWRVSLGLAAVPAAVIVTGALFVSDTPSSLVLRGEPDSARASLQRIRGADADVEAEFKDIVRAVEEARRNEEGAFTRLLRGKGYRHYLVMMVAIPAFFDLTGMVVISVFSPVLFRTVAVSWVLAEHLGKHQAVTMPKKYAVAVVVLVCLYTFSFSVSWGPLKWVVPSEIYPVEIRSAGQAITLSVALTLSFTQTQVFISMLCAMKYAIFLFYSGWVLAMTVFIAAFLPETKGVPLETMRSALVLEEVRRSSVGVRDYGGGVTFSVVVTSLMAASCGLIFGYDSGVSGGVTQMDSFLGKFFPDVIDGRKSAKVDAYCKYDSQWLTAFTSSLWIAGALSSLVASRVTRRVGRQAIMLIGGVLFLAGSIINAAAVNIAMLIIGRMLLGFGLGFTLQAAPVYLSETAPARWRGAFTSAYNAFVVVGILSATVTNYFTNRIPGWGWRVSLGLAAVPGVAIVLGAFFVSDTPISLVMRGQHERARAALQRVRGDDADVDAEFKDIVRAVDVARQNDDGAFRRLFSKEYRHYLAIGVAIPVFYEFTGHDRHLHLLAGAVPDRWRAILGSVINSMTNLASTLLSSVVMDRVGRRFLFVVGGLGMMLCEVAISWIMADHLGKHGGVTTMPRSYATGVLVLICMCTFSFGMSWAPLRWVVPSEIYPVEVRSAGQALSISITLCISFVELQVFIALLCAMKYAVFLFYAAWLLAMTVFVVMFLPETKGVPLEAMQSVWARHWYWRRFVKDARQDSQGGHARDDYGGGGVTVPVVVTCLMAASCGLIFGYDIGVTGGVTQMESFLVKFFPEVSSGTKNAKHDPYCKYDDQRLTAFTSSLYIAAMLSSLVASRVTRTVGRSAVMLIGGILFLVGSAINAGAVNVAMLIIGRMLLGFGVGFTTQAAPLYLAETSPARWRGAFTTAYNIFQVLGALAATVTNFFANRIPGWGWRVSLGLAAVPATIVVLGALLVPDTPSSLVLRGDTDRARVSLRRLRGPGAETDAELKDIVRAVEDARRNDEGAYGRLCAKGYGHYLVMVVAIPSFFDLTGVIVMAVFSPVLFRTVGFSSQKAIFGSVILSLVNLASSLLSSFVMDRAGRRFLFLSGGAAMMICQLAMSCILAGHLGKHNDEAAMPRDYAVAVLVLMCLYTFSFGVSWGPLKWVVPSEIYPVEIRSAAQALTVSIALCLSFAQTQVFVSLLCAMKHAIFLFYAGWVLVMTAFVAAFLPETKGVPLEAMRSSVWAGHWYWRRFVRDAKQEVQVNCL >Sspon.01G0035340-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:10295416:10303965:1 gene:Sspon.01G0035340-2P transcript:Sspon.01G0035340-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMVASAPSRRSSVRMRASAAAAAPAEPKKTVWVWTESRQVMTAAVERGWSTFLFGSKDLGKDWSSTARINPLFIDGLEIFNGENQKVAVISQVSSPSELDLVQPDNAEAENIVIDFQGGWQVIPAENIVAAFQGCKGTVLAVSTNSTEAQVFLEALEQGLDGVVLKVDDMDDIIKLKDYFDRRNEARSQLPLTKATVSKVEVVGMGDRLIVFVWIFAVSCDLAKAFWFVGSYARGMFLVHSECLETNYIASRPFRVNAGPVHAYVTVPGGKTSYLSELGSGKEVIVVDQNGLWRTAIVGRVKIESRPLILVEAKDNSGDDTYSIFLQNAETVALITPDTGSSGRTAIPVTSLKVGDEVLVRKQGGARHTGIEIREFIVEK >Sspon.02G0016260-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2B:33292385:33293026:1 gene:Sspon.02G0016260-2B transcript:Sspon.02G0016260-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVDRLIKPNIALLLQCGLSVRDIAQLCSRVTWLLTFNSERVKELVPRAEELGVPRSSGMFKEALAAVACTTKENCAARPDFLESTLGCSKSEVATAVSKKPDIIAISDESLLRKIQFFINEVGLDPHYILQRPVLFTYSLEKRLVPRHCVMKALLAKGLLNRNVSFYTLTKTGEETFRLKFVDPHNDSVTGLGDAYATAHSGSVPHSSAITS >Sspon.05G0004030-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:7436076:7437632:1 gene:Sspon.05G0004030-2B transcript:Sspon.05G0004030-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homodimeric diiron-carboxylate protein, Cyanide-resistant respiration in mitochondria, Reactive oxygen species (ROS) scavengin [Source: Projected from Oryza sativa (Os04g0600300)] MSSRMAGAALLRHLGPRLFAAEPAVSGLTARGVMPAAARLLPARMASTAAEAAREGAGAKQHGHGGTEKREEAADGQSKKAIVSYWGIEPPKLVKEDGTEWKWPCFRPWDAYTSDTSIDVKKHHAPTTLPDKAAYLIVKSLRVPMDLFFQRRHASHALLLETVAAVPGMVGGMLLHLRSLRRFEHSGGWVRALLEEAENERMHLMTFLEVTQPRWWERALVLATQGVFFNAYFVGYLLSPKFAHRVVGYLEEEAVHSYTEYLKDLEAGIIENSPAPAIAIDYWRLPADAKLKDVVTVVRADEAHHRDVNHFASDIHYQGMKLRDTPAPLGYH >Sspon.08G0013170-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:64076500:64081683:-1 gene:Sspon.08G0013170-2B transcript:Sspon.08G0013170-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTPHPLDPSVPVVVGGGKEEAREAAAAAAAAAPTAVPVPVPPVGNDDDEGPRRVRKPYTITKSRESWTDPEHDKFLEALQLFDRDWKKIEAYVGSKTVIQIRSHAQKYFLKVQKNGTGEHLPPPRPKRKAAHPYPHKASKKVLQVVLPQQASHIMEQGCGTPMDTATVATDSSAKDVFPVQHFSPRHTQGATYNNSSSIGSQSGTWPTSEGTEQEIRHPALSAMPDFARVYNFLGSIFDPETNGHLQQLKEMDPIDAETVLLLMKNLSINLTSPNFEEHDNEQVREAGCPQAKGVGVTLEHGDVLIGVLASQL >Sspon.02G0023050-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:76880503:76881290:1 gene:Sspon.02G0023050-2B transcript:Sspon.02G0023050-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPLHLLPLLLLVAAGNAKTTPPSVAAAAPAAETVGGHHPPQAPATPPWPEQYHAVVITNQTARGGRLQQIDIYYDWPRGRALNIIRDQLDSGGEPLWDVQWANGTSFVFDSASCTTFQFAVGLLPPDWKKARGGAAYLGRDRVDGFDCHVWSNFVFARYYEDAATGRPVSWTVVNGTGMQRHVLSFEVGGVPQDSTKWQAPPYCFNGSRADGGAASP >Sspon.01G0005090-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:11485427:11487216:1 gene:Sspon.01G0005090-2B transcript:Sspon.01G0005090-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein UPSTREAM OF FLC [Source:Projected from Arabidopsis thaliana (AT5G10150) UniProtKB/Swiss-Prot;Acc:Q9LX14] WRRAERGGRRPPVGRVEASPERGRPTAYALSARPAPARPMRKVQIIYYLCRNGQLEHPHFMELAQHPHQPLRLKDVMDRLTLLRGKGMPALFSWSCKRNYKNGYVWNDLSENDVIYPSDGVEYVLKGSEIFPGCSSDRFQHLRVTDRSPTKPPLALPHMSHKQYADSYRDDAVEDREDDELGYSYHHRRTASSGRLVAARADKPAVSARTTNRSRPVELPVEETSPPSSTSSDKPPATAPQQQQQPGRRASDMLQEPEPSRPGSMLLQLIACGSTAPAAAGGGGSGKCRAEPRRSCGLVSRLSARGGADEDEDEDEEEEAAAGGDLGRLFGHLAVQDKEYFSGSIVEGAGGRGTPLPASSLKRSNSYNEER >Sspon.01G0045020-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:86814318:86816599:-1 gene:Sspon.01G0045020-1B transcript:Sspon.01G0045020-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGLPTLDLVEQAAPFSAAEIAAAIKETPADRAPGPDSLNATFYKTAWSIVGPDIVRAFRALWESDFRSFHHLNEAVMVLLHKTHAPSGLKDHRPISLIHSVAKLFSKCLALRLAPRMHELIKPNQSAFIQGQIHENFRTVQLTCRWLHAARRPTALLKIDLAKAFDSVAWPFLLEVLEHAGFPLRWRDWISGLLGTASTKVMVNGRPGRHICHSRGLRQGDPLSPLLFVIVMEVLNALIGEADRRGLFAPLPGRIRQRASIYADDLVIFLSPVNSDFANIRRILETFAGASGLVTNVDKCILTPIRCTQPQIDAVRQIFPCKVQEFPTPYLGAPLSLTRISHANEHRLVDAVAARIPAWKGNLLTTAGRRTLTQTTLSAIPVHISICCGFSAWAVREIDRRRRAFLWAGSQTVSGGGAGWHGPLYAPRRTTVASGSPTSRHWGSPFALDRTAAAMFAASVTIEIGDGASTRFWTDNWLPAGTIRFIFPNLYKVVGRRRLGHSVRAAMTDYQWVQDITGARTAPVLIEYVQLWMMLRDVQLRPLESDRFVWRWTADGQYSVRSAYQAYFEGWTKLAGAKELWRAAVPPKVKFFFWLALHGRLWTADRRRRHGLQPAATCVLCDQADETTDHLLCSCVFSREVWARLLLPIGLNTLTPCQNSTLIGWWLPARVALPRTLRRSFDSLVLLVSWCIWKERNARTFDHRAATSAILAQRIITEADELIGSGFSSIGLLTALAT >Sspon.01G0046160-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1B:96618992:96619273:1 gene:Sspon.01G0046160-1B transcript:Sspon.01G0046160-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHDVNMVTTQLSRQQAKPGRLELNHRGLSAPARTASAPVRGRHATRARRRRVLRGPCAPGNLRTKARVQRATLARGPPHRPQKRDGESCRIR >Sspon.08G0011180-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:46621266:46622868:-1 gene:Sspon.08G0011180-1P transcript:Sspon.08G0011180-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSETTEVRLHGAWGSAHAAMARNALALKGVQYEYVEEDLDDKSQALLRLNPVHGKVPVLVVDGRLLAESLVIIEYVDEEFHNAVAADFSFAHSLENADGEQAMRPATSLRHPLARASIVAGARMLRAYGLPSAWGDSGAIHE >Sspon.04G0012890-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:44870968:44871207:-1 gene:Sspon.04G0012890-1A transcript:Sspon.04G0012890-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSAPVNQAEGPAAVLAIGTANPPHSVTQDEFPDYFFRISKSDHLTDLKVKLKRICKLGSHSILKITPNYQ >Sspon.04G0019090-3C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4C:73219205:73225087:-1 gene:Sspon.04G0019090-3C transcript:Sspon.04G0019090-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMIQQLNKTWDWRCIIGSRGIGSRGSNWDMGIWSRGGFVGVLDELASHGTQTEETMQSVPHCDHAEVSDSQPASSQLNLIERSTEHLASSEIKPVSVDDDNENIDANEETHLVIQDVPQCRICLDNEVCVCFVAYLLGVGDDLIAPCRCKGTQKYVHRSCLDNWRSTKVVAFMGMVVYRFYGDELREMFGYEEHPYAFYAMAILAIVLVGLLYGFFIAIICGQRITERHYHVLAKQELTKALNLELTFLYILFQINIDDESGKTYRKQILG >Sspon.01G0006010-3P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:15303243:15305570:-1 gene:Sspon.01G0006010-3P transcript:Sspon.01G0006010-3P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MESMDKEVKRSRLAEQLYKHYAATAIPKGIHCLSLRLTDEYSSNAHARKQLPPPELLPLLSDNSFQHYILASDNILAASVVVSSTVRSSSVPEKVVFHVITDKKTYPGMHSWFALNSISPAIVEVKGVHQFDWLTRENVPVLEAIENHRGVRNHYHGDHGTVSSASDNPRVLASKLQARSPKYISLLNHLRIYLPELFPNLNKVVFLDDDIVVQRDLSPLWAINLEGKVNGAVETCRGEDNWVMSKRFRTYFNFSHPVIARSLDPDECAWAYGMNIFDLAAWRKTNIRDTYHFWLKENLKSGLTLWKFAFRGHVHGIDPSWHLLGLGYQDKTDIESVRRAAVIHYNGQCKPWLDIAFKNLQPFWTKHVNYSNDFVRNCHILEPQHVKE >Sspon.01G0040220-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:39310563:39311485:1 gene:Sspon.01G0040220-1B transcript:Sspon.01G0040220-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MITFARLLKSIDGADSLRALFLSSDSVRTLSLPRAPPSPQCPLPSPRSLSLSASLTSPSLLWQHPPDTHPCDGSSLYPTAGTWLPLLFISPRSSTGGASFYLKLVRRTGRPDPSPALVDLAATGSTTVEPALAWADLAATGSNTVDPAPMSVDLALARADLAAAGSTTADAVPAPADSVAAGSTTVARGGGRLGAQRPWMGSTPGPGWARVWACLFLIF >Sspon.06G0005220-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:16533310:16534397:-1 gene:Sspon.06G0005220-3C transcript:Sspon.06G0005220-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRGGGSKSSSLYAVLGVASDCSDAELRSAYRKLAMVGDLGPDSVIAPCLLGVSSSPVRLPCFAQRSDSAALLTGVLLVCVGGQKWHPDKCAGAGSSAGSADAAKARFQKIQGAYAVLSDPNKRILYDVGAYDGEGDDDVRRRGDPRRYSGGHEPGRSRRKNSSAAATETFTLNFVHQFLLQDNNGKGESLEDLQRQFEELFLRPSPSSFSPVRVIIFMSPSPSAPPWVRSAFSAYRYRCSCTWATPATVRRCAGPGDAATLT >Sspon.07G0008400-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:18891682:18892806:1 gene:Sspon.07G0008400-3C transcript:Sspon.07G0008400-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GVRRPPVHGVRPPVPQPHHVRRHRGHRRLGHQLQHRREQELAYGRDAAGAAVPDLLPDRQPGDGVLRHVRAHHGRRRHRHLAHRPARRQPGVPRQHDVRRGVLPRHLDPHRPRHGAGVQGDQPQRQARELGHPGGVHHHPDRDAAALRRVAPRRRARGHPGDGAYRQSLA >Sspon.04G0005110-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4A:14681202:14684628:-1 gene:Sspon.04G0005110-1A transcript:Sspon.04G0005110-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent DNA helicase homolog RECG, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G01440) UniProtKB/Swiss-Prot;Acc:F4INA9] RLVSSYKKLLRALPYSLTQSQMNAVKEIIWDLRRPVPMNRLLQGDVGCGKTIVAFLACMEVVNSGFQGLKTGEIAMVIGTHSLIADKTDFSSLRISVIDEQQRFGVVQRGRFNSKLYTSSSKLSDENTSSDETSDSETFMAPHVLAMSATPIPRTLALALYGDMSLTQITDLPPGRQPIETLALEGNDVGFETVFQMMRDELIDGGKVYLVYPIIDESEQLPQLRAATAEFDSIKKKFEGYPCGLLHGRMRSNEKEETLSSFRSGETRILLSTQVIEIGVDVPDASMMIVMNAERFGIAQLHQLRGRVGRGERKSRCIFLASTSSTLPRLKVLEKSCDGFYLANADLLLRGPGNLLGKKQSGHLPEFPIARLEIDGGILQEAHLAALKVLVASNDLALYPRLKVELSMRQPLCILGD >Sspon.01G0038130-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:27529839:27536105:1 gene:Sspon.01G0038130-1P transcript:Sspon.01G0038130-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADDEQAEKKEEVPELAPFDPTKKKKKKKVVIQEPSDEVDNLAEKTESLTVAESSEPSFAGMKKKKKKHVELDPSLIETGDGDDAGDDQVREDEEGEGIVLSGAPATRYPWEGTDRDYKYEELLGRVFNILRENNPDLAGDRRRTVMRPPQVLREGTKKTVFVNFMDLCKTMHRQPEHVMMFLLAEMGTSGSLDGQQRLMNMSYAMAARVRIPFFLKRTGCSSSDASSWVRKSEDKGIPHKCGSSRSVAPIKAGFVAQVGRRKAGS >Sspon.05G0011400-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:24248285:24259307:-1 gene:Sspon.05G0011400-3C transcript:Sspon.05G0011400-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ASAKTKLQLQERVPLPRTAWKLADLAVLFLLLALLARRASSLVAAGGAAPACTWCWVAALICEAWFTVVWFINMNAKWNPVRFDTHPERLAQRCDELPAVDMFVTTADPKLEPPVVTVNTVLSLMALDYPTGKLTCYVSDDGCSAVTCYALREAAEFAKLWVPFCKKHGVKVRAPFVYSSGGAAERDGAADDDAEFLRAWTLVKVEYEELVRRIENAKEESLLRRGDGEFAEFVLWDSSSKSKSNQAAGDGIPSLIYVSREKSPTQHHHFKAGAMNVLVRVSAVVTNAPIMLNVDCDMFANNPQVALHAMCLLLGFDDELHSGFVQAPQKFYGGLKDDPFGNQMQVIYEVVGLGVAGLQGIFYAGTGCFHRRKVIYGVPPDSTTTIAIKGAPSYKELQKKFGSSKELIESARSIISGDMFKAPMVVADLTSHVEVAKEVSVCTYETGTRWGQEVGWVYGSMTEDALTGQRIHAAGWRTALLNPDPPAFLGGAPTGGPGSLTQFKRWATGLLEILLSRHNPFLLAAFKRLDFRQCVAYLVIDVWAIRAPFELCYALLGPYCIIANHSFLPKVSEPGFVIPLALFLAYNAYNLGEYMDCRLSVRAWWNNHRMQRIVSSSAWLLAFLTVVLKTLGLSETVFEVTRKEPSDGGAGDDDDPGRFTFDSSPVFVPPTALTMLSIVAVAVGAWRLLVAGAGEGVPTGGPGVGELVCCGWLVLCYWPFVRGLIAVGRGSYGIPWSVRLKAALLVATFVHLSTRMYFVQAPQKFYGGLKDDPFGNQSQVMFDVELPDFKAYFTVGRVASTVGKSSTVRFGRSNELIDSARSIISGDMFKAPTDLTSRIEAAKQVASELGFLIPLALFLAYNAYNLGEYKDCRLSARAWWNNHRMQRIVSSSAWLLAFLTVVLNTLGLSETVFEVTRKEQSSSDGGDTGADADPGRFTFDSSPVFVPPTALTMLSIVAVAVRAWRAVVVGAAGGVPGGVGPGVGELVCCGWLVLCFWPFVRGLVAVGRGSYGIPWSVRLKAALLVAAFVHLCTRK >Sspon.04G0035020-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:592274:596112:-1 gene:Sspon.04G0035020-1D transcript:Sspon.04G0035020-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSAAARPDEEEARRRRSTDCIYFLASPLTCKKGSECEYRHSDAARMNPRDCWYWFHGNCANPKCSFRHPPLDNLAGAPTTPRPAQQSVPQASVSVPAQPHGSVPAIAKQGVPCYYFQKGMCTKGDRCTFLHAPQSAGNPAPQPPAKVFTPALQPNLHPPLKNSWTKPNSSSQQSTPAVGVVDKPKASAHDGKPLHHKQSLTTSRADHRSRVYQNHSNSYAQPGAPKRYQPQPSVQDGLTDNGMEAGEFVREPSAGSGVIVGAADDDAQQSFKGNHTTYNHRANGTGGMTRQTHGGYESERSYRNSAERSSSEKRISQREHIPAVVASSSDLRHRLLKQRKLNNNSGSTEAPGKHDTHLEDERNDQHRRRGEQQDGLSRSRLRDRIRLPGETSFDRLGSRSEEWDRGSRARLSPPKPSDLRGRLHERLKARSAEEIPGNSVKDLAVKESSSEDTDSLNFAGPKSLAELKAKKGVGRSGEDAIVKGLGSSRVTSEIVSSREAAPFEGPKPLSAILKRKREAASEIPAAQPGIIQEADNYTAGAEEEFQTVANDTVGENMEGIEEEEEEEEAFHPEDDVAYADEAAAAQELDEYQDAEAAAEDYDDEAAAAQEVEEHQDGEAAAEAEDYDYEAADVNAEEENDYQEYQDDDDDLEDEDDDFARKVSVMIS >Sspon.02G0001720-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:5633761:5636766:-1 gene:Sspon.02G0001720-1A transcript:Sspon.02G0001720-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHEHTRARAWDWEATVRKVQHPQPVGRRRVSPMLAADDSDTASSSASSSSSAGGDDGEHVHGYVERGLPNGDFYTGQWRGGAPHGAGKYLWTDGCMYEGEWRHGKATGRGKFSWPSGATYEGEFKGGFMDGSGTYTGAAGDTYRGSWSMNLKHGNGKKSYANGDQYDGEWRAGLQDGAGRYTWRNGTEYTGQWRAGLIHGRGALVWSNGNRYDGGWEDGCPRGQGTFRWADGSVYVGYWTRDAPTGIVQQNGVYYPSPAASSPTARDPRDVFARDLPGFMGASSDSASPRKSRASSGIRAANGRASSVSGLSNSSGGDRKYDKICIWESDGDITCDIVDRPALGDEAVTARRSVRTDDGGDDRGLPPPSPAPHVTQWVPPREAKRQGETIAKGHKHYELMLNLQLGIRHAVGKQGPIVLDLKSSAFDPKEKVWTKFPPEGSKYTPPHNSCDFRWKDYCPQVFRTLRKLFKVDAADYMLSLCGNEALRELSSPGKSGSFFYLTNDDRYMIKTMKKSEVKMLLKMLPAYYNHVRAFENTLVTKFFGLHCVKLAGANQKKVRFVIMGNLFYSEYPIHRRFDLKGSSLGRTTDKPQTEIDQYTTLKDLDLNFIFRLKKQWFHEFQRQVDRDCEFLEQEKIMDYSLLVGVHFIDNTEKLLTEGRFDYEIINNGPTPRLSRGNTDRFLADPN >Sspon.03G0036630-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:30048061:30049456:-1 gene:Sspon.03G0036630-2P transcript:Sspon.03G0036630-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASLGLNPEALFPSYSSAYSSSPFMSDYEVSFPAAANAVDYSATVFSAELDDLHHDYSPAPIFAGAGAGAGGDHNEKMMWCEGGGDDKRLRSSGRIGFRTRSEVEILDDGFKWRKYGKKAVKNSPNPRNYYRCSSEGCGVKKRVERDRDDPRYVITTYDGVHNHASPGAAAIIQYGGGGGGGNSGFYSPPHTHSGSPSGASYSGSFVF >Sspon.05G0006540-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:14613130:14613882:-1 gene:Sspon.05G0006540-2B transcript:Sspon.05G0006540-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAINSQPFVADIEPVKKANGDMPATTGINCQRQQQFGKAPMSRNSKKSPNGMAIPRRPMVHRETVERQNAQNNVNDQHYHQSTEDNPQHQPLLQAHHHPIANGFDFIYPPAPVGRGLIHSTAGGVLGGMAEVVLPWALRGQLPASLYYTSPYHVATQNVNHRLRRHQMEIERSLHQIWFFLFVFVVLCLLLF >Sspon.01G0051500-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1C:16190961:16193670:-1 gene:Sspon.01G0051500-1C transcript:Sspon.01G0051500-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding PAAGSTTGLRRHLFIANFTASASPRSSLPTSRASPTKEMAATTAPTVPTDGMLMSQRERLEPGAGRGAKGVDR >Sspon.01G0003590-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:10016153:10018408:1 gene:Sspon.01G0003590-1A transcript:Sspon.01G0003590-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear intron maturase 2, mitochondrial [Source:Projected from Arabidopsis thaliana (AT5G46920) UniProtKB/Swiss-Prot;Acc:Q9FJR9] MPPPRRALLTSLLRLRSFSSIAYPHPHPHPPAPLRRHQFVADPTTSTSRGVIGGIGGASGNPFDPTQLLRDDPVAITASLWVSSFRAASASSSSSSGSGSGSGSGSCTPAPPQSLTPFLSRLELWVLAYQKAYADETGSYLPRSSIPASTLASLLTLRNAVLDARFRFGNRLTPFLQSPRAANAPDPATLSKRKLRALLTTPGPPPFQDRVVQELLLLLLEPVYEARFSPKSFAFRPGRSPHAAIRTIRRSFAPYLWYIKGDLSPLLHSPDPALVVGALIRDVRDKKVVDLIRSALLTPVVTASEDAAAKKKKTKRKYQKKKVLPEGEPKPDPYWLQTFFGFAPEEAQTQPDWGHCGVLSPLLANVCLDELDKWIEEKIKEFYKPSKSDVVGGDDGIEQGNTSWPEFVPTSGSDKTRKVDYIRYGGHFLIGVRGPRADAAVLRKQLVEFCDQRFRIKLDNESLPIEHITKGVMFLDHVLCRRVVYPTLRYTATGGKIISEKGVGTLLSVTASLKQCIKQFRKLEFLKGDREPDPQPCFRMFHATQAHTNSQMNKLLLTMAEWYRYADNRKKVVNFCSYIIRGSLAKLYAAKYKLRSRAKVYKIASRNLSRPLKDKKGQSPEYHNLLRMGLVDSIDGLQYTRMSMVPDPDYTPLPSGWRPDHEKILLEYIKLTDQQTLEEQRNCIREEGLITPQDYISMLVWGYKKNAVLLPSKVSDAQGSTEDLGSDTDELDEKELGNEGNKSFPKLAEMA >Sspon.02G0012210-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:32596390:32598237:-1 gene:Sspon.02G0012210-1A transcript:Sspon.02G0012210-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRSALRRGGAAARQVALAGEASPRDFLRMRVAERERARRRRRDPGRDEFFVPTPESLAWLDSVSLPMVLTAAAVALFTKLLMMEHEATDQERRERKIKNSHPDQGKVRMLTREEWEEVQEVRPRTPFESKLARPHARIRTGEPVRLEDVKDWATDVIADSFTRAEETTKRK >Sspon.02G0019890-3D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2D:60267879:60270934:1 gene:Sspon.02G0019890-3D transcript:Sspon.02G0019890-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTTSEERRQRRRLAKFQDSSAAPSLKPPATAPAPARLTPAAERCGALREMRRVWWVCGVGYCVQGFRCFPWLALNFHLTRGLSLSPAGLQLVQSAGTLPLVAKPLFGVLSDAVYIGRAHRLPYISLGVMLQLIAWGTLAVTPVTGDAFPTQMACILIGNLGASVTEVVSDAVVTEFSRTQKAGVLQSYAFIALAAGSLLGNLSGGYILLKTQEPKIMFTAFSVLLGFQLALSLSTKETLPNSRGNSRNRLVKSSLAANFRKQFSNLMTAISEERILYPLTWIMTSFAVVPILSGTMFCFQTQYLNLDPSVIGRSKVVGQVMVLSLTVLYNRYLKRIPLRCLTSGLQILYALAVLSDLVLVKQVNLVLGIPNEIHVLCFSALAEALAQFKVLPFSVLLSTLCPPGCEGSLFAFFTSGLVFSAILSGVFGVGLSTLIGVSSVDYSSLSLGILLQGLAALLPLGWISFVPEKWTADDKVVI >Sspon.08G0030100-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8D:55564569:55567724:1 gene:Sspon.08G0030100-1D transcript:Sspon.08G0030100-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVVLVALADGGPYRRALPPHHRALLRCRLARAYRTYHVPAKNSHTRLRYNTRGSEEGSCARDEAMNPGRGGGGSHRRRGGRGGRRRPGGSGKRAEAVGRWWWWDLRRDPCFVFRICLVLSPSSEWGA >Sspon.04G0000510-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:1944811:1952653:-1 gene:Sspon.04G0000510-2C transcript:Sspon.04G0000510-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRRGGPAPATAVASPAVLGTVAVMALVYYSTVFVFLDHWVGLATPAGAAHAAAVSLAVAACFLAFVCAAGADPGTVPSAFAPDAEAAQGQGLKSRYCDKCCMFKPPRTHHCKVCKRCVLKMVCTIFVDADAAYVLTYASAIIKRHGNVVDGRIITVSGSTTILAGVLLFFLSLTIGSLLCWHIYLLCHNMTTIEYREAVRARWLAKKSGQKYRHRFDLGIRKNIQMILGPNILCWLCPTATGHLKDGTDFQITNN >Sspon.08G0008180-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:31855455:31855802:-1 gene:Sspon.08G0008180-2C transcript:Sspon.08G0008180-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MANHQEKPTTPPPPPPPEGRVARPRLPGGGSAHAGSAGYPNPPDAAIPDAATLRDQWRRWYSHAWGTAILAGAAFFALGWLVKGSNPLPSRAEPQHDANSKSVAKEEN >Sspon.02G0016140-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:44230467:44231669:1 gene:Sspon.02G0016140-1A transcript:Sspon.02G0016140-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MECEPEELQSWASWACIASPRRSSVARSSRASWGRLRPLALPPPHLHLPCTLHPHQLPRHDARHTSSPGTRSGCQRGESMRIGISQRHPTDTHDRLWYGKSGPQWRNMSTQLDIQPDPSFDVPSSILQTAVEPAGNNSTSLTVIAGREYRNTYRFMAFLHFADFNNTQVRGFDIYVNDKPLMSKPYSPQYLAGSCVRSPEWYSAPDGKHN >Sspon.01G0004750-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:11285078:11288506:-1 gene:Sspon.01G0004750-3D transcript:Sspon.01G0004750-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein CutA, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G33740) UniProtKB/Swiss-Prot;Acc:P93009] MAGEARKVEAELQLSALAVEAALVRVGARGLSSTRMESASTTVPSIVVYVTVLNREAGKKLSHSIISEKLAACVNIVQSDAEELLIIKTRESLLDALTAHVKANHEYDVPEVIALPITGGNTKYLEWLKNSTRDN >Sspon.01G0019760-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:72426729:72427283:-1 gene:Sspon.01G0019760-3D transcript:Sspon.01G0019760-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding WPPAASSCSSPLSPRPSSPPTRGRATPSSSPSPPIPTPTPTPAEATAPSTTTSASPTSPRSSLSSASAASVRTSPTATAATPTSTPSPPTSRSTAPISRTPPRPRPPGPRSAPGASSWSSSGSSSASPAARSPLPPCTSSGPWWPAPPRPPRTTSSTTRRRRRLTRRAPGKVGYVVIQELEVHDG >Sspon.02G0055320-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:3737784:3740331:-1 gene:Sspon.02G0055320-1D transcript:Sspon.02G0055320-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSLWSAAIQGARNLLVIYNREQLAFLNDDGHHQLPLFTSEGRSPGDGPSDAYEATKVIKGLLLIQGHGEKEMIRLAFGPEVLLHTVMAEARKNLGLLPAPGSGPGSRQIYLTFPADSTFREEDDSNYISIYGPVRDVRILYQQKRMFGFVTFVYPETVKLIILAKGNLHFICDARVLVKPQAWTKLMPRRT >Sspon.03G0030000-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:19390410:19404280:-1 gene:Sspon.03G0030000-1B transcript:Sspon.03G0030000-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQLLLLFLLLVYVRPSFSQTNSQDVAALKALMNNWRNEPESWTGSTDPCTSWVGISCSNGRVTEMRLASMNLQGTLSNAIGQLSALKYLDLSNNQNLGGPLTPNIGNLKQLTTLILLGCKFTGNIPEEIGNLSQLTFLALNSNNFTGGIPPTLGLLSNLFWLDMSANQLSGQIPVSPGLNQLVNTRHFHFSENQLTGPMSESLFSDKMNLIHVIFNNNNFTGPIPASIGKVKSLQIIRLDHNQFSGPVPNSIAALSNLMELSLANNQLNGTVPDLTDVTQLDYVDLSNNNFASSPAPGWFSTLTSLNTIFMDNDDLNGAIPSAMFSLPNLQQVSLARNAFSGKLNLTGNISSQLQIVNLTSNQIIEANVTGYSNSLILTENPVCLDNISFCTLKQKQQAPYATNLGPCAAIPCPFDQSASPVTSQNCACTNPFQGLMIFQAPALSDVISPTMFQLLESTLMQNLSLAPRSVAISNVQFSPGNPLIFTVKIFPASGTSFNRSEVIRIVSPLVNQTYNAPTNFGPYSFIASTYFPAPSNKKSSMGKAAIIGIAIAGVVLILGLIVVAIYALRQKRIAKEAVERTTNPFASWGAGGTDNGDAPQLKGARYFSFEELKKCTNNFSETHEIGSGGYGKVYKGTIANGQIAAIKRAQQGSMQGAAEFKNEIELLSRVHHKNLVSLVGFCYEQGEQMLVYEYIPYGTLRENLMEYYGLKGLIDPKIRDSAKLIGFRRFIQLAMECVEESAVDRPTMNDVVKELEIIIQNEGAQLLNSASLSVEQFGNEKSRDPYAEHLPMNDESSSNTFDYNSVYSYSAVQPKYQLTVAALQSLIKVWQNFPSSWEASNDPCGAQWDGIMCNNRRVISMRLSSINLQGTLSNSIGQLSELAYLILVGCSFTGGIPEELGNLVQLSFLAMNSNRFTGRIPASIGLLKNLFWLDLSENQLSGPVPISSTTSPGLDLLTDTKHLTDDQYACFYSCSYHADTLFRSINSHFSRNQLTGNLDGLFSPSMRLEHILFDNNQLTGPIPAELGSITTLQILRLDNNKFIGAVPTNISNLVDLNVLNFADNQLRGTMPDLSTLTKLNVSNSFWRTAWSDSQQDLYLAQTAANSILSNNAFNGTLDMTGNITQQLQQVNLLNNRIVAANITQSYNRTLVLVGNPVCLDPDFSSSHFCSIQQDSATSYTTSVTHCGSTSCSSDQSLDPANCGCAYPYMGKFFFRSPLFADLRNNEHFQLLEASLSRELGLQPGSVFLSDIHFTSDNYLQVQVRLFPSTGISFNLSEVTRIGSDLSNQNYKPPQGIKIIKSNHEKLCLYASYLVSGAADGGKSQVSTGAIAGIAVACGLILIAVTSGAIYSLLQKRRTRELSGRTNPFGEIPNNMADNCIALKATKYLFKKKSIKLSESSWGIAKKDSGGAPRLKGARFFSFDELKTCTNNFAENNEIGSGSYGKVYKAILVDGTNVAIKRAEYGSKQGAVEFKNEIELLSRVHHKNLVSLIGFCYEQGEQMLVYEYVSNGTLRQNLQEYYMTQQLSEKSDVYSFGVVMLEILSGRLPISKGRYIVREFRMAINPNDHDYYGLQGIVDPAIHDAAHTAGFRRFVQLAMECVDESASRRPTMNSVVKEIEVMLHSEVLSSGSSSTLEFEHVGTASTSHLYSEEVVTVR >Sspon.08G0004040-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8A:11921868:11922952:1 gene:Sspon.08G0004040-1A transcript:Sspon.08G0004040-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ITLLKGFHRSLALVLPSIQRNMIQMIEATMAAEVIGYSTRCDDPDQSFPGDFIGYRYVVHWPLDKSKAKFLPTVSSPDDVITIDKIHECSEVHFLSDVCLSLSLSHLLQRRFYRLHCAESRHWVAHKFVLEGLLMTRDGAIDYKRVFKYIEQAIQEMWGVKTGDDIELHADVKTAIVDFLIKSK >Sspon.08G0016570-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:59759624:59765120:-1 gene:Sspon.08G0016570-2B transcript:Sspon.08G0016570-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGKGQRRREKNFRAAHGRDTRVPLPLKHRELEAIPSKLRRLIAFQNKHNANADASSGGAPGKQEDGLRKNKPATDKAKDKKTKKQILEAPADSKASEIEGSEDGSAANENVYAEGSKGKRKRGKAKDLRFDELDKNIFWSYYLTINFKHLDEKKKKRKGNKVETVPDFPGRENFKFGEVVEAPPKLSFPKVKSALDASCEMLRKEISEDYFFEVFCVVIFLSPLNGLLNFEGNFILHYRILAKHVEEPGECVPSCVFPSNQKIEDYVTQFTVVLLLNEVKCCFKTDGKESDGLGNHLAVFSKTGLDS >Sspon.05G0015820-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:63440162:63447022:1 gene:Sspon.05G0015820-1A transcript:Sspon.05G0015820-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAWGQFMARPSHHHSSSITSTSIEYLNGRRHSTKGHASMVQVPRELMVKHYPHFMEQTGCHSYHSKSVLGAIYDEALSQIENRLPIEISFLQCFTDVEVSEMCMERWRNRYQEYLWETRSLFLLDFKEGKNMKLQQVHAKYKQMLYSAAKFEETPRDHQTVFDEACAIYQIAYGSARADGDVSKCGFAWNVAGDALCHLYVLKHGRSSVSIVRRRLHKEQIMLVGKPFSLFKADVISTNRIPDLNLGGLAIHGDDAGAVLDADGKVVHRPEPVVRELQQQA >Sspon.03G0029480-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:14853205:14858603:1 gene:Sspon.03G0029480-2D transcript:Sspon.03G0029480-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPPPVAASASPAQADQLAAAAASVSTPQNPNPNPLLSPQIPPSPTVSDLSAHISSPQQLDPAAAAAAAAASGGGGSMDYPPRPPQLQAPSPTQAGAGAAGFGQIPRSGSASRLSATSQLQQYAAARMYGGQMSFSGGGGLVGQQQQQQLAGRAPMLGQGQLGMLQGQGNAASGAHFGLQSQMMAQVNYSIWRLGTSPCKHNFASPRSQPGTPLSVGTMTGGSASQGAEGTSQLLGKRKIQDLVAQVDPLGKVDPEVEDLLLEIADDFIDSVTAFACTLAKHRKSSVVEAKDVLLHLERNWHLSVPGFSREDKNPQRNSVKPLVDPQQSESDATGIRGTSNKLGANNSVGNHQIRPPMAEPSAMPTVGPLSKAPRF >Sspon.04G0004180-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:13172290:13176067:-1 gene:Sspon.04G0004180-2D transcript:Sspon.04G0004180-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTPRYLCEIFLQEDGFPTGTSSPIFPGTLDRELSTVTAAHLNSAQQSLARKRAAKNPMSQPEFVFPTSSLQDRELGTETEAPGTVARSSLRSGHGHGRSSGHADLNGSLCCPPSAYLLLPSFSAPQFHRSPARERASASVAVVNGKRGCSFRLGVPTLNVCQSRQRAVVIRNEHAQNADFPRKYSKREKKPFPIPVLELRRRAKERMKAAQGKPKQPMPPPKNGMLVRRLIPVAYKVYNARILLINNLKRLMKVVPVKGCKGEHDWGSTLVESVFLPVEAYHLEDRLGLRIPHDQRFSVPRIPALVELCIQAGVDLPEYPTKRRRKPIIKIGRKEFVDANEDDLPEPEPDRFKQPLLEELNYDKIIAPSSPEETAALAEETLEAWEAVRDGALKLMKGYAVRVCGYCPEVHVGPTGHKARNCGAFKHQQRSGQHGWQAAVLDDLIPPRYVWHMPESGEELQRELKTFYGQAPAVVEICIQGGAKVPEKYKATMRLDIGIPSSLKEAEMVV >Sspon.05G0014090-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5A:51252188:51254083:-1 gene:Sspon.05G0014090-1A transcript:Sspon.05G0014090-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRSRIIPTDYSNGRFLLDLESTGVSLYTVAVPSGHKYDPYWSMDVNTTNLVFNATGMIYIGNQSEITSWVISSIANYYLRATLDPDGVFRQYMYPKKASNQSNQTWSVVDFKPPNICEVQLTNVGSGICGFNSYCIWNGVNNQSTCMCPEQYSFIDDERKYKGCKPDFQPQSCDLDEAATMQFKLILMSRVDWPLSDYEQYTPITKDQCQKLCLTDCFCALAVFHDEDNTCWKKKMPLSNGKMGDGVQRTVYIKVRQNNSTQSEIVASNKWKKDKKKWIIGSSLFLGSSVLVNILLISIILFGTYCTITIKEVPSMQSSNNIGLPLKAFTYAELEKATGGFQKVIGTGASGIVYKGQLQDDLSTHIAVKKIDKLEHETEKEFTSEVQTIGRTHHKNLVRLLGFCNEGKERLLVYEFMTNGSLNRFLFGDAKLQWNIRAQLALGVARGLLYLHEECSTQIIHCIRGTRGYVAPEWFKNISITAKVDVYSFGVILLELVCCRRNVELEAAEEDQKILTDWANDCYRCGRIDFLVEGDDEAISDLKNVERLVAVALWCLQEDPTMRPTILKVTQMLDEAAAVPSPPDPTSFVSTLP >Sspon.01G0050310-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:408956:427252:1 gene:Sspon.01G0050310-1C transcript:Sspon.01G0050310-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGMEAEGSAGTGGYATWTSTWSAYMLEYLANLVVNGTKTSSTFKMVHYNGCAKALQEKFGIVRSGEQVKNHLKTWQKKFHKICDLCGLSAAGWDEDTFTITLDDEHYNNHIKRMEVQSDRNANEDNNAATSSATKATNPKKRDKGKKRAMIDQDPLVAAIKWGSTKLAKAIKEAGKPDNDVPADLYDNLMAMSGSFNLTHSSFYHSYLVQHPHIARAFNSLPFEHKFNWVAKHIADNYPGQKRTKKKTAKVGRKKAKTTAGGEEAPTPRTRGALARESAAKAKREA >Sspon.04G0004350-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:13240582:13242605:1 gene:Sspon.04G0004350-2C transcript:Sspon.04G0004350-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium sensing receptor, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G23060) UniProtKB/Swiss-Prot;Acc:Q9FN48] MAPMSVSATLAPPAPPKATARSSARRAPADAASVAASSVAGSAALLALTPAAPAAALSKEDVAGSLIKVVDTVDQAIGVGVKAAEQVAAVLKALGEAVKPALPVLKSASDEALKLAAPVVSGASKQATEALQGAGVDPAPVLSVAKTAAEQSTKVIDAAKPVASATVETITSLGPEGYVVTAGAALLAYLLVPPVWSLVTFSLRGYKGDLTPAQALDKVTTQDYVLIDVRSDKDKAKAGVPQLPSNSKNKLISVPYAVLSSLEASTRLSYNVLASAFSFFFIATQKSSRCCALRLEDLPSKLKGMVRNAKKAEAEIAALKISYLKKIGKGSNVIIMDSYNDVSKTVAKTLNSVGFKNCWVMAGGFSGRKGWAQSRLGTDSYNLSVVEVVTPSRVIPAVAGRTGTTSARIRTTSSASRATTRKLLPGSVD >Sspon.01G0037600-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:23709672:23712581:1 gene:Sspon.01G0037600-1B transcript:Sspon.01G0037600-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFRSLIQDMRDEFGSISRHSLRSRSHRSAGNAGRAAAAGPSEAMDQSCWSQLPPELLREVLMRIEVSESWWPARKDVVSCAGVCRTWRGIMKEAVRVPEVSGKLTFPISLKQVCALRPSSLYKLSNFLGTKFTVYDAHPPYDGAVVSKSRSARVVGLNQVSPRVPAGNYPVSHISYELNVLGSRGPRRMNCVMDSIPASAVEEGGKAPTQTEFPLSSLDSFPSIPFFRSKSARIDSSTSQSSSSD >Sspon.06G0027000-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:82064735:82065795:1 gene:Sspon.06G0027000-1B transcript:Sspon.06G0027000-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTLTMSSVTTLCYSRCSSPLLRSSPPLPLALTPITVRDPTNSCMKGHGDESALNSPLSKGTCVVGAYLKGMEEANMLLPKVHIFRRDEVVYQIRESNIIDSRVKKRYNKDHLLEEVEEVRTTNKAVMMNNELEEKCCMLDKMTLHVYEACIKGMESVTIDNTDVEKRNRNSRRIKVVRDNVVDIRRLLISCAQALAADNHMTARELLKQIKQHASATGDTTQRLAHCFAKGLEARILGTGSQLWQLLMLEYPSVVEFLKAYSLYSEACSFVNVTFIFLAMTNMQAMAGKSRLHIVDYGTRFGFQWTGLLCLLASKESDLPEVKMTIIADPKPICFQENRLSAHEMCR >Sspon.02G0021480-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:73152772:73155985:1 gene:Sspon.02G0021480-2C transcript:Sspon.02G0021480-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEISGTSALTIFLFVVPLLILVSSLRANSSSSSKKRRPPGPWAFPLVGSIHHMLTSQPQAALRDLAAKHGPVMLLRLGQVDTVVISSPAAAQEVLQGNDLSFASRPSLVASEIICYGNLDLAFAPYGDYWRALRKLCVLELLSARKVRHFAPIRDSETMSLVAQIRKIADAGARGEEAVVNLGRLLVSCTNTITGMATFGDGCSGERKEQFLSAVAVVLSHAAGLSVSDLFPSLWFLDVLTGTTRRLWRAHRQLDELFDKIIEECEARWKDESAAAGDNLLSIMLRVRDNEEFAFPFGNANIKAIIVDLFIAGTETISSTAEWVMSELIRHPEAMAKAQAEVRTAFNNISPQHHESHMDGLHYTRLVIKETLRLHPSLPLLLPRLCRKTCDIGGFEVTQGCRVMINAWAMARSPEYWGDDAEEFRPTRFETSVADYKGTEFQYLPFGSGRRMCPGAAFGMATLELVVARLLYYFDWSLPGKMRPEELDMETVVGATARRRNQLHLVATPYDVPVQGRIDLQLILGIDSRTISASVSKTRKHSKIDS >Sspon.07G0034740-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7C:80608578:80612979:1 gene:Sspon.07G0034740-1C transcript:Sspon.07G0034740-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGAPFDSAALETKLDRIFGQLTTITNRLNSHDSRLARVETGKPDSGKGGEDAAGGADDHRDDGGDRRADREVYDERTSGRDRAWEDFRARALRDRERFFEDHRDPARFGRDFSGRGGRDFDARAGRDFDARGGRDFDRDFDARGGRDFDARGDRDLYARRGRDFDYGGRRFDRGDRDYGYDYGSRAFGHGGRYRDDVAGRREPHRPPKIQFPSFDGESDPLTWLNKCDNYFRGHRVPEDEKVWMASLHLDGTAAEWYYQMERDFGMISWPRFVEFVNLRFGPPIRTNSVAEIKALVRTSTVEEYSRRYLALLSRCDNLSTQTAIDLYMGGLGQPLASDVEMQHPVDLQQAMSLARAYEQRQVEASAVNSSAAPKSSTRRATVSTGASGLGAQDSKQEGTRSRFRRLTPAEMQEKRQNGQCYFCSEPYSKDHKCAAKGVFLMELTEGEEDPLGDDVTNLEISLYALTGLGLANSMMLQVVIGGVQLKALVDTGSTHSFIHSDVAARLGLMVTERAGLSVLVANGDRLCSPGVCLATDILIHDLRFSIDCFALDLGGFDLVLGVQWLRTLGPIVWDFDALSMTFWFNGRSHHWRGLGSHGMAAYAIADPRSVLEELLLSYKDIFDEPHGLPPVRRHDHRIHLLPGSPPVAVRPYRYPQLLKDEIERQCDNMMAQGIIQESTSPFSSPVLLVRKQDDTWRFCVDYRALNDCTIKDKFPIPVVDELLDELKGARFFTKIDLRSGYHQVRMHPEDVAKTAFRTHRGHFEFLVMPFGLTNAPATFQALMNDVLKPFLRRFVLVFFDDILIFSSTWVEHLQHVRTVLQQLRDHHLFAKRSKCFFGEPSVAYLGHIISADGVSMDSDKVAAVEAWPRPCSARALRGFLGLTGYYRKFIAGYGGVAAPLTALLKREAFSWTDEAEAAFLQLKAALVSAPLLQLPDFSKRFIVDCDASGVGFGAVLHQGDGAVAYFSRAVAAHHAKLPAYERELIGLVKAVRHWRPYLWGRSFIVRQIIGVSISGPTFALYDDLRMEHLSNPQAQELRAQLAAGTAPDGWTLVDDMLLFRGRIFVPDASLLWQRLLQEAHGSHEGAQKTLYRFRASFFNAHAHRLVRDYVRGCAICQRNKTEHLHPAGLLQPLPIPAHVWTDIAMDFVEGFPRVGGKSVILTVVDRFSKMAHFIALSHPYSASSVARVFFDNIVRLHGFPCSIVSDRDTVFTSSFWEELFRLANVRLLRSSAFHPQTDGQSEVTNRIIVMYLRCLAGDRPRSWLQWLPWAEFCYNTSFQSALRATPFEVVYGRPPPPLVSYSPGTAKVAAVDQQLRDRDVFLAEIRDRLKLAQDVMKDRQDQKRRLVEFAVGDWVWLRLHHRTAVGITTAPSSKLGPRFFGPYQVVERIGLVAYRLHLPPRHAFMTSSMLLF >Sspon.02G0019520-1T-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2D:59341290:59342150:-1 gene:Sspon.02G0019520-1T transcript:Sspon.02G0019520-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DVLDRVFSKLQLNGVVRTSVLSSKWRHMWAISSKLRLDGITICGRPRYFCNKPSYTKEFIDGVNMVLQQLRGKVVEEFEVKFEFESILVDHLNNWISFAVSSLVKNLVLDLAPAEFVGVKDRYMFPIELVDGASISRIQHIKLSCVSFRPRSLFRGFPNLRKLDLHLFDASEMDLDDMLSGCANLEWLSFIRCHVNDELKVKQPLSRLLYLRIAHCSIKKVELHAKNLKTFVYHGVQLPIDLGEVKKLETAELHLYGITFEYVLSVLPSVLPGVQNFTLQTNYLPLE >Sspon.07G0026500-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:54048733:54056686:1 gene:Sspon.07G0026500-1B transcript:Sspon.07G0026500-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGESEQIRDPEAVFFLRSGPEASSPSAGHPILAPLLEGCGVGVSHRRPKRRRSKRGAGSETGSNGEESKNTTYISGNEAGRISIEIILDVPSVGGRFMLVDMAGSKNIEAAGQTGFEAKMQDSFEDDKSKILMIHFGIGHNMASGNKENQLLEMKQQCPPNSMLHIDLEVISWKTMTFSLCPRSGKTTSIVSSFYLLV >Sspon.06G0010350-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:40856940:40860158:-1 gene:Sspon.06G0010350-4D transcript:Sspon.06G0010350-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPLLHFRLHPQCLLRPRLRRSAPARLVLRRSLKTLCSSSSDYSPPPLHGPSLRRGRAPPDHPDPFARSFDLAALRVPAAACAPLERRLRGHLLNWPRVRNVARLPNDQGLLALPSPPALLRRGIGHPGPADHRGGAAGKLARDFNARGFVRFPNLARLSRPSPAARKRRERKAGGESDEEATRGRDKDKVYVVGEGREGDEDDLKGLVGEEGLGRGAWRTGPSRLLLLDEKYAGRGVDELPEAVKVVLDHESHQNGSFAYELVHCQLTLFHNYWPMHEVTLPLQIQDRTKNSVLPGIRGTTP >Sspon.02G0007720-4D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8D:45322431:45323090:-1 gene:Sspon.02G0007720-4D transcript:Sspon.02G0007720-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable rRNA-processing protein EBP2 homolog [Source:Projected from Arabidopsis thaliana (AT3G22660) UniProtKB/Swiss-Prot;Acc:Q9LUJ5] MDYVDSDVEESDSEDDSGEEAQDKPSDKAIYNKEAILEKLEDIAWPKNVDWMHRLTIEHDQGEKVDVNDDLARELAFYTQALDGTRQAFEKLQSMKVRFLRPTDYYAEMVKTDAHMHKIKGRLLSEKKRIEEAEERRKARESRKKAKEVQAEKKKERANLKKEQIESVKKWRKQRQQGGFTKGNDDVPDLNFEGEEGFKQSKKKRPGVSPGDRSGGLAKR >Sspon.02G0058130-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2D:69871819:69872245:-1 gene:Sspon.02G0058130-1D transcript:Sspon.02G0058130-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAARVFIKDWSMGGEGFSPGSNAAACTVEKIRLDLESPYYKRYAVYHHGSLYVHCRGSFVARYTIGGSRTIANIV >Sspon.05G0032150-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:14275600:14277062:1 gene:Sspon.05G0032150-1C transcript:Sspon.05G0032150-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGTTTAKQARNSDRGAAKTTDGKGDVAKGDAGGAKAWDVGKGDATGNKAGGAMHHHGMSAVEAKDSQTIVALQAPVTVMRPVRGDLEEHVPKPYLARALAAPDIYHPDGTTDNEHRHHHMSVLQQHVAFFDRDDNGIIYPWETYSGCRALGFNMIMSFFIAVVVNGAMSYATLPGWLPSPLFPIYVHNIHKSKHGSDSGTYDNEGRFMPVNFENMFSKYARTSPDRLTYRELWSMTEGFREVFDLFGWVAAKLEWTILYVLARDDEGYLSREAMRRVYDGSLFEYVERQHAKMS >Sspon.04G0003100-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:10409355:10412791:-1 gene:Sspon.04G0003100-3C transcript:Sspon.04G0003100-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYLPSLSSKAAHFVSDLTTVILNPVSERETSHLPEVDEVQENLEDDKDSEHNSDSLDGPDTSSFRAFLISFLSSSSSNNDSMEIIPEQNGDMGYPALTPMGKGSKGRTGLISRGKHSIGKIINKAARIGGFKQTTAEPKIDREAVTHTEPDAPVLERQEPKEVASFSSLPTMSEPSVLLSELMQSILYASLPVLAQGRNWVLLYRFKMSPILILHGGMVYLYLLYIEGACFALVVGDKEGTVFGGLVEAPLQPSSSKNLTGSSSNSETFNNGCLSHSPDFSVKDVELWGFVYPSKYEEMLTLCRTEKPGICRW >Sspon.03G0018190-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:77300990:77305462:1 gene:Sspon.03G0018190-2C transcript:Sspon.03G0018190-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLCFLLDLRNIPPPLLHRLKEVRYPPPRPPRLRRIFISRAELPAQTNHVRFAARVQCLLDLANLYAATTSQPHAGAASPAAAGALPDRLALGFVHAPSSKPSSSCSSSWPEVRIHPSLLPIESCPIVNFVFSLSCSYGRTATEADVFQLVKLKIGYRPGEKFSLRDFHHAVNNIPLDGFLADQHGGSLPTGDVSLTNLFSNKAIYSWATDDISKKVIAICMSAQNTEALRRSLMHPLITVLYCHQDAAEQCVAVDFIMLGAEAAFMYGGASENANSFVNRICDLENCVVRRYNPETQVLHGLVKRWLEELKDDKEDTLQAVFVFRDPIIDCVKHIYCNLYASANQITDGFPPCQACKCHGHPIDLVTPNKAKTCPTTNRQLAASDVIDTVVRIGEQTVLFLPTSEGSANLRRVSTSISFDVIERTELASMNEGTHDCFLFRYKYFGLVCSSSCNIETMKIGTLQCYYLLQPSEKGPMLLRRLAGSEEILPLPDMTRDCSSKITMEIKNSIETSLSKIMLKDYNPLHHERGFHSELNRLVKDSLQFGSIAPSCAPKDPHNVGSFSEPQVPTCQDLEDSMFLDQPEDAAGGLNDLLHSFSESQTSTFITPSKNKLSIQSKKGKASPSISEEWEKLIIIDDLDDDFSSPPIPGLLLTNLPAPSHRL >Sspon.02G0028450-3D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2D:101171762:101174569:1 gene:Sspon.02G0028450-3D transcript:Sspon.02G0028450-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ARTEKNLPPTYKLIFMNSLSDEIFTKRDVGAADGSKIKVKMVVSNQQDNNCSRLLSSNVRIVVLDGDFNADNHEGWTPDEFDDHIVHPRDKVGAVLTGKLDVKLKDGEACLHGITFIDNSSFTRSRKFRLGVKLLEDLGERVQGGVTEPFTVKDRRGEGNPETEFEICTFSFVLLWCLKKIGKGGVFHKALEANGISSVEDLMRLYYKDEKALRNILGNASPSAWKAIIDHAKKCDPGRSLYSHFIEDKNIRVYVSPLGQIVGATIAGQYNAFDDLDMLRKAQLEELSKDAYRSITYHHHDYEMYNGQPRPINCSTLEESIIPGHKPTEPDASVFPDQTIHEADEQGTSEVNRFSGTLSQQCTFERVGSVRVRTLSSVPENNETDVSFDIDVLMDSATGFQYEAPEANYTAGVSLGHWYPEQPMVSLYASPFPLSMQAGDPVLSTQSSFNMDEFFKDLPHDKPQFCAPIVSKLPTDVGSSMTKLPACRRWVKLSALVKWKAIMRASKRARLMFEQESWSP >Sspon.01G0038760-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:21170680:21172100:1 gene:Sspon.01G0038760-3D transcript:Sspon.01G0038760-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDSVGVEERREQSKTTPHKKARQEVGEAGGVGGGGGKPGEEGGFLSAMASKIGATMSGTNGRSGGEVISATMASDGEEGKRDGNGNGEPGEEGGFLSAMASKIGAAMSGANGGSGDGGGGNASVASGGEDKEADGNGGGGIFRKLLHSSPPAPPQASGAMETEEVKDQGVAGEQAGILSAMATKIGMAMSGANGLDSHGGSGDDAKTSNGEAARGDNGEEKKGEETNGGGILSAVASKIGVAVSGANNGNGNHSAEDDAKTSTGDDGHGSKGEEKGRDVNGGRIVEQIISNLPSDDQAPDADEASLLIAIVED >Sspon.03G0037440-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:60154866:60164461:1 gene:Sspon.03G0037440-2D transcript:Sspon.03G0037440-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRIVGGKFKLGRKIGCGSFGEIYLATHVDTYEIVAVKIESSKTKHPQLFYEAKIYNTLQGGSGIANVKWCGVDGEENVLVIDLLGPSLEDLFCYCGRKFTLKTVLMLADQMITRIEFMHSKGYLHRDIKPDNFLMGLGRKANQDGNVLNCLPTIPLVYIIDFGLAKRYRDSTTNRHIPYRENKSLTGTARYAKQSRRDDLVSLGYVFLYFLRGSLPWQGLKAATKTQKYDKICEKKLATPIEILCKSCPVEFSSYFHYCQSLAFEQRPDYAFVKRLFRDLFNSQGYEFDYVFDWTVLKYRQGQKQKVCLGSFCVFLSFVVVELMQSPGAPIARPIQAHVQKQAGVNGVFHHNEAQEHAQDMAAGKAQLTTSVALPSSQWKNYSDSRPKGQFDAIHHNQGIVNITSSSSNMLPTFQHNAPAKSYLVEQRGNALRVRGGPCSFELVQSQALQSVDLMSHSDACNSQKSAGYLLMKCCVGVVQVAQKGKKRVII >Sspon.01G0011500-3C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1C:31180588:31181439:-1 gene:Sspon.01G0011500-3C transcript:Sspon.01G0011500-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALPVLTYATARAVKAGRGALECAVCLSAFDDAGEKLRLLPGCCHVFHAACIDAWLAAHVTCPVCRADLSDPAVADAGHVLAADLAAQALAGEPTDTIVVVNVDGSTPAAVAGAGAGEDTTSSDEEQQAETAEERVDRFTLRLPERLRREIDEAKRLRRALSAVTASTVLPSGGLLTSSAALPLRTMSAARPSRRWSGLFRALSGSRRMMSEPDGHRRVVPLHTGDGEVEVVVVRDDVDKYYAHSLTFAGFVIDGDVAAGDWNPEVFQVKTDVPAVVASSQR >Sspon.02G0009290-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:24654059:24654643:1 gene:Sspon.02G0009290-2B transcript:Sspon.02G0009290-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPVSQLSGIAIPTTQVLAPRAPKIFSSQSQQEQRAAMDASGAGGKAKKGAAGRKAGGPRKKSVSRSVKAGLQFPVGRIGRYLKKGRYAQRVGTGAPVYLAAVLEYLAAEVLELAGNAARDNKKTRIIPRHVLLAIRNDEELGKLLAGVTIAHGGVLPNINPVLLPKKVAEKAASGSSKAAKSPKKAAKSPKKA >Sspon.03G0011250-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:5415841:5417535:1 gene:Sspon.03G0011250-3D transcript:Sspon.03G0011250-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLVQATLPSPAPIVPAVVLNPAANFLQSFDFHASHVPVEPVPMIYPQRPGEIVCDFYMKTGSCKYSQKCKFHHPIDRLAPHSNENGDPQQPVTLGLPRREGAEACAFYMRSGMCRFGVHCKFDHPPRQKAISKLQATGKEGMEGLSVVLHDPDV >Sspon.08G0010530-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:46530813:46534963:1 gene:Sspon.08G0010530-1A transcript:Sspon.08G0010530-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVGCGLEWVVCLGCTRWAWKRLTYIGAYDSETWPPASPDEFEPVPRLCRAVLANYDEDLSNPKFAPPGRGYQDIDPRGIVKRATYEDVGNACPPYLVYVDEAHKEIILAIRGLNLVRNADYKVLMDNKLGMQMFDGGYVHHGLLKAAKFILERETETLRDLLRRYGPEYKLVLTGHSLGSGIAALMTVLVVNNRKEFDNIPRSRVKCYALAPARCMSLNLAVKYADVINSVVLQDDFLPRTPTPLEYIFGSIFCLPCLLFLICLRDTFKQDKRKFKDPRRLYAPGRMYHIVERKFCRCGRFPPEVRTAIPVEERFEHVVLSCSTTSDHAIAWIEQESQKALELMMDSKKEMTPPPQQKMERLQSFEVEHKNALQRAKTLDVPHAADLSEEEIQEDDSPAPPSDTLSETTMETKSAGRTSWDDLMEKLFTRDEDGKLVVNKDAMAREIVVE >Sspon.06G0036120-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:90187255:90192014:-1 gene:Sspon.06G0036120-1D transcript:Sspon.06G0036120-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNDAGQDSLCPDEIVLSYVSHMLMEDHTEDKLLCQGADHPALLQVQEPFAQILSSPSFSTNSDNTINRDNVEGAQNLFQDCSGDQCTLRSSLSIGALAAGSVLNGMEEASRFLPKDNGFSKDQQVNQMTRESGNCGAVSASNFRTAHELLKQIKQHASATGDATQRLAQCFAKGLEARLMGTGSQLWQLLTLEQPLAIEYLKAYNLYMATCSFNRVALFFNIMTIEHAMVGKSKLHIVDYGPHHGFQWASLLRWMANKEGGPPEVKITAISRLQPRSCPSEGTEDTGRRLDKCAREFVPFKFHAITAKWETICIDDLKTEADEVLVVIDLFNFSILREESIFFDGLSPRDTVLNNIRKMRPDVFIQGVVNCSYGTSFLTRFREALFYYGALFDMLDATIPRDGKLRPVLEQNMLGHSVLNLVACEGADVVNCPEKYRRWQVRNQRAGLRQLPLKPNIVKVLKDKVMKDHHKDFFISEDGQWLLQGWMGRILYAHSTWELVGLVEPSPQSPSVFLHLPPTPHHDNGVPSSGQQQQQQQQQEDVALEHISRLLMEEEEDMHGMFLRNDDPALLHAQQSLAQIIASSSSSTSNGASDLYKDGKSATVSDMCATATGAEACSVMDLLNMAFAVDERHGARELLEQAKQHASPTGDATQRLAHCFLEALEARLAGTGSVLHRSLAALDTTTLLQGPEFLRAYRLFAATCCFQRVGFAFANMTICRATAGSSRLHVVDYGLHLGLQWPDLLRRLEARDGGPPEVTITCVDLPLPGFRLARHIEETGHRLSDCARELGGPFKFHAVAAARWDAVRVEVDPDPGAVLVVNSLFKLETLADDSLVVDRASPRDIVLGGIRRMRPAVFTHGVVNGLCGNSFLTRFREALFYFSAAFDMLDATLPRNSEQRMVLERDFLRACVVNVVACEGRDRTDRFDTGSRGADAVREMVKKQCYHKEFVIDENDGWLLQGWKGRILYAHSTWVADES >Sspon.08G0025980-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8C:15410594:15411205:1 gene:Sspon.08G0025980-1C transcript:Sspon.08G0025980-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding TKPLCRCTVLLGLDALQVEPAGHPHVPAPPRVLAERPPVRPGRRHRHGLSALPAAAALYAHPAPARRAVLVQRAAQARREPRAAARDDLLRAAPSSATAAGGGGGGGAVFRFSMRVATRFASACRIHAFAARSARSVPAVALAPSFLLLSALQPPPLIGGRRLSSRSGFLTALLDWTVAVVSCAADGSLVTAFFHTCGSRDME >Sspon.01G0008870-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:25707864:25710321:1 gene:Sspon.01G0008870-4D transcript:Sspon.01G0008870-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MIMANPDMLPPGFRFHPTDEELILHYLRNRAANAPCPVAIIADVDIYKSDPWDLPSRAAYGDKEWYFFSPRDRKYPNGTRPNRAAVSGYWKATGTDKPIQSSATGESVGVKKALVFYKGRPPKGTKTNWIMHEYRLAADAHAAHTYRPMKFRNASMRLDDWVLCRIYKKASHAPPMSVPPLSDHELQDEPCGGFDENPYAATSAAMLLQGASFPALHAASAGAQRMPRIPSFSELFNDPSLLAHFFEEGGMQQDMARLGNQQQHAPLLGRPVTSQLLVNSGSSMSPGQIQQMDPPASTSAAGDGAAGKRKRSSEAITASASALSSQQQASAAKKPNGYCFGATTTFQIGNGLQGSSLGHQMQLYSSNMGMN >Sspon.02G0019910-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:66918058:66925406:1 gene:Sspon.02G0019910-3C transcript:Sspon.02G0019910-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKWLCCNCHVDDEEDGHDKEQAKAQSNKIDPKQKSSKPPVSLASKLKHENFVEMLGYCVDGNYRILAYEFATMGSLHDVLHGRKGVQGAQPGPVLDWMQRVKIAIEAAKGIEYLHEKVQPSIIHRDIRSSNVLLFEDFKAKIADFNLLNQAPDMAARLHSTRATPRLSEDKVKQCVDPRLKGEYPPKGVAKLAAVAALCVQYEAEFRPNMSIVVKALSPLLQQRPAPATAEPAPQPVSELAV >Sspon.08G0019880-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8B:15740468:15740911:1 gene:Sspon.08G0019880-1B transcript:Sspon.08G0019880-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGRSPPLRTKPEWSRSKRRRNRPNSPRHPVSGLLLPFTSPGPTPRARESRELRSIRSFPPGQVVSSFDDRMSAATGPGLASARPNTPATAAALLPAVQVFVEPFARDERLPHQVCLPLLFPSFCAKLSTRTLSYLAICIRIGSGLI >Sspon.06G0008020-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:41899608:41940357:-1 gene:Sspon.06G0008020-1A transcript:Sspon.06G0008020-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGDKTPSLDADVDMGDLASLDASAATSAAAAGAPSTRFRPRAKGKPRPKPEPRKPVPVAVPKLEPEPVPVREPDVNPAVPQEDAMEVDRAVEVDGTGDAPGLGDGADEEDFVVREIDVYFNPKPLDDDAKLYIMQYPLRPCWRPYELNEICEEVRVKPLSSEVEVDLSVNTQSENYDQEAPLRLTKQTLSSSKAADVTDYAVGVLKGNLVHLNHIDAVVQMRPSMSHVISGRAHTRQASQPREMNGGASGSMDSSRKGNERPEDSKDQAEDSEPWISLAYQPAGSNTATKYHDKMISNEGAPIDFTMSTEMLLLPLEERLKKWFTEVSEVNEFDALKHLAPTYSEEEILKVLPKYASLVRGLWVCKSSLLFDDGYASQRDRVLLEFTKRDSIPLNIIDAWIKVDDPKRKRILSPLCRRRVILKDYKFISADLSFLKRYPHVVNEQECAWSAREMSIRESQKMCTTVARKTKNSARPNLTSKGPHPNMSKGRDGPSQGSDDLMLSVLGTVFTANKVRSMQAIVRDLRQLAAKYASNRKDGSKLQALSNAAKSCASLPHDELNKSICQVAVPVHSVFVAKHEDRGALRNIFILLFKHKEPNATLTKQEILAAAAKHIKREITDREYHQGNERPEDSKDQAEDSEPWISLAYQPAGSNTATKYHDKMISNEGAPIDFTMSTEMLLLPLEERLKKWFTEVSEVNEFDALKHLAPTYSEEEILKVLPKYASLVRGLWVCKSSLLFDDGYASQRDRVLLEFTKRDSIPLNIIDTWIKVDDPKRKRILSPLCRRRVILKDYKFISADLSFLKRYPHVVNEQECAWSAREMSIRESQKMCTTVARKTKNSARPNLTSKGPHPNMSKGRDGPSQGSDDLMLSVLGTVFTANKVRSMQAIVRDLRQLAAKYASNRKDGSKLQALSNAAKSCASLPHDELNKSICQVAVPVHSVFVAKHEDRGALRNIFILLFKHKEPNATLTKQEILAAAAKHIKREITDREYHQVSCYS >Sspon.02G0004240-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:14815380:14817818:-1 gene:Sspon.02G0004240-2C transcript:Sspon.02G0004240-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AAMAEDAPNAAAEGSQPAPPEGSADAAPPAATAGAKAAEALLPSLSIWPPSQRTRDAVVRRLVQTLAAPSVLSQRYGAVPEPEAERAAAAVEAEAFAAASKSAAAESPASVEEGIEVLQAYSKEVSRRLLELAKSRSAAAAAAPAEGSAKEEPEEDSSATAPATEEAAVKCRIA >Sspon.03G0004820-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:48093630:48098783:-1 gene:Sspon.03G0004820-1P transcript:Sspon.03G0004820-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSMDEINLLQQAQRQHQHHLMVRGIGEEIDLEIGPGDDPSFSGASLVAVTSTHDTVVHADDHKSLLIPCSQTGAADGLAPQQHLAQGEEEHDGMLRQPSGHTKKKKKVVKKWREEWADTYKWAYVAVHDNTTRIFCSVCKEYGRKHRRNPYGNEGSRNMQMSALEEHNNSLLHKEALRLQLASKEKLQPSEIERPVYVKALSKTAASILECVLRRDPHEAEYIQSIQEVVHSLEPVVVKNTQYIQILERLLEPERCFIFRVPWIDDRGEAHVNRGFRVQFSQALGPCRGGLRFHPSMSLSVAKFLAFEHTLKNALSLYKLGGAAGGSDFDPKGKSDNEIMRFCQSFMDELYRYLGPDQDFPAEDIGVGPREMGYLFGQYRRLSGHFQGNFTGPKIFWSGSSFRTEATGYGLVFFARLLLAEMNKELKGLRCVISGSGKIAMHVLEKLLPCGAIPVTVSDSKGYLLDEDGFDYMKYSLLRDIKAQQKSLKEYLKSYPHAKYIDGAKPWSEQYDVAFPCASHNEIDQGEAVAIINSGCRVLVECSNMPCTVQAVDILRKAKVFVAPAKATAAGGVALGELELNPEFNLMQLSVEDFENKIQDAIKQTYERSVKAAQDYGIMKESPESLVHGANICAFLNIAQAMADQGCV >Sspon.01G0023710-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:85227089:85233448:1 gene:Sspon.01G0023710-1A transcript:Sspon.01G0023710-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GPRVRSPRALHSSHPNQPPRSPARLSLRWQFIAGVVPSPSFIPSSDFSCLWLELMDGQVENFVGHDEGGLPQAPYNGEQPNPYDDVTKQYSEELGDQYNEQPGAQYDEGSGNPYNEEQANLYSEETGNQYNEDPANSYQEELENAFSGDPDMAQLQQDNSQVNNDDDNKWPGWPGESVFRILVPAQKVGAIIGRKGEFIKKMCEETKARIKVLDGPPGVPERAVMISAKDEPDAPLSPAMDGLLRVHKRITDSSDGESGQPQRSAGNIGPTRLLVPSSQAGSLIGKQGATIKSIQDSSKSVVRIVENVPPFSLNDDRVVEIQGEPLGVQKAVELIASHLRKFLVDRSVLPLFETHMKMHGMVREQPVPPPHHWGPPQSWGPPPNIPPGGPGFGGNPQFMPSRPQDSYYPPPDVPSMEKQPHYGISAYGREAPPPSGASVTGNQPPSHAGSQVTHNMHIPLAYADAVIGAAGASISYIRRHSGATVTIQESRGAPGEMTVEIIGTAAQVQTAQQLIQNFMAEAAPPGPAPASNPPAPPVDPSYGSYPPPYGAAPYGSSVAAGPPPQYNGGSYGGPTYPPSYGY >Sspon.03G0022750-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:91956068:91957712:-1 gene:Sspon.03G0022750-2B transcript:Sspon.03G0022750-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFFRDARLPQQRVVEGVPFPAVLVPSAPASAGAGVDEFLAAVRSERASRLEPLVRGAGALLLRGFPATTAADFDRAVDAFGYEELPYVGGAAPRTNVVGRVFTANESPPDQKIPFHHEMAQVPTFPSKLFFFCEVEPNSGGETPIVLSHYVYKRMKEKFPEFVEKLEKDGLIYTRVLGEGDDPSSPIGRGWQSTFLTKDKAVAEERAAKLGMKLEWTDGGVKTVMGPIPAVKWDESRGRKIWFNSMVAAYTGWKDARNDPVKAVTFGDGSPLPADVIAACGQVLEEECVAVPWRHGDILLIDNWAVLHSRRSFEPPRRILASLCK >Sspon.08G0003150-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:8573809:8582448:-1 gene:Sspon.08G0003150-1A transcript:Sspon.08G0003150-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GSRAIIRNPSRIRESEPPPSPDTAFHWPRINFASPSTRAPLSLAPTKNPRPPATPPPAAASLLTDSRFHR >Sspon.06G0004600-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:14682063:14684030:-1 gene:Sspon.06G0004600-1A transcript:Sspon.06G0004600-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGLGSPCGACKFLRRKCVKGCVFAPYFCHEQGAAHFAAIHKVFGASNASKLLMHLPISDRCEAAVTMSYEAQARLQDPIYGCVAHIFSLQQQVVSLQAELESFKALATQGYGDGSLTSNPQKENCERLAPYIQDGQLFFHPTMANNSSVKSERQLYFANDCFTSTSTQHSEGYELDLCMPDYYNNSNPSCTTQGSGYHDMDDLQSRMRERERERGREGGRGKGEERKGAQ >Sspon.04G0016800-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:70287198:70290327:1 gene:Sspon.04G0016800-4D transcript:Sspon.04G0016800-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIFEYNGSAVVAMVGKNCFAIASDRRLGVQLQTIATDFQRVFKIHDKLYIGLSGLATDAQTLYQRLVFRHKLYQLREERDMKPETFASLVSASSMRKVIAGLGDNDEPFICTMDCIGAKELAKDFVVSGTASESLYGACESMYKPNMEPDELFETISQALQASVDRDCLSGWGGYVLIVTPTEVQERVLKGRMD >Sspon.05G0024410-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:22106812:22107745:-1 gene:Sspon.05G0024410-3D transcript:Sspon.05G0024410-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding KLYKDTATPGGLLAVAIAHALALVAAVAVASNASGGHVNPAVTFGLLVGRRISFGRAAVYWLAQLLGAVVASLLLTLVSGGTRPVGIGLVRGIHERHALLLEAVMTFGLMYAVYATAVDHRSRGGASATIAIAPLAIGFVLGANILAGGPFDGAAMNPARAFGPALVGWSWRHHWVYWVGPLIGAGLAGALYEFVMVEQEPEAPAAAAPRM >Sspon.03G0031040-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:32045769:32047824:-1 gene:Sspon.03G0031040-2C transcript:Sspon.03G0031040-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQEEDQGQPPDEELMSRSQGSSTEPSGGAPRIGAVLLAEQSAGPSDMDLMKEKFGKLLLGEDMSGSGKGVPSALALSNAVTNLAASVFGEQRKLEPMAPDRKGRWKKEVGWLLSVADHIVEFVAKKQVLDNGTEMELQDYLDNFKERNEFWYVKRDSCSGSENEERSDEKWWIPIVKVPPGGLSPTSRGWLLHQKELVNQVLKAAMAINANCLMEMSIPDTYIDTLPKNGRASLGDALYRIITDVEFDPDDFLSTVDLTSEHKILDLKDRIEASVIIWNRKVHNKDGKSSWGSAVSQEKREQFEERAQTLLLIIKHRFPGIPQSALDIAKIQENRVSTEQSTLLPVLHALTHYCRHGRRRQDVGFALLESYSRVLESLAFNVMSRIEDVIQADNVAREKAKKNAPPAADPAAGCRCPQEVGDDDDQSKQTTLLDFMGWTGDSEGKNDDVSPAPPPELPAQDDGRLMKLPNIMTNLKQTYMDKLDFLSGNRSPS >Sspon.08G0023170-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:55539624:55542687:-1 gene:Sspon.08G0023170-2D transcript:Sspon.08G0023170-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGERLWVNFLRKCLIAILSYGPMPKHIAFIMDGNRRYAKFRSMQQGAGHRMGFSALIASLLYCYEMGVKYITVYAFSIDNFKRDPSEVESLMQLMEEKINELLENRSVINKINCKINFWGNLDLLCEPVRLAAQKLMASTAGNTGLVFSICMPYNSTSEIVNAVSEVCAERREILQSEHVGDCNGHAANNGVHSEISVADLDRHMYSAGCPDPDIVIRTSGAKPKVPNVL >Sspon.01G0019810-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:71695079:71700797:-1 gene:Sspon.01G0019810-1P transcript:Sspon.01G0019810-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DKLAGTDWSIVTTSDGKKYYYDNKQKVSSWQLPPEDAATIENKGVISIDASTPAIQTGGRDSLPLRQTVAPASPSALDLIKKKLQDAGASSAPSALATSSAASELNGSRPADAALKGQQVANNGEKSKDNNGDVNMSDSSSDSDDEEHGPSKEDCICQFKEMLKERGVAPFSKWEKELPKIVFDPRFKSLNKAGYIYHYVRTRAEEERKEKRAALKAAVEAYKELLEEASEDINQKTDYQEFKRKWGADPRFEALDRKEREVKENFRSDPRYKAMKHEERETIFNEYIVELKSAEQEAEQAAKAKVDEQAKLKERERETRKRKEREEQEMERVKMKIRRKEAVSSYQALLVEMIKDPKASWTESKPKLEKDPQGRARNPDLGRGDAEKLFRDHVKDLYERCVRDFRALLSEVITPEVAARTTDEGKTAINSWSEAKGLLRSDLRYNKLASKDRESIWRRYADDLTRKLRQSDTKEKDKSDADGKQPRSSDPLRRRMRSTCSVLL >Sspon.07G0016170-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:57576608:57577707:-1 gene:Sspon.07G0016170-1A transcript:Sspon.07G0016170-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARWSASTAATVAAVLGASLLYSMALMTTASAQQPGPGNMQVITLDGRRNSKFTCTDTKKNSKRPGCTATCPTRCPKRCLVLCPTCKTFCLCDFYPGVSCGDPRFTGADGNTFYFHGKKDQDFCILTDAGLHINAHFIGNHNPATSRDFTWIQALGIRFAHHTLYVGATRGAATWDAAADHLALAFDEEAVALPASLGARWSPPAAPGLSVTRTARVNTVVVELKGVFRVVANVVPITAEDSRIHNYGVTEDDCLAHLDLGFKFYDLSDDVHGVLGQTYRTDYVNRLNVTAKMPVMGGADTFRSSGLFDADCAVARFGRNTAAASSAAGTGIAMVTDAF >Sspon.04G0026330-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3C:14184743:14185087:-1 gene:Sspon.04G0026330-2C transcript:Sspon.04G0026330-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLLTQNLERVVKPNMVFLRQSGLTDSDIAKLLLRAPRILALETGRFKEIVACADMLGVPRSSAMFKYAIVAVYNISPGKINARSDFLKKALGCSEAELGIAIRRLPEVLNFSDG >Sspon.02G0031420-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:114981276:114987030:1 gene:Sspon.02G0031420-1A transcript:Sspon.02G0031420-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKALVLLVLIFPVIVISTTSFGLTISLPGCPDKCGDVSIPYPFGIGAGCAATSLSPYFTVTCNNTFQPPRPMVAVGHTLRPRELIDISLEHGEMRAYGEVSYTCFTSNRTMLENYTSGFSMEGTRSFPQPLITASVIGCNTIGIIGGYMHSNPNLYVAGWYSYCQKINSTSDGSPCIGMGCCETTITPNLTDSTAVLVINQSSVWNFNPCFYTMPAEVGWYTFRREDLMGHLGFVSERAKRGVPFVSDWAIRNGSCTKDPRKGPRDYACVSSNSYCVGASNGPGYLCSCSQGYEGNPYLPEGCQDIDECKLRKQDPKYKELYPCKNGICRNTPGGYMCKCRMRSRKVDTIHILTEKDIKKATENYSDDRVLGCGGHGMVYRGTLDDCKKVVIKKSKVIDDDCREEFVNENNLRDMLDFEIIDDEIMVVLEKLAELVMHWLSPRGNILLRRRRNDTRSSSPSTERSSLRRTGPRLPTRHSALQDARPGLTICSSTLCASHSKAVGDDDPGGGDDGVEKGGKAVARACVCIAHRRLAARCGSLPPSAEPTTPRTRSSGNSRTLWLSTGEAQSFLLWTVGFPQLAMKETLLLVLIFFPAMVMPSTSSGLAVSLPGCHDKCGNVSITYPFGVGDGCAASNLNRYFTVTCNDSFQPPKPMLVTLT >Sspon.07G0034310-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7C:72048930:72049325:-1 gene:Sspon.07G0034310-1C transcript:Sspon.07G0034310-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRASALKGAVVVAICVALVVSWVGEPAQGSPSCAIHRCISECPSKCNKKAASSCKSAKGADVSKCRNGCVMGCNASCHERGATTCDCDNICVSYCKSTPGPTYNACVSTVFQWCKDNCEKGCKGEKVNN >Sspon.04G0013750-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:56956403:56963405:1 gene:Sspon.04G0013750-4D transcript:Sspon.04G0013750-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEERCFNNWDLDAVVRLGCRRRLSPPRQPDPFASFLPPPPPPQKEKPLLRALLAAPLPPPQPLPTPTPTPTLPPPPPPQQPRQPAVAAVDVALPQARPAPARAQPSGRQVPGGVPRSKRRKNQVKKVVCHVPADGSSSDVWAWRKYGQKPIKGSPYPRGYYRCSSSKGCAARKQVERSRADPNTFILTYTGEHNHAAPTHRNSLAGTTRHKFPSSAAPQPPPPSVVVGDASAVPGDAHHQHQQPSPSPTSTSTAGFSPTTPLRTPSMEEDEEEEDDELLVEDMEMAGEDELLFLNTDTDVDAGAPMSSLFDVVDEPFLSSPWVTATSSAGEPAPGAAGAGS >Sspon.03G0009280-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:25457703:25479207:-1 gene:Sspon.03G0009280-1A transcript:Sspon.03G0009280-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDASGAGGDGDGDGAGKAALEAPAEATTPPPEPVAAPVPVSASAAAAGAGTSGSGEKPVKRMMKTPYQLEVLEKTYAVEQYPSEAMRLELSAKIGLSDRQLQMWFCHRRLKDRKPPSKRQRREEESALAPVMPPPPVLPAPVSVMPLASSDLMVGAPGPYDEPVHPVHSRRGAGRSSAVPRISMPDIGRRYYEPPPIMITPAIPSMQLTQSELRVINSVESQLGEPLREDGPALGVEFDPLPPGAFGAPIVPEQQKQPVRSYDTKIFSRHDQKLLKASAFLPTMESPFVPNSFTGKRKSMVGNSPIVQPHVGSRAVHEYQFLPEQPSDTYERASRSHYYDTPVEVSNSRISSLTSGSQLLHGSEAAAPSYAFQGHTSGSSLLPQSSRSQVFPAAPADYETTQTNSNLNSVPVEGQFDISQVAAFENPLVSSERRAYHDEDTSRVERKRKHNEEAKIAKEVEAHERRIRKELEKQDILNRKREEQRRKEVERLDRERRKEEERLLRERQREEERFQREQRREHERMEKFLQKQSRRAEKQRQKEELRKEKEAARQKAANERATARRIAREYMELVEDERLELMELAAQNKGLPSMLYLDSDTLQQLDSFRGMLSQFPPTTVWKFFITFTDVLGLCPVTLDEFVQSLHDYDSRLLGELHVALLKSIIKDIEDVARNPSIALGAYAWGFNIRGWQRHLNLLTWPEILRQFALSAGLGPQLKKRTVEDSYYRNDNEGHDGENVISTLRNGSAAVNAAAKMKERGYTNRRRSRHRLTPGTVKFAAFHVLSLEGSKGLTILEVAEKIQKSGLRDLTTSKTPEASIAAALSRDAKLFERTAPSTYCVKSPYRKDPADSEAVLSAAREKIRAFQNVLSDSEAEKEADEAERDDDSECDDADDDPDGDDVNTEVEDDKDPLLAVKAQDEVLSTTTVIGIRSELDSVGNALNSSSSFTKSAKGTPLPSLGKSNAADTSNDSPLGGSSANHEVAPGDSENTHIDESNQVEPWVRALAEGDYCDLSVEERLNALVALVGVATEGNSIRGVLEERLELANALKKQMWAEAQLDKRRSKEEFASRVQYNSDVGLKADIYQENNATEITSTPACDVYKENDGHVGTINSCEMLDQHNQGNSGSMAYERNGIGQEILSTPDTSYVQQYAYADKTRSQLKSYIGHRAEQLYVYRSLPLGQDRRRNRYWQFTTSASPNDPGSGRIFFESKDGCWRVIDSEEAFDSLVTALDTRGSREAQLHSMLQVIEPIFKEAIKRRSASIELSAGRYPKNGATDMIRANYHSEASIPSEALQPFWTDVYRKTWSVKLYTTKSIAETFQLLTVLEGAIRPGCLSSDFETTSECLNSQGIAPQNPVLPAGSASVLPWVPDTTSAVMLRMLDLDSAISYVQNQKMERDDGGFMKFPSRYTVAKSKQEGDVDVEVEEVAGEAEVEVEVEGSQEVLAAHQGSNSRMTLLLLIKRQGRMHAEGVHVVVVVDEDVEL >Sspon.02G0028510-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:116530413:116534694:1 gene:Sspon.02G0028510-2C transcript:Sspon.02G0028510-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWATRFLAAVCFFAAGVLFVPEALLGSPSGAGAVTAAKLAHVLSFATSWGAALWATFIGGIIMFKNLPRHMFGNLQSKMFPAYFTLISACAAISVAAFAYLHPWKTASTVERYQLGFLLSALGCNLSNLLVFTPMTIEMMKKRHKIERDLSIGEEVGWSKNQQVAKSNPTLAAMNKKFGMIHGLSSLANIMSFGSLAMHSWYLASKLEL >Sspon.04G0006640-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:18491565:18492026:1 gene:Sspon.04G0006640-3C transcript:Sspon.04G0006640-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRCFFPRDAAADGGRHQHQQSKAAAEALEQLHHGGRLLSREDVGGAVRVKIVVSKRELKQMVAALGDGAGGAVTAAAAAAAATSERHRRQRAATAGGGSSCAAGAAGGPGAEQRLQSLRRRSMRRAAEAARRMQASGEWEPGLQSIPEEVF >Sspon.06G0033700-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6D:19670772:19671096:1 gene:Sspon.06G0033700-1D transcript:Sspon.06G0033700-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAAKICASLSISDTSFLTDSQILASFFNGSDLDKPPQWEIKPYTQKFLNAAGNCNWKVFKVQRHLNTVALFVRL >Sspon.08G0005810-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:18205902:18207803:1 gene:Sspon.08G0005810-3C transcript:Sspon.08G0005810-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVATARELINVIINPTALPILLLVAGLTLLYVLRRRGSGSGGLRLPPSPFALPVLGHLHLLAPLPHQALHRLAARHGPLLYLRLGSVPAIAACSPDAAREVLKTHEAAFLDRPKLTAVHRLTYGGQDFSFSPYGPYWRFMKRAAADGAPVDVDAVLMGVTGDVISRMVMSRRWTGDDSAAEEMRSVVTETAEITGMFNLQDYIGLFKHWDVQGLGKRIDAVHRKFDAMMERILTARDAERRRRRKEAADGAGDGDKKDLLDMLFDMHEDEAAEIQLTRDNIKAFMLDIFAAGTDTTAITLEWALSELINNPAVLRRAQAELDAVVGASRLADESDIPRLPYLQAIAKETLRLHPTGPMVVRRSMEPCKVSGYDVPAGATVFVNVWAIGRDPASWAPDPLAFRPERFLEEEQGGGDSAGLDVRGQHFHLLPFGSGRRICPGASLAMLVVQAALAAMLQCFEWTPVGGAPVDMEEGPGLTLPRKRPLVCTVKARLHPLPVPAAADGVEETAA >Sspon.01G0036190-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:17360929:17366109:-1 gene:Sspon.01G0036190-1B transcript:Sspon.01G0036190-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPCVPFCFRPLSLLASGLRACTPPPTSITAFLLRLVPLPLLTMPSSVTRLDTITSVCLGVRATPTPLPLLLTSWTLAPRSVSSSGTLRIIRGTGAMILPLDGSSSLAMSSSMSTASPRDGPAPGPGSPNGPGPVVLGGGPVLSGWCRPGAVVPRFCPGGVMSVADAGPRPGTSVAVRCAGTGVPAQAAAAAARASFSTGDTDTTAAVPAGSWYSAGLPPTAASPTPAACSPDGDEARGWHTAAPCPRGFDRRRGGLSGTLFCPRRPVGPSLAPCDGRGVRRATRQPDVGVVPRPPGANVVTGKWIWTHKRRADGSLERYKARWVLRGFTQRPGVDYDETFSPVVKPATVRTVLSLALARSWPVHQLDVKNAFLHGLLTETVYCSQPAGFVDTTRPDMVCRLNRSLYGLKQAPRAWNHRFAAFLL >Sspon.08G0011560-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:46083355:46090842:-1 gene:Sspon.08G0011560-2B transcript:Sspon.08G0011560-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGGAEQAPEAAAEVKNPRCFMDVTIGGEMEGRIVVELYASVVPRTAENFRALCTGEKGIGAASGKPLHFKGSCFHRVIKGFMVQGGDITAGDGTGGESIYGSKFEDENFVLKHERKGMLSMANSGPNTNGSQFFITTTRTPHLDGKHSDKRMGVVRSIEHVTVGEADYPTLDVKIVDCGELPEGADDGVVNFFKDGDNWPNDLEEKPAEVSWWMDAVESAKAYGNDNFKKQDYKAALRKYRKALRYLDVCWEKEEIDEDKSTALRKTKSIILTNSSACKLKLGDSKGALLDADFALRETEGNAKAFFRQGQAHIALNDIDAAMESFKHALELEPNDAGIKRELAAAKKKLPQIADRRDQERKAFARMFQPSGKSDKSNEKYQFVRLSRC >Sspon.01G0008130-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1A:22726112:22730070:-1 gene:Sspon.01G0008130-1A transcript:Sspon.01G0008130-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding FRRRPSFRVQYRSEMVRRGGADAGPSGNGCTHVVVGNRIYDDPTCVAARAQGKKVVNGLWVEDSLDHGVLADADRKMVSLMGARFSKPLIANAVTHLICYKFEGDKYEVAKKVNIKLVNHRWLEDCLKAWKILPVSDYSKSGWELELMDAQAQAIDSEHEAEASYPGSLNNRPSVRWQHQEKDGPSGIHIAAAGQLNADAKLNNHESNRKPGGDSMSNNIKNTSNDKKASRKSFLQEGHSVNHMASPQRAEESTLRGYPNISTLETGHQKVAEHADVQSIEGDENTKSEDGLDGAYAQKRKSLVSPASLNLQKEDLVSETGPLDSPFVCRLSDASETANVSSERINLVEANAVNLGKQHSSFSTSRQTRSRKTSLKHGGPISGIKLPEYSSSDKNVKSLRKARMSFKATAESKCTMSSSATVQ >Sspon.04G0021060-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4A:73843494:73843733:-1 gene:Sspon.04G0021060-1A transcript:Sspon.04G0021060-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGDPGHLFSSRNWIGLVRRGERSSGSGYSPPAKDAAERRGRTGDPEGRQKAALAKEREVWDGTGVGTPQPHGEDGARG >Sspon.02G0035320-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:20167300:20169778:-1 gene:Sspon.02G0035320-2C transcript:Sspon.02G0035320-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGSAKPLAAPLQPPSPTGRCLALSSCAPDSPPPLTRAVEIPGQSQSDAAPPPRPVEAAASSHVLRASPQLRRWSRSRALRSGRRPGSDRAKLSSASASASVLPVNKSPRPEDAAVAVEDGEDDDVCVAERDAAAGKAIYMVSDGTGWTAEHAVNAALGQFEHCFVDRGCTVNTHLISMIDSMDRLLEVIKQAAKEGALVLYTLADPSMAESAKKACDFWGVPSTDVLRPTVEAIASHIGVAPSGIPRSSPSRQGQLTEDYFRRIDAMDFTIKQDDGALPQNLNHADIVLAGVSRTGKTPLSIYLSQKGYKVANVPIVMGVNLPKTLFEISQDKIFGLTINPVVLQAIRKTRAKALGFGDGYQSNYAEMEHVRQELVHANQIFAQNPMWPVIGVTGKAIEETAAVIVRIYHDRKQKCSMPRISKRY >Sspon.01G0033470-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:114586768:114596986:1 gene:Sspon.01G0033470-1P transcript:Sspon.01G0033470-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding EVVILLLDVGPSMHRVLQEVKNICLTLLLYNRSDEVGIVLFGTKGTCNELAKELGGYKHVTVTHDIKVVDEGTAQALQNLPLGSAPVLDSIVVGLDMVMRKFGNTKGKRRFCLITRAQDLLRDPPEGTKEEQVDTIADMLKKHSIKLECIIFRELGVHRNAVMEENDRLLYQFRNRSVAKVVQVDSPTSLLGALKTRNVLPVTVFRGDLEVNSNFKIRVWVYKKTAEEKFPTLKKYSDKAPPSDKFASHEVKVDYEYKSIVEPDKVVPPDQRIKGYLYGPQVIPVSNAEWEAVKFKPEKGVKLLGFTDRSNVPRHHFMKDVCLFIPEPGNMKAALAVSAIARAMHQMNKAAIVRCVWRQGQGNVALGVLTPNISSVNNVQDSFYFNVLPFAEDVREFQFRSFSSLPSSSQPTEEQQEAADNLVKMLDLAPPGREVLKPEFTPNPMLERFYSYLDLKSKQPDANVPPLERSLRRITEPDPDVIGQQTQLIQNLGKAFELKENPKKKKARTQDILAYTGAGDQAKSVEGPSVEKDGLLEHTHPPTENVGAIRDSNPVQDFEAMLAKRSSSTWVQKAIEDMQNYTAALLQKSRDGSNYQKALECFAALRKACIIEQEPQEFNEFLTKIYERLKEGDAAKFFRLLSSKNISLISKEEAPDSDVTEEMAKSFFLKRERASQ >Sspon.04G0009760-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:29014195:29015686:-1 gene:Sspon.04G0009760-4D transcript:Sspon.04G0009760-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding HERCYSPDRPWPEAARGVLAVPGAGPHHADAEAGQDPPRQGLPRHLRQHRVQPPPPRPLPRRRRRRGPHGVPLRHHPGRPAGVRRRRHAGPGDHQLRHQAQLPASPAKPARRAGRRDVRRGGQPDELQRGRRQGGGRAVRALLDGQRLRLHGLPQLPPPHRQGHHPLQRRRAADKRVHGHAGGLGTRHEQAHAAQGLPDLPPHHGPQRRADDLPATRGGTLGVRVRRRRQHLRRAGAAGARRHARHHPGRIHHRPARFRHGAGRRPLRPPRRGELQPVAGGPVLPRVAGRQEAPAAVGGVRELRERDGHERAGAGGVRVGAGEQRPRLPVDRQAGRREGRHRLGRGAAAGVPGGDRGQGPPGELVRPGGRAAARGGGPVPDAQRVELDAGEPRRRGADAVLALLRGAADQLPVQVRRVGRGDGGRRRRAAGGRGGEDTGGDGWGQGEGNGAQGGGVERGRRRVGGEVAREPR >Sspon.06G0029570-2D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6D:24522743:24523174:-1 gene:Sspon.06G0029570-2D transcript:Sspon.06G0029570-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVAVVAGSSAEYRAVPDHPLSVIQLRRNGNPAVVACWGIPHNYLLLQAVEEHAAVAASEVSPMVRVTAALLIADRRTEALGWDEIRRVHYGSEERGVYKFIEEADKGGIRVAGAEVAAEVGISDEAAPALADEQGAGERGGPR >Sspon.04G0017920-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:73639665:73641084:-1 gene:Sspon.04G0017920-1T transcript:Sspon.04G0017920-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMMQPQIILLKEGTDTSQGRAQVVSNINACTAVGDTVRTTLGPRGMDKLIHDDKGGVTISNDGATIMRLLDIVHPAAKILVDIAKSQDSEVGDGTTTVVLLASEFLKEAKPYIEDGVHPTV >Sspon.05G0007800-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:25910468:25913360:1 gene:Sspon.05G0007800-2D transcript:Sspon.05G0007800-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGLGGAVVCSMLVLSALLALAASQSPSPRVAATGGAGREAVVPIACGASSEAVAASNPGRETVVSATRGAGWEAVTVFEAGSNEVSASADTTGVKSVTSTCNLASSKSTLSTCNGAGSKTLPIRGAGAKAIPPSNSTPTMSSTFGQLSPSFYAQSCPGVELAVRDVVRSASTLDPSIPGKLLRLVFHDCFVEGCDASVLIQGNGTERTDPANLSLGGFNVIDAAKRLLEVVCPATVSCSDIIVLAARDAVVFTGGPAVPVALGRRDGLVSLASNVRRNIIDTGFSVDAMAASFTAKGLSLDDLVTLSGTDENCSEGHTIGSAHCNTFRERFLVANGSMTPIDGSMNADYANELIQACSAANGAVSAGTAVDCDSGSASVFDNRYFANLLDGRGLLRTDAVLVQNSTTRAKVAEFAQSQDGFFASWASSYARLTGLGVKTAPTARSGGPAPASMADESARGPWGCRNLLCCRARKSEVRMRLIPLLM >Sspon.02G0021990-1T-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2C:84516679:84517857:1 gene:Sspon.02G0021990-1T transcript:Sspon.02G0021990-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding QPAVRGGAKTRAAAGGETTATNDAANNVKRSLADKPPFTLADIKRAIPPHCFRRSVLRSTSYLLRDLSAVAALFYVALVAIPLLPAGAGTLRLAAWPLYWAAQGCALNSVWVIAHECGHHAFSEHAALDDAVGFALHTALLVPYFSWKHSHRRHHANSASLDRDEVYVPWKRSELPAFMRKLHGNAAVRLVLFVLVLVFGFPLYLTCNITGRPYPRLANHYDPYSPIFSGSRERAQVILSDAGIVAFSLALYRLSSAVGFTTLALVYGVPLLVVNVWLVLITFLHHTDPVVPRYDSGKWDWLRGALATVDRDYGAFLNAAFHNIADTHVVHHLFPSMPHYHAVEATRAIRPVLGEYYRFDDTPIVQAAWRAAKECLYVEPDGRREGVFWFGSN >Sspon.04G0002120-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:8264099:8266856:1 gene:Sspon.04G0002120-3C transcript:Sspon.04G0002120-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Subtilisin-like protease SBT1.4 [Source:Projected from Arabidopsis thaliana (AT3G14067) UniProtKB/Swiss-Prot;Acc:Q9LVJ1] MRQRGGPSLIVLLAFAVLAAVAAAEARAQSTYIIHLAPGHPALSAARANGGGEAVLRRLLPRRLRAPRPRVLYSYQHAATGIAAQLTPEQAAHAAAAEGVLAVYPDKARQLHTTHTPSFLGLTETAGLLPAASGGASSAVVGVLDTGLYPIGRGSFAATAGLGPPPASFSGGCVSAGSFNASAYCNSKLIGAKFFYQGYEAGLGHPIDETKESKSPLDTEGHGTHTASTAAGSPVPGAGFFHYAKGQAVGMDPGARIAAYKICWASGCYDSDILAAMDEAVADGVDVISLSVGANGYAPRFYTDSIAIGAFHAVRKGIVVSCSAGNSGPGEYTAVNIAPWILTVGASTIDREFPADVVLGDGRVFGGVSLYAGDTLDSTQLPLVFAGDCGSRLCLIGELDPKKVAGKIVLCLRGNNARVEKGAAVKLAGGVGMILANTEDSGEELIADSHLVPATMVGQKFGDKIRYYVQTDPSPTATIMFRGTVIGKSPSAPQVAAFSSRGPNYRAPEILKPDVIAPGVNILAAWTGAASPTDLDIDTRRVEFNIISGTSMSCPHVSGLAALLRQAHPEWSPAAIKSALMTTAYNLDNSGETIKDLASGEASTPFVRGSGHVDPNAALDPGLVYDAGTDDYVAFLCTLGYSPSLISIFTQDGSVANCSRKFARSGDLNYPAFAAVFSSYQDSVTYHRVVRNVGSNSSAVYEPKIVSPSGVDVTVTPSKLAFDGKQQSLGYEITIAVSGNPVIVDSSYSFGSITWSDGAHDVTSPIAVTWPSNGGAAAM >Sspon.03G0034840-2D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3D:40020512:40021153:1 gene:Sspon.03G0034840-2D transcript:Sspon.03G0034840-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MESHPHQSSKSGCGSNRGAMEEEDRLSSLSDDLLHAILRALPLKQAVRTSALSRRWARQWLRALAASRVLDLTDRDFARGQPPARAAATVSRCLRLHAEHGAPLDVLRVALVSPPPSGPGPSDGAFGRDVIGWVAAAVRRGAREVEVDLQHLTPSQDDDAAFRLELPGDLFQARNSLERVALGGLSLRAVRFPTAGLAGLRSLSLSNADVTDEA >Sspon.01G0034880-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:5810135:5811624:-1 gene:Sspon.01G0034880-1B transcript:Sspon.01G0034880-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GIEIKAAANVLPPPRILAALALAAWTFFLYVHFSVISGTVEVSNRERLADPCRGRYIYMHDLPPRFNADIIHNDCRNTEGHWGDICASLSNGGLGRPLAHDGGVITGGAGWYGTHQFALDAIFHNRMKQYECLTNHSAVASAVFVPFYAGFDFARYHWGFDNATRDAASVDLTRWLMARPQWQRMGGRDHFLVAGRTGWDFRRISNLGADWGNDLLVLPGARNMSVLVLESTLKRGTDFSVPYPTYFHPRSDADVLRWQDRVRGQRRTWLMAFVGAPRPDVQMSIRLRAHPEQHAVPHPRQHHAAVPEGHLLPAAAPTRRSVFDSMVAGCGCIPVFFHTGSAYKQYPWHLPKDDHLKFSVFIPTADVRRRNVSIEAVLRKIPPATVERMRKEVIRLIPSLLYADPRSKLETLKDAVDVAVDGILDTVARIKNGEDVNCGGPVDKDPPNLFASTASKFFPEGYGKQLASSVSFWQSRS >Sspon.06G0017840-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:79969701:79975778:-1 gene:Sspon.06G0017840-2B transcript:Sspon.06G0017840-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SCC3 [Source:Projected from Arabidopsis thaliana (AT2G47980) UniProtKB/TrEMBL;Acc:A0A178VN21] MIQLADDIDVSVAVSAIGLIKQLLRHQLLSDDDLGPLYDLLIDEPPMIRRAIGELVYDHLIAQNIKTSHPGARDGENEPSEVHIGRMLQILREFSDDPVLSSYVIDDIWDDMKAMKDWRCIVSVLLDENPAIELTDMDGTNLVRMLHASARKAVGERIVPAWTTESCTTIKAKRREITSALLTRYPQLLRKFISDKAKISPLVDMMTLLKLELYSYKRQEKHFKTAIDLIADAFFKHGEKGPLRSCIKAIIFCCTECQADLKDYAENKLKNLEDELVLKVRTAIKEVEAGDDEYSLLVNLKRLYELQLSKPVKNDSLFEDMYRILAHLRDMDNEVKSFLLLNMHLQVAWCLHAIDGENPSETCIDELLSKQSSLFDQLYYYLVVLPTYQKEGRSTTVLSCRVCIITAEMWCLFKKSKYSSTRLESLGYLPQSDMVQKFWKLCEQQLNISDETEDEDANEEYIEDTNRDAVMIAAAKLVLADTVSKDYLGPEIVSHYVSHGASTTEIIKHLITSLKKNTNIDMGALFFEALKRAYERYMAHVHDGENQILTGKSYSECQDLASRLAGSYVGAARIKNKSDILKIIQDGVSFAFVDLPNQLSFLEAALLPFVSKLQSSDIPDILADVEKRTQDTNMVGDQGAWRPFFTFVEHLRDKHAKNEVLHDIVPDTLIFLRPYPEEEEKPVRRRGRPRKVRDVPDVPDYTVLGMYVGRNFLEMMGTILVARSLSVHLTIRVTVKMTTATVFRCQATILEGLSTGNKQPQRGLWPI >Sspon.01G0008340-3C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1C:23284055:23284525:-1 gene:Sspon.01G0008340-3C transcript:Sspon.01G0008340-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATANATATTETASASSAQANATSGTTAKPSSCTTSATQASTTTCSTTNAKPATTTSTKTSAKTTTTSKATTTTETATTTSTKTSTKTTATSKATTTTETATTTGTATKAAASAKTTSNSTSQSTTCTATKASASTKTTSSSTTCTTTKSTSSTTT >Sspon.08G0009850-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:37457893:37469990:1 gene:Sspon.08G0009850-2B transcript:Sspon.08G0009850-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPGGGQDGPATAPGSSPVAGGARSTAAAVGRRGGAGEENAACRGAVGPMAAPGGGQDGPAPAPGVPPVAGGARGRATAAPGWATCRNAAAAGGDWGRRGGADPATWAQEEGWERGGKGRKREGGEDRKYVTAKSRVLHPEFRGRMVSAFLLHHFSEVADYSFTANMETELDNVSAGSTEWKGLLKDYWERFSKYCADASKLDGRKVERMLEEKFGPILFPDVDKDSRICPSCSEGTLRFKVSRYGEGYFIGCDRHPKCKYIARSLSQQEDETEPTEESPKSFTPRLLGVMPDSDQKVFLKQGPYGYYVQVKDIDSVTLEDAIELLQYPKILGKHPEDDHPVLITHSKVGYNIKHRRSLALVPKNMDPKKMTLERALKLLSGKSVRRIGRPKGKVEKKEPIEWH >Sspon.06G0009340-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:49912242:49915152:-1 gene:Sspon.06G0009340-1A transcript:Sspon.06G0009340-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVIGGSGNQLKEQLSRFCPQKVIPLSLWNSRGHTGNAVVEFTKDWTGFNNALAFENHFEAEGYGKRDWKLKNHRGPEMFGWIARADDHRCQGPIGAFLRENGDLKTVGDLESEGAHKTDQLVATLASDIEVKNSHLEKLKSKCSETTVSLDMLMEQREQILQKYNEEIRKMQQISRRHSQEVFDERKELEPKMHELDLRSKHLDHIASQSDSDMRNLQQEKEKNEIETNHIKMATMEQQKTDENVLKLVEEHKVQFKVKCVLFHVISLEPNIYECCYCVEMQREKQAALEKILKLQQHLDAKQKLELEIQTMKGKLEVMKHMPGEQDSESKKKMDELTEELKEKVAESEEMEALNKALVIKERKSNDELQHARKELIDGFQELTGSGRANICIKRMGELDPKAFGIACRKRLSKEDAKVTSALLCSKLDAAIRNSNWYPFKVKVVDGKEMEFLKEDDEMLRKLKEDHGEEVYGLVAMALLEINEYNPSGRYPVPELWNKKAGRKATLKEGI >Sspon.03G0022580-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3A:68714721:68714888:1 gene:Sspon.03G0022580-1A transcript:Sspon.03G0022580-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPPVRTLADLDGDVLAHCAGYLGARDVASLSMSCRSLRAAAYCDAVWYRLFRS >Sspon.01G0041340-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:48587216:48588198:-1 gene:Sspon.01G0041340-1B transcript:Sspon.01G0041340-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDRGQGPDGRHGGRRGAAQLVVATRSIPSRTQGEAAEPADAAAWPAAPLCRRRLYKGMGAPLATVAAFNAVLFTVRGQLEAVLRSEPGAPLTVGQQVVAGAGAGVAVSFLACPTELINGRCRRRRRRRSSHHDRPRRGSEIQRPDRRGEAGAQIGRRHARAVQGPLPDAGARVPGNAVMFGVYEATKQVLAGGQDTSQLGRGSLILAGGLAGASFWGSVYPTDVVKSVIQYSGSMNAFRKILAADGVKGLYKGFGPAMARSVPANGACFLAY >Sspon.01G0033880-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:102357129:102366761:-1 gene:Sspon.01G0033880-1P transcript:Sspon.01G0033880-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFPHETAKAFAFTSYTCDLVVVANGRKEKIASGLLNPFVAHLKVAQEQIAKGGYSITLEPDPEIDAPWFTRGTVERFVRFVSTPEVLERVTTIESEILQIEDAIAVQGNDCLGSKTSYNPDADRALVPYKAGTQPTPPLQNHDATQEENSKAQLLRVLETRKTVLRKEQAMAFARAVAAGFDIDNLVYLITFAERFGASRLIDAYHFGVLSINRKACTQFIGLWKQKHETGQWIEVEPEAMSARSEFPPYNPSGIMFMGDNMKQTMETMSVSNGDANGEDASKADQRTSQRSGAPHEFFHGPYQSAYPPWAMHPPYSMQGMPYYPGMNPYYPSPYPPMDETRYHHSERRVSKKHSSDSKDSETSDDKSDQSGSERETSYGHRSHKKDKRTGKKKPSVLVIRNINVTSKRHGSSDSESQTGSDVASEDSDDSHTRSRKKKNKSSSSKKKDARKMVFESADEYSKDEMSYGQDGDQGNWNVFQSFLLRADEKTRDNDADLFTSEKKAPPARMKESRSVDDSILLAERNSAGANEHNTTGFSMENGRIRPRQMLSGDELMMSGEGEGTSFAGDDIKEIEAGGSMDRGSSLDPLAEAQYKSPTLVEKNVHSLADESFMIPLRSTSEDNHEPECRTAIDIDVELPSTVQKISDAKAGSQLFYEPVELMPERGCEDVSFGYDPAMDYNIQMQNQPATMVEDAHVEDASLSAVDEVKKPEKDKKLRSSQESLDKHRKDASVRGPLTDAQKRAQNLRAYKADLQKAKKEQEEEQIKRLERLKLERQKRIAARSSTSNAPTPQQPRVKPSPKVSASTNKSSKFSDAEPASSSPLRKLPVKTTPGTDPQKTAKSSKLNNNTNAVSKSTSSLIDMKEKSGRTESSNERLRKLAEPKTNSSTGHHLNSKSASADHPRRKSMPQDIQTKKISAIMQLDQSKSATLPELKVKYPQAPAVAKNAVASREKKEVSPGAKALPTTETAGVKETNGNISRMNSSDDNVVVEKTVVMLENEVVSTPPDREAQSELEYIAIRAPLSPVILPEAETPVTNGSDDQGSSYEVVAECLKDEPERPTLTAVEKPYQAPFARVTSLENASDSSPLPVREPESLVHADSIKARVPEPVYTVSVQGHEVSEKARSKEPKGFRKLLKFGRKSHASALIEGAMDSDTSSVDEASAGDGSMLKNLISQDDSGASSKASRSFSLLSPFRSKHKS >Sspon.03G0006430-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:33150243:33155488:1 gene:Sspon.03G0006430-2C transcript:Sspon.03G0006430-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLAGSALEAALQAVGRGLDAAGDHRLLYCKGAGRLVALEEDRARDLPLPDGGVLPSVPPDVEVEQCRGEPQRIRNFPYGPGSAVADAPFVCSFDKMAEYFNGKSGLSETVPLGSFNSLFSFTGSWKNDAAATKALAIDGYSLPLFRVKIRSSELTLLESVKRAIPNVWDPSALASFIENYGTHIIISVTVGGKDEVYIKQHSSSQLSELEFKNYVREIGRERFSDVENKLNATPINYSEKDMTVIFRRRGGCDLVQSFSDWKGTVASAPDVIGMTFLSIVSLVDDIPGKKHLARAVELYLTYKPPIEELQYFLDFQVPLVWAPAPPGIAGHHRKEPVCPSLQFSLMGPKLFISTEQISVGRRPVVGLKLLLEGAKLNRLAIHMQHLGSLPKIFLPHWDSHITIGPPKWQGPEEQDSRWFEPIKWKNFAHVSTAPIEYTETNITDLSGVYIVTGAQLGVWDFGAKSVLHLKLLFSRVPGCTIRRSVWDHSPSSSSIHKTDESSSSSNDNAKLLKIVDMTETLKGPQDAPGHWLITGAKLGVEKGRIVVRAKYSLLNY >Sspon.02G0015620-3C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2C:43889127:43889462:-1 gene:Sspon.02G0015620-3C transcript:Sspon.02G0015620-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MERFAAMVTAHRAAPVPAPAPAAKGKGKKGKVVEDAAYLSIQLEEIVIVKNDDVASLGAARGRSTLTSGASTPMGQRVAAVARAPPSGMSAAAAAAARGALSTTAGWIVGSD >Sspon.04G0032390-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:25829316:25833836:-1 gene:Sspon.04G0032390-1C transcript:Sspon.04G0032390-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPPPALEILVREPDGFTVWSGPPYPPGTTSPPQRLPKTACSATSFSTDGARLLATVASASATVYDCRTLAVVKCFELPGLLAAALSPTGAYLQTFQKSSSPQEKNVTVWHVDTAAALYQHYQKSMSKATWPMVQFSADELVACRMMPNEIQFFDPKDFAKGILYRIRMPGIATMQLATAPGSHVAGFVPEAKGVPASVQIFSCNKDAQNQVVARRSFFRCSTVQLHWNKGSTGLLVLAQADVDKTNQSYYGETKLNYLTTDRAFEGIVPLSKFLGFDNIYPLISGILPYHVLAEKDGPVHDVQWSSSGSEFAVVYGFMPAKATIFNKKCNPLVELGEGPYNTIRWNPKGRFVVLAGFGNLPGDMAFWDYSEKKLVAKTKAECSVTSEWSPDGRHFMTATTAPRLQIDNCIKIFDHNGSLQFKKMFERLYQADWKPEVPERFGDIADLTTSLSTLKIEETKKQGSVSAQGSKSAQTSSKAPANTALKPTAYRPPHAKGSAELQDKLFGGLAPAGGEMSKNALRNKKRREKQKEKKAAEASGSPADES >Sspon.05G0022110-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:6178133:6186747:-1 gene:Sspon.05G0022110-2D transcript:Sspon.05G0022110-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein COFACTOR ASSEMBLY OF COMPLEX C SUBUNIT B CCB4, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G59840) UniProtKB/Swiss-Prot;Acc:Q6NQK9] MELSRGIVSVPLRPVYSGHGRCGPPSGAFSPPQLSSTVEVRRRCISRALRPPQQEWVEGWVRRNDTLVRGLPILVGGASLVAVLLNRAVSGIAAVADASSSQSRADILTLALSVTDILAGLVWLSIRPKSISPSRADILTLALSVTDILAGLVWLSIRPKSISPVVPRGVECKRIGPGVSSFALHELLWTWDSLTTATCCKSLVIVYGRNCVLQIGVAAGSPEDGNALNVDAQKFLQGTLYKSAMESKKREYILLLGRLFTVALILQPIGDKGIAIVGGDTIRGFTNIDQAWIAMIADKLDATLSKS >Sspon.07G0016540-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:69709130:69710984:-1 gene:Sspon.07G0016540-2B transcript:Sspon.07G0016540-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVGREEKLLAQLRALLFLSSPAPAPATPAAPAVKVESTAGPLAAIISGSGGGRGRGPAAASSAMATADSSSCGGGGRRRRRQGSKRDRDDSKAKDEQHGEEPAAAQPHYSLPPCKRRKKKQQSSKSLVTSVPDFDGYQWRKYGQKQIEGAMYPRSYYRCTRSAEQGCAAKRTVQRNDDDGDAATATAPEYTVVYVAEHTCMANDSLEAPVILETTTVVVPSSAAATSTTTKGPRDDYHHTYTDSIVPITSAGSCSTTTITTGTESPAISDEITCWSSTSGASNDYSYVDDYYCGGFLAAAAYGGGWATKPVDASSSSLQEMEDLTGPIRSPVHVPVP >Sspon.03G0021130-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:51700579:51702047:-1 gene:Sspon.03G0021130-4D transcript:Sspon.03G0021130-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNVNTGLADEKISKCVKEVVCCSSDQMQIDQDDQDDGSCVICLEGYKDKDMLGILKCRHDFHADCIKKWLQTKNSCPPD >Sspon.01G0031250-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:102675043:102676213:1 gene:Sspon.01G0031250-3C transcript:Sspon.01G0031250-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPEVVVEGVVFPPVARPPGSAVSHFLGGAGLRGLEIGGNFIKFTAIGVYLEDAAVSALAKKCAGKTADELASDAAFFRDVVTGDFEKFTRVTMILPLTGEQYAEKLTENCVAFWKAVGLYTDAEGVAVEKFKEVFKPETFPPGASILFTHSPSGVLTVAFSKDSSVPVAGGVAIENKHLCEAVLESIIGEHGVSPAAKLSLAARVSELLTKGTAATADEPQAEPVSVTA >Sspon.03G0036740-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:55636832:55642204:-1 gene:Sspon.03G0036740-2D transcript:Sspon.03G0036740-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNWFQAAQPALQYVASGVIGFVAVQCLWNGEVKQLMGKVWDGGEDDATALLEDRGDGDGPEDGSSSSTGSNHGDGPEDGSSSSTGSDHGDGPEDVSSRIEIGPRAVQLLSIRANFCIRGINGFDWRSYMYIYRARKQEVQEEGMVDLVPIGPYRILEAYGTLGFVVYPADGTGAIEEGWSVIMEDDEVEEHTRTIDGGLGRKLEITYLVMPNAIETHVEVRLNLKDLGSRSRAVYGSIKARAIDYGSKSGGACPFPVTPHAFFHWGKNLKFCLEFTRRVTSQEREVDGDQVEVNGNVVNITWYLDGDHVEVNIAST >Sspon.01G0042180-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1B:64243630:64244682:-1 gene:Sspon.01G0042180-1B transcript:Sspon.01G0042180-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSDITGSDFDYACLLAIGVAGGAVSSWRRDLWSVSSSCVRCFSITIKLTPLNGHGEPWWLTNVYGPATRPDKAAFLQELRDVRASCPGPWLLGDVNLIYLASDKNNGRLHRSLISRFRSVIDDLLMDEIHLSGRLFTWSNGRDQPTLERLDRAFATVEWIERYPTHQLRCLCSDCSDHAPFLLVLNSEPWARPRFRFDQYWAKIDGFLDVVRAAWGPQNLDVDACRGLDQKLRALAKALRSWRATCVGNVRLQLAAARLIIYEFDVTQETRQLSQGELELQRELKANVLGLTSLARTMARQHAWTRLLRERDACTKYFHLQACHRRRKNYLFAITHNGQTFSEEEAKAGI >Sspon.08G0010170-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:39340664:39344783:-1 gene:Sspon.08G0010170-2B transcript:Sspon.08G0010170-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aspartyl protease APCB1 [Source:Projected from Arabidopsis thaliana (AT1G49050) UniProtKB/Swiss-Prot;Acc:Q9M9A8] MAATQPVTSPSPGPPEEQQHDGRQPHPRVPNGYVMIVVPNDGHELPASSSCNGDAGAADADAPEEEEEERPRPPGARATSSLWRAVVAVLALAALAVAGYVCLYAGGDAAGAAWRLLETREEDDGGDEGPAGRGSFLLPLYLKPRHGIPQNSTGNLFPEGMYYTTVSLGNPPRPYFLDVDTGSHVTWIQCDTPCTSCAKGAHPLYRPARSNLVAASDPLCEGVQRDNPNQCDYDISYADGSSSTGVHVRDNMQFISDDGERENADIVFGCGYDQQGILLNRLENTDGILGLSNQALSLPTQLASRGIISNAFGHCMTRDPSGGGYLFLGDDYIPRWGMTWVPIRDGPADDIRRAQVQQVNHGDQQLNVQGKLTQLKAASPRFVQDDSDKTLPFCMKADFPVRSVDDVKHFFKPLSLEFEKRFVFSRTFNIRPEDYLIISDKGNVCLGVLDGTTIGYDSVIIVGDVSLRGKLIAYDNDANEVGWIDSDCTNPRRQSRIPSFLRRTLHNQLL >Sspon.01G0002370-4D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:6444760:6445902:-1 gene:Sspon.01G0002370-4D transcript:Sspon.01G0002370-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVRSASTPVLGALHPSGTHSPAISSPAVHFPESPAAAYHPPPISCHLAGPGSGSDHERSRAGMRRTCSEDNLASLAGVRADDHQHHHLPPSGKGAPRARPVPLETIQSFRGRQASSTDDEEEEDAYEVERELRFGQFSFAGSTYSQEHPLFLARGLGIDRLGSGLLSADGGGGGFGGSDGGGGSNLVASGNGGDRSGIEMHYKKMIEEDPCNGLFLRNYAQFLYQVKGDYRRAEEYYSRAILADPDDGELLSEYAKLVWDVHRDEERASSYFERAAKASPQNSHVLAAHAAFLWDTDDEESGGDVLSSCAGFAQPAQSSTLASATT >Sspon.07G0011050-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7D:35101935:35103964:1 gene:Sspon.07G0011050-2D transcript:Sspon.07G0011050-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLARGNHESKSMNKIYGFEGEVRSKLGEKFVELFAEAFCWLPLAHVINNKVFVVHGGLFSVDGVKLSDIRSIDRFCEPPEEGLMCELLWSDPQPQLGRGPSKRGVALSFGADLPDLIVRSHEVKDEGYEIEHDGKLITVFSAPNYCDQMGNKGAFIRFTAPEMKPDIVTFSAVPHPDVRPMAYANNFLRMFQ >Sspon.03G0012830-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:35518901:35524750:1 gene:Sspon.03G0012830-1P transcript:Sspon.03G0012830-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWRRVLTQIARHRPTKAIYNELVASSPLGALQSDITAGARIRNLQERNQSSYIGSLARRVRDLESPSETSLLKEIYRSDPERVIQIFESQPSLHSNPAALSEYVKALVRVDRLDESALLKTLQRGVASSTRGEESFSSIPALIGAGQVTKDGALGTANAPIHMVTAETGQFKDQLWRTFRSIALTFLLISGIGALIEDRGISKGLGLNEEVQPSMESSTKFSDVKGVDEAKSELEEIVHYLRDPKRFTRLGGKLPKGVLLVGPPGTGKTMLARAIAGEAGVPFFSCSGSEFEEMFVGVGARRVRDLFAAAKKRSPCIIFIDEIDAIGGSRNPKDQQYMKMTLNQLLVELDGFKQNEGIIVIAATNFPESLDKALVRPGRFDRHIVVPNPDVEGRRQILESHMSKILKSDDVDLMIIARGTPGFSGADLANLVNVAALKAAMDGAKAVTMNDLEYAKDRIMMGSERKSAVISDECRKLTAYHEGGHALVAIHTEGAHPVHKATIVPRGMALGMVAQLPDKDQTSVSRKQMLAKLDVCMGGRVAEELIFGDTEVTSGASSDFQQATAMARAMVTKYGMSKQVGLVSYNYEDDGKSLSSETRLVIEQEVKNFLENAYNNAKTILTKHNKELHALANALLEHETLTGAQITNILAQ >Sspon.03G0047430-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3D:60562181:60564577:1 gene:Sspon.03G0047430-1D transcript:Sspon.03G0047430-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding QGSPSPR >Sspon.04G0007330-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:20532127:20532714:1 gene:Sspon.04G0007330-2C transcript:Sspon.04G0007330-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRTNHTYPPSMNATAAAATATTMIASSPSPPRPPPSAGAGAWGPYASSRAFFSNVATILIILACVSLLAFSLHAAARFLIRCLARRRAAREQQEEAQEMEAHAHAQEPKLPSAAAASAGAGRSEPGGGATGAGVWAEPECAICLSELEGGERVRVLPACGHGFHGACVDGWLAARASCPTCRAPSRPSRAGEP >Sspon.04G0006120-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:8586083:8586394:1 gene:Sspon.04G0006120-2P transcript:Sspon.04G0006120-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDAVTYTEHARRKTVTAMDVVYALKRQGRTLYGFGG >Sspon.01G0001400-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:3086197:3091950:1 gene:Sspon.01G0001400-1T transcript:Sspon.01G0001400-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHDPSLGFADYFSAAAAGATAMDDDDGAPELYGLHADMEYLGMRGLAAMPAAAHHHHHHSHGHGKAGVLLDDDAGAGPDGSGSTDDATMRFLSEQQHPSQQAPLSLSLCRPDGGGVGVGVTTLHEQHLGGGSSRYHQQQQPTPAAWMQQHDYSPHGPQPQHAWHLRGSRFLVPAQQVLQEFCSLPVDRSSAAASSKRAKPSSHHQQQQEDGGGGGGEGSSSSASWAPSPQIQAMDALELQRLKDKLYIMLEEVDRRYRRYCEQMRALAGGFEAVAGERAAAAYTALASRTISRHFRSLRDGVVAQLQAARRALGEKDVAVPGMTRGDTPRLRVLDQCIRQQKALTQAGMMETTRGARSADSPSAQSPSSGHGSSSTSCTRIQATWTSISWLARRASHGARYVSNWFINARVRLWKPMVEEMYVEEMKAEGQLEDGGSGGDQQQGVVSNNPNPSNAAAEAADDDCGDNGAVVVDRKPTRAQLLHDAGSLASVVNIGGGSRAGAAARPLENFGIMDHLDFDGYGGGGGGHHGGGGFGGGGVSLTLGLQQHDSHDGGGVNIAFGAPPPPTHHHGAAAGYLFAPTTTTAGHQMGGGLHPGQHHVQFGGASIHGEAAQHGQEHYRSLQGAGFHLLRDLAG >Sspon.03G0016300-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:51891291:51895163:1 gene:Sspon.03G0016300-1A transcript:Sspon.03G0016300-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MWALRRAGNPLRFHAQKAVSARGCASLEVLLTADAKNVEEHHGQTVRKRAAVISQSHQPSNCHFHLASLLGVGVSPLSQTVLEAPRHQLTSVLEKWAKDGNAFDRGKLYYVLLNLRKRKWYSKALELVEWVQKSQLLELVERDYAARVDLTAKVYGLHKAEQYIEKIPASHRGEVVYRTLLANCVAAANVKKSEEVFNRMKDLGFPPTTFSFNQLLLLYKRLDKKKIADVLTMMEKEDVKPSLFTYKLLVDAKGLVGDIEAMEKVVESMEKDGIEPDLMFNATIAKHYIFNGQREKGETLLESMEGDDIQKNRAACKILLPLHAFLGNSDDVERIWKVCEDNTRLDECLSAIEAFGKLGDVEKAEKVFEDMLMKWKTLSSKFYNSLLRVYADQNLLDKGKELVKRMDENHVKFGTSTLDALVKLYVDAGEVEKAESLLHKLSLKNYIKPNYSSYMKLLDSYSKKGDVHNSEKVFNKLRQIGYTGRIRMYQLLLHSYLHAKAPAYGFKERMKADNIFPNSALVTLIAATDPFVKKKSISDLLD >Sspon.04G0004300-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:13548132:13551066:-1 gene:Sspon.04G0004300-1P transcript:Sspon.04G0004300-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAVLLLPPHRRRALRVRLHSTATKAGSQSIPVPHLSTSTLRSTARHRRRRRLPLLASSSESPPTPLAAASTESQSRSSRWVVVMDTPPPPREAVGCPAQRPWVTTQPHWPRSEKEAQMRIYEASWDGTYEFRCEIDEDASKELAKMHGVLSVHPDMGNKSEKDNHSLSLSRANLVSISDGASTSSSGKNEFWLVRMEKPGVEVVTKAQMVDHYTQILMKVLGNEQDAQVSIYHISWERDYGFCCHIDEECAKELADVPGVLSVQPDTNFGSDNKDYKGDDGLKSSEETGAADIKTKRLFVTGLSFYTSEKTLRAAFEPFGELVEVKIIMDRISKRSKGYAFIEYTTEEAGGAALKAMNGQIINGWMIVVDVAKTRSRDHLSGGPNQAFRPHYQTR >Sspon.03G0022070-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:89961080:89969105:1 gene:Sspon.03G0022070-2B transcript:Sspon.03G0022070-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSSSQSQSPKTPSPRGGMGGGGGATEHARSASEPWLVTAAAASASDDSCVNDVDNFARTVAAVKSKAASCARPDMLASVLSHYAAKWLPDVAPPLASSPASSVSGRFLPPESPTATWLKKRLLLESLVAALPPDPPAAGAGGGCCAAAAAVATDDGITCDFLLKLLRAGSMVGADAALLRDLEARAARRLDQATLGAVMIPAFGHAGREHANSALLLDVPLVLRLVRGFLKEGAAAGASKASSGAASIGGGGAAAARVARLVDAYLAEAALEAGLRPAEFEELARAVPAHARPADDALYRAVDTYLKVRMRSLSIPGSSSIALMTRWHTMRACAHPNTAKEERKSLCQLIDARKLTAEAAAHAVQNERMPVRSVMQVLFSEHGKLNRLAELSNSFSSQRSPNPALELPGRCPSKREVLAQHQEVRRLREDVARLQVLRRRHLELPSPHEVQCNALQAQVDRLSSERRRQRRLLQVERVLVRRWHGCRCCEGGQLGERHGTPDAAQGEEEQHRHGDAERKVAQRLAAMKRKEGKPHTQY >Sspon.05G0003380-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:9848142:9857317:-1 gene:Sspon.05G0003380-2D transcript:Sspon.05G0003380-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPSSMDIIDLSSDSEAGIIDLSSDSEDNTLFLPYREEDVDYGDPVSPFCLSFFPHNTAPDWNNMEEFDDWRVVAKKEYDDWFSSNASSSYSPVEGISTTEVNNKLPQSFTYGSFIPQSFVPSHSSLGDNWVKEEPSMTFSGFQRCTASGSEMPSSTVPIGDILFYFIILKYYDLSHPTKMLYICASYAVAMLMLEALFIFMFFYVWKKIALAWMLSKENSSHCPGGILADDQGLGKTISTIALIQKEMVKQSRFMTAGSYSTKFVPNSDYDNDDDVVIVMAKKEPKDEPLNELDDSARLHKLCDSKSNAATHKAEPYDNDDVVIVMAKKELKDEPLNELDDSARLHIASSPKLCDSKSNTATDTAEPKKTRVRYSASNLRSKNRPAAGTLVVCPASVLRQWANELSVKVMEDNKLSVLVYHGSSRTKDPNELATYDVVVTTYMTVANEVPKENSNDEQKDSELDGICPDVSIGSKRKKQSKPKKKNKPINLEGGPLARVRWFRVVLDEAQTIKNYRTQVSRACCGLRAERRWCLSGTPIQNKIDDLYSYFCFLKYEPYSKFSNFKYMIKHQITRDSVRGYKKLQAILRIILLRRTKETLIDGEPILKLPPKTIQLSKIDFTQEERAFYLALEEGSRQKFKAYDAAGTIRENYASILVLLLRLRQACDHPLLLNGQESDLIDVNSIERAKQLPKETVTNLLEKLERGPAICSICNDPPEDAVVTTCGHVFCYQCVHESLTSDGHVCPYALCGKKLSFRSVFTPAVLKLCTSPKLEFDEKPSCSTAADKPSSICESSYISSKIRAAVEILNSIIKTPALKAGDTTESILSMALPVKAIVFSQWTGMLDLLQLSLNRNDIQFRRLDGSMCLNLREQQVNEFKTDPKVRVMLMSLKAGNLGLNMVAACHVIMLDPWWNPYAEDQAVDRAHRIGQTRPVTVSRFTVKDTVEDRILALQEKKRKMVESAFGEDDRSTAGRSPVHTRRHSTPRLRSSAAPAPILFHSAPLQRGGDHGGAAVSNERGRQREQHAARRRRRFRSVDAGHGHDATYSYDAIPDPPVSPAAPLASAIAARAPADGVDRISLLPDSLLRNVVSRLPAKDAARTAALATPWRGLWRSVPLAVVDAHILPDSVPDHTMPRGEDVLSWVVAVVVSRVLDAHPGPFRCVRQCS >Sspon.07G0005010-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:11300175:11300537:-1 gene:Sspon.07G0005010-4D transcript:Sspon.07G0005010-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVKTFKKRLDEIQTNVVKHMDQIQKDAVDPEKLKATLGDEQLNDTCDMKRAMEMVALLEAQIKDLSPNLDSIAEYECMRCPLTKHFLYQ >Sspon.02G0010890-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:23940722:23946078:1 gene:Sspon.02G0010890-4D transcript:Sspon.02G0010890-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEKAVDDVMEAAVGAHFSGLRLEALRLSTSAPSSPSSSPAAAAHAHSNGAVYANGTAEAELPSPGAARQPFVIGRSSCDPSLLAASARRSSGWNGRLGPALSRLLVLASRSSFVGPDSEFMLDFLKGVSGGTASGKTTVCDMIIQQLHDHRVVLVNQDSFYRGLTAEESAHAQDYNFDHPGTAFAVSNAFDTEQLLECMGQLKRAQPVNASDVIILEGILVFHDQRVRNLMDMKIFVDTDADIRLARRIRRDTVERGRDISSVLDQYGRFVKPAFDDFVLPSKKYADVIIPRGGDNHVAVDLIVQHICTKLGQHDLCKIYPNVHVVQSTFQIRGMHTLIRDREITTPDFVFYSDRLIRLVVEHGLGHLPFTEKQVITPTGNSVAQAIDLLIRKGVPEGRIVFLNLISAPEGIQCICKRFPSLKIVTSEIDYGLNEEFRVIPGLGEYGDRYFGTDN >Sspon.05G0009320-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:26936546:26942218:1 gene:Sspon.05G0009320-1A transcript:Sspon.05G0009320-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSEHPSGPSCSSKSAGPGVSADPATSAAEEPASQDPRDLVQPYPKFSIRSKGIKRSWPFHPHSLELCLNHGVKDPLPPFEPPDLIRSQPLNTSRDVEQSAACPEAIISVGLVKNRDAGSSNEYTGDINFQSCQPVDESLAPTPYTSPEDGKSGIDQVGSTNESDHTDEAIPIDLQDNSCTKASRRTEVAVPLRRLRNIDSSCEPSEKKCKFVVKLGASTDIRRAEDIASNSSSVSDPMASKTCPVCKVFASTSNTTLNAHIDQCLSAESNTEHVETVLVKSKVKPRKKRLMVDIYKTALPYTLEDLDRRNGTNWAVELAMSPVSKEVCTENRSPEVVSFDRRDDEREGDDDLGSRKVAKHETGKGILMSKRMLKSKVLKNKKLKMHGKKYSKTSHLNSQVQAYPHDDINEETSEEEQQAWNPSESTSNCGSRTMQRWVCSKRSDINKSLSRKHSEYMATTSEAIGIEQSNGPSKVLRSIPTWSSKTHLQSTVMPKVPRSAAALAKRKIKEIGRREATKLDNYDIVRNPTSAKRSEARSLSFSTAGPSNGPNRLASTSKKIRKHRSPLRTGKRAFSPSSTRLVHGFGEEHEPDTSHVNKKFRVTSNEGPKKFLKHTEEDTADNDFSFASDMPVSGQQNDQYDVAQETEGTQMDCEGEDAETDVPYDSVSRSDPADCCNQISDVSLSPENNRTADDDVLVEGYSVALEDPDFSEQLAHGHESNSAANNEMDEWQMEPTSTKESSPCLTSNRDMGPGGPQDNSSITSNGENSNQEHGLPLGRDSLDSPISTASTMSPQAALKDSRTNEEEPGPSTGRTVEEQITGCLNQETKSIPVATEGEQLPNEKLFPLFLPGEHFQRVSSKCCCQTNAEFYWKAGSAVAHWFKGIIIFQHVPKNQHKAQPLLRFV >Sspon.05G0007110-3C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:5C:13734096:13734671:1 gene:Sspon.05G0007110-3C transcript:Sspon.05G0007110-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVGFAKNGYANEALLLFQKMQESQLKPDEVTLLGVLIACSHAGLISEGRDFFDSMSQVYGIVPRVDHYACLIDLLGRGGHLQEAQEVIDQLPFRADGVIWATYLAACQMHKDEERGKVAAKKLVEMEPQSSSTYVFLSSLHAAAGNWVEAKVAREAMREKGVMKFQGVVGSQWVTKQAYLLYRTHIIRTL >Sspon.07G0016780-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7A:60296791:60297612:-1 gene:Sspon.07G0016780-1A transcript:Sspon.07G0016780-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTTRRPRGARSGLRRLISFLSRHRLYRTAHTVERKTCVLFDAAHLRRMMLRDRWAAASSYALSFVNYRDCSREADELNYRILALRVLTAFAAGQARSVETLFRRMYAYLRFKPDRDRIAIRRLLLAMRSDDTKSSRLYGRFKPRAVQGIMDLAAKCPELKAKTRLPRYMSLGHPEYASHILIYIYVSKSARLSPMPTQVMAEYS >Sspon.06G0008120-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:42362001:42365945:-1 gene:Sspon.06G0008120-1A transcript:Sspon.06G0008120-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLSRIQTNQYIITMVLDSLSSPHRRSQNTSFLSSAKKPQSSRDDSWSALFERHRFLLTTLLVLAFLCTIYLYFAVTLGASDACTGLEGAERIECQARSVLQHGKWSRSRDMSCEMLEGMELESSFQDKPRDSKLFKAPMSSGIPPEKRFLDKFRNRRPSSFVSSEGTSRERELLDRSIPVRSAMVPTKVWYCLFQFTLIRSKYE >Sspon.01G0023280-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:88660477:88662627:1 gene:Sspon.01G0023280-2B transcript:Sspon.01G0023280-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCNGCRVLRKGCSDACVLRPSIEWIHGAQPQANATVFVAKFFGRAGLVASLAAVPLQHRPALFRSLLYEACGRTINPVSGAIGLMWTGNWDLCQAAAAAVLRGDSLRALSTIPAAFTDCDMDGLYGDVGLGLATASSLSSPENSSSAPSKKRKNVANGGLAAGVPAGCQQQRPPAVVLQSCDLDLCLTPALSPLAGGLVRGCGGGASDEYSATTTCEDQQPATGDLAEARTPALLNLFN >Sspon.02G0009240-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:55525612:55528002:-1 gene:Sspon.02G0009240-1P transcript:Sspon.02G0009240-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTDDPSTATASSSDDTFFDALDSLPSPSPSPSPPPSPSAPPPHTPSSSTLRRRPRRAKSLKQPDSVLSPSPSASAATSTVTAVEDEPLKPDSSEATSAAPRTVPASEVEEEEEEEEVEHNKATDADADADADADVEVEARAPTPTPTPAPSILEYLAVLVIKAVVFQVSALISCLSFPVRLLQWWFLFVTDPLGLARRARTWALGVAGDAVGTLTARLGGGEGVGKVAQRIVWGSLWAAYVCVVLCALLIMAFVGGGLLVGRIVEEPVQVTETLNFDYTKPSPMAFVPVPRLVPPNHRMQLEVSLTLPESDYNRRLGVFQVKAEFLSADGKVISTSSQPCMLKFKSVHMHFIETFLQSVSLLSGYSSESQVIRLKMRGITQGLEPTTAVRIILEQRAEFGPGAGIPEIYAASLKLEAELPLFKRLLWNWRWTLFVWSSMGFFVFELLFALVCCRPCIFPRSGHNVAAP >Sspon.02G0046930-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2C:9180665:9181139:-1 gene:Sspon.02G0046930-1C transcript:Sspon.02G0046930-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding EGKNNRKATAIHEARTRRSKQNGTNISSPRIGLPSFSPSRYTKHRKPTAIAGQEHDGLIQNEETAIRANSPLFLAPRAGQEQPDANRNLRGKNTTATNSTRNREFLSHRARTRRPQIRREIANSSPNGQEHDGRINTTGNETTREKKSKNGEEEDRR >Sspon.01G0033660-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:113406201:113413732:1 gene:Sspon.01G0033660-1P transcript:Sspon.01G0033660-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPPAAADSSSPDPTPQDQAPASPSPSPSASPAKRSAWKHPSPNGPAVMDASHWPALSDAAKGVKLPDSSAPSPAPVAAPSAVANSSSSQKHGGHHGRHKSARRGGGGGGGGEHSPRDHPDRSAAAGWDHAGGGGGRGAQRNHNNGGGRRGNGAAASATGPSHHGSGAGGGSGGGGGGGFGARRRGAYEPPFYRGPPPMGMGHYMRGAPPPPPPPPMTVAPPFMGPPPPPVSPMRPFAGPMVFHDMPSPVSPVSPIYFYGPPPPEALRGLALAPPMVGPPAYPYFQAQPEPQPEPEPQPDPEPDAEEERVKLLKQIEFYFSKENLCSDVYLRQQMDGQGWVDISLIAGFKKVQGLKKDLQYIKETVQSSSILEMKDDKIRKHNDWEKWVIPRESNPDAPSSSASIPRPNVNNLTAHLGGMRLHESTSSTGMVEPNHHDVIQNGSPSGNEEAPVAEESSGQQ >Sspon.08G0024340-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:63431895:63433263:1 gene:Sspon.08G0024340-1B transcript:Sspon.08G0024340-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MIIRCRTNVPSSMVAAPSKCQPSAAALVVAPPAGARRRLRCRCCEDTLGVPRRRLPQQQQQLPAVPGLHLNHTQQQQQQQQAAAPAPHPHLAPRPRRIVLVRHGESEGNVDEAAYTRVPDPRIGLTANGWRDADDCGRRLRDLFSQDGDDWKVYFYVSPYRRSLETLRGIGHAFEPHRIVGVREEPRLREQDFGNFQDREQMRLEKEIRLRYGRFFYRFPNGESAADVYDRITGFRETLLADIDIGRFHPPGTTTTGDINIVLVSHGLTLRVFLMRWYKWTVRQFEGLENLANGGALVMQTGDGGRYSLLVHHTADDLRAFGLTDEMLEDQMWQKTARPGELNHRFMTNGQSFFHPFTTIY >Sspon.02G0026900-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2A:95972667:95973077:1 gene:Sspon.02G0026900-1A transcript:Sspon.02G0026900-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRLRPCFFLFLSLSRRCDASSPPVAADANAASLLDGSCAHGPLHSLPLPLHRRRRRPALPRVARCTRTERRRRTLIRRPCLMEPAGMDRFIHRFFLSTGRSGGQPCLGLRAAPDGEAATATALGPAASTRQERR >Sspon.01G0063230-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1D:111805083:111805316:1 gene:Sspon.01G0063230-1D transcript:Sspon.01G0063230-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding QEGLPPTGRLLKMLENLQITESQALDSLTRDKRWNHTLLGGACLSADRHHAVAPGHHTSEGLNRPRGRTGASHGWGR >Sspon.05G0022760-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:4891621:4891911:-1 gene:Sspon.05G0022760-1B transcript:Sspon.05G0022760-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DGERERQGEGVVVGGGDERGHRGGAQGPGRPVPLELRVPAGGAARQEASRRERLRRPRQQRLVGLRCGGRGGEEESQAAGGGAPDGHVPQQLGSQQL >Sspon.07G0026560-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7C:54746033:54747000:1 gene:Sspon.07G0026560-2C transcript:Sspon.07G0026560-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPKFNGNWSANEIEMVRSIIASHDANSIYTNNRNAKHYGIVDELQARFPRKDKRQVIDLYVDLVVEMVNAIAMSSNQLPMMVSNDLVVDNFGVMVENPGMHGMDVSHGYLTDEMKAKRMVEEQHHMKVVVPQQDKQRARRFWTLEEHRNFLLGLRAYGRGNWKNISKDFVTTKTPVQVSSHAQKFFRRQESTTKKQRYSINDVSLYDTKPWVQDNSSSWEAFTSNAYNPYSYGFGGQLASMDNLTQVYSPFQCPASQ >Sspon.01G0025280-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:89386774:89395282:1 gene:Sspon.01G0025280-1A transcript:Sspon.01G0025280-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMTLLLNGAGDDNGYEGGGGSSDEEVQETADLGGLPVPETGSPSGEDKELKNRLLNKYSGYLSSLWRELSRKKKKGKLPRDARQKLLHWWQLHYRWPYPSELEKAALAESTGLDAKQINNWFINQRKRHWKPAQPTMALTTADYRLGPHGGGGGSSSSASAGLRVEGQYFTGGSSNELHFHATIAAASLGRVVLVDGECLGDLAGGRGHVDVVLAVVAAELVLAILVGVAVRVREPLALELQQPAACLVDLPLGVDEVRVVAAHEELPEAPEHDAFHGRVRVRLPQHHLQVVGAHVLVRRRHVHLREPDRQAEHGEPVEHRADHWAHRVADVALQADAAHGRALLLQPDDLLDVGLGRGAGVAHALDVVVVDEEQRVRVELAGRLEHGGADVLAQLVLVEGPVQHLVIDVVVLELALVPGEQPVDAAAHGGGQVVGGQRLHPLLHGVVHLPEDAVPAHAHAMVGAETEEVVGVAVVDLPALRLRPVPLELVAEHRPVEVLGEEVDEVLVVHVGAHDAGAQREAVRHLAHAHLHAVGLLDGGPVGARHLEHHVPLAHLIGEPLEVLDAGLPVHGEHLEPRDHEVDAGVGALDVHHDARRRLRLHAERAADLVPALVARQDLAAVDPLAVHGEGDVDGLRRAGAAGLLGLGADAYPGGDLVRGVERELVGDDLRGAHPRLRAVGDVDVLAVERLVVQRQGLLRHGREHPRPAVVDGQRAGAQREPPVEAVVQVAVLDDVGAVLKILHQRQPK >Sspon.05G0001140-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:5963405:5964331:1 gene:Sspon.05G0001140-2C transcript:Sspon.05G0001140-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLADKYSSLLPSLHQHRSKPSRRRSQQQQKGEADRFGAALAARLRGLLPLPASPLAALARVADLLALTLADAGSALAAAGEGDAAAVTAHLDAGFALLDACNAIAARLDRLRRRRLLARLALHLLSSTSSSPSGRARARAALADRSDHPAASPSPLAPLPSLPFEQPRGRLSAAARVLAAVDAVSSLAAAAAAAILVGGPTTFPRVSGGGGDLPWAEPFNAVSGQLAALEGAGEVGAVDEAVRRLASALDAGTDDEAAVRAAAQEVERRTEELAPLLDRLSDAVGGVFRAALGLRNAELGCFMVGPV >Sspon.04G0010050-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:25113857:25116074:1 gene:Sspon.04G0010050-2P transcript:Sspon.04G0010050-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRGEIGIWRGGGPVQSMEASPAAGGGWRWKLKGEGGGGRPSMVVTASRKGVTVAQERGPPAAGRAGAEEGPAASREEATVAAILAGAASVSEGAASVTEWVAVVRAGAAPAASRERAAAVGRAPASSRERAAAVGRAGVGGRVPVGRSATLGPTLLEQTGRVDQLKRDEGEGIGGKREKMEGGGERGRPAMSGGGWGDSGHRRNGNGNGMYYGTPFYGGYGYASPVPHPNMYAAAYGAYPYYGNQQLVS >Sspon.07G0018330-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:78721946:78723686:1 gene:Sspon.07G0018330-3C transcript:Sspon.07G0018330-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKPSVPRGGGEKVGVLALEVAALMSRTAGLWRALDADQLARLRGDGIRLEGVRRLVADDDAALLALALAEMAAACRDLARAVSRLSARCADPLLRRFDALFAALVKRGAGIGGDPHGLRYAAAKKMDRKARKMQRLVAATAHLCHELDVLAELEQQQKQLAHRPRPPRRQRRQRRRDGDQPGGVRAPRRGWRWSGSARRRSGRPTFDYAVRLLARSLFTIVARIIEVFDLEPVALLRSASASVDDDRASRLSWSTSFVGGSMQLQSMVYPSDAATADAPRKTMLLRARSGKLTTTTGAGDARRFLVSRSKSIRQQLSLRWPGGGRQAPHRLRRLRARTGGTTRTTGAHLPLSFSYVSSAGGADDDFSGSGIISFHSQAASGGDARRRHSTTTTSVFHSSSRDLVTHPPESSLGAAALALHFANLIMFIEKLAASPLHICPDDRDALYGMLTDRVRASLRARLRPAAGTPCADPVLAAEWSDTVRRILAWLAPLAHNMVRWQAERNFEQRNVASGDDATVLLLQTLHFADQRKTEAAVTELLVGLNYMWRHETDLEDKVRLESAAGRRRLR >Sspon.05G0025580-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:21565805:21573957:-1 gene:Sspon.05G0025580-2C transcript:Sspon.05G0025580-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MACISCPARPIFSFMASATPSWWPSALARRVSSLMVPFCRVSRFPHEPRNIATTNRVKGDESGKSDRRLSISSANVITRSQNKHSAVTQGMKNITSGRILEVIAGDGKSDKDDCRVASEFIYDKRDTSGQIVRHTGYGEEDQRNTTDNHRGEIVASNDKEVSDDEEYTLDIILPKSRHRDGSIYRGMDRWWKSLFHIADRNETRLEAMALSNRPNCIIRNGTCVKHYPRRMLQILSLELDEFLVDGGLVELYGYIAVRDQLDPLLNYVVNFSRDDPIVVEQGSLINMTGPKRGIDMMDLSLIEYDMRIKTGEEEKNDLQLIDGASLIGSSGQWDQPLTMRIPGNYGAVDITLSRFNSAVEATVEVLISEVQCSFNLSLSCLTSQLSKEIRLFHGAIAGSRGLQRSVVAIPWNSSINLKFKVGALSSSSNQHYCSFMAKVHGHDTQEIKTPFALISVKVTWSMLPIALGCLY >Sspon.06G0026800-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:70320381:70320728:-1 gene:Sspon.06G0026800-2C transcript:Sspon.06G0026800-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASAGSAAAVLKPAVPAACIVLLLLSAMGPPAMADLRDDCRAFCDPRCDRYTSDLCGSIIHISPLLDPLSLTCTERFYGVCATTCVTICTANTLTPSGSPVPETPPPPPPCKQY >Sspon.04G0032940-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:39833844:39837465:1 gene:Sspon.04G0032940-1C transcript:Sspon.04G0032940-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heat shock factor (HSF)-type, DNA-binding domain containing protein [Source: Projected from Oryza sativa (Os02g0496100)] MEVAAARGAGSGGGGGGGPAPFLLKTYEMVDDPSSDAVVSWSDASDGSFVVWNAPEFAARMLPIYFKHNNFSSFIRQLNTYGFRKIDPERWEFANEYFVKGQKHLLKNIHRRKPIHSHSHQPGALPDNERALFEDEIDRLSREKAALQADLWKFNQQQSGAVSQIEDLERRVLDMEQRQTKMLSFLQQARKNPQFVSKLVKMAEASPIFADAFHKKRRLPGLEYITEATETATSFFDDHSSTSRQEMGNLLNQHFSDKLKLGLCPAVTESNLITLSTQSSHEDNGSPHGKHPDYERTGMECLPLVPQMMELSDTGTSICPSKSVSFTTAANDDGFLPCHLNLSLASCSMDVDRSQIPVANGNTVDEKDGPAEVTTPAMEKDGSIPDRCHDDTQNEASGDAGAAADATGRQHQGSQAPPEEHAAPQVVANDKFWEQFLTERPGCSEAEEASSTLRRDPDHTQAYEGTTSDRRDMGQLKL >Sspon.02G0017890-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:54702800:54711661:1 gene:Sspon.02G0017890-1A transcript:Sspon.02G0017890-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDAGEIHALGSLRRDGSVWSGASNVFSSLSDAGSGGGGAADDEEALRWAALERLPTYDRARTAVLTMPEGDLRQVNVQKLDPQERHALLQRLAWVGDDHQRFLSRFKDRVDRVGIELPRIEVRYQNLNVEAEAYVGSRGLPTLFNTYANVLEGIANALHITPSRKQKISILHNVSGIIKPHRMTLLLGPPGAGKTSLLLALAGTLPSSLEVTGNITYNGHTMDKFEARRSAAYVSQHDLHMGELTVRETVNFSARCQGIGHRYDLLVELSRREKDAGIIPDKETDTYMKAAATGEQKADVVTNHILKVLGLDICADTIVGNNMLRGISGGQKKRVTTAEMLVTPGRALFMDEISTGLDSSTTFQIVNSIRQTIHILGGTAVITLLQPAPETYELFDDIILLSDGQVVYNGPREYVLEFFESVGFKCPQRKGVADFLQEVTSKKDQRQYWKHGDDTYRYVPVKEFAEAFQSFHVGEAIRNELAVPFDKSTSHPAALKTSKYGASVKELLKANIDREILLMKRNSFVYIFKAVQLTLMALITMTVFLRTNMHRDSVTDGRIYMGALFFGILMVMFNGLAEIGLTIAKLPVFFKQRDLLFYPAWTYSLPSWIIKTPLSLLNVTIWVFITYYVIGFDPNVERLFRQFLLLLLMNEASSGLFRFIAGLARHQVVASTLGSFGILICMLLGGFLLARENVKKWWIWGYWISPLMYAQNAISVNEFLGSSWNKQVIPGSAEPLGKLVLESRGLFPEAKWYFDESNDEAASNHATAIRAQGVTETRLELLKGISGSFRPGVLTALMGVSGAGKTTLMDVLAGRKTSGYIEGNITISGYPKKQETFARVSGYCEQNDIHSPNVTVYESLAFSAWLRLPADVDSSTRKMFIDEVMELVELLPLKDALVGLPGVSGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRAIRNTVDTGRTVVCTIHQPSIDIFESFDELFLMKRGGEEIYVGPLGLHSCELIKYFEGIEGVNKIKDGYNPSTWMLEVTSTMQEQITGINFSEVYKNSELYRRNKTLIKELSTPPEGSSDLSFPTEYSQTFLTQCFACLWKQSMSYWRNPPYTAVKYFYTTVIALLFGTMFWGVGRKRDSQQDLFNAMGSMYASVIFMGVQNSGSIQPVVSVERTVFYRERAAHMYSPLPYALGQVVIELPYIFVQSLIYGVLVYAMIGFEWTAVKFFWYLFFMYFTLAYYTFYGMMVVGLTPNYNVSSVASTAFYAIWNLFSGFLIPRTRIPVWWRWFYWICPIAWTLNGLVTSQFGDVTETFSDGGCVYLTLSKTTSGTITTCCGWLPWWWSHFLCSLPCFSGSRSRYSTSRRDKR >Sspon.03G0017300-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3A:54269251:54270378:1 gene:Sspon.03G0017300-1A transcript:Sspon.03G0017300-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTIYFEDPNTFPEIDCFTYETASTYGLPLETIRRDYKSGLEALLKEKLPRQGTRNGDPNAVGQQEFSPSSPGWPSFMRVNPILDWSYRYTSIGSIHNTVPTKLLRDGSGGYRPAYTLSDGSLERAGRAKNAGKKNASVNGRNSNEPRRRSKL >Sspon.04G0005330-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:15941233:15944576:-1 gene:Sspon.04G0005330-3D transcript:Sspon.04G0005330-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Adenine nucleotide alpha hydrolases-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G21620) UniProtKB/TrEMBL;Acc:Q94II5] MERGSTRWMETVEENVEEYSWREVVLPHLVPLVPGAPPELERETGERRRGRDLLVAVDFGPNSKHAFDWALAHIARMADTVHLVHAVSSVQNEIVYDKSRELMEDLAVEAFKTLLVRTKARIVEGDAGKVICREADRLKPAAVILGTRGRGLIQSVLQGSVSEYCFHNCKAAPIIIVPGKEAGEQSCFEGR >Sspon.07G0024570-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7B:25815366:25819179:-1 gene:Sspon.07G0024570-1B transcript:Sspon.07G0024570-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSTMDENKAPEEKEPENMDHDANKTGDPPASQLSDKQPNASVAPSGQHKNKAATGAPTDLHMGNDIIDHPDLPLDTDIQRGVETGMTPDGTAQWKASLMQSKSDYGLLKKSKALSGGAVSPVRTSKRNTSTSEQDSLEKATKLKARKNLESSLIKVADMLAILIARAKEAGQIEGVIPHLVPDGLSILQYADDTVIFMGHDVEKVSERRVTNSFLDGHLVGKPTIKR >Sspon.04G0014970-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:56049893:56056680:-1 gene:Sspon.04G0014970-1A transcript:Sspon.04G0014970-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAHLLRHSRKLRSLQNAVGCENFSLVRHFSSGSGSFIAKENGVGKRTRGTRFSQHNQPARELETFSLGVVSARPFSSSADLPPHQEIGMPSLSPTMTEGNIAKWLKKEGDKVSPGEVLCEVETDKATVEMECMEEGYLAKIIQGDGAKEIKVGEVIAITVEEEGDIEKFKDYKPSSSAEPVAPAESKAQPEPSQPKVDEKKLTQAPEAKAPKIEEASQSGDRIFASPLARKLAEDNNVPLSSVKGTGPDGRILKADIEDYLAKGGTREAFAAPGLGYIDIPNAQIRKVTANRLLASKQTIPHYYLTVDARVDKLVKLRGELNPLQEASGGKKISINDLVIKAAALALRKVPQCNSSWMNDFIRQYHNVNINVAVQTEHGLFVPVIRDADKKGLGTIAEEVKQLAQKARDNSLKPADYEGGTFTVSNLGGPFGIKQFCAIINPPQSAILAIGSAEKRVIPGSADGQYEFGSFMSATLSCDHRVIDGAIGAEFLKAFKGYIENPTSMLL >Sspon.02G0038470-3D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2D:25660604:25660822:-1 gene:Sspon.02G0038470-3D transcript:Sspon.02G0038470-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEAYALVLASQQRPEREREEEARRSEVDAKAEEFIRRFKEELRQQRLDSISNYTQMLRRRAPASSGRRQRPD >Sspon.04G0008910-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:25918260:25919007:-1 gene:Sspon.04G0008910-1A transcript:Sspon.04G0008910-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKAERRRWRPMADGGSSLESRDAAAARTEHRKWLTHERIAQKIRPYLLEDAKSTDDMTIIAAANSCSRSYLHRRS >Sspon.06G0014380-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:76095912:76096818:1 gene:Sspon.06G0014380-1A transcript:Sspon.06G0014380-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQSGKLLLLLPALVLLLLVQAQGARPAAASKAKPTSKCAASSVTVSQSNTGDKAGYDPVFEVTVRNTCRCAVRAVYLRSEGFASSVAVDPRLFRREGRDYLVGDGRRIEPAAEVRFRYAWDRAFRMTTATVHDDCS >Sspon.05G0010740-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:30305649:30307929:1 gene:Sspon.05G0010740-1A transcript:Sspon.05G0010740-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFIRKERDIFLSDLARFQPNGQAPISSTDKPLKPQVRANGVDVATFTPPRRLETDEIPLVINDFRVAARNAIEAAPDSNPEALGLYMANALDKFRILYCHMVEPRMVKVGEKFETPYSLRPMRDAFKGTFIVAGGYNREDGNDAISGGYADLIAYGRLFLSNPDLPRSSKEIEKRINQL >Sspon.01G0008840-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:29789582:29790555:-1 gene:Sspon.01G0008840-2B transcript:Sspon.01G0008840-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding PGRAKMGEKEWYFFCHKDRKYPTGTRTNRATETGYWKATGKDKEIFRGRGILVGMKKTLVFYRGRAPRGEKTGWVMHEFRLEGKLPHPLPRSAKDEWAVCKVFNKELAARTEPMAAAAGAELERVGSLGFLNELLDSAELPALIGADVDEVIDFKGPASTSGHAGAPGTSYLPVKMEEYALLQMQYQQQQPPPMFYSSQYFSLPAMNSGDLPPAIRRYCKAEQQVVSSGQTASVVSPSRETGLSTDPNAAGGYAEISSAVTPSSSSHQFLPELDDSVLNLADLWKY >Sspon.02G0009880-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:22287188:22288942:-1 gene:Sspon.02G0009880-4D transcript:Sspon.02G0009880-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPLLCLATAALAVVAVLVSATEAWRATPPPLPVLPIPSVPQLKWQRREVIMFFHFGMNTFTDSEWGTGSEDPSLFRPAGLNATQWMDAARAAGASLVILVAKHHDGFCLWPSQYTAHSVRASPWRGGRGDVVREFVDAARARGVDAGLYLSPWDRHDERYGEEVAYNEYYEAQLHELLTGYGSVSEIWFDGAKGKNATNMTYHFQEWFQTVKQLQRSINIFSDDGPDVRWVGDEKGYAGTTCWSTVNRSMITIGEAGIEKYLNEGDPRGRDWVPPECDVSIRTGWFWHKNETAKPLSQLLEIYYNSVGRNCVLLLNAPPNSTGLVEDADIARLREFGAAVATIFGTDLAAGSEARASSERGAGFAARNVLDGRDDTYWAPSTAGDGRRNGYWIELRLRRRPDKQARAFNVVRIQEHVALGQRVERHAVYVDGAPVANGTTVGHKRLHRLLCPVAGTTVRVWIAARRGPPLLSAVGLHYDPFVAADTM >Sspon.08G0021170-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:36990052:36995428:1 gene:Sspon.08G0021170-1T transcript:Sspon.08G0021170-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPDTRQDAGGGVAALGVSCFDIKSFVASLALLTLVMALWQLHPYQPLLSASRPSSTCPLLPRPPISASSRAAATVASFASANSSANAASTKTASSAVPAVTTTKPAASVLPAAQPRDPNKREFRSYGSAAALFVQMGAYRGGPRTFAVIGLASKPAHIYGTPYFKCEWVPNQDPSSPAPPRAVRTKAYKMLPDWGYGRIYTVVVVNCTFPTNPNADNRGGKLLIHAYYSTASRRYERFVALEEARGSYDESRFRPPFPYEYLYCGSSLYGNLSAARMREWLAYHAHFFGPASHFVLHDAGGVSPEVRAVLDPWVRAGRVTIQDIQAQAEYDGYYYNQFLVVNDCLHRYRHAANWTFFFDVDEYIYLPDGRTLQEVLGQLEPYTQFTIEQNPMSSKLCVEDPTMEYSEMGF >Sspon.04G0008010-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:22610735:22610956:1 gene:Sspon.04G0008010-2C transcript:Sspon.04G0008010-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MIEVVLNDRLGKKVRVKCNEDDTIGDLKRLVAAQTGTRADKIRIQKWYTIYKDHITLADYEIHDGMGLELYYN >Sspon.04G0015230-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4B:61001808:61006670:-1 gene:Sspon.04G0015230-2B transcript:Sspon.04G0015230-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRAGGGRRPRAVFMAFGTQGDVFPIAALAAAFARDQQEYAVAFITHSAHRSLLAHLAASNVRYMPVSSPPVLAAEQVENISSMVSAKKLWSAQVTCPFLLDQFYWSERLHWLGVAPEPLQRQNLVPDNDDALSIHNAADVLVGAIRSALSLEIKAQAARIADRLSFEDGIGEALRILKERVLTQNKT >Sspon.03G0026870-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:3973960:3982151:-1 gene:Sspon.03G0026870-1B transcript:Sspon.03G0026870-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPGTRMLVSPPKQSVEYPVGRTTAESSSAPGGLGVGMIVEAAIRSAGVALEVGAAVVGAVHAGDAVAWSQQADPVTAHSSMAPSMAMVVGVGAAACVVVDDGAAAVGVVVEVDDAGEVDEAGAAVVMTHGRIGGLLLNARHTARSVTKCLSCGGCSCCGGRTHDDDQEAARGCMAKVISSACKTTQAVGNCIPCPSFPRNWCFKAQQRERVPAPKLKFDRTEISRRMKDIVEQLKPLYAKVATILELQIFGFNHSMTHSIAPDRPKTTPEIIEFKLYGRDNLKKKLVDDTTHGKYCANDLTVVSIVGPGGIGKTKFTQHIYQEVSNHFQVLVWICVSQNFNANSDQQSWKNHAYLHNLGVEIVKKLKGFPLAVKTVGRLLRNKLTVDHWRRVLESKEWEVRNGDDDIMPALKLSYNCLSFNLQQCFSHCALFPEDYEFGREELIHFWLGLGLLDNCDRNNRIEDVGSDYLDALIDHGFFQKSENEDAPPNYVIHDLLHELAVSVSANECLSIHGSNVNSIQVPASIRHLSLSIDNTYVKDRMTFENFKTDLTILGKQMKVENLRTLMLFGQYHGSFSKTFSDLFRKAKSLRVILLSGASYDVKNLLYNFSLLIHLRYLRIIMDNYLCHTNRISLPSSIPKCYHLMVLVLDNIKEYNFDCGSLGREMRNLVNLRHFVVPYDSFYSSIFEVGKLKSLQDLSGFEVKRETNGFELKQLGQLQQLQGSLGIYNLERVEDVKEADEAKLVHMNHLHGLRLHWENRQCIKGMKQEEDILESLKPHGYLREISIKGHRVSLSRRCVLEKISTSRELCTTTEHGEECISYITGQRFLNLKRIELHSLPRLKRWHGNDNSQLFSCLEELMVSRCPELLELPISHCTCSQAEQRFPKLQKIDISDCPKLLSLPPIPWTIDLSIANISRVGTIIKELRYRKDARLYIVLSFHNLRQIEQLSVSECPPMPLEHMQMLASLRILMLTDCTHVLWPVQGDSSAQCQIPVESLNIIDCNASGKELTQLMCYFPKLRSLKLWLCKMITELGVAEKQMTGRQAPLSAASASKTEKAHEGQRRQQTRGEEIEAIDEGEGLLLVPREMLTLTIALLRTAQKLEKGSKDWALSLHWLYEVAPSSSSPPVHPLLIPLSRPPWKACLSVILQSVPAGLSGLPSLKTFEISDLNSIQSIPKDGFPSSLTELRIESCPALRLLPKGSLPSSLQSLKIIGCPGIQSLPKGSLPSSLQNLEVRNCPGIQSLPKGILPSSLQNLEIILCPGIQSLDGLPDSLQLLDVSCSNEELSRQCHKLKGTIPIRPNLFSVGSPSFWSSLNMANTYTSMAPGFLGVPLPAWLIRFWLYVRALSTVAPFRSADGHPLSDTTTLTPPPPPAFSSAARTASTIASKRLLYTSPLAGSVTVVELGWNRA >Sspon.07G0005840-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:13094188:13098837:1 gene:Sspon.07G0005840-1P transcript:Sspon.07G0005840-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DVPGGDGHAAGAAAGGVAGGQGHEPPAAGPRLGRQPRNLRHPQRRPLQTKDVVKAVKKRLQHKDPKVQFFTLTHDMQVRDKALLLLDSWQEAFGGPGGKYPQYYWSYIELKRAGVMFPRRPVDAPPIFTPPATHQAYGSPRYPSGSLNERITSDAGTLSFEGLNNIRNATELLCDMVNALNPADRWQLKMKLLQILKNKSVMSSDEASSSAGDQALVTVDPALSEVSSVASNAVVPLDASSVSGTRTKEQDMIDLLSLTLYSPPESSADSSTQNQNGSQPSVTSNGPETLPSYQPAAANEANYPANNQAYPTNQGYVPYNNYVAPWAQTGPVAQPGAYPTQPQQYVTQPQQYVSSYPAPPWVMPASANSANPFQPATYQTPNPPAASVAPAATYPTTSKPYAAPSMQLVPSPAPKPVQSYNSSISQTYTGPNMATDARMNGNQRPKETPVAAARPYYMPDNLFGDLIDVKSFGAGSKINRSTSMPSPKGGGQPMIGRNK >Sspon.02G0014550-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:41331710:41333648:-1 gene:Sspon.02G0014550-2C transcript:Sspon.02G0014550-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVIREALLSQLQKDRLRQEIIQAELSKIEHAMVLRNGSCHGIAADDVEWTKPVPFTFREQSMPPWRWSVSQECYVDVDEIHDPKQKEGRHKSVALKSEKPTMEDRVGECLRPCCCNCKAGRKHRSKEASNQKVQLTEESSSRTGQKTSSIKWSCSTCQVNGTSESDLKGHLNGRTHQQNIEAQLMEGDSMVKNNELQEPECHKSNAPQHSEKPPSVCSSAICLANCAHELELGGHLLAKLQALLDEMRNMSRNSESREATVLPNIAPQNAEQTSGSNCSIFQADSDCQLDLEHQIGSKIHQLNVQDLHEEAKKTGDFPPEIAKNQQPPSEWDCVICQAKCYSASQFVHHRRGKKHQKMMDALQGEGVNAKSSNLTMEKKVASNGSDSNSSSSEKVEEQTALWPCGICNLQCGSESMLADHCEGEEHMEKQKLLNFCEVCNLQCNSEKMLAHHLSGNKHQKRLNANKRNAVVAFVCQNSNGEIVQ >Sspon.07G0013570-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7D:48439565:48441186:-1 gene:Sspon.07G0013570-2D transcript:Sspon.07G0013570-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEDLLIFTRGGLILWALSGGGGGKGSPVDALIRTCLLEERSAGDGPGFSQDGKRALKWAFHNGLGLVFVAVYRRVLRLLYVDDLLAAVRAEFARVYHPKRTTYDGFGDVFQQLHLEAQARAEEMSRPNKQKAVDAAPPRRPRPQPAVHNARGDSESDGNGDGDGSKQDASDGISEENEESGADAKPKDGPAFDLSFFSNLRTRFIHRNKNNTNTNKPTNNKDVNKRKNRRDWNNNINGHSDMKLDFSDLADESKRADNATKGWFSSVFQSIAGGNSVIKRPDLQPALKALKDRLMTKNVAEEIAEKLCESVAASLEGKKQGSFTSTASTVQAAMEATLVRILTPSRSIDVLRDVHAAKDSGRPYVIVFVGVNGVGKSTNLAKVAYWLLQHDLTVTLAACDTFRSGAVEQLRTHARRLQIPAIEEAARSRSDVVLVDTAGRMQDNEPFMRALSKLIAVNSPDLVLFVGEALVGNDAVDQLTQFNQKPADLSAVPDAEKRSVAD >Sspon.03G0045750-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3D:24147544:24147858:1 gene:Sspon.03G0045750-1D transcript:Sspon.03G0045750-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHAPPHEKKGPGLVCAGAPAGPGPRRPIDQCPTPSAPRGRRGAPRGRGRDVLTYARVGTVHLAVETGAPTSYAAVCVRMAKNNGGQCAARRCAQEPLVLSFPAR >Sspon.06G0024740-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:57105276:57105629:-1 gene:Sspon.06G0024740-2C transcript:Sspon.06G0024740-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIEMPRGLPFAVDTWTPASSLKRHRFLTHAHRDHLAGIATTSAVSASTSSPVYASRLTILIALRIFPQLDRAAFIELDVGDPPPRVPDPDGDFTVTALDANHCPGQLAAPPPPHFP >Sspon.04G0019180-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:72516967:72519794:-1 gene:Sspon.04G0019180-1P transcript:Sspon.04G0019180-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLPRISCSATEEVSGAVASVTVEQMLTVRATVEASPAIGQMYFERALDDVHDLLGKTLLMELVSSELDAKSGLEKPRVTAFAHKTLREGHYEAEFEVPASFGPVGAVLVENEHHKEIFIREIKLVTGGDSSTAVTFDCNSWVHSKFDKPEKRIFFTLKFFQTCLVTAARTTTYVVACMALTVVSRGVPCVQSYLPSDTPKGLEDLRKKDLQALRGDGSGERKAFERVYDYDVYNDLGDPDKDPNHQRPILGGSKRFPYPRRCRTGRARTKRDPQTEKRDGHHYVPRDEQFSEVKQLTFGATTLRSGLHALLPAIRPLLVKKELRFPHFPAIDDLYSDGIPLPAQTGLDAIRTVVPRLVKLVGDTTDHVLRFEVPGMIERDRFSWFKDEEFARQTIAGLNPLCIQLLTEFPIRSKLDPEVYGPAESAISKEILEKQMNCAMTVEQALAAKRLFILDYHDVFLPYVHRVRELPDTTLYGSRTVFFLTDLDTLMPLAIELTRPKSPTKPQWKRVFTHGPDATDAWLWKLAKAHVLTHDTGYHQLVSHWLRTHCCVEPYIIAANRQLSRLHPVYRLLHPHFRYTMEINALAREALINADGIIEESFWPGKYAIELSSVAYGATWQFDTEALPNDLIKRGLAVRRDDGELELTIKDYPYAHDGLMVWNSIRQWASDYVSVYYKSDEAVAADPELKAFWDEVRNVGHGDKKDEPWWPVLNTRDSLVETLTTIMWVTSAHHSAVNFGQYHFGGYFPNRPTTIRKNMP >Sspon.01G0023050-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:83660029:83663166:1 gene:Sspon.01G0023050-1A transcript:Sspon.01G0023050-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCSHCTTIRQGQAIEFGSKMQGGGGIVELARKAAASARTEWAAQVASSRPVWNYHNVQQRKNRIGNVVKKMALHNLRQGASGVQIQDAMWWRHLRAHEEGAALARTKWAAQDRNAVKKWCAAAAHPSGRGRAIEFGSWMQGGASIVELARKAAASARTEWAARGRVEFRSRMQGGGGIVELARKAAALARTEWAARVASTRPPLHIHSAGGGIEFRSRTQGSSGIVELARKAATSARTKWAARVAATRPVQNYRGVQQCKNRYYAVIWNIICEICV >Sspon.05G0027430-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5B:52814876:52819259:1 gene:Sspon.05G0027430-1B transcript:Sspon.05G0027430-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLALGTANWLLGKVLNKLSSKLVEAWVASSELSSNMEAIKRNLWYTHGMLHEAQKRDVRDNQGLLVLLHQLSNTADKAEDMLDELDYFLIQDKLYNTQEAAAEVHGVIVGPALHARHVSRHFIGKWFSCCSCSHEPEHIHGDDPSGDDDVDIIKSPPRPVFNRVNMSNRIKMLVERMQDLCDPVLALLNLNISSLAHDSTVALRRPVTTSMCTEVKLFGRRTLLNKITNDMTAGEYHLERLSVLPVVGPPGIGKTTLIQTLCNDPRIQEHFSIIIWISVSLNFSVHRLSQEIFSCIPPTESDGRSRAQEPTNLDQLQKLIEKRLKSKRFLVVLDDMWTCKSELDWRTLLAPLTKAELDGNMIIVTTGFQSIAELVKTTNPVTLAGLEPKEFWKFFLACIFDDYRTKQDEGLLQIGRKIVEKLKCSPLAARTVGRLLKKDLTQQHWIRVLQRKEWEYQKGDDDIMPALRISYCYLPFHLKKCFEFCALFPEDYEFDGLELINMWMVLGMIDSNVTRCFHLEFLDLKGWGPDSSLPRDMSHLINLRHLLANKDLHCKIAEVGKLKFLQELRKFEVRKDVTGFELHELSELTELTGSLSICNLENVKTKGDADTAKLILKGNLDKLKLVWNSQWPDRDSTVEDVLESLRPHPNLRELCIKDHGGSTCPYWLRTQRSIKMLKSLHLHGISWKTLPPFGQMSDLMELKMENISSMHQFGGTEFGQVTDGSFQKLMVLKLADMPQLEKWVGAGKELSKLLNCLTDLSYLEISDCPNITRLCNTNDMDKEDGNEEGLLLFPPHLSISLRKLEICNCRKLFLDPKGGGLRHLTSLESLQMQGCDSLLSWWFVEEATFQCPFPIFLKDLVLRNVQSLKTMAMLSNLRCLTHLEIVDCDNLNVDGFDPLITQCLTKLVVVNRHDEYSKVTAELISGVARTKLNGSFKLEDLRIDNISELLVYPICDHLSINLHTLCFQYDNRMQRFTEEQEQALQLLTNIQNLYFKSCRSLQSLPAGLYRLYSLKCLISRKLLVVFGLQARNSVVEL >Sspon.06G0012650-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:66728008:66736097:1 gene:Sspon.06G0012650-1A transcript:Sspon.06G0012650-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MIDDEANFGNILDASEEVQATAKFRPKQRPKPRKTALSSRSAAPNPTEETGDGKVGGLNQVNSSKELTRPERTSLTCPGSESVYTVAGSQGTLDTPSEDAMTVPLGPLAGASAADRISQDGEHNDYPSKLAIHQENSVASDINVSPNSSCGKAVDDIVEFGDMCDAQVEEERVPKFQPKAQTKLLKETAKSRKTNQKVEASTVDVVTENGKGNNIQTRLHDDQVQDPKSHESVQIPDSEELLATDNSKSCNLANLDSVLEESVQEETIAKFRPKLQPKPGKASSKVAVTKNNVAAVAPMVGVCLGNNDMCREPKDQETITGPAAWSPQSQPTVGREKGKSKSVSFALSDASGVATPTDTNSEMGNIGNSCSDKLTDENLSNPSQQMTEKHSITEDQYSNDQDHEREPLDKTVEQQPNSDVGEIASSMKLRCRKKLQKVGTPNHTVDDYFDEDCVEPSLAEEDNDSGDDYTTGNKRKARKKSRDGVEESQQQKVQKNKSKVSSRGRKRTLKDELATKPEKKKLTHRIRQRTPKEIKTLLESEKIDPMKLSAAHLRLLQEARARVNPKETPSGPSSNTRSFELEDMDDLDYRDEEARFFDNDGTENHVQNATKLNYQSYMNKPARGKWSKSDTDLFYEGLRQFGSDFAMIQQLFPDKTRHQVRQKFKSEEKKNPLLVHDAIIHRSGDNLYFKKVIKQLNIEDVVLPEINNTQKQDGASSERGPGNENVLDDFNEEENSSNWSNEEHGGQMADVQEEHDLGKGGDDDDDLGDVFDWY >Sspon.01G0006590-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:15611406:15617597:-1 gene:Sspon.01G0006590-3C transcript:Sspon.01G0006590-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAQVAATFLSFLSSSSSTHHPAPSSSVSLGTNPVLPVSLRAAVTGGPRVASRLRGRRVGAAVAQLPTTHPEVVSGEKKIRWSSRAVRSFAMAELEARKMRYPTTGTEGLLMGILVEGTSGAAKLLRANGITLLKVREEAANVLGKSEMFYFSPMHPPLTEAAQRALDWAVNEKLKSGEDGEVTANHLLLGIWSDKESAGHKILESLGFDDEKASLLAKTGTNKLMVTIRKLHTAFLDGEASCPDTKHAQTESRRHAGGLAQSCA >Sspon.06G0032970-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:6337982:6340902:1 gene:Sspon.06G0032970-1D transcript:Sspon.06G0032970-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEMDSVGGTEHLAMASGLWPSGERWHVSATLLPIRGLGQGRSPQGRLRSRAAADRQQTPGTRRRRLGAWPSGGEAASGRGKIAKTPGQRLGMGADQTALIHIFGSVPSKFLSATRLLCNLPMAGE >Sspon.07G0036830-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7D:43252656:43253043:-1 gene:Sspon.07G0036830-1D transcript:Sspon.07G0036830-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AGEEQRVSPSRNLSGPLQLRERAQKGDAVAAGGDSSLLHLRWPLSHREVLETVDLLPVGGWGVGPSRSRFRFPATVSRRWRQRRLGITSSRALPRLDGVLLQCRRRARGGGVRLRRLLYRSPRGLLLR >Sspon.02G0053930-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:110395955:110396566:1 gene:Sspon.02G0053930-1P transcript:Sspon.02G0053930-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAESSSCSPVLLLLLLLFLASAGAGADEASTTSTSASAPAPAPALDQVCGRLGSYYVTPSLCFSALCADASSPCRTARDAPAVAALAARLAADNATAARDSIEAVFSPSSSSSAAAAATAAAAARSCLQLYAGAVPALRWAARAVAAGRYRGAREVLQAAQYVAAGCEGIAGDAAAALPRENNGFADMAFVAHAVVASMSAD >Sspon.05G0010550-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:5A:29940780:29941325:1 gene:Sspon.05G0010550-1A transcript:Sspon.05G0010550-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARLVSVSVPLLLLLVVVLAGSRAALASKTVDQTCAKATSGAPRKEQLAPFCVSSLQAAPGSEGADARGLAAIATNLTLANYTAAVATIKALERRGGWPERSRRALATCRQRYIEALNVVHSAVHALATGKLRDYVSDMGVVRKAATDCEDAFGGAGGNGKSPLRKVDADADNLTVVAMLI >Sspon.01G0009300-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:26982008:26996267:1 gene:Sspon.01G0009300-3C transcript:Sspon.01G0009300-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to Sucrose synthase (EC 2.4.1.13) [Source: Projected from Oryza sativa (Os03g0340500)] MSATKLDRNPSIRDRVEDTLHAHRNELVALLSKYVNKGKGILQPHHILDALDEVQGSGVRALAEGPFLDVLRSAQEAIVLPPFVAIAVRPRPGVWEYVRVNVHELSVEQLTVSEYLRFKEELVDGQHNDPYILELDFEPFNASVPRPNRSSSIGNGVQFLNRHLSSIMFRNRDCLEPLLDFLRGHRHKGHVMMLNDRVQSLGRLQSVLTKAEEHLSKLPADTPYSQFAYKFQEWGLEKGWGDTAEHVLEMIHLLLDIIQAPDPSTLEKFLGRIPMIFNVVVVSPHGYFGQANVLGLPDTGGQIVYILDQVRALENEMVLRLKKQGLDFSPKILIRLERISGTQHTYILRVPFRNENGILKKWISRFDVWPYLETFAEDAAGEIAAELQGTPDFIIGNYSDGNLVASLLSYKMGITQCNIAHALEKTKYPDSDIYWKKFDEKYHFSCQFTADIIAMNNADFIITSTYQEIAGSKNTVGQYESHTAFTLPGLYRVVHGIDVFDPKFNIVSPGADMSIYFPYTEKAKRLTSLHGSIESLLYDPEQNDQHIGHLDVRSKPILFSMARLDRVKNITGLVEAFAKCTKLRELVNLVVVAGYNDVKKSKDREEIAEIEKMHELIKTYNLFGQFRWISAQTNRARNGELYRYIADTHGAFVQPAFYEAFGLTVVEAMTCGLPTFATLHGGPAEIIEHGISGFHIDPYHPEQATNLMADFFERCKQDPNHWVKISEAGLQRIYEKYTWKIYSERLMTLAGVYGFWKYVSKLERRETRRYLEMFYILKFRELAKTVLLAIDQPQ >Sspon.07G0023470-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7C:14876839:14878079:1 gene:Sspon.07G0023470-2C transcript:Sspon.07G0023470-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MCNIFLRRCQITPKRRGKKTESQIPTINHFHGSDGGSCCPALYILLPPFIPHPHLPQFPSTLHTSPSASSAMAAPSWIPLRQPRATLLILLLLALNVSLSFAANFEGFDSDDLPSAAGGLDTDDDEEGLDGVDLPPPPPISLSTSAPSPPVTTTSAPNPNPATPTPRDPTPALDLWDEDEFEGIPVPEATPSDDSAAPAEADPSDPSAEAAAEAAPAAKRTPAELLRAFSVEIACVSFLICFVLNYFTGKRQNENIALAWATKFATRGSIFDKNFSLLGTGDGKDTPLLLKEGQDVFKFYASGRRFCQGMLATMEMRARHDLLSKFVELVFPRKDTITFEVVMNEDAMDHVVLAVARKKAAKTMQKEERDLQRFANVLTSAPAGRKWVSDELAVVAESKEVAGDMITEAVLDQ >Sspon.08G0024220-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:63023057:63023998:-1 gene:Sspon.08G0024220-1B transcript:Sspon.08G0024220-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MESVEGNVVWLLGEEYQLLSGVGGEVAELRDDLDTMKALLRMQSEAEDGAVDHFVRVWMKQLRELAYDSEDCIDMYRLRIKSRPNDGVRARLQRLLGTLLPRRRLACEIRALRARATAISERHARYGINRDALRRSPSLLPPVAVAAVAAATPASSSSSPSPDTAPANNADGHRFVGIQNQANTLAERLKKPRGGETGTGTGEPKAVFTVVGFGGLGKTTLAMEVCRIVEADFPFQAMVAVSQAFEPTRDLGPLLKRVLQQVLISRTDNEKGVKLEPGATDGNIDRLDANQLATKLEESLKDKRYAQLLKFHE >Sspon.03G0043690-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:83314920:83320805:-1 gene:Sspon.03G0043690-1C transcript:Sspon.03G0043690-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLVDTKAERVLYAEAGKDVVDFLFSFLALPVGTVVKLLGKESMVGCVDNVYASVESLDDSYVEPGAAKDAVLRPTVPSAAVGCCRRRQRQSTSPSQRSSSGAAAGATVITPHRFAVMVMVKAVGDYLTDTCDSKCPSCSGYLNKETSFLPVAGSRQSVEAKATATGNENGLVRSVVTYTVMDDLKVAPMSAISGITLLNTFGITDISMLDEKTVQIGYEEVIIYSYTQILLSRTAVIIKLLLTSVKNSFGTVVKILGNDAMVGSIGNLYGSVEKLDETYVRSADAKGALLAPAGGYDGGKLLQLPEAAQSTEFYRCASGGNYSECITYMSKVSGTCCLSNNCSRTMTTKMIVVDSSRAAAQSSGHAAGKGFVQGVVTYTVMDDLKVAPMSTISGITLLSTFGITDIGMLQEKTVKLGYEEGLKILKASLQSKTVFTDVFLARKK >Sspon.07G0033540-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7C:34550089:34550304:-1 gene:Sspon.07G0033540-1C transcript:Sspon.07G0033540-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSIVMDATSGEKKTSWPEVVGMSIKEATEIILKDMPNAYIQVLPVGSPVTLDIRPDRVRIFVDTVTKTPIV >Sspon.02G0055250-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2D:2090494:2090908:1 gene:Sspon.02G0055250-1D transcript:Sspon.02G0055250-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MANIPVSGIEKLLLSGVFLLLSATEESNHNKTEKRPEKIIPWRRHHLRLHAAVRKQKSPYRHVDKDADADVVALIFNGEAMEKTIPPIPFTVAGEEEKEEIKLQYQETWHGETNPNDPIY >Sspon.04G0025580-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:32528284:32529451:1 gene:Sspon.04G0025580-3D transcript:Sspon.04G0025580-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAVTTTLLGDAVPVRVRCSGTLLALLLCFQLLHTSSQAFKLRGGGGGYEEKKVPLAVIVPDPSPELSGLSPAPLAAPPPVHGGGGDDMRPKLPTERQWHRGRGEVRRAAHPPAAAAPAEAPSSAGPARTPTAGAPAPDSGSGGTAFISSSPAVPVPRGVTDTATILPMPAPGEKRQEVGAASSVEAARVVPLLLGLMVMMASFGI >Sspon.01G0011760-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:31712444:31721440:-1 gene:Sspon.01G0011760-2C transcript:Sspon.01G0011760-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHPGKFVSVNLNRSYGQSAPSSHHGGGRPSRPAGAGSSAGGGMVVLSRPRGASSLAKPQAPKLSVPPPLNLPSLRKEHERFDGSAAAAGGGVASAPPRSGGPAAGWTKPAPASEKPPGSAALPGGVARPPSYGFAEKAVVLRGEDFPSLKAAVAPPTPPQPAQRQKDADGARVVTPEARPGPLGMRPQVTTSRATEPLASGGRTSAERVQKPDLGPLPMVRLRYDSDWADDERDTGLSLPERDSRERGFGRTEAMLPGRDLYGAMREPFKKELFGRDVAATNKEGGQDGLWRSPVSNQHDRERTDGRPYSGGRGSSGQPYRESIAACGSKDVWSNSKEPPMRAYGQNGVEQYGTTRVGEAASERYSDSSNNWPRLNSFQNNVGSKVQAFGGNKGPLINDPVAKFGREKRLTGSPAKPLIEDSGFDSISAVNLTAIKKKKEAAKPADFHDPARESFEAELDRILRVQEQERQRVMEEQERAREVARKQEEERERLIREEEERQRLVEEQAKQAAWQAEQERLEAAKRAEEQRIAREEERKRIAMEEERRREAARQKLLELEAKIARRQAESSIGSARAVNDEFIPGDVKDRDLSHSANFGDKNDIDKMNECINISAPLESSSLNRFSETVPRVHTLTDGRSSFIDRENAYYSSRAAFPEQENVHHSPWRDPFAAKRGNFPKKDLNDGFGSVSVRQSLTGRTTDSPWALKDFRHEKVPRWDAPREIDRFSKQSDFDNEFFNSDRFGDTAWLPSSSHGSLNAQQGDRMFQSPDVNELSSFTRPRYSMRQPRVPPPPMVTSMPRSSIGASAQRINSSFVDGGNRETSGRDDVQIMQGQYGSAYQEASRQHGIQPDHISVNEHQIVDTKSPVLGSQSSLSVSSPPSSPPHVSHDEMDVSGDSPALPTSADGERTVLSDNDHASLTVDADNSSRIAASGVPHLEDDEWSSVNNDDRQKQDEYDEDNDSYQEDEINEADDENIDLDDEFLEGQNTPVELEPVILGFDEGVQVEIPLNSQLELASVRITERTVGVHLDSGVAEQENVSGSVVHSDPVTEAEKALHALTLDHVNALTEDSNGEPSNSLGTPASSSQLPQASSAGPIFSSASAVVGQNEVPVSLQFGLFTGPPLIPTPVPAIQIGSIQMPIHLHNQFNPSLSHMHPSTTPLYQFGQLRYVRPIAPSAQPLPSQAIHPAHSSIPAQHTLNQNASSVLPELMDGDANQNIPAPASSSTFINKSAAPTSKLPLGMDNSNSQYLNAPANNQMAGVEGFHGQVDIESAEGTTPSVRNQDLSLKRNYRPTSNNVESSQYGLEGRAMGDPKAPGVVSDRRGRRYGYAVKDINMRSTGSVVEPSHSHKDSKAGFQRRARRNVRRTEFRVRENVEKNQNETSESFCHGEQDEMTCYNGTRDAPARNTNRRELDMNKASRINEASDQSVSFRSTHNVPYERSHGGNKKSRTGAVPDGDTSSLQAGAVRVVKQQGIEVPVDADGFIEVRSKRQIMSVRREQREKENRSKMRMAKAPRKQHSVSVQSSVGPSVNKRATPSSGEVTKKVSSGSAITVEGRIADYAESSVPLMGDTASMNLMGPPSTNAETHTNCFANQPIQIQTSSDLVTSSPAKLVSGLSEDNNKGASISTPFNMVSWDNSQINQQVMPLTQTQLEEAMRPAKFEQQAGSGFSLESNNALSPTVTTEKVFPSSASPINSLLAGEKIQFGAVTSPTMLPPVSRTVSSGLGAPGSSRPDMKIDRGLPSDNSGPDKAKSKELCPSTEDAEAEAEAAASAVAVAAISTDEGSPADATTASAPDNKSFTSKDLSGLTSRGARTGQAGQSSTEEPLTVALPADLSVDTPSMSLWPPIASPQASGPMLSQFHGAQPSHFSCFDMNSMLGGHIFAFGPSDESAGSQGQHPQRSNALPSAPLGAWPQCHSGVDSFYRPPTGYAGPFITPGGIPGVQGPPHMVVYNHFAPVGQFGQMGLGFMGATYIPGDKQPDWKQSQGPPIVGVSQSDPNSQNMVSGQVNAPSVPAPVPHLRPTSIMPIPSPLTMFDIAPFQTSTDIQMQTCWPHMPVPPLHSVPLSVALQQHPVEGTATQQFVHNVPVDKSSTNNRFQESSVSAGPSDGNKTFSNAAASQYRDELGLVEQPASTSSSSQTVQPSFGQAGVISNEVSTSAKVMVRATPSKANPGTAAGVASNTNGPQVTSIPSKTHQSSSSSDQQYQHPVNNQDRRARATQKAGTGNEWQRRSGYQGRNQGSGSDRSSGTGRMKQIYVAKPSSTSGHAPSG >Sspon.06G0002300-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:7332940:7336312:1 gene:Sspon.06G0002300-1A transcript:Sspon.06G0002300-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLYREGLMSYKQFIQELEDDVSPVEAQSRYEEYKSEYITTQKKAYFDLHKDEDWLRNKYHPTNLENVIERRNELARTTANDFFLQLQSGNLDTGPGLTGSAVNKSGNNSSKNLDAVEGDGKKGKLGKGPDDSYYAAPKAHPVSSEVRRIRIDIEQAQALICKLDSEKGIENNVLSSSDHEKSERDKSHGSMGPIVIIRGSSTVKGLEGAELLDTLVTYLWRIHGVDYYGMSETNEPKGLRHVKADARTYNGASSNGAEWENKLDSFWQDRMQGQDPLEMLKAKEKIDGAATEVLDPYVRKIRDEKYGWKYGCGAKGCTKLFHAAEFVQKHLKLKHADLVVDLTSKAREDIYFENYMNDPKAPGGTPIMQQPAPRGKGRQRPPIESRLRDERGNHRFDRNVDSPTHDGSGENPDDPIYDSFGDPIMHGAFPPDIPAPPVLMPVPGAGPLGPFIPAPPEVAMHMLRDQGAPPPFEPTGGPHPRKAGRGAGPPMRGPSPIFTAPPPHQMHDPRRIRSYQDLDAPEDEVTVMDYRSL >Sspon.06G0001230-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:1263607:1265176:-1 gene:Sspon.06G0001230-3D transcript:Sspon.06G0001230-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGASAYHSPDPCTDDGEHAAPDAGTGIPVVDFDALVNGAAEQRAQAVRDVGRACQDWGFFMGCMHAVPLASYTYTYKIPYWRDYFKMFAHPELHCPATPAKLREVATEYAARTRALLLSLSTAVSESLGLAGGRVSEAMRLDSCFQILVANRYPPYAAGPGLGLGLPAHTDHGFLTLLFQNGVDGLQVQHGGRWLLARPLPGAFFVIAGDQLEIVSNGRYRGVLHRAVVDGERARMSMVSMISPCLDTVVEPVPELADGRGLQFRGVRYRDYMEHQQSNKLDGKAALDIARVQRV >Sspon.03G0012340-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3B:44258740:44259366:1 gene:Sspon.03G0012340-2B transcript:Sspon.03G0012340-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASCKPKGAAKGGVAVAPEACGGVADIDPKLEWHDGANSYIIRLNLPGFKKEDFKVQVDSCGRLTVRGERPAGYVRFHKSFQLPQTANLEGVAGRFDGTVLSLTVPKQPVSGTDMVLARLIEAKECAAARAEATTWAEALGGRGQMVAAAVAGFALGAFLVHRLLSTTNN >Sspon.01G0034460-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:4335217:4336457:1 gene:Sspon.01G0034460-2C transcript:Sspon.01G0034460-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAVPMKLWRSEPRGKAAAAGPDEPVRVLVTVTVLGSAGPLRFIVLEGDTVAALIRAALRCYAREGRMPLLGTDPAGFVLSAANGGSGALKEDEKITFNGCRTFLLWQKDAVQGAAKDAGHDALTATPSPGRKGGGGWKAGLNKFLLSFSIKV >Sspon.01G0032080-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:109955093:109957675:-1 gene:Sspon.01G0032080-2D transcript:Sspon.01G0032080-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEAAKAKAKASGGKRAAAAKDPAEALVSDKRRRERGGMDDSDHDDMKEIVTLLKHIKDKAHKDGQKKTEQAISSVATEIQTMVQDTKTRLEKERQSFLKALSKTSKECEGLLKNEYTKFQATHDKFCKDKAAHIQNFKDLFSKFEDDKEKLLAQYELQRKKEKATLSELEKTFSEKIANAEESLKKMKQDDKSIHILRKSIGSFLRSDPDDQSGQDDD >Sspon.01G0043780-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:69499071:69500211:-1 gene:Sspon.01G0043780-3D transcript:Sspon.01G0043780-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GNKDDKGLETETWYDLALLYLGKIYEAKGLTKEALGAFFRALDLDPKHVPSLISIATVLQQLGDRPLPSIRCFLTDALQLDRTNHVAWFNLGLLYKEEGGRSVAEAAECFQAAAFLKETAPVEPFR >Sspon.01G0017580-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:97949218:97950537:-1 gene:Sspon.01G0017580-3C transcript:Sspon.01G0017580-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLYDKREDMALLDGDSCLAPRASRGGCEVDLQWADVLLEGAGRKRRAPEDFEDEVQEMDEVDGGGKRSKPPSPQPHTPDIREAHAPGRRRRTVVAGGGEHSGGGGDLIGEIGRDLSINCLLRLSRSEYGSVASLNHDFRSLVRGGEIYRLRQQNNIAEHWVYFSCNVLEWDAYDPYRKRWISVPKMPPDECFMCSDKESLAVGTELLVFGMAHIVFRYSVLTNSWTRGEVMNSPRCLFGSASVGEKAYVAGGTDSLGRILSSAELYNSETHTWTPLPSMNKARKNCSGVFMDGKFYVIGGVTNNNMILTCGEVYDTQSKTWSVIENMSGGLNGVSGAPPLVAVVKNELYAADYSEKDVKKYDKQNNSWITLGKLPERSVSMNGWGLAFRACGERLIVIGGPRTPVGGMIELTSWIPDDKPPVWNMMDRRPSGNFVYNCA >Sspon.05G0012320-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:37285813:37287076:1 gene:Sspon.05G0012320-1A transcript:Sspon.05G0012320-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTSTVAITLDLGCCRCRTKIQKILCCLQERCGFVFDKVEYDKDKVLVSGTFDAMDLCCKLRCKAGCFATKIEIVPPPQPKKKKKKKKEDPPPLPLPPPPPVEEPEPKPATCKAIIPYPCPYPYPYPCPSPCPNPQPTCPSSCATPPRSCQCHSCRPPPPCPAPPRPCPPPVCNKCPTWTPCQCRGYPWVVCCEEIPDPSCAVM >Sspon.03G0025410-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:77089848:77094734:-1 gene:Sspon.03G0025410-1A transcript:Sspon.03G0025410-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKALLSSSLLPALPRATGGNRSRPLLLPPLRLRRGRRAASGCAVRAGLHGLESVGGPQLQAALERAEAALYTLADAAVAAADAAAGAGAGGDAGEAAAAAQKNGGWFGFISEALEVVLKVLKDGLSAVHVPYAYGFAIILLTIIVKAVTLPLTKQQVESTLAMQNLQPQLKAIQQRYAGNQERIQLETARLYRQAGVNPLAGCFPTLATIPVWIGLYQALSNVANEGLFTEGFFWIPSLGGPTTIAARQSGSGISWLFPFVDGHPPLGWHDTICYLVLPVLLVASQYVSMEIMKPPQSDDPSQKNTLLILKFLPFMIGWFSLSVPSGLSIYWLTNNVLSTAQQVWLRKMGGAKPVVSEGGSGIITAGRAKRSNAQPAGERKLNKALAAGDSNASSSTYDMEDEELDDETTEEGGPVEEASSTSSDKKLPSYSGKKGKRSKRKRMVQ >Sspon.05G0026700-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:37436817:37452772:-1 gene:Sspon.05G0026700-2C transcript:Sspon.05G0026700-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ASRTKTACLLAILRITLLLVVSTVILSSSLCHGAHDAYAYGFSRHGITIPLGLAYGETGSPPTIPPNATLQFDVELLSWASVKDICKDGGVFKKILVEGEKWENPKDLDEVFGKYEARLEGGTVVSKSDGVEFAVKDGCFGEQGKPASGDEHAVPPNAVLHIDLELVSWKTVTLIGDRKRILKKVLKEGEGYERPNDGAVVRGRLIGKLEDGTVFVKKGHDGEEPFEFKTDEEQVIEGLDITVVNMKKGEVALVRVPPEHAFGSVETKQDLAIVPPNSTVFYEVELVSFEKVKESWDLKTNTEKIEAAAKKKDEGNVWFKMGKYAKASKRYEKVAKYIEYDSSFSEDEKKQSKALKVSCKLNNAACKLKLKEYREAEKLCTKVLELESTNVKALYRRAQAYIELVDLELAELDVKKALEIDPDNRYVKLVYKTLKERTREYYRRDAKFYGNMFAKWRKLEQLQKVYIWSSANPFIHMSFMGHFTFMSAYLPWV >Sspon.02G0050100-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2C:48865404:48865664:1 gene:Sspon.02G0050100-1C transcript:Sspon.02G0050100-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding PTTFFSRLISTAERSNAGCVVGLTQALARAMWRSTLRYSATWTMTLTAFEMVQLSAMAALGKDDDEDEEARAVRLEENLTSTAAGS >Sspon.07G0034950-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7C:84354445:84354811:1 gene:Sspon.07G0034950-1C transcript:Sspon.07G0034950-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPSRRHCTAPPRSSLPVSTATPFFPDRRCPSAEHADTMATFGSSNAAPATAPTERISWLPSIASITFMLGR >Sspon.08G0017520-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:4730670:4731365:1 gene:Sspon.08G0017520-2C transcript:Sspon.08G0017520-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPPSPPRPPVAFPTLDSLAAFLRPRLPPGALASWGAVPGTKTLANLFLELAVGDCALHAPADAAPQPAAPRVVRAVHVATVRIRNSRGARLVETRQLLSDGTVRRRGPRPLSEKMRPGESPEAAAARAVREELGAGARVRILGGDGARVEERESASYPGLPARYVLHAVDAEVVDGVPEDGEFETEETGEDDGDAGAITVKRHYWDWIDDDGDDGDSERNEVAAAGARAH >Sspon.01G0000080-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:727616:730439:-1 gene:Sspon.01G0000080-1P transcript:Sspon.01G0000080-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSRSPRRSARAPLPTAAEPPPGLFPAREDLVRLLAVISIAAAAAAACSVLNRRPEHFCDSPQSPDDYADDSCQPCPLNGRCVDGELECVQGFKRQGKACIEDGLLSQTANKIDLQSACSCFMWPTCRNPGPFSSPVPPHLMAFLSRSLLVFAKAFQEHDVSNAIDQLLSKIPAGLTEDGIQLVKTRVLDSSWGFFDTTFTSNKYESKYLNALRSSRSFICPWLVEFANGSLEIPSLWQPFVSWYASTIAILGTLLAAQVMMEPKFAALLWILWIIYRRRALSNRAEQIYEQVCEILEDNAVNAKIDNSNCEPWVVTSWLRDHLLVPRERKNALLWKKVEELILEDSRIDQYPKVIKGESKVVYEWQASGSLSAKIKKVQGARVKSRTGGGAIKLAEEMGACLGEVREQGSCDLTHEGR >Sspon.03G0029010-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:12921446:12937588:-1 gene:Sspon.03G0029010-1B transcript:Sspon.03G0029010-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPMVGKESGLDGAESSCTTPLATPTATPIATPMSTRSVKWEKDVEDAAGALQRPLLYQRGTNTTSQMAIVGANTCPIESLDYEYACMPLYLAAFVLYIGCNAMLAAAAAALCAYIAPAAAGSGIPEVKAYLNGVDAHSILAPSTLLVKILGSILGVSAGFVLGKEGPMVHTGACVASLLGQGGSRKYGLTWNWIRYFKNDLDRRDLITCGAAAGVAAAFRAPVGGTVHDLTWFALLQSISRQVAERASLEDLLHDSSGDNGAARANHLLPWWHCGLFGKGGLIMFDLGSRQVTYTVTDLAAVVLLGVLGGLLGALFNFLVDRVLRVYSLVNEKGACYKIVLTVTISVITSCCTFGLPWLTTCTPCPPELARTKCPTIGRSGNFKNFQCPPGHYNALASLFFNTNDDAIRNLFSAGYDYREFGVSTLLIFFTTVYTLGLLTYGVAVPSGLFIPVILAGASFGRLVGTLLGSVSGLDSGLFALLGAASFLGGTMRMTVSVCVILLELTNDLLLLPLIMLVLLIAKTVADCFNKGVYEQIVRMKGLPYLEVHAEPCTRSLVAGDVVSGPLVTFSSVERVGAVVETLRSTGHNGFPARVKTGAAEVFRTLAPFDFAKAGSGKGLKVEDLQLQLTEEEMDMYVDLHPITNRSPYTVVENMSLAKAATLFRGLGLRHMCVVPRTQGILGSILGVSAGFVLGKEGPMVHTGACVASLLGQGGSRKYGLTWNWIRYFKNDLDRRDLITCGAAAGVAAAFRAPVGGVLFALEEVTSWWRSALLWRTFSTTAVVTMVLHALITYCRGGHCGLFGKGGLIMFDLGSRQVTYTVTDLAAVVLLGVLGGLLGALFNFLVDRVLRVYSLVNEKGACYKIVLTVTISVITSCCTFGLPWLTTCTPCPPELARTKCPTIGRSGNFKNFQCPPGHYNALASLFFNTNDDAIRNLFSAGYDYREFGVSTLLIFFTTVYTLGLLTYGVAVPSGLFIPVILAGASFGRLVGTLLGSVSGLDSGLFALLGAASFLGGTMRMTVSVCVILLELTNDLLLLPLIMLVLLIAKTVADCFNKGVYEQIVRMKGLPYLEVHAEPCTRSLVAGDVVSGPLVTFSSVERVGAVVETLRSTGHNGFPVIEDPPFAPAPGLCGLVLRSHLLVLLQGRIFTRARVKTGAAEVFRTLAPFDFAKAGSGKGLKVEDLQLQLTEEEMDMYVDLHPITNRSPYTVVENMSLAKAATLFRGLGLRHMCVVPRTQG >Sspon.03G0018200-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:55869855:55873439:-1 gene:Sspon.03G0018200-1A transcript:Sspon.03G0018200-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MYISTSLSWDRSEALCHNYSGHLAALSSVQELNFVKSLCGSSSSGCWVGGHHYSTSTGNGWKWSDDSSVWNVTVFLGEPLRANCSYPACKVATSSDLCTLVTNGRASIIITEKRCSESHGMICMMNHVDRCYHDHCHKEYFIAIIAVSGFILATTLAVVVWLLVYRRSKKRRRSREVLSASAAALVAPQWKVFTSEELRSITKNFSEGNRLPGNAKTGGTYSGILPDGSKVAIKRLKRSSLQRKKDFYSEIRRVSKLYHPNLVAVKGCCYDHGDRFIVYEFVANGPLDVWLHHIPRGGRSLDWAMRMRAATTLAQGIAFLHDKVKPQVVHRDIRASNVLLDEEFGSHLMGVGLSKFVPWEVMHERTVKAASYGYLAPEFIYRNELTTKSDVYSFGVLLLEIISGRRPAQSVESVGWQTIFEWATPLVQSHRYLDLLDPHIQELPDTGVIQKVVDLVYSCTQHVPSVRPRMSHVVHQLQQLELKSAASEQHLRSGTSTSATSPMLPLEVRTPR >Sspon.06G0036150-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:90335805:90336164:-1 gene:Sspon.06G0036150-1D transcript:Sspon.06G0036150-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VRRRQPLQFHKHQLGRRRRREAEAAAAANEGEGEAQAPRGGWKPPEGEGEGEGSAAGRSRGGCCGFFRWFSFNNGLSTTAAAVGVHGEPAGERGAGAEGSPLHHAPLRLHARALEARRLA >Sspon.06G0033210-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6D:10305967:10306904:-1 gene:Sspon.06G0033210-1D transcript:Sspon.06G0033210-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LSLPSFLRHGNHVPPLPRHGHGRHALSPAHKLLPTVSLPLLSSSTHAAPLLLRARRRQPLAPLVASSDAVEAGVEWADEEEEEEGGEAFDEEVLASGDEGEGEYAAVEPPEEAKVYVGNLPYDIDSEGLAQLFDQAGVVEVAEGTRTLARSVVLRFSHALEA >Sspon.06G0013290-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:69071223:69071852:1 gene:Sspon.06G0013290-1A transcript:Sspon.06G0013290-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVLILLALLPLSTLGSRSGPTAVPHHGHAGHGIPKHSSPPQPTTAELVRSTCNSTAYYDLCVSALGADPSSATADVRGLSTIAVSAAAANASGGAATAAALANGTATSSNAQTAPATAATALLRTCVVKYGQARDALAAAGDSIAQQDYDFASVHVSAAAEYPQVCKALFRRQRPGQYPAELAAREEALRQLCSVALDIIALASNSSG >Sspon.02G0009990-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:22897610:22906838:1 gene:Sspon.02G0009990-4D transcript:Sspon.02G0009990-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPQAAGSAPAVAALGFLLPTCWEIKVTCAAAMILVALYAAYELLNPRPSQAAAAGDADGLLVRGLDGVDKLELLAAKNLMAANLNGTSDPYALITCGAEKRFSSMVPGSRNPMWGEEFNFFVDSLPVKINVTIYDWDIVWKSTILGSVTVPVESEVQSGPVWHTLDSTSGQVCLHIKINESSSRALNSSAEACARRRISLDKQGPTVVHQKPGPLQTIFELPPDEVVIPLRDIDEIRRSQHAVINPAITIFLRMGAGGFGVPPLGCPDGRVRYKFASFWNRNHTFRALQRAVKNFQMMIEAEKQERAQSALRAHSSSRKTSKQEINVPEDCAALTGQLQPFVKEEVLVPVFNGTFPCTAEQFFSILLSDDSNYITEYRTDRKDKDINLGQWHVADEYDGQVFETVQQVHDVPFGSFFESKIKSGAVDELKKEVEEMLDFAQGYMLKVRSPDQENSNLAQQDNMAPDAVPGDQ >Sspon.01G0016890-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1A:59177593:59178034:1 gene:Sspon.01G0016890-1A transcript:Sspon.01G0016890-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DQPPIRENSHLLLTDRELPSRRRGC >Sspon.03G0020580-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:86466516:86469577:1 gene:Sspon.03G0020580-2B transcript:Sspon.03G0020580-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLYYMTMNEDKMAALPLATAEACDANAALIMNGDLRALQPIFQIYGRRQIFAGPVVTLKIFEDNVLLREFLEEKGHGRVLVVDAGGSTRCAFLGGNLAQLAQNNGWAGVVVNGCIRDVDEINGCDVGVRALSSHPIKSNKKGVGEKHAPVTFAGTRICDGEWLYADSDGILISRSELTV >Sspon.04G0017150-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:71210141:71216562:1 gene:Sspon.04G0017150-3D transcript:Sspon.04G0017150-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LPGWSASGGDPCGESWQGVTCTGSSITSIVFNAANLGGQLGSLGNFTSITEINLSNNNIGGTIPEDLPVTLQNLFLSDNQLTGSIPMSLSKLHSLTAMSLNDNHLDGKLPDAFDSLTGLGYFFQQLQWFITTFIGKLDITDYIDLPLKDLNVENNMFSGPVPLKLLNIPNFKNDGNPFNTSIAPSTSPSSTPTGSTPTQTPSSSSSPSGSPPSSSAASNSSSGSTARNSNSPSSKKNKSSTLRTVGYVLLAIVLFIVLVLLVIFCLSKYQERQSRRDYSAAQLGRVHQRIEEPKSKQASVQSKHEAQKGSSEVPERKKPREINLAVPVALEKPPEKRKEHVINLERTESEIFAAAPPPPPPPPPPPPPTPPPPPPPPPPPPKLPSPPPVEKVTVNPIVRSEKRVSTPPRTGPSTSATSFSVASLQQYTNSFQEQNLIRESRLGKVYLAEFPDGKLLEVMKIDNANGRIPVDDFLELVARISDIRHPNILELVGYCAEYEQRLLVYNHFSRKTLHDVLHEGEDLDEPLSWNARLQIALHAAKALEYLHDTCEPPVVHQNFEPANVLLDNRCSVRVAECGLAELMASGSVTQLSGRMRALLNYEAPEIHESEPFTHRSDVYSFGVVMLELLTGRKPYDSSRPRAEQHLVRWADSQFHDMESLSKMVDPSIRGECSVILLSRFADIISGCIRKEPEFRPAMSQIVQDLARIVGASEAKRPSHLHGHDHGIDPLSSTDLYMNILLLEAKAPLLAYTANSKVDSSAQEY >Sspon.06G0017780-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:96418195:96426272:-1 gene:Sspon.06G0017780-1A transcript:Sspon.06G0017780-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVDSSPSPRSSRPPPPPLAATPNYFDPESSGRREEYRRYRSGALLQPRKGLICAGLEACRFVAADLTAQLCLRIILWLEGLASEALDLEKKVRGHHVGSYLPSSGVWHRTQRYLKRNNNDSTIVKHVDFDAPTREGAQLLPDDK >Sspon.05G0015960-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:64147827:64148717:-1 gene:Sspon.05G0015960-1A transcript:Sspon.05G0015960-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLLYEARVDVVFASHTHAYERFVSNNKAHKFISDHELAHLSLFREASFGHGRLRIMGNRRAVWTWHRNNDEDAAVSDEVWLESLASPKRGTGHFNSNVKNDDELFVGSTSFNNSNRFVWALHPTISWAFVS >Sspon.03G0029200-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:12744771:12748351:1 gene:Sspon.03G0029200-2D transcript:Sspon.03G0029200-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLAGVSVALIVIPVAVFCVFVAHHLRHQFHAYDAGYAILVIAIVFTIYVLLLLFTAAARDPGIVPRASHPPEEDIHYDNLSLTDTPGMLQFPRVKEVIVNGMPVKVKYCETCMVFRPPRCSHCSICNNCVERFDHHCPWVGQCIGKRNYRYFFLFVVSSSLLCIYVFAISALYIKFLMDGDYPTVWKALKHSPASLALMMYCFISLWFVGGLTGFHTYLVSTNQTTYENFRYRSDGRHNVYDQGCLSNFQEVLCAKIEPSKHKFWAHIQEEVRAPPANHAEEAEEAEEERVSGPRAKVGDDLDIGGDLLKISQRHNHEDIDIEMGGGDANEVECSVPDSKTASSRMDACLLDRFIRGGQFPTAEVIAFQLMLSTSALVSSVQKNKQQPDWHDAMATRITAVFEC >Sspon.07G0008050-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:21800864:21803876:1 gene:Sspon.07G0008050-1A transcript:Sspon.07G0008050-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTTTATMDSNADGEQGRRKKGGFRTMPLILASEVCDRFATAGFSANLITYLTQQLHLPMVEASNLLTNFGGTSAFTPILGAFAADSFAGRFWTIIAGSVVYQIGMIGVVVSALLPSLRPPPCKPPATPCQRASGWQLALLYLSLLCTSLGSGGIRPCVVAFGADQFELQKQLQDSSAADAAKAEAERKRRYFNLYFFTMGFAVLLALTVVVYIQENVGWGWGFGIPAIGMFISIVVFLVGYPLYVLLKPGGSPFTRLAQVVAAAFKKRHAAVPEDPGMLYQDKEHDALISTNGRLLHTNQLTFFDRAAIVTPGDITPSGQPDPWRLSTVHRVEELKSIVRLLPIWSAGIMLATAGSHNYTFTIMQARTMDRHMGPGHFQIPPATLTIFSTAAMLVTLAFYDRVFVPLARRVTGLPSGITYFQRMGIGLAISVLSVASAALVETKRRDAAARHGLLDSPAAVVPISVFWLVPQFAVHGIGDAFSSVGHMEFLYDQAPESMRSSAVALFWLAGSIGSYMGTVLVTAVQRATRGRGEWLQDNINRGRLDNYYWLVTCLMVLNFGYYLVCFYFYTMKPLEVAEDDNGGGDHDKDCELSSSLQKNGGGGTGGMV >Sspon.06G0016320-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:88472755:88474356:1 gene:Sspon.06G0016320-1A transcript:Sspon.06G0016320-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVSYEKCADPSNSDLPSAVDVEQSDNGSSEHLGSAVVKGATGNEGYSGIESSEQTDDECAGDQGSLVNVENSAHKQENQEKIQMEETAMSDGTSITSMEDAMEPNNDLPSEPEDMGNHTPDPSNGKSSNGNRNVFQSAKRVLTSTKKKTPAATTRKPLQSTNRGNQDDVKSSVGKTTVPSGPVFRCTERAEKRREFYMKLEEKHQAMEEEKIQLEAKLKKEQEEALKQLRKSLTFKANPMPSFYHEATPSPEGRIQEDAHDPAQVA >Sspon.07G0017780-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:78405829:78408601:-1 gene:Sspon.07G0017780-4D transcript:Sspon.07G0017780-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LVGLPVQDSASSHGYTSFKSIEEPKLGLWQTLASKAKGILDDDGLAHKFEDLRKERPRTNTTTASSKDQVRQKVYLNIWTGHTDSHKWTCRAPNSRWAFENHWKAGDAASRIRPEALSASVNQLSGKIKNGLEEGLTIVDNKTSSIIEETKKIQIRRKPAGSSSYVPNSAVDTHTLSTPNLSLRQPESAAQETGLKASRDVANAMAAKAKLLLRELKSVKADLAFAKQRCAQLEEENKLLRETKQKGSRTQEDDDLIRVQLETLLAEKSRLAQENSTYARENRFLREIVDFHQFTTQDVVLLDDDNMEDSEPEEDNDIICAQNVLPVIEENSVDEEHSPVPSRPESPMVIPGEPSSPKSSNSHNASKPDADASDVA >Sspon.04G0032460-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:47336263:47340442:1 gene:Sspon.04G0032460-2D transcript:Sspon.04G0032460-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLVRFLMKLNNETVTIELKNGTVVHGTITGVDISMNTHLKTVKLTLKGKNPVTLDHLSVRGNNIRYYILPDSLNLETLLVEETPRVKPKKPTSGKPLGRGRGRGRGRGRERPKDLGAVKKCKR >Sspon.02G0008040-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:12688203:12689139:-1 gene:Sspon.02G0008040-2B transcript:Sspon.02G0008040-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AMAQQASLPCSNATTQWRELTNTSWWDDDYRRMVMAYLIEAVYLLELERQERRDAAAVAQQWWKPFHFRLAHELVDDRDGSVFGAVFERDHHGVSSDAGRPSPSGAPSAVIAFRGTLLRAPTIRRDVEDELRLLARNSLRGSARLARAVQALSATIDRFGSENVCVCGHSLGAGFARQVIRMLVASSAPRQQQQQQQQAAAFAAASLESHLFNAPYLSLPMGVRSVVKTADCLLKALRSGVATVGKWHGKALRNVAYANCILGYTRLESTRKL >Sspon.04G0027360-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4B:58512331:58513623:1 gene:Sspon.04G0027360-1B transcript:Sspon.04G0027360-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASNGGAPLVVFDFDKTIVDCDSDNWVVDALGATSRFDELLRHLPWNHAIDAMMGELHAEGKTAEDIRGTLRTAPLSPHVVAAIKTAYALGCELRILSDANAFFIDAILAHHGLAAYFSGTDTNPARVDAAGRLRIRPYHEFGAGATGHGCALPTCPPNMCKGKVMERILRQEEEAAAAAASAAGTAKTRRRRAVVYLGDGRGDYCPSLKLREGDYVMPRAGHPVCDLIAASPPAAAVRGWAGFEDLARVLLGIVDGEIARAAAAAEEDAGASGAGVGVGVVVVPADCRAPLPLPARQEALMP >Sspon.06G0024120-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:51341598:51342955:-1 gene:Sspon.06G0024120-1B transcript:Sspon.06G0024120-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MELVDRLQRLGVDYHYKKEINDLLCSVYNDKDGGSDNLYITSMRFYLLRKHGYGVSSDVFEKFRDEQGNISSDDISCLLMLRVKRVEARRYISVYEKKATRDATILEFAKLDYNILQAIYCDELKELTVWWKAFQSQTDLSFARDRMVELHFWMLGVVYEPYYSYSRIMMTKFIVFASLLDDLYDNYSTTMRSTTFTAAMQRWDEQTTQQLPAYLKALFINILNTTNKIVEELKLTKNKHADLIKRL >Sspon.07G0012010-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:47769316:47769801:1 gene:Sspon.07G0012010-1T transcript:Sspon.07G0012010-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKITTKSDVYSFGVVLLEMITGRRPLDHSFGEGQSVAQWVRDHLCRKREPMEIIDARLQGRPDTQVQEMLQALGIALLCASPRPEDRPMMKDVAALLRGIQHDDSSIEARKAGGGGGAEAGARKWADPKQPISPTKLMALAQPAQARASSGSQSLLKNRE >Sspon.03G0006550-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:17953856:17969176:-1 gene:Sspon.03G0006550-1A transcript:Sspon.03G0006550-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASLALASPFRLLLRAPHPRGAIPVPCYFISRGRYITAIAVAAARDSAVKGSADRNSAEEVRNILDMAERASQRRDVFHTNFLTPPIVKEAMLAVEKLADIKAVAQGGYPQAERCRISVGHPDFMTSNPDVVAALSISGNFRLEPCSHGDFLGAILGTGLTREKVGDILLQGERGAQVLVDPELVDYLISTLEKVGKVGVSCTQIPLLALEYEPPRTNSFKTVESSLRVDALASAGFKISRTKLASLISSGDVRVNWTPTTKNGVTLKSGDVVSVSGMGRLKIGEIVTTRKGKYAVELIRYL >Sspon.08G0015230-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8B:56313636:56313962:1 gene:Sspon.08G0015230-2B transcript:Sspon.08G0015230-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MANLAPTWVTKAGLGFLTLNSGLAIYRARGDPASILFVSGSYTTLLLLFRCLRDYERAAPGSPARERARRLVWPLTTLLTVAFAWKVAAVMPSAVAAAVVWALAVATTA >Sspon.01G0021380-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1B:82928503:82929138:1 gene:Sspon.01G0021380-2B transcript:Sspon.01G0021380-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DGLRRRRAPHAHHDDHSAAALQEPSRLRAPPPPPRRCACVCLLVSLGAALLLGITLLVLFLTVLRVRDPTTRLVSSRVIGFAPGPGPDFQFNLTMLLTVGVHNPNPASFSYASGAAQLWYRGVLVAVAGIDPGRIPSKGDGTMELVMTVLSSSFGAELPQLVKDMEAGAVPLDASARVPGKVAIFSVLKLPAVAYSDCHVIFGVPEMKVRSQ >Sspon.06G0012550-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:66421345:66422172:-1 gene:Sspon.06G0012550-1A transcript:Sspon.06G0012550-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AAMAAMAAADSSKPARAAVLPARPLLLALPFISLLLLLYVYSTSSRPVLSTATVAATTTTVPLTPSPPSPHIRMRRSRYASYDDYLRHQLNKTLDPRLRRVWATRDWQRKVDAFARLFAGLHAEGLLSNTSRALCVGARLGQEVAALRQVGVRDALGIDLAPAPPLVARGDFHAQPFDNDTFDFEFSNVFDHALYPDRFAAEVERTLRPGGVAVLHVAVHRRGDKYSANDLLDVRGLVGLFPRCDVVRVSKVDAFGLDTEVILRKKRSSSRRRRRR >Sspon.05G0005950-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:18962363:18980455:1 gene:Sspon.05G0005950-1A transcript:Sspon.05G0005950-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRDGKETRRRSRKRSRDASPSPSSDSDSPSSASSPSSSPERRSRSSKRNRSSSSHRHRHRHSRKDSGRSRSSRDEDRRRPHRRRRDQERKRHGGDGGGSSDTQSSEEDRAEEAREIVRDILRELPAVAEELRQLLQMIDSGEGIDISGISDKPLVKRLKKLFRSLRLKESASGAYLLPPKNVPTLGIVGSLLLESSRLSDNKSGKSVSPNREEPPQANFDVQNKDKDDIISEEPKVIDVEEEPPKRRIIGPAMPSRELLAAAAEMTEALRCRDAELEADDDLLIGPPPPAVVAEAASANEAERFEEVTRILAADTNSPYDVLGLSLLVHPDKCPHPSAQEAFVKLNNAFKDLQDPDKRGAIDEKIKKKEEMEQFEIELKAMREAAEWRRLQGVSLAGDDELLAGPKESQGPKRDEWMTTLPPERKAGVPMHSTKSFSMNGKEGRGDTKAYNKTKAIAEGDDVKSKNPDASIVDKYNTSKRSVSLVQKHRDSKKEKKKQKQHEKEEWEGNHPWKPWDREKDLTAGRQKVALDPENMSQGLTSRFASGSVQRNF >Sspon.07G0003140-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:7769951:7771653:1 gene:Sspon.07G0003140-2P transcript:Sspon.07G0003140-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LRESIDTSTAVFDKEKAQERLSKLSGGVAVLKIGGASEAEVGGGVALLYATKELDKISTANEDEKIGVQIIKNSLKAPLMTIAANAGIDGAIVIGKLIEQEDLSLGYDAAKGEYVDMIKAGIIDPVKVIRTALQDAASVSLLMTTTEAAVSELPGTKARIASRMPQMSGMDF >Sspon.02G0000360-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:5896159:5897648:-1 gene:Sspon.02G0000360-3D transcript:Sspon.02G0000360-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCCFPCLGGAKKKEKQPPEKPQIRPASVVDAEKPKQGPSSSVTKQDSFDFNKESANKGIVLSNGSGHHQIAAQTFTFRDLAAATSNFRADCLLGEGGFGRVYRGYLDSVSQVVAIKQLDRNGLQGNREFLVEVLMLSLLHHPNLVSLIGYCADGDQRLLVYEYMPLGSLEDHLHDPSPDKARLDWNTRMKIAAGAAKGLEYLHDKASPPVIYRDLKCSNILLGEGYHPKLSDFGLAKLGPIGDKTHVSTRVMGTYGYCAPEYAMTGQLTLKSDIYSFGVVLLEIITGQRAIDNTRAGGEQNLVAW >Sspon.08G0026030-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:15686821:15690880:1 gene:Sspon.08G0026030-1C transcript:Sspon.08G0026030-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQSSASACACAAPRRSAMPKSSGTAPRQSAMPQPAARRAPRRSATPKPSASAGTAPRQSAMPQPSAPRRSATPKPSASVCAGAAPRQSAMPQSSASSRSVMPQSASSCRRSAFPESAFLDKVVYLGGCCDNETTARAETSDGDPIEVSFLIADPPALTRCFIYCPILTVDDFFEAAPEIMAADGAFLLIRAIFPHRRLDCRFDVADFFVYRSGPGTPSLELLRLPPHLYPLANLSRNVGVLSCGEHFLVVDLRLDAPDPMGHNLYVFSSKTKSWSTKLARLACSMDGYRQFNFVPYKVFGVEGRSMAWVDLREGILLCNSVAQDPEVQLIQLPSLTPVNSIRFGETSIGTMPPLDRIRDVSCKDGWFRFIEIEKQIGFLQLNYASTTELNFGWEATMFKRRIHSDKWGWKPCGTFDSAGLSPTDSCFPSYAEKIWDYKKIWDYKKKKLAMNSVISSFPTLDMCRDDVVYMLAKVKDTDPNGWVLSINTKSKKLQKIAPFSAKSPLISCIFLQCGFSKHLSGAPGVCTANGWKDDIKEDLMPLVPYVTSSLLVQQKLRQLEKSCDEVKTLIHTGVSSTMNVHIHQVTQFATKNGLGKAAFDAVNTFERASKDIKNMVSQNCRNGPLTSAKAVREKIHVAVEALDELLNMLPSSLLDTGRSMVSVGCMDNESVDEGSISHNICIPAPYLIY >Sspon.02G0045680-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:110615120:110615511:1 gene:Sspon.02G0045680-1B transcript:Sspon.02G0045680-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKSVVLPAMVVIAMVLLFCTSTTLPTVHCLVTSPMKMMSSPNQFHHGAFDLNQAGATLPVRQEVTTGFALDGETPDLKTREHQEPARPQRGHVDESNAGRLILDGRCCFRWQRRVDGTVKRAPFC >Sspon.01G0000660-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:1437492:1439243:-1 gene:Sspon.01G0000660-1T transcript:Sspon.01G0000660-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DFLHEMSHKDDKIDSLVKMGFPEDEAALAITRCGLDASISVLVDSIYASQTAGDGYCGNLSDYEDNSYGGINKGRFMDGNKKKRKRYGGQAQGSRGPLDGSADEPMSLPNPMVGFSLPDQWPRPVNRDLPSQAMGPPYFYYENVALAPKGVWTIISRFLYDIQPEFVDSKYFCAAARKRGYIHNLPLQNRSPLLPKPPRTISAAFPRTKRWWPSWDPRQQFNCLQTCVSSAKLLEKIRVTLTNSSDPPPPRVQKLVLEECRKWNLAWVGLNKVAPLEPDEMEFLLGFPKDHTRGISRTERYRSLGNSFQVDTVAYHLSVLKDMYPQGMNVLSLFSGIGGAEVALHRLGIHMKTVISVEKSEDDLRSWWDQTQTGTLIEINDVQTLTSERIETYLEELAALIL >Sspon.03G0039140-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:10227256:10234822:-1 gene:Sspon.03G0039140-1C transcript:Sspon.03G0039140-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMDETDYHPVAAAAGPVRRKEKKGMDFSRWRDFVGDAPRKRRQGKPVQAKKQSDQRIDAGAVASKVGGVAATGRGLEGGAMQLMQLDSGELEGGAMRLDSGNAREAPGAVLSVSDVVSKKSTSQAESRDELVNAGEVRNSTSQAESMDLDGRESSMEEEISAENMARLAGMSAGEIAEAQADIVNKLNPALVEMLRRRGREKSGGAKDVGKDKGLENSGPQKTKSKKMHSESVAERDFLRTEGDPAAVGYTIKEAVALTRSMVPGQRVLALQLLASILNRALQNLHKIDLMDNVKGMNSNEKFDDWQAIWSYALGPEPELVLSLRMALDDNHDSVVLSCARVVNVMLSCEFNESYFEFSEKVGNGKDICTAPVFRSKPDLDGGFLEGGFWKYNTKPSNILPHYGDNDEDEADDKHTIQDDVVVSGQDVAAGFVRMGILPRICFLLEMDPSPALEDYLVSVIVALARHSPHSADAILNCPRLIQSVTKLLINQGSMEIRSSQIKGVTLLKVLSKYNRQTCLNFVNHGVFQQALWHWYRKAGTIEDWVRSGKEKCKLSSAMMVEQLRFWRTCISYGFCIAHFADFFPVLCLWLSPPEFEKLSEHNVLVEFSSVARESYLVLAALAQRLPLLHSVEQLANQDLGVSASYIETCSWSHVVPMVDLALSWLHLNDIPYVSSLISGQNRNTKHMVEASYLILVIASVLGMLNSILERISPDVTPEDKSYSLPWIPDFVPKIGLGIISNGFFSCSGTVAVGNAEHQSFCCASLVQGLCYMRCHGNVDVSLSSISCLQRLVQLSWSVDRVIQGAKKSCSECFNESGTGVAGKLLGEVYGIMICYTC >Sspon.01G0058440-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:28312252:28317373:-1 gene:Sspon.01G0058440-1D transcript:Sspon.01G0058440-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEPPPPRARVLEPPSRLDSAFPAPPCLLPPPLALHLQARPRLLLPAPLLPWSRPQARTYLPFLETPPLLGAPPSPSFSRPAGFRHLHPSVSGQLLPPLRPAWACRPLLLCPRGWATWPRAPLPSPTGLRCHRPTSTPPVRTPLSLPPSSLHVLRQPRARRVCVRLPSLGSASEMPPMPWPARSPKRSSVLSFPPTTTSAPPPPARRLHYQAGGVQNIRLLVPVVLEPESPSYARWRDLVLLTLRRYALDDHVLVDASVTAQTPSWLRLDSIVLSWIIGTISLDLHDLVRNTPDARQAWLALEGQFLGNAEARALRLDASFRTFVQGDLTVGEFCRKMKTMADSLGDLGWPVEDRILVFNVLRGLSDRYAHLRTWITRQCPFPTFLQVPSTGLLAGLVSPVAANQRRPWPCTLHGSGGVALRCCPGTSARRGSRASSSGPTPAPPIDGDPGTSATAPTPRFAEPVRGYQRRLRPAPLPPSPPADSSPPATPTPPPQSPAAHVVPSVYHPPLLQRHPRHVHPMVTRHAAGTLRSRALAVMPDDPQVSPVPSSVREALLDPHWRRAMEEEYAALLANQTWDLVPRPPGSNVVTGKWIWTHKRRADGTLERYKARWVLRGFTQRPEVDYDETFNPVVKPATVRTVLSLALARSWPVHQLDVKNAFRHDLLTETVYFSQPAGFVDSSRPDMVCRLNRSLYGLKQAPRAWNTRFAAFLLTLGFREAKSDTSLFIYHHGAETAYLLLYVDDIVLTASSESLLRRIITALQQEFAMKDLGVLHHFLGVTVKPHSAGILLHRRQYTLNILERAGMTDCNPCSTPVDTQAKLSEAEGHPVTDPIAYRSLASALQYLTFTRPDITYAIQQICLHMHDPREPHLTALKRLLRYLRGTVDYGLLLHRSSSAKFVVYTDADWAGCPDTRRSTSGYAVFLGGNLVSWSSKRQPVVSRSSAEAEYRAVANGVAEASWLRQLLAELHTPLSRSTLVYYDNVSAVYLSTNPVQHQRTKHVEIDLHFVHDWVAIGEVRVLHVPTTSQFADIFTKGLPSSTFTVFRSSLNITSG >Sspon.01G0002630-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:6052290:6054597:-1 gene:Sspon.01G0002630-2B transcript:Sspon.01G0002630-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRVSLATRSVRVLIPDQLPTLFEPQKSVMTPHVRASVVGEEDDAAPPERRLTMLALQLAVLEKAASRLGTLGFIWATVVLLGGFAITLGQTDFWCITTLLLVEGARILGRSRELEWQHETTGRAPVSWAVGRVFHWLQLLSASSCAALSLVRLVHQRYGGTEEARVNRHSALDIFYGLALAEALLFLVEKALWQWRVGHHRLLERVATDCHLATACSDVAVRRFFYDSYSRCLNGSIFDGLHMDLVYYADDLLTAGSHDEQRLGASILAALVESDRFADATLRKIGTSAPAIERLIEMLSWKNASEKDVRRSAAVVVFMLTGRKVNALRVTGIPGAMESVASLLYADLDELNLLGLSILNKLARDHDNCDKIGKTRGLLDRIISYSGIVANGPATDMRLKAVKQSLRVVKRLASTTGTTGKLLRRELSDIVFTVSNVREVLQQQQQHDEKDVSELHRLVIEILTNLAMDEEAREMIGGTGGVVSTLVAMFLPEKEAVPDRHKDAVRVEAGEALAMLALDSRRNCGAIIMAFGGGVERLVEALSDPVVVISAARILRNLCTYAGDEWQLTLRGVNAGATKVVLRSIMVEKTKLLNISLGLAAQMLRFMQPGELRASLATAGVTDAALARTLPPVPGGAAHPAVHAGARQVAIALMRSDARFVSLFVELGMEAEQRRVAETTTGLECFNVFSGSVGLSRRAVSVGSLVDSAMELMRQQTCTSKSQQIR >Sspon.01G0063210-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:111778430:111781847:1 gene:Sspon.01G0063210-1D transcript:Sspon.01G0063210-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAERGSSRAISGRFVVTAAGSRGKCETSARASATGEICRLYKRPLPRCSVRRGGVLPRQASSPFPRPRSGRTLRHTLFLARTPGGGRLLPSSSSVPMVRVVVLCTGSASSTSGSASSSLPHLLLLHCSLRICCVDHPEMDPAQNRELMANQAAALVVVMVSYVVTRLRRSRPEPDPLLYHLRSDAEQHRKQTLQAIYNSADAECLSMLRMTRAPFYALCNLFRNRGLVPEKAGCTVEEQVAMFLHVVGHNQRFRVVHQFFRRSIETVHRHFHQVLYAVGELRSEMIKPPTPGIHPKILGSHRWNPYMQFTYVLASWEGSAHDALILADAIERNDGFIVPEGKFYLVDAGYACRSGFLPPYGGVRYHLSEYGSRNHPTNARELFNLRHSSLRVTVERAFGALKNMFRVLDNKSFHPYKTQIFIVNRMASAPVDVLPVEGGFVATAEVVGAEAAPAADVAAAAAPAARPMRWNNNTSGFVLRRMSQLLSDGTRPDKVFKDKDVNLVAKCLKDYSGDAVRPTQDHPKDAEFLNYSIRFYSEMEAIFGHSMATSRYALGSGEALGVNQADSAATKVEGSAFHRIPKEKTNTERKRGNFTEDEMLLLTNMSDAVNNVANAFRETGATHVDPDLYLAVMEMQGFTTEALIVAYTYLLENKVIVTGFVKMAISHMDIWLRNYLAKNYYM >Sspon.02G0016950-1T-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:100723092:100725311:-1 gene:Sspon.02G0016950-1T transcript:Sspon.02G0016950-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGFRKADPDLEAGGSSLLYPGMTESPELRWAFVRKIYVILAVQLAMTAAVSGFVVKVPAVSNFFVSSNAGIALYIFLIILPFIVLCPLRYYHQKHPVNLLLLGLFTVAISFAVGMTCAFTSGKIILEAAILTAVVVVSLTAYTFWAAKRGHDFNFLGPFLFAAIMVLMVFSLIQISVMIYGGLASLIFCGYIIYDTDNIIKRYTYDEYIWAAVSLYLDVINLFLALLQLLRAADS >Sspon.01G0018340-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:68477408:68481112:-1 gene:Sspon.01G0018340-1A transcript:Sspon.01G0018340-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cys2/His2-type zinc finger transcription factor, Heading dat [Source: Projected from Oryza sativa (Os10g0419200)] MMMMLSDLSSEHEHEATGSSSYGGDMTSYALSPPLFLAPAASATTAPPPPPPTQLEEPNKAAGTKRKRSQPGNPDPGAEVIALSPRTLVATNRFVCEICNKGFQRDQNLQLHRRGHNLPWKLRQRSSLPSGSGGSRQGGDAAAPAAPRKRVYVCPEPTCVHHDPARALGDLTGIKKHFSRKHGEKRWRCERCGKRYAVQSDWKAHVKGCGTREYRCDCGILFSRKDSLLTHRAFCDALAEESARLLAAANNSSTITATTSSSNNDLLNTNNITPLFLPFSNSPPVVAAEQNPNNTLFFLHQELSPFLQPRMMIQQPSPYLDLHMHVDASITTTTGGGSILTDTPAVNFGLTLDGSVATVGHRRLTRDFLGVDGGGHQVEELQLPLCATAAAASRTASCATDLTARQYLGGRLPPLNETWSHNF >Sspon.02G0017110-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:48975304:48983708:-1 gene:Sspon.02G0017110-2B transcript:Sspon.02G0017110-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPQHQHFRSLISSYATVRPQRRRELKLLSLPDYFSSCFNTPQISCYHSGHLRLVSSPGTCERALSALVGCHSSDSTSSHGARDNNAIGNKEKSKRRKRKQTAKQGVLSRPSEEELSTGMVNNLYQSGDPLGRKELGQHVVQWLKQGMHLMASKFASSDQSVTQDNDPLWFDGHMGFVMQAQAYLSATAMPKGQEALCLKASMHYPTLFDNFQRELRDVLLQQQEEGLVTDWRSTRSWMLLKEIARSTEHRTAARRSATPVMHSPLGISLDRTRLMQSKIDEFVQKMTSLLQLERDAELEVTQTTQDELSASSMLDGKSKKPVEYLVTHGQAQEECDTICNLKVVSSSTGLTGQHLVLFRVEGRHKLPPTRLSPGDRVCVRTCNSQGEVATTSCMEAFVHNLGEDGCSITVALKSRRGDPTFSKFLGKIVRIDRLQALADAVTYEMFNPFTYEYALQRNCEALMILQKRGLQKRNASIGVVATLFGDKEDVMKLEGNNLMDCGGSEVPDDGLLERHSYSFDASQSRALALALDKERPVLVIQGPPGTGKTSLLSYLIACVVRQGERVLVTAPSNAAIDNIVESLSRSGLNIVRVGNPSRISPSVTSMSLGEIVTKRLEKFTQEFERKKYALRKDLKRCIQDDDSLASGIRQRLKKLGKDYKNEEKEAIREVLSNAEVVLSTNTGAADPLIRGIGCFDLVIIDEAGQATEPSCWIPILQGKRCILAGDHRQLAPVVLSREAMEGGLGVSLLERVSSLHDGLLTTTLTTQYRMHESIASWASKEMYDGLLQSFPSVASRLLVDYPFVKATWMTQCALLLLDTRKAYGSLNIDCEESLDPAGTGSFYNNGEADIVAQHVLNLIQC >Sspon.01G0037820-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:26463917:26475991:-1 gene:Sspon.01G0037820-1B transcript:Sspon.01G0037820-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoinositide phosphatase SAC8 [Source:Projected from Arabidopsis thaliana (AT3G51830) UniProtKB/Swiss-Prot;Acc:Q96328] MASADADEAPLLSEEPLCPGSCSRELELREFRDRYVIRSLDSAAAFAVARSGGSIRPLSPGSYVLVITSRKDAGSYQGSPVYHVNSMKFLCCNEAIKHLTPQEVCKHYHIVCNFSHIAWSQPIAFLWSLVAAFKFSTSICLQRRDEAYFMSLLRIAETTCGLYYSYDRDLTLNLQRASKLAAGRVHKPLWKQVRGSIPLLWEQIVDLSYKPRLSIIEHEETPKVVQRHFHDLSQRYGETIVIDLTDKSFLARKSLDSQLQRMGALLSSESISLSDNINDIFKKLWVEHGDELSLEYAGSYALKGDLVRYGRQTLPGLIKDGMSALSRYYLNNFHDGVRQDALDLISGYYTVSQGSSSPFHNGGFESSSYLPVASAIIVGGITATTFTLSQVGRNAQHLISSIICAGLTVGVVALVKANGKQFCSRPRLCGLI >Sspon.04G0007990-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:22494079:22494581:1 gene:Sspon.04G0007990-1A transcript:Sspon.04G0007990-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding THTHFARVPPLRAGAARRRRADEPGRRRRHWRGRGGLRRVGHLGRVRPERRAGRAGEGGPVSGRAEGVVAGEAGAGAGLVQDPGQRVPAWLPLRRRDWELDDDEDSVDLVPPHELAWRRRAASLSERGRRQDAQGPGRGVEADHRVPGLTGD >Sspon.02G0051560-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2C:80086972:80088303:-1 gene:Sspon.02G0051560-1C transcript:Sspon.02G0051560-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCTLSTQKRAHLAHQAAPAAATSYWSSSLPKDVTIKLAERLVADNDIDCYMAFRAVCHNWRTETTNQPGKVDDPTDPTRFQPTKWALLDKQDDHVTFVDVDAGRFLRMSIPILRSYLFVGATSGGLILLGEKEEPHRACLLNPFTGAIAHFKVRAPAEGVMAVAVTTKPLMVFVSLEDGNIIWADHSSEHFKRYNLMDSDKPTLIAAFDGDVYASDPDGAIFMSIIDDVPDGEKCRSAAIIWMGATIPSPNNNASPDASPEANPGSGGRYYLVQSGGDLLLVTRPLDGVADQPLVHRVDTKRNVLEPVNSIGSRAIFVSHVRCISINADKFRGIKGGCIYFVEPPILAQDDYAPSTITTYQVAAGPQAGLIMFEEGILEGCFRPFTITQVFADYCRSVHYHELYQLIFSNWDWDFTDSESDYEAELWELEEAAEFLGINYEAE >Sspon.08G0009660-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:42440383:42448180:1 gene:Sspon.08G0009660-1A transcript:Sspon.08G0009660-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRWRIDASRLNKPSASVPTRLPEPNNLSKPSSEAEPQDQKPNDLLNESVDHISLDKISADPIQDKLISECPVDEQKKDVNQGNMCRSLKPSLILDKECGLAKANESGGLIKRSDTGERGISSRYRPSNGSDISDESSCSSITKPHKANDSRWEAIQMIRTRDGIFGLSHFKLLKKLGCGDIGSVYLSELSGTKSYFAMKVMDKASLASRKKLLRSQTEKDILQCLDHPFLPTLYTHFETDKFSCLVMEFCPGGDMHTLRQRQRGKYFPEQAVKFYVAEILLALEYLHMLGIIYRDLKPENVLIREDGHIMLTDFDLSLRCAVSPMLIRLSNPDPESLKKYNQAHSTQQACVQPSCVMQPSCTAPTTCFGPRLFSKSKKVRKPKLEVVNQVSPWPELIAEPSDARSMSFVGTHEYLAPEIIKGEGHGSAVDWWTFGIFLYELLFGKTPFKGTGNRATLFNVIGQPLRFPEYPVVSFPARDLIRSLLVKEPQQRLGFKRGATEIKQHPFFEGVNWALIRCASPPEVPRHFDIEKPPKQPVSTSESAAQKGCDNYLEFDDGGVGLLDLLLLLTGEGDSGGVGSAVVSVNRNKFDDRVSNLIALDEVHINDRNVAEQNPTVPILFTLKWQAV >Sspon.03G0014830-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3A:47506147:47507827:1 gene:Sspon.03G0014830-1A transcript:Sspon.03G0014830-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTDFGEEMHCQFLEILRILMDSFTMSGAH >Sspon.02G0055700-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2D:11379597:11384195:1 gene:Sspon.02G0055700-1D transcript:Sspon.02G0055700-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding WPSDLMMELPLAQEGGVGWGNRSSGLSHAPLPARALPVPPRGGPAPTAV >Sspon.02G0034280-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:11769274:11771733:-1 gene:Sspon.02G0034280-1B transcript:Sspon.02G0034280-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLVFKQHRCTHSASCVCIKGHLSEDALYLVFRHMNWNPRLIAILSCVCKWFDEVAKQVLWKEFCNARAPKMMLDLHSGGSHIVDGNWKALGKLLIYCNGCTKGGLFNNIHVPGHFVFRTRFSRTAGRSFLPLPCKSDVLYVSDPCEHLDQGEEGDLGFFRGIFKSFATSRVKKMLIEKRARFHPTELCPYCKAKLWNMFQENMIPRSASARLGAYDDSVEYFVCLNGHVIGLGTLLPLSDSEEAADEFGRLKPRACEMINVATASFHLQTCFRNG >Sspon.08G0016940-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8A:66277784:66279124:1 gene:Sspon.08G0016940-1A transcript:Sspon.08G0016940-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSILCEIIDFESAHEIWVFLNEKYGAISNDDEPKKEAHEDVEHDHNKVVVEDCSTSRSSDDKNDHITRSLDKNDDNATSDASNDSTPCTLDGEDDGYESDASTSSSISSHGDTKTNLLKENNELKSEVKNLSNKLERCYNSKVTFEHIMKTQRNLGDKSGLGFKKKLTRGERKQEKRMKRLLQKKLSHSMCYRCHEAGHLANGCPNIEKLKKMKEEERLKYVKCFKCRTWGHLTTMCPTKQLVKQQVKPQPKPLVEQEKTPQEQIKINHGNDGDLLKRRKRKQEGVVKQGIQCKFKMPR >Sspon.04G0030230-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4B:76154429:76154839:1 gene:Sspon.04G0030230-1B transcript:Sspon.04G0030230-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLNLKTGAGIAKVIGTTVGFTGAILLALYQGPSVTKPSPAAPGEAMAAAAGHGGGAHHQWAIGSVALLAGAACWSFWFILQSRLGKKYPALYSGNALMFLLSFLQMAAVGLATERDLSVWILRTKLQIITVLFV >Sspon.05G0025020-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:17529447:17531524:1 gene:Sspon.05G0025020-2C transcript:Sspon.05G0025020-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEDATTSNSSAAETFDPDLIHAIFKLVWRRRGGGRGRAAVAMRTSTWRDLEEKSEYNCLTEISLAEAIQRSAFIAEAEDGTVIEPTHLERVLPQLLLDF >Sspon.07G0019550-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:76425904:76432426:-1 gene:Sspon.07G0019550-2B transcript:Sspon.07G0019550-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:sirtuin 2 [Source:Projected from Arabidopsis thaliana (AT5G09230) TAIR;Acc:AT5G09230] MAAAPAAHAYRASASIIAGLTGALRVVSRRSYAHFCNFQASGNNALLYRRGNPLSLRYSFCSLKARNNHSSAVAPEDYCETYIQFLRDKRIVPDSDPPSSKDVDLLYQFIDKSKRLMVVTGAGMSTESGIPDYRSPNGAYSTGFKPLTHQEFVRSIRARRRYWARSYAGWRRFRRAQPNAAHYALASLERIGRVHSMVTQNVDRLHHRAGSNPLELHGSVYDVICLECGTSISRESFQEEVKNLNPKWAQAIDSLEVGQPGSDKSFGMQERPDGDVEIDEKFWEQDFEIPSCHQCGGVLKPDVVMFGDNVPQERAGSAKEAARACDALLVVGSALMTMSAFRLARLAHEANAPIAAVTIGETRADSILSLKINARCGEILPRILQMGSLVVPNA >Sspon.05G0004940-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:8670518:8670901:-1 gene:Sspon.05G0004940-3C transcript:Sspon.05G0004940-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding YGRRRGRGEQPAAPPPRGGAVRGSRVGRGRGLVVVRGGAGGARAAGRAPRGDNDRGRRRGSSVPGPAAGRPRGAAVRGGVRGRGRRDAAQHQRQVRGPLHRGAEPAHPRPRRRLPGARHRALPHPEHL >Sspon.02G0027540-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:99707547:99711884:1 gene:Sspon.02G0027540-1P transcript:Sspon.02G0027540-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAAGAPKRCYYEVLGLPRDCSPTDIKLAFRRLALSLHPDKQPPGSDLAAATAAFQELQHAHSVLSDPQERAYYDSHRSQILFSDPVSAGAKSASPVPDLFAFFSSSAFSGFSDTGRGFYKVYGDVFDRVFAQELAYARRMGVPEPAAPPVIGNLDSPYAQVTAFYNYWLGFGSVMDFGWAAEWDAARGENRRVRRLMEEDNKKAMHKARREYNDAVRGLAAFCKKRDKRVVDMALKKKAEEEKRKAEEKERKKEEEKRKKERAMAYQEPEWARAEEEEEGLYDEDEEEELRAKKEELYCVACNKKFKSDKQWKNHEQSKKHRDKIAELRMAFKEEESLKEAEEEGEGDWNEVDVGFDFKPTQESDDESVFSDAAEELAEEFVEGLEVHDKENGDKVFDSAEQEVGSYDEASVLEAMLSGRKNRKGGYVAPEEALSGAAEDDDDHRSSDVNNAKRKGRRRRAAKEEKDEGNYADNEHHGKSEVQPEGSGPGNDVDDMMEGPSFSNDNSGSVSKGDKQNGENTNPKKNKKNKKGTEKKTTVSTDQKSTSEADQKSTSKGKKQKEVSKAPSNDCETCGGTFESRSRNAEDEAEKSSMREIS >Sspon.06G0010260-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:55889084:55890706:1 gene:Sspon.06G0010260-1P transcript:Sspon.06G0010260-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEANANATGGAPPAAAAAVRVLSVSRVTPAESAAGATDPVVVKLSFFDTPWVVLPPIQRVFLYLLPGGDADGHEFTAAVTRLKSSLAATLAAYLPLAGKLAYVAATGDVVVDCADDPGVAFVEAEADGAGTDTDTFDVRRLAGDEAHDIPAFLALVPDLPTKALPAPVLSVQATRLPRGGGLALGLSVHHAVADGQAVWRFMAAWVSAAREGSPVTKALPAPHYGREAIRVPNGDESARQMLKMIAPKLPVASTVADYDFSQRFRLARRTFYLAGDDIRALKRRIDALAAAEDDDAVVVADGSSTRNKKPVSTFVALAALGWTSFVRSKGLVAGDDTYLMFLADLRARLDLPVADGYMGNCIKGVLATADAGDLLFDGGRGLLAASRAIQAAVAEMEAAPLAGTERWLEKMMGLPFTRLANVAASPRFRVYEASDFGFGRPKRVELVSMNNDGEMVLVGGRRDGEVQLSVSLDPARMDEFKAHVFASSSAPSEEATN >Sspon.08G0012930-4D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8D:19008161:19009105:-1 gene:Sspon.08G0012930-4D transcript:Sspon.08G0012930-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSDDDDDHATRSLDKNDDNATSDASNDSTPCILDGEDDGYESDASTSSSISSHGDTKVSIGGFVVDCDGPNFELLYKLSKDLRNEMAKTSKLKNENSFLKTTCEQQKHLLYVTTCSHEELKLAHEELSLAHDNLEQEHALLTKKPSNEETKSSESSSFESNDQSHITNPCDVGKKHVSTSCDDLLDMPCSSQLNACSTSMSCETNLLKKNNELKSEVKNLSNKLERCYNSKVTFEHMMKTQRNIGDKSGLGFKKKTTKGERKQEKRMKRLLQKKLSHSMYYQCHEARHLANGCPNIEMLKKMKEEERLKHVKCF >Sspon.01G0021800-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:80294039:80300456:-1 gene:Sspon.01G0021800-1A transcript:Sspon.01G0021800-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Switch 2 [Source:Projected from Arabidopsis thaliana (AT1G03750) UniProtKB/Swiss-Prot;Acc:F4I2H2] MIYVGPDLEVPPSINCRLLAHQREGNGHEQSTNKGKKTGPVLILCPTSVIRNWENEFSKWAELSVAVYHGPNRDLVLGKIETQGLEVLITSFDTFRIHDKILCGISWELVVVDEAHRLKNEKAKLYTACLGITTQKRFGLTGTIMQNKIMELFNLFDWVAPGCLGDREHFRAYYDEPLKQGQRLSAPERFVQVADARKKHLVSVLRKFLLRRTKEETIGHLMLGKEDNIVFCKMSDVQKRVYRRMLQQPDIQILINKDVRCSCGSPLPQVECCKRTEPDGVIWSYLLRDNPDGCSICPFCLVLPCLVKLQQISNHLELIKPNPKDEPEKQKKDAELAAAVFGADIDLVGGTAKSENFMGLSDAEHCGKMRALERLLSLWTQQGDKILLFSYSVRMLDILEKFLIRKGFPYIYPGSANRVVIFDPSWNPAQDLQAQDRSFRYGQRRHVTVFRLLGAGSLEELVYSRQIYKQQLSNIAVSGKIERRYFEGVQDDKKFQGELFGICNLFRDLSDKLFTSEIIEMHGEHGKSSATEATGIREIVDTDLFGSQENRKSSTATTDTDNQKLVDFGIVYAHRNEDVVNSRTNEREKGATDETVQSSLEELHSKKNETKHTVMEKSYSLEEKRKVARSYSLEQKRKEFSCIASFVGMDDLEFSKWLLSASPLQRSEVLQNYKRKKKRKCEKKQK >Sspon.02G0020170-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:66064965:66066642:1 gene:Sspon.02G0020170-1P transcript:Sspon.02G0020170-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNSSQLLIFSAPAANSTAGLSHWNSQETGMGSFLQINLGTILACILSFLAAAVSSAGGVGGGSLYVPILNIVAGLSLKTATALSTFMVTGGTLSNVLYTLFLRGSGSGSGHGQGQQQPLIDYDIAVVSQPCLLLGVSVGVVCNVVFPEWLITALFSLFLAFATFKTYGAGVRRWRAETAELGRMPPDAVGGAAAEEALLGRNASGGGRRCQWVDLVVLVTVWLCFFVMHLFIGGEGAKGVFDIEPCGIVYWLITMAQIPVAVAFTACIGHQKRKSQAQQHGQVIPAKRKLDALPAYVFPVAALLTGVMSGLFGIGGGLLLNPVLLQIGVPPTTASATTMFMVLFCASMSMVQFIILGVDGIASALLYAATCFVASIVGLVGIQGAIRRSGRASLIVFMVAGILALSALVIAFSGAVRVWEASRCPAEEHRCY >Sspon.05G0010130-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:31367759:31369141:1 gene:Sspon.05G0010130-2P transcript:Sspon.05G0010130-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding QTVTGISQISFSADGSVSPKKPSSIPEVAKQRELSGTLEDADAKINKQLSEAKTKELSGSDIFGPPPEIPARPLAARNMELQGNVDFSLPQRSVHTSVKVSNPAGGPSNISFSEEPVVKTAKKIHNQKFQELTGNNIFKEDFPASAEKSLSSAKLKEMSGSDIFADGTPAPREYLGGIRKPPGGESSIALI >Sspon.01G0027440-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:96162030:96163791:-1 gene:Sspon.01G0027440-1A transcript:Sspon.01G0027440-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASALSSLRYGDSLSVVGISAATAVLCEAISWLLIYRTATYNSLRASIERHSRKLDAMKSGSSSSASSGAAASSAQPASSRAKKMDRVETSLKDAARELSLAKLKSGAVVAAVLFVVFGLLNSLFEGRTVAKLPFAPIPLVQRMSHRGLPGNDPTDCSMVFLYFLCSMSIRTNLQKLLGFAPPRAAAAAGGGLFPMPDPKESHLQFCKFSPKDLEP >Sspon.04G0003610-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:11779939:11790034:-1 gene:Sspon.04G0003610-3D transcript:Sspon.04G0003610-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNFLRKLHIGEGSGDGASSPPPPPSRKGGTGTGGGGGGVHHHQPHHDQRQQTSAVSSWLDSVPTRPPPPIPVEAEVPTSSSSPSSVGVGAEDRKARQSGAVERRRSQQEERERRRSQEEETLRELRRSREEEMERERRRSQQEDEVEERVIRESSEAEERKREREKEEDDLEAYQIQLVLEMSARDNPEEMEIEVAKQLSLGFCPPQRSPAEVLAVRYWNFNALGYDDKISDGFYDLFYVGNGPASVTMPSFAELRAQPFSHKVDWEAVLVHRGEDPELMKLQQEALMMNLELQSRTSESVGNALVKRLANLVARHMGGVFDPESMSVKYQDMLSYLRSGIGSVIVPLGQLKIGLARHRALLFKVLADGLGVPCRLLKGKQYTGSDDGALNIVKFKDGREFIVDLVADPGTLIPSDVTVLSTELDKNFVSDNHHFGQDDTNNLLGSSLSGVSSSAYGSFEYELLDRRSTSSNVGPDTDGHTTNRTSNQQNMLSNSFEKLSVSTFTSENRPVSNESTNTDYIIVGKNKEKYTAAIDSSSTSPSTPDMGSTPAVRRMKVKDISEYMINAAKENPQLVQKIHEVLLENGVMAPPDLFSEDSMEEPKDLIVYDTTLFQSKDEMKRRMNELGSREYTDRGHGPLLPHHPGHELQPKVAPHRAPLGSLKPVEGLGIYHPHDIRDIASPFVSQYEPSAPPQEAPSQFTKQLPVTAAAVATAAVVASSMVAVATKSNSDVNFDVPVAAAATVTAAAVVATTAAVSKQYEHSEPGNQLLSLSSTSQGNESVEKAGDDLWDKHHLETDHGQDNSLDQEVPQEAERTSDKSNKSSGTESAKSDLLEDVAEFEIQWEEIAIGERIGLGKDRLEKFIEENGMEQGSLFRLIHRPNNQLDERKRLRMALDVVCDFGLSRMKNNTFLSSRSTAGTAEWMAPEVLRNEPSDEKDPKLRPSFADIMAKLKPLLKNLASNLAPKTESSTNR >Sspon.05G0000780-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:2758360:2762056:-1 gene:Sspon.05G0000780-1A transcript:Sspon.05G0000780-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEAARARGMLDPAGEGEAEQEEEFYESLDRILSSSCSSTSASASDDDADHRRRSSRRHHLLHQHHHNPPPSSRPDASSAYDVWISEPTSVEERRRLLLQRLGLASAPPPPSPPRCSPSPPVSPPASPPAPAEEPRSGGGGLGRPPLARNPSSSGGEQQCRIRNLDDGTEFEVGEVHEEEVVREVGTGRHLTFEEFELCVGRSPIVHELMKRTTTASSASASDNAAPASSRPRRKPGGGWLRGIRQLAGTVAYGRRGADEGDKDKEKKEREARRLSSATDDSLDGSGSRNVGRVRVRQYGKACKELTGLFMTQELAAHSGSVWCINFSLDGRYLATAGEDRVIHVWEVSEGDRKGELLGEASAAKDNGGGCSPFLAVVGNDSPEMAALSLSCADGGYVEKKRRPRKQSNRKSVGSDHLVVPECVFGFRDKPVCSLLGHAADVLDLSWSKSQYLISSSMDKTVKLWDITTSTCLKTFSHTDYVTCIQFNPVDDNFFISGSLDEKVRIWNVRDRKIEDWNDLHEMVTAACYSPDGQVAMVGSHKGSCHIFDTSEKKLQYKSQIDLRIRKKKSGQKKITGFQFAPGSSSEVLITSADSRIRVVNGDEFVHKFKGNASFSCQSAMVNLRSVTWFRNTSSQISASVAPNGKYVISASEDSHVYVWRHDNSSHPSRSRSTVDVTNSYEHFHCHGVTVAVTWPGAETRGSFGSRSSRHSDSDGAVNSGRDLPVENTQHNSDAADIRYNESPVCEGVTSRSTSRHQGDGASTSWPDEKVPSAKSSPGHCSSDLCMGAMDVQRRSAWGLVIVTAGRGGEIRVFQNFGFPVQ >Sspon.06G0034850-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:56838658:56840462:1 gene:Sspon.06G0034850-1D transcript:Sspon.06G0034850-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAEGLANVLAIGTANPANCVLQEDYPDWFFRITQTDHLTHLKVKMKKICGKTEIHKRYFHHDEDLLRSHPDFLDGTLPSLDARQAIAATVVPELAAAAGAKAIAEWGRPASDITHVIFCTYSATHMPGADLRLASLLGLRPSVERTMLYYNGCNSGSVALRLAKDIAENNRGARVLVACAEITLVFFRAPDEARTDTLVVPALFADGAGAVVVGSDPEAGTERPLFEMVSSAQAAIPGTEHVVSTTLGKCGLVYELSSELPSLVAANIERCLVDAWKPLALGQLMSGDWNDLFWVVHPGGRAVLDGVQDALGLDECKLKASRRVLSEYGNMSGVTIIFVLDEMRRRCRHSNGDKEDGVEDMEKESEWGAMVGFGPGLTVETM >Sspon.05G0002360-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:7209119:7212471:-1 gene:Sspon.05G0002360-1A transcript:Sspon.05G0002360-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Floral homeotic protein APETALA 2 [Source:Projected from Arabidopsis thaliana (AT4G36920) UniProtKB/Swiss-Prot;Acc:P47927] MWDLNDSPAAEAAPPSPSADDSGASSSSAAAPVEIPDDADDDSAAAAADAVVTRQFFPAAAAIGAAPGSSNARAGWLRLAATAAAPPAAGSNGVAPAGGKKSRRGPRSRSSQYRGVTFYRRTGRWESHIWDCGKQVYLGGFDTAHAAARAYDRAAIKFRGVEADINFSLEDYQDDMKQVMGHLSKEEFVHVLRRQSTGLPRGSSKYRGVTLHKCGRWEARMGQFLGKKYVYLGLFDTEEEAARAYDRAAIKCNGKDAVTNFDPSIYAEELEPAGSSIPALFATAYRQFLFISDGPMLHRAAATGGGADDEHNLDLSLGSSAGNKRGSLDGGGDDETSDQRIPMAFDIDWQTAAPRSTKAKVSVWSARQSVCSRVPHQRASLSRFNHRVAAGRELEATPDAASSSSSCLASRPAPAVAVQPQASAIPEQRRSGDRGRPVPGDRRRGRRRRRRALASPAAASAAAPPAPAEAARRQHELAAAATPAATDHCRDRSCSIITIPSLRCYDARPGGLGSEEWVPFAGQAHIDQTKNHDTTGGVRSSIVFHGRGRSFDLTQGKRFVEPLHGDV >Sspon.08G0006690-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:20905744:20910544:-1 gene:Sspon.08G0006690-3C transcript:Sspon.08G0006690-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GSQTPVRVQHGGRCVGESSGSAPRGFSRLALCAFDGGERSVTFRRTSHRSLSPVQLNVNGEPSSPSAAALPGHINPTRRLAGRVMVSNPDARVTFCTAVSGHRRIFPSLASPDEEFVDATGVLHAPYSDGFDDGFNPAVHDAGTYRARASAAGRETLSAVVARLATRGRPVTCMVYTFLVPWVPDVARAHGVPAALFWIQPAAVFAVYYHYFHGHDVAIAACANGLDPDATVRLPGMPPLKPDALPSVVSITSPEHRHHVVLDMVRELFLSLDEHKPRVLVNTLDALEPDALRAVPQFEVDAVGPVVPDDVSPSSRADLLHCHDEKLYMEWLETKPARSVVYVSFGTILLMSKRQEEEMRKGLEATGRPYLWVARKAGDGGASAGDGDGAQGMVVDWCDQVRVLSHPAVGCFVTHCGWNSTLESVTRGVPMVAVPQWTDQPTVAWLVDACMGAGVRVRVDGEGLVERGEVQRCVEMVMGDGEAAAAIRAQSDRWREVTRQAVVRGGTSERNLRAFALGTPGSDA >Sspon.06G0030170-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6C:42321630:42321985:-1 gene:Sspon.06G0030170-1C transcript:Sspon.06G0030170-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRVNKALDGSDWASDGGQTKVDYWTGPKGPFTAGHQGFNVDACTTDGSSGPSAGTPPCDSPTLCWNGCEYRNITDAQRNGAGACEAEE >Sspon.04G0015750-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:58534982:58542081:1 gene:Sspon.04G0015750-1A transcript:Sspon.04G0015750-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLGGRGVVGDRWSQRILWICALGSAMKASTSWRWRGRRRTARGRWPRASRPSTAPGMSS >Sspon.02G0020090-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:65656301:65660306:-1 gene:Sspon.02G0020090-1A transcript:Sspon.02G0020090-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGDLYALDFDGVLCDSCGESSLSAVKAAKVRWPSLFEQVDAAMEEWIVEQMYTLRPVVETGYENLLLVRLLVEIQIPSARKSSVADGLSIQEILENWLKLKPILMDEWQEDRESLVDLFGRVRDDWIENDFSGWIGANRFYPGTTDALKLSSSEAYIVTTKQSRFAEALLKELAGIDFPSERIYGLGTGPKVEVLQQLQQMPQHQGLKLHFIEDRLATLKNVIKEPALDKWNLYLVTWGYNTQIEREEAEAIPRIQLIDLPYFSRQLK >Sspon.03G0031410-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:45468929:45471731:-1 gene:Sspon.03G0031410-2C transcript:Sspon.03G0031410-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:KC1 [Source:Projected from Arabidopsis thaliana (AT4G32650) UniProtKB/TrEMBL;Acc:A0A178UVX9] MSFGVVLSVSQIEPQRSPCQKHRRLPAAGSSMARRPGADTESWTLPSIDRGGVSSDLLPAAFGDGELTPSVGNNTVNPYDCRYRWWQGFLIVLVLYSAWSSPFELALEKAATTPLVVVDLIVDVFFTVDIAVSFFVAYFDRSANLFVDDRRKIATRHLSRPSFAMDVASTVPFHVIYRLVSGRSTGFRYLNLLRLWRLQRVSKLFARYVRHASLLHLPVYLYLYIQTYRDAPIHSCRLEKDIRFDYFYTRLIKLCGVTLLALHSSACIFLWMAFHHHGDGEHTWLGSQVRDFEDRSVWVSYTYAVYWSITTLATVGYGDLHAVNPGEMVFATCYMLFNIGLTSYIIGNMTSLVVHAATNTFKMRDMVRRVSTFGSVNRLPPELREQMMASAQLRFSTGEVIQQQLLSDLPTALRSRVAHHLFRDTVQRCYLFQGVSNDLVLQLVSEMRAEYFPPKADIVLQKVTSTDCYIIVSGAVLVMKIGPHGMAGEMGVILGVPQPFTVRSSRLMQAVCISRSHLLQILRSNTADANTVYANFVQHLKSLKEQVAADAPLFEEILSKTGFDQLQIGAIFQKQLQNGDGARVVPSQDQNASFGTEQHEETAPCTLPRRQHGLRVVIHDRFPSDGTEKHRSRAAGKLVLLPDSLQELLKVAAAKFGKAARRVLTVDGAEVDDVAVLRDGDHLVLC >Sspon.04G0001300-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:5054567:5056951:-1 gene:Sspon.04G0001300-3C transcript:Sspon.04G0001300-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:26S proteasome regulatory subunit 6A homolog A [Source:Projected from Arabidopsis thaliana (AT3G05530) UniProtKB/Swiss-Prot;Acc:Q9SEI2] MAVDDAEDDQLASMSTEEIIRASRLLDNEIRVHKDELQRSNLELESIKEKTKENQEKIKLNKQLPYLVGNIVEILEMNPEDEAEEDGANIDLDSQRKGKCVVLKTSTRQTIFLPVIGLVDPDKLKPGDLVGVNKDSYLILDTLPSEYDSRVKAMEVDEKPTEDYNDIGGLEKQIQELVEAIVLPMTHKDRFQKLGIRPPKMFIGDGAKLVRDAFQLAKEKAPCIIFIDEIDAIEQSVLT >Sspon.04G0016900-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:65355560:65357121:-1 gene:Sspon.04G0016900-2B transcript:Sspon.04G0016900-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVMHEAEPVKKTMIRVREFDLERDLRAVKELERLCQVGLSGDQGSDPVADHDGGAEKTARSSKKKKKGMSLYVEQIGDPFARVRHAPDNVILVAEYGQEDEVVGVIKVCTRMVSRGKKKQSLSSSSKQFVKVACLLGLRVSPSHRRHGIATELVRRAESWCAARGAAYATMATTESNAASLALFTGRFAYAPFRRPVFLGHPVHRHRVRIPRVHRVLRLPPPLAAAAYAALLPPSAAEFLPADLPALLNHKLTLGTYLAIQRGGGPDEDPARTPSFALLSVWDATRSLRLRVGGAPTLLRASLAAARALDRHAPWLQVPSVPDIFRPFGTYLMYGLRMSGPEGPALLRSLCRHAHNVARKNPACAVLAADLGPDDPAKAVVPHWPKFSCDEDVWCIKKLGSSTASDNAGSDDDDWTTSPPPSVLFVDPREF >Sspon.03G0002910-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:7303912:7305211:-1 gene:Sspon.03G0002910-1A transcript:Sspon.03G0002910-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-acyltransferase [Source:Projected from Arabidopsis thaliana (AT3G09320) UniProtKB/TrEMBL;Acc:A0A178VGE0] GGDLRYCQKCSHYKPPRVHHCRVCKRCVLRMDHHCIWINNCVGHENYKIFLVFVLYAVIASFYSMVLIIGGAVHLPKDEQPGSDSSRTSIIVCGVLLCPLALALMVLLGWHVYLILHNKTTIEYHEGVRATWLAEKAGNIYHHPYNLGVYENLVSVLGRNMLCWLCPISRNIGNGVRFRTSYDIPLSTSP >Sspon.05G0030330-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:89236964:89239235:1 gene:Sspon.05G0030330-1B transcript:Sspon.05G0030330-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALDANNILMHIKRILRSSVRLAYQSASDYPVALGIGMLLLFLHRLCPSLFAFFLSSSPVFLLTALLLGALLSYGEPSAPLIREEALEGQKKSSPISKISITECSVVEEVQNVAVTHMSRSFESPVVCIEETSSDNVFHDTHRDEDSIVTSVSADTVCCAEASELAKNEVIVEREEHVKEICDKVELQQFHSSAAEGVAIEEAENVAVKVNVGTRFKCPVMYTGDKTSEGVLLIDTQCDQGNVTSMSTDSVLSAESSGFGKNGIIAEREEEEHVKEICKQVAPPQQSESTVTERCHYEYEVNSQYQFGELMSSCWQPVTRQDPCSDSESDLTESSSDASITDIIPMLDELNPHVDLGTSHPSSAFKDNLNAGSLDEDEDEDDSEEEDNNLSSDEDAEGEEEEKKDDGNSWKGFVDPNSLDAEKDGNLESLMARRRAKNVLKFELDRRLMDMQAADAIQKMEEASRFRVQEHTVEVEEEDSMSEVNSLFKCRMEEVLVQSISESGIGQPLTVKLEHELSDNFLHAEPGIPLIEARSVEDLNSQFAQLKGEALLALSASIPSEALPVENGDTEDGHSSDRSLDDSPVAVKVVEGEGGPKELLPEDGGLPVLKASSVEEMSSLFRHLEEAAAGPALMRAGSLESEHMSVGQHTGETETDDCGVLAPDAKPAWDDTNPTYVQLSIGGGDKIKIPEDGEVIVDNSAKLNSDAGTSGSDDTKVFEVKESLESA >Sspon.04G0020440-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4A:71813917:71817413:1 gene:Sspon.04G0020440-1A transcript:Sspon.04G0020440-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKLAVDDGRRVGFVSGAKMAAARGGCDGGGGSGKREGEGEPERKKRPWLCPKLPLLGGRQAPCRQLKRRQAYVLDWQYSQIHREKCSSQQMSPPPEKQKRKLARLSDLVSSSLLLHPEPASSPPGKLTREDERQLLLALSTVNKTIRRRDQEEAACDLVQETLSDSGEVHSCCLLLEEHPYDGFGCLANMVSVLLDHHVHLHHVHDLVISWPSPPNHLAPTSCVATYLMIT >Sspon.01G0047960-2D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1D:115634733:115635168:-1 gene:Sspon.01G0047960-2D transcript:Sspon.01G0047960-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSRDDSIRAGLGPRRWFENRLEGRADPTRPKAKWRRARGAEGAHVTHVAVGPHEPSPCRPRPIERAKLRASSPKERAKTLAIPSSPSPSDEAAMAAGGTADFFYRESQRLGYVARSAFKVTSRFHPGSLLAVGCCYFFPFHDSE >Sspon.05G0014480-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5B:53932797:53934016:1 gene:Sspon.05G0014480-2B transcript:Sspon.05G0014480-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMALAHLLLQLSAAGGNGASRNRMVVPAILLILQLLLFTALGVDGAASFSFTNACQHPVWVGALHGASSPPLASSGFYLAPSATSHLDAPSSGAWSGTFWARTGCAVDAATGRFSCATADCGTGDVACQGRGPAPPVSLVEVTLAAPGSGGADFYDVSLVDGFNVPVRVAPSAGGGDCRPAACAGDVNAMCPADLRIVASSGGGGGVVVACKSACGAYGSARYCCTGQYGTPATCGPTNYSQVFKSVCPSAYSYAYDDASSTFTCSGASTYDVTFCPGS >Sspon.01G0023540-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:83124300:83127773:1 gene:Sspon.01G0023540-3C transcript:Sspon.01G0023540-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLRSWPTMPARFMNQFGGEISESIDIESPDGSVYVVKGTKYMNKMLPQCGWKAFVDAHHIEENDSLLFQHIEKARFKVLIIDSDGCEKVFPCDGIRISSSNIQERDTDYVDVSDSSHDRTYKSSRVRKRVSSCQGDCPSQHRKAARITTTSASSSEESGPDSEGTAEYECSSGFDDDPKTNAAPDYVLSCMTILSEEQEEEVDGLIKEIQPETTVIPHSYAAAHFPHTSQMVTLQRPGKNKKWHTKLQVRKDRVSHVFSGPWSDFVQDNHLQEGDICIFQPLKTAGIRFTVMVHLIRQSKLGALHEHGNSPSPGSGGGTSSSRGRTTMAKANEPKIVHSGEGRAKAKVTVTPVARVKEEPADQAPSPCNCHLTDDESGDSGGPSKAGLYIMASHAHLGDEQRKKVEDVVGSIQSQVPIYVAVMNKSNVAATGCVLYFGKQYASKHLPYGEHTVTLVRNGKSSAWKVKMHAQMFSKGWRGFVRDNCLKLDDICLFQLTKDDIKMLTITVYIIRHVNHC >Sspon.02G0017250-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:49306297:49308975:1 gene:Sspon.02G0017250-1A transcript:Sspon.02G0017250-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKIKDKPASRPQWAERDPHARTGACLELWTEGRTFRGAHAEQPEILNGMGFSSGPRCSVKDEASQQIAVGVSGPGTRLFHVRVAPPRTYVEWQLSRFLTNDSDVLCPHSLWGQLVSDAVRIFLSLLLYNKEDFSKAISLWVNEMIPKSLTKNKNASYWFTDLAISQMSIEERYLQNQNGSPNVFQRMPHYQSKVDVHVRRPAAAVGDLASCQRRSKMKNGICCSSQNTEGTPTPNSCKLAERNRNRRKRRRSGRARVSSLVRIRRVEVAVAVVGWWCVRDGRRQTPAFERVSRHATAPANSSIASAHLQLHDLSSCCFA >Sspon.06G0030060-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:40919794:40922907:-1 gene:Sspon.06G0030060-1C transcript:Sspon.06G0030060-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPEDHNAGTPPNTIHRGEAPSIRPVAGLPAPGSVGRQGSWAARRLLGAGSCLAGAEARGARVVGQRRPGLAARTSGGQRAGQRTAASTEQSFGRVASITDEVVDAAARMHVGGMVGAVERVLDAEVVELMEHVVGAVRQVAELMQQRHQRGAAGLPVTGVVQHRLCVEQLQLELADVGSEPGGGRPCLQQAVLDRVQRLAHHPQRRVDGGRLHASTYHAFSM >Sspon.02G0019750-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:64020139:64023272:-1 gene:Sspon.02G0019750-1A transcript:Sspon.02G0019750-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGPENMEDVDLEEQPLPHSPPPSPRPPLRKSSRKPAGGSRSLLATPVRALSLVVLVVGLLFSVHLLVHDVRTLVLLAAEWLCIFFVMSCVAACERGGDDNDAGGSSSGQGTVAEVALWSFAMALTVTMTFWVTAGLPLPAVAVLYLLSLLAVSACFADTYYYGKFNHRRLCDKPL >Sspon.06G0030010-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:40172333:40175936:1 gene:Sspon.06G0030010-1C transcript:Sspon.06G0030010-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MCKLRMPWLGKADASFRGYIARARVKGFAVVSVWGIAGVGKSALVRNLYYSIMRDNRGNKMFTMYGWVDVSHPFNLATFAWSLLSELQSDSSQQNGISNPIQECRELLAKHHCLVVIDCLQSTEEWDLIRDSLVSTHPRSVIVVITTEASIATHCCANREEAVYNVKSLEDDEARDLFKKEIITNNKKLKSTDFKLKQTYPHAHVDIFPDSSALRDSEDPELGKLISKCGGLPKLVVAVSDFLAPMSVSWMNSAVNLSDRFMHDMETKPEFDNLRGLFGWMHSYFRTCPYYLKPCILYLSIFPQGQSIRRRRLVRRWIAEGYSRDTSNRTAEDNGEKFFSMLLDLSIIQQTAHSVTTADTRMVLCKVNGFFREYIISRRKDEELVFELEGRCTLTSQRTGRHLVIKESWDRDKIVFSSVDFSRLRSLTVFGEWKPFFISETMRVLRVLDLENAKGVTYKYLEQMLKLLPRLKFLSLRGRREICHLPSSFAALRQLETLDVRDTSIATLPPSITKLTKLEYIRAGTAKEPSVPCAHLSWLPRCCRACPVGGVEVPAGIEKLTALHTLGAVNVGFSGGKAILKEVKKLTQLHKLEVTGINKKNSNEFCSAISDHVHLESLSLWLSMGNNQHFSEDMFSTQENPLEKLQTLKLYGPLRSVPAWIKDLPKLTKLELELEVTMSVRVEVNNVLRVLGEIKELRILRLCAKSLQDGDCTLNFSVSVAGVQDRCYLKVKVLEISCRSNLTVTFGSHSMENLEFLSARCCSGSVLKLTELNNLSVGKLKEVRYLGSLDDKNKEDMETQLNNHPKKPALNHVRSDGH >Sspon.06G0026380-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:76877576:76887378:1 gene:Sspon.06G0026380-2P transcript:Sspon.06G0026380-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLARAPPRPCSTGSAVAGDDQSAWCPGGGVGLAQGAARCPGRSRAAAGVRIVAARPGLRTTTVVAAAADERRMAAGSEPASAEAANGSSSAVAGISTLLTSTVGKSTNILWHDCPIGQNERQNLLNQKGCVVWITGLSGSGKSTLACALSRELHIRGHLTYILDGDNLRHGLNRDLSFKAEDRAENIRRVGEVAKLFADAGLICIASLISPYRSDRSACRSLLPKSSFIEVFLNAPLEVCEARDPKGLYKLARAGKIKGFTGIDDPYEPPSDCEIVIQCKVGDCPSPKSMADQVVSYLETNGFLLD >Sspon.08G0026810-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:34332005:34333121:1 gene:Sspon.08G0026810-1T transcript:Sspon.08G0026810-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LLSYAHVRELTDQTAAMARAFHAASPATVPASPSPLPSKKAGGGLANLQWLLRKRANKVQQGRHVAAEQDADDGCDDDECASMFAGATPYIGPVSGAGPTTPDDAPAGRKRRGEALSRLRSAILAVLARARRGRGRRPLGSCATVTGTIFGRRRGRVHLALQTDPRSPPALMVELAAYSTGALVREMASGLVRLALECEKAPAPPQQTGDHHHQRRPRQQAAALVEEATWRAYCNGRKCGYAVRRDCGADEWRVLRAVELVSVGAGVLPDGDSMGTGGAAAGEGDLMYMRAKFERVVGSRDSEAFYMVNPDGGSGPELSIYLLR >Sspon.06G0000080-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6A:376041:376968:1 gene:Sspon.06G0000080-1A transcript:Sspon.06G0000080-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRELATAPLHREPDDFEDFMTRLPKVEDFSLQGFQEGKWVAEIREPNRGARLGLGTLGSELEAARTYDNVARHLYGDCERLNLQLAPPPAVATGGGGLVVMVSSSSPNTVVACRWLCYSADVSSSNSDSFSNSTFSNSNSTSSSVTMAASPTTYHHQTSF >Sspon.07G0007690-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:20659738:20663941:-1 gene:Sspon.07G0007690-1A transcript:Sspon.07G0007690-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVALLLLSALGGAAAQVFPPWNGTFPMGPGFGGGSSGGGAGAAAGTGVPAMFVFGDSLTDNGNNNDLNSLAKANYPPYGIDFAGGPTGRFSNGYTMVDEIAQLLGLPLLPSHTDASSGDAALHGVNYASAAAGILDNTGQNFVGRIPFNQQIKNFERTLDLLSRRLGGASKLAPSLARSIFYVGMGSNDYLNNYLMPNYNTRNEYNGDQYSTLLVQQYAKQLGTLYNLGARRFVIAGVGSMACIPNMRARSPVNMCSPDVDDLIIPFNNKVKAMVNSLNTNRPGAKFIYVDNYAMISQVLRNPWSYGFSVTDRGCCGIGRNRGMITCLPFLRPCLNREAYIFWDAFHPTERVNVLLGRAAFSGGNDVVYPMNIQQLAAWQ >Sspon.03G0012390-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1C:6101182:6101989:-1 gene:Sspon.03G0012390-2C transcript:Sspon.03G0012390-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVDEKVLNDCVNEISRALLQADVRFETVRGVQASIKSTVNLQALADGTNKRRVIHRAVVAELRRMLTPGTGSRPSPQAKEDHHLRQVRGLPPPDEGVQPGAGLRALDQLKQSATKVGIPFYGSDTESDPVKVAVEGVDRFRNEDGCDLIVVDTSGCHRQEAALLEEMRQVSDATRPDLVVFVMDASIGQAAFEQAQAFRQSAPVGAVIVTKMDGHAKGGGALSAVAATKSPVIFIGTGEHIPDLEVFDAKSF >Sspon.05G0017540-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:73936699:73941564:1 gene:Sspon.05G0017540-2B transcript:Sspon.05G0017540-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQFRQQYGGGFSDSRGHHNHQALPDWHRPHHASAKPSSRIRRPGKPAPRRRSPAAAAAVAAALLLLAAVFLLSRRISRSPAEISQDSSTGEALPEWNQSKSWKELKFGHGGGGRSARDSRYWDRDDRRRDEDYTEDEKEKISGGSGTSADAGGSGDKGTTSEAIGEDKGLTLETGGGAKDVPEASEGGKGGTLYNEGGRKELEQYEAAAMGATGTGVKEVDPDDEYDDGIDMQDDLEDAHMHSSDGGRKLGGGSHESAEKKDEVATERHTEAGGGIADSHDISSPDKKKVSGTGDKKHVSKKKPKRKKSGSTCEMKFLNSTAQLVEPARNEKFSNFNLEYVEVEERPVESEYWEPRFAGHQSLQEREESYKAHDQQLKCAFVKGPNGTSTGFDISDDDQKYMSKCHIAVSSCIFGNSDRLRTPFGKTITSLSKKTVCFAMFLDEVTLQTLESEGQKMDSMGFIGIWKIILIKNMPYNDMRRVGKIPKFLAHRLFPSSRFSIWLDSKLRLQTDPFLILEYFLWRHGYEYAISNHYDRHCVWEEVAQNKKLNKFNHTIIDQQFEFYKADGLTKFNPSDPNKLLPSYVPEGSFIVREHTPMSNLFSCLWYNEVDRFTPRDQLSFAYTYLKLRRINPDKPFRLNMFKDCERRSIAKLFHHRSEERHSGAQLTR >Sspon.06G0004430-3C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6C:11793569:11797309:-1 gene:Sspon.06G0004430-3C transcript:Sspon.06G0004430-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVREGAVRCVPEDEDAGAEAERDGERGAEDAVLLAGLDLEPLGLGHLEPRRRLELRRRRLGGGGGGGGGGGVRLGPDDGERSGPAGGARGGGGTRGGGAAGQEEGRAVVQRGGGGGRCH >Sspon.06G0001380-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6A:4383607:4384320:-1 gene:Sspon.06G0001380-1A transcript:Sspon.06G0001380-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGVLSSTVRSKCLPDRPIGTDPAHALSSPLAAACTNRHAHSILPTSKPVGPTQPNPSAKTVGPNAPGQPPLDYSRPREPIKTGPTSTSDAIKAARPTSQSQTSSRTIPTKMSVEILDGSTVRSFVEDEGAFNSSVDGRFAALDADHDGLLTYAEMAGELMSLRVLEKHFGVDEAVVAPDELAGLYHSLFAQFDRDGSGKVDRQEFRAEMKEVMLAVANGLGFLPVQMVVEEGSFLR >Sspon.07G0020340-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:80417061:80420689:-1 gene:Sspon.07G0020340-2B transcript:Sspon.07G0020340-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Translocase of chloroplast 90, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G20300) UniProtKB/Swiss-Prot;Acc:Q6S5G3] MLMNFRDWISYRLGSSLLSARPFALSPGAEDAASEGDAHGTATHNDFVDTVTASTFSSNHTRAEVTTNSNTGAIYSGIVQQDDDNKKSDPLMKVEALQIKFLRLVYRTGVSPSADVVAQVLYRLQLANLIKSGESDARRPNLAINKARVIAAQQEAPGGPDLDVSLRILLLGKTGVGKSATINSIFDERKVATDALVPATHRIKKIEGTIKGIRVTVIDTPGLMPHYHGQRRNRKILNSVKRFIKRSPPDIVLYFERLDHINSRYSDYPLLKLMTDILGSSMWFNTVLVMTHCSSSPPEGPDGYPLEYDTYTRYCKNVVQRHIQAAASNTQLDNPSVLIDNHPMCRRNTKGERVLPNGQVWVSELLLLCGATRLLTEANSLLKFQDSFLLSQANTRLPSLPHLLSSLLKPHSSSSSDTIDSELTEMSDEEDEYDQLPPFRILKKSEYEKLTNEQKSAYLDELDYRETLYLKKQWKEGIRRQKLTEAQNDEVGDDYEESASPEIVHMSDMDIPLSFDSDYPVHRYRHIITDDQLFRPVLDPLGWDHDIGFDAINFEASQELKKNVSAAITGQMRKDKEDTYIHSECSVSYNAQRGCSLMGGMDMQTASRDLVCTVHGDAKFRNLPWNTTGGGISVTKFGNKYFAGAKLEDSVTIGRRVKLVANAGRMAGCGQVAHGGGVEITARGKDYPVREESITAAVSALSFEKETVIGANLQSDFQVGRGSKVSVSANLNSRNLGKLSIRTSTSGHAEIALIAVVSLIQFILRRRSAAAEKGEQEIDTYLDD >Sspon.01G0012280-5P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:5C:36178731:36179378:1 gene:Sspon.01G0012280-5P transcript:Sspon.01G0012280-5P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCDFSATRHEEGDVSLDGQVVAKKDTFRYLGSMLQKDRDIDEDVRHRISAGWLKWRQASGVLCDKRVSQKLKGKFYRTAIRPAMLYGAERWPTKRRHVQQLSVAEMRMLRWCCGHTRRDRVRNDDIRDRVGVAPIEEKLIQHRLRWFGHVQRRPPEAPVRSGVLKRADNVKSGRGRPKLTWDESVKRDLKERNISKDLAMDRSAWRLAINVPEP >Sspon.07G0024750-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:29262375:29266958:-1 gene:Sspon.07G0024750-1B transcript:Sspon.07G0024750-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ADVEKAAPVAAPAEGAGGDAAGGRTGAVGAVLRRWRTQDLLDRSGSALRAGAWALSLLSFLVMACNEHGDWRQFDRYEEYRCIVAIGLLAFVYTTLQLLRHGVRLTGGQDLQPKTGLLVDFAGDQVDEPANSFTKVEKPADAMAVDECDDDDWVSTPLDENSELIVDKELREHPAGVDENGDLIMDKELLEHLKWVKALEILDLRNVPGVTV >Sspon.02G0004090-1P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:12556427:12559807:1 gene:Sspon.02G0004090-1P transcript:Sspon.02G0004090-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPLLLGFLVLLLVAAAVFPAWESNSASNCGVVIACPPEGEVVPTGAQSNGDKEVLVELKRFLQDNNRVNRGAYDAWQESDASPCGWAGVRCDNASGRVTSLDLSRSSISGPAFGSFSRLPALAELDLSDNTICSPGDIGQCRGLVRLNLSHNLINGSLDLSGLTRLQTLDLSGNRLSGGVAANFTAMCAADLAVFNVSTNGLTGNITGMFDGCARLEYVDLSSNNFTGELWPGVARFRQFSVAENNLTGAFPDSIAKCANLTYLSLWGNDFNGFIPSGIGRLAAIETLVLGKNSFDRRIPLALTNCTKLQFLDISSNMFGGDVQDTFGKFASLRYLVLHHNNYTGGIVTSGVLQLPLLARLDLSYNEFSGELPPEVADMKSLKYLMLAYNQFSSGIPAAYGRLTELQALDLSCNDLSGEIPATIGNLTSLLWLMLAGNQLSGEIPSEIGKCSSLLWLNLADNKLTGNIPPEMANIGRNPGPTFAKNRNGSSVLAGSGDCQAMRRWIPASYPPFSFVYSIMTRANCRSIWDRILKGYGIVPVCTNSSSPVRSYTISGYVQLSRNQLSGEIPPEIGAMVNLSLLHLDNNQLTGQLPPEISRLPLVVLNVSRNNISGAIPSEIGHILCLEMMDLSYNNFSGELPWSLSQLTDLTKFNVSYNPLLTGSVPTTAQFGTFDEQSFLGDPLISFGTAPKHRGVVPVLAPRRLRHRRLRVLHGQSACAVPRGPRPGPRVVLVREPQVQLREVLSADVDDVVATVRVIVVGDRCSSSTEGVKVFQLCKTAFTYRDIVAATGNFSEDLVIGRGGYGVVYRGVLPDGRTVAVKKLARPRDGDCEREFRAEMEVLADQMGSTWPHPNLVALYGWCLSGSAKILVYEYLDGGNLESLIGDTAAFGWGRRLDAAIGVARALVFLHHECRPAVVHRDVKASNVLLDRDGRARVTDFGLARVVRPGDTHVSTVVAGTVGYVAPEYGQTWRATTKGDVYSYGVLLMELATGRRAVDGAEDECLVEWARRMAKEGWRSSSEKAAAVGTVSWELLMLGMRCTADAPQERPDMPDVLAALLD >Sspon.01G0012790-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:34230247:34230942:1 gene:Sspon.01G0012790-3C transcript:Sspon.01G0012790-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGSIVVGEVVNRTSDFLISKHRERLSTREAIERLGMAHIKMEAALELSGRWQQATDASLLRWRRKLQRAADECDAALHRWKLRELQEEDARERLARAPLPRRVAHAVLCFVSGLLLLIARRGGDDECSRACAAVQRFERLASGAAEFLRCVELGSAPRRLYGGGGLFGFGPVVGRLSGEHASYERLQGAPQQHRFLGAGPASPAELWVDSSKPCRAYPKRRASGKKLLLV >Sspon.07G0005550-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:14216456:14217581:-1 gene:Sspon.07G0005550-1A transcript:Sspon.07G0005550-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:VHA-E2 [Source:Projected from Arabidopsis thaliana (AT3G08560) UniProtKB/TrEMBL;Acc:A0A178VP35] MNDADVGKQVQQMVRFILQEADEKASEITVAAEEEFSIEKLQLVESEKRRVRQEYERKEKQVDVRRKIEYSTELNAARIKLLQAQDDVVTGMKESAGDALLRVTKDANAYKRVLKGLIVQSLLRLREPALVLRCREADRSLVEAVLEVAKKEYAEKAKVNLPKVIIDGKVYLPPQRSSRDAHGPSCSGGVVLASQDGKIVCDNTLDARLGVSFRQKLPE >Sspon.02G0017430-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:50395135:50400877:1 gene:Sspon.02G0017430-1A transcript:Sspon.02G0017430-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPAPAPGDVDGRSGYCEVTRTFRSLRPPVPLPPPDAPLSFPEFAFSFLTSSPVPAHPALLDAATGEAVPFPAFLSQVRALAGALRSVVRLGRGDVAFVLVPTRLDVPVLYFALLSFGAVLSPVNPALTADEIARLVALSGASVAFAVSATATKLPAGLPTILLDSDRFRSFLQKQNDGRGEERLAVVRQSDTAVIQYSSGTTGRVKAAALPHRSFIAMVAGAHAVLGKPRHGRERTLLGAPMFHSMGFYFALKGVALGQTTVVLTDAVARRGVKGVVEAAERWAVTEMTASPPVVVAMAKEQCHQLQALERVVCGGAPLPRTAAESFRRRFPNVDLCMGYGSTEAGGISLMISREECARIGSAGRLSENVEVKIVDHVTGKALSVGQEGELLVRGPAVMTGYVGDEEANATSFDSEGWLRTGDLCYIDQDGFLFVVDRLKELIKYKGYQVPPAELELVLQSLPEVIDAAVMPYPHEEAGQIPVALVVRQPGSKVTEAQVMDHVAKRVAPYKKIRKVLFVESIPKSPAGKILRRQLTNHVQAGAVSR >Sspon.02G0019430-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:63057013:63063954:1 gene:Sspon.02G0019430-1P transcript:Sspon.02G0019430-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPCQGCARPGPNPRGERRDGTGDEGGRGWSEIHTCEASSVQISAMAKLSLLPVSSPSRRCSPGAGRRPCRSGHDDGLQICNDDSLQIQSTSEDLDRPATCGHLQIQPVLKAPNGYGVINEPDFRSGGSYNGRRSVDEGFPRDSYGRGAFCQDTHDRNMYAPPPSVGGMWSQPRRNHDEEYATARDHRRHDADYRNDGNHHEFDSYRGVDRLRDNYHATDNYYESGSHRDFGVDRSKRIGSRERAEFHGEFEDRYRSSHQSREDSYERDHEYGRYGYDLDYDRGRRDSSWRRRDSCESERERSGLSREREESPYMRHSRSRSHGRDDRSRSRSRSRSPRARSHGRNQRDGLYDDNRFDRRREYDWDDRRHGDSVVPSATVVVKGLSLKTNDDDLYQILVQAQWGPLRSVRVIKERNSGMSRGFAFIDFPTVCNEPRTEDALPADATGPTHVLVVRGLDENADEEMLRYEFAKHAPIKVEDATKALEATNGITLEKNGQVLRVAYAKSTHGPASGGSQSNSLAAAAIEAASFAQQYDAIGWAPKEYNPDDKQSSNSESQKDGGTTQSGFVWDEKSGYYYDSASGFYYDGTT >Sspon.08G0022360-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8C:50854655:50854975:1 gene:Sspon.08G0022360-2C transcript:Sspon.08G0022360-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQFHLAQRRRASPGAASQPNSGRRKKRMAVVRLGGEGRKRRFFGVLRTRLRWPWLAAVYRRALRRLRASYEQALRELVDGNGLVGALHAPAGVDRAHAASFGPVTTV >Sspon.03G0040470-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:29171107:29176384:1 gene:Sspon.03G0040470-1C transcript:Sspon.03G0040470-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-(apurinic or apyrimidinic site) lyase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G41460) UniProtKB/Swiss-Prot;Acc:P45951] MPLLLRGASLLRLYQCTCGLRSVNFSSRVPALVNLITTRDRMRATYSRRAASKNSEVKKDEQPVIEKEDAAESDLEIEQVRSNPSQLQSMTVKELRELTRRMGVSVKGTKKDLVSALMNSLGVEANGEEGKSSIELVSPSEVPLKRKGGASVVIEQKLESSEIISETPSKKRSRTKQKSSKSTTLEENSVTNVKLSKTIVQKETFVVQGTVPNDDSEPWTVLVHKKPQAGWIPYNPKTMRPPPLSKDTRALKIMSWNVNGLKALLKSRGFSVQQLAQREDFDEKDVEVIKDTLLDGYTNSFFTCSVSKLGYSGTAIISRVKPLSIKYGLGIPDHDTEGRVVTVEFDDFYLLTAYVPNSGDGLKRLYKSSETYRVMEWDPSLGNYMKELEKLKPVILTGDLNCAHQEIDIHDPAGNRKSAGFTNEERESFETNFLSKGFVDTFRKQHPSVVAYSYWGYRHNARKTNKGWRLDYFLVSESIAEKVHDSYILPDISASDHSPLGLVLKL >Sspon.06G0035720-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:82225216:82228160:1 gene:Sspon.06G0035720-1D transcript:Sspon.06G0035720-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSTPCISNKGLVAKDKFHAIFTEDSARGPLNVRGEDNAISSTVNPRPTRRGFTGSHGDRPT >Sspon.01G0000030-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:1409622:1410568:1 gene:Sspon.01G0000030-1T transcript:Sspon.01G0000030-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLSLSLPVVAAAAVSLLLASCAAAAAGVNYNTSDAAGMQWGSARATWYGQPNGAGPYDNGGACGFKNVNQYPFMAMTSCGNQPLYRDGKGCGSCYKIRCSTSKHAACSGRTETVVITDMNYTPGVAPYHFDLSGTAFGKLAKPGRNDELRRAGIIDIQFARVPCEFPGLKVGFHVEEGSSQVYFAVLVEYENGDGDVVQVDLMEKGSRRWTPMRESWGSIWRLDSNHRLQPPFSIRTRSDSGKTLVARDVIPLSWRPNTFYRSIVQYS >Sspon.02G0053910-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:108911622:108912194:-1 gene:Sspon.02G0053910-1C transcript:Sspon.02G0053910-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASTSTLSAILVLFVVAAITGGSVAVGASTALDEVCGGLGGYYVTTELCVSALCPDPSSSPPPPCRAARDANAVAAIAARLAAANATAARDSVQAALSSYAGDATGKAAALRSCLKLYGGVVPALQWAARSVAAGRFRGAREMMQAAQYVPAGCDGMVDGGAALPSENEGFATMATVAHAVLATLSKGY >Sspon.05G0007670-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:23851791:23853131:1 gene:Sspon.05G0007670-1A transcript:Sspon.05G0007670-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGGRVRFNVGGQVFETTTTTLANAGRDSMLGALLDSSWNVSSSSPSGGGVAEYFIDRNPACFAVLLDLLRTGSLHVPPHLPEKLLYREALYYGLLDHVRAARWGAFDGDRLRLAASVPGRAPGDGTAIRAAPDGGCCVAHGGAVRVYNWMLDERRPVSLDHSQVNDAAYLDAATLLIAARERLGKRDGGMAAFSAVSGDLRHRFRVAHDRQVKSFTAGALAFDQDSRIFASCKGRLNEYGIGVWDRVTGEQADFFYEQPGCALGDADKLQWLDATSALMVATLFPKADNCFIGLLDFRAKNVVWSWSDAGAAASLDDKRVLHAIAMEDERSVCVINQYDDLGFLDLRSGAGGVRWSSRSKLMNRKVPGEESCYPKLATHGGQLFSSMNDSISVFSGPEYVLTSTLRRSHGGAICDFSIGGDRLFALHNEENVFDVWETPPPPII >Sspon.07G0023060-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:12025981:12027012:1 gene:Sspon.07G0023060-2D transcript:Sspon.07G0023060-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPKARIHADPVREVDHFDCLPDSLVLLILNKVEDVRSLGRCYAVSKRLCGLVPLVHDVYVKIDRVVAVDGDAEDTLNLSSPKPRNIFSHFLKLMLLTIIKPFHNMRNPNGAGRPLFPQLSQHSPAQVLRNFTHIRNLRIELPSGDVGTEEGVLLKWRAEYGSTLQNCVILGGTRVDRKPVGGEHEPSLEDNGSMPESFYTNGGLKLRVVWTISSLIAASTRHYLLRSIINDHPTLRSLVLTDADGQGTLCMGAEQLKEFRENQLSASACSNRTQVPACNMKLKYAPYLELPGGMALQGATLVAIKPSPEESNGSHLNRKEADGFVFGAFDGPFKAAVKALMKR >Sspon.05G0021380-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:84898902:84899105:-1 gene:Sspon.05G0021380-2P transcript:Sspon.05G0021380-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLYSYSFIVRHHHFRVLSGFLFNTSSFIVVLDLCRISRVTPVVLPISLTRLQHYNFLVKLDHCSISR >Sspon.03G0042430-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:66384804:66392998:-1 gene:Sspon.03G0042430-1C transcript:Sspon.03G0042430-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RLDCSGGALSSGDWLPRVLRARRVQNRCRGAGPLSIYDWCACLSGPLPIETLAAAASGSFLRVWGARKFFSPFVRPASRWAVVWGGFLVLLLGLFRVG >Sspon.08G0001290-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:1066533:1072449:1 gene:Sspon.08G0001290-3C transcript:Sspon.08G0001290-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCVCSRRFPEDAPPAPGQLAAAYDARRGRYGPGDFDSGELAIPPPKPPHSHKVSDTGTFLGRASIAGLEKAVERLSLWGTNRGNKACILAFEVANTIAKASSLWRSCSDESIEELKKEILHSDGVRILVSSNTIELLHIAAVDKREELAIFSREVIRFGNLCKDPIWHNLGRYFDKYASEKLVTDNTPQDHSKESMEATVQKLINLAQNTSELYHELHALDRFEQDFKRKFHEEEFVPAARRESIMILHSELKRQRKLVKNLKKKSLWSSTLEDIVEKLVDIVIYLHKQIRDSFNEAGTEFCASEQTQNKRLGSCGLALHYANIINQIENIVSRPLSLPPSARDNLYHGLPITVKSALRSRLQTFNTEEERTVAQIKAEMQKTLRWLLPVAENTIRAHQGFGWVGEWANLGSDMSKKSGSHSVIRIQTLHHADKAKTEHYMLELVVLLHHLVTQVKNRGYGTSKSSRHDASSRSRKVAPDLQLDTETRHNTSPVNSCVALSPLSDCERAALDHLSFKRTSYGRSQSCEPPPGRRNKSHRSWDSCRSQGSSPAREFGRTHAVDRDTARDLDVIDGLDRLTSFSHPSSPTFC >Sspon.02G0042940-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:80166448:80174784:-1 gene:Sspon.02G0042940-3D transcript:Sspon.02G0042940-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVRGICVCGDAGIATSSRDRTVKFWTRNPEKESEYVLSKTLAGHSSFVGPLAWIPPSDRFPEGGIVSGGMDTFVFLWDLQKGEVVETMKGHNSQVQVIPLLSFGKEGLAYRHSQDMQCDYTNRPNFMPHADTVRCLASMPGLGILSASHDRKTVGGLKLMDLPGVEALQVPGNSDGQTLIVREGDNGVAYSWNSAELKWDKFLMLILEMENPYENYPITVQSGMLSFETAQFDGIVKKVTEFNARLSSDSEQKQLSLSETEMSRLPAIVKVLKETSFYHTSKLADADMALLVKILKSWPPQMMFPVIDFLRMFVLHPDGAALLLKTIETGNDILLETFRKAVAPPVQPANLLTLLKAVTNLFDNSCLHQWLRTHCAEIIDSLSNCKSSFSKNAHLAYATLLLNYAVLSIESKDEQSQAQILSATLEIAEDDTQDFDSKYRALVAIGSLMLKGLVKSLALDLDVKSVASSAKSSMDTKVAEVGVDIESLTN >Sspon.01G0026790-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:94782849:94784577:1 gene:Sspon.01G0026790-1A transcript:Sspon.01G0026790-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSKVERRTLRVLFAHVVTRRRQQQRRTVESSRSEPTPLGLGAGAPARPRAGWAGSAPVPRAEHPTDSFTTVVELLRAEAIAWKLRLARCTPSTSGTPPEHRLEAPAAGSATDEPAAALRHQIEAATTGSGAPQPPWPAPGCALTGSGRGSRDERVAAAPASELGVFGAHRRRKWWHGCGSGAVEHGGADTACERGQRTASSHGAGERAANGKVHGPQRRGQDRNMWFLSNGDSVISMCLGARVDFVSPRRNDFYNFRSLSSLTRVPRQPAAPLSSQSQIRVVAAPLLPTSRALPLRPRFPLPPSSRAQTRRGGEEATASSRGGQRSAGMATPTSSGDGSPPGSSDLPSLRSNPTSSPLLCAAPFRQICADLPN >Sspon.05G0024850-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:26384916:26390355:1 gene:Sspon.05G0024850-2D transcript:Sspon.05G0024850-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFDDLNISAILSVICFPGYPHKCPKLRIVPEKNLSKEDADRLLSLLVDQANTYSREGRVMIFNLFEAAQEFLSEIAPAHVSVSTASFLGLSSTANEDVEVSLDSDPYPGISYVYNSFDLYSPDLTTDSGRKNIVSQVQSNVRSKRKTIIEKSRISADKVNNAKGSSGDKAEQQHTTKHGAIREAAPTLHVVDEETETESKTLSASNTGNTSDTPERGFSSLNEPEDTDLAEEPWNEEDCDSDFSSSNALSYVSDMLDDASRNKKRDLILVHLLRIACASKDSLSAALPTISAELCNIGVLSEWAKDLISDSPAVFGETFSHVFGQQIISSECSLFWRPDNSSSRPNSRYLNDFEELRSLGQGGFGRVALCKNKLDGRQYAVKKIRLKDRSPQVNEKILREVATLSRLQHQHVVRYYQAWIETEYGHHNILNSGGSRTAESSIFSYDEVSLSDAGGGNKQESTYLYIQMEYCP >Sspon.01G0008780-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:24410051:24414091:1 gene:Sspon.01G0008780-1A transcript:Sspon.01G0008780-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:MYB-CC family protein, Pi-starvation signalin [Source: Projected from Oryza sativa (Os03g0329900)] MQSQKSRVLGAMSSSLPILPNPFKGSFPRPCNPQHIPMLRQLPDDSMPLRNDIHQSASLHPRAGVIGAPYSGYSASPLDSVSNHDSQSMVAPYISQSSSFEAFPSLSDNTPGTHTEAAWFTSSMDVSPLYTDNIAAPDDNRIQSIPAMTSDETAKQNDWWADIMNDDWKDILDATATDSHSKAMIQTSNSATSLPAVNQSASSHSMEICPVASPPNSSNASVAKQRMRWTPELHECFVDAVNQLGGSEKATPKGVLKLMKVDGLTIYHVKSHLQKYRTARYKPDLSEGTSEKRTAAEELVLDLKTSMDLTEALRLQMEVQKRLHEQLEIQRKLQLRIEEQGKYLQMMFEKQSQSSTEKVQDPSSRDTAAKPSSTLNQSANKDSGATMDPNRTGDSAKTAELGERSSGLGVKQKLVEIESDTEGATDDGSKISQEKRRKLQDS >Sspon.04G0031820-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4C:18064011:18064929:1 gene:Sspon.04G0031820-1C transcript:Sspon.04G0031820-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTTPDPAAAQSAPSRAEVTTASPRDGFLLELADGVDGGAPRRAVRSLCSPRPSRTGVPCSSLWYRELNPAPRPLTPTLPLLLLAAGRERGALDWAENAVAAWCVEVEMGKALRGGRTPLLPRLRLPQTWQGARQVRLQARGSVRHPWQGDHRLRGGPLLLDGAPRDVAGLLKRLTKTTYLSPLLFAARDAVTPAASRGCA >Sspon.02G0020030-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2A:65471189:65472088:1 gene:Sspon.02G0020030-1A transcript:Sspon.02G0020030-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATNRSPLQLPLMTLAVAALFIVGSHAGSISIYWGQNEAEGSLADTCATGNYKFVNIAFLAAFGNGQPPVFNLAGHCDPTNGGCASQSADIKSCQSRGVKVMLSIGGGAGSYYLNSSADARNVATYLWDAFLGGQSSSTPRPLGDAVLDGVDFDIEGGTPLHWDDLARYLKGYSNSGRRVYLTAAPQCPFPDAWVGGALNTGLFDYVWVQFYNNPPCQYTSGSTSDLADAWKQWLTIPAKRIFLGLPASPQAAGSGFIPADDLKSQVLPLIKSSGKYGGIMLWSKYYDDQDDYSSSVKSD >Sspon.04G0007260-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:21534885:21535610:1 gene:Sspon.04G0007260-4D transcript:Sspon.04G0007260-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ARVADEPASSSSSPSLGKGGSGAARRFAWAEIESVTAGFSSRVIGQGGFSTVYLACLSSSRLGAVKVQRSSERLHRAFRQELDVLLSLRHPHIVRLLGYCDEREEGVLVFEYAPNGDLHERLHGRGGKATPTLPWARRMAVAFQVAMALEHLHESRDPAVIHGDIKASNVLLDANLDAKLCDFGFAHVGFSAALQPPPDNNAAA >Sspon.03G0023750-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:90979499:90987982:-1 gene:Sspon.03G0023750-3C transcript:Sspon.03G0023750-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVAAEGSASAAANEVEYQAGVQKLVDLLSKLNPAAKEFVPSAAASPPKKALSADAPVFDYHSIGGGDGATDAAFYVGFGNQHRRRGNGYINQGRRKTNDRVRRAEREDSIRRTVYVSELDHTVTEERLADIFATCGQVVDCRICGDPHSVLRFAFIEFSDEEGARTALNLGGTIFGFYPVRVLPSKTAILPVNPKFLPRTEDEKEMVMRTVYCTNIDKMVTQLDVKKFFEELCGEVSRLRLLGDNVHSTRIAFVEFVLAEGAIMALNCSGMILGTLPGEPF >Sspon.01G0024560-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:87739640:87742329:-1 gene:Sspon.01G0024560-2B transcript:Sspon.01G0024560-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SMAASYDRLAVAASLVAVVVVAGLPAAGALGVNWGTMATHRLPPGTVVRMLEDNGIRKVKLFDADAGPMDALAGSSVEVMVAIPNNMLDMMTDYGTARDWVHQNVSRYNFDGGVNMQIRSGRERALPVVFQRHVPERHAARPAEHPARAERRRLRRHHQGHRAAERRRVQLPHGQPGPVGGAVPVRHRRPHDGDRAVPGPERRALHRQHLPVPEPLRQRRLPAGLRLLRRHEQPRGGHRQRHPVHQRVRRQLRHARVRARRRGRRRPPRRGRRGRVADRRRQARHRRVRAEVLRGPAAEAGGKHRHAAAAGPVHRGVPVQPHRRGRQERGAGQLRAPLGHHALRRPAQVRHGPVRAGPEHGARGGEGCPVPAPPVVRRQPQRAGHEQDRRQRQLRLHLLRLHLARLRLVVQRPGRRQQRVLRLQHVLPGAEPGGGQLRLPGARRAHGAEPVHGRLQLHHTDHAVRRGETARRRHGRDGSAPLGSSCVPAC >Sspon.03G0006760-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:18574240:18577372:1 gene:Sspon.03G0006760-1A transcript:Sspon.03G0006760-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVPRARFLACIAVSAIVLLAPTSTCGVAGHSRGLRPGRGAGGAGDPPPPFPVNATRAEMIERQFMEWVRYMGGLRHSTFQHALARAFPSYSLVVDKNPAFGDFTTIQAAVDSLPVINLVRVVIRVNAGTYTEKVSISAMRAFITLEGAGADSTIVQWGDTADSPTGPKGRPLGTFNSASFAVNAQYFLARNITFKNTSPVPKPGATGKQAVALRVSADNAAFVGCKFLGAQDTLYDHSGRHYYKECYIEGSVDFIFGNALSLYEDCHVHAIARDYGALTAQNRQSMLEDTGFSFVNCRVTGSGALYLGRAWGTFSRVVFAYTYMDNIIIPNGWYNWGDPNRELYVPVPPSSTVFYGQYKCTGPGASYAGRVAWSHDLTDDEAKPFISLSFIDGTEWVR >Sspon.05G0032920-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5C:23980965:23981667:-1 gene:Sspon.05G0032920-1C transcript:Sspon.05G0032920-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFRIVGTALPVDWRTNDRALANYCQQTVATLWHYHGGCVAGKVVDRNFRVIGARAIRVVDASTFSETPGTNPQATILMMGRYVGLKMIEERHSRRPVIPP >Sspon.04G0020120-4D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4D:79332169:79332752:1 gene:Sspon.04G0020120-4D transcript:Sspon.04G0020120-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVHRAALHGMLLLAVAVAASGAAAAKVPAMYVFGDSTADVGNNNYLPWSIARADFPHNGVDFPGGRPTGRFSNGLIGADFLAIAMGFSGSPPPYLSLMAATAANSSSEVTRNTTMAAAAAYMSGANFASGGS >Sspon.02G0010840-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2B:23777248:23783314:1 gene:Sspon.02G0010840-2B transcript:Sspon.02G0010840-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ERDLPASSSEVGPGDAASQDASHSAEEAAASASGREHCGHYGRDNAHLDKVLLEILSSKHVASCEHCREDAPRKKGGAGGKQKKKGGASKGATAKAQAKADKSDTWVCLDCGRHFCGGAVEDTKPYGHARRHAKQDRHWWAARYDDPTVAYCLSCEKEVSIEMPKIETVVAAAVEDKVVGAEDTDSWRLINPDANVIKGLPNLGNTCFFNAVLQSLLAVDRLRRKMLGPDVPTGALAMSLKKLFAETSASNHAGGALSPKNLFSSICSKYPQFRGYQMQDSHELLRCFLDGLRTEETEARKLAEEATDAGVPTIVDSIFGGQLSSTVSSTECSHSSVKHDQFLDLSLPVPSRRPPTKSVSSPPAKRTKQSIRDRNKSRRYGKVPVRASPSVESKEQIQTIAERNNSQIPGSELEQVVSEKEAEPSGCSESCGSVSNQEQNATTNVENSICWLDYVADADETKSEILDSADSTGTGQIWECRNATDGPLHPQDGALPKEQILGSEHSGENTVDDDASLQTVILLPYKEIGTTAKERDETIENSYNSECAVPPLVVSPVTEDNTQPGYCGDVEQDDYVGLGDMFNEPEVTSEVKKEASKVEDIDVMAWSSNSADDEVDDSNAPVSVEGCLALYTEPELLSEPWLCEHCTNAARVKADERKNVTEMTEGANEINDGEEMMAGGDERKDVEKLIVSCSKQEGIDQVMGTDGCKKDIDQIMATGDGSDNIHSDMHCKEGRCVDPSLADPEQNCDCNVTDTENTSMQRSGAVFTMNETEQSSNQTSHKEPCMDLKSLELESSSLNNQQHDLPIQYNDGHNVDITTKAASAPLSCGDSVSCNATNNVEAQPVGGTEEVVSSSLPSDAQKTLLGAKDNEDAITKNQGRRKRMKMVGKAPLVQDNENKQKEDETKVFRAALRRILISKAPPVLTINLNRFSQDSHGRYKKLKGHVRFKEMLDIQPFMDPRCKENNTSIYRLVGVVEHLGTMTGGHYIAYVRACKIGGRQQQSSGSKSWFYASDGQVREASLEEVLNCEAYILFYERVGD >Sspon.01G0014700-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:22469757:22474765:-1 gene:Sspon.01G0014700-3C transcript:Sspon.01G0014700-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFAPSRLPLPRLPPRRLRLAPGSPMASTLAILRPSAPAPFAGGRARAAAPATARVALSSRSKYSSARVSLGSEVAVGADALFADYKPTTAFLFPGQGAQTVGMGAEAQSVPAATKLFNQANEILGYDLLDLCTNGPKEKLDSTVISQPAIYVTSLAAVEVLRARDGGQDVINSVDVTCGLSLGEYTALAFAGAFSFEDGLKLVKLRGEAMQDASDAANSAMVSVIGLDSEKVQELCDAANEEVDENEKVQIANFLCPGNYAVSGGVKGIEVRLAVAGAFHTSFMQPAVSRLEYALAATEIRTPRIPVISNVDAQPHSDPDTIKQILAQQVTSPVQWETTVKTLMGKGLEKSYELGPGKVIAGILKRINKGASIENIGA >Sspon.04G0016050-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:59003260:59004968:-1 gene:Sspon.04G0016050-1A transcript:Sspon.04G0016050-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGISVSGCSMPYPSPAVTTAVVPPPYRPDSGSRNQWQVVSDGKVCSIPSLSSLTWSDFLPSLLAKSQERGADRQYLELVAQWCLRISVGDGKAKAWPERMQDPRDDDFSAPKLHKKKVVYRPLPSGQLKGEPELLRREVPQSSGMVHKPPKRSLKAEPHTSPSDRGTPDSLPESGPTDEYRALRRKYLMLEEENFALDKELSMEEEEMKALENEKLALLDQLVVLEGLVEPSQLQSQHRP >Sspon.02G0017140-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:49307930:49310239:-1 gene:Sspon.02G0017140-2B transcript:Sspon.02G0017140-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSIAAAATPVVVMTSVPAAVFLPSFSSSSSFISPALRPGRRGFRCRCSSSVVARQDSASSAVLYYRSDDKLFMPPEVIQWESGMSMNAIATAQGIQIRRRFRARYPSEGTGAERAVPRNILEQIIWDKEVEVIQRKARTPLSDAKASAGLAPPPRDFLGALRAACHRNGGVPALIAEVKKASPSKGLLRDHFDPVEIAQAYEKNGAACLSILTDEKHFQGSFENLEAVRNSGVNCPLLCKEFVIDVWQIYYARSKGADAILLIAAVLPDIDITYFLGVCKSLGMTALVEVHDERELDRVLNIDGVELIGINNRSLGTFEVDTANTNMLLEKRGDIIKKKRIQVVSESGLFTPDDVAYVQNAGVLA >Sspon.03G0005790-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:16331206:16335058:1 gene:Sspon.03G0005790-1A transcript:Sspon.03G0005790-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Isopentenyl phosphate kinase [Source:Projected from Arabidopsis thaliana (AT1G26640) UniProtKB/Swiss-Prot;Acc:Q8H1F7] MAEEVTQEQPRPTAPRPVRCIVKLGGAAITNKGELESINEENLRSACAQLRHAMSKSAGDGAIEKVLGMDWSRKPGDPADPAVDAEWIAGMSGLGLDTNFIVVHGAGSFGHFQASRSGVHKGGLHSTLVKAGFVATRISVTSLNQEIVRALAREGIPSVGMSPFACGWSTRQRKLASANASQIIQSLHAGFVPVLHGDAVLDELLDCTILSGDVIIRHLAQLLSPKYVVFLTDVHGVYDRPPTDPNAVLLREIEVDDNGGWSIVKPALLQGNTKGVEISVAAHDTTGGMETKILEAAVIARIGIDVYITKAGTEHSLRALKGDVSTDSEDWLGTIIRSSK >Sspon.02G0050420-1P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3C:10531555:10533078:-1 gene:Sspon.02G0050420-1P transcript:Sspon.02G0050420-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLKPTRDSLGRNPLSDALHRNPGVVLNGQGPGRHPLSGASYRDPGTMKNEQGSGRRNLSGALHLRPGVVKNEQGSSHFPRRKLAEPTRIRLGSWNVGSLTGKLREIVDAAIRRRVNILCVQETKWKGQKAKEVEDTGFKLWYTGTTSGRNGVGILIDRSLKDGVVDVRRQGDRIILVKLVVGDSALNVISVYAPQVGLSEDTKRQFWEDLDSMVSTVPISEKLFIGGDLNGHVGATNVGFERVHGGFGYGSRNQEGEDVLNFALAYDLLIANTLFRKRESHLVTFRSGQHSSQIDFILTRREDRRACFDCKVLPGECVVPQHKLVVADFRFRVRVHRDKRAKIARTKWWKLRGEAAQTFKERMLDEGPWEEGEDADDMWLKMATCVRKVASEVFGVSRGGKREAKDTWWWNDEVQRAIREKKECFKRLHHDKSAANIEGYKIAKRAAKRAVSVAKDQAYDGLYQRLGTKEGEKDIYRMARIRERKTRDINQIKCISLFLVSFGFHL >Sspon.02G0023980-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:82694969:82705575:1 gene:Sspon.02G0023980-2B transcript:Sspon.02G0023980-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFLQRTRPINPRKRPAPPLEPESPKAADPDASSAVDAAAALLADAGCTLLVPPHQPPSLSSPHTFAARLSRKLSAGGDASSPSPPARRLLEGLAAFVASSPARLRQLLLPTAPHAPSLARALLSVPALQPGLLALLLEKLPEHFDDGGGGALDGLPLQDDVGRLIVSQFRWLDFLVDADAFVDKLVEVLSVAPPRLKKEIIGSLPEIVGDQSHAAVVTALEKLLQEDSEIVVAVLDVLSDLNLNEELQEQAVTVAISCIRTIAPDQMPHLLRFLLLSATPVNAGRIILQIREQLKFVGVVDPRAARSKKLKGKASAKSTDGAILDTLRSGLRFKNMLCEAFLKELKSVDHPRDHKVIDVWLIMLIYANGGALQKSAEKILKSKILQECIRETLFDQCIHGNTELVKVYDIFCRLALAAGFNTGSGGSKVANELLMVVRKQVGNPDMKYRRMGIIGVLRIVSTIASTDVNAAVNCSASQISILLADPYVPIFTFNNTSMQLNAFSTQVESRVDNVSQKARDETAVKLMKRVRNLILLEGLLNVFLKNYPLSLPELRYLGDCSGSTSTSKFNIPKNMGEQSINGASSKRQKSCKGKASEKINPDDKLKQPTILDAFKRAGVTVSQATNKASSQPSSSGMMSKDIEQDANDPGELGLIDLMAAPIKLDMQRFKFRTLHTTCLSLLNYSECQDSSSYLETELPTYLYLLRDLHNKLDNLNPSTKPFLISESCPDNWSSHSSSAGNPDIPYVVVSKSSIVTAVCKEILGCYRKLVAIPALLNQPNMSILKQLLQTLQPTENFDDVLSEFQPSLAPCNVDYLYCGACKMLEDIMDLVSSFSYLLSSDVLITIQSIVNSVVVLLEKSGEPNGKNIHMGCSKAIIPFLRKRLGYSAHKLLSADFPSEDAEKGWQSKGDLIQKILQIYLRNSDSTSDRLVEILPKEPSLKTKDTQDVSYGFPTLCSSTIPSWYRVLHEENTGSLNKTIKQALKARASPERGSVDTILQEIQKSVEAFVSLIGMCKAHEKLRLLQKATRIIQSICSDAKGNKRTMITSKVPPAKRSMERFLFQVKALLHNCSTEKEFQMGNLKHKDLQGHVVSSQAYGSMDEEDEEQMETDSEAPADEEIDNDNAMDEDAVEGSNETPMEEEE >Sspon.07G0017760-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:69188244:69191787:1 gene:Sspon.07G0017760-2B transcript:Sspon.07G0017760-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEINIVDKYEKLEKVGEGTYGKVYKAQDKATGQLVALKKTRLEMDEEGIPPTALREISLLNLLSHSIYVVRLLAVEQAAKHGKPILYLVFEFLDTDLKKYLDVYRRGPAARPLPATLIKFPLTWFMLKFVYRDLKPQNLLVDKEKGILKIADLGLGRAFTVPMKSYTHEIVTLWYRAPEVLLGATHYSTGVDMWSVGCIFAEMARRQALFPGDSELQQLLHIFRLLGTPTEEQWPGVSDLRDWHEFPQWKPQSLARVVPTLEPEGVDLLSKMLQLDPSNRISAIAAMEHPYFVSLDKSQF >Sspon.05G0027210-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:50430485:50439114:1 gene:Sspon.05G0027210-1B transcript:Sspon.05G0027210-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRPRLVLFGDSITEQSFRPGGWGAALADTYSRKDGLAPPLATTIFFGANDAALLGRTSERQHVPVSEYKNNLKTIVNHLKDCSSSMVIVLITPPPIDEEGRERFARSLYGQDARKLPERTNEMAGVYAGYCVELAREMHIPCINIWSKMQETEGWQKLYLSDGLHLTPEGNAVVHKEVVETLRNAGLKAEHMPYDFPHHSKIDGSCPEKAFQ >Sspon.01G0047390-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:89508489:89514135:-1 gene:Sspon.01G0047390-2C transcript:Sspon.01G0047390-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRHSRRCLLMSSLLAKTAPDQSEAHELLALVYYDGLQNVVPFYDQRATLPVKDSSWETFCKNSMKHFEKAFEIKEQWLHAFYLGKLCEKLGHSFSKAFSYYNKAMMLNPTAVDPVYRIHASRLKLLYTQGKQNLEAIQVVADYTYNQSTKENVLSMLGSTTNVFQSSSDKTSALDTKEETKFAEPDILDKVWHILYDDCLYALGTCVEGELKHFHKARYKLAQGLYRRGEAGDLERAKEELSFCFKSSRSSFTINMWEIDGTSSSDKTSALDTKEETKFAEPDILDKVWHILYDDCLYALGTCVEGELKHFHKARYKLAQGLYRRGEAGDLERAKEELSFCFKSSRSSFTINMWEIDGTVRKGRRKNPNVGGSRKNLEVSLSESSRKFITCIRKYMILYLNLLEKNRDLWTLEKAYTYLRTDKRFALCLGDIIHVGLGKYLQVLTAAIHDPEIRRASGDASVEQLLEKMFTVFIDHANMWADISTIPEVNSPELSETNLYSYIHQYIHLLESDVRLDVLEGLNEKIRKRFKTPKLSNSNFAKICKHASLAWCRCIIIKLASITPLPESTDATIQPAPLSNGLLLYVDLQPDELLISSPDGPAQFKGLDMNWFETLNRIKNIPVKQTSEDNLETAVTLMKSTYNFYRESSCGTFPSGINLYTVTPSQAPIEGLPQTAAVVETLDLSIPRKLLLWVYTLVHGRYSNISSVVKYCDEMKLRSKRGTSAASASSQVVQPVSHIVSKEKSSQVESAEAAQNPSDLAAACAHAHQEVGGASASQSAIEAQKATAAASQLTRSGSSRAMENAQDSGEKK >Sspon.02G0031300-1T-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2D:91268518:91268992:1 gene:Sspon.02G0031300-1T transcript:Sspon.02G0031300-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSSIPNFEITRNPGAFMENAKVNVGKLYLRQIMTGSGANQSDVILPNAVTGLGKTGVSNWAIYDGAGSQANLVANGQGMYTYAGNWNQWFTLVFKVE >Sspon.07G0012420-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:44197222:44205126:-1 gene:Sspon.07G0012420-1A transcript:Sspon.07G0012420-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MENITRAAFDVQYGLFKETADHLLYPNPGSGLVHELHLQYFHFLGSLLGKAMYEGILVDLPFATFFLSKLKQKYSSPSLTRSLGVFVLYHYNGDISELELYFVIVNNEYGEQCEEELIPGGRDMRVTNDNVITFIHLVANHRLNYQIRAQSTHFLRGFQQLIPKDWIDMFNEHEIQVLISGSLESLDIDDLRSNTNYSAGYHPDHELIEMFWEVLKSLSSDNQKKFLKAGVPGLEEHADRLPTSATCMNLLKLPPYKRTVRDDSAKECLNTTKEIVLATKYQAKCVRA >Sspon.07G0001930-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:5353953:5354966:1 gene:Sspon.07G0001930-2B transcript:Sspon.07G0001930-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGAKELEAARRVATLTLAELDISSSTSPPCSNLPALLRRCLQLLRFLNAGDPNLAARCCDGLLVSLRAILSRDPSSSLLPAIEVFAESFVARDQLRSCLVKDTYAAPEGSRVFTEALPCEDEHIMLELVCHHFISSLLDEGAFEVFVSALSWSGKALQQTPEISFQGALALVQRTWSFSLPAVVQAHLLLLMSRCTSDQNLNSHMLAFQYAMKLYVRYLPALCVFNRTGGAEAPVNYLGKKRPYSCIKDTTEQKLRSQIDRLLSFCELHSGDDLPLWFE >Sspon.03G0006300-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:22367065:22371339:-1 gene:Sspon.03G0006300-1P transcript:Sspon.03G0006300-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MWWRAVKARRRVAGARPASTAAAGAEKSCRAVVVPRFGGPEVLEVRQGVPVPDLKPREVLVRARAVSINPLDLRMRSGYGRSIFKPLLPLIIGRDISGEVAATGTSASSFSIGQEVFGSLHPTALRGTYADYAILSLDELTLKPSTLSHVEASAIPFAALTAWRALHGTARISEGQRVLVIGGGGAVGLAAVQLAVAAGCGVSATCGTQSIERVTGAGAEQAIDYTAEDTEAAVKGKFDAVLDTIGVPETERIGISVLRRGGHYMTLQGEAAALADRYGLAVGLPAATGALLKKQMQYHYSHGIEYWWTYMRADAEGLHEIQRLSGAGKLQIPVEKTFPISHVREAHAAKEKKLVPGKVVLEFD >Sspon.02G0002260-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:7033263:7036453:1 gene:Sspon.02G0002260-2C transcript:Sspon.02G0002260-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVAARRLLQPRWVVGGRCRLLGTAAEASPDGEGARGGGGGSDDAIYVKKPSAAAAVTTRDETSVAMPTSFMTGSVVGKRFYRDATVRRADDGNGWTVMLDYRTLKSPAKRPLKLPSRALAMAIAAEWEYQESDGIRPFTMPLMKLACTALERVPLTRRKVIDNLMKKFHQDLVFCRSPADSDLTIGVHQKQKEKIDPILEWVDTEFGFKPVVYTTFFGGKQDEGLAKAVETVLKKATDCELASIDAMAAAAHSLVIPLAIFRERLGIEEAIELIRLEEDHQVLTDGAWWKEVMTLILLILKCRCPRLLYFLNLHGDCERSCF >Sspon.05G0000380-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:1948906:1951866:-1 gene:Sspon.05G0000380-1P transcript:Sspon.05G0000380-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATYKLGVEVVSAHDLMPKDGHGSASACVELIFDGQRFRTAVKEKDLNPVWNERFYFNISDPSNLPELALEAYVYNVNKTLESSRSFLGKVRIAGTSFVPFPDSVVMHYPLEKRGMFSRVKGELGMKVYITNDPAIKASNPLPAMDPVSNNPPPAPSPAEQIAADITGTNLHTSQEHRSEAKTLHTIAKEVHHHHNHGHLPASFGEQPSKYSADQMKPQSQPPRIVRMYSAASQQPMDYALKETSPFLGGGQVVGGRVIRGEKNASTYDLVERMQYLFVRVVKARDLPDMDVTGSLDPYVEVRVGNYRGITKHFEKQKNPEWNAVFAFSRDRMQASVLEVVVKDKDLIKDDFVGFVRFDLNDVPIRVPPDSPLAPEWYRLVGKSGDKSMGELMLAVWVGTQADEAFPDAWHSDAATLEDPSAVTHMKSKVYHAPRLWYLRVNIIEAQDVAIFDKTRFPDVFVRAQVGHQLGRTKPVQARNFNPFWNEDLMFVAAEPFEDHLVLTLEDRVGPNKDEMLGRVIIPLAMIDRRADDRIVHGKWFNLEKPVLVDVDQLKKEKFSTRLHLRLCLDGGYHVLDESTNYSSDLRPTAKQLWKPSIGLLELGVLGAQGIVPMKTRDGKGSSDTYCVAKYGSKWVRTRTIMNNPNPRFNEQYTWEVYDPATVLTVGVFDNGQLGEKSGEKTSSGKDGKIGKVRIRLSTLETGRVYTHSYPLLVLHSSGVKKMGELHLAIRFSSTSLVNMLYLYSRPLLPKMHYVRPIPVLQVDMLRHQAVQIVAARLSRMEPPLRKEVVEYMTDFDSHLWSMRKSKANFFRLMTVFSGLFAVSKWFNGVCSWKNPITTVLVHILFIMLVCFPELILPTVFLYMFLIGIWNFRYRPRYPPHMNTKISHAEAVHPDELDEEFDTFPTSRNPEVVRMRYDRLRSVAGRIQTVVGDIATQGERVQALLSWRDPRATAVFVLFCLIAAIVLYVTPLQVIAALGGFYVMRHP >Sspon.01G0009280-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:26459182:26474630:1 gene:Sspon.01G0009280-1A transcript:Sspon.01G0009280-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMALRRLAGVSGSPSASAAAAALLLRPVLTRPISTGFREERDTFGPIQVPNDKLWGAQTQRSLQNFDIGGERERMPVPIIRAFGVLKKCAAKVNMEYGLDPTIGKAIMQAAEEVAEGKLDDHFPLVIWQTGSGTQSNMNANEVIANRAAEILGHKRGEKFVHPNDHVNRSQSSNDTFPTVMHIAAAVEINSRFIPSLQQLHDSLHSKTVEFKDIIKIGRTHTQDATPLTLGQEFSGYTTQVKYGIDRINCTLPRMYQLAQGGTAVGTGLNTKKGFDVKIAAAVAEETNLPFVTAENKFEALAAHDAFVESSGAVNTISASLMKIANDIRLLGSILGACTKYFQHNHSGPRCGLGELILPENEPGSSIMPGKVNPTQCEALTMVCAQVMGNHVGVTVGGANGHFELNVFKPMIAAGLLRSLRLLGDASVSFEKNCVRGIQANHKRISQLLHESLMLVTSLNPKIGYDNAAAVAKKAHKEGTTLKKAALDLGVLTEQEFHELVVPEKMIVVVLPKETWK >Sspon.06G0009170-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:39634570:39638434:-1 gene:Sspon.06G0009170-2B transcript:Sspon.06G0009170-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to 26S proteasome subunit RPN12 [Source: Projected from Oryza sativa (Os07g0435100)] MDPKMTEVTQMFARFKAAYARNELDACVTLLSQLKVHLTKFPSLPPSFQQTPNAVEELKLARDIYEHAVVLSVKLEDQDAFERDFCQLKPYYMDTCGIIPPSPEEYPILGLNLLRLLVQNRIAEFHTELELLPVKALEHPCINHAVELEQSFMEGAYNRVLSARQAVPHETYVYFMDLLAKTVRDEIAGCSEKGYDSLSVSDAKQMLMFSSDQDLHEYITEEHPEWEITNGAVFFQKAKESQPCKEIPSLQLINQTLSYARELERIV >Sspon.08G0026620-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:28076279:28076904:1 gene:Sspon.08G0026620-1C transcript:Sspon.08G0026620-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHDGKNLTVTEAVRSVQLLKIDGYSATSTMVGSAEFIKPRSRWNIDGHEWEVHFYPDHCQFLEDEDVIPPFDGSLCSSFEPQRDKLRVNLSCRLVSSSEHLDPSKEKSVSHVFDRCSKCSPEVLLMLKHEVPSSGYLVNDSLTVECTITVLGADEEQPLPVPPPSDLHKHLGELLQSQEGADVTFHVSGESFAAHKAILAASPRF >Sspon.07G0006320-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:14672840:14676793:-1 gene:Sspon.07G0006320-2B transcript:Sspon.07G0006320-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTSTPARSSARSSLPVRVVLRVRPFLPSEAASATAPCVSLLDCHPGGEVTVQLKDQHTSRSELYNLDAFFGQEDSVSHIFDQEVRAVIPGIFEGINATVFAYGATGSGKTYTMQGTEDFPGLIPLAASTILAHCTGTWCSVEMSYYEVYMERCYDLLEPKAKEVMALDDKDGNMQLKGLSWVPVRSMEEFEELYSIGVQRRKVAHTGLNDVSSRSHAVLSLRVSTDVVKGKLNLIDLAGSEDNRRTLNEGIHLQESSKINLSLFTLSNVFSALNKNEHRIPYRESKLTRILQDSLGGSSRAVMIACLNPAEYQESAKTVSLAARPCHIETFTSSSKQETPKVKVDMEAKLRAWLESKGKTKSIQRMDGLLSPIASKTPLSMNHMKHPTSSRISCRAKAMDQDGGKIKKMLFNPEVHVPTENIPRDHRQTEVNTPKKVVLPSVTQCHEKHEASLRKALSPISSNMVPVKQQISDNGNCPILLEHQTPIETHNIVKETPGATPLERLNALQSNLKEALVQQYLEVLNVADKEELQQLKGIGLKRAEYILELREDSPRPFKTLADLEHIGLSSKQIQDIQKKMAATGIFK >Sspon.03G0041420-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:40343300:40343942:1 gene:Sspon.03G0041420-1C transcript:Sspon.03G0041420-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AEGPHRRAGRETRWHKTGKTRPVLTNGKLKGYKKILCSTPTTEAAEAGEDELGDASEEKDGELVVSKVFYQTQPRQCGSGSATAKMPSRSLLPTRLITTTTTLTEAGMVDFYSPAALIGYNQAAAPTNRAAASVHLMPNFEVHTTAGRLHLADNGNVVNAITYYKWSRSLLNRNAGQ >Sspon.03G0023080-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:89268460:89270379:-1 gene:Sspon.03G0023080-3C transcript:Sspon.03G0023080-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative pentatricopeptide repeat-containing protein At1g17630 [Source:Projected from Arabidopsis thaliana (AT1G17630) UniProtKB/Swiss-Prot;Acc:Q9LNP2] MRLLRASSTALRRSHRLISAFAVNQLIRCHPPIDSAVPWNKLLRDHLAGSRPGLALALYRLMRALCPALPNSYTLPLALRAAPSWRLASAVHAHALHLGLHAHPDVAGQVLAAYARLGRAAEARRVFDALPVRRITLSWNTLISACSVGCDPDAAWAAFARMVAAGASPDAVTWTTLLSAHARCGRHPEALRLFGDMHRSGCEGNAEAVAVALSACPYAGGPALAKGRSIHAYGFVKGVVHGYLFVTNSLVCMYGKLGEMEEAEKVFWDAGAKKNAVTWNALITSYAAAGLCGEALGVLAQMEQCGGMVTPNIVSWSAVISGFASSGDMEQALQLFRQMQQQWLLPNVVTLATVLSACTELLALRLGQEVHGHTIKAALDRHSLVQNGLVNMYGKCGKVAAARKVFDRMKSRDLISWNSMIGSYGTHGLCDEALAMFQDLVEATVEPDSVTFVAVLSACSHTGRVAEGRRLFNQMVREHMISPTMEHYTCMVDLLGRAGLLKDASELIETMPMRPDLCVWGALLNSCRLHGDAAVAEAAIAKVLQTETVTTGNHTLITNLYAACGMWDDSKRVRVMTKEAGLRKNPGQSWIEVRNKVFAFTAGSTPLSEAEEVLRVLDDLYGEMEDEKRAMYDAIANIV >Sspon.08G0005980-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8A:18956686:18956962:1 gene:Sspon.08G0005980-1A transcript:Sspon.08G0005980-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ERGGRGRRRGRGRHTGREREGGVGTGRGAGTTAAEARVVGEGERLRVERGREREREQGRGHGDICSRRMERSNGRRNFGRRGWAAHSGRPC >Sspon.02G0037540-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:34520005:34525708:-1 gene:Sspon.02G0037540-1B transcript:Sspon.02G0037540-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEEEGGASGNEEVVQLEDAVELLVEHLVAPVLPRGQVDREEALSLETQEAVARQVRKLVPDPLLLSVRATVLLYNYYHRKQFPQLEFATPDRFCLSASLNVRNKNLLMYLNQAQNRLGNGAGAGISVTDKAIIDACDIAKALDPTKDSPEMIMWPISKVAVLLLNRRKKVCLLEHGSETKGVWSMFEKDITMALFEKDIKTALGGSLSSDMDWPLGSSLSVPKERVFQNGKSSSLSEIDESLKEQEANSKSNSRRKKIITDVSTPKKNKQIPDVDPVINDHALKSQKEKVTEESGDITGNMNVQKYATLQLLQKMRDDTLREHFVLGDRSAEYEMDIQTILTETEMTPKVTSILKKYENSGKMMEVANPISSGEGCQTMNIKRKKLKEAILLRNKCQELDDICRESNWILPRYRVLPSVTGDMYQASVHLTGPDFNLSADGDMKVTPHEARDSAASNMLSQLQQKARED >Sspon.02G0024780-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2A:85442902:85443194:1 gene:Sspon.02G0024780-1A transcript:Sspon.02G0024780-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AQPPSPLPTSPRPSPSIPAGIGEERGERLAATLATAVVVFVSGGGGRGGEDAYLVGAGAAGGERGRSRGHRCHGIGRPPPRPCTDLTAQKSRAHRS >Sspon.06G0015990-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:71836729:71838410:1 gene:Sspon.06G0015990-2B transcript:Sspon.06G0015990-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ASGRGARAAAARALPRRRGVVGGRGGAPLLRARPPHGARSAHGRGGARTGGAHPARGEAARRRAAAGVAVQVEQADARREVDPEAPTEHPAARRARRCPGARRRARARALAAGASRPGGPAAGSGRNAGGVGVDAAPAARGADADAVQGGRVRGVPGRGPGGAAAAGDAAAVLPQVPLRVRPPVARHPPGLPLLPRARAVRRHARQRLA >Sspon.04G0017550-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:69684022:69693974:-1 gene:Sspon.04G0017550-3C transcript:Sspon.04G0017550-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At4g20770 [Source:Projected from Arabidopsis thaliana (AT4G20770) UniProtKB/Swiss-Prot;Acc:Q9SVH0] MANLAAHLAAVLQACIKRSGGPRPSRAHAKAAHARVVAAGLAADTFLLNRLVELYSLSGLPCHALRAFRALPRPNVYSYNAAISAACRAGDLAAARDLLGQMPDRNAVSWNTVIAAVARSGSPGEALEMYQGMLQEGLAPTNFTLASVLSACGAVAALDDGRRCHGLAVKVGLDGNQFVENGLLGMYTKCGSVADAVRLFDGMDSPNEVSFTAMMGGLAQSGAVDDALRLFARMSRSGIRVDPVAVSSVLGACAQACTGDYNVARAIRLAQSIHALVVRKGFGSDQHVGNSLIDMYAKGMKMDEAMKVFESMSSVSIVSWNILITGYGQLSCYERALEVLDFMQESGFEPNEVTYSNMLASCIKARDVPSARAMFDKISKPTVTTWNTLLSGYGQEELHQDTIELFRRMQHQNAFDFFKQMRENGMFPTESSYATMVNSCARLSSIPQGRQIHAQVLKDGYDQNVYVGSSLIDMYAKCGNMDDARLFFNCMIVKNIVAWNEMIHGYAQNGFGEKAVELFEYMLTTKQKPDSVTFIAVLTGCSHSGLVDEAITFFNSMESNYGITPLVEHYTCLIDALGRAGRFAEVEAVIDKMPYKDDPILWEVLLAACVVHHNAELGEFAAKHLFRLDPKNPSPYVLLSNIYATLGRHGDASAVRALMSSRGVVKGRGYSWVNHKDGDRAFMVADDLGSNVGEPTVFSDNEDTSGRTQNCNIRAGIFHIEPRSSPLSSEIAAAKASSDGSMCGEIPKLKDSLRSKKKKRGRINQTAIKAKIGADLIDSDSCGPAGEPTGFGRGAAREADGRRAEQTSWFGLARTGGLLLCANAHQDAVEHADSSVPVVPTAASTGHSS >Sspon.07G0030190-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:3279627:3280178:-1 gene:Sspon.07G0030190-1C transcript:Sspon.07G0030190-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RWAGGGGRSISSSARRCRGAGAGSTGSSSRPRRRRRWRGGRGGGAARARRPRSRTASRWGAARARSSRCWGWRSSGRRSPWAAASGGAGGRCCAGSGCATSRPPPLPPRAPRRRGTRPARAAGRCWTRPTTTPPSARRPRWCISSSSSRSRPRRRSWRGWTRCTGSATGASAASPSRGRRGKAR >Sspon.05G0009830-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5A:28135500:28136559:-1 gene:Sspon.05G0009830-1A transcript:Sspon.05G0009830-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MYIKKNLSLRFLLLILTLPRSSSLVSQCTNARRPSLSGVLTGSPQCPQIRRPSLHPDKIHRARARSMEVARRCYMGVGDSPGTTPSLTPVSELPHPNGHGAPRPHPSPSPPSQARCRWRRWWRCSHALLVLVLGGARAQPLATGSHRIDRNRLARPLSSYVADSEGAWEWGVTSRWTADMVCGAMGAGGWGHDGAGCTCGGGANRWGWGGVRP >Sspon.01G0057830-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1D:16116080:16116376:1 gene:Sspon.01G0057830-1D transcript:Sspon.01G0057830-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVEDEALAPVIRAAIDLRGGLAEADVDSRLSFDLCFELPMLLHTFFLVGSRLEVVDKTRWGCGRSNKAGSQCRLTDGSDEAGLLVGSHLGPLHFKL >Sspon.08G0025370-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8C:8138705:8139004:-1 gene:Sspon.08G0025370-1C transcript:Sspon.08G0025370-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGVERVHAAPVWNAQAAGRAATTRWWQQRVQMAVTTDDAAELVTARRWASRSGHGTGAASRSDHALAVAAGELAQAATSGDGVAGGGSQASARLATP >Sspon.08G0012410-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:26469790:26471663:-1 gene:Sspon.08G0012410-4D transcript:Sspon.08G0012410-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFTPATACCKPSLLLAPRASSRGSAARAQAALLCTPSTSAFRGLRAPASAAPAPPRWRRSAASTGIVCGKVSKGSVPPNFTLKDQNGKPVSLNKFKGKPVVVYFYPADETPGCTKQACAFRDSYEKFKKAGAEVIGISGDDAASHKAFAQKYRLPFTLLSDDGNRVRKEWGVPADLFGTLPGRQTYVLDKQGVVQYIYNNQFQPEKHIGETLKILQSL >Sspon.02G0013320-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:38117306:38121442:-1 gene:Sspon.02G0013320-3C transcript:Sspon.02G0013320-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFKNCGSSSQRRPAVVVDEGAPLARQGSVYSLTFDEFQSALGGGAAAGGGIPKDFGSMNMDELLRSIWTAEETQAMASASGAGAGAGMPPTPLQRQGSSLTLPRTLSAKTVDEVWRNLVRDEPPPAQGADGGGHQQHHRQSTLGEMTLEEFLVRAGVVRENPAPAPPAPPPMMPPRPVPVAPKSSAFFGNLPGADDAAAAAALGFAPVGMGDLAMIPPRAAGMGGSAMAVQTAVNQQLDSGGKGYSDLSSPTEPLPFSFEGMIRGRRHGGGVEKVVERRQRRMIKNRESAARSRARKQAYTMELEAEVQKLKEQNQELERKQAEIMEMQKNEVPETLKDPFGRKKRLCLRRTLTGPW >Sspon.05G0008510-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:18821158:18823786:1 gene:Sspon.05G0008510-1T transcript:Sspon.05G0008510-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MISMATNYPVNSINNLTGEIDQSICGLTSIILLDISNNNISGSLPNCSKPLSLLFLNMSANLLSGDIAPYSFFRNAIVTALDLSYNQFTGSIDWVQTLDEVRYLSLGTNKFEGQIPQTICQLQYVRVIDLSHNRLSGSLPACIGDLPFEGKSSGLLYWNLICGRGFQYPGFRYTSCYEQRGFRFGTKRNRYTYRRNFMDFFSGFDFSENMLSGEIPPELGHLSHLKALNLSHNSLDGLIPAALGNMSDVESLDLSHNQLSGAIPSQLSRLSSLAVFSVAYNNLSGCVPDVGQLGLLDATSYLGNRDLEEASRGSSECAAGSEPPDASSPPSQHSGDEAADAVLYAVSAASFVLLFWVTVGFMFCHPYGRHLLGCCADLGLSNAMWHLEVRNQT >Sspon.03G0010910-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3B:36183171:36183397:-1 gene:Sspon.03G0010910-2B transcript:Sspon.03G0010910-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLEKVAQMFEVVIFTASQRIYAEQLIDKLDPDGKYISRRIYRESCIFSDGCYTKDLTILGIDLAKVAIVDNTPQ >Sspon.02G0017640-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2A:51690603:51690794:1 gene:Sspon.02G0017640-1A transcript:Sspon.02G0017640-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESGWSSLLPCWLPSAPSSSWAAISPESGRPPPFPSLFSVAVRKKDHLARQKLFVVFTLQKC >Sspon.02G0015380-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2B:40475481:40476798:1 gene:Sspon.02G0015380-2B transcript:Sspon.02G0015380-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAIANDLVEQESTGSIPSPLFSGTNLNKRLRSKVWDDFIPTFAEGRIVQAKCMHCHQVFGGTNGTSSLIRHLASCVPATLKRAKMQEHTSLPCTLKVTVSAGSDPKQKKLPFLPCNQKKCAGIDDMTPAQKELALPDTPTNMTRMNQEVDQNGSHEDLVERKNLALPGISTDNDRTNQSNEENTSAEQIGIPAETSQKHKEMDENASHEELIKILAIHGHLPKMVDQDGFRELVTWLNPLVKMPSHDDLMMNTLNLFQKEKSKLMREFTALHSRVCLSVYMWHYDPVLAFLCLRVHYIDDEWEKQQKVITFRAVDSSCNAKELSDIISGAVEHWGLDGKVFCIILDDAFIDNSVALSVKANLQERNPLSANQSLFVICTVIRRLVDSREDL >Sspon.01G0047100-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:89649179:89659081:1 gene:Sspon.01G0047100-3D transcript:Sspon.01G0047100-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein CASP [Source:Projected from Arabidopsis thaliana (AT3G18480) UniProtKB/Swiss-Prot;Acc:Q9LS42] MEPSPAQAGASDRDRSPPPPPPPPSQSSTAATISSPLGVVCSFWKDFDLEKERSGLDEQGLKIAENQETSQKNRRKLAENTRDFKKASSDEKLSLFNSLLKSYQEEVDNLTKRAKFGENAFLNIYQKLYEAPDPYPALASMADQDQKLSELETENRKMKLELEEYRAEAAHLKNQQATIRRLEERNRQLEQQMEEKVREMVEMKQRSMAEDSQKTLEALKDRERSLQDQLRQATESVKNMQKLHESAQSQLFELRTQSEEDRAAKEAEVSLLMDEVERAQARLVSLEREKSSLNAKEKIISELNAELRNIENTLSSEREMHVNELKKLTTLLTEQESALMELKKELQERPTQKLVDDLKKKVQILQAVGYNSIEAEDWELATNGEEMSKLEALLLDKNRKMEHELTQLKVKISEKTSHLEEAEKRIAELTSKVEEQQKLILKLEDDILKGYSSTDRRGSLLNDWDLQEIGSSEASEGSDPRHASADQDQSSMLKVICNQRDRFRARLRETEEELRRLKEKYEMLTVELEKTKADNVQLYGKIRYVQDYSHDKIVSRGPKKYAEDLESGSSDVEAKYKKMYEDDINPFAAFSKKEKDQRYKELGLRDKITLSSGRFLLGNKYARTFIFFYSIGLHLLVFTLLYRMSALSYLNTTTQHDEIILDAGNQTLSHML >Sspon.05G0005690-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:20113936:20120257:1 gene:Sspon.05G0005690-1P transcript:Sspon.05G0005690-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTKLCPSQYAAVCNSLVLLASQGTLKAGSNHGIGTPVQVYYQSNTGFQFPPPAVATARKTRIGLRGVSAGVKTPENRRKGERLGHLRGWPGDQRPWNRPCRGRVRVLNFWSRPPTEEEEVAAALPREPRRAVGFEGFCGFAFGFGFFAGCLPTYIGQAGKPHSGPRRNASPVRAPRAHRRPALPPIHGGAVGRSSPPVHRAVALRRAPLASVAGRRACRPLAVSAQSTSPQVGLRLEEKFFEVEMKVRDYELDQYGVVNNAVYASYCQHGRHELLESVGISADAVARSGESLALSELNLKYFAPLRSGNKFVVKVRLAGIKGVRMIFEHVIEKLPNHELILEAKATAVCLNKDYYPTRIPRELLSKMQLFSSEDSRGSNEDSGDKFVVKVRLVGIKGIRMIFEHIIEKLPNHELILEAKATAVCLNKDYYPTRIPRELLSKVQLFSSEDSRGTNDDINNRNNSCN >Sspon.06G0018460-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:99289119:99290207:1 gene:Sspon.06G0018460-1A transcript:Sspon.06G0018460-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASTAAADAAASGVDEPAACDDSACSTPFVSAPSSPTRDRDRDLYPSHFFFSAPTSPTRGGGGGKDAISGVLDFDFDFSSRFPSPSAAAMSSADELFHNGQIRPVRLAAALLQPHPDPAVLLDFVPDTAADAQRADAEHEEADERGRVRSRSVRRKARSMSPFRTHWRSASHAPAAPPVPEPECADEAQQTATPAASRSSSSSSTASSASSTSSSSSRGSRRWGGFLKDLLHRSKSDGGKTSHHHHQHSHHSAAAPAPPPAAAAKTSAPSPSPSPAPTPAAAAAKGKGGTPGHRGGRRRSAHERLYEARRAEAEEMRRRTSLPYRQGLLLFGCIGLGNRSYGAVHGLARGLNAAAAVSSRS >Sspon.04G0003660-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:48271915:48278007:1 gene:Sspon.04G0003660-1P transcript:Sspon.04G0003660-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MYKLGRGNRDKVQQFMTITGASEKVALQALKASDWHLEGAFDFFYSQPQISAVNTRHLEDIFNRYKEPDGDMIMVEGISQLCNDLQVDPQDIVMLVISWHMKAATMCEFTRQEFIGGLQSIGVDSIEKFRGKLPSLRAELKDDNKFRDIYNFAFTWAREKGQKSLSLETAIGMWQLLFAERNWPLLEHWCQFLQVRHNKAISRDTWAQLLEFVKTIDPQLSNYDDEGAWPYLIDEFVEYLTENGFVQRKR >Sspon.02G0015780-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:43018392:43030741:-1 gene:Sspon.02G0015780-1A transcript:Sspon.02G0015780-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRAKARQLHKPAYLLRLPGNEQLGHLPYFGEGNRPPRAASSAATRWRVHSVAEPEFKYWLPAAGLPAVARRPLRSHGLHRPPHTRNVGACSARHGRALPLLVGGGRAHAALPPAARRTAAARVRQIGIQESGAGGREAAQAEGMSELVERPHGPLGLMGRPVRWGGVGSLPIGLLEEAAGTPAQPIEQAAATAAASGVEALDHAIGAIPHGRVQRGINQRPQVAPGDCIQAPPPSPSLRRRRPRPAPPRGEPDPPDPAIAGEPELGSSLTHRGRDFCRSSGEEDDVLFGGGDASRRDRTRSRREGVRRDEVQIHRTLINDLLFFKIWQNMQNLFSNSLPNILGKFPNLLKLSSARLSIRARIRLRITRLRPPLLFPHAIFGIPAHRHRLLFLIVVVRGRQISCRAAAVGLLMGQSLLPANRGRRQGVPVNNLNRKQALELQPQPQPVSHSSSQKKLSLTELAVRAAEHGAPNKSQRKAAPAPASAPASSISSAGAVRPSAAALNEAMKKAVAVGGGGKGGTAPTDLLVCFPARQHLALMPKPICSPSRTTMDKAAAARRRQLQLPGASAAAGGGGGRVRGSSPMFRGSKAKQRAEEEEEPQSPKVTCAGQIKVGRPKKVKPGPGSTAATKHGKDGVGVGDARSWITVVEEIERLHGRRKKVGWLETLGIRRDALPFLGAALRSLSFKARCFGSGSLHAAAVDSSVDSDEDGNNDAGERGSGHGAGGSAPASVFSKWLMVLEGGQEPQLEQDETRDDEERDQEEDVERQQGKETDEGTNGPSAPPPNALLLMRDCPCPEGGHVDGQEKGAADGVPGPGDGETEEDKEELVFMSTAPGFMKLSLDIAKETWVEPELEEVTANAKAPTRAAMTWRRNSSCLSSDGGRIYMQELRRRRDLRARGAAGGRIREQRTNLLAPAMVATCCTGKGHRQARDVGSVRHQARIRRPSIRRRTPHSALSFSPELLAPTCGMRLTHDTCSFLSLALRVVRPARHGLHRRAPYPAASRPLPQPPTPLRCLVAAAPTNGCWVHVPGAPRVWFSAATGSHPNGRNRLAHAYLPYVANQGKGAGEWGAASWGRRTWQAARWGWVVGARWGGAHVQADWGGSNRCDRVDCACEENRPDVQAHRRRQAARRKDASYVVIGMHRRTKDLWRSAPPELFPRKGTPTDGTRRPWADGRPMARRGTEAFPDLGAHCDEPDCNQLDFLPFECDGCGGFFCAAHRVYGDHGCTKAADQGRTVVVCPDCGDAIERTAVPGQQCDREILDAHARKRKPQCPVRRCKEALTFSNTSQCKGCGLKVCLKHRFPADHSCAAAAGAKTAEEARWLPAGAGGVRPELQDLLRLRGRTNGSNGRNLKQEEVARSRWGKLEAW >Sspon.03G0001100-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:11697257:11697571:-1 gene:Sspon.03G0001100-2B transcript:Sspon.03G0001100-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGDGSTKGGQESDHRDGAQRKSVPELFGDHSAVFGSSYHGCRRRQHFCGARSCVTRKQLASHLSDGALWTVVARATVPATPGSDDCLVWSKMLLVPFCSVHYS >Sspon.06G0030030-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:40557715:40586773:-1 gene:Sspon.06G0030030-1C transcript:Sspon.06G0030030-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTSTTMLTCSPVVEQGKHLFKIFESKHRGMGHKSFIRSRTFSIGGNDWSIRFYPITRINITSRGGSGGGDIVKVRASVDMRLVDQTTGLPASVHLTHPRMFIADDGSRFAPQTHLFKKRSELESSVYLQEDRLTIECIVTVFETKSIIQVPPSDIIEHLGKLLKAGLGADVTFSVGGQTFAGHKFLLAVRSPVQEAQLCGGMKEAREERVTIEGMQPSVFWALLHFIYTDSLPDMDEFQGADDDRNEMIRHLLPSPSPLPPPMPKTASRCVVEASRGAHVFEVADYSLHKGIGVGRFVRSATFDVGGYEWSVLFYPDGKAENAEDCVAVGLELTTADAGVVRASYQFGLISQTTGEPWFVAESRPVEFHTHTIWWRRDLMKRNHLEASQYLRDDRLAIQCAVTVVKETRVSEARTVPEIEVPPSDLVADMGRLLDQGDGADITFEVQGESFPAHRTVLASRSLVFRAELHGQMKERCVDRIVISDMQPAVFRALLRFIYTDSLPPMDDLSKDDSLEITRHLLVAADRYAMDRLKLICAQILSKSLDVESVTTTLALADRHNCSGLKDACVEFIISSNKMDDVTKTQGFANLKRSCPCVLVEVLEKAVNLSARKNMSTEVSSVQVYEDNEMQVCGGQNLNLWANLALHQAFEHC >Sspon.02G0035320-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2B:18567555:18569169:-1 gene:Sspon.02G0035320-1B transcript:Sspon.02G0035320-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRLLEVIKQAAKEGALVLYTLADPSMAESAKKACDFWGVPSTDVLRPTVEAIASHIGVAPSGIPRSSPSRQGQLTEDYFRRIDAIDFTIKQDDGALPQNLNRADIVLAGVSRTGKTPLSIYLSQKGYKVANVPIVMGVNLPKTLFEISQDKIFGLTINPVVLQAIRKTRAKALGFGDGYQSNYAEMEHVRQELVHANQIFAQNPMWTVIDVVGIGRRESREAAVELQYPRARVPREYCSRARGRGPAARAVRGAGNAGRGASPTAGKREGFSF >Sspon.06G0015140-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:66158867:66160304:-1 gene:Sspon.06G0015140-2B transcript:Sspon.06G0015140-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding CPPRPFRSPLAPRCSQLHSPSLSPRQKATPGLRAAPPAFGLWLARFPRLETRALPPALCRTLPSSFRHTHTAHANEPRHGRVGVRAVLRQGRRRRRAEAARPPGAPGGAGPRGAGVRGGRRGVRARAPLLGLRARAAARAQHRLPPRAVVVVLPLRLRPGLGRRRRRRRREGPAHVLRRPVAVVRRGGGAAAAARHHGLGREARGVAADAGPGRRGGGGGAGGDGDRVAAGALQGRGRRPRAAAVPQEQAGLLPAPRDRAALQHGAAGAVHGGVLGQDPRGARRDAGAPGRRVGVVGGRRRRVHRHGLRPPAGPVSPEEPGGVRVGAGGVRGGAAVVGGAERGRLPHPQLPVVAGPDGRMGAHGPGVPGVRAVGEDAAGGAGGEAQRRVGRPVGARVPAVQALGAGAVGQRDVPRVRVLGYWAEIVDRLDGVAARYEAVERGRSGLRRRHAEREHLLYAAARREAVRRRDGNGVPGP >Sspon.01G0049390-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:112620878:112622091:1 gene:Sspon.01G0049390-1B transcript:Sspon.01G0049390-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGIRILAAFLAALAAATAGGVTAQLRQDYYAAVCPDLESIVRDAVSKKVQVQPVAVGATIRLFFHDCFVEGCDASVILVSTGNNTAEKDHPSNLSLAGDGFDTVIQAKAAVDAVPACTNQVSCADILALATRDVIALAGGPSYAVELGRLDGLVSMSTNVDGKLPPPSFNLDQLTSMFAVNNLSQADMIALS >Sspon.08G0010980-4D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6D:15058498:15058974:-1 gene:Sspon.08G0010980-4D transcript:Sspon.08G0010980-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:12-oxophytodienoate reductase (EC:1.3.1.42), Jasmonic acid (JA) biosynthesi [Source: Projected from Oryza sativa (Os08g0459600)] RVAVRVSPAIDHLDAYDSNPLQLGLAVVDRLNALQQEAGRLAYLHVTQPRYTAYGQTESGQHGSAEEESRLMRALRGAYRGTFMCSGGYTRELGVEAVESGDADLVSYGRLFIANPDLVERFRRDAPLNKYVRKTFYTPDPIVGYTDYPFLGQPKARM >Sspon.01G0052080-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:54575889:54580173:1 gene:Sspon.01G0052080-1P transcript:Sspon.01G0052080-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPASAHASAASADSQEESAPAPAPAAAAAANEEAQPTAAAVPALYVGDLHEDVAEEHLFDAFSKIGTVTSVRVCRDNATSRSLRYGYVNYFSRADAVMALDKLNHSLVLDKPIRVMWSNRDPDARRSGVGNIFVKNLNNSVDNASLQELFSKFGDVLSCKVAKNEDGTSRGYGFVQFASQESADEAIGNLNGSLFSDRKLHVATFIKKSERSANIDNKFTNLYMKHLDDDITEELVKLKFSQFGSIVSVKIMRRPDGSSLGFGFVSFQNPESAIKAQETMHGMLLGSKALYVARAQKKEERKQYLHRLHEEKRNEIITKSNESNVYIKNIHDEVDDDALRARFAEFGNITSVKVMRDDKGISRGFGFVCYSTPEEAKSAVNSMRGVMFFGKPLYVAIFQRKEERRAKLQQHFAQLTRMVGPANSMIPTGYPQVYFAHPNTHIPQGPPRHGFVYPPMGLSHEWRPNMFPSAPNLQQIHSPMMPNSPRHYRSNRGRMGGNMMPLPHAVHAMNYVTHAQPTKEFMSMPRQRFNHPKYFSNDVMANGLAIHQSDPISTMNDSFSSYLTSAPPVEQKNLLGNRLYPLVERHQPELASKITGMLLELDNSEVVTLLCSSEMLSVKVDECVQLLQATKPKTEDHEALHPGFMLESPT >Sspon.02G0009200-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:20770955:20773277:-1 gene:Sspon.02G0009200-4D transcript:Sspon.02G0009200-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSKGSGGGGDGAGPEKPSAESNPSLNPPPPTAAAVEATDDGGAAAAAAAAAEAARRPFTALSQEEADLALARVLQEQERAYMLLRMNGAGGEGSDYGSSDAGSYEYDEEGEEDYEEELEHHLRVHHHGHPSGDGEGEGEGEGEGAEGSDYDEEFEEDEEGEAEVDPAEFEDDEAYARALQDAEEREVAARLMALAGLSDWRTVDVEHEEDHVNDPQEAWQEVDPDEYSYEELVALGEVVGTENRGLSADTLASLPSVTYKMQDVQDGNTEQCVICRVELEEGESLIALPCKHLYHPECINQWLQINKV >Sspon.05G0003480-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:10427902:10429995:1 gene:Sspon.05G0003480-1A transcript:Sspon.05G0003480-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAAAGSFFNHQRNNTSSLVFNSGANPRHRHLCQSSNMPGADGVKTACVTGGNGYIASALVKMLLEKGYAVKTTVRNPDDQEKNSHLKRLQALGPLEVLRADLDEEGSFDEAVAGCHYAFLVAAPVNLASENPEEELIRPAVRGTLNVMRSCVKAGTVKCVILTSSAATVVPSKSLPLPGDDGHVLDEETWPDVEYLVAHKPATTTWGYCVSKALLEKEACRFAREQGISLVTVCPAVTVGAAPASKVHISVPASLSLLSGDEAALGVLKGAEMLFGGVPMVDVDDLCRAEIFVAEKETSTGRYICCGLNTTVVQLARFLAH >Sspon.02G0011040-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:29675390:29677698:1 gene:Sspon.02G0011040-1A transcript:Sspon.02G0011040-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGWRAVPGPRCPGGGHGSAAGQLWSAGHARWPCCSSAARPRPWHGRATAAATARGRREGGAAPAPGPLAPPASGGLGSISRNLAAFKLTKGKLTDGTVFDSSYERGDPIEFDLGTGQVIKGWDQGLLGMCVGEKRKLKIPSKLGYGPQGSPPTIPGGATLIFDTELVAVNGEPANQSDSEL >Sspon.01G0000880-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:3022804:3024309:-1 gene:Sspon.01G0000880-1A transcript:Sspon.01G0000880-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNLQTPLPPASSPAPAPRASRAPAVPAARRGTGRRRQRRKPSSSPSTREPEAEHGQPDALARILRTEAAVSGVSRKAAAARQQSTNLWPRAVLEALDSAVAACRWDSALEIFELLRKQHWYEPRSQTYARLLMMLGKCRQPGPAAALFKAMLSERLRPTADVYTALVGAYGYSGLLEEALTAVEQMKGAADCKPDGYTFSVLIDCCAKSRRFDLIPAVLDEMSYLGIECNSVIHNAIIDGYGKAAMFEEMESALSAMLESGSNVPDIYTMNSVIGAYGNHGRTDEMEKRYSEFQLMGVEPDTKTFNIMIKSYGKAGMYEKMMLIFRYMKKRFFSPTAVTFNTVIECFGRAGNIEKMEYYFRLMKIQGVKPNPITYCSLVNGYSKAGLLDKVPGIIRQTENTNVVLDTPFFNCVISAYAKSGDIKIMEEMLQLMKEKKCKPDKITYATMIQAYTAHGMDEAARLLEMEAERFDKRSL >Sspon.03G0033940-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3C:64291926:64292158:-1 gene:Sspon.03G0033940-2C transcript:Sspon.03G0033940-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LSHTSLPLPHRDSHIALASLSAAAAALSRCSLSVRTPPPTLLPAGDYVSSSRPPPPAHVRSSAGHSSTPAGAAAAA >Sspon.01G0005700-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:14928497:14932013:1 gene:Sspon.01G0005700-1A transcript:Sspon.01G0005700-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVCDELRSFEATGVYRLDGTSTSTGATFLDPVRLLNASYQRFRIVPSAYYSRSFTPQHQEGEPEIERPEKPRKRKRNQKPKSRELNPMEQIAEARHQEARPLLLKAHESLIKSKHLLEYISKTIKGNEHTLDAGSGSENNFVELGTSWRAPFYEITLCFQKPHVQGSEEGIDEAEGEFQNRRYILPRGSCFLMLRNFIGYPTLPNRYLLYLPVQELAHPAGALLVLWITNREKLQAFVEKELLPSWGVKDPTVFYWLKVKPDGSLIGDLDLFHHRPYECLLLGYININTNAKRGSNFKLLEGSQVIMSVPGDWSDTAMAATTTNVQQLTQNFDDDLANELAELDARLLAFEKACGYGDTSITNNSPQPAATPMSL >Sspon.01G0045320-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:89169729:89171003:-1 gene:Sspon.01G0045320-1B transcript:Sspon.01G0045320-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MESGGVIAEPSWSSLVVPSQAEESEIMEQLLGTFPSNGEEDHQEFPWPIQASNSFYFHCNASASTYSSTSSNGSGSLSLIMPSEHGSCYLSDSCAAPLHLNMVQDQGAALFMDSILNPAYGSCDSSCDDLEDSSMNLLDTIGTSDKRKHLEQGKLDGHTRSRKYAKKSDSKRAKMAMQQENGQSLSSCTFENDSIASQGRPVSDKPGGKTQADRRSATESQSLYARKRRERINERLRILQNLVPNGTKVDISTMLEEAVQYVKFLQLQIKLLSSDEMWMYAPIAYNGMNIGIDLNLSQHR >Sspon.01G0011020-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:33012479:33014990:-1 gene:Sspon.01G0011020-4D transcript:Sspon.01G0011020-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALASRAAAAAVACVLLALAASAAGAGSHSPAPAPAVDCVSQAASLFDCLDYVQTGSTTRRPSAACCGEVKTAVASPVIVGCLCSLAGSKNLGIPIDMKRVLALPGACGASNAAFSKCNISALSPTEAPAPSTGGGSSSGGAAASPPKAAAASSPITATALVAAVAAPLLAYCYLF >Sspon.04G0036500-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4D:31387213:31387563:1 gene:Sspon.04G0036500-1D transcript:Sspon.04G0036500-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RSHWADGDALADAYAVGATTSTGWRGEQEAEAEAGTGPSLRLELGLDLLLGHQKGIAFWCSVPPSGSGSGSSIPEAREGGDRYAQGATAAAAAATLRVHDYERLRSAAPRCAFGSCL >Sspon.03G0016660-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:76548650:76549726:-1 gene:Sspon.03G0016660-2B transcript:Sspon.03G0016660-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQAGAGRYWCHMCAAVVSPAEGEAEMKCPHCHSGFLEEMETARGAAAAAADDGDGDGTVAQVYPGGADRPSSIWAHAILSTVDSSVRRRRNRRQQEAAGDVYDWNDPEFSLRRRRVTAFLRLLHELRDRQLQRLEAAAGVALEGDQLTPFGRSLFIGAAGGGGSEHGVALGDYFLGPSLDALVQQLAENDAGRQGTPPAKKEAVEAMPTVEIASGNDDDTASCPVCLEDYAAGERAREMPCRHRFHANCIVPWLEMHSSCPVCRFQLPATDDKSSCNSGDGGFVSVDADREGMTTAVVMVLVGQPLLLQAMPSQLKPKRAAGGCRHPYSGSTACSRHRRSPPVAAAAVRIIGRIEQ >Sspon.03G0009360-1P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3B:32581201:32582679:-1 gene:Sspon.03G0009360-1P transcript:Sspon.03G0009360-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPASASLGGWYITSDIYSLLIPWMLMNAWYSIPAARPRPASFSASRERSIARKPPSSPPPHPLPLFVRTPTNKALLSLACPALALLPPAARQLSRYRLRDKASLSLALVLGIRFLVHRRVLLLLLLVASSSLVGTSRAREAQPLPVASAAAATVLGSRRAPERHGLALDFYAKTCPAVDQIVASVTAARYRDFPAAGPAVLRLFHHDCFVEGCDASILIAPTASDAAPRVERDMEENRNLPQEAFDTVELAKAAVESKCPGIVSCADVLALAARDYVQLISKRAGTALHGPSPPRHGPFGHGTAGTVDEACRAVPCRATVPEFRPRHGTKHA >Sspon.02G0004930-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:10038486:10045597:1 gene:Sspon.02G0004930-3D transcript:Sspon.02G0004930-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPRTHSHQARRRSGLGPQLCAAAAALLLLLSLAVLHSRLSSSSSSRFPTSASRSRSSTPADSNNSSALLADEEDDDVAAALDPLLTVAATTAAATEGDSLANPDDDRIDELDVLDEDATGADAADSASASTAGAATPLVWDHVAGAARLPFRLPASGESLPAGLPRLDSPRRIAAAAFGSDDELVDLELRVEISSIAGIEDALLLKPASGKAPETRLRAGWARWLEGKADYLRRDRMLRSNLESLNPRNHPLLQDPDSPGLTSLTKGDRMVQRMLLAELDKPASKSFERRRLLSYENKRSMGTTVKEKPQKGRRWGYFPGIDPHLGFLEFMERFFEHGKCSMRVFMVWNSPQWAYGIRHQRGLESLLKQHPDACVVMLSETLELESFQQFVKEGYKVAVAVPNLDELLKSTPTHVFASVWYEWRQTKYYHLHYSELVRLAALYKYGGIYLDSDVIVLKPLTSLRNSIGATNHVSGNSSFGAAVLAFDKQSPLLEECLKEFYSTYDDTLVQWNGAELMTRVISNLSSKAYENRGNLDIKLEPSVKFYPISSTDIIRYFSEPDNMVQKAHHDAIFSRILMITFPCGPGMTTFQSVLGNGDTSSISKFLSRWLHINLSCMYANASPTQRCLPAPKDKYANAPPALSSALRGANRSGSYLSGPRVAEQHQRGRVQAQGFLDAAVQGVHLLQDVVVDAVPVPRHDPLLLLDRGAQVLGVGEEARPGPGAHAGAVVLPREERGDEHPDDLRLRRPTAVLVPGVDQALQHVVGCLAVAVGAALAGPDDLGEYLA >Sspon.02G0023300-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:79100709:79113694:-1 gene:Sspon.02G0023300-1A transcript:Sspon.02G0023300-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:D-2-hydroxyglutarate dehydrogenase, mitochondrial [Source:Projected from Arabidopsis thaliana (AT4G36400) UniProtKB/Swiss-Prot;Acc:O23240] MARREAARLLRLLAPLAVESPTRGELLIILGGFGAPRSLLVREVLVTVPDRSQHESANRIVNTCRRFHWIPSLQRPLCGPTTCGGIYEDQSSAKKACEVQKRAFGSAATHIQRNPAYSELSSDDVSYFKSVLGENGVVQDEDRVAVANVDWMGKYRGASQLQLLPKNTTEVSKILSYCNTRRLAVVPQGGNTGLVGGSVPVYDEVIVGLAAMDKIISFDNVNGILTCEAGCVLENLSNFVENEGFIMPLDLGAKGSCHIGGNISTNAGGLRFIRYGSLHGSVLGLEVVLADGTILDMLTTLRKDNTGYDLKHLFIGSEGSLGVVTKISVLTPAKLPSTNVAFLSCNDYKSCQKLLLAARRSLGEILSAFEFMDHHCIDLIVYLWRLCDIWKEFTIRYLPHRTNFITKLEAFLLRSMEDGLVADGVIAQDISQASNFWRIREGISEATVKVGAVYKYDLSIPVEKLYDIVEEMRCRLGDSAEVLGYGHLGDGNLHLNIVSSKYDDNTLGRIEPFVYEWTSAQRGSISAEHGLGLMKAEKIHYSKSPEAVQLMASIKKLLDPNSILNPYK >Sspon.02G0028550-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:103860253:103861047:-1 gene:Sspon.02G0028550-1A transcript:Sspon.02G0028550-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVATPLLSAAARRCTRSASTIGRREVMDCHSLTIDGCTTFRKIPKTWFSTSESFVAAGHIWRIRFYPKGECCFWRQGYMSLYLELVTAANAYSYRATDPVDFKFTLLDLAGNPVPQYSRAMAAHVFSAESRRVGFNDFIRWKDLERSGCLKDDRFTVRCDITVFQDYWTTDNDCDGGGYAVAPVRQVTVPPSNLHEHLGDLLGKKQGADVTVDVAGETFDAHGWLLAARSPVFEAELLAAAKEKAPSGGRRRVVVEGVDPKVFK >Sspon.05G0017440-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:73031946:73032239:1 gene:Sspon.05G0017440-1A transcript:Sspon.05G0017440-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSKKFATIAILLVCTSLTSLNLVTGACTMGQKEEIIRLCKFHIRHVSPILNVPRGSACCVEVRKVRDMRCIIKQMGHKEKKSYSRKRVAGLEKKCH >Sspon.06G0002650-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6B:6946958:6948911:1 gene:Sspon.06G0002650-2B transcript:Sspon.06G0002650-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFFSGDPSSCICPPSPPSKSSKSMAAWKVRGDAGRLLPVITFFFGAAITGAFVFLGATMDMSWRFAAWGNGARAVAGDEVKPYAELAELLKNASMEDNTVIVTSINKAYAAPGSLLDLFLESFRAGKGTAGLLDHLLIVSVDPGAHETCRSVHRHCYLLRPDNEDGAAPAVDLSAAKYFMTKDYLDMMWARNRFQQTILELGFNFLFTDVDILWFRNPMRHIAVTSDVAIASDYFNGDPDSLHNQPNGGFLYVRSMNRTVEFYRRWREARAGFPPGTNEQHVLARVQLPLTRRLGVRMQFLDTAHCGGFCQLSDDLRRVSTMHANCCTGLDNKVHDLRNVLQDWRNYTAAPRE >Sspon.02G0038890-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:46688382:46699197:-1 gene:Sspon.02G0038890-1B transcript:Sspon.02G0038890-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAIETLVAIAVAKMVAQKIASCSFATLKPYCCSLFCAFRDDLEDLEKELLCLEAQLKEKDKWLFGIEVADDGQAVLAQTRVWTVKRMISETKKLNSAIKLNGRYGTGPTGIDLESRFSAEKETTSYDGPDPVIGRVKDLEAIVDTIKEHAKRLCIIAIVGPVGVGKTCLARLVFNHLDSGSRFTRRIWVYVHKSCSRVDIKRIARQVISQGLLVGQERPNADYTMQEITTKVHEILKRERCLIILDGLWGSDDDVCSLKQMFTSCTEETESVIIVTTHNEQIAEHMSTVPLYRLAPMLEGDYCSDIFVSALRGHNSLFPRYRKEIISRCEGIPLVADFLGSIVRNGGWDQRAVWENARGKDLWKLEEDYATTLSKELTLFAPFRLMFYNIPHGLRLCFAYCSVFPKGSSIHKRKLIQQWISLHMVESATHGSVSAEMNAENIIKQLKSIHLLQVEDDAEGNSGATGEVLRMHYMAYELARFISHKDILVVLEGEESVSSFPEEKEEAGRTCCFSQEKEQGILTYCDHRYAQLPVFTKYRPQKVRSLILRPTADLQELKPGVLIAVSEMISGNKYLRVLDLSGCGITEVPACVCQLKQLRYLDASRLDMKELPCVENSLRKLVYLNLQDCRSLGSVHESFGMLPSLKYLNLSLCVRLTKLPASFRRLRTPRRHCTIDLSGCQESVMVQFNSELQGEHTQTEKIPDPQPDQRPQPDKDPNTEHDRHSQPGRVPYSQPDKVPVPQPSQIEISSMEDKIMGSHPEFALESTSPLDVADEPEESQSSLETNGGHCASLSSSNSTNGAPYDGKTAACRQKTSRNKVKGILLNIRNSSLRSNVKKSIDSSKESSKWVNYSHYCYGSLHVALVLWVPADDALPVPVLVEEHPDLLDGHLLGLRQQEDDEDGHDDDERGEEHEDAPAEVAQRGQEALRHGGRPEEVDAHHHALPRRPHLQREQLARHQPSQGPPGPAVGHHEEADGHHQHRAHALAQLLAFPELERQDHSNDDHGGDHLDARLDEEEPAAETVDGDHGDERADDEHGAGDDGGVERRGGAKPKALEDDGRVEEDGVHPGQLLERRDAERADDELRPVAHGEQVPERARDGARGVAGLLEVLELGVHLVRAAHLLQHAARLLQVSPLHEAVGRLRQEERADEDCRGGAHGEPQREAPPPGVQPVREVVDDVGDEDAQAGGELEQLVDGAPDAVGGDLREVERHGLVAEADADAQEHAPHDEHGDVHGGRVDGAAQEEAGGAHHDAGAPAPALGHVRGAEGGDEAREVERRGEERQQLVVVLAVVRLVQVRLLPMVHVREELLQEVVHGSHAA >Sspon.01G0028290-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:99017256:99017528:1 gene:Sspon.01G0028290-1A transcript:Sspon.01G0028290-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding PKRTKSHRHVNTWEPITPTWPRKEHDPVSVLYCKNTHHLRCHLAPVTHPVASRLGFLLPTTAVQERSSPPLLSPPSERPEP >Sspon.02G0028160-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:98740001:98744499:-1 gene:Sspon.02G0028160-2B transcript:Sspon.02G0028160-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDSEASPPPAPAAATPAAPAEASPSSARKEELLPVEEKISELSESQSELLGRLRGLKEDLLSWRSNLDSQVTKYKVELSDIKSALNNEIEQLRSDFQELRTTLKKQQEDVSLSLKNLGMLLKMMRTQGNGEDTNEVLSANLGNLKENTDTADFVIVDKATKEESSTDEAGN >Sspon.01G0058350-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:25734021:25735173:-1 gene:Sspon.01G0058350-1D transcript:Sspon.01G0058350-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLAVEGREGTGRQRPTTTARLRVAGLTTEYRSHTLTGEATHNGPSDNCARRARVSGAPGRAGGPNGKRTLSAVRRVPRPIRSSAQVHPVPTDLPQPPPNAHGPSRRTSVAGAETSTRRPTPTPSAQAGDGTVRAVVCGAVRCGPAVLIDRKG >Sspon.04G0012720-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:44372658:44377564:1 gene:Sspon.04G0012720-1A transcript:Sspon.04G0012720-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNSLLFNLELLRNGTALVLFLSPVPTFIGIWKKRAVEQYSPIPYVATLLNCMMWVLYGLPLVHPHSMLVITINGTGMLIQLSYVALFILCSAGAVRRKVVLLFAAEVTFVITLGALVLSLAHTHERRSMIVGIVSVFFGTGMYAAPLSVMKMVIQTKSVEYMPLFLSLASLANSICWTAYALIHFDVYITIPNGLGVLFALGQLVLYAMFYKNTQQIIEARKRKDDQQGTMMEVVTDATSPNNNGNTY >Sspon.08G0009200-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:35536345:35537101:1 gene:Sspon.08G0009200-2B transcript:Sspon.08G0009200-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIKPFVIMLLPMALLLLAAGSSPVVAQLELGYYSKTCPNVEAMVRTEMERIISAAPSLAGPLLRLHFHDCFVRGCDASVLLNSTESNLAERDAKPNKSLRGFGSVERVKAKLEAACPNTVSCADILTLMARDAVVLAKGPFWPVALGRRDGRVSSATEAAEQLPPAYGDIPLLTKIFASKGLDVKDLA >Sspon.03G0019720-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:61484445:61493215:-1 gene:Sspon.03G0019720-1A transcript:Sspon.03G0019720-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDATTLLVDTTDVYGPVKTQSNCALWELYCGGLVLGTALHHVASDAISAIHFIQTWSAFSKNGDGATIELPCHDRTLLRARSPPTVHPDALSWFYPKLTFCDPSGPIAVEIFDISKDQVASLKRLCGSSTSTFCAMSALVWQCTCIARRLPSDSEACLTFPISVRRKVSPPLPNRYFGNASVRMGVTGAVRDIASEALASVASRIKGAIDRMDDELVRSAIDYYETMAMAETDSRPVKGTLLETDLQIISWLGMPMYEADFGWGKPRVMLRAESIRGGFVYLMNDGPADDGVRVLIIFKCCRISVEVKFWLFLTPN >Sspon.07G0003240-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:7330785:7334334:1 gene:Sspon.07G0003240-2B transcript:Sspon.07G0003240-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASLEAERQENEAIKRSLVEAQERNDVLFKKVRDSEYRAHQLQDTVQKLQVDAISRLSNFVMEKQDGDGVKNAYTEAHGTNEDLIRRNEDLLKRNDDLVKKIEDSAILVTELRGNLERLEGKAADLEAENQLLRQQTIATPPSTAKSSQAACSKISMIHRCQESGHILNGNVAYAEMKSSTGPTEMRPSMGSSLDLINHKDYENGQRLFNEVYQHQQPQNHQQLLLKYITQYLGFFGRKPIAASLIYYCLLHWRSFEEAKTSVFDSIIQVVNSATEAQHDTRGLAYWLSNLSTLSVLLQRSFKATRATVSTPHRRRFSCERIFQANQSSSSGLACLSAQSVDGASVFHQIEARYPALLFKQQLVDQIEKVYGVISDKMKKELNPLLELCIQDPRTSYSNQVKALMSPASGLGQQDQLMHWLSIVKIFNSYLHALRANHVPSILVHKLLTQIFSMVNVQLFNRLLLRRECCSFSNGQYIKDGLTQLRYWCNDVGQEFADSAWVALRHIRQAVDFVVISLKPIRTWEEICNDICPALSLQQLERIVGMYWDDLNGTNVTSAEFISSMRAMLREESNSVSSFSVLLDDDSSIPFSLEDISKSMPNIEETSVNELLPFIRENQNFAFIL >Sspon.03G0026550-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:3116005:3121771:-1 gene:Sspon.03G0026550-1B transcript:Sspon.03G0026550-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPVKIIGHFASPYSHRVEAALQLKGVPYELIQEDLSNKSELLLAKNPVHKKVPVLLHGDRAICESLVIVEYVNQVFDGTSLLPADPYDRVMARFWADFMDKLVLPFWMAHWAEGEAQKTLVEEVKQKLALLEVQLKGKRFFGGDTIGYVDVAASVLGPWLGMVEEVTGVVVLDEDEYPALRRWSKEYNSYEALKQCVPDRDQLAAFYTERMENYKMFAKAWLKHPYAFRAEAALCLKGVAYELILEDLFGTKSDLLLHHNPVHKKVPVLLHGDDRAISESLVIAEYVDEAFDGPPLLPADPYDRATARFWADFIENKLTKPFFMAIWVEGEARLPFEKEAKELVALLEAQLEGKKFFGGDRPGYLDVAASALGPWRSVIEELNGVTLLSEDEHPNLCRWSRDYCACEDLKPCMPDREKLLAYFTKNFDRYKAAVNATLSQSQQ >Sspon.02G0030420-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:110759159:110765251:1 gene:Sspon.02G0030420-1A transcript:Sspon.02G0030420-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQCLDGVRQLLAVLLRCCDVELKQPRGLEDPQVLARETVFSVSEVEALYELFKKISSAVIDDGLINKEEFQLALFKTSKKESLFADRVFDLFDTKHNGILGFEEFARALSVFHPNAPLDDKIDFSFQLYDLKQQGYIERQEVKQMVVATLAESGMNLSDEIVESIIDKMMEKQTNTFEEADTKHDGRIDKEEWRNLVIRHPSLLKNMTLQYLKGSYWNM >Sspon.02G0025700-1P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2B:90767386:90768360:1 gene:Sspon.02G0025700-1P transcript:Sspon.02G0025700-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGACSNNDVRLSAAALLAALLATAVTVCSAQQLRRNYYASVCPNVESIVRDAVARKYRETFITVGATVHLFFHDCFVEGCDASVVVASTPNATAEKDHPINLSLAGDGFDTVIRAKAAVDAVPRCRNRVSCADILAMATRDAIALAGGPSYAVELGRLDGLSSTASSVDGKLAPPSFDLDQLTALFARNGLSRADMVALSAGHTVGFAHCGTFAGRIRGAAAPDPMLNRSLAEKLAAWCPDGVDPRVAVTMDVVTPRVFDNQYFRNLQSGMGLLASDQLLYTDPRSRPIVDTLARSSVAFERAFVEAITKMGRIGVKTGAQGNIR >Sspon.05G0013240-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:37811108:37817920:-1 gene:Sspon.05G0013240-3C transcript:Sspon.05G0013240-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAATAAAAVSSPAAPRAGAAAASRRGFVTFGGGAARSSPTLRSGRGFSGVQTHVAAVEQAVVKDATKLEAPVVLVNYARSSKEAEEVSKEIEASGGEAITFGGDVSKEADVESMMKAALDKWGTIDVLVNNAGITRDTLLMRMKKSQWQDVIDLNLTGVFLCTQAATKVMMKKKKGKIINIASVVGLTGNVGQANYSAAKAGVIGFTKTVAREYASRNINVNAIAPGFIASDMTAELGEELEKKILSTIPLGRYGQPEEVAGLVEFLALNPAASYMTGQVLTIDGGMVM >Sspon.02G0025790-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:89642432:89643258:-1 gene:Sspon.02G0025790-1A transcript:Sspon.02G0025790-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MERDDPKLELVAAATAVVAAPVATAAAFVAAPEAAAASADDEGTLELAEVVEKTKMMKLTDEQVTSSAASQERRRGPLADAAVNSSVDVGAAVASVETKEDAARRHQIHHEEAADSDSKVPVSLVTQIRSRKKLLVLDLNGLLADINKDVHNARLSHGRCRGKLVFKRPFCDDFLKFCFRNFDVG >Sspon.01G0050120-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:119955370:119959901:1 gene:Sspon.01G0050120-1B transcript:Sspon.01G0050120-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lariat debranching enzyme [Source:Projected from Arabidopsis thaliana (AT4G31770) UniProtKB/Swiss-Prot;Acc:Q94K01] MAVRVRRSITQLQRESHEDFTIRLPRRRQNRLHSSGPTLIQRPAPRSGPIAIRVLTASAPRGRPPSQKSPPTFADPRRPGFSPDPRLPSAGTPAVPPSRLGLALVAVAETLRHAHCGAAPRAARHRRRPPVRQAAEQRASAPGQIAVEGCMHGELDIVYDTLRKLEEAEGVKIDLLLCCGDFQAVRNENDLQCVNVPQKYRTMNSFWKYYSGEAVAPYPTIFIGGNHEASNYLWELYYGGWAAPNIYFLGFAGVVKFGNIRIGGLSGIYNKYRHYERPPYNEDTIRSVYHVRHYDVLKLMHLKEPLDVFLSHDWPLGITEYGNWQKLISVKKHFEEEVNNRTLGSKPAAELLNKLKPPYWFSGHLHCKFPAIIQHGKNGPTTKFLALDKCIPGRNFLQVIDIPSNPGPYEIQYDEEWLAITRKFNSVFPLARTRFTMRDEQLDTQEDRQWVRSMLNTRGAKPFDFVQTAPSFNPSNTISKHSMTVCCRNPQTESFLQLLELPYLLDSSNSEGHYLKKSNSEGFGRNESSSQPGNTLDDEDIELPDEDEDALEDE >Sspon.01G0001250-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:16703029:16703319:-1 gene:Sspon.01G0001250-1A transcript:Sspon.01G0001250-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNATLLPKSSSFCRSNSKSICGKARRSISMAITSPLSTKGLNVLHSDSARLFPKDLLKLCKSSPQAVLSDSITTSSAMSFTSELLDSWLHVPSSNF >Sspon.07G0010980-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:36750514:36753085:-1 gene:Sspon.07G0010980-1T transcript:Sspon.07G0010980-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGKSAALMLGLLLLLCVLRHGAAQRYNAIWSFGDSISDTGNLCVGGCPSWLTTGQSPYGETFFGRPTGRCSDGRVIIDFLAEHFGLPLPPASKAGGDFKKGANMAIIGATTMSFDFFNSIGLSDKIWNNGPLDTQIQWFRQLLPSVCGNDCKNYLSKSLFVVGEFGGNDYNAALFAGRSMAEVRGYVPRVVSKLIRGLETIVRSGAVDVVVPGVLPIGCFPIYLTLYGTSNAADYDRDGCLRSYNGLSYYHNALLKRSLASLQRTYPHARIMYADFYTQVTHMIRAPHNFGLKYGLKVCCGAGGQGKYNYNNKARCGMSGASACADPGNYLIWDGIHLTEAAYSSIADGWLKGPYCNPPIQH >Sspon.04G0030380-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:1531592:1533556:-1 gene:Sspon.04G0030380-2D transcript:Sspon.04G0030380-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASRAAVDLSRELCAAVIKSTFRPNLHLALLAAAPSLLTTVLHRLSPLPSAALAFFRALPPPHPLDASLALLRLLAPHPRHHPAARALLRDLSLRHPLSSPLLLPSLLAAADPRVPSWLVLVLAQSARPHDAVRVFDQMRARGLAPDAHACTALLTALARARMTATARRVFDEMARAGLTVSTHVYNAMLHVCLKAGDAARAEALVTRMDAAGVPLDRFSYNTVIALYCRKGMRYEAMCVRDRMDKERIRADTITWNSLIHGLCKDGRVKEAAQLFAEMVAAQATPDNVTYTTLIDGKLCEDGKMKEVHQLLNEMDERKVQADHVTCNTLINAYCKRGDMTSACKVKKKMMESGLQLNQFTYKALIHGFCKAKELDEAKEAFFQMVDAGFSPNYSIFSWLVDGFCKKNNADAVLAIPDELMKRGLPPDKAVYRSLIRRLCRKGMVDQAQKVFEQMQGKGLVGDSLVYTTLAYTYLTEGKPVTASNTLDDMAKNQLYITPQIYNCLCTSYADEKETLNMLWVCTIERGLIRKSVYKLMHQARLESMKLTAETGGCAPVSRPDYLEATL >Sspon.01G0000850-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:2368278:2369034:1 gene:Sspon.01G0000850-2C transcript:Sspon.01G0000850-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMRARAASASASVLLLLLLLVSGCAGAVYKVGDLDAWGVPPPSKPDVYKRWAKSIHFALGDSIWFLYPPTQDSVLQLPPEAFASCDLSRPVARLADGNSFFNLTAPGRAYYASGAPGHCRKGQKLWVDVPMANGTYLQPSATDLAALAPTPAADPPAGFASASAPEGASASPAPRAAAGSVVALLCFALQILL >Sspon.02G0032280-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:87286487:87289507:-1 gene:Sspon.02G0032280-4D transcript:Sspon.02G0032280-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPACPLLLSLFLAAAIITCATAATSSSSQTDALLAFKAALTVPPEAAPIFATWDATAASAPCSFTGVKCTGGNVTALSLPALKLSAATVPFADLCTSLPSLATLSLPANSLAGGIDGVVACTALQDLNLAFNSFTGAVPDLSPLSELRRLNVSSNCFDGAFPWRSLAATPGLTVLALGDNPFLAPTAPFPAEVTRLTNLTVLYMSAVKLGGAIPPEIGDLINLEDLELSDNDLTGEIPTEIARLTNLTQLELYNNSLRGPLPAGFGNLTKLQYFDASQNNLTGTLAELRHLTRLVSLQLFFNGFTGEVPPEFGDFKELVNLSLYNNYLTGELPPSLGSWSPFNFIDVSTNALSGPIPPDMCKQGTMLKLLMLENNFSGGIPETYASCKTLLRFRVSKNNLSGEVPEGLWALPNVNVMDLAGNQFSGSIGDGIGNATAMTILYLAGNQFTGAIPPSIGNAESLETMDLSSNQLSGEIPDSIGRLSRLGSLDIGRNAIGGPIPASLGSCSALSTVNFTGNKLAGAIPAELGNLQRLNSLDVSRNDLSGAVPASLAALKLSSLNMSDNHLSGPVPDALAISAYGESFVGNPGLCATNGAGFLRRCGPSSGSRSANAARLAVTCILAITAVLLAVLGVAIYLQKRRRAAEAAGGLGPAGKLFAKKGSWDLKSFRILAFDEREIIDGVRDENLIGSGGSGNVYRVKLGNGAVVAVKHITRGAAMATSTAPSAAMLGGAARRTASVRCHEFDSEVGTLSAIRHVNVVKLLCSITSSDGAASLLVYEHLPNGSLYERLHGAAAGTGRKLGGGLGWAERHDVAVGAARGLEYLHHGCDRPILHRDVKSSNILLDESFKPRLADFGLAKILSSAGGAGGDSSAGVVAGTLGYMAPEYAYTWKVTEKSDVYSFGVVLLELVTGQPAVLQAEGGESRDLVDWVSRRLESREKVMSLVDPAIVEGWAREEAVRVLRVAVLCTSRTPSMRPSMRSVVQMLEDAAAAREDDDAKVLEVKV >Sspon.05G0006710-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:21090023:21096213:1 gene:Sspon.05G0006710-1A transcript:Sspon.05G0006710-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MACESLVDWRGKPINTKVHDGVRAACSHRCEFLGARAKPSEYDNGYGLLTLQFHFPWLHPAPCNSSEAAADASTSSCRQVDGWRSALLYIALYTIALGEGVMRACIPALGSDQFDGDNPSEARQQSSFFNWFTFCLSIGSVAGLILIVWLEDTKGWDVGFGLSALLILVALLVSAAGLPLYRNRVPQGSALTRVLQVGWILGLDIFLDKACVKTNTGGQGDGPWSCSAAKVEETKIVLRMLPLVFSSTVAHVSSSLLIAFTVQQGATTNTKLGKVHVYPAMLFIIPSIFQTLMLGGVGGKWWFEILNCAV >Sspon.05G0021750-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:91096981:91099383:1 gene:Sspon.05G0021750-1A transcript:Sspon.05G0021750-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQDGNAATPSALALFASRLSYRRYYAAAARLPQPTCRTQPRDLFARLSTSLPPPLTLQVRGRGPPGAGGRAVRRRRRPALLATRSAARSLLQASAAEAFASTATGDGGRSLAVADFFARAFALVGDVESCLAMRYEALLLRDAKYCNDLHLQVSSQEWLTFAKDSLDNGFYSIASKAFANALGHIHPSHPGHLDSANSIEEKDKINDITGLQNLAKSLSAQHSVQTQSVEYMKRRASGVHEKYNLQSGKPKLPGSSMFRLGIKTRNIKKLLHSQERNLGDLKQS >Sspon.04G0032080-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:22581542:22582632:1 gene:Sspon.04G0032080-2D transcript:Sspon.04G0032080-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSGHAVTLSERSASGTRLVEDVAREAGDEEEEEEEADTTARVVYRASFQELMPNYLQYDTIIWALISLLLVLAWGIGLLLLLYLPYKRYVLKRDILSRQLFVTENKIVYKVTRPSYLPFMGIVKKEIKVPLHLIVDVIIEQGCLQSAYSLYTFRIESITHGKPAPVDEL >Sspon.02G0043230-3D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2D:84456991:84457893:-1 gene:Sspon.02G0043230-3D transcript:Sspon.02G0043230-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding KGSKLKVQDVEDSLQRVLLRAQVIISEATGQHITNDAMLQQLDMLRDAMYQGNYILDTFRYHSYDVEHAEDPVVSNSCPLSKVNSLKGIYSSIRNTQNLEQLQDALHNLNYMILDVKELVVFLTSYPCLYRQPYSMHLLLSNCMFGRQMETQLVISFLLNKQPCCSEELEVLPIVGPYRVGNSTLVSHVCKDERVRDHFSQILFLNSHDFTYYDHTTLTKGCAMEHENNVLTSNKDIRLLVVVELVGDVNEDAWNRFCACKQRLPRGSKIIVTSRSDKIVKFGTTSPLCLKYMTQDCQVL >Sspon.02G0010510-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:28843408:28846755:1 gene:Sspon.02G0010510-1A transcript:Sspon.02G0010510-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMQTNTRIGFQSSRSRPLTCAQVEGAVTEDGRKPSIWDTFTHKGYSIDNATGDVTADQYHKYKEDVKLLHELGVDAYRMSIAWPRLIPGIQPHVTIYHFDFPQALQDEYKGLLSPRFIEDYTAYADVCFKNFGDRVKYWSTVNEPNIETIGGFDQGILPPRRCSFPFGFGCDEGNSTTEPYIAGHHLLLAHASAMSLYREKYQAQQGGRIGLTLLGWWYEPATQTPDDIAAAARMNDFHIGWFMNPMVYGDYPPVMRKNVGSRLPSFTDEEAKRVKGSFDFVGFNHYVAIYVKADLSRLDEKVRDYMADAAVAYDMPFLKSKNQFPFGLGLAADFMTSTPWALKKMLKHLQVKYKNPAVMIHENGAAGLSDPSGVNTYDDEFRSQFLQDYIEATLQSIRNGSNVQGYFVWSFLDVFEYLFGYRMRFGVCGVEFNSTARTRYQRHSAKWYSSFLRGGELRPVALPEGAYSQ >Sspon.05G0025620-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:25114636:25117062:-1 gene:Sspon.05G0025620-1B transcript:Sspon.05G0025620-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGANTVPLVTPYKMGKFDLSHRVVLAPLTRQRSYGNVPQRHAILYYQQRATKGGLLIAEATGVSDTAQGYKDTPGIWTNEQVEAWKPIVDGVHQKGGIFFCQIWHVGRVSNSSFQPNGQAPISSTDRPLKPQVRANGVDVATFTPPRRLETDEIPLVINDFRVAARNAIEAGFDGVEIHGAHGYLIDQFLKDQVNDRTDKYGGSLENRCRFALEVVQAVVDEIGADKVGIRLSPFASYSEAPDSNPEALGLYMANALDKFGILFCHMVEPRMVKVGEKFETPYSLRPMRDAFKGTFIVAGGYNREDGNDAISGGYADLIAYGRLFLSNPDLPRRLEIDASLNEYNRDTFYIPDPVIGYTDYPFLP >Sspon.06G0023400-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:44969155:44974336:-1 gene:Sspon.06G0023400-2C transcript:Sspon.06G0023400-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGEAETVVGSSSKPCGQLEDYYIPDYILKPGAQQVLVDHAPPCPIVVFINSRSGGQLGSSLIKTYRELLSEAQVFDLSEEAPDKVLHRLYANLERLKMEGDILAVQIWRTLRLIVAGGDGTASWLLGVVSDLKLSHPPPVATVPLGTGNNLPFSFGWGKKNPSTDQEAVKSFLGLVKHAREMKIDRYRLRQIEEGPCDPIAPLELPHSLHAFHRVSSSDSLNMEGYHTFRGGFWNYFSMGKSSLDGCRSSYAFHSERKRNPEKFKNQLTNQGQYAKLGLKQGWFCASLSQPLSRNLAQLAKVKVMKRAGGLWEELHIHHSIRSIVCLNLPSFSGEGVHCTFCDDGLIEVVGFRDAWHGLVLLAPNGHGTRIAQAHRIRFEFHKGAADHTFMRVDGEPWKQPLPKDDDTVRRGDLSPPAGHHAGKRALQVQERQ >Sspon.08G0010440-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:45803997:45812278:-1 gene:Sspon.08G0010440-1A transcript:Sspon.08G0010440-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVPVAQKSDSWVTVLAMADSGGLGVRPINGGRGGAVEQRGLGDNGPPVSPPERVCTPPAPSLAAWRRMWSPDPLRCPTPRSIGCEDSEDLDRYFSPQSEFSQDTSDTDSMSTSISRMYTFRLGTSSPLDSPIKQLGVGDTSPPSRRGCHSPSYPWNSCRGSDDVDSSFMNSPRHDDEQRKDDVQPIDFESRHIWYPPPPKDENGTFQYDEDDDNDVCDGKVFGHVNHDYCDGEDDDDNDDDDSLGMKGKHRISHKEFLRSALHGHFRALVSQLLLGHGIDPIDGWPDIVASLAWQAATFVRPDTSKGGSMDPTDYVKVKCVASGNPNDSAFIKGVVCSKNVKHKRMVSKHENPRLLLLGGALEYQKVTNKLASINSILEQEKEYLKNAVAKIEAQRPHVLLVEKSVPLYAQQLLAKDISLVLNVKRSLLERISRCTGAQIASSVENITSTGVGQCQTFWIEKVTECSSPKVSNKKTVKTLMFFDGCPRRLGCTVLLRGKSYEELKKVKLAVQYALFAAYHLSLETSYLADEGATLPKVPSDLQFEKQNASSRYYQQNLNEFQTIEGETFGSGCIMPCLNGSANQSHSRDDIIHEEHAHCHSRADLSQEEYTCGTIKVYPYSIEASMYGSCVPPVCMTVQTPKSSLASVRKRTPRNLYFPHNGHIDEAGGGMSKVESDFLGLDNGWNHISDEDSVAIRDHNGNHNEYFPTSDSPQSILVSLSVACPLRGIVCKQSQLLRIKFYGTFDKPLGRYFREDLFVQTSCCESCKEPAESHVRCYTHQQGSLTISVRTLPSVKLPGELDGKVWMWHRCLRCKPKDGIPPATQRIVMSDAARGYLLGSFWNLAFQITQQPIELPAVDTPSRGTAFVFMASYCILFSFLSNNDQRNSSHSQLNRLGSMVAVFHYSPVDILSVNLPSSVLDFAYPKAQDWVIKEAVDVCARKEHLYREIVVKLDCIEKIVKDQNIGMKSGLHKHVADLKELVKVEWKKYDVVSGVSIIDDLQTFEPYIDVLELNRLRRELVLDIHVWDRRLYMMHSLTKENCRTVPNDTQCSEKLTESLLEQSKDVTSGKHLNIENSLEQNQPSTLEVAAVSMKSSPMTEQTNTSVSHLGLETNIMGDVSMHSGSTVISFAPGPCEMQSEGVLAELEAGKTLQKSQSSASNLSDRIDLAWTGSGQFVNDSSSSMEAASFIPASLKDDPAYQKVIAPIRIKSFDSAVSSRNVDDSNASIRRSYSQRPPKAIERTGKGLSPTFLNKLSLPGMIDGESRLLLSQNDSDVIVPIYDDEPSSMIAHAMTVPEYHSFLLPLLDQNIESSLLNCGSDQPQPITGNDSKDNHLTVYFEDEDSYSVDKAKFSVTCYFAKQFDAIRRKCCPDELDYIRSLSRCKRWSAQGGKSNVYFAKTLDDRFVIKQVTRTELDSFEDYAVDYFKYLTDSVSSGSPTCLTKVLGLYQITAKNLRDGKELKMDVMVMENLFFKRKVSRIYDLKGSLRSRYNPDTSGNNKVLLDLNLLETLHTKPIFLGSKAKRRLERAVWNDTSFLASVDVMDYSLLVGIDEERKELVMGIIDYLRQYTWDKQLETWVKATGFLGGSKDVLPTIISPDQYKKRFRKAMSKYFLALPDQWSP >Sspon.08G0022500-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:49305894:49314316:-1 gene:Sspon.08G0022500-1B transcript:Sspon.08G0022500-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to Auxin response factor 1 [Source: Projected from Oryza sativa (Os06g0196700)] MKDQGSDGVTPSPAEGEKKPINSELWHACAGPLVSLPPVGSLVVYFPQGHSEQVAASMHKELDTVPSYPSLPSKLICKLLSLTLHADSETDEVYAQMTLQPVNKYDRDAMLASELGLKQNKQPTEFFCKTLTASDTSQPKRYLLTTGWSVFVSTKRLLAGDSVLFIRDEKSQLLLGIRRASRPQPALSSSVLSSDSMHIGILAAAAHAAANSSPFTIFYNPRASPSEFVIPLAKYNKALYTQVSLGMRFRMLFETEDSGVRRYMGTITGIGDLDPLRWKNSHWRNLQVGWDESTASERRTHDENEVESAFKRAMPWLADDFALKDVQNALFPGLSLVQWMAMQQNPQMLATAAPAVQSQYLTSNALGMQDGIGSQSQLTQTPQLQQTAEYQQALLQSQQPQLQQLSQSELQLQLLQKIQQQNLLSQLNPQHQSQLIQQLSQKSQEILQQQVLQHQLGGADAMGQLKHLQQTPLNHTTGSLTPQQLVRSHSALAESEEPSSSTAPSGSRISPINSLSRAQQGSRNLPEMPATPHIEHLLQEIQNQLDASSATSFCLDESPREGFSFPPVCLDNNVQVDPRENFLIAENVDTLMPDALLSRGMSSGKGICNLPSGQRDHRDVENELSSAAFSSQSFGVPHMSFKPGCSSDVAVADGGMASQGAGEGAAGGEGGAAAGAGEGKGTHLGNLGWPAGKLPGAAVHDELDRRRRVEDEQERRRRLGDEQDRRRRVEVEVQKRGSVGRSIDITRYRGYEDLRHDLACMFGIQGQLEDPYRTDWKLVYVDHENDILLVGDDPWEGAVGEQGALGNEG >Sspon.02G0000970-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:4960743:4964920:-1 gene:Sspon.02G0000970-2B transcript:Sspon.02G0000970-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAARLPSPLLVVSTLLLLLSPPLPAAAAAAAAARIQPLATAALRRFYDTSNYGRLQLNNGLALTPQMGDYFVYLIFCLLLTSSDDCWSYVKRGKQDQLLPDPKTFPSGIKALADYVHGKGLKLGIYSDAGKFTCQVRPGSLDHENDDAAIFASWGIDYLKYPPMRDALNSTGRQIFYSLCEWGQDDPALWAGKVGNSWRTTDDIIDTWKSMTDIADKNNKWASYAGPGGWNDPDMLEVGNGGMTLAEYRSHFSIWALMKAPLLIGCDVRNMTSETMEILSNKEVIQVNQDPLGVQGRKILGEGKYGCREVWAGPLSGNRFVIALWNRCSETANITMKLPAVGLDGSAAYSVRDLWKHETLSENVIGTFGAQVDVHDTKMYIFSPATSVASI >Sspon.08G0011550-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:47816385:47817754:1 gene:Sspon.08G0011550-4D transcript:Sspon.08G0011550-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding KMVQQAAKEVIPLMTPYKMGQFQLSHRVVLAPLTRCRSYGNVPQPHAAVYYSQRATKGGLLIAEATGVSATAQGYPETPGIWTQEQVEAWKPIVDAVHRKGGIFFCQIWHVGRVSTTDFQPDGQAPISSTDKQISPDAESGMVYSKPRRLRTEEIPGIVDDFRRAARNAIEAGFDGVEIHGAHGYLLEQFMKDSSNDRTDEYGGSLENRCRFAVEVIDAIVREVGAHRVGIRLSPFVDFTDCVDSDPVALGHYMIQQLNKHEGFLYCHMVEPRMAIVDGRRQIPHRLLPFRKAFNGTFIAAGGYDREEGNKVMAEGYADLVAYGRLFLANPDLPKRFELNAPLNKYDRSTFYTQDPVVGYTDYPFLEDGSDNDE >Sspon.05G0034350-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:54268441:54271126:-1 gene:Sspon.05G0034350-1C transcript:Sspon.05G0034350-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTSATCTTSSPSAARGGGSAHVLLLPYPGAQGHTNPLLEFGRRLAYHGFRPTLVTSRYVLSTTPPPGEHFRVAAISDGFDDGGATACPDLNEYWRQLEVVGSETLAELIRSEATEGRPVRVLVYDPHLSWALRVAKAAGVAAAAFLSQPCAVDVVYGEGGCRCQCGRERAVCARAAGCRARADDVPPFTAKPDCTLCSLRRRCGNSRGWRTPTTCSSTHSTRSNQDYMALTWHAKTIGPTLPSFYLDDDRLPLNKTYGFNLFNSSESCLDWLDKQLPCSVVLVSYGTVSVYDEAQLEELGNGLCNSGKPFIWVVRSNEEHKLSNELRDKCKERGLIVSWCPQLEVLAHKATGCFFTHCGWNSTLEAIANGVPMVAIPHWSDQPTISKYMESMWGLGVRVRKDEKGLVTRDEVERGIKDVMDGDRKDKYRMNATMWMQKAKEAMQNGGSSDKNITEFVAKRAG >Sspon.02G0058780-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:83544035:83544273:1 gene:Sspon.02G0058780-1D transcript:Sspon.02G0058780-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEPPSRGRRSRTHVAPALPPIRSMLPRSPQLMDELVEEILLRLPPDSPASLVRAGGLAPL >Sspon.01G0023970-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:84446092:84447258:-1 gene:Sspon.01G0023970-2C transcript:Sspon.01G0023970-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat extensin-like protein 6 [Source:Projected from Arabidopsis thaliana (AT3G22800) UniProtKB/Swiss-Prot;Acc:Q9LUI1] APLSGPTSKTKKRALRHVLLLCLLLPCISQPLPAPSPSPTAAPPAATLHLSPFNDRLDAAYIALQAWKHAIIEDPKNLTADWCGPFVCNYTGVFCTAAQDDPHILTVAGIDLNHGRIAGFLPDHIGLLADVALIHLNSNRFHGTLPPSMQHMRLLYELDISNNLFSGGFPSFLTSLPSLKYLDLRFNKFDGQLPDAVFGRQLSLDALFANSNRFNVTLSSRSLTNSTASVIVLANTELAGCLPPSIGDMADTLVELILLNTSISSCIPPEIGKLKKLRVLDLSRNELAGELPESVGDMESLEVLNVGYNQLSGVVPESICLLPKLKNLTVAGNYFCGEPVSCLHIPLRDDRMNCIPEWPHQRTHEECIAFEHRPPVHCGADGCIVHHPL >Sspon.01G0001520-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:3300033:3303276:-1 gene:Sspon.01G0001520-2B transcript:Sspon.01G0001520-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSPLGGWPSYNPNNFSQLVPADPSAQPSNVTPATYVATHRNDPPPNQVITTEARNILLRHFYQKSEEKPRPKRAAPDNLARENNNKQPRGPVGDVGGQSSARS >Sspon.08G0010570-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:46831436:46842815:1 gene:Sspon.08G0010570-1A transcript:Sspon.08G0010570-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKPAETTPGSNKKVESSSSSSSSMADVYKGELTPLQRHVAFFDRNKDGIIYPSETYQGFRAIGAGVPLSAFSSVFINGLLGPKTVPENEKAPAFKLPIYVKNIQKGKHGSDSGVYDANGRFVPEKFEEIFKKHAHTRPDALTGKELKELLKANREPNDFKGCLALVTTVLISVLLGPGSNKKVEESSSSSSSMADVYKGELTPLQRHVAFFDRNKDGIIYPSETYQGFRAIGCGVALSAAAAVFVNGGLGPKTVPNEKTAPFKLPIYVKNIHKGKHGSDSGVYDSNGRFVPEKFEEIFKKYAHTRPDALTGKELQEMLQANREPKDLKGWLGGFTEWKVLYSLCKDKDGFLHKDTVRAVYDGSLFERLEQERNSKKEL >Sspon.06G0007090-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:23477331:23478566:-1 gene:Sspon.06G0007090-2D transcript:Sspon.06G0007090-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADHSGHPPSPAEPPAPTTIRDLSDDLLREILLRLPSLPSLVRAALTCRAFLAAVRSSPAFRRRFRALHPPPLLGFFFDPDGNENPTFTPIRRGSDRDHAAAVRGLDAFLTRVPHQDDDDVFPGWQIMECRGGCLLLLNWKTKQLAAYNPLTGALDLVPRLPDEISKGHRGKFISGDFFLVVPDDEAPDRRSFRVVCSCHDKSRVRVAVFSSATRKWQILPWSEPVPTQPASGKYWLLSGNQVNGFLCWSHHKNAYKVLLDTATLQFSVIDLPHGLSGQGHLYRTGDTKDGKLCIVAAIDFTLFVWFRTPDADGVDKWVLQNVLQMEEEVLEATEGERDELEQLKVYAIRDGIVYMTTFETFRDASLPCWYLSFCLETRKLEKLFYVKADGHAHPYFMPWPTSLIGNNLSP >Sspon.02G0028760-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:97011802:97014094:1 gene:Sspon.02G0028760-2B transcript:Sspon.02G0028760-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATQQSRSRSSAAAAKAAACSEVVDEEHGRRRQGGGAQEEAENKPELRRGPWTVDEDLTLVNYIADNGEGRWNNLARAAGLKRTGKSCRLRWLNYLRPDVKRGNFSADEQLLILDLHTRWGNRWSKIAQHLPGRTDNEIKNYWRTRVQKHAKQLNCDANSKRFKDAMRYLWMPHLVDIDIAAAAADDHHHLSLLHHQQQQVAVAGAGNGDLAAAGYAATNDVQLHALSSGMAAMTTTTSSSDSLASESYEDGGGLFANVRAGEMLMNGGDWAAQEANNQGLWPSSDHDQSVQVQVQVQAAAGGGQFQDPELSGWVQGFSESITDNFWALEEIWKMQ >Sspon.01G0052270-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:20519741:20520833:-1 gene:Sspon.01G0052270-2D transcript:Sspon.01G0052270-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rhodanese-like domain-containing protein 10 [Source:Projected from Arabidopsis thaliana (AT3G08920) UniProtKB/Swiss-Prot;Acc:Q9SR92] MAMGAAAAASTCIRSASPGLPRCRVRAQATSWAGGAEALVRSGAVKAVRPRDAAEALGAEGFRLLDVRPEWERARASVRGSVHVPLFVGDDDMGPVTLLKKWVHLGYIGLWTGQGFTKMNDRFVEDVAAAVVAGDGSKDAKLLVACGEGLRSLIAVRMLHDDGYRNLAWLAGGFSKCANGDFTDVEGESKLQYATIGGASYIFLQILLLLGVVK >Sspon.05G0017420-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:12763819:12766384:-1 gene:Sspon.05G0017420-2D transcript:Sspon.05G0017420-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIDLYIRRMGSFDLAVGFDGDVRKQEDAARVIAATVEHFGKLDILVNGAAGNFLASPEDLKPKGFRTVLDIDTVGTYTMCYEGLKYLKKGGPGRVSSSGGLIINISATLQYTAAWYQIHVSAAKAGVDSITRSLALEWGTDYDIRVNGIAPGPIQDTPGMRKLAPEEMSKGKRETMPLFKLGEKWDIAMAALYLASDAGKYVNGAIIIVDGGLWLSRPRHIPKEEVKALSKVVEKKVRASGVGVPSSKL >Sspon.03G0014760-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:47264288:47267005:-1 gene:Sspon.03G0014760-1A transcript:Sspon.03G0014760-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLWDWFYGVLASLGLWQKEAKILFLGLDNAGKTTLLHMLKDERLVQHQPTQHPTSEELSIGKIKFKAFDLGGHQIARRVWKDYYAKVELDLSVLLIRAVWSDLRLARFVRHFGPLIENNVDAVVYLVDAYDKERFAESKKELDALLSDDSLANVPFLILGNKIDIPYAASEEELRYHLGLSNFTTGKGKVNLGDSNVRPLEVFMCSVVRKMGYGDGFKWVSQYIK >Sspon.05G0015580-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:60128276:60140022:1 gene:Sspon.05G0015580-1A transcript:Sspon.05G0015580-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vacuolar protein sorting-associated protein 54, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G19490) UniProtKB/Swiss-Prot;Acc:F4JT76] MASRPPLRTTSVASVFSSTDSPTSTGPPGGVPQSITALLNNPLPSAAASSYSWLPWPPPTITLPDAAPPPPSHPCEVTRADFAPYLAAVSDPFARFADIRLHASAELAASSDAEGAPAASSGLAACLREVPALFFKEDFALEDGPTFQAACPLDDDALQERLGQHLDVVEAHLVREIALRSESFYEAQGRLRGLDGEIVTAVGRIRELREVVRVLTGDLVGAARQVQELNATRGNLVALQQKLTVILYVSQALTALKLLVAAADCAGALDVIDDLQNLLDTDELAGLYCFRHIRDQLGTSLDSVNSILSAEFVHAAVPDAKAVDAMILSTVKRKASSPLNGTDHEGNVDEEESFILRDRLLPLIICLLRMDKLPAVLRIYRDTLITVMKASIKATVAELLPVLTARPIDSDSVTGDRATDSDAGGQSLANKLRSLSSEGFVQLLSAIFRIVQVHLQQAAEVKRIVEWIMGNLDGTLSVDSSNPTLQHGGSVVSDTQENDSSRGSNTLTRSTSKIPFVQGKTNDFSIINSIKNVRADVLRENTEAVFAACDAAHGRWAKLLGVRAALHPRLRLQEFLIIYNITEEFIAATEKIGGRLGYNIRGILQQQSKQFVDYQHNVRMTKIKAVLDQETWVAVDVPEEFQAIVLSLSSTYSSVNGLEMPSIDDNSKLSDHRPTSQELTYSAENNADNGKVTSTGESKVESTSQTENNVAGNLKSTLQTIVHGGVGYHMMLSEYVDISKCLPSLSLEVVQRVVEILKLFNTRTCQLVLGAGAMQVSGLKSITSKHLALASQIISFIYSLIPDIRRVLFLKIPEARKQLLMSELDRVAQDYKIHRDEIHSKLVQIMRERLLANLRKLPQIVEGWNGPEDNDVQPSQFAKAVTKEVTYLHRILSQTLLEVDVQIIFRQVVQIFHSHITEAFSKLEVSTQAKNRLCRDVQHILACIRKLPAENFSSETIPNYGLLDEFLAENFGTKVGE >Sspon.04G0018500-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:66506404:66532033:-1 gene:Sspon.04G0018500-1A transcript:Sspon.04G0018500-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVHDGDGGGEVTAPPQHLVFAYYITGHGFGHATRALEVVRHLVAAGHDVHVVTAAPEFVFTTEIASPSLHIRKVLLDCGAVQADALTVDRLASLEKYHQTAVVPRESILKTEAEWLNSIKADLVVSDVVPMACRAAADAGIRSVCVTNFSWDFIYAEYVVAAGHHHRSIVWQIAEDYSHCEFLLRLPGYCPMPAFRDVIDVPLVVRRLHKSRSELSGARRLRDAIVLGYQLQRAPGRDVGIPDWYSLSEKEISARPMPTSHDMNGSAESSFEDFEILHGDMQGLTDTMSFFKSLSGLEEIYVARAPGRLDVMGGIADYSGSLVLQMPIRDACHVAVQRSDPTKQKQWKHTQARQLANGGAVPVLQIVSFGSELSNRAPTFDMDLSDFMDGDKPISYDKAKEYFSRDPSQKWAAYVAGTIFVLMTELGVRFTDSMSILVSSSVPEGKGVSSSASVEVASMSAIAAAYGLNIAPRDLALLCQKVENRVVGAPCGVMDQMTSACGEANKLLAMVCQPAEVKELVSIPTHIRFWGLDSGIRHSVGGTDYGSVRVGTYMGRKMIKCAASDLLSELLPSCTSMQSGDSNPDEYEEHGVDLLKSEASMEYLCNLPPHRYEAVYAKDIPETITGDVFLEKYGDHNDAVTEVDRKRSYCVKAPTRHPIYENSRVEAFKALLTASKTDEQLSALGELMFQCHYSYNACGLGSDGTDRLVNLVQEMRHRKTSRTGGPSLFGAKITGGGSGGSVCVIGKNCLKSSEEILEIQKRYKAATGYLPIVFEGSSPGAGKFGYLKIRRRSTSPSN >Sspon.01G0008600-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:29121977:29123645:1 gene:Sspon.01G0008600-1P transcript:Sspon.01G0008600-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding FDKIIKKEIPSTVVYEDEKVLAFRDVNPQAPTHILIIPKVKDGLTGLSKAEERHVEILGYLLYVAKVVAKQEGLEDGYRVVINDGPSGCQSVYHIHVHLLGGRQMNWPPG >Sspon.03G0044220-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3C:90827500:90834735:1 gene:Sspon.03G0044220-1C transcript:Sspon.03G0044220-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTQGRLVHDLPEGGAPYFDSWGRISEAQHYVAPPPYTEAELMQLSQGGVPLSGGPNYRDVSMAILGDSFRQEFEVPHYLQKAGYPCQWRLTARKKASDGKWRISELVQPHLCLDNKGKEYHPQLTARYLARRILGLVDKDNDVSVSYLQQSIYELVKYHVKYGKAWRAKQIALAIRWGSWEEAYNRVPRIQSAMNYYNHGMKWFVDIGEMFLQNSGRHVLHRVFWSFAQTEHAFQFCRPVVLVDGTFLTEKYRGTLMMAAAVDPEDQIKMSRSLRKLAQKLSCMDTPWEPPANHPRSQSTALASLRTHAGSSDPVTGSTSGARTPPHRPDKAPVEVEDNEDDDLESSDEDEAPGFGIPQHSHPTQQGPSHLVPYQQGSIHLAPFQQGNFQNVPFQQSLYQHSPYQPSSYQHTPYQHVPCQPSPYQHTPYQHVPSQHTLVDPSQHQEIDMSQLFDAPFGTQGDEQGPTYVQGTSHAQGQGRQHRHRDHTDVGYTPNVLRLPHSNLLLTAAVTVAVAAEKEGGRGGAAPKRRMTTAQEEKRIKDVLRLPLLDLRSGNAAELAALTSWPAPACQRCQLSSIAAPPRGERQSSNAAPSRGERPKIKRSHLLLHNLMTLMYLEELEDGTGLAHIRISAAASIAQWDAVNILTLVLPS >Sspon.04G0005590-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:15459655:15467262:-1 gene:Sspon.04G0005590-3C transcript:Sspon.04G0005590-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCFVVDGAHVAVKKMKKKYYSFEECMSLREVKSLRRMNHPNIVKLKEECNLYQLMKDRVKPFSESEVRNWCFQIFQALAYMHQRGYFHRDLKPDMWAMGAIMAELLTLHPLFPGTSEADEIHKICNVIGSPDEQSWPQGLSLAEAMKYQFPQIKGSQLSEVMTTASSEAVDLISSLCSWDPSKRPKATEVLQHTFFQLEQKEFQRTVLLEDSQLELYQR >Sspon.08G0002640-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:5718226:5721395:1 gene:Sspon.08G0002640-2C transcript:Sspon.08G0002640-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSASSDDLREHLLDVDGVANGGEAAPKIRVRGLRRRAEATGEEILRGVHLDVPRGVVMGVIGPSGSGKSTLLRALNRLWEPAPGAVLLDGADICAIDVLTLRRKVGMLFQLPAMFDGMSDSDSIRRASIYTSIKLFFFLAAPETRNQSVPSKVLRGKKLTEAEVKNLLSLADLDPALCSKPASELSVGQAQRVALARTLANDPEACARRLSCMLRLPDTVLHHGWLAETEIDRLLQVLLLDEPTSALDPISTQNIEEAIVRLKKTRGLTTVIVSHSVKQIQRIADLVCLVVAGEIVEVLAPSDLSDAKHPMARRFLELSS >Sspon.07G0002880-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:6373991:6376715:-1 gene:Sspon.07G0002880-2B transcript:Sspon.07G0002880-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKPWSSSQGKRRGGGLSRVLREQKARLYIIRRCVCCCSAVALDRFSTLQPETSVQDALHRDQLRMNKPWSSSQGKRRGGGLSRVLREQKARLYIIRRCVVMLLCYHD >Sspon.04G0000920-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:4533268:4534363:1 gene:Sspon.04G0000920-4D transcript:Sspon.04G0000920-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LTSRVGGTDGVDGARPLPDDLLSATLARTGPREACRAAAVSPAFRAAADADVVWSSFLPRDLPPVADGELEFPADPQSTKKQLFMQLSDPGSPVLLADGLMSMWLDRATGAKCYMLSARKLGIAWGDTPQYWRWIPINLYRFSEAAELQYVWWLQIRGNIDSRMLSQHTTYSAYIVFKVADGRRGLHFPCLETSVSLEGSSSSRSTRRVCLDNGHDRADTWPSLHGDNTQDTLFPRERGDGWIEVEVGEFRSGEGDDGQVSISLKETSVAKSGLVVLGIEIRPKEQ >Sspon.07G0032200-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:47062810:47064171:-1 gene:Sspon.07G0032200-1C transcript:Sspon.07G0032200-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DMAHSPSGSHAAPPSIGDEEAFTYAAAEDGGDSKLSALLFGTTTSPAVRFRSHPHPFCVCLPLGSKRLFGLDWWLLMRNFQIRASFEADVSQQVQGGLQSMLKLSRFPINLILAKVIRLGPPDAVVPCRASCDDGGSEIARCDGEIDAEMERARDAVAEKGRALHDERERVQKAALAALDILSGGRGAI >Sspon.02G0056430-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:26291892:26293740:-1 gene:Sspon.02G0056430-1D transcript:Sspon.02G0056430-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLHTDLKMRRFDRVIFNFPHAGFKGKEDQPHMINSHRKLVKDFFRSASLLVRPDGEVHVSHKTKNPYRKWNLEELASEFALFLVEQVDFRIQDYPGYNNKRGDGLQCDQPFLLGKCSTFKFKIGDIKNTKRVHKLGPTAYSGNSRNAHFSDHPIVQLYLYSFQKCLRLMFHFTCLACLCPQNSSSMKLFHGIVQQKQVASPSQSGSAILQAPFLGVILGISFLGLGGALGEAVQLQYSHVVAKRHRLTAVKSPCGVPEDLPEG >Sspon.02G0013260-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:35502436:35506348:-1 gene:Sspon.02G0013260-1A transcript:Sspon.02G0013260-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to Heat stress transcription factor Spl7 (Heat shock transcription factor) (Heat shock factor RHSF10) [Source: Projected from Oryza sativa (Os09g0456800)] MEEAVAVAVAVAASSKSSGGRGGGGGGPAPFLTKTHQMVEERATDEVISWAEQGRSFVVWKPVELARDLLPLHFKHCNFSSFVRQLNTYVSTPLALLACLLASICSSALDAYVDGDHPSMQGFRKVVPDRWEFANDNFRRGEQGLLSGIRRRKSTTPQSSNKSVGSGGVNVAFPPPLPPPPPPPASATTSGGNERSSSSASSPPRADQLTSENEQLKKDNRTLSSELAQARRQCEELLGFLSRFLDVRQLDLRLLMQEEGEDVRAAGAAGDDAQQAQRRAAVANQLLERGGGGEEGKSVKLFGVLLKDAAARKRGRCEEAVASERPIKMIRVGEPWLGVPWSGPGRCGGEN >Sspon.06G0030200-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:42542724:42544853:-1 gene:Sspon.06G0030200-1C transcript:Sspon.06G0030200-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MENESDHPQHEHGMADQASRPFTSLLLSAPAVAQAAQQDTSSSDDKSPSLLHGSSLLPPDDGYIWKACAQNIIPGCGHPTMYYKCAQENCDANKLVVCSADGHQVLETVLSGCHNHPRQQDCDLPVPKVSEAETSMPGSGEGDDEKLSSSNDGDQDDDDQARAKEEEIIGDDSVTESIHFSSAGRKRRSKSKVWEEFSAVLRGGKIRSAECKHCKRCLSGTSTGRTTHLRRHLKICPARSATGRMQQQRSSPHPGPTVENTWKFDQDTSLELLIKVLVSNLFSSPLTSSTTFRQFWAGICPTNDVVPQRAIEDKFLSIFQNQKLKLQEEIALAPGGVFLTAASASSSLETKNFIFLMVHFIDKEWNLNRKVIRCCFTGCEDFDAEYYVSMFPNLQSYHNFFNGNVREAEEEIVKEVVQNWKLEWKLLGISSSKSLGDAAVPALEKNLTEQNYLLAK >Sspon.03G0021650-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:88448076:88450891:-1 gene:Sspon.03G0021650-2B transcript:Sspon.03G0021650-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DAPPPPQPHPQRAPSPPPSPQPPAPSRRYGVHFSASSFIQAPLTALLEYSGILRPDPGGGTQQPGTGAGPGEVSIRIVAPGEAGTSSERAEEAIVEEEEEDGHATRTRPEEPTPAAGGGEGGRESSSSYQRYDIQQVARWVEQILPFSLLLLVVFIRQHLQGVFVTIWIAAVMFKSNDILRKQTALKVERKIPVLVGITILFVVHVSGFYWCYKNGDLIRPLMMLPPKEIPPFWHAIFIILVNGTMVRQTAMVVKCLLLMYYKNSRGRSYRRQVQMLTIVEYFLLLYRALLPTPVWYRFFLNKEYGSLFSSLTTGLYLTFKLTSVVEKVQSFLTALRALSHKDFHYGSHATSEQVVAAGDLCAICQEKMHVPILLRCKHIFCEDCVSEWYERERTCPLCRALVKPADLRSFG >Sspon.03G0007000-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:19089306:19089929:-1 gene:Sspon.03G0007000-1A transcript:Sspon.03G0007000-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATEISRTYDGHFVVSFDDDAIHTTLTNSGNVVDSWLDEIRRVHRRRLNRLVVGIDVEWRPSTSAHDVPPVALLQICVGRRCLVFKILHADYIPESLSDFLADERFTFVGVGIRDDAAKLQSGYGLKVGRKVDLRTLAATTLQKPALRGAGLQTLVFEVMGAKMEKPHHVRVSAWDARKLTYDQLKYACVDAFASFEVGRRLYDGDY >Sspon.01G0055080-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1C:72846792:72848821:-1 gene:Sspon.01G0055080-1C transcript:Sspon.01G0055080-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTPPPAPSRLLLAAPLLAILALAAVAANANAATPPSPADVLLAWKSSLGDPAALSTWTNATQVSICTTWLGVACDGAGRVVSLRLRGLGLTGGLDALDPAAFPSLTSLDLKDNNLAGAIPASFSQLRALGTLDLGSNGLNGTIPPQLGDLTGLVELRLYNNNLVGDIPYQLSRLPKIVQLDLGFNYLTSAPFSAMPTLEFLSLSLNYLNGSFPEFVLRSGNVTYLDLSQNGFSGPIPDALPERLPNLQWLNLSANAFSGRIPASLAKLTRLRDLHLGGNNLTGGVPDFLGSMSQLRVLELASNPLGGPLPPVLGRLKMLQRLDVKNASLVSTLPPELGSLSNLDCLDLSFNHLSGGLPASFAGMQRMREFGISSNNLTGEIPGQLFTSWPELILFQAPTNSLTGTIPPEVGKATKLLFLYLFSNNLTGGIPSELGGLVNLAELDLSVNSLSGPIPSSFGNLKQLTRLALFFNELTGKIPPEIGNMTALQILDVNTNDLEGELPSTISLLRNLQYLFSGELPQRLCDGFALNNFTANHNNFSGKLPPCLKNCSELYRVRLEGNHFTGDISEVFGVHPTMDYLDISGNKLTGRLSDDWGQCTKITRLRMDGNSISGAIPAAFGSMASLQLLSLAANNLTGAIPPELGS >Sspon.05G0021020-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:84019356:84021424:1 gene:Sspon.05G0021020-4D transcript:Sspon.05G0021020-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASTMDRITQWVSSQEVVPADLTVRIADSVFPLHKALMVSKCGYIRRAVAAAAPGAAVELDLSALPGGADAFEKAARYCYGGSLDITARDAAPLRCAAAFLDVPDLARRAEDFLTQAALRSLPGAVAVLRSCECDALLPAADELGVAPRAADAVALGVCNEELFPTARPGEPPGWWAAELAALSPASFRRVVTALRCRRAGPEVVAAAAVAYAERVLAGILTPAAAPGPGRRDVVVVRADAEQRALLEAVVDALPPAADAPLPAAFLCRLLHAAVTAEASAKTCRDIELRVAAVLDQATAADLLGVALDGAGERVRNADTVRRVVAAFVERQQRQPQTQEGRRPSLAGGPADELGALEKVAKTVDEVAAEMATEESLPISKFVGVAGAVPRDARPSHDCLYRAVDIYLKTHPGLDEIEREKVCSVMDPLRLSYQARLHASQNKRLPLQAVLSALYYDQLKLRSADGGGAGVEGGGWETQSAAGKARAQARADASLARENEALRSELARMRAYVSGMQQHSKGSGSRTSSSLVPAAAGKKASFLGSVSRTLSRLNPFKGGWAKDTASIADGRDRSAMHVVKPKRRRFSIG >Sspon.05G0021500-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:81293192:81296830:-1 gene:Sspon.05G0021500-2B transcript:Sspon.05G0021500-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKSKRNRPVTLSKTKKKPGLERKGKVVAEIKDAIDKYSSAYVFTYDNMRNQKLKDLREQLKSSSRIFLAGKKVMQIALGRSPADEAKTGLHKLSKFLQGDSGLFFTNLPRDDVERMFREFEEHDFARTGSTAAEMVELKEGPLEQFTHEMEPFLRKQGLPVRLNKGVVELVADHVVCEEGKPLSPEAAQTLRLLGIQMATFRLYLVCRWSCDDFEVYKEGLMHLGADDSS >Sspon.07G0008640-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:23741967:23753690:-1 gene:Sspon.07G0008640-1A transcript:Sspon.07G0008640-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CDKD [Source:Projected from Arabidopsis thaliana (AT1G66750) UniProtKB/TrEMBL;Acc:A0A178WBE6] MASAGNSGSGDDDAGGKLLADRYQKGEVLGEGTYGVVFKAIDTKTGNTVAVKRIRIGKDKREGVNFTALREIKLLKELKDPNIIELIDCFPYKENLHLVFEFMETDLEALIKDKNIILSPADTKSYVQMMLKGLAFCHKKWVLHRDMKPNNLLIGADGQLKLADFGLARMFGSPGRNFTHQVFARWYRAPELLFGSKQYGSGVDIWAAGCIFAELLTRRAFLQVFARWYRAPELLFGSKQYGSGVDIWAAGCIFAELLTRRAFLQGSSDIDQLGKIFAALGTPKSSQWPDMVYLPDYVEYQYVAAPPLRTLFPMASDDALDLLSKMLTYDPKARISAQQALEHRYFSSLPAPTRPSQLPRPRRKGDQGNNKIPDLNLQDGPVVLSPPRKLRRVTAHEGMEGMHRADKVDEHPSGMRHTDDMPSQSSRIPMSVDVGAVFGTRPAPRPTLNSADKSRLKRKLDMDPEFGYADGGLGFDGGVCVVCRHVVSE >Sspon.01G0012440-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:33388941:33404395:-1 gene:Sspon.01G0012440-1P transcript:Sspon.01G0012440-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVPAAETLDGHKDRFDLGVFVGDLALDEEVTSVRCFIVASDDESLEGLQQELDNCKNDQEVANILANGIKLREYTKGVENNIRQIELDSIQDYIKESENLVSLHDQIRDCDNILSQMETVLTGFQTEIGSISSEIKVLQEKSMDMGLKLKNRKAAESKLSKFVEDIIVPPRMIDIIVDGEVNDEYMKTLETLSKKIKFIDADPMVKSSKALKDVQPEVERLRQKAVSKIFEFVIQKFYALRKPKTNIQILQQSVLLKYKYTIIFLKEHAKEIYAEVRAAYIDTMNKVLSAHFRAYIQALEKLQMDIATSTDLLGKHRLHFSIGKEPLKTRPSVFALGERINILKEIDQPALIPHIAEAKSQKYSYEVLFRSLQKLLIDTATSEYFILLPSRPIQVVDEHFNAVLLNCYDAIGIMLMIRIIHQHQLIMFKRRIPCLDSYLDKVNMSLWPRFKMVFDLHLNSLRNANIKTLWEDDVHPHYVTRRYAEFTASLAHLNVEHGDGQLDLNLERLRMAIEDLLEAGTEGGKAQQHFEEVLKSNIAIYVEELLLEQFSGLIKFVKSRPADETATNSEKASIAEVEPLVKDFASRYKAAIELMHYDVITSFSNFLCGMEILRATLAQLLLYYTRLSECVKRINGGSALNKDLVSISSILFEIKKYSRTF >Sspon.01G0041950-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:59763584:59770185:-1 gene:Sspon.01G0041950-1B transcript:Sspon.01G0041950-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLGLYTFADIAADGVSHLEAADGEELVRVNRAAELALGSRGLKAPGTLFLTTRRVIWVSDGGEGYAVDFVAVSLHAVSRDPEAYPYPCIYTQIETEASLGEESDVSDSETNGELELSKVTEMRIIPSDPGQLDELFEAFCNCAELNPDPSAESDEEHGWVHGDEGYEDMTDGSDTEFSDVNPIGQTGVQDITHAVIEVG >Sspon.04G0034640-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4C:77319913:77320632:-1 gene:Sspon.04G0034640-1C transcript:Sspon.04G0034640-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVEDRSWMYQGWNDNGRHAEAWVRNTDAFLDFAFSGVSNPDAETSRVPCPCTECRNEIRRRRAVLSMHLCKRGFMPGYTRWTEHGESHVPTAGHARNTPGGLGATLADVGDAKHAGSVEEEPTADAEALSAKLRAAQEPLHHRTSLPQLTAVAHLMTVMSRNKMSAASINAFLGLFNDVLPENHKMPKTVAECKSLLSSLKLPHDACVDNCTICNQEGRQSKEELDESEDEEELFARD >Sspon.03G0006590-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:33499969:33509652:-1 gene:Sspon.03G0006590-2C transcript:Sspon.03G0006590-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear pore complex protein NUP85 [Source:Projected from Arabidopsis thaliana (AT4G32910) UniProtKB/Swiss-Prot;Acc:Q8RXH2] MPGMPADGGGAIVPFTGEPGQGAPPPPPVRQIRHGVAPPIFRIYVSWSSGNLLQVACLRPPNSEGGGGTEEVVGSVVEVNLGDGGSGGSEAEEKIDEAEMRRIEYGSVPAFALLQSRKNTLADAATMSHVPSVPDHAEWWQYVLEYSKTIGNLLGNPDPPVFMIEDPKTILKFREKPTSLRAAWELLEIFYVDKHLQGWLPERLVDWLADFDSLLSTMASTVYSKLSNFQKKLINLQIVEDDPDYWNGLSAALSVGWLDIVVNMLRFHGSYQLDQMDSRETENGLVEAVAVLVSTMPRLRPNLPTGKLGQCCKTRPDFIKAWEKWRGQVTKLECSAFWIQCGHQKTRDGLKNLLHIMMGNINELTDATSHWLELFASHFLYIKPFTVGFEGMHHLAQKCIQLKPSSSTNRLTGLILGVLSENTEVVLAECTKNFGPWLVTHGMELLTADNDYADVMLHEERPNLGGISIEELHRLVYAQVLCSHSLTWQIAPTYLSSCLNQGLGLLEILLLKQPIQDNCLVLKTLEICRLYELENVSTIIMKIAGIYHWKHGRKGTGVYWFQQAHDKVRLDRIAQQLFEHIGKSVTDDSFKQWEGLLELLGSDIGNAGGLEFLHRYRDFKRSLQQALDRRCGEAARQAVDFLIQLMKNPSTPQRFWLPLLHDSVELLNSKLSPLLMNVAETTLLLNKLQELSMAKLRPDFSSNHLPSHAMSSVRLALASNLARAILGDP >Sspon.07G0002630-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:6349184:6351403:1 gene:Sspon.07G0002630-1A transcript:Sspon.07G0002630-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKKGGRAKKAAGGGELSRFLESHLLTISDTFQMMAESAPGSLERTEWSEVVKLGDQVSRQATVAGMLWSGDLPDVETLKENIVAYFNILQGFLLVCHGSMVGAGPTLHKSICGSAKNVVDSSFSLFKHAVSAYESRSPDRNTTIPQVTGTVWEACAALKKVPTSNCIAIGRAMTKIGVYLKDVLREMNELPIGDSGVSTAEKSSNCVVDTASCSDRDEISDLDLDDDDDFTEEEAAVAKLVITVASDSLVVVKEAIRFITGLLKSSVNKGGANEDKVEPMEKLLSHCKEIADQVNDLGASVYPPQDSSEMKLAIKRLYNGINGMRKEVGNLGGSPESTFAALEGFEKCLGSLQAKLADDVVNEMENLNISH >Sspon.03G0020160-2D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3D:48367409:48368326:1 gene:Sspon.03G0020160-2D transcript:Sspon.03G0020160-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding KRYKYSEVKKITGSFKDKLGEGGYGTVFKGNLEDGRKVAVKLLKGSKGNGEEFVNEVVSIRRTSHVNIVNLLGFCLHGPKRALIYKCMANGSLEKYIQSEETKRAIGWEKLRKIATGIARGLEYLHRGCNRRIIHFDIKPNNILPDEDFCPKIADFGLAKLCHLKDSAISMAEARGTIGFIAPEVFSWGYGVVSTKSDVYSYGMMLLEMAGGRKNLTEDTENSSQQYFPNWVHDRLVKDLQSHEVTCKTEEIAKQMTLVGLWCIQTTPENRPSMSRVIEMLEKNLDELEMPPKPLLSNPSVPPHFS >Sspon.04G0019300-1P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4A:68764221:68764520:-1 gene:Sspon.04G0019300-1P transcript:Sspon.04G0019300-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AQAEILATDVHYKEKELERLNHLHGSLYSSANETGAPRNRLNRGLLSGPEDYYYDAKGGRRPYQAGVRIEGQNRLMILRSAIVLYVLLLHVVVFIKISV >Sspon.07G0024960-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:35263945:35267226:-1 gene:Sspon.07G0024960-1P transcript:Sspon.07G0024960-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSRNPDALAAPALTPAPAAVALEAQAQNPSIAPGDPPSPEMETTAEALTREEVLRRRRRRAARLAGVYCRLYWAMAEEVRAQHRQYVWDLARSPLEAEQPPAPPGGPIPAAGEPPRPAPVPRRKKCGFTGCKVRAMATTRFCHSHILSDPKQLLYKPCAYITKSALQGGLVTCGRPIIKSAAPSLCNIHLQRCQKSIAQAYRRVGFNPPLTGKVTPKFSVLVAECYFLELERLAAKDARSDVGGF >Sspon.02G0031100-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:107078185:107083498:-1 gene:Sspon.02G0031100-3C transcript:Sspon.02G0031100-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATPASGGGGGGAYSCETAERTREWMEALAAFLRLHRPLLEAHVVNFFKDRLWGLVDAGWMECLRQEPVESLLKLPSGCVQEHWPATLREFVLTARSLVIPREQKAPQSLVSDIHVAPIGTVLAQGMNLKKKHEIENLAAVVHAIAKRCGAKTVVDVGSGQGYLAQSLSFEYQLPVVAIDASSHHASVTMARAERIKKHYAAKCVEKQLLTVPRTVTCHVLSSDTLAAVTLDACKDDHGEHVRETEASTKKITQIQELTQGSPPLILAGLHACGDLSVNMLRLVFVKVFVSCEQVKALVSVGCCYNLLSEDSNEDTDTCPGFPMSKAAKLSELVLGKSIRDLACQSAERWRNLTMDIALQNFDVHAFRAAFQMVLEKHFPEVSRLSPSIGRQGKALRRQRLRKVVESQMTTEKIDDFSSSTLKEQNKNTNDVDSVIYGIDTGPDDIHHNACQKFTLFKDFTLSGLGRLGCGSVEDSHLLEIWKDVQPFSEYIGPFWCLRAALGPLVETYILLDRLLYLQERSDLVEALLFPLFDPTISPRNMAVIAWKLSANSSEA >Sspon.05G0025470-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:20340737:20344736:1 gene:Sspon.05G0025470-2C transcript:Sspon.05G0025470-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GREMPGGASLLNSGGMADSGGGLTVPVVVTCLMAASGGLIFGYDIERSGGVSEMEAFLKKFFPGLLKRTTARGANKDVYCIYNNQALTAFTSSLYAFGMVGTLLASRVTRRLGRQAVMLIGGSLFLAGALVNAAAANLAMLIVGRMLLGLGLGFSGQATPVYLAEVSPPRWRGGFISAFPLFISIGYLVANLINYGTSRIPDWGWRLSLGLAAVPAAVMVVGAAFIPDTPSSLVLRGKHDDARAALQRVRGKGVDIGAEFADILAAAESDRRNEEGAFRRILRREYRPYLVMAVAFPVFLNLTGVAVTAFFSPILFRTVGFESDAALMGAVILGLMNIGGILASGFAMDRYGRKKSQVAMASIIGSQLGNGSKMAKGYAVTVLVVTLVFSASFSWSWGALYWTIPGEIYPVEVRSAGQGAAVALNLGLNFLQAQFFLAMLCCFKYGTFLFYASWLVVMTAFAVAFVPETKGVPLESMAHVFARHWYWGRFVKGHQKFGEE >Sspon.08G0000430-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:233492:235501:-1 gene:Sspon.08G0000430-3C transcript:Sspon.08G0000430-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADVHEPLVRRKRKKVLVDYFVQFRWILVIFVVLPISSLIYFNIFLGDMWSAMKSEKKRQKQHDENVQKVVKRLKQRNPKKDGLVCTARKPWIAVGMRNVDYKRARHFEVDLSSFRNILEIDKERMVAKVEPLVNMGQITRATCPMNLALAVVAELDDLTVGGLINGYGIEGSSHLYGLFSDTVVAMEVVLADGRVVRATKDNEYSDLFFGIPWSQGTLGFLVSAEIKLIPIKEYMKLTYIPVKGSLKEIAQAYADSFAPRDGDPAKVPDFVEGMVYTESEGVMMTGVYASKEEAKKKGNKINCVGWWFKPWFYQHAQTALKRGEFVEYIPTREYYHRHTRCLYWEGKLILPFGDQFWFRFLLGWLMPPKVSLLKATQGEAIRNYYHDNHVIQDMLVPLYKVGDALEFVHREMEVYPLWLCPHRLYKLPVKTMVYPEPGFEHQHRQGDTSYAQMFTDVGVYYAPAAVLRGEEFNGAEAVHRLEQWLIENHSYQPQYAVSELNEKDFWRMFDASHYEHCRHKYGAVGTFMSVYYKSKKGRKTEKEVQEAEAAILEPAYADEA >Sspon.04G0006460-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:18494426:18502446:-1 gene:Sspon.04G0006460-1A transcript:Sspon.04G0006460-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRVEVEDDGTEEDSLRPDMSQQIRLLTVGECHCEDEARKVVEKMVNQVHVVGEGLIGRALISGEYQWISDDIPFSLSQISDADNLGLCQTIAVVPMPAFGVAQFGSMQKVSESLEFLDQVKGATFLMESLSWPPSSKDAQKDAFMYNPQFQLDSPSTTEGLVRIKAGPENSRLENAISVDSLKNFAITSNNHSLHCFNGYTSNKSFSGLNPHIVAMPVNSKSISTLKVFQSDSNLRHNNISESAQQFKSAKQPGSSWASAATSFSNLTNLQRIEHGLSCTPNKLRYCLQSEKSSSFLDSHSSIVSTDAEQKSTLFDNDAPFVQSDVIQEVGTAGSTRACELHELPNEIWGETTTGAMKPVIKGVNKNNGFLESTAFDPVMNDWWDDTALLAGNTSHLNATAMNSVSGQASSDPLSVEERGLFSESFFEELLGFDSNVGPVMDSTEPLAGFVSGCHLPRYSLQDSFSVCKAQVPPLILPSSSCTSENVPIGSSKETPMSLQNLSMDDCGSLNTANSKVSQVKKPEGEKVVKKRARPGESTRPRPKDRQQIQERVKELREIVPNSAKCSIDALLDRTIKHMLFLQSVTKYAEKIKQADEPKMISKDSGAVLNDNSNGVVLKDDPSGGCNGGATWAYEVAGQTMVCPIIVEDLAPPGQMLVEMLCEERGFFLEIADTIRGFGLTILKGLMELRDGKIMARFLVEANKNVTRMDIFLSLVQLLQQNSLNRSSDQLTKVMNNGIPSFAEHQQPPVSIPVGLAGR >Sspon.02G0019100-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:61833318:61833746:1 gene:Sspon.02G0019100-1A transcript:Sspon.02G0019100-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MELPRKAMASTLVVVWLLLVQSCKQSLALPFDASREYISESVRASSDRATSSRCWMHVHAGLQVPCALGADDCWVLDRDRYRYCFRTAKCRAACAEDHFVDGRCKKGFPYLLPLCECLRPQCAAQPQPERPAMRSSDRSRHV >Sspon.02G0006700-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:20142757:20145489:-1 gene:Sspon.02G0006700-1A transcript:Sspon.02G0006700-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWSAAAVSRFAATSPAAAPISVRLGAAASRSSLSFSREHRRFVAASLSTSATAVKEAVQTEKAPAALGPYSQAIKANNLVFVSGVLGLNPETGKFVSENVEEQTEQVMKNMGEILKASGASYSSVVKTTIMLADLQDFKKVNEVYGKYIAFLLQISRRLRLHVQPIKWQRYR >Sspon.03G0011700-4D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3D:36345708:36355860:-1 gene:Sspon.03G0011700-4D transcript:Sspon.03G0011700-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQMLLQRAAQQQQQQQQQQRRDGSLLNGTASGFSGNDPLIRQNPTNANSMAAKMYEERLKLPPQRDSLDEASIKLQQRYGENVGQVIDPNQALMKAAATGQSSGQILHGTASGLSGTPQQVQARSPQPPTAEQNIRTEINTVLTPRAAGTEGSLIGLQGPNQAGNNLTLKGWPLTGMAQWRWRVQQRARGHGTASFSLRGGCKEGLDHFRSGILQQKPFMQSPQQFQQLQFLTPQQQQQLLLHAQQNLSSSMSNDVDTRRLRMLLNNRNVVLGQDGQTNSGGDIIPNIGSPGQSGGSRNDIDILIKQQQSHSQQQQLQQPALSGQQSQSSNQLLHQHGKSGVGSLPVDGNLPNSFGFPEQGSKKRKKPVSSSGRANSSGTANTAGPSPSSAPSTPSTHTPGDAMSMPQLQYNGGPSKPLMMFGSDGNGSLTSPANPLMTWILEKQWGAAWILVKGWVLLRLQKPVQVVLWFTDVLKRKSALEEHSSLITDVRFSPGMTRLATSSFDKTVRVWDADNPDYSLRTFTGHSASVMSLDFHPTKKTSFVRVIVMV >Sspon.06G0019790-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:5023630:5026297:1 gene:Sspon.06G0019790-1B transcript:Sspon.06G0019790-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAACGGGGRGRSPLSPLLGCLLVFSLLCTVCRAQDPGQLQPLPALEVATYNYTSFQEGNSREQRDLAFSREARIYQGAIQVSPDTGNVGSYRDIMVNKSGSVLLQHRFTMWRHLDVDGGSNGNGSASPRVQVVSFNSTFSMNVFHLPDSSPRPGEGLTFVVAPSRDEPPPGSYGGYLGLTNATLEANPTPARNRFVAIEFDTTKQDYDPSDNHVGLNVGSVVSVKTANLTAFRIATDSASPRNYTAWVEYDGAARHVSVYMAVRGEPKPASPVLDAPLDLSEHVPEKAYIGFTASTGTDFELNCILDWALSIEVIPEKKSTTWVIIVAVVVPVTVVAVGVAAFFLGRKLRARRSMERRQERLEQQLSNLPGMPRGFAYDKLKKATRNFDDRLRLGKGGYGMVYRGVIPADEARPEGMDVAVKRFIRDDGKDVTDFLQEVDIINRLRHKNIVPLIGMRYAIVGDVAAGLHYVHHEYTRMVLHRDVKASNVLLDVSFRARLGDFGLARVLDDDREAFTDLQVAGTRGFIAPEYSVGHKASRETDVFAFGALVLEVVTGQLALRPSDPRCPLLSDWVWQMHGRGALLGAVDQSLGADEFDHDEAARLLLLALACSSPNPGDRPTMPQVLQVLSKAAPPPEVPLFKPRFVWPPEGGANFQLSDVEVTTSGTGTDDGVSTRAMQSTSYDSFQPHTATNSSD >Sspon.02G0008960-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:20501502:20510415:1 gene:Sspon.02G0008960-4D transcript:Sspon.02G0008960-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKQDVAIETAEAEAAAKAPYWDPPPAPLLDTSELKKWSLYRALIAEFIATLIFLYVSIATVIGYKNAEACKGVGALGVAWSFGATIFILVYCTGGISGGHINPAVTFGLFVGRKLSLVRTLLYIVAQCLGAICGAGIVKGIMKQPYNTLGGGANSVSDGFSLGGALAAEIVGTFILVYTVFSATDPKRTARDSFIPVRRAPRLLDLRACSCYKCNVLIRGRACVQVLVPLPIGFAVFVVHLATIPITGTGINPARSLGAAVVHAAWKDHWIFWVGPLIGATVAALYHKLVLRGQAVKALGSFRSTSATDVAIETAEAEAAAKAPYWDPPPAPLLDTSELKKWSLYRALIAEFIATLIFLYVSIATVIGYKNAEACKGVGALGVAWSFGATIFILVYCTGGISGGHINPAVTFGLFVGRKLSLVRTLLYIVAQCLGAICGAGIVKGIMKQPYNTLGGGANSVSDGFSLGGALAAEIVGTFILVYTVFSATDPKRTARDSFIPVRRAPRLLDLRACSCYKCNVLIRGRACVQVLVPLPIGFAVFVVHLATIPITGTGINPARSLGAAVVHAAWKDHWIFWVGPLIGATVAALYHKLVLRGQAVKALGSFRSTSATV >Sspon.07G0013740-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:49623925:49626653:-1 gene:Sspon.07G0013740-1A transcript:Sspon.07G0013740-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEYDLGSQFFQSSREVQFHKVWKPPHEQIFESSAAFQGDLGGFGCLLLPDIGNVDSDPEEFARTLCDDLNITDPEVGPAIAVSIREQLYEIASQSVSVMREKQMSKKGRRAPEFSSNSKAVNNAVDLFKYFGSKGSVIRKRKEWYLYEPVVDVVATEEDGKEEVNNSSRPKKRAEEEKVASLQSL >Sspon.03G0014390-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:45435972:45440600:1 gene:Sspon.03G0014390-1A transcript:Sspon.03G0014390-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGALTDSRKRLSADHCLSADHRLPYPSFPPPSLTPPSKRAKLAPFPELDPSTSAVPPIRPSPQIIHSAAAASASSTPGPSSSCITTASTPLQHRRRLPPPPPFQRPIHAPQRNLRVFRLGVPHGPTPPATRGSPRHHPRLVAESKAVPREVVTVEEDEDERKQQDEEEVRGSVVVRGKPVYKELYEESRRKRDAKLKTLEFEVRLAEEGCLGFERLAEALLRITPEKKEEVPEPFVPLTDEDEEMVRQALHGGNRWDCGMFMLKYIDFYSRDMDLTFGQKQMHYFRRRIAKEILSLRAE >Sspon.03G0015840-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3A:50370306:50371726:1 gene:Sspon.03G0015840-1A transcript:Sspon.03G0015840-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MYHPQCEILMAHETPDLDAAGQPHLAVSGVTSIPAELSFHLLHSLDAAAAVNNSVTPQSTIDYFLGVGGADPHQPPALQYEPLPPPGHHQHTMNMLRDYCNGGGAGHYTTAEPYLRGTRTGVLVFGAADDDDSAAAYMPGGPFVETSPPPRATGGRKRGRALGGGFHAGLANGVEKKEKQRRQRLTEKYTALMHLIPNVTKPDRATVISDAIEYIQELGRTVEELTLLVEKKRRRRELQGDVVDAAPAVVVVATGGEAESSEGEVAPPPPPAAVQRQPIRSTYIQRRSKDTSVDVRIVEEDVNIKLTKRRRDGCLAAASRALDDLRLDLVHLSGGKIGDCHIYMFNTKIHKGSSVFASAVASRLMEVVDEY >Sspon.02G0008960-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:28292445:28293946:-1 gene:Sspon.02G0008960-3C transcript:Sspon.02G0008960-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKQDVAIETAEAEAKAPYWDPPPAPLLDTSELKKWSLYRALIAEFIATLIFLYVSIATVIGYKNAEACKGVGALGVAWSFGATIFILVYCTGGISGGHINPAVTFGLFVGRKLSLVRTLLYIVAQCLGAICGAGIVKGIMKQPYNTLGGGANSVSDGFSLGGALAAEIVGTFILVYTVFSATDPKRTARDSFIPVRRAPRLLDLRACSCYKCNVLIRGRACVQVLVPLPIGFAVFVVHLATIPITGTGINPARSLGAAVVHAAWKDHWIFWVGPLIGATVAALYHKLVLRGQAVKALGSFRSTSATV >Sspon.01G0041120-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:42204324:42205920:-1 gene:Sspon.01G0041120-3D transcript:Sspon.01G0041120-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNAVAVEPAPLVRARACTAAASFPPPRRRRAAGAIRASPASGRTEGAGELPAPLLPNARRRGRDPLWHGGGFSLGVDLGDARTGLAIGRGITLPRPLTVLKLRGQKLELTLLDVARQQEADELIIGLPVSADGRETPQSNKVRSVVGRLAVQAAQSRGLRVYLQDEYGTSTDALDYMISRGVKKSARDTKSDAYAAVMILKRYFMSSGQGAKIVLPRQAELQDKLLVQSRQDAEI >Sspon.02G0017590-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:51497470:51502786:1 gene:Sspon.02G0017590-1A transcript:Sspon.02G0017590-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable plastid-lipid-associated protein 12, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G51110) UniProtKB/Swiss-Prot;Acc:Q8LAP6] MQEVESAVQALEALGGIPDPTSSSLIEGSWQLIFTTRPGTASPIQRTFVGVDSFRVFQEVYLRTDDPRVVNVVRFSESVGDLKVEAEATIKDGKRILFRFDRAAFAFKFLPFKVPYPVPFRLLGDEAKGWLDTTYLSHTGNIRISRGNKGTTFVLQKSADARQILLSAISARTGVEEVINDFISSQNGTKTDLSILVGEWQLLWSSQTEGESWSSVASAGLKDFQIIKEDGKLKNSASPFPGFTLNATGNICKKGSGNTFTVSMEEGAVQVGGLQFPLDAQGEFVMEILYIDNKIRISRLNQHMLVHLRIANTT >Sspon.08G0016100-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:63759839:63762256:1 gene:Sspon.08G0016100-1A transcript:Sspon.08G0016100-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hypersensitive-induced response protein 4 [Source:Projected from Arabidopsis thaliana (AT5G51570) UniProtKB/Swiss-Prot;Acc:Q9FHM7] MVNAFFLFCGCVDQASVAVVEKWGRFLRLADPGLHFFNPFAGECVAGALTTRVQSLDVRVETKTKDNVFVQLICTIQYRVVKENADDAFYELQNPQQQIQAYVFDVVRAIVPRINLDDLFEQKNDVAKAVLEELEKVMAAYGYSIEHILMVDIIPDAAVRKAMNEINAAQRLQLASVYKGEAEKILMVKKAEAEAEAKYLSGVGIAKQRQAITDGLRENILNFSHSVSGTSAKEVMDLIMVTQYFDTIKELGDGSKNTTIFIPHGPGHVKDISEQIRDGMMQASSSNV >Sspon.03G0011200-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3A:30417130:30418356:1 gene:Sspon.03G0011200-1A transcript:Sspon.03G0011200-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSKESAYQAWLGYYNSNKAISRDKARLVRLAEEFSQSMGLAVPPAIPKFILRKMGLSNVPGLRSS >Sspon.02G0011090-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:6359324:6365047:1 gene:Sspon.02G0011090-2P transcript:Sspon.02G0011090-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPDDEPSAQRKAVDLHLPRDTEPASAPAFTRRAAPRVFSYGDDPVASASPSAQRCASFPQRHGHGHGHGETALATAASFAAWVDGTSVSASPSAVAAALERAMSQYGGAGAALPEFVGAGGGEGIFRVPLRAAMHPARPPALEVRPHPLRETQVGAFLRTLACDPRRRQLWAGAESGVRVWDLHEAFRGWRPGSGPRRRGDEEAAPFRESVPVPPVLCAAVDGANGLVWTGHRDGRIRAWRMDHAAPSPVGGGPAGGAPMFMEALSWQAYSRTPVLAIVVTSYGNKLCLLDHLVVLCSVDCEIWSGSEGGVIKAWPWDAIAKSLSFTSGEDHMAASLVEKSYIDLRNSATVGNMCSLPAADVKHMLADRCRAKVWSLTSMTFALWDAKTRELLKVFGIDGQVDLARPEAPVMPEQFIEEEIKVKPTKKEKPQGSFSFFQKSRNALMGAADAVRRVATKGTLVEDNRRTEAVAQAMNGTIWSGCTDGSIIVWDGNGNRLQEFHYHSSSVQCIKTLGERVWVGYASGTIQVMDVEGNLLAGWIGHSCPVINMAIGGSYIFSLAHHGGIRGWPLSSPSPLDDILRYELASRELSYTRLENLKILVGTWNVAQEKASPEALRSWLGGAFFDVGLVVIGLQEVEMGAGVLAIAAAKESVGLEGSANGQWWIDSIGKTLDEGISFHRVGSRQLAGLLIAAWASNDLKSHVGDVDAAAVPCGFGRAIGNKGGVGLRIRVFDRRICFVNNHFAAHQENVSRRNADFDHIYRTMTFNKPHGSTASATSVQLHKAVSANENQADEDRPELAEADMVVFLGDFNYRLNGITYDEARDMVSQRSFDWLRERDQLRAEMKAGNVFQGMREGPIKFPPTYKFQRHQLGLSGYDSGEKKRIPAWCDRILYRDSRSVSIAECSLECPVVAAITAYEACMDVTDSDHKPVRCTFSVDIARVDELIRRQEFGKIIESNKK >Sspon.01G0015320-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:44460896:44462529:-1 gene:Sspon.01G0015320-1A transcript:Sspon.01G0015320-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding FTDGNGEVELRLDIQKLGIESSRDVFVDVDDTSLLIRAKSDGTLRTLMNVQTLFDRIKSSETIWFIDEDQLVVNLKKVEQELKWPDIDESWESLTSGITQLLTGISVHIVGDSTHINEAVAKEIAEGIGYLPVCTSELLESATQKSIDTWVASEGADSVAEAESVVLESLSSHVRTVVATLGGKQGAASRFDRWQYLHSGFTVWLSVSDASDEAAAREEARRSVSSGSVSYAKADVVVKLGGWDPEYTRAVAQGCLVALKQLTLADKKLAEEPLHQARLQRRLAQHRASRLGP >Sspon.04G0016850-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4B:65144973:65146888:1 gene:Sspon.04G0016850-2B transcript:Sspon.04G0016850-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLLLPPSACTTAATAPASLAFSRPRPSRARWSSLSFAFPRLRKYGRRHREPVAAPSLDGDDETEEAEFWESDEGEEELEVDEEEFLATRPKPAGFGEGKTYSTDIEEQLLREMGFGGGGARRRGEAAPANRRAGDSSTKVTAADVSDDGVQVRVWNLPKKKNIHKDLKQAFKGFRGLLSIDPAVSANKKTRDPICKGFAYLKLESAEAATR >Sspon.01G0003630-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:8053621:8057120:1 gene:Sspon.01G0003630-1P transcript:Sspon.01G0003630-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MANQQYRSSIAMDRELFRTVRQAALNHARLLYHRLVSRLPHLLAVTFLVAAAQLVPLPPSPSAPLPPVGALLREAAARARPDAGLVAAALAGVAACACAYAALRPRPVYLVDLAAYRPGPAHQATRAESVRHFALAGRFTDESVAFQTRMLERAGVGDATHFPASILAVPVDMSLRAAREESEAVVFGVVDDLLRTTAVRAADVGVVIVNSSLFSPTPSFTSLLVNRYGLRHDVVTHNLSGMGCSAGIIAIDLARHLLQVHPDTYALVVSTENITLNAYLGNYRPMLVTNTLFRMGGAAVLLSNRAADRSRAKYRLVHTVRTHRGGASDDSYACVTQEEDGEGNVGVSLSKNLMSVAGDALRSNITTLGPLVLPLSEQLRFLAAALLRRVAGVKPYVPDFKLALEHFCIHAGGRGVLDELERSLGLSAWHMEPSRMTLYRFGNTSSSSLWYELAYCEAKGRINKGDRVWQIAFGSGFKCNSAVWKALRTVDDAGRETNNPWADDIHDLPVHVPKVSPFASSDQQA >Sspon.03G0017270-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:54157465:54159130:1 gene:Sspon.03G0017270-1A transcript:Sspon.03G0017270-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVPAFYRWLAEKYPMVVVDVVEEEPVEIEGVKVPVDTSKPNPNGLEFDNLYLDMNGIIHPCFHPEDRPSPTSFGEVFQCMFDYIDRLFVMVRPRKLLYMAIDGVAPRAKMNQQRSRRFRAAKDAADALQCNHFIAITAKYSYARRLQKKNGY >Sspon.03G0033640-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:53822677:53829770:1 gene:Sspon.03G0033640-1B transcript:Sspon.03G0033640-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHPMEILLALDYVRLSAFAVLLLWVIGEFLKLKKGQHEAGSGVVISAERKGVILLPSHIISVCNASITSIHIGFAVLGVWKHQTISLGFIFDSLSWILATLFSVYCKHKGAGLGSNWPAVLVYWWVFSSLLESLLTSLHFLHLINSATVVNFTSLPFCAVICLCLVAIAMRTSEANQDELNQPLLTREDSGHSSRDRFSSSRWWSQLTFQWLNPVFEKGHQVRLEIEHIPAVPQSETADQSYALLQETMHKQKPEPMSLQKGIICAVWTPLIINAVFAGLNTLASYMGPFFITYLVKLLSDKNPDRGHGHGYMLASLFFVSKTVESLSQRQWYFGARRIGFRVRAALMVSIYKKSLLIKDSTAGTGRIVNFLDVDVEKIGEFFWYIHGIWLLPLQVSLAFVILYHSLGMVASLSAVFATVLIMVSNTPLAKSQKNLNMKIMEAKDSRIKATAEALKSMRILKLHAWETAYLDKLLKLRDVERGLLRRYLYTCSAIAFLFWASPTLVSVVTFGICILVDVPLSAGTVLSALATFRILQDPIYNLPELVSMVTQTKVSLDRIEEFIKEDHHTKPSSYGSRSSTEKQSVAGIVELGAGEYSWEATDNNLKNTKFTLKIDRKVDIMKGHKVAVCGPVGSGKSSLLCAIMDMTVVGERGMNLSGGQKQRIQLSRALYSDADVYLLDDPFSAVDAHTGAHLFKECLMSQMSSKTVIYVTHQLEFLRDADLVLVMKDGRIVQSGKYDNLIADKDGEFSKQMGAHNKSLSQVNPAKVQGLGTNKYKKKQMELTEIEPDHTVLGRKSEEERESGRVKWGIYRKFVTSAYRGALIPVILACQVLFQALQICSNYWIAWASERKELVSREKMIGIFVLLSAGSSVFILGRAFVLSAIAIETAQQLFLGMIKNIFRAPINFFDSTPSSRILNRASTDQSTVDIDIPYRLAGLIFALIQLLSIIFIMSQIAWPILFLFIVIISISTCYQFSERASEVGLAGLAATYGLNLNVLQAWVIWNLCDVENKMISVERIMQFSNMPSESPLVVEDNRPMERWPWYGTIQIDGLQIKYDLDMPMVLKGISCTFPGERKIGVVGRTGSGKSTLIQALFRIVEPSAGRILIDGVDISLLGLHDLRSRLSIIPQEPTLFQGTVRSNLDPLQQHTDAEIWEVASKCCLEEIIREDSRLLDAPVTEDGGNWSGGQRQLVCLARVLLMKRKILVLDEATASVDTVTDNIIQRTIRQETKTCTVITIAHRIPTVIDSDLVLVLGEGRILEYDSPNNLLRDESSAFSKLVMEFVGRTDNWTAYDGKSTSFGIGALKPMHVLRLNS >Sspon.05G0014020-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:5A:50554572:50556107:1 gene:Sspon.05G0014020-1A transcript:Sspon.05G0014020-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKELKVLHALDAAKTQWYHFTAVVIAGMGFFTDAYDLFSISLVTKLLGRIYYFDPSSRTPGSLPPNVSAAVNGVAFCGTLAGQLFFGWLGDKMGRKKVYGMTLMLMVVCCLASGLSFGSTPKGVMATLCLFRFWLGFGIGGDYPLSATIMSEYANKRTRGAFIAAVFAMQGFGNLTGGIVAIIISAAFKSRFDAPAYKDDPAGSTVPQADYAWRIVLMFGAIPALLTYYWRMKMPETARYTALVAKNAKQAASDMTQVLNVEIVEDPEHAEELARREQFGLFSRQFARRHGLHLLGTTVCWFLLDIAFYSQNLFQKDIYTAVNWLPKADTMNALDEMFKISRAQTLVALCGTIPGYWFTVFFIDIIGRFIIQLGGFFFMTVFMLGLAIPYHHWTTPGHHIGFVVMYAFTFFFANFGPNSTTFIVPAEIFPARLRSTCHGISAAAGKAGAIIGSFGFLYAAQSTDPNKTDAGYPPGIGVRNSLFVLAGCNVAGFFFTFLVPESKGKSLEELS >Sspon.04G0033840-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4C:66673064:66680754:1 gene:Sspon.04G0033840-1C transcript:Sspon.04G0033840-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLSNVEKGGETIFPNAEGKLLQPKDDTWSDCARNGYAVKPVKGDALLFFSLHPDATTDSESLHGSCPVIEGQKWSATKWIHVRSFDLPVKQPGSSDGCEDDNVLCPQWAAVGECAKNPNYMVGTKEAPGFCRKSCKVCAE >Sspon.04G0008670-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:25810956:25812125:-1 gene:Sspon.04G0008670-3C transcript:Sspon.04G0008670-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding HGTETRRATDGARRRRPGARPLGHHGGRAELRVRVGPVLQQVRVLRDGRGLLRRRVPVGPLRRAGDQQRVRGQHRHAGLLRRAPRAGRRLVRGQRVLHPRRLPRRRRLLPGVRPHRHRRRLQARDRRLLRQRQPRDHRVLLHQRDRRAEQELLRPEQHAVAVPGGERVLRARPAADLVELQLRARGAEHRLRRAGRPRRGGAQRPRRVPVGALVLDEQRARGLRLRAGLRRHHPGHQRRARVRRQEPGFRQQPRRLLQAVLPGFRRRPGQQPHML >Sspon.02G0007680-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:25770511:25773779:1 gene:Sspon.02G0007680-3C transcript:Sspon.02G0007680-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSVPSPPSSSPRAAAAPGPCCRFDALRRACAGHAAVAARAAGWALGALLTCVFAVVGSLVGIFIGAFMGMSTESGMFRGAGVGAVSGAVFSIEAVESCIEIWRSSHSGKYSILFVLDIISSLFSGRIVWEKVSPALQRAVQSQMSLMSTPFIDNNDLFETGSTGGMSRDLIDRIPKMRFSAASNCDQETDSSCCSVCLQDFGAQQFVRALPQCQHIFHVRCIDNWLFRHASCPLCRAGVHIDHIHIKQGFSITTRCH >Sspon.02G0028850-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:115562877:115569286:-1 gene:Sspon.02G0028850-3C transcript:Sspon.02G0028850-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKPALFMAAIRPAAAGSVVADALLAFLAAAALCSGSSSATGDEAELIVSTGSMGIITRGRVLVPAMYVFGDSLVDAGNNDFLPAPAPRAFPPNGVDLPRSILWRTGRRRFTNGYNLADIIAAQHVGFSESPPAYLSLTPLSSRQLDLLRGRVGSNYASAGSGILDATGNGTITLREQVELFAKTKATILRAGLVGRESLDGLLSRSLFVISAGGNDFPAFVHSGLPMSRAPEFMAGLVADYLRYINALYKLGARRLVLLGIAPVGCLPRQRATTADGECDDDQNYLSELFNSLLRAEMAKVVAASMPAMRYSIANLYNVFSDIIDNPSLAGLREVKTGCCGSGKFNGEVDCSVDTNLCVDRDEYVFWNMVHGTQAAYRWAFLAFFYGTTREAEPINLHQLLQEQYSM >Sspon.02G0031150-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:113717740:113719390:-1 gene:Sspon.02G0031150-1T transcript:Sspon.02G0031150-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGVASLVAEFVAYAAVGCLYIYNSSGRQEKFITAGYLKEKVISAGFLVLLRFVFLLSSFPNSDLRTSLIFAVNIVAISSYCIWKLYVLYPSLRRWFDALDADVVAWCHCHAHRNGKKKHCFGSTIRPCFTSKSDEIQAMTQEFGSMIGRGGSAQVFRGNLNDGTPVAVKRINIHGGRTVAGEEDFRRELSIIANVHHRSLVRLLGYCLQRGGGLYLVYPFFENGSLDRWIFHGSDEQRRLLTWPKRFCIAVDVARALAYLHNECRWRILHLDVKPGNILLDGDLRAHVSDFGISLSITRGLNSVVDTEHLKGTFGYMAPEMLYNAVSDKSDVFSYGMTLLELVGGRRNIPHAGLLPVHRAGEGGAGAVLGAVDAAMASVEDDEEAVKMVLKVALCCIQNQREMRPSMQTVVDMLEGRVAVDLPPESR >Sspon.02G0033940-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2B:9683579:9684340:-1 gene:Sspon.02G0033940-1B transcript:Sspon.02G0033940-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLGEELERLRANLAAAKNRLASTAAEIPLLKSQIESTNGAIATRQEAAVRKKAAAEDLRRHVDGACAELRRLRAEVAASRGAKDALEQRVLVRRQAARALQLAERAIAAEAHALAWSEAAASELTARARGDGDGDVDDEEDPHYDVVALPARKLEELRRLVEAEERKAEARVEEAEAARRALKARRAAAVARLDAARAKRRVAAEAVLRRRANGDDGRGTRARSALVPKSRSGRSCFEVKKLRRFLCNLTKD >Sspon.03G0014920-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:70510241:70513212:1 gene:Sspon.03G0014920-2B transcript:Sspon.03G0014920-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSGGAAGAAATGGKGAAVPLRLHHYLVMAGVAAAVVLACLRYAPDAAGYGLLAVAPPVEAAAVAVAAHAGAARDDPPPARPSSSSVIIFNFGDSNSDTGGMAAVNGMNLNLPEGRTFFRRPTGRLSDGRLVIDFICESLHTPHLSPYLKALGADFSNGVNFAIGGSTATPGGSPFSLDVQLHQWLYFRARSMEMINLGQRPPIDREGFRKAIYTIDIGQNDVSAYMHLPYDQVLAKIPGFVAQIKYTIETLYSHGARKFWIHGTGALGCLPQKLAFPRDDDTDLDAHGCLNTYNAAAKRFNALLSDACAQLRRRMVDAALVFVDMYTIKYDLVANHTVHGIEKPLMACCGYGGPPYNYNHFKACMSAEMQLCDVGTRFISWDGVHFTEAANAIVAAKVLTGDYSTPRVTIARLVNSTLPNDD >Sspon.01G0014080-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:40309255:40313112:1 gene:Sspon.01G0014080-2C transcript:Sspon.01G0014080-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRRAEVAVVGAGAAGLVAARELLREGHAVAVFEKSGRVGGTWAYDPRADADPLGRDPGAPGAVHSSMYASLRTNLPREIMGFSGFPLEGRVFAGDPRTFPGHREMLAFLDAFAVDSGVAAHVRLGAEVQRVRPLCRRQAEQWTVAWRGEDGGVTEEAFDAVVVCSGEANAQPQLSHPTTISRSGCPHQFQSDTLSLQSVVIVGLGASGIDIASEISHVAKELHIAARYSEDRLGKIELFNNAWMHGEIECIQDDGQVCFAEGSSVAADTILYCTGYRYHFPFLDLDGFTVDDNRVGPLYKHVFPPKYAPNLSFVGLPYKSIIFQSLELESKWVAALLSGRATLPSEEDMMADVQEDYQRMEDAGKSKRHTHTLWPRWVEYLNWLADQVGEPHVEPRRAEMYEKALRN >Sspon.07G0038280-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:75731936:75737827:1 gene:Sspon.07G0038280-1D transcript:Sspon.07G0038280-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RLQLEDGAVAASVVNGPSAAEVEFEAQLDDAVQVLRHRGQTSLADLALILVRLQLRTAVWFLGDQVRRVMEVEGDPALFDEFRHSIRRHILNFQQIGYLDHVVSGYLPLLVLRAAQQHAAGLVLAYDNGGFGAVPASAAAAVHSLEKQTFHAVGGSEDDGVTKCTICFEEFVDGVQVCDHRRPQSTHATPSPLAFSTMADVESLELEAGAVAASVVNGPSAADGGLEAQLDEAVQVLLHRGQKSLAELALGLVRFRLQLDTAMCLLGDGVRSVLVVEGDPALFDEFRHSIRGHILNLQEAGNNEAFPLMVLEAAHQYAARLVLGYDEATQHQPYGNGGFVGVVPASAAAVRSLEKQTFRAAGGSDDGVAECSICLKEFVDGGQVSVMPCPSRGHKFHPDCIAKWLGISNMCPLCRHELPAY >Sspon.05G0030170-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:87565933:87570457:1 gene:Sspon.05G0030170-1B transcript:Sspon.05G0030170-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSARLLPRVTPLLPHRRHNPRPAIPPALAASLARVLAARSTDPTWSRSLAALLPSPLPDARLAAAVSALGDADPDLAVALLSWHRAHHRHGGGGPTPLAHSALLRLLARARRFDAADAALRSMSLAGDTPTRGSLGALAAAYADAGMEAKAVEACARARDLHGALPDAPHCNRLLRLLVERRRWECARRLYDEMLARDREGGADDYSTCVMVRGLCLEGRVEEGRRLIEARWGEGCVPDAVFYNVLIDGYCRRGDVGRGLLLLGEMETKGIMPTVVTYGAIIHWLGRKGDLAKIESLLGEMRARGLSPNVQIYNTLIYALCKCRSASQALAVLNQMVASRFDPDVVTFNTLIAAFCQEGHVEEALKLLREAIRRELEPNQLSYTPLIHGFCIRGEVMVASDLLVEMIGRGHTPDVVTLGALIHGLVVSGQVNEALIVREKMTERQVMPDANIYNVLISGLCKKRMLSAAKNLLQEMLEQKVQPDKFVYTTLIDGFIRSDKLSDARKIFEFMEEKGGCPDIVAYNTMIKGYCKFGMMNEAVMCMSSMRKVGCIPDEFTYTTLIDGYAKKGDIKAALRFLCDMMKRRCKPNVVTYASLICGYCNIGDTDSAQDLFASMQSEGLFPNVVHYTVLIGSLFKKDKVIQAAAYFEHMLLNHCCPNDATMHYLVNGLTNCRYELDSSVPGEQKEVTGWFPEEPEDCGKDGCLASRYPQKRNTKFFRSMTTAYPDVVAWEALKAAVFFEFSLVKTFRMKLS >Sspon.02G0015390-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:41970850:41971586:-1 gene:Sspon.02G0015390-1A transcript:Sspon.02G0015390-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCQAAEVAAVIIQHPGGKVDRLYWSTTAAEVMRNNPGHYVALVILRVPADDNKAAAAGDAAAASAGGGGAKITRVKLLKPKDTLLLGQVYRLITAQEVTKALQARKNEKMQRCEAIRQQHEQLRRGDGADQSSSNQEGKQDKDRHRGRGRHWRPALQSISEAASQSSSSFSESATS >Sspon.04G0007160-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:20385227:20389373:1 gene:Sspon.04G0007160-1T transcript:Sspon.04G0007160-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATSATAVSGVVLPHAFLSHRSPPPQVLSVASSFRRLSLCASPRRTTHIIARADASAEAGEPEPEEPVTASAESEEEVAEGAVAVAEAEEEEAEEPPPPSKPKVKFGEIIGILNKQFIEEAEKVKTVPDLRPGDIIELRMQRPNKRRLSLFKGIIIAKHKAGVHTTIRVRRIIAGVGVEITFPVYSPRIKEITVIRHRKVRRAKLYYLKDKLPRFSTFK >Sspon.07G0024830-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7B:30609995:30611563:1 gene:Sspon.07G0024830-1B transcript:Sspon.07G0024830-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MIHHHRKGEAAAEDPLPLPGEESGGVKMKELRGRLADYACQHRKHGHDALLRMLAGFALVSCLLLLLPGSPVSATMDELLQLGRRARHDDEGPAPPCADVANGTLCCDRTALRTDVCVMRGDVRTQAASHSLFVLLPPNSNSSRPATDERIRPYTRKWESSIMSTIDELRLRSAPEGDATAPGSCDVRHDVPAVVFSTGGYTGNVYHEFNDGIIPLYITTRHYNKKVVFVMLEYHDWWMTKYGHIVEQLSDHPPIDFANDRRTHCFPEAVVGLRIHDELAIDAVRMPANQTIQDFRQMLDDAHRGRIQTIVKEEEEKEAAAARRQQRHRSSKRSRRTKTDEGDKPRLTIISRNGSRAIENEAELVRAAAGAGFRVAVLQPRQDTELAKMYRSLNASDVMVGVHGAAMTHFLFMRPGSVFIQVVPLGTDWAAETYYGEPARRLGLRYMPYKILPSESSIYGQYANDDPVLTDPDTVNAKGWQVTKQVYLDGQKVRLDMARFRRRLREAYGHWAQQRQRHRAGLV >Sspon.07G0015130-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7A:54225480:54225971:1 gene:Sspon.07G0015130-1A transcript:Sspon.07G0015130-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKMEDQDLCEDYSGTLSSDEFGSMNISTYQAMEEQLSMFKDEADRDFSYVQDMLRSVCDLPDHPEDWQVSSDVFLCLENKYGKLVLWSRSDRKLLFDFVNSILADMTIPDNGLHSKIMMKCWPEIDRAQLAENVWQMVQKRSNNGHFFLRMFSLCLWTIVLR >Sspon.07G0017770-4D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7D:78418512:78421716:1 gene:Sspon.07G0017770-4D transcript:Sspon.07G0017770-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLADIPQQQRLIAGVALLVATAIFLKLLLSFRSVGGKKRLPPTIPGAPVVGGLVKFMRGPIPMIREQYARLGSVFTVPIITRKITFLIGPEVSAHFFKGNEAEMSQQEVYKFNVPTFGPGVVFDVDYSVRQEQFRFFTEALRANKLRSYVDQMVAEAEEYFSKWGESGTVDLKYELEHLIILTASRCLLGREVREKLFDDVSALFHDLDNGMQPISVLFPYLPIPAHKRRDRARARLAEIFATIIKSRKASGQSEEDMLQCFIDSKYKNGRPTTEGEVTGLLIAALFAGQHTSSITSTWTGAYMLRFKQYFAEAVEEQKDVMKRHGNKIDHDILAEMDVLYRCIKEALRLHPPLIMLLRQSHSDFTVTTKEGKEYDIPKGHIVATSPSFANRLPHIYKNPDSYDPDRFGPGREEDKAAGAFSYISFGGGRHGCLGEPFAYLQIKAIWTHLLRNFEFELVSPFPENDWNAMVVGIKGEVMVNYKRRKLVVDN >Sspon.01G0020990-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:82130986:82132241:-1 gene:Sspon.01G0020990-2B transcript:Sspon.01G0020990-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDGDGVAPAPPLQAGKPSRFPARFIPVHHRPTHFSLHHSSTTQIEEGNEPRSGTRTKCYDEGGGDARGPGAGRGGRDERRRGVGAAAVCAPAVISGSPPTASCCSNLRAQEPCFCQYARNPAYRSYINSPKARRTLTSCGIAIPNC >Sspon.06G0014080-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:74032367:74043289:1 gene:Sspon.06G0014080-1A transcript:Sspon.06G0014080-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVEEEHDGEEQRRPLLSSAPPAAADQHQQQYQFLGRSSSSVLRGGGGGWEGSEVSADEVRAAASFSAAAGFYTPKAPPPHGDHAYAYPPSIHSAVLSPSPSHAPSSPHRNAVEGLAIVPQGPYPYGGSYQPSETVGRDVLDEVEIRQLLIDHIGHRCCWGSHPARTWKITSIEDCNVYVGTLETFIEERHIITKKEPNESGNIDGREKGPLLGLWELDLRSKFPALFVPEEEVMVKIPHSDIIEKCSDCEGRGEKPCPTCNAGQEHGSYKANQMTRCGACHGRGLLAHQDGSDTICGMCNGKGMLPCIACGSRGLVTCNTCTGYGSLLAQSIAHVQWKTLSARKVSATRGAASVPEEVFHRAKGVQLCNIQAYQCTPAFFADSYPLNQFSSEVIASRLPVPPSARVISERHIISVVPVTRVTMAHRKKAFSFYVVGYSRDVFIRDYPSKFCWGLCCCFEWLGK >Sspon.01G0054890-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1C:70805237:70805788:1 gene:Sspon.01G0054890-1C transcript:Sspon.01G0054890-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPTLTDHEVLARSRRVRSGRGGSEIHEDEAIEQFFGQLWAIDLPPPPGRVRVPSPTTTSDFTTIPVGQLYWVQKDVIKSNHIRREDCFPVRKLDRYKKSSVISALRRIFGAEEARGRLMQLCFRNQWQMEADGCGNQSRGASSSTTTSTTTESG >Sspon.02G0001680-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:5728649:5739506:-1 gene:Sspon.02G0001680-2C transcript:Sspon.02G0001680-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSSASRREAAAARATKSGELPKSAAISWKDVAATARNGELSKAVAGTELSKAVAAVREAADVHHEGWMVRYGRRKIGRSFFHTRYFVLESRLLAYYKKKPKDNMVPLKSLLIDGNCRVEDRGLKNHHGQMIYVLCVYNQKEKEHQITMGAHDIEDALAWKKKIELLIDQQPDSAAKTHKAFATMDFDMELGGQFSLSDRDSAAEDEEERPTLVRRTTIGNGPPDAIHDWTKDADFGMSSQNDPTQLYSKKNWRLLRCQNGKSVFLSLGLRIYEELLEVEYLARSCSRAMRAVGVVEATCEAIFGLMMSMDATRYDFHQGSLVEEVDGHTAVLYHRLQLHWCPRLIWPRDLCYVRYWRRNDDGSYEHPNCSRQRGFVRAFIESNFLGPIISPASTFTSHSMYKQNCQLLFFCFPKISKNSGGGFKICPLKCRNGRPRTQVQHLMQIDLKGWFLNYSTSFQYHSLLQILNCVAALLSHQFISGPYGSNLHLLPGLREYFSQTDDIHITPRIPAMESMADVNTAQKDEKLTEIDSNTKPADQEHVENKNMGTIDEESDDDEEYQVPEADIEMNLQKKLIYLAFLESFIMIRMRKVATVGQYLIASFSKFVARISQMTNQSMLDNIKNLNTLISKLQIPAASYLMELAAIDWYKDTKRMDNVGRQKNCVAQVAAEKGMHTFIVNLQIPGSTHYSMVMYFVTSSLKKGSLLQRFFDGDDDFRNSRLKLIPSVPKVFLHPISMYGVTTVLCPSLHFDLKKEKIKLQGSWIVRQSVGSSPCLLGKALDCSYVRSPGYLEVDVDIGSSAVANGVLGLVFGVVTTLVVDMAFLIQANTYEELPEQVIGAARLSNVEPSTAVVPDLENNSDSNKDNSSNDATSSEDDSSKKTN >Sspon.03G0001190-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3A:3060269:3060613:-1 gene:Sspon.03G0001190-1A transcript:Sspon.03G0001190-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQTPGPRISTARGKSSMPRPHPPPAPAQGPATALGGWEWWPWVVLMPRPQRAGTGKVTRTGRWRMGIFQELTFRLPVKAGAVAAIRDAGEPRGSSKGRRRSPASPRPFFPLSL >Sspon.05G0014210-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5A:51569096:51573291:-1 gene:Sspon.05G0014210-1A transcript:Sspon.05G0014210-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPWSRSVPQDPVINTTRVELKCHTAVHRHRDWLAGDRLHEGSLVIGRHILVALDSCGTVGSLLALAILRGVRVVRLGGKATVLDDELEGVVHHLTTIAALVLIMNVTITSSCSERAPTPAATPALASTSPHAGGHSGTGGHLPPPLFPLWSPSLFPVPLQPWWAPPPTTTPRGLPIRRASGCRGGDLGLQPCQRDLACQGAPFPCNVRVASPPIRTHYHRFRRARARHCCRREAPVTHVAIHVVGVSWLTQGASTRHAGCLLPHRCPLLKYVPHVMLTLHAWTPRWTSMAFTSSRVGIAGAGGRGLRCIGHILFHHSWSDSASTVWPTTMSPRNVAFFSRIAAPATVDSVVVPSAESYLHTPRYRDGRHSTFSGAVRGRGEEALSSLALVALVGGNQPPMSPAEVRNQLESFYRISADSMSFTRLCLWVHRSSSSGSGGAISRWQASAGSLRYKVLLGIKGMPGHTWDVSTGPRQSVIMAIPEPEVPFIVEPIRSELPALWYLEDAPHHGRDTIGLAMVVAEQMPAARRTPALGLVTNPRPPPRHPRHSKFKSSHSLKCCPQDGIQCSKSLTWWAHQHHQSWNLQFNEPQQSSQRCRSNRPRQLLWSLTSMTTRKGPPSHPFIAKKWSLWGPEISVLLNINVRHASRGRQRKSGSMAGPLSCNGLPSSTPEDVGCLDPNDDNEVVGGHPSQPGLADPSSVAGSAIVRPPKIDEALIQGHCNRWEPLPTDLMRSPLGHNAREPSVVVTPLSVPLQSPQEAARASPPRLRVSRVRVKNLVPWHSDRLQAKSLYHDPKPKKQAKLVMLSKWRPSPCESSSPWLELAGDGQRRKRLEHGFTHRRKLFGRIFSFLVFYNSVRSTV >Sspon.06G0019360-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:1456821:1461464:1 gene:Sspon.06G0019360-1B transcript:Sspon.06G0019360-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVDQSHSPRHDPSRGSQAADTEPSLVGDSGSAERWHAEAARAFQHYLDRTAPHTARRWADMLAAAVVYVLLVYVVTYRLGIYPLNLLIGFLSPMVDPEVEELEARPRLPTRGSDEFKPFIYRLPVFKFWGNLYYCSILSKKPQPRGTPHPYIPGLPAVAPQPRLHRAAALSSLLSHPRSGTRGDPVIANPRLQEPVRIVLFSDINADEEPSSAEEIMEIDEHIGYAMSGLIGDARTIVKHARVETQEGDLAMTEISRMCVLGDAKN >Sspon.04G0014150-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:54851828:54854942:-1 gene:Sspon.04G0014150-2B transcript:Sspon.04G0014150-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGRGAMLAVLAVVVLAAVGGAGAEAESREASAKGRYHALFNFGDSLADAGNLIQNGTPEILATARLPYGQTYFGKPTGRCSDGRLVIDHLAQEFGLPLLPPSKAKNASFAHGANFAITGATALDTPYFEAKGLGAVIWNSGALMTQIQWFRDLKPFFCNTTEACKKFFAKALFVVGEFGGNDYNAPLFAGMGIPEAYKFMPDVIQGISDGIEALIAEGAVDMIVPGVMPTGCFPVYLNMLDVSEEGKGSRSGCVRQYNTFSWVHNAHLKAMLEKLRAKHPNVRIIYGDYYTPVIQFMLQPEKFGFAKQLPRACCGAPSTPERAAYNFNVTAKCGEPGATACPDPTTHWSWDGIHLTEAAYRHIAKGWLYGPFADQPIIQSS >Sspon.01G0031090-3D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1D:105904317:105905156:-1 gene:Sspon.01G0031090-3D transcript:Sspon.01G0031090-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAPPPPLLPPAGEPFRVFVGYDPREHEAYEVCRRSLLRRSSIPLDVRPIRQPELRAAGLYTRARGPTESTEFSFTRFLTPYLAGYRGWALFVDCDFLYLADLAELLAAAVPPGSADAADRIAVACVKHEYQPAEATKMDGAIQTVYPRKNWSSMVLYNCAHPKNVAALTPQAVSTQTGAFLHRFAWLDDDEIGELPFAWNFLVGHNRVDPADPATRPRAIHYTSGGPWFERYRDCEFADLWLKEAEELRAEKDKLKLLKDRDDADVKQGEEAAQEKGK >Sspon.02G0017600-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:8300882:8302301:1 gene:Sspon.02G0017600-2B transcript:Sspon.02G0017600-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGGDVVPVSPRTQQPSRGGRRGHRQKAAWLPLDIIIAEIAARSDPATFVRCAATCRDARRCITEDPSLRGRLRIRDTERFVLPLLRGHLAGITTYTGGEKTDVYMVDTTTAADATRLVKATRLVKATFAEAHQTLRSVVSMDSRGGLVLVRATPTTTQNKTHYQRQLLVCNLAARRCLALPPEPAFPYADWSTDWSWSTCGVQYVLLVGGSDGEGAGADAAVGRPFQVLKSKLVLSQYRSYRCLLVHTFSSEHGAWSPLTEIPTPNLHGSSGKRYQRPLVVGDVVHWLCLTDSWSYMLMLHVRAARVNVTALPGSFPPRRQEHPYLLASATAGGNPVVLVADADRISAWEQSKHTKMWKPRPQVVIENETILGFKDDGLAELLEKERRWGKRKHVPELLWFGERSGAVLLKIDVYYGYCLLWLDLHSKKIVRCFSPDRRMQVYCPYEVDLSSWVPTFSNAVTL >Sspon.04G0003390-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:10823435:10827172:1 gene:Sspon.04G0003390-2C transcript:Sspon.04G0003390-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGELLSGWKCELHLEQIELLSTTCVANSYLLWHRACMILVFSRTTARSLHKAIPASHSHSSIFWIKEFFSCKPTFQHLSTNNLMSPNDLLEAPDMVTAEGNHSCSSSVQSTPEIEKKYVHHVYDAIAPHFSATRFAKWPKVAGFLNSLRPGSIVLDAGCGNGKYLGFNPDCLFIGCDISPPLIEICAGRGHEVLVADAVNLPYRDDFGDAAISIAVLHHLSTDDRRRKAIEELIRVVRRGGLVLITVWAREQEDKSLLNKWTPLCEKYNEEWVEQSSPPVRSQSGTLLESIAETDEDTGVMKQTDDRLKKCHDGVEDKIIDCSNSKTDEKEKNQQEYFVPWHLPFHRAEIGAASAALENGFAKKDEKKGTVVYNRYYHVFVEGELQRLVSRIKNAAIVDQFYDKSNWCIVLEKL >Sspon.05G0001410-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:3435296:3440258:-1 gene:Sspon.05G0001410-2D transcript:Sspon.05G0001410-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Actin-related protein 8 [Source:Projected from Arabidopsis thaliana (AT5G56180) UniProtKB/Swiss-Prot;Acc:Q9FKT0] MAMLLRKLWGSVLARAAAPGADPPPGSPRARRAHAQRAEQYQYQYQYHGSLSLGALDAVPTDVLAQILRLLGPLDAARSSAVCRAWRVLASDNGLWAFFLRLGPEPWDLVVFAETHLAAGPSSHPWLYYDSSPQLSFKQIYGLRAVVPGTLIVDGGSGYCKYGWSKYAAPSGRCTTFLEFGNIEAPMYARLRHFFSTIYTRMQIKPSAQPVIVVLPLCHSDDTESARASRKQYKETLYSVLFDMNVPAVCAVDQAVLSLYASKRTSGIVVHIGFNTTSVVPIFQGRVMYEVGVETVGQGALKLTGFLKELMQRRNISCESLYTVRAIKEKLCYVAADYEAELRKDTQASCKVDGEGCFTLSEERFKTPEILFQPHMGGMRAMGLHKAVALCIDHCYTSGTVGDDSWYKTVILAGGSSCLPGLPERLEKELHQLLPPYISEGIRVLPPSFGTDSAWFSAKMISNVSTFTEAWCVKKKQFRQKTRRNGPLFMNSW >Sspon.03G0028590-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3B:9865097:9865411:-1 gene:Sspon.03G0028590-1B transcript:Sspon.03G0028590-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSSSCHLPVLSIICRCRRQAGEPPPWWSHGHAGEQQQHVGLGRELPSRKLRAGRVLHAQPRPRAHVLLLFATTQAVCYCCSPSTGADAAQQWKMARGGRR >Sspon.02G0019780-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:64557598:64563721:-1 gene:Sspon.02G0019780-2B transcript:Sspon.02G0019780-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPPPAAWMPPLARARPLLPLSLPPLLPGGRLRRLQLLRRARGHQLACFDGTSPFHLETGYVEVDEDAGVELFYYFVRSESESESGAASDAPFLFWLTGGDRCSVFSGLAYEIGPIRFVLEPYNGSLPRLRYNQNSWSKVSHILFVDSPVGAGFSFSRDPKGYDVGDISSTCSYWFGDHPEYLANPFYIGGDSYAGKNVPFLGQMISEGIEVGSRLFPNLKGYLVGNAVTGESIDSTSKVPYAHGVGIISDQLYETILGHCHGEDYSNPANKLCAQALDTFNNLINEVQNAQILLDTCVYASPAPNVVSRMDGSDGRRILRAEMGRGMLNHPPARPPFGCVTYGYYLSYFWANDKHTREALGIKKGTVDEWVRCHDGDLPYTEDLKSAIKYHRNLTSRGYRALVYSGDHDLMVPHLGTQAWVRSLNFPIVDKWRAWHLGGQAAGWVHDKLLQQHDVRDNQSTFSSMHIWSVDVIFMLI >Sspon.02G0038780-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:44802019:44802690:1 gene:Sspon.02G0038780-1B transcript:Sspon.02G0038780-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GWRRRPRRCWRPGWGGSRGRRRWPRRCWRRCGRRWPWRWRRWPRCPACCAGCRSSCCASAPAGRRSSSRTSGPTTRPATRMARMTKTGAPTRRRRTPAPPATRTRRIWSGSGSTRAPRTASSPGAAPWSGPGRASRAASPAAPRVAEEASAAPPEERPPPLSGCGAPARPAATRGGPPPAAPMKAAAAATARPRRRTRSSSGGGASTRRSGAGGVPFPSRTRAS >Sspon.07G0015900-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7A:56512399:56513520:1 gene:Sspon.07G0015900-1A transcript:Sspon.07G0015900-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDAAAGNKAYAVAIAIQVIYTGMFVVSKAAFDHGINTFVFTFYRQAAGSLVLLPIALLRHRNDYARSMPSPMLLFKLFICALTGITFTLNLYSVSLKFTSVTVASATDNSLPAVTFFLSLLLSNKSCMMEHVKLKSLSGVAKIAGVALCLAGVLVIALYAGPSMSPVNHHHAFASASHASSAAHVVMRSAWVKWTFLMVLANTAWSLWIVLQHAVELAIFG >Sspon.01G0017790-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:71460759:71462186:1 gene:Sspon.01G0017790-2B transcript:Sspon.01G0017790-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSPVQVVSRRTVKPPPRPRERIPLTTWDLSLLSADYIQKGLLFAPPPCFSTFTHLVDHLQTALADAIATYYPVAGRLAIDQHHDDEGHVVGCSVSVDCAGQGVEVLEAVADGVAVADVVPPDADVPSVVRSLFPLNEAINYDGHELPLFVVQVTQLADGVFVGFVYNHALSDGTAFWNFINAWAEIARARLSSPGGGPRVLASRTPPLFERWSPDGGSGAAAAPVVLPYADLEGLIARPTLPPPPQRDRMLHFSAESLAALKERARQELLAAGDTAGAAAVTSFQALSSLLWRCFVRARRAAPDQEVVFRASANNRGRLRPPLPAEYFGNAINAVSTEAVRASELLGRGHGWAAAAVGRAVAAHTDAGIRALAAAWAAKPGLSAFRLFDPNAMFISSSPRFDMYGCDFGWGKALAVRGGKANKYDGKVSLFPGREGGGSIDAEVVLAPEHMVALEQDDEFWAAVSPDVQPARKY >Sspon.04G0036780-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:48834611:48835116:-1 gene:Sspon.04G0036780-1D transcript:Sspon.04G0036780-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQPAWEKIGVYGGGIIPVIVPCVKRDGVRFTINGHDYFNLVLVTNLAAASSIKSMEVKSSNSRQMLSFRLTDTDGKTIEFTNVVPQGWKFGQRFASKLQFK >Sspon.05G0019880-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:81117813:81119970:1 gene:Sspon.05G0019880-3D transcript:Sspon.05G0019880-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPSHHISSNPFMCLCVYICIVSTQPHPHPSSFRKHSKAKQKPDPLMPSPSTHTSSLSSSTALASCSSALLFRSAMAAAVATETPFHVLAVDDSLPDRKLIERLLKTSSFQVTTVDSGSKALQFLGLHDDHSPVPVHTDQLDVAVNLIITDYCMPGMTGYDLLKKIKESSSLRDIPVVIMSSENIPSRINRCLEEGADEFFLKPVRLSDMNKLKPHILKSRCREHCHQEQHQQSDSNSDECSNPTSNSSCSDSSSSRKRKGADNEEILPQANRSRHS >Sspon.01G0011020-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1B:37295909:37296268:-1 gene:Sspon.01G0011020-2B transcript:Sspon.01G0011020-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALASRAAAAAVACVLLALAASAAGAASHSPAPAPAVDCVSQAASLFDCLDYVQTGSTTRRPSAACCGEVKTAVASPVIVGCLCSLAGSKNLGIPIDMKRVLALPGACGASNAAFSKCNS >Sspon.04G0008420-2D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:5D:25481123:25481527:1 gene:Sspon.04G0008420-2D transcript:Sspon.04G0008420-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGALLDSSWNVSSSSPSGGGVAEYFIDRNPACFAVLLDLLRTGSLHVPPHLPEKLLYREALYYGLLDHVRAARWGAFDGDRLRLAASVPGRAPGDGTAIRAAPDGGCCVAHGGAVRVYNWMLDERRPVSLDHSQ >Sspon.01G0020700-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:74306485:74309550:-1 gene:Sspon.01G0020700-4D transcript:Sspon.01G0020700-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLARYHDSPAGEFDELVVIAGIVWNPPTSCAWAARVLVNSIEACRHGRKEVGLPSHVATFSKTEATSLGDKPLVKPNSFLNMLGIGSTTSKQGNGREIEISETKGSSTRHLCNISLPLTGSHKNHKWMGPAIRMSLPSFSGRTEDNPDLLKYSCQVECRVRPVKPARIWSPRTTEPQDNSDRKINSSGSNVIADLDAQSQSISVLLSRPIFALEFSSLRMHVDAPKIVVPHCKKKKVGYSST >Sspon.03G0018600-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:57545446:57551813:-1 gene:Sspon.03G0018600-1A transcript:Sspon.03G0018600-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEAPPSASSSSSSSAPRPPAAAASGSGGGAAGSAESYIGSLISLTSKSEIRYEGVLYNINTEESSIGLRNVRSFGTEGRKKDGMQIPASDKVYEYILFRGTDIKDLQVKSSPPPPPPPQAASLHNDPAIIQSHYSQPASTSSSLPSAGGAVLPDLSSQAVQYGLQRPTFQSNLPLYQPGNAPWGSSVAPPAGNVPWGSSVVPPAGNASTLSVPSMYWQGYYAPSSGLPPHLQQPPLLQPTPGLSVPQNLQYPGLNPSLPSGPQKLSELQPSLMPPITSQGPSTGILPATTAPASATLLAPESSKPLLPNMGSLFTPPATSLGATFPFPSQPTSVAETSATVSHNLTSFGSNKATALPGSTLAYQTVSQSVSSTIAPSSSAQVEMPVPLLAPSGQLLQNISSVLSSSHSMQAPLQVASKEVKPVEPKAKVAEPLLPDPLLPDPPSRALPENKEPILPLPKQTPQKYNGSGSHNHHNFRGRGRGRGSAFSQSVTAFTEEFDFTAMNEKFNKDEVWGHLGKKSQSRDKDGEVGDDVFDEDLEVEETDNPELAVKPVYVKDDFFDSLSSRTFGRGGPNGRGRPSERRRVDTETFGEFPRHRQPYRGGARGYRGGGRSRGSYYGGRGYGNTGMGGYGNTGTGGYGNTGTGGPGNSVGILMVGWGGVALSWFINGVPTANVIQIR >Sspon.01G0062870-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:107860127:107861665:1 gene:Sspon.01G0062870-1D transcript:Sspon.01G0062870-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSPRSRPVTPRLQCPRRITASHRPAWAPARRRTASTAFQVRPRPPARRREPSRAVAAAVAYKYDRITVNRFSSSMFPPPPPAAPPLAAAAAKPPPAMQTAPIESPHPRASRGPSEFTVFAPEPPHRLLPCPPPATDRHRRPHVARPLQPLPVATESIAATTMSP >Sspon.01G0051600-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:18646804:18649122:1 gene:Sspon.01G0051600-1T transcript:Sspon.01G0051600-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLFGKSTSKQTAKLKSLVKLAVSRLAVARRPRLGRRSIARGDVGQLLSIGHLDRALVRAEQVIEEDNMLEALDVIELYCKILIEQAAQLEKPNEEIKEAAAGLMFASARCGELPELLDARAILADKFGRDFARAAKEGAHGVVDPTLVRKLSGERASLEQKRRLAKEIAAENDILLEFPKSPVEIHQDGRTTSQINSQRASEQAKNAPARIFVEESAVKTDRREVCAVIALQDHHRSSILAGERNSVNGKVNPSLAQLSLDEKVLRESKKYPDARMAAEAAFASAKFAAMAARAAVELSRSESHGKGSRGGGYDYDKEPSVQTSAATEQGTAPPWWRLHKSPSPSPSPSWSDRSTATSVGSDAAHKGKEVVFDQSDEELEDKVTQDLVRPPSQRRPSYRRTASTVGTGVGPWHGDAGSTGAPGNNTPPHARPQHRRHATEFAAGNAHAHALAGGQRGQYVAPPYRRTSTASTGRDSDAARDDGGAYESSSYVHPPYSRMVSALERSNEHIARHEEVRRMGTDARALQERVYGAAPGQGHGPLNPERAISVRTRR >Sspon.03G0031910-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:31934076:31935919:-1 gene:Sspon.03G0031910-1B transcript:Sspon.03G0031910-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVAPAPPVPAPPGRPPLPILSSSLRRGSSSWWFSNGSAHGRDSTTATTSSRATRRRWWSDPDGSQEDYGSSSLEDDYDYDYEDEAAFPGFGGAGELFDEPWFSKVFKTYGFLLPVMLVSMFAATGTKAFLMAMAFPLGQSAISFLLEAVWGRRKGNRDDRWRRRPVQDEEEDYPEDATDFATGGRGSRYGGGGSSYYEGRRGRRSYQSRVSNDFADAASTAVGADDDNTKSSSSGDGWGASKSGGGYGGWDELLDNSAAAAQEAKRNRNSFSDGNTGYSTKSRPSATGEEDADYTAAGSGGRVEQGVGAPMRMRRRRMPRTMGLGSTRYKQAPLLMRLLVAVFPFMGSWFRL >Sspon.03G0034560-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3B:75186446:75187036:1 gene:Sspon.03G0034560-1B transcript:Sspon.03G0034560-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKKVNLRWITKASSRPATYKRHCNSMMKKVDELATLCGAKVGVVLYGENQIKPLSWPNDSMVKDLPKIHQHAKFWQKIQEDTKSGGTSQQLDPKLQWQVSRMENENYKHEIMFLLYEIMDGCRPGLIGTTNKECTSHGEMVERTKKVEELIQQLQLGIWQGKRVALDPPLPLQIALSSTQPSLHPTPSMRYKSH >Sspon.04G0012360-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:37565910:37571040:-1 gene:Sspon.04G0012360-2C transcript:Sspon.04G0012360-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKQGHAKKPLEEVIQAAQKAPPSRDFYGALEAAYKRNGVPALIAEVKKASPSRGVLRENFDPVEIAQAYEKNGAACLSILTDEKYFQGSFENLEKVRTSGVKCPLLCKEFVIDKWQIYNARSKGADAILLIAAVLPDVDITYFLKICAELGMTALIEVHDEREMERVQKINGVKLIGINNRSLETFVVDTSNTKMLLEKHGDSIREKGILVVGESGLFTPDDVAYVQNAGVSAVSSPWFWWGIPGEARMPWTSHCWIVWQRTAALNERTKIV >Sspon.06G0000200-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:14446859:14449276:1 gene:Sspon.06G0000200-1A transcript:Sspon.06G0000200-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRLISPAAACRGCGFVRPGFLAAFSSFHYRTLPSYSPKRTSSVKNCFSNTYNSRKEGSRWIRSEPPLSLNRAKFVGKRTSCSVATEPPPAATEEPDMDAPKEIFLKDYKAPDYYFDTVDLQFQLGEEKTIVTSKIVVSPGVEAGISAPLVLHGRDLKLLSIKVNGTELKVII >Sspon.02G0051000-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:70983413:70985343:1 gene:Sspon.02G0051000-1C transcript:Sspon.02G0051000-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGILQATSSTRVSNLRTPAGKDQEPLTTLGEGSNNLQFNLVSLITKTKLGLSSVHSTLFDGPARYRYWLNRTICFLRLLICLKNISLLRSPIACFTAPCGHPLAPIRITVVAISSWVPPSYATSRHYFSPPRPSPHACTHHAPHRPLCLAAVAIHHRVPLRARLSWPRRAPHHHHPDATR >Sspon.05G0002220-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:6527122:6533664:-1 gene:Sspon.05G0002220-4D transcript:Sspon.05G0002220-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MENQMLLHATLLSTAGTADALKLLTYANLNPVMLIYPLDRLITGCCHRNTVTQRQLSVGELQQSHSSTPLLAVTEGWEKAEAEALAIKTQLDDTLDEKMAMEQRICQLDEALNVAMVERDSLIKETAQMISCEQDKVQKLEENLVEKINIIASLDAENDKLSEILSVKENIISELIESKGVTESKFKDLAVKLESAERSNSSLRYEVCMLQKQLEIRSEERKFSLKSADAAHKQHLENVKKITKLESECQRLRSMVRKRLPGPAAIAKMRSEVETVGSDTGITRTRKLNSVTPQNSCRNEDNVSCSGSWASALLSELEHFKKGKLTAHSSKSTRVSDMSFMDDFAEIEKLASLCNDKHVEPYASMREATESSGKEVVAVDISTGTADQVRQPKIEKAVLKLIELIEGVIQKSSKDCSSTVVLSGGDEENDQGTLSGYVARAFLWNTSELTSVLQNFVFACNELLYGNTDVENFVHDLQLTLDWIINHCFSLRDVSDMKEAIMKHLELNNSDGLEIVAVARHTGIHTADEPRTPENVQMSLLSYSSCIDLKPDVNKQKTGNEVAVSKFHGIEEKASHLRAELNELKESRKIMAHANGKSTMTECITHESIFISGQNKGKQEGVCCPEPKHQPERCSAKEGSKNVAENEDKHLQMELEISTASEKLIECRETIINLGKQLKALASPKDAILFDQVLQTATRSERKPRSQSLSEMLSMEDGGFYYSGSPKTKEIICTEPSASGKRNSPAADEGDDGSAATCSSSYPMPVAAPHVKQACRVNGTCKGEADVKVVTLAVVPRKQKGNGSLLKRILTGRRKEAMAKPQIQ >Sspon.08G0006850-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:21113176:21116063:-1 gene:Sspon.08G0006850-1A transcript:Sspon.08G0006850-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPGEQSAGGGSVARASKLRYPLRSASKGKAAVVSPAADAPPNISAPRRAKPSSDVSKSMCLDLSVKEKSAKPPRRHSIQTKPGASPRPTPSGTVTTPVPTRSDSQGRFDTPTSEASMSMRRRKFSTLSSISYWMTQIRLAEAASKHSVSLGFFRLALESECEPLDRMREELKSYVARHGLATELEDPVKDILQVYDIVEDFEKLKISAEPSQQPKKSDKAACAATNVSPNGNLKPRSLNSDATESKEAGKKESIQKVKPDAKIRGSYNRNPVKNTNAKEVVAKSTGKKTKKEAKGQQEVSNGDSEALSALPNQESVDVVKEITHEDKENMGDIEMAVDVAIAQDI >Sspon.02G0030010-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2B:105683298:105683564:-1 gene:Sspon.02G0030010-2B transcript:Sspon.02G0030010-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding QV >Sspon.01G0003840-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:9031620:9033298:1 gene:Sspon.01G0003840-2B transcript:Sspon.01G0003840-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGKAKKALVCRVAGLVRRRPRSVVAAAAPINKCVSAEFRSPLPPACICICICLHGLPSRRRVPPSCSRKRLAIDACGRRLTATATPRTDMRHARCWPWAVAHPGPGGRRRERPSETRERESPRPATSTESNPPVPRTEIKPPHTSASDQTCHARRHQQRHHHHKILTAPQPPLPSFPWIGRSRSPLPFASLHTRAGLTRGPNRRRGPTGQSSLPLGASYALARRAASASASASACASVSRLSSSGPPLITTTSNSCGKQTRAARHSRASEMRSRVALEPLAEEPGGGEEEAARRRSGLHAALHRWARLLSGAAAGDDARPAADLRVLLSVLACPLSPVPLLPRLPRHVASSAQYIIEQFRATTGCGKLEDGAVKSMYASGRVRLSMLQEPGGGGSGVIGGGGRGHEGSFVLWQLAPSMWLVEMSVAGQSVAAGSDGR >Sspon.03G0021940-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:53103237:53105907:1 gene:Sspon.03G0021940-4D transcript:Sspon.03G0021940-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVEEITEGVKNLAVAGDAAAVSGGEGQRRGGGGSSNRIQVSNTKKPLFFYVNLAKRYMQQHGDVELSALGMAIATVVTVAEILKNNGFAVEKKIRTSTVEINDESRGRPFQKAKIEIILGKSDKFDELMAAAAEERGEVEDGEEQA >Sspon.06G0028110-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:2299308:2310330:1 gene:Sspon.06G0028110-1C transcript:Sspon.06G0028110-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLMLPPFAPAATATATGLGSNCTRSCGNISIPYPFGVEPGCYHAAGFNLTCKQHSHHHHQPPKLFLGDGTVQVLDISVEHSTVRINSPGMQLQYDGISHSPLISHSGPANGTWGLGLAETGPYFLSESASMVEAIGCSIQVNILGGLNNSLVSSCTAICPLIFSKAGGVSGTIGNGSCTGIGCCQASIVVGHSSFTIQIEPIPGPGWYVPASVFIVDQSFFISINSNGERISRSLTTATLDWIISTSTCPTNKKAPECTSANIPTNMFVMVFARIHPEALFANATLAIQAMLLPQMHAQDILDIDECEHKEAYFCYGLCRNFLGSFHCQCPEGTYGNSSIKGGCITIKNSFTGYNSLRAPYYLMD >Sspon.01G0047890-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:108589963:108592678:1 gene:Sspon.01G0047890-2D transcript:Sspon.01G0047890-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFHSLPRRELQALCKSNGIRANMSNAAMAEALQCLPSVDRTDEIGRTAPPPPPPMSAMKEVTNMEEQTHGSLLPHGGRARAKTRMVAAGNTEEDVPVPDQATLQGCQKTAARKAAVPPEAEEAIGEGQEHGCPLPRGGRTRGKTRKAGARKTEEEEVVPAPNTLPGNKRTVAGEAAVASVDAEEAAVGMRTRRSARSKAKIALDQKEEDVQAAARKELKADSSDAAIATAVVSDKTCGDSEEHAVVIVVEEEVTKPQKGQNMTRRAASYNTHEDLQQDQRTAEEVATTKKRTRRSTRSRVAAAARKGQKADSSDTTIGPEVDPKEDEVVPKVEEAAKPQEGKNETRRATVYKAQEEVQPGQVTVTPEAVAAVEAEEVTTAKRRRRRSARSKVRVAARKGQKVDSSDAVNGPTVSDDPKEEQVVEVVEEGPTKPNEEEREQISNVCQFASLPKLEDSPILGVVSKPNAADASDKAAVADEKAIKEDDFTFTGDADLSNLLVNTLDRFGKPMYVSTDKEEKKGSECWWMLL >Sspon.01G0046220-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:96661265:96662657:-1 gene:Sspon.01G0046220-1B transcript:Sspon.01G0046220-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATQQQHANGSPKQARNEPAPPPQPAPFRFEQCRYCDDAHRQADDGSNGAGPAGPLHLSLGPLGSAAERRRCSCGVAPAPAAPATVAVLRGSRYLRPAQELLGEVVRAADLAAGEDGDEDQAAERLDGGGRHRSVIRAAANDGDGDGVQAKLLGLLTELESRRERYFGELGRVVSSFEPALGDGSAAAYTSLMARAMARHFGNLRRAILRRLRLHAVAAARRSLRRGGEEDHDDDDDEEEVTEELVERVARRTKLAAAARAEQASWRPLSGLPDGSVAVLRAWLFDHFLHPYPDDGEKLRLAVTTGLSRRQISNWFINARVRLWKPMIEEMCQDEFSEGSAVSRDDDASASSSS >Sspon.01G0052080-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:19931970:19938157:1 gene:Sspon.01G0052080-1C transcript:Sspon.01G0052080-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPASAHASAASADSQEESAPAPAPAAAAAANEEAQPTAAAVPALYVGDLHEDVAEEHLFDAFSKIGTVTSVRVCRDNATSRSLRYGYVNYFSRADAVMALDKLNHSLVLDKPIRVMWSNRDPDARRSGVGNIFVKNLNNSVDNASLQELFSKFGDVLSCKVAKNEDGTSRGYGFVQFASQESADEAIGNLNGSLFSDRKLHVATFIKKSERSANIDNKFTNLYMKHLDDDITEELVKLKFSQFGSIVSVKIMRRHDGSSLGFGFVSFQNPESAIKAQETMHGMLLGSKALYVARAQKKEERKQYLQRLHEEKRNEIITKSNESNVYIKNIHDEVDDDALRARFAEFGNITSVKVMRDDKGISRGFGFVCYSTPEEAKSAVNSMRGVMFFGKPLYVAIFQRKEERRAKLQQHFAQLARMVGPANSMIPTGYPQVYFAHPNTHIPQGPPRHGFVYPPIGLSHEWRPNMFPSSPNLQQIHSPMMPNSPRHYRSNRGRMGGNMMPLPHAVHAMNYVTHAQPTKEFMSMPRQRFNHPKYFSNDVMANGLAIHQSDPISTMNDSFSSYLASAPPVEQKNLLGNRLYPLVERHQPELASKITGMLLELDNTEVVTLLCSSEMLSAKVDECVQLLQATKPKTEDHEALHPGFMLESPTGFRCLLESGSELRRDYENQRVKSGASGGGEHMEVH >Sspon.02G0013650-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:29545955:29547346:1 gene:Sspon.02G0013650-1P transcript:Sspon.02G0013650-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SDAGRALGNHEHSVIWLSCTSPLWKRLRAVCTNHLFSARALDVTRAAREEGVRQLVACLGRHAGAGETVDVGRAVFSCVLNLVSRALFSEDVVADLSSDRAQELEMLVRDTVEEVTKPNLSDLFPVLARLDLQGRRRRSAEFVARFYDFFDPIIARRIEARGSGEKIDDDFLDVLLQLHSTDQLSLQTIKSFLLDLFAAGTDTNAITVEWTMAELLRHPAVMSKVRAELRDALCSKPHPDESDIGRLPYLRAVVMESMRLHPPSPMLMPHLAMADGAEVGGFAVPAGTKVIINLWAIMRDPASWAEPEAFVPERFVGASDADFRGKDRLEFMPFGAGRRACPGTPMATRVVTLLLASMLHAFEWKLPEGMQPGDVDVRDRFGTSLNMVTPLKAVPVPSHR >Sspon.01G0013050-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1A:35480619:35481506:1 gene:Sspon.01G0013050-1A transcript:Sspon.01G0013050-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MERRLRAIAPKPMPPPPPMMPMGATASSVLWRAHKRGREDHLLLSPPVSKREREATSSSYPYPYHPPPLLPAAGTGVGRRYMPMPEGRLLAGCEERLRRLSLVAGSPAAAAASPWPPAPSSSDATRQVVPVERDLISKLQVPKVIKPRPARPLWTTICIDSSNIAVGGGVAYPETVSVSNKTAREVETELELPGALPAVVSGHHNNRVHLVNDAYKAMVGQPVCPWLDSLPGAGASRRINGVVVLDVRTFGPAPRLPNAGSDAFPCTARITWEHGGGSAIASLTVSCTVEHLIGRS >Sspon.08G0002430-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:5432243:5436343:1 gene:Sspon.08G0002430-3C transcript:Sspon.08G0002430-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSTKPDRPGHAAAAAGSGGKSWREEAVAAGSLRLVDLDRGANGWASPPGDLFHLRARGYFNCGGGKRGKAPSAADWLLRPAGVDWLRSHARLDHVLARDDNRVAAAFRRARLRKDPTAHFLLAVNLQVPGRPDAYSAVFYFAAEAPIPPDSLLGRFIHGDDAYRNARFKIANRIVKGPWLVRATVGNYAACLLGRALTCRYHKGDDYLEIDVDIGSSAIASAILHLALGAVTSVTIDMGFLVESQSEEELPERLFGAVRIAQMEMGAAKYVELPPDEAMPETAGRAGAGFRVSSAKVVNHSRQQDHAGGKVGRSMSCPDRESGGGK >Sspon.07G0027390-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7B:60169014:60169272:1 gene:Sspon.07G0027390-1B transcript:Sspon.07G0027390-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding KNAMIGGALAGATVSAASCSYSSHGGNVDRRQVIKAAITGGAIGTAFEFISHRRHVDQPHQLRTDDATDQTLELTDEPNLLAHTS >Sspon.01G0043840-1P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1B:77653886:77655082:-1 gene:Sspon.01G0043840-1P transcript:Sspon.01G0043840-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMDLDGYDDFDVSFADGSFSDEVDYDDFDVSFADGSFSDEVAPADDYDNIDESFADDSFSDEVAPARRLYDTSFNVLNSSSSSNRSGAAFCRLLSLQILDLSNNKLTGELPDCWWEMQALQFMDLSNNSFSGKIPEAPLTHNCSLESLHLAGNSFTGEFPSVVEGCQQLATVDIGNNRFHGDIPPWIGSRAPALKILRLSSNNFTGQIPPELSQLSQLQLLDLSHNGLTGLIPIELGNLESMKHPKINSSIGSLDGSTYQDRIDIIWKGQELIFQRILELMTGIDLSGNSLSHCIPEELTNLQGLRFLNLSRNNMSCTIPKNIGSLKYLESLDLSWNEISGTIPPGMSSLQSLNTLNLSNNHLSGKIPTGNQLQTLIDPSIYGNNPDLCGPPLNISC >Sspon.06G0013960-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:89997690:90005445:-1 gene:Sspon.06G0013960-2C transcript:Sspon.06G0013960-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:UBP5 [Source:Projected from Arabidopsis thaliana (AT2G40930) UniProtKB/TrEMBL;Acc:A0A178VRF5] MDRGSMSAYGADEHHGNVCFRRWWQSWIDYLIQDLTGATSNGYHHHEFGSKAPRRPGAIDNTDLIDDASFEVSNTEIEIHDNLVEGRDYILLPQQVWEKLHGWYGGGPTLPRKAINTGFSQTDLAIEVYPLRLQLILMSRGERTFIRISKKDTVGQLHKRACMVFDLVPDEVCIWDYYGRTKHSLMDSLDKTLDDSNIQMDQDILVEVTTDANDSLDGLVKRNNFFERESTSLITDAPKSGLPNENFAANSYASRSYNSSLTPSLYLRSTNGDMDNVHGSSGMSTRGSPLGLTGLLNLGNTCYMNSAIQCLVHTPQFTRYFCEDYHREINRQNPLGNVGELALAFGELLRKLWAPGGRAPVSPRPFKTKLSRFASQFNLNRVKHRPYINSGDADGRSDEEVADEYWANHIARNNSIIVDVCQGQYKSTLVCPVCGKVSVTFDPFMYLSLPLQFASTRSVTAVVFSCDGSVPPTPFTVNVPKQGRCRDLLQALGTACSLKNGEKLLIAEIRNHKIYRFIDDPVLQLSTISDDDHLAVYKLPKLEKRVNYIQFVHRHEDLDHGNNNTLTSWKPYGVPLLAQISRNEIVTGSDIHELVHKMLVPMLRNQDSLHLAVQSSVSTRTHSYHTDSSKFQLQLIDDSNTIIEKSNDAIRVPQSSLATVFFINWPKEDLKKIDTHHLEYLPEVFMYAPPAKRTRGEALSLYACLDAFLREEPLVPEDMYCPRCKEQRQASKKLDLWRLPEVLVIHLKRFSFSRSTKQKLETFVNFPIHDLDLTNYIGNKKSSERQIYELYAVSNHYGNMASGHYTAHIKLVDENRWYNFDDSHVASIDEDEVKTAAAYVLFYRRVREQDRARGTSNGSQLYAKRSHGYSHR >Sspon.04G0002900-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4C:9913372:9913905:1 gene:Sspon.04G0002900-2C transcript:Sspon.04G0002900-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPRLQFYLSFMGCFDLLHSAIKINPILLGRNLEDVVKPNITFLQQCGLTASNVPEFAMLICMKPEDVRERMACAEKLGVPHNTGMFKSALWAVCCVGPNSIGAKMDVMKATLGCSEADLALMVCKSPQILRISEGKLSRTVKFLKVDVGLKLQYILHRPAVLGHRLDAKFFGKTLL >Sspon.02G0048220-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:22554781:22562018:1 gene:Sspon.02G0048220-1C transcript:Sspon.02G0048220-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTERNSYHGRRTYRRSTVGSDPRLGTRRSTTFMPRNMVLIGNVACPFPPQLAEPADAVVVEAHRALLAAPEPANQERPATDSKNAPNIAPTAEPVGTCCDTATSSIARPSPTQLERPADAVVVEAHRALLAAPEPATSERPATDNTAPTDEPEETCDAATNRAAAAARWTGDDPQELPQHAEYTGSDFIGAMISDLMLFEMEAQCRPQATPPRPMLGLIGSILESDPSLLEGAAKRRPAPGLDLVLTEIAATWSEPADQHLDVCADEALHCTQRPLQLHGSGANVSAVCISNEALLQAALAGTPAASTSMPSAIFGTHAVIEPTGADAPSMTGPPDPDALNTGTDAPPAAGADTDDGHADADGDMGALFVETLKLPGFTGLCWWNVFAMEIEEHTASLSGENPITRLPPDIIEGILLRLPVSSLLRLRRVCKQWRNMISVPHFIKEHAYRAPKHLLLYLPKLSVSASLHPKTAKPCHATVIDEKWSPSTWDASHMDPDDHLFASCNGLLCFYKTYTLKISNPATGQCLHLLKPYGILLHDFHYLYSFGFHPITGEYKLVHFLREPQRYKSGQPFHFDTIQVYTLGEDKWRAIKAPIPCCMVHLGVVNVDGAMYWLTEDEGTSCGMAVVSFDLREEMFALIQLPALEVKETASCATPKVAYYMTEIDDKVCVVTMSYQSHAPRWRRYNAELSGRTDIWALESDKWFLKYSIQSPSLSRYIPQPCFIHREKIILQDRDSNVWYHDLRGKIVQIEHGEEVKLLHLGDYRFYETQSYFYKETLAPLSIYARAAIVRAPLGPLAPSVASN >Sspon.01G0021950-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:80539504:80544122:1 gene:Sspon.01G0021950-1A transcript:Sspon.01G0021950-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTTATPVAGTVVLSLIRVKQSMLLLVLVTLTSVLLLGPASDHGTAFASPPPDPIQCSSGGGATAGTDCTVSSAYGVFPDRSTCRAAAAAFPSSEDELVRAVARGAASGTKMKAATRYSHSIPQLACPGSGSGRAGETEGGLVISTRRLDRVVSVDAATGYMTVESGVTLRQLVAEAAKAGLALPYAPYWWGLTVGGMLGTGAHGSSLWGNGSAVHEYVVGMRIVTPAPAAEGYAKVRVLTAGDPELDAAKVSLGVLGVISQVTLALQPLFKRSVTFTERDDDDLAEQVAKFGYQHEFADISWFPGHGRAVYRVDDRLPLTAPGDGAMDFIGFRATPTLLIQANRLAEDLFERAGNGSSKCLTSRVTHAALSVAGYGLQRRSGGLFTGYPVVGPQHRMQASGGCVTGHEDALLTACPWDPRVRGGTFFHQTTFSLPLRRAAAFVAEVQRLRDLEPRALCGVELYDGILLRYVKASTAHLGKPAPRGEPSGDMVDFDFTYYRSRDPARARLFEDVLEEIEQMGIFKYGGLPHWGKNRNLAFVGAARKYPGLPQFLRVKDAYDPDGLFSSDWSDMMLGIGGRAPTTDAPGCALEGMCVCSRDEHCAPEQGYVCRPGKVYKDARVCTRVSS >Sspon.02G0012620-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:31653869:31657381:1 gene:Sspon.02G0012620-2B transcript:Sspon.02G0012620-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCSFFAETKLGIASGCEGGGYFGKAGVSIFGTVQRFDALRNTTTKVQSGKAGRSVTKEMGHTSSGSEVPLKYSSGKAFPLGVSQVDDGLNFAIFSQHASSVTLCLKLPERGTQDDVDIVEFALDREKNKTGDIWHVSVEGLPASGVLYGYRINGPQGWQQGHRFDDSIILLDPYAKLVSGRKHFAVEKEKPSQLFGTYDFDSSPFDWGDNYRLPNLAETDLVIYEMNVRAFTADESSRLGPAIRGSYLGVIDKIPHLLELGVNAVELLPVFEFDELELKRFPNPRDHMVNTWGYSTINFFAPMSRYASAGGGPVAASKELKQMVKAFHNAGIEVILDVVYNHTNEADDANPYVTSFRGIDNKVYYMLDLNNNAQLLNFSGC >Sspon.04G0013180-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:46687478:46687896:-1 gene:Sspon.04G0013180-1A transcript:Sspon.04G0013180-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MIIMGEQRNPAIRQVSSSLLALAFASSVLVGLAAGGSFYEECDATWEPRTAGHTTMATGSPSRSSAAPQVRSSGSCVVVVPDECFGSMIRSKRQFVYGTVSTMIQLVPATPPAPSPPTM >Sspon.07G0037760-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:63871361:63876603:1 gene:Sspon.07G0037760-2P transcript:Sspon.07G0037760-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAGFPSRITGISARPDSSSACLIHKVGAPSNSLPGAVAVQVHRHQNKVRNGQAIRCAMATGKEQGAISTASSGDQTKGGLRGKLNKVVLAYSGGLDTSVIVPWLRENYGCEVVCFTADVGQGAIELEGLEKKAKASGACQLVVKDLKEEFVSEYIYPCLRAGAVYERKYLLGTSMARPVIAKAMVDVAKEVGADAVAHGCTGKGNDQVRFELTFYALNPELKVVAPWREWDITGREDAIEYAKKHNVPVPVSKKSIYSRDRNLWHLSHEGDILEDPANEPKEDMYMMSVAPENAPSKPEYLEIGIIAGVPVSINGRDLSPASLLSELNEIGGKHGIGRIDMVENRLVGMKSRGVYETPGGTIMAAAVRELESLTLDRETMQWKDIIALKYAELVYAGRWFDPLRQSFDAFMEKITAMTTGSVTLKLYKGSVNVASRKSPYSLYREDISSFENGEIYNQADAEGFIRLYGLPTRVRAMLEKGI >Sspon.05G0003060-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:9189384:9195356:1 gene:Sspon.05G0003060-1A transcript:Sspon.05G0003060-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSMGKTTGSPQLPPQAASAAQISAVLGNDDILREILLRLDFPPASSAPLPSPNAGSGTPPTPHSSAASSGSTSTPATPGCASYRSHSPRSWPQSSAAEISIWAEALETCPTATMSASSSTLCLMIAPAKQQCADRCTLGEEPRSFHCPRSLPWVIGGDDMAISVATVMRDLWQARVQLQDFQAGDGGEARKSDLIQLPGQWKRCEHAALLAHGKIYLICMAQYILGIDVQSLSLFSIKLPNGVEFEYDANIALSRAEGSGFCLVHVRRFQISAWRYTMDHSSTGNWELIDTIYLRQVFGPNADPTWSSRGADVRVAAVGDNADFVFLRIQKKVFYLHISSRTVEKVYELAQHESLFGISPFMMVWPPTFPTLNEQGARLGQEQVMKAQAHSAAQVLMDSGLAVHRLDDHIAVRPFVVYQVESVELRLKHREIAYFLKENDRRNQNQS >Sspon.04G0005350-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:15159025:15161924:-1 gene:Sspon.04G0005350-3C transcript:Sspon.04G0005350-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable pinoresinol-lariciresinol reductase 3 [Source:Projected from Arabidopsis thaliana (AT4G34540) UniProtKB/Swiss-Prot;Acc:O65679] MSREAARTRSRVLVVGATGRLGGNIARASLAAGHPTFALVRPHHFARPDSPLLEPLVAAGTTLLQGSLEDYSSLLEAVRQVDVVICAVSTKQVLEQKPLIRAIKEAGCVKRFIPAEFGADPTKVQICDMDYGFYEKKVEIRDSIESEGIPHTYICCNFFMRYLLPSLVQPGLDAPPRDEIKIFGEGNTKGVFVKENDVAKFTICTIEDPRTLNKTLYLRPPGNVCSMNELADLWETKIKKSVKRLYVTEEQLLKEIHDAPFPLKMDLIFIYSAFVKGDHTYFEFDLSIEGTQLYPHVNYTSVNEYLDTLV >Sspon.04G0009030-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:26709370:26712652:-1 gene:Sspon.04G0009030-1P transcript:Sspon.04G0009030-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNIFVKKPKITDVDRAILTLKTQRRKLAQFQQQLEKVIEAEKEAARQLVQQKKRDRALIALKKKKAQEELLKQVDTWQMNVEQQLADIELTSKQKAVFESLKAGNAALKSIQNEINIDDVQKLMDDTAEAKAYQDEINAVLGEQLSAEDEEAVMAEFENLEAQLAVESLPDAPVTEVQPAEKRKAPVDTEAAPEDIDEVIELPDVPTKVPERPEAPEKTKVLEEPLPA >Sspon.05G0002510-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:13324135:13328753:-1 gene:Sspon.05G0002510-2P transcript:Sspon.05G0002510-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPDLLFNLRNLFYLGAYQAAINNSDVPGLDADAAAERDAIVFRSYIALGSYQLVISEIDSSAATSLQAVKLLALYLTGDKVSALDLNYVASDLVLIVLCLSGSESLEGAISSLKEWLSDSAIGSNPVLRLIAGIIFMHEQDYNEALKHTHSGGTLDLHALNVQIFIKMHRSDYAEKQLKIMQQIDEDHTLTQLANAWLGIAVGGSKIREAYLIFQDFAEKYPMTGMVLNGKAVCCMHMGSFEEAETLLLEALNKDAKDPETLANLIVCNLHLGKPSSRFLSQLKLSHPDHVLVKNAASSEATFERALQAVA >Sspon.05G0028650-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:70447818:70450414:-1 gene:Sspon.05G0028650-1B transcript:Sspon.05G0028650-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQVVDMTVYNVVLILLLLLVAFSYPSTVHGEASSVLGRKAGGIVDDPAAAANAPDRLRRWPYRYAVIFDAGSTGSRVHVFKFHMSSLKLLLFSHGEIQIFDSVKPGLSSYAGRPQDAANSLLPLLEKATKSIVPSWLTIRTPVKLGATAGLRLIGDQRAEEILQAVRNLVRTKGEFWYNPKWINVLSGTQEGSYLWVALNYLLNRLGGDYSQTIGVIDLGGGSVQMAYAISANAAANAPAVPDGKAPYVVKEYLNRKDYNVYVHSYLRYGAVASRLEILKAKNGPFSFCILRGFSGKYSYHGEEYDATAAPGGAVYDRCRQEIARALKLNAPCKTKNCSFDGVWNGGGGAGQDTIYAASAFYYLAANVGFIDSKAPSAEVTPAMFKAAACKACRLSVREATIAYPNVRSDDMPYTCMDLTYQYTLLVNGFGVHPMRRITLVSKVKRGQYYIGATWPLGSAIEAISPMKQIQYQ >Sspon.06G0020240-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6B:8330271:8332058:1 gene:Sspon.06G0020240-1B transcript:Sspon.06G0020240-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LRGSPASAPIYTAPALICTAPAPICSAHGVCFATTDKHVLAEFLEASIRMPDLSLPPRKRFNFTPAPESDEISSHALVSGNADMVLQFTTSRQLHHTSQLASLLGGDSAASPRGNSAAATATFDPRSPLLQPPCRWFWLAVSMFSIWLSVSYRIAGGCIVVFGDGMLFLERSRSGVLPWLQWSFLKGCRPVDARRMKYKDLVAGNSIREDALKTAVKQVALKRRIIFSGERQLLLPLAHSPPI >Sspon.02G0054970-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:122899769:122900241:1 gene:Sspon.02G0054970-1C transcript:Sspon.02G0054970-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEIMTGKCIAKITANDPALSVAPRRNGRNKLWSTVPEALEDVTALFYDEDRNEIYTGNSHGLVHQDSEDLEL >Sspon.02G0032610-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:86096001:86100456:1 gene:Sspon.02G0032610-3D transcript:Sspon.02G0032610-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMLLRRLLLSLSFSLLLLPASLAFPLCTDARAPVLLNTTLKFCTSPSGSGNSSCCDATADAALSKQFDAMAISDAACAAVVKSILCAKCSPYSADLFNAGPKIRTIPFLCNSTTSATSAQSKETTTQDYCKLVWDTCKDVSITNSPFQPPLQGTAPPPGSPSKLTDAWQSQSAFCSSFGGSPDDQSVCFSGSTVSFNATQPSPSPKGICLERIDNGSYLNMAPHPDGSNRIFLGSQSGKIWLATVPEQGSGGTLQFEEASPFVDLTDQVHFDSAFGLMGMAFHPNFATNGRFFASYNCDKTKSPSCTGRCSCNSDVGCDPSKLGTENGAQPCQYQVVVSEYSAKGSSANVSEATSADPSEVRRIFTMGLPYTSQHGGQVLFGPTDGYLYLMMGDGGGKGDPFNFAQNKKSLLGKIMRLDVDSTPRASEISNKSLWGNYSIPKDNPFADDSELEPEIWALGLRNPWRCSFDSERPSYFYCGDVGQDEYEEVDLISKGGNYGWRALEGPLVYHPQWAPGGNTSLSSINAILPIMGYSHSDVNKNIGSASIMGGYVYRGSTDPCLYGRYLYADLYASAMWTGTETPESSGNYTSTLIPFSCSKDSPIPCDTAAGSPLPSLGYIYSFGEDNNKDIYVLASKGVYRVVRPSLCSYTCPTERPETDNGAAPPGPSSKASMTGLNNQMGMGMLLLSVIMFWVL >Sspon.02G0011290-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:24401752:24405542:-1 gene:Sspon.02G0011290-4D transcript:Sspon.02G0011290-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEELVLDTAIRDWVLIPLSVVMVLIGVLRYFVSKLMRSPATSPSPDPKLVKEGQVVSRARNLRTNAQFIPAKAFKARKVYYTNEENGLLHVPKEEAQKAQAAMFSDPNMAMDMMKKNLSMIVPQTLTFAWVNFFFSGFVAAKIPFPLTQRFRGMLQNGIDLSTVDVSYVSSRSCLILGEENATDDAQKMMQMGGGFGFNPTMSLGAEKDSLDIIQHDWALPKMERHAEDVSTPAAGSHDRVAREQSDAAEAGSDAGFALHEDVAGVAPLGPPRVLDDPVLLPGNAAAVAVRVRLGLAVPDHRDAVVQSCHTKLPASTATDTGCAAAARRRSGSLLAVTFTYPEMLTALSFSFGVWQAPFIPARERNERGARNANP >Sspon.06G0010600-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:45565693:45566592:1 gene:Sspon.06G0010600-2B transcript:Sspon.06G0010600-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding HDEGRRRRHEVLLPSAEGARRRRRHQAHRRRLQEGGGGGAPAPEGRARPPRAPGPRPRRRRRREEAPAGGAGEGGPRVRHGHALRPLPRRHPRPALAPRRSARPRAAAGAPRPLHRRPALPLGGPRL >Sspon.02G0006200-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:19751400:19755423:1 gene:Sspon.02G0006200-1P transcript:Sspon.02G0006200-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAARGSRLLPAPRRGPPPLPRLSPPPIATSNRAGAAVCGNTGNYTANSTYQSNLASLATALSRNASSSRTLFAKGSVGVLPDIAYALALCRGDANATACGSCVTTAFQSAQQLCAFDKDATVYYDGCYLRFSNMDFLDDTTSNDNEMILMNTQNVSSPVKVFDAAVRVLLNATGDYAAANSTRLFATGEEAFDATDPTIYGLTQCTPDMTPADCRRCLGDILGIMPQYLSGRKGGRVLGVRCNFRYEVYPFFTGGPTLRLPAPSSLSPSPAPAPTPVPVNVTPTATPPGRTRNKTGIVLAITLPIVAAVLSISTACLCFFWRRRPAREQTPSDSTNVGDIESIDSLLLDISTLRAATGNFDESNRLGEGGFGAVYKGVLPDGQEIAVKRLSQSSGQGIQELKNELVLVAKLQHKNLVRLVGVCLQEHEKLLVYEYMPNRSIDTILFDPEKNKELDWAKRVKIINGIARGLQYLHEDSQLKIIHRDLKASNVLLDSDYTPKISDFGLARLFGGDQSRDVTSRVVGTYGYMAPEYAMRGHYSVKSDVFSFGILILEILTGRRSSGSFNIEESVDLLSLVWEHWTMGTIVEVMDPSLRGKAPAQQMLKYVHIGLLCIQDNPVDRPMMSTVNVMLSGSTFSLQAPLKPVFFIPKSGYYSTVYSESNPTASQSTANVTSGAISPNEVSITELEPR >Sspon.03G0030660-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3B:22010783:22017528:-1 gene:Sspon.03G0030660-1B transcript:Sspon.03G0030660-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRLSSPSPSYFPTSQGADVTVAGRAALHPLRHASRDPDFGSASAGRGRAPAVRPRAPPTPAAAGHPRAVSHPPPVLPHPPPGARGRFPPALNSSPPAPCPSPPAPWSSRFWTGAAGARPQRPAAVPPPPSPPPFFPSALPVPEPQQAAAAPLLPPATAAPRLPPAPAAPLLPPAAPQPPCQQLLLSGFTPAALAALQPPAATDPSLPASSSRAAPTHHGAPSSFTASQPPAGGAPPAAGPLAPPSAGPGVPWPDLAHAAAPAAGGQTSLWHTPPGLVGWDPAAYSFSTTACPDWIADSGATFHTTSDASLLSSIRPPHPSYPSSIMVANGTCIPVSSVGTASSHGPFHISDVLVAPGMVHNLLSIRRFTTDNSCSVEFDPSGLTVRDLASRAPLLRCNSTGPLYTLRFPASVSPASSSAAFAATPSSTTWHRRLGHPGRDALLQLSRRSDIRCSQAPTITCVMRASWAVTFAFPFSPHPHMPLYYLVVVDDFSHYSWTFPLRAKSEAFPTLSHLFAWVSTQFGLTIKAVQCDNGREFDNSTSRPSSFLPASLPARFWAECLHTSTYLLNRLPSTACPAPTPHHALFGTPPRYDHLRSLGARVTLTPPPPLLISWLPGPPSVSFSDTLRITRVTDALTLPLAVSSSLAMSCLMSRHSLTPPTLHPHLLLTLRRPPSFPLTRRFRHPSPSTLQVLLRRALLVVPPRPCRTHTRTLRCLTRSRQPRSFRPRSLWLRCLPLCLMLPFRSRNRPHRPHLLPGASASSTSAGGSRVRHHPLLDASASSTSVGVSRLRRYRLQRRRRRCMLRRHRNASRRPAGVPPASSSPGPSSHSPDGDEAGVSPAGLTAAACEPGISPVPSSVRAALADPHWRRAMDEEYAALLANQTWDLVPRPPGSNIVTGKWIWTHKRRADGSLERYKARWVLRGFTQRPGIDYAETFSPVVKPATVRTVLSLALERSWPVHQLDVKNAFLHGTLTETVYCSQPAGFVDASRPDFVCRLNKSLYGLKQAPRAWYSRFATFLQTLGFTEAKSDTSLFIYHYGGETAYLLLYVDDIVLTASSESLLHRIIASLQKEFAMKDLGVLHHFLGVTVEPRPSGLFLHQRQFTCDILERAGMTACQSCSTPVDTQGKLSEAGGPMLGPADSTAYRSLAGALQYLTFTRPDITYAVQQLCLYMHAPREPHLAAMKRLLRYLRGTLGYGLLLGRSSTAELVVYTDADWAGCPDTRRSTSGYAVFLGGNLVSWSSKRQPVVSRSSAEAEYRAVANGVAEAAWLRQLLAELHRPLARSTLVYCDNVSAVYLSTNPVQHQRTKHVEIDLHFVRDRVAIGDVRVLHVPTTSQFADIFTKGLPSSTFSEFRSSLNKEIEGRELLKAHLEKKAAAEATVGSSLASSSNSEKTPQVLKGPEQSSVIVNDANINAPLPKAVPVAISDDSNGAVPATATSTMNTKSLLVSPTPSKLEQVLCEEHVSSSSQQINKALLTPSKSPLVDNKANPTPPRSPVPQADTVVKATPAPPKSPLPQIDTVAKAAAPTKSSTSQLDKVANIQAAPKSPAPQADEVASHNSVSRQILSTSISKTREDTVSERASVVSVPGTPTPMSRPTSAPLLQVPRSTMPPTPSVQVSPLLSRSLTVSERPNNEPSPPAPIYVTQTYRNAILGKGHLDTTPASLEQSTSVCPNNAVSQPWSAYAMATSVMAPPFERNDQLPGKQGFMFGPSRAEALDNRHSWKGNSDVNRHMWRDDVPNQQMTNCDVHVHQSKDISYQQLSSSGTEQRRLGGLQSRELQREIPAASFVSRQQYGSVAEEFPHIDIINDLLDEDQSSAHMAVSPLHEYPTFGLPFSSGGNMADSEIASVSSSVRFDLTDPYYDEGYRRAYDDTQNALHRLDAYPNGRLDSTVPQRWPYSHPNVNLANNPN >Sspon.01G0042010-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:22835927:22838026:1 gene:Sspon.01G0042010-2P transcript:Sspon.01G0042010-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLRRILTGGGGLAALRAARAVKETTGIVGLEVVPNAREVLIGLYTRTLKEIEAVPKDEGYRKAVESFTNHRLQICQEEDDWNRIEDRIGCGQVEELIEEAEDELKLIAKMIEWDPWGVPDDYECEVIEDDTPIPKHVPQHRPVALPEEFFKTLDAVKSDPALQGDAPPQVKA >Sspon.07G0018130-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:79886302:79886990:-1 gene:Sspon.07G0018130-2C transcript:Sspon.07G0018130-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSMQLVAIAVVAAVLLAAATTSEAAVTCGQVSSAIGPCLAYARGSGSSPSAACCSGVRSLNSAAQSTADKRAACNCLKSAAGRVSGLNAGNAANIPSKCGVSIPYTISTSIDCSRVN >Sspon.02G0002030-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:6803943:6807972:-1 gene:Sspon.02G0002030-1P transcript:Sspon.02G0002030-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MENTKLSSALFAGTHFDRKRFAADFARFRKGPALPSAAAPSAPSPEKKRRRKSGKAKAKKNKKKRAEDAAAASSDVVEGFSVFKGLADNNAELRSGKVDMNTRKDEDSVAVRQRKEIEREIERAAVLRKRFDIHIAGQNAPAPLESFEELISRYGCDSYLVGNLSKLGFQEPTPIQRQAMPILLSGRECFACAPTGSGKTLAFLFPLLMKIKPGSKGGVKAVILCPTRELAAQTVRECKKLVKGRKYYIKLMTKELSKSGNFKDMHCDILVSTPLRLDHAVKKRELDLSSVEYLVLDESDKLFELGFVEVVDSVVEACSNPSIIRSLFSATLPDSIEALARTIMHDAIRVIVGRKNAASSLIKQKLIFAGTERGKLLALRQSFQESLNPPVLIFVQSKERAKELYKELAFDDVRVDVIHADLNEQQSAAAYIHRIGRCGRAGRSGEAITFFTEEDKPFLRNIANVLASSGCEVPSWIMALPKLKRKKHRVDRDPISTLPDED >Sspon.04G0015810-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4A:58674770:58678802:-1 gene:Sspon.04G0015810-1A transcript:Sspon.04G0015810-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding TDHRLTALFVEADKLEGIDRPMEQIMQWLTAKGESDQGLKFISIVGFGGLGKTTLAIQAYNKVRDHFDCAAFVSVSRNPSIRKVLMDLLKDVGADVDTTDDEGRLINNIRGYLNKKRYLIVIDDLWDVPTWNIIKCAFYQNNCGSRIITTTRKTDVAKACCSSSSDHMYEMKSLGVVDSERLFFKRIFGSEECPPYLKEASNEILRKCGGLPLAIITMSSFLASKAQTLDQWNTLANSICYTLENNHDMEVMRKILSISYVDLPQHLKTCLLYLSIFPEDYTIKRRCLIIRWIAEGFIQEEHGRNVQDVGESYFNELINRRLIQPVYVDSTSGQVESCQVHDMILDLIITKAIEENFVTLLDTQELTSSLQKKIRRLSIQCGDGEPVILLEGSKALSHVRSLTIFGHVKQMPSLLDMNVVRVLDLEGCNGLKDHHLSNIDRLIHLKFLNLRETEIRKLPQEIVKLQYLDTLDIRNTWVRELPSDIVQLGKLTRLFVDLETRLPDGIGDMQNLEELSHINVCIYPKNFSQELARLTKLRELEISWDGDCIKGHGLFAEYSLVHSLWQLAALDLHSLTLHIINNDKTGSFKVEPHFFPTPVPHKLRRLVIGMKLGCILQVPRWMHLLVNLQELSLCVKKMNEVDVMLLEHVPALRSLALHLEENPRYETWFTISGVGRRFKNLK >Sspon.07G0007240-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:19020701:19025408:-1 gene:Sspon.07G0007240-1A transcript:Sspon.07G0007240-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPDASPVELRAEADQFKLPKMRQDIHEPTNRGKGVLSHNISTCRDVSFNLGLNGPMPATIGNLAQLTTLKSPKLNWTILVRILAGCSFTGSIPQELGNLQQLTFLALNSNKFTGTIPPQLGLLSNLFWLDLADNQLTGSIPVSTATTPGLDQLIHTKHFHFNKNQLSGTLTGLFNSNMSLIHVLFDSNRLTGSIPEELGGVSTLQVLRLDRNSLRGAIPTNISNLVNLNELNLASNQLTGSLPDLSSMTKLNVVSISSGQLSGVVPKGLFRLPQLQQVVLSNNAFNGTLEITGNISNQLQTINLMNNGIFAANVTPSYKKTLVLVGNPGCQDPDLKSFCSLKQESMIAYNTSLSKCSSTASCSSDQSLNPANCGCAYPYAGKMVFRAPLFTDLTNSTTFQQLETSLTTQLSLRDDAVFLSGIHFNSDNYLQIQVALFPSTGVSFSVPDLIRIGFDLSNQTYKPPANFGPYYFIADPYALLAGASSSGSKKSQISTGAIAGIAVAGGLLVIALIGMVLFALRQKRRVKEVTGRTDPFASWGVSQKDSGGAPQLKGARLFSLNELKNCTNNFSDTHEIGSGGYGKVYKGTLVDGTRVAIKRAERGSMQGVGEFKNEIELLSRVHHRNLVSLIGFCYEQGEQMLVYEYVSNGTLRENLLVRGTLAIDPNDRDHYGLRRLLDPAIRDNARTAGFRRFVQLAMRCVDESAAARPAMGEVVKEIEAMLQNEVAGADGGATSSAGSSANEFDGAGAGARSHPYSDTEITRGSYGDNASDYMPYFEVKPK >Sspon.06G0009910-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:72694073:72696640:-1 gene:Sspon.06G0009910-1P transcript:Sspon.06G0009910-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKGMPKKPKGGRIAAPALHPYQMVRLRECMRNKSRLRELGLPCDEYDRILAEDVVGHSDKNRSEDVHSNKYQSGYSESEYDPLQDDNDEGGLIEDDNAKCSKEKTRKKTNNQTTSIPPSGFKFQSRKRVFADQTSTRDTRSKKNIAQQDASDNSAIVDNTHMTTWSDAIDRHNQHSHMDNGDDFTQHDDNTLVADVADGITQHGDDNQITNE >Sspon.07G0001470-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:35294586:35302307:1 gene:Sspon.07G0001470-2B transcript:Sspon.07G0001470-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LPPTAAAKPREAFHAAQPPQHPLPPPLTSPALPAPTTASMRQPTSAGGDAGFVRADQIDLKSLDEQLERHLGRPAERGVGPASGTGSRRGESARLGPEELTPLRRCREEWEVDPAKLVIKGVIARGTFGTVHRGVYDGQDVAVKLLDWGEDGHRSEQEIAALRAAFAQEVAVWHKLDHPNVTKVLNGHAYNRKCDVYSFGICLWEIYCCDMPYPDLSFSEVTSAVVRQNLRPEIPRCCPSSLANVMKRCWDANPDKRPEMAEVVSMLEAIDTSKGGGMIPKDQTQGCLSCFRRLALRRLPELSPMAVSSRFPSQPAARGLLRRSPPRILPVERTPRRLALVVPAVCGGPGPGGSPVPRRPPPPADAATVAPTSAPSSAASAIDFLTLCHRLKTTKRKGWINHSIKGPESIADHMYRMALMALIAGDLPAVDRERCIKIAIVHDIAEADEIKELWEEYENNSSIEANLVKDFDKVEMILQALEYEKEHGKVLDEFFLSTAGKFQTEIGKNWAAEVNARRKEGCGKQK >Sspon.05G0008560-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:25640863:25663682:1 gene:Sspon.05G0008560-1A transcript:Sspon.05G0008560-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SRT1 [Source:Projected from Arabidopsis thaliana (AT5G55760) UniProtKB/TrEMBL;Acc:A0A178UR49] MSLGYAEKLSYREDVGTVGMPEIFEPPELVQNKIEELAAMVQKSKHLVVFTGAGISTSSGIPDFRGPKGVWTLQRAGKGVPNASLPFHRAVPSLTHMALVELERAGLLKFVISQNVDSLHLRSGFPREKLAELHGNSFKEICPCCKTEYLRDFEIETIGLKDTPRRCSDKNCGARLKDTVLDWDDALPPEEMNSAKEHCRSADLVLCLGTSLQITPACNMPLMSIKNGGKVAIVNLQATPKDKKASLVIHGLVDKVIAGVMSKLSLRIPPYIRADFVQLTLRHSVKKKCVRWTLRVTSIHGLRAPLPFLQSVKVSFPERPDMKSVVLKEQPFSLQRETSMNKPFFMLLTLNFSDGCSCLSSSIGWPVDFQKQKDSFVRDRALVLRELHSAAEHQSSAGQQEILERESLPRAETSIHGIVTNIVRYDIEDEKLAPPKDDLMNHSHSNLAKRHMEGTDCHSPLPKKLKYFLKDEKLNC >Sspon.07G0001240-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:1680266:1683281:1 gene:Sspon.07G0001240-2C transcript:Sspon.07G0001240-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPKQASAAADDRLSSLPVVLQDEILVRLDLRDAVRTSALSRAWRDLWKSLSVLSLSFPLGTHPSVVDSVLLRYIGPRVSLFDIYVDDASAGRVHDWLLALQELISEISLLGLLKFEILRSSRRFGATVLDEAASSICIAECKIGSLE >Sspon.02G0014150-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:30838458:30841552:-1 gene:Sspon.02G0014150-3D transcript:Sspon.02G0014150-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AMCGGAILSGFIPPSRVAAAAAKKKQQRRVTADLLWPGPGKKGAPQEEEDDFEADFREFERGLSEDDVDGAGEGGDDEVQELPPPEPARFAFAAAAKAPRPAGAQKSTAKPTAANATKLALPPKACADEVFNNLSNDNNDLFAMFAFNDNKVPVKPAEGASFLPSVKPLVPNKRSATNMLSDQSSNSYGSSDFGWDDDTMTSDYTSVFAPNNVIPASYMQGGVSKRMRNNYGVAMLQGNGEPSLAQVMAGFDPEMNYQPLPYVESSSSDASIEQTDIPQDGASNGDIWSLDELLMAAGLLPVVMLERQLYPLRFLAVVIYGRLHIYIYIYIYICFCQLNSTYDNVYSMLLHGASMIGSEFETYSIIYLGYACWTVV >Sspon.01G0018150-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1B:65088714:65089022:-1 gene:Sspon.01G0018150-2B transcript:Sspon.01G0018150-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVESAKCECCGLREDCTGEYIAAVKAGFGGRWLCGLCSEAVRDEVAAKKRGDLEGALRDHMSFCAKFGKKGPAFRVADGMRQMLRRRSSDISAAAASSAAS >Sspon.05G0035050-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:68413307:68428255:1 gene:Sspon.05G0035050-1C transcript:Sspon.05G0035050-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPDHSRMVMPPTFATGSADRLSTLPDEILQHILSFLPAQEAVRTCVLAQSWRHVWELVRRLHITGTTTPTYAGQVRGFVDRLLQTRLSMISHSPLDMCEIKFDMFEDDDEDFVHRWIDHVVQQCKVQWLSLNIFDNEADDPWFPLPELPLFSPYLTRLDLYGIERDLRWCPTFSKLKTLLINDYWCEPPDCRALARILEHSLVLEKLTIVLSGKGPKYKVEMKGCLNAVRRPAMMISEHLRIVEVKCDVVNDTFHNVLSVGARVMFDGMPPWKRRKEDEGVAAEFCGDRIGALPDALLHHILSLLPAEEAVRTSVLARRWCYLWKFATGLRLGCLDMDEPVSVNALRKFVDSLLLLRGGSPLQTFELRVGDFSDGDDEPRVNLWFRHALTCKVQVLKLHLHKNNYVDPWLLLEDLPLVSQHLTRLQLHGVRCLTGFLNFSGCPTLDCLEFKFCDLSLATKIMSESLKSLSITDSLFYENVRMQICAPNLIALCLDDFWNKTPVLESMPSLVDAFVRITIKCSDRCGKLWAAHQTCDCEYCDSSNNIAYGGSVLLKGLSEARNLALIGTLNTIIFKWDLRWCPTFIKLKTLVLNENWCVPDDLHPLACILEHAPNLEKLSLLFSKEPKHKLEINGSFSSMDRSTAISEQLKVEIKCEVVDDRVLKVLQFLCTFGIRYKLKLKLSKL >Sspon.03G0035140-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3B:78797428:78798569:-1 gene:Sspon.03G0035140-1B transcript:Sspon.03G0035140-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding WMTGKRRYSATFDEFAIANELDNGFFANSIDLDEEDPLPIEQRRQFYEPESTKIQFKIGGPKGLRHHPAVINKIARVTFMPEGGTRGAIRDKYWNVIHHIMFSKKFDVVKFMMNQLAVLKHDMKTKLYFAPYIMSLILQKTKFKGQCRVHHECIRPLNNDKEFMTRELTPFPEPSEREQRGQDTGQEGHMETDEIQEFFEPQPQPQLQPQPQMHGWVTPTRYFGLFFQNMQNTWSNTFTQFGESFRQQMNQGFHTMGQQWQVHMDTSFQELGQHLHNTIYQPIMSSLQSVQQGLHNDIDALSSQLGTLSTQEQYQQLHDRQVHLKQRFDVLNNNFNTFSDHYNTVYPRPIPPPTQFPYQPFYPPQYYPPPPPRDDADDA >Sspon.03G0014140-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:43968136:43971592:1 gene:Sspon.03G0014140-1A transcript:Sspon.03G0014140-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:TRH1 [Source:Projected from Arabidopsis thaliana (AT4G23640) UniProtKB/TrEMBL;Acc:A0A384KFZ5] MWPTDPEYGLSPKNTIGIDVYNPPPLHWTSLLVLAYQSCGVVYGDLSTSPLYVYKGTFSGSLHRFLDEETVFGVFSVVFWTVTLIPLLKYVFIVLSADDNGEGGTFALYSLLVRHAKFSLMPNQQAADEELSAYYRPGYSTEETPILKALRNFLEKHRKSRTFLLLMVLFGASLVIGDGVLTPAMSVLSSFSGLQVHSSALTHGEVVLLSCIVLVCLFTLQHWGTRRVAFLFAPVVVLWLLLLAALGIYNIAVWNPRVLRALSPYYVVRFFQRTGKDGWISLGGVLLSMTGTEAMYADLGHFTAASIRVAFVGLIYPCLVLQYMGQAAFLSKSPDCNIHFIFFESIPLLSSHLGVMSSCDVIELLHQGPVFWPVLVIATLAAIVGSQAVISATFSIVRQCTALGCFPRVKIVHTSNRIHGQIYSPEINWILMLVCLGVTVGFRDTDLIGNAYGMACAGVMVVTTLLMALVMIFVWQQGFILAAMFLLAFGSVECVYLSAALMKVPQGGWLPLALSLVVVAVMYVWHYGTRRRHLFDNKVSLKWLHALGPSLGIVRVPGIGLIYSELATGVPAIFSHFVTNLPAFHQVLVFVCVKAVPIPHVRCYERHLIGRIGPREFRMYRCVIRHGYKDVPGDDNDFENDLVVRIAEFRHLRRGAMAVVNRPFDLSRTGLLMRAPLPNPEDSIVVRAATAAATTAADSSKTETIQSLQTMYEAESPGFAIRRRIRFEIDVSTSESMDPAVKEELSALVEAKHAGVAYIMGHSYIKARKSSSIIKKLAIDVAYTFLRKNCRGPAVALNIPHISLIEVGMIYYV >Sspon.01G0021330-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:78489419:78496253:1 gene:Sspon.01G0021330-1A transcript:Sspon.01G0021330-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Methionine gamma-lyase [Source:Projected from Arabidopsis thaliana (AT1G64660) UniProtKB/Swiss-Prot;Acc:Q9SGU9] MAQTMAATSELVTLKRPFGGNDGFGDGGSNGTVADEKPKARRREADPAAALASARHEFGEHGGVNMSIEASATFTVMEPDTMRRLFAGELGPDRGDMYIYSRHFNPTVLALGRQMAALEGTEAAYCTASGMSAISAVLMQLVGAGGHVVASRCLYGGTHALLARFLPRTSGVRATFVDADDEAAVRAAVVPGETRVLYVETMSNPTLAVADIPMLARVAHEAGAKLVVDNTFTPVVVSPARLGADVVVHSVSKFISGGADIIAGAICGPASLVNAMMDLQDGALMLLGPTMNAKVAFELSERLPHLPLRIQEHSRRALAFATRMQRLGLRVLYPGLPDHPHHARLAALGNPGYGSGGMLCLDMGTEERANRLMHHLQNTTQFGLMAVSLGYYETLMSCSGSSTSSEMPPEDRARAGISPGLVRMSVGYNGTLEQRWAQFERALALMQPPTPLAHHLNLKGAADRD >Sspon.04G0001430-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:5721054:5725924:-1 gene:Sspon.04G0001430-3C transcript:Sspon.04G0001430-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLVPLVRLALLLLVALPFCAAHPGPGAFPAPRHFQSPALHSDGFDFLVRRSIAEAPTDINVTTNSSFVLAADRTYRKDPLNGFRKYTGGWNISEVHYFASVGYTAIPLFAIALAWFVIFFLVMLGICCRHCCCPRHTYKYSRTAYALSLILLILFTCAAIAGCAMLYDGQEKFHKSTTTTLKFVVSQANYTVDNLRNLSDSLSAAKRIDIAQSFLLPPNVQTQINEIQGKLNSSATDLAIKTTDNAAKIKKLLNRVRLALIVIAAVMLLLAFIGFLLSIFGLEFLVSVLVVIGWILVTGTFILCGVFLLLHNISSSLYKNSVVSDTCVAMEEWVAHPTEHTALDEVIPCVEPATANESLYRSRQVTFELVNVVNQVITNVSNRNFPPQLTPFYYNQSGPLMPLLCNPFTPDLRDRNCTRGEVTLDNATQVWKNYECQSKTVSGAEICATVGRVTPSIYSQMAAGVNVSQGLYQYGPFLIQLEDCTFVRDTFTTINQGYCPGLERYSKWVYVGLVMVSSAVMLSLIFWVIYARERRHRVYSKQHQPYPVEDKPAPMVPGA >Sspon.07G0015220-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:60109888:60112064:-1 gene:Sspon.07G0015220-3C transcript:Sspon.07G0015220-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVFNGVRFVRLRCCARRGKYLAADADGSSVCLTGQRGVHNAVWAVHHAAGPDGGPCVLLRGAYGRYLLATSVQAGTGPSHGVVTAQDGLGGAPPPPGMLWQAIPRRTTFVIRSGTGRYLRANGRYLRWRRAVTSAGDNGSTMMQWDIENVPIRMTRPCILDPTYQVRTYVRIASCPSQSEDDDRHHVCDECDEQLTHARRRPLTESEVARQIRYVRGETDGSVNEGAWRTMQLNTHNLMQLRLTLACRLGASRDVTRTTLCVRAGRYAHLSPLLVDLPIGNDRIDIVILNHGTPADNDLRYPDLHAPRVLDSSKSNSECTFIVVSRGTM >Sspon.07G0038710-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:83518366:83521810:1 gene:Sspon.07G0038710-1D transcript:Sspon.07G0038710-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKRDSSNVDSTAQGIMLDKVANSPPEVVKQVVLESSPPVPQKPSTVDVLRKKITSVERGSESFLFVQKLFLSGMGPFAAPNNILHVHRYSPNDITAQCRFEAFERQMKSTKEARGDANVKYGWLGSRKSDIVRILINGLGTTANTVEKAGLSAGVYLSPENRAFTSVGLCDVDEKGVQYMLLCRVILGNVEAVEPGSQESFPSSEIYDSGVDDCSNPKCYVMWPSHLSTHIRLEYLVSFKLVPKVRNYLLDLKGLWFNPSLKEVGMDISTLRPVRQPESCYLFTIGTLVMCETGEEGPTSPWISFRVLFAVIQDNISSVSRELLFHHYEELKPSLWYKPSVEAVSSDSVMAAPEQLSLDKAGGDCSLTLRVNHADSHAPNAVSEHATILSTKRCDTLAADMVPKGQDCLAPSGVPETSSSAVAMCGASTSAEPKCRGPPVQMVPPGNSATSCAKNQDSFVGRVAPIVHEGLLRTISGSSSSPVREVCKSATPTTGCPGYASLGQANTSQTHGISAPGVAPKGYESAVPSLSLGNSKSTGVKQLNSAPRMTPEGQKFLSLGIASRSPAPRDLVKCQGSSTLVAIPPVLAPGHGKSPSMKIEGHDSLVPSVKPSLAPSKALKLHEPVIADTSIKGCDSLALSITPNGHDGPASSNKTPKRHESAMVDTMPESSRSQGESVVTKAYGAPKPSAVPENSCSGI >Sspon.07G0001200-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:1708385:1714217:-1 gene:Sspon.07G0001200-3C transcript:Sspon.07G0001200-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSCSRRPQRRPAGQNPEAGIEEMCISMVSVSMRLCSSLAFIWLGCLYRCLVGNDGRLGVSDGYEGSKRPRMMMESNPYFAVNAGSPLDVSKRSRMVEPGPPYFGAMGSNAGGASGGFYQPFNSNLTGAGAGTGIQNFPGVRLRGLPFDCNDIDICKFFVGLDIVDCLLVNKNGRFTGEAFVVFPTAMQAEFALHRNRQNMGRRYVEVFRCKKQEYYCAIANEVSQGGYFESEYRRSPPPPRPKKPAEDKGSMEYTEVLKLRGLPYSATTEDIIKFFLEYELTEENVHIAYRSDGKATGEAFVEFPTAEVAKTAMCKDKMTIGTRYVELFPSTPEEASRARTRGRH >Sspon.03G0018390-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:56500032:56502657:1 gene:Sspon.03G0018390-1A transcript:Sspon.03G0018390-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAMETDINAPPPPAPAGEGSSAPGPSSSSSSRKPNKRFEIKKWNAVALWAWDIVVDNCAICRNHIMDLCIECQANQASATSEECTVAWDNSEWEFQKYGH >Sspon.08G0007250-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:22974597:22980061:1 gene:Sspon.08G0007250-1A transcript:Sspon.08G0007250-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADNGDPAMAVLGWSSSWICGGEDGGWLTVASPCVQRTLIDCVNVVLLIVYVSTLVAACVRRWQCAAAASPRSGAPGWVLLVVSTCCVAAAVAYGVTALQDASDIKAAVPYFVRGLVWIALAASLHAQPTRAARAVAVLCLGLAETIAWPVSLLLLLCALGSLLPRGHRDASNDSSGLSEPLIGKDRTVPTSELYRAGLFGQIAFSWLNPLLRVGRSKALDLDDIPLIADETPHHTSQKFAEAWSRHVSDKARSRRRVGSNSLALVLCKCFLGEILLTGFYAFLRTLSIAVAPFLLFAFVWYSNQEERDLRVGLALVGCLLLTKLVESLSQRHWFFDSRRTGMRIRSALMAVIFRKQLRLSSQGRNNHSTGEIVNYIAVDAYRLGDAINWLHMGWSSPLQLVFAVATLFWALKLGALPGLVPLVIFGFLNVPFAKMLQGYQAKFMVAQDERLRSTSEILNSMKIIKLQSWEDKFRHMIESLRDREFKWLRETQMKKAYGAVIYWMSPTVVSAVMYTATAIMGSAPLNASTLFTVLATLRVMAEPVRFLPEILTMMIQYKVSLDRIEKFLLEDEIREEDVRRVPSENSDVRVQVQDGNFSWKASGTDLSLRNVNLRINRGEKVAVCGPVGSGKSSLLYALLGEIPRISGSVAVLGSVAYVSQTSWIQSGTVRDNILFGKPFNKELYDKAIKSCALDKDIENFDHGDLTEIGQRGLNMSGGQKQRIQLARAVYNDADVYLLDDPFSAVDAHTAAVLFYECVMTALAEKTVVLVTHQVEFLTETDRILVMEGGQVSQQGKYSELLGSGTAFEKLVSAHQSSITALDTSASQQNQDQGQQASDEYIVPSALQVIRQASDIEVTAKGPSAAIQLTEEEEKGIGDLGWKPYKDYINVPKAAFQFFGMCTSQVLFTCFQIASTYWLAVAVQMDSVSAALLVGAYSGLSIFSCCFAYFRSLFAANLGLKASKAFFGGLMDSVFKAPMSFFDSTPVGRILTRASSDLSILDFDIPYSMAFVATGGIEVVTTVLVMGTVTWQVLVVAIPVTITMIYVQRYYVSSARELVRINGTTKAPVMNYASESILGVVTIRAFAATERFIHSNMQLIDTDATLFFHTVAAQEWVLIRVEALQSLTIITAALFLVLVPPGAISPGFAGLCLSYALTLTAAQVFLTRFYSYLENYIISVERIKQYMHLPVEPPAIIPENRPPTSWPQEGRIDLQDLKIRYRPNAPLVLKGITCTFSAGNKIGVVGRTGSGKSTLISSLFRLVDPAGGKILIDKLDICSIGLKDLRTKLSIIPQEPTLFRGTVRTNLDPLGLHSDQEIWEALEKCQLKTAISSTSALLDTVVSDDGDNWSAGQRQLFCLGRVLLRRNKILVLDEATASIDSATDAILQKVIRQQFSSCTVITIAHRVPTVTDSDRVMVLSY >Sspon.02G0010350-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:23140424:23145518:1 gene:Sspon.02G0010350-2D transcript:Sspon.02G0010350-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPRWLRREVFVGLALGQFVSLLITSTGFASSELARRGVNAPTSQSLLNYILLALVYGGTLLYKRQHMTIKWYYYLILGLVDVEANYIVVKAYQYTSLTSVMLLDCWSVPCVIVLTWIFLKTKYGLRKFIGVGVCVAGLILVVFSDVHASDRAKGPNPLKGDLLVIGGSMLYAISNVTEEYFVKKSNRVEVMAMLGSPQFHFLDNDKEAYLSKKSSDRLTGLLILPFIGFALAMFLFYSTVPIILKICGATMLNLSLLTSDMWAVLIRIFAYHEKVDWMYFVAFAGTATGLVIYLYRGSKQISEETAQVTQAMDEEAATANSTPQVPGVGDGGPASNKDSSLAATAS >Sspon.03G0018940-3D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3D:44524238:44524543:1 gene:Sspon.03G0018940-3D transcript:Sspon.03G0018940-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYIAPEIYSPNFGGVSYKSDVYSFGMLVLEMVSGRRNSDPGIENQNGVYLPEWIYERVVAGQELTLSREIADQDKETVRQLAIVALWCIRWNPKNRPSMTK >Sspon.04G0007330-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:20918146:20918733:1 gene:Sspon.04G0007330-1A transcript:Sspon.04G0007330-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AMAHRTNHTSPPSMNATAAAATATTMIASSPSPPRPPPSAGAGAWGPYASSRAFFSNVATILIILACVSLLAFSLHAAARFLIRCLARRRAAREQQEEAQEMEAHAHAQEPKLPSAAAASAGAGRSEAGGGATGAGVWAEPECAICLSELEGGERVRVLPACGHGFHGACVDGWLAARASCPTCRAPSRPSRAGEP >Sspon.01G0003310-3P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:73547946:73550044:-1 gene:Sspon.01G0003310-3P transcript:Sspon.01G0003310-3P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDSQEDQRLPHHRDPKEFIPLEKLSELGIISWRLNPDNWEHDENLKKIREARGYSYMDICDVCPEKLPNYETKIKNFFEEHLHTDEEIRYCLEGSGYFDVRDENDQWIRVAVKKGGMIVLPAGMYHRFTLDNDNYIKAMRLFVGEPVWTPYNRPHDHLPARKEYLDKLLKPEGQAVEAR >Sspon.01G0022410-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:82067532:82071406:-1 gene:Sspon.01G0022410-1P transcript:Sspon.01G0022410-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Secretory carrier-associated membrane protein 2 [Source:Projected from Arabidopsis thaliana (AT1G03550) UniProtKB/Swiss-Prot;Acc:Q9LR68] MAGRSKYDNPFEEGGADEVNPFADQAKRGGSTAQSSYSGGAFYTTQSQRPSAPPSTRLSPLPPEPADFYNDFATPVDIPMDTNKDMKTREKELLAKEAELNRREKEIKRREDAAARAGIVLEEKNWPPFFPIIHNDIGNEIPVHLQRTLYVAFASLLGLVLCLFWNIICVTAAWAKGSGPKIWFLAIIYFILGCPGAYYLWYRPLYRAMRNESALKFGWFFLFYLVHIAFCVYAAVSPSILFVGKSLTGILPAISLIGDSVIVGIFYFIGFALFCLESLLSMWVIQRVYLYFRGSGKEAEMRREAARGAARAAF >Sspon.02G0018410-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:55031333:55035004:1 gene:Sspon.02G0018410-4D transcript:Sspon.02G0018410-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAKLLYIVVVDDNASSFRYTRSLIHSTLQLMGCKPRHAFEISRRVFDVIRGDHQGHGDTAASARVQRYEVAEATPTTSPRQFQFELYKRRTTVLLPRDLFLDLVLDALALYKYVAPNQRADLMLACRIRERKESITVLLCGTSGCGKSTLSTLLGSRLGITTVVSTDSIRHMMRSFVEEKENPLLWASTYHAVAVAEAKATRKAKKRSGVSSSSNIDYEKSGSLSKKVDGKSIGKKQMAIEGYKAQSEMVIDSLDRLITAWEDRKESVVVEGVHLSLNFVMGLMRKHPSIIPFMIYISNEGKHTERFAVRAKYMTLDPTKNKYVKYISNIRTIQEYLCSRADKYLVPKVNNTNVDRSVASIHATVFSCLRRRANGDLLYDPEANTVAIVNEEYKNQCMANSMSSKGMFKLIQRLGSSRKLMAIINVDGSVSKAWPVESSEGDGKCSSDNSNKKSVGNPIYGPLSIGRAESVNLQFGTFGISAWPTDTGCTSQAGNADESFTNAAEGSSRHVLSSSGSPKKSDGHCKEIKESSAAYGSDEEEEEEADVQPNSGSDEDLSEEDNREIDGEMEGSVNEDCNRSDEEYDDLAMQDSLENGYLTDDGIFHSGLSKSSSGKFFGSNQRSHSMPKKHEESVGVPETARSSSAAAPAGTSSKRHAARKWKRSLSDSFRSRPRSAPELVSVCKGSPPVPVAPDER >Sspon.01G0047690-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:105093657:105096718:1 gene:Sspon.01G0047690-1B transcript:Sspon.01G0047690-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLQAQQTALLNWKGTLGSSPALISWQQQVHPCNWTGIMCNHGSVAVTGISLSNASLDGNLDGLNFSALPYLDYIDLSFNYLHGEIPASISSLAVLSYLDFTSNRMSGNIPYSIGNLQSLTVLGLSMNNLTGQIPGSLVNLTKLSQLVIHQTMLSGRIPEEIGKLSNLELLQLSNSSLTGRIPPSAGNLTKLNSLRLYSNQLSGSIPWTLSNLINLQDIQVGVNHLSGPIPGFLVNLTKLDRVLLYQNQFTGVIPREIGMLSNVTLLELGDNLLSGSIPPSIGNLTGLVFMDLADNQFVGSIPKEIVNLVSLRYLKMFDNQLSGPLPPEFGNLTDMIYLSLINNSLVGPLPSDVCRGRQLEYLNVAMNMFNGSIPASLRRCKSLQVIEMGDNQLTGDISQSFGVYPNLTRVELSSNRLYGQLSPDWAASTNMVWFDAASNMITGGIPHELSKLTSLARLSLHSNHLSGSIPSEICNLTNLYELSLQGNELSGRIPSEIGKLGNLQYFDISGNRISGSIPESLGNCIKLVSLKINSNSLTGNIPDEIGKLTSLQTMLDCSSNDLSGALTQNLGNLAMLEVLNISYNQFSGGIPSSFESMLSLTTLDVSYNNLEGPIPPKFLVSNTSAKCFLHNKDLCAFEDIERATENFDDKYIIGVGGYGSVYKAELQDERRLAVKKLHPIEEGAFEEKGFHNEIEVLTQIRHRNIVKLFGFCSHPRYKLLVYDYIDGGSLRAMLGNEQLAAELDWKRRARIIRDVVQAIYYLHYSCSTPVIHRDLTSNNALLDTAFTAYVSDFGTARILKPDSSNWSALAGTYGYIAPELSYTCVVTEKIDVYSFGVIVLEVIMGRHPGDLLQQGEYNTLGEILDQRPPVPSTEDEADVALLAKVGFACLQASPEERPTMQDVWQTLLTERRSTNLD >Sspon.03G0016340-4D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3D:38877301:38879381:1 gene:Sspon.03G0016340-4D transcript:Sspon.03G0016340-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGAAALPGPTYGDVGVEWLSTPANSRGGREGCKCIFVSLATPPCFSLLLLLHRVATAIYKQQQQQQQRKKRNKRFRAKNSVTVSSHGGGDKKGSAASMSIMAWYPLPQSGGTPSVPGDEFFDDQLSAGWPLWSFGSSADDDHGSHGAGGARAEKHGDGDAAGSYDEHTEGPVLVPQQRTQPTGDIFMSQFSDEEMRRMDAPFEALDMFPGSMHRLLSYENMLSGVLTGSSSEDQQDATLGVDTMDTCGFPLFSHGLMQNAPTELVLPDLSTPKDHHQDGASFTTKRSRSVADEGSVFFEALVLQELEDVVFQLTKRTRVCFRDAFFRLAESSRAKCSAATGTPSSETDVQQAADGNASSSGISTPGPGCPERESNAIDRTVADLTMKPPGPDPLEVLVSRCPDDDSGAEAHSATSWTTTGD >Sspon.06G0009280-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:38689442:38690285:-1 gene:Sspon.06G0009280-4D transcript:Sspon.06G0009280-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYQAGYPPPGTAYPPPGQQQAYGAPPPPAYVAPPPAYPPTQQDGGAYYGQQQQQQTTSRGGDGFWKGCCAAICCCCVLDMCF >Sspon.07G0033460-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7C:60311088:60312940:-1 gene:Sspon.07G0033460-1C transcript:Sspon.07G0033460-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRKTVGKGRRVHPTRLSHTNTTTSTTTQADRAEEDPASTPAADPVSSPLRGVSCPGRTDGERGVRDGRGRRLQAPVPGAQGQEDHRFIIYKIDEKKKMVVVEQVGEPVLNYDDFAASLPANECRYAIFDYDFVTEENCQKSKIFFIAWSPDTARVRSKMIYASSKERFKRELDGIQVELQATDSAEVGLDVIQGRAN >Sspon.04G0016990-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:61952820:61957337:-1 gene:Sspon.04G0016990-1A transcript:Sspon.04G0016990-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGSRALSLSSLCATTLAAAKPPQHPFPFAPAHRALPHRLAAAMSSSSSPTPAAPAASGDAGAPAPAPAPSASSAIDFLTLCYRLKTTKRAGWVKRGVQGPESVADHMYRMGVMALVAADLPGVDRDRCVKMAIVHDIAEAIVGDITPSDGVPKEEKSRREKEALDHMCELLGGGSRAQEIRELWMEYEENASLEAKVVKDFDKIEMILQALEYEKEQGRDLEEFFQSTAGKFQTDMGKAWAAEIASRRKTK >Sspon.02G0029860-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:111877557:111881285:-1 gene:Sspon.02G0029860-3C transcript:Sspon.02G0029860-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHHLASPAAPAAAAAATSATTVSRALFKHAYAKPSCSLLHAPLLRATTRPALLSPAAAAVSTSAAPAATAAAAMDAVKEWGLRPLAEVDPEVYDLIEREKRRQRSGIELIASENFTSLAVMEALGSPLTNKYSEGMPGARYYGGNEVIDEVEELCRARALAAFHLDPARWGVNVQPYSGSPANFAAYTGLLQPHDRLMGLDLPSGGHLTHGYYTAGGKKISATSIYFQSLPYKVSSDTGYVDYDRLEEKAMDFRPKLIICGGSAYPREWDYASLRAIADKCGAMLLCDMAHISGLVAAQEALNPFEYSDVVTTTTHKSLRGPRSGMIFYRKGPKPPKKGQPEGALYDYEDKINFAVFPSLQGGPHNHQIGALAVALKQAMSPGFKAYIQQVKANAVALGNHLMSKGYKLVTDGTENHLVLWDLRPLGLTGNKVELLCDLCNITLNKNAVFGDSSAMTPGGVRIGTPAMTSRGLVEKDFVQIAEYLHQAVTICLSIQEEHGKILRDFKKGLVGNQDIENLSAEVEKFATSFEMPGFRVSDMKYKD >Sspon.01G0037420-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:18160410:18163675:1 gene:Sspon.01G0037420-3D transcript:Sspon.01G0037420-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEHTFLTNRERAVDYLNSLDKVFVNDQFLNWDPENRIKVRIISARAYHSLFMHNMCIRPTDEELESFGTPDFTIYNAGQFPCNRYTHYMTSSTSVDINLARREMVILGTQYAGEMKKGLFGVMHYLMPKRGILSLHSGCNMGKDGDVALFFGLSGNMDPKRIVVPSPAHVASDVLRLAYPSSCTGKTTLSTDHNRLLIGDDEHCWSDSGVSNIEGGCYAKCIDLSQEKEPDIWNAIKFGTVLENVVFDEHTREVDYADKSVTENTRAAYPIEYIPNAKIPCVGAHPKNVILLACDAFGVLPPVSKLNLAQTMYHFISGYTALVAGTEDGIKEPQATFSACFGAAFIMLHPTKYAAMLAEKMQKYGATGWLVNTGWSGGRYGVGKRIRLPYTRKIIDAIHSGELLTANYQKTEVFGLEIPTEIKGVPSEILDPINTWTDKAAYKETLLRLAGLFKKNFEVFASYKIGDDSSLTDEILAAGPNF >Sspon.07G0005850-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:15290580:15294013:-1 gene:Sspon.07G0005850-1P transcript:Sspon.07G0005850-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQHLASSRRLLQAALAPAPARANSSLSAAAVAVATPAPENGAAAVPKMPAFDYTPPPYDGPRAEEIFRKRAQFLSPSLFHFYDRPLNIVDGKMQYLFDEDGRRYLDAFGGIATVCCGHCHPDVVEALVNQEKRIQHSTVLYLNHAIADFAEALASKMPGDLKVVFFTNSGTEANELALMIARLYTGCNDIISLRNGYHGNAAGTMGATAQSNWKFNVVQTGVHHALNPDPYRGAFGSDGEKYARDVQEIIEFGTTGRVGGFISEAIQGVGGIVELAPGYLPVAYNMVRKAGGLCIADEVQAGVARTGSHFWGFEGHGVIPDIVTMAKGIGNGIPIGAVVTTPEIAQVLTRRSYFNTFGGNPVSTAGGHAVLKVLEKEKLQENAFVVGSYLKEQLNKLKEKHEIIGDVRGKGFLLGVELVTDREKKTPAKVEISHVMNHMKDMGVLVGKGGFYGNVFRITPPLCFTKDDSDFFIEVMDIALSKL >Sspon.01G0062970-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:109173970:109174757:-1 gene:Sspon.01G0062970-1D transcript:Sspon.01G0062970-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SQNPPHRSPSRSHGRQLLGTPLRRFGLQRAAPARSSRPASSVHCQGALLADPGQVPSALGPSRAAARRRPAMGWTPPVPATSSMHYSLEQLYLLCLSSLTGFCDGDGDPRGDFIPARGRGWGRNFPRCHSRGRGWGRFSLRGDGDEQLPPDGEFPIDILSRQFRPGMFLSLASKNRTNGTKQIAGTICR >Sspon.01G0002190-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:6113791:6115911:-1 gene:Sspon.01G0002190-1A transcript:Sspon.01G0002190-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMLSGIGARKPPPFLSSSSLSYSKRSRAIRRLPSLPRPPVAPPAPHPAGRRRWRKAPARLWMRMDRWGRCEVFMSDRAFVAERSGVHARDLGVVGPLLSCCPSILECRESYLLSVRLTLDFACFAAREKAMVINLEFIRAIVTADEVLLLEPLAQEVIPFIDKLMRHFPLKSVEVDVGATQVGNVDGNHTKTGAECGLPFEFQVLELALEAVCLSFHSSLADLNRHAIFVLDELTKNVSTRNLERVRSLKSNLTSLLAGVHKVRGEVEHLLDHNENMAQLHLSRKQIKSPQDEALLGSSALNCNFSSKTNLDIRNSVINQATGIAVVAPLDDDVGDLEMLLESYFMQLDGIHNRIMMVRGYIVDTEDYINIQLDNQRNGLIQLHLILIIVSFGISINTLIAASFAINMPQNGDYKKFVGGFWPFVGGTSSFCLLVIVVLLGYAWRNRLLGS >Sspon.03G0010540-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3A:28724218:28724460:1 gene:Sspon.03G0010540-1A transcript:Sspon.03G0010540-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAFEYSSERTRTGLAVPVPAENTATTTLWYNRWLHEVALQQTNRRLQSVIPVSHSSQARDVEKEEEEEEREWRASGRR >Sspon.03G0008540-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:23041479:23045984:1 gene:Sspon.03G0008540-1A transcript:Sspon.03G0008540-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMDEWAAIGTSRFISFSVEGKVRCSFRKIGNAICITVVSFKADFTVDPNCLCLTAADILLWKDRNLSAGVLAGATLIWYLFEVVEYNIIPLVCQIAALAMLVIFIWSNAVPLLNIAPPRIPEVIISEHAFRQIAQIIHYKLAHTVFALYDIACGKDLKKFLLVVVSLLILSEIGSSYSFTSLLYLGFLCAHTLPALYQRYEIEVDHLAARGSEDIKRFYKRIDSNFLNKIPRGPVKTKDDQMLGS >Sspon.06G0024840-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:57857259:57869642:1 gene:Sspon.06G0024840-2C transcript:Sspon.06G0024840-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding KIETDDKATDAEKKNIHHPPYQFEIHLHQLILALLYWYNYILREKERSNQRFIHSILIVSFHSKPPSILISAGDKGPPIVLPLATPNPLQISHGSRRLHPHSIRLDHPLIPHCSSRLSPAPTHLLPRLTPLPLLLTLTLLPGRPNHSRQRCFRPGTQALVPSVQLLAPKDQSSVKMACPRGKTSFPFCFFLMICLMAQLGASNVVLMENNTTLSFDDVEATFTPAVKVSGVNGVLYAVEPMDACSPLRTKAIEGSVSPFALVIRGGCQFDDKVRNAQDAGFKAAVVYDNEDNGVLVSMAGSSSGIHIYAVFVSKASGEELKKYSGQTDAELWIIPTYENSAWSIMAISFISLLAMSAILAACFFVRRHQIRRDRDRLPQAREFHGMSSQLVKAMPSLIFTKVQEDNCTSATCAICLEDYSVGEKLRVLPCRHKFHASCVDLWLTSWRTFCPVCKRDANAGTSNPPVSESTPLLSSAIGLPESAALASFRSTVAASPPRPISRHPSSRSISRNYSISGSSIPRTPNSRFYANSSPICTSGSNLDLANMSSSWSRTSHLASAHSLCGGHLSPPIDIRNTSPHISRSAYRSPSCYIGSSHMSHGSPSYNLGSSGQRNPYLRHCTLSGPSLFTMVPQSPQQIQLQHGGDSETNLSAAASTRDPLINEHHSHKRIQKLPPAQKSGRAIHSHMPDTVHGIHKVLPELIDVRPLRRKLMPRFQPPDALRSLWPQSLQACDSEASTASLCGAVK >Sspon.01G0050060-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:118622904:118623485:-1 gene:Sspon.01G0050060-1B transcript:Sspon.01G0050060-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDEESAPTETGDGPTETGDGDGGFNLNVSSSKSAKKWSLGQEYGPLDMAEKRRKQLPQDDQGSTRLD >Sspon.01G0011330-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:31377402:31384794:1 gene:Sspon.01G0011330-3C transcript:Sspon.01G0011330-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAGNGRREAALGALAVLPDEVLCAVVDLLPPADIGRLACVSSVMYILCNEEPLWMIKCLSIGGPLEYKGSWKETALCRLGLCSENKEIWQKPRQFDGFNSLYLYRRWYRCFTTLSSFSFDDGHVERKDDLLLDQFRSQYDGKGPVLLTKLAETWPARTKWTLQQLTKDFGEVPFRISQRSPQKITMKLKDYVSYMELQHDEDPLYIFDDNFGESAPTLLEDYSVPHLFQEDFFDILEYDQRPAFRWLIIGPERSGASWHVDPGLTSAWNTLLCGRKRWALYPPGRVPGGVTVHVNDEDGDVDIETPTSLQWWLDIYPHLPEQEKPLECTQLPGETIFVPSGWWHCVLNLETTIAVTQNFVNQSNFQHVCLDMAPGHRHKGVCRAGLLAVPGKFIKDNENHPSVTMSGWNHPDMSRKEKRLKGSEPLRTSNSINHCSAFEFSGVQESLENQDFSYDIDFLSQFLEKERDHYSSLWSPTNLIGQREAREWLRRLWVLKPELRELIWKGACLAINVDKWYSCLEEICACHSLPSPTEDEKLPVGTGSNPVFIVSGNVIKIYAEGGLVYAVHGLGTELEFYDLLQKSGSPLINHIPEIIASGFLEYKDDIYRTVPWNGKGIPEILVKHYPLEVSYANSCFPLGLWSKQQFGMSSSADVSDRPIWPYMVTRKCKGDIFARIRDMLSKTDVLHLASSLGVQMRNIHLLSLPPVEHVSESGNNDVKEVVGTCDDATVLPEWQQLVYTLNRRKQNVKKHLANWGNSVPQVLVEKAEEYLPPNMGFLFKFVKDDGDLVYPCPSWIHSDIMDDNILIEGITKLNSSGERERVYDADQEKMNAIHIIDFSDLSIGDPICDIILLHLDIFRGDTDLLKEFLRIYQLPLLRGESITDVYKSVQNSKFSRASYRAMCYCILHEDNVLGAIFSLWKELRAATSWEDVENFVWGELNRYQESCAL >Sspon.05G0019760-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:79952822:79954826:1 gene:Sspon.05G0019760-1T transcript:Sspon.05G0019760-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGQQNSRSKQRAPLPSKCCAPELSSAASRPDNSSQPPTTQSAELVIQGKRARHCLAPFRSGARPPAHRQHAQARRPAPVVVLRQYITNDANVATSARKMSQLPAPASRLWEASIRKLQTIRRATTTAGPVPDGADAGAAAALTPSLLHVSSSASSTIYQYHHEDGEDSDTSTDGGNDSDGAGEEEDAAALGEPTQAEQLLPSGDFYQGDLRGDLPHGAGKAPGAAAARRAAARRLRRRYMHGQGTYIGEFGDTFAGLWANNLRHGRGTQAYANGDVYDGHWRDGLQDGHGRYIWRHGHEYIGTWRAGDMHGCGTVIWADGDRYDGAWEDTRPKGQGTFRWADGGMYIGTWCEEAGAVHADGVYYPPSGGPAVPELEVCEGKTPSLMPSQKILTWPGVEPVQKKPVWRPPKISPDQGRRSSVSRRSSASLDLDILQAAAEGGESEEARADRSCLRTSSCMRTPPRPGKKQGETISKGHRNYELMLNLQLGIRCVSVLLCFVVLATTPTTLDLKSSAFDPKEKVWTRFPPEGSKHTPPHQSCDFRWKDYCPL >Sspon.01G0008110-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:22033322:22037471:-1 gene:Sspon.01G0008110-3D transcript:Sspon.01G0008110-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAPCCEKVGLKRGRWTTEEDQILANYIAEHGEGSWRSLPKNAGLLRCGKSCRLRWINYLRADVKRGNISKEEEDIIIQLHATFGNRWSLIAGHLPGRTDNEVKNYWNSHLSRQIHTYRRKYTAGPDTTVTIDMSKLHSADKRRRGRTPGRSPRSSVSGSKSKNSKQPDPEPEAESGHEKGASSPATVTIDMSKLRNDEKWCGGRTPGRSPKSTSSSSKTKSKQPDPEPEPESGDAKGASSPATAATSASSSPLHSDGARSAVVDVDPYPNQPNSSSGSKGEGPCSEDATGPWVLDPIELRDLWQAESEIDALVSIGAGHDSVTQGFHAVGGEAQ >Sspon.06G0010140-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:59801355:59806560:1 gene:Sspon.06G0010140-1P transcript:Sspon.06G0010140-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPAPATAGELLRVEPLELRFPFELKKQISCSMQLSNHTDDYIAFKVKTTSPKKYSVRPNTGVVLPRSTCDVVVTMQAQREAPPDMQCKDKFLVQSVVAPAGITVKDVTGDMFTKESGNKMEEVKLRVTYVAPPQPPSPVPEESEEGSPSRASESENVDGPAGGFTRALRERIEPQDKSTEAGALISKLTEEKNSAIQQNHRLRQELDLVRQEISKRRGGGFSFIIVIIVALIGIILGYLMKS >Sspon.05G0005860-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:10539074:10544026:1 gene:Sspon.05G0005860-3C transcript:Sspon.05G0005860-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSMRRSSVGLLIGLLLIRFNWCSVVGGEGAGEVGVAFPVVVSTWPFREAVRAAWRVVSASEGGGSAIDAVVAGCSACEELRCDGTVGPGGSPDENGETTLDALVMNGATMEIGAVAAMRYVKDGIKAAKLVMEHSEHTLLVGEKATAFAISMGLPGPTNLSSPESIEKWEKWRQNYCQPNFWKNVVPVGKCGPYHPINLASVEVEDSVKNGVEGSQGGLCQGYYESDNFLEPIKSHLKVVNRHNHDTISVAVMDKMGHIAVGTSTNGATFKIPGSYQVVESMRQGMDPRDAAKDAISRIARKYPDFIGAVFAVNKEGVHAGACHGWTFQYSVRNSSMQDVEDKPMARLG >Sspon.02G0011340-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:28842430:28843285:-1 gene:Sspon.02G0011340-2B transcript:Sspon.02G0011340-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding IMEWRDSFLDLVLIPLSLLLPMAYHAWLWREVRLRPLRTAVGINAATRRLWAIGMMQDNAKNAVTVVQSVRNVIMGSTLMATTAILFCTGVAAVLSSTYTIKKPLSDTVFGAHGEYMMALKYVALMLLFLLAFLCHSLGICFLNQASFLINTSGFLVAASASADSDSEAVSGLPLPHTRDYIGDVLERGFTLNLVGNRLFYAGVPLLLWIFGPLLAFLSSMVMIPILYSLDVVNLRGHSGCVVS >Sspon.03G0003460-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:15667839:15669185:-1 gene:Sspon.03G0003460-3D transcript:Sspon.03G0003460-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLAVAARAVLLLLAVAGVLLRPAAAEIKQESFKDDSRGSILFEKFGFGLKGMVSISVTGAKASSTLAKPDPAQLGFFLLSDESLFEAIYDQPAPTDLNPHPESSPTCVLASPYVSPLFTFADLDGKDYYNMTFPITHPDEYSLFFANCAPETIVTMEVRTDMYNTNLDGTKDYLSVGMASVPGIYAFFAVCYVVFLAGWLYVTLYRNRLSAHRIHHLMSGLLVARMLYCISAAEDQHYIRTAGTPHGWDVMFYLFQLVKGVILFAVIALIGTGWSFLKPFLQDKEKKVLMVVIPLQVAANIAAAVVGETGPFLQGWVTWNQIFLFVDVACCCAVLFPVVWSMRSLRESSKTDGKAARTLAKLTLFRQFYVAVIGYLYFTRIIVYALKTITNYKYRWVSVAAEEVATMAFYMFMFYMFRPAERNQYFALDEDDEEAAEMALREEEFEL >Sspon.07G0035740-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7D:12893367:12894107:1 gene:Sspon.07G0035740-1D transcript:Sspon.07G0035740-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRVHMAQRASAFPPTFLPMPGSPLGPAGPLASLVAADDDTFNYEEPLAVRRVIVLMRLAPHLAAEMDWHLFAICNPATGGDRHVLPPLEWSSADYGCVRGYAIVTAADSDLDGATSAEGHFTFSQLLVITKSYSGTVRDHHYLHSYSAATCSWSTHTRCLDADRYSLVGERSAVVHQGAAHWLCIDDHGHIRRGNAATCENRLYKLSVEVATGDISLTKLPVRGGGKPLLCVTKDSKLAVPSVQG >Sspon.02G0032670-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:98712342:98714888:1 gene:Sspon.02G0032670-3C transcript:Sspon.02G0032670-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding HGLLHDEQHPVGQLQHDPVRVRRHPGEQQGPVRAAPQSVPAARLLRPAAWHHPRPVPRALPHQRHQPRLRQDRRLRLRALPLRARGRDGPHHAAGRPHRRLRRRLRRHPLHLRPRHALPHAAHAGHLGRRPRALPPLLPRPRRRARQHRLARPHPPHHRPQDRQDRRGPPRRGRRPRLRHAHHHADRRRQHDLARHGRGRPRVRRLPDRAAGAHGRRARRRHRVRLRVQGHGRVGGRAQPGGPPHAGLRPLPGGARRRRALLAQLRAAPEREHGGVPGGAGVPQRGPGVEAAGEQDQLRAQLLRAAALRRPRLPVAAPDHGRHRGGGAHAGRRLPGLRHGAAVPVVEGLLRHRHGDAGEAHRVRGGGAPPGAGDAGGAGAGDAAQRQGVLPPLLRAGGVRRGHHHGQVVHGHHLHGGAQRGGDAHGRDGHRVVGAAERAVAPHGAAAPRPVHGAAPRGGAARPAGRADAVLHHGGAAVGRRRGRWRRAGRVRRGHGADDGPDGGGHREGRRIRRRDRGGRGGVGDAEAHRRGAGRVPGGVRRRRQGEGRRLLALSSFPDMHSDMCICAEDAMAALVLLPFHKAQRADGSMEPGHHGFRVVNQKVLQLAPCSVGVVVDRGLGGKHAAAVVSGSSSQQSQTQAAAVVVVFIGGADDREALTLASLMSKHPGVRLTALRVVQNATAQARARARTSLFESKGSRRGGGGGGSGGGMMGAPASSALGQEEAQMQVDDKFFAEFYRKHVAGSKQPGAAVAAIGYLEKHVADGAELVAVLRGMQAEYRLFIVGRGRDRSSVLTEGLDEWAECLELGPVGDILASSDFSTTASVLIVQQYDAKKHYKVIDDEFMPL >Sspon.03G0024650-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3B:98284509:98285525:1 gene:Sspon.03G0024650-2B transcript:Sspon.03G0024650-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASYGPVMLLRLGRVPTVVASSAAAAQEVMKARDLAFASRPRVRMAERLLYGRDMAFAPYGEYWRQARRVCVLHLLSQRRVYSFRQAREQEAAAMVGRVRRAGAGGRGAGAVNLNAVLISYSNGVISRAVFGNVGRSYGLDGGEGEKLAELFADFEELLGTVTVGELVRWLAWVDTLTGLDAKVKRTSGEMGALLDRVITDHRQRRRGNRRPPEEGDHRDFVDVLMDVNEAEEAGGVKFDNVAIKANILVLFAAATDTTYTTLVWAMAELINHPNEMRRVQDEIRAAVAGSGHDVVTEDHLDKLRYLRR >Sspon.01G0014230-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:39794873:39800348:-1 gene:Sspon.01G0014230-1A transcript:Sspon.01G0014230-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGGGSLAEVEMAWHLLTVLIRLGRPAAPSELAAAAVPSISTRAVEQMCCLPRSPLWISDDGVVTPSETAVLAFLRFMGWDIPGPKVSLRPSEVRRWSGKVSIRYERKRQGSDARCFRAKRRRLLAPDADLVEQTEHQSCQLVAQTCTSVATGEVHLEVMQKLHDRLPTLGTFLGEPSLGFSTGVTLAPNIAKITMLCLQPKLDQPLGGDDVTVIGNMSSALVPTGFSYCPCSVNLPPLDAEKSKSTNVEVDGKSNKINESEQVSLLNCVVEDSDDLRKESVLPMASHDVLVGESKYGADEDLNLVGKNHGSPINDNTKTADSIEAFDMILNQADALQYNCLNDGHHQNAPSCVQEKNPFGASAYAEVCTDKTTQILFQPSMGNKAGSIAPQMNRNVQPETLPQETTRQDGMNMNLNIVSENRDIRYLNHGEQSLNKAEVNVSKNGRDKLAVKQKEKCKKNEQPKEDKDHTAKTQKGHVAPKPLPAFKGFVIEEEEGSGGYGTVYRAQRTKDGKTFAIKCPHPNAHSHHVNNELKMLQRFGGKNCVIKYECSLKSGDLDCFVLEHVEHDRPEILKKDITLLELQWYGHCLFRALAGLHRQGVVHRDVKPGNFLFCRKQKKGYLIDFNLANVSARKSDATSSGKDITSETLSTIAPVVHAKEPAADSKQPLPLKRKRSSRSPVDSTHAPKIDNKSRHGNQAADVSGVTSAKDPTSTKTSLDRLKQPIPYKGRKELMNFLHETMQSPKKSTMPTPVSHRKRVAAPFGSVDRKLFILTPMPLRSGCSAVPGSGMFNNKVQDMENIEEKVHVLELKDSGLQRFDYDFCCAKSISSLKLHILKSFHQGCKVDVWSAGVTLLYLIIGRTPFGGDPEQNIKEIAKLKGSEELWEVAKLHNCESSYPSDLFDVKFPLCPVDLREWCAANTRRPDLLEMIPKSFFNLVDKCLAVNPRCRLSSEDALRHEFFAPCHDDFRKLRIPRRSAGSDAACSSSHQNTAFTAKQS >Sspon.03G0022830-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3A:69661143:69662045:1 gene:Sspon.03G0022830-1A transcript:Sspon.03G0022830-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTSIISAHIHIARPLNIASQTTTSPIQQTTLTHQARVRSDDGKVPTRRTRRLATRPQATPLRIGGSRPDLTEMEGAKEVNARVGFRAWAAHLEGAEARGDSVHGRRFLWPSGSMESSPAVRRRRGMEQPGTQEGDGDRP >Sspon.07G0031340-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7C:21486502:21488859:-1 gene:Sspon.07G0031340-1C transcript:Sspon.07G0031340-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLQMGCCFVICPSREEADKAVTAYHNKRTLPGHKLFVGMLPKNVTDAEMTDLFSKYGNIKDLQILRGSQQTSKAGCAFLKYETKEQAVAAIEALNGTHKIEPQGTYGLMQYPPLSPVQNQAAFQNMVQPVNQGSSIRGANSELSPNSVPRSFNSAQLGSPYSPLPGLQYPGVYPGGPINHRPFSNSHSTTKVQNSNANSPSSSPSSNPGPQIEG >Sspon.01G0019150-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:71841101:71841835:-1 gene:Sspon.01G0019150-1P transcript:Sspon.01G0019150-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLSLNGSGGRRRQSAAPVRRLLRRLRSSFRRSTARPRRAAVRFGYDLHSYSQNFDDGVASSAPAATACREGHSNSDLARFNNWEPKVKECY >Sspon.02G0051630-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:81070678:81071322:-1 gene:Sspon.02G0051630-1C transcript:Sspon.02G0051630-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding PPIHQRRRQPPPHRRRRASPSPAAVGLALPPPAVARPGQRARWPSSSRSSRERLASCRCGPSPVRLHRKAVTAVAPPPPLADPPQGSSPWSPMASMLRPTTDGGSGIGGHGSLWAAARPPVAGGRSGGADPLPRSTAAFLPLPAGAWRSCSPRLRQRPFSSNLVMASSFCRSAMVFLMQMPSYAVMWLPSMNTPHLHILLHGLFKGPLKYNPIL >Sspon.05G0020530-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:84514881:84516170:-1 gene:Sspon.05G0020530-3C transcript:Sspon.05G0020530-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEVGDDNSDMSFLKRIATSDVPLLKEYGVNGVVIALLLAVVTPVLLSMMFGKKTKQRAVRADVGGEEGFAMRNSRFSSLVEVPWEGATTMSALFEMASKKYSRRRCLGTRKLIKREFIESSDGRKFEKLHLGEYEWDTYAEAFNRACNFASGLIKMGHDLDSHAAIFSDTRAEWIIAAQGCFRQNLTVVTIYASLGEDALVHSLNETQVSTLICDSKQLKKIPAISSKLQSLKHIIYIEDEPVEAETLNQVKHLTTLSFTERELGKTSHVDPRLPSSSDTAVIMYQAEVLVFP >Sspon.01G0011070-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1B:37236901:37237976:1 gene:Sspon.01G0011070-2B transcript:Sspon.01G0011070-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFDGEIASKNSMKIVEGFAKIAGECLAMERDKRPEMIDVVERLRVLNKASHQDQGERAGLFSWARKSKPVPAARVTTVPAKILVLPAHLCRQFSFAEMKAATNNFYGRLRVRDGAFGSVYRGKIDGGKADVAIKRHMPYSVQGEHEFRTEIEMSSKVRHCNVAQLIGYCDEMGEMILVYEYMARGCLRDHLYRTKHPPLTWNRRLEICIGAARGLHCLHASQVIYRNLKSEDILLDDAWVAKLTDLALCKIAGPSMDEITRVIGTGGILLDHEYITTGRLTEKLDVYSFGGVLLQVLCARPILDVSLPNEGMKLVDWALHCKEEGKIDQIVDQYLKGSIDQSSLETFVGIAEKCLASE >Sspon.01G0000080-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:638310:641936:-1 gene:Sspon.01G0000080-1A transcript:Sspon.01G0000080-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSRSPRRSARAPLPTAAEPPPGLFPAREDLVRLLAVISIAAAAAAACSVLNRRPEHFCDSPNRPMTTPTEHDVSNAIDQLLSKIPAGLTEDGIQLVKTRVLDSSWGFFDTTFTSNKYAQVMMEPKFAALLWILWIIYRRRALSNRAEQIYEQVCEILEDNAVNAKIDNSNCEPWVVTSWLRDHLLVPRERKNALLWKKVEELILEDSRIDQYPKVIKGESKVVYEWQASGSLSAKIKKVQGARVKSRTGGGAIKLAEEMGACLGEVREQGSCDLTHEGRTSGSLSTKIKKVQGARVKSRTGGGAIKLAEEMGACLGEVREQGSCDLTHEGRSKAKLTCSD >Sspon.03G0036940-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:90693473:90693718:1 gene:Sspon.03G0036940-1P transcript:Sspon.03G0036940-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RDLIMKGEKMTAAEAVRRGIVDAAVDGGVEDVVAAAVAMAEELAGRGWDGENLAGIRKATWPVLWSKVNDYGGDTPARPRL >Sspon.03G0004290-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:11898856:11902042:1 gene:Sspon.03G0004290-2P transcript:Sspon.03G0004290-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAAPPTSLSLAARVATRAATAAAAAPLRRGGLAAACQPARSLAFAAGDARLAVHVASRCRQASSARGTRAMATMAKKSVGDLTEADLEGKRVFVRADLNVPLDENQNITDDTRIRAAVPTIQYLLSKGAKVILSSHLGRPKGFTPKFSLGPIVGRLSELLGIQVQKADDVIGPEVEKLVSALPNGGVLLLENVRFYKEEEKNDPEFAQKLASLADLYVNDAFGTAHRAHASTEGVTKFLKPSVAGFLLQKELDYLVGAVSSPKRPFAAIVGGSKVSSKIGVIESLLEKCDILLLGGGMIFTFYKAQGLSVGASLVEEDKLDLATSLLAKAKEKGVSLLLPADVVIADKFAPDANSQIVPASAIPMVGWGWILAQTLLLHSTQPWIHARQSSGTGPWVFSNLTSSL >Sspon.04G0020600-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4B:75377199:75378439:-1 gene:Sspon.04G0020600-2B transcript:Sspon.04G0020600-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AAAAEDKKEGDDGNGSGQGKMTCDENGVDEGFPYARPTVCELSGDVRVSPKQKTVYLVNPSGAGGFDESGEKRLRPYARKDDFLMPGVTEVTVKSVSSAAVAPKCTKHHTVPAVVFSIAGYTDNFFHDMVDAMVPLFLTTAHLKGEVQLLITNYKPWWVQKYTPLLRKMSLHDVINFDAEDADDVHCFPSGAFVGLYRDRDLILSPHPTRNPRNLTMVDFGRFMRGALALPRDRPAALGEKPGMRPRMLIISRAGTRRLLNLDEVAAAADKLGFNVTSAEAGADVPAFAAQVNAADVLVGVHGAGLANVVFLPTEAVVVQIVPWGKMDWMATNFYARPAAGMGLRYLEYYVGEEETSLKDKYPREHAVFRDPMSLHKQGWQALAQTVMKQDVAVNLTRFRPVLLQALDKLQQ >Sspon.08G0025630-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:10948736:10955639:1 gene:Sspon.08G0025630-1C transcript:Sspon.08G0025630-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAGASAYCGRALGDILDMAACVGVRETGSCIQYNLTQIRYNLSRVEKAASKGKECPRGTAEEEFEVEEERKINSSGIAPGRGTETRRSPIHRRTSHREASAADGDRPADLDPVTPPCAGVRQEAPGAAAAGAVRAGLAQIPLQIAPLKASLLPSKSEMDRGT >Sspon.01G0056890-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1C:93788116:93790939:1 gene:Sspon.01G0056890-1C transcript:Sspon.01G0056890-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKRAYRSCRGNNGQTHRPSHHGSTQQSAFWSGPACMSVDRTSGLQPHGRPPLHAPSCVEPQMELQICDPGFQFSVDFDEDKRIKTLLWTSGKSRSQWSHFGDVVTFDTTYCTNLYKMPFGIFVGVNNHFQSVLFAGVLMRDETASSFKWVFNEFLTLMGGKAPMTIFTDQCKAMHKAIRSVSVFPGITHLWCKWQILKDAPVELGTLYSRNSPFRRDFDYIIIEMLTKDEFERAWADLLDHYKLQEHPFMIKTYNKRKMWAKPWAKDKFCARMASTSANSMLKKFVPRNSSMNRFVEQYRKLLFICDSAEHKAEHQTKQIVNRSTRIYPIEKHAISLYTKKAYELFSGEVDRAIHYNVIQGAAKNEFTVVHYNAELREHWRHSVFTVKVVDAEERYICECGLYEHFGMLCCHAIKVLIDSGVSKIPMAHILKRWTREARDFGYQDIGADTNDASVTDEHRHSLLHVNALEVVGRAKNDRQIHDIVARHLKRAITEIERLVDWRSNQPGYCSPTGNGSDSASDAEAVNGNSYGAA >Sspon.03G0005320-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3A:15234428:15236678:1 gene:Sspon.03G0005320-1A transcript:Sspon.03G0005320-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTHACGVTIFVRSQVVVWVASPAMIRAGSTTAVMTVLLVAFLLEYLPKIYHSVRVLRRMQDVSGYLFGTIWWGIALNLMAYFVAAHAVGACWYLLGAQRATKCLREQCAQAGSGCAPWALACAEPLYYGRSVNVGADRLAWAGNATARGTCLDSADNYQYGAYQWTVMLVANPSRVERILLPIFWGLMTLSTFGNLESTTEWLEIVFNIITITGGLILVTMLIGNIKVFLNATTSKKQAMHTRLRGVELWMKRKNLPRSYRHRVRQYERQRWAATRGVDECRIVRDLPEGLRRDIKYHLCLGLVRQVPLFQHMDDLVLENICDRVKSLIFPKGEVIVREGDPVKRMMFIVRGHLQSSQVLRNGAESCCMLGPGNFSGDELLSWCLRRPFLERLPASSSTLTTLESTEAFGLDAADVKYVTQHFRYTFTNDKVRRSARYYSPGWRTWAAVAVQLAWRRYKHRKTLASLSFIRPRRPLSRCSSLGEEKLRLYTALLTSPKPNQDDL >Sspon.01G0047060-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:93282906:93285016:-1 gene:Sspon.01G0047060-2C transcript:Sspon.01G0047060-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTKATILALVLLFLLCSGYLCGVADGAEARKGKKAASGPVIGIDLGTTYSCVGVYRNGHVDIVANDQGNRITPSWVAFTDDERLVGEAAKNQAPLNPQRTIFDIKRLIGRRFDDEEVQRDVKYLPYKVVNKGGKPYVEVPMKGGERKTFSPEEISAMILSKMRETAESYLGQRVTDAVVTVPAYFNDAQRQATKDAGTIAGLNVPRIINEPTAAAIAYGLDKKGAEMNVLVYDLGGGTFDVSVLSLDHGVFEVLATSGDTHLGGEDFDQRVMDHFIRLIKRKHGKDISKDGRALGKLRRECERAKRALSNQHQVRVEIESLFDGVDFSEQLTRAKFEELNMDLFKKTLGPVKKAIADAKLKKSDIDEIVLVGGSTRIPKVQELLTEMFDGKEPNKGINPDEAVAYGAAVQGSIISGEGGAETKDILLLDVTPLTLGIETAGGVMTKLIPRNTRIPVKKSQVFTTYEDHQTTVSIKVFEGERSLTKDCRELGRFDLTGIPPAPRGVPQIEVTFEVDENGILHVTAADKAGGRSKSITITNDKGRLSQEEIDRMVREAEEFAEEDRRVRERVDARNRLENYVYRVRATVRDGGSMAGKIGEEARERMEAALVEALEWLEEQDGAAGRTAEKEDYEEKLREVEEVCGPIIKQVYEKSSGSAGAADEDDVNEL >Sspon.02G0029870-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:108404599:108408043:1 gene:Sspon.02G0029870-1A transcript:Sspon.02G0029870-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFIGLSFLHPSWKDGSPIVAFVQGLTYLEQLEQWLPFLRNILEIAVVSQLVTGYLPSVILHFLSSYVPSIMKSFSTMQGFVSVSGIERSACNKMLRFTIWTVFFANVLTGSVLRQYEIFLDPKELPTKLAVLVPAQASFFIAYVVTSWTSMTSELTQITALFCHLWGKCAKCCKRDGSKAPSMPYHSEIPRILLFGLLGLAYFIVAPLILPFVLVYFCLGYFIFRNQLFNIYVPKYDTGGKFWPVVHSTTIFSLVVLHIIAIGVFGLKKLPLASSLLLPLPLLTLLFNEFCRNRFLPIFEAYSTESLIEKNRVNQILTLKASPTGIVYLVSNALL >Sspon.01G0013900-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:38952140:38972894:-1 gene:Sspon.01G0013900-3C transcript:Sspon.01G0013900-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSRVGEKLLSSVRSARSLGLLPPTPTAPLPALRSFTLSPSFHGLLSRIVPERAAAAAAAARAIAGLPPHERINLPSNSEDLVSIYGSNPQGEPVEELEEVFYEEEFDPIKYILQSIPDEGGDATYFDKQSTLRLAQLDKIAERLSHHVMGHHEEMVKGMQLVMELEQDLKVANVICMNGRRHITSSKNEVSRDLVVNVKSKKKQALLDVLPVLTELRHALDMQMELETFVEKENYFQAFQLLPEYLQILENYSGLSAVQEMGRGIEAWLARTLQKLDTHLLGVCQMFDEENYLTVVDAYALMGDVSGMAEKMQSFFLQEVLSRTHHVLKEMLEEEVGNNAQKNRFTYSDLCVQVPEPKLRPCLLRTLECLFSLMCSYYAILSFSSEDKNIDSKGPDLADKNDISQNSNETLVNSGRGHSSAAVTQDGSAAEKSNRASSSEVSNSDASTSETGSPFYQLRTDATKLVAQTFQRGRRNLWQLATSRLSVLLSSSAVCSTSTYQFLKNYEDLVIFILAGEAFCGFEASEFRQKLKTVCLNYMVSFHRQNIYALKMVLEKESWTIMSAEASQIISLAGLTGDGAALCSPTSRSLKLPINCYHGNSTTANSGNEKLGFASWLKIENPFSFKLENGSAESPKSNMPFDSSVSNNHGNGNNSSFDEENEDLLADFIDEDSQLPSRIPKTKIVKGNSSHWKDGDISSQTGSSLSLLRMMDKYARLMQKLEIVNVELFKGISQLFGIFYHYIYETFGNLDKSQSSKPLPDHQSSRLKAALSKITQDSDQWTKPNNVSYSPSSPLSLNSTFGQMDVMPTAPPSSMFTSYGLKERCAAAETLSLVARVLNRSRAHLHSVLSKNNSSVVEEFFRTLVDSVPDLTEHIHRTSAHKIANAKWEVKELGIEHNGYVDLLLGEFKHYKTRLDHGGISKEVLINGLQHIVSSNVRPKLQTVDTFIKAYYLPETEYVHWARSHPEYSKSQVVGLVNLVATMKGWKRKTRLETVEKIEAGP >Sspon.06G0026790-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:80885009:80887299:1 gene:Sspon.06G0026790-1B transcript:Sspon.06G0026790-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LMASDRAAGGMLKMVVVVAACVGALIPLSMGGWPPIADIEADCRNTCRPRCQEQVENLCRSVLVNSYPVLIPLYLTCKVRLSSECTRTCVTICTLNTLTPSGSPAPESPPPPSIF >Sspon.06G0012850-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:55461289:55465628:1 gene:Sspon.06G0012850-3C transcript:Sspon.06G0012850-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVVIEREEWALTPLAYPLLSAAALAAVLLLPYFSARTAAHATGSSSPFDVGTAPFLRFRRAFLILFSLASVVEGIHSVFGEDEFVRCGLGREQMAARLASTTAAALFPGAISGVISDKIGPRRACILYWVLQLAVGVVKSFSGLRCSWINNFILAFASSMFSFCFETWLVLEHEKQDQKQDLLFDTFWLMTFFESVSLVGSQEITNALVSDDNSRFLLPYAFAATLSVVGILYIRNASSTSQSTSQHASAIGSYQKSFFAHVLRDRRVLILVLAQASIHFAVSTFWFLWAPTIVADGRYAQLSVIYPCFLASRMLGSAGFPWLYGTTAPFRNEDSLTIAYIGAGLALSIVAYDYQEIGPLVIVFCIFHACVGFILPSLARLRTMYLPNELRGGMMSFSLSLANAAIFVFLLQGAHQRHFANSTILSLASYGLLGAGGCIHMLRRWRKHTRQNARSL >Sspon.07G0008770-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:22558599:22561763:1 gene:Sspon.07G0008770-2B transcript:Sspon.07G0008770-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AMTMESGERQLKTWVSDRLMALLGYSQGIIVQLVVRLAQDCASAGDLAARLVDLGGFPSTPDTVAFAEDVYGRIPRKQGAEGSEYQRQMQEAAALAKKQSEFKLLDDDDDGEAGVAASSCNSRSRKRFRKKGVPVPQQDDEEAAALSDSGRDLRRRRRCPESDEDADDLGDEEEEMRRDQAEKAQLERNIRERDEANTRKLMDRKPSRHEQDEQARRSEAMDRGDTSELRRSSRYAYLEKRKKEKVEEWRRGILDDEFLFGGVRQTDAEERELKHKKEVYDLIVQGRRADQDEGEGGYYRMPDAYDDAANVDQKSRLSVARRRHDDDDREARGAKGKTFSEQESWEEQQIRKSRLQFGSKDRGGASDEYELVFDDAVEFVKSAAAMAGTEQDEDELADEIDAKVSLQRELQDERKTLPVYKFKDELLKAIAEHQIIVVVGETGSGKTTQIPQYLHEAGYTANGLKIACTQPRRVAAMSVAARVAQEMGVKLGHEVGYSIRFEDCTSEKTVVKYMTDGTLLREFLGEPDLASYGVVIVDEAHERTLTTDILLGLVKDVARFRPDLKLLISSATLNAEKFSDYFDMAPVFKIPGRRYKVDIHYTVAPEADYVDAAVATVLQLHVTQPPGDILVFLTGQEEIETVEEILRRRTRGLGSKIAELVICPIYANLPTELQAKIFEPAPPGARKVVLATNIAETSLTIDGISYVVDPGFCKVKLYSPRTGTESLLVHPISKASADQRAGRSGRTGPGKCFRLFTEYSYNKDMEDETVPEIRRSNLASVVLSLKALGINDLVSFDFMDPPASEALLNALEDLFALGALNSRGELTKTGRRMAELPLDPMMAKAIVASERYGCSEEVLTIAAMLSAGNAVFYRPRDKALIADAARQRFNAGNVGDHISLLNVYTEWEQSGHSAQWCLDHFVQSRTMRRARDVREQLEALLERVEIEHRSSAGDLDAVRKAITAGFFRNTAQLRQDGSYRTVKSWRTVFVHPSSGMARVEPSARWVLYHELVETTKEYMRQVTELKPEWLLEIAPHYYQDKNLETPDLKEAKAHL >Sspon.03G0023540-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:90377602:90380841:-1 gene:Sspon.03G0023540-3C transcript:Sspon.03G0023540-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSAARRLSTTASAFSAVAAAPPKLSSLFRNPKPRPRPDPGVEAPRRGPRPRPRQPWEEEAGALLWRLHEGGYLPGPDLSSAPHAASPDAVKTAAERFGHDHQPVAKWLSGSDLKKVALFGCPTVERRTVFASKRLRAFFNLQEEKICSSCKLRSSCKFVNQEVARHNKVILSDTMRVISLFVLDACEQQLQVTAELKASVCKLLKDTINLSI >Sspon.07G0033730-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:63185731:63201062:-1 gene:Sspon.07G0033730-1C transcript:Sspon.07G0033730-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLQLLQLTDRGRGLLASRRRTLAVVSSAVLAGGALAYARSSQSRRRRRPEANRGGEGSALAANGDGAGGGLAAARQKSSGLKSLHFLAAILLKKIGPRGTRYLLGLVLTAVMRTAVGHRLARVQDIAHADYFENMVYYKISHVDHRISNPEQRIASDIPKLSSELSELVQDDLAAVAEGLIYTWRICVVAGGVIRNFSPAFGKLKSTEQQLEGDYRQLHSRLRTHAESVAFYGGENREASHIMQRFEALVEHLNLVRHENWWFGMIQDFFLKYFGATVAVVLIIEPFFSGNLRPDSSTLGRAEMLSNLRYHTSVIISLFQSLGILSISTRRLNILSGYADRIHELLDVSRELSGVRDRSLNHNSPGNYVSEASHIEFSDVKVVTPAGNVLVDDLTLRVETGSNLLITGPNGSGKSSLFRVLGGLWPLVSGHIVKPGVGSDLNKEIFYVPQRPYTAVGTLREQLIYPLTADQEIEPLTYDGMVDLLKNVDLEYLLERYPLDKEVNWGDELSLGEQQRLGMARLFYHKPKFAILDECTSAVTTDMEERFCKKVRAMGTSCITISHRPALVAFHDIVLSLDGEGGWNVQHRRDDSSFSTEESDFSSSETDRKSDALTVQRAFMSRAKVPRLFDKQGGQLLAVAVLVFSRTWISDRIASLNGTTVKFVLEQDKAAFIRLIGVSILQSGANSFVAPSLRTLTAKLALGWRIRMTNHLLRYYLKRNAFYKVFNMSGKSIDADQRLTLDVDKLTTDLAGLVTGMVKPLVDILWFTWRMKLLSGRRGVAILYAYMLLGLGFLRAISPDFGRLSGQEQELEGTFRFMHSRLRAHAESIAFFGGGSREKAMVEAKFVKLINHSKILLRKQWLYGIVDDFVTKQLPHNVTWGLSLLYALEHKGDRALTSTQGELAHALRFLASVVSQSFIAFGDILELHKKFLELSGGINRIFELGVYTLRSKKVLRDLWPTFSGELKALRRDVPCSSAAIYQPGYSAGSDHIPSLKGGSEDK >Sspon.03G0008190-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3A:22212635:22213364:-1 gene:Sspon.03G0008190-1A transcript:Sspon.03G0008190-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSEEMVTPRAAASEPDEEERKVTAAEVPVERAVEADAAGSGEVMVDAFPPETAAQEREGKVDEAEVEVHAVVAQEPEVKDVLVAEESVVQDPVADAPAAEASEVKKEVAKVHPVLESEPQVDEVVVVEETPVAPEVQEPEVKGTGATVVLKEPETNSGNVVVKDSAEVSRSQEAVDVHTTEVARGPAVAASGQRATWWNCCGLFDAFTGSGR >Sspon.01G0027060-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:93900735:93903827:-1 gene:Sspon.01G0027060-2P transcript:Sspon.01G0027060-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRNQELEEVVPNDSDPLLGRENRESESSVELSPPQPASVSRRRLRMKRPMALLLRAAAFALRLSDELISPCMCKGTQQFVHRSCLDHWRSVKEGFAFSHCTTCKAQFHLRVETWEDNSWRKMKFRIFVARDVLLVFLAVQLTIAIIGAIAYFLDRDGSFRNSFSDGWDRFLSKHPIPFYYCIGVVVFFVLLGFFGLIVHCSSFNDNQDPCLAGCRNCCYGWGILDCLPASLEACFALVLVFIVVFAILGIAYGFLAATMAVQRIWQRHYHILTKRELTKASNFPL >Sspon.02G0044380-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2B:100748454:100748741:1 gene:Sspon.02G0044380-1B transcript:Sspon.02G0044380-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDPSSLARSGGLFGGIGGSCSRANESESPISVPQPSHGVPIINEEQSESSPEEENQKSGRRLWTKPMNLRLVHAWLNNSNDPIQDVDKKYDHY >Sspon.03G0016860-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:53229992:53236543:1 gene:Sspon.03G0016860-1A transcript:Sspon.03G0016860-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPPKTTKKHHARLNNPFPRAVPTAAIRDGDAAPRLSFATISKLAHAHDFPVGTRFRLHWDPSRGGEVSLSRVPPPPGDGDGDGEAARRAMWETVPGVAFLSAASAATEADECRGSFALRDGRARLVPDRQHVDRIKASYRCDAAEAGPGGPDLLRAAAFEPSDATRFPVLVMTGLVSAKKAGASPSCTCCGLHAAGRRARSAAAAGRPVLSARYWIVLEEKSDTQVAFSVKIGDYQWTCAHGDPAKSPPATATPRLQLHRPSLRLRLSARVQRGTSKKTRLAPAPSRAEVSALLPAPERAEERRPEEFNRVFLTYASSRDERFFGFGEQFSRVEFKGKRVPVLVQEQGIGRGDQPITFAANLVSYRSGGNWSTTYAPSPFYMTSKMRSLYLEGYDYSIFDLTRPDRVQIQIYGNSVQGRILDGDSPTELLTSYTESTGRPPVLPRWITSGAVVGMQGGTDTVRRVWDQLKDYDVPVSAFWLQDWVGQRKTAIGSQLWWNWELDDAHYSGWKDLVADLRNHGIRTMTYCNPCLVPMDEKPNTKRHLFEEAKKLGILVRDEAGEPYMMPNTAFDVAMLDFTNPEAHIWFKSVVLRGMADHGVSGWMADFGEGLPLDARLHSGEDPVAAHNQYPELWARVNREFADEWKASRRSAAETAAEGDDDGLVFFVRSGFRESSRWAMLFWEGDQMVSWQANDGIKSSVVGLLSGGLSGFPLNHSDAGGYCTVDLPPFLRYRRSEELLLRWMEVNAFTVVFRTHEGNRPGSNCQFYSSGRTLAHFARCAKMYKAWEFYRARLVGEAARTGLPVARHLFLHYPADERVQALTYQQFLLGTEMLVVPVMDKGRTAVTAYFPAGAGAWRHVWSGDEYGAGVQGGFEAQVEARLPKLIPDSHTGPDTFQLHTQVP >Sspon.04G0000450-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:935084:941000:-1 gene:Sspon.04G0000450-2B transcript:Sspon.04G0000450-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEYHHHHRMGAAADFRRDLEDLVCDHLGGCFSPPPSSSSSCSAAAGGGAADHEPDGEAESSAARRRRRESRLLSRWVARQAEEVLSSMEREVERRNREAELLALARLHPVSTLDPSPFLLSSPAAPPPPRPQAPSPTAPSSLLQMWRELEHRRADAHPFDREPSPDNADRDRERVRQIARRLTDTADGPTAAAAATATGEWLGETERQRVRLVREWVQMASQPRDSRGGARRDEPATSADRDRRGEPPRLRGRQARLDVISRMARERQRELQGISGYHVVSEFPRRSRNRIQGLLRGRFLRNGALPVEEERPPSVAARELGQLRQSHRMPALRSESVASSQDVSQSDASVAESVRLLGNDESQQGADVAFTDSEDTAQTLLENVDLQEMGADRAEAHSPSIPLDGMAVMQESLTQGDNMRQDETEDDMGFWQSSLDGRLDRWPSEIDEGADRTWEDNAEDLHSETVEDDDREHGHLQEEHDGWHDDESHGTMENWQDDYQDSALDTGPIPRTENRFIPPDDDNVYSMELRELLSRRSVSNLLSNGFGESLERLIRSYVQRRGHGPLNWNLDAAMPASNAPNANQEQERNPETRQFQAPVNRPALVIPPPPLPPRQPLWHRELRHNNWSSRHREWDAINDLRADMGRLQQGMSSMQRMLEACMDMQLELQRSVRQEVSAALNRFAGPEGFSMDLSEDGSKWNQVRKGTCCVCCDTQIDSLLYRCGHMCTCSKCANELVRSGGKCPLCRAPIVEVVRAYSVM >Sspon.04G0026700-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4B:49396429:49396753:-1 gene:Sspon.04G0026700-1B transcript:Sspon.04G0026700-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding PSRPFTKPSTKLRGPPQPLPSPSTARFVAPHDVSSVLAPGKEQREVKLCQIRQPCQGQACSTHSARTEGTAIPHLKTDAIPTPSYPDNVAEPPELTHFRRHLSFTRH >Sspon.04G0011600-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:7426896:7427619:-1 gene:Sspon.04G0011600-2D transcript:Sspon.04G0011600-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEQAEAARRAKEAVEHYTRPRDITFLTNRAAAYFRTGKYEECVRDCDEAVKRGRELGSDSELIAKALSRKASALLELACCAADYTPAIRALKLSLAENYSEETLEKLNEAESVRKEVEEQERLDQEAANQYREKGLDVARLQDY >Sspon.01G0022510-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:80980747:80984944:-1 gene:Sspon.01G0022510-3C transcript:Sspon.01G0022510-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRLVAAAAASSFSSSVSPLARLISRRGLAGAADGHGPAKVPLWKDPLSPSKWKEEHVAPAKA >Sspon.05G0004630-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:13853502:13856625:1 gene:Sspon.05G0004630-1A transcript:Sspon.05G0004630-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMVEGPNFAGMVGGHDNGGNFCDMAYYRKLGEGSNMSIDSLNSMQTSTHGGSIAMSVDNSSVGSCDSHTRMLNHPGLKGPVVGNYSVGGHSIFRHGRVSHALSDDALAQALMDPRYPTETLKDYEEWTIDLAKLHMGMPFAQGAFGKLYRGTYNGEDVAIKLLERPEADPERAGLMEQQFVQEVMMLATLRHQNIVKFVGACRKPVVWCIVTEYAKGGSVRQFLAKRQNRSVPLKLAVKQALDVARGMAYVHGLGFIHRDLKSDNLLISGDKSIKIADFGVARIEVKTEGMTPETGTYRWMAPEMIQHRPYDQKVDVYSFGIVLWELITGMLPFANMTAVQAAFAVVNKGVRPAIPQDCLPTLAEIMTRCWDPNPDVRPPFTDVVRMLEHAEMEILSTVRKARFR >Sspon.02G0006320-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:19396183:19406495:1 gene:Sspon.02G0006320-1P transcript:Sspon.02G0006320-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGPYCVWFNSISPRPRPASGDDGLEVELVTNSDLDLEAASTVAPAARDAPCLRRVRRRPTAATFSGFRLARVVTQTLRQMNRGQQKLKDGDMAIFSMEDWSRELPLVDSSTTPKLKTSDLIERRPSDTYSGAMYPLEKERMWTLSSQKNKRVQRTAKEVHRAPKTAAPILPLPIFRRLSAMMPSRQARQWSGGMSCVHCASPYTVRSLSPDDDHGASPTAHLPDRPAAASASALSSSVTRWSNWSATERGTGSMLVTSTHSGALLKLARKFLSEKRRWYSSE >Sspon.02G0029140-3D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2D:99213945:99214367:-1 gene:Sspon.02G0029140-3D transcript:Sspon.02G0029140-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTYLPLAALLLTTAVAILAATSAVPVVAAAAADKYSGRMVIIHAPGSSRVVSAGGALINKWQQQRRLVEDEVAPEFGSLVGAGNGGGHVTTAALNPDSPFCQPHAQCAALGHGSSTRSCTYWEHCPHTPTAAGHARAVH >Sspon.02G0008700-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:5640060:5642038:1 gene:Sspon.02G0008700-3C transcript:Sspon.02G0008700-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding NKQDTPDTTTFLLRGSSPSSPHPVLLSSVHHGLLTSIHPSHCPKSISLLSGLHWPVPSGCRCGVPGMRASSGRTRRLLAVAVLSVALSLATATEAVAGGDHNFLRDFDVVWGAGNARFRDGGRTVELSLDERTGARLQSKQRYLFGKFDLEMKLVPGESAGTITSFYVNLHGRREARRGRLRVPGNASGEPYLLHTNIFSDGRGEREQQFALWFDPTRAFHTYTILWNPHNIVLYVDGVPIRVFANNAAAGVPFPARQPARVFASIWDAEDWATQGGRVRTDWASAPFVATYRRYNVTNACVWEEEEGGGGGGRARCPTMVVLGGGPRRRRAPAWMAQRMDWWSWMTLSWVRMNYMVYDYCADRRRFPHEFPPECAIPIGRS >Sspon.02G0019740-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:64327121:64336249:1 gene:Sspon.02G0019740-2B transcript:Sspon.02G0019740-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPMQNETTAHEENSKVQLLRVLETRKTVLRKEQAMAFARAVAAGFDIDNLGYLIAFAERFGAARLMKACSHFIELWKQKHETGQWIEVEPEAMTTRSEFPPFNASGIVFMGDNMKPNLESGSINGEANGEDGAKADQKSSQQMGSHATYPPWAMHPSGAVVYPPYPMQGMPFYPGVNPYYPPYPPVDDPRYHYSGRKSSRKHSSDSKDSETLDVESDHSSSDRGSSHGRKSHRKGKRSGKKKPSVVVIKNVNVTSKKHGSSESESQSSSEVESADSDNSHTKSRERKHKSTSSKKKEGRRTTFDSGDEYSKDETSNGHDAEQEEKTRSSDADLFAGEKEPPSRRKQNVNTADPILLADRDGGNVYERNKVGFDSANGRTRAIRVMSNDELIMSGEGRSCMDGEIKEIEAGGGRYRRGTGDDFMVYGQGIQIDRHSLLDPLAEAQYKNPVQQDKNRNGVADESFMIPLRSSSQDNIGAESRTTIDIDVELPSRIQKASDEKAGHQLFYEPDELVPERGFEDVSFGYDPAMDYDSHMQTTVKVEDAKTEDVVPVTEGDVQKVEKEKPRNAKDGSDKRRKDALLRRLSAPRTPLNDAQKPEFAREEEQIKRLERLKLERQKRIAARGNGKGPGNDAPKANGMNGLSKSVPNFTGLKKEKNGTTESLSDRLKRLSEPKSIAGAEHSLNPKSTGADHSRRSMA >Sspon.02G0003580-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:11716475:11716792:1 gene:Sspon.02G0003580-2C transcript:Sspon.02G0003580-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGASTRSSAGRLSHGDGGTETALPKLQLLPWLHEAVVKVVAADDDRDYLAGSATAAKAGKRRSTAQAGLARGVKVFLSEVAEMIRTKFERSIPAAKFGHVAYIR >Sspon.02G0005530-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:17416404:17426241:-1 gene:Sspon.02G0005530-1A transcript:Sspon.02G0005530-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPTQPTPRRLQSPADLADDAIGEIFLHLDSAPDLARASFACASFRRVITDHGFLRRYHALHPPPLLGILASGFIPAQPPHTSAIAARAFAQVDAVDFSFSFLPIPHRWRRRDSRDGRFLLSGVPTGRGYRRGDLLWITDLAVCDPVHRRYLLLPAIPADLTAAVHQPDIVDFEAFLAPAAEDDKDTSFRVICLAQCAIKLVLFVFSSGGEGRWHAVTFDDWSAMITGTGNPASTGTGNPASGSELSQRYYAHRCFYWLMHRRNKLLLLNTSGMEFSTVELPQNYTGEIALWRQGKESPKSTDSFPLQPGAVGRSAAAMASPPSPIADLRAPQLPSLTDELLEEIFLRLPTPTDLARVSTACGSFRRVITERGFLRRFEAVHTPPFVGFVPEGQDGFYPAQPPYPSALLARAVANAADFSYSFVPIGRWLKPWRPRDYRQGRVLLECLPKYDDRYDFDRVVFLMDLELVVCDPLHRRYVLLPSVPQENTAQHGRIVDFDAFLAPAGQDEEETSFKVICTARNKANLFAFVFSSVTGQWCIAASPSWSSLGTQAPGYRKLAYPDYACGCFYWTGHWVGKLLVFDARKMEFSIVNSVPSSYLIESSSKSGIVVGAEGTPLMFFFGSHREDDSLDVLHITKLNT >Sspon.01G0024560-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:85719653:85722593:1 gene:Sspon.01G0024560-1P transcript:Sspon.01G0024560-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATHRLPPGTVVRMLEDNGIRKVKLFDADAGPMDALAGSSVEVMVAIPNNMLDMMTDYGTARDWVHQNVSRYNFDGGVNINGRERALPVVFQRHVPERHAARPQNIQRALNDAGFGDTIKATVPLNADVYNSPTDNQVPSAGRFRSDIAGLMTEIVQFLDQSGAPFTVNIYPFLSLYDNDNFPLDYAFFDGTSSPVVDTGNGIRYTNVFDANFDTLVSALAAAGVGGLPVVVGEVGWPTDGDKHATAAYAQRFYAGLLRKLAANTGTPLRPGQYIEVYLFSLIDEDAKSVAPGNFERHWGIMRYDGQPKYAMDLSGQGRNTALVAARGVQYLPRQWCVVNPNAPDTSKMGDSVTYACTFSDCTSLGYGSSCNGLDAVSNASYAFNMYFQVQNQVEGSCDFQGLAVPTAQNPSTDACNFTIQITPSAAGRRRAGATAATALLLLVLLASLHVVP >Sspon.02G0019230-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:58395807:58398264:-1 gene:Sspon.02G0019230-2D transcript:Sspon.02G0019230-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRASAAASHPLLLLPARTRGRGGAPPRFHAAKLDALNGRLLRSSSSTLSCSCSPFPSPGDGYFFILHIPLSFGGLGVIAKVLQRSSLDSMTTLAELVLTLALLQYTAKPGQAIQPFFSGKVFTERNWVKETVLGFVVLMALVLTTSILADKLVGSEDAYDPILKPILSDSPASVLLCFFLYCVIAPLSEETIYRGFLLTALSSSMKWRDAVVISSLAFTVAHLSGKSSIQLFVVGCITGLAYCRTGTLAASFTIHSLYNCIRL >Sspon.03G0014620-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:69084686:69086984:-1 gene:Sspon.03G0014620-1P transcript:Sspon.03G0014620-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSADRSKIDGIVVAEKGARSCVECRATTTPMWRSGPTGPRSLCNACGIRYRKKRRQELGLDHKQQQQQNNGEAKTEVKDSSSNSSSSGSSNLQVVQKRRLLMGVEEAAFLLMTLSSSPTSTWLHG >Sspon.06G0027790-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:90176765:90182184:-1 gene:Sspon.06G0027790-2D transcript:Sspon.06G0027790-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCHLRYHILSNARPTAPHRAITINDMAATSPLEEFFVKDSMEESPLSPSLDVFPPKQEGGRSEGCHHHVPSDMMLPYISHMLMEDEDDTDDKLLSGHPALLQVEQPFAQILSSPSLGAINGDTNDLLQDGGGDERTPNYSSLSRCTYAVVGEFLKGMDEANMLLPGDNGFISDEQLVNQMVRRESSHRQSGSKKRNNRDDNLEDEVITRSSKAMVMIKEPEDICAHEPMLEDMMSNGHETYIRDLEKLRIDMANEAQKNSSKGGKKAVSNTVVDVSSLLIFCAQAVAANDHARARDLLKQIKQHASETGDVTQRLAQCFARGLEARLLGMGSQLWQLQLAERLSIDEYLKAHNLYMAACSINKLVVCFSTMTIMQAMEGKTRLHIVDYGMRFGFHWAHLLRLLASREGDPPEVRITAIVRSRLRPCPAELIEDTGCRLIKCAHEYGLPFSFHVIRKRWEEVCIEDLDKHPDEVLVVNDHFNFSTLMDESIFFDNPSPRDTALHNIKKMRPDIFIQSILNSSYGCSYLSRFKEALFYYTAMFDMFDATMPRESKSRVVLEQGLFGRAALNVIACEGIDLLERPEKYRQWQARNQRAGLRQLPLEPTIVNTLKEEVRMCHHKDLLICEDGQWLLQGWMGRILFALSTWVILCFPSDTIAMVGIFGHATPPSPSVFLDLPPTIDGMLMENDSSAHQDNDLVLPYISRMLMEEEDIADKLFYQQQYDIDDPVLLEAQQPFMQIISSAVPSRPCNSVELSGQPLPDTSAVAPYIGTESMVETNSLLPAESSCMDAVSMAFFRGMEEANKFLPFVIVRRDSDSE >Sspon.06G0031910-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:74870063:74871030:1 gene:Sspon.06G0031910-1P transcript:Sspon.06G0031910-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKCVRIRGSSKPPPPPPTEAAAASCLTLRSGRRVLAASSACSPRTSGRPRRHRGSALRRWCGAAEAADKQRACGSPRRRSAGRVLGAQQLCHDGRPEEEEEVPPSRAADTGADAVARGAGDGRGDSFERPKTPPLMTADCDAAAVKAKAKHENESHRCRGVVTGQLPSPPPPPPTEAEIEAFFAAAELAERRRFAEA >Sspon.07G0018700-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7A:67659339:67659659:1 gene:Sspon.07G0018700-1A transcript:Sspon.07G0018700-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKNTVWEDTLTTSLSVGHSVEHPRRRRRCHPTPAEPAPAGRCARLGSGGMTRRGLELGGEREFEGSRDTEEAADRNVAATLGGRGRTETGSEVLVSDERKSQQN >Sspon.06G0017420-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:94721928:94723191:1 gene:Sspon.06G0017420-1T transcript:Sspon.06G0017420-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAFCSCLQPDYSDHHGNQASSAFGNCMCLRCFTQQLINAYTVLFRAGAVHSVSPAIEATPVDLNESSFDTYRSPPRPLPYDDPRFSPPARDWLRHESPSRSPEESEPLRANDDDEEMETPSRIDKASKTNYSTKMKISSSAYGDKVPPREHGNYFSYFSPSAEDEDVCPTCLEDYDSENPRIVMQCSHHFHLGCIYEWMERSEACPVCGK >Sspon.03G0046350-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3D:35565226:35565799:1 gene:Sspon.03G0046350-1D transcript:Sspon.03G0046350-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSMASSSGRQRKRPDLALIFCPDCGWRKVMELTSHTSTNPDKVFFTCPNQQDDYEQYLKDNHGYKSEIGEGGATVVGGPIGGGTQQETMHTTAVEDEETGLLGNAVNKRYDQEICILLKALVAVGVVGLVVMICILVVVIMK >Sspon.05G0005990-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:10926214:10928250:1 gene:Sspon.05G0005990-3C transcript:Sspon.05G0005990-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSCLGACSGGGLALSAPRCRAPSYCSVAPHRASVSCSAGGGKASPRGKDNVWSVDNDRAAKEAVRGTKHRRRRRPSGRRLPPPKRKGKDAGSRVLVSGAMLVEVETVLQTQEPVIKPSWDTFASSLSGNWKGVGAVFSPITAEMEPVGVGSKEEYLYDCYTLSHIERSFDGGHGSEIRRKTNWVPINPFGEAEKQITSYDGGSQSTSSGKGTANLPSYESFDLNRSAVLDEETFSMEPGIVFFEDGSYSRGPVDIAIGEYDESKYFLSPTYKFEQVCLLHLSLHFQFPQTTCKRFRLTLMIRMLVSMC >Sspon.03G0025280-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3B:99956917:99958007:-1 gene:Sspon.03G0025280-2B transcript:Sspon.03G0025280-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding CLPPMNLIRCWRWRRRWRGRLIRGSSSAPCRASTPTTTATSSSSSPTRRTSASSPSPPASPPRARSSGARTSSVIRHPRILSADLSASLFLTVTPPAHPDLNGRLLLRPRRRLLHVPPRPRPRPRLRSVRRHRRAGRRQLHGRRPPVP >Sspon.03G0023870-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:96365925:96369890:1 gene:Sspon.03G0023870-2B transcript:Sspon.03G0023870-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRRQHRRGFPLVPLLLSLLAAAAYGRLISDGAPSAPLVSVIRLSGSPPAAGAAAEEKCEQSYGFLPCTTTVLGNLFLVLIYGFLMYKAATYLSTGSELLLEIMGPGLVGGLLLPILGALPDALLVLVSGLSGSKKEAQSQVLIGMGLLAGSTVFLLTLLWGTCVVVGKCDIGPNREAVDVTDTKGFSLTGTGITTDVQTSYAARIMGLSVIPFIIAQFPKMLKTHHGQRLAMLLALIVSFLLVLSYCLYQVFQPWIQRRKLAYAKHKHVISGILRHAQMQSLGRLLNDDGTPNEDVIRKLFRKIDMDESGTLSRAELHALIVGINFEKVDFDRTDAVDKVMADFDTSRNDIVEEEEFVQGMKIWLNEAKRHVPVGGAFSSKFIDEYHERTRQEHNQLIDRSDEAVESVENPGWCITKAVALLLLGAAIAAAFADPLVDAVHNFSNATHIPSFFISFIALPLATNSSEAVSAIIFASRKKQRTCSLTFSEVYGGVTMNNTLCLGVFLALIYFRNLTWDFSSEVLIILLVCVVMALFTSFRTTFPLWTCLVAYMLYPFSLVIVYILDYVFGWS >Sspon.06G0024090-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:51117093:51122710:1 gene:Sspon.06G0024090-1B transcript:Sspon.06G0024090-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRWAAFLWEGASRGSGRRPGVSNLLLVVAAASSGGLVAYADSGSDAAVEKPQLPPRKKVVVLGTGWGGTTFLRNLDSRLYDVQVISPRNYFAFTPLLPSVTSGTVEPRSIVEPIRRILEKKGGEIKFWEAECFKIDPQSKKIHCRSNVGTNLEGNGEFSVDYDYLVIAVGARTNTFNTPGVVENCHFLKEVEDAQKIRRSVMDCFERASLPFLNEEERKKNLHFVVVGGGPTGVEFASSLHDFVTEDLSKLYPSIQHLVKISLIEAADHILTMFDKRITNFAEDKFGRDGIDVKTGYKVVKVSKDAITMQNPATGDISVPYGMAVWSTGIGTRPFVVEFMKQIGQANRRVLATDEWLRVRECDGVYAIGDCATINQRKVMEDISEIFRVADKDKSGTLTVKEIQDILDDIYVRYPQVQLYLKSKQMNGIADLVRSAKGDAEKESMELNIEEFKKALALVDSQVKFLPATAQVASQQGQYLARCFNKMKDAEENPEGPIRIRGEGRHRFRPFRYRHLGQFAPLGGEQTAAQLPGDWISIGHSTQWLWYSVYATKQISWRTRMLVVSDWTRRFIFGRDSSCI >Sspon.04G0006460-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:19258269:19263381:1 gene:Sspon.04G0006460-4D transcript:Sspon.04G0006460-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGALEALCRAGGWSYAAIWRPDRRDPRLLTVGECHCEDEARKVVEKMVNQVHVVGEGLIGRALISGEYQWISDDIPFSLSQISDADNLGLCQGYTWWQHQFLSGIKTIAVVPMPAFGVAQFGSMQKVSESLEFLDQVKGATFLMESLSWPPSSKDAQKDAFMYNPQFQLDSPSTTEGLVRIKAGPENSRLENAISVDSLKNFAITSNNHSLHCFNGYTSNKSFSGLNPHIVAMPVNSKSISTLKVFQSDSNLRHNNISESAQQFKSAKQPGSSWASAATSFSNLTNLQRIEHGLSCTPNKLRYCLQSEKSSSFLDSHSSIVSTDAEQKSTLFDNDAPFVQSDVIQEVGTAGSTRACELHELPNEIWGETTTGAMKPVIKGVNKNNGFLESTAFDPVMNDWWDDTALLAGNTSHLSATAMNSVSGQASSDPLSVEERGLFSESFFEELLGFDSNVGPVMDSTEPLAGFVSGCHLPRYSLQDSFSVCKAQVPPLILPSSSCTSENVPIGSSKETPMSLQNLSMDDCGSLNTANSKVSQVKKPEGEKVVKKRARPGESTRPRPKDRQQIQERVKELREIVPNSAKCSIDALLDRTIKHMLFLQSVTKYAEKIKQADEPKMISKNSGAVLNDNSNGVVLKDDPSGGCNGGATWAYEVAGQTMVCPIIVEDLAPPGQMLVEMLCEERGFFLEIADTIRGFGLTILKGLMELRDGKIMARFLVEANKNVTRMDIFLSLVQLLQQNSLNRSSDQLTKVMNNGIPSFAEHQQPPVSIPVGLAGR >Sspon.07G0017630-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:82125736:82129721:-1 gene:Sspon.07G0017630-3C transcript:Sspon.07G0017630-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRHLHRSLRTIHCLAVTGHAACNPVPLHRLWDSHIEDTDIIIDRIRKSTRKLEEGPVGKNLSSAEKRKFLINTLLSLEDSREAVYGTLDAWVAFEQDFPLASLKQALSVLEKEEQWHRIVQVIKWMLSKGRGNTMRTYELLVCALEKDNRAEEAHRFWQKKIGHDLHSVPWRFCRLMLAIYYRNNRLDTLVKLFKELEACGRKPPSKDIVRKVEDAYEMLGLLEEKKALLDKYKDLYNKPSRNDRKKGSKSKKTESDKISADGSRECKTETSENLEDHSFPLEDLDVLTGHACNAVAALLATELWMPKLYSYPA >Sspon.05G0006130-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:11120668:11123983:1 gene:Sspon.05G0006130-3C transcript:Sspon.05G0006130-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLIKGLVHVAIDAVEDAVRERGHGLGGDDDGEAPRRAAPQRADPDADGEEERDERSRSTWAEVVSEQKGSDPDERRDHRNSGRDKRHERREDEGWKRVDGRNQPQHPAGRQNQCEGEERWGGGSRRPQQRQQAQGYGDQQQEEGRMNDGGWQTVGEKKHHGRPQQSEAWNGYRKPPSEQKYSEDVGQIHQGLNVEPTREELNSLSKACSRLWELDMNRLVPGKDYRIDCGEGKKVYQKGDMASESLFSWLGDDVLRKPTYSRFCALLDNYNPHQGYKEVVTQQDKHEEVAFIEEIARTAPIKYLHRYLVQKGAVPQDYEDFKRVLTSLWFDLYGRGGTSSCSSAFEHVFVGEIKGRGQGENEFYLEEAKGNVDYQGYIFPRRRGESPDSETQLLTVQFEWYGVLKSVSSTLLGVSPEFEVALYTLCFFVGGEDNRVDIGPYSVNIKCYRLGNNKIGSAFPIAEN >Sspon.04G0025390-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:30008919:30014135:-1 gene:Sspon.04G0025390-2C transcript:Sspon.04G0025390-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:tRNA modification 11 protein [Source:Projected from Arabidopsis thaliana (AT3G26410) UniProtKB/TrEMBL;Acc:Q9LIN4] MWYLCVFYHRLLDYRRPEVESLAELFAGPGAGESVEWRMPENHHVDSPFHLVRLPGNERLAAQVANRSLLVKGIYELWGHGTTYEELEKSVREYPDERKLPFLTPESTFKIVVDSFGKVISSQEQNEIIQSLTYIPFQGRVNLKKPDHRFFVMETDDYGSNNGLPPVVKRSIFFGREVGAADRHLLPTYQLKSRKYIGPTAMDAEMAFLMANQGLARPGKLVYDPFVGTGSILVAAAHFGAMTMGADIDIRVVRDGRGPNCNYKLPEPLCLLRADNNLPPWRPGLKEMFDAIICDPPYGVRAGGRKSGGWKLLKGVKGPYTVPDEKRDNHIPSTAPYSLAECVHDLLHLAARMVVVGGRLVFFYPVLRGEDGAASPQFPDHPCFKLITSSEQILSFRYSRVLLTMVKVAPYTEEIEKMAAERHKEFKENHQKWMEEGNLHSAVFEPAQDGKPDRESKPKYRGNLFEAVTKQRNLSGTENCGFGVANLQCKDRHLSGPFALQIGFI >Sspon.03G0026630-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:2139656:2139886:-1 gene:Sspon.03G0026630-2C transcript:Sspon.03G0026630-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNMTTAEPVKLIGAFGSPFVHRAEVALRLKGVPYELILEDMSSKSDLLLQHNPVHKKVPVLLHGDRAVCESLVIVE >Sspon.02G0037550-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:34537466:34548687:-1 gene:Sspon.02G0037550-1B transcript:Sspon.02G0037550-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAQPVGGVLLTSPTDAAGEAPVGSGPRSPLPILFLALCISSGMLLHAEQLAAAAAEHLCPVVVLVCVVISITALFNLTVFSLNFVIARGRAAGGPRLLLRPTARAGAAPAVSASKSLLAPFIGLCLAMGAVLHAKSLAAAAAEYQIDPAIVVCVVLSGVALFHLAVLSIHKTLASHRAEDEPKQLPRSRGLPLLPFVVLTLAISAASSVETVMADDATDLPQDASVWAALALAVSFNIAIGSLYCFIIDGPPPPAAATVEPWSRAKSAAGKADRLPSHLEVLASVKTQHWAMCMVGGE >Sspon.07G0005080-2P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7B:12101115:12101521:1 gene:Sspon.07G0005080-2P transcript:Sspon.07G0005080-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAHNGRRVGMVGDVRDAPAGHENDLEAIELARFAVAEHNSKTNAMLEFERLVKVRHQVVAGTMHHFTVQVKEAGGGKKLYEAKVWEKVWENFKQLQSFQP >Sspon.07G0016660-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7A:59398920:59402631:1 gene:Sspon.07G0016660-1A transcript:Sspon.07G0016660-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTQTQTHTQTTTTPPPKQQHMAATQMTMSRPDLVVVQEATAKATEDMKSRVDGFDAGKPPPFRIGDVRAAVPEHCWRKSPWRSLWYVARDVAVVAALGAAAAGMDSWAVWPFYWAAQGTMFWALFVLGHDWYAVPSSRPGDHSSSSLFFFFGSDDGDDHPDDDAYNVCILQWSRELLGQRDAQQRGGHLLHSFILVPYHGWRISHRTHHQNHGHIHRDESWHPITEGLYRQLEPRTKKLRFTVPFPLLAFPVYLLYRSPGKTGSHFLPSSELFTPKEKGDVMISTTCWCIMLASLLAMACAFGPVQVLKMYGLPYLVFVMWLDLVTYLHHHGHQERLPWYRGEEWSYLRGGLTTVDRDYGWINNIHHDIGTHVIHHLFPQIPHYHLVEAVSCFQP >Sspon.01G0000280-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:1224427:1225948:1 gene:Sspon.01G0000280-1A transcript:Sspon.01G0000280-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MREILHIQGGQCGNQIGAKFWEVVCAEHGIDATGRYGGDSDLQLERVNVYYNEASCGRFVPRAVLMDLEPGTMDSVRSGPYGHIFRPDNFVFGQSGAGNNWAKGHYTEGAELIDSVLDVVRKEAENCDCLQGFQVCHSLGGGTGSGMGTLLISKIREEYPDRMMLTFSVFPSPKVSDTVVEPYNATLSVHQLVENADECMVLDNEALYDICFRTLKLTTPSFGDLNHLISATMSGVTCCLRFPGQLNSDLRKLAVNLIPFPRLHFFMVGFAPLTSRGSQQYRALTVPELTQQMWDAKNMMCAADPRHGRYLTASAMFRGKMSTKEVDEQMLNVQNKNSSYFVEWIPNNVKSTVCDIPPTGLKMASTFIGNSTSIQEMFRRVSEQFTAMFRRKAFLHWYTGEGMDEMEFTEAESNMNDLVSEYQQYQDATADEEGEYEDEEEGDLQD >Sspon.03G0027980-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:6196384:6198825:-1 gene:Sspon.03G0027980-2D transcript:Sspon.03G0027980-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MECNRDDAVRSKDIAEAKFRENDIAGAKKFALKAKALFEPLEGIDQMIVALDVHVRAQTKIAGENDWYGILEVPPMADEEAIKKKYKKLAFQTHPDKNSSVCAKAAFNLISDAWNVLSDNAKRMVHDHRRRMHALAVHQNNFKATACSTSNSSMSSVNRFCDRQKKVAPHLAHGATETFWTLCLSCLMNFQYSREYLNHQLKCLKCHAVFVATEVRPPPSSVQFYPSELMPMATNNNIGGNTVPGMATPGVQAGVSQGNQNCNPTVFKSATCAQSTRHTVQQTCDSIRKEEAAGASIPANEEAHSRKVLQHVARKHAHAVSSVRRANAATREHEAAKRKRADAGKQGTWQSATSCPDGDGCKPVCPAKRKPRSTSETSGAKKRKVSSGDFNSESSSNAGRTSFSRELMELDVRSILIEKGKLQAQKLQELSSKKANVKNKEKVQNSKKRKFSAKGACNIENVVNKIEMKQSSSSVDPKKDVLELVSKRVDSEEKEREKCSKQVGLEEKLKSWQWKVPEVRIVYTRRNRKQHKKELGDEVTGANPATEHHMPGKYGCLNQDPSHDEGSDKMPIPDADLYSVPDADFNSFGDHSESSFQNDQVWAMYDEEDGMPRYYALIRKVISTRPFKVRLAHLKANDCNEFGASNWISYGYSKICGEFKVDVSKHTDQVNIFSHKVNCDKGPGGIIRIFPKKGDIWALYQNWSPDWDQFTPDDMIYKYELVEVLDSYNPAKGISVMPIVKVPGFVSVFKPFHNATKSWRIPREEMMRFSHQVPFHVLTGEEAHNAPKGCYELDPGSTPQELLHVVPPSGDAK >Sspon.05G0001630-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:5184307:5191353:-1 gene:Sspon.05G0001630-1A transcript:Sspon.05G0001630-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVCVARGFNGVGSSPLVMAQKVVLKLDLHDDKDKQKVLKAVSTLHGIDSVSVDMKDSKLTVIGLVDPVDVVARLRKVGSAAIVSVGPAKEEKKDDKKKEGDKKPPPVVCYGPHPWYAAAQQYHYPHPYPPQYVVHSAEEDPNSCPSARMAMLLRKVWGSVLARAAAPGADRPPGSPRARRAHAQRAEQFQYQYHGSLSLGALDAVPTDVLAQILRLLGPLDAARSSAVCRAWRVLASDNGLWAFFLRLGPEPWDLVVFAETHLAAGPSSHPWLYYDSSPQLSFKQIYGLRAVVPGTLIVDGGSGYCKYGWSKYAAPSGRCTTFLEFGNIEAPMYARLRHFFSTIYTRRAMGLHKAVALCIDHCYTSGTVGDDSWYKTVILAGGSSCLPGLPERLEKELHQLLPPYISEGIRVLPPSFGTDSAWFSAKMISNVSTFTEAWCVKKKQFRQKTRRNGPLFMNSW >Sspon.04G0012830-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4A:44733731:44733979:1 gene:Sspon.04G0012830-1A transcript:Sspon.04G0012830-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVQVVMMVDAAAAEAEAGLGPGISDTRCCGGLGLRESRERDWRAREKRRRGSDTVLGAATNRGAGEIDLNLQLPFSLTNV >Sspon.01G0040090-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:38960328:38961344:1 gene:Sspon.01G0040090-1B transcript:Sspon.01G0040090-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSATRLTLLLCAAWAAALLYGEMGAYWASYLACSWPSSSSFSSPPLMDSTSLGLPSSSVALQAAEFYTDLNMRRSFQSTILPFKPDVVLFLGDHFDGGPYMSDEE >Sspon.03G0012910-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:45858245:45863275:-1 gene:Sspon.03G0012910-2B transcript:Sspon.03G0012910-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSLSTPSQLRPSHSQARRHGRLLGPHPRVSCVRCPAHRRAAALSVRASTDGDAAVTVRRFPAAPTKAGRLAGVKKIMILGAGPIVIGQACEFDYSGTQACKALAEEGYEVVLINSNPATIMTDPGLAHRTYIGPMTPPLVERIIDAERPDALLPTMGGQTALNLAVSLADSGALDRLGVRLIGASLPAIRAAEDRQLFKQAMDRIGLKTPPSGIGTTLEECLAIAEDIGEFPLIVRPAFTLGGTGGGIAYNRAEFEDICRAGLAASHTQQVLVEKSLLGWKEYELEVMRDMADNVVIICSIENIDPMGVHTGDSITVAPAQTLTDKEYQRLRDYSVAIIREIGVECGGSNVQFAVNPADGEVMVIEMNPRVSRSSALASKATGFPIAKMAAKLSVGYTLDQIPNDITKKTPASFEPSIDYVVTKIPRFAFEKFPGSEPVLTTQMKSVGEAMALGRTFQESFQKAVRSLETGFAGWGCGPIKELDWDWEKIKYSLRVPNPDRIHAIYAAFKKGMRVQDIHEISFIDKWFLTELKELVDVEQFLVSRSLDQLSKDDFYQVKRRGFSDKQIAFATSSSESDVRSRRLALGVAPTYKRVDTCAAEFEANTPYMYSSYEYECESAPTNRKKVLILGGGPNRIGQGIEFDYCCCHASFALREAGYETIMMNSNPETVSTDYDTSDRLYFEPLTVEDVSNVLDLERPDGIIVQFGGQTPLKLALPIQRYIEENKLVSASGTGNVKIWGTSPDSIDAAEDRKRFNAILEELGIEQPKGGIARSESDALAIASEIGYPVVVRPSYVLGGRAMEIVYNDEKLIKYLATAVQVDPERPVLVDKYLIDAVEIDVDALADTAGNVVIGGIMEHIEQAGIHSGDSACSLPTRTVSAPCLEVIRSWTTKLAKRLNVCGLMNCQYAISTSGDVFLLEANPRASRTVPFVSKAIGHPLAKYASLVMSGVTLSELGFTKEVVPKHVSVKEAVLPFEKFQGCDILLGPEMRSTGEVMGIDYEFSGAFAKAQIAAGQRLPVSGTVFLSLNDLTKRHLAEIGRGFRELGFNIIATSGTAKVLQLEGVPVEPVLKIHEGRPNARDMLKNGQIQIMVITSSGDALDSKDGLQLRRLALAYKVPIITTVDGARASIDAIKSLKNKSIEILALQDYFQTADASADLQAAAQITP >Sspon.05G0012610-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:32168942:32169406:1 gene:Sspon.05G0012610-2C transcript:Sspon.05G0012610-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQYGAAAEQAWYMPVVAPSSAVETAAERVERLASESAVVVFSVSTCCMCHAVKRLFCGMGVHPTVHELDLDPRGRELERALACLLGSSGPRRRARRLCPSCSSAAGWSAPWTASWPRTSTAPSCRCSRRPARSGCEFDQHRLLLAIAAVAVGRD >Sspon.01G0011190-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:30825640:30828038:-1 gene:Sspon.01G0011190-1A transcript:Sspon.01G0011190-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLHIRLEKLLTLGPDDHHMLAISSGNEKYEIINAINSLMLDGKWSNQESSAVKEVVSTARVQSLKKLVKEKLHQWLICKVNDDGKGPNVLCKEGQGVIHLVAALGYDWAIRPIMVAGVNVNFRDAHGWTALHWAASLGRERTVSVLIANGAAAGALTDPTSEFPSGRSPADLASVNGHKGIAGFLAESALTSHLSALTIRETNDSTVEVCGLPAAEDFTGIDSAQLAGEGPQAESLEGSLSAVRKSTQAAARIFQAFRVESFHRKKVVEYGDDDCGLSDEHTLSLVSLKKVKPGLHDTHLHSAAVRIQNKFRGWKGRKEFMIIRQRIVKLQAHVRGHQVRKNYRKVVWSVGIVEKVILRWRRKRPGLRGFQPQKQLEGPSQIQPAKAEDEYDFLHDGRRQAEARLQRALARVHSMSQYPEAREQYHRLTTCVAEMKQSRMMQDEMLSQAAGGADDFMAGLEDLICIDDAPMSAI >Sspon.05G0001690-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:1519811:1522322:-1 gene:Sspon.05G0001690-2B transcript:Sspon.05G0001690-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GTP cyclohydrolase 1 [Source:Projected from Arabidopsis thaliana (AT3G07270) UniProtKB/Swiss-Prot;Acc:Q9SFV7] MSCDALAAKELCLSGYRQKVKDIVQGALFPEVGVDKRTGSAGGTGGQVVVRDIELFSYCESCLLPFSIQCHVGYVPSGGRVVGLSKLSRVSDVFAKRLQNPQRLANEVCGALHASVQPAGVAVALQCWHIPLPENLECKALQGWIRTSHSSRSGVFEGDNSTFWSDFLALLKLRGIDMEVEDHSVSIPWCPLRSHEAALSNGLCKKSSTNGMVSAVTSMLLSLGEEPLRKELLGTPQRYVQWLMKFRACNLLDVKLNGFRLSNVSLYERPGGGTTDHGAIRSELHLPFCAQCEHHLLPFYGVVHIGYFENGSGEGIDRSHFQALVHFYGCKLQVQERMTRQIAEAVYSVSHNGAMVVVEANHICMISRGIEKIRSNTATIAVLGQFLTDPSAKACILQNVLDTAGSAI >Sspon.01G0046050-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:96119614:96121943:1 gene:Sspon.01G0046050-1B transcript:Sspon.01G0046050-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFCRLPALQQGLEASEFVRRAMELRLVLAPPHLVRPGPRQDENDPIVDTPPHSRHKHSWTTYGLVAAGIAVFLLVSAASILCFRAKKMGTVRPWATGLSGQLQKAFVTGVPSLKRSELETACEDFSNIIGSTSTCMLYKGTLSSGVEIAVASSLVTSAKDWSKENESQYRKKITSLSKVSHKNFMNLLGYCEEEHPFTRVMVFEYAPNGTLFEHLHVREAEKLDWMARLRISMGIAYCLEHMHQLKTPVVLRNFDSTTVYLTDDFAAKVSDLEFWNDAKAHNSTNNELAFSPDPENIVRKYGMVLLEILTGRVPCSEDDGPLENWASPYFEGEMRLEELIDSSIGFFPEDTARALCEVVRSCIDRDPKKRPQMKEVAARMREITALGPDGATPKVSPLWWAELEITSSES >Sspon.07G0005180-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:28617114:28619366:-1 gene:Sspon.07G0005180-3C transcript:Sspon.07G0005180-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AMGNCCPGSGDAEPAPASSADPSSRLHSGGTSLKAGASDGSAPKPTKPPAPIGPVLGRPMEDVRSIYTVGKELGRGQFGVTSLCTHKATGERFACKTIAKRKLSTKEDVEDVRREVQIMYHLAGQPNIVELKGAYEDKQSVHLVMELCAGGELFDRIIAKGKYTERAAASLLRTIVEIVHTCHSLGVIHRDLKPENFLLLSKDENAPLKATDFGLSVFFKQGEVFKDIVGSAYYIAPEVLKRNYGPEADIWSIGVIVYILLCEHGIFNSILRGQVDFTSDPWPRISPSAKDLVSKMLTSDPKKRISAYDVPDHPWIKEDGEAPDTPLDNAVMNRLKQFTAMNQFKKAALKVIAGCLSEEEIRGLKEMFKSMDADNSGTITVDELRRGLAKQGTKLSEAEVEQLMAQADADGNGTIDYEEFITATMHMNRMDREEHLYTAFQYFDKDGSRCISKEELEQALKEKGLLDGRDIKDIISEVDADQDGRIDYSEFVAMMRKGTAEQNPKKRRDVVL >Sspon.01G0021580-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:78959128:78961859:-1 gene:Sspon.01G0021580-1A transcript:Sspon.01G0021580-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAGADPTALGLGLRSGSGAWGGGGGRAAAPAMNGAHEPGVDVDLTRHPSGFVPTLQNIVSTVNLDCQLDLQQIANSARNAEYNPKRFAAVIMRIRDPKTTALVFASGKMVCTGAKTEDHSKLAARKFARIVQKLGFPARFKDFKIQNLVGSCDVKFPIRLEGLALASGTFANYEPEIFPGLVYRMVEPKIVILVFVSGKIVLTGAKVREEIYTAFENIYPMLVQFRKRQQHRFERKRFLVGSSEQSRSNTDGGAR >Sspon.03G0041960-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3C:48479610:48480866:-1 gene:Sspon.03G0041960-1C transcript:Sspon.03G0041960-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARDRSRTHSPPPAKRQKKSSTTTVASLGEDILLEIFLRLPSLATLARAALTCRGWRRAVASSPSFRRRFREIHPAPLLGLFFNPPGAIQDPGLPAFPSFVPTRGTDRDQAAAVRGGDFFLTSLQERHGVHNGWDIHDCRGGYLLLANADQETMAVTNPLARRSESFFDFGHDTLEDHRVHAVVHNACLLCSDEDPTSFRVVIIAHDKSRVRATVFSSVTGEWSVRPWVDIPARPRCGEFWLLSGNMQANGFLYWVYKNCKYMVSLDTATMDFSVAELPVFLKNRLCSFVVGEMNNGEPCIVYAINFTVGVLLRRTERDGVERWVLDRAEILETQLGLVLGKLMEDYNEVQVVAVRDGFAYLVTSERPNGSRTPSWFLSLCLEKLFQRTDDSSVQPYVMPWPPSLVGNYGRFALEDGV >Sspon.08G0011570-3C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8C:48209038:48209571:1 gene:Sspon.08G0011570-3C transcript:Sspon.08G0011570-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEARRSSAALVGVAVAALLVALVPETSRAERFIVGDAARWTWGYNYTDWVIRKGPFFQNDTLVFMYDPPNATVHAHSVYLMRNAADYQSCNLKAAKLVANVMQGAGSGFEFVLKKRKQHYFVCGERGGIHCTMGNMKFVVKPKSSACRDD >Sspon.03G0041210-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:38321978:38333814:-1 gene:Sspon.03G0041210-1C transcript:Sspon.03G0041210-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MYPGAARLLFGLIIAPRFLPLLLRYSAFDDLRSAEAAPAVREGAGAGEGQVAPTEGLSSDGGSLRKLGHEQQRLHAAGPATRSCDGEGSRRRWARQRGGELERRVAWRWQTLQLGALLTGSHGGLASGAAAESRRAKEDGREVRKKGEEEMGSSASASPRRSNHGGARTGACTWRASAWPEREAILGRFRRWIRIGRPRLNEGREKRGFRPPTVTGGGNPAGGEQLLVGVAQSGDTEHDLTNGLHREIEEMNASLPEMKTEARTGGGRRATSNGGRRTPLSETTESASFEAWLAAKVEMEAGLDDVPGKEKETRGSVGLHGQREVEDDTAYKRARRVIETRERRARRPATAADWAGPLRSQRPNQTNEARPARRAQAQRPTLLLAVTIAMAAAPDQQQQLPAGAASSSPRLRVHDTTLVPPSPSPPETSLPLTFFDIFWLHSPPVERLLFYRLAPDADVATIISSLRDSLHQAVRAFYPLAGRIRLTPGTSDRYELHYKPGDAVTFTVAESDDDGADIEGLTTDEPREVAKIATLVPPLPEGGGLFALQATLLSARRGLAIGVTVHHAACDGSGSTHFLHTWAAACSGAEAPPPPPVIDRTLIPDPRGLYDVFFQGELSTDEMEFLKMSADQLIATFVLSKDDLKWVKEAVADEAARRRVAPPRCSSLVATFGFVWSCYQRAKDKESISGAGAGPMPCLLFAVDHRSRMKPPLPDKYFGNCVGPAFALAPEGELAAAGAVGLFSACAAVASSIDEAVRDIGTSAMDVWIDRVKEAGATGTLLSVAGSPRFRVYDLDFGFGRPAKVDIVSVARTGALAMAESRSSAGGMEVGVSLQPAGMERYRKCFVDAIAWLHRRPAEQS >Sspon.01G0054750-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:63478304:63480189:-1 gene:Sspon.01G0054750-2D transcript:Sspon.01G0054750-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVLVRVLVTCAKFRRPAANLLLSASTSRARALPGGPLLRRRTSKVSLRLIAMATTQASEAAADKGLPLAVDATMVDEYVSQSKLLQEFVKIPTIGKAWIFNSKDDEMSKAVVSIGQSDLLANKRRTFLLNSHISKSPSKSVDFEWSPFPTEISGVSAVIPSPSGEKLLLVRNSEDDSPTKLEIWGPCQWENEIHIAKSVHGSLYTDEWFGGISWNQEETFIAYVAEEPPQPKPVFNDYGFKKEGSSEKDCKSWKGQGDWEETWGETYSKKRIPALFVVNISSGEVRPVKQIPRSLSVGQVIWAPSSSYSLVFVAWSSDNGFQETPRKLGIKYCYNRPCALYAAPDPFREEAEKSSTEGNKGETTTMIKLTADLISAFFPRFR >Sspon.03G0017400-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:54460697:54468796:1 gene:Sspon.03G0017400-1A transcript:Sspon.03G0017400-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGVVVVEEGNQQQEDGPPPPRPPLAVEALRDKIVEKVKANRVTLIVGDTGCGKSSLVPQFLLEENMEPILCTQPRRFAVVAIARAIAESRNWQLGEEVGYHIGHSNVSDLNSKRSKIVFKTAGVGIAALRYKVIILDEVHERSVESDLVLASIKQFLMKKSDLRLVLMSATADITRYKEYFRDLGRGERVEVIAIPCSPRTSIFQRKVLYLEQIADILKINSQSLSTKYCSGLDASADAEINYDVYELIHKLLLHIHQNEPDVHILHRSIDTDEALQTMKISKSCRKVILATNIAESSVTIPGVAYVIDSCRSLQVYWDPIRKTDAAGLVWISKSQAEQRKGRTGRTCDGQIYRLVTGTFYSSLNDHEYPAILRLSLREQVLMVCCAEPRSINDPSALLQRVLNPPDPDAIEDALESLVQIHALEITSSGRYEPTFYGCLLNSLPLSFDSSVLALKFCELGAVHEGILISIMLDIQPLPIVQPFGYQALRQKYRENYFKGNGSDKHCLEYLKDVAKTQEPEESHTFLTKPEEEWCAVHNLVPAAFKNISEIYDDVMMQLHRFRPSFLVKINPPKFLQPSEFNHTCLYHKILDLEVDMDSLSLEAENSHSDSQKRCAATPYVSPTDFGTSTIVELLKTLVKEMKTQHAEEKTISYKGQLGSNVKPTLRTEACVFFANGSCNLGAACRFSHSSLAPKPVCKFYLTLQGCRSGNSCPYSHDFGSLVSAPVTSGVCSQEGRATSLCCTRLLPADGDGYILVMNDKTLEFSSKLSQFYDACKIVAGTPGLQSAESHSVPKGLKILENLADPSSLITGLEHKLPVPWTQLKRVFWFADFDNDESATEHALLHKFFTNIAIKILSERLSDLQVILIMKNTRYVQLQVERLARECFFFLSESFMFDEATLGWFSDISRHPRGMQVAAPVTYVFNMHPPSATQFGDYPAELRAALCRD >Sspon.01G0007990-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:22235085:22241015:-1 gene:Sspon.01G0007990-1A transcript:Sspon.01G0007990-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ERKGAAKRPQSTHLPYPSIITTPSHKTTTPRQNPAAPINGLRNSLNSAAHFKQNRTGRSSAAGTRLGGGGNGEAAAILSLSAAAVVEDVLRQHGCRLSDRDLASRRAEEAAARRNEAAGWLRRTVGAVAARDLPEEPSEEEFRLGLRNGQILCGALNRVHPGAVPKASAPYVRYLSLNTAADSVLQADGAALSAFQYFENVRNFLVAAQEIGLPCFEASDLEQGGKSARVVNCVLALKSYGDWKQCGGTGPWKYGGNPKSFGRKNSEPFRRSQSINEGEVPYEEAGFNGDAHFDSSDMSTSRPLKMLVSAVLSDKRPDEVPQLLESMLSKLVEEFENRLNSQNELVKAALKNGIDNTKSFSKSKMDTTDIYYNHKQTKKEASREVALKQHSILQQQSKNVEELKSDLITTRAGMEYMQMKYSEDLNLLGRHLFSLAHAASGYHKVLEENRKLYNQVQDLKGSIRVYCRVRPFLPGQGSPSTVGSIDEGNITIVTPSKSGKEGRKTFSFNKVFGPSATQDEVFLDTQPLIRSVLDGYNVCIFAYGQTGSGKTYTMSGPKNMTEQTQGVNYRALGDLFKLAEQRKGTFIYDIAVQMIEIYNEQGRDLTSGTILRGCMHLVDLAGSERVDKSEVTGERLKEAQHINKSLSALGDVIASLAQKNAHVPYRNSKLTQLLQDSLGGQAKTLMFVHISPESDAVGETISTLKFAERVSTVELGAARLNKESGEVKELKEQVYFSCYGSCDLLSSQTNFRQPMEDVGNIEARANPTLRQKKPSFDLQDLLTANDSPSWPDSNLRVNFQMGDEREAIGGDWIDKVVVNNNNSVGDWEGDNAALPDFFYQRYHSGMREKQYQRNNTRQKDDHEYEQQRPRFYSTNTDDSDDIDMATSDSSESDALWQLNVQSMSNSISDSGSKVKKPQIKLRDGSDARTPIHSQIPSASRKVANGSNRSVRQPLSRSDSRRLSSNGRQAGAK >Sspon.04G0021260-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:74740183:74742476:-1 gene:Sspon.04G0021260-1A transcript:Sspon.04G0021260-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPAPPAASARFPPVSSYDASARVRRTAAADLDGTLLASSSAFPYYFLVALEAGSCVRALALLLVAPLLLALYTLVSEAAAIALLAFVTFAGLRVRDVEAVARGVLPRHYAAGVRADTWAVFRGCGEGRRVVVTASPAVMVGDFVREFLGAEVAGTELETFCAFGDTRFTGRIKAVLVGERKAEVVRRLFAGGEMPDVGLGDRESDHDFMAICKEAYMVPPDKRAPLAAADTLLSRAVFHDGRLVQRPDPAQALFALAYLPVGFLLALFRVFFNLMMPLCLVRHTYRLTGIQLRVRGTPPPPPAPGAPGSLLVCNHRTALDPIILSVALGRPVSCVTYSASRLSTAISPIRAVALSRDRATDAARMAALLAEGDVVVCPEGTTCREPCLLRFSALFAELTDRIVPVAMEARQGTYYGSTARGWKWLDPYFFYMNPRPGYDVTFLPPLRPEETCGAGGRSAVDVANHVQRVIAKELGFQCTTLTRKDKYMKLAGNDGTVAVGAKKDAADDNAATATTKKFV >Sspon.01G0039430-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:38729097:38744668:-1 gene:Sspon.01G0039430-3D transcript:Sspon.01G0039430-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mechanosensitive ion channel protein 2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G10490) UniProtKB/Swiss-Prot;Acc:Q56X46] SPEMRSSLPLPSTSFPSVADTYSKRMRSCDTLLANPATSLVVPAIGIIVFALWAWRQLEEEPCIFDFFVVSSTSTSLDRSNTNLQVQKFLVDMRNPNDARNCLMRFRSSPGTNKKDNSALVRLTAFRKESKENEQEAIIRSYIRIEHLGRNSMQHKILSTKMGFDFTMKALYTGVWIAAVSLFMELLGFNTQKWITAGGFGTVLLTLAGREIFTNFLSSVMINATRPFVVNEWIDTNIDGVDVSGIVEHVGLWSPTIIRGVDREAIYVPNHKFTVSILRNNTRRTHWRIKTYLAISHMDAGKIGPMVADMRKVLAKNPHIEQQKLHRRVFFEKIDPKNQALMILVSCFVKTSHFEEYLNVQEAVMLDLLRIVGHHKARLATQIRTVQKSYGNADFDNIPFGEDMYSRVRGRPLLIDTSARISDDKPKPRPVSSREEQKVKTNGSVEIKSASPENASLSNSEKQEQKKMVPEDARVKNIKSDNVTPVTPSSDPATSTSKTGKGKTREPEPTERQGDGSVSVANPKKESRPVFEDNIVLGVALEGSKRTLPIEEGNPYLSLSETELDTEEAASSPKDKIGQSPKL >Sspon.08G0010270-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:45058342:45062563:1 gene:Sspon.08G0010270-1A transcript:Sspon.08G0010270-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRTTWIIDSQRFATKIKNASGSADPSKQKWISNPSKECPKCSHVIDNSDVVHQWPGLPKGVKFDPSDQELIWHLRAKHGKSGIKPHPSIDEFIPTVEEDEGICYTHPQKLPGVKQNGSVSHFFHRTFKAYNTGTRKRRKINTDDADVRWHKTGKTKPVLVDGKQLGCKKIMVLYMSLAKGGKVEKTNWVMHQYHLGTGEDERDGEYVVSKLFFQQQFKPGDKNAQELTTSDDLESMAAEADLPDFTTLPADKHVTTSDDLESLAAEADLPDFTTLPADKHVGTIQVVHNSEQNLYQVNRNCEINIEETVVLPPSEKTEDGDNPQSQDPKLWEGDSQFELLDTQQLAEGLALCDEFLLSQSQTCGGGDEPTVTKPCLAAYAHLSAEDFKKDLEECQRLAPTDNTNLELENTNEFRLSQIDFSQDSFTTWAGGKMTDD >Sspon.07G0001730-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:3531126:3531467:1 gene:Sspon.07G0001730-4D transcript:Sspon.07G0001730-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGTTAAEVGGAGDGAAGDVQIKGAKENGQPAAVALPPPQPSGSEALEPATPLLRDDWSEHFSLFTSLGGFGGSSDGARGLTSVGLSNSESRPDSVTQRGLDHDAEERVEELT >Sspon.02G0024700-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2A:85258160:85258564:-1 gene:Sspon.02G0024700-1A transcript:Sspon.02G0024700-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAVTPCAAQNSPQDFVNPHNAARAAVGVGPVSWDENVAAFARSYAAQRQGDCKLVHSGGGPNRYGENLFWGSSGKDWTASDAVGAWVGEKPNYNYNSNSCMAGKVCGHYTQVVWRKSTAIGCARVVCNNG >Sspon.01G0058230-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:23862057:23872135:1 gene:Sspon.01G0058230-1P transcript:Sspon.01G0058230-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAISHLRRGAQRHAALCISLSRRRFSSSSAVAAASPLAAAARRLLSTTVDSATSTSGEHYKPPPFDPFRAATLSPSALPLESPPIEDELPSAHRHRMRRPRPWRRTSRRRWQVELEGLKAGVEAVKSREESPEEKEAWWLLSRAVVNYCGSAVGTVAANDPSTSQMLNYDQVFIRDFVPSAIAFLLKGEGDIVKNFLLHTLQLQSWEKTVDCYSPGQGLMPASFKVRSVPLDGNSEAFEEVLDPDFGESAIGRVAPVDSGLWWIILLRAYGKITGDYALQERVDVQTGIRLILNLCLSDGFDMFPTLLALFYSALRCAREMIGVTDGSKNLIRAINNRLSALSFHIREYYWVDMKKINEIYRYKTEEYSHDAINKFNIYPEQIPSWLADWIPVKGGYLIGNLQPAHMDFRFFSLGNLWAIVSSLATQRQAEGILNLIEAKWDDIVANMPLKICYPALEYEEWRIITGSDPKNTPWSYHNGGSWPTLLWQFTLACIKMGRRDLARRAVEVAEKRLSDDKWPEYYDTRTGRFIGKQSRLYQTWTIAGYLSSKMLLDCPEMASILICDEDFELLEGCACSLNKNARTKCSRRAAKSQRGQLEVVGAS >Sspon.08G0017460-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8B:1853536:1853869:-1 gene:Sspon.08G0017460-1B transcript:Sspon.08G0017460-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRTTVPLSHSVLSSAARPSASPTKKKDSAAPFSSARLLVVAFSSVAALHPLPSHAPLPTPRRTARPPTPLCRTAAHSGVPPQPSPTAHPILAFAPSAALCRAPSSATPRP >Sspon.05G0018850-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:80492146:80494172:-1 gene:Sspon.05G0018850-1A transcript:Sspon.05G0018850-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQKSSKPDGDDMMFSRVVATSVFLRPVLPLHPAPSIPSNTYTLSSLPGAMAATGSNDVDANKLTVVVLGPGTTTAPTAVSATKPPPLSARHVQWLRAAVLGASDGLVSTAALMLGVGAARPGDADADDLRGGGGVLLAGLAGLVAGACSMAIGEYVSVHAQLDVELAELKRADEESAAAGDGDDGAPPDRAGLSSPGQAAAASAVSFAAGAAVPLLAAWFVTGYRARVAVVVATATATLAVFGWLGAVLGRAPAVVGGLLAMGITYGLMKLSRIHGSMFATTAVLRMPPPPSWLATLDTYRFVKSVILDVFVIFTKQG >Sspon.03G0010460-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:28592384:28593350:-1 gene:Sspon.03G0010460-1A transcript:Sspon.03G0010460-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSTTRVASRSCGRGSDDEPAVRKGPWTLEEDLILVSYISQHGEGSWDNLARAAGLNRNGKSCRLRWLNYLRPGVRRGSITAGEDTVIRELHARWGNKWSKISKHLPGRTDNEIKNYWRTRIQKKKQPAKTMSSTQQQQEPSTTVSSGGAGGDYYWCTKPDPDLQQQQAYYYCQEAAMAAAATTTNAVIVSSEGASSPAALTSQDSSTAAGDWYTNQQTSYPYYCSELSLVAGGGHGETAGLDALTMQFLSSHFTASFWTNGVDDFWETKPITDAF >Sspon.05G0002570-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:12329363:12334595:-1 gene:Sspon.05G0002570-3D transcript:Sspon.05G0002570-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPVQTPSFRQTIRSHWPLNLIRPLVSQRPQEISLRSPPVRACISPARRRRRLLLLLLLLLRPLEAPERPHRLGLRAGSHGVEMANIPPSFAGVSPPPPSPMAGSSSGPAVGQAAKDKKMASAEQLVLELCDPELRENALLELSKKREIFQDLAPLLWHSFGTIAALLQEIISIYPSLSPPTLSPGASNRVCNALALLQCVASHPETRILFLNAHIPLYLYPFLNTTSKTRPFEYLRLTSLGVIGALVKVDDTEVISFLLQTEIIPLCLRTMEMGSELSKTVATFIVQKILLDDVGLRYICATAERFFAVGSVLANMVVSLADQPSTRLLKHIIRCYLRLSDNPRACAALQSCLPDMLKDGTFNNCLRDDPATRRWLQQLLHNVTGGGMGGAPQPGMDPMSMGI >Sspon.07G0029280-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:71734831:71736261:-1 gene:Sspon.07G0029280-1T transcript:Sspon.07G0029280-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein SENSITIVE TO PROTON RHIZOTOXICITY 1 [Source:Projected from Arabidopsis thaliana (AT1G34370) UniProtKB/Swiss-Prot;Acc:Q9C8N5] MDLSQNHIHNQSAANPYYQFGSDNPFPGMGTQQQFPPFTSPFGASPSTNIPHMDWNPATMLDNLTFIEEKIRQVKDVIRTMVDSGGQLPCHQGEIAQKQQVVNADLTCLIVQLISTAGSLLPSLKNSSFLSHPAAGHVDMANHVGPSSSLVPNVMNVSEENKEDMCTPEDYEELFKGWTDGAMEEGIEIDNVLFEEQDMKDGDEGGDVGKDVENLPPGSYELLQLEKDEILAPHTHFCSICGKGFKRDANLRMHMRGHGDEYKSPAALAKPPRDASAEHELVKRYSCPFVGCKRNKLHKNFQPLKTILCVKNHYKRSHCEKSYTCSRCHTKKFSVMADLKTHEKHCGRDKWLCSCGTSFSRKDKLFAHVALFQGHTPALPPEEPKTCSDQISHAGSHHEPAKVPNSMGGSFMWGTSSGDNSALDIKGFDGCSDDFLSTANFGSFNLSFGPADGFTGEPSGGSFSMLPSEHFQSAQK >Sspon.08G0026150-1P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8D:14757293:14758555:1 gene:Sspon.08G0026150-1P transcript:Sspon.08G0026150-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRCVGADEGRGQSGRWSGLADVDARSGGRGGGAAVEERRPRRREGNDAAVEVLAPAVTGTQNVLEASHAANVRRVVVVSSVAAVIANPNIPDGAVVDEDCWSDEDYCRATKVEHVLAASPMNWYCVSKAVAEREALAYGERTGMDVVTVCPPWVVGPLLQPTVNATSMRFVAYLKGENTDEKRRNMVDVRDVADALVLTYETPEASVRRYICSAHAMKVSETVGLVNSLHPDLKLHYPWK >Sspon.07G0024390-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7C:22596280:22598823:-1 gene:Sspon.07G0024390-2C transcript:Sspon.07G0024390-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTYGYCAPEYAMTGQLTVKSDVYSFGVVFLELITGRKAIDNTKPHGEQNLVAWARPLFKDRRKFPKMADPLLQGRFPMRGLYQALAVAAMCLQEQAATRPFIGDVVTALSYLASQTYDPNAPVQHNRSNSSTPRVSRGGGSNDQRRLRSPNHHSPDLRREATAASKYEAEVSRTNSGSGSGRRSGLDDVDMSGSQLGSPAHAGRKRGSPRTAESQRAIAEAKICGEKSRGRK >Sspon.02G0006150-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:19661305:19662029:-1 gene:Sspon.02G0006150-2C transcript:Sspon.02G0006150-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIISGTVLLAAVAVAALVATASAKDYTVGGSDQWDTYIDYDKWTAGKKFMVGDTITFKYMPYHNVLEVTAADYASCNADSPISTHSGGNTAFKLTATGTRYFICGIPRHCLNGTMHVTITTVPYDAAAAAAAADDAPASGPAQAPLQSPPADAYALGPAGHKVPLGGGAAGKSPAAAPSNAPRYGQPVAAVAGLALAALVALVA >Sspon.06G0031850-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:74135155:74135616:1 gene:Sspon.06G0031850-1C transcript:Sspon.06G0031850-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSSKPTNGDAGDDTSTSEIVATEVSGSHVLTIDGYCWTTRVGRNEYITSSSFTAAGQRWSIRYYPNGFSKPNVEDTSDYISIFLSCDMEVYARCTLSLLDWDGKPTTHSCSVGRNKTYVRTAANNTRLGITKFIKRKALEQLGHLRDDCFRI >Sspon.02G0050890-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:68516125:68518418:-1 gene:Sspon.02G0050890-1C transcript:Sspon.02G0050890-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPQLMDELVEEILLRLPPDSPASLVRAGLICKRWCHLVSDPGFQRRFREFHGSRPILGFLTLTMTASATTATPTAPPALCPNPLRLRRVEHPRLLPRECRHGRVLLYSKHRYDVAIIVVWDPITGERVELPNRRRDSVCEQWTAAVLCAAAPSGTCDHLDCHGGPFIVVLVVQYHMDDLPISSYVYSSESGAWSEPTFSLPHSGGDWIGWLHSSQLVGNALYFVPVLRDFTFSILQYDLGTREITAINPPKAAHMDRIFELIATKDGRLGFAGVEETKLSLWSREVVGGGRWALCQVIDLEKLFPGASSPVYLALLKILVSYSWECDVGSSLLIYGLDCVIKTTLHRWWTKRECLKCLRGLLLEREVVNKAITESTLSVKHRFSHSRAKPKKKGIGRIQIPNLRASRQPTAT >Sspon.03G0036540-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:86436335:86441715:-1 gene:Sspon.03G0036540-2C transcript:Sspon.03G0036540-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAELPLVYHIGLVLAALWAAAAIGFRGSLLYLLAFLYLYMVNARCAVRLRKRIQHEEMKSAYQRRLLSDAESVRWLNYAINKMWPVCMEKIVSQLLRPIIPWFLDKFKPWTVSKAGIQELYMGRNPPIFTSMRVLPETSDDDHLVLELGMNFLSGEDMSAVLAMQLHKSVGLGMTAKMHLTSMHILVGVKFVRSWPFLGRVRLCFVEPPYFQMTVKPLINHGLDVTEFPGISGWLDKLMDTAFGQTLVEPNMIVINVEKFASTPSENNWFSIEERPPIAYVKLEILEGTDMKPSDINGLADPYVKGRLGPFKFQTQIQRKTLSPKWFEEFKIPITSWEATNELVMEVRDKDPMFDDSLGQCTINLHELRGGQRHDKWMSLNNVKKGRIHLAVTVEDISEDQSLDESLKQADTEVPVSTSVYSKVDSGELPEEKKVVMDEVEHINIDGQEQPGGLYSVTELDPKLPQTPRPNLKELGEKRTSIKIVVNEEASPADKVGDAENSGEDVAKVIEKNAGEPSRSLTSTLSRKFSRKRADDRLSDIAEQIEPHGSESVSEGPILVRGEPMIAESHPTAETEQDAAGAKVATQAS >Sspon.01G0048890-2D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1D:100720197:100721036:-1 gene:Sspon.01G0048890-2D transcript:Sspon.01G0048890-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKHGKCSHDVEACYPPGGDGGMYPYMMESPQMRWAFIRKVYAIVCLQLLLTVAVAATVNLVGAIGDFFRSRTMGAIAATIAVIIFPILGTCFFLDFSCMHIIYVFVHMDHRSTSLLGVHAVMIPMIIYRKRHPVNLMLLALFTVGISFAVGLSCLSAKGPVILEAVVITMVVVLGLTAYTFWAAKQGYDFEFLGPFLMTAVLILMLFGLVRILFPLGKTGTMVYGCIAALVFSGFIIYDTDNLIKRYSYDEYVAAAIELYLDIINLFQAILAVIEGCD >Sspon.03G0017810-1T-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3C:76607160:76607772:-1 gene:Sspon.03G0017810-1T transcript:Sspon.03G0017810-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LPCRGSRTRTTALVLVAATTREGAKSTASAAVATAADVVRAFYDGVNRRDLAAVEPLIAEGCVYEDLVFPRPFVGRERIIGFFGEFMGTISPDLQFVIDDISADDSAAVGVTWHLEWRGRPFPFSRGCSFYRLLGSESEQQPLQIVYGRDCVEPAAKPGDLAL >Sspon.02G0037620-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:35040537:35052155:-1 gene:Sspon.02G0037620-1B transcript:Sspon.02G0037620-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMLEAAHENDIELEGACEGSLACSTCHVIVMDVNFYNKLEDPVDEENDMLDLAFGLTDTSRLGCQVVASPELDGIRLKLPAATVHFKVDGLASQEPVDCTTYIMIWEVQYLLIWEFHVEGNLSASRLLHAIKVFVASGSPTDIGTPFAGSELCNCMETTSSMSGIVVGLLYKLEVSDLSLPYSWCPLLYQSRRMIHRLTV >Sspon.04G0008480-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:24746998:24751684:1 gene:Sspon.04G0008480-1A transcript:Sspon.04G0008480-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEIEIKTAPADFRFPTTNQTRHCFTRYVEYHRLRNGTSRGIMGRFRDLSESTARGLPLDQSTSWPVLLFHSAFLLSESSMPFVPGSSTSHHKTVSDALFLQF >Sspon.08G0001690-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8A:5377010:5377459:1 gene:Sspon.08G0001690-1A transcript:Sspon.08G0001690-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPVDDVARVVTPGGTGSTLPAAGSAVSVQEEPEAMICLPLQTPVIRGGPKLRRSRTTVSIHTLRRSGRIAARPCAANSTRQAQIVLMRKLGLDVDAATVDSEIERKFKAAFQGPMSASKQQALQILFSGEFNPVDMDLDMTALDTMEA >Sspon.08G0015240-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:58414533:58415945:-1 gene:Sspon.08G0015240-3D transcript:Sspon.08G0015240-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTGPPHVAMLATPGMGHLIPLAELAKRLASRHGATATLITFASTASATQRALLASLPPSLASRPLPPVDLSDLPRDAAIETRMSEECARSLPALTRMLSELRETTRLVAFVADQFGMDAFDAARDAGVRTRCLFVPMNLHVLSLLLRLPDLAASVAGEFRDLAEPVRLPGCVPVPGSDIPSPLQDRSNPSYMVMVHLAKRYREADAILVNSFHAVEPEVAQVLRQPEPGRPPVYPIGPLIRQPGAIPPSSPRAACLEWLDRQPARSVIFVSFGSAGALPKEQMHQLALGLELSGQRFLWVVRSPSDEGTLSDNYYNAAESNKDPFAYLPEGFLERTKDVGLLVPSWAPQTQVLAHMATGGFLTHCGWNSTLESLVHGVPMLAWPLFAEQRLNAVMLAEGVGAAIRLPERKDKETIAAVVRELMVGQGKGAMVRVKVAELKKAAAEGLREGGAATTALDEVVDKWEAEAN >Sspon.07G0013230-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:47106655:47114252:1 gene:Sspon.07G0013230-1T transcript:Sspon.07G0013230-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPLSFLSPADALERKERAPRRGGGSGSGGSEGRVAAGGVSVAAATASWPTEAARMVRFRDAPLHRRTRHKRDKTSPPEHWAHEPIVKLAPKAMDRASWRGENKLEVVLGGVDLSYIKVRPHHASKKWSRDDVFKPVLGGMRRLSSGLRAATKLLRKKMVGDQVAQWYPYDIKREGVSAHVLLLSSFSPSAAAGLACSDSLLCYVIPVPWVARARCVFVDMPPNQCVISLTLGEAFVLEMLKRRGKGPPKKGQGRRAVKRSK >Sspon.03G0034930-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:77422701:77425830:1 gene:Sspon.03G0034930-1B transcript:Sspon.03G0034930-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine proteinase inhibitor 7 [Source:Projected from Arabidopsis thaliana (AT5G05110) UniProtKB/Swiss-Prot;Acc:Q8LC76] MRVAATRLAAAPPPLPFLLLLVLLGSAPLAIGAAMAGHVLGGVKDNPAAANSAESDGLGRFAVDEHNKRENALLEFVRVVEAKEQVVAGTLHHLTLEAIEAGKKKLYEAKVWVKPWLDFKELQDFSHKGEATTFTNADLGAKKGGHEPGWREVPVEDPVVKDAAHHAVKSIQERSNSLFPYELLEIVCAKAQVVEDFAKFDILMKLKRGSKEEKIKAEVHRSLEGAFVLNQHQPAEHDESSSQ >Sspon.07G0014870-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:59418348:59420001:1 gene:Sspon.07G0014870-3C transcript:Sspon.07G0014870-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSGSGVCAKRIVVDARHHMLGRLSSIIAKELLNGQKVVVVRCEEICMSGGLVRQKMKYLRFLRKRMNTKPSHGPIHFRAPAKILWRTIRGMIPHKTKRGEAALARLKTYEGVPPPYDKTKRVVIPDALKVLRLQPGHKYCLLGELSKEVGWNYHDTIRELEEKRKEKAKVAYERRKQLAKLRVKAEKTAEEKLGSQLEILAPIKY >Sspon.07G0006060-3C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7C:10926027:10929134:1 gene:Sspon.07G0006060-3C transcript:Sspon.07G0006060-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding PSLCHIGPPAEPPAGAAARKHRQSTTTVPPASCAGVQPRASCGNTADLTRRGRATLGNPHKPAHAARFWRPSIRTAGRGRRAQPPAREPPPARPAAATARKEPPPPPPYFAMPLPATDPTSRLPLFSPPGPPRLSPTPPQFSPHGAPSPRLGSTHHVAARVQPTTRSRKRSGERAAAPWCDPGRLCCSARRATPTPGEMEDRVKSMLKLIGADGDSFGKKAELYFRSRPELINHVEEMFRSYQALADRFDRISGELHKANHTIATVFPDQVQFSMQEGDGEGFPKAIGGIDLGNFKFPALEGLSMGSQSASRGTSPVLKRGAQAHRRVTSNMTKEKAQEEIDKLQKQILALQTEKEFLKTSYDSALGKYLDIEKQVAELQDEVCNLQDAFSTGVAIEDNEARALMAAQAIMSCEDTLVNLQDQKNRSTEEAKVVLRRANEAIEKLKNFKNECGLPHAQMDGHDHHEIELVDLQEICQRVKEIIESYPELSVAELADKVDRLVEKVINLELATTSQNAQIDRMKTEVDGLHERLHALEQDKVALVVDSSNMADRLRKVEEMLQEVQQLWKSVQNGSENICKQMTEATHELTEFVETLHAPEQEISEFVDSLRDSKGDASLEDDSELTSLSVQNEPSKSLHGTTSEIEKHEETSEGLVAQKQLVPKESEGEGKILLEDYASVLQSYKDTEQKLSEIEKRNQEYHLEAMSELKELKSANATKDEEIHSLRRMLSSLQKKMSASIIESIEKSEETSKISTSPTTEDKEIAEIEEYIKQWQVDDSLASSVAEEKFRVEIDRVLGENLNFWLRFSTSYHQIRNFQISFDMLKTEMHRLTDEQEDGGTYGFAGSYQVAKLESAVLEKKFRDLNTDLQVWVEKNMLLKGEVENRFSSLCSIQEDISKITTLDKCDEVHFNPFQAAKFQGEVHNMKQENNKVAKELEAGLDHVRGLQVEVGRVLLKLRENLE >Sspon.07G0011730-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:39221984:39224458:-1 gene:Sspon.07G0011730-1T transcript:Sspon.07G0011730-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDPKPEVFWAPAPLTAKSWADVDDDDDDDYFATTAPPPMPVWGDAHRSDAAKDHHAAPALEEEIESEDDGLDDEVDDDADEDHEHEAEDAVPAEPTVKNAAAPPAPPKDTERQLSKKELKKKELAELDAVLAELGLGTSSNSTQDESNGKKGADQAADGEKKDDAPAPLESKNSKKKKSKKDKSSKEPKEAQDQANGSEEAAVAEPDEDTASVDVKERLKKVASMKKKKSSKEMDAAAKIATSEAAARSARLAAAKKKEKSHYNQQPVR >Sspon.05G0010920-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:33609865:33618240:-1 gene:Sspon.05G0010920-3D transcript:Sspon.05G0010920-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLVLASSCSASPRLPLLPSAARFRRLPGSVPPPPPAAASTGGAARKGPRRPRLFVAAAAAPRGSGNVFDGSRAKGFASISSSTGNENMSTGTGTLPPMPPPSSYFGSPVFWIGVGVALSVAFTTRYAMEQAFKSMMTQAPPNSFGSNSPFPFGMPPQASPTAPSSFPYFEPKKDTSPQVSTVDVSATEVEASGTSKEVDVTETPEPSKKFAFVDVSPEELQQKNLQSSLETVDVKHDSTDSESNLDTEEKVPTNGATFKPNEDAARGPTGSSNSGPMLSIETIEKMMEDPAVQKMVYPYLPEEMRNPDSFKWMLQNPMYRQQLEDMLNNMGASPDQWDNRMVDHLKNFDLSSPEVRQQFAQVGMTPEEVVSKIMANPDVAVAFQNPKIQTAIMDCSQNPLNIVKYQNDKEVMDVFMKISQIFPQING >Sspon.05G0017950-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:76901490:76903080:-1 gene:Sspon.05G0017950-2B transcript:Sspon.05G0017950-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALHLAARHGHGATVEVLVSAVAEPAAELNNAGVSPLYLAVISGSVPAVRAIITKCKDASSMGPSAQNALHAAVFQSSEMVHLLLEWRPALADQVDSSGSSPLHFASSDGDRTIVNAILRASPRSTVYRKDSSGLSALHVAARMGHHRVVKDMLGICPDAAELRDGNGGTFVHAAAREKRSSVVSLATKNENPMLRGLLDAQDREGNTALHLAVAAGSTGIVEDLLHDGKARANVLNNDGHTAFDLAAGSTTSFFKMVSLVVALVAYGAQLRPQRQDQLKQWVGHGMPRGGGRPHRRRRVRLPGGYGDDGKANLKDEIVFKSFLVINTVAVATSVVAVVLLVYGKASRSAGSWKSFAWALQLLWVSLVSMMLAFQAALLPVATTRALTYGFIGVYACILALHVCIAEWLGPATRMRTIWRFHWKGRHVDVKRQYPFAKATVFKSSLGYIGDPCMDTSIAPSPSPLYF >Sspon.04G0027410-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:58978660:58985237:1 gene:Sspon.04G0027410-1B transcript:Sspon.04G0027410-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASASASPPRRRHSHRDDVSPRRRKRRASPSPSPPRSPSPGVDADRRRRSRASPPDSDLRGRRRDAKPSEVKENGHAKPGRDEDGDNRPPRRDRVSDGEDGVERRRMRDRVSDDEKEEGRRRRRARDADDEPDDRRGKRDRERERDSRRHRRRSPSSESGSSPDDRRHRRRRRDEGSRRRDDRRRREDDGDERRRSPVKREPTPPLPPPPPLLPEMIPGRTGGIYIPPFRMAQMMRDVEDKSSPEYQRLTWDALKKSINGLVNKVNASNIKNIVPELFAENLVRGRGLFCQSCIKSQMASPGFTDVLAALVAVVNTKFPEIGRLLLVRVVLQLKRAYKRNDKPQLLAATKFIAHLVNQVVAHELVALELLTVLLENPTDDSVEVAVGFVKECGAMLQDLSPQGLHAIFERFRGILHEGEIDKRVQFLIEGLFAIRKAKFQGFPAIRPELDLVEQEDQFTHEISLEDDLDPETNLNVFWANPNFVEDEKAYENLKRSILGAESSEDEEGSDAASDDDEDEEESDEEDEEQMEIRDRTETNLVNLRRTIYLTIMSSVDFEEAGHKLMKIKLEPGQEMELCIMLLECCSQERTYLRYYGLLGQRFCMINKVYQENFEKCFVQQYSMIHRLETNKLRNVAKFFAHLLGTDALPWHVLAYIRLTEEDTTSSSRIFIKILFQELSEHLGIRLLNERLNDPNMQGSFESIFPKDHPKNTRFSINFFTSIGLGGITESLRKYLKNMPRLIMQQQKPESLESESSGSESGSESSSAGSSSESESESSSDESDRRRSKKRRKRT >Sspon.03G0012160-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:49994160:49996114:1 gene:Sspon.03G0012160-3C transcript:Sspon.03G0012160-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding KTFETRDPRTGDVLAHVAEADKADVDLAVKSARDAFEHGKWPRMSGYERGRIMSKLADLVEQHTEELAALDGADAGKLVLLGKIIDMPAATQTLRYYAGAADKIHGEVLRVSGKYQGYTLKEPVGVVGVIIPWNFPTMMFFLKVSPALAAGCTVVVKPAEQTPLSALYYAHLAKLAGVPDGVINVVPGFGPTAGAALTSHMDVDSVAFTGSTEVGRLIMESAARSNLKMVSLELGGKSPLIVFDDADVDMAVNLSRLAIFYNKGEVCVAGSRVYVQEGIYDEFVKKAVEAAQSWKVGDPFDVTTNMGPQVDKDQFERVLKYIEHGKSEGATLLTGGKPAADKGYYIEPTIFVDVTEDMKIAQDEIFGPVMSLMKFRTVDEVIEKANCTRYGLAAGIVTKSLDIANRVSRSVRAGTVWVNCYYAFDPDAPFGGYKMSGFGRDQGLAAMEKYLQVKSVITALPDSPWY >Sspon.06G0026470-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:78446709:78450596:1 gene:Sspon.06G0026470-3D transcript:Sspon.06G0026470-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding PMAGADLERVVELLRSEGMDEQFRLLGAGTLLSELRSSVSSVYSSGTVSTGANLRLMFCIQSLAAPMRELLVAVLHSKIRSERWRQVLASLFSNGFSRSNTLRDLREYSRKFRALASYLNQAHIQVSNRQVHNSVGVHGAIDDLRARMVGRSELLEKMVSMVLSAAADGGGTSAADAGGRLLVMPIVGGPGIGKTRLAMALLSDYRVRRNFRVRLAVPVVRHRLYSLGRVLMRMTSHMDIGIGDRDTGGVPMAVVGRRLSIGGNYLIVLDDVWSDTEGNCPQVRALMKALPWNGSLVVTTRSPGVASYLATVAEASGNTSKPLYLRPLEHGFSSTLAAQWTVAYRGDWPTELAREAGRAIADKCGGVPILLEHARTHFCQPQGLLFWQGFLEHAQSISNKNNTTSRVDHYPGYIYLWRELLGCIDGLPHDEFWKRFLGHSGELPDADGNAVLESAAISYQHLPSDMRSCLLYCSMFPSGHDFDVEELTDLLAAEGYIPPVVAKAQRKGFLQQLLDECFYPLQGHEYGDKCTYRMHKVLHIFAQYMDRDTSSVIRVDQAATAQSLASVRRASLIVNPSASSFPTSLFQCHDLEALILRQQGEGLADQPRCEIAEIPQQFFHWRIQALSFIGTKIRVLPTKFLDPSHVKYLNLSQTPIENIPSSISRLMFLQTLVLSYCVRLKKLHPNTTKLTLLQKLDLEGCCNLVELPRDIGNKMKALEYLNVSKCPLLTRLPRGMGQLKVLQMLLGYIVSNTDGSSMSELHPLVNLHRLSLQNLEKVSDPLDASFASLSFKTNLESLSLRWNMDDYYSIDTTPAYEVLKCLEPHRHLKALEIIGYEGDKLPSWITGPHLRSLVEIKLINLRSCEWPPLALLPCLKIAEISGVETISGVNDSFYGRKGIFPSLQKLTFSYMQNLEVWEQAQRADMFPRLIELEFIQCPKLRALHMELPSLEKLILWMNNKVLYDQKGALQGVAKTLEHVSISFSEELASSDCEGLQDLGKLTNLEICGCDELTCLPQGLQRLLSIRCLTIDNCRKLEALPDWLENLPSLQIIRLSGCPLLHYIPRGLQQRPGVIIYVEDCPNLIQDHLPNFSAQSSGEPVGARVNKGKEIVED >Sspon.04G0020310-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:77142565:77146098:1 gene:Sspon.04G0020310-3C transcript:Sspon.04G0020310-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Autophagy protein 5 [Source:Projected from Arabidopsis thaliana (AT5G17290) UniProtKB/Swiss-Prot;Acc:Q9FFI2] MAAPHDEAAAWSEEAARRVWAGAVPLQVHLHDADVTALPPPPPFLTLGPRIGYLPLLIPVIKAHFSSALPPGIDTVWFEYKGLPLKWYVPIGVLFDLLCADPERPWNLIVHFRGYPSEILSPCEGEDSVKWSYMNSLKEATFIITGNSKSVMNMSHADQVALWESVMKGNVDGYKNISTRLKLGPFEDDGLVRTASAERQRQQNSDEPESPGSSKPVPVRLYVRNVQEDLEYIEDAVPVSDWEAVSYINRPFEIRKVEGRSYITLEHALQTLLPEFFSSKPAGALDSAADSSDTTNSSRSSQEAEQALASPREAGAAKKARVKLVRVQGIELDMDIPFLWVANNLKNPEYYLHICVYVGTRKQ >Sspon.05G0035490-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5C:74076537:74077828:-1 gene:Sspon.05G0035490-1C transcript:Sspon.05G0035490-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VINADTIDDAVERILNELKEGVAGTSSGRHNVIYFDGWDGLGAFTVLGEVGRRLGAHSGYKIIRIDCSKWESRRAMHRALAEQLELPAPVMEMFDAQDEEDDYNGVGKGLRTEIPEIASEIYQHIQKLNSRFLVIFHYGSCDEVDLDTLGFPLAGYSRNRALWSFQGKFRLYPRMKNCIVLYYFIVTHHEIDPGLGAIHASAKVTQLGVVNVGAKPPCHLADDVELALTWQHLWRRHHWRQAWRQIYKFTMRWDILEFYHDIDKFDAIP >Sspon.03G0029370-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:21156164:21160661:-1 gene:Sspon.03G0029370-2C transcript:Sspon.03G0029370-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable 3-deoxy-D-manno-octulosonic acid transferase, mitochondrial [Source:Projected from Arabidopsis thaliana (AT5G03770) UniProtKB/Swiss-Prot;Acc:Q8VZA5] MRPARAASPASRGGWALYELYRAASRAAAPAVLLWRRLQGLEHPTRWPERLGRPSAARPRPGSPLVWFHAVSLGEGMAALPVVRHCVRLHPGLPVLVTTTTLSSFEVIKDLLPDGVIYQFAPLDCPNAIDSFIGYWKPSLVLLLESELWPNLIMSAAAKGIAVALLNARISLKSFNHWSMPVGSPLIALMLSKLSLVVPLSTIQAVRFQLLHTPPGIIHFAGDLKYAVGDVNAGENQVNEIKDLQQQQFGNRPLWMAASIHRGEEEVILRVHDELVKMYPALLLILVPRHPEDCKNISLALKKQNVSFVLRSTREVVASSTRVYMVDTLGLVTPVAVIGGSFLSGLAGHNISEAAAAGCAVMTGPHVGHFYHMLVEMWQINPLAVKQVSGEFELLQTLKELLGDASTLGARQRAAKNAFSIMSDGVVNRVWNLVSRFAIDFQTDTWNS >Sspon.03G0018210-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3A:55877845:55879116:-1 gene:Sspon.03G0018210-1A transcript:Sspon.03G0018210-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPLRARIFARARPVEEGETHPPRLLAFPRGPPHATPRTGPPSTSGRKPCCRLRSSPATAPHWPAAAAGVLSHGETRDRTGSGRRFAGKVDVAIFGAKKAKEEKAATSARTQDSGVVRGSSWGRPD >Sspon.02G0014000-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:37106149:37116681:-1 gene:Sspon.02G0014000-1A transcript:Sspon.02G0014000-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MWTPSRRSNARCSGHRRIADYLADDQTTTTATDASDNESYTTAYGEEFFAAAAAASGGGGGGMLPAFLADQGDLVEVMLELDEESMVVRSVTPTSAALYGATSLPAPPPPAGFGVSLSPRRAPSEGGAGGRLSRCSSTSSRIRKKFAWLRSPSPSPSPYRPTPAELQREAAMAARERRREQAQLNRSRAGARRALKGLRFISRTTGSVEAAELWRRVEERFNNLAREGLLSRDDFGECIGMVDSKEFAVGIFDALARRRRQNLERITKEELYDFWLQISDQSFDARLQIFFDMVDTNVDGRITREEVQELIVLSASANKLAKLKEQAQEYAALIMEELDPENLGYIELWQLEALLLQRDTYMNYSRPLSTASGAQWSQNLGVGGGTLAVTGAGGGDGGGGCGADGDHPRERRRMSWGVRKAAARVRVAAEENWRRAWVLALWFAAMAALFVWKFVQYRRTAAFQVMGYCLPTAKGAAETLKLNMALVLLPVCRNTLTWLRSSWARFFVPFDDNITFHKVIKTGLYYCVRVLHVRAYWELPSHFPQNIVASDVASHLTHGRFMIATAIVVGITLHAGNHLACDFPRLIAASPEEYSLVAGAFGADKPTYAGLLSGTEGVTGVAMVVLMTVSFTLATHPFRKGEPKQGGAGAGNAVTSRLPAPLNRLTGFNAFWYSHHLLGIVYALLLVHGYFLFLVRRWYEKTTWMYISVPLVLYVGERMLRALRSNAYTVKILKVCLLPGNVLTITMSKPYGFRYRSGQYIFLQCPMISPFEWHPFSITSAPGDDYLSVHIRTNGDWTQELKRIFVENYFSPHLNRRASFSELGAAEPRSLPKLLVDGPYGAPAQDFRNYDVLLLVGLGIGATPFISILRDLLNNIKIADELMDLAMETSRSEDSANSFSVSTASSNRKRAYRTSRAHFYWVTREAGSFEWFKGVMNEVAEMDKKGVIELHNYLTSVYEERDARTTLLSMVQALNHAKHGVDIVSGTRVRTHFARPNWKEVFTRIASKHPNSTVGVFYCGAPMLAKELKTLSHEMNHKTGTRFHFHKEYF >Sspon.07G0020080-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:78018495:78023731:1 gene:Sspon.07G0020080-2B transcript:Sspon.07G0020080-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:O-fucosyltransferase 38 [Source:Projected from Arabidopsis thaliana (AT5G64600) UniProtKB/Swiss-Prot;Acc:F4KF16] MAKPRGAPPLLHPRPHRRLLRSPISRCACLLLAFAALLLLSSLHQVVRVDLSRLDPAPPRQALQGKSDSYMTVRSNGGLNQMRTGICDMVAVARLVNATLVIPQLDKRSFWQDTSTFKDIFDEPHFIKALEGDVHIVSDLPGSLQSAPRARKHFTSWSGASYYEEVKELWKNQKVVHIPKSDSRLANNGLPIDIQRLRCRCLYQALRFSDLIEDLGKASNVTLTKQKLVERLRSRGKYIALHLRYEKDMLAFTGCTYGLSYSEANELRIMRERTSHWKLKDINSTEQRYEGNCPLTPNEVGIFLRAMGYPESTWIYLAAGEIYGGEKYVSKLRSYFPNLVSKEMLATKEELEKFNNHASQVAALDYIIAVESDVFVPSHSGNMAKAVEGHRRFLGHRKTITPDRKGLVELFDLLEKGDLMEGPKLSSLVTEMHKYRQGAPRKRYGSLPGSKGRARLRTEESFYENPFPECICLTGRH >Sspon.03G0019270-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:59834241:59835897:1 gene:Sspon.03G0019270-1A transcript:Sspon.03G0019270-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding METGEEKNSKKARVDPPVAPLVPCLVSTDKFQCPVCTHPLKLPIFQCAAGRLACDACHGQLADKERCYRCAQSGGYSRNFPLEDVVRSTNVWCPYVVYGCPSPMMILYEMGDHQPKRPHAPCRCPEPGCAFFSSPVWLSYHVRATHSWPVNIIDYGKACQLQLPASKPRCLLVAMEDGRVFVVSVAAHRGVSLVCLRANAAAGPHYTCNMSASGSAAAASGKVPNVSVEMEVPSSAVAGEAAATDTGAKVAAALVVPRKMLHGPSKELHLNVRIDKRGVMTQARLATNVNAGHRPSLQTPGAPSSSVRCGLRGSGRGIGDIGSRSELVNASYSEA >Sspon.01G0030370-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:105521684:105525337:1 gene:Sspon.01G0030370-1A transcript:Sspon.01G0030370-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRHRSVHRRGRGRRYDPIAGARSPCAEEHVKSVQEPQAAITTTSSVSRILARLEFEWGRTIYIRQDLQGTFHTYPHLGGPYQSLQEADQAIDRHLHDLLDPKMFRQQNDTSTLDLVIRQDLYWPDGTSKRSKSHANQKARDQMRQMAQALVDKYNEDHHLLGDLAYELKDVLNFNSISEHQSCYYHLNFSTNSKAANDSESSTSNIFFAEVKLFKKGEHRELLICCFCTVDSIINGQPSKACNNPDKFDIKHPDSSVELTAGHLDPRNQFSGFMREIDFHDSEDEDRYLRDAEAELRRIYKGLDDPSVIERLFRLPPGVTIVQD >Sspon.07G0016260-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:62312255:62314425:1 gene:Sspon.07G0016260-2B transcript:Sspon.07G0016260-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRRAEEEYDYLFKVVLIGDSGVGKSNLLSRFTRNEFCLESKSTIGVEFATRTLHVEGKIIKAQIWDTAGQERYRAITSAYYRGALGAVLVYDVSKPTTFENISRWLKELRDHADSNIRIMLVGNKTDLRHLRAVATDDAQSFAEAEGLSYIETSALEATNVEEAFQLILGDIYRAISKKPVASDEAGQGAAGGVKEGKTINVATGGDAAAEKKQCCSA >Sspon.01G0015860-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:48147701:48152688:-1 gene:Sspon.01G0015860-3C transcript:Sspon.01G0015860-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:U1SNRNP [Source:Projected from Arabidopsis thaliana (AT3G50670) UniProtKB/TrEMBL;Acc:A0A178VDW4] MGDYGHGGGQMRGNPDSRPRGQGQRPNVQQLKLMGQIHPTGLTPNLLKLFEPRPPLEYKPPLEKRKLPAYTGMAQFVSHFAKREDPEYAPPVPKCETRAEKKARIRNNKLEQGAAKVAEELQKYDPQSDPNATGDPYKTLFVARLNYETSEQRIKREFEGYGPIKRVRLVTEKDTSKPRGYAFIEYVHTRDMKNAYKQADGRKLDNRRVLVDVERGRTVPNWRPRRLGGGLGSSRMGGADADNKDSTREQQHGGRPRSEEPRRDDRRADRDREKSRERVRERDREERTRERSHDRTRDRDSRDEKHHHRDRERTRDRERGKDRERDHGRDRDRDRRDRDRDRGRDYDRERDHARSHDRHRERGRDRGERDYERTSHERDRGHMHERDADYGNGGQSMTKICLDYGSGQYEQHKGHDTYGYGQDGRGHETEHSKRHEHEYYRVDSYGKMEANYQMQPNNAEPEGPEEGEAYEEGDYQYHQAALRFACSFILIQYNDDFKNLTNAVPFRLRG >Sspon.02G0007760-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:22635019:22653318:-1 gene:Sspon.02G0007760-1A transcript:Sspon.02G0007760-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein GRIP [Source:Projected from Arabidopsis thaliana (AT5G66030) UniProtKB/Swiss-Prot;Acc:Q8S2T0] MDPEDTIPPAPSQGDAETTPPPVLEVAAGEGSLASGGEEAERAHEEKEELAREVMELGLQNEYLRSQITGARPVGDADEGPELARGLKEQVERLSREVQEQRLTREAMEKALEHVNVAYAEADGKVQELTAKLAQAEQKMEKELKERDDKYVELDTKFQRLHKRAKQRIQDIQKEKDDLEARFNEVNQKAEQATSLQLAAQQELERARQQASEALRSMDAERQQLRTVNSKLRANLDETRLALEARNNSLEKLQQSVLEKEQLKASAKIFYLVSIYPFYLTWTQVTYVMFVKLLEKVQGSLQSAEDKRMTIVSELTAKHQKQIESLQAQLAEVSAERTKASETIQSLQVVLTEKDSEIAEIEAASTGEAARLRAALEEVKGEVAYLKDEHEKERQSWEATCESLRSKLEASENACLRSEKESAKVKSQLELELSARNQLLQTKDSDLTAAKHEISRLESEFSAYKVRAHALLQKKDAELTAAKSSDLIKEHEEAIREAEKEVVAALAERDKAIDDLQKAQSRHGDEIEARDKALADAEKKLKDVMKKLDSVTSNFISEKESWENNLANVEESWRLKCESLKAQSNGHVDDELQKNLGELTLKYEKLKEEHQSFRDIADRMIEDKEREIAKLLKENRDLHQSATMIIKVKLLARQQAQREEELAQSQRHILALQQEIEELERENRLHDQQEAMLKTELRNMERSQKREGIDMTYLKNVILKLLETVAAD >Sspon.02G0043570-3D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2D:66458963:66459676:1 gene:Sspon.02G0043570-3D transcript:Sspon.02G0043570-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding PRRIPPILRKISCDPPRSRYPLRGALPSLAAAESFTRPNSTEHEHGVLLRGRAPPRPHRRRRRQMPSRRGGPARAAGHLLPPDRPEVEHCRPQGNPRRPPAAAAAGERGWRRRHLRPGRRRPRSGGTLL >Sspon.02G0016730-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:46799335:46803555:-1 gene:Sspon.02G0016730-1A transcript:Sspon.02G0016730-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRDTGAGDRTPGTPSPTHGGRLRHRKRSNEVPSDVNTTNGANLLLNDQNKYKSMLVRTYSSLWMMAGVVFLIYMGHLYIWAMVVVVQIFMAKELFNLLRKANEDRQLPGFRMLNWHFFFTAMLFTYGRFLSRQLVNTVTSDKLLYKLVSRLIKYQMFICYFLYIAGFVWFIITLKKKAYKYQFSQYAWTHMILLMVFAQSSFTVANIFDGIFWFLLPASLIAINDVAAYFFGFFFGKTPLIKLSPKKTWEGFIGASVTTLLSAFVLANFMGHFQWLTCPRKDLSTGWLHCDPGPMFTPESYDLPGWMPQWVSKQFEPNSNSQLETFPWREIAVMPVQWHALALGLFASIIAPFGGFFASGFKRAFKFKDFGDSIPGHGGFTDRMDCQMVMAVFAYIYYQSFVMVQDLSVETILEQILRNLTFEEQHELYEQLGKSLVRAN >Sspon.01G0054300-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:53412712:53413572:1 gene:Sspon.01G0054300-1C transcript:Sspon.01G0054300-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LRVRSLRGCGWIKSARDDLKASNGIPSPFLRSMGCRNSLVVAELVEYLPSQDAPVYVVAAAAADSPENSIEECRQGEREGAAESGKRREKGVRGERKVLQLQGRARADRTVTSRLTARPRDGMGREDGRGGRRGADRMGRGATGRTPVPCVCWVTPRNSRRSAHWTGPDRQLDSSPVLLRRGVPACLLYAAAYRHRSFASLLPVFGGGVNSAFWASGSGVAA >Sspon.03G0022490-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3A:68216506:68221239:-1 gene:Sspon.03G0022490-1A transcript:Sspon.03G0022490-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAHSRGHPAMRERGFSPAGTSPYWWIRPRAGPILFLSLLFLFLFLFLFTAAAEEKFTEELLLRPLPDRKALAHFHFRSSAPPAASVGRHHHLFPKAISQLVKKYHISELELSFTQGRWNYEQWGGFDPMSTNNAKPPGVELWAVFDLPLSEIDATWKNLTHTLSGLFCASINFLESSTAFSAPRWGFKLNEGNLRYGALPREAVCTENLTPWLKLLPCRDKAGIASLLYRPSIYKGYYHSQKLKLRSSQSLGIILDQTLTVVLQPNTVYYHSLEIFIDGSRKTVSEVVDKIHVIPSEDKLLPGTLEMLLRFPCSMQSGTLTLDFDKGFLHIDEYPPDANQGFDIPSALVSFPEFSSARSYPEIDPVLESPLLENFQEDSVVKSYTEVLLVPLTTPDFSMPYNVITFTCTVLALYFGSLLNALRRRIGEEERELKKTDLAGHVSAVHWSY >Sspon.07G0000960-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:2005671:2008565:1 gene:Sspon.07G0000960-1A transcript:Sspon.07G0000960-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAIRVPKTQRARRELLKHEPKLVETSKKTLILHGTKTSAVLNSVLSDIYHLKRDNAVKYTKKNDNIRPFESGGESSLEFFSLKTDCSLIVYGSHSKKRPNNLILGRTYDHHIYDLVEVGVENYKSMESYVYDKKLAPKLGSKPFFAFIGEHFESAEELKHLKEVLLDLFRGEVVENLNLAGVDRIYVCTAISPTTVYMMHCALRLKRSGTSIPRMELVEVGPSMDLVVRRHRLPVESLKKEAMKTAEHAKKMKNVTKDPVQGRLGKVYMPDQQVGKLTLSNDIKGLKRERREAKKNKEASKKHKVNPE >Sspon.04G0012790-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:55767789:55771384:-1 gene:Sspon.04G0012790-3D transcript:Sspon.04G0012790-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSALLGGYLTAYPPPPLPPATAAAPSPSARLPAPFPARLRHAPLLVARRRAAGAGEALSASAAAEGDDEYEAALQEEGFPSWTGGGEEDDDYDHDPEIGEIMGDYFDDPKKAQTRMEDRIRKKRHKIVQTKTGSRNPMKVVFNKFDFSNSYIWFEFYNALLPKDVTLISDSLRSWHIVGRLGGCNSMNMQLSQLPLDCKRPTYDALEGANVTPTSFYNIGDLEIQDNLARVWVDIGIHEPLLLDILLNALTTISSDHVGIKQVQFGGLEFLNWSEDLKTEEVGYSVCKI >Sspon.05G0009520-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:30029310:30033371:-1 gene:Sspon.05G0009520-4D transcript:Sspon.05G0009520-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LEVVGDTVDTVCLASCLRRKLSHTDILQVEEVKDNKPPDEPTPQPEPLPPQPLGNTSKDRDQGEHAVREEPVQSHDPGRQSRWYGTPSIVSVPKVVTHQCSANSGALREMCAGVISMAITGDAREKLEVVGDGVDPVRLVSCLRRKVGHAEILQVEEVKDKKPEEKKKPEEPKPPQPVAVHPLPHCYPGGYHYYRHPPPPVVVCEEPSGCPI >Sspon.03G0011060-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3B:37177494:37182321:1 gene:Sspon.03G0011060-2B transcript:Sspon.03G0011060-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMPPTAVFLSAAAPSFSSRRRRSRLPAISASLSPSSSSSSSSEEPLLVRAARGEDGLPRPPAWMMRQAGRYMAEYQALAKRHPSFRERSENTDLIVEITLQPWRAFAPDGVILFSDILTPLPAIGVPFDISDSKGPVIQSPVRSEEQVRELVPIDLDMLQFVGESLKILRNEIVSRIKKECPHVPLVFYINGNGGLLERMKDTGVDVIGLDWTVDMADGRRRLGNGISVQGNVDPAFLFSPLPVLTDEIHRCDPNLANRVLTEGMFPALYFFTAAGGLETGPTPALLLQARVVKAAGPKGHILNLGHGVLQKTPEEAVAHFFDVTRSLRYDTLFQGSVAEELQPVA >Sspon.01G0009590-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:32986119:32988817:1 gene:Sspon.01G0009590-2B transcript:Sspon.01G0009590-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVETRRSAAAKRPAAGEEEEEKGAPATPAPAPAEDAAAGPGAGDDVASSQPPKRVKVGCTEADTAKPSVAPAAGAVAGPLPNTAGLQALTGAMDKLEALLRSKEAQSNPAGHKRGTNNDKDLSAKIKRAMDLSEDLSAKIKKTKDKMAGILNKPQAAATSRRQEPWCRLISQYSMRGALLEVFESKVVRVNGKSLDKAAKVTLNGGDEIIFRSPVRHAYIFEQLHQEKSSTSALSSTCSSVQQGQRSHFKDIQDLLSSKGRKVSTFYFGRGPSADPLMLNSCKTMEGRSQFSSEDNISSGRCQLVKEDLINATVDASDISESFDSCPYYLR >Sspon.02G0023740-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:81035885:81039752:-1 gene:Sspon.02G0023740-1T transcript:Sspon.02G0023740-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKALREVARRRGSWAAEVGRRCLSSGSTGPAPEPKEGGAGGKAVNLFTAVNQALHIALDTDPRAYVFGEDVGFGGVFRCTTGLADRFGKNRVFNTPLCEQGIAGFAIGLAAMIVNEAAKFRYRSGNEFNCGGLTIRTPYGAVGHGGHYHSQSPEAFFCHVPGLKWLYRLAVEEVPEEDYMLPLSEAEVIRKGSDVTLVGWGAQLAVLKEACEDAAKDGVSCELIDLKTLIPWDKETIEASVKKTGKLLVSHEAPITGGFGAEIAASITERCFQRLEAPVARVCGLDTPFPLVYEPFYMPTKNKVLDAIKATVNY >Sspon.07G0007390-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:13300489:13302773:1 gene:Sspon.07G0007390-2P transcript:Sspon.07G0007390-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RSFQSVGRDGRGNAARGRRRAAAAPAAAEVVVTEAAPAEAEVPAAAETEAEAEAEKKADEAAVTADDAGEGTGSFKEESNLVEDLPDPEKKALDEFKQLIAAALAAGEFNLPPPPPPPKAKEEPKAEETKTEEAKTEEPAKEEPKAEAEAAVEEPKAEVAVDAPAEEVKTEVPPAEEAKAETVAEEAKPAESEPQEKTVVVAEEETATKAVEAIEETVVSAPAATSEETAAPEAVAETEAAAPEPVLIWGVPLVGDDERTDTVLLKFLRAREFKVKEAMAMLKSAVLWRKRFGITSLLDADLGLPELENVVFYRGADREGHPVCYNVYGEFQDKDLYEKAFGDDEKRERFLKWRIQLLERGILSKLDFSPSGICSMVQVTDLKNSPPMLGKHRTVTRQAVTLLQDNYPEFIAKKVFINVPWWYLAANKMMSPFLTQRTKSKFVFASPAKSAETLFRYIAPEQVPVQFGGLFKEDDPEFTTSDTVTELTIKPSSKETIEIPVTENSTIVWELRVLGWEVSYGAEFTPDAE >Sspon.06G0005540-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:16426276:16438388:-1 gene:Sspon.06G0005540-2B transcript:Sspon.06G0005540-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSGLLSLPAAAVLVVLLSSAPLVALASEPLNPEGNAVRQLAMRRVASRALRAVQALIAIRQGLVDPHGVLRSWDQDSVDPCSWAMITCSAQNLVIGLGVPSQGLSGTLSGRIANLTHLEQVLLQNNNITGRLPPELGALPRLQTLDLSNNRFSGRVPDTLGRITTLRYLQLLLSRPAAHAAALCRVCFCSCRRRLNNNSLSGPFPASLAKIPQLSFLDLSFNNLTGPVPLFPTRTFNIVGNPMICGSNAGAGECAAALPPVTVPFPLESTPGGSKRGGCDLEDGGGVVARLGNVRQFGLRELQAATDGFSAKNILGKGGFGNVPYVHAGKPALDWATRKRIASSEKTDVFGFGILLLELVRKVHQEKMLDLLVDQDLGPHYDRIEVAEVVQVALLCTQFQPSHRPKMSEVVRMLEGDGLAEKWEATNRPAAVAGAPCHVDALGYDHRNDSNGSVFFNDFHDNESSLSSDEARSIDMVEEMELSGP >Sspon.04G0008870-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:26233907:26238338:1 gene:Sspon.04G0008870-3C transcript:Sspon.04G0008870-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytosolic Fe-S cluster assembly factor NBP35 [Source:Projected from Arabidopsis thaliana (AT5G50960) UniProtKB/TrEMBL;Acc:A0A178UBK9] MENGGGKGDVPDDANERKESFPPCPPVASFFLFPYCPGTQSEEAGKADACAGCPNQQICATAPKGPDPDVVAIVERLATVKHKLLVLSGKGGVGKSTFSAQLSFALAEMDHQVGLLDIDICGPSIPKMLGLEGQDIHQSNLGWSPVYVESNLGVMSIGFMLPNPDDAVIWRGPRKNGLIKQFLKDVDWGEIDYLVVDAPPGTSDEHISIVQYLQATGIDGAIIVTTPQQVSLIDVRKEINFCKKVGVPVLGVVENMSGLRQPLSDLRFVKSDEAGETDVTEWALNYIKEKAPELLSVMACSEVFDSSKGGAEKMCHEMGVPFLGKVPMDPQLCKAAEEGRSCFADQKCSVSAPALQNIVKKLIKTK >Sspon.07G0018820-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:76813052:76817222:1 gene:Sspon.07G0018820-3C transcript:Sspon.07G0018820-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAGDGASEARARALLQQHHPFAPSPGEYHHFGAPAAAAEETVEAVVLRTPLKRKHNNRPGNEAGESNDLMMSPGYANAGSSPVPTPPSGKGLKPSTKPKAMKGQKSCPQTPLSFGSPGNPSTPVGGCRYDSSLGLLTKKFLNLLKGAPGGIVDLNNAAETLEVQKRRIYDITNVLEGIGLIEKKLKNNIRWKGVDDSRPGEVSDDMSILQADIDALTLQERNLDEQISEMRDRLRALTEDENNQKWLYVTEDDIKSLPCFQNQTLIAIKAPHGTTLEVPDPDEVGCKTILVFHTGDPGGMLLVANGSNFPVQVNGYPQRRYRIVLRSTMGPIDVYLVSQFEEMSGMETPPRPTQTISMDPIENPRTPLAANCNKVTGMQSNIQEVPILPSDVPSSSQDISGMMKIVPSELDVSSLACIIIQKDVLKRIILSMIIFLLFHSMPRCTCPQFLFWLHRLTFSWSMLRPIWHISTTLLHFTATCF >Sspon.04G0009730-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:28967242:28979450:-1 gene:Sspon.04G0009730-4D transcript:Sspon.04G0009730-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPRGGGLLDLEGQYAFYGAYHSNPVNVSIHELFVWPIFLTTLMLLHLTAPSAHAAGIGAAVYAAYYFLLDRRNKSLAMAKPRGGGGLLDLEGHYAFYGAYHSNPVNVGIHELFVWPIFLTALMLLHLTAPSAHAAGIGAAVYAAYYFLLDRRAGALAALLCYLCWAASGALAARLGFSVGWKVVLVAQLVCWTMQFIGHGVFEKRAPALLDNLVQAFLMAPFFVLLEILHTFGGYEPYPGFHDKVSKLIEEARKEWEDKKSKKSS >Sspon.08G0020650-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:26116849:26119855:-1 gene:Sspon.08G0020650-2C transcript:Sspon.08G0020650-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIGYKSGRRGQHPRLQMQVSLVSPHTTHTVHRVRHQRRRQSKPVKSRSARALQRLRQAAATATAFSCARAHRHLRLHTPLASSISSSSLAMASSSPARLYYLLLVVVLLLLATPTLSSPSVRASSERRRRRVEDKPAALPPAVVVPGRARQEFHVALAPTTGPISRERRRSRARGGGTGAWTFSAMLPRGFVPPSGSSACHNDMPDTAADAQFFACSGAGTP >Sspon.07G0012160-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:43453483:43458039:-1 gene:Sspon.07G0012160-1A transcript:Sspon.07G0012160-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLGGEFSDGGVRPADGSGVVVGYYFTVVDLTGSAGAGGDGGKVGLPALDVALAFPQATPASLFPPAGEISISFSCCIRSRASDYYQFDDLLTDEEKALRKKVQGIMEKEIPPIMTEYWEKAEFPFHAIPSLASLGLAGGTIKDSLTASAISIAEVARVDASCSTFILVHSSLAMSTIALCGSEAQKQKYLPSLAEFKTIGCWALTEPDYGSDASSLRTAATKVPGGWQLDGQKRWIGNSTFADVLIILARNADTNQLNGFIVKKGAPGLKATKIQNKIGLRMVQNGDIILNKVFVPEEDRLTGINSFQDINKVLAMSRIMVAWQPIGISMGVFDICHRYLKERKQFGAPLAAFQLNQEKLVRMLGNIQAMLLVGWRLCKLYESGKMTPGRSSLGKAWTSKKAREVVSLGRELLGGNGILADFLVAKAFCDLEPIFSYEGTYDINSLVTGREITGMASFKPAVSAKSRL >Sspon.08G0026370-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8C:21078911:21079336:1 gene:Sspon.08G0026370-1C transcript:Sspon.08G0026370-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTCGVMISSSHSSFSTALARRQGRQGRRGEAARAGADFVVVGVGGIRPCSLPCCGEAASALARTCSSWRRGAAWRSAGWSAISRNCAGHGHRRAAGGGGGRAKRHRGGGTRWGGAPAPTPTGQAAREPHSHGRKGCHPRWE >Sspon.06G0012200-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:48335351:48338049:-1 gene:Sspon.06G0012200-4D transcript:Sspon.06G0012200-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTGDGLAGPPPPPRPPPSPSRPPAETPTPTPNHAAISSPLLEPAAPGADAPLSRWLRRLEAFLSAAGLAASTPLGVAAAASALAVVGLALPAAAVTLSPCRAHRGGGCDDFEVEVFEVCVLLSQAAAAAVALACVSRKMAMYGLRKFLFVDPDLGMRIRFQKEYVARIQDFFRTLTWWIVPCLVVKVTREFFRFAHIFQESVWRACVVFFASIMSWMYLTTIILSSCMLFNLVCNLQVIHFDDYGKLLEQDADPLVYLKEHLQLRHNLSKISHRFRMFLLLLFFSVTASQFAILFKTTAYSGPINFTNGGDIAVSSVVQVVGLVLCLHAAAKISHRAQNIASLASRWHALATCSTDSTYVNTPNSSGNLVPFPAHLFLRDFSESDLESLDSGSLHGNSHGTAQLASYMSSYHKRESLVLYLLANPGGITIFGWIVDRAFLNTILMLELTLVLFVLSKTVVIPAKTLVHSYIGFP >Sspon.02G0054810-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:120206066:120208105:1 gene:Sspon.02G0054810-1C transcript:Sspon.02G0054810-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASWFADLFTDERVKTLSNQVSTLRDKVWDLERKSAQLLREKGKLEKQLEETNKAAQVLAGEKEEVERSLKGENDKLCSEVSAAEEKYSQSQAEVMKLKMELDALAEEKEAATKAFDAKKAEMMLQLEDLKRRMEEIQANKDLVDTENVKLRSEVLAIEQKHILSEAEVERLKVELSTLVEAKEEAAKAFEAEKLEIMKELEDLKWKAEEIQTSKDLVIGENDKLRSEVVTTEQKLSLSEEEVGRLKMELDALAAVKEAAAVEFDAKKTEIMKELEDLNEKVEEIKASKDLVMGENDKLRSDVLTAELKYRQFEEEIERLKMELGAQLESKEATAKAFNAEKAQIMKELEDLKREVEEIQAIKDLVVGENDKLRLEVLIMQQKHSLYEVEAKELQMELDALAEAKEEAAKAFDVEKAEITKELVDLRRKVEEIQASKELVMVENDKLRSDVLTIEQKHSLFETEIEKLKMELVALTKAKEEAAMVFDAEKTKIMKELEDLKREAERSQANLELAEEAVHNKDALVNNLRAELEELDETMSKLRADYDRLDAKHSRLTDEKNSVQKAMDNRRALAALLESKIKVLENDNAEKDGEIGKLKAALEKKKKRGIRAIFSSAIRCLPSCVSK >Sspon.06G0006860-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:26014055:26016138:1 gene:Sspon.06G0006860-1A transcript:Sspon.06G0006860-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLMIIVAACLTIAILYATTKMVQRRTLPETKQGPSLPPVVSTVSLLAYVPTLVRSGLPAVIDHLHTKLGSVFTMSLFGLKKVTFLVRPEVTAHFFQGSESEIRQSNIYKVTVPVFGRGVLYDVDFATRSRQISFCMESIKPLNLRGHVDSMDYFAQWGQHARDGASHLLIANRCFLGKQFRENVFDEEVSTLVQEIFENGFHLISLFFPYLPIPPHRRRDKARAKLGEIIHEVVRSRRSLSGRAAENDDVLQRLVDSKYINGRSMTESEIAGLLVCLMFTAQHTSSSASTWTGACLLSHGGSSYLAAAVEEQKRIMERHGERVDYSVLQEMGTLHCCIKEALRLHPPANLLIRHASKGFSVRTREGNRFDIPKGHTLATCTTVANRLPYIYKDPHVYDPSRFGPGREEDKVGGKFSYTPFSAGRHACSGKDFAYMQIKVIWSHLLRNFDLELISPFPEEEWEKLAPGPRGKVMVSYKRRRLLP >Sspon.05G0037490-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:14449317:14454790:1 gene:Sspon.05G0037490-1D transcript:Sspon.05G0037490-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRSSSSSSGAWRYLNPAYYLKRPKRLALLFFVFVAATFAFWDRQSLVSEYESEISRLEDDINRLHDQLRKAGVHLDENPVVSNKNSRKDLVEIDPVNNERREKVKEAMLHAWNSYVKYAWGMDELQPQSKNGINSFGGLGATLVDSLDTLYIMGLKDEFQKAREVVGGLLSAYDLSGDKVFLDKAKDITDRLLPAWDTTSGIPYNRINLAHGRAHNPGWTNGDSILADSGTEQLEFIALSQRTGDPKYQQKAENVITQLQKIYPSDGLLPIYINPHSGTASYSTITFGAMGDRQMWETSMEGLLSLTKKTTPSSYYYICEKNGGSLSDKMDELACFAPGMLALGASGYGPEKSEEIMNLAKEDMSVGTSWNILRPETVESLMYLWRLTGNKTYQDWGWDIFQAFEKNSRIESGYVGLRDVNTGEKDNMMQSFFLAETLKYLYLLFSPPSVISFDEWVFNTEAHPLRIVPVTDNKGIGTPVRPFGRKQGKPE >Sspon.06G0033820-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6D:24088893:24089105:-1 gene:Sspon.06G0033820-1D transcript:Sspon.06G0033820-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMYGDIPDYRYRIMDYVGDGFLGRRIVGSAFHFIRGFKNSPNDGRRLAGGIHAVRTNLPRVAGSGGAGLA >Sspon.02G0048340-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:24393813:24394676:1 gene:Sspon.02G0048340-1C transcript:Sspon.02G0048340-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha expansin 26 [Source: Projected from Oryza sativa (Os12g0546800)] SSSSWTIWAPLLASLLLVGLVLSAAGAKVVEEEEEDGGASKKPHVNHGKFKADPWTDGHATFYGGRDGSGTTDGGACGYKGELGKDYGSLTAAVGPSLYSSGAGCGACYELKGTKGTVVVTATNQAPPPVSGQKGEHFDLTMPAFLKIAEEKAGIVPITYRKYVVQPKNILPIDTCTSLTTANCRVACVRQGGIRYTITGNPHYNMVMVTNVGGAGDVVGLSVKGNKRVKWTPMKRSWGQLWTTEVDLTGESLTFRVMTGDHRKATSWHVAPRDWKFDKTYQATKNF >Sspon.01G0024510-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:88396102:88400738:-1 gene:Sspon.01G0024510-2B transcript:Sspon.01G0024510-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPVPHTSIAQVVPQYVSDGIGNGTRVILDYVKRMQAEDPAFFYAMQFVEGRPVGNVFWADARARTAYKDFGDAVVLDDYCKRSKHELPLVTFTGVNHHCQPVLFGCAIMAHNNEASFVWLFETFLLAMSGQQPTSITMEHDNALKSAALKVFPLTRLQFCKWHIMNEAQDKLSYLLDAFPSFHEDFINCINMSDTINEFEANWKALISKVGSQKSEWLDLVYNCRHQWVPVYLRDTFFGDVSLKLQCSSRSSLFEGYISAKTDSQSFIQQHILAVFSVRGVSALPSHYFVKRWTKNALDRSSGKNVDEVSRVEEPKEEQRSSAEDDEQSLTWRYNSLCREALRYAEEGASSLEVYIVAMQALQEAANRVNMAKRGIGQVAPLAVMPIAAQLPECFARNPEISSNKQKKRKRNSSSSRENATSNQLMYVQQPVNFLFVAPGSSSALQGPSQLVAAAPVSLSSKYGQTSGANNSVDDNIPPASVVDKFSGLPDRNASAPSTGNLQGGETKSIGADSRIKESHELSQANGSRGCSVNTLNSSAVPQLVTVPIGLCLPSTDSSKISAAGMNSVNSGDISSNGKLSFGQSQSSAQQPTTPSQTKTLNRIDSRPNPEGSSIRAAAIAAGARIASPSDAATIIKAAQSKGAIHIRPGENLPNYLKPLAPKPLSSLPP >Sspon.06G0009990-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8B:17527250:17527597:1 gene:Sspon.06G0009990-2B transcript:Sspon.06G0009990-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQVAYKVKNFVLYFDQYNSLDDNAWEDIVLNPVGTLPIVLSPRKVAPKRDDDVGSEGSDKDGSTDGEFVDSDYEVDDDGNDLFYDNVDDGVVDEGAAKGMVLSKGKKRNAPSGK >Sspon.04G0001370-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:5937482:5939923:1 gene:Sspon.04G0001370-3D transcript:Sspon.04G0001370-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AMAILSDVATEVLIPLAAAVGIAFAVAQWVLVSRVKLAPSSGRDKDMLADSLIEEEEGLNDHNVVVRCAEIQYAIAEGATSFLFTEYRYVGGFMCVFAAVIFVFLGSVDGFSTRSRPCAYSEGKQCKPALFNAVFSTVAFLLGAATSVVSGFLGMKVATYANARTTLEARKGVGRAFVTAFRSGAVMGFLLASSGLLVLYVAINLFQLYYGDDWEGLFESITGYGLGGSSMALFGRVGGGIYTKAADVGADLVGKVERNIPEDDPRNPQVIADNVGDNVGDIAGMGSDLFGSYAESSCAALVVASISSFGVNHDFTGMCYPLLVSSVGIFVCLITTLFATDFFEIKDVKQIEPALKKQLIISTALMTAGIALITWLALPAKFTIFNFGEQKEVSNRGLFLCVAIGLWAGLIIGYVTEYYTSNAYSPVQDVADACRTGAATNVIFGLALGYKSVIIPIFAIAVGIYVSFTVAAMYGIAVAALGMLSTIATGLSIDAYGPISDNAGGIAEMAGMSHRIRERTDALDAAGNTTAAIGKGFAIGSAALVSLALFGAFVSRAGVKVVDVLSPKVIIGLVSGAMLPYWFSAMTMKSVGRAALEMVEEVRRQFATIPGLMEGTGKPDYARCVKISTDASIRQMVPPGALVMLTPLVVGTLLGVHTLAGVLAGALVSGVQVAISASNTGGAWDNAKKYIEAGASEHARSLGPKGSDCHKAAVIGDTIGDPLKDTSGPSLNILIKLMAVESLVFAPFFATHGGILFKLF >Sspon.03G0028220-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:8484039:8488888:1 gene:Sspon.03G0028220-1P transcript:Sspon.03G0028220-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDAGRAPPPAPAAVTVSATAAPAPPPPSATAAADKSSTDPDALYEEGMWQQMAMNSGAAMQPGSYPERPGEPDCTYYLRTGLCRFGMSCRFNHPPDRNLFEVSVNPQAIASARMKGEYPERVGQPECQYYLKTGTCKFGPTCKFHHPREKAGIAGRVQLNTLGYPLRPGQLQSPGAQQTYGSSQQGESSAGNQGMLSPYRSSSFPVPQYALQRDNVFPERPDEPECQYYMKTGDCKFGAVCRFHHPRVRALPPPDCVLSPMGLPIRPGEELCKFYSRYGICKFGANCKFDHPTMAAPMGVYAYGFSASGSPASASTNLPMARRLLGSPSGSGYAS >Sspon.08G0003100-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:8500699:8502811:-1 gene:Sspon.08G0003100-1A transcript:Sspon.08G0003100-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKVWTNGIYQPTLHRVVNNSPRYRVSVAFFYESNFDAAIEPVEFCREKTGGAAKYEKVVYGEHLVQKVLTNFVIL >Sspon.07G0018520-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:67041777:67049328:1 gene:Sspon.07G0018520-1A transcript:Sspon.07G0018520-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding YCLYSLSVRRERKGSVSSRRSGRFGCSSPCSSPSRRAGGSPSRIWSSLALPEVLSLDLEFACKIAKTVAIVVEGAGAWSRRRMVGTALIETRAGATPGLSLGCHQSVQDVLWQRGGSALMAFVVFITLLMDMS >Sspon.06G0008300-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:32368451:32371964:1 gene:Sspon.06G0008300-3D transcript:Sspon.06G0008300-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRADLSTIQQTLTPEAAAALARAIDEAARRRHGQTTPLHVAAALLAAPAGLLRQACARAAAAGAGPGAGGGAAGAHPLQCRALELCFSVALDRLPAAASAAAAHAAGPPVSNALVAALKRAQAQQRRGCPEAAQQPLLAVKVELEQLVLSILDDPSVSRVMREASFSSAAVKTTIEQSLASPSPPSSAAAAAVSTPTVAATTPLAPSPSPLPRVGPANAYINPRLATVAGGGGDNAYINPRLAAVAGGGGDNAYINPRLAAVAGGGGDDARKVLDVMLKPARRNPVLVGDAGPDAVLKEAVRRIPTAGSPALAGAKVLPLEADLAKLAGDKAALAARIGDLGAVVQRLLADHGAVVLDLGDLKWLVDGPAAAASEGGKAVVSEMARLLRRFGSGKVWAVGTAACATYLRCKVYHPTMEAEWDLQAVPIARSAPLAGAALRPGGTGILGNSMGMLSPTLRPMALTPTALRWPPGAGAGSDHPLMAKPAMCLFCKGSYDRELAKLAVEQKEKPASRPEAVKPGLPHWMQPSSDQPQTKEQELKRKEAAEELEKKWCETCARTHGNRAGAPAPSLPLAALAPRLPIEPKLQLARGGVPTLKMNTNWEKPEGTPTSELRRSPPGSPVKTDLALGPLDPGATVEKDQKENYTEGLTAMQKAKIAGISDIESFKRLLKVLTEKVSWQSDAASAIAAAVIQCRTGSGKRRNIGTRGDIWLLFVGPDQAGKRKMVNALSEQMVNAQPVVINFDGDSRLGKDGNAGFWGKTSLDRVTEAVRQNPCSVIVLEGIDQVDAVVRGKIKRAMETGRLPDSRGREVSLGNVIFVLTTNWLPEELRRPKFETLLQDEGRMFEVASSNWQLELSIGDKQVKHRADWLCDDARPAKVAKELSGGHGLSLDLNLAVGALDDTEGSRNSSDLSVEQEQEKGHLAVKCSTPAPDCDLLNLVDDAIVFRPVDFAPFRKTVTDCISAKFNSVIQSSNSFRIDEDAVDRMAGIIWLTDEKLEDWAEKVLMPSIERLWRNVKHYNGRAVVRLAAVTDKALP >Sspon.01G0023780-3C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1C:84180675:84186434:-1 gene:Sspon.01G0023780-3C transcript:Sspon.01G0023780-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box/LRR-repeat protein 17 [Source:Projected from Arabidopsis thaliana (AT3G54650) UniProtKB/Swiss-Prot;Acc:Q8W104] MASLPKPPPPRPKTRGNYNCGRCGQPKKGHVCVGPVPAPGGAAPTPSPSSSSGAASASASGAGGEHRLRRALSFDEAGTTPPSPEKKPKVEDLDMGEEELDEEDATVDVGARRMPREVMAEVLRRLGPRGVMAAAGVSRGWRDCAGRVWRAAEELRLRVLASSGAGLLGALLPRCTALSVLHLRMESDVDATTLACIAFSCPNLRTLEITMTADAVNRITGGLCMLSLILGSKITDAAVASIVRSCPSLELLDLSGSSISDNGVGMICKAFPHTLSRLLLALCPNVTTRGIQLATAQLPCLQLMDCGMSLCANVKNEKEGPYFGELNRERLLLQCPNLKNVHASGCQDVLIGAIRNQVLNEFAAAEPSLPCKRLADGFRRVQLPQFQKQQPLEDDKLIELSQTQCTVHLGS >Sspon.04G0035870-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4D:18997660:19007755:1 gene:Sspon.04G0035870-1D transcript:Sspon.04G0035870-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHASIPVFHRPATAAQVEEAFEPPAPPHRWHCSPTTRHAPRPSRPARPLRI >Sspon.03G0011030-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3A:30041440:30041839:1 gene:Sspon.03G0011030-1A transcript:Sspon.03G0011030-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding HPTTCPASTPTPAPRETSPFRFAASTPLGTRCTWKSRQSKRSQPRERRRVFLASSSRALALPLPRIRPVPAPAPSEPAAACRSGSQGKRESRGRRLRCSTSRGGLALSPPGWPRPPSTRRPWSAARASSRRCR >Sspon.06G0010750-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:46010731:46015006:-1 gene:Sspon.06G0010750-2B transcript:Sspon.06G0010750-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAGPAAVLAVVVLACALGARADGSDHKYKEGDHVPLYANKVGPFHNPSETWYYDLPFCAPGIQIPTFSAVVCFSDSLTGSDHVLLLSVKCWLVIEHPKDKKEALGEVLNGDRLVDAPYELDFKEDRNSKVLCKKVLSKEQVAKLRDAVAKDYYFQMYYDDLPLWGFLGKLDKDKEQGDAKYLLFKHIHFDIMYNDNRVIEINVQTDPNVAVDITEDKEVPIEFSYSVTWKKTDIPFEKRMNKYSKSSSMPQHLEIHWFSIINSCVTVLLLTGFLATILMRVLKNDFIKYSHEDESLEDQEETGWKYIHGDIFRFPKQKSVFAAIIGSGTQLLALAIFIFLLALVGVFYPYNRGALFTALVVIYALTSGIAGYTATSFYLQLEGTNWVRNLILTGCLFCGPLFLTFCFLNTVAIAYSATAALPFGTIIVIILIWALVTSPLLVLGGIAGKNSNTEFQAPCRTTKYPREIPQLPWYRSTIPQMAMQGSPFSAIYIELYYIFASIWGHKIYTIYSILFIVFIILIIVTALSQWHLRTSSLLLRIMSVVE >Sspon.06G0016080-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:69773072:69776670:1 gene:Sspon.06G0016080-4D transcript:Sspon.06G0016080-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKLFFSESACKETKLHSAPHSWLPLERGKLSKFSGHAAASSSIDSLMKMPEPAVLPHFKPADYVDILAQIHDELESCPPDEKSCLYLLQFQVFRGLGEAKLSRRSLQSAWEKASTIHEKLIFGAWLKYEKKGEEAIADLLSSCGKCSQEFRLLDFVSQVSTGSHVMSYDDDESDEFRGSAVVHFRIRDDMIACDRRKLAALSTPLYAMLNGGFRESYLEVIDMSRNGISPIGMRAISKFSLSGRLPYLSADAILEMLDFANKFCCKGLKDACERKLASFVSSRQDAIDFMECALELGCSILAASCLQVLLNELPECLNDEQVVRIFSSANKAQRLTMVGNASFSLYCLLSEVSMSTNPTSDVTVSFLEKLVESASDSRQKQLALHQLACTRFLRKDYPESERLFNAAFSAGHLYSLAGLARLASLRGNKHFALKLLDSVMSSRWPLGWMYQERALYLEGDNKIENLNKATELDPTLTYPYMFRAASLMKRQSVEAALMEINRILGFKLVLECLELRFCCYLALEDYRAALCDVQAILTLAPDYRMIGGRVAAKQLRMLVLENVEQWTAADCWMQLYDRWSSVDDIGSLSVIYQMLESDNAKGVLYFRQSLLLLRIDMVSFYVTRLNCPEAAMRSLQLAREHAASDHERLVYEGWILYDTGHCEEGLQKAEASIAIQRSFEAFFLKAYALADSSLDPSTSATVVSLLEDALRCPSDRLRKGQ >Sspon.02G0051840-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:74923006:74932717:-1 gene:Sspon.02G0051840-2D transcript:Sspon.02G0051840-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSDGEGRGSEATAARKPRKRQLVMESSDSEADEFCISTRQNASGAASVGNAGAGSQGGGDQSGEKPVTASPEKISGVKSSGGDGLEKKTGVELQSSSSQPAAKRIRVETAHGDGSGCSGGASKGGTGGKMLPRGLPTWRFERPEVRGGRVLDEKGGVGMKASSASKMKEQVSSLGDKRRQVELQKHERRTPLKTDQGKVIVSGQQEVLRLQGKRGVLRILPKHDKVTRDAGDGKILSRQTEVDGETGNVRIPTKRGVLKLLPKNNGAATESNDCKLLSKKVNKVDEETGDDKMPMKNTKVIDKETSDGKTLAITTKLDGEFHGHKHGHKVPMKDCTVDMETVAEKFQHRNSKADGETKERYKGYEEKSGAPAEFRKQDANGEKRVVGKLLSPVAMRKSDPSVVGVSLGQKMKQQNSKQQLKNCSLSLKDDSTKSSEQKNLKKRFLEHKGSSESLSKKAHSKAVDLQGTSGPVLNKLKMKKPRGGPLYTHKQDLRNKIKRVLLDNGWKIDLRQRKNKDYEDSVYVSPAGVGYWSITKAYAVFQEQFQNMGRSSKLNNTKPGASDAISKDDLALLKKNIVKRRTNKEICGAEKKPGVSRNRSRSSKDILASRGSRNKHQNKEDRVKDRRCGLLVRGSTHDTEDNMDGYIPYEWKRTVYSWMIDLGVVSEDMQVKYMNNNRTREMLAGKITREGIFCGCCSKILTVAKFELHAGSKEKKPYANIFLEGGRVSLLQCLLDAWEKHTRCENKGFYKIDKGEDEHDDTCAICGDGGDLVCCDHCASTFHLDCLGIKVSIQIYISPLAIGIVAAVYVGSVVFPKKSHHLLLSYYFLVCNVQGNITKLVHLEPGQTLIVPYLVPLIAFAVQDIYKRLNKLLGIKNHMEAGFSWSLVRCFPNDQAVPPKNKEKMAQCNSKIALAFTVLDECFEPHIDERSGINMIHNVAYNCGRLDFSGFYAFILERGDEVISAASVRIHGTDLAEMPFIGTRGMYRHQGMLRRLLNGIESALCSLNVQKLVVSAVTEMQNTWTTVFGFKPVQPSKKQRIKSLNLLIMNGTGLLEKRLLPTQTVDGQITTKTANAVESDKMEVKMLGEASGSMTPVHVSSELDASDNLEIKRHESPHPLGNSADLTLDQSPAAEENEAKITIERTSPVSIGDGKTVKLHTLPGANCGVNMQCMAEVENIQEEKYKETNGRSIAENTISEQKCEDKSNSSHSNSLATPVTVDQCSCLSNEIGKGENRLSSELSVGAAPITGKTESNLTSVHCANQEDEKAYAAPVDTKIPLVIMDEKPDKHEFKTMVVGYSQISMEAKSLEDRTTIVNGTSMEAYRDKGTNEDHSASAVDSGVSVKLYVQQMEIIEDKTGSLLPDLKHSSSCEDMLVTLTESKSLKKDMVEMDDATIKVGKTGESFNEAGITAPVLNISDVVGENVVKSTQTCGEGQLHGEDVIYSNNSMEDDLASREPLISLRKLFRTFALSIITEKLRILSVCEALLGAGMVREKHGTSPQILAVY >Sspon.07G0009000-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7B:30003911:30004594:-1 gene:Sspon.07G0009000-2B transcript:Sspon.07G0009000-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding KRAATTTAHCPHPNPVLGNTLAFISNRRRFFDWYTDLLRAAPSGAAIEAWGPFGAGHAVTTASPADVDHLLRAGFDGYARGALFRVATAELIGDGLFAADGRLWSLQRKLASHAFSSRSLRRFADGVLAVHLRRRFLPLLDAAAATDGGAVDLQDALRRFGFRTICHVAFGVEGSDGDDDPATQEALFAAFDAAVEISFRRALTPATFVRRLTKLLDVGKSRRLREAV >Sspon.01G0023800-1P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:111442811:111443713:1 gene:Sspon.01G0023800-1P transcript:Sspon.01G0023800-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVAAAASYGLKAAADVDNLRATELRLGLPGTEEEEPQQKAALPLLPPAATPPPPPSTPRGKKRDVVSSGPEDAPKKRDSNADAAPPAAKAQVVGWPPVRSYRKSCFQQQAAANKSKPAAPAPAEEAPAAPASGLFVKVSMDGAPYLRKVDLRMYKGYRELREALEAMFLCFSGGADAPAVNPSDFAVTYEDKDGDLMLVGDVPFDMFISTCKRLRIMKGSEARGLGSVKNN >Sspon.04G0012940-1P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4D:55417365:55417673:1 gene:Sspon.04G0012940-1P transcript:Sspon.04G0012940-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RVPCVKRGGVRFTINGHDYFNLVLVTNVAGAGSIKSMDVKTSNSNSWIPMSRNWGANWHSLAYLTGQMLSFRVTDTDGQTIEFTNVVPQGWKFGQTFASKLQF >Sspon.06G0008620-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:39233065:39234334:1 gene:Sspon.06G0008620-3C transcript:Sspon.06G0008620-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MERRSLALLASLALMMAAVASAESWLYEKFNTDGTVRANYDASGQQVAMLNLDRSSGAGFNSKEQYLFGEFTIQMKLIPGNSAGTVSCFYLSSGDGDGHDEIDMEFMGNSSGQPVVLNTNVWANGDGKKEHQFDLWFDPAADYHTYTITWNPTNIIFKVDGNVIRCFKRYADLPYPSSKPMTLHATLWDGSYWATEKGKVPIDWSGAPFVVSFRGYSADACISGGACAAGSNAWMNKQPDSAEWGTVKWAESNYMRYNYCDDGWRFPQGLPAECSRS >Sspon.01G0027810-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:97172098:97177479:1 gene:Sspon.01G0027810-1A transcript:Sspon.01G0027810-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDERVKAEALQILGLFQVLPRLVVFDLDYTLWPFYCDCRSKRDSPSLFRHARGIMYALKEKGIDMAIAWTHKTEHFQKIQRKTGIPYKSMLFFDDEDRNIDPVSNLGVTSVLVENGVNLDMFKLGLSNFATNYAASSRKEDK >Sspon.03G0035270-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3C:76922161:76923715:1 gene:Sspon.03G0035270-2C transcript:Sspon.03G0035270-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATKASSGLKPPAMDDAAAAAAVKAAKGGGRGPFLAHVFLVLSVVALCAVVYAPRFFVSGPAPYGAAIVAISAPRPSSTSRTSSSAPPLGALEHVAGRGDADQERHGGARHQHQQVVLDNQVGSPCASLPNHTICCDRSDYHSDVCFMAGDVRTDAASLSLLLFPPPRASSSAPAEPVEEERIRPYTRKWDAYITKTIHEVRLRRVARPEEEAAHRCDVRHDAPVLVVTAGGYSHNMFHVFNDGFVPLWLTAQHLRRRVVLAVLAYSPRWAGTYGEILAGLSGYHVIDLLRDKRTHCFPGAVVGTRYHDYLAVNSTRLRTTDHRRLPRLPRRREMPPRERRPRLGIVSRKGRRVIENQAAVARLAASVGFDVDVMETATGVPLSAVYAAVSSYDALVGVHGADLTAFLFLRPGRAALAQIAPLGITMLSRNLFGVPAARMGLHYEQYDVSARESSLSRKYPADHVVVADPARARREQGKQEWELVEHVYLRGQN >Sspon.02G0042700-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2B:85909499:85910006:-1 gene:Sspon.02G0042700-1B transcript:Sspon.02G0042700-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAWLAVASGCPCDSGWALASIFSPRCGRRNGSEVPVEGRSDPAPLQLDKCVLAARSPVFKDEFFGPAKEEDTSYVRVIPDRRPE >Sspon.05G0008750-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:27920040:27921691:-1 gene:Sspon.05G0008750-3D transcript:Sspon.05G0008750-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding METLHAHDELFSCVVLVLVTTITILYLKQLLLAAFERRAGSPSLPCPRGLPLIGNLHQLGTAPHDSLAALAAKHAAPLMLLRLGSVPTLVVSTADALRAVFQPNDRAMSGRPALYAATRITYGLQDIVFSPPDGAFWRAARRASLSELLSAPRVRSFRDVREGEAAALVAAITDMSGSGSPVNLSEEVMATSNKILRRVAFGDGGGEESIEAGKVLDETQKLLGGFFVADYMPWLGWLDALRGLRRRLERNFHELDAFYEKVIDDHLSKRGAGADASKGEDLVDVLLRLHGDPAYQSTFNSRDQIKGILTDMFIAGTDTAAATVEWTMTELVRHPDILAKAQKEVRAAVVGKDIVLESDLPRLKYLKQVIRESMRVHPPVPLLVPRETIEPCTVYGCEIPARTRVFVNAKAIGQDPDAWGPDAARFVPERHEEIADLSDHKPWHDSFSLVPFGVGRRSCPGVHFATSVVELLLANLLFCFDWRAPHGEVDLEQETGLTVHRKNPLVLVAERRGV >Sspon.07G0026120-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:51756822:51761251:1 gene:Sspon.07G0026120-1B transcript:Sspon.07G0026120-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding TMAVKQQAVVSSGYTKMGEEKLVIRSEKVRFIDVLSMLFLRRSITSYAFVEAGDRTAADFGSTPGDWLVALTQLIQKALDAAYYPALVIGAVVEFLLNFVALNNGILGIFLNIFTGKLVIPHRDAPNFRSMIGLIDGRTELKPLPAGGGPEDRRLQVVGISAAGDDYVDVESGGSTAAVPLVQQPQYVNGRLIRLRTFSVFEVSMMAAKIAYENAAYIENVVNNVWQFHFVGFYNCWTEFVGDHTTQAFVFTDKASDASVVVVSFRGTEPFNMRDWSTDVNLSWLGMGVLGHVHVGFLKALGLQEEDGKDAAKAFPKAAPNAVAGKPLAYYTLREELKKQLKQHPNANVVVTGHSLGGALAAIFPALLAFHGERDILDRLLSVVTYGQPRVGDKVFADYVRANVPVEPLRVVYRYDVVPRVPFDAPPVAEFAHGGTCVYFDGWYKGRAIAKGGDAPNPNYFNPKYLLSMYGNAWGDLFKGAFLWTKEGKDYREGAVSLLYRATGLLVPGIASHSPRDYVNAVRLSSVATGKEEL >Sspon.03G0003300-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:8292749:8299896:-1 gene:Sspon.03G0003300-1A transcript:Sspon.03G0003300-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:V-type proton ATPase subunit a1 [Source:Projected from Arabidopsis thaliana (AT2G28520) UniProtKB/Swiss-Prot;Acc:Q8RWZ7] MAHLNEDKSPFQRIFVNQVKRCAEMSRKLRFFSDQINRAGVRSSVRPALEPDIDLEELEARLGEHEHELLEMNTNSDKLQQTYNELLEFKLVLSKAGGILASSHNHAASAERELDENIYDNGVDEGNAYLLEQSKALAFERMLFRATRGNMLFNQAPAGEPVTDPISGEEVEKTVFVIFFSGEQAKAKILKICDSFGASCYPVPEEMVKQRQIFNEVSARLSDLEVTLDAGIQHRNKALESIGSQLWRWTIMVKKEKAVYDTLNMLNFDVTKKCLVGEGWCPIFAKSQIKDCLQRATLHSNSQVGTIFHEMDTIESPPTYFRTDKFTNAFQEIVDAYGVARYQEANPAVYSVVTFPFLFAVMFGDWGHGICLLLGALVLILREKRLSSQAYTTKGLFGYTWLVTSWG >Sspon.01G0002060-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:5873298:5875671:1 gene:Sspon.01G0002060-3C transcript:Sspon.01G0002060-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MESAVNPKAYPLADAQLTMGILDIIQQAANYKQLKKGANEATKTLNRGISEFVVMAADTEPLEILLHLPLLAEDKNVPYVFVPSKQALGRACGVTRPVIACSVTSNEGSQLKQQIQGLKDSIEKLLI >Sspon.03G0028830-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:15051612:15056480:1 gene:Sspon.03G0028830-2C transcript:Sspon.03G0028830-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTEKLAGDGCYGGEGQVEVEVEVGMGVDGKGVIECRICQEEGEEAAMDSPCACTGTLKFAHRKCIQRWCNKKGNITCEICNQVYSPNYVIPPPKCCSDEMDMDLRQNWVGRIDPHDSHFLAIAIAEQQLLQAEFDDCVSANSSGVTCCRTIALILMSLLLVRHVIVIVRDVSMLQDATVLFSATLQFAGFFLPCYVIARSCYALQHRRRRQLKRGFPACDFEEYNYGPKSHWSSQCEIIEFQAGIKSWPCLRMSDRKCKCGIKAREGVVPSELGYGHYCGNAYGGPNELWVSSLNQ >Sspon.01G0032500-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:113042957:113046998:1 gene:Sspon.01G0032500-2D transcript:Sspon.01G0032500-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMASTAIAGGGQVLNVSNPAVARVRQLIGGTGEYSSDGWRRCWEEGVTPWDLGQPTPAVVDLVNSGTLPGGDRDGRATTVLVPGCGAGHDVVALSGAGRFVVGLDISEAAIHKARQRAPADGNSLDFVAADFFTWEPPDKFDIIFDYTFFCALEPSMRPAWAKRIADLLKQDGELITLMYLAEGQEAGPPFNTAVVDYEEVLNPLGFVMNSIQDNQVAVQSRKGMEKMARWKRMANPTSLNSAGETQTSP >Sspon.01G0022820-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:83160951:83166083:-1 gene:Sspon.01G0022820-1A transcript:Sspon.01G0022820-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MYADSTVTATNQSKRQRKKRNDAGKKRGPRKTKPEHVDSKAHFPNSKCHGDRKGDGAETVRGKDSRDGEVLQTAKKTKKGDAGKVSVTKRLKREDEERNKATSSKHKDCNETNRKGKKLLTGDNALMCHQCQRNDKGRVVWCNSCKNKRFCMPCIQGWYPNLSEDEFAAKCPYCRKNCNCKGCLRKRGVEEPPKKEISEENQFSYACDVVRLLLPWLRKLWQEQMEEKKLEAKIKGNVFWTSIVVCQCHNWNKPNVIKMSVSTGLFFPSFLSATILQQQASDLFPLFFQCSDNCKTSIVDFHRSCQYCFYDLCLECCGEIRKGEIPGEEITKVEPENRGRDYLFGTTKSKDGSKGISLRRRSSENEPSNGLGSSERANNSLVLWKAESDGSIPCPPKELGGCGGSMLDLKCFFPEKMLSNLEERAGRIMRSEVFANAVAKRSDQCPCYDHSGNIRTQDVRAAANRKRSSDNHLYCPVATAIKEDDLVHFQMHWSKGEPVIVSGVLHLTSGLSWEPLVMWRALREKKTNGDVEDEHFAVRAVEINIHMFFVGYMKGRMHPMEHWPEMLKLKDWPPSSSFDQRLPRHGAEFISALPFPEYTDPRYGPLNLAVKLPDGALKPDLGPKTYIAYGFYQELGRGDSVTKLHCDMSDAVNILTHTAQVPYEGYQPEKIEKTRKKMKEQDLQELYGVSESRNITVDEMPKTSCNDGTCKEISDGLDINVVPPVNTKSMVQSEAGQCSNYIDKDKSYAGIHNGERTGGALWDIFRREDSDKLQDYLKKHAMEFRHIHCDPVKQVIHPIHDQTFYLTEEHKRKLKEEYGVEPWTFEQKLGEAVFVPAGCPHQVRNLKSCIKVAMDFVSPENVDECIKLTGEFRRLPPDHRAKEDKLEIKKIALHALNQVINFLDPSSEG >Sspon.06G0006090-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:20514454:20518432:1 gene:Sspon.06G0006090-1A transcript:Sspon.06G0006090-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LLRPVHRSLRSSPDHTVPPRTESQPRARSKFVLFNNMSREENVYMAKLAEQAERYEEMVEYMEKVAKTVDVEELTVEERNLLSVAYKNVIGARRASWRIVSSIEQKEESRKNEEHVNLIKEYRGKIEAELSNICDGILKLLDSHLVPSSTAAESKVFYLKMKGDYHRLGRVQCNKQYYDVQSFLYNLKKPIRVLNLPVLNYGRYLAEFKTGAERKESAESTMVAYKAAQDIALAELAPTHPIRLGLALNFSVFYYEILNSPDKACNLAKQAFDEAISELDTLGEESYKDSTLIMQLLRDNLTLWTSDLTEDGADEGKEASKGDAGEGQ >Sspon.04G0003530-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:11641503:11643786:-1 gene:Sspon.04G0003530-3D transcript:Sspon.04G0003530-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Geranylgeranyl diphosphate reductase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G74470) UniProtKB/Swiss-Prot;Acc:Q9CA67] MTSLSSAVALPSSCRARPAGGSRRARMVVTRAAASSPKLPNGRRLRVAVVGGGPAGGAAAEALAKGGVETVLIERKMDNCKPCGGAIPLCMVSEFDLPLDLVDRKVRKMKMISPSNVAVDIGRTLAPHEYIGMVRREVLDAYLRSRAQSAGAEVVNGLFLRYEAPKEPNGSYVVHYNHYDSSSSNGKIGGEKRSFEVDAIVGADGANSRVAKDMGAGDYEYAIAFQERVKIADDKMVYYEERAEMYVGDDVSPDFYGWVFPKCDHVAVGTGTVTHKADIKKFQAATRLRAKDKIEGGKIIRVEAHPIPEHPRPKRVSGRVTLVGDAAGYVTKCSGEGIYFAAKSGRMCAEAIVAGSANGTRMVEESDLRKYLAEFDRLYWPTYKVLDILQKVFYRSNAAREAFVEMCADDYVQKMTFDSYLYKRVVPGNPLDDIKLAVNTIGSLVRATALRREMEKVTL >Sspon.05G0039850-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:80992195:80994565:-1 gene:Sspon.05G0039850-1D transcript:Sspon.05G0039850-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVATCPPSEQAAARVPRRSHGPVLLQGRRRGWHREAATKAQAPSRGASANNERPSSSSAAPGGGGGTTKPASPTGARASTGSKPPAAATAAVGTVLGRPMEDVRATYSMGKELGRGQFGVTHLCTHKASGEKLACKTIAKRKLATKEDVDDVRREVQIMHHLSGQPNVSVHLVMELCAGGELFDRIIAKGQYTERGAAALLRTIVQIVHGCHAMGVMHRDIKPENFLLLSKDEDAPLKATDFGLSVFFKEGELLRDIVGSAYYIAPEVLKRKYGPEADIWSVGVMLYIFLAGVPPFWAENENGIFTAILQGQLDLSSEPWPHISPGAKDLVKKMLNINPKERLTAFQVLNHPWIKEDGDAPDTPLDNVVLGRLKQFRAMNQFKKAALRIIAGCLSEEEITGLKEMFKNIDKDNSGTITLDELKHGLAKHGPKLSDSEMEQLMEAVSFQSTIIFKKNQSEQADADGNGLIDYDEFVTATVHMNKLDREEHLYTAFQYFDKDNSGYITKEELEHALKEQGLYDADKIKEVISDADSDNDGRIDYSEFVAMMRKGTAGAEPMNKKRRD >Sspon.06G0016460-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:71337640:71339121:1 gene:Sspon.06G0016460-3D transcript:Sspon.06G0016460-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MENGSSNGSATAACCNGNGTAAARGPHVAMLATPGMGHLIPLAELAKRLASRHGATATLITFASTASATQRAFLASLPPAVTSLSLPPVDLSDLPRGAAIETLMSEECARSVPALTNVLLDLKRSTGGRLAAFVADLFGADSLDAARAAGVRRRCIFFPTNLHALTLILHLPELDASVPCEFRDLPEPLRLPGCVPIPGPDILMPLQDKANPCYRWMVHHGAKYREADAILVNSFDAVEPGPAKILRQPADHHPAVYPIGPLIHADGRKDDKDAQCLEWLDRQPARSVIFVSFGSGGALPTEQMRELALGLELSGQRFLWVVRSPSDEGAVNDNYYDAESKKDPFAYLPEGFVERTSGVGLVVPSWAPQTKVLAHAATGGFLTHCGWNSVLESLVYGVPMVAWPLYAEQRQNAVMLSDGVGAALRVPESKVREKIAATVREVMQGEGKGAAVRAKVAELQKAAAEGLRDGGAAAAALAEVVQGWTTTGGQEED >Sspon.01G0021840-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:39296277:39299589:-1 gene:Sspon.01G0021840-4D transcript:Sspon.01G0021840-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATATAIAIATISPSAPIPSAPFPSLPLSLSLRLRPQPLLLFAASRRLLLPVPKASSWDDSVAEEGGDAEESAAAGGEDDDEEDEKPRPEPVSSSEFQFAAPPEGYVEPAAFDELPPESPEDVAAAYESLYGPAFSGESLLGNNVYEVKVVDPMDMDREQRPNDDFTERVVQVNRVTKVVKGGRQLSYRAIVVVGDMKGHVGVGVGKAKEVTEAITKAAMNGRRNLVTVPLTKYSTFPHRADADYGAARVMLRPACPGSGVIAGGAVRVVLEMAGVENALGKQLRSKNPLNNARATIKATQMMKQFKDVAEERGVPMEELWK >Sspon.02G0007230-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:21071037:21074946:-1 gene:Sspon.02G0007230-2B transcript:Sspon.02G0007230-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPATPTIIGALLGLGTQMYSNALRKLPYMRHPWEHVLGMGLGAVFVNQLVKFDEKVKEDLDKMLERARLANEQRYIGTVLTFSGISETCRAVGLSPGGALVHRSATSSTSVIDDSSRGASGFRAGSNTCSRAGNGASILDCTHLTMSMSPPKVLSVGKSPLTSSISTMPML >Sspon.01G0004170-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:11464383:11467006:-1 gene:Sspon.01G0004170-1A transcript:Sspon.01G0004170-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Adenylosuccinate synthetase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G57610) UniProtKB/Swiss-Prot;Acc:Q96529] MSLSTLSHPTAAAAAGSGKTLFPAAPAAQSVRFPKARAPVPAAVSAATAAVHADSAEDRVSSLSQVSGVLGSQWGDEGKGKLVDVLAPRFDIVARCQGGANAGHTIYNAEGKKFALHLVPSGILHEGTLCVVGNGAVIHVPGFFGEIDGLESNGVRCDGRILVSDRAHLLFDLHQVVDGLREAELENSFIGTTKRGIGPCYSSKVTRNGLRVCDLRHMDTFGDKLDVLFKDAASRFLGFQYSKSMLKEEVERYKKFADRLEPFIADTVHVLNESVQQKKKILVEGGQATMLDIDFGTYPFVTSSSPSAGGICTGLGIAPRVIGDLIGVVKAYTSRVGSGPFPTELFGEEGDRLRKAGMEFGTTTGRPRRCGWLDIVALKYCCQINGFSSLNLTKLDVLSGLSEIKVGVSYSQPDGQKLQSFPGDLDTLEQVQVNYEVLPGWQSDISSVRSYNELPQAARLYVERIEELVGVPVHYIGVGPGRDALIYK >Sspon.06G0018120-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:80366832:80370513:1 gene:Sspon.06G0018120-4D transcript:Sspon.06G0018120-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAKRVASAAGTAAVVYVALSGSGRLSPVSGDDDADTVRRRRRSAEEGEERWPERAPASWREAVAVLSRTAGFAYAETLGKWPLGDIVFGINHYMRIQGNLQHEYTGSNCVPLDGPGVRQELIGLLRYLRLCMFFSKKPYEVFLEFGGYGQSDILIRKSKSQFMKPAFTVVRDESTKSFLLFIRGATSTKDRLTAATAAEVPFHHSVLLQDGRRSNLVAGHAHCGMVAAARWIADQAIPCLSKAVEQFPNYRVKIIGHSMGAGIAAILTYMLREDNKLSSSSCIAFGPAACMTWDLAESGKDFVTSVVNKNDMVPSFGKASAANLRTEVMASSWAPDLQEQIQQTRIFGFVNSSVNFMRSHIPFVSNAGSDHTPMDELNLSANVRAAVQKHSALSSWSSVAVNTQTLESLMNPTQGIPALISAYRGTEQNTEKPTTAGEPASPFPGELNHHRSDAVEIDPEEKATDQEHMDQLLKALRSSRMASESQEPHQLYPPGRIMHMVELPAPEEPGTAEQCCQNEVVAIYETPRSMYGKIRLASTMIRDHYMPRYIETMEMLIDKLAEDEDDTDNRLD >Sspon.01G0046660-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:99754086:99757964:-1 gene:Sspon.01G0046660-1B transcript:Sspon.01G0046660-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Folate transporter 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G66380) UniProtKB/Swiss-Prot;Acc:Q7XA87] MPPGTSPAAAEAEAWTWENAAAGAAAGFATVAALHPLDVVRTRFQVSGGRGWSEVPPYRNTAHAVYTITRSERKDDQLHPVYHLISAAEAGALPDALRTILREEGFLALYRGIGPGLLLVTHGAIQFTAYEELRKAMIFFKSTRSRTDNKGGGESLQRPGTDGTPKYSNSWHVVKETAK >Sspon.07G0027510-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:60629582:60637364:-1 gene:Sspon.07G0027510-1B transcript:Sspon.07G0027510-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALMTTASAQQPGPRYMQVIDLDGHHNSKFACTDTKWPGCMATCPTRCPKRCLVLCPTCKTFCLCEDVYPGVSCGDPRFTGADGNTFYFHGKKDQDFCIVTDAGLHINAHFIGNHNPATSRDFTWIQALGIRFAHHTLYVGATRGAATWDAAADHIALAFDDDDDVDVASLLPAVVGSQWSPPTAPGLSVTRTARVNAVVVELRGVFRIVANVVPITAEDSRIHGYGVGANDCLAHLDLGFKFYDLTDDVHGVLGQTYRTDYVNRLNVTANMPVMGGADTYRSSGLFGADCAVARFGRNTAAGTGIAMVTDANLSMGKEKKDGNAEVGSRQKYKTWTDDSTEFMLQWYIDYLKEKPTTSRWKQHHHHMCAEALNARFGIGATTQQVDRHFRIFKEKWNWIKLAMDKSGYGFDAASCKFNIHYSEKSPSKLGPGKYNYLTRPIKFYHLMEELFGESAKANGSLAVDQCTVDAEDDNNESVSDEPYTPEHAENDSDIIVRSSPPLVGSSLGMKRKNKKSPGKKHLKDKGKRARAIGDDEIAASIAMLAKSIASSYSATTDPYANLWKRIEDILFPPRDKVDIATYLSKPEQTFGLSGYAVNMVAVWTLRLMHNGDFVVLFLDEMAGCDELDLCIICNI >Sspon.02G0028980-2D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2D:99663623:99663912:1 gene:Sspon.02G0028980-2D transcript:Sspon.02G0028980-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VSLSRLLLF >Sspon.07G0008780-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7B:22562769:22563248:-1 gene:Sspon.07G0008780-2B transcript:Sspon.07G0008780-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSRLRKNVNSSGKLRKRQSGKRFSILIHMLVCHQFNTRANNIHRSGQGNLWATLMLQWHLGQAITTQNPEVQEGQTDIHRVETKVEDTQIVVGKAAATAVALILNKAEGRSHTLVVGWVEQVARGVEMAVAMELADQIISRLALMACLAQAEGQTIPNK >Sspon.03G0024400-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:92784003:92785632:-1 gene:Sspon.03G0024400-1T transcript:Sspon.03G0024400-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDLSGPGAVEGSLVFYSYAQVKKATRKFSDKLGEGGFGSVFRGTMPGSTVVAVKSLKGSGQADKQFRAEVQTIGIAQGIAKGLAYLHEECEDCIIHCDIKPENILLDAEFCAKISDFGMAKLLGREFNSALTTIRGAMVYLAPEWIPGQPITKKADVYSFGIVLLEIISGRRTTKRLKFGSHRYFPLYAAAQVTEGNVLCLLDGRLEGNANVKELNVACRVARWCIQDEENDRPSMGQVVRMLEGVVSTEIPPIPSSFQNLMEGENS >Sspon.03G0024390-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:97677293:97679023:1 gene:Sspon.03G0024390-2B transcript:Sspon.03G0024390-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDTSSLRRKLFKARTMGGSGSLMIFSFVQIKNSTKKFSEKLGEGGFGCVFKGMLPSCTVSSRDVSAIKKLKGLGQEDKQFRAEVQTIGMIQHINIVRLLGFCAEDSGRFLVYEYMANGSLSNHLFSKSSSKLIWELRFSIALGIARGLAYLHEGCKDCIVHCDVKPDNVLLDAEFCPKIADFGMAKLLGRDFSRVLTTMRGTIGYLAPEWISEQLERACSVACWCIQDAEDHRPMMGQVVHMLEGVMDVQVPPVP >Sspon.06G0009110-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:48963946:48964289:-1 gene:Sspon.06G0009110-2P transcript:Sspon.06G0009110-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DLSLRGFWLQKWLNSDKTEDCRRMIDYLLGLVHEGKLKYEMESISFGEFSLALEKALGKHGSQPKQVIRF >Sspon.01G0000570-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:2134476:2141066:-1 gene:Sspon.01G0000570-1A transcript:Sspon.01G0000570-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPSPPPASNAGLRILLSKDRPTPSPPPTAAVSSHADRDRIIAVFRSALSRNEPPETFSLQTVQEAIKPQKETVLVLEENQSLENALRRLLQELVSSAVQSGKKIMQYGNSLDSGESNCLITRLLDIVLYLCERGHVEGGMVFQLLEDLTEMSTIKDCKDIFGYIESQQDVLGKQELFGRGKLVMLRTCNQLLRRLSKANDVVFCGRIIMFLAHFFPLSERSALNIKGVFNTSNVTKYEKDAMDGISVDFNFYKTLWSLQEHFSNPALTSTNPAKWQKFSSNLAVVLSTFEAQPLSDDDGKLNNLNEEEDAAFNIKYLTSSKLMGLELKDPSFRRHILVQCLIFFDYLKAPGKNDKEGPTGSMKEEINSCEEHVKKLLEIIPPKGKEFLKSIEHILEREKNWVWWKRDGCLAFEKPPFEKKPGQAGGRKRKPRWRLGSKELSQLWKWAEQNPNVLTDPDRVRMPSITEYWKPLAEDMDPSAGIEEEYHHKSNR >Sspon.06G0013810-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:59546544:59548274:1 gene:Sspon.06G0013810-2C transcript:Sspon.06G0013810-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEIVYLELVQDLLKLEAIQAELTEYNGGQPTFSQWATAAGVDAKTLRKRLNYGIYCKNRMVTSNVRLVISIAREFEGPGMDLYDLIQAHMVEASYRVKECTKRLRHKLRRRPTNEEIALDTGMPIKRVEAAVNLPKYSVSLDSKIGSTDMTYQEVTADPSAETAEEMLNRMSMKKDVHKALDTLTTREKQVVGLRFGLEDDRIRTLQEIGNIMGVSRERIRQIESGAFRKLRSKKRVKALKDYLVPVGNW >Sspon.01G0035750-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:13966194:13968593:-1 gene:Sspon.01G0035750-1B transcript:Sspon.01G0035750-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLPGWNPLAPVLQTVAAFSRHILIAPDAGPDDHRLRPLLALSLSPPSPAPPRPEKDKDAKEAPLTKEEVGRATWMLLHTIAAQFPDEPTRQQKRDAKELMHIISRLYPCKECADHFKEVLKANPVQAGSQAEFSQWLCYVHNVVNRSLGKPIFPCQRVTARWGKLDCPERSCDLEGNIDIMPNR >Sspon.04G0032630-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:29957335:29961267:1 gene:Sspon.04G0032630-1C transcript:Sspon.04G0032630-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDHVAVDVGELVASRVGEAAGLVSGGKEETEALIGMVECRICQEEDLTKNLESPCACSGSLKLYKPGYTAPTQVHHDETTIEISGGDWTISGNRLDLHDPRILAMAAAQHRLLEDEYDEYTATNNNAAAFCRSIFLILMALLLLRHTLTITNSDDEDDASAIFSYHAASKTKTGRSNAIANGSGDHSAPERKNNAICGGTTRISYVTSARAKPIEYISDRLTSA >Sspon.03G0043420-2D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3D:48251439:48251994:-1 gene:Sspon.03G0043420-2D transcript:Sspon.03G0043420-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding CPRRRPWPPLLAPGEGKGEEQRRRSSCRRSSMARARAAAAAGSVSSRLSPIAPPSREKRERAAGGEKAPSQPRRPRELPRRRELRPCPAASILHGHELEAPQGPPWPELEPPPGPPQLRWPCVEGARCREGVARSAAGGGSREGRGRRRRGHRRAGLRLRRRCARERERENGEGGRWRPGRGRAV >Sspon.06G0020110-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:7438876:7439898:-1 gene:Sspon.06G0020110-3D transcript:Sspon.06G0020110-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKQAYATSSLVVGYALCSSLLAIINKYAITKFSYPGLLTALQYLTSVVGVWVLGKLGFLCHDPFNLQTAKKFAPAAAVFYLAIFTNTHLLKHASVDTFIVFRSLTPLLVAIADTTFRKQPCPSKLTFLSLVIILGGAVGYVMTDSAFTLTAYSWALAYLITITTEMVYIKHMVTNLGLNTWGFVLYNNLLSLLMAPVFGFLTGEHLSVFRAIESRGQSWFELDSFVAVALSCVFGLLISFFGFAARKAISATAFTVTGVVNKFLTVAINVMIWDKHASMFGLVCLLFTLAGGVLYQQSVTTKGNSSAQREAAAKQGRGDDGAVELDEESQRLVSSPKVV >Sspon.01G0024090-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:86550778:86552220:-1 gene:Sspon.01G0024090-1A transcript:Sspon.01G0024090-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PID [Source:Projected from Arabidopsis thaliana (AT2G34650) UniProtKB/TrEMBL;Acc:A0A178VTE5] MAALASSSTTATAAPASSPPPKPPNNAASTMLEPQSPSPCPDAAAPAASSVSSASCCSSSSSAGSSQADRSSTFSVDSSSAATPSSSPPRPHRASDVAWAPIRGRALGPRDFTLLRRVGAGDIGTVYLCRLESQAAEGSSACEYAMKVVDRRALAKKGKLARAAAEKRVLRRLDHPFLPTMFADFDAGTDYSCIVMEEEDVTEPAAPTCLPIPELQLLRLRRWKRRAAPRPRFVAEPVDARSSSFVGTHEYVAPEVARGGGHGAAVDWWAYGVFLYELIYGRTPFVGENNEATLRNIVRRPLEFPAAATTHTHTHGVGDSAAARDLIARLLDKDPRTRLGSKRGAADVKAHGFFKGLNFALLRSAPPPVVPPPAALQRCDKAADVQQLFDHF >Sspon.08G0016540-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8B:59759478:59762947:1 gene:Sspon.08G0016540-2B transcript:Sspon.08G0016540-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTVLRQLKNFDRLFDEHVKYALAHCTSRLVYPGQRALHGRPGCLQQQFSEEMEFGTGIWTSGQCRKEKKTTPTSYNIGVTKDLFGDGFFAIDGDKWKHQRKLASHEFSTKVLREFSSVVFRANATRLVDKRSSAAANRTILNMQKAREDILSRFIIASKDDPETINDPYLRDIVLNFLIAGKDTTGNTLSWFFYMLCKNPIVQDKVALKIKESVEWAEEDNNTEDFTARLNDGAIDKMHYLHAAISETLRLYPAVPVDGKMAEEDDVLPNGHKAIKGDGMNYMIYAMGRMTYLWDEDAEDFRPERWIVNGVFQQESPYKFVSFNGSITH >Sspon.04G0005280-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4A:15371027:15372970:-1 gene:Sspon.04G0005280-1A transcript:Sspon.04G0005280-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative pentatricopeptide repeat-containing protein At3g01580 [Source:Projected from Arabidopsis thaliana (AT3G01580) UniProtKB/Swiss-Prot;Acc:Q9SS97] MRPLQKLLEAAAAAATTSTPLVAAHLHANLLRSGLLHSSHHLTAHVLAWYPPGLARDLFDEIPSPTPRLANALLRAHIRAQQWRAAILLGPRLRVRPDSFTFSLLLRACTALPSLTHGRAVHTVAVRSCTASEDAFVATAIVQMYARCGDMVGAINAYGVLEKPDIVLRTSVVTGYEQNGMAEEALEFFARNVVGQGIMLTPVTLVSVMSAAAQLGHVRKGQACHAYVVRNSLGYDLALVNAVLGFYVKIGDFQASMRLFEGMRDRDVITWSCMIKGYVQHGDAHEGLRMYREMVKARVQPNSVTLVSVLQACALVVDAEEGKRVHRVAVSIGCELEVGVATALVDMYMKCSCYEEAMCLFHQMPKKDVVAWAAVIGGLTQNELPGESVHVFKCMLLDDHVPDAITMVKVLAACSEFGGTRLAICLHGYLVRNGFNNNAFVAAALLDLYSKCGDLDSAVRVFEGTTEKDVVVWGSMIAGYGAHGLGQEAVALYQRMIASSIQPNSVTFVSVLSACSHSGLVQEGIQIFDSMTQVFGVVPNAEHQSAMVDLLGRAGELQEATRFIHDMDGRAVAHTWCALLAACREHNNIKMSKVAAKSLLKLDPDHVGYYNLLTNIYAFDEKWESVKDTRDTARGRDLRKVPGYSSVE >Sspon.03G0018650-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:57748125:57751434:1 gene:Sspon.03G0018650-1A transcript:Sspon.03G0018650-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSRRQTSGGSSAACAAGEANTHTHSSGGGGGGGGGCKLERKDVEKNRRLHMKSLCLKLSSLLPPAATHASLLSDAAAAAAANPNNKDTVTQLDQLDSAAAYIKQLKERIEALKQRKAGGPGQAAGCASASGVSSGGGVRMPVIEVRYQDGTLDVVLISDAGRPFKLHQVITVLEQDGAEVVSANFSVIGDKIFYTIHSQALSPRIGLDAARVSQRLHDLLAPCLI >Sspon.04G0006600-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:18243123:18246670:1 gene:Sspon.04G0006600-3C transcript:Sspon.04G0006600-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPPTRLIGNFLRKQAASGGEMSLDLDLEMEELGRTAQLRALEKDGRVSFQEPQKRHSTSSCSSDSDTDDGRKCRGDDGEVVRCTSSSTAAGAGPLLRLKTRSRLMDPPPQSQPAPASAPAASPVLDEDRKSSGVRTPTKSDRLFSRLMSGNKSGPIGGKSGPMDEEEDDPFVDEDIPDDFKRGKLDALTVLQWLGLFLIIAALACSLSIKILSTKKVLGMHLWKWELLVFVLICGRLVSGWVMRIAVFGVERNFLLRKRVLYFVYGVRSAVQNALWLGLVLASWHILFDKNVQQETNSPVLPYVTKILLCFLVATLIRLVKTLLLKVLASSFHVSAYFDRIQEALFNQYVIETLSGPPLVDENHVLEEVHGLQRAGATIPKELRDAVPTKHVSGQRNIQLSGVMPKGEGSKQLSKEKGEGISIDALYKLNQRNISAWNMKRLMRIVRFGTLTTMDEQIQQVTGQGDESATQIRSEYEAKVAAKKIFHNVAKPGSKYIYLSDLMIFMRQEEAVKAMNLFEGAQEHNRVSKSSLKNWVVNAFRERKALALTLNDTKTAVNKLNQMANVVVGITVFALWLLILGIATTHFFVFLSSQLLLAVFVFGNTLKTLFEAIIFLFVMHPFDVGDRCEIEGVQVVVEEMNIMTTVFLRYDNLKIYYPNSVLATKPIMNYYRSPDMGEAIDFSIHVATPVEKLALMKERLLHYIDNKKEHWYPGAMVVLRDVDDTNKLKVSIWLRHTLNWQDMGMRFVRRELVLQEMIKVLNDLEIEYRMLPLDVNVRNAPAIQSTRMPTTWSYS >Sspon.08G0002460-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:5574611:5585800:1 gene:Sspon.08G0002460-1T transcript:Sspon.08G0002460-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMVLDWRSLGSLLATVVVFRTALRDFLPPEAQTLLRRFIAWVAAAFRPPHDTILIDEADGPTGGVINDLYDSAQLYLGARCLATAPTCASTSRASRRAPSRRSRTRTPPTTPSAGSASSGPPPPAPSTAAPAAAATVTRTTCSAAAAATRGLELQFPRQHRDIIHGHYIPHLIDEATRMRLKSRERRLYTNRATGLGDLDHHRHWTSHTFSHPSTFDTLALDPALREEIRADLLRFASRRDHYARVGRAWKRGYLLHGPPGTGKTSLVAAIANLLEFDVYDLELTTVPTNSHLRRLLVSTTPKSVVVVEDIDCSLDLSDRNKKKKKGGGSNVGADNDEDAAAQLAVMSVSPATAAAMAVMGRESISLSGVLNFVDGLWSSCVGERLMVFTTNHPERLDRALLRPGRMDKKIELGYCTPPALRVLAKNYLGVGDEGCEDADADPDTVNTLMAEAEGLLAAAEVQITPADIAEVFMGCDGDDAACALRKLVGELRRRWDATAATAMVLDWRSLGSLLATVVVLRTALRDFLPPEAQTLLRRFIAWVAAAFRPPHDTILIDEADGPPGGAINDLYDSAQLYLGARCLATAPTVRLHKPRQSPLPVASLPDSHTTHDTFRGVRVKWTSTARTVDRGSGGGGYGHPYNIPGTPALHQPRHGAGDLDHHRHWTSHAFSHPSTFDTLALDPALREEIRADLLRFAARRDHYARVGRAWKRGYLLHGPPGTGKTSLVAAIANLLEFDVYDLELTTVPTNSHLRRLLVSTTPKSVIVLAVMSVSPAAAAAMAVMGRESISLSGVLNFVDGLWSSCVGERLMVFTTNHPERLDRALLRPGRMDKKIELGYCTPPALRVLAKNYLGVGDEGCEDADADPDTVNTLMAEAEGLLAAAEVQITPADIAEVFMGCDGDDAASALRKLVGELRRRRDATAATAVAPGESTEDTTE >Sspon.08G0017540-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:3263557:3264302:-1 gene:Sspon.08G0017540-2C transcript:Sspon.08G0017540-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MWASSEGYLGLGLGLGHGLVAWPDLVDDCQRVAGELRDAATSTTLPRVAASTPREERPSRQVFSSVCTLLRCHLNAGVPARDIPAPPISCAASRRWPTLSARFSRHGRRRRAALPRSALLVAGAAQAAHPPYDARLCSHTDGYCVARQDFRTKSLGAGDAAAAARSAAATAASRRRRGAAALLPGRAMITELVQCRRFTESQWRGECWLLVASLE >Sspon.03G0020610-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3A:63932262:63932576:-1 gene:Sspon.03G0020610-1A transcript:Sspon.03G0020610-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNLAGKRTRKRKTKGKGSNDDGFMKRRRLRCRDRRDKPRGGGGIHPNAKVWPEKVVGEFTGTPAVSNFGVRSALIGVAARETGCTFGTSASRTTLAYIYRCWV >Sspon.05G0027400-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:5B:52557144:52557292:-1 gene:Sspon.05G0027400-1B transcript:Sspon.05G0027400-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RTRRRRSGASVVVGVNVDLEQNGTCGGAGLVAGDPKPWRSFRTWSMSL >Sspon.08G0000080-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:545305:547117:-1 gene:Sspon.08G0000080-1A transcript:Sspon.08G0000080-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LFSVALPFDTSATAAASGRREDGRRRSGWRPRDAGKGVARASGVAACECTEEDWRPTSSTSCPAAAQAASSGESLHVHGLH >Sspon.04G0035260-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:6082772:6084569:-1 gene:Sspon.04G0035260-1D transcript:Sspon.04G0035260-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding NGSRRSRGHIVADEVDKISGLPDDVLLDILGRLAMVGDVRTVARTSILSRRWRSLPWPQIPTVFLDVGEFFRSARRQHLFVEQHWATAGLTDALARFLAAPPSERVIETLSLKLILTRRHYTVELELVTEIARVASEVEALSKLVYGERFRHFMQDCPGAFRSLKKLALQNLWFDDPTGVNNLVRGCHALQHRLSLTSCGLFPKTVLQAMDDDEPPRLPMLTIDAPQSRLQVLVCEFCYIGGVELVQAPKLASRQALVQRRAPPSRSPGSSPADLIWLRSIAATLACDYVRSRSSTALWSSTQSLRAALGGLTGLYLSNIYPECDLSWTTFLLEAAPPLKTFEIHICCHICELNKNKKRGEDADLAWEPSPAFRRHHLKQLFFRRVFHAEKDLPLTFARQLMVLAPNLQAVTLGVRSLKCPACLAA >Sspon.04G0021910-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:2221677:2221907:-1 gene:Sspon.04G0021910-1B transcript:Sspon.04G0021910-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVLPDDMLADILGRLPPSSLAASRCVHKHWCSIIDARCLLCTNLLLLRLDAFYCINLGLIDQLTYFFTRPWAAR >Sspon.03G0039790-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:21140119:21150884:1 gene:Sspon.03G0039790-1C transcript:Sspon.03G0039790-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPPSSKPMEVAAVACRAGLLARARPGSRARRRAWEHGVAVGPAPSAARRRLLVASLGVGEPLPAQSLGEEAAVALEVIRCRRLAVTTSFDREFAITHSHNCMKSIDFGVVPEARTVRVKFVLRKQCAFGQQFLVVGDAAALGLWDPAKATALDWSEGHVWTATTDLPANRSIEFKFLLRDASGHVRWQHGPNRTLQITETPNTMVVREDWDHGNKQKVSEEEELSIGEEHVMFSEDLAGTNGAMPADNPQKHQNLETDRSAAVVADAPLQGEMVAANGTDQPQPSIVKKTGGSQPVPNKAYARLSGIRILGRNAFRMALLRSYDNWLQMRKAIWTTKSGLENLLGNSSILPKQALALRKHWYHESEKNIHPCYSDRIIFDIHSAGKHLNPILEGLHCVSNDKGLIKIKHNGKTAQVEHANRRCIEPRAC >Sspon.01G0050160-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:120422952:120423149:-1 gene:Sspon.01G0050160-1B transcript:Sspon.01G0050160-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSELMRNKRVISKLQGEIRQAFHGKVTVTEADLQASSLPYLKLVIKETLRLHPPAPLLIPRESIE >Sspon.05G0029950-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:85798825:85804772:-1 gene:Sspon.05G0029950-1B transcript:Sspon.05G0029950-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SFVTKRSWLALLERFVGSEADPQQRNFDDVNGVRKHDNPTTKKNRVANNMSRNTKMDGENGDGEILPINAKMTLDLPPLVERKEYLPLPKWLHNDYKSNVADLEGWKCVEIARADGSGRKDKVESSFSLFDAVDCGDVMIRWQFWAVFTREFVYYTHKDYAHTFRSKKEVQHFLDTGEVKGKGLLQKKLADDPRGQNSGNSETVVQGVQPKEPCYCLQTMILLKGQQEALTEITIPFRIVPEGFRMVEANHSHVNPSSMKIRQAEPTYQNVKVPIAY >Sspon.01G0004510-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:11150805:11155262:1 gene:Sspon.01G0004510-2C transcript:Sspon.01G0004510-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQFDDDRDAGVGGGNGEVDDRVDEPARCLRCGISANATPHMRRGPEGRRTLCNACGIAWAKGKMRKVIDSDTHIDDATVAKMVPEVGMEFDNEDKAYEFYNRYAGHVGFSVRKSSSDKSAENITRSRTFVCSREGFRKDKKGAKEVKRPRPETRIGCPARMAIKITSDGKYRIAEFVADHNHEPAPPSTMHMLRSQRVLTDLQTAEADSSEDSTTPSWISSGCLVRQAGPTTNLNFLPADYRTSLPSKRMKNMQPGDAGAAVKYFQSMQMTSPSFFHAFQLDEDDKLTNIFWADSKSRTDFSYFGDVVCLDTTYKINSHGRPLMLFLGVNHHKQISIFGAALLYDESMESFKWLFDTFKVAIGGKQPKTILTDQSMTATDAITAAWPGTIHRHCPWQVYQNAVKHLNHIFQGSKTFAKDLSKCVYEYEEEEDFLLGWSTMLEKYDLRNNEWLRKLFQDRDKWAPVYNRHVFTADIKNSLQSESISSVLKKYLSPQFNLSSFFKHFEKVLDEHRYSELQADFHASQSFPRIPPSKMLRQAASMYTPVVFEIFRREFEMFVDSVIYSCGEAGTASDYRVAVTDKPGEHYVKFESSDFSAVCSCKKFESMGIQCCHVLKVLDFRNIKELPQKYFMGRWKKDAKSANTGNQEFLNDGASQTPSSSLNGPGPFIDHQHMETNNQTNHDSSVSNLDQQGLHGDAQRNQSDVCSFNVVKNESSFGFWMP >Sspon.05G0011950-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:34910314:34910898:1 gene:Sspon.05G0011950-1A transcript:Sspon.05G0011950-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFSNVEALPETEEVVDQQAKACDAEGALAAATVTEGNEATLQEQHDGKAAPVPDHQQEVSAPEHAAAEAPAAPAPEEHGDEKKDHQAVAKEEVEETAAADQEESTRERLKRHRGEMAGRVWVPELWGQEKLLKDWVDCAVFDRPMVPTGLLTARRALMAECCTTRRPADRTSSPSSSTTSSSPPLRVRNGCS >Sspon.06G0020950-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:11744534:11750935:-1 gene:Sspon.06G0020950-1B transcript:Sspon.06G0020950-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLSAADSRKKKKRRRRRELPLPAACSSRSAAGAAQGQCQCQCCCCSSPGGGGLVATTIPCAFFLCSTGASCAPAAAVRLGTQHQQHKLTMLLLALALLLVLPPPPPAPFLVAGVSVSVSPRRMARIQSHLDRINKAPVRSIRSADGDTIDCVAAHEQHGLEHPLLRTHAVQTEPPETPMTRGGFVVPAAAGGGAATATNGSRSSSGRNNDRHGAWQTWHHGGHCPRGTVAIRRTTAEDVLRARSISRFGRKRRRHRNAAVAAARAANAPDVITGNGHEHAIAYTAASQQQVYGAKATINVWDPAIQESNGFSLSQLWILSGSFNGSDLNSIEAGWQSDAYEATGCYNALCPGFVQTSSRIAIGASISPVSSAGGAQYDMTLLIWKDPKLGNWWLSYGDQLVGYWPAQLFTHLSDHATMVEWGGEVVDTRPGGVHTATQMGSGRFAGEGFGRASYFRNLETVDADNSLAEVALDAIQTLAENPACYDIRKAYDDDGQHSARGGWGTHFYYGGPGHNPACP >Sspon.08G0000370-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:32102973:32105241:1 gene:Sspon.08G0000370-2C transcript:Sspon.08G0000370-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGISHPLSDEYDALRGAVLSPQTTPPSSPLAHRHLLEHEVTRMDTLAGIAIKYGVEISDIKRANSLVTDSQMFAHKTLLIPLPGMPMPSSVKLNGSGQRTKRARAPNHRQNRDALDSLDSSKSCQQGASPAMSTLQRYYGLTSQEGNTMDLSTEMSTYHKGGGFQSDLSETLFNPSTAPGTKGINRNWDFDAPANGFSATNAANGANGNGAPKPKQDGSVRRRQKVEAEPNTADAQDDFLADPIKAIKSLLPRPISSIRLNMDTGSPDSSQKSSMSFLSGFKSVTVRKSPSAPNFADAENGVSMWSSSKWTFNHDSFTRPLLDGLPKPASARRTKTALD >Sspon.06G0011810-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:64238040:64241203:-1 gene:Sspon.06G0011810-1A transcript:Sspon.06G0011810-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVLLAVAAAVVMVEVAAAATYTVGAPAGLWDMQTDYAEWVKTKTFHPGDSITFTYSPELHDVVEVTKAGYDACSSANNISAFRTGNDVVPLTAAGTRYFLCGLTGHCGNGMKIRVDVVANAASSAPGPAAAAGPASSSATAAAAATYTVGAPDGLWDLETDYAEWVKTKTFHPGDKITFTYSPELHDVVEVTEAGYDACSSANNISAFRSGNDVVPLTAVGTRYFLCGLTGHCGNGMKILVDVVAGGAAPGPAASASTTAAGLGSGLLVAVVAAAFW >Sspon.01G0001400-3P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:4234880:4240630:1 gene:Sspon.01G0001400-3P transcript:Sspon.01G0001400-3P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHDPSLGFADYFSAAAAGATAMDDDDGAPELYGLHADMEYLGMRGLAAMPAAAHHHHHHGHVHSKAGVLLDDDAGAGPDGSGSTDDATMRFLSEQQHPSQQAPLSLSLCRPDGGGVGVGVTTLHEQHLGGGSSRYHQQQQPTPAAWMQQHDYSPHGPQPQHAWHLRGSRFLVPAQQVLQEFCSLPVDSSSAATSSKRAKPSSHHHQQQEDGGGGGGEGSSSSASWAPSPQIQAMDALELQRLKDKLYIMLEEVDRRYRRYCEQMRALAGGFEAVAGERAAAAYTALASRTISRHFRSLRDGVVAQLQAARRALGEKDVAVPGMTRGGTPRLRVLDQCIRQQKALTQAGMMESHPWRPQRGLPERAVTILRAWLFEHFLHPYPSDVDKHILSRQTGLSRSQVSNWFINARVRLWKPMVEEMYVEEMKAEGQLEDGGSGGDQQQGVVSNNPNPSNAAAEAADDDCGDNGAVVVDRKPTRAQLLHDAGSLASVVNIGGGSRAGAAARPLENFGIMDHLDFDGYGGGGGGHHGGGGFGGGGVSLTLGLQQHDSHDGGGVNIAFGAPPPPTHHHGAAAGYLFAPTTTTAGHQMGGGLHPGQHHVQFGGASIHGEAAQHGQEHYRSLQGAGFHLLRDLAG >Sspon.03G0042520-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3C:70124617:70129020:-1 gene:Sspon.03G0042520-1C transcript:Sspon.03G0042520-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQKTLQEFSAPSLENILTGPRFEVEEGVPEFELKSNLINLVQATQFSGKAHEDASAHLQNFLEIGSTISINGVDKDVILLRLFPFSLEGKARKWFYTNQANIKNWTNLSDAFLSKFFPIGKTAALRGNIVSFQQQKTETIPEAWERFQGYISDCPHHGMAKWLLMQTFYHGLTQKSRECLDASAEGSFLEFTIGKAETLLDKIAENQSWFQDKTQHCHQTEEIPEEVKALSTKMEDLLHWIDQRAKFKEDQRAIETVKNITTRGGRSTRDPPHPREKQKTPAPVQPAMIEEERPVEAEDLLQPSRTGEMRKDFHDTNYLPFPRRNRGLQSDEQFGKFVEVIQKLYVNIPLLDAIQVPTYAKYIRDILNKKRPLPTTEVIKLTEECSAAILNQPLRKKKDPGCPTIDCSIGDQHFNNALCDLGASVSVMPASVYKKLEHTTLEPTSMCLQLADQSVRHPMGIAENIPVRIRDFLVPVDFVVLDMNPDSKVSIILGRPFLSTANAHIDVSKGEIKFSINGQEEHFTFKPRPERDSTVEEVHEEKPLETPSPEEGNSEEWYEEFVSTLLTPVGDECRSGTSASSIVFVGCTKQGPTQDRRPWAQESQGEGDSPAVEKQDIHSHSHHRFRLTPRDRELSNHLGFPPRSILDLDSGLPNFEKHQFWREISRDELFYQPRTSDMEHPTLRMFHKWLGYNLFFRDDLRKVRVGDLQLIYAAINKIQVSPVTLLVAHWLGTPTLQGPVGCTSLITRLAVSLKLLENSSLEFIEEPRFYHGYDTFRYARMLKREAGIMYMLYDNNTKVRLPNPDLGIYSVRNYLIETAAPVNRRAPQRAASARMATHQEHTWQGADPGPEEAAHLHYNDYNPRVLRDPWARHVQPEEPPQETWPEGQYHQWENPPFTRRYSTDPYGASGSRPQPQFDTGRYSDASYAFSGDYYQETAAFYTRTDNTLLDIRTTQAEHGRLLEEQQKWNQEQATRVQAIREDTTTLNNNVTTMLRYFNIE >Sspon.02G0057780-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2D:64366345:64366884:1 gene:Sspon.02G0057780-1D transcript:Sspon.02G0057780-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPELLEDLDEEVVLRFPPEDPASLVRAALVSKRWCRLIASRGFRRRFRELHRMPPMLGFICNHDPGSGSEDEDRPCIARLVPTSSVPPACLSGWQALDSRHGRVLLYSLPRHWWLALRFLVWSPVTGEQWELPALVPNEQWELPAMFNATVLCARDASCDHLDCHHHGHGPFLVVLVFT >Sspon.06G0018960-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:104619930:104622117:-1 gene:Sspon.06G0018960-1A transcript:Sspon.06G0018960-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMRIPFIVAFFVFLALLLKLVRGYSTSSRTKQPPALCLPPGPWQLPLIGSLHHLLLSRFSELPHRALREMSGTYGPVMMLRFGSVPTLVVSSAEAAWEVMKTHDLAFCERHLGVILDTMSCGGKDIIGSPYNAHWRELRKLCMQELFSERRVLTFRSIREEEVAHLLRSISAECGDGRHVNLSEGISRMINDVSARTVVGNRCKYRDEYMHELDEVARLAGGFNLAELYPSSRLVRRFSAAARNARRCQRNLYRIIQSIIQEREAMPTPEGDGDILGVLLRLQREGGLQFALTNEIVSTVVLNPQVMHKAQSEVRETFKGQDKITEGDLIKLRYLQLVIKETLRLHAPLLLPRVCRESCQVMGYDVLKGTKVFVNVWAISRDRKLWHDAEEFKPERFECSSIDFRGRRICPGITLGLANIELALASLLYHFDWALPDGVKLEEFDMAEAFGVALRKKSMLWIKAK >Sspon.03G0005310-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:15186225:15195633:1 gene:Sspon.03G0005310-1P transcript:Sspon.03G0005310-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGRIRESQRMNGSSGSQGHHVSGEKKQVHHVSRPILNNGPKHRPLTPMRRCRGVACVAIILSTAFLLIVYLAPITTFVVRLFSVHYSRKATSFLFGMWLSLWPFLFEKINKTKVIFSGENVPPKRRVLLFANHRTEVDWMYLWDLALRKGHLGYIKYILKSSLMKLPIFSWAFHIFEFIPVERKWEIDEAIIQNKLSKFKNPRDPIWLAVFPEGTDYTEKKCIKSQEYASEHGLPKLEHVLLPKTKGFICCLQELRSSLDEVYDVTIAYKHRLPDFLDNVYGVDPSEVHIHIRTVQLHQIPTSEDKITEWMIERFWQKDQLLSEFFVKGHFPDEGTEGDLSTPKCLANFLTVVGLTVICLYLTLFSSVWFKVYVVTSCAYLSFVTNYSILPPQLIGSLEGDVKAKKAV >Sspon.04G0011510-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:31357965:31363427:-1 gene:Sspon.04G0011510-2B transcript:Sspon.04G0011510-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCFSCFDSPADEQLNPKFGGAGGYGGASSAAAAYGAAGAGAGIGRHGDRGYPNLQQAPMAAPRVEKFSAAAEKARVKSNVLTKEASVPKDANGNIISAQTFTFRELATATRNFRPECFLGEGGFGRVYKGRLESTGQVVAIKQLNRDGLQGNREFLVEVLMLSLLHHQNLVNLIGYCADGDQRLLVYEYMPSGSLEDHLHDLPLDKEALDWNTRMKIAAGAAKGLEYLHDKANPPVIYRDFKSSNILLDESFHPKLSDFGLAKLGPVGDKSHVSTRVMGTYGYCAPEYAMTGQLTVKSDVYSFGVVLLELITGRRAIDSTRPHGEQNLVSWARPLFNDRRKLPKMADPRLEGRYPMRGLYQALAVASMCIQSEAASRPLIADVVTALSYLASQPYDPNAALASRKPGGDQRSRPGENGRVVSRNDETGSSGHKSPGKDREDSPRDLPAILNKDLERERMVAEAKMWGDRERMVAEAKMWGDRERMVAEAKMWGENWRDKRRAENGQGSLD >Sspon.08G0007910-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:28063441:28063920:1 gene:Sspon.08G0007910-1A transcript:Sspon.08G0007910-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLKLAMIVLPPLMVTIVVLSLVGASWDVMARVTVVLVAFLLVIGLCDRMRQQPAAESMAAPPQESSALGLGASAIASLPVYKYEKKSGGGSDECSICLGEMKPKETVKQLPVCTHLFHEGCIDLWLWSHRTCPVCRSPVIVAATPASVEIHVRHQDSH >Sspon.06G0022420-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:30135236:30143643:1 gene:Sspon.06G0022420-1B transcript:Sspon.06G0022420-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding CGFKVASSEYNGFIKYFLATSSKDQCTLAKHMRINGDLHVENKTNSLVVLIPTKKELLKSSVNYGIKMQEHSTRHGPYLQSLMTLLPEVQLPAGMSGRNHPTGSGGRYGSVLADIWSEARLFGATSAASAQRSGLFVVNIIGLGRLDMQLHAAQHQQLHKKVDSQKEEIPADFAWQRPPSSPSGRRPAGVRDDPSDSRNGLGGSTTPGHHLTTSTGRAAEKDGEAKKKMMRTHRGPRWVVAPRLIHEDYVGPSGHSPNHHRKYHAAHASIEQPGLFQFSP >Sspon.02G0044020-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2B:98898938:98899225:1 gene:Sspon.02G0044020-1B transcript:Sspon.02G0044020-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRQPPLTRGGGSDAPAGESGSGGGFQKGKGKGRWGGGSRRRNEQRLGVGGGGALSLAAFASAKSRNTGYNPALISEYHHLSELTGLSLERGLAS >Sspon.07G0032610-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7C:51973282:51975488:-1 gene:Sspon.07G0032610-1C transcript:Sspon.07G0032610-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSPTDFNDCVSKGELTTFMTEQRNLMTELTRNVNNLVTRIEQLEQRPPPHRAIDDDADDFGDDDADVNADGYARRRLNFNRRGMGGNNHGNNDPFAKIKFSLPPFAGNIDPEAYLDWELAVQQKFDSHNVPAEHRVRLATSDKGVEAYYQELLIGLARCGINEDDNDASARFFGGLNHDIQNILDYKEWRNFSQLYHLAIKAEREVQGRKQHQPFRSNNGRNFQQRSEQETPKLSVAPHGVSKLSDVQKKGATPGVSTSSSSSSAKIICHRCKGMGHVMKDCPSRRAFIATEDGYVSTSDVEDDLALAANIDADSTEGDQDKEAIIIDSVAAAADYPSLLVQRVLSTRVGHEDEMKIQRNNLFHMYFIVQGCRVLTIIDSGSCNNLVSSDLVEKLGLTTRQHSYPYKLQWFNNSGKTKVVHGNLIMMLYTMVELIHTLSYIRIRKLHCCLCLLQIIKHAKEIKNKPPTDIAKNNGIKLKGDTFLATTSATAELCDNPDAPCYTMFCQPIMSGALPAVTNLLQEFVDDGMKSRATPILEGGDDEDIAKMDIVKMESRTTPIQEGEDDEDIATLDTPTLWSSPSCNSSPTQLPRHPRIQQTRRHCFGHNSRIRHRNGAFLDALERGRRRRCFGSGPSSRSSVDHSV >Sspon.01G0052760-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1C:26051869:26053158:1 gene:Sspon.01G0052760-1C transcript:Sspon.01G0052760-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRFGTRARRWWDDEDESDVDDLFIIAGLLEGSRRNKRKKRFRGSLPGRRKVPRDILGGHNRIYLDYFADQCVYSERHFRRRFRMSRSLFLRIVDAVESHDDYFRQKPDAIGTLGASPIQKVVATVRMLAYGISADFLDEYVRMGESTIIECLKNFVKAVVEVFGEEYLRAPNAQDTARLLAINSARGFPGMLGSVDCMHWKWEKCPVGWRGAYEGKEDGPTMILEAVASQDLWIWHAFFGLPGSLNDINVLRRSPLFQSLTSGTAPQVEYTVNGNKYTKGYYLADGIYPAWATFVKAFQRPQGNKKVHFTMAQEAARKDVERAFGVLQARFAIVRGPARMWHKEDLWYIMQACVILHNMIIEDERDEEDDFNYHQEGIPVLQPVDYQRRNPLVLEDFLKIHDEIEDRSSHERLRDDLVEHLWAIHSSR >Sspon.07G0024970-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:37694313:37703572:-1 gene:Sspon.07G0024970-1P transcript:Sspon.07G0024970-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding KDFHPSKTWVVAEEPIPHHSRHLQPQQQEQEKQQLEQAVPGLSVDDSTPFQPHPSVPTSASLGSRTPAKREEGGEHHVGAVQLQLLLDRGVAGDLHLHLHQDAVPGHPQRPHRIPWFLLESCQDR >Sspon.03G0037260-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:97528369:97532285:-1 gene:Sspon.03G0037260-1B transcript:Sspon.03G0037260-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKRGDDELTFSADKVIAEFERLTRDAAAVQRETLRRILAGNAAAEYLRGLGLAGRTDPDSFRACVPLATHADLEPYIARIADEGDTASHVLTDTPVTSISLSHYQCEYAAEDELSPSIDTFRHDDDALSGTTQGKRKAFPVEDGKSLQFIYASQQFETKGGLTATTATTNVYRHKDFNANMRHIQSECCSPNEVLFCPDFAESLYCHLLCGLLLADDVRTVSATFAHSLVLAFQTIERLWEELCADIRDGTLSSTRVTSPAVRPAVSALLLAPNPALADEVARRCRGLINWYGVIPALWPKARYVHTIVTGSMEHYVKRLRHYAGGLPLVASDYGATEGMIAANVEPREPPESATFAVLPNIAYFEFIPLSLRGCDGDGDGATAADACYTEADPVGLTEVAVGEHYEVVMTTFAGLYRYRLGDVVKVASFYNSTPKLKVVCRRNLVLSINIDKNSEHDLQLAVDSAAKILAAEKLEVVDYTSHADVSRDPGHYVVFWELNADGNDDVLQSCCDELDRAFTDPGYVGSRKARAIGQLELRVLRRGTFQKVLYHYLSLGTSLNQFKLPRCVAPSSSASAAGVLEILTLQRREGLLQHCLRLKHGDRKAVVAALSISCSFQSSRCSGVLCIVLLCVYCFSFRA >Sspon.03G0017120-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:53662180:53666067:1 gene:Sspon.03G0017120-1A transcript:Sspon.03G0017120-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSMGSLVLLCLVSPLLLPSVVLGHPWGGLFPQFYDHSCPKAKEIVQSIVAQAVAKETRMAASLVRLHFHDCFVKVGGPYWDVPLGRRDSLGASIQGSNNDIPAPNNTLPTIITKFKRQGLNVVDVVALSGGHTIGMSRCTSFRQRLYNQTGNGMADSTLDLRQGCPRSGGDNNLFPLDFVTPAKFDNFYYKNLLAGKGLLSSDEFNIYTRG >Sspon.01G0012440-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:36998441:37006278:1 gene:Sspon.01G0012440-2P transcript:Sspon.01G0012440-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVPAAETLDGHKDRFDLGVFVGDLALDEEVTSVRCFIVASDDESLEGLQQELDNCKNDQEVANILANGIKLREYTKGVENNIRQIELDSIQDYIKESENLVSLHDQIRDCDNILSQMETVLTGFQTEIGSISSEIKVLQEKSMDMGLKLKNRKAAESKLSKFVEDIIVPPRMIDIIVDGEVNDEYMKTLETLSKKIKFIDADPMVKSSKALKDVQPEVERLRQKAVSKIFEFVIQKFYALRKPKTNIQILQQSVLLKYKYTIIFLKEHAKEIYAEVRAAYIDTMNKVLSAHFRAYIQALEKLQMDIATSTDLLGVETRSTGFIFSIGKEPLKTRPSVFALGERINILKEIDQPALIPHITEAKSQKTHMKFFSEACRNFLLILPLQRPIQVVDEHFNAVLLNCYDAIGIMLMIRIIHQHQ >Sspon.07G0029140-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:72858652:72862618:-1 gene:Sspon.07G0029140-2D transcript:Sspon.07G0029140-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGAARRRLVPAASAAAAALLVAAAFLISPAEAAAAETTAAGEASFDSIFAFGDSFTDTGNNPVVFGWYDVFDVVMRPPYGMTFFGGRPTGRNSNGRLIIDFIAQGLGLPLVPPYLSHNGSFRQGANFAVGGATALNSSFFHVGDAPGASLFPLNTSLEVQLGWFDDLKPSLCKTDQECKDFFGRSLFFVGEFGINDYQYSFGKKSMQERVIAHGAKTVVIPGMIPSGCAPPVLVTFADADASEYDAATGCLKEPNEIVTLHNSLLLDAVDKLRAEHPDVTIIHTDLFNHVMEMVESPKKFGLKRDVLTVCCGGSGRYHYNLSVACGDEAATVCEDPSKSLFWDGVHLTEAPYHYIAKDWLSTILSSVSASGAATTMAYASI >Sspon.02G0051450-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:78308907:78317468:1 gene:Sspon.02G0051450-1C transcript:Sspon.02G0051450-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exocyst complex component EXO84A [Source:Projected from Arabidopsis thaliana (AT1G10385) UniProtKB/Swiss-Prot;Acc:F4I4B6] MASAKSSRSRPAGHSGVFPVGSAAAVGSGGGGGGDGGVQLADKLKIFKTDNFDPDAYVQSKCQTMNEKIIKLSMSQTRGVLFYDFICTFEYNPGILKNEMRLYSIFWWTWGIHAIVLEIVHFEFGMAIGYCYRSFESRPNYDDLKKASAEEMRRSVYANYAAFIRTSKEISDLEGELLSIRNLLNTQAALIHGLSEGVQIDSLTAGPEGSAEDDISNVEDQEPSEIQKWSADFPDMLDTLTAAEVSALKRSVSDNRQRLADQLAEAACQSSTRGIELRAAASALKRLGDGPRAHSLLLSAHNQRLQCNMQTIHPSSTSYGGAYTAALAQQVFSVIAQALSDSVDVFGDESCYASELVTWATKQVMSFALLVKRHVLSSCAAAGGLRAAAECVQISLGHCSLLEARGLSVSSVLLKQFKPSLEQALDANLRRIERDFFEDVAPLLSLQLGGSTMDGITQIFNSYVNLLISALPGSMDDEANLDGLGHKIVRMAETEEQQLALLANASLLAEELLPRAAMKLSSINRSMDDLRKRGTDKQNRVPEQREWKRKLQRMVDRLRDSFCRQHALELIFTDEGDTHLSAEMYISMDNTVEEPEWVPSPIFQELYAKLNRMASIAAEMFVGRERFATLLMMRLTETVILWLSEDQAFWEEIEQGAKPLGPLGLQQFYLDMQFVIIFGQGRFLSRHVHQVILDVIDRAMAAFSATGMNPDSVLPGDDWFMDVSQEVVSMISGRGRAANGDRE >Sspon.03G0005720-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:16241742:16244472:1 gene:Sspon.03G0005720-1A transcript:Sspon.03G0005720-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPMKLYGAVMSWNVARCATALEEAGSDYEIVPINFATAEHKSPEHLARNPFGQVPALQDGDLYLFESRAICKYAARKNKPELLREGNLEESAMVDVWMEVEANQYTSVLNPILFQVLVSPMLGGTTDQKVVDENLEKLKKVLEVYEARLTKSKYLAGDFLSLADVNHVSVNLCLLATPYASVLDAYPHVKAWWSSLMERPSVQKVAAMMKPSA >Sspon.08G0029330-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:34695786:34700707:1 gene:Sspon.08G0029330-1D transcript:Sspon.08G0029330-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAERKQRPGGARKDEVVTREYTINLHKRLHGCTFKKKAPNAVKEIRKFAQKAMGTTDVRIDVKLNKHIWSSGIRSVPRRVRVRIARKRNDEEDAKEELYSLVTVAEIPPEGLKGLGGNKFSWPELVGKKGKETKAIILKENPYVNNVVYAPQDAVITDNYCCNRVRLLMNCDAGCDYKNARVFHVPIVG >Sspon.07G0024170-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7C:20093063:20093782:-1 gene:Sspon.07G0024170-2C transcript:Sspon.07G0024170-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPRPTSLSSPAALIHLRLQPLPSIPPLHPAILPFPRSLPLHLPSLRLNGPHLPPLPLVSSGSGSGITGTGGEDGLPPLPLASSGSGSGITGTGGEDDLPPSGGGGGDGEGEGDGDGSDDASVNRREALFVLAQLGRKLESLPADLAAAVEGGRIPAEIVRRFVDLEASPVFRWLLQFGGFKERLLADDLFLTKVAIECGVGIFTKIIDVVWFDGG >Sspon.07G0024090-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7C:16730506:16731270:1 gene:Sspon.07G0024090-2C transcript:Sspon.07G0024090-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRPFLSPHHRSAAAPAPAPAPIAGAAAMRPASSSSRSSAGGGGSHHHHNHGSGHNNVSVSPPACTTCRHAPSSATLDLLILLLVLFSLAFLLASSLSHVARSLSPLLATPPAVAALAHAAAALPYAAAAAVLAAAAFLSCRRLPRRRCRNPRCRGLRKALEFDVQLQTEEAVRAGAGSTVGGADAAMWREIEALPWKGGQGGNNPDYECLRAELRRMAPPNGRAVLLFRNRCGCPVAKLEGWGAPKSKRRNKK >Sspon.02G0028030-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:101827823:101829107:-1 gene:Sspon.02G0028030-1A transcript:Sspon.02G0028030-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding EMSSSTFIAALLLHGRPHSPSPPSKAQLFLALPNIPTRFPASASVRVVYPPRGPLRAADGERDNRVQELRVPDSWLTPAGAAQESEWLRETLHKWLDDEYCPEPANVDISSTAAGSYRESLIAGQSDLGEILLKMVGDLDKLSYRESFHGPFSAANAAVRLITQRMESLPDE >Sspon.01G0020910-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:74596571:74603990:-1 gene:Sspon.01G0020910-4D transcript:Sspon.01G0020910-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAGGGALPRHAPLSPTASTTATLLVPASVAMPTPRLAHLRQLLLSLRSAPLRPLPPNLGHPLPGPLHPSLLLPRAMAGVTHAGLATGSTEYEEVLGCLASLITQKVRADTGNRGNQWELMAKYVQILELEESIAQLKVVHVAGTKGKGSTCTFAESILRSCGFRTGLFTSPHLMDVRERFRLDGLDISEEKFIRYFWWCWNKLKVDVAVLEVGLGGKYDATNVVRAPVVCGVSSLGYDHMEILGNTLGEIAGEKAGILKKGVSAYTVPQPEEAMSVLMQRASELGVSLQVVQPLDPQKLEDQPLGLHGEHQYMNAGLAVALANAWLERQGHLNRIHFKHSGTLPDQFIKGLSSACLQGRAQIVPDPQVNSENDKDCDSSLVFYLDGAHSPESMEMCARWFAHVTNNGVQTGSLEQPHTDRDSRKFLLFNCMTVRDPQRLLPRLLDTCAQNGLHFEQALFVPNQSQYNKLGSLASPPSEREQIDLSWQLSLQKVWENLPHGNKGLNDSNSSRTSSVFESLPLAIKWLRETAQQNQSIQFQEKKAKITAMQGSAQS >Sspon.01G0003500-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:8120075:8124893:-1 gene:Sspon.01G0003500-2B transcript:Sspon.01G0003500-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAHATLPFSCSSTLQTLTRTLSPRGAHRLRRGFLRLPSLAALPRLARPCRRHVSASAANGASAEGEYDYDLFTIGAGSGGVRASRFASTLHGARVAICEMPFATIASDELGGLGGTCVLRGCVPKKLLVYGSKYSHEFEESRGFGWTYETDPKHDWNTLIANKNTELQRLVGIYRNILNNAGVTLIEGRGKIVDPHTVSVNGKLYTAKHILVGVGGRPSMPDIPGIEHVIDSDAALDLPSKPEKIAIVGGGYIALEFAGIFNGLKSEVHVFIRQKKVLRGFDEEVRDFVSEQMSLRGITFHTEQSPQAITKSNDGLLSLKTNKETFGGFSHVMFATGRRPNTKNLGLEEVGVEMDKNGAIVVDEYSRTSVDSIWAVGDVTNRVNLTPVALMEGGAFAKTVFGNEPTKPDYRAIPSAVFSQPPIGQVGLTEEQAIEEYGDVDAFVANFRPLKATLSGLPDRVLMKILVCATSNKVVGVHMCGDDAPEIIQGIAIAVKAGLTKQDFDATVGIHPTSAEEFVTMRSATRKIRKKSADQVESKDEVVSKQ >Sspon.07G0016040-1P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7A:57305995:57310965:-1 gene:Sspon.07G0016040-1P transcript:Sspon.07G0016040-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKSGEPIHMPLLLLADDTSSPDRWDPPACLWIWLLAVGSGLWPHSAGSGHPLPNLRAEGWGGEERRRIWSKGAAAAPSYRATAERGGEERRHELVPQRRCRERRGGAPLRARATVPPLGEEGRERMLLGDGEQDGEAALAPPRPARTREGRVARGTPLVREGAEWQQSREKSRRPTDRGCAFFSPSSFIHTTPPELPLSRRDDSGRPPPPPFARCPGLHRVLRQRREVTSNSVEMADGEDIQPLVCDNGTGMVKAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQSKRGILTLKYPIEHGIVSNWDDMEKIWHHTFYNELRVAPEEHPVLLTEAPLNPKANREKMTQIMFETFNVPAMYVAIQAVLSLYASGRTTGIVLDSGDGVSHTVPIYEGYALPHAILRLDLAGRDLTDSLMKILTERGYSFTTSAEREIVRDIKEKLAYIALDYEQELETAKNSSSVEKSYELPDGQVITIGAERFRCPEVLFQPSMIGMEAAGIHETTYNSIMKCDVDIRKDLYGNIVLSGGSTMFPGIADRMSKEITSLAPSSMKIKVVAPPERKYSVWIGGSILASLSTFQQMWISKEEYDESGPAIVHRKCF >Sspon.02G0006990-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:15106906:15111289:-1 gene:Sspon.02G0006990-4D transcript:Sspon.02G0006990-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MECLLGLLKVRVVRGVHLAICDPLTHSSDPYVVLRHGQQKVKSSIKYRTCNPEWNEELTLSITNMMNPVKIELFDHDTFTKDDSMGNAEFCILNFVEIAKQDLSDVHDGTVMKSIHPEKGNCLATESHITWKDGKVSQDIVLKLRDTETGELVLHLTWVNIPGVAR >Sspon.01G0010470-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:9276949:9279825:1 gene:Sspon.01G0010470-2P transcript:Sspon.01G0010470-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLVERCGEMVVSMESSHAKPVPAPFLTKTYQLVDDPCTDHIVSWGEDDTTFVVWRPPEFARDLLPNYFKHNNFSSFVRQLNTYGFRKIVADRWEFANEFFRKGAKHLLAEIHRRKSSQPLPTPLPPHQPYHHHHHLHHHHLNPFSPPPPPPTQPPVSYHHFQEEPAATAHGVHAGGNNEGSGAGGDFLAALSEDNRQLRRRNSLLLSELAHMKKLYNDIIYFLQNHVAPVTSPSSAAHASLPTSAGGAASSCRLMELDPADSPPHRGGRRTTAR >Sspon.02G0031590-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:115347664:115354306:-1 gene:Sspon.02G0031590-1A transcript:Sspon.02G0031590-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIRRAPPSRAASGGVEPRFRQVGFVTSAEPARGPVAPAAASPTASDGLSPVMIPPPLIPVPAPASESLMPSSPPPASSCLLEVVSDLDDYADDDDIDVSWARPPPPALLEPNKRDLTETKNEHAPTSVSQKPKLSKAERRAIQEAQRAAKAAAKEAGLKPTAMASDASTKISKQPKTAKTSLKKDVNQVNPPVASDKKTGERLPDKDRKKDVPQPRMQFDDAHRVVKAKKRSVVSQSEARNRVELFRHLPQYAHGTQLPDLESKFLQPDLMHPSVYKVGLQYLSGDISGGNARCIAMLLAFREAINDYSTPAEKILNRDLTAKISSYVSFLIECRPLSISMGNAIRFLKNRITKLPLALSESEAKVSLQSDIDRFINEKIIVADKVIVSHAVTKIRDDDVLLTFGSASVVEMIFDHAHELGKKFRVVVVDSRPNHEGQRLLRQLVAKGISCTYTHINAVSYIMHEVTRVFLGASSVLSNGTVYSRVGTASVAMVAHAFGVPVLVCCEAYKFHERVQLDSICFNELGDPDAISRVPRDESLSHLKNWAENENLHLLNLKYDITPSDYVSMLITDYGMLPPTSVPVIVREYRREHVWI >Sspon.07G0033920-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7C:67021935:67029387:1 gene:Sspon.07G0033920-1C transcript:Sspon.07G0033920-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding YLMEFNSTPGDLMELSPLFSDDSRVAEAASIAQKLRSFAEEDVGRHGVTSAVGSANASRGLEVAVANLQEYCNELENRLLARFDAASQRRELSTMAECAKILSQFNRGTSAMQHYVATRPMFIDVEIMNTDIQVVLGDEGLQADCNSIADGLSTLYKEIADTVRKEAATITAVFPSPNEVMAILVQRVLEQRVTTILDRILIKPSLASLPPLEGEGGLLQPTTVAANMRSIFACLLDQVSQYLTEGLDHARESLNEAQALRDRYVIGTSVSRRVAAAAASAQEVAASAGESSFRSFMIAVQRCTSSVAILQQYFSNTISRLLLPVDGAHPSACEDMGSAVSVVEAAAHKGLLQCIDTVMSEVERLLSSEQKATDYRTPDDGAAPDHRPTNACISGSTGSVGMKLLMQQRHGNNPEFSGGGLRLKRDITEYGDFVRSFNAPSIDEKFELLGIVANVFIVAPESLASLFEGTPSIRKDALRFIQLRDDYKTAKIASMLNNIMSE >Sspon.02G0018720-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:63352706:63374189:1 gene:Sspon.02G0018720-2C transcript:Sspon.02G0018720-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRKATKGKEGEPQPADAAQEEPAPAPADAAQEEPASAPVAPAAALTEAEVDELPRAIVRRLVKDKLSHIAAGEGAEVIVNKDAMVAFAESARIFIHYLSATMDPSQSNVGEGSHGQFPGGNMNAYQPGWNPYMFQYQPPTDWFPQGFQPRPPNVPMMNPRGYVPEARNIGEASGKATKERRALKNMDWRTFGLTISADDVLKALDEMEFSEFVEPLRTSLQEFRNKNADKRSEANKKQKEKRRKLNEETLPQKESDPADDAKENDEGGGRMPRKATKGKEGEPQLADAAQEEPAPAPADAAQEEPASAPVAPAAALTEAEVDELPRAIVRRLVKDKLSHVAGGDGAEVIVNKDAMVAFAESARIFIHYLSATANDMCKESKRQTISADDVLKALDEMEFSEFVEPLRTSLQEFRNKNADKRSEANKKQKEKRRKLNEETLPQKENDPADDAKENDE >Sspon.08G0010020-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:38514301:38517352:-1 gene:Sspon.08G0010020-1P transcript:Sspon.08G0010020-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MERRLLEAAMVGSATSMKEMAAQDPSLLLGTTPQGNTCLHISSIHGHEGFCKDVLTLNNSLLTIANMDGETPLLTAVTNGHMSLASILLECCCTLGFSEAILQQDKNGCNALHHAIHSGHKDLALELIEKEPALSKAVNKYSESPMFIAVMRDFTDVSEKLLEIPGSSHVGTYGHNVLHATVRNGNAANCCLNLFSVMAKKILEGRPWLVKERTRDKKTPILMTVIWDKIDVLRVLLEHDVFLGYECYDDVGNLSPLLVAAAYRGHVDVAQELLNHCPDAPYCDRNGWTCLHEAVNEGQTEFVEFILRTPQLRKLINMRNNKDGRTALHQAVRVCNPKIVALLLFHKDTDFTLNDHRTGESVIWQLRWASKHAKTLNWNEVSMLMIKADPEAATTLHRQFAKKQLTDELARDVKSLTQTYTSNTSLVAILMATITFAAAFTLPGGYSNDSGSEGLPVMARKLAFQAFLISDTLAMCSSLAVAFICILARWEDLEFLLYYRSFTKKLMWFAYMATTIAFATGEWPVVKLRFRLGKTFKSDLLDM >Sspon.01G0011030-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1B:37511268:37512181:-1 gene:Sspon.01G0011030-2B transcript:Sspon.01G0011030-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding HQYV >Sspon.02G0047810-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:19732718:19733585:1 gene:Sspon.02G0047810-1C transcript:Sspon.02G0047810-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFPESNGRLFVAGIAPGTGDADIRRHFCRYGEVSGVCQPKDRLTGCPRGFAFVQFPRPIDAGRALADPHHVINGQQVHVARAKPRIAWYKPLCQRIYRCGKRGNCRVGDTIRISLGPLGDDYGDCEYVNKLKGFGDIIDNKLTFECCVGYISEDGKECLVRWPPVKRDDGMSAALSSGQGDIVPSWTCPMKDLAHFASNGNFGSAHDCRYCLGRRFPGAATAHSCYPNAVGVGDAPSEAGRSQNWCWLVLYAC >Sspon.04G0003970-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:12596523:12600889:1 gene:Sspon.04G0003970-3C transcript:Sspon.04G0003970-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PYD1 [Source:Projected from Arabidopsis thaliana (AT3G17810) UniProtKB/TrEMBL;Acc:A0A178VD08] MESLTLRASTAAAAAASPLQQRRLPGRQRATYVRATASASAAGEPDLSVRVNGLQMPNPFVIGSGPPGTNYTVMKRAFDEGWGGVIAKTVSLDAEKVINVTPRYAKLRAEPNGAAMGRIIGWQNIELISDRPLETMLSEFKQLKKEYPDRILIGSIMEEYNKAAWHELIERVEESGVDALEINFSCPHGMPERKMGAAVGQDCDLLEEVCGWINEKATVPVWAKMTPNITDITQPTRISLKSGCEGVSAINTIMSVMGINLKTLRPEPCVEGYSTPGGYSARAVHPIALAKVMQIARMMKEEFADGQSLSAIGGVETGNDAAEFILLGADTVQVCTGVMMHGYPLVKKLCAELQDFMREHNFSSIEEFRGASLPYFTTHTDLVHRQQEAIKQRKAIKKGLQSDKDWTGDGFVKETESMVSN >Sspon.01G0042020-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:61807312:61811882:-1 gene:Sspon.01G0042020-1B transcript:Sspon.01G0042020-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GPN-loop GTPase QQT2 [Source:Projected from Arabidopsis thaliana (AT4G21800) UniProtKB/Swiss-Prot;Acc:Q8W586] MDVDSDPAASSKPTQMDLEDQARRRRRRPPLSDFFFPQICTDTKGKGKAEEGSGKGEELADSISSLSIGPGRTNFKKKPVIIIVIGMAGTGKTTLMHRLVCDTQGSNKRGYVVNLDPAVMTLPFGANIDIRDTVRYKDVMKEYSLGPNGGILTSLNLFATKFDEVVSVIERRADQLDYVLVDTPGQIEIFTWSASGAIITEAFASTFPTVVAYVVDTPRSTNPVTFMSNMLYACSILYKTRLPLVLTFNKVDVAKHEFAIEALTSCFIADQWMQDFEAFQTALESDKSYSATYTRSLSLVLDEFYKNLRSVGVSAISGAGVNTFFEAIEASAKEYMETYRADLDKRIAEKERLEEERRKENMEKLQKDMMKSKGQTVVLSTGLKDKNSVSDMMDDADEEEEEEALEDFGFSEDDEDEDEGEDEEVAHFVVV >Sspon.08G0011380-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8C:47685974:47686382:1 gene:Sspon.08G0011380-2C transcript:Sspon.08G0011380-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MCDQLEANLICVILMIKQALANPQVLQNYYAQLYGLTSPTAASPYHQYLGYVAHPPPTPRTILPPPAAAAQQVAVQPLVQHPPPAQQVTVQPLLQHPPAQIQAAPFFPASSLSHNFRLQLPPPPQAMPSVLPPNTT >Sspon.02G0012630-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:27524774:27530458:-1 gene:Sspon.02G0012630-3D transcript:Sspon.02G0012630-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MENLHLAVAHRPPLPVPAAGHLRRRHLHLQHLPAPLSLPSTSLDLSFPHHHRLSPTLRRHLRLPLRVSQTPDANPEPEPEPEPTGAKLVPLVVSIAVGLAVRFLAPRPVEVSPQAWQLLSIFLSTIAGLVLGPLPVGAWAFLGLTAAVATRTLPFTAAFSAFTNEVIWLIVISFFFARGFVKTGLGDRIATYFVKWLGSSTLGLSYGLTISEACIAPAMPSTTARAGGVFLPIIKSLSLSADSKPNHPSSRKLGSYLVMTQFQASGNSSALFLTAAAQNLLCLKLAEELGVIIANPWVSWFKAASLPALVSLLATPYLLYKIFPPETKDTPDAPALAEEKLKRMGPVTKSEWVMIATMILAVSLWVFGDAIGVSSVVAAMLGLSILLLLGVLDWDDCLNEKSAWDTLAWFAVLVGMAAQLTNLGIVSWMSSCVAKLLQSFSLSWPVAFCVLEAAYFLIHYLFASQTGHVGALYSAFLAMHIAAGVPRAMSALALAFNTNLFGAITHYSSGQAAVYFGAGYIELPDVFRLGFITALINTLTWGVVGTIWWKFLGLY >Sspon.05G0037390-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:13079249:13081390:-1 gene:Sspon.05G0037390-1P transcript:Sspon.05G0037390-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAVKHTLKVFPWLLLFAQLAVATTSKCTNTTNGTETDSLGAMKLKLIAIASILTAGAAGVLVPVLGRSMAVLHPDGDIFFAVKAFAAGVILATGMVHILPAAFDGLTSPCLYKGGSGGNIFPFAGLIAMSAAMATMVIDSLAAGYYRRSHFKKARPIDNLEIHEQPGDERTGHAQHVHVHTHATHGHSHGEADVISSPEEASIADTIRHRVVLELGILVHSVIIGVSLGASVRPTTIRPLVGALSFHQFFEGIGLGGCIVQANFKFRATVMMAVFFSLTAPIGIALGIAISSSYNGHSTTAIIVEGVFNSASAGILIYMSLVDLLATDFNKPKLQTNTKLQLMTYLALFLGAGMMSMLAIWA >Sspon.07G0016600-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:59168857:59171644:1 gene:Sspon.07G0016600-1T transcript:Sspon.07G0016600-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPFKQEFNFDERLQESAAMIANYPARIPVVVERFSRSNLPQMEKRKYLVPCDMPVGQFVFILRSRLHLSPGTALFVFVNNTLPQTASLMGSVYDSYKDKDGFLYMCYSSEKTFG >Sspon.02G0002950-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:9928724:9931366:1 gene:Sspon.02G0002950-1A transcript:Sspon.02G0002950-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAQAGTGTYSPAAAAGDKRRERKEELRRHLVEDADWPRADGRSFHDCRPAFMQTGPTTAASGSAYAEFGKTKVIVSVFGPRESKKAMMYSDVGRLNCNVSYTTFATPVRGQGADNKEYSSMLHKALEGAVMLHTFPKTTVDVFALVLESGGSDLPIIISCASLALADAGIMMYDLVTSVSVSCFGKNIIIDPTSDEEAWQDGSLVVAFMPARKEVTQLTLTGEWSDGRITNAVELCMDACSKLGDILRDRLKDLD >Sspon.06G0012770-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:55233936:55235972:1 gene:Sspon.06G0012770-3C transcript:Sspon.06G0012770-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGPAGATTRVAISLLLVPVLLASLAGGSPPPDPVSCTRGTSDCTVTSTYGSFPDRTICRAANATFPRTEQELVAAVASAAAARRKVKVATSHSHSFPKLACPGGRDGTIISTERLNATVRVDAARRLLTVESGMLLRDLVRVAADNGLALPHSPYWYGLTVGGMLATGAHGSSLWGKGSAVHEYVVALRIVTPAPAAQGFAVVRELAAGDPDLDAAKVSLGVLGVISQVTFELQPQFKRSVKFVTRDDEDMAEKLAVWGDLHEFGDVAWLPRQGKAIYREDDRVDVSTPGNGLNNYIGFRAQPTLGLLTVRKAEERLEENGTDIARCLAARLPAATFELQAYGFTNDGVFFTGYPVVGFQHRIQASGTCINGGDDGLLSACTWDSRIRGPFFYQSGFSVAMSKVPAFVADVQRLRDLNPRAFCGMDAKMGVLMRYVKSSSAYLGKAEDSLDFDVTYYRSYDEGVPRAHADVYDELEQMALRKYGALPHWGKNRNFAFDGAIARYPGAAKFLEVKDRYDPDGIFSSEWSDQVLGISGSPNVVGPRCAVEGLCVCSDDEHCAPEQGYFCRPGKVYTDARVCVFEQRTIRLVDEL >Sspon.02G0023240-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2B:77223137:77225826:1 gene:Sspon.02G0023240-2B transcript:Sspon.02G0023240-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G10420) UniProtKB/TrEMBL;Acc:F4J3R7] REIARILADEGKKRVIIVDTSNEIGGDGTYLTLALDVMIEAVENHMPEVIVIDEIGTELEAMAASTIAQRGVQLVGTAHGVTIESIIKNPCLQMLVGGIESVTLGDEEAKKRKVQKTILERKGPPTFSCAVEMISKTECRVHHKLDATVDAILAGKPPKFEARKMHNKSTESEMPLVIPDREYEIESLPLYQEDMVTKSISSEGNLSEDFAAFRQTKIKSMPSDDNFGDDFVYTRKAKGKKSVPGKSLVRVYTYQISEADVLQVATVMGFDDELDVTDDIGAADVILASSSEMKQNPWIHNVAKYHKLPIFVVKTNTMAQIVKAIRMIVGRDNRSSSKQPKVMEGEIEIEDDAPIRKPSLEEIDALEEARLAIEYIVIPGGEPVELLPRCSEIVARQLELVESYQLLAETFGSDSNSRLQILPVKITKKGSSKDNGVSKPTKQTGSDLIVSENGGGSSFSRLPFLPK >Sspon.03G0037380-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3B:98203294:98203556:-1 gene:Sspon.03G0037380-1B transcript:Sspon.03G0037380-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VWTLCSEAGEATLGDNRRSSSQAGNDRHCPSDPGTWEHLLEAWRLELGHITGGWSNGASHRLEVGAETQWSWRVRRLDSMVGREEN >Sspon.03G0005220-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:14959013:14964802:1 gene:Sspon.03G0005220-1A transcript:Sspon.03G0005220-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKMKELDGASPAKIFIGGLSKDTSMSTFKGHFGKYGDIIDAVIMKDRYTQKPRGFGFITFADPAVVDRVIEDEHVINGKLVEIKRTIPKGAASLKDFKTKKIFVGGLPSALKEEKAVDDLLAKKGNMIDLNGSQVEIKKAEPKKPSNQPPRSLDSEPRGRPYADSYDGFGSSYNYGGSFGPYRSPGSFGARPGGYNSAYGPGDYGSGYATYGGALVGYRGEPSLYSSRYGSTYGGSFGGGYGGGIYAGGLASAYGRDAGGYGGSSYGPSYDSSGANTGAGFGTGGLYGARTGYGSTGGSGAAGRYHPYGR >Sspon.01G0044030-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:74902397:74902966:1 gene:Sspon.01G0044030-2C transcript:Sspon.01G0044030-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRSSLSMVASHRLFAPAVHPVGGAAADHGVELDEADVIWGGGYPTSSSPSSPSFLSAAADPYARSPPVAAPSKHKPRGAGGAPAPGPASVPVNIPDWSKILGAEYAGSCAAARAAGWAEHDDRADAFADDVGGGTGGRRWVPPHEVVQVRERAVASFSVREGVGRTLKGRDLRRVRNAIWEKTGFQD >Sspon.08G0023580-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:56831431:56834782:1 gene:Sspon.08G0023580-1B transcript:Sspon.08G0023580-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding NVYWADSGDLVAIASDTSFYIPQVESLWTKKVSKIAFELLHEVNERVRTGIWVGDCFIYNNSSSRLNYCVGGEVTTIVCSLLTSVLVSLSFDSVAHFLESRGMLEEALEIATDADYKFDLAVQLGKLEVAKAIAIEAQSQSKWKQLGELAMSTGKLELAEECLLQAKDLSGLLLLYSSLGYAEGIEKLASLAKEHGKNNVAFLCLFMLGKVEDCIQLLVDSNRIPEAALMARSYLPSKVPEIVAIWRNDLSKINPKAAESLADPSEYPNLFEDWQVALTVEKSIASQRGHYPPADQYLNHAEKSDMTLVEAFKRMQVIEHEELEDAAEENGEPDQQALEENEMQNTDDADEPEETVFVNGDEGEEQQGTDNEGASSA >Sspon.07G0002970-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:7350259:7351521:-1 gene:Sspon.07G0002970-1A transcript:Sspon.07G0002970-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLSCGGGGVQPKLRKGLWSPEEDEKLYNHIIRHGVGCWSSRCGKSCRLRWINYLRPDLKRGSFSQQEEDLIVALHEILGNRWSQIASHLPGRTDNEIKNFWNSCLKKKLRQRGIDPATHKPISVGADAEAGAAVPDARDHDRKPGAAADADADADGFAQSKQQQPVAAAVFDPFPVTDFGFDLGVAALYAGPYDDSVVGKASPDAGFVADYSSVLDVSENLGYGESSSNSSNWNCAEMSNAVLDSEVLHWASGGAAAKPEPYTELEQQQHSGGYGSGGGQVVDDDDDALDHKFLLPCGQEQSLAHFDFNLEYF >Sspon.02G0049170-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:34644042:34646158:1 gene:Sspon.02G0049170-1C transcript:Sspon.02G0049170-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein Iojap, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G12930) UniProtKB/Swiss-Prot;Acc:Q9LDY9] GGAGAAVPSQGLACAPPAAVILNPRARRASPGSGGHRSSPQQPLRSSSDLPPTPTVACRARSRSSSSSNVVFGRGDDADKMLEDLLKQHGEVVYSSGGPPSPTVEADDDAECLSCTVSLAKAASEIKATDIRVLCVKRLVYWTRFFIILTAFSNAQIDAIRYKMRDIGEKQFSIVASGDTKPNSWTLLDFGRI >Sspon.03G0039260-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3C:12093745:12094665:-1 gene:Sspon.03G0039260-1C transcript:Sspon.03G0039260-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding HTGMGSVAWRGLGGRQPPSTPRRPPYLLRGRPGWGSPAANGALDRDEAQARRWYLLRLSPLSTRASGSPTTPRSSASVAAAYGTNVHMAPLPICAATTAAAHATSNIEVEVDIDSTHEVFEGMPMSASVYNSSAQHLGYRRLVLFVYGFFRHLLFILKFILFNNRRPADA >Sspon.07G0021390-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:2922029:2927147:1 gene:Sspon.07G0021390-3D transcript:Sspon.07G0021390-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLAVGKDFVSAEPGSLDANSRVQSTLPTLGPATRAHTSASAASATRQAAHVLSSHACRSHASPPSSPLRLRLHLLASLTAGQGQPPQATPVISHHTERTRVGSPEAIRRSGAPTDAMKEGGGGGDGGFVRADQIDLKSLDEQLERHLSRAWTMEKRKEEASGGTDQRGARQHSRRPRREDWEIDPAKLVVKGVIARGTFGTVHRGIYDAHDVAVKLLDWGEDGHRSEQDIQALRAAFSQEVSVWHKLDHPNVTKFIGAIMGARDLNIQTENGHIGMPTNICCVVVEYLPGGALKSFLIKNRRRKLAFKVVVQIALDLARGLSYLHSKKIVHRDVKTENMLLDKTRTVLNGNPYNRKCDVYSFGICLWEIYCCDMPYPDLSFSEVTSAVVRQNLRPEIPRCCPSSLANVMKRCWDANPDKRPEMAEVVSMLEAIDTSKGGGMIPVDQRPGCLGCFRQYRGP >Sspon.03G0031540-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3C:36461053:36466440:1 gene:Sspon.03G0031540-2C transcript:Sspon.03G0031540-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GVRPHPNVVFMACPADSPSGLLFPITLTYGCRGITAHPPQHRPLHPPRACPPCTQPPSPATRQPITGHTAPSSHTLPLSTSVPPSTSPVMVMVRTMPPPPRCHQEEVAVGPDFVSRGRKGRPTHAGLFALDVFFGSKLVGYHSSHQTPPQHAFTSEAGGHYSRFRSWSWLGMGWSVWVRCDHGSARAASERGTGPHVPAQLYRNGAAGFSSVCSGSGFGWVCSGSPLPQPAAAMSEVFEGYERQYCEASASLSRKCTAASALDGEKKKQKLSEIQSGIEEAESLIRKMDLEARSLQPSIKAGLLAKLREYKSDLNNVKSELKRISAPNARQATREELLESGMADTLALHGVDDNIGKSKKILAAMSKRMDRNKWIIGGIITALVLAILLILYFKLAH >Sspon.06G0027360-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:65546000:65546386:-1 gene:Sspon.06G0027360-2C transcript:Sspon.06G0027360-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAGGVYGFATQMLAYSPMPFNADGSIPPERLPNHEHAIMYASLLVYAGSAIYLDRRSPTAATIRRSACSSWRRSSRRSCSCSTCTPRTTRASRAGCTGSCRPPRRRASPPRSSEPPPAAFPRSFSSR >Sspon.04G0006710-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4B:15338782:15346109:-1 gene:Sspon.04G0006710-2B transcript:Sspon.04G0006710-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKEEDVRLGANKFSERQPIGTAAQGAGDDKDYKEPPPAPLFEPGELKSWSFYRAGIAEFVATFLFLYITILTVMGVSKSTSKCATVGIQGIAWSFGGMIFALVYCTAGISGGHINPAVTFGLFLARKLSLTRAIFYIIMQCLGAICGAGVVKGFQQGLYMGNGGGANVVAPGYTKGDGLGAEIVGTFVLVYTVFSATDAKRNARDSHVPILAPLPIGFAVFLVHLATIPITGTGINPARSLGAAIIYNRDHAWSDHWIFWVGPFIGAALAAIYHQVIIRAIPFKSRS >Sspon.06G0001130-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:6935466:6936410:1 gene:Sspon.06G0001130-2C transcript:Sspon.06G0001130-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAERAPVLVRHAGGVLEELRQLPPGFRFRPTDEELVVQYLRRKAFGVPLPAAVIPVVRDLYNLDPWDVVVPAPAPDASSEGEKYFFAVRPAGAGKSGGARATASGRWKPSGKEKPVVLPRPCGGGRLLVGVKRAMTFVPRRKKASPSPSAALAIGWVMHEYRLAAPLHKN >Sspon.08G0008210-1T-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8C:31641242:31641760:-1 gene:Sspon.08G0008210-1T transcript:Sspon.08G0008210-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEPPPAAAAAVAAALLKCRGNGGGGEPTLEAFGKAGSRSPSREAAAGPPQEPDGTPGPSRRRGEEEEEPLRRGLAAAQARARARRKAGHATPSPSWKLEPSPPRPEDEALAEADSGAGRRGAPAASARQLGATLWEIQDVIRAAGASRRIRRRGRRAPAADDANADADRV >Sspon.01G0043400-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:74301760:74309373:1 gene:Sspon.01G0043400-1B transcript:Sspon.01G0043400-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Actin-related protein 7 [Source:Projected from Arabidopsis thaliana (AT3G60830) UniProtKB/Swiss-Prot;Acc:Q8L4Y5] MEAVVVDAGSKLLKAGIAAPDQAPPLVSTRISGGLSVMPSKMKVDVEDQELADGAVVEEVVQPVVRGFVKDWDAMEDLLNYVLYRNIGWEIGDEGQILFTEPLFTPKTLREQLVQLMFEKFNVSGFYASEQAVLSLYAVGRISGCTVDIGHGKIDIAPVCEGAVQHIASKRLEIGGADLTNLFAQELKKSNPSVNLDVSDVERLKEQYACCTEDQLAFEAIGSSCQPERHTLPDGQVITIEKEGYIVGEALFQPHILGLEDYGIVHQLVTSVSNVSSEYHRQLLENTMLCGGTASMTGFEDRFQREANLSASAICPSLVKPPEYMPENLARYSAWLGGAILAKVVFPQNQHVTKGEYDETGPSIVHKNHVDLCVCNHPFALFRLIGGSTPLRQSKKENPQASHLAASVHASPPLSVPLPLPQLRRFLSPSGSGRGCGILP >Sspon.01G0008930-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:27859118:27860840:-1 gene:Sspon.01G0008930-2B transcript:Sspon.01G0008930-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTGSIGPMVSRTLAPWRQVMLLTLLPQLFLSTTVVVARQWQCLWPGQASDDAGCLSWRVMVEANNARGWRTVPAQCVGYVNGYMTRGQYQRDLAGVMEQASAYADEIAADADGLDAWVFDIDDTCLSNLLYYEAKQFGVYDPLAFKAWASREACPGIRPVLGLFTTLLDKGFKVFLLSGRDEETLGSCTAANLEAEGFSGYERLIMRTPEYRGQSSSIFKSAIRRQLVDEGYRIRGNVGDQWSDLQGDSAGDRVFKIPNPMYFVP >Sspon.01G0024500-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:87639489:87639897:-1 gene:Sspon.01G0024500-1A transcript:Sspon.01G0024500-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGCFSSDFSKRFHQPFFSFWIEQIMFRRPIFVFVLLPYRPSKVMVSPLTEPKSSKVKLKGSPWATAEN >Sspon.04G0001840-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:5930626:5936012:1 gene:Sspon.04G0001840-1A transcript:Sspon.04G0001840-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSILRIVMAASVANCNRIEKDDGIELWTSQIPLRERNKRPNLNNLYFAHRWLYHAVLKVVANDTFREVKTHTAQQISKLNGNPSTGFTHSKLVEYEGKAYHKGELQSSCH >Sspon.06G0003780-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:11580652:11585814:-1 gene:Sspon.06G0003780-1A transcript:Sspon.06G0003780-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPPQEAIDTFVSITGADEAAAIRVLEEHGHDLNEAVNAYFNEGDRTTTRINQNPVPATATASYDDMMELDEPLDPMFNRPLFPRTLGNPFALLDPGFADITAADIFGRGPRVTQPRDVRQIPIEVKDSNTQTGSSGQGPIIEDVTGRESFYGPEVHGTVIVDEDDEDLPSTPSAHDPMIPSSASHPNHSMPSAPPVVDVSDYNNDIEEEMIRAAIEASKREAEGMKNVLSSGESDNTSRGRGDDELARAVSLSLETAERERALRQEGLYVADHSPDLSDKEGDQGGSRINERRGLTTGKVGTSEQAVDEENFQEDSEDDDEQPLVRIRSRRFRGRTIEPAETVQRADSPPSPQPHVQIDHQHNGGFPSEEWGGISSQEHDEALMLEAAMFGGIPEGAPYPFSFPARGRSTRYPRVARPPSPSLTAQRLLREQQDDEYLAALQADREKELKAVQEAELRRVEEAAAREAALERQKKEEEEKLKKQRVGIELEAKQASLPKEPLQNDEGAVTVVVRMPDGSRRGRRFLKSDKLQYLFDFIDISRTFKPGTYRLVRSYPRRAFTEGESQMSLSDLGLTSKQEALFLEKLSS >Sspon.07G0029980-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:154267:157576:-1 gene:Sspon.07G0029980-2D transcript:Sspon.07G0029980-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGLPKEGAPAVDVSVEGTLVWLRRPNGSWWPSIVISPQDVPEGCPPPPRCPATPIMLLGRRPDGPTYVDWCNLDRCKRVKPFRCGELHFEQRITNALTLAATGNRSTWSYNKGRYARMEDAILQALDIEKEGALGPATKAYLHAASCSPRAKIEMPNGQVKEAAAKDASPAIQPSPPLALLPPPPLPLPLPPPKRKRKTPYDSEDDAPKGSRRMRDLRDIGSKTVPPMDLPHSATISTPKYDDLPNGGEVKRIVHSQATTKRKHAAVHQDQPCGIPRKKDRSRPLAELCNGDMWNGSRPNGQKVDEHLLGVATCSSSSSGTSTLDTPLDTNSCHHSTAFKKDQAKRTEISCMTRLLSDDSRHGNDFVKTPPAVQNILEPEFHIRYQPCGLTKNPTWKPHKQANDVRKAEKRARKNIKMRTISSVDQEGKNRTRDSNDRDHHKTKTGKHKAARDEVVLLEKRLEKHSSNKPAEHDTKMHIAVVPSGMDCVGGVQQRRCKSKCDPEESLETLSNHSNCESGSISSLVFEKPLQVLPPEKKPLGHERCHAVKPIKTLHLNPTLYDVELSVLGSSNSGRRVPLVSLMSRWNRKPVVGYPVSVEVSDDVFDRPLSRKDVQQPATSSVKGIVLKKDETEGLQRVVPSSTQTSRPKPKSRSRRPSEKEVDKLWQPHTKKPVSSSRKMRRLSSFASGQRDGDDRKSAVGKVAGATIACIPLRVVFSRINEALSFSVNENAVAGCGAASRLRRR >Sspon.07G0004420-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:10122097:10124644:-1 gene:Sspon.07G0004420-2B transcript:Sspon.07G0004420-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAQMLLPRHHRRTPPRRGGRQPLRGGVADLASCLAGCLLLLAVVAAAAVLCLSSPFSRAREDGGSRGVVPCGSGATTPRVTIFSAPRPPPEGSPARQELAVRSWLALPGNVSVVLLGAGPEAVALAARLGHRVTVDSAIDFAFTGTPFFHSMVARAQAAADSDICVLVDAEIVLLPEIVNALARFSKVDADWFLVSLSRNVTDFHYQLADNRSHWVQADGKEVSFKKKIPADNWAPESSDRGLIVAWNNPSSTLHAGVLPSFLYGRGVHNAWLAHEVLSSEMRLFFDANSLLLGLYPESLSSTSSKNGRLPSGSWEYSVNRHLAAIYGSYCCRLPARHFPMLHKVVKQSDDYMLSKVDKLTLSDFFVSKEGNAHGGDSLWKKQNISLSGYLNSYSPETSAPDLPYSLAMLLELVADKNRSVVLGVAGAGYRDMLMSWACRLRHLRVTNFIVCALDHETYEFSILQGLPVLIHPSSPKNVSFDDCHFGTRCFQQVTKVKSRIVLEILRLGYNVLLSDVDVYWFDNPMPFLYSLGPATFGAQSDEYNETGPINLPRRLNSGFYFARSDNATITAMETIVKHATNSGLSEQPSFYDVLCGENGTNCIGDDKCLEPNTNLTVVFLNRDLFPNGAYKGLWEKHDVRATCKELGCFIIHNNWINKRKKKLHRQMSSGLWDYDPSSRQCLQEWSDR >Sspon.02G0031370-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:114697919:114699825:1 gene:Sspon.02G0031370-1A transcript:Sspon.02G0031370-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLVDRFRKCEKWEWQDIVESKETKTSSWFNRFIGRAKKPEMTWPFPFSEGKMFVLTIQAGVEGYHINVGGRHVASFPHRMGFALEDATGLAVTGGIDVHSVYATALPKAHPSFSLQQVLEMSERWKARPVPEEPIHVFIGILSATNHFAERMAIRKTWMQFPAIQLGNAVARFFVALSHRKEINAALKKEAEYFGDIVILPFIDRYELVVLKTVAICQYGVHNVTADYIMKCDDDTFVRLDIVLQQITTYNRTLPLYLGNLNLLHRPQRRGKWAVTYEHKHHMFAMLTCICLLTQSVRLQEWPEAAYPPYANGPGYVISANIARDIASRHTNHSLRLFKMEDVSMGMWVEDYNASAPVQYVHSWRFCQFGCVDNYFTAHYQSPRQMLCLWEKLSAGRASCCIYR >Sspon.02G0037970-3D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2D:33542990:33545055:1 gene:Sspon.02G0037970-3D transcript:Sspon.02G0037970-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:[Fructose-bisphosphate aldolase]-lysine N-methyltransferase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G14030) UniProtKB/Swiss-Prot;Acc:Q9XI84] RLPNSPRPPPPRLRLRLRLPTKPSRPHSRLLPRAAASTAATVSALEDFRRWLASHSAGDGGKAFPAAVPEGLGLVAARDMPRGEVVAEVPKKLWMDADAVAASDIGRACGGGGGLRPWVAVALLLLSEVARGADSPWAPYLAILPRQIDSTIFWSEEELLEIQGTQLLSTTVGVKEYVQSEFDSVQAEIISTNKDLFPGSITFDDFLWAFGILRSRVFPELRGDKLALVPFADLVNHSPDITSEGSSWEIKGKVLFGRELMFSLRTPVDVKSGQQIYIQYDLDKSNAELALDYGFVESNPSRDSYTVTLEISESDPFYEDKLDIAELNGLGETAYFDIILDEPLPPQMLPYLRLLCIGGTDAFLLEALFRNSVWGHLELPLSPDNEESICQDEELSERENLQPRLTIAIGVRAGEKKVLQHIDNIFKQREEELDGLEYYQERRLKDLGLVGDNGEIIFWES >Sspon.01G0034900-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:6123058:6123971:1 gene:Sspon.01G0034900-1B transcript:Sspon.01G0034900-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGASGAVEVSTLVVTLNHGCCRCFTKIRKTVCKLQETEDIQVISSDEVSGTVMISGAFDPLVLPCKLRRKAGCLIRDIQLVAAELRLTPQPPPRPAQRPAMLLPNPSGPALAPSCCCGICGCGGYGYGCCYCGCQPCACFVNHPPCYGLPLGQHPKMEVAFEEASSPACKIM >Sspon.05G0019810-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:80042471:80044715:-1 gene:Sspon.05G0019810-3D transcript:Sspon.05G0019810-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTTATDACFLWELRKYVLLQAMLAASVTYSAGLSPPGGVWSHNDSTGTRLAGDPVLQVTYARRYETFFYFNATAFVASIVTINLLLMQSLSRHRGWLRALQAAMILDQLGLMGAYAAGSCRELAMSAYVVALVALVSSYVCAHVLLFAALRTVALREAWRRCRRCNTRRMKNGSGALAQVPPHLCNPRRDSDIPSRAEHARRVPVGQPGQRPPRRGPHAPRSPPDRFMVFFYFNTTAFVASLVPDVTHHGFRSCAVWVCTGAALIGLTGAFAVRSVKTSIYVVALVVAVLSYIGLQVLVFFCKPVENWVHNIQDTLQRYLRLDQIESQDPRVRAVHDPQETAEADQLLQKSRMYLLLLGILAASVTYQAGLNPPGGFWQAKAADGVHHYLAGDPVPQHISTEISGFLLLQCHSLCRVVGYTYPPPQQHIQHPRNQVLCIAGLHDHGPSWADWRLCCRKLQASVQIRVCLLLVIPVFLYVGIHVMVFMLEVFPNIATWRKTVRNKEESVPRWLKKLFELPPEEDKNMKWKLEKRRKLLLLLAILAASLTYQAGLSPPGGFWQENKTGHVVGNPVLNDNYRRRYMAFFYCNATAFVSSLAIIMLLVNRKLSARGIQSHALSVCVTLDLVGLMGAFVVGSSRKVSTSIYVLILVFAVLVCIMLQVVLVVSESVEGLLQRLLSFFDVTEGEAGFILPHTTVNNGGPRDLWYEKLPKYLLLLQHLQRLSPTSRHEPTWWPLG >Sspon.07G0007470-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:11776965:11779655:1 gene:Sspon.07G0007470-4D transcript:Sspon.07G0007470-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSAGDRFLRQLSASNGDEGVCGGLQQEYGGAGAGRRGSRRWSKKRAAAARSYGAGAGGSGYGVKQQAEAASAARKRVMVVVDETSGSKHAMMWALTHVANKGDFLTLLHVLPHSGSGRGEEASSLANSLGTLCKASRPENILLLSGLAYPLSLLRSSSEEFVEKCINQAECLTLAVRKQSKGVGGYLVSTRWQKNFWLLA >Sspon.02G0015610-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:43900722:43902707:-1 gene:Sspon.02G0015610-3C transcript:Sspon.02G0015610-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding PMPGVYKPAELWQAKEHHPPPKKRSCTMVFTLKEMEEATNMFSDRNLVGKGGFGRVYRGVLKDGKIVAIKKMDLPTSKQADGEREFRVEIDILSRLDHPNLVTLIGYCADGKHRFVVYEFMPRGNLQDILTGIGEVRMDWPPRLRIALGAARALAYLHSSTAVGVPVVHRDFKSSNILLTEHYEAQISDFGLAKLLQQDQDLHTTTRVLGTFGYFDPEYAQSDVYAFGVVLLELLTGRRAIDLSQGPQEQNLIVGIHQVVGDRKKLRKKGSYTVESVSMFAALAARCVCFDSAGRPAMQDCVKELQFIMYANMKI >Sspon.07G0011100-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:43249156:43251045:1 gene:Sspon.07G0011100-2B transcript:Sspon.07G0011100-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Light-regulated zinc finger protein 1 [Source:Projected from Arabidopsis thaliana (AT1G78600) UniProtKB/TrEMBL;Acc:F4IBS4] MKVLCSACEAAEASVLCSADDAALCARCDREVHAANRLAGKHQRLPLLAPGNQSAAAVSPPKCDICQECDAYFFCLEDRALLCRSCDVAVHTANAFVSAHRRFLLTGVQVGQELELDDLSREQPEASPPPPSKSEPAPPPLYSESDFGWAAGAGGATGSLADWSAVEEEFGSPATRLAEAAPRATPKRSPRAPAPAFGAGQGRVAGGVMDWPLGEFFRGVSDFNGGFSFGESGTSKADSGKLGGSAGGSPYYRSSSEDRDANELFGQVPEIQWSVPALPSPPTASGLHWQHGGPDSTAFVPDICSPDGGAVRCFPTADGAAKRQRNR >Sspon.06G0012460-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:66194529:66198155:-1 gene:Sspon.06G0012460-1T transcript:Sspon.06G0012460-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MIDGRRHSVDIPVSRALVAIMRSRSLRDPDTNSLAKFSAKKTIWEGCSLDEEEPEGANNNKTTYGRHSFSYNAYDHLQRRREEFGDSLRSGRLADSPINIIKANAMAKAALHNQSCCSAISGMSRSAKDRGFGLVVADGEELGRRGRPARSRRAPGVCFRSTAPDLSLSLLGTSLSGAVLKGKLAPIYLFHGPHGVGKTSTARTFAAALNCRSPGGNQPCGRCDECVAIFSGSGCSVVEIDASKLDCKSRVAALLRNACEVPASSHFKVMIVDDCQHMDKEGWYSIYNSLEGIPESTIFVMITSDVDKLPNNCIGWCQSYRFCKIDDAEIARRLMKICTKEGMEFEAEALDLLARKANGSIRDAIQMLDQLTLLGKRISKSVTHELIGDVSDEELLDLLNLAMSSDAATIVRRARELLSSKRVVPCADDGTAALKDESLDTSSHLCSQNKVSSLDMNLGDPDVLETIWMKALENCSSPPLQNLLRKDGKLSSLYTTQGVAVAELQFCHPEVVPTSESFWKPLCASLQNLLRCNVDIQINLSPISGYRAGSKDSSVSLVMESREGREAQDPVTSNCRTVISSRRDCPSPLVAQAKEKPPHILGCLHGGADGDTVDTEPRILSYQKISVVPAVSTPGNAPLKATAGDTSKVDEGRVRPGCCSHLLPCGACAPHQKSRTREKRRAKLFSCCFCKIGPDCKTKAEAG >Sspon.04G0018820-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:72777706:72780524:-1 gene:Sspon.04G0018820-3C transcript:Sspon.04G0018820-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMEADMDGVLPRFDVDLLLDGHGDGDARGAATAHVRSGALAPGFRRLDRLLMVVSVGGSVRAGGSASGGPQPQDNKELEAVGGAVKERIARALRIYKDAAGRGCGGGSLVQVWAPARDGDRRVLATRGQPFVLPPPRCHRLFQYRTVSLTHAFPIGGAAVPGERGLPGRVFDAGEPEWTPNVQYYGTGEYARISYALIYDIQATLALPILDPATGSCLAVLELVTTTPRLHFAAEVDSLSKALQAVALRGSEICRRPAPEVCNDDEAAAQLAMSEVAEILNKVGEAHKLPLAQAWARCRRCSTGTEHASLTAAGTPFYLAGADPTLLGFHEACVEHHLRSGRGGLVEEAAAARRPRFCADVTKYSMDAYPLAHHARFCGLAGCLAVCAQLRRGGDASMDMGGDGGWDECVLEFFLPPDCRDGVAQKAAADAVAATIMERFGNGDLKAVVVSGLQDLAFDIVADGECVLRPDPMTMADAPELELNYHGGDERDSDEEGLHLVSVMGTADTEALKMHHDEPHGGEDPRSQVGKKTRKGRAKKLLEELQRYFSGSLKDAAKSLGVCPTTMKRICRQHGISRWPFRKLAKANRSLDKIKRVFESVQGLTQAMVASAPAVAAASQQAPALVAATCRAPALPCLSSALRVASSQGSCQAPPPPLKEAAWRKPLPSGDASAVTVKASYRGNIIRFRVPSSAGVVTVKGEVAKRLGLEVGEFDVKYLDDDNEWVLLSCDADFQECLDVVPAFSGASTPSGSGTTAQLVVRLMVQEVAEIHGSSCGSSE >Sspon.02G0036760-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:26778209:26779030:1 gene:Sspon.02G0036760-1B transcript:Sspon.02G0036760-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKLISPSSPLPASFSFSEPSCTILELPSCEVPEQWLLADDVLVGKIENDDIGACLWEMPADGGALSHDSELAKLLPSLFAPAPQRPAKRRGRKPGPRPGAGAAAGHVESERQRREKLNRRFCDLRAAVPTVSRMDKASLLADAARYIAELRARVARLEAEARHSAVARWERIRADGDGDGDQAAGVVDGELYVREVGRDTAVVRVTSGARHAPALLMGALRSLELQVQHACVSRVHGVTTQDVVVDVPPGATALQDDEGLRMALLQRLQASD >Sspon.01G0025820-3C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1C:90688401:90689024:1 gene:Sspon.01G0025820-3C transcript:Sspon.01G0025820-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTTQPTAGARDPLLPTSAAAASPPPYLDPHPADSYTVLLVPVGLRRRLRRGGRCCVGPCLTALVLLLALAGFLLWPADPDVSLARLRLAHVSVVARPAVAVTISAALKVRVRNPDLFALDYNRLDVAIGYRGAPLGRVTSGGGRVRARAVSYVDANLQLDGIRVVEDAIYLVEDLARGSVPFDTIAEVEGHLRFFFLSIPVKVKC >Sspon.01G0007940-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:22180886:22181446:-1 gene:Sspon.01G0007940-1A transcript:Sspon.01G0007940-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding WTRRRRRSPRRSRRSSATASWCCGCTRRSTPATRAGRRSCWRPTSSGGSMGPPTRQHMMRLLTGADQRDDKNRGGGGGGGGFVFSPRSVDAFGSTVIAEGADDARQLYWVHAWTVGPDGVITQLREYFNTDLTVTLLSGAAASTKKADIAGAPPKQQDADSSSSSSSPSAATGPKCLWQSRRVDSAH >Sspon.04G0014250-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4B:55723994:55724674:1 gene:Sspon.04G0014250-2B transcript:Sspon.04G0014250-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCCLRVYGLAIANMVCVGGTGFLVYALVKLARTPHSTGGIVVVSIFLVFWLGVNASIYPAFCGSLFPWSALGRCLEPPLSAVLWLLCLPGRCARRRLVGGGGGNDDEGGALPQFIAQSHQGYSIGIGVLPREPPAPAGSRARVAATATAADIPAYEQPDGELPDGDGSPDCAVCLGAVAKGEMVKRLPVCLHKFHQECIDLWLRNHSTCPVCRCNVFAPMPDQLV >Sspon.03G0012200-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:43883148:43887902:-1 gene:Sspon.03G0012200-2B transcript:Sspon.03G0012200-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAPKQRWTPEEEAALKAGVAKHGPGKWRTILRDSDFSELLRLRSNVDLKDKWRNLSVTAGGYGSREKARMALKKGRRVVPKLTAEPMDVDGKDMDIAHDAIIEAEPLAMALEPLAIEESPDKSVARLDDLILEAIRKLNEPSGSNKAAIAAYIEDQYWPPADFQRLLSTKLKSLVNSGKLIKVNQKFRIAPSSPPSGGIISTKVSSAKVMDTENNNAKRLTKPQVVAELEKMKSMTKEEAAAFAAKAVAEAEVAIAEAEEAARVAEAAENDAEAAKAFLDAVTLSMRSRNAASMMLRAC >Sspon.01G0040360-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:28448163:28450078:-1 gene:Sspon.01G0040360-1P transcript:Sspon.01G0040360-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAARSTGSLPVANVQALAETCNNGVDEQVPERYLSKDPSAEEVVASDDSTCAIPLINHGVPDEVIANLMKDVVGFLKQPLEAKKECAQQADSLEGYGQAFVVSEDQKLDWADMLFLVVQPRESRDMRFWPTRPASFRDSVHSYSLEAAKLAYRLLELMAKAVGTEPAVLRGVFQGQPQGMRVNYYPPCRQAADRVLGLSPHSDASGLTLLLQMNNDVQGLQVKKDGRWFAVDAMDGAFVVNVGDFLEIMSNGKFTSVEHRAIIHPTKERISVAMFFYPCPNMMLGPLPEFMKGDKERYRSTGYEDFMKQYFATKLDGRKHMERLKSEQ >Sspon.02G0030910-4D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2D:92433327:92437825:-1 gene:Sspon.02G0030910-4D transcript:Sspon.02G0030910-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASILFLDSPVGSGFSYARDPKAYEVGDISSSRQWFDDHPKYFLDRPFYIGGDSYAGKGYIVGNPITGDKIDTNSKVTFSHSFGIISNQQYEEYRYYLSYFWANDNVVRAALGIKEGTMAEWIRCRRPPILPYVPDLPSSIKYFFNLSTRGYRALVYSGDHDLIVPFTGTQAWIGSFNFSIVDDWRAWHLDNQAAGFTITYAGNLTFATIKSNHTAHLATLLAFYPHGLLTHAARSPAPLPSLAPAAPCILRPPMLARSSTTPAQAHPGSPSARARRATPTGYARDSRGAASYRQAGQLPTGEIQSWISARGEALVAVDSRPPALRSSRPTGSGQGQPTSAAWLAFSPHGTCSHPAHLLPFALARPLPCSGSTRSRHGAALYRRWPRRRPLDLRLPLSLSQ >Sspon.06G0001930-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:6251817:6260564:1 gene:Sspon.06G0001930-1A transcript:Sspon.06G0001930-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTVSVGRDRLFAALGRTYSKFEEFEALCFEFGIELDDVTTEKAIIRKEKHLEDDDEVDDDDEVIYKIEVAANRSEDFVSVNGIDVTRILGLARALRVFTGTEASPVFQVSSIPRGSILQMHVKPEALPPHEINFVPLKQEKSFRADKLMEFYKSDMKLKKSLHIIENSPVYPVIYDSNRTVLSLPHIINGAHSAITLATRNVFIECTATDLTKANIVLNTMVTMFSEYCETKFEVEHVEVVVCLLNKMQLQAESHSLKGEPRISVSVPPTRSDILHARDLSEVARAGYMEVLTFILSSHEENFDMLNRADDKNKAVIIANPRTSEFERVRLLLPVARAFSYICRVVDRRLTREMRLPSELQQRLTTSSPVPEDQASDHVVRTSLMSCLLKTLKHNIDHPRPIKIFEVGDVVMLDSSRDVGASNNRRLAALYCNRVSGFEEIMGLVDSIVKVVRAPHVNFGENYYVPTDEPEFFPKRQCKIVTSDGKQVGYLGIVHAEVLRKFGIPDPCTFVEMDLEALL >Sspon.03G0028480-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:60042965:60047351:1 gene:Sspon.03G0028480-2C transcript:Sspon.03G0028480-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding EPRGSRQLTLSVPARHQDTANEKQTTASPPAATSRSIPSLAFTLEHGGALALLSRTAKLLLPGAMNPYDLRFADPSSYHDRRSDLAVAPTFTPPAPVAAANPSPYAVAYPPVPVPVPAAPAGGGDYQRYGQGGRGRGGGGRGGGGGYGGGGRGGGRGRDGLDSLALPKPDFRSLIPFEKNFYVECPSVQAMSEADVAQYRRLRDITVEGRDVPKPVRYFQEANFPDYCMQAIAKSGFVEPTPIQSQGWPMALKGRDLIGIAQTGSGKTLSYLLPGLVHVGAQPRLEQGDGPIVLILAPTRELAVQIQEESTKFGSYSRTRSTCVYGGAPKGPQIRDLRRGVEIVIATPGRLIDMLEAGHTNLRRVTYLVLDEADRMLDMGFEPQIRKIVAQIRPDRQTLYWSATWPREVEALARQFLQNPYKVIIGSPELKANHSIQQIVEVISDHEKYPRLSKLLSDLMDGSRILIFFQTKKDCDKITRQLRMDGWPALSIHGDKAQAERDYVLAEFKSGKSPIMAATDVAARGLDVKDIKCVINYDFPTTLEDYIHRIGRTGRAGASGTAFTFFTHANAKFSRNLVKIMREAGQVVNPALESMSKSSNSGGGGNFRSRGRGGFGNRGHMSGSNSFPLGGRRPY >Sspon.02G0029980-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:109034956:109038676:1 gene:Sspon.02G0029980-1P transcript:Sspon.02G0029980-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MREVVTVQVGGFANFVGSHFWNFQDELLGLADDPGADPVFRTAALDMDVLYRAGETHQGVATYCPRLVVSWLVQFLWYSRSGNVTKSVAKPHERNLFLQSLSEEEQNPSSSNGRNNARENIEDKDLVESLESGVNFWTDYSKVQYHPQSLYELYGSWTDFDKFDNYGTAKEVVSEWSQMEEMNERLRFFVEECDHIQGIQFLVDDSGGFASVAAQFLESIADDYTNTPVMLYCVRNPDSYGSSRNQRETIIRSLHDAVSLSKLSYSCNLMVPIGLPSLSYLSPLLSIKDEKHFHSSAICAAAIHSLSVPFRLQHAGPASDSAHSSGKLDIGELVHILSDQGRQNMITALDVAMPAPSLTDRKDLRKIQRSLHSLTPEISDEDEDPYAVESLVVHGALDAGGKRASISQVKDSICSALEGRATKPKFSHLSVSPCPLPIPLPFPSIFSSSVGQQGEILSNSHPEGTRPKGSLDVVSVPMAARLRSSSAIVPFIERRSTSLQRLGVARGSLGSQVLCDWGFGKEEVGDMGEHLAKMLRPFYPEMDLTSDSDD >Sspon.07G0001890-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:3984622:3988587:1 gene:Sspon.07G0001890-1P transcript:Sspon.07G0001890-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLVVAAAVAAWAFPIAALVNSVVPEPYMFGVLSILFRQTNVIWIIFFAANGAITYVKDLYPKDNVSHENSEPIHQSKKTSVRDNRISAQDLRRRRINSPISLTEEMWDISLKLWNPKCEILIAFAPFVVIMVAFVAFIIWNGGIVLGKSQTRIWVLSFVLSVALVLVPAPLVEFRYYTIPFVILVLHSPAISNGKLIALGSLYAAIDLSTLVMFLFRPFHWEHEPGTQRFMW >Sspon.07G0001890-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:3853580:3857630:1 gene:Sspon.07G0001890-4D transcript:Sspon.07G0001890-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLVVAAAVAAWAFPIAALVNSVVPEPYMDEIFHVPQAQQYCRGDFWTWDPMITTPPGLYYVSLAYIASFFPAAWMFRVAKTFDVLCYTAALRSTNVILAMVCAVLFHDLLLCIRPGIGERKATTYTILIALYPVHWFFTFLYYTDVASLAAVLAMYLFCLKKQFWISATFGVLSILFRQTNVIWIIFFAANGAITYVKDLYPKDNVSHENSEPIHQSKKTSVRDNRISAQDLRRRRINSPISKVIVSESANPYNSLTEEMWDISLKLWNPKCEILIAFAPFVVIMVAFVAFIIWNGGIVLGAKEAHVVSPHFAQFLYFGLVSAAALLPWHFTPNRVLDLFNLFGKNKTCSSLAVLMGLGLSFVAVHFFSIAHPYLLADNRHYTFYIWRKVIQVNWMMKYMLIPLYVYSWLSIINILGKSQTRIWVLSFVLSVALVLVPAPLVEFRYYTIPFVILVLHSPAISNGKLIALGSLYAAIDLSTLVMFLFRPFHWEHEPGTQRFMW >Sspon.02G0052940-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:98613656:98616640:-1 gene:Sspon.02G0052940-1C transcript:Sspon.02G0052940-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPGRRTPPSHPAVLTKPKPRLRSEHKRPVRTNHHHRSNTMADSGGLSANNAAAANEDDDANTAPFPDTVQVGGSPEYKVERKLGKGGFGHVFLGRRLTAAHAGRSAASAAQEVAIKFEHTTSKGCTYGPPAEWQVYTYVHGDVKPENFLLGQPSTPQEKKLYLVDLGLATKWRDTASGQHVGYDQRPDAFRLPWQGYQGDNKSFLVCKRKMSTSPETLCGICPQPFKQFLEIVVNMKFDEEPNYSKLISLFDSLIGPIPSIRPINTDGAQKVGQKRARLLNDDDDHVKKKIRLGAPATQWISVYNSRQPMKQRFIDAPTFFVYLVTVKGCLTSAVDRYHYNVADARLAQHVEKGNEDGLLISSISSCSNLWAIIMDAGTGFSAQVYELSSHFLHK >Sspon.01G0032110-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:109176747:109180592:1 gene:Sspon.01G0032110-1A transcript:Sspon.01G0032110-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSGTVPLAYQTSAVSPDWLNKGDNAWQLTAATLVGLQSFPGLVVLYGGVVKKKWAVNSAFMALYAFAAVWICWVTWAYNMSFGDKLLPLWGKARPALNQGYLVGQADLPATVHYFADGTTVETPATEPLYPMATVVYFQCVFAAITLILVAGSLLGRMSFAAWMLFVPLWLTFSYTVGAFSVWGGGFLFQWGVIDYCGGYVIHLSAGFAGFTAAYWVGPRAQKDRERFPPNNILFTLTGAGLLWMGWAGFNGGGPYAANVVASMSVLNTNICTAVSLVVWTCLDVVFFKKPSVVGAVQGMITGLVCITPAAGLVQGWAAMVMGVLAGSVPWYTMMILHKRSRLLKHVDDTLGVIHTHGVAGLLGGILTGLLADPTLCALFLPVTNSRGAFYGRAAGGAQLGKQLAGALFIIGWNVVITSIICVAINAVVPLRMTEDKLEVGDDAVHGEEAYALWGDGELYDVTEHGPRGAAAVAPLATAHPSCHEAFGGVHRTPRLLLLLLAVATASAAATGGTAGAAEAEHAANYLVYVNPHPPGVDCQAYQLGILAAALGSEAKAKAAILYNYRNVMSGFSARLTPPELEAVK >Sspon.03G0031870-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:31751286:31753182:-1 gene:Sspon.03G0031870-1B transcript:Sspon.03G0031870-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATATTSPLFSLSSLCASLPSPTRIPTSLSLRALSPRARLSVSLPFASPLGGYGTWAATSTSSAGRLRRRGLEVVCEATTGRRPDSVKKRERQNDKHRIRNHARKAEMRTRMKKVLRALEKLRKKPDAQPEEIIEIEKLISEAYKAIDKTVKVGAMHRNTGNHRKSRLARRKKAIEILRGWYVPTAEPVAAT >Sspon.03G0005050-3P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7C:15826388:15830652:1 gene:Sspon.03G0005050-3P transcript:Sspon.03G0005050-3P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding QVRSGGGGGGGRGGD >Sspon.02G0039900-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:62769133:62770136:-1 gene:Sspon.02G0039900-1B transcript:Sspon.02G0039900-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLLSHSSSATATTRGLAAAPPPARSRRARLLPPRARAADTTGVGADRAAEALLVQSGLRPESLPRHVAVVMDGNSRWARARGLTPADGHKAGGRNLERVVGLSRAWGIRALTAFAEVDYMMGLSEWLIGDNINRLSRQGIRLQVIGDTTKMPGSLRSAAVQADEATRHNSQLHVMLAICYSGRWDMVQACRELAREAQAGQLSPDDIDESLVAGKLATSAAGEFSCPDLVIRTSGELRLSNFLLWQSAYSEFFFTDKMWPDFGEAEYLEALRSFQGRDRRFGQSTLL >Sspon.05G0018960-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:76146536:76150163:-1 gene:Sspon.05G0018960-4D transcript:Sspon.05G0018960-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGDALRRLCEEIGWSYAVFWKAIGAADPVHLVREDGYCGHTSCPVGSEPSESLPSDAAGCSVPAADTICSLVNNVMASQVAAEMNNQFRVGIQVVQQNGHPVREHTVYAEPDLRFIQQASFCESQFGRNLRSVGTRASRANLSTSVSSQILDHASGTLQQKQSLVPFKIPQSSELAKKMENPESRPFQTPSVRTSESDGQ >Sspon.07G0030330-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:5783291:5786649:1 gene:Sspon.07G0030330-1C transcript:Sspon.07G0030330-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDNKSPLSLSPMGGRDRDRELLIPVSGGGSAPGDGVEDGDRASSPSAALSSSGREAFHKVVRSWASKKFMTGCVILFPIAITFYITWWFIHFVDGFFSPIYAQLGINIFGLGFITSVTFIFLIGIFMSSWVGASVLSLGEWIIKRMPLVRHIYNASKQISAAISPDQNKQAFKEVVIIRHPRVGEYAFGFITSSVSLQSYSGQEDLYCVYVPTNHLYIGDIFMVNSKDVIRPNLSVREGIEIVVSGGMSMPQILSTLDPQMILGDRTGPSRS >Sspon.02G0034260-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:14072251:14074803:1 gene:Sspon.02G0034260-2C transcript:Sspon.02G0034260-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding TVVTTINSCRYNGNHHIFGAAGNSTAAYDTPANHLDLDLHRAALFPERRQLVGIEPRQENLVQWLMEENIQQLRVISIFGFGGLGKTTLAMTIYQSLSAKGGRFQCHAFVTVSQRFDVKMLMRDILVQIIQPVYQKSFHEPNGATETSLEDLLKGMEAWDVGQLASMLRQQLENKIYLIVLDDVWSIAAWEAIRFSLPDSNNGSRILVTTRIRAVAHTCCFHEYDRAYEIEPLTDHESRDLFFKRIFGSTVNCPENLKEISEKILGKCGGAPLAIVSIAGLLVSKPVLSKDQWQKIYSSLGSELETSPSLERLKKILELSYNDLPYHLKTCFLYLSVYPEDHVIRRKSVLRRWVAERFVTEKRGVSVFEVAESYFDEFINRSIIHPVDMSFTGKVKTFQVHDVMLEIIVSRSIEENFITLVGEQHTSVPREKIRRLSVHSGDMKDIATSKMLSHVRSLSIFPSGETLQFGWMKLLRILDLEGYGILRNRDLKNVCSLFQLEYLSLRKTHIMELPAQIGNLQKLETLDIRETGIKHLPPGITYLPHLRNLLGGRRFYNHNGLWPVSEYWGLHVPNKIGNLDALETLEQVEITEYASHSISELGKLSRLRKLGVMMFVDDDNSWASLVSALENISCSLCSLLLWRPYGTMNFNSLDSLSRPPLFMRSINFRGQLRKLPKWFPFLSNLTELTLRATELSAKEDLEVLARLPNLIYLRLHHSAYVETEFAVAASEFPVLKLLVIHLVMFEAWRARFHEGALPRLERLELSLFEQASIQEVSGIEFLPNLKEVSISACPGNTMEDAIQSLKDDAEKNPSKPTVTFKTKKWVRMKARTDPPLDHRGNLRSSYLDNF >Sspon.06G0029870-2D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6D:31269356:31271197:-1 gene:Sspon.06G0029870-2D transcript:Sspon.06G0029870-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPRKKQALLLWKSTLLAPNGGDESSSPLLSWLATKPMCSWRGIMCDARGRVAKLSLPGAGLHGTLSSLDLAAFLALTKLDLLNNNISGSIPANISNLTYLDLSQNSLSGEIPDTLPSMRQRMRYLNLSANGLYGSIPRSLSNMRNMRVFDVSRNKLAGAIPPDLFMNWPEITSFHEQNNSLAGSIPPEISNASKLQTLFLHFNNLYGKITVEVGRVASLRRLMLSWNSLTGGPIPHSVGNLTSLVLLGIFCNKLIGKIPLEIANLTALESLDLDTNQLEGEVPQALSALQSLQFLDISNNKLSGVIPYLNTRKLLAIIANNSFTGVFPIVLCQQSCLQILDLSNNKLYGKLPRCLWNMQDLLFIDLSSNAFSGNVQMSKNFNLSLESVHLANNGLSGGFPHVLKRCRRLLILDLGENKFSDTIPSWIGFSNPLLRVLILRSNMLHGSIPWQLSQLSFLQLLDLSGNSFMGSIPTNLSNLISMMQPKSEFNVPLQISYQILHHLVLYIYTERININWKRQYHTFEGAIALMTGIDLSSNYLSGDIPPELTKLVGLRFLNLSRNCLSGGIPKDIGNLVVLETLDLSLNELSGSIPSSISELMSLNSLNLSNNHLS >Sspon.02G0055210-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2D:1521419:1521802:-1 gene:Sspon.02G0055210-1D transcript:Sspon.02G0055210-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAPGGRPPPPPGPRGCAPAPRHARSRAAPGQRPAHATPHRRAPRRGGLVRGRRREVMRIHDLAFATRPVSHTARALLGGGSLRLVFAPYGDGWMDERSLSAVQPPTKLERPKRGHIGPIDLSAAREP >Sspon.02G0033800-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:9890092:9891812:1 gene:Sspon.02G0033800-2C transcript:Sspon.02G0033800-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heavy metal-associated isoprenylated plant protein 24 [Source:Projected from Arabidopsis thaliana (AT4G08570) UniProtKB/Swiss-Prot;Acc:O81464] MGGTLEYLSGLLGGSGGHGHEKKKKRKQLQTVELKVRMDCEGCELKIRSTLSSMKGVESVEINRKQQKVTVVGYVEASKVLKKAQSTGKKVELWPYVPYNLVAQPYVHPV >Sspon.01G0036670-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:19385845:19386922:-1 gene:Sspon.01G0036670-1B transcript:Sspon.01G0036670-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDIPEAEAAMAVPEPSTSLALRFFYLRVRCRRVRAGHSHPHPHPLTPDTILDVSGADHHPGIISNGNGKGSSVSFALRRDRIDKRSEEATFVCTATVRVSGSVRFVVQSKDEKIIVGILEACDCDVDSKGGATKSWRGSGFLRCGCGGGGERDTTKPPVVEVYVAGVFRATPVVFTRAMQLRFRRRRQVKAFMDPIPECGEQVEDMTPPKHQPEESESDYRCYKPDPDADDDDGSLYTRSDGVDDGEEYSELSWFTAGVRVGVGICLGVGIGASLLVRSYQSTSRTLKRRLISNLL >Sspon.04G0030840-1P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4C:2977334:2977817:-1 gene:Sspon.04G0030840-1P transcript:Sspon.04G0030840-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNYLGHCNFELVPKLLFDVFPPLKYLVYTPSFHSLHHTQFRTNYSLFMPLYDHLYGTADKSSDDLYERALQCREEAPDVVHLTHLTTPASLLRLRLGFASLAAAPAPLASRYYTSLLAAPARPLAALVAAFLGRTTAFRSEANRLHKLNLETWVVPTYTSQ >Sspon.07G0022660-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7B:9723946:9724662:-1 gene:Sspon.07G0022660-1B transcript:Sspon.07G0022660-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHKPSGTYYVFLSFLENRQGSHTDGHWADGNRGLTGRAKRRTLRALGEDFARRVWAFSRKKFVWAHTPSTLTLDIQFPTSSPPHTRHPLPPLRARAQPRAPTHTCAASSTPAARIPPLRAASTPSAPSVRLPLLRPPRHHHSGAPPALPPCHHLDASPLRPTGPAELLTRRAATTIRWRLQEEEIRERRRRSTEERRTRSRSGGGDPQEQKNKRRRSTGGEEEDEIQKWRGRSTKTGD >Sspon.06G0011780-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:64116876:64117406:-1 gene:Sspon.06G0011780-1A transcript:Sspon.06G0011780-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAQASLVAAVVAIIAAATVLGTASGASYTVGEPGGSWDLQTNLTAWSSTIDFHPGDQLVFKYDASAHDVVEVTQAGYGSCSAASPVAGGTHQTGSDAVKLNYVGRRYFICSKPGHCDAGMKLEVRVTPMCTNDRGFNTCYTVPLGAAPGSSSASLGSLLVTIVSLLLALTLTIA >Sspon.06G0013870-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:72715400:72717582:-1 gene:Sspon.06G0013870-1A transcript:Sspon.06G0013870-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEQAQLCCATDSGGDSASKRDAAMPVAVAVSLCLLHDALGVALFLASHPLHTVYLLFFARGLVALAAFFWPLLVSTSLLLAVLATVGPYVAGAGAGDWPRGVRSSLGRTCGIAVAALCAELRPPDGAPAGLVAQLCSFVLGPTDAASVLRVEEIMGEPCNVIITASCFVPEVEGEPEVEGEQSLLLIGGDDCKEPAFALLVMDYIGDRSFLDYESSGDLKDKIDEKVVISEDMKGSDPLAEQCCFPRETLFVQEMEAEEEEEESGIQGHDLILSAIDEVSNGVEEKRLECDPVSVEIKKSEPVQVLEIRKSQPMLAMEITELEPLEPMEAKKLEIKKFKPLHAVEIKKCEPVQAVQIKKFEPAGPPEVKEGETVQKVQIKTLQPTLETKKSEPVESVEIKKNELVKPRSLIAQRIKLWEAQVVSGNAKPFVGDREENPVEFSLKISQQPKCVEQQQEQEFKHVKEYMHSETEASDKCSQDMKAEEITPAVVAQAEQEMLEQGCNDVQPEPDLEELEYEMAQPEQELDEMEEVYNDMTQSPAMWNERESPLKSTSIAVRVHSRTSSENLLSEGSPSRKDKEWKRTLACKLYEERMQLRLCRDRAVVEGSDNMDMLWEAYEVGSGGNKGRGGKRSGSKVKGSTAKVEDAVQEGEEEEEDDDDNEGSVRQLCCLQALKFSTRKMNFGGGRPSLSKISK >Sspon.02G0019800-2D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2D:59963008:59963256:1 gene:Sspon.02G0019800-2D transcript:Sspon.02G0019800-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVGTRLLLALGVRASSIAKCSQYSGVSADTVVEYTRGRPAHVNCPRALSPPGSDGRPALASRRTARDDALKRRKDENSRI >Sspon.04G0037360-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4D:68768714:68770000:-1 gene:Sspon.04G0037360-1D transcript:Sspon.04G0037360-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MWKYLSGWQASLLNCAGRLTLCTSVLSALLLHYMSALYVPKTVIKAIDRRRRAFFWTGEDQCHGSKCLVAWDAVQAPKDKGGLGVKDLALQNRCLLMKFINKLFSADCASWKAWVMNSASSFDTPISSLCSFLWRIISDELDTFRSITYVRVNNGAATSFWFDHWLLDGPLSSTHAALFSHTTRPNISVQCVFQSGFDLRLRPRLTTAASNQLASLLDILQGTRLGDSTDIRLLKHTQRPFTTREAYEALDSSGDATDIHGRRIWGTRLLNKVKVFAWLYFKNRLSTRVNLHAKNVVDSSTCERCSGADEDRFHVFFGCVHSSRLWNRLNLQHVASLADDATWTFPPPPGLDASLWPFVFLTILWRIWDCRNDHIFRDEPFYVRTVLSRVRDNLVLWCERLPPQLVNSLLGWHAFISDCIPYSFLPHR >Sspon.07G0003020-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7B:6947971:6948300:-1 gene:Sspon.07G0003020-2B transcript:Sspon.07G0003020-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLQRSSETFRRSGSSGLVWDDKNFSGEIKPAAEDDGGGAARAVERSRSAGHAHAGYRATGRVPPALDPPSPRVAVCGFCRLFGGGGNGDGKGKGRDGGSAKAKGRRH >Sspon.04G0013900-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:57044068:57052329:-1 gene:Sspon.04G0013900-2D transcript:Sspon.04G0013900-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGATWHETLSVLPIVGPGGIGKTTFTQHLYNDKRTEEHFTVRAWVCVSTSFDVLKLSKEILGCLPATENEGGNETNNLDQLQKSIEKRLRSKRFLIVLDDIWQCSEDEWANLLAPFKMREDGTGSMIIVTTRFPYIAQMVKTTTLVNLEGLEPADFWNFFKACVFGEISVEHDKEELIEVARQIADKLKCSPLAAKTVGRLLKKRFSREHWVQILENKEWLNQTHDDDIMPALKISYDYLPFHLKKCFSYCALYPEDYKFESLEIGCFWTSIGITDSGGQNDKIEDIGSKYLDELFDYGFVMKGDENNYVMHDLMHELAQIVSSNECAHINCSGFRAENIPSSIRHLSILMQNKYIEDFGGEMDKLRRRIDIGNLRSLMIFGEYRRSSLVNILKDTFKEIKDLRVLFIFMNSLDSLPHNFSKLIHLRYLKLKSSYYSKMCLPSTVSRFYHLKFLDLRDWGSGCDLPKGISRLVNLCHFLSNVEFHSNVPEVGKMKLLQELRRFHVKKESAGFELRELGQFEKIGGRLQIYGLENVRTREEANEAKLTAKRNLTELALVWSGEQPSVEDDILDGLKPHSNLTALSIVNHGGVTGPTWLCSNTHLRNLETLHLEGVSWSALPPFGLMHHLGTLELENIVGIRQFGPDFIGGITEKSFTQLKNVKFADMPELVEWVGGADTQLFSRLERIRCINCPKLIALPFSGFPNLCDLCTDKCSELCLPPLPHTSKLSSFQTDYLDYHRSRLSINKMPCELAFHNLGEVERLTIKDASLISFMDLQKLHPLRSIMVQRCDEAFLRALESGIVLQSVQSLQLEKFRVTGKSLTSLFRCFPSLSNLDLTASDEDYDEEVLLQFPLALASSLRIVRLRGYKNLVLPVEDGGAFQGLLSLESVSIGGPQQEQIAAALTLSLLVSRTSSFGVNLALCQWLCSQIWASLTRLELRNCKNVTVDGFNPLITCKLEHLSVGNWKEDGETEPYSISVAGDLLAEVSRTKTMPAGSFQLVSLEVDCISAVLVPPICTRLSATLQSLWRRASRKSSAKALQLLTSLEGLGIGDCRALQSLPQGLHHLSSLESLWIFGSHRIRSLPKEGLPDSLQFISLTDCCRELYKECQQLRGTRPDIDAEDALDELHYFMIQDELDGTWEATPELGDGLAARGHALQMFLISDLLKINQGISLVPGSMVTPQRPAIGSKLDKISCMEGALFLMKP >Sspon.03G0036670-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3B:92055206:92056159:-1 gene:Sspon.03G0036670-1B transcript:Sspon.03G0036670-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLPTARAPLPPSRARPSPSVPELLPQRRRLRRWGRSRWRRHSSLRSGSSLTRATVAILFSLRSGVAPSLPLPPLDLAGVEEASLNPLFDTGACSRSGMEKVNRAWIWPGGGQMPPDPMMAAISEVVDADPGITQNGSRMCTGRVDGERDEVDGREHRALLGVRPPIQVAAAPAS >Sspon.07G0022860-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7B:11024231:11027006:1 gene:Sspon.07G0022860-1B transcript:Sspon.07G0022860-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLHSAPPRQWGWHSCGCCEHAGQLGREWGGHSLVKAGRVFRGGPARWTATRWATTLICRSPWQTWTPTGHGGTARAVWHGAGDLGLMEYCILVEDSIALEAWVLTLSELSGRSAGTRPCMRQPLLIPRDSLLCVGDLQEHAHGHHPAVGLVFDEMRARNTVAWNAHGYWLCPERRALKFFGQP >Sspon.02G0027170-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:107927841:107932614:1 gene:Sspon.02G0027170-4D transcript:Sspon.02G0027170-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RKELEENSPSRRDGIDWKKESNLRKPQLTIATAMIIATVCMFLAGKVEETPIPLKDVILISYEFIHKKDPTAGQRIKQQKELFDKQKELILLGERVVLVTLEFDLNIHHAYKPLVEAIRRFNFLKVKLPSDGDKVWWQEFDVTLQQLEDFSNQMLELYQQNHTTQAQPSHGGEAKGSSAGVRNKHSSVKPEENSKEPSAHGRHQINVMDDGDLLDRGLEHGVKLAVEDEKVEQDKRQNLSHGSMPPADLQNTNQAMENGHHVKQAVPTTAEDMGFLDSKEHHPPPFHRQTDVPEHKAQQLDHMLKHQKGQDHSQIV >Sspon.04G0006140-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4A:17315846:17316145:1 gene:Sspon.04G0006140-1A transcript:Sspon.04G0006140-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGRWEVASAKPATDGHKEDERVDRRATTVVREMGGHIGRGRGGPPDLRSRRSRRPEQRRTEHRSYRGWRPTTTKRGRRRGRRAQWSHAPRAQWSQAR >Sspon.03G0023520-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:95372962:95374378:-1 gene:Sspon.03G0023520-2B transcript:Sspon.03G0023520-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRGSAAAGAMEEGQAGYQSSEDGSAAGSGSGSNRCNDDMISVQFMQKIFAEVLGTYFMIFAGCGSVVVNLSTNGTVTFPGICAVWGLVVMVLVYSVGHISGAHFNPAVTVAFATCGRFPWKQVPSYAVAQVLGSTLASLTLRVVFGGATAHDHFFGTAPSGTDAQAVVLEFVISFYLMFVVSGVATDNRAIGELAGLAVGATVLLNVLVAGPITGASMNPARTLGPAIVAGRYRSIWVYMVGPVCGTVTGAWAYNLVRFTDKPLREITKSGSFLRAAGRTS >Sspon.03G0025770-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:1185892:1189143:1 gene:Sspon.03G0025770-2P transcript:Sspon.03G0025770-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRRLRPWAFLLFFLVLFSYDESGLWSRSRTRSGVAQATQRVFLYPQAPKVSSIVSSKYRTAYHFQPPKNWINGPMYYNGIYHQFYQYNPNGSLWGNIVWGHSVSTDLINWIRLEPAIERTTPSDINGCWTGSATVLKSDQPAIIYTGADTEKRQVQNIAFPKNLSDPYLREWIKPDNNPVIQPVGQGLNPNQFRDPTTGWIGPDGLWRIAVGAELDGYSAALLYKSEDFVHWTRVDHPLYSSNASTMWECPDFFAVLPGKNIGLDLSVAIPNGAKHVLKMSLDNCDKYMIGVYDLKSDAFVPDSVLEDRRLWSRIDYGNYYASKSFFDSKKGRRIIWGWTNETDSSSDDVAKGWAGIHAIPRTIWLDKDSKQLLQWPVEEIESLRGKEVSQQGLELKKGDLFEIKEIDTLQADVEIDFELTSIGSADPFDPSWLLDIEKHCREADESVHGGVGPFGLVLLASDNMEEHTSVHFRVYKSQEKYMVLMCSDLRKSSLRPELYTPAYGGFFEFDLEKEKTISLRTLIDRSAVESFGGGGRVCIMARVYPVALIDDDGTRMYAFNNGTTTVKVPRLKAWSMRRAQVNVKG >Sspon.02G0017340-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:50352610:50354718:1 gene:Sspon.02G0017340-2B transcript:Sspon.02G0017340-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAPAPAPPLPALLSRCSSAPPRRLLSSPRTPAACRPPPAVARSVSVSVDAPAAAAEPAVLGAPSATPRRRLILLRHGESTARGRSTRDHDRPLSKAGRADAISVSNKLQQMGWIPELILCSDAMRTKETLKILQEHVQGLSEAIVHFIPSFYSIAAMDGQTAEHLQKAICKYSSDEILTVMCMGHNKGWEEAASMFSGDSVVLETCNAALLEAAGKSWVEVNIGLVQTKACFFSSFPKYI >Sspon.03G0024730-2P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3D:59949923:59956453:-1 gene:Sspon.03G0024730-2P transcript:Sspon.03G0024730-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFHGDYLMAPSLSKHFAEDLLRGAMDLQESIVMLERFQAASQGMRLPNKKRRPETGEKSTEIDTIIREVLLKPSNAKQVLPVSANDGLKQQLRNSTDELKNVVKDSFYRKNHLSVPNNEQASLSQSARYLPNNYLMPKPTQQKKVVPRSFPSCAAVQPEKSKGPSLVAKLMGLDGLPSPKSNSTVKDDKIKTASSPRALFDTERPKSRRLLPQLFREESGFDTEMPWSEKLPPEEFNVQKNSTSSQKGIGTSYNNRVINEIVSMKSIHSDTNVEQARPKSPKEIKIVSPTSRKQQANENTEIDRRTMEKQKPYLSERNSQGRNVTKAKAGPVSRNAEVVKRRDRKSVASRSSRTCDSVKPNVSKPSNNSRVKRVPMRNVKSSTIDELVAYEIEREIFHALDQIDGPSTEHSATPSDEGCPSADSDEASSVGGKELRICTFLNTLSVSTSFKARHFCTEQPLSVTDILKDSGEPNETLLSAIHDYRISSADGDAIHPSTYRTPTKEAEIKDQISLLLLSDKSFLSRAAELIGIDVYGDLNDQCNRISKVEMKNHKLYLDAAGEQLELKHCQQNSPCYTRLQGQKCRSSEYFSLEELLRDISNGIRNLNGYCSEDARGSKDNVDMKVERDLSCTDALINSVWDMGWQGLICMEETDFFVRDAGEEILSLLIEDAVLDMPAKTAQWTPIGFDRHIGQQLADRTLSAFGWLTGPKISERSSSPSCSLSLGAGTVARRFSSAAWAAWARHRPPDPAEGSLAPPPPGLCGPPPPAAAAARPGSALLRSACFSVDRALLSSWVDRWRPEMHTFHLPVGEVIVTLQDVAMLFGLPLDSAPIGPIVVHVDWEDQLLHRFKGVLQPTDGERFDVGRPTLSLYDSYGDEMYVFDQLGEADELYAPMMGTLWLSRDVVSTICSYMKSQSVAFEQSWVDRMMPWVNNWAQATTDMHDLGRSFDEATHREYLRWFHGATCVCCFPVPVEAAPHEAEITDTFAMEPPAAFHALTNICSDMGNDLLSYAQRFEHNPPTVGCASTSTSTTTSSRQIGRMFTSIPARAAVEGSSPSITSGDGCLEKKYSTKTWGPTVVSTTGLPTDPSAHQMPTELSAHQMPTNLSAFHLACRPSSNRQGSCQPSSY >Sspon.01G0017860-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:66672411:66676182:-1 gene:Sspon.01G0017860-1A transcript:Sspon.01G0017860-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDDLESGLYLVATPIGNLEDITLSLDDSSFRALRVLKCADVILSEDTRHSGKLLQHYNIKTPLLSFHKFNEREREPSILRRLHEGEAVALISDAGTPGISDPGMELARLCAIEKIPVIPIPGPSAAIAALSASGLPSNEFTFVGFLPKHARSRRDRLEISAREAATQIFYVPPHGIHQFLVDAASSFGDSRHCVIAREITKIHEEFWRGTLGEANEAFATRQPKGEITVLIEGKLISVDETPSEDFLEHELRELTAKGYTLSAAVKLVTEATSAKKKDVYALALRMKTQDRDRWIIVPLIKA >Sspon.07G0008540-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:23233049:23238988:-1 gene:Sspon.07G0008540-1A transcript:Sspon.07G0008540-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPDPVAAGASSSPPPPSDHQIAAMKPDPVAAGASSSPPPSPAPATSPPSSPAPAASPPRQTRDDDERGAVECADPGGGLNPAPPLPPQQDTVEDAAVGSEVEPKKEAMAGVGEALRSFMEEFGVQGEDSIILSPRLKEISTPDRPAALRFLGEKYNNLMERYKKQVVKCSEDCEPRYNGLKKKYTDECAERRRLYNELIELRGNIRVFCRCRPLSSDEVTRGCLSVVEIDPSQETELQFVPNEKERKPFKFDHVFGPEDDQETVPVVRSVMDGFNVCIFAYGQTGTGKTFTMEGIPENRGVNYRALEELFRMSEKRSASVKYTFSVSILEVYNEKIRDLLDESNDQSKRLDIKQNADGTQEVHGLVEAPVYNIDGVWEKLKFGAQNRSVGSTNANELSSRSHSLVRVTVRSENLVTYQRSRSHIWLVDLAGSERIAKTGVEGDRLKESQFINKSLSALGDVISALASKNSHIPYRWGLQDTNISPSSMDSGETLSSLNFASRVRSVEHGPARKQVDPAETLKFKQMTEKLRHEEKENAQLNQHLQLMQLKHASRENVFRTLNEKVKDAEQACRNYQQRIRELENELGNEKRASRDSARSSRPPLVPPRQRQPQGRNNSYIPPSGPSRWRLKAPTINNKENIPVGMKKPNPVDDPNKAVGRARRVSLTPVMRIPTQPKRRSSMAILPSVREHFSVLNEKRGGSQLPRASVATFGVNLVPGTPLAGYGGPVDATPDGAKYRRFDFGSSSKFTSPPQNVGTWNKMVTPQQKLGMAPAGPANAAKLCFSIQKRVTPPRVRATPPPRVRATSGLGIFDAALRENMAVGITGKARRLFILLNLLVKIR >Sspon.08G0001340-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:4663372:4667246:-1 gene:Sspon.08G0001340-1A transcript:Sspon.08G0001340-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATAPPPAAVSTTLSALIRCQKIPALELSTRDASPPTRARKAAEPSESRKGGHGGMRLEEAVPVGEGRSRIDAWISARLGGGGVSRARVQASIRAGLVAVNGRPVSKVSHTVKGGDLVSCTVSELQPLRAVAEDIPLDIVYEDDHVLVVNKPAHMVVHPAPGNANGTLVNAILHHCKISTFTCLAHSSTGDECLDSSDDDIDVFNVDQFATEDASSEVRNALVRPGIVHRLDKGTSGLLVVAKDEHSHAQLAEQFKLHTIRRVYISLTCGVPNPNSGRIEASIARDPNNRIRMIATAGSGHRYARHAASRYKVREVFAGGGSALVEWRLETGRTHQIRAHAKYIGIPLLGDETYGGTKSMALSLLRPRTPLKYHSDLSNLISKVDRPCLHAALLGFKHPHSGKILEFSCPPPDDFSEVLDELRKVTSSDAQNGDGMQEVNKGDRYHNL >Sspon.05G0037400-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5D:13207027:13207647:-1 gene:Sspon.05G0037400-1D transcript:Sspon.05G0037400-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDVKLRSSSSIAKITGVALFLVGVFTIAFFAESSISPLNHHHAFASDPAPAGSTPAVPRGVAIKLDI >Sspon.08G0001860-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8D:3313443:3318022:-1 gene:Sspon.08G0001860-2D transcript:Sspon.08G0001860-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGLELAAASPAAASTIPPATVVPASVIDALWNAIYNLQYQMADLANRLDQVEHRQPPPAIFLPLPPVGLPDYSSSSLMLMTAPVVVSTAHSSSAPLPNSSPIPPFAAPSPMPPQQHYNHIMPNAVGVPRRLSDSTQVDIELPEQEDLQRALGLACDLVCRGQAGCPNRPVVLSPPTTTPVGAAHPPMVIASTSPPPPRPLRGLSPMELTGAYSENLPMVCIVGGPNSNDYNTNRILHHTIGLPDFSPELWCFPPVTCHQAVVNDLEVAEEALESREVRLPGVMHLLSDPESNGYEEPKKRNQITWTIELHEKFLEAVEALAGDESKAWLTDATPEGILHLMNIKGLTAKHIGSHLQKHRLCHRNAKQGGQRQENASRKPIHELTISAHDEATSESITPRVETDGEVYPLRLRTMIKKRSGDTAALKTDMYTCTSGNYREDTESVYEGDPNEAPETPGGASIEISGSSSFTSDQEGENNRAETYRDNNTVGSIDFLEGSGASIEKLWFKQPVSKWSTYRAYLGTSGSGSLLTSDQLRQNGYAEATRDNNNLGSINLPQGRSRTKRENNRTEFARDNDHMENINLLEGIVDIDLLED >Sspon.07G0006930-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7B:15967958:15969584:-1 gene:Sspon.07G0006930-2B transcript:Sspon.07G0006930-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPATGTDPAGKCAAPNVLPRRGAEAEHKQKGGITSDSAIYDYRDPSCRAYLRAIKVTDGSGRCVPAPPIPGRDGAVVGDAGAAASSDLWPVCLPVRLGGGWLLERKAVVVLVPCREPMITRSCVLILRCDDGGGVSAITRTSDSPDRMSRPLVGIRGRDQHGQPLLTPGSHVAAMRDGPTHPHCAPRAFTRVAYPRRAPMPHSALAAAALLHLAPSLPLRAACSHPSRAHTCPAEPRPMLSGVDGGGARVLPFAAGFDPDAGNRPALKELAREPWRLRCREEAQAARCRCGRGGGVIGAEETGAKRCGRGGRGAVVEETRPSHPDGVGSGAEGTGPNTGSGSGSSADGKASTGNARIRATMAEQVRVSGCATVRMSGH >Sspon.04G0035130-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:2786931:2787182:-1 gene:Sspon.04G0035130-1D transcript:Sspon.04G0035130-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTSERRQPRSRMACPMISSARPLAYTSALSKKLTPASRHACSSALASFTSSWLPKVTHAPYESWLTRSPDRPRFLYCILPCP >Sspon.07G0035770-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7D:12989482:12993373:1 gene:Sspon.07G0035770-1D transcript:Sspon.07G0035770-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPIRPPRAQNAPGAAGGGGGVNAPSALSAPVRPPLAPSAHRRRSTAPERWLTARSGRFTARPTRRRLAGPDRRLNARESRFTARFAGFKQHLAGHGADTLHCDRVPPDVRAYFRRDLDRAKKTTADRARQRLAREKAAAEGNHPLGDDEYEAQMKEALNQSRAEYDYEQRVQQRGGNYDRGGGSGGGGGSHDGNPIERLFRRAGSRRETPVEVEDYHVAAGGRRGMHQQRIDTGSWTQKEKNAKEAIGKAWGKFFHYVGIPGRNADNPYFEIRKVVEEIGAEHIVHVVTDNGANYKKASNALKEEYGHIVWTSCLAHTINLMLKDIGNRPDHAGMLQVCKRISTWLHNHSQLNHMMKKTIGGELVKWNGTRFGTNYMFLESFNRKREKFMQWMGSPEFLESNWARTEEGRYAHVRLSNIQWWEGLEYIINTVQPIYKFLRFADQDKRPNMCDVVYEYQNCKQELESFFGRNAQTWNEYKQIMDARIRDVYIGTYMGAAAVLNPKLTYSLDPTPTIFGGLKETFQQMTDVQCAVQALQEAEIFRQKLGEFGSELAMKMATDPRTTSSAWWMIFGRDTPNLQRLTLRLVSQCISSSRCERNWSTFALLHTKVRNRLSHKKLNMFVYVNYNLPLRLADVNPRRYDEEDFIDRFAEVSFYDRSNPVREWMEYGRSNLPPVLDEDSDEVDVPLPSHLVSDQIDPEDLRQATGHDCISDWARRNVGESHLGKRKMQMDSGDDNSSDDDSDNDGAGGSDGGGGDGTDGGGGGSGAGTIGVGGSSYARPNSHMLLKTVTMDNRHRRGDWRAYAPTDYDTPQYSSSSYSDTSQPIYSYPISDMTMQTQTRWVYEWKDPSFYNMLV >Sspon.05G0009950-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:19780119:19794804:-1 gene:Sspon.05G0009950-3C transcript:Sspon.05G0009950-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPTAPLQAAVYSSAGLPAAAPSGGLPAAATYGGMTAATAPLLQLAASPSSAALPAPGQHVALALASDAAAAAAAAGLGLSAAPSSAVLYAPPPVSVPQIAEASMRTTCDLGAMSSKASLLVSKRKLIALSAFCLSLHSSRYFPALALGDTSVKIEDVTPKIFPSGPLFPTEKRIAELFETNTYSVVNIFDATLRPQLNVTGVVEIPEGNGSGVVWDDSGHIVTNYHVVGSALSKNPKPGDVVARVNILAAEGIQKNFEGKLVGVDRAKDLAVLKVDAPTDLLKPINVGQSLALRVGQQCLAIGNPFGFDHTLTVGVISGLNRDIFSQAGVTIGGGIQTDAAINPGNSGGPLLDSKGHMIGINTAIFTQTGTSAGVGFAIPSSTVLKIAPQLIQFGKLPLVRMVRRAGLNVDFASDPIAYQLNVRNGALILKVPGGSAAAKAGLAPTGRGFAGNIVLGDIIVAVDGKPVKGKSDLLRVLDDYGVGDQVTLTIRRGSETLEATLPLLIMRCCARG >Sspon.07G0000530-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7A:1190604:1197950:-1 gene:Sspon.07G0000530-1A transcript:Sspon.07G0000530-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRGVKPVHRTCLQLERIIVERHRSGSLGLEDALNLFDELLPQARPASVHAFNRVLTVVARGDSSSSQRHGAALAVSLFNTMARAGVNKLAADACTFSILIRCFCTVGRLDFALGAFGQFLKTGWRVQVMALNQLIKGLCDGKMTSEAMDIVLRRMPELGCTPDVFSYTILIKGLCDGKRTRDAMDIVLRRMPELGCTPNVFSYNTLIKGLCAEKKSQQALELLLRMTADGGYNCPPNVVSYNMVIDGFFKEGEVDKAYTLFHEMLGRGFPPNLVTYSSVIDGMCKAQAIDKAEAVLQQMFDKGVMPDSWSYNNLIRGYCSLGLLEEAVRLLKKMSRRGLQPDAVTYSLLIDYYCKIGRCTEARNIFDSMVRRGQKPNAATYHTLLHGYATKGALVDMHDLLDLMIRDGIPLEHRVFNILIRAYAKHETVDKAMAAFIEMRQKGLRPNVVSYNTVIDILCKTGRVEDAMSHFNQMVSEGLSPDIISFNSLIHGLCTIGEWKKVEELAFDMINRGIHPDARFLNTIMDSLCKEGRVVEAQDFFDLVICTGMKPNVVSYNILIDGYCLDGKMDESIKLLDRMVSIGLRPDNVTYNALLNGYCKNGRVDDALALYREMFSKDVKPNAITYNIMLHGLFQARRIVAAREFYMKMVDSRTQLGINTYNIVLGGLCENSCVDEALRIFEGLRSKEFQLEVWTFNIMINALLKVGRIDEAKGLFSAIVLSGPVPDVITYSLMMQSHIEEGLLEESDELFLSMEKNGCVWRNRLNRHIIDNTRLPLTLSIPIMNQVPGPRPNLDSKPPHLRPGLKVVLRPPPIPASNQSVRKEPEPMTREGYAPGLALGRHGVNKVSRRLRNLLRCKDLLDHLHDLLVLLVVDGHHVRLQLVHYMHAMMVGAERKLLDNAVDRSSVQYDSWMDEETSFWMDRNHTNSNLQYRLLMQNLQELETSLAGKDLKMLENDIFVRIEQLGALRSFTASMTRVSERASLEKMREKMVKEGQEVSYHRWAEGAGVDCGRGKADDQAAGRILLPREAGGDHRVARQTGKMGVLDGAEKFDSRKGCRFYTYVKYWIRKGMLALLAENSGVTLLPVSLSLQPSARKPFATGLITMHVSNVRLVRKCSCRAVSLYSEIGIRQHTKFAVSTSTITASCFAAASPEAPNEATLFWGQLRERLLLVLGRLPAREGQVLRLRHRLEDGRCRSLEEIGGIYRVSKEWIRKIKKPALEKLRDDEDVRRDLHADLLCAPMEIIWVVDSIIIE >Sspon.03G0023990-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:91696483:91699747:1 gene:Sspon.03G0023990-3C transcript:Sspon.03G0023990-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADHARLLPALAAVLLCCCSLAQCRGGGGGGGGQNYTSMFSFGDSLTDTGNLLVSSPLSNHIVGRYPYGMTYFHRPTGRCSDGRLVVDFLAQAFGLPLLQPYLQSRGKDLRRGVNFAVGGATAMDPPFFQEIGASDKLWTNLSLSVQLGWFEQLKPSLCSSPKKCKEYFSKSLFLVGEIGGNDYNYAFFKGKTLDDAKTYVPTVAAAVTDATERLIKAGATYLVVPGNLPIGCSSAYLTLHPGRNSSDYDAAGCLKTYNDFAQHHNAVLQQNLRALRVKYPQARIMYADYYGAAMSFAKNPKQFGFTEGPLRTCCGGGGPYNFNPKASCGVRGSSVCTDPSAYANWDGVHLTEAAYHAIADSILNGPYTSPRLV >Sspon.01G0034120-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:1100086:1104681:1 gene:Sspon.01G0034120-2C transcript:Sspon.01G0034120-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKVHAGRRQPAAPPPLRSNPRWPLLQSAQNAAGDRGARDPHPGPRPGDPSVPAATAEALLAALPSPSNPTPCLRRAVLLRQLAADPVSASSLKIIHLLALLPVSPLPPPPPSPPPTSPSRHTSRPPHPTSMPPPGSSSRAPTATRSTREVPPVLASDVVIATTDQFEAAVGNSSSQTILRGLWGNRAATEERVSELLTAEWAAIGSSKLVTAAERIVGDGAIETWRAADEATRAKFRILAGEEKTREILAKIEESTYNTNPISTPAVEKMIDALKTSCADLHSVVEDPLPAAKAVADEVLDARMDKGVSLNAEEVGGQPTTCGVWEDSPDVEGSESSLRRPRLPSPRRIPPSPLPTTENKSKRRRARKWSSLEEETLRKGVQQFGIGNWRDILNNNLDVFIGRTTDSNGMFVWVDLAD >Sspon.01G0016960-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:60639631:60642903:-1 gene:Sspon.01G0016960-1A transcript:Sspon.01G0016960-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSVETHILCRVRLPLLLLFVCSWWLLPQSDARRTLAAPGLPIRAVNLGGWLLTEGWILPSLFDGIPNKDLLVGTFLSVNASNRESHGEGAKLDRDRRPTRDGTQLQFKSVTLNRYLVADQGGGVAILADRVQASGWETFKLWRINETTFNLRVFGNQFVGVNSTGGVVATATTPGPSETFQLVRWDSDKTRVRIRAPNGLFLQAKTMESVTADHNEDTDWGDDDPSVFLTNNVGGLQGEYQICNGYGITKATQVLRKHRSTYINQRDFSFIASSGLNAVRIPVGWWIASDPYPPRPFVGGSLQALDNAFRWAEKYKLGVIVDLHAAPGSQNPYEHSATRDGSQEWGTTDANIAQTVQVIDFLAARYASSPSLLAVELLNEPLAPGATLSSLTKYYQDGYNAVRRHTSAAYVIMSNRLSADATELLQFAGGFSGAVLDVHYYNLFSSVFNNLTVEQNIDFVRNNRSSDLAAVTNQNGRPLTFVGEWVAEWDVQGANKTDYQRFAQVQQDVYGRATFGWAYWTLKNVNNHWSMQWMIQNGYISLKT >Sspon.01G0016990-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:61362790:61364692:1 gene:Sspon.01G0016990-1A transcript:Sspon.01G0016990-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MYTARKKIQKDKGLEPSEFEDSVAQAFFDLENGNQELKSDLRDLYINSAFQMDVDGARKAVVIHVPYRLRKAFRKIHVRLVRELEKKFSGKDVVIVATRRIVRPPKKGSAVVRPRTRTLTAVHDGILEDVVYPAEIVGKRVRYRLDGSKIVKIFLDPKERNNTEYKLETFTAVYHRLCGKDVVYEYPLAETNLS >Sspon.02G0055370-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2D:4375778:4387246:1 gene:Sspon.02G0055370-1D transcript:Sspon.02G0055370-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYAMAHKGKATSDVSYNPDDPPEAYSNPTAYNRISEYVSATRTLHGDDYDPSSQDFDSEVVMRIGGGKKHGRYYLGDGLIDVTSTPSLSQIRAQSTGASIPIRPRPSPALAQVEALKAQVQALEATIAAQKEEERLRLEAMEAQRVAELQRQQQQMAEFLQYMQAVGKKTGVDVPASLLAMPPPPPTPLVHATPPQSAGSNNPLLGPSNEAAHGTPQSQWGAPRSRVYSCEDKGVDRSQQGVPLPGVSLIALSHDESVLAACTDTEIQFFSLVSLLTHKDVVPSSSWLGPAGTVKDFKWLNHASASYIVLSNGGFLCHGSLGGLKDVMENVDAVDSIGWVHGDSIVIGCVRLNEDHNEEGYLVQVIRTEESTFCESPGKPDVYTYVDFFHGIMDDVLPSGVGPNMLFGYLHRWDLMVASNKKSIEDHIALLKWPSTHDDEITYLEMLEDKYSPRIELQENGDDNIILGFGVENVSLFQKITVTVGPEHTEVAPQHILLCLTAEGKVAVYYIARISDPSDLPHATVSTYEDYGEKHISPATLSEKELTPSVTNLVSKSTLTEHGAEPSRAQIGKWLGYAGEILVLFETPSGFAIFCYDGTRLFLPNAIQKIWADFAKDHIAKHRISCIFEDTVMELMWGLNNLVKSLIPEEKLELTKEDRLPMSKGMVNSSIIEMAYALYECDLCENKNAQSLQGVVDLIKRVSDIDCNDWDCLKVVSAVKIICYPKTQDSKMFSEDELSKLLALAPSLAGQFYVNTCLEIYKNIVFARGIRDEARRRLKFLVEEGEQSDLIEKISASVLSEKTKPER >Sspon.06G0021520-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6B:16795753:16796560:1 gene:Sspon.06G0021520-1B transcript:Sspon.06G0021520-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GQMSLDALRRELAEETICQEIIAAELAEQRKLESEVRRELGLEPAGPLSLCARAGLQLTTLPHHDTSPVRQGGLLHLRMPMLPESCLEEGLLTPGGMPVPRRSVKDRIDEWYRPPWHRLANEDALVEWIYLDLASHESKFFIFLS >Sspon.02G0011860-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:25843588:25853968:-1 gene:Sspon.02G0011860-4D transcript:Sspon.02G0011860-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATQTGVAASKVLILVGAGMTGSILLRNGRISDVLGELQEIMKGVNQGTASGPYDIALIQAQVVYHPTYCQQLQLEQWVIATCGGRFKGLSLSDVMFVTKRNMANAVQSMSKQLEQVSSALAATKRHLTQRLENLDGKMDEQVEVSKAIRNEVNDVKDDLSQIGFDIETIQQMVAGLEGKIELLENKQDVANTGIWYLCQVAGGLKDGINAKFFQEASEKLKLSHSAPPENKPVKGLEFFSESAKEQKVADSKPIAVAIDAENPKKTTAVKGTAVHRSIRFSYRKEGLAL >Sspon.01G0038970-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:20546877:20559144:-1 gene:Sspon.01G0038970-2C transcript:Sspon.01G0038970-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAMTSSSPQPPSPSHRRRRRSQPTTPTPTPNANPKPKLKPRTKALPLLSDVGVGRDPAAIKYYARVASNLAGAGRLREFLLAAEGLRAAAGDDPSFAARISARLLSRGVAAAVSERGLPFVLEFFRDAERVRVPAVEMLDADASDAVAGACRMLLEERRMVEFVEVVEALSRYGFYVQGIVNPMDVLKIFVKQRDPDIAMRTSLEASICLHAEGLLADKITPNTYVFNSLMNVNAHSLSYNFSVYKHMQNLGVPPDLISYNILLKTCCNAREFNLAQEIYEEMKKKERDGLLKLDVFTYSTMMKVFADAKMWKMASNIKEDMQANGVRLNLVTWSSLINAYANSGLVDRAMEILEEMIRDGCQPTAPCFNIILTACVKSCQYDRAFRLFYSWKESGIKISLSPEQKRGLHGGFTFCKEYPSNGSTILVVPFRPTVTTYNILMKACGTNAQHAKSVMNEMRRNGLCPDLISWAILMDIYGTSQNRDGAVQALRRMQRVGMRLNVSAYTVAIKVTYKTLLAARSSYGSLQEVQQCLAIYQEMRKAGYKVVTVLQKDTDQNQIVDVRGLSKVEARIVVLSVLRKIKEQYLLGRVVQDDVVIITGHEKTSRTEAETNAVDVVQAIVTVLTADLGLEVLIGPGSCPPVSSKPKAPTKSRSNLEQISKEFTRRPQGMIKIPINSLNHWLKKKAVRIAE >Sspon.02G0052860-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2C:97814521:97815294:-1 gene:Sspon.02G0052860-1C transcript:Sspon.02G0052860-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RRCSLPHLAGQAGVRPSRARCCAAPPSAWFLCAVRSSPRLCSLLRRAGHAGVPRPLVSAQAMPASAQAVLAAAPRGRCPGCARCRDAIQSGHADIAQPRPTTSSIARAYSGIPFGAGRAASQAALSIRASLAPTPSLQLRSPAALAAPSAPPRSPLPVRASRVPAPSLWACSPAVLAAPPLSPSALTTPPRRLAVHARPRWDFCLLRSLALAALTRVAVLAVLVRWLRSSAPSCCVALAMLAQATSPELAALACSPC >Sspon.05G0007790-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:25861094:25863252:1 gene:Sspon.05G0007790-2D transcript:Sspon.05G0007790-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEGAGCTAEPSGRHTIILMQPSQNRSTRTFMDYDSVNHALDGICGLYERKIRNINPMVRNLTYDISDLYNFIDGLTDISAL >Sspon.02G0020990-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:68600094:68603391:-1 gene:Sspon.02G0020990-2B transcript:Sspon.02G0020990-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRPASWEQGGDEYDYLFKVVLIGDSGVGKSNLLSRFTKNTFALDSKSTIGVEFATRTLQVDNKTIKAQIWDTAGQERYRAITSAYYRGAVGALLVYDVTKVMTFENVKRWLKELRDHADSNIVVMLIGNKIDLRHLRSVAVEDAASFAESEGLFFIETSALDATNVEKAFQTVLAEIYRIISKKPLSSEESASGSGNLREGQSIQVSATNSNALTSRCCSS >Sspon.07G0005550-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:14326875:14336350:1 gene:Sspon.07G0005550-1P transcript:Sspon.07G0005550-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDADVGKQVQQMVRFILQEADEKASEITVAAEEEFSIEKLQLVESEKRRVRQEYERKEKQVDVRRKIEYSTELNAARIKLLQAQDDVVTGMKESAGDALLRVTKDANAYKRVLKGLIVQSLLRLREPALVLRCREADRSLVEAVLEVAKKEYAEKAKVNLPRSSSTASSGGVVLASQDGKIVCDNTLDARLGVSFRQKLPEVSVMCCICAFGFQSYGQKIEMYWFFSFLKSNNSTETVEPPPALLEVEEPLPVEIVLLERTLLDGRTEQILFSSAGDVDVYDLQALCDKVGWPRRPLSKIAASLRNSYLVATLHSIIRSSETEGEEKKQLIGMARATSDHAFNATIWDVLVDPSYQGQGLGKALMEKVIRTLLQRDINNITLFADNKVIDFYKNLGFEVDPQGIKGMFWYPRF >Sspon.06G0005990-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:18089484:18092877:1 gene:Sspon.06G0005990-2B transcript:Sspon.06G0005990-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAVPSRVALSAASRIPNRHAVAGDRSFIYKGRCQSLAIPMALSAAAPGKGGGVLDRPVEKTTPGRQSEFDVKKSRKMTPPYHVILHNDNYNRREYVVQVLMKVIPGMTVDNAVNIMQEAHVNGLSVVIVCSQSEAEEHCTSLRGNGLRSSIEPASGGC >Sspon.02G0041050-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:73795720:73808810:1 gene:Sspon.02G0041050-1B transcript:Sspon.02G0041050-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMLELVLFLSQSCLLFLHADATGLHGGVHLRSQEAALLQWKATLQSSPALDSWETGTSPCSSNWTGVACGFMHRGRHAPLVLVVTNISLPNAGIKGPLGELNFSALPFLSYVDLSYNSFHGEIPTAITSLPMLSFLDLTSNSLRGQIPSAIGNMGGLMQLGLSLNNITGRIPASLGNLTMLVKLVIHQNLISGPIPEELGKLTSLDYLELSYTLLSGQIPESLGNLTKISILQLYSNQLSGPIPPSLGHLKTLNNLALSNNLLTGQIPESLGNLTKLSVMHLYSNKLSGPVPSALGNLINLLDFELADNQLRGPIPPTFWNLTSLNYLDLSVNQLVGSIPNEVDALVDLDTLFLSVNQISGSIPASLANLTRLRVLSLFSNMLSGPLPREFAKLTDLAQLSLLNNSLSGQLPYDICKGGKLQEFSVAKNMFSGPLPGSLKTCRSLKKLSIAYNQITGDISSFGPYPELVKANLQSNYLRGNLSNSWASSVNLTMLAIGENLITGSLPPELSKLVHLEVLLLNSNNLSGNIPPELSNLDNLYRLNLSKNQFTGRIPTKFGQMSNLQYLDMSVNKLSGLIPQEIGNCSKLQSLMINHNSFSGNLPMTIGNLRNLQIVLDVSANNLTGGLPVQLGNLAMLELLNLSHNQFSGSIPSSIGSMVSLSALDVSYNDLVGPLPAGRLFHNASAIWFLHNKGLCGNISGLPTCSSNTITKYHKARTPSLVPAISIPVCILTIFTITAIVIMILQKMRRPQQAIVADTRDVLSVWNFDGKLAFEDITRATENFSDRYIIGSGGYGTVYKAQLQGERLVAVKKLHPTEEEISDEKRFLSEIEVLTTIRHRSIVKLYGFCSHTRYKFLVYDYIDRGNLHGILENEELAKELDWQKRVAIARDVAQAIYYLHHECNPPIIHRDITSNNILLDAAFKVYVSDFGIARILKPDSSNWSELAGTYGYIAPGIYFDAELSYTSVVTTKCDVYSFGVVALEIVMGRYPRELQTFASIGEHHELAVEDMLDQRPSSPTMVEQEEIAQLVEVAFSCMKTSPQSRPEMQELNFSALPFLTYIDLTYNSLHGKIPLSITSLPALSYLDFSFNWLYGSIPSEFGNMASLSQLGLRCNNLTGHIPASLGNLTTLVTLITAQNLFTGPIPEELGKLNNLEIMVLGQNPLSGRIPKILGNLTKLSNLSLPNAGLDGYLSEINFSTLPFLTHIDLSYNSLRGEIPLSITSLPALSYLDFGFNWLHGSIPSEFGNMPCLSQMGFSRNNLTGQIPKSLGNLTKLNILHLDSNNLSGPIPHGISLLPNLSMLDLHFNLLSGPIPSSMGNLTTLNFLNLGDNQFIGSIPTEIGALVHLDSLYLSMNNLSGSIPATFPNLTSIGDLCLFLNNLSGPLPQGFANLSYLTRIELGHNSLSGELPSDVCKGGNLQKFAVSHNMFTGPIPRSLETCRSLKFLGLGSNNMTGDISSFGPYPRLVQATLYRNNFFGYLSKTWASNINLTILAMEENMIIGSLPPEFSNLEKLEVLTIHANNLTGSIPKALSNLANLYLLNLSKNKLSGNIPPEFGQLKNLQYLDISANKLSGSIPQELRSCTKLISLSINDNNLSGPLPTTIGSLGGLQMLFDVSKNKLTGKLPVELGNLVMLEVLNLSHNQFSGSIPSSIGSMASLSALDVSYNDLEGPVPAGHLFHNASAKWFLHNKGLCGNISGLPECSSTPIKEYHKASTHQLVLVASVPVCIVIILSIVVLVMILRKRKRPQITATTNTRDVLSVWNFDGKLVFEDITRATENFSDSYIIGEGGFGTVYKAQLQGGRLVAVKKLHPTEEGISDEKRFLSEIEVLTKIRHRSIVKLYGFCSHPRYQFLVYDYIERGNLHITLETEDLAKELDWKKRVAIVRDVAQAIYYLHHECNPPIIHRDITSNNILLDAAFKAYVSDFGIARMLKPDSSNWSEPAGTYGYIAPELSYTLVVTTKCDVYSFGVIVLEIVMGRYPRDLQFIASMEQHHELAIEDILDQRLSSPTMVEKKEISLLVEVAFACLQSSPQFRPEMQDVYKKLVLHKPPFVSPSLAHTREETID >Sspon.03G0039830-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:6772356:6775724:-1 gene:Sspon.03G0039830-2D transcript:Sspon.03G0039830-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAPSSRALALGAAFLLLLVALPSAFLYLTSSAAPAAATRATLLNLKPFSARCAPAAAAAAPLRVFMYDLPARFHVAMMGADAGAGAGFPAWPPSAGGIRRQHSVEYWMMASLQDAGAGPEGGREAIRVRDPDAADAFFVPFFSSLSFNVHGRNMTDPDTEADRLLQVELVDILWKSKYWQRSAGRDHVIPMHHPNAFRFLRAMVNASILIVSDFGRYTKELASLRKDVVAPYVHVVDSFLDDDPPDPFEARHTLLFFRGRTVRKDEGKIRAKLGKILKGKEGVRFENSIATGDGIKISTEGMRSSKFCLHPAGDTPSSCRLFDAIVSHCVPVIVSSRIELPFEDEIDYSEFSLFFSVEEALRPDYLLNQLRQIPKKKWVDMWSKLKNVSHHYEFQYPPRKGDAVNMIWRQVRHKIPAVNLAIHRNRRLKIPDWVLH >Sspon.03G0013160-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3A:36562881:36563321:1 gene:Sspon.03G0013160-1A transcript:Sspon.03G0013160-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIPGNGHLPASASLPDHSSASDSDAEPESDYRPIAGAVSDSDSESDPDPDPDFDAAVPHHRLDEAGNGISALALASDEDEAAEDVEAEDEDRDLRPGEAAARAFSEDERRRRAPLPAGAAARIVDAMRGVEFPGTPPAWAGSVPED >Sspon.02G0034140-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2B:10572904:10576128:-1 gene:Sspon.02G0034140-1B transcript:Sspon.02G0034140-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPQPLRAPAGHAACRCSAAPLFGKRAPLVVAFPRAGSGGAVVVSCSAVQESSTSTTVSKNKDAADGAKEATAAAGKPAAAAKPKKAAALPLPEMMQQEIIPPLKAALEAEDDVSQVQLAFQNNTLEGSFIKDDVPYYFWAFFPKGDLTGPKGFALSSYSNEVSTIEPFLIDEKRITAKYVVFWVYKRLAGQGILPVWKEEEGEEDAKYSFKCFICLLLDDANDISGYFKSGLSIALGCAWEGGRNVSGSHAGDFPGSAPPHGCTKRGRKRATSRC >Sspon.06G0008290-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:43638698:43646934:1 gene:Sspon.06G0008290-1A transcript:Sspon.06G0008290-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEVVSQILEKQVLSAAKAVEDKLDEEIAALGRLDPDDIEALRERRIQQMRRAAERRAKWRALGHGEYTEIPEKEFFAAAKASERLVCHFYRDNWPCKVVDKHLSILAKQHVETRFVKVHAEKAPFLTEKLRIVVLPTLAIVKNAKVEDYVVGFDELGGKDDFSTEDLEERLAKSQVIFLDGEGSAYAAKQAAATKRSVRQSDTGNSSDSE >Sspon.03G0006020-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:16760821:16767261:-1 gene:Sspon.03G0006020-1A transcript:Sspon.03G0006020-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAIVRAAQAPSADRRLSTLVRHLLPSSPRRTAADTSATLESFPTMASQGSSSVFAALAQAPEDPILGVRPSPQPRLSFLSSTLPVIWLSLSVARFRRDRAASWVTVAYNKDPSPVKVNLGVGAYRTEEGKPLVLNVVRRAEQMLINNPSRVKEYLPITGLAEFNKLSAKLIFGADSPAIQENRVATVQCLSGTGSLRVGGEFLARHYHERTIYIPQPTWGNHPKVFTLSGLTVRSYRYYDPATRGLDFKGLLEDLSSAPSGSIVLLHACAHNPTGVDPTIDQWEQIRQLMRSKSLLPFFDSAYQGFASGSLDKDAQSVRMFVADGGELLMAQSYAKNMGLYGERVGALSIVCGSADVAVRVESQLKLVIRPMYSNPPLHGASIVATILRDSEMFNEWTLELKAMADRIISMRQQLFDALKSRGTPGDWSHIIKQIGMFTFTGLNSEQVAFMRQEYHIYMTSDGRISMAGLSMRTVPHLADAIHAAVTQLK >Sspon.01G0062080-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1D:100231555:100231988:-1 gene:Sspon.01G0062080-1D transcript:Sspon.01G0062080-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding KAPYFPQLYDEHGLYFSRAFLRTLRLIRFLGRALFSLYTTPGAAELGAGAVQSSPLCRKRRHQKRACPYSRREKGSLCLAKPPQNAMQETPALCKHTGRDAECGCMDCTENFEAAEQTAERPDVREMFVDWRCECEMNARHDR >Sspon.05G0038390-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:41683217:41686879:-1 gene:Sspon.05G0038390-1D transcript:Sspon.05G0038390-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHLKVTVRGLPPHLLVTRTLSRVFANKVVMMHQALCKENLTATFRTYASQEDIPDTWNVAIRKGSMLYMWPIWMEVEGYTLGPDSAIWTQQNAMSNTPFRYTLFPKDGAGTSGQAGGGGQEGGGGQQLALLQLGYTIQSCGSSSGDSSFALTPDSPPDSPLYGEDDPFAPLAPLSRTPSWDQMTKLRRLNPKMWRHGKRQTLKKVVPDAMTTCPSSVKFSTAT >Sspon.04G0007260-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:20782887:20784040:1 gene:Sspon.04G0007260-1A transcript:Sspon.04G0007260-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPAGCGLLLCLRRDKGEASGRTDARVADEPASSSSSPSLGKGGSGAARRFAWAEIESVTAGFSSRVIGQGGFSTVYLACLSSSRLGAVKVQRSSERLHRAFRQELDVLLWLRHPHIVRLLGYCDEREEGVLVFEYAPNGDLHERLHGRGGKATPTLPWARRMAVAFQVAMALEHLHESRDPAVIHGDIKASNVLLDANLDAKLCDFGFAHVGFSAALQPPPDNNAAAAPSSRAPASARPVPVMGSPGYVDPHFLRSGVATKKSDVYSFGVLLLELLTGREAMCADTGRRLAAAVGPTLLGEGGGKVDDVVDRRLGGEYDTHEAATVAALALRCVSEGPGMRPSMAEV >Sspon.06G0011050-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:46990915:46991169:-1 gene:Sspon.06G0011050-2B transcript:Sspon.06G0011050-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFCLVRRLFPFLLGTAVGAYVAQNYRVPNIRGLAERGIDDARRYEEAYRRKPATSSDAGAGTTSGGSRKKKAAAVQVDKDDDEE >Sspon.06G0009670-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:39790103:39793319:1 gene:Sspon.06G0009670-2D transcript:Sspon.06G0009670-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ASDNTFSEGGSKAKELPDPFALIKDEVSEVTDRLRSMVVAEVPELTSAAGYFFRAGAEGKRTCPTVLLLMASAISTDTSDPIVGLENKPRARHMRVAEITEMIHISSLIHDDVLDNAYTRRGMDSLNFTVGKKLAVLAGDFLLFRAFSAAVALDNTEVVSLLATAVNNLVTGELMQLTITPAQRCSMDYYLQKTYYKTAALISNSCKAVAVLSGQTTEVQALAYQYGRHLGIAYQLIDDILDFTGTSASLGKASFSDINQGIVTAPILFAMEEFPQLREIVEQGFDDPSNVDVVLKYLQKSQGIERTRLLAAEHAKLAAVAIEDLPASEDPVVLSSRQALKDLTQKFLRRTK >Sspon.07G0002120-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:5109442:5112290:-1 gene:Sspon.07G0002120-1A transcript:Sspon.07G0002120-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGIDLNDTVEEDEEEAEPGNACSQQSRTSSAATPPPPQPRPGAAVCLELWHACAGPVAPLPRKGSVVVYLPQGHLEHLGDAAGGGAPAPAALPPHVFCRVVDVTLHADASTDEVYAQLALVAENEVRGSHSAPPALDSDVARRLRGGSEDGSAGDGDDGETVKQRFSRMPHMFCKTLTASDTSTHGGFSVPRRAAEDCFPPLVRLESFHLLGARLVLSTAILNLICSWGVQDYSQQRPSQELVAKDLHGTEWRFRHIYRVSVNDVEWFALQSCSNLGSLANVAHAVATKSVFHIYYNPRLSQSEFIIPYSKFMKSFSQQFSAGLRFKMRYESDDASERRCTGIIAGIGDADPMWRGSKWKCLM >Sspon.01G0009030-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:25157963:25162954:1 gene:Sspon.01G0009030-1A transcript:Sspon.01G0009030-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRATLPLALGLSLVALLAARGADASIHEYAGGGFAPRANSFFFHGGSEGLYASDPSSNSAASFIRFDTVVFRRTLESASRHEEMQQKTGLVEAIIVEIQDRDKIGGSYLHSDAICCTPELDKEKSCRVGEVIIRPNPENPDWPKRIQTFFDGKNEETTMVTQIVSINKTGMYYLYFMFCDPQLKGLKITGRTVWRNPQGYLPGKMAPMMTFYGFMSLAYLALGLLWFIQFVRCWKDILQLHYHITAVIALGMCEMAFWYFEYANFNSTGTRPMGITLWAVTFTAVKKTVSRLLLLVVSMGYGVVLPTLGGITSRVAALGFIYFVASEALELVENLGNINDFSGKTRLFLVLPVAVLDATFIIWIFSSLSRTLEKLQLRRSMAKLELYRKFTNSLAVSVLISIAWIGYELYFNATDPLSELWQRAWIIPSFWNVLSYALLAIICILWSPSRNPTGFAYSEDAGEGADEEGLSLVGSAVKGTGDMVNMHIFPEDKRA >Sspon.02G0000890-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:3674995:3677586:1 gene:Sspon.02G0000890-2D transcript:Sspon.02G0000890-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAYEATKVVFSRIQALDPDHAAKIMGFLLIQDHGEKEMIRLAFGPEALLHTVMAKARKDLGLLPAPGSGPGTPTSAAAAHSPFLLSRQNSGRCGGGGAGTAPSPLSVSSPSSWAPPPVFSRSNSVVSNGAAADEALAAGVGDDLMSPAAGGNAPPSPFFAAGDPLLDELQLQEQLAFLNDAAGGHQLPLFDAASECRSPGAGDAAGFFPYGGLGWANGGGPGHRRSSSVSELCLGGADGLGWKPCLYYARGYCKNGSACRFVHGGLPDDATALTGAKMDTATLEQQCQDILLRSKSQRLAAAAFPYSPTGSLPGSPSAATKCLSLLLQQQQQNENQRAAAAAAAAALMLGGDDAHKFMGRPRLDRADLASMMNPGSRQIYLTFPADSTFREEDVSNYFSIYGPVHDVRIPYQQKRMFGFVTFVYPETVKLILAKGNPHFICDARVLVKPYKEKGKVPDKKQQLQGERVDFSNGLDARDHFDLHQLGARMLQHSHSANEMLLRRKLEEQQQQQAMELQSRRLMGLQLLDLKPRSSPSPIGMPFSPTRAVESPPDSAGEQGKGSGGFLLPQRRAVNGGDKEESAGDASPNADSDQSAEHNLPDSPFASPTKKSAAAAYSRGPFAPSDSEIPAAAGRNAAPFAGINNGGLTGHRRPSVLDIPSPKPYFFPMS >Sspon.08G0006150-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:16521557:16523854:1 gene:Sspon.08G0006150-2P transcript:Sspon.08G0006150-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTVERQQLPPSWQGNGDADAEVEVEEDHQWPTNDGPLPIFLKFENVEYRVKMTLKNPLRAAKVAFASQMRVDQGSSCKHILKGIAGSVDPGEILALMGPSGSGKTTLLKILGGRLGGGIKGQISYNDTSYSPCLKRRIGFVPQDDVLFPQLTVEETLEFAAFLRLPACMSKQQKRDRVPAHQDRRSVREGVSGGERKRTSIGNEILVDPSLLLLDEPTSGLDSTSASKLILILQRLAKKRRTIMTTIHQPSSRMFHMFDKLLLISDGHGIYHGKARDCMHHFSSLGFVPEIPMNPAEFLLDLAAGNLDDISVPEALRGSPDPQEFRSQVIRHLQLKYRAGGEPPAGRRAPTEQLRLAVRARKDHRRSIGWLQQFAVLSRRTFRERASDYLDKMRLAQAVGVALLLGLLWSKSQTGTEAQLRDQVGLIFYICTFWTSSSLFGSVYVFPSEKLYLVKERKADMYGLSAYYASSTLCDAVPHVVYPALFMAILYFMAGLRRTVPCFFLTLLATLLVVFTSQGTGELLGAATLSVKRAGFIASLVFMLFLLTGGYYVHHIPKFIRWLKYVSFMHYGFNLLLKAQYHGHLTYNCASRGGCQRLQSSPSFGNVELDGGMRE >Sspon.05G0003650-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:16077503:16081060:-1 gene:Sspon.05G0003650-2C transcript:Sspon.05G0003650-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRLFLLRRHTRLWQRQEPRSRSLCPSSYGGSLASSHGTLELLAHLPSSPSYTFHKVLNRDAGFFSWLQSCNIQAYHCIHTSRSVNSGNQATAEPQQNAGVSVPINDSGEPKPKRKTLKGRRAVTRFLKSLRWKKKKEIQRMTAEEKILYKLKLARKKEERLVAALKKIEPEDPSEPTHDPEVLTPEEHFYFLKMGQKCKNYVPVGRRGIYQGVILNMHLHWKKHQTLQVIVKTFTPEEVKEIATELARLSGGIVLDIQDGNTIIMYRGKNYAQPPPEIMSPKVSLSRKKALDKSKYMEKLRALRRYIPRLEQELEDLHAQLKLAGEHKGQSVGKHVASISHNTNSIPVRKEPCSSVHSKTVSHLLSGSVEGSKRLADESSEVEDDSASESLSFSESEDLSDIFETESEDQEEDKEDRPLYLDRLDKFPSEKNDNEPDDFEEHLRKIASLSDKTDSPSKELKVSELDEIDKIFLRASSLLKKRRIMQRSLISAKIRNDEVVLVVLRHLHPWLLALARYSVRLVEPGVARWLRRGEELGDLRRSGFGGRMGVEQVDVREPLQLLEPKRRIFLQQQTQNR >Sspon.03G0000980-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:14163904:14167688:1 gene:Sspon.03G0000980-2C transcript:Sspon.03G0000980-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVNNWLAFSLSPQELPPTQTDSTLISTATTDDVSGDVCFNIPQDWSMRGSELSALVAEPKLEDFLGGISFSEQHHKANCNMIPSTSSTACYASSGATTGYHHPLYHQPASSALHFADSVMVASSAGVHDGGAMLSAASANGGAGAAGANGGSIGLSMIKNWLRSQPAPMQPRVASAEGAQGLSLSMTMAETTQGAAGMPLLAGERGRAPESVSTSAQSGAVVTAPKEDSGGSGVPGASAGALVAVSTDTVVSGASADNTARKTVDTFGQRTSIYRGVTRHRWTGRYEAHLWDNSCRREGQTRKGRQGGYDKEEKAARAYDLAALKYWGPTTTTNFPVSNYEKELEDMKHMTRQEFVASLRRKSSGFSRGASIYRGVTRHHQHGRWQARIGRVAGNKDLYLGTFSTQEEAAEAYDIAAIKFRGLNAVTNFDMSRYDVKSILDSSALPIGSAAKRLKEAEAAASAQHHAGVVSYDVGRMASQLGDGGALAAAYGAHYHGAWPTIAFQPSAATALYHPYAQPMRGWCKQEQDHAVIAAAHSLQDLHHLNLGAAGAHDFFSTGQQAAMHGLGSMDNASLEHSTGSNSVVYNGVGDSNGSTVVGGGGYMMPMSAAAATATTAMVSQEQVHARAQGDHDDAKQAAQMGYESYLVNVENYGGGRMSAAWATVSAPPVVAAASSNDNMADVGHGGAQLFSVWNDT >Sspon.05G0023470-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:4420578:4431850:1 gene:Sspon.05G0023470-2C transcript:Sspon.05G0023470-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLACIACSSKEGGEDGSRAAATTPHHGKEAVKSLTSQLKDMVLKFSGSSKQCKGATAGTQSFRSGGGSRYHRPYPTFIDDTGFAPASKVLGDDYYPRTALEGVGGAAGGGARTAPSDTLDMTRSNRKSPGSSGWIPSKEAADDVISVEDAAVPREWTAQVEPGVQITFGTIPSGGNDLKRIRFSDLEATEWIEEDEPGVCLTSASSDGTRELRRIRFSRERFGEERAKVWWEQNRERIQAEYL >Sspon.02G0040680-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2B:71443807:71444745:-1 gene:Sspon.02G0040680-1B transcript:Sspon.02G0040680-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSMLCDKGYLSAEGVQSPVAESQLDMPVMELPPYRVRDLPSASGASLGPMREVISRAVMAVKTSSGLIINTFDALEADELASLRRGLAVPVFDVGPLHVHSPAASSSLLQQDRGCLDWLDAQGPASVLYVSFGSLASMSAVDLVETAWGIANSGRPFLWVLRPGLVRGAPPTQQPPPLPDGFDAETRGRGTVVSWAPQEEVLAHPAVGAFWTHCGWNSTLEGVCAGVPMLCRPCFGDQMGNARYVDHVWRTGVTLDGELERGKVEAAISTMMGSGESETGTELRGRARELSRRAAESVAKAGSSDLNVDML >Sspon.07G0013130-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7A:47713261:47714008:-1 gene:Sspon.07G0013130-1A transcript:Sspon.07G0013130-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VLEDGDLTLFESRAIARHVLRKHKPELLGTGSLEQAAMVDIWLEVEAHQLSPLVLAIVLECIVAPFYGRERNQAVVDENVEKLKKVLEVYEARLSL >Sspon.04G0010670-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:34401023:34403908:1 gene:Sspon.04G0010670-3D transcript:Sspon.04G0010670-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEARASPRPSISIGGGGGFGAGRGNDSAFSFLSKGWREVRDSATADLRLMRARADSLRTLADRELENLLASASTALTSAPPPPLAAGAPIAELEFVRTRIQPKISELRRRYASQERELGRRVLEGWAPRGAGGPARARVDLSGITAIRNAIVSEVHGGQRWRRAVWNGEAEAEEGKEWEVVRMIRDGIKEFERRSQTSEIFEGLRSTGELVEKFKSSLKSFNMESQGSKEVPPLDLPEILANLVRQSEPFLDQLGVRRDQCDKLVEALCRKQNHSLSEDTSLHVNDKSSDELDLRIASVLQSTGYHADDGFWSDPAKYEVSDNKRHVAIVTTASLPWMTGTAVNPLFRAAYLAKGTRQDVTLVVPWLCKSDQELVYPNSMTFNSPEEQEAYIRSWLEERLGFESNFKISFYPGKFSKERRSIIPAGDTSQFIPSREADIAILEEPEHLNWYHHGKRWTDKFNH >Sspon.02G0010500-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:28840520:28842469:-1 gene:Sspon.02G0010500-1A transcript:Sspon.02G0010500-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding IGNNALLKHSGSMAHKAAQERYIGFINPKVAIDYHIDKWTDEDLRLYKKRLTYSLRCIKFLLHQGLAFRGHDESEESSNRGNFIELLKFLAGNSEEVNKYVLNNAPGNCTLTSPKIQKQIIHCCAIETRKKIIEELGDEPYAILADESSDISHKEQLALCLRYVDKLGRPFLVAVAKGNTDCKTFFDQVSVLLNIVGISCKRHGMLRNARLENVNKALECGDLESGSGLNQEMGLPRPGETRWGSHYKTICSIITMYTSIHDVLIELGGDIAYKDDWTRIHFVLGAFENFEFVFFVHLMYVILGYTNELSECLQRRDQDILNAITLVNVAKSRMQQLRSDGWDNFLERVTSFCIAHDVEVPALDGAYVPYGKSARYARARNQTNDDHFRREVYIGVIDQISQELDNRFDEINMELLSCMSAFSPSNCFASFDAQKVRRLAEFYPKDFSKDDLLKLELQLDNYIDSVKRDDSFQGLDNIVDLSVKLVATQRHKVYDIVYLLLKLVLILPVATASVERVFSALAIVKTKSRNKLGDSVLDDCLVTFIERDIFFEIDEDDIIETFMSLRKRRINK >Sspon.07G0025190-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:38708917:38715391:1 gene:Sspon.07G0025190-2C transcript:Sspon.07G0025190-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAGYPHMQGSGSAASSSCRAADYSAGWDSAQQQKRQRCQGSSSNDQVGSSTENNSLEAPGIELKFDYGKNEEEDYYFEDEDDGCYDEDDEGSDYELETADYNQLLADKFDHLDLPPGVEATVPWLQKVERDGPGKFKSMSEIEEEIAKKYNFFKQFDTVEDFIDHKYAKNSVGNARKEWAKRIQHEWSLLEKDLPALIYVRVSENRMDLLRAVMIGPQGTPYHDGLFFFDAHFPPSYPTIPPVVHYHAGGLRLNPNLYACGKVCLSLLGTWQGKSCEKWNPAQSTMLQVLISIQALVLNEKPFYNEPGYERYANSAEGLGYALEYNDTAFQYSCRTMLYSLRKPPQHFEDLVAGHFRERGYAILAACKYYMEGHEVGSKVPEEDEDTKGCHNGEGSSSSTMAKPQQNKPALRTNRNASFKTNLEVLFEELLMEFNVKGANTARFRAEKLKNQLAAA >Sspon.06G0006520-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:22233529:22235864:1 gene:Sspon.06G0006520-3C transcript:Sspon.06G0006520-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSKTMDADGKKDFSTAILERKKAPNRLLADDGEGEVTIDNSMVALSPATAFQLEIFTGDLVLLRGKRRKDTVCYAVYDASCPDGRVRLNRVVRSNIRVHLGDIVTVKRLDEVPTGTRVQIAPFEDTINGISGDLFDAYLKPYFGNDALRPVCKGDRFIVRGNMHAVEFKVVDTEPADRVLVRPDTAIFCSDQPVKREDEERLDGPGYDDVGGVRKQLAQIRELVELPLRHPKLFQTLGVKPPKGIASESGAHFVVVNGPEIMSMMAGQSEANLRKVFENAEESAPSIIFMDEIDAIAPNRDKTHGEVERRVVSQLLTLMDGLRPRAHVVVIGATNRPNSLDPALRRFGRFDRELDIGVPDEVGRLEILRIHSKDMPLAEDVDLERIGKDTHGFVGADLAALCSEAALQLIREKMDVIDETIDVDVLNSLRVCNEHLKHAKEVTKPSALRERGLVEVPKVSWDDIGGLEDVKLELQETVQYPVEHPEMFEMFGMSPSRGVLFYGPPGCGKTMLAKAIAKECKANFISVKGPELLTMWYGESESNVRDLFDKARSAAPCILFFDELDSIAVRRGNSVGDAGGTPDRVLNQMLTEMDGINAKKTVFVIGATNRPDIIDPAMLRPGRLDQLIYIPLPCEASRLQIFKSCLRRSPVSRRVHLPALACLTAGFSGADITEICQRACKLAVRDVIQRSLKVGKAAAMRGAEIGIWHFTEALKHARRSVSDLDVMKHDFFAQRLKGGAGFEDEPNIAAPMGKEPLTITEIEDDDDSLLY >Sspon.04G0008130-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:36945537:36946376:1 gene:Sspon.04G0008130-2B transcript:Sspon.04G0008130-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GAACRGRVPGAAGGHGVGGLVAVREVHDGRQRAGGLQRGGAAGDVSHPEPAVRRRLQLPPEVPLRRVQHPDEAHPRQLRGDRHLLLPLLRRRPGPRRDRHGVHGQLEWPARGAQHQRVGQRRRQEGAPVLPVVRPGRRLPHLHHHLERQERHLQGGRSLHQVLQAVPGLAVPRREAHVGARHAVGRQLLGHAAGEGEGGLVRRALRRLLPGLLRRRLRAQRRRRPAVLPRRNRPLDEPPARRRRVGHRRLGQEELHALQLLRRRVEVPAGLPRRVLPQLI >Sspon.03G0000220-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:6082379:6085635:1 gene:Sspon.03G0000220-1P transcript:Sspon.03G0000220-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGRREKCMRAATGCFCYSWIVVRRVVMDFYLHFSRVVSKLNGGLSCSEDLPARDHPQFFPQSHGVDDRHSLLSDFQYVTSGYIFKELYGLVDCDPYVGMLILQAKTGLENAMPSGRQKLLCHHQCT >Sspon.04G0010050-3P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:24357127:24361880:-1 gene:Sspon.04G0010050-3P transcript:Sspon.04G0010050-3P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEKRSEVASDHSIFVGDLAADVTDEMLLELFSSKYRSVKGAKVIIDANTGRSRGYGFVRFGDDNDKSHAMSEMNVSARVWAKQIARTTQQHVPDQRRTDAEEALQGLNGSLIGKQAVRLSWGRSPSHKQSRGDSGNRRNNMYFGTPFYGGYGYASPVPHPNMYAAAYGAYPMYGNQQLILGSNLGFEKCVSSNPYV >Sspon.04G0016160-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:59313850:59318117:1 gene:Sspon.04G0016160-1A transcript:Sspon.04G0016160-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative chloride channel-like protein CLC-g [Source:Projected from Arabidopsis thaliana (AT5G33280) UniProtKB/Swiss-Prot;Acc:P60300] VMRLCVLRGRSWHAWWRRVSAGTLAFGIGAFLRDYLLRGGHWGAVVVQGMRGYGISVCGRRFLPGFAGSQMNKQVTPQVVGNIAAVSSSLHVGKAGPMVHTGACIAAIFGQGGSCKYGLTCRWLRYFKNDRDRRDLVTIGAGAGVAAAFRAPVGGVLFALESLSSWWRSALIWRSFFTTAVVAVVLRLFIELCGSGRCGMFGRGGLIMYDVSTVFDDLMTYHLKDIPTVILIGVTGALLGGLYNFLMMKVLRAYNAINRRGGAYKLLLAATVSIVTSCCVFGLPWLAPCRPCPTTGPLSSPDGTCHALNRFRRFHCPAGHYNDLASLFLNINDDAIRNLYSTGTNDVYHPASMVIFFVASYALGVLSYGVVAPSGLFVPIILTGATYGRLVAMLLGGRSGLDHGLVAILGSASFLGGTLRMTVSVCVIILELTNNLLLLPLVMLVLLISKTVADSFNASIYDLILRLKGLPHLDGHAEPYMRQLTVGDVVAGPLRSFGGVEKVGNVVHTLRTTGHHAFPVVDEPPFSPGPPVLYGLVLRAHLLVLLKKREFLAAPQRCHKEYVAGRFQAEDFDKRGSGKQDTISDVVLSPEEMEMYVDLHPFTNTSPYTVVETMSLAKALVLFREVGLRHLLVVPKACQRSPVVGILTRHDFMPEHILGLHPVLLKSRWKRLRWQKGTVVKYFRSLIVWIANSG >Sspon.08G0004600-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:13344316:13346935:-1 gene:Sspon.08G0004600-1A transcript:Sspon.08G0004600-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRCSNGLLGLLNAGVLVLAVVALGGGAWLSHRASTTDCERFLERPVIALGALLLVLSLAGLAGALCRASCLLWLYLLALFLLILLLFAFTVFAFVVTNRGAGWVVSGRGYKEYRLGDYSTWLQRRVENSQNWAKIRSCLQDGNVCQKLAARKETVAQFVNSNLSPIQSGCCKPPTGCNFTYQSETVWIKPTGFNTTTDDPDCTTWSNDQTVLCYDCMACKAGVLANLKNDWKKIATVNIIFLIFLIVVYSVGCCAFRNNRQDNSYPAWK >Sspon.03G0016830-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:40259920:40263970:1 gene:Sspon.03G0016830-3D transcript:Sspon.03G0016830-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADQLTDDQIAEFKEAFSLFDKDGDGCITTKELGTVMRSLGQNPTEAELQDMINEVDADGNGTIDFPEFLNLMARKMKDTDSEEELKEAFRVFDKDQNGFISAAELRHVMTNLGEKLTDEEVDEMIREADVDGDGQINYDEFVKVMMAK >Sspon.02G0050300-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:46367567:46370230:-1 gene:Sspon.02G0050300-2D transcript:Sspon.02G0050300-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAASSTMSKESAASYDMAEFDQSAIFLYLEDGHDQEQQRQTLNIFPSQPMHVAEPIPAKGVSMGMVTASMLPNGNSSSPKRQEQGGQQRSVAAPADPVVPLPNNNLAKDNRNSLTKKEETSSGKGAASAGGVQERVKDPK >Sspon.07G0003680-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:8823602:8825067:-1 gene:Sspon.07G0003680-1A transcript:Sspon.07G0003680-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPAGQLARKTLAFMKKTVVLYPGLAASHFIPMMQLADVLLEEGYDVVVALIDATMEHNIAFAAAVDRVASSKLAAVTIHTLSRIHDPPTITNDHLREFLCSIPPRSIHAVIVDSWSDAALDVTDELGIPAYSFFASNASALAVCLQLPWARRAEGQPSFKELGDATVNFHGVPPMPASHLIHEVLEDPNTEIYKAVVNSLAKNLEAGGILVNTFASLEARAVAALKDPHFLTESGLTVPPVYCVGPLVEDAAETKEKHESLKGSMSNQSIMQLKEIGGGLERSGHRFLWVVRAPLGDNPEKTFGDQADPNFHSLLPEGFLERTRGRGLVVKLWAPQVEVLHHKATGAFVMHCGWNSVLEAIMAGVPMHCWPLYAEQKMNKVLMVEEAGIGVELAGWQHGLVKAEELEAKVRLVMEFEEGERLRARVTAHKEAADMVWNDGGSSCQTWARLDRI >Sspon.02G0018280-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:58710358:58714573:-1 gene:Sspon.02G0018280-1A transcript:Sspon.02G0018280-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSQSRLCFWLLLLLVHFSRSASRATAAKVSAFIVFGDSTVDTGNNNFIPTIAKADFPPYGRDFNGGVATGRFSNGRLVTDFISEAFGLPSTLPAYLDPSYTIDQLAKGVSFASGATGLDDLTAKFTSVIPLSQQLEYFEEYKARLEAAKGESVASEIIAEAVYIFSIGTNDFILNYFTLPIRPVQYTPTEYVSYLVSLAEAAASDAYHLGARKIGLSGLPPFGCLPLSRTRNHREPGECNEEYNQLAMRFNAELQDAVTKLNGDLAGVLVVYVDIYSVLSDIVANPSDYGFENVAQGCCGTGLIETAVLCGLDEPLTCQDVDKYVFFDSAHPSEHVYRILADKILKSTAGVFL >Sspon.05G0019840-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:87015609:87018338:-1 gene:Sspon.05G0019840-2B transcript:Sspon.05G0019840-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVDVDPERHQQAAPAPAPAAAGAPPTTTRTNNSASAVKEADFLWELRKYVLLQATLAASITYSAGMSPPGGFWPDNDGGHLAGDPVLQVSYPRRYGVFFYFNATAFVASVVTINLLLVHSLSRRRWWLRALQAAMILNQLGLMGSYAAGSCREVAMSAYILASSAWSPPTSASTSCSRSALRARGPGGKSPTPPEAPEAVERARKDLLIFATLVATVTYEAGLSTPGGFLSSDSPDQDHHAGDPMLRGRRFMAFFYLNTTAFVASLVIIMLLMSRTVTRHGFRSCALWVCTGAALVGLTGAFAIGSSRSVKTSIYVVGLVAAVLLYIGLQILVFLCEPVANWLGDVQGTLQKFLKLDQFPSQGDADEQAQGLSDQQGNLDADQLLKKSRMYLLLLGILAASVTYQAGLNPPGGFWQSNATDGLHHYLAGDPILHITYPRRYLAFFYCNATAFVASLVILILLLSSTFSTQGIKYYALQVAMILDLLGLIGAYAAGSCRQVSKSVYISVIVVPVFLYVGIHILVFMLEVFPNHATWREMVKDKLEQSVPEWLKRLFEPQTEEEDEEMKWKLEKSRKLLLLLAILAAGLTYQAGMSPPGGFWQQNKTGHVVGNPVLNDNYPRRYLAFFYCNATAFVASLAIIMLLVNRKLSTKGIQSYALRVCVILDLVGLMGAFAAGSARKVSTSIYVFVLVFAVLICIVLQVILVVSKSVQGLLQRLLSFFEVREDEAGDTLPHTAADAEAQDPWYKKLPKYLLLLAALAAAVTYQAAMNPPGGLWGDGQNAHIAGDPVLRSTYPRRYKAFFYCNATSFMASLVIMVLLLIKRVSSAQSAILALHAAMILDLFGLMGAYAAGSCRRVRTSAYILALVVGVSTYIVVLVVVSIGIAKWMERVMYGMRERLIRCFSLEDL >Sspon.04G0021620-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:893009:903924:1 gene:Sspon.04G0021620-2P transcript:Sspon.04G0021620-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sucrose transport protein SUC3 [Source:Projected from Arabidopsis thaliana (AT2G02860) UniProtKB/Swiss-Prot;Acc:O80605] MELVSLNGGAPGGDAGPTPKDADPSGPRSRGATDRTKLVLACMVAAGVQFGWALQLSLLTPYIQTLGIDHAMASFIWLCGPITGFVVQPCVGVWSDKCRSKYGRRRPFILAGCIMICAAVTLIGFSADLGYILGDTTEHCRTYKGPRFRAAMVFILGFWMLDLANNTVQGPARALLADLSGPDQCNSANAIFCSWMAVGNILGFSAGASGDWHKWFPFLTTRACCEACGNLKAAFLVAVVFLLFCMSVTLYFAEEIPLEPKDAQGLSDSAPLLNGSRDDAHASNEPNNERFPNGHVDGNNVSANNNTEEFTNANSNTDNGGVFNDGPGAVLVNILTSMRHLPPGMHSVLVVMALTWLSWFPFFLFDTDWMGREVYHGDPNGDLSERKAYDNGVREGAFGLLLNSVVLGVGSFLVDPLCRMIGARLVWAISNFTVFICMMATTILSWISSDLYSSKLHHIIGANKTVKTTALIVFSLLGLPLSITYSVPFSVTAELTAGTGGGQGLATGVLNLAIVVPQIVVSLGAGPWDALYGGGNIPAFALASVFSWQRVCSQFSSYQSCLTRTNLPPNIFHVEKKGNDVVIGGKEEEEKNKEKERRT >Sspon.04G0008530-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:25297803:25309795:1 gene:Sspon.04G0008530-2C transcript:Sspon.04G0008530-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPTAAPLDEGKAKKVLRQVEFYFSDSNLPRDKFLRETVEQSDDGLVSLPLICSFSRMKSHLGLDADVKAETMPEETVLAVAEVLRRSPVLRISEDGKKIGRTSELSKPDEIIEQVDSRTIAVSPLPYNVKLEDIQSFFTNYAKVNSVRLPRNIVNKKHFCGTALVEFSEEEEAKEFDAEQESKKEAYAKARPRKDNQDEGYPKGLIVAFKLKKMVGSVVQQDNIDEGNDSATKLEVSSSMEKISGQGPESTLESDGTNQGKSLDDMTKEKELNIEEAAESKFIGDAPVESDKHGDSESLSRDGKNISGNNGPHGPMGILQYVDFSIGDNSGYLRFEDSKSAEKASMSAVLADEGGLIIEDHIVTLEPVTGEAEKDYWNTIRGIQGKYKDSRSYKGRALKNQRGGKHFNGKRDRNPESEKNSNKTQKVEAAAPIGSSIVDMPISNQL >Sspon.02G0031970-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:87774284:87778188:-1 gene:Sspon.02G0031970-4D transcript:Sspon.02G0031970-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATAGGNKIRNAKLVLLGDVGAGKSSLVLRFVKGQFVEFQESTIGAAFFAQTLAVNDETVKFEIWDTAGQERYHSLAPMYYRGAAAAIVVYDITNAVSTMKNTYVNSGNVELSRPLLHVRRNGFKNFKHKEAKAYAQENGLFFMETSAKTAINVNDVFYEIAKKLLQGQQVQNPQGGMVLNQRPPERMVSSSSCCA >Sspon.06G0004340-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:11313412:11316042:1 gene:Sspon.06G0004340-2C transcript:Sspon.06G0004340-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARGINQLLKRTLHNQSAGSSLLSSFRGKHEESSAGLRALALLGVGASGLLSFATIASADEAEHGLAAPDYPWPHAGIMSSYDHASIRRGHQVYTQVCASCHSMSLISYRDLVGVAYTEEETKAMAAEIEVVDGPNDEGEMFTRPGKLSDRFPQPYANEQAARFANGGAYPPDLSLITKARHSGQNYVFALLTGYRDPPAGVQIREGLHYNPYFPGGAIAMPKMLNDGAVEYEDGTPATEAQMGKDVVSFLSWAAEPEMEERKLMGVKWIFLLSLALLQAAYYRRMKWSVLKSRKLVLDVVN >Sspon.04G0036740-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:47002204:47003925:-1 gene:Sspon.04G0036740-1D transcript:Sspon.04G0036740-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:HD-ZIP I protein, Transcription activator, Stress response, Panicle developmen [Source: Projected from Oryza sativa (Os02g0649300)] MERGDDCQFMVVPPCHQYDEAAQTPTRARARGRGAGGGGGGGERNSKRRFTEEQVRSLETTFHARRAKLEPREKAELARELGLQPRQVAIWFQNKRARWRSKQLEHDYAALRAQYDALHARVESLRQEKLALAKQVDELRGRLNLSERQDQSGSCEVNDAEAADDKRNSRTSSLVQDDGATPPAAVDASEDSAATEYYDHVAYEGLHDPFCATPDLWDTWPLLEWNVVA >Sspon.08G0006890-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:21887909:21897644:-1 gene:Sspon.08G0006890-3C transcript:Sspon.08G0006890-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDGARERDAEPWEGYVDWRNRPATRGRHGGMGAASFVLVVEVLENLAFLANASNLVTYLMRFMHYSPSQSATTVTNFMGTAFLLALLGGFLSDAFFTTYTIYIVSAFIEFMGLVILMVQARTPSLMPPQCAAKPAPCEPVSGAKKAMLFAGLYLTALGVGGIKGSLPSHGAEQFDEHAPRGRKGRSTFFNYFVFCLSCGALVAVTFAVWVEDNKGWQWGFGISTIAILLSIPVFAAGSRLYRNKVPTGSPLTTIAKVLVAAALARRRGGCGYAQSAISNGAVIDRAPSPTGSTDMKEYCGKPAGDMKHDGGRCCDRGSVGRQRRAVPRARVPEPRRAVPAATRHGRLACTVQEVEDVKIVLMVLPIFFSTIMLNCCLAQLSTFSVEQAATMDTRVGSLTVPPASLPVFPVTFIIILAPVYDHVIVPFARRVTGTEMGITHLQRIGTGLVLSIVAMAVAAVVEVKRKNVAASNGMLDSAKPLPITFFWIAFQYLFLGSADLFTLAGLLEFFFSEAPPRMRSLATSLSWASLALGYYLSSVLVSIVNSATGRGDHRPWLQGASLNHYHLERFYWVMCVLSTLNYLFFLFLAIRYKYRNAGVIKG >Sspon.05G0004590-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:8598956:8600039:1 gene:Sspon.05G0004590-2B transcript:Sspon.05G0004590-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIPRYSSFLSALRHRYRYLYSSGFLPPFPPHAFFVFVVSCSPATVVSLEHRTTSSFHRSFVLSRDLLGGSRGMEAYVLFARGKEEVVSKFEEQEEDIGCPSESSAARSTSSSSDGVDLADDASSSGSNSHFEMASLMTHLPIKRGLSKFFDGKSQSFTSLAAVGGLEDLPKPPAKRLKTSRSCGVSLKDAHRGPNPATGKNQAVLGSAPRRLVRARPLVTARPAAAGKPLLFA >Sspon.01G0054420-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1C:55329502:55330044:1 gene:Sspon.01G0054420-1C transcript:Sspon.01G0054420-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSRVTKSLPFLVAPAEATPAAALPLTAMDRALASLPMTALFVFENPIDQPAETIRRALSRALVPNYPMAGRLTVIGDQLKIACTGEGIAFVGASASCALQEARLSDPCPVVTVEDLTMNYAGQYSTDPPLLLVQVTEFSCGGFVVGVTWNHVVTDGAGMAQFLQAVYEYARGFSSPSVLP >Sspon.06G0019510-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:2184363:2189429:1 gene:Sspon.06G0019510-2D transcript:Sspon.06G0019510-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVAFGKLFSERGVRSEVVEQAVGWIWCPLQGIECKELGDNCFLFTFNQASGKRRALDDGPWMILKELLVVADFDGSKSRDEIDFSSVPSRIQVARLPSHDQIYNDAASYHYSLSIKLCCPQMNNPYRPPSPYTSLTDLRYTTMCSTGVLLVRTCAVLMCLAAVALAPPAKVHTAGTGNGLLGIPTKASLAHCPSHCGDVKISYPFGIGPGCFRQGFKLTCDNTTGSLRLLFLGNSSTTQVTSIYVGMNRAYASAVLYNITMGLGVDTYITKSWEAPTEGVIIDDGNLLYVIGCGVDVYMFGDNMTDLIGSCMSICIDNREIMERANMGYGACEGFGCCTINSLSMGRQAFTLKLGRRNRTIAPLDEALSSIEVIFSEYYHFVMADLYASWVNTSNVQDMVLDIAITDQPSCASAQSQENKDTYAYYNPKAPDENCTRLCGNITIPFPFGVEEGCFANDNLRLNCTSNTTLVLDRWYAQYRVTNLSLDDGLLTVTNMLNDTSSNNMERVVITDDDGDRNGFYPTYEDVVDDNFDFSHEDVILMSALFQTNAMEYATTLMEASIVQVAVTAKMRNYSAFCSHT >Sspon.06G0004420-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:10918896:10921094:-1 gene:Sspon.06G0004420-2B transcript:Sspon.06G0004420-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ATSELKKKILASKSLYMSHMEAFQNVVLLHKASANATLEDISSLSAASCCSLDQLLACVEGEAQNIFNDIHKLLTTHRSEMTHFTQELRESFRISLDRTKEMSTYIIGLFDKYVEETSKLQSHSNNTHEAQMKSIEDFQMAYEEQSKSEEQKLLADISSLVSKHITRQRELVGVRLCSLGDSARGNKAFLDEHTSAMEFVTKDAKRKWETFAEQAESDCKAGSSFSAAKHCRMETMLQECACTVDSAVQQWKKSHAAVNDLSRKQVAEVEALVRQNNEQHEVEVASSRAVAEEDASNSSKDIAQGIENLLEEARNSSSRVVSTVEAHFAELQKLQESHSSQAAGINMHADKAFQNSYKDYEPTGETPVRSEPNVPSKGSIESLRAMPMETLMNEFRENHPYESESSKESKLTQIPRLPLATIN >Sspon.05G0011350-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:23870123:23872255:-1 gene:Sspon.05G0011350-3C transcript:Sspon.05G0011350-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQQQPPPPHRHAKTDSEVTSSMAASSPPRAAYYVQSPSHDDGDNKTAASSFHSSPAASPPRSLGRASRDSSSSRFSASAKSAGAGAAPGGVAVPGAGGRRRSSPWMKEAAIEEEGLLGMDDDDDDPDAHGGLSGIPKRVRYTLGFVGAFFGLFFFFALILWGASRNQRPVVTLRAVTFHRFVVQAGTDASLVPTEMASLNATVRLVFRNTGTFFGVHVSADPVTLYYTQLQLASGNIKYFYQSRKSQRSLTVAVVGDKVPLYGGGSGLSSTPTTLPPPKKRAPPVVVPPPPVPLQLTVRLRSRAFVLGRLVKPKFYSEARCSVTMDQTKLGKPVSLNNKACTYTH >Sspon.03G0035560-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3B:82609054:82610818:1 gene:Sspon.03G0035560-1B transcript:Sspon.03G0035560-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RWRTRIVSRFGGKRVVLERCTPTCLVRMHPFLPRNLYLTVIVVIRHTWASRDIPILRLVASTHVIVVAPTSGVFFQWIDGPDKFDPRILLFYPGIDHCKREVFTRWVPPPPNPPPMTDEEKAVASARRLEDPPKCLCGEQAVINPRNEQEFICPLRHEDHDFPKCRFKEWIYGPKSHWPEPEKNVEVPDWKKKRRSIAPPVMCKCGVEASYGLVPSGLGIGHFCGHMIDYDESTRKCKWESYDDVYNFKNEYKTMVAVRNSRGYPASYVTDFVKSHKKKMLRRAQDLRVRNPESIAWKKWYEQKKKDVEEYQARKAEEDARKAAEEAARAEMQGLNETIDSLCAKIGCTGGWEADMARARYTASRPIVVDEEAEPEEDDDTGEIGELIRLAEQLGYQEDVAVHEAQAAYQSQQTPLFDSWGPTDMTQEEAELYSQAADEAEAAYYRRQASEAKSVEASKGKEVVVEDSESEDELLTQ >Sspon.08G0028090-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8D:5891516:5895789:1 gene:Sspon.08G0028090-1D transcript:Sspon.08G0028090-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLAAPHPRVGVRGLYDFPDLHHGHLHRGLPKRAYGRQWGPAPRSGVFYGDTGNLYYGGAPSAAALHLGSDAAAAAAVDEGVPAVAAGPGAHTAPRFYKLEFLTFDGGVDPLNWLNQCEQFFAASAPWLRTAHGSPPITFGAMLRLGTTPWSRTKDAAWERRALCQLRFGPPTQGTRLAQLARLPFTSSVQEYSNRYNAVLCHARDLNPRQKAELFVGGLPEHIQVDVEMHTRPTSRRRCTWRGPSSAAQRPSSRRSLSNSGVLGHRVDHAGASAGGIRTENTMLLPVQLKGERLLALLDTGSTHSFLQGHVMRRLGLPPAGAEHLRVTVASGERLPCEGIARDVPIFVAGVPYPVTCVGLALGCFDFILGVDFLRTLGPLTWDFDAHTVAFQRGARRITWQCEGAPPTPAAQQLLAATADAQPRPMLDCLLQQHGAVFDAPRGLPPVRPYDHQIHLLPGTAPVAVRPYRYPQLQKDELERQCADMLEQGIIRPSTSPFSAPVLLVRKADGSWRFCIDYRALNDKTSKDKFPIPVVDELLDELHGAKYFTKLDLRSGYHQVRMHPEDIAKTAFRTHHGHFEFLVMPFGLCNAPATFQALMNDVLRPYLRRFVLVFFDDILIYSTSWAAHLQHVGLVLSALRAHGLFLKRSKCSFGASSVAYLGTSSPRKVSPWTSTRCRTSSANSSSTAMRRARFWRGAAPGGRPPGLLSRPFAARHGKLAAYERELIGLVQAVRHWRPYLWGRRFLVRTDHYSLKFLLDQRLSTVPQHQWLSKLFGFDFAVEYRPGRLNTAADALSRRDEEGPAALLVSGPSFRLYDDIRAAMAQDQEAGELLQQLDRGALDGPWSVAEGLLLHGKQVFVPRHGDLRHQVVALAHTAGHERHPEDPRSPPTGLLPPGRPRACAGLRPLLRHLPAEQDADHTSGGAAAAPRGSPRKCGRTYLWTSSTASQSHPYSAASVARAFFDGIVRLHGFPASIVSDRDPVFTSHLWHDLFQLAGVKQRLSTAFHPQTDGQSEVVNRIIVMYLRCVTGDRPRAWVDWLSWAEYCYNTSFQTALRVTPFEVVYGRPPPPLLPLQHGAALTEAAESLLRDRDAFLEEVREHLFQAQQYAKKYYDVHHRDVSFEVGDWVLLRLLHRPMQALVAQPKGKLRPRYAGPFQDGRLLPSPERVLRAQLRRGEWRILV >Sspon.01G0027420-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:96121966:96154640:1 gene:Sspon.01G0027420-1A transcript:Sspon.01G0027420-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAQEAAVEEVLRAAAAEVSTSSAKRRLRLFRHTLPPLIAKATESPSDTALLVDLIFQTLPIYDDRASRKAVDDMVIQALGEPTFMKPFAAVLVQSMEKNLKVTNPLTSFKLLRWSHYLLKWSQFATLSKGAFTRLANAQAVLCQVLMDGSFRRRRTCKQLFIHLFSEPNGIYKMYVEELRDLRISMRDSPAFLNLILDYTITSPSLSTEYKSVFLDLYVKTILSSKDRPPEAANEAFKPLFLEIGHEDFKNTVIPSCIKMLKRNPEIVLQSIGYLLKTVRLDLSKYSMEFMPVVLHQARHSDEERRINALRIIGTLSEKSSDPYALPSMVNAIKAVLGGSEGKLSLPYQRIGTINALEQLSRSPPKQIGRLAPSVSSFLLTCYKDDGIEEVKLAILSAIGSWALVSAEAVQPDVVSFIAAGLKEKDTLRKGHLKFLRVICKNSDSLTKLSKTGFSKATQRLDGIYALFAVSRLAAVDTKADGAILKEKLWTLIAQNEPSLISLQLLPKLADDDCLAVLDLLQSLLVEHFFRVREYFSIQSLLQLLIYLLCHPSWEVRKVASDATKKIFSSSSGLAEDILFLFTDWLSLVGERLSILKQGDVDSSSDSQLPFIPSTEVLVKCLFLIAPYAVVHSPRSYSRIILCSHHPCLSSSSSPAGVYKRLQRRLRQEQIFFVDLITPNISVICKELLSQDGLFSSNKQVQTAALCSLSTLMTITPNDTFLEFEKHFIGLQERTLHDSFSESDIKIFYTPEGQLSTEQGVYIAEAVASKNTKLAKGRFRAYDDQDAETARSVVPAKNEKRESSGTGKRETGKSTKKTAPIDKAKTAKEEARELLLKEEASVRMKVGQVQKILSLMLDALGELAIANPIFTHGQLPSLVNYVEPLLSSAIVSDAAFCTMLRLARCTAPPLCNWAPEIAAAIRVISVGDFEMVLDLTPVIMEDDSKKKPSSGLFEQIVNGLTIACKAGPLPADSFTFVFPALGGVYAKEVHVRLACLTAIKCVPAHSVQRDLQVSTSLWIAAHDPEKVVAELAEELWDRFGFDVITDYSGIFDALSHKNYNVRAASAEALAAALDENQDKMQDALSTLFSLYIRDLGPGVEFGDAHWLGRQGIALALHSIADVLASKDLPVVMTFLISRALADPNVDVRGRMINAGILIIDRHGKENASDEETYDLVREGVVIFTGALAKHLSKDDPKVHSVIEKLLDVLNTPSEAVQRAVSDCLSPLMVSKQEEGQALVSRLLDRMMKCDKYGERRGAAFGLAGVVKGFGISSLKKYGIAATLQQNLEDRMSAKSREGALLGFECLCEKLGKLFEPYVIQMLPFLLVSFSDQVLAVREAAECAARAMMSQLTGPGVKLVLPSLLKGLEDKAWRTKQSSVQLLGAMAYCAPQQLSQCLPKIVPKLTEVLTDTHPKVQAAGQTALQEVGSVIKNPEISALVPILLSALMDPNAHTKHSLDILLQTTFINSIDAPSLALLVPIVHRGLRERGVETKKKAAQIVGNMSSLVTEPKDMIPYIGLLLPEVKKVLVDPIPEVRAVAARALGSLISGMGEEIFPDLVPWLLDTLKSDNSNVERSGAAQGLSEVLAALGQDYFDHILPDIIRNCSHQKASVRDGHLTLFRYLPRSLGGVFQNYLQAVLPAILDGLADENESVRDAALSAGHVFVEHYATTSLPLLLPAIEDGIFSDNWRIRQSSVELLGDLLFKVAGTSGKAILEGGSDDEGASTEAQGRAIIEVLGREKRNEVLAAIYMVRSDVSLTVRQAALHVWKTIVANTPRTLKEIMPVLMDTLISSLASFSSERRQVAGRSLGELVRKLGERVLPSIIPILSQGLKDPSASRRQGVCIGLSEVMGSAGKHQLLSFMDELIPTIRTALCDSTQEVRESAGLAFSTLYKSAGLQAIDEIVPTLLRALEDDDTSATALDGLKQILSVRTAAVLPHILPKLVQPPLSSFNAHALGALAEVAGPGLNSHIGTVLPALILAMDDEDVDVQNSARKAAETVLLVIDEEGVETLIPELLRGINDSQASMRRGSAYLIGFLFKNTKLYLADEASDMMSTLIILLSDTDKATAALEAFSRVVASVPKEQLPTHIKLVRDAVSTARDKERRRRKGVPILVPGLCLPKALQPFLPIFQQGLISGSAETKEQAAEGLGELIDVTSEKTLKEVVVPITGPLIRILGDRFPWQVKSAILSTLTIIIMKGGIALKPFLPQLQTTFVKCLHDNNRSVRTRAAAALGKLSALSTRVDPLVSDLLSMLLQSGDESVKESVLSALKGVIKHAGKSVSSAIRSRGCALLEDLLQAQADDVRSCAAKVIGTLSQYMEETEISDLVQILLNVSTSSDWCTRHGALLAFSSISMHSPSKLCHLASFPSLVDLLKDSLKDDKFPVREVATRTLGRILCFELQSEGGTLQLVQLLVLALHDDSSEVRRRSLSCIKAAAKINHSALATHHSILGPAIADALKDSSMPVRLAAERCALHVFQLTKGPDNVTAAQKYLGMTGLEVKKIAKLNEESDGSESSDDDKRT >Sspon.02G0001150-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:2785293:2788148:-1 gene:Sspon.02G0001150-4D transcript:Sspon.02G0001150-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIDLVAGGRNKKTKRKAPKSDDVYLKLLVKLYRFLVRRTKSNFNAVILKRLFMSKTNRPPLSMRRLVKFMEGKGDQIAVIVGTVTDDKRINEVPAMKVCALRFTETARAIIINAGGECLTFDQLALRAPLGQNTVLLRGPKNAREAVKHFGPAPGVPHSHTKPYVRSKGRKFEKARGRRNSRGFKV >Sspon.03G0021200-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3A:65160467:65164898:-1 gene:Sspon.03G0021200-1A transcript:Sspon.03G0021200-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTAIGSVPGSSDAAASAPRDATLGRHLARRLAEVGARDVFTVPGDFNLTLLDELEAEEGVRLVGCCNELNAAYAADGYARARGGGVGACAVTFTVGGLSAINGVAGAFSENLPVVCIVGGPNSNDYGSNRILHHTIGLSDFTQELRCFQTVTCYQAVVNNLEDAHEQIDTAISTAIKESKPVYISISCNLPSIPHPTFSRHPVPFFLSPRLSNQMNLEVAVEAAVAFLNKAVKPVLVGGPKMRVSKACKAFVELADACGYPVAVMPSAKGLVPEHHSRFIGTYWGAVSTPFCAEIVESADAYLFAGPIFNDYSSVGYSLLIKKEKAIIVQPERVVIGHGPAFGCVLMKDFLHALATRLKKNTAAYENFRRIYVPPGEPLSSGPGEPLRVNILFKHIQAMLSGNTAIIAETGDSWFNCQKLKLPEGCGYEFQMQYGSIGWAVGATLGYAQAAKDKRVIACIGDGSFQVTAQEVSTMLRWGQNTIIFLINNGGYTIEVEIHDGPYNVIKNWNYTGLVEAFHNGEGKCYTAKVRTEEELKEALKAALGPKKDCLCFIEVIVHKDDTSKELLEWGSRVSAANSRPPNPQ >Sspon.05G0034480-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:58188324:58190071:-1 gene:Sspon.05G0034480-1C transcript:Sspon.05G0034480-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding STFEEIAPESIVKSIKIGYGKSVDCVDLYSQPSLKHPLLMNHTIQMQPSSFPNDVLGDVGSKSSYSGEVRSDIECPTGSVPILRSNRSFRSTMPLGALMGSGPDYDPNSTIRLAMVSTGPHSDPIYGSEAEFSVYEPSVGETQAPRFSSAFLAVQNGEPPTYSMIMVGWDVNPQFYGDDHAHFEIVWNHAFKMEDDCAICTFVKQVDKGKTCANLGCPGFVQQSKQVFPGLKITPVSIVGGQQSYLHVKVFKDQKTGNWWLIYGDGHHPVGYWPKELFTYMADAADVVSWFGMVAAARGEPTPPMGSGQSPDQGEGKAAYFENIRVVDASHNLVVPSLGGCQTHVTEPSCYALGHFTNSDDGSGLRFLYGGGGCHPE >Sspon.07G0019540-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:70786694:70794023:1 gene:Sspon.07G0019540-2D transcript:Sspon.07G0019540-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAGEGTGRWELGGGEALRGFNAGRRTDWAETGEEWRRTRSERTGGRGGASAPAEVASPRRGASLGSGIASRAASVPLRGLIHRSREAIFPRRHPFPRARSPAGSNDMLYPFHAILSREMEDIASIEDKLGRSLTTKEKDRIGVGNLRLYLEELLQKRYVESVPLIIPLLEKQHRNTTSKLREISHELSDLNEAKLKEKAQLFHDSFLTKLSLLLKGMVVAPPDKFGETLVNERINGGTFTGSENFQLPNKMIPNGGMRLYGGAQYHRAMAEFRLVVGSIRTACVIAVAKARDTFEPFLHQLGFRLLYILKRLIPISVYLLEKDGERFSSHEVLVRRVQEAFKRFAESTEQSCRQRCMEDLESTTRYVTWSLHNKNRAGLRHFLDSFVAPEQLSVNALNEQFSGLNDTKQDRAMGDSKSNHSSDANSSSAVPETRLVDLLDSTLWNRRLVPSSERLVYALVHQIFHGIKEHFLVTTELKFNCFLLMPIVDKLPALLRQDLESTFEEELDSIFDVTQLRQSLGQKKRELEIELKRIKRLKEKFGEINKKLNSLQDMS >Sspon.02G0012880-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:28079044:28080769:-1 gene:Sspon.02G0012880-3D transcript:Sspon.02G0012880-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSSYEMAASILLCAEDSSSILDLEAEAEEEEVMLARSSRTRGDPSVVFPVPSEECVAGFVEAEAAHMPREDYAERLRSGGMDLRVRMDAVDWIWKVHAYYGFGPLTACLAVNYLDRFLSLYQLPEGKAWTTQLLSVACLSLAAKMEETYVPPSLDLQIGDARYVFEAKTIQRMELLVLSTLKWRMQAVTPFSYIDYFLHRLNGGDAPSRRAVLRSAELILCTARGTHCLDFRPSEIAAAVAAAVAGEEHAVDIDKACCTHRVHKERVSRCLEAIQATVALPGTVPRSPTGVLDAAGCLSYRSDDTAAAAAAASHASSSSWFDEDDDSSPVVCSKRRKISR >Sspon.08G0001210-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:4326160:4328507:-1 gene:Sspon.08G0001210-1A transcript:Sspon.08G0001210-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGQLEYVQSLNIQKRLRKHRGCVNTISFSADGRLLLSGSDDRNLVLWDWQEAAPALSFHTGHSNNVYHALFMPVSGDRSIVSCAADGEVIHSQIQEGGRVITDKLVELEFAVHRLAVEPASPHTFYCCCQDSSVWLFDLRGENAMELFKCRAPDYYAAENIALYAIALDPRKPCCFAVAGSDQYVRIYDTRKIFVDGNSSSSRPTEHFCPPHLIGQIEEEITGLAYSQTSELLASYSHDDIYLFSREHGLHFNNVEVNKQLLKDAIEPSFSFGDKLPIPKTFKGHENVETMKGVNFLGPNCDFVTSGSDCGNICIWRKKDAELIRAMRGDKRIVNCVEQHPCGIVLASSGIDKDIKIWEPGEGENLSITEAHEDDEDIWISSDYDSDGFIINDGFGYVMDLDPIHLYENGDHESEDDEDTSSEEHDDGDNSAEEDFDGGNSAGDEGDD >Sspon.07G0005370-4D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7D:12152415:12156178:-1 gene:Sspon.07G0005370-4D transcript:Sspon.07G0005370-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AGATRRQKKPKKLRRVWWQIKKLHKATAELYTPFAPFVRLVKEIT >Sspon.01G0015630-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:46142039:46143310:1 gene:Sspon.01G0015630-2C transcript:Sspon.01G0015630-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVLRLRWATAWLLVPVAAAIVLSICLPPVVVVPGYATNELDARLTELYRPSSPRCGARKGKGWFRLYLNYTALEDAADVRCFAEQMAAVYDATRVPFFGSTRGFRYPDPDRRNFSYMDKFVSRLERLGYSDGETLFGAPYDFRYAVAPPGHPSRVGDAFFGRLKRLVERASRVNGGRPVTIVAHSYGGTLAHQFLLRRPLPWRRRFVWRFVPVAAPWGGVVLGMLTLVAGNNLGLPTGACRPASGPNTNAFGGGQPLVTTRSRTYTTHDVADFLGDIGMGAAIGPYQCRVLPLFRELPSPRVPVACVVGVGVDTREMLAYPGDDFHVMPRMVTGDGDGLVNLASLIAVDPAWRRPAAYFRMLKVRNVSHTGLFVDDAALAVIISATLHPN >Sspon.02G0031720-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2B:109487119:109496103:1 gene:Sspon.02G0031720-2B transcript:Sspon.02G0031720-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ubiquitin-specific protease 8 [Source:Projected from Arabidopsis thaliana (AT5G22030) TAIR;Acc:AT5G22030] RDRVRNDDIRDRVGVAPIEEKLIQHRLRWFGHVQRRPPEAPVRSGVLKRADNVKSGRGRPKLTWDKSVKRDLKEWNISKDLAMDRSAWRLAINVPEPYIDSAKSAGKNMSPAGDSVNIYPIMLRVSATRGTYTLTVKIGKKDNSTENFKRANKILTADSEPVHIWDFSGRTTYIVMTELNRSPHDSKSADQEMPLQIHIYDLSEPMVNGSDGKRDELALTLGGSSFSNGGTVNMDLDSSSGSSKQVGSGLTGLDNLGNTCFMNSAVQCLAHTSKLVNYFLGDFHKEINPHNPLGMKGELACAFGDLLRKLWAIDRTPVAPRQFKARLGRFAPQFSGFNQHDSQELLAFLLDGLHEDLNRVKCKPYSEAKDSDGRPDEEVADEYWGNHLARNDSIIVDICQGQYKSTLVCPICKKVSVTFDPFMYLSLPLPTTTMRTMTITVFSTDGTSGPSPYTISVPKSGDTRTLINTLSNACSLRDDERLLVAEVYNNSLIRYLDEPSEVISLIRDGDRLVAYRLPKENEDAPIVVFRNQRMESSLANFGRKSWKTFGTPLVSSLPDTVTGSTICNLFLKVMAPFRVSRDDVANADKTIGESSLVDETADANMSSDASEPTTINNNSVEDETGTEDVMQFFLTNERFPDQRMKIEMDLSITVKDPHKRLHVAVCWEDNGLDQYDLNSLDSLPEVYKAVLFSRRPQDTCSLYACLEAFIKEEPLGPEDMWYCPGCKEHQQASKKLDLWRLPEILIIHLKRFSYSRYTKNKLETFVDFPIHDLDLSKYIGHRCQDVPHNYRLYAISNHYGSLGGGHYTAYVYHEGKKGWYDFDDRHVGPITEDSIKTSAAYVLFYRRIQEDRLDTVFRVVVDRKIKRSLHGAMRLSARATLHLPPLPSPRVRPHSTLLALHSLLLPVACPTHALSLLALAVPPVACPERYVQRVMGHGPSAGGMGAASRGPADRARSAPGVLRMGRAHSHLNSRVLPARREKRGSGGRSGRCRAGRGMGAGRDEAERGKTNTLVIGGRALAAIATRGAGMEPVCEREEHDERSGNPTREEQRQVHVWATPATVPPGCHAGHARGRGGAAKRKGPLEGGEKLTTLELGSGGGFAC >Sspon.02G0014420-3C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2C:40713450:40713938:-1 gene:Sspon.02G0014420-3C transcript:Sspon.02G0014420-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SLGHDRSPSQSRNLHRSRILILGRSHNQSRNLCHSQILDRSHFPDRIRSQTRNPGPGPTHALRHTQILGRGLHLHLHLALDHNLNLNLNPNLRRTQLLTPHRSRRQSQHTILHQSQHLSPHRIPPMNRHRTRNLRPSQILIQTQTQTLSQSLSHRHHHQCRC >Sspon.05G0025350-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5B:23244009:23244643:1 gene:Sspon.05G0025350-1B transcript:Sspon.05G0025350-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEYGKVTRLRPCTDISIYGRSASALSTLTVYFPSSYAAEPELQSCQPQGSRKVARYLARYCLFRLRETHSRLPSYRTPASAHRQSLPRAFAPVRLQLQHRVAASALDTPP >Sspon.06G0015620-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:66797157:66798795:-1 gene:Sspon.06G0015620-3D transcript:Sspon.06G0015620-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSAAYPDADENLEAIITRIEQKSRKIETLLKQSKPVEALKTALEGSPLKTRDERCKSANWIVVHRAMMAIRDVDGMFNSLDAEYYDILMKYLYRGLSTGDRPTCDQCLKIHEKLTEKAGLGCILRSLADTVNT >Sspon.04G0000920-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:2779109:2780869:-1 gene:Sspon.04G0000920-1P transcript:Sspon.04G0000920-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MESTCEIARLPDDLLAASLARTGPLDACRAALVSPAFRAAADADAVWSSLLPRDLPQLADGELPADPQPTKKQLFMRLSDSARPVLLADGLTSMWLDRATAAKCYMLSARKLGIIWGDTPQYWRWIPLTDSRFPEGAELLNVCWLEIRGKIHCNMLSQNTTYAAYMVFKMSDESYGLDYPLQVAEVSIGATKSTRQVCLGYDNEGEDGEEVPQNYRSFRPIGSFRPRVGRRNRRVPPGVQVQHPQTRADGWMEMEMGEFMNEEGEDGEVSISLMETRGGNWKKGLIVQGIEIRVKK >Sspon.04G0030950-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:3924725:3928525:-1 gene:Sspon.04G0030950-1P transcript:Sspon.04G0030950-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPPAAAGRSIDRIACMPARDLTADRWAFSHVDGSVELQQARVAEGAAVAEEVMAALERNGVSFVGGGLGKARKDGSGKQLMGRAALLQLPATVRIDIPPTSPGRAALKVWEELVNVLRKDGADPAAAFVHRKKVQHAEKNIRDAFLALYRGLELLKKFSSLNVKAFTKILKKFVKVSEQQRKTDLFSEKVKRSPFSSSDKVLQLADEVESIFLRHFAGNDRKVAMKYLKPQQPRNTHMITFLPMSPAFSLLPETQPTWRLFTIMFALISLHVFLYGCNLFMWKSTRINHNFIFDFSSSTALTHRDAFLMSASIMCTVVAALVINLFLRNAGPTYANALPGALLLLSAGVLFCPFNIFYRSTRYCFMRVMRNIILSPFYKVLMADFFMADQLTSQIPLLRHLEFTGCYFMAGTFTTHAYGSCTSSSRYKNLAYVLSFLPYYWRAMQCLRRYLEEGHDLNQLANAGKYVSAMVAAAVRFKYAATPTPFWMWMVIISSTGATIYQLYWDFVMDWGFLNPKSKNFWLRDQLILKNKSVYYASMMLNLVLRLAWAESVMKLHLGMVESRLLDFSLASLEIIRRGHWNFYRLENEHLTNAGKFRAVTPHQM >Sspon.08G0025920-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8C:15107920:15114226:-1 gene:Sspon.08G0025920-1C transcript:Sspon.08G0025920-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPRRWTCSERGRTVLSANDEMETMVTGIKHGACDYFVKPVRLEHVRNIWMHVVRKNSRNKISNVNDDVGQKLQHGDDDNAEKDGANHTGKHLKKSKKVRDDADKDKDKLTQKKQRIHWSGQLHRKFVEAINQIGMDKAVPKKILEVMNVDNISRESVASHLQKYRIYLRKLNEGTLSHSNQFWGEQAWLNGGPHANSSMCIPENHQEFGRCETSPLLVGASSSSNPFARMDSLSGSETHNVLPTQIVQLMSPQRNLLGIPLQDMDPIGSSVNLPEDIVPKPGFSLQVQTFGTNTPMVGFSEQTAMTTFDFGNNTSSTVMPTSSSALGSSSSTRPALSNLKICNSIMLTQMPNGCGATGSLSKVGTVGQQAIGDQENNNGLLVGTSKPQISNSVVPVQMPNSGGATGDLTEGGNIDPHPIGDQVNSTNELPTGTSEAPIEAINEIDAFVAEWVRQDLLNNGDAFLNGNMEFAP >Sspon.01G0062120-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:101022318:101023312:-1 gene:Sspon.01G0062120-1D transcript:Sspon.01G0062120-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVACCDADDVGYQGVFATLLPGARPAGQSTWCKGSYLGETPNQPGLKKNMFDSTSAARPKIILQLKSPEATARSQTVKKRWRWLFPESQAESSFLLLGSLAPTRPMASKHGALQLGGASRPPGHPPNTPQEKTKNFVRWRCPGVPGWQRPAGSRRQRPAARTPVPARASWVAVGERARLRGLRDLRDGDALRRLRGISGARVRKTCTCSSKGIAALECRGRQGSGGWPWPMDVATAMRKTAQKHAARLWPLRFSVKCSSEAFLRHGQ >Sspon.04G0023410-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4B:12566755:12568444:-1 gene:Sspon.04G0023410-1B transcript:Sspon.04G0023410-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPGLAWPVSLSQSRSRSPPRLPLAALHHRTTGRLFHALPLAAASPASDLAAVTTSSAVADLEQLHSSSGFGVELLSSERAGFIHSSSRSDVNLLGGQHAGDRRHLPALPFPLSLSAVSRPRAGLARWPWRAFRASPTRKAAQQAVLGPSARHAAQFGTTWEARRSGAELKQMRGSEKKRRRKAVTAVTGRCTGRCTGRRVRSVHRGAGARVCDRTRVWPDQRVRSVHWAKEKRATGASGPSRTGASGQSREAERSEARSDAAGASGHVRPDASGLVGCLLERDRTLALSRPVNRRSASGQGNG >Sspon.04G0006710-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:19980123:19983415:1 gene:Sspon.04G0006710-4D transcript:Sspon.04G0006710-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKEEDVRLGANKFSERQPIGTAAQGAGDDKDYKEPPPAPLFEPGELKSWSFYRAGIAEFVATFLFLYITILTVMGVSKSTSKCATVGIQGIAWSFGGMIFALVYCTAGISGGHINPAVTFGLFLARKLSLTRAIFYIIMQCLGAICGAGVVKGFQQGLYMGNGGGANVVAPGYTKGDGLGAEIVGTFVLVYTVFSATDAKRNARDSHVPILAPLPIGFAVFLVHLATIPITGTGINPARSLGAAIIYNRDHAWSDHWIFWVGPFIGAALAAIYHQVIIRAIPFKSRS >Sspon.03G0003810-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:9936723:9939136:1 gene:Sspon.03G0003810-1P transcript:Sspon.03G0003810-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding METEEIKSRFGRCPYCRAMIYQDLSAIIFYCSKCRTPIRGKNPQPTDETEYALAQLEILSVDTASVFSDDVEPPNPRSAWAIDDDDGGRPPLQSRSATGRSSVPSRQGIGAASSSSYREFGSVRTGPRSSGGALSSGLNRDHQTEAARSASPLHSRVTQLRPSSRRTRRSSSGDVDVRSSDAGSGTESDSDAPATATSYYTRRSSPLSSQELDVATALSGFEPADLTRTPLSDPAFQKDLLQALDNLRKLIAAVDHPRSIDGNWQGAMPRLSASCNDESGGKRTITRRSSRLMRRLESQLTRALPAERPRRDVSTSSSTSASSSRRGGARARTHQCRPVLGGTPFVVCGECSEVLQLPPALPAGRVSRLQCGGCGEAFELTLPAIGSTDLPKKIFSAPQPAVCGGEDAEEYPLARGNLSGEQPRAAGPLHRVLGYSSVSSVLRSRRYGEYS >Sspon.02G0050550-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:62770922:62775520:1 gene:Sspon.02G0050550-1C transcript:Sspon.02G0050550-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAEREGRERTQPSSSFARPIGNNGGCKRPPARLNDRRPCSAFTTSFSFSPPVHRSLLWPSFPRGDEWASAAAVLQVLPGDEHPPELPRPCLQPEMNASRKSNHVSSKDSAETARDIITTSGQIQPLKIPDAVAALAQAAAKANGETEKYLPGWPLFSPPKVQLDKCTKCSREFCSAINFRRHTRVHRRTLKIDRDFPKNRDLLAAFWNKLTVDDASTILSLTGVVVEGVTGSSILTALSSWMCKPGYASLPMAYARAGSELLVEAWCADKTAEALRCQKLLEEEEEAAQKRQAELMERKRMKKLRQKEQRLKNLKDEDVTVQSPEIMDDATCSTVIQSVKSISDPDHFEQEESQYLQFPAPITSETDNVFNVDLLVEDICCDLGPEMDKGWKEAIAADHVKLVLCPEK >Sspon.08G0028110-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:6074445:6076291:1 gene:Sspon.08G0028110-1D transcript:Sspon.08G0028110-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWDSESDGAGSVGAGEEEQEEQEEEERGGHGGGGDAGGMFTFAIEGMLRASGPCGLVVTDALEPDCPIIYVNRGFEEATGYRAEEVLGRNWSLV >Sspon.07G0011030-3C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7C:42476987:42480235:-1 gene:Sspon.07G0011030-3C transcript:Sspon.07G0011030-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAKQQPQQAAAAATTGVWKTVKPFVNGGASGMLATCVIQPIDMVKDLLVGILPPAKDLLVGILPPAKVRIQLGEGSAGQVTRNMLANEGVRSFYKGLSAGLLRQATYTTARLGSFRVLTNKAVEKNEGKPLPLIQKAFIGLTAGAIGACVGSPADLALIRMQADSTLPAAQRRNYKNAFHALYRITADEGVLALWKGAGPTVVRAMALNMGMLASYDQSVELFRDKLGAGEISTVVGASAISGFFASACSLPFDYVKTQIQKMQPDANGKYPYTGSLDCAVKTFKSGGPFKFYTGFPVYCVRIAPHVMMTWIFLNQIQKFEKKIGI >Sspon.03G0024540-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:74679687:74681849:1 gene:Sspon.03G0024540-1A transcript:Sspon.03G0024540-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQPQPHEEGGASPKHESLMEKLADKLHVGGGKGDSSSSSDSDNDERPRPSAPPAPPADEVKQPSFSDSAATAAAEAKAKVFRLFGREQPIHKALGGGKPADVFLWRNRNISAGVLGGATAIWILFELLGYHLLTFVCHGLIFSLGVLFLWSNASSFIHKAPPKIPEVVIPEDLVVNIALSTRYEINRAFANLRQIALGRDIKKFLMVIAGFWLLSVLGSCCNFLSLVYIVFVVLHTVPVLYEKYEDHIDSYGEKGWIEIKKQYAVFDEKVLSKVPRGPAKDKKH >Sspon.01G0048840-2P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1D:100630663:100632477:-1 gene:Sspon.01G0048840-2P transcript:Sspon.01G0048840-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAPLTATPAPLLPAKPKSAPPQQHPVLSQLPHCTNLRALAQLHAAAVKAGLAAHPALVTRLLTLCTTPGAGPAHLAYALQVFDRVPHPADAVWYNTLLRGYARSSSASASDAAVRVFVRMLEEGVAPDTYTFVSLLKACAAARAGEQGRQAHALAVKLGAAGHDYVRPTLINMYAECGDARAARVMFGGTDGGCVVSYNAMIAAAVRSSRPGEALVLFREMQAKGLKPTSVTVISVLSACALLGALELGRWVHDYVRKIGLGSLVKVSTALIDMYAKCGSLEDAIDVFQAMESRDRQAWSVMIVVYANHGYGREAISLFEEMKKQGMKPDDITFLGVLYACSHSGLVSEGLQYFDDMKDHDIIPGIKHYGCVTDLLARSGQLERAYKFIDELPINPTPILWRTLLSACGGHGDVELGKRVFERILELDDSHGGDYVIFSNLCANTGYWEEMNRVRKLMSEKGVVKVPGCSSIEIDNTVHEFFAGDGRHPKSQEARKMVDEVINQLKLVGYVPDTSHVFHVEMGEEEKAISLKYHSEKLAIAFGLLNTAPGATLRVVKNLRVCPDCHSMAKLVSMVFNRRIILRDLNRFHHFEKGICSCGDYW >Sspon.02G0042320-4P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:85263220:85267011:-1 gene:Sspon.02G0042320-4P transcript:Sspon.02G0042320-4P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATHGGENGSGHTAEWRFARVAKEGEGALAVAGDKLSIRAARFKISASVDARDPRPVLPLAHGDPSVFPAFRTAAEAEDAVAAALRTGKFNCYPAGVGLPDARRSLAEHLSSDLPYKLSPDDIFLTAGGTQAIEVVVSVLAQPGTNILLPRPGYPNYEARAGLHNLEVRRFDLIPERGWEIDIDSLETIADKNTTAMVIINPNNPCGSVAEVARKLGILVIADEVYGNLVFGDTPFVPMGVFGHVAPVLSIGSLSKRWIVPGWRLGWVAVCDPNKILQKTKIIASITNFLNVSTDPATFIQGALPHILENTKEDFFKRIIGLLAETSEICYSEIKDIKCITCPHKPEGSMFVMVKLNLYLLEGIHDDIDFCCKLAKEESVILCPGSVLGMENWIRITFAIDSSSLLDGLERIKSFCQRHKKKNLLNGH >Sspon.03G0009850-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3A:26483510:26484200:1 gene:Sspon.03G0009850-1A transcript:Sspon.03G0009850-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLKPHQWRLWLVCTVLVLQSHGGTVSDNKGWASPHKRKPGFGPLRFYKNEQGTHWSVARETTFKRWTLITYGNFISRSVSFTGLAACLPPPAGQPWLAAASGWLAGQLPWLAGQCLGWRRRLGWACHI >Sspon.04G0025390-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:31291153:31301607:1 gene:Sspon.04G0025390-3D transcript:Sspon.04G0025390-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:tRNA modification 11 protein [Source:Projected from Arabidopsis thaliana (AT3G26410) UniProtKB/TrEMBL;Acc:Q9LIN4] MWYLCVFYHRLLDYRRPEVESLAELFAGPGAGESVEWRMPENHHVDSPFHLVRLPGDERLAAQVANRSLLVKGIYELWGHGTTYEELEKSVREYPDERKLPFLTPESTFKIVVDSFGKVISSQEQNEIIQSLTYIPFQGRVNLKKPDHRFFVMETDDYGSNNGLPPVVKRSIFFGREVGAADRHLLPTYQLKSRKYIGPTAMDAEMAFLMANQGLARPGKLVYDPFVGTGSILVAAAHFGAMTMGADIDIRVVRDGRGPNCNVWSNFEQMFDAIICDPPYGVRAGGRKSGGRKLLKGVKGPYTVPDEKRDNHIPSTAPYSLAECVHDLLHLAARMVVMGGRLVFFYPVLRGEDGAASPQFPDHPCFKLITSSEQILSFWYSRVLLTMVKVAPYTEEIEKMAAERHKEFKENHQKWMEEGNLHSAVFEPAQDGKPDRESKPKYRG >Sspon.03G0005500-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:20065691:20067523:-1 gene:Sspon.03G0005500-3D transcript:Sspon.03G0005500-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWRWHDDDGDSDGDSGRGLGGVPDLAGGGGEGAQCATRRVVQSRCHTEEVEPGRFVRKCEKTEQLLRDCVGRPSELVESKTENTEEDVTEEMKSGSLSLGFPRNEPFAFPGLRNDMEALEKDFFGTLGNFLDEAERMTNSFIKSFGFPPVHDSESSPFQRQPAERHIEEDTARKTKESDYSEFSSKISDV >Sspon.02G0012400-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:32694453:32698082:1 gene:Sspon.02G0012400-1T transcript:Sspon.02G0012400-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAWDWDCHWFCVACFPYRFRARLQTAGAVSKFSLVNVNGDLDCPVQTQMAVAVLDRSFSSEYPGGSRTEGRQLSWKRVFVQTDNGSVLGIELERGENVQTVKKKLQIALNMPTDESSLTFGDLVLNNDLSSIRNDSPLLLKRNQIHRSNSTPCLSPTGKDVWQRDRSGPIEILGCSSPSSRMKQLAKDVIKAIRNGVDPVAVNSGMGGAYYFKNIWGERVAIVKPTDEEPFAPNNPKGFVGKALGQPGLKRSVRVGETGFREVAAYLLDYGHFANVPPTMLVKITHTIFNVNDCVGCKSKVFCNKSEAVSKIASLQEFIPHDFDASDHGTSSFPVSAVHRIGILDIRIFNTDRHAGNLLVKKLGPGADNFGEQTELIPIDHGLCLPECLEDPYFEWIHWPQASVPFSEEELEYIAELDPVKDAEMLRTELPMIREACLRVLVLSTVFLKEAAAFGLCLSEIGDMMSRQFTAKEEEPSQLELLCMEARKWVEEREFFLPDEAGVEDDDDDFTQFLLDSEDDSDAFEPPAFCKFGSMKASSRNPLSKLDECDEEDEDETEEDEDDDILTSALPQKIPSISKLSSSMKGLGFIGKSKPYRRGVPKGKVTGRTNYSGKASEHQSGSRSANELLPPSASFVKLSDMSPKEWSAFLDKFLELLPGAFRTRKHTAGVGQRPMPRLGTSCQF >Sspon.06G0005790-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:19353766:19356291:-1 gene:Sspon.06G0005790-1A transcript:Sspon.06G0005790-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRGSKKSSSSAATASAGPTKAVSKEVERIDQFFYTYACPAVALPTLLSRLRACRSASHALQCHALLLTSGHLDASPLRLSNLLLLALASVPGAATLADAVFARLPEPAARDPFPWNTAIRLHAPRAPEPRCSTSRGCAVAACGPTPTRSPLSSRPAAARLAAGPDSWFMLRP >Sspon.06G0000950-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:947184:948191:-1 gene:Sspon.06G0000950-4D transcript:Sspon.06G0000950-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCVSSKILAKSGSFQEKVSCSLGHSFQRSSAIEEIILSTSKSNGDQFLALLRRSTSSARKAKEPEAAPAAVAEPVAKIETIDVSELLAGLEEESCTAAEEARERRQSSRDGSPTPWPASDGGAAGRARSFRTVEEFDAMVLTQQEQQTQSESGSSSERPTALATEQEEELATATAVTALTAEAELSGGSGSKAAVGAKRRARARQLGELNVPTAAAFDFSISKSGSLRDWLRQGGQIFSPGSYVTPRFGTSPTPAERGGAAAGEQQQALFDPELVAQFERAMEQLSEDEGRVLDEILEALELEAAEKNGAAAAVGRVRDGQPADVAAAALVQQV >Sspon.02G0044310-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:100639569:100642737:-1 gene:Sspon.02G0044310-1B transcript:Sspon.02G0044310-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMGDLRSRVRVGLLFLVLVLVLLGGGADAGVTSSYRRKLEATVEMPLDADVFRVPPGYNAPQQVHITLGDQDGTAMIVSWVTPSELGNTTVAFGDHPDPEKMERRAEGTHTRYDYFNYTSGFIHHCTLKNLKHSTKYYYAMGFGHTVRTFSFTTPPKPGPDAPFKFGLIGDLGQTFDSNTTLSHYEANGGDAVLYVGDLSYADNHPLHDNNRWDTWARFAERSAAYQPWIWTAGNHELDFAPELGETTPFKPFTHRYPTPYRAAGSTEPFWYSVKIASAHVIVLASYSAYGKYTPQWTWLQAELQQVDRKTTPWLVVLMHSPWYNSNNYHYMEGETMRVQFERWLVDAKVDVVLAGHVHSYERSHRVSNVAYDIVNGKSTPVRNADAPVYVTIGDGGNIEGVADNFTRPQPSYSAFREASFGHATLEIKNRTHAYYSWHRNHDGAKVVADGVWLTNRYWMPTDDDAN >Sspon.04G0008330-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:24010022:24013955:-1 gene:Sspon.04G0008330-2C transcript:Sspon.04G0008330-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKASAHANEEKRWVLSDFEVGKPLGRGKFGHVYQAREKRSSQIVALKVLFKSQLKQSQVEHQLRREVEIQSHLRHPNILRLYGYFYDQSRVYLILEYAAKGELYKELTRCKHFSERRSATYIASLARALIYLHGKHVIHRDIKPENLLVGAQGEIKIADFGWSVHTFNRRRTMCGTLDYLPPEMVEKTEHDYHVDIWSLGILCYEFLYGVPPFEAKEHSETYRRIVTVDLKFPLKPFVSPAAKDLISQFFRCLVKKMVIQIQIVISLNLINLCTQMLVKNSAQRLPLHKVLEHPWIAQNADPSGVYRG >Sspon.02G0013840-3D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2D:30120422:30122782:-1 gene:Sspon.02G0013840-3D transcript:Sspon.02G0013840-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMSRNLWPVLLILICFLVCPVRIHGFLWNIFSSSSAATDGNHAPVMELDGAVADFAMDGANDPRGLKLLENAQNKLAGPRNCWQEAYRKLFASCGEIMADKERQSRLAWHLSSCFQEDSGRPPFPSCVEGSKMVHCRKRLSESEGKVFLEFFLETNTLCHQLQAEAFKHNTERLVNDLTRTSKSAEEKLEVIEERSDQIIKESCKVHDTLSLIEKQASNLAEASKHAEEQINDVLVHSKSIFEQSNEIATTQAKLSKGQSEMREQIEAGTTRVEESYERLGNGMDKLKEETGYMKREIKSVSESISSKMEDLQRTADDIGSVAGKSLENQKQLLNGQNQAMDGLNKLHSSQAQALEENRETIQKLAQFGQRQQEELLSRQEQIRQAHEHLIQNSHSIVEAQEEFRAKQANIFAALDKLYILHNAILAESRFIKAFFFYCCIVFLIYMLTSAKQTFSIRGQLYFGLCITLLLEIGLIKIGADDFDKQFWVMSKVFLVRMLFLGAATVQILHSIFTYRDYEVLNHGLLQTLVEKVRALEENAGGRALSYSSESEESLRDYSWVFDELVDEVDSKMDPTYVLPPERSPPTRRRNQIVVAEEIGENSITASVSRKYNLRPRK >Sspon.04G0023430-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:12712756:12715877:1 gene:Sspon.04G0023430-1B transcript:Sspon.04G0023430-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGARPKSAAAESKSGKTTPPTPKGGRPSKPGAAKPANGTPPQAPRAADRSPGSADKPPSGDRRTPKVFARLSTPPAEKQSSAVKQSHELQAQLAAVQEELKRAKEQLAEKEKEKGQVLEELEDAKRLADEANANLLVALAARKKAEEASETEMFRAVELEQTSIESMQRKEEELQRKLENMRSQQESDAAALRSTVEQLEKARYELADAIDTKNLALNQADDAIRLSEVNAHKVELLDAEVARLKELLDTELESKEREGAEQIMRLEAEVSALKIELQKAKDAEEKVAELGDVIEGLRIDAANTMKARAEAEELADEWKQKAEILEIKLEAANQSYMLKVDSLNSVMKELDAASTLLSEKESELSDLQNKLQALEEEVARQNEDIITSNERLDVAEKEAFELREEINELQSKIQALEEEKMDAINNENNASSQIESICEEKERLAKELETSKDEYEKVKKAMEDLASALHEMSGEAREARERYLNKQEEIERAKAQIEELNMNLKNTQENYEVMLDEANYERVCLKKTVERMEAEAKNTSEEWQSKEVSFVSSIKKSEEEIGTMRVEMDKVVEKVQDCENRNAELEEKLKELEAQVEEANRAKDEAKAEALSWKEKLLDKENELQNIKQENDELQVKESNASEKLKELSSVLGNAKVLNGTGPKDENDKGNMKEDDPVVIATKMWENSKVTNYDLSTDKEKDGESEFDLESNKGDAASDCNRLSIDNRVNNNTKLAIKQQQPKKPLMKKFGGLLKKKSQH >Sspon.06G0025040-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:88432954:88436488:1 gene:Sspon.06G0025040-2C transcript:Sspon.06G0025040-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MENYHMLFGATTTRAQPSSATPNSYNFMATAAGTSGGGLRDHDRGQHSGHGGGSSSSFFAELSNNTDSKDGGAPVAADSGRGESSAAAGEVDRPAARRKGEKKERRPRYAFQTRSQVDILDDGYRWRKYGQKAVKNNKFPRSYYRCTHQGCNVKKQVQRLSRDEGVVVTTYEGTHTHPIEKSNDNFEHILTQMQIYSGMGSTFSSSGHNMFH >Sspon.06G0001730-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:5620293:5621646:1 gene:Sspon.06G0001730-1P transcript:Sspon.06G0001730-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSAGPPPPPWSRMEGQVVLVTGASSGIGRDFCLDLARAGCRVVAAARRADRLRSLCDEINASAAAEAPRAVAVELDVAAGGSVLEAAVQRAWDAFGRIDALINNAGIRGGVHSPLDWPEDEWDRVIKTNLTGSWLVAKHVCRRMRDAKLNGSVVNITSIAGLNRGHLPGSTGYASSKAAVHYATKIMALELGAYGIRVNSIAPGLFKSEITAPLFQKKWLSTVASKIVPLKEHGSTDPALTSLVRFLIHEASSYVTGNIFIVDSGATIPGVPIFSSL >Sspon.01G0025740-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:81412601:81414623:1 gene:Sspon.01G0025740-2B transcript:Sspon.01G0025740-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVEEEVGRLKEEIQRLGQQQPDGSYKVKFGVLFNDDRCANIFEALVGTLRAAKKRKVLTYDGELLLQGVHDNVEITLLPPPAVAAA >Sspon.01G0039140-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:21942720:21947715:1 gene:Sspon.01G0039140-2C transcript:Sspon.01G0039140-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGAADYQEMAASVLPSLKAITLTHVRYRRGDPLGLFLAWVSLVPVFISLGGFVSHFLFRRELQGLCFAAGLLVSQVLNELIKHSVAQSRPAYCELLEACDSHGWPSSHSQYMFFFATYLSLLSLRRSRARQVIAAVPWPLAFLTMLSRVYLGYHTVAQVFAGAVVGLVFGAIWYWIVNTMLVNYFPMIEESAIGRWLYIKDTSHIPDVLKFEYDNARAARKKRKRGTEWPFIKAKTGTKDQRNQHTRNLTLQRHRKWVEGILIKLSNGQNSLLLKLGTDTLQKYIMKI >Sspon.07G0010130-3D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7D:26368706:26369017:-1 gene:Sspon.07G0010130-3D transcript:Sspon.07G0010130-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVGTQGGYAADDELSTNASPAPSPAAAVVSNPWHSIALPPQPPVVVGTGRGRQLGRWSPARRPERARPHSGVSRPVYVIAAGRASLVRAVRWPSSSCSTGPT >Sspon.01G0033510-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:112763506:112771928:1 gene:Sspon.01G0033510-1A transcript:Sspon.01G0033510-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSHGADSAHDAGAVGVSSGGATVPTRFVWPYGGKRVFVSGSFTRWSEHLPMSPVEGCPTVFQAICSLSPGIHEYKFFVDGEWRHDERQPTISGEFGIVNTLYLTREFNQINALLSPSTMGSRMNMDVDNENFQRTVTLSDGTVSEGTPRVSEAAIQISRCRVSEYLNLHTCYDLLPDSGKVIALDINLPVKQSFHILHEQGIPVAPLWDSFRGQFVGLLSPLDFILILRELETHGSNLTEEQLETHTISAWKEAKRQTYGRNDGQWRPHQHLVHATPYESLRDTAVKLLQNGISTVPVIYSSSSDGSFPQLLHLASLSGILKCICRYFKNSTGNLPILNQPVCSIPLGSWVPKIGDPNSRPLAMLRPNASLSSALNMLVQAGVSSIPIVDENDALLDTYSRSDITALAKDKVYTHVRLDEMTIHQALQLGQDANTPFGFFNGQRCQMCLRSDPLLKVMERLANPGVRRVFIVEAGSKRVEGIISLSDIFKFLLSL >Sspon.02G0031660-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:115732885:115734556:1 gene:Sspon.02G0031660-1A transcript:Sspon.02G0031660-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSFNIISRKKKKKKRKKESSQHPRGGLHWALRAVRCGNSLANPTQHSSSAAVRRRLLSPSPSGTSFVRRGVGRMPLGLTLGSLGRAMRRKRLSSLDILSSKRAPRDYYKGKNCKPTGFHTRKGGYVIVDEKLPRFVVPDLTDFKLKPYVSQCARDLTASTTSSTSAETTENKS >Sspon.08G0003000-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:5165907:5170636:1 gene:Sspon.08G0003000-2B transcript:Sspon.08G0003000-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:IAA-amino acid hydrolase [Source:Projected from Arabidopsis thaliana (AT1G44350) UniProtKB/TrEMBL;Acc:Q0WNN8] MDRGGGHALRAALLLLLTLPTIPVPAACPATTAAFLEEDAILGAVGSAMRGGGSKGPANASTRPPRGGAGRQDNRYDVGSWKEEIAAVAGRPELAAWLRAVRRRIHERPELAYEEVETSRLVRDELDALGVAFRHPVARTGVVATLGTGRPPVVALRADMDALPIQEAVEWEHKSRVPGKMHACGHDAHVAMLLGAASILKSREHQLKGTVKLLFQPAEESGCGAKRMIEDGALEGVEAIFAVHVSHQHPTSVIGSRTGALLAGCGFFKAVIRGGDGDKDPCRANPVLAAASTIVSLQSIVSREADPLDSQVVSVALVNGSEVQQAEELVLGGTFRAFSNASFYQLRRRIEEVITAQSRVHGCAASVDFFEDQSFYPPTVNDARMYGHVRRVASQLLGGAAYRDVPPMMGAEDFAFYSQAVPAGFYYIGVRNETLGSVHTGHSPYFMIDEDVLPTGAAVHAAIAERFLADHGSLMGGDSDDETVGQEL >Sspon.08G0011220-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:140185:142358:1 gene:Sspon.08G0011220-1P transcript:Sspon.08G0011220-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIGAVSDELLGTFVPIAVYWLYSGLYVALDGVGRLDGYRLHTREEAATKNVVSKAAVVRGVLLQQVFQVAVSLTLFAVIGDESGIGQKQPPALVIVLQFITAMVVMDTWQYFMHRYMHINKFLYKHIHSKHHTLVVPYSFGALYNHPLEGLILDTIGGALSFLVSGMTPRTSIFFFSFATIKTVDDHCGLWLPGNILQALFGNNSAYHDIHHQLYGNKYNFSQPFFVMWDKIFRTYMPYSIEQRKGGGIESRPVKLD >Sspon.01G0011110-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1A:30623341:30623811:1 gene:Sspon.01G0011110-1A transcript:Sspon.01G0011110-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMHMTFYWGKSATILFDGWRTSTWAGYLLSLVALLLAAAFYQYLEVLRVRMKLVAEGGGGGAKAKPASSIPPPASFDPRTPLLAPAFAGRRWPARVAVATMFGVNSGLGYLLMLAVMSFNGGVFVAVVVGLTLGYLAFRSGDGEDLVVVDNPCACA >Sspon.07G0026060-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:16041735:16045639:1 gene:Sspon.07G0026060-2D transcript:Sspon.07G0026060-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSATPEDFLAAQEGAAPYLVAPEPFSPSVFLDLPPTPPGRPTDDDDDDPDLILPFISRMLMEEDIDDKFFYQFPDHPALLTAQQPYAQILSDSATASSPSEDSSYAAATNTTATTTTTSSSGSGTAGGNSTLSPSSDAPASSPDPASWPPYDDPVDLSDLLRSPPYPSPDMGVGLDDFAGLLSPAQGQDAAAAARFHFQQSPPFSDGGGGGGGGEDQRQQPSFAAQSTGGAQQQRGSQSSAAFSSDKDEEEETKTESTTFPAADADHAALASAFFGAQNGGNMDMLNMAFLKGMEEAKKFLPTTNSLLIDLDDPSGKSLPKDRDSKPSTAFAATQIQVKEEEEQVVNAISMFGGSRSANGRGRKNRHAEEDDLEAETGRSSKLMMPEQEETGANELYNEIMNCTYESFMKRMEDLRITMDSETEKSARKVSRKGTRGKQSLVNEVVDLRTMLIHCAQSVATGDRRSATEVLKQIKQHSSPRGDATQRLAHCFAMGLEARLAGTGSQAYQSLMAQHTSVVDFLKAYRLYVAACCFMKVNFIFSHMTVGDAVAGRSKLHIVEYGVQHGFHYPGLFHLLARREGGPPEVRVTAIAVPQPGFRPAHQIEETGHRLSNFAREMGVPFKFRGIAAKWEAVRAKDLNIDPDEVLVVNSECYIGNLMDESVLLDSPSPRDTVLNNIREMRPNVFIHTIVNGTYGAPFFLTRFREALFFFSALFDMIDATIPRDNAERLLIERNIFGSFALNVISCEGADRVERPETYKQWQVRNHRAGLRQLPLNPEVVKAARDKVKNYYHRDFLVDEDNLWLLLGWKGHPSGGIQVFQHDHLQGYCREEKGTHCGLRHTLRIPVARFAEMFIYMPGGPPEMRITGIDFPQPGFRPAAWVQETGRRLSSYACQVGVPFKYRGIAAKWETVGVDDLDIDPDEVLIVNSILHFGNLMDEGINTSSPSPRDVVLSNIRKMQPDVFILFITNGTYSSPYFVRRFWEALFHYSAMFDMMDATTPRDSDLRVLVERDLFGQCAQNSGAP >Sspon.08G0005110-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:13004165:13012338:1 gene:Sspon.08G0005110-4D transcript:Sspon.08G0005110-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Purple acid phosphatase [Source:Projected from Arabidopsis thaliana (AT5G34850) UniProtKB/TrEMBL;Acc:A0A178UD94] MRGWGLLVLSLHVLSCLVSGVASGRTSSYVRTEFPSTDIPLDSKWFAIPKGYNAPQQVHITQGDYDGKAVIVSWVTPEEPGPSEVFYGKEKQYDQKAEGTTTNYTFYDYKSGYIHHCLVDGLEYNTKYYYKIGSGDSAREFWFETPPAIDPDASYTFGIIGDLGQTFNSLSTLQHYEKTGGQTVLFVGDLSYADRYEHNDGIRWDSWGRFVESSTAYQPWIWNAGNHEIEYRPDLGETSTFKPYLHRYMTPYLASKSSSPMWYAVRRASAHIIVLSSYSPFVKYTPQWLWLKHEFKRVDREKTPWLIVLMHSPMYNSNEAHYMEGESMRAAFEKWFVKYKVDLVFAGHVHAYERSYRISNVNYNITSGNRYPVPNKSAPVYITVGDGGNQEGLASRFYDPQPDYSAFREASYGHSILQLKNRTHAVYQWNRNDDGNPVPADTVMTSSTRRRRLKKNHFHLENLEDLISLF >Sspon.07G0032210-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:39119679:39120920:1 gene:Sspon.07G0032210-2D transcript:Sspon.07G0032210-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRAAVLLSLLCCLAAAGRGEAVSVAGEGEAAAELAVSVDPSWRFPNQRLRDAYVALQTWKQQAIFSDPRGFTADWVGPGVCNYTGVYCAPLPRGAPGAGELAVAGVDLNHGDIAGYLPTELGLLADLSLLHLNSNRFCGLVPATLRRLRLLVELDLSNNRLVGAFPAVVLDLPALKFLDLRFNDFEGAIPPALFDRPLDAIFLNHNRLRSPLPDNFGSSPASVIVLADNSFGGCLPASLGNMSGTLNEILLINNGLDSCVPPEVGLLREVTVFDVSFNALVGPLPQQVAGMRKVEQLDVAHNRLSGAVPEAICALPRLKNLTISDNYFTGEPPSCARVVPPPDGDRGNCLPNRPAQRTPQQCAAFYSQPPVDCAAFQCKPFVPVPPPPSYPGPLPPVYPMPYASPPPPAHYR >Sspon.02G0016420-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:5931534:5931722:-1 gene:Sspon.02G0016420-2D transcript:Sspon.02G0016420-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQWSMFLKNFSDVSRPISDIRKDRDKTHMALPIDSAMYTEKRRPNPTVGVVAVVGGDAHAIQ >Sspon.07G0008060-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:18772183:18776952:-1 gene:Sspon.07G0008060-3D transcript:Sspon.07G0008060-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding HPAMATTTTTDGRNGEGEQKKREKGGFKTMPSDAGNDICDKFASTGFGANFITYLTVQLHMPMVEATILLTNFGGTSSLTPILGAIAADSFAGRFWTIIVGSVFYQLGMLGLLVSALLPSLRPPPCSAPPSGPGCQRSSGLQLTVLYLSLLCKSVGTGGLRPCVVMFGTDQFDHEPGAEQQQLTAEAAEAAAERKRRYFNLYFFAGGVASMLALTGVVYIQDHVGWGWGFGIPAIAMFVSIVVFLIGYPLYVRLKPGGSPFTRLAQVAAAAFKKRNVVVPEDTGMLYQDKELDVLISTNGRLLHTNELRFLDRAAKVTPGDISASGQPDLWRLSTVHRVEELKSIVRLLPIWSAGIMLAAVEAHGPFTILQARSMDRHITRRFEIPAATMSIFGTMAFLVSLALYDRAFVPLARRVTGLQSGITYFQRMGIGLAIHILGVATAALVETKRRHAAAADGLLDKPTAVIPLSVFWLVPQFAVSGVAGAFSSVAHMEFLYDQSPESMRSAAAALFCLSSSIGHYLSTVLVTVVQRTTRAHGNDWLQDNINRGRIDNYYWLVTCLMVLNLGYYLICFQFYTMKPLEMADEKDDHDAECELSAVQKNGTGA >Sspon.07G0012260-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7A:43720273:43721461:1 gene:Sspon.07G0012260-1A transcript:Sspon.07G0012260-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RLLTVYLQGRNYGFVVFYDPKDADAARNGLDGQEICGSHITVQFAREHKMTRYDTRYIHDDQNDRQDGNSKLFVSNISPRTQEHDIKDLFSKYGRVRKANLKENYGFVVSRTSHYDFELIIGTS >Sspon.01G0043910-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1C:72428931:72434688:-1 gene:Sspon.01G0043910-2C transcript:Sspon.01G0043910-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GAGGSGTALINAFLRAGHSPPALKNLPYISLRSARAGQIGRISSNSRRQAAGFTPRRRRLPPPCALPHRLPLPEPTTAASSDDLHLDLASSTPTSPAVATLGFPHYRHWPRRPMLVRFPTSSPSTSPELRCEAARLPPSPAPVIEDVVPGPIHTFGFGTDHDAVAMHTIAEVACGMFAFVENQEVIQDSFVQCIGGLLSVAVQDARLAVTCVHPGVRVWDEDLAIASSSTHDKARHPEANSQCASGARWFVIAARRQPRHSTTQPGPISVDSAVPLAPLIVNKHRRCRRPSVATLVDNSPRMTTNRSISSNSLHFVKIKSRTAALAKIRRSKQARKISDCASPRSDTGLRLPTRIDSVRLQLRPFDYDSAHPSDAKRAARATKRAAPECDYVNDLPESDLPKADYQADKTSDLASTHARAPALSVMGDQQRLFGDACTLTRKRVSVTLRYGGLGSINELTFYARGLDHSGRTKGSSSGWSHHLAVGLLHRSTGQTARFMDFVTNQPIGLFGVHFYSALTLSPTSWSDNSALTSARRTLRRRPVGQIARHFGSIVSYAEGSPAKLGTLRRSDSSCKSC >Sspon.04G0035960-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4D:21267868:21268155:1 gene:Sspon.04G0035960-1D transcript:Sspon.04G0035960-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPALAVFKEFGSRLLESASAFFHLHQAALLPRLLPKTSPHVPTTDDISSPLERILSPSWCIWVLSPQVTFILHQTRSFIAVAPAKAITLEYTVAE >Sspon.03G0038480-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:4994117:4997101:-1 gene:Sspon.03G0038480-1T transcript:Sspon.03G0038480-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATFELYRRSTIGTCLTETLDELVSSGAVSPELAIQVLVQFDKSMTEALEMQVKSKVNVKGHLHTYRFCDNVWTFILTDATFKSEEIQETLGKVKIVACDSKLLQPQQP >Sspon.03G0020240-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:48807942:48809474:1 gene:Sspon.03G0020240-3D transcript:Sspon.03G0020240-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPPTLLPLAAAVVAVLLLAVAPTPAVSRHRHSSADTETLDVAASLSRARAALSTDAVSLHQSAAEAKRSSPEGGGGGGLTLRLHSRDFLPEAQQGQRHETYRSLVLSRLRRDSARAAALSARATLAADGVTRLHDLRPANESAVFAASLAAAIQGPVVSGVGQGSGEYFSRVGIGSPARELYMVLDTGSDVTWVQCQPCADCYQQSDPVFDPSLSASYAAVSCDSPRCRDLDTAACRNATGACLYEVAYGDGSYTVGDFATETLTLGDSTPVTNVAIGCGHDNEGLFVGAAGLLALGGGPLSFPSQISASTFSYCLVDRDSPAASTLQFGGDGAAAADTVAAPLLRSPRTGTFYYVALSGISVGGQPLSIPSSAFAMDATSGSGGVIVDSGTAVTRLQAAAYAALRDAFVRGTQSLPRTSGASLFDTCYDLSDRTSVEVPAVSLRFEGGGALRLPAKNYLIPVDGAGTYCLAFAPTNAAVSIIGNVQQQGIRVSFDTAKGAVGFTPNKC >Sspon.07G0020480-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:79814461:79819574:1 gene:Sspon.07G0020480-2B transcript:Sspon.07G0020480-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSVQRDPFTLSARRGIPVVLRHGNQPVSDCPITFFDSFLFLTLVRSASPVQIRFILLQNRQGKTRLAKYYVPLEDSEKHKVEYEVHRLVVNRDPKFTNFVEFRTHKVIYRRYAGLFFSMCVDITDNELAYLECIHLFVEILDHFFSNVCELDLVFNFHKVFLILDEFILAGELQETSKRAIIERMGELEKLE >Sspon.08G0014280-2D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8D:56244294:56244608:-1 gene:Sspon.08G0014280-2D transcript:Sspon.08G0014280-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MITGTSTRSSGEATNSTAGPCPTEVRPGHLTRQLNERIHRITLCVRAGPQGRLTPLVMDLPSNRHAMEIIVLTTMSPGFLSTLRSTCLLCDSHLKIGVQLIKRC >Sspon.04G0011130-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:29712689:29729836:1 gene:Sspon.04G0011130-2B transcript:Sspon.04G0011130-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTAAASSGKAVVVPEGENDGLTSKADSAQFQSDELESSEHFVSSARFHFQVPDITEETMCGAGVADDAQALNRVRVVPPPSDGQKIFQIDPMLQGYKYHLEYRYSLYRRIRSDIDEHEGGLEAFSRSYEKFGFNRSAEGITYREWAPGALSAALVGDFNNWDPNADCMSKVRMDTPSGIKDSIPAWIKYSVQAPGEIPYDGIYYDPPAEVKYVFKHPQPKRPKSLRIYETHVGMSSPEPKINTYANFRDEVLPRIKKLGYNAVQIMAIQEHSYYGSFGYHVTNFFAPSSRFGTPEDLKSMIDRAHELGLLVLMDVVHSHASSNTLDGLNGFDGTDTHYFHSGPRGHHWMWDSRLFNYGNWEVLRFLLSNARWWLEEYKFDGFRFDGVTSMMYTHHGLQVTFTGNFNEYFGFATDVDAVVYLMLVNDLIHGLYPEAVTIGEDVSGMPTFALPVHDGGVGFDYRMHMAVADKWIELLKQSDEAWKMGDIVHTLTNRRWLEKCVTYAESHDQALVGDKTIAFWLMDKDMYDFMALDRPATPTIDRGIALHKMIRLITMGLGGEGYLNFMGNEFGHPEWIDFPRGPQRLPSGKFIPGNNNSYDKCRRRFDLGDADYLRYRGMQEFDQAMQHLEQKYGFMTSDHQYISRKHEEDKVIVFEKGDLVFVFNFHCNNSYFDRIGCRKPGMYKVVLDSDAGLFGGFGRIHHEAEHFTTDCSHDNRPHSFSVYTPSRTCVVYAPAE >Sspon.07G0016730-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:64299123:64302854:1 gene:Sspon.07G0016730-2B transcript:Sspon.07G0016730-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DETOXIFICATION [Source:Projected from Arabidopsis thaliana (AT2G38330) UniProtKB/TrEMBL;Acc:A0A178VTF1] MVTSPPVTVRAVACALTLTPSSRLNRACLAQRRHRRRLPRCSGKQAVVEEETPSSREANNGEGDEGRGAQGWFALDTIGLDILSIALPAALALAADPIAALVDTAFVGHLGSAELAAVGVSISVFNLVSKLFNVPLLNVTTSFVAEQQATDDGYTGTREGYDFPRSPEEVTTKRKFLPAVSTSLALAAGIGLLETVALIFGSGTLMDMIGIPTDSPMRLPAEQFLTFRAYGAPPIVIALAAQGAFRGLMDTKTPLYAVGVGNLVNVILDAILVFPLGLGVRGAALATVTSEAELHEAMIATLTGNYSIGGLLIGRTIAVLLTMTLSTSLAAREGPVPMAGHQLCLQVWLTISLLNDALALAGQALLASEYAKGNYKQARLVLYRVLQIGGVTGVALAVALFFGFGSFSVLFTSDPAVLDIAKSGVWFVTVSQPINAIAFVIDGLYYGVSDFTYAAYSMVREQRWTMEARLVREKRWTLGGYQAR >Sspon.05G0006010-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:20620784:20628046:1 gene:Sspon.05G0006010-3D transcript:Sspon.05G0006010-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTPRGLLLAIVLLAAGAAVVPASAKDYTVGDSSGWKPGVDYTAWAKGKPFAIGDTLCTGGLPGFAAFQYTSAHSVLEVSEADHSACSATNPLRSHRDQSTTVPLTKAGTRYFICGTPAHCASGMKLAITVSGGSDSGSSSAGPAMRATNTTPAAGGATTTADAAESDSSAAGTGARLATGLLLAAPTMAQARVALALCALLLVPGVARRAEAVSYNVGNSAGWDPSADLPSWADGKTFYVGDVLVFQYSSYHTLDEVDEAGFNNCSAANALLSRSDGNTTVPLAAPGDRYFICGNQLHCLGGMKLHVLVNQPAGGAPASAPPQSPPQTGSGASLGPTTDDEGIPFLVLGGSHRAT >Sspon.03G0009250-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:32265620:32269426:1 gene:Sspon.03G0009250-2B transcript:Sspon.03G0009250-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTPRGTQRRAAAPAAAAGGEPYNILPIHDLLAEHPSLRFPEVRAAAAALRAVGGLRPPPFLQWRADQDLMDWLGAFFGFQRDNVRNQREHLVLLLANAQMRLSSADFSDTLEPRIARQIRKKLLRNYTSWCGFLGRRPSIYIPDGDPRADLLYTGLHLLVWGEAANLRFMPECLCYIYHHMAGELHRILEGFIDTATGRPANPAVHGENAFLTRVVTPIYNVIRAEAESSRDGKAPHAAWRNYDDMNEYFWRRDVFDRLGWPMEQSRQFFRTPPDRSRVRKTGFVEVRSFWNIYRSFDRLWVMLILYLQAAAIVAWEGAKWPWDDLRSSRGSKSKDTQARVLSIFITWAALRFLQSLLDIGTQFRRAFRDGRMLAVRMVLKVIVAAAWVLAFAVLYKRIWNQRSSNGQWSSAADSRIMSFLYAAAAFVIPEVLAIVLFIVPWVRNALEKTNWKICYALTWWFQSRSFVGRGLREGTFDNVKYSIFWVLLLAVKFAFSYFLQIRPLVKPTKEIYKLSGIEYTWHEFFGQSNRFAVFVLWLPVVLIYLMDIQIWYAIFSSLTGAFVGLFAHLGEIRDMKQLRLRFQFFASAMSFNIMPEEQQVNESFLPSRLRNFWQRLQLRYGFSRSFRKIESNQVEARRFALVWNEIISKFREEDIVSDREVELLELPPELWNVRVIRWPCFLLCNELSLALGQAKEVRGPDRRLWRKICKNDYRRCAVIEVYDSAKHLLLEIIKEGTEEHGIVTQLFSDFDGSMKMEKFTVDYKMTELHNIHTRLVALLGLLLKPTKDVTKIVNALQTLYDVVVRDFQAEKRSMEQLRNEGLAQSRPTRLLFVDAVVLPEEENATFYKQVRRMHTILTSRDSMINIPQNLEARRRIAFFSNSLFMNIPRATQVEKMMAFSVLTPYYKEEVLYSKDQLYKENEDGISILYYLKQIYPDEWEYFVERMKREGMSDINELYSEKERLRDLRHWVSYRGQTLSRTVRGMMYYYEALKMLTFLDSASEHDLKTGTRELATMGSSRIGSSRRDGVAGGSGYYSRASSSRALSRASSSVSSLFKGSEYGTVLMKYTYVVACQLYGEQKAKNDPNAFEILELMKNYEALRVAYVDERQVNGNEKEFFSVLVKYDQQLQREVEIYRVKLPGEFKKIGEGKPENQNHALIFTRGDAVQTIDMNQDSYFEEALKMRNLLEEFNRYYGIRKPKILGVREHVFTGSVSSLAWFMSAQETSFVTLGQRVLADPLKVRMHYGHPDVFDRLWFLGRGGISKA >Sspon.02G0027620-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:100244939:100245982:-1 gene:Sspon.02G0027620-1A transcript:Sspon.02G0027620-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DDGRRRQDDPHGAARLLPALPPGVLGGVAAGAALLRRRAALALAPGAAGAVAPPVAPPPPRPRRRGLPAGVPAALPPQPPPLPARLRVPRRHALLALLPAPRQGLRRALLLGAAAAAARPTAPAEGEDIRRRRLAVVVPGDGGDAARQLPGAAACQCRGFRRAARRVQCRRGAAPAGRWRRSPTRFPSHLRPQPRQRQREQGGARALGRRRDRLLRGAGQRVRGVQPGHGGGGRRGPRRRARHAQGAPHAAPGRGRRHRRGRVLTGQPRRRRRRGAVPAPGHAPVHGHRQGHRGDALRGPARRVYPRHDLCPRHHRHQHGLPELQGQPFLPPLGAGAGWRPQGRLESL >Sspon.02G0005570-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:11521470:11530624:-1 gene:Sspon.02G0005570-3D transcript:Sspon.02G0005570-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAEEVSTAARTGSNPAAEEAERRGESESEGEEPGVAAVLDFDMLCASVALSAERRKATAAPAGAAGDCGGGGGGGVQRMWEGDVVLDCLEDRRIALEASCCPCYRFGKNMRRANLGSCFLQEARTLEMNNVQCGVWHGRGDTICLGSNGEGNKAFAALNKASLVPIKSPGLCGMDRMSNAADEHEPLVPPDQLEQV >Sspon.08G0012580-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:53821672:53824673:1 gene:Sspon.08G0012580-1A transcript:Sspon.08G0012580-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPVRAGGPRNPRLLGLNVGAGVEVKLRLRRAGRDHDFIPYEEVLDTMLHELCHNQHGPHDAQFYKLWDELRKECEELVSKGITGTGQGFDGTGRRVGGFTVHPPPPSLRQATLAAAQKRARNGALLPSGPRKLGGNSEIMSALSPVQAAAMAAERRMYDDLWCGSHDQSGIDDSDDVIIIQESPNLVTRDGKNTKASCSNTSAEPSTSSGIHIAARDDRTSDAFDSSKWECACFSLTHLQPLAPICEVCGTAKPKIAKAKTALSLTNARHVINGDTHMGHLWPHMAQAMIE >Sspon.01G0004230-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:11542083:11542691:-1 gene:Sspon.01G0004230-1A transcript:Sspon.01G0004230-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding TMPVPIIESGAMIGPATTGQGTLRGEGRTCRTTRTEMGLLRRVSMAPQLHLARTRCHLTMVRATCLRRRHHRMVVVANQTISPKCQTHRLATTSKVVLLTASKVVHRGIKVVTKVTKGTQGRPTKVVTLATKVAHLVTLVANPHLPTKEATPTHRHTRVVAILATLVAPEATKVNEATQLPMSMTTSA >Sspon.03G0039060-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:9226560:9230075:-1 gene:Sspon.03G0039060-1C transcript:Sspon.03G0039060-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTVSTGRAEACWPPSACCRGRRTARSQTGCWCARCPFAPLICPVLHNPQTIFLGTKLVVLFPTVPLAIATQCVHIGQENPEQFSTQETSLDDKHWSDPDEPNFANDVEMPHPDDIEHHVGDYSDDEDPWKPLNPHEPSHLKIRPYMRGNPNVFGDLKDDNGSNTGINFDGDDPDMPNDINVDPDVPTYLDETIAATPNGTQDDIDTHASLDDLCRSHLNAPLANVSEIEKQSVMDARVSTWKKELRMPWKSSAHPYIHVFHDKNLPFDIGSYGEKILDTLSSRTDRELIVQELHLVVRLLVADQSMRLPQNSLRQLVNDISVDLDIGHATNEFVCYTAENTFHVRLIGPNRRPEMEACFARKRIKSPLQNVGKTKI >Sspon.06G0007180-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6B:23583996:23584736:1 gene:Sspon.06G0007180-2B transcript:Sspon.06G0007180-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHVRDEKPYPEVSADPICSRDCIYGDDDWVIVKKQRITILIPPQSPVTANPQSNMPTISSKQSCITRSKRDCDAARKKHPEKLAAKKCQDSPPVHGSIVYEDVPIMTGDKLPHSSAVPVAKTEWTKDHAVQELFHQETGKVTSFFGSMGKPRMPLISSPVASKIIRAQLLERQVAGFGGLRNWLFGCGLGWFVNILDTEKLGMYQLASLTMKQLKDMGLVAVGPRRKLIHAIDSLCHPHHVEMLS >Sspon.02G0009560-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:21628352:21630774:-1 gene:Sspon.02G0009560-4D transcript:Sspon.02G0009560-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEAEEEAAARWESEKEAEIDYVFKVVVVGDSAVGKTQLLARFTRDEFALDSKSTIGVEFQTRTLTLHRKRVKAQIWDTAGQERYRAVTSAYYRGALGAMVVYDVTRRATFEHVARWVEELRAHADGSSTVVALIGNKADMPAARREVAADEAARLAEEQGLFFSEASALTGDNVERAFLTLLEEVFAVVSRRALELDEARRMRGDQQGDGDGGGEVLSLKGTAVDVGSIMETSAMKRSSQCACS >Sspon.04G0017360-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:63017095:63022133:-1 gene:Sspon.04G0017360-1A transcript:Sspon.04G0017360-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALSVPLRAAAVAAGSRAAAADPVKVSCVRSTGSAHFGCAFPSITASSSAARNIEPLRAIATQAPPAVPQYSSGEKTKIGINGFGRIGRLVLRIATSRDDIEVVAVNDPFIDAKYMAYMFKYDSTHGPFKGSIRVVDDSTLEINGKKVTITSKRDPAEIPWGNFGAEYVVESSGVFTTTDKASAHLKGGAKKVVISAPSADAPMFVVGVNEKSYDPKMNVVSNASCTTNCLAPLAKVVHEEFGIVEGLMTTVHATTATQKTVDGPSMKDWRGGRGAGQNIIPSSTGAAKAVGKVLPELNGKLTGMAFRVPTPNVSVVDLTCRIEKSASYDDVKAAIKAASEGALKGILGYTDEDVVSNDFVGDSRSSIFDAKAGIGLSSSFMKLVSWYDNEWGYSNRVLDLIAHMALVSAKH >Sspon.05G0025130-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:20610820:20613601:-1 gene:Sspon.05G0025130-1B transcript:Sspon.05G0025130-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVAAGAGSDALGLRRWRFTYVAAGERDGWRLWPPPSCDCGGRIDWRSKRRTTHETDRTQDSRLVAVTIKGDVPHKLNEKVRSMPCKLYNVGKCNPKQYYSLRVYGSKQGQRQSKRL >Sspon.08G0002330-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8A:7023993:7027049:-1 gene:Sspon.08G0002330-1A transcript:Sspon.08G0002330-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSLFVVLRKLADSLGERALERISTELVEAAPVLTDYEHSMKQIEAELLILQAFIAQAGAKVGDKAFDAWLDQVRDVAHEVEDTIDEYAYLAVQAVDTGSFFKRKFRQIKKFAAWQKFHSQISQVEARIQRLGEIRNRYGILAGEIDRSNKLRKPSQLFMSDSSYLTDSSEIVGYVDEIGRLTQWLLEDKQERTLIAIFGMGGLGKTTIASSVYKNKNITRTFNCQAWVTVSQTYQVEELLREIINQLIDQRASMASGFMTMNRMRLVEAIQSYLLDKKYFIVLDDVWDKDAWLFLNYAFARNNCGSKVLITTRRNDVSCLAVDHYTIELKTLQYAESWELFCKKAFRASKDSQCPENLRFFAEKIVARCQGLPLAIVTIGSTLSYREFEEHGWESFYSQLSWQLANNPELNWISNVLNMSLNDLPSYLRSCFLYCSLYPEDYNINRKMISKLWIAEGLVEDREDGTTMEDVANYYLVELTQRCLLRVTESNAYGRPRTFVMHDLVREATSIIAKKEKFGIAYGDASSTQVPHEVRRLCIQRGAQTMNSIASSRLRSFILFDTEVPCSWIDEILSRFRLLRVLCLRFANIGQVPGMVTELYNLRYIDFSYTKVKTIPASFGKLVNLQVLDLRFTYVEELPLEITMLTNLRHLQVFVVHDLLQRSLDCFSATKIPGNICLLKNLQALHIVSASKDLVSQLGNLTLLRSLAIMKVRQSYIAELWSSLTKMPNFSRLLISAIDTDEVLDLKMLKPLPNLKFLWLAGKLDAGVLPSMFSKFEKLARLKMDWSGLKKDPIISFSHMLNLVDLRLYGTYGGEQLTFCAGWFPKLIRLELGDMEHLDWIEIEDGTMIGLHHLELVGLGNVKAVPAGIQYLRTLHQMFLTDMSKGFIQRLQGSDNYIVQHIPNIHIFYSSDSQAVNNLHLVPWLVKKFGPGAAKHAPTYCGSSGT >Sspon.07G0013710-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:49434829:49437819:1 gene:Sspon.07G0013710-1A transcript:Sspon.07G0013710-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTLLQEEPAVDDVHWAMDTLLQEEEPAAVDDGETVVFPSLQALRDASHAAEMVRELMAAAETHDGANSWSSGSGDGGSSRATDHTAPAATDYYGGSWPPQSPNSSFARAPPPPPPSSNSNSNTSLPTVSWNFVTGSAQPGSDGVLEEAAVPPTRNLPPPELAQRRRSPPTPRRAHPLRSMGAPSSASCTPDHIVAERKRREKINKRLIELSTVIPGLKKATILSDAAKYVKELQQRLKSLEEAAAGAGAGSIRSKAPPPADENGGSGSPTSASSSSGAPALPEIEARFSERSVMVRIHCRGGKGVAAAALAVVEGFGLTVVHANVMPFSACTIIITITAEATSSSWFVIANELKSWLGSARYAELATNRAS >Sspon.05G0007050-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:16316119:16318944:1 gene:Sspon.05G0007050-2B transcript:Sspon.05G0007050-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MELGSAVSAHRCSSYTATYTHCSIGFSPRVRTTSWIRAAAEGDDGGADRRRRGASFAADGPRVVEVTAAPVATSGGGAGGAAGSAGFGARDAELAMWDKLGAVVRLSYGIGIYGAMALTGRFICQMAGIDCTGGFHPSLTALVEGLGYAAPPIMALLFILDDEVVKYSPHARAIRDVEDEELRSFFYGMSPWQFILIVAASSVGEELFYRAAIQGALADIFLRSTELMKDARGIASLSGIVPPLVPFAQTFAAVITAALTGTLYYIATAPKDPTYVVTPATRSRTGRENLKKLFAAWYERRQMRKIYSPLLEGILAFYLGFEWIQTDNILAPMITHGIYSTVVLGHGLWKIHDHRRRLRQRIQQVRSQGSSSDTL >Sspon.03G0043220-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:77115335:77118106:1 gene:Sspon.03G0043220-1C transcript:Sspon.03G0043220-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHCYLRQPISRAEAMPERRSRFWQMDAPPPPRAEVICPQPRRATRIPFAVETVNKASPKANGVTACVTVSIYPDGFISMIMISLSGTNHDFLCLTFTLHNCSAFPLYRSDSTADILDLILSKNDSDGDSSSQVGFLCGSPPVRTNNPVIHDPQFGIRVPSFSPLGSSYGKAPTLRVEVGSPSCGVSSSPKVRIEGFACGNSETHYAVTFV >Sspon.03G0004600-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:18569253:18569543:-1 gene:Sspon.03G0004600-2D transcript:Sspon.03G0004600-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MCHPGLPPLPPGCAVRRRERQAAVALAPSSSPSVPRARQAQAASHYYCVCSPTEHRGSFRCRLHRSGFEWGRRRPFANTPGRAEAGPAMHARMAAS >Sspon.05G0018740-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:78309997:78312819:-1 gene:Sspon.05G0018740-3C transcript:Sspon.05G0018740-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable mediator of RNA polymerase II transcription subunit 26c [Source:Projected from Arabidopsis thaliana (AT5G09850) UniProtKB/Swiss-Prot;Acc:F4KFC7] MDRGERLGRALDAFGGDLWALVDAALDAASRDRPDELRAHRDGIVERLYAAAAAGCSNCNGRPLPVAALVAAGLDEEDGEEAAPASSVVEVDAQAGGAEEQAEEIGAGAGAPGLERKIVAIRDFLDDPNQPEDELVRLLQNLADMEVTYNALQATDIGRQVNGLRKHSSAEVRRLVKQLIRKWKEIVDDWVRLHNSGGDGSAAIFFFGIYPVLDNPDGWKSVALVTAADGDSPDKIQGRSHQSPRVSGFQYSPSPQRQSGSALERANNGFESTMDAKSRASPVPVHHNSRQMNNNHNSATTASSAPAKVTREQRQSLLDLDKLDSARKRLQENYQEAQNAKKQRTIQVMDINDIPKPKTRNAFIRKSASGGLPARNR >Sspon.08G0007750-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:26037715:26038924:1 gene:Sspon.08G0007750-1A transcript:Sspon.08G0007750-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARASSVIRCLLTVAAVLSPLLAGGAAAGGGLSTSFYSKKCPNVQSIVRQGMASAVAAEKRMGASILRMFFHDCFVNGCDASILLDDTATFTGEKNAGPNANSVRGYEVIDAIKTQVEASCNATVSCADILALAARDAVNLLLMRDLTHPPSNIMQLGGPTWTVYLGRRDTRTASQSDANANLPGPGSSLATLVTMFGNKGLSARDMTALSGAHTIGQARCATFRDRIYSDANINATFASLRQQTCPQASDGGAGDAALAPIDVQSPEAFDNAYYQNLMARQGLFHSDQELFSGGSQDALVKKYSGNAAMFAADFARAMVRMGAISPLTGAQGEVRLDCRKVN >Sspon.03G0024680-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:59567256:59569064:1 gene:Sspon.03G0024680-1P transcript:Sspon.03G0024680-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALEPQVGPVALLLTVLVAAPLAYFLLVVVAGGKKKSASAAAPTSRHDGRRLPLPPSPRGFPLLGHLHLLGALPHRALASLARSHGPVLLLRLGRVPTVVVSSAAAAEEVMRARDLAFASRPRSAMAERLLYGRDVAFAPYGEYWRQARRVCVVHLLSARRVGSFSFRRVREQEAAALVARVAARASGAVVDLSELLTEYANAVVSRAAFGDESACGLFDEFDSGRRQRKVITDFQKLIGTVPVGELLPWLGWVDAITGLERKIRRTFEALDGLLEKVIDDHRRRPRGGCGDGDGRDFVDVLLDVHRNDKEHGIQLETNEIKAIILDMFAAGTDTTTTAMEWAMAELVSHPRAMRRAQDEVRAAAAGSTGVNEDHVAQLVYLKAVVKETLRLHAPVPLLVPREPPADAEILGYHVPARTRVLVNAWAISRDPTTWERAEEFVPERFLGGAAAASVDFRGQHFELLPFGAGRRMCPGIGFAEASAEIALASLLYHFDWEAAGGGGQGSRNREGTPTPSLDMTEVNGIAVHIKSGLPLLAKPWVP >Sspon.02G0038510-3D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2D:37821657:37826011:-1 gene:Sspon.02G0038510-3D transcript:Sspon.02G0038510-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AISRGLFPVLSPSLNATDLLDLFSKIVAVSSLNDQPSYDFLGTTQYGLGVNVWYNSTYNDNNAYSFIATLRVPRLVNAVSNAYLKFIKGTGIEMLLEYVKDMPKVGTSFQLDLSSLLSVLFFTWIIELLFPIALAFFVASFFLSVKMATVIGYMYVFGSGLLGAFLFRFFVEDQRCLQKKHAPSLHEPSNVQQDSKVILDMEKSDVAVERKLVEQLLIDPNANQAIICDNLRKVYHGRDGNPDKLAVRGLSLVLQKGQCFGMLGPNGAGKTSFINMVCLLWETLTGKEHLFFYGRLKNLKGAALVKAVDHSLKSVNLSHGNVGDKQVKKYSGGMKRRLSVAISLIGDPKVVFMDEPSTGLDPASRNNLWNVVKEAKKNRAIILTTHSMEEAEVLCDRLGIFVDGDFQCLGNPKELKARYGGTYIFTVTTPPEQEMEVEHLVRQFSPSANKIYHLSGTQKFELPKQEVKIAHVFDVVEKAKRRFTIHAWGLVDTTLEDVFIKVARGAQVFNEFA >Sspon.04G0003220-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:9750455:9753970:1 gene:Sspon.04G0003220-1A transcript:Sspon.04G0003220-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAENTHGSGRRALGDLTNVLRKRPATSDLEKSTGGIKIRRIEKDTGTGKGFDENAKTSSRGKGIVFGHLFDGVAKENFERPSIFQNTRVQHMAAEAAGLLSKEASDLKNHHASIDSFDLSDKEQDSSLESEGDYDDEDNDEMNGELPRHFCSSELANKTSTNDGECLTQEEIIGSSGNQKPLSSLEATAGGDMPSSSVQHASMGTGGLEEAVATKSCACSFCLKVLKKNIKFARLLEEKSRGSEYAANVAGYNSKRAVGMEFELSQQQRSLFLYTENALVRESTQLHSTFVKLKELRENCKRNLETISKSSMRK >Sspon.03G0040560-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:29940669:29942529:-1 gene:Sspon.03G0040560-1C transcript:Sspon.03G0040560-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHGRVMRCVFLACLVAASVSSTASAFVFKAGGTGEWRVPAAAAASGNGNGSASAYNAWAQRNRFRVGDAIAFTYQPGNDSVLLVDKRSYDACDTSAPIDTFADGSTMFTFTRSGPFYFISGNKDNCNRGEKLIVVVMAERAAIGNGTEPGAGLAPSPNGPFSSFSPPPPFGIDISPTAAYPPPSAAAPKMVGVAGTAAFAIGALFYALV >Sspon.03G0018250-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:80110664:80113410:1 gene:Sspon.03G0018250-2B transcript:Sspon.03G0018250-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFPHHHHHGHRRDDDEDDRRGPPPPVYGGYGHPPPPDPYGHPPPPDPYGRPPPQPAYGGGGYGNVVHVAHEAGDERPHYGGGGLGGAYGGGGVPEYGHEGRPHHHGGSEYGHETRPHHGGGGGGAAPVRQQTYRIYCKAGEDQYSLAARDGKVCLVRTDRDDDAQHWIKDMKFSTRVKDEEGYPAVVLVNKATGEALKHSLGQSHPVPLTHYDPDKLDESVLWTESRDVGDGFRCIRMVNNIYLNFDALHGDKDHGGVRNGTTLVLWEWCEGDNQRWKIVPW >Sspon.03G0014990-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3C:68256079:68257220:-1 gene:Sspon.03G0014990-2C transcript:Sspon.03G0014990-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLQPAELKPSDQRRFNTRKREVRRRIKKHEKLMNGISTLLCSLQQALDEVSSIEGVVLILGGSLVRPLFVYDITISHGIFDSGSAKEHALTKLAQSVSRKVVPLQMHIKCSKSGCSGNNQHHMPIVEAPCSTSESSLSDVIW >Sspon.01G0000550-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:1171077:1177765:1 gene:Sspon.01G0000550-1T transcript:Sspon.01G0000550-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRWYLVASNGIALRFPIEGGVCARGVLALGSHRSRRPRPRRHAAVFANRRRLTKWQRPWWKTFFSDWNDDEESLAGFREDDELLEEIAADQELSENEKFQTWRRKAEAIVELREAQQDAMNAEQPSWQDWISGGGGGGVSGSGGGDWGGEASLVEQITDDPAEIAWDKGVIEVLRNTVDEDYEDMLFEDRVFMYASTNSAKFLALLIVVPWVIDFLVHDYVMMPFLERYVQKVPLAAELLDVRRSQKLQMVKALNIEKARYRLEVEIGKSPPLSDEEVWDELREKAIELRDDWRLENRAAFANIWSDMVYGIVLFLLMCFNQSKVAMLKFTGYKLLNNISDSGKAFLIIIVSDILLGYHSESGWHTLVEVILEHYGLEADEAAVTFFVCLFPVALDVYIKFWVYKYLPRLSPSVGNVLDEIKRH >Sspon.02G0021270-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:71258669:71264304:1 gene:Sspon.02G0021270-1A transcript:Sspon.02G0021270-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Manganese and Cadmium transporter, Mn and Cd uptak [Source: Projected from Oryza sativa (Os07g0257200)] MEIEREAPGNDKARSWRANVEEDSKTKLDGGDQSMKEPAWKRFVAHVGPGFMVSLAYLDPGNLETDLQAGANHRYELLWVILIGLIFALIIQSLAANLGVVTGRHLAEICKSEYPKFVRICLWILAEVAVIAADIPEVIGTAFAFNLLFHIPVWVGVLITGSSTLLLLGLQRYGVRKLEFLISMLVFVMAACFFGELSIVKPPAVEVIKGLFIPRLKGDGATADAIALLGALVMPHNLFLHSALVLSRKTPSSVRGIKDACRFFLYESGFALFVALLINIAVIAVSGTVCFSGNLSAEDADKCSDLSLDSSSFLLKAGSCHFITHHNQIGVNVLGRSSSIVYGVALLASGQSSTITGTYSGQYIMQGFLDIRMKKWLRNLMTRCIAIAPSLVVSIIGGSSGAGRLIIIASMILSFELPFALIPLLKFSSSSSKMGPHKNSIYIIVFSWLLGLMIIGINMYFLSTSFVGWLIHNSLPKYANVLVGLVIFPLMLIYVVAVIYLTFRKDTVVTFVADSTQVDAEKAKAAGEEEDDQPVPFRQDLADIPLPE >Sspon.02G0000970-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:3228243:3232784:1 gene:Sspon.02G0000970-1P transcript:Sspon.02G0000970-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAARLPSPLLVVSTLLLLLSPPRPAAAAAAARIQPLATAALRRLYDTSNYGRLQLNNGLALTPQMGWNSWNFFACNINETVIRETGSVPVPCAPCCSKYIQTADALVSTGLAALGYNFVNIDDCWSYVKRGKQDQLLPDPKTFPSGIKALADYVHGKGLKLGIYSDAGKFTCQVRPGSLDHENDDAAIFASWGIDYLKYPPMRDALNSTGRQIFYSLCEWGQDDPALWAGKVGNSWRTTDDITDTWKSMTDIADKNNKWASYAGPGGWNDPDMLEVGNGGMTLAEYRSHFSIWALMKAPLLIGCDVRNMTSETMEILSNKEVIQVNQDPLGVQGRKILGEGKYGCREVWAGPLSGNRFVIALWNRCSETANITMKLPAVGLDGSAAYSVRDLWKHETLSENVIGTFGAQVDVHDTKMYIFSPATSVASI >Sspon.06G0002350-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:5925634:5927337:-1 gene:Sspon.06G0002350-3C transcript:Sspon.06G0002350-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTAVAAGVSPAHGGFRRSGSGKPDPEEADRMRNANGFFSVPDEEEEEEEEEPEHGDEAAAARREEEDEEEALSEASSIGAASSDSSSIGDNSASDKEEEEVESKAQGLGMMGLATLESLEDALPTKRGLSNFYTGKSKSFTSLAEAAATAAAKEIAKPENPFNKRRRVLQAWSRRRASCSALATTYLPPLLAPDHAVVEEDDEEGADDEDEDEEPKGGLRSRRPPTFPSPRLSVHATAGGQMARNSSFRSPRSFSMMDLHSAGCDYE >Sspon.06G0006890-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:24710471:24712898:-1 gene:Sspon.06G0006890-3C transcript:Sspon.06G0006890-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSTASAPSTSLAAAFRSSRAGRRRRALWLRPAASAAGASGVGDDGGAERFATSSSITDYLRYRRPELGGAGAGGGVPGGELQTAVVRFKKRLPWSLLHPFLHVDLVSTVHIADKEYFDRLQQELEDYDCVLYEMVTSRENLNNPKGPMAAKKMKSLRRGFSILGFIQKQMARILSLDYQLDCLDYGNEKWQHADLDYETFKQLQSERGESILTFAVDMTLKSTKALVQPSNMPDGLDFWRSKLLWASRVLPMPLVGLLVITGLCLPVENQDGFPELEALSRLDFGAALKIFLAKQLTSEFTAVPTPVEEKSVIIGERNRVATEKIKEAINRGYKRIAVLYGGGHMPDLGRRLREELNMVPADVQWVTAWSIRSREIDSKSLPFLKTLAEASGWPLNRYETLALLIFSLVLAVDLWFWELFF >Sspon.07G0027210-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7C:60340061:60345406:-1 gene:Sspon.07G0027210-2C transcript:Sspon.07G0027210-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MANGDGNGITGPPRRPTLGRSLKRLQVEKSKELSDPPRVFEPLVIFARLCACARRRPANMSSPQSSVSAAEMAAVKTSSNGVWQGDDPLHFAFPLLILQALLILVLSRFLAFLLGPLRQPKVIAEIVAGILLGPSALGRNAAYLRALFPPWSTPVLESVASLGLLFFLFLVGLELDLRSVRRSGRRAFAIAAAGISLPFACGVGVAFVLRAAVPGADQAGYAPFLVFMGVALSITAFPVMARILAELKLLTTPIGETALAAAAFNDVAAWVLLALAVAISGDGGNGTNHRSPIVSLWVLLCGAAFVAAWMVAVKPAMAWVARRADAAGDGSSEAWVAVTLAGVLASGLATDVIGIHAIFGAFVFGLTVPKEGGFAARVTARVEDLVSELLLPLYFASSGLKTDVATIRGGEAWAMLALVIATACAGKIVGTFGVAMACGMGAREALVLGVVMNTKGLVELIVLNIGRERKKKKENFAVRPCLDTVERMHGVKGEELRRSSSYSTPCMVARVIVVQVLAGNLLVVCQGTKGTVQSMRATQFEEGFRKKFPHKFPHALQQCDFSSCLQVLNEETFAILVLMALVTTFITTPTVMAIYKPARATGRRRLHHRKLQRPDPSAPSSPSASAGAGAAMELRVLACIHGGQDVPAVINLIETIRGHTQPRRLVKLYILRMVELTERTSSILMARAARRNGLPFLRPRRAGEPHDQVDVAFDTYAQLGHVHVRAMTAVSALHTMHDDVADVAEDKRVSLVVLPFHKRAKRATAATTSRTSALSGAPSTAGSSASPPCSVAVLVDRGFGGGEQVSSEQVAHGVCVVFFGGPDDREALELAGRMAEHPGVQVTVVRFVDGKAGSEEQSEVTLRPSHTKNADQSYTFSTAVVDAGKEKELDEAAVAEFRQRMGSLVRFEERVVVGNVIEEVVSIGKSREYGLVVVGKGRLPSAMVAQLAVRPAEHPELGPIGDALASSGHGVTSSVLVVQQHDMSNADEVPVSVVVDGRAQDGELAKDMAEP >Sspon.02G0001960-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:6493750:6497226:1 gene:Sspon.02G0001960-3C transcript:Sspon.02G0001960-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLPCSPVSLTATPPRLFQNPPRGEWATAPLLPESAAGGMGAEYPTFADVSGARALLFLADSTPPPAPPSPPPALRYFPRLPRVMCSSHHRIALHHRNLTDPPLRRASPNCSDEFYCYSGSSSSYSGASARSCVSDSAQRSRPVDPLRVLSVVASLRRVDPKVLAEATSALFHTDAEKKRKGVWVEIDSGDDEDDQSERSSAVASEGSTVTAAASAGSTATSGRCRRAPRAGSAAGGAGKGPRRADVIMQWFSRPQAGPATENDIRAAVGDNSGTSKAIRWLLKQEGGLRRAGTGGALDPYVYMVYVKLVQTTRIRMVEVPGSLCCSLVRIAVAERSAFHLILVAVSPHRSCMRKDEMMCSK >Sspon.05G0018740-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:80162559:80165997:-1 gene:Sspon.05G0018740-1A transcript:Sspon.05G0018740-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRGERLGRALDAFGGDLWALVDAALDAASRDRPDELRAHRDGIVERLYAAAAAGCSNCNGRPLPVAALVAAGLDEEDGEEAAPASSVVEVDAQAGGAEEQAEEIGAGAGAPGLERKIVAIRDFLDDPNQPEDELVRLLQNLADMEVTYNALQATDIGRQVNGLRKHSSAEVRRLVKQLIRKWKEIVDDWVRLHNSGGDGSAAQQMVTPQIKSKAGGTKALGFQDFSILPAHRGRKVTREQRQSLLDLDKLDSARKRLQENYQEAQNAKKQRTIQVMDINDIPKPKTRNAFIRKSACGGLPARNR >Sspon.03G0018140-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:77147874:77152484:1 gene:Sspon.03G0018140-3C transcript:Sspon.03G0018140-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEEDDDQRLLHSLGVTSANIEDIEKKSSRRQTPVETEPKHYDEAGAAVDDPSRSNVAPESDAHAKLHQKLLSVQLEIEAVASTIKIAKNAAGRQLDSADGQDKKKQKQADRAAQDEPHGGELQQALATERLKSLKKAKAQIQKEISQSDPYPSGSDNRKDKMLAMLVEDEPRRKKKSLLPARGPKQMSTPRLKTMSYNDDEDFDAVLDGASAGFMETEREELIRKGLLTPFHKLKGFEKRVEKRVELPGPSHRQNDPSEQAEETIEASRIARVAQSMQQIAQSRPTTKLLDPESLPRLDAPTAPFQRLGRPLKRPVSPSSEEQERKRQRNKTKRPLPDKKWRKANSRKESLLETDDEDVGDFTASVSEEDDQAAEGFDGLSPVILEGGLRIPGTICEQLFDYQKVGVQWLWELHCQRAGGIIGDEMGLGKTVQVLSFLGSLHNSGMYKPSIVICPVTLLQQWQREASRWYPKFKVEILHDSANGSSKKSKAYSDSDSEGSWDSDREEVRRAKPAKKWDDLISRVVNSGSGLLLTTYEQLRILGEKLLDIEWGYAVLDEGHRIRNPNAEITLVCKQLQTVHRIIMTGAPIQNKLSELWSLFDFVFPGKLGVLPVFETEFSVPITVGGYANATPLQVSTAYRCAVVLRDLIMPYLLRRMKADVNAQLPKKTEHVLFCSLTPEQRSTYRAFLASSEVEQIFDGNRNSLYGIDVLRKICNHPDLLEREHAAQNPDYGNPERSGKMKVVEQVLKVWKDQGHRVLLFTQTQQMLDILENFLTACDYQYRRMDGLTPAKQRMALIDEFNNTDEIFVFILTTKVGGLGTNLTGANRIIIYDPDWNPSTDMQARERAWRIGQTRDVTVYRLITRGTIEEKVYHRQIYKHFLTNKVLKNPQQKRFFKARDMKDLFTLQDDEGNGSTETSNIFSQLSEDVNIGVPNDGQQDQAHIALALSSTSEAEPSNGGEGRVDVNSDQADEESNILKSLFDAQGIHSAINHDAIMNANDDQKLRLEAEASQVAQRAAEALRQSRMLRSRDSFAVPTWTGRSGAAGAPSSVRRKFGSTVNSQLTRSSQPSETSSSRSQSLPVGALNGKALSSAELLAKIRGTREGAASDALEHQLNVGSASNHISSPSGNGSRASNSSNRSMIVQPEVLIRQLCTFIQHSGGFASSTSITEHFKSRIQSKDMLLFKNLLKEIATLQRGADGSMWVLKPDY >Sspon.08G0010760-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:44630704:44635992:1 gene:Sspon.08G0010760-3C transcript:Sspon.08G0010760-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRWVRPEVYPLFAAMGVAVGICGFQLFRNITGNPEVRATKGDSLSKHQQLVDLPTGIGVQVTTDLPTSCFMISFP >Sspon.02G0050220-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:52376749:52377665:-1 gene:Sspon.02G0050220-1C transcript:Sspon.02G0050220-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGRCIPVSYLLYVLSLDSAERKAAAANPVPRAVSLHRDHENPYISSRIITDLVFSRPPPLLPFAAAVAARRRCCRSPPLLPPAAAELHARHPKTLAGNLAIFATFGCLKDLPEILYRVLHGDRRKQQNVHRHGMKRRRSDREFLAAKETKRQEEAQLARSALSRYESDEAFRFLYDGVAEMFAEMLKSDVEHLRMGDTTKIGLAAKWCPSLRSSYDRATLLCEAIARRIFPRESSQEYLNISDMLDQLGEVLETREGADVTFSVDGELILAHKIVLT >Sspon.02G0012090-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:32041188:32043489:1 gene:Sspon.02G0012090-1A transcript:Sspon.02G0012090-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFAAVLRARPLAVPAPLSTPTPPPFFATSAYGCVPRLTAAAAAARAVRYRRRGRRSRASSAITASLDLTEDNLGQLFDTSVGITGQVDLAELDGPFVKLRLKGKFWHTRATVVARIGNYLKNRIPFQASSFGDLQLPIWFGLYAMVLQQRVKQRVRISAPK >Sspon.03G0016360-3D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3D:38897453:38897632:1 gene:Sspon.03G0016360-3D transcript:Sspon.03G0016360-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding IQHLRNSLPDEVVVKCVDERLSALGNCIACNDHVALTHPDLDKASPPTPRYTLDSRMSH >Sspon.08G0013440-3D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8D:54003783:54008180:-1 gene:Sspon.08G0013440-3D transcript:Sspon.08G0013440-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VFIPVAAVIGIAFAVVQWVLVSRVKLSPAAAAASGGGKNGYGDYLIEEEEGLNDHNVVVKCAEIQNAISEGATSFLFTEYQYVGIFMSIFAVVIFLFLGSVEGFSTKSQPCTYSKDKYCKPALFTALFSTVSFLLGAITSLVSGFLGMKIATYANARTTLEARKGVGKAFITAFRSGAVMGFLLASSGLVVLYITINVFKLYYGDDWEGLFESITGYGLGGSSMALFGRVGGGIYTKAADVGADLVGKVERNIPEDDPRNPAVIADNVGDNVGDIAGMGSDLFGSYAESSCAALVVASISSFGIDHDFTGMCYPLLVSSVGIIVCLITTLFATDFFEVKAVKEIEPALKKQLIISTVLMTVGIAVISWLALPAKFTIYNFGTQKAVSNCPVQDVADSCRTGAATNVIFGLALGYKSVIIPIFAIAVSIYVSFSIAAMYGIAVAALGMLSTIATGLAIDAYGPISDNAGGIAEMAGMSHRIRERTDALDAAGNTTAAIGKGFAIGSAALVSLALFGAFVSRAGVTVVDVLSPKVFIGLIVGAMLPYWFSAMTMKSVGSAALKMVEEVRRQFNTIPGLMEGTTKPDYATCVKISTDASIKEMIPPGALVMLTPLIVGTLFGVETLSGVLAGALVSGVQAGASEHARTLGPKGSDCHKAAVIGDTIGDPLKDTSGPSLNILIKLMAVESLVFAPFFATQGGILFKYL >Sspon.04G0015320-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:66268050:66270985:1 gene:Sspon.04G0015320-1P transcript:Sspon.04G0015320-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MESGLVASHRLRLPLPSASAGHHHHHHLLRHRHAPAAAAPLRLSLPRHLPGPAPLRLPAALPLRPCLPPLRAAAAAAAAPGDDASPKFLGVETKTLKKIVPLGLMFFCILFNYTILRDTKDVLVVTAKGSSAEIIPFLKTWVNLPMAVGFMLLYTKLADVLSKEALFYAVIFPFIAFFGAFAYVLYPMRDAIHPTALADRLLASLGPSFLGPVAILRVWSFCLFYVMAELWGSVVISVLFWGFANQITTVEEAKEFYPLFGLGANVALIFSGRTVKYFSNMRKNLGPGVDGWAISLKAMMSIVVILGLVITGIYWGVNKFVIDNSSMPVVERKKKDKPKLSMGESMKVLLSSRYVRDLATLVVAYGISINLVEVTWKSKLKAQFPSPNEYSSFMGDFSTATGIATFTMMLLGRVILRKFGWGVAATITPAVLLLTGVGFFSLILFGEPLTPLMTKFGMTPLLAAVYVGAMQNIFSKSAKYSLFDPCKEMAYIPLDEDMKVKGKAAIDVVCNPLGKSGGALIQQFMILSFGSLANSTPYLGGILLVIVLAWLGAVRSLDSQFSSLAKQDLEREKMLKAQAVETTAKVVGTGNGSLQETLASGPSANGAATKQSQEPESTTTEKSGQEPESTTTEKSGQ >Sspon.04G0001830-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:5927986:5929442:-1 gene:Sspon.04G0001830-1A transcript:Sspon.04G0001830-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAGHKNTTAALLLLLLPLLLSSTIDWNWHGPIIFASPPPPYSGHHGDLDLPYIAEQAPAPSPEDPAGDSSWRGAAKQEAVGARIMVGEERGRESGARSVDQGLASVDKRREKNRKKEGRIEKLSQDSTAVNLAKDFTVDPMSSHGSALLVAPSGHRRKKRADHHDNQPPCTGTGESWGDMVVGGKSHRSVYQFVQLWFWMEGALFLYRDRANSAQRVQLQSRFNGAVAYSCVYPAQAQLQTAGCRFLAS >Sspon.06G0006310-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:19993399:19996733:1 gene:Sspon.06G0006310-2B transcript:Sspon.06G0006310-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGAAPPPKQEELQPHPVKDQLPSVSYCITSPPPWPEAVILGFQHYIVMLGTSVIIPSALVPQMGGGNEEKARVIQTLLFVAGINTLCQSFFGTRLPAVMGGSYTVVAPTISIIMAGRYSNEADPHESSHLFHRFLSPLSAVPLVSLAGFGLYELGFPGYLPHAAHAAKPVFDRFSVIFTIAIVWMYAYILTVSGAYKNARTKTQVHCRVDRSGLVGGAPWIRVPYPFQWGAPTFDAGESFAMMVAAFVALVESSGTFIAVSRYASATMIPPSVLGRGIGWQGIGTLLGAFFGTANGTAVSVENAGLLALTHVGSRRVVQISAGFMIFFSILGACGLSFLQFCNLNSFRTKFIMGFSLFMGLSVPQYFNEYTSVAGYGPVHTGARWFNDMINVPFSSKPFVAVLVAFFLDNTIQVRDSGVRRDRGYHWWDKFRSFKTDSRSEEFYSLPFNLNKFFPSV >Sspon.07G0017510-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:62848787:62852766:1 gene:Sspon.07G0017510-1P transcript:Sspon.07G0017510-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTPVKSVLPVVLLGCGGVGRYLLRHIVSCRPLHANQVLLFPSRSPRGVAIRVVGVADSSSLLVAEDVHSTGLDDALLTQLCAAKSAGSPLSSLLAQGHCQLFKNTEARGKVIDAATTLGRTTGLVLVDCSATYDTVSLLKDAVDHGCCIVLANKKPLTGAYEDFQKLVSNFRRIRFESTVGAGLPVIASVTRIIASGDPIARIVGSLSGTLGYVMSELEDGKKFSEVVKNAKSLGYTEPDPRDDLGGMDVARKALILARLLGQQISMENINVESLYPSELGPDAVSTKDFIESGLVQLDKSMEERIKAASSRGNVLRYVCEIESTGCQVGLKELPKDSALGRLRGSDNVVEIYSRCYQSSPLVIQGAGAGNDTTAAGVLADIIDLQDLFQTTA >Sspon.02G0016900-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:47715559:47719514:1 gene:Sspon.02G0016900-1A transcript:Sspon.02G0016900-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAREMEMARKATSSPNKRSPRRHEQALSYNRLHTEERAIRRAGYNYQVASKINTQEIIRRFEEKLAQLMEEREIKLMRKEMVDEASDGAKGAKFSEAEMLHRWRVPSPFLLQWRQ >Sspon.02G0005470-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:11353762:11356630:1 gene:Sspon.02G0005470-3D transcript:Sspon.02G0005470-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGSPVSKDLNLPVQPPPMASSGLLRYRSAPSTVLGDLCEDFLPSAPRAASPDAAAAADNVFSRLLAEHHIRDDKPSPPPAAAAAVHLASQQQQQMMFHSQQQQQQQEMVGAKSGLYRTVSSGIETAAPVGTGGASANASNLIRQSSSPAGFLDHLNMDNGYGAMLRAGMATADSLAGSGSRLKGQLSFSSRQGSLMSQISEMDSEEVGGSSPEAAGGGKGYIPGYPMGSGWEDSSSALMSDNLSSMKRPRDSSEPGQVRGLLYQLFPFLVVHLCGELTMPSVQSGLTHQFSLPKTSSEMATIEKFLQFQDAVPCKIRAKRGCATHPRSIAERVRRTKISERIRKLQELVPNMDKQTNTSDMLDLAVDYIKDLQKQVKVLKESQANCTCSAGKN >Sspon.03G0026790-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3B:3850934:3853659:1 gene:Sspon.03G0026790-1B transcript:Sspon.03G0026790-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGACPCLTGFNPDYSTTSRAAGCSDMAGLHIGHLAILRSGPGASIQHAYEVKLLPDPRPSAIGQAAAAAQRSGSGASVTWWIGSPLAVDHKVNASFFMWLIAHDRCWTADRLAKRGLSHPERCPHCDEEKETISHLLLSCIFAREFWFRLLQKFGMQSLAPQLAETSFDDWWEKILGETEEQTKQGLNSVVILGAWTLWKHRHNCVFDGVPPNLTRALQLAGEELHFWGLAEATEFHTFLPWCQTKFVGLSRGRARL >Sspon.01G0056930-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:94195882:94205497:-1 gene:Sspon.01G0056930-1C transcript:Sspon.01G0056930-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MREKREGERLGSRWGKGEVAGGQPWRRMAGGQEENYTGDRLNFGLAAEQAKSEGYKMEMVIVGDDCALPPPRGIAGRRGLAGTILVHKVAGAAADAGLSLAEVAAEAKHASEVVGTMGIALSVCTLPGQVTSDRLGPRQMELGLGIHGEPGVAVVELQPVDVVVEHVLKQILSQETQYLPITRGCNAVLLVNGLGATPIMDLMIAARKAVPELQLVYGIAVDRVYTGTLMTSLDMAGLSITIMKSDESILAPSQELSKQGCILEAAIEASATAIINLKDSLNEWDSKVGDGDCGTTMYRGATAILEDMKKRYPMDDAAGTINEIGATIRRVMGGTSGILYDILCKAAYASLKQSSTVTANKWADALEASVAAVSKYGGASAGYRTMLDALIPASAVLKQRLEAGDDPVTAFIASSEAASAGAESTKQMQAKAGRSSYIAPDLLASIPDPGAMAAAAWYRAAALAVKNKLHGSKS >Sspon.05G0006170-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:21095032:21096621:-1 gene:Sspon.05G0006170-4D transcript:Sspon.05G0006170-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:COBRA-like protein 6 [Source:Projected from Arabidopsis thaliana (AT1G09790) UniProtKB/Swiss-Prot;Acc:O04500] MAAQAAIIADRFDRVESDAYDPIDPNGNITINWDFQVLDVMVSIHNYQMYRHIEHPGWRLSWNWTGKEVIWNTVGSETTEQGDCSRVGAANARPHCCQRRPVMVDLPPGTPYNRQVANCCRGGVLSSLVQNNLTSTAAFQMVVGEFTLAKDDGSGNMEPEKPWHFDIGVPGVDQPGTPALPASSDDEPAAPIVWCSEHMCPIRVHWHVKVNYRQYWRVKVTVSNYNLVKNYSDWNLVLQHPNLRSPTQLFSFNYKPLVEYGSFSK >Sspon.03G0012120-1P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3C:50087494:50088002:1 gene:Sspon.03G0012120-1P transcript:Sspon.03G0012120-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLPNAQLASLPIASMNGLRSEKPGLRCFSRLLPPPPVRARPSVFDAAPALVQALMCQMRWRCSSAAQLFSDRHVMSGQRVSISGCATNSSGVHWSWQLDDLRLWCSTNQSAMFGAAQEHGFPTKLTWKTALVEWALGLDFRERVSIHRIHGCKIGGRDIGRGGDGKKQ >Sspon.02G0002210-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:7217123:7221892:1 gene:Sspon.02G0002210-1A transcript:Sspon.02G0002210-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSASGPVAVASASAATKAAPSPAVGISPDLYPTEDDLPYEEEILREPFKLKGWWRYLVARAAAPFAKRAVIYERALKALPGSYKLWHAYLRDRLDHARPHPIDHPAYSSLNNTFERALATMHKMPRVWVLYLTSLLDQRLLTRARRSFDRALRALPVTQHDRIWPLYLRLASLPACPVETSLRVFRRYLQFDPSHAEDFINFLISANHWQEAANRLASVLNDDGFRSVKGKTRHQLWLELCEILTKHADEVAGLKVDAILRGGIRKFTDEVGKLWTSLADYYVRRGLFEKARDVFEEGISSVVTVKEFSVVFEAYTQFEQSMLAAKLEAAEEEGAEDENEGGGRKSGMDKLSKKFLDEFWLNDEDDTDLRMARFERLLDRRPELLSSVLLRQNPHNVEEWHRRVKLFEKDPARQVATYVEAVKTVDPMKAVGKPHTLWVAFAKMYEKHNRLDSAEDIFKRATQVNYKAVDHLASIWCEWAEMELRHNNFDKAIELMRQATAEPSVEVKRRAAAEGDEPVQMKVHKSLKMWSFYVDLEESLGTLDSTRAVYERILDLRIATPQIILNYAYLLEEHKYFEDAFKVYERGVKIFKYPHVKAIWVTYLTKFVQRYKRSKLERARELFHEAVQQVCSSRGKKPLYLQWAKLEEDYGLAKRAMNVYDEAVRAVPNSEKMAMYEIYIARAAELFGVPRTRQIYEQAIESGLPDRDVLTMCMKFAELERSLGEIDRSRAIYVHASNYADPNNPDFWKKWNDFEIQHGNEETFREMLRIKRTVAASRSQTHFILPEYLMQRDQRLNLDEAVDTLKRAGVPEDEMAALERQLAPGPSTAPPAAPSTAPASANRMMNFVSAGVEAQAESSRQQAGNSEDIELPDESDDEEPMSKLQRRVFLLRYLVSLARELPRMLPRTMRKAQVPKGMSSWGPSRG >Sspon.07G0036700-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7D:41383045:41383756:1 gene:Sspon.07G0036700-1D transcript:Sspon.07G0036700-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKVKQESMRSGLGAIKGGHEGLDRGIEGHQRMDRGWLSLGHVNEQGHMQDDSDEDGQARNFQAGARNLRINMQNFHNLGIPLTIS >Sspon.01G0017830-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:72259359:72265641:1 gene:Sspon.01G0017830-2B transcript:Sspon.01G0017830-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQTVKAMALQVPWFLLASAVVVSQLLAQVVAAAANSSIAPSPIATPGPGCPTTCGNVQIPYPFGLGDECSWKGQFTITCNHSFSPPRPLFAGNMEVIDISLEKGEGRVFSPVAYSCYNSSNTTTQDGVVGWTLDFTGTPGLISSTRNEFVAIGCSTVALIQGKEDGSFFTGCISTCVSLHEAAEDGAECTGLGCCQTHIPPNLSTVEFGWNNVSNNPAWRYSPCSYAFVAEKGWYHFRRRDLTRVGNKSFTDRVGEIAIPVVIDWAIRTDGTCQLLPEAIGTSVKPTASACVSTNSYCTNATQGPGYLCKCSKGYTGNPYISGGCTMTFISSAILVLLLCLLHKEYEQRIRRGFFDKNGGKILKGMDINIFTEDELKKITNQYRDPIGKGAFGMEGNARKMYDKDILSDPEHQSQNQMECLDRVSTLAVLCLKEDIDERPTMAEVVEELKQ >Sspon.06G0003460-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:9065763:9074609:1 gene:Sspon.06G0003460-3C transcript:Sspon.06G0003460-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:60S ribosomal protein L7-1 [Source:Projected from Arabidopsis thaliana (AT1G80750) UniProtKB/Swiss-Prot;Acc:Q9SAI5] MGADGEEASRIIVPMAVEGTPGQLAFVEESKLKKRKENVDWAVRNRERKAAKRQRIRDERKVIVKRPEDFVTAFRNKERDFLRMRTRLKVRKQPPAEALSSKLIFAIRIPGSVDLHPHIRKVLRKLRLTKVLTGVFLKATELTLKRLLVVEPFVTYGFPNLKNVKELIYKKGRGFLDKEPFPLTSNDLIEKALGEHGIICLEDVVHEIATVGPHFREASKFLMPLKLKCPERRLQMKRKPYKDGGDSGNRGDKINELIEKLN >Sspon.03G0029280-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:14649855:14652802:1 gene:Sspon.03G0029280-1B transcript:Sspon.03G0029280-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNHQQQGVVSGYSEAIYVTSAGPPPYPPPPPRRMSPFRILVRAFIGACIFIGVLALLIWLIYRPRTIQVAVATATLARFDLVDTTSTTPVLSYNLTAVLAVSNPNRRVSIYYDQLQAAGLYQEERFGRAALPVSFQGTRHADAVPALLVGNTSMEFGDSGVAAFNDSRLAGVFPVDLWVDGVVRYKFGELMTTTASTLTVKCHLALKLMILYPSRSTYSVAINHNLRQIHQSPRTHVCLRQCDDCDSASEMAAYEKHQPEAPLNAAYYGQPIPPPQPAYYPPPPPPPPRPAPRRSGCARCLLGSLVALVVALGVAVLVLWLIFRPDNLKAYADSAALSRFDLGNGNGAGGDLLQCNLTVVIRVRNPNSFGIRYDYAEAQAFYDGDRFGFDPLQPFYLDSKSDARITASFNSSTVVDDDDARRTYRRENGEGFYYVKVKVYSDLSFRVRIIRRHDFKSKITCVLRLPVPAVGGNVNATAMTTLGT >Sspon.03G0019510-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:83235239:83236291:1 gene:Sspon.03G0019510-2B transcript:Sspon.03G0019510-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKCYVMSSFELALLATISTTAGLSSEVTTHSDLGLVLGPVRELEVHMDEGALDLGQLLQLLLQRLADVVCLAERHVAREHDVHLHEVVRAEGVGSHRVDVLDLHVVVPDQYGTNWVHPPFVPDGEQRRDQADRVCDHVEEMVLGVRPHDLVLEGSAVEHEEELHDRNRGHAAYHPELLLELEFRVLLIGSSHRQPSQK >Sspon.04G0005970-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:13154087:13159279:1 gene:Sspon.04G0005970-2B transcript:Sspon.04G0005970-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRYWPMAAAAVGFRLVLVLFGGDLHLASRPEVSTPLTSLRRRKRLPTPYPPCDPGRLAEGYWLKQASVSPYSAMLIRATGHKLQMARNRSLKSLDLTKAVNDTGLAPLAAFGYVMATHLSLYPAILIVPVILLLGYGPDAPPPKAGDSALYLGLLGLFVNELADCVARRECKFNDKARQKAK >Sspon.07G0027280-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:60664261:60665501:-1 gene:Sspon.07G0027280-2C transcript:Sspon.07G0027280-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSGNASTVGIAERWRELHGEHSWNGLLDPLDLDLRKTIISYGELAEATYDGFNTERRSPHAGAFHPVPDAFLVRPLAALKDVWSRESNFMGYVAVAADEGAAALGRRDIVVAWRGTVQSLEWVNDLGFTPVPAAPVLGSKAAANPLAMVHMGFLSMYTSSHAGSKFNKTSARDQVFEEVRRLVELYKDEEMSITITGHSLGRGHLHPQRRRHRQQRRERARHRRRLNVHQASVPGDGHRVCVSARRRPAAFQSFKDLKALHVKNAGDVVPMYPPLAYVDVAVTLNINTGRSPYLKWPGTVQTLHDLECYLHGVAGEQGSAGGFKLEVERDVALVNKGADALKDEYPVPASWWAPMIHKGMAKDNADGQLKLNDFQQI >Sspon.03G0026500-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:7917411:7918189:1 gene:Sspon.03G0026500-1T transcript:Sspon.03G0026500-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKLATSLLAACFAVGLLALAAPVLAGDPDMLQDICVADYKSLQGPLRLNGFPCKRPENVTANDFFSNVLATPGNTGNAVGSAVTSANVEKLPGLNTLGVSVSRIDYAPWGVNPPHVHPRATEVIFVLQGSLDVGFVTTANRLYARTVCVGEVFVFPRGLVHYQRNNGGGTAAVLSAFDSQLPGTQPVAEALFGASPPVPTDVLARSFQVDGGLVEAIKSKFPPK >Sspon.06G0026350-2T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:76561285:76561830:-1 gene:Sspon.06G0026350-2T transcript:Sspon.06G0026350-2T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSTQFSMLIVVVDLDCHKCYNKIRKILCELQYHERIQTISFDDKSKTITIVGPFDPLRLACKLRCKGGKVVRDVHIVDTKPPPPPHAAAAAAAPAAVRRARAAAAVDDDSEDPVVSHAAGGAVRVPVLRALLPGLLRELQ >Sspon.02G0023120-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2C:80572394:80574067:-1 gene:Sspon.02G0023120-2C transcript:Sspon.02G0023120-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPIAAPVSSQTAAPPQGSAGDARSAVNLLRSLARARRADLSHRALLLFRTLHASPAPPPPRYSLPAALSAAAFLAALPEGRQLHALAAKLALLAPAHAHSAVVVANSLVHLYASCGRPGAALAVFRGVPDTDRSLVSWNTAVDALAGNGDHLAALDLFREMQRDRPDLAPDAYTLQSVLGACAAAGALSLGLYAHALLLRELGGHASSAAVSRDVLINNSLVDLYGKCGAVDLARQVFDRMPQRDLASWNAMVLALANHGRVRDSLHLFDRMTRVENVVPNAITFVAVLSACNHGGLVDEGRRYFAAMVGEYGIRPRIEHYGCMVDILARAGFIEEALDVVAGMNCRPDSIIWRSLLDACCKRNAGLELSEAMAKLALDVPDDAVSGVYVLLSRVYASAQRWNDVGMIRQLMCEEGFKKEPGFSSIEMDGLVHQFVAGDTSHPQSEEIYEKLDEIQQRLTSAGYKPDLSEAPMVADIDRTKGATLRLHSERLAISFGLLNATPGAPIRILKNLRVCKDCHTISKLISKLYDVEIIVRDRIRFHHFKDGSCSCKDYW >Sspon.03G0017480-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:54577623:54579143:-1 gene:Sspon.03G0017480-1A transcript:Sspon.03G0017480-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLPLDAPMKPLDPHAIAGDSHAILEFLAEYYRDVDKYPVRASDLEPGRVRKLLPEAAPEHSEPMEHILEDVRRDILPGLTHWQSPRFFAFFPMNASSAGLAGEMLSVGLNVVPFLWTASPAAAELESVVVDWMARLFGLPRRFLFSGGGGGVLQGSTCEAVVCTLAAARDRALGRLGGHEAIARLVVYASDQTHATFQKGARLVGIPPSNFRVISTSPASGYGLTAEAVRAAVDRDVGLGLVPLYLCATVGTTGLGAVDHVRELGEEARRHGMWVHLDAAYAGSAAICPEFQDYLDGAELADSVSMNPHKWFLTNMDCCCLWVARPRDLTSALSTDPEYLKNVGADDDGAGKAPAAIDYKDWQISMTRRFRAIKLWVILRRYGAAGMRAHIRRHVAPAKWFEQRVAADELFEVVVPRRFSLVCFRLAPRFGRDDDDNAATNHVNRDLLAAVNASGRAFMTHFVVDGKFMIRLAVGGASTELQHVMEVWDLLQGKAAEVLQHYQA >Sspon.07G0027780-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:62024874:62026422:1 gene:Sspon.07G0027780-1B transcript:Sspon.07G0027780-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMEAKKPYLIAIVIQLIYTGMFVVSKAAFDHGINTFVFIFYRMAAASLLLLPVAIILERKNVRSMSLVLLLKLFFYALIGMEAVKLRSRSGMAKLAGVALCVARVFVLAFYAGPALSPVNRHRAFAVAHASNNNHHPSSRMTWVKGTFFMVLANVTWALWIVLQSALLKEYPNKMLVTVTQCVFSTVQSFVVAVVAERDFSKWKLRFDISLLAIIYTGFVVTGVSYYLQAWCMEMKGPVFTLSPAQHSTT >Sspon.05G0024430-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:12575793:12586313:-1 gene:Sspon.05G0024430-2P transcript:Sspon.05G0024430-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFLSSASRGPAAHAGADALESGASLLRRRHAGGLAGADDDGYGGGNAEEVVVASVEQAFADKPVPSWREQLTVRAFVVGFILSILFSVIVMKLNLTTGVIPSFNVSASLLGFFLVRLWTKAIESMGLLKQPFTRQENTVIQTCVVSAYGLAFSGGFGSYLFGMSRSIAEQATEANDAQNIKDPHLGWMIGFMFLVSFVGLFVLVPLRKVMIVDYKLTYPSGTATAYLINGFHTPQGAERAKKQVRTLGKYFSLSFLWAFFQWFYTAGDDCGFASFPSLGLEAYKNKFYFDFSATYVGVGMICPYIVNVSLLLGGIISWGVMWPLISTKKGSWYPESLPDSSLHGLNGYKVFITISVILGDGLYNFLKVFGHTMHTFISMYWKKHANTLPVSDNGAPPATTETESFDDKRRIELFVKDQIPKSVALGGYVCLAAITIGCLPLIIPQIKWYHILVAYIFAPILAFCNAYGCGLTDWSLASTYGKLAIFVIGAWAGASHGGVLVGLAACGVMMSIVGTASDLMQDFKTGYLTLASPRSMFISQVIGTAIGCVVAPCVFWLFYKSFDIGVSGGAYPAPYAIMYRNMSILGVDGLSLLPKNCLTLCYIFFAVSFTINLIKDLVPQKVAKFIPIPMAAAIPFYLGPYFSIDMFLGSVILFVWEWKNKAEADSFAPAVASGLIFCRGTATGSASGGSAVHDDAEAMELGHDNLIRRRHAGAGAAGGEADDRIGSAAAAAGKEVAPLSVEQAFADQPVPSWREQLTVRAFVVGALLSVVFNVILMKIDLTTGINPSLNVCASLLSYFLLRTWTRAIGCMGLLKQPFTRQENTMIQTCVVSAYGITFTATAYLINGFHTPEGAKLAKKQVKTLGKFFLYSFLWGFFQWFYTGGDNCGFQNFPTLGLQAYKNRFYFDFSPTYVGVGMICPHIVNVSVLLGGILSWGIMWPLIRNKKGSWYPASLSESSLHGLQGYRVFISIAIILGDGLYNFVKVLIRTTTAFISMMKKNSTLPVSNNGSPITEAVSFDDERRTELFLKDQIPRSVAYGGYVAVAAISIGTLPQVFPQLKWYYILVAYIFAPVLAFCNAYGTGLTDWSLASTYGKLAIFIFGAWAGASNGGVLVGLAACGVMMSIVSTAADLMQDFKTGYLTLASPRSMFISQVIGTAMGCVIAPCVFWLFYKAFSDIGVSGSEYPAPYAIVYRNMAILGVDGFSSLPKNCLTLCYIFFAAAIVVNLIRDLAPKKVARFIPLPMAMAIPFYIGSYFAIDMFVGTVILFAWQMINRAKADAFGPAVASGLICGDGIWTLPQSILALAKVNPPICMKFLSSASRGPAAHAGADALESGASLLRRRHAGGLAGADDDGYGGGNAEEVVVASVEQAFADKPVPSWREQLTVRAFVVGFILSILFSVIVMKLNLTTGVIPSFNVSASLLGFFLVRLWTKAIESMGLLKQPFTRQENTVIQTCVVSAYGLAFSGGFGSYLFGMSRSIAEQATEANDAQNIKDPHLGWMIGFMFLVSFVGLFVLVPLRKVMIVDYKLTYPSGTATAYLINGFHTPQGAERAK >Sspon.08G0010580-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8B:41545050:41545485:-1 gene:Sspon.08G0010580-2B transcript:Sspon.08G0010580-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPIVMGTLELHLLVVWWRMLPAIFLTGVVFMSGGASTRVRRKLHSLLETMTIMVAALPALREFIAQYRDLFPVAADTEVAKHSLAFGLTSNNHCS >Sspon.03G0028710-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:10662941:10665976:-1 gene:Sspon.03G0028710-1P transcript:Sspon.03G0028710-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGTSFDLTEHEGSNLSPFLRKLFNEWDDRKMRGLFHHDISSCETKVLPGEHNFVATLIEGRDQKKRPTEFTMNQVLQPFVSEKFNFTKVSPLEVIFRFNETKKDSAQYFDGVPGTVSASSSAILINVSPIGYCHVLLTPKIQDCLPQRIDQESFLIAMYVAREARNPFFRVGYNSLGGFATINHLHFQAYYLKVQYPVEKATTEKLTSLGNGVSIFHLVDYPVSGFLFEGGASLEDLSDVVSKVCIFLQENNRPFNVLISESGKRVFLLPQCYAEKQLLGKASQEFLDMRINPAIWELSGHLVLKRRKDYDEASEANICRFLVEAALSEKEFQELNRCVLDFVTRSLGCN >Sspon.02G0029950-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:108946293:108949984:1 gene:Sspon.02G0029950-1A transcript:Sspon.02G0029950-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRLVLDQLAGEALRELLHAVQGTLFCRSTAERLRRSVEPLLPLVQGLGPHSCQRSAGELGELAARVREALDLARRAAASPRWNVYRAAQLSRRMEAADRGIARWLERHAPAHVIGGVRRLRDEADARIGRLERRVEEIAAAAQPPAPPALSVPVAPHKGVPMPMEAPLCKPAFAAVPMEAPLGKAAFAAVPMEVPPHKGMAMSVPVPLKAASAKAGVMAMDMDLTEGHEDEGMVGGGVKVAKEKVKEMVMSGGGGWEVVGISGMGGSGKTTLAMEIFKDHKVRAYFNDRIFFETISQSANLETIKMKLWEQISGNIVLGAYNQIPEWQLKLGPRDRGPVLVILDDVWSLPQLEELIFKFPGCKTLVVSRFKFPTLVKQTYEMQLLDEAAALSVFCRAAFDQESVPQTADKKLVRQVSAECRGLPLALKVIGASLRDQPPKIWLSAKNRLSRGEAISDSHETKLLERMAASVECMSEKVRDCFLDLGCFPEDKKIPLDVLINIWMEIHDLDEPDAFAILVELSNKNLLTLVNDAQNKAGDLYSSYHDYSVTQHDVLRDLALHMSGRDPLNKRRRLVMPRREETLPRDWQRNKDTPFEAQIVSIHTGEMKESDWFQMSFPKAEVLILNFASSLYYLPPFIATMQNLKALVLINYGTSSAALDNLSAFTTLNGLRSFPPLPKTTIPLKNLHKISLVLCELNSSLRGSTMDLSMTFPRLSNLTIDHCIDLKELPSNICEISSLDTISISNCHDLTELPYELGKLHCLSILRVYACPALWRLPASVCSLKRLKYLDISQCINLTDLPEELGHLTSLEKIDMRECSRLRSLPRSSSSLKSLGHVVCDEETALLWREAEQVIPDLRVQVAEECYNLDWLTD >Sspon.01G0049920-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1B:117378601:117378915:-1 gene:Sspon.01G0049920-1B transcript:Sspon.01G0049920-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNMVDWKCMHTAFVFGQLGGMWYSISLHVTMVIPAASAHVVPSTDEGARGTAWILMLGLAAAVKSKTGEVASTAGAPARLFLCQQPSTPNHSLSSIPYIYHPNR >Sspon.05G0012780-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:40249683:40252171:-1 gene:Sspon.05G0012780-1A transcript:Sspon.05G0012780-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LDVGDDASHHLLLLPPGVTGRSSHPEGGGLVVALQEAKKEEVEKPKEAAAEEKKDDKPKDAAAEDKPKPKEGGGEEKPKDGEEKKEDAPPPPPPPPEEVEMRVYMHCEGCARKVKKILKRFDGVEDVITDSKAHKVLVKGKKAAAEPMKVVERVQKKTGRKVELLSPIPPPPEEKKEEEKKEEPEPPKLEEKKEPPVLAVLLKVHMHCEACAQGIRKRILKMKGVQSVEADLKASEVTVKGVFEESKLAEYVYKRTGKHAAIVKSEPVPPPESAAAGDDKAKEEKKEEGGGEEKKDGKEEEKKEGGGDGGGDEKKEEKEGGGDEKKDEADKDKDAAVNLYMHYPRFAFPGGYYQPAYQYPPPPPPPPPGYAYQPAYPPPSYAAYAPPHHHQPMAPQIFSDENPNACSV >Sspon.04G0030190-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4B:76048822:76050489:-1 gene:Sspon.04G0030190-1B transcript:Sspon.04G0030190-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALGRIGVGEGGAKQWEKRCGEGTGDTVCNDEHHEVQEEGNDMKDATIADDGTLGWVFIACLVTLRFQLRLAGTGARGAGALQRGTVKEPRQRRP >Sspon.01G0000540-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:2100417:2124402:1 gene:Sspon.01G0000540-2C transcript:Sspon.01G0000540-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTSAASDTCKMESVNQQKTLEADASNAPLNHHPHSHSVPVSSDNQDIQRECPSPTPKSDSKEPLVDNSVLTSAAPEMLATTSASDTCKMEEFVNQQKALEADATNASLNQPPHIFTVSSDNQDIGRECPSPTPRSDCKEPLMDNSVFTSVAPGILATSSASASGTCKMEEFVNQQRPIEADASNASLNQPPHSSVFASSSGDNQDNACERPSPRPEGEQPLMDNSGLTSTVPQNLTSTSASASEICKLEEMLNEKRMLEANPSNGSITQSPQSKVFLVQSPDILERGFSSETPRREFKEPVVDSSGLTSAAPENLMTKQHVHSSDAFVPPKSGAPTGKLGDTKSDFKGEEIIQKEQYCESESIVATRENLLIDPSFGAESIDVSDVLESLMEERGGTSYMPGSVEDFLAASAEEEPHYSSPIALSPWGEPSYYQGDAVDSALWGIQDSINDMWPLLSPRPMLQPSSGIGTEGKETYDINEVAVTHVNSDFFQRGSMIGEENVNQANLSAVADWMLSDQVPSIPNGMSTSSVDESTRVLGSQESTNQSLDWGTTWTTSQNLSMYSNEKAEPSSKSYLEESRKQETNNSSVSISGEAIGNNKGLNPPDNANRGSQLSHHHRGSCTGRSLYVLNFTADDDVY >Sspon.05G0007240-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:24316019:24318901:1 gene:Sspon.05G0007240-4D transcript:Sspon.05G0007240-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGVTVADKAEFKECLRLSWTQPYILQLVLSAGIGGLLFGYDTGVISGALLYIRDDFAAVEKSTVLRETIVSMAVAGAIVGAAFGGWMNDKFGRRPSIIIADALFFAGAVIMAFSPTPNIIIVGRVFVGLGVGMASMTAPLYISEASPARIRGALVSTNGLLITGGQFLAYLINLAFTKVPGTWRWMLGIAGVPALVQFVLMLMLPESPRWLYRKGRKEEAAAILQKIYPANEVEQEIDAMRRSVEEEVLLEGSIGEHGLIGKLRKALGSKVVRRGLLAGVIVQVAQQFVGINTVMYYSPTIVQLAGYASNNTAMALSLITSGLNAIGSIVSMFFVDRAGRRRLMLISLVGIVVWLAVLGGTFLGAAHHAPPVSDVETRLLANQTQTCPEFNPNVRWSCMNCLKAASTCGFCAHQGDKASCCCTFILAESLTTSSELQLLPGACLVLNDASRHACRADHREFYTEGCPNNFGWLALIGLGAYIVSYSPGMGTVPWIVNSEIYPLRFRGICGGIAAVANWVSNLIVTQTFLSLTKALGTSATFFLFCCVSFLALIIVFLTVPETKGLQFEEVERMLERKDYKPWKRYHGGSSIEPAKNSEIGLTTP >Sspon.03G0001940-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3A:4772544:4773590:1 gene:Sspon.03G0001940-1A transcript:Sspon.03G0001940-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASADADAGTCPWDALPLHLQERILSLLPITELLPVAAVSRGLRRLLRTPAFHALLSPHRLDAFFLLSPRLAVHPLSRRVLTLPALAALSPPSYPLVSSPSPSLLITCASLQFLPPIPDGAYLLSVIVPSRRSSPSCTLVAVTTGAAVRSYTLDTGDPSPRWASRGDLPLSLTILGNAAVAGDCGKLFVLGRGPDALLVFDLATGTWEVPPVVMPQGLTTAHLFLFDGSLFLVGGIESFGEVERVVVWRLDDDKEEEVWWREVSVMPTEVFDELLAGRFGSFWHFQAADRLGIVCLYNAVDGRLVMFDAADGAWTVLPRLSGLDADESLRWFGHVLEPGVEILLGLRW >Sspon.07G0001650-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:3224775:3226296:-1 gene:Sspon.07G0001650-3D transcript:Sspon.07G0001650-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRQEKIDTINKVHIVKAGLLKKLPQLTDDKDLSRSQELALLVLSISSLANTDFPISTTELLPFLVATLSDADVPPDTMLPCLAALRNLSTKLEHARDVVSSGAVRALLSLSLSLDRKMSEAALCILGELAAASAAARKAMEEEGEGEAAPRAVLEAMTRHESARCQEHATYLAMVLAHGSRALRRRMRQLGVVQALLEVSLLGSPLAQRRAAKILQLFKEEGPQQSRVRAHSGPRVEGDGGTSCPDDDVAGEDGREAKDCCRDTTVGKIVRQSLDRNMKCILRRATASVDLTNVKLLVTSSSSKSLPC >Sspon.01G0007300-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1A:19838893:19839119:-1 gene:Sspon.01G0007300-1A transcript:Sspon.01G0007300-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSSGTAAGAGGRVVLSEEGATAMAECIGLLFGRWTALQLAVQNRWGGLDSQAKADRLASSVLSWFTRAAAR >Sspon.05G0007900-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:16055229:16057584:-1 gene:Sspon.05G0007900-3C transcript:Sspon.05G0007900-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKNQAYKAMQRARVGSSSGAPGSADAPEDGMTDGSFHSPEWHAARLASLNKTHTVTWEEFKKKQKEEELKRGELEADKDKMMREYRAQLDAERAQKLANGRNHLRSNSKSSSSKKVFPSLVIPQINILHLLIGSSDKIILRTERKDKDAKKRNSSSSDDEDRGSRKSRSRSRSKRTKKEKKHRSRSKRRDSENEEEGPVRLSKFFG >Sspon.03G0009070-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:38587635:38596960:1 gene:Sspon.03G0009070-2C transcript:Sspon.03G0009070-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LPWELGLAETHQTLVANGLRGRAVLQTDGQLKTGRDVAVACLLGAEEFGFSTAPLITLGCIMMRKCHMNTCPVGIATQDPVLREKFAGEPEHVINFFFMLAEELREIMANLGFRTITEMVGRSDMLEVDPEVVKSNEKLENIDLSLILKPASEIRPGVAQYCVEKQDHGLDMALDNKLIDLTRTAIEKQVRVFIETPIQNTNRAVGTMLSHEVTKHYHMNGLPAGTIHVKFTGSAGQSFGAFLCPGITLELEGDSNDYVGKGLSGGKIVVYPPKNSSFIPEDNIVIGNVALYGATKGEAYFNGMAAERFCVRNSGAQAVVEGIGDHGCEYMTGGTVVILGKTGRNFAAGMSGGIAYVYDVDGKFSARCNNELVDLYHVEEEDDIITLKMMIEQHRRNTESVLARDILSDFDNLLPKFVKVYPRDYKRVLENMKAEKAVAKHAKEPKMANGISVTTKESSGAGCPLGNKIPEFNELVHQNRWREALDRLLETNNFPEFTGRVCPAPCEGSCVLGIIENPVSIKSIECAIIDKGFEEGWMVPRPPLQRTGRKVAIVGSGPAGLAAADQLNKMGHFVTVFERADRIGGLMMYGVPNMKTDKIGIVQRRVNLMAEEGVTFVVNANVGSDPLYSIERLRSENDAVILACGSTKPRDLTIPGRELSGVHFAMEFLHANTKSLLDSNLEDGKYISARGKKVVVIGGGDTGTDCIGTSIRHGCTSLVNLELLSKPPSNRAADNPWPQWPRIFRVDYGHQEAATKFGKDPRTYEVLTKRFIGDENGKVKALEVVRVKWEKVDGRFQLKEIEGSEEIIEADLVLLAMGFLGPEATIAEKLGLEKDNRSNFKAQFGDFATSVDGVFAAGDCRRGQSLVVWAITEGRQAAAAVDKYLSSSAARTHARTDMGNTVAPRMCRKEAGTAAVTTTGRRRPKSFVTEVAAAAPDAAPPRRLAFKPRKQRDDVELEHKQQDETTRAGPGRSCARARAGGVVSWAGGVGADDAAAVGKQASAAAAVVTVKIVLKRKDAEALVARLNAQSARERKARMAELKGEFRAGDCFRSTHARTDMGNTVPPRASREATGVGRRGAGTGRRRSENVTEVAAPPATPSRVVATACKQGRDDDDDGDVEEEETTRAGRPRAGRALRASGGDGAAPAGKVLTVKIVMTRKDAEALVARLNLKAQSARERKARMAELKGELRAGSCGGGRARASPAWSRVARRPMLPPIKEN >Sspon.01G0003170-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:8748860:8750139:1 gene:Sspon.01G0003170-1A transcript:Sspon.01G0003170-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAATTPHSLLLQRAASPAAPPRAAVAASSLRLPARAARISCAAVAAPSPATAAAADEAERGVYNFAAGPATLPLSVLKRAQAELVDYHGSGMSIMEMSHRGKEFDAAIKKAEADLRALLAVPDTHAVLFLQGGATTQFAAVPLNLCAGPTDAADFVVSGSWSDKAFKEAKKYSAASVAWSGKDGKYTALPPFDAIKQNPEARFLHICSNETIHGVDRHPRRRHPVDVSRFGVIYAGAQKNVGPSGVTIAIVRKDLIGAAQPITPVMLDFKTHADNASLYNTPPCFAIYICGLVFEDLLAQGGLAEVEKKNAHKAGILYDTIDASGGYYICPVDKSVRSHMNVPFTLAKGPDFEKQFVAEATKEGMVQLKGHRSVGGVRASIYNAMPLAGVEKLVAFMKDFQARNP >Sspon.03G0036640-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:91465659:91471343:1 gene:Sspon.03G0036640-1B transcript:Sspon.03G0036640-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MACHLCTLLNLRLLLLLGAVLGNAGLGRGGGAEASDRAEPDPYSILMWHDYSPPSPPLPPPDPASPTATCEGDLHGKGDFLTRCEVSEEVELGGDVHITGNGSLVLLSGASLTCEKYGCVISANLSGEVRLGRGVRVIAGRVTLVATNITVADTVVVNTTALAGDPPDRTSGVPTGTHGDGGGHGGRGASCFVKEGQTQEDSWGGDAYAWSDLEHPWSYGSKGGSTSVEKDYGGAGGGIVWLFAEELVMNGTVLADGGDSNEKGGGGSGGSIFIKAASMIFSMPLQFFHLFLSSAGNRHGGGKISASGGDGLAGGGGGRVSINVFSRHDDTQIFVHGGRSSGCPDNAGAAGTLYEAVPKSLIVSNNNLSTQTDTLLLEFPNQPLWTNVFVRNRAKVAVPLLWSRVQELIYTFGGPWLFGLLLSGLLILLALVLSVARMKFVGTDELPGPAPTQQGSQIDHSFPFLESLNEVIETNRAEESHGHVHRMYFMGPNTFSEPWHLSHSPPEQITEIVYVCLFHKYEDAFNRFVDEINTLAAYQWWEGSIYSILCIIAYPLAWSWQQWRRRKKLQRLREFVRSEYDHSCLRSCRSRALYEGLKVDLVTATPDLMLGYLDFFLGGDEKRPDLPPRLRQRFPMSLIFGGDGSYMAPFSLNSDSVLTSLMSQAVPSWIWHRLVAGLNAQLRLVRRGNLKVTFLPVIDWLETHANPSLAENGIRVDLAWFQATALGYCQLGLLVYAVEGEAAVIEPDGSPRVKAEQRTPTQNMLADTQLSQSRIKDALMRKRITGGVLDSNSLRTLKDRRDLFYPFSLILHNSKPVGHQDLVGLVISILLLADFSLVLLTFLQLYSYSMVVAFICGFVHYKSSTKTHPSVQPWNLGTDESGWWLFPTGLMLLKCIQARLVDWHVANLEIQDRAVYSNDPNIFWQS >Sspon.08G0016170-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:63849818:63854062:-1 gene:Sspon.08G0016170-1A transcript:Sspon.08G0016170-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMRFSFRSLLPLPIPILLLLLSVLGASARNEEDARALAALKRALDPTGRVLGSWDPSGDPCRGSFVGVTCSRDGRVTAVSLQGRGLSGSLPPAVAGLRRLQGLYLHYNGIKGPIPREIGKLSELTDLYLDVNHLTGPVPVEIAAIVNLQVLQLGYNQLTGSIPPQLGNLNKLAVLAMQSNQLAGAIPATLGELTQLRRLDLSFNNLFGSIPSKIAEVPLLEVFDVRNNTLSGSVPYMNNKGLCGAGFSLLELCPSSEDGLTPSKPEPFGPDGTVKTRQIPQSANPDNCSGSRCSKSANASEGVLIVAVVAVVIGAAFCGLFAFSWYRRQKQKIGSSLEVSDSRLSTDHFQQKEACRRSASPLISVEYSNSWDPLSGGAGVGSSGEVGDSFRFNLEEVECATQYFSDVNLLGKSGFAATYKGILRDGSVVAVKSLNKTSCKQEESDFLRGLKMLTLLQHDNLVSLRGFCCSRGRGECFLVYDFMVNGCLSQYLDVKDGSSATVLDWPIRVSIIRGIAKGIEYLHSKNSSKPAVVHQNISAEKILLDHHFAPRLSVPGLHKLLADDVAAKFAAVAAYCTTESPSQRPTMDAVVQQLLCTGFPCIDRPIDVYAASAQEASLINELIVNEKIDPS >Sspon.01G0014630-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:18607251:18611020:-1 gene:Sspon.01G0014630-3D transcript:Sspon.01G0014630-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYLQEARENHVKKKVEEALRSKMKQKALKECDFYCSKYAECARGRTFSVVWQCRKQAKELNECLHQYTNDAVLEEMKKAYMIEQESKEMNQ >Sspon.08G0003940-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:11476297:11485522:1 gene:Sspon.08G0003940-1A transcript:Sspon.08G0003940-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMHEIRGEEAPAPAAVADGGGGGSSSSLLGATQQPIDLEGGPLQAAAAAGEGGGSLRGALQQLIDLGDRRLQAASFFLVWGCCVLTNATVLNPAANLEHKFVGFVVLTIGAWLALLTLAGALEKGLLHKKKTILQSHSIGELIGNHGKGGLSSMSQGSSCLQDEETNTLDLNIQGSGSRSIAPDSKITMGMQWAEANAGRLVIAVIDVGPKSSHPGGAAQKRQKRPRRVEATSAEGRHAGKKPDITLHSQSPAGSRLVAQLCLSASLPSPSLPARSSQLGLDRRRADRRRQKYYFEAKERRSFVLRLHPSSGGD >Sspon.04G0015250-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4A:57057896:57063724:1 gene:Sspon.04G0015250-1A transcript:Sspon.04G0015250-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPLLLCSVIGGLQIAINHASSSQGEGGPATRLDCSCSNVSVDENAMGGIECPNEYAYECPLPRAPKEPPFLEIPYEYRAVQDGLFPYTDLPDASCRVNGSCPATFLVTGDNHSFVESVIDNIFPAHSSSVNLSANISALSDFVLADYGESFLQNKCFPNLTLSYPVQHGNRTVSQAYDFLSSDQGNFNLIISYNSTNYGFYDESEQSIPVLNNPAGLTFFRQNNYGVQFVFYFAYMNLQISFAFLMTTYFSSVRTATGSWITLMELLPAFSLYRIVYEFSRFERHGNYMASSGIQWTDMTNPENGLADGMRKAAVFVRSRIKGNHVETGQQQNIQLQEFRASVEMERTDVIREKELQESVEIINEEFELNNREIVEQISKESRRSYSVICDNLEKVYRGKDGNADKIAVRGISISMSRGQCFGVLGPNGAGKTTLINMAIEQSLRSMHLLAGGVPDKLVGKYSGGMKRRLSVAISLIGDPKVVYMDEPSSGLDPASRKNLWKAVKSAKQDRTIILTTHSMEEADVLCDRIGIIANGSLQCIGSSKELKDRYGGSCVLTVTTPAGEEEEVERLVQSISPAANRVYRVSGTQKFELPKQGMKISVVFGAMEQAKSSLHILAWGLADTTLEDVFVRVARQSDMSTVT >Sspon.02G0015290-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:41650893:41652073:1 gene:Sspon.02G0015290-1A transcript:Sspon.02G0015290-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQPAFLSSLRSRLRSAPPPPHHRLQPSRGYHVELGAREKALLEEDVALKRFKSYKNSVKQVSKIGNALTFAAVL >Sspon.01G0018600-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:70256567:70257443:1 gene:Sspon.01G0018600-3C transcript:Sspon.01G0018600-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTSSRSLILCAVLAACLGLAAAGWSQGTATFYGDADGSGTMGGACGYGNLYNAGYGINNAALSQTLFNNGASCGQCYLITCDRSRPGGQWCKPGNSITVSATNLCPPNYGLPNGGWCGPGRPHFDMSQPAWEHIGVVQGGIIPVLYQQVTCTRQGGVRFSIAGSQYFLLVNIQNVGGSGAVAAAWVKGNKTGWIQMSRNWGANWQALAGLVGQGLSFAVTTTGGQYLQFLYVAPGWWQFGQTYGTDRNFAY >Sspon.05G0020650-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:82267452:82268905:1 gene:Sspon.05G0020650-2P transcript:Sspon.05G0020650-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGGGSSSRVPGNLNLPAGFRFHPTDEELIVHYLMNQAASMPCPVPIIAEVNIYQCNPWDLPEANASVASPTSSAKALFGENEWYFFSPRDRKYPNGVRPNRAAGSGYWKATGTDRAILSTPTSQNIGVKKALVFYGGRPPKGVKTDWIMHEYRLTGTAANHKSSTKRRAGSSMRLDDWVLCRIHKKNNGFQLSDQEQEGSTVEEVDTLLINDSTTTFNNMNDDSTETTLAGQHHDEQKQQLHHQTMSKSCSLTDLLNSMDYASLSQMFLGIPAEAEEPQQSPPLIYPPATPTQTTTHQALTNSYDNNVMNNNLPTAAVDAVIGSDNNGVKKRKRVMAVDGAAAAESSFDDGSSSFSSKKLKLPSDSRSGGHFGFGTASSGYCNQQQLVDSGSAGFQYSTLLLSNPFFNQQQLLLNSHIGMQ >Sspon.08G0001680-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:3307277:3310812:-1 gene:Sspon.08G0001680-1P transcript:Sspon.08G0001680-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AMSKTESRKLSDDYEVTDVLGRGGFSIVRRGVSKSEGKTQVAIKTLRRLGPAMNGMQQGSKGGLPMWKQVSISDALLTNEILVMRRIVENVAPHPNVIGLHDVYEDAHGVHLILELCSGGELFDRIVGRDRYSEFDAAAVIRQIAKGLEALHKANIIHRDLKPENCLFSDKNEDSTLKIMDFGLSSVEDFSDPIVTLFGSIDYVSPEALSRQDVSAASDMWSVGVILYILLSGCPPFHAPTNREKQQRILQGEFSFQDHTWKTISSSAKELISRLLSVEPYKRPTASDLLGHPWVIGDWAKQDLMDAEVISKLQRFNARRKLRAAAIASVLSSKVALRTKRLRNLLGTHDLSSEELDNLRAHFARICADGENATLAEFEQVLKAMKLESLVPLAPRVFDLFDNNRDGTVDMREILCGLSSLRNSRGDDALRLCFQMYDADRSGCISKDELASMLRALPEECLPGDITEPGKLDEVFDEMDANGDGKVSFDEFKAAMQKDSALQDVVLSSLRPPAPGQ >Sspon.01G0028740-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:100177591:100178165:1 gene:Sspon.01G0028740-1A transcript:Sspon.01G0028740-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding NGHLRVVRRGGRGRRDGEGGAPQRRAPGVRPTGHRRDGAASGRRRRVVVVPLRRRRDRVRGGPRRRRGGRPRGGAPGGADLLRAPRRDAAPPPHPRRGRRAREPARRSSRPPPPPRRPAVLALPPPPAARRGGAARVPGPRGGVRGGGRGLLARRGEACGGGGAEAEGGVPGREGVEVLPRPDLYPGERV >Sspon.08G0023110-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:55354288:55358231:1 gene:Sspon.08G0023110-2D transcript:Sspon.08G0023110-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQFQAKTLALPGPSCLKHCGDVEIQYPFGVGDGCSMKGFELNCNNTKDGRSFLTVFGVIPVRNILLLDGQVRIMKHISSMFYNRSTKEMEYSTWGSDLSNTPFRYSGKSNMFTRVFAYLAQISRYSNLRAQDGKCVGAGCCRVALTEDMYKDRVYFSQFYNTTNYYTNKSVTDKAEDRGYAVIMATTAFRSNTTYLNTTAFFHGINESNGRVPAILNWVVGNETCDVARKKNDSYACLSKNSMCVNSGSGSGYLCNCKEGYQGNPYVPDGCQDIDECADKQPPCAGCKNAPGSFSCPDQRSLKKLSKIKRKYFQQHGGMLLLQEISLKQGTAFTIFTEAELIDATDKFDDRNILGGGGHGTVYKGMLKEGSLVAVKRLMDIIDNRIKNEDDMGLLEEVAELASQCLEMVGVRRPAMRDVAEKLDRLSKVMQHPWVPAQHRPEEMESLLEESSMVSLEVIGTGNFGMEKRIVQGLVESGRPMDVEL >Sspon.03G0022380-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:90986989:90989586:-1 gene:Sspon.03G0022380-2B transcript:Sspon.03G0022380-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIAKNGIASGSVRDLPPSKRFRHVGSHLGSTPSVLLPAKKRVLPPPQPQPPEEAAVAVCLPVKKRAIVAPRVEVADAPFCLPAKKRAILAAPLEDAAPACLPAKKHACAAPADAAVPACVPAKKRPCAPPPLPPGAVASAKKRVHAPAPRDDAAGSVPAFLPADRRACAAPPVDTVASACVSAKKRANSPARREDAARSAPVCLPVNKRVMPPFVPPPSVQSDGARVVAAKEARPQGSSKYSGGAINSRVASGTEERARGEEFKKPEKPINQKGIKEQVSMKPRSPPRKGKGLEKPCKTVDGEQSEAVVEVRKKSDAAPDAKGASPKEEPRNGADEVAAQEQEQEPVEDDDGVRCAVCASTDGDPSDPIVFCDGCDLMVHASCYGNPLAQAIPDGDWFCSLCFAKKSKPAVRRRSCCLCPASGGAMKRTTEGKWAHISCALLVPEVFFRDPDGRDGIDCSRVPAHRFAKDCYICEGNKGCALECSQPKCGLGFHVSCGLGAGLCIEYQEGKSGAIDL >Sspon.04G0016690-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:15438644:15451759:-1 gene:Sspon.04G0016690-3C transcript:Sspon.04G0016690-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEIEVLEDTTTSSTSLVAAASTAPSAAEGAGAPAEDESLKNDVYTAAAYGDLEKLQRLVEGEGRPVTEPDGGGYHALQWAALNNRVAAAQYILEHGADINAVDHTGQTALHWSAVRGHIQVAELLLKEGAKVDAADLYGYQDNARRVHDRGCGANTRFGKLSKLGLAPLLWCTIIGMLIIYMHSVISGQYATTMTAPFGMFAWSGVFLATAGLVMFYKCSRKDPGYININTRGSQNQRDDEPLLKMELENPALLSGNWSQLCITCKIVRPVRSKHCSTCDRCVEQFDHHCPWVSNCIGKKNKWEFFMFLTLEVFAMIITGSAAIIRIVRDPDSPSSFGAWIHYSALQHPGVVSFLALDCFLFFGVAVLTVVQASQIARNITTNEMANSMRYAYLRGPGGRFRNPYDHGIRKNCSDFLLNGYNEDTERLDQTLHTDEEMGMIQMTSAVSQNGDNHLHHANGTDHSCADSQANSKPHSQVGSSQCCDHSKKKTDRTPLGLGLGLGRNSASRQYVRSLIPL >Sspon.02G0019180-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2A:62168575:62168997:1 gene:Sspon.02G0019180-1A transcript:Sspon.02G0019180-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LTLLVHLKLRVEERVPQEGFVVFHRAAFPNLRELWFRWEAPCLVFEAGTMPRLRSLVIDCYAQGARQADGVLDGIQHLGSLVKFKVHVYERKGYISRMLGCGDGKRPRVEEQRRWDRTSLKAALKEAISKHPGSLRVVIVD >Sspon.08G0029540-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8D:40392716:40393034:1 gene:Sspon.08G0029540-1D transcript:Sspon.08G0029540-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPPSTTTTTTTEVWQWQCGTCRADWKMLRQETLLLTATTTTTTITIARRRVPAAGLWRRVRRVPTLTPGVTPPSLL >Sspon.02G0013370-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:35639645:35642570:1 gene:Sspon.02G0013370-1A transcript:Sspon.02G0013370-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding METVPAGFDVDTGVASKDIVNDVATGATVRLYLPPVQGATTTMYVATGMYGGLRAAPPASARIEGVILLHPSFCGEQRMEDEAKAFWEANKKRWAVIFPSASNGSDDPRINPMAAGAGAPGLAKLGRQEAVCLHGVGGSQGAKGPSVLRRREDRRLEREGAVVRVESSRVIAFDCSSFRLYMDSQVERAAQRMETVPAGFDADTGVASKDIAIDVATGATVRLYLPPVQGATTTKLPIVVFFHGGYFIVGSAGEPMYHRYVNSLVARARVVAVSVDYRLAPEHPLPAAYDDSWAALKWAVSSADPWLSDHGDLGRVFLVGVSAGGNIVHNMAVSVGVSGLPAAEPPRIEGVVLLHPSFSGEEKMEAEEGEFWRANNNRWAVIFPGATGGADDPRINPMAAGAPSLEKLMGERLLVCTASLDPRAPRGPAYCEAVRASGWRGKVEWFETEAEGHGFFVLNPGSHKAVE >Sspon.04G0034710-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4D:81055706:81059149:1 gene:Sspon.04G0034710-2D transcript:Sspon.04G0034710-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPGCRETCGNLTIPYPFGIGTDPDCYYEQGFDVSCEDDRTFMHNSSSQMEIYNISLLGGQVRVSTLIASKCYYGNKDNRNTDGWATAYTARFFTISSKANKLTAIGCSTLAYLEGYNKHSVRTGCLSMCLDKESVDQSSMCSGMGCCQTSIAPNLTYFNITFDTRYDNSDVSGFDPCSYAFVAEQDWFKFNASYLEDNKFAERFKDGVPSVFDWVAGIQSCDEAPKNRSSYACISKNSHCVNSANATGYLCNCNDGFEGNPYLEDGCQGISSCAILLLICIFALLIECQKRRLVREKDKFFQENGGLLLYEQIRSKQIDTVRIFTTEELKQATNNFDSSREVGRGSYGTVYKGILKDNRIVAIKRSKIMNMVQKDDFVQEMIILSQINHINVVRLLGCCLEVEVPMLVYEFMPNGTLFDLIHVTYRRQSISLDARLRIAQESAEALAYLHSSASPPIVHGDVKSPNILLGDNNIAKVTDFGASRMLPKDEIQFMTMVQGTLGYLDPEYLQERQLTEKSDVYSFGVVLLELITRKTAIYSEGTEEKKSLASSFLLALKESRLESILDRSILGVGMELLQEVAQIAKRCLSMKGEERPLMSEVAERLRFIRRTWREQLKEHASEETECLLENPSIYDPSSTGRHGSLMGLDLEIGR >Sspon.07G0014430-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:51922565:51924027:-1 gene:Sspon.07G0014430-4D transcript:Sspon.07G0014430-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MYPYRMSNVMIGYLNLATLLASIPIIGAGLWLAKGSTTTCSSILQTPLLVIGFIVLLISLAGFVGACFHVAWALWLYLVAIILLIAFLLGLTAFGFAVTAGGGGTQVYGRPYREYHITDYSSWLQKHMQDIKYWRPALACVVGSKACPKIENWTPMDYLQHGLTPIQSGCCKPPTACQYSGGMPVGAQDEDCYRWNNAPDILCYQCNSCKAGVMEQIRQDWHKIAVLNVIVLAALICICACGCCAFRNARRSLSEYPYGVNQMSKINPRWDYYWYSKQNSSVVYSLLSHLAPLSRKIDFITENVKIYRQKDK >Sspon.03G0043580-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3C:82417403:82420104:-1 gene:Sspon.03G0043580-1C transcript:Sspon.03G0043580-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMSTRCFRGDRPTLTGLRLRRIVSFLRRHRLYDTAHALERQTGAFFDAAHFRRLLRDLRWADASSYVFGFLAVGDCSREADTLVVRILILRVMADLAGGRTHDIDALFQRLYASLQSHPDGHHPRRILLSMLSDRARASRLYHRFRPMAVEVILNMAAKCPELKAKAQLPRCTSDPAYILSLGPRYTSSFSYMKQLQSCADAMVLDNKAGRLPAHVLARSFMQKRKQTSPATGRDEASVFPRGSASPPPVGSLPQAPGSPQHRPYVRQPVRSLVLDFFCQVLFCQLAAIIASPLASRLERESMVYFDAAHLQKLVKGGRWEAAGTYLSGFSPLWEGEGTTQKYTSFLHNMEHHAMLAFLACRGEEGGRAASSLFWSNDDAFCKRFPEIAERNDLYRSMAFAQARASVNWEDIKLKTSEELQELLHLHPDIKCSLRMRELQCTPTASEITPLGYFSQSSFNFLALALFSKRRLS >Sspon.05G0031380-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:4729160:4729390:1 gene:Sspon.05G0031380-1C transcript:Sspon.05G0031380-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATIGAVRVLCGAEKERVVGTHKAPGACPRCGGAVVAVDVESERRILGLPLCLKSKRKYSCTRCLRRLRLVTLYG >Sspon.05G0021130-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:88852656:88853890:-1 gene:Sspon.05G0021130-1A transcript:Sspon.05G0021130-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHQQYNSRCLAQSSASDHRSAMKEIARGQSLVTQLRAIVLPALQADERSELVAHMFQNILDCSSKAMAELQLHQSPSTRRPHDDDDVLVDDKKRVNKISSIDCKNEKSVTTANPRHQHKRRRFDDSVSLETPVPHYDGRQWRKYGQKHINNTKHSRSYYRCTYRQEQGCKATKTVQQQDDSSGADHTLMYTVVYYGQHTCKDNDGANSCPDDSETNTRSSSESQSSISSTCTDPCDHQNQTSLHDNEPINDKSEELVTKGMYEPFDMTAFAPLDLDSWELDALLRFGA >Sspon.08G0005900-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8A:18632785:18632961:1 gene:Sspon.08G0005900-1A transcript:Sspon.08G0005900-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHDAGLGSRMTRDGVRGEEDISSECTAQQHAMGKHGSGRYFKRDGETQRGSRLVGPW >Sspon.03G0041280-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3C:39324431:39324739:-1 gene:Sspon.03G0041280-1C transcript:Sspon.03G0041280-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARCLTSVVLMSALLLVFVVSMCAPGGADAARLLGRTGVDTAVAVAVPPLAMGRLQAHEEMTTAAALQVPVPVPGDGEAGEDGSVAAASKRLSPGGPDPQHH >Sspon.08G0018940-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:27384191:27389083:1 gene:Sspon.08G0018940-2P transcript:Sspon.08G0018940-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSSSRPLRQRHHSSPLLILLVSLSVLLTSLPISATADGLPELGGGDGLYREILRDETVLRLNELGKISDGEGYLERTFLSPASIRATAVIINWMKDAGLTTWVDQMGNIHGRFEPTNSTKEALLIGSHMDTVIDAGMYDGSLGIICAISALKVLKVTGKLQRLTRPVEVIAFSDEEGVRFQTTFLGSAAVAGTLPESILQVSDKSGTTVQDVLKMNSFEATSTAISQARYNPESVGSYVEVHLEQGPVLEALRYPLGVVKGIAGQTRLKVIVDGSQGHAGTVPMKLRRDPMVAAAELVVTLERLCKEPNKLLTYDEECSCFTEESLAGLVCTVGELNTWPSASNVIPGQVNFTVDIRAMDDQVRETIVTSFSRLVLQKCDDRLVDCKVEHKHSAAATPCDPELTSQLKRAARSTVSAMPGRTVAAASETPVLMSGAGHDAMAMARLTKIGMLFVRCRGGISHSPEESVMDDDVWAAGLALFNFIDQNVLAVSEEELEAGGRTRWQSRDGDGRWRFFRWCSTRHV >Sspon.07G0007420-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:15268547:15271088:-1 gene:Sspon.07G0007420-3C transcript:Sspon.07G0007420-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LRRSRRFLARTPSRRQPKAAGKAEASPTAAPTYTRDVVRRATAILRDHPWSAARPLLLSLPGLAWDSHTVARVLKTHPPLQKAFLFFRLAATASRTFRHDRFTYTSMIHLLGEAGRVQAMLRLLAEMLRAGVAPDAATFTTVMHWLARAGDVDGAMRVWAEMKARSRPTVVSYTACVKILFDAGRAEEARKVFREMVAEGLRPTCKTYTVIMDKMKEACVQPDKALCNILVQKCSRAGETSVLTCILQYMKEHFIVLRRPIFLEALEALKASGDSDELLREVNPHLSYEGIENDPILSDQGYLTDRSIILYLMSANKWSAIEQMVNQMAPKNVKMETHILSDIIEASCADRKPSCGLTVMRYGLGVGCELGRSAYCSLLGLYIRTGSFDLVLEIVEELIKSGCNLGTYLSSVLILRLGYAGQSACAAHIFGLLTADKNVVSYTALINAYFQAGKVDGALDLFTQMRTNRISACLGTYEVLIHGLKKAGLKQESDYYRRERMNMQWHLQYHGQRSPEDTLCNHLFCGLHG >Sspon.04G0009080-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:26330746:26334925:-1 gene:Sspon.04G0009080-1A transcript:Sspon.04G0009080-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LASASTSLLFPQATSSRSRVRLSTSLGFSAQPARLRSRAAAAAAGGQRRGRLLVVRAARGKFERTKPHVNIGTIGHVDHGKTTLTAALTMVLASVGGSAPKKYDEIDAAPEERARGITINTATVEYETETRHYAHVDCPGHADYVKNMITGAAQMDGAILVVSGADGPMPQTKEHILLAKQVGVPKIVVFLNKKDMVDDEELLELVDLEVRELLSNYEYDGDEVPIIAGSALKALEALMANPALKRGDNEWVDYIFKLVDEVDNYIPVPQRQTDLPFLLAVEDVFSITGRGTVATGRIERGTVKVGDTVDIVGIRDTRTCTVTGVEMFQKTMDDAMAGDNVGLLLRGMQKDDIERGMVLAKPGSITPHTKFEAVVYVLKKEEGGRHSPFFPGYRPQFYMRTTDVTGSVTTIMNDKDEEAKMCMPGDRIKMIVQLIQPVACEQGMRFAIREGGKTGSCT >Sspon.02G0007000-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:20409966:20415177:-1 gene:Sspon.02G0007000-1P transcript:Sspon.02G0007000-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRWRSPAVLQLRLWLLAVSASSAALGVLAADLSKEPFTIRISCGSFDDVRTAPTNTLWYRDFGYTGGRFANATRPSFILPPLKTLRYFPLSDGPENCYNINNVPNGHYQVRLFFALLDNPNLDSEPIFDVSVEGTLFSSLLLGWSSDDEKTFAEALVFVQDSSLSVCFHSTGHGDPSTLSIEVLQIDDNAYNFGPPWGKGTVFRTAKRLKCGSGKPAFDEDLNGIRWGGDRFWLGLQTLSSSSDDQSISTENVIAETLLTPNFYPQSIYQSAIVGTDRQPSLSFEMDVTPNKNYSVWLHFAEIDNGVTAEEQRVFDVLINGDTAFKDVDIIRMTGERFTALVLNKTVAVSGTTLKIILQPVKGTCAIINAIEVFEIIPAEKKTLPQEVSALRTLKGSLGLPLRLGWNGDPCVPQQHPWNGVDCQFDNTKGNWIIDGLGLDNQGLKGVIPSDISKLQHLQNINLSGNSIKGNIPISLGTISAVQVLDLSYNELNGSIPESLGELALLQILLTFHIRFFLPQNLRLREFVLCRNLNGNRLSGRVPASLGGRPLHRARFNFTDNAGLCGIPGLRECGPHLSMAAKIGMAFGVLLAILFLVVFAACWWKRRQNIIRAKKLAAAREAPYAKSRTQFTR >Sspon.07G0006560-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:15048665:15051744:-1 gene:Sspon.07G0006560-2B transcript:Sspon.07G0006560-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEGYANLPTSHLLGSVPAVTQDDRKPAPPAAQDAAATSRLQEFPPAPGGNGGGYRPPGSPADGDVENQADWKGYFNVASYAPYFNVDTDVVVDRLISSIYPMDGFYRKIDANPDMYGPLWITTTLVFMLAAFGNYATYLMQNKKDLDIWNFDVGYFSWAASVMYGYAIIVPAVFFFLFQYFGSRPSLVRFWCMWGYSLFVFIPASVLLLIPVEFLRWVIIALAGGASSWFIALNLKECTQGADLMVLMASASVLQFALALFIKVFFFA >Sspon.01G0012940-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:41957986:41958687:1 gene:Sspon.01G0012940-2B transcript:Sspon.01G0012940-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRYHLKQYEKEHMKMAMLKQEVTFRQQVQELHRLYRVQQLLMADAANGATMAMPPAATRCNLKDDDERRAAENDAGSSRSWDDAYSQSQQQGNNKAPPPLVLQESELELTLALGCFGAAGTKKEVAAKKEASSSVDSRTSFSSSSTESGSPYCGVRRLPPPSLIGSVPGQPTSAGQRLEQEGLPQPPWLHKCLNLAR >Sspon.04G0024830-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:22291287:22291658:-1 gene:Sspon.04G0024830-1B transcript:Sspon.04G0024830-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFVGLVSLVALIFLLSFRSLLLSKCLLVSTLCRSLCDSLVSVSFHCDVISCRCVSVTIEKLKLVFGFVEGEGSAVAARSGRHDRSQQHAEQWAEERKRMRWFMTRDYASARRHTPRNNRLDP >Sspon.01G0032440-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:105035819:105039605:-1 gene:Sspon.01G0032440-2B transcript:Sspon.01G0032440-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VTRPPRITSNVKQNLRILKFWKEFERRQTSGPQPATRYRKKKVIKEVLPDDTDFYEDPSSTLHLTNEGLEIASPVILVDGYNVCGYWGKLKKDFMNGRQEIARQTLIDELVSFSAVREVKVVVVFDAANSGLSTHKETYKGVDVVYSADLSADSWIEKEVEALVADGCPKVWVVTSDALEQQLAHGEGALIWSSKRLVKEIKESEKELDLELKETRSTSLQGKLLQHKLNPKVVHALKGLRNKLEEQERRKK >Sspon.04G0024390-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:22685172:22688020:-1 gene:Sspon.04G0024390-2C transcript:Sspon.04G0024390-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative cyclic nucleotide-gated ion channel 15 [Source:Projected from Arabidopsis thaliana (AT2G28260) UniProtKB/Swiss-Prot;Acc:Q9SL29] MYASLRNFRFQNEIEVQSFRTSPLQQNLSSRKHGRAHDPRKCRLGFRGGCLEKACRNPTLKDRVLSRAFSEELESLMHAAGSSHLFFDPHGHLIHLWNKIFLSACLLSLFVDPLFLYLTGTQRNNHMCIEFKYSLALTLSMIRSLLDIFYAAHILFRFRTAFVAPSSRVFGQGELVIQPYKIARRYLGRTFWFDLVTALPLPQFVIWIVIPKLNESLTGTQRNNHMCIEFKYSLALTLSMIRSLLDIFYAAHILFRFRTAFVAPSSRVFGQGELVIQPYKIARRYLGRTFWFDLVTALPLPQFVIWIVIPKLNESLTANRKSILRFSIIFQYLPRLFQIFPLTSQIVMATGVMAETAWACAAYNLILYMLASHVLGALWYLFSVQRQEACWREACLLESPTCQTMFFDCKALSSNRTIWYELSNITSLCTPGNGFYPFGIYAEALQTKLTSSSFTQKYFYCFWWGLKNLSCLGQNLSTSLSIGEITFAIVIGVLGLVLFGLLIGNMQSYLQTTMVRLEEWRTKRTDMERWMHHRQIPQPLKQCVRRYHQYQWVATRGVDEEALLQDLPMDIRRDIKRHLCLDLVRRVPLFDEMDERMLEAICERLRPALYTRGTRLVRELDPVDSMLFIIRGYLDSFTTQGGRSGFFNSCRIGAGEFCGEELLTWALDPRPSAKLPLSTRTVRAVSEVEAFALVADDLRFVASQFRRLHSARIRHRFRFYSHQWRTWAACSIQAAWRRHKRRRASVELRVREGGDVRTAGSLRRSCRHSIDGEASIKKPMEPDFTVEEED >Sspon.02G0003490-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:11264116:11265864:-1 gene:Sspon.02G0003490-1A transcript:Sspon.02G0003490-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNEREDQEPEAATTSDWLDESIAFLAANLDLGFNDYGWLSASEAQEQHDVGSMVVETLAPTAATLLQPSLNLDTSVASSSPMTSLQEFGQPKKRKSPGHSSSQRPHVGVGGRGGGQQETGEASCVRSKPIKKGSGKGTAANSDREARWAEQLLNPCAAAIEAGNLPRTQHLLYVLGELASFSGEPNHRLAAHGLRALTRRLPHAVGQAAAATVKMPACECPTPSFSGVDRRLFRASLIRFNEVSPWFAIPNALANSAIAQAASTKGAVTEPRQVHVVDIGVSHGVQWPTLLEALTRVPRGSTPPSVRLTVAGPTATPPVPFSASPPGYDCSPQLLRYAKSIDLDLAIFQAPCLDTLRGILTPGETLIVCLQFRLGLATADEQAAILQTVRDLNPELMVLTELDGGGRSDDSAASEFAARLELLWRFLDSTAAAFKGMDADERRVMEAEAGTALAPTARREATVDGGREAWRARLAAAGFEEAAFGGEAVETAKALLRKYDSGWELVPPPSSAAAAVGLRWKGQPVSFCSLADGAWRISDKGFMVHVLMRARAFPMRETSTRAPV >Sspon.03G0002700-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:6488258:6488824:1 gene:Sspon.03G0002700-1A transcript:Sspon.03G0002700-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRGKPQANHDGSRPRSRPRDYICSHIRDAEEAITSSDFRVQCSAVKAAAEREVQCTTRHQEMELQARYLCVVAMLLVAGLAGLETAHGAGECGRVPVDKVALKLAPCAAATQNPRAAVPPSCCAQVRAIGRSPKCLCAVMLSDTARKAGVKPAVAMTIPKRCAIANRPVGYKCGRKRPCDPRRFVER >Sspon.06G0008400-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:44402763:44406926:1 gene:Sspon.06G0008400-1A transcript:Sspon.06G0008400-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSRSVVHRHGQCLMILFAMVASLCTVVTSMDSDWTLVQCKSSMAPSPAAPAPSPSSSSSFNSTFWSNVVALLDVLPSAAAPTGFASLARGNGTDRAFVRGICRGDTAPADCSRLTARVVNGSAASSSLAPMFATGEAVYDSAARKKVFATALAIGLPIGTGTLQDGEEIAVKRLSQNSSQGFHELKNEIVLATKLEHRNLVRLLGVSLQEEKLLIYEYMPNRSLDTFLYDPVKRQQLDWSRRFAIINGIARGLLYLHEESRLKVIHRDLKPSNVLLDADMNPKISDFGIARAFGGDQSRDITRRPVGTL >Sspon.07G0018110-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:79958860:79959954:1 gene:Sspon.07G0018110-3C transcript:Sspon.07G0018110-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSETETITFEGIPFPAEITAGGKPLSLLANGITDIEIHFLQIKYNAIGVYLHTTDSTLLGHLGAWKGKTAEELLADAAFWAALVSSPVEKLLRVVVIKEIKGSQYGVQLESSVRDRLAAADLYEDEEEEALEKVAEFFQSKYFKPASVITFHFPATPGPAEITFVTEGKGDAKITVDNEHVAGMIQKWYLGGENAVSPTTVRSLADRFAALLAVAA >Sspon.01G0055390-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1C:78403524:78406271:1 gene:Sspon.01G0055390-1C transcript:Sspon.01G0055390-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha-galactosidase 1 [Source:Projected from Arabidopsis thaliana (AT5G08380) UniProtKB/Swiss-Prot;Acc:Q9FT97] MAGGGGGGSSPARLALVLLVAAAVVACGGKVVHVEEAHRRSMLANGLGSAPPMGWNSWNHFQCDGNGEVVIRETADALVSTGLAALGYRYVNIVSTCSGRCMQGNLVANTKTFPHGIKAVADYVHSKGLKLGIYSDAGFQTCAKAQPGSLGHEEQDAKTFAAWGVDYLKYDNCDNGDLKPLERYPEMSRALMKVGRPIYFSLSEWGDMHPAKWGAAYGNSWRTTNDIADTWDSMIATADQNEVWSEYARPGGWNDPDMLEVGNGGMTNSEYVVHFSLWAISKAPLIIGCDVRHMSQETYDIVANKEVIAVNQDPLGVQGKKVRMEGSSEIWAAPLSEYRTAVVLLNRHAKDEATITAHWDDIGLPAGTPVEARDLWLHETVDATFTDKMSFDVAPHSCRMLVLKPSIHIR >Sspon.01G0062480-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1D:104978699:104978999:-1 gene:Sspon.01G0062480-1D transcript:Sspon.01G0062480-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEESRRSDLARAAGGWRGSSEAPSPFLASEGREAAGTEPASAWTGVKKPKIQSTHTAPGDLGNTARDDTERSSGEIVHGQEQEQDEIEGWDDAKLSKEID >Sspon.06G0022540-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:36496862:36500161:1 gene:Sspon.06G0022540-2C transcript:Sspon.06G0022540-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding FAGLSPSSAVVNIRTVHRGPASASLPFQGPPRPLRPPPLSALPTALGAGRPRPDPDPGFKQTSTMVLDSLSSPHRRSQNTFFVSSAKKPQSSRDDSWSALFERHRFLLTTLLVLAFLCTIYLYFAVTLGASDACTGLEGAERIECQARSVLQHGKGEQD >Sspon.02G0025500-1P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2A:88366922:88367644:1 gene:Sspon.02G0025500-1P transcript:Sspon.02G0025500-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPPPPALVEELIEEILLRFPPRDPALLVRAALVCKQWCRLVSGAGFRRRFRELHRTAPMLGFLHSRLNYSSRWKDKFVRTAASCPPIAYRSHCWVLDARHGRVLLRAEPENALVIWDPIADEQKELPWPKPSQPLVSWNAAVLCAAHGSCDHLDCHRRSFLVVFVCTDTTAEMTICTYSCDTATWTSWSDQIFAHQQPSACVDPFKPSALVGNALYFGFYRRKNMVLKYELECVQSL >Sspon.07G0006800-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:38862034:38864682:1 gene:Sspon.07G0006800-4D transcript:Sspon.07G0006800-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIQVAAVVTPPPCSSPSSSSPASPSSSAIATSPWHAVLGVRLARSQSSLAAWNAGLGPRRGGQHAIRRALSASIDSVGSDGGDDEEFLRRIQELAAGQHPGAGGGCGWPASVERSASSVGLPLSLRMLKRRKQQQQQQQLEQGRWDERLIDCAGESARAAVGRAFSSMVLIIRELQSFTLQMRGALFYEDLQGVLARVHAEMHASFVWLFQHIFSGTPALMVSLMLLLANFTVYSMGDSVAAAATLPPPHAAVAAVEMVDTQQPEESSHFQQRFDTPALKTFSTGRTASVGGNGDGGGKVRPVAGATGDGQSDESSYRQSGAVLPQDVSQSQATPLGAGAGSEASVSDSMPVEEAQETVQDELVIWKRISDEATRMQASVRAEELMDPEILEQLVAPVEAPKTDVATAQRYEQAVSEEPNSSLLLANFAQFLYQVQGDLDRAEHFFKRAVRAEPADAEALGRYAAFLWQARNDLAAAEETYQEAIAADPGNAHHAAAYAHFLWNTGGEDTCYPLD >Sspon.02G0035630-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:92576661:92577039:-1 gene:Sspon.02G0035630-1P transcript:Sspon.02G0035630-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASMKLSLTFVLLLSALVVSGEMGGVMAADCSTVRCIQGGYITCDNYPYRKLDGCACVCAPKNGRNCVLHLQSGSTYNCSDKKKKKE >Sspon.08G0013800-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:53114754:53126664:-1 gene:Sspon.08G0013800-2B transcript:Sspon.08G0013800-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MACSAPAFVAVFLLVLALLTLDAAQGQQPFPPTDSSDADALHAVFQQWRLEGEAAAEDPCMKRVWSESSKINASVDCNCLTFTGCRITSLNVTGYRNITEIPLALFNLTELISLDLSNNNLNGSIPPEVGNLSKLETWHFNNNNLSGSFPHESSLLRNLKSLWIFDNNVEGLIPEFIQNLTSLTDLRIYGTKLQGPIPKNFSNLTNLEVLMLGDLGGNHSYFDVVGEWANLSTLSLRKCGLTGQLPNTPPNLPKLKYLDLTSNNLSGSLRLLLPYKNSSFIYIGENSFSERLPPEIVQQNRRLILRLSDSSLFWNKCTRDVSYNRFVNGSLPTVLAGQKWPINYIGTSVDASGTVNSEILTILNCLHMKGCNGSSVTTGADHATFAINCGGKQIDYSDQMPTVFSEDLTDLGGAGFYVNTTSHWVTSHVGSDPFNKSAGIVNIDNILDSDMPELYKTARTSTSSLRYYVVGLANGKYIVKLFFAEIVITDGPGRRLFDIDIQNQNIRKDFDITKEAGGARKGTNITLEVSVNNSMLEIHLYWSGRGTCCIPYKGAYGPLVSAIKVTRSQDPTISPPRAPSSDSARQDEKRRGVVAGIAALCIAAAVISSSVVYLWWKWVSLVKRPMA >Sspon.07G0030500-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:8715679:8715993:-1 gene:Sspon.07G0030500-1C transcript:Sspon.07G0030500-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARARPHGQVWRQWSLGSVLQLLDGYPAEEPDRLEMLRCIHIGLLCVQEDPQLRPSMASVLPMLRNRIVTMLPPTKPAFVVPVEKPMEPSTSINKVSVSDLEAR >Sspon.01G0021480-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:79168454:79202831:-1 gene:Sspon.01G0021480-1P transcript:Sspon.01G0021480-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Long-chain base (LCB) kinase 1 [Source:Projected from Arabidopsis thaliana (AT5G23450) UniProtKB/TrEMBL;Acc:B3H501] MQKSDHHEQKLTSPRGLIHKILRRTNSRRSPTAADQQPSPVSPETSNSSIFSKQKDADDAIKDSEKPSTHTIRIEDEKSDLLGYEVYSGKLTLDNKAKNSSSEQSGSGSSSNCFDARLSTEALIWGSNILKLEDIVSVSYNSGLRHFTVHACPLEKRSSGLSCFMKPRRTQKDLKFVSTSPHEAFRWVNSFADQQCYINLLPHPMASSKKHSSELIQFDAMFDPYVKCRSPPKILVILNPRSGHGRSSKVFHGKVEPVFKVLNGLLCRDDQNMAASVPIGIIPAGSDNSLIWTVLGVKDPISAALSIVRGGLTPIDVFSVEWIQSGTMHYGTTVSYFGFVSDVLELSEKYQKHFGPLRYFVAGFLKFLCLPKYIFELEYLPVSDVNGAEHKILVGQEKVDASDLYDDVVRRSRAECLPRASSLSSIDSIMSTGIMSGAELEVCSPRANNEPSEVVRALDPKSKRLSLGRGSTFKEPEEVLHPHSHGTSTPNWRRSKSKSRTEKARPGLSATNDAKSSKVNADKEDTSSTISDPGPVAVWDSGPKWDDEPKWDNQPNWEPETSIELHSPREDIELGLTKELVPSLDERWTVRKGRYLGVLVCNHSCKTVQSLSSQVVAPKAEYDDNCLDLLLVGGSGRLRLLRFLVLLQFGKHISLPNVEYVKVCYGCFSLPSVESCFDFVLSVMHVLVKSVRLKAGPNTHDGCAIRPLVIAPPPPRLRLEGKPFQQEPKLDAQKLFKVTKATNLLPPKDHEVVTRLKTNKFGDVTCVKYRSVTPRTIVKGVRARPEQIKVIPPIERKRKLPSPIPQVEVKHPWMTIALLEKMYGDLSDVVYTLEHRLDEECAYRKSLQEDINALNHFVAKMK >Sspon.05G0026410-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:38060532:38083239:-1 gene:Sspon.05G0026410-2C transcript:Sspon.05G0026410-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAIAVASAGLGMLAGVAMANRSSSSSSEGAAQWRPGGGGPALRWGAPRCEACGGSGKEECRLCARWSDAAGSARGKKNGRSGGPASLQQGYKEGSGYKEPCFPSRLVKLYKKMSESQCNRIKAIGFGGLLNIKCATLPARLANWLMVDCFDAESSELVLPGRGVIKVTAEAVQSVMDLPNNGDEVKYELDVNAINFIQSKYKIARGKAPKIRAIVKRVQSTKRASDDFLRSWLMLAVSTFLCPPTSLGISPRCYPSIMDLSHVKDLNWCQFVVDQLKKSASKMGKRGSVKGCVLFLVDCSMVKSLVDRVVKLDTNRDGSFGKLKLKSSTHTVVQSSLFQLDDVRRFVSSKVSSNMSDQKKKKLTTAVSEFCAGFTNLMGTFLEKVSKIEEPSSRTSSHGDGAASECQPPRNISEDEHSSQDYEPLIARLRRMRKGTEADNQDKGSDKHSSPPVSADVQSPIHSSPERAKDDEGPAIPQPLAFILPDNLKKGGTAHIRKLQLRLPSNPVEQKDAGDGAAPATSVHNVGEGCSFKVSGSENIVQQETGNAAKKQETGNAAKMGPLGFTPPDFNLFDEDDISPTKSAGGSGGATCSLDELAEEDFQTIIVNFWEFFVYLEHLAASVKPGGHLHNTVAEVAIQTVHKPVGGDLTLITYTAASTLTSLDSSERGTETELKKNELEDCSVLAAVVEELDDLTTVVSPPSARAKSELHRLSASMALLRLRCALPPLPSRRSPTPASSRRRCWPPTPSPMSGR >Sspon.06G0012280-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:53349418:53353865:-1 gene:Sspon.06G0012280-1T transcript:Sspon.06G0012280-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDALGDDTLFELQKLLDDYDRVNPSRNLTEEEPHEVESRSEYELINPSVCNNEGNELIDEDVDIGENDPPVSTLPPVVLEDETADRSSKHSTSSSSSSGSESSSSDSDSSSSSGSDTDAKAPQQNSGSKEKILPVDGLVDKEKDSLNTLNLPEQSTNPIPVSADGEGGNVSEKQVSPDNPDKQIRAALLRSRFADTILKAREKALDQTTKKDPEKLRREREELERVQREERARLQAEAKAAEDVRKRAEAAAAAEAAAEAKRQRELEREAARKALQEMEKTVDINEGSHFLKDLEMLGSVTGEQIPNLVGETSPGFQMGSNTLEKLGLYMKNDDDDEDGDFTDEPVADVEEGEID >Sspon.08G0000390-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:1649651:1650660:-1 gene:Sspon.08G0000390-1P transcript:Sspon.08G0000390-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGLCRYATGINVFDPKFNIAAPGADQSIYFPFTQKQKRLTDLHPQIEELLYSKQDTDEHM >Sspon.08G0002040-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:6413546:6413989:-1 gene:Sspon.08G0002040-1P transcript:Sspon.08G0002040-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GGVPAGAAAAASGWPREQQDPGHRAAAAAAEEVEEAGHGDAVGGVRRQGRREEQRAEGLLRGVRRRGDATVRDPHRVPGPLGVRGAAPGGRGGVRVPARGRSPDPLRRRVLRGHPPPRAAGRQGEGARRHVRLLLLLLLRDRDPLQMT >Sspon.02G0059080-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2D:88960820:88961227:1 gene:Sspon.02G0059080-1D transcript:Sspon.02G0059080-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLHLRVYFSPLPHGVLPKWHPIHRPPPPHWLLPPTPIHDATSSLASSNIASSPTLSATSSSLPTPCIQGWEWGDPALAFYPAPKLEMRYSTERCSIVQCIHEMQQKPANRNIILAIKTYPYQYPLNQIVPVFTL >Sspon.04G0014640-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:62672990:62674306:1 gene:Sspon.04G0014640-4D transcript:Sspon.04G0014640-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RTQMAVAEALLLQSQGVVVAAAALLPASRPRRGSRLLRLRTRAQQANLRQLVSIDDLRQVARDDDHAVNGRAPAGTPPTPPECARAGTGTAAQRLRAIAEAAADRADMHDIIGRQRDNWNHLLLHSTNSLTLAASVMAALAPAAPAMAALKASAGALLATTAVTMAAVNRIQPSQLAEEQRNATRLWRQLERDVRAALDYHRTATATATAEADVQEAMDRVLALDAAYPLPLFPGMLDKFPSTVEPARWWPRRKLPAQSAKTINVGARRGATSGNGWTPELEDEMRGVARVLRAKDEQEHVWNAKLALRLNRGLAVAGPALASTAALATAFIGSSSGEAAAGAAVLCGALAAAANTVEHGWQMGMLFDMFRNVAGFYRKVQEDIEACLDEADVERRENGEVFQTKVALLLGRTTADLRQFREMASPSFKDADINDFAGK >Sspon.08G0029340-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:35182403:35189362:1 gene:Sspon.08G0029340-1D transcript:Sspon.08G0029340-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIGRKRRRGGGELGRVAEIVIVLATAGNARGGWAPTAAESALLGEARGSLAAAVMGEMELRPRELFPREVVRALVEDLGLTRARDPAVFGYRPLKASIADRVRLTKRKHGASKVTSGSPKTLSTLMVSQVISKHPLLNGTLAGASSVKLPSNPSAVSLPTGSADVKKQIVVNGSDSTQNREKSMAKKGHAVHPVTSSVVMGYQDPKRELPIQRQSIFSNHKAIAKNVEQVLHRPADHSSWTVPSTEYMNTRLDCQICKVAIMNMESLLVCDACERGVHLKCLQHYGNQGLPKTEWYCPTCVAHCKGKPLPPKYGKVTRTIVAPKTCMTGDAQPSQVAAENPTETGGSSCSSKILAGNGSVINQNTNKVGSPVCKSGTLALDAGSKSPSISGAEPQKENVKYEETSSVEKEGNNPPCGGIPTETATLYNGDQSTRASTYGSGNLSGGSHMHIKRSSANPVNYSNLQSTELSGLKHTDHSFIVSSIETCENTRTPYRHPSENEQLPSTRTSADEIHQTDGVANDGIGKPHKHKIMADDAISTMTMLISLHDHILIATEGLLIVLPILQSLWEEHDSGSRLAMVNPYFLGSDIPQSISKPCVEEDEVNQLTNVMKLETIGMFTFHKYRGISPVSVYGSNDERTVLVSTICGPCEVLHADKFREETKRRRQLDSS >Sspon.01G0057460-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:7581176:7583630:-1 gene:Sspon.01G0057460-1D transcript:Sspon.01G0057460-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASNSCPSPCPTLGAGESVQSRAAAALLWVRMLEAAGGGSGCEAQLRQGYCAVVCPTGVHHSRRGSQKKILQTPATVGATVRLFFPRLLRRGRRPHAGSGVEQERRYVESRAEQPSRKKVARGESPPHPPPTPRRKRPARAHTRIRKAPTRGIRAHRRIEETSSDYPQRHPVPGTGGRVYRKKRRSGVRYPVRNPSGRALVRYFWRREKASSKRHASAESARHRPPRGAGTEATGREVRASPPRSAAREECRPPRRLARGHGRPPWPWPSSWSPRRPSARPAATRVPPAGGARHRSSRAPAAHARPRWLSSPPPPAHARARARSPARARREATRGGGREAARGGREERDRGDRWGGGEGREREGWCMTCGTHDIEDEI >Sspon.02G0015190-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:35797093:35801451:1 gene:Sspon.02G0015190-3D transcript:Sspon.02G0015190-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRHNISKSLAVLLRARMQPNPISSPPPPQQTPPPPPTDPDPAAPPVALVRHWLHASASAASPSPAALDSFSDGYRSLDLVGRREVLRSLAIDYDVPRARVRDLMRQYMSVASAEPSGGDDVEAEGKEGAASALYRMERGLRDALRPRYAGFLEAMNAQPGGLKLLAVLRADLLALLGEENAPALRALDSYLKEKLVTWLSPAALTLHQVTWDDPASLLEKIVAYEEVLWDDPPTPESEASCALFYSISSTQIFATLSPIPGFMQWLLAKLASQIKLSEAESQEGNSLGACSTFKESILLPDEEGMIHDAIEQDDGKQGIELLQDILKSRQWVKSDKLSAALKSPLMRLCARYLAREKKRGKALDAVANFHLQNGAAQNIAYVCTRDLEQRALQR >Sspon.05G0011140-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:5C:25290070:25290879:-1 gene:Sspon.05G0011140-2C transcript:Sspon.05G0011140-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LGRLRRLLLPHRRRRRHFFGTDFFPASLVRQVASSGVDPAPALRELAAYLSDDDVDDFEKNALLGAGRAAETVASVLRRRAEETSVEGAEAATRVLAAILASDGIDDANKKRVATGLAADAAASAASLARVMRRGSGGLEARVDAARLAELLLANAADEAAKAAVAESSELLAELVRLVGTVDEKGALDRNAVDAGLSCLAAICESGSRRAARGEMVRLGAVPAAVRALRATTTEPGASAKALRVLESAVGCAEGRAALCGDAEDAIPAV >Sspon.01G0009120-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:25500679:25511226:1 gene:Sspon.01G0009120-1A transcript:Sspon.01G0009120-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRFFSLLVLLSLLVGIGLGSLRSGSAATTTTGTPTAQSCGGTSKSGQAHLFWWYYKSPQRTSTPTKPWPTVLWLQGGPNCDFEEHISPDEHCDYTMINIVFLATQGASGVGLGNFQEIGPLDVNLQPRNSTWLQKADLIFVDNPVGVGYSYVENSSLLVTTDWQQAADMTTLLKALVNEVPTLQSSPLFLVAESYGGKYAATLGVSVARAVRAGELNITLGGVALGDSFISPEDFTLSYTPLLLSVSRLDDNAAGEANKMAETVKEQIAVGNFSDAVDSWNDLVVYFIPSRSGFVDMYNFLLDVGMDLLSADTPAGSSPNNVQALRYSTYLGSRDSNGSNTIGGIMNGVIKEKLKIIPKDHKIDEVDELLSYGVNVTVYNGQLDIICSTIGAETWVQKLKKTYLNGRMLIWRYNNLRWDGLKTFLSLPRQSLYCGPSKETKAFVRSYKNLHFYWILGAGHFVPVDQPCIALSMIGNITHLGSLRSGSAETTTTGTPDGSELWGYVEVRPKAHLFWWYYKSPQRTSTPTKPWPTVLWLQGGPVRTGTATRLLILEFAPLYVQRAWPEPCHGTVWSGRPVALQGASGVGFGNFQEIGPLDANLQPRNSTWLQKADLIFVDNPVGVGYSYVEDDSLLVTTDWQQATDMTTVLKALVKEVPTLQSSPLFLVAESYGGKYAATLGASVARAARAGELNITLGGVALGDSWISPEDFTLSYTPLLLSVSRLDDNAADEANKMAEAVKEQIAVGNFSDAEGSWNDLLSFIGSRSGDVLAVSFQDVYNFLVGSLDQATAETPAASSPSTVKAMMRYSRYLSGKQGDLPGIMNGVIKEKLKIIPKNLTWQGLNNDVYNALVNDIMKPRIEEIDELLSYGVNVTVYNGQLDIICSTIGAEAWVQKLNNRGNILMAQCIVWGYNNLRWDGLKTFLSLPRQNLNCGSSKGTKAFVRSYNNLHFYWILGAGHYVPIDQPCIALSMIGNITQSPA >Sspon.05G0034670-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:61877162:61882240:-1 gene:Sspon.05G0034670-1C transcript:Sspon.05G0034670-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAASLRRALHPRRPGATQLFPFPVGTRLRAFSSASAAAAHSPKDARNAPPPITPTRPWGEALAAAQRAFCLPLGGASSPPRRPGTRPWPPSRSTPRSPWPPPARAATRGGRCSRGAAADAANVASRVVKRVLKDRSTSGGPRLAFAGGIWADTSTSLSPGFVEAARSVYSSTARTADFINKPEDAAKQINMWVKESTKGTVTSLLPDGLIDQNTGLVIGSALYFRGRWLDRADIRSTAVQKFCCLDGTCVDVPFVEYDRTRPFAVHDGFKVIKLPYQQGKNERKFSMYIFLPDAHDGLFELTKKIFAEPSFLEQHLPTEKRHVDIRVPKFTISFQVDMKEFLKEMGLELPFLRDADFTDMVKEDESRSPLYISNILHKAILEVNDKGIKETSVTMGIGKPSPGEHFVADHPFFFVIKEEVSGSRSLMLLECKRMAEEPHASRVEEKTGVVTPDKAAPVAIKQWVVEDAAEQIHLLIDQFRIRRVDIRRSSVGELKSFVPIQSINKDRGNY >Sspon.01G0000910-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:3069873:3072199:-1 gene:Sspon.01G0000910-1A transcript:Sspon.01G0000910-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRAVRYLLGSPGASGFGSRSTAEEVTPVLGGTTAIITGATSGIGAETARVLAKRGARVVIPARSAKAAEDVRARIVAECPAADVLVLPLDLSSLASVRAFADRFLELGLPLHLLINNAGKFSHGQLALSEDGVEMTFATNYLGHFLLTKLLAGRMAETAAAMGVQGRIVSVSSSVHGWFAGDWAEYLHLVTRRKILTTTSHCVGNGMWAGRPYDATQAYAVSKLANVLHTRELAARLQEMGANVTVNCVHPGIVRTRLNRDREGAAATTCYVAAHPRVAGVSGRYFADCNEALPSPAATDRHEAARLWRVSEAIIDGCTSTSTGHPRQDCTTAPLLRFLPAQTQTGASSSPRRRAC >Sspon.01G0029990-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1A:104181382:104182331:1 gene:Sspon.01G0029990-1A transcript:Sspon.01G0029990-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHFASLLPPPRPCAPSRAAGSSLPPDERLSLLAPAHRAPPLTPLAPALSCTSPASALPFSSSLPPGLPLASAGSIAPPRRCPRRWSLGARARRLKSPAEPRALTSAASASESHEDAVSDRRTARAPENGAWRAGDLRMGCVARWARWKGRVAHRGHANGACSSSVLFT >Sspon.02G0016530-4D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2D:39939648:39942050:1 gene:Sspon.02G0016530-4D transcript:Sspon.02G0016530-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPSRHLRAAARQRSHRLPAAPADACITGKPDAEVIRRNKAITAHMRAGRVPDAERLFAAMPRRSTSTYNAMLAGYAANGRLPLALSFFRSIPRPDSFSYNTLLHALGVSSSLADARALFDEMPVKDSVSYNVMISSHANHGLVSLARHYFDLAPEKDAVSWNGMLAAYIRNGRIQEARELFDSRTEWDAISWNALMAGYVQCGQIEEAQKMFNRMPQRDVVSWNTMVSGYARRGDMAEARRLFDVAPIRDVFTWTAVVSGYAQNGMLEEAKRVFDAMPEKNAVSWNAMMAAYVQRRMMEEAKELFDAMPCRNVASWNTMLTGYAQAGMLDEARAIFGMMPQKDAVSWAAMLAAYSQGGFSEETLQLFKEMGRCGEWVNRSAFACVLSTCADIAALECGMQLHSRLIKAGYGVGCFVGNALLAMYFKCGNMEEAHSAFEEMEERDIVSWNTMIAGYARHGFGKEALEVFDAMRKTSTKPDDITLVGVLSACSHSGLVEKGISYFYSMHRDFGVTAKPEHYTCMIDLLGRAGRLDEAVNLMKDMPFEPDSTMWGALLGASRIHRNSELGRNAAEKIFELEPENAGMYVLLSNIYASSGKWRDVDKMRLMMHERGVKKVPGFSWIEVQNKVHTFSVGDSVHPEREDIYAFLEDLDIRMKKAGYVSATDMVLHDVEEEEKEHMLKYHSEKLAVAYGILKIPPGRPIRVIKT >Sspon.04G0003070-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:9364449:9366147:-1 gene:Sspon.04G0003070-1A transcript:Sspon.04G0003070-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding METQQRMQQLRTPMDPLASLASSFVSALSPLLSSSQQHGSKFLLLPLPVAAARALTVLRRLLLLATQAFIFLFFMFLSLLAPTPTLQRMEPGCPAGDTCVGRALAHVLSVASRLPVASRKYELVRGLAERLLDDNVRAGGARFGAVNRAALAGAFARTLRQLESAAGGGEWPGMELAVRAVRTGMRWWRPTAASSLDDEGFGGPAAEKLAAELLWLGQKMAECGAAPEAVVQFGAAERLGSRALVAEPAFQVSLLRLAVFLFKHANSREFEQGAGGKDDKAAVAEQRMAMLRSWLPLLCRGSNGTDAPVLTSRERPEMVAVLEDLIDKLSWEQREEVLSLWLHHFAACPDTDWPNLESCYTRWYAESRRLLA >Sspon.01G0000030-4P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:587748:588697:1 gene:Sspon.01G0000030-4P transcript:Sspon.01G0000030-4P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGSLSLSLPVVAAAAVSLLLASCAAAAAGVNYNTSDAAGMQWGNARATWYGQPNGAGPYDNGGACGFKNVNQYPFMAMTSCGNQPLYRDGKGCGSCYKIRCSTSKHAACSGRTETVVITDMNYTPGVAPYHFDLSGTAFGKLAKPGRNDELRRAGIIDIQFARVPCEFPGLKVGFHVEEGSSQVYFAVLVEYENGDGDVVQVDLMEKGSRRWTPMRESWGSIWRLDSNHRLQPPFSIRTRSDSGKTLVARDVIPLNWRPNTFYRSIVQYS >Sspon.01G0051120-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:11751567:11753030:-1 gene:Sspon.01G0051120-1C transcript:Sspon.01G0051120-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding TIITYALEWRSRRQTVKPVYSTPHPLAGDKVPLTVFDRTAFDIFVPIVFAYPAPAPSNEALKEGLRRAVALYPHLASRLAVDDQGRRFFHLTDEGVQPSRPSWPTCSMTVWPPQTSTSCTRRFQRRSKLLLTASCFSDNFGAALLQIKLNRYKCGGLVIGITSHHQVADGHSMSTFLTTWASAVRAGKDFNFTAPRPFLDRAATAVPAPVFDHRSIEFKGGDDGGHSSTPYAVVPMDKIKKVTVHFTDEFVADLKARVGVRCSTFQCLLAHVWKKITAARDLELEEFTQVRVAVNCRARASPAVPTDFFGNIVLWAFPKLQVKDLLNSSYSRVVDAIRDAVARVDGEYIQSFVDFGAAADASGEELVATAAAAGTMLSPDLEVDSWLGFQFHQMDLGTGPPCAFLPPDLPIEGLMTFVPSRTAKGGVDLFMAVAECHVDAFEQICHS >Sspon.01G0017330-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:64130355:64130958:-1 gene:Sspon.01G0017330-1P transcript:Sspon.01G0017330-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSVFYVGEIGVNDYFFALSNNSIDVAASLVPHIIGAVRSALTAMIAAGARTVVITGMLPIGCEPQQLALFPGDQGDYDPITRCIARFNEVTKQHNRALRTMLSELRRDYSCHGRSLSLLYADIYNPVVKAVAFPAFYGFGDRPLSACCGGGGGPYNFNFTTFCGTPGST >Sspon.07G0019600-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:72400023:72404085:-1 gene:Sspon.07G0019600-1A transcript:Sspon.07G0019600-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRSGAILACLLVVFLVRDAAAVAETEPRSVRLVQEAPHRKVVEDGAKAGRVSVSTVAWSTLAMAAATGLGAVPFFFMELEAQWAGLCNGMAAGVMLAASFDLVQEGQVYGSGSWVVFGILSGGIFIWLCKKFLEQYGEVSMLDIKGADASKVILVVGIMTLHSFGEGSGVGVSFAGSKGFSQGLLVTIAIAVHNIPEGLAVSMVLASRGVSPQKAMIWSIITSLPQPIVAVPAFLCADAFQKVLPFCTGFAAGCMIWIVIAEVLPDAFKEATPSQVASAGTLAVAFMETLSTVLLGFTDGNNSEDASGFLVSLVFGLGPLIGGIILVTFSLGFSMPHPLLTGVASGIAFRLAAWRPVQLLMSSKMGLFTTLCLLIGGSMVYHAATSSILRVFNRKRSSANVITSSSGISLSVLTVQSLLACGAVFLHAYAEGLALGVAARKAYGLGCYMVLPASLHGLPRGAAAASCVYGATDSWRGALAAAALTGFAAPSAAISAILAKIDYDGLDYWMVIACGALIPSFGRVFRRSLRLDMRKSIVGLLIGIAFASVCLMSTRFICLHTPYCNSAPEAVT >Sspon.06G0020050-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:7148980:7151542:1 gene:Sspon.06G0020050-1B transcript:Sspon.06G0020050-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNPEMQRFLQQEQQKAMMNEMVGKLTSVCWDKCITSAPGSKFSSGESTCLTNCAQRFLDMSVLIAKRFEMQ >Sspon.08G0026270-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8D:17387889:17390610:-1 gene:Sspon.08G0026270-2D transcript:Sspon.08G0026270-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQITAAERRSLSSNTRSTYRKLHVPAPGADRGERRAAPVPCDADRPHPDPDPTRMSEATSRQEARRQPSDVQRSTGGTHRQQRQGPGHHHPRMRNTCRGTGRHAREGNPVRVSQREPGAPAPDARACLVACASCPVPSRPSRLVTPSNKGCPRSGWLVFGRTTATGPSVTAAGLCGFYRSRQHACVRPCRSIRIPGPNLPVPGVGSVLSRVLALQLSSRLFPGGDETMSPVRLIFRVMRKDVTMSGGQKKHVDCGGNILLGVGDNGG >Sspon.06G0023330-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6B:42466255:42470099:1 gene:Sspon.06G0023330-1B transcript:Sspon.06G0023330-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCIYKQANFAASSQEQSVLLQRVANPIHKMIGSDTRRGTEKPLFLLFFVDIISTAVQPLPLELAARMEKQTIAACPRWRSRTAVSAYQSVLVALAAADNAALLLDEHLAAAATAVARDELADKGRVLPGRSIEEAPQLDLFSFAFVYAVKVNGFVCKDPMAVNADDFFKAANLDKPRDTNKVGSNVTLINVMQLPGLNTLGISLARIDYAPLGQNPPHTHPRATEILTVLEGTLYVGFVTSNTDNGNKLFAKVLNKGDVFVFPQGLIHFQFNPIHDKPAVAIAALSSQNPGAITIANAVFGSKPPISDDVLAKAFQ >Sspon.06G0002260-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:5490400:5502881:1 gene:Sspon.06G0002260-1P transcript:Sspon.06G0002260-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDPHLPKEEDRSSNLVVDNPLSQNPDSSWGRFFRGAELEKTVDQDLSRLYPEDGSYFQTPNCQAMLRRILLMWCLQHPECGYRQGMHELLAPLVYVLQVDIDKLSQVRKLHEDCFNDDFDGVPFPDTDMVFSYKPRKDSKWHSGADNGDDSESASRVNTLDELDLDTKEIISLSDAYGAEGELGIVLSERFMEHDAYSMFDGLMDGGSGVVRMAEFFSTSKVGSSSSLAPVIEASSSLFHLLSIIEPSLHSHFIELDVEPQYFALRWLRVLFGREFCLNDLLVVWDEVFACSNDMLLGENEEYSFRILCSSRGAFIAAMAVSMILHLRSSLLATEINTSCLQRLLNFPNNVDVHKLIEKAKSLQSIAVDANVSSPPLLSKKDSCDYDRVYSNLASTPPRTPLHSLPESYWEKQWRNLHDDGTAPKGSDKGLSYKKSLKQSLSQRLGLSRTESDPSPVKVVSVKSDTKNSVRRCLLNSYSDKVVQSSEVAGKFQQDEFPIVSIHKEPLVNSERPSQLKLKGAASENLIVSPPSVTKFDPLIDSPVKPAEESSTKRTEACSSGENSPVFYAACAGNEHENCHDNDSERSSITSNSCAGDDDRDEVLADESSNCNCEDKNVSEATESVSEATESATNVDPVGSSERTTVSNERKPFINKLQWFLRFGRPSAEGNVEKGSAEASDDKHGAVLPCSSAADVSSDNSRSGINLASGDNKKVMGTLKNIGQNMLENIQVIETAFQQDRAQPSAMENFSNNILEAKGRVLDSILHLVQQLAHKG >Sspon.08G0025610-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:10913489:10914185:1 gene:Sspon.08G0025610-1C transcript:Sspon.08G0025610-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPPQVNSPGRRRRALTMLAHRRRRAGRGIHIVLLLGMQPSIHDLNNARSAQ >Sspon.07G0019310-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:70679714:70688222:1 gene:Sspon.07G0019310-1A transcript:Sspon.07G0019310-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:At1g71990 [Source:Projected from Arabidopsis thaliana (AT1G71990) UniProtKB/TrEMBL;Acc:Q0V7Y9] MLFRKRINYVAPMLASAAILLLLSGYFELPSISSTALSTPAPLLAARFPTALDSVGSRDRDAFTSLLEAFNSWDAAVGCPRIRARLAATATAGLGLGLLPPGAGAGAGANDTAAEDPAAASVTGGAAWRGAATARCEDLPARHVGVLVKGWTWVPDALDGVYTCRCGVSCVWSKSAAAVDRPDALLFEGATPPPQRMKGLPLRVYLDLEASRKPTGFEDIFIGYHANDDLQVTYAGKSFHTSRSYHISTEKRNDALIYWSSSRCLPHRDKLAKDFLSLVPHHSFGRCLNNVGGPDMALSMYPVCSTNDNGTPHWWDHLHCAMSHYKFVLAIENTKTESYVTEKLFYALEAGSVPIYFGAPNVWDFVPPNSIIDASKFSSLKELASYVKMLANDPVAYAEYHAWRRCGILGNFGRAREMSLDTLPCRLCEVVSKRGGKSADAL >Sspon.07G0013650-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:76955520:76956952:1 gene:Sspon.07G0013650-2B transcript:Sspon.07G0013650-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LVLITMTLDFAVRRRDPELVGPARQTPRETKRLSDIEDQVGLRWHVPFVLFYRGCRAAAGNDDPAAIVRRALGEALVPYYPLAGRLREVEGRKLVVDCTNEGVVFVEADADVRLAELEAAGLRPPFPCMDQLLFDVEGSGGVLNSPLLLIQVTRLRCGGFVFALRLNHTMCDAAGIVQFMRAVGELTRGLPEPTVAPVWLREILDARSPPRPSFPHHEYDPVPPPPSSFPPPGDMVMRTFTFGPDQVAAIKKGLSAQRDGSKATTFEALAAFIWRARTTALEIPADEDARLVIAASVRGVRDLGLPAGYYGNACVYPAAVATAAALRGGGTLGDAVELVREAKRSAVSAEYVRSTADLMALRERPFLATANMLIVSDNRHAGFRHVDFGWGEPVYGGPAGALFVLSFIVADGDGEDAIAVPIALPRSAMDRFASEVKML >Sspon.01G0026720-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:94628038:94629616:1 gene:Sspon.01G0026720-1A transcript:Sspon.01G0026720-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GPRLSWRRRRCCCWCRRWRWRTCRSWRTVRGARRWKPAGCSGTGWPRPRKPTAPSTRRSTGTRCSRKTAAASTSRTPLPKPPGFASTWASMSSLTSPTRSSAHCTPGARTRELKLITRIWPPTTSTPSSTGGIYFAGGFQVAEVKRI >Sspon.03G0021530-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:84224954:84232332:-1 gene:Sspon.03G0021530-3C transcript:Sspon.03G0021530-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKAPDDQLQATEQEGSVHPSQQAGQQHVNTPDQISKTELTEGSKNEPPVQVEQQNSHLQQAHPEIQLQQAETNSFQLAEKETGYFGQQSFAGAKVDVAQPSVVLQNVKQTVGQQASSGAQDTRKGPSIPFNMLIPILQAHLDRDKDMQLQAVWAKLRRNEVHKDDFLRVIRNIVGDQMLKQAAHKVFVQMQAQAQRNSQANPSQQSLFSQVSSQQMPSSGSAQLHDQKLRPPGPPNKAFAPPSGTQTQNSVHYLAHDNPNQNPDTKGTNAVPNQPPRMNTAVPLQAKNKQHQPTQLQQASQQIYGASNPGAQAYPRSITGSLRSPSPVPETQPSMHAPGMAPAKIIPPPTHPMMQHNAVAWQMHQNKELKTNAPPPNANAKQNSESVGKARMAGTGNSSAKGKQGTPNSTPNASGGAKSSKKSGGQKKSLEAAGSTQPSSKKQKTSGAFQEQSIDQLNDVTAVSGVNIREEEEQLLSAPKEESLASQEARRIAQEEEENLFLRKGPLLKKLAEIARKCDLKNISGDVEHCLSMCVEERLRRLISTLIRVSKQRIDTEKTGHRLVITSDVGRQILQMNQKAKEEWDKKQAEEADKNKKQTEADGSGATELEKEKEESRPKNVKPNKEEDDKMRTNAANVAARQAVGGSDMLSKWQLMAEQARQKREGLDVSAASQPGRGPGSRPLSKFGKGPGEHQEGSKRSHSVAFGTGGMKRPGSTPFAGPQRMISVKDVICALEREPQMTKSRLIYRLHERLPDSTVD >Sspon.02G0054850-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:121579607:121580381:-1 gene:Sspon.02G0054850-1C transcript:Sspon.02G0054850-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VINHGIPEQVLQDVVSVIEEFFQLPAADKAHFYSEDNNRPNRLFSGSTYKTSKRMYWMDCLRLVRTFAAGGDSKKEWPEKPEEFRNVFENYTTLTRGLVLELLHMLSESLGLPSDYFDGDQTGGDMILGINRYPPCPNPDVTLGLPPHCDRNLITLVLPSSVPGLQVFYKGDWIMVKPIRNSFVVNFGLHLE >Sspon.04G0018340-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:69507215:69508058:-1 gene:Sspon.04G0018340-1T transcript:Sspon.04G0018340-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLTTAASSMEEQYYSVSAEEVGTHLSLGIGGSGGGARSLRLAPPPPPRTVQLFGEVLSVQDDDRDGAEAQALHHHRGRPTAAAPASRKKKRDAAGAGGTATAAAADRHQSKKAKTTCRDDGDGGGGRKKLRLTAVQATMLEDSFRAHNILSHGEKQELARRVGLSARQVEVWFQNRRARTKLKQTEVDCDLLRRWCDRLTDDNARLRRDLADLRRAAAASTNLGAAVCASCGGSTDKQQLAVVGAAGNML >Sspon.08G0021830-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:45496949:45500554:1 gene:Sspon.08G0021830-3D transcript:Sspon.08G0021830-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNI-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G10510) UniProtKB/TrEMBL;Acc:Q93ZV8] LCLSDILVENVGIQKLLLNSINIGDEGAKAISNMLKKNKSIRILQLSNNTIDYSEIHLHGNGIGNEGIRELMSALSAHKGKITVVDIGNNNISPEGLRPVAEFLKRTKSLQWFSLYMNDISDEGAEKVAEALKDNKTISTIDLLDLSYNPIGSDGVKALCDVLKFHGKIQTLKLGWCQIGVEGAECIADCLKYNTTLSTLDLRANGLGDDGAICLARSFKIINESLTSLDLGFNEIRDDGAFALAQALKANEDLAVTSLNLANNFFTKFGQ >Sspon.08G0003570-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:6585102:6585695:1 gene:Sspon.08G0003570-2B transcript:Sspon.08G0003570-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAERPVPRRESPWGLPEGDTRQPKAHRCNDRAEDVVQGEALRTYLIALEQQNACCSVTNV >Sspon.02G0009380-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:29378809:29381130:1 gene:Sspon.02G0009380-3C transcript:Sspon.02G0009380-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Senescence-inducible chloroplast protein, Activation of the chlorophll-degrading pathway during leaf senescenc [Source: Projected from Oryza sativa (Os09g0532000)] MAAATAAASAMSLLPISQLRQQQHGAGAVVVFRRRPRDARRRRYVVPTARLFGPAIFEASKLKVLFLGVDEESGKHGHPAPAPAPTTAGKPPLPRTYTLTHSDVTASLTLAVSHTINRTQLQGWYNRLQRDEVVAEWKKVRGRMSLHVHCHISGGHFLLDLIAGLRYYIFRKELPVVLKAFVHGDGDLFSRHPELEEATVWVYFHSNLTRFNRVECWGPLRDAAAPPAEEDSTAPAAANSKEVQMPPVGEWPYRCPQQCDCCFPPHSLIPWPNEHDMSDASAAGQAQQQ >Sspon.02G0006890-1T-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2D:14900914:14901123:-1 gene:Sspon.02G0006890-1T transcript:Sspon.02G0006890-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDSASMAAAIEARFSSRDLIGRGSFGDVYKGYGWRPHCPHLFGSPQISLTSYCLARILSSCKAGGGHA >Sspon.02G0041150-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:76432270:76436123:-1 gene:Sspon.02G0041150-2C transcript:Sspon.02G0041150-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNLPVRLTYSFSPCWMLLLLVFKFINPGNARTNSKPAVTCHWRPRSSALAAGNMNRSSCAPRLAALTLALLCSLSPGVVESAGVFTFINQCKTTIWPAATPGDSFGGGGFALRPGQSAVFKAPAGGWSGRIWGRTGCAFDASGNGTCATGSCGTSLKCGASGATPVSLAEFTLAADRDFYDVSLVDGFNLPVTVRPVNGRGGNCSAAGCDGDLRETCPPELSVKEGGQTVACRSACDVFDTDQYCCRGRFGSQPTCPPTPYSKRFKDACPTAYSYAYDDASSLFTCSGADYIITFCASRKQQACSYHNNQLVCSDASRRSWPITSKLVLLLLPPLIFSALQFPASIQNNLPGDGYLTLYWLHGLTFLSEALGKLEGGCEERG >Sspon.01G0034900-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:72244472:72248478:1 gene:Sspon.01G0034900-2D transcript:Sspon.01G0034900-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIANSHVLVGDALTEIDRVASAILVIGKMYVLAETEDIRAISYDEVSGTVVVSGAFDPLVLPCKLRLKAGWLIRDIQLVAAELRLTPQRPAMLPNPPGVLAALAPSCCCGICGCGGYSGYGCCCCGCQACTFVNHPPAGYYRELPLGQYPKITCDCEEASSPACKIM >Sspon.04G0009230-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:26109718:26115590:1 gene:Sspon.04G0009230-2B transcript:Sspon.04G0009230-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ferrochelatase [Source:Projected from Arabidopsis thaliana (AT2G30390) UniProtKB/TrEMBL;Acc:F4IMT3] MWSSSQSSTRGVVEMGRVEAGPSHFPKRPAPRNSARVNLSRTVKPCSAGDRSGISVKCNLGWSSQPSLDLRQHFRGYSSPSEAVLTAQSDLRKLFVGNEKIGVLLLNLGGPETLDDVQPFLFNLFADPDIIRLPRLFRFLQKPLAKFISVVRAPKSKEGYASIGGGSPLRQITDAQAEALREALCGKDVPAKVYVGMRYWHPFTEEAIEQIKRDGITKLVVLPLYPQFSISTSGSSLRLLESIFREDEYLVNMQHTVIPSWYQREGYIKSMATLIENELTKFPEPQKVMIFFSAHGVPLAYVEEAGDPYKAEMEECVDLIMEELEKRGITNPCTLAYQSRVGPVEWLKPYTDETIIELGQKGVKSLLAVPISFVSEHIETLEEIDVEYKELALESGIKHWGRVPALGCEPTFILDLADAVIESLPYVGAMAVSNLEARRSLVPLGSVEELLAAYDSKRDELPPPVIVWEWGWTKSAETWNGRAAMLAVLALLVLEVTTGEGFLHQWGILPLFH >Sspon.05G0018810-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:78419365:78423653:-1 gene:Sspon.05G0018810-3C transcript:Sspon.05G0018810-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAGMSFRSIVRDVRDGFGSLSRRGFEVRFLSHRRGKSHGAVHELHDPVPEVQSSCWASLPPELLRDVIERLETSEDTWPSRKHVVACAAVCRTWREMCREIVKSPEFSGKITFPVSLKQPGPRDGTIQCFIKRDKSTQIYSLYLSFSSAVPAENGKFLLSAKRNCRPTYTEYTISINSGDISRSASNNTYIGKLRSNFLGTKFVIYDTQPPYNATSPAVAGKTSQRFYSKQGSTKVSSSRYSIAQVSYELNVLGTRGPRRMNCVMHSIPASCLEAGGSVPCQPDSIGARTVGASFSSVSLSKPSTMDHSMRFSSSRISDAITADGIVSRGQALSDGDDEECKDTPLVLRNKAPRWHEQLQCWCLNFRGRVTVASVKNFQLVAATTQPAAAGAPTPSQATPPPPAPAPGPPPPDQEKVLLQFGKVGKDIFTMDYCYPLSAFQAFAMCLSSFDTKLACE >Sspon.05G0011400-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:27306180:27310520:-1 gene:Sspon.05G0011400-2B transcript:Sspon.05G0011400-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAKTKLQLQERVPLPRTAWKLADLAVLFLLLALLAHRVSSLMVAGGGGAAWCWLAALICEAWFTVVWLINMNAKWNPVRFDTHPERLAQRSTDELPAVDMFVTTADPKLEPPVVTVNTVLSLMALDYPAGKLTCYVSDDGCSAVTCYALREAAEFAKLWVPFCKKHGVKVRAPFVYSSGGAAERDGAADDDAEFLRAWTLVKNEYEELVRRIENAKEESLVRRGDGEFAEFVLWDSSSKSKSNQAAGDGIPSLIYVSREKSPTQHHHFKAGAMNVLTRVSAVVTNAPIMLNVDCDMFANNPQVALHAMCLLLGFDDELHSGFVQAPQKFYGGLKDDPFGNQMQVIYEKVGLGVAGLQGIFYAGTGCFHRRKVIYGVPPDSTTTIAIKDSPSYKELQKKFGSSKELIESARSIISGDMFKAPMVVADLTSHVEVAKEVSVCTYETGTRWGQEVGWVYGSMTEDVLTGQRIHAAGWRTALLNPDPSAFLGGAPTGGPGSLTQFKRWATGLLEILLSRHNPFLLAAFKRLDFRQCVAYLVIDVWPIRAPFELCYALLGPYCIIANHSFLPKASEPGFVIPLALFLAYNAYNLGEYMDCRLSVRAWWNNHRMQRIVSSSAWLLAFLTVVLKTLGLSETVFEVTRKEQQSSSDGGADDDADPGRFTFDSSPVFVPPTALTMLSIVAVAFGACRLLAGVSEGVPSGPGVGELVCCGWLVLCFWPFVRGLVAVGRGSYGIPWSVRLKAALLVASFVHLSTRK >Sspon.02G0050460-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2D:54638125:54639839:-1 gene:Sspon.02G0050460-2D transcript:Sspon.02G0050460-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGPGGALAAAPRLLVLVLLCFLAGGVRASPATDALRRVSPRAAAGGLCQQLLLPQGYPCTEHTVQTDDGFILSLQHIPHGKNGIADNAGPPVFLQHGLFQVFP >Sspon.01G0048240-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:106346640:106349670:1 gene:Sspon.01G0048240-1P transcript:Sspon.01G0048240-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLFFSHFHEREVQCRCGCGVTSHRLRYAALCVACIALYYWGYLSVGAGFFASPAPSSHTPLQPPPPPSSHHAANPSPPQRRPGAPPAMTFKRRNGGRNKHGRGHVKYIRCSNCAKCCPKDKAIKRFLVRNIVEQAAVRDVQEACVHDGYVLPKLYAKVHHCVSCAIHAHIVRVRSRENRRNREPPQRFRRRDDGPRPGQGAPRPGGAAPAAAPAVAPRT >Sspon.06G0001850-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:5975355:5978106:1 gene:Sspon.06G0001850-1A transcript:Sspon.06G0001850-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELAADQHVRYIVTVEKKDSFESLVMEHIRLNGAYWGLTTLDLLHKLHAVDAAEVVDWIMSCYHPASGGFGGNVGHDPHVLYTLSAVQVLCLFDRLDVLDVDKVADYVAGLQNKDGSFSGDIWGEVDTRFSYIALCTLSLLHRLHKIDVQKAVDFVVSCKNLDGGFGAMPGGESHAGQIFCCVGALAITGSLHHIDRDLLGWWLCERQCKDGGLNGRPEKLADVCYSWWVLSSLVMIDRVHWIDKEKLTKFILNCQDKENGGISDRPDNAVDIYHTYFGIAGLSLMEYPGVKPLDPAYALPLDVVNRIFLKK >Sspon.01G0010520-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1A:29359872:29361075:-1 gene:Sspon.01G0010520-1A transcript:Sspon.01G0010520-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VPASWCMSYRSAWAMIMDRYLDPAYLEKHEQGKRKRALKTGPTHHQGSRNLPAFKRALEAAHPDVPMSEFSAWAVSHMGPVKSGVVFNPDAPTQAYSDPTVHGKVRDYAEAVRSLRGSDYNLSIEPLETEVIVRLGQGRKHGRLWIADGADSSSSAPSLSDVRARSTGRSLPIRAWPTPTLSRVDELQAELAETKEMIAHLTTQLEATQKQMAEMYQIMQSIGQASGVAVPLLAVAPVRHFTPPPSAGSNNPATASPAVDRVNPSPQSGWP >Sspon.03G0030350-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:20449348:20453084:-1 gene:Sspon.03G0030350-1B transcript:Sspon.03G0030350-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding STGGAPLPDEAFVRVTVAGVLRPDADDWVAMITPSNYSRYSGCPLSGANYVQTGDLAQLPLLCHYPVQYLTRDPGYLGCKTAACQKRDASGACNVRTCAATVTFHVVNFRTDVEFVLFSGGFRTPCVLRRSGALRFANPASPLYGHLSSTDSTATSVRLTWVSGDRRPQQVQYGVGKSATSQVATFTQNDMCSSPLLPSPAKDFGWHDPGYIHTAVMTGLQPSQSYTYRYGRYYAESGSVYVTPDSGGECGVAYESYFQMPAVSKDKPWYSIEQGSIHFIVMSTEHKWSEKSEQVEDPMGNRSICKGEPKKDASGIDTYDNSKYTAPVHAIVGAGGFSLDKFPKIVVNKWSLSRVSEFGYARVHATRGDMLVQVVSSSMMEVRDQFRIVKPDPTRRLRNQPVQP >Sspon.03G0027220-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:5122937:5124448:1 gene:Sspon.03G0027220-1B transcript:Sspon.03G0027220-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCGGSKEAVATGNSGSSRCNRFKRKSSVVDATQPSSRVLQPSDNASTAAVKANGEAIAETKDKAARLKEKAIGEKKEEGINNKAEQAVKDNKEVVAAKESNTISKGNANGEEKQVEIKKDEAVVTDAREGITVEKGKEELADKAAKEKKDEVVKDKAVVADQKAGMSSTEDVNVITEEKEEEIKKDDVLKVSTKEAVEKENGGDNKYMAIEENDEAASTENGNVEENKEDDSVTFPVAMVTEEDGSVTFAVPDDAEAKDDESVTFASAPTTSHMVAMLTEDDGSVTFAVPVSHVTKDDESVTSAAAPATKDQDMVAMVTEDDGSVTFAKPLALVAKDGHSVNFTATPATKDDDSVTSAASPMTKDNEILSLQAAQEEEGEEEEEEVQEKPEPSEDNEVENEAELAEPTVFEDEEAMTEAHGATEAEEEKAGQSELSEDNEVNNEADLPDPTAVEQVLTKVVEELKVEEEKVDTVGENKVEEEEESVSKEPEDGKSITPLRDED >Sspon.06G0002450-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:8012412:8015070:1 gene:Sspon.06G0002450-1A transcript:Sspon.06G0002450-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIKDNFEEKQQNKDVIVDPAPHEADSFPSTDNHRENEQNEESIGDTTSYKISAVQSMSGTEEKEQIEEFIANLASEEINVTSNRDMVEQQDETDVKTNNEIDRARSTEATGENNATAGESNAGTITDVVEDKMPNEEITSGTLSLNNADSSINELKMHNEEVNESLGSHDDIVVHGPDNVKEQMYEETTSGPTLDKFSLLTSTDSPEERKDENTSADPISHETNVAQTSDSVDEEKIGEPSVDPTSSIGTMGSIGDAEDKKPSEETTADPRPVENTTTQGTEDAESSKQNESTTSIGDAEDKKLGEETTTDPRSVENTTTQGSEDAESGKQNENTTSIGDAEDKKQSEETTADPRSVENATTQGTEDAESSKHNENTTTTDETAEVAQNTNLIEEREMTEDTASKEISTIETTDDLKGATDQNEEIADKEMVTDLDKNHVSLKVLLADKNVETKEKEKKASTKDRVLSFRRRVSKDNVSPVKPGSPKDGSGQQDWNSPARLPVEKKPKGRKQQWNKTLTLSLASASYFVILANMLL >Sspon.03G0004110-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:28692762:28701743:-1 gene:Sspon.03G0004110-2C transcript:Sspon.03G0004110-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RSCVLERGAQPRSPPSARRRRFAHSATVPPPAARSSAGLLDLSGDLTTRGSPHMTRVIHDSGDGLQKEALDLVSSDVNFPKGRFPDYRIGPNNQIIDPEETHEAVPLKEIVAKETAQLLEQHKRLSVRDLKEKFEKGLSGASKLSEEAKRREAASLDRQVLLKKLRDVLDTLKGRVAGRNRDDAEEAISLVEALAVQLTQREGELIYEKAEVKKLANFLKQATEDARKVAEEERALALAEIEKARAAIEKVEKALHVHDSASSSREKEVMDMEFELQALRTLISEKTQLCNQLKKELAMIKRLEEDSSDLFELEGSNNLGSQFCIIPRVDGAPNIANCPIQWYRVISGGTRELISGATKFTYAPEPLDVGRLLQAEIVLNADKIIVQTDGPIDNGLERYVDSLMKRTDIEFNVVVTQMNGKDYSSNSVHVFHIGKLRIKLRKGWSTKARESCSTTMKLCGSRGGGNAAARAVFWQARKGLSYTLAFETDRDRNAAIMIARKFASNCNIALAGPGDQGT >Sspon.08G0027170-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:42829650:42830299:-1 gene:Sspon.08G0027170-1P transcript:Sspon.08G0027170-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQLSLLHRALHLHRLSPGRGHGLTATAVVRARLSSSEPTPQQHAERLLAVAPHESIAAATEESHPRQELAAAGNNNGGGRTCALPTWALIGGITAGVAVALALSAGAGPALALGPEGPLVEEFWDNMRRYALYVVTVSTGVAYTVLQPIVELLKNPVTALLIVAVLAGSGFLVSQVLNAMVGNSDFIYRYEPQLPVPENGGR >Sspon.03G0029090-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:13311291:13313244:-1 gene:Sspon.03G0029090-1B transcript:Sspon.03G0029090-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLPTAGAVAASPVTAAGVAAPLRSRPLRLIATPATTASSSPSTSTSAISSASPAGHSRKHLSGRDGSPSKPTKPRVFFLDVNPLCFRGSQRSLGAFARWLALFFAHVSLRDPVVAVLDGEGGNEYRRRLLPSYKAHRARGVGTGADTRVVDVLRQCNVPVKLFSLTIWKRQIQVVRVDGYEADDVVATLTEQVLQKGYRVVIASPDKDFKQLISDDVQLVMPIPEIGRWSFYTLRHYVAQYKCDPTADLSLRCFMGDEADGVPGISHLVPGFGRKTAVKLLQKHGSLENLLNTAAIRTVGKDYAQDALTKHADYLRKNYEVLSLKRDVNVQFDDSWLSTRITCNDTNVLADFILKFSGQGRS >Sspon.05G0016590-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:63413387:63416119:-1 gene:Sspon.05G0016590-2C transcript:Sspon.05G0016590-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAVLLAVTKIGSVLGDEVAKAIINKLSEKVHDLKELPRKVDQIRKKLTIMSKVIQQIGTVYLTDELVKSWIGEVRKVAYRVEDVVDKYSYHLLQLEEEGFLKKYFVKGTHYVRVFSEIVDEVAEIEGEIQQVIELKDQWLQPSQLVPHHDQLAEIERQRSQDSFPEFVKDEDLVGIEENRKLLTGWIYSEEQASTVITVSGMGGLGKSTLVTNIYEREKVNFPVHAWIVVSQIYTVESLLRKLLWKIGHMEPPMPRDIDKMDVHDLKEEIKRKLQNRKCLIVLDDVWEQEVYFKIHDVFQTLQESHIIITTRKDHVGAIASFGHHLELQPLCGPDAFELFCRRAFHSKKDHKCPEELQEIAGEIVKRCQGLPLAVVTIGSLLSSRPQINIWNQTYNQLRSELSTNDHVRAILNLSYHDLSGDLRNCFLYCSLFPEDYPMSRETLVRLWVAEGFVLSKEKNTPEEVAEGNLMELIHRNMLEVVDYDELGRVSTCKMHDIMRDLALCVAKEEKFGSANEYGELIQVDKNVRRLSLCGWNVNAAPKVKFPCLRTLVAQGIISFSPDMISSIMSQSSYLTVLELQDSEITEVPTFIGNLFNLRYIGLRRTKVKSLPESIEKLFNLHTLDIKQTQIEKLPRGIVKVKKLRHLLADRFADEKQTEFRYFIGVEAPKGLLNLEELQTLETVQASKDLAEQLKKLMQLRSIWIDNVSAEDCANLFATLSTMPLLSSLLISARDVNETLCLEALAPKFPKLHRLIVRGRWADETLEYPIFRNHGKHLKYLALSWCQLGEDPLGVLAPHVPNLTYLSFNRVNSASTLVLSAGCFPNLKTLVLKKMPKVEQLEIGHGALPCIEGLYIMSLAQLDKVPQGIESLLSLKKLWLLYLHAEFRTQWLTNGMHQKMQHVPEIRV >Sspon.05G0026580-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:36670973:36672914:1 gene:Sspon.05G0026580-2C transcript:Sspon.05G0026580-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLVVFDQLAGDSPTAKVFLLVLLPLLLLFVLLRCFFTTGAGSGRDRKRLPPSPPALPLIGHVHLVGALPHVSLRGLAERHGVDGLIMLRLGVVPTLVASSVRAAQAVLLTHDQLFSSRPRSVCGDVLLYGPSDIAMAPYGEQWRLAKKLSTTHLLSAKKVQSYRAARKEEVELVINKIHGAAATRTVVNMTELLSKFTNDIVCRAVAGRSFRVEGRDRVFRELIDQGTALVTGFNLENLYPGLAKAAGGVLVSPARRKAERLRDTWDMLLDKLIDEHASEIAAAATRLEDTGGNDREYDFIHVLLSVQHEYGLTRESIKGILEDMFAAGTDTTYLILEFAMAELMLHQDIMSKLQDEVRSTRLCQEAISEDNLSRMTYLKAIIKETLRLHPPAPLLIPHLSLEDCDVVDNFKVPAGTTVLVNVWAIGRDPRTWDNAEEFMPERFIHDGQIGGVDFRGKDFRYLPFGSGRRMCPGMNFALATIEIMLANLVYHFDWELPKGADKIDMTEVFGLTARRKEKLLLVPSARDTVCPSKG >Sspon.01G0016060-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:50204707:50210708:1 gene:Sspon.01G0016060-3D transcript:Sspon.01G0016060-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVQLRMLVDGMYQGYHVLNSFRFKPAQEAPLQNQVTQSSALSTPLKRTHAAASSVRTINASNHDLQASLEKLETVVENMTEFVILLGGCKQMHKRPYDTYIYIDNFMFSRVVEKQELINALLQDNSPVGVPAVVPVIGAYRAGKKSLVGYVCNDNMVRFHFSSVLHLKSNNFLKSEWVKFYSAASSQMGTGSKVIIMSRFQEIARFGTVKPIVLRTLSDAEFCYLFKVRAFGGTDPENHPQLASIAMELATNVNGLLLVGNMLADLSRMNQNVQFWFHTLKRFRKSLECNFSRFGEHPKQLLERDRPTDITMLVPPSSATIRLIPSHDNTRCLCMKELPKVKLADLVQGSTTILPNEEFQITIWESRLPPFTKFVANCIAEEHPCNSSDNKRHNPYDSYLYIDNFMFGRHVEKQQIINVLLQDNPPPFTPAVLPVIGGVRVGKKTLIAHVCNNEKIRSHFSSVLHLNGENILTMEYDAAPVIPAPAGKSLLVVHFASDVEDEVWLKFYSSAAKQMGRGSKIVIVSKIKLLSRLGTVQPICLNSLSHQGFSYLFKVLAFGGTNPEEHPRLALVAQDLAEALRGSLVTANLYAHLLRKNQHVGAWLCILQKYRNVVENNMSIFGVHPKNIMDKEHPIYITSLTPLSSSPILRLMPPHTEIDASKGRLPKVMLGDLIVGSAVLLKEAFQVVVWEMGITDTPLQK >Sspon.01G0054330-2D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1D:80173536:80174738:1 gene:Sspon.01G0054330-2D transcript:Sspon.01G0054330-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGYGPNWMLRPSVVELQGPKAEGAISTHRNPSRRRPPAAPDAMLRLRNCIHPHLLSSSRRSTSCIAPLHRLLSGSAAAAASNPFTVADYLVARCGLSGEQALKASKSIPPQVSLEARRRRRLPGRTRHLLHRPRHRRRQRSRLLCADVGKTLSPRVAELRSLGLSNHQVGQVVLAAQTRFRSRSLLRNFEFWLGVFGSFDELLRFVKMNRSLLSINLEKVAKPNLALLQRYGMQISDIPNTFLSRILVRSNKHLQETLARVAEFGIQQGTWAFPFAFMRFAIFNREKLDSNIQLFEKLGWSRHDIASAGEEGAEYPELSSGKGSQKLGFSNGDVGLQMPDIVYRPVLLLYSVERRLLPRYYLMKFLEDRGLMTSSLSFYTIAVMGNDNLLAKLVHPHEMS >Sspon.03G0022020-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:86180412:86184223:1 gene:Sspon.03G0022020-2C transcript:Sspon.03G0022020-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin carboxyl-terminal hydrolase 14 [Source:Projected from Arabidopsis thaliana (AT3G20630) UniProtKB/Swiss-Prot;Acc:Q8L6Y1] MDLLRSHLHKVRIPEPTNRIHKDECCVSFDTPVRGRPVCGHELVPGVREGVRVEGGFGDQEPEYDDTFEIVILPDFISLPFPSVDLPEKVRLAVDKVLLAESADRKEQLAAWVADKKNISADAMDLQQLDNGVIVPPTGWKCSKCDKTENLWLNLTDGMILCGRKLWDGSGGNNHAIEHYEQTKYPLAVKLGTITADLEAADVFSYPEDDSVEDPLLAQHLSHFGIDFSSLQKTEMTTAERELDANTNYDWNRIQESGKDAELLFGPGYTGLANLGNSCYMASIMQVMFSTHPFISRYFEKQSLKAAFATAPADP >Sspon.02G0053290-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:103425165:103431947:1 gene:Sspon.02G0053290-1C transcript:Sspon.02G0053290-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTAIVPSTGVVDHVALQQWASGVGLGGEVKQLIAVHRDLGVVLAEEAQGKEIQNRALQLRLKELSQKASHTGDLMGELDHYRIHWETDRAGDDGDNKLFHEIGCKDPRLTTSGSDIKFFNNDEIPEGTPRSSFSNDDSSPPALQIIPNKDISREITKHVEECYKISKDVRMALELEKLDYHAMQRYQSPRTDPRETSPYHTEPKVYGRDQELDLILSKLTSVESARKNLSVFAIIGHGGVGKTTLAKLVFNNSRVSEHFDIPLWVYVSAHFSEVKIMRGLLDTLCGDKHDNTMKSEELRLQDKLKYMLKSKRVLLVMDDMWEDSKREKWDELLHPLLTNDAKGNMVLVTTRKPSVATMVGASDCMKLEGLDKGNFWCLFKKCAFGDDNYKGERALEKIGQQIVLKLKGNPLAAKTLGKVLRRRFDVAYWRSILDNSEWAHKSDENDIMPALMISYTYLPVHLQRCFSYCAVFPKYYRYEKERLVHMWIAQDLIYSTDTHRRLEDIGTDYFNDLVDNGFLENETGLLNLLVMHDLIHDLAQKVSSDENFTIENSEPTDAPQLVRHVSVITENEYKMELNIGTVHPNELFLRQICNSFRKLQQRRLSTLMLFGPHDQGFADTFRQELNEVRSLRVLKLEMVYVELDALVRNISTFINLRYLELGCFYWGSRLELPEAICRLYNLQVLDIKKNWGVDTVLPRGMSKLVNLRHFIAKEGLYAKIAGVGNMVALQELKAFDVRDNSDEFNISQLKGLNQIRGSISISSLYSVGHKEAREARLCDKVYLTTLELSWYSLSVASVGLPPTSPILEDLVPPSGLINLRILRRLQNLRKCTFFDKEQSYGNLQVLEVEDCPMLNEFLSQIFIPSSTHKFLDLRKLHVHNDIIPSPIPLHGLIVIAPLADIDLCCEHYIKGRFRLKPSEISNGISVQIEGDEYIRKLDENLFTLDKFKYMRELEIQGYSTVTHQSPWEGKGFQQLTSLRKFRMIKCPVIFSSHLVLFLPPSIEELEFSSCNITGIQLSQFMLNLPLLNNLKIDNCGWVTSLPGGLFTDEGNWWIPPNCFASLRRLHLSFPRGKEDPGSMMIFSSKHGLGRFVSLEEIVIENCLTLISRMVSGAASHISPSFLVKFTITGVQDSTLHLSRASSIVDLEVSGCPSLSCLNLNSCAALEKVCVQDCPSLRSLEGLQSCTALRDFRVENCELLQCLRASLSSLKTLTIGTNKSLASLELHWCTALQELCIQDCPALESWEGLKYLISLHDLRVITSPGFTRSWVPAAAEIKSEQDFSLPLQKLHTDDIGVLCLPICSLLTSLKTLHIDGGHDVDILTDNHEKGLLLLTSLRVLSLEKFMHLRSLPTALRSLTSLKRLNIGNCGRITSLPVGGLPDSLTDLEIYRCSEELNTVCQEMLRVRKINLCIDGTDKEQLPCALP >Sspon.04G0004890-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:9699974:9702968:-1 gene:Sspon.04G0004890-2B transcript:Sspon.04G0004890-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SDGGGLTADEDGEGRGDGARRGDHLGHRRRHLVRRAPCGAPRRASGPHPDAQDVRHLWGHLRHHRGPLHRRRAARAEPAQEARLRQRGRRRLRRRSLRLRLRRKEYSVSPHRRLLPGLHICCAGHWRQYNQSGQRQRVLCLHNREEACK >Sspon.03G0021330-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:65486375:65493343:1 gene:Sspon.03G0021330-1A transcript:Sspon.03G0021330-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTHKHLLILLLLLYTHSSSSHPTATANGSTAACLASQSSSLLQLKRSFISKGSLPSWQPDTDCCRWEGVTCDMASGHVIALDLGGQYYLQSNGLDPAIFNLTLLRNLSLSGNDFRGAQLPSSGFERLSELVSLDLSLTNFAGQIPAGIASLNKLLTLDLSGNPNLFLSEPNFQSLIANLSRLKELYLDEMDLSSNGPTWSTTIWPSIPQIQILSLMSCGLSGSIAPSFSRLRFLTMVNLRGNFEITGVVPEFFANFSLLTILDLSGNDFEGQFPTKIFQLERLRFLDLYWNDKLCVQLPEFQRGNQLEVLDLVMTMINCSSVIPASLVNLKSLKHLGLSTTGISRASDISVIEKIQSLEELFLYGGSGPRKSVFSWIGGLKNLTYLELDSYDLSGVLPSWITNLTNLNSLTLYSCNISGSIPSWVGNLTKLSNLNLRSNSLTGKIPRSIFALPTLQTLYLDSNQLSGHLEDIPAPLSSSITEIDLSNNRLSGLMPTSLAQLPSLGYLNLESNHLIGTVELNPFWKLSNLYFLGFSNNMLSVIDAEDGALPPVLPNIQHLGLASCNLTKLPRVLRYLDIILELDLSSNQIGGIIPGWIWVVWKDTLGKYLNNVVYINLSKNRLHGHVPTSICSVRELQILDLSYNNFSGLVPYCLLEGGSLSVLKLRKNKFHGMLPQKIKEGCMFETIDLNGNQIEGGLPRSISKCKSLVLLDVSNNQILDLFPSWLGSLPKLRVLVLRCNQFYGTIGGLQNGDQASDFFSSLQILDLSSNTFSGNLHSEWFGKLKSMMASVNNGQVLGHQTNFLQGFIYHDVITITYKGLDITFNKMLTTFNAIDLSNNSFDGVIPGSIGRLVSLHGLNMSHNAFMGEIPRQLGDLAQLESLDLSWNQLSGEIPQELTSLTFLAWLNLSYNKLTGRVPQSNQFFSFSSSSFEGNVGLCGRPLSKQCDTPSSAASSEGSSWWEDKVGVALLFIFSGLGFGVGFALAIICQKIRLSSYRICAMELGSSSRRSWVDQVEEEELAAAWGTSGLNPDAEPFHGGSSLNPDAAPFLGSPAGSWAHYGDRLSFSDSEAEPESPLIDRKGKATVGEPRRRRGRRCRRRRTAAAGGFMAAARRSHPSAIDGPS >Sspon.05G0030870-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5C:1782326:1783242:-1 gene:Sspon.05G0030870-1C transcript:Sspon.05G0030870-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGICPARKNRLPKRHGSEIGTSQETATQTSASQGTATANGSSQRTRPAAPPEVEPPKKRGRKLGKRTKLKLVPPSGRAIWLCQLQLQPPYRYTTQLSVILKREYPGLLQEKDEDGVLIRERPALDWSDYFLGYGAVRAADVRAAAKENNVSRSNPVSMKVLAQQNAELRRENARLHKEKDSAMQQGKVAIDLTMDWDREFQRHPHNLQQQQQQQ >Sspon.04G0018870-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:70470040:70473289:-1 gene:Sspon.04G0018870-2B transcript:Sspon.04G0018870-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding TPIFLIYVIYITAAHVFHRDLKPRNILANSDSKLKICDFGLARASFNDSLSAIYWTDYVATRWYRAPELCGSFFSSYTPAIDIWSIGCIFAEVLTGTPLFPGRNVVHQLDLITDLLGTPSFRSLSKIRSDKAREYLLAMPRKRPIPFSHKFHNADPLALRLLERLLAFDPKDRPTAEEALADPYFSGLSKLELEPSAQPISKVDFEFEGRKLTKASVREMIYREILEYHPQMLQEYIEGGEQIHFLYPSGVDRFQRQFAHLEENYRRGVTKRVCSSEDGHNQDSDNEEQRAASYVARTTISPPRSQEEGRKLQSTYQSTTNTANTCAKSYLKSAPNISASRRGIKGNTGRKVLCTTKNYTSTKISSES >Sspon.02G0040030-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:59524484:59535750:1 gene:Sspon.02G0040030-2D transcript:Sspon.02G0040030-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSGNLLYRRKRSWRADEFVSRSTLQLLDFDDGSPPEHAWRRKLSSHANRLKEFNVTFKEAIRMMKLGLRLWSYIREEASHGRKAPIDPFTREGNRPSASQGVPLGGMGSGSISRGFRGEFKHWQITPGSCEMSPVMANQFSIFVTRGGNKKYASVLAPGQLGGLKKSTDDGISSWDWKLKGDRSTYHALFPRAWTVYDEYKHWEEAIDKWQTPILRDERLPEWYKITLFNELYFLVAGGTVWIDSESLVSDADNKLNPSPPEDIPLIGFDPHEIDDKENVGKFLYLEGIEYFMWCTYDVHFYASFALLDLFPKIELSIQRDFAKAVLREDNRRVRFLADGTWGIRKVIGAVAHDLGAHDPWHELNAYNIHDTSRWKDLNPKFVLQIYRDFAATGDKSFGKDVWPAVCTAMEYMEQFDHDGDGMIENDGFPDQTYDAWTVQGVSAYCGCLWLAALQAAAALARSLGHDDYAERCMTRFAKAKSVFEARYWFQTPEAWTIDGHYRSLIYMRPLAIWAMQWALSPPRSILEAPKVNTMDRAHISPGTFQFLQDSVRKMTPKNGCFGNTVINWDC >Sspon.03G0012810-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3B:45539923:45542085:1 gene:Sspon.03G0012810-2B transcript:Sspon.03G0012810-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VSCDVTVWNRTKSKCDPLLSLGANYVDVSTVDGATSKLIAERITSSGASFLEAPVSGSKKPAEDGLLIFLTAGDESLYKRVAPLLDVMGKVISQGAISAPMFSLKGPSMVKAAYPTAFPLKHQQKDMRLALALAESVSQSIPTVAAANELYKAAKSLGLSDHDFSAVIEALKAKVQSSQQ >Sspon.02G0007980-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:849437:851835:1 gene:Sspon.02G0007980-1P transcript:Sspon.02G0007980-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEGRARTRRAVAEGITPSTSRQCRLRCAAAQEAGGQGTGLEPEGEKADREVEGGGAGEKDDAACRDLVLVEDPEVVAVEDPEEAAATAALQEEMKALVASIPDGAGAAFTAMQLQELEQQSRVYQYMAARVPVPTHLVFPVWKSVTGASSEGAQKYPTLMGLATLCLDFGKNPEPEPGRCRRTDGKKWRCWRSTIPNEKYCERHMHRGRKRPVQVVVEDDEPDSASGSKSTPGKATEGAKKADDKSPSSKKLAVAAPAAVQST >Sspon.05G0007070-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:13653527:13655434:-1 gene:Sspon.05G0007070-3C transcript:Sspon.05G0007070-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFKRFVEIGRVALVNYGKEYGRLVVIVDVVDQNRALVDAPDMVRCQMNFKRLSLTDIKIDIKRVPKKTTLIKAMEEA >Sspon.04G0033910-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4C:67169405:67169899:1 gene:Sspon.04G0033910-1C transcript:Sspon.04G0033910-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHDPYSRPSNSSSSPYSVLLVALPHARPYCPNPSDADAPPPPLSPSSPMGPLLCAHPGGTSLVRLPPLDLILLEFAPFRGKSDVNVVNKDLNQDGKRVEDRIIS >Sspon.02G0033060-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:3821624:3822226:-1 gene:Sspon.02G0033060-2C transcript:Sspon.02G0033060-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTNQGVVAVVKPALAKGTPSASFRLRNGSLNAVRLRRVFDLFDRNGDGEITVDELAQALDALGLDADRAGLAATVGAYVPDGAAGLRFEDFDKLHRALGDAFFGALADQQDDAAAAADGGKGEEDEQEMREAFKVFDVDGDGFISAAELQEVLKKLGLPEASSMANVREMICNVDRDSDGRVDFGEFKCMMQGITVWGA >Sspon.07G0004480-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:9862707:9868521:-1 gene:Sspon.07G0004480-1P transcript:Sspon.07G0004480-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MISGNLTNEELGTGCTKAEGPAAAAGAGAVVAKSPVLSSSRHWPPSTESRIVRVSRVFGGKDRHSKVRTVKGLRDRRVRLSAASAGPRRPSAALHGGALLQSAADRTAAANASAAAMADGDKRHCHGGGIKGLMGLNNSIGLVNATMPLAHGLYYTPAGESWTTNGNNAAVHDHQVSHGNSPQTVAHHSPFSSLLSLAAPGPQLVFYSPEGGGFAMKETTDHQFPPRTIARPALTELSKKLPSPRQPGMIRSQISTAPRSSPINQKNVV >Sspon.06G0004780-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:12406784:12410083:-1 gene:Sspon.06G0004780-1T transcript:Sspon.06G0004780-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVRLRLRVSPRLRSIPLLLSQPDAATRLPGSRSREGHGGPVLLVRDASPYTTLVGRVSCEREIKRSKFIAVAAPVPNERAAMAFLDQVKDPRATHNCWAYKLGEQFRYNDDGEPSSTAGKPIYSAIISSGIDMVMVVVIRYFGGIKLGTGGLVRAYGGVASECLKDAPTCLVKPKARVGMEVPFDLLGTVYHQLQHFQAEDIKQDYDTGKDGTVMVMFEVEYEKIENLGNAVNSACSRKIELYL >Sspon.04G0016240-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:73774647:73783952:-1 gene:Sspon.04G0016240-2B transcript:Sspon.04G0016240-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFSMDMDLNASPVPDDDEQQPYDEPVEVEYAQEEHVESAVATLRREREERRKRLKREQQDDGSRLHSQQIRNDYAPQPKRHSRFKEAPQGWLDCPAFGEPIDKIIPSKVPLDETFNESVPPGKRYSSKQLVNKQRKAGREIGLVIDLTNTTRYYSPAEWTRQGTKYAKIACKGRDAVPDNESVNKFVYEAMMFLDRQKQSKNPKYILVHCTHGHNRTGFMIIHYLMRTHVSCVAEAINIFAQRRPPGIYKRDYIEALYSFYHEVPENMMIACPPTPEWKRPDDLDLNGEAKQDDDDENGDLEPLRNESEDKVITNDDVLGDEVPYDQQEALRVLCYRLLEMPLGRGHSQFPGSHPVSLNSENLQLLRQRYYFATWKADGTRYMMLIMRDGCFLIDRNFCFRRVQMRFPHKSLEGLHDMTLIDGEMIIDTLPFSERWKMLEDEIIRPRYHEKKQFESGAKSNPLYKYDMELFSVRRKDFWLLSTVKRVLKEFIPSLCHDADGLIFQGWDDPYVTPYK >Sspon.01G0028330-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1A:99068229:99071167:-1 gene:Sspon.01G0028330-1A transcript:Sspon.01G0028330-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRQTRDLLCACGVTSHPTPRVHVQLIFGYAIRYGYPSGPICAHAPRRRSQPQRPCFVSTLPRSSWSPPHPAESGRAPAIKYAREGEQEDGRTFPELSPAPSRKFVATSPYPPRIKQRPPAKSSRPALPEPSGMFGADAGRPGYVGASRRAVPGDAVSPPLDVSSTKGKLLARRHSTQLISGRLYFPVSGRPPRSRTSSVLLPLRDRVCSVVGAAMDPFHGIVKEEFDFDFTGASAGDAAASSWAVALPELPRPMEGLGEVGPTPFLTKTYDVVDDPNTDTVVSWGFAGNSFVVWDADAFATVILPRYFKHSNFSSFVRQLNTYGFRKVDPDRWEFANEGFQRGQRELLKTIKRRRPPSSPSSAQQGQAPSACLEVGQFGLDGEVHRLQRDKGILLAEVVKLRQEQQATRAQMQAMEERIATAEQKQQQMTVFLARAMKNPSFIRMLVDRQGLGGRRRELKDALSKKRRRPIEYHLPRDGESSGGTATEAAVSDYISGLPVGVNGVAEADDDESKREGSGVGGGEDTESFWVELLSLGLEEKHREGSGGGGEEGSGADVDNDVDDDVDVLVQSIYHLNPNPGSPSGK >Sspon.02G0042520-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2B:84472977:84473162:-1 gene:Sspon.02G0042520-1B transcript:Sspon.02G0042520-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARCPQFEHSDRPLKALRAEDHGHISFLPDDILTGIITRLPIRDALVTGARRCLDEVEALVE >Sspon.04G0001460-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:5134669:5136654:1 gene:Sspon.04G0001460-1A transcript:Sspon.04G0001460-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPGALLLLAVAAAALLAAALPGALGFYLPGSYPHKYNPGDHLNVKVNSLTSIDTEMPFSYYSLPFCEPQEGVKDSAENLGELLMGDRIENSPYRFRMYTNESDVFLCRSPPLGADAFALLKKRIDEMYQVNLILDNLPAIRYTRKDDYLMRWTGYPVGIRVGVDYYVFNHLQFTVLVHKYEDGNVARVMGAADATDAIPSGAKDAASSPGWMVVGFEVVPCSIKHNPEDVKSRKMYDQYPNKIKCDPTTVSMSIKENEPIVYTYEVNFVESDIKWPSRWDAYLKMEGAKVHWFSILNSLMVIAFLAGIVFVILLRTVRRDLTKYEELDSEAQAQMNEELSGWKLVVSDVFRAPSNPMLLCVMVGDGVQILGMAVVTILFAALGFMSPASRGTLITGMLFFYLVLGILAGYVGVRIWKTIKCGDHSGWVGVSWRVACFFPGIAFLILTTLNFLLWGSHSTGAIPFSLFVVLLLLWFCISVPLTLVGGFLGAKAPHIEYPVRTNQIPREIPPQKYPSWLLVLGAGTLPFGTLFIELFFIMSSIWMGRVYYVFGFLFIVLLLLVIVCAEVSLVLTYMHLCVEDWKWWWKSFFSSGSVAIYIFLYSINYLVFDLKSLSGPVSATLYIGYSLFMVIAIMLATGTVGFISSFCFVHYLFASVKAD >Sspon.01G0010430-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:31420222:31423140:1 gene:Sspon.01G0010430-4D transcript:Sspon.01G0010430-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPQPPASLDYWRGFFSGARASIFDAIDAAIRVAAADHPDALRARRDAIAERLYTALIALPAPEALGHPTRGQPPLLLPEGAGSVPSLCSSDRAEVVNNGGGAAPRNKSDDDVVAEAFRVKAALSNAQEKSEAELLELLGRLGQLEFTVDAIRATEIGMAVKPLRKHGSKQIRQLVRSLIEGWKATVNEWVNNRDPILDHTPQSVDASCLDQEEGVIALPGSHHMPWRLLVTYCIDLTPVPINSYTDIRSDAKDGGQCNPASQESVNKQSHTEQWYDPEQNWKLDQSAMKQSRPNEAFNWQTRKQSNSGAQVKASSAAFGPGRPQLSHMGPKCSEVKPKQQQDVSVAQRRPKPTMPKPPTQHDDNSVQAKLQLAKDAKLEATKRKLQEGYQEFNNAKKQRTIQMVDPQDLPKQGNRNLALSSKPRNNNNNRNRLGIRR >Sspon.03G0039560-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3C:17391375:17394533:1 gene:Sspon.03G0039560-1C transcript:Sspon.03G0039560-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVPFVHPEASAGPSSLVSPVSTMALSLLHLALLIMASSLFRPTPVSASNATAADLSALLAFKDSLSDPGGVLRGNWTASTPYCGWVGVSCGHRHRLRVTALVLPGVQLGGALAPELGNLSFLSILNLSDTALTGQIPTSLGKLPRLLSLDLSSNYLSGIVPASLGNLTTLEILNLDSNNLTGEIPHELCNLQSVGFLILSRNDLSGPMAQGLFNGTSQSQLSFFSLAYNSLTGNIPSTIGILPNLQVLELSRNQLSGQIPSSLFNMSNLQGLYLSQNNLSGPVPDNQSFNLPMLQDVYLSKNELAGTVPPGFGACKYLQQFVLSYNRFTGGIPLWLSTLPELTWISLGGNDLSGEIPAVLSNITGLTVLDFTTSKLHGEIPPELGRLAQLQWLNLEMNNLTGTIPASIKNMSMLSILDISYNSLTGPVPRKIFGESLTELYIDENKLSGDVGFMADLSGCKSLKYIVMNNNYFTGSFPSSMMANLSSLEIFRAFENQITGHIPNIPAYQSTISFIDLRDNQLSGEIPQSITEMKNLRGLDLSSNKLSGIIPVHIGKLTKLFGLGLSNNKLHGPIPDSIGNLSQLQLLGLSNNQLTSAIPLGLWGLENIVKLDLSHNALSGSFSEGIQNLKAITFMDLSSNQLHGKIPLSLGMLNTLTYLNLSKNMLQDQVPSAIGNKLSSIKTLDLSYNSLSGTIPKSFADLSYLTSLNLSFNKLYGQIPEGGVFSNITLQSLEGNTALCGLPHLGFPRCPNDESNHHHRSGVIKFILPSVIAATVIGACLFILIRTHVNKRSKKMPVASEEANNYMTVSYFELARATNNFDSDNLLGTGSFGKVFRGILDDGQIVAIKVLNMELERATMSFDVECRALRMARHRNLVRILTTCSNLDFKALVLPYMPNGSLDEWLFPSNRRGLGLSQRMSIMLDVALALAYLHHEHLETVLHCDLKPSNVLLDQDMTACVADFGIARLLLGDDTSIVSRNMHGTIGYMAP >Sspon.07G0036660-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7D:40732394:40733538:1 gene:Sspon.07G0036660-1D transcript:Sspon.07G0036660-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding KVVSEVFGVSRGGKREAKDTWWWNDEVQRAIREECFKRLHYDKSVANIEGYKIAKRVAKRVVSVAKGQAYDGLYQRLGTKEGEKDIYRMARILERKTRDINQIKYIKDETDRLLVKDEEIKNRWREYFDKFNGENEGLTLELDDSFNDTSRRFVRRIQEAEIGEALKRMKGGKAIGPDGIPIEVWRCLGVRVIVWLTKLFNLIFRPNKMHEEWMRSILVPIFKNKGDVQSCTNYRGIKLMSHTMKLWERVIEHRLRRVTRVTQNQYGFMPGRSTMEVIFLLRQLMERYREQKKDLHMVFIDLEKAYAKIPRNVMWWALEKHKVPTKYVTLIKDMYRDVVTFVRTCDGDTSDFPIKIGLHQGSALSPYLFAWVMDEVTRDIQ >Sspon.02G0044530-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2B:101931868:101932852:-1 gene:Sspon.02G0044530-1B transcript:Sspon.02G0044530-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARNMTKWKCEDKAITVARAAKQIGSKAFVKNFKFCYDEADETVYCLVHRDGLAAEKCRFCYHIAEETAFFFEVKTILERKYSRRYFLDMEIRIRVKLVARVVRQNRGASSLPKFKYALDGMNGTVYVLVGDAQEALFMASRSFKVGSELMSFYRVLNIETRAAGDDIYQSASVRKVWPPSAA >Sspon.04G0006250-3P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:12589264:12590394:-1 gene:Sspon.04G0006250-3P transcript:Sspon.04G0006250-3P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAAAGGGGKESPAASLLRFVLLLLLPLTVLYIFYTLHVILSSSPSCPPADPVTGKGTSNALAVSHLTNNYNLTSSTPSPPSPPTPPPPPPPAVLTATTLQHVVFGIAASARLWEKRKEYIKIWWRPGGGMRGFVWMDRPVRPSSVPEGLPPIKVSADTSRFPYTHRRGHRSAIRISRIVSETIRLSLLDVRWFVMGDDDTVFLPDNLLAVLSRLDHRQPYYIGSPSESHLQNIYFSYGMAFGGGGFAISQPLAARLERMQDACIRRYPSLYGSDDRIQACMAELGVPLTRHPGFHQYDVYGDLLGLLAAHPVAPLVSLHHLDVVRPLFPNARSRPAAVRRLFEGPVMLDSVGVMQQSICYDEAKRWTVSVAWGSW >Sspon.02G0010620-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:27865032:27870479:-1 gene:Sspon.02G0010620-2B transcript:Sspon.02G0010620-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGAARRQLGSGPMLGQVLRRLRPAAAAAEVARGYSAAAKEMTVRDALNSALDEEMSADPSVFLMGEEVGEYQGAYKISKGLLDKYGPDRVLDTPITEAGFTGIGVGAAYHGLRPIIEFMTFNFSMQAIDHIINSAAKSNYMSAGQISVPIVFRGPNGAAAGVGAQHSQCYAAWYAHVPGLKVLTPYSSEDARGLLKAAIRDPDPVIFLENELLYGESFPVSAEVLDSSFCLPIGKAKIEREGKDVTITAFSKMVGYALQAAEILSKEGISAEVINLRSIRPLDRAAINASVRKTNRLVTVEEGFPQHGIGAEICMSVVEESFEYLDAPVERIAGADVPMPYAANLERMAVPQVDDIVRAAKRACYRAVPMAAAA >Sspon.04G0036960-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4D:57110356:57111120:1 gene:Sspon.04G0036960-1D transcript:Sspon.04G0036960-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKHGAGVTDVGHVQPVPRESPEQAAGAHSSNRGGFIPRAPSPAAAPLVTPLPRAMALHPCTCAPACSIDAEGSSRGRYLLRRAATLPLPGALLARREHGKRRHWPDPPSAPCATTAQAHPLPCRRPSSGPEALPHLREREREALLRHRPDRPELRSPGHTFHASSHPALSSWRPTGLWCEAATLWRRRRVRWRRWSQWPRAILIPQAGMRNATGGRFLFRGGGRVPPGNFSSGAGWVWRRQREGDDEVTCGRR >Sspon.06G0016130-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:87968973:87972495:1 gene:Sspon.06G0016130-1A transcript:Sspon.06G0016130-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATARFC1 [Source:Projected from Arabidopsis thaliana (AT3G22950) UniProtKB/TrEMBL;Acc:A0A384KMJ2] MGAWMSRVWFLMFPAQEYKLVVVGLDNAGKTTTLYKLHLGEAVTAAPTIGSNVEELVFKNLRFEVWDLGGQESLRTSWATYYRGTHAVIVVIDSTDRARINIIKDELFRLLQHADLEGAVVLVFANKQDLKDAMAPAEITDALSLHSIKNHDWHIQASCAITGEGLYDGLGWIAQKVAGKATAS >Sspon.06G0022760-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:35643877:35645287:-1 gene:Sspon.06G0022760-1B transcript:Sspon.06G0022760-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVDHGAAGNGNHVQQSRRTTAELSSSGPPRKPPLVDDDGRPLRTGTLWTASAHIITAVIGSGVLSLAWGVAQLGWVGGPAAMVLFGAVICYTSTLLAECYRSGDPMFGPRNRTYIDAVRATLGDSKERLCGAIQLSNLFGIGIGVSIAASVSMQAIRRAGCFHYRGHEDPCHASTSPYIAIFGVMQIFFSQIPDLDKVWWLSTVAAIMSFSYATIGICLGVAQIVGPRGSLAGVIGAGAGVTVMQKVWRSLQAFGNIAFAYGFSLILLEIQDTIKSPPPSEAKVMKKATAVSVAVTTVIYLLCGCVGYAAFGGKAPDNLLTGFGFYEPFWLLDVANAFVVVHLVGTYQVMSQPVFAYVERRAAAAWPGSALVRARDVRVGRGHGVHREPHQARVAHGVRVRHHG >Sspon.07G0000840-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:10344047:10346131:1 gene:Sspon.07G0000840-2D transcript:Sspon.07G0000840-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVSAATLVGAAAVARPCQAQGLPQLRARAEKVRCGAADSRRPSQRDGTNGVHAGKAAPASQAGCASSLLAVASAMTTTSSPALALVDERMSTEGTGLSLGLSNNLLGWILLGVFGLIWSLYTVYTSTLDEDEDSGLSL >Sspon.04G0037690-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4D:76532967:76533392:1 gene:Sspon.04G0037690-1D transcript:Sspon.04G0037690-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLYCKWHNATSHSTNECKVFRQQLQLAIESGRIKFGNSKIQKPMKIDQHPFPANMLDAEEKIKVLTSVAAEKNASVDPQHRITTDDAKGKGLLTESGGSERPLRSGIVITHRRQQEGWRQRRDRYQRQQEERRQEEWHVSR >Sspon.06G0008280-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:32071994:32075510:1 gene:Sspon.06G0008280-3D transcript:Sspon.06G0008280-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAGIHPFHQQWPPAAAAPPPPGAAASVAVPPPPPVPGAPGTAAADEVRTIFITGLPADVKERELHNLLRWLPGFEASQINFKGDQPMGFALFSTAHQAVAAKAALQDMVFDTETKVALHTEMAKKNLFVKRGGDYTHSPYAPPFHPPPPAVSMWGTPGYITAPPPYNPYAAYPVPPVAMTSPSPVTGPTAYAPVQNTKDNPPCNTLFIGNLGDTVVEEELRSLFSVQPGYKQMKVLRQDRNTVCFIEFE >Sspon.07G0012390-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7B:49916972:49918448:1 gene:Sspon.07G0012390-2B transcript:Sspon.07G0012390-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAGNGGGGGALKRSMGPLRVQYYIVMGAVAAAVVLATLRYMPGPAIPATTTASTTSRGGGVVRSSAPASAAEEEEEQEGTKKKRKKGDGVVLFNFGDSNSDTGGVAAVMGIRIAPPEGRAYFHHPTGRLSDGRVILDFICECPSGSNPIPPRFDSIPLPSFLLEFTPAAARSASFPAKRAEPPSSLRLAAPLARQNERVTIPNPKASSVVVLVHQRLRSLAGRRWRGRYVVAAAAAAALPGSAHMTSFFLGCASCLPFNY >Sspon.04G0011780-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:36086797:36090957:-1 gene:Sspon.04G0011780-2P transcript:Sspon.04G0011780-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASLARLSRRATTSAAAAAPSLRRLLSATSTAPAAASAPPPPPSAAAAAAVGVDRVRWDYRGQRQLVPLGQWMPKVAVDAYVAPEAVLAGQVTVHDGASVWSGAVLRGDLNKITLGFCANVQERCVLHAAWAAPTGLPAETLVDRYVTVGAYCLLRSCTIEPECIIGQHSILMEGSLVETNSILEAGSVLPPGRRIPTGELWAGSPARFVRKLTNEEIMEIPKLATAINDLMQSHFSEFLPYSNAYLEVEKLKKSFSIPL >Sspon.04G0009890-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:24861342:24861587:1 gene:Sspon.04G0009890-2B transcript:Sspon.04G0009890-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMMGGDFVEAYVLKNAYKEKLRRMEAAEEKKSKKGPAEKKASGGGSSRGGGLFGLLKKKVHPKAAASPMETSSTEEASS >Sspon.08G0016180-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:58423758:58426886:1 gene:Sspon.08G0016180-2B transcript:Sspon.08G0016180-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding CQKFVAAYAESSSPERDVSSSKELLDATLPEETIIAIPGILPHKTVDGSSSFFPFSCEKLVEGIAESASVQTPQEPDVSSLGAFLDSTSSEELITAGPQAEPCDQKLVAAAESASMSSKGSTTASPESVLDNTTDDSPPSGSSLGSKILTRSRRNWYQVFYIRMDRGGSFCMYPNLGGPFQSIDEADDAIDRYLDELRHRAGTMHMNLKHLWGNSGSVRIIDGTIISISQQSKMKAMITLALEICSLLKCHICKEKTLWRNNGSPNMKHPDNIGAYSGGHLDGYLPFGLEESSSDDEEAEEERLRAKFKGLDDPKNYK >Sspon.04G0001260-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:5044361:5050285:1 gene:Sspon.04G0001260-3D transcript:Sspon.04G0001260-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRPEFQAPPDVFYNESEARKYTTSSRIIEIQSRISERALELLALPNDGVPKMLLDIGCGSGLSGETLTEHGHHWIGYDISKSMLDVALERETEGDLLLADMGQGLGLRPGVVDGAISISAVQWLCNADKSSHDPRLRLKAFFGSLYRCLARGARAVLQFYADNVKQSEMIVTAAMRAGFAGGVVVDWPHSSKAKKSYLVLTCGPPSVNTSLPKGKGENGEMCSDDDDNESSDEDGDRTVGIYERNRPKKRQKTKKNGKGKDWLLRKKEQMRRRGHDVPADTKYTGRKRKKCTKVAMGSQLEKKTKMYPIG >Sspon.05G0020650-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:87575159:87577076:-1 gene:Sspon.05G0020650-1A transcript:Sspon.05G0020650-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMPSPSPSPSLSSGSCRVAPNLNLPAGFRFHPTDEELIVHYLMNQAASIPCPVPIIAEVNIYQCNPWDLPAKAVFGESEWYFFSPRDRKYPNGVRPNRAAGTGYWKATGTDKAIMSTPTGQHIGVKKALVFYGGRPPKGIKTDWIMHEYRLVTAADGKTAAAAKRRGGSMRLDDWVLCRIHKKSNDFQLSSDHQEQEQEQEGSSTVEQESPKPEPLEHDQFQFHQTTLTKSCSLTDLLNNFDYSALSQILDGPVDGSEALPQQNPPLPNYPTTTHETHQALNYNNNNNNLNNNNNHVISLPHTNATACSADSIVANNCNNGLNKRKRMTTDAMNDGVESFDYGRNGFSRKPKVLPTDSRNSSHLGSTSSSSYCNQQVVDTSGLFHYSSLLSYPFVANNAGTS >Sspon.03G0033040-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3C:51054272:51055351:1 gene:Sspon.03G0033040-2C transcript:Sspon.03G0033040-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLQKHLSLHLQDVFPVHRSASLIQLSLQRALLSTAAATYSSPGHFAADDYLVSTCGITREQAAKAAKCISHWKSSSNADAVLSFLTGPALGLSKADITLLVAKDPRILNCSVDNTLRVRVDRFRSYGFSAAQISSFVRVAPYSFRTFNIDEKLGFWMPFLGSPDKFLRIVRHNSYLVTSDLHKVVKTNIRLLQEYGLSVQEIGKMCVSNLRLLTGNPDSTRAILVRADEMGVPRNTFLFRQAVTAVAGLGPETMASKLKMMAKILGCSDTEVARMVQRNPLVLVRSRERIQRICEFLTKVVGVDTKYIQGLPTILMYSLERRLVPRHYVMKVLQEKGLIRKDQSFYTMVALSDSVFCS >Sspon.03G0025240-3C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3C:95295490:95298323:-1 gene:Sspon.03G0025240-3C transcript:Sspon.03G0025240-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RSSSTSQGAAKSQQGSS >Sspon.03G0010720-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:29143398:29147060:1 gene:Sspon.03G0010720-1A transcript:Sspon.03G0010720-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AT5g40850/MHK7_8 [Source:Projected from Arabidopsis thaliana (AT5G40850) UniProtKB/TrEMBL;Acc:Q42606] MALAVRTPRFQPRPASISAPATPASTSLAAASARPRACAAAVRASPFTEATSSSRYRRDAWSYAADGSSNSASPSSDAAVAAAAAARGGGPPGRRDRAAAAGAAEAPGRAEGVQGEGRRGGRRRRRTREGGAGPGDPELLTLKAVRAIEAADLVLYDRLVSNDVLDLVGEGARLLYVGKTAGYHSRTQEEIHELLLSFARLVFGRGGEEMDFLQQQGIKVEVIPGITSASGIAAELGIPLTHRGVATSVRFLTGHSRNGGTDPLHVAGNAADPDTTLVVYMGLSTLPSLAPKLMKHGLPPDTPAVAVERGTTPQQRTVFSLLKDLVDEVKSADLVSPTLIIIGKVVSLSPFWVESSEHDALKIESSYANEAR >Sspon.06G0014410-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:58507998:58510924:1 gene:Sspon.06G0014410-3D transcript:Sspon.06G0014410-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKENGAAVVAPAAGEKQQQAPPQLMSVKRGEATLVPPAEATPTGGQYYLSNLDQNIAVIVQTVYCYKGDGKGDVAGALRDALARVLVHYHPLAGRLGISPEMKLTVELTGEGAVFVEADAACDLADVGDLTKPDPAALGQLVYSVPGAKHILEMPPMTAQVTRFRCGGFALGLAMNHCMFDGIGAMEFVNSWAETARGVAELTVPPFLDRSVLKARDPPVHTFPHHEFAEIPDVSDTAALYGAQELRYRSFCFDPDRLERVRGLALADGALQGRCTTFEALSGLVWRARTAALGLAPEQRTKLLFAVDGRRRFAPPLPRGYFGNGIVLTNALATAGELLSAPVSRAAGLVQDAVRMVTDEYMRSAVDYFEATRARPSLASTLLITTWSRLEFHGADFGWGEPVMSGPVTLPEKEVILFLAHGKERKSINVLLGLPATAMDAFQELMDDI >Sspon.05G0016520-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:63055291:63063596:1 gene:Sspon.05G0016520-3D transcript:Sspon.05G0016520-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEITDDFSAEQEVGHSVYGKVYKGALADGRMLAVKRLVENTLVPPGKAFDTEVTNLMAIKHKNIVELVHYCHESQKKVIQHNGRYVIVEITESCLCYEYLPNGSLDSHIYGEISSINWDTRFKIIKGICQGLHFLHSIKELDGSLVHMNLVPNSIWLDDNWVPKIADFGLSRLFGKEQTRMYTVNVTGQNGYMAPEYLYGGEISTMSDIYSLGIIIIEITIREKNCAVSDSQDQAARTFVDNVHQNWKTDEQIIYKYPQLDSNGLQQVKACIEIGLKCVEADRRKRPPIVDIVDRLNGKRVPIFDQGCLPDGGVLAVKRLAENAPVAPGTTFDNEVANLMALKHDNIVQLVGFCHEAQKKVIQHSGRYVIVDMIESCLCYEYLANGSLDKHIYERRTVQSLTLKTAARKFVDNVHQNWKTDEQIKRKYPKLDPNGLQQVKACIAIGLKCVEADRKKRPSVVDIVDRLNGKRVPIFNQ >Sspon.08G0018030-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:5927282:5928138:-1 gene:Sspon.08G0018030-2C transcript:Sspon.08G0018030-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLALIPPVSSIDALAFHRSLPLPLLLRDPLLRRAPPLARSLMAFSTDPAAVEEKVEAEDEALPTAVAGAGDWGDVSHEEWRRWGTSSPLPAAVAGVIHELLKMEAAAGEKMRFGGVGSKLKGNFKDVEDKKHRAVYERLADSDQKLQYFSARQIGCRLLGCRGYLCQKCWLPTEDCMCTNIVPCNLWKGMKFWLYMHPK >Sspon.08G0018190-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:6947826:6953210:-1 gene:Sspon.08G0018190-2C transcript:Sspon.08G0018190-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIGQYPASSCSKEHQKIYQEWFALADADGDGRITGPDAIKFFGMSKLSRPDLKQVWAIADTRRQGYLGFPEFVTAMQLVSLAQAGNEITQDSLKRDDLVSLNPPVMEGLDALLAKSKHLVKRVDPEMDGYPQEQSTLTNKWFSSTSSKKIPLTAVTSVIDGLKKLYIEKLKPLEVTYKFNDFVSPLLTSSDFDAKPMVMLLGQYSTGKTTFIKHLLRTSYPGAHIGPEPTTDRFVVVMSGPDERTIPGNTIAVQADMPFSGLTTFGTAFLSKFECSQMRHPLLEHITFVDTPGVLSGEKQRTQRSYDFTGVTSWFAAKCDLILLLFDPHKLDISDEFKRVIGSLRGHDDKIRVVLNKADQVDTQQLMRVYGALMWSLGKVLNTPEVSRVYIGSFNDKPVNESAVGPLGKELFEREQDDLLSDLKDIPKKACDRRINEFVKRARAAKIHAYIIGHLKKEMPTMMGKAKAQQRLIDNLPDEFAKVQREYHLPSGDFPYVEHFKEVLSGYSFDKFEKVKPKMIQAVDDMLGYDIPELLKNFRNPYE >Sspon.07G0022370-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:9066378:9067510:1 gene:Sspon.07G0022370-2C transcript:Sspon.07G0022370-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLQSFQRFPPPPPNLGPLEPFWTAPFVCAFWDLVTSAFFAFFSSLVFLMRSLISLQGQGSSNERAASLAASRTSGFSALFFWITSKEAPTIDLEYGFLVVSFAGFRRLWK >Sspon.01G0029490-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:99067447:99067710:-1 gene:Sspon.01G0029490-2D transcript:Sspon.01G0029490-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GLHLELPGRGARHGRRAPRRRQHVPRGPPPRRTAATASSATARWYSESAGAPSARAAHAPSSEAASADAGAAEPTSAAGDPGADPALT >Sspon.05G0027270-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:50852516:50853431:1 gene:Sspon.05G0027270-1B transcript:Sspon.05G0027270-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGRDSIVTEEAHRTRQLFLMAESTTGIDAIVTPNDNAASVLAIGGMSEITVPAGYGEPGVPFGICFGGLKSY >Sspon.02G0048070-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:20875861:20876796:-1 gene:Sspon.02G0048070-1C transcript:Sspon.02G0048070-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:15.4 kDa class V heat shock protein [Source:Projected from Arabidopsis thaliana (AT4G21870) UniProtKB/Swiss-Prot;Acc:O49710] MDYYYPMEEHEGLRPAQLPWQWQWQWRLFSLLSSQPELLQPQRPANHVSWEETAVAHLFSASLPGVRKEEIRVEVEDARYLVIRTELDAAAAAAGARSFDRKFRLPGMVDVDGISAAYTHGVLTVTVPRMHTRARPVADVLGDGPARDHAARAA >Sspon.06G0021300-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6B:14013093:14014218:1 gene:Sspon.06G0021300-1B transcript:Sspon.06G0021300-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPGRHNAAVGPLLAAALLLSAAVPGARAQAETGELFDQDEDFSYIPGADNGPENWGKIKPEWANCSVGRMQSPIDLSDERAKLVQSLGYLNTSYRPAEATIVNTGHYVMIGATKDPFLHKLEPSIMRIKNTRDQPEDIGMVDPNDARGSDSVYYRYMGSLTTPPCTEEVVWTVFKEGNTMNARPLQEVNNRDISIFHPKPYDYY >Sspon.07G0022920-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:11465093:11472347:1 gene:Sspon.07G0022920-1B transcript:Sspon.07G0022920-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFTMLQPCLLHDVSSAAALLAAKPGCLCLGVGNEAVSVLANIISTWDIRGRSSGFSCTHRSPTLRHFSTSSELKVPFRFSSTKSKPFPHSISSRPGPKPPSPSLFSVEKPSVAAAIVSKSYTGSSSFDLKFTWTILNLLVVKETTNTLCDSNKSSRSCTRVHSTCYVNSGLADACATTTRSLEIGGPVPELSRAQTEL >Sspon.05G0035030-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:68137420:68140341:-1 gene:Sspon.05G0035030-1C transcript:Sspon.05G0035030-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAGKVPKGAPQAAQGSGGDRIGTLPDELLYRILSFLPAQQTVRTCVLGRRWLRLWKSTTVLRIAGTNGNTSVPFEEVREFVDSLLLLRENQPLEIFELRVASTAIDVPRVRLWVSYALQCKVQVLRLSFHGNEHASLPLRPQDPPLASMHLTKLELRGVAFNGDFLDLSRCPALQDLEIEGCSFVLTGRISSQSLKRLKITSAVFNRSSRTRIHAPNLATLELYISYGKTPVLESMPLLISALVVFWGKFDCCSRSNYGDCGDESCENCIPNDTSSVLLHSLSQAKNLELGAKDDTYIFRRDLKCCPTFSRLKSLMVNEHWCVPDIQVLARILEHSPVLEELYLILIYKMRELNVIMKGRFSPKELPPTISAHLKKVVVRCGAVDERVVQVLKSLSELNISKNGSQLKTDLGPTSFGEETKLHQRKC >Sspon.03G0041950-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3C:48463234:48463408:1 gene:Sspon.03G0041950-1C transcript:Sspon.03G0041950-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding CATRTLLRAAAARSSRVSKPRWCAARADDRRPATTPNAVRAMPLATPTSGGSRRTYIR >Sspon.06G0000650-3C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6C:17356002:17356460:1 gene:Sspon.06G0000650-3C transcript:Sspon.06G0000650-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKAEKKPAAKKPAEEEPATEKAEKAPAGKKPKAEKRLPAGKSAGKEGGEKKGKKKAKKSVETYKIYIFKVLKQVHPDIGISSKAMSIMNSFINDIFEKLAAEAAKLARYNKKPTITSREIQTSVRLVLPGELAKHAVSEGTKAVTKFTSS >Sspon.02G0023730-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:81027393:81035583:-1 gene:Sspon.02G0023730-1A transcript:Sspon.02G0023730-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAGDVAAVAAAAAEASGNAQPLAGRVAIVTGASRGIGRAIAAHLSSLGASLVLGYASRADEADALAASLPCAVAVRADVSDEAGVRSLFDAAESAFGGAHILVANAGVMDDTYPAVADTTTEAFDRVLAVNLRGAFLCLREAANSLPRGGGGRIVAVTSSVVGSLPPRYAAYTASKAAVEALVRTMAKELRGTRVTANCVAPGATASDMFFKGKSEDMVRRAVEVCPMERLGEPGDIAPVVGFLCTDAAEWVNGQVIRANGGYIGMLSRVQKSKPCALLSRIGGRPAASGLTSARGRAAPTKKMDGKGSSDMDSAESRAFSTSSRNITLRLNQEENKEMIMSVDKIIEHLHDCI >Sspon.05G0014850-3D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:5D:53877870:53879018:1 gene:Sspon.05G0014850-3D transcript:Sspon.05G0014850-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAKKLFKMGNADTDTAALHKEWDDALCPICMDHPHNAVLLLCSSHDKGCRSYVCDTSYRHSNCLDRFKKMKVNDGDSPSQSSSSMPRGTRNQNVVQRSRFGLTRESPRLHMDISEPDEASNHQDASHRPAAIAGEQEENNYNEGPDLTLEAHEVEINGPSESSDVSSLNQLLCPLCRGAVSGWKIIKEARQYLDEKSRACSREACTFSGNYREIRRHARRVHPTTRPADVDPSRRRAWHHLEHQREYADIVSAIRSAMPGAVVLGDYAIEGGEMFSHDRETSGPSEPSGSLLTTFFLFHMLSSSPIRSGDEPRGASRGLRRQRRRYLWGENLLGLQYDDDDDDNDDDDEREEDEGDAVDEEVQRPRSRRRFIRSRSEERA >Sspon.05G0023130-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:5129286:5130939:1 gene:Sspon.05G0023130-1B transcript:Sspon.05G0023130-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDARSVTGPPQLPTPPAPLPAGCFCLPKTSSTSLTKSFTAVHDFRVTDYSLLEGMGFGRYVSSSTFSVGGREWAVRFYPDGATAGLLGDVSAFLYYYSRDRDASGGPVHLNLLEKDGKMPPVTNPYMKHTFSPASDNWGFTKFMEKSRLQQGSPYLDRDCLTIRCVLTVLIDSRTVANENSVLT >Sspon.06G0006860-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:22763127:22765174:1 gene:Sspon.06G0006860-2B transcript:Sspon.06G0006860-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLMIIVAACLTIAILYATTKMVQRRTLPETKQGPSLPPVVSTVSLLAYVPTLVRSGLPAVIDHLHTKLGSVFTMSLFGLKKVTFLVRPEVTAHFFQGSESEIRQSNIYKVTVPVFGRGVLYDVDFATRSRQISFCMESIKPLNLRGHVDSMDYFAQWGQHGVVDIKHEMGHLILLIANRCFLGKQFRENVFDEEVSTLVQEIFENGFHLISLFFPYLPIPPHRRRDKARAKLGEIIHEVVRSRRSLSGRAAENDDVLQRLVDSKYINGRSMTESEIAGLLVCLMFTAQHTSSSASTWTGACLLSHGGSSYLAAAVEEQKRIMERHGERVDYSVLQEMGTLHCCIKEALRLHPPANLLIRHASKGFSVRTREGNRFDIPKGHTLATCTTVATGCPTSTRTLMFGPGREEDKVGGKFSYTPFSAGRHACSGKDFAYMQIKVIWSHLLRNFDLELISPFPEEEWEKLAPGPRGKVMVSYKRRRLLP >Sspon.05G0007940-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:16163411:16168355:-1 gene:Sspon.05G0007940-3C transcript:Sspon.05G0007940-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVPTPPNRAGCNSNISNIGSPMQSFDDPFGVAAMTSLDGYSELCSPSVADQIFSLLNDPSSVQQMFAMWSSSGSSPRASAVREDMSFDAYPGPVDATASLAQRINSASALNPTGVDRGLKDSDGLVPNNGSQQGSDIIPRSIGNFLADRMLMALSLFRKSLSDGVLAQVWMPVEHNGRVMLSTSDQPYLLDQDLAGYREVSRNFVFSVKEEPGLHLGLPGRVFISGVPEWTSSVMYYSKPEYLRMEHAVIHEIRGSLAMPVYDPSKGSCCAVLELVTNKEKPDFDAEMDIVYSENQKSAFTEILDVLRAICHAHMLPLALTWVSTSNGITSDFCVGKNIGVDSQPGKAVLRIHESACYVNDAKMQGFLHACAERHLEKGQGIAGRALKSNLPFFSPDIRGYSIEDYPLAHHARKFGLHAAVAIRLRSTYTGNDDYILEFFLPVNCKGCGEQQMLLNNLSSTMQRICKSLRTVSETEIENVNATAPMCEKTNGSSLPTGNSESSSHDDQPITESAFQDLSLGDKHGDREPDKARSSSMRVAEKKRSTSEKNFSLDVLRKYFSGSLRDAAMSLGVCPTTLKRICRQHGISRWPSRKINKVNRSLKKIEKVIKSVHGVDRSLQYDPATGSLVPATSHPDKMPFSSCDTLPTSSVGKTVEEKSSPKSEQDFSSPDGWQRETSQFHVSSIPKREGDEVQMLANNNKGSRNYVSCIANIILHSNSEETQGPLYPIGAVNSLHTRETGYIDSPASLHPRMDSIEGQTTGMNSPFVQQTDVTMVDGHDTKEQTHPSTSGTTDSSSGSASSQPTFKGNPRALKDRSSPTLTVKATYNGDTVRFKFLPAMGWYHLLEEIAKRFKLTTGAFQLKYKDDEDEWVILANDADLQECMDVLDSISSRNMKLQVRDLPCLISSSGSSSCLQVVGHSS >Sspon.02G0018520-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:55507283:55511786:-1 gene:Sspon.02G0018520-3D transcript:Sspon.02G0018520-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLAASSSVSDDTDSDSSQSSEASTWDSQDATVDLSRICFSATYELSRHAECLELEELTAISVNPSLLPALNQLLLEAYAVLRPKPLDYDQRNALVDVFREMVKFWAKVPWVNYHFDGLDCTSVERNALLFKGFGSKNKESVAELFVSLISKLLSAESLWEHGLCASNFEASWISKTWKKGAGNLSVEDFLDRSQNFARSVGKVQMQKICKCLRECALNLLDFMRGKMDTMKLRTLLFGRLSPGELVSKPRLEHVKRKRKWGPTPQGRCVFQKSAQHCGSATSSDSLPTANKVLPHALHYRVAGQQSSTHQFVPIIRWPRIIPSGFGYGLSLGIPSVAPHLGKGILGKPP >Sspon.07G0011020-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:36894919:36897712:1 gene:Sspon.07G0011020-1A transcript:Sspon.07G0011020-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVLTKGELEQITLPAVQRTAPPLAFVPEVDLSAAGDNAATRSAAARAVAKACEDHGFFKVTGHGVPAPLLARLDAAAAAFFALPQRDKDKAAAAVGAGGGSPPFGYASKRIGGNGDLGWVEYLLLGVTAAGAAAAPVALSAAPVAASEGAESSCFRDVLDEYIAAVRRMTCTVLELMAQGLGLDDTGVLSRLVLDRDSDSMLRVNHYPPRPEVEEDVRRLTGFGELTLLLAAFLYDGNVDEFDKNALARASGAAEPVASVLLWRSAADMEAAEAAVRVLAVIVAPDCVEEESKRHVAASLAADAPSAAAALARMLRDGSSPEVHADATKLLESMLRNAGGARAAVAESEELVVELIRLVGPTDDEKEKGSG >Sspon.03G0023060-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:55881172:55886092:-1 gene:Sspon.03G0023060-3D transcript:Sspon.03G0023060-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKYGTIPTSSSAGGGAPLGGASPLDFISRAKARGASALATRRPWRELADVHAVGLPPSLGDAYLRVRANLAHFAMNYAIVVLVVVFLSLLWHPVSLIVFLVCMLAWLVLYFLRDEPLVLFGRVVADGYVLAVLAVVTLGLLLLTDATANILSSLSIGLVLVLLHAALHKAEDNAADEVDRWYAPDLNVAFLRLAVILSLTPCASWAIPISFAMKKCPC >Sspon.05G0023510-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:16220667:16227266:1 gene:Sspon.05G0023510-2D transcript:Sspon.05G0023510-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKNEAPTIGVKLFVDKEKRKVLFAESDKEFVDVLFGFLTMPLGAVVRLLDKQSRMGCFDEVYKSVEDLSTDYFQTKGCKAMLLKPLKAASSYCCQLKINIDDTKPRAAYTRAVALVTISRLARSLTPSADAAKSWSVGDRPDNGAKTAAAGSDYGVFVKGRQKFITTDDLQVAPASTSLLLSLCKKFGVQDPTDLDKTILQLTSDKQPLTGLHFNVPMSDDAGLGSVDWNLCNEQENEADDKVGQVKIKVLQTKTNSAVLYAEVGHDFVDLVFGLLSVPLGSVAKPFCQRLPKGCIDNLYRSIDRCMRAERKRLLLAPELPPFFGCGASQILQVDEITPRKIKIDFCFTCFKLGVVVTKKDKYFGSTAIVKTIQKLQS >Sspon.07G0027730-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7B:61374305:61374568:1 gene:Sspon.07G0027730-1B transcript:Sspon.07G0027730-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTAAVTTAPGSGGAKTSWPEVVGLSIEEAKKVILKDKPDADIVVLPVGSRVTDDFLPNASASSSTPSLRRPVLASCPAPFYSSHN >Sspon.06G0032680-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:91593174:91608822:-1 gene:Sspon.06G0032680-1C transcript:Sspon.06G0032680-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPVRLTLLLAAILAAAGVGEAVYIPYNTSAGVVPGKLNVHVVPHTHDDVGWLKTVDQYYVGSNNSIQGACVQNVLDSLIPALLKDENRKFIYVEQAFFQRWWRNQNDMIKDTVKGLISSGRLELINGGMCMHDEAAVHYIDMIDQTTLGHKYIKEEFGQVPRIGWQIDPFGHSAVQAYLLGAEVGFDAFYFFRIDYQDRDTRKGTKELEVVWRGSKTLGSSSDIFAGIFPKNYEPPPGEFYFEVDDSSPVVQDDPLLFDYNVEERVNDFVAAAVAQANITRTNHIMFTMGTDFKYQYAESWFRNMDKLIHYVNKDGRVNALYSTPSIYTDAKYAANEQWPLKTNDFFPYADNPNAYWTGYFTSRPALKRYVRMMSGYYLAARQLEFFKGRHSSGLSTDSLGDALALAQHHDAVTGTEKQHVANDYAKRLSIGYIQVVLVYNSLGWKREDILRIPVFSDSIVVHDSEGTEIESQLLPIATASLNIRDKHVKAYLGTTPGANPKFWLAFPVSIPPLGFNTYFVSNSKKSAHMSSKSSQYSPQGSESSNLQVGQGNLKLQYNAAGTLSLYSDSKTQVQANFEQKYKYYIGQDGNASDPQASGAYIFRPNGTVPIKTDGQVPLTVLRGPIVDEVHQQINSWIYQITRVYKGKDYVETEFIVGPIPVDDGNGKELATEIVTNMATNKTFYTDSSGRDFIKRIRDYRSEWKIEVHQPIAGNYYPVNLGIYVEDGSKELSVLIEGKYYVKIDPQGEGARWRRTFGQEIYSPLLLAFTEQDGGNWANSHVAKFSAMDSTYSLPDNVAMLTLQAFKKIVYAHLEIQIMSQSFEVITCSI >Sspon.02G0010560-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2A:28867476:28868487:-1 gene:Sspon.02G0010560-1A transcript:Sspon.02G0010560-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAVEAHDDYFVQKRNAANVLGLSCLQKVTAAMRMLTYGVPADATDEYVRIGESIALESLRRFVTAIDEIFGEEYLRYPNEADTARLLAMGDQQGFPGMLGSIDCMHWAWKNCPYDKQGQYKGKEEKPTIILEAVSSNDLWIWHAFFGMPGSHNDINVLHRSPLFDNLAEGKAPEVNFFVNGHDYTMAYYLADGIYPTWATLVKSITKPMGNKRQYFAKAQEAARKMVERAFGVLQSRFAIVRGSARFWDIETLTKIMRACVIMHNMIVEDERSVVDPNERFDYGGENVEPEHDKPTHTLEEYIEAHRKIREKQTHVQLKEDLIEHLWSKHLDLYK >Sspon.08G0004920-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:12188345:12195926:-1 gene:Sspon.08G0004920-4D transcript:Sspon.08G0004920-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYHGPFDGSLVAPVTSATLPIFSLAGEISMPTADTQDNFDGRVKAKGGDLGGNLTEAPIADVVTSLNLQGATISDGVWMTGGPFKAAEDQDPAPIIPGDFFGGGFARASLARSCIVVANPRRPRQTAVSDPPRATMAAASSASLEDLKNENVDLVSSPPSSSIYLLSLPAMIMCEVFAVLKSSPHGLTSTDGASRLQIFGPNKLEEKKESKLLKFLGFMWNPLSWVMEAAAIMAIVLANGGVRTPPHDRADRLWVNDAYVCDLVRAYVQGRPPDWQDFVGIVTLLFINSTISFIEENNAGNAAAALMASLAPQTKARPCDAARSVVCASLTETVDGSALGGCMQVLRDGKWSEQDAAILVPGDIISIKLGDIIPADARLLEGDPLKIDQSALTGESLPVNKMPGDSIYSGSTCKQGEIEAVVIATGVHTFFGKAAHLVDSTNNVGHFQKVTHTPLPPVITYTSATATPLVILTVVLTAIGNFCICSIAVGMLIEIIVMYPIQHRQYRDGIDNLLVLLIGGIPIAMPTVLSVTMAIGSHRLSQQGAITKRMTAIEEMAGMDVLCSDKTGTLTLNKLTVDKNMIEDLDKDAVVLYAARASRTENQDAIDASIVGMLADPREVCDVETPLARAGIQEVHFMPFNPVDKRTAITYIDSDGSWHRISKGAPEQIIELCRLREDLSRRVHAIIAKFADRGLRSLAVARQRIPECNKDAPGTPWQFLAVLPLFDPPRHDSAETIRRALNLGVNVKMITGDQLAIGKETGRRLGMGTNMYPSSSLLKDGDTGGLPVDELIEKADGFAGVFPEHKYEIVRRLQERKHICGMTGDGVNDAPALKKADIGIAVADATDAARGASDIVLTEPGLSVIISAVLTSRAIFQRMKNYTIYAVSITIRVVLGFLLLALIWRFDFAPFMVLIIAVLNDGTIMTISKDRVKPSPMPDAWRLQEIFATGVVLGTYQALATVLFFWAVRDTQFFTYAMQNTFGVHHIGDSTEELMAAVYLQVSIISQALIFVTRARSWFFVERPGLLLVAAFLAAQLVATLIAVYAHWSFAKIKGIGWGWGAVIWLFSIVTFFPLDVFKFAIRYFLSGKQWNNVFDNKTAFANELDYGKSKREAQWAIAQRSLHGLQQPETSGLFNTDNSNDFIELSEIAEQAKRRAEIARLRELHTLKGHVESVVKLKGLDIDTIQHNYTV >Sspon.03G0032050-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:33882032:33882292:1 gene:Sspon.03G0032050-1B transcript:Sspon.03G0032050-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGAQGAHPVGQTTPTTYESVGGGENRTRTDLRSREDQGAIQIEKVQDKVDDAAGRGVDRSTFGAKNERPDDSADAGATGTGGTAA >Sspon.02G0013570-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:33626122:33631776:1 gene:Sspon.02G0013570-2B transcript:Sspon.02G0013570-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPAVVAALLLVAAAASPAAALYSAGSPVLQLNPNNFKSKVLNSNGVVLVEFFAPWCGHCKQLAPAWEKAAGVLKGVATVAALDADAHQALAQEYGIRGFPTIKVFSPGKPPVDYQGARDVKPIVEFALSQVKSLLRERLSGKASTGSNGKTSGGSSEKSEPSASVELNSRNFDELVVKSKDLWIVEFFAPWCGHCKKLAPEWKKAAKNLKGQVKLGHVDCDAEKSLMSKYKVEGEPIPLPGARAASAIESFALEQLEANSGPAEVSELTGPDVMEEKCASAAICFVSFLPDILDSKAEGRNNFVWTAAGKQANLENQVGVGGYGYPAMVALNVKKGAYAPLRSAFQRDEIICLLQRVREGSRARWKGNLPLNDAPTVVASEPWDGKDGEVIEEDEFSLDELMGDSSSVNDEL >Sspon.07G0002670-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:6406255:6408484:1 gene:Sspon.07G0002670-1A transcript:Sspon.07G0002670-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAQESLSLVGTMRGHNGEVTAIATPIDNSPFIVSSSRDKSVLVWDLQNPVHSTPESGATADYGVPFRRLTGHSHFVQDVVLSSDGQFALSGSWDGELRLWDLSTGVTTRRFVGHEKDVLSVAFSVDNRQIVSASRDKTIKLWNTLGECKYTIGGDLGGGEGHNGWVSCVRFSPNTFQPTIVSGSWDRTVKVWNLTNCKLRCTLDGHGGYVNAVAVSPDGSLCASGGKDGVTLLWDLAEGKRLYSLDAGSIIHSLCFSPNRYWLCAATQDSVKIWDLESKHVVQDLKPDIQISKNQILYCTSLSWSADGSTLYTGYTDGSIRVWKISGHATN >Sspon.01G0014650-3P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:122476559:122480398:-1 gene:Sspon.01G0014650-3P transcript:Sspon.01G0014650-3P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVASHVAVWPAPAPGRPMELPIGSAALRVHLPPAADDPGPPPALLPANPSVPYGLFHDADLFAAFRGLLLHLWTLWELMVVGEPVLVVAPSPAQCSEAVAGLVSLVAPLLYSVDFRPYFTIHDPDFARLNALAEGEVFPPMVLGVTNLFFLKSLKSIPNVVSVGSPNPNSTRVLPVGGQSPGNGMNGTPGKLKLEKLAINKFSPTSLLNSIKLRREGPLSLMTEHKEALWSTYSPTTKPDTSVLNRLIDAGVSPRIEESMSVVNNEILRRHFLELTTNFLAPFGPYLRTTTPSEGSSPFVDPPLLPPFHADEFVNGLAARGPGKFLSKRMRSNWLDLYRRFLEGPNFMPWFRQRRAAAEQEQQRLWRQARMNVDIEKLMSNMSELERIDSFNAVERYLLREMENPGKGSADSIGACQKLKVDLQAAFNVLPKDMQQLLLSNPKRAVLLQGSQEKALGANGIVIQTSL >Sspon.03G0010870-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:29545747:29548774:-1 gene:Sspon.03G0010870-1A transcript:Sspon.03G0010870-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRVLLMLRETSPWALAGAAASVALLWLVAWTLEWAWWTPRRLERALRAHGLKGTRYRLFTGDLRETARVNREARKKPLPLGCHDIAHRVQPMLDITMKEYGKLSFTWFGPTPRVMIPDPELVKEVLSNKFGHFGKQKSSRIGKLLANGVVNHDGEKWAKHRRILNPAFHHEKIKRMLPVFSTCCIETITRWENSMPSEGSSEIDVWPEFQNLTGDVISRTAFGSNYQEGRRIFQLQGELAERLIQSIQTIFIPGYWFLPTKNNRRMKEIDLEIRKILRMETTSVLLTWTLIVLSMHPEWQERAREEVLSHFGRTRPDFDSLSRLKTVTMILHEVLRLYPPATFLTRRTYKEMELGGIKYPAGVNLLLPIIFIHHDPDIWGKDASEFNPERFANGISNATRHQAAFFPFGGGPRICIGQSFALLEAKMALCTILQRFSFELSPSYTHAPYTVITLHPQHGAQIRLKKL >Sspon.08G0006600-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:18142649:18143564:-1 gene:Sspon.08G0006600-2B transcript:Sspon.08G0006600-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DVDELDQLRGGFPGRVRPRLRRHRAHPRTPGLLLRRPRRRRRPQARPRRPRQAALPKGAGRGVAAGARVPAQRAARRAGQAREGGRGRRAVPAQGGPRGARRVRGPAPRAPRHVRLLPRSRRGGAARRRRHRRRVRAHLRGQGRRLDARRRRPLQDVRGLMQEDPPHEELRGRQPSSKDIIPM >Sspon.02G0014700-4P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6C:18296762:18297386:-1 gene:Sspon.02G0014700-4P transcript:Sspon.02G0014700-4P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding IRWAAIASYMPERTDNDIKNFWNTHLKKKFTKTGAGAEAKSGRWAAPKGQWERRLQTDIHTARQALREALSLDPVPPSAKTEQLPQPPAPASQAQATYASSAENIARLLEGWMHPGGSGAAGKVSSGSGSSASSVSAFSGDEGVSASNSGTGRTPEGSTRTSKAESAGTAGPGPSFSMLESWLLDDGVGNGDTGLVSVPLADPCEFF >Sspon.04G0015610-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:62447887:62449414:-1 gene:Sspon.04G0015610-2B transcript:Sspon.04G0015610-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCNNDDLDKQNEATETQIFIPQDAQGIILAFLPGRDVVKFCSVCKFWRDCVEEPSFVDRHLNNACRFHQSIACFTSLDHGLVHMYTFDPATMNFRSVELVFSSRFNMSGPCNGLVCAYDIKGDAEVLNPTTRKHFRLPDSVLKLQSLYSEYFVGFVPSTKEYKVVSIRHRVRFLTFEICTIGALSWRTWRTIHESADLLKATKAVIVNDGMYWLLLNEASSHLCREILTLNLTDERFSKIAIPDAVKNHNLELFEGEGKLRLLSTHSDGSNNIVSDIWVADLNSQDWIHLQTIVPRMPVGISPFFQLKTKIFFGNQKRLVCVDLQDGTVSYINMPPGETLISCGMFVESFAPAVTGMVSSTAASYGIRSRLAEPSSADAGPSFRGAGSSSASRGRSSGLTGWSSADLEQSFKRTKRTTNMQWKISKHRAS >Sspon.03G0010970-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:34474380:34477862:-1 gene:Sspon.03G0010970-4D transcript:Sspon.03G0010970-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding METFFYPCAVQMRVRVATTRQVRTPSVKANQAAGVMRPVTACLRLVLLLPARRVATLRRLAAAVAAEAATPGDRTGHGSHATPYVPAGEPPILMAGLVCPGQSPWSASGALAPVISQMSDHPEGLLGTQAPTRSYRCCWLLRYWPVAAPRHHCVLLAVPADNIGGGRREDDATQRQRRWHDEEVDTGHVKVKDVVKTSNATRERATRKSRRSRALMRLDRVLRNFAVLPDLLPAFRDEGALLLLKHAQAHAHAPSPTFSALHKGRRLPLLSPPAGHTASGRAWQDDPHSPDMSGVEEERSGEEERRERSVGLMAGLVDKAKGFVVEKAALDRVSFRSISREGVELHSHVDVSNPYSHRIPICEITYTFKSAGKVIASGTMADPGWIAASGNTKLELPVKVPYDFIVTLMKDLGGDWDIDYLLEVGLTIDLPVIGAFTIPLTTEGEIKLPTFRDLLF >Sspon.02G0003300-3C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:2108389:2110253:1 gene:Sspon.02G0003300-3C transcript:Sspon.02G0003300-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRSEAKSRAQTTIRERPRVGSKHGVDSRRRGRTARRSPSIGPRSTGLCRRLMRRRGVRLQAEQGSFLQNYYGGDGRCTCPQKSYSWAELVGALAACQSTTTPPTPHMDFSGGGGGRSRPEPARWLEIAGKLLAARDLVGCKRLAERAVEADPDLPGADELLAVADVLLASQRLLPSGRPDPVAVLQLQPAPGLDPAAAKRSFHRLSQLVSSPRNPRPAADTALHFIQEAFADLSNNASADPPPAPAAAPPPIPAPAAALLLFLLLLLLLGAPRPRLMPMRSGRCQSAGCRRAFVATEIPNAPPIVPGTDMYYCAWGFFPMGFPKAADLSTNWRPFCPMFPGNSESPPQPAPAVTVNADVQNVENNGGADVQNAENNGGTINANSTPASVQPPDKSGDTGRAAGPSRGRMKKTTARKKVGALPKKQGSSSVGSGIGPSMLGADSLNGNAGSGQTVGTREININEVAKPTDSTTMLNFGGDEDIGFDLDVDATDAILGNLHNLPFLREDDNNRRMF >Sspon.03G0017940-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:55393071:55395769:-1 gene:Sspon.03G0017940-1A transcript:Sspon.03G0017940-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSTPAPPSLLRKLFKLHALFCLCLRLYKTTAMASSSWPHAVRSTLPPHSTMATSVPVGLLGESPSPWKAQQLLLPLCAAALAVVAWCAARAAEWAWLKPRRLERALRAQGIRGTAYRPLAGDAPLSDRLAREARSRPPLPLGCHAVVPRAMPLVHHAMNEHGNFSLMLLLVCLPVLPRQRTNGVFHSHVSIMIMTHPLWIDHAWPGHCAGKNSVTWFGPTPRVIITEPELVRQVLSNKFGHFEKVGFGQLMRLLHYGVSTHQGSKWAKHRRIINPAFHLDKLKRMLPAFAACCADMVSRWEGLVVAADGEPCEVDVWPEMQRLTGDVISRVAFGSSYLEGRRIFELQEEQVHLAMLRIAAEIEGILRGMIATRESSLRAGKATSDDLLGLLLESNMEQFRGEGEGKGGSSSGGGGMSTDDIIGECKLFYFAGMETTSVLLTWTMLVLSMHQEWQDRAREEVLRVFGGACTVPDYDGLSRLKIVTMVLYEVLRLYTPLPALHRRTYKPMELGGVRYPAGVMLMLPLLSIHHDKDAQERFAEGIARASSSGGDAPPAFFPFGWGPRTCIGQTFALLEAKIGLAMILGKFAFELSPSYSHAPFPVVLLQPEHGAQIKLRKLR >Sspon.06G0001970-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6B:5094495:5097220:-1 gene:Sspon.06G0001970-2B transcript:Sspon.06G0001970-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQDDGWGGDEDGSSLFEGMVLFAPEPAAAEESVPAPAPAPAPAPVPELPPDADAASSAPPPLDEDLFSDLTLLAPQEPLSLEQPPPPPLPPQGEDRSHAALAPAALSRQPSSSSLRKKKRAVRIGYGRSPQPAPPSPPATALASAAAAAISASSIAFLDATRTLDQLPDRQVDVHVNGYEVHTEAVDVDTNSPRVEEAAKEEDDDGKEDEDAGVAALGIEERLALLRSQISGKLDTIQQRAAAVAAKRRLLAGRRRKVAEEAASVASRHKDLERELEEACEAEDFERAERISDSLATLEKEKDRLLTALRDAELDYDSVDSELQEVLESHIAAEEEAAALLEQFAKDANEHANSESKQAKELSSKEIEGWQTSMELLETKKMEMEVETQLVLEARSGLEGSIEHLVEEDKLEKDTLSIKGEILTKELAELLELVRLKEAEIAENNARIHEVQERISAVVSRFHGSQSDIDLKLNSLKEAQSKVDLETEALVMKKNEIDRFISLTEQKDSELREIIDACSSEAKTCQQSVEIRRKLASSILKSREDRIGLLKMEEEILQDIQMLRQKITDARTTLQEVSSRRTSIQQEMDSFKQKLSFIDKRGPELEAEKKVAAAARNFKEAGRIAAEAKALNS >Sspon.02G0012360-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:32623159:32628201:1 gene:Sspon.02G0012360-1A transcript:Sspon.02G0012360-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCRRPCPGVLLRLFATSCRRSSLHPSQPHLPRATALRTLPVAKKVPFNVSAHGRSWSDPYHWMRDTSDPDLQAFLAAENAYADAFVGSAGGGGLRARLAAEMRARLPASAATPPQPWGPWFVSRCVVVHLLYYQYVPEGKEYPVLSRKLRPSSGLVGTLLDYLSGSEKEQVLLDWNDVAEKNGYVHIETCRISPDHRFLAYTVDTSGGELFSLEVKDLLSEHVIFSPPDKGIVFVIESDNLREGLWPIRKRVDKVQYFLEHHDGFFYILTNAPVNDTKTTTEGYYLARCRAEKSLVDRWQIVTLPASDCTIQDMDIFHDNLVLYLQKNGSPLFCSINMPIDVDVQEPKELDDLNPWFFPIPSELCSIVAGSNNDFMSSTYRLVVSSPVVQIPDLTVDYDLRKKTFTILHQEEVTTLSANLGSLGFQSNASSIQQNLHLVENSQSWSDLSKLFTCQRIEVISHDGVSIPLVILYSREAHCHGESPGILYGYGAYGEDLDKSWCSERLSLLSRGWVLGFADVSAGGLLVGAVINMLPDLFSAAVLKVPFLDICNTMLDPTLPLTVLDYEEFGDPNIPAEFEAISSYSPYDNLAPGVCYPPVLVTASFNDTRFCPIAKWVAKVRDITCTSCSQSVILKTNMQSGHFGEGGRFMHCDETAFEYTFLMKALGLDGIAM >Sspon.04G0037850-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4D:79058431:79061172:1 gene:Sspon.04G0037850-1D transcript:Sspon.04G0037850-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAPARIFFFSSVEPLLLSASPAAAGNNSRQGRHRGDSIRPSAAANTLLLRNDFDLQKNAREMMVTIDNLKRLCIDHYFEEEIEGAMSAFMDLIHSDDLFDATLAFRLLREGGHDVSATDDVLRRFTDDSGEFKLPLSMDIRGLLSLHDMSHLDIGGEVLLYKAKEFSSKHLASAIRYLEPSLAEYVRQSLDHPYHLSLMQYKARHHLTYLQSLPIRDTVVEKLAVAEFQLNKLLHQQEIQEVKRWWMDLGLVQEIPVVRDQVLKWYMWSMTALQGCSFSRYRVEITKIIALVYVVDDIFDLVGTLEELSLFTEEVKMWNTAAADSLPSFMRSCYMALYTVTNEIADMVEKEHGLNPVNHLRKAWAVLFDGFMVEAKWLATDQVPTAEDYLRNGVVTSGVPLTFVHIFIMLGCNQSTEALIDQMPSVISCPAKILRLWDDMGSAEDEAQEGIDGSYKDIYLMENPRCSLSDAEAHMRSLIAREWEELNRECLCKRTFSFNFTQTCLNAARMISV >Sspon.02G0038930-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:39769420:39770073:-1 gene:Sspon.02G0038930-2D transcript:Sspon.02G0038930-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding NGGREEGAPAAGLLGEPVRTAVPHRAGGERPAVRVPAAGPRQQGRAPPARQPSPQEDPGAPPRRASPRVRVPHHPALPRRGVPGDAAAAPRRPRRPRARQVLGRLRGEEGLRLRDPAVEAQGSAAGAGTDGDGGGPADAGRRARRQGLPRRRGVRVRGHRRRPVRDVVPRLRPARGVQRRGGVPEAARVGQALRREGERRQEPVPAGEGVRIHRLSQG >Sspon.07G0037120-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:48773406:48775909:-1 gene:Sspon.07G0037120-1D transcript:Sspon.07G0037120-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPMLKYSKKHTRNLVLLAVFTICCSLGIANYASTTMIPATNHADIHNPALMRSGHLVQKTDFPHPSENLAVKSRGGWWRCCPQEKARATTTRGGRRTWCTNAWCATRALPSSPAATPSAASAPASSGSATATAGSILFK >Sspon.04G0005760-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:12556892:12561492:1 gene:Sspon.04G0005760-2B transcript:Sspon.04G0005760-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDPRSEIYPTIEYRPIQPSDLEALEKIHLALFPIRYEREFFLNVVSGNGIVSWGAVDTSRSDDRRDEIIGFVTTRMIAAKDSEIEDLFRYNSSRKDLTLVYILTLGVVDGYRNLGIASSLVREVVKYAASISNCRGVYLHVISYNQPAISFYKKMLFKLVRRLPMFYYIRGQHYDSYLFVYYVNGGRSPCSPLEIVTSFVVDFRAFVKMLVAKFWSKEDHCNPRWARCQESNLLLATQSNKRMISGDNTRCHV >Sspon.05G0015430-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:7142974:7150427:1 gene:Sspon.05G0015430-3C transcript:Sspon.05G0015430-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGNRTWFGGLFNGSGKRRQVSAEKIVLDLTPLQEQRLQNLKERLNIPYDENRQDHQESLRALWKISFPDTELTSIVSAQWKDMGWQGMNPATDFRGCGYVSLENLLFFARTYPQASFKRLMLKKQGMRATWEYPFAVAGVNISYMLIQLLELNSVRPKSLPGVNFIKVLTEHEDAFDVLYCIAFEMMDAQWLAMRASYMQFKEVLEATKQQLERELSLEDLNGIHDLPACNLLYK >Sspon.01G0051640-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:16986873:16987945:1 gene:Sspon.01G0051640-1C transcript:Sspon.01G0051640-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTRSNGDGHGHGHGGAGSWYPQRRPHYGYGGGSASFRGCCCCLFLLLTFLALLALAVALVVVLVVKPRKPQFDLNQVSVQYLLVASPTSPAAPGAAYLSLNITLLFTAENPNKVGIRYGATAFDVMYHGVPLGVAAVPGFEQPAHSTRLLQTRVIVDRFNVLQTDAQDLIRDAAINDRVELRITGDVGAKILVLGFSSPKVQVSVDCAIAISPRSQSLKYKQCGVDGLSV >Sspon.01G0034640-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:5610024:5611761:-1 gene:Sspon.01G0034640-3D transcript:Sspon.01G0034640-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSVESWWVLPMTLILAISGEQHENMATIATSFAYLAIFACLAWAGASLLYWAHPGGPAWGKYWRLGEAVIDDPGAQGLPPRPHVGAGALLAGQRGVAPAGAKRLMALSLGPVRAVVTSHPDVAKEILDNPAFADRPLNHAAYGLMFHRSIGFAEHGPYWRALRRVAAGHLFGPRQVEAFAPYRAAVGEGIVAALRGGSGGVVQVRGLLRRASLYYIMRFVFGKEYDVSRAVPASGKEEVEELLEMVHEGYELLGMENWCDYFPGLAALDPQGVGARCAELMPRVNRFVHGIIQGHRAKAIAGEDARDFVDILLSLQESEGLADADIAAVLWMQL >Sspon.01G0047590-2D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1D:113296649:113298100:-1 gene:Sspon.01G0047590-2D transcript:Sspon.01G0047590-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPLHLLLALAAIVTATPPPAGAASSSASTAEHILGVNYGTLGDNLPPPQRGLELARSAGASAVRFYDANATMLAAAAASGLEFVPSVPNELIPSLAASQRAADAWVTATLLPFRGNPRLRYLFVGNEVLSDPTATSRWPQLVPAMANVHRALRRLGMGRVKVSTTFSMHELEGHNVFPPSASAFRPDIAGAVVGPLLAFLDRTGSPLFVDAYTYFTWSANHTVVPLPYALLEPPAPGAAGYVYRDPGTGLSYTNLLDQMLDAVVAAMCRAGHCGVGLALAETGWPTAGDLDQFGANVRNAATYNRNLARHLASGAGTPRRPRARVAPAMVFALFNEDLKWGPGTERHWGLFYPNGSAVYEVDLTGRRSLASYPPLPPASNDRPYPGPLWCVVATDKGPVNETAVRAQVAAACADVPGLCDPVRPGGACFRPDTVSAHASYVFSAHWNRFSEDYGGCYFAGFAVETTVDPSQYLLPFSSALL >Sspon.05G0022480-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:7505934:7510374:-1 gene:Sspon.05G0022480-2D transcript:Sspon.05G0022480-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASVGVRAPPRGRAWAGLEKPQGAHSVRVPPARLTAERLLPPRRLVLGADPWRTADPALRPAKLRASCSAKSAGTGKVHYSADEALILQQKAQDVLPYLDGRCVYLVGMMGSGKTTVGKILAEVLGYSFFDSDKLVEKAVGISSVAEIFQLHSEAFFRDNESEVLRDLSSMHRLVVATGGGAVIRPINWNYMKKGLTVWLDVPLDALARRIAAVGTASRPLLHQESGDPYAKAYVKLTSLFEQRMDSYANADARVSLEHIALKQGHNDVSILTPSAIAIEALLNMESFLTEKTMVRK >Sspon.05G0025760-1P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:5D:34082917:34083468:1 gene:Sspon.05G0025760-1P transcript:Sspon.05G0025760-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGITSPDGPLHLEQRFQSVSWFIRESTAPSLAVCPRLQFVLPVWVAFFPSLGHSTSSTAATSHTSSCWTTSTQGDSSNGLPFPKLTTIHLHDLPKLQQICEVKMLAPALETIRIRGCFGLRRLPTVAVREPGVKKPTVEIEKDVWDSLEWDELDAGHHPDLFEPPVHSRYYRRSRLLRGTVLR >Sspon.05G0016440-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:62902018:62912987:1 gene:Sspon.05G0016440-4D transcript:Sspon.05G0016440-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFSHPPPKPTAIRLPHPLISSWGMKGDSSRESSLNDNSQISSAFPREPKLEFLKNITKDFSSEREVGHGAFGVVYKGILQSGQLVAVKKLVRTSGVHDRRFQNEAGNLQILEHRNIVRLLGSCYQVEKKLVERNGRHFLSDVPEKFLCYEYLSNGSLDKYIYDESSGLDWPMRFKIILGICNGLHFLHEERSEAIVHLNLKPSNIMLGDDMVPKIADFGLSRLFGEEQTRILTQNVVGWIGYIAPEYHYRGEISVKSDIFSLGVLILEIVTGLKRDLNIQDISSKLLIENVSKNWTKMSHIESKYPSLEEQHMLQVKRCIELGLNCVEMDPKKRPTVGSIIDKLEEISHEASIHKFMEKKLQLITEFPREPKLHFVEEITGNFASECEIGKGSFGVVYKGMLQNGEVVAVKRLLVVPEINLDKQFTNEVFSLIDLNHRNVVKLIGYCYEIHKKLVESHGRYVFADTQERILCYEYLPRGSLDKYLYGASHELNWSISFKIIQGICQGLQFLHELQRPIIHMDLKPGNILLDDNLMPKIADFGLSRLFGEEQTRTLTSNVVGARGYMAPEYYYRGEVSAKSDIYSLGILIIEIVTGLKVDSNTEDLSSKNLIDNVQKTWTKMPQIASKYPTLEASSLQQVQKTWTKMPQIASKYPTLEASSLQQVRRCIDVGLNCVSENPKERPSIGEIIKQLHGSSFPSSS >Sspon.01G0000030-3P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:46929808:46931523:1 gene:Sspon.01G0000030-3P transcript:Sspon.01G0000030-3P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATFSSTVVALGALIFSLLATCSSCARPVSFNASDFTADPDWEAARATWYGAPTGAGPDDDGGACGFKNVNLPPFSAMTSCGNEPLFKDGKGCGSCYQIRCTNNDACSGNPETVIITDMNYYPVAKYHFDLSGTASAPWPSPAAVTSSAAPASSTSSSRGGVPCNYPGQKVTFHVEEGSNPVYFAVLVEFEDGDGDAVQVDLMEANSGSWTPMRESWGSIWRLDSGHRLTAPFSLRITNESGKTLVANQVIPANWVPNTYYRSIVQY >Sspon.05G0030160-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:87551291:87553584:1 gene:Sspon.05G0030160-1B transcript:Sspon.05G0030160-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLTPASGSGAGEELSTELVVVRHGETPWNASHIVQVMLNEALRERHMGYLQGLTWDDAKALAKSSDSFKGYDIFKITQGSDPDSRNQELPVRERVVVVSHVLTILGLCRHTNPLNNITNKDIPNTSLNIFREPIIGFNLKLH >Sspon.01G0059060-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:38759071:38762170:-1 gene:Sspon.01G0059060-1D transcript:Sspon.01G0059060-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFTCCCSQDSPNDRRSEEASPLPPWTVALREIRKYQKGAELLIRKMPFQRVVREIAQLHKEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDGANKTRKTGEEDKGTLLQV >Sspon.01G0044700-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:84789826:84796204:-1 gene:Sspon.01G0044700-1B transcript:Sspon.01G0044700-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGSAAAETDTRGGEAPSKAKRGNEPGSVKLPPELCRVVMAPVSTNTLFSFSFVPSIMYRIQCLLLSAKLKIQLGPRMQQFNITAMKILEALTTKECQETISLESLETLGDSFIKYVTGQNLFSKYKHREDILTSMREEKVTNTALCQLACKSELVGYIRGELFNPKKWTIPGLGYDTRGNNKVFFRTTNNMYSLKEISIKSKRIADTVEALTGAYLSACGELAAVHFIKSLGMDVELHSNMQVERIITTKSEEFIDVKSLQTILGYVFDDSSLLLEALTHSSYNIAGITACNERLEFLGDAVLDYILTDYFYRKYYPNCTPALLTNLRKASVNNCCYAHAAVKAGLHKHILIHSSLKQMINNLENSGWAFSGPSHGWKPGIDLPEDLADLFEAIAGAIYVDSRNDKEVVWRAMRRLLEPLATPKTMKLDPVSELKELCERKNYPKPSYSPTRDDVAGVTRVVAKVKAAGTVYSETGEGRNQDVAEVLAAEDLLKKLKAAARG >Sspon.04G0011810-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:38937246:38938709:-1 gene:Sspon.04G0011810-3D transcript:Sspon.04G0011810-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GYNSLKDYFPAMEMRPNPQCSNPACVQRQKEHMESKPARDAAAKAKMEAEASAENECPVHVDNEWNISVVDDEETGTSSIRNTPDILPEGLVRELPDADSYAEPAAPVSSSAIDDDLEELQRQLDALNAS >Sspon.08G0026540-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:25633178:25633610:1 gene:Sspon.08G0026540-1C transcript:Sspon.08G0026540-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSQPAGPVVLHRPPCHVMQAGTTDAAGQRSLIPPDDWFGRRRRRGALVAVPNFQPPNPKGNSRERGKPNNSTGAGRRADGRALTRHGAGRRILGRGALPWLRRVCLLVDLGVSAGRERSTS >Sspon.05G0023010-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:4638619:4644647:1 gene:Sspon.05G0023010-1B transcript:Sspon.05G0023010-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVDLIASCIDSIRQIGDEIADSIVYIDAGTLEAFQFIGGFPLLLELGARAVCSLENASPLDAAADWQSSFSNPPRKIIVLTSRLLSDAHRYILRCLSNHGTVSHCTVLTAISEIGHSAYVDSPLGPDAFREYETLLIQDHDELLKKYEKLDRHKENIHKTASDFTSDIDNYSKWGSGVHYGSNSESSPTKRDFFDDDMGQVEARGRRLSVAVRHFPMIFSPISSRVFVLPSEGIIADSSLSNHHEDSLGPGLPSISTGKPFDSDEVPPGVTLTAQFLYHLANKMDLKLDIFSLGDTSKVIGKLMMDMSSLYDVGRNKRSAGLLIVDRTVDLLTPCFHGDSFLDRMLSSLPRKERMSSNSAVKNPQTPSKHSQGTIKRSPLDIKVPFETVFSKEEPKIRSSVLSEGFMSFASGWNSVDVDFEVSWLPDYADKAHDHILGCELDTISGSFLSNCAGVHYLEALLDRGAKDGLVLIKKWLVEALQHGKLSSASKGRQGATSVSEIRSMVQMLSRDQLSLLKNRGVIQLALAAEMTLREPQSSRWDAFTSAERILSVTSAETTQSLASELRDFINTSTSVESHTQTTTMESSQGLLSFQDVLLLTIIGYILAGENFPTSIAGGPFSWEDERSLKDVIVDSILERPSSVKLCFLDGLDSELEAKARSKDGERNKNSSEAAPSTDDFDDEWGTWDDSDNTDHQKEEAYGDMQLKLEVRDRVDQLFNFFHKLSSMRLRNQALGEGLAALSRFETDGYSRKGLLYKLLLALLSRYDVPGLEYHSSTVGRLFKSGLGRFGLGQSKPTFGDQTVLLVFVLGGINTLEVREVMTAISESSRPDVELILGGTTLLTPDDMFELMLGSSSFA >Sspon.03G0004640-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:20093481:20103306:-1 gene:Sspon.03G0004640-3D transcript:Sspon.03G0004640-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVARREEHRAAAAALLYAVTVLGVLAGGAGVRAFEDGTAVYIVTMKQAPVFHRRLNLEKFGSSRVANAVGRGGGGGGGGGGGGGGAGDTPTTSVLRKPSYYMEAWDLIRPEHCPRERQGSPKPMNYGSYIVHLQNALLKRTLRGEHYIKLYSYRYLINGFAVVITPLQADKLSRRKEVANVMLDYSVRTATTHTPEFLGLPQGAWVQEGGPQFAGQGVVVGLIDTGIDPTHPSFADDLSTDSYPVPAHYSGICEVTNDFPSGSCNRKLVGARHFAASAITRGVFNASQDLASPSDSDGHGTHTASIAAGNHGIPVVVAGHQFGNASGMAPRAHIAVYKALYKSFGGFAADVVAAIDQAAEDNVDIISLSITPNRRPPGLATFFNPIDMALLSAVKAGIFVVQAAGNTGPSPKSMSSYSPWIFTVGASAHDRVYSNYVVLGNNLTIQGVGLASGTDGDCMYTLVAAPHALKNNTVSPTEMSLGECQDSSRLDADLIRGKILVCSYSIRFVLGLSSVKQALDTADNASAAGVIFYLDPFVLGFQLNPTPMRMPGLIIPSSDDSKVFLTYYNDSLVRDETSGQVVSFGGVAKILGGLNPNYGNSAPKVMFYSARGPDPEDNSLSNADILKPNLVAPGSSIWGAWSSLGLDSAEFAESVENSLLGESFAMLSGTSMAAPHVAGLAALIKQKFPSFSPATIASALSTTTTLSDRQGKPIMAQRTYSNPDSTQSPATAFDMGNGFVNATAALDPGLVFDCSYDDFFSFLCGINGSSPVLTNYTGNNCMASTMTGADLNLPSITIAVLNQTRTIMRTVTNVAADESYTVSYSAPYGTAVSVAPTQFSIPSGQKQLVTFVVNATMNSSSASFGNVGFYGDKGHRAIIPFSVISKKRDYQSSPIQETAARGCFKANDVS >Sspon.02G0019080-3D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2D:57910869:57914932:1 gene:Sspon.02G0019080-3D transcript:Sspon.02G0019080-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABC transporter B family member 28 [Source:Projected from Arabidopsis thaliana (AT4G25450) UniProtKB/Swiss-Prot;Acc:Q8LPQ6] VQGAVNTLSDLRATLASVERINSVLSAKDIDDSLAYGLAKELDGKELDDSNGVYENGSVNKHFMSELKLSSSCSNLAWSGDIHLKEVHFSYPLRSDVEILNGLDLTIECGKVTALVGPSGAGKSTVVQLLARYYEPTQGSITVAGEDIRVFDKREWSRVVSLVNQDPVLFSVSVGENIAYGLPDDVVSKDEIIKAAKAANAHEFIISLPQGYDTLVGERGGLLSGGQRQRIAIARALLKNAPILILDEATSALDATSERLVQEALNHLMKGRTSLVIAHRLSTVQNAHRIAVCSEGRITELGTHGELVAKGGSYASLVGTQRLAFE >Sspon.02G0004550-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:17288364:17299654:1 gene:Sspon.02G0004550-3C transcript:Sspon.02G0004550-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MACAAAPRPLHARRSATAARVVFAVGPHPPRFYRRFKPSTGGAPRSSLRVVASSSKADPVEERPPVAPLADVPVSADASSPVEPQPQVSTGTWKWRGYNIRYQHAGTSGPALVLIHGFGANSDHWRKNIPVLAVAHRVYAIDLIGYGYSDKPNPREIREDFYTFETWGEQLNTFCAEVVQSEAFFICNSIGGVVGLQAAVMEPQKCKGIVLLDISLRMLHIKKQPWFAKPFIKSFQSLLRNTIVGKVFFNAVATPESVKNILCQCYHDTSAVTDELVQIILQPGLDPGAVDVFLEFICYSGGPLPEELLPLVKCPVLVAWGEKDPWEPVELGRAYASFDTVEDFVVLPDVGHCPQDEAPELQKKNTSSQSRE >Sspon.07G0003980-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:9854629:9861465:1 gene:Sspon.07G0003980-1A transcript:Sspon.07G0003980-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVDGGVEGIHEANRRAQLIEWMNALLPEFSLPPDSTDEELRELLSDGVVLCRVVNTLIPGGSWEGYAPSDQRLSNVKKFLSVVADMGLPGFSVKDLDEGLMSSVVECLLVLRDSVDPKLGGNIPPDVTRTPSRKQWGVLEMDKPQVPGAALGKRSPSEDKRNGVADPKAQQKTPVFSGQKFREVFQLKHGSYSDLPAAKISEMMHSNSLDNASTQSLISVVNGILDESIERKKGEIPHRVVYLLRKVVQEIERRLCIQAEYIRSQNVIIKTREQKYCSKIKALEILVNGTNEENQMAINRLQIVKNEKSKIEERRKLSEQDVHRLMKEKEHSENIIANLKKDMEAMNRLHEQELEQIERKAKEMEEQLTTRIKEVECLLLQSNKKVEEVETASRLKSQLWDKKENNFQSYTDNQQLIIKDIRILSRSYENDMYSLQMHWRNEISNLGSGLKCLVDAAENYHKVLAENQKLFNEVQELKGNIRVYCRVRPFLSGQDKKSTTVDYMGENGELLISNPFKQGKDGYRMFKFNKVFSPFASQAEVFSDIQPLIRSVLDGFNVCIFAYGQTGSGKTYTMSGPSTSKQDWGVNYRALNDLFDISLSRRNAFSYEVGVQMVEIYNEQVHASLHSVKSTSDVLDLMEIGQANRAVGSTALNERSSRSHSILTVHVRGLDLKNGSTSRGCLHLIDLAGSERVEKSEVTGDRLKEAQYINKSLSALGDVIFALSQKSAHVPYRNSKLTQVLQSSLGGQAKTLMFVQINPDVESYSETISTLKFAERVSGVELGAARSNKEGKDIKELLEQVASLKDTISRKDMEIEQLQVIKDKVKSPNLLLDRNGPGLTKITVDQPSQLLSGERMLKSSDRVLSDSDPQSYGEVNGESNHNLTDTAPVSLDEAEYEDNASDDGLSGETENYNSAAEMTVERPHRFPSRISRFTLTKNGQPSMSRSKSKDAKAPSMYSKPIRHATTLA >Sspon.05G0007120-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:23896276:23903841:-1 gene:Sspon.05G0007120-3D transcript:Sspon.05G0007120-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MIAAAAAGAATAFPFVSTTFHRPRRAAAVLPARAATGPSSSWEEREEARWLREEQRWLREEQRWLREESRWRAERDALLAEVAALRLRLRALEGTHPADHLAVAVDAVASPAPPAAVPAPQPRPVLVEEAAVEVEVEVRKEVVVVEEKKAAVAAKAEAGSGAGASKSRRTLRAGAEGEDVRAMQEALLKLGFYSGEEDMEYSTFSSGTERAVKTWQATVGTSENGVMTSELLERLFSGKTGEDAKMQDGTNGAAVPAVTGIAEVQKTVVTENGVLGVGVSEHRVFLLGENRWEDPARLTQNKKTVSTGTTASTKTCISCRGEGRLMCLECDGTGEPNIEPQFLEWVGEDTKCPYCEGLGSILCDVCDGKKDGTNGAAVPAVTGIAEVQKTVVTENGVLGVGVSEHRVFLLGENRWEDPARLTQNKKTVSTGTTASTKTCISCRGEGRLMCLECDGTGEPNIEPQFLEWIGEDTKCPYCEGLGSILCDVCDGKKVMAS >Sspon.06G0011610-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:63622677:63626863:-1 gene:Sspon.06G0011610-1A transcript:Sspon.06G0011610-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RMRKNWGLSSGHARPFGDRRWLLPFLASLLVTATLLLAAACGLFSPPYPSGAGDAALFDVVSLADWDDDGGGSSSGGGAPSVEAGIKNRLLEDNAASDDDDENPDDAAVNSDDSDAEPPRIAYLLEGTKGDGLRMRRTLQAIYHPRNQYILHLDLEAPPRERIDLAMYVKGDPMFSQVGNVRVIAKGNLVTYKGPTMVSCTLHAVAILLKEGLQWDWFINLSASDYPLMTQADILHVFSSLPRNLNFIEHFRLSGWNSNIRAKPIVLDPGLYLSKKFDLTRTTERRELPTSFKLYTGSAWIMLTKSFLEYCIWGWDNLPRNLLMYYVNFISSPEGYFQTVICNSDDFRGTAVGHDLHYIAWDYPPKQHPLILSMKDFNKMVKSGAPFARKFPKDDKVLDKIDRELLHRSEGRFTPGAWCDGGSEGGADPCLSRGEDSVFEPGPGAERLRGLMKKVLSWDYRNGSCSSLAYDQTKRDWYVPTDEDISLAMLSTMNSSAELLPDSVVLNVGQTVCLKSK >Sspon.03G0000250-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:10787216:10789060:1 gene:Sspon.03G0000250-2C transcript:Sspon.03G0000250-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKHNNVIPNGHFKKHWQNYVKTWFNQPARKQRRRIARQKKAVKIFPRPTAGPLRPIVQCQTLKYNMKSRAGRGFTLEELKAAGIPKKLAPTIGISVDHRRKNKSLEGLQANVQRLKTYKAKLVIFPRRARKVKAGDSTPEELATATQVQGDYMPITRGEKRSVEVVKVTDEMKAFAAYGKLRLERMNKKHLGARQKKAAEAEKEDKK >Sspon.06G0015190-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:81868977:81874511:1 gene:Sspon.06G0015190-2C transcript:Sspon.06G0015190-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRKQCVKRYSSFDFLTEVVNKVPDLGGADSCGDERGLPRRRKSNGSDPENDESRSSKMAIRNANISPRGRGRGRGRGRGRPPTKRKEVGYVQFEDENSMFAEQGEPLPGEETVPEINNGNEIMPQSTQPPVEAPPTAPAQATSSKVEEANTDHQSDWPMPDAIGNIGVGPSGFGHLTVQVDEDEDYDNEDYSAPYSAASIPVLMSGSETISSKDGYDKLIRMLLTEIKQLLVRDKENLRVTAGFRMKQLACIFFHVNPCDPKLPMATTKLHRKVQIDITLLGNGDVKLRNLVPLGEVRVEILLAIKLGRHRDLTVECEPQLHGALDHLLIQNRQRPRVAHAGGADGAVGLGAVVVRAGAERLGDGRELDMGLDPDDGFEALCLLLSRLLGRQLN >Sspon.06G0019620-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6B:3413340:3413570:-1 gene:Sspon.06G0019620-1B transcript:Sspon.06G0019620-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RVTFQHRTNGAIFIYVSWSEAIAIAIFERTSHKRQPRGVCAESIGQAVCPKTEALRRAHEWRFEDMSWSSTTISPA >Sspon.01G0029040-4D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:97652308:97655828:-1 gene:Sspon.01G0029040-4D transcript:Sspon.01G0029040-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQNNLSGKIPPAISGMRILNYLNLSRNHLDGEIPPSIATMQSLTAVDFSYNNLSGLVPGTGQFSYFNATSFVGNPGLCGPYLGPCSAGIAGAGHIAHGHGGLTNTVKLLIVLGLLICSIAFAAAAILKARSLKRASEARVWKLTAFQRLDFTSDDVLDCLKEENIIGKGGAGIVYKGAMPNGELVAVKRLPAMGRGSSHDHGFSAEIQTLGRIRHRHIVRLLGFCSNNETNLLVYEYMPNGSLGEMLHGKKGGHLHWDTRYSIAIEAAKGLCYLHHDCSPLILHRDVKSNNILLDSNFEAHVADFGLAKFLQDSGASECMSAIAGSYGYIAPEYAYTLKVDEKSDVYSFGVVLLELVTGRKPVGEFGDGVDIVQWAKMMTDSSKEQVMKILDPRLSTVPLHEVMHVFYVALLCTEEQSVQRPTMREVVQILSELPKPSTKQGEEVPNSGDGSASSPLHPAPVGTNEAPTVEARDQQQQTSSLSSPPPDLISI >Sspon.01G0038520-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:22933996:22935188:-1 gene:Sspon.01G0038520-2C transcript:Sspon.01G0038520-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGVETQARAFADEVRGGLEHKNWMLDLGHPLLNRIAESFVKAAGIGAVQAVARESYFMAIEGEGGSVSGATGSRKRSFPEINGNGRKVAASRRKPWARGWGALWPECLTYGLAEVRGTHDWRNSAVAGAITGAAVALTSEHASHEQVVQCAITGAALSTAANVLSGIL >Sspon.04G0015220-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:61014443:61015455:1 gene:Sspon.04G0015220-1T transcript:Sspon.04G0015220-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding QDERPLHVGLVQEVRGPEASGGAGRPGVRRRHRGGGGRVPGPVLRGRGGREGGPARAGGAAPAAAVGARGEQDRARRPRRQGPPRPHGRRRRPGAPPCQGREGQARGARSRQRRQPQAPRLRGWLLHRPHPHLRRHRARQEAQGPHGRLAGPADAHGGGVQGDGGAAVLHGDGGGGGGRHGGGADRVGAERDVPAEGDPGAGGGARAGAGHGVGDPRAARRGGGAGAQPEGAAPGVPGHGGAGGGAGPPAERHREPRGARQLLRAPRRRRARGRKGVPEERAQVGVRRCRRGRRARRRRRAPCPRQPPPPQLET >Sspon.05G0007760-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:24246736:24251940:1 gene:Sspon.05G0007760-1A transcript:Sspon.05G0007760-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cell division control protein 48 homolog B [Source:Projected from Arabidopsis thaliana (AT2G03670) UniProtKB/Swiss-Prot;Acc:Q9ZPR1] MGGGLRRPSRATVGPRGPSGARGLSFPLRPRVPSARPQGNVLPRSRLLPPSDFVIVCYTFQLIIELAFFSGPEGCCSTAPLAPERRENESRIVGQLLTLMDGNKKSSKMLPHIVVVASTNRIDAIDPALRRAGRFDSEVEVAVPTVEERLQILKLYAKNLHLDEKVDLQTVAAFCNGYVGADLEALCREAAKLAYHRMLNISEGDKVLKLHMEDWESARSMVGPSITRGVTKEISTVSWDDIGGLKDLKKELQKAVEWPIKHAAAFSRLGIPPVRGIKILSSTLTGFHINSYNSGADLYSKYVGEGEALLRRTFQKARLASPSIIFFDEADAIAPKRTGPGGNSSGNATVGERLLSTLLTEMDGLELAMGIIVLGATNRPNAIDAALLRPGRFDKVLYVPPPDVEGRYEILRIHTRKMKLGEDVDLWKIAECTELFTGADLEGLCREAGMAALREDISASSICNTHFQAARSSLNPSLTKAVVHEYSKAAINDPSRIELGRVVPYFSTHKSSHQLQE >Sspon.01G0014930-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:42823627:42824574:-1 gene:Sspon.01G0014930-1A transcript:Sspon.01G0014930-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCKLHPYANPVGVCAPCLRDRLLALAAERAQAADASSDGGCGSSSCGGSPPAPLHLPARRHRQHGHGAEERAGAFPRSVSPYVQHRRSDACAYATSSSSSGYHQHQPNLLFFRTPQVGPAAAAAAAFRADDEPGGEETGGKKKAAPRRSFLSAIFGGGRRHGREAEAGRKEPPRRSTSWLSSIIRRGKRRPTDLPAAASFPAPPPAQQDEEPESPGGSSSSWWFPSPSPARQQQHRRRHGGGGAGAGANGDGISGFAVCLSPLVRPSSAGSWRRCQPPDPSTMGDSHRRHASAGGPRRSGATPPGSSLIWVGSDD >Sspon.08G0014300-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:56216882:56219077:-1 gene:Sspon.08G0014300-2D transcript:Sspon.08G0014300-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSMSHEPPLVVGGPIRRRRRGVQPQSPQAVLRRTILYVRADDQGNFNPLQWRMLLQFEGQSVFNLRRDLAAELGEVNNDLGITLCAWAGSNGRLTPLVIDLPSNEKTMNIVVLTTGSPAAQALVYPNVDAA >Sspon.08G0021910-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:45337206:45343450:-1 gene:Sspon.08G0021910-2C transcript:Sspon.08G0021910-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLARTLVGGVRTRHAPSLASLLLRHRYASPASAAAAADDLVIDEDPPRTASTSAAAAATTVSVAATVPTVLQPRVLIYDGVCHLCHRGVKWVIRADKHAKIRFCCVQSKAAEPYLRLVGMDREDVLRRVLFVEGPEAYYEGSTAALKVASYLPLPYSVLSSLLIVPTPLRDAVYDYIAKNRYDWFGKDDECIVTKDKEILERFIDREEMLGGPTPQTSPDKLMVLTFKVNYVDIDKVPRILR >Sspon.02G0011700-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:35105673:35116520:-1 gene:Sspon.02G0011700-3C transcript:Sspon.02G0011700-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGAPVPKDLNLPAAALPQPTRAGARTPPQQQQQQMSSPGLLRYRSAPSTLLGEVMCGDHQDFPAAGPAAGGAGHGPPDHAAADNVLARFLAGHHHSETRDCKPPRPAAAAHHFMDKAAAAASMAASQQQLMYQSQQQMAAMEGLYRNVSSGGTEHGAAVGAAGNNSLIRQSSSPAGFLNHLNMDNGNWVRQHAPRGHGRRRVQDERRRQRRAAQGQLSFSSRQGSVMSQISEVGSEELDGGSSPEAAGSNASGAPRGYSGIPGYPMGGSSGAWTDEPSPSPTSGAKRPRDSGPAPQNVQPSLTPKLSLPASGNNGGGKPAAASAEIAAIEKFLQFQDAVPCKIRAKRGCATHPRSIAERVRRTKISERIRKLQELVPNMEKQTNTADMLDLAVDYIKDLQKQVKVLNDGRANCTCSAGKLDQFSSSVVQMHFPLRRGWVMYGAPVPKDLNLPAAALPQPTRAGARTPPQQQQQQMSSPGLLRYRSAPSTLLGEVMCGDHQDFPAAGPAAGGAGHGPPDHAAADNVLARFLAGHHHSETRDCKPPRPAAAAHHFMDKAAAAASMAASQQQLMYQSQQQMAAMEGLYRNVSSGGTEHGAAVGAAGNNSLIRQSSSPAGFLNHLNMDNGNWLPLPRYGNMLRTGMAGGGFRTNGGVSDARLKGQLSFSSRQGSVMSQISEVGSEELDGGSSPEAAGSNASGAPRGYSGIPGYPMGGSSGAWTDEPSPSPTSGAKRPRDSGPAPQNVQPSLTPKLSLPASGNNGGGKPAAASAEIAAIEKFLQFQDAVPCKIRAKRGCATHPRSIAERVRRTKISERIRKLQELVPNMEKQTNTADMLDLAVDYIKDLQKQVKVLNDGRANCTCSAGKLDQFSS >Sspon.02G0025050-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2A:86132714:86132966:-1 gene:Sspon.02G0025050-1A transcript:Sspon.02G0025050-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding CRPAAWRIGRRKTRPPLLPSYDGWRSCALEEAPYSQPAPCLVDWTEEAPPAPAPFLRRLEVLRSRKPPAPYLFLAWRIGLTKLR >Sspon.04G0004940-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:16730653:16744520:-1 gene:Sspon.04G0004940-3D transcript:Sspon.04G0004940-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDYGVPRELSEVQKKRALYQPELPPCLQGTTVRVEYGDAAIAADPAGAHVISHVFPHTYGQPLAHFLRKTAVVPDAKVISEHLAVRVGIVFCGRQSPGGHNVVWGLYEAIKAHNQNSKLIGFLGGSDGLLAQRTLEITDEVLASYKNQGGYDMLGRTKDQIRTTEQVNGAMASCQALKLDALVIIGGVTSNTDAAQLAETFAEAKCATKVVGVPVTLNGDLKNQFVETTVGFDTICKVNAQLISNMCTDALSAEKYYYFIRLMGRKASHVALECALQSHPNMVILGEEVAASKLTIFDITKQICDAVQARAEKDKNHGVILIPEGLVESIPELYALLQEIHGLHGQGVSVENISSQLSPWASALFEFLPPFIRKQLLLHPESDDSAQLSQIETEKLLAQLVETEMNRRLVLGHVCYHIIAAGLNGYMATVTNLKSPVNKWRCGAAPISSMMTVKRWSRGPSATQIGKPAVHMASVDLKGKAYDVLRQNSSSFLLEDVYRNPGPLQFEGPGADSKPISLCVEDQDYMGRIKKLQEYLEKVKSIVKPGCSQDVLKAALSAMVLATQLFFMFVPLNDKSRLGRKIARFISKYVIGYFPVTLHVEDYGAFDSNRAYVFGYEPHSVLPIAVGILGDLVGFMPLPKMKILASSAVFYTPFLRQIWTWLGLAPASRKSFYSYLGAGYSCIIVPGGVQEILHMDHDSEVAFLKSRKGFVKIAIEMGCPVVPVFAFGQSYVYKWWRPGGKLIVKIARAIKFSPIIFWGKLGTPIPFATPMHVVVGRPIEVVKNPQPTIEEINEIHRQFVVAMQDLFEKYKTRTGYPDLQLRVL >Sspon.03G0021890-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:52877188:52880500:-1 gene:Sspon.03G0021890-1P transcript:Sspon.03G0021890-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTRDRGAGGGSASRVGDGDVDLGEGWDWGAIPRLLSSACLFLCSGGCFGCCDKTAKQLGELSRNLITHDQITIGEPFWSTTTIEVEPADLRGVSSISTSNWAFEQHGTGSSHNLPGLGNNGLVLWEQTRQEWTEIRSLRPKVKQVREPVLSWNAAYESLLGSNKPFPQPIPLHEMVDFLVDIWEQEGLYD >Sspon.06G0017290-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:75798827:75800178:-1 gene:Sspon.06G0017290-3D transcript:Sspon.06G0017290-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGFVRRLAGVPWRSIAGDALSRAFLVAQAYCTVHVVDQHICSLAIVRGPSMLPAMNLAGDVVAVDRVSARLGRVAPGDVVLMISPEDPRKSVAKRVVGMQGDSVTYLVDPGSSDASKTVVVPQGHVWVQGDNAYASRDSRQFGAVPYGLITGKIFCR >Sspon.08G0019300-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8B:11211820:11212002:-1 gene:Sspon.08G0019300-1B transcript:Sspon.08G0019300-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAATPVATSGARSRRAVASDGHRRWPRHAAIGVRGASSRAASTSRGEPVAWGPAQHAMI >Sspon.01G0014400-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:42004580:42007378:-1 gene:Sspon.01G0014400-2C transcript:Sspon.01G0014400-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPSRSQHAKYHLGLGGTGTHTRFRTQVLEAPLDPGSDPEYQDFQFRFIPEVFELQMGGVPIGGGGGARNGDGKVTAEKVVAFEFDKVRISIASSDDEADGDAPPRSSFSGASHPPEPVDEMDTVFVAVDGREKPPVPKPVISWDASPPPSGAASPHSSIDSSGAVATVTSLAPSCTVTSRSAKTSVSSSAASDGSGWSNGTGSGAGAGGSAGKPHKGGDPRWKAILAARVRDGPLAMGSFRLLRRLGCGDIGTVYLSELSCGSAGSAGVARPCWFAMKVMDKASLESRRKLSRAQTEREILQLLDHPFLPTLYAHFETDRFACLVMEFCPGGDLHALRQRQPGKHFPEHAARNTQACAQPTCIQPTCFMPKLFGQRSKKSSGSTTAKKSKGAEPRQQQAPTGLPELVVEPTGARSMSFVGTHEYLAPEIIKGEGHGSAVDWWTFGIFLHELMYGKTPFKGQTNRATLFNVVGQQLKFPDCPGTSNASRDLIKGLLAKEPQSRLGVKRGAAEIKQHPFFEGVNWALIRCSTPPGVPRAVEPAAVALPAPAKPAPVERVEINSISKRMAGAAGAESGGKFLDFEFF >Sspon.02G0028450-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:103628027:103631960:1 gene:Sspon.02G0028450-1A transcript:Sspon.02G0028450-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPKRRREGSGGSGGGGGAGAGVGGGAGGRGGRRPTQPPPPPPRAPALKRRCRSFDLEIRGCRHIQELTTRVEAMEAAISRIPEELRKVLWSHFNNIPGARTEKNLPPTYKLIFMNSLSDEIFTKRDVRAADGSQIKVKMVVSNQQDNNCSRLLSSNVRIVVLDGDFNADNHEGWTPDEFDDHIVRPRDKVGAVLTGKLDVKLKDGEACLHGITFIDNSSFTRSRKFRLGVKLLEDLGERVQEGVTEPFTVKDRRGEGYRKREIPRLDDKVWCLKKIGKGGVFHKALEANGISSVEDLMRLTFYICLDSRNASPSAWKAIIDHAKKCDPGRSLYSHFIEDKNIRVYVSPLGQIVGATIAGQYNAFDDLDTLRKAQLEELSKDAYRSITYHHHDYEMYSGQPKPINCSTLEESIIPGHKPTELDDQIIHEADEQGTSEVNRFSGTLSQQCTFERVGSVRVRTLSSVPENNETDVSFDIDVQMDSATGFQYEAPEANYTAGSVTLHCPTTASNEIIASVALDQTVLAIHQEDYQTSLTNNGVSLGHWYPEQPMVSLYASPFPLSMQAGDPVLSTQSSFNMDEFFKDLPHDKPQFCAPIVSKLPTDVGSSMTKLPACRRWVKLSALVKWKAIMRASKRARLMFEQESWSP >Sspon.03G0020720-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:64188033:64188684:1 gene:Sspon.03G0020720-1A transcript:Sspon.03G0020720-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEAKTEPAAPAAAAAAASLGLASGEPQQKKTVVVVAVDDSDHSYYALEWTVRHVVGGGGMAGGAADLVIVHAKPSPSSVVSFGGPGAGEAIRYVEADLRKMAEAVVDRARRVCVANSVHALIEVVEGEPRSVLCGAAEKHRADLLVVGSHGYGAIKRALLGSVSDYCAHHAHCSVMIVKQPKSK >Sspon.01G0027330-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:95912036:95916281:1 gene:Sspon.01G0027330-1A transcript:Sspon.01G0027330-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSENIDLVLEFLRKNRFAKAEAALRGELTGRSDSNGMTTQRRITELKEDEQQEDLVGSNVGPKGAATARSADSSREFIVKEIDVGALPNGSDGKKGSGIGQPQENNVGDLYPWTFSIANSTAEQLAELLVSEEVPRHRRGAMLAEKRDRGVGTEQPGPVLEQKVSFGRGKGKVDAAGRTEINEQGHSRDKTLVPEKEEMLNGCTVKTVLPFPAENPSSSYNSTHHENERKEVKRSTNADGVGKGTKGQLDEGNRLYYFEKSLDTDQVSDRCFDLQLVGDNQREELPKLPPVRLKSEDKLVNMNWEEKINHHGSGAKQSSADHGFMIGSYLDVPIGQEITSSGGRRTIGSSWLSVSQGIAEDTSDLVSGFATLGDDSLEYPIGEYWDSDEYDDDDDVGYTRQPIEDETWFLAHEIDYPSDNEKATGHTRGTDRHDRPTKDEDDDQSFVEEDSYISGEQYFHGKNIAQIGTSEGPMVHGIPDNDMIAQYDGQLLDPEELNLMHSEPAWQGFVSQNSELGMLGNGKFLNDSERPHPDDPFVEDDQHGSVRSIGVGISSDAADIGSEVRESLIGGSSEGDIEYFNESSLSVSGKRHSQQDTEKKRVGAKGVKHDQIIYAEKGNLPPGVADGGFSFPPPLHSGKNHDSDAKSLWSKKDEMYCINDPDDCQNGIVSDDMLATWRKKNSESSLRSSRDEMTSDVVRSRNSSASYDETEDTTNVRHHKLDDAQEEDTGTNLDDEEAAALQEQVRQIKAQEEEFETFNLKIVHRKNRTGFEEDKNFHVVLNSVIAGRYHVSEYLGSAAFSKAIQAHDLHTGMDVCVKIIKNNKDFFDQSLDEIKLLKYVNKHDPADKYHLLRLYDYFYYR >Sspon.07G0001610-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:3672698:3675903:1 gene:Sspon.07G0001610-1A transcript:Sspon.07G0001610-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSAAATAKPIALPPAPTRSGGGCLRACPRPTVPAAAARLRVSASAASSDVPDFFSSNWLETRKRKPFGPRLNFSAEEAVEYQLESLKYNDQPRQDYGIEVMYRFAGFDPFERSTYFGRQFDLGQFERFRRLFHHSSYRVLLGHKERNILSSLWVEENRFKQRVWVQGARPEEEEIFQFTMVQRVGGSWDGYWLTESLINDGDAFSGGIAY >Sspon.03G0032830-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:41294506:41298316:-1 gene:Sspon.03G0032830-1B transcript:Sspon.03G0032830-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKPAALVASTAVFAAAAIATVMARQRLREARRWARAGAVLRDLQERCAAPAERLRLVADAMAAEMRAGLASNDSEGESGSSVLLKMLVTYVDSLPSGGEKGLFYALDLGGTNFRVLRIQFGGKEQRIVKQESKGVSIPQHLMSRGSNVRTHNSIFLSYIKSAMFFELFDFIAAALAKFVASEGEGFHLPEGMQRQLGFTFSFPVKQNSVASGTLIKWTKGFAIDEMVGKDVVAELNKAIKRQGIDMKVTALVNDTVGTLAAGRYVDNDTVTAVILGTGTNAAYIEHMNSIPKWCGPPPESGEMVLHLPLTEFDVALDAESLNPGEQIYEKLISGMYMGEIVRRVLLKMSQDASLFADNVPPKLEIPHILRTYHVLMMHQDTSPDLRTVGINLKEIFGIENTCGKTRKLVVDVCEVVATRSARLAAAGIHGILKKLGRDIASPDKQKTVIAVDGGVYKYYTFFAQCMESTLRDLLGEEVATSVVIKLTEDGSGTGAALLAASYSQRLQAVDD >Sspon.01G0021020-3C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1C:76852307:76853375:1 gene:Sspon.01G0021020-3C transcript:Sspon.01G0021020-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GEDIGTTPVVQQFETMSLSDDPAHVGAGKKGAKAEEWKDKAADTVGGAAGGASYTDRLKNAAAGTTEYGKKLASTVYEKVAGVGTAVGVGKRDDEQRTEAVTASNTPGTEEWRDAPEATEATRGAGYTDRIKSAAAGTTGYGKQLASTVYDKVAGVGTAVAPNLRPQEGSAKAEGAHNEAMPVSDTGAEEWKDSPAAMDATNNSATGPGYTDKIKSAAAGTTEYGKQLASTVYEKVAGVGTAVAGKVQQATQSSGTATTPGAGAQQDTATPGAGGQDKGVTVTGYIAEKLRPGGEDRALSEAISGAVQRRKDDVGGTVAQRVPAPGQVITKAREAVTSLTGGNRVSETVQPTTAT >Sspon.07G0007450-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:19621248:19623843:-1 gene:Sspon.07G0007450-1A transcript:Sspon.07G0007450-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potassium channel AKT2/3 [Source:Projected from Arabidopsis thaliana (AT4G22200) UniProtKB/Swiss-Prot;Acc:Q38898] MDVASTIPFQGLAYLVTGEVRENAAYSMLGVLRLWRLRRVKQFFTRLEKDIRFSYFWIRCARLVAVTLFLVHCAGCLYYLIADRYPHREKTWIGAVIPNFRQASLRIRYISSIYWSITTMTTVGYGDLHAENTVEMIFNIFYMLFNLGLTAYLIGNMTNLVVEGTRRTMEFRNSIRAASSFVGRNHLPPRLKQQILAYMCLKFRAESLNQQQLMDQLPKSICKSICEHLFVPVVKDVYLFKGVSREMLLSLVTKMKPEYIPPKEDVIVQNEAPDDVYVVVSGEVDVILFDGIDERVEATLGTRDIFGEVSALSDRAQAFTFRTRTLSQLLRLKQATLKEAMQSRPEDSVVIIKNFLKHQVEMHGNGMKVEDLLGDNTGEHDDDANVLTVAAMGNSSLLEDLLRAGKDADVGDAKGRTALHIAASKGYEDCVLVLLKHACNVNIKDAQGNTAMWNAIAAGHHKIFNILYQFARASNPHAGGDVLCLAARRGDLDALRDLLKLGLDVDSEDHDGATALRIAMAEGHADAARFLIMNGASVDKANLDDGGSGSGAARLTMSPAELRELLQKRELGHSITIVDSPAVVPDGGSSGHSRPGRLQSTSSDSQRSPRVSIYKGHPFLRNRSSEAGKLINLPGNMEEFRTIVGEKLKVDAEKALIVNDEGAEIDSIDVIRDNDKLFVVTEEDLRRLASMDSVSSS >Sspon.08G0003250-3C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8C:7079125:7079862:1 gene:Sspon.08G0003250-3C transcript:Sspon.08G0003250-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GNRFVLLAIGVLLLLVERRRLQLQAFNTSGQQSPSSSSLCFGAGGAGMRPWSSNKPLARAFNKVHAEEEEDAQHQAAASVAPRGHTVGRHLRKISRRLRKARAANNETPAAPRRGAVDDTARQRAEAVASAIAHCKETLRRGTPRRRRLPPRLSSLSLDLWLRDRQDDIIASAADCGDARRPAPGARGCACTASPMHAVATATYSSASGGGNWNAAVTDQWCGQQGGGNARQLQRAGVSRNLRWG >Sspon.02G0029920-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:96940635:96945785:1 gene:Sspon.02G0029920-4D transcript:Sspon.02G0029920-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYMKLGSKPDVFQTEGNSIRFVTTELATDIVITVGDVKFYLHKFPLLSKSSRLQTLVASTSEESNDEVDISNIPGGPAAFEICAKFCYGMVVTLNAYNVVAARCAAEYLEMFETVDKGNLVYKIDVFLSASIFRTWKDSIIVLQSTKPLQRWTENLKVVNQCVDAIATRASMDPSEVDWSYTYNRRKLPSENGGDAHSWSGVRKQQAVPRDWWVEDLCDLEVCLYRKVILAIKAKGRTGSDVVGEALRAYACRRLFASLDHAVSNGLDCTKHRAVLEAVADLLPAEKGSVSCGLLLKLLRASCLLESGEAYRGELIKRIGAQLDRASVSDLLMPAEPGDDAMYNVHMEHPGMSKGEKKRLCALMDCRKLTADASAHAVQNERLPLRVVVQVLFFEQVRQSAAACSAAAPARSLVLPRDDGASYGGSSRSATTTATEDEQWGGGDGSSLRSVSQLSANKSGRSGGGGGGDRKKAKGGAVAPAPAPARRVLGKLWSGKASSGENSGSDDTSESPAGSLNLEETKSTPSRITRHSVS >Sspon.03G0014690-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:66407212:66409744:1 gene:Sspon.03G0014690-3C transcript:Sspon.03G0014690-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGHENEIHWLRGVRAGLEGEICCLWSLVAGHQGEIGCLLALLAGLAGDQMPPSAALCPAVVVSEKLNILHAINDDVANILGMDEMSMQYSPMSEESDDYRYYDTQVNTNGNQTDVPGNSSTSPASSPHRPQKLHTWFSSACPYPLPSCSLPAVVCSPARRGCGTEQEGRIPSSPNDMCHGGDLRRAALLRLVQMRVQSPHPCDVLPSSGHEQEQGRSDLHADELEQDQKEAVGVQLDQRPLSCPKSTQDAMYQSPINPDQHPHHDVDFVEDQITDNLRRLGYKIAATIQANKTPES >Sspon.04G0037860-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:79184420:79185643:1 gene:Sspon.04G0037860-1D transcript:Sspon.04G0037860-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKDYAKYDRVSDSKFGKRPDKDGMCELFEEHHTRVGYRNPATLVSKVLKYLYEASVARRGAHVHAESSAGRVPSPVQANVDDEGVELY >Sspon.04G0010070-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:30157037:30158062:-1 gene:Sspon.04G0010070-1A transcript:Sspon.04G0010070-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AMASSKPSLSLPFPVPAPDPSDEVVREFGPLLRVYKSGRIERPLVAPPVEPGHDAATGVQSKDVHLGSYSVRLYLPPVATADGAKAKLPVVVYVHGGGFVAESAASPGYHLFLNRLAAACPALVVSVDYRLAPEHPLPAGYDDCLAALKWVLSAADPWVAAHGDLARVFVAGDSAGGNVCHYLAIHPDVVGVQVQQEARHPPPPLKGAVLIHPWFWGSEAVGEEPADPAARAMGAGLWFFACPETSGVDDPRMNPMAPAAPGLHTLACERVMVCAAEGDFLRWRGRAYAEAVAAARGAGLGDAAGVELLETMGEGHVFYLFKPDCDKAKEMMDKVVAFINAP >Sspon.06G0002370-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6A:7591642:7592613:-1 gene:Sspon.06G0002370-1A transcript:Sspon.06G0002370-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LDFSKTKNLAAGEFISSEDISVGGQVWRINCYPPGSRREKYGDHLSIYLHLVSKPSKSVKAIFQVFVLDRDGEPSFNHTRRFMEVYPLPKGMQEWGWHRFATGGDLKLLYMVDGVVTLACGVTVAAAGKYETLAVPPPDLVSHLGGLLDCATGSDVSFVVDGKVFPAHRAVLAARSPVFKAQLFGFLADARMSSITLHDIDPAAFKVMLRFMYTDCLPGDNELGDAPAPSAMLQDLLAMADRYALDRLKLFCAKKLWEYVSTDTVGVILHCAEMYNCPGLKRNCIVFVAEEDNVKKTLLTDGFLQLVQTIPSIVAELRKKLGV >Sspon.02G0005010-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2A:15753756:15754067:1 gene:Sspon.02G0005010-1A transcript:Sspon.02G0005010-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MANALCEHFNGLLGSPAQRTRMDRLDLLDLPSLNLSSLDVCFSEEEIWAVVRDIPTEKAPGPDSFNALFYKKAWPIIRMTLSLPSTPSRPSMAGASIWLMKPC >Sspon.01G0061700-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1D:96182265:96182717:-1 gene:Sspon.01G0061700-1D transcript:Sspon.01G0061700-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFMWLLVQRHIQCRTVLQRKQVLHDCTCEICHEEDETPEHIISGCKLGNEFWRRLNMTSMLGTSADSFHTLSLQGGAPREEFSAFIALACWHLWKARNAVVFRNETLTLTQVFAACKATAVQWRFRFSKKKKHIADTWCQIFEMARQGQG >Sspon.08G0011290-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:49193344:49198446:1 gene:Sspon.08G0011290-1A transcript:Sspon.08G0011290-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MESTDKDDKTIAVTEESSNPGQNCEDEGDLSRKTESKVQIQEGDDDSEKGLNGQMNERTSSDVMECASSNEITKDILAEDKSEEPVFDGTEVPEIEEMRRSSNQSVELDSEAQGSVLNDRAVAIKNFVKEKSAIAVSTFMRRLSGKKDENEFKVEADKTVGSECMDCEKTGTDAESKPKEVQQKSEERTAWNPLNFIKIGRDFDTFVTGEALNENVPVLSEPPTLKGRIIIYTKLGCEDCKMIRLFLHQKRLKYVEINIDIFPGRKLELEKNTGSSTVPKVYFNDLLIGGLIELKKMEDSGILDENIGVLFNEEPSSSAPLPPLPGEDDESGSGKIDELATIVRKMRESITPKDRFYKMRRFSNCFLGSEAVDFISEDQYLERDEAVEFGRKLARKYFFRHVLDENVFEDGNHLYRFLDHDPIVMTQCYNIPRGIMDVAPKPIAEVASRLRLLSCAIFEAYVSADGRHVDYRSIQGSEEFKRYIRTVEELQRVEIDDLSREEKLAFFINLYNMMAIHALVTCGHPAGPLDRRNFFGYFKYVIGGCAYSLSAIQNGILRGNQRPPYNIAKPFGQKDQRSKVALPYHEPLVHFALVCGTKSGPALRCFSPGDIDKELMEAARDFLRNGGLIVDPEAKIASASKILKWYSTDFGKNETEVLKHAANYLAPAESEQLLELLASTQLKVMYQNYDWSINI >Sspon.07G0001580-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:2338621:2349345:-1 gene:Sspon.07G0001580-2B transcript:Sspon.07G0001580-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLVSQPRHSIKTYWARRNYQRLGSPSRRLKVARLGGGGRSAGSSAAASSSWKGIRLARRAAALLAAPALLLARLRDAYVDAMVALGGSGVRPCAALARSRSGAEAGLWDKRCRGRAGGAGAEQARRRRLRAPDDGAHIQHGVTPELPQCPQWRANSLENIVPENLPRPSAPRRFDSVSASAAAPDLPRHSIKTYWARRNYQRLGSPSRRLKVARLGGGGRSAGSSAAASSSWKGIRLARRAAALLAAPALLLARLRDAYVDAMVALGGSGVRPCAALARSRSGAEAGLWDKRVPRARRGSGSGSKRGGGDFERRMMAHIYSMVVTPELPCATRA >Sspon.05G0004540-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:13653820:13657919:-1 gene:Sspon.05G0004540-1A transcript:Sspon.05G0004540-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPSSLLRELLAADGFKNRHKPPDSSAPAASRATSMPLQHRRPGKPPSRSQSDVLTRSRLRQMNVDADDGSRDAGAERRRAATATRASSASLMSARRHSNKGDGDSGSGAAGARRGSASDPSAVAALDESALTALISLAAGPLKRFAKDEAFRASLRAGCASCLGDSNHRAVLDLRVHAQTVERAATEGLDPRDLKRASLKLHEMASLQGKDADAVTAEAGVPYPSLAACAHLYMAAVSKLQKRDHSAAVHALEAFCLAPREARTVLLPALWDRLFRSGLSHLRTWRDRESAAASADERVKEVEKVFVDVLDEGTRALACYYRDWLLGRTDAMALPDVPTPPSTVHASAPRCSASTSYDISSEVMFGSGSSSPVKFAYDDTMRASEEIEEEEAVFSAAAADAVTVLPDQESDGAGGEERSYNPALLAEENVPVPVSDSLDRETFEPHVEEEPNKESDAPASYPTISDNSAIDLLTLTLDDFLCPLTRQIFNNPVTIETGQTFERHAIVQWLDRGFRMCPVTDQELSSLTVPDTNRVLKRLIDSWKSEHCKHLVSESAELDVKLTVPVIDRALDSAEDISEKLDKARHLMAIGGIDFLLHKFQEGGGDEQPRVAEHLLFCIRAEGSCRNYVAIKIDGSSVLRLLQSEVFSARRTAVGLLIELVCLRRREMFELLLQGLRAETIMEAMDVLLEYLRSLPVEEQAPIAVLLLHLDALVEPNRNSTYREEATKAITHSLRCCLSDDNAVPSTRRALLLLGGHFTFSGDLLAEDWMLKQAGFIEHSRDTAASSDAVIHDKESAENDAWLRHVAAALLGSSIGIRRPFLEALSKCLGGSADAGLVGACLTTAGWLSRSLASSVDVDEDGATDTSLAAFSALVPRLKQCLAPGRPPRHRVLAAVSLHSFSKIPDCRELLMLLADGLRDHLAELAQLTWTAGQLSAELRGHE >Sspon.07G0006830-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7A:17687395:17688767:1 gene:Sspon.07G0006830-1A transcript:Sspon.07G0006830-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VFTAPFMFFAPLPVPGKTVRVRFVLQKQFSFGQSVCLVGGDPALGLWDPLNAFALKWAEESHDWILHKDLPANKPIEFKFLLQDSTGKLHWQDGPNRSFQTGETANTLVVFEDWDDVKNQRIAEEEGVASTGIVQTVVSNDSKSTMDAVLEDELQADDNQVKEDESVVAKEDKKPADGTDAPVQVDWVKKNEANPQKSMLHEETEVLDELLGKENMENSSISSTDENYAEKSGGANILSEDGVPVENGLATAYEHDLLWGWKALQQLMMSLGFKMDTT >Sspon.02G0043020-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:88609844:88614274:-1 gene:Sspon.02G0043020-1B transcript:Sspon.02G0043020-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MWKGATTARSMASMADGSDLVLPNFPISQRLPLDLYLLARSRMDLTSCSLSRAGVGTPGQGRCTWRRGHLGLTGAQRLAVVLSSAEAARAWRHSAAKGRSMPTVATLPALPGGSSQAALGTRLQGASQILGCGMRHEMDDIVLSIHVEGHMAYVLPLVDV >Sspon.01G0005200-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:12169240:12172266:1 gene:Sspon.01G0005200-3D transcript:Sspon.01G0005200-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLLLFAAAAAAAAAQGCAPANFQPGRTFQRCTDLPVLGASLYWTYHPTNGTADLAFRAPQSTSGWVAWGINTERPSSMAGSSVFVASLDGNGGAVSVLMTYLETTSPTLTNGTLKIDVLVAPVAEYTAGAYTIYVTVALPGNSTQQNTVWQAGPLSGGQIARHPMAGPNMQSTVVLDFLSGGGGTSTGAPSFEVHRPRNQSAWLLFAAMLVLFASSTATAQQQQQNCSSAKFSAGRSFQRCTSLPVLGASLYWTYHAANGTADVAFRAPSDPSGWVAWGLNTQNAGSMVGSSVFVASQDGNGAVSVLMTYLESSANPSLINNTLRFAVPAGPAAEYSGGAYTIYATVALPGNRTVQNTVWQAGPLSGGGIAMHPTAPPNLQSTQKLDFLSGSRSTGAGATKSRGLLARRNLR >Sspon.03G0026060-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:1976507:1981458:1 gene:Sspon.03G0026060-1B transcript:Sspon.03G0026060-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPRRGRSASRSSSGSSSRSPSHSVSSGSVSSRSRSRSRSFSSSSSQSRSRSPPPAKRSSPGARKGRSPSPPPKKGSPQRKKASPPAESAVLCIDHLSRNVNEAHLKEIFGNFGEVVNVELSMDRMVNLPRGYGYIEFKKRADAEKALLYMDGGQIDGNVVKLRFTLQPRQRAASPMKAPPPPPKRDAPQIEKGVSSAEKDAQQRPRESSPRRKPASPPRKRSPNRRAESPRRPPDPSPRRRPDSPPIRRRPDPSPVRRGDTPPRRRPLSPVRRRSPSPPPRRHRSPMRSPPPRRLRSPPRRPPPPRRHSRSPPRRPLRSRSRSISPRRGRGPPLRRGRSDSSYSRSPTPPPRKGPRRVSRSRSPRRPPRGRSISSDSRSSSSPPLDAAGRRNPWHCVSGR >Sspon.05G0015730-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:61935620:61942439:1 gene:Sspon.05G0015730-1A transcript:Sspon.05G0015730-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAIFGNKTAVQIDGNPGLCGGPLELHLHACHVMPIDSSKQRHSTIQRVVISLASILSLAIVITVIVVWRGKQKKNLLSLSTFGSKFPKVSYNDLARATSGFSVSNLIGKGTYSSVYKGKLFQGRTMVAIKVFHLETRGAQKSFIAECNTLRKVRHRNLVPILTACSSIDSNGNDFKALVYEFMAQECAAGGAASSAVDVYSFGIVLFQIFLQRRPTDDMFNGGMNIRKFVEMNFPDMIPQIIDPQLLEEQQDLCQESSFAMKEKRLECLLSIQKIELLRTKPSPNERISMQEVAA >Sspon.02G0055570-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:7923819:7924442:-1 gene:Sspon.02G0055570-1D transcript:Sspon.02G0055570-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAASSSRQLLLFFLLISTCFLPPPCLSLDANKEADNNTEEKVDSPELELSFSFDFSNASSYDAGDLRFEGNASVHGNLINLACDLFGQRVDGCTGRISYNHPVPFHDDDDDTAALASFSTSFTFVIKPDGEAAPGDGIAFFLSGYPSSMPPNTDGGSLGLMDDDAAAYGLRVRPVRRRRVRHLPKRRLGDPSDNHIGVDKTRNCF >Sspon.03G0002940-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:22901492:22903933:-1 gene:Sspon.03G0002940-2C transcript:Sspon.03G0002940-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMDAGLHEPCALLPGSKRDGHMPIYPQIAAAAAANGFTAEELESLLFLSPDGIASAAGGVGGSYLNVAPTTVVPPARTNRASPPRDGSAAPPSVAQPDDSEAFSDIVLGYINRMLMAEDIDDKFEHYPEHPALVAAEKPFLEILAERPSSSGGSAVESPGGSSVGNSCYSAGSCTCATATAASDAFDAVLTPALGFTSAAFLQPPQLYQDLSPESSVVEAGGAWPYDSMEFYQLQSNLLSQSSSFASSNGSGVTLSDGFESLLSSPGVMPDVGFTDFAVQSQQAMQFCRGLEEASKFLPDESKLVIDLEKPASVTSLLANIKGENRFAEVKTEKADVEAAIHRGKKHFYGDDLDAEEGRCSKHSAPAIDTDHLVREMMDKVLLCNGEMCSKGVKELREALQHDVAKNSHGVHGKGSGHGRGRGKKQPKKKEVVDLETLLVHCAQSVATDDRRGATELLKQIRQHASPNGDGDQRLAHCFANGLEARLAGNGSQIYKSVIMTRFPCTDVLKAYQLYLAACPFKKISHFFANQTIMNAVEKAKKVHIVDYGIYYGFQWPCLIQRLSTRRGGPPRLRITGIDTPHPGFRPAERIEETGRYLKDYAQTFNVPFEFRAIPSRFEAVQIEDLHIEKDELLIVNSMFKFKTLMDESVVAESPRNMVLNTIRKMNPHLFIHGIVNGSYNAPFFVSRFREALYHYSAIFDMLETNIPRDNEQRLLIESALFGREAANVISCEGLERMERPETYKQWQVRNQRAGFKQLPINQDIMKRAREKVRCYHKDFIIDEDNRWLLQGWKGRIILALSTWKPDHKSSS >Sspon.01G0004130-4D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1D:9859584:9859745:-1 gene:Sspon.01G0004130-4D transcript:Sspon.01G0004130-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLQNVVSGETQPSNGGYNYRLVITVSGGKTTHYEAFVWGILKTPSWKLLSFTP >Sspon.04G0029340-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4B:71777107:71781714:-1 gene:Sspon.04G0029340-1B transcript:Sspon.04G0029340-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSTNRKRFTKRTEGWALSVVEAAAVFPAVRSQGRTDGATARSTGGGVSRRRRGSRVRRLGVHGAGEWRGRRRGRRGGRGGVSGGVVAGTHGRGDGAFNGRWCVEAAARQPSKTAQGAWRGRTARKTAWASWRPRRCFRRCGRRDARTGRRRVQREVVRRGSGASGRRAVVARGGELARRGVGVAVRRRSGAGIAGRGRSHGQQMIGQMTGQGLQGGGERPYVSRFVARVERGGGTASGSHGAALIMNLAGPFSPQPFAAFLTQPTRPPPTNPRAFSRCRVPSRLRLRSVSGGDEWRPPTPYARCPRLHLRDLCRRDDWAAADTLHQLPRFPSWGPLPATSATSLMTTNGMSGGGKLPRKRFYRARAHSNPLSDSHFPVPISPEEVDLSQHYPQYFPADKGNDGEAAAAPQQIRFADVGCGFGGLLVGLSPLFPDTLMIGMELRDKVTEYVKERILALRAANPGQYDNISVVRTNSMKYIPNYFRKAQLTKMFFLFPDPHFKEKNHRRRVISMQLLDEYAYVMEVGGIIYTITDVEELGEWMRSCLEKHPLFEAVPEEEIKADPVFKLLSTATEESQKVARNGGQTFHAIFRRISLQED >Sspon.05G0003780-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:5A:11303723:11304796:1 gene:Sspon.05G0003780-1A transcript:Sspon.05G0003780-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKRRGDHSTSDFSDPASSTPKRAFSGRCSACSAPLPAKRPAAQQQLQKKYLHLVVNDWNRGYSIYRAGEDSFRDADADADADPDAPRPAASLLVRIVAQHAYSRSFASHGTKILAMYPAESSPGIPAFDTEALAMTVCPSPMSRGDCGTKHLYASAGGSLWAFVYPFLEVLGPEPPATEQSWSWASVESMPPFDSSRVSGYAVHPDGRTIFVSVRGYKLNPGLILPNRGDLSSTFTFDVESLEWTHVGDWILPFRGQAYYDHELDAWVGLCIHKEGTGHVCCTDVPPATGCATMPAWKLGVEVFFDKSSERHLGATLVYMDNSRFCLVESRMAKDDDFYPRLRVVKMTSFLLKYGKE >Sspon.06G0027520-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:85467034:85474366:1 gene:Sspon.06G0027520-2D transcript:Sspon.06G0027520-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPVLLLAATAATAENSMSVMPGCQQSCGGVDIPYPFGTGTGCFRKGFEVACINNNNGSAGEILVLATTDQTIRVLQLSVSPVPKARVLLPVAWQCFNSTGDVTGSYMGDVNFNPEGVYRISNTQNELFILGCNTLIYAKGVRWHAGRFSYKYYTGCVAVTNDISDPKDGACAGLGCCHVDIPPGFTDTTMSMYTGGDWSHANQEFCPCDYAFIVEKGNYTFKASDLLSTHIPNNQTIPLHVDWTMPLRLDWAIRDNGSTTSISCAQAPNKTDHEYACRSSRSECVDSTNGPGYFCNCTKGYEGNPYVVGGCSNINECIRPDEFPCHGDCKDTDGSYECKCRPGYESDGDPKENPCHPKLSGSAKRVIGITVGISVIFFIILGAGVCYILKRIQLEAIERKNGSERLKNVKTLILFTKGQLDKITRNSVLLGKGGFGEDIAKEEEDIHILDEIGIIAMKCLKEKVDERPAMASVASALVILKDSWEQNILVKKQDREAMFPEV >Sspon.07G0001120-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:2504923:2507030:-1 gene:Sspon.07G0001120-1A transcript:Sspon.07G0001120-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding TSMPPLKPDVLTSIYTGAERELGCTSTPRELSDPDDRAAMSTSESVQMAGMGDGASRARPLPEPLRLPLPPARATFVDGGRASGSPVAAAAARTAESTETPYSVAFSVPASPSGLHLGACASVRSVAPPQPVHQNAEAAALPQLLNQARYHSQPALTIQTEEPPPPLQRVRTVSRSDSTRDRRFDHFKTFSGRLERQLSNLRGVAVDIEPTAADSNSNKMISEEDTAETDDDCGGREVPTADRYFAALEGPELETLRPTEVSALPHDETWPFLLRFPINAFGMCLGVSSQAMLWKTLQSEPSTAFLHVSPAVNHALWWVSASLMTLVSFIYLLKVVFYFEAVRREFHHPIRMNFFFAPWIACLFLVKGLPRPVADVHHVVWYILMAPILLLDLKIYGQWMSGGDRRLSKVATPTNHLAVVGNFVGALLGAKMGLREVPIFFFAVGVVHYLVLFVTLYQRLPTNAQLPKELHPVFFLFIAAPSVASVGWARLCGDFNYAAKILYFTSLFLYMIQVVRINLFRGVRFSLAWWAYTFPMTSVAIATAVYSSAVTNVLTRALAVGLSGVASVTVAGVLVTTVYRAFVRKDLFPNDVSIAVTQRPKAKFGKILAHIRASGDGVKDLVFAVSRHGGGGSSGSDTNYSASESPSPMACGRRRAE >Sspon.08G0011060-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:48463462:48473021:1 gene:Sspon.08G0011060-1A transcript:Sspon.08G0011060-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVEPSAATTGDAPISYSPAAPLATPATPGASETASFTISDILDTAAVVSAEADDGSDDSEDASRPGASEELLREVLEFLSRPSSISNQMALDALSLVLPKPVAKLGAQMGRCRDVAAAILKFFVSNCSPRDMLSILCEALDAPMELPNGLSSFVLLLDALAKVLTLIQRRHIEQVKVALPVVLKVMHAAVSECVEEHGSAAVDLFNAAHGIGNAIQEMCKSMALVSRSRQQDILSACGSVVFQSFRFLKSSGFTYLGLLTGSDVTAAIDKLSKEEDADFVEYFSFAMDGAALSGVVWTHMYDDNDMSKYAGEQLEVALKEVQGNHMKKWEAINMLKHVLSSIHYPWIIKSHGLNLMLILAGENHVEEINNHVDFTCYAPRIFATLKTAILLDLVREEVSRESRRPDNDCVEDDGFRGNGLPHWASHALELVELILRPPEGGPPCLPDHSEQVISALNLLRFILIIDSRGERSGKLFQKETLHKVHLEWLTPLRPIAAEIQLENEKDADEITNEIVCTLNLVQLVLYRCIELVEEKLKGC >Sspon.03G0006530-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:25209407:25211660:-1 gene:Sspon.03G0006530-1P transcript:Sspon.03G0006530-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSLSSPAASRTPNLKSAPGPSPSPSTRRAAADAASAAAAADSKARFEAYNRLQAAAVAFGEKLPIPEIVAIGGQSDGKSSLLEALLGFRFNVREVEMGTRRPLVLQMVHDPTALEPRCRFQEEDSEEYGSPMVLSTAIADLIKQRTESHLRKIQAAVSSKPIVMRAEYAHCPNLTIIDTPGFVLKAKRGEPESTPDEIRSMVKSLATPPHRLVLFLQQSSVEWCSSIWLDTLKEIDPTFRRTMIVISKFDNRLKEFTERWEVDTFLSASGYLGDNIHPFFVALPKDRGTISNEEFRRQICQVDIDVLCHLRDNVKGGFNEEKYGSYIGFSCLKRYLESELQKRYKEAAPATLALLEQRCTEVSMDLTRLDSKLQATSDVSQLRRSAMLHAVSICTHLHALLDGAADPAPEIWGKTTEEEQMHSGIGSWPGINMPVKPANSSLKLYGGAAFERVMHEFRCATYSMECPQVSREKVANILLAHAGRGGSSRLTEAAAEIARAAARSWLAPLIDTACDRLAFVLQSLFDLAMERNRSKDSQSYILFNMFVK >Sspon.02G0000300-1P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3A:55324276:55324624:1 gene:Sspon.02G0000300-1P transcript:Sspon.02G0000300-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLESEARLFPSRSVPCDGESCSNTSSGPMEKGPSKATALAATGLATRALTAATHVLVTAAALALAPVTAAPLELHRLFCSPGFNGFWWCLTVTG >Sspon.06G0000530-3C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6C:536723:540342:1 gene:Sspon.06G0000530-3C transcript:Sspon.06G0000530-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNPKEIENTEYNEFYKKTFNEFLDPLAHTHFTTEGEVEFRSVLYVPGMAPLSNEEIMNPKTKNIRLYVKRVFISDDFDGELFPRYLSFVKGVVDSNDLPLNVSREILQESRIVLTVDIFFVVQVRIMRKRLVRKTFDMFEEIAEKEDKEDYKKFWESFGKFIKLGCIEDTGNHKRLAPLLRFHSSKNEGDSISLDQYVESMPESQKAIYYIATDSLQSAKTAPFLEKLVQKDIEVLYLIEPIDEVAIQNLQTYKEKKFVDISKEDLELGDEEEETKETKQEFTLLCDWVKQQLGDKVAKVQISKRLSSSPCVLVSGKFGWSANMERLMKAQTLGDTSSLEFMRGRRIFEINPDHPIIKDLSAACKNEPDSTEAKRAVELLYEAALISSGYTPESPAELGGKIYEMMAIALGGRWGRSDTEKAEASTGEASAEADSSEGTVTEVIEPSEVRPESDPWRD >Sspon.04G0000300-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:1586860:1589982:-1 gene:Sspon.04G0000300-3C transcript:Sspon.04G0000300-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMEPMLKVVLGSAAFAVFWVLAVFPAVPFLPIGRTAGSLLGAMLMVLLGVMSADEAYAAADLPILGLLFGTMVVSVYLERADMFRHLGRLLSWRSQGGRDLLVRTCAVSALSSALFTNDTCCVVLTEFILKIARQNNLPPRPFLLALASSANIGSAATPIGNPQNLVIAVQSGISFGQFVFGILPATLVGSVVNAAVLLALYWNQLDGGCKPAGGRGAEEVVAVPTEVVEEEDVTSHRFSPATMSHLLRRGSQQQGAAGYDEAVPVPVVAHQDPVKPANGVHHRRNGTTTAAVVNGKDPVNPLHEDDPDADADADADDWNSKAWKTCVYVITLGMLVALLLGLNMSWSAITAALALIVLDFKDARPCLEKVSYPLLLFFCGMFITVDGFNKTGIPSAFWEFMEPYARIDTPTGTAVLAAVILLLSNVASNVPTVLLLGARVAASAAAISPAAETNAWLILAWTSTVAGNLSLLGSAANLIVCEQARRSQQYGYTLSFFSHLQFGFPATLVVTGIGLMLIRTY >Sspon.05G0035690-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:78607650:78608143:-1 gene:Sspon.05G0035690-1C transcript:Sspon.05G0035690-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MWLSAPCDRCWSGATAPTGSRSNRQARREAPHTGSPVTDSTVPRTMAPPVTLPFHPSLSRSRAPGARTPATSRRPNHLTIRARLNDPCTWSTRDFGGPHTRSRCPVPVSTAAGSSRPCTLRMSP >Sspon.01G0002320-4D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:6391026:6392583:-1 gene:Sspon.01G0002320-4D transcript:Sspon.01G0002320-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPLLLLIELFSILCFLTLYCCHLRSKKTSPLVPTEWPIVGQLPGLAANIHHFHDWATALLAGTGYNLEGKGVPGQRYFVTCDPANVRHIFTSNFANYPKGHEYAEIFDVLLGAGIKNSDGESWRRQRVKAQMLVTAPRFRAFTSRCSRDKVEKSLLPFLALAADEGRPYDLQDAFPRLAFDLTCTLVFGVDTGCLATGLPEVPFMRATDVALETIFLRHVIPMPCWKLMRRFDVGPERKMAAARRTIDSFVVEMIARARRRAGKVNEGAELLSSFLCHNNTSSDEETTDEFIRDTTVNLLFAGRDGRGHWALVLSAVVAASRDGADSAVDTSGSGMVTFDTSEVSDLVYLHAALCESLMLYPPVPFALKTAVAADVLPSGNELMAGDRVLFSSYSMGRMEGVWGKDCMEFRPERWLNDKGGLRYEPSYKFISFNTGPRTCLGKDMAFVQMKTVAAAVLWNFAVEVVPGHVVEPKLSIILHMKNGLAVKVRRRDDIRRYG >Sspon.07G0004520-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:11354807:11359747:1 gene:Sspon.07G0004520-1A transcript:Sspon.07G0004520-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MIDRIMDFPVDPIMAAKAYKYKTELLLKEYLLADSYVLYTSVLVGLLMCKLSYDFTHIISSVYFKGYASLTKIKKIEWNNRGMSTVHAIFITMMSVYLVFLSDLFSDQLDGPVTFRSSHLSNFTLGVFHHILCLVCAVYAMLSGEGQLYAYMFLISETTTPGINLRWFLDVAGKKNSKAYTVNGVAMFVTWLMVRIVLFIYLFYHILTNYDQVKQMDTFACVLISVAPTILFTMNVIWFSKIVKGLKKTLAKRHAE >Sspon.05G0002290-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:6304322:6308834:1 gene:Sspon.05G0002290-4D transcript:Sspon.05G0002290-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable cysteine protease RD21B [Source:Projected from Arabidopsis thaliana (AT5G43060) UniProtKB/Swiss-Prot;Acc:Q9FMH8] EMGASTTSLAAAAALLLLVSLAAAADMSIISYGERSEEETRRMYAEWMATHGRSYNAIGEEERRYQVFRDNLRYIDGHNAAADAGVHSFRLGLNRFADLTNEEYRATYLGVRTKPQRERKLSARYHADDNVELPESVDWRTKGAVAEVKDQGSSGSCWAFSTIAAVEGINQIVTGDLISLSEQELVDCDTSYNQGCNGGLMDYAFEFIINNGGIDTESDYPYKGTDGRCDANQKNAKVVTIDSYEDVPANSEKSLQKAVANQPVSVAIEAGGSAFQLYSQGIFTGKCGTALDHGVTAVGYGTENGKDYWIVKNSWGSSWGESGYIRMERNIKASSGKCGIAVEPSYPLKEGANPPNPGPTPPSPTPEPSVCDNYYSCPESTTCCCIYEYGKYCFAWGCCPLEGATCCDDHYSCCPHDYPICNVQQGTCLQGKDSPLSVKATKRTLAKPHWAFSGNTADGMKSSA >Sspon.05G0006920-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:15496057:15499270:1 gene:Sspon.05G0006920-2B transcript:Sspon.05G0006920-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAFFSGLDSRLRVAVKVADSIMVGLVNAAMEDAYKKSLWKDGDLERLFQKLRFAELAIMQLEWCLRFVRGEMEADDGHEELLDDLLETRDRIQDRLDEAELAVAQTDCDYMRWKRDELDPSRRCRETPSAVDRRGGEVDMGVGAFVELRGSVGRKMTRMRARLEDASSELAALMQKVSGEASPMARLQDPGHEGDGVKGVSGFYSMAQLLMEFQEMVLDAGVVRDSVASAFDAMERSVSAQRTTMEEQRWLMDAENEMYRAVVEGFLREINVESTKCDHSEGEGCPTPTLHHIRGATENSSDEFQSLKDVTSELHSARHISAEKSECYNSEEHRISQEEAERLTERTIDSEIRCELQQVLYSAVFRDLLKKLWVQAYGAQKLTEQRDEMEIISNLQYEIHSTMFKDLMKNLGVESVDHLIKTSIEDEVRTALLAKTMNAWTITTEMVHSERLIKEEINHIVFGGLINDLVNGQNLSVVKYQHQNRPSDNLGRFGMIDRIEQLEKGKIQVNVTAEDEGVGYDQHRVLENQEVLGIIDNCDRQNSKGSDKQAEESTDRDDVYDSDLDKQLSNLIEQVNSLKTNELIYRRAFTRRCCDLQTAEAEVDLLGDEVELLLGLLSKTYRALEHYSPVLQHYEGDKDSKKG >Sspon.04G0027580-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4B:60218452:60219546:1 gene:Sspon.04G0027580-1B transcript:Sspon.04G0027580-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIHQWIGQRLGAWGVELLEVTHTPITEDRTPIKEFILDNLLGLGTRKKWDIASSRGRQALEGWMDNHRAPDSGRLRKALVETTIGSDVDFPTSVLIWHVATDICYYFRDNDSASTNDSDDMNKVKKHKQMSRELSNYIMYLVFKSGVMLTTNSQLVHDKAHDEIRTLSGQDQQGQPQIALDEKAAVMKLFQASKKEEQQDHQSVVDTETHQEAANQEILLERIEAFDSPVRETFLKHIEANNKKQEHQQDQLTVDTEKRQEPPSNNDDNAGADIHLQKVVQSAEAGTTPVLPRACLVARELLTINDEAERWSLIANVWAEMLYYVAPRCGCAFHYEHLSTGGEFITHLLILMKFLGPFLPPP >Sspon.08G0017930-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8B:3788714:3793843:1 gene:Sspon.08G0017930-1B transcript:Sspon.08G0017930-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSLFVVLKKIALSLGEEALGKIGTEMVVQVAPIMTDFEQSMKQIEGELSVLQAFIGQVSAQRVGDKAFDAWLDQVRDVAHEVEDIIDEYAYLTSQAALDTSSFFKRKFHQIKNFVAWQKFPSQISQVEARIQRLTEMRNRYDLSVDSAYLTDHSEIVGNVDEIGSLYPEDYKLKRKLISKLWISEGLVEERDGTTMEEVAQCYLTELTQRSLLQITERNACGRARTFVMHDLVREVTSIIAKNEKFGIVYGDVGISKVHDFQQRSLNCFGATKFLGNICHLKNLQALQIVSANKDLVSQLGNLKLMRSLAIVEVRQSYIAELWNSLTKMPNLNRLVISACDMEEILDLKMLKPLSNLIFFKLAGKLEAGNLKAVPYGIKYIRTLHQMYLTDMSKEFLGSLQGSERPIVQHIRNIHIFESSDSEAGWFLKLKSLQLADMEHLNYIEIEDGTMKNLHLLELGGLRNLKMVLAKFSKQDGCPCAEHPFSLYSGWLHFFGCFSFVFAPPHLLPEVGFLPPPPPSRSGATNRGD >Sspon.03G0038890-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3C:8368114:8368731:-1 gene:Sspon.03G0038890-1C transcript:Sspon.03G0038890-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPTSGLATPPPSWIRHHCRAGTHRRRRRIRTWTRSPWSPLQPDLWRRWRSSQIWCGPRWIRLLAVDLPPPLLVRPPPPLFAGEGGEGEGERATPCFAPPLPVPGAAATIALGVEKGCGSRLEEWRPTSSARLLLLLRSPATAVEGERERRKSEGGVGEEGREREGVREREGRWSGGGASAGEGGVALARVVREREGVRRRSGSV >Sspon.05G0029070-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:70260880:70261564:-1 gene:Sspon.05G0029070-3D transcript:Sspon.05G0029070-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGTLGYLATPEWLTSHITEKADVYSFGVVMMEIISGRKNLDTSRSKKSFHLITLLEEKLKSDLLVDLIDMCSSSDGQAHKQEAIQMMKLAIWPEMSEVIKVFEDTMRAKTNIDHNFVVTNPASFGAPRIAGMSAPPLASEVSLGAYFILFQVVL >Sspon.01G0014330-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:40396791:40407512:1 gene:Sspon.01G0014330-1A transcript:Sspon.01G0014330-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMAVSATDEEQYGKNDNHLGLGLSLSLGIATAAPVEVEPPPPPPGWQQQQQQQRAISVAPISSLLPAPQWWNGPAGLFFSPSSGMMDPSLERKHQHQPQPPSTCHSHEMPFLRLRGIDVNRAPAAGETGRRGSCSEDEEPGASSPNSTLSSLSGKRAAPARSSGEVDREADHTPRAGGGGSDDEDSGAGGGSRKKLRLSKDQAAVLEDSFKEHNTLNPKQKAALAKQLNLKPRQVEVWFQNRRARTKLKQTEVDCEFLKRCCETLTEENRRLQREVAELRALKLVAPHHYARMPPPTTLTMCPSCERLASAASADEAVAGRTAPTGPWGPLPVRPVFVDGPVRRDDGSISGEEASASAAAAVHVPQPRDAVPAAAWDRREPGPAAGETGRRGSCSEDEEPGASSPNSTLSSLSGKRAAPARSSGEVDREADHTPRAGGGGSDDEDSGAGGGSRKKLRLSKDQAAVLEDSFKEHNTLNPQKAALAKQLNLKPRQVEVWFQNRRARTKLKQTEVDCEFLKRCCETLTEENRRLQREVAELRALKLVAPHHYARMPPPTTLTMCPSCERLASAASADEAVAGRTAPTGSWGPLPVRPVFVDGP >Sspon.03G0037570-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:99504528:99507510:1 gene:Sspon.03G0037570-1B transcript:Sspon.03G0037570-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEEATQLKNMYREKGQQEARLKMKNNYLEKEKSALMRTSSSQHNQDGALEITVSGEKYRRTHEMTIRSNC >Sspon.02G0020310-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2A:66373573:66376992:-1 gene:Sspon.02G0020310-1A transcript:Sspon.02G0020310-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MECNKEEASRAKDLAVIKLQEADYAGAKRIALKAQKLFPGLENISQLLTVCEVHCCAAVKINGETDWYGILQVETTADDMLLKKQYRKLALLLHPDKNKFVGAEAAFKLIGEAHMILTDKVNRSRHDSKRNSVIPKSAPKKRGRPSKKTDYVAKRANKENTDAGYSTFWTICLTCGTKYQYPYSLLMKVLWCQICSKGFLAYNLSKKPSVGVEASGFGMQHQMFPPNQWTPFANQQHNYQSVPTQQNPLTGHQAPVPNQQQQPRNVSGKQTPDINQQQRSQKFSFSSGPKNVANSHPWGGSGMQQEMCPPSEPTHVSDQKLYYQWAPCQQNPVNGHQTPVTDHQQQSPKVVDKNTSVTTQPQSTKPPFNSGSKNVASSQGATFPNNNATANSNLMAEAGACNREKITRPSFNDENGEDRTKSPVVNSDKVHLVDEQMKGKEVATGSSHPVAINGSQVATKDVLTAASADKTSGQHPCIASQQRNNVIVEDGSDGCGKVSDHLPDSPAKKRIRKEHSSYTGGKSDHTTENEVAEANSQHKYSIPSKEKMTNEKEEVISGLNHDLLQGTKRKQQTASSGSGSDAAARSVNNSIPCNVTVSCPDSDFYDFEKNRDADRFTVDQIWAIYDDLDGMPRYYARIKQVYSPNFMLQYTWLEHDPLCDAEKEWSSKELPVACGNFRVGTTLLTEDTKMFSHVVSWTKGRKRNRYEIYPKKGEVWALFRGWDIKWSSDSDDHRHYDYDIVEITSDFATGLGTYVVPLVKIKGFVSLFVRSSNEAPFLIPSGNTLSFSHSIPFHRLAETERKHIPNGALELDTASLPSDLEKAFTPVNLDSSFMSTGDGNTACNVSSTSSCKVPVGKTEQSQDGTGTDVKDEVEKLNQSTKIEQDNGSEASVIDHCGDGWNDSSPPESPTSFCYPDTEFCNFTSLRSFDKFKKGQVWALYCDTDKFPKYYGLIKSVDSEDCRIHIKWLEHCPCEQVEKRLAQDGLSIGCGIFEVSRQSEIYDCTEVFSHSMEVTLTGKGKKYEILPCTGQVWAIYKNWSCAWSFEDYSRCEYFLVEVMEISNVNITVSCLTKVEGFSTVFMPEQKGESRSSMRIARSDLIMFSHQIPAFRLTNENDNLCGYWELDPASLPEVLLVRKTK >Sspon.08G0023130-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1C:35237870:35239156:1 gene:Sspon.08G0023130-2C transcript:Sspon.08G0023130-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALRNALLNELATRAVSFLVSTCGSRLMPVPASVREREEERLMHRLRLLLLRSATIVEEAEGRRVTNRGVLRQLRVLCDAMVRGHYVLDTARYDAGGGDRREEEDGSKKEVVPAFASSRSNPAKRARCESSPETTATADPARRRASSFQLQRMVHSLEDIIAGAKELAVFLTACPPMSCRQPYSAYLFLDKCMFGRHAERDQVLEFLLQAEPPEPVTAANPGPGVLPIVGPALIGKSTLVEHVCNDERVRSHFSLILLHAAAGGAGLGGLETLATTLRDNCATKHQNNTTEDERWLLVIELSGDVEDKAWNSLFYSRGRCMPWGSKVILTSRSEKIERFGTARAVRLRCLSTEAMWYFFKLSAFGSADPDENPKLASVAMDMAVEARGSFITANVVAALVRADLSSQQLWCKVLAAVRHYMRKNVVLF >Sspon.02G0002620-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:8523031:8523976:-1 gene:Sspon.02G0002620-1A transcript:Sspon.02G0002620-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MIAAKLAVVAVLALLGSASCRQAGFRNGNGGRGGNPIPVTQPPNNHPPATTPKPKPPASPDPTLLPSGHSAATTYPPKTSPSPPPPTAAYPPTSLSPPPTTPTYPPTSQSPPPPTATLTYPPTSPSPPPPTPPPPPSSPPPNSDDAGKKLKVGYYENKCAIVRKHVSGFDDGIKAGLIRLFFHDCFGCDASVLLDPTSTNQQPEKFGIPNFPSLRGYEVIDAAKDELEKACPGKVSCADIVAFAARDASYFLSGGRISFDMPAGRYDGN >Sspon.04G0026370-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:56994673:56995143:-1 gene:Sspon.04G0026370-2D transcript:Sspon.04G0026370-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding PSTPSTAAAGARIFPNSTLAGNGSSTASIHGAPRGPGPPKSHPHLTSRTASNRRRSRRIGPRGGAGPPIRARSGGIGAVRGRWRRRSGGRRRERGTSRAWEPRRWVGVGEERERGRGGSAEVYIVFGKIQGRSGGQRPAHALAAPGELSARGFLGF >Sspon.07G0007370-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7A:19454410:19455061:1 gene:Sspon.07G0007370-1A transcript:Sspon.07G0007370-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTCRADASARDTRGRGIGGSHLPSFLTHVYGLPLTPIHVREGTGYDGPAQVLRTSIEKKTKQRRRRFKNTGKKTM >Sspon.04G0001480-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:2403782:2404695:-1 gene:Sspon.04G0001480-2B transcript:Sspon.04G0001480-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AMPHFTAHEPNVPTPISRASRFLPLYNFRRPPLRPFTLLGFSRRRRCRCRCCIFVSDATMVTASPLPSFPRGWKTFRIKKKLAKKMRQNRPIPYWIRMRTDNTISAGTGAAPSSASERGDWPSAALEG >Sspon.04G0029550-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4C:75733386:75733520:1 gene:Sspon.04G0029550-2C transcript:Sspon.04G0029550-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding QVSFLFLPESQNVKGVFDAAIKVVLQPPKAKKKKKAQRGACSIL >Sspon.01G0003030-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:7673089:7678097:-1 gene:Sspon.01G0003030-4D transcript:Sspon.01G0003030-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTAAPAAGYGADGVYRSPRPAVRIESDPGLSLNDLLFRRADACPSALALVDSATGQSLTFAAFRSAVLTTAVALSSRAGVRRGDVVLFFAPNCFLYPVCFFAVTALGAVATTANPLYTPREVAKQVTDSRAKLAITVSELLPKIVKLGLPTILLDVDVDGGAASATASKPLGVSVTLYSDLISGARETEYRRPPTKQRHTAALLYSSGTTGASKGGPNVFLCFLPMFHIFGLSVITFAQMQRGNSVVVMSRFDMDSVMAAVQRHRVTHLFCVPPVMIALAKVGSVGKYDLSSLRFIGSGAAPLGKDVMEGVAKNFPEAVIAQIAPAELEGLLLSHPEILDAVVIPFPDAEAGEVPIAYVVRSPVSSLTEVDVQKFIEKQVTYYKKLRRVTFVDSVPKSASGKILRRELIAKVRSSKL >Sspon.03G0027440-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:5808836:5810049:-1 gene:Sspon.03G0027440-1B transcript:Sspon.03G0027440-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLKLYGMPVSPNVVRVATVLNEKGLDFEIIPVDLTTGAHKQPDFLALNPFAQIPALVDGDQVLYESRAINRYIATKFASSGAALLPATPSAKLEVWLEVESHHFYPNASPLVFQLLFRPLFGGAPDPVVVDKHAHELAKVLDVYEAHLASNKYLAGDHFTLADANHASYLLYLTKTPKAGLVNDRPHVKAWWEGIAARPAFQKTAAAIPLPPPPSA >Sspon.01G0050010-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:118034120:118036798:1 gene:Sspon.01G0050010-1B transcript:Sspon.01G0050010-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPTSPPAFPASTATSSVSRLRPLHPSLPRLRFWPAPVAAAGAVPSPIALGPGCRPLPGIIRCRAAAGPSPPSSEPPPPSPHGWQEKLSSLQDRARIFFAVLFWMSLFFWGSAWDGSNNSGGKKRQRFRKKSK >Sspon.07G0012330-3P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:42020956:42022903:1 gene:Sspon.07G0012330-3P transcript:Sspon.07G0012330-3P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTKRCCLLVATLLAALVSVRARLDVGFYNKTCPSAETIVQQTVAAAFGNNSGVAPALIRMHFHDCFVRGCDGSVLIDSTANNTAEKDSAANNPSLRFFDVVDRAKASLEAQCPGVVSCADILAFAARDSVVLTGGLGYKVPSGRRDGRISNATQALSNLPPPFFNATQLVNNFASKNLTLEDMVVLSGAHTLGVSHCSSFAGIGQRGDRLYNFSGSADGIDPALSKAYAFLLKSICPSNSSQFFPNTTTFMDLITPEKFDNKYYVGLTNNLGLFTSDAALLTNARMKALVDSFVRSEAKWKSKFAKSMVKMGKIEVLTGTQGEIRRNCRVINPSSVTDVLGSQSGSSGFTGVAAS >Sspon.01G0030790-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:108380518:108381368:-1 gene:Sspon.01G0030790-2B transcript:Sspon.01G0030790-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding QGVYSEVYRVLKPGQCFALAEWCLTDRFDPNNAKHLTIKAEIELGDGLPDIRTTRQCVQAMKDAGFEIIFTRDLAEDFPCPWYQMIAPNDFSWKSFRCTRPGRMVTRAIVSTLEFFRIAPAGSMGVYNFLMRASEGLLKGGQEGIFTTAFFVLGRKPLKETEIVKGNL >Sspon.03G0015390-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:72894776:72895218:1 gene:Sspon.03G0015390-2B transcript:Sspon.03G0015390-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VSSGGRTIKLRTRSSAKVKDWVTAINAARRPPEGWCHPHRFGSFAPPRGLTEDGSVVQWFIDGHAAFDAIASSIEEAKSEIFITDWWLCSELYLRRPFHFHGSSRLDILLESRAKQGVQ >Sspon.01G0007980-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:66210900:66216033:-1 gene:Sspon.01G0007980-1P transcript:Sspon.01G0007980-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAASEGNLSPALPLATLIGRELRGDGTEHPHVRYGHSGFAKRGEDYFLVKPDCLRVPGDPCSSFSVFAVFDGHNGVSAAVFSKEKLLEHVMSAVPQGISREDWLQALPRALVAGFVKTDIDFQRKGEMTPTHRHLVILGILAMPLGIWANLAEKMLTLGTGAGETSGTTATLVVVDGFTVTVASVGDSRCILDTHGGLVSLLTVDHRLEENAEERERVTASGGEVSRLNLCGGQEVGPLRCWPGGLCLSRSIGDTDVGEFIVPIPHVKQVKLPNTGGRLIIASDGIWDALSSEIAAQACRGLPAELAAKLVVKQALKTSGLKDDTTCVVVDIIPSDHCSTPTALSPKKNQNKLRSLIFGRRSHSSVGKLSKSASLGSVEEIFEEGSAMLGRNFPSKANLPPFRCAICQVDQEPFEGLMTDNVGGCCSTPSTPWGGPYLCSDCRKKKDAMEGKRSNHSTTCR >Sspon.01G0035870-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:15348034:15353619:1 gene:Sspon.01G0035870-2P transcript:Sspon.01G0035870-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMVPNGLLPSASAGVTRRLDPERLAVAEDRTAELIARIQPNAYSEGRRLAVYHYVQRLIMNCLSCQVFTFGSVPLKTYLPDGDIDVTAFSNSEELKEIWANLVRDALEREEKNENAEFHVKEVQYIQAEVKIIKCLVENIVVDISFNQVGGLCTLCFLEEIDNLISRNHLFKRSIILIKAWCFYESRILGAHHGLISTYALETLVLYIFHIFNNSFTGPLEVLYRFLEFFSNFDWEKFCLSLWGPVPISSLPDMTAEPPRMDSGELLLNKSFLDTCSSAYGVVPRTQENQGQPFVSKHFNVIDPLRANNNLGRSVSKGNFFRIRSAFAYGAKRLGKLLECPKEDLIAELNQFFTNTWIRHGSGSRPDVPTPSLVDVQPLKVVPSVVSGSQRSVTAFKKKVENPKLHANQDNLRPNQDNLTEVGHIYTDPSQPIHKSDIHYRNLPRAVNPSVTHVQHQKNYTPQGNAKVSEQLERNNSAGLMQSERDKRVPNGLFVNDRNGQNRSRFARTRSSPELTDSSAEGFVEGGLMQLVWKNLWVLITAAEETSWFQKCLATTALSLHRMNQYLP >Sspon.04G0016440-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4A:60446760:60450955:1 gene:Sspon.04G0016440-1A transcript:Sspon.04G0016440-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNSDFSVDGVLTDFPVTASGAVGKNYSMLELEGAKTVHAWLILRASLYPPGKSRPLIITHNGASGMFAGSTDLAYQEAIKDAADIIDCTVQMSKDGTAFCMHSADISSSTTAATAFASKASTVHEIQNKSGIFSFDLSWSEIATLKRLQRNPLAKNAGKLMTLPQFLDLAKASNITGILIEIEHASYLAKRGIGMVEAVSSALTKAGYDKETKQQVFIQSDDSSVLEAFKKFTTFRRVLNIEAKISGASKPSVEDIKKFADTVRIHRNSVAQITGYFMTHFTDTVGSLQAANLTVFVG >Sspon.01G0044770-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:85139059:85143789:1 gene:Sspon.01G0044770-1B transcript:Sspon.01G0044770-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAVEAVVAAGVEPFPTVDKCDASGLGAHSSVVADLDGTLLRSRSAFPYYALVAFETGGVPRLLLLLLLSPLAAALRFLLASESACARVLVFGATAGARVRDVESAARAVLPRFYAADVHPAAWRVFSACSRRRVVLTATPRVFAEPFLRDCLGADAVAGTELATWRGRATGLVDARRGGVLVGARKAEALREILAADGGGGDAPDVGLGDSRSDYPFMSMCKEAYMVPRAPVEPPVPMDQLPRPVIFHDGRLVRWPTPLVALLVVLWFPVGLALACLRIAAGALLPMPLVYYAFWALGVRVLVRGAPPPPRAERATGRSGVLFACSHRTLLDPIFLSAALGRPVAAVTYSLSRLSEFLSPIRTVRLSRDRATDAAMIRDLLAEGDLVICPEGTTCREPFLLRFSALFAELTHEVVPVAMENRMSMFHGTTARGWKGMDPFYFFMNPSPAYVVTFLNKLPPELTCAGGRTSHEVANYIQRLIAATLSYECTSLTRKDKYRALAGNDGVVATPKPIVAS >Sspon.06G0011040-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:59835707:59835929:-1 gene:Sspon.06G0011040-1A transcript:Sspon.06G0011040-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPFLVGTANYRVPNVRSLAQRGIDVARRYEEAYRRKPATSSDAGAGTTSGGSRKKKAAAVQVDMDDDEE >Sspon.01G0015950-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:53163739:53167706:1 gene:Sspon.01G0015950-2B transcript:Sspon.01G0015950-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSHLPNFYTHSELQMTMLSNKVQPSSRPLLCLHLLILPCLCLLFSQAHALHHGDTPLRFQKMALLHWKSTLDSLPPMMSSWQDNTGPCNWTGITCMSMRHGRRPTSRVVTNISLPDAGIHGQLGELNFSALPFLTHIDLHNNSLNGPIPATVSASSSLQYLNLHHNHFSGNIPYEIGGLQSLRFLEVSFNNLTGPIPASLCNLTSLTRLVIHQTMVSGSIPNDIGRLVNLQQLQLSNSSLTGGIPKSLENLTQLNFLYLFGNELSGPIPTELGKLVNLQELYLSTNELSGPMPTELGRLINLKELDLSTNTLSGEIPVSLSNLTEITLFLLYKNQITGSIPPGLGKLINLQQFDLCMNHLTGTIPTFLSNLTKLSELGLCKNGFTGPIPLELGRLSNLQILFLFTNQLSGFIPASLGNLSNMQHLSLEENQLVGTIPKTFGNLQNIQNLLLYTNHLSGSLPHEFENITGIVQLDLSNNSLSGPLPSNICTGGRLELLMAPLNMFDGPIPRSLKACTTLVRVRLDGNRLTGDISEQFGVYPQLVKISLSSNRLSGQIPQNFSSCPQLEVLYLSENFFTGPIPPSLAKLPNLVELTLDSNRLSGEIPSEIGKLRNLYSLNLSSNQLSGSIPPQLGNLSNLGFLDISGNNLGGSIPNELGDCIKLQTLRINNNNISENLPAAIGNLANLQIMLDVSSNKLSGALPQQLGQLQMLEFLNLSHNQFSGSIPPSFTSMVSLSTLDVSYNNLEGPVPEGHLLQNASVDWFLHNNGLCGNVSGLPPCHSNSAQSYGHHKRRLLSLVLPIALVVGFTVLAITITVTILASNKRKPQENATSSGRDMLCVWNFDGRLAFEDIIRATENFNDKYIIGTGGYSKVYKAQLQDGQLVAVKKLHSTDEEVNDERRFRSEMEILSQIRQRNIVKLYGFCCHPEYRFLIYDYIEQGSLHRILQNEELLSYTSVVTEKCDVYGFGVIVLEVVMGRHPENLLHDLASSSLEKNMLLKEILDQRSSLPTITEEEDIVLLMKTAFSCLQASPQARPTMQEVYQALTYRQSSSSSPGDFIA >Sspon.03G0025190-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:76187910:76191534:-1 gene:Sspon.03G0025190-1A transcript:Sspon.03G0025190-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTVAAQGGSDKPALRKPVFTKVDQLKPGTNGHTLTVKVVSATPVPGRARPGAPAAAPSRAPRIAECLVGDETGAIVFTARNDQVDLLKPDATVILRNAKIDMFKGSMRLAVDKWGRIEATEPASFTVKEDNNLSLVEYELVNVAE >Sspon.01G0029390-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1A:102238871:102244778:-1 gene:Sspon.01G0029390-1A transcript:Sspon.01G0029390-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDRAAARAQAASSDPPPPDPPLRQPGAADGKEVTSAGAAAGLPPLPRTGDADEEDALASPAGPPGERCRAMMEVVAKDGAGGKWKVTKLVVEHSHELQVAPGDVAATVPAVGMQFDSVDDAKGFYYGYGERVGFKARMGSNRRSVGDGEKILQRFLCWKGNCANRSKGKDSDAGKEAVEVVAEGTSAAAAPGKRKREPYKTRSHNPVIEVEKGVGLGGAGNELELQNGKRSRRGRSKKAAVEHGEESVVGFEAEKEVAEEASAASDGDGEEVEGGEDQEGVEEEVEVEVKEKRGRGRPRKAVMEDDALQARVLRELGVRASQYSNEERKKILNKYRSKRQSRPASSRPTKIASRQALAERRKRGDGGRFLSSEEQLPSQLPSERRSKRLEKQNLKMEKAESKEDETMEAEPDPEIEVVPGPGGEPKVGMVFLNEDKAYEFYVNYAGTAGFSVRKGWLDKTAKNVTKSRAYVCSKEGFRPKSASIESKKPRPETRTGCQAHMTIKITASAKYVVTEFVADHNHDLEIPLVDIQILKSQKLLAKVQQPPDPPKVILIPNEYKNYTRTKRTKDMQLGDTQAICEYLHRMKGENPSFFYAIQVDEDDQFANVFWADAKSIMDYNYFGDVVFFFLAWDHHRFSLLHLYLNATELFRDTFQSSETFASDFSRWLYECEEDDFYSIWEILSEKYNIKDNEWLCKLYEDRERWALPFGRDTFCADIATTLRSDNTDTILTDLLKPQIDLQNFFNNYDKFLEEKRLAEQQADYLGAQIAQRVAPLHLLWLAANSYTPTLFEMFRMEYEQISKCMVYSCGEIGPISEYQVTVKDRPRGQFVRFDSTECMVVCSCKKFEFMGLLCCHVLKILDLRNIKELPPHYILKRWTKDAQSESPENYGFATIDEDPKFSLSKRYNTLYQNLYKIAAKASESVEAYAFLEGQYEQLVEQVEVLLQAKLHDKSSLSTVLKGNQPNLLNSEVSSIEHRRATGKKIKNTEVRRQQQSPLDPNKKKKGRQGLLEPEEIEIPLRVDPPAVSNDIQNHLRTPTNQFLAPSHMMQAPYVAQQFGLGSLQGFPGMSPFGQAPPPDIQSLQFLSSNPQLGHQTTDQGQYTIPVWDFL >Sspon.03G0000320-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:6318851:6325328:-1 gene:Sspon.03G0000320-3D transcript:Sspon.03G0000320-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calmodulin-binding transcription activator 4 [Source:Projected from Arabidopsis thaliana (AT1G67310) UniProtKB/Swiss-Prot;Acc:Q9FYG2] MQQQQQGLDIDKLQQVVKTRWLKPQEVLKILQNHELFTISHKTPQKPQSGSWFLFNRRVLRYFRSDGFEWQKKKNGKTINEAHERLKVDNVDALNCYYARGDKNPTFQRRIYWMLDPAYEHIVLVHYRDVLEGSISVSARNDSSTSNQNGSASRADVHSSPGWTSELIVQCPNSCSPGSAEEVSSRTSASESDWIQHKAALRKLKMQLSLEDKEDCDVNAEEVPANNEPIILPGIQNEELDTSRNHDDSLIEDHINGTGTHPCPSAIDVLKNSDTWLEEDQLEAILHPACMTLTENQWFKIHEVSPESAFSSESTKVIIVGDFLCNPPHSSWEVLFGDVKVPVEIIQQGVIRCHTPCFNAGKVRMCLIDGNGKSCSEAREFEFLEKPTKGMIDGNRNPCNEARDSRIHQIPTKSSDELSLLLHYVRTLFDGHACGLFSNFSSPLPNLGCEFQTNQMDIIKTYEQLDPENTVNSVMEALLNDKFKQWLSSKCEQNIDGDHLLPKQYHSIIHMIAALGYVLALKPLLNSGVPINYRDANGWTALHWAAKFGREDMVAALLTAGAAAGALSHPTSEDPAAKTPASIALAYGFKGLSAFLSEAQLTTHLDSIESKENGKLDSREGGICRAVDRISDKSSHVHGGTDDQLALKDSLGAVRNAVQAAGRIQAAFRIFSFKKKKEMALQNRNSCFLSISETGAVSHGMLEKAALSIQKNFRCWKKRKEFLRIRNNVVKIQARVRAHQERKKYKELLSSVGVLEKVMIRWYRKGVGLRGFNSGAMPIDEEVDEDVAKVFRKLRVETAIDEAVSRVSCIIGSPKAMQQYRRMIKRYQQAKMAQATANRINNQAGCEPLV >Sspon.07G0029770-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:78873490:78876075:1 gene:Sspon.07G0029770-1B transcript:Sspon.07G0029770-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLLLHASAINHSAHSGLRSHIGPNNEHISRHLSSSSQNTKQRRCNISLRSRAQRISSQLGGQKLKHWEVPKRDWFPPSFIFGAATSAFQIEGGWNEDGKGPSTWDHFCHNFADDWIADGSNGDVAADSYHLYREDVRLLKEMGMDAYRFSISWPRILPNGTLGDINEKGIDYYNNLIDLLIDNDKNSIFFLPYVTLFHWDTPQALVEDYGGFLDKRIIKDYTDFAGLCFERFGDRVNNWLTFNEPHTFSCLSYGTGILAPGRCSPGLKCPDPNGNSIKEPYLVGHNILLAHAETVHLYNRFHRGEKGRIGLALNVMGSVPYGSPRKVYGLQPRMVLGAGGSW >Sspon.05G0023740-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:17010101:17010783:-1 gene:Sspon.05G0023740-2D transcript:Sspon.05G0023740-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GHADCPGGEEDRGAVCGDEGAALLLRVREEDQEGAVTSERCSFGSGRLPPAEGDRVGDLQPRRRARRRQEEAPRRAVLEQRRTGSRRACAAARRSSKAVPGSLHRVQVEEVVEEAFPADPTLNL >Sspon.01G0022150-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:81311826:81316073:-1 gene:Sspon.01G0022150-1A transcript:Sspon.01G0022150-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTASDQQNTPLLSADGGSGGEGVVALPGEAGGSGHGVSAQLERILADESAPRARRLARAARVELRLLVALAAPAVAVYMINYSMSLSTRIFCGQLGTLELAAASLGNVGIQVFAYGLMLGMGSAVETLCGQAYGAHKYDMLGIYMQRSFVLLTATGVPLAVIYVFSKQILLLLGESEQIASAAWVFVLGLIPQIFAYAFNFPIQKFLQAQSIVAPSAYISTATLAVHLALSWFAVYRLGLGLLGASLILSLSWWVIVAAQFVYIVRSQRCRRTWTGFSCRAFSGLPEFLKLSFASAVMLCLETWYTQITVLIAGLLKDPEIALDSLAVCMSISGWVFMVSVGFNAAASVRVSNELGAGHPMAASFSVKVVTTLSLVVASIIAVIVMCLRDYISYVFTRGDDVARAVSTMTPLLAVTIAFVAYVNIACYYGIGIPLGCVLGFYFDLGAMGIWGGMIGGLIVQTLILIWVTLRTDWNKEVEQARMRLNEWDDKKKHLLAED >Sspon.01G0039860-2D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1D:33008957:33009496:1 gene:Sspon.01G0039860-2D transcript:Sspon.01G0039860-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRYVLALAAAGSGRSALHTLPSYKATSAPTDSFVSKFRRTGGRVCVSAHAAVRGRGQRFSKASGRSSVPSLPLSLLVDVDVAVGCNVTVARTWRRQVVGKAHMHATCPVQVRRQRAIQPWPMGNAIQVVLKGAAGPHGCLRGGSLTNRRFVRYVRLNQSASPSSQRTADATLLLCPALN >Sspon.03G0005760-3T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:31669494:31671785:-1 gene:Sspon.03G0005760-3T transcript:Sspon.03G0005760-3T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVQEGTAEWHKIANEAARTIAGRENGGNCDIKNLSRGSKVYLPVFVEGANLSTGDMHFSQGDGEISLCGAIEMSGFLELKCEIIRGGMKEYLTPVGPTPLHVSPIFEIGPVEPRFSEWLVFEGISVDESGKQHFLDASVAYKRAVLNAIEYLSKFGYSKEQVGSRVYLLLSCCPCEGRISGIVDSPNAVTTLAIPTAIFDQDIKPKRINGRPLGGPQLRRLPDLLSCSNYGHLPATQDKSGTSAP >Sspon.04G0005440-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:15785414:15787081:-1 gene:Sspon.04G0005440-1A transcript:Sspon.04G0005440-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGSSGPSCRAAICGIVVLLSVIAFSCSLAAEFRKVKEKDMKLDGSLCSLPKSSAFELGVAAIAFLFVAQLVGTTAAVTTVCAGNPKKSSAVRGRAAFVALLVLSWLSFAVAVILLATAASMNHGQRYGRGWMDGDCYVARSGVFGGAAALVVVTALITLGLTFATESAAAAGAMATTPASSSSATCARTHLDAASADAEQPGGRSKQ >Sspon.01G0002420-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:6792871:6796394:1 gene:Sspon.01G0002420-2C transcript:Sspon.01G0002420-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMALNLAHQTGAAAAAVTVAPTAPRSAVVAAAATVAAPSVSAGAAAMQTMTVDAAPAQAPDAVKPDLAMACQALVESAPDEAEHADVAAELRSKAGVPVFVMMPLDTVRKDGNSLNRRKAVEASLAALKSAGVEGIMVDVWWGIAEADGPGQYNFNGYMELMEMARKTGLKVQAVMSFHQCGGNVGDSVTIPLPRWVVEEMDKDQDLAYTDRSGRRNYEYVSLGCDALPVLKGRTPIQCYADFMRAFRDRFATFMGNTIVEIQVGMGPAGELRYPSYPESNGTWSFPGIGEFQCYDRYMLSSLKAAAEAVGKPEWGNAGPGDSGGYKDWPEDTGFFRREGGWSTEYGQFFMSWYSQMLLEHGERILSAATGVYTGSPGVKISVKVAGIHWHYGTRSHAAELTAGYYNTRQHDGYAPIARMLARHGAVLNFTCVEMRDHEQPQDAQCRPEALVQQVAAAAREAGVGLAGENALPRYDETAHDQVVATAADRAAEDRMVAFTYLRMGPDLFQPDNWRRFAAFVKRMSQPGARDACREQVEREAEGVAHATQPLVHEAAVALTN >Sspon.03G0021770-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:40574665:40578565:-1 gene:Sspon.03G0021770-1P transcript:Sspon.03G0021770-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNASQFMDKQIQGLAASAAAAAAAASSPPASDGGGGLVDLMSPDPQEEAVSHGPGHRSLHGGANGTGNGADEVLPSYDFQPIRPSAAAGAFPVGSAPATGAWGSLDSKAASPNLQGGVLEPHVLKKVNHEEERSNFSAVTIVDIDRTMKKYADNLLHALESVSSRLSQLEDRTYHLENSVGELKLTIGNNNGSTDGKLRQFENTLREVQTGVQILRDKQEIVETQIQLTKLQMPKAEDVQSEAGQVDSRQQPPPSQPTIQSQNQAPPPTQPPVPLPALPAPTAPPPPPTQNQPPTQFPGHVAHPQVPSVPPALPAPSVTTIPQESYYHPSAQPTEATHQQYQAPPAPQQPQAPQPAPPQHYQTLPQYAQFSQPPPPASVNPTAALPPPVAQQPEEAAPYGLPSQGYPPNVRAPPPYMPPPSGPAAPFYGPNPGMFDASAARPNSGPPPPYNAGYKPPAAGGFTESYGYAGSPSHHGNAGMKPPSPFAPPGGSGGSYGRLPTAQVLPQAAPVRSTPSSGSSGARVPIDDVIEKVATMGFSKEQVRATVRKLTENGQNVDLNVVLDKLMNDTDAQPQRSWYGR >Sspon.04G0003320-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4A:10009456:10009776:1 gene:Sspon.04G0003320-1A transcript:Sspon.04G0003320-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ETKVTRLCHEKTILAVNGQFPGPTIYARKDDVVIVNVYNQGNKNITLHWHGVDQPRNPWSDGPEYITQCPIQPGANFTYKIIFTEEEGTLWWHAHSDFDRATVHGAI >Sspon.07G0024590-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7B:25848635:25853359:-1 gene:Sspon.07G0024590-1B transcript:Sspon.07G0024590-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VRRRATGWHHLADQVISSARYSSAFVKKYQKHQDSMAHSHFPLLEKYYDKDHRVHLMVDNSDVSIGPAASPNSPIAWVGRALHPYLARVGASFLGLARAINAGLLYMDGPLLTAFVDRDDKDDDDGDDDDINDPTVRPNARDEDKDETCEDMPVTTPKLALLISNRHIQAACVVLKSPSCLTNPSQACFVNYETLLIVLVIVIVIVVIIVMDMVMVIVMVIVLLHGCRDIWPSFSASLGTTRRKQCLIANNWVNRSLEEIPKILLLVFHDGISTPYLILTSICFSSRIQCFLSFLRDKCRHQVSGDIA >Sspon.01G0040430-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:36852055:36854024:1 gene:Sspon.01G0040430-2D transcript:Sspon.01G0040430-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding KQWFYATKEKNTTWALCSTNFLAKFFPMGKTNALRGKITSFQQQHDESVPEAWERFQDYILECPHHGMESWLLMQTFYHGLINSARETMDAAAGGAFLSLTIPQATALVEKMASNQGWNEERTQTRKRGGGMHQLKEVDMLSAKLDLLMKKLDDRAGEKKEVMHVYDSHMTCEECGGTGHSGNHCPELLEDVNYINNNNNYYNRPQQNQGWNQQRPNYSGLESANLVDMFDAGDYWSNPIVEVSTDRLPVKRGDPGRPVIPISIGMRDFPEALCDFGSSVNIMPRLADRTLSFPRGILKNICVRVGSSYAPADFVVIETGSDERAPVILGRPFLNTAGAVIYASAAKISFYIKGRKETFSFKNKTAQIPEQPQYEPRKRTNRRNKSKKQVWTETAKMVTAVHKGQDRQLKSPFLPKKDDPGMPSIYCSINGSHFYKTLCDTGSGVNIMAKVTYELLFGTMPLNPTYIQLQMADQTFRQVEGTVTDVPVKIDDHFVHTDFQVIDMGEDEYDPPIILGRPFLSTVKAIIYIGTGEVHMHFPSEKVRLYFTDPNYVFEESKQVRTRRRRRNHNQKQQVIKDGWADYEGEVVRSEDIPLNQHCPEETKAPRQVWKEKTVVHEEEAPPEPPTTPSTKSQDD >Sspon.02G0047760-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:19382815:19386110:-1 gene:Sspon.02G0047760-1C transcript:Sspon.02G0047760-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAALLLLLSSMKLLAEGQSVCSNANIMYIESSTHMSNLNALAEALFTKVTSSNSYSAHDTAGTGPNMIYGAVLCRGDMTPAIACAKRLKEVLDAAMNNSANSSCSSQKDMTLFDDGYLVQLRFSDQDFISNFHDSQECIVRANLNPPLLGDVSEQFHSLVSKLMTKLTDAAVKNMGRYETGQGWLTEKSQTVYGLVQCAEDMLKGACQDCLSSAMAKREQMVDQIGGAILGVRCSLWYQTEVQFFAGTPALSLNMPTPAAAKPGPASRASPAIVIFYLSHPLILAQAVPAHAARGESCGRSMAAAAKSINRLAAHRVVPAKPTPTGLLRLFWLGAHRVADSLHVFKEAHNRPAIATNTEGIDGAAASPARTIERSLAWSVGNECQEISSILFSERARFKLQLLSMAIQNVINLWRIEEGNSGFSLYDFSQIKEATGNFSSENKLGQGGFGPVYK >Sspon.06G0015030-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:82596569:82607324:-1 gene:Sspon.06G0015030-3C transcript:Sspon.06G0015030-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPARDEHLDATRALMAAHSPPLHALVVPSEDAHQSEYVSVQDKRRQFISGFTGSAGLALITMKEALLWTDGRYFLQATQQLSDRWKLMRMGEDPPVEAWIADLSLESIRGASLLIRLKDMSTHFEEAPDFDRPLVEPRPVIVHPVEFAGRSVPEKIKELREKLVHEKATAIIITALDEVAWLYNIRGSDVDYSPVVHSYAIVTLHSAFFYVDKRKITVEVQKYMSGNGIEIREYETVQSDASLLASGKLQSSVHVEKDMNEVESSKIWIDSGSCCLALYSKLSPHQVLALQSPIALPKAVKNPTELDGLRKAHIRDGAAVMQENYGASGYFSEIKGSHKKENLPTKLTEVSVSDKLEGFRATKENFKGLSFPTISSVGPNAAIIHYKPEANTCSEMDADKIYLCDSGAQYLDGTTDITRTVLKGHIALDIAVFPNGTTGHALDILSRTPLWREGLDYRHGTGHGIGSYLNVHEGPHLISFRPSARNVPLQASMTVTDEPGYYEDGSFGIRLENVLICKEANAKFNFGDKGYLAFEHITWAPYQSKLIDTKLLTPVEIDWVNTYHSDCRKILEPYLSEQEKQWLMKATEPIAASS >Sspon.01G0037890-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:21426141:21429801:-1 gene:Sspon.01G0037890-2C transcript:Sspon.01G0037890-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIPLRSLLVASLVLSSVVLHVLGVDKNASQRDIQKAFHKLSLKYHPDKNKGKGAQEKFEEINNAYEILSDEEKRKNYDLYGDEKGNPRFGGGNFGNHEGYTYFGGGPKSSHFTSGNGWQTMGGQGNTKTFSFSFGGKPGASGGNPFGGGLDLGDVFSNLFGGGSMGDSHFGGSTGSARANTGTSGQHSGTAKIQDITTQVFNKEVADQGTTWLLLFYTPQSKGQFVLESVMQDVVHSLDGALRAGKVNCDNEKSLCKRSGVSIGKWARLFIYSYATTEKGSLHEYSGEHDAKSLKTFCQEHLPRFSKRLDIGQLSFPLNVLPNLPQVLLLSSKKDTPAMWRAISGMFRNRLIFYDAEVHDVSHPLLKSLGVKNLPAVIGRTVNGEELLLKDGISVKDLRSGIKELKTLLESFEKKNKKLSSNQANKKPSSQSEENKVPLLTASNFEEICGEKTSVCIIGVFGSNKAKGQLETVLSENYNSRNAVSYALLDKDKQSAFLSSFDKSRYKSSDRLLIAYKPRRGRFAVYDDKVTLEEAERFVGSVLNGDVQLSPTKQKPVLR >Sspon.02G0041650-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2C:81730054:81731628:1 gene:Sspon.02G0041650-2C transcript:Sspon.02G0041650-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLFITSYPPLLLLVVLFLALLLQLLGYQRCQDPRKQPHAHGLKVYPLLGTLPHLVKNRYRFLEWLTGVLQRSPTHTMSYKALGFGGGVITANPANIEHILKTNFGNYPKGEATASMVEDLLGGGIFNSDGEQWLRQRKDASHEFSTRSLRAFIADAVRFEVAQRLLPLLGRAARDGRTLDVQDVLERFAFDNICSVAFDEDPACLAEGHGDHEGTQSSAVEFMRAFDDAQNVLIVRLMSLPRSLWWVKRLLNAEPERRMRAALGKIRDYTDRIFRERRERLEAGLARSDDLVSRLAARREHSDESLRDVVTSFLLAGRDSTSSALSWFFWLVSGRSDVEDRIVREIRTVRESSSGSAAVDAVSTGTSTPPTSFSLDELRDMHYLHAAITESMRLYPPVSINTRRCERDEFLPDGTFVGRGWQVSYSAYAVARVADVWGDDCEEFRPERWLDGGGVFRPESPFKYPVFHAGPRTCLGKEMAYVQMKSIVACVFERFTLRFVGDEDQRPGLALAITLRMKDGLPMQ >Sspon.05G0023840-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:10448622:10453775:1 gene:Sspon.05G0023840-1B transcript:Sspon.05G0023840-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to OCL1 homeobox protein [Source: Projected from Oryza sativa (Os04g0569100)] MSFGDLLDGGASAAGMQYPYGVFASSSALSLAVVRTTYAPDVFFGCGVALAARAGSSARRGGGGNAKDVSEAENESQSMMSGHLDVVLSGGGDGEDDEDGDAANPRKRKRRYNRHTPHQIERLEAMFKEFPHPDEKQRAQLSRQLGLKPQQVKFWFQNRRTNAKVSDRANPALSGTLRVYVVCSCRLVQCLTWLSLLHGPGPGKKQLERQENARLKQENDKLRVENLSIREAMRDLVCSGCGGPAMNQCLATNTKCRRLPSGCVLQDTPNGCKVTWVEHAEYPEASVHQLYQPLLCSGLALGAGRWLATLQRQCECLAILMSSLAVPEHDSEAVSLEGKRSLLKLAQRMMENFCAGMSASSSCEWSILDGLTNSMGKDVRVMVQNSVHEPGVPPGVVLSVATAVWLPVTPERLFNFLRDEELRAEWDILSNGGPMQQMLRITKGQLDGNSVTLLRADHTNSHLNSILILQETCTDRSGAMVVYAPVDFPAMQLVIGGGDSTNVALLPSGFVILPDGSSSSAGGVGHKTCGSLLTVAFQILVNSQPMAKLTVESVDTVYNLISCTIEKIRAALHCNV >Sspon.03G0019060-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:81519333:81522676:1 gene:Sspon.03G0019060-2B transcript:Sspon.03G0019060-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATELRSPLLPLPPPPPQRQRRVGAAAAWAAAALAVSATVVVLLLPFLIASSFGGTSTTRCSTSRRHPARPRSSSSNNPVELTLLTAAHDKGAVCLDGSPPGYHLQAGSGAGSSSWLVHLMGGGWCDTVRSCSGRSRTSLGSSLFMEKLMNFSGILSNDPAQNPDFYSWNRVFVRYCDGASFSGDSQHEAEHGNRTLFFRGLRIWEAVLDELMQKGLAHAKQASTYILHFDSFHYNCLMNSIMNKLKASCIALALLTGCSAGGLATLLHCNDFRARFPPEVTVKCLPDAGFFVDGKDLSGQRSMRSVYDGVVHLQNVTKVLPKDCLLANKDPTQCFFPAELIKSISTPTLIVNSAYDSWQVRFVVAPDGSSPDESWRSCRADVRRCNSSQIQVLNAFRKEMVDDLEAADANTNNSWFIDSCFTHCQTIFDDSGWDSPVAPRLGNKTLVEVIGDWYFGRSPSPVVRQVGCEYPCNHTCNSQLDTSCQLVQQSSSARSKQYSSSSITTQLLLINTYM >Sspon.02G0043600-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:93118679:93119429:1 gene:Sspon.02G0043600-1B transcript:Sspon.02G0043600-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQLLHLKKTETDGTYCFDTVKREWKRPGDWILPFEGGAEHVPGLGGDLWLGFADDGRNLCVASGLSGAMETETAPALQHVWRDAGKVPGNWRPTCPQLLHLGGGRFRIAKTCMVMPDTEYDEYSVPGIEVAVLSGVELLTGGDRPPGSIDIVEHKSLGYRCRSDSTRWVL >Sspon.07G0000170-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:315724:329222:-1 gene:Sspon.07G0000170-3D transcript:Sspon.07G0000170-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFDPIWEVRHGSIMALREILTHHGACAGVYFPDLSLPSADLDGKTNFDSLKREHGIDLNEDVRVEHLEPALKRHKKEPNCSESVYMDYGKELVDSDYPKTEGDPSNVPDVLTGEPSSTHVKVEPELGVDDSTDPSKGDSTCKSLQEKLNSISHPSSHIHAPENSKFMKLLKLAKYSYMKNWEFLQDCAIRFLCVLSLDRFGDYVSDQVVAPVRETCAQALGAVLKYMHPSLVCHTFKILLEMQRRQEWEVRHGSLLGIKYLVAVRQEMLKDLLDYIIHACKAGLEDPDDDVRAVAAEALIPAADSLVRLNDQILRPIVMLLWDILLDLDDLSPSTSSVMNLLAEIYSRPEMVPKMLGTAASGEKGEFDLNEATQIAEQEDRLTSIENPYGLATLTPRLWPFMRHSITSVRRSAIRTLEKLLEVGNTRSSAGTTPSKLWPTSILGDALQVVFQNLLLESNDDILQSSERAWKLLLQCPEKDLEYAARLYFSNWVQLATTPFGSALDSTKMFLPVALPRGNRSRAAAKIRSARLDHEYTRMISFGSTGESTSHEKHLDVPSSVSKIIVGADPDKSVTHTRVLTSMALGLFASKLPVSSWQVVLSPLANDLMSLSGVQRQVASMIIVSWFKDLRGRDPVSVGTLLAFLSSLKEWLLDLLTCSDPALPTKDSVLPYSELSRTYTKMRNEANNLLHSIDSCAAFKDYISSLNLNVDVLSVDDAINFASKLLLPSESDLPSESEKIFLNNIESAKQGLLSTSGYLKCVQNNLHVTVSSLVASAVVWMSCLPSKLNPVILPLMAAIKREQEEVLQDKAADALAELIFSCVGRKPGPNDKLTKNLCTLTCTDASETPQAAIINSMQVIEDQNLLSIGKRFSSHRSRGHTTSGGDERSKMEGFISRRGSELAFKHLCEKFGPSLFEKLPKLWDCLTEFLKPVKTEDGIPKDDASIAQLGRSYEDKDPQSLINNIQVVRSISPHLAEPLRPQLLNLLPCILGCVRHPHVAVRLAAARCITSMAKSLTDDVMVLVIENVIPMLSDLSSVCARQGAGILLSLLVQGLAVELVPYAPFLVVPLLKCMSDPDGSVRQTVTHSFAALVPLLPLSRGASLPGGLSERLSSSAEDVLFLEQLLDSTQIDDFKLNIDLSVELRRYQQEGINWLAFLRRFKLHGILCDDMGLGKTLQASAIVASDIAEARARNDDKSLTSLIICPSTLVAHWEYEIEKYIDTSILKPLQYVGSSQDRVTLRSQFDKVNVIITSYDIIRKDIDFLGNIPWNYCVLDEGHIIKNSRSKITSAVKQLKAQHRLILSGTPIQNNVLELWSLFDFLMPGFLGTEKQFQATYGKPLVAAKDSKCSAKDAEAGILAMEALHKQVMPFLLRRTKDEVLSDLPEKIIQDRYCDLSLLQLKLYDKFSSSNAKEEISTIVKANESQESAPQPKATRHVFQALQYLLKLCSHPLLVTGESPPNHLVDLLKEIGVGSGSELHELHHSPKLVALQEILQECGIGSEISSPDASTAVGQHRVLIFAQHKAFLDIIEKDLFQSHMRSVTYLRLDGSVDPEKRFEIVKAFNSDPTIDVLLLTTHVGGLGLNLTSADTLVFMEHDWNPMKDLQAMDRAHRLGQRKVVNVHRLIMRGTLEEKVMSLQRFKVSVANAVINAENSSLKTMNTDQLLDLFTSTPASRKASVLPRGSSDEQSKDSKRKSGGKGLKSILNGLDELWDQSQYADEYDLNQFLAKLNG >Sspon.01G0002540-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:5784883:5785719:1 gene:Sspon.01G0002540-2B transcript:Sspon.01G0002540-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DVELLPISIRSSRRSSHRHVPRLRHPCADTCLGNDRRRGGRDKPAARRQWRRRSRRGRAGRSSGALHARHPGRVEPDGAAHHRLAGQHLQRAGPVRTPHRLQRAQERRGDPQRQRAGAHVQRQHRHPAGHRPVRRRVPAAGERRRRRGGGTGAGAAGPRRPQPRVRHHHGHRRRADGRARPWRAAARARAGRVRGELRRRVVADDGVHGHDGGRRVRRHDQLLRGVQGGHAAVPAVHHRWHRQVQGCMRVRRGAPAHRLRPARHRRRRDAAEDHRPPCL >Sspon.02G0050450-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:61902755:61908321:1 gene:Sspon.02G0050450-1C transcript:Sspon.02G0050450-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGPGRALAAAPRLLVLVFLCFLAGGARPSPATDALRRVSPGAAAGGLCQQLLLPQGYPCTEHTVQTDDGFLLSLQHIPHGKNGIADNAGPPVFLQHGLFQGGDTWFINSNEQSLGYILADNGFDVWIGNVRGTRWSKGHSTLSVHDKGTIMGLAAFTMPEIVKMISSAALLCPISYLDHVSASFVLRAVAMHLDQMLVAMGIHQLNFRSDTGVQILDLLCDDEYLDCNDLLSSITVIRKGSFAKYDYGWWGNLRRYGQLHPPSFDLSSIPESLPIWMGYGGLDALADVTDVERTIKELRSTPELLYIGDYGHIDFIMSVKAKDDVYVDLMRFLRAQQGMHSSY >Sspon.04G0032430-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4C:26535974:26541486:1 gene:Sspon.04G0032430-1C transcript:Sspon.04G0032430-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding PAPSTVAALAKGAKPRCALHLVSGDRFDPAMAATTTNVQQLTQNFDDDLANELAELDARLLAFEKACGYGDTSITNNSSSPLLPDVAPIINLGATIAANSSSSPLLSDATEPSLPAAPSSEDAASHVTAGYLHDNAPIINLGATIAANTSSSPLLPNAAAPLLLAAPRSDHATTTIDVNRPCRVNANNDIRKLVNQNERLHAIDDLAAETKQVMIPAAPLAPQSLVPPFSGVAGRPPISPAPTHPAQAGCYPPQPAIWLPIQQAPPPSSQEHPISSATPATPPAAPTPEMPLKVSIPPQQLLQLAAAEPPPTPQQHNDKSSFSFPMNDDVTNPLAWLNDCTLFFRGQHTPNNIKIGYEIFHLIEIAHLQYMHLTEDKAATDGEHFIPCTNKYIGPPTRGDLREERQQINIYTTCDKHPTHIFDTGNHMLVGTGATHNFTNINFARLIGLQEHRIDTTIFIDGNEVPCKAAAFNVSIRIDGVVFDIDAYLLDLQNTDTWENSRIAYLTRFGHGYVSDTSPIRIRGVSEYLLFLQKSDTFLGYVSAGRYARRIAPQPRLPARSPPAGDATARRPPATGASTRRRRASVRGRPSLLGACSSLAVAKSPAAGGHPCSSTSAASRRWNSPVIPRSSSVMASPPNLSSAGSGSATASVGSAATNTTNIKAPLWDYVTILEKPKSGGGNAKWRCKYCPMEKLSSYSRVEAHLLQIGNKGIGKCPNVTYEMLTAMRREIERCKRLVESSKPKFVSLPTVPSSSNTDESNKRTKRGPISAIERAWRMDDRNHLDALISRAIYSGGIAFNFLRNPYLREAFSFACSHNMQGYTIPGYNRVREGLLKQERRHIERLLESTKSTWAEKGVSICTDGWSDPQRRPILNFVAVCDKSPMFLRADNCEVAVSDTRIRIRYRYAYPYPCNLVLDIGNDVNVILSTPWLAGLVRVTWDFATMQLQHIRNGHPVTFTTACPQQAKLTVLALPAPPSIRQAREMAPPPPRNILSKASRACLPNALDFIDSSDIIFEHLHRAVLRQRELATIAMAISDGVEAQAWSIDQGLIFFDGQLYLPAASALLPDLLQALRQGGSANMELLALGAQPPPTAAKHNGVPAYILLGHPWPQRRPITSTTVVFIDNLSRQLVRVDNVNIALRPGSDAHHLSPGEFDLGHFMFGSILD >Sspon.01G0002910-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:7917429:7920908:-1 gene:Sspon.01G0002910-1P transcript:Sspon.01G0002910-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding EPSSVEKIMEIDEHIGCAMSGLIADARTLVEHARVETQNHRFSYGEPMTVESSTQAICDLALRFGEGDEESMSRPFGVSLLIAGHDENGPSLYYTDPSGTFWQCNAKAIGSGSEGADSSLQEQYNKELTLEEAETIALSILKQVMEEKVTPNNVDIAKVAPKYHLYTPAEVEAVIARL >Sspon.08G0005490-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8A:16660840:16662978:1 gene:Sspon.08G0005490-1A transcript:Sspon.08G0005490-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding IDSALAPVLLGPPAIRGARPPPSAVADAEAPASHPFLDLLDAGFNAPDDPDAKAAAGAAPRKARTENSSATYASSGNPCLDLFFQVVPDTPPDRVRALVAAAWDRDALTALKLVANLRGVRGTGKSDKDGFYAAALWMHERHPRTLACNIPALAEFGYLKDFPELLYRLIHGPDVRKVAKAKADADKARRAMKVRVANLAGRRSRARENYAAVVAATTVPSKPTLADYFTATLARTKSKSKRSRKAAAVAPVDTEEPDQAMEVEQEPEPEAMEVEQKREAPQEAAAPPQPQEEVAAKKKAKKKVPKAARLAVNALETYYSDRAYRFLFDCVAEFFADLLASDLKQLAPGGKKKKIGLAAKWCPTPGSSFDRTTLLCEAIARRLFPRDSSTDYTDLSEEHYAYSVLHRLRREVLVPLRKVLELPEVYISAQRWSELPYARVASVAMRRYKSLFKKHDEVRFGKYLEDVEAGKAKIAAGALLPHEIAAAAYRGEADNVSELQWRRMVDDLRKKGSLSNCIAVCDVSGSMNGTPMEVCIALGLLISELSEKPWAGRVITFSEHPEIHMIKGKTLKDKLSFVRTMQWGFNTNFQAVFDRILRTAVDARLAQEKMIRTVFVFSDMEFDQASANRWETDYEAINRKFRDAGYGDVVPQIVFWNLRDSRSTPVTSTQPGVAMVSGFSKNLVKLFLENDGVVNPEAVMAQAIAGKEYQKLA >Sspon.02G0012100-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2B:30343797:30346296:1 gene:Sspon.02G0012100-2B transcript:Sspon.02G0012100-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGASNHGSLIDEWLPPPTPSPRTLMSSFLNEEFSSEPFSGFFSEHGTNKPHDQSEKSREVVNSSEEVPAHAVSDPFQKGFSLKPNLFSANHKSNSNGGLAERRAARAGFSVAKIDTSRVGSSAVIRSPVSIPPGLSPTTLLESPVFLYNKMAQPSPTTGTLPFLMATNDKSTMPPAAKITEDSQFDNDVFSFQPHLGSEQTGFSTAEKDYGAYQQKQSLSNIHQQESSLQSSFTAVKDNTSATIVKAKTSSSMFSDSHYSADQQQADETNIKVQGKGVEARSAAFLPVSAHSDASLLESQDAVDVSSTLSNEEEERATHGTVSIECDGDEDETESKRRKLELDALGATAIATTSTTSTIDMGPAASRAVREPRVVVQTTSEVDILDDGYRWRKYGQKVVKGNPNPRSYYKCTHPGCSVRKHVERASHDLKSVITTYEGKHNHEVPAARNSGQGSSGSGSAPSAPQAGGSHRRQEPAQASFAHFGTASPFSSFGLPPSGQLGPTTGNFRFGMVPPGMTIPMPSLGSLAPTKMVGNSSGMQGYPGLMMPGEPKVEPVSQPLFPMANASPPAYQQILSRPPFGHQM >Sspon.05G0039840-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5D:80484565:80486477:1 gene:Sspon.05G0039840-1D transcript:Sspon.05G0039840-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQQRIDTGSWTQKGKNAKEAIGKAWGKFFHYVGIPGRNADNPYFVSAVRETQKWGEGIASPTGRDIDGKYLEQNEKDLKDRYAKFQKEWPNFGVTLMCDSWTGPTKMSVINFLIYCNGVTWFHKSIDASGKSQDANYLYRVRPKHSCQNGDGSKDNPIGCERNWSTFALLHTKVRNRLSHKKLNRLVYVNYNLRLRLADVNPRRYDEEDFIDRFAEVSFYDRSNPVREWMEYGRSNLPPVLDEDSDEMDVPLPSHLVSDQIDPDDLRQATGHDCISDWARRNMGATHLGKRKMQMVPPKGHDKRHRGKGKAVTSDTETEDEQYQSQDSGDDDSGDDDSGDDDSANDDASGSDGGGAGGTDGGGGGSGDATIGAGGSSYVGLRFTGETQFTHATQDRDHAQPTSQRRAYAPTDYDTPQYSSSSYSDTSDPTYRYPIPDMTMQTQTRWVYEWEDPSFYNMLVQEWETTAAWTGQTWQDYKADLLRHQGISLMSTAEYEMSCQQGVFPYILRS >Sspon.08G0006140-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8C:19202994:19207824:1 gene:Sspon.08G0006140-2C transcript:Sspon.08G0006140-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRADQGSSCKHILKGIAGSVDPGEILALMGPSGSGKTTLLKILGGRLDGGIKGQITYNDTPYSPCLKRRIGFVTQDDVLFPQLTVEETLVFAAFLRLPACMSKQQKRDRVDAIIRVESREIGGAFVRGVSGGERKRTSIGNEILVDPSLLLLDEPTSGLDSTSASKLILVLQRLAKVAASTPHTFARRNATYDDDDHLLLISDGHAIYHGKARDCMHHFSSLGFVPEIPMNPAEFLLDLATGNLDDISVPEALRGSPDPQEFRSQVVRHLQLKYRAGGEPPAGRRRTPTEQLRLAVRARKDHRRSIGWLQQFAVLSRRTFRERTSDYLDKMRLAQAVGVALLLGLLWWKSQTGTEAQLRDQVGLIFYICIFWTSSSLFGSVYVFPFEKLYLVKERKADMYRLSAYYASSTLCDAVPHVVYPVLFMVILYFMAGLRRTVPCFFLTLLATLLIVFTSQGTGELLGAAILSVKRAGVMASLVLMLFLLTGGYYVQHIPKFIRWLKYVSFMHYGFNLLLKAQYHGHLTYSCASRGGCQRLQSSPSFGTVDLDGGMR >Sspon.05G0015900-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:62806986:62810278:1 gene:Sspon.05G0015900-2B transcript:Sspon.05G0015900-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRSKKPRSSNLASHRRFELLDLNNSSSSLNMSTSSLRSVGEETRKGGGVVAVQASRRATTVRFAPPPLSSATKASSGPASHSQSQQALAMARPATASGARPGSASGTRCRTPAGRLPEPTGPKAMRRSWGWTAGESDAKEPAASGNPVVSKVMAKTHSRSSSAPRRLPAEEKEKPLPKRGSKIMTTSTSKTKTNLGTPPKTETHGSRSPPGVAAAAARTNMKAPNSVSPTNMDTVSPSPCTKTSVATIGACWESLPSDLQNLGLEVMRYRDDAEAAAVQALHQSSAAEILLRCLSAFADLTSAVAELSPQQTVDEFLALHGALAAAVPRDDKREGHATAGAWLRAAVTTDLAHFSLLYSATSPSKSSGAALPTVSPASPPPPLAQRPVPSGEAAAEESWLEAARRALGEEMRAWFLGHVERLLDGDVAGTLGQLRRVNDWLDAVGLGPESDAVERVRKKIYVVRSSPDILGVPVISQKMDVE >Sspon.02G0001520-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:5190590:5203822:1 gene:Sspon.02G0001520-2B transcript:Sspon.02G0001520-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDGCSPPSSLTSMLDCCSPPSSLTSMLDGCSPPSSLTSMLDCCSPPALGSSGGRRSSSGATRSGRPSSSISSGGCAARPHRAAASPGVWNCMCQQHEFFSDKKGGRHVKFHTLITTYEVILKDKAVLSKIKWNYLMVDEAHRLKNSEASLYTTLLEFSTKNKLLITGTPLQNSVEELWALLHFLDPVKFNSKDSFVERYKNLSSFNETELANLHKELRPHILRRVIKDVEKSLPPKIERILRNLNKGVRGNQKCCNHPFLFESADHGYGGDSIGDRNKVERIVMSSGKLVLLDKLLMVKMLDILAEYLSLRGFQFQRLDGSTRADLRHQAMEHFNAPGSDDFCFLLSTRAGGLGINLATADTVIIFDSDWNPQNDLQAMSRAHRIGQQETVNIYRFVTCKSVEEDILERAKKKMVLDHLVIQKLNAEGRLEKKESKKGGSIFDKNELSAILRFGAEELFKEDKTDEETKRNLESLDIDEILERAEKVETKGGEGEEGNELLSAFKACDVANFSSGEDDATFWSRLIQPDPADMIQETLAPRAARNKKSYVEDPQLDKNNNRKRRAVEAQEKPRRRSGRTVETVNSLPLVDGAVAQVREWSFGNVPKKDASRFVRAVKKFGNATQIGLIVDDVGGVLAKAPHEAQIELFDLLIDGCQEAVKENTDIKGTVLDFFGVAVKAYELLARVEELQFLAKRIARYKDPIKQYRIQLPYKKPQWSASCGWTETDDARLMVGIHWYGYGNWEKIRLDPKLGLATKIAPATLGERETFLPRAPNLDNRASALLQKEYAKFSGKSSKVKGNARQTVNNDSNSGARSMRGRQKDVPEKEENKPNKDDIQKRKVIVEAEAREEGEISESEAETKYRLDKEEKWLEWCSEVLDEEQDTLKRLDRLQNTSVNLPKEKVLSRIRKYLLIIGDKIGEVVRQHSESYRQSRMTMRLWNYVATFSNMSGEQLHDLYLKLSQDQLEGGVGPSHGGNFASVPPNKGGNSNQLHHSRNQRPTRSLQYNSESFHNNESSGSSEAWKRRRRADPDNQFDTQPLYQPPIMTNGNRLQESSSSAGILGWGPVEMRRYGNERPKRGVHPSHFPTGHGPLL >Sspon.01G0031920-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:109506943:109519558:-1 gene:Sspon.01G0031920-3D transcript:Sspon.01G0031920-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Galactose oxidase/kelch, beta-propeller domain containing protein [Source: Projected from Oryza sativa (Os03g0835800)] MQQLQPKQMHWVRADSSDFGGDRPAPRSGHTAVSIGKSKVVVFGGFADKRFLADVSVYDVENKLWYTPECTGNGSDGQAGPSPRAFHIAVVIDCNMFIFGGRSGGKRLGDFWMLDTDLWQWSEMIGFGDLPSPREFAAASAIGNRKIVMYGGWDGKKWLSDVYIMDTMSLEWTELAVTGSVPPPRCGHSATMIEKRLLIFGGRGGAGPIMGDLWALKGITEEDNETPGWTQLKLPGQSPSPRCGHSVTSGGPYLLLFGGHGTGGWLSRYDVYYNECIILDRVSVQWKRLPTSNEPPPRAYHSMTSIGSRFLLFGGFDGKNTFGDLWWLVPEDDPIAKRDLAPNIDSNSKPSALIGDNQQSNLKESQALENPITELAKRLGIPLPEEVSASFVDEANDKELVELSSRLAGQSLPASDQVASIQVLRDHWKSSPSSSLQLQELGPLLRDYQRLILRRYSGNPLAVFHEMEALRFFHLKSASQDWDAHNFVQPGWALNGHQHVVRPRRGAEAAWQAAHGRVEFQENG >Sspon.01G0049500-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:96638548:96641146:1 gene:Sspon.01G0049500-2D transcript:Sspon.01G0049500-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VIMVKEEEIMAEAGGGRGGYMDLLGLGEDDYLLCLSPSSYFTSSVVSATTTSATTSTTAAASLPTCTSYLDLAPAYHHMLSFAGQEQYHGDSVLGFQCYGGDHAIPAAVPQKSSPTTECSSSISSMSSSPPATAISAVSCSKPQAVQKKGSRSSEQRKAASAAAAAAAAAAPADLGEEGEARGEDHSSATAGCQSDTASVLHEALGYIRFLHDQVQVRCFTSIISLSLDTYEQAQYTMLGTVYVPAMSMLQAQESAAGTVVEPPRASDLRSRGLCLVPVSCTEHLAGNSHGGNGADLWSVAAGMGMAKAAAADNKGPAGGMLLPGGGGCHAHQGHL >Sspon.02G0059140-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2D:89293719:89294744:1 gene:Sspon.02G0059140-1D transcript:Sspon.02G0059140-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLVFCLLLCLLGAGQQAVATAAAIHVPKHESSAAAFDYIPLPDGVTGAESLAFDRRGQGPYAGVSDGRVLKWGGSARGWTTFAYSTSYVHNPSCKASPARPGDAEDVCGRPLGLQFNVRTGDLYIADAYHGLLKVGPAGGEAKVLAAKADGGAFTFVNGVDVDQSTGDVYFTDSSTSYTRRHNTQILLNRDSSAVSADRTHVVVAHTGPCQLFRYWLKGPKAGTYELFADLPGYPDNIRRDSRGGYWVALNREKIDGADAAAGKHIVGVRLDAKGVQREEMTADDKRVTLSDIAEKDGKLWLGSVELDYVVVVDQ >Sspon.07G0001500-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:2250686:2252781:-1 gene:Sspon.07G0001500-2B transcript:Sspon.07G0001500-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADQDTPAVVEAPTPVLGEPMDLMTALQLVMKKSGAHDGLVKGLREAAKAIEKHAAQLCVLAEDCDQPDYVKLVKALCAEHNVHLVTVPSAKTLGEWAGLCKIDSEGKARKVVGCSCVVVKGLLGAL >Sspon.02G0033790-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:8805780:8809718:1 gene:Sspon.02G0033790-1B transcript:Sspon.02G0033790-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSALLRALRRPSSEAALRLAMRANVQATTSYRHLNNRNLSVFNEFSKQLKGEASSNPEFQKSMKEFGEKLGVVKEDLKVRTRKTTETIYKGVDDVWSEAEETSKKVTANIKEKMFAAKEEVKESFGLGKEESTSCRDGSPEASKHEKTEASSHSDGTSEDATGSHTLFTKLKSTISSASPVVSGAFAKLKDTKVSNLAKQGYEIVKDELSSSSSRKRKHQARHASAKVEKSTRTDLVLTSTKKSVLGEKWEAFKNKIRGHPAYKRVDEYTKPVVTKGQEVAEDVRERWETSDNPVVQKIQDLNESLLEETSAAVTFREIRQRDLSFSLSDFVADVQETIKPVLTAYSKILHISEADVLETKMFGSSPVIILRFQTQQIYCVRDREGQVTEGGQDTIQTVFYSWAMQLMDSDEVAEEESYYPVWRLREMQQAGIKALI >Sspon.05G0006640-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:20798231:20803551:-1 gene:Sspon.05G0006640-1A transcript:Sspon.05G0006640-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAIHSASKTRIAVVTGGNKGIGLKVCRQLAGDGATVVLTARDETRGAAAAEKLREAGLSDVIFHQLEITDAPSIARLAEFLKTRFGKLDILINNAAIGAVEYVQDPVDSSTSEDKFRGMDKGQRLECMFKGVRETYDAAREGVKTNYYGTKHVIEALLPLLQASSDGRIVNVSSEFGLLRLIHNEELRQELNDVEKLTEERLDEVLATYLRDFEAGEVEAHGWPMDFSAYKVAKVAMNAYSRILARKHPELRINCAHPGYVSTDMTIHTGPLTPEEGAGNLVKVALLPEGGPTGAYFALGEEAPFFTEWLSVRNSSLVLLLELVVYRLMAAAISTRVAVVTGGNKGIGLEVCRQLASNGITVVLTARDEKRGAAAVEELTDEGLSDVIFHQLEVTDAQSIARLSGFLKARFGKLDILVNNAAIGGVESLPIENPAEIKGMDAFQMAEWLWKQSRPTSDAAKAGIQTNYYGMKKVTEALLPLLQASADGRVVNVSSSLGLLRHIRNEELKQELDDIENLTEARLDELLSAFLRDLEAGALDAHGWPMEFSAYKVAKVALNSYSRLLARRHPELRVNCAHPGYVKTDMTRQTGLLTPAEGAANLVKVALLPEGGPTGAFFASGQEAPFV >Sspon.04G0021120-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:75964092:75966923:-1 gene:Sspon.04G0021120-2B transcript:Sspon.04G0021120-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAARSRAAAAWARLLSLRPHSLAESTALPRHRHHLGSRITPPRRHLAFSASAGGARPNQRIQSERVVHELLAQVERERQDRRAKDGKDQEEEPEEEDYMGVKPLIEKLERRKAKEAAADEGFWEPTDSDSDEDDERYTPDAIKRRVDEFERKCKRHGEYLQSFAEADTLDEAHKWMTKINKFEERHLKLPLEYRVIGDMMNLLKDATGKERFVLLQKLNRAVRIMEIKEAYDPSNPANFGLIQHQQVGSPEDVMLNAGFDKEKQMIQGAELEGDEEEFNEARERDDMLIEKLNAIEKKIEEKLQLLDHTFGKKGRVLEEEIKDLVEERNSLSEKKRRPMYRKGFDVKVIDVNRTCKVTKGGQIAKFTALLATGNYNGVVGFAKAKGPTAKIAIQRAYEKCFQNLHYMERYEDHTIAHAIQAKYEKTKIYLWPGPMRSGMSAAGRTVETVLYLAGFSNVKTKIIGSRNPLNVIKALFIALNAIETPKDVQQKFGRTVVESYLL >Sspon.02G0029970-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:105557348:105559918:-1 gene:Sspon.02G0029970-2B transcript:Sspon.02G0029970-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKEEDQQQQLLRALAARAVTDSLRAAASRCSAADRAARFRDCVRSLEAEKAKMEVFRRELPISVHLVTHVIEWLKEELAQHRRQPPPVDLFAPAAPPQPPAAPPNDDGDAVKADDEADANDKRSWMSSAQLWSCGSHDDSTANTNAVAAAHNKVSTAFMPTLASLQTLARSPDDAAGKPGATPVPDLSLSSPPSATAARSATSSAVTDAAGAQRQHQHQQAQAQQQRKARRCWSPELHRRFVAALQRLGGAQVATPKQIRELMKVDGLTNDEVKSHLQKYRLHTRRTSSDVGGVDQHMAAAAGGLWPSPPEQQYATSQHSTSQSQSGSPQGPLQLTVSSRAMSATAGDSCDGGDEAEGGGRSESYGWEMQQPQPHGTKTSSS >Sspon.01G0044530-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:83621209:83629822:1 gene:Sspon.01G0044530-1B transcript:Sspon.01G0044530-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Switch 2 [Source:Projected from Arabidopsis thaliana (AT1G03750) UniProtKB/Swiss-Prot;Acc:F4I2H2] MSLNRLKETLNACSSSSSQPQTQPRSPPHPALLPRRPPKTSLSQQLLRLEAAASSSSSISSRPVQLPPAPGPPIEKPREDDEPPSSEDEDERKSRPIIRRCLPPPPAAALESRGPYEPLVLSLPGEHPVVQVPPSINCRLLAHQREGVRFLYNLYRNNHGGVLGDDMGLGKTIQTIAFLSSVIGKGNGHEQSTNKGKKTGPVLILCPTSVIRNWENEFSEWAEFSVAVYHGPNRDLVLGKIETQGLEVLITSFDTFRIHDKILCGISWELVVVDEAHRLKNEKAKLYTACLGITTQKRFGLTGTIMQNKIMELFNLFDWVVPGCLGDREHFRAYYDEPLKQGQRLSAPERFVQVADARKKHLVSVLRKFLLRRTKEETIGHLMLGKEDNIVFCKMSDVQKRVYRRMLQQPDIQILINKDVRCSCGSPLPQVECCKRTEPDGVIWSYLHRDNPDGCSICPFCLVLPCLVKLQQISNHLELIKPNPKDEPEKQKKDAELAAAVFGADIDLVGGTAKSENFMGLSDAEHCGKMRALERLLSLWTQQGDKILLFSYSVRMLDILEKFLIRKGYCFSRFDGTTPMNARQSLVDEFNRSPSKQVFLISTRAGNLGVNLVSANRVVISDPSWNPAQDLQAQDRSFRYGQRRHVTVFRLLGAGSLEELVYSRQIYKQQLSNIAVSGKIERRYFEGVQDDKKFQGELFGICNLFRDLSDKLFTSEIIEMHGEHGKSSATEATGIREIVDTDLFGSQENRKSSTATTDTDNQKLVDFGIVYAHRNEDVVNSRTNEREKGATDETVQSSLEELHSKKNETKHTVMEKSYSLEEKRKVARSYSLEQKRKEFSCIASFMGMDDLEFSKWLLSASPHQRSEVLQNYKRKKKRKCEKKQK >Sspon.03G0014590-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3A:46574252:46574922:-1 gene:Sspon.03G0014590-1A transcript:Sspon.03G0014590-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLRFGNNLLKLQQIVSKMTLEGLGVLEEKITSHLGSLTHTLRLCHYGVQSDTGSRLSMGTLVVQHEEEGLEVQAKDGSWNLIRPEPDTFTFQAGDLLTVVTNGRVPASVHRVRTASNRERFSLVFGSWSRDGDEVRAMDELVDGENPLLYNPCRPDEYIEFVLTKEGYSKLDDPLKAFCGVHNGTCTSME >Sspon.04G0002400-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:7358542:7358994:1 gene:Sspon.04G0002400-1A transcript:Sspon.04G0002400-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGGYYYDQGAGYGGSATPFHLLVFLGTVALLGATSLYSRYESAVESLVEQVRFAVVLSPLLLLLAVQYWAATAGSRRPRGGALSSLLAGDQPSLYAGGGWGGQHHQRDGGAGPSSSPWGVALALALVLLLVSYQSCFQDLWFPLVKRR >Sspon.03G0033870-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:64829639:64842703:1 gene:Sspon.03G0033870-1B transcript:Sspon.03G0033870-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLLCVPLVGRTVEEMIADAAAAAATGGDMVEIRLDFIQGFRPREHLPPLLRGCPLPALVTYRPVWEGGQYEGDDTTRFETLRLAMELGVDYVDIELKVADKFMSFISGHKPEKCKIIVSSHNYEYTPSCEEITNLVARIQAVGADIVKVATTAKDIVDVSRMFQVMVHCQVPMIGLVMSERGLMSRVLAPKFGGYLTFGILNATKTSASGQPTVEDLLDIYNIKCIGPDTKVLGLIANPVKQSKSPILHNKCLQSIGYNAVYLPLLGDNLASFLETYSSPDFSGFSCSLPFKVDAVQCCDEHDPVAKSIGAINTIIRRPDGKLVGYNTDYIGAISAIEDGIGGPGSKDAASSPLAGRLIVVVGAGGAGKAIAYGAKEKGARVVIANRTY >Sspon.01G0051180-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:12063709:12068226:-1 gene:Sspon.01G0051180-1C transcript:Sspon.01G0051180-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEDRSPKPIHCKAASEPLTIEDIVVDPPKAYEIRIRIICTSLCHTDITIWNAKVAPVFPRILGHEAYGVVESVGENVEGFAAGDTVVPTFLGQCSHCASCTSEHSNVCDSVPFIIGPGMRRDGTTRFWDAQGSPLHDLLAVSSFSEYTVVDVNQVVKVDPAVPPKLACLLSCGAGTGVGAAWRTAKVEPGSAVAIFGLGSVGLAELTAAKKWCPQGKGKTVVLGLGRDSDQVCLPSLELLFGRCVMGSLFGGIKPKTDIPILAKKCMDKELQLDALVTHELGLQEINTAFDLLLQGKCLRCIIWMDKDN >Sspon.03G0020270-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:62924917:62926050:-1 gene:Sspon.03G0020270-1A transcript:Sspon.03G0020270-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPTAAAAAAAEDSSSSSRSTATTESGAAQPPAASAAPGGGAVNVGRDASPADEQAVTSQPAAAVAQGSSRFKGVVPQPNGRWGAQIYERHARVWLGTFADEEAAARAYDVAALRYRGREAATNFPGAGASAPELAFLAAHSKAEIVDMLRKHTYADELRQGLRRGRGMGARAQPTPAWARVLLFEKAVTPSDVGKLNRLVVPKQHAEKHFPLKRAPEAAAAAATTGKGVLLNFEDGEGKVWRFRYSYWNSSQSYVLTKGWSRFVREKGLRAGDTIVFSHSTYASEKQLFIDCKKTTKTTTAATTDGAPAPVPAPVEKKPSTEARVVRLFGVDIAGDGCQKRARPVEIAFEHGPQELLMKKQCVAHHRSPALGAFLL >Sspon.06G0007910-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:39995720:39996743:-1 gene:Sspon.06G0007910-1A transcript:Sspon.06G0007910-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFQSEVTWTMSSTATAAPECDGPKTSWPEVVGLSVEEAKKVILKDKPDADIVVLPTCSPVTMDYRPNRVRIFVDTVAQTPHVG >Sspon.06G0016110-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:77475046:77487666:-1 gene:Sspon.06G0016110-3C transcript:Sspon.06G0016110-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLPVSGRRGLPILLLLLFVLHALPARLPRCAAESATCLAVYREGGAPAVFQSAHCPRWTLPPHDGQGDGGGKSSPMGCHVAADRGRRRSQEDRAVCALGIRIPFVEQMRIKEVDVGVVAIFDGHNGAEASEMASKLFLDYFLLHVYFLLDGIYSMLFRKSTGKLTYREITILNDVFNLYKDDQSNHGERSCWTSPAILDRSFHMEILKESLMRAVHDIDLTFSKEGKRRRKRNSINHEEFALANYDGPLYHARELTKDHHPDREDERSRVEAAGGYVLEWAGVYRVNGELALSRAIGDIPYKRYGVISTPELTGWQILSENDSFLIASSDGIFEKMTMQDVCDLMLHAKFRVHDFGSSAITQHNLADYVVHVALQKGTTDNVAAVIVPLRSPGSTGTTLKDWSQFEEDLKTSISPVLNIPYRLKPDDGTSSAVIDMEYFKRSSIKFQRFLVEAKPKKLGCFYLSESLDEDMDYTFRCLYPVHDFGCSPLHLYTGNLEKYKDRHFCLYLGHQDGEMGQCNGPEAFANFFGLLDSLPHNGSKSNDSHSFGNTIDFRYKLKRRFDRGSYGEVWLAFHWNCSEDTDVHKEPPHFTTVSKSEMYNCTSSDTMSSDEDHVSGTVDGDLFILKRIMVERGNAAYLSGLREKYFGELFSNASRTLEGLSRMESSTISLDMQYNPDVLLERNLSATEEPLKHVARFIESFESESREIWLVYHNEGRSLSKLIYTAEETKLVTGNNNERVRHIQVLHPSKWWHWLRTTKAGQKQMQNLLWQLGVAFFFINKAQLPVHRHLIDFGSAIDDYTLKNLYGSGPTQCVIIFLPFPFLQSEQTFEYTPPEALLNSNWFQGSKSARLKYDIWSVGVVILELMVGSPHVFQISDRTRILMDQRLEGWSEQTKELAYKLRSYMELCILVPGISSQHHGNGGPEQGQFGLASWKCSEESFAHQVKIRDPLKIGLVFLFLSTFSLPQNTWNIIIFISNSFIIGITNVFSFPNLWALRLARQLLVWHPEDRLSVDEALNHPYFQEPT >Sspon.03G0024780-1T-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3A:75227638:75228569:1 gene:Sspon.03G0024780-1T transcript:Sspon.03G0024780-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLTRGRAMHRPFGRHPDAFIRKEGSVWRRARQTSALCKPPAAVRSARSNKSGSSVVIRSELKKSTAIDATLRHGMGGGPAADPPAWMAAAARRWLENAGATAEDAPGRAFNALPLSGVRVSLAERGRALCSLRVPPQLT >Sspon.03G0041030-1P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3C:7479609:7480442:1 gene:Sspon.03G0041030-1P transcript:Sspon.03G0041030-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VGDVSEISQKVAEKGEAAEVSNRPKPPSDDAEKLIAFMEDHYDDVVARVQSFDEFYHAIFELIEMFCEERGQLQYRLAEKKALEDAYNKHHRSEGKVTKEEFEAMSREVVKAGSFRVGKASVQLGMLLFGAPACALLAKKILPGLGWLSDDVVVPLATSGSVAYLIKSKR >Sspon.02G0015240-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:40062832:40068385:-1 gene:Sspon.02G0015240-1P transcript:Sspon.02G0015240-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASPPRRRLPAARLLFALLLSMSAVLPRGAGAVEPGLKLPFSPGDVLPVLPRQVAWPVMNTLHSAVDLLPSFVAAVAPGAPAPAAWSGACFAENEAAIELTAGDRNGTDVGGAVLRLKTASAHSWTCMDLYVFATPYRITWDYYFAAREHTLEIKSWEEEAELEYVKEHGISVFLMPSGMLGTLLSLIDVLPLFSNTAWGQHSNLAFLEKHMGASFEKRSQPWVANIRKEDIQSGDFLALSKIRGRWGGFETLEKWVTGAFAGHTSVCLKDEKGDLWVAESGYENEKGEEIIAIVPWDEWWAMALKDESNPQIALLPLHPDVRARFNESAAWEYARSMVGKPYGYHNMIFSWIDTIGDNYPPPLDANLVMAVMSMWTRLQPVYAANMWNEALNKRLGTEGLDLQGIIMETERRGMSFDQLLTIPEQDEWIYSDGKSTTCVAFILAMYKEAGIFAPFSESIQVTEFTIRDAYMLKIFEDNPARLPSWCNTDTDKLPFCQILGEYRMELPEYNTIEPYAKMNENCPSLPPTYKRPAHC >Sspon.01G0001960-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:4384940:4389902:-1 gene:Sspon.01G0001960-2B transcript:Sspon.01G0001960-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADRPEAAVDARSGYCAATRTFRSKRADVPLPADADLDVVRFLASRRHAGVVALVDAATGRRVTFRDLWRAVEGAATALAAPPLSLRKGQVALILSPNSVHFPVAALAAMSLGAVLTTANPLNTPAEIAKQVADARPVLAFTTRDLLPKLPLAAAGLRVVLLEPDRLPSDPSSVVATIEEISATPPDPARRGDRRVTQDDPATLLYSSGTTGPSKGVVATHRSLISMVQIIMTRFRLEGSDKTETFLCTVPMFHVYGLVAFATGLLGCGATIVVLSKYELPEMLRSINEYGVTYLPLVPPILVAMLAHPKPLPLGNLRKVLSGGAPLSKELIEGFKERYPQVEILQGYGLTESTAIGASTDSAEESRRYGTAGLLSPNTEAKIVDPETGEALPVNRTGELWIRGPYVMEGYFKNTEATQSTLTPDGWLKTGDLCYIDEDGYLFVVDRLKELIKYKGYQVPPAELEALLLTHPEIQDVAVIPFPNREVGQFPMAYVVRKKGSNLSEREVMEFVAKQVAPYKKVRKVAFVTEIPKNASGKILRKDLIKLATSKSKL >Sspon.03G0007530-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:25458876:25460612:-1 gene:Sspon.03G0007530-2D transcript:Sspon.03G0007530-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MELIVSAITNDLLNRALSKAIQRPHCFQRQRCDQAPRRHCCLSWQWQLAGDVDDETWRRLYPSAASSMGNGTGSKIVITSRSEKIAALGTARALRLKALSREAYWYVFRSLVLGSVNPGNIAASLMRTNMNAEFWRRVLHCLRDYTRKHLLMFGERPNHLLQKGKSVCLWRMARAHDAVTVCNIYQLPSPQDDVPDVTARSSIRACQEGNFSAVAWRSCRSTIPPYCTYL >Sspon.05G0007040-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:22103567:22116106:-1 gene:Sspon.05G0007040-1A transcript:Sspon.05G0007040-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Inositol phosphorylceramide glucuronosyltransferase 1 [Source:Projected from Arabidopsis thaliana (AT5G18480) UniProtKB/Swiss-Prot;Acc:Q8GWB7] MRSPALLVAAALVAAVLLAAGVGVAAAVGTEEAYVTLLYGDEFVLGVRVLGKSLRDTGTRRDMVVLVSDGVSEYSRKLLQADGWIVNRITLLANPNQVRPKRFWGVYTKLKIFNMTSYKKDTIVVKSIEDLFKCGKFCGNLKHSERMNSGVMVVEPSETLFNDMMDKVGQLPSYTGGDQGFLNSYYSDFANSRVYEPDSPLTPEPETQRLSTLYNADVGLYMLANKWMVDEKELRIIHYTLGPLKPWDWFTAWLVKPVETWQDIRQKLEESLPGTGGGRNPHDQLVVKILFILPFCLLLFGYYQSCFQTNKELISIRSLCAFARRARHKYKSEESLPSYSVVGSSSVFGISNQRLSNGHSKLPSYFGAIAVLVMPWTGLVLMLEWTFVAFFLLFGSYLRFVYRWGSISANHVGFSNSDSSENHMGPGHQRNMSDCDMDATFYWIGMATIATITVLLPTILGITALFTKLGLMVAGGIVLASFMTYAAEHLAISAFNKGQKDRNASRTRSFCF >Sspon.02G0006060-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:19652505:19654553:-1 gene:Sspon.02G0006060-1T transcript:Sspon.02G0006060-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRNYVPVYVMLPLEVVTTENELEDAGKLRAQLRRLRDAGVDGVMVDVWWGIVEGAGPELYEWRAYRELFRIVQAQGLKLQAIMSFHACGGNVGDAVNIPIPRWVREVGEGDPDVFYTSSSGARNQEYLTIGVDDEPLFYGRTAIQLYADFMKSFRENMADFLDSGLIVDIEVGLGPAGELRYPSYPETQGWVFPGIGQFQCYDKYLEADFKAAAVAAGHPDWELPDDAGEINDTPEDTGFFAAERGTYLTEQGRFFLTWYSSKLIEHGDRVLDEANKAFLGCKVKLAAKVSGIHWWYRHPSHAAELTAGYYNLGGRDGYAPIARMLGRHDGAILNFTCAEMRNSEQVEEALSAPEQLVQQVLSTGWREGIEVACENALSRYDRRGYNQMLLNARPNGAGLSGDGAAPCRVVAVTYLRLSDELLASNNFRIFRTFVRKLHADLNYCPDPERYGRPIKPLERSAPEMPIERLLEATAPAPAPAFPSDPETDMSVGGWLAEAVDWVLDKIEWVFG >Sspon.08G0012910-3C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8C:52926440:52932420:1 gene:Sspon.08G0012910-3C transcript:Sspon.08G0012910-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRRHAPAAPSASFLSLHLPLCSPRHSPVKGAAAAAIHGDRRRKETAPLRAPSPELALPRWVECSSVERPRVGALCAHDRTSARLLFQCAGETALWVFFPLDGGLDGGKEIQRGAGELGCSHELVLARGALRDGGRPPKHGTTSQAAVPQALACCSLSPPTSAGPACVIPEAPYIRHRPRVEAGPERWSTPLRRFLLETRPPVHVVYPLRISRLVLKLDLHCRGYRQIYSSNHNARETTHQKTKILTQNAQLDTGGLIRGTPCLDSPIPDDPLSRRHEQRRPNRSPLGGEELPDPLLRGGPVAPQAPEDRLREGVPDGGLELVRRGDDLIGGERGGPSLVDREARAAVGAREELPRGGVEVGRGGRDEGRDGDVGGGDWGGGGGERGQELERVERGFGDRVGGEAAEEDRAAEARGRVGGEGERGEELVDGGGGDRGVAQDV >Sspon.01G0005010-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:11621225:11624217:1 gene:Sspon.01G0005010-2B transcript:Sspon.01G0005010-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPWHEMWLPPAAGEGAVAAGLFLDGADAAAHGALLAAMPGCSLSFGPRHRRRGGAQPPGFLSLTMSVKGGRGFVPAPVGLLASSEEKAGAEESDALVAGKRAVEVAEGVILLQEKEKEKEKDDRAGAGAMNMTKHLWAGAVAAMVSSCWFQIRTRMVAPGGEALGGVIGVARHMIQTEGFFSLYKGLVPSLISMAPSGAVFYGMYDILKMAYLHSPEGKKRISMMKQQKQAANALDQLELGTVRTLLYGAIAGCCAEAATYPFEVVRRQLQMQVKANRLNAFATCLKIVDQGGVPALYAGLIPSLLQ >Sspon.01G0026520-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1A:92589112:92591755:1 gene:Sspon.01G0026520-1A transcript:Sspon.01G0026520-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEGDRNTAYFQAVANQRNRKKRINCLETPEGAYMDRGKDGADLDGKNTKKMETNVRARSGNNGGLGDPGAGEQSKSATKNLLGWGKISVGDIGFSVFGTGLRRCDFV >Sspon.03G0010570-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3B:35843831:35847321:-1 gene:Sspon.03G0010570-2B transcript:Sspon.03G0010570-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SDLLLCSLWFHVARSCGFTSLGEAMHLLVVVKKSSIAYKLELPDHSSHPVFHVSQLKSAIGAGNQILKRCVRSFPVPLLGGKRCFRGEGVSNSTVDGPTMKATASPVAEPVRSSKPKKRYAGNEWIHGHQNMGLLEEHVAAQEANQLRPLQAQRKTKDMGEVVAPRQATEGGASVKGPVVVTGASGFLGSWLVMKLLQDGYTVRATVRDPANVVKTKPLLDLPGATERLSLWKADLAVEGSFDDAIRGCTGVFHVATPMDFESKDPENEVIKPTVEGMMSIMRACKEAGTVRRIVFTSSAGTVNIEERQRPVYDQDNWSDVDFCQRVKMTGWMYFVSKSLAEKAAMAYAAEHGLDFISIIPTLVVGPFLSAGMPPSLITALALVTGNEPHYSILKQVQFVHLDDLCDAHLFLFEHPAAAGRYVCSSHDATIHGLAAMLRDRYPEYDIPERFPGIEDDLQPVHFSSKKLLDHGFTFKYTVEDMFDAAIRTCREKGLIPLATAGAECSASVRVPGETDVTIGALVS >Sspon.05G0015170-3C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:5C:52481624:52482559:-1 gene:Sspon.05G0015170-3C transcript:Sspon.05G0015170-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSEPPVLPTLLMFRVRELRFHAALRERYRVLDFFTSCEPLHAFLAAAAADPDPPRALVTAGGDSVRVDAAFLDAVPSLRFVFSTGAGLDHIDLGECARRGVAVANSGTVYSTDVADHAVGMLVDVLRRVSAADRFIRRGLYHPLGTKVGGKRVGIIGLGNIGSLIAKRLAAFGCVIYYNSRTPKESVSYRYFPTVHDLACESDVLVVACALSRETRHIVNKDVLDALGKDGVVINIGRGLHIDEEELVSALAEGRIAGAGLDVFEKEPKVPAELFSMDNVVLTRHCAAFTKESRSDLRDVTIGNLEAFFS >Sspon.01G0031730-3D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:109084105:109084658:-1 gene:Sspon.01G0031730-3D transcript:Sspon.01G0031730-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VAALTAQPYYSKGINSGAGEAGYVVTSAESVRLCTGLRRRSSGVCSKIRSVHAAAAVAIRESYLITDACDKWMSGQRGVISIDGLDYRKVPYQEKHVAQQTSIVGNMEAFGLLRKGSDEVVDGENAAVSAQAVVEFGAGRGYLTQVLVDCYGITNVFLVERRSYKLK >Sspon.03G0010320-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:27968000:27971043:1 gene:Sspon.03G0010320-1A transcript:Sspon.03G0010320-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Flavin monooxygenase-like enzyme , Auxin biosynthesi [Source: Projected from Oryza sativa (Os01g0645400)] GHGRRHGCAAARRTTRPRARGVGPWRGHRRRGPVGSGRGSVPSGSRRAVHGAGDVGLAGVHVAPPHLRPADAPPPEALLRAAAAPVPAGLPGVPVQGPVRGVPGILRRGGGRGPAVRVPRRGGRVRRGRGGVGGAPCGRRAAPGAVAGRGHGGERGAAGAGPARRAAVRPAASCTRATTSPGRSSRGKKVLVVGCGNSGMEVSLDLCRHGVTPSLVVRNTVHVLPREMLGLSTFGIAMVLLKLLPVQVVDRILLAAARLALGDTGKLGLRRPKTGPIELKNLTGRTPVLDVGTLDHIKTGKIKVVGAVKEVTQRGVRFADGKEEQFDAIIQATGYRSNVPSWLKDGGDVFTRDGMPRIPFPNGWKGKNGLYTVGFSQRGLLGASADALNIAREIHSQWLDMSRPGNNIVLPCNKAV >Sspon.03G0014480-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:68002758:68011587:-1 gene:Sspon.03G0014480-2B transcript:Sspon.03G0014480-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKGRTELDVGADGVAVITIYNPPVNSLSIDVLYSLKESYEEALRRSDVKAIVVTGKGGKFSGGFDISSFGGVQGGQTLQPKVGYIAIDILTDTVEAATKPSVAAIDGLALGGGLEVSMACHARIATPTAQLGLPELQLGIIPGFGGTQRLPRLVGLTKSLEMMLLSKPIKGGEAHQLGLVDALVSPNDLVNTARQWALDIYECRRPWIKSLYKTDKLEPLGEAREILKFARAQAQKQAANLHHPLVCIDVVEEGIVAGPRAGLWKEATSFQELLFSDTCKSLVHVFFSQRATSKIPGATDLGLMPRKITKVAILGGGLMGSGIATAMILSNYPVVLKEVNEKFLNAGIDRIKANLQSRVKKGKMTEERYEKAMSLVTGVLDYERFKDVDLAVIENVKLKQQIFSDLEKYCPSHCILATNTSTIDLNLIGEKTKSQDRIAGAHFFSPAHVMPLLEIVRTQHTSPQVVVDLLDVGKKIKKTPIVVGNCTGFAVNRMFFPYTQSALFYVDLGMDVYKIDRACTKFGMPMGPFRLADLVGFGVAVATGMQYLENFPERVYKSMLLPLMMEDNRAGEATQKGFYKYEGKRKATPDPEIMKYIEKSRSMAGVTPDPESVPSLLSVEKLPLLYSLICADSDSTQVQVLIKANLGAYFFLIKKKPGSLVWKQDDMKCQSNLDITFGKLLKLSEKDIVEMVFFPVINEACRVLDEGIAVKASDLDIASIFGMGFPPYRGGIMYWADSIGAKYIHGKLEEWTKRYGGFFKPCSYLAERAAKGIPLSAPAKKVQARL >Sspon.01G0020020-3C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1C:76051776:76052147:-1 gene:Sspon.01G0020020-3C transcript:Sspon.01G0020020-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTKLALLAVAVLLLQASWCAMAQPVVNSAVMALTSFEDGGPAACDGTYHSDGDLIVALSTVWFAGGSMCHRRIRITNSLPNGLNLVAEVVDECDTQSGCKDNMIATSKRVWDALGLDTNIGE >Sspon.06G0002820-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:7404891:7415832:1 gene:Sspon.06G0002820-2B transcript:Sspon.06G0002820-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVGSRVRGFLRNRWLVFVAAMWMQSCAGVGYLFGSLSPVIKASLGYNQRQVAGLGVAKDLGDSVGFLAGTLCAVLPLWAALLVGAAQNLVGYGWVWLAVTRRVPVPPLWAMCILIFIGNNGETYFNTAALVSCVQNFPKSRGPIVGILKGFAGLSGAILTQIYAIVHSPDDAALIFMVAVGPTMVVIALMFIVRPVGGHRQVRPSDGTSFTFVYSVCLLLAAYLMGVMLLEDLVDLSQSVTVLLTIILIIFLLVPIVIPVLLSFFSDDDETLYALLLPSPRKEEPTASTSSEEQQEVILSEVEDEKPKDVDLLPASERQKRIAELQTRLFQAAAVGAVRVKRRKGPRRGEDFTLMQALIKADFWLLFFSLLLGSGSGLTVIDNLGQMSQSLGYEETHIFVSMISIWNFLGRIGGGYFSEIIVKDYACPRAIALAIAQVLMAIGHFNFAMAWPGTMYIGTLLVGVGYGAHWAIVPAAASELFGVKNFGALYNFLTVANPAGSLVFSGVIASGIYDAEAAKQAQQRHNSTLLAMSGRVVNIVSEAAPSSKCEGAICFFLSSLIMSGFCIIAFGLSLILVYRTKIVYTSLYGKPRT >Sspon.02G0035780-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2B:21530443:21533076:1 gene:Sspon.02G0035780-1B transcript:Sspon.02G0035780-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MWMSESSDFVVQDVDVPYTTEPSWAPPCTCIRAYTTTTSRFPPSLSQRGLAELPICGARAWTRPRQRDSDCLADNLTREVHISSFPRQIKEALESGTTKGTEANSASGLAVNDECKVKFRELKARRSFRFIVFRIDDKDMEIKVDRLGEPNQGYGDFTDSLPADECRYAIYDLDFTTVENCQKSKIFFFSWSPDTARTRSKMLYASSKDRFRRELDGIQCEIQATDPSEMSLDIVKSRTN >Sspon.03G0005180-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:14924817:14927410:1 gene:Sspon.03G0005180-1A transcript:Sspon.03G0005180-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding PFAEANAEDSSAGAGSKDYVHVRSQQRNGRKSLTTVQGLKKEYSYNKILKDLKKEFCCNGTVVQDPELGQVIQLQGDQRKNVATFLVQAGIVKKDSIKIHGSCAAALSILGAPEVYLVAAGDALVRPAEPLLLALAADVGSSPGLVRHDSELVAVAPVAQRLRVLLGRLVLRLLQQPDLRVRERERHVEVEVHQVAAVPRHRLAVGPDHHQRRDLPHVEVPRQRARRRAVVGEREPRHVAAGAQVPVLLLVHGDVHDLEVLRLALEDAVDGRQLRGELDARRAMVSGEVEPHRLPHQARDRNLQPLAARLGRVLALVICLELRHPRGRERERPLAVAVHEVGGVRVYNRAVRSEHHQAWDALHLEPLAEALLAAEVAERHGGPRHGSVEPLERRPVLVARHQHDLKAGAALLELLVGRGQHRGESLAFRVPVRREVEADDLAVDLWKLNLPAGRRHLRCFDHDNSHEQQRSGNMDFVISKRKCSYKE >Sspon.01G0039340-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:30023115:30026906:-1 gene:Sspon.01G0039340-1P transcript:Sspon.01G0039340-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRPATTERADEDSLFRFPFLFLFLFLCLGVTINLTFTLAFHLFPFLCSSSLVASCSAVCQFRVPVHMDGWRWIGKAAAAAAVALLLLSTAPPGGPRRVLRSAITNAVRKARKLHGHSDIIVTGHSMGGAIASFCALDLAMSFGSNNVHLMTFGQPRVGNAAFASYFAKYVPKTIRMTHERDIVPHLPPYFFFLPKLTYKHFPREVWEHEVDGNTTYQVCDGSGEDPTCCRWEGNWSVFVLLWSASDHLTYMGVEIAADDWSTCRIVLGRSVEQLKGRLASDIVMSGLSVDVVIADDSVQVE >Sspon.01G0032280-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:110421561:110434442:1 gene:Sspon.01G0032280-2D transcript:Sspon.01G0032280-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMVASFSAEDFFPNAAGHLVDRLTGLAARRERVFRDLDAFCEMVINQHTDPARPKPPDNSGDLVDTIISLCKGSTAAQMMRKPQVLRKAQDKVRAAAGASGKPRVEPDDVARHKLPYLKKVVKETLRLHPPSTLMPRETIRDVRVCGIWYFYHAKKFTNTRGKLSGYRQRAVTGGGGTSWHSEIRSKDVQGSGSGTFCTFSYVRKTDPSSRSFDRVGWCMVEYDFVVADKKQDEATAGSSNYVLCKVYRSPRAKGKSASASSSSKKSSSKQTAKKRKAGSGDHPEAPPTRTERSTCCSWPVSPGPKRLSYDLKDVALAPCGEYWREMCGLLIVELLSTRSVKAAWHAHEQQVDTLVGTLTRAARASAPVALKEHIFGLADGIIGAVAFGNIYGTESGVGVPVRRAGQKTRVLVNLWAIGRDPTSWAAAEEFDPERFEGSGVDYGHAHFELLPFGAGQQISKSIDISEVRGRPFPPSDLCRQRVLSRHHARTYASTFTQSRSSSIHQQLHLGDMASNDDSRCTDNKASIALLRRVRAGDHVHFVHHVDVCDAVPEVLVADLEPVPGTGAEDDGYSRIWYFYHAKKYKNTRGDTSGHRQRAVTGGGGTGWHSEIRRKDVQGSGGGTFCTFSYGRKTEPSARSIERMGWCMVEYDLVAADKKQDEAAADSSNYVLCKVYRSSRAKGKSASASASSSSKNSSSKQTAKKRKAGGGDHLEAPPAKSIQQQEQVQQDTTACYQPQGVESEHGGDFNFNIEDINFYVDESMLRDEPEQGLQQPTAEPEHDGRFIQLPCGPVLAAEATVVGDILGPQTAVQRGRADSEHEHGDMEEFTVVRGVVIARTIDQAACIVFSESSDYSVNVHSTLHGAHYGPALLTGVATGSRFVRWLFVVAYSTLVLTFITSQSHTFVWINVLVWVAVMAALAFAVTYRRLSDLIEWLCGDDDTHHHQ >Sspon.06G0013100-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:68509591:68519346:1 gene:Sspon.06G0013100-1A transcript:Sspon.06G0013100-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNLSPSTLLNSISCLGALTSDVSTVRPKPIQKYCKNVYDISSIVNPLVEDLCKSPEEQLNEVLKDLDTAVNEASGLIGNWHQTTSKIYFKLQDINYEHMFDLIKEVAMELAETNAVGSENLLKLSSLLSLSTNMELYMESVSLENLRTRALRSENREELELAEQMIPLVNYMHDHLLREKQQLSINGVPIPADFCCPLSLELMSDPVIVASGQTYERVYIKLWLDEGFTICPKTRQRLGHSNLIPNYTVKALIANWCESHDIRLPDPMKSLKLNFPSAASSLQDSSAAGGSPLHPSVVSRANIPGSPEADVYLRNLNGASPPHSLANQNSHLHANRPGHEVSTSQTSENANGSAPDVSRLSLASSEARESSLEGRRGGSIGQMSEHSTEEAFQSSNLDRDLQDNLASSSLNGSLPNSGQLDGECDNGITRVPSDRTNYSSDASGEVTDGGGAPVASSVPQREHLIPPRLGTRAPFIRRQPSDRGFPELYLPQ >Sspon.01G0028550-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:113692674:113695739:1 gene:Sspon.01G0028550-2B transcript:Sspon.01G0028550-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:TIP41-like protein [Source:Projected from Arabidopsis thaliana (AT4G34270) UniProtKB/Swiss-Prot;Acc:Q8VXY4] DGFGGGVGGPDGRGAQVSGGGGDSRRRASEGVGHPVPQRPHPQRRLPPTFEDELQTTHLPEMVFGESFLSLQHTQTGIKLHFNALDALKAWKKEALPPVEVPAAAKWKFRSKPSDQVILDYDYTYTTPYCGSDAVVVNSGTTQTSLDGCSTLCWEDTNDRIDLVALSAKEPILFYDEVILYEDELADNGISFLTVRVRVMPTGWFLLLRFWLRVDGVLMRLRDTRLHCSFGKGSGAKPVVLRECCGREATFASLSAKGYPSDSAAYADPNLIAHKLPIVTQKTKS >Sspon.03G0009650-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:26023983:26032952:1 gene:Sspon.03G0009650-1A transcript:Sspon.03G0009650-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGGGGGGGGGGARGPSGPVPASARKLVQGLKEIVNRPDAEIYAALRECDMDPDEAVSRLLSQDTFQEVKSKRDKKKEVSRVKETPEPRSRGASNSSRSSRGGVDRAGRSSSVQSGSSGTDYMASRSSILGPAVPATNAMQKPTVPSLPTNKDVVPNGSVGAPQSSSGFQHNWFGVPGQMSMADIVKMGRPQVRSSGKPMAAADTSYAGQTPSLSSSLNQNSKQSASTALPTTFDQGFPALPDPIPHTVNSSHGSAGNNHTHENDWFPQDELPSEAQSTGIEASGDQSLSVASLDQSMLVADAAYSQENSHAEENNSTAVKATLSSERHLEIVEEDNHFNDGLLQNSSAYQAQVHSYVDNEVGISNVDAESAAANFQHLSLQNEDIAATKSAEDNPAVILPDHLQAANADCAHLSFGSFESGAFSGLLSSKVPKGSLEDDDVHIPDESPSVNRIDVRNQDYYDDDALNSSANEDVETRIGTNMDNIDGPSVSESDELRQGAIEVPGLQYDLPSVSSHAYSNTTQPSTVEDPQGNTHAQPFSHFSGLLQANALPNNLLGSNLTPLREFDFSQLLQTQSATKYNPSVAPNNLPAISMQETLKPGGFPNTQSTQHVPSTSIPSGLPLPQQLPVYSQPTLPLGPFTSLVGYPYLPQNYYLPSAAFQQAYSSNGPFHQSAAPAVPGAGMKYSMPQYKSSPPASSLPQPSSLSGYGGFGNANNIPGNFSLNQGAPSAPTTLGFDEALGTQFKDPNHYAALQQIDNSAMWLHGGAGSRTVSAVPPGNFYGFQGQSQQGGFRQTHQPSQYGGLGYPSFYQSQASLPQEHPQNPTEGGLNNPQGVPSQPSHQLWQHSY >Sspon.05G0005900-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:10627694:10630647:-1 gene:Sspon.05G0005900-3C transcript:Sspon.05G0005900-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MESQNGAGGGAPVVLNVYDLTPMNNYLYWFGLGIFHSGIEDGYAAVAEASRAVMCSNSNLPVHGMEYGFGAHEFPTSGVFEVEPKSCPGFIYRRSVWMGTTDLSRAEFRSFIENLAGKYNGNTYHLISKNCNHFTDDVCKNLTRKSNPGWVNRLARVDDDMDSVSSSIIGDSDLEELDQHLLPSTADVHSIDVPPKLAKDLL >Sspon.03G0011580-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:47400762:47407265:-1 gene:Sspon.03G0011580-3C transcript:Sspon.03G0011580-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSDSSSWARALVQISPYTFSAIGIAVSIGVSVLGAAWGIFITGSSLIGAAIKAPRITSKNLISVIFCEAVAIYGVIVAIILQTKLESVPTSQMYDPESLRAGYAIFASGLIVGFANLVCGVCVGIIGSSCALSDAQNSSLFVKILVIEIFGSALGLFGVIVGIIMSSQATWPAKA >Sspon.04G0029440-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:72210192:72216248:1 gene:Sspon.04G0029440-1B transcript:Sspon.04G0029440-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKMAECNYSDSIMDRDDGDNTMNLNEEDGEQRCLTTCSPAYRWIIGEISVSGATYKSMEFVGSTVESLTMEERMTLCNMVIEAGGKNGVVPADETTFKYLEGKTSVDYEPVYSDAQARFFSDYCFDVSKLEPVIAKPHSPDNRALARECKDVKIDRVYIGSCTGGKTEDFLAAAKVFLASGKKVKVPTFLVPATQKVWMDVYSLPVPGSGGKTCSQIFEEAGCDTPASPSCGACLGGPRDTYARMNEPTVCVSTTNRNFPGRMGHKEGQIYLASPYTAAASALTGYVTDPRDFLM >Sspon.08G0015260-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:61420906:61424577:1 gene:Sspon.08G0015260-1A transcript:Sspon.08G0015260-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTTAPLLSPQHVRPAHLPRRLPPLHLASHLDVPARRVLLRRAPPPCTAKFGKFDASDAPAEAEGAEAAADGGVAQPAEEDDRSGSLHPQLAELLIPQLEFLNEEGAQAELWALSKIFLDTLVQETGQKVTAIFPDAGAAALLKYQWKDAQFKCASLSDWKPVDPEDEVAVMIIPDHQMLEAVERIASQLSDDPIRPLVMWNPRLVSGDVGVGFNVRNLRRNFLSTFTTVYSMRPLPTGAVFRCFPGQWKVFYDDPNRPHRYLLARELTSRPDATDIERIFGGADEQSEEAPSLMNNVMGVFSFVSRFMRVISK >Sspon.06G0009310-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:40229120:40230337:-1 gene:Sspon.06G0009310-2P transcript:Sspon.06G0009310-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MENAPQTPTTAAAGNVLRVADLPGRGRGLVAACNVREGEVLLSEPPVLLYPSTLSSLASYCSACFRSLPATATIPCASCRAAAFCSPACAAASHPRLLCAALSHGGGAALAAAAPTEAIQEPLLFLLSAYSLPEPTLRSILSLSSAPPPPPGAQDAAGLHAAVAALAPRHMLPQGFSPDLTAALLAKDRGNSFAIMEPYRIGMSLELLKARAYAVYPRASLFNHDCLPNACHFDYPDRPGPGNTDIVVRALHDIPEGREVCISYFAANWRYADRQRRLLEDYGFRCECDRCQVESQWKDDDDNNNNGDDGDDTMEEEGEDDAGDRGDDGMEEEEGDGATNGNDDFPHAYFFVRYLCDNEGCWGMLAPLPPSPNGDLSHVFECNLCGKLRKEEDAMPDEGTSGMVH >Sspon.01G0040600-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:34717789:34724748:1 gene:Sspon.01G0040600-2C transcript:Sspon.01G0040600-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKRAFRYAVVDAFTDEPFKGNSAAVCLLEDDAGAAGEHLDERWMQAVAAEFNTPITAFLVRSGSSGSGAGADAAGGGVTAVTPQFRIRWFTPVRESELCGHGTLAAAHYLISSGLVECDTIEFVAKSGCLTAKRIVGSKDASNLYSPAQYTCSKFFVELDFPVIPVVKCNSAEVEVSSCDEVENVCPNIAELVQCPGRGVAITGPAREGSSYDFVTRFFSPKYGINEDPVCASVHCSLAPYWGQKLGKHNMTAFMVLSISFGRAELHKSWKYGGIDRAVCFVVVTILEVCAGDTHGGARSAVPSLKKNGEGFANKKKKNNNNGEGEKNTRFGGSRLVHFSGGGRN >Sspon.01G0007530-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1A:20796663:20797931:1 gene:Sspon.01G0007530-1A transcript:Sspon.01G0007530-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA topoisomerase 6 subunit A [Source:Projected from Arabidopsis thaliana (AT5G02820) UniProtKB/TrEMBL;Acc:A0A178UQD3] MSEKKRRAGASAAGAASSSKKPRASSAASYAESLRSKLRPDASILATLRSLASASASKSKAAAKSLADHDLSADPASSYIVVADQDSASVTSRINRLVLAAARSILSGRGFSFAVPSRAASNQVYLPDLDRIVLVRRESARPFANVATARKATITARVLSLVHAVLGRGIHVTKRDLFYTDVKLFGDQSQSDAVLDDVSCMLGCTRSSLHVVASEKGVVVGRLVFADDGDRIDCTRMGVGGKAIPPNVDRVSGIESDALFILLVEKDAAFMRLAEDRFYNRFPCIILTAKGQPDVATRLFLRRLKVELKLPVLALVDSDPYGLKILSVYMCGSKNMSYDSANLTTPDIKWLGVRPSDLDKYRVPEQCRLPMTDHDIKVGKELLEEDFVKQNEGWVKELETMLRTKQKAEIQALSSFGFQYLTE >Sspon.08G0018430-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:7701909:7704383:1 gene:Sspon.08G0018430-2P transcript:Sspon.08G0018430-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSTALLLVMIVAIIGSARCFASDTITANSAISGGQTVVSRGGSFELGFFRPAAAGGDSNTASSHNYYVGIWYKKAVTPCTPVWVANRAAPVSDPASSQLAVAPDGNLVLTNEVGELVWSSNVVIFGSSSNATVAVILDSGNLVLRRHDGEVLWQSAEHPTDTWLPGVRLGMNKITGHVQALSSWRSSSDPAPGMYSLGIDPHGTSQFFLSWNRTVNFWSSGEWTGSIFAGLPEMTSHDKYNFEFVNTSNASYFDYSLQDPTVISRLVVDVSGQARQIMWLPSADEWMVIWAEPHKLCDVYAICGAFGICDEKSEQLCSCPAGFRPSSAEDWELGDHSHGCRRNNPLHCHNSSVSDKDGGDAFLLAPGISLLSNSSPAAAGASPSSAEDCRSACLRSCDCNAYSYGSGCALWYGDLLGLSAMDTASTDDLYLRLSAMDVPSNDRNRTVVVFVSVASAASILACLSVIVTVLVKMFKRRQRNIRFMEAAAEGGSLVAFKYNDMRRATKNFSEKLGGGSFGSVYKGTLSGVGAAVAVKKLEGVLCVGDKQFRNEVRTIGMIQHVNLVRLRGFSSHGSERLLVYDHMPNGSLDRALFRAAPALSWRARFQIALGAARGLLYLHEGCRDCIIHCDIKPENILLDVNLVPKVADFGMAKLLGRDFSRVLTTVRGTIGYLAPEWISGVPITAKADVYSYGMVLLEIISGRRNARGWATTEQEASLSGYFPLVAARKVKEGEALVGLLDERLHGDADARELERACRDDEAHRPSMEQVVQALEGVVILDVPPIPASLQAAFAGNATFVGTLTSAYFDGLSRSPL >Sspon.08G0005550-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:14463007:14469736:-1 gene:Sspon.08G0005550-4D transcript:Sspon.08G0005550-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGGLGFLVAGSRREFVVLNVDDFSKQGSSQGCPDYICQICGDDIDILQEENEYFVACNDCAFPVCRTCYEYERQEGTQACPRCKTRYKRHKGSPRVHGDEEEEGSDDIESEFASSIAGRSNIVHPYRVSVAESSINSWDIDSVSITNSGTSVHFYEEHVGTPTNHHALVVHPNTGEIMRYNPLQTRPINPNRDLALYGYGSVAWKNRVEWKRKQQHKMQKVSSDGEGSDLNDFDSDRDIPRCAESKQQLSRKLPIPSSKINPYRIVISLRLAILALFFHYRILNPVHDAHWLWLTSVICEIWFAFSWILDQFPKWHPIQRETYLDRLSLRYEKEGKPPELAPIDVFVSTVDPMKEHPLIIANTVLSILAVDYPVDKVCCYVSDDGAAMLTFEALTETCLFARKWVPFCKKHKIEPRAPEWYFAQKIDYLREKVHPEFVRERRAMKREYEEFKVRINAVVANSRKVPEGGWSLPEGAPWHGNNVRDHAGMVQVITGHDCVLDDAGNKLPWLVYVSREKRPGYDHHKKAGALNALLRTSAILSNAPFVLNVDCDHYMNNSKALREAMCFLMDPVLGEKICYVQFPQRFDGIDQHDRYSNHNVVFFDINMKGLDGIQGPIYVGTGCVFRRHALYGYDAPTATKPPSKTCNCWPKSCCLCCGSKRKCSKAKKKQEHQKKVKCRDASKQVHALEVAGRETAPPVPQEKFEKRFGQSDAFLASTLQDNGEGCRFNMLKNLDDCIHVLSCGYENKTQWGKEVGWIYGSVTEDILTGFKMHCHGWRSVYCMPKRPAFKGSAPINLTDRLHQVLRWALGSVEIFLSKHCPIWYGYRCGLKPLERLSYINSVIYPWTSIPLLIYCALPAFCLLSGKFMVPEMNIYSSILFIALFVSIAATSILEMQWGGVGIEDWWRNEQFWVIGGVSSHLFALCQGLLKVLGGVNTKFRVTLKGGDTNEFSELYEFKWTWLLVPPMTLLLLNVVGVLAGVSKAITDGYEAWGPLLGKLFFSFWVILHLHPFLKGVIGKQNRVPTIVVVLSVLLASIFSLLWVRVSPFATKFDGPVLECAMARLVALHH >Sspon.02G0006000-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:19241805:19244770:-1 gene:Sspon.02G0006000-2C transcript:Sspon.02G0006000-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAVVEDAGMDAVQKRLMFEDECILVDEQDNVVGHESKYNCHLMEKIESENLLHRAFSVFLFNSKYELLLQRKLLDELGIPAEDAPVDQFTPLGRMLYKAPSDGKWGEHELDYLLFIVRDVKVQPNPDEVADVKYVNREELKELIRKADAGEDGVKISPWFRLVVDNFLMGWWDHVEKGTLGEAVDMETIHKLKE >Sspon.06G0033290-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6D:11893308:11899080:-1 gene:Sspon.06G0033290-1D transcript:Sspon.06G0033290-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MCAHSDDSGTIFELPVLIAPIVRDTVMPTPVVSSPVVTVNNDEEPVLQKPIQTDATDEEEQQQPQTENVPNVEAPRRSQRVRRSVIPDDYEVYNTEEFQMKDDPTSFEEVMRSNNSSKWLEAMEDEIKSMSINKVWDLEPIPKGAKTVGCKWVYKTKCDSQGNIERIIRNFGFKENVKDNCVYAKFKNVSASPAPMVKGDRYGDFQCPRNQYELDQMKVVPYASAVGSLQRSNFMEKLKTNRHYIVHNAFDPHLDWGRPVQYWLVSPRRAALHKGGGEPGLELRCSPEPPFPTYNPNRSRGSRCERREAPPPSLHRLVFFTGLHCVTDLHRPSLPSAERHRQIDGCLRIELHRASRSHRWTCNIPMLEEFAASITASQIVTCLVLGSLAIAPMPSWRMSASMVVSCIYTCGLLGRQQTSSLHADLGHPQVNESMRHKEGYPPGFGPVDLTTDAGPPTTPPMDTRQSMDNDVSTARRLENFINKVTRKRASLLIREPPKQAALLQQSKRLAAQSLSDAYLQMSWLLWKERNTRTFNNAAASMANQLAARIEDEANEW >Sspon.07G0030200-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7C:3472303:3472506:-1 gene:Sspon.07G0030200-1C transcript:Sspon.07G0030200-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGILQATSSTRVSNSRTPAGKDQEPLTTLGEGSNNLQLRARSPPLLQAVYRVGKHGRVTRNPQQLEDQ >Sspon.01G0003890-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:10908251:10909361:-1 gene:Sspon.01G0003890-1A transcript:Sspon.01G0003890-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding TMAVVSRSRSRAVPSALLLLLLLAVASAAAVGVAAKTNGHDVAEESNKEEESWTGWAKDKISEGLGLKHHADVDEEEAARKAGQTARESAQHTASEVGNKAGDAKEAAAGATNKAGQAKETVKGAAGEASSKAEYAKQKTKEATEAASERGAEARERSKQGKAKVEEKAREKAGQGYESAKDAAGKAQETLRQTTDAAAEKARAAKDAAWETTAAAKEKAEAAKDAAWEKADAAGEKAQESKEAAKGKATEKAASAKDAAWETVEAAKEKANEGYERVKEKARETADTAKERLEEVKERVACPSTDADGKGKHRRADADKYRSEDEL >Sspon.08G0027170-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:42889634:42890283:1 gene:Sspon.08G0027170-1C transcript:Sspon.08G0027170-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQLSLLHRALHLHRLSPGRGHGLTATAVVRARLSSSEPTPQQHAERLLAVAPHESIAAATEESHPRQELAAAGNNNGGGRTCALPTWALIGGITAGVAVALALSAGAGPALALGPEGPLVEEFWDNMRRYALYVVTVSTGVAYTVLQPIVELLKNPVTALLIVAVLAGSGFLVSQVLNAMVGNSDFIYRYEPQLPVPENGGR >Sspon.03G0026290-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:2330159:2346082:1 gene:Sspon.03G0026290-1B transcript:Sspon.03G0026290-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVAAPSSSSSCSSISISSELGSLFAAFDADADGRISASELRECMRATLGAEAAVSAEEAEALVADADADGDGLLDAAEFAGLVARLQQDAGEEDRHRGLRQAFGMYSETDNNVEAGCITPASLRRMLARLGEHQDVDECRAMICRFDLDGDGVLSFYEFKIMMNAAISISSELGSLFAAFDADADGRISASELRECMRATLGAEAVSAEEAEALVADADADGDGLLDADEFAGLVARLHQDAGEEDRHWGLRQAFGMYSEAENNVEGCITPASLRRMLARLGEHQDVDECRAMICRFDLDGDGVLSFYEFKIMMNAAISISIGIGSELGSLFAAFDADADGRISASELRECMRATLGAEAAVSAEEAEALVADADADGDGLLDAAEFARLVARLQQDAGEEDRHRGLRQAFGMYSENSMEGQGCITPASLRRMLARLGEHQDVDECRAMICRFDLDSDGVLSFDDVHCCSKYI >Sspon.05G0012120-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5A:35443837:35448066:-1 gene:Sspon.05G0012120-1A transcript:Sspon.05G0012120-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASQPPSSAVRVRGCGGAASASSARLFTGSPRGRVAASIGRGGGGGGAPSRAARLRTQIGPAPPPRHRPQRRLRTGRYSSIPRSRGIFVGAAPQITYRFFLECLANRGALVIATPYASGFDHFFIADEVQFKFDRCLRNLDEPVNDLPTFGVGHSLGSVIHMLIGSRYAVQRSGNVLMSFNNKAEAAIKQLENLSPPVVKQLLPLLQQLPPLYMDLVQGREDFIPKPEETRRLIKSYYGISRNLLIKFKDDQIDETSTLAQVLSSEAAISSLLDMSIRSLPGDHGLPLQQVLPDVPPAMADAVNRGGELLANLTTGTPWEAVAKEVGTTLGTDSGILRAQISKDVETLVDVIASWISSNSGPRLLRP >Sspon.02G0002620-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:85061403:85062872:1 gene:Sspon.02G0002620-3D transcript:Sspon.02G0002620-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MIAAKLAVVAVLALLGSASCRQAGFRNGNGGRGGNPIPVTQPPNNHPPATTPKPKPPASPDRPATPHPQPPSYPPATPPPPTYPPKTSPSPPPPTAAYPPTSLSPPPTTPTYPPTSQSPPPPTATLTYPPTSPSPPPPTPPPPPSSPPPNSDDAGKKLKVGYYENKCAIVRKHVSGFDDGIKAGLIRLFFHDCFGCDASVLLDPTSTNQQPEKFGIPNFPSLRGYEVIDAAKDELEKACPGKVSCADIVAFAARDASYFLSGGRISFDMPAGRYDGNVSLASETLPNLPPPFAGLQQLQKMFADKGLDAFDMVTLSGAHSIGRSHCSSFSRDRLPPSSTSDMDPAFAATLQASCASANGTDNTVMQDYKTPDGMDNQYYQNVLDRKVLFTSDAALTSDDMTNNLVRVYAIFPWLWQDKYAEAMVKMGRIEIKTDTTGEIRKRCRVVNSKP >Sspon.07G0009750-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7D:25188127:25189032:1 gene:Sspon.07G0009750-2D transcript:Sspon.07G0009750-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DGKHPGYDQSYVGRREFSFTLENDIYLRFQSFDSATELESSIKEKCPFKIDIGPVYSVDPSKRHAYAQRGTNVFVPVERELIFDIDISDYDDVRYCCSGADVCLDCWPLMTIAIKILDTSLRVTYYGYTVAVVVSIAGSVIAEQESLAMNKGLQLLTTFESTR >Sspon.03G0007330-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:20046991:20050642:1 gene:Sspon.03G0007330-1A transcript:Sspon.03G0007330-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMKNPAPASSSVAAEVKKGSWSPEEDALLTRLVEQHGAHRWSLISAAIPGRSGKSCRLRWCNQLSPDVHHRPFTPHEDALILAAHARYGNKWATIARLLPGRTDNSIKNHWNSNLRRCRRRAAAAAAAASRAAAGPCFAIGPQQVQDSDDVAPAAAEPEHHHSPQQPVAGGLGGGNSSVQPAGTATAEAEPSLSLSLGLPLPAAEPGAAADEESRNSQQGHGQASPVTLPQEGEGNAQLLAVVRQMVREEVQRQTGQLAYSLMAAAAAKAKGHHQPIDSSVGMQFTFYTLQFFSE >Sspon.07G0003640-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:8500208:8504611:-1 gene:Sspon.07G0003640-2D transcript:Sspon.07G0003640-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSILDSLASLGLGYAIAIALGFLVLLASVLLASYFCLRRGGAAQVFGAGAGVGSARHAASSASSSGHISITVPRVVFVAEDYDSPGSSSRGAAAAASPVGLDPSVIASYPRF >Sspon.02G0042920-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2B:87089590:87091963:1 gene:Sspon.02G0042920-1B transcript:Sspon.02G0042920-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPRASCAPPPSASPGSGPSPTPPSSAATAPSTGHPACSASSTTRPTGASRASSPPRRSARTLPPPPTAPAPASCSTAATATPSSTTTAPRSSSSGTPSPAASAGSLTRRPTSTPTTRCSAPQAPAATTAPAAGGPFLLASVGVAMYEEYEDVHYLAYGCFYSSETGETSAQTDLYLEDEHNQCSLEDRPAVLVGGALYFVTRSGTLLRYDVLGGRGLSV >Sspon.07G0032880-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7C:56146284:56146706:-1 gene:Sspon.07G0032880-1C transcript:Sspon.07G0032880-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLAHYHGEGDLGGSGRRPAPPSAAWAGRAIWGRDAERRASVGRDAEDGRRRSPLPWRRGSAMGEASLGWDAAEPAGVGRAAAGGGRSSQGGEIGAASFFIDGYGAGRGLVWKRWRGPHVGALQNHRTPSIPAASPGSGRQ >Sspon.01G0017390-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:60236964:60240996:-1 gene:Sspon.01G0017390-2D transcript:Sspon.01G0017390-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQELLKAQKCSHDNMDKLHDVEKNYGHLRDNLKNLEDKISNLEDENHLLRQKALNLSPRHSRTGESSPVKLAPLPHNQTESRRSRMNSDRYEDYHDVLQRCIKDDMGFKKGKPVAACIIYKCLLHWGVFEAERTTIFDFIIHTINTTLKAFRSPLRAFGQQTSMSHIDARYPAMLFKQQLTASLEKIFGLIRDNLKKEISPLLSLCIQVPSFFTRKLVTQVFSFINVQLFNSMLLRRECCTFSNGEYVKSGLCVLEKWIVDTEEHAGAAWDELQFIRQAVDFLIIPQKSKKTLEQIKKNICPALSVRQIYRLCTMYWDDKYGTHSVSAEVVARMRDMVSNDMQNPVSNSFLLDDDLSIPFTTEEIAEEVPDVDMSNIEMPSSLRHVHSAQFLTQQLQPSYLSR >Sspon.07G0037760-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:66720309:66725759:1 gene:Sspon.07G0037760-1P transcript:Sspon.07G0037760-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAGFPSRITGISARPDSSSACLIHKVGAPSNSLPGAVAVQVHRHQNKVRNGQAIRCAMATGKEQGAISTASSGDQTKGGLRGKLNKVVLAYSGGLDTSVIVPWLRENYGCEVVCFTADVGQGAIELEGLEKKAKASGACQLVVKDLKEEFVNEYIYPCLRAGAVYERKYLLGTSMARPVIAKAMVDVAKEVGADAVAHGCTGKGNDQVRFELTFYALNPELKVVAPWREWDITGREDAIEYAKKHNVPVPVSKKSIYSRDRNLWHLSHEGDILEDPANEPKEDMYMMSVAPENAPSKPEYLEIGIIAGVPVSINGRDLSPASLLSELNEIGGKHGIGRIDMVENRLVGMKSRGVYETPGGTIMAAAVRELESLTLDRETMQWKDIIALKYAELVYAGRWFDPLRQSFDAFMEKITATTTGSVTLKLYKGSVNVASRKSPYSLYREDISSFENGEIYNQADAEGFIRLYGLPTRVRAMLEKGI >Sspon.07G0013660-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:54852301:54852991:-1 gene:Sspon.07G0013660-2B transcript:Sspon.07G0013660-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPADLGYEDSCRKVVEEVAAAHGRRIDVLVNNAAEQHERRSITDVTEADLDRVFRTNIFSYFLATKHAVAHMGHGGGSIINTASVNAYKGHKTLLDYTSTKGAIVSFTRALALQLADKGIRVNGVAPGPIWTPLIPASFGKEKVEQFGSEVPMKRAGQPAEVAPSYVFLASEQDSSYITGQFLHVNGGVIVNG >Sspon.02G0024860-3C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:90641673:90644388:-1 gene:Sspon.02G0024860-3C transcript:Sspon.02G0024860-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEFKIGRLDGQPPRIRNVPIAVTPEGFWCCPSQAALQKTAKSPNQQGRPRGGASPAPSKASSVQRAPTISSEKRAQSTPTRSRTNSDEQVCLPADAVAAPEPPKVVPAPAPEKRPKQHKISVGFGQLGMSDLKVVLHGKEGVAVKMIVHKNILAENSTFFADRISSVACIEVPDCEDVEIYVETVGLMYCKDVKQRLIKQNVPRVLRILKVAESLGFRACIMSCLDYLEAVPWVGDEEENVVSSIRQLHDEDHRANPLLRRVTSDVLTNPPNDTLAHIIDLVLKSSEDRGRREMKSLVLKLFKENSNICANGSSADSSCIMTLYSCFQNCLDSLLALSRQASDPEDLAGEESSGSKDQTFRKIALEADNLLWLAEILSDRNAADELTVIWASQAELAELHPKIPVMHRHLVSCVTARLLVAIGKGEALPSKETRRRLLDVWLQPLMDDYRWLQHGCRWFDRAVVEDGIGQAILTLPLEDQQAVLLAWLGRFLKAGDGCPNLQRAFEVWWRRTFVRPYAEQQQPGSSSSRSGRH >Sspon.02G0036640-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:25913514:25916617:-1 gene:Sspon.02G0036640-1B transcript:Sspon.02G0036640-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding IPVDLVIDHSVQADVVKSENALQANMQREFDRNKERFAFLRWGSMAFNNMLIVPPGSGIVHQVNLEYLGRVVFNTDGILYLDSVLGTDSHTTMIDGMGVAGWGVGGIEAEATMLGQPMSMVLPSVVGFKLSGKLRDGVTATDLVLTVTHILRKHGVVGKFVEFYGEGMSELAVANRATIANMSPEYGATMGFFPVDPMTLGYLKLTGRSDEKVKMVEAYLRANNMFVDYNEVDIDFETEPIGKGKDGKDVYFKDIWPSNEEIAEVEQSSVLPDMFRSTYEAITQGNPMWNQLSVPKAKRFPWDPSSTYIHDPPFFKDITPTPPGPRSIENAYCLLKFGDSITTDHISPAGSIPRDSPAGRYLLERGVQPKDFNSYGSRRGNDEVMARGTFANIRIVNRLLNGEVGPKTIHVPTSEKLFVFDAAM >Sspon.01G0052300-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:21379097:21382086:1 gene:Sspon.01G0052300-1C transcript:Sspon.01G0052300-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTSSSSSLPQPPPPAAAAAASPGAASPSEERSAGGGGGGRPPVPPYVKAAAGSLGGVMEACCLQPIDVVKTRLQLDRAGAYRGIAHCGATVARAEGVRALWKGLTPFATHLTLKYALRLGSNAVLQSAFKDPTTGKVSAHGRLASGFGAGVIEALLIVVKIRLQQQKGLSPDLLRYKGPIHCARTIVREEGIFGLWSGALPTVMRNGTNQAAMFSAKNTFDIVLWKKHEGDGKVLLPWQSMISGFLAGTAGPVCTGPFDVVKTRLMAQGRTGDIKYKGMVHAIRTIYGEEGLRALWKGLLPRLMRIPPGQAIMWAVADQVMGLYERTYLQPVHV >Sspon.02G0013240-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:38059810:38062329:1 gene:Sspon.02G0013240-3C transcript:Sspon.02G0013240-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CYP707A4 [Source:Projected from Arabidopsis thaliana (AT3G19270) UniProtKB/TrEMBL;Acc:A0A178VA28] MAFFFILVCSLIFLAIASYVQYARWHKGKGRFGGGHEKASSSSLKLPPGSMGWPYLGETLQLYSQDPNIFFASKQKRYGEIFKTHLLGCPCVMLASPEAARFVLVTQAHLFKPTYPRSKERMIGPSALFFHQGDYHLRLRKLVQGALGPDALRALVPEVEAAVRSTLASWDSHARSTFHAMKTLSFDVGIVMIFGGGRLDERRKAELRKNYSIVEKGYNSFPNSLPGTLYYKAMQARRRLHDVLSDIMRERRERGEPGTDLLGCLMQSSQQGDDGAPLLSDEQVADNIIGVLFAAQDTTASVLTWIVKYLHDHPKLLEAVRAEQAAVREATDGGRLPLTWAHTRSMPLTHRVILESLRMASIISFTFREAVADVEYKGFLIPKGWKVMPLFRSIHHSPDYFQDPHKFDPSRFQVAPRPNTFLPFGNGVHACPGNELAKLEMLVLIHHLVTAYRWEIIGSSDEVEYSPFPVPKHGLSVKLWREENSTVDRKGRRETDDDVEDIIV >Sspon.07G0036910-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7D:46184696:46185055:-1 gene:Sspon.07G0036910-1D transcript:Sspon.07G0036910-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTCLAALPVASLRLWGEDVAATALCGLTLEELVMAAWPWRTRSPQPCWTPPWASQSLKIRRGETAEALTMEAMDRRRCRTSRSRCAKRCRSSPPQTPPTTPCPAAPPPSSTPSPSATS >Sspon.03G0024330-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:97655469:97659268:-1 gene:Sspon.03G0024330-1P transcript:Sspon.03G0024330-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDVDVSRQLKQMTDFIRQEAVEKAVEIEAAAAEEFQIEKLQLVEAEKKKIRQEYDRKEKQVGIKKKIDYSMQLNASRIKVLQAQDDLVTDMLESARKDLLCISRDHQTYKKLLKILIVQSLLRLKEPAVLLRCRKEDLELVDSVLESASNEYADKANVYPPEIVVDRHIYLPSAPSHYQAPGPSCSGGVVLASRDGKIVCENTLDARLQVVFRKKLPEDNN >Sspon.04G0010850-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:29266954:29271512:-1 gene:Sspon.04G0010850-2B transcript:Sspon.04G0010850-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTKKSVLCVALMYSCFLMHHACDHDGVSFGYSGSTGPKYWGSLSPNFTLCSKGIQQSPIDIVKDEAVYIPQLEPLERDYTTTNATIVDNVFNIALRYNDTAETVKVGGIKYKLKQLHWHSPSEHTINGERFAMELHMVHVTEDGNVTVVAILYRHGKPDPFLFQVNRLTLYFD >Sspon.01G0037070-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:15057319:15058089:-1 gene:Sspon.01G0037070-1P transcript:Sspon.01G0037070-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MENVLLDRSSSRSLEFPKRSLGRSRRGPTGARPAVPGGGSYSSFPTWQPYNHLHKGGAWTSAPALPYARSPTPMIYSSPSLPLLPSNQPPLLPLPPIATKYATFPGLPAAPPPPPPAPRAAGRAAAATTTVSAASAPAPAPPRQRDRRRRPARPPPTTTERSSTPQKKKPLERATPLPPAPVVTEALDDLEQEVARNFVQDLLHVLAPPPSSLPLPSFSLVVKASPARDTRVVAPAAPTCNVEAATADGIRGLLRL >Sspon.01G0011400-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:31124639:31129316:-1 gene:Sspon.01G0011400-1A transcript:Sspon.01G0011400-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALANTAAAAAAISGGSASASQPRRAPPSLLPLRRRCAVRAVHAAEPSKNPGVVVPAAAKTSSPTTVAPENDAAPARAPKAAAKWAVDSWRTKKALQLPEYPNPAELEAVLKTIEAFPPIVFAGEARHLEERLADAAMGRAFLLQGGDCAESFKEFNSNNIRDTFRVLLQMSAVLMFGAQMPVVKVGRMAGQFAKPRSEAFEVRDGVKLPSYRGDNINGEAFDEKSRVPDPQRMIRAYAQSAATLNLLRAFATGGYAAMQRVTQWNLDFTEHSEQGDSYWTHSAGLTPDHPLTTTTEFWTSHECLLLPYEQALTRQDSTSGLFYDCSAHMLWVGERTRQLDGAHVEFLRGIANPLGIKVSDKMNPSDLVKLIDILNPTNKPGRITVITRMGAENMRVKLPHLIRAVRQAGQIVTWITDPMHGNTIKAPCGLKTRPFDNILAEVRAFFDVHEQEGSHPGGVHLEMTGQNVTECIGGSRTVTFDDLSDRYHTHCDPRLNASQSLELAFIIAERLRKRRIRSSSGLNNILPLPHFGF >Sspon.06G0025470-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6B:68906761:68909333:1 gene:Sspon.06G0025470-1B transcript:Sspon.06G0025470-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSVILLGAIHRSRVTPAGRAASFRPPRTTGAAARGGRATSRPLSTTRGRANAVTRTPVASETFAVFPSGTVECGETSVLNASAPTSFSNPWRVQDEGPNNEDEDFTDDISDEVSTGRLPQHSSPSPPAPQEHVVEAAQACDELRRLIGCGGANLPKGENDQQGKKEMYRFV >Sspon.07G0016050-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7A:57313761:57314499:-1 gene:Sspon.07G0016050-1A transcript:Sspon.07G0016050-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSTWGSGACSSPEAPDLRRHFGPTLGSCPANDASQGPDARRQAPSCRGSGAPQRCPLGMALPATFPTRSCMGRNTRRQSFPAASRGSEAPRPARQLLEPTSFTAKKTSRRSTWGRLVPPNRHVPDDLSRYIRNKVGPRGGTITRKQVIPFHSILWPSLRTESKATQRGAPKAPPPHPVVFLLSDEEKTSPTVRQL >Sspon.01G0024700-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:115408847:115409041:1 gene:Sspon.01G0024700-2B transcript:Sspon.01G0024700-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSWKRKSGGGAGVAVRGAEEEKVVVPRGHVPMLLAAGGGNGEEGERVLVPVRLLSDPSVAELLD >Sspon.01G0010820-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:25048757:25054083:1 gene:Sspon.01G0010820-2C transcript:Sspon.01G0010820-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHTMAGHRNSHGKRHSDYTENGGGKRRNPGDDTYAPGPDDTVYRYLCASRKIGSIIGRGGEIAKQLRTETQAKIRIGESVPGCEERVITIFSSSRKTNTIDDAEDKVCPAQDALFRVHERLATDESLGNEDGEEISPQVTVRLLVPSDQIGCILGKGGQIIQGIRSETGAQIRVLSNDHIPACAISGDELLQISGDTVVVRKALHQVSSRLHDNPSKSQHLLASSLTQPYPGSTHLGGSSTAPVVGITPVIPPYGGYKGDAAGDWSSLYQPRRDESSAKEFSLRLLCAAANVGGVIGKGGGIIKQIRQESGALIKVASSNSDPDDDCIITVSAKEFFEDPVSPTIDAAVRLQPRCSEKSDSESAEQSYTTRLLVSTSRIGCLIGKGGSIITEIRRTSRANIRILSKENVPKVAAEDEEMVQISGGLDVARHALVQIATRLKANFFEREGSLSAFPSVIPYHPLPSGASDEPKYLSRDTKPVGHYLYSSAFRTSDDMIPSDSYASYGGSQNFIR >Sspon.06G0027010-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:82065988:82066431:1 gene:Sspon.06G0027010-1B transcript:Sspon.06G0027010-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPDVFIQNVMNRSYGSSFLSQFRETLFYYMELFDMLDTTMPRESESRLVLEKVLGCYVFNGISCEDTDLLERPEKYSLRQLQLKSNIVKVVKDEVIKHYNKDFMICQDGQWLLQGWMGCVLSAHTTWVADEDASCG >Sspon.04G0030270-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:76630373:76634416:-1 gene:Sspon.04G0030270-1P transcript:Sspon.04G0030270-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHQLDKGSVKNKNGGEVWLVKLAYPKYHINREEDKNMAEAAVVLALSKLVTSFGVASLQAFIKKEVTLSQDLPRTAKCIERELDMIHHFLSQVGSKIYSNTVLEGWIVRVRKVAYCVEDIIDEYCYNIDLLQEEGFFKRVIRTTYYANAFRVIASEMKDIEEEIKHLSQLKRDYREMFNELLDNTSNNTKIQSLTYNENPHAIKVDDIVGMKEYLELLQKWLDPKELKRIGYGETPADLGIMHCDKLGDALRGAVSNKRYFIVLDDVWDTRAFNELSDLLVDNHKGSRIIITTRNNDAASLAQEMYKMKLNPLGADDAFELFCRRTFQNSNMECPCHLKELSKQIVNKCGGLPLAINAIGNVLAVQEPDEITWRRMDNQFKCELEDNPSLDKVRSALSISFAYLPRHLKNCFLYCSMFPQDYIFTHEQIVKLWIAEGFVSHRGQSTLEEVANGYFTELIHRSMLQLVENDETGRVVKCRMHDIVRELTLSFSRKERFGMADITNLENKGDVRRLLLSNPDQVNQLITSRMDFPHLRTFIATREVASDQLLCLLISKYKYLSVLELRESPITKIPENIGNLFNLHYLGLRRTHVKSLPRSIKRLLNLETLDLKSTCIATLPKEVSRLKKLRHLFAEKLADEKKQHLRYFQGVKFPDGIFDLVQLQTLKTVEATKRSVELLKRLPELRLLCVENVRRADCGILFASISNMQHLYSLLISAKDLEEPLNFAAFDPRHTQLEKLTIRGCWDNETFRGPVFCEYGINIKYLTLTFCENDADPLSSISLSMPNLIFLSIRRGCWADDIIVRAGWFPQLKTLYLENLDRLKRLFIEEGALVRLEVLLMLSLTSLKEVPKGLELVSSLKKLNVSMQPPEFKLEWERDNWRMKLHHVQEICV >Sspon.06G0008160-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:42608039:42608996:1 gene:Sspon.06G0008160-1A transcript:Sspon.06G0008160-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPTSTLALVAPPLAVAVLCLVVRSASAEFWLDEFTTDGDVRTDYDRSGRQVASLVLDQRSGAGFNSTRKYLFGEFSVEMKLVAGNSAGTVTSFYLTSGEGDEHDEIDMEFMGNSSGSPTVLNTNVWASGDGKKEHQFYLWFDPAADFHKYKIVWNDKNIIFQVDDVTVRVFKRYADLPYPDAKPMAVHATLWDGSYWATQKGKVPIDWSSAPFVVSYRAYTANACAVGSGGSSSSCPAAGGNEWMDRQPDDTDRLTVAWARRNCLQYNYCDDGWRFPQGFPGECGRN >Sspon.01G0001070-2T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:2866002:2869477:-1 gene:Sspon.01G0001070-2T transcript:Sspon.01G0001070-2T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLGRRPWLLLLALASAAVAVEASEGDADPLYRACVEACQKTGSLKETSIKHCMVPTDGQPADKSWYTHEPLYLQWKDWNCKSECRYHCMMERENERAKLGLQPVKYHGKWPLKRASVFQEPLSASLSALTLVVQFNGWLSFFLLLYYKLPLRPETHKTYYEYTGLWHIYGLLAMNSWFWSAIYHSCDTTWTEKLYFSSAAAFLGYSLILAILRTLNLRDEASRVMVAAPILAFVTTHILYLNFYELDKGLNMKVCTVISIAQCLQWALWAVMTRHPSRLKIIFVAIGGAAAVLVEDYDIPPRWGYVDGRAICLAVAIPLSFLWWSFAKEDAEMRTSAILKKTR >Sspon.06G0001720-3C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6C:4311931:4319261:1 gene:Sspon.06G0001720-3C transcript:Sspon.06G0001720-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GSSSRRRSWADEVEEDELAASAGLNPNAAPFYGGSHPEVTTFFGGSPTDGYWERFSFTDSEASDTEPDSPLPEEKGKATAHVGGRRRRGRRRRQPKNPSGFMAAARRTQPLVAGPSRASRQLVAGGVAERRSRSPVAHPAHASGARMRTDSIRSRAVGAGAGQLAAIHFAHCGRGGKGGLHPHPPRPSAGRGGMCLCLHLGLRWVVALDVPLTQACWLPEFPTSAMEHRAAPPDDVIVQVNAAAVAAVDDRISTGQITEEDVGIVDDGKQIEGGRSTRRTFSQSYKMKHRNPLEFTGWQLALLGYQSLGVVYGDIGTSPLYTFSSFTLPDPGADDVLGILSLILWTLTLVSLVKYVFIVLHADDHGEGGTFALYSLLRQHVNFSGKSMPVPVTRLASDANLKFHSKKSSLQPRMLKFLEGSPIAQAAITYLVLVGTCMVMGDGALTPSISGAEAMFADLGHFNKKSIQMAYSCLVYPALILAYAGQAAFLIKNPSKLSTTFYSSVPEPLFWPMCCSDMGYAYYNTSDNSGHDLMNGIPPIVRHYVQHMGCLRELMVFVTVRHLPVTSVLPEERFLFDRLEPFGVYRCIVQYGYMDTQNMEDDEYVLSIIASLKEIAQSDDETMMMDSALANGTTFVLGRVILKMSSKQNCFKRFVINNLYRFLQKNFRSNISSLKIAPSKTLQIGMQYEI >Sspon.01G0016330-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:49854879:49858790:-1 gene:Sspon.01G0016330-2C transcript:Sspon.01G0016330-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGRMLLGVCAVLMAVAVANAAEGQVASVVVGLARCADCTRKNMKAEAAFKGKHAACAMPMRLQVAIKCKNTNGEYETKAVSELQSTGAFSVPLAADLNGADCHAQLHSAANAPCPGQEPSKIAPMSGGTFVAIPGKTHYPSAECASAFLCSPIKKHFLDHFHKAPVPEHKPKPVPEYHPTPEYHPPTPEYHPPTPVYGQPKPTPIYHPPAEH >Sspon.06G0008530-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:39038027:39055230:1 gene:Sspon.06G0008530-3C transcript:Sspon.06G0008530-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLPGTVALPDPLVGQSMDSILEGLAQDDILNAKELVQWENRVSFNDIAARQGIRIRRHNHPTASLKEIEEELGAPRNILEKIIWDKEIEVAEGHKVDKIANTQMQSQGRAKMPLEEVTQAARKAPPPRDFYGALEAAYKRNGVPALIAEVKKASPSRGVLRENFNPYVLGSLAVKPRVEIAQAYEKNGAACLSILTDEKYFQGSFENLEKVRTSGVKCPLLCKEFVVDKWQIYNARSKGADAILLIAAVLPDLDIRNFLQICEELGMTALIEVHDEREMERVLKINGVKLIGINNRSLETFVVDTSNTKMLLEKHGDSIREKGILVVGESGLFTPDDVAYVQNAGVSAVLVGESLVKQECPGRAIVGLFGKELLH >Sspon.06G0022760-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:38693511:38695178:-1 gene:Sspon.06G0022760-2C transcript:Sspon.06G0022760-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVDHGAASNGNHVQQSRRTTAELSSSGPPRKPPLVDDDGRPLRTGTLWTASAHIITAVIGSGVLSLAWGVAQLGWVGGPAAMVLFGAVICYTSTLLAECYRSGDPMFGPRNRTYIDAIFFSQIPDLDKVWWLSTVAAIMSFSYSTIGICLGVAQIVVHRGARGSLAGVIGAGAGVTVMQKVWRSLQAFGNIAFAYGFSLILLEIQDTIKSPPPSEAKVMKKATAVSVAVTTVIYLLCGCVGYAAFGGKAPDNLLTGFGFYEPFWLLDVANAFVVVHLVGTYQVMSQPVFAYVERRAAAAWPGSALVRARDVRVGRAMAFTVSPIRLAWRTAYVCVTTAVAMLLPFFGSVVGLIGALGFWPLTVYFPVEMYIAERRVPRGSRRWLLLQGLSAGCLVVSVAAAAGSIAGVVEDLKAHNPFCWSC >Sspon.02G0031090-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:113610991:113615220:1 gene:Sspon.02G0031090-1A transcript:Sspon.02G0031090-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MELKSGRRLVYPPPEQQEDGHRRQSQQGSEDRISGLPEHLLLEILIRLRSADGAARAGAVCRGWRGLWTELPELTFWDENPQPVMSALAGITRPSLDLLDINLMADWGEDWAGQISLLLRAAALVLPKKLIIFILTPPTKDYIIELPCFERTSYLSLDLMGSLPITLSRSGEFTALESLHLESCCIDLGALLSLCPCLRILNILNINNFRHVDTVIVHSPSLEELGLEIHNHDMCRIDIAAPVLKEVTLEIDIGKGFSFSFSAPMVKKLRWGCSYSPCVSVGFGQIWHLMSIKEREVDEVRVISLIIMSSANPNGLLDAEWSITQVIAHLPVTAFSVLELDLEIKRHTFGPIMLYLLRIQPTIQRLKVVLARDKVRVPCPVNCPCEHPINWRSEDASLVNLEVVEIHGLQGEDDEFKELAQAYEVLSDPEKQEIYDQYGEDAHSAAAGYAWNTFQSAVA >Sspon.03G0012570-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3B:44874127:44875866:-1 gene:Sspon.03G0012570-2B transcript:Sspon.03G0012570-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding EKYGPKGQNKPSNGVQGKADKPKPNADVDINVGLSTRPPWFCSLCKTTTTSKQTLLLHADGKKHRAKAKAFHSSQKLKDGAEQTSDAKEAGAVPTKESAQVNGGVSGDRERNEDKDAGKRKRMDDMTIEEPDNTKRQYLTSSSVGELTKSGDDKSENKAKSKADELASGADCKSVQKQKIKWKKIITKTLKTNADGVMKLKKLQKLLSKELQECGVSKDKEGLRATLMDK >Sspon.06G0029390-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6C:22284909:22286691:-1 gene:Sspon.06G0029390-1C transcript:Sspon.06G0029390-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDPDAEAASRRRWTLVLVNLASVLEKADEVLLPAVYKEVGAALGASPTALGSLTLCRALVQAACYPLAAYASARHDRARVVAVGAFLWAAATFLVAVSGSFVQLTSKMWLARAAFACALRRWRSRGASTASASRWCSRRSVPSSPTTPGAAFGWLQMTCNLGSILGGSFGVLLAPITFLGVAGWRVAFHAVAIISVALGVLMWLFAADPASPAAKSSKTAAASATEEAKELLQHARRVLGVTTFQIIVAQGIAGSIPWSALNFSAMWLELVGFTNWETSVITGLYLFATALGALFGGLIGDPVARRFPNTGRIALAQISSASALPLGAILLLALPNDPSTGVAHAVTFFVMGFAISWNAASTNKYDRYDAFVSGVLLCCIAACSLSIVFLPAQLHCSPIFAEIVPEKARTTVYALDKCFEAVFASFASPIVGVLAERVFGYKPVSSDTSVDTDRENAAALAKAVYTEIAVPMAICCLTYTFLYCTYPRDRERARKELLMASDDQLGGDGEASDD >Sspon.02G0030360-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:109429022:109430351:1 gene:Sspon.02G0030360-2C transcript:Sspon.02G0030360-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHAVSGDVDDHDFLQITKVKLRQFLPQPPRRSRQGRHGRRCSLLTPEPPPSSSLPQPPPELDAGGPADSKEGGGWVFFSPFSSLSGSPLPPGGAPMVDLLEDSGRRRDSRLLCADPTSPRPDPVCWRTVVVGGGCRDVVVRSSSLMPGWRGRPGLAGCAPAASRWRCLEAAWHARCAMVALLAVLGRGDGRRSSTSVAGSAPVELAGGGGAVGLVQDGGVETAGDLVRRGGCKVSSARGWDAGGLGDLGLLLIPALFSPLAALPLPRAGFRGGASRSCSSELLPTALLDGVPRGGGRAGLLLNLCRTLPPFRVGSLVVAVWRLFEVGAKAWTTASVDVVPFLEASFPTSPPTVLDVAGENLPMTGYPVGAFASFPPWGQARFSSSAVASCHLVLVAPQRIFVFLMSLFSC >Sspon.01G0019300-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:77185322:77190676:1 gene:Sspon.01G0019300-2B transcript:Sspon.01G0019300-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MELVTLLALTLLACSAAMLARLLVARAQRRRCYLLDYVCYKATDDRKLPTDLCGEIIQRNQLLGLEEYKFLLKVIANSGIGEETYGPRNMIEGGEARPDRLREGMEEMDETFHAVLDELFARSAAPGGVGIRPADVDVLVVNVSMFSPAPSLSARVVRRYGLREDVKVYNLTGMGCSATLIALDLVNRFFSAHAGQVALVMTSESIAPNWYAGNKKSFMLGNCLFRSGGCAYFLSNDPRLRAHAKLRLRHVVRTHTGASDEAYNCALQMEDDAGRPGFHLGKELPRAAVHAFIHNLRVLAPKVLPLPELLRLSCATFSARLARKRGSKSSNHLTIRMKAGVDHFCVHTGGAAVIDGVGKGLTLTEHDLEPSRMTLHRFGNTSASSVWYVLSYMEAQGRLRKGDRVLMVTFGAGFKCNSCVWVVENPATDAGVWKDRIHLYPLKDVSNPFMEKYGFLKDLTIDGPM >Sspon.07G0032500-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:50926474:50931423:-1 gene:Sspon.07G0032500-1C transcript:Sspon.07G0032500-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DLIDEGALNIISDVLQSQDKELFSAGANILVYFVDLHPDLVRTFIANHEVNYLEGNSLLEFLVQGMIIDSAEEMLYQSCLKILLGSSDTTTNHQDVVLQVFFDKHIQKLIDVIASSCAPKGIARSTICFATNAMEKVLTLTHRREKVLVVAAVQFVRTIIGRKDDFLVDRVIKLNLLKPVMKAFDENGDRDNMLQSVVLELLEYIRKENLEPLIEYVAESFWDQLVKFERLQSIQAFKLKYLLMSIKSDVPEKAKTKQSTGVVDTRKKADERGADKEQEDYFNKDRVDSSTPTMHSQEQSIPTRKPVNADEAQVVGNNPDGGRHSPHKKLKSVASASPSRSTKSSEGSGEGDGSRGS >Sspon.02G0006660-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:20917369:20920303:-1 gene:Sspon.02G0006660-2C transcript:Sspon.02G0006660-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRTGDDHRAVDGRLEALLSGAASEEPWLRRMASATALELRLLAPLAAPAVVVYMLIIVMSSTTQIVCGQLGNVQLAAASLGNNGIQVFAYGLMLGMGSAVETLCGQAYGAEKYEMLGVYLQRSTVLLMATGVPLAAMYALSEPLLLLLGQSPEIAGAAAEFAYGAHPADLRVRRQLPDPEAQSIVAPSAYILAASFALHVALSWLAVYGLGLGLLGASLTLSLTWWVLVAGQFAYIVWSPRCRATWTGFTWAAFADLPGFAGLSAASAVMLALEVWYFQVLILLAGMLPDPQVALDSLTVWWVITYCYCAMHVDPVMGVHDLCGLQCSCQRFDLQCSVRVGNELGAGKPRSAAFSAWMVTALSAFVSGIAGLVTFLLRDKLSYIFTSGEVVSHAVADLCPLLVGTIVLCGIQPVLSGVAVGCGWQAMVAYINIGCYYFIGIPLGVLLGFKFDFGIKGLWGGMIGGTLIQTLILIWITLRTDWNKEVEEARKRLDKWDDARQPLLACKE >Sspon.05G0005990-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:19054325:19061579:-1 gene:Sspon.05G0005990-1P transcript:Sspon.05G0005990-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSCLGACSGGGLALSAPRCRAPSYCSVAPHRASVSCSAGGGKASPRGKDNVWSVDNDRAAKEAVRGTKHRRRRRPSGRRLPPPKRKGKDAGSRVLVSGAMLVEVETVLQTQVFVLHLSTSAHGPRVSRFLEGTIPNLADSPVSTLAERHRAGRPSKQSMPPSCLGACSGGGLALSAPRCRAPSYCSVAPHRASVSCSAGGGKASPRGKDNVWSVDNDRAAKEAVRGTKHRRRRRPSGRRLPPPKRKGKDAGSRVLVSGAMLVEVETVLQTQEPVIKPSWDTFASSLSGNWKGVGAVFSPITAEMEPVGVGSKEEYLYDCYTLSHIERSFDGGHGSEIRRKTNWVPINPFGEAEKQITSYDGGSQSTSSGKGTANLPSYESFDLNRSAVLDEETFSMEPGIVFFEDGSYSRGPVDIAIGEYDESKYFLSPTYKFEQCLVKGCHKRLRIVHTIEFNEGGANIQIVRVAVYEEKWASPANIHVEDDTLVDLKPFSQRSRAKPSELTGSWKVYEVSATPIFSDEMQELEGGSPFVYLCMETVKKRTLPESSVFFGEEEMLDMQDVTVLWLPGGVTAYVDINEDGILCIGVGWYSEEGINLVMERDYGTDGRLREVRSKTEVKRRWYQSVP >Sspon.03G0017140-3C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7C:84885848:84886843:-1 gene:Sspon.03G0017140-3C transcript:Sspon.03G0017140-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MWWALEKHKVPTKYVTLIKDMYRDVVTFVRTCDGDTSDFPIKIGLHQGSALSPYLFALVMDEVTRDIQGGIPWCMLFADDVVLVDDSRAGVNRKLELWRHMLESKGFRLSRTKTEYMRCDFSATRHEEGDVSLDGQVVAKKDTFRYLGSMLQKDGDIDEDVRHRISAGWLKWRQASGVLCDKRVPQKLKGKFYRTTIRPAMLYGAECWPTKRRHVQQLSVAEMRMLRWCCGHTRRDRVRNDDIRDRVGVAPIEEKLIQHRLRWFGHVQRRPPEAPVRSGVLKRADNVKSGRGRPKLTWDESVKRDLKEWNISKDLAMDRSAWRLAINVPEP >Sspon.03G0016650-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:72986520:72991707:1 gene:Sspon.03G0016650-3C transcript:Sspon.03G0016650-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGGDTAAWGEEEPVARRRPKTKIVCTLGPASRSVEMISRLLRAGMCVARFNFSHGSHEYHQETLDNLRAAMELTGILCAVMLDTKGPEIRTGFLKDGKPIQLKKGQEITISTDYSIKGDEKMISMSYKKLVDLKPGSVILCADGTITLTVLHSDKEQGLVRCRCENTWMLGERKNVNLPGVIVDLPTLTDKDKEDILKWGVPNKIDMIALSFVRKGSDLVEVRKVLGEHAKSIMLMSKVENQEGVANFDDILANSDAFMVARGDLGMEIPIEKIFFAQKVMIFKCNIQGKPVVTATQMLESMIKSPRPTRAEATDVANAVLDGTDCVMLSGETAAGAYPELAVQTMAKICLQAESCVDHAAVFKSIMASAPIPMSPLESLASSAVRTANSAKAALILVLTRGGTTARLVAKYRPSMPILSVVVPELKTDSFDWTCSDEGPARHSLIVTGVIPMLSAGTAKAFDSEATEEALGFAIENAKAMGLCNTGESIVALHRIGTASVIKLLTVN >Sspon.08G0030570-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:62598989:62604865:1 gene:Sspon.08G0030570-1D transcript:Sspon.08G0030570-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRQQSADRSQGKPILRSVAAKGGAVVCAALEDTEITFRHNRPNQFGFDLLSSVSSLEPEAIGSSHAALESANNSNDVGHTNRDLHAANVANNQDSDNNGDHALM >Sspon.08G0002420-1P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4C:71709521:71711552:-1 gene:Sspon.08G0002420-1P transcript:Sspon.08G0002420-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding WITAPRAASWRRRASQRRGARCAPAWTSPARWATRWPARGPAWRRSRRRCRRWRPRCARSARRGPSSPPPGPTSTAPWAPPPPCSRCSTPCTASSRRCWRPAPRAPARPGTCRGTSPSWRSSRRRTGSSPTTAGSPHSGSPTLSSTSATATSPDPRFLADLGVTLDELRTPPAGDLDGGLLAAALGILEAEFRRLLADHSAPLAMPQPGAVAGSASPSRVPAAAVHKLTLILDRLVANGRQDRCVAAYIDARGGVVSASLRALGLDYLRDPSQDAQALGPALESWGRHL >Sspon.08G0021800-1P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8B:43913943:43917725:-1 gene:Sspon.08G0021800-1P transcript:Sspon.08G0021800-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKGNFSQFEDNSGIAKVATEKQPETEEATKNPDQGDEVTRKPVENEPTDEKTSKNDEKQLQSSVDVTKPSESATAENERGTESESKNNVVNKKPSESSVEEPLQNSVVDVKPSGNSAAMRKPWQRSLQTDKPFLSSTSTVKPSPGSDATEKPSSSNGVHMKQSESSTLVKKPWQQNVPTENPPQSVLPSDKPSATSVDDAKPSERSKVVKKPWQSNTAAEKQLQNSAPTEKSQKSVATDKPSPTTNMKSLDNTTEVKSPWGRRMFNNKSLKSTVGTEKSSATSVVHVRPGETSTVAPVPQQQTENIEKPSDTSADDAKSADDTKSADDVKLSVASPDDAKSADDTKTTDDVKPSENTAAVVRKSWQRNIGTGKQPLTTAVDPKVTETSGTVKRLWQRSAATDKPSQSATAVVKPLQSSVAVSKPFQSNVAVKKTWQRSVTPENQLESSMSSNKPLPSKVAVDSLVQSNTVEKMFQSNVPTEEPQKVIVATENQSQTIEVTKKSNDTSMKLSVTSETTKVPPLAATVLQSDVSTEKPSQTDMPTMAPSQIPEPTEKPSESAFNAEKLSKTDTAAEKPLQSMITEKVQSVAATLKPSQSDTAPQEILERNMATEKILQSAMAVEKPPPTNLITEKPSIKDASEEPVQTNEPSEQPLKTKEVEKPHQSEKIAAETRGSEVSIGNVLELESNATKLNKDHSEPEGLSSGRNPPDFQSNPNAGQQLESKGIVAEKVADHIMEAENDAVEHSSESQSVAPAEVPKEQPSEHQKDTDMQQLLEPQNGNHPGNPLEPVSDTAAKDSSEPKSDITTEKPAESQNNADQSVEQSSEPQIDKSTEKPEVHQSSTSSDELSRLQSDAGADKLSVPSSDPESNASVSKPSESQTDVITMEAPEPQIDALPDKATDQPVKPQDDASAKKPMGTESDAVCDKPSESSSDAETLLVCHQNSSITSDEPVQGDISYETPHQRSAPIETTPGSDTVVEDCIHHEDTSSKPSEENKAIEEPEEVSAKLPDDHVTSEKSSEEDEENAEPSVDNAPLGKQLEANEESSKPSGDTVTPEKPLEEDKTSVEPSENDASFGKLLEADEVSANPSEDIATPEKPLEEGVASVEPSEDNSVLDKPWKEGEVAAKPSKDVVTPEKPLEEGSTTAEPLEDNAAVGEAKEEDEVIPKPESSAALEKSLEGSESSVEPSEDNAALEKPSEDNEADAKSSEDSVAVEKPQQEEENGVKALEEGVSPEKSAAGKPLEEEDPVHEKPADADAA >Sspon.05G0002370-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:2595640:2596386:1 gene:Sspon.05G0002370-2B transcript:Sspon.05G0002370-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGEYRSSSEESAASAAAAAAAAAAAAAAMAPLAAAAAAAGGGGDGEPDTADGAGTLSAASIRKKAIEVGSRVDALQTGMMVPPPHHRERQRHHHHHHLPQLRVHAHAEEEQEQKPQPPQRPAWSGRVKNPDLNRAPSPDSSDAE >Sspon.08G0008820-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:33187081:33189776:1 gene:Sspon.08G0008820-1P transcript:Sspon.08G0008820-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAGRLGGHRRLLGTAPAPAGDGASHGSSSSPDAMRIMVGVLVTVIICTLLYCIYCWRWRKRNAIRRSLLDSLWPRSSSDLPLMDLASILAATDNFSKSNKLGEGGFGPVYRVRNQPKIAVATVSAPMLAGSFADPSKSAQLGWSTRHNVIVGIARGLLYLHEDSLLKVVHRDLKASNVLLDHKMSPKISDFGMAKIFEEDTDGINTGRVAWKLWSEDRAGEFMDPSLGRSYSKDEAWRCYHVGLLCVQENPDVRPTMSNVLLMLIGDHMKLPEPAMPPLFTRLRNISLLAPPLTTKTESTTSPQSINDVSITMIEPR >Sspon.03G0020490-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:86156528:86158365:-1 gene:Sspon.03G0020490-2B transcript:Sspon.03G0020490-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRGCVRNPSASHGCEKGQQPVVGDRAGRRARGEAVAVGIEDKQATIGRTKEKERRELSMGEPLLTSLSMENSNSHPCTLLSMDPAGSHPASAESSGGGSAANNGVGVGSGGDRELFIIPRRESAHPGPPDINLPLSADPSPPPPTWSLDTFDILDVTLGTHNYESEVALTLPKLTGNGNGNGSATIGVGSRKCAKRGDSIWGAWFFFNHYFKPALVEKPKGKVTRDPSGSISGFDKSDLRLDVFLVQHDMENMYMWVFKERPDNALGKMQLRSFMNGHSKHGEPSFPFSADKGFSRSHRMQRKHYRGLSNPQCLHGIEIVGSPNLSAVPEADLKRWAELTGRELNFSIPSEASDFESWRNLPSTDFELDRPHPPAAKSATHGSHSHKKGLNGSGLNLSTPPSSDDGMDLSPKCAKRRKDFFGHGVEEDCVMANNSCSDREQEVEAHTGEPSWMHEFTGVAKHASGPVTAAKTIYEDEEGYLIVVSMLFSDPRSVKVSWRNTLTHGIVKITCVSTARMPYIKRHDRTFKLTDPFPEHCPPGEFVREIPLATRIPEDAKIEAYYDETGTGLEIMVPKHRVGPEEHEVQVCMRPPHLGDNDLVLS >Sspon.01G0031610-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:106326619:106327759:1 gene:Sspon.01G0031610-2B transcript:Sspon.01G0031610-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-specific phospholipase C1 [Source:Projected from Arabidopsis thaliana (AT1G07230) UniProtKB/Swiss-Prot;Acc:Q8L7Y9] MAAAARHVPGTRLLVALLLLALVVSGHCLDAHHRGLKRRRRKHEIHSPVKTVVVVVMENRSFDHILGWLRRTRPDIDGLTGRESNRLNASDPSSPEILVTDEAGYVDSDPGHGFEDIREQIFGSADTSAVPPPMSGFAQNARGMGLGMPQNVMSGFKPDAVPVYASLADEFAVFDRWFASVPTSTQPNRLFVHSATSHGLTFNARKDLIHGFPQKTIFDSLEENGLSFGIYYQNIPATLFYQSLRRLKHLVKFHQYSLKFKLHAKLGKLPNYVVIEQRYFDCEMFPANDDHPSHDVARGQRFVKEVYETLRASPQWNETALIITYDEHGGFYDHVPTPVVGVPQPDGIVGPDPYYFKFERLGVRVPTFLISPWIEKGTG >Sspon.03G0005670-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:16090496:16093251:-1 gene:Sspon.03G0005670-1A transcript:Sspon.03G0005670-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGNAWSPLPSHGFGPTGQRPSTAPQPDHNNHYPHQNPWFMGAGAPPVAGGRWGNYTFSAAIGGLFPLLSFQVHGFPQATAYGPAAGFPYGYGHSFHGYGHGFPAPRRAPQGQQVDVYLKVLLLVVGVLDCRHYKL >Sspon.02G0025800-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:89645531:89647130:-1 gene:Sspon.02G0025800-1A transcript:Sspon.02G0025800-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLTTSFLLQCRVNVDAAVDILMKGSKHRLLFCWDASKCTATGLFTVENKNKPLVLKELKKLWSKEEADGLPWQQGEFSPSNTLLVDDSPYKALRKPPHTAIFPYPYSYKNRKDDSSLGPRGDLRLYLEGLATADDVPRFVREHPFGQLQDLRSEKLQDLGSEKLDLFRLNYGILTLIPKVKGAVNIRQYRPICVLNVIYKIITKTLNLRLNRIANKIISPHQTSFIPGRYILDGVAVIHEVLHDLARKKQSSIVLKLDFEKAYNKVSWIFLKEVIEKKRFCERWIDWIMKFVCGGRVAVILMAN >Sspon.07G0007560-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:18010454:18014533:1 gene:Sspon.07G0007560-2B transcript:Sspon.07G0007560-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCRSSRLDATDVSPAAALCRERRDLLRAAADHRARLAAAHAAYFRALPRVADALARFASHHHAATPPPGSPVLTLPPPSDHDDAAADADEPKKQRSGSATPHTDSGHSHIHFHSDDASESDPDSSADDPCAGPGGCGGGHGEIPPQPAPVLRPGVPLPPDRGHGEPQERQIQDPGFARPEMPWEYASYDPYPSFPNTAFQNATFPSYYYMKASSTPANTVYQEPYGYGNFATSSSYMGYNYGYSNPMYGVPLPPEGGRPVEDRGREPAAAPAPPPPMPMPETTPWDFFNPFDSYEQDLPQYKGKGYDSNGSFTSSPNSSEVRAREGIPELEEETELESMRESVKARKAVESTASNRIGNVDVSAKVKVSMEHKEVEIESVYSASVLESGDESVCSCDCDHANARTEGPVQVPSGDDHGKVRKVSSEDHSSIVVGEDVRPPEFTGTRDVAEVVDEIKEQFKSVAACGDDVARILEVGRMRYRSRNRILRLVFSRMMGTFALSFSSLSETPVKGLEQSAINSSKGNQNSSKRFDLPSDVELNTLSATMDRLYVWEKRLHKEIVEEEKLRITYDKEWKRLKELDANGAEPYKIDTTRASIRALLTRINISIRSAKVICRRIHTLRDDELHPHLVTLIQGLVRMWKFILECHRKQFHTILQTKSHILIPKNGPERSSSKVTLELEMELLNWCSCFRNWILSQKAYIETLNGWLVKWLPQEKEETPDGIAPFSPGRLGAPAVFITANDWCQAMKRIPEGSVADTMEAFAVNVHMLWERQDEEQQQKLKADYLSRDFAKRLKSLQMEHGLQGHFEADKPVLPIADNGRAVDNRMVALDTLHKRLDEQRARHEETVKQIREASAADLKAGLAPIFEALESFSVETLKGYENVRIPVEGVGG >Sspon.01G0006060-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:15888307:15891062:1 gene:Sspon.01G0006060-1A transcript:Sspon.01G0006060-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding WRVVYSWVGDDPCGHGDLPPWSGVTCSQQGDYRVVTELEVYAVSIVGPFPTAVTNLLDLRRLDLHNNKLTGPIPPQIGRLKHLRILNLRWNKLQDVLPPEIGELKKLTHLYLSFNNFKGEIPVELANLPALRYLYLHENRFTGRIPPELGTLKNLRHLDVGNNHLTGTLRDFIGNGNGFPSLRNLYLNNNELTGVLPDQIANLTNLEILHLSNNKMIGSISPKLVHIPRLIYLYLDNNNFIGRIPEGLYRHPFLKELYIEGNHFRPGTRSKGTHKVLELPDADILV >Sspon.08G0009950-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:43385338:43388469:-1 gene:Sspon.08G0009950-1A transcript:Sspon.08G0009950-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQDIKSHRAGAEVITGDAACRKKSVELLEELGLPKGLLPMEDIQEFGYNRETGFMWLIQGKKKVEHTFKKIKQTVSYATEVTAFVEKGKLRKITGVKTKELMLWLSVVEVYVPEASPDKVTFKTGTGLCDTFDALAFALGDHRASAEVVTGDAACRKKSVELLEELGLPKGLLPMEDIQEFGYDRDTGFLWLVQGKKKVEHTFKKIKQTVSYASEVTAITEKGKLKKITGVKTKELMLWLSVVEVHVPEASPENVTFKT >Sspon.02G0047420-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:8233669:8238987:1 gene:Sspon.02G0047420-2D transcript:Sspon.02G0047420-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLGLLLWIAGGGGGEGRTDTMEADSGKLFVGGISWETDEDRLREYFGRFGEVTEAVIMRDRNTGRARGFGFVVFADSGIAERVTMDKHMIDGRMVEAKKAVPRDDQSIASKNNGSSIGSPGPGRTRKIFVGGLASNVTEVEFRRYFEQFGVITDVVVMYDHNTQRPRGFGFITYDSEDAVDKALHKNFHELNGKMVEVKRAVPKEQSPGPIARSPAGGQNYAMNRVHNFLNGFNQGYNPNPLGGYGMRVDGRYGLLTGARNGFSSFGPGYGMGMNVEGGMSGYFGASSGFVNSSNGRQIGSYFNGSSNRLGSPIGYVGLNDDSGSILSSMSRNVWGNGNLNYTGNPTNANSFAPPGSGGGIAGDEISWGSLTSAHGMGNISSLGSGNLGRGTGDNNFGLPSGNYVRSNSTGTIGEPFSASANAYESNNPGAYGSSSIYGDSTWRFNSSEVDMPPFGHDLGNVDPDIKSEISASYMGNYTVNNNQTSRGITS >Sspon.04G0003850-3P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:44342033:44345475:1 gene:Sspon.04G0003850-3P transcript:Sspon.04G0003850-3P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRITRSGTAAGLWLRPGRLGEVLAVAALLASALLPPSAGAEPPASSARHDYEDALRKSLLYFEAQRSGRLPHGQRVAWRDHSGLTDGLEQGVDLVGGYYDAGDHVKFGLPMAFTVTMLSWTLLEYGADVADAGELAHALESIKWGTDYFIKAHTRPDELWAEVGDGDTDHYCWQRPEDMTTSRQAYKVDRDRPGSDVAGETAAAMAAASMVFREHNPHYASLLLHHALQLFEFADKYRGKYDSSIAEVKSYYASVSGYHDELLWAALWLHRATGRAHYLDYVVDNADDFGGTGWAITEFSWDVKYAGVQILAARLLLSGEHSPRHRETLEQYRAKAEHYVCACLGKNAADGNVERSPGGMLYVRQWNNMQYVTSAAFLLSVYSGYLSSSSSSAAGAVTCAGGAAAASAGEVFALARAQVDYVLGSNPRGMSYLVGYGARFPARVHHRAASIVPYKHSKEFIGCAQGFDDWFIRKGGNPNVVVGAIVGGPDRRDRFRDQRENYMQTEACTYNTAPMVGMFAMLNRLAREEAPQQHEASSASQPGETSVNR >Sspon.02G0021980-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:74136185:74141285:-1 gene:Sspon.02G0021980-3D transcript:Sspon.02G0021980-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSFLSESPCDEQHIHGYGFNPQSWLQVERGKLPKSSYSPSSIESLIKIAEPPVVPLYKPLDYVEVLSRIHEELEQCSPSERPGLYLVQSQVFRGLGEAKLRQRSLHSAWRCASTVHEKVIFGAWLRYEKRGEEIISDVLASCRKCCREFGLLDVASEMPVRNFEVIGSWETGSSSQLSSMVTFQIQGGRVTCDRCKIASLSIPFCSMLNGPFTESQLELVDLSENGISLEGMRAVSEFSSTCSLGDLPVEILLEILVFANTFCCDRLKDACDRKLASFVSSRQDAVELMTLAFEENAPVLAASCLQMLLQELPDCLADDLVISLFLGATAQQQLIMVGQASFLLYCLLSEVAMNIDPRTETTVFLSEKLVQLAVTPTQKQIAFHQLGCIRLLRKEYSEAEHGFEAAFSAGHVYSVAGIARIAGIQGQKALAYEKLSSVITSNLPLGWMYLERSLYSEGDRKLADLDKASELDPTLTYPYMYRAASLMRKKDAKLALEEINRLLGFKLALECLELRICLYLALEDYKSAICDIHAILTLSPDYRMLEGRVAASKIGTLLGAHVEQWNTAECWLQLYERWSSVDDIGSLSVIFRMLESDAAKGVLYFRQSLLLLRLNCPEAAMRSLQLARHHASTEHERLVYEGGFYMTRGTEALQKAEESISIQRSFEAFFLKAYVLADSGVDPSYSATVISLLEDALKCPSDRLRKGQALNNLGGVYVDCGKLDSAADCYTSALKIRHTRAHQGLARVHFLRNNREAAYEEMTKLIEKAKNNASAYEKRSEYCEREQTMTDLQTVTQLDPLRVYPYRYRAAVLMDSHKENDAIAELSRAISFKADLHLLHLRAAFHEHIGDVPSALRDCRAALSLDPNHQEMLELQKRVNSQEP >Sspon.08G0009680-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:36591660:36593093:-1 gene:Sspon.08G0009680-1P transcript:Sspon.08G0009680-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPQATKSLGELDGGARPHVMFIPSAGMGHLLPFFRVIAALAGHDVDISVVTVLPTVSAAEADHFSSLFAALPRVRRVDFHLLPFDASEFPGHDPFLLRWEALRRSAHLFRPLINGAAPRVSAVVTDVTLTSHVIPIAKELGVKCHVLFVSCATMLSLVAYTPVHLDKRVEQGPGVGDVDIPGVRRIPQSYLPQPLLDLNKLFTKQFIDNGREIINADGFLVNTFDALEPVALAALRDGKVVPGFPPVYAIGPLRSQHNSTEVDKEEATGSPVAWLDEQPARSVVYVAFGNRNAVSLDQIREIAAGLEASGCRFLWVLKTTTVDRDDSAELTDVLGKGFLERVQGRGLVTKAWVDQEALLKHPSVGLFLSHSGWNSVTEAAASGVPLLAWPRGGDHRVNATVVVSGGVGVWMEHWSWDGENWLVTGEEIGKKVKEVMSDAAVRTRATRTGEEAAKAVAEGGTSYRSMQQFISRLKAT >Sspon.04G0006280-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:14005909:14006811:-1 gene:Sspon.04G0006280-2B transcript:Sspon.04G0006280-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RSGQEAPRRQRQRRGVRTCWVRLQGRQERVGVPVRVRVAVRDDNGDAPQERRRAERVGVDDDDGHPDHPDDRVVVLALGGRRGGAGLQGRRLPDAAGDGERRSHAELLGPAARAQRAGAERRVVGRRAEGTPPPGGQALASRAGAGAAAGAQESQGRAHQGRRQRGPLRRRGRRRRGRGRGARRQRGGGEAVGQPAPRLPAVDAADDRGERDRRRRGHARDAPALPHPQRAAPPRRHPPGLRRDLGRRVRRRGVPRLRQPGRQPGLLRLTPGTTGQAAGAQDAVTPPPLATGVARIVVYLS >Sspon.03G0008150-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:29046816:29051468:1 gene:Sspon.03G0008150-2B transcript:Sspon.03G0008150-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAVSALFLLDIKGRVLIWRDYRGDVSALQAERFFTKLLDKEGDSEVLSPVVYDDAGVTYMFIQHNNVFLLTAARQNCNAASILLFLHRVVDVFKHYFEELEEESLRDNFVVVYELLDEMMDFGYPQYTEAKILSEFIKTDAYRMEVTQRPPMAVTNAVSWRSEGIRYKKNEVFLDVVESVNILVNSNGQIVRSDVVGALKMRTYLSGMPECKLGLNDRVLLEAQGRATKGKAIDLDDIKFHQCVRLARFENDRTISFIPPDGSFDLMTYRLSTQVKPLIWVEAQIEKHSRSRIELMVKARSQFKERSTATNVEIEVPVPSDATNPNIRTSMGSAAYAPERDAMVWKVKSFPGGKEYMCRAEFSLPSITAEEGAPEKKAPIRVKFEIPYFTVRYLKIIEKSGYQALPWVRYITMAGEYELRLI >Sspon.04G0007290-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:17473150:17475964:-1 gene:Sspon.04G0007290-2B transcript:Sspon.04G0007290-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVRLAFLVFFLLAAAEFAAATRSPSAFVQNAIYSNRITIFSKTYCPHSMRAKRIFRDLKEDPYVVELDTRDTVNALSNGQLEKLLGKSQSQ >Sspon.04G0022170-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:9645948:9647879:-1 gene:Sspon.04G0022170-2D transcript:Sspon.04G0022170-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-prolyl cis-trans isomerase FKBP12 [Source:Projected from Arabidopsis thaliana (AT5G64350) UniProtKB/Swiss-Prot;Acc:Q8LGG0] MGFEKQILRAGTGPKPVKGQKVTVHCTGYGWDEGVMTMQVGEVARIQCTPDYAYGASGFQAWGIQPNSVLAFEIEVLSAQ >Sspon.01G0040530-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:33759626:33777053:1 gene:Sspon.01G0040530-2C transcript:Sspon.01G0040530-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAREASAPAPAPAPAGDGPSRPPRPTLALPPRSAVESLFAAGASSSSAGAAETSPGPLTLDAALFPDAPSPAFHGSFTQLLVGAIGSPAAVPSPPSPFAVSTGLSPATLLGSPGLFSPTGSFEMSHQQALAQVTAQAVHSQYNMINHTDYAIPFSSTTTPALITAQHTNSSANLTSAEEKAALPSHTGNSNIESNEVSQGLKTSAPPFDKPADDGYNWRKYGQKAVKGGEYPRSYYKCTHGSCPVKKKVERSAEGHITQIIYRGQHNHQRPPKRRSKDGGGLLNETDDFHENENTPTRSEHEGSNDGMAGPSVSRRGEGDEQLSGSSDSDEERDDEQRAGNGDPGYANANRRHVPTPAQRIIVQTNSEVDLLDDGYRWRKYGQKVVKGNPHPRSYYKCTYQRCDVKKHIERSSQDPKAVITTYEGKHSHDVPAARNSSHAAANVNGSSSTSLLPHRVFCFTLCAAAKWALKQEKFPRLKAATCQLLHPVIEADRVQQLAIIFKDTDRIKPGIFIAATYNESLWLWCHSICVRWNVLECSDLPRLAVSTWTISLMEKPVMRPLGSLNCCDWGASGMMDRRLRTLRPLICKVKEKEIFENHQSDSQQNEYKTKWWLDLLPVGMEIIIYERVLAPK >Sspon.08G0005610-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8A:17116481:17119753:-1 gene:Sspon.08G0005610-1A transcript:Sspon.08G0005610-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAGGGTAMTKTVCVTGAGGFVASWLVERLLAGGRYKVHGTVRNPGDAKNAHLLGLDGAAERLRLFKADLLDYGSLAAAIAGCDGVFHVACPVPDYALTDPEAPLARFSPLLVELLAPAVTGTMNVLRACSEAEVKRVVVVSSLSAVMIKPEWGECKVMDEGCWSDVDLCRTTENWYGLSKTLAELEAFEYAKRTGVDVLSVCPSLVIGPLLQPTLNASSAVIVDFLKGDRLVKMKLRHFVDVRDVADALLLVYETPEASGRYVCNSHARLVSDVIKLLKSWYPTYQYATKFVQVSHEPPFSSKKLQALGWKFRPFEETLRDSVESFKAAGVLD >Sspon.06G0001950-3D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6D:3742709:3743452:-1 gene:Sspon.06G0001950-3D transcript:Sspon.06G0001950-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial transcription termination factor family protein [Source:Projected from Arabidopsis thaliana (AT4G38160) UniProtKB/TrEMBL;Acc:F4JSY9] CLATLQARPGEVAQAIAKFPQILFHSVEEKLCPLLAFFQTLGVSEKQLAKLLMVNPRLISYSIEAKFSQTVDFLASLGIDKEGMVGKILTKEPYIMGYSVDKRLRPTAEFLKSAVGLQGPDLKRVIMSFPDILSRDVDKILRPNLAFLQSCGFSRNQVTALVAGYPPVLIKSIKHCLEPRMKFLVEEMGRDMGEVVDYPQFFRHGLKRSLEYRHKVLKQMNSSCSLSEMLDCNQKKFAMKFGLVAAV >Sspon.03G0028130-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3B:8120995:8124274:-1 gene:Sspon.03G0028130-1B transcript:Sspon.03G0028130-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASRTSKGPQLAAVGPAITAPRFRTTLPCRTRPRGLTSWRRAALPKDEDYYLIDAEESIGDGFSFSGGKYGDGPSKSDEWFAQGKMVNAYPVYGDKGKAKDPFFGLTMGSGSQSSDDVFRWFCVEAGSSSNPKVLLIHGLPSQAYSYRNVMPVLSDKYHAIAFDWLGFGFSDKPQPKYGFDYTLDEYASSLESLVNAVAPDKLSIVVQITDKHVNLPSTLASFSNFLLGEIFSQDPLRASDKVLTSCGPYLMKEEDAMVYRRPYLVSGSSGFALNAISKAMKKDLKAGHHVQEDRGEELGNIIRSILR >Sspon.01G0027760-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:96994354:96995234:1 gene:Sspon.01G0027760-1A transcript:Sspon.01G0027760-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLVSQAANGSLSARRLPSKPPGPSTTNPYPLFANPRLVRRRLALSGAGADQAPRRPASTPAAAGEGPSGSAPAAAATEDPVLVRVADDGVPLEGVIQIEKPGDAGAESKLVSYAKLSLLAGGDVFCLLLFSAIGRFSHGLPVLDAETFKTADPFIAGWLLSAYLLGGFGDDAKGRNGVGNAVITAAKSWALGIP >Sspon.07G0011270-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:38951191:38952078:-1 gene:Sspon.07G0011270-1A transcript:Sspon.07G0011270-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPDQGSGATPTPEAAGAAALKTTEMPCTCGREDPMPQHHHERTLSSNTSFSSPTASPALSYASSSTNYQPASNKLSCESIPYTGSGPDDLIKLSSFSSTSSYESFFHIEALDDSDFRPGIGDADAAAAAADNNEFLDFEPCTTRPPAVQTMMPPQQGRQKPEGAAAAAAAYDPKRLPSSMFQTRSTNPSEWSATSNESLFSIQLSSSAADLTARYADFYYDAAGFPRFPSLGRDAAALRQLPSSSESSGRSGGLCVRHDCARCCSGSKTRKSVRFAATESVSGEGKHKHSVVVS >Sspon.03G0008210-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:29343484:29354305:1 gene:Sspon.03G0008210-2B transcript:Sspon.03G0008210-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAASKQQLRRLRTLGCGASGAVVWLATDDATGQLLAVKSAGPGTAAAERLRHEARVQSALRSPHIVRCLGSRAGGGEYQLFLEFAPGGSLADEAARSAGGRVPERDVRRHAADVARALAYLHGDQVSLVHGDVKAANVVVGADGRAKLADFGCARPAVACCDRRPLAGSPAFMAPEVARGEEQGPPADVWALACTVIEMATGAAPWSDADDVYAAVHRIAYTDAVPETPAWLSSEAKDFLRVCLQRNPRQRPSAAQLLDHPFLVSAEPAKHGRAWATASPKSTLNVELWDSDDGEEEEASESATGRISSLASPRSALPDWDSEDGWIDVHSEECCQPQVSEVAGAGFGRRSDEALDAAEVGLHVVDVDVEDAIRYPTCHVGVVEDFVKCQQRHSSLSVGGGIGLCLRPVACHQGKTVDRNSVVLEGRRQLQTQSFHTPFPDPPELHNGKNMDASAAKQLRRVCTLGHGASGAVVWLASDDASGQLLAVKAAGAGAAAQLRREEQVLENLRSPHIVPCLGSRAAAGGGYQLFLEFAPGGSLADKAAQSAGGRLAQPAIQAYTRDIARGLAYLHGRSLVHGDVKARNVVIGGDGRARLTDFGCARSVWQPSPSSRPIGGTPAFMAPEVARGEEQGPAADVWAVACTVIEMATGRAPWSDVDDVFAAVRKIGYTNEVPEPPAWLPAQAKDFLRMCLARNPRKRPTASQLLEHPFLASASCDGNGNADPTKHDWASPNSTLNAAFWESDDEDEETSERAFERISSLASLCSGLPDWDFEEGWIEVWGQCSRVSETPADTVTAGAGFAIGSEALDDAVVDDLHVVVMEEGANSTAGAATSRPNFPSMVMMTTKQLRRVRTLGRGASGAVVWLASDEASGELLAVKSACAAGAAAQLQHEGRVLRGLSSPHIVPCLGSRAAAGGEYQLFLEFAPGGWPRGQEQGPAADVWALGCMVIEMATGRAPWSDMDDLLAAIHRIGYTDAVPEVPAWLSTEAKDFLAGCFKRHASARSTAAQLVAHPFVASAARDLQDAQPAKQEFPSFPSSPKSTLHNAFWDSDTEDDEADEMSTGAAERIGALACAASALPDWDSEEGWIDLQDDRSETVDAPPPAATEAAAAAVADYFVWAEPSDAELEHQFVAAAAAADV >Sspon.08G0020090-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8C:19797040:19797872:1 gene:Sspon.08G0020090-2C transcript:Sspon.08G0020090-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVQQCLLDDTPSFTGEKNAGQNFKSVRGYEVIDAIKAQVEASCKATVSCADIVALAARDGVNLLGGPTWSVPLGRRDSRTASQSAANSNLPGPGSSLSALIALFGSKNLSRRDMTALSGAHTVGRAQCQFFRSRIYGERNINATFARQRRRGCPRSGGDSLLAPLDVRTQDAFDNAYYQNLVAQDGLLHSDQELFNGGSQDALVMQYSSNAALFSADFVTAMIKMGNLMPSPGTPTEVRLNCSK >Sspon.03G0018640-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:78027957:78029319:1 gene:Sspon.03G0018640-2C transcript:Sspon.03G0018640-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SMEMSAKKSRSSMSNNSGSTTTTAVERKEVERRRRQQMKSLCVKLASLIPKEHYSSQDAMTQLGSLDEAATYIKKLKERVEELRQKRTSARLLAAAGMRRGGGGGGGASTSAAMTTTTTRGGAGSSSEEAGHREDMPAVVEVRQHGDGSSLDVVLISSVERPFKLHEVINVLQEEGAEITNANFSVAGTKIFYTIHC >Sspon.05G0035260-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:70313897:70315983:-1 gene:Sspon.05G0035260-1C transcript:Sspon.05G0035260-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAFRNNGDYMCPLELKDLSIKFLQKCKGLPIAIAWIARLLSYKPPTTSVWKNVHDELVLQSTKNVIPVSLEDLTYELKNCFLHCTIFPEDYLLKRKRLIRHWITAGFIKEVENKTLEEVAEGCLNELVNRSLLEVVKKNEFGRVKHCRMHDIVYLVPLTRQRRNALGQETFSLDSTRRLSIQSTGTKTSHESFSNSVTKYQSSATHLRAVYAFTSHVDIDMLRPVIASSHLLSTLDLEGCQIKKLPNEVFDLFNLRFLCLRYSGIEILPEAVGRLQNLEVLDALFTPLSSFPKGVGKLKRLWFLYACTLHKGPNLLWYGGIKVPRTITNLTGLHNLETIEASLETLSDVASLTELRAFSVCNVKREHASNLCKAVKNMRHLVHLTIATSDNENQILPLEALDLPGTLSKLVLQGCLENERMPQIISSWLQLKSLTKLSLISSKLDEVSFSSLMELRDLCYLELVQAYDGKKLYFSESSFPRLQRLGIFSATQLNQVQIEEGALENLEELRFNNCPNLECIPQGIEYLTAIEELYLENIAEELVEKLIKQESGVDEFNEELAKISHIKLIFVMSTEKKYRKRIVPSRVAAASGDSFREHSMHQRQGRGPGLK >Sspon.01G0003310-5P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:53502972:53505085:1 gene:Sspon.01G0003310-5P transcript:Sspon.01G0003310-5P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DGKQEVIEAWYMDDSQEDQRLPHHRDPKEFIPLEKLSELGIISWRLNPDNWENDENLKKIREARGYSYMDICDVCPEKLPNYETKIKNFFEEHLHTDEEIRYCLEGSGYFDVRDENDQWIRVAVKKGGMIVLPAGMYHRFTLDNDNYIKAMRLFVGEPVWTPYNRPHDHLPARKEYLDKLLKPEGQAVEAR >Sspon.05G0008970-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:28213233:28216878:1 gene:Sspon.05G0008970-1T transcript:Sspon.05G0008970-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPAPQTHPILSYVLSRIPTLAKPNKAPTSSEFDIEQPPVHTPSPRTAPSSPSAGEFELVERMPGLRHPSVLRAMTRAVADVSAARAALQVLGPRPDHELVDSSRAIVAAADAGDARIPEGDAEACRAVVRLEETHDAYEALLHEAEARLERVYRSAMEGTDLDDDEAAAESGKGEGTAAAGPEGGDAAVQEEVVAVLKQAEEGKPVESVRLVDRQLRQLPEAFGRILGLRVLDVSRNQLEVIPDAIGGLEHLEELRVAANALVSLPDTIGLLSNLKILNVSSNRLRALPDSISKCRALVELDVSYNGLTYLPTNIGYELVNLRKLWIHMNKLRSLPSSVCEMTSLYLLDAHFNELCGLPSAFGKLSSLEILNLSSNFSDLKELPFSFGDLLNLRELDLSNNQIHALPDTFGRLDKLEKLNLEQNPLAMPPEAIVNKGVDAVKEYMSKRWLDILLEEEQRRIAAETPQASSTPKAWLDRSVSWVTGVSGSLVGYLGGNKSEKDAYLDQQL >Sspon.02G0019890-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:64940715:64944344:-1 gene:Sspon.02G0019890-1A transcript:Sspon.02G0019890-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCLSPCAPHLHVHLPRSPTTARPGPAAATCRNARGIGVALCLRMPTTPEERRQRRRQAKFQDSSPAPSLKPPATALAPARLTPAAERRGALREMRRVWWVCGVGYCVQGFRCFPWLALNFHLTRGLSLSPAGLQLVQSAGTLPLVAKPLFGVLSDAVYIGRAHRLPYISLGVLLQLIAWGTLAITPVTGDAFPTQMACILIGNLGASVTEVVSDAVVTEFSRTQKAGVLQSYAFIALAAGSLLGNLSGGYILLKTQEPKIMFTAFSVLLGFQLALSLSTKETLPSSRGNSRIRLAKSSLAANFRKQFSNLMTAISEERILYPLTWIMTSFAVVPILSGTMFCFQTQYLNLDPSVIGLSKVVGQVMVLSLTVLYNRYLKRIPLRCLTSGLQILYALAVLSDLVLVKQINLVLGIPNEIHVLCFSALAEALAQFKVLPFSVLLSSLCPPGCEGSLFAFFTSGLVFSAILSGVFGVGLSTLIGVSSVDYSSLPLGILLQSLAALLPLGWISFVPEKWTADDKVVI >Sspon.04G0000940-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:3784099:3791664:-1 gene:Sspon.04G0000940-1A transcript:Sspon.04G0000940-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQKKSRGRGGAAASGDGHEDLARPPPLQAVLLADSFTLKFRPITLERPKVLLPLVHMPMIEYTLTWLESAGVEEAFVFCCAHSHQVKEYLEKAGWAGKSGSGSMAVTAVESHDAISAGDALRVIYDRGVIHGDFVLISGDTVSNMSLKDALQEHMDRKKKDPLAVMTMVIKHSKPSILTHQTRLGNDEIVMAIDPETKELLYYEDRADNSHLYITIDKDILTNNPTLQLHNDMEDCYIDICSPEVLSLFTDNFDYQHLRRHFVKGLLVDDIMGYKIYTHELRSGYAARIDNFRSYDTVSKDVIQRWTYPMVPDVISSHDCSESRLHRQGVYKASDVTLSPSAQIGANSVVGSATSIGDHCKVLNSVIGEGCKIGKNVLINGSFIWDNVIIEDGCKVNNSLVCDGVHLRAGAIVEPGCVLSFKVEVGKNVVVPAHSKVSLLPQPSNEDSDEELEYADTNSGITDSPPFSSMRSNGDQSTVPLEEDESGTSETGTCGVVGYVWTSVDTGIVEEWRQSIAPIPKEKLEELRHAVSDDDGSEDESNNPTLPDKDDSSDSVVEDDDHISKFEKEVEETFQRALGGGVNRDNLILEINGLRLAYSLQHADCAGAVFYSVMKSALVAAQSTNDTLLKTTADALGKWKDLLRNYTKTVDEEMEILLKFEEMCQETTKEFSLLFPKILPCLYDTEVVSEDAILRWAEEKEHADESDKVFVKQSEAFIKWLKEAEEEDDEEE >Sspon.03G0002250-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:5507283:5509743:-1 gene:Sspon.03G0002250-1A transcript:Sspon.03G0002250-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKLQSDALREAIASITNDSREKQRKFVETIELQIGLKNYDPQKDKRFSGSVKLPHIPRPKMKVCMLGDAQHVEEAERIGLDSMDVEALKKMNKNKKLVKKLAKKYHAFLASEAIIKQIPRLLGPGLNKAGKDLFLFCLLGLYRSVLIFQTVFYCRKIPYLGDTPGISGVQAKKVLCMGVAVGNCGMEEKQIFQNVQMSVNFLVSLLKKNWQNVRCLYLKSTMGKVYR >Sspon.01G0004020-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:9364723:9365771:-1 gene:Sspon.01G0004020-2B transcript:Sspon.01G0004020-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLRPELAKPFSARPALPVRHPPTSLSGSGLSLNFSLPPHPGVGAPRRAYPRIEATARRGARTESAKVRNRRLQKKFNGTATKPRLSVFCSNRQLYAVLADDHNKKILFYGSTLQKSICGDPPCGTMEAAGRVGEELVRVCNELGISEVSYDRNGFARGDKMMAFEVPVSQHGFLPR >Sspon.03G0009040-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:5040583:5047692:1 gene:Sspon.03G0009040-2B transcript:Sspon.03G0009040-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNLITLVNKLQRACTALGDHGEESALPTLWDSLPAIAVVGGQSSGKSSVLESVVGKDFLPRGSGIVTRRPLVLQLHRIDGDREYAEFMHLPRKRFTDFAAVRKEIADETDRETGRSKQISSVPIHLSIFSPHVVNLTLVDLPGLTKVAVEGQPESIVQDIENMVRSYIEKPNCIILAVSPANQDLATSDAIKISREVDPKGERTFGVLTKIDLMDKGTDAVDILEGRSYRLQTPWVGVVNRSQQDINKNVDMIAARRREREYFATTPEYKHMASRMGSEYLGKMLSKMQHLEQVIKSRIPGLQSLITKTIAELETELNRLGKPIANDAGGKLYTIMEICRMFDSIYKEHLDGVRAGGEKVYHVFDNQFPVAIKRLQFDKQLSMENVRKLITEADGYQPHLIAPEQGYRRLIESCLISIRGPAEAAVDAVHAILKDLVRKAINETHELKQFPTLRVEVGNAAFESLDRMRDESKKNTLKLVDMECSYLTVDFFRKLPQDVEKGGNPSHSIFDRYNDSYLRRIGKTYMISSFLLDSLLCSYLLLHCTKQSTGQTVLSYVNMVCSTLRNSIPKSIVYCQVREAKRSLLDHFFTELGAREMKQLSKLLDEDPAVMERRTNLAKRLELYRSAQSEIDAVAWSK >Sspon.04G0024640-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:25074884:25077629:1 gene:Sspon.04G0024640-3D transcript:Sspon.04G0024640-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLYAIIFKIYAEDHYRYRILKGLVDRATNTSDNCRAVDIDMDVLVFLVKEEYGIARPVLNMMREVAEVAQADRANLWHQICATEDENIRLREDMEMEQTNFTNEKIALNQQRTELEATIGGLRSELKAERDRFIREKKALSDQMREIENQLEWVRSEKGDQIAKLSAEKRNLHDRLNDAESQLSLVKARKREELKKVTKEKNTLAERLKNAEASRKRFDDELKRYAAETQTREEIRKSLENEVRRLTQTVGQTEGEKKEKEEQITRCEAYIDGMESKLQVCQQYIRTLETSLQEEMARHAPLYGVGVESLSLDELEALANIHEQSLRQIKAIQQRKGSSHLLGGPALSHIPSLFSSPPSVAVGPLASRIPTSPMAPNGAGIHGNGHLNGATGRWFNPS >Sspon.08G0005350-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:13938267:13944056:1 gene:Sspon.08G0005350-2D transcript:Sspon.08G0005350-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSRTATVAAGLLLLVALVAVAEADTDAGDAAALGNLYSSWNSPAQLTGWSASGGDPCGAAWTGVSCSGSAVTSIKLSGMELNGSLGYQLSSLQALKTMDLSNNYLHDAIPYQLPSNLTYLEHRNLAKNNFSGNLPYSISNLVSLEYLNLSHNSLFQEIGELFGSLSSLSELDLSYNNLTGNLPFSMGSLSKLSSLYMQNNQLSGTVDVLSNISLATLNIANNNFSGMIPQGFSSIPNLIVGGNSFVNMPASPPPTLKPHDQPNDPEGPISAPTIPDTPIDQDDKKMQTGPLIGIAVGSIAAASCVLFVLVFCLHNARKRNDDASSEPKDIVGSLAVNIERASNREVLNNNHENAVVATSDLQPAGKMTPDRVHGTNGSSAKKPKVPVTVTSYTVAALQVATNSFCQDSLLGEGSLGRVYKADFPNGKVLAVKKIDSASLSLYEEDNFLEVVSNISRLRHPNIVPLTGYCVEHGQRLLVYEYIGNGTLHDILHFSDGMSKKLTWNTRVRIALGTARALETFKSSNILLDEEYSPHLSDCGLAALTPNPEREVSAEVVGSFGYSAPEFAMSGTYTAKSDVYSFGVVMLELLTGRKPLDSSRERSEQSLVRWATPQLHDIDLLAKMVDPALDGLYPAKSLSRFADIIAICVQSEPEFRPPMSEVVQQLVRLMQRASIIRRQSDDLGYSYRVPDREADVL >Sspon.06G0026210-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:75326426:75328145:-1 gene:Sspon.06G0026210-2D transcript:Sspon.06G0026210-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLTAHEDESTRERAEGGGLTGCHRRRPHSSGVEAEHVAVGERRGGGGRGGRKEGGGERGGRARHRSSTKARTGRSAWAERINREKKWSGEEGGDRIDERIRTSGQGGRVHPTSSRRLHPINSDIKSGIKFHPDGDRVENADWIAIFLQHDHTDAVDVKARYKFGVLDYSGESVPTFSQETSVDDTFSSKNPSWGFSKFVKRKDLEESSYLKDDCLKLPSALETVMATESFDHLVTSCPSLIKEMLTGMATCPQCLHTLVPASHMVKGVAHPDTA >Sspon.07G0022360-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:7463917:7466874:-1 gene:Sspon.07G0022360-1P transcript:Sspon.07G0022360-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNEEAAARAGVEESIGRRPRRDGRGEAAAAAAAAAGRVWEFERDLVAGALIGGAVHTVVAPIERVKLLLQTQDGNAALLGRALRFRGFADCVARTVRDEGVLSLWRGNGTAVIRYYPPSPSTSRSRSILKDAGTSADNKFTSIALSNFLAGAAAGCTTLVLIYPLDIAHTRLAADIGRSDTRQFRGIRHFIQTIYKKNGIRGIYRGLPASLQGMVVHRGLYFGGFDTAKDVLVPLESPLWQRWVAAQAVTSMAGLISYPLDTVRRRMMMQSGMEVQMYSSTLDCWRKIYRLEGIRSFYRGALSNMFRSTGAAAILVLYDEVKKFMDRGRL >Sspon.06G0013480-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:58726391:58727045:1 gene:Sspon.06G0013480-2B transcript:Sspon.06G0013480-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAC domain-containing protein 30 [Source:Projected from Arabidopsis thaliana (AT1G71930) UniProtKB/Swiss-Prot;Acc:Q9C8W9] LVGYYLARKVTSQKIDLDIIREVDLYRIEPWDLQERCGYYGGGGAGGQDQEEPTEYYFFSYKDRKYPSGTRTNRATAAGFWKATGRDKPVLSSTTTSRSSAGSISVVIGMRKTLVFYRGRAPNGRKTDWIIHEYRLQSNEHAPTQEEGWVVCRAFQKPMPNQQHIRHACYAAAAGGYCRAATAP >Sspon.05G0032250-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:15798272:15802866:-1 gene:Sspon.05G0032250-1C transcript:Sspon.05G0032250-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ECO1 [Source:Projected from Arabidopsis thaliana (AT4G31400) UniProtKB/TrEMBL;Acc:A0A178UZG9] MQPKISAGDGEGNGHESVGAATEAKRKAKGCRPSEIVSKKRNYAQFHLELGQPDFLLHTCSVCGMMYARGNDEDEKGWKNETVMARSEGGDRVILATDENSCVWKSKVREVITVVEKELGFGEGKLLHKLCKVYLYISGQRIVGCLVTEPIKTAHRVIPSSTEESHYNLPVDSTEPGKNGHTLEFGEISFKREIIRRHNHSIKNKEECQDPGAIICETEAVPALCGFRAIWVVPSRRRKRIASKLMDVARKTFCEGKTLGISQFAFTPPTSSGKGLACRYCKTSAFLVYKDGPVFGIKLKKIKTYDIKF >Sspon.05G0026180-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:5C:27518486:27518965:1 gene:Sspon.05G0026180-2C transcript:Sspon.05G0026180-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFYLVAHLEAHLTNRPLGQVEQGHTGQSTTLSAPHPHPRTWALGGGARSRQQGCAAPMASSSRSTRARDAAAAPLSAAPSPSSPLPAPCSPSQILVRRRLANSQQPRPAEGGRSPVGAGARRCGGSPLSRSLSPLPAPRSPSQVPAPLRERLWPKAKR >Sspon.02G0024390-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:83440323:83441477:1 gene:Sspon.02G0024390-1P transcript:Sspon.02G0024390-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding EKLMVQTLPIIENAIKEACTSLVPKTMIIADLGCSSGPNTLLFISNVIDIMARQCNKSIDECDPVELQIFLNDLPGNDFNQLFSSLENLKNGAIMEQMGYTPPLYYISGLPKSYYSRLFPRQSVHLFHSACCLHWRSQVPEELHARNGTLLNKDNIYITKTTPSFVVKCFQEQFHKDFSLFLKLRHEELVYGGKMVLTFVGRKDDDVYSGDSCQLYGLLARSLQSLVAKGLVEKERLEAFNLPLYGPSIAEVKEIVMEGHMFKLDHVKLLELNWDPYDNTEGDVVHDSVRSGMNVSKLELNLFKNLF >Sspon.05G0029800-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:79470281:79472691:-1 gene:Sspon.05G0029800-2C transcript:Sspon.05G0029800-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFWPTSAHDLLERILLDENKDPTNFPIGLLKSITNDFSDDQKIGSGGFADVYKGQLQSGIVAVKKLKELSGKTEDLEVYEKKFLQEVDSLMKVKHKNIVRFLGYCFDTQGKVWKHKGNNVVAEERQRFFCFEFLPQGSLDKHISDASNGLEWRTRYHIIKGICEGLKYLHRMKIVHADLKPENILLDHNMVPKICDFGLARSFHGEQTRAITQKVFGTPGYMAPEYCNGVITFKSDIYSLGIIITEMLTGQKKDDLSIENVRTMYMKTGLLGSKPLMEAHGWNM >Sspon.02G0025640-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:90248160:90249431:1 gene:Sspon.02G0025640-2B transcript:Sspon.02G0025640-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAADPACVLPPRPRTMKLGSQGLEVSAQGLGCMGMSAAYGERKPEADMVALLRHAIASGVTFLDTSDVYGPHTNEVLIGKALHGTREKVQLATKFGITPDIRGVRGDPAYVRAACEGSLRRLGVDCIDLYYQHRIDTTVPVEVTVGELKKLVEEGKIKYIGLSEASASTIRRAHAVHPITAVQLEWSLWTRDAEQDIIPTCRELGIGIPENMENNALIFERVSQMAARKGCTPSQLALAWVHHQGSDVCPIPGTTKIANLNQNLGALSVMLTPEEMAELESYAAGVQGERYHGTVIKTWRDSETPPLSSWKGN >Sspon.01G0016590-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1A:56136866:56138641:-1 gene:Sspon.01G0016590-1A transcript:Sspon.01G0016590-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDSQEDQRLPHHRDPKEFIPLEKLSELGIISWRLNPDNWENDENLKKIREARGYSYMDICDVCPEKLPNYETKIKNFFEEHLHTDEEIRYCLEGSGYFDVRDENDQWIRVAVKKGGMIVLPAGMYHRFTLDNDNYIKAMRLFVGEPVWTPYNRPHDHLPARKEYLDKLLKPEGQAVEAR >Sspon.08G0013050-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:66221735:66222643:-1 gene:Sspon.08G0013050-2D transcript:Sspon.08G0013050-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGTPAASSSLQAALSYCVRQVRAYDYHHYLCLLHLPPDMRKAAFTFRAFNVETAKAMDVVSDPRTGLMRLLWWKDAVDKVFANKLVEHPVAQALSSVIADHKVSKHWLKRSVEARINDANRDEGTIPETSAELERYAEDTQSTILYMTLQAGGIQSTVADHAASHIGKASGLLLLLKALPHHLNKQGTVPYIPASVAEECGLLTREGGRSEVRMDERLPDAVFKVASVAEAHLHKARELASSVPKEAIPVLLPAMPAQVLLDTLRRCEFNVFDSWVSRGVHGVSPLWYQLKLNWNAWRGKY >Sspon.02G0012740-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:31936754:31940036:1 gene:Sspon.02G0012740-2B transcript:Sspon.02G0012740-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MESQSDVPLLLKLPRPPPEKKGGGKIQFQGRLAREVWEESKKLGEVVGPAVFMNLVFSSMNLVSQSFAGHLGDLDLAAFSIANTVVDGFNFAMLAYGAKQYHMLGIYLQRSWLVLLAFAALLAPVYVFSGQLLAAFGQPAELSRAAGSVSMYFLPSHFMYAIHLPVMTFLQCQRKNWVPAVATAVVFAVHVAATWLLVNYLGLGIFGVAMAFNLSWVVLAALLLSYALGGGCPETWSGFSASAFVDLKEFVTLSASSGVMVCLENWYYRILIFLTAYMKSAELAVDALSIWVRVANELGAANGNGARFATIVSTAISFLISLFASFLALIFHNKLAVIFSSSGAVIDAVDNISVLLALTILLNGIQPVLSGVAIGSGWQALVAYVNVRSYYLIGVPLGVLLGWCFNYGVPGIWAGMISGTTMQTLILALITLRCDWNKEALKAGNRVRQWSSTK >Sspon.03G0019180-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:79453400:79455172:-1 gene:Sspon.03G0019180-2C transcript:Sspon.03G0019180-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDHLALIVDRLLTESTLEAAIGGGKRMVDLHQETVAVEYCHRALGGGSATKVVECRICQEEDWDTCMEAPCACCGSLKYAHRKCIQRWCNEKGDTMCEICLQQFRPGYTSPQQLFHYGSIPMNFRGNWEIARQDLNDSQIITMMPTERDFMDNYDDYFPIRTRSSTLCCRTIAIIFMALLVLRHTLPLMIGDNGEYSLALFLVRLMISIHQYLLL >Sspon.02G0045590-2D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2D:89176839:89177197:-1 gene:Sspon.02G0045590-2D transcript:Sspon.02G0045590-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SGRTDTSLRSLPSARCDAHYVVSSLRRSAHSPTPLRLAAVGPPQRRATPHPLRPPPRFLPMLPPPCRIPHQTQSASLASTTLRRAACRNRLHRA >Sspon.03G0033320-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3C:57718817:57723070:-1 gene:Sspon.03G0033320-2C transcript:Sspon.03G0033320-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRARDIGWEHAVDLDGNKRRWQCKFCSLCRSGGVTTLKAHLIDDSCPNVPKEISKKVSNFIEEKRATRLLLNNYVFNVDEDFNTQVQGEGTVEYVNEQQPSRNATYVQILDKGAINEKIAAGSKQCGPESSGQPVERCDQPEEQCTMDYGRMDQVTSNKNQILDKNTENSKNTKMLKPCQKSEFNTRKHIIIVDQRHWRCRYCGMDGYGKKFWLHHHLAGAFRQPKCPNVPRQVFAKARRHALTKRRLKKNKAEQQIPSSPHILGQSGEERQNNDPLCGNQSQLSINNEPREAHNYPAVLRDSAWEHSLIYEKENGNWKCKWCSIEGDHGLTRLKWHLVGWQDHPQCPNIPMDVAEKMKDQMMSKEEQKARSGLFDGNGYCDMLCSSKSSQLDQDHLTATIHDRCSSQAFDQANSELKGCNMLSSTSLLSQESSNPQVHHEDPQVCHEQERNEVATSSEPGCEQGQGMQWQSQNK >Sspon.01G0008100-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:25874167:25875552:-1 gene:Sspon.01G0008100-2C transcript:Sspon.01G0008100-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAADYDRAYRPYAAPAPAPAPAGEYDRPYRNEVVPYGDRRLDIVVKPPARSPPLPLPVSTRSGGGGGAGSAWCFGDPEMKRRRRVASYKAYSVEGKVKASFRRGFRWIKAKCSELIHGWDMII >Sspon.01G0026580-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:92858137:92858778:1 gene:Sspon.01G0026580-1A transcript:Sspon.01G0026580-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSNALLLFLLALSCGWSWCSATTAAAGGGFITSWCAGTDYPALCNATLAPYAAEVGASPARLSLAALTVTLDGARKAAAAMKAMAAAGASRSHHLLVPPVAAQAAEDCVGMLEDAVDLLRQSVEAMERLGKEEPSGVSGQQGGSGSSRSVRFQVNSVQTWASAALTNDDMCMEGFKGQAAVVREAVEGNVAGAMHLTANALAIVNAMARQI >Sspon.01G0012110-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:32669120:32670915:1 gene:Sspon.01G0012110-2C transcript:Sspon.01G0012110-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding REGREGEREMGRKPCCPKEGLNRGAWTSMEDGILVSYIQKHGEGKWGSLPRRAGLKRCGKSCRLRWLNYLRPGIKRGNISDDEEELIIRLHRLLGNRWSLIAGRLPGRTDNEIKNYWNTTLGKKVLKNSSVSNEDSEAAGASSKRRPVSQPTRTVGDAAPKAHARGRLPEASPVRSKALRCTSTAMLPAVVQPTAHTRHGCALETAVGDDHVNAAEQTVVVVKAPTVEVRQDHLPEDDLSIDIDLDLDFDMGELGFLSPWRGEVADSIEPGGQFGGDELDDLEALLLGPG >Sspon.07G0014140-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:50599698:50603402:-1 gene:Sspon.07G0014140-4D transcript:Sspon.07G0014140-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MESKCVNDQDALIDLESGNGTAVSNHSNGVDASFTVGQARTAPNGCPRDDRNQHMDCSPPTSDAVARSGDDRKSGGEEKLGLLDSSGGEKTKKKRSKKPPRPPRPLTPTPLDVSDQKLLNELSELAVLKRARIERMKALKKMKNAKQGTSGGNLCPLIITIIFCIVILWQGFFSGHGSAVSFHGSPESSIRAHSSLISIRFYKKNHSNVRPPSENTAAPENVETVLRLGNRNQVRRLAR >Sspon.04G0006360-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:17885544:17893688:-1 gene:Sspon.04G0006360-1A transcript:Sspon.04G0006360-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTPLKGHEKGVTGIALPAGSDKLYSGSKDGTVRMWDCQTGQCAGVIPVGREVGCMIIEGPWLFVGMPDAVKVWNMQTAAEMSLTGPTGQVYALAIASELLFAATQDGRILAWRFSAATNCFEPAASLDGHKLAVVSLIVGGMRLYSASMDKTIRVWDLATLQCIQTLSDHTDVVMSVLCWDQFLLSCSLDQTIKVWAATESGNLEVTYTHKEDQGALALSGMPDAQSKPVLLCSLNDNTVRLYDLPSSDSCLLYRFNDRGRLFSKQEIRAIQMGPGGLFFTGDGSGELKSFGSGCGSKSST >Sspon.02G0030310-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2A:110412584:110412883:1 gene:Sspon.02G0030310-1A transcript:Sspon.02G0030310-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSMVSKNAPPPAGYGDSGEGEAAPVTSCLYLRPGAGALDRDAVLRRIRHRRRHNRLHDTLRSMVQAPAPASPPQPAEPDGVDGAERHLPWPLDDAFSA >Sspon.07G0027380-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7B:60164497:60166743:1 gene:Sspon.07G0027380-1B transcript:Sspon.07G0027380-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMMMMSKSNRARFGPAVKLEGNLTEVTTKKMDWSPVAGSGHYDTSYYVSPDGKSWCWSWRKTTFYEDTSRKPSVVPRDLPKPLDLATRALGSFVCVGAVAACKVAAADAVDCLGKGEECFLEPTYEDSDSGSSISTSMVAERKSSTSLPHVSSNFSRRRSPSNAISRPVRLLLPHSAWCPLRCWHGATARRGRCPAWLLPPTLLLMHDEERKRG >Sspon.03G0022400-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:91003845:91007115:-1 gene:Sspon.03G0022400-2B transcript:Sspon.03G0022400-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSLPAPAPGRAELLKAFDDARTGVRGLVESGVSSVPELFRHPDPYGSIPLAPPGASIPVVDLSLPPHLAAAAAASAARTWGFFHLVNYHHALPAAAAASDDYPARAFAAVRAFNELPAAERAPHYSRTTGGVNYSSNVDLYNSPAASWRDTIQILLGPNRCPDLADRIPAACRAEVLEWEARATAVARSLLGLLSQGLGLRPEALEDASCADGKLMVCHYYPHCPEPERTMGLVPHTDPGVLTVLAQDGVGGLQVKHQDEDGEVCWVDVKPVPGALVINVGDLLQIMSNDKYTSVEHRVVMNTLKNPGPGKRGDSVFYGPLPELVSSENPPKYRNFTMSEFYGTFFSRDLASKALLDNFKL >Sspon.05G0019130-2T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:80256726:80257549:1 gene:Sspon.05G0019130-2T transcript:Sspon.05G0019130-2T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MYTNLHYHHHYEKEHLFEKPLTPSDVGKLNRLVIPKQHAERYFPISGDSGEKGLILSFEDEAGKPWRFRYSYWTSSQSYVLTKGWSRYVKEKQLDAGDVVHFERMRGFGMGDRLFISYRRRGESATVAAAPPPSAVRVVAPAQSTGGEQQPWSPMCYSTTGSYPTSPANSHAYHHSVDHDHSNMQHAGESQSDRDNRSCSAASAPSSRRLRLFGVNLDCGPAPELEPDTPTAMYGYMHQSPYTSNN >Sspon.06G0007310-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6B:24534240:24536216:1 gene:Sspon.06G0007310-2B transcript:Sspon.06G0007310-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding STFGLCLVRLDIRQESDRHTDVLDAITTYLGIGSYREWSEERRQEWLLSELNGKRPLFGPDLPTTDEIADVLDTFRVIAELPADNFGAYIISMATAPSDVLAVELLQRECHVKTPLRVVPLFEKLADLEGAPAALARLFSVDWYRERINGKQEVMIGYSDSGKDAGRLSAAWQLYKAQEELIKVAKQFGVKLTMFHGRGGTVGRGGGPTHLAILSQPPDTIHGSLRVTVQGKSLNSPLEYRSIVFHEPRFVEYFRLATPEMEYGRMNIGSRPSKRKPSGGIESLRAIPWIFAWTQTRFHLPVWLGFRAAFKHILEKDIRNLHMLQEMYNEWPFFRVTIDLIEMVFAKGDPGIAALYDKLLVSSELWPLGEKLRANYEETKRLLLQVAGHKDLLEGDLYLKQRLRLRDAYITTLNVCQAYTMKRIRDPDYHVTLRPHLSKEIMDWNKPAAELVKLNPTSEYAPGLEDTLILTMKGIAAGMQNT >Sspon.04G0017520-3C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4C:69587328:69587579:-1 gene:Sspon.04G0017520-3C transcript:Sspon.04G0017520-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTFIGNSTSIQEMFRRVSEQFTAMFRRKAFLHWYTGEGMDEMEFTEAESNMNDLVAEYQQYQDATADDEEEYEDEEEEVSA >Sspon.03G0018750-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:78178841:78181626:-1 gene:Sspon.03G0018750-3C transcript:Sspon.03G0018750-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPRPTLPHRRPCLLPSLPHPRHRHSSLLHRPPCLRLLRTPCHHRQLLLPLLHPPPTTYTCCPAKGVAYTYTPPAAASPPHPIFRRPTRRLTQTQPPPPRRRPPSTPQTEPPPLAPPPSGIPVKPSPTSAAPTSPNPSIPTHSSPSPPGTTPSTPGSGATPSVPAPATAADPVSPVTTGGQGSNKPSSPALPSSGSSVDSGGMSSGAKAGIGVVVAILVLSLVGAAFWYKKKRRRVHGYHADFVMPSPASTPTQALGYSAKTNFSAGSPESKDSMPEFSMGNCRFFTYEELYQITNGFSAQNLLGEGGFGSVYKGCLADGREVAVKKLKDGGGQGEREFHAEVDIISRVHHRHLVSLVGYCISDDQRLLVYDFVPNNTLHYHLHGTLFYHLRSKNNCRRGVPVLEWPARVKIAAGSARGIAYLHEDCHPRIIHRDIKSSNILLDNNFEALVADFGLARLAMDACTHVTTRVMGTFGYLAPEYASSGKLTERSDVFSFGVVLLELITGRKPVDASKPLGDESLVEWARPLLTQALETGNAGELVDARLNKNYNEVEMFRMIEAAAACIRHSASRRPRMSQVVRVLDSLADVDLTNGVQPGKSEMFNVANTAEIRLFQRMAFGSQDFTTDFSQSSWNSQSRDLDASGSRPL >Sspon.06G0025960-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6B:74802416:74803511:-1 gene:Sspon.06G0025960-1B transcript:Sspon.06G0025960-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAATTTTAVGKGVVVDRVVFALNGQRYEVAGVDPSTRLLEFIRTQTPFKGPKLGCGEEAAGLMMVGSDVHKEEELRSSQNRCAAQSSASGKERRSTTSLKGDL >Sspon.05G0016740-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:68905655:68907479:-1 gene:Sspon.05G0016740-2B transcript:Sspon.05G0016740-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to 60S ribosomal protein L18a-1 [Source: Projected from Oryza sativa (Os05g0565000)] MACVLCARRYFLRKLKKVKKSNGQILAINEIFERNPTTIKNYGIWLRYQSRTGYHNMYKEYRDTSLNGAVEHMYNEMASRHRVRSPCIQIIKTATVDFKLCKRDNTKQFHNSKIKFPLVYRKVRPPTRKLKTTFKASRPNLFM >Sspon.03G0037560-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:99474317:99478293:-1 gene:Sspon.03G0037560-1B transcript:Sspon.03G0037560-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTLAASRRSSFSAAAKRPAMDENAAGGPRWTRTQATKRVALGNITNVAAPAPGRRAAVGKAPPATTSAVRTPPLLIRVSASQEFTIGEFHAERNSFRFSREDSCLGSMKLNPATSAAPLKKPYPANARNVSSIRASAVKSASIKPAPRVSRLDSSTTQKHNVPPPKVPTTVDVPRRAPALVPCSSFMSPGRSGDSVSIDETMSICDSMKSPDFEYIDNGDSSVLASLQRRANEHLHISEDRDVEGNKWKKNAPAPIEIDRICDVDNDYEDPQMCATLASEIYMHLREAETKKRPSTDFMETIQKSVNPNMRAILIDWLVEVAEEYRLVPDTLYLTVNYIDRYLSGNEIDRQRLQLLGVTCMLIAAKYEEICAPQVDEFCYITDNTYFRDEDPALHLEFLANYIAELSLLEYNLLSCPPSLIAASAVFLARYVLQPAKYPWNSTLAHYTQYKPSELSDCVKALHRLFSVGPRSNLPAIREKYSQHKYKFVARKQCPPPIPTEFFRDVTC >Sspon.05G0004260-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:12748761:12750695:-1 gene:Sspon.05G0004260-1A transcript:Sspon.05G0004260-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTELKAMKGSICHEFETGLPAADVWGVYGTLLFGKLMPQLLPEVVSKVELLEGDGGAGSVLLITFPPGTPGSETFKEKFIKVDNENYIKETIVTEGGVLDHGFQKYMVRIEIMGKEEKTSIIRSTMEYEVDDEHADPPPVFSTYGLATIAKAITKYIKEQKGSEETPK >Sspon.06G0012510-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:54173038:54178707:-1 gene:Sspon.06G0012510-2B transcript:Sspon.06G0012510-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYETDDCFTLAELGLDDSPLIDSEVVNNLPAPVDAPEEGRPCSTVAAAPHAGPPVPVGGGHAAAPCCATAGTTGIQHLEEGDAQRQFDTWKRNVEQHLLGEVEPLGLTAPDEKLAPAPEDGRPSGTADADMPEVNAAATQSQPGPLPDAVGGGDAAPLTPMLPAGRWEKESMKGMRAVADAPCYVGLKKTLELKDDGEGNSWSAYEYTPLLPSDDGSNRLVEISLRVEFKQDKPPSAAAAASSAAGAASSSKRSRTGATAASSSAAAASAAGASSSSKRSRTGATAAASAVGAASSSKRSRTGATAAASSSAAASSSSSSKRSRTGATPPPPPAAAAASKRSGNSESEAWKHMTRLYLKDTTNEDGYKRMFAICNHCDKNLPTGGAMHSAAPTSPSIAHLAAPPGPAAPPPLPSRPHLPAPQAQAPTACATSEGRGRHQSMKVRPRSSPSYVVSCPSRSIPPSSDVASPQSVLQPHPWQPSLTDAVAALVATYTEEDDIREARRVPAGGEGGQIRRGWGASRVAAALAAALAAALRPDERLRRLDVAGHHPRCWPDIYDGGPCALPRNKMALYDVALQSDDKHLDFANITKSTHQQSEQLNFTCWAWPTLVPHSDYWPKLGGDSPTPKV >Sspon.03G0012320-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3B:44267012:44270646:1 gene:Sspon.03G0012320-2B transcript:Sspon.03G0012320-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding PKVPKQTVESETADVPRSDLTSCCGNESLLIKTSCSTASGPSATPPPGSHLRRPPSLPPSRSRLLPPRAGAYPTATAAASPTEVLSPASEPEPALATMYGWEMLSFNIHDGFLEAIVRGNRSGLLTAADYNNLCQCETLDDIKMHLTATEYGPYLQNEPSPLHTTTIVEKCTLKLVDEYKHMLCQATEPLSTFLQYITYGHMIDNVVLIVTGTLHERDVNELLEKCHPLGMFDSIASLAVAQNMRELYRLVLVDTPLAPYFSECITSEDLDDMNIEIMRNTLYKAYLEDFYKFCQKLGGATAEIMCDLLSFEADRRAVNITINSIGTELTRDDRRKLYSNFGLLYPYGHEELAVCEDVDQVRGAMEKYPPYQSIFSRISYGESQMLDKAFYEEEVKRLCLSFEQQFHYAVFFAYIRLCEQEIRNLMWISECVAQNQKSRVHDSVVFIF >Sspon.06G0025050-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:88380546:88383027:-1 gene:Sspon.06G0025050-2C transcript:Sspon.06G0025050-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLSAAQLQRGTPLASPHEEEAEHTPPAPRNPTASSSSLLLLWTGAPSGTDPTSTAQPIPPSSGCVAPSVHHNFLPRWLLLNNSSRMYCHVFADRMKPKGQNRHQRMACFSKGSSFQDLVPSVKPSRLLPAEELKTYPNSVPEEIFNTIILDDSDAFYVIELSTSREFSSSLDKNSAILICLIDVDGDSLLQRVPAIYLGQPTPGIKTGQLIPFQSGSVDVVTFKGSKLKRIKEVWIGLESGSWRLDGLSLKVIHGPVDPPKEINGTPEQKFNGLQYTFEKINAFLGDDGASVAETRPAAVTDLSGAGLSDLQEGLLSSESKASSIKELREMEYANLKQSLLLYDASIVITGFSAFTLASNDSAAYSFLIGGIGGFLYLLLLQRSVDGLPVIGSPSEADSAQPSVKGFSGVRRPWLILSLVLVAGAVALKYGAGGDSFELTPTELFVGTAGFLANKVAVLLAAFMPMLSDSKSEDGSGDIS >Sspon.04G0011000-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:33713196:33714308:1 gene:Sspon.04G0011000-1A transcript:Sspon.04G0011000-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAVKQEVEEVYAATVVARYCYGSQDKKQERAMAMEAEEDGEGELIPGLPDDVAMECLARVPSRSHRRMRRVCRGWRGTVGSAEFQRRRRAAGASEDIVFLVQTAPARGDGKGSTPECALAAANLTTGEWRRVDWPAEAWGPVPLFAQCAAAGDGRHVAVVGGWDPDTLRPTSDVRLLDVPAGTWRHGREMPDSRSFFGCAGGDGNVYIAGGHDECKNALRSAFAYSVAAHAWRALPDMSEERDEPQLVATTGRVLLAACGYPTEAQGAFRNTAERYHVGGDAWTSGEGDVIPGGDTAGTTCLAPVRGTVWAVGAGKDGVREWDGAARAWRDVADGPPGMKACVKAVGVGNGGAVFVFGTVADAAAEGEGV >Sspon.07G0020540-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:76585159:76588022:-1 gene:Sspon.07G0020540-1A transcript:Sspon.07G0020540-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQILPGPRARAPPPLPDHLVEEILVRVASPADLARAAAACASFRRLVADASFLRRYRSLHPPLLLGILTARRFLPAEAGHPNALTADALVRAADFSLAYLPPCQRTGWIYSDARDGRVLLIRYGHPGGHIHPELAVCDPLTRGYTLLPTIPESLLASILGPTRGFTAFFVPAADYEEAQFRVIAWAYCEALAVASVYSSLSGTWSDRISISSDAPGFCIQSQCVPPLDLWPSYAYGCFYWKLQYCNKLLKFDVNRMEFSIVGLPPNHKYRNVVVVEAGEGRIGIITRANRKIPELLHSIWQNEVGNDNEHTTETAISLPSDYHYCFVFGVAEGYIIIVGFEKASASSQKKKKASARTLAFFSLNIKTLETVRKREKAFAMQSFHKRRQEQVPKCKGN >Sspon.07G0014250-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:56818758:56820778:-1 gene:Sspon.07G0014250-2B transcript:Sspon.07G0014250-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDEARHLVGTYKRSRVVFEYGRGCKLYDLDGREYLDMSAGIAVTALGHADPDVCATITEQSGKIVHVSNVFYTTPQVELAKRLVKVSFADRAFFASTGTEANEAAIKFSRKFQRVAHPDSDDPPMEFLAFSSSFHGRTMGAVALTSKSQYREPFAPVMPGVTFVDYGDLEAAKKFIQSGRVAAVFVEPVQGEGGIHSATQEFLQGLREACDEAGALLVFDEVQCGFGRTGYLWAHEAYGVEPDIMTLAKPLANGIPIGVVLVKEKVAAALNYGDHGTTFGGGPLACQTAITVFDKIMKPGFLAEVSKKGENFKQLLRTKLSGNPHVKEVRGAGLLVGIELDVPAGPLVDACLDAGVIVLTAGKGNVVRLVPPLIISEKELEHAADVIRDCLPALDVTTA >Sspon.04G0006430-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:21701823:21706031:-1 gene:Sspon.04G0006430-2P transcript:Sspon.04G0006430-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHLAAVAVLCSVSYLLGIWHHGGFSAGPAAAGGVSSSVSIATAVSCATPALTTASSSPPAGPLDFAAHHTAEGMEAEAALRQRSYEACPAKYSEYTPCEDVERSLRFPRDRLRHCPADGEHLRCLVPAPKGYRNPFPWPASRDVAWFANVPHKELSVEKAVQNWIRVDGDRFRFPGGGTMFPRGAGAYIDDIGKLIPLHDGSIRTALDTGCGVASWGAYLLSRNILAMSFAPRDSHEAQVQFALERGVPAMIGVLASNRLTYPARSFDMAHCSRCLIPWQLYDGLYLIEVDRILRPGGYWILSGPPINWKKHWKGWDRTKQDLDAEQKAIESVAKSLCWKKIKEEGDIAIWQKPTNHIHCKAIHKVIKSPPFCSNQNPDAAWYDKMEACITPLPEVSDLKEVAGGALKKWPERLTAVPPRIASGSIEGVTAEMFVEDTVLWKKRVGHYKSVIAQLGQKGRYRNLLDMNAKFGGYAAALVNDPLWVMNMVPTVGNSTTLGIIYERGLIGSYQDWCEGMSTYPRTYDLIHADSVFTLYNGRCEAENILLEMDRILRPEGTVIIRDDVDLLVKIKSITDGMRWNSQIVDHEDGPLVREKLLLVVKTYWTLEDNKQ >Sspon.05G0006380-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:11667240:11668665:-1 gene:Sspon.05G0006380-3C transcript:Sspon.05G0006380-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEAAAAAKASSRLARSLAAAVPCYSGVFVFFAALVAGALVSACWMSVSARVIPITPVATPAIAHNAATGPEPAPGPPRFTAIVGGASTNQTPSAAASFAPPPREVSVPAPPAPAPAETAAPPPDCPSYFRWIHEDLRPWRAAGVTRDAVEGARRLFAPKFRVTVVAGRLYVARYGRCFQTRAAFTQWGILQLLRRYPGRVPDLDLMFDCEDLPVVGAGNRDQLAQPPPPPLF >Sspon.01G0044960-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:86411646:86414470:1 gene:Sspon.01G0044960-1B transcript:Sspon.01G0044960-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AAYAYPVAPACYNNKACLAAPALAFRFAPAPSLPPIGLRFRFRFHPRLGLALAPPAAPPRHRLAVAPPRLGRRRTRPALPPAPQPAEASAPARNYSGDGADRCCCRGCRLPSPPRGWTPGRWRTCGPPRPPRRSSAAGAAPCSGRRPSSRATTSRAARTSASPRKSTARPITARLGRCVFMVLQCPRWKGLL >Sspon.04G0018600-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4A:66838032:66839992:-1 gene:Sspon.04G0018600-1A transcript:Sspon.04G0018600-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHEPLRRRRIGELVGATGKKLSLAHRGTSSGTGSSSSSFLGSIPCSSPPRPSAWKPQHRQVVNNNSGGWTLHTSWAHGRQLGTTTDHPPHHNSTPSVPSFSKNLTSSLWMMENLCLVAALAQRPAKWGKQWGRETRASETQRRAAARKGEQIRKARLPNIDTSHDMAISLYRSGVCTAQIRWLAASSTTTGTKAEGTWPSLTPNPSRGDLTFYHYNKWPSRQRGSESVEHVKCPFCPCSLPTRPLLHARPALSKPPSHLALVDAGTGTTTQFLAFLCRFRAISTSLCSRLSLAVPIWSTSRIVLVLNLTMRRLIALWASTATPSSPSSSSSSSPVASEFLRWVADPGADPCPSLRKLVAFLSNDDVDEFDKNALARASGAAETVASVQQRRSTADMEAVMRVLAAIVASDCIEEESKRRVAAALAADASSVVASLARVLRSGSSLEACVDTTRLVESLLRNAGAHDGGLALDRQAVAAGLSCLAAIAAMRRGATRLDGPSGHRARGRARAGSRRRVPEPGAPRAGGCGGVHRGPRRGVRVGRDHGARGGVQDDEGRNGRCRGGGVPPVPGRALRRGPGCLLRHRHHAEQATQQLSYRAGEAAGYTQQCKEDVRTAPPG >Sspon.02G0010770-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:34038202:34038660:-1 gene:Sspon.02G0010770-1T transcript:Sspon.02G0010770-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVSWDLPDLEHDAAVPQPPPIAATAAAAAASPMAASGIAAAAAVPSRAECSVDLKLGGLGEFGAADGTKEPAAAATATPAPSAPSASPMKRPRSGPGGAAGAQCPSCAVDGCKADLSKCRDYHRRHKVCEAHSKTPVVVVAGREMRFCQQCS >Sspon.08G0025080-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8C:5541029:5541280:1 gene:Sspon.08G0025080-1C transcript:Sspon.08G0025080-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VALRRAGFGFGAEDDLVALAAVVRAAAVVAEGGGAGYAEVVLLAAGGEVLREEPLDPPAAGLRACVEGNIYAPPMVASVPSFV >Sspon.03G0005540-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:20178766:20180370:1 gene:Sspon.03G0005540-3D transcript:Sspon.03G0005540-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding CMAPPSHCHINSNDNGVHLSGTAAVPVETETVPPLLRSRLLDAEEFRRQGHQVVDFIADYYASMEDYPVHPNVTPGFLRRQLPSDAPSRPKPDAFAAALRDVHDLILPGVTHWQSPRHFAHFPASSSTVGALGEALAAGINVVPFTWAASPAATELEMVVVDWLGKALHLPGGLLFCGGGGGTLLGTSCEAILCALVAARERKLAEIGSRRLEDLVVYCSDQTHFAVRKAARIAGIHRDNCREIATCLEAMFALSPANLQAAMQADVDAGLVPLFLCATVGTTQTTAVDPIRELCAVAATHGVWVHVDAAYAGSALVCPEFRHVMDGAEAVDTFSMNGHKWLLANNDCCALWARKPSLLTAALGTEQEYILKSAAADGHDDVVDYKDWSMTLTRRFRSLKLWLVLRCYGVEGLRDHVRAHVGMAASFENMVRTDARFEVVVPRQFALVCFRLLSPEKLGGEKAANELNRRLLEEVNATSSGTYMSSAKVGGIYMLRCAIGSTLTEERHVREAWKVVQDRAASLLRKMEIIYSVLA >Sspon.02G0042990-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2D:62772168:62773082:-1 gene:Sspon.02G0042990-2D transcript:Sspon.02G0042990-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAAAAKKHKSGLIENQEPLGGGNGAGESSLDHISWLPDEVLGTIISLLPTKDTPLNLGAGSDLTSQDWKRLVFISKILRDHPGPARRFSLPGMRLRDRYAKIDGWLQSQALTGLEEIQFSYEIEDPRLRYPLLPSALRFVPTLRVAEFGNCDFPIDIAPSLKFPCLKQLTLRRVIMLEDVLHSLLRCCPVLESLLLEGNVGVGCLRINSPTLRSIGINVFCFRDRVKNPIRLQDFIIEDAPCLERLLPLNPDSGPATIRVVRAPKLEILGLLSDGIKLHIGTTVFK >Sspon.06G0001000-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6A:3424214:3424897:1 gene:Sspon.06G0001000-1A transcript:Sspon.06G0001000-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRCLSLSAQPTRRRAPTCEYELARGAICKTRAHPARPTPIQLCFPRPLPLLPPPSPPRPASPIANRRRDPARENQLQIRRRDRGARERPYALSCDPVKPRPSSSRRCCPMAGSDLEPLRTGAAALPSSSDPDSPAATPRRSRVRDLLRNLDRRLSNRSRGGGGEGVGAARPRPRGTAAGRPGRRRGEARRIATSLATARRPSGRCCSLAASLGSPPASASPRSIAG >Sspon.08G0004540-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:10828135:10832398:1 gene:Sspon.08G0004540-4D transcript:Sspon.08G0004540-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAAARVWEVKAEGLLRGACAALAAAAALLVGLSTQTETVLFVTKKATVKDVQALWVLAMAAAAAAGYHLLQLLKCFYLGRRVGGASPCRRSSRALAWTCLLFDKACAYTTFATTVAAAQACMIAVDGAHALQWTKLCNIYTRFCEQIAGSLVLAMLAAVGSAVLSAASARNVFRHYSPSSPPPGTYAAAH >Sspon.06G0008440-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:38563487:38569706:1 gene:Sspon.06G0008440-3C transcript:Sspon.06G0008440-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQASSQQLQPPPSHAGMSDGGAFANEGNLEHCARYLNQTLVTFGFPASLDLFATDPVSIARTCNCIYALLQQRQRDIEFRESTNDQRQRMQSDISRLEAKIERMDAQLAAKDRELATLTRTEAKNTAALKSQIDKLQQERDEFQKMVIGNQEKLNQVLMEKKKESSRSGMEIMNLLQVDAYEVKKQELMQENADLRALLRSMQMDMREFLNAPNGSSQPTVTANGRQESGSPQSPLGGKTDVFDLPFHMARDQIEESLRTKMTSIKARMTQLQDAQKGAEVTSEATDRELELEAQLASIMSKHFTKSDKPRGAAASADVPGREVRCARAAASGMDVRARAGAEGAKRKGWEVVSARLPPRSHRRSRGRRSSLPRHEERGSRATDLAAPPELAATPGGEGELAAAPRRRKGAARRRSLAAAP >Sspon.06G0026460-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6C:72570032:72571876:1 gene:Sspon.06G0026460-2C transcript:Sspon.06G0026460-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVLAQGNATSRGVEEDILVPLLARLANISSLLDSNAPPPSGSGPTTPPDGEGPPPPPPTVPASSAAEDAKSRARCRALLEKVRREMVQLERVFRRIDDAEKRIRYSFDPVEQHLDDALQHDPPDAERIHAGLLAVDAGVGSIKAGIREAYNIPCECDDDGESSSSTTSPSQSQVSAPPGTATVMTNKMGEIRHSPQMSHLRLAVGGLDERLRGCALCVAAFPEGAVIKKRLLLHWWVAEGFVRSADEGKTRFDELIAKGFIIPFTDPNAICRTVHRCTVRPWMRDLLVSVARRNGFLELNAGDVEFARRACLRGDKQASGFSAAVRAIYNIGQKYVELHERWFAGKKDLRVLQLGQWREFSTAQQIASPMDSHIEVSGVERFRDMESCKNLRYVSFRGISRIESLPSSIGKLRQLQVLDLRACHNLEELGQGITKLDRLEYLDLSECHLLVGMPKGLGQLTRLEILKGFVVANSNNRDFCHLNELTKLEKLRKLGIVIGKMAVPMEDEFLKLGEFKSLESLRISWGVLSSAKNGVTAAASPRHSVATMKYALPPNLKKLDLHCFPFADFARWVRPTGVRKLYIRGGKLATFGEDEEKWGAEVLRVRLRFLSDLH >Sspon.06G0015650-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:79435581:79441503:-1 gene:Sspon.06G0015650-3C transcript:Sspon.06G0015650-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKRKRVLELRDRLDRTLAMPDLAEEASLRALVKKQILASSLSGSDQGDVDLIAETRAREVSEFLEMLNTSRDGRSSKVRGVPQKEWKVKQDTDQLRVMYREGPDGTPFHTLLAEGFADGPIDVCTCVSWESALYKKWFPQYNLPTFRIDQSGCLKKVRIGEEICMVRKGEGSMAGFRERGSSTLF >Sspon.01G0050030-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:118221573:118222131:1 gene:Sspon.01G0050030-1B transcript:Sspon.01G0050030-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding PPEVEGHWAPHGAALTACVVGINVLVILLIFGFFWRFFSGKGPPRRAVVDAGGRRGVVPARVRVLQPRLRRRGRRRKAPECAVCIVELRDGDSVRVLPRCGHRFHADCVGAWLRLHATCPLCRGRVVAPAAAAVADESRNAKDDDDDVAADDCP >Sspon.08G0015890-3D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8D:60232856:60235792:-1 gene:Sspon.08G0015890-3D transcript:Sspon.08G0015890-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPAAMDRIATRLSAVEGLYFPSSFLGPSPTTTGAAPAPPPSPPRRRAELRSLLARDAPLFLERYGAALSADELAAFDALSPDYEVDWHLRRLRAAAAGAPPPASRVRNRRRAFLDRLVREGEYFSEDAMREREPYLHHEYLGRFQDPLGRAMARPGERWSETLMRRAEEAVIVEKIRGEQIRRGVDPSEWVGGGAEETMEDQEEEEEEEEEEEEDEEDGMKEKGKEVEKPIATEVVANEAAPMESNNGGGSAATTSNQTLSFEEMQDQLEQFTYVMQQKFLSGEDTEHMDYSQIDNDEMLDDHWSREANYDAEEKYFEED >Sspon.05G0001300-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:4347883:4351839:1 gene:Sspon.05G0001300-1A transcript:Sspon.05G0001300-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALRRGLGLLLLAALFVAVAGAASAAPGGMSIISYNEEHGARGLERMEAEVRALYELWLAEHGRVYNALGEHDRRFRVFWDNLRFVDAHNERAGEHGFRLGMNQFADLTNDEFRAAYLGARIPARRETAVGEMYRHDAAEELPESVDWREKGAVAPVKNQGQCGSCWAFSAVSSVESINQIVTGEMVTLSEQELVECSTDGGNSGCNGGLMDAAFDFIIKNGGIDTEDDYPYKAVDGKCDINRRNAKVVSIDGFEDVPENDEKSLQKAVAHQPVSVAIEAGGRQFQLYKSGVFSGSCTTNLDHGVVAVGYGTENGKDYWIVRNSWGPKWGEAGYIRMERNINATTGKCGIAMMASYPTKKGANPPKPSPTPPTPPPPVAPDHVCDENFVCSAGSTCCCAFGFRNVCLVWGCCPIEGATCCKDHASCCPPDYPVCNIRAGTCSVSKNSPLSVKALKRTLAKLNTA >Sspon.01G0009490-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:27021824:27025763:-1 gene:Sspon.01G0009490-1A transcript:Sspon.01G0009490-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AMGPGATRLPLRPLRLALRDGVRPSRHPALHPLAQTARGGGAPAPARANGGGAKPRRGQSPATGPSPACARPPAQLDPEILNASREHQVQLATTFKQRKQLNDGVQM >Sspon.08G0011680-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:50296141:50297219:-1 gene:Sspon.08G0011680-4D transcript:Sspon.08G0011680-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGSSLKSAQLLELMRQHLATDAGKELTKKVGLVYQLNIAPKKIGVDEEIFVVDLKKGEVTKGPYQGKPDATFSFTDNDFLGIATGKTNPQIAFIRGAIKIKGSISAAQKFTPDIFPKPAKL >Sspon.05G0026430-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5B:35087167:35088023:-1 gene:Sspon.05G0026430-1B transcript:Sspon.05G0026430-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLARIKHQAPCKVVLLEDIKTPSNDLRRLKELGCDLVLRKPVHGSRLFTLLTTLRDLQVPDAQAQSSQAGPEIAGNSHQQDLPDVVVSCVQDEAAASTEASCLAQEQKPEDDDKPLAGMQILLAEDTVVLQTIQRKILNQLGATVTVAQDGAVAVNFLKEALEQANVSEEDIVPLPYHVIFMDCQMPNMDGYEATKLIREEEQRHGIHTPIIALTAHDTEGLQKAIDAGMDLHLTKPILRNKIAEAVRSVFQ >Sspon.02G0021330-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:72952900:72953577:-1 gene:Sspon.02G0021330-2C transcript:Sspon.02G0021330-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SHVLLRRLLLLRRLLRLVLRPVLPLHLLRHAGDHLRLLHLLPCARRRRPLRGAPGRLRVHPPRGDRRRGRLADQVRPRDLPDHRARLQPLPHAHRPQPELGHEHQEHRAAGGGLQLRRPAVRPHQARRRGGGAPRGQDPGVPPRLRRGRLVRGARERRRGRVQEGERNGAVPGGGGARRRGEVPGALHQVQAGRDVPTEAAARAAGDDRRRLPEGQMQARQARQEL >Sspon.02G0017230-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:49286878:49290410:-1 gene:Sspon.02G0017230-1A transcript:Sspon.02G0017230-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASTTAAAWFFVAVVLVLLHATAPAIAGAEDEAAALLAFRRASVADDPRGALSGWALANATAAAPCSWAGVLCAPAPDGRVVAVNLSGMALVGELRLDALLALPALQRLDLRGNAFYGNLSHATASPSPCALVEVDMSSNAFNGTLPAAFLATCAALQSLNLSRNALVGGGFPFAPSLRSLDLSRNHLADAGLLNYSFAGCHGLRYLNLSANQFAGQLPELAPCSAVSVLDVSWNHMSGALPAGFMATAPPNLTHLSIAGNNFSGDVSAYDFGGCANLTVLDWSFNGLSSSELPPSLANCGRLETLDMSGNKLLSGPIPTFLTGFSSLKRLALAGNEFSGTIPDELSQLCGRIVELDLSSNRLGGGLPASFAKCRSLEVLDLGGNQLSGSFVDSVVSTISSLRVLRLSFNNITGQNPLPVLAAGCPLLEVIDLGSNELGGEIMEDLCSSLPSLRKLFLPNNYLNGTVPKSLGDCANLESIDLSFNFLVGQIPKEIVVLPKLIDLVMWANGLSGEIPDMLCSNGTTLETLVLSYNDFTGGIPPSITRCVNLIWVSFSGNRLTGSVPHGLGKLQKLAILQLNKNQLSGPVPAELGSCINLIWLDLNSNSFTGTIPPELASQTGLIPGGIVSGKQFAFLRNEAGNICPGAGVLFEFFGIRPERLAAFPTVHLCPSTRIYVGTMDYKFESNGSMIFLDLSYNRLTGTIPAGLGSMMFLEVMNLGHNDLNGTIPSEFSGLRLVGAMDLSNNHLTGGIPRALLSTFPQSRYANNSGLCGIPLPPCGHDPGQGSVPSASSNGRRKTVGRSILVGIALSMLILLLLLVTLCKLRKNQKTEEMRTGYIESLPTSGTSSWKLSGVHEPLSINVATFEKPLRKLTFAHLLEATNGFSAETLIGSGGFGEVYKAKLKDSTVVAIKKLIHFTGQGDREFTAEMETIGKIKHRNLVPLLGYCKIGDERLLVYEYMKHGSLDVVLHDKAKAGVKLDWAARKKIAIGSARGLAFLHHSCIPHIIHRDMKSSNVLLDSNLDARVSDFGMARLMNALDTHLSVSTLAGTPGYVPPEYYQSFRCTTKGDVYSYGVVLLELLSGKKPIDPTEFGDNNLVGWVKQMVKENRSSEIFDPTLTNTKSGEAELYQYLKIARECLDDRPNQRPTMIQ >Sspon.08G0009330-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:40889863:40892106:-1 gene:Sspon.08G0009330-1A transcript:Sspon.08G0009330-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALHERTRCGRGTFKKKAPNAVKEIRKFAQKAMGTTDVRIDVKLNKHIWSSGIRSVPRRVRVRIARKRNDEEDAKEELYSLVTVAEIPQKG >Sspon.02G0042490-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2B:83972379:83973032:-1 gene:Sspon.02G0042490-1B transcript:Sspon.02G0042490-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLPAAVLLLALLNLNSAAADAEGAAAALLEFKGALEDVNGRLSTWGAAGAGAGVDPCGWAGIACSAAGDVTGVTLHGLNLHGGLSTAVCALPRLAVLNVSKNALSGPIPPGLAACAALEVLDLSTNALHGGVPPDLCALPALRRLFLSENLLFGDIPPAIGNLTALEELEIYSNNLTGTIPTSVSALQRLRVIRAGLNQLSGPIPVDLTECASLE >Sspon.02G0019260-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:65267693:65272470:1 gene:Sspon.02G0019260-3C transcript:Sspon.02G0019260-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAQRALLDELMGTARNLTEEEKKGHKELKWDDPDVCGPYMVRFCPHDLFVNTKSNIGPCSRIHDLKLKESFEKSPRHDTYMRRFEAELAQQCEKLVMDLDRKIRRGRERLAHDSAVPMPIPGKIAEQLSVREEQVKKLLEQIEELGEAGKVDEAEALMRRVDILNAEKTALANQADNKVAMLEKKMELCETCGSFLVADDALERTQSHVTGKQHIGYGMIRDFLAEYKVAKEKTKEEERLAREQKAEERRKQRGKEYDSGGKDRDTRRERSGERDYDRDLQYERSRGRDRPYVYRERGSEHRSNPYRNGRDSERGGHRYRSGDMTNDRGRMRSRSRSPTRHGYGRSRSPDH >Sspon.05G0007220-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:22615308:22617605:-1 gene:Sspon.05G0007220-1A transcript:Sspon.05G0007220-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCFLACFGGDADWRRRRRKSRKQSPARSPPRSIHVRALTDSGILSCARPVVAVAREAADVVVKEVSPPLRGAKPSTLAEAVEVPDEVVAEAADVAANDASLLSKEVKASTTPSAVAAADEAVTDASPVEELRDLSEQKVLEKQAAPSLSPVKCSPIVPAVVSPQDSVECSPVAAVVVSALDSDLSDTVYMIVTVNKPSFMLCREVNEHGSQSSGKKKVTFDMNVTPYENAVPPDQEEEPPKEDENYVQNIVVLPENHRYQNCFDSDDDVGDEYAEDDVYGDDSDEDEEDFLDCKIDLVDEEEIRTEENKQESHESVFSLSMSNDQQNDQEVVSPAPKSSGTSVEAESPLITTKKLRDRCQYVHPVLNPVQNLSQWKEVKSLKAQPVHDKMLDKENVILVPDVGPSHYCNSASHTRMNPSMSSNKEVSLDASLSTWLVSSENSTVDKVQSKSPCSNSSVNREERPILGALTVDDLKQSSAASSPQRSPRTNREGAPILGTVGSYWHCTEQNNEYCSSGSNSGTNGIPNSTSKYREDKRVNWHSTPFNVKLDRALKKTSA >Sspon.07G0022400-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:7895365:7897289:-1 gene:Sspon.07G0022400-1B transcript:Sspon.07G0022400-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSKPVSARRLAPALFPLAHADAASAAASRRERRKDTFVATPPTPAPSPRAVRLAEPLPTLAPSRLAFHNRILALLSGPQADLPEAALLTRHALHSNCRPSSFTCAAVLAALLRARRLEDFFALHRFALQAAVPPTAATHVLYLSALAARRLADDALHHLRLLARPGSPVPPSPTAYRVVVECLVDHGRLADAVELKDEMLDSGFVGPDPKVYRILMAGFLGAGDGAKAVELYQELKDKVGGEPVLDGIVYGTLMKAYFLMGMEEKAMECYKEVLGVESANGEHDPPLRIAVDLRSFRVMVDAYCAAGRFEDAIAVFRRMGEWKLVPDVASYNNLIRHLQINQLIDKVEVLYSEMCESGVGADEETYLLLMEACFSVNRIDDGISYFDKMNNLELKPDATAYHKLVGGLVGFSMVDKAQEYFDQMKEKGVSPSISTYETLLKAYIAADRLDDAVKVAKGILLDEKVVFSDGMWELLEGALRGDGRENDIAKLYEDVEREKAEAEARALEEKARAEALAREERERRRAEIAAKDEAAAKASAAAIEAILAHKRKTENEGAPAPDANTLDGGFLSKLGLKSAGEGALQGNPTESGDDEEGEFQGNPTERNGDN >Sspon.02G0058340-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:72690529:72691872:1 gene:Sspon.02G0058340-1D transcript:Sspon.02G0058340-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEQAAGDQLRTKDQWFLKCPCNIKVELLHFWDDDTGCETQARRKLAVKDAGVACCANLKEELQLLKIDYVEKRNMHIASNTRLVVFIDSASPPKDAPATDATPPAAATTVAPP >Sspon.03G0008560-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:23048088:23055770:1 gene:Sspon.03G0008560-1A transcript:Sspon.03G0008560-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGDGDRHRGPKRHKSSAPSKAALVDESAEFDYADDFDDDAHDADMEVKKRDFTKLELKVDHASRPLWACADGRIFLETFSPLYKQAYDFLIAIAEPVCRPESMHEYNLTPHSLYAAVSVGLETSTIISVLSKLSKTKLPREIIDFIHASTANYGKVKLVLKKNRYFVESPFPEVLSNLLKDEVISRARISPEDSLGAPSFTVSKTSGQIASGHEDLLNGMEIAAATEDKETHSFEIDPSQVENVKQRCLPNALNYPMLEEYDFRNDTVNPDLEMELKPQARPRPYQEKSLSKMFGNGRARSGIIVLPCGAGKSLVGVSAACRIKKSCLCLATNAVSVDQWAFQFKLWSTIKDENISRFTSDNKEKFTGMAGVVVTTYNMVAFGGKRSEDSEKIIEEIRNREWATLVREDERITDLNFLIGPKLYEANWLDLVKGGFIANVQCAEVWCPMTKEFFAEYLKKENSKKKQVLYVMNPNKFRACEFLIRFHEQQRGDKIIVFADNLFALTAYAMKLRKPMIFGATSHAERTRILYQFKNSPEVNTIFLSKVGDNSIDIPEANVIIQISSHAGSRRQEAQRLGRILRAKGKQQDRMAGGKEEYNAFFYSLVSTDTQEMYYSTKRQQFLIDQGYSFKVITSLPPPEEGPNLSFYTLDEQLELLSKVLNAGDDMIGVERLEEDSDGKALLRARRSAGSMSAFSGAGGMVYMEYSTGKGKGAPKKHKDPSKRHHLFKKRYA >Sspon.06G0007060-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:24168990:24170924:-1 gene:Sspon.06G0007060-4D transcript:Sspon.06G0007060-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPPPPPTRTVLAATLLLLLLHLLPHNAAAGADASPAVNPFTAKAAFIRYWNRMVPNNRPHPAFFVAKLSPLPAADSASFPTALPDIRARLPALCTKAALLCPGPATTDAASLAASGPRGGGPFKGYSNANFSNYGTGGVAGADSFRNYSPDLNIAADSFRRYGRDSSGRADSFASYESDGNVVTANFTSYAGGATGGSGSFAAYAAETNVPDSTFTNYDASANGRGRSFASYSQEANHGENGFSGYGKDGNGVRETFSSYGNESNVLASGFANYGQSANGATDTFTGYGVEGNVPENTFRNYGAGGNAGVDTFKKYRDDANVGDDRFTSYAKGANGGAAEFQSYGNSANPGSTIFKGYGEGTNPNHHIGFKEYAGENNTFKGYAKSGVSFKEYHNTSTAALTVSAEAAASMQHHEHLKWSPEPGKFFRERELVSGNRMPMPDIRDKMPPRAFLPRAIAARIPFEPRAVSEAFGLPLDTAMGKAVASTVAECLRAPSKGETKRCATSAEDVVDFAVETLGDDIVVRSTASTAGSGGDVRLGTVTGVDGGRVTRSVSCHQSLFPYLVYYCHSVPKVRVYEADIMAVDGSDAGEKINHGVAICHLDTSDWSPTHGAFVALGGRPGEVEVCHWIFEGDMTWTVAD >Sspon.01G0028190-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1A:98671777:98673557:1 gene:Sspon.01G0028190-1A transcript:Sspon.01G0028190-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWVLLRLAGDPVRIRHVVQRAGEAFPQILNALLSKLTATAVGVFMMGSIILQ >Sspon.08G0028540-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8D:13051237:13051684:-1 gene:Sspon.08G0028540-1D transcript:Sspon.08G0028540-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ASGATPAGCARAHRPDSFLQRQTNQKLPTVAHSQASEGVLHSTTGSGATVGYQNIRVPNIRQNTAPAQSLKPHRRGPSKTDLPKALTACQSLKARTTNSISPEPCRKVSGEIGTSGGIFLPPRAPVASSKAPDPLDVFPPRSRDTWNP >Sspon.02G0030950-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:92394855:92400229:1 gene:Sspon.02G0030950-4D transcript:Sspon.02G0030950-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSARSWLQKLQPRDKDRERGAKAPVPASASPNGGGGGGGGSARMAAAAGEEEALSSATKQKVAAAKQYIENHYKSQMKSLQERKERRWMLERKLADADVSEEEQNNILKDLEKKETEYMRLRRHKMGVDDFELLTIIGRGAFGEVRLCREKATSNVYAMKKLKKSEMLRRGQVEHVRAERNLLAEVDSAYIVKLYCSFQDDEFLYLIMEYLPGGDMMTLLMRKDTLTEDESKFYVAETILAIESIHKHSYIHRDIKPDNLLLDRSGHLKLSDFGLCKPLDSSKSTNPPSDGDKQSSNSTAPRRTQQEQLLHWQKNRRMLAYSTVGTPDYIAPEVLLKKGYGMECDWWSLGAIMFEMLVGYPPFYSEDPMSTCRKIVNWRSHLKFPEEARLSLEAKDLISKLLCNVDQRLGTKGAHEIKAHPWFGGVEWEKLYQMEAAFIPEVNDELDTQNFEKFEEMLSSKDVNFVGYTYKNFEIVNDPELPGIAELKKKNNKPKRPTIKSLFETADSEDQPSDGSFLNLLPTQLELPESLEPSPHSSISSEDSQARN >Sspon.01G0031650-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:106529623:106536618:-1 gene:Sspon.01G0031650-2B transcript:Sspon.01G0031650-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding PMASLLTLPALALSNPATASDAVRLRAAAFRCWALRRRGWAAVAAVASPNSVLSEHAFKRLQLGSDDEDEEGPYGSDADEGFEAGEGDEEELAIARLGLPDELVATLEKRGITHLFPIQRAVLIPALEGRDLIARAKTGTGKTLAFGIPMIKQLIEQDDGRSTRRGRIPRVLVLAPTRELAKQVEKEIKESAPKLGTVCVYGGVSYNVQQNALSRGVDVVVGTPGRIIDLINGGSLQLGEVQYLVLDEADQMLAVGFEEDVETILQQLPADRQSMLFSATMPSWVKKLSRRYLNNPLTIDKVGDQDEKLAEGIKLYAIPLTSTSKRTILSDLIQVYAKGGKTIVFTRTKKDADEVSLALTNSIASEALHGDISQHQRERTLNGFRQGKFTVLVATDVAARGLDIPNVDQIIHYELPNDPETFVHRSGRTGRAGKAGTAILMFTSSQKRTVKSLERDVGCKFEFISPPSMGEVLESSAEHVIATLRGVHPESTQYFLGAAEKLTEELGPHALASALAHLSGFSQPPSSRSLISHEQGWVTLQLTREQGYGRGFFSPRSVTGFLSDVYSAAADEVGKIYLTADEQVQGAVFDLPEEIAKDLLTMELPPGNTLTKISQLPALQDDGPATDSYGRFSNDRGSRNRRSRGGGASRGRGGWDTDGEDRFRRGGRSFRSDNDSWSDDDWSSGGRKSNRSSSSSSSFGSRSSSYGSRGSPSFGGRSSSFGGRDRCEHP >Sspon.02G0030420-3D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2D:94939500:94940234:1 gene:Sspon.02G0030420-3D transcript:Sspon.02G0030420-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSPKRQRREPRHPGERRTRAARAHTEPSTTPLPRCDLHCPPLGPRSRRATPSPAPPPPPPPPPERSRPPFPQSPRVLDPRGAGAGRGGSRWRGHIMVQCLDGVRQLLAVLLKCCDVELRQPRGLEDPQVLARETVFSVSEVEALYELFKKISSAVIDD >Sspon.01G0055900-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:85125600:85130764:1 gene:Sspon.01G0055900-1C transcript:Sspon.01G0055900-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGEEHVAAAAAAVEETPEKKEPSTTELPAPSGWTKKLVPTRGGRFEVLFVSPTGEEIKSKRQLTQYLKAHPGGPASSEFDWGTSDTPRRSARLSEKVKATESPEGEKTPKRGRSSSKRGKRQEKEDAEDANEAGEGGASEEGKGTDVEMKDAENAEDEKKEAPSADAAEKTEEGEDKKEEAPAVDAAEKTDEKEVAPAVYAAEKTEQSTEGQAQPNNVAAPESEIKGDGKPAESESAPPAIVDEEKKEEKIENGQAAEPAVPSLASSEGEKKENGGATEPAPLVAETKADAPPPAEAEKGAENPGQVNTAPQEPTAANCDNKGQIQPGASAVRCT >Sspon.05G0000960-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:2296303:2301234:1 gene:Sspon.05G0000960-3D transcript:Sspon.05G0000960-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase (Fragment) [Source:Projected from Arabidopsis thaliana (AT1G34130) UniProtKB/TrEMBL;Acc:W8PVA0] MAPPALDSLPAPLRSLRLKTKQQELLLRVSALALIYVLAFAVRLFSVLRYESMIHEFDPYFNYRTTLFLTENGFSEFWNWFDSESWYPLGRVVGGTLFPGLMVTAALLHRLLRALSLAVHIREVCVLTAPFFAANTTLVAYAFGREIWDSGAGLVAAALIAIVPGYISRSVAGSYDNEGVAIFALLLTFYLFVRAVNTGSLAWALASAFGYFYMVSAWGGYVFINLIPLYVLVLLVTGRYSQRLYVAYNCMYVLGMLLAMQIRFVGFQHVQSGEHMAAMGVFFLLQVFFFLDWVKYLLNDARLFKSFLRITLTCVITVGTLALGIGTASGYISPWTGRFYSLLDPTYAKDHIPIIASVSEHQPTAWSSFMFDFHILLFLFPAGLYFCFKRLSDATIFIVMYGLTSMYFAGVMVRLILVAAPAVCLISAIAASATIKNLTTLIRTKSRSPQTTSGKTTGSKAAAKGAVDQSLPFQHNAAIALLLGAFYLLILAARGHNGGRVIFDDYREAYYWLRQNTPPDAKIMSWWDYGYQITAMGNRTVIVDNNTWNNTHIATVGRAMSSYEDEAYEIMQSLDVNYVLVVFGGVTGYSSDDINKFLWMVRIGGGVFPVIKEPDYLVNGEYRIDKGAAPKMLNCLMYKLCYYRFGELTTEYGKPPGYDRVRGVEIGNKDIKLEYLEEAFTTSNWIVRIYKVKPPKNRS >Sspon.02G0033990-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:9821646:9821993:1 gene:Sspon.02G0033990-1B transcript:Sspon.02G0033990-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDELPSSSLSKWLHISGTPHRRRVDSATSSAPHILHGGPNRGYNTMPYIFEQTKHQAPLHVSSISQSCKYMVTIKGLTVPGPVFSPSLYLPIVSTIS >Sspon.08G0011380-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:49710687:49712077:1 gene:Sspon.08G0011380-1A transcript:Sspon.08G0011380-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSILALSQITIGLQGGPSQSSSAAELLRSAVWSDLAYGGVTLPPVPRVRGASASNAKGDTAAAAGGSTAGRRATVGTASAAGTAGHGATTAAASTGTDPGRPFLPGFLAFAQLQAPAAAAASGYAVSIASQHNSSCDKCEQYSGSLIKKDSRQTEDKTLDSPYLQIN >Sspon.06G0025420-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:65601429:65601797:-1 gene:Sspon.06G0025420-2D transcript:Sspon.06G0025420-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding HVAGHRAAGGHGRAPVPGAGEAARPPLRRLPPAPQLRRARGAGGGVLVAAAEEGDQAPHEDAAQEDAAVGHQAPPHAVSAAAAAPARLDARRVRRHGRRGGGRRRDPRPRGGRGRRRTRRRRL >Sspon.05G0000570-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:2336998:2341613:-1 gene:Sspon.05G0000570-1A transcript:Sspon.05G0000570-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGEGSAAVSEEGEMRSLALTPTWSVATVLTLLVAGSLLIERSIHRLSNWLKKTHRNPLYKAMEKMKEGRNDVAWIHISASGCDVKNYLRDLHRFEGYESFVSHEGLEQLHRQTYGFDYATTQVCFIAQFGQSVVRADYLILRKGFIMTHNLPPTYDFHNYMIRSMEEEFEKIVGVSGLLWGFVVCFMLFNVDGSNLYFWIAILPVTLVLLVGAKLQHVIATLTAEGAKMSTYGPRIQPRDDLFWFKKPEFLLWLIHFVLFQVIWKQLRDEYKLTRFNLIIVQNAFELASFFWFWWQFGYDSCFIKNHLLVYCRLILGFAGQFLCSYSTLPVYALVTQMGSKYKAALIPRRIRETIHGWGKATRKKRRRRRGDDSTARTETSTVCSLTDDDEDDFDDHHHHGPSDETPRAGGRPPYLKIEMHRQSGSGHGHGHDGPRPGTPCFHPAPMPTPGGGGGGSGHAMLLQQASVSAPSSPSYRGGNVTRSASMPGFAALRTGSGTPTRMSHEEPT >Sspon.04G0004760-4D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4D:14932599:14933032:-1 gene:Sspon.04G0004760-4D transcript:Sspon.04G0004760-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSCDDDHASLLRSHAGASSSPSCPSPRGPVGHQHHADVEADEATVTASRRLASSGGGVRGLLRNLERRMTARGSGPGRRQHQHYQQLDRSAAIESPSQRQRERAAAGDDDELGDGAPPEWALLLIGCLLGLATGICVAAFNRG >Sspon.04G0028250-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4C:67101282:67102181:1 gene:Sspon.04G0028250-2C transcript:Sspon.04G0028250-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MIHLRKRIIFLLPRTPSRGPCPHISPLFYPHRLLSATNKCVATDPFAVEEYLAATCGLTRAQALKASKSISHLKDASRPDAVVAFLSNLGLSSAEVTAVITRDPKVLCSDVERTLTSRVAELTDLGLSRPEIILLLIVGMNHFRHGSLRLNLEFWISVFGSLDELMRALRINAALLSKSIEKVCKPNLALLQKCGINVSDIPNSFMSRVLIVDLKNLQEALARLHEFRIQQGSQSFFRGLYTFAILVSEKITKNVELFEKLGWSKDHIVSAVKRDPNILGFTEERVRISMEFLIGVVGLE >Sspon.07G0011420-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:40080740:40083565:-1 gene:Sspon.07G0011420-1A transcript:Sspon.07G0011420-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMISRSVSFFLLGYTLLRDPRHNKGLAFSEAERDAHYLRGLLPPALASQELQEKKLMHNLRRYEQPLHRYIAMMDLQERNERLFYKLLIDNVEELLPVVYTPTVGEACQKYGSIYRRPQGLYISLKDKGKILEVLKNWPERSIQVIVVTDGERILGLGDLGCQGMGIPVGKLSLYTALGGVRPSACLPITIDVGTNNETLLNDEFYIGLRQKRATGELLEEFMTAVKQNYGEKVLTQFEDFANHNAFDLLEKYRESHLVFNDDIQGTASVVLAGLLAALKVVGGTLADHTYLFLGAGEAGTGIAELIALEMSKQTGSPIEECRPKIWLMDSKGLIVASRTDSLQAFKKPWAHEHEPVATLLEAVQSLKPTVLIGTSGKGGTFTQDVVEAMGALNEKPVIFALSNPTSHSECTAEQAYTWTQGRAVFASGSPFPTVELDGKVLVPGQSNNAYIFPGFGLGVVISGAIRVRDDMLLAASEALAEQVTEEHFAKGLIFPPFTNIRAISARIAAKVAAKAYELGLASRLPRPDDLVKYAESCMYTPAYRSYR >Sspon.01G0019630-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:71254140:71261726:-1 gene:Sspon.01G0019630-4D transcript:Sspon.01G0019630-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAIAMRSGSSDGGGGYEKGGMDTGKYVRYTPEQVEALERVYAECPKPSSARRQQLLRECPILSNIEPKQIKVWFQNRRCRDKQRKESSRLQAVNRKLTAMNKLLMEENERLQKQVSQLVHENAYMKQQLQNPSLANDASCDSNVTAPANLRDASNPSGLLSIAEETLTEFLSKATGTAIDWVQMPGMKPGPDSFGIVTISHGGRGVAARACGLVNLEPTKIVEILKDRPSWFRDCRSLEVFTMLPAGNGGTIELVYMQMYAPTTLVPARDFWTLRYTTTMEDGSLVVRTDLNTLSLLDLLTRLGVFLKFFDPSMNRLGGFNDAISGFNDDGWSIMAGDGIEDVIIACNSKKIRSGSNPATAFGAPGGIICAKASMLLQSVPPGVLVRFLREHRSEWADYNFDAYSASALKTSPCSLPGLRPMRFSGGQIIMPLAHTVENEEILEVVRLEGQTLTHDEGLLSRDIHLLQLCTGIDEKSMGSCFQLVFAPINELFPDDAPLISSGFRDGLSSGRTLDLASSLEVGATTQQASADGSQDVCNLRSVLTIAFQFPYEIHLQDTIAAMARQYVRSIVSAVQRVSMAISPSQSGLNTGQKIISGFPEAATLVRWICQSYRYHLGVDLVSHSDQAGESLLRMFWDHQDAVLCCSFKMGIDMLETTLIALQDLTLDKIFDEPGRKALHAEVPKLMEQ >Sspon.07G0018750-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:76976734:76980691:1 gene:Sspon.07G0018750-2C transcript:Sspon.07G0018750-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSQASPASTSSSRFVVASRAFSKQELDGLRGLFTSLAAQSQTGGRAISRPVFLEYYGVRGPLGDRLFQLVAKESGGSDGVTFEDLIVSKATYGRGTRDEVDEFIYQLCDVTGDGALTRSLGINWYLLWRLPLTCTARSDLESVLPSVHETVFAVKKEVGEGSNNRPFEAFLNSAVFSKDAEGVSEKSMSLPDFRNWCIFLPSLRKFLGNLLMPPDSGRPGFEVPLLHYPENISTDLLLLNKEYAWHIGGGFSQHEVQEWKLLYHSSLHGQSFNTFLGKVTNGDAQTVLIVKDTEGSIYGGYASQPWERHSDFYGDMKTFLFKLYPQASIFRPTGANKNLQWGATPPLPTAPHYGTGPTAARRPWRPRRRPALAAAPLWPAGLAAAIDSKKQRRCWHNSSTNCWCQDGVKVAHGVAIAS >Sspon.07G0005530-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7A:14183014:14184385:1 gene:Sspon.07G0005530-1A transcript:Sspon.07G0005530-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GLPTSFCKDNLPSKEFRMVLEDEEGVEFDAVYIGNRTGLSGGWRGFAMHHNLEEGDSLVFELAEHDRFKIYIIKAIDDDVKEAESDDKNASGGTKEEPDQEESPVAEPPKGAKRRKLRGRR >Sspon.05G0027710-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5B:56078151:56079133:-1 gene:Sspon.05G0027710-1B transcript:Sspon.05G0027710-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GAAQQSMSRHGYRIPFVVLREATNNFDEKMAIGVGGFGKVYRGVMQDGTKVAIKRGNGHLSSGQGLSEFRTEIEVTSEVRHRHLVALLGYCDERNEMILVYEYMENGTLRRHLYGTISDFGLSKVSADIVQTHVSTVVKGSFGYLDPEYFRTQQLTDRSDVYSFGAVLLEVICARPALVPSLPRQMVSLAEWGMECHKRGQLHQIIDPHLAGKIMPEALNMYVETAGKCLADFGVDRPKMGDVVRNLEAVLQLQESGEDNSNMTMTNTFSQLVTNDG >Sspon.08G0010350-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:43568584:43570057:1 gene:Sspon.08G0010350-1T transcript:Sspon.08G0010350-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATAYSVALLAGARLPAAPRSALLPRRSVCQLRLQDAPRLSLLRAKAASEDTSASGDELIEDLKAKWDAVEDKPTVLLYGGGAIVALWLTSVVVGAINAVPLLPKILELVGLGYTGWFVYRYLLFKESRKELAADIETLKKKIAGTE >Sspon.01G0023550-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1A:84813630:84814591:1 gene:Sspon.01G0023550-1A transcript:Sspon.01G0023550-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding QIIPNKFARRFGDKIPGKIELKACNGSTCTVAVTRYPNELVLEAGWEAFVSTNDIRLCDFLVFRYNGNFQFEVLIFDSSCCVKESSNVAGNICDHVPQRHRDLIDNSSDFDTDHKPMQSPGSEEPTVNKMKGTNQYTKINRSSSTCDLNASGRGLAARVVRGVGNAGRGAPPTAGKREGFSF >Sspon.01G0008800-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:24448762:24450784:-1 gene:Sspon.01G0008800-1A transcript:Sspon.01G0008800-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSSNSPDRQSSGGSPEERGSGGSGGRGTGEPVRSRWTPKPEQILILESIFNSGMVNPPKDETVRIRKLLERFGAVGDANVFYWFQNRRSRSRRRQRQMQAAAAAAAAASSAANGSPAASATVGGLPSGALQYPLAMGGGTACQYEQQASSSSSSGSTGGSSLGLFALGAGVPGTGGGYFQASCGASSPLATGLMGDVDSSSGGSDDLFAISRQMGFAASPVASPSVAPSTTAHQQQYYSCQSPAATITVFINGVPMEVPRGPIDLRAMFAQDVMLVHSTGALLP >Sspon.01G0033410-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:111440039:111445600:1 gene:Sspon.01G0033410-2D transcript:Sspon.01G0033410-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQRNVLALGEHVLKATVRKRKHETAMEHPEGNDVMVFSQHDPRKHPVTFDDRAKCKKSKLISCGSGAILESYWNFKTSGLPVRVLFYQHGDWSDFPEDVVNLAQQDFQLKRPITTAVFQNKHILLDFVHMICIDYEMTIDKPLAWVDDHGKHFFPDLSAGLYTSKPSQHEKGEADECDGMSSVAESSSSVSVGEVVSHSKRINNIAEDNLKAHNRLHEAVGENNSGPSFHLNDYFSGTIQATGKPNNGPLVDSAVQNLLLKGLGQPFSEKDIIGIYRTPLLDQRWQVRCGLFQKEVEETRSRRGNANVRYAWLPCSRYTMEQMTMRGALETAKPQKGSMFGVGTCLAPANCSNSCARYTDFQEDGIIRMMLCRVIMGNVEVVLPGSKQFQPSNESFDNGVDDLQNPQNYIIWDANVHKHIYAEYAVIVKVPPVTNECLVSKDSVPNISEIISTGSPDNLTKEDRFQTLAPSGVEQEAPKLGHAPRAPSSPWMPFSMLFAAISTKVPRSDMDLVIRYYEEFKRKRMSRSDLVIRMRQIVGDKILVSTIMRLHQKSPPMPAAGLPRALGRGKRE >Sspon.03G0013410-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:55001567:55004863:1 gene:Sspon.03G0013410-3C transcript:Sspon.03G0013410-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTLRKKYPQFAQQHNNVYMQQDAEECWTQFGVYTFSTLTSDSRVHCAESGEESTETESVYSLKCHISQDVNHLHEGIKHGLKTELEKASPTLGRTAFVRFFWKRESNQKAKILRKVDYPLELDVYEFCSDELKQKLQAPRQMLRDAENAKFGLKAHGKASSSKENEESSSSAGESSTESSLPKKQLTGVYDLVAVLTHKGRSADSGHYVGWVKQDDGKWIEFDDDNPNIRKEEDILKLSGGGDWHMAYICLYKARMAESKS >Sspon.07G0006850-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:5671481:5673058:-1 gene:Sspon.07G0006850-1P transcript:Sspon.07G0006850-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAETGAAALRDAASALASSMQPQVAAVFFVSAACTVALAALLAVARMRPPWWCACPVCEAYLTASWAADFDNLCDWYAHLLRTSPAQTVHVHVLRNVLTANPATVDHMLRARFDNYPKGAPFSAILADFLGRGIFNVDGDAWLFQRKLAAAELASPALRAFAVRVVASELRSRLIPLLHSASREGQEGRVLDLQDVFRRFAFDCICKISFGLDPGCLELSMPVSSFENAFDMASTLSARRATVPMHIIWKLKRLLNFGDERRLRDAVHLVDTLAAEVIRQRRKLGGAASGSDLLSRFMGSINDDKYLRDIVVSFMLAGRDTVASALTAFFLVLSDHPEVAAAIRDEATRVAGDDERLTASTFNKLKGMHYVHAALSESMRLFPPVQFDSKFAAGDDTLPDGTAVAKGTRVTYHAYAMGRMESVWGPDCGEFRPERWLQNGRFVPENPYRYPVFQAGARVCIGKELALMEMKAVIVAVVRSFDIEAIDRSSRRPKFAPGLTATFAGGLPVRVRRRARVSGHSPPI >Sspon.02G0054190-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:95676129:95685679:1 gene:Sspon.02G0054190-2D transcript:Sspon.02G0054190-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRAPALRAARRALDDLVNVTSMMTQTLQGKVMAYTPSRFPIQQQILPEDLDMLQLDNMNQDTSPIRAVIIQKIVHLFLHKPVLHFYLDLEKVEGRVNDLLRSFPYRKQRDPWISSAVPSTKDLRQLPGIQMTDSSVYHNTAPAFTNLPAHSGDVPPHTVFTSQRYVPQNHNMAAANLALVERPESFMSTVVAPSVSGLPNCISGLVGIDSAGLQNGYLKDHFPGDAYHVDSPQPSMSGSSSPLSAVCDPTTSSGAMIRSPVDSISKANGQKLFTGEGQLFQQYREYEKELDGAWSHPAEKAVHSNRTTQSNEIYFKEECGPDTSMEMKENYWRTSDSRDSCREIYSNLSTPNAQYHQCFMSDCDPCDPEREIVERSEQTSNSTVSKPTSPISDESSGKRPAKRLKADAPTLVNVNQESPKEQKPVMNENHVSVGETVQSEITELPAKSPCSSLGDINADSNNTLEQGSEDAPNTEIVKEEEFYCAKGDIEMKEAKTVALDQTPRGVNLSTRRKRGASILYALTAEELRDHMSSLINQHTCLSKVISQEFPSIDGLPDQNTCSLCGMERLLFEPPPRFCALCFKIINSAGCYYVEVENGSEKTSICSKCHHLSSSRAKYQKKFNYAETDAEAEWWVQCDKCKAWQHEICALFNRKCEGAKAEYTCAKCFLNEKDSGDIQALESSTVLGAQELPRTKLSVKGLTVRVVSSAERILQVQPRFRDFFKQEKYPGEFPYKSKAILLFQKIEGVDVCLFAMYVQEYGSDCPSPNQRHVYLAYIDSVKYFRPEIKSASGEALRTFVYHEILIGYLDYCKKRGFVSCSIWACPSTKRDDYLGERMRTMKEDFIMLCLQEFCKHCHQPIVSGKSWVCTSCKNFHLCDKCHAEEQNTAQKDRHPATTKQKHAFQRMEVEPLPETDDGDPTMESKYFDSRIDFLKHCQDNQFQFDTLRRAKHSTMMILYYLHNSTCSACHRAVDQCLVWRCLECLGCTFCDQCYKQNGQSLHSHELRQIHTSETLQKHTLQDYIDGLVHASRCYDPRNCTHPVCLTLKKLFFHGVRCDIRARNWSGCKKCVFMWKLLLCHSKDCNDVDCSVPRCRDIKAYIAEKLKLVGPVL >Sspon.07G0020060-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7A:74670144:74672783:1 gene:Sspon.07G0020060-1A transcript:Sspon.07G0020060-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding TATATAATLTSPRCWLSKPSSPTLSASSPATGPPPCPSAHWVGVSCGVRHRNRVAAVQLQHLPLHGAVAPQLGNLSFLTVLNLTNTSLTGTLPDDLGRLHRLKSIDLTFNGLSGSIPPAIGNLTSLEVLALKSNHLSGPISAELHNLQNLNRINLQRNFLTGSIPDNLFNNTPLLTYLNFGNNSLSGSVPSCIGSLPSLEYLNLQVNRLAGAVPAAIFNMSTLQILALTYNHGLTGPVLGNASFSLPMLQAFSIGSNSFTGQIPLGLTACRFLERVDMTENLLEGVLPTWLGSLTRLTFLSLGGNSFVGPIPAELGNLTMLSSLDLSVCNLTGSIPVELGRMSQLSLLLISANQLSGSIPASLGNLSEFGYMVLDINQLVGTIPSALCNMNSLFFISVLGNRLQGDFSFLSALSNCQQLSYLDISMNRFVGSLTANHIGNLSSDLQTFRAIGNKIVGELPAAISNLTGLFRLELSDTQLRSAIPESMAMLQGLQWLGLQRNNMFGPIPSNLAMLKNMIILYLHSNEFSGSVPRDIGNLTVLEDFSLANNRLSWTIPPSLFHIDSLILLDLSQNLLEGELPVDIGYMKQINAMDLSSNLLVGSLPDSIAQLQMMAYLNLSHNSFSGSIPMSFINLTSLQFLDLSYNDISGTIPNYLANFSILTSLNLSFNKLQGQIPEGGVFSNITLQSLIGNTGLCGAPRLGFSQCPSPRGSRRNNGHMLKVLLPTTIIVVTGVVAFCIYVIIRKRNQKQQGMTTVSAGSVDMISHQLVSYHELVRATDNFSESNLLGSGSFGKVYKGQLRSGLIVAIKVLDMQQEQAIRSFDAECSALRMARHRNLIRILNTCSNLDFRALVLPLHAEWKFRDASALLSRHNTSAGIP >Sspon.07G0019710-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:72881663:72884938:-1 gene:Sspon.07G0019710-1A transcript:Sspon.07G0019710-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVERRYGPCASHGFFLLRLLLPFLFFFSFLDVPPAAAAQHLPINTTQADIMRAIARLVNSESANTKWNTADQNPCNWNGISCRNSGSSLVVTSIGLSNYGLSDSSIFSHLCRLDTLLSLDVSRNSFTNLSAQFFANSSCSMKEGLQSLNLSTNQLANSLSDLSGFPLLEVLDLSFNYFASRNLSADLGSFPKLRSFNASTNKLNGDVPTSMVSSLAELVLSRNQLSRSIPLALFKYENLTLLDLSQNNLTGVVPDNFTRLSKLETLLLSGNGLTGEIPASLSNVTTLTRFAANQNNLLGPIPTGVTKYVKMLDLSYNNISGNIPADLFLGMNLETIDLTSNNLEGHVDAKFSRSLYRLRLGTNKLSGEIPGTISNASNLAYLELNNNHLEGNIPLNLGGCKNLTLLNLASNRLQGQVPNEIGNLKNLVVLKLQMNNFSGSIPSTFSNFTNLNTLNLSYNSFSGSIPDGIMKLQNLSSMNLQANKIDGVIPNSISSLKILIELNLGNNLLTGSIPEMPASLSTALNLSHNLLSGNIPSDIGFLSELEILDLSYNNLSGQVPSSFVNLNSLTELVLAYNQLSGSLPVLPKNAAVNITGNPGLTNTNSNNMDTGSKKKRHTLLIIIVALAGALIGLCLLAVIVTLSFSKKVYRIEDEQSPSEEGVAQITGGRLITMNSIHASAIDFMKAMEAVSNYSNIFLKTRFCTYYKAVMPNGSTYSVKKLNWSDKIFQIGSQEKFGHEIEVLGKLSNSNVMVPLAYVLTEDNAYLLYEHVHKGTVFDFLHGAKSDILDWPSRYSIALGVAQGLTFLHGCTQPVLLLDLSTRTIHLKSVNEPQIGDIELYKIIDPSKSTGSLSTIAGTVGYIPPEYAYTMRLTMAGNVYSFGVILLELLTGKPSVSDGTELAKWALSLSGRPDQREQILDTRVSGTSIAVRSQMLSVLNIALSCVAFSPDARPKMRNILRMLFNA >Sspon.06G0012570-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:66532881:66535098:1 gene:Sspon.06G0012570-1A transcript:Sspon.06G0012570-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAQQKIRWGELEEDDGGDLDFLLPPRVVVGPDENGLKKVIEYRFDDDGNKVRVTTTTRVRKLARARLSRSAIERRQWPKFGDALKEDAGSRLTMVSTEEILLERPRAPGSKAEEPAASGDPLAMASKGGAVLMVCRTCGKKGDHWTSKCPYKDLAPPTETFTDRPPTSDGPPAPGGPVKGAYVPPTMRGGADRTGDVMRRRNDENSVRVTNLSEDTREPDLLELFRAFGPVSRVYVAVDQKTGSSRGFGFVNFVHREDAEKAISKLNGYGYDNLILRVEWATPRPN >Sspon.01G0056230-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1C:87066046:87067119:-1 gene:Sspon.01G0056230-1C transcript:Sspon.01G0056230-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRSPLILLLLLCAAVGATAAVPRYREAPHFTNSAAAQCPSPLHPSDADAACSPHAAVHVAMTLDASYLRGTMAAVLSVLRHASCPESIHFHFIASASASAKSRATAEELRATVRASFPSLAFRVYPFADEARVAGLISTSIRGALDRPLNYARSYLASTLPPCVRRVVYLDSDVVLTDDIAALAATPLPAGEEGTETAVAAPQYCGANFTAYFTPGFWASPALSSTFAGRRACYFNTGVMVLDLARWRRAGYTAQIEEWMELQKRVRIYELGSLPPFLLVFAGRIASVDHRWNQHGLGGDNYRGLCRGLHAGAVSLLHWSGKGKPWDRLDAGRPCPLDAVWAKYDLLRPAAGIESS >Sspon.04G0003820-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:12441545:12445102:1 gene:Sspon.04G0003820-4D transcript:Sspon.04G0003820-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTTARREPLKQRVNRCLLKLSDRDTEAMAAAELEAIARALEPDELPAFVSAVSDARPTDKTPLRRHALRALALVAASHPRDAVAPLVPRILAAALRRVRDQDSSVRAALVDTARSAAAASTSASAALRPLTDALLHEQDQCAQLAAALATAAAVEASAVTADLASYLHKLQPRLLKLLRSNAFKAKPALITLIGASAAMAGDAEVTASIPCLRDAIASDDWAARKAAAEALAALALEHTDLLATYKSSCVTFFEARRFDKVKIVRESMNRMIEAWKEIPGAEEDECSSAPPPASQSQRRSSLTGSASDGRYPAASLGSNSVPSATRRSRLPVSRSSPPDVSPSVTKANSPSSIRSKKLSPPSYRKVRQANNCDYKVEIAVAPDATPIKVVTEEKLLKGGNVRDRLEARRTLFQGSEDRSAKLAGHKAGSRVVPYEGGGNLEGISEVEGGSERYAVHRDESLSEIRSQLLQIENQQSSLLDLLQKFMGKSENGMNSLETRVHGLEMALDEISRDLAFSSGRMSNREPDLKTCCILSPKFWRRPSSRFSASDPANSSDESRTSYKWERQKFGLQGGFVTNPLAEPNISSVGKTMVTQEGRKKDTTLQKSRRYGRLLDQTASLDTDAITHGPPGVLDYAGFGMRTTSYRS >Sspon.07G0005190-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:8771419:8773288:-1 gene:Sspon.07G0005190-2C transcript:Sspon.07G0005190-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MACASPGTDTSTDLDTDEKNQMLELGQLVSLTASDSGNKSKDKLGQKALRRLAQNREAARKSRLRKKAYVEQLENSRLKLSQLEQELQRGRQQGIFIPTPGDQPNSTSENGALTFDMEYARWQDDHNKQINELRAALNAHASDDDLRHIIDSIMAHYREAFRLKGVAAKADAFHVLSGMWKTPVERCFMWLGGLRPSEILKLLASHMEPLTEQQLASIYRLQQSSQQAEEDLSQGVRALQQSVAETLASGSLNPAGFSGNAADCSGQMAAAVGKLGTLQSFLQEADDLRRQTLEEMQRILTTHQSARALLAISDYLSRLRALSSLWIARPRE >Sspon.02G0018750-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2A:60280808:60280956:-1 gene:Sspon.02G0018750-1A transcript:Sspon.02G0018750-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEEHILPPLNGLCCQRTYDDDERLGLCGERTISEGKIETVQYVNRRQG >Sspon.02G0000450-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:1915370:1919570:1 gene:Sspon.02G0000450-1A transcript:Sspon.02G0000450-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKGSTGHHNNPISSIPFEAFHNGSWHGVNSVRIRDGALLVKFVSSGSTVQHDIDGSYLRIRSRKATCSDCSHVLKPGADVCVWQVPLCRDARLIKIKRNHQSDRCFCLFAVIFYKDQCPGSKDKVISGTIADVVTIDDICILQNLQSEELQDGSVQWNSAVDCFHHNRSKLLSARFSLEVAYLIVLSSLRGMEFNIKLVNGNIIYQIIKGDQARYSIDSMSIPPGFGKNMDIISFKPRDEALRPIIRTVPITQVKEDNPTEDGCIAVKGESDSAQDVEVLYAHVDIRRSKRMKTQPDRFTSYDTPNFNRTYNKKEADGPSTKNENSESDLSCDSSEQRESSDEEVLGNPGVNKKVSGSFVVKEDPRSMKGQHKYPVKRNQCSLPIKEKQTSMETKKNTTDQGCSDSHIPHTPAKNIEKCNRPTFRLKSFASSRSLDGNSEPAFCQKRGRKRKKHMCQREYKRMIDQCIGNIQCEVERDSDFKVDAQILNGCGHAYQEEDFTWPSSADSQGEKDELEELWKEMDYALATVAIHEQKQLIKCLDFQMTDSEASHESNTDLGKRGEHCHHDCMLDEQLGLTCRLCNVVCTEAKDIFPPMFTGKDHERPEWSHFGQDDHVLDLSFFEICAPESSKIKESGNVWASITDLEPKLLAHQRKAFEFIWKNLAGSLQFEEMDDSTSKGGCVVAHTPGAGKTLLLISFLLSYLKVHPRSRPLVLTPKAAIHTWRREFQKWGILLPLHVLHHSNRTSKLMGGLSSKLQVVLKNFHRPSWKTMRIMDCLDKLCKWHEEPSILLMTYSSFLSLTKEDSKLHHQAFITKVLMNNPGLLVLDEGHNPRSNKSKVRKLLMKVKTEFRVLLSGTVFQNNFEEYFNTLSLARPRFVNDVMTALVPESEKKTRNRTGKHQEALARRIFVERVGQKIESSSKNDRMDGISLLNDLTHGFINSFEGTKLNILPGIRVYTLFMKPTDVQEEVLAKLSMPLSGNVRYSLETELLITIASIHPWLINTTRCASTYFTPAEVARVEKYKRNFAVGCKAKFVIDLLHKSSFRGERVLIFCHNVAPITFLVKLIEIVFGWRLGQEVLVLQGDQELPVRSGVMDKFNGDREGKRKVLIASTTACAEGISLTGASRLVMLDSEWNHSKTRQAIARAFRPGQERMVFVYLLVASGTWEEDKYNSNRRKAWIAKMVFFGRYFDDPLQNRVTEIDDEVLKELADEDETNTFHMIVKQD >Sspon.01G0061000-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1D:86487773:86492731:1 gene:Sspon.01G0061000-1D transcript:Sspon.01G0061000-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPETKILLDEIDKRFSVRFDTLERKLDSSTTASTARLDALESTAQSFDEWRPSIEGVVDDLKIEVNKLATLKLEVGKISKFWERTLVDAPSATPGVFAAVPSLKPASAPSSPSAAAAFDTKPVLSPTFKTAFSTDFQAAGRAPAGITANPPSGHRVDNRNRDGEFGVVTTLIPPPGKGTLPCHPVSPLPLPHPPPRPPPPHTNNHWESSHQGGSGGGHTGKLPKFDFPKFDGEHPKLWIKQAVHYFELYRVESVVWVPAATMHFQGIAKRWLSSVEDQLESVSWSDFCSQLLTRFARDEHELLLRRLFQIRQTGHVSDYIDQFVALVDDLKAYAKHPDPLYYTQRFIDGLRNEIKAVLLVQRPTTLDTACSLAQLQEEALGVMQRPSRRLDGANGQRPAWQGPLPLPPPPPKLALTDAPRMTEVTVNTAEEKFRSLRASRRARGLCIRCGAKWSRDHKCAEVVQLHLVQELLDIFPDSDDGELSSPSSPADSQVMMHLSVAAVAGASAPKTLCLTGTIQGVSLSILVDSGSSHTFLSSTLAQSLSGVQELHPAISVQVANGAVLKCHSYIPAAVWSVQGCTFTNDLKLLPLQSFDMILGLDWLASFSPMQVHWAQKWLSIPYHDTTVVLVGNAPDLPVGSVIQLCAIQPEASSVSVSTDIPELQLLLSEYAALFQPSAGLPPERSCDHSIPLIPGAQPVFVRPYRYAPILKTEIERQVNDMLHQGLIQKSTSAFASPVLLVKKKDQSWRFCVDYRQLNAITVKGKYPVPIIEELLDELSGAAYFSSLDLQAGFHQIRMKAGDEFKTAFQTHFGQFEFRVMSFGLTGAPGTFQDAMNTTLAPYLRQFVLVFFDDILVYSATLADHLQHLRLVFDLLTRDKWTLKLSKCAFAQTQISYLGHVISAAGVGTDPSKLDAIFNWPTPTSVKELRSFLGLAGYYRRFVRHFGIISKPLTNLLRKNSLFIWTPAHESAFTALKSALCSSPVLALPNFERSFTIETDASESGVGAVLMQDGHPLAFLSKALGPKTRGLSTYEKEFMAILLAVQAWRPYLQFHEFTILTDQRSLTQLGEQRLNTYWQQRVFSKLLGLQYRILYRPGSDNRAADALSRHPAPPAVCAAVTALEPTWSTAVQHSYANDPVATTMISKLALNPQSIPNFTLKAGILRYRNRIWVGSDTTLQQRLISEFHSSAWGGHSGVPVTHMRLKQCFAWQGMKSAVKAFVQSCSICQQSKYDRTKSPGLLQPLPVPNSAWQVISMDFIEGLPLSHSSNCILVVVDLLTKYAHFVALRHPFSASGVAKAFFHNVYRLHGLPGAMISDRDRIFTSHFWSELFKLADVRLCRSSAYHPQSDGQTERLNQCLETYLRCYVHACPRNWSSWLSSAEFWYNTSPHSAIGRSPFEALYGYSPRLLAVDPSAAVHTEVEAWTSDRQWMDQVLRQHLLRAKNRMKKQADQHRSERNFAIGDLVYLKLQPYVQTSLAPRSHQKLAFRFFGPFRIVARIGSVAYRLDLPAHSSIHPVFHVSQLKKALGATHQVIADLPHDFALNLVPEQILESRLVPRGNCRVQQVLVKWNNLPSSLATWEDYEALRQEFPRAAAWGQAAFQEGGDVSSSPAKAAALAPEDDPSTSQPRERPKANRPKKKNLKYYGDEWAS >Sspon.04G0009460-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:26882061:26886875:-1 gene:Sspon.04G0009460-1P transcript:Sspon.04G0009460-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTFFGVLVSDPALQSQFTQVQLRTLKTKFAAAKRPNAEHVTIKDLPPVMEKLRGIHEVLSEEEVSKFLSETYPDMNQPIEFEPFLKEYLNLQAKGSSKSGGKKKLKGSVSFLKASTTTLLHVINESEKTSYVNHINNFLKEDPFLKNFLPVDPASNELFNLVRDGLINVAVPGTIDERAINTKKDLNPWERNENHTLCLNSAKAIGCTVVNIGTQDLIEARPHLVLGLLCQIIKIQLLADLDIKKTPQLAELVADDNGKEAEELVTLAPDKMLLKWMNFHLKKAGYKKTVTNFSTDVKDGEAYAYLLSTLAPELSSKTMIETSDPKERAQKVLETAEKLDCTRYVTSKDIVEGSANLNLAFVAQIFQNRNGLSTNTVAPVHQDTPDDVEASREERAFRLWINSLGIPTYVNHLFEDVRTGWVMLEVLDKISPGSVNWKHASKPPIIMPFRKVENCNQVIKIGKEMNFSLVNVAGNDIVQGNKKLILAFLWQLMRTSILQLLKNLRSHSKEKEITDADILIWANNKVKESGKTSHIESFKDKTIADGVFFLELLSAVQSRVVDWNMVKKGEDEDEKKMNATYIISVARKLGCTVFLLPEDIMEVNPKMILTLTASIMYWSLQKQGPYQSLGPQDTLPEEEECEEEEEEEEEEEDFEGGVED >Sspon.03G0015700-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:50013061:50018969:-1 gene:Sspon.03G0015700-1A transcript:Sspon.03G0015700-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRRASHLLLRTAAAAPTPTRRLPLPHPPLPLAPRVASASSFYATAAAAAVSAPAARAPRTVGTLLRLNDLRDNPGARKQKTRKGRGIGSGKGKTAGRGHKGQKARGTARFGFEGGQTPLRRRLPRRGFKNPFSLTFQPCGLGKIAKLINAGKIDSSELITMKTLKETGAIGKQIKDGIRLMGRGAEEIKWPIHLEVSRATARAKAAVEAAGGTIRLVYYNKLGFRALLKPEWFEKKGRLLPKAARPPPKQRDKVDSIGRLPAPTKPLPFTPEELEFAAKRDAARVSVTA >Sspon.04G0016800-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:67495923:67499013:-1 gene:Sspon.04G0016800-3C transcript:Sspon.04G0016800-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIFEYNGSAVVAMVGKNCFAIASDRRLGVQLQTIATDFQRVFKIHDKLYIGLSGLATDAQTLYQRLVFRHKLYQLREERDMKPETFASLVSALLYEKRFGPYFCQPVIAGLGDNDEPFICTMDCIGAKELAKDFVVSGTASESLYGACESMYKPNMEPDELFETISQALQASVDRDCLSGWGGYVLIVTPTEVQERVLKGRMD >Sspon.06G0018520-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:67166358:67170003:-1 gene:Sspon.06G0018520-1P transcript:Sspon.06G0018520-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAMLRSAARSLRLRQPLEPERCLLARRFLSSSSVPTERHTCSPSSSKPLKGIMEQKSHVNEKPENIYPENIYKRLLMKVDKISEGLDEHSRLLKELEAEIKENNKISGPASRKPAREVILYWTVCPGC >Sspon.07G0005220-2P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7A:13468011:13468667:1 gene:Sspon.07G0005220-2P transcript:Sspon.07G0005220-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPKLIALFFAFAMAAAALQPSEAARVQAVQQAFRPAAAGQDAAEKVADQAAAGGVARPSTPPPAGIPAGLPPNLLAAILGLLFPGLGGIIGLLQPLIPLLPPPGSSPPLQGGAGNLGASLTSFSPPPPQPQPEECMTPLAGMLPCTDYLTNITVLTPPGECCDGLKSVIRDAPICLCHGMNGDMNQFLPKPVDPIRMLILPLACGTVLPLQTLFACN >Sspon.07G0013780-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:49990453:49993157:-1 gene:Sspon.07G0013780-3D transcript:Sspon.07G0013780-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGAGNPPPAHPPAAAFRVDRALQALGFEFTRVTAEEVVGRLPVTETCCQPFDWLNGGVSALMAETTASIGCYVASGYRRLAGVQLSINHVGPARLGDLVQARATPIQLGRKIQVWEVQIWQIDPSTSERKDLVSTARVTLLANLSTPDKMKSFEQGLKKFSSKL >Sspon.03G0020210-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:48719169:48728871:-1 gene:Sspon.03G0020210-4D transcript:Sspon.03G0020210-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LPLPRGPPSCGRPRRRPPPPLRAISPSPSPSPPTPVESFGFDALKETFSVDVAAADARPLDVPLAAPFTIASSRLVAVNNVAVRVELRSGAVGWGEAPVLPSVTAEDQPAALDAAGRACAALAGAPAAPLGALLQDVASVLPGHAFASVRNPQLLVRLITNFVDLDTPLLLSEDPVYGGYEGNLTQNSVQWSWTRLSPRPPRLSGSPEPPPARAQALPLPRGPPSWRPAPAASPPPPPSAPSRRPRPRPRPRPTPVESFGFDALKETFSVDVAAADARPLDVPLAAPFTIASSRLVAVNNVAVRVELRSGAVGWGEAPVLPSVTAEDQPAALDAAGRACAALAGAPAAPLGALLQDVASVLPGHAFASVRNPQLLIPIVTPNEAAQLAAKYRGQGFQTLKLKVGKNLNSDIEVLKAIRLVHPDCSFILDANEGYTANQAIEVLDRLNEMGVTPVLFEQPVHRDDWDGLRDVSTVAMEKYKVAVAADESCRSLLDAQKIIQGNLAHVINIKLAKLGVLGALEIIDAARKANISLMIGGMVETRIAMGFAGHLAAGLGCFSFVDLDTPLLLSEDPVYGGYE >Sspon.01G0019350-3D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:70022090:70023923:1 gene:Sspon.01G0019350-3D transcript:Sspon.01G0019350-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAP4 [Source:Projected from Arabidopsis thaliana (AT1G03900) UniProtKB/TrEMBL;Acc:A0A178WMR3] MASSLAEDEEAFEHTLLVVREVSVYKIPPRTTSGGYKCGEWLQSDKIWSGRLRVVSCGDRCEIRLEDPATGELFAACFVLPGQRESAVETVLDSSRYFVLRIEDGRGKHAFVGLGFNERNEAFDFNVALSDHEKYVKREQEKETAGAGGEETGGGEIDIHPAVNRRLKEGETIRITVKNKPSTGSGMLSAAGLSGGTTAKPKTSMLLAPPPGAAGKLRSPLPPPPNDPAAARMNSGHTAEIRAPKDPPREIMILFQIFLL >Sspon.04G0003850-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:42644697:42648200:1 gene:Sspon.04G0003850-2P transcript:Sspon.04G0003850-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRITRSGTAAGLWLRPGRLGEVLAVAALLASALLPPSAGAEPPASSARHDYEDALRKSLLYFEAQRSGRLPHGQRVAWRDHSGLTDGLEQGVDLVGGYYDAGDHVKFGLPMAFTVTMLSWTLLEYGADVADAGELAHALESIKWGTDYFIKAHTRPDELWAEVGDGDTDHYCWQRPEDMTTSRQAYKVDRDRPGSDVAGETAAAMAAASMVFREHNPHYASLLLHHALQVHTYIQYTLFEFADKYRGKYDSSIAEVKSYYASVSGYHDELLWAALWLHRATGRAHYLDYVVDNADDFGGTGWAITEFSWDVKYAGVQILAARLLLSGEHSPRHRETLEQYRAKAEHYVCACLGKNAADGNVERSPGGMLYVRQWNNMQYVTSAAFLLSVYSGYLSSSSSSAAGAVTCAGGAAAASAGEVFALARAQVDYVLGSNPRGMSYLVGYGARFPARVHHRAASIVPYKHSKEFIGCAQGFDDWFIRKGGNPNVVVGAIVGGPDRRDRFRDQRENYMQTEACTYNTAPMVGMFAMLNRLAREEAPPQPQQHEASSASQPGETSVNR >Sspon.04G0031240-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:9121579:9126368:1 gene:Sspon.04G0031240-1C transcript:Sspon.04G0031240-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSASDGSWLEAVQLFFRLGPGVNAYWGSITLGALKHIYGYGCVEYICVKKTLSRMHCNKAEAFKLRSSQHHSRPPLLFPTHTFSYEVYEPIYVMAMLTCYPLMLLPLKH >Sspon.08G0002840-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:4768167:4771842:1 gene:Sspon.08G0002840-2B transcript:Sspon.08G0002840-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTVTCNACNAGFDDEEQQRLHYRSEWHRYNLKRKVAGVPGVTEALFLARQAALAEGASLQAPQCFTAVLFEYRSSKAHEQHLNSRSHLLKASQEPNASLAGITIVKPLPERVPRKAPSAVEEDEDDDEEEEWVEVDPSEMELADESTSNMQEDEQSAKSDDDMADLEELDISSCFMCDLKHDTIEDCMVHMHKKHGFFIPDSEYLKDPSGLLTYVGLKVKRDFICLYCNDRCQPFFSLEAVRKHMDAKGHCKLRYGDEGKQLIAVDDSNNNIELGTGGSELVITNKSDKGTRVRTLGSREFIRYYRQKPRPSVATDRAVALSLASSYKSMGLVTVQSKEQMVRLKVLRAMNRTGVETMRNKIGMKSNVIRNLPKNCPY >Sspon.02G0030090-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:108109893:108114252:1 gene:Sspon.02G0030090-4D transcript:Sspon.02G0030090-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:L-galactose dehydrogenase [Source:Projected from Arabidopsis thaliana (AT4G33670) UniProtKB/Swiss-Prot;Acc:O81884] MELRELGGTGLRVSAVGFGASPLGNVFGDVPRDAARAAVRRALDLGINFFDTSPYYGGTISESVLGDCLRHAAVPRDRVVVATKCGRYKDEGFDFSADRVTRSIDESLARLGLDYVDILHAHDIEFTHLDQIVNETIPALQKIKESGKARFIGITGLPLSIYPYVLDRVAPGSVDVILSYCHYGINDTSLVDLLPYLKSKGVGVISASPLSMGLLTDNGPPEWHPSPEELKSACRAAADHCRKKGKSITKLAMQYSLMNNEISTVLVGMNSSEQVEENVAAALELSTSGIDEELLREVEAILKPVKNLTWPSGIQQA >Sspon.04G0008970-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:26612238:26614850:-1 gene:Sspon.04G0008970-2C transcript:Sspon.04G0008970-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTCAHVEFLRAQPAWALALAAVGLLVALRAAVRFALWVYAAFLRPGKPLRRRYGAWAVVTGATDGIGRAIAFRLAAAGLGLVLVGRNPEKLAAVAAEIKAKHPKVPEVRTFVLDFASEGLAAGVEALKDSIRGLDVGVLVNNAGLSYPYARYFHEVDEELMRSLIRVNVEGVTRVTHAVLPGMVERKRGAIVNIGSGAASVVPSDPLYSVYAATKAYVDQFSRCLYVEYKSKGIDVQCQVPLYVATKMASIKKSSFMIPSADTYARVAVRHIGYEPRCTPYWPHSVLWFLISILPESLIDSVRLGMCIKIRKKGLAKDAKKKAL >Sspon.03G0003620-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:25768301:25772523:-1 gene:Sspon.03G0003620-3C transcript:Sspon.03G0003620-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPTTVRDHDTSPSGSRPAYLGHGRGASVADSISLSADLSYPKSRLLSFNPEGAANNNYLVQYALAQFFEMPKHEALKALHIYRRAGQQPPQTFLSTMEDVKEAPLWSSRWSSSTKSPESPCPYVAGEDVPGSSTSWLESFLDFVDDITEELNPCIVGEDVPSNQASTLMHDLWTHTRCVVVLRLFVGADVARPGWGHTWGATWSC >Sspon.03G0011130-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3A:30266613:30269906:-1 gene:Sspon.03G0011130-1A transcript:Sspon.03G0011130-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRPGGGADWAALQQDPVELLRKLDELRDQITRSCNVVGQPREHRRVSRRAVSMLPEQLEPPPLPGYHRSRYGGRYGHGLPPPSPYAPPRPEHGERYVRQSSGHYRQYPGKQWENGGMGPGGYHHYGCACPHCLHGQRALPQEENIPMARYFAGQHESYRFQRSPSVSSDYDRRSVDIGSPKDILCERDADCMVEPSVDGPLSPRSPALEDKLVDPLCAQEKGNNEDDQGMPYRRALICKGEYDVNDEYDGGISTRNKQESNEDDKDATEDESSCRSSEQKSKEDNCCNIEDSNDNSSPGGEDTCNKYEPKVKGDENCVLGAESVSNNCDENNKDVTETGSISERHEELKNEEDNGKLQQPFIEDANSQSGSGSSINERTNSGFSRGSSEAGLDEDQSSTGKSGDSSFFAGFLKKGFKDLSLLNKSMDSVKVLINGHPISERALKKAEKKAGPVDPGSYWYDYRAGFWGVMGRECIGIVPVR >Sspon.03G0014030-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:22374999:22375692:-1 gene:Sspon.03G0014030-2B transcript:Sspon.03G0014030-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVSGNSSLAGAADESGMEPWRELFRGRDIYDVIGKAILVAATDSPQEFRRRRDGIVEQIYTAPTVPIPHGRAAAAGERSGRALEVSDKGSKVASCTVAPAEEPEDNNDRKKGIAAAQHANGNGDNADDADYGAAGMEWLKSLADQMDAETQEINEVLRIKDILLNHHEQSADNLFDSLRRLQLMQLTADKIK >Sspon.05G0019990-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:87339154:87339927:1 gene:Sspon.05G0019990-2B transcript:Sspon.05G0019990-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding QEHCSVPLWEREFCSYAGNISWQRFCENKRYVSVYNVLEHWDDSGDFENFQNSKARFWAHYHGQPSDISLPDPDLYIDKVDHRCKVDPELVADLDKVRVPFEMDNNSGLATGSTNARADNKCAQNQCGNWDIYVEKPSEVNRWDWEANSKPDLSWGVKNESSSIWGNGNSGWGDVLEKRNWRGSSNNHYSSNNRNSDFYGGSNNRYQQEDPSHTSGRKRNSGECFQQRNNKHRRQDERYQRSSWQDHRGRNDEWRPWH >Sspon.04G0020890-3P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:75777517:75778591:1 gene:Sspon.04G0020890-3P transcript:Sspon.04G0020890-3P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKATSLSVLGFDANGASSGFFRPVSDGMDSTPTSHHRRRLTKVSVIGAGNVGMAIAQTILTRDLADEIALVDALPDKLLGEMLDLQHAAAFLPRTRLVSDTDMSVTRGSDLAIIPGETRLNLLQRNVALFRKIVPALAEHSPDAILLIVSNPVDVLTYVAWKLSGFPVSRVVGSGTNLDSSRFRFLLAEHLDVNAQDVNAYMVGEHGDSSVAVWSTLSVAGMPVLKSLQESHSSFGEEALEGIRRAVVDSAYEVIGLKGYTSWAIGYSVANLVSSLLRDQRRIHPVSVLAAGFHGIPDDHEVFLSLPARLGRAGVLGVADMELTEEETRRLRRSAKTLWENTQLLGL >Sspon.06G0024150-3D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6D:46865146:46865940:1 gene:Sspon.06G0024150-3D transcript:Sspon.06G0024150-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DVHLGLVLLLPHSRPKSLLPRILAVDPASRRRVLLPPPPHDALPGVDRWRRDRCVIGVAVLARAHPSRLTFDAVCLTVDGDRPRAWVASVRDGTCASWRALPRAKGVVVDFDPWWFEARCVHAAGNIYWHICNSSRVLQLDPRTLEFSFMPVPAAVGDGFNKYRIGETPEDGRLCMASIVDEESLQIWVRGGEPRWSDRGWWLEREICMTKVLDDVPGLPKDRMMRMLCTWLSHVDYARTGKVFITTWGYGRYSFHLKTGKLERL >Sspon.06G0019580-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6B:3349355:3351237:-1 gene:Sspon.06G0019580-1B transcript:Sspon.06G0019580-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGLEDAHVQIDDDECAVRYGTGGALISGSGVKRCWHGMWPRGLPASMQQCQPPLRSVGNNNDSGRWEGSRRIERGTASPVGLENYNWDRQPA >Sspon.02G0038280-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2B:40725625:40728519:1 gene:Sspon.02G0038280-1B transcript:Sspon.02G0038280-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRCLYASDPRGETSACVVLVLALVVAFVENLVCAEKCSLRVELPCETIGPESCVFVAGDSDGEELVSPVLKRASDAYGGEKRDLIRRPQWRSPRSARPALQQGQNRPRGSPVVIFQKGTSESANLHDTFPFIPLPTPSRLHSPVDLFLLPLPLSFSPALGLPVLGTEEKRLSAKKLTFRFGERLNSSLWCSSFSVSQFSWHDDMS >Sspon.08G0020750-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:27071177:27074584:-1 gene:Sspon.08G0020750-2C transcript:Sspon.08G0020750-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGFVVIDYNFEGLILCSAVAQAAISVAVRATGVLGSTLCLSVLPFAPFQSVVMDFSLLPVLRPGDWRATIRVRVCRKWEYRGGTDDGPIQHVDLVLVVEKGNGMYGEIPGPEVEAKSPLVEEGGVYIISRFRVSNAKSSYRPVDARYMVEFTLHTTVTAARDYVVGFPEYAYKITPINELSSHSDTIGTLLEVSDAYMVHLPNKPAPTLSRHIILRDLSYSEMKVTLWGQRAAAFTTDGVIYAAHCRRMDIVAPLLSAAWFPMLPGGFHPAPGAASPVLLMHLLVANRYKLCFVALDGTDEAEMICFGDIARRIIDKPVQQLLRTATSSNAYPGDIARLVSLRFTFAVTLTQQSYYRAQKSYQVTSVVTSHGQQVAVPEVAPDRNDGGTSSAGSDDILSEDATNTTDQRSPGSVAAAGLASPIPVTTPPLPLDIDETPGTKKKQSGGRSTEGRRSGAKKRLNFDKPETTDQVQPAENSVWEDGAATEALDDVLEDVADKGIMADNDLTPGKKSARAGRCIIDDSD >Sspon.03G0027320-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:5500606:5501786:1 gene:Sspon.03G0027320-1B transcript:Sspon.03G0027320-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRSIQALQDLGLSDGEWESIVPEDVVPDLADPQARARHGAAEVDLAVVQHIRFNAARVLMTGCKKIGDDGVAEEEGGVDVECSVCFDALLHGEAVELPGCAHAFHRRCISKWFRRNPTCPLCRGDMVKYLDPELQKQIAEFTEDTIVQAKELSRHLPGAIAREPWVLTSSTSGGPPRAYDAARVLMTGCKEVGNNHGVAGGDGEADCSVCFDALCGEAVELPGYAHTFHRLCIAKWFRWKPICPLC >Sspon.07G0016370-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7A:58113730:58113951:1 gene:Sspon.07G0016370-1A transcript:Sspon.07G0016370-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKRERGRESRARERNAGGNRTAHLYLSRKHRIKIPCDLREERGGEKRAAREPGSRRRQPASICASTHLLFYS >Sspon.05G0000910-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:3152853:3156738:-1 gene:Sspon.05G0000910-1A transcript:Sspon.05G0000910-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dihydroorotate dehydrogenase (quinone), mitochondrial [Source:Projected from Arabidopsis thaliana (AT5G23300) UniProtKB/Swiss-Prot;Acc:P32746] LALLLLLAPHADRDPAQPLYRITAAAAAHLARSTVLPPFPRLVAPLALPMSSSAAALAWRRTLRDALLRGSAWRGAAPARYASTASASGAAAADAAAAAPKKVPPPPRKGRLLTGAMIALAIGGGAYVSTADEGKFCGWLFKSTELVNPLFALLDAEFAHSLAVKAAAHGFVPREKRPDPPVLGLEVWGRKFANPIGLAAGFDKNAEAVEGLLGMGFGFVEVGSVTPLPQEGNPKPRIFRLKEHGAVINRCGFNSEGIVVVAKRLGAQHGKRKMEETSSSTPPATSDIKQGGKAGPGILGVNLGKNKTSEDAAADYVQGVHTLSQYADYLVPVQAARDEMQWAEDGPPPLLVKIAPDLSKQDLEDIAAVALALRLDGLIISNTTVSRPPPADKDPLAQETGGLSGKPLFDLSTNILREMYMLTRGKIPLIGCGGVSSGEDAYKKVRSGATLVQLYTALAYGGPALIPRIKAELAECLERDGFKSVQEAVGADFR >Sspon.02G0039220-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:52768118:52773779:1 gene:Sspon.02G0039220-2C transcript:Sspon.02G0039220-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFAAATGSVVGFVKFLRWQNVGYKTGSTLQLQNQFLDMKHQYQEQGKAQRELSPRTKNQAKNLWTKPPRRDACPVELKFWGTDARHDLLSWAESEFLRWWIPKYCPLLPSSKATIAAAFSADGRVLASTHGDHTVKIIDCQTGKCLNVLFGHQRTPWVVRFHPLHSDILASGSLDCEVRLWDAKTSRCTAVLPFYRPIASIAFHATGELLAVASGHKLFIWDCNNRFETLEPPIILKTRRSLRAVQFHPHGAPYLLTAEVHNLDSEDSTMTPALLSNYSFRDTPLLGSGVDNLISELSYMHNFEQVDASSSVPVTTGSFDGSRLHDTTGHHLMTSVPGIGSSLLGTHTAEAEAPAISLSVGAEQSTSLLGGGTELPCTVKLRIWRHNMKNPFIALGPEACLLTIPHAVLCSEMGTHFSPCGRFLVACVACVLPHRDGDHGSQLHEHYDSAGAGTSPTRHTLPSRQIIYELRVYSLEDATLVCLLLFGTVLASRAIKAAHCLTSIQFSPTSEHILLAYGRHHSSLLRTIFISGATRVRMYTVLEVYRVSDMELVRVLPSAGEEVNVACFHPSPGSGLVYGTKYPLAGENAHE >Sspon.01G0006750-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:17930246:17945830:-1 gene:Sspon.01G0006750-1A transcript:Sspon.01G0006750-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGDEERPLLHLHPPPQFCECMAFFTISKNLVTYLTTVLHESKVTAARNLSAWVGTCFFTPLFGGFIADTYWGRYWTIVVFFPLYVVLKGSISTNFLCTARCVSTTLNLNINTVPFSSQAMVVLIASASLPIFSTSSDHGGSVHRAVVYFGIYLAAIASGGIKPCTSAFGADQFDTNDHAELVTKGSFFSWYFFLISTSSLLSGTVIVWLQDNVGWAVSYVIPTVLMLICFPAFLAGSRVYRFRKMGVSPLTSILQVVVAAVRKWHIKLPDDSSLLYEPTSMPSGTDASYKIEHTNEFRLCTVTQVEELKMLLRMSPIWASFVIVYAVDAQMPSTLVEQGMFMDNRVGSFTIPPASMSSVGVVSCLVWVPIYEIAMVPLARRVTGKEKGFSQAQRLGIGLALSMLTMVYAALLETRRLAVAEASGLRNQDAPVPMSILWQAPLYVVHGAAQVFAGVGATEFFYDQSPETMKSLCAALGQLALASASYMNSLLLSIVAVFTTRGGAPGWIPDNLNEGHLDYFFWMMATLSLLNVALFARYSMRHTVKMARVEFCECMAFFTISKNLVTYLTTVLHESKVTAARNLSAWVGTCFFTPLFGGFIADTYWGRYWTIVVFFPLYVVAMVVLIASASLPIFSTSSDHGGSVHRAVVYFGIYLAAIASGGIKPCTSAFGADQFDTNDHAELVTKGSFFSWYFFLISTSSLLSGTVIVWLQDNVGWAVSYVIPTVLMFICFPAFLAGSRVYRFRKMGVSPLTSILQVVVAAVRKWHIKLPDDSSLLYEPTSMPSGTDASYKIEHTNEFRLCTVTQVEELKMLLRMSPIWASFVIVYAVDAQMPSTLVEQGMFMDNRVGSFTIPPASMSTVGVISCLVWVPIYEIAMVPLARRFTGKEKGFSQAQRLGIGLALSMLTMVYAALLETRRLAVAEASGLRNQDAPVPMSILWQAPLYVVHGAAQVFAGVGATEFFYDQSPETMKSLCAALGQLALASASYMNSLLLSIVAVATTRGGAPGWIPDNLNEGHLDYFFWMMATLSLLNVALFARYSMRHTVKMA >Sspon.01G0023000-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:83561069:83563310:-1 gene:Sspon.01G0023000-1A transcript:Sspon.01G0023000-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding YRSGVLYWVLELEESSAWLELGRGEARDGVPALLPAVPGGRLGSAARVLLLPTVAFPLPWRRLACTSANQVDSLDTFRPPPRPLPYNDPQFSARMVQHPTVSGHDKASTHIQKPEQPTESKNTDAGSTCTAHKVSETSEKQHSGGSRIDGIQFCDSSDNEDDCPICLEEYDYENPKTALQCNHNFHLSCIYEWMERSQVCPVCAEIMLFNEDQ >Sspon.02G0046920-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:9070407:9079312:-1 gene:Sspon.02G0046920-1C transcript:Sspon.02G0046920-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDVIFADIPKLIPFIDLRAVAAWKIVLNGRFRLLDRWCNFVEKYQRHNISEDTWQQLLAFSRCVNEDLEGYDPRGLDPLPGSKRKCPHFKSNEEDVELSDSFTRSVHLTPIKRLKESHDARYGVGESHKITHFSNSSSDYHEDMHLHNSRGCLQNSPCNVEDALSKGFEGLSDVTVLASDLFFEASDIVIPYCHVYRGVILPTKALYSIYTAQGLNVIQPTHYMQYFTCNNNLVLGESTNGNGAQELTGNGVLTEGPPSLNKCNFRIVQKEWDSLEQKIWFRLEISIKYGNSSCLESLAARSCGILNIDTFVRPVLTFKLHQILQQEIGWQVAAMSTQMDKSDGKRTVFYLLGRPSVLHQSSLYMGIWTRTIGKWALPFSSSAPSRAFHLNLHSGRHPVALTPRLTTLLYTASTTSITDITMHAHTATCSTGAITPARTATHAPYTSAAAEDVPSRMPMSQDTAPWKSSGAPAAERSRTRPTDGGRSRAGHVKSREL >Sspon.07G0007200-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:9081417:9082054:1 gene:Sspon.07G0007200-3C transcript:Sspon.07G0007200-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MERHAEKPLLVLLVLSCLLLLPLVSAVPTPRSLRLGSHQQHPPVLKLTSSQEAAIAAAMNMGRPTARMVVEVNDYQPSGPNNRHDPPKGPGRA >Sspon.01G0054570-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:61862639:61868786:-1 gene:Sspon.01G0054570-1C transcript:Sspon.01G0054570-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding TMQVTQGRGQIQQVPDLAALKAWLRELPLPACTVLYESRVLDSCKDNHEGEFVMFSDGADGHEVQVLNEKGLWADGQVRHQFVHVCVPQVQEAADCLGYIGESPSKVSGDFIVKRREKQAERSGVTI >Sspon.05G0001530-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:839534:841394:-1 gene:Sspon.05G0001530-2B transcript:Sspon.05G0001530-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VCEFYAINTDSQALINSQAQYPLQIGEQLTRGLGNVLGKSKFGRAAEESREAIATALRDSDLVFITAGMEGVLDPVLLQFVAQISKEAGYLMLVLLPIHSVSRAALEALEKLEKSVDTLIMLPMKTCPCKMHFSLQMMSFVRVFKEYQTLSQWVVSPLSCSNLSVNCGHVTYEKTLQIPGLVNVDFADVKAVMKNSGTAMLGVGQKSGQEAAEQATLAPLIGSSIEAATGVVYILLVGRTSLCRSEQGVPVTCIDLLQIVTSLADSSANIIFGAVVDDRYTGEIHVTIIATGFPQSFQKSLLADPKGARIVESKEKAATLAHKAAAAAVQPVPASAWSRRLFS >Sspon.08G0004940-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:80032216:80035643:-1 gene:Sspon.08G0004940-3C transcript:Sspon.08G0004940-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFHYPDHGLSMDAAAAAAAAAAAAASSPNPSGFSSPGVGGEREKAAIAAHPLYERLLEAHVACLRVATPVDQLPRIDAQIAARPPPLAAAAGAAAAGGPSGGEELDLFMTHYVLLLCSFKEQLQQHVRVHAMEAVMGCWELEQSLQSLTGASPGEGTGATMSDDEDNQVDSEANMFDGNDGSDGMGFGPLILTEGERSLVERVRQELKNELKQGYKEKLVDIREEIMRKRRAGKLPGDTASVLKSWWQAHSKWPYPTGESQDSEWPFTILPVVKVVGNHRVQSSPPEGGSQKIKHADTCFVVALARWPQ >Sspon.06G0007600-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:27074475:27076771:-1 gene:Sspon.06G0007600-2P transcript:Sspon.06G0007600-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding TDCNINPAEATPKAIMRTMGVKGLTLFHLKSHLQKYRLGRQSGKELTEQSKDASYLMEAQSGTTLSPRGSTPDVKESQEVKEALRAQMEVQRRLHEQVEVQKHMQIRMEANQKYIDTILDKAFKIVSEQLSGFSISDQDPPILNSAGVMLSPADHLSSSVFSQLSVSSVSIHSPGGKGLPHIADSHVFSQRPPEQLKRKSR >Sspon.02G0052170-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:88536814:88542142:-1 gene:Sspon.02G0052170-1C transcript:Sspon.02G0052170-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to Succinate dehydrogenase [ubiquinone] flavoprotein subunit, mitochondrial (EC 1.3.5.1) (FP) (Flavoprotein subunit of complex II) [Source: Projected from Oryza sativa (Os07g0134800)] MWRSCVSRGLSRAKASASRLFSTASSSYTVVDHTYDAVVVGAGGAGLRAAIGLSEHGFNTACITKLFPTRSHTVAAQGGINAALGNMSEDDWRWHMYDTVKGSDWLGDQDSIQYMCREAPKAVIELENYGLPFSRTEEGKIYQRAFGGQSLDFGKGGQAYRCACAADRTGHAMLHTLYGQAMKHNTQFFVEYFALDLLMDNEGSRGEGGILRNSEGERFMERYAPTAKDLASRDVVSRSMTMEIRQGRGVGPLKDHIYLHLNHLPPEVLKERLPGISETAAIFAGVDVTKEPIPVLPTVHYNMGGIPTNYHGEVVDIKGDNPDAVVPGLMAAGEAACASVHGEKQKPLEKDAGEKTIAWLDKLRNANGSLPTSKIRLNMQRVMQNNAAVFRTQETLEEGCELISKTWESFHDVKLSDRSLIWNSDLIETLELENLLINACITMYSAEARKESRGAHAREDFTTRDDEKWMKHSLGYWENEKVRLAYRPVHMNTLDDEVESFPPKARVY >Sspon.04G0032140-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:23293862:23309933:1 gene:Sspon.04G0032140-2D transcript:Sspon.04G0032140-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDELVSAALEEVCARLAPGIPVVDLWPALRGALDAAGLPLGPSVKRALWARILALRVVSLVEGNGDGVPVSAGDPAEKDFEEAERRGMRLVASAGIRDNFLGLYERRFAKSEFSAVQKATLECVAASRTSGVAQSELCKKFKMKGNNFYFIAKSLESQRLVVRQSTIIKVKDHGAEREDASQNKHVINTNSLYLSRYAKDLNMTSQQRIEITKPELLGSNEETNVDAFQEDGTFDVNSKNDISIHDYLPAMKAVCDKLEEASGKALVVSDIKVDLDYRMAYGHRAWRNVLHRLRDAQLVETFDAEVDDKVVRCLRLLKKFDPNEFQPKSIASNFKFVKKGQATDQILELPLENCIYDMISAQGTKGITLVEIGKRLGLNNSKKLHKRVSSMLKKFDLTWEAEVPDKTSQYRIWTSKNFLLYKAGTALQTFEALSEESDDCSDLWSLVPSKGLDTLESSSSHGKLLLLEEENHDEPVGHHIQNNLDASAVVSQLVEEDKVALGQRRRHRCRPLTSDDQRHRRILHMLKKKKFVLKVELHKWLERLEKKDGKIMDRKTLTRTLNKLQQEGSCKCIKVSVPLVTNYTRSRLIDVILHSSVGDLSPELVDQFRIRQRNFDTQSRAGAAAKVKQNQHMTAIPGLRISRRVKVYKPLLLEAMYANGFIGAKMIRAKLLHKFLWAYVNSLPNWCNAFGCAKEGHYDKSLNQSCLLFSMEEAMKEMPLELFLQVVGSAKKIDNMITCCRLGKTLSEIPTKEYNQLMDTHAYGRLSRLVNILDKLKLVQFAKEFLEDAGVPSNAMSTHSMELRPYIEEPIPKILPSSQLNNHRKIRHDFVLSKHEFVDSYWETLECCYLTAGLADPLSAFPGSSVPEVSHPRSWSTLRVMTTEQRLELQQRIMNVSEKGKIPLKDCVRIARELNLSVEQVLRLSYERQSRLREQPSVTAKQKQQRVSSGLTPERRKRRADGTSLKLLKRKVQASGSAEQILGQPTLDEEVPETMSSSSIDHANKSDQPVSRTDSTSMYQADEDKETSPMISRYAILRKSCMRSKRFFWTYESDRKLLMIYIRVRAILGARCYRVAWRSLSDLPAPPDTCRRRMAVLLKTNEKIRGAVMCICNLLVKRYARYLEKERRFKRRRLFPQISESSHENSLDSDCEQFNWDDFEVPEIKSALNEVLELIQTEKVDQTKRIGPVNQKNINNDNDIIERGIWKSLAVANALELLKLVFLSKSLGSNVQASLAATLQLYSESEIFTAVSFLKERRFLVTGSGGKPYTLSSQFLTNACCSPFPFGSGKKASAFSNWIAQQKNTTDSGVYLYPDIQCGELVHLFSLVLSGNLLISPFLPSEGVGEADEPNSSGPLVIDTSGLGDNSQKRKADTVKLKSGKAKKQKPLPKIESDFCYRREKGFPAIQIGLNLHRIQTSNFPQELHGKESSIFTSSWAMSRKNVDLHAERHIMPSFSNCLSSYRHLLSESQLENSYSGWPWDAMKKFAEEYSVFEHQSELFTLSPELFRNAFCIIHKAGGQGVTLRELSQALHPLAMQLVLIIVDTLKRFQLAVKVNAYDGVQIVDSLHSAKYHITTLAECDNCCCTDPPTSQVVDNENTKNILKEKHTRPINFDGPIKMLGDGHTVTVINVQSKLSSPHVCNKDPGMRKGYLLQKRTIRRVVAIIIVKGIPILPWINGDGSTNSTLYEGLSRRVIGYVMHYPGLMEEDVIRRMDVLNPQTCRTLLEKLTLDGNLHVRVFEEPVPMAPTILQGLFKQHSSTEPSKCVKRYFANPMSTLQL >Sspon.06G0015640-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:85836553:85840876:1 gene:Sspon.06G0015640-1A transcript:Sspon.06G0015640-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Long chain acyl-CoA synthetase 2 [Source:Projected from Arabidopsis thaliana (AT1G49430) UniProtKB/Swiss-Prot;Acc:Q9XIA9] MSLPETFTAKVGEATPAAGGRPSAGPVYRSIYAKDGLMELPQDIQSPWDFFSGAVKKYPTNRMLGRRQVTDGKAGEYVWQTYEEVYHKVMRIGSAIGSLGVMPVSEECPLMSLSMLRFCLHPENACQYENSFVESMYQGAHCGIYGSNCPEWVMAMQACNSQGICYVPLYDTLGANAVEFIMDHAEISIAFVQESKIKSILAVVPKCTAHLRAIVSFGDFTSEMKTEAEKFGVSCFSWEEFSSMGKQDYKLPNKCKEDICTIMYTSGTTGDPKGVIITNRAIIAGIMTTEHLLKETDKVFTEEDSYFSYLPLAHIFDQVIENYCISKGASIGFWQGDIRYLMEDVQVMKPTIFCGVPRVYDRIYTGINMKIQSGGMIAKHLFQYAYNYKLANMRKGLKQHEASPFFDKIVFSKIKEGLGGRIRLMIAGAAPLPGQIEEFMRVTSCSIVVQGYGLTESCAGCFTSIANVFSMIGTVGPPVTTIEARLESVPEMGYDALSEMPRGEICLRGHTMFSGYYKRPGLTEEVFSDGWLHTGDIGEWQPNGTMKIIDRKKNIFKLSQGEYVAVEVLERAYMQSPLVASVWVYGNSFESFLVAVVVPERQALKEWAAANNKASDFAELCIDPKARSYIQDQLNQTGKKLGLRGFEMLKAVYLEPVPFSIEMDLITPTFKLKRPQLLKYYKDRIDQMYKDAKEGRTAP >Sspon.06G0023350-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:41247685:41248350:1 gene:Sspon.06G0023350-2D transcript:Sspon.06G0023350-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSSQPQPVMGVGSQPYPAAAAYAPTTMVPGAPAVPPGSQPAAPFPNPAQLSAQHQMVYQQAQQFHQQLQQQQQQQLREFWTTQMDEIEQTTDFKNHTLPLARIKKIMKADEDVRMISAEAPVVFAKACEVFILELTLRSWMHTEENKRRTLQKNDIAAAITRTDIYDFLVDIIPRDEMKEEGTRASKSWPAAHGRSPTGAKLGSGPPLVQTKFPIPWSP >Sspon.05G0010060-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:28798092:28802830:1 gene:Sspon.05G0010060-1A transcript:Sspon.05G0010060-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASLRWWWSAVVLSVVVGVSPVVANTEGDALYSLRQSLKDNNNVLQSWDPTLVNPCTWFHVTCNPDNSVIRLDLGNAQLSGPLVPQLGQLKNMQYLELYSNNISGPIPPELGNLTNLVSLDLYLNNFTGGIPDTLGQLSKLRFLRLNNNSLSGQIPETLTNINTLQVLMLDDSKSVLKILQGSLKQQSLRRGAIKWFIFSVYTYKRSTDMIKLWYMTYPNCVNSVPSGVSSTGAIAGGVAAGTAFLIAVPAIGYALWRRRKPEEQFFDVPAEEDPEVHLGQLKRFSLRELQVATDNFNNRNVLGRGGFGKVYKGRLSDGSLVAVKRLKEERTPGGELQFQTEVELISMAVHRNLLRLRGFCMTPTERLLVYPYMANGSVASRLRERTPNDPPLEWETRARIALGSARGLSYLHDHCDPKIIHRDVKAANILLDEDFEAVVKALLKEKKLEQLVDPDLQGRYADQEVESLIQVALLCTQGSPMERPKMSEVVRMLEGDGLAERWEQWQKVEVMRQEAELAPRHNDWIVDSTYNLRAVELSGPR >Sspon.01G0003260-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:9469090:9472538:-1 gene:Sspon.01G0003260-1P transcript:Sspon.01G0003260-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDELMTAAPAAGPGTGSRPPGGGGRKHLSSIASHVLRRCSLTLDRSVNDLVADFELGLKTAAVGNYSRKLVEFCSLQALQIIASHDIGEKISDGSLSRFTFDMMLAWETPTSSDQQVTMESIAKEREDRKEPLGENEAVMGDETSLFYSDIMPLLVNEEPTVGEEAYVWFGSVFPLACDVVNARFTFEAPLTATTANRLHYPAYDRFLKEMDKSFKFFQDLPTPTGVEFAEDEFILHMEGTAGTQRVVRHIGTTSWPGRLTLTNKSLYFEASGKISYETAIKVDLSDNGIEHQISTASTGPFGVPLFDKAIVFESLSEPLVLEFPEMTSSTRRDMWLTLIREVLFIHRFISMYNIVSPIHKWEVHSRIILGVIRLHAAREMLRMSPPPASSFLVFSLYDDMPKGDFVLEQLASNLKQTSTITRLSASYVFKGLSKSYVTPLSAELAKDHDADSSSHEQPLASLENKIGQVKDEAREVTAANAAIEEMKDEGISDSLLVLVGLVGPIGKLRPVIQQITSWERPLVTGTILAVALLTIYNEWFSYVLAASLILAAGLMVWARQRKIGMICSEVIIDTSSDKTTMESIVEAQQSLQKVHKYIKTANVAILRLWSIALARSPMHTETMIWMLTGSAVVVAVIPFKYILIGLMAGSFAANTRISRAVLNPQGGRRWREWWESIPAIPVRTVQS >Sspon.02G0027870-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:104216500:104219056:-1 gene:Sspon.02G0027870-4D transcript:Sspon.02G0027870-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVSDRSVRLTIEAQPSDPPVGTPGFTAATPFEPQLSDSPPRPSVAADDDNEASCSARVRPDPDDADAAGPERRLTLLALRLAVLEKAASGLGALGFIWATVVLLGGFAITLERVDFWCVTVILLVEGARIFSRSHELEWQHQATWSLSLAAAGRSSVRLVTRSFRFVFRKGGCGTSGKAAGGGGAPAAASCSSHVGRSRCGWGWTWTTWPWSWSFLSSHVGRVFYWLQLASATACVALSGVRLARQDFGEAVDARTNRRSALDIFYGLALAEALLFLAEKAAWEWEVSHGRLLERVAAECRLAGAPGLLAIRRFFYDAYSRCVEGSIFDGLRMDLVSFAEELIVEGSHDEQRIGVGILVNVAASPQQGDAALRRVGTSPAVVERLVEVLSWTGPAERGARASAALVVSKLASKKRNALRVAGVPGAIESVSSLLYAADEECNLLGLLIIKKLGIHIIDFSTIGVVGGASSPPTATFFMAPARAKAVQRSLQVIKMLAETTGSTGKQLRREVAEIVFTVSNIRAVLRHAPAAHVGLRRLGAEVLTRLAMDADARERIGGTGGVVALLLDMFLRAGGFSDEAAAADAARVEAGEALAMLALESPRNCERILRAGGGSSSSATTSTTTVDSLVDALGDAAIGVGAGRILTNLCAYTGGSCEWFPHLRRATRGAATVLRDVATVNESKPLEVSLGLAAQLVRLMGPHELAHHLVSAGVTEAGLVSRLVIVLATYACPSIKAPRIRRFTVELVIALLRKPPARERWLIAEAMAAAGMGAELRRVAETTSELECFHVFSGSAGLSRHAVGLAALVDTALELMGTVAEAEPHGHV >Sspon.03G0015410-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:69521905:69533808:1 gene:Sspon.03G0015410-3C transcript:Sspon.03G0015410-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGGSADGAAVRASASAPSKAGAAPAASRDLRGRGRSEHREIFGESVRKMHSVAKNYFVSDLTHPPRSLTRASRHVVPFKARLFTQCSLEGRSVDQEIVIAMGSNVGDRVSTFNRALQLMKSSGVTITRHACLYETAPAYVTDQPRFLNSAIRGTTRLGPHELLKMLKEIEKDIGRTGGIRYGPRPIDLDILLYGNSQINSETLIVPHERIHERSFVLAPLVDLLGASGDDGIETSWHSLSKCSGGFFELWNKLGGESIIGTESIKRVLPVGDRLLDWCERTLVMGVLNLTPDSFSDGGKFQQVEAAISQAKLLISEGADIIDIGAQSTRPFAKRLSPNEELERLVPVLDEIIKIPEMEGKLLSVDTFYAEVASEAVKRGAHMINDVSGGQLDLRILKVAAELGVPYVAMHMRGDPSTMQSEQNLQYDDVCKEVALELYTQVREAELSGIPLWRLVLDPGIGFSKKSGHNLEVIMGLESIRREMVERDVATVAAVTAGILNGANIVRVHNAGYGADAAKVCDAL >Sspon.04G0000390-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:2340663:2343587:-1 gene:Sspon.04G0000390-2P transcript:Sspon.04G0000390-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDDLFDGLPPPAAAPAGGGAGAASLSPPPPPPPAPAAAPKPALKSSLKRSKPSPSADATTSSPSAPAAAAPDSHVPEKRLRFRTTVDASETQVIEAMQKIASHIGNTSKFSKASKLALQLIEAGSVKPGTIGHFFAILEAAMSSPGACNDPSVRADYHKLFDSAQGVTEVKFLMYLKQIVARLCQLFNPQQKNQFDIWVLHAVVANDLFTDDSFVFSKAVGKIKDAISALPVATVDDDNDEAAALAAASKTDAVTDNRTDHSVPAAASNSVADDSTHAAASEPGEESSDPFGLDGLLEHKPKKSERAREKAVAALNRKADEEEAKRFLKSQREALLKCLEIAARRYRIPWTQTAIDIFVKHAYDNATRFTRQQRAAIVKLWNSIKEQQIRRKQGKSVSGKLDVNAFEYLQEKYSHEKISIRHSVGGGGERRATAWLG >Sspon.05G0008540-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:17318240:17320722:-1 gene:Sspon.05G0008540-2C transcript:Sspon.05G0008540-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLYADLDALRASAADVRIVTSDGQTIAAHSYVLASASPVLETMIDKARRGWGAAADCTVAVLGVHSDAVLAFLHLLYSSRVAPGTEELVAAHGPQLLALAHAYRVGWLKRAAEAAVSARLTADCAVDMLKLARLCDAPRLYTRCARLAAKDFAAVEASDGWRFARRHDPALELELLQLLEDADSRRARWARARASQEAYRQLGHAMGSLDRIFADDDVPSPSSAGPAATCAGDGDGDATCQGLRLLMRHFATCARKVAPSGCARCKRMLQLFRLHASRPNKIVRRSYEAIDRQPRICHFTAKMQAEKADKTWRLLVKKVTRARAMAGLADREVPEVVAMSWAKYSTCRWARN >Sspon.04G0003880-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:7246023:7247126:-1 gene:Sspon.04G0003880-2B transcript:Sspon.04G0003880-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAASPAMWAGLVLVALLAASGPAASAAGLPRFAEAPEYRNGEGCPAPVAGAGVCDPGLVHIAMTLDAHYLRGSMAAIYSLLKHASCPESLFFHFLAAEGGGAPAVADLRAAVAASFPSLRFEIYPFRADAVAGLISASVRAALEAPLNYARNHLADLLPRCVPRAIYLDSDVLAVDDVRRLWETRLPAAAVVAAPEYCHANFSRYFTEAFWNDPVLGARVFAGRRRAPCYFNTGVMVIDLRRWRVGNYRQRIERWMEMQKEKRIYELGSLPPFLLVFAGEIEAVDHRWNQHGLGGDNVFGSCRPLHNGPVSLMHWSGKGKPWDRLDAGKPCPLDHTWRSYDLYIGENDSSSASGPSRSALSSSAAW >Sspon.01G0003900-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:3346431:3349293:-1 gene:Sspon.01G0003900-3C transcript:Sspon.01G0003900-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSPSLPPPPPAAAAASTTLAGNLTASSLLSIPRPRPRLAAAHRRAVVAAAASPRPRPPPPSEGDGDAQEVERAMGMDGGIPGTSDEFLRRVSSRAYGMRRHLMESLDSLAYDVLETNPWREDSKPVYVLARSDNHLWTMKTRRSRNEVERELGKLFSKGGGSGVGTKSKYSGSKFDMVVEDIREGVLIFEDEDDAVKYCDLLQGGGQGCEGIAEIEASSVFNMCRNMKALAVLFRRGRTPPLPQSLERDLRARKRSPLED >Sspon.08G0001250-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8B:1299407:1303051:1 gene:Sspon.08G0001250-2B transcript:Sspon.08G0001250-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHPSDRERNSPLRLDWTTRPPRGAVVRARAGRTRFSTLTNCYPPSLTDRWDLSQIGNPRTAQPAPQVMDSGAAASLPQSHPIKPANHPQPKKPGPTVLKRVDPTRLPVATYAAGAPYTSATSLAGTPSPRPPRLFLPSHLRRAINPSRRRHPNGIPHPRLPFLLLLLPIGPAASSPTAPASPSEHDNAPPPSEDVLLRIPGAQLHLIDRSRSHPLAAGDLSLLRIRSGDTSLAAIALLDPVQWPLARDVAAVKLDPCHYAFSLTVPASADDPSPDPLHYGLTLACPDARLDGVLAAYTSFSVHSVVGTKELEGRVHDEVEAAAYWTAVAPNVEAYGGAVARTIATGAEHLAKGILWCGEVTVERLRWGNEVLKKRMQPGEANAEVSPEMLRRIKRAKRVSQISEKVATGILSGVVKVTGYFTSSLANSKAGKKFFNLLPGEIVLASLDGFGKICDAVEVAGKNVLSTSSTVTTGLVSHKYGDKAAAATNEGLDAAGHAIGTAWAVFKIRQALNPKSVLKPTTLAKSTIKANVAELRAKHSK >Sspon.04G0031660-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:15472166:15474604:1 gene:Sspon.04G0031660-1C transcript:Sspon.04G0031660-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEGDAQSEVLLAVLQDHQQGSTETSPATPPPPAEAGSDTGQQEKLAAQNTAPAAGTAAMGECSTEYRGLPDANGEDEGSVPVPAALRKVSIVPLVFLIFYEVSGGPFGIEDSVGAAGPLLAIIGFLALPVIWSIPEALITAELGTMFPENGGYVVWVASALGPYWGFQQGWVKWLSGVIDNALYPVLFLDYLKSAVPALGGGPPRAFAVLGLTAVLTLLNYRGLTVVGWVAICLGVFSVLPFFVMGLISLPRLRPARWLVVDLHNVDWNLYLNTLFWNLNYWDSISTLSGEVDNPGKTLPKALFYAVIFVVVGYLYPLLAGTGAVPLDRGQWSDGYFSDLAKLLGGAWLMWWVQAAAALSNMGMFVAEMSSDSYQLLGMAERGMLPAFFARRSRHGTPLVGILFSASGVLLLSSLSFQEIVAAENFLYCFGMLLEFIAFVLLRVRRPDAPRPYRVPLGTAGCVAMLVPPTALIVVVLALSTLKVALVSLGAVAVGLVLQPAL >Sspon.02G0014450-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2C:40759940:40760497:1 gene:Sspon.02G0014450-2C transcript:Sspon.02G0014450-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosylmethionine decarboxylase [Source: Projected from Oryza sativa (Os09g0424300)] MAMSSADSWGSSPASPIGFEGYEKRLEITFSDAPVFEDPCGRGLRALSREQIDSFLDLARCTIVSQLSNKNFDSYVLSESSLFVYPHKVVLKTCGTTKLLLSIPRILELAAGLSLPVLSAKYSRGTFIFPGAQPAPHRSFSEEVSVLNGFFGNLKSGGNAYVIGDTFKPNKKWHVYYATEEPEHPM >Sspon.06G0026510-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:78588639:78597504:1 gene:Sspon.06G0026510-3D transcript:Sspon.06G0026510-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKVSMAALILCVSLVALSTAVSVGDAAVVEHTFVVSPRDERDPPVQQDQDLRGERSTSPTATRWWSDGAGFVTECPIPPGANHTYRFNLTGQVGTLWWHAHVTCLRATINGAFVIRPKEGRYPFRTPPAKDVPIIIGEWWQLDLVELDWRMADGNFDDNPLSATINGKLGDLSNCSGVPEESFVLDVERGETYLLRIVNTALFSEYYFKVAGHTFTVVGADGNCLTPFATDMVTVAPGETIDVLMTADAPPAHYHMVALANQPPEPDPQIPVFVSRGLVRYAGVRGDNNGLPVPVPLMPDQHNTLPSYYFHGNLTGLAYPGRHRVPMHVDERLFVTLGLGSLCRGGKKVCKRRRSDETVVVATMNNVSFHHPNTTSLLERYYDYGNGTGVYTEDFPEKPPHPYNYTNRELIPPGPLEEALEPTFKATKLRRFKYNTTVEIVFQSTALLQSDSNPMHLHGYDFFVLAQGLGNFDPKRDVAKFNYHNPQLRNTVQVPRTGWAAIRFVTDNPGMWYLHCHFEFHIVMGMATVFIVENGPTPETSLPPPPPEYKRCGANVPLI >Sspon.04G0030250-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:76316387:76360652:1 gene:Sspon.04G0030250-1B transcript:Sspon.04G0030250-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGQVPEASPGRYQRRRDEIDDDDCADVPGIDVRCPDADTFDIPAKRAPVERLRRWRQAALVLNASRRFRYTLDLKKEEEKEQIRRKIRAHAQVIRAALLFKEAGEKQNPDTELPEILPHGFGIGEKQLTVKGLANLLKTNLEEGIHGDEADLVSKKAAVSDYKQSLQFQHLNEEKQNIQVEVIRGGRRIQVSIFDIVVGDIVALKIGDQVPADGVVISGHSLAIDESSMTGESRIVMKDQKSPFLMAGCKVADGYGTMLVTAVGLNTEWGLLMASISEDNNEETPLQVRLNGVATFIGIVGLSVAAMVLVVLFARYFTGHTTNSDGSVQFVKRHTSVKSAIFGSIKILTVAVTIVVVAVPEGLPLAVTLTLAYSMQKMMADKALMTVVQSIVGEVKLQPPANVDKLSPTVVSLLLEGIAQNTSGSVFEAQDGSVEVTGSPTEKAILSWGLDLRIKFAEERSRSSIIHVSPFNSEKKRAGVAVIARDSDVHVHWKGGAEIVLALCTSWLDVDGSTHVMTPDKANQLKKLIEDMAEQSLRCVAFAYRNLDLKDVPSEEQRINWQLPDDELTLIGIIGMKDPCRPEVRDAVELCKKAGVKVRMVTGDNLKTAKAIALECGILDDSEASAQAIIEGRVFRAYDDTERENVADKISVMARSSPNDKLLLVQALKKRGHVVAVTGDGTNDAPALHEADIGLSMGIQGTEVAKESSDIIILDDNFSSVVKVVRWGRSVYANIQKFIQFQLTVNVAALVINVVAAVSSGNVPLNAVQAVFQVAVLLTLYFRGQYLLHLTHDTLDLSSKVKNTLIFNTFVLCQVFNEVNSRKPEELNIFAGVSRNHLFLAVVSITVVMQVIIIEFLGKFTSTVRLNWKLWLVSVVIAFLSWPLAFVGKFIPVPKTQLTDIIIRCWPKRGESAAQQAQDDRTADPQV >Sspon.05G0035970-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:82809405:82811537:-1 gene:Sspon.05G0035970-1C transcript:Sspon.05G0035970-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MCFSDKFIRSIFGLAYLGANLVAVYALGNLPRQDEAGSTNSIAFLWAPFLLIHLGGNDTITAWTLEDNNLWLRSWCNLVLHVALAGYAFWKSIGRHSVELLVSAIFVFVTGTIKYGERAWCLKASSMESLENSTGRRHYEIELPENSDESQAPNNPTYSDTICEALCSMCEVHDIFAAHKLSTSSEDEYAVALILRTRTGLALRFMSVISACVAFGLFIASDKQRYSRVDIAISYSLFIGVFFLEFCSMVILMMSPWTWAWFKSQGLSMPAKISWFILSSRIGWPEARPRWSTSMGQCNIMHMLVGMYKTRSLTPKAMVHCRTLLNVFGFGKEPISWLSNLIHTEYVTVDERVMDHVMEGLHSLSGELTRAALALEVERRRRGLLSADELSVAVGLDVVARWWPHLRKFLLMIQEVFIEDFGTALLMLHMVTDVCVSQYPGPMTQQEHGLVDVCTRLSNYMMYLLVNHPSSLPLNTSALFSLEKAKATFSEQINGGGTSEEKYNNIKAALLQGDQPTGEDPLTELIDMWTRVLLYAAGRTKAEHHAAHLARGGELITTAWLHLANRGIGDSKFKRIRITNFVPVGSDRLKEVYAFYLRRPTPTPPPL >Sspon.06G0009690-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:39770555:39772776:1 gene:Sspon.06G0009690-3D transcript:Sspon.06G0009690-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSAVLAGRNEVHHAHPHHRHWAGARVPLMPKPSSNPNPRRHHRPGPNPIPSGSPPAPPRAAAAEPLPSSPSGHVKFRPSEMTPAEARLLRARLTGELGRVRAFLSRIDSWQDGQRRRRRPEPEPEPPARRSSPPPALVEAMRKRCADILMRLRRSKKSVWFNSPVDVEGLKLHDYRAIIRSPMDLGTVKQNLTAGRYPSHEAFAGDVRLTFNNALRYNPPDHHVHKYAGNLLASFEGMYKEAVSWFEQQRQQLEPPMQLDLPPPPPPQLPVSVPVQAPLRMGGGRRPKPKAREPNKREMDEEEKQKLRVEIENLPEEKMLNVLQIVQKRNSDPALTGEEVELDFDELDVETLWELDRFVVNWRKALKKSQRNSMMNGDAAAMNGHAIDVTIVPDDDDMVEVAVNPSVVVEIGESETDIPKKREMEVEDEYVDIGDEMPTVNYQSVEIEPDSPAASSSSGSGSGSSSSSDSDSDSESDGDDASAPH >Sspon.06G0035970-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6D:87611822:87614419:-1 gene:Sspon.06G0035970-1D transcript:Sspon.06G0035970-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLCRFLRVLCGLCLLSNGLRGLNDIRPQRNCGWATTATKPKFAGRACGLRWKGRAGTRPDYLYTNNAAPREETWRCSSGRLCQEASWQRAPRPSASARRWRQRVPLCIGTIAAAAPFSSPLCMGERPHLLHAALTPARRTMQASVALQRLHHFALPDAVEACDTGDFTSMDAGEACDMGERLHPLLRSGRVPGDTRTASMHVSCKAPCNDEAQAIKLAGYTPRIRCIHYAGCKASSTTTNSKPPHQTHPHPPGSSSWHTTMMLPKKSELHQPCKVRPRQRHMLFDTNEARRMSLAGMGNISAMLYWLMDR >Sspon.06G0019690-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6B:4214005:4214737:-1 gene:Sspon.06G0019690-1B transcript:Sspon.06G0019690-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVSCAAQCLIKFSLHCQALVVTLPVPWQRQTNDGQAVSQSVPSPRPAVASGDEVAKHRVGRRGHVREEASSNRCTQQRRQGLGPVGGRVLGWGSRFAIVIVIVIARCLLLWGTGSFASPEAAAAHGRMGQEASHHHSSTAGSARRRPRARPLLAELAAVLSSPSVVLLVSWRWLDRHGGS >Sspon.04G0017320-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:9751425:9755265:-1 gene:Sspon.04G0017320-2P transcript:Sspon.04G0017320-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMRPGWVGGLVEESFFVGCAAHENRKKNEKNIFCLGCCASICPHCAPAHRHHLLIQVRRYVYNDVVRLDDLERLIDCSFVQPYTINSAKVIFLKPRPQSRPFKGSGNVCLTCDRILQEPFHFCCLSCKVDHVMMQGGGDLSNILYVPGGGGPPDLVGCGFPRFENLRFDDDPAGQYGGQVTPNSILEDPMLQHGGSSSGGSSARNARRGDGVPTRKKKSGGGGGGGFFPQIVLSLGNRRKGAPHRAPL >Sspon.02G0018410-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:62306379:62310034:1 gene:Sspon.02G0018410-3C transcript:Sspon.02G0018410-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAKLLYIVVVDDNASSFRYTRSLIHSTLQLMGCKPRHAFEISRRVFDVIRGDHQGHGDTAASARVQRYEVAEATPTTSPRQFQFELYKRRTTVLLPRDLFLDLVLDALALYKYVAPNQRADLMLACRIRERKESITVLLCGTSGCGKSTLSTLLGSRLGITTVVSTDSIRHMMRSFVEEKENPLLWASTYHAGECLDPVAVAEAKATRKAKKRSGVSSSSNIDYEKSGSLSKKVDGKSIGKKQMAIEGYKAQSEMVIDSLDRLITAWEDRKESVVVEGVHLSLNFVGMFKLIQRLGSSRKLMAIINVDGSVSKAWPVESSEGDGKCSSDNSNKKSVGNPIYGPLSIGRAESVNLQFGTFGISAWPTDTGCTSQAGNADESFTNAAEGSSRHVLSSSGSPKKSDGHCKEIKESSAAYGSDEEEEEEADVQPNSGSDEDLSEEDNREIDGEMEGSVNEDCNRSDEEYDDLAMQDSLENGYLTDDGIFHSGLSKSSSGKFFGSNQRSHSMPKKHEESVGVPETARSSSAAAPAGTSSKRHAARKWKRSLSDSFRSRPRSAPELVSVCKGSPPVPVAPDER >Sspon.06G0002210-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:7044634:7046026:-1 gene:Sspon.06G0002210-1A transcript:Sspon.06G0002210-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQYRRPPSDGLTHHPLDHMDHTAEHLVDPTAATAASSSAVAGVNACLDSLAAEAGCGALGRGGGGGAASELSLGTDSTPRGVAYLRTLAAASQARSRAAGIVAAGLCAQAAEYRAEAARLREALERDALSPTAAAAARAVAAVANHLATRDTEMSSFVVASADLSMRLAEVEEKRDKVHKESNTLLDYTRKAINKHSELKKMLEKFKNDVEKQQAEQMTGWQAKLVMMDSKDRQYILQVSNYK >Sspon.07G0015710-7P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7C:61983467:61983685:-1 gene:Sspon.07G0015710-7P transcript:Sspon.07G0015710-7P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTAAVTTAPGSGGAKTSWPEVVGLSIVEAKKVILKDKPDADIVVLPVGSRVTDDFLPNRVRIFVDTVAETP >Sspon.03G0000520-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:53912:54583:-1 gene:Sspon.03G0000520-2B transcript:Sspon.03G0000520-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MWNSRSYFAGGQDNGNDQPYGYGGGGQEYEATGGKKRASSRLKKSSGSKNKDADAEDEYPKYGTNNTSGGNKDEGGDNRYNHRNSNGGADDDYNGGGNHHYNGGGVVGSPYYGGGGGGGYGNSSPYYGGGGYGNSSPYGGGYGTGGDAPYNNAPAAFWAPQDGARSPLYINTRAVHVYGVPGGYDNDSNNDDHQRRRGGGFFGPAFHAVGHFFDRKFGFNDRD >Sspon.05G0011020-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:33917408:33921259:1 gene:Sspon.05G0011020-4D transcript:Sspon.05G0011020-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEILSPSPPPSPCPLLRYGGRREQHHGEAWVHVAVGRSPEKTLGLLRWALRRFGCGRIALLHVHQPSPVIPTLLGKIPAAQATEELVRSHRKSEKEEMNKMLHTYLAFCHRAQVQTRLLVTENDQIHNGILSLVDQYRITKLIMGSAPDNCFKLKYGKESLIASNAPAFCQIWFVWRGRHIWTREASAATDNATPVQYQDDVMTTKRIRFSSYTNNTGPILDEGYHVREALTTVDLDQGVVSDYDRSIDYEAFGAHEANHFNRMSMSDWEDDTEAALNSTFWSDSSVHVDTLQLYSKEVLARNVKQVMMEADRSRKDAFVELMKRKETESKAANSDSAKKHEMEMREELEVVLVATRKQHEDLIKNKERAVSGLDSSMRRLAILDAHAEKIKLRIDEFSAELEVIQSSIESLYQKKLKMQKLENRHIDLDKGCTYSHDTLSNCVSNAFGDDLYSFREFTMSDMQSATCKFSESFKIWSQGRGCVYKGEIMNRTVMIYKLHCHSIESVRQFQQEVYILSKVRHPHLVKLVGACPEALCLVYEYLPNGSLHDLFSRSNSRPLPWKIRVRIVAEISDALMIVHGNLKLENILLDTECHCKIADFGISRLFTDDMKDYPSGGSTSELKGSFPYADPEYKRSKVLTPKSDVYYFGIVILQLLTGKQEPAGLAGEVRRAMSCGKLSTILDPTAGQWSMEVAGRLAELGLRCSEDSSRDRPDLTPEIVRGLEQLHLMREERAPSSFLNLVFFFLFCFGLQEVMHDPQVCADGVTYEGWAIREQMETGQGTAPLNNLKLEHLNLTPNHALRFAIQDWLRHSH >Sspon.02G0022470-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:68937690:68941529:1 gene:Sspon.02G0022470-4D transcript:Sspon.02G0022470-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTAATSDGEKPHAHLGQPLLAPPQPPQQPYYAYPAASYVQPAPPPPTLVFVPVPVPAPCSPVLVHLRRLRPRRAPCLRRLCTRTLPLLLFLALLAGAAFLLYPPAPAARVADLRVDSFRVQPPVLDLGLALRLRVRNPGFLLPLRYRSVSAAVSYRGHLLGSAKAWPGSGELAARDEVYADAHVWVDAGRVLDDVIELIGDVAAGSVPLEIVSEVVGSIEVFRFHIPVKPLLEDFASKIQQLLPVINMFDPASWYW >Sspon.03G0024380-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:92737771:92743189:1 gene:Sspon.03G0024380-3C transcript:Sspon.03G0024380-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATRHKAADGDAGCGAPGGEELPPWRQLRRRGATALDASFFHRWDRPGGSVFPLNVSLAVQLQWFESLKPSLCATPKGMSISLFFVGAFGANDYLLAMAAMSLEQVRSLVPAVERLIVEHGATTVVVPGVIPVGCTPPVLATFADPDPAGPPQRAALRELRARHRHRISALVYADFFGPVIDMVTSPAKFDCNKLFGRSLFFVGPFGANDYLLAMAAMSLEQ >Sspon.02G0042020-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:80675071:80681277:-1 gene:Sspon.02G0042020-1B transcript:Sspon.02G0042020-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMIPRQLCSYLSLAILVVPVFLLPPLASSDILSQSCVNGWNYTANSTYQSNLKLLSTELPKKASSSPTHLFAADSAGTVPDTVYGLTLCRGDTTNASDCAACVANAFRDAQQVCPYVMDVTVYYDPCYLRFSNQNFLASIDNSKQYINANGENVTTSPAAAFDAAVAALLGAVVDYAVRNSSSRFGTGVVDHFDESNPNIYALAQCTPDLSPDDCRACLDQILKVQLKFFSGRQGGRILGLRCNYRFELYPLFSGSPLLHLAVAAPGPAVTASVDTDTSNTESMHRVNLSFLELSTLKVATENFAERNKLGQGGFGAVYKLPLPQGALPDGEQIAVKRLSQGSTQGIGELKNELVLVAKLHHKNLVRLVGVCLEEHEKLVVYEYMPNRSLDTVLFGKLVKLHSESQTNEPRFFISMADPEKSKDLDWGERFRIISGIARGLQYVHEDSQLKIIHRDLKASNVLLDLNLNPKISDFGLARLFEQDQTKDVTNRVVGTFGYMAPEYVIRGQYSTKSDVYSFGVLVLEIMTGRRSCAFYNSEQSVDLLALIWENWVNGTTLEIVDPFLSSFSEDQVKTCVHVGLLCIQESPIDRPTMSAVNVILSTGNCSLQIPSKPAFCLQDITSDSEPHHQGVSRAIREPAVESKDAASFTAIE >Sspon.04G0001040-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:4489103:4497444:1 gene:Sspon.04G0001040-2C transcript:Sspon.04G0001040-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MESRWRKAKMSLGLNLCVYVPRTLEGDDASSPNTASSTAALVSPVASSSSAATSTNTTPTAEQSSANGKVNGAGAGALMPTTPTPTSAGLRLSKSGSKSFKKTCAICLTTMKPGQGHALFTAECSHTFHFHCISANVKHGSSSCPKTCAICLTTMKPGQGHALFTAECSHTFHFHCISANVKHGSSSCPVCRIKWKELPFRGPLPAELPQGNVRINPVNGYQNGGHMNILRPLPRARSSGRLHHLASFLPDTDPSTFNDDEPLDLLCEDDTQQGCLRTVEIKTYPEFTEVPENSSERNFTVLIHLKAPLAQHLQSSSNLGDGNGLSTTRAPVDLITVLDVSGSMAGTKLALLKRAMGFVIQNLGSSDRLSVIAFSSSARRLFPLRRMTESGRQQSLLAVNSLTSNGGTNIAEGLRKGSKVIEERQAKNPVCSIILLSDGQDTYTVSPTAGVHKGAPEYCALLPSTNGNQQVPVHVFGFGADHDSVSLHSISQTSGGTFSFIETEAAIQDAFAQCIGGLLSVVAQGLHVKVESLHPDVHFGSIRSGSYSSRVSDDKRNGSIDVGDLYAEEERDFLVSVNVPPGYGETALLKVGCVYKDPLMKETVNMADVQVEISRPAFVSVQSVSIEVDRQKNRLHAAEVMAEARFSAERGDLTNAVSLLEDCRRMIMGSASGQSGDRLCQALGAELKEMQDRMANRQRYEACGRAYVLSGLSSHSWQRATARGDSTDSESLIQAYQTSSMVDMLLRSQTMSRSSTPRPTPQMRHAKSFPARPQPR >Sspon.05G0006290-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:11718193:11719506:1 gene:Sspon.05G0006290-3C transcript:Sspon.05G0006290-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAFTHVPPGFRFHPTDEELVDYYLRKKVAPKKIDLDVIKDVDLYKIEPWDLQEKCKIGSEEQNEWYFFSHKDKKYPTGTRTNRATKAGFWKATGRDKPIYVKNCLVGMRKTLVFYKGRAPNGQKSDWIMHEYRLETNENGIPHEEGWVVCKVFKKRLATVQRMVGDSPYWFNDQAGFMAPDLGSSRQAVHHQQSAMMYHRQQSSYSYPCKVELEYHHLLPQEHFLQQLPQLESPKLPDLIGQVDTTLQPCSLTQEHGAPRYTVQELQAEPLYLTAGDASGTDWRALDKFVASQLSNGDTTPKESASYSNPAQMFQQSEEKEEALDYMS >Sspon.03G0004940-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:53135027:53136346:-1 gene:Sspon.03G0004940-2C transcript:Sspon.03G0004940-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor bHLH87 [Source:Projected from Arabidopsis thaliana (AT3G21330) UniProtKB/Swiss-Prot;Acc:Q8S3D2] MDHSFSDIILRESAWSGGGGGAGLLPPEVVGNVVEGGSGMTVLERLVLDEALAAAILELQGSIVIQQAPACCAGKAVAVAEPAAGGGVVGEAAVAFPSMAMATPTPAYADVDADVLQRQQHHHRHQGAMGMPPDYDLTPATPAVAFTATAAVDSGGSNSLVVGRSPPVFSASNETPATAAAVTATTSLSCEQGGGGGASGGKRQRRPNRKRKAAAERSPVAEAAAQETTLCSLLASSTTTAGEGGIQIAFSTSAAKRSAKPSSLSSSVSSSISFDGRNNPAGNGGGVDDPMYEPDTEALAQVKEMIYRAAAMRPVSLGSEDAGERPRRRNVRISSDPQTVAARQRRERISERLRVLQKLVPGGAKMDTASMLDEAANYLRFLKSQVRELQTLDRRNYGATANNANDATATMAAAAGSLMSYNNGNGAMPFAFPAAGETLR >Sspon.04G0000240-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:2205823:2207688:1 gene:Sspon.04G0000240-1A transcript:Sspon.04G0000240-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MESKEAMVAAGAVAGAGAGEAKNGPAVPVHKAGAAPADRADAVLAKVEMDRKLSMIKAWEESEKSKAENKAEKKMAAIMSWENTKKAAVEAKLRTREEKLEKKKAEYAEKMRNQAAAIHKAAEEKRASVEATRREAILKYEDMAAKHRSKGTTPATKFLGCF >Sspon.08G0004560-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:13879290:13882789:-1 gene:Sspon.08G0004560-3C transcript:Sspon.08G0004560-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALATPLRLRHLLPATSTSARAPDPAGPSSLASHRLRASFSAAPRPGLVAVAAAAAGGRPTVLVTEKLGAAGLNLLRAFANVDCSYELTAEELRAKVSLVDALVVRSGTQVTREVFEAARGRLRVVGRAGVGIDNVDLQAATEAGCLVVNAPTANTVAAAEHAVALLAAMARNVAQADASLKAGKWQRSKYIGVTLVGKTLAVMGFGKVGPEVARRAKGLGMDVIAHDPYAAVDRARAIGVDLVSFDEAISTADSISLHMPLTPSTAKLFNDETFAKMRKGVRIINVARGGVVDEEALLRALNNGTVAQAALDVFTEEPPPRDSKLVQHENVTVTPHLGASTTEAQEGVALEIAEAVIGALRGDLAATAVNAPMVPAEVLSELSPYVGLAEKLGRLVVQLVAGGSGIKGVKVVYSSARDSDDLDTRILRAMVTKGIIEPISSAFVNIVNADYVAKQRGLRIVEERIFLDGSPEIPLDSIQVHLANVESKFAGALSDAGDIRVEGKVRDGTPHLTLVGSLSVDVSLEGNLILCRQVDQPGIIGKVGSILGKMNVNVSFMSVGRTAPGKQAIMAIGVDEEPEKEALKLIGDTPSVEEFVFLKL >Sspon.03G0036980-3D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3D:57291402:57291989:1 gene:Sspon.03G0036980-3D transcript:Sspon.03G0036980-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRLGRRVIHFANLPLKLMLPPAPLSSVQEFAVRTVPSASKVDIRRCLESMYGFSVAEVRTLNMEGKKLRRGPFLAAKPDYKKAYVTLRAPLAVSRDLFPIGAILAERERKASAAAARRKAVEGAEVEGEGKGKHWMEDEREGFSRAGCGKVVYGNPGRLGRKRRGGGKVKEEAGDEGAKFPWTGMRLATEKPR >Sspon.07G0000910-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7B:10964462:10966613:-1 gene:Sspon.07G0000910-2B transcript:Sspon.07G0000910-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding FHLLPSWINALAFHDLLLPLQEPRWSGQWIQSGEPAMEVSRAAIHGIRESLGTVQGSLLRLEVVVLLSALILAALVLYGSANRRSSDKLLRGAMWMAYSMSYVVVSYAVGIIQDGPFRGETFVLWAAALLLIQASAYSAPVHSRRDVDQRKKLLLQHVLQTCLVLWLIINVTGRNASYRAAIWAFWALNVLKTSAKIAEMIEASRPDLSVRVVAEYMAEYVADGDQHPADPATMQGYAYIFHGEEVMEPVIHTCGQRVRENMLSQSSAKSVVTIDQVYQWIDQQPVSDVEKDRARDFCLAFALFKLLKRRFYGYVPAEAGSEKARSLVLNGLIHMGTTGPDAAFRVVEAELAFLYDFFYTRNIVLVGAKTYICIAVAVAGLTMWTAFFGTLGPGYHRLHVGVRNLDRFVTMLVVVITAGLEVFQAVAGFSSNWRYVKTVYRCVRDDRPWSGRRLGHHLWWKQSITPPETRYWEDKVGQYVLLKRFNHQPCNLLSWLTLYLVEPRRQGQKRGRRKPLQPEVKRDVLLWLKQSHCQLSNGVVTLQKHNLLPRLAWACRLPKVTDQILAWHVVTTSCDWSYSLRPAGRAAASDEHHRLVAKKLSNYCAYLVAFVPEMLPDPSYNAEQIFDTAVQQARDHLDGCRTQRHIIARLEQMEIAERPYVQESGTYDRAGGATVIERAAVLWGQLRAAFGVDDARRWEVLAEFWTEFVLFLAPSDN >Sspon.02G0047850-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:19792640:19793829:-1 gene:Sspon.02G0047850-1C transcript:Sspon.02G0047850-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AGAWRTQRSPVGDARLLDGLNYACANGADCSAIQPGAPCSETNTMVAHASYAFNSYYQRNHRASRTCDFAGAASVVVYRAPTFINGRFILESVVAAHEIIHDIHRCKESGIILKLDYEKAYDRVSWGFIEKMLISRGFGAKWRNWMRKVIKGGSICIRINDENSVYFKPGKGLRQGDPLSPIMFNLVADIFTIMKAARSNLVSALLPRAVDG >Sspon.02G0052840-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:96942706:96945188:-1 gene:Sspon.02G0052840-1C transcript:Sspon.02G0052840-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MELKHCNLWRLTIVAPILQKLSVKFNSLADHLSKQIVNITAPRMVSLVWMDSYDPRYFHLGNLGQVRELQTKRILEGPACASGCICDQSKNWKTDELVLGHLEDVRIIGLQGAEHEVAFVQRFLGWATVLKSLRITLDNEMTEGKIGEFCEALAGFSRPETCVQFDRIDD >Sspon.04G0001420-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:5014277:5014633:-1 gene:Sspon.04G0001420-1A transcript:Sspon.04G0001420-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding HGLRTMLHLFLCRRQSPEILRRRRRRQQEDDVPLLADEPPGVVPLQPAPERAGRSRRRRRGGARRQQQGRRWQGDQGAVRARAAAPEDRRRPGRARPPPTPRGRGLPAAPLQAAPHEPV >Sspon.02G0031030-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:113703520:113708591:1 gene:Sspon.02G0031030-1P transcript:Sspon.02G0031030-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDILAMKLLPLTIKAVKGPPPPFALIVRGGCTFDEKVKNAQDAGFKAAIVYDNENSGVLVSKFHAACVDLWLTSWRTFCPVCKRDAMSGVSELPATEATPLLSSAVRLPSRPSSFRSSVAASPPRPISRHPSSHSVSRAYSVSSTPQSPNPFRSHTNLPGIHTSRSTADLANMSSPHPRISHLSSTHSLVGSHLSPPISIRYSLPQLAHSGHGSPSPHVSSPYISNSGYGSSSYYYLGGSSQHGSYLRRCGESGPSLSTMVPRLPQQFQSEANVAVGTSSAQSLRQSYLRGCGDSDASLSDMMPAQSLPQQFQSEANVAAGTSSAQSLRQSYLRCCGDSDASLSDMTSAQSLPGC >Sspon.07G0012380-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:49920923:49927766:-1 gene:Sspon.07G0012380-2B transcript:Sspon.07G0012380-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFNSPKAAKRIGVQFLSVASAECFGRDVSPTHFASLLKECRSVNTVRQIHQKIIAYGLLSYPASLLSVSLPPLPSHSYVSPKSLGTGVVASYLACGATSDALSVLERVTPSPAVWWNLLVREHIKEGRLDRAIGVSCRMLRSGTKPDHFTLPYALKACGELPSYRCGSAFHGLICCNGFESNVFVCNALVAMYSRCGSLEDASLVFDEITLKGIDDVISWNSIVAAHVKGSNPRTALDLFSEMTMIVHEKATNERSDIISIVNILPACASLKALPQTKEIHDYAIRNGTFPDAFVCNALIDTYAKCGSMKDALKVFNAMEFKDVVSWNAMVTGYTQSGNFGAAFDLFKNMRKENIPLDVITWSAVIAGYAQRGCGQEALDAFQQMILYGSEPNSVTIISLLSACASLGALSQGMETHAYSLKKCLLSLDNDFGGDGDGEDLMVHNALIDMYSKCRSFKAARSIFDSIPRRERNVVTWTVMIGGYAQYGDSNDALKLFSEMISKPYAVAPNAYTISCILMACAHLAALRTGKQIHAYVTRHHEYEASVYFVANCLIDMYSKCGDVDTARNVFDSMPKRNEVSWTSMMSGYGMHGRGKEALDIFDKMQKAGFVPDDISFLVLLYACSHSGMVDQGLDYFDIMRRDYGVVASAEHYACVIDLLARSGRLDKAWKTIQEMPMEPSAVIWVALLSACRVHSNVELAEYALNKLVSMKAENDGSYTLISNIYATARRWKDVARIRQLMKKSGIKKRPGCSWVQERLIGRFKVMGYVPETNFALHDVDDEEKNNLLTEHSEKLALAYGLLTTSPGCPIRITKNLRVCGDCHSAFTYISKIVDHEIIVRDSSRFHHFKNGSCSCGGYCIGEVNPVPAYELFAKLTQRQTQLVQGFAQQSIELLADIVDGRDASMLIEVAVVIPRHRKLLRHASQRSRPMYPELPGSVLVQPLQFYSQGCIQRLVITE >Sspon.04G0017880-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:7066421:7081237:1 gene:Sspon.04G0017880-2P transcript:Sspon.04G0017880-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPPLPQGRGAGGGGALGRRAFASLLGAAVVALALLCLFYGAAFAPSIRRAHPRLSLRLRFRGQGTEALPADLVVSSIPVCDARHSELIPCLDRGLHYQLRLRLNLSLMEHYERHCPPAPRRLNCLIPPPNGYQVPIRWPRSRDEVWKANIPHPHLAAEKSDQRWMVVNGDKINFPGGGTHFHTGADKYIVHLAQMLNFPNGKLNNGGNVRNVLDVGCGVASFGAYLLSHDILAMSLAPNDVHENQIQFALERGIPATLGVLGTRRLPYPSHSFEMAHCSRCRIDWLQRDGILLLEVDRVLRPGGYFVYSSPEAYALDPFNRKIWREMSDLARRMCWRVASKKNQTVIWAKPLTNGCYMRREPGTLPPMCERDDDPDAAWNDIWHSRVIQYWKHMKSEIRKDSFRNVMDMSANLGGFAASLKKKDVWVMNVVPFTESGKLKEDVRIIRDIPDWFTEKDELFTSIKRTVKNVPKYVSAQFYIDNVLPRIKEKKIMSIKPFVDRLGYDNVPMEINRLRCRVNYHALKFLPDIEEMADKLATRMRNRTGRVSKAKGSELLPWPQRLTAPPPCLKELGISSNNFSEDNDIWHSRVIQYWKHMKSEIRKDSFRNVMDMSANLGGFAASLKKKDVWVMNVVPFTESGKLKVIYDRGLMGTIHNWCESFSTYPRTYDLLHSWLLFSEIEKQGCSLEDLLIEMDRILRPYGYAIIRDKAAVVNYIKKLLPALRWDDWTFEVRPKKDALTTGDERVLIVRKKLWNQSLQDPSKFEDIFDVDHFINYLKEDVRIVRDIPDWFTEKDELFTSIKRTVKNVPKYASAQFYIDNVLPRIKEKKIMSIKPFVDRLGYDNVPMEINRLRCRFLPDIEEMADKLATRMRNRTGSLNPYMALHLRFEKGMVGLSFCDFAGTREEKAMMATYRQQQWPRRYKLYERMVGLSSLNGSHLWPLALEKRKEGRCPLEPGEIGIILRAMGYTKETQIYVASGQVSKEDLASKEEMEPFKKHVTSLAALDFLVCLKSDVFVMTHGGNFAKLIIGYRRYMGRHRLKSIKPDKGLMSKFFGDPYMLGDIRGG >Sspon.02G0014640-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:41999845:42001149:-1 gene:Sspon.02G0014640-2C transcript:Sspon.02G0014640-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDAIPACFRAGAAPGGRASSAAASASSGAGAGTSLATSVYDTRLGLAALSWSRAALGLSLRAVLRVSAAGPHAPSPSAASDYGGEVEYEGECDGDDEETVAVRVLPWLLWRRRGSKRFRVRGRRVVDLAWDLSRARFPASGGSPEPCSGYFVAVVVDGEMAVVAGDMAEEAYRKTKARRPPGPGPVLVSRREHVSMRDGGGRGHRTCVVVRGKEREISVDLVSRAAQGRERERDRAEVGMSVSVDGDRVLHVRRLRWKFRGTEKVDLGGGDRVLVSWDLHNWLFPARDTSPPDAAAAAAAAALAAAVTPPAHAVFVFRFELAGCDGEERDPAADAKEKELLDSAGGGGGGWASYVGRWGRGDWSESSSNGEKRRRKRGQASRLAKASSSSSASVASSSASWASGSTVMDWGSPEEAELQSGDGFSLLVYAWKN >Sspon.08G0009200-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:40431366:40432616:-1 gene:Sspon.08G0009200-1A transcript:Sspon.08G0009200-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIKPFVIMLLPMALLLLAAGSSPVVAQLELGYYSKTCPNVEAMVRTEMEKIISAAPSLAGPLLRLHFHDCFVRGCDASVLLNSTESNLAERDAKPNKSLRGFGSVERVKAKLEAACPNTVSCLDILTLMARDAVVLAKGPFWPVALGRRDGRVSSATEAAEQLPPAHGDIPLLTKIFASKGLDVKDLAVLSGAHTLGTAHCPSYADRLYNFSSAYNSDPSLDSEYADRLRTRCKSVNDKAMLSEMDPGSYKTFDTSYYRHVAKRRGLFQSDAALLTDATTKEYVQRIATGKFDDVFFKDFSESMIKMGNVAVLTGAEGEIRKKCYFVN >Sspon.01G0011860-2P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1B:39315913:39316368:-1 gene:Sspon.01G0011860-2P transcript:Sspon.01G0011860-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAAALVKIGTWGGDRGSPCDITVAPQRLESISLRWGKVIDWISFSYRDRDGKLHTVGPWGGNGKGEGDETITLGPHEYVTEVVGTIGPIGEFTHTISSLKFVTNRATYGPFGRGAGASFNVPVLNNASIVGMFARAGDFLDAIGFYILPF >Sspon.01G0043590-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:66483864:66485423:1 gene:Sspon.01G0043590-3D transcript:Sspon.01G0043590-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQGAAGVVETTRPHAVVVAYPLQGHIIPVVHLALRLAARGFAVTFVSTEAVHHQTARALGVDPDGYDPFAAARAQEEGLLLGDVSYELVSDGLPVGFDRSLHHDDFMGALFHALPAHVEQLLRRVVVDPGATFLVADTFFVWPATLARRLGIAYVSFWTEPAIIFNLYYHIDLLTQNGHFRCSEPRKDTITYIPGVASIEPSELMSYLQETDTTSVVHRIIFKAFDEARGADYVLCNTVEELEPSTIAALRADRPFYAVGPIFPAGFARSAVATSMWAESDCSRWLDAQPPGSVLYISFGSYAHVTKQELHEIAGGVLASGARFLWVMRPDIVSSDDPDPLPEGFADAAAGRGLVVQWCCQVEVLSHAAVGGFLTHCGWNSVLESAWAGVPMLCFPLLTDQITNRRLVVREWRAGVSIGDRGAVRADEVKARIEGVMGGEDGAKLREQVKKLRGTLEAAVAPGGSSRRSFDEFVDELKRRCGGTH >Sspon.08G0001700-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:3341481:3344241:1 gene:Sspon.08G0001700-1P transcript:Sspon.08G0001700-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKEGVVAGPVAEGSKSRPECINSSNPYHECSDYCLRKIAEARQRLDDELPDSWKRPPEQRTVHPDCINASNPYHECSDYCFRRIADAKSGASRAWGGTATADVATAAGSSDAVEQQRAEDNDADEQDGAGADDGYPLMTEKQKKLFELRLKMNEARKANQQAMVAEKKRMEPRGESRGVSKQKWLDDRKKKIGKLLDSNGLDMSKAYMLDTQETAETKYKKWEKEPAPYGWDVFNQKTLYDAYKKRTKNIEVDMEAYNKAKEADPEFYRDASSLQYGKVSNVPEENIDKMVKELKEREEKRKSFSRRRKFNEDKDIDSINDRNEHFNKKIERAFGKYTLEIKNNLERGTALPD >Sspon.08G0018100-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8B:4950025:4950444:1 gene:Sspon.08G0018100-1B transcript:Sspon.08G0018100-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGRPLTDMIMEGFPPGGVSNNIPPHNEEEARNEVTESVKGNKRRSKNFTVKEDEMLVSAWLNVSLDPVRGANQSKDTYWKRIHDYFHSKKDFESDRTQSSLMSRWSSILHDCNIFVGCVSKVEGRYQSGASVDDKVR >Sspon.03G0008090-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3A:21984696:21985518:1 gene:Sspon.03G0008090-1A transcript:Sspon.03G0008090-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVAEQAREEGREGGAARPKLSPSPSSPGGMEVTEGGREGREGGRARSPEAAAVVARRSRRDVVDSWYLATARGLAPSLSADADEAVTSLLTGDVRREEQLNKGAVTQISLAHRLTARCWPQTASLQSPRRPRRYESGCPVSVSA >Sspon.08G0004050-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:11939024:11939310:-1 gene:Sspon.08G0004050-1A transcript:Sspon.08G0004050-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGSAEFIKPRSRWNTDGHEWEVHFYPDHCQFLEDEDLILVSEPQRDKLRVNLSCRLVSSSEHLDPSKEKSVSHVFDRCSKCSP >Sspon.03G0041350-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:39585411:39585994:-1 gene:Sspon.03G0041350-1C transcript:Sspon.03G0041350-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTTFGGNTTCARLAHSSKASSISKKKKFTRNSPRLRVPTCPARAPPHPRLARRARAPAVPRLGSPPAAGHRPTPRRILRATEGIQASSRRPPGRGAEPRRLRELQGHRRRSIAFPISSSRKPS >Sspon.02G0003670-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2A:11738982:11739194:-1 gene:Sspon.02G0003670-1A transcript:Sspon.02G0003670-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLTPGVILCVAVSAACAYFLWPVAAPAAAMMKAPGAAGLLISRAAFLANPQLYFELLRTAGVQAAVAAFA >Sspon.02G0001010-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:3695123:3696441:1 gene:Sspon.02G0001010-1A transcript:Sspon.02G0001010-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMVSASRLSLLVVAVAALASAASAQLSSTFYDTSCPNALSTIKSAVTAAVNNEARIGASLLRLHFHDCFVDASLQTLALAWIFEKLQSHVGCDASVLLADTGSFTGEQGAIPNKNSLRGFSVIDNIKTQVKAVCNQTVSCADILAVAARGSVLGGPSWTVLLGRRDSTTASKDNAERDLPAPSLDLANLTQSFANKNLSVTDMDAYTIHSYVSLAQCRFFRDHIYNDTNINSTFAASLQANCPQANGSGDSTLAPLDAASPTTFDNAYFSNLLSQNGLLHSDQQLFNGGSTDSTRVRLQQRLRDGHGEHGEHRPQDRVPGPDQGQLLQGQLLSPDPTMQETETPCAAL >Sspon.05G0010730-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:21697712:21700060:-1 gene:Sspon.05G0010730-1T transcript:Sspon.05G0010730-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKFDLSHRVVLAPLTRQRSYGNVPQRHAILYYQQRATKGGLLIAEATGVSDTAQGYKDTPGIWTKEQVEAWKPIVDGVHQKGGIFFCQIWHPNGQAPISSTDRPLKPQVRANGVDVATFTPPRRLETDEIPLVINDFRVAARNAIEAGFDGVEIHGAHGYLIDQFLKDQVNDRTDKYGGSLENRCRFALEVVQAVVDEIGADKVGIRLSPFASYSEAPDSNPEALGLYMANALDKFGILYCHMVEPRMVKVGEKFETPYSLRPMRDAFKGTFIVAGGYNREDGNDAISGGYADLIAYGRLFLSNPDLPRRLEIDASLNEYNRDTFYIPDPVIGYTDYPFLP >Sspon.05G0017560-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:73333570:73338480:1 gene:Sspon.05G0017560-1A transcript:Sspon.05G0017560-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPASAAAGRGGGMSSDNAKGLVLAVSSSAFIGASFIVKKMGLRRAADSGVRAGYGGFSYLVEPLWWIGMISSEIANFAAYAFAPAILVTPLGALSIIISAALAHAILQEKLHTFGILGCVLCVVGSITIVLHAPQERDIDSVKEVWDLATEPAFLCYAAIVVAAALVLIYFVVPHHGQTNIMVYIGVCSLLGSLTVMSVRALGIALKLTFSGTNQLFYPQTWAFALIVATCVSTQINYLNKALDTFNTAVVSPIYYVMFTSLTIIASVIMFKDWDHQNPTQIVTEMCGFMTILSGTFLLHKTKDMTDSPGQCLSTRRPKHASQSAFAIEVMPLKFQDSIDDETLTLSLPKVENGYLKEEHLLRYKDSSIV >Sspon.03G0003240-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:8182244:8191084:1 gene:Sspon.03G0003240-1A transcript:Sspon.03G0003240-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPCAHNTCSGTESMQTSIRWKSCPLEEEEERSDRWKNFLDRQAEDGESSGEDAKVAPSNEDEGAPGKNAKDGRTEPRPHKIQIWSEIRPSLGHIEEMMNSRVKKKQSSSVNEGYTRDELRPDNSEESKPSEDSDDEFYDVEKVDPSQEVPATDIANAESGTNKGADQEEHYPWKEELECLVRDGLPMALRGELWQAFIGIGARRVKGYYEGLLATDSEREDSKCSDSPTTECGDGKSKASQPFSSEKWKGQIEKDLPRTFPGHPALDEDGRNALRRLLTAYARHNPSVGYCQAMNFFAGLLLLLMTEENAFWALTGIMDDYFDGYFSEEMIESQVDQLVLEELVRERFPKLVNHLDYLGVQVAWVTGPWFLSIFMNMLPWESVLRVWDVLLFEGNRVMLFRTALALMELYGPALVTTKDAGDAVTLLQSLAGSTFDSSQLVLTACMGYQAVGEARLQELRNKHRPSVISSMEQRAKGLRVWRDTNSLASKLYNFKRDTEPLVSLSEEQSNDLKDGDKNQEANSSNVDDMYHGLTVNSEIDSLPDPKDQVVWLKGELCQLLEERRSAVLRADELETALMEMVKQDNRRELSAKVEQLEQELSELRQALSDKQEQEQAMLQVLMRVEQEQKVTEDARICAEQDAAAQKYAAHILQEKYEEAMASLTQMENRAVMAETMLEATIQYQSSQQKAQLPSPSPSPRTPTRDASPGQANQDSSQEFQPRRISLLAPFSLGWRDKNKGKQNGTDELTNGKLNNNTDQGVETPKKDDEKKGDSPKEGEQMIQTPQRDSEPRLETPKMDSEQPSVELTTSNMNGQEDQLEEIKLD >Sspon.05G0017090-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:66785631:66791322:-1 gene:Sspon.05G0017090-3C transcript:Sspon.05G0017090-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAAAIISRLGLATSGSGAGSRVDCRTPTPAVGFAAGPRARSVAVAAAASSSPAIGGVAPVPPRSNHSVIKRHTLSVFVGDESGMINRIAGVFARRGYNIESLAVGLNKDKALFTIVVSGTDKILNQVVEQLNKLVNVIKVDDLSMEPQVERELMLIKVNAEREKLPEIMGLVRIFKAEVVDLSDHTLTIEVTGDPGKMVAIQKTLSKYGIREIARTGKIALRRERMGETAPFWRFSAASYPDLEVAIPSNFRQNTGARAIDQNPEESSGGDVYPVESYESFSSSQILDAHWGVMTDGDPTGFCSHTLSILVNDVPGVLNVVTGVFSRRGYNIQSLAVGPAAKEGTSRIITVVPGTDESIAKLVHQLYKLIDVHEVQDFTHLPFVGRELMIIKVAANATARRDVLDIAQIFEAQKVDISDHTITLLLTGDIDRMVRLQKMLEQYGICEVARTGRVALLRESGVDSKYLRGFSLPL >Sspon.08G0011600-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:46420280:46438097:1 gene:Sspon.08G0011600-2B transcript:Sspon.08G0011600-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPRAASGATGKSTAPYGSWESPISAAVVSAAGRTAEGFAIAGDGRLVWVETRPEDGGRAVLVKEAAEPGGKALDVTPQGFAVRSLAQEYGGGAFAVQGDTVVFSNYTDQRLYKQTIGDNSPLPLTPDYAGSVVRYADGVFDPHFHRFVTIMEGGPTDEARGILDLSVQYWTSRGWAFVDVNYGGSSGNKQAYFERSPINFVENFSCPVILFQGLEDMVVSPDQATKIYKAIKDKGLPVALVEYEGEQHGFRKAENIKFTLEQEMVFLARLVGKFKVADDITPIKIENFDYPTSAPAHLLQRALPSFRNRRAHPSQPRMSSSSAASSAPPAAAAAGGEKPAVAPYGSWRSPITADVVSGADKRLGGIALAGDGRLLWIEGRPEEKGHTCLFTFSVGAPPVPLTPDYGAPNVSYADGVFDPHFSRYVTVVEEPKVLISGNDFYAFPRIDQNKKRIAWIEWSHPNMPWDKSELWVGYFAESGQEGRSHLGVLDCDSGSVSLLDIPFSDLSDVVAADDYFYIGGASASIPRSIAKVTLNESKTKVVNFSIVWSSSPDLVQYRPFFSTPELVEFPTSKPGQKAYAYFYPPSNPNFQGLADEKPPLLVKTHGGPTAETRAILDLSVQYWTSRGWAYVDVNYGGSTGYGREYRERLLDKWGIVDVDDCCSCARFLVESGKVDGQRLCITGRSAGGYTTLASLAFRDTFKAGASLYGVGDLTLLRAETHKFESHYIDNLVGNERAFYERSPINFVNQFTCPVILFQGLEDKVVPPDQARKIYHALKEKGLPVALVEYEGEQHGFRKAENIKFTLEQMMVFFARLVGKFEVADDITPIKIENFD >Sspon.03G0002720-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:14679714:14683074:1 gene:Sspon.03G0002720-3D transcript:Sspon.03G0002720-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCGCGSSRPAMAKSKSDDSGNSWEYRLRKYLLLLAMLVATVTYGAAFNPPGGVWQDADPAHDHIAGDPIIRDINYRRYLAFFYSNATAFALSLVVIVLVLILAVLHERRCASLAPLRILRVVMVLDLFSLMGAYAAGAFRDKLTAIYSLVLLAGVVLYLAVQMALAWPEDRKEDSAPQGLRKVAQMAMASQEDSASERFHKTVHMALASHKDSAPERLRKVLMVLATFAVSVTYVAGLSAPGGFRDRAEGDYRPGDAVLKGSRHDERLKAFFVFNTTAFVASLLIIIILLDKKLTFSRNVRLGELYVFIALTLIGLVGAYSAGSCRQVDTTIYVNSLIGAVIACILLQAAAIKFCKKAIKKFCKASTKNSCLCNGEGSIHGKVSEWLRRAKQCCLGPTTQDPSTGNEDASVKQQQTQALENARSLVLLLATLAAAITYQAGLNPPGGLWQDDGDGYKAGDPILLTMSPRRYKAFYYCNSVAFVASLVAIVLVRMKTLHHHNALEAAMILDLFGLIGAYAAGSCRDVSTSIYAVALAGAVLVYVVIHVVLFTLDHNDDGSTRRNDEASTEEKKDAELEMVKKRRKRLLLFAILAATITYQAGLTPPSGFLAKNDLGTGNHAGDPVLLNNYPRRYTAFFYCNSVSFMLSIALIILLVNPNLYRPAIQSNALSVCTAVGMMGIMGAYAAGSTQHRKTSIYIFALAGFVLSVVILLVVLFLVIDPDKKNKTADESNQEDGSADEAARKAGVRATVSPPVPASQGVQMRQPRKAGLLTL >Sspon.02G0041770-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2B:78060294:78063243:1 gene:Sspon.02G0041770-1B transcript:Sspon.02G0041770-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMWTASKLRLRSPHYACQPQEPAESI >Sspon.06G0010320-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:56654232:56665096:-1 gene:Sspon.06G0010320-1A transcript:Sspon.06G0010320-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARFFRSGNLASRVFDRQLLSPRPGAAVNTIRQFYENLVPSYTIYDIDCPDYSFRKFTDDGKYLVAFSRNHQDLIVYRPIWLTYSCNEDCDSHDLPAKSKKFDSFFKQLYSIPLASSNEDICKDFFLYMECNQFGLFATSTAQSNDSTATEGAIEKITFYLVRLEDGVILDEKAFCNDFINLVHSIGAYLYEDLLCIVSLRYQTVHILQIRDSGNLVEVRRIGAFCREDDELFLHSHAQTGYGGSFLPGIKQRLLSYIFRKTWNEVPDQTLRVQHLKKKFYFHFQDYVDLIIWKFLDRHHLFIKFGSVDGGVSRSTDQNLAFFAVYNMETTDIVSLYENSSEELYSLFEQFYDHFHANPQDSSHGKFISSHSNDVHALDQLRTIKNKASSSSQLQFVKMMMASLPYTCQSQSPSPYFDLSLFRYDEKLISAIDRHRHCTEHPIKFISVRQPNVVKFKIKPGSDSGASDSRAKRIPSFLFHPFFPLALSIQQTYMQPTVVNIHFRR >Sspon.01G0000820-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:1684556:1686476:-1 gene:Sspon.01G0000820-1T transcript:Sspon.01G0000820-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DADGRHDRPAPDPRHLRMYNSLVERCFTDCVDTFRRKTLDKQEESCVRRCAEKFLKHSMRVGMRFAELNQGVATPD >Sspon.06G0005920-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:18663508:18663826:1 gene:Sspon.06G0005920-3C transcript:Sspon.06G0005920-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding KIGLKKGPWTPEEDQKLLAFIEEHGHGSWRALPAKAGLQRCGKSCRLRWTNYLRPDIKRGKFSLQEEQTIIQLHALLGNR >Sspon.02G0028600-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:125934656:125938984:1 gene:Sspon.02G0028600-3C transcript:Sspon.02G0028600-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFAGRVSALLFLLVAGAVANDQIFTTSGVTFGRSSREPRYRVEFHPVDSPFHPENGQESVPMANHEGKRYKCFLPVEETKTMKSMLPQNATNVIVESERRIKPKEPDELLEVLKDQCLYRHEGWWSYEFCYHGKIRQVHVDDDKVIQEFVLGEFDDDATAAYHENSTSELADDKYQVKDISKRYHVHLYTNGTVCDLTDIPRETEVRFVCSEPTVLISSIKEISSCKYVVTIQSPMLCKNPLFQQEKRTLSIHCNELPAKAESSAEDDALPKEAQISIIPDQDDLHGFQLMLLDMPPIIMSDVEKAQFWRRSGN >Sspon.01G0013870-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:45225516:45229299:1 gene:Sspon.01G0013870-2B transcript:Sspon.01G0013870-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPYATAAEAEAALGRAMTPAEALWFRYTAGVSDYHLYCCNILFLFVVFTVAPLPVALLELRAPAAVSPYKLQPRVRLSRAEFVRCYKDVLRIFFLVIGPLQLVSFPMVKLVGIHTKLPLPSLAEMAAQLLVYFLVEDYLNYWIHRLLHGEWGYQKIHRVHHEFTAPIGFAAPYAHWAEVLILGIPSFVGPAIAPGHMITFWMWIILRQVEAIETHSGFDFPFAPTKYIPFYGGAEYHDYHHYVGGQSQSNFASVFTYCDYLYGTDRGYRFHKAYLAKLKDLGQHDGEKGDGSGLSYVKLD >Sspon.04G0016880-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:61756059:61759596:-1 gene:Sspon.04G0016880-1A transcript:Sspon.04G0016880-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVVCVTGAGGFIGSWIVKMLLARGYAVRGTSRRADDPKNAHLWALDGAAERLTMLQVDLLDRDSLRTAFHGCHGVIHTASPMHDNPEEIIEPIIAGTRNVVEVAADAGVRRLVLSSTIGTMYMDPHRDPDAPLGDSSWSDLEYCKSTQNWYCYAKTIAEQGAWEAARARGLDLAVVIPVVVLGELLQPSMNTSTLHILKYLTGQTKEYVNESHAYVHVKDAAEAHVRVLEAPGAGGRRYVCAERTLHRGELCRILARLFPEYPIPTRCKDLVNPPKKGYKFTNQPLKDLGIKFTPVHEYLYEAVKSLQEKGFLQKTSNTK >Sspon.05G0014870-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:54668134:54669041:-1 gene:Sspon.05G0014870-2B transcript:Sspon.05G0014870-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAARRRLSAASTSLLRRRLSAQTQPTASPAQPPPEVTDSGPGAWAGRAVALSLLGLTGAVAASAVSDLSVFLSCSSQAIEKATQNQQIVNAIGKPIVRGPWYSASIAVNHVKHSVSCTFPVSGPQGNGLFKLKAMRLG >Sspon.02G0022220-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:72357193:72363590:1 gene:Sspon.02G0022220-2B transcript:Sspon.02G0022220-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAARGAAARSPLLVHHHRRLPLVHPGGGGSLRVGGPGREGRRRARVGVRVFARYSQAQDFSTRLQDRVGELPKLVEDLLQTSISTGPRGAFRMAQGIQAVLGVGGEWLNDLSKTANTSAGIPSQMQLGLLSPLYLRRLFERMGATYIKLGQFVASAPTLFPAEYVEEFQKCFDRAPAVPYDVIESILREELQRPLDSVYEYIDPVPIASASIAQVHGARLKSSQKDVVIKVLKPGIEDTLVADLNFIYVVARVLEFLNPELQRTSLVGIVKDIKESMLEEVDFRKEAANIQAFQGYIDAMGFDRQAKAPFVYQHCSTKRVLTMERLYGVPLTDLDSIRSLVPDPELTLVTALNVWFGSLISCESFHADVHAGNLWLLRDGRIGFIDFGIVGRISPRTWAAMEVFLASFATEDYDAMASALSEMGATGNDININEFAKDLQKIFSSIQDLDTEIIVATARTPDATAVSANVVLDERQMNALFLDLVRVSESYGLKFPREFALLMKQLLYFDRYTRLLAPSMNMLRDERINISTNRQPRRTDRFQ >Sspon.08G0012080-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:47880826:47881226:-1 gene:Sspon.08G0012080-2B transcript:Sspon.08G0012080-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VVDGSRCTGTDEEEEVRAEARAGAQEGAGEPFLLAAALQAAAVPQEPRPSRPPRQPRPRQRRPRRAGARRRKQRSLASYRRNSPGPRQASTVHGHDDDDDGGSQRV >Sspon.08G0001930-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:4231216:4235913:1 gene:Sspon.08G0001930-2C transcript:Sspon.08G0001930-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRMAKLLLKLQSAAAADRRHGPGSGRRHPLAPRHAPAATRFVPSCRALCLLALAAAATMLALALKLQNHRLGPADPSSSAAAISPRIPFPTKANLTPPRFHAAPALHCQPIQQSVCHSPAFSRSISNARRLRSSGFAVVINTWRRPALLRRSVAHYAACAGVDAVHVVWSEPRPPPETLRRDVLGLNGTRRGADVRFEINDADSLNNRFRPIRGLAAEAVFSVDDDLIVPCSTLRFAFSVWQSAPSAMVGFVPRMHWPTNQRGSEEEYLYGSWWSVWWTGTYSMVLSKASFFHKKYLDMYTNQMLPSIRKYVNENRNCEDIAMSFLVANATGAPPVWVQGRIFEIGSSGISSLKGHGLQRSRCLNKFAVMYGHMPLVATIVKAVDSRRSWFW >Sspon.02G0016410-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:45113297:45114198:-1 gene:Sspon.02G0016410-1A transcript:Sspon.02G0016410-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNKMEIDEKLIHQIELCPSAPRRYSRRELAAATHGFAEAEKIGRGGFGPVYHGFLADQERHVAIKVLSSSVQAQGQGMREFQAEVKVMTRLRHRNIVQLLGWSGEAGGLMLVYELVPNGSLDKHLHDPQRLLAWTDRYKIALGVGSAILYLHTECEQCVLHGDIKPANILLDTSCNAKLGDFGLARLVDHGTDSRTTQVVAGTPGYMDPEFVNNGRPSAEADVFSFGVVLLELACGRRPTAAQQPNARTPVLLVNRVRDMYHKNTVLGAAEIGG >Sspon.07G0019440-3P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:71616751:71617315:-1 gene:Sspon.07G0019440-3P transcript:Sspon.07G0019440-3P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding HGEVAVLREGAHEQGRLDQGGGPAARRLHQGPRRRLLAVAPQGGGPAALRQELPPPVDQLPPPRPQARQLHPGGGRTHHQAPPDPRKQVVADRRPAAGSNGQRDQELLEHAHQAQAHRPRHRPTDAPACECCRRCPCPRRCLRAKQPSPPCRRQGSG >Sspon.04G0013590-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4B:48357882:48358238:-1 gene:Sspon.04G0013590-2B transcript:Sspon.04G0013590-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKNKGKGGKNRKRGKNEADDEKRELVFKEDGQEYAQVTRMLGNGRCEALCIDGTKRLCHIRGKMHKKVWIAAGDIVLVGLRDYQDDKADVILKYMNDEARLLKAYGEIPDNVRLNEGV >Sspon.02G0050210-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2C:52301706:52302011:-1 gene:Sspon.02G0050210-1C transcript:Sspon.02G0050210-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEESTTESVDEMLQRLQLLLLRARVILEEADGRRKYHKPSHAAATEHPEKEMFRGYYVLGSFRGNQASQDDARGQNNVRPSFIVSEETKPAKTMPEVRTT >Sspon.02G0026280-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:91379896:91384830:-1 gene:Sspon.02G0026280-1P transcript:Sspon.02G0026280-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPHPLSWADAPPYHYHGTAPQPAPDSSASSTDRPRSLWIGGLLHWMDEDYLYACFTTSPELLSVVIRRSKQTGQSEGFGFLKFADHTAAAQILKSYNGQKMPNAVRDFKLNWATQRPSTEKLPDPDFKIDPAMQQDAPQRHADNDSSSEHSIFVGDLSYDVTEYMLHHLFKTRYPSVKSAKIIFDRFTGRSKCFGFVQFGDVNEQTQALTEMNGAYCSTRPMRIGPVPNKKNFFHNKQGTESYHDNNSRLFVGQLDQSVTYEDMMQAFRPYGELIDVKILAGKGCGFVTYSNRASAEEAIRMLNGSQLGGKAIKISWGQRSADKQELISIQVVFVYMHILFLHKYGQAQRTSGGQFVRDSFGWSPQDPYAYAQTGHPGYGYYQQQLSTVQWTIRARLRHRLAMLVMLSVVSVADDDDDEQQQGSLPPDPEAAATTSQLSAFQVSAMTPWTAARASSSPAHPGSAMQQAMDDGASPRSNSASCDAQSLQRRKMVEDSSTSLG >Sspon.08G0004920-3C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8C:15176731:15180625:1 gene:Sspon.08G0004920-3C transcript:Sspon.08G0004920-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASSASLEDLKNENVDLESVPIQEVFAVLKSSPHGLTSTDGASRLQIFGPNKLEEKKESKLLKLLGFMWNPLSWVMEAAAIMAIVLANGGGRPPDWQDFVGIVTLLFINSTISFIEENNAGNAAAALMASLAPQTKARPCDAAFVLRDGKWSEQDAAILVPGDIISIKLGDIIPADARLLEGDPLKIDQSALTGESLPVNKMPGDSIYSGSTCKQGEIEAVVIATGVHTFFGKAAHLVDSTNNVGHFQKVTYTTPPSDHVLTAIGNFCICSIAVGMLIEIIVMYPIQHRQYRDGIDNLLVLLIGGIPIAMPTVLSVTMAIGSHRLSQQGAITKRMTAIEEMAGMDVLCSDKTGTLTLNKLTVDKNMIEPFVKDLDKDAVVLYAARASRTENQDAIDASIVGMLADPREARAGIQEVHFMPFNPVDKRTAITYIDSDGSWHRISKGAPEQIIELCRLREDLSRRVHAIIAKFADRGLRSLAVARQRIPECNKDAPGTPWQFLAVLPLFDPPRHDSAETIRRALNLGVNVKMITGDQLAIGKETGRRLGMGTNMYPSSSLLKDGDTGGLPVDELIEKADGFAGVFPEHKYEIVRRLQERKHICGMTGDGVNDAPALKKADIGIAVADATDAARGASDIVLTEPGLSVIISAVLTSRAIFQRMKNYTIYAVSITIRVVLGFLLLALIWRFDFAPFMVLIIAVLNDGTIMTISKDRVKPSPMPDAWRLQEIFATGVVLGTYQALATVLFFWAVRDTQFFTNTFGVHHIGDSTEELMAAVYLQVSIISQALIFVTRARSWFFVERPGLLLVAAFLAAQLVATLIAVYAHWSFAKIKGIGWGWGAVIWLFSIVTFFPLDVFKFAIRYFLSGKQWNNVFDNKTAFANELDYGKSKREAQWAIAQRSLHGLQQPEASGLFNTDNSNDFIELSEIAEQAKRRAEIARLRELHTLKGHVESVVKLKGLDIDTIQHNYT >Sspon.02G0004660-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:17723535:17727841:1 gene:Sspon.02G0004660-3C transcript:Sspon.02G0004660-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding HHLTPRLLGPSRAAHCPPTLPLRRHGPRPFRLRRGRRPRDPRTAEPRQAPRPPPPAPARRPPPSASPHSARRFPPPPPSGASSGPAATSCSSSPSHSSSSSSSSPSGGASSLRLPSSIRLPFAAPAADPAASRMREAELHALYLLRSQRSGLLSLFNRTAASTNGSTPAPISLSDLQSALESQIKINREIQAALLSSHHSGSGNATEDGLDLDLPFAGCRRRELPANRRTIEWNPKKDRFLLAICISGQMSNHLICLEKHMFMAALLGRTLVVPSQKADYQYDRVLDINHINDCIGRKVVITYEEFVEKRKKVAIDQLICYTASPPCFLDEDHIKKLKGLGISLGKIEAAWPEDAKLKEPKKRFVGDIAPKFSTEAEVLAIGDMFYADVEDEWLNQPGGPLAHKCKTLIQPSRLILLTAQRFVQTFLGGNYIALHFRRHGFLKFCNVKKESCFFPIPQAAECILRIVEKANAPVIYLSTDAAESETNLLQSLVVFNDRQVPLVKRPEHDSSEKWDALLHRNHMGGDTQVDAMLDKTICALSNVFIGSSGSTFTEDIFRLRRGWGSTSHCDEYLCQGELPNYIAELD >Sspon.01G0009130-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1A:25540536:25541360:1 gene:Sspon.01G0009130-1A transcript:Sspon.01G0009130-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVEESSQDLLQAYVELWHQSLCYAKSMALAVALDLRIPDAIHHHGGGATLPQILAQTALHPNKLRALRSLMRMLTVFGTFSVQQPPTSGDDSTVDASGEAVYRLTAASRFLVSDEVSSATLASFVGLALHQIAVAPHTLGLCAWFRQEQNEPSAYALAFRQPTPTIWQHADDVNALLNKGMVQDSRFLLPIVVRECGEVFRGIDSLIDVAGGHGGASAIIAAAFRTSSAACLTSRTLSLVLHLMATCSLSQAICLRVFHLQPLFFSRYIRHC >Sspon.05G0008810-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:26188582:26191977:1 gene:Sspon.05G0008810-1A transcript:Sspon.05G0008810-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRSAPLLRRLVSASSPQPLPGHGGGLARRTVTYMPRPGDGAPRAVTLIPGDGIGPLVTGAVRQVMEAMHAPVYFETYDVHGDMPTVPPAVIDSIRRNKVCIKGGLATPVGGGVSSLNMQLRKELDLYASLVHCSNLPGLPTRHQGVDIVVIRENTEGEYSGLEHEVVPGVVESLKVITKFCSERIAKYAFEYAYLNNRKKVTAVHKANIMKLADGLFLESCREVASKYPGIQYNEMIVDNCSMQLVSKPEQFDVMVTPNLYGNLVANTAAGIVGGTGIMPGGNVGQDYAVFEQGASAGNVGNENIVEQKKANPVALLLSSAMMLRHLQFPSFADRLETAVKRVVAEGTYRTKDLGGSSTTQEVTDAVIASLD >Sspon.04G0007480-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:22479872:22484500:1 gene:Sspon.04G0007480-4D transcript:Sspon.04G0007480-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative nuclear RNA export factor SDE5 [Source:Projected from Arabidopsis thaliana (AT3G15390) UniProtKB/Swiss-Prot;Acc:Q9LUQ3] LIVHKRFPFGKVLTMDLSHAMTSSSDDETRALSTLLDVFSCAFSLDDIADAYIKANGDVNKAGDFLTDLQLSLPHINDVESSVETNLSHTDKAVEENCMDNSSQPRTLPWTEQAIEEKHIKNSDQTKMPEKLHKSSAAFGTVSSMLGKEPTRATTTASTASKKDKPLRVELPEYMRDDFKMKSDESDSAPRRETLNDRDVEEFLFCMLGEGFKLSMELIREVLGSCGYDIKKSMEELMSFSEKDPDKKAESKHNAIQDVAVECSVPKGICLGSQSTEGMQRSKPKISPGELIEAIFTVPERLEEEPKLKRYELGANRNRVPYQKPVVKPLEDISTYSTEFPVKVIVGSKDLKTNNVRSLNKYSVFIYCLCCVLLKLQAADAFREGNQKEVDYLIQEGKRCYQMARLADEKSAGEIIKPKETESKNEFCLDLRKQDAANVSNLLRLHLKQLANIPSFDYLKVIIGVDDGSFKMGQRRRKVMKYVEKNSLKWTEEEPRSGNILIRINQ >Sspon.01G0048670-1T-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1B:110071842:110072414:-1 gene:Sspon.01G0048670-1T transcript:Sspon.01G0048670-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATATPRTQEEVKASTGKVTVAEVTLRKFELSDVDAMMAWASDPQVAAPCRWDAYESTEPLLAFIRGVVLPHPWFRAICLESGRPIGAVSVSPTGDPCRAELGYVLARAHWGRGVATAAVKRTLATVFGEVQGLERVEALVDVANPASQRVLEKAGFTREAVLRKYAAVKGVVMDMVMFSFIDTDPVPE >Sspon.05G0006080-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:10907752:10908255:1 gene:Sspon.05G0006080-2C transcript:Sspon.05G0006080-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTPRGLLLAIVLLAAGAAVVPASAKDYTVGDSSGWKPGVDYTAWAKGKPFAIGDTLSFQYTSAHSVLEVSEADHSACSASNPLRSHRDQSTTVPLTKAGTRYFICGTPPTAPRG >Sspon.03G0006350-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:32905895:32908395:1 gene:Sspon.03G0006350-3C transcript:Sspon.03G0006350-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIDLPPAPAPVAEEVKVVEEDEEVVVEVEGGGCGGGAVVVAAADAEAEGHPYDFHVSGPRNLPPPNWKEIIRSSWKDPNYKRMVMACFIQAVYLLELDRQDQKGEEDGLAPKWWKPFKYKVTQTLVDDRDGSIYGAMLEWDRSSALSDFILIRPSGAPRAVLALRGTLLQKPTMKRDLQDDLRFLVWESLKGSVRFVGALAALKSAVEKFGSANVCVAGHSLGAGFALQVCKELAKQGVFVECHLFNPPSVSLAMGVRSMSEKASYLWKKVKGSLPLKEETPPPLETAKVEASDKKRLRTEKKWVPHLYVNNSDYICCHYNAPSCSSTTTDGASDEQQQQRKASEIAGDVVAKLFVTSKGPQKFLEAHGLQQWWSDGMELQLALYDSKLINRQLKSIYTTTTTMPPPAKS >Sspon.05G0011550-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:27875600:27876519:1 gene:Sspon.05G0011550-2B transcript:Sspon.05G0011550-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWPPPSTSPSPSLVVRSPRQTVSLIRNRRPHRDWAPSTRSSSFAARDHGPKPSEVYGFVGSITTVIATAVYLAWAYTPEPVLRSVGITYYPSKYWALAVPSFLIVAVVLSMGIYMGLNFVVTPPPTSCSTIFDENSRERTTFSPAIEEEAPIEPISDISIDQINNLMFGDR >Sspon.08G0014020-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:53698000:53702350:-1 gene:Sspon.08G0014020-2B transcript:Sspon.08G0014020-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDVLEGPSRVSLNCPISFRRIKTPIKGRLCKHYQILEETGDDVTGVLVFADGSWKADPAQDEKSDRHRGDAIQQTGDSIENDSPSSDVIDLINGNDDGDLQMDWVSAPEDTKPLLSSQDLSVSDYLTDLPMAVQTEDLYRGGGNNGGSNMAFASSGLGSSSFGTLESILPQNVLRPVITDAVSPSLETSTSTSGMQHVSQETHCGTVQLPAQIGPVHGSEVRRLPIPRNPRREPVGVQALAVPQQNPGSSTRTFATHRAIYPVDLLVCLRHTSWVHDHHQQFQDRLEERTPTDLCNRPRLSIN >Sspon.03G0018820-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:80962218:80962727:1 gene:Sspon.03G0018820-2B transcript:Sspon.03G0018820-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTLLQPNRADQATASSHSHTGAPATSLLLLLLLLVVAAAVAAVVVSLCTSGKNARPRKQRRSSSLAPAPQQELDGGGASRNKQLLASLSGIGVKAAAVAKMVSWNRRSPPASGWSSGGDDVAADGDGAAEEEEEALWKKTIILGDKCRPLEFSGDIAHDSDGNPMQP >Sspon.01G0011230-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:25508964:25510601:1 gene:Sspon.01G0011230-2C transcript:Sspon.01G0011230-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATVATSTRVPLPLLPTAGRPLLPSGLARPRRRFASISATSTFGAGGGGGGRMSGGGGGGGDDSGAGAAAAAAAVAALGEAEQQAEGDSDAIVLHVGGMSCGGCAAKVKRILESQPEVAAATVDVEKATALVWATPEAKATKDWQKQLAEKLANHLTSCGFQSHLQDEGEAEQTDS >Sspon.01G0005290-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:12469480:12471188:1 gene:Sspon.01G0005290-3D transcript:Sspon.01G0005290-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSTDTVAVAVAPAKRPPINKYACACALLASMNSVLLGYDISVMSGAQLFMKEDLKITDTQIEILAGVINIYSLFGSLAAGFTSDWLGRRYTMVLAAAIFFTGALLMGLAPDYGLLMVGRFVAGIGVGFALMIAPVYTAEVAPTSARGFLTSFPEVFNNFGILLGYVSNFAFARLPVHLSWRAMFLVGAVPPVFLGVAVLAMPESPRWLVMRGRIDDARRVLQKTSDSHAEAEERLLDIKKVVGIPEGVSDADDVAAIVRANKGSSRHDGVWKELLINPSRPVRRMLVAGLGLMFIQQATGVDCVVMYSPRVFERAGIKSKTNSLGASMAVGACKTFFIPISTLLLDRIGRRPLLLASGGGMAIFLFTLATSLHMMDRRPEGEAAALGAVSIAAMLSFVASFASGLGPVAWVYCSEIYPLRLRAQAAAIGTGLNRIMSGATTMSFLSLSNTITIAGSFYLYACIAAAGWVFMYFFLPETMGKSLEDTVKLFGKDADDEDVGDSRRHVPSQKPSTELSAQQ >Sspon.01G0010310-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:28969040:28973256:-1 gene:Sspon.01G0010310-3C transcript:Sspon.01G0010310-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFSPRRRAIPCSRAPSPAVVQLQQVHAAAGPSAMAGDWQPEPDRATPAPLNARALHAVRATHVAAVRLLPRRRRLIGRHGVARFFLKTHDLAFLDRPAVAAARHIIYNGSDVLWAPYGPYWRQWRKLYQNELLSAGWIKSMEHIRSEEVRCMLREMSAAASRDAGAVVRLKDHLSMASFNVVSRMALGRKYIFDGAGSLMPPEAFRWMIQEFFFLNGAVNVGDVILWLSFLDLQGYIKRMKRLRKMIDGSSDPSHPDIIAAGADTSAVATEWALSELLRNPEVMAKATDELDRVVGGGRLVTEADIPRLPYLEAVVKETLRVHPVAPLLAPRLSREDTSVGGYDIPAGTRVFVNAWAIARDPALWSDASEEFRPERFVGSGVDAKGHDLEFLPFGSGRRMCPGLGLGMKMVQLIDASANLLQAFAWRLLDGV >Sspon.06G0032590-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:57266450:57269596:1 gene:Sspon.06G0032590-2D transcript:Sspon.06G0032590-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLGWMHRKVRQNSNDVFKEFNNAGGGTCNCITGLASPDPATFLTPANEYFAGDHDFTNNQSPPPDADLFTFGGSGLLTIGTLGIAAVAVPADDYDVDVDADSDSDDNDDTADEGDKVDSAVTLTFTYTAPPPPESAAVVEKAAVAVVEAIAEKDDDTTTEDDLMVVSEELEKVLGGRNSGAAGDLVASARVSFAMGGVDCPLQGFLFGSPVSDAAESRLEQPRDSNGGRRTSLGELFMRTRFADEKVALVAVEEGEDGGDGGAAGGERDDGKAGKGGGGCHKTMKKRRVKDEKVAAGEGAPASAAVTKSKFQK >Sspon.03G0007840-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:21266641:21267963:1 gene:Sspon.03G0007840-1A transcript:Sspon.03G0007840-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDASQGVLLSSSLVGGAKGSASWPELLGSAHWKGLLDPLDLTLRRLILLCGDLCQVTYDSFNSDSHSKYCGSCRYSRSTLFARTLFPAAADISPAAYLYGTSQVSFPGGVMVFSLSREAWSKESNWIGYVSVSTDAAAAATGQRVIYVAWRGTIRTLEWVDVLKPELVSPDAILPEGDPARGHARVMKGWYLIYTSSDERSPFSKYSAREQLLAAVRELVARYKGESLSIVCTGHSLGASLATLCAFDMAVNGVSRVGGADIPVTAVVFGSPQIGNPEFKKRFEELPNLRALHVRNTPDVIPLYPSGLLGYANVGDVLAVDSKKSPYVKDDSTNLGDYHNLQGILHTVAGWNGKDGEFKLQVHRSVALVNKSSAFLNDDNLVPESWWVERNKAMVIGETGLWQLEPPAEGNLPVPPVVTGTVIDGDGDVAAAPATTTTTMA >Sspon.03G0024800-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3A:75289975:75290823:-1 gene:Sspon.03G0024800-1A transcript:Sspon.03G0024800-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MELPACVNATTCLPRAPRVTRVPRGCSANIYTDNASYRQFIRAHFGCTPVEMESAAVALVAHQHGVPFITIRSLSDLAGGGSSLSNEAATFLDIAAKNAVDVMLKFVPLLGRGGEQEQADGLAEDM >Sspon.07G0012360-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:49786549:49790231:-1 gene:Sspon.07G0012360-2B transcript:Sspon.07G0012360-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein MAEA homolog [Source:Projected from Arabidopsis thaliana (AT3G55070) UniProtKB/Swiss-Prot;Acc:Q9M2V9] MDMAIDTPSPAPPPTPPAPSAAAGRQTRAAEAVRLEHQLVRVPLESLRATARSNHRLAEKEIAAVLSSASAAPAESSAAAVDHLTSLVSRLHGLKRKMEEGARAEELQVQRCRARLDRLATTSTGDDAEWEDIRLKRILVDYMLRMSYYDSATKLAEISGIQELVDIDVFLDAKRVIDSLQTNEVAPALAWCAENKSRLKKSKSKLEFLLRLQEFVEFVKAKNCIQAIAYARKHLAPWGSIHMKELQRVTATLVFRSNTNCTPYKILFEQDRWDYLVDIFKQDFCKLYGMTLEPLLNIYLQAGLTALKTPFCTEGSCPREDPLSLEGFRKLAEPLPFSKQHHSKLVCYITKELMDTENPPRVLPNGYVYSEKALQEMSKKNDGKITCPRTGDVCDFSECVRAFIS >Sspon.02G0028730-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:104578928:104584947:1 gene:Sspon.02G0028730-1A transcript:Sspon.02G0028730-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Intermediate cleaving peptidase 55, mitochondrial [Source:Projected from Arabidopsis thaliana (AT1G09300) UniProtKB/Swiss-Prot;Acc:F4HZG9] MPLKDGAIAQAKQIVSRAPAVWESAAQEVFDEMPSEVVWDEEKLSDMTVHVGLLQQLTLGKMACCTAILGERWLVATASLGERVASRFLSASHGLVQRAAYTAGGIVDVGQPTPQSHPELLADGEITPGITNEEYISRRKKLLEVLPEKSLAIIASADQQMMTDVVPYPFRQNGDYLYITGCTQPGGVAVLSEETGLCMFMPDTNKEDVVWQGQTAGVEAAVDFFKADKAFPLSQMQKILPELIERSKGVYHNAKTTSSYKNLDAFRRASLNNKVKDLTNYTDELRWVKSKSEIKLMRESASIVSQSLLQTMLLSRTHREESQLAAKIEYECKMRGAQRMAFHPVVGGGANGSIIHYSRNDRKIKTGDLLLMDVGCEYHGYLSDLTRTWPPCGRFSPAQEELYSLILETNKECIKLCKPGTSINEIHNHSVKLLIKGFQELGILEKGKSIQYNYLNPTAIGHSLGMDIHDSMTLPKDKPLEPGVVITIEPGVYIPASPVLNERAPGRYRGMGIRIEDEVLVTDDGHEVRTLLLRNIPTLGVGVRFDFDFDVRSMLLLMGDERMNG >Sspon.01G0046040-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:20948018:20953814:-1 gene:Sspon.01G0046040-1T transcript:Sspon.01G0046040-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GFSIYAYELQRGERREVRTAVTLSWRRLGVGESAAEAEEMVSGADVGGGGSSNGSGVVEIDEDLHSRQLAVYGRETMRQLFASNVLISGLNGLGAEIAKNLALAGVKSVTLHDIGNVEMWDLSGNFFLSEDDIGKNKAVACVAKLQELNSAVLIYTLTEELTTEHLSKFQVVVFTDISLDKAFEFDDYCRNHQPPISFIKTEVRGLFGSVFCDFGPEFTVLDVDGEDPRTGIIASITISTDNHTIVSCVDDERLDFQDGDLVVFSEVQGMTELNDGKPRTVMCAGPFSFCIEDTSKFGTYTKGGIVTQVKEQKILKFKSLRDSIREPGDFPLCDFSKFTRPPLLHFAFIALDKFRKEFGRFPGVACRLDARRFLEFTASINEATIDYKIEGELDQNLLRLFASGSKAVLNPMATMFGGIVGQEVVKACSGKFHPLYQFFYFDSVESLPTHQLDPKDLKPLNSRYDAQISVFGSKLQKKLRDANVFVVGSGALGCEFLKNLALMGVSCSRKGKITITDDDVIEKSNLSRQFLFRDWNIGQPKSTVAATAASAINSCLHIDALQNRACLETEHVFHDAFWEGLDVVINALDNVNARMYMDMRCLYFQKPLLESGTLGTKCNTQVVIPHLTENYGASRDPPEKQAPMCTVHSFPHNIDHCLTWARSEFEGLLEKTPKEVNSFLSNPAQYAASMKKAGDAQARELLERVCECLEKECCETFDDCITWARLKFEDYFSNRVKQLTFTFPEDAATSVGAPFWSAPKRFPHPLEFSAADSSHIHFIMSASVLRAVSFGISIPDWAKDTDNLADAVSKVAVPEFKPKSGVKIETDEKTKNISSASVDDAAVIEDLLTKLEACAKKLPPKFQMKPIQFEKDDDTNFHMDLIAGLANMRARNYGIPEVDKLKAKIIAGRIIPAIATSTAMATGLVCLELYKVLARGHPIEDYHNTFANLALPMLTISEPLPPTVIKHRDMRWTVWDRWSIKGDITVAELLKWLSDKGLSAYSVSCGTSLLYNTMFPRHKDRLSRKIADVAKEVAKVDTPEYRKHLDVVVACEDDNGNDVDIPLISIYFR >Sspon.03G0020510-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:82970021:82975831:1 gene:Sspon.03G0020510-3C transcript:Sspon.03G0020510-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANGEDLRGPLLGVRDDGGTVWWDRDDDPPRGRRSSRPWTALAIAAALLALAGVVLLLTYGSDEATRRPGPGVPRGPAGAARRSRSPPHEVESCVGATAADDARCSEVGAAALRAGGHAVDAAVAAALCLGVVHPMSSGLGGGAFVVVRDAASGQAVAFDARETAPAAATPDMYAADPTTKYRGALAMGVPGELVGLHAAWTRYGRLPWRDLVAPAIRLARDGYEVVAYVARALKESEDDVLADPGLHAVFAPGGKVLAAGETCRNPALAATLERVAEEGPAALYGGTVGEDLVRDVTAAGGILTVDDLRGYEVEVSEAMRADAMGYTFFGMPPPSSGTVGMALILNILRGYKSLEFLKGFLGIHRIIESIKHMLAIRMDLGDPDFVNVTGDVAAMLSKPFADKVRQRIVDNTTFPPGYYFPKWKQLDDHGTSHLCVVDGDRNAVAMTTTVNYYFGAKVLSPSTGIVLNNEMDDFSVPAKWTPDHLPPAPANFIAPGKRPLSSMTPLIILKNGQLAGVLGGSGGTNIIATVTQVFLNHFVVGMDPLAAVQQPRVYHKLIPNVVTYENLTVPDGEVIALSGAARAFLEERGHWLKSTASGAVCQFIVHELAEPPAAPGHGVFRGSLTAVSDPRKGGSPAGL >Sspon.02G0027010-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:97333256:97333851:1 gene:Sspon.02G0027010-1A transcript:Sspon.02G0027010-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGGGRGKPKGTKSVTRSTKAGLQFPVGRIARYLKAGKYAERVGGGAPVYLSAVLEYLAAEVTDSSPSPAPPPYLSPLLPSANPFGCVGAGGNAARDNKKNRIVPRHIQLAVRNDEELSKLLGAVTIAAGGVLPNIHQTLLPKKAGGKGKADIGSASQEF >Sspon.04G0007310-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:21659236:21660903:-1 gene:Sspon.04G0007310-4D transcript:Sspon.04G0007310-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFVAHHHGSLLEREGKMSALALRSSLWPSEAAAEEVAAGPAACGGGADRGVAVFADEFSVEDLLDLEDLCEVDKDCAAELGDAAPAPGPVEEEDKLSSDSHGSSSVVSYELMTLPVPHQMIDLPLPAHDAEELEWVSRIMDDSLAELPPPPKLPAAPLGAAARRPLEGATAGPAMRRSPTPTICALSTEALVPVKAKRSKRSRASVWSLSGGGPPLSESTTSSSSTATTSSCTSSSASFSPLLLLPAADSSSLFLSSSHLLLDETTPRPPKKKSKHGKSGGKPKKRGRKPKKHHPRPPQFVGGGGAGSAPTAAQQGDRRCSHCGVQKTPQWRAGPEGAKTLCNACGVRYKSGRLLPEYRPACSPTFVSSIHSNSHRKVLEMRRKKEDVHGPLPLPPVPAALL >Sspon.01G0020400-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:75975622:75979243:1 gene:Sspon.01G0020400-1A transcript:Sspon.01G0020400-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGSNWLGFSLSPQTAMDVPSASEPAPAHHAPPPSSTMISSSTTNATTSNFLFSPMAAPYPGYYCVGGAYGDGTSAAGVYYSHLPAMPIKSDGTLCNMEGMMPSSPPKLEDFLGGGNGGSQETDAYYSHQQGQEEGASRDYRQYQHHQLVPYDIQPLTEAEMLQEDAVPMEEAMAAAAKNFVLTSYGACYSNGEMHPLSLSMMSPGSQSSSCVSAAPQQQQQQQHQITAVATAAAAAAQGRSNGDGEQCVGRKRGTGKGGHKQTVHRKSIDTFGQRTSRYRGVTRHRWTGRYEAHLWDNSCRKDGQTRKGRQGGYDTEDKAARAYDLAALKYWGPATHINFPVENYRDELEEMKGMTRQEYVAHLRRRSSGFSRGASIYRGVTRHHQQGRWQSRIGRVAGNKDLYLGTFTTQEEAAEAYDIAAIKFRGLNAVTNFNITRYDVDKIMESSTLLPAEEARKVKAIEAANNAPMMHNGVRELNPAEETGAGWRMVLHGSPQEAVHCPEAADLQSGIMSESHPSLHGIVGLEKIESAVHDHHLDVPGKTTGSINFSNSSSQVTSLGNSREGSPERLGLAMLYGKQPSAVSLSTMSPWMPMEAQTVAQVLKQPNVVSHLPVFAAWADA >Sspon.02G0050290-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:54801415:54804998:-1 gene:Sspon.02G0050290-1C transcript:Sspon.02G0050290-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLQNEAPPLTRRENEEFLRMIRDARQRLGCQAPEVEIVFDRVSVEAVVPVGRRTMPTLPNAIINDGK >Sspon.06G0008450-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:35318800:35321607:1 gene:Sspon.06G0008450-2B transcript:Sspon.06G0008450-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAGADDGAAAQPKAAISHVIFDMDGLLLDTEGFYTEVQEKILARYGKVFDWSLKAKMMGKKATESARIFVDECGLNGLLTPEQFLEERESMLQALFPSCTKLPGVLRLVHHLHANGIPMAVATGSHKRHFALKTQNHQEMFSLMHHIVMGDDPEVKAGKPSPDIFLAAMRRFEGDIESSKCLVFEDAPSGVAAAKNAGMSAVMVPDPRLDVSYHKGADQVLSSLLDFKPSEWGLPAFKE >Sspon.02G0043890-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2B:97604255:97604953:1 gene:Sspon.02G0043890-1B transcript:Sspon.02G0043890-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSGRWLTQAVPPPPARSKKLGRVFTQELVRLQPLGCPLAPATDPRRRGLPRPCPARSTGYAKPWGGRSRPQAPSAPQMAHADRSATACSKPAKDRPDPASGRPGSCRPPSSMDAAGSTQQAAADPSTAAADPLRVQARASQHRRGAISGPWRPDLAGRPPTEADLGMAATDRALGRPDSAGLHRSSPKKKVEGGGGGGGGAGKERRCARAPFSGSSLAAAAAHPRAAMAA >Sspon.02G0022270-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:68066232:68067874:-1 gene:Sspon.02G0022270-3D transcript:Sspon.02G0022270-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LQGISTTRNLLADDAMVPISSPLTPPLGDGEETDKKGAVVKRLKVQAIKKDIKQSPKKVNLVAKLVRGMRVEDALLQLQVTVKRAAKTVYQVIHSARANAAHNHGLDPDKLIVEEAFVGKGLYLKRLSYHAKGRCGIMVRPRCRLTVVVREATAEEEAKIARLRVSNYKKLTRKERQLMPHRLIEVSPRWARKRKEEAGAMA >Sspon.02G0010000-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:31398290:31404301:1 gene:Sspon.02G0010000-3C transcript:Sspon.02G0010000-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLVPGVLVKLLQHMNTDVKVAGEHRSSLLQVVSIVPALAGSDLFTNQGFYLKVSDSSHATYVSLPEEQHDLILSDKIQLGQFIHVDRLEAATPVPILRGVRPVPGRHACVGTPEDLVVTSSSNFHGSKKAQPSNGMKDASILSLEKETSKLEKINASRKPTGAENKKPMLTKSNSSLSRQALNGIGDKKEAAKSKVKPAVTRSTPSSPTSVYSLPASFNRFSNDMKQRNKVKGAEKASSSRLSLLEKAASVLKATSAGRKSSAGNSISSSVLSIGSGPKALRRSWEGNTDIKGKGNSESKTSKPDRKSDNKIPMTPRRKSPVDEKVPHKDDSQKAARKSTASAPSDDADKAVKKHIPTVKRTSGVLGNSNVTNLVKIPPNSKKLTDISTSWTSLPPSLAKLGKELLKYRESAQIAAVEAMQEASAAESLLRCLSSSYAEVSCTAEEQNPQPAVEQFLTLHAALSRAMVITDTLTKSATSAASPDCSAASDAGTVGSATDEEAAAITAERRRRATSWVSAALATDLSAFGIYNLKPAPATVSSPLAVVVVDETAKPAAAAATATKSSPSPKSRLSPAKGKPRTGTAAAAAALTTTPAPPEWERGGGAEERGELAKRLGEESRGWFLGFVERFLDTDVATSAPWDRERAARMLPQLKRVNDWFGEIGKRSETPSLPPADADGEATTTSTAPVPANGGCGVPEETIERLRKKIYEYLLTNVDSAAAMLGGGGGGGGGAAVPTNGKKG >Sspon.08G0004770-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:11501697:11505465:-1 gene:Sspon.08G0004770-1P transcript:Sspon.08G0004770-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GLSRTFPTNSRANSTRLPSPLDSDSTRHASARAHRRKDPTAHSTANNHPPPARHGRRRGLRQAAAPPPRVPIARRVGVLAALPSAAPGSAGRRFPGGLDVPNLKKRGGGTRSWIRVEAATASVQTLEIDKATMMRRCELPARDLRLLDPLFVYPSTVLGRERAIVVNLEQIRCVITADEVLLLNSLDSYVLQYAAELQRRLLQRADGDELPFEFRALELALEAACSFLDAQAAELEIEAYPLLDELTSKISTLNLERVRRLKSRLVALTRRVQKVRDEIEQLMDDDGDMAELYLTEKKMRMESSVFGDQSLLGYNLAGAAGTSVSAPVSPVSSPTESRKLEKTFSLCRSRHDSTKGSDNTTTEHIQELEMLLEAYFVVIDSTLNKLTSLKEYIDDTEDFINIQLDNVRNQLIQFELLLTTATFVVAIFGVVAGIFGMNFETSVFEIENAFQWVLVITSVVGIFIFCSFLWFFKYKRLMPL >Sspon.04G0021770-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:2249749:2252177:-1 gene:Sspon.04G0021770-1P transcript:Sspon.04G0021770-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGACCCCSSRASESDRAPVHIYHRQNPEEHEPLSSAVGGPSPTPAIVAVDTNLDTSSLDTYRAPPAPLPYDVCFAVAQNPDLEKSDIKIKTDGQQSPKVDEFESCKKGAPEDKADEEDVCPICLEEYDEENPRSVTKCEHHFHLCCILEWMERSETCPVCDQVTLIDEMYT >Sspon.07G0006030-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:15680451:15680636:-1 gene:Sspon.07G0006030-1A transcript:Sspon.07G0006030-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYCYQYNSVSNVEKEKRPPLRRGSVKLQIVKTLSNLVAPSNGNDSNQVGRNSLTRERSYS >Sspon.04G0008990-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:26574707:26577558:-1 gene:Sspon.04G0008990-3C transcript:Sspon.04G0008990-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIFGAGVRNRCVGYYTGDRVLCSHSSVECNSTARIMQCPMDTAASGTSPVTQFHSNADESTSHSSPLPTLIERSQRHCYGDGIPGEFPLAVSPSIVLHATCTFFSKPANFAPNFALSLPELAAFDMCQKRTMFKLMKQEDQECLKQRCGGSWKHVLKYILVREKNGSRVIAGPGHSIVITSNGDVYSFGANCSGQLGLGDSEDRFRPCIIRSLQGIRITQAAVGSRWTMLVSDTGSVYAFGKDNFRGAELVDDAPIQTTTPKVVESLQGVFVVQAAIGGYFSAVLSREGRVYTFSWGKAERLGHSSDPSDVKPRLLSGLEDVPVAYISAGNCYLLMLAYQPNGMSVYSVGCGLGGKLGHGCKTNKGMPKLIEQFQSLSFKPVSIAAGTWHAAALGSDGRVCTWGWGHTGCLGHGDEEYKAVPTVVEGLRNVKAVHLSTGEYTTFVVAENGDVYSFGSGESLVFQEDDEAEEGPDFSTPSIVSSLKALNKKVVQISPTNASYWLNSEMGHPHTFAVMDSGDLCAFGGGIRGQLGVKLLEGVEKVSIPMHVPIGLN >Sspon.07G0005150-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:13215586:13218993:1 gene:Sspon.07G0005150-1A transcript:Sspon.07G0005150-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEIYDSGGRSNSRPAARAPGERTTELTLCPIRPMLIGPQFWTIRTLTLDYAIPYHAEAKRASNLQRSMQAMWNLLPILFLSSSLLVATANADDPWYTDCPSNTNYTRGSAFQANLDALLSSLPAAAAASSGFADNVTGAAPDQAYGLAQCRGDVNASACLACLDGSARHMAATCPGQKGAMLIYDECLLRHSNESFFGAVDTSVTVWGWNTQNATEPEKFRSRLGALMGNLTTRAAFASPRMFAAGETALTPFVNIFGMAQCTRDLADDDCNRCLASAVAFIPNCCDGKQGGRVIYRTCSIRFEVYPFYNVQAAQEAMSPAAAPVPGGGLINGSDHSVPGNTGESTAIGTLQDGQEIAVKRLSATSQQGQVEMKNEVVLLAKLQHRNLVRLLGCCIEEHERLLVYEFLTNNSLDKILFELGWGLRQRIIEGIGRGLLYLHEDSRLTIIHRDLKASNILLDANMNPKISDFGLAKLFNIDSSYALHGIFSAKSDVFSYGVLVLEIITGRRNMCAPGSGPSEDLLTYVWRHWSRGSVRQLLDGCPTEGRRPQEILRCIHEDPYLRPGMASVVVMLNSRSITLPTPAVPAYALHGRTATGVNPPRGMSMGRKGPMAAAREPSINEASMSELQPR >Sspon.08G0003510-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:9333735:9337553:1 gene:Sspon.08G0003510-1A transcript:Sspon.08G0003510-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SIRNWENKEKENVYTSTRNFAWQHGPKAQNSVRIPWWSVGSDAIPVDRVSALPDELRLHVLTHLPSRTPSARVARDLCGGAGGRTAPPSRSTSARATPYRGSSTDWLGSRARAPLDRFSLVVETSKLKSSELRRFTEYAVECRVEDLHVEMRKSSKLNFHLPLSSPLSRASRSAASASPACTTRTPSRSTLSRSSGSTQYPLRWGLTKSWRCAQASITLDLCGCHCDFKRRWTIMHWNLRSVTIVECDAYTRVNLACLPRLGSYRYSGDFLEMPFFVQSHTALADLYIRFTHPVKEVNHKLKYCYFPKDLSGLNVLTICCNTLPVAKYSTKCPKAQSQLTRFERATATHLPTFKYLPKKGSVDEVREEPPQDGLENLVMVKVTNFNWCCVEVQLVSFLLRKASSLWKLLIVLPNGISLDLPGVLEASVLLLKEALASGKIVFREFDDGATEPYHSETYIMFL >Sspon.08G0000990-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:1164317:1186045:1 gene:Sspon.08G0000990-2D transcript:Sspon.08G0000990-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAFGRSISFPLSPARSSSSSSTTKKQARHVRSISLPTCRAHPLLAHLHATTRALLVLPEPRAALATATASAFSDRLLDGLLALADAHGAFREALVDLRRHAAEAQAALRRRDAARLASAVRAQRHAEKDLARLASSARAAARLPLLPVAPAATTVAEVEVSGVLAEALAAAASASAAVFSALEAVSSAATAAAASASSKKPAATATLMSLVTRSSKAAVACDEDRELAALEKMEQLDECIAEMEAGSDKLSPARATRPRAAAARHVRSISLPCRSHSHPLLAHLQAQTAAARAWAANPTAPASGLALIDALHAALAELLLLPEPQASVRRACAASSDRLLDAFLLLADAHRGFQETLLALRRDAADAQLALRRRDAARLASASRAQRRGDKELARLAAAVSSSVASSARLAALGSATATAEEAEMAAALMDAAAASAAASAAVFSAVASVSAATSSSKKTATTFAAAFAKKPETTADVLPEKLEELERCIDECESGSEAVFRSIVRTRLLALRSWTSNPGPKYPLSLAHVRALLCVLDELLRLPLAQAALARAGTTDSADGLLDGFLVLADAFGTFLAALVALRQHAAELRAAVRRRDGAKLASAARAQRQAGRELEQLAAAVAREAARCARPVATGALLNGAPAEAEVARAVAEAVNDTAAAAAAVFLEVGAVADAAAALASPASASPKKRLPSLSKSKQRTVLGDGEERREGAALEKLQELEQCVRELESESEKVFRSLLQTRVSLLNIHTPTFYPVILWPGNGWELAAETWTGESKETNR >Sspon.08G0013370-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:52234875:52236551:-1 gene:Sspon.08G0013370-2B transcript:Sspon.08G0013370-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DSRNTINMLRQLLLIFVCGSNKQVPMAGESWKVPTPVKDLAALVEEPPSRFVQREEDRPGRLMVAVDMPDPLPIVDLDKLSTADEAAKLRSALQTWGLFLATNHGINASLIEDLMKASREFFNQPLQERQKYSNLREGTRFQLEGYGSDPVIAPDHILDWSDRLQLKVEPEDERNLAQWPKHPESFRDLLHEYATKTKTVMEKILRAMAKILEIDEEDFINQIGGHPQAYARFNYYPPCPRPELVLGIKAHSDGPLLTVLLVDREVGGLQVQKENKWFNVPSIPHTLVINLGDSLEIMNNGIFKSPVHRVVTNAKKERVSLAMLYAVQRDNVLEPAAGLLDEKRPARYMRIAEADFLEGVKEHFSKGIRMIETLKI >Sspon.08G0016020-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:60520572:60532118:-1 gene:Sspon.08G0016020-2D transcript:Sspon.08G0016020-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLLEQQEKLRRHVDEWRFRSRAAISELSSGSGPPSPTPSVPSGPVRLRVAPADPAGAGAASILLTPAAADDNVAVAKFVAVLSHSCIEISRLSDAASKGLYRQLLLFGHCTGGSGEALLEGEPQKMFAHSIPLLLELYEIVNGLIVILGNLLRQLDVICSVRDKNVRPLNSFRSFDLRTVFALLGEGLSVFLLLDEILRHNGNVRSHLSLFSRMMSKVKSEVDVFGMSVEDIDFLDQVVHNLQKIFDSGFFHRLVLVDSPLCSSIDLVRSNKKLLDAFYSCFAESSSEIILRVGSSKELPSDRKTILHLLALLLFFMSATDETPDKKSMKLLMEILQMVPVVYIEGGKCIVLSDLIRCYCPPALSLLPPIKEACEAFGIMKSNYLAHLNEVHSRDIEAINDSLSCWSVSFQSAVHPSSQMLTEEWVRHLQKQILQGVLLADRIHMLVQSMLDLHTHLKVPLKREKAKSLCQMIVALKSIGDLFNTRGPSIVRSLPHIINIIQSDIEQLILPLKDKLQTEIAKADQVSKTGFLSLLRRGGAELETKLLDSLSLVLISLQLLEGGGSSSRQLTLSITMDILHSLGHLDVELGRVRKLISKFRILSNCQSLIDERTNCSFLYWRKEMLSIWLSMVYGDACKLCWIQNIIDSFSDGTLLLELGNVGSVALRSYEEDIENALREEVVAPLCRDIETDLRLHVHSTHLKGAVDVNPTKTGVRNLSWYLRMRPLRLPVKFIDVKLLVENHLNSAFYTYSVMPNYDDKIYAEMHELGELKYGVELEDFRLTVETLDQGFDLRKTIKIFIRFVRNTPTALSNRCLLRMIWMAKAEKI >Sspon.01G0045840-1P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1B:93785960:93786508:1 gene:Sspon.01G0045840-1P transcript:Sspon.01G0045840-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MENKLASHCDMELLKMAMLRHEETFRQQVHELHRLYRVQKQLMGGLSGPSSELSCRRQLRRRRRQPRRALDLHLRLPADECIVVTPPSSAEDGLELTLAIGSSGGRGRRRRRDETESTGTATPLGSDSDISGGSLLTTSSSTDTGVLPPYQRAMPAFRLQEATTVAKQPPRSPWLVQCVSLEM >Sspon.03G0010480-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:43989029:43992913:-1 gene:Sspon.03G0010480-3C transcript:Sspon.03G0010480-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLRAKGLGLLLLLVLLALCSTIEVSEARRGKHWRSSRSSPGSSQLKKGKGKKSSSRRQHGSNRPSPKPPVSSTPSSGAGKGNQSPYQPSPNAPDIPRPSPDNGSRHSTPKPPTPSCGKGHQQPSQPPPATSQGAVFNVVDFGAKGDGVTDDTKAFEGAWAAACNQGASTVLVPPELEFLVGPISFSGPYCKPNIVFQLEGTILAPTSAKSWGSGLLQWLEFTKLSGIVIQGSGIINGRGQQWWTYSDPEDEDDDDTYDVEFERMPQIKPTALRFYGSFNVVVAGITIVNSSQCHLKFDNCQGVMVHDVTISSPENSLNTDGIHLQNSKDVSIHHTNLACGDDCISIQTGCSNINIHNVNCGPGHGISIGGLGRDNTKACVSNVTVRDVNMFRTMNGVRIKTWQGGVGLVQDIRFSNIQVSEVQTPIIIDQFYCDRSTCRNQTSAVAVSGVQYENIRGTFTIKPAHFACSDSLPCSGISLTGVQLRPVQVPHYHLNNPFCWQAFGELYTPTVPPIACLQIGKPAGNNLQSYDDIC >Sspon.07G0012460-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:42576103:42577193:-1 gene:Sspon.07G0012460-2D transcript:Sspon.07G0012460-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LSFLAARFRVDKSSASDLLNSNSNTETVPLWMDGVVGAYDMAFSIGTPPQKLTALADTGSDLIWTKCGDGAAWGSSPSYRPDTSSTFTKLPCSDRLCTALRSDARCAAAGAECDYRYSYGLGDDGHPDYTQGFLGSETFTLGGDAVPGVGFGCATASRRRAVHRPPRVHQTTTFYAVNLRIISIGSVTTAGVGGPDGVVFDSGTTLYLAEPAYTEAKAAFLSQTTNLTQVEDRDGFEACYRKPSNGRLLSSAVPSMVLHFDGGADMALPVANYVVEVGDGVVCWVVHKSPSLSIIGNVLQRNYLVLHERPQ >Sspon.08G0006990-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:21748631:21759576:1 gene:Sspon.08G0006990-1A transcript:Sspon.08G0006990-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAPTKRRNQPGGAHPARRKVVEEPFHPAPPTPAAAAASPSRLVGAIVEKGFSAAAPSSAPRPSVLPFPVARHRSHGPHWGPAAKDGPKDGAADDDDEMDMDETDYHPVAAAAGPVRRKEKKGMDFSRWRDFVGDAPPRRKGKPVQAKKQSDQRIDAGAVASKVGGVAATGRGLEGGAMQLMQLDSGELEGGAMRLDSGNAREAPGDVLSVSDVVSKKSTSQAESRDELVKAGEVRNSTSQAESMDLDGRESSMEAEISAENMARLAGMSAGEIAEAQADIVNKLNPALVEMLRRRGREKSGGAKDVGKDKGLENSGPQKTKSATPGDWLTAGEHSGHSWKVWSERVERIRSCRFTLDGDILGFQSSHEQQDGKKMHSESVAERDFLRTEGDPAAVGYTIKEAVALTRSMVPGQRVLALQLLASILNRALQNLHKIDLMDNVKGMNSNEKFDDWQAIWSYALGPEPELVLSLRMALDDNHDSVVLSCARVVNVMLSCEFNESYFEFSEKVGNGKDICTAPVFRSKPDLDGGFLEGGFWKYNTKPSNILPHYGDNDEDEADDKHTIQDDVVVSGQDVAAGFVRMGILPRICFLLEMDPSPALEDYLVSVIVALARHSPHSADAILNCPRLIQSVTKLLINQGSMEIRSSQIKGVTLLKVLSKYNRQTCLNFVNHGVFQQALWHWYRKAGTIEDWVRSGKEKCKLSSAMMVEQLRFWRTCISYGFCIAHFADFFPVLCLWLSPPEFEKLSEHNVLVEFSSVARESYLVLAALAQRLPLLHSVEQLANQDLGVSASYIETCSWSHVVPMVDLALSWLHLNDIPYVSSLISGQNRNTKHMVEASYLILVIASVLGMLNSILERISPDVTPEDKSYSLPWIPDFVPKIGLGIISNGFFSCSGTVAVGNAEHQSFCCASLVQGLCYMRCHGNVDVSLSSISCLQRLVQLSWSVDRVIQGAKKSCSECFNESGTGVAGKLLGEVQGSPVILDEGVKSDNVTNTVVTASNWISSSLGLSLIAGPGQNYMLEKAFDMIFEPSILKYLKSSIHKFASDMELLKPFEWDINEDEYRLFSSVLNLHFRSRWLAIKKKHSDKYAGNNSSTKISKTPETLETIQEETELTEAVNQPCNTLVVEWAHQRLPLPIQWILSAVCCIDDPKGTLSTSANYILDVSRAGLILLLGLEAISATLCLHAPLIWKVHALSVSIRSSMYLLQEDRSRDIFFALQELYGQHLNRLCQKLCKSNSVEEVKGVAVATSEEAMEISSLEILRFQEKIHGSYTTFVESLVDQFAAVSYGDFVFGRQVAIYLHRKVEPAVRLAAWNALSNAYVLDLLPPLDKCIGNAQGYLEPLEDDEKILESYAKSWTSGVLDKALQRDSMAFTLAKHHLSGFVFQCSDSGKTLRNKLVKSLIRCYAQKRHHEAMLKSFVLQGIAQDSKSSGNELDRRFEILKDAFPPLMLGGVQPSSLSWFERCSKEKAMACCLLIYYVTGHVVGLYLGAPTAPCDLGDSDDDDDGILGTGSASYDDPVFQCLGRVEHFLKARYQLLYSLCVTWSITISSGMRST >Sspon.01G0030810-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:108677405:108684369:1 gene:Sspon.01G0030810-2B transcript:Sspon.01G0030810-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MCQICGDGVGTAADGELFTACDVCGFPVCRPCYEYERKDGTQACPQCKTKYKRHKGSPPVHGEENEDVDADDVSDYNYQASGNQDQKQKIAERMLTWRTNSRGSDIGLAKYDSGEIGHGKYDSANPSREFSGSLGNVAWKERVDGWKMKDKGAIPMTNGTSIAPSEGRRLDDIDASTDYNMEDALLNDETRQPLSRKVPIPSSRINPYRMVIVLRLVVLCIFLRYRITHPVNNAYPLWLLSVICEIWFALSWILDQFPKWSPINRETYLDRLALRYDREGEPSQLAPVDIFVSTVDPMKEPPLVTANTVLSILAVDYPVDKVSCYVSDDGAAMLTFDALSETSEFARKWVPFCKKYSIEPRAPEWYFAQKIDYLKDKVQTSFVKERRAMKREYEEFKVRINGLVAKAQKVPEEGWIMQDGTPWPGNNTRDHPGMIQVFLGHSGGLDVEGNELPRLVYVSREKRPGFQHHKKAGAMNALVRVSAVLTNGQYMLNLDCDHYINNSKALREAMCFLMDPNLGRNVCYVQFPQRFDGIDRNDRYANRNTVFFDINLRGLDGIQGPVYVGTGCVFNRTALYGYEPPVKKKKPGFFSSLCGGRKKTSKSKKRSEKKKSHRHADSSVPVFNLEDIEEGIEGSQFDDEKSLIMSQMSLEKRFGQSSVFVASTLMEYGGVPQSATPESLLKEAIHVISCGYEDKTDWGTEIGWIYGSVTEDILTGFKMHARGWRSIYCMPKRPAFKGSAPINLSDRLNQVLRWALGSIEILFSRHCPIWYGYGGRLKFLERFAYINTTIYPLTSIPLLLYCILPAVCLLTGKFIIPKISNLESVWFISLFISIFATGILEMRWSGVGIDEWWRNEQFWVIGGISAHLFAVFQGLLKVLAGIDTSFTVTSKATDEEGDFAELYMFKWTTLLIPPTTILIINLVGVVAGTSYAINSGYQSWGPLFGKLFFAFWVIVHLYPFLKGLMGKQNRTPTIVVVWAILLASIFSLLWVRIDPFTTRVTDPPLSDWCSSGMTKPLELPCKAEGKRSQFCYVRHFPLKHKLTDIQINQRVSATYQSLVDLAGVWPPLLAIAIDGATAIDGELVDASEHDPVPPVLVAPVRPVWCRHQRALDRDRNAGLARALESDKLEQAEPAIGDEHDGRLRRGARRLPRLFQRLRVVVPPVAACAVVVDVEHALRRQRPAPGRGGGSIGAIAVQVVRAVSRGAIARSCSLKSAGHEEHREERHHEHKLHQLPAG >Sspon.08G0027370-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:46268738:46274882:1 gene:Sspon.08G0027370-2D transcript:Sspon.08G0027370-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-DYW protein, RNA editing in mitochondri [Source: Projected from Oryza sativa (Os12g0270200)] MPSPPPSTAAAAAQQLESLLPHLSTLSHYKQFHARLHALGTLHSYPSLRARFLDRLALLPHADALPHALLLLRSLPSPATNDFNAALRGLAASPHPARSLLLLAGRLLPAPAPPRPRLDALSLSFALKATARCSDALATLQLHAILVRLGLGALKDGLAAHEFARTIGAVGNVRVCNALIDMYSKCGSLSRALEVFHSIKLEDRTLVSYNATIQALAMHGLGEDALKLFDEMPARIEPDEVTYLAVLGGCNHAGLVDDGRRVFDCMRVPPNMKHYGTIVDLLGRAGRLAEAHDMIMHMPFPADIVLWQTLLGAAKMHGNVDLAELAATKLADLGSNVDGDYVLLSNVYASKSRWADVGRVRDTMRSNDVKKVPGFSYTEIDGVMHKFINGDKEHMRWREIYRALDEIGSRICELGYEPETSNVLHDIGEEEKQYALSYHSEKLAIAFGLISTPPGETIRVIKNLRICGDCHVVAKLISKAYGRATAMDHGATLLELLISTVHTHTSQFPCQGK >Sspon.05G0002590-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:7766469:7775659:-1 gene:Sspon.05G0002590-1A transcript:Sspon.05G0002590-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEEMVVGLSAPGPVGRWGAAPPQAMLERMKDYGQEGAFALWDDLSPEDRELLVRDIESLDLSRIDRIIRRSLGSQGIPLPAVEPVPESSVSKVEDRSPEEKERWWKKGLKAISEGKLAVVLLAGGQGTRLGSSDPKGCFNIGLPSGKSLFQLQAERILCVQKLASQSSESPSNTVPIHWYIMTSPFTDAATRKFFETRRYFGLDPEQVTFFQQGTLPCVSADGRFIMETPYRVAKAPDGNGGVYAALKSKKLLEDMAARGVKYVDCYGVDNALVRVADPTFLGYFIDKGVSSAAKVVRKVQSSLMLQTGGLPLSYIAMHLIDSQLNTQQAYPQENVGVFVQRGRGGPLSVVEYSEMDAAMTTEINQSTGRLRYCWSNICLHMFTLDFLNQVANSLEKDSLKLEQFIFDAFTYSPSTALFEVMREEEFAPVKNANGATYDTPDSAKLMLLRLHSRWVVAAGGFLTHSVPLYMTGVEVSPLSSYTGENLEAICRGRTFHAPSEISF >Sspon.05G0036450-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:87243228:87244381:-1 gene:Sspon.05G0036450-1C transcript:Sspon.05G0036450-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Biogenesis of lysosome-related organelles complex 1 subunit 1 [Source:Projected from Arabidopsis thaliana (AT2G30330) UniProtKB/Swiss-Prot;Acc:O22929] MDGAKSLAASAGGKQDLEEALLQIVHQHHRQSLRQRQQTERAKEDALRSEARVADLLVDAVDGGVQELFVNEKRIELEARALLGTIARYRRQTDQWLAATSELNSVLKEIGDFENWMKIMDFDCKSINAAIRNIHQS >Sspon.03G0000630-3C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3C:13289745:13290707:1 gene:Sspon.03G0000630-3C transcript:Sspon.03G0000630-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMQDLFSVPSCFSAGEKLPDVPASSAAATRSGQSAATLVYRAGIAGQDRLVTVTWCRNLLTHGLSVSIEGSAGGGKDKSGSSGGSSRDREWGDADGGSGASSKQGCSTACKVEMQPWHFWRKYGAKQFHVDGRAVDVVWDLRSARYSDEPEPLSDYYVAVVSDDEVVLLLGNLKKEAFRRTGSRPSLRDAVLVCKKEHVFSKKRFLTKARFHDRGKLHDISIECSSSNLSGVDVDMVIKIDGSVNVLVRHLQWKFRGNECISINHLKVQVYWDAHDWLFGTGMRNALFIFKPEPLSTTAADIHTDEYSDFCLFLYAWKLE >Sspon.05G0021170-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:89225249:89231097:1 gene:Sspon.05G0021170-1A transcript:Sspon.05G0021170-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGYLFCTYVDATRVLYTCMEQFDELLGKGAMKSVYRGFDEERGVEVAWNQASLADVLRSPDAVQRMYSEVQLLSSLRHDGIIGFHASWVDVPGRTFNFITELFSSGTLRSYRLRYPRVSLRAVRSWARQLLGGLAYLHARDPPVIHRDLKCDNIFVNGHQGQVKIGDLGLAAVLGRRGAPRTASSGRPSSWRRDEYDERVDVYAFGMCMLEMLTVEYPYSECSNPAQIYKKVTAGRLPDAFYRWRRRRAQVHWTLPRPRRQPPVRRRAAAGPFPHRRPWSPPPPPVAAAGTVVPVPPPLPAAVAAAGAPPPSTCSSSVDDVVSASSSYDEVEHQQPQHPPPRNDMTITGKLNAEEDTIFLKVQIADEASGHARNIYFPFDMASDTAAEVAQEMVKELDITDRDASEIAAMIQQEIGRLLPGRAQQQHEYTYAERDDDDDDENNEERPPPSAATSPRLPPPHMALTAGGALCLRRLLWPMWQWLVQSDDDDMSSVHSGKYSPLHYASGADEAEPMPSCCTGSGSSKTRFGGGSGGGGGSSAAVQLARQLQRQCSMSPQHQHAGRPRRREDDDGTSRRRRMTRNRSMVDMRSQLLHRTLVDELNRRLFFNTVGAVENIGFRAPTTTSPSASSSSASAAARGGLDRGIRRSGGGKQPLDDKQQYFML >Sspon.01G0013020-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:35339109:35340687:1 gene:Sspon.01G0013020-1P transcript:Sspon.01G0013020-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDGAATTAVQGCGAHVLLLPFPGMQGHANPMLQLGRRLAFHGLRPTLVVSRHVLTTTSASRSCPFPVAAISDGFDAGGISSCPDVAEYVRRMEAAGSETLAGLLDAEARAGRPVRVLVYDSHLPWAARAAGVPAAAFLTQMCAVDLVYGEAWAGRVPLPLADGGELRGRLAVELGPDDVPPFVAAPQWYPAFTESALSQFDGLEHAADVLVNSFRDLEPREADYLESTWRARTIGPTLLSFYLDDGRLPCNKTYGVDLFSGTDQAACMAWLDKQEPCSVVLASYGTVANLDAAQLEELGNGLCDSGKPFVWVLRSNEAEKLSQQLGGRCKERGLVVPFCPQLEVLAHKATGCFLTHCGWNSTIESITCGVPMVAMPQWADQPTTAKYVESAWGIGVRMRKGLVRREEVERCIREVMEGERKTEFRHNAAKWMNKAKEAMQEGGSSDRNIAEFAAKYLSI >Sspon.02G0015780-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:41436883:41438001:-1 gene:Sspon.02G0015780-2B transcript:Sspon.02G0015780-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKAVAVGGGGKGGTAPTDLLVCFPARQHLALMPKPICSPSRTTMDKAAAARRRQLQLPGASAAAGGGGRVRGSSPMFRGSKAKQRAEEEEEPQSPKVTCAGQIKVGRPKKVKPGPGSTAATKHGKDGVGVGDARSWITVVEEIERLHGRRKKVGWLETLGIRRDALPFLGAALRSLSFKARCFGSGSLHAAAVDSSVDSDDDGNNDAGERGSGHGAGGSAPASVFSKWLMVLEGGQEPQLEQDEARHDEECDQEEHVERQQGEETDEGTNGPSAPPPNALLLMRCRSAPAKGLSMSRRRTCEQLAGEVDGQEKGAADGVPGPGDGETEEDKEELVFMSTAPGFMKLSLDIAKETWVVGGWDPIARSRSWKR >Sspon.03G0017260-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:54139471:54146065:-1 gene:Sspon.03G0017260-1A transcript:Sspon.03G0017260-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKAAPRVRYEPGPAFEEVKEEAMLDISQTESTEFWLIQWPKDQIDVLDFHGKELSLKLHSDGNLGSLESSSGKSYELVSFAAQKPDATVFLPSGSETKPVGKISRRVSLVHYPKPEELAKPSFGSLTPSSKKSAGSKKTMSRFTSASKNRSSQGSALSLGQRSAEPTPKHKGKRKDESSLGHSNVSGKASQGSQAGGVGSNMASEMPQSPSEKSQKKRKKVKIAE >Sspon.03G0039620-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:25014827:25018266:-1 gene:Sspon.03G0039620-2D transcript:Sspon.03G0039620-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVYITATAATAGADDATKPKAAQPQPQTQQAARRGCRSAAVTGLLAGLLLFRAALLAIEAGASLCPSTTGCLDWRAGLGRWLYGDGGDATEEFMKEWRRHREATLLDPVVVEAAPDSLDALMAEMATMLASYDRRIDMEAVAIKMMAMLLKMDRKVKSSRIRAVFNRHLASLGIPKSVHCLTLRLAEEFAVNSAARSPVPPPEHAPRLTDASCLHVALVTDNVLAAAVAVASAARSAADPARLVFHVVTDKKSYVPMHSWFALHPVSPAVVEVRGLHQFDWRDAGVVASVMRTVEEVQRSSLEYHQCDGCGSAEREHRRLEASKPSTFSLLNYLKIHLPEFFPELGRVMLLDDDVVVRKDLAGLWEQDLGGNIIGAVGAHEGSGVCVHKTFGDHLNFSDPGVSGLHSSQCAWSWGVSIVDLDAWRRTNVTETYQFWLQKASSLS >Sspon.07G0020850-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:78195538:78203792:-1 gene:Sspon.07G0020850-1A transcript:Sspon.07G0020850-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSELLELHKQIKSQQQQHSILIDRLRQLETEKVELERTVVHETKERETLGYANRRQSDFYSVLSGGSTTESDPDTASHVAEVETEEDEVTYFDTRDFLSAESLRSVSCQRRELTAQGFGSEYVGVSVTNTVKTTKYPFVLRRDKLPEPKEKEKHIGLWSIIRENIGKDLSGVCLPVYFNEPLSSLQKCFEDLEYSYLVDHALHWGKQGDSIMRILHVAAFAVSGYASTEGRQCKPFNPLLGETYEADYPDKGLRFFSEKVSHHPMVVACHCEGRGWRFWGDSNLKGKFWGRSIQLDPIGVLTLQFDDGETFQWSKVTTSIYNIIIGKIYCDHYGTMRINGSGQYSCKLKFKE >Sspon.02G0012770-3D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2D:27906602:27907462:1 gene:Sspon.02G0012770-3D transcript:Sspon.02G0012770-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVEAARKDLTTPTTRRAKVMHRLLVALNCGMLALGTTAGPLLTRLYYDKGGQREWLSACLQSVGWPLLLIPVAASYAARRARDKQGAPVLLTPPRVLLAAAGLGVATGADNYIYAYSLRYLPVSTSAILISTQLAFTVFFAFLIVRQRLTPATVNAVALLTVGAVVLGLHVSSDRPAGVNRGKYLLGFALALGAAALYGLILPLVELAYRRAAAGGGRAVTYALVMEMQLVMGFFATVFCTVGMIADKDFQVRAHISHVAYVPLLTMQVGPHIAASLIERPLDRP >Sspon.05G0023680-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:8208462:8211400:1 gene:Sspon.05G0023680-1B transcript:Sspon.05G0023680-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPARQALMGAPPSAARSRGLPRHALRRSAAALFLATLVALPFAVLYRAAVWRSLGASWGWDSLPSFVASQEEGAEGDDLDSEGLKLERVLKKASMRDNTVILTTLNAAWASPGSVIDLFIDSFRSGVRTNLLLKHLVIVAFDWKAYEQCDADIMWFRNPFPHFYPDVDFQIACDHYVRNATDLRNIANGGFSYVKSNERSIELRYPGYHDQDVFNAIKHDPYIVDIGLTIKFLSTKYFGGFCEPSRDLNEVCTMHANCCIGLRSKIHDLRIMMEDWRSYLSLPPNLKRLQISAWRVPQNCRVVKGLRLIRVYSHIGINYGDSIYFFEGTQ >Sspon.07G0012270-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:41649258:41651733:1 gene:Sspon.07G0012270-4D transcript:Sspon.07G0012270-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DLRRRRLAVAAAVVAVCAATLTPAAEGFDILQILGKHDEFSQFCKLLNETHLAGDINRDRTITVLAVANGDMGHLTGGHYSLGTLRHILELHVVADYYDDKKLKQLSHAATAASTLFQVSGFAPGMAGYVNITQHRGGKVSFIVDDAADSVKPVTYVKQIESHRYDYSVLQVSGVLSSPEAEAPVAPPAPVNLTDILSKKYCKSFAGLLAADPKVFDTLNGTKDTALTIFCPVDAAVAAFMPKFKNLTAKAKTAILLYHAVPDYYSMQFLKSNKGKVTTLATTSGAKKDYTYEAESKEDTVTLDTTVITSTIQATVRDDDPLAVYAVSKFLQPKELFKAKTADLAPAPAPEAGPKKKKKPSSGSAASAPSDESADGPSADDSTDDAADKAAAAPSSLFARWVTIAMALALALV >Sspon.04G0006620-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:19920686:19923903:-1 gene:Sspon.04G0006620-3D transcript:Sspon.04G0006620-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDLAGLGHLFVVTFLFHFASFMVIPAVTDVTMEAVCPGRDECSVAIYLSGFQNAVTGLGALVVTPIVGNLSDRYGRKALMTLPVTVAIAPLFILACSRSVVYFYVYYVAKIIAGVFCEGTMHCLCLAYVVKTSDCNNRSQADHVGPRRRAAAFGLLSGVSAAGFVSGTLTARFLPTASTFQVAAAVAVASALYLRAFLPDAGGISCADEDCDPLLQDSSCTSSTSTSSSDEELSPRLPPHKSGLPSLSDMVALLTGSLGEHGLNTALLYYLKAQFGYSKDQFANLLLIAGAAGMLSQLTVMPILAPILGEEVLLIVGLLGGCTHVFLYGIAWSYWVPYFAAAFVILSAFVYPSIRTNVSKSVGSNEQGIAQGCISGISSFASILGPLIFTPLTEVSSRFVVPAWVLSETEPFNFKGFSILCAGFCILIAFIISLRMRGAQSNTCKKTTVQHEQA >Sspon.07G0012640-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:51172091:51178080:-1 gene:Sspon.07G0012640-2B transcript:Sspon.07G0012640-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinesin-like protein [Source:Projected from Arabidopsis thaliana (AT3G16630) UniProtKB/TrEMBL;Acc:A0A178VP70] MKMACCAIIACHYINGYGPESIEEKQRLYTLLRGLNFNGDSAPISMSEPYTPTAQSFGGGNPVEGFYSPELRGELGAGLLDLHAMDDTELLSEDVASEPFEPSPFMPKDIDDDDEDVISGSQQVPVDNYGVVTSEKESTARENNVAKIKVVVRKRPLNRKELSRKEEDIITVHDSSCLTVYEPKLKVDLTAYVEKHEFCFDAVLDEHVSNDEVYRETVEPIIPIIFQRTKATCFAYGQTGSGKTYTMQPLPLRAAQDMVRLLHQPVYRNQNFKLWLSYFEIYGGKLFDLLSDRRQLLMREDGKKQVCIVGLQEFEVSDVQIVKEYIERGNAARSTGSTGANEESSRSHAILQLAVKKHIIVKDTRRQRDRDANEAKNTKAVGKISFIDLAGSERGADTTDNDRQTRIEGAEINKSLLALKECIRALDNDQIHIPFRGSKLTEVLRDSFVGNSRTVMISCISPNAGSCEHTLNTLRYADRVKSLSKGGNTRKEQSTGPTTTSSRESSSAPSYPLPADAEEVPNQIQDKRPVDTYRKGIENFVSNASAEPDRNSFSMIPSYSNRGREENGAASGNDRERYDLKSSQTAYTSKAQLVQNSANTQEEEKVTKVSPPRRKAYREDKSDRLSNYTKKDNGPDTGRVGYKMQQAKQQQQQQRPASASASSRQSEKESSCDDVEIDAILEEEEALIAAHRKEIENTMEIVREEMNLLAEVDQPGSLIDNYVTQLSFLLSRKAAGLVSLQARLARFQHRLKEQEILSRKKPSR >Sspon.01G0046010-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:95913217:95913759:-1 gene:Sspon.01G0046010-1T transcript:Sspon.01G0046010-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRKAKPAAQATAAVAAATGVQYWLLKTEPGEWSWSDQARAPGGVAPWDGVRNRQAMNNLRAMWPGDRCLFYHSGAGAASRRVVGVVEVVRPWYEGDGEGKEAAAGGAVDVRAVGEFRNPVPLGDIKKAAGEVEEMKGFALLRQARLSVMPVPARIWDWICDAGGGFVQDGEVEDEEEEV >Sspon.06G0000720-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:742235:748776:1 gene:Sspon.06G0000720-2C transcript:Sspon.06G0000720-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKAEARSRGRGGGGGGGGGGGGGGSGGGSGNPGKIFVGGLPRDTTDATFVRHFGQYGEIVDSVIMKDRYTSQPRGFGFITYSNPAVVDKVIEDKHVINGKQVEIKRTIPKGSVQSSSKDFKTKKIFVGGLPSTLTEDDFKSFFARYGTVVDHQIMFDHETKRSRGFGFIVFASEQVVDDLLANGNMIDLAGSKVEIKKAEPKKSSNPPPPPVHGRNARSAYDSGSRDHPSADNYGGMASAYGNYRGGGFGPYRSDAGFGGRLGNYGGIGDFGGAYGRYYAGLGGYGAASSFGYPSRFGLYGGGFGGAYAGADLSGYRRGGADESFSAAGNSGFGGDADESFGGPGSSGFSGTGYGGAYDPTLGGYGSASTPDTNRGSFTAGCADSRRRGAPRQRAVVVDLQPPPVDAIDASGLQHGVGDDAHEGNAGVEARGEHVVVPRPPGLVPPVDDVVEGEADGAPHEVVDGARRRHHPRGAQQHGHVDEAEPRCPGAVRERARQRPERHRRQRAGEEEVVHLGVQAEAAEHAQRSHDAPDDGRVEEDVVAGARPGAPLRQLRRVADVGHALQQPPRRGEVDRRRQHGAHELHGEHGARRDLHVVAQLEVLQEHDGLRHADVAVRLERHVGERPPRVEVADDELRHDVEPRLLVGGGGDDADGEREEEGDAGGEEDAPVGELELAAEALAGEEREAEHDYQHHLEPPLRHGGVVPPHQPGVHVAAGGTHRRLGLLPYRPAVVERDVGQRGRQRREAEPVGEGEEDAEVDLAGAVVAGLAELERGRVQHPVHVVPAAVGHEHVGGDDGEPPGAVRVAPVGEGNDHVDDEEEAGHDVDDGERRRRQRRAEESGHGGPVQAERADAEAVHARPDLLRRHRVLPDEAHHRDGGDGREQVVGHNVVGEAGGEHGEEELQPRGAAPGPLLLLVQRPEEGDLHQVRRPPHVGGVDEKLPEEARPAVPHQLGGLGEQHGGQRVDLPVVERLDDGDDADGVYGVGASVGEDGDENVLLEVERPRVEGEGPPHDPDGLAGEARRHELAERQHHDLHREGGDVQRVLTVPEELVGEGQQRAGEEPQEPCPERQRRQCRVVGDRHRQPDLLDRAVVYLLFLCRIIVV >Sspon.01G0043020-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:60633717:60636528:1 gene:Sspon.01G0043020-2D transcript:Sspon.01G0043020-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPSRSPPTPPAASEWCCVRCTFLNPDDSDSCEVCEASRPVEVGIDSPVVVGDALALASPKRGRRKKERSASPPPQRFGRKRERDASPDVVELCDSAEKGPAAKKVWGQIYKVCGTSDSPQIAFKLSKLPVKESDCIPFSNSIMGRELCFTSVITGEMKKLTLATTHLESPCPAPPKWDQMYSKERVDQAKQCLEILGRFPNAILCGDMNWDDKGDGPFPLQDGWTDAWVELKPGEDGWTYDTKANGMLSCNRKLQKRMDRFVCKLADFKIDSIEMIGKAAIPGVSYYKEKKIRKESQMVELPVFPSDHFGLVLTITQQENDSF >Sspon.05G0010370-4D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:5D:31941256:31943548:1 gene:Sspon.05G0010370-4D transcript:Sspon.05G0010370-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVERFLGLKHVEDTTSISLKKALLDVLDEYGLSIARLRGQGYDGASNMRGEFNGLQKQIRDENPHAFYVHCFAHQLQLVIVAVTTCCSSFADFFNYVSLIVTSASSSCRRKDTLIAEHRNTILEKLDSGEIFSGKGKHQRTSLVRPGDTRWGSHFTTLLRIENMWDSVTRVLAMIHGDERNPGRAAGLLKKMESFTFVLNMKLMLKVLRITNELSLLLQKKDQNIIQAMSLLVDVKTRLLNLRNEGWAPLFEEVKAFCVAKKIKLPDFSERRPRWGRSRLDDDLITKEHHYRVDTFLAALDAIITEMDHRFNEVSSELLVCFSCLDPRDSFSMFDLEKIARLTEIYDQDFSIVDCSNIRDELETFILQVRRIDDYRACHDFASLAIKLVENKAHLAFPLVYRIIELALILPVATASVERAFSAMNIIKTDLRNRMNDEWLKDLTLCYIEKELFRELDPEKIKRTFQSMKDRKMSLPPKRPRCP >Sspon.02G0030880-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:106812112:106818832:1 gene:Sspon.02G0030880-2B transcript:Sspon.02G0030880-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMEGEEMGNRVNIHPLVRDAWVAELRSLLPQWESLRDSSKVIIPISISRVNQFDAARLDVEMSAMLKEQLVKVFSLMKPGLLFQYEPELDAFLEFLIWRFSIWVDKPTPGNALMNLRYRDERAAPITGKEVRTGLEGPGLSVSQKIFYCISFVGGQYIWSRLQSFSAFRRWGDSEQRPLARRAWALMQNAEVLYRAASFFNLLLFLYGGRYKTIVERILKARLVYGSPNMNRAVSFEYMNRQLVWNEFSEMLLLLLPLLNSSSVKKFLLPFSKDKSAGSSGDEADCPICRSSPSIPFIALPCQHRYCYYCLRTRCSATNSYRCTRCNKVVVAIQRLGSG >Sspon.06G0010780-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:58606005:58611140:-1 gene:Sspon.06G0010780-1P transcript:Sspon.06G0010780-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATATRRLLPALLKTLAPAGARGLSTEKAVGAAAVVGSHTAKWMQDTSKKSPMELINEVPPIKVDGRIAVCEGASEGVGLGHPIEYICLDLEAPNDPKKKERELLSWEQDLKRREQ >Sspon.07G0035690-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:12507849:12512880:-1 gene:Sspon.07G0035690-1D transcript:Sspon.07G0035690-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRKHAPAFTPEAATASASGGAGQPHNLPVLQAKMKRDPEGYEEELRQLHRHFESSVFLFQQQAALATTSSSGGGGEVAKELGDLALFLAHVAPFYPDDLADLPDQIGGLLDTNARGLPPGLRAHLVQALILLVNRKVCDILLKKSHEQREHLLSCAIFTVGGIMIAAISFLLGYENAPQEDDSDASSSEDEADQNPQILLSKQDVYKANHKGTAASKKKKKAKLQRVIRSMKRQQRKSVEETGSSFYSPLTYLKDAQGFAEKLFSRLQKCNERFEPHQRDVTTLLAAAVQACHDMVPPDAVEPLFKQIVNQFVHDRSRPEAIAVGLNVVREICMRMPLVDLPSLLVKKDRGRPVDPKAQPKAFGEVTVASNVPGAELLDENISSEGEGSDDESDAFDSDDEMDLASAPPGTEENMEALKRLATAKKAEVSSDETGKILSDEDFKRIKELKAKKEAKLALAQHGLIKGGDTRSVTFKMPSSDQLSRKRVDPLELEAHVRRKMSKEERLALVKAGREDRGPYMARTAVKQKKTGGLSNKQKQHKKRMPLAATRAKAARSRQEKKQQRKRSGNQFRGRKAWK >Sspon.05G0001060-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:2484509:2484977:-1 gene:Sspon.05G0001060-1T transcript:Sspon.05G0001060-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding HGARVHLRHRARCRAQDPVPRCQARARQCRRRQARAAPRARCRRRGRPQEVVDPRLQERRRVHQPALARRLSPRRLRLRPAGAGQGPGVPQVVPGGGADPRRWAMAAVLGIFVGQAWSASR >Sspon.07G0007170-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:12226234:12230594:-1 gene:Sspon.07G0007170-3D transcript:Sspon.07G0007170-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ETLQHKFSIWGQRKEDAGKHRPRKQMYHQHQGPSELFTTRTSFPMEQHLFLRGGNAQGDSGLVLSTDAKPRLKWTPELHQRFVDAVNQLGGAEKATPKTVMRLMGIPGLTLYHLKSHLQKYRLSKNLQAQANVSTSKNELATCNRFAAIGCTSVADRVPGTSAATMSSTNVVPQAEKTIQIGEALQMQIEVQRQLNEQLEVQRHLQLRIEAQGKYLQAVLEQAQETLGKQNLGPANLEDAKIKISELVSQVSTECFSNAITDVKGSSSVHRLEPRQIQFVESSTNSYLSVAEGFIKEHRLQHHGVLKAYDDSSLFCRKRSHEHEAQFALNRSLSERRMAHLQNEAGYSKAEFGYESDTEMAHEYTAPQKNDGGSTTSSASGSKVDAEKLYLEEQNCARQAVEYPRESKLVDFEHPCSGKKLDLNTHNVDDTDQAYRHFDLNGFSWS >Sspon.01G0005220-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:12174901:12176233:-1 gene:Sspon.01G0005220-2B transcript:Sspon.01G0005220-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MYAHYVPYCPTRENLYNEVVPWLVAANPVNYGRPCELSCVEALSAALIICGEEDTAHLLLGKFKWGHAFLSLNRDLLKAYSQCENGSEIINVQNSWLSCNSSVPKPPVNGTEEARQSTEEGSEGDSDDDLPPLEKNLNHLNLDEDEESEEESESE >Sspon.03G0014230-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:64031078:64035727:1 gene:Sspon.03G0014230-3C transcript:Sspon.03G0014230-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIVLLALLLFPFADAQWPVCGNTGNYTAASTYQANLGQLSASLPKEASSNTTLFATGTAGAVPDVVYALALCRWDIDASACKDCVTTGFQDAQRLCAFSQEATVYYDSCLLSFSNVNFLSTTTNGGNVVLLMNTLNFTESEDSIRLVLFTLLDETAESAASSSRRFTTARMDISSEPTMYCAVQCTPDLTADECAACLQDFPQLTLQYLDGRRGGRVLGVRCNMRYEIYPFYQGDPTLRIISLAPAVPAIGNTTPGTNVTVYPQPPPPPPPPPAAPPAATIPSVPAQEQRGRKSKLWIIGIAIPLLAILLCSTFTFLWMRRRKKGMLSSQNRAGANRIEENALVWRIEEKSSEFTLFDLSQLLEATENFAEENKLGQGGFGPVYKGQLSDGQEIAVKRLASHSGQGFTEFRNEVELIAKLQHTNLVRLLGCCIQGEEKSLVYEYLPNKSLDFFIFEESQSSLLNWYKRRAIIEGVAQGLLYLHKHSRLRVVHRDLKASNILLDQDMNPKISDFGLARIFSSNDTEGSTKRVWLHVPEYASEGIYSVKSDVFSFGVLLLEILSAKRNSGFHRYGEFLNLLGYTWHLWEEGRWLDLVEASISKEMHEAEARRYINIALMYVQENADDRPTMSDVVAALNSESVVLPEPKHPAYFNLRVSKSVESAIVVETCSLNDVTITQDPQGR >Sspon.07G0011430-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:37675487:37675846:-1 gene:Sspon.07G0011430-3D transcript:Sspon.07G0011430-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LLFLQGYLDEQFNQLEELQDDSSPNFVEEVVALFFKDSSRLLTNIEQALEKYPQDFYRLDSLVHQFKGSGS >Sspon.04G0036890-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4D:52904798:52910147:1 gene:Sspon.04G0036890-1D transcript:Sspon.04G0036890-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLGGVAAMLYSMAGVEALQSCGQGELGPAMASGPRGRRWALGRGEAGRRGEADRRGRAGCGGARRGWEGLAVGGLRRCRGGGEAAARTRREALDPFSASPFRPSAIQRLNAAQPPQSSSSSGNKSASREHHQDRPPRFQKLDFLRYDGKSDPLIFINRFESYFYQQRIMVEEKVWMTLCNLKEEAQMWYIQVQQDEGTPSWRRFKELAHLRYGPPLRSNPLGELVACKRTGSVEEYQDRFQALLPRASHLDEVQRVQLFTAGLQPPLGYDVEIHNPQSLAAAMSLACKLELRDQCAAPPPRATHRALLHAPAPRLALPVPPAATITVEGRPVKRLTQAKQEEHRRLRLYYNCDEKFGRGHNRVCKRLFLLDSAVEDEANVPELSEEGATEEVVPHFSLHAIAGVRLNDMMQNHIMLSTTSLVALLDSGSTHNFISEATAQRTGLPLQHCPCMTATVANGELAHYAGPILWDFSAHNMTFQRRERQVCWHGVARPDAPRAPRLLLRRLRRAPRAASTTRPRPQHRPSPGIAACGCLAILVPGDHKDELERQCAAMLDQGLIRQSSSAFSSLVLINKADGWWRFCVNYRALNTIIVKDAFPIPVDELHGAKFFTKLDLRSGYHQVRMSLVDIAKTTFHTHDGLYEFLVMPFGLCNSPATFQALNDVMHPFRRRFMLHRLFVKHSKCAFGVGSISYLGHVITKAGVAIDPAKVQAVHDWPQPRSACTVWGFLGLAGYYRKFALKAAVTLAPVLALPDFAKPFIVECDASTHDFGAVLIQEKHPIAYFSRPHLATIPQHHWVGKLLGFDFTVEYKPGATNTVANALSRCDTDERADEITAGTRTSPWFLIDCMVAFDSRLYIPPSLPVLQEIMTAVHDDGHEGVQRTDYVRACSTCQRYKSKHLHLAGLLMPLMVPQAVWTDIGLDFVEALPHVGGKSVILTVVYRFSKYCHFIPLAHPYIAESETQAFFADIVRLHGVPQSMVSDHDPVFTSTFWQELMCLMGTKLHMTTTFHLQSDGQTEVANRVIVMYLRRVHLQYGLPVFAPDTPFRVVYGRDPLMIRSYEPGETRMAAVAKNMADRHEFLADVRYRLEQAQVQNRHYDKLHRPVSYAVGDWVLLRLHHRAAASLPQATKGKLKPRFFRPYGVTELINAVAVRLALPPRTRLHNIFHVGLLKKFIDIPPAAPPPLPDIHNSAAVPEPERAVHTHLACGVR >Sspon.03G0029440-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:15791637:15806197:-1 gene:Sspon.03G0029440-1B transcript:Sspon.03G0029440-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGGDSRAYQSQSSALSDSNQGVPLLKLLLWSIPSMWRHYPGRASASRECKHTRGGRSTRSVIEANEGDTVVVHVINDSPQRRYSPLPCPSTISAKNDHRLPPPESSASCRMVHSRHHCHARRHGIFQRGTRSWRDGPSMVTQCPSARATATRTGGHAVVARAQILPPPTVYGALIVKPRSGNAYPFPAPDEEKTVLLGEWWNAETFAPNAPVADAYTINEGRGFPTAARRRRSVSASRANRPKYALSCSSSSSAEFTDTIRLHAAGTEKFEVRGNSTYLLRIINAALNTAFFFKVAGHTFTVVAADASYTTQYETDVIVIAPGQTVDALMAADASPGRYYMAISSYQSADPLRPGSYNANITTAVVEYIGAASAGQQAPAPALPEMPEQNDTATANRFYTSLTALVRPGRRTVPLAVDTGMFVTIGLGLASCKPAESCSSSAGPMPMATMNNQSFVLPKAMSMLDARYRNTPGGVYTTDFPDQPPVAFDYTNQTNRLLLGGVAAALLFPGQPSTKVRTLAYNATVEMVLQNTALVGRESHPMHLHGFNFFVLAQGFGNYDGGTAAAQFNLVNPQERNTIAVPTGGWPDEIRTEILTWYKTWCICVGMWFMHCHIDAHLSIGLAMVFEVEDGPNTKLPAPPPDLPHFCWRSRSRFFSSDRRRMRRRRNTHSQHGVLQLMTPWADGPSMVTQCPIQPSSSYTYRFSVPGQEGTLWWHAHSSFLRATVYGAFIIRPRRGNAYPFPAPDKEVPIVLGEWWNRNVVDVESDAILAGQLPTQSDAFTVNGKTGLLYQCANETFTAVVEPNTRVLLRVVNAGLNSHLFFKVAGHNFTVVAVDAGYTANLNTDTLVLAPGQTVDALVTTNAAPGSYYMAVLAHDTMSPLTFAASDTTTATAIFQYNGTSTNPPAMPTMPSSSDAGTANAFYFGLRGLGTPAVPSPVDVSMTIELGLGQLPCDPSQTRCNGTAAAAAMNGVSFRLPSPETSLLGAHVNGVTGVFTADFPDGPPPSGTAMAVGTRLKKLSYNSVVEIVLQNPAAVPTENHPIHLHGFNFFVLAQGMGSFTPGSVAYNLVDPVARNTIAVPGGGWAVIRHVVLSLPPGPARAHGPGHGVSGGERDDARHDAPYAAWGLGGSMRRAALRGRGGGSSSAGVSPGPCPCPSPNPSSGTSRITAAGGIAVAKSAAVNHKPSPSLPQRREHTGTSTSNSAAGPGRRATGHLACFLCSVLLFFAAKLLALLVAALCTAATMANAAVVEHTFDVGGMSISQLCMNSVIYTVNQQMPGPTIEVNEGDTLVVHVVNRSPYPVSVHWHGIFQLRSGWADGANLITQCPIQPSAKFTYVFNITGQEGTLWWHAHASMLRATIYGALIIKPRSGPSGYPFPKPYAEIPILLGEWWNKNVEDVEIDGLLTGLGPVMSDAFTINGFTGEQPSCRGTTRTHDHAPVLRYEIRVSAGIT >Sspon.06G0015230-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:83925387:83926423:1 gene:Sspon.06G0015230-1A transcript:Sspon.06G0015230-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTALIRKRYMHLTEEYLAENPNMCAYMAPSLDARQDIVVVEVPKLGKAAAQKAIKEWGQPKSKITHLVFCTTSGVDMPGADYQLTKMLGLRPSVNRLMMYQQGCFAGGTVLRVAKDLAENNRGARVLVVCSEITAVTFRGPSESHLDSMVGQALFGDGAAAVIVGADPDERVERPLFQLVSAAQTILPDSEGAIDGHLREVGLTFHLLKDVPGLISKNIERALEEAFKPLGISDYNSIFWVAHPGGPAILDQVEAKVGLDKERMRPTRHVLSEYGNMSSACVLFILDEMRKRSTEDGQATTGEGFDWGVLFGFGPGLTVETVVLHSVPITTGAAITA >Sspon.08G0002410-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8A:7121155:7124074:1 gene:Sspon.08G0002410-1A transcript:Sspon.08G0002410-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRQATRTGGGPARHHPCRRRARGMCARPASSSPDLGTADLLPSDVSTAGLLLTGSRRNRPPPHRMCARSASSPNLGTTGFLPADVSVAGLLLTGSRRMKVPIGKETEANGGHRGAPDAAPDAAPDAVSFDRRVRSVQRQGLARELGFDTSASGDPRDRRVRSGEQRVTKFSDAMRVRSLTIGRVRWSRELTGLAPDAGTVASGGCEERVRSCLRARGEHVDVAEAPDAVERVRSVTTGASGGPAKISTAPSRLGDCWRRWQEKVIVLGHLRLIPSRTLQRSRPPPHRMCARSASSSSDLGTTGLLPAGCVRDGLLLVGFGRGRPPPCPHHTHFYIDALPPSSLPHPSRVATLSDPPPPVITTDLIPTRLRLAGINFPSLSSPTLPLSRSTLPHLHHHPSV >Sspon.05G0003860-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:6178152:6180202:-1 gene:Sspon.05G0003860-2B transcript:Sspon.05G0003860-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHRKFEHPRHGSLGFLPRKRSSRHRGKVKSFPRDDPKKPCHLTAFVGYKAGMTHIVREVEKPGSKLHKKETCEAVTIIETPPLVIVGLVAYVKTPRGLRTLNSVWAQHLSEEVRRRFYKNWCKSKKKAFTKYALKYDSDAGKKEIQLQLEKMKKYASVIRVIAHTQIKKMKGLKQKKAHLMEIQVNGGTIADKVDYGYKFFEKEVPVDAVFQKDEMIDIIGVTKGKGYEGVVTRWGVTRLPRKTHRGLRKVACIGAWHPARVSYTVARAGQNGYHHRTEMNKKVYKIGKAGQESHDASTEFDRTEKDITPMGGFPHYGIVKGDYLMIKGCCVGPKKRVVTLRQSLLKQTSRLALEDIKLKFIDTSSKFGHGRFQTTDEKQRFYGKLKA >Sspon.04G0011190-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:36129458:36136714:-1 gene:Sspon.04G0011190-4D transcript:Sspon.04G0011190-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKEREEQVAMVRAVLGEGTPEMDIIRALHMAGDDPTKAINILLDFNHKAAPPLPPTPSPSPSPSPSPPPGKPAKALVDSTPPSKAPTRPMPTAEKPKPATTNGGGGEHWWLVGSAEMAGLSTCKGRRIASGDAVTFTFPNATTAVGKSRPGRPALASCSSEIMRFSTPSHGEVGRIPNEWARCLLPLLKENKLKVKGSCKSAPEVLTIMDTVLLSVSIYINSSMFHDQKQSAPKAARVAPDDSTFHPLPALFKLIGLAPFIKAAFTPEDLYSRKRPIETKSSIGAAATKLTSERLRLSSDGNEDDHGEETVSDSDLDDIIGISDSSALEERAPPDSLLCDLRSYQKQALHWMLQLEKGSSSQDAATTLHPCWEAYKLEDMRELVLYLNVFSGDATTEFPSTLQLSRGGILADAMGLGKTIMTIALLLSDSSKGCITTQNAAQIPREASGLGESHDAVKKLASPFSFSKHKKPKAPLIGGSNLIICPMTLISQWKAEIEAHTKPGTVNIYVHYGQNRPKDASIIGQSDIVLTTYGVVSSEFSMDGSTENGALYSVHWFRVVLDEAHMIKSSKSLISLATAALTADRRWCLTGTPIQVLMHSNLRSLLYWQNNLEDLYSLFRFLKVEPWRNWALWNKLVQKPYEEGDERGLKLLQSILKPIMLRRTKNSTDKEGRPILNLPPANIEVKYCVLSEAEKDFYEALFRRSKVKFDQFVEQGRVLHNYASILELLLRLRQCCDHPFLVMSRGDTQEFADLNKLAKRFLRGGNGAVNGDSSCIPSRAYIEEVVQELQKGEGECPICLEAFEDAVLTPCAHRLCRECLLSSWRSATAGLCPVCRKSMSKQDLITAPTDNRFQIDVEKNWVESSKISALLQELEVLRSSGAKSIVFSQWTAFLDLLQIPLSRNNFSFARLDGTLNLQQREKVIKEFSEDNSILVLLMSLKAGGVGINLTAASNAFVM >Sspon.01G0007460-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:32428994:32437593:-1 gene:Sspon.01G0007460-2B transcript:Sspon.01G0007460-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLGFPPGAVVDGPEVWRRDAYGFAVRPQHVQRFREYAKIYKEEEEERAHRWRDFLDRLAESANVPTTPSVSPYAAARNGAAGAGQLQENNNIGIHCDDDEQEEGAENGEDNNKLENPKEADTSGESREANGKSEDLKDVTDNLDEVKEETSSSSTEAIKALEGLMEANGDVEELKDLNGSSEEFEEESNGNLDKLVELFLDKGLLDELKPIKVESRRRVRAALSIIDKMMSSRVVKGGDGANDTHGKDGAQLASIEEEGRTAEVSHDGDPAEVDESCVAEKIELGQETPDDSTGTALEGGNDGSYFPWREELESLVRGGVPMALRGEIWQAFVGVGARRITGYYNKLLDDRTATLDEKDLVDPVINEQRSAPRKVTQPEKWKGQIEKDLPRTFPGHPALDEDGRNALRRLLTAYARHNPSVGYCQAMNFFAGLFLLFMPEENAFWALVGVIDDYFDGYYTEEMIESQVDQLVLEEVVRERFPKLGPALVTTKDAGDAITLLQSLAGSTFDSSQLVLTACMGFQSVREMGLKELRKKHRPEILTAMEERSKDRGSWKDKKGLATKLYSFKHDPSFVCSPVKSKEGLDGSKVNGETGPANLETYLSTSSILDNDLDQGVDLQDQVSWLKIELCKLLEEKRSADLRGEELETALMEMVEHDNRRMLSAKVEKLEAEVYELRKAFSDKQEQEQAMLQILLRMEQEQKVAEDARIAAERDAAEQKHAAHLLQEKYEAAMAALSQMEKRAVMAETMLEATKQYQAGQVKANQSFTSSSPRADHVLGKINQEPNQDAPNRRIGLLSRGLGWLDKSKECGNNPTLWLSAVRKTVSKSVPQFPFHDPTVSKSVP >Sspon.04G0020070-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4A:70663547:70666348:1 gene:Sspon.04G0020070-1A transcript:Sspon.04G0020070-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LFRHRRPGYFKRL >Sspon.02G0022750-2D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2D:69968004:69968355:-1 gene:Sspon.02G0022750-2D transcript:Sspon.02G0022750-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LETEAASSREVTGKARAPRLRGWLQQRCRARHHDSTVRGSGRSRTRDCGRNLSRQNPGRAATTAVVWSKSGRWWRGTGRLCCDMELVDGGTVELRVPAGAGGPTVLVKNLYLSCDP >Sspon.02G0008560-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:24873459:24874400:-1 gene:Sspon.02G0008560-1A transcript:Sspon.02G0008560-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SMAAHLRSISLPTRPHSLVLKAEKELQRLRSCVSASPPPPAHALRALLQDLSDLHEWVEGVIRLPSNWDALRLPRHRRLVEGELEASVTLLDQCGAARDGLADAKERVRDLRSLLRRHRAVNSHGAPRRGGVDAAAASVSARAEACAAPLKKTARAIRRESGRCAGAALDTTRDDLSCGGAPPRPLAMLAEVRELTVCVLQSSMDALLRQVVVRPPSAGKWSLVSRALAHNRSRSFGSGEARDGAATRASADELVLGSFGAIMDVTVTGGDRPLDARSHLQSLEGCIQGLEDGLERLFRNLIRSRVCLLNCVSL >Sspon.08G0007450-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:22705950:22713153:1 gene:Sspon.08G0007450-3D transcript:Sspon.08G0007450-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQDLEMAARHGPGSGADGAHYYPQAPRNGAGGEELDDDGRKKRTGTVWTASAHIITAVIGSGVLSLAWSTAQLGWVVGPITLMIFAFITYYTSSLLADCYRSGDQLTGKRNYTYMDAVAAYLGRWQVLSCGVFQYVNLVGTAVGYTITASISAAAVHKANCFHKKGHAADCSTYDTMYMVVFGIVQIFFSQLPNFSDLSWLSIVAAIMSFSYSTIAVGLSLARTISGRTGKSTLTGTEIGVDVDSAQKVWLALQALGNIAFAYSYSMILIEIQDTVKSPPAENKTMKKATLMGVTTTTAFYMLAGCLGYSAFGNAAPGNILTGFGFYEPYWLIDFANVCIVVHLVGAYQVFSQPIFAALETAAAKRWPNAEFVTREHPLVAGRFNVNMLRLTWRTAFVVVSTVLAIVMPFFNDILGFLGAIGFWPLTVYYPVEMYIRQRRIQKYTTRWVALQLLSFLCFLVSLASAVASIEGVTESLKHYVPFKTKS >Sspon.01G0018840-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:69992550:69994470:1 gene:Sspon.01G0018840-1A transcript:Sspon.01G0018840-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRPSTFLPCLATAALPLSVSARPRLPPPSPSPAADAPAFSPHTFHMHLASVVRHLPSFLAALSRARAARLPLLPVTRALAASALLRHGRLPDALAHFSLLPDSDPTAPLPGPLCNSLLAALASSTGSLVHAGKVLDRMLAGAVELDTVGFGVFVKATGRKDNGLAEVLRMVDVVGCQGRQVNRSVVVAMVVDGMCREGRIEDAWRALEEMRLRGWKPDFVAYRIVAEAFRVAGRVEEEGRILKQKRKLGVAPRKDEYRECLLVLVSNRLVTEAKEMAEAVVLGDFPIDDDVLNILVGSVSEIDAEGAAMFCKFMMGKGRLPSTEMLGHLCESLCKSGKGDEMWEIFRMLLDKGYCRNARDYHLVVSFLGKAGKVREAYDVLKEVKKKRLEPDISSYNSLMEALCRNDLLRPAKKLWDEMFTSGCTPNLQTYNILITKFTEMGESEEVQQLFDHMFQKGVAPDGATYMSFINMLCQENKYERALEIFKKSWMQDVGVASSVLSSFILALCEQGNFKAALNVMCNVPSNVENLNSHIILLKYLTDVGEVEMAIEHLKWIRSNCSSNFENVMNELMASLSTSASLQHVTKLIQYLHSQRLVDDADPWMRLMGN >Sspon.08G0019700-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8B:14481107:14486000:1 gene:Sspon.08G0019700-1B transcript:Sspon.08G0019700-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding FIKITMHGLTDEFSVATQCQCLDRSSGDAGTSLYQRQQPSQKRSGEPGEVLGRVKEGDSSAWVKCLIYTSLEHYSATVRSIFEPSDLPCVATVDDVWKTVLPLGTMSNKFYKRSPSCQGPYKVIKVIFENSYMVETLQVDIYRGHLMVAI >Sspon.03G0025710-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:599199:600017:1 gene:Sspon.03G0025710-1B transcript:Sspon.03G0025710-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEAVDDYDLHMVCYGGDDDGRVMEWESGLPGADELTPLSQPLVPPGLAAAFRIPPEPGRTLLDVHRASAATVSRLRRAPSSSSGSGSSFAPFHPQAGAARGDEGADSSAAVGGAATSKRPRLVWTPQLHKRFVDVVAHLGIKNAVPKTIMQLMNVEGLTRENVASHLQKYRLYVKRMQGLSNEGPSPSDHIFASTPVPHSLVHEPQPQQVPVPTSMYAMHGVAAVGMVPMASGGQAYHHYHHHHHNGTGGGGYPHPHPQAAYHHYHHADK >Sspon.02G0058440-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2D:75657578:75658716:-1 gene:Sspon.02G0058440-1D transcript:Sspon.02G0058440-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRASVRPDTPWWSATPVQQSPPIGSRVSLKKKSSRLVLSPRPLVPIRSRHRPSPHRNAPPDKPAAARSRSRTLSLPRSVPNMKRLLWRLSPPCFTCPHRVPIHLARCGRGGRGGLRLGRPMFAPTPAAPSSPRCQQEGESCATAVPTREAMAERANIRYCMPSSADGASPWFTCRRLRPTDTSTVRICKRGRWARVGASPGKEGGHMSQFRHARVVPSYRHWMGMVQPCKAWATVFKSWRWLRTEWSMWDAMRAQST >Sspon.02G0036820-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:27157133:27157384:1 gene:Sspon.02G0036820-1B transcript:Sspon.02G0036820-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DMASSSRQQLAALAVLALAFLACAGIASAARPAPASGGGEEAGTTPASYLQMYPAAAVVEKARETVEMLMARLPAGPSPQGPGH >Sspon.07G0000250-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:666692:676813:-1 gene:Sspon.07G0000250-1A transcript:Sspon.07G0000250-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VFGPSPTAHLRPKSPKDSPSLAPSRLRLRRSPPTRHRAPPTRPVRVTVSGGRRPSLPSSSVASHDQQAPCAAAPKPPGGKETRTTGSMDKEENKEASVSISDNDTDTNGLDDDDYDEDDGKHTMMLGPQVPLKDHLELDKDDDSLRRWKEQLLGDVDTTKLGETAEPEVTILNLTILTPERPDLVLAIPLVLDDKGYAFALKDGSTYSFRFSFTVSNNIVSGLRYTHTVWKTGVRVEKQKVMLGTFSPRQEPYTYEAEEDTTPSGIFARGSYSAKLKFVDDDGNVYLDMNYCFEIRKDWPATA >Sspon.05G0005870-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:20353868:20355178:1 gene:Sspon.05G0005870-4D transcript:Sspon.05G0005870-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMERVEDLGLSLSLSSSLASPRTHHVATMLLRAPEKRFLEMPLLLPAKRSEVPGEEGLRGGSDEEDGGCGIDGSRKKLRLSKDQSAVLEDSFREHPTLNPRQKAALAQQLGLRPRQVEVWFQNRRARTKLKQTEVDCEYLKRCCETLTEENRRLHKEVQELRALKLVSPHLYMHMSPPTTLTMCPSCERVSSSNNGNSAATAAAAADRKTGADGRIFCHRPIP >Sspon.05G0005400-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:17398038:17399442:-1 gene:Sspon.05G0005400-1A transcript:Sspon.05G0005400-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAANGKEDAKRTGLEGTGLRLQGGSHGNLRSAGSDQQLRQMLDSLKSSKSPAVINYGASWCRVCSQILPSFCKFSDKFKNLTFIYADIDECPETTQNIRYTPTFHFYRDGERVDEMLGTGEERLHDRLWLHS >Sspon.05G0027860-3P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:56505040:56507525:-1 gene:Sspon.05G0027860-3P transcript:Sspon.05G0027860-3P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKAMATTEVEGPPAAAALEVPAKRGLLRYNSPLAQVSLLGLICFCCPGMFNALSGLGGGGQVDASTADNANTALYACFAVFGVLGGAAHNLLGPRVTLMLGALTYPLYAGSFLYYNHHRHSQAFPVTAGALLGAGAGFLWAAQGAVMTSYPPPNRRGTYISLFWCLFNLGGVLGGLLPFSLNYNSGDEAKNVSDSTYIAFMAFMLVGAALTMLVLPPAKIVRDDGTKATRVTFSSPATEGAEILKLFANWKMLLQLLLHLPVQQRQRRPLHAPHQGLNNVFYWGAQMIGSAGIGYFLDFGFASRRKRGLFGVVAVAVVGTAIWGGGLANQLKYTSVPLSDPIDFKEGHRYAGPFLLYFSYGLLDAMFQSLIYWIIGALANDSQILSRYVGFYKGVQSAGAAVAWQVDKQHTPLISQLIVNWGLMTISYPLLVLLVFLAVKDEDYSVSSVEEDGKEKDSKLSAPTSFH >Sspon.05G0006210-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:11334658:11338799:-1 gene:Sspon.05G0006210-3C transcript:Sspon.05G0006210-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALWKQASRLKDQVARQGVFKQFGYGNSDNAFTDESEVKLHQRLEKLYLSTRAAKHFQRDVVRGVEGYIVTGSKQVEIGNKLSDDSQKYGVENTCTSGDTLSKAATFFGKARSQIEKERGNMLKAFGTQVAEPLRAMVMGAPLEDARHLAQRYDRMRQEAEAQVVEVSRRQNRVRESAGNGDMISKLEALSTSLKN >Sspon.08G0002400-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8B:3806267:3807052:-1 gene:Sspon.08G0002400-2B transcript:Sspon.08G0002400-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVVAAVGPGAAAAAAGAYGHGQPLCGGGGARKRKDVGMVQDQEADPAVRGSDGRAVAAPRRGAGLFVLETVEEDADAERSSIGAPSEDEEEDGEEVDSGGTSSAAAAAGRRKGGALASMDMDALDDALPIKRGLSNFFSGKSRSFANLQDAASAVTSARDLAKPENPFNKRRRVLRCCSIRRVASTSLTALPPFFLPPTTDGSTGEDDDGAGRRSG >Sspon.04G0032410-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:26019772:26019978:-1 gene:Sspon.04G0032410-1C transcript:Sspon.04G0032410-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DARLFLSDYISIISAGGVWAQWFIDPFLALCWSGSSGGSSVYCCSSLQTTRESVDKPLHPALPSVIKGM >Sspon.03G0026480-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:541445:546198:1 gene:Sspon.03G0026480-2P transcript:Sspon.03G0026480-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding TESRSDILSSGATSPRTYTRRSSPLSSPFSSNDSIHKDGSDNYRLRTPYGSPPKNGLEKAFSDVMLYAVPPRGFFPSDSNAGSVHSMSSGHSDNTNGHPRGVPMDAFRVSYSSAVSSSSHGSGHDDGDALGDVFIWGEGTGEGILGGGGSRVGSSSGAKMDCLVPKPLEFAVRLDVQNISCGGRHAALVTKQGEIYSWGEESGGGLVMPKLIDALSHMNIELVACGEYHTCAVTLSGDLYTWGDGTFKFGLLGHGNDVSHWVPKHVNGPLEGVHVSSISCGPWHTALVTSAGQLFTFGDGSFGVLGHGDRESISVPREVESLKGLRTVRAACGVWHTAAVVEVMAGNSSSSNCSSGKIFTWGDGDKGRLGHGDKEPKLVPTCVAALVEPNFCQVACGHCLTVALTTSGHVYTMGSAVYGQLGNAQADGMLPVRVEGKLHKNFVEEISCGAYHVAVLTSRTEVYTWGKGANGRLGHGDTDDRNTPTLVEALKDKQVRSVVCGINFTAAICIHKWVSGVDQSMCSGCRQPFNLRRKRHNCYNCALVFCHSCSSKKSLKASLAPNTNKPYRVCDTCYSKLTKGLETDMHSSAKRAATVPGFSDTIEEDLETRSNAQLSRLSSMESFKHLDSRYSKKNKKFEFNSTRVSPVPNGSSHWSGLNISRSFNPVFGSSKKFFSASVPGSRIVSRATSPISRRASPPRSTTPTPTLGGLTSPRVVPNDGKPTNDALSQEVLNLRSQVESLTRKSQLLEVELDRTTKQLKEAISIAGEETAKCKAAKEVIKSLTAQLKGMAERLPGGAAKNTKLPPLPGISIPSDISSMATESVGSPSSSGEQIINGHNGLLASNGPSSVRNKTSHPEVGKNGSRPPDAESCHDAEWVEQDEPGVYITLTALTGGARDLKRVRFSRKRFSETQAEQWWQENRARVYQQYNVRVVDKSTASVDNDIAAN >Sspon.04G0000220-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:2193697:2197500:-1 gene:Sspon.04G0000220-1A transcript:Sspon.04G0000220-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGRESTVASGPNFSSFYAQHRGIGAPGVPGHSAGLHVPPPGGYRQHLDAVSAGYAFQTPHVGGPHIGQGYHHVEASHHVAQHSAGGGSSSGGGGMDIGIGAAVNADVKGDQGSGPGQDEQVKKKRGRPRKYKPDGAVTLGLSPSSSSMPHSSSPGMGTMVCTTPGSGFGSGGSGGSGSGAPSEKRGRGRPPGSGKMQQLASLGKWFLGSVGTGFTPHVIIIQPGEDVAARIMAFSQQGPRAVCIISATGAVSTATLRQDSDSGGVVTYEGRFEILCLSGSYLVLDDGGTRTRSGGLCIALCGPDHRVIGGSVGGVLTAAGTVQVIVGSFMYGGSKKNKAKAEADMEPEEANAGDEEAPAMALAEHSSMTPPAMSGGWASGMMRQMDSRTPNIDINSIRE >Sspon.03G0002530-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:6257898:6261529:1 gene:Sspon.03G0002530-1A transcript:Sspon.03G0002530-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPARPDPEPPPIHRLLELIKSEPDPANALSHLELLVSTRPAFPPPQPLIFHLLRRLATSSPSHLPRLLGLLPRMRHRPRFSESAALVVLSAFSRALMPDAALAAFRDLPSLLGCNAGVRSHNALLDAFVRARRYSDADAFFASLSHGAFGRRIAPNLQTYNIILRSLCARGDVDRAVSLFSSLRHRGVAPDRVTYSTLMSGLAKHGQLDNALDLLDEMPNHGVQADAVCYNALLSGCFKTGMFEKAMKVWEQLVRDPGASPNLATYKVMLDGLCKLGRFKEAGEVWSRMMANNHQPDTVTYGILIHGLCRSGDVDSAARVYLDMVKAGLILDVAVYNSLIKGFCEVGRTGEAWKFWDSTGFSGIRQITTYNIMMKGLLDTGMVSEATELLKQLENDASCSPDKVTFGTLIHGLCENGYANRAFEILEDARNSGEELDVFSYSSMINRFCKDGRTHDANEVYENMVKDGCKPNSHVYNALLNGFCRACKINDAVKIYIEMTSNGCCPTIITYNTLIYGLCKAEKYQEASSLTKEMLERGFKPDIRTYASLIRGLCRDKKVDAALRIWDEILDAGLQVDVMVHNILIHGLCSAGKVGEAFCIYLEMKEKNCPPNLVTYNTLMDGFYETGSIDKAASLWTAILDNGLKPDIVTYNTRIKGLCSCNRTPEGVLLLNELSVVRNPARR >Sspon.01G0003000-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:5211688:5213079:-1 gene:Sspon.01G0003000-2C transcript:Sspon.01G0003000-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGKGGDGGGAEAAAAAPEAAQPVVLKMDLHCAGCAHKVKKAIKRVPGVESIVTDVAANRVVVAGTADAGALKARLEAKTSKPVEVVSAGGAPKKSSAAEPKQDAGAGEKKGDKGASAKEEEKEKEKKQQAEEKKPKQVGTRQAMPPTQPNYSSDLQQQQQLKNASAFGSPQETVLLKIRLHCDGCADRIRRRIYKIKGVKDVVLEGNAKDEVKVTGTMDIPNMVSYLKEKLNRDVEAVAPPAKKDGGGESKDDKKDSGGSDKNKGAAGDDKKDKGKGIDMSAGPSTAAAAAFMAAPAGASTYHMAPPYGYVAYQQAPPPASYYPSYPYYGNGDGGMGHANPSYYHQPQQQQQPDGNQQPQMAYPPYPYRFDMAPPPQLFSDENPNACSVM >Sspon.08G0018240-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:5605985:5608827:-1 gene:Sspon.08G0018240-1B transcript:Sspon.08G0018240-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGIFEYWGVVVRPGATVKCDPGEFCCHVSQIALQDSKGNEDVSVFVKVDGKEFPIGTLSVDKYPQYTTSLVFEKEFDGLAIDISDDESDEEVPQAIPLVPNADDGKSKESKCGVEKPAATESSKPKVSLEETKDHDKHKADVGGTDDDESDEYFVDSEEGESGDDENSSDEDDGESSNEDDDEDSPKSTKRKNRPAETPLKTPPGKKARITTPSMGKKPVSDDAKKSNHVHVATPYPSSKQVKMTRSIIDNSNQSTGYACKLCSKTFYSSVGLETHCK >Sspon.04G0029720-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:73654938:73655096:1 gene:Sspon.04G0029720-1B transcript:Sspon.04G0029720-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding KMEVGAEEPCIRGDPFPPKCVGKCFRRGACDGCCKDRGYTRGKCVLLGCACCK >Sspon.07G0006350-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:16665487:16671798:1 gene:Sspon.07G0006350-1A transcript:Sspon.07G0006350-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAMAAVKVEEDEGSAWNWSNLAASSPLPSASDATSYGAGAGMSPMVFSPADSVSGRRRTNGPVRRAKGGWTLEEDETLRNAVYACEGKNWKKIAQSFPDRTAVQCLHRWQKVLNPELIKGPWTQEEDDIIINMVKKHGPHKWSVIARSLDGRIGKQCRERWHNHLDPQINKKPWTVEEECVHANAKLPTSGNHIDLNKEPNIYLKHPPEFFDHSEHTTHLHSSHLKNIKSYSDFLSLSMPTPQPEIPCEALEANCSGVALAIQGLKMDSVHDKGTEIDFFCKDRPEDPLNVDSGSARAGGKTANNVCRLSLLNESNSFGSLCYEIPKLEDVVPSHSPIFSTHHVLEGCGDGFQSPVGYTTSHVDGEISDQLSVESILKSAAETFPGTPSILRRRKREKPSPVQDSNLKTNILNSDGFHTPLGKCTAESSHSFKTATFLSLGPADNEGLSAALGSFDVSPPYRLRSKRLALLKTVEKG >Sspon.07G0020270-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:60261561:60263739:-1 gene:Sspon.07G0020270-1P transcript:Sspon.07G0020270-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADRRTVVYDAEAGDDHERQGTVWTATSHIVAAVVGSGVLALAWTVAQLGWVVGPLVLVGFSCVTYYTSALLADCYRYPDPVDGAVNREYIDAVRCYLDRKNVVLCGCAQYVNLWGTLVGYTITASASMIAVKRVNCFHRDGYGAAGCNPSGSTYMVVFGLFQLLLSQLPSLHNIAWLSVVAVATSFGYSFISLGLCAAKWASHDGGSDIRGTLAGAVVDVPREKAFNVLLALGNIAFSYTFADVLIEIQATLRAPPAENKTMKKASFYGLGMTTVFYLALGCTGYAAFGNDAPGNILTGFAFYEPFWLVDVANVCVIVHLIGAYQVFAQPIFARLESCVACRWPDAKFINATYYVRVPPCLLLRSSTSSTSPPATVAVAPLKLVLRSIVIMFTTLVAMLLPFFNAVLGLIGALGFWPLSVYFPVSMHMARLKIRRGELRWWLLQAMSFVCLLISIAASIGSVQDIVHNLKASAPFKTGN >Sspon.04G0009700-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:23257816:23260044:-1 gene:Sspon.04G0009700-2P transcript:Sspon.04G0009700-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSPCCEKDGLKKGPWTPEEDQKLLAYIEQHGHGCWRSLPAKAGLQRCGKSCRLRWTNYLRPDIKRGKFSLQEEQTIIQLHALLGNRWSAIATHLPKRTDNEIKNYWNTHLKKRLAKMGIDPVTHKPRSDALGTGGGVGAGGGGAAGAQHARAAAHLSHTAQWESARLEAEARLAREARLRALAASAASASASVSAPPHMPGPASASHRLDSPTSTLSFSESAALASALQEAHGAAAAAAAARAAMQPMQAYEEACKQQQQQQWGDHVVNVTDAGFAAAGFTGLLLDGSLGQQDLRPATRHDDDAAKADAGLQETEEEKNYWDSIMNLVNSSSLPTSSVAVPAPETYPSSVSLQTSVAMPALEAYSSPASLQTTSVAMMPALEAYSSSASLQTSVAVPAPEAYSTSSASFS >Sspon.07G0000500-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7A:1180763:1183018:-1 gene:Sspon.07G0000500-1A transcript:Sspon.07G0000500-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLILRKLLPWWRMRRNLKMHHSLRLRRTRKEMTLEEYEKVLEEKRKALLALKAEERKVEI >Sspon.01G0032970-2P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1A:111916554:111920461:1 gene:Sspon.01G0032970-2P transcript:Sspon.01G0032970-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DKLGERRPGTGRVSTATKPYPTLPCSTAQPSSPASKAKQPNRSPRRLTVSSSAASPDPGHGEPPAGLLPHLLSIHISRSTPLLSEGDSTNLDAAIESLLNVEKQMRLAGDVAGTRKAAIDIVELCYKAGAWKTLNDQIVLLSKRRGQLKQAITAMVQKAMDYIDLTPDIDTRIELIKTLSSVSAGKIYVEIERARLIRRLAKIKEEQGQIDEAADLMQEVAVETFGSMAKTEKIAFILEQVRLCLDRQDYVRAQILSRKISTRVFEADPLKEKKKPKEGDNIVQDAPADIPSLLELKRIYYELMIRYYMHNNDYLEICRCYKAIYDIPAIKEDPTKWIPILRKICWYLVLAPHDPMQSSLLNATLEDKNLTEIPNFRLLLKQLVTMEVIQWTTLWEFAKHEYENEKNLLGGALGAKAAEDLKLRIIEHNILVVSKYYSRITLKRLADLLCLSLQEAEKHLSDMVNSKSLIAKIDRPMGVVSFQTAQDCNGTLNSWATNLEKLLDLVEKSCHQIHKETMIHKAVLKA >Sspon.01G0022580-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:76260377:76269171:1 gene:Sspon.01G0022580-1P transcript:Sspon.01G0022580-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAITAPPAPPPASTSCRLLRRRGPLIRFAASSSSSPFSRFRPSSSSFPGAAGSSSSSGGGGEILHVSPPPPPAAPPGAPVYVTLPADAVGPGGRVARRRAMGASLAALAAAGVAGVAVELWWGVVERHRPGEYDWAGYLELAAMARRHGLRVRAILAFHQCGAGPHDHPWIPLPQWVLEEMDKIPDLSYTNRYQKRNKEYISLGCDILPVLKGRSPMQAYSDFMRSFRNTFEDYLGAIEVQVGMGPGGELRYPSCPTEKLNQPGSSSELGEFQCYDKFMQASLNARAQIFGLQEWGNGSSTGTDGSQQNLEETSFFRADGGYWDTPYGHFFLEWYSGMLLLHGERLCMIADAIFSGTGVTISGKVAGIHWHYYTCSHPSELTAGYYNTLLRDGYLPIAQMFAKYKAALCCGCFDLRDAERTNSESSPEGTLRHLAGAAKMCNLPLNGENSVTRLDDASLNQVIRSSRLYSGRTSGTSFSFNYVRMNKTLFEFHNWNRFTKFVRQMSDARTFLARLYVRRGQQYLSSMSVVWVVSRACAYT >Sspon.05G0006760-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:22410002:22414101:1 gene:Sspon.05G0006760-4D transcript:Sspon.05G0006760-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAEAVAYPAMLLVPFPAQGHITPMLQLAEVLAAHGVAPTVAVPDFIHRRIATACGGCVGGGVTLASIPSGIVQDSDDDTPGFRDIVHSMEHHMPLHLERMLTASPSPRRGPPVACVVVDVLASWAVPVAARCGVPAAGFWPAMLACYRVVAAIPELLEKGLISESGTPISSAVESSDSDEQVDVQDGRTTTIRGLEILPAQVELRVEELPWLVGDSATRRSRFAFWLQTLHRARASAGYSSTPSRPRPSVQQPLGTTTTTTRTASHGSRARVSSRSARRCYGRRRRAHEAAAAAAVRQRQQEPQHVARDATCIGWLDAQRAGSVVYVSFGSWVGSIGPDKVRELALGLEATGRPFLWALKRDASWRAGLPDGFADRVAGRGKLVDWAPQQDVLRHAAVGCYLTHCGWNSTLEAIQHGVRLLCYPVSGDQFINCAYITGLWRIGLRLGGGMTRDDVVAGIGRVMDDGDEGRHLQEKVWALRDRVVTAEARRAADRNVSSFVDEITRDHP >Sspon.04G0025980-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4B:33798803:33801982:-1 gene:Sspon.04G0025980-1B transcript:Sspon.04G0025980-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GNTQLSSGTVNPSTENYIQSNAIHQHQCLENVVAKVPVLSETHNTSSQEGHNHCTAATTDEHIRTTSDEVVRSLTQRASQPNTNGNYNLNSTRVIAEANSTEKPRKRGRPRKVVNPNWELNERGTKGKQNVGHAKPISPKGSCTDFLKTNGITYASEPSTGITPRMSTVESKSSDQDKEIHGGVIPQATAASVDPLDGIIQKIKLLSINGPDKVVAEVPKNALVPYEGEFGALVAFEGKTKKSRSRAKVNIDPVTTMMWNLLMGPDMGDGTEGLDKDKEKWLDEERRVFRGRVDSFIARMHLVQGDRRFSRWKGSVVDSVVGVFLTQNVSDHLSSSAFMAVAAKFPAKTEVPEKPVAEISHTPPEQKDSCSGLFGDSIKLQGKIFIEEISDVRSLITTEDNEESVSKGVLLDLNRSYQPLHTSMPYVQNSESDFTGCGQQDNDARVQTASHERHQSSALCENQNSHSEVLQGVASGSTQKFIDTQKGPSEAQQNGSKAKKVRGRPKKKTYDWDSLRKEVLSNGGDKQRSHDARDTVDWEAVRQAEVREISETIRERGMNNMLAERIKEFLNRLVTDHGSIDLEWLRDVQPDKAK >Sspon.02G0000970-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:3587576:3591661:-1 gene:Sspon.02G0000970-1A transcript:Sspon.02G0000970-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAARLPSPLLVVSTLLLLLLLLSPPRPAAARIQPLATAALRRLYDTSNYGRLQLNNGLALTPQMGWNSWNFFACNINETVIRETADALVSTGLAALGYNFVNIDDCWSYVKRGKQDQLLPDPKTFPSGIKALADYVHGKGLKLGIYSDAGKFTCQVRPGSLGHENDDAAIFASWGIDYLKYPPMRDALNSTGRQIFYSLCEWGQDDPALWAGKVGNSWRTTDDITDTWKSMTDIADKNNKWASYAGPGGWNDPDMLEVGNGGMTLAEYRSHFTIWALMKAPLLIGCDVRNMTSETMEILSNKEVIQVNQDPLGVQGRKILGEGKSGCREVWAGPLSGNRLVVTLWNRCSETTNITMKLPAVGLDGSAAYSVRDLWKHETLSENVVGTFGTQVDVHDTKMYIFSPATSVASI >Sspon.04G0000360-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:1337467:1338188:1 gene:Sspon.04G0000360-2B transcript:Sspon.04G0000360-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLSASAASILLHAFVLTTLLLAATVSITTAFVPVVDHRDDVNTTMFTNGSLTSTPSAENWEMYYCFLCTGRHPLLIHYCPIYWDECHLNCWDVIPEASAPPAPVPPSSAHPTAVQGKECYVMKLYMSGRYVIVERLSCDGIASCFLTCGGGELDDQKVAMGTATTTVPATTTAAIQGSFVPFELCNTQVNALRAPPSAGVVLLSSSALMDGCVSRRTRVQ >Sspon.07G0012640-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:52121413:52132587:1 gene:Sspon.07G0012640-3C transcript:Sspon.07G0012640-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinesin-like protein [Source:Projected from Arabidopsis thaliana (AT3G16630) UniProtKB/TrEMBL;Acc:A0A178VP70] MGGDSGDAVMARWLQSAGLQHLAAASSAGAGAAADYRGGMPAGLGGAGAGSMLSSLLMQGYGPESIEEKQRLYTLLRGLNFNGESAPTSMSEPYTPTAQSFGGGNPVEGFYSPELRGELGAGLLDLHAMDDTELLSEDVASEPFEPSPFMPKDIDDDDEDVISGSQQVPVDNYGVVTSEKESTSRENNVAKIKVVVRKRPLNRKELSRKEEDIITVHDSSCLTVYEPKLKVDLTAYVEKHEFCFDAVLDEHVSNDEVYRETVEPIIPIIFQRTKATCFAYGQTGSGKTYTMQPLPLRAAQDMVRLLHQPVYRNQNFKLWLSYFEIYGGKLFDLLSDRRQLLMREDGKKQVCIVGLQEFEVSDVQIVKEYIERGNAARSTGSTGANEESSRSHAILQLAVKKHIIVKDTRRQRDRDANEAKNTKAVGKISFIDLAGSERGADTTDNDRQTRIEGAEINKSLLALKECIRALDNDQIHIPFRGSKLTEVLRDSFVGNSRTVMISCISPNAGSCEHTLNTLRYADRVKSLSKGGNTRKEQSTGPTTTSSRESSSAPSYPLPAEAEEIPNQIQEKRPVDTYRKGTENLISNASVDPDRSSFSMIPSYSNRGREENGAASGNDRERYDIKSSQTAYTSKAQLVQNSANTQEEEKVTKVSPPRRKAYREDKSDRQSNYMKKDNGPETGRAGYKMQQAKQLQQQQRPASASASSRQSEKESSCDDVEIDAILEEEEALIAAHRKEIENTMEIVREEMNLLAEVDQPGSLIDNYVTQLSFLLSRKAAGLVSLQARLARFQHRLKEQEILSRKKPSRYAVQAVASSGLPSVVSFVI >Sspon.01G0025940-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:90566361:90567059:-1 gene:Sspon.01G0025940-1A transcript:Sspon.01G0025940-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRRWKPFFPAFGAIDTAIEAATEGCSRDKYRQVRSDLVEMLCDYDAADSDDDVRAEGLCRLLDRAMAEALLTLRAVPVPVTPTMLATTDVAKAVGGLLRHEAGWVRALARGILAQWSSSIEAEAASTEAEGAALDTLLQILCEHEVAAPVTGCVRSGPETTVLQAESIKQGTKISDLECKRPKKMPFPGVPIAGGDRVRNEQTGDAKRKHPGAGGYYREADDVKRQRKVP >Sspon.07G0004790-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:12251975:12253529:-1 gene:Sspon.07G0004790-1A transcript:Sspon.07G0004790-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:21.7 kDa class VI heat shock protein [Source:Projected from Arabidopsis thaliana (AT5G54660) UniProtKB/Swiss-Prot;Acc:Q9FIT9] MPGRRVIEVRLLPGGGSDAAAPRWRMSLLENTFSGFLQGAGADAAARAVFAEGSLFSPFLFGKFFDPADPFPLWEFESDVLLAALRRGNARTTVDWAETDSEYYLRADIPGGRRCDVEVSGDAMKVIDISGLWRAPPVADGRDWRAGRWWEHGFVRRVELPEDAEWRKVEAYFDDGEGSLEIKVPKTADDDAHHATA >Sspon.06G0008890-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:40906152:40909746:1 gene:Sspon.06G0008890-3C transcript:Sspon.06G0008890-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPTGGDGDSAAARGSAGGGGTGAGRWAVGAVCGGLVYYHCAVRRASAVSLAADVLLVLLCSLSILGLLFRHLHISVPVDPLEWQISQEMANSIVASLANTIGAAESVLRVAATGHDKKLFFKVVFTLYFLAALGRVVSGAAVAYAALCIFCLYMFAQSTDLFDQLPSWVPVGRDSLGGSQDTA >Sspon.05G0006280-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:11567958:11571139:1 gene:Sspon.05G0006280-3C transcript:Sspon.05G0006280-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VSIMKMLNHPNIVNLVEVIDDPNIDKFYMVLEYVEGKMVCDNGLEEATARNYLRDIISGLMYLHSHNVIHGDIKPDNLLVTSAGNVKIGDFSVSQDDDDMLWRSPGTPVFTAPECCQGSAYHGRASDTWAVGVALYCMVSGHYPFLGDTLQETYDKIANDPVQIPGDMNPQLADLLQRLLCKDPGDRITLQSAAEHPWVAGNEGPVPEFICRCGFGRRKRNDVREEVQ >Sspon.06G0008240-3C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6C:37169378:37175090:1 gene:Sspon.06G0008240-3C transcript:Sspon.06G0008240-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ADP-ribosylation factor 3 [Source:Projected from Arabidopsis thaliana (AT2G24765) UniProtKB/Swiss-Prot;Acc:P40940] MGIVFTRLFSSVFGNREARILVLGLDNAGKTTILSIGFNVETVQYNNIKFQVWDLASFYLPSISFAAPQLFHLHQRCSLPALWLESERSVMSNRPPLLCPVACWWIAPCYSSTSLDELGELEGRLWMRESSKEVGMSWIHGCLLRDRRPYWRCYFPNTQAIIYVVDSSDTDRLVTAKEEFHAILEEDELKGAVVLVYANKQDLPGALDDAAVTESLELHKIKSRQWAIFKTSAIKGEGLFEGLDW >Sspon.01G0032390-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:113072060:113074905:1 gene:Sspon.01G0032390-3D transcript:Sspon.01G0032390-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MESCKSNSGNPKVQYAEVLCSVMSPSSSTTEENTIPQSSGTSCNGDITRNSDVATFLDNRCGMKCSSPTQKYSLGGITWNISVDEKMEDYLIFWIGQDNSAFANIVLTFNKCEIVRYDAIENQLTADISHLMKILRRRYYLVEKAKDANIIGILVGTLGVAGYLHIIEQMKELIKAAGKKSYTLVMGRPNSAKLANFPECEVFIYVSCAQTALLDSKDFLAPVITPFEAVLAFGRGREWTGEYLLDFKDLITSEKQEVVSTTEEARFSFIKGVYVEDNFPQENEEQSETSLTLAEVTEKALSIQNQHNDAVLYQGRAMSSIDYLKARSYRGLTGEYEGPVPNSILVGRTGRAAGYSDEKTENAQ >Sspon.08G0015580-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:62411415:62414143:-1 gene:Sspon.08G0015580-1T transcript:Sspon.08G0015580-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLPNGGAAASGSSGARPVDKEVDFANYFCTYAYLYHQKEMLCDRVRMDAYHSAVFRNAPHFQGKVVLDVGTGSGILAIWSAQAGARKVYAVEATNMAEHARELARANGVADIVEVIQGTVEDVELPEKVDVIISEWMGYFLLRESMFDSVICARDRWLKPDGVMYPSHARMWLAPIRTGLGDKKREDFDIAMDDWSLFVQDTQTYYGVNMNALTKAYRAEHEKYYLKSSIWNNLHPNQVIGQPAAIKEIDCLTATVDEIREVRAQVTMSVRMEARLSALAGWFDVHFRGSAQNPGVEEIELTTAPDEHGGTHWGQQVFLLTPPLGVTKGDNVNVSFSMSLLLSTTVVSVRP >Sspon.07G0026490-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:53900823:53902632:1 gene:Sspon.07G0026490-1B transcript:Sspon.07G0026490-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSAHDEVTDSGAFDPSPSTFRSFVSKDSSARFPAAPGRYQYHLYVSYSCPWACRCLTYLKLKGLDRVIGFTVRIHQSSNYSSQPIFERMNKIHSVKPIFERTKETDDHMGWVFPATGDEEPGAKPDPLNGAKSIRQLYEMASANYAGKPSVPVLWDKQLKTIVNNESSEIIRMLNTEFSEFAENPGLDLYPAHLQASIDEINELVYEAINIGVYKCGFAKQQGPYDEAVTKLYEALDKCEDILSRQRFLCGNQLTEADVRLFTTLIRFDEVYSVYFKCNKKLIREYPNLFNYTKDIYQISGISSTVNMEHIRKSYYGGHSPINPYGIIPVGPNIDYNAPHDREKFKA >Sspon.01G0017320-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:64826307:64834053:-1 gene:Sspon.01G0017320-2C transcript:Sspon.01G0017320-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDVQPLVSDFVLKLKRRKVEGSHAVARQTAELLRSVVSQHRMGSSNQAAALADAVRAVGEQLIAANPIELAVGNIVRRILHIIKEEDISSTAVGIEGLSVTVDSDDEYDSEHDDRPALSAAVLAAHARNALRAPSLQTLLEDIPVSPEISRTASSAGDSDGKTGDKSSTRKLKHDVIAAIGDLIDEIDSCYEPISEQAVELIHQNEVILTLGRSRTVKEFLYAAKEKKRSFRVFVAEGAPRYQGHVLAKELVEKGVQTTVITDSAVFAMISRVNMVIVGAHAIMANGGVIAPVGMNMVALAAQRHAVPFVVVAGSHKLCPLYPHNPEVLLNELKSPSDLLDFGEFSDCMNFSTQDGSPLLNVVNPTFDFVPPKLVSLFITDTGGHSPSYMYRLIAEYYSADDLVVRRKATS >Sspon.01G0013470-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:39500768:39503297:-1 gene:Sspon.01G0013470-4D transcript:Sspon.01G0013470-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding WLCGSQHVLSQKTPLEPKDKFLLSDPPIGLFDPIEISPSVLPHNTNPVEPLSPMYPNYTSYDPVLTGKCHVNFSALSYVMEKTAYDCSIPLAPLVADVICCPQVNSLMNVFQAAYGSGNDTLVLNQASANACFSDIMNILASKGANTNIPELCTLRPSNLTDASCPVKDISSFEKIVNTSKLLDACSSVDPLKECCRPVCQPAIAEAAIHISSGGANMFGSSSMPGSAVGIDVVSDCKGVVHSWLSMKLSSEEANSAFRVLSGCKVNKVCPLEFDEPSSVVKACGKASSSTPSCCAALHSYIGTRQKQIFVTNLQAINCATKFGSMLQKAGVVDDIYGLCDIDLKDFSLQGCLLRSLPTDIVFDNTTGISFTCDLSDNIAAPWPSSSSVQSLSLCAPEMSLPALPVSPKSESSGPHIDRFNSTVGSAGKEATTVLNVL >Sspon.04G0032840-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:34915167:34917920:-1 gene:Sspon.04G0032840-1T transcript:Sspon.04G0032840-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GRLQSTRPPPSLPRTRSAKPQGSRQQQPATATASRCPHTATAHAPTTPPQRKSRLPPSFLSFAHLPAPLSSPFLSLAHVFLHSTNTNTHPPTWPAGAPHTPLALMTTAPNIEMIASSLRHCSLNGGAGAGAGGGSGRRRGGTRRRGAEGGDDSEGVTVELNSEVALPYHWEQCLDIRTGQVYYINWEDGTRTPVDPRTTSAFSSPTPRSTSSASRRTRRASTPSSGYTSVSSSVGADVTGTWRGAAGNDSGYDNDDEEEDDGEEDEDEDEDEDEDEAESSSTTSSSSSSTGSSRGSAVSSTLSSFSPTDESASGDNGGRGLGGAGHVLVAAGCRACFMYFMVPKRADVCPKCGSSGLLHLSRNGYA >Sspon.02G0011240-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:5757850:5759669:1 gene:Sspon.02G0011240-1P transcript:Sspon.02G0011240-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVRIRLARFGCRNRPFYRLMAADSRSPRDGKHLEVLGYYNPIPGKDGGKRMGLKFDRVKYWLSIGAQPSDPVERILFRAGILPPPPALTMAHKGGPHDRHPIDPMTGRPLDIEGLTIVDDPSTPQSENGAPIEEVA >Sspon.07G0019860-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:69674453:69680534:-1 gene:Sspon.07G0019860-4D transcript:Sspon.07G0019860-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LSLLVYPVQAKPDVVFKEAALNSTLNLQDVQGLVTWVIGDGMLPSWVFVKNKPLIPKVVLLYVPGLDAALYMSQTRLLSSLKELCGNPKPVLASSCIPDERHTIDALLTCRVKRKRDVKTSNQSSKPDIDGIDLFYLFVVYCVTEAGFELTRVTLIDIKGSCLIPPPVNSMRTFSFAVLDRLVKPANPIIDYNTRFSGITAEMLADVSTTLQEIQEEFVGLVYKETILVGHSLENDLMALRISHGLIIDTAVLYKYNRGSRCKIALRVLTKKFLGREIQNTGSGHDSVEDARAALELAILKIKHGPDFGSQPSLSRRKLTSILHESGKKCSLIDDVSVLERYSDTSCNSIAVFSDDDALSRSMKEVKNDKVSFIWTQFSGLISYFHRRAQDPEKLKSCVAEAIALKTCAGKTASKKARSQICPELKEILCELDKKIRQLYGALPDNAMLIVCTGHGDTPLVQRLRKMLHHEEETIDSRETFVQALGDLQAQAEVALCFCCVKH >Sspon.05G0002700-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:12547996:12549119:1 gene:Sspon.05G0002700-3D transcript:Sspon.05G0002700-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAREEELKRIDLKVNVSCCDGCRRKVMKAMSLKGVLRTEIQPSHDRVTVVGDVDVKVLVKKLAKVGKIAEALPASEQGKKQRDEGGRKDGSDRAAPAQAEEKCKGKEDGMGDGDKAAAKVAPSKHEGCKKCAREAAARAIPEGDNGDHGNVKKAPSSKDDAAGGWSGEEGGDADGLFGAKPLAVAPDHHHAALQPQAQVQVQQHYHRAEPAMVVPVHVPAYYPPPTAAAAVPYYGYYGMPPPPPPMMMALAPPAQRHPQVRPQPSRFDEDYFNDDNTVGCSVM >Sspon.01G0012110-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:33154540:33154887:-1 gene:Sspon.01G0012110-1A transcript:Sspon.01G0012110-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPAVVQPAAHTRHGCALETAAGDDHVNAAEQTVVVVKAPTVEVRQDHLPEDDLSIDIDLDLDFDMGELGFLSPWRGEVADSIEPAGQFGGDELDDLEALLLGPGGDDDHEFPWF >Sspon.08G0007590-3C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4C:59805493:59806387:1 gene:Sspon.08G0007590-3C transcript:Sspon.08G0007590-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to Heat shock transcription factor 29 (Fragment) [Source: Projected from Oryza sativa (Os02g0232000)] MATSGGGAAVNAAGMAPFVAKTYRMVDDPATDAVIAWGRDNNSFVVADPFVFSQTLLPAHFKHSNFSSFVRQLNTYGFRKVDPDRWEFAHVSFLRGQTHLMSQIVRRSSGGNGGGKRNKGDVVCTGNVNAVDEDAVATEVVRLRREQRAIEEQVAAMWRRVQETERRPKQMLAFLIKVAGDPQVLRRLVSGGGGPGAGGGDAGFAACTERDDGANIKRARLLLDAGCGGGGSSGGSDVDIGGFYSTGGGEDDVGFCGDYLQPTPYVFP >Sspon.04G0037270-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4D:65745898:65746205:-1 gene:Sspon.04G0037270-1D transcript:Sspon.04G0037270-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIMSGTLHFFTVLCISMAFLMAIGSVAVAACERPLDLPNPNPYGYDSEIYTASPQTHQDVLLEDGALPRGDDIFCECVSDGMCG >Sspon.02G0019410-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2C:65626709:65627319:1 gene:Sspon.02G0019410-2C transcript:Sspon.02G0019410-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKEVDVSTLEAGGVRDYADPPPAPLIDIDELGKWSLYRAVIAEFVATLLFLYITVATVIGYKHQTDATASGADAACGGVGILGIAWAFGGMIFILVYCTAGISGGHINPAVTFGLFLARKVSLVRALLYMAAQSLGAICGVALVKGFQSGFYARYGGGANEINPGYSTGTGLAAEIIGTFVLVYTVFSATDPKRNARDSHVP >Sspon.03G0030400-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:20688207:20690952:-1 gene:Sspon.03G0030400-1B transcript:Sspon.03G0030400-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRTPPLVPLLSPSRHRVSSPSLLASGAVPSNLICLFPHTHRHHDALCPSVPSVWPLHRRRRRGAAASLDQEESSAAETTVAPEEDPGPPGSSDAAAEDGVATSAELAEASPDDLENIREIKRVLELLKKNRDMTFGEVKLTIMIEDPRDIERKRTLGIEDPDEITRDDLADALAEVNEGRIPENRVALQLLAKEMSEWPDIEIEAPKKKSKPGKSVYAKATDTGIDPVTAAKRLNLDWDSAADIDGEEEEDDETEVPSAVGYGALYLLTAFPVIIGISVVLILFYNSLQ >Sspon.05G0025560-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:24440164:24442577:1 gene:Sspon.05G0025560-1B transcript:Sspon.05G0025560-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSADAEAAGGRRGTLAKVSLSSVSAAMAEASTYPLDAVKTRLQLHRSPGGSGGRGVVRVAAELVRDGGVYRGFSPAVLRHLMYTPLRIVGYEHLRSTLASEGREVGLFEKALAGGLSGVAAQVVSSPADLMKVRMQADSRMLSQGIQPRYTGIADAFTKIIRAEGFRGLWKGVVPNAQRAFLVNMGELTCYDQAKRLIIRKQICDDNLYAHTLASVASGLSATTLSCPADVIKTRMMNQGKEGKAMYRSSYDCLVKTVRHEGVTALGRVSCLHGQGLAHGSLCSGFPTRNCAKHQ >Sspon.07G0027520-2P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3C:5170259:5171366:1 gene:Sspon.07G0027520-2P transcript:Sspon.07G0027520-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSRMMSLALLVCMAALAVMMQSASSQGQGVPDIPSLTVGSNSLATTLKCTNTKTNKTTCRATCNKRCPHKCLIQCPSCKTFCLCDFYPGVSCGDPRFTGADGNNFYFHGKKDKDFCIVSDADLHINAHFIGKRNPAMSRDFTWIQALGIRFAHHHLYVGAQKTAKWDAAADHLALAFDDEDLDVASQLPRFVGARWSPPTAPALSVTRTARVNTVVVELRGVFRIVANVVPITAEDSRIHNYGVTNDDSLAHLDLGFKFYDLTDDVHGVLGQTYRPDYVNRLNVTSKMPVMGGAPDYLSSDLFSTDCAVARFGGRHQAGTAAA >Sspon.01G0022020-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:80704472:80709768:-1 gene:Sspon.01G0022020-1A transcript:Sspon.01G0022020-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPHRHLLLLLPLVLLAGAPAGADDLVSELQSLRARSPSGVIHLTDTAVTRFLSAPSARRSYSVLVFFDAASLHSKPDLHLPQLRTEFALLSASFLAHNPDSGDLFFADIEFAESQHSFHQFGVNSLPHVRLIRPEHAILSGSEQMDQSHFARLADSMAEFIESRTGLEVGPIVRPPLLSRNQIILLGILFLISIPFMIKRIIDGETLLHDRRVWMAGALFVYFFSVSGGMYGIIRHTPMFLTDRADPNKLVFFYQGSGMQLGAEGFAVGFLYTLVGLMIAGVTHLLVKVESLQTQRFAMLAVMAIGWWAVRKVIYLDNWKTGYSIHTFFPSSWRYAKESDVANLADWKGKADLGFLSSSALEDSSALLHFSSSVLSGYEVVQIDIAGIEHHVVMTCQKFKVAVSQLL >Sspon.07G0010960-3C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7C:42328491:42330581:-1 gene:Sspon.07G0010960-3C transcript:Sspon.07G0010960-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRRRGLPPACLPVLLLVVCLAAAAVSGEPLPQYYDAIFSFGDSFSDTGNFVIINSGKLPNMPKFPPPYARCSNGRLVIDFLAEAFGLPLLPPSANTGTNFSQGANFAVMGATALDLQFFKDNNVWSIPPFNTSMNVQLEWFQEVKRTICPSDPAACRALFGRSLFVFGEFGGNDYSFAWKADWSLEKVKTTLVPAVVASLVSGVERLLDEGARHVVVPGNLPAGCIPITLTMYPAEDRSEYDPRTGCLKKYNSVALYHNAVLRIALDRLQRRRPESRIVYADYYTPYIQFARTPHLYGYKRGALRACCGGGGPYNYNMSASCGLPGATTCEDPDAHVSWDGIHLTEAPYRFIANTWVKGPYAHPPLATVVLEDMVD >Sspon.04G0011530-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:31264659:31266161:1 gene:Sspon.04G0011530-2B transcript:Sspon.04G0011530-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MACAAGDPRDPPERPLNQPALLADDLAAFRAVLGADLPVAAAVDALTRCSGDTERAIKWLLDDATAADGDGGLHGTRERGVKAERGIGGASFPSPPLPPVKVEAADEGELKVNVKREPIDADTDEVKVKVEACGEAEVKVKVEAPGGVEVKVKREPIEADCRLQEQSGRRVKQEVADEIDVKEEQSRDSPIKGQLLSRRRVKEESDSSEDEVEIVDPAPRSKKRPREDDDVPFIDLTTSHPVPYLNPKPIRALPPPGTIPDGGGAAAAGAGRVPPDRREWCFFKKSYATGLSTCRGRKLLDAGEVVHFAFPSYDRLHGGLRMSVRQAAALAEIVRFSTNRSGEIGKLSPVWAKCLAPLASSSRIMVQGKIVFPMMELRLMQEVLLYVSFYIHRSSMYLVAPENVHHPDNPLRVLFKLLRRFGVPEN >Sspon.03G0013050-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:36500614:36511774:1 gene:Sspon.03G0013050-1A transcript:Sspon.03G0013050-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRGGGKEELGKVMGPLFPRLHVSDAGKGGGPRAPPRNKMALYEQLTVPSNRFSCPAASARAAGASLLPSTSAAQVYGYDRTLFQPFDVPLNEPARSSEKFKGNSINGQSNSTRRESLRMSSQTKSKDVCASKSIAECTSQHRVGNTIKSSGKKVVNDDEFMVPSIYSSRFYRYSTQEHADKSKPQSATNPHRSPSAVSKSSAKCYSTVNKHLDRINEADMRLMNSPKVKEKEAGQGSKGVEVKEKNSSIQASEKFKDKYAKLCEMRNMVSNINRSDNNSRQPTSVNGKSTEAKNPTATRNPSSCKPCTDVDSSNWNSNLLERRPREGGAKRKREHHNGEQNDDLSDSSVECIPGWELSPDEIVGAIGPKHFWKARRAIQNQQRVFAVQVFELHKLIKVQKLIAASPHLLIEGDPVLGNALMGKRNKLPKGNLKVQTLSITNKDDIQPTLEQPELSKQNTEGNPSHHSRDDGLSDNHHDQAAANETFTSNPPAIPVAPDNKQNNWCMNPPQNQWLVPVMSPSEGLVYKPYAGPCPPVANLLTPFYANCTPLRLPSTPYGVPVPHQPQHMVPPGAPAMHMNYFPPFSMPVMNPGTPASAVEQGSHAAAPQPHGHMEQQSLISCNMSHPSGIWRFLASRDSEPQASSASSPFDRLQAQGDGSGPVSFFPTASVLNAQPQPSSGGRDQQNHVIRVVPRNAQTASVPNAQPQPSSGGQDQQNHVIRVVPHNAQTASESAARIFRSIQMERKQNDS >Sspon.05G0014400-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:55185015:55195055:-1 gene:Sspon.05G0014400-1P transcript:Sspon.05G0014400-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTAADGPLVEPQSLKKLSLRSLKRSLDLFAPAHALLFTPDAESKRIRTGCKVRAEYGAVKDLPPEQGRGGQGKGPAPPSSTALALPGTKDTKDGHREGTSNAIVPVPLMLPKAPESTIPGKNTTLSIPGSSDRFSTSALMERIPSRWPRPIWHAPWKNYRVISGHLGWVRSIAFDPGNEWFCTGSADRTIKIWDLASGTLKLTLTGHIEQIRGLAVSQRHTYLFSAGDDKQVKCWDLEQNKVIRSYHGHLSGVYCLALHPTIDILLTGGRDSVCRVWDIRTKAHVSALTGHDNTVCSVFARPTDPQVVTGSHDTTIKFWDLVAGRTMCTLTHHKKSVRAMALHPKEKAFASASADNIKKFSLPRGEFLHNMLSQQKTIINSMAVNEDGVLATGGDNGSLWFWDWKSGHNFQQDQTIVQPGSLESEACIYALSYDVSGSRLVSCEADKTIKMWKEDLTATPETHPINFKPPKDIRRY >Sspon.01G0022170-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:81348407:81357653:1 gene:Sspon.01G0022170-1A transcript:Sspon.01G0022170-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPYAVPDSQVKCRPPLLKSTVLLLQGNTAALISIIKSEHIYGAVENELQVCRNSTIRTTVPSKANIYAVTVENRCICTQANIKLACSGFNSSVAIDPAGVLNVDGDGKLCTLNGGPPIGMGPEYAVKFSYASPSGQFGFKPVSSSIACSVLTPKPAPPHAAMMQPPPPQQQQQWAMAPPPPPQYYQAGHPPPPPPQFYQAGPPPPAMWGQPAPAPAPSGGGAGDEARTLWIGDLQYWMDENYLYSCFSQAGEVMLRCRLTAACSDGSHDTCVAKVISVKIIRNKQTGQPEGYGFIEFGNHALAEQVLQNYNGQMMPNVNQPFKLNWATSGAGEKRGDDGSDYTIFVGDLASDVTDFILQDTFKSRYPSVKGAKVVFDRTTGRSKGYGFVKFADLDEQTRAMTEMNGQYCSSRPMRLGPASNKKNTGGQQQPSSAIYQNTQGTDSDSDLNNTTVFVGGLDPSVTDDLLKQTFSPYGELLYVKIPVGKRCGFVQYSNRYYYMDFILIKKNYFFRASAEEAIRVLNGSQLGGQSIRLSWGRSPANKQPQQEQNQWSGGYYGYPQGYDPYGYARPPQDPAMYAYTPYPGYGNYQQQPPQQPPPQQ >Sspon.01G0026320-3C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1C:95582494:95586346:-1 gene:Sspon.01G0026320-3C transcript:Sspon.01G0026320-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAGAAAAAPRLNPSPSPHRRRASSALSPAKSNANAGAGDARPKPKAKVVSSRYLAPSSKSTSTSTSTSTATTSSSNSTSTSTSTSASTPSRRFASPLPRRSVSVDRPRPGPAGNAAVGETGGPNAGTTTTTRSLSVAFQGRSFSFETSKAKPATSPSPSRRPVASAAGSITPERRRPAMGTVPERGKGFEGGLAHHRWPMSARLSQGFEGNPLTKSLDCSLHKRDAAVLAAVRSLRQSMVFEEGARRSSFDSGDYLMSSDTESVSSGSNSGSQDAGIVISHRARPSPKGMSVPARFLQDAAVSRSQRFADPGTPYLPYTSGLAASPRTAPVKKSLQNGFVSSPLNRSVRQSSPSKLTGNPLRRMSSPSRARNCVGSGTSSWDHQGRSSSGYALNGDVRRRWHGGSKVDCEHLLRILSNRHLQWRCVNAQADAALAAQKLTAEKYLSDAWITTLGMRKSVALKRFQLQLYRNNWKLMTVLKGQMGYLEEWSSLERDYVDSLSGTVEALTASILCLPVTDGAKADIQDVKNAVGSAVDIMQTIGSSICSLLAKLAGTSILVSDLSKVATQERTLMEQSRELLSTLATMHVSTEIQSSRLRF >Sspon.08G0004580-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:14047828:14049828:-1 gene:Sspon.08G0004580-1P transcript:Sspon.08G0004580-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein transport protein Sec61 subunit gamma-1 [Source:Projected from Arabidopsis thaliana (AT5G50460) UniProtKB/Swiss-Prot;Acc:P0DI74] MDAIDSVVDPLRDFAKDSVRLVKRCHKPDRKEFTKVAARTAIGFVVMGFVGFFVKLIFIPSTISSSVPASLGDGKRDL >Sspon.07G0014270-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:57004620:57011608:1 gene:Sspon.07G0014270-2B transcript:Sspon.07G0014270-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPPELSDDLLGEAFLRLPPDDPACLLRASLACKRWRRILADPAFRRRHREVHRMPSVVGFLRISGDYASRFVPNNPASGRPTARDLPGRFVLDCRHGRVLLSAPSPLLGPKLNYDLIVWDPLTNEQRCLPRLSPPLTDVSGGHFNAAVLCSAAVEGCDHSICHGGPFRVAFIWSHGGQLHARVYSSETDNWSEAISVQKPPQRPIRLRLPMLLCRNTLVGDTLYFHSSLEYALEYQLGAQRLSIIKGPPRPVSQIRSFFVMPMGDGGLGCMDVEEDESSLRLQLWSREAASDSGEVARWTRGRAIDLEELLPDGALPSPRWMSVPRRLPRVRLLGLAEGTDVIFVGPKAHNRPNPYDVYMVQLNSGRARKVLDQCTFVVPYTSFCIPVIDASSTTEGPGDNASVTDASSTTEGPGDNASGTLR >Sspon.05G0031860-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:20162244:20164723:-1 gene:Sspon.05G0031860-2D transcript:Sspon.05G0031860-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ARRRAPPPWPPRTAASPLLSRPRVQQRRRPRRSRHRHGRRGDSAGLAVRGVLGLQPPVPVAARRVPRPRRATRHGGRDPAGLPGGHLLPRRARDVRRRPRFHRAPARRARLPPLVPLRRRRRRRRGGGALLRAVRGDGGEAGGARGRGRPVVVAVHAPGPLLRAAGREPGGQREGDEERGQHQRAALGRPRALPLGGRRAVRAGPPDAGDHRPVRHPRPRRRRRRHRRSGTRRRQLRGCASRAPAAAVAAGGRDRRGRAPAATGPQWCLQHAGQAAARALQDRHQEEAAADGGLQRRGHAPPALQLHFLRFRRRLRAGADAGVRGAGPPDDPRLDLHRQPLRPPRQQNQAGHSRCSLLALTGTHPMIAALAVDPSRQSTPVYLLPRSPEATGRDWSVPIEAPSQMWSMHVGNAFEERNARGGINIQLHMSGCSYQWFNFHRMFGYNWQNKKLDPSFMNIAKGREWLPRLVQVSIDLDKRGACRGCSVRRLSDQWTRPADFPAINPGFANRRNRFIYAGGASGSRRFLPYFPFDSVVKVDVSDGSARSWSAAGRKFVGEPVFVPTGSSEDDGYVLLVEYAVSDHRCYLVVLDARKIGGRDAVVAKLE >Sspon.05G0029590-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:83894037:83897801:1 gene:Sspon.05G0029590-1T transcript:Sspon.05G0029590-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDYAREMMELKTLVTRTLEKKGVLAKIRAELRASVFEAIEEEDRVVENDDGGNPALLGSCNDRAKQLHASPSGRLLTALVCEYLEWAQLSHTMKVYLPECNLPKDFWKNELKDFSNKSGAEGSRSAESGPMLLDVLEGYLKYENLSQTRMGGRRMMSSESDPSLNAEHRSMRRPPSASVGSLPPMGRPISSSQASDRRGGSSASNTRKDEYNWRYDADDISEEVLRASAALESVQLDRKSRNLPTSWRHSGDGAE >Sspon.01G0019080-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1C:72333341:72338578:1 gene:Sspon.01G0019080-2C transcript:Sspon.01G0019080-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chaperonin CPN60-like 1, mitochondrial [Source:Projected from Arabidopsis thaliana (AT2G33210) UniProtKB/Swiss-Prot;Acc:Q8L7B5] SRRAPSIRLARAVSKIHPSPTSSAPRVLSPSARKKRSNSPPPPFFHTTQSSRRPQASAPAPPSAIMYRAAASLASKARQAGSSSAARQVGSRLAWSRNYAAKDIKFGVEARALMLRGVEELADAVKVTMGPKGRNVVIEQSFGAPKVTKDGVTVAKSIEFKDRVKNVGASLVKQVANATNDTAGDGTTCATVLTKAIFAEGCKSVAAGMNAMDLRRGISMAVDAVVTNLKSMARMISTSEEIAQVGTISANGEREIGELIAKAMEKVGKEGVITIADGNTLYNELEVVEGMKLDRGYISPYFITNSKTQKCELDDPLILIHDKKVTNMHAVVKVLEMALKKQKPLLIVAEDVESEALGTLIINKLRAGIKVCAVKAPGFGENRKANLQDLAILTGGEVITEELGMNLENVEPHMLGTCKKVTVSKDDTVILDGAGDKKSIEERAEHIRSAIENSTSDYDKEKLQERLAKLSGGVAVLKIGGASEAEVGEKKDRVTDALNATKAAVEEGIVPGGGVALLYASKELDKLQTANFDQKIGVQIIQNALKTPVHTIASNAGVEGAVVVGKLLEQGNTDLGYDAAKGEYVDMVKAGIIDPLKVIRTALVDAASVSSLMTTTESIIVEIPKEEAPAPAMGGMGGMDY >Sspon.08G0019620-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:14221431:14225123:-1 gene:Sspon.08G0019620-2D transcript:Sspon.08G0019620-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAAAAAAATTTASTSTLRPALSQDCYAAAGPEQRASLLCTPKHRVTASAGRRSLRFTARASSNPGAKVSIPKQWYNLIADLPVKPPPPLHPQTHQPLNPSDLSPLFPDELIRQEVTDERFVDIPEEVIDVYKLWRPTPLIRARRLEKLLGTPAKIYYKYEGTSPAGSHKPNTAVPQAWYNAAAGVKNVVTETGAGQWGSALSFASSLFGLNCEVWQVRASFDQKPYRRLMMETWGAKVHPSPSTATEAGKKILEADPSSPGSLGIAISEAVEVAATNADTKYCLGSVLNHVLLHQTVIGEECLEQLAALGETPDVVIGCTGGGSNFGRLAFPFLREKLRGNMSPAFRAVEPAACPTLTKGVYAYDFGDTAGLTPLMKMHTLGHGFVPDPIHAGGLRYHGMAPLISHVYELGFMDAIAIQQIECFQAAALQFARTEGIIPAPEPTHAIAAAIREALECKRTGEEKVILMAMCGHGHFDLAAYEKYLRGDMVDLSHPAEKLEASLAAVPK >Sspon.04G0002840-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:8707513:8709309:-1 gene:Sspon.04G0002840-1A transcript:Sspon.04G0002840-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLYIHPLCVLKPRIHHPRHPSITTTVRAPRNQPLAAVVRPQFPRGTSQFRTHRPTGGHRRWRPSSRAAPTPNPAGQIHLHGDRADPGAHPPHAPRAAFPPRPRGWQPHRRPLLHPLSSPPRPLPAGLLFTAVSSGKRLSALAQLSPRACAMPAYSVVIRLPGPRALRVLARSVLFAVALLSLPWLRTAEAPARGRAVDTCSAAAAQAELLLRDLRQEGLLAHGARAVVLGADGDCDPPAPKRDQDSVLRPVSLRRMLMIGDSSVDFLLDFGYFSEDADRFAFADRVLKHGGILAAPIDSLSALSLPQNYRVTYIHRFAEAFVGVKKIAPADDNSHAGTRTELSSPPSLKEGVLYSQPAETTNGEFKNMARKLLLSDITGTPTAYYKRKMLVQMLRQDQKS >Sspon.05G0024140-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:12642809:12643439:1 gene:Sspon.05G0024140-1B transcript:Sspon.05G0024140-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding HGRHRRRHSRHGLLHVRRRRLPREALPLRAMPPPLPALVLHQLLRRQRTRLGRLRHVRLVPQRRGRREGEVVVLVSGRDKAARHGREPGVVVDHHELRRRQGQRRRPGRAGWAASDDQGGRPQVQAAQGRLV >Sspon.02G0043110-3D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2D:83548147:83549181:1 gene:Sspon.02G0043110-3D transcript:Sspon.02G0043110-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPQLMDELVEEILLRLPPDSPASLVRAGLICKRWCHLVSDPGFQRRFREFHGSRPILGFLNTNNDSVGDNGYTYCTTSFVPEPGSALRRVEHPRLLPRECRHGRVLLYSKHRYDFAIIVVWDPITGERVELPNLPSAFLFVNTWTAAVLCAAAPSGTCDHLDCHGGPFIVVLVVQYHMDDLPISSYVYSSESGAWSEPTFSLPHSGGDWIGWLHSSQLVGNALYFVPVLRDFTFSILQYDLGTREITAINPPKAAHMDRIFELIATKDGRLGFAGVEETKLSLWSREVVGGGRWALCQVIDLEKLFPGASSPVYLALLKILVSYSWECDVGSSLLIYGLDW >Sspon.07G0007330-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:16970546:16972150:-1 gene:Sspon.07G0007330-2B transcript:Sspon.07G0007330-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEELQAAVTAHLDQVSGLVQALSSELRRGMGPAADNLRGFIRAVDWTEPWLMCLMAFHVVLLLTAVAFRRNANFQLFLLFLAYSGVYLAEKMNIYLGEHWKSFSSRNYFDRSGVFISVVWSGPLIFISIVSVISSLIALCRLMVKWKRAELRHRARLARDKKD >Sspon.05G0031140-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:3476753:3480162:1 gene:Sspon.05G0031140-1C transcript:Sspon.05G0031140-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLHQVWQLGVKDMKAVPLPRLRAAPKRRAWILAVAAFVFFALAWAYLYPPPHYTSPVRDWLPGRLPAEPARELTDEERALRVVFRQILTTPPVRSKNSKIAFMFLTPGTLPFERLWEKFFEVTWGKISMVDAERRLLANALQDIDNQHFVLLSDSCVPLQNFDYVYDYLMGANLSFIDCFYDPGPHGNFRYSQNMLPEVTETDFRKGSQWFSVKRQHALMIIADSLYYTKFKLHCRPGMEDGRNCYADEHYLPTLFHMMDPDGIANWSVTHVDWSEGKWHPKAYRAKDVTFELLKNITSIDMSHHVTSDSKKVVTEKPCLWNGAKRPCYLFARKFYPESINNLLTLFANYTLI >Sspon.03G0038940-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:8508790:8509586:1 gene:Sspon.03G0038940-1P transcript:Sspon.03G0038940-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPVKVISHYASPFGHRVEAALRLKGVPYELIAEEDLSNKSELLLASNPVHKKVPVLLHGDLAVCESVVIVQYVDDAFDGPSLLPADPYDRAMDRFWVDFMENKCSKSFWLAHWAEGEAQKAMVKEAMENLALLEAQLDGKRFFGGDTPGYVDIAVSALGPFPSVLEEVTGLTLVDAKEFPALCQWSNEYNSNEALKPCLPDRDRLVAYFTENIEMYKMIARTMLQQ >Sspon.08G0019710-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8B:14487257:14490204:1 gene:Sspon.08G0019710-1B transcript:Sspon.08G0019710-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKANLRNLYPNGLASLGAAVEPNMQPQSVETLYSCSFCNKTFKSPQARGGHQNAHKKEIAMLRRNLEEEMSNKRAKQAHNVFVASHDVKQLPLGVRYDVAGDVALTWLEVDAKIHDADLGTVNHGADL >Sspon.08G0003780-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:9903662:9908120:1 gene:Sspon.08G0003780-4D transcript:Sspon.08G0003780-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Integral membrane protein, Metal ion transpor [Source: Projected from Oryza sativa (Os06g0676000)] MSGPSQSQPQFMTSVGRSNRSNGPGTPLIESIDVDQIVIPEKNSWKNLFSYIGPGFLVSIAYIDPGNFETDLQAGAQYKYELLWIILVASCAALIIQSLAASLGVVTGKHLAEHCRAEYPKVTNFVLWILAELAVVACDIPEVIGTAFALNMLFRIPVWCGVLITGLSTLMLLLLQQYGVRKLEFLIAFLVFLIATCFLVELGYSKPNASEVVRGLFVPELKGNGATGLAISLLGAMVMPHNLFLHSALVLSRKVPRSVHGIKNVLGNWSSKLFAVALLASGQSSTITGTYAGQYVMQGFLDLRMKPWIRNLVTRSLAILPSLIVSIIGGSSAAGQLIIIASMILSFELPFALVPLLKFTSSKTKMGQHTNSIFTSVLTWVIGSFIVVINTYFLITSFVKLLLHSGLSTVSQVFSGIFGFLGMLIYIVAILYLVFRRNRKSTQPLLESDAELAVSGSSAGAGAECSLGHLPRRTSPACNFRSSVQLLI >Sspon.01G0046490-3D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:116195550:116197640:1 gene:Sspon.01G0046490-3D transcript:Sspon.01G0046490-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASASLASSLLCSSSASTSRIAAMPRVSRSPVFSKNQYRPPLRPLLRSSSVVRRSLQQEQEERAGPASSVSVASGEQQEEATASHHVGEVDEAKASAHVGEADKGGHGEGGGEEKRSTDEQQEVDWKSDEEFKKFMGNPSIEAAIKLEKKRADRKLRELDREPDANPVAGLFRGLAKDQLAREKQRLELAEQTFKALDLNKLKSCFGYDTFFAVDVRRFGDGGIFIGNLRKPIEEVRPKLEKKISEAAGTEVTLWFMEEKNADITKQVCMVQPKAEIDLQLEITKLSTPWGYLSAVALAVTTFGTIALMSGFFLKPDATFDDYVSDVLPLFGGFLTILGVSEIATRLTAAKYGVKLSPSFLVPSNWTGCLGVMNNYESLLPNKKALFDIPVARTASAYVTSVVLAVSAFIADGSFNGGENALFVRPEFFYNNPLLSFVQLVIGPYADELGNVLPNAVEGVGVPVDPLAFAGLLGIVVTSLNLLPIGRLEGGRIAQALFGRGTAALLSFGTSILLGVGAISGSVLCLAWGLFATFIRGGEEIPAQDEITPFGKDRFAWGFVLALVCLLTLFPNGGGTYSASFLGDPFFRGGI >Sspon.05G0017360-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:68154485:68156584:-1 gene:Sspon.05G0017360-3C transcript:Sspon.05G0017360-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEGPAAKKPTSTAGAAAGDAFAALPDEVLQHILSFLPAQPAVRTCVLARRWRRLWEGAAGLRITAANAPEPIHLPEDLVSSGELREFVDHLLLLRGGAPLDSCEFMFDVRDDADVPHVNLWIRHVVRCQVRRLELSITREEDPRGLHFHVDNLPLVSRHLRRLELNDTGLNDSFLDLSGCPALEDLYIDNGNFVHAKRISSKSVKHLTIIDSNFNKQIRTIIDVPSTVSLRLEDPWFRTPVLRSMPLLAVAVVRFGTDCMSSDQCYNSESGDCDDEHCQGCYGLEVNFNGSTANVAKKIKSVLLNGLSEAKSLVLTDESQMFIFKRDVKWCPMFRMLKTLLLNEYWCVPPDFRELACLLEHSPVLEKLTLQIFSKGPEHKFEIIGSYSQMEGSAAISENLKIVEVKFEVADEGVLKVYNFLQTLNIDFNFDEEEETLVED >Sspon.05G0026480-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:5B:35799885:35801162:-1 gene:Sspon.05G0026480-1B transcript:Sspon.05G0026480-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MESWKVKQKEKVANALQFIVSELDTEKKSRKRAEKTNKKLSIALANTEASLQAVMKELERERKSKGRVEKICNELIRGIDEDKAEVQALKRETEKAQEELQKEREMLQLADEWREQRVQMKLLEARLQFEEKNAAVNQLRDELQAYLDTKKEQEPLNDPMQLSHASENGALPADVVPDRNGGNCSEDDASEGSDIHSIELNVDGINRTYTWSYTPSSKGRQRSASRHESFSDRGMDGVNSWRLEQSFRDMDEELEGDWAEGCSNGILTLDHDEERYLAIKNLREQMLAGSGFILSQGRENAEREYCGL >Sspon.03G0041920-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3C:48055343:48057690:1 gene:Sspon.03G0041920-1C transcript:Sspon.03G0041920-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MWGGWRRPAPSRRRLLMVVLLLLSWAGKEAADDPGGGLQDQEQDPQQESADLADHRQEAAHADEEKEDRGELRSDRFPDKEEDIFKSFLPHRYPVGILSIRGRKRRGLSYSSFGLYLPCSDSIVDIGNGIVVRLVLNCCIGVGGMMWILVN >Sspon.05G0024160-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:10427326:10427688:-1 gene:Sspon.05G0024160-2C transcript:Sspon.05G0024160-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LLPRPASAAPKDVQAAAALAAAADFPHLPSSSSCPGGGAGNAKSPESSSSASASASDDASAASPPPPPPAAPQEDAEPNPDDALFDLPDLLLDLRCCGPSSWAVDDDVAGGGAFRLIEEPL >Sspon.02G0005900-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:17675965:17678831:1 gene:Sspon.02G0005900-2B transcript:Sspon.02G0005900-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DEAVRLREARAPVAANVACGARGHFHRRSARGKATPEAVLVVVVVVGVGGGVEADAGRHLRGRRHDGRVHGEGAGAGEEASRGAESQGEVASPCHPGGELRRLPALRPADAAAGIHADGLPVL >Sspon.02G0000250-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:11802812:11803706:1 gene:Sspon.02G0000250-1P transcript:Sspon.02G0000250-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRGDPRPPPLAQLAVSDSFRTCVLLSFDKIPNGDWPPQGLLSIGTLGDDPPPAAGDGGGGPPRASQADVLDFTIEEVKKLQDALNKLLRRAKSKSSSSRGSGATDEDRASQLPLDRFLNCPSSLEVDRRISLRHAAGDGGENGEFSPDTQIILSKARDLLVNSNGTAIKNKSFKFLLKKMFVCHGGFAPAPSLKDPVESRMEKNDASEEDECSPEQCCSVVQEVLPR >Sspon.04G0004320-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:12939068:12940814:-1 gene:Sspon.04G0004320-1A transcript:Sspon.04G0004320-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GKYDMVVLIISTFVVGVCATLTKLHPKMKPYEYGFRVFLLTFCYVTVSGYNTGKFTATAISRFLLIALGAAVSLGINIGIHPIWAGEDLHTLVAKNFSGVAKSLEGSVDGYLTCMEYERIPSKILTYEASDDDPVYSGYREAVEASTQEEALVGFAIWEPPHGPYKMMKYPWKNYTKVGGALRHCSFSVMALHGCILSEIQVPPESRKVFCAELHRVGQEGAKVLRELGQRVKTMTKLSSPNILSEVHFAAEELQKKIDEKSYLLVNTERWEVIPRHAGTAQTHDGANVADKDESPENTAVDSVHISNSFASNPFLSRFDSSNPFLGRYDSGSMMVGSYKAQSSWPVRQSFHPSFPFETGESRTYESASALSLATFASLLIEFVARLQNLVDAFEELSDKANFKDPVEEPSTISREPPGVLARIHDFFRLK >Sspon.08G0016060-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:63706021:63715357:1 gene:Sspon.08G0016060-1A transcript:Sspon.08G0016060-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHWKKRESDEAIACSTPDPGLQDLSSLGRVAIYKREEKLSKDVMLPCLLLHSSASPTTEVSTSASREAADLTAPHREEMPDNESVPLLPPQAASPSPTPQSEPRPLQSLPACQSPTTTLSALTVPESIEMTHVPCRPLPCDNLGSSQQSVGFATDSVEVEGEVHGKTAQEEEPGMLYVQMKDMESNLLWEIDDEMERVEVDWEKVKEATTFFETRLTEITLKFKRASKLIVKLKQENKELEVKLKQENKELEVKLKQENKDLEVKLKQENKELEVKLKQENEVTRAFEKKASDLSKELDIVRADRDSLSRRFQDAKKKAADLCDAFNAPCNKFSIDNSTPDGVEVVTERLGNFPTELTDEAVAAAADGGHCRIGTHISTRRTSDLVAPPTMKTKIRLPSLLKSPDACLRTEESLSIQFTMIIKRVEAKEIVQRLEQVLQYP >Sspon.05G0021110-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:80390111:80396091:-1 gene:Sspon.05G0021110-2P transcript:Sspon.05G0021110-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MYEPVDMTVFDPLDLDSWELDAFLRKHQMNILESSTHSGCQVAINEIEHQRALVMELHDLILPILDPCSRQEKLAQQLFQDIFSSSSKVISFLELGDNSNKQANLIKYRRKGGKNNVESHILGEEAKEIGNKRRKNAQHTGSVVTQAPHFDGYQWRKYGQKWISKAKHSRNYYRCANSKDQGCLATKTVQQKESDGNAGTVRLFDVDYYGQHICKKDDIIHPYVVEKTKYSAPIVNHNQSISGSTVVHNDVLGIQDESFENLFMVPSTPEYLIDFTDIEMAGALEVTSMMIPEDIWA >Sspon.03G0010040-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:2660260:2663977:-1 gene:Sspon.03G0010040-2P transcript:Sspon.03G0010040-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding HSMTIPRSFRRLLLPSTPASPVRPPAVSPVAALSRSLKRTRSAMTFNKIQVANPVVESAGDEMTRVFWKSIKLQLIFPFVDLDIKYFDLGLPYRDATDDKVTVEAAEATCRYNVAIKCATIMADEARVKEFGLKAMWKSPNGTIRNIRVGTVFREPIICKNIPRLDSGWTKPICIGRHAFGDQYRATDAVIKGPGKLKLVTEGKEEQVELEVFNFTGAGGVALSMYNTMQSIHAFAEASMATAYEKKWPLYLSTKNTILKKYDCRFKDIFQEVYEAGWKTKFEAAGFRYEHRLIDDMVAYALKSEGGYVWACKNYDGDVQSDFLAAGFGSLGLMTSSQVCPDGKTIEAEAAHGTVTRHYRVHQKGGETSTNSIASIFAWTRGLAFRAKLDDNARLLDFTQKLEAACIGAVESGKMTKDLALLVHGSCSVTRSHYLNTEEFIDAVADELRSRLAANSN >Sspon.05G0003500-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:477905:482077:-1 gene:Sspon.05G0003500-2C transcript:Sspon.05G0003500-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Organic cation/carnitine transporter 4 [Source:Projected from Arabidopsis thaliana (AT3G20660) UniProtKB/Swiss-Prot;Acc:Q9LHQ6] MSTTEPLLSAGAANDGEAGKKDQSSSKGRRVCIDEALSSYAGEFGRWQLRHFVAVSAAWALEALHTMVIIFADREPATSCAGSRCAAASSTVAEWGLVCGERYKVGLAQAVFFAGCMIGAGVFGHLSDSFLGRKGSLQVVCILNATFGLLTAASPNYWAYAALRLLTGFSTGSVGVLAFVLATEPVGPARRGAAGMSTFYFFSGGIAALAGIAALFPRSWRLLYVVTSLPSLAFAVAVVPFVSESPRWYLVRRRPDDALRVIRDIAATNGRAVPEDLTLKLDDEDEEEQGKKDGGGGTVAPAPAASSGSIVDVFRSRTTRVRLVLSVLINLLTSVVYYGLSLNVVNLKTNLYVTVVVNSLAEMPAYLLTALLLDHFGRKPLAIGTMLLSGVFCTAGSLIPGAGIMRVARMACGVVGIFGMAATYNLLFIYTAELFPTVVRNAALGCTAQAAQMGAIVAPLVVMLGERLPFAVFGASGIVGVLLVFYLPETMNKPLYDTMAGLEEGEKSVLK >Sspon.02G0013850-4D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2D:30125835:30131337:-1 gene:Sspon.02G0013850-4D transcript:Sspon.02G0013850-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RYGKSYESAAEVQKRFRIFSESLQLVRSTNRKGLSYRLGINRFSDMSWEEFRATRLGAAQNCSATGNHRMRAAAAALPETKDWREDGIVSPVKNQGHCGSCWTFSTTGALEAAYTQATGKPISLSEQQLVDCGKPFNNFGCNGGLPSQAFEYIKYNGGLDTEESYPYKGVNGICDFKPENVGVKVLDSVNITLGAEDELKDAVALVRPVSVAFEVINGFRQYKSGVYTSDHCGTTPMDVNHAVLAVGYGVENGVPYWLIKNSWGADWGDEGYFKMEMGKNMCENREGKELAPACMSPPYYGCACDGKFAGDIILGDSMILIPVDIARIGGGIGNRFADGSFNLFASSIQMPSSLLLIRKPSASPITHEAAALVCKKN >Sspon.06G0005500-4D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6D:17063505:17064367:1 gene:Sspon.06G0005500-4D transcript:Sspon.06G0005500-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GDPDEQQRDPRADPGDAAALGAHHRLGRLAAVARRRHRVRPLPVHPAIALGTALMAVSLLGLAGACCRATWLLWLYLLAMLVFIVALLCFTVFAFVVTDKGAGEAVSGAGYREYRLGDYSAWLRRHVEGRRNWARIRSCLADAHVCRRLEEEESKDANATSLARLGLSPVESGCCKPPASCNFTYAGGTEWTKTKAAAGAGSASSADPDCGAWRNDEDDLCFGCQSCKAGVVDALKRDWKRAAIV >Sspon.01G0033750-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:102527866:102535080:-1 gene:Sspon.01G0033750-2B transcript:Sspon.01G0033750-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MESGAQPHYFRKFPIPHKKELRKEEGLIGRFGSSNKRNITILKNVNGILKPSRMTLLLGPPSSGKSTLMRALAGKLDKNVKVSGSITYCGHPISEFYPERTSAYVGQYDLHNAEMTVRETLDFSRRCLGIGARYEMIAELARRERDAGIKPDPEIDAFMKATAVQGQETNIITDLTLKVLGLDICADVIIGDEMIRGISGGQKKRVTTGEMLTGPARALFMDEISTGLDSSSTFQIVTFMRQLVHVMNETVMISLLQPPPETYNLFDDIILLSEGYIVYHGPRENILEFFESAGFRCPDRKGVADFLQEVTSKKDQQQYWYLDQEQYHYVSVPDFAERFKSFHACQQMQKELQIPFEKSKTHPAALTTRKYGLSSWESLKAVMSREQLLMKRNSFIYIFKVTQLIILALMSMTVFLRTKMPHGQIADGTKFFGALTFGLITIMFNGFAELQLTIKKLPVFYKHRDFLFFPAWTLGVANIILKVPVSLVESAVWVVLTYYVMGFAPAAGRFFRQFIAFFATHQMGMALFRFLGAILKTMVVANTFGIFVLLIIFIFGGFVIRRSKRNQQNPVIYMDGTSSGSNALVSEGEDGLNEMVLKGRSKDARSEDEISQAVYSDPGTNGATNTLAESRVTLPFQPLSLCFNHINYYVDMPAEMKEQGFTESRLQLLSDISGAFRPGVLTALVGVSGAGKTTLMDVLAGRKTSGAIEGDITLSGFPKKQETFARISGYCEQTDIHSPNVTVFESITYSAWLRLSSDIDDGTKKMFVEEVMALVELDVLRNALVGLPGVSGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVNTGRTVVCTIHQPSIDIFESFDELLLLKRGGQVIYAGELGRHSHKLVEYFEAIPGVPKITEGYNPATWVLEVSSPLSEAQLNMNFAEIYANSVLYRKNQELIKELSIPPPDYQDLSFPTKYSQNFYGQCIANFWKQYRSYWKNPPYNAMRYLMTFLYGLVFGTVFWQKGKNIDSQQDLYNLLGATYAATFFLGASNCITVQPVVSIERAVFYREKAAGMYSPLSYAFAQTCVEVIYNVLQGILYTVIIYAMIGYDWKADKFFYFLFFITASFNYFTLFGMMLVACTPSALLANILITFALPLWNLFAGFLIVRPAIPIWWRWYYWVNPVSWTIYGVVASQFGENEGELSVPGGGGKPVVVKQFLKDNLGIQHDFLGYVVLVHFAYVIVFFFVFGYSIKFFNFQKR >Sspon.02G0026190-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2A:90886309:90886542:-1 gene:Sspon.02G0026190-1A transcript:Sspon.02G0026190-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGPPLASFPCAVADAGPPEMRLAPRTGEQPKVQTRVTGERKGELLLSCMSVRVCCSSSVEQSLRLCWTYLSVVNND >Sspon.02G0043950-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:101326742:101333717:1 gene:Sspon.02G0043950-3D transcript:Sspon.02G0043950-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMEVYERLTAAETRHHRGTRFDALIGLDEVEGSDEEEEEEEEERAAGAGLGDELPCPFCGEELDAVGLWCHMDDEHHAEANAGVCPICTDKVDKNLFDHISSKHRGFLKDKWRNQKGSSGARYSTLALLKRDLHERINGSSRAAPVSTVPDPLLSSFVGNFYEVDLPKDAKKESLAETEVGSDNLEQKAAESVDEPLLPEVKEERTRRSQFVQGLVLSLMFDDIL >Sspon.04G0023180-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:347637:349016:-1 gene:Sspon.04G0023180-2D transcript:Sspon.04G0023180-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSQMMFPIWGAPPPAALQPPSEDHAAAPNAQPQPFLPPPNPNPNRGWKRKNPAAAYQPPALGDLQVQNRAKARRWFKNNGGGGGNPNPNQARKYFFPKNKNNKAAAPRNTTSFIIRAKRAGGIASLVSPCPVTPAVLPTPRLSPSREGLADMAQAQWGVDGYGSMKGLIRLRSSPQPANPNAAASDDDDEGNSSGSDVEEHVEVERRLDHDLSRFEMVYPGRGGEDAGGYVLEDEDEYDQDAHVARLEEENLTLKERLFLMEQEVGDMRRRLEALEARFSLGGGVGGGENEVEEAPPQNDAERIHIGSENSSEDDVERVRVGSEKGRAQAQGAMGLEKSGGDDTEEQGAAHSEMTGGNGSGEQDVVVGVLGKTDVHNAEKQQGAMGSDKTGGADEQDVVVGVLGKTGAHNAEKQQGVVGTEKTGEHSIEMVDSALEEKMDEDNGDDEVRLAQLRSED >Sspon.03G0024510-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:93230240:93236086:1 gene:Sspon.03G0024510-3C transcript:Sspon.03G0024510-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPTGEAPSGSMPLRQGHFLLEPEPPPAPVPAANANTSNTGDSRFDASQYAFFGNNVLEDIELGGFEDDNDSNAAFTGLDDLERPLSSQEIALEAEDSSFSDLDDLANTFSKLRRDVSLPKHIGVNNLGTSFSRESSAAADWAPEFESPLWPDQYVQGAKQGPNGNGWWPPQHHSSLLADSRLHRTSSSPQQQEQHNHNEPVLGPRPSPLHRTSSYPQKEPQYNPAEVIPAPNAPYMSNYLPGGPPNSVPRQPHQMNMPSFNEFQMHMSAQSDAPFSQFSRGGTPPEPLFGGNRGHMISTGFSTNSSGQQGHLLNNGQFHGETASNMTNLLPNHLQRPNTLMPPQMLTVRQQHGMLPIQQSSQQSSRTQAHMFGPQHPPQMMNRFDNFGMPEFSDPRTRSSMYHGRQGHHFPLQGSEFGIMKMGNGRARFRSKYMSTEELENILRIQHAATHINDPYIDDYYHQACLASRYVDGRLKHRFYPTLIRDPSSCARSKDEPHAYLKVDALGRLPFSSIRRPRPLLDVEPASATDDNSLISKPLDQEPLLAARITIEDGLNLLLDIDDIDRLLQFSQQQDGGLQLKNRRQSLLGQLAESLQLVDPLGPNKNTHLSANDDLVFLRIVSLPKGRKLLSRYINLMVLVAILQG >Sspon.01G0001100-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:3507844:3510916:1 gene:Sspon.01G0001100-1A transcript:Sspon.01G0001100-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:MEE50 [Source:Projected from Arabidopsis thaliana (AT4G00231) UniProtKB/TrEMBL;Acc:A0A178V4E4] MSRSDDGEDTLAALIEASRTPEGREGLSDVLADTLFLLPASPSRLLLLRLRLLRNLLAGHELNQYAFIEHCGPAAVAASVLSFPSLAPDVARAALQALGNAALAGEFHRDAVWEALFPEELREFAGLRDQRVLDPLCMVLDTCCGGEGGRGRLEELCHEELGLPILVQVVTTASQVGHKEEWLGWLLFKVCVEEQKFESLFYALCSANDAERTDNGEYNAKHVFLLGTLSRCLNSRPEEVTVSDSFAHDVFNLHKHAAETVNFTHRGTSPLPTGSPAINVLGYTLQLLRDICAWESTSSDTQRPVDSLLQTGFVKRLLRYLGELEPPSTIRKSMAGGQGDNHPALGNAKVCPYIGYRRDLVAKEEGIWLQQWIMLLQQCVIDEDNPYLREWGLLAVKNLLEENEENQKEVSELEMQEPVITPEIANIGLKVEIDKETGRPKLVNTSDDSADCIF >Sspon.05G0023430-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:15905440:15907936:1 gene:Sspon.05G0023430-2D transcript:Sspon.05G0023430-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRPDSAYSPLRSGQGGDRAPTEMAPLFPGCDYEHWLIVMDKPGGEGATKQQMIDCYIQTLAQVVGSEEEAKKKIYNVSCERYFGFGCEIDEETSNKLEGLPGVLFVLPDSYVDAENKDYGAELFVNGEIVQRSPERQRRVEPVPQRAQDRPRYSDRTRYVKRRENQSYQR >Sspon.03G0025150-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:94618413:94621999:-1 gene:Sspon.03G0025150-1T transcript:Sspon.03G0025150-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQGAGRDPELFAELWRACAGPLVELPHTDERVFYFLQGHLEQLQEPTDPALLAEQIKMFQVPNKILCKVVNVELRAETETDEMYAQITLQPEPDQVDLPTLTDPPLPETSRPVVHCFCKILTPSDTSTHGGFSVLRRHANECLPPLDMSMPTPTQELITKDLHGSEWRFKHIYRGQPRRHLLTTGWSTFVTSKKLIAGDAFVYLRLSQSQYIVSLNKYLESSKIGFNVGMRFKMSFEGEDVPVKKFSGTVVDKGDLSPQWQGSEWKTLKVKWDEATNFSGPERVSSWEIEPFDASAPAINIPVQPSMKNKRPRETAESLDIHALEPAQEFWLSGRPEQHEKTSISSNEPNCISVHQVTWTSECPGYSAMSSSICQNSAVIGNWFKDFNSSSKGASPSLPEISQKLFQVTSNDARVPPWPGLSAYQAEEPSSKLSCNTAPCSYQTEEVAPKFSIAVKEKKEPGMFRLFGVNLVNHTRSSATADKTTVGVGETSMRGAGSFEDSGQLSALSRVTKDHTHLVNESPREIQSHQSCSGRSRIKVQMHGNAVGRAVDLGNLDGYEQLMGELEEMFEIKDLGSKEEWKVTFTNDENEMEVGAVPWQEFCQVVRKIVIHPIGDGSHMEACPCPEQDGKRGF >Sspon.04G0015640-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:18913137:18926303:-1 gene:Sspon.04G0015640-1P transcript:Sspon.04G0015640-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSTAVASAAVLLVLLCSLLQSPAALASSGSGKPPSSYVVYLGGHPPRDDGVSPEVASRRAADSHYDLLGAVLGDREKAREAIFYSYTKHINGFAANLEPATPPRSPLHTTRSWQFMGLERGGDVPHWSAWEKARYGEDTIIGNLDSGVWPESKSFDEGEMGPIPDDWKGICQNDHDRKFQCNRSVVQFTSHIALIGARYFNKGWAAASGVPLDDALKTPRDENGHGTHTLSTAGGAAVRGASAFGYGVGTARGGSPRARVAAYRVCFRPINGSECFDADVLAAFEAAIADGVHVISASVGGDASDYLLDAVAIGSLHAVKAGVAVVCSASNNGPDLGTVTNVAPWILTVAASSVDREFTAFAVFNHTRVEVRSCALLHCVRHTHLTCLVFQGMSLSERWLHGKGFYPIISGDEATHPGSTPKDAQLCLMGSLDPEKARGKIVVCLRGITTRVEKGEAVRHAGGAAMILVNDEATGNDLETDPHVLPAVHISYANGLALWAYIKNTKHHGAGVNVIAAWSGAVAPTDRSFDKRRVAFNMLSGTSMSCPHVSGIAGLIKTLHPDWSPSAIKSAIMTSATELDAERNPILNSSHAPATPFSYGAGHVFPSRALDPGLVYDMTIVDYLDFLCALGYNATAMELFNKGSFVCPSTPMSLQDLNYPSITAHGLPAGTTTMVRRRLKNVGLPGTYTAAVVKEPEGVHVSVTPAMLVFREPGEEKEFDVSFTVRDPAPPAGYAFGAIVWSDGSHQSPAALASSGSGKPPSSYVVYLGGHPPRDDGVSPEVASRRAADSHYDLLGAVLGDREKAREAIFYSYTKHINGFAANLEPGDAAEIARYPGVVSVFPNRGRKLHTTRSWQFMGLERGGDVPHWSAWEKARYGEDTIIGNLDSGVWPESKSFDEGEMGPIPDDWKGICQNDHDRKFQCNRSVVQFTSHIALIGARYFNKGWAAASGVPLDDALKTPRDENGHGTHTLSTAGGAAVRGASAFGYGVGTARGGSPRARVAAYRVCFRPVNGSECFDADVLAAFESAIADGVHVISASVGGDASDYLLDAVAIGSLHAVKAGVAVVCSASNNGPDLGTVTNVAPWILTVAASSVDREFTAFAVFNHTRVELCLMGSLDPEKARGKIVVCLRGITTRVEKGEAVRHAGGAAMILVNDEATGNDLEADPHVLPAVHISYANGLALWEYIKNTKIPSGFVVKGRTILGMLPAPVMAAFSSQGPNTVNPEILKPDITAPGMNVIAAWSGAVAPTDRSFDKRRVAFNILSGTSMSCPHVSGIAGLIKTLHPDWSPSAIKSAIMTSATELDAERNPILNSSHAPATPFSYGAGHVFPSRALDPGLVYDMTIVDYLDFLCTLGYNATAMELFNKGSFVCPSTPMSLHDLNYPSITAHGLPAGTTTMVRRRLKNVGLPGTYTAAVVKEPEGVHVSVTPAMLVFREPGEEKEFDVSFTVRDPAPPAGYAFGAIVWSDGSHQ >Sspon.04G0016740-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4A:61311754:61317348:1 gene:Sspon.04G0016740-1A transcript:Sspon.04G0016740-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding EVYVVQGDVCHVRFVEDVHYTQLIEHAQTSVTNLAEQPTCPNDLTKILEVFSQQSATILSTARAYQNGQTPHARYKGGHAIEHWKETQHCYSLELETQKVWDYAGDNYIVEEYNDLVTFQLEKQRNYYESLLLEVKEETEREISAATEKAMSIKLQKLEAKFDKFREEKRFLDEVNGNHVKNQEMWIETIRKAQAREQAALRLKDEKIEKLQEELRGLIAHFECQSAIAQAQDSFSSDIPGDMILPIASESSSSGDRFFFSLLLLAPLASTPSRCLPCSSCALSTRIRRLHHASCSELRLPSGLALPSSAAHVIRLLRPLLRLVPRARTSAALRVTPGIELRADIELLIDEPFCNHIFHVFQIGNETLGQERGVTETERGGDDATVRGGARCGGVRARRRPMCRECRRCVLTSGQAEVCKIKKLNRLSTDHTLQAAVKKWGRKTRRAARTRAKPKTAPACTVTRGPAPPATTPTPTTDTARWHPRRHLPRLSGPGSMSFSVPYYITNCLLVVSSPTLTSTSLSSSPSVLRHSLRATVCCFVGGSGGMAERMRWRRRAGAGDFRYDPLSYALNFDEGDHGVDGDEDHAGGRLDAFLLSSQLVTRRPPGAAVVEAA >Sspon.07G0004540-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:10580027:10584601:-1 gene:Sspon.07G0004540-2B transcript:Sspon.07G0004540-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSARSWFQKFQPRDKSKSPAVAASHGKDPGKPPIDDAPSSATKQRVAAAKQYIENHYKTQMKSLQDRKERRWMLERKLKDAEVPVEEQNNILKHLEKKETEYMRLQRHKMGVEDFELLTIIGRGAFGEVRLCREKTSKNVYAMKKLKKSEMLRRGQVEHVKAERNLLAEVDSAYIVKLYYSFQDEEFLYLIMEYLPGGDMMTLLMRKDTLTEDEARFYIAETLSDFGLCKPLDSSNFPNLNEPDYTSGKVTKPLPDSTRLSNPSAPRRTQQEQLSHWQKNRRMLAYSTVGTPDYIAPEVLLKKGYGMECDWWSLGAIMYEMLVGYPPFYSEDPMSTCRK >Sspon.06G0008380-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:44224835:44231894:1 gene:Sspon.06G0008380-1A transcript:Sspon.06G0008380-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:4-coumarate:coenzyme A ligase, Lignin biosynthesi [Source: Projected from Oryza sativa (Os08g0245200)] MGSTEEQQQPEPVVAAAVEEEASPEIIFRSKLPDIAITNTLPLHRYCFERLPEVADRPCLIDGATGAVRTYAEVDRLTRRLAAALRRAPLGLGRGAVVMNLMLNSAEFVLSFFAASRVGAAVTTANPMSTPHEIANQIAASGATVVFTESMAVDKLPVQADGGLTVVLIDTRRDGCLHFWDDVMASVPDEEVDDEDQEAAGAAAGFDPDDVVALPYSSGTTGLPKGVMLTHRSLSTSVAQQVDGDNPNIGFTADDVILCSLPMFHIYSLNTIMMCGLRVGAAIVVMRRFDLARMMELVERHRITIAPLVPPIVVAVAKSDEAASHDLSSVRMVLSGAAPMGKDIEDAFMAKLPGAVLGQGYGMTEAGPVLSMCLAFAKEPFKVKSGACGTVVRNAELKIVDPDTGKSLARNLPGEICIRGQQIMKGYLNNPEATKNSIDADGWLHTGDVGFVDDDDEIFIVDRLKEIIKYKGLQVAPAEIEALLITHPSIADAAVVGKQVEPEIGEIPVAFVAKAKGSELSEDDVKQFVAKEVIYYKKVREVIFVDKIPKAPSGKILRKELRKQLQQQQQVA >Sspon.04G0027100-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:72355073:72355791:-1 gene:Sspon.04G0027100-2C transcript:Sspon.04G0027100-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSADDEPDTCYRWSRDFVVAHAIFASGLVTFPVAVLLLVNRPHTGRAIFFAAFAALCTTTSLILCLRFYAELRRPPWPRWLSAAASGGLQQEDAEAASAVAGEQTTTTRAMSHAPRPPEQLVIHRAGAEVHAALAAGRVRSYDYVGDGAAEDCAVCLGEVEKGETVRQLPACQHVFHRDARNLPGLPFQRAPGARAAGGGRLMDTGAVHEQTRMTILSPPVFGLLTNPGQH >Sspon.02G0058580-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2D:79846196:79846565:1 gene:Sspon.02G0058580-1D transcript:Sspon.02G0058580-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LISAAAVMEVRSGPGVVRAPLPGGGGGGRPRCREGAGGEGLPDASVLRWRSWPRSRRPRIPLRVSDGGGMQQHLMEAAAAAAGAPYGTPVPFPVSFHPAYYATHVSMATVNAAGPPPSIVSFS >Sspon.08G0002430-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:5498911:5502898:1 gene:Sspon.08G0002430-4D transcript:Sspon.08G0002430-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSTKPDRPGQAAAAAGSGGKSWREEAVAAGSLRLVDLDRGANGWASPPGDLFHLRARGYFNCGGGKRGKAPSAADWLLRPAGVDWLRSHARLDHVLARDDNRVAAAFRRARLRKDPTAHFLLAVNLQVPGRPDAYSAVFYFAAEAPIPPDSLLGRFIHGDDAYRNARFKIANRIVKGPWLVRATVGNYAACLLGRALTCRYHKGDDYLEIDVDIGSSAIASAILHLALGAVTSVTIDMGFLVESQSEEELPERLFGAVRIAQMEMGAAKYVELPPDEAMPETAGRAGAGFRVSSAKVVNHSRQQDHAGGKVGRSMSCLDRESGGGK >Sspon.02G0023510-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:80167952:80169402:1 gene:Sspon.02G0023510-1A transcript:Sspon.02G0023510-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSASSLAFLAPGARASAGRFPTAHLPAAAGRVAAPRRRALLVRAAQQTEDAAADTPKPAAAASKKTPGLWDALAFSGPAPERINGRLAMVGFVSALAVEATRGDGLLAQAGNGAGLAWFAYTAVVLSAASLAPMLQGESAESRSGGLMTADAELWNGRLAMLGLVALAVTEYLTGAPFVNSRAMNNRFWPIGSQGCWRGLAAADQLCLCLAWSWLATTPSSCCPATRGVQAPARLLLGWPG >Sspon.01G0009260-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:94320923:94324804:1 gene:Sspon.01G0009260-2B transcript:Sspon.01G0009260-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ISLYDELEISSLRQQQTDPNFQDIPTQSWYPLSVVGSSSRTSTPTSSSASPHQRASDNPQSSSRGQPSLAEAAGIIARFKGKSIEELQRILKDKEAYNAFFNSLDQVKTQNNVRDELRKVTLQLARENLEKEQRILELRNQCTIIGTTELAAAQDRLTDLERQKDDIMRSYAPAALLDKLQTSMGKLDEESEELHQKFLEKDMDLPTFVQKCKKLRTAYRKQALLHLAGQTSLP >Sspon.04G0009550-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:24015911:24018963:1 gene:Sspon.04G0009550-2B transcript:Sspon.04G0009550-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVAPRVLFLVRDAAGYGAALADALRPRPGLTRESSPFELPLGKYGLDGEKASGELLNFSDSNSSPQVSIFVLPDYKPPLAACVVNEVLELISSEATSTERVLIVPFITRSSSYRRGMEHATKAAPVLHGAEIGATTDYTHLFVGGTTKPPTSLQIRSEPILCLLEMVRVLKMPTVLLVTSGGQQQGKSSTVSDLEVLQCLGEHLAKHMALEFSKETVLKTGIEKSPVDQEPWRELYG >Sspon.06G0007020-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:23176128:23182485:1 gene:Sspon.06G0007020-1P transcript:Sspon.06G0007020-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RLESKQNKDARDNKADDRETKADARDVHSESRIEFQANKIESDVKVDNRADESEIRADRRGHPDYRSDIKFDKDNHSTVPANINWKDNKEHRGKRYFEQPADTVDWRLPRPSLQSIDEAPKGPISVEERNSKDANESAGDNKAEPKSEDRFRDKDRKKKDEKHRDFGAREGDSRRTGVQLGSSGVERREMQREDRDAEKWDRERKDSLRDKEGNDREKDSVRKDSSVVIEKDNTILEKASSDGAVKSAEHENTITESKAPKDDVWKAHDRDPKDKKREKDVDAGDRIEQRSKYNDKESDDNGTEGDMEKDKEVFGSVQRRRMVRPRGGSQASQREPRFRSRMRDGEGSQGQSDIYFLIAEYNDATNAESLQHGPTLEIRIPAEFVTSTNRQVKGAQLWGTDVYTNDSDLVAVLMHTGYCSPTSSPPPSAIQELRATVRVLPPQESYTSTLRNNVRSRAWGAGIGCSFRIERCCIVKKGGGTIDLEPRLSHTSAVEPTLAPVAVERTMTTRAAASNALRQQRFVREVTIQYNLCNEPWLKYSISIVADKGLKKSLYTSARLKKGEVIYLETHFNRYELCFSGEKPRIIGSSSNASESETEKHQSSSHHSQNGDRGYVEHELRDVFRWSRCKKAMPESAMRSIGIPLPADQLEVLQDNLEWEDVQWSQTGVWVSGKEYPLARVHFLSAK >Sspon.05G0019040-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:83271407:83276410:1 gene:Sspon.05G0019040-2B transcript:Sspon.05G0019040-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEYAQMDCEASMEHLLERMLLDPSAEPTNLPLSLLKAITNDFSDHRKIGSGGSADVYKGELQNGTVIAVKKLFHNLDMDDEKFIKEVGCLMKAKHKNVVRFLGYCSDTQGKILNYEGKMILAEERQRLLCFEFLPNGSLDKYITDISEGLEWRTRYKIVKGICEGLHYLHQDIHMVHSDLKPANILLDENMVPKIADFGLARCFDGKQSKTITSKVMGSLGYLAPESYDGVITFKSDIYSLGIITMEILTGRKGYCDIQNVLQSWSIRFKKSTQEDIWLQYVRVCLEIGRQCIDRNPAERPHTLYIIERLDQMERTCGFIETDIRASSATQRGDF >Sspon.05G0000780-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:2074563:2078267:-1 gene:Sspon.05G0000780-2D transcript:Sspon.05G0000780-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEAARARGMLDPAGEGEAEQEEEFYESLDRILSSSCSSTSASASDDDADHRRRSSRRHHLLHQHHHNPPPSSRPDASSAYDVWISEPTSVEERRRLLLQRLGLASAPPPPSPPRRSPSPPVSPPASPPAPAEEPRSGGGGLGRPPLARNPSSSGGEQQCRIRNLDDGTEFEVGEVHEEEVVREVGTGRHLTFEEFELCVGRSPIVHELMKRTTTASSASASDNAAPASSRPRRKPGGGWLRGIRQLAGTVAYGRRGADEGDKDKEKKEREARRLSSATDDSLDGSGSRNVGRVRVRQYGKACKELTGLFMTQELAAHSGSVWCINFSLDGRYLATAGEDRVIHVWEVSEGDRKGELLGEASAAKENGGGCSPFLAVVGNDSPEMAALSLSCADGGYVEKKRRPRKQSNRKSVGSDHLVVPECVFGFRDKPVCSLLGHAADVLDLSWSKSQYLISSSMDKTVKLWDITTSTCLKTFSHTDYVTCIQFNPVDDNFFISGSLDEKVRIWNVRDRKIEDWNDLHEMVTAACYSPDGQVAMVGSHKGSCHIFDTSEKKLQYKSQIDLRIRKKKSGQKKITGFQFAPGSSSEVLITSADSRIRVVNGDEFVHKFKGFRNTSSQISASVAPNGKYVISASEDSHVYVWRHDNSSHPSRSRSTVDVTNSYELFHCHGVTVAVTWPGAETRGSFGSRSSRHSDSDGAVNSGRDLPVENTQHNSDAADIRYNESPVCEGVTSRSTSRHQGDGASTSWPDEKVPSAKSSPGHCSSDVCMGAMDVQRRSAWGLVIVTAGRGGEIRVFQNFGFPVQV >Sspon.05G0008320-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:17010399:17011877:-1 gene:Sspon.05G0008320-3C transcript:Sspon.05G0008320-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRTASKDIITLRGSAAIVSEFFGTRAPHRPPPSPKFSCRGYAANRYGNGTINSVRLAAAAASVPIHRGLDCILYNRAVYPEESFTKVKKYGLTMLLTQDEGVKNFIANLTSQLSKWLEAGKLQRIVLVIMSKATSEVLERWNFNIVTDAEVVEKGCATRSYPPSSPSSCDPSQSKVAAAHCVSHGLIVRLLLMFVRAIKEKSDKEIMREIQAIMRQIASCITYLPCLDEPCVFDVLAYTDTDVDAPGTWVESDAKLIDNPQMVKLHSFDTKIHKVDTLVSYKKDEWDEE >Sspon.08G0001120-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:4212792:4215296:-1 gene:Sspon.08G0001120-1P transcript:Sspon.08G0001120-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQPLSNHRCQDLLVAVTVVVAFLVAAGDASSVGFDLHHRFSPVVRRWAEARGHPFAAQDWPSRGSPEYYSALSRHDRAILARRALAGGADGLLTFAAGNETLQYIGSLYYAVVEVGTPNATFLVALDTGSNLFWVPCDCKQCASIANVTGQATTRLRPYSPRQSSTSTQVTCDNALCDRPNACSAATNGSCPYEVRYVSANTSTSGVLVQDVLHLTRERPGAVDEALQAPVVFGCGQVQTGSFLDGGAFDGLMGLGMEKVSVPSVLASSGLVASDSFSMCFGDDSVGRINFGDAGSRGQGETPFTGRSTLYNVSFTSINVGTKSVAAEFAAVIDSGTSYTYLADPEYTELATNFNSLVRERRANFSSGTADSFPFEYCYSISPNQTEALIPDVSLTTKGGALFPVTQPFIVLFDTATRRAVGYCLAIMKNDLGINLNIIGQNFMTGLKVVFDRERSVLGWEKFDCYKNARVADAPDGSPSPAPEAGPTKITPRQNDSYPGAAPLPRSAGSRNAAASSLGAGGLSLLILAAAALV >Sspon.06G0012930-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:51451674:51454885:-1 gene:Sspon.06G0012930-4D transcript:Sspon.06G0012930-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AFSMAPLGDGGAAPAAGNNLVVSFGEMLIDFVPDVAGLSLAESGGFVKAPGGAPANVACAIAKLGGSSAFALQFGDDEFGHMLVNILKQNNVNSEGCLFDQHARTALAFVTLKHDGEREFMFYRNPSADMLLTEAELNLDLIRRAKIFHYGSISLIADPVRSAHLAAMRAAKAAGILCSYDPNVRLPLWPSPDAAREGILSIWKEADFIKVSDDEVAFLTKGDANDEKNVLSLWFEGLKLLIVTDGEKGCRYCFQDFKGSVPGFKVDTIDTTGAGDAFVGSLLVNVAKDDSPFQNEEKLREALKFSNACGAICTTKKGAIPALPTVATAQELIAK >Sspon.06G0029570-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:25725131:25725424:1 gene:Sspon.06G0029570-1C transcript:Sspon.06G0029570-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRVTRLPFSSPIGGQKRSGGMRSGGSIMDMKKEECKPIRGGFGWREWKWRRKWGSVTRRRQRLQTSEAQGSEEGRGGRRRMKDLILCISCSTKLVS >Sspon.01G0004760-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:12947757:12950061:1 gene:Sspon.01G0004760-1P transcript:Sspon.01G0004760-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPEVEVAAATAMETEAPAAAGQKREREEGDDPAADGGEAATEEAAAAAKKPKVEGEAKEAEEAEEGKAEETEEGKAAEADGKPVKLGPKEFASAVEMFDYFFALLHSWTPQLEFNKYEQMVLEDLLKKGHADPAKKIGAGVEAFEIRNHPVWQSRCFFVRRIDGSADDFSFRKCVDNILPLPEDMKIGNGKKSGGHHKSGGGGGEEEDAGEAAVAAGVVGAAGAGGE >Sspon.03G0024450-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:92983980:92991115:-1 gene:Sspon.03G0024450-3C transcript:Sspon.03G0024450-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSEPPPAPSAAAAEELAADLSAATLSKKQQKKDARKAEKAEKAAQRQQQQQQPADAEDPFAANYGDVPVEEIQSKAISGRSWSEIGDLDDSAAGRSVLIRGAAQAIRPVSKKMAFVVLRQSMSTVQCVLVASADAGVSTQMVRFATALSKESIVDVEGVVSLPKEPLKATTQQVEIQVRKIYCINRAIPTLPMNLEDAARSEADFEKAELAGEKLVRVGQDTRLNYRAIDLRTPSNQAVFRIQCQVENKFREFLLSKDFVGIHTPKLISGSSEGGAAVFKLLYNGQPACLAQSPQLYKQMAICGGFERVFEVGPVFRAENSNTHRHLCEFVGLDAEMEIKEHYFEVCDIIDGLFVSIFKHLTENCKKELETINRQYPFEPLKYLDKTLKLTYEEGVQMLKEAGTEIEPMGDLNTEAEKKLGRLVREKYDTDFFILYRYPLAVRPFYTMPCYDNPAYTNSFDVFIRGEEIISGAQRIHTPELLAKRATECGIDVSTISAYIESFSFGAPPHGGFGVGLERVVMLFCALNNIRKTSMFPRDPQRLVP >Sspon.06G0026520-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6B:79512414:79513678:1 gene:Sspon.06G0026520-1B transcript:Sspon.06G0026520-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AAAATAACCGSQFVVLFALAALLARRRQSKRRRELELAQEFGEDMGSSSDDDRHEPIREIEMGTGPRRFPYHQLIAATKSFAPEEKLGQGGFGSVYRGNLSGEITTAASPWPSRGSGLEWEQCVVHRDVKPSDVMLDESFNAKLGDFGLARFIDHAVGMQTMTAVSGTPGYVDPESLITGKASAESDVYSFGVVVLEVACGRRPMSLLDGQKNAVFRLVEWVWDLYGRGAALTAADERLNGEFDAAEMERVVAVGLWCAHPDPRARPSVREAMAALQSNGAVPALPAKMPVPTYAVPVTSPEGLFSYTASTSGMTSSSFTQSTTTTTTHTSCLADSSTSTGSKDDSSSLLKHQY >Sspon.08G0003960-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8A:11611349:11611649:-1 gene:Sspon.08G0003960-1A transcript:Sspon.08G0003960-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKTGKNRTVWGLLTDYPPKNERRTVWGSGADCPAFKTAKNEVENKFWTLLAHRWRTVYDPGQTVRYWAPDWAAVRVVNSNYG >Sspon.04G0031970-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4C:19778350:19778565:-1 gene:Sspon.04G0031970-1C transcript:Sspon.04G0031970-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VAPLEVALYFKSLQIRLGNRFNPKLLDEDDWQLPTIIRRQKRRQQANLGLLTVDSTITVGSFLTSVGLEKG >Sspon.02G0021820-3D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2D:66285349:66286871:-1 gene:Sspon.02G0021820-3D transcript:Sspon.02G0021820-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAGGQQPHIQDSTPREPPMGSIGGHTATGDRRRRVLFFPLPYQGHINPMFQLAALLHTRGFAVTVFHTHFNAPDKSRHHAYDFVPVPISDCLQEGTSDVFQVTVEHILAVNRACEAPFRERLAALLSEQQAAREDVACLVADAHLLTLLDVARGLGVKTLVLRTGSAACLRMFAAFPVLCNKGYQPAQESQLEAPVKELPPYRVRDLPSTTSAYHGVISEVISRIVTAVTTSSGLILNTMDALESGELASLRRDLGVPVFDIGPLHKLSPAASSSLLLQDRGCLEWLDAQAPASVLYVSFGSLASMSAAELVETAWGIANSGHPFLWVLRPGLVRGMPTSTSEVPPLPDGFDAVTRGRGVVVRWAPQEEVLAHPAVGAFWTHCGWNSTLESMCAGVPIMARPCFGDQMGNARYVEHVWRTGLTLDGELERGKVEAAVAALMGPGEPGAGLRRRARELKSSAAECMAKDGSSCTNVDKL >Sspon.04G0028390-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4B:65220519:65223697:-1 gene:Sspon.04G0028390-1B transcript:Sspon.04G0028390-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding YVLADILRRLPPHSLAVSHSMCREWCPTVDAAQNSRRARILRGAQKWRAIVNACCDLRTDLLPTTLGGIFVRILEQEDLKFLVWPSLECRIANKLKYYEGLKYMDLLPKDVLVDMVCHLSPHGLAVSCSVCWEWCAIVDACCDLQTDLLPTTLGGIFVRIMEPQEDLEFLVRPSMERRIAGRLEFYEGLKYCSIPYILDCCNGFLLLEQYNEVVNPTTRWSLRLEDPSRRPSLEERPCYLSLRDDALSCRVVATASKSVVTEGYRTLHMRISTFQGWWQDGHGDHDGHTWIWTQPYVIKAKLICERVIQTEANGGHSGDRKLDRTQSLCDRTRPVSAQRVSVSRFSDRTRWRVRSRSTGRVRSLWELTGLQPDAGIVASSATSSASGQALRVWSA >Sspon.04G0018910-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:67497209:67505440:1 gene:Sspon.04G0018910-1A transcript:Sspon.04G0018910-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVVPFQDSVAEAEAEGGSGRIRSRPEDRDPVPVDDAPMSGHLDRFARPCEFRGPSSPEPQRLAFSSPRFSPADSRWWVAVLGSGFEGCSSHDERRDHKSDFEVSEDEKKTRMGSLKKKAIDASTKIRHSLKKNRRKSGSRVLSVSIEDVRDLEELQAVEAFRQALLLDELLPARHDDYHMMLRFLKARKFDIDKAKQMWIDMLQWRRDYGTDTIVEDFEYTELDTVLQYYPHGYHGVDKEGRPVYIERLGKVDPSKLMNVTTMDRYVRYHVKEFERSFLIKFPACSLAAKRHIDSSTTILDVQGVGLKNFSKTARELIQRLQKIDNDNYPEMRGSDTSTAESGSEADDAISPKALRSYIPHPKLTPVREEVKMVRAMSFSTRLPEYDVPVVDKAVDATWKRELPRKTPFVPQDVDSSVKTASKRSDRSWDKITATLMACLMAIVMLVRSVKDLATRRLPYKGGSEESYSTLYPDSIQKEEFRPPSPIPGFVEADLFAAVLQRLGELEAKVQMLQEKPSEMPCEKEELLNAAVRRVDALEAELIVTKKALHEALIRQEELLAYIDRKEIAKAQLRQTAEYVAGKAWRYPPTPRSESTHTGLVASKERASLTIPRFFFFFSMYARTSSCCPFDVSRSFLVASSSVSSASTRAAAALSDSSSSGGTSGGFAASAATFPSRSSRRLTSAWYCAADTTTVSGPPSCCCICICRVFFAMASMVAMPMAASMARFGAILPTRNMATTPITSAMNPDTTCRSPASCPCLYASGSCAYMSRAICSFSSLTSPLQLKSMPPLSAPGNRPPSKLPSLAGGAGSLAVFISWRGDICGCSIFSRATLGESTALRRMESCFCSGLENAHTGEKKQSGVNEQSITSCAVLMRHCSEVQDHVNLVP >Sspon.03G0033610-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:52525543:52527012:-1 gene:Sspon.03G0033610-1B transcript:Sspon.03G0033610-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKPLHVKLVKTMYGLVVNNFLLVVALAAASAVVPRTSPEEVLALAREIRPVHCLLALLLAAAVAKLRRMGRPKDVYLVEYGCFRPKPWFRAPFATCQEHAHLMPYLVDEESVSFAIRLLERSGLGEETCVPEAYHYMPPDRSLEASRDETELVIFSAVDEVFARTSVKPEEIDVLIVNCSIFTPTPVFADMVVNRYKLRADVQNVNLSGMGCSAGLVSVGLAKNLLQTARPGTHVLIVSTEILSSQYYVGTERAMLLPNCLFRMGAAAMILSNSSERARYRLTRLVRTVTAARDADYRCVFQEEDDKGNTGIRLSKDLATTAGHALKTNIAAFGPLVLPASEQLLVALSLLKRKLLSGRAKVRLYRPDFRTAFEHICIHAGGRGVIDEVQHGLGLSDQDVEASRMTLHRFGNTSSSSVLYELAYIEAKGMMKKGDRIWMISFGAGFDCNSVAWECVKPPADGDGPWVDSIHRYPVQLPGIVKDTSDDS >Sspon.08G0019140-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8B:10483475:10486607:1 gene:Sspon.08G0019140-1B transcript:Sspon.08G0019140-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding KKLAAYPTTQGRSRRTARDRPFFVTGLPPPPAVRPTDRRRPPARRYAGNDRSRSARCRGANEEAIETAESGQVSGGGEGM >Sspon.02G0002720-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:6700282:6704494:-1 gene:Sspon.02G0002720-2B transcript:Sspon.02G0002720-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGPPMAMVCYSMGAINSLVEKITPLTENHPMTEHLLQDLQSLRDELMNKFAGGRATGEQVKVWMKQVREMVYDIEDWIDLKQDFSESDMKQIEEFEEEIQEARSRCERYELLKQAPTSDAEPVYAGPREVPGRRLFWEEKPVLFGMNHSKPDILNHLENEQKELKVVSIHGRGGHGKTVLAKEIYGDICIKGQFECKAFVSVSRNSSTRTTLIEILHQVKSEVDAWQSWSSNDEQINEIITELWGFLRTKRYFICIDDIRSTQDSDVIICALPDNDLGSRILTTTRMEDIAISCSRRPDDVVYEMIPLDETDSKSLFCNSVYVQEEEWPDHFKESSKKMFEVCGGLPLAIIATAGFLGRTSAELSLQSEKLNKAILSESDQFYSESQAMRKILDISFADLPLPLKSCFLYLTAFSGNYDIIKKDRLVRIWVAEGLIPERHGKSSWETGESFFDELISRRLVQPTFDGNDDQPIGCTVHGVVFDFLESLSSEENFITPGKELKSGLFPCETVRRVSLDCGDEDEGDTLISNTYCLFEQKNLVSSSCAEVEEEDEAIFLQLSRVRPLAFSGDAGRRIPDLSAFKHLRLLDLEDTKGLENKRLEGIGHLSLLRYLGLGGTDVTKLPQQIMELEQLATLDLRRTRVRRLPVLCRDTKLVSLLADELAIMPTQMRRMQNLEELSKVLMGPDGSLASELAGHVNKLGSLRMLGIRVSYMQRHSAADRQGVMRFLEELRKSNLQSLLLDNYPHPLLDLLVDSPAHNLRKFELRIRGCLPQVPQEIASLIAVTHLHINVEAVEAQGVRALGSLPNLVVLRLDLNSSPSMTVSSNDGFQCLKSLWCNSQYGGGKGMQFEAGAMPQLRRLRLELDARGTRSKHDDFDIGIQHLPSLVQVHATIDWTNTALTASEVEAAENQIREQVSRSPNNPVLELNRRRQRYIAKPSEELVITVNSLQEWGKQIDPRKLVVVHFSAGWCRASRKITPVFADLAKKFQNVVFLKVDVDVDEMETVAKEFSVEGVPTFLFMKGGSVKDRVVGADKEELEEVLEEQVDLMF >Sspon.05G0038940-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5D:56163473:56166055:-1 gene:Sspon.05G0038940-1D transcript:Sspon.05G0038940-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DWCRVERCPKLDFIFIAPQLGGGSNVGMCRWLTTFWLSQLPRTRFMWDMRKLPLCQIEGRSFQDLTMLHLDLCPRLIHVLPFSSSVIGQRLLETIEIVWCGDLRVVFPLLDTNITRSHQEQQQKPPSTLPPYWYLPVPTGAIPNIDMLQQYSEKQISILKLSRRTISLSSPPFLYCHGLKFLWLEHCEDKEEINTVDTAGKEEDISRCFQRLWVLDVRYTRCERILSARMLGFMTHLRELNVEGAQDWDIGQLQSQLPNIRKLRVTKSTLGCTSCSENSLFLGMNKIELLDFSGNRTKQGGVAANLSGISTSSNQLETVIIIDGCVGIQKFSFRGCARLKNLLFSGLFEDLCTLDLSGTAVKTLDLSAMTAPSLDELILDNCDKLCAILWPPEGKRRSYLQKMHIDTTQSASTSRSREEKMKAGSTAATGSSSSSWPMVLVTGARAPSKFNWYISIKDARLLKSIVPSFENYFEANFVHLEISSPSCCPTVHVGVEEHLLQGGEGNDNDAPTITRPCPPPFGRLGSTNCYLYTQDQQKKTKAMMDGGAIATIPSIICDRAFILHVHDSLYNTSIPGSAQWDCLGWCRVERCPRLDFIFTAPQLGGGSSVCMCWELRTFWLSQLPKTRFMWDMSKLPLSQIEGRSFEYVTLLHLDFCPRLIHVLPFSSSVIWGRLLETIEIVWCGDLRVVFPLLHTHTKSHQEQQQKPPGAMITMVDFPKLKHIHLHELPILESICGLGRMYAPELETIKIRGCWSLRHLPAVSNNRGNNKVECDCEKEWWDRLEWDGLDGKHHPSLYRPVHSRYYKKRLLRGSVLI >Sspon.01G0056010-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:84854973:84861362:-1 gene:Sspon.01G0056010-2D transcript:Sspon.01G0056010-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFDLLGSIMGISSKWIKSLVGIRKQEKGQNAEKQEKGRNAESSETTSSANQSLHKRIHSLDPGGALAVEEITVQSGALTDDKSTQTISNSICSDSTSLDVQNSQAEHRSKEDLAATVVQSAFRAFLARRALRALKGIVLLQALIRGHSVRRQTTETLQCMQALVKAQARVRARQVRVALENQVARKKIPEQDDHENHVREVEGGWCGSIGSMEEMQAKALKRREAAAKRERAMAYALTHQRQAGSKQHSTSLQGLELGENHWGSNWLDRWMAVRPWENRLLDCNAKESLPTHEDKKDEEANSQITPKGKVSTSNTPGLSKKKGVNHKKSYSDVSCTSFARPANVLPSTSLGSSKQKAKVTDEVFEEVSSQPTDIGSKAVRNSQDKLVQVNAPAKKRLSLPNNGKHTVLLVQFF >Sspon.05G0029940-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:81524398:81526361:1 gene:Sspon.05G0029940-2C transcript:Sspon.05G0029940-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding KLADDPRGQNSGNSETVVQGVQPKEPCYCLQTMILLKGQQEALTEITIPFRIVPEGFRMVEANHSHVNPSSMKIRQAEPTYQNVKVPIAY >Sspon.08G0011390-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:47610715:47612417:-1 gene:Sspon.08G0011390-3C transcript:Sspon.08G0011390-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MERRAHAMLFPFPCSGHINPTLKLAELLHSRGVYVTFVNTEHNHERLRRRTGGGLRGREGFRFEAVPDGLSEEDRVAPDRTVRLYLSLRRSCGPPLVELARRLGDRAEGVPPVTCVVLSGLVSFALDAAEELGVPAFVLWGTSACGFVGTLRLRELRQRGYTPLKDESYLTNGYLDTPIDWIAGMPPVRLGDISSFVRTLDPQCFALRVESGEANSCARARGLILNTFEDLESDVLDALRGEFPRVYTIGPLAAAMHRRAQQGQQQCHGHGSAGLSLWEEDSKCMSWLDAQADGSVLYVSFGSLAVLSLEQLAELAWGLAASNRPFLWAVRPGLVVGDRGADALPEDFLAETRGRCFIAEWCAQEQVLRHRAVGGFLTHSGWNSTTESIWSGVPMLCWPGFADQYINCRYACEEWGIGLRLDETLRREQVTAHVEELMGGDTDRAREMRRGAAEWKAAAEAATAPGGSSYESLDRLVEELRLGVGEADAEAELDRHGHAAAGPVIG >Sspon.07G0025640-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7C:46516291:46516545:1 gene:Sspon.07G0025640-2C transcript:Sspon.07G0025640-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VISLPAFSPARSFPPCLPLFPLAHADNTAHHRHKGPSPGFSANRPCAPTYVDSSQWEWMQRTPPQCSLQEQSKLLSRAPWTAPR >Sspon.01G0040860-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:43598455:43605354:-1 gene:Sspon.01G0040860-1B transcript:Sspon.01G0040860-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGDDLKLLGMWASPFVLRVKLALSLKGLSYEYVEEDLRDKSELLLKSNPVHQKVPVLIHNGKPVSESLIILQYIDEAFAGTGPSLLPADPYERAIARFWAAYIDDKVQRLYPLDLHPSDLKDLNTLVTVETLEGALRDCGKGKPFFGGDSAGYVDVVLGSLLGWVRASDELHGVKPFDPERTPLLAAWSERFGALEAVEPVVPDVSRLVEFGKMLKARLAAADAGASN >Sspon.05G0017300-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:67953719:67955295:-1 gene:Sspon.05G0017300-3D transcript:Sspon.05G0017300-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLQVLISPKWFSLPLRHRLIVSCPCSASTRHLFDRMPPGKKNRNGPVVSSSNDIDALPDGILEHILGFVPAKDAVKTCVLARRWRNLWKSATALSVSCVGNEDPALVKERQKSVDHLLRLRGFMPLEKFEVSFGGMYEDDDTFCLIHWIQHAVKCCIQMLILDNVYRDGFELGNLHLVSQHLTKLELIGITLHNSFCDFSSCPILEHLEVDNCYWWTVEKISSESLKHLSIKCCEVTGEFHILISTPSLVSLRLDCHLSMAPVLVSMPLLKEAFVRVTHWNAYTGEWGDYSADCDFEDCYSCKGVVGDNDNKCVLLEGLSNAENMALIPESIAGPKHKVEMLGRYHPIDGSAAVSECLKVVEVKCQVVDEKVQEVLKFLCTFNI >Sspon.04G0027330-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:60517361:60521142:1 gene:Sspon.04G0027330-2C transcript:Sspon.04G0027330-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Early nodulin [Source: Projected from Oryza sativa (Os02g0227200)] MAGRSFLIRSPKEEESNAAVREAVLLGAKNAAIAGTVVAVPTLVGCRVLPWAKANLNYTAQALIISAACIAGFFITADKTILRNARQNTIGKLDKLDSRFMLDK >Sspon.07G0014100-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:50545811:50549094:1 gene:Sspon.07G0014100-2D transcript:Sspon.07G0014100-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRRRLSASSLPEAAAGAIPSPFPPELRWPFARLDVALTQDELRESAYEIFFCACRSTPAGGTRPSAAARGSRGGGATPTRAPPPEASSSSPTAAGGAKNMAVTSRLKRALGLRARNTRPTVGAGGRPLTSAEIMRRQMGVSEQTDGRVRKTLVRSLVGPQMSRKADSLVLPLELLRHLKPADFSDAGEHRAWQLRQLRVLEAGLVSHPSVPLDRGNASASGLREIVRSAELHTRPGGLDARVLSAAVTALCWRSVDACRWADGYPLNVHLYLTLLRAVFDARDETVVLDEVDELLELIKKTWNILGLNDTIHNVCFTWLFLEKYVMTGEMEPDLLSAALAMLEQVRGDARRQSEAGALEAAHLRMLSATLASMHSWAEHKLLDYHEAFGDDLGAASIAAMENVVSLAVLAATMRSQDVPSSFAAAVVAAGGDLSSSGSSSSSSFSAGEQVERYIKSSVRRAFTRVCTFPWTIHPYVSICYYLHVSCNKHVAAAWQLHETGTAGKMDSMIVEVDEDPCEALMYVASQTKDLARVEREVYSRVLRRWHPCPTAVAAATLHGSFGALLKRYVSRMAAACGLSSESVRVLHAASKLDKWLLQMAGEDDPPAADQLPPPMASYDVDSIIFDLVKGWMDERLKVGDECVRRAQEAETWNPRSKAEPYAQSAVDLMKLAKVTMDELLEIQVAPACKEELLQRLVDGVDHLVHQYALLLASSCGAESYVPPLPPLTRCNQDSKLVQLWRMAAPPCQVGDLEALDCGRADIVTSSKKPRLEASRSRRGGDDRDHSAHAVRPATSRGTQRLYVRLNTLHYLLAVLHSIDRSISSALAPHRQRRRRARSSAFDHARPALDAAVHHVSELSAYRLVFLDSAQFLHQALYQGGVSAARARPVLRVMKQNLAFLSGVLTEQAQPPAVLEVMRASVEAFLTVVLAGGSGRAFARADHAAVAEDFASLKRLFCGFGVAEEVVERETARTEGVLALMAVPTEKLIHEFLGHYAASTPVAAAADELPRLPMMMPVTPTTRRWSRSDADTVLRVLCYRDDEAANRFLKKAFVLPKR >Sspon.01G0001390-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:3027923:3030806:-1 gene:Sspon.01G0001390-2B transcript:Sspon.01G0001390-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSQANLDKMQLRQSYRNVWHTDMTNAIQADFPSCDASLTCVTRLVMCLHCGPCVSYMLRKRALYNDMSRCCAPYSVLLCGKCGESRCPEFCLATEVCLCFGNSVASTRFLLQDEFNIQTTQCDNCIIGFMFCLQQVACIFSIVAAIVGSEELSEASQILNCLSDLVYWTVCACMQTQHKVEMDKRDGKFGPQPMAVPPVQQMSRIDQPMPPPAGYAPQPAYGQPYGGYPPPPPGQGYPPAGYPQGGAYPPAQGYPQAGGYPPPAQGYPQGGAYPPPGSYPAQGSYPPAQGSYPPQGYPAK >Sspon.02G0005610-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:733505:735991:-1 gene:Sspon.02G0005610-2B transcript:Sspon.02G0005610-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MILLRLIAIIAFFIWRIRNRNRDGVWLWATSMVGDVWFGFSWVLNQLPKLNPIKRVPDLAAIRDQHESSTSGESNSNLPGIDVFLTTVDPVDEPILYTVNSILSILATDYPVEKYACYLSDDGGTLVHYEAMLEVASFAKLWAPFCRKHGVEPRAPESYFGVKRQPYTGSMQEEFTSDHRRVRREYEEFKVRIDSLFSTIYQRSEAYNRKHAKDEDGVLKATWMADGTQWPGTWIEQAENHRKGQHAGIVKVVINHPSQKPQLGSPASADSPVDFSNTDTRLPMLVYLSREKHPGYNHQKKAGAMNAMLRVSTMLSNAPFIINFDCDHYINSSQAFRASMCFMLDPRDGENTAFVQFPQRFDDVDPTDRYANHNRVFFDGTMLSLNGLQGPSYLGTGTMFRRAALYGMEPPRWRAHESKVIDDGKGKQYGRSTLFINAIPDGAANQEVFVDGEETVNSELASLMTCAYEDGTRWGRDAGWVYNIATEDVVTGFRMHRQGWRSIYCSVEPAAFRGTAPINLTERLLQVLRWSGGSLEMFFSHSNALLAGARMHPLQRVAYLNMSTYPIVTIFILAYNLFPLMWLVSEQYYIQRPFGAYILYLVAIIAMIHVIGMFEVRWAGITLLDWCRNEQFYMIGATGVYPTAVLYMALKLVTGKGISFRLTSKQTEACSSDKFADLYVVRWVPLLVPTVAVLVVNVAAVGVAVGKAATWGLLTEQAQHAVLGMVFNVWILVLLYPFALGIMGQWGKKPAMLFVILVVAIGTVAVVYISFRATYPLGWSDMAASSLGKAESVVAAGSSG >Sspon.04G0008290-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:20294062:20295288:1 gene:Sspon.04G0008290-2B transcript:Sspon.04G0008290-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGQFGWGREEGGWRKGPWTAQEDKLLVEYVRQQGEGRWNSVAKLTVLPWSIGHDAGLKRSGKSCRLRWVNYLRPDLKRGKITPQEESVILELHALWGNRWSTIARSLPGRTDNEIKNYWRTHFKKGNPSKNIERARARFLKQRREMQSQLLLQGQDHQQQEKQPDHDDDDDASREAAPAAVSLAQQHEEDLQMLQQDMDDLLFQFCPMASACTSSSCLLPGGSCTSAVSASTSEDGSSGEHQLDGGATWGWGSLWNLDDVVDDVDGGACGWDSSFPLLQDQGLAFY >Sspon.01G0047990-1P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:108211816:108212991:-1 gene:Sspon.01G0047990-1P transcript:Sspon.01G0047990-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKIPASVNGYGYGTGTGRILSPTGTGWFKLVDLGANRPNPCRSHAPGRPRLGLRFPAAAASTPRAKGDRRKRGAEMSGSAFNAFKSRVPVAWSPRLYITLVRGLPGTRRLHRRTLEAMRLRRCHRTVEHRTTPSLLGMLTQVKRLVAVETEEMYNARKQAEVERLALRPPLVVSHHPPPPTPKPAAAATEGAAATAQ >Sspon.02G0015500-4D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2D:36544624:36547820:1 gene:Sspon.02G0015500-4D transcript:Sspon.02G0015500-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLKSYTNLLDMCCEDVFQQPLRSLPRVMTSAGIISDPDCESSNDGNSVGSTPICFKRKIIVANFLPMICAKNEATGEWSFAMDDNQLLVQLKDGFPIDNEVIYVGSLNVQVDPSEQDRVSQKLFKEHRCIPTFLPADLQQQFYHIFCKQHLWPLFHYMLPVCHDKGELFDRSLFQAYVRANKIFADKVVEAVNSDDDCVWVHDYHLMLIPTFLRKKLHRIKVGFFLHSPFPSSEIYRTLPVRDEILKSLLNADLIGFQTFDYARHFLSCCSRLLGLNYESKRGHIGIEYFGRTVSLKILAAGVHVGRLESTLKLPATINKVQEIESTYSGKLVILGVDDMDIFKGISLKLLGLELLLERTPKLRGKVVLVQIVNPARSIGKDVEEAKYEAVSVAQRINDKYGSTNYKPVVLIDYSIPFYEKIAFYAASDCCIVNAVRDGMNLIPYEYTVCRQGNAEIDKLRGVNESSSHTSTLIVSEFVGCSPSLSGAFRVNPWSMEDVADALYNATDLTQYEKNLRHEKHYRYVRSHNVAYWAHSFDQDLERACREQYSQRCWTTGFGLNFRVIALSPGFRRLSLEHLTSSYKKANRRMIFLDYDGTLVPQTSHNKSPSAELISILNSLCNDMKNTVFIVSGRGRDSLSEWFVSCENLGIAAEHGYFIRWNKAAEWETSLSGFHSEWKLIAEPIMRLYMETTDGSFIEQKESALVWHYQNTDHDFGSCQAKELVGHLERVLSNEPVAVRRGHQIVEVKPQGVNKGISVDKIIQTLVSKGDVPDLLMCIGNDRSDEDMFESINKATSLSEPAIPEVFACSVGPKASKANYYVDGCSEAVDLMVKGFPARAPTYSGEPWKAGPVYSSWVAPTFRMICNSSHLTPVLH >Sspon.02G0048200-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:33845362:33846340:1 gene:Sspon.02G0048200-2D transcript:Sspon.02G0048200-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSSSAAASMAALAAAAASGDGSDDSGAANNGAVPPAHGVEDNSPAELAAAGGASSSSSRAAAARRVAAAAGASGSSPVAAARSRGTGAAVAAAAGGVGPSCQVERCNADMGVEKRYNRRHKVCDAHRKASVVLLAGLRQRFCQQCSRFHELSHFDDTKRSCRLRLAGHNERRRRNPAEAQDQNGGRGDPGNHHLHIR >Sspon.07G0017520-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:62932424:62933804:1 gene:Sspon.07G0017520-1A transcript:Sspon.07G0017520-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MREDRRPRSSSPVRNNGAPDLWLPDLLSLLDDGPDPPIAAALKIDPGEAASAEAAVVNRGRRHSGLLHAIRRGGVVRNGRCSERCSPSTAVARRALMRGGRVGIREVLSIHANTEPSLMEVEDISRMFRLLSRINGGLPPVSKTGVMGKYHVNSSCK >Sspon.08G0001070-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8D:1525776:1531746:-1 gene:Sspon.08G0001070-2D transcript:Sspon.08G0001070-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMRDDQNALALSHSQAGLTHRSVQHKSIGWIPALGAGAWSDGSCQLGRSQRAAGPRGAGGWPATSPTAARRPGLTGNCLCVMVSSINMGSNEQREPCAAAIEMYGASEFTRSKRPLNGEQKESVCVLLRPVTAGATAPALAAMPVPAAAVPVVLGLPVGIADTCGTACTSFLMKQLQHEALALTYYGYSMRAKVNYDAVNENEGNNATAAACRRCHCGLASGMLINASTAPHWGAGYPVGDAGGGALRPYADARVQYKSRRSAAADAPMNCLCAPGTEARAPNFTDRLWAHFHRFNVSCRGRWSAWRYVPSRPEAYWLLETRQNGGERAEDVLVHMQLLDRAKSSETEDRPAFSVIVVQVPPEVDATETDSAEPNSTEEGASATPRKLAVSPAPLTDLYVVRFISVHPEPMFRSSQNLEALVREASSRNLVSWMMAMLMQMLFSGYFSVSLKSKPAFILPASFSYMVSKFHGTRLHVQKSGGKQIMSIDMYLRSNVV >Sspon.07G0007300-3C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7C:14800531:14800889:-1 gene:Sspon.07G0007300-3C transcript:Sspon.07G0007300-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VAAVIAVVYFRVGAALNAPTTRRAKIAGPDQEECYLLKGGIFVRAAVLSLVATSLGILSCVLLRLPAATDAPPEQGQHAVGLPQWPAQGFEHPYPAQGYGQASDPKFAPPPSQGQANGQ >Sspon.03G0034060-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:67847052:67856797:1 gene:Sspon.03G0034060-1B transcript:Sspon.03G0034060-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exocyst complex component SEC15B [Source:Projected from Arabidopsis thaliana (AT4G02350) UniProtKB/Swiss-Prot;Acc:F4JHH5] MRRKLPGDAPLSAAGAGHASSASAPSEADLAQLSAAIAAGEDLGPFVRRAFACGRPEPLLASLRGAARDREAEIEELCRAHFHDFIRAVDDLRSLLADADALKGSLSASHSALLSSAAPLLASLESFLAARSLAGNLSSALASSRRCVRLLALAARANAHLQAGNHGLYLALRAVDAIDRDLASGPEPLPLPTLRRMLLSVVPAVRAHAEREISREFSDWMVSIRAASRHLGQVAIGRSAAARQRQEELRSKHRPLEECITLDDDGVGDLDDFAAAAATADAADGAAAASFDLTPLYRAMHIHQTLELGERFKKYYLENRKLQLTSDFDVIAATPFLESHQVFFSQIAGFFIVEDRVFRTGGGLTSRPDVDALWDAAVGKMVSVMEDNFSRMQTANHLLLITDYAALLSATMRRYGYPVGMLLDVLAKHRDKYHDLLLADCRRQVAEALAADKFDQMLMRKEYEYSMNVLAFGIQSSDITPAFPYVAPFSCTVPDICRIVRSFIEDSVSFMAHGGGGDTYAAVKKYLGRILSEVVDASIQKLVDSGSGLSVSQAMQVAANMSVMERACEFFTRHAAQLCGVPLRAVERGRRDFPLRRSRDAAEALLLRLLCAKADEFMRQSDGVNWMADDPPPGGNEYANEVIIYLETLTSTAQQILPLPVLRRVLVAVLAHISERIIELFLNDSVKRFNANAVTGIDTDLKMFETFAEGMSSLFVDSGQESAKNEMKAALVEARQLVNLLMSNSPENFLNPVIREKSYNKLDYRKVAIISEKFRDTSESYFSTFGTRGARQNPKKKSLDTLIKRLREANLAFSLECRDH >Sspon.07G0025270-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:40194154:40257929:1 gene:Sspon.07G0025270-1B transcript:Sspon.07G0025270-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLYVKGPQTEFQRYIRSFNSEVLGSRAHVTKVSKSKLYDHRPRALDDDNYLRVLQIPKKKVIDCVLAYENGKSLRPYGRLWWDEVVGTVLTCPNARMQTLELQCNKFVQSHIRIVNLIFQKVFGKRLWDIKFVVVQEEEICSKKKGLLDILHAKCKHPWITLH >Sspon.03G0004200-3C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3C:28941021:28942066:1 gene:Sspon.03G0004200-3C transcript:Sspon.03G0004200-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding FRMEKVDLKKVRCQAKVAGTLVTVAGAMMMTLYKGPLMKMAWTSHGHAHGHGAEAPAVAAAIDPSSREWFLGSLFVIIATLAWASLFILQAHTLKQYAAPLSLTTLICFVGTLQAIVVTFAMEHRPSVWTIGFDMNLLAAAYAGIVTSSIAYYVQGLVIQKTGPVFASAFSPLMMIIVAVMGSFILAEKIFLGGVLGAVLIVIGLYSVLWGKHKETQEKEEEEAMELPVASKTNGGIIYDDAVFIKEIAAAGVGDDSECRKANGVVKSSSHGHGAAGAV >Sspon.08G0004240-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:12808350:12811725:1 gene:Sspon.08G0004240-3C transcript:Sspon.08G0004240-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNIEWWRTCVKNSFVKAGYDYDEETFEKIFRRIYSAFGSSAPYSAFPDAQPFMRWAREKGLTVGVVSNAEYRYKDVILPALGLNQGSEWDFGVFSGMVGIEKPDPRIYKIALEMAGNVAPEEALHIGDSMRKDYTPARSIGMHALLLDRFKTADAESWRQSGATVLPDLVATQEWLTKNQNEEQEGTVAARVLNRMSEKLKMGA >Sspon.06G0024080-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6B:50869038:50870135:1 gene:Sspon.06G0024080-1B transcript:Sspon.06G0024080-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDALIFLLLLPLTLSILIVSRRRLALAREAAYTRLAAVKSAVLNKLPTLGRQPEVFVTDRFAAHRLIVSGAAAGGAFSDRLPSIVPSAVLSRRRHYNINSAPYGPLWRAIRRNLTSELFHPSRLRQYGPARRHALRGLVADLDRQCASGGVVLAAESLRAAKFGLLATMCFGAGVDAGLVRAMADAQDDLVQCFLGLRVFATLPAQQEETYLPLIDARRRDGRPNNRHGEPPAYVDTLVDLRVPDEHAAAASGSGKRRKRQRRLTDSELVGLCSEFLGAGTEPAAAALQWIMANLVKRPDVQRALRKEIDAAVGANAEDVGEEVLGRLEYLNAVIMEGLRLHPTVPM >Sspon.03G0015520-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3C:69577103:69577675:-1 gene:Sspon.03G0015520-2C transcript:Sspon.03G0015520-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALLLLLLLPLLLGAGNAGPAPPATIVLKDGTTCTLCASCDNPCNPSYYPPPSPPPAPVATPCPPTTPSYPTPSGGGGGGGPIIYSSPPPPAGSGGVGGGGFYYPPPTGGSAGSSGGGGGGGNGGGGGSQQGGMYPTPPPPNPFLPYFPFYFYSPPPPLHSSGAWAVTTAASSSAAALLLTSTLLLLLW >Sspon.03G0001860-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:26152280:26154575:-1 gene:Sspon.03G0001860-4D transcript:Sspon.03G0001860-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGGQDLQLPPGFRFHPTDEELVMHYLCRRCASLPIAVPIIAEIDLYKFDPWQLPRMALYGEKEWYFFSPRDRKYPNGSRPNRAAGSGYWKATGADKPVGTPKPLAIKKALVFYAGKAPKGEKTNWIMHEYRLADVDRSVRKKNSLRLDDWVLCRIYNKKGGLEKPSAAAGDHKPMVFAAGAVSSPPEQKPFVATPGGLPPAAFPADLAAYYDRPSDSMPRLHADSSCSEQVLSPEQLACDREVQSQPKISEWERTFASDPVNPAGSVLVDPVVGGHAGDPLLQDILMYWGKPF >Sspon.06G0001700-3C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6C:4294721:4296672:1 gene:Sspon.06G0001700-3C transcript:Sspon.06G0001700-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LAAPPQRQSPYVQVRLPQSTPQSSSDLLGSGFHIDVVDSDLWTPYFGFSSEAARGNEYLDDLSRNDDSEVQDFNDEIDDMRHRKKLFYKLDRQSKEYEENSLPLRRSKNRNKTNAKNPKECKVEPAKSVSSHAPKPTKRAIRDDDMVEVKRERMPTFNQMTDPYHHPFCLDIHVTKGSVRACFVHRVTSRVVAVAHSISKDMKFDLGSKKGKGMKACAAVGALLAKRALEDDIHSALYTPRKGDRIEGKIKVVMHAIIENGVDVKVKLKQRKPIKLTITLPQCHPTSVACAYCLTSITIACREEDGGKQPVTTTKSDAPVPSFPWDVVKTQMFEGGMFP >Sspon.08G0016960-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:66387400:66394599:1 gene:Sspon.08G0016960-1A transcript:Sspon.08G0016960-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding PGGRGAGNGCHRRKKRRGRTEGDDERKIISSGSGSSSSTRDMNGSVPISTTNSSGPSIGVSSLVTDANSSLSGGAQLQPSTSMNGDSLMRVPASPMSFSSNNISGSSVIDGSIMQQSPPQEQVQKRRSSSVTSQPVIDAGGALHAQKKSRIDVSPGDIMQQQLIQQLIHGQNSLHFQGQQNPQLQALIQQHKLAQLQQRQQQHLLQPFSQMQQPQVGIPRQPQLRPPLAQTGMQLSGPVRTPIESGICSRRILQYLFHKRHRPENNPITYWRKLVEEYFAPRARERWCVSSYDNRGNSSAAAPQKTLDTWRCDICNTHGGKGYEATYEVLPRLCQIRFDHGVIDEYLYFDSPNEFRLPNGQMVLEHAKVVQKSVYEHLHVIHEGHLRIIFTPELKIMSWEFCSRRHEEYITRKTIAPQVNNLLQVAQKYQAVVNESGSAGISKNDAQTICNMFVTASRQLAKNLEHHTLNEHGLSKRYVRCLQISEVVNHMKDLIEFSHKNNLGPKESLNSYSKTMLKFQNMHDSRQLMAAANLASNQSNTKLMGVKQETSTSVNNQTPGVGTIGNNTLQNATPLNSYQNMLRNSSANPILLQQEASSVFKGPTAMHNGIQLEAARSFRGPNQVQFQHPASFQQPMLQQNNFQGFGVNPQYQQHVLNQLLQEVKNNNNRTKAQQPPPDAPNASGGLASGVAIPNVAATGEQGQHINNNNSNHNGTVKGAAPAGTGPSNVINNSTASIAPGRNNSFKSVSSSPAAAAATAGNAVNSMVDDSFLQLEDLDDTVTNALVESGLFGAGQGW >Sspon.03G0043410-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:81121830:81124114:1 gene:Sspon.03G0043410-1C transcript:Sspon.03G0043410-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADASPRTETSTDDTDDNHGLEPGPGALGVASDSSDRSKDKHEDQKTLRRLAQNREAARKSRLRKKVCGFSFYIFVLK >Sspon.05G0030950-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:2386282:2391173:1 gene:Sspon.05G0030950-1C transcript:Sspon.05G0030950-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYERGRGRGRGGGGRGGGGGYGRQHGGGGDGRGGGGYGPRGGGYGGGGGGGRGYGYDEGGGYGGGRGGGGYHQGPRGGGGGGRGGQAYGPGGGRGGNAWAPAPGAGRGRGVGGGAAEYAPVRGSAPAPAPAPRAVAVAPKDKDAPSSSGSVERIASSELARVEPLASTLAATSSVGTRVPMQRPDSGGSLSQAKVKLLVNHFILNYREVTTIFHYDINIKLDEASSKASGKELSKAEFLSVKDELFRESSLRRLSSCVAYDGGRNLYTSAELPAGLFRVRVRSKTYIVSVDLKKQLPLSQLSELPVPREVLQGLDVVVREASRWRKIILGRGFYSPSSSVDIGQGAVAMKGTQQTLKYTQQGLILCVDYSVMPFYKAGPVMNIVQKLVPHLDYRTTLNKRQMGNLVDELKGRRVTVIHRRTNQKYTVQGLTPLPASQMTFVDAESGETKRLVDYYAQKHGKVIEYQMLPCLDLSRSKDKPNHVPIELCTLLEGQSGEIAQQFGISLDLRMTEVTGRILPPPNLKLGASNGQISKLSIDQSCQWNLVKKRLVEGRDLQCWGIVDFSAEPSHPRQEPLNGWMFVEKIVKKCCELGIRMNSNPCFVHTSEMAVLSDPHRLQEELNKAKQAAVNKKQRLQLLFCPMSEQHPGYKTLKLICDTQLGILTQCFLSDRANKPNGQDHNVQLFDPLPRVGGRVPFMFIGADVNHPSPGNVESPSIAGVVASMNSGANKYVSRIRPQPHRCEVIQQLGEICLELIGVFEKQNGMKPQKIIYFRDGVSDGQFDMVLNEELADLEKAIKVDGYAPTITVIVCKKRHHTRLFPKDQGQPQTKNGNVPPGTVVDTGVVDPSAYDFYLCSHTGILGTSRPTHYYSLVDEHGFGSDDLQKLIYNLCFVFARCTKPVSLATPVYYADLVAYRGRVYYEATVMASQRGMGSASSASSTSSAGTFDFTSFPRLHKDVEDNMFFI >Sspon.01G0049900-2T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:22377508:22379408:1 gene:Sspon.01G0049900-2T transcript:Sspon.01G0049900-2T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GSMTLGHTQGSLMQLANSSHPASVPTLCNNVSERAYLASFLIVTSFLSTISHFHMLAEAGAFPDDDIFALDIVSKIRTDRNSTIKASMDFGHIVEAIPNGVLHPTSPNDIAALIRLSLSQPKPFTVAPRGQGHSARGQALAPGGVVVDMRSLNDHHRVVGRCRMNVSTHELWVDVGGEQLWIDVLHTTLEHGLAPRVWTDYLHITVGGTLSNGGIGGQAFRHGPQISNVHELDVVTGTGEMISCSPDKNSDLFFAALGGLGQFGVITRARIALERAPKRVLWVRLAYSDVQSFTGDQELLISKRSAGGSGGFDYIEGQVQLNWTLAEGRSPDLDQLAKLVHGTGSAAIYYIEGAMYYYDDDTASSSSVKLETLLEELSFVPGLAFVRDVPYVDFLDRVGRDEQKLRSAGDPASGTSRIVDFDAGVFKGILKDTKPVGLVLMYPMNKDRWDDRMTTATPDEEDVFYAVGLLRSAVGAGDLERLERENAAVLEFCHREGIGCKQYLPSHASRDGWSRQHFGEKWSRFAALKRKYDPRAILSPGQGIFVSAAGDGLTDQAGSDSL >Sspon.03G0022210-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:54077925:54081516:-1 gene:Sspon.03G0022210-4D transcript:Sspon.03G0022210-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGAVFLAFLAAAAAAVFGGAAAASEGRATAAVEVDPSWRFPSRRLRDAYVALQTWKRQAIFSDPYNLTADWVGPGVCNYAGVYCAPLPSGSGRDRGALAVAGVDLNHGDIAGFLPPELGLLADLALLHLNSNRFCGVLPHSLRRLRLLHELDLSNNRFVGPFPDVVLDLPALRFLDLRFNDFEGAVPPRLFDRPLDAIFLNHNRLRFQLPDNFGNSPVSVVVLAHNSFGGCLPASVANMSGTLNEILLINNGLTSCFPPEIGLLRELTVLDVSFNQLAGPLPPELALMRKLEQLDVAHNLLTGAIPPGICDLPRLKNFTFAYNFFTGEPPACARVVPRDSDRSNCLPDRPAQRTPQQCAAFYARPPVNCAAFHCKPFVPPMPPPRLPSPPPPMLPPPPPPSPPPPSPPPPSPPPPLSSPPPPSPPPPSPPPPPLLPSPPPPSPLPSPPPPPPLPHSPPPPSSPPPAPVYHPPPQCPPCPVLPPPLPCTPTHPWPPPPPYYPGD >Sspon.08G0015750-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:62951274:62956285:1 gene:Sspon.08G0015750-1A transcript:Sspon.08G0015750-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAEESNLLAVNTLSERKPGDWNCKNCQHLNFSRRDYCQRCRDPHPDLQFRDGYNTGGVLTSQDIRPGDWYCSCGYHNFASRSSCFKCGTIVRDFPAGQGAAGGEGDLARGRDSAAVRAGWKAGDWICTRPGCNVHNFASRMECYRCNGPREAGTGK >Sspon.05G0037880-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:25891452:25895778:1 gene:Sspon.05G0037880-1D transcript:Sspon.05G0037880-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ECO1 [Source:Projected from Arabidopsis thaliana (AT4G31400) UniProtKB/TrEMBL;Acc:A0A178UZG9] MQPKISAFFKRQEADPDPNSVGAATEAKRKAKGCRPSEIVSKKRNYAQFHLELGQPDFLLHTCSVCGMMYARGNDEDEKVHRAYHKSYSEGIPFKGWKNETVMARSEGGDRVILATDENSCVREVITVVEKELGFGEGKLLHKLCKVYLYISGQRIVGCLVTEPIKTAHRVIPSSTEESHNNLPVDSTEPGKNGHTLEFGEISFKREIIRRHNHSIKNKEECQDPGAIICETEAVPALCGFRAIWVVPSRRRKRIASKLMDVARKTFCEGKTLGISQFAFTPPTSSGKGLACRYCKTSAFLVYKDGPVFPAKAFKISTSEQLGTLRNLPKIYILSQFHFPLSRIDLPHLAVGHTAPANQPEIMVFGIKLKKIKTYGI >Sspon.05G0017770-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:74667810:74688984:1 gene:Sspon.05G0017770-1A transcript:Sspon.05G0017770-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGGRGGKRRGPPPPAPSGAAAKRANPSPGTPQPPPAAGAAAVEEEDMMDEDVFLDESILAEDEAALLMLQRDEALASRLARWKRPALPADLAAGCSRAVAFQQLEIDYVIGESHKELLPNSSGSAAILRIFGVTREGHSICCQVHGFEPYFYIGCPSGMGPDDISRFHQTLEGRMKESNRSSNVPRFVKRVELVQKQTIMHYQTQQSQPFLKIVVALPTMVASCRGILERGITIEGLGSKSFLTYESNILFALRFMIDCNIVGGNWIELPAGKYRKAARVMSYFMSILNTTNRYSDLVSHAAEGEYSKMAPFRILSFDIECAGRKGHFPEPTHDPVIQVEFIIHIANLVTHQGEGQPFVRNVMTLKSCSPIVGVDVMSFDTERDILLAWRDFIREVDPDIIIGYNICKFDMPYLIERAEVLKIAEFPILGRIRNSRVRVRDTTFSSRQYGVRESKDVTIEGRVQFDLLQAMQRDYKLSSYSLNSVSAHFLGEQAMQRDYKLSSYSLNSVSAHFLGEQDAYLPQRLLDKLMYIYNYVEMARVTGVPISFLLSRGQSIKVLSQLLRKAKQKNLVIPNIKGQGSGQDTFEGATVLEARAGFYEKPIATLDFASLYPSIMMAYNLCYCTLVPPEDARKLNLPPESLNKTPSGEIFVKPELQKEAKDPLERAVLDGRQLALKISANSVYGFTGATVGQLPCLEISSSVTSYGRQMIEHTKKLVEDKFTTVGGYEHNAEVIYGDTDSVMVQFGVSTVEDAMKLGREAADYISGTFTKPIKLEFEKVYFPYLLISKKRYAGLYWTNPEKFDKMDAKGIETVRRDNCLLVKNLVTECLHKILVDRDVPGAVQYVKNTISDLLMNRVDLSLLVITKGLTKTGEDYAVKAAHVELAERMRKRDPATAPTVGDRVPYVIIKAAKGAKAYEKSEDPIYVLDNNIPIDPQYYLENQISKPLLRIFEPILKNASKELLHGSHTRSVSISTPSNSGIMKFAKKQLTCLGCKAVISGASQTLCSHCKGREAELYCKTVANVSDLEMLFGRLWTQCQECQGSLHQDVLCTSRDCPIFYRRRKAQKDMAEARLQLDRLTAIYGLGMNNWEPRLLLAAKAW >Sspon.02G0003680-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2C:13300148:13300375:-1 gene:Sspon.02G0003680-2C transcript:Sspon.02G0003680-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALGGAAAVASLLAGAVISYFLWPVAAPAAAVAMMKAPGAGGLLISRAAFAANPQLYYSLLRTAGTAAAVAAFAA >Sspon.02G0057560-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2D:61775315:61776566:-1 gene:Sspon.02G0057560-1D transcript:Sspon.02G0057560-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRPNALNYCCPPGRQGPPPPSPASHGRASTSLLLPQPPSPLPPHLLGTDSCFFPCAAPSGATGRRRLPWRRQPRRGRGPPTGAAGRRCPLGRRCGPRLGPAWRGRGPHLGAAGHHSPGCRGLAGTWRAASQPCAAFPGPAGRCCHGAAGRRYHLWHGPPLSTSTSWAHVVGAAPQPAPPALGPDPAPPAPPPAAHPPAPPATIGGLLHAMRAPSAGAAQPQALLPAMGAATTGAALGPGWTPSASAPLFPAAWAQQAIAFAAGSAPAAAAFPAAPAAPATSSSWAQAALGVAPAPPGHPPATPGHPPAPPASAGHPLAPLTSGAAKP >Sspon.01G0032090-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:109960842:109964248:1 gene:Sspon.01G0032090-3D transcript:Sspon.01G0032090-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFNFPAIFLEKIIRSDLPVNLGALACRAEKIYLENQSCGSRKFSVKDLKPSSTSSQLDKFHSTTIDTSSSKFKEAPPTSGVSSVLPSPASELISKWGVYGNVCRIDRPCVVDEIHLRRFDGMLEHEGAHRCVFASITVKAPVREVWNVLTAYENLPEFVPNLAISRIVLRDNNKVRIMQEGCKGLLYMVLHARVVMDLREKFEQEIRFEQVEGDFYSFKGKWRLEQLGDQHTLLKYMVETKMHRDTFLSESILEEVIYEDLPSNLCAIRDYIEKAGAKGSNSTAHSDVSIDPDAYHAESRQSEQASVSCSSSTMKQRPKVPGLQKDIEVLKSELENFIAEYGQYGFMPKRKHLRSHGRVDIEKAITRMGGFRKIASIMNLSLSYKNRKPRGYWDNMENLQEEISRFQKSWGMDPSYMPSRKSFERAGRYDIARALEKWGGVQEVSRLLSLKLRRPRRQGDLDDESRSESPSEITKKHGVKPDKGNVSPDAQKWLLKLKDLDVNWVEY >Sspon.07G0031680-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7C:25443985:25444275:1 gene:Sspon.07G0031680-1C transcript:Sspon.07G0031680-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARGGRGRGRGCGGPNPPITMEELMNTQNQMMQIFMQNNPLAGGPPHVYVRDKRGEFLKGRPPVFSHATDPLEADDWVRAVEKQLNIAQCNDLEKV >Sspon.06G0022790-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6B:36349534:36350277:1 gene:Sspon.06G0022790-1B transcript:Sspon.06G0022790-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKDKSTKGRQRIEMKSIEGEVARQVCFSKRRPSLFKKASELSTLCGADVAVVTFSPGGKCFSFGHPSTSSVADRFLAVHTFDGLTMGSGSHGSQGSTGTSHEMNQQVMELQQLMETEKRRKERAVEAMERESGGPVMKLLNANVGALGIHELEELRKELCMVQNMVKERSREMLEDAMQTRRLPPQSQMHMVAMPSQVLSGGQSAGTMYTTFPSLSNGPREGLHVNSPLHGSLGGLGNYLNGQFGG >Sspon.03G0013210-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:36854024:36866257:-1 gene:Sspon.03G0013210-1A transcript:Sspon.03G0013210-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sec1/munc18-like (SM) proteins superfamily [Source:Projected from Arabidopsis thaliana (AT3G54860) UniProtKB/TrEMBL;Acc:F4JE40] MVTPMCSQLTYEGLLDEMLQINNGSVEVDASIIGAQQDGKKVKVPLNSSDKLYKEIRDLNFEVVVQVLRQKATSIQQDYAEVKSTNTQSVSELKDFVRRLHSLPEIARHVHLAQHLQSFTGKPSFHARLDIEQTILEVQNFEICFEYIEEMIHKQEAIENVLRLLVLLSLTNAGLPKKNFDYLSPTDISYIFSGYAPLSIRLVQHAVRSGWRSIEELLKLLPGPHLDLKRGGLTINSSPEVHPGLGAQQSIDRVGHRSLVLVVFIGGVTFAEIAALRFLSAQEGMGYDFLVATTKVVNGNTILRPIIANSKEGMM >Sspon.04G0025240-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:25757833:25762012:-1 gene:Sspon.04G0025240-1B transcript:Sspon.04G0025240-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGAKADGAEITLEHTPTWIVASVCSIIVVISLLFERLLHRLGKKLTKGRRKPLYDALLKVKEVADPAVIVPNAELLLVLWSYETNAELMLLGFISLLLTVFQGATQKICVRESVMHHLLSCSLPAADAAKITAHFMFAGGTRRLLAGGGASSDYCLNKSRMWFSALLQLFLESHRQETGNIGRRRSSKTMIVLLLVLGSKMEHIITELALEVAQKHTAIEGVLVVTYGFNSCIMGKPAYAITRVVISVISQLLCGYSTLPLYALISQMGSSFKKAIFDENISQCLTNWAENARRRNRMPRTSVGDNSPIAEGIQ >Sspon.04G0018990-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:73362623:73375248:1 gene:Sspon.04G0018990-1P transcript:Sspon.04G0018990-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding EVQVEAVDLGTVHLQAHQEGKLSSLMEIKSVGEINDSTEGTLKTPEDQVLVEAPSDVQLPPEHNLNGEASSLNGHTDKEEKVSNEQPHGSDQEEAELDQSNRINKDVTDGLSHGESTTEDKCLLKHKKDEEPKGDDQQDLGVTVDDDSVQEDTLKTDNAIEQTDDGQQDQNQEPEKANENTEPSSITNNVDAGNDIEQTNDGQQDQNQEPEKANENTEPASITNNADVEIVAEAPTGLQAPVEPCMDDSDGIPETIDEKTETDEPAKADGFVHPEEVAKVEDQQSQQADTMDADVAPEEIKCEETDQQEEKPKSEAHEPTIDAQGVLNQELAEETDDSMNVKIEETAHQRNVAAPKETTLEHEATMSAPPVNTQVQNQESLEEIEDTEAEIQPSSGFGDAIPEDQSNLGVTIHNDTVNEDTLRAIEQQDDGQQDEGLEPEKATEHTQSASMTNIPHGEIVTEAPSGVQTPWEPNLDNSDAIPDTIDANTETDEPAKPYAVVHPDQKESFPEDTSTAEPTEEVVKAEDQQSQQADAMDANMVQEEEPKSEHADEPATDALEVLNQESAEEIDGPVNIEETAHQDTTAENDTTTMEPPVDIQAQNQESLEEREATVEEREVAEAVDTEATVHQSSAAFEEAIPEDQHNLGATNNTVQEDTLEATGQTKEGQQYQDLRPENVTENTQPASMPNIPDVEVITETPSGIQTPVEINLDISDAIPDTTDGNTETDELVKAEGVIYPEKKESFPEDTSTAEPTEEVAKVEDQQSQQADAMDEDVVQEEVPKSEQADEPTTNALQVLNQESAEETDGPVNEKTEETANQSNMPASEETMPEYDVTTREPPVNIQEQNQESLEEIEATVEEREVAEAVGTEAPEQQSSVAFEDAVPEDQHNLGATNNTLQEDTQEAIGQAKDGQQYQDLGSENATESTQPASMENIPDVEVITKAPSGIQTPVEINLDISDAIPDTTDGNTETDELVKAEGVIHPEKKMSFPEDTSTAEPAEEVAKAEDQQSQQADAMDADVVQEEVPKSEHADEPATDALEVLNQESAEEIDGPVNEKTEETANQSNMPASEEATPEYDVTTREPPVNIQEQNQESLQEREATVEREVDEAVGTEAPVQQSSVAFEDAVPEDQHNLGATNNTVQEDTQEAIGQAKDGQQYQDLGSENATENTQPSSMENIPDVEVITEAPSGIQTPVEINLDISDAIPDTTDGNTETDELVKEEGVIHPEKKESFPEDTSTAEPTEEVAKVEDQQSQQADAMDADVVQEEVPKSEHADEPGVNAQEVLNQESAEETDGLMNVMTEESEHKSNMVVPEDTMPAHDAQMGEPPVNIQEQSQESVGDVDAVDTEAEMQQSSVAFEETTPENHVATTEPCSEIQHVHHVEPEEINGPGDAKDDEITNMSNTENLVQDNILQSGPTIDIQPVQELEQIRTDEAYQTHAVIFNELAQEDATATSEPQVTETEELKDIEATEAEEITKLDHVTHSKELAVENDSTADEPEPHNGEIHQTLEQDLVEVKDTETYHEKTVSTSEEDTVEENVAEDEPSCGSQEVDNAESTEETKQNIENIAEVSDVVIVHEAIERNVLQTANIAEMHKQELESEETKRTEPVESEEASAQEDNTKESEMQQTESATETKEIEPTEVKAVPRESNACVSEEPSQEDHIKEVNITESSEVIDGHKDIMTGGISGQNNTTSAGDSAEESNVPEGEPPAQAVQELGSEEIKNTQIDEVNETSLEMNATVFQMPTQEENLATAELHESTKVSNIEATEVHGNPHQSDAAAQSEEQATEEISSGLKPESIKDNDTEANESQSSSQEKIISTSEESVPEEIATEDNVTTEPDVDHQQLQDQEPAEIKETEVDTSQEIASSNTLSSEEFTLTEPSSDTQADNSQLAEETEETENVKSNTALAEAAAPETDATADTSSFHEGDLEETKDRGTSETEDVMTSSDYTSEKMDMETMETEAVPHEINVANIKEHTEDGTSTPNAPHAGSEPVRELESVEDSSDTPEHPGETHGSTSDEFTPTEEHTAVTEPSFNTQEVQNLTSQETKDSEDDKTDEFSDISSFPTPGEADQVPRIEPTPDVQEVQELGLTEETRDIEAVEPEDQQAHIVSTLEKAAVDDGEPNADDQQVHEDKLPEVEDNKAIEADEASKQSNIATPDNAAEERNELESDPDSYAQPAEQVELSKDNENSQLVKAEETSDQSNSVALEERTTEDSVASEIDPLVDIKQEQPVEEIKGVDATEAEEDFHTSQADAIEKIASDNNIATTEPTYDIQQVDDLERTEEKKNTEAINDGEQSNIAVPEEPTPTDNGATPEDYHVESNEGTVGNETDNVILAHRIKDEIQKSEELKDDPCDLGETVLTTRRSENMIDEDAIQTSGNDTVETSNNIHQVKEEPNAGTEHNSSQMASERNGENETHVQDRNVDVQILTESGTAEASQALFENDPQAAQDTTEKDDTTKGSEQTSDHDSRQHFDVAIQQQSCETDALSILKQDEALQKNDSDPKQKEDEENESQNDELQVDEQKHEENRDDLTTEPLVEYQNFENGATNKTKDNDTFEAEQTEAAISEILKNENIKESTPSVMDMKVENIKETSEGTEEDDDAKNDNKDEQENAENDDVVAKTSTNEQGETTDEIRKEIEPGLVSSIQEASDPPPSKDKILENDPVHVIQASEKEITDEIEESKEIHEDNAICHDELQTNSEKEESPQLYNVDAKIDDTTPLCEEIIHDNASIKPREIEEIGENKGLDSTSEPSVESSIQNNDEDSSSHHKVEDEKLSMSEKNDVDTEAMQESNVESAADINQTGQCQEEINADDVLQLETEENSFDKIEETASHEETETSSTAATEAVSINKDITDKVSGADGAPSSGSLKTFTDTGRDLDVSLVITTPKEESVNGNMENLSLDLPGHPAQDGNNPEKVLSLEETEREMPLSEKVLQTEPGENQIPNEQDEKDIQDENQNPKQKNEDDMQDTEIGEAKKEVEQELPVSHFLMNLILGKESSDANEDSESEAARKQGEATEDGSHTFISKQEESLGSLPTENKVDDNLTFVEQEKHEVKCSEETQEMVKEQSDDKLDTERSIEIDEDVKKNTHDLEIPAYQENPQDEISGELLTGEAADVSTKMETRDIDISSVELDDRAVGTVCQENMEVSTKYENGSLRSSLNDSTNTKAPEEDTLGEGQTGLVLESLPEDKSVDAVSEQATLLTESGMTDANGLSSDTASVQNPVSAKEDKPTDCANVEATCSTDIQLENEEVDKKEEEQHANTATDEVSEENVQSSHVNLQKITSSEVTSNELATQITEPVCDTQTILAREKEISEEKFPTAVEIRADGPNLQINQDKQDEAADNESATEPEKVGEPNFQEHQEIGTEQKSPEETDEGDQQLLAKKEILTQEQDVQETVESPQQTVSIKSNEDRELFGPKVQERDLNVVSPREASEAEENFVDVSKLWLIQSPKADAEEKIYDEKIKDIEGTKNFTDEAAMKTEAPGAAQKALKKHGLLSGVGSKVKHQLAKVKKAIVGKPGRTKPESPKA >Sspon.08G0007920-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:25253161:25256900:1 gene:Sspon.08G0007920-4D transcript:Sspon.08G0007920-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVGPGSCLLPTPAPAMAVAHAPQRRRGVLLLLLVAALVAALPATCAAARSRSKKSYTAIFSFGDSLSDAGNLIVNGTPKALTTARPPYGMTFFGKPTGRCSNGRLVVDFLAEHFGLPLPPPSQDRGKDFKKGANFAITGATALEYSFFKAHGIDQRIWNTGSINTQIGWLQDMKPSLCKSEQDCKDYFSKSLFVVGEFGGNDYNAPLFSGVRFSEIKTYVPLVTKAIANGVEKLIELGATDLLVPGVLPIGCFPVYLTLYNTSNKADYNARTGCLRRYNRLAFHHNRELKQQLDELQKKYPKTKIMYGDYFKAAMQFVVYPGKFGFSTALQACCGAGGQGNYNFNLKKKCGEQGASVCSNPSSYVSWDGIHMTEAAYRKVADGWLNGPYAEPPILKS >Sspon.06G0014230-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:88412464:88415987:1 gene:Sspon.06G0014230-3C transcript:Sspon.06G0014230-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABC transporter I family member 11, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G14100) UniProtKB/Swiss-Prot;Acc:Q8LEF6] MLAAAATAVAVPPAASYRFPANTDSFGLIFGRSGSGKTTLLQLLAGLSEPTSGSICIQKYDDTGNPIGLPELLTSQRVGIVFQFPERYFLADTVLEEVTFGWPRQKADLLLKEQLALNLQNAFNSVGLTTISLDEDPQALSGGFKRRLALAIQLVQTPDLLLLDEPLAGLDWKARADVVNLLKDLKKHHTILVVSHDLRELYPLVDYSWRMEMGGSLKEEALPV >Sspon.01G0052960-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:28154890:28156300:-1 gene:Sspon.01G0052960-1C transcript:Sspon.01G0052960-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQFLAQTGSNSSAATSPPASPQPRLRQQQAPRKERDPQNKEKEAPPAAMADEHQVLTRAFAGLGGLGVDETALVSALGRWRRQPEKRAQFRRGFLGFFSASAGAVAGIERCEDEYLLHLKAEFARFKDAAVLWAMHPWERDARWAHHVLHKAHPPQVLVEVACTRAADDLLGARRAYQAIYHRSLEEDVAYRVRDANASAKALAAAVRATPAPAAKLVQNEQVVRVLATRSKPQLRATFRIYMELHGKPLEEDLAAEPCLREAVKCLDSPPKYFSEGVQRRRGQAGQGGAHRVLVSRADTDMEDIKDAYARQYGAKLADAVAKNTHGHYKDALLAIIGK >Sspon.03G0026840-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:3945217:3946170:-1 gene:Sspon.03G0026840-1B transcript:Sspon.03G0026840-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVHGVCYGVLGDNLPSRADVVQLYKSNNIQAMRIYFPDQAALAALRGSGIVVILDVGGVDAVRALAGSASAAADWVQANVQAYQHDVLIRYIAVGNEVGPGDGAAGLLLPAMRNVHAALVSAGLDGSVKVSTAVKMDAIADTFPPSRGVFAQGYMADVARFLADTGAPLLANVYPYFAYRDDPRNISLEFASFRPGAATVTDGGNGLTYTNLLDAMVDAIYAALEKAGAPGVKVVVSESGWPSAGGFAASVDNARTYNQGVIDHARQGTPRKPGVLETYVFAMFNENQKTGDEIERHFGLFNPDKSPVYPITFPN >Sspon.07G0029210-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:75106348:75110293:1 gene:Sspon.07G0029210-2C transcript:Sspon.07G0029210-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVINYGAFLEKDGAGFRGQVKLTGLSNGDIDLTNSLWTYQIGLKGEFSRIYSPENQESAEWSSMQNEDTLSPFTWFKTMFDAPEGNGPVAIDLGSMGKGQAWVNGHLIGRYWSLVAPESGCPASCNYAGTYGDSKCRSNCGIATQSWYHIPREWLQESGNLLVLFEETGGDPSQILLEVHYTKTICSKISETYYPPLSAWSRAANGRPSVNTVAPELRLQCDEGHVISKITFASYGTPTGGCQNFSVGNCHASTTLDLVVEACEGKNRCAISVTNDVFGDPCRKVVKDLAVEAECSPPSANKEPRDDM >Sspon.08G0028870-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8D:18253358:18254111:-1 gene:Sspon.08G0028870-1D transcript:Sspon.08G0028870-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGCSFVLRFASCSTPDVYPCMDAASCSGSPRALAVLLWMHLRASCLLAHQWIQLCASRLLPHMMKHMQHTSETHEIYGCNMCSSTCCPQWMLIDAELHVGMELDAMARRSDLSYAQREFGRET >Sspon.03G0025840-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:934594:938858:1 gene:Sspon.03G0025840-1B transcript:Sspon.03G0025840-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEHVVQVACGSYHVAVLTNKSEVFTWGKGANGRLGHGDIEDRKIPTLVEALRDRGVRHIACGSNFTSAICQHKWVSGAEQSQCASCRQPFGFTRKRHNCHNCGLVHCNACTSHKVLRAALAPNPAKPYRVCDSCFMKLNSAAYSSAVNKKKEAVPRHSGESNHDAKLARAIVPSNLDMIRSLDSKAAKQGKKTDALSFLRTPQMSSLLQLRDIALSGGIDMNKSVPRAVRTSVRSLNSSRAVSPFSRKPSPPRSTTPVPTTHGLSVAKTAADSLAKTNEMLSQEVERLRAQVDNLRHRCELQELELQKSAKKVQEAMSMVSEESAKSKAAKEVIKSLTAQLKDMAERLPPDQGAYDGSEAKQAHVPNGIEMYASIYTGMNGIHQPRNESISAVSTPSLNIGRSLLPNGISNQHKSPGSISENSEVSAHTHRVSGPPEAENPNRRGHCSSDEMLSASSRADDSSNKDARSLFNGEDGYKSRSAVSLPSNQVQAEWIEQYEPGVYITLTTLRDGTRDLKRVRFSRRRFGEHQAESWWNENRDKVYEKYNVRSSERVSSASSIRSAR >Sspon.03G0031650-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:27796959:27802548:-1 gene:Sspon.03G0031650-3D transcript:Sspon.03G0031650-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDATGSRAGGSGGDQNGKENEEDKKQGAAPAKKVSLLGMFRYADRLDLLLMAVGTVGALANGVAEPLMTILFGNVIDSFGDSTSQDIVRSVRKVVLDFVYLGIGAAVVSFLQVSCWTMAGERQSARIRSLYLNAVLRQDIAFFDTELTTGQAVSRMSSDTLVIQDALGEKVLHLFFFPIAYCKHKSLGNATPSVSSIAQGQSAAYRLFETIERKPEIDSGDTSGVVLEDMKGDVELKDVHFRYPARPDQLILHGLSLQVASGTTMAIVGESGSGKSTVISLVERFYDPHDGEVLIDGINIKNLRLSWLREKISLVSQEPMLFMTSIKDNIMYGKGDATIEEVKRAAELANAANFIDKLPDGYDTMVGPRGAQLSGGQKQRIAIARAILKDPKILLLDEATSALDVESERIVQEALNRIMVERTTLVVAHRLSTVRNVDCITVLRQGKIVEQGPHDVLVKDANGAYSQLIRLQETRADERRKTADSGVPDSRSKSTSLSLRRSMNKDSFGNSNRYSFKNPLGLSVELHENRIIGGEETEGLSDVVVLKKAPIGRLFKLNMPEVPVLLLGSIAASVHGVVFPLFGILMSGIIKSFYEPPDKMRKDTSFWALISVVLGITCLISVPAQYFLFAVAGGKLIERIRALSFQSIVRQEIAWFDNASNSSGALGTRLSVDALNVRRLAGDNLALIMQSIATLTTGFVIAFAADWRLALIITCVIPLVGAQGYAQVKFLKGFSEDAKEMYEDASQVATDAVGSIRTVASFCAEKRVVATYNEKCEALRKQGIRSGIVGGLGYGFSFLMLYFTYGLCFYVGAQFVRQGKTTFPDVFKVFFALVLAAIGVSQASALASDATKARDSAISIFSILDRESKIDSSSYDGMTLENVTGNIDFNNVSFKYPSRPDVQIFSDFTLRIPSGKTVALVGESGSGKSTIIALLERFYDPDSGRISLDGVEIKSLKTSWLRDQMGLVGQEPVLFNDTIRANITYGKHGDVTEEEVMAVAKAANAHEFISSLPQGYDTMVGEKGIQLSGGQKQRVAIARAIIKDPKILLLDEATSALDAESERIVQDALDRVMVSRTTIVVAHRLSTIKGADMIAVLKEGKIVEKGRHEALMRINGGAYASLVELRSKSE >Sspon.02G0011340-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:34414694:34415582:-1 gene:Sspon.02G0011340-3C transcript:Sspon.02G0011340-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWRDSFLDLVLIPLSLLLPMAYHAWLWREVRLRPLRTAVGINAATRRLWAIGMMKDNAKNAVTVVQSVRNVIMGSTLMATTAILFCTGVAAVLSSTYTIKKPLSDTVFGAHGEYMMALKYVALMLLFLLAFLCHSLGICFLNQASFLINTSGCLFVASASADSDSEAVSGLPLPHTRDYIGDVLERGFTLNLVGNRLFYAGVPLLLWIFGPLLAFLSSMVMIPILYSLDVVNLRGHSGCVVSGKSAEMNGTH >Sspon.05G0020470-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:84443980:84454152:1 gene:Sspon.05G0020470-2C transcript:Sspon.05G0020470-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMERSQQQQSPTPPPPPSPSSSSSSVSADTVLVPPGKRRRAAATAKTTAKTGAKPKRSRKDPAAADPPPPATAAAGKRSSVYRGVTRYASLACVPSLPFPPLRFRPPAAHGSLLPIPATPHPPPADPALPQSSLLSFFPPRFTHSHRSSDPSPLQPRSAAGAPAAELPHHHPPPDCSLPPSFPSSTLRSPWSAKPSAAHMHVTSAAAASPCSFHRSSIEHAAAGGSSAVLPVCAAQPMPSLSSLLLPPAPFPRIAFSKSEDEKKSHRWTGRFEAHLWDKHCLAALHNKKKGRQGAYDSEEAAARAYDLAALKYWGPETLLNFPVEDYSSEMPEMEGVSREEYLASLRRRSSGFSRGVSKYRGVARHHHNGRWEARIGRVFGNKYLYLGTFEPDENAEPEDIAEPLITVDDGIEESLWSPCMDYELDTMSRSNFGSSINLSEWFTDADFDSNIGCLFDGNIITEELHLKSVDGSEFCQPISFQRSDFRGGSRRKSTEKKLTTRDMDKPTVVFMAEIEQQKLLEDAPNEDTPVSSHPRNLTV >Sspon.05G0021550-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:88720247:88737940:-1 gene:Sspon.05G0021550-2C transcript:Sspon.05G0021550-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPPPPTSTSDPALPTTTTTSSAPKASSRPSPAGLLAPLAASARSLLASARRSPVTTLAAAFFLLALVMYGEDARTIAELSIDDYLYPDADLYNVSGLPPLALPPPTCDLSRGRWVFDNVSVPAYREKDCTFLTKQVSCLANGRPDDMWQYWRWQPNDCSLPTYVVVLPLQIQARIFLAQLPDCAKEFVFIILLCSPNRLIGSRFDARRFMEAMRGKRLMFVGDSLNRNQWESLVCLVQPILSKGRKKIVKRGSFNTFYAKEYRATLEFYWAPFLVESNSDNPNFHSIKERIIKPRQNWKDVDYLIFNTYIWWMNNADIKVRSTPTASGFWTGNALLLSLWLSAIKQWDRVLRSRWVDFCRRPNSKSWSENDEVPRIEAYGQVFKTWSDWLNDNIDPARTSDARTIAELSIDDYLYPDADLYNVSGLPPLALPPPTCDLSRGRWVFDNVSVPAYREKDCTFLTKQVSCLANGRPDDMWQYWRWQPNDCSLPTYVVVLPLQIQARIFLAQLPDCAKEFVFIILLCSPNRLIGSRFDARRFMEAMRGKRLMFVGDSLNRNQWESLVCLVQPILSKGRKKIVKRGSFNTFYAKEYRATLEFYWAPFLVESNSDNPNFHSIKERIISPERIQSHARNWKDVDYLIFNTYIWWMNNADIKVRSTPTASGFWTGNALLLSLWLSAIKQWDRVLRSRWVDFCRRPNSKSWSENDEVPRIEAYGQVFKTWSDWLNDNIDPARTSVFFMTISSPHLSPQNWGNPDGIKCVKETLPYQNYSQPLDLYHDMRLYDLVVNVARSMEKVPVSVIDITKMSDYRKDAHTGLYTFRQGKLLTPKQKEDPEKFADCIHWCLPGVPD >Sspon.03G0022280-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:67898119:67900961:-1 gene:Sspon.03G0022280-1A transcript:Sspon.03G0022280-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding QSNASGTGANTKSGCDKMQSLKASAHINKSSGNMAAKRRKGADFSPFESHTESKIASKTGGLMEAPSRDAKMLSARPPNHYGKIKLQLFPIDETIQEIMQQEKHNPYLELTLAPRKKMSSIVQHLNTKWGSSQCAKGELMLFPNDTRLDTVGGSAKWTLEDSCTAADVHVTVGSPSTFRLRYGWFGPELKQQSSEPSLASAHSTDKTIGSKPPDLVFNEQKHMAGLGEFPNNFVIPSVVNNTNTSQVADNPSKVAPLSWLDSISNISFGALLSEAAPSQDSKQPPSQNNTCLQQIPVTCDSFDAAIASLIARQQPSNQPKVLNPSLWEAEETCHAFPFQNQTSQASSSVPGNSIATMSSSVLCAIPETDTDDQQCATDGRKEELNGQTSVLGDDINAKPDISMHESAGDPEPGASCPRFLNGTDSIGLSSLLTDSLDAFQKFSVF >Sspon.05G0009250-3D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:5D:29732544:29733278:-1 gene:Sspon.05G0009250-3D transcript:Sspon.05G0009250-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RLDLKWYACARGACTAWRCALAPPSPALLVVADDARWCPYAASLPTRRSFELTAIVSGSRCVGSSNGWLALSIVLFSGQTVFVLLNPIAAVEIILPPLIYESRWVSKVVFTPSPAKDDFAAAAICDIDRIAYVTAGARRWAVMEPVRLTSGDQLTDVVYTDKGKVYCLTKCGDVHVLRLPERRRRKAANADEAGPSEQEFSVLQPPQPTERINFRPLRWQHQRNFRMLRYEQARTRDQDPTIPLR >Sspon.07G0028000-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:63938255:63949806:1 gene:Sspon.07G0028000-1B transcript:Sspon.07G0028000-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDDGDRTCPLCAEEMDITDQQLKPCKCGYDICVWCWHHIIDMAEKEETEGRCPACRTRYDKDRIVKMAATCDRTVAEKNAEKKHKAQKVKPKAAPPTTAMSTVESKKHLASVRVLERREYFGQYGKVLKVSVSRPTGPPSQASANSNISVYITYAKEDEAIRCIQAVHNFVLEGKVLRACFGTTKYCHAWLRNMTCGNPDCLYLHDVGSQEDSFTKDEIISAYTRYPKSFSIATFHSLFYIPVRTRVPQMASSVSQKRTGTVLPPPGDDFSYSAVVSAKHTFKNGTLNTTNQPRLSPPNSSSGRSTLPPAASWGQRDLNARTTATGATSSQSHTKPKSESQSNPFSSSSVISSTKTPSSWNDDTSTATKMSEGQQVSEKESKTLQPYKPGISKETQALSSLESSLDIDFSTIPSAWNDDDIVVSDGMSKGSDENQVANENGELTHPASKPLVLSKKDITMNNITSKSPSDFVSSIAISKSDVSTSDGDHSFTNITPKSLTSNVVDCQSSHAAGEKILEDIGSRNTDMEKLSAQISSVKLGGNNDIQSMAGNQQSDVTPCTSVDVPMDQNFDKDQSHINLDELFLPSENKDTVLSCQYSSDKRLDWSSELQNCSVTPLNDIVDSTMLTNKLHSILLDGSKQPSYSSFAQFPSTLDNLLWNDTESNPALTIGTRASSQTGFSSSINNTYVLPNGGQDGLGAVYTHGNVSGHPGIGSHQHRAMGSDSIGSFGKTISVNKDESRIISDMLSSEFNPWDDSYSTANNFVRMLRESENNDVHFTVPSWKSGTGSKESRFSFARQDNQGNLLDSSLRNCGTGTEQNFSLLPQNSRGNIYQNGLAFQSLENDFSNSNSLGVLDMATAGEYYDTFSLLCLTEQNDRYLISLCAYVEFAFSGTSRPKISAPPGFSAPARVPPPGFSSFPSQDSLNPTPGFPSGISSHDGSVPLPRFPSAFSSGISAQEVSKPPTRLPSPFSSGFSSQDGPNTSSRFPSAFSSGLPSQDGPNPPSRFPSAFSSGFSSQDGSNQSYGSTYQDNLLRDTVLGGNSNHYQSQFGRHASDMEFDDPAILAVGKGLMPGIGDSGLEMKNSPAFEAQSQPASSDPRFQLHVQPNVQSHQNLRFTDPMQDGLNHMNDNYLVSRFLAQNHGPVSPYVQIPQQPRNSQVRNGHWDGWSDSRQGNNTPMSDMSRILYPSEANKLHMLGSNDIYNRAFGM >Sspon.07G0023260-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:11193461:11194154:1 gene:Sspon.07G0023260-2C transcript:Sspon.07G0023260-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding TIPGPIQEVSDNNCVYRNEVHHTAGERTQVLQDVASDPTLPRTKTVRCTVCGHGEAVFFQATARGEEGMTLFFVCCSPD >Sspon.05G0009760-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:27959228:27961068:1 gene:Sspon.05G0009760-1A transcript:Sspon.05G0009760-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vacuolar iron transporter 1 [Source:Projected from Arabidopsis thaliana (AT2G01770) UniProtKB/Swiss-Prot;Acc:Q9ZUA5] MRFPLHDSTGVGQSMDGGRATRGQPMLEKRPSHRERHFTAGEVVRDVIMGVSDGLTVPFALAAGLSGASAPSSLVLTAGLAEVAAGAISMGLGGYLAAQSEADHYKREMKREQEEIITVPDTEAAEIGEIMSQYGLEPHEYGPVIDGLRRNPQAWLDFMMRFELGLEKPDPKRALQSAFTIALSYVIGGLVPLLPYMFVSTAQNAMLISVGVTLVALLFFGYIKGRFTGNRPFISAVQTAIIGALASAAAYGMAKAVQAR >Sspon.01G0012870-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:38051067:38055607:-1 gene:Sspon.01G0012870-2D transcript:Sspon.01G0012870-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIVEEAHNLRVVGEGKRGVIVLAHGFGTDQSVWKHLVPHLLADYRVVLFDTMGAGPTNPDYFDFARYATLEGYALDLLAILQELGVQSCIYVGHSVSAVIGAIASISRPDLFTKLVLLSASPRYLNDVDYYGGFEQDELNELFEAMRSNYKAWCSGFAPLCVGGDMESVAVQEFSRTLFNIRPDIALSVAQTIFQSDVRSLLPLVCVPCHIIQSTKDLAVPVVVSEYLHKHLGGDSIVEVMPSEGHLPQLSSPDIVIPVLLRHIQHDIAV >Sspon.04G0023840-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:15702974:15708175:-1 gene:Sspon.04G0023840-1P transcript:Sspon.04G0023840-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VTSKRINFIVDEHMSDSYMDDIVSTSGQYDQQDRDRSWFLSSLHQIYSRRPEQIFKQTQLMERWAKWEYPVFPWVIADYKSKTLDLESPSTYRDLSKPIGALNPARLKKFQDHYSSLKDPIIPKFHYGSHYSNPGTVLYYLARIEPFSILYAQLQSAKFDHDDCAFSDIAGTWNSVLEGMNDVKELVPEMFYLPEVFTNVNSSGRLGSVALPPWAENAVDFIHMHRKALESDHVSTRLHEWIDLIFGYKQRGKEAVVANNIFPHVTYDGMVDIDKITDPVQQRGVQNQICYFGQTPSQLLTVPHIRRRPLTEILQLQTMFRNPSEVRPYVLPSPEHCNVPASTMLVSNDCLVVIDSNVPTAHVALHHWQPNTPDGLGAPFLFHHGKNAINSSGGAIFRIFKGSSGSAEDYQFPRAVAFAASAVQNSSAVVVTCDKEVITGRHADNSVKMISPDGARTIETAFGHLAPVTCLALSADSNYLVTGSRDTTVILWRIRQVGSAHKKNAPEPPPSTPTTPTSPLATGSSSDNSPSKTLETYRRRRIEGPMHVLRGHLGEVTCCSVSSDLGLVGSSSSVSGVLLHSLRTGRLIKKLDVPEAHSICLSSQGIVLIWNEFEKRLSTFTVNGIPIATVAVSPLSARVSCIEISTDGQFAAMGTCSASTDNHDCSNALEDDYELDKPNSDEDVQESNETRISVDPPSICFLDLYKLEVIHTLKLEEGQDVTALALDQENTTLLVSTADKRLIVYTGPAPSTPSAL >Sspon.01G0055870-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:84862895:84865409:1 gene:Sspon.01G0055870-1C transcript:Sspon.01G0055870-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MACDAVSFQFHRSLWQVETGHRDTCHGPAQPGASVPAPQGHLRLARARRRRPHRALRQEGQSTPTSSSPPSAYHGPRSPGRRTRHPHFKVNDARLEYGNASTFI >Sspon.01G0017480-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:64121954:64123044:-1 gene:Sspon.01G0017480-1A transcript:Sspon.01G0017480-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MERWAPARERPRRRPGQPSFSSTLLDAICDSLDEQAGSQGATAERAAAPTPAGSAKKQQQAALHYYYYYKPSLAASHRAARAAPAPADDCSSGRGYFSSSEVEYSLRRLRPIRTSGGAVGPASVAPAEKQQPAPPGTAARRARKPSAAAPASGGCRRPASPGARLASLLNAIFSGKRHSARQHPAPADDEPACSTAPSSARPCLAKTPPSARARATRSRSRTVRFLDIEGEVAVAAAAAGCRRFPVVEVEGSDGGGESSDASSDLFELENLAALAPANGGSGCRRTCEDELPVYGTTGAGLAHDIGLVRRRPFGTLEPVYLLL >Sspon.07G0002650-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:6375501:6378586:1 gene:Sspon.07G0002650-1A transcript:Sspon.07G0002650-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARVDCASKVNLELCNKFSVDHYPYLVWGPPTKFNLVQWKPKQENSELELIDDGRTADRLLKWINKKMGSSFNLDDKKYENESMLPKNASDPEQIARAIYDVEEATAHALQIILQHKMIKPDTRDSLIRFLQILVTHHPSKRCRRGSAELLIDFDDHWHTNLSLSLQDSSTLLKSAGEKICGNGVPRGYWVRLNSQFSAEEVKRKREDLGDVCALHILLEICKRCLILQVLSKTSFRSSISHEINIFFCISCGLWVLLHSLTVRIGDGESQTTFTSICDFIHNFFICEECRTHFYEMCSSVSVPFKSARDLALWLWTAHNKVNERLMKEEKDLDNADPSFPKIIWPPKQLCPLCYRSSSKIADGAMQVEWNEDEVFNFLVNYYGKMLVSSYRETSMESLLQVTKQVGSISDDSSASSAATVPIGAALGIALASCTFGALACFWRTQQKNRKQRKNWN >Sspon.05G0021430-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:31567218:31567588:-1 gene:Sspon.05G0021430-1P transcript:Sspon.05G0021430-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDARYVALGFFLVLVLHANPTLAETCRQFVKVHPFCLKSMCKANCFIEGKCSDGSYVKGYRCESHAFHSVCVCYLCKH >Sspon.01G0058730-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:34192249:34193446:-1 gene:Sspon.01G0058730-1D transcript:Sspon.01G0058730-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKLKPARYLCRAGTGTGTEEEMAGLSNFEFFKILLPGMYEEALRGTGKRIGTRDETGVFATTICAESHQLGASERLPTKFAPVLGARRDLKLRLAGGEMMPLWDVEVFVDEKHGDMYLRKGWKKFARAHDLRKGYTLFFRYDDVRGDLIVTVFDLTTCRKEYLHAGAGGTYYVPFHPTSLRGLRTETNINVCPGGGNTNLGAGAGRRSLPIAEPSHFAVTLRQCNLEAKQNQYLVSRLVSHVNSFARLDRSPYTHHSCLIVSLSCLAAQNVPVEFQDAHGYVRRRRVELQMGGRSWTVNLKRSKRLLGDRSAFKYGWHQFCVDNGLEVGDVCFFRVIREGSGCGDEDEEWEPEEEDDEHVLKVEVRKNNGTFVS >Sspon.05G0008340-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:25246406:25249908:1 gene:Sspon.05G0008340-1A transcript:Sspon.05G0008340-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALNCSSRSLLVTDDCAGMMLGCGCRSEEASPLSSCGVNSLWWDELEMELELKLEEEVESDPVDLLPTDPFGMNLETTFTAAIASCIGDLTVMSGAGHYGSGDDDDFYANLSYYLNQAFVLTPEPWSGAYTGVFEDSFVSGGLSGAGGMDQFSRLPPSASCSEPIGVIEDLSSSSDTALPCCDMVDVAPVQEGNDAHEAMVFVLSYLGLRDILAVEMVCKSLRSAVRNEPFLWKCIHIDSHLGKKVSDADLLCLTQKSPGSVQCLSLMGCLSITDQGLKAVLESNLQLTKLGIFGAFRITHQGLIDNLRSFNMKADIGIKRLRVTNRVTASEAQYEELLSLMKIDKELALHKQEPRIFHADRLLPDLHGGYVPDSFVPDLHDEYALDIEKCPLCPNYKLVYDCPSKECKTRGPSTCRGCVVCISRCLRCGRCIDSEFQETFLLENLCRDCVNRLLDAACSWNIRIYLCVLRAVT >Sspon.07G0016840-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:81720693:81726238:-1 gene:Sspon.07G0016840-3D transcript:Sspon.07G0016840-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNIIRCLTGREHDHGDDDSYPYYRTASRWEDEPAAASRPRQQSLGPIHGGVAPAATASLAWDLQLNFESASMIPEGLKRHVTSSKKAQIKWHKDMSEAYKDMKTPRKTPAETALTRIQRADLEDVFSFYNLPTTSLPVPDSNHHSTLLPEGVQFVLNTLPVRYIYVQPLQRKAYCQHQVSNNALNQHLLIIASSLATIVHNKCIGDGDGFTAYVDTADPRESADVPPEVHEMVIAITQARTDRDYQTANALQRSLDKAGYKVIVILGEEILARKYRIRMRGIDAPELKMPYGKEAKKELMKLIGGKSVTIYVYEQDQFGRYVGDIYCDNMFIQEQMLKCGHVHHFKKYDKRPEFENWQKEAKSTGLGLWASKKPPQKPWDWRRNKRHARHSGIQVILDMSLMAVWQSLQTRRIPWLQLDELLQCMLSKVSVPMDLATTKESSPYNSICLHWHVFN >Sspon.02G0011420-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:34731140:34734016:-1 gene:Sspon.02G0011420-3C transcript:Sspon.02G0011420-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGLPLDGFDYASILGQCCELPVGYVQLPVGVAGPLLLDGRRLYVPMATTEGCLVASTNRGCKAIAESGGASSVVLKDGMTRAPAVRFPSARRTAELKAFLEDPANFDTLAVVFNRSSRFGRLQGVKCAIAGRNLYMRFSCSTGDAMGMNMVSKGVQNVLDYLQADFPDMDVISISGNFCSDKKSAAVNWIDGRGKSVVCEAVIKEEVVKKVLKTNVQALVELNVIKNLAGSAVAGALGGFNAHASNIVAAIFIATGQDPAQNVESSQCITMLEAINDGKDLHISVTMPSIEVGTVGGGTQLASQSACLDLLGVKGANRESPGSNSRLLATVVAGAVLAGELSLISAQAAGHLVKSHMKYNRSSKDMSKALAEATDKPNKC >Sspon.02G0033400-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2B:7085759:7086930:-1 gene:Sspon.02G0033400-1B transcript:Sspon.02G0033400-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLGVLVLVTLLAAATAQAQGSNGYDKKVPAPAASPGSAPVTPPSSSPPAQTPPPPASARPKLRYGFYKRSCPYAEEIVREAVRNATNVNPGLGAGLIRMAFHDCFVQGCDGSVLLDPTPANPRPEKLGPPNFPSLRGFDVVDAAKAALEKACPGVVSCADVVQFAARDAAFFLSGSKVYYRLPGGRFDGRVSFENETLRFLPPPFFNLSQLIQSFKVKGLDVDDLVVLSGGHTIGRSHCSSFSNRISTPPSDMNPGLATVLKRQCPANPNFTNDPTVVQDVVTPNKLDNQYYWNVLRHKVLFNSDAALLTSTETVRMVLENAGIRGRFERKFARAMLKMSLIEVKTAANGEIRKNCH >Sspon.02G0023520-3C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2C:83025942:83026460:1 gene:Sspon.02G0023520-3C transcript:Sspon.02G0023520-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATVMTSMSSVAFATAGARRAGAFPASSALAPRRRALVVRAQSGDVETTPKAKAAIPGLWDALAFSGPAPERINGRLAMVGFVSALAVEASRGGGLLSQAGSGSGLAWFAATAAVLSVASLVPLLKGDSAEARSGAVMSANAELWNGRFAMLGLVALALTEYLTGAPFINA >Sspon.05G0010070-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:23757419:23761210:1 gene:Sspon.05G0010070-2B transcript:Sspon.05G0010070-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAASSREDRDRNQPVVLVTGCSEGGIGHAMVRAFAAAGCAVVATARSRGSMRDLDGDPRFLLLELDVRSDESARAAVADALREHGRVDVLVNNAGVHLVAPLAEVPMESFHQVFDTNVYGAMRLIQAVIPHMMERRKGTIVNVGSITALAPGPWAGVYSASKAALHALSDSLRVELKSFGINVMTVAPGGTKSNLGNSSAAKYDQMHDWKYYKKYEEGLRARTEISQGPGSTPAEELAKKVVASVLKKNPPAWFAYGQFSAILSILYYAPL >Sspon.06G0004750-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:12837398:12838393:1 gene:Sspon.06G0004750-4D transcript:Sspon.06G0004750-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DIC3 [Source:Projected from Arabidopsis thaliana (AT5G09470) UniProtKB/TrEMBL;Acc:A0A178UKG1] MGVKGFVEGGIASIVAGCSTHPLDLIKVRMQLQGEAAAATAAPQPALRPALAFHAGGHAVALPPHHHDIPAAAAPRKPGPLAVGAQILRSEGARGLFSGVSATMLRQTLYSTTRMGLYDILKTKWTPPDNNGVLPLHRKIAAGLVAGGVGAAVGNPADVAMVRMQADGRLPLAERRNYAGVGDAIGRMARDEGVRSLWRGSSLTVNRAMIVTASQLATYDQAKEAILARRGPGADGLATHVAASFTAGIVAAAASNPVDVVKTRMMNMKVAPGAPPPYAGAVDCALKTIRSEGPMALYKGFIPTVMRQGPFTVVLFVTLEQVRKVFKGVEF >Sspon.06G0003770-3P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:30303470:30305560:1 gene:Sspon.06G0003770-3P transcript:Sspon.06G0003770-3P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRARPKGTFADAMRESSPPHPPPASAAAAAAAVKEDEWEVRPGGMLVQKRSPDADAPAGAPVPTIRVKVKFNGVYHEIYINSQASFGELKKLLSARTGLHPEDQKLVYKDKERDSKAFLDMAGVKDRSKMVLLEDPAAQAKRLLEQRRTDKAERAAKSISRISLDVDKLATKVSALETIVSKGGKVVDADVVTLTEALMNELVKLDSIAAEGEVKVQRRIQEKRVQKYVETLDAIRAKNAASAPKANGNMNGHAKPRAPHLPPRPPPVSQRRNFQQQPSPAPWRRGAAHAELGVVRPAVVGAVHVLGRRDHHHGGRDHHLAGRRFPDPAVRLGALLKPSSVRAG >Sspon.01G0019400-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1A:72648737:72650494:-1 gene:Sspon.01G0019400-1A transcript:Sspon.01G0019400-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHFVDTSCCGCGIWSAPVIINASARSLRIRARAVSLDYPSRTEQKNETNLKVVRPDRRLQDVFVDASADHGSGRATADFKKVVPSLDANPRKLLNEQLKPRRPLDHNSDKVANGACFSKHKAECYADSLRRHCNNGKLIQACRVIDEMVLHGQVPDSKCCVRLIRGLVRTGKTNKARHVLEVMVLSGGVPDTITCNMLIARLCCEGQLSSAMKVLEDMRFTGCSPSGITFNTLIRCMCSHHMYDRAFSFWKEQLKLGWPPYEMTSTLLVDLICKKCGPMRALEVLDELGLEGCQPDVVSYNTLISVSCKAGCLKNAKLILARLSAEGLEPNGTTYCILLHSLCDKGRWSEVGDLLAHMKQANHKPDVNAYNIFINYFCRYGYLDQAIDVLEMMVNEKCFPDIVTYNTLLNAISKRGMVEEALGIFHSIRENGCQVVRITYNTLIDALAKKGEVINAMTLLDEMIVDGISPDDVTYGSLVMGFCKKNMAKEALELLNQMLALGFEVKATTFSMMIQALCKGCKAEAAAEILRVMVSRNVNRRSAFYLSIVTRVAKLGRVKEAQILHQELLQSKVLKEDSQFILSS >Sspon.04G0013130-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:50610553:50623798:1 gene:Sspon.04G0013130-2B transcript:Sspon.04G0013130-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tocopherol cyclase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G32770) UniProtKB/Swiss-Prot;Acc:Q94FY7] MAGQFCRYHFDGTARPFFEGWYFKVSIPECRQSFCFMYSVENPLFRDGMSDLDKLVHGPRFTGVGAQILGADDKYICQFSEKSNNFWGSRHELMLGNTFIPNKESTPPQGEDFSKRVLEGFQVTPIWHQGFIRDDGRSKYVPNVQTARWEYSTRPVYGWGDVKSKQLSTAGWLAAFPFFEPHWQICMAGGLSTGWIEWDGERFEFENAPSYSEKNWGGGFPRKWYWIQCNVFSGASGEVSLTAAGGLRKIGLGDTYESPSLIGIHYEGQFFEFVPWTGTVSWDIAPWGCWKMSGENKTHLVEIEATTTEPGTALRAPTIEAGLVPACKDTCYGDLRLQLWEKKYDGSKGEMILDATSNMAALEVGGGPWFNGWKGTTVVNEVVNNIVGTQIDVESLFPIPFLKPPGL >Sspon.04G0024780-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:21773944:21774802:1 gene:Sspon.04G0024780-1B transcript:Sspon.04G0024780-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DAETRGVGERGGGRARPRRGRLGVRRGEHQVQGKLRNPSSLLFEFEFCSGLTVCTWLRRAALRVPAHAGARLRRGRGAARADGARHRHRGQRMSPTRRARDRPQARRRRQAVHHRLGGGGGGGGDVPVRRGDEPWRDAGPLGEQGPALRPRLLLRLHRAQERRLRDGVRLVRRRHRVRHRGLRLLPADGRAGAGPVRRAGRRDGTAAVGAAVSSAALPAAYDLPCSSPVRARRLRPQATCGHSL >Sspon.08G0014810-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:57149720:57152247:1 gene:Sspon.08G0014810-3D transcript:Sspon.08G0014810-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAAIYNLFIINKSGGLIYYKDYGSAGRMDTNDSLRLASLWHSMHAISQQLSPTPGCTGIDLLQAHNFDLHCFQSLTGTKFFVVCETGAPNMEMLLKVIYELYTDFVLKNPFYEMEMPIRCELFDHNLAQVIQKDRVALLGR >Sspon.06G0029250-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:16911456:16914921:-1 gene:Sspon.06G0029250-1C transcript:Sspon.06G0029250-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding FFYKRPPDGLLEFADRIYVFDSCFSTEVLPQGMYPVYLNGILTELHEEHVESSFLAINFRDGDKRSQLADILREYNIPVIDYPRHFEGCPVLPLSLIQHFLRVYEHWLSSGTNQNIILLHCERGAWPLLAFLLSCLLIYKKLNSAEHKTLDTIYREAPKGFLQLFSALNPMPSQLRYMQYVARRNISPQWPPMERALSLDCLILRAIPSFDSDNGCRPLVRIFGRNLLGKNASMTNMIFSMPKKKSLRHYQQEDCDVIKIDIQCLVQGDIVLECVHLDLDPEKEVMMFRIMFNTAFIRSNVLMLNSDDVDILWGSKERYPRNFRAEVLFCEIGGISPPRAPTATLNGDVKGGLPIEAFSAVQELFNGVDWIESSDDAAYWLLKEFSANSLQEKFQKLILNDMKELSRMQAKVGLQMPLMSPLDSDEEKYSVASDSVSSADHEKVQHGGNSSDSENIDRDHTTEDFESSATLSMNSSSLPPQPQPPLPPHMVPSTLALPVLATGPSEPLIESLQELPSNMSPSSQPAPPPPPPPPPPPPPLPQSGRKPDSSLPPSPSESKHVLSSPSPPPPPPPPPPRVSSVGPSQPPPPPPPPPPPPRISSVGPTQPLPPPPPPPPPPPPRTSNSAPAPAPPPLPNSWAAKQQNVCAAPPPPPPPAPGIARPPPPPPPPSSSKAPTAAAGTSSKGPPPPPPPPPPVTSSRTSGPSVPSPPPPPPPPGSNKKTPPAPPPPPLMTGKKAPVPPLPPPQAPKPPGVVPPKPPGVVPPPPSSKITNAPAPPPLLGRGQGNATGPTKGRGIGLAQQSNPPKKASLKPLHWVKVTRAMQGSLWADAQKQGNQARAPDIDLSELESLFSTAVVTSTSEKGATRRGSAINKPEIVH >Sspon.07G0018960-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:69054782:69058236:-1 gene:Sspon.07G0018960-1A transcript:Sspon.07G0018960-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDFVRRLASALLKCCDLDIPNRPKGLEDPERLARETVFNVNEIEALYELFKKISSAVVDDGLINKEEFQLALFKTNRKDSMFADRVFDLFDTKHNGILEFEEFARALSVFHPSAPIDDKIDFAFKLYDLKQQGFIEKQEVKQMVVATLAESGMNLSDDIIEGIIDKTFEEADTKHDGKIDKEEWRSLVLRHPSLLKNMTLPYLRDITTTFPSFVFNSQVEDA >Sspon.01G0013880-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:40891066:40893284:-1 gene:Sspon.01G0013880-2D transcript:Sspon.01G0013880-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VMSSRSPPPKDRRMRTERTSYRDAPYRRDSRHGPSRFRNDLCNNCKRPGHFARDCPSVAVCHTCGLPSDTLHRIITLCPCYIKTISTGILQLNVLPKVFAGTAKSLDTWLTAAQMKGYAVTVASPAILQKTALPTSASRRSDPLQQLLQTRAFS >Sspon.02G0010430-3C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2C:33005954:33006538:1 gene:Sspon.02G0010430-3C transcript:Sspon.02G0010430-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAATVRRGSASSASVSLAIVLAAVAITCSSFPPSSAAAKAAPCSSQTFSGTGGGGQRPYASCADLPRLGATLHYNYTAATNTVAVAFRAPQAKGDDGWVAWGINPSGRTGMVGTQAVVAFQHSNGSLVAYPTVLDSYAPSMAPAAPKDLAFPVSDVAAEYADGKEMVVYATLALPAGKGSKFTHVWQQGTAV >Sspon.01G0004100-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1A:11351811:11352404:-1 gene:Sspon.01G0004100-1A transcript:Sspon.01G0004100-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARVLCTLGARIGPGSRSTVLSGGDGYVLVLGDPSAIHEQVCFEPCCMTVVVEESDDE >Sspon.05G0038790-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5D:53903250:53911090:-1 gene:Sspon.05G0038790-1D transcript:Sspon.05G0038790-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGYPLPSGTTGRRVVVPLHASHAPAPPPAHCLQALAPWMIYSLSILNGERARENWLKIVGDRPPRRTRRSPPPPCRPPASQGARPPRSQPAGAARCRGAHRRAASQAARPSAYPRLPSPRPHCLAPATWRSQPRKTRTRATRARARLPLSSHACSRATPSLPQPLLSHSWTPPLLSPKDEPQGRAPLAASPAVQARLLSLTGDSESSSHFPSHARHPRLETLERVVGGAAAIPAAAALRRTRASPPRAPGRGSKRRRWHARLRLLLSPPCHIASRTLLQPCTPPRAAVAALRRGMMLGSVASSEPLRSGAQWIWLQWGSLRRSGSSPCSGRSRLRGLPPPRQGFI >Sspon.04G0001850-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:5937419:5939064:1 gene:Sspon.04G0001850-1A transcript:Sspon.04G0001850-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLLFVPNKTLAPPSPAPIPRARHRLASRPPSAAAFFRGLFPTKPPAAKADLLRLIADQGRGLETQSDPSRLADIVSCIDALAAVSPGADTVSDAAKLSGTWRLLWTTEQEQLFIVRNAPFFRTAAGDVLQVIDVPGGALNNVITFPPSGAFIVNGEIEVQPPQRVNFRQAKHSIMLGCCPFTSEKKNVSLLIGTLARLESGMPVFDTVYLDDDIRVAKDIRGDYLVVERAPYSWNG >Sspon.05G0014790-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5A:56589477:56590638:1 gene:Sspon.05G0014790-1A transcript:Sspon.05G0014790-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSEAKLTSLAMSEGSMRRRRHPSSPALAAPLPDNDDLLREILLRLPPLPSSLPRASLVSKRWRRIISDPQFLRRFRAFHHRQAPLLGFYLCDAGSPCFTPTLDPPDRIPSARLSLALSRDESWRFLGCRHGLVLILNRTPEHPRFAVLCGALLCDDHAGRAPLESFKVAVLRTDDVLLDADPHAFAFLCESKADVWSNTISASIRAPLSFAKPSILVGNSLYWLLLGYGNGGILKFDLDRKNLTAIDTPTDARDALRSQILRMEDSRLGFAILTDFSIQVWEKKPNSEGGAKWMLQKTINLDKLISLGPAFDRSWTLIQGYDEDGNTIFVKADRQVFMIQLKSLELKIIFEDDIILYHPFTSLYTT >Sspon.08G0000190-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:1183850:1195637:-1 gene:Sspon.08G0000190-1T transcript:Sspon.08G0000190-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGEADGGGDSFVLRSGVRAGLKREFAFAIASQAALSASSAPLGRTRRSSRTLNSSAASTSSAAASTSSFSTPDTKPKAKRPRPPDPLPPPPPEDAPAPGEDHEGATAELPGPVLALMAAAGPSPPPTPSTPQPHADSDADPAHRDNIIIPPESSPRRITRSMLQPKSPPSTTARSPDNAAPLKPKPEPPEEEEDGKPVPALRRFTRSLLVKDKDSNDDDLSGTTTASNASSSPSPHTTTTTSTSSNKRNKNTNKIPTNLRELLATGLLEGQPVKYIMRKGKRAVLRGVVKRIGILCSCSSCKGRKVVSPYYFEVHAGSTKKHPSDYIFLENGNNLHDVLRACTNATLDMLESAVRKAIGPAPQKRTFRCKGCKSSFSTFRSGKFALFCDSCLESKGAKNNSRSSKVGRSPTSSAKVYKSASPGAKSSSVGRLTRKDKGMHKVVFMSGVLPEGTDVGYYVGGKRLLDGYIKAFGIYCHCCSTVVSPSQFEAHAGRAARRKPYHNIYMSNGVSLHELSISLLKGQKMSNRQSDDLCSICSDGGQLLLCDTCPRAFHRECVSLSSAPKGTWCCRYCENRQQRESCLAYNNNAIAAGRVEGVDALEQIFTRSIRIATTPEIGFGGCALCKLHDFSKKKFSTRTVLLCDQCGREYHVGCLKEHNMADLTALPEGAWYCSTDCVRINQTLQDLLNRGGEPVPTMDLAVIKKKREVKGFNEDADLDVRWRVLKDKSSDDSKLVLSKAVAIFHETFDPIIQVSTGRDLIPAMVYGRSARDQDYTGMYCAVLTVNNTVVSAGLFRIMGSEIAELPLVATSRDSQGLVKHFVLPAAEEAESIWTERFGFTKISQDELREYLKGGRTTVFQGTSNLHKLVAKLEC >Sspon.05G0019030-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:83246118:83247158:1 gene:Sspon.05G0019030-2B transcript:Sspon.05G0019030-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGCGNHCGKDCGNHCEEDFWNSCRRLLLILLTLVLLAGVVALIVYLVLRPTHPRFYLQDASLRQLDLTNGSAPLLSTTVQVTIASRNPNGRVGVYYDRLDVSASYKYQQITLGSRLQPAPLYQGHGDVDVWSPVLVGPNVPFAPFLADALSKDIAAGYLVLQVRIDGRVRWKVGSWVSGHYHIFVTCPAYFINAGAGNGGYGGSVGAHGLRFQTATYCRVQFRISSADGD >Sspon.07G0005360-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:13616140:13618243:-1 gene:Sspon.07G0005360-1A transcript:Sspon.07G0005360-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTKKSKKSTESINNKLQLVMKSGKYTLGYKTVLKTLRNSKGKLIIIANNCPPLRKSEIEYYAMLAKVSVHHFHGNNVDLGTACGKYYRVCCLSIIDPGDSDIISTTPGTQ >Sspon.03G0035180-1P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3C:76200291:76204294:-1 gene:Sspon.03G0035180-1P transcript:Sspon.03G0035180-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASRGLLARLRGLSLSSAGGSPRLPFPPSRLFSAEAFVSHSDDDDDAGGEGGGGGGRIIEARAGVMGPTSRRTGVIGVKCGMSAMWDKWGAKVPITVLWVDDNVVTQVKTAEKEGFFALQLGAGQKKEKHLTKPEVGHFRAQGVPLKRKLREFPVTEDALLPVGTTITVRHFVPGQFVDVTGITKGKGFAGVMKKYDFSGMPASHGASKSHRSGGSTGQRDAPGRVFKNRKMPGRMGGVQRTVKNVWVYQIDPARNLLYLKGQVPGPQGSFVFVKDSIYKKPDTALLPFPTYFTQGEPEELEPLIADLGDVDPFMAAD >Sspon.07G0020680-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:79121981:79126491:1 gene:Sspon.07G0020680-2B transcript:Sspon.07G0020680-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVSTDVEGQEVPNKRVILKRYVTGFPSEDDMEVVTGTACLAVPPGSAAMVVKNLYVSCDPYMRGRMTKHDRPSYVSDFVVGEALVNYGVCKVVASGHSDFKVGDLVWGMTGWEEYTFVPKPESFFKINHPELPLSYYTGVLGMAGLTAWAGFFEVGKPNKGDYVFVSAASGAVGQLVGQFAKLTGCYVVNLLKSKFGFDEAFNYKKEQDLDAALRRYFPEGIDIYFENVGGGTLEAVLSNMRNHGRIPTCGMISQYNLEEPEGVHNLVEIIGKRVRMEGFLVFDYYGQYHKFEQEVAGYLKEGKITYVEDIADGLEKAPAALIGLFTGRNVGKQLVAVARE >Sspon.02G0031870-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:103602458:103611821:1 gene:Sspon.02G0031870-2C transcript:Sspon.02G0031870-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEARRQAMQERNHVLAPRTERNHVLAPRTEVTQPTALTPCHLRPPPAVLRILTKQPFLPSMARTWQQFGVVVMARHRHGPAAERGGGAGVRGLRAEVEWKLAGEEQDVVEISLPGFRKDQVRVQVDNHGVLRATGERPTRGGRWARFKKDLRLPDNCDADGVRARFEGEKLIITLPFVAATPSPPPPTPSPPEPRWPPAYPEPPTLPLAQQQPPTPSPPSPQRPRRRPSAPQPPVPYYPEPPQPPRPSPRPPPPHLLFQLIPRRRAPVSIAIAISPPSPSPPPSPPTRRSPSPPSAPPPPPPRRQRPSPPLPPTRPEPPPRRPPSPPPPPRTYPEPPRQRPSPPQPPPPPPPPPPPPPRRQRPSRPQAPKYPEPPPPPPPPPPVPSSYELPQRVPPPPPPPVAPTYPELPTPTAPHPSPPPPQGPPTARLVTEELKPSTSEHGTLGAAPEPKPSTPPSWQTPDSVPPPPPAPLPPRGAEEPPKEKQPQDTSTMTTVAGQEDGKDSRQPPPPPPEKKKSKKTKRADEPGAELRGKVDETARDAKQPGENNQAGALPVVGDAPVTATMTPEPGRQLMVNAAAAVAVLVGIIVA >Sspon.02G0010060-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:26986185:26989218:1 gene:Sspon.02G0010060-2B transcript:Sspon.02G0010060-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTRLTATSLLSRTLLRRVTSRSPTPLLGPTGLERSAGIPISQPTRAFSTASGDRGQEPPPAPAMDSPIKVVSHLRGGRGGGGEGEGGGAAAIDAGKSARRPLSLWPGMYHSPVTNALWEARSSIFERMMDAGSGGATSSAEQRPQTELLVKTPAQSRTSIVYKFATDDILREQYRDPWNEVRIGKLLEDLDALAGTIAVKHCSDEDSTRRPLLVVTASVDKMELKKPIRVDTDLKIAGAVTYVGRSSIDIQIEVTQVDKDGDNQSDPIALTANFTFVARDSKTGKSAPVNRLSPETEREKQLFGEREARDKMRKRKREEQKGGLENGIHKLRAEAERLGALLAEGRVFSDLPALADRDSILLKDTRLENSLVCQPQQRNLHGRIFGGFLMHRAFELAFSTAYAFVGQRPCFLEVDHVDFLKPCPQMNINRHKHAVVLAQVDVGDFLRFKSCVLYTQLDNPEQPLVNVEVVAHVTRPELRKSEVSNTFHFTFTVCSDMLKNGLKIRNVVPSTEEEARRILERMEAEGLCN >Sspon.02G0007400-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:21826721:21827212:-1 gene:Sspon.02G0007400-1A transcript:Sspon.02G0007400-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MESYLRRRDIPRQCRRISETVDVDPMLHLLATGTAHNVNARALILNTAATLEGSAVTNIARLTRDVFAIGPLHAILWREDDGCTAWLDGHADRSVVFVSLGSLAVISHAQFTEFLSGLVTAGMKIANHARQIKVLGKSSKQLGTN >Sspon.05G0013030-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:42357157:42358483:1 gene:Sspon.05G0013030-4D transcript:Sspon.05G0013030-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor FER-LIKE IRON DEFICIENCY-INDUCED TRANSCRIPTION FACTOR [Source:Projected from Arabidopsis thaliana (AT2G28160) UniProtKB/Swiss-Prot;Acc:Q0V7X4] MEHQLHQLLHLEHFMPSSPGFFAVDSGQDPAHFPNGGLFVEPQVGGATDDGGWVEDLMQLGEELFSGHGDGDDVNGTGGMGDEHHYQQWQCDDDGGSPDGPPPTSISLDGDTSPPSGEQGAGEAGELASEPQPHHPHRGDGDDVSGATRKRRDRSKTIVSERKRRVRMKEKLYELRSLVPNITKMDKASIIADAVMYVKNLQAHARKLKEEVAALEARPMSPASRQEQLPQHGRRAGAGRRQQQLERRDAVTGARVTHVGAAQVGEGRFFVTVECERRDGVAAPLCAAAESLACFRVDSSSIGRSGPDRVVSTLTLKVMSQQVGDAAIGEASVKLWMMAALLKEGFRPEATVQIS >Sspon.01G0013910-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:41560535:41566836:-1 gene:Sspon.01G0013910-4D transcript:Sspon.01G0013910-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-N-acetylmuramoyl-L-alanyl-D-glutamate--2,6-diaminopimelate ligase MurE homolog, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G63680) UniProtKB/Swiss-Prot;Acc:F4I3P9] MATAPLASRLPFPFPSASRPPPSRILAPPNPRRLPLRLAAAAARRFRPPTADDEPPEAAEDSSHGLTRYDQLARSVERARSRQPEITPDNPLFSSPSPAGGGGGGSYDPDDEFFDEIDRAIAEKREEFTRRGLIKPSPASPPPPSSQSQPEDEDLADELSPEEVIDLDEIRKLQGLSVVSVADEADEEVEGGEDEDGDDGLPLDEDGEGFDVAEELGLEGARMRQPAFRMTLAELLDESKLVPVAVTGDQDVALAGVQCDASLVAAGDLFVCVGDDGLAGLTEADKRGAVAVVADQDLNIEGTLACRALVIVDDILAALRVLPACLYRRPSTDMAVIGVTGTDGVTTTTHLVKAMYEAMGVRTGLVGVLGAYAFGSNKLDARPDASSDPIAAQKLMATMLHNGTEAVVLETATDGMPPSGVDSEIDYDIAVLTNVKHTDGEDGMTYEQYMSSMASLFSRMVDPERHRKVVNIDDPCAPFFAAQGGYDVPVVTYSFENKKADVHTLKYQLSLFETEVLVQTPHGILEISSGLLGRDNIYNILATVAVGIAVGAPLEDIVSGIEEVDAIPGRCELIDEEQAFGVIVDHARTPEALSRLLDGVRELGPRRIVTGYRKATDFDLVKIAKFINSRSNIRLPI >Sspon.02G0040500-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:70072446:70072640:-1 gene:Sspon.02G0040500-1B transcript:Sspon.02G0040500-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKLGRRMRWHFKRIVDQYGRKRSLCQILYHLKLGQKLPLGAEEFAGRRTKLPFSKKLAKFENT >Sspon.04G0006310-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:17388513:17391937:1 gene:Sspon.04G0006310-2C transcript:Sspon.04G0006310-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDLLPLLHPAPTVVALSALRRARAVARRQQARAFAEGAGAGARVLRMLGQGHGVSFPTPAAAAVHGFWLLSRHDWAVTPTRPSRGGTTRMITGAAAYGVELYCTQLGGRVRTVSQLPVTTSSSAVMETSSLEVFKQTHVRGTLVGVHTGGFAVAGMRRGSRQCKSCEVQQFQLTRYPRKKTSLQQQQTSESLTTQWANATESTIAREIQFFSIHPLSRTHVDRPDCCSSPYSLRATVGSHGRKKCSTIESDTATNMKYNKAQIQSTMAGSKHSASVDGRGRPDQTAPGTQSATGHFYRLHSEISHHFEILIKSTRVKGNAINRYADG >Sspon.07G0033390-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:52455973:52459721:-1 gene:Sspon.07G0033390-2D transcript:Sspon.07G0033390-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding HMSADHNLLVPYGTYRFTAQGCVQCSCRTNNDHRLDCVPVQDEQCPAVPVCTGALKLGQACGSNRCFCWSIDRSFWWFTETEQVNFNSCTICFNLLDSYVPCLHNIPLEENLPSILSNVQWERSFKKEDILKGYDSLIPKRYKYSEVKKITGSFKHILGEGGYGIVYKGKLEDGRKVAVKLLKVSKGNGEEFLNEVVSIRRTSHINIVNLVGFCLHGSKRALIYDYMVNGSLDKYIYSEETKMTIGWDKLREVAIGIARGLEYLHRGCNARIIHFDIKPHNVLLDEDFCPKIADFGLAKLCHLKDSALSMAEARGTIGFIAPEVFSRSFGVVSTKSDVYSYGMVLLEMVVGRKNIKETTGNSSEAYFPDWIYDRLAKDFQSQEVACESDETARHMTLVALWCIQTSPGNRPSIRSVIEMLEKNISELEMPPKPFLSCPSPPSHFSS >Sspon.04G0003800-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:11701330:11705164:1 gene:Sspon.04G0003800-1A transcript:Sspon.04G0003800-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRLLAHRQFGCPSRRLVGRSPALPVLCNNVLPGYRKHDDTTLGEIGDKARSTAEEFLRVAKEKTDDVAESAKETLHETKEAVVGESDDEKEKFKRRVEEGRISHICKLLKELNEASRCSLFLMDSEVKSAALSKPCVLIIVVAGVERFVYKGVASNIVTFLTDVVKMSTSSAAKSVSTWNGVTSMLPLASAILADSFWDRYSTITVSSLLYIVGLVGLTSWTVLHSWMPSSLLFLPLYLISVGQGGYNPSLQAFGADQLLSEDDMESLPAEQKNQVKSLFFQWWYFGICSGSLLGNSIMSYIQDTFGWGLGFAIPCGVMVLSVVAFCCGTPLYTCNEQNTGNRPSDSIFRVLKEIVTCLITRKVRLPTRDDDRDISELELEEKALKDEFTDLREAEDGHDTAPDVSVTKAILRLLPIWTTLLIFAVIFQQPMTFFTEQGILMNHKVGSTFVIPPAMLQSSTTMSVILLMPLYDKIFVPLMRVFTREEKGVTVLQRIGIGMVLSVAAMVTASTVESKRLRFVSEGDGATHQLSIFWLLPQYILLGVADVFTVVGMQEFFYTQVPSTMRTIGIALYLSVFGFGNFLGAFLIAVLETTTATTGEGHGWFSDDPRQERLDKYYWFLAFLGTVSFMFFTYLCKYYNEPEAPRR >Sspon.01G0035090-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:8112059:8114401:1 gene:Sspon.01G0035090-1B transcript:Sspon.01G0035090-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTDCADNAATYADPLAVSLCEDSGPPVWVDPMLDELAASLVASRSTSAPVLRPSTDVQAPRVDGEDAAVADTVIIDAVCPPTTLEEAQAALADTRTDIDMASAALRAVVLVAVVLIQCCKVIVAARPLLMEAPAVATADGGWLEMIMQVLQGGPGGNNHNCQAPNGSCP >Sspon.06G0011310-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:48548418:48561834:-1 gene:Sspon.06G0011310-3C transcript:Sspon.06G0011310-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MCVIACVVVEEDLDRATCLIADGHVASLLPSKTQGTFLKMFLGSVNLQATRKEVQLKAWLLFLYTSLALRENILRVNGSDIHPWWILHHYCAMLMCLISLTWEIKGQPHCARKQGGVELFLCWAIMQGFVMMLQNRYQCQRLYTRLALGKCCTNNKETQQG >Sspon.06G0020280-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6B:8429303:8434867:-1 gene:Sspon.06G0020280-1B transcript:Sspon.06G0020280-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GRRYPYPG >Sspon.01G0044810-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:25775006:25778435:1 gene:Sspon.01G0044810-2D transcript:Sspon.01G0044810-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNAse II-like 1 [Source:Projected from Arabidopsis thaliana (AT4G15417) TAIR;Acc:AT4G15417] MTPHVPREHRQRHAPPGEVPPMSAEDVAAIEAVLGYEFADKSLVELALTHGSFYFPYRPGDTYERLEYLGDGVLTCLMSREVFRTYRTLPPGPLTRLRAANVDTEKLARVAVDRGLHRFLRHKAPKLQDQICSFIEEMRKYPVHSNGLLDPPKVLCDIVESLIGAIYWDSNFDQEEVWRVFRTLADPLIGLETLGKHPVTELFEFCQKTRRGVKFVKDEWDKSLKVEVLIDGELVASATYGQKKEIAQNRAAKAALDKLKETLGQTQNESASADVSEALDELDIAGTLKCQ >Sspon.01G0001250-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:3745839:3748315:1 gene:Sspon.01G0001250-3D transcript:Sspon.01G0001250-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLAFPLVSHLPRRKPAAVRPRPPPARRYASSHATAAAAFSTPPSPLLPQLPPASAYVHLPFCRKRCHYCDFPIVALGSSSAPSHGGGEAAEDPRIVEYVRLLLREVSATRPVSDDGVPLETVFFGGGTPSLVPPRLVATVLDALRARFGLSACPEVSIEMDPGTFDAAKLRELVGVGVNRVSLGVQAFQEDLLRACGRAHGVKEVHEAVGIVTACEGLQNWSMDLISSLPNQTEEMWEESLRCTVDARPTHVSVYDLQIEQGTRFGQMYSPGVFPLPSDTESANFYRIASKWLSEAGYNHYEISSYCKPGYECKHNLTYWQNRPFYAFGLGSASYINGVRYSRPRRTKEYAEWVQKLEDATWSHESRSSDMKDMALDVVMLSLRTAWGLDLQSFSKSFGKSLALSLCNTFKPFVESGLVIAMDMDRRALPHIDFELDLQNEDDFGSRVAFIRLSDPDGFLLSNELISLAFGIISP >Sspon.01G0017640-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:65258925:65260072:-1 gene:Sspon.01G0017640-1A transcript:Sspon.01G0017640-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEKQGHPRLNERIMSTLSKRSVAAHSWHDLEIGKSQNLPCLQVVEITKGSKVKYELDKKTGLIKVDRVLYSSVVYPHNYGFIPRTLCEDGDPMDVLVLMQVISSAYEGEKDDKIIAVCVDDPEYRHLTDLKELSPHRLNEIRRFFEDYKKNENKEVAVNDFLPPTTSLEAIQHS >Sspon.02G0032150-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2B:110651489:110651634:-1 gene:Sspon.02G0032150-2B transcript:Sspon.02G0032150-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RLPFAVPQKYHLNHHFRIQTKGFGITSTLWDHVFGTLPSAKTVDKSI >Sspon.03G0021100-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:64980747:64987464:1 gene:Sspon.03G0021100-1A transcript:Sspon.03G0021100-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATVLLAATLALSLSCCCAASVAATTVTVTAAAESDRIDRLPGQPTVNFSMYSGYVTVDAAAGRALFYWLMEASGVPADSAPLVLWLNGGPGCSSVGYGAMEELGAFRVNPDGETLSLNPYAWNRVANVLFLDSPAGVGYSYTNTTNDLYAAGDNKTAHDSYAFLINWLERFPQYKYRHYVPQLSQVVYRNNKGIEKPTLNFKGFMVGNAVIDDYHDYKGTFEYWWTHGLISDDTYVKLWEACKYDVSEHPSEECQKISEVAEAEQGNIDLFSIYTPTCNKTSLHKRRQIRGRMEAFHANVTGIPYPWIGCSDPVYEYWEDSPRSMLPIYCELISAGLRIWVFSGDTDSVVPLTATRYSIDALSLPTITKWYPWYYDEEVGGWCQVYEGLTLVTVRGAGHEVPLHRPRQGLKLFEHFLRDEPMPKPVESVQSY >Sspon.03G0013430-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3B:48982724:48984647:-1 gene:Sspon.03G0013430-2B transcript:Sspon.03G0013430-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGYDREFWQFSDTLRLQTAAFSGLSLGDSIWSPATAADRRNNNNDLFAASASPADAAAKNNGGVGLKLNDGGPGLIGSGKLAFGGGKADRYNNLPTTAEKAASVYNNSSINVNGGYAKNNNNALAFNKMGSYGGYNSNSSGEVKSYFNKSAGRAASNNSHGHAGGKKGGGAGEYGNKKKHAKNDGNNGGGGAGATDKRFKTLPASEALPRGQAIGGYIFVCNNDTMDENLRRELFGLPSRYRDSVRAIRPGLPLFLYNYSTHQLHGIFEAASFGGTNIDPTAWEDKKCPGESRFPAQVRVATRKICDPLEEDAFRPILHHYDGPKFRLELSVTEALALLDIFADKDDA >Sspon.06G0015340-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:67986039:67988844:-1 gene:Sspon.06G0015340-2B transcript:Sspon.06G0015340-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGGRDVFLGPPPPPPSCPFHGSATAARSGGAQMLSFSSSNGAAGVRDVADLFLFFFFFSFIFSFRVFRGEDTVASVGAVQCSVRAELIRPQLVGSVLRCQQDAECVVEVGWAPFRSGSGDAEPGRCRRTDGKKWRCSRDAVGDQKYCERHIKRGCHRSRKHVEGRKATPTIADPTMAVSGGLLLHSHAVAWLQQAKSSAANVTDPFSLGSNRNLLDKQNIGDKFSVSTSMDSFDVSASHSSPNHGKVAFSPVEMQHEHDQLYLVHGAGSSAEHVNKSQDGQLLVSRETIDDGPLGEVFKGKSCQSESADILTDQWTSTCELHSPTGILQLSSSNTVPAENHTSNNSYLMARMVNSQSVPTLH >Sspon.05G0009520-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:22415390:22417308:1 gene:Sspon.05G0009520-2B transcript:Sspon.05G0009520-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRARAFYYPKVSFFLHAHVNRNRISVEITGDDKDKLEVVGDGVDTVCLASCLRRKLGLADILQVEEVKDNKPPDEPTPQPELLPPQPLGYTCN >Sspon.01G0016190-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:49433895:49436264:1 gene:Sspon.01G0016190-3C transcript:Sspon.01G0016190-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIPPPSREGKVGGCAGVSPQSPSSTGRSRCHPTRSSSDWHSDTAHASSPCLLLQRSCTHTTPPVSVTALPQEAAMELPRSMAVLVLVFFVLCRAMAGDQAEGFAFLAVGEAATFTFVNRCTDTVWPGVLSNAGSPRLEPTGFELSPGAARAVPAPAGWSGRMWARTGCSQDGATGRLVCATGDCGSGSAECAGAGAAPPATLAEFTLDGSGGLDFYDVSLVDGYNLPVLVETSGGGGSTGAASCAAAGCAADLNAMCPAELRAGGGAACRSACDAFARPEYCCSGAFASPAACRPTAYSQVFKTACPRSYSYAFDDPTSTFTCGGGPDYTVTFCPGATPSQKSTTMPGATPTTTVPGTTTTTTVPGATPTTVPGTMPMPGATPAMPTGTMMPGTTFTDATPDSAMPMGGGGLGIEGGDNQGSVLLGSSSSEGGVSWLANMATGDASAAAAPLVASARLVVAPLAATLLCGLHLRQLLL >Sspon.08G0007710-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8A:25843162:25843728:1 gene:Sspon.08G0007710-1A transcript:Sspon.08G0007710-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADDRAMERQSDHRNGVTWRETIEPPKSPNVLSMERSGSGVRNSEGKPLVGFLDQIEKTESIAVESICNFLGDLCPARVNAESKLKPEISAVTQRSKPSRCCGPFSSCSRPVQLYRSVQDLCGCIVRSLNLSYIF >Sspon.06G0010940-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:59413201:59416735:1 gene:Sspon.06G0010940-1A transcript:Sspon.06G0010940-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLNKILALRRFILSIRSLIRSDDPEWFFFAPKDRKYPNGIRSNRATEAGYWKATGKDRIIKSKGDKRKQHTIGMKKTLVFHRGRAPKGERTGWIMHEYRTTEPDFESGEQGGYVLYRLFRKQEEKTERPTPEEMDRSGYSPTPSRSSPDNLEVNEEANTPLNKESPESALDDPIELPHSVETHVGSVTRWLADRNDNLVATAPGVPHINSHGHAAGVPKVNPSAGASAHLVNPQNGNDDYNNFVSSFAPILPLENAFFPDIQQGAFGFDGIMNAPDDLDAFLNQTLVDPDEHSSTTSKVQYDSDIPTEFGNQWNMQVEPQDDRSWLANIGFEPDEPYPLLPYDTTDQDVLSVDSGADSFNELFNSMEDPNVRSAGWSNELAFQESGIELRPQQLDSTVQPSHMFTNQGCAKRRLRLQVVECMEIITKDESEDEVSCVVTPDRLNESIEESTAEKDVASDGDEVESTGIIEEDASTNLAGSVDDLPGNSHDDEQKNIPEHDAETAVPEAKSVLRLRKTSEESNKDVKQEGCLEPHVGAPMQRGGFQSYIIWLVLSVALLLLLCVGVYGWV >Sspon.06G0016330-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:88477707:88484890:1 gene:Sspon.06G0016330-1A transcript:Sspon.06G0016330-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAATCLFPAAVSLSLPSTSTPASAGRRCLRSPTALLRCSPTRRRGPVRALDERLLEAAPAETEEVQTGVDVGDGGGVAEGDGVGAEEVEEELELEQQRPPPRAFVKSRRQRQEEEDAAAGQDRFKLINGKEIFQEKAYLVGVECKRTGGNLFGIEESLKELEQLADTAGLVVVGSTYQKLSTPNPRTYIGSGKVSEIRSAIQALDVETVIFDDELSPGAATHEAALQVTLAQMEYQLPRLTKMWSHLERQAGGQVKGMGEKQIEVDKRILRTQISALRKELESVRKHRKLYRNRRQSVPIPVVSLVGYTNAGKSTLLNRLTGADVLAEDKLFATLDPTTRRVLMKNGTEFLLTDTVGFIQKLPTMLVAAFRATLEEISESSVIVHLVDISHPLAQQQIDAVERVLKELDVESIPKLIVWNKIDNTDEPLRVKEEAQKQGIICISAMNGDGLEEFCYAVQAKLKDSMVPIEAIVPYDKGDLLNDIHKVGMVEKMEYKENGTFVKAHVPLPLARLLTPLRQQVVATRRTSAHVHRIR >Sspon.07G0019720-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:73579712:73580907:-1 gene:Sspon.07G0019720-3C transcript:Sspon.07G0019720-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAPFAQVMEDMAKGQEYATQLQALLRDSPEAGRLVDRILHAMSRTIDTAKAAAAAAEEEASEVQSDVTCAGTAAGGKRKAAAGGGDKRATCRKRGQQSSVVTKNTKDLEDGHAWRKYGQKEIQNSKYPKAYFRCTHKHDQQCLAQRQVQRRDDDPDVYTVTYIGVHTCRDPATAVAPLVDGVPDELHHAGSRLISFAANASAATTSTTTTGNTTGHQAGHKDAAALLLARPLKLEGGGEQEEVLSSLTPAPGPDQGDVTSGLQLQPQHCYGGDLADMAAHFGYDDTFWTTLCSDSITDILSTDL >Sspon.07G0019420-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:74466654:74471769:1 gene:Sspon.07G0019420-3C transcript:Sspon.07G0019420-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding PRSTPTTLRHSGPRPRPPADLRAPSAPLYHRCRDPASGPSSGSALASRAGGRPGGGGGGLRGMLMRAAAALPRRSPRPPEPGSSSSRAPAPGAAFDPFDVDTDPPPRPELSPQQIGLCSDALAHFEGKGKRWDDLSNEYRSLSDVRHMKTISVAHYPVNREKNRYIDVLPFDDTRVQLKSSARPPNNDYINASFIKATEDNRVATFISTQGPLVRTFGDFWEMIYEYQCPAIVMLTQFDSIKCDEYLPRGSGRRTYGNYDIKVTKTRTDSHHLQLRDVKVQHNESGKVHSVLHLAYLDWPDHGVPTNTDAVRQIWKRLHHIPTEHPIVIHCSAGIGRTGAYIT >Sspon.06G0021720-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:21806481:21813760:-1 gene:Sspon.06G0021720-2C transcript:Sspon.06G0021720-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVVDFSVLGALQKVRSFVAGAAPAEAADGRPPATPRSLSGGPSPENSPPPAATRSGGRRAIALRRQISSPQLLHCRAVRRADDEDDDEPGVQFFTPGNDFIHDFSDIDSVSVSTPNEINRSLTPSPLESPTWTVKQNDSSPISRKNGCYSPDSPGYGTKASLGSDGSLQQMNGSLTDSGGEGSKTQYPVDFSANIWSPPPPEDEGDDIESRLFGFDDDDDEVGDSSRLLVSGSFSANKIAGIDEVTNTAQKEGLKTAVLSHFRALVAQLLKAEGIDMGNDDGSKNWLDIVSSLTWQAASYVRPDTKKGGSMDPTDYVKVKCIASGDPRDSNFVQGVVCSKNVKHKRMVSEHRNAKLLILGGALEYHKVPNKLASINRILEQEKEHMKMIVGKIESRRPNVVLVEKSVSSSAQELFSKDISLVLNVKRTLLDRISRCTGAQIASVDSIASARLGQCEVFKVQKVTEFPSAKQTDRRSSKTLMFFEGCPWRLGCTVLLRGSCREELKRIKRAVQLAVFAAYHLSLETSFFADEGATLPKFPLRHVVVEPGIRNCTNNNSAAWATVGMPPHGRTSEQDKLSQTAMVNMMFEYTSVSPSSLPLNEEGHGFVGACEHKETEYSVDHKNPCEHCVSCATGSCNGHETSLCSLDHDSRMQNQNLQNSAKLTSNAHQDEPLAKKCQQVDHWNKKSHYDHSADQHDLNEFSGEYFPGTDNHQSILVSLSSTCIPKGLVCERSHLFRIKFYGSFDKPLGRYLREDLFDQAYCCQSCKEPSESHIRCYTHQHGSLTISVHRLRSRKLPGERDGRIWMWHRCLKCRPKDGVPPATRRIIMSDAAWGLSFGKFLELSFSNHATANRVASCGHSLQRDCLRFYGYGNMVAFFRYSPVDILSVNLPPSVLDFNSHSPQEWLKRVAVEIFGKMEALHVEVSEFLHRTEKNIVTEDEPVKECVQRQIIEMKDLLKMERNEYEILLLPVMRESNHPMRTSIDILELNRLRRGLLLDAYIWDRRLCHVDSVLKTHGHVSKTNPDNLDVLLYTRLKEWKADLLSGDTEIGKSLGSPRKSLLSRQGHLNDNEYSVADTNLEMCLEGHPVDDAEDLDKVYNKFNGEKKWPIAESTDGLEPVERLPSLASIFSDKIDLAWTGSCDLHYDLPQAFTKIDDNVSFNLGSPNYNNIVTPVRIHSFNSTRGLRQRERTGLAPASLHLPSFKSAEYFGGMTSILKDPMPNIRRACSQRSPGVIEKLNVVLARTPTYISSASNMIDDGARLLLPQIGYEDVVVAVYDDEPTSIISYAMTSEEYVQQVAHRLNSSLSFSHLPNTTEVSSHGLEVSSPSQQDHLHSKGTHFKFSFDDDSPISPDKTKFSVICYFEKHFAALRKKCCPKDIDYIRSLSRCKRWNAQGGKSNVYFAKTMDERFIIKQVTRTELESFVEFAPQYFKYLMESLTSGSPTCLAKIVGLYQVSVKSMKAGKEVRMDLMVMENIFFERKISRVYDLKGSLRSRYTAGDSKVLLDSNLIEALHTKPIFLGSKAKRRLERAVWNDTSFLALADVMDYSLLVGIDEEKKELVIGIIDYLRQYTWDKQLETWVKASGILGGPKNESPTVISPMQYKKRFRKAMSKYFLTVPDQWSS >Sspon.02G0005740-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:17510758:17514329:-1 gene:Sspon.02G0005740-2B transcript:Sspon.02G0005740-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptide chain release factor PrfB1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G36170) UniProtKB/Swiss-Prot;Acc:Q9LVY0] MVDCPRLLLPAPRVAAAQYTPGIGSNQGRAPFSVCFSRLHRRWSSVPGEFASYALRSSSSGAGSQSSVADEPEAAEWALQDFYTLRKDVELALERVNEVRQSAGLEQLEEDITSLETKSTDSSLWDDPSKAQETLVSLTEVKDRVKLLNDFKLQVEEAETIVKLTEELDSIDTGLLEEASKIIKALNKALDNFEMTQLLSGPYDKEGAVINISAGAGGTDAQDWADMLLRMYVRWGEKQRYKTRVVEKSPGEEAGIKSATVELEGRYAYGYISGEKGTHRIVRQSPFNAKGLRQTSFAGVEVMPLLPEESMAVDIPEEDLDISFTRAGGKGGQNVNKVETAVRMVHIPTGIAVRCSEERSQLANKIKALNRLKAKLLVIAEEQRASEIKQIRGDMVKAEWGQQIRNYVFHPYKLVKDVRTGCETSDITGVMDGELDPFIKAYLKFKLTAAATS >Sspon.03G0000450-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3C:11859922:11866791:1 gene:Sspon.03G0000450-2C transcript:Sspon.03G0000450-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDHFSSAPSAPSAAATAAAAAAAAVGSSVIPIVNKLQDIFAQLGSSSTIDLPQVAVVGSQSSGKSSVLEALVGRDFLPRGSDICTRRPLVLQLVHQPRRPADAEADEWGEFLHLSGRRFYDFRDIRREIQAETDREAGGNKGVSDRQIRLKIFSPNVLNITLVDLPGITKVPVGDQPTDIEARIRTMIMSYIRHKTCIILAVSPANADLANSDALQMARVADPDGSRTIGIITKSCLMFYFFQLDIMDRGTDARNFLLGNVIPLKLGYVGVVNRSQQDINSDLSIKDALAREEKFFRTQPAYHGLAQYCGIPQLAKKLNQILVQHIKTVLPGLKSRISSQLTAVAKELAVYGDPVDSKAGQGAKLLNILAKYCEAFSSMVEGKNEDISTIELSGGARIHYIFQSIFVKSLEEVDPCEDVTDEDIRMAIQNATGPRSALFVPEVPFEVLVRRQISRLLDPSLQCAQFIYDELVKMSHRCLATELQQFPILRRSMDEVIGKFLRDGLKPAESMIAHIIEMEEDYINTSHPNFIGGSKAVEQAQQQVRSAKMSAAVVRKDGVDAQASEKTQKSRAILGRSGVNGVVTDHIQPPVVLKPSEHQSEQEALEIAITKLLLKSYYNIVRKNVEDFIPKAIMHFLVNHTKRALHNYLITKLYRDDLLEDLLKEPDEITIKRKQIRENLKVLQQAYKTLDEIPLDAEAVERGGYSSLDSDAIGLPRAHGLSSSLHGFNDGSSPYSTPKQSRSRRSNHSGEQPPFNPNMSGNGF >Sspon.07G0004640-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:10719083:10722465:-1 gene:Sspon.07G0004640-4D transcript:Sspon.07G0004640-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVLYFQHLQEPYLSGENEAVAGDLALMYPGSLAAWASGFRYLYTVGINDSESVVVRITGCPNGCARPYMAELGFVGDGPKSYQIWLGGTPNQSTLAESFMDKVKLDDIEKGFDKLKEVVNKWAESPSAA >Sspon.01G0026260-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1A:91289418:91291480:1 gene:Sspon.01G0026260-1A transcript:Sspon.01G0026260-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRWWWTAVGQGRRWALWPEQFAPAMVEGGGAGAGRAEGEEEACSLLPKISSKETHPKIAQVLLERHKPDMALLVLKCTGRDSFSATENFEKDGISSLSEAVTVVRVRIECGLLTEAFMYHRSYCSKVKEQRSADVTHSEDAFKSSWIYHVEMMMTEFCTICIERNLVDKMIDLPWDSEEEKHLHKSLFESAHEMPMKPNGSLLVVYYLRRYRYLEAYEVDHSLQKFEQKKLENTTEEIASKIRAIAQWRESLI >Sspon.08G0007930-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:28548361:28550996:1 gene:Sspon.08G0007930-1A transcript:Sspon.08G0007930-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGDGGAAGRAMWVCAVLAALPFLAAAEAAGRYRAVFNFGDSLVDAGNLVTEGIPDYLATARLPYGQTYFGYPTGRCSDGRLVVDFIAQEFGLPLLPPSKGKNASFAQGANFAITGATALDTDFFQKRGLGKTVWNSGSLFTQIQWLRDLKPSLCSSTQECKEFFAKCLFIVGEFGGNDYNAPLFAGKDLKEAYKLMPHVIQGISDGVEQLIAEGAKDLIVPGVMPSGCFPVYLTMYTDPKEGYGSRTGCLKRFNTFSWVHNAMLKRALEKLREKHPGVRIIYGDYFTPIIQFILQPKKFGFYKQPPRACCGAPGRGPYNFNLTAKCGEPGASACADPKTHWSWDGIHLTEAAYRHIARGWLHGPFGDQPIVQSS >Sspon.05G0001350-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:3345552:3349638:1 gene:Sspon.05G0001350-2D transcript:Sspon.05G0001350-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVRRLGGAGRALLTLPNIRRRSSNTWAAVCDTFFSTKQVFENHRIVFTVGTSIASVLTAWAGYSLRQVHQSRIERRLESIESSLKGNHKVEHEEIKKIVTSSNISAPACVATAMTTMVVGYTLGWRGGAWYARRAFRREQQKMMMGHMKSHNRWHWRPFNRLRNRLRNSRLASKNKSADAHPSVGQSSEAPSTSSIGASANVSGASQPAAGSA >Sspon.04G0015140-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:62784449:62795892:-1 gene:Sspon.04G0015140-3C transcript:Sspon.04G0015140-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSSAGSGGNSLPSVGPDGQKRRVCYFYDPDVGNYYYGQGHPMKPHRIRMTHSLLARYGLLNQMQVYRPNPARDRDLCRFHADDYINFLRSVTPETQQDQIRLLKRFNVGEDCPVFDGLYSFCQTYAGASVGGAVKLNHGHDIAINWSGGLHHAKKCEASGFCYVNDIVLAILELLKHHERVLYVDIDIHHGDGVEEAFYTTDRVMTVSFHKFGDYFPGTGDIRDIGHSKGKYYSLNVPLDDGIDDESYQSLFKPIVGKVMEVFRPGAVVLQCGADSLSGDRLGCFNLSIRGHAECVRYMRSFNVPLLLLGGGGYTIRNVARCWCYETGVALGQELEDKMPVNEYYEYFGPDYTLHVAPSNMENKNTRHQLDDIRSKLLDNLSKLRHAPSVQFQERVPDTEVPEPDEDQDDPDERHDPDSDMEVDDHKAVEESARRSVLGIKVKREFGENETKVQDGGRVASDHRGLEPMAEDIGSSKQAPRVLYVDIDIHHGDGVEEAFYTTDRVMTVSFHKFGDYFPGTGDIRDIGHSKGKYYSLNVPLDDGIDDESYQSLFKPIVGKVMEVFRPGAVVLQCGADSLSGDRLGCFNLSIRGHAECVRYMRSFNVPLLLLGGGGYTIRNVARCWCYETGVALGQELEDKMPVNEYYEYFGPDYTLHVAPSNMENKNTRHQLDDIRSKLLDNLSKLRHAPSVQFQERVPDTEVPEPDEDQDDPDERHDPDSDMEVDDHKAVEESARRSVLGIKVKREFGENETKVQDGGRVASDHRGLEPMAEDIGSSKQAPQADANAMAIDEPSNVKNEPESSTKLQDQAAAYHKP >Sspon.08G0007620-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:25453742:25457858:1 gene:Sspon.08G0007620-1A transcript:Sspon.08G0007620-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSCAMYHSKLLLLLLSLLITIYSKPANPAMTKSFLATAELGTIWKNNPSILHNGFPDDNFSVRLILSHPYGTARISVGSSVDSVPLFACGFFCAGLTATCNAYIFSIFIVVWSANHDRPVKENATVQLTELGDLVLYDADGTLVWSTNTTGKSVVGMNLTGSGNLVLLDHRNMEVWRSFDHPTNTLVTGQVLHFGQKLIASTSATNWAKGKFYLTVLSNGMYAFAGVDTPLAYYQIPTGGNITANTSAYIALKNGSLEGNRWTRLSHSISHECLWTGVCEARLGWTLKAIPGGNGSWVSSDLLNIADPCSYPLACGEYGVCSNGQCSCPDAGLRQSGLFKLINPREINRGCVLTDSLSCGSAHKTRFLAVPNTTRFNIIYNWTTNEEHCKVSCLNDCSCKVAFFLHSNSSSGFCFLASDIFSMISISAQSYSRNFSSYAFIKVQEHKPMLSKGKIAIVVVGSSTFVASVIVSMLIVIRRRSAKLSQDRDIIDQLPGLPKRFCFESLKSATGDFSRRIGVGGSGSVFEGHIGDKQVAVKRLDGINQGEMEFLMEVQTVGSINHIHLVNLVGFCAEKSHRLLVYEYMPNGSLDKWIFAKHQVGPLDWKTRLKIITGVARGLAYLHSDCRQTIAHLDIKPQNILLDEMFAAKVSDFGLAKLIDREQSTIMTRLRGTPGYLAPEWLTSIITEKVDVYSFGIVIMEILCGRRNLDYSQPEESQHLISMLQEKAKGNQLMNLIDPRSTDMEFHIDEVLRTMNLAMWCLQVDSNRRPSMSMVVKILEGTMSVETELDLDLVDIDLMMANRTARWDD >Sspon.01G0035210-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:8900060:8910922:-1 gene:Sspon.01G0035210-1B transcript:Sspon.01G0035210-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding KKRTSAPNPTPPPPDAARGPAAAAGKAAVIGGEDAAVRAEVDKALACLQRGSHARALRLMKDALARHGEASSPLLLRAHGTVHARAASVLDDPAARARHHRAALQAAQRAVELAPESIELAHFHAMLLYDAANDARAYEEVVAECERGLSIEVPSDPSPHSLRLPGPDVDQVQSELRNLIQKANLASISTWVKTLGGAGDDKLRLIPVRRLADEPMEGRLVPTAPSPRRPNEIKKATKTPEERRQEIEVRLAAMRLLQQQKEQSNGVVSATPASSQSQADEVPSSSQSSVGGHRADRRKGGSRKATGSSASERTDQVRAYWGSIPVEQRLAFLNTSISELKSHYASAIHKEKDAASAASDVLNEVIRFANKHGKWDFMVCGRCREQFADVEALRWHVMGEHVGLLSSELQEMVPQEIDADWAEMLIGWNWRPLDATAALKLFEEDQNDNLGTDRDKESNLLDNWSNKDKSDMSESSASPHNEECNGFGVVVREGDDKWPLSDDGERANILERIHSSFKILVKNHNLSVGNLNRVIRFAVEELRGLPSGSLLLNHSLDESPLCIRFLEASSLRKVAKFLQDLMQASGLNRNLEKAEGLGDEDSFPKNHNVLEKVTLNSDSSELIIDGQTFGGNFDSESVDTDALLSWFYAGSSIGEQLLAWNRMIDERSNQCVDLIQALGREFNSLQNSCERKLEQLRNEEAFISVEGLFCEEQTRREQVGRYGFQTFEELLRKRQEELLERNTEEQSDSNRSEIDAISAVLKELHTSHFGYDETLSGMAPRLYDFDGAEEDEWRLHDFIHPNDSMVHMVVSKMKEQVSMEISKVDAKIMRNFSMIRQLEHKLGSVSALDYRMILLPLMRSFLQSHLEELVDKDARERSDAAREAFLAELALDAKKNANKGGDMKQSHEKSKDKKKFKDSRRSKELKDSSWSDQSLSRQDSADEETAETFQMLADCDDLDCKLLTSDDYLNEQEEELRHRVQLEAEERKLEETLEYQRRIEEEAKQKHLAEQCRSTYASSVIGTACLSSTGNQDNHESALNNSSRTYLEGIKFGDFRYTENNFSQKLNGLDSSDAQALTSSDMSVSKLTLKMNGVWKNAQPIKPLSNHGTQNSKRSSSEPQKYFQGVPGAIYDDDDKDRASGPQFGSKAPRWSSSCKIAPYSNHSYQAGKQNHSAGTEKPNFEKVDSGAIPSADVCIEDDFDKRFQEDLDEAMRQSLGYDAYPAGTISTSNGTEVYGTGLKNAAGEYNCFLNVIIQSLWHIRRFRDEFLKTSSLHKHVEDPCAVCALYGIFVDLSKAAKGQGEAVAPTSLRIALSKSYPNSKFFQEGQMNDASEVLGVIFECLHKSYTSRTVYHGKSHEKNSIGSWDCANISCIAHSLFGMDVYERMNCHNCKMESRRLKYTSFFHNINASSLRTAKMMCPDSSFDELLKVVIMNDQLACDQDVGGCGKPNHIHHILSTFPHVFTVVLGWQNNKESVDDISATLAGISTEIDISIFYRGLDQGSKHTLVSVVCYYGQHYHCFAFKDGRWVMYDDQTVKVIGSWGDVLVMCEKGHLQPQVLFFEAAN >Sspon.01G0051750-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:361930:365289:1 gene:Sspon.01G0051750-1P transcript:Sspon.01G0051750-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fes1B [Source:Projected from Arabidopsis thaliana (AT3G53800) UniProtKB/TrEMBL;Acc:Q9M346] MAGDRLSWAGLLKWSLSYVDGAGPSRAVSEDERRWLAEAVERHMMMDVVSRMREIALLMSTPPSVLEAQGITHDDIEDLLSELQVHVESIDMANDLHSVGGLVPVIKYLRNSNARIRAKAADVVTTVVQNNPTSQQLVMEASGFEPLLSNFRSDPDLTARIKALGALSSLIRNNKPGVSAFRLANGYTGLRDALNSESARFQRKALSLTHYLLSESHSDCSVFAQLGFPHLMMRLASSDDSGVREAALGGLLELARDTTLGNRSLLAEHDRLRRLLCGRMESIRTMTPEDLDAAREERQLVDSLWITCYHEPSMLRNEGLLVLPGEESFEQPPDVAGRFFEPMRQAAARRATPAERSDPGDGTGGGMVLLLGPAPDGRSNSPSH >Sspon.08G0004700-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:13549946:13552132:-1 gene:Sspon.08G0004700-1A transcript:Sspon.08G0004700-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFDVQAQGGYGQGLGGLLPLPGRLGVNNDDGRVEIDCNDEGALFVVARADDLTVDDVKEFKPSPELRRLFHALSHPLHHVSIDASSAFHFFQTWSAFSKHGDRAAVELPCHDRTLLRARSPPTVHPDALLTLHTKLAVYDPSGPLSTEVFTISRDQVASLKQLCGGTSTFCAVSALIWQCTCVARRLPPDSEVRLVFPADLRSRMRPPLPRHYFGNAVFRLHATGVAGNIGTMALGSIAAHIKGAIKRMDDELVWSAIDYFEMAKMNKSRPLRGSTLPQTDLSITS >Sspon.06G0002610-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:6629528:6630853:-1 gene:Sspon.06G0002610-3C transcript:Sspon.06G0002610-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHIVFLRTAAADLTAGKPPLRGVPASAPLSAAAAAIPASQEADVAVWRDGASPLAPAAATVIGLLSSLDVVAFLASHLGDAAAAMRTPAGDVVAHEPALVREVEPHTRLIEIVELMKQGARRVLVRKNITEACTVVDKKPFAPFYKAVLKITGTPRAAASAKAVGRPPPPSAFGCDRYCCLTREDIIRFLINCLGALAPTPLQSISSLGAVNRGYAHVEASSPAIESSWRVPSEPRAVAVVQTNRDGSHKVLADVSAHRLWRRDYAAAADSMASLSSLNFAAGVDGHGMVAPGDESSRLGGRKAAFESSLVGQMMMASHGGNAALRCRSTSSLAAVMAQMLSYRTTHIWVTEGENDVL >Sspon.06G0022690-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6B:34088559:34093825:1 gene:Sspon.06G0022690-1B transcript:Sspon.06G0022690-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MWPYHTTGAESRPPVALLTGAPAGTPPGAFPAAAWTPPGVAGWDQTALARSFSTMGLTPPVGPEWIADSGATYHTTPDPADNSCSVEFDSSGLTVKDLASRRPLLRCDSTGPLYTLRFPAAHSSSSPSLLSAAFAASTSSTTWHRRLGHPGRDALIQLSRSSGLPCTRAHDEQLCHACQLGRHVRLPFSSSSHAARIFDLVHCDLWTSPVISISGYKYYLVVVDDFSHYSWTFPLRAKSDTFTTLLHFFTWVSTQFGLTIKAVQCDNGREFDNSTSRAFFLSHGVQLRMSCPYTSSQNGKAERMIRTTNDTVRTLLFQASLPARFWAEGLHTSTYLLNRLPSAACPAPTLTTPSSLDPRSTLCVFLGYSPDHKGYRCFDLASRRVLISRHVVFDESVFPFSSTSTPPVPLHRAPRRPPVPAPLRVRAPRPPARPRLLQLVQTRGLRPPLLPRGVVPVADAGSCLGTRPGASVEVRRAGTGYQRRPRPPPLAVPSPPGTPTPPPLSPPARGAPPVYHPPLLHRHPRHVHPMVTRHAAGTLPPRVLEASTGDAVVSPVPSSVRDALLDPHWRRAMEEEYAALLANQTWELVPRPPGANVVTGKWIWTHKRRADGSLERYKARWVLRGFTQRPGVDYDETFSPVVKPATLDVKNAFLHGLLTETVYCSQPAGFVDTTRPDMVCRLNRSLYGLKQAPRAWNHRFATFLLTLGFVEAKSDTSLFVYHHGADTAYLLLYVDDIVLTASSEPLLRRIIAALQQEFAMKDLGELHHFLGVTVEHRPAGLLLHQRQYTRDILERAGMSDCKPCSTPVDTQGKLSEAEGPPVADPTAYRSLAGALQYLTFTRPDITYAVQQVCLHMHDPREPHLTALKRLLRYLRGTLDYGLLLHRASSTDLVVYTDADWAGCPDTRRSTSGYAVFLDGNLVSWSSKRQPVVSRSSAEAEYRVVANGVAEAAWLRQLLAELHTPPSRSTLIYCDNVSAVYLSTNPIQHQRTKHVEIDLHFVRDRVAMGEVRVLHVPTTFQFANIFTKGLSSSTFTEFRSSLNITSG >Sspon.02G0032480-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2B:112972407:112973518:1 gene:Sspon.02G0032480-2B transcript:Sspon.02G0032480-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MELAATGAPPLAVANYGELPTDVLHDILLRLPADEVCRSWRSLTSDPLFAAAHASRHPHVVVVVSHHEGSHDEAEVRAMDLHGNVIKRRRIRRTDGALGRLSVDGDLLCVSHGWGLACVVDMVTGAIVAVADVTAEHRSTTTCEVMTMGGRGGRWRRRPRPPVSLNIESWSCSGRNMAVVAGFAYFLVHPGLEDEPSVEAADSIAVFDLATEEWKPATLQGPLSSRGRRSTDDEPMPVLRRKRFQLARLGESLVMLYCNVEDCSTELWFLEDMEDDDNMAARWTRRYTLQRTPFAEEEFFSSLRYHYPLAVLDDGKILVWVDRTFALPYALRVYDPETSAWTDLATPTGGRV >Sspon.03G0036440-3D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3D:53255861:53258113:1 gene:Sspon.03G0036440-3D transcript:Sspon.03G0036440-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASATQALAHNNLDTSGRMTHFVLVPLMAQGHTIPMTDMAYLLAKHGARVSFITTPVNASRITGFIDHAAAAGLAIQFIKLRFPALEFGLPEGCENADMIKSRDLFRNFMDACAALREPLAAYLSQQRQSPSCIISDLSHWWTGDIAREFGIPRLTFNGFCGFAYLARYIIVRDNLLEQVEDENELISFPGFPTLLELAKAKCPGSLSVPGLDQIRKNMYEEEMRSNGVVINSFQELEALYIESFEQITGKKVWTVGPMCLCNQDSNTMAARGNKASMDEAQCLQWLDSMNPGSVTFVSFGSMACTAPQQLVELGLGLESSNKPFIWVIKAGDKFPEVEEWLADGFEERVKDRGLIIRGWAPQ >Sspon.05G0024360-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:12079715:12084644:1 gene:Sspon.05G0024360-2C transcript:Sspon.05G0024360-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable polyol transporter 4 [Source:Projected from Arabidopsis thaliana (AT2G20780) UniProtKB/Swiss-Prot;Acc:Q0WUU6] MADAGAAANGGRNKYAVLDRSEETEPDAGTGGRRRPSAPESERRRRERFVYACAVFASLNAILLGYDVGVMSGAIIYMQKDLHITEFQQEILVGCLSVVSLLGSLSGGRTSDAIGRKWTMGLGAIVFQIGAAIMTFAPSFTVLMIGRLLAGVGIGFGAMVSGVYIAEISPAGLSEHINWRIMLGVGILPSVFIGFALFVIPESPRWLMMEKRVSEARAVLLQISESEAEVEERLAEIEEAAGLMKSMKSEDKEVWRELLNPSPAVRRMLYAGCGIQLFQQITGIDATVYYSPTIFKDAGIKSDQELLAATVAVGFTKTVFILVAIFLIDRVGRKPLLYVSTIGMTICLFLLGVALTLQKHAVGLMSPRVETKGKTLEQIEMMFESGDEWGGGEIELEDTQHLIPSNKKSPIALPVPKMDAKALAFDVLHLGLHPPLVFPTLGCNEQSTDPAPVHGVEGPRRQHREGEIRAPVPRRHPGHKVRPVVAPFAPVERGGELGDELVQQRVCPVEHHHGVVQSPPFARGLVHGLRSNGVAEVGERPEDDDVGVEVDAAVPVEQREAEEVGQILAERDAPEADAAALDSTEPRLGGRTVQEAKSGEATAKRKPRSRNGLSLSLPPAESMVSEATSRQPPRCHLKRLSRTRSSRRPNCTSGESHVMKKRSVQGPAKSSSARAANRRVCGVFLSRSLRRLLFLFADAFFLLLLAAGAAGGHDGGGGGGGGAAAKACNASCKVLSTETPMLVLLLELVALRLEGVVGIEACKACKVSSMETPRLVPVRLALVALRVEDSLGRRGQMRRLKVVCERRKTRESTSRRPGSERERMSKDNGFRAAGIVVVGDAGVTILFSACWLLHLGCYCHVHSARR >Sspon.04G0000200-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:914340:919462:1 gene:Sspon.04G0000200-1P transcript:Sspon.04G0000200-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABC transporter F family member 3 [Source:Projected from Arabidopsis thaliana (AT1G64550) UniProtKB/Swiss-Prot;Acc:Q8H0V6] MNAGMDDDVAPKKPQPEVFDGPLLSSRDKAKIERRKRKEERQREVQYQMHVAEMEALRAGMPPVYVNHSNDGGPTVRDIHMENFSVTVGGRDLIQEATITLAFGRHYGLVGRNGTGKTSFLRAMALHAIDGIPKNCQILHVEQEVVGDDTTALQCVLNADVERVQLLQEEARLVQQQKDLEIEAEFGQSSGKSKDGLDKDSISKRLEEIYKRLELIDADAAEARAASILAGLSFTPEMQRKRTKQFSGGWRMRIALARALFIEPDLLLLDEPTNHLDLHAVLWLETYLLKWPKTFIVVSHAREFLNTVVTDILHLHGRKLHAYKGDYDTFERTREEHLKNQQKAFETNEKARQHMQTFIDKFRYNAKRASLVQSRIKALERMEHVDAVVSDPDYKFEFPTPDDRPGPPIISFSDASFGYPGGPTLFKNLNFGIDLDSRIAMVGPNGIGKSTILKLISGDLQPTSGTVFRSPKVRMAVFNQHHVDGLDLTVNPLLYMMKCYPGVPEQKLRAHLGSFGVSGSLALQPMYTLSGGQKSRVAFAKITFKKPHIILLDEPSNHLDLDAVEALIQGLLIFQGGVLMVSHDEHLITGSVDELWVVSEGRVTPFSGTFKDYKKMLK >Sspon.08G0026490-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8C:22977127:22979694:1 gene:Sspon.08G0026490-1C transcript:Sspon.08G0026490-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MIEDAEERGLISPGVTTLIEPTSGNLGIGLVFIAVQKSYRFIAVMSAKYSLDKQMLLIFLGTEVVLTGPEIWKDTAGKVDVFVASVGSGGTLTGIGRYLKMKNTSVNIVCVKPAESAVISGGAPGKHQIQGTGPGFIPKVLDASIIDEVVTVSTEEAMVMARRLAKEGLLVGISSGANVAACLKGGEQGEDDCHHVPEWWREAGFSYVASQRGKPRPRWLSCVAGPPVSERSSRGSASTGGGGGGAPVEVSGSPVSYKIAPLAYLPPVYYKCQSKAPRWISWSVDNPGTPQDSGYFEWVDPPPSKWMKELLPDLKAVVFRLKRERGSAAVDEVREHHFQEVE >Sspon.03G0028140-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:12045012:12050566:-1 gene:Sspon.03G0028140-1P transcript:Sspon.03G0028140-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sucrose phosphate synthase, Sucrose synthesis in pollen germinatio [Source: Projected from Oryza sativa (Os01g0919400)] MAGNEWINGYLEAILDSRTSAGGGGGGGGGGGGDPRRRAPRGPHMNFNPSHYFVEEVVKGVDESDLHRTSSPTRNARERSTRLENMCWRIWHLARKKKQLELEGIQRISARRKEQEQVRREATEDLAEDLSEGEKGDTLGELAPVETAKKKFQRNFSDLTVWSDDNKEKKLYIVLISVHGLVRGENMELGRDSDTGGQVKYVVELARAMSMMPGVYRVDLFTRQVSSPDVDWSYGEPTEMLCSGSNDGEGMGESAGAYIVRIPCGPRDKYLKKEALWPYLQEFVDGALAHILNMSKALGEQVGNGRPVLPYVIHGHYADAGDVAALLSGALNVPMVLTGHSLGRNKLEQLLKQGRMSKEEIDSTYKIMRRIEGEELALDASELVITSTRQEIDEQWGLYDGFDVKLEKVLRARARRGVSCHGRFMPRMVVIPPGMDFSNVVVPEDIDGDGDSKDDIVGLEGASPKSRPPIWAEVMRFLTNPHKPMILALSRPDPKKNITTLVKAFGECRPLRELANLTLIMGNRDDIDDMSAGNASVLTTVLKLIDKYDLYGSVAFPKHHNQADVPEIYRLAAKMKGVFINPALVEPFGLTLIEAAAHGLPIVATKNGGPVDITTNAIADALLKLVADKNLWQECRRNGLRNIHLYSWPEHCRTYLTRVAGCRLRNPRWLKDTPADAGADEEEFLEDSMDAQDLSLRLSIDGEKSSLNTNDPLSLDPQDQVQKIMNKIKQSSALPPSMSSVGDGAKNAAEATGSTMNKYPLLRRRRRLFVIAVDCYQDDGRASKKMLQVIQEVFRAVRSDSQMSKISGFALSTAMPLSETLQLLQLGKIQATDFDALICGSGSEVYYPGTANCIDAEGKLRPDQDYLMHISHRWSHDGVRQTIAKLMASQDGSDDAVELDVASSNAHCFAFLIKDPKKVKTVDELRERLRMRGLRCHIMYCRNATRLQVVPLLASRSQALRYLFVRWGLSVGNMYLITGEHGDTDLEEMLSGLHKTVIVRGVTEKGSEELVRSPGSYKRDDVVPSETPLAAYTTGELKADEIMRALKQVSKTSSGM >Sspon.02G0004850-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:14970451:14973687:-1 gene:Sspon.02G0004850-2B transcript:Sspon.02G0004850-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLCQGVSVGNAQLLAFPGRSSSLKSTWFHIKTNHFPARALRVGTDSIHHGMPISFPRENSVRGIPSLSRQHNQHPRSVSVRASSLASFSYPELTSKPRWWWRTLACVPYLLPLHNMWSYADVIYQLHTYLQGFSLLYTFIDTMTLCPGWLFLVIFMTVYFFVVRRKWSPHFLRFHVILAILLDTGSQAVATMCTWMPSIVYQGKPMQYFWMSIAFIQIFTVLECLRCALCGMYPDVPFISHTAFIHSDLNLFR >Sspon.04G0010720-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:35091733:35092281:-1 gene:Sspon.04G0010720-2P transcript:Sspon.04G0010720-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RGSRSMRPSTARALAAAALVAAAALALSVGATPETACAAAAARDRRVDYGFCVSRLSHHHDSPDADTWGLAKVAADVGVATAGDAVYDIKALLATNPPGDAQARAVLEQCQRLYDAAESAFAEAYDAINRRDYAAGKGKAAEAASLARRCDDAFARAALRPPPQVARWGEESAKIAVVCTAIT >Sspon.08G0009210-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:39248566:39252148:1 gene:Sspon.08G0009210-3C transcript:Sspon.08G0009210-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LLHAIASLVRLLVLCLLCGACAAAKRRYLSVSMDELLRSKAHIDCPPWKKSVTTSGNKLTIPAVCGLPGPPPKCCGAFERHVLNHDMNRLSILLQRSTVSSSAAAPAPSADPFPVISYPPVAPPAEAPAVTIPDSTGTSLDTLEFVVTVGFGTPAQNYTLSFDTGSDVSWIQCLPCSGHCYKQHDPIFDPNKSATYSAVPCGHPHCAAAGGKCSNNGTCLYEVEYGYGYSTAGVLSHETLSLTSTRALPGFAFGCSQTNLGDFGSVDGLIGLGRGALSLSSQAAATFGATFSYCLPSYDTTHGYLTIGSTTPASNDDVQYTAMIQKKDHPSLYFVELVSIDIGGFILPVPPTVFTKDGTLLDSDTILTYLPPEAYTSLRDRFKFTMTQYKPAPAYDPLDTCYDFTGHSAIFLPAVSFKFSDGSVFDLSPVAILIYPDDTAPATGCFAFVPRPSTMPFNIIGNTQQRGTE >Sspon.04G0007080-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4A:20344891:20349338:1 gene:Sspon.04G0007080-1A transcript:Sspon.04G0007080-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAPAPARHIHIEALQTAVPTRAVEPGRARPVSVAAAAPLPAAALQRRSRVVLYYRAAAAADAPAAWSQEEALLVKESLSEAVADHPEMAGRLRRRADGSWEVKLNDAGVRLVLATVEATVDDFVGAGVGEEDRERREAALAPWTHVDADDPDMCALCFVQLTRFQGDGGYAVGVSCSLMLCYPLSLARFLLSWARTHAAVKAQNKVAAIPMMQYAGYFQRPNTMTRRIKSVPLDAFAADAAVGTETVLFRASGAAHDHRALARACVDEASDRLGAAAKVTRLSLLVVARDGVGDNPRGMSVETCTADSLPVSGSSGNKPEAAQWQDLGLEEFALRESKPVHVSCSIVTGGDEEGLVVVMPDGKGFLVTVTVPK >Sspon.03G0003520-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:9181425:9182723:-1 gene:Sspon.03G0003520-1P transcript:Sspon.03G0003520-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPATATALASEDESETKHLQALDGADSRLRLFQMDLLEPDSVRPAVEGARGIFHVASPNELVEPALKGTLSVLRAAKDCGVGRVVMVSSQTAMVPNPEWPADKVVDEDSWADIEQLKKLQLWYNVSKTLAEKAAWDFAENAGLQLVVLNPALVLGPTLTPSIMASLQLFLQIIEGKRYDMDEFFIGCVDVRDVAQSLIVLYENPSAEGRHLCLESSQRMIDFTNKLAHLYPEFSVYRIQEDKQDWVVRAKDPSKKLIDLGVRFTPLDKTIADTMDCFRSKGLI >Sspon.04G0023720-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:14867919:14878375:-1 gene:Sspon.04G0023720-1B transcript:Sspon.04G0023720-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCKGSKLDEQEAVALCRGRADLLAAAVRHRYALADAHDALADSLASVAAALHLLMTAPGQPSLALPAARKDVDAPPPAASSPPHSSSHINFAPSSDSDSGSVTSSPPRRRLAAGYDQLPHHPLPYPHYGYGGYGYAPEPSPYGGYPPGSLRLYYARSRPPPASVAVVEQRAAASERVYYGSVEPAAGGDTRYYSYGGEPAGRAAAPPPPSPPRTTSWDFFNVFGDYDVYDNYCYDAGATSAAYTPSRSSREVREEEGIPELEDDDAVVKQVAGEFSAPGSGARSRRSSLGGVSSSIAEVDEEENSVVDKEVIGGGNVARHLAPAQRNAAASAPAPRRAVDTTDVAGEIKAQFVRAADAARALSPALEVGRRRYHHRSSVYHVSSSMVSAIALPHSDLGGIELLDVGSEKVLGGRSLSLTLEKLYMWEKKLYDEVKAEEKMRLLLAKNAKRLKYLDLKGAEAQKIDTTRNMVRKLSAKLRISVRVITKVSKRINRVRDEELWPQINALIQGFVRMWQDKLDCYQIQCQVISEAKNLDSIVPDGRSRDLALDLELEMMKWIVNFSSWVNEQRSFVKALNGWLSLCLDYKTEETVDGVPPYSPGRVGAPLVFVICNSWSQAMDRFSEKEVVTSMQAFVSSVRKLLEKQNVEQTEQMIATRERERWNKILEKKTVEINKEADMLNRKLALVPGRHSRLPCTQTYQEHLLDASSLQSSLQRVVQALESFTSNSLHAFEQTLRHAEEERSSRESAKVS >Sspon.04G0020160-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:79464166:79466976:1 gene:Sspon.04G0020160-1P transcript:Sspon.04G0020160-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding TMLKAAVLLVSVLLLAAASLPRAQAPDGSLAVGQSLQVGQTLVSAQGIFVLGFFTNGNNTYLGIWYNYINPQTIVWVANRDNPIKGGNGSLTFIQSTLVLLDTRRGSVTIWSTGSLGTNTPQAFLLDSGNLIINDTTMSGSTPGRVLWRSFDHPCDTFLSGMRIGYDMLAANNGLLQLRSWKSESDPSPGDYTISMDPRRMPELLLFNGTDLTYRTGPWNGQGFNGQPYLKPTNDVVFNMDVREGSAYYSFTALNTSVQWRLVLMPDGIAYRWRSNSDKKWEYYWHWPQSMCDSYAFCGPNAFCSTAVCQCLPEFVPTLPREWDQRNFAGGCVRSVSPFSCSSANGFSRLSLVKVPDTLNATLVRGKSLDGCRQLCLGNCSCNAYALLGGSDCVVWSGDLLDTVQLTIGIDDLYTRVSHNDRSHIDRQTAIIVSVSVVGGLLLISALQGTLPNVGDLAIKRLNTKAGLEELKNEVKILARLDHPNIIRMMGSCMGNNDNIICYEYMPGGSLDAILFGMFF >Sspon.04G0025060-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:27796401:27798427:-1 gene:Sspon.04G0025060-2C transcript:Sspon.04G0025060-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MANKAVARPGLIGCQARPSGLVGIRDRIARPELCSLHETSTLVGAEQRSRRRRRLRRFGDGSTDDRRQLGGGLTPGRGAAASASSPAATRRGAPCWVEWCGSEAVVAEHNEKHPAVYLSIHMLWVLFYHTIAGTITKPYGLATLEQ >Sspon.01G0051940-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:18962709:18967289:-1 gene:Sspon.01G0051940-1P transcript:Sspon.01G0051940-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMQGDKGDQKQRVDASGDAEQPAADELRERIARAKEERLKDKKEGGILDGNDGASEILSWVGKSRKLDEKRQAEKEKALRLARALEEQDNILAENGDDDDDEEEDTQNIEIGEQKQRDEAYKASKKKGTYDDKFSDDSLSKKSMLSHYDDQMEDEGVILDEGGRFTGEAEKKLEELRKRIEGNYIQKKTEDLTSTTKTATDYFTPDEMLKYKKPKKKKSLRKKEKLDLDALEAEAIASGLGAADRGSRNDGKRQSAREEEQAADAEKRNSAYQTAIAKAEEASRALREEKTAPTKPAEEKLVFGDDYEDLHKYLEQARKLALRKQEEAAASGPQSIVELATATKSQEDTEAAEGDSEQNKVVITEMEEFVWGPQLNQGTRKPEDDVFMDEDDDTVPSGNLAKDDANGLAEMEEEALTEIPAKVEEDGVKPDEVIHEVAVGKGLAGALKILKERGSLNEGTDWGGRTTDKKKSKLIGVEDGPKDIRIERIDEFGRVGPGKMKQEKRQKRYEDELKIKHMKSSDTPLLAAEKMREAQSRNQTPYLILIGNAKTGQTSDAGSYAALETEQPGSLTPMLGDKKVEHFLGIKRSAKPGSLPPPVPKKPKN >Sspon.08G0014410-1T-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8A:59046580:59046969:-1 gene:Sspon.08G0014410-1T transcript:Sspon.08G0014410-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTKQTARKSTGGKAPRKQLATKAARKSAPATGGVKKPHRFRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSSAVAALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARR >Sspon.05G0029580-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5C:89287727:89289379:-1 gene:Sspon.05G0029580-2C transcript:Sspon.05G0029580-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LPRDSRGSLEVFNPDAPVSSAVAASNRATTSPFLLLPPAVASHPSLAGEEEKDADVGRATQRAAEWGLVLQTDEHTGRPQGVVARPSGSNRTSESGNSIDERVAAGRALPRVSEELRAALSAFQQTFVVSDATSPDHPILYASAGFFNMTGYSSNEVVGRNCRFLQGSGTDPIEISKIRQALAAGSNYCGRILNYKKDWMQVEVSKYTEGSKDTALRPNGLPESLIKYDARQKDHARSSVSELLLALKDPRSLSESRNNTLKRKSQESGDVLSGEVPGKRNSESGSRRNSRSGMRNSLQKISEVPEGGNKTRKSGLRSFMGLIGMGHGNVEKNILKPREDTLLDSDDERPESFDDDFRRKEMRRGIDLATTLERIEKNFVITDPRLPDNPI >Sspon.01G0017890-1P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1A:66791666:66793763:-1 gene:Sspon.01G0017890-1P transcript:Sspon.01G0017890-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLELGPWGYWYVSFFQQVASVGNNIAIQIAAGSSLKAVYKHYHTADDGAMTLQQFILVFGALELLLSQLPDIHSLRWVNAICTASTVGFAGTTIGVTIYDGYRIERKEISYSLQGSTATKIFRAFNALGTIAFSFGDAMLPEIQSTVREPVRANMYRGVSSAYTIIVVSYWTLAFSGYWAFGSQVQPYILSSLTAPRWATLMANLFAVIQIAGCFQIYCRPTFAHFQEWIQAKKNMSCRSCLCRLTYTSAYMAVITLVSAAMPFFGDFVSVCGAVGFTPLDFVLPAMALLKTWTMPDNPGLQCAVKMLGAAVAILFSIIGALAASAQSDQSR >Sspon.03G0014790-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:42608522:42614989:1 gene:Sspon.03G0014790-4D transcript:Sspon.03G0014790-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSTAAVSVSASATASRGGARSEAAGGQALACPSLRISQAPARLAVARAAAAAATNGAVAGSGGFDYDLVIIGAGVGGHGAALHAVEEGLKTAIIEGDVVSSPGYDRQGVADHANNLALKIPLGVDILTGVGAIVGKQKVRYGKAGFPDKEITARNIIIATGSVPFVPKGIEIDGKTVFTSDHALKLESVPDWIAIVGSGYIGLEFSDVYTALGSEVTFVEALDQLMPGFDPEIAKLAQRVLINPRKIDYHTGVFASKITPAKDGKPVLIELIDAKTKEHKETLEINVVTQRGFVPVDERMRVMDADGNVVPNLYCIGDANGKLMLAHAASAQGISVVEQISGKDHILNHLSIPAACFTHPEISMVGLTEPQAREKADKEGFEVNVVKTSFKANTKALAENEGDGIAKLIYRPDTGEILGVHILGLHAADLIHEASNAIALGTRVQDIKFAVHAHPTLSEVLDELFKAAKVNSGVSHSVNEPVAA >Sspon.07G0008070-3D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7D:18798771:18802414:-1 gene:Sspon.07G0008070-3D transcript:Sspon.07G0008070-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTTTTVGRNGEGEQKRREKGGFKTMPFILGNDICDRFATAGFGANLITYLTKQLHLPLVEASNLLTNFGGTSSLTTILGALAADSFAGRFWTIIAGSVFYQLGMLGLVVSALLPSLRPSPCSAPPGGPACQRASGLQLTVLYLSLLCTAFGAGGLRPCVVMFGTDQFDHQLGEQQQKQVTAEAAKAVAERKRRYFNLYFFMMGVAALLALTVVVYIQDNVGWGWGFGIPAIAMFVSIVVFVIGYPLYVMLKPGGSPSTRLAQVAAAAFKKRGVAVPEDTGMLYQDKELDVLISTNGRLLHTNQLTFLDRAAIVTPGDISASGQPDLWRLSTVHRVEELKSIVRMLPIWSAGIMLATIESHNGTFIIIQAGSMDRHITRHFEIPPATMSIFGTAAFLVSLALYDRAFVPLARRVTGLQSGITYFQRMGIGLAIHILGVATAALVETKRRHAAVDHGLLDNPAAVIPLSVFWLVPQFAVHGIAGAFSSVGHMEFLYDQAPESMRSTAAALFWLASSFGNYLGTVLVTVVQRTTRARGNDWLQDNINRGRIDNYYWLVTCLMVLNLGYYLICFRFYTMKPLEMADEQDDHDGECELSTLQKNDTGAG >Sspon.04G0013240-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:47172991:47181730:1 gene:Sspon.04G0013240-1A transcript:Sspon.04G0013240-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTLPVAAGRGAVACAATPVPRRSLLLSTAVAGAALQSEQVPLRLTRNVPGAAAKIRASTDAAQAATFASTDEAFSWAKKDNRRLLHVVYRVGDLDKTIKFYTECLGMKLLRKRDIPEEKYTNAFLGYGPEESHFVVELTYNYGVDKYDIGAGFGHFGIGVEDVAKTVELIRAKGGKVTREPGPVKGGKTVIAFVEDPDGYKFEIIERPGTPEPLCQVMLRVGDLDRAISFYEKYFSPKAQACGMELLRKRDNPEYKYTVAMMGYGPEDKNAVLELTYNYGVTEYAKGNAYAQIAIGTDDVYKTAKVVKLSGGQVVREPGPLPGINTKITAILDPDGWKSLEREYQPKKSTAEKTKMRGNTNTIIASKVISPVIY >Sspon.02G0024490-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:9876231:9877564:-1 gene:Sspon.02G0024490-2D transcript:Sspon.02G0024490-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNAVIAIGTIIFVIIVAAIIVCAYRRAEACAGGVAQSYAAVTDQQIRHATIEKFLLEIRHEKPFRFTSLQLAGFTRNYTTRLGAGGFGTVYKGVLPNGLPVAVKVFDRSLAQRSQEEQFMAEVGTIGRTYHVNLVRLFGFCFDDVVRALVYEYMDNGALDAYLLGGRGRGAGLPALRDIAVGVARGIRYLHEECQQKIVHYDIKPGNVLLDGALTPKVADFGLARLVNRADTHVSVSCVRGTPGFAAPEMWMLSGVTEKCDVYSFGMLLLEIVGRRRNFDEAAPESQQWFPTLAWTKYETGELVDLVACSSGEARDAAAAAGDEQEHELRRDKEIVERMCKVAFWCVQQQPEARPPMGAVVKMLEGEMSIAAPVNPFQHLMATPVTANPWTTMTSSATAVSATGISETSNEI >Sspon.05G0032320-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:16086330:16087198:-1 gene:Sspon.05G0032320-1C transcript:Sspon.05G0032320-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKALLVVVAHPPPQGKYSGKQVHTVSCTMLSSSSPLVKLPLLPRGLLSYLPTSILPSGRESTATPTLATNAPSAPPSSPKKMSSSSAQQQQQQQPQAGNGSSSKKADSAELARVFELFDKNGDGRITREELEESLGKLGMSVPGDELASIIARIDANGDGCVDVEEFGVLYRTIMAGDSSANGNGNGGAGAGEGEEAAGAEEDEDMREAFRVFDANGDGYITVDELGAVLSSLGLKQGRTAEECRRMIGHVDRDGDGRVDFHERARLARVTVSVVLHCT >Sspon.02G0034400-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2B:12481056:12486626:-1 gene:Sspon.02G0034400-1B transcript:Sspon.02G0034400-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQRRCPQRASRRHGRWRAGWSWARARARGGTPTGWPTRRRTTSSRRWPRTTAAPRGCCGAARRSWAARPPGLPRTCSSGASRCSRRPTAGAGGGSTTWPRSPWRSSWWPWRPCARGSRTTTTSCTPSLTTTWSLHAELSFVALIQFTTGYMLSRPTVLGTKQLRTTRGSLRRRTNPGCATTSTAPSCRSTSSCTWSKTRAYRSASSCRPCSWSSSTPTTPCSSASTTRPLHRRRRDRQRRSSSRRSRASSGAAAWRSRGRTPACRSATSSSATPLCASLRTSARPCRPPAFASRPSSASWRASAPACAAPSSSRPRPRPRPRPGLAPPRSTARPASPPASGSREAGSGTARSSRPLAPGAPRPGTATRGASSHGWCTGSRTCSAGGKGPPARRPRAARTPVPAASVTKAPLWLRRSWRATTTRWCAWRRGGGLTGETTLLC >Sspon.02G0024160-3C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2C:87575836:87575972:1 gene:Sspon.02G0024160-3C transcript:Sspon.02G0024160-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRCGLSAPSILAHRPLCRKGLAPCLPSPRAVALRVTAAKLPPG >Sspon.06G0032810-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6D:2986246:2986935:-1 gene:Sspon.06G0032810-1D transcript:Sspon.06G0032810-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SWTPCLDSTVLSPPPLPKLSHLEQLEITGTQVSGPIPDFLANTNLSALTITNSKLTGPIPRSLSRLSNLRYIDLSGNMLSGSIPPGLLHGSFQFLILSNNRLTGEIPEVYADGDIDTIDLSHNQLTGDLSFLFDITKAMKKIDLSWNKLEFDMTKVRFPYRLNYLDISHNHIKGGVSKSLKDINLLHFNVSYIELCGEIPTGMYMVYHQTDSYVNNKCLCGTPLPYCKKR >Sspon.01G0031740-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:106457659:106458561:-1 gene:Sspon.01G0031740-2B transcript:Sspon.01G0031740-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LAGGVRSDRRRLQVGRQGGHRDGRRERDRRGGGAAVRVERGDGGDRGRAGRAGGGGGGVGRVRPVRVRAVRRDGRGAGGGDGGARGGGARAAGRDAEQRRGAAPDGVGDGHGPGGAGPRDGRQLPRRGGVREARGARDGGVRFRRRRHRVHGERGVAAGRVRPGVVHGVQARAAGPGARRGRGARATRRARQLRVPRRRRHAPELRAHGRGAPGAGGHDGAAQRPAGEGAARRGRRGGRAVPRVRPGRLHQRPQPRRRRRHHRRQPRRAAHCRAVNAERSLLQ >Sspon.08G0023080-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:52688124:52688896:1 gene:Sspon.08G0023080-1B transcript:Sspon.08G0023080-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPWRGNPSCSLLKIWNMNKFTGVLGVYNCQGAAWSSVEKKNTFHHTGTEALTSTSTSSREAATDPEWNGDCAVYRHADGDLVVLPCGAALPVSLKVLEHDILTVSPIKDLAPGVRFAPIGLVDMFNSGGAVEGLTYHLLGGAKLLDGG >Sspon.08G0001530-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:3077926:3081588:-1 gene:Sspon.08G0001530-3C transcript:Sspon.08G0001530-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MERAAGEAGAPTPAARGGAGAPAAAGEGAGGGMVKGRSCKGCLYYSSALRSRARGPVCVGVTRAIPQVSERMVGELELEAIQEGRYLADFRYACAGYSMYLDDKETPTGIRDKARAQLPVCAGVELLADRKVPTNVKKEAPKPRHYKPGQPGHAGDDFLTKFQRNAGLVANGVAKNLNKVGTYIKDTVGDMMQPYRKRPK >Sspon.03G0033620-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:56946952:56948415:-1 gene:Sspon.03G0033620-2C transcript:Sspon.03G0033620-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLLNLKTWYQLFVDNFLAIVAVAFVAAALWRAWPVSIDDLVGSLRAVPPVRILTAVILTAGVAQLRRLHRPRDVYLVEYGCFRPKPCFRAPFATCLEHAYYLPYQVDPESVSFSIRLLERSGIGEETCLPNSYHYMPPDRSLEAAREETQDVIFSAVDEVFARTSVKPEEIDMLIVNCSIFTPTPVFVDMVVNRYKLRPDVQSLNLSGMGCGAGLVNIGLARHLLQVAPPGTHVLTVSTEILSSQYYIGSERAMLLPNCLFRMGAAATILSNSPERARFRLGRVVRRMTAARDADYRCIFQEEDDKGILGVRLSKDLTTTAGQALKRNIMAFGPLVLPVSEQLLVALSLLKRKLLSCWGAKARLYRPDFRTAFEHFCIHAGGRGVIDEVQRGLGLSDEDVEASRMTLHRFGNTSSSSVLYELAYIEAKGCMRKGDRVWMISFGSGFNCSSVAWECLKAAIDSDGPWADCIHRYPVQLPEVALQDI >Sspon.02G0017400-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:50661129:50663506:1 gene:Sspon.02G0017400-2B transcript:Sspon.02G0017400-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTVAPRLSRSSTRYGPISSSAASFSGPVRKWRKAWVPLTGAGTAGSGGGSSRGGNKVVLFRWTPVNGGSGGGGGADGGMEPAAVGASTSRRRYVPAAGEAQSTSKKGTSSELNLNLELEEPDDDTDADMSTDEPRNVEDNNARPESRLKRKAF >Sspon.06G0026330-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:76041939:76045025:1 gene:Sspon.06G0026330-1P transcript:Sspon.06G0026330-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SCSTYNLPPGNLGIPVIGQTFSLLHALRSNTDDQWFRTRIKRYGPVSKMSVLGSPTVLLAGTAPNHFIFTNEGLILTQTRALRSLLQRSILTLTGDELKQVRSALQGYLRPEMVRRYVGKMDGEVRRQLKLNWVGRSTVNVLSLARSLTLGVICSVVFGEEASTIADALATDFQSLGDAILSFPVNIPFTRFGKGMRSSAKIREAITKFAKKREESLLEDRCTISTTDFVTYMLVMRSKGVHSLTLEDIVDNVMGIIVGAHGTTSALITFMMRHLANEPDALAKITEEQDEIANKKGTDGALTWEHVSSMKYTWRVALETLRTVPPVFGSFRTATKDIEYEGYHIPKGWKVFAAQSITHMDSRFFTEPTKFDPSRFEKRSSIPPYSFLPFGGGPRMCPGTEFSRVETMVAMHYLVTQFRWKLCFKDEAYKKDPKPMPVFGCPVELELREMSIVGSHL >Sspon.07G0020540-1P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7A:76624601:76624951:-1 gene:Sspon.07G0020540-1P transcript:Sspon.07G0020540-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQILPGPRARAPPPLPDHLVEEILVRVASPPTLPVPPQRAPPSAASSPTPHSSAATAPSTRRSSSASSRPVDSCPPKLATPTRSPRTPSSAPPTSPWPTSLRANEPAGFTPMPATA >Sspon.06G0019540-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6B:3301783:3302642:-1 gene:Sspon.06G0019540-1B transcript:Sspon.06G0019540-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALMVMEVAKRSRIMSSNLERRKRKQNGPKAKASDPGDRWSRGFCRTKSNTFGALGVVFGQRQTEVLDGPTVSLELGLTSVFSDRWSVATVESLTGTRGSCSVSAGEHRTRSHWSGPPVSLGPKLGPMVGSWPND >Sspon.02G0037220-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:30682087:30685458:1 gene:Sspon.02G0037220-1B transcript:Sspon.02G0037220-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRSRAAAPRLAFLVPLACALLLVSPCHCVNEQGQALLRWKDTLRPASGALASWRAADANPCRWTGVSCNARGDVVRLSITSVDLQGPLPANLQPLAASLKTLELSGTNLTGAIPKEMGGYGELTTLDLSKNQLTGAIPAELCRLAKLESLALNSNSLRGAIPDDIGNLTSLAYLTLYDNELSGPIPASIGNLKKLQVLRAGGNQGMKGPLPPEIGGCNDLTMLGLAETGVSGSLPETIGQLKKIQTIAIYITLLSGRIPESIGNCTELTSLYLYQNSLSGPIPAQLGQLKKLQTLLLWQNQLVGAIPPELGQCKELTLIDLSLNSLTGSIPASLGGLLNLQQLQLSTNQLTGTIPPELSNCTSLTDIEVDNNLLSGEISINFPRLSNLTLFYAWKNRLTGGVPASLAEAPSLQAVDLSYNNLTGPIPKALFGLQNLTKLLLLNNELSGLIPPEIGNCTSLYRLRLNGNRLSGTIPAEIGNLKNLNFLDMSENHLVGPVPAAISGCASLEFLDLHSNALSGALPDTLPRSLQLIDVSDNQLAGPLSSSIGSMPELTKLYMGNNRLTGGIPPELGSCEKLQLLDLGGNAFSGGIPSELGMLPSLEISLNLSSNSLSGEIPSQFAGLDKLGSLDLSHNELSGTLEPLAALQNLVTLNISYNAFSGELPNTPFFQKLPLSDLAGNRHLVVGDGSDESSRRGAISSLKIAMSVLAAVSALLLVAATYMLARTQRRGGGRIIHGEGSWEVTLYQKLDITMDDVLRGLTSANMIGTGSSGAVYKVDTPNGYTLAVKKMWSSDEATSAAFRSEIAALGSIRHRNIVRVLGWAANGGTRLLFYGYLPNGSLSGLLHGGHAGKGSPADEWGARYEIALGVAHAVAYLHHDCVPAILHGDVKSMNVLLGPAYEPYLADFGLARVLAAASSKLDTGKQPRIAGSYGYMAPEYASMQRISEKSDVYSFGVVMLEILTGRHPLDPTLTGGAHLVQWVREHVQAKRDAAELLDARLRARAAEADVHEMRQVLSVATLCVSRRADDRPAMKDVVALLKEIRRPVAADDAKQPSPTGAAATPAAAAPVSPVSAHSRGQSSSCSFAVS >Sspon.01G0041830-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:24335049:24345040:1 gene:Sspon.01G0041830-2C transcript:Sspon.01G0041830-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKLAFSQESPPISIICAAKVADVPLTIDHSLATGSVPILKFGSGESLHGVKPILHYIARSASFSSFSGKSNMEFGHVCCDQALIRKLPYFHDFFIILNSELMLSINLQVVEWLDYAPTFFSGSEFENACSFVDGYLASRTFLVGHGLTVADIAVWSNLAGIGQRWESLRKSKKYQNLVRWFNSIDAEYRDTLNEIVAAYVGKRGIGKSPAPSLKEKVHDSKDPSAPEVDLPGAKVGQVCVRFAPEPSGYLHIGHAKAALLNKYFAERYQGRLIVRFDDTNPSKESNEFVENLLKDIETLGIKYDAVTYTSDYFPKLMEMAESLIKQGKAYIDDTPKEQMRKERMDGIESRCRNNTVEENLSSWKEMVNGTERGMQCCVRGKLDMQDPNKSLRDPVYYRCNTDPHHRVGSKYKVYPTYDFACPFVDAFEGVTHALRSSEYHDRNAQYYRILQDMGLRRVEIYEFSRLNMVYTLLSKRKLLWFVQNKKVEDWTDPRFPTVQGIVRRGLKVEALIQFILQQGASKNLNLMEWDKLWTINKKIIDPVCARHTAVLTDQRVIFTLTNGPEKPFVRILPRHKKCEGAGKKATTSSNRIWLDYADASAISKGEEVTLMDWGNAIINEIKMEGGVITELVGELHLEGSVKTTRMKITWLADMEELVPLSLVEFDYLICKKKLEEDEDFLENLNPCTRRETLALGDANMRNLKRGEIIQIERKGYYRCDAPFIRSSKPVVLFAIPDGRQQASLN >Sspon.01G0033930-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:113975542:113979861:-1 gene:Sspon.01G0033930-1A transcript:Sspon.01G0033930-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYVVARMQAAGHTHHTYSAAAAMPPVPHQPQAAHQLHSIGDHRPPPVSQRTRGSAMMQRQRREALEQEVSELKQQLSNEETVHQILERALQPSSVRSSMVLTNIPAFIPTKAKELLAELLLVEDEIARLEDQIQRMKQGAGARGGAITGAMQQPPAPPPPDASSCSNNGRSPRLSASAAEHCKSMFFISQAMDGEAYLSKVKKSPRDDRRHAMNGVMSPKNNIFGGAGGGLPPRHSLDNKQSSADGHVVVDKSTNQPPSKIAAVIQHQHRNHELPITKSPTVIAAAKRVRDQPQPPNKLSERIVKCLVCIFMRLLRSSRVSEMDKSGNLSAKGGSFSSFRMDTGLVNAAAAAKEKERGQQDHYGIFGVQDAIVRDIGPYKNLVRFTTSSLDLLRGFSASPLLTKLREMLEALQQVDVRSLNHQQRLAFWLNIYNTCIMHGILQHGLPSNSDKLLALKNKATINVSGQTFNALVIENFILRQPSSVKEEFWKCEVDVEEQAVREVYGLKTSEPNILFALCCGIRSSPALRIYKADRVVMDLEKAKLEYLQASLVVTSTRRLMIPSLVHSNMHDFAKDMESLLRWICEQLPTSWSLRKSMVDCLNFSSRSHSVMEEVVDVIPLDYEFQYLLPM >Sspon.05G0029930-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:85756934:85758387:-1 gene:Sspon.05G0029930-1B transcript:Sspon.05G0029930-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMPHVLSAATCSPSSSSSTALYRLLQHLELSPTMLHSIWCYSCKPLMEYTTPHQLSRYQQHGYSGDSHYTGRPRGRAAVESDDDALLFLAVPAGWLIRLLAFLGELVASAILSLVFPVAALVGALRALPAAVASSLRRAARGLLSAACTFAALVTALLVSVLLGFVLVRHWVEDPVTVRQQLFFDYTEAQPSAAVVLGGGARGAGAVLPAGHSVRVSMALLLPDSYHNREVGMFQIKAEAVSVTGITMASATQPYMLRYKSTPVRLVQSALLCVPLTLGIRSETQAASLKVLQYREGHGRHRRTGVIRVFLQPRAATVQLPQVYRAEVVVQTALPWAKSLVRGLKWTLYVWVSSSVYIALIVLAVCWAWPLVVSARNRRLSEIPADGKMASGLGSGDIGESSSKEVPEDFAVKWRERRSKRKAQFRTRLHGGSMELGSTEGSTSSVAVVET >Sspon.02G0016160-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2D:38156018:38156805:1 gene:Sspon.02G0016160-2D transcript:Sspon.02G0016160-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GLDYLHKGCNLPIVHRDVKTSNILLGRSLQVKIADFGLSKTYLSDSQTHISATAAGTAGYMDPEYYLTGRLTESSDVYSFGVVLLEVVTGEPPIVPGQGHIIQRVKQKIATGDIGSVADLRLGSAYAISSMWKVIETAMMCTADSAAQRPTMATIVIQLKESLVLEEAREDSSVRASRESDMEAK >Sspon.02G0018200-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:58252908:58256546:-1 gene:Sspon.02G0018200-1A transcript:Sspon.02G0018200-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MYDDARVSFTPVIIIYKQLTGEKHLHADMPAATKLEDGDEVTEEILQESLRRALGWMSALQAEDGHWPGDYSGIMYLLPFWIFALHITGSIDAVLSKEHIREICRHIYNHQNEDGGWGFNILDESAMFGTCLNYVTLRLLGEAHNDELAKGRAWILSHGTATAAPQWAKILLSVIGVYDWRGNNPVVPELWLVPRFLPIHPGRFWCFTRITYMSIAYLYGKKFVGPITPTILALRDELYSSPYAKIDWSKARNTCAKFRVVISGNAIDGVRRRAMGWSSRGLGEQANGGVG >Sspon.01G0009820-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1A:27840342:27843662:-1 gene:Sspon.01G0009820-1A transcript:Sspon.01G0009820-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MYVTIFAIIAAHRFEIWWRERQLVGDSCVSTDTSLEAGADLVRRTVLEKHVVQEPDSGHEGRVREHLAAQPAVPLSPLRRERGAGGGRGAVGDGPELLVAAAPELLREVLMRIEVSESWWPARKDVVSCAGVCRTWRGIMKEAVRVPEVSGKLTFPISLKQGYFYSHCVGEALGSQLGRGHWPPTPHNREGALAPLRRWLDGYITLADDGKFLLAARKCRKPTCTDYLISLDKVDMSKGSSTYIGKLRSNFLGTKFIVYDAHPPYDGAVVSKSRSARLVGLNQVSPRVPAGNYPVSHISYELNVLGSRGPRRMNCVMDSIPASAVEEGGKAPTQTEFPLSSLDSFPSIPFFRSKSARIDSSTSQSSSSDRLVLKNKSPRWHEQLQCWCLNFRGRVTVASVKNFQLVASDENGPGNQENDKVILQFGKIGKDLFTMDYRYPISAFQAFAICLSSFDTKIACE >Sspon.01G0006850-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:18908254:18930023:1 gene:Sspon.01G0006850-3D transcript:Sspon.01G0006850-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSEADEDQLLKSFLAEVSEAERDNEVLRILGCFKLNPFEHLKLSFDSSPDEVKKQYRKFPILTHPKFIRILGCFKLNPFEHLKLSFDSSPDEVKKQYRKLLLDPQERGYILDQVTAAKEELRAKRKKELKKDSASKIKSLVDEGKYEEQFERSDEFQKQLIIKVREILTEKEWRRRKMQMRISEEEGRLKKDEEETKEMWKRKREHEEKWEETRDQRVSSWRDFMKTGKKGRKGEIKPPKLKTEDPNKSYVQRPVKRN >Sspon.01G0033040-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:111787109:111789509:-1 gene:Sspon.01G0033040-1A transcript:Sspon.01G0033040-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to Pantoate--beta-alanine ligase (EC 6.3.2.1) (Pantothenate synthetase) (Pantoate activating enzyme) [Source: Projected from Oryza sativa (Os03g0851800)] MAAAAAAAEHEPEVIRDKAEMRAWSRRRRAEGKAVALVPTMGFLHEGHLSLISAAVAASTGPVAVVVSIYVNPSQFAPTEDLDTYPSDFAGDLRKLGATGVVAAVFCPPDLYVRGSADRPSAAGAVSCLEDDGGHAHETWIRVERLEKGLCGSSRPVFFRGVATVVAKLFNIVEPDVAVFGKKDYQQWRVICRMVRDLDFAIQIVGSEIVREADGLAMSSRNVNLSHEDREKALSISRSLVDARTAALNGSNHSQQIKDQIVQTLTEAGGQVDYVEIVEQESLVPVERMDRPCVICVTAWFGKVRLIDNIEIQSTSSDR >Sspon.07G0002720-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:5015326:5016411:1 gene:Sspon.07G0002720-3C transcript:Sspon.07G0002720-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAVAVGGGRRPLHRTFAHPPPPEEEEHQQRACRSRGSSSSCSSSSAPARGTGTGMVVIVGATGTGKTKLSIDAAEAVGGEVVNADKIQLYAGLDITTNKVAPADRRGVPHHLLGAIRPDAGALPPSTFRSLGAATVASIAARCRVPIVAGGSNSLIHALLADRFDADAADPFSSSSRGHSHYRPALRSPCCLLWVHVDDALLAEYLDRRVDDMVGGGMVEELRAYFATTNAAERAAHAAGLGRAIGVPELGAYFAGRTSFRAAIDDIKANTRDLAAAQVSKIRRMADAWGWPIQRLDASATVRARLQGAESACWERDVRGPGLAAIRSFLLELDGDSIITNGAEMEPEPRVRCCDVVG >Sspon.05G0015100-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:58060595:58060977:-1 gene:Sspon.05G0015100-1A transcript:Sspon.05G0015100-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHRHLVLVVIVLPAASILHAVTSAATTMFYMTGQPVTMRPQQPMMSLSRTTCHESYTLHAGGAFEVTLPGECNFFVTVVGKQFKFRYGSSVSGIITSGSISHVSGVRIQVEFAWLGLNQ >Sspon.07G0030510-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:8716337:8717137:-1 gene:Sspon.07G0030510-1C transcript:Sspon.07G0030510-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGSETLRYDLGTLRAATNNFSEENMLGKGGFGPVYKLSWGQRYKIIEGISRGLLYLHEDSRLMIIHRDLKPGNILLDADMNPKISDFGLAKLFKIELSAENTRHIAGT >Sspon.07G0010220-3C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7C:27028531:27032044:1 gene:Sspon.07G0010220-3C transcript:Sspon.07G0010220-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding QLYWRTRDLGYLLEAILILEYGLTVRKYTTLEVKNILLESVLHHILPQMLNSPFLQHAADLVKDYLKFMDDHLKESADLTCLAYRHRTYSKVIEFVQFKDRLHRSMQYLSVKSDSVMLHLKQKADSLDEVESILESVSHGTKLVELSNEDSMKDLTFNEDLDARPWWTPTSSVNFLSEPFDESSTPASYRAKMCKHKSNEKDGSKLKDVERKSLVPRLVYLSTHGCASFLRESESNGASSDVTASGEMKALLEKYARSIGYSFDDALSVVLGISSGKKAVKFTIAGFCS >Sspon.08G0022010-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8B:45080446:45083545:1 gene:Sspon.08G0022010-1B transcript:Sspon.08G0022010-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGESAQALQENAMCALANISGGLSYVISSLGESLESCSSPAQIADTLGALASALMIYDTNAESTSASDPVDIEKTLLKQFKPKVPFLVQERIIEALASLYGNPVLCKTLADSDAKRLLVGLITMAATEVQDDLTKSLFALCKKDCDLWQALQGREGVQLLISLLGLSSEQQQECAVALLALLSKENDESKWAITAAGGIPPLVQILETGSPKAKEDSATILGNLCNHSEDIRACVESADAVPALLWLLKNGSDNGKEIASKTLNHLIHKSDTGTISQLSALLTSEQPESKVYVLDALKSLLSVAPLNDILHEGSAANDAVETMIKILSSPKEETQATSATALAGLFHCRKDLRETHIAVKTLWSVMKLLDMQSNKILMGASCCLAAVFLSIKQNKEVAAIGRDALTPLVSLANSSVIEVAEQATRALANLFLDQELSLQVSFEEILFRVTRVLREGTIDGRTHAAAAIARLLQCRTINQPLSDTINRSGSVLALAGLLEAANGEAAATSEVLDALVLLSRSKASSGHTKAPWAVLAENPHTILPLVSCIADATASLQDKAIEVVSRLCSDQHDVVGGLVSETPGCISSVTRRIIGSNMLKVKVGGCALLVCAAKEHCQKQIEVLNDASLYIQLIHSLIGMIHMANTPAENVSSESISDIRISRHSNENNSDGETVCRTAVISGNMIPLWLLAVFSRHDSKTRAELLEAGAVEMLTEKISQNAFQY >Sspon.05G0032780-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:32843400:32844272:1 gene:Sspon.05G0032780-2D transcript:Sspon.05G0032780-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSALPENVVAGGSSGPPPVAWWSAASPVDGDVVLSGVVLLFVALAFAFVLYHYFTVTVVSRRGGTRDGAAAVGAPTSAQQRGTLGGRDATGGGLEPSPANYPTNLPTNVLFWGSLDAVTVARASGTRTTTVHGGPSDPGGASPPLVIHVRETAPAVAPAPLPREGDAAKAQGLARLSSLRRLWSRGRHDAAAIGHTLPTWQQTT >Sspon.02G0025550-4D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2D:84056816:84062728:-1 gene:Sspon.02G0025550-4D transcript:Sspon.02G0025550-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGCVPRLALAHGLAATRCNLALRKRTLAVTRASPPPLPRARRGGALPGGAPLGRGRSRTDLAASTGLDLAGLVLSHAHQQQVSAGTAAVRPPPPAVCGHGPARPPTSTGVVAIGQQLAGIGHARLSQATAPSPSWNAAVLCAAAAACDHLDCSGGGGHFLVVVVGTNHAEMFAHVYSSETGAWSAPASARHPDDNVDFAPSALAGNALYFAFQMGTAALEFDLHTRVMAVVRLPPPRFDWQRVVLATTDDGRLGLATAGKSTIYLWAREAPYRGDGNWVQTRAVQLDTLLPAGAISAFPDVVSFVDGVGVVFVRTGDGLFTIDLKSLQVTKVSSDTGFSSIFPYISFHTPVLSELISRSINFINKKWSKPPTLNMEESLQRVLLRGQVIIEEAMGRHITNQAMLLQLDMLRDAMHRGCYSLDAFRYQPHYGEDGNDPAARRFRPLSKVSSAKDPYFSSRNVQFQEQLQEALDRLSSMIVDLNELVMFLMSYPRLYRQPYSMHILLDNCMFGRQMEAELVIKFLLHTQPHSSQELEVLPIVGPVRVSKSTLVTHICKDERVRDYFSEILWLCDSNFINDELACREGFVMKHQNCMPTSNRGNQLLVLIELSGDLYEDAWNRLYLASKQSFPNGSKIIVTSRSDKIAKFGTTLALTLKFLSKEAYWYFFKTLTFGSMDPDKHPRLAHLAMEIARMQSSSFHGAYVTSYLLRDNFNTQFWCNVLSFLRGFFQKHVSEFGMHPFDLLKQNKPLQHGRMATPCEDFMVCHQYHRSSQEEVPEITMQDLLYGSIKRHGKFEVLAWRSQIPPYYSYINTCEIREQKTTGSKRKRSMKD >Sspon.06G0031960-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:75584722:75586162:-1 gene:Sspon.06G0031960-1C transcript:Sspon.06G0031960-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNGSGHVFYGLVKMDGKCSLMENPFVHHPSTHDDQELHPPDEPPPNCHCGLQAWVKQSRHELSSGRRFFYCSLRRGPRTNNPHAIEGCDFYRWIDGRDKWDRRIMYFPYDPWKSVPMSEYVPWVPPPSNPPKMEALEMWMAATERKKHPPRCHCGKEAVLQEPSKPGMFDPFFHCGERGADGFPACEFDEHIYGPKAQWPSEEEAIQYVRGDVPWPCLSKPAPKCKCGILAKEGVVPSELGRRFYCGNAYGGPNEFFKGKTCDWEDFPNRGKILAELNLDGRLRSPNLSESMRLKLHAYRERTRLLNSVRTPGGESWNNVLHDYEREVIKKKGKLRQRNGQLRLHDQE >Sspon.03G0019290-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:82282762:82283995:-1 gene:Sspon.03G0019290-2B transcript:Sspon.03G0019290-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEQQPKMPSPSGEPSISKKTKVVLAGSSCPVSSGAVKEEPEQRVVADGGGGSSAGAAVAEQASQALERPRINISVDVQLLHCAVAECHRPLKPPVVKCEAGHLLCGACLDGGHCRKCDRATAFAHCGPELDLFVGDARVPCPFESYGCGASVAYHATAAHQDACAYAPCHCAVPGCPFTATPPRLRDHLAVDHAWPLDTLPAYGKALPLRVPAPATSEPEPEPQQHHHRLLVVEGDERSLFALSVRPCGAGAASSCAVSVSCVRTSAAAEAGPRFTYMLWAKSPAAAPGMPPGSAGRRLMMEADVASCAVPGGAAVEEGMALYVPPPMLSGPSNSKEMHLRVRIDVVDSAPAPQRAASSSSPRV >Sspon.02G0007570-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:21468351:21476614:-1 gene:Sspon.02G0007570-1P transcript:Sspon.02G0007570-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASAQAAQSGAEQRGNAIRCEHVVDGDRDAERTRWAGDLAERCVELFRRDLVEDELRGAGPETAPPAREEARVGCGAGAAEACKDLEQEVVGEGADVVGAGAAWCFDGEAPRGSNRDPTAICGTRRTHPARPSPSRSGGGGGGGTLASMLTWFLAMECAAKGLAAEPCFGGVADRRCGGCRAVAYCSRAHQVIHWRVHKEECKRFAEQMRRIDVLSQFPFTFLEPPALNHELSSARCLFLQSIKLHLKGLWKSECICGRDVAPVKDLRVVCDRPGNLCFKHRSKLLALLARIRFLSPSAFSSVTFSHLDTTCVVLAFLRHTYIAASTRSRCMRSDSPESGTHGSGMVWTVGENSTMYSQMERRQSISLFHIDLFSGDSGSNLWQVPNTVAAVKNNKRICLKKEQEEKPALYQSEFKG >Sspon.08G0015600-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:62379929:62383759:-1 gene:Sspon.08G0015600-1A transcript:Sspon.08G0015600-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGQIHLDLPRGVRTYGPSDVKDQFHIHKKTDEILAVRAKIERIYSCLEPDSHNGLFEMGFCFGLMDPRTNILVNLDTSRYPALATSRDPLLGREGREPSRPAGKGREPSPPAGGGGGRGREHVPPRARGVKRGREPLPLTPAEQVEAKIAKMAQRSLDGLTAFLTCLFPYLPDAEARKYLDAAGADPLVAALLIIRRRNMQFDYYNPLTTRAAFEVALRCAAVAAKHPDPLRFVKLWMVMLFEPPPLEGKGISPVTNSPHGGLPIKFIFPALGAIKTAEKTVLDRITLLDVSWDFASTRFELANPEIQASLELLPPARAHMKRMLLAKIHGFYIQALGRLPKVELRSCYHRSMLMGGYCYGPLDPVSNIIVNTIWYEQHFPSGKQFEVSMISTQLLWRIVARSLYGLISFLCTRHQGITPDQAIKCLLVTNVYLPAATRTASLDDSPDLPLPASFADAYAAAGAAAFHCSPLAQSEFLTSQIGDAYESFPLNYKDGYPLSSEDIRSICRVLETCSSSSGTHQQQAIAPTKVKKRVYANMCQCSDSFWGQHDRITSMVSDALDKFNATAVDGRLFELHIIFGVNEFVSGPVRSLDGKPGKYNPWSHDKYFHSHINFLAVRRDRPFDSPTLFFAECGKEGDNTCCCVPVIPQKPEGEKPRCVYCEHEANRIIHPTAMEGWFHGRDEFDELFYLSDRRFYNNDEIIKYKRIYVDWVHGLSDGAIYLNYYPDEDDDGGDEADWIEIY >Sspon.02G0005420-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2B:15808503:15809603:1 gene:Sspon.02G0005420-2B transcript:Sspon.02G0005420-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRRGRGKGRGRAKPRAKATEPEPEPELEEAVESEIEAGDAKAEEESGAESEDAKEDESESDAESMDAEANEEAGENGADEMDAEPEAKAGEAKAEAAATEAEAKPDASDAEDEEGGETGSDREADAKGTDPKAKAEESDGEDEEGAAAETDGESEEEAAETGGENEEDGGDSDTEGDAAESPPSPPSRTRRRKRAATPDDEPEETPTPSRRRRRRKSGERGDSPPPLPDHLRCRRSDGKKWRCSGRALPTVSFCEYHYARANKGKKLPADGEVLAVALQRQKNKRKGRRSINPPMSPQAATTDRQTRDLPNGLMTISPGSSGPAALSSPVTTKVGVEIPAPIQRCYRSKNAEPLPVGPVKVILS >Sspon.02G0039500-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2B:54215290:54215771:-1 gene:Sspon.02G0039500-1B transcript:Sspon.02G0039500-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVLGSLAPRRRDPQAVGSPPVTKLRRLLLSPSSDALPAGPQVVARKARAATVANLAPLPRGPKQGCPRYERRPWYGVDDLAARSSSGTPAPGSDSTMADRAPSPRLHLVSGYGGGSGYLACSPCSWSSHHHLHAQGMLWCRASSSSSPAVRRCLFRLQ >Sspon.05G0031160-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:3489047:3499342:-1 gene:Sspon.05G0031160-1C transcript:Sspon.05G0031160-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPNGPAASPMVYPVYLTGAFPQQPGDDQAQGPGIYAIQQNQLAAAMGMGCFSPTTLIPLTYKIPTESVGGPAGEENVQDARQQNGPQRQVVVRRFHFAFQLDLALIIKLAAVVFLFSQEGSKQRLFLLILFASLIYLYQTGAITPFVRWLQRAGGAAAHPPQAPARVENRAPLPAQNDGNEQPNGPANPDQAAENHEQDAAAGNENPQEAEVEGNQRNWLGGVLKEVQLVV >Sspon.07G0005420-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:27574298:27576041:1 gene:Sspon.07G0005420-2P transcript:Sspon.07G0005420-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding EHSMAMLGRPTTVLVAALAVALAFGMASAQGPAAAPGPAPGISEECFNAVLNMSDCLTYVTAGSTTRHPDKPCCPELAGLLESHPVCLCQLLAGGAESYGVSVDYKRALALPGICRLTAPPVAGSAAFGVPLPPGLVPTAAPVSGLSPSSGGPGCAANTPDGSAKSSSHAPGRVTAGGLIALAITAAAGI >Sspon.05G0018880-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:69069828:69073468:1 gene:Sspon.05G0018880-1P transcript:Sspon.05G0018880-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPRFALPAAPAPSTGGGGGGFARGHHRRAHSETFLRFPDADLLLDPDGDFSFSDLDFPSLSDDSPAASDPTPPPPLPLLQQQQQQQAAPAPVPRPPGGGAHTRSLSLDAAFFEGLSFQGPSGSGSASGGPGHKRSGSMDGATSEGESALSGGLPDYAKKAMPAERIAELALIDPKRAKRILANRQSAARSKERKIKYTSELERKVQTLQTEATTLSAQLTLLQRDTTGLTTENRELKLRLQSMEEQAKLRDALNEALREEVQRLKIAAGQVGNMNGNPFNGGLQQQIPSYFVQQQQQQQQMSYFGGHQVQHHNQNHRHQSPSNGGQSLSGQSLNDSMDFI >Sspon.06G0024960-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:59957688:59958517:-1 gene:Sspon.06G0024960-1B transcript:Sspon.06G0024960-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASCSSSPRRPPIPLLLLLLFLLHFSPVLAASAPPASSPEQAGTGGGGNVTETAAWTPRLRKTFLDGGGIDHWRGRRLVGRFQVCAVCTCCGGPHGMCIPAPCCYAINCNIPNRPFGVCSFTPRTCNCFNCHL >Sspon.04G0025750-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:30061230:30065478:1 gene:Sspon.04G0025750-1B transcript:Sspon.04G0025750-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTANGQQPAAEGASSDKLRHVESMSELPSGAGKISGINAVVLGESLAAEENDLIFPSSQFSANALVSSPKQYREMYERSIKDPAGFWSEIAETFFWKEKWNPAEVCSENLDVTKGPVQINWFKGGKTNICYNAVDRNIESGNGDKIAMYWEGNEPGQDGKLTYSELLEKVCQLANYLKSLGVGKGDAVIIYLPMLLELPIAMLACARIGAVHSVVFAGFSADSLAQRIVDCKPKLVITCNAVKRGVKPIFLKDIVDAALVESEKNGVSVGLCLTYENQSAMKREDTKWQAERDVWWQDVVTKFPTKCDVEWVDAEDPLFLLYTSGSTGKPKGVLHTSGGYMVYTATTFKYAFDYKPTDIYWCTADCGWITGHSYVTYGPLLNGATVLVFEG >Sspon.02G0005840-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:17476155:17481625:1 gene:Sspon.02G0005840-2B transcript:Sspon.02G0005840-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:V-type proton ATPase subunit H [Source:Projected from Arabidopsis thaliana (AT3G42050) UniProtKB/Swiss-Prot;Acc:Q9LX65] MDRAELATEQVLKRDIPWEHYMSTKLISGTCLQLLRRYDHKPESQRAPLLEEDGPAYVRVFLNILRSISKEETVEYVLALIDEMLASCCGKATGLYKKKVNGTLPNGDASNSKSKLTSTHEVLRGLVDWLCSQLRNPTHPSCSIPTSIHCLSTLLREPYVRTLFVQADGIKLLIPLISPASTQQSIQLLYETSLCIWLLSFYDAAVDYLSTTRVMPRLVEVVKGSTKEKVVRVVVMSFRNLLAKGAFAAQMIDLGLPQIVQNLKAQAWTDEDLLDALNQLEVGLKENLKKLSSFDKYKQQVLLGHLDWSPMHKDPSFWRENINNFEENDFQILRVLMTIIDTSSDTTALAVACYDLSQFLQYHPSGRIVVADLKAKDRVMKLMNHENTEVRKNALLCVQRLFLGAKYASFCRLERN >Sspon.05G0018220-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:77912408:77917729:-1 gene:Sspon.05G0018220-2B transcript:Sspon.05G0018220-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cleavage stimulating factor 64 [Source:Projected from Arabidopsis thaliana (AT1G71800) UniProtKB/Swiss-Prot;Acc:Q9M9G6] MVSRGFRDSYCLGNIPYDATEEQLVQICEEVGPVVSFRLVIDKETGKPKGYGFCEYKDEETALSARRNLQGYEINGRQLRVDFAENGRNTDRNREKGRGGPGMASNSETVSWDFCCWRTNLHQPVGLPPAIHAASVMAGVLGGAQTANVQNGLPVQYGLGNDPLTHYLARMSRHQLHEIMAELKFLTTQNKEHSKTLLQGIPQLPKALFQAQIMLGMVTPQMMQMAKSQRPLGSLAQSSSHLNEPYPQPDPMIPVVSRPSSLPTNIPPNPTILPEQTAALHSFPQHQHASQPQVKMFPHGQQSGIAAQSPMLHQPLGGSSSVPTQSLVASVGLISQVQPPFVPQHPGPPVMPTSVQQLPLTHPHLAQVAAATETLPNEIRVADQASHLTEFTHPSKLRKLEDGTSVPGIVNSSHAVYTAPLQAVGPSGPSGGYGAGAVSLQQPGNEGQLTPDVESALLQQVLQLTPEQLSSLPPEQQQQIGDKRNVMGQDSVKKGRHAAVTL >Sspon.05G0030860-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:1770517:1773408:-1 gene:Sspon.05G0030860-1C transcript:Sspon.05G0030860-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTARFVSTCPARLSSTPASPIPRTSGWVIGRIPAFPARPLGFSLRLKPSPAMAAAGVGGNGSPTAPGDSIGSSRIGEVKRVTKETNVHVKINLDGTGVADCSTGIPFLDHMLDQLASHGLFDVYVKATGDTHIDDHHSNEDIALAIGTALLEALGDRKGINRFGHFTAPLDEAAVEVILDLSGRPHLSCGLDIPTQRVGTYDTQLVEHFFQSVVNTSGMTLHIRQ >Sspon.06G0014380-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:87154979:87155890:1 gene:Sspon.06G0014380-3C transcript:Sspon.06G0014380-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQSGKLLLLLPALVLLLLAFQAQGARPAAASKAKPTTKCAASSVTVSQSNTGDKAGYDPVFEVTVRNACRCAVRAVYLRSEGFASSVAVDPRLFRREGRDYLVGDGRRIEAADEVRFRYAWDRAFRMTTATVHDDCS >Sspon.07G0028740-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7B:68437287:68438249:1 gene:Sspon.07G0028740-1B transcript:Sspon.07G0028740-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AACSPRPVARFPSPSGCRAFAASGGAVLGAFYDFCADTLFHDTVMQVGGYGFWERQPSPRMRDTEGRLVRWWPRGRGISSPRGFGSYRDAPAMLPLLVPGDAAAAGTVIRMDTILFDGIYGFEKLRLLPVPGGCWQWHAVPLPKPPVGRLAENEVAFVSAYCAVGTRVWISVTGKGTFSLDAADEHSATWRTEGTWQLPFQGRGLHVPELGSSVLGLTAGDKGFLCACDVRTGAVRHVWPETFPWPWEECVISSGGRVSRPRDMHSLAYLGDGRFCICRPMSTMEPTRYGPPITYDACCFLVVELKRLSSSSSPTSLELAK >Sspon.02G0027260-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:98818440:98825579:1 gene:Sspon.02G0027260-1A transcript:Sspon.02G0027260-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MWSKNDGKTFKKPRRPYEKERLDAELKLVGEYGLRCKRELWRVQYALSRIRNAARHLLTLDEKNPRRIFEGEALLRRMNRYGLLAEGQNKLDYVLALTAENFLARRLQTLVFKAGMAKSIHHARVLIKQRHIRRPYEKERLDAELKLVGEYGLRCKRELWRVQYALSRIRNAARHLLTLDEKNPRRIFEGEALLRRMNRYGLLAEGQNKLDYVLALTAENFLARRLQTLVFKAGMAKSIHHARVLIKQRHIRVGRQIVNVPSFMVRVESEKHIDFSLSSPFGGGPPGRVKRKNQKKASGGGGDAGDEDEDCSLDGLQACNDLSMHRLKDLAQSAMSRTDQHTIIIPDYKPPATGSVKLPESPITIEFSILEVHSSAYVLVICAICEVLLNLSFSHSTPFTPPEI >Sspon.06G0004120-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:12599040:12600595:1 gene:Sspon.06G0004120-1A transcript:Sspon.06G0004120-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAVALAAVLMLAAALLAAAPAPAAAVEFGAEDLASEEALWALYERWRGRHAVARDLGDKARRFNVFKENVRLIHEFNQRDEPYKLRLNRFGDMTADEFRRHYAGSRVGHHRMFRGDRQGGASFMYAGARDLPTSVDWRQKGAVTDVKDQGQCGSCWAFSTIAAVEGINAIKTKNLTSLSEQQLVDCDTKANAGCDGGLMDYAFQYIAKHGGVAAEDAYPYKARQASCKKSPAPVVTIDGYEDVPANDESALKKAVAHQPVAVAIEASGSHFQFYSEGVFAGRCGTELDHGVTAVGYGVTADGTKYWVVKNSWGAEWGEKGYIRMARDVAAKEGHCGIAMEASYPVKTSPNPKVRAVVGDEDGDSHDEL >Sspon.01G0001850-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:5312397:5315763:1 gene:Sspon.01G0001850-1A transcript:Sspon.01G0001850-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VNNVGTNIRKPTTEFTAEEYSFVMATNLESAYHLCQIAHPLLKLSGSGSIIFISSVCGAVAVFSGTIYAMTKGAINQLTKNLACEWAKDNIRANSVSPWQQRNHHRQPHLGDATGQPPSSSSSTTAARTEQSSNMGDTEETVVHLNVQGNENEVVNVEENDNQDQRSKDSEKVGDEGSKKRKPMVPRSDVWEHFNKIKLDNVEDRAKCKYYGKQLRCDTGEDIGKSSENCLVDWGIENVFTISVDNASANNFAIKYMQRVLNESKGCVGGEYLHMRCFAHIINLIVGDELREFDRSIARVRAVVKYVRSGPSRLVKFKKCVELAK >Sspon.02G0003770-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:13600365:13602027:-1 gene:Sspon.02G0003770-1P transcript:Sspon.02G0003770-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLTMATGQEESLLLLLLPTTSPLPPLMAIFILAAVLLWLSPGGPAWALSRCRRPPSGPTGVVTALSSPVAHRTLAALSHAVDGGKALMAFSVGLTRLVVSSQPDTGREILRVLHVASLNHIIATVFGKHYDMDSQEGVLLDEMVTEGYDLLGKFNWADHLPLIKHLDLQGVRRRCNRLVQKVEVLVGNIIQEHRARRANGGVDDEYMGDFVDVLLDLEGEEKLSESDMIAVLWEMIFRGADTVAILMEWIMARMALHPDIQAKAQAELDAVVGGGRDLADADVANLPYIQCIVKETLRMHPPGPLLSWARLAIHDAHVGGHLIPAGTTAMVNMWSIAHDPAIWAEPDKFRPERFQEEDVSVLGSDLRLAPFGAGRRVCPGKMLALATTHLWIGLLLHKFEWAPAAAGGGVDLSERLNMSLEMATPLVCKAVPRAQA >Sspon.07G0008100-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:18113623:18119144:1 gene:Sspon.07G0008100-3C transcript:Sspon.07G0008100-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDLKEVFRSLQELFPQVDHRILKAIAIEHRKDVDSAVVTVLDEVMPSMTGSAGALSTHQEVLPSMDDSVGNLFANRSTREVGSSSSAGHDIRINEVDVSVQSAQHTSSGEVKIGKQGNINNEYVGRLASIEGFSEQLNLHSDPIPNGHDLIPNLDVLSSNTNPEKKLANTDNEVGYGGLSSECFSQPPTGEDGGDIPQSHDQDPNKMIPVGDYFPQNTSMEFFSGYEDINFDDDLLPLGSNDQISSGILGTEKDSFAPVLDVPGRDKEESSAETSGFVEPKDTSNVADMLPDLNLNHFASTASTHSSHSVSIESLEDSVADARSNKNDLLPSLELVTKMIEDVELLEEKAKVAKHEASVAGTGILTKVEELREMLTHAKEANDMHAGEVFGEKAILTTEARELQSRLQRLSDERNKYLVIIEEIRQTLDERLAAAQQEIAAAEKEKIEKEAAAQALLDEQEKTMNSIVEESRKLQKEAEENLKLKEFLVDGAKLGEMAVVFEDVSLLKRVVDERLSLSRLQRSAMSSLLLHCILLITRAGVPRIGLLKPSSLQISAPFVQRSGWRRVRCGSSDGNDAGKGILKRVNSKEDGDGWELC >Sspon.07G0013120-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7A:47708114:47708269:-1 gene:Sspon.07G0013120-1A transcript:Sspon.07G0013120-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMHYLMATEYATLVEALPHVSAWWEGLATRPAAKKVAEFMPVGTRAPKKQE >Sspon.01G0018790-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1B:75654449:75654601:1 gene:Sspon.01G0018790-2B transcript:Sspon.01G0018790-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQQAIQIYGQPLEDPEMFWAPIEQAEQGLYGFLGDLQLSIASGSPRGLQV >Sspon.07G0018920-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:73955129:73963403:-1 gene:Sspon.07G0018920-2B transcript:Sspon.07G0018920-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKVVASGQVLQTPAGEQAEAGESMRGERQRAPAGEKRAEAEASTGYCLDKVLFDATLEDWFCDECKQRHNEGSHSRSLEKVSSERPSNHARFDSTSQQPITKRLESATVVETWGQQKRKSTVVGANLKKKHSSRAKSLRKKCIRNKSNMRGKGINRRGIRSKHSSNCTEQLNLSIGQVGSASSSKGVNQPTPVIVNATGQSHVLKPSEGLVRKSMQTPQSLTTDQAYSTSSLEISSKTKFAHEASATEVEISDTVQNLRKDSPKKRRRLILTDDDEDEEEEEKAVDVQQENVNHQPLKCNEPMVKHRINTEYYVEEAVQTGDINDQNLINGSPMKRSRRYIVEDEDEEDIEGDEYALNNASKWSSNDSAKMASLTPVATDHSHQSRLSDSERADQQYHICLQPLDEPVWSGVFNIDNEVFLKLDAHLSNKACQRVHELSGLLQQVVEVRTLSRLKAWPERWISSGPTDESIGLFFLPHSPMQNEDLTRLNRIIKSDDALQVTVGIAELLIFPSLLLPEQYHCKCRIFQGKHYLWGVFRQRKDILDNGVHVEEQDGSAHATGEGQHQEHNFLDQQDEVLYVVSDKETFAVKHVVDAEDQLQVKGHPEVQNHTMKVATSEGITSLSSSWSPAKPTLQKLDQTALCSQELSVNYMLLEMRSSKKTSSLHLSGMPQVLPNNQVLLYLLSMATNKPTQTQNLPPQSFLDFVIPVPEEIVMTDSVTGSSTGVVSFALNPDAKCEASQAFDFVSVGHGDPGVDSEACLELFPVRQEQIGWAAMAEVSGEVDLNLSLGKQLNMGIVVSIEQVGPPFGWITLRHCRRRKAGELVVNRERG >Sspon.08G0015250-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:61416421:61420020:1 gene:Sspon.08G0015250-1A transcript:Sspon.08G0015250-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALASGTSRPLLGRPAGTARPHLAVSSSSPASSIRFRRGAGAGRAAVVSLRAPAPPAAAAAATSGSIAPAISLTEKALKHLNKMRAERNEDLCLRIGVKQGGCSGMSYTMEFESRANASPGDSVVEYDGFAIVCDPKSLLFMFGMELDYSDALIGGGFSFQNPNATKTCGCGKSFATGKETEAAATACNN >Sspon.05G0022230-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:7158616:7163225:1 gene:Sspon.05G0022230-2C transcript:Sspon.05G0022230-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGLKYRAGLCLIVAVVLIWVLSAEVTQGIFTKYKHPFAITYLGASLMVIYLPLSFLKDYIYNSMRRHSGNTSALKIASKSSFGGSAPLKNGEFQKVLEMESQKTIVINCTEVSIPVVEETKPLIYGITEMNDGVLKDKQLSTKEIATYGLYLCPLWFVTESGH >Sspon.07G0024140-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:20820747:20823877:-1 gene:Sspon.07G0024140-2D transcript:Sspon.07G0024140-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ASKNVQVGACPHLGYINGLCYTCGNPQDEEDVSGVALDYIHKGLRLGTSEIDRLRCADLKNLLRERKLVLILDLDHTLINSTKLQNISSAEKDLGIQTAASKDDPNRSIFALESMQLLTKLRPFVREFLKEASNMFEMYIYTMGDKAYAIEIAKLLDPSNIYFPLKVISNSDCTKRHQKGLDVILGAESVAVILDDTEFVWKKHKENLILMERYHFFASTCREFGFGVRSLSESMQDERESDGALATVLYVLKRIHAIFFDMAVETDLSSQDVRQVIKAVRKEILQGCKIIFSRVFPNNTRPQEQMVWKIAEHLGAVCSTDVDSSVTHVVTVDLETEKARWVVDNKKFLVHPRWIEAANFRWHRQPEEDFPVTPPKEKSRGKDNVVAGKKETSKDKENAAPVQKEMRKDKEENGVASQKETRDERNVAGQEKDGAKENVVAISTTGPVDS >Sspon.07G0000590-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:1309143:1311324:1 gene:Sspon.07G0000590-1A transcript:Sspon.07G0000590-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSRLLLFGVQLLVLVAAVAGTRWQDFLRLPSESASEDDDAAAVGTRWAVLIAGSNGYYNYRHQADVCHAYQIMKKGGLKDENIIVFMYDDIADSPDNPRPGVIINHPDGGDVYAGVPKDYTGKNVNVNNFLAVLLGNKSAVTGGGSGKVVASGPDDHVFVYYSDHGGPGVLGMPSDDYLYAKDLVDALKKKHAAGGYRSLAFYLEACESGSIFQGLLPKNISVYATTAANAEESSWGTYCPGDDPGPPPEFDTCLGDLYSVAWMEDSDTHNRRAESLRQQYETVKDRTSVHGTYNTGSHVMEYGDVQGLAAQSLYTFMGTDPANDDDSLLRRSSGGAAVNQRDADLVYFWQRYRRAAEGTPEKAEARRRLLQVMSRRSRVDSSMELIGGLLFGSEEGPRVLGAVRPAGQPLADDWDCLKSMVRAYERHCGPLAQYGMKHMRAFANICNARVGEDAMAK >Sspon.04G0000730-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:20825414:20829865:-1 gene:Sspon.04G0000730-3C transcript:Sspon.04G0000730-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAAAVIPDGRRWSKGPGSSSSPVTTAIFLFFFVVVVGVLVSARWITTTTHLSITNLDQWSSKPVEPIKISEKPTGPLIIVFLSLQAILTATQTTSIPAIPAAPPPPRPTYSLSCTAPPLPRDPTIPSNISQTLDLVLSPNASSASTCATFPDPPPPLPANSNASSTCPAYFRFIHEDLHPWRASGGITRAMVDRARATANFRLVVIRGRAYIERIAPAFQTRDLFTIWGILQLLRRYPGRVPDLDLMFDCVDWPVVHADQYEGENATVLPPLFRYCGDNETLDVVFPDWSFWGWPEINIKPWDALQKELNGGNKRVKWLNREPYAYWKGNPDVAVTRQELVKCNVSSEHEWNARIYKQDWLKEIKAGYKQSDLAGQCAHRYKIYIEGSAWSVSEKYILACDSMTLVVTPKYYDFYSRVLMPMQHYWPIWDDNKCSSIKYAVDWGNSHKQKAQRIGKQGSNFIQKELSMEYVYDYMFHLLTEYAKLLRFKPTKPPEAIEVCPESLACQAIGREKKFMKDSMVRSASDAGPCDLPPPFSPEEFKALQRRREKAMKQIETWMQKASRPVDKKP >Sspon.08G0013590-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:56689227:56691928:1 gene:Sspon.08G0013590-1A transcript:Sspon.08G0013590-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DATGGEGGEEGTGEGDGDPVRAGGARHGGAGGAQRAATNAGAVARQVLERLPDGGADSHVSYTQDRYVFHAKRTDGITALCMADDAAGSKRLLLLPVPSSPHPSFPIRSHSHGGSELDWDASGVHYVVRRHRSRIAEIRSSATIVRGRIPFAFLEDIHGRFVKTYGRAALTALAYAMNDEFSRVLSQQMDYYSNDPNADRINRMRGEISQVRSVMIDNIDKVLERGDRLELLVDKTTNMQGNTVRFKRQARRFRNTTWWRNVKLTAALILLLLVIIYVVLVFVCHGFTLPTCIR >Sspon.08G0006300-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:19796550:19805650:-1 gene:Sspon.08G0006300-1A transcript:Sspon.08G0006300-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVLVVATTSDPASIGPAAAFLAMPGWSPGPPIAEGMESFTNGNVRLLKHERSIIAEDDLDQRWQEATGESVSEVIFLSKHTAVSKRPALTVHPIGVPHLKEDETPPQGGIPGWAAIPSPRIGPWLRLMQKIAAEQGLVPEFEITLEATHHGPVTSTPTMFVEIGSTEDHWGRQDAAQAIALVLWKGLGLEDGDAVGSWQGNCEKVLLGIGGGHYVPRHMDIVIKDGVWVGHLLSGYSLPMDTPQVNGKTSGEVTGMWKHAIKVSYEATKAAFPGGEVIAHLDHKSFKGWQKIAVTSFLQEQNIRIGKPSDFF >Sspon.02G0056300-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2D:21318360:21319964:1 gene:Sspon.02G0056300-1D transcript:Sspon.02G0056300-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGTPVQPAQLFACESPKLNIPTMVDIKLKKLRARSRNTGVNAVTDGTVRHRRITGSGLVRRAAHRAPAHGTREEGAAHESGPSAPHDSCVTSRQNESVWPLCRCALRASATLASLFFFEGPSSSGSCGMQLAVCAAAPACWPVSVQSVTLPCCSGEWRLVDVCSPEAAARGEERRRWCPHRVQEKRQSDIRRRAVGGPRFPPRVQGAGGLTVRAQGANVAVRQVGGEGGAMSDQSSKEAVGARGCAGGHRAVGDGCARGCDCVGAGRGPKGRERSARQEDRFFFLETAEQIGKGSWASVRGE >Sspon.05G0019180-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:81948919:81950458:-1 gene:Sspon.05G0019180-1A transcript:Sspon.05G0019180-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMESSGGSGGGSDPLLAPGFRFYPTEEELLTFYLRHRLAGTRPVVEHLIPVVDIYGYHPSELQALAGAANVADTEQWFFFCPRAERELHGGRPVRTTPSGYWKATGSPSYVYSSPTANNRVIGEKRTMVFYQGRAPTGNKTRWKMNEYKAQVLMMQLLVISSICHVCMQLRNEFSVCRVYISTGTLRSFDRRPLNPTGGLAVAAVHCGYQQQQQQLQPLPPPAAVAETTTSHHHQQMLATVVVDDSAADNNGRRHAAAAAEKSQDSSSSGSRGGGGVDGAEDAATVIDWDSLIPPVDELAFGGVDDLTRVIWPHN >Sspon.01G0006600-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:17363653:17368227:1 gene:Sspon.01G0006600-1A transcript:Sspon.01G0006600-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MIILSPCLVIFPLVYVILRHAEEIYNHPSTASSRRWSNLSRWIFREYNEVDHFFRHRMNNGAVHSLNYLKQFPTPLVSIIAKFVSFVSGGLAGALIIIGFVGESILEGHIFGRNLLWYTIVFGTIAAISRKVVADELQVIDPEGAMCLAVHQTHYMPKRWRGKENSELVRREFETLFQYTIIMLLEEMASIFITPYLLIFEVPKRVDDILRFISDFTIYVDGVGDVCSLSLFDFKRHGNRNYGSPFNALKGLRSSQGKMEKSFLSFQSVYPSWEPNADGKHFLSNLQKFKEKQIRQQALAQYQATEASGFVAGTRGQRDDTFQQLNSDIHGHAEATLPPVYNPSPLGLLDTDQRTHPYILDWYYMCHPPLSDRAEAPQFEHAFPETGVSTSPPARETSEIEEVGEWDYELYERVQSHLGASTSSALFRNTPVKHHGTEDNTNSNWWAHAPAYPSGPEGSFIEPPEFGNRYMTDPHSSNNSGDTSEGSTEDLEQSNGRSSSVWRSPQALSKTRYMVDSDIEEGLSLHFADVLQKDEDDRHLVMDHQDPASAGLPVRIIPRSSDPV >Sspon.02G0051370-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:76441456:76443137:1 gene:Sspon.02G0051370-1C transcript:Sspon.02G0051370-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ANNASKNDCENANTHAHGDDHLVMLAHLRRRWSLSGREGDSGVFKELKRLFSTTPEVGNQTEANGGHSGDRTLDRTRSLFDRTRPVSVQRLHVSQRFDRTRSASGHDRPDASGRSGSLLDSNRTLALWRPAIHPPLAIKTFHRSRELLPVFIRSRLDLDAVFIHREAKLTPHVPPPALHRGCTATHQGCSASFDTERGEAEKSETCRER >Sspon.02G0020240-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:66254923:66260297:1 gene:Sspon.02G0020240-2B transcript:Sspon.02G0020240-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEAAAKRARESGEDAAAAGAGEQAGISAVIPGWFSEISPMWPGEAHSLKVEKVLFQGKSDYQNVMVFQSSTYGKVLVLDGVIQVTERDECAYQEMITHLPLCSIKDPKKVLVIGGGDGGVLREVSRHSSVEQIDICEIDKMVVDVSKQFFPHLAVGFEDPRVAFLKNVPEGTYDAVIVDSSDPIGPAQELFEKPFFQSVARALRPGGVVCTQAESIWLHMHIIEDIVVNCRQVFKGSVNYAWTTVPTYPSGVIGFMLCSTEGPAVDFQHPVFNIEEDEHSTKAKGPLKFYNSEIHTASFCLPSFAKRVIESKAN >Sspon.05G0032620-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:20352768:20354981:-1 gene:Sspon.05G0032620-1C transcript:Sspon.05G0032620-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGSSVGVRDYGGGVTFSVVVTSLMAASCGLIFGYDSGVSGGVTQMDSFLGKFFPDVIDGRKSAKVDAYCKYDNQWLTAFTSSLWIAGALSSLVASRVTRRVGRQAIMLIGGVLWRGAFTSAYNAFVVVGILSATVTNYFTNRIPGWGWRVSLGLAAVPGAAIVLGAFFVSDTPISHVMRGQHERARAALQRIRGDDDADVDAEFKDIVCAVDVARQSDDGAFRRLFSKEYRHYLAIGVAIPVFYEFTGMIVISIFSPVLFRTVGFSSQRAILGSVINSMTNLASTLLSSVVMDRVGRRFLFVVGGLGMMLCEVAISWIMADHLGKHGGVTTMPRSYATGVLVLICMCTFSFGLSWAPLRWVVPSEIYPVEVRSAGQALSISITLCISFVELQVFIALLCAMKYAVFLFYAAWLLAMTVFVVMFLPETKGVPLEAMQSVWARHWYWRRFVKDARQDSQVNCL >Sspon.05G0006050-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:20713142:20715930:-1 gene:Sspon.05G0006050-3D transcript:Sspon.05G0006050-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutamate dehydrogenase [Source:Projected from Arabidopsis thaliana (AT5G07440) UniProtKB/TrEMBL;Acc:A0A178UEW8] MNALAATSRNFRRASKLLGLDSKLEQSLLIPFREIKVECTIPKDDGSLASFVGFRVQHDNARGPMKGGIRYHPEVDPDEVNALAQLMTWKTAVAAVPYGGAKGGIGCSPGELSTSELERLTRVFTQKIHDLIGTHTDVPAPDMGTNAQTMAWMLDEYSKFHGHSPAVVTGKPIDLGGSLGRDAATGRGVMYATEALLSEYGKCISGSTFVIQGFGNVGSWAARLIHEKGGKIIAIGDVTGSIRNTSGIDIPALVKHRNEGHAMKDFHGAEVMDSTELLVHDCDVLVPCALGGVLNKNNAPDVKAKFIIEAANHPTDPEADEILAKKGVVVLPDIYANSGGVIVSYFEWVQNIQGFMWDEDKVNNELEKYMSSAFQHIKAMCKSLDCNLRMGAFTLGVNRVARATLLRGWEA >Sspon.03G0013230-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:36993066:36999880:1 gene:Sspon.03G0013230-1A transcript:Sspon.03G0013230-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAQQGEEGPTTKKPRDEDEEEELLTILNRFRNNWLETMSPFVGSLDATTTAVSVPAGTEIGPKHYTESGPPRYGAIDYDAYEIFSIKVTEIKEGLEWPLHIFGLVSVRDSMDYKRNMLFHRSKENCQVLTAEDPYLELTGPSRAIALIDPPEFEVELRVIGSNPSEDKILSAAIFRYNNNSYGESLAGLVRTRIVSTKRSTIEVKYSHLKVPLEATIEIHHSEGSSDFHGIFFAHMFYMGEDKIVLLNSSDRNVTVESDGSIPLSRCVVLVDEDAELTLGVKAWQGENEQDGVVRHAKFPAKFHSVAFYQDYRSRLGYADLRQCVNVHCEDMLTQTIHGKYISKFQMLPTPLKQMVKETVSADERPPSGALPHRNSR >Sspon.02G0008910-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:24006406:24007522:1 gene:Sspon.02G0008910-2P transcript:Sspon.02G0008910-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTDTTSTDSQLHPAPAFSAAGARAGVCSLAARGAESLARGLVTCVFATGQSVSHPTYDVWALADSFVDPYDAGTGSGTVLGAITGGLIGLATETGVLRGTGVGGITGALVSMEVVDSSLALWRSDEPAIWSVVYVLDVIWSLLSGRLVREKVDPVVLNAVESQMSAAEAPVLHGDHADIFGTGGTNGMARVAIDALPVVRFTERSNVDASGELIACSVCLQEFEAGDSARSLPVCRHTFHLPCIDGWLLRHASCPLCRRAV >Sspon.05G0012720-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:41774760:41778904:-1 gene:Sspon.05G0012720-3D transcript:Sspon.05G0012720-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQAYSTRQKGAEASSSRRWAAMEMLSMLKVGYTILRSETPAMDLVNTFMDWAARRSLVLLAIFLPPYYIYKLTTSAFAAAMPEDVAGKVALITGASSGIGEASKAAVLNFFETLRMELGDEVGITIATPGWIESEMTKGKHLSKEGTVEVDQDTRDAQVGLFPVCAEDIVDAICRGRRHLTVPLWYRALFLWRTLAPEVTDLSQRLFYRRTAGGHGNQAKAKRFLEFTGAKGVLQPASLHSSDIERDRRLAGAALQVALTALLPLVLPAYYVYKVTTYLLGTVFPENVAGKVVLITGASSGIGEHLAYEYAKRGAYLALVARREARLREVGDFALGLGSPGVLVLPADVSKPQDCESFIDDTIRYFGRMDHLVNNASICQVCKFEEIEDVKHFRALMDINFWGHVYPTRLAIPHLKRSHGRIVGVTSNSSYIFIGRNTFYNASKEAALSFYDTLRMELGGDIRITEVVPGVVESEITKGKMLTKEGQMKVDQDERDAILGPTPAEPVGDFARTVVRDVCRGARYVFEPRWYMGVYLLRACLPEVLAWNSRLLTMDRGGASTTDTLGKWLLELPAVRRVAQPPSLRSPEIKD >Sspon.02G0022140-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2A:73974088:73974481:1 gene:Sspon.02G0022140-1A transcript:Sspon.02G0022140-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GRTSIRSDDAFLSLRDPPSMPPPPYVPHLSLKNIYPLPCHHTLHGTVHSSVRSNPCVVCFSLLPVVLAHPRLSLLAPAVPPAARPPAPLAPVPSGVPHCYKKSFARRFEMGLGDGHKFQPPRLMPGINRGG >Sspon.04G0014270-3D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4D:58862304:58863512:1 gene:Sspon.04G0014270-3D transcript:Sspon.04G0014270-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GVYQTSIDAEQGKVTVSGLLDPDTIIRKLNKAGKPAQLWGSKPGVPQNAHHGGGGGGKGQPKDAGGKGHSKDAGGGKAQKGGAGGGNHKGGGGGGGGGKDAKMVLPQPTPQQLQQLQQQLQMKGLKLPPQLLGGNMPSFTPAAPLKDPKSVKFALPEDNFDDDGSEFDDEFDDFDDDEDYDDDGLDDDFYDDPKMMMKPMGAGGGDKKGGNGGGKKGGGGNEIPTQSKGNGQGHNGCGKNGGAKGGAPGGGNQPAQGKKGGGATAGVGGPMSGMLPQQGIVRPNMIGGAGFPGTRQMGGTPMSMPPIGHPTMGMLHQGGGGGGPGGAVRGMPAPGFYQGGGGMPSGAEMLQATAAAGNPMANMALMQQQQMMMMMNGHGHHHGHGSAGYPSMGYGYGRPPMH >Sspon.01G0037110-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:15228325:15231119:-1 gene:Sspon.01G0037110-2C transcript:Sspon.01G0037110-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIATRVCFVVLLLICTFLREGRAATFTFVNRCTGTVWPGIQSNAGSSRLDSTGFVLPPGTSRAVQAPSGWSGRAWARTGCVQDATGKVVCATGDCGSGSLECNGQNAATPATLAEFTLAGGGGDDFYDVSLVDGYNLPILVEPAGGATGATTCAAAGCTADLNERCPAELRTDGGAGCRSACDAFGKPEYCCSGAYANPNTCRPTAYSQLFKSACPKSYSYAYDDPTSTFTCAGGRDYTITFCPVATPSLKSSSGPGATTAAPTGPTPTLPGATPQMPRSAGGQDGQGVMLGDNSWLASLATGDASSAPSLPPALRASPLAPLLLGGLLLVVL >Sspon.02G0016750-3D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2D:42837256:42839555:1 gene:Sspon.02G0016750-3D transcript:Sspon.02G0016750-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MANSNLPRRIIKETQRLLSEPAPGISASPSEENMRYFNVMILGPSQSPYEGGVFKLELFLPEEYPMAPPKLGRICLDILKDKWSPALQIRTVLLSTTLGAA >Sspon.01G0010800-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:32533383:32533796:1 gene:Sspon.01G0010800-2D transcript:Sspon.01G0010800-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MERPELELDLDLSLLHRSAPEEPPGFFLCTYCGRKFYTSQALGGHQNAHKYERTLAKRHREMAAAAMRAHRAASSVDPDSVPGGAAGAGSVVAGAEPEAAKNARHADPAPWQRGNVVERADDLDLSLRL >Sspon.06G0006110-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:20758745:20760248:1 gene:Sspon.06G0006110-1A transcript:Sspon.06G0006110-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:HMG-Y-related protein A [Source:Projected from Arabidopsis thaliana (AT1G14900) UniProtKB/Swiss-Prot;Acc:Q43386] MATEEVAKPSPIPPYPEMILAAIEGLGDKNGSNKSAISKYIEGKYGELPPAHASLLTAHLARMKESGELIFMKNNYFRADAPDAPPKRGRGRPPKSRDPNAPPPPPKSPASSAGTGRGRGRPPKAKNPLEAAVKQATAGMPKARGRPPKKAKTTEDGASPAPKPAAPAPPTPAPAGDGSAPVKRGRGRPPKVRPAVPSETAAA >Sspon.07G0027190-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7B:58968585:58970548:-1 gene:Sspon.07G0027190-1B transcript:Sspon.07G0027190-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding PSRCLPRRPQPPTKKMPRKTVGKGRRVHPTRLSHTNTTTSTTIAAPPAPAHHHHPSRPRRGGSCLDARRRSRLLPAARRLLPCPHRCLHTSEMHPMTLVNAPRVYANAASGMAVDDDCKRRFLELKAKRTHRFIIYKIDEKKKMVVVEQVGEPVLNYDDFAASLPANECRYAIFDYDFVTEENCQKSKIFFIAWSPDTARV >Sspon.05G0006630-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:14334125:14336120:-1 gene:Sspon.05G0006630-2B transcript:Sspon.05G0006630-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNRFLFVCTRLRTGINGFVLFQGCCCHRREQRNWAGSMQAASLRGVMVILTARDEKKGSEAVDMLHGSGLPDVQFHRLDVSDPTDTARLAEFIKEKFGRLDILINNAGVIGASAEIDRTSIKEVLVGKNAMERLHWLLQHSTESFEEARECLKINYFGTKYVTEALLPILLSSSDGRLINVSSNYGLLQYFSGEDLKQELNDIDNLTVERLDEMSELFLKDYKSGQLKSHGWPADSEYLAYKVSKALTNGYTRILAKALPKLRINSVHPGYCKTDINFDTGEYTAEDGASCIVAVALLPEGGPTGVFFFRTEEAPFG >Sspon.01G0006410-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:16962373:16965719:-1 gene:Sspon.01G0006410-4D transcript:Sspon.01G0006410-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VMAADHGEAVGRCILVGLHMDAVGRDLLRWALHQESRRGDRIVAVHIYRKSDKTNTLKLIRTLDDYLAEYEALCNQKQVVLVGRVTPGSSIQKELVKEAKLCAAMVVVLGANKKYSFRGSSCLAKYCAKKLPPTTTVVAIQDGKPVFVREAPKPPPGAEPKPVLRTVLHPSVGLEPKVIIPNPNRSARSMDFDARSCGHGAAAAPATKSFDDATKGDGSVTLEQRLGWPLLRPAHAAAAAAAPVQTPSAKNQEPRKQSVVHWVMSLPRRSAPSESAESHAGAGLESELKAMLGGSGARCRWFRYEELYDSANHFAAGFSSRDSSSPRHQPLSWLVAENLIGNGGNSRVYRGSLACGQLVAIKLSKASAQASKDFLREVDIITKLQHPRIVPLIGVCVEGRNLISVYSYLPRGSLEDNLPGERSKPALSWENRYRAALGIAVALGYLHSGSSRPVIHRDVKSSNILLAEEFEPQLSDFGLAIWAPTNPTSLTHSDVVGTFGYRLPPSLFLGGVVLYPVFCGSSPNHQFRYLAPEYFMYGKVTDRVDVYAFGVVLLELLSGRKPISSDGSSPKGQESLVMQATPVLSSGDISDLLDPRLDVKHDEVEVRRMASAASLCLRRSARLRPPISQILSILRGESTASIADQDATEPDCLDDEAYPAANVRSHLDLALLDVEDSESISSTEHSSGLSPLEEYLRERWSRSSSFD >Sspon.01G0023690-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:85199913:85205840:1 gene:Sspon.01G0023690-1A transcript:Sspon.01G0023690-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQCLDGVKHLLGVLLKCCDIDLKQPKGLEDPEVLARETVFSVSEVEALYELFKKISSAVIDDGLINKEEFQLALFKTSKKESLFADRVFDLFDTKHNGILGFEEFARALSVFHPNAPLDEKIEFSFQLYDLKQQGFIERQEVKQMVVATLAESGMNLSDEVIESIIDKTFEEADTKHDGKIDKEEWRNLVVRHPSLLKNMTLQYLKDITTTFPSFVFHSQVDDT >Sspon.02G0048990-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:31694324:31694785:1 gene:Sspon.02G0048990-1C transcript:Sspon.02G0048990-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding WRSRRASCAASRSTSPPRSPAPSAQFPRPPPRRPPRSPTASCASSPSIPTGRAARSSASRGRHSSARSLTRGSSSRPPTASRRSTRAPPSARSTSRRSGSTSCRHRPTRSGTCSRARPGTASSTSTRAWAARSSSRRSCRGWSLLSPSLSRGTS >Sspon.02G0012670-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:27440890:27442450:-1 gene:Sspon.02G0012670-3D transcript:Sspon.02G0012670-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGDRSEVQRLYDACGAVFSPRRGGGLPTLTQIRWLQDILDGMKAADVGIDAGAGDGERSSSSSSDDERSPRGRRFLSARAFTRITYVHIHECDDFSVRAPVFLLQKMKMACLSDGFGPAPRPGGAGVLQIGVFCFPAGATLPLHDHPHMAVLSKLLYGSMRVSSYDWVTAPCSGPRKGGLAKVVAVDELREAPCKASVLFPRSGGNIHTLTAVTPCALLDVLAPPYADDLGRPSTYFCDTPIPALPGFAVLEEARLPEDFRVVGAPYVGPELAVDMDLYDDD >Sspon.07G0009990-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7A:29307214:29307591:-1 gene:Sspon.07G0009990-1A transcript:Sspon.07G0009990-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAWEKSIINVHTTTLEVEEPRLPMVATTGKSPVARQVPPSAVARGMGTSYKTYYQCQRHLQKTFSTDVASPEVEAQAEAVNSPAIHTLMWPLLRWRPRLKLPHNPEWSPIHPQDNSEDPEMII >Sspon.02G0053460-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2C:105238420:105238599:1 gene:Sspon.02G0053460-1C transcript:Sspon.02G0053460-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQPFPTRIYTHRIMDSWIGRGRDERKMERDEMRELPGPLAPPSLRETREGPHVGPMMD >Sspon.07G0034130-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:69022344:69023047:1 gene:Sspon.07G0034130-1C transcript:Sspon.07G0034130-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPYAENVFQCEVDDCGHFYHPKCVAKLLYPDSEDKATLFEVQVAVAREKFTCPMHECIVCKGGENKNDRNMQFAICRRCPTTYHRMCLPSNIPFETMEGPNGYMQRAWDAFEGPDGQEASHRKKVENSQVGSHHLS >Sspon.01G0041640-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:75346943:75349922:-1 gene:Sspon.01G0041640-2D transcript:Sspon.01G0041640-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVPLVVLSCFLLVLPSATAIGKVCGNAGNYTANGTYQSNLAFLAATLPSNTSSSPQLFATATAGQAPGDVVYALALCRGDLTSNLTGCSACVNGSFQYAQRMCPNAKAASVYDDDCLVGYSSDNILVPAYNVTRDMSTLFNYWNNAATTPGGNATQVAADVKDLLTQTAQQAAARPSRFVTAYMDASSTAIRTLYSLAQCTPDLSAGDCMACFQQILGTLNATTPVAGRVLLLRCNFRVENIMFFDGAQMTQITPSSGAPAPPLPAPTTNKGSGVKPWVIAVSVAPPVALVAFCFILYCRGSRLRQKHTRNNLKGDELGWEMEAELSEFSVFDFRQILEATNNFSEENKLGEGGFGPVYKGQFPDGTEIAVKRLASHSGQGFVEFKNEVQLIAKLQHSNLVRLFGCCSQGEEKILVYEYLPNKSLDFFIFVYCFVEWLSVILTFNGFIDENRKSLLDWNKRLAIIEGIAEGLLYLHKHSRLRVIHRDLKPSNILLDSEMNPKISDFGLAKIFSSNNNEGSTTRRVVGTYGYMAPEYASEGLFSIKSDVFSFGVLILEILSGKRNSGSHDCGDFINILGYAWQLYEEGRWRELIDSSLVPMHHSTEIMRCMNIGLLCVQENAADRPTMLDVVTMLSNKAKILAKPNHPAYFNVRVGNEEDSTTGTVSRSMNEMTISVTT >Sspon.01G0019130-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:77933902:77939976:-1 gene:Sspon.01G0019130-1P transcript:Sspon.01G0019130-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFQVGGQGARPTFFEMSAAQQLPASLRSALTYSLGVFALRRPLLHKVLDYEDEFFALLMGVLESHSLRTTDGSFSESLYGLRRRPVKVSVKRKGPGTESSDKVYDSALRKRQKILSVVFLVVLPYFKSKLQSIYNKEREARLQATLWGQDDVRFDEAGFVLDQEQTSQAQTEPTTGEVSNLTRFKKNFVSLIGVCYPWIHATNEGFSFAYQLLYLLDATGFYSPALHVLGLHVCRATGQELMESSSRISRIRNRELERLRGPPWFKAVQQVFLSCVYTTLDYAQTGLIAAVFFFKMMEWWYQSAEERMSAPTVYPPPLLLQLRRLPKTGFPCHLTGRSAPCAARSALTPLFFLFLVLFFATAAYSNLSLRWVFYRSSMSKPNVLSITNLCDIVLSCSIKGALSH >Sspon.04G0011480-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:35135474:35137839:-1 gene:Sspon.04G0011480-3C transcript:Sspon.04G0011480-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVANYRTGLGRTDVMRANPYNAVIGLGHAGGKVTMWKPTSVKPLVTMLCHHGPVTAVAFDRGGHLMATAGVDRKIKIWDLRKYEVVHSYPQRAQSLDFSQKGLLACSNGSQVEIYRDFGGHDYKLYMKHKIMKGYQVGKVLFRPYEDILGIGHSMGFSSILVPGSGEPNFDTFVDNPMETTKQKREKEVHALLDKLPPETIMLNPNMIATVRAPKKKEKKTKKEIEEEMEDAIEAAKNIERKKKTKGRSKPSKRAKKKEEDVFKAKRPFLEQSEEINGRPDKKQRIGEEVELPKALQRFAKKPQS >Sspon.04G0002760-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:9617108:9618790:-1 gene:Sspon.04G0002760-2D transcript:Sspon.04G0002760-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CDK inhibitor (CKI), Seed developmen [Source: Projected from Oryza sativa (Os02g0762400)] MGKYMRSKCRGAAGEEVAAVEVTQVVGVRTRSRSAAATGGGVAKVAPRRKKALAPANVAAGEPAAVGAGGDGGSCYIHLRSRMLFMAPPQPQPSLPTPAEAAGGGQQGAALAAGLSRCSSTASSVDVGGHQRRSHACRSDAAEVGGDHAPDVSASNSGSGPDRERRETTPSSRAHGELSDLESDLAGHKTTGRSLPAATSAAQPIVPPAQEIEEFFAAAEAAQAKRFASKYNFDFVHGVPLDAGRFEWTPVVSI >Sspon.05G0038850-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5D:55312853:55313160:-1 gene:Sspon.05G0038850-1D transcript:Sspon.05G0038850-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPLMLPSDDCQAPPHAIGAPKNVRSPHCGLGIFPCPDASCLGCDSAKGGVTGNSSWLCNGTVAWCSAPIRPRMLPWLWG >Sspon.04G0012230-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:40764746:40768764:1 gene:Sspon.04G0012230-4D transcript:Sspon.04G0012230-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPTPVASAEEAAAMRRRLRRLVAAVAAGSADAEAFDEAAEALAKLRDAELGPRKDNRAGDGGRNKRRTEAAVPEHFLCPISSEIMRDPVVLASGQTYDRRFIQEWLSAGNRTCPQTQQVLSNTIIIPTTL >Sspon.04G0000400-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:2459602:2464945:1 gene:Sspon.04G0000400-1A transcript:Sspon.04G0000400-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAAAAAANGGAAAAAAAAAAATGAGSPCGACKFLRRRCVPECVFAPYFSSDQGAARFAAIHKVFGASNASKLLSHLPVADRCEAVVTITYEAQARLRDPVYGCVAQIFALQQQVAILQAQLMQAKAQLACGVQGAAHSPASHHHQWPDSASISALLRQDTAYSARRPGGALDDCFMPELMAGFRDDVAAAAQHCAGKVDAGELQYLAQAMMRSPNYSLHSSAGILVKGLLAAAFHGGAKEELKVIEVQMRLERIKVRTSR >Sspon.05G0016040-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:58615968:58618521:-1 gene:Sspon.05G0016040-1P transcript:Sspon.05G0016040-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVGKVIKCRAAVAWEAGKPLSIEEVEVAPPQAMEVRVKILFTSLCHTDVYFWEAKTPVFPRIFGHEAGGIVESVGEGVTDLAPGDHVLPVFTGECKECAHCKSAESNMCDLLRINTDRGVMIGDGKSRFSINGKPIYHFVGTSTFSEYTVMHVGCVAKINPEAPLDKVCVLSCGISTGLGASINVAKPPKGSTVAIFGLGAVGLAAAEGARIAGASRIIGVDLNANRFEEAKKFGCTEFVNPTDHNKPVQEVLAEMTNGGVDRSVECTGNINAMIQAFECVHDGWGVAVLVGVPHKDAEFKTHPMNFLNERTLKGTFFGNYKPRTDLPNVVELYMKKELEVEKFITHSVPFTEINKAFDLMAKGEGIGCIIRMEN >Sspon.05G0014130-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:5A:51288958:51289644:-1 gene:Sspon.05G0014130-1A transcript:Sspon.05G0014130-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLLLPFLLLLPWPYVQAQQNISLGSSLTPQGPSSFWLSPSGDFAFGFLSTEGNASSYLLAVWFSKISDKTVAWYAKTSGGEQSPIRVSSGSRLQLTSGGTLSLVDPTNTEVWTPQSVGAAAYASMLDSGKFVLAAADGSIQWGTFNDPADTILPTQVLTAPKMLRSRIIATDYSNGRFLLDLQDTGVALYFVAVPSGNQYVSYWSTVGNTTKLAFDPMGRVYIALC >Sspon.06G0015190-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:64313906:64320251:1 gene:Sspon.06G0015190-3D transcript:Sspon.06G0015190-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARIKKIMQADEDVGKIALAVPVLVSRALELFLQDLIDRTYEITLQSGAKTLNSFHLKQCVKRYSSFDFLTEVVNKVPDLGGADSCGDERGLPRRRKSNGSDPENDESRSSKMAIRNANISPRGRGRGRGRGRGRPPTKRKEVGYVQFEDESSMFAEQGEPLPGEETVPEINNGNEIMPQSTQPPVEAPPTAPAQETSSKVEEANTDHQSDWPMPDAIGNIGVGPSGFGHLTVQVDEDEDYDNEDYGKPTSLPSQSKLLQAVAD >Sspon.05G0012280-3D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5D:39852579:39855813:-1 gene:Sspon.05G0012280-3D transcript:Sspon.05G0012280-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSLEVDNPGGGGGESANRLSLKRHDSLFGDAEKVSGGKYHGSEGSWARTLHLAFQSVGIIYGDIGTSPLYVYSSTFPDGIKYNDDLLGVLSLIIYTLIVIPMLKYVFIVLYANDNGDGGTFALYSLISRYAKIRLIPNQQAEDAMVSNYSIEAPNSQLRRAQWFKQKLESSKAAKIVLFTLTILGTSMVMGDGTLTPAISAEVVWISVAILFLLFSVQRFGTDKVGYTFAPVISVWFLLIAGIGLYNLVIHDIGVLRAFNPWYIVQYFKRNGKEGWVSLGGIILCVTGTEGMFADLGHFNIRAVQISFNGILFPSVTLCYIGQAAYLRKFPENVGDTFYRSIPAPLFWPTFIVAILAAIIASQAMLSGAFAILSKALSLGCLPRVQVIHTSKKYEGQVYIPEVNFLMGLASIIVTIAFRTTTSIGNAYGICVVTTFSITTHLMTVVMLVIWKKHIVYVLLFYVVFGFTELIYLSSILSKFIQGGYLPFCFALVLMTLMATWHYVHVKRYWYELDHIVPTDQMTTLLEKNDVRRIPGVGLLYTELVQGIPPVFPRLIKKIPSVHSIFLFMSIKHLPIPRVVPAERFLFRQVGPREQRMFRCVARYGYSDALEEPKEFAVFLVDRLKMFIQEEIAFAQNEAAENEDEAAAADQQAPARPRPSTSSVVHSEEAIQSRVSTHSGRITFHANQTAEEEKQLIDREVERGVVYLMGEANVSAGPKSSVLKKIVVNYIYTFLRKNLTEGHKALAIPKDQLLKVGITYEI >Sspon.06G0011010-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6A:59669255:59669815:1 gene:Sspon.06G0011010-1A transcript:Sspon.06G0011010-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFPSVCYCVILPQPLILVLQLLDFLRHAVLLCLASLGLAAPPAADDHPAYYAAPPAYYAAPPAPADLWALQPSSSSSSLLLQAAAVAAPPHLLAPPTPAAIKARLPAVRYADLLRSRRASAPSAPAVCAVCLGALEARHRVRELGNCAHAFHKTCIDKWVDKGQATCPLCRALLLPDPCSDGDDDG >Sspon.07G0022210-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7C:5609843:5610115:1 gene:Sspon.07G0022210-2C transcript:Sspon.07G0022210-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVSFTSTQALLNALDAACSVHMVDLDVGFSGRWPPTPSTRVAGAATKASNGERPALVDGRPSVGPEKIRGWYSVERRCPWVTKRLRVDN >Sspon.02G0017670-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:47462421:47464183:-1 gene:Sspon.02G0017670-1T transcript:Sspon.02G0017670-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKQKIVLKLPLDDERKRRKAFKAAVGMNGVTSATMEGDKIIIIGNGVDPIALTTMLRRSLGYAELLSVSSGDDKKKGDGYGYGSGGGMMYGGGGGMGYGGGFGGGKEGKEGKEGKESGSGGGGKGSSGHAGYGGHYQAVPPVSYPAYQQYNAMPSYPAVYSYPAAYPQQEQDPGCSIM >Sspon.01G0020500-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:78230467:78234720:-1 gene:Sspon.01G0020500-2C transcript:Sspon.01G0020500-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AEARASFLVPSLTTPPPAASRRVPPAENRSRARFLRIFCVASKEASPSPPEQQGCAAMEKHNSNTQFAPLRETPFALRGALGSSSSYLEQARGYTSSPLAALRPKMSTPGNRLLHTSRPLSSPVANRPLSPHLPLKKPQFSASFSISHRIFGVALGVAIISVPLATKFSLIIRDRHELELQKECTKDVKHV >Sspon.01G0061560-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1D:94704111:94704503:1 gene:Sspon.01G0061560-1D transcript:Sspon.01G0061560-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding HTLRNVTLLLGRGTRAVQARRKGERHGNQELPHARSRSLPGRSALMRARRKSSLSSPTPLKTSDSLTMPASIRFLRRSGRGVAADATRSGEPEWSKRRRGSVGGVGVVWRQKWCAAARKVGRVSDVVKPR >Sspon.02G0021280-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:72699988:72702145:-1 gene:Sspon.02G0021280-2C transcript:Sspon.02G0021280-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASENQAVEARMDTSVRKIGPTGNKIRIRLPLPLRKRLAEGLQTMSTGLPEDTKKHAAKEVPEYTNDTTENTTFIDTELKIEKVSTKPPSIKLSTDGNLKTMSKDRLCEQANSNSLCKTMSKDRVCEEANFIVPDLNLTTTGGMQDNTESICPVRKGSCEEGHTDIMSNGLPPESSKTSKKEACVGAMDSTPSMELSITRVQGEVEKNDSITKPCEAASENILSKKLLYETNSNTSRKRVTEEAKINNPSKNLNTSAGKCGEANDCFPIRRSADQSNDKKFSKKMHTSAVQATDTSQNTSGLKLPTSVGLAVEQSTSTAFLEATKVYKEFEEKVKRTVYLDNLSRLATDAVITTALNQFGNVKSVSFLTNYTVPFDIPQSALVEMETAKDAESVVNILDEFPFMLSGMPRPVRAKHATAEMFNDRPRKPGRKLEFHWVGPTDPDCHNVRKFKLMSKRHELENLALIKYELQEEALLAKHQQDNLNCHYRKLETVDSVIMNGGLNRLTHIYNLRFDEMY >Sspon.04G0029860-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:77583516:77586746:-1 gene:Sspon.04G0029860-2C transcript:Sspon.04G0029860-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTAAAMLLLALCATVLSAAAAQTQPCAAGDLAALRGFSAGLDAAVDGWPTAANASDDACCDWPGVTCGEAAEGAAVVVGLALPNRTLRGQVSASLAGLAALRVLNLSGNALRGALPAGLLRLRSLEVLDVSTNALAGALLAVGGPTDLPALHVFNVSYNAFNGTHPVLAGARNLTAYDVSGNGFAGAVDAAALCAASPALRVLRLSMNRLSGAFPAGFGQCRSLVELSLDGNGIDGVLPDDLFGVTSLQFLSLHTNSISGGLSPLLRNLSSLVRLDFSFNALSEPLPDVFDALTGLQELTAPSNRLSGELPATLSRCRRLRVLNLRNNSFVGDIGLDFRALRNLVYLDLGANGFTGPIPASLPECRGMAALNLGRNKLTGEIPASFANFSSLSFLSLTGNSFSNVSSALRTLQGLPNLTSLVLTRNFHGGEEMPSDDAGIAGFPSIQVLVIANCELHGAMPSWIADLRKLRVLDLSWNRLAGPIPPWLGQFDRLFYLDISNNSLQGEIPGSLTRMPGLIAGGAHGDGDDDEAQVHDFPFFMRRNTSVQGRQYNQVDSFPPSLVLGHNNLTGGVPAALGALTRVHIVDLSWNRLSGPIPPDLSGMTSLESLDLSNNALSGAIPASLTQLSFLSHFDVSYNNLSGEVPVGGQFSTFSRGDFQGNPLLCGIHVARCAWKDEPPRAADGGGGGKQERSTSAGVVAAISVATALLVAVAVAVTWRVWSKRQEDNARVAADDDDSGSLESAAKSTVVLLFPAGEEEDSSGDSGERTMTLEDVMKATRNFDASRIVGCGGFGMVSSVATYRGDVYSLGVVLLELVTGRRPVDMARPVGGGRDVTSWAVRMRREARGDEVIDASVGVGERRHREEAARVLDVACACVSDNPKSRPTAQQVVEWLDAIAVSASPAPGATDTEHT >Sspon.02G0026250-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:66040577:66042696:1 gene:Sspon.02G0026250-2B transcript:Sspon.02G0026250-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPSRHRLLTVSVVLVFLLPAPGVHPQAPNICGTKANGRYACADCSTSAATSDRGPTFEANLLRFRDSLKDMPASNASFLNATFAAAAGDAPEDTVYGLATCLADAEASDCVACLAAAAGELPGTRCASRRDMVLWYPQCLVRYDNASFFGVTDTTKGRWFHVPNPSNFSDPSGLAAARERLNGRMFLAAAESPRRFAFDNERVTANATLHGLAQCTEDLTAKECRRCLAGNVVRVRVCCADMDGVRINAASCYLRYEFMAIVPGTPPSMAPLVEPPPPEAPSGGAVSSSRTRRKTTIYIVAGALGSAALLCFLVAGGFWLWKKRRRGSLLQLQLQLQHQYPRCYSYAQVKRMTKSFAHKLGQGGNGTVYKGRLPEPDGREVAVKMLKEAKVDGEEFVNEVASISRTSHVNVVTLLGFCLEGSGSRSKRGLVYEYMPNGSLERYTVGDGGGRWCLRWEQLFDVAVGIARGLEYLHRGCNAHIVHFDIKPHNILLDGHLRPNISDFGLAKLCTQKESTIAVSIAGARGTAGYIAPEVFSRHAGAAVTSKSDVYSYGMMVLEMVGATRGTGSGGVSGDDSGTGTASTGATRYFPEWLYQDLDRFCAEAAACGREEADAEATVEVVRKLVIVGLWCIRLSPQDRPTMSRVVEMLEKTSMADLQLPP >Sspon.03G0024470-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:74489300:74496095:-1 gene:Sspon.03G0024470-1A transcript:Sspon.03G0024470-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRSSSSSSSNPFHSLASAFPFLSSPSPSPCPPASASPSPSPAAPHLAVPLLLPVSSASASASSESRRGPQPLPGARMAGASAAGRGNTAGGGAGPAFVGQVFTMLDPSGNGLMAVTTRFELPRFLTNRTPMWFKRMLSPLKKSENSPVFRFFMDLNDAVSYVKRLNVPSGMVGACRLDVAYEHFKVQELMNEIGPAGIPLNVVTKAAEIQFLDVVDKVLLGNKWLRKASGIQPKFPYVVDSFEERTAVSIANIATTSSLTASNDENCCQNNQQTQSSEPSVDNSSYGNHNNEDHNHFPFSNLLPNIWPGHDRKFKAQESDNKFSRYDADMKSNLQANPLLPKITMVGISMGDGAQMSKANLKKTMEDLTKELEQTSEENMFSDEKDPLFVANVGDYSRITKISSA >Sspon.02G0040980-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2B:73520772:73521224:-1 gene:Sspon.02G0040980-1B transcript:Sspon.02G0040980-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MACSTSRLVLVLSAAAAVLLAVLFGGAATATAAAGARAPSYCVPGKAIPYSPLSGCVWYVASRSCDVVVAMLPNRVVLKETCCHQLQDVPAECRCRALRVMMETPLVVGADPGAQRRCRAAQARFAPAVVTEAECRLRTVHGRPFCNALDA >Sspon.06G0001670-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:2896834:2909006:1 gene:Sspon.06G0001670-3D transcript:Sspon.06G0001670-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALHLKPLRSNAHSCFSSCSRATPARGPAGPLFFPLASSSPSSLRRPSSVVQLRRSRNRGNKRKTTIGCVASSSSARSEAPSSNSNTSAAASAMEAAAVRVTAVATIKVTVGGFLNSLRPSRAIDDVKDLIGRSLYLELVSSQLDAKTGQEKPRLRSYAHKVADNDADVVTYEADFDVPPGFGEVGAVLVTNEHHTEMFLEDVNLYSSSAAAGSDSDSDSDSDNTDADGARAAPLLAIRCKSWVVPKSADANGDGKRVFFANKPYLPGQTPPGLRRYRNKDLEQKRGDGRGERKASDRIYDYDTYNDLGNPDSDDGKKARPVLGGSAQFPYPRRCRTGRPMSATDPKTETRSGDNYVPRDEAFSEVKNLQFSVTTLRSVLHAAVPAVQSTLIDPNLGFPSFFVIDKLFEDGVELPKAEQLGFLRSVVPRLLQVLRDGPGDQVLLFDTPANVQKDKFAWLRDEEFARETLAGMNPYAIELVREFPLKSKLDPAVYGPAESAITAEVLERQMGRVMTVAEAVSQKRLFMLDYHDLFLPYVHKIRAQANTTMYGSRTVFFLCDDGTLRLLAIELTRPASPTQPQWRRVFTSSTDTTESWLWRMAKSHVRAHDSGHHELVSHWLRTHCAVEPYIIAANRQLSEMHPVYQLLHPHFRYTMRINALARSALINAGGIIELSFSPQKYAMELSSAAYDKLWRFDTEALPADLVRRGMAVEDPGAEHGLRLTVQDYPFANDGLLVWDAIKGWVTAYVARFYTDAGTIAGDVELQAFWTDVRTVGHGDKKDAPGWPALDSPASLAHALTTIIWVASAHHAAVNFGQYDFGGYFPNRPSIARTNMPVEEPVDPAALAAFLDNPDQALRECFPSQVQATLVMAVLDLLSTHSPDEEYLGGMETAPWNDDATVQAAYGKFNARLKEIEGIIDGRNKDRRLKNRCGAGIVPYQLMKPFSQPGVTGMGIPNSTSI >Sspon.03G0003200-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:23535416:23539989:1 gene:Sspon.03G0003200-2C transcript:Sspon.03G0003200-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ETRVKSMIKLIEINADTFAKKAELYFKNRPELVNLVEETYRSYQALADRCDRISGELHKSNHTIATAFPEQVQLSLQNDNGDGFPRGITGINISKGTSPAPKRTQTHKRITSQMSKEKAQEEIERLQKGILVLQTEKEFFKSSYESSLDKYLDIERRATEMQEEVWSLQETFNTSAVIEDNEARALMAARALICCEDTLINLQDQQKRSSQEAKTEFQRVIDAKMKLKALKSECGHPDNQKELSDQQYVVTSSAHPSTENNDSIPQDCRLQLQEVCQKIKLQFESCSEASVVHLAGMVDEFVDKVIALEIAATSQNAQINRMRAEADELHKRLNSLEEEKASLVGDSSKLSERLRQVEEVLQTIQQIEKSVTDFVEKVDAPLSEETVDSSEGSKGIASEEDADKPGTFSDPFQADSGTAGKSMDEDSLDSFDISSEAQEEDADGTLGWQQVVLNGLEGNDKILLRDYTSILRNYKDTKKQLSEIEKKNQEYHLEAMSEMKELKSANVTKDDEIRSLRRMLSSLQSKINTYPIQCAEKSEESSKANNDPCLEDKEIGEIEEYMKHCRNEEPHVSSLEEKFREEISKVLEESLDFWLRFSTSYHYIQKFQKAFDKAKTELDRLTDAKAQEGLDTGSAGQSVRKQESAALEKKLRDLSTDLQVWLEKNVLLQGELESRFSLLCSIQEEISKVTTLDRTDEAHFTPFQAAKFQGEVSNMKQENNKVTKELQAGLDHVRGLQVEIGRTVLKLRDNIELSIGRSNRAQKNFRSLSAKAGVTMSFCMQGINKVAMLEISVRKLRHVCAPVFTVTGSTSANIFK >Sspon.03G0042510-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3C:69845331:69847208:1 gene:Sspon.03G0042510-1C transcript:Sspon.03G0042510-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVYLRTSDVVRAPRFSIFRAGLHLFLLGQWPSSTTAPPSLPAIHRTRSFHLLPQKALSSGDLDYRIVVAPPPQHPWQQLAMALPAPSAPPQVTGRRRCGVRAVRMGCFGDPEMKRRRRVAGYKAYAVKGKVKESLRRGLRWFKR >Sspon.03G0021420-1P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3B:88085522:88087300:-1 gene:Sspon.03G0021420-1P transcript:Sspon.03G0021420-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQVFVDIVNSVMRYCPDKKSSFRFPGAQPRANFKDVFNIVFLSLAFLVCIYESPRDLRPGCLDSLRTQLTGSKCRDAAKNLVKMLGANLEDQWMQTMNLAVTNWIVELRSANQSSGVSSPLFSYALSASGLWKVQLYCPIIAMGMEEPAEATQDERLLFSLIYQQVECVIQLAYRTVRRDNWIDVEVKVDNIRCDVDSLVSETLMAERGYGSEEKHFPSRVMLQITPMQQSDVMSVSVGKSNDNPTHEFGIEKGFEGSFDPPNSFGLKASITESLTLAMKPWKFEQSVHGNTATLNWFLHDGVNGREVCSSKPSKLSLLQPRAWFRDRYSNAYRPFTKQGGVIFARDEYGDSVWWKICGATLGKTMNWEIRGWIWLTYWPNKQKTFHSETRWLEFRECLQLPLTKFP >Sspon.06G0005060-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:16005569:16010061:1 gene:Sspon.06G0005060-3C transcript:Sspon.06G0005060-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding IFFLPYIYLTNPQINFVLSIVTALYEMRMQLNDDGGVLKDWKDNQMAPCNWPYVTCQDNKVIAITLSSTDLGGILSPSIAKITTLQLLLLDGNVISGRIPEELGNLSSLTTLNLGRNLFNGSIPDSLGRLLKLQNLDLSENTLVGTIPISLSNLSSLNNIYTGNHLNCSRQSTPCEKRTAKTGPKAKSSVWILVVVSTLLGVTLCIIFCFGPIMFRSLSKGQIWNFVLLSKQRVRDDRSNVIVHQDVELVWGTEGNNPDFTFFNYSQVLDATNDFSVENKLGQGGFGPVYKGRLPDGLEIAVKRLASHSMQGFTEFRNEVQLIAKLQHRNLVRLLGYCSHGEEKMLVYEYLKNKSLDFFIFDEKRRTLLNWDKRLMIIEGIAQGLLYLHKHSRLRVIHRDVKASNILLDYEMNPKISDFGMAKMFSSNDNEGNTERVVGTYGYMAPEYASEGLFSAKSDVFSFGVLILEIITGERNSGFYYHGNFLNLLGYAWQLWKEQRWPELVDISLATNGCTLEMMRCINIALLCVQENAIDRPTTSDVVAMLGSENMALPEPKHPGYFHARVAKEEASTIAETYSINDATMSSTHGR >Sspon.06G0008060-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:42279210:42283394:-1 gene:Sspon.06G0008060-1P transcript:Sspon.06G0008060-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSPSFRRHPLASSVDLVRWLPSSSTSPSGRLLAAAVHDPTSAPAASSIHLLPLSEPGSPLASLPLPSRATALRCSPAALAAATSSGSLHFLPSSFDSDAAVSVPGGAGFHVGPVRGLDCGGEEWVTAGEDGRVHVVGGAADGRVVARRVWDGKGMSGYEAARWASPVEFATGGAGCGVQWWDRRKGDAVVAQCNGIWGRGIVTGMVHSIDIHPSRKHICVVGGSSGTIFAWDLRWPQQPIPLSGVDLNGTAGPVCESEVWEVLFDTYTQSSDIISSASTRILPVMMCSEDGILAVVEQDERPLELLAEACAINSFDIDPQNPSDVVCALEWESIGVLTR >Sspon.06G0000190-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:855308:863797:1 gene:Sspon.06G0000190-1A transcript:Sspon.06G0000190-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGDASTIDGGPLLGGRQASHEPDTPPVRTAGDAARMVWDESKRLWGIGLPIAVSMLSMYAISSITQMFIGHLGNLPLAAASIGLSVFATFALGFLLGMGSALETLCGQAFGAGQVDMLGVYLQRSWLILVAACVLMTPLFVFAEPLLLLIGQDADVARESARFATYIVPSIYAMAINFGASKFLQAQSKVTVPAYIGFGALLANVLLNYLFVYVLGWGLPGGAAAYDVGHWIMALGQVAYILGWCKDGWRGWSVAAFHEIWAFVRLSLESAVMLCLEIWYMGMITVLTGDLEDAQIAVDSLGICMNINGWEGMIFIGLNAAISVRVSNELGSGRPRAAWNAVMVVVGEALLIGIVCMVLILVFRDSFSIVFTSDVTLQRAVAKIAGLLGLTMVLNSVQPVVSGVAVGGGWQGLVAYINLGCYYIFGLPLGYLLGYKFNFGVGGIWSGMLCGITLQTIILLVVVWRTDWKAETCSSRAGAVGRCGCDRATYPNKCRGDETGSERTRTRTPTPPHTPCCIASASASTGGAWRTAAMGGDPSTIEGAAPLLGGRQASHEPDTPPVRTAGDAARMVWDESKRLWGIGLPIAVGMLSMYAISSITQMFIGHLGNLPLAAASIGLSVFSTFALGFLLGMGSALETLCGQAFGAGQVAMLGVYLQRSWLILVSACVLMTPLFVFAEPLLLLLGQDADVARESARFSIYIIPSIYAMAINFGASKFLQAQSKVTVPAYIGFGALLANVLLNYLFVYVLGWGLPGAAAAYDVAHWVIALGQMAYIVGWCKDGWRGWSAAAFRDIWAFVRLSLESAVMLCLEIWYMSTITVLTGDLEDAQIAVDSLGICVRVSNELGSGRPRAAWNAVMVVVSEALLIGIVCMALILIFRDSFSIIFTSDATLQRAVAKIAGLLGLTMVLNSVQPVVSGVAVGGGWQGLVAYINLGCYYIFGLPLGYLLGYKFNFGVGGIWSGMLCGVTLQTIILLVVIWRTDWKAEAAQASSRVQKWGGKGPDEVKPLLQ >Sspon.08G0008610-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:59394348:59394608:1 gene:Sspon.08G0008610-4D transcript:Sspon.08G0008610-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGMAAAGGSSSSSSGAAMLCGKEEKVLGVQKAPGSCPYCGGGVAATDVEAKWVLCCLPLCRRTKRRFACTACARRLVTYPAILHD >Sspon.01G0016050-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:48971298:48972707:-1 gene:Sspon.01G0016050-1A transcript:Sspon.01G0016050-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGFISSPVIKPLRILPCTSRSSTTFYALAKTELPKVAFGELLLDPSARPKGEFHLLIWESRLPPYTSTKFTSFQNSRYASRTYFTREQAPSRRCISETASKSISSRVLILFLRAEEQKKTHSSRAPTRALKFEETLTSPLWNSEGLSREPLLFRFYRFYHCCGVLLLSPHPNALPLPHPTKKKPPRLELLTGEEASATTIATREEATASIPPPWPRSLVCLWHGSPPLARGRRRSP >Sspon.08G0028660-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8D:15144719:15145051:1 gene:Sspon.08G0028660-1D transcript:Sspon.08G0028660-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHAPKKTPSITPRSLPSPYPTLGARFKIRPHGDSFTLWLLTAQERKPPSPICIAYWHGREFVLSEIMCLHSSTQSQSRTDW >Sspon.05G0030790-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:10009172:10015534:-1 gene:Sspon.05G0030790-2D transcript:Sspon.05G0030790-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMIPARHMPPMIVRNSAAAYGSSSALSLGQPNLLDSQQQLQLQQALQQQHLLDQIPATTAESGDNMGRGGGRGSDPLGDEFESKSGSENVDGVSVDDQDPNQRPSKKKRYHRHTQHQIQEMEAFFKECPHPDDKQRKELSRELGLEPLQVKFWFQNKRTQMKRRSIMDRELTVIHGVWIDLQNQHERQENSQLRAENEKLRAENMRYKEALSSASCPNCGGPAALGEMSFDEHHLRVENARLREEIDRISTIAAKYVGKPMVSFPVLSNPLAGARPSPLDIGGAAAYGAADIFGGGVAAGGDLLRGAVQSDADKPMIVELAVTAMEELVRMAQLDEPLWNMPGLDGSAETLNEEEYARMFPGGLGPKQYGFKSEASRDSSVVIMTHANLVEILMDVNQYATVFSSIVSRAATLEVLSTGVAGNYNGALQVMSVEFQVPSPLVPTRESYFVRYCKQNADGSWAVVDVSLDSLRPSSVLKCRRRPSGCLIQEMPNGYSKVTWVEHVEVDDRSVHSIYKLLVNSGLAFGARRWVGTLDRQCERLASVMASNIPTSDIGVITSTEGRKSMLKLAERMVMSFCGGVTASAAHQWTTLSGSGAEDVRVMTRKSVDDPGRPPGIVLNAATSFWLPVPPKRWDILSNGGVVQEMAHIANGRDHGNCVSLLRVNTSQSTNSNQSNMLILQESCTDASGSYVIYAPVDVVAMNVVLNGGDPDYVALLPSGFAILPDGPAGSNMQGDGGVGSGGSLLTVAFQILVDSVPTAKLSLGSVATVNSLIACTVERIKAAVSGESNPQ >Sspon.04G0016510-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:68416137:68421698:1 gene:Sspon.04G0016510-3C transcript:Sspon.04G0016510-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASTAAIPTANTNGNHALSSDSHSSQDARRRTAGITRRKALPPILLAKIPNNDLSHTIRGESVLDKSKYSSEARKDVVASAAAERQNKSTTDAVASAVAVRQKKSPTKQEKAKWVTALSVLVKLCLLISATAWMGQVFWRWQSGELSFTTLDMENRLSKVEGFKKTAKMLQLQLDVLDKKLGNDIDKAKRDIAKQFEDKGNKIEKKMRTLEDKTDKLDKSLAELSEMGFLSKNEFEEILSQLKKKKGFGGTDDEISLDDIRLYAKEVVEMEIARHSADGLGMVDYALGSGGAKVVSHSEPFMNGKNYLPGRSIVHTPAQKMLEPSFGQPGECFALKGSSGFVKVKLRTGIIPEAVTLEHVDKSVAYDRSSAPKDFRVRGWYQGSHDDSEKDSNVMAALGEFSYDLDKSNAQTFQLERTADSRVVNMVQLDFSSNHGNLELTCIYRFRVHGSLNTGG >Sspon.01G0031330-3P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:107664498:107666581:1 gene:Sspon.01G0031330-3P transcript:Sspon.01G0031330-3P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LHLRSPPPAGRLHGLVGRGRLLRVRELRVVRRRAAAARPEVPVGPHLRAPGFLSGPIERGFLSGPLDAALMSGPLPGAATSGRMGGAVPALRRSLSHGGRRLRNFTRALLARAESSRTPWISVPPTPRRRGRLWRRLRRAAVGAGEGRRGPRPHRGVRGAGLGVRRHLRRLQRSRRHGLPRLPSLRRRAPRAPRPALGSVRAGGAARCTSDQPTSTTASDHQDQPANRRRARRSRPPRGADDDQRRWKCEWERDCSSLKPPTQRPPRSSSENDHLAVLKALARALRKTEEAYLDVADKMVGEFPELALMGSAF >Sspon.05G0009680-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:19224281:19232686:1 gene:Sspon.05G0009680-3C transcript:Sspon.05G0009680-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CRM-domain containing factor CFM2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G01370) UniProtKB/Swiss-Prot;Acc:Q8L7C2] MLLSFSPHPSPLLLSLPSTSACKPHARLRPVQASASASPSPELLGKSALRRISEKLRSLGYLETDSETPTPAPNKSGDAPSPGEIFVPTPAQLPRHRVGSTLDPSWATGDGEAGSAARQRRRGRGRDASGSASAPPSAAELALPRDELRRLQGIGIRVRKRLKVGKAGITEGIVNGIHERWRNAEVVKLRCEDVWAMNMRRTHEILERKTGGLVIWRSGSIIILYRGTNYKYPYFHYSERVDSFLDKESSELSSSGDEDEEDETSSQRDSSHEESSENPVVACAEQINAGEGKSQTIGCLNQRLSREKDTTHLISSTKRLVFDTNEGNLDIRTGAPNEQHARLQENTHADRPSKFGPRDRSSLVAGVGSPNKFRLQLPGEVKLAEEADKLLDGLGPRFSGWWGYDPLPVDADLLPAIVPGYRRPFRLLPSGVPPKLTDREMTILRRLAHPLPFHYALGRSSNLQGLAASMIKLWERCEVAKIALKRDAHNTDSELITEELKDLTGGTLLSKDKESIVFYRGKDFLPPAVSLAIEKRRKLGSSTIYKPKPDIEESMPTQDDSVLKVSSDVSVHIREEGTSVTENRAKSLNTVAKNVEARLSQAIAEKEKAEKLLEELEKASPLSKAEVRETISEDERYMLRKVGLKMKQFLLLGRRGVFDGTIENMHLHWKYRELVKIICKEHRLEDVEYAARTLEAESGGILVAVEKVSKGHAIIVYRGKNYQRPSKLRPKTLLGKRDALKRSVENQRCKVHVLKLSKNIDYLKDQMNSSYYHKVMPDPSVNSGTLQQQDEEVPEVAPMSSELEVEECASVEMDRALNSTKSGVPVEDMQQNQHSSTVTFSPDSHCEGDSKDVDRLKLDVESASVLPLRATPLSNQERLVLRKQALKMKKRPVLSIGRNNVITGVAKTIKTHFKKHPLAIVNIKNRADGTPIQQLISELEEATGSVLVSRETNKVILYRGWGAEVAQQSSKESSTDEGEKEVISPQLLEAIRLECGLLPGESG >Sspon.02G0041720-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2B:77581274:77583076:-1 gene:Sspon.02G0041720-1B transcript:Sspon.02G0041720-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRAPPAWLKKLKRIIGRRIRSGSSSPEAARQLCDEVLPSIQRRPPPPAVSAAARRWRADHRPSWELERFIRECFRSGDLGPEDALDLFDELLPQARQGSVYALTQLLTTVARAPVSAVPNGPELAVSLFNRMARAGAKKVAPDTATYAILISCCCDAGCLNLGFAALGQIIKTGLRANAITFTPILRTLCAKKRTSDAMNIVLRRMPELCCTPNVFSYNTLLTGFCDEKKFEEAVELIHMMAEDGDDCPPDVVSYTTVIHGFSKEGEVGKAHTLFREMLDRGIPPNVVTCNSIMDGLCKVQAMDKAEEVLRQMFDKHIMPNCTTYTCLLHGYISLGQSKEAVRILKELSRDGQRPDVVTYNMLIDCLCKSGGLAEARENFNSMIQSGENPNVATYGILLHGYATKGNLVEMKELIDLMVRNSIQPNHHIFNIQMSAYNKCGMVNKAMLTFNKMPQQGLVPDIVSYAMIIDGLCKIGRLDDAMSHFCQMSDDGLSPDIIIYSTLIHGFSMYSKWEKAEELFYEMMDRGIRPNVVVFNTMIYKLFKEGKVTEAHKLFDLMPRAGVKPDVVSYSTMIHGQCFQIAWSDLVDLGPTRTINHD >Sspon.03G0012900-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3B:45825716:45827736:1 gene:Sspon.03G0012900-2B transcript:Sspon.03G0012900-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLRLLTTERNHLLSPKPQSPRDAALSSLLRSPRVSCSGSSPRPKAAKMAHGGLERSLSFKNWEATEAAAASRGSGGINGARPGTLALQQQQQSPRRVVSVSPHPEAQAMIEYISPRPRVELDQAATKLQKIYKGLRTRRNLADGAIIAEELWWKTVDSVYLNIKSISFFDEDKQETAASRWSRAGKRIAKVGKGLSKDDKAQKLALQHWLEAIDPRHRYGHNLHLYYDIWSASSSCEPFFYWLDVGNGRDLHHEKCPRNKLNSQLIMYLGPNERAAYEVVVEEGRLLYKQSGDLVSTNEESKWIFVLSTSRSLYVGQKRKGKFQHSSFLSGAATSAAGRLVAKEGVLKAIWPYSGHYLPTEENFREFISFLEDNNVDLANVKRCSVDDDEYPSFKKQEAAAPEEQQAAEEAAPVATEEAAEAVEDQLPAVDIVKEDTAGAVDTEPKVMMASRRPSFKWSTPTGARIGCLQNYPADVQSMALEQVNLSPRVAAVAPSPRLPIPSPRPSPKIRLSPSLHYMGCPTPTGGATSRLAIPSPARRSSPKQHFMGFHTPAVALTLPKHKA >Sspon.05G0022630-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:8202064:8204752:1 gene:Sspon.05G0022630-3D transcript:Sspon.05G0022630-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSAFSEDILADKLAKLNNTQQCIETLSHWCIYHRKNAEQIVQTWDKQFHSSGNEQKVPFLYLANDILQNSKRNGTEFVEEFWKVLPGALKNVSENGDDRGKKVASRLVDIWQERRVFGSRAGGIKDVMLGVAPLPVLDMTKKRSHSSSIRIVKRDSRSVKLRLGIGGTAERIVSALHTVLNEQADEDADLEKCKTSMRHVGKMEKDVDSACSKAEDPRCEVLCTELKDEEANMKKCIEKLKVVETNRAAVVSELKEALQEQESELEKVRTQLQLAEAMVHEASNMQRRLKNEPTIPLPKSASSVEPGKVLSNGQVKEQQKTAAAILADKIAASSNSQQILQSALSKFAAEEAKNSSETRSDKRQKTDQLSQVPSVANAAAFVPMPQVVATTAQQQPQAILVQQAPMQSQSPASQPQYNIYQAPPQQFVPQPGGVMMGMPYNMSTMAPPPPPLPPQMMNLGRPSPSAPQPQMAVMPQTQPPTPPMLQQQMPMNLAPQMQFALQPPGMPPFRHMQPPPGMQYFHPQSQ >Sspon.04G0018890-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:67444765:67446501:-1 gene:Sspon.04G0018890-1A transcript:Sspon.04G0018890-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSSLRSRQEPRRVSNGVIIGAMLLSLCILSIVKARYCATPFGKAEDQLQEQMNSSIRMEPEESSPARTPGEEEEEEEEENGASATAAATTAPAVKKTTPTAVPATAGNRGKVSKGGKGKPTCYMTSKRSERCDASGDIRVDGNRSAIYVSGIDREWKTKPYARYHDPVAMAHVREYTLKPLPEAAAPACTRNHSVPGFLFSNGGFSGNLYHDYTDVLVPLFISTHQFRGRVQFLLSGMKPWWVGKFTPFFRQLTRYDVIDVDNDQEVHCFPRIVVGATFHKDMGVDPRRSPGHVSVVDFKRALRRAFGLEREAASRGGVTGHGKPRLLIISRRGSRRFLNEREMARAAADVGFEVRVAEPDQHTDMATFAALVNSADVMVGVHGAGLTNMVFLPRGAVLIQVVPFGGLEWLTGVTFKDPAADMEVTYMDYNVKLEESSLIDQYPRNHQVLTDPYAVHKQGWDALKTAYLDKQNIRMDLDTFRATLREAMSRLPSP >Sspon.02G0023640-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:83424990:83429520:1 gene:Sspon.02G0023640-3C transcript:Sspon.02G0023640-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEESAKKSKLSWSKSLVRKWFNIRPKAQDFHADFDAGHGMGWWWWIMEAQLFLERSKRKHCCQEKQSRIFAATWNVGGKSPPRGLNLDEWLHTSPPADIYVLGFQEIVPLNAGNVLGTEDNLPAKKWVSLVRRTLNKNPGASGCGGYHTPSPVLDPVVELDADFEGSARRQDNFSFFHRRSFHNLSRSLRMDGDFMFPQPRLDRRFSVCDPVNLGGRPSDFDGNLRCPGSPDEDNIDMEVSDGAQFSPFPHSYSASAPSEQNDDQSNSSSRIIWLGDLNYRIALSYCSAKALVEMHNWKQLLEKDQLRMQQRYGRVFQGWKEGRIYFPPTYKYSFNSDRYAGEGRHPKEKRRTPAWCDRILWYGNGLNQLSYVRGESRFSDHRPVRRTSGDFAADQQKTMAMSSEMYQPMPLTIHFIQL >Sspon.01G0010370-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1B:35109028:35109324:-1 gene:Sspon.01G0010370-2B transcript:Sspon.01G0010370-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding PLPRQSETPSSKKKSGARPSGEAKKSRPVELGEARGPRRLEGGEARKGNTQQQLQEKAKVKRLVRWKCASGCGACCKLDKGPDFPTPDEIFADYQDDLQ >Sspon.01G0028490-3D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:95951741:95953345:1 gene:Sspon.01G0028490-3D transcript:Sspon.01G0028490-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSGSGVCAKRIVVDARHHMLGRLSSIIAKELLNGQKVVVVRCEEICMSGGLVRQKMKYLRFLRKRMNTKPSHGPIHFRAPAKILWRTIRGMIPHKTKRGEAALARLKTYEGVPPPYDKTKRVVIPDALKVLRLQPGHKYCLLGELSKEVGWNYHDTIRELEEKRKEKAKVAYERRKQLAKLRVKAEKTAEEKLGSQLEILAPIKY >Sspon.06G0002840-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:8766368:8771945:-1 gene:Sspon.06G0002840-1A transcript:Sspon.06G0002840-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWDLKAPGAWDLAELEHDQHAAATAGAAAGPSGGHANAGAAGTGTGCRPPPGAGAAPAECSVDLKLGGLGECGEPGAAPPARRERDAAAAAAAAGKAASASPGAAAGAKRPRPGGQHQQGQGQGQGHQQQQCPSCAVEGCKADLSKCRDYHRRHKVCEAHSKTPVVVVAGREMRFCQQCSSYLIKSVCLFRFHLLAEFDADKRSCRKRLDGHNRRRRKPQPDTMASASFITSQQGTRFSPFAPPRLEATSWPGVIKTEENPYYTHQIPLGSSSRQQHFVGSTTPAYAKEGRRFPFLQEGEISFATGVVLEPPACQPLLKTVAVAPPESSSSSAGGGKMFSDGLTRVLDSECALSLLSAPANSSGIDVSRMVRPTEHVPMAQPVVSGLQFGSSSWFSPRPQASTGATTGGGFPSCPAVVEGEHQQLNTVLSPHDNEVNYGGMFHVGGGSGGGEGSSDGGTSSSMPFSWQ >Sspon.02G0004940-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:15693915:15699146:1 gene:Sspon.02G0004940-1A transcript:Sspon.02G0004940-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AQVLTGAAAPSPPDAGLLQGADAAAAGLLPGAAGLQPSLSSGAFLPRSSLPSAYLPSAYLPGASAPAAPAPRAAIPGAGAGLEVSPRLPQSVLNHNCASHLPRPSLLLPPHLEHPPLPRSSPRRQWSTRGLLMGVSRLMGTLNPTSRLPMATSLPSSSRGSTFPAPGWTPARCLLPVPRPSRPRHPFFPRSTPAVTPRPSPLPLPPPEPRPRRGRPACGRPPSCGSTSAKRPMPWLARSPRLSGYSPTRGPGRWAHSSASPGRRASHTAVLWHDPADPLVAQLHYQAGGVQNIRLLVPVVLEPESPSYARWRDLVVLTLRRYALDDHVLLDAPVAAQTPSWIRLDSIVLSWILGTISLDLHDLVRTSPDARRAWLALEGQFLGNAEARALRLDASFRTFVQGDLSVGEFCRRMKSMADSLGDLGWPVEDRILVLNVSGGSVTATPTSGRGSPARGPFPPSWRSVTICHGGAHTGPPAGLHCFPGGLLDGSGCYSAAPVCSTVACSTTVVSPGSPSLRAERRWGGSWWSSSPRWGSRGRPGCTYPGPGTWWTTGGGTMALFPEPVVWAHLHVALPRHRDRLASTGGHAHRSTSCWSSIRRLPCYAAYYAVGSSLRTIRGSTGGRGLGSGGPGSLVRHHGPDTSADNSCSVEFDSSGLTVKDLASRRPLLRCDSTGPLYTLRFPAAPSSSPSVLSAAFVASASSTTWHRRLGHPGRDALIQLSRSSGLPCTRAHDEQLCHACQLGRHVRLPFSSSSSHAARIFDLVHCDLWTSPVISISGYKYYLVVVDDFSHYSWTFPLRAKSDTFTTLLHFFTWVSTQFGLTIKAVQCDNGREFDNSTARDFFLSHGVQLRMSCPYTSSQNGKAERMIRTTNDTVRTLLFQASLPARFWAEGLHTSTYLLNRLPSAACPAPTPHHALFGTPPRYDHLRVFGCACYPNTAATSSHKLDLRSTLCVFLGYSPDHKGYRCFDLTSRRVLISRHVVFDESVFPFSSTTTPPVTDPPDLFPTDPVVQPPSLWSPAGTVSTRSSSGAAPPSPVGADPGPSSPASAPGGSCRLPTPDPVSAPAPAAPSRFAAPVRVYQRRPRPPPPWFRSLLRREHRHHRPVSAGPWCPAVYHPPLLHRHPRHVHPMVTRHAAGTLPPRALEASTGDAVVSPVPSSVRDALLDPHWRRAMEEEYAALLANQTWELVPRPPGANVVTGKWIWTHKRRADGSLERYKARWVLRGFTQRPGVDYDETFSPVVKPATVRTVLSLALARSWPVHQLDVKNAFLHGLLTETVYCSQPAGFVDNTRPDMVCRLNRSLYGLKQAPRAWNHRFAAFLLTLGFMEAKSDTSLFVYHHGADTAYLLLYVDDIVLTASSEHLLRRIIAALQQEFAMKDLVSSITFSVSLLSTVLLGSFFTRGSTLGISWSGLGCLIASPAPPRSTFRASCLRLRDHRWPTPLRTGVLPGPFTSPPLPPGHTRLRPPPPPASSTDLVVYTDADWAGCPDTRRSTSGYAVFLGGNLVSWSSKRQPVVSRSSAEAEYRAVANGVAEAAWLRQLLAELHTPPSRSTLIYCDNVSAVYLSTNPIQHQRTKHVEIDLHFVRDRVAMGEVRVLHVPTTSQFADIFTKGLPSSTFAEFRSSLNITSG >Sspon.06G0001070-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:3659058:3659417:-1 gene:Sspon.06G0001070-1A transcript:Sspon.06G0001070-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRALLDRCLSPSKKARAREPPEGCLAVYVGVARERFVVRTECVNHRLFRALLEEAEEARGPYCYAVDGPLELPCDAGAFARAVEAIEREMAEERTTVGCSGGGIVRAGHSEAHRPGGGVV >Sspon.08G0012690-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:52093085:52095567:1 gene:Sspon.08G0012690-3C transcript:Sspon.08G0012690-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRSAAAQESRSRRGAHAVRLLHRIHHSFLRLLGATAPKTGRRTAKPARKVARPREPESLRAADEKQEQDVEARSPDAAAAAVPAVSASEAAVAGKYWAHRYSLFNLYDRGVRMDAEGWYSATPESIAASQAARAAPGDLVVDAFAGCGGNSIQFAARGCYVVAVEIDPRKVELAAHNARVYGVEDRIEFIVGDFFRLAPFLKADLVFLSPPWGGPSYIQAPVYTLDMLKPKDGYAIFQAAQKIAPKVMMFLPRTVDVTQVEELSWLSCPPLDFEVSFEGTFVFHKTCLISDHSRAKRTTCTTDSKESLPILEDDEITEPIVKTGMSTEAPVKWPVYLPNKIPKVAIVICDDEYFLRKKG >Sspon.04G0033700-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:67449351:67451069:1 gene:Sspon.04G0033700-2D transcript:Sspon.04G0033700-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALPPLRRLLALRGHRRLLSTAPAASSSNPATPEAILYDIHSLSKDPSQALAVFRRSAAAGQPVSSTAYNLMLRTLASHPSSAQRHFWPFLREMQEAGHSVDQGTYLAALASFKNASLTSDYASLTAHYDKFRKGGKSVTAAAAAAAEAVRDHDAAGLDSRLADIGLLPLTETAVITVLRELREHPIKALAFFRWAERQQGYTHSSVAYNAMARVLGREESVPEFWDLIREMKAAGMHVDIDTYLKLSRNFQKRHMVREAVELYELMMDGPFKPAQQDGPIIIRRISLAPSPDLELVNRVVNKFEAVWGVKTKELLDGVHRALTSNGKFDEAAEIVQTMRDQGHQPDNVTYSQLVHGLCKANKLEDARKVLDEMEAEGCVPDLKTWTLLIQGYCSAGDVDRAVQYFTEMIEKGLDADADLLDVILKGLCGHEKVEEAYSLFVEMVDKAELRPWQGTCQRLIGDLLRVNKLEEALALLKTMKTCKFPPFVDPFPPYIAKYGTVEDARNFFKALTVNTSPAPAAYLHVLKSFFAEGRYSEAQDLLYKCPSHIRKHPHVTKLFESTKVESASAS >Sspon.01G0021100-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:82295162:82300869:-1 gene:Sspon.01G0021100-1P transcript:Sspon.01G0021100-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGMAMSSEVELPVSKQNTVVVGGNLAVNGSAGSGAASTVLRHQLSPVSSIEFMATAGLRSVIGMQMSRNFWTCCSLRDGSINLSNAWTRQLSDNAVGNIQLVLGDESSISVGWQKKDEKSTATGEVKFGTNFFGASAHYTHRFSSKSHARIAGRVGSTALDFEIGGGRRISEFSTVRMMYNIGIQGVSWRFELHRAGQKLIIPVLLSTDLNALFVTSAFGIPSTLYFLFQTYVVKPYYLKREKQKTLEKMEGLSTQLTEARKAAEKAQKLLEPVSNRKKNRQLENNGLVITKALYGSRQKIKESSELNEIHNDMASQVFDVTIPLNFLVTEAGQLKLHDGIKKSGIMGFYDPCPGDPKLLLVEYTFHGRKYKVMVDDYEALLIPQDIHQF >Sspon.02G0049230-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:35569754:35573844:1 gene:Sspon.02G0049230-1C transcript:Sspon.02G0049230-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTPLLLPLLVTLQLFTASSPAVASSHISVVISQSGLDFAKDLLVSRAVATLTPLSVPDIERTMSTVVGTVRMAASGIVLDGLAVTNSTVAVGDTGVVVAASLASANLTMEWNYSYSAWIVTISDSGNASIQVGVSMVMKNQNGSIKLNVTECSCYMEDLDITLNGGASWFYQVYVVLDLLYMHNVVNSFEGALNSFSNHIRSSVENAIKNKVMEGALKLDSFLGNLPKKIDLDSVAAMNVTFVNDPLFKSSSVEFDIDGLFIPSDETAPETCFLEIPNLHYLLGAPRECFGFHWMKMFSTLFQLSTSSCCILIDTTLLIIQAGLLQRMVDKVPEQFLLNTASWRFLVPRLYREYPDDDMLLNISAVSPPSVRINVSVAVSGAAAVSENNLVGRVELDYFSFTLKWSKVGKLHTILVQTVLRILLKNLFVPYVNSYLKQGFQLPIIKGFSVIDAYVLTSYSRMIVSCNVAFTEPEVLSPVQES >Sspon.04G0023930-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4D:18949763:18951402:-1 gene:Sspon.04G0023930-2D transcript:Sspon.04G0023930-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAHRRASMSRKFLVPPQPISMLPPTVPQFKFLAPPQV >Sspon.08G0007580-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:22567006:22567670:-1 gene:Sspon.08G0007580-3D transcript:Sspon.08G0007580-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKGRRCIRGGTLEVEVCRVMHREWLIGKRHVESGGMSGGGALGAACWAPVRRGGPGRVNAEQYGRQGSVLKMRGCKYTEYPSYWGQISNSEFLNIQTAAFRATQYQFQILRLNTYIQTGKNEC >Sspon.04G0012550-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:44117395:44123355:1 gene:Sspon.04G0012550-2D transcript:Sspon.04G0012550-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSSSPSPLPPPMIGRAGNLTVFITPPSPASTPRGASRAPPPESRRSDFSTSTPQRAAPSPSPSPSPRNHESPVAAPAVVFTPPPPPAPVKVAPPPVQVPPPQYEKASVGGKHDGSAFGFFWDAVARVQEAHASLDEYVATWFGLDQSKYQWALNDYYEATGKVSALRLRSSSNLLNDRVIRGNRVRFVSFRLRYRYSDLD >Sspon.01G0002430-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:6826152:6829347:1 gene:Sspon.01G0002430-2C transcript:Sspon.01G0002430-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALMAPPPPALKYEACHNPQQGLVSESALRIIVETKACFVALALALAYFLTASQHRLWSSSHLIKGFLFAVTQPVTRFLFGMFTMLLSMPFRSDLYLLWGILLLAGYEGVYTISGYGVSARRSDLAVHEFTRAYNIVTLGLYVRYYSSASQFRCPLWALWALMVAKFLERIVRFKVANGRYGDASTSFVADYMKHEHKIDEKDCNYLILGFARDLLYTKYPILFSSGFPVVSTALFVVTVGVPVWITVSAVRHYRVPHGSSSNLVHGKNVDLLITFVIVGMVTGMEICEFFIHLFSDWTKVMVVTEYVRKPWLRNSPFLNSVLKFICCGKIAEPIGSSLGQFDLLKATKKQRRLPACIVKLYHSARSFVLLTGDEDFRVSKCKTLRPVPADIEEMICSTLANNKDCLVRGHESLKRRTELEGDERLARHCKAETHIERIMVWHVATSTLELKDGGGDRTNA >Sspon.02G0036460-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2B:24749153:24750016:-1 gene:Sspon.02G0036460-1B transcript:Sspon.02G0036460-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPLPGFGLIPGLPAGQIKSFAPRLFRAIGRRFLNVSVKDAIFQHRWVRHITGVHTALVLYEYVELWEKLESVQLRPLEGDRFVWRWTPDGAYSASSAISFVLPGHVVIAGARELWKASAPPKVKLFFWLALHGLIWTAHRRMRHGLQEFAACALCGQEDETVDHLLASCVYSRELWYRLLRPSGWDQLTPLPGSLLSSWWMDARCLVPKQLRRGLVLLVSWRFWQERNARIFDNNYISADQATRAVLDEGDDWITSGFTAFSEFLASQRVGVSVYYSARRTSVLAM >Sspon.03G0016310-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:75590542:75592761:-1 gene:Sspon.03G0016310-2B transcript:Sspon.03G0016310-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLLSSGGRHGLMIVPCLAAAVVAALAVTAPRGADAYKNYTVGDDKGWYDGLTLPGVDYQAWADGKNFSLGDFLIFNTDKNHSVVQTRNGTLYKSCDYNDSGPDDTVEWSAAAPEFSKDAVTVAVPLLKEGRAYFFSGNYDGEQCESGQRFAIDVTHGQGLPPDLRPPVADAPAPSSAGTADGAAALDFSHPKNVTTPSATDDDDGEPSGGGTSGSSTAPTLASQLRSALPVTVTLLITLLFAM >Sspon.08G0030080-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8D:54817885:54818085:-1 gene:Sspon.08G0030080-1D transcript:Sspon.08G0030080-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFYAGGWAGSLQLSREEKCRCKELGQAVASVEDVHSGDGRLAAGVLRVGDGVSNDVLEEDVEHAV >Sspon.07G0002530-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:6177497:6178567:-1 gene:Sspon.07G0002530-1A transcript:Sspon.07G0002530-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAITSARRRRIALLAANYVALLVGSVAASLLSRYYFAHGGQNRWIVTLVQSVGFPLLVPAVFTSGRPAAAPRPFLWFSRRFLAVCLGIGALMGVNNLLFSYSSSFLPVSTSSLLLSTQLAFTLVLAAVIVRHPITFVNLNAVLLLTLSSVLLALRSGDSGETPEGGGRSRYVLGYVVTLGAAGLFAAYLPVMELLYRRAVSGGFVLAVEVQVAMQAMATAVAAVGLVAAGGACDDVARWEGSTALYWVVVLTLVLTWQACFMGTAGVIYLTSSLHSGVCMAAVLTANVLGGVVVFGDPFGAEKAVATALCVWGLASYLYGEYTKKKEDDASPSAPEYESVGKSGGDVPAGRELETV >Sspon.05G0038050-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5D:31712359:31713352:-1 gene:Sspon.05G0038050-1D transcript:Sspon.05G0038050-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVAAEAGKPHAVLVPFPAQGHVTPMLKLGKILHCWDFHVTFVNTEYNHRRLLRSRGAGALDGLPSFRFATIPDGGLPPADTDATQDVPSPYRSTEETCLPHFRAVLQGLFTPPLTCCQPAASSATTSWALPWKPRQGDRRTMRSALDCQRLREDVSCFRWLDSRKPRSVVFEKYGSVTAMTNAELVEFAWGLANSGHDFLWIIRPDLVSSDAAVLPPEFLEAIKGRGLLASWCPQDAVLRHEAVGVFLAHSGWNSTLESLCAGVPMLCWPFLAEQQTNCWY >Sspon.01G0011840-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:32067424:32067885:1 gene:Sspon.01G0011840-1A transcript:Sspon.01G0011840-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AIVGAVTIAAATAAVLSLASSGVTGVRRGAQRRHGGSGGGFAVAGHVPRVRGVPVRAAGRSRLPTGGTGAASVRPRVPRGLHRAVAAAAPRVPSLPPPRADRRRRRRRRRTAGTAAGGRGGACVGAAGDDDSVRLRRREGGVDAQPVRLRQAVT >Sspon.02G0014310-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:37979636:37992132:1 gene:Sspon.02G0014310-1A transcript:Sspon.02G0014310-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKIPQTILFLLLLVFTSVAAENSTAHRGGGADEFHVGVILELGSLVGKVSLASISLAVEDFYSVHPNYSTKLAIHVRDSMGSEVQAVSAAIELRENYKVQAIIGPQKSSEATFISKLGSVTQVPIVSFTATSPSLTYDSSPYFVRATLNDSVQVNSIASLIKAYGWREVVLVYDDTDYGRGILPHLIDALQHSDAFVPYHSGISLSATSEDIMQELYKLMAMQTRVFIVHMSSTRASLIFTMAKDAGMMSKGFVWIITNGLANIIDLLSPSVIEAMNGVIGVRFHVPKSQKLDTSFLVRWNKIYQRYNPNKLPLNKSISIVGLWAYDTIWALAQAAEKVGVSGNRNKRLQPHKNSTCLDSLAISINGAGLLTEIVQNKFRGISGDFDLTERQLQVSALQIINVVGGTWRHVGFWTSKNGLSRYLNQNELEIIGSSASMPDLNPVTWPGESTEIPRGWEFPTSGKKLRVGVTSSAYPEFISTSKDPVTNATRVSGLSIDIFENALKRLPFALSYEYQAFDTAGDSTSSRSYNDFVYQVYLQRYDIAVGDITIIYNRSLYVDFTIPYTESGVGMIVPVKEKVDTNMWIFLKPLSQGMWFKSIIFIIYTRIAICLLEYLSGNIYLHEDMLESFLSQIVHRVWKIVLLVLASSYTASFASILTVQQLSPALTDIHELQKQGGYVGFHQGSYMEGLLVDIGFDRSKMRPYNTPDDFHVALSNGVENGGVDALVLDVPYIKLFLAKYCKGYTMVGPIYKSAGFAFALPKWSPLLAELSKAIINITGGDTIIQIEKKWIDQNSCQHDEEMDGSGAITFGSFRGLFLLTGFVTACSIPTAFLMRHYKKQEESHHIQDADKGNEENGDCNDGIDNQATIL >Sspon.03G0014210-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:44469922:44472160:1 gene:Sspon.03G0014210-1A transcript:Sspon.03G0014210-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VLQVLLQVTRLASQRAVVIFSTSSCCMCHTVMQLFRELGVNTMVVELDKDPRGKEMEKGLARLLGRSAGVPAVFIGGRLVGSTDKVMSLHLSGNLVPLLRNAGALWV >Sspon.06G0013500-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:58476590:58492530:1 gene:Sspon.06G0013500-3C transcript:Sspon.06G0013500-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGGGSGGASGPDSRVETISRLAQWRIDTFGPCSYRRSDPFKLGIWNWYLSVEKSRSIFVRLFPEPGRVAKEQPPLARFLLRVSWAGPPRRTCVSPGMVQKLASKSTLGCLSRMLTDSIHTDVTINTTDGVLKAHKAVLAACSPVFESMFVHDLREKESSTINISDMCLESCSALLGFIYGTIEQGQFWKHRLPLLAAANKYGIGDIKDCCEESLLEDISSANVLERLHVAWLYQLERLKKGCLTYLFVFGKIYDVRDEMHSFFHHADRELMLEMFQEVLSVWKPIVAGETQPRILCPHQTTTSASSTSSLPLPSADCQLNSSPRRSPRAVPILSMAPARPRPRPRLLLFFSAALLFFLLCAAHPAAAAQVGDACSSSGAGCGSGLHCSACGAGGDSICTRASPVDPATHGTGLPFNNYSWLTTHNSYALAGAASATGSALITETNQEDTVTAQLKSPTPLGGCCCSASAMNGGGSGGASGPDSRVETISRLAQWRIDTFGPCSYRRSDPFKLGIWNWYLSVEKSRSIFVRLFPEPGRVAKEQPPLARFLLRVSWAGPPRRTCVSPGMVQKLASKSTLGCLSRMLTDSIHTDVTINTTDGVLKAHKAVLAACSPVFESMFVHDLREKESSTINISDMCLESCSALLGFIYGTIEQGQFWKHRLPLLAAANKYGIGDIKDCCEESLLEDISSANVLERLHVAWLYQLERLKKGCLTYLFVFGKIYDVRDEMHSFFHHADRELMLEMFQEVLSVGDACSSSGAGCGSGLHCSACGAGGDSICTRASPVDPATHGTGLPFNNYSWLTTHNSYALAGAASATGSALITETNQEDTVTAQLKVTATTSLNSHFHFPSSKASFLGRPAPLIPSPAEVVRSGQYNLELIFLFFSSQQPAINVFKEIQTFLDANPSEVVTIFLEDYTATGSLPKVFNASGLMKYWFPVSKMPKSGGNWPLLKDMISQNQRLLVFTSKKSKEASEGIAYEWNYVVENQ >Sspon.07G0000640-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7C:808545:810831:1 gene:Sspon.07G0000640-2C transcript:Sspon.07G0000640-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSDGSGSGSGSYERYITMAASAVAAVMLVRTVVSELLPYEVGDLLRAAARGVRARVSSRHTVVIDEAEGLSANQLYDAARTYLAARVTPDVPRLRASRVDDAQGITVGMEQGEDMVDAHDGVDYTWTLVVSRDKAGRPEARSFEVSFHRRHKDKALGSYLPHVVATAKAIKDRQRSLKMHMVEYDAWTAVDLRHPSTFDTLAMDDKLKSSVIEDLQRFVRRKDYYRRIGRAWKRGYLLYGPPGTGKSSLVAAMANFLKFDIYDLELTEVKSNSDLRRLLVGTSNRSILVVEDIDCSIELQQRDEGERRATRPTASAGEENDDKVTLSGLLNFVDGLWSTSGEERIIVFTTNYRERLDPALLRPGRMDMHIHMGYCTPESFRILARNYHSVENHAMYPEIEQLIQEVMVSPAEVAEVLMRNDNSDVVLQDLHEFLKEKRKQSGQSKDANENGD >Sspon.07G0017310-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:80184952:80189247:-1 gene:Sspon.07G0017310-1P transcript:Sspon.07G0017310-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVPAPSSAAPDPPPSPPPHPQLPEEEGDGWVVVPASEVEGVHAPKVIHWDDLQQELARLWSLSAALQPAGDRKAHLAARLESTLQARQTFLEQDNELAEMRQRLQEHTDRLGDLKMRTKKLSEDVVDQREQLCVKIRTLSVASKALDAAHSNLKEANKLLSGENGCGRLKNLEQKLRMRQQYMIAQVSQIYPVRPLDEQSSDHKPGFTSNITKTRNAESVLPNGSQNRPLVILGLQLSKLSVKKTGYFSDKTEVQKSSTVLGYAAHAVSLIASYLNVPLRYPLRFGGSRSYVLDPAPSVEPSSITSVATSVPPSTSMRTMEFPLFLDSQETTRSAYAIFLLNKDIEQLLNYIGAESLGPRHVLANLRQLTRIIQSHEYISVD >Sspon.02G0008210-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:17760633:17767599:-1 gene:Sspon.02G0008210-2D transcript:Sspon.02G0008210-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LEWSGSKVLDGDETLFTDMVQEHKEEGNKLFQRRDYDRTLLNYDKAIKLLPRVHPDVAYLHSNIAACYMQMSPPDYYRAINECNVALEASPKYTKALLKRARCFEALGRLDLACRDVNKVLVLEPNNLTALDVAERVKKAMEEKGIVLDDKDVMPTPEEVVAAAPKQKPRKKRGGRKFTAKVAAAAVEEVEEQKIAEVVKEEEVEEQPRQVKLVFGEDIRWAQVPASCSMAQLREAVRSKFPGLKAVLVKYKDKEGDLVTITNQDELKWAEDLAEAGSSLRLYVTEANPKHEPYVDDTNSEPLERNVNIASDNGSIRSNRQDEDRSTVTCIDDWIVQFARLFKNHVGISSDEYLDLHEGNVHMSRARKRLLLSGDSPRELVLEQVKEAYEWARDEYNKAGKRYEDVVKAKPDFFEGFLALAHQQFEQAKLTWYYAIGSNVDLDSCSSEILELFNKAEDNIEKGIEMWELMEEQRLKNRSKPSQENVMLEKMGLEEYIKDVSTDDAAEQASNLRSQINILWGMLLYERSVVEFKLGLPMWEDCLMAAIEKFKLGGASATDIAVLVKNHCANETAQDVGFKIDEIVQAWNEMYDIKRWLRGVPSFRLEPLFRRRVPQLHTALEHI >Sspon.01G0033830-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:8609956:8612830:1 gene:Sspon.01G0033830-3C transcript:Sspon.01G0033830-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLPSEVSDSKKISEDAVVEQLTSAERILDGPNNMSADKAMNSAEKASGKGKLKETTIDGMQTANIEQESDDDMARCVSWEAPKTISTDVKHELAALSSTSTSAIPQDESVFPNTENRDIFVDINDRFPPDVLSDFFEKAKAAAQSSTHFNDPVLSLNIPNYEPKSWSFFRNLAQNEFARKDNQGLAEIEEGLYPVAGVSKDSSAVQSLNQKLILMLRRSMPPAYVPSHIDNQPMMENMRPPVSEFEEPKFEEDRTVIPAMDASLRDIDFEHLQIIKNEDLEELRELGSGTFGTVYHGKWRGSDVAIKRIKKSCFTGRSSEQERLAHEFWREAEILSKLHHPNVVAFYGVVKDGPGGTLATVTEFMVNGSLRHVLQRKDKYLDRRKRLIIAMDAAFGMEYLHSKNIVHFDLKCDNLLVNLKDQTRPICKVGDFGLSKIKRNTLVSGGVRGTLPWMAPELLNGSSNKVSEKVDVFSFGIVMWEILTGEEPYANMHYGAIIGGIVNNTLRPAVPGSCDPEWRRLMEQCWAPDPVQRPAFTEIAGRLRAMSAAANQVKAAMAAAGK >Sspon.01G0036500-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:13508163:13509634:1 gene:Sspon.01G0036500-2C transcript:Sspon.01G0036500-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SQKNMLPPRVRTMVLARALLVVAALLAQPLGSRSGDAGAGDLHPVVLVPGYGSNQLEAMLTAAYEPPAPACAAGVADQGWFPLWPNHTAMRDASQVPCFADQMSLVYDAGADDYRDADGVATRTPFFGSARPSARWDRLVQQLEGMGYRDGETLYAAPYDFRYAVAPPGHPSAVGDRYFRDLGRLIQASRLNRGRPAIVVAHSFGCALTYQLLLSRPLAWRRRYVKHVVLLGPALGGFAAGMYVLSAGMDYGLPNVTRPTMLRLARSQQSALWRLPTPLVFGDRPLAVTTTATYSARNMSEFLEAIGFADGVRPYLTRVLPMWEALPAPMVPVTSVIGFGVRTPETYVFGTDGLEGDAEVLYGDGDGDINMVSLAAVERWSEVEGQVLKVVRLPGVHHDGFFSTDFAVKSILAEISEAGDSIELIGVVSCQQK >Sspon.04G0001940-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:6077412:6080332:1 gene:Sspon.04G0001940-1A transcript:Sspon.04G0001940-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFMGFCSIIFIPEREAFGFCLLAAVDLIKNEQVQAIIGPQTSAEAEFVAYIGNRTHVPVLSSSATSPGLSLSQTPFFVRTGANDSFQAAPVAAVLAAFGWHAAAVVYEDSPYGSGILPALAGALQGVGARIMYRAAVPSDADDDRIDAMLYGFKAMATRVFVVHMNPFLAARFFRRAREAGMMTEDYAWVATDGVGSVVDELSPDDISAMEGVVSLQPFVQMTDRARNFSARFRARLRREYPSADIYPHDPTVVMLWSYDTAWAIAAAAQAAGVSSPAFQTPPQSAAVTDLDRLGVSATGATLLKAVRETTFRVLAGNFALVNGQLQPPAYEFVNIVGKSSRAVGFWTPEALGAHGTNKGLKKILWPGDSTSAPRGWVMSPNGQKLRVAVPVKHGFKEFVDVGGESKTGGHPNITGYCIEVFDAVMSNMPYPVSYEYVPFPSSSESYDNLVSLVPEQLADIVVGDVTITASRMGKVDFSMPFTDSGWSMVVAVRTETSTSMWIFLQPLTTSLWLASLAFFCFTGFAVWAIEHRINPEFRGTPWQQFGLIFYFAFSTLVFSHKEKLESNLSRFVVIIWVFVVLILTSSYTASLTSMLTVQKLQPAVTDVRELQRTGAFVGYQEGTFIKQQLQKLGFHEAKMRSYSTAEEYADALSSGQVAAVFDEIPYLKLFLSQYCDGYTMFGPVYKADGFGFVFPMGSPLTPDVSRAVLTLAEGEDMAQIEKKWFGEPGKCPSQGGGGGGTALGSSNLSFRSFGGLFLITGVVSSLMLLVYLATFVYRERGEVRPEEEGSGSSSMRRLRAWLRHFDQKDLKCPTFKTGNDDSIRNGNQTHRWFEFESVRNGRGGNGPVQAAAEEEAIAIGMSPFRFSTSTPSEMINAGSSPASELGTSFEQRMQEAPHSVSADMPGSTAS >Sspon.01G0042110-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:39914125:39933597:1 gene:Sspon.01G0042110-2D transcript:Sspon.01G0042110-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding NNQTELKCEAKVVDYNAQSDTKKNVHEDSPIAADFPSSSNQDGLPDPSINQNGSHVHFSSFKADEEESDTSEEIVPVVVRPGHIRFEPAGGEPDKSPAKELQGTFQWSGTMSKKKGQKWGIDSSNKKNADIGYHAGIAGSSTEVNNHVRDIKVTENGFCAVSNRRNNEGSNIEMSSAKTVANEEKSSGEPFDFESLYPLTRLPKEGDLIAYRLVELSSMLCPELSAYRVGKVLIYDPISLRIILLPVQEYPITTEEKENKDDLDMLADLSPYKEDGSLEIEYSSLLDVRLLKGIEPVLGAASTPSAETCNEIGSALAGRPVTLHKNEGNIESQKSPLVANSTKDEERKLEGNIGRQKSSLVANSTKDEERKLGKSESTVWEKNDEPSDKVDVQENGWGTWKQNASTSAWSYRALRSSALGPTMAMLRGKNSQRGKPPYRKNGK >Sspon.04G0031220-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:9013537:9016284:1 gene:Sspon.04G0031220-1C transcript:Sspon.04G0031220-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFAAVLAQVLNRCSGSEGRLGPGVLSKMCTSAVKESLTNIYGDKFQSFMSNFEKAFGSTLRTIHLINETPVYEQDIAQCSYKDGNSVPDEIKLSGADSLPEIKLGGADSQSQIHDVQTDMPPSSMNNQIVLHAGVNHQLVHLTRSRSNPEIDQHILSVFERSLNEQARSNELKELEIGLTMRKLQLSRSQLALSSDSHMLEKIKVRMGFQKASFKEKKFKTEMEDTKHAELFRKLIDMLLTAVVLMSVCFGYGTYIYSYQRITAATSACAAISREYTSWWMPSSVSAFNSGLLLFKCHMIAATRIFFGILMLLLIGWLIFQRSAMTGPNMPVTFNVMILGVLCGYFGSCCVDTLGGDGNVWLIYWEALCSIHLLGNIWPSLLHRMLYGPISVTHRTKAVHLPYWARRYTFYVLVSLILPCLAGLLPFASLSDWKDVAVQHLKSRFNGNNIED >Sspon.03G0017730-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3B:79381740:79384264:-1 gene:Sspon.03G0017730-2B transcript:Sspon.03G0017730-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPRSSVLLGAVAVALVLAAAPSALAGDPDYLQDLCVADLNSEVKVNGFPCKANAAADDFFSSILAKPGATNTTSGSVVTGANVEKVPGLNTLGVSLSRIDYAPGGLNPPHTHPRATELVFVLYGTLDVGFVTTANKLVSKTIAQGDVFAFPRGLVHFQRNTGAEPAAVISAFNSQLPGTQSIAMTLFGASPELPDEVLAKAFQIPDEEVDKIKAKFAPKKG >Sspon.01G0016040-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:54276166:54277681:1 gene:Sspon.01G0016040-2B transcript:Sspon.01G0016040-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VSFKARRMEPELVSPARPTPSETKALSDLDDQRTLRYYETVIAFFRSRHGYTSHRRPDDPAKAIKAALAEALVYYYPIAGRLREAAGGKLVVDCTAQGVVFVEADADVRLEELGKPLLPPYPCVEELLCDAGETRAVIGKPLVLMQVTRLKCGGFVIGFHMCHTIADGFGMVQFFRCVAQLTRGEKAPTVLPVWRRELLTRRHKSSSSSLITHSNSSSSSDHNHKSDDVMLSTPMDDMVVQYFLFGPRDIATLRGHLRGHHLAATSATSFELLTAVMWRCRTIALGYESDHRVRLMVTMNARGKWNQHTLIPRGYYGNAHVSPIAEATAGELCSQPLAHTVELVHRTKLSVTKERMESMVETIASTRQWPPPTMDRIYEVSDTKWIATNATQFGWAELVGGGIPLAGDLTSKLGSDHMRCKNQDGEHSTIVSMLLPKPAMEKFSGELSVWLNDKHDEKNLVILSSL >Sspon.08G0010150-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:44585211:44587996:-1 gene:Sspon.08G0010150-1A transcript:Sspon.08G0010150-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRESAGPETETEDPSPPRTALRSARRRARAAWRKVASWVPRKARSLVLLNLVTFIFGTIVQSLASPRLLGTDGSFMFAPHLFDVMVGVIIVPFLDGLIGAEVPARTWFGAFLSLLGVAILELSGSPPCVAAMRDVSATETAIIYGLEPVWGAAFAWAMLGERWGVTGLVGAIFIIAGSLMVQIFGSIPDVSRGDNYQMNS >Sspon.08G0000190-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:1056021:1065540:1 gene:Sspon.08G0000190-1A transcript:Sspon.08G0000190-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGEADGGGDSFVLRSGVRAGLKREFAFAIASQAALSASSAPLGRTRRSSRTLNSSAAASTSSFSTPDTKPKAKRPRPPGPLPPPPPEDAPAPGEDGAAAELPSPVLALMAAAGPSPPPTPSTPQPHADSDADPAHRDNIIIPPESSPRRITRSMLQPKSPPSTTARSPDNAAPLKPKPEPPEEEEDGKPVPALRRFTRSLLVKDKDSNDDDLSGTTTASNASSSPSPHTTTTTSTSSNKRNKNTNKIPTNLRELLATGLLEGQPVKYIMRKGKRAVLRGVVKRIGILCSCSSCKGRKVVSPYYFEVHAGSTKKHPSDYIFLENGNNLHDVLRACTNATLDMLESAVRKAIGPAPQKRTFRCKGCKSSFSTFRSGKFALFCDSCLESKGAKNNSRSSKVGRSPTSSAKVYKSASPGAKSSSVGRLTRKDKGMHKVVFMSGVLPEGTDVGYYVGGKRLLDGYIKAFGIYCHCCSTVVSPSQFEAHAGRAARRKPYHNIYMSNGVSLHELSISLLKGQKMSNRQSDDLCSICSDGGQLLLCDTCPRAFHRECVSLSSAPKGTWCCRYCENRQQRESCLAYNNNAIAAGRVEGVDALEQIFTRSIRIATTPEIGFGGCALCKLHDFSKKKFSTRTVLLCDQCGREYHVGCLKEHNMADLTALPEGAWYCSKDCVRINQTLQDLLNRGGEPVPTMDLAVIKKKREVKGFNEDADLDVRWRVLKDKSSDDSKLVLSKAVAIFHETFDPIIQVSTGRDLIPAMVYGRSARDQDYTGMYCAVLTVNNTVVSAGLFRIMGSEIAELPLVATSRDSQGLGYFQALFSSIERLLASLEVKHFVLPAAEEAESIWTERFGFTKISQDEVCCNDVHIVECCSCVNTSRVGEQLCFRGRQTCTSWSRNLNASYVRIAWEEEQGHKAKKQLIVCVPLGLTQIGKGHPDPFLLNV >Sspon.02G0002310-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2B:6415412:6416422:1 gene:Sspon.02G0002310-2B transcript:Sspon.02G0002310-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSKKASRRTPSLPWTYPAPRCLPRDLKAGATPSTNNTSDVRSADITIDASRGLWARVFCPSAPIADAPPLPVFVYFHGGGFVLFSPSSRPYDAFCRRLCRELRAVTPTPLPADLVPVHAVDLSSCFLVGDSSGANMVHHVAQRWVSSSSMSSATSLPSPPLRMRLRLAGAILIQPFFGGEERTEAEVAFDKACRILTVARADHCWREFLPEGATRDHPAARVCGEGVELADTFPPAMVTLRAKGKLVRVVEYPDAFHGFYAFPEIADSGKLVEDMKLFVDDH >Sspon.03G0011180-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:30394771:30400329:1 gene:Sspon.03G0011180-1A transcript:Sspon.03G0011180-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polypyrimidine tract-binding protein homolog 2 [Source:Projected from Arabidopsis thaliana (AT5G53180) UniProtKB/Swiss-Prot;Acc:Q9FGL9] MASGGQPQFRYTQPPSKVLHLRNLPWDCTPEELVELGTPFGKVVNTKCGVGANRNQAFIEFADQNQAIAMISYYASSAEPAQVRGKNVYLQYSNRQEIINNKTTGEGSGNVLLVGMEGVAPDSVSIDVLHVIATFEKASGYQALIQFSDAETATSAKAALDGRCIPSYLLPELDGACTLRITYSAHSVLNVKYQSHRSRDFTNPYLPFLDSAKDGSGVVGLLTFEHDGKKQEAESNILLASVENMQYVVTIDVLHEVFSAFGFVQKIAIFEKNGFQALIQYPDIQTAVAAKEALEGHSIYEGGYCKLHLTFSRHTELNVKVNNERGRDYTKGSVTPSSDQPSILGPQPIPNVGAAIPPAQGSVPSAATNSVMPSGDPSVAVPSNPSSEPLLQAPVVSSGGPAQYPSQAILQAPPGVAPGFPGYGALQFAQVSAQAQMVQPSGQGSQQMSSHVNYQLPPGSAQFMQYLGNGSHPLPNAPGPQTMPYPALGGQQLPPGPQIMQAPGFGGLPFSQGPGQPMPQFPMYGTQQFPPGMEPQMMRFPEQGGQQFTFAPRGPYGR >Sspon.05G0034410-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:5C:56247581:56247844:-1 gene:Sspon.05G0034410-1C transcript:Sspon.05G0034410-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADRRPMAAAPLASAASDSLFGRRGARIRVRKLRFGVGIEVTRIGSEKARGLGSLSSTPVSCQGLVLPCKLVGRCLLAMRTGSSLNV >Sspon.05G0029830-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:83757657:83758555:-1 gene:Sspon.05G0029830-1B transcript:Sspon.05G0029830-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeobox-leucine zipper transcription factor [Source:Projected from Arabidopsis thaliana (AT2G28610) UniProtKB/TrEMBL;Acc:Q1PEZ2] MPQTPSTRWCPTPEQLMILEEMYRSGVRTPNAAEIQQITAHLAYYGRIEGKNVFYWFQNHKARERQRLRRRLCARHQQQYAQQQQQQATASAPASSPNSSATLPSPAAGGSSAGVHPAVMQLHQHHHPYATNFVPHLAATVPPVLNPAAAGMVDLAAAAGGGNKATGAGAYGGGAALYNSCSSNQLEEWDAAEAMEHCNASCGAASGSSDEGGAGHLQLPPCCRRPLKTLDLFPTKSTGLKDECSSSKSSSCSTSTN >Sspon.04G0013010-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:51346452:51352472:-1 gene:Sspon.04G0013010-2B transcript:Sspon.04G0013010-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRWKLNSSVYKRVPSRETAMEPDVETPMRMTDSGSGAGPSWRMSLPHVCVATLTSFLFGYHSGVVNEPLESISADLGFAGNTLAEGLVVSICLGGAFVGCLFSGSIADGIGRRRAFQLSALPMIIGAAISALTNSLEGMLLGRFLVGTGMGLGPPVASLYITEVSPPTVRGTYGSFVQIATCLGIIVSLLIGTPVKDIDRWWRVCFWVATIPATLQALGMEFCAESPQWLYKCGRIGEAEMQFEKLLGPLHVKSAMAELSRSERGDDGESVKYSELFYGRHFNVVFIGTTLFALQQLSGINSVFYFSSTVFRSVGVPSNLANICMGISNLSGSIVAMLLMDKLGRKVLLSGSFLGMAFAMVLQAVGANRQYLGSTSVYLSVGGILLFVLSFSLGAGPVPGLLLPEIFPNKIRAKAMALCMSVHWIVNFFVSLLFLRLLEQLGPQLLYTIFSSVCVVASIFVRRHVVETKGKTLQEIEVSLLQPQ >Sspon.04G0030970-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:3581582:3584744:-1 gene:Sspon.04G0030970-1C transcript:Sspon.04G0030970-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKTNMYRPGIDVTQAELVPHLNWRRQERSEAIGHWKAKVYDMQNVLVTVKSRRVPGAMTDEELFAMDGEEKNGRGTELDAELDEVLTAEERKQLDSALRMGNNEEESEERGDQGDAAADHMDANGVAKDKKGWFGWGGKKGAKGDDKPSKVGSKDEASDPGKQKEKGTGKKKKGGSSAESLKHESEYKKGLRPVLWLTPDFPLKTDELIPLLDVLANKVKAVRRLRELLTTKLPTGTFPVKIAIPIVPTIRVIVTFTKFEELQPVDEFATPPSSPTQFQDAKAKESEGSGSWYSWVKGGRGTQSSDSGDSRNWKDEVDPFHIPSDYTWVDATEKKRRMKAKKAKSRRGTARKQSSKNTSEGGHHHPMMDGFEE >Sspon.02G0024720-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2A:85302357:85303424:-1 gene:Sspon.02G0024720-1A transcript:Sspon.02G0024720-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLFEEIKSQQGSSIAFKIFSEAELQEATDKFDEKRVLGQGGHGTVYKGLLKGNVEVAVKRCMSIDEQHKKEFGKEMLILSQINHRNIVKLLGCCLEVQVPMLVYEFVPNGTLFQLIHGNHGRQISLETRIQIAHQSAEALAYLHSWASPPILHGDVKSSNILIDSDYTAKVSDFGASILAPTDESQFVTLVQGTCGYLDPEYMQTCHLTDKSDVYSFGVVLLELLTRKKPLNLDAPEDEKSLALRFIYVTKEGRLEEILDDQLKNDESMEFLEEIAELAKQCLEISGINRPSMREVSERLDRLRKVMQHPWEQQQNPEEMELLLGESSLASSEIVNSGILSIEKKAARNLESGR >Sspon.02G0046540-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:2569729:2575056:-1 gene:Sspon.02G0046540-2D transcript:Sspon.02G0046540-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLLFLSALAQHRLPTSAASRSTALEAAAAPRPRRRPLLLALLFRTSVLIFSRARVWLQPQGDHIELHQRRHGKRLDHEERKRKREAREVHRRSKDARNLLGAKGKRFAKKRYAEKAQMKKTLKMHDESTKRQKVDDDVQEGALPPYLLDRDQTQRAKVLSNTIKQKRKEKAGKWDVPLPKVRPVAEEEMFKVLRTGKRKTKQWKRMVTKATFVGAGFTRKPPKYERFIRPTGLRFTKAHVTHPELKCTFNLDIISVKKNPNGPMYTSLGVMTRGTIIEGNMLKMGELNINSQTLTGSIVGSSARWMAPGSPPESTASRASSKTGAGGHITISSAAAAPASQPSRRNFRGPPRLQDETFEAVGLAGARNRRQAWSREAFAAGTRAAARGQASTERRSAATACGDLADDVWGEEEEEEEEEAQQETEKETAREMVKASFSDRVAGRNRRRSILCPLL >Sspon.06G0022920-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:38489341:38499119:1 gene:Sspon.06G0022920-1B transcript:Sspon.06G0022920-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGNRNRLQGAPPKWDVVRGMLHMRLKDAAAELNVPITYLRRLCRQNGFPTWPGKRIRYMNGIGRNGSPWAGLAGPSSQLAKIAEAMKKEEDDMTGIQAPVANHLPGEELTTLNLIDKNVLAMCE >Sspon.03G0022000-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:89925351:89930055:1 gene:Sspon.03G0022000-2B transcript:Sspon.03G0022000-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SMIGVQDSTLASDGILTTVETLASTAICGIIHSILGGQPLLIVGVAEPTIIMYTYLYNFAKNQQALGEKLYLAWAGRVCIWTAIMLFLLAMFNASNVISRFTRVAGELFGMLITVLFLQEAIQGMIREFSVPEDADSSSPIYQFQWLYVNGLLGVIFSIGLLYTALRTRRARSWLYGTGWLRSFIADYGVPLMVIVWTALSYTLPGKVPSGVPRRLFSPLPWESSSQGHWTIAQLAQQKEYNLKKPSAYHYDILVLGIQVLLCGLLGIPPSNGVLPQSPMHTRSLAVLKRQLLSKKMVDTAKESIGRSATSLEIYGKMEQTDSVDKELKNFKDAVLQEFDEEGKLAREFDPRKHIEAHLPVRVNEQRLSNLLQSILVGGCVGAMPVIRMIPTSVLWGYFAYMAIDSLPGNQFWERIQLLFIAESRRYRQYLLPKFFDPIVLRELDAAEYEELDGVPIEHNQLQLHPAGCSKCGSAKSMKTVRVMILKLQSVDVNTVAM >Sspon.07G0012710-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:51780021:51782041:-1 gene:Sspon.07G0012710-2B transcript:Sspon.07G0012710-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLALLLSLLLLSYCANSTTVAQAQLDREAEALLRWKSSCDSARPSGCLKSWSTRTNPCNWTGVVCSITVPRGWRDDDDAAVVPVLSSISLPKCNLSGRLDGLDFVSLPRLAHLDLNDNNLSGLIPPSIGTLGELTYLDLSSNSLSGPIPPSIGNLTALTSLDLSQNYQFLNGHIPSALGMLHNLEKLDLCRNSFSGPIPPSLGNLTTLDFLGLSYNSLSGDIPHELGMLHRLSFLHVLGNSISGSIPGSFGNLTRLELMDLSNNQIRGSIPSTFWNLISLKKLVLASNKINGPLPPEIGFLQSIYRKHSTSDRTMSPPVAAAGPIPQDLGECTGLYELDLSRNNLSGTIPVTLVQLYQLHSLNLSFNSLGGKFGGTSVPSALVSLDHNIDICGDQRYGLTPCGAQGLDGNDEGKRHNKRLTLALLLAFGLFGFISLVTGSIAAFCWRRKIAECRTRSKPRDMFSICNFNGKIAFQDIVNATENFDEKYCIGVGGHGSVFRAELQGGSVFAVKLLHAMEDDYTDEGTFRAEIDVLTKTRHRCIVKLYGYCSHSQCRFLVYDLIERGSLASVLHEEQLARELDWPKRVGIVRDVAQALSYLHHDCDEPIIHRDIKSSNILLDRDFKGYVSDFGLARKLKHISSSSSTIFAGTCGYMAP >Sspon.07G0003050-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:7478215:7479308:-1 gene:Sspon.07G0003050-1A transcript:Sspon.07G0003050-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHQDKASYQAGETKARTEEKTGQAMGATKDTAQHAKERAGQKTEEAKQKAAETTEAAKQKAAETTEAAKQKAGQTTEATKQKTAETTEATKQKTAETTEAAKQKTAEAMEAAKQKAAEAGQYAKDTVVSGKEKSGGVIQQVATEQVKSAAAGAKDTVMNTLGMGGDNNKQSDTNTNNSKDDSTITRDH >Sspon.02G0028800-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:104744166:104747572:-1 gene:Sspon.02G0028800-1A transcript:Sspon.02G0028800-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKKIREYDSKRLLREHLKRLAGIDLQILSAQVTQSTDFTELANQEPWLSSMKLVVKPDMLFGKRGKSGLVALNLDLAQVRQFVKERLGVEVEMGGCKAPITTFIVEPFVPHDQEYYLSIVSERLGSTISFSECGGIEIEENWDKVKTIFLPTEKQMTPDACAPLIATLPLEVRTKIGAFIRGVFSVFQDLDFSFLEMNPFTLVNGEPYPLDMRGELDDTAAFKNFNKWGNIDFPLPFGRVLSPAESFIHELDEKTSASLKFTVLNPKGRIWTMVAGGGASVIYADTVGDLGYASELGNYAEYSGAPKEEEVLQYARVVLDCATADPDGRKRALLIGGGIANFTDVAATFNGIIQALREKESKLKAARMNIYVRRGGPNYQTGLAKMRTLGAELGVPIEVYGPEATMTGICKEAIDCIMAA >Sspon.04G0000820-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:4152163:4155380:-1 gene:Sspon.04G0000820-1T transcript:Sspon.04G0000820-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGAQDKRHRKKQDLQVLAPFPGCLGRMINMFDLSNGVVATKMLTEKAHRDVSPAGKDRSNSFKMAINPQLRLKINSQTRRNSPTKRSNSPTKRTGATPVKMLMEQDMWKEGMPDEEPLNVVARLMGLHDAPVQQSNFPLGRQLDKEYHCGGFEENYRNLKPKKESKCHQNQKVGARHQNTWNGSGDQPSRINSIQSKHQGNEPCSEKRMSLVREKFAEAKRLATDEKLLHSKEFQDALQFLSSNRELFLEFLDEPNPLLSSNRYEFQPVAPPSEVKQITILKPSEPTKRKGSILVGRQLFSDGDESERNRYRRHQSLDVSPANSNLSEPTKIVVLKPGLSNSHDSRIARSSLSSAEDSEEESMMTVDETVCSRRLAKEITWQMRMRLKDKQDEESMLSCEYPDFYIGDDSFSKSEVEIAKEMSGETSEELEFGTPTSGRSWDFLSRSGSPYSASCSSQASHRREPSVVREGKKKILERWSMVSSTVSSEEEMEGRRSTGTLGDMLTIPKVKDQEEIGAETLGSPAPELEPEEPFSCLPRSRSLPLSLSCGVAESNGLASGTQEAEKERIRKSSSFREKVSSLFSKNKKSTREKVDPSASNRLKNGGAVTTGDVKEGWSHLALENLQKHSTCLNTDEKNTVQGLVTSSCDTNDTANIPAKDISSVSSLGAPGIFGDPQDQPSPVSVLDGPFICDNRRLLYSSENFITSSPQALSRSPLIGSFSRSLSWEDPPLEVMSPNSLRLSRLFSKADDDLDSLTFIQKLVHFCGMDGESCMLADPLDQGLLEKISDYDEEGIKFGKRRSKQRLLFDAVNEALTELASMAELAAYPWGRSCSSEHGDCKNGSSNSAAEEIWRVIRNWSILEKYPPGEAIERNLLLEMILKREVAEAASADTTRLEMFDLNTVVCAMVLEDLVEETVVDLTNC >Sspon.08G0002140-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:6596965:6601051:-1 gene:Sspon.08G0002140-1A transcript:Sspon.08G0002140-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GGAAPSPSAPLPPTRARGSSLRPAPPRRRGYGWGAARPAAENALTTPPGGAARPPGLQRSPPRPLAPARARGSAAGASPAAPPPARPRSDDLIAPFSLVNMLRSILSQSWKRGAHMLREGNSAPALLTRWSQFHSGQVLSSSRSFFGVEDFVDEDNSRPYTYKKEKRSKNPHKHISFKQRTIAYMEPFTLDVFISKRFVSASLTHRSTCRQVAVAGTNSKDVKAVLKSRSDIPACLAVGRFLAERAKEADVFTCTYTPRERDKFEGKIRAVVQSLIDNGINVKLYLD >Sspon.01G0012470-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:33631098:33634070:-1 gene:Sspon.01G0012470-2C transcript:Sspon.01G0012470-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASSLALVAAVLLLSSTLAASDFCVCGSDQPTAVLQKAIDFACGPQGGADCTAILQGGGCYNPNTVAAHCSWAANSYYQNNKGRGATCDFGGAATVSTTDPSFSGCTFASSATSAGAGTGTAGTTTAGGATTGTGTGTGTLSPGVGTGGFNGTGMGGSTLGPTGTTLDAAAPGLLPGAASLAAATVLSFLALQLHH >Sspon.02G0040250-3D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2D:62380913:62381806:1 gene:Sspon.02G0040250-3D transcript:Sspon.02G0040250-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGSSLAPLLLIAVAVAQIAGSQAGSISIYWGQNGGEGTLADTCATGNYKFVNLAFLVTFGNGQTPELNLAGHCDPTSGGCASLSDDIKSCQSNGVKVMLSIGGASGSYYLSSAADAKDVATYLWNNFLGGQSSSRPLGDAVLDGIDFDIEGGTTQHWDDLARYLKGYSNSGRRVYLTAAPQCPFPDAYVGGALNTGLFDYVWVQFYNNPPCQYSSGSTSDLADAWKQWLSIPAKQIFLGLPASPEAAGSGFIPADDLKSQVLPLIKSSGKYGGIMLWSKYYDDQDDYSSSVKSDV >Sspon.07G0006100-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:15806543:15807133:1 gene:Sspon.07G0006100-1A transcript:Sspon.07G0006100-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING-H2 finger protein ATL66 [Source:Projected from Arabidopsis thaliana (AT3G11110) UniProtKB/Swiss-Prot;Acc:Q9SRM0] MAAQEAAAGGGVPQQVVTRWRYGDVDDSNFAVHGRAVPLLVGLLCAVVFFVALCLYLRWRCHRYAPTDDPEAADASSSSAAAAAAASLPGLDADAIRGLPVTLYRPPAAVPPRCAVPGEETEAEADDEDQAAEALCSICISALVAGEKVKVLPPCGHCFHPDCVDAWLQSQPSCPLCRCLLVASAAAAKADANDAV >Sspon.03G0019570-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:73348325:73358674:1 gene:Sspon.03G0019570-3C transcript:Sspon.03G0019570-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:At1g32500 [Source:Projected from Arabidopsis thaliana (AT1G32500) UniProtKB/TrEMBL;Acc:Q058Q9] MSSPSPLCAAFCSTALSAPPPLLRFRRSSAPVSASVARAAPAVSDDLVLRIAEQLEDSVTSTSPLLDPLRSASALSLLSTPWPTRRSSEAFRFTDISYLRSLPISLPSRQPDLTLPSSPFSSHVLFSDGILLSSSGAHVSTLADLPPGRARDRAAAALAASAEFAHKDLFYDFNAVGARDVVVVHVPEGVKVADDPIHIMFAYTDSGAESMLMSNPRVLVVAEKAAEVAIVEEHFGAGEEGGCYWANPVAEIIIDEGARVVHSYVQQQSFAAAHTKWTVVKQDTTSKYEFVEVSTGAKLNRHNLHIEQLGPETETELSTLHLTSQNKQIHDLHSRLILNHPRGFSRQLHKCIACGTGNSIFDGNIKVNRYAQQTDAGQETKCLILSPKALVNVKPNLQIIADDVKCTHGAAISGELDPNELFYFQARGINTETATDALLYFFGAHVIKRIPYKPISEKALAQFKELLASSRQTTNEALHSRDFVSKDMAASPSIYKRRV >Sspon.07G0006230-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:14488664:14489240:-1 gene:Sspon.07G0006230-2B transcript:Sspon.07G0006230-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GCRSKGAEEGRGGASRRRRPQEEAGLSLREGRPPVPRRPYRPLPQAGSLRPAYRHRRPRLPRRRPRVPRRRGPRVGGERSEGQQEEPDHPAARTAGDPQRRGAREAAGRRHHRARRGSAQHQPCAAPQEDRCCRRQGGQREEVTQEGGRQVAQEGGRRIG >Sspon.03G0018260-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:55952752:55954151:1 gene:Sspon.03G0018260-1A transcript:Sspon.03G0018260-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADQQQEMNMVRAGGLDLPPGFRFHPSDVEIVSDYLMNKVRNTNFTCIAIGEADINKTEPWDLRDKAKWGEKEWYFFYQKDRKYPTGLRANRATVGGYWKATGKDKEVYKTIEGVVLLVGMKKTLVFYKGRAPKGDKTNWVMHEYRLEGSGRLLVPTSASSSAANTATTMKASTSAFKDEWVVCRVFEKTIGIKKMTTPAYQVAMASAEIDQIQNNIPAIPDPMPLQLPLPVSMPMQFPILPDFSMDPVAPYYPNAGAGMPTMMPPMEGINGAGGLQINGAMFGNPIAAPPQMNIYHQMGMGAAADQMDMGVAAGQMGIGAAASQMGMGTAGASGFDVAAPESRPSSMVSQKDEHANAAEISSMMSVTGPGSATTTIEMDGLWKYKY >Sspon.01G0026700-3D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1D:102747999:102748472:1 gene:Sspon.01G0026700-3D transcript:Sspon.01G0026700-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSDSELVERLQEVLRSSDLNTTTTAALRRRLEEDFGADLSHKKAFIREQVDLFLAEVAAEAEPEEPKEEEPEEAPVPKEEEPEAEAEEGEGWRRGSRGRMRKRRKTRMGIAAAPGRSSGEGLLLSVSLPLHLLLRLGLCDVSFGRIVREWHRAFVV >Sspon.08G0000380-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:1636638:1648257:1 gene:Sspon.08G0000380-1A transcript:Sspon.08G0000380-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSWRPKLPGFGEGSQAAEPGGGGRDPGRGFRGRGGFYHQQIPQGGRGTGYYQHGQGSMLQPRGAMMSQRWQPAGPAAGYLDQGQAYREVQPPLYYGGGRGGRGAGPSAIAPELRQAMETSHEPDNISPETGSPDLSPRASTVEVTDQLKDLSLQDESSMCQDIVQAFPVSSNAYKFPHRPGSGSIGTRCLVKANHFFAELPDKDLHQYDVSITPEVTSRIVNRSVMEELVKLHKMSYLGGRLPAYDGRKSLYTAGPLPFTSKEFHITLLEEDDGSGVERRKKTYKVVIKFAARADLRRLDQFLAGRQAEAPQEALQVLDIVLRELPTTRYAPFGRSFFSPDLGRRRSLGEGIECWRGFYQSIRPTQMGLSLNIDMSATAFFEPLPVIDFVAQLLNTDIHSGPLSDAERVKIKKALRGVKVEVTHRGNMRRKYRIAGLTSLATRELTFPVDQGSTLKSVVQYFQETYGFAIQHTYLPCLQVGNQQHPNYLPMEVCKIVEGQRYSKRLNQGQIRALLEETCQRPHDRERDIIQMVNHNSYHEDPYAKEFGIKISERLASIEARILPAPRLKYNETGREKDCLPRVGQWNMMNKKMVNGGRVRSWICVNFARNVQESVADFALEPILPPIYAHPDKVERALKARFHDAMNMLGPQRRELDLLIGILPDNNGSLYGDLKRICEIDLGLVSQCCCAKQVFKMNKQILANLALKINVKVGGRNTVLADAVSRRIPLVTDRPTIIFGADVTHPHPGEDSSPSISAVVASQDWPEVTKYAGLVSAQSHRQELIEDLYKVTHDPQKGTICGGMIRELLISFKRSTGQKPQRILFYRDGVSEGQFYQVLLHELDAIRKACASLEANYQPQVTFIVVQKRHHTRLFAHNHNDQNSVDRSGNILPGTVVDSKICHPTEFDFFLCSHAGIKGTSRPAHYHVLWDENNFTADALQTLTNNLCYTYARCTRSVSIVPPAYYAHLAAFRARFYMEPDSSDSGSLASGARGGGAPSSSSTSRSTRAATGGAVRPLPALKDSVKNVMFYC >Sspon.05G0024570-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:16265751:16268123:-1 gene:Sspon.05G0024570-1B transcript:Sspon.05G0024570-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATNKVVVDLRSDTVTKPSDAMRAAMAAAEVDDDVRGADPTARRFQEAMAAIMGKEAALFVPSGTMGNLVSVLVHCDVRGSEAILGDGSHIHLYENGGISTIGGVHPKTVRNNADGTMDIDRIVAAIRPPGGGLYYPTTRLICLENTHGNSGGKCLSVEYTDKVGEIAKSHGLKLHIDGARIFNASVALGVPVDRLVRAADSVSVCLSKGLGAPVGSVIVGSKEFIDKAKILRKTLGGGMRQVGVLCAAAHVAVRDTVGKLADDHRKAKALADGLNKIEQFTVDSASVETNMVFLDIVDSRIPSDKLCQVLGMHNVLANPRSPKSVRLVLHYQISDTDVQYALTCFKKAVEELLMGSSELEHLADTTTKNSYGH >Sspon.07G0026960-3D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7D:51642471:51642860:-1 gene:Sspon.07G0026960-3D transcript:Sspon.07G0026960-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMQEIGDPDKHNHNLSALLTIVLYISRNYSSATGTSSATGKVIGTQGRYIPQKQLRLSTAARFWALFFAMAFSRKRAGCTVTTWSNPHVSDPTQQKRLWQRAKAKGTNRSYATGNAGKISSTSTWEGGK >Sspon.08G0001720-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:2144254:2147663:-1 gene:Sspon.08G0001720-2B transcript:Sspon.08G0001720-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMDAGGGGVRSQGVNPDCPNAANPFHRCADYCPVPAPAANKAAAAAAAAAAARRKPSPPRPRTTTVAQNGTAKHGDSAARVVPAADAEEEEEEEKGGGEREESRAVNPGYPASKTSPPRQGPAPVLGYAAQNGTARRNDEGECEITVVDDSEEQGESVEDYGAEEVSGGRTRTSARSPATDGEVGGGRTRTSARSPATDGEAGGEKQWQAVNPDCPNAANPFHRCAEYCPVPVPKVPPPPPRPRGYEGSTHSDPGELHPRPRRREKGGSGGLPLYVFLREGSDGDGKKVDPRCPNAPNPFHVCTDHCLAKIADAGRSSEGAKSPISLFSRHFRRSSSSSEEGSLKSPGSRKVDPRCPSAGNPFHECGEHCAAKMQQAEQHKGVNIKSPRRKGGKNAAVIPNWKVDPRCPNASNPFHMCAQYCFDHLSQTAQTSASKSDKRRGKDVSKDEQRGEINPDCGNASNPYHKCGDHCKRKGTLRSEHQNESRFWFSIDVLVLIVRGKTGGGAKKKKKKRKVFINRRLGGVC >Sspon.05G0002310-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:6337367:6341241:1 gene:Sspon.05G0002310-4D transcript:Sspon.05G0002310-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AMAHRHRHRAPLLPIPLLLLLLLATTASAAPGTAASHSQPVQAAAVPPPAALASKPPPVSPSAAALAAFLTKADPASHLGLPDPAASPCSRPGITCTASGQIIRLVLESAGLNGTFPPGTLSRLAELRVLSLKSNDLHGPVPDLSPLANLKALFLAGNRFSGAFPPSLTSLRRLRSIDLSGNRLSGELPPGIEADFPHLTLLRLDTNRFSGTLPPWNQSSLKVLNVSYNNFSGPVPVTPVITQLGAAAFAGNPELCGEVVRRECRGSHLFFHGGDGANGTAAAPVQSAAASDSGPQRENLSMPDSSAPNAKRVRRRRTTVAVAVAAGSVLAALLVCAMIAMKRSNKRGRPSSASYASPNPKKSAPATEVSRDNTDMGYVECVPDEETAAIMVPEEKARRLERSGCLTFCAGEAASYSLEQLMRASAEVLGRGSVGTTYKAVLDGRLVVIVKRLDAAKIGPAALEAEAFEQNMDAVGRLRHPNLVPLRAFFQAKEERLLVYDYQPNGSLYSLIPGSRSSRAKPLHWTSCLKIAEDVAQGLAYIHQASRLVHGNIKSSNVLLGSDFEACLTDNCLSFLLESSEVKDDDAYRAPENMKSNRMLTPKSDIYAFGVLLLELLSGKPPLQHSVLVASNLQTYVQSAREDEGVDSDRITMIVDIAATCVRSSPESRPAAWQVLKMIQEVKEADATGDNDSDLTSNS >Sspon.06G0027660-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:88393467:88394777:-1 gene:Sspon.06G0027660-3D transcript:Sspon.06G0027660-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVQRMAEGVVLAAAMLLAAAMAVVVAKGMPLTDKDLESEASMWSLYERWRSVHTVSLDLEEKERRFETFKENARYINEFNKRKDVPYKLGLNQFSDLTLEEFTSMYTGALPEDVDANSDDDTSLASAVTSSDEQPLVSAGDVPDVWDWREHGAVTPVKNQGKCGSCWAFSMVASVEGINAINTKTLLTLSEQEVLDCSGYGDCKGGHTYDSFLHAIDHGLAVDSLGNPPYYPPYVAEQEDCRFDPNKLPVVKIDSRKRMNYTNEAALKFRVYEQPVSVRVDSNSTDFKNYKEGVFTGPCGTKLKHAMLVVGYGTTADRTDYWIVKNSWGTRWGDNGYIRMKRDVGTEEGLCGIYKSPMYPIKN >Sspon.08G0013520-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:56486461:56488247:-1 gene:Sspon.08G0013520-1A transcript:Sspon.08G0013520-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNSTMDLAMGPGVLLPRSAVPYASFARGRGRSASGRRSRNLALSASFSNGAAVPSLTTDSEKKGPVIMEIPLDQIRRPLMRTRANDPVKVQELMDSIRIIGLQIDVLEVDGVYYGFSGCHRYEAHQRLGLPTIRCKVRRGTKETLRHHMR >Sspon.02G0024540-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:81635333:81639560:-1 gene:Sspon.02G0024540-4D transcript:Sspon.02G0024540-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSERQQQQAAAAGKTAAFNLAEAGFGDQADLLDDDGRERRTGTLVTASAHIITAVIGSGVLSLAWAIAQLGWVIGPVVLLAFSGITWFCSSLLADCYRAPDPVHGKRNYTYGQAVRAYLGVSKYRLCSLAQYINLVGVTIGYTITTAISMGYYCMHSPGLPCGVHVKTSLTGAAVGVDVTASEKIWKTFQSLGDIAFAYSYSNVLIEIQDTLRSSPPENVVMKKASFIGVSTTTMFYMLCGVLGYAAFGNDAPGNFLTGFGFYDPFWLIDVGNVCIAVHLIGAYQVFCQPIYQFVEAWARSRWPDAAFLNAERAITVGGGRSFSVSPFRLVWRTAYVVVTALVAMMFPFFNDFLGLIGAVSFWPLTVYFPIQMYMAQAKTRRFSPAWTSMNVLSYACLFVSLLAAAGSVQGLVKDLKGYKPFK >Sspon.01G0020980-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:76979918:76984834:-1 gene:Sspon.01G0020980-3C transcript:Sspon.01G0020980-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKSRWRGGGGKAKAVPELGWEAMMVVVTPQDAGRPTARSEPAEVTDGACRWAAPIMEATKLPAGKDKIYQFLVYETGSSKAALLGEATVNLAEYADALKPSAVTLPLKGSPGALLHVTIQRVVGGGGGCGDDASENGDASPVAKTPQRRTTLQSQLSRFEDEDGEKARAATDAMSPVQDGLLLRKPPGMRFPSRRNVPMSVDPVGHLQNGSSFDAVSVSGSDGSSGRYTPKISASMHNSFLQDSSNALSPFANNGTVRNPLTSSGDWSGSSAPDASTDGSTSNSGEAGLRGEDDDVEKLRSEIATLTRKLDVSDMELQTLRKQIVKESRRGQDLSKEMSSLREERDALRRECEGLRGAKKTIHDSNGSGKRLSVSDGDDPWSHIEELKQDLSHEKNLNADLRLQLQKMQESNSELLLAVKDLDEMLEQKNRENSILQEDTRDGLQEAEYEHALSNVHNSGHKIALSETSSYQEKEDELMLDALAKKRDDISTSELEKKILELSNEIELYKKDREDIEMQMEQLALDYEILKQENHDISTRLEQTQLREQLRMQYECSAHLAIISDLEANVESLDSELQTQAKKFEADIAEIMSAKVEQEQRAIKAEDSLRKIRWNNATTAERLQEEFKVSSAFSANERHLVQARKEVAELQLQKSQLEELLQKAQGDLGSVQDQHRVKDMQLQDKTAEITSLNKELVSLKDQVKMHLDELHNLKCLKNEKEETIGKLQIDIGSLKRQCDNLKTLLSKESEKDNLASQVLKLRRSLETREGAKANGQNSDVKDNQHTNNKRIKHNTGSTGSTTALPGTNRQGGDGDCNGHDMRSAADQSAKELASLKERNKAMEEELKELHERYSEISLKFAEVEGERQQLVMTVRSLKNSLR >Sspon.03G0022710-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:91661548:91671525:1 gene:Sspon.03G0022710-2B transcript:Sspon.03G0022710-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATFAKPENALKRAEELIHVGQKQAALQALHDLITSKRYRSWQKPLEKIMMKYVELCVDLRKGRYAKDGLIQYRIVCQQVNVSSLEDVIKHFMQLSNEKAEQAKSQAEALEDALDVEDLEADKRPEDLMLSFVSGEKGKDRSDKEVVTPWFKFLWETYRTVLEILRNNSKLEALYAMTAHRAFQFCKQYKRTTEFRRLCEIIRNHLANLNKYRDQRDRPDLTAPESLQLYLDTRVEQLKVATELSLWQEAFRSVEDIHGLMSMVKKMPKPSILVVYYAKLTEIFWISDSHLYHAYAWLKLFNLQKSYNKNLSQKDLQLIASSVLLAALSVAPYDQKYGASHLETENEKERNMRMANLVNFSLDSKRENREMPSRASLLSELASKGVLSCASQEVRDLYNLLEHEFLPLDLASKVQPLLSKISKIGGKLSSASSVPEVKLSQYISALEKLTTLRVLQQASCIFQSMKIDMLSRMIPFFDFSVVEKISVDAVKRNFVAIKVNHLSGAVHFGTVDIESDGLSDHLSVLADSLNKARIHICPPVKKPSKLGESLISLAGIVENEHRRLLARKSIIEKRKEELERQILEKEKEEEKKRMSSQKKTADEERVRLLNEQKQREQDRIRREIEEKNKAEAKKLLEDLKKAGKKHVVVEGELTKEAIMELARNEQLKERQEMEKKLQKFAKTMDYLERAKRQEEAPLIEEAFQKRLEEEKILHEQEQLREIELSKQHHAGDLQEKNRLSRMLEHKVNAFQERIVQRREAEFGRLKKERDERISQLISSRKRERETVRKLMYYLNLEEQRIETLREEEEARKREGKGREEEGEEAERKAKLDAIAEKQRLREIELEEKAKASREKLLKGSELCELLILHPLHSHLKNQQQRLLLRRLRLLPLLINMFPSLNSVVIAAAALLAARDQQMCVHAMKIAGVHVKSAHAQMCVLSGKMVLHDRMHPHPAKMARLRLLIAGAPDQDIPQTLRPLHQPGGGHGTDP >Sspon.02G0022070-3C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:74675237:74677873:1 gene:Sspon.02G0022070-3C transcript:Sspon.02G0022070-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AFRLPTPARTKLRRLRRALSALSQRPRRPPTDARQARRPARRDSGPLVFPAAPLYRPGGKREIHQELTGSASPFSWIYLDFCSQTRRWRGNLSFRSVLGQVYFGSEMADEDSSDFTFCKVSSAENDGQPESPKAIPVESMTLEDVRVDSANTSKNNGVETVDSEKDRSGNNVSVSTKDNNMKAPFTQTSGGAESNVPLQAKRSSKKPAARAKVPFEKGHSQMDWLKLTQTHPDLAGTFV >Sspon.03G0024020-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3D:57814762:57815915:-1 gene:Sspon.03G0024020-2D transcript:Sspon.03G0024020-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSCIAAVAVLLLFVSCQLHGADAAGYRPKRFFTSIFSFGNSYADTGNFVRLAAPIIPVIPFNNLPYGETFFRRPTGRASNGRIILDFIAEAFGLPFVPPSLDTTQSFSKGANFAVVGATALDLSYFLEHNITSVPPFNSSFSVQIGWFEQLKPSLCSTPKRCDEYLGRSLFVIGEFGGNDYVFLLAANKTVEETRAYVPAVVKAIADGVERLIRLGAKRIVVPGNVPMGCIPIVLTLYASPNKSDYDKYGCLDKFNGLARYHNNLLRREVQALQHKYRHTKIAFADYFRPVVNFLQKPAKFGEINIITMLL >Sspon.03G0046920-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:50169979:50175294:1 gene:Sspon.03G0046920-1D transcript:Sspon.03G0046920-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTAKGRAGGATTAGVTEEDKAEWWLRFNNGVPVDDGDDKEEEEEEGEREGAERRATYVVAGCEEAEMQMGPGDGRAEMVARGGRKAETEAAAVGGKRRSSPRFADPAALNEEISGGNYLQPAELPRRKKKAVAKRTKLNVDGTEVQNNISQAQSPPPVVKGPERVYVENENLRLQLALKTTELKQEENRRLQLELIIKSKETESLQKQIEDLKAENEQLRKNDPHYTIETPMSSNDVPMTDADAGNPSELLVWPWTGVIATITADGDATSTLASHAQQHFVGVPTTMLQEEAAARANHRCHFLLLHFGKSWSGLRDAMSLAFHFARPGRSEWQRQRGDDDGDRVFGWAAGEEDLLGSGAVGRFLRESGAKARSVEDVQKDEARHADALGAVHDEYERREKFLKAQSEEMARLLRTMEQENSWLLGELKEVQDVADNKLPELSRGVDDEENEMLRAELDAIRRELQLKVDRIQELKECRTELHCSKVEKLVIEINSLEMEDREAKARDHVQMLHEKHKEEMEAINAKVDQLQKQLEQKEAQVSSGLLVSAMSLLDMKLKTGENLRKEECQHLYKLMTIWKECQEQERQRYQNAHVELTKRDRMNRDELQETCQDLIKFPLPDDHYAQCLESLMIGGCTAIGIKRMGQLDEEPFYHACKSKYRDDDPEGKAARLVSIWQEELKNTFWNPFTTILVDGEEKDVVDEDDPKLRQLWTEYGDNTCNAVKNALRELHEYNPEGRQAVKELWNFGEGRKATVAE >Sspon.04G0013140-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4A:46459997:46461512:1 gene:Sspon.04G0013140-1A transcript:Sspon.04G0013140-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LILDSNGLVFAGIGGSIQKDFVDPAMRSPMLVAGGEAVVPAVAEAVAVARERGIFLVWVVREHDPSGRDVELFRRHHYSGGKGPTVKGLKGAELADGLVIKQGEYKLVKTRFSAFFATHLDSVLKTAGIKNLVIV >Sspon.05G0015850-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:62483190:62484722:1 gene:Sspon.05G0015850-2B transcript:Sspon.05G0015850-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLKAPDVNNHRTVCRHDGGNATILGVGKAVPLHEFQQNSFSDYYFEISRSNHMVDLKAKFANICEKTMIEKRHFYLSNEMLRESPSITAYSSPSLTRRQELADVGVPELGVEAARKAMSDWGKQASDITHLVASTSSSGCMPGADCELARLLGLRPSTKRFMLYQTGCHGGCTALRLSKDLAENNPGARVLVVCSEVCTLSLRGPSASHMGDLVGQAILGDAAGAVVVGCNPTAEEHAMFELVMACQETVPGTEEALVSKLREEGIVYTLDRDIPRHVSGSVERLVELLLQEATVPAPDLNEEVFWVVHPGGRGILDRIESKLGLGEKKLEASRAVMRQYGNTRCSSVILVLEEMRRMSEQLGLRTAGEGLDWGLLVGYGPGITVEAILLHALPNKLKKAIAY >Sspon.07G0004170-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:9341526:9343926:-1 gene:Sspon.07G0004170-2B transcript:Sspon.07G0004170-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRLGVQGGERGGEEVRCCACARGVVRRNEDERRRSTEQASVKELESQAAKKDAVPKEDKH >Sspon.01G0050880-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:9253032:9255630:-1 gene:Sspon.01G0050880-1C transcript:Sspon.01G0050880-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLLATDSKLRLRLSPPSSTGEPCRVGLRERPRRRGSPTRALPATSSCASGPRSGIGGVDLGHTARGCTRTTRLLPFAVDDGLRMECAPVLGETLATVFAQVRVDAITTLLCILFLFNASCSLVMMCFFVSYYRIYARTSGTCLSAAGEEAHLQSLQCYPDMNKSAGAKELRFLCKILPFATLTDARHK >Sspon.01G0014600-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1B:31539949:31540276:1 gene:Sspon.01G0014600-2B transcript:Sspon.01G0014600-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LVWKLSGNKRNMEMKKMVVKEIAAENNVLLDFSEFQTVVELDGSSNVPHYHELNHEAIYQTDMDESSESDSYHSPSRNKDPCIVSNSDGTNNGQPKQKNMKTSVCTRR >Sspon.08G0004530-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:12971788:12975672:1 gene:Sspon.08G0004530-1T transcript:Sspon.08G0004530-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAIPARFHHLLLVVVVVFILLLVPSLTAAQSRAFGGPPPAYARYLVDAAATPAVELYDYIVVGGGTAGCPLAATLAGPGGGRVLLLERGGAPSEFPALATAGGFVRTLAMADPAPESDAPAQGFTSEDGVPNVRARVLGGGTAINAGFYSRAHPEWFRGHAEDAEVTNWDMPLVNASYEWVERLMTFQPAVHGWQAAVRAALLEANVTPWNGFTVDHVTGTKVGATTFDASGRRRSAADLLAFARPSRLRVAIRATVTRIITNPIDPAARRGRSPQPTIAAIGVVYQDRLLDQHQALLRPGGEVILSAGALGSPQLLLLSGIGPATDLSNLGIPVSADIPDVGKHMYDNPRNGISFIPSVPIDHSLIQVVGIPSANGTASYLEAASYIVPLAPELRSSSPFLGSSSPLYVTVATIMEKVPGPLSEGSLWLSSTNPLESPALRFNYLSRPEDLARCILGVRHVAEVLEGRTLDGFRSAVGLTNRRGGSVRRDFRIVGTALPVDWRTNDRALANYCQQTVATLWHYHGGCVAGKVVDRNFRVIGARAIRVVDASTFSETPGTNPQATILMMGRYVGLKMIEERYSRRPLPQDMSFRMF >Sspon.02G0021640-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:73608941:73611524:1 gene:Sspon.02G0021640-3C transcript:Sspon.02G0021640-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GHSRRVKWNEDNLYEIESNKPVRQKITEPKTPYHPMIDDDGSLSPTRPFDKCLDETVQAEAILTALNGVASSSSNSNSKDDGWGSSDDDTDAIEQDDDPEADTTRLSFKEHRRAHYDEYRKVKELMSTGSLTVEEADEDNRAATNSEYKGVGKRAANDDIKSSPQT >Sspon.01G0021570-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:83005192:83010742:1 gene:Sspon.01G0021570-2B transcript:Sspon.01G0021570-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein HLB1 [Source:Projected from Arabidopsis thaliana (AT5G41950) UniProtKB/Swiss-Prot;Acc:Q9FHY8] MEEPTETRPAGAEDLANGAREPEGPPPEVEEEEVEEEPPRSATAKQEEAKAALGSEGSRPFTMRELLGELKEDGETAAGGSSARSAFGDANGVGSADAEGSSYSQDSTQQFSSHHDVAMDLINSVTGVDEEGRSRQRILSFAAKRYVNAIERNPDDPDAYYNWALVLQESADNVDPNSSSSKDALLEEACKKYAEATRLCPTLYDAYYNWAIAIADRAKMRGRTKEAEELWKQAILNYEKAVQLNWNSPQALNNWGLGLQELSAIVPARDKQTIIKTAISKYGLAEDTMRSGQPDVSPNELYSQSAIYVAAAHALKPNYSLPLPYLKVGYLTAPPANNAIAPHKDWERSQFILNHEGLQQADASDQPPSQSPGHLDRGRKPVRINVADIVSVSACADLTLPSGAGLCIETIHGPTFLVADSWEALDGWLDAIRLVYTIFARGKSDVLAGIITG >Sspon.01G0017420-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1A:63927679:63931550:1 gene:Sspon.01G0017420-1A transcript:Sspon.01G0017420-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAMADSLGDLGWPVEDRILVLNVLRGLSDRYVHLRTWITRQRLFSTFLQVRDDLVMEELAQGLQPGSTSASGSSTSSAALTATPPRPFAPPRPSAPQPSSLLGPPPSGPSGGGGRGGRRRRGTGRGGGRGGGTHAPTAPQGTPWPSFQNPWSGRISMWPFHAPGGEPRPPAAMFIGAPPPGVSPTSPWATPSGTLSGVAGWDQAALAQSFSTMGLTPPVGPKWITDSGATYHTTPDPGILSSVHSLSSSLPSSIMVANGSCLPVTSVGTAGAHGSFRLPDVLVAPSMVHNLLYIRRFTADNSCSVEFDSSGLTVKDLASRRPLLRCDSTGPLYTLRFPAATSSSSPSVLSAAFAASTSSTTWHRRLGHPGRDALMQLSRSSGLPCTRAHDEHLCHACQLGRHVRLPFHSSSSHAARIFDLVHCDLWTSPVISISGYKYYLVVVDDFSHYSWIFPLRAKSDTFSTLLHFFAWVSTQFGLTIKAVQCDNGREFDNSTSRAFFLSHGVQLRMPLFLLTSGLRACTPPPTSSIVFLPLPAPLPRHTTLFSVPLLDTITFASSGARYPNTAATSHHKLDPCSTLCVFLGYSPDHQGYRCFDLTSRRVLISRHVVFDESVFPFSISSPPTTTPDLDPSSLFSTDTVVQPPFPWSPTGTASPSYLPGQGLSSSGAAPTPPRFAAPVRMYQRRVRPPPLLEISSPPGTLTRPPQSPPTRVAPPVYHPPLLHRHPRHVHPMVTRHAADTLPPRALATLPGDPQVSPVPSSICDALLDPHWRRAMEDEYAALLANQTWDLVPRPLGSNVVTDMWICTHKRRADGTLERYKARWVLRGFTQRPGVDYDETFSPVVKPATVRTVLSLALARSWPVHQLNVKNAFLHGLLTETVYYSQSVGFVDSSCPDMVCRLNRSLYGLKQAPRAWNHRFVAFLLTLGFVEAKSDTSLFIYHHGADTAYLLLYGDDIVLTASSEPLLRRIITALQQEFAMKDLGVLHHFLGVTVEPHPAGLLLHQRQYTRDILERAGMTDCNPCSTPVDTQGKLSEAEGPPVSDPTAYRSLAGALQYLTFTRPDITYAVQQICLHMHDPREPHLTALKRLLRYLRGTIDYSLLLHRSSSAELV >Sspon.06G0006110-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:19066424:19067665:-1 gene:Sspon.06G0006110-2B transcript:Sspon.06G0006110-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GHRGSRQAVPDPALPRGDPGGDRWPRRQERVEQVGHLQVHRGQVRRAPPRARVAADRAPGAHEGVRGAHLPEEQLLPRRRPRRAAEARARAPAQVAGPERAGAAAQVAGVVRGDGARPRAPAQGQEPAGGRREAGHRGDAQGPRPPAQEGQDHRGRRVPGPQARCPRPCRRRLRPRQARPRQAPQGTPRRAQRDCRGLT >Sspon.07G0022500-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:5917408:5918509:-1 gene:Sspon.07G0022500-2C transcript:Sspon.07G0022500-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYRLGDAAGREEGDEELFETASSVSGGESDDDDDEGDHFPGGGGGGAAGGDGGEGDCDHQDRRAFVPEPLRRMNSDSFYDMTSMISQLPAKKGLSRYYEGKSQSFACMSEVRCLEDLRKKDNPYKQKIKSCKSYVALGGMMAKKQPGSSSCANLDLVAANGFRTPPIRNGYHQ >Sspon.07G0023500-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7B:17143902:17146277:-1 gene:Sspon.07G0023500-1B transcript:Sspon.07G0023500-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPQQQFGLVETRPPLAAVLRPRFNIPGLHPSAAAAAAASGAGKIASTYDLVEPMRFLYVHVVKARDLPAVSATGAIDPFVEVKLGNFKGTTAVKAASHNPSWQQVFAFSATHLQSHLLEVFLKAKDLAGDDLVGRVVFDLAEVPVRVPPDSPLAPQWYRLETKRGDKLPHGEIMLSVWLGTQADEAFPDAWHSDAHAAAGPAAVASTRAKVYFSPRLVYLRVAAIGAQDLIPHDTSRPMSACVKLQLAGQVRRTRPGAPPGTPNPIWNEEFMFVVSEPFDEPLVVTVEDRVAPGRDEMLGRIVLPLAAAMPRHDHFGKPVEPRWYSLMRPSDDPERKEVKFASKIQIRMSLDFGYHVLDESTYYSSDLQPSSKPARKPSIGMLELGVLGARNLIPMKPKDGRTTDAYCVAKYGPKWVRTRTILDTLNPQWNEQYTWEVFDPCTVITVVVFDNGQIGSKNGGGPDQRIGKVRIRLSTLETDKVYTHFYPLLVLHPSGLKKTGELHLALRFTCTAWVNMMALYGRPLLPKMHYTQPIPVMQLDYLRHQAMQIVAARLSRSEPPLRREVVEYMLDVDSHMFSLRRSKANFHRITSLFFGFLAMLKWYDGIRSWRNPITTMLVHMLFLILICYPELILPTIFLYMFMIGLWNYRYRPRHPSHMDTKLSHAELTHPDELDEEFDTFPSSRPAEIVRMRYDRLRSVGGRVQTVVGDLATQGERAHALLSWRDPRATAIFIFLSLVLAIVLYVTPFQVLMVIGMLYLLRHPRFRSRMPSVPFNFYRRLPAKSDMLL >Sspon.01G0017260-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:56127382:56151175:1 gene:Sspon.01G0017260-2D transcript:Sspon.01G0017260-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSIEPFNRLVRLAARAFYDDVSMKGDNQPKTSRGDNRGMAVVVLDALTRRQWVREEDLAKALKLHSKQLRRILRFFEEEKLVTRDHRKESAKNAKAHNAAAAAANDGQPAAKEGEEKVKMHTHSYCCLDYAQQSEPRSPARRRAGVVFATMNSIEPFNRLVRLAARAFYDDVSMKGDNQPKTSRGDNRGMAVVVLDALTRRQWVREEDLAKALKLHSKQLRRILRFFEEEKLVTRDHRKESAKNTKAHNAAAAAANDGQPAAKEGEEKVKMHTHSYCCLDYAQICDVVRYRIHRMKKKLKDELDSRNTIQHYICPSCNKRYSAFDALQLVSYTDEYFHCETCNGELVAESDKLASEEMGDGDDNVRKRRREKLKDMQQRIEEQLKPLVAQLDRVKNLPAPEFGSLQTWERANIGAFAIGDPAAADSSRNSQGQYGTPMPFIGETKVEVLIDGVKEEGAESGKNGSELKVLPPWMIKDGMNLTKEQRGEKIEPQKLEISEAKDDKKQDSKDDQSVQEEYIKAYYEALRKKQEEEEAKRRMQQEGKIFVLDSQTERQVGKKYKRDDDDEGIEWEEHQPTGNATETYKLADLNAEAQESGDDEDDNVWEEG >Sspon.05G0037950-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:28989197:28991041:1 gene:Sspon.05G0037950-1D transcript:Sspon.05G0037950-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAALLACSLLLLCLALAGGTDAAKKTVGVYKLKNKKGDFSIKVTNWGATLMSVIVPDSKGNLADVDASSAFGTVVGRVANRIANGSFVLDGKTIRLNKDGTTVLHGGHRGFNRVIWTVKEYVPGGDSPYITLYYHSFDREQGPKKFDTKFFHVNLRDTLTSLIYITPSSLASPRNQVPGDLDVYVTYQLGCSPYELKARMNATALNKATPVNLANHAYWNLAGDGSGDALGHLIKVFASRYTPVDASMIPTGEIAPVSGTPYDLRTPTLLGSRIKHVSGAGMAGFDINYAVDGDGFRQVAYLRDPASGRALELWANQPGVQLYTSNWLNNVKGKGGRVYGQYGAVCLETQAFPDAVHHPNFPSEIVRPGGAYQHDMLFKFSF >Sspon.01G0006310-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:14647643:14650057:-1 gene:Sspon.01G0006310-4D transcript:Sspon.01G0006310-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLILVFLLLSSVDLRQISGATTDTLTLGQSLPWNQTLVSKGGNFELGLFSPGNSKKHYIGIWFKKVSKQTVVWVANRDRPILEPSASRFTLSDRGELLLRATPSNTLLWSSNASSPSPRTTVATLQDDGNLVVRSNATASASASASVAWQSFDHPTDTWLPGARLGYDRARGVHSFLTSWTDSENPAPGAFSMEIDPHGQAKFDLLAGGTHQYWSTGVWDGEVFENVPEMRSGYFDGVPYAPNASVNFFSYKNRVPGIGNFVLEVNGQMQRRQWSPEAGKWILFCSEPHDGCDVYGSCGPFGVCSNTSSAMCECPAAFAPRSRGEWKLGNTASGCVRRTKLDCPNDGFLKLPYAVQLPGGSAEAAGARSDKMCAVSCLRDCSCTAYAYDAAKCLVWNGELVNLKTLPVPGDEGIAGAAVVLHVRVAASESEVPPPAAHHSWRKSMVILCSSVSGVVLLLAGLIIVVAVAVVLRKRRGKGKVTAVQGSLLLFDYQAVKAATRDFTEKLGSGSFGSVYKGTLPDTTPVAVKRLDGLRQGEKQFRAEVVTLGMIHHINLVRLRGFCCEGNKRALVYDYMPNGSLDAYLFKNSSSSKVLSWSQRFGIAVGVARGLSYLHEKCRECIIHCDIKPENILLDEELGAKLADFGMAKLVGHDFSRVLTTMRGTMGYLAPEWLAGAPVTAKADVYSFGLLLFELVSGRRNNGSAEAGPISAVYFPVHAAVRLHAGDVVGLLDEKITGDANVKELERVCKVACWCIQDEEGDRPTMGLVVQQLEGVADVGLPPIPSRLHMLAMMNNGSKLDTEV >Sspon.03G0021830-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:66484762:66486309:1 gene:Sspon.03G0021830-1A transcript:Sspon.03G0021830-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GDMGGALRRLPCRRGVPRPRRCRPPLPLQAPPRLPEPVAKNALTFFHWSAAAAASSSSSHSLRSYCLLVHLLSRAALFRDASVLLESAISRHLSSSSPASCFLDAFFAAYEDSGTAATTRGLHLLVHAYARLRLPAEALEACRYLARRGVPPSLSAFNAALHAAQRAGSFGVAWEVFELMTLKRMYANQSTVELVIGVLSREGKLARTAALVERIHGKKCAPGVVAHVALTLRMIQEERVEQVILLLRRMLQRNILLDDIAYSLIVHAYCHIGDLKSALEQCDDMVRRGCRLNAFVYTCLIRAHCCKGSMDKAAQLLQEMLSMGAKPYDTTYNHLIAGCFRQGMIKEGLAYFDNMHEEGFVLDIGSCNEMLEGLCNAGEVRRANNLLTAMMDKGLLPDQDTYLSLINGYGKAGDVQGIVNMYHEMEHRGLDPGVEVFTTLIKGLCQCGNLNEAEKFFAVMKKKTVVPTSDLYDMLIRGYCEKRNAKRALWLYDKMVTENEKLVPSAETFMMLVRRV >Sspon.05G0016360-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:81124563:81127016:-1 gene:Sspon.05G0016360-2C transcript:Sspon.05G0016360-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSPGAAPTSSPEEEVAAEGHAHAEDVTEHEEEATPVVLKKGPWTAAEDAMLMDHVRHHGEGNWNAVQRMTGLLRCGKSCRLRWTNHLRPNLKKGSFSPDEELLIAQLHAQLGNKWARMAAHLPGRTDNEIKNYWNTRTKRRQRAGLPVYPPKVQLHLALSKRCRYDDFSPLASPQLSGTTNVQALGAAASAGYASSRPAPLDLARQLAVTNHQTVQLLSPAPFSAPSSPWAKPFARNAQYFQLAHSSPVSPSTPTGPMHRATPDLSLGYGVRGAADQSRLPPLSPSPGPRVELPSNQYGQPTPPTSAAAAAAGGLGGGVALPDHQNAASLEKMLQELHDVIKVDPPALVPANSGGAAVERHDGGVPENRFSGVQHRVDDDMDTLFGLVHPALSAPETVPPAAASNHSGSTSQHSSDDQNPSTVDLHVAGGTSSSDQDWGLDGVCQWSN >Sspon.04G0003910-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:12594351:12597074:1 gene:Sspon.04G0003910-4D transcript:Sspon.04G0003910-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGISRDSMHKRRATGGKQKAWRKKRKYELGRQPANTKLSSNKTVRRVRVRGGNVKWRALRLDTGNYSWGSEAVTRKTRILDVVYNASNNELVRTQTLVKSAIVQVDAAPFKQWYLTHYGVDIGRKKKAPAAKKESAEGQEGEAAAEETKKSNHVKRKLEERQKGRELDAHIEEQFGSGRLLACISSRPGQCGRADG >Sspon.08G0003700-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:9379222:9388580:1 gene:Sspon.08G0003700-2D transcript:Sspon.08G0003700-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding KFHRQKDNTKASPTLDSVSGPIYCLDRTEWIDYSIKLSNALCSFVLPSEDVGHCSDEIAVSQIVLSIPLAYLEQSVRWIIRILMTVFLCIRACTKETELPNHIKIFSKTLQYYMIRMFKRVLISTPALLPAFREEGVWDLIFSGNFFYFGSSVEDTCFQTVTDIQNGDVNSNQISIHSESLYRTDVKILQAEAISFLEFAATLHENTYNTPECSALLDALEHCISDPLAVSTLLKSFRVILQLATEHTLASFESLDVITRVLKAACRQAQELRNFSNFLCSNVMISQDGSQFKNIEGRTEDALICTELALSLFMEYVTISIDGRILVLHNADCIECLFDLFHEQNIQKHVLEQVLALFRLPSSSAQDHTAKLQLCSKYLENLARANEKEKVNTELLIDLLINMREIIMMDHMYYQNLFRDEGCFLHIISLLNGNFNKVTDERLVLNVLQTLTLLLEGNDASKNEDAVVLLLNILQKEKDDTVIAIAELIQILGAHSICGKDIRKIFALLRCEKIGAKHKHTSLLLTSLSHMLKERGPEAFFEFSGHDSGIEIKSPFLWPYNRGLSFSCWLRVENFPDSGMMGLFSFFTEDGKGCSAVLNRSALVYEE >Sspon.01G0006720-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:17731901:17733949:-1 gene:Sspon.01G0006720-1A transcript:Sspon.01G0006720-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEAEEMQVERLQEEADTGGADTDKLSYEIFSILESKFLFGYTDPHQLWLPKPAPQASAAAPGKAAAQRGKVCVLCVDGGGGGVRALLVGRALAHLESALRRASGDPDARVADYFDLAAGTGAGGVFAAMLFSTHSRGAPLFHADDTWRLVADHAPRMFRRPATSSSTSLFCRGKKRPLAAPTAVLEAAMKSAFGEELTLRDTIKPVLISCYDLKTSAPLVFSRADALENESYDFRLCEVGRAAWSEAGRFEPAEVASVDGATSCAAVDGGPTMGSPAGAAITHVLHNKHEFPFVRGVEDLLVLSIGGCSGGGSGAAGEAELRRMRRWGPKEWARPIARIAADGAADLVDHAVARAFGQCRSSNYLRIQAKRESMPPCGPDGEYDPTPGNVRALLAAADEMLKQRNVESVLFEGRRVGEQTNAEKLDWFAAELVAEHRSRGSRIAPTVAFKQAPQKPSALD >Sspon.01G0024830-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:88304035:88307889:1 gene:Sspon.01G0024830-1A transcript:Sspon.01G0024830-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRVSQLALRRLLSPPSATAAARRAAPVAAEAVSGGGGVLLPRGGVAGVAANGWSGSGPGLRLARRLCTYDERDDRALEEEAEKKFGWILKIFFLGTAGLVGYNSFRYFIWPQNVTLPDKFSGDNLLQQSISLLRVKDPLFKRMGASRLARFAVDDQRRMKVVEMGGAQELLNVLEGAKDDKTRKETLKALAALSKSEEAAGFLDKAGAYAIVSSTPNSGEYAEIQTYKTSLLTAFDQLKS >Sspon.02G0012040-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:35667951:35675382:-1 gene:Sspon.02G0012040-2C transcript:Sspon.02G0012040-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLALDLGLASSYKSRPLSCAPPQSLFVRPQQPPPLHTRSSEAGVQHAVDLRQQRCSAAAARPPLRSPALRLCLLSLDHVLKPLPPPHAIGGRGRRLLPLLRYLPAPCLILLLLLDPMATSSNASASTDGSESGGFPIANNPAIASQVLAAARVTPPPSDDDAKKPLWRYVELIERIGKGQGGNSKMRCRLCDHHFQGSYSRVKAHLLKISGFGVKFCRVVTVHVLEQLQAEVVAANAAVARTMPRDIPLPTEGNEKMRKRKGQPTSSSCAERNWSTYGLIHSSLRNRLNPGRAEDLVFTHQNLRLLSRKTEEYHHGPSAMWDIGADTFEADFEGGADFLEHADLSLDEPDLERVLEDLGALGITESSGAAAGCSLPADLLLVAFASSWPSASASAPSARAADSIEHCKVLDRTDHGSSGRSSHRFYGSTAEVNRTTPIFNANAATTLQVWEMILEPLCVYRGGAYKGKGPNREVVLDEEEAMRERTHRRVGLSDDAMRRPDRRAGLSDANGAYNRVGTADAQPMACRVSRWPIRCRCRSSTREVDGRWATQSRSVIGQTKKIQQQEIGCTQRDGMTAAVRPDRVTRGVEGADVDRRAQGRGVWPGLAATTAARDRARSIYLHATKIRKVLTATANDEPGDEAAARRLRRGGPDLLRDDTMAAGSIRIGRLNGGAAPGGDQPLPGGARSRSGGRKLCDPQLPVYNVAIFPIHVSSGLLIVEVEICLRSPPGERKRQRAAAVRAGRMEDDGGLRRHHAANVEGSDGRPQNEDGDRCKIRAGRRSRLGRRGRSFWRQGRGRRQWRRDRPAMAWCGSGTEGEERAGKREAAPEMGPYGGRGRSCTR >Sspon.02G0020060-1T-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2A:65484252:65484773:-1 gene:Sspon.02G0020060-1T transcript:Sspon.02G0020060-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSDGESGSGATGAIWVVICAPPPPSKPNGDGDGVACCWNPKGEGEGVAPNAAAEEGAPNAEVDEGVPKLSPPNAGAEEGVPNAEVDEGVPKFSEPNAEVVEGVPNTGVDDDAPNAGVVEAVPNAGVDDGVPKAGVDEGCRRPGWTTPRQMRGWWKACQKPGWWRGSRRREW >Sspon.01G0055100-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1C:73352973:73353174:-1 gene:Sspon.01G0055100-1C transcript:Sspon.01G0055100-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GPTRTPVSRRPFYFFCYPQLRSLGDGSTRCSGLLGGSLAALRKVFFGPFAGDEHHQVRPSLGLPFL >Sspon.08G0010250-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:39662750:39663930:-1 gene:Sspon.08G0010250-2B transcript:Sspon.08G0010250-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPKFKGEWSASEIEMVKSLIAKDNANNNGASDMNKKHNQIVDELQEMFPSKEKHQSGNQHVEASSNLMNQPFGVFVGDPSMGNKEVFNGYQQVEMFGVKNVKDTPRRKPTPRKESQHNGRQFLRGLHVYGRGNWKNISRHFVTTKTPVQVSNHAQKYFLRKENSTKKQRYSINDIELHDFEPLSQTNASAWEGPTFGGGVYKTNHYSFGGHPTSTNNAQAWSPFLYHTSHGSSSNSQMVTLAIGQQQEQMGASSSLVAPTMEADGAHLDWTSDMLGDLLDTQWMMN >Sspon.04G0016600-3C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4C:67166868:67167410:-1 gene:Sspon.04G0016600-3C transcript:Sspon.04G0016600-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSELVRNPRVMQKAQAEVRDHLQGKPTVTEDDLADLKCIKLVIKETLRMHPVVPLLIPRECRESCKVMGYDIPKGTTVFVNVWAISRDPKHWEDAETFRPERFEAGTVDFRGADFEFTPFGAGRRMCPGIAFAQASMELVLAALLYHFDWDLPGGQLPSEVDMTEEMGIAIRRKHDLYLRP >Sspon.04G0018090-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:65479847:65480185:-1 gene:Sspon.04G0018090-1A transcript:Sspon.04G0018090-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPSSPLEGTETWVTAPFLLQATPSHLQQSVPSCHNLARPVSSKSPLRNWRREVFSSSVQELAGVAKEISSRMAMPRKGIVNLLLHLLYEKCFGCIVSCLDEVPMVCNQGRA >Sspon.03G0009070-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:29171499:29174989:1 gene:Sspon.03G0009070-3D transcript:Sspon.03G0009070-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNTVASRMCRKEAGTAAVTTTGRRRPKSFVTEVAAAAPDAAPPRRLAFKPRKQRDDVELEHKQQDETTRAGPGRSRARALAGGVVSWAGGVGADDDAAVGKQASAAAAVVTVKIVLKRKDAEALVARLNAQSARERKARMAELKGEFRAGDCVGGGASPTPCRDARGAGTGRRRSENVTEVAAPPATPSRVVATARKQGRDDDDDVEEEETTRAGRPRAGRALRASGGDGAAPAGKVLTVKIVMTRKDAEALVARLNLKAQSARERKARMAELKGELRAGSCGGGRARASPAWSRVSRRPMLPPIKENRFERITPVV >Sspon.07G0001510-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:3485758:3488065:1 gene:Sspon.07G0001510-1A transcript:Sspon.07G0001510-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFVAAYLLAVLAGNSSPSAEDLTAILESVGCEVDNERMELLLSQLSGKDITELIAAGREKFASVPCGGGGVAVAAAAPAAGGAAPAAEAKKEEKVEEKEESDDDMGFSLFD >Sspon.01G0023570-1P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:82200570:82201509:1 gene:Sspon.01G0023570-1P transcript:Sspon.01G0023570-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VIPDKFEQHFRGLIANSVKLESRCGYTFDVEVAKNLGKVVLQTGWKEFVTAHDLNMGDLLVFKYDGTSRFKVLIFDLSCCEKMPPCRVKRNHIRGRERREELPVKSPGSKREAWKQREGNMNVNPSSSTSPSDTSGDSIYPEDQKLHCQGYILPRETRLTCVQMKKLKERVRANSSTIPIYGCIITKSNIHGKMAMYVGEEQAFPSFMRERATTSETGIGELKQWDDNQGRIQHRGGVGSSPRYRCSDHGAPPKPPLQIC >Sspon.04G0011130-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:34040911:34070362:1 gene:Sspon.04G0011130-1A transcript:Sspon.04G0011130-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFAVSGAALGGAVRAPRLTGGEEGSLLFRRTGPFLTRGARVGGSGTHGAMRAAAASGKAVVVPEGENDGLTSKADSAQFQSDELEVPDITEETMCGAGVADDAQALNRVRVVPPPSDGQKIFQIDPMLQGYKYHLEYRYSLYRRIRSDIDEHEGGLEAFSRSYEKFGFNRSAEGITYREWAPGALSAALVGDFNNWDPNADCMSKVRMDTPSGIKDSIPAWIKYSVQAPGEIPYDGIYYDPPAEVKYVFKHPQPKRPKSLRIYETHVGMSSPEPKINTYANFRDEVLPRIKKLGYNAVQIMAIQEHSYYGSFGYHVTNFFAPSSRFGTPEDLKSMIDRAHELGLLVLMDVVHSHASSNTLDGLNGFDGTDTHYFHSGPRGHHWMWDSRLFNYGNWEVLRFLLSNARWWLEEYKFDGFRFDGVTSMMYTHHGLQVTFTGNFNEYFGFATDVDAVVYLMLVNDLIHGLYPEAVTIGEDVSGMPTFALPVHDGGVGFDYRMHMAVADKWIELLKQSDEAWKMGDIVHTLTNRRWLEKCVTYAESHDQALVGDKTIAFWLMDKDMYDFMALDRPATPTIDRGIALHKMIRLITMGLGGEGYLNFMGNEFGHPEWIDFPRGPQRLPSGKFIPGNNNSYDKCRRRFDLGDADYLRYRGMQEFDQAMQHLEQKYGFMTSDHQYISRKHEEDKVIVFEKGDLVFVFNFHCNNSYFDYRIGCRKPGMYKVVLDSDAGLFGGFGRIHHEAEHFTTDCSHDNRPHSFSVYTPSRTCVVYAPAE >Sspon.05G0003320-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:10037958:10044161:1 gene:Sspon.05G0003320-2D transcript:Sspon.05G0003320-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MESIIARALEYTLKYWLKSFSRDQFKLQGRTAQLSNLDINGDALHASLGLPPALAVDTARVGKLQITLPSVSNVQVEPIEVNIDKLDLVLVEKDDSENLSSPSSTASSPSSATKSSGYGYADKIADGMTVQVGIVNLLLETHGGARRQGDATWSPPLAAITFRDLVLYTTNEKWQVVNLKEARDFSNNKGFIYVFKKLEWQSLSVDLLPHPDMFTDARFNSSSSQDNKRDDDGAKRMFFGGERFLEGISGEANVSALSFIFITCCVKLFNLSIFTELPFSGASWLTRCFERQITVQRTEQNNPLGLEVQLHITEALAEAAGSSLVSIIIDHIFLCIKDTEFQLELLMQSLFFSRASVSDGECSKNLSFIKVGGLFLRDTFSRPPCTLIQPSMQAVSQEPPPVPDFGQNFCPPIYPFGNQLLEFAAGVPLFSLYCLQTTPSPSPPKFASKTVITCQPLTVTLQEQSCLRIASFLADGVVPNRGAMLPESSIHSLTFSIKEFDLSVPLDAEEITRCSGTKNTSPQSSFSGARLHVEDLYFCQSPSAKCPLLNLDRDPACFLLWEYQPVDASQMKWATRAAHLSLSLETSSTSNGQRAVRDSNLWKCIELDDIRFEAAMVTADGSPLLDVPPPEGVVRIGVAFQQFGSNTSVEQLFFVLASDLHMPLVQFGGADLFLKVSHRTLGGAFAVTTNLMWRTVSVNCLEGESAMICENGTAVTGEHSNLVHENGHPKMRSVFWVDHRSKHQAKEAQFLDINITHVMPYDIQDMECHSLNVSAKVSGVRLGGGISYTESLLHRFGILGPDGGPGEGLLRGLKDLSSGPLAKLFKSSHLTEKEDERSKVDDHNSKFDLGVPDDLDVSIELRNWLFALEGTEEVGDCFSPRGGDRISREEKCWHSTFRNIHVSGKSSDRLKLGGGGKVSPKKAFPVERFTAGIEGLQAIKPRLRDQVTRKGSSNNHQMASEFNSPSSVGDQGVDVEATMVIGEDEIEGAKWTMDNVKFSVKEP >Sspon.04G0014980-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:59355609:59359201:1 gene:Sspon.04G0014980-2B transcript:Sspon.04G0014980-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MWPGLIQKAKDGGLDVIQTYVFWNGHEPVQGQYHFADRYDLVRFVKLVRQAGLYVHLRIGPYVCAEWNFGGFPVWLKYVPGIRFRTDNSPFKAAMQKFVEKIVSMMKSEGLFEWQGGPIIMAQVENEFGPMESVVGSGAKPYAHWAAQMAVGTNTGVPWVMCKQDDAPDPVINTCNGFYCDYFTPNKKYKPTMWTEAWTGWFTKFGGAVPHRPVEDLAFAVARFIQKGGSFVNYYMYHGGTNFGRTAGGPFIATSYDYDAPIDEFGLLRQPKWGHLRDLHRAIKQAEPALISGDPTIQSIGNYEKAYVFKSKNGACAAFLSNYHMKTAVKIRFDGRHYDLPAWSISILTDCKTAVFNTAMVKEPTLLPKMNPVLHFAWQSYSEDTNSLDDSAFTRDGLVEQLSLTWDKSDYLWYTTHVNIGANEQFLKSGQWPQLTVYSAGHSMQVFVNGRSYGSVYGGYDNPKLTFNGHVKMWQGSNKISILSSAVGLPNNGNHFELWNVGVLGPVTLSGLNEGKRDLSHQKWTYQVGLKGESLGLHTVTGSCAVEWAGPGGKQPLTWHKALFNVPAGSDPVALDMGSMGKGQMWVNGHHAGRFWSYRAYSGSCRRCSYAGTYREDQCMSNCGDLSQRWYHVPRSWLKPSGNLLVVLEEYGGGDLAGVALATRST >Sspon.03G0021560-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:65867514:65870500:1 gene:Sspon.03G0021560-1A transcript:Sspon.03G0021560-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSYMGQQELGISDGLYTYKHHREGGADIHVIFVKKSKFRVLLSYIGTMFLLATVCCALLSKYNCKMLAMRPCEERWVLRVIGDHADFWGSIRATLLEAFRPINAVDLKIYKDGDLYLPVFQKKTHPVMIMPAVPSSFITNIPIQLSCLNEANC >Sspon.06G0000710-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:2805532:2808474:1 gene:Sspon.06G0000710-1A transcript:Sspon.06G0000710-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPAESQQVELSHLEGRHRNPSSRPGTNGGSARTDDDDSAEKEEVDDCPIEEVRLTVPITDDPALPALTFRTWFLGLLSCALLAFSNQFFGYRQNPLYISSLSVQIVVLPLGKFMAACLPSKTVQIMGWSFSLNPGPFNLKEHVLITIFANTGSNSVYAVGIITIVKAFYHREIHPLAAMLLTQTTQLMGYGWAGLFRKFLVDSPYMWWPANLVQVSLFRALHEKEKRPRGGTTRLQFFLTVLAASFAYYIVPNYLFPTISTISVVCLIWKNSVTAQQIGSGVYGLGVGAFGLDWATVAGFLGTPLSTPAFAIVNIMAGFFLIVYVIIPLAYWSDAYDARRFPIISSHVFMANGSRYDVNRVLDPNTFQFSQAGYDGAGQIHLSIFFAFTYGLSFATLAATLSHVALYHGRSIWEQTKATVRAAGGDVHARLMRRNYAAVPQWWFQVMLVIVLGLSLFTCEGFGRQLQLPYWGVLLAAGIAFFFTLPIGIITATTNQQPGLNVVTELIIGYLYPGRPLANVAFKTYGYISMSQAIMFLQDFKLGHYMKIPPRSMFAVQLVGTVLASSVYFATSWWLLESVPNICDPTKLPEGSPWTCPGDDVFFNASIIWGVVGPLRMFGRLGLYSKMNYFFLAGALAPVPFWALARAFPDSAWAPWLRLINMPVLLGATGMMPPARSVNYLMWGAVGLVFNYVVYRRYKAWWARHNYVLSAGLDAGVAFMGIVSYAVLQSRGINGVDWWGLQVDDHCALARCPTAPGVSAPGCPVH >Sspon.03G0008640-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:38019228:38023633:1 gene:Sspon.03G0008640-3C transcript:Sspon.03G0008640-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVTSTIAARFAFFPPTPPSYTVVVADAATGRLAIPEISRAPSRRRRRDGGGGSSSASSVAAAEEEDGAEVVRLRTRRGSEIVGVYVRHARASATMLYSHGNAADLGQMYGLFVELSRRLRVNLFGYDYSGYGRSTGKPTECNTYADIEAAYNCLKEKYGVADEDIILYGQSVGSGPTIDLASRLPDLRAVVLHSPILSGLRVLYPVKRTFWFDIYKNIDKIGLVNCPVLVIHGTSDDVVDCSHGKQLWEQCKVKYSPLWLSGGGHCNLELYPDYIKHLKKFVSSVSKKTSKPEPKEITAKDGTTSKETEEAYSQKPQEATNKCSLISRKSLDSRVGKSKTVDVPDKPRMSSDDIDKFRRRRCLHRLGFPSCKSVPLLSVITGDQYKHLPPLCAKSESLNGITSSIVYSGCTGIGFTCVVEPLLTNLLAGVGRGGPAVPRSMNCGGRDGAVLVLLVSILLHQAFTLDAPEHLEHLLDRRAHLAVLLQATEGQLRDHGHHLLGGRVGLVAQAEVDELLQLALLDLADGHAGQVDLVAVPGDVDGGLRRDELHQHHPEAVDVALVRQLVALV >Sspon.02G0030650-1P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1C:85039034:85043133:-1 gene:Sspon.02G0030650-1P transcript:Sspon.02G0030650-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQYEVLEQIGKGAFGSALLVRHKLERKKYVLKKIRLARQTDRTRRSAHQEMQLIATVRNPFIVEYKDSWVDKGCYVCIVIGYCEGGDMAEAIKRANDTHFSEEKLCQWLVQLLMALDYLHAHHILHRDVKVVGTPTYMCPELLADIPYGTKSDIWSLGCCMYEMTALKPAFKAFDMQALINKITKSIVSPLPTRYSGALNSISSIRNIKDYTTTQSVKDLSIDDSQVEVTSKPVAPRTSNIMKTPKATPWKMMTTLRNRLEAPQASYDRTSHTEQFSRTPVDNRHSHLARRASLPLPVFETPNPKRSSMSILEQLESPDVSVNSPRIDRIAEFPLASWEDPLFSIVKPSTPTGGGSCSAAAAAATPPFVDRSLTKDKCTAQTLRSAAAAVTDDGDNGSGGSSGRDTGRFDTTSYQQRAEALEGLLEFSAQLLQQERYEELGVLLRPFGPDEKVSPRETAIWLTKSFKEATATG >Sspon.04G0003670-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:11247890:11249939:-1 gene:Sspon.04G0003670-1A transcript:Sspon.04G0003670-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEQLSPLAVTHLLQHTLRSLCGHDDAQQWVYAVFWRILPRNYPPPKILAWEDGFCNFAASACDHEGAAAPVAAAAAYTVECAAGQEAAKGLQPELFFKMSHDIYNYGEGLIGKVAADHSHKWVFQEAQEHEINLISSWSNPADSYPRTWEAQFKSGIKTIALIAVREGVVQLGSMNKYTRSHTQVAEDLSYVVMLRRKFGYLESIPGVLLPHPSSAAFPAVAGPAAEAACSWRPGLVVPPPMELYDPYAHQQAAAQMQIVPSMSSLEALLSKLPSVDPAAAGSGMAAAMASKEETGGVEHGECHGGMADVAAGSAGVAGNGGESSSVAADAAATTTTAAAAVSYYVNVAKPSEGF >Sspon.05G0004120-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:15531913:15539811:1 gene:Sspon.05G0004120-2D transcript:Sspon.05G0004120-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding QRGRSSPVASRFLRFSPSSPIPHRAAAAPARLCRNRPFPDTILNLLATREASSGERRESSRLSPRLHLAGVEVVSGPDYDDEYDDYDEYDDDDYDEYDETEYSNNHPVKMGKESLKKSSSTVPVHWTCSMCTFSNHESMVYCEMCGVFREAFVKSAKDGLLKAVAVLSEPRTSAASKIDSAKTPVKTRAVDSDGDSVRKHASMSYDKANSMQLPSAGSSLGAEKKKKTPVLSEEVPVERIALLASDGFQPKGNQSGGASSSSQNDNVIQKLSSDIGQLNVDKNNVNVTKPCLPEEYKPEKWMLADPESGVLSQLNLAIVGHVDSGKSTLSGRLLHLLGRISKKDMHKNEKESKEKGKGSFAFAWAMDESSEERERGVTMTVAVAYLETKKFRVVLLDSPGHKDFVPNMISGATQADAAILVVDASTGSFEAGMDGEGGKGVGQTKEHAQLVRSFGVEQLVVAVNKMDAVAYAKERFDFIKLQLGSFLRSCNFKDPAVTWIPLSAAENQNLINAPSDARLTSWYQGFCLLDAIDSLQLPSRDVSKPLILPICDVIKSQSTGQLAAYGKLETGAIQNGSKVLVLPSGQEATVKTIERDSNSCTIARAGDNVAICLQGIDGNQLIPGGVLCHPGFPVAVANHLELKVLVLDITTPILVGSQVEFHIHHVKEAARVTKIVALLDKTGKPSKSAPRFLKSKQNALIQVTLDEAVCVQEFSKSRALGRAYLRSSGRTIAVGVVNQIIGQDQN >Sspon.03G0021220-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:85145228:85150591:-1 gene:Sspon.03G0021220-2P transcript:Sspon.03G0021220-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLASLVGTMDRLAVGTYHSKIYEHCLAALDLRREHPDSLKNINMVEQSIIHAIISLTMKLTEGTFRPLFLRTLEWAESEVDESSSKKSLDRAIVFYKLVNKLAEKHRSLFTPYFKYLLEGSIQYLSEDDALAGSKQKKKKKKAKLEDVQVEQKDKLLGLKLWNLRALVLKSLHKCFLYDNDQKILDSSNFQVLLKPIVSQFVVEPPEFIESVLDAPSIEEVDETIILCLGQMAVTARSDVLWKPLNHEVLMQTRSDKVRPKMLGLKVIRYMVQHLKEEYVVLLPETIPFLGELLEDVELPVKTLSQEILKEMETLSGESLRQYL >Sspon.01G0012750-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:37634131:37638190:-1 gene:Sspon.01G0012750-3D transcript:Sspon.01G0012750-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein argonaute 7 [Source:Projected from Arabidopsis thaliana (AT1G69440) UniProtKB/Swiss-Prot;Acc:Q9C793] MEGEAVAKNERKVGGSGGGGVSNDGGANARRRWKGGGGRHHPIIQAYPALLPLPLHAAHARRNGTVALPLPPPVLVYLHQPPPPLLFPKVPACYGKPNGPPLLRGPPWRSRKPPPPHAVTAALLPLPHDTELIQHKKCFIHENQTSEMKANHLSTHHNSSITMHGVTIATRPDGGGVGGTAIPLYANHFLVCFDPGQKIFHYDVDISPHPSKETARMIKNKLVEENSNILSGALPAFDGRKNLFSPIQFQQDKLEFFVSLPAAASTRFIEAKENAHMIDKQNHKVFRVNLRLVSKLSGEDLDKYLNEGKDGIPLPQEYLHALDVILREGAMENSIPVGRSLYPRSMGEAKEIGGGAVVLRGFFQSLRPTKQGLALNVDLSLTAFHENTGIIAYLQKRCEFMKDLSQVKTRALAVDERREVEKALKNIRVFVCHRETDQRYHVHGLTEETTENLKFRDRSGKDYTVVDYFKEHYNHDIKFRNLPCLQIGKSKPCYVPMELCMVCEGQKFLGKLSDEQTSRMLRMGCQRPSERKGIIKGVVEGAFATRRNSYADQFNLQVSKDMTQLLGRVLLPPKLKLGNGGRIKDITPDRFDRQWSLMDSHVTEGSKIKSWALISFGGSPEHQSFIPKFINQLSSRCEQLGILLNKKTVVSPLFERIQILNNVGILESKLKKIQEAASGNLQLLICVMERRHRGYADLKRIAETSIGVLTQCCLYSNLSKLSFQFLANLALKINAKLGGCNVALYNSLPCQIPRVFSDKEPVMFMGADVTHPHPLDDSSPSVVAVVASMNWPSANKYISRMRSQTHRKEIIERLDVMTGELLEEFVKEVGKLPSRIIFFRDGVSETLFYKVLTEELQAVRFTCSRYPDYKPAITFVVVQKRQHTRLFHREKNGGSTHFADQNVPPGTVVDTVITHPREFDFYLCSHWGTKGTSRPTHYRVLWDENNFKSDEMQQLIHNLCYTFARCTKPVSLVPPAYYAHLAAYRGRLYLERSDSTATSRTTLYRSTPLQTAPLPKLRDSVKGLMFYC >Sspon.07G0022090-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:6760855:6761790:1 gene:Sspon.07G0022090-1P transcript:Sspon.07G0022090-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADESVYCPDCHRTTEVVLDHATGDTICTECALVLEAHYIDEGSEWRNFADDSGGEDRDPSRVGGPNDPFLSNTPLVTKIAYAGPQKTQGEGGHALPRMRVSAGGGGADHEQTLVDAFHAIADMADRLGLVATIRDRAKDVYKRLDEAKACPTRGKKRDVFYAACLYVACRNEGKPRTYKELATVTAAGAAAKKEIGKMTTLIKKVLGEEAGQVMDIGVVRAADYMRRFCSRLGMGNQEMRAAQEAARRLENGLDVRRNPESIAAAISYMVVQRAGAGKTVRDVSMATGVAEATIKEAHKDLTPHADMLFA >Sspon.06G0003490-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6B:8625551:8625776:1 gene:Sspon.06G0003490-2B transcript:Sspon.06G0003490-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding WSGLTPSSTRSTPLSRYRRPPASSSSCFYKPGHCGLTLPAIIVFRFNPALQKRKLLDEGLERKREAELKELYDGM >Sspon.02G0011800-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:29976124:29978970:-1 gene:Sspon.02G0011800-2B transcript:Sspon.02G0011800-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKPRQVVRKFLARPQHEGAGAVVRRSIGRFELRYFDPFLVLDEFSVSAPAGFPDHPHRGFETVTYMLEGAVTHEDFEGHRGTIKAGDVQWMTAGRGIVHSEMPAGPGTSKGLQLWVNLSSGNKMIEPGYQEIQSKDIACTSADGVTVRVIAGHAMGVRSPVCTRTPTMYLDFTVRPRGVVRQPVLASWNAFAYVLEGEGVFGAERCAPVGAHHLLLLGQGDGIEVWNKSDDRPLRFLLIAGEPIGEPVAQLGPFVMNTEEEIDMTVNDFECYANGFEKARHWKSQAMVALGVE >Sspon.06G0022740-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:35246573:35248977:1 gene:Sspon.06G0022740-1B transcript:Sspon.06G0022740-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MISPDNIRTAIGVIGNGTALVLFLSPVPTFIRIWKKGSVEQYSPIPYVATLLNCMMWVLYGLPAVHPHSMLVITINGTGMAIQLTYVTLFLLFSAGAVRRKVVLLLAAEVAFVGAVAALVLSLAHTHERRSMVVGILCVLFGTGMYAAPLSVMKMVIQTKSVEYMPLFLSLASLRNKLVNNSLLWLTPAAHRS >Sspon.03G0043870-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:85441747:85442381:-1 gene:Sspon.03G0043870-1C transcript:Sspon.03G0043870-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MYNLNPDIVTVMFIGNFIGIVCARSLHYQFYSWYFYSLPFLLWKTHFQTPLRIILFLAVEFCWNVYPSTAYSSLLLLFLHLCILFGIWFSPAEYPYIINKGT >Sspon.02G0044400-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:101232494:101238946:-1 gene:Sspon.02G0044400-1B transcript:Sspon.02G0044400-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTSREQGQAEHDHPTILHRGGVGDDAGGSGIGGGSRQVWVPGPVIVGAGPSGLATAACLKVRGVLSLVLEKDSCVAASWRHRTYERLRLHLPRCFCELPLAPFPPGTPPYPTRDQFIAYLDDYARAFGIEPRLGARVRRAAYDAAIGFWRVTVDEDAGDDGGATAAATEFLSRWLVVATGENAEPAWPEGVEGMDGYRGVAMHTSSYKKGDEFRGKNVLVVGGGNSGMEVSLDLCNNGAKASMVVRDKVVPAIQRFTENGVEFVDGHREDFDAVIFATGYKSNVPSWLKEEDLFSHFDGFPRKPFHTAGGARTASMQPASPRMASWAPPTMLLGLPATSRTNGRRPLRAPLLPTEAVTTVNDESVRTPHH >Sspon.07G0021460-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:2942493:2953240:-1 gene:Sspon.07G0021460-1B transcript:Sspon.07G0021460-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNILLLLEFQRKKIFEFDALLNDRVDIHYPLVEMPSKSKLSCVSWNNYIKNYLASTDYDGTVQLWDASSGQGFTQFTEHRKRAWSVSFSEVDPTQLASGSDDCCVKRNCIDTIRNVANVCCVQFSPYSSRMLAFGSADYKIYCYDLRNTRIPWCTISGHGKAVSYVRFLDPETLVSASTDNTLKIWDLNRTNCSGLSTDSCSLTLNGHTNEKVFSYYKTFPMPITSHRFGSIDPITGQVTNEDNQQFVSSVCWRGKSNMVVAANSSGSIKVLELV >Sspon.08G0003930-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:8019687:8021963:1 gene:Sspon.08G0003930-2D transcript:Sspon.08G0003930-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLEKGIEMEAAIGTSDAQQIPVMARGEEKELRISDLSVVPAATTDGGVIAANMNPGKNKSLIRCWLIVTASVMILTNHIQSAPKYQLIPLEPMVIFMMFMCAIFFVFRTMKQI >Sspon.07G0026870-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:57253093:57254588:1 gene:Sspon.07G0026870-1P transcript:Sspon.07G0026870-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDDITVHVEWLARRLTQQQEDAAATEQHRIMMNTLRVSRVPDHVREHNRDDYTPRLVAIGPLHASDSRLRAGYRLKMAYLHSLISRGHPDPGRHLAVIQSYVRLVAAREQEARAMYAAEDVDMSAEDLIQMLVLDGCFIIEHLVNVATGVEEASLHATPFGPVQLSVDLVLAENQIPFFVLVDLIKSTRLPEFDSTGYQPTELLMKLVLYYLAGEKGRDMSEGLPAANDVCHILHLLHAMVTAARTGWEPPPRLQDAAPVEMMQEAARLLRRLPVLLLVPLLYPILPEESRWRASYGREDLPSASDLKRMWVQFKKARGGSTGKAVVGIASVLGPVPLAVKLAHEDRLRFAAAADRVPGGAAAAEPDGSADLRPGDVSAYVWLMAKLVQSPEDAGVPGGGGGGDVVREREQGGHRARVGAASEAAAELEKSYLGQTLQKLRERSQHPLFMMWADVQRNYFTVPWAVVAEFVAFVTFISTILQTYGSFKH >Sspon.01G0061620-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:95068130:95077525:-1 gene:Sspon.01G0061620-1D transcript:Sspon.01G0061620-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKQTAVAASQRRPKRRTKETRKMVAPIDPMLWHKVAAVSGVAALGLGTYGAHMFRPKNPAYKEVWHTASLYHLVHTAALLGAPITKRPNVIQGLQLLCSLLGPGSSVKQVEFQKNVFGTKSAHAFVLLSEMLHQNNTIKAVVFSECRIGSTGATLLASALANNRSVEEFQVWEDSIGSKGAEELSKMIEHLATELAVTPDNQQQPEIIPETDVVDPSILGIAKGVEMVLQRLKRVEQGIRDLKEEIASLRYYEYHLVTELHRKMDYMMNYNIQLEERKVPQMFYLVSLDNRSKQLVTRILPGMRSLRVHMLCEFRREMHVVEDQLGCDLIQVDNQTVKSLLPYMSKFMKLLTFALKIGAHFIVGMGEMIPDLSREVVHLLDSSAMYGAAASATSMGVLGAAALYGRSTNGENQGGTSNMGEDMKTARQWLVDFLKGQ >Sspon.07G0038400-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:78105204:78107584:-1 gene:Sspon.07G0038400-1D transcript:Sspon.07G0038400-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MYVLHIFDCGAEIYEECQKLSGKRPDISTTAYIARVQSRISAVACLSCTQLCFSMPFNLQDSASSSCYTSFKTINEPKLGLWQTLASKAKEFLTRMAWRLFEN >Sspon.08G0024290-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:63269722:63273478:1 gene:Sspon.08G0024290-1B transcript:Sspon.08G0024290-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPAQNGNPTVSSLATTVPVQAVLFDIDGTLCDSDPLHHLAFQELLLEIGYNNGVPIDDEFFIKNIAGRSDVEAAQNLFPDWPPEKGLKFLDDKEAKYRSLAKERLVPVKGLGKVVQWVKDHGYKRAAVTNAPRINAELMISLLGLSDFFQAVIIGGECEQPKPAPYPYLKALKELEVSAEHTFIFEDSPSGIRAGVAAGMPVVGLATRNPKNSLLEAGAALLIKDYEDPKLWAALEEIDREEAKLKKGSA >Sspon.07G0015120-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7A:54148273:54153519:1 gene:Sspon.07G0015120-1A transcript:Sspon.07G0015120-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCHILLLVTLTCLALLCILSITIEADNNSIGSGQIRLDCGASKPTDDGPDGRTWHSDIDSEFAPSLEGSAIALDPQTTTVPYMNARIFTSNYTYSFPVSPGRMFVRLYFHYPSTHGIYAPSNAYFGVTASSLVILDNFYASQTSLAANDSFFREYSLNVTSGKLHLTFSPSAHHNGSYAFVNGIEIVPTPDLFTTTIPTLANGGLPDQFPIDPATGFQTMYRLNVGGPDISPHVDNFYRTWYEDDPYLYNDVPSVCPVKDDNITVTYTPSVPNYTAPVDVYSTARSMGQDAQVNLKYNLTWILPIDAGFFYLLRFHFCENQYPITMVNQRSFFIYINNQTAQEEMDVIAWSGGIGRTAYTDYAIVTAGTGQTDLWVALHPDLTTRPEYYDAILNGLEVFKLQNYRYNSFAALQSPLPPPPSPPDHVEPNNDKPAGARKRSSKGAVPAAIAGTVGAFALLLLTCFGKYIIGRWKERARNYRTRTSKKHLMTPQAEGNNLPSVMCHHFTFKQIQAATNNFDEMFLLGKGGFGNVYRGKIDGGVQVAIKRGNPLSQQGLREFRNEIGTLSMLRHRHLVSLIGYCEQNNEMILVYDYMAHGTLQEQLYSTKRPPLPWKQRLEICIGAARGLHYLHNGANQAIIHRDVKTANILLDDKFVAKVSDFGLSKVSLDVDATHVSTAVKGTFGYLDPEYFRTKRLTRKSDVYAFGVVLFEVLCARPVINIQLPEEQVSLRDWALSCQKNGVLSEIIDPHLQGEIIPECFRKFTETAEQCVAERSVDRPSMGDVLSNLQVALQLQERTGVNSSNGEAPLSLVAGTARARRGKRPARPGVPAGGEVERLPDLATSPYSSVRLWMSPRPRYGRLPQATDVQGGRQDTEERGRVLWRGQLASDGGGSSAREARWREAGALIAERNWLWMVNAGRTAGSWEGTPDLLLLPSPQAGICSKRGHSCLRSLPIGVSSLMDSEDKIHDHLEVTSKCDHDVLLKNEEELRPIYLPISLLKAITKNFSDGLEIGSGGFTVVYKENDVTFDCRDCLKEVEP >Sspon.05G0014260-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:52410618:52412058:1 gene:Sspon.05G0014260-2B transcript:Sspon.05G0014260-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKQFKVLLPPSFHKLHISDELAKCFDTGEGEGAPEPTALVVSPFGKVWRVEVGRDGDGAFLGRGWAEFLAAHGVDLGWFVVLRHEGGGALTVKVFDTSMCIKEFGAPAADIAC >Sspon.06G0008600-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:45252863:45253590:-1 gene:Sspon.06G0008600-1A transcript:Sspon.06G0008600-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPPKLVYQFVNTLRQRNVVPYFAKIFPGVGHGFACRYNTTDPFAVRTLKKLLLTCLIGSTNI >Sspon.02G0013370-2T-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2C:38185695:38186204:1 gene:Sspon.02G0013370-2T transcript:Sspon.02G0013370-2T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding WAVSGADPWLSDHGDLGRVFLVGVSAGGNIVHNMAVSVGVSGLPAAEPPRIEGVVLLHPSFSGEEKMEAEEGEFWRANNNRWAVIFPGATGGADDPRINPMAAGAPSLEKLVGERLFVCTASLDPRAPRGAAYCEAVRASGWRGKVEWFETEAEGHGFFVLNPGSHKAVE >Sspon.01G0022720-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:86117516:86123396:-1 gene:Sspon.01G0022720-2B transcript:Sspon.01G0022720-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTVAPAMMPTEDLEAAIAELPAKKGALREAFDSLAANSPYPLPFTWEDLDAHVSSVESSISRRFSQLRALEAARPARTSENKEGANEVGEEEEDVEEEVVEEEEEEEGEEEEEEEEEEVEEEEEEVDMQMQEADGTIGNQAKKYKEGGEDNKRDAGEENNMRDADEEAVTTKVSAGQGKEAEGEEELDGYAWWDGEEQDTEEEEMVEKMTKKQRRGRRGLPAGGIKEFAEACGRMDAGTLVEIVICVSVNKKLLFALHLAPDPAALVLQVVKLLLSSKDFKCSKVWGKCIRLFRWLSMNSVKHSADTTEQAKLVAKDWKEMIGRPERCGELDSLARGLLQFLISYNIVSEFNIHEIISIFAMVPRKGQQKKKNNTDNVKLCEDLGLADRATDLIDYMIGNGQHLEVFHVLQFFNLEDKYPPFSLLKGYIEKAKQTSVELFRKNETHESLVGHWHGGGNREMAVDSGWAGMEAGALCSECLVLAVVEGSGGGGGAGSMNLAIPKEIWIAHQLAQHKLADSSQRSAILAEIRYLIGAYKKKQRSVNPSTPSTWDSQHQPTKRKKRNKKRKKEELEHHEAQVNQQQQAQNENKLIQGKQHQLHQQENKAQVTEQQQQQHMQPPRPATLKLPTPAVPLVLNVAQIQNFVRPPYAAVPGVHNYPAQRGWPVGQGMPFVPQFRSPQYMGLPFNPFALHPPSYPR >Sspon.07G0009520-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7B:25481246:25481628:-1 gene:Sspon.07G0009520-2B transcript:Sspon.07G0009520-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RNTFKDTGDIASDGYHKYKDDVKLMSDIGLESYRFTTGSLFLGQGLFLVGEEQ >Sspon.01G0061890-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:98763134:98763406:-1 gene:Sspon.01G0061890-1D transcript:Sspon.01G0061890-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNYLLRFGEDSAVTKRMFFDRPRTWILYEPMDRDKSLLLAMTSSFITSSFPYPSPLFDLTHQMALSSYL >Sspon.01G0024760-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:86433342:86437361:1 gene:Sspon.01G0024760-4D transcript:Sspon.01G0024760-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDDNSSKNKLSWSKTLVRKWFNIKTKAKDFDSDYAADEVGVQWRTSFSDRDACKSKKSRTERLPKRNVERDGRVGNGFDGAYITNTQDYRVFVGTWNVGGRSPSSHLNLEDWLHTSPAADIYVIGFQEIVPLNAGNVLLTEDNGPAKKWVSLVRKTLNNLDQQGSGVYNYHTPSPAPDPIVELNVDFERSSRRQRNSSFFHRRSFQSFNRSSRIDMMDPHSLVDRRFSVCDRISFGSRPSDVDTSMRCGGSSDDENIDEESPSGIFFPPMPCAYGAPLCTDSRYCLVASKQMVGIFLMVWVRNDIREHVKNLKVSCVGRGLMGYLGNKGSISISMSLHQTSFCFVCTHLTSGQKDGDQLRRNADVVEILRKTRFPHSYYLAWGSELPDSSFLPLSEGLVEMHNWKQLLEKDQLRIEQRFGRVFAGWKEGRIYFPPTYKYSYNSDRYAGDDMHPNEKRRTPAWCDRILWYGRGLTQLCYVRGESRFSDHRPVYSIFTAEVQIPSQAQFGGITRSASLLGLDELPYPTYPRSYMDINFY >Sspon.01G0012810-4D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1D:37946202:37947017:-1 gene:Sspon.01G0012810-4D transcript:Sspon.01G0012810-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:3-oxo-Delta(4,5)-steroid 5-beta-reductase [Source:Projected from Arabidopsis thaliana (AT4G24220) UniProtKB/Swiss-Prot;Acc:Q9STX2] MLRNVLSVVVPNCPALVHVCLQTGRKHYVGPFEAIGKVHTPDPPFTEDMPRLDCPNFYYDMEDTLFHEVSRRDGAVSWSVHRPTTVFGYSPRSAMNLVGSLCVYAAICRKEGATLRWPGSRVTWEGFSDASDADLIAEHEIWAAVDPFAKNEAFNCSNGDLFKWKQLWPILADHFGVEWAGYEGEENRFRLEDAMAGKEAVWAEIVRENDLIATELDEITNWWFVDAMFGVETQLLDSMNKSKEHGFLGFRNTVTSFNTWIEKMKVFKIVP >Sspon.05G0009780-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5A:28008266:28011473:1 gene:Sspon.05G0009780-1A transcript:Sspon.05G0009780-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPASEELERRSRYLSALVRRTKLADPPQPEPEAEAVETKAKADVEPELKAPPRPHVGGEGKGGKEEEAKAEEKERTAVAAKARGEVKAAEGRKVAVCVRAADMPLPLQRRAVRIAVEAVAAMPRLESKRLALALKKDFDTTYGPAWHCIVGTSFGSYVTHSLGGFLYFSVDKVYILLFRTAVQPLAQPQ >Sspon.07G0037820-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7D:62710543:62714125:1 gene:Sspon.07G0037820-1D transcript:Sspon.07G0037820-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding CPPCRCVLRGCRPLRASCPPRPPLQYLRPPPPPLQHVRPRPLATQSRTIRPPHTRKNGIT >Sspon.07G0005730-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:12836206:12838757:1 gene:Sspon.07G0005730-4D transcript:Sspon.07G0005730-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFKRRNGGRNKHGRGHVKYIRCSNCAKCCPKDKAIKRFQVRNIVEQAAIRDVQEACVHDGYVLPKLYAKVHHCVSCAIHAHIVRVRSREKRRDRKPPERFRRREDRPAGGQGGPRPGPGAAGAAPAPAPVAARP >Sspon.01G0045750-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:92877077:92878471:-1 gene:Sspon.01G0045750-1B transcript:Sspon.01G0045750-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFGGNGNATIRCKYCSACLTVIPGERAIQCAQCNCVTRIRRADRIPLPRPAHVPAAFQRARGKKRAVLIGITYAGMRRGCGELRGPVNDVKCMRNLLCQRFGFPSECIIMLTDDQRDPFRLPTKENIRMAMHWLVQACSYGDSLVFHFSGLGAQVADDDGDEADGYDEAICPLDAFQRGPILDDEINEAIVRPLVHGVRLHAVVDACYSATVLDLPYLCHMSRNGFWQWEDESPPSGAWKGTSGGHAVLISGYSDGKNNFAMVSQGSGRIIIIHAHIHTSLNFFLFGHRSLPYIYACCLDDDAQMPDAYASVGAMTHSFIRALECEPRGVTYGRLLTSMRAIMKNRGGGYDLQGPIGAPIHTVANFSGVQEPNLSSSEMFDIYRKPFVM >Sspon.08G0021350-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:42832549:42832965:-1 gene:Sspon.08G0021350-1T transcript:Sspon.08G0021350-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding IHWWNGRLFVLLVTTLCVFTPLACLKRIGKQLNYQEVITGSRRLVDIWFSTVYLRTCVSFNFSLADSLSYTSTISVALAVVFVIITAGIAIIKLIGGQIPMPKLFPTIPDLASVWELFTAVPVLVTAYVCHYNGMSNF >Sspon.03G0016590-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:72796613:72799792:1 gene:Sspon.03G0016590-3C transcript:Sspon.03G0016590-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDHYQTLGIRRDATKAEVKAAFRRSALRDHPDRHAGSTDAAARADAASRFRQASDAYHVLSDDRRRAEYDLRLRGSTSSYARTSSSGWASSSASYGYGYGYGHGHGGGSWRRTPPGAGAGASVGSIDWEFLLKAVTRRGFLINLGFASVLLSGAAFLDGSILEIWKMNNSGKSFEDAMEKVEKRRREIRRACPFMVH >Sspon.01G0045880-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:1487714:1488532:-1 gene:Sspon.01G0045880-2D transcript:Sspon.01G0045880-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:TRN2 [Source:Projected from Arabidopsis thaliana (AT5G46700) UniProtKB/TrEMBL;Acc:A0A178ULL6] MALNYMGVAAINFVAALLSIPVIAAGIWLSTQADNACVQILQWPVIALGVAVLAVGLAGFVAALWRLPWLLLAYLVAMFVVVVALACLAVFIFAVTTGSSGHRVPSREFLEYDLDDYSGWLRARLDAPGRWDRIKACLAATPTCSDFNGTYATAQDLFTAAPNRMSPLQSGCCKPPTSCGYTFVTPTYWISPISATADPDCGAWSNEEAKFCYSCASCKAGLLQNLRREWRRADLILAVAAVLLLAVYAMGCYAFRTAKTDQLFRRYRQGYT >Sspon.02G0000080-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:16387080:16388506:1 gene:Sspon.02G0000080-2B transcript:Sspon.02G0000080-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAPCCEKSGLKKGPWTPEEDEKLIAYIKKHGQGNWRTLPKNAGLARCGKSCRLRWTNYLRPDIKRGRFSFEEEETIIQLHSILGNKWSAIAARLPGRTDNEIKNYWNTHIRKRLLRMGIDPVTHAPRLDLLDLSALLKPAAAYYPTQADLDTLRALEPLANYPDLLRLASTLLSSGPPAPAIGDHQNQLLPWILQAQMAQQAATAMAQQVAPPPPQAEQFMQQQQAGAACQQMPDLVHTNPTLQQQLAAAQHQPQDMAAAACHSMQPPSGYVDSLDVPALMQMVQQADASNLQQWSSTVTSSNNNNNVGSGVSTPSSSPVARLNHSSSTTTTTYGGASASTNNDAAAAALFNMQLSELLDVSDYM >Sspon.04G0015920-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:15233399:15241175:-1 gene:Sspon.04G0015920-2P transcript:Sspon.04G0015920-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADDPLDSSPSASAAAAAAGGVSSAPAPALRPRREPFEYGLLPIPKLVFPEGTLTQTLSQLKERLAPGGPRVGAAALAEALQIPAEQAALALGTLAAVLPAEDPELGEDGAGNADLRDLLLFLYIQSYKRLVPRAHKDSPAVADVWPSTSAFDGYLSALSPIQVTPRSHPRSSRVLTYVYVILQFVRSNSRRFMPSQADEESHQLSYLQKHMANILTLLADSVDGEGDDSMVSFGLCAIRLICIVNYPNILLCKFLSFMLMSEVLTMETFEHLGFLLQLSEGTPLSEEATFFANSDPDMPAAPVPTALVHDWILQHVASALEFMAEKSAAKENSQRNAFDPDVTMSDAVTSTRIHSSSPTGTSAPNYPGYYRNASFVEGCSKTSVVKQASDLKGHSIKVLNCHDSVIYILAPLKYATVYGCSDTTIILGAIGKVAPFNTYYPQLGEHLAQVGVDPNVNKWDQPFVLGVVDPHDSLSHPAGVSDVQAESATCLDPDLFTNFLIPSWSEVEGPTKYNPFTLPEVYWASQRKKHASLEDIQKNIRELEIDDNRKKELACALHAQFKDWLYASGNIRQLYCLQGE >Sspon.01G0050720-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:7091917:7093636:-1 gene:Sspon.01G0050720-1P transcript:Sspon.01G0050720-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLSVANSIVQLLVKSGRKSGGNARSRRAVEVKGIEIKAAANVLPPPRILAALALAAWTFFLYVHFSVISGTVEVSDRERLADPCRGRYIYMHDLPPRFNADIIHNDCRNTEGHWGDICASLSNGGLGRPLAHDGTDDGGVITGGAGWYGTHQFALDAIFHNRMKQYECLTNHSAVASAVFVPFYAGFDFARYHWGFDNATRDAASVDLTRWLMARPQWQRMGGRDHFLVAGRTGWDFRRISNLGADWGNDLLVLPGARNMSVLVLESTLKRGTDFSVPYPTYFHPRSDADVLRWQDRVRRQRRTWLMAFVGAPRPDVQMSIRVRDHVIAQCKASGACAMLSCARTPSSTQCHTPANIMRLFQKATFCLQPPGDSPTRRSVFDSMVAGCIPVFFHTGSAYKQYPWHLPKDDHLKFSVFIPTADVRRRNVSIEAVLRKIPPATVERMRKEVIRLIPSLLYADPRSKLETLKDAVAVAVDGILDTVARIKNGEDVNCGGPVDKDPPNLFASTASRFFPEGYGKQLASSVSFWQSRS >Sspon.02G0057590-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:62656270:62661641:-1 gene:Sspon.02G0057590-1D transcript:Sspon.02G0057590-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGAKRKKRRLEAGRHRGQEQPRTPRGPDLISRLPDEILDSIITLLPTKDGARTQILSRRWRPLWRNAPLNLEAHISYKNLNKDAHIPSICSLLSTHEGPLRRFSLTYHLRGNDFHLVDTLMQSPGSTTSWSWRFVHTVHFRNLNQLTLPNRSLNFPNLKHLTLAKVHISGTALHAVLSGCPVLESLVLDRNFGCHHLQISSLTLRSLGVSDGVEVWEKMVPIISLPNVMRTVRTLALLTATDLDLVTGFLKCFPCVEKLYIVSYTRMLTKNEQAYAPLECLDRHLKKMQIINYEDNIADVNFVKFFILNARVLEAMKFVVRHGQCGTKWIARQHKKLEVDDRASREPKLMGAAAIHREERDGRGRGVAAIHREERWTRKASEKREAEK >Sspon.08G0010520-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:41316603:41317722:-1 gene:Sspon.08G0010520-2B transcript:Sspon.08G0010520-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLDADMIPTSPSADSSPSSSDLDTESTGSFFPDRSTTLGTLMGVSAFGERRAARAPAAAGEETAEGAQRAAPDREEARSVGVGVWRRRRSRRRRRGRGRGRSSLGGSWWRLCRDHGDGGGPPTSLGEFLDMERQLAGADFLCDDGGTGTGASGRETAAAAAATALFEDGRVRPPQPQQQPAAEERGRWRLLRASEGSSSSSLARLPVLLTGICSGGAG >Sspon.06G0031920-1P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6D:75212452:75213055:1 gene:Sspon.06G0031920-1P transcript:Sspon.06G0031920-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISSSSGQYNSSSPWRAATTTLLLALAAGSLLLPALARGSSEHDQQRKDDLIRGLPGLPNDDVPFDMYGGYITVDEEAGRALYYWFQEVDQTAVEDPGTAPLLLWLNGGPGCSSIGGGALEELGAFRVHTDGERLLRNEFAWNKGMKLMGHNSQTNRDMQVKLTCERHAAANVLFLESPAGVGFSYSNTSSDLIVGDNRT >Sspon.06G0020180-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6C:8807852:8809686:-1 gene:Sspon.06G0020180-2C transcript:Sspon.06G0020180-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATGLSLGKSVLDGVLGYAESAVAEEVALQLGIQQDQAFIRDELEMMTAFLMAAHEERDEHKVIKTWVKQARDVAYDAEDSLQDFAVRLGKPSWWRIPLLLLERRRAAKNMKDLRAKVEAVSQRNARYHLIKGPSSKAVTTSGQYNLARETMSSTEEARRQHENAKLNLIQLITKKDENLRVISVWGTSGVPGETSIIKMAYDDLVRKNFECHAWIRIMHPFNQTEFVQNIVQQFYVNSLEETTKTSEKLTRGAQDLRRIWMMSEVDLVDEFKKVLNEKSYLVVLGNLSSMEEWDKIKICFPKNKNGSRILVCTEQIKVASLCVGTALPEHKQLSVDHTLCAFYEKSFSSPPSRPLPPAAALRMHTTTQSMPAASPRAEEAISSSSSSRPLPVCLAGRLPLPRRGCVGRRLGPIPRLVGLRLHISAGASRFRYSHLRLLSSALYVPCIAKELP >Sspon.03G0020150-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:62519827:62520591:1 gene:Sspon.03G0020150-1A transcript:Sspon.03G0020150-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPAVVALLLPISTLLRLGLTTAASDTSCAPATCGGLNITYPFSLVGVHPLECGYPTFALTCDAAAGRAYLSRTFRVNLYRVLNISYDSRSMLVAVDTAFSGDGACKIPDFNVSSGLGLFPVNTSATNSDLTFVYNCKIPRNELLTGPCAKHAVGAYISKRPGDVESTRPSWVQANCSSASVPVREFQDGMNLTRESVYERLISDGFLLDWPTLGDCDACKQKGGLCRFFDLSFQCVNSSTSSRGKHRYYLVLE >Sspon.07G0024210-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7B:22931774:22932941:-1 gene:Sspon.07G0024210-1B transcript:Sspon.07G0024210-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTTPFTSLSKNFARRKGSCSTGYLQKRSSRKTAPYSSRADFSSIFLRVFPQTQKFHKGRGTNV >Sspon.03G0019430-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:46649841:46653124:-1 gene:Sspon.03G0019430-3D transcript:Sspon.03G0019430-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNASGSSWCSRVAVLQQVLGPQASPHGSGFGFGLHQQRLGDASSSPGGMEPAGAVMPPLLFRALASLNLLLLIGYLLLLLLAKLFACLHHRATAKDRTTSSWYDGRCDHRTQAMATGEAEYAAAADIVEGQQADMLFWFDEAVFEDSTLLLGDEGKDHHLYAATETARCLQVERNFPVEESARISPRDLNHHLVHAEAEPVQQVQEEAKGIAVVDVPTTVLEPEQRSAPAPVVVPPENVSVEGNQSPRHTLSLLLVILIFIRFISRIVCHRHLVSGNKQQESKRAGEGARRDVDADRAEHEEEGPPQAKSFSDEGQRDVKLFVNNRALADTRKLLLEGGVVAGGGGGAKAAQLQDENDDKDKNGDSSRFGASTLTSESTSKSSVEWQSSTVTKDSETEYPFSSSSRRSSARWESYTLFRKYDEDMVYFHRVGAQKLTETESFRSIKYQPRSMSERIVHKLTPKPSTPKPIGLRDPYPELERAYVAQVCLTWEALNWNYTSFRRHNGSDGNIAARCCPARVAQEFQQFQVLLHRFIENEPYECGRRPEVYARMKNSTPKLLLVPEFRDEDDEKDDLISAVQFLLILEESIRTFMTFLRADKRSHYEMFREMVKRRVSAVDQPLVVTLKKANKKKKSRLKDLTRPRRCLKRTKLREEEELSILLGLIDLKVVARVLRMPEITDQQLHWCEEKMNRVRIDLEGKMQRDPTPLFYPAH >Sspon.07G0025150-1P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7D:31965359:31965757:-1 gene:Sspon.07G0025150-1P transcript:Sspon.07G0025150-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSRFSYHQQPGSPGDASAAPSDGRDHNLTVLLTFGIFFSSILLYLVAGVIWASVITACAVALSFCYLKTRQRAALRHRAAMRAARSRGGTEAAVVLSVIPAFAYKREGGIGRGDATGWAQCVICLGLVQVGE >Sspon.01G0011530-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:50942660:50944626:-1 gene:Sspon.01G0011530-4D transcript:Sspon.01G0011530-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSPPAMPLIGHLHHIAGRLPHVSLRDLAARQQGDGGLMLLHLGTVPTLVVSSPHAAQQVLRTHDASFASQPGSVVGDILSYGPSDVGFAPYGEWWWQAKKLVTMHLLAPKKVQSYRPAREEVGAVIARIRGAAAAAHGPVDMSKLLSSFTNDILCFAVAGRSFRVEGRNKVFRELIDAGMVIVGGFNLENFYPRLAKVAGGMLTWPACQRAEKLRGRWDNIFDTLIDEHAREMAGADGGADLQKSDFIHVLLSVQEEYGLTRNSIKGILADMFTAGTDTAYLVLEFAMAELMLHQDALAKLQAEVRKTMPDGQETINEGNLAGMTYLKAVIKETLRLHPPSPLLVPHLSLEDCDVDNYMVPAGTTVFINAWAIGRDPRMWNAPEEFMPERFIDSKGDITGADFRGNDFQFLPFGSGRRICPGVNFALASVEIMLANLVYHFDWELPEGVHNVDMTEVFGLTVRWKEKLLLIPRFYPPSTQEE >Sspon.01G0015470-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:46425517:46434401:-1 gene:Sspon.01G0015470-3D transcript:Sspon.01G0015470-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:4-coumarate--CoA ligase-like 6 [Source:Projected from Arabidopsis thaliana (AT4G19010) UniProtKB/Swiss-Prot;Acc:Q84P24] MQDAVAAPGPANAAATFYCAATGVYASTHPPVALPSDPSLSLVPHIFARLPAARPDAPALLDAATAEALSRADLRRLVSALAAGLRRRRGLRGGDVVLLALPNSIAFPVAFLAVLTAGSVATTMSPSSAPAEIAARVRDTSPALVFATPDNVGKLPPLRVPVVLVPDAFHLADDGAPEFAPFRELLLFDSEPLPAPPVGQDDAAAILYSSGTGGRSKGVVLTHRNLIATVELFVRFEASQYATPACDNVYLAALPMFHVYGLSLFAVGLLSLGSTVVVMKRFDAGDAVKAIHRFKVTHFPVVPPIMAALVHAAKPAAMPLESLVQVSTGAAPSSGRLIDDFVKAFPHVDLIQGYGMTESAAVGTRGFNTSKQKKYASVGLLAPNMHARIVHVETGCCLPPGSCGELWLHGPAIMKGYLNHEGSCAINDGWLRTGDIAYFDFDGYLYIVGRLKDVIKYKGFQIAPADLEAILIEHPGIVDVAVTSTEDEEAGEIPVAFVVRKSGSGLSCTQVMDYVAKQVSPYKKVRKVVFVESIPKSPAGKVLRRLLKDSLAASAVAGPTSYSESNSMRHSRL >Sspon.02G0012080-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:25992726:25996329:1 gene:Sspon.02G0012080-4D transcript:Sspon.02G0012080-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSGPARGSSASAGESLRNSCNDFARTLARLPATIMEGLSRSIPRRAPRRSHPHHLQPPPPPQLPLPPFVPEELFFFSLFEQQYGGHHPFFYGCRFADALRAARREGKLVFVYLHDPGHPYTEPFCRRTLCADVVVEFLDANFVSWGAVTGSGEGPGMVASLQPGSFPFCAVVAPVSDESIAVLQQVEGPVSPSELVEILQRTIDEQGAAFIPSGPVEQAAAARSSRSAEEEERRRSAQRLRQEQDAAYMESLRKDQEKERSRKSQQEGVSKPRAGNELRPRRAGQAPREPTKTTQIRASPHKESAPAHRTEPNTKIMIRFPNGERRQQSFHHTDTIREVYRYVDSQGIPGIGSYQLVRSYPRKTYGQQQLGMTLGDAGFHPSVTLYIEQLHMHWNNMLKVCHTFEVVSSADTSDHLGARCPSSSLTLGEAQQKASGGAGLAEGWMAVGVLGAEAELEAGAGIGAPDLGDGGETAEGAGGAGGRGGVSRVVHGEGLGCW >Sspon.01G0030310-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:105391747:105394869:1 gene:Sspon.01G0030310-1A transcript:Sspon.01G0030310-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MYDSDDTLMYFNQSRPLDMKKGQSRHSLKTFSSSGHSGVRLNNEDLVNDKLLIDCGEDQDCVLGGIVALGKPPIVAHCDRKRVLSSWAPYCRNVVPLEYQVEFSKVRSLSPRQFVERLSKDLRIKGVVAGENYRFGYKASGDAAELVKLCEEFDLSAFIVRSVMDTAKRSHNGVSAAINSSDKGQVSSSRVRHALAMGDMEYVSELLGRKHRLVLMVNQHCLHEKKNIVLPNSCMLNMPPAEGLYENCDLVNGGYLGPCRVIIDSDTIIIEMKDENSLSLNPIQEIRQLGIEFG >Sspon.04G0000660-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4A:3033288:3033523:1 gene:Sspon.04G0000660-1A transcript:Sspon.04G0000660-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DVTATKGNEFEDYFLKRELLMGIYEKGFERPSPIQEESIPIALTGSDILARAKNGTGKTAVFCIPALEKIDQEKMLFK >Sspon.05G0021790-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:83214648:83217695:1 gene:Sspon.05G0021790-3C transcript:Sspon.05G0021790-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding METSGAAAAAAATEVGWYVLGPNQEGVGPYALAELREHFAKGYLNESTMLWAEGRKEWMPLSSIPELQSAVTSKDQSKQDAPDVDDDFEKFQKEVTEAEAEVDQQDDERPATPPDGE >Sspon.03G0008720-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:28538077:28539309:-1 gene:Sspon.03G0008720-4D transcript:Sspon.03G0008720-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVENDHRRGAKVTSAQSNSTGPAPRPSSSSRARLHDFSFPTLSWGTHRVLRCSKNGPASSPASPETPSLDKEEKAHRPEGGGGSLQLQRQRAALRPWNLRTRRSATAAPTRRQAGSDEADEEAQAAAECAPAPTAEAKKRAFSIALSKQEIAEDFAAIRGTRPPRRPKKRPRTVQRQLDLVYPGLCLVDVDPGSYKIEER >Sspon.04G0003580-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:11903216:11903912:1 gene:Sspon.04G0003580-2D transcript:Sspon.04G0003580-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding WRRRASSGEAGGRTRWLTSTSTTPPPTAAATTSRSPSGARCRPPRRPATRSPPPPPRTTVPSRRSTEAGGPAGPRSPTGQLQGTVEAAAGTRGRGGLISLIRRRPTTATGGRDTTTMTSSRRTGSRSRRTGTRSPATAGRRKVTTMTRMTTTRENHVTKRTTTTTPMTMTRSSLTRRTTAAATTTMIE >Sspon.02G0013430-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:50080223:50081659:1 gene:Sspon.02G0013430-4D transcript:Sspon.02G0013430-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWDGEVGMNSVPDGVVQHILSMLSNARDVAACACVCRRWRDCVPYLPALFFARNAFDAAAAARGAADDVIGRMVTSVARLRELVIYCPFSMARLPAWLAARSATLRVLELRMDAAADKVAEGGHLDCIGLAANLEELRLWGVSLTAAPAWGRMERLRVLEVVGAPLRDSAVRDAIAACTNLTDLSLLGCDCSGTVAIDLQLLQRCRLDILGAGNCSLLLTAPRLESIEIQGFTWITLRGGHSLRRLSIAKSTGRVHKVDTGNLPDLDHLSLRGVQWNWAAVISVLQCASEVKHLVMKIEFCGDLDALQPFPEVDLVDFFDSHPKLRKFEIHGAMFAALCQKNSLKNLNSRFLIPCLEEVLITVRSPLNAEQKLNTLESLVKYSVKLRTMVIRISQMKNCHEAADEFFEEI >Sspon.05G0025270-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:39839094:39844927:-1 gene:Sspon.05G0025270-2C transcript:Sspon.05G0025270-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIDDERPYQSHIFHDLASNGSRKLDSEAERQSDLKFLADKMVEQTNQSELSFVKAEHLNGAKIGQTRIEDYSYDKDVVEIKLPDTVLSSDYGVHFVKDVCIDEGVLPDQKTSPEKQVDQKVSINFDSSEYTNGDLREEISAGSTKTAYELKSEIVILPVMCDTDGNTGEQNSSCKKHDLEDNNTADVSTNSNDEELNPKQLPCHEVAQDCQDVGSVICEINENQDRLLTGEATHQVSSNDCYETGIDIASETSNIIHNDLPVESAAADFSVVIPEEVAVSAALDMEGSNQVNHYNPFIAYGSLDETWEPNYSLPTIVDAASIAPICPVEKTDSFSDLVNRALEGFDPIEIDEAIIEENRLDSVEESSSTLDVQASEQCNDQGESLTNNDVKTDVAHEMGTAISLSTSNGEHSDVKSEQGQKREIDGQDINDFNPRDAELGTKVSEDITDSKSSTPVQTESVVQQNGPDSAKVTAQTVIRNPFESSFSGPSITSGPLTPSGHIPYSGNISLRSESSTTSTRSFAFPVLQNEWNSSPVKMAKADRRRLKEDRGWGYRILCYWAEQVVQLEG >Sspon.05G0022910-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:8790539:8794168:1 gene:Sspon.05G0022910-1P transcript:Sspon.05G0022910-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMVHLQVFILLLLSSPCQSSDQLTRAKPLSPGDMLISKDGVFALGFFSPTNSNESLLYIGIWYHNIPDRTLVWVANRDSPTTTPSSAKLAITDNQELALSDSTTGRALWTTATGGAGVVAVLLRSGNFVLRSPNGTNIWQSFDHAIDTILPTMRVLLSYEGHVANRLVAWKGAADPSTGDFSFSIDPSSNLQFFAWHGTRLYYRVNFFSDISAFGGSGNYGTSVILHLSRVGDELYFVYTVSDDSPAYTRLLFDYTGKVRLLTWNNNTSSWTAGYERPSSGCDLYTACGPFGYCDNTESLPSCRCLDGFEPIDGHDVSRGCRRNEELVCGMEDRFVTLSGMKAPQGFVHVENTSFDQCVAECSTNCSCTAYAYVRASTLGTLAYTSWCLVWTGDLVDTGKVPSFGQDLYVRLAVSHGMQCIAAHSSIHMKSIGNIDAIFFEGLLISKEEQFGKDFAPDYSICAANRIHIPCLDIQIHRNTAKEESPEETDVRIFENLEFPFIDFEDIAVATDNFSDTNMLGKGGFGKVYKGMLEGTNEVAIKRLSKSSGQGTEEFRNEVVLIAKLQHKNLVRLLGCCIHEDEKLLVYEYMPNKSLDYFIFGTCSFKNFVLHCKKINASMADKVQDNQGDSMRNYDSRLTIIHRDLKASNILLDTEMIPKISYFGMARIFSGDQNQANTNRAWNLWKDGKIEDLVDSSVKENYSPNCRPLMSVVVFMLENKTTPLPIPIQPVYFARRDVEPGRADNNRVSSVNNINLTALEGR >Sspon.01G0029030-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:34048990:34053537:-1 gene:Sspon.01G0029030-2B transcript:Sspon.01G0029030-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPRCCHRRRSGAFCLAVLLLLHHLHLHAGAQAALALARQDVAALHGLRASLGVRASDWPDRADPCAFWSGVACRAGRVAELRLSGLRRTRAGARRAAFAVDQLRRLTALEAFNASGFPLPGRIPSWFGRGLPPSLAVVDLRNARVNGELPTDLGVSGNLTTLVLSGNSLSGSIPPSLFSIPGLRVLDLSANDLTGPLPNVSFSASGGPGVLFNASGNSLYGAIGDAAGSLMKRFWVVDVSDNYFDQVLGAGFQNGTDGVADFRMNCLSGAASQRTRGDCEAFYTRNGVRLALDPKPSSPSPQPQPQPPQVLLMPSTSKRGGKWKFVLAGVLGGAAIVVILGLSALVVCLLRRRGRRPRGRGVEQTEEGIRSGRRSSSVNPVTMSPTASPGASGSPKGLPVIIDEFTDEQLHHAAGGFGDDNLVKRGHSGDMYHGVLESGFQVVIKKIDLKSSKKCQGELSFLTKHSHGRIVPLLGHLAKDEEELLVYKYMAKGDLTTALHKKSVEVEQGLRSLDWITRLKIAIGVAEGLCFLHDECSPPLVHRDIQASSVLLDDNFEVRLGSLGEICTQQSAGSQSFFSRILRSSRSLDKNISGPPASCSYDVYCFGKGSWRFAFHGNKYHSWEVMPTSGQALARKDTAKSQGSEASNEDEENSFSFKRASRENFPDPIEFEESVVSSLSLSRKVITIIGLNEIILLQLKTFEHSHRSVLSVMEHSSTAAVSS >Sspon.01G0003560-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:9958154:9960055:-1 gene:Sspon.01G0003560-1A transcript:Sspon.01G0003560-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPMLPATVTVKEEWPPEEEEEVVEDVDAPRSMEGLHEVGPPPFLTKTFDLVADPATDEVISWGRAGNSFVVWDPHVFTAVLLPRFFKHNNFSSFVRQLNTYGFRKIDPDRWEFANEGFLRGQRQLLRLIKRRRPAAAPPYLQASQSQSQQLQGSCLEVGQFVGLDGEMDRLRRDKSILLAEVVKLRQEQQSTLAGMRAMEERLQHAEHKQVQMMGFLARAMQNPDFFQQLAQQQDRRRELEGALMLSAASRKRRRPIGAAPALDGGVQEQEEQADDDDPTATQALFAELDERGTTSELENLALNIQGLGSKRRQDGGEKQGGGARSQQQAAAVGGGETAELTDDFWEELLNEGMRGGAAAEMLPPERRRPGWYVDALAQKLSSMSNTTAK >Sspon.06G0016060-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6A:87589330:87591981:1 gene:Sspon.06G0016060-1A transcript:Sspon.06G0016060-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding W >Sspon.02G0005460-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:17074301:17076457:-1 gene:Sspon.02G0005460-1A transcript:Sspon.02G0005460-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VGAPRRRAVPRVSRRSLGPRLRRAVVPALAGAVPVQLLVPVQRLLAAVPPRPLGGALRWRGRGVRRRRGPGLARPRPLLPGARGLALRGARAHRAVRAPGPRALGARRGGGAGPRRRRAHGAVRQPEVRRRAGRRAGQARSGAAPPQRHVRHRALLLRHPAPPDRLAPLPRRVRRWRWRRGQERHAHRRRQGPGEELPERLLRRLLPMRAVPAKGEGEREPRGLRRRRRPRAADAGAGLPADGPHVAAGQEQDGLHPHRLRRAARHALHRAPDGVRQRQRRRRGAAVQPGPGEHAAGRGLAAVRAHRQRGLFCCLRDAARRPPPRPRAKPDDDRLVGCVLVN >Sspon.05G0007160-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:22429808:22432727:1 gene:Sspon.05G0007160-1A transcript:Sspon.05G0007160-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LAINQKSGKIEDGSNGDVAADHYHRYKKDKGAGSSLQKIESHSKSHWQEDIEIMHSLGLDSYRFSLSWSRILPKGRFGGVNLAGVKFYNSLINGLLGKGNAFFVLLLCGQLPPSTNATLQNKQGFQFSLFHLGLAFDTLGAGIQPFVTINHYDIPQELQERYGSWLSPEIQEDFTYFAELCFKMFGDRVKHWATFNEANFLVKLKYFMGKFPPNHCSEPYGKCDSGNSSTEPYIAAHNMILAHAKAVNIYRKYYKAKQGGSVGISLYMRWYEPLRNITEDHLAVSRALSFQAPWFLDPLFFGDYPHQMRQILGPNLPKFTEGEKQLLKNQIDFIGINHYETLYIKDCIYSLCDLDTYAGDALVTESAERNGILIGKPTPVADTYVVPSSMEKLVMYINQRYKSIPLYITENGYAQIGNSSTTAEELINDTERSSYIHDYLTYLSYAIRKGADVRGYFVWSLMDNFEWLSGYTTKYGLYHVDFKSLKRTPKLSAKWYSKFIKGYEQIEMASEESPKHMYRVR >Sspon.08G0010690-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:47018752:47024402:1 gene:Sspon.08G0010690-1A transcript:Sspon.08G0010690-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKFLSKVVVEYCPLDPRKAAAVELVAQCNGRKAKDSNPACSVELRRLPSLPLSTDPKSQPTLLPPRVLVTYLNGAEEAIIAAEGATAQGIRDQILARGRLIDTEQMFRDGGEKWPVLIPKEELGMSFPGIKCATTTTKAPRPAAKGGGMLKFLSKVVVEYCPLDPRKAAAVELVAQCNGRKAKDSNPACSVELRRLPSLPLSTDPKSQPTLLPPRVLVTYLNGAEEAIIAAEGATAQGIRDQILARGRLIDTEQMFRDGGEKWPVLIPKEELGMSFPGIK >Sspon.01G0001640-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:36600574:36603012:1 gene:Sspon.01G0001640-1P transcript:Sspon.01G0001640-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTANGHGLMHHHHVQASMPPAQHQKQRPPGLPPTPPPAPASHSLHAADMCMDDTSAPAGRAGGLPPRKAHRRSRSDVAYGYFQPLPPPSPKMEAGGGWGLAAAGGAGACDDLFNAYMSMEGMDGLNHSDGDSRGSSGMRTNGADSSENESEDYGGGADSQFLLWGGDGGGKKKRNASGEPAAPPPPPARHARSHSMDSIVGKLSFSSANGEPGKFSLEFGGGEFTPAEMKRIMADEKLAEMALADPKRVKRVLANRQSAARSKERRMRYIAELEQKVQILQTEATTLSAQLTLLQRDSAGLATQNNELKFRLQAMEQQAQLRDALNEALTGEVQRLKLGDTGSSGNLSQQMQLRCQNNQMAELHKQQQQGEQIPFYQLEQNGAPRNHEPK >Sspon.05G0007190-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:22560789:22565609:1 gene:Sspon.05G0007190-1A transcript:Sspon.05G0007190-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAARGEGRLVDDLRNFLDDFTFRAKRLAAPLLHKFGPSSEPSAVDDGELDKLRSRLRRIRATLRAAEDRVVADDFVALWLRELRDLEHAAEDVLEELEFEALRAARLEGFKAHLLRASAAGAGKRKRELSLMYSSSPDRLSRKIAKIMERYNEIARDREALRLRSGDGERRHEVSPMTPTSGLMKCRLHGRERDRRQVVELLLSGEANCYDVYSVVPIVGPASVGKTIAKMMALKIHQLGYLSDTSCWSVCQDAALRGRDPSIIDDSLIPIGKLVAARFVMHDLYHELAEYVSAKEYSRIEKSTFSNVEEDARHLSLAPSEDHSNEIVQFYALHNQYLKESLTPGLRTLLIVQKDDFKSEGNTLYINFPSGLFRLLGSLRALDLSNTNIEHLPHSVGELIHLRYLSLENTKIKCLPESISALFKLHSLSLKCCNSLGELPQGIKFLTNLRHLELPSMDNWNMCMPCGIGELTNLQTMHVIKVGSDSGSCGIADLVNLNKLKGELCILGIENITSAQITPEASMKNKVELRKLILHWSCVDSMFSDDASSVLDSLQPHSDLEELTIMGFCGVRFPLWLGNEYMLSLSILELKDCLNCEELPSLGRLPCLKHLSINSLTSIKHVGRMLPGHDETNCGDCRSSTSRAFPTLETLKFMNMDSWELWDEIEATDFRCLQHLTIMRCSKLNRLPKLQALQNLRIKNCENLLNLPSFPSLQCIKIEGCWCVGRTHECNCNFVAFTDLTSGQTDVHHSEAETSKDVSFHAGQPEDIELLSCKPVWVQMDQPEEIELICID >Sspon.01G0043950-2D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1D:70921682:70921936:-1 gene:Sspon.01G0043950-2D transcript:Sspon.01G0043950-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLVGPTSQPYILPLLPLAACTIAVKSHAPLLPRAPSSLPSHASPPLGRPPRAKLHRSWSPSSCQASRHGRSHILARHVAVHRP >Sspon.03G0015690-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:74046760:74049752:-1 gene:Sspon.03G0015690-2B transcript:Sspon.03G0015690-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKCVPPVAMVLVQLGFAGMNVVSKLALDAGMSPYVLIAYRNLIAAATNRGDDYKEGAAPDLLLLHLRVLYFVGLKSTSPTVACALTNTLPAMTFVMAAALKMESVRPGTAAGQAKLVGTAVCVGGSMVIPFYRGAELGLWASPIHWHFAEHAAVPPPAAAAAGGHAGPGAAVLGDVLIIASCVAWAVWFILHTKMSEGFSAPYTSTAIMCLMAGVQCAGVSAAMDRSLDVWKLGCDIRLYSVLYIGIVGSGIGFTVMSWCIQARGPLYVSMFSPLLLVIVAIIGWAILGEKIRVGTAVGSVLIVAGLYMVLWGKGREMDRPGLDNDKGDEETAAAAAVGLGFSGKGGGAVAIAISRVDAISLPVFSTTSPNKRQQLIHG >Sspon.06G0009660-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:37334256:37336634:-1 gene:Sspon.06G0009660-1P transcript:Sspon.06G0009660-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPASSRRRRGRGRGRRSGRKKRNWADLPLDAISAVLRKLDHVEILMGAGQACRSWRRAARDDPSLWRRIDMCGHADLFNEVNLHGMAQAAVRRAKGQCEAFWGEYAGDDGFLLFLGEQAPSLKSLRLISCYNVSNEGFAEAIMKLPLLEELELSLSNKVFGQEVFETVGKSCPHLKRFRLSEHSFYSFEDVDYNKNGEALGIATMTELRSLQIFG >Sspon.02G0014660-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:39126061:39130782:1 gene:Sspon.02G0014660-1T transcript:Sspon.02G0014660-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALKASSGDNRTRSTVFLCIVIGMCCFFYILGAWQKSGFGKGDSIALEVTKRTDCTVLPNLSFDTHNPKASGSSSSDLVSPAKKFKPCADHYTDYTPCQDQNRAMKFPRENMNYRERHCPAQKEKLHCMIPPPKGYVAPFPWPKSRDYVPFANCPYKSLTVEKAIQNWVQYEGNVFRFPGGGTQFPQGADKYIDQLASVIPIANGTVRTALDTGCGVASWGAYLLKRNVLAMSFAPRDSHEAQVQFALERGVPAVIGVLGTIKLPYPSRAFDMAHCSRCLIPWGANDGMYMMEVDRVLRPGGYWVLSGPPINWKVNYKGWQRTKKDLEAEQNRIEEIADLLCWEKISEKGEMAIWRKRVNTESCPSRQEESTVQMCESTNPDDVWYKKMKACVTPLPDVKDESKVAGGAIKPFPARLNAVPPRIANGLIPGVSSEAFQKDNKMWKKHVKAYSSVNKYLLTGRYRNIMDMNAGLGGFAAAIESPKSWVMNVVPTIAKMPTLGAVYERGLIGIYHDWCEAFSTYPRTYDLIHASGLFTLYKNKCSMEDILLEMDRILRPEGAVIIRDDVDVLTKVNSLALGMRWNTKMVDHEDGPLVREKILYAVKQYWVVQVAGYYMQFGIWTIDGMIDSLRK >Sspon.08G0007590-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:57444361:57445407:1 gene:Sspon.08G0007590-2P transcript:Sspon.08G0007590-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to Heat shock transcription factor 29 (Fragment) [Source: Projected from Oryza sativa (Os02g0232000)] MDDTTMATNGGGAAVNAAGVAPFVAKTYRMVDDPATDAVIAWGRDNNSFVVADPFVFSQTLLPAHFKHSNFSSFVRQLNTYGFRKVDPDRWEFAHVSFLRGQTHLMSQIVRRSSGGNGGGKRNKDDVVCTGNVNAVDEDAVATEVVRLRREQRAIEEQVAAMWRRVQETERRPKQMLAFLIKVAGDPQVLRRLVSGAGGAGAGGAGGSDAGFAACTEPDDGANIKRARLLLDAGCGGGGSSDGGDVDFGGFYSTGSAGEDDVGFCGDYLQPTPYVFPVNSGY >Sspon.02G0045840-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2B:112497750:112498205:-1 gene:Sspon.02G0045840-1B transcript:Sspon.02G0045840-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATNCCSTAARRLSDLLRDQQEPLLLHLLLQDHDDDSATPARRRVSGEEEPAAQEHGHRHQAGFALGRRRPCRLLLLPVRCGGACQRFRRLPRPDRDISSAGADCYDGGTGNGKGWQWTSPASRAWWGSTCPRRRGTTGAAASSSAGKRRPG >Sspon.05G0013360-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:45234821:45236993:-1 gene:Sspon.05G0013360-1A transcript:Sspon.05G0013360-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARPAPLATRAAANGTCQSRVEPFGYKCEEHTVRKTDKSNVTTADGYILSLQRIPGGRGSGQSAAAGNKIPVLLQHGLLMDGVTWLMNSPNESLGYILADGGYDVWIANTRGTTYWDWSWDELASNDLSAVVPYVYAQSGQQRLHYVGHSLGTLIAFAALSQRQQLGMLRSAGLLSPIAYLNKALYWLGLDEFDPTGEPVHKLVTDICSQPGINCYNMMSVFTVCFLWIYHRALLAHAGDNCCLDNSSVQVFLAHEPQASATKNMVHLAQMIRRGTLAKYDYGNAADNTKHYGQATPPAYDVSAIPDDFPLFLSYGGRDTLSDPQDVSHLLQVLKSHDGDKLTVQYLEDYAHADFVFAGNARERVYAPLMAFFKLQDK >Sspon.05G0002450-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:3866829:3868699:-1 gene:Sspon.05G0002450-2B transcript:Sspon.05G0002450-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hexosyltransferase (Fragment) [Source:Projected from Arabidopsis thaliana (AT2G20810) UniProtKB/TrEMBL;Acc:W8PV18] MRRRPFLDQRRPSFKRRWQQRPWWVRLVLSLLLALACVLLLAVLLGSPDPGASPSTSAASSGSETTSSPLLRQRSYLEGITDALNMTDEMLSARSFSRQLMDQIYLAKTYVVVAKEANNLQFVAELGAQVRRAQSILAHAAAHGGTVMEQEAEKAIRDMSVLLFQAQQLRYDSGITIMKLKGQIQSLEEKSKAEAEKSTKYGQIAAEELPKGLYCLGVRLTMEWFKSPELQRKFSDRSPAVQSNLRDNSLDHFCVFSDNILAVSVVVNSTAINSRHPDKVVFHLVTDELNYAPMKAWFAMSDYRGVTVEIQKVEDFTWLNASYVPVLKQLQNAATQKFYFSGSGNRGTPIKFRNPKYLSMLNHLRFYIPEIYPELQKVVFLDDDIVVQKDVSELFTLNLNGNVMGAVETCMETFHRFHKYLNHSHPLIRAHFDPDACGWAFGMNVLDLVEWRNKNVTGIYHYWQERNADHTLWKLGSLPPGLLAFYGLVEALDPKWHVLGLGYTTVDPATIKEGAVLHYNGNMKPWLKIGMEKYKSFWDNYVDYSHPLIQQCFMR >Sspon.03G0026000-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:1990332:1999740:-1 gene:Sspon.03G0026000-3D transcript:Sspon.03G0026000-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRVPKWKIEKTKVKVVFRLQFHATNIPSTGWDKLFLSFISADTGKVSAKTNKANVRNGSCKWPDPIYEATRLLQDPRTKTYDDKLYKLVVAMGTSRSSILGEVDVNLAEFAEALKPTLIALPLRGSDFGTLLHITAQLLSTKTGFREFEQQRETGTRSSQQLLNQRSHDPAEVAAASSDIGTDKVNLRIKLKENSLGFPLAEDSAGSTDDYENSSHTSDGIFTEKNDPHGSNDWTHGWSPEYCADKDLAAAHDENNRLRTRLEVAESAFSQLKTEATSLEHVTDKLGTETQGLAQQLAVELMSRNQLTTEVSLLRTECSNLKQELEEIKSSKLPQNKFDVEGKTMTKYGNDILATESIHHLQTEWLQGLLLLESKLQQTRNNALHGLQASDLDFLLADLGALQRVIENLKQGVQPGQMKENHNAEHLVPLTGCLSNSGHNDTLKKSSGGSTGTMEEKMCELLQKLEDSKTEKENLLEKMSQMERYYESFIHKLEESQKQTAIELENLRKEHNSCFYTVSVLQAQKQKMHEEMNDQLMRFVEDRTALEAQSKELERRAVATETALKRVRFNYSAAVERLQKDLELLSFQVLSMYESNETLAKQSLLEDFDSLPEEHSALEDLCGNNEHEQYRPGVKQIGPEGLFAEKETDGQKNLLRALKIEEHRVRSEFQAHTDSRGNRSNLEGPRRASSAMESEHLEMFISNIEWQIFSDVLRESHYAALDIIKCMQGRLHMLEMQLHDSNDARQSLVLKLNSALDQAKSVKESEAGYILKCDDLTVKNQILEAKLHDITVENALFMEKLTESERLVQEHKTCESKYKVCAEEVMRLDNLLFKESLLTNQLKDELTSLREGFEAMKDELNKQSSINNDIQMVSTSLQDQLGDLCSKIVSFNKEVNISGLEEASLLHELDSKNYAAVMKSLESFHQQTCDKVLHLLEEKEVLEKMCDSLQRRSEKAETKLHGMEQKFICDMDATKQKLNLSEELVEKLQLELQDMAHKLRICSDSQEKYSITNGDLTSKLSQMEIELQHATSENEALVEKLKEFGVTVEELESTKIYLAQHEEDTRTLTQSLQSKHELLVHMESEIKCLRDDLMCTDENLLREKRLKEELESALASLTSQLGEKDQVLLSFDEHKTELIHLKGQLLDMEKTHSLMQASLSQSEQIQTDLNCKNRSLQSQLSILENQLGAVVEAMLSSEIEASYMRSQVREAVVQLSMLRNELEKLQLKSKDADELLRAHMSTEAELADRNSTLEAAIHSLETNLSSVIQEKEGLEELMKGHDEASTQVSNNKSRDIAVNNSDRVLKDQDEISQLRVLQQDLEEQVDNFKSTKDETEILNMILRSKLEEQHTVMSSLLQNQRHELINSIEQNKDLTQKLAEQSLKAEEFKNLSIHLRELKEKAEAGRKEKEGSLHSMQDSLRIAFIKEQYESKKNEIALAKRIEELSMKVSEMEVEMQDLSADKRELSNAYDSMMTELECTKLNLDCCNEEKQKIEVSLEECSEERNRIRVELDLVKKLLENMALTDNNASRDSSESCTPGTTSIGHIRGDGKADSASKATPNTTKMDSGLQELETQSRSLSSNLSQGAEDVVKFGDNEESKNLENCDEEMQSLTENNLNGNNSINGISQEHKKLANEFNLFQKELERLKNENLSPLLPLDVNVTDPSLSGLERTLSQLDMANEHLQSIFPSFKELPGSGNAFERLLALELELAEALQAKKKTDILFQSSFLRQHNDEAAVLQSFRDINELIQDTIELKRRQMAVENELKEMQGRYSELSVQFAEVEGERQKLEMNLKNRTPWRS >Sspon.08G0001190-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:1123136:1124335:-1 gene:Sspon.08G0001190-2B transcript:Sspon.08G0001190-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWSNSDESPVADASLHPPIHGEGEGPDAGGPTATPASVLLDYRAYIDGRRNATTAWSKTRAGHSISVTFWPAHPPRVSCFSVYCPDLKPGDFAEEPGILATEDDVVVLCVVLGSLRARDRFDYFVYQAGGGSGVPPSLELLKHPGPSRDFGLNSVGLLRCRTHKLELDDSQPTLRSHHGYTDDGGFYIVAALCYAPPMVPGHYDLCTYDSRTKEWATRTALLRQEQELGHHSSHCSAKVIAVGGKAGTMGWVDLRHGILFCDVLLPDHTLPLTYITFPPPLKPDRKLPPDRDVAVIKGCIKYVEFITHVRPGSVSDGDFIADDWTAVTWSRKACSAKSLENGSWQRGCMVRASQISARKKSEAQFELLPKLMDDEGTPQPILERLHTSHPTLSLHQENL >Sspon.01G0034480-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:4795956:4797695:-1 gene:Sspon.01G0034480-3D transcript:Sspon.01G0034480-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQLPKRPANYVPLSPVGFLPRANAVYGDRASVIYGRVSFTWRQTYHRCRRLASALLSLGVRRGDVVSVLAPNVPAMYEMHFAVPMAGAVLNTINTRLDAGAVATILRHSGAKLFFVDNDYVRLASDALRLLAADGTHAVPLVAVIDDIDSPTGARLGELEYEALVAHGDPDAELPPLEDEWDAVTLNYTSGTTSAPKGVVYSHRGAYLSTTSLLLQWGVATEPVYLWTLPMFHCNGWTFTWGMAARGGVNVCIRDARPADIYRAIARHRVTHMCCAPVVFSILLEGDGATRQLETSVHVLTGGAPPPAALLERVERIGFKVTHAYGLTEATGPALACEWRDQWDRLPLPERARLKARQGVSVLSLADADVKNADTMVSVPRDGKTVGEIVLRGSSVMKGYLNNPEANENAFRGGWFLTGDVGVVHQDGYIEIKDRSKDVIISGGENICSKEVEEVLFRHPAVADAAVVAMPHPRWGETPCAFVVPRNNAAELSEDDVLAFCKKRMARFMVPKKVEVVGALPRNALGKVEKVKLREAARKLAPTVPAQKPKGKTTTSSTTVGGRREEQPVAHVMAVSRL >Sspon.02G0049610-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:41317769:41318815:1 gene:Sspon.02G0049610-1C transcript:Sspon.02G0049610-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASCDPQAVMAARSWKTRTTPTPGPRWLRSIATTTAWCLDSSLAGDKFVAELAIPVDTITPGLV >Sspon.02G0039370-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:48426017:48427565:-1 gene:Sspon.02G0039370-2D transcript:Sspon.02G0039370-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMGMGIVDGGSNNGGSSSGLVVTELSHIKELVRQLEVHLGGSPDLCKHLASQIFSLTERSIGLITSSNLDAAARRKRSAGDAGLTSPLSATPTSDVTDGPFKNTKKRKVMEQRRQRVSSAGGENPVDDGHSWRKYGQKDILGAKHPRGYYRCTHRHSQGCQATKQVQRTDEDATMYDVIYHGEHTCVNRPAAVATAAHNPDADAHLQTLSAGLTVKTEGLPVAATPLYLSASTPPASGGCLLAPSTSENWGVSPATSDSNNHVAASYLPFVDAELQEVVSALVAASAPPPPPPAVDSFDDLLIDIDIASFFA >Sspon.07G0025650-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7B:45898353:45899295:1 gene:Sspon.07G0025650-1B transcript:Sspon.07G0025650-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLNPCPGLLNDPVEHLLRCVELCHWNAMLFGFFHNIHPQQINVTTCLTQVKQRSPHLCRP >Sspon.08G0028850-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8D:18244638:18244889:-1 gene:Sspon.08G0028850-1D transcript:Sspon.08G0028850-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGFDEPLWDQLAKAMADINAWLAAMESRQAAFARQVSAAVRLQAAARGLLARRRVRRLCDLQLIQPRTPSQFLQAVLRPSQP >Sspon.08G0015800-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:63119916:63124009:1 gene:Sspon.08G0015800-1A transcript:Sspon.08G0015800-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDPYVNNTKNSRKLKGSKTATKISECIPSFCTAYVISKGKLSFVRSATSDIAETPRSISSSTVSSPSTRSLSSCAPSEWGDTYGTSNVPLHQPSLPLQRDQALAIINKLSNRRASYSSSVVSEISYNDEPALSRSHSIISEMQFSSGSSGNSVYKSFHRDNLPDNSDQASVSEISENVNHLSDQDDLRLQIERLRVKLQHLHKLRERAQHESLDTTQKLHKLGTRQIEEEIKLKEIQLTEDTIRRLVRSQEMEEREAAREAEFNRRSDEMEAKHSYDIEEANENEMGKKIAGRSFDDYNRYTWEEIRASTSSFSSALMIGKGSYGTVYKAKFHHTVAAVKVLNSPEGCGTQQLQQELEVLGKIRHPHLLMMLGACPEHGCLVYEYMENGSLDDMLQRRKNTSPLAWFDRFRIAWEVAAALMFLHSSKPEPIIHRDLKPANILLDSNLVSKIGDVGLSTLLPSMGQYLSTMIKNTAPVGTFCYIDPEYQRTGVLSMKSDVYALGIVLLQLLTARSPMGLAHLVETALEDGCFVDILDATAGQWPLSETQELAVLALRCSEMRRKDRPDLNDHVLPTLERLKDVAAKAREDAFQGQTAPPSHFICPILQEVMVDPYVASDGYTYDRRAIELWLSTNETSPMTNLRLPNKSLIPNHSLRSAIMDWRSKSKLSLFAMVPVVEKEHNIKRSRKDTIVG >Sspon.02G0020610-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:69917039:69918017:-1 gene:Sspon.02G0020610-3C transcript:Sspon.02G0020610-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAALANPIPAITAAGNDDEDPDLISLLPDCILTTILSLLPLPAAGRTQVLSHRWRRLWPSASLHLLDSHLPVPASSLSAAVSRILASHRGSAVRFHLLIARPSTSDFDSWLRSLAAKNLQELVLRPPSDEALRLPPSFLSFRTLRTAELTNCRLPEDGTGGGEVYFPHLSELTLRLASVPSAAALHGLLVGCPGLASLACRSGAALERLLAHDINWGPSINVVRAPRLQMLGYLGIGIPELQLGSALFRSMCAVRLAAELRCVRTLALEMAEPQLKPVADFLKCFPCLETLYVT >Sspon.01G0008830-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:24565647:24570332:-1 gene:Sspon.01G0008830-1A transcript:Sspon.01G0008830-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:U11/U12 small nuclear ribonucleoprotein 65 kDa protein [Source:Projected from Arabidopsis thaliana (AT1G09230) UniProtKB/Swiss-Prot;Acc:Q8RWV8] MASFPPPPHTLPPPPTTPYPATPLPLHQQQQQGPAPARAATLLVRHLPEAITQEMLSRLFSHYGATSVRPCSGGKLRNCAFVDFRDEAAANHAHSLLNRLRFLGKVLTVERANRPNANDSHLKHQDQLVHGASQVPSISSQNKKDHTSTAEPIAPKLGVDYPFPPHLEYAYPPPDGNILTNIVNALIAVPRFYNQVLHLMNKMNLPAPFRMALPTPPLPSQVPVTPHSQPIPQSTTTGEHHSADLSSDESELESSDEDIDKRKSKRAKHEAIVGPAVDKSVAHEAVGVKPVALVSNELQVFKKKNPVLQIKIAPKPIQKEPPVPIMTENEPDSTRERLQEKHFVTPQEMSREKLPPEEILSLPMFKNYTPGNPASVLYIKNLAKDVTHDDFYFVFGSVFESMDSARSGLSIKLMQEGRMRGQAFVTFPTVELAQRALNLAHGYVFKGKPMIIQFGRNPAANKAS >Sspon.01G0009600-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:28759725:28766328:1 gene:Sspon.01G0009600-1P transcript:Sspon.01G0009600-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLAFDTVSETFQRMAWPPPLRNGNDHDEFKGGGELSLCLLQMDVMVAVAAILGGSMDVRVLHDYNDDESWVHRRRVDCLSSPFLQTRWVINADAGQHKNNNNDVIIVLQDSSGVGWGYMILQTRAHDKSWTTPFISRVPTKLLSTHTRLRLPLPQALTRRGGAPPRPPTMTSAPTFLSLRLPTPSPSHAASSPPLSPPLLRQARGGVASSALVARAAGPAGAAGAPSPLFNQRGDPFLSTLAAASLEDLAAAAGGERRGEDHLPFLEIFQNAKLMASPAQVERSSSSYSQHRPRRPPPDLPSLLLHGRIVYIGMPLVPAVTELVVAQLMYLEWMNSKEPVYIYINSTGTARDDGEPVGMESEGFAIYDAMMRMKAEIHTLCIGAAAGHACLVLAAGKKGKRYMFPHAKAMIQQPRIPSYGMMQASDVVIRAKEVVHNRNTLVKLLARHTGNPPEKIDKVMRGPFYMDSLKAKEFGVIDKVLLFCFFTSGFLISI >Sspon.05G0011910-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:29654073:29655128:-1 gene:Sspon.05G0011910-2B transcript:Sspon.05G0011910-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRVLEPLVVGKVIGEVIDNFNPTVKMTVTYGSNKQVFNGHELFPSAVLSKPRVEVQGDDMRSFFTLVMTDPDVPGPSDPYLREHLHWIVTDIPGTTDASFGERDQMHKRIQPLAKNRVRNYMHAGRELAMYESPKPYIGIHRFVFVLFKQKSRQSVRPPSSRDYFSTRRFAADNDLGLPVAAVYFNAQRETAARRR >Sspon.08G0015470-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8A:62021992:62026610:1 gene:Sspon.08G0015470-1A transcript:Sspon.08G0015470-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSLAASAFFPGPGASLAASTKTSKNLAGELPDNLSVRGIVAKPNAPSGNMQVKAQAQALPKVNGTKVNLKNANPDKEEAIPYTAPKTFYNQLPDWSMLLAAVTTIFLAAEKQWTLLDWKPKKPDMLVDTFGFGRIIQDGLVFRQNFLIRSYEIGADRTASIETLMNHLQETALNHVKTAGLLGDGFGATPEMSKRNLIWVVSKIQLLVEQYPSWGDMVQVDTWVAAAGKNGMRRDWHVRDYNSGRTILRATSVWVMMNKNTRRLSKMPDEVRAEIGPYFNGRSAITDEQSEKLAKPGSTSDGDTMKQFIRKGLTPRWGDLDVNQHVNNVKYIGWILESAPISILEKHELASMTLDYRKECGRDSVLQSLTTVAGECVDGHADSTIQCDHLLQLESGADIVKAHTEWRPKRAHGEVWHMGERRITHPTWGFGQDAFDKAVVCYQVHGRGRLGGIARACRSGSNWRCCRVGEMRPRESWPVTPRHGTARQAAQKKERKLSAARCRHASGNAKVWLAVGGAGPGGRSLEPRGWRLLAKQRTTARARGPGLELDRTEPTTCISSGAGAGPRDSGNPAIRARPRDVAAGNRFRLRTLYNTSVSGQSVVGNERG >Sspon.02G0029110-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:99326274:99327842:1 gene:Sspon.02G0029110-3D transcript:Sspon.02G0029110-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVALVATCFVILVLSSVVWLHGRRRPLNLPPGPRGWPVIGSLGLLAGELPPHRALATLAACHGPLMHLRLGSFDTVVASSAETARLVLKTHDLAFADRPPTAFGAILAYGRKGILQTPYGPYWRMARKLCATELFSPRRVDSFERMRGQETRALTRGLFESAGATVDVKEHLVNFTMRNILRMAVGDKWLGFYGSEEGEAFRRTLDEAFAVTGAVSNIGEWVPWLGQLDVQGFTRRMKRVHEQLDRFTEQILDEHEKDRRRCARDGGEFAATDLVDVLLQLAEEGGGPEEPEVRLTRDGAKAFMLDIIAGGTDTAAITMEWALAELLRRPDAIAATTAELDRVVGRGRWVTERDLPALPYLDAVVKETMRLHPVAPLLVPRRAREDTVVGGYDIPAGARVLVNVWAVARDPASWPDAPEEFRPERFLAGGGAEDVDVRGAHFELLPFGAGRRMCPAYNLAMKEVAAALANLVHGFTWRLPDGVAPEDVSMEEFFGLTTSMKVPLVAIAEPRLPEHLYADVD >Sspon.07G0013140-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:47015792:47018150:-1 gene:Sspon.07G0013140-2D transcript:Sspon.07G0013140-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPAVKVYGWAFSPFVSQPLLALEEAGVDYELVPMSRQAGDSRRPEHLARNPFGKVPVLEDGDLTIFESLAIARHVLRKHKPELLGTGSLEQAAMVDVWLEVEAHQLSPAAIAIVVHCIFASYLGLERNQAAIDENVEKLKKVLEVYEARLSQSKYLAGDFLSLADLSHFTIMHYFMATEYATLVEALPHVNAWWEGLAARPAAKKVAEFMPVDAPGSPKKQE >Sspon.07G0001300-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:2987663:2989992:1 gene:Sspon.07G0001300-1A transcript:Sspon.07G0001300-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQSTPVTGFTAPIWVVSLALHLLVRQADPLHHHQVSQPEPSGDLINRSRGTSKSDDRMSFGMFRSELGLPLRIAYQTFLQLGTASLDSVDVTS >Sspon.04G0032440-2D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7D:49498195:49498638:-1 gene:Sspon.04G0032440-2D transcript:Sspon.04G0032440-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding EAAHPGQEIGPLGAYALSKKGKATADITYSPDDPPEVYTNSTVHSRISSYCDSAHAIHGPDFDPYNEAISGEAAMRAGGGKQHGRYWVADPLIDSSRTPTLSQIRAKDRCQGQSSSGVRSRPTAADCIITNLQVLTVSFALNRSIIT >Sspon.08G0004110-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:11981784:11984074:-1 gene:Sspon.08G0004110-1A transcript:Sspon.08G0004110-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:HISTIDINE TRIAD NUCLEOTIDE-BINDING 2 [Source:Projected from Arabidopsis thaliana (AT1G31160) UniProtKB/TrEMBL;Acc:Q8GYJ9] MATRAAAATITAATSSLLRFVRHIASSTNEEAAVKAAAATADTGGPTIFDKIIAKEIPSSIVYEDENVLAFRDINPQAPVHVLVIPKVRDGLTGLDKAEPRHAEILGQLLYTAKVVAEKEGVANGYRVVINNGAEGCQSIYHLHLHVLGGRQMKWPPG >Sspon.06G0032480-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:58848128:58858135:-1 gene:Sspon.06G0032480-2D transcript:Sspon.06G0032480-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPAPAPARWRRGGRSPPRLLQRVLLVAAFSAAALIVLILLQHRHGPKPPNPSAASRARDSSDELLDDSPPAERDLEAGGSAVAADGSTCATVERMGEEAAGAGRGSPEQASLWVREMIRRHFELHGIELGTSLNSEEAADRFDGATDAVGIQFFLKNVHPRMKASASALFGLPDSLYARPNTFGELMRAIISPSSKVQEAVDWALKGANPDIVLHTRMMANRPVRARKAAVLCIKRALQISHIKGTPLVALVSDTPAFVKEIKSDISEFAEVLYFDYKLFAKTSGLEIAGNDKSRMAAAAAQARPFLLVVLVATALFLPRLAGASTEAAAAGRGVTLRVEPRQVVVDNGAVQVALSAPEGRITGVSYSGEPNLLEYDAGEGDSGGYWDSVWNYPGSGLPGLYNTAYPALNITEARLVFKLNTAKFNYMAITDDIQRYIPSAVDREEPRAVPLAYKEAVLLVHPKEPSSEERYIYIVDDKYQYSLDNKDNVVHGWISGSDPNPIGFWVITPSNEFKSGGPLKRELTSHVGPTSLTMFMGTHYVGKYMVVNIDDGEEWKKVLGPVFIYLNSGPSRGDLWEDAKAQAEAEARNWPYGFLASPDFPKSAERGSVTGRLLVRDRYLSKKHDIPAGAAYVGLASPGQPGSCGDGEQGYQFWTRAASDGTFSIANVRAGVYDLYRQYGLWERYADLYPDKDLVFTVGESNESKDWFFAHVTRKVGQEAVPTTWQIRFTLDHVVADGTYTLRIALASSEMCRLQVQVNGDARRGGVFTTPDMGTTTPSRATGYTACSGASSSRSGATCCAKATTPLA >Sspon.01G0009630-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:28887516:28889663:-1 gene:Sspon.01G0009630-2D transcript:Sspon.01G0009630-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNEEGKMVDLYVPRKCSATNRIITAKDHASVQINIGHLDANGMYDGRFTTFALSGFVRAQGDADGSLDRLWQKKKAEIKQ >Sspon.04G0005620-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:16391636:16393801:1 gene:Sspon.04G0005620-3D transcript:Sspon.04G0005620-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGSHSISSEKSRAAVPRPPLQEAVSRPYMPSLGSGCRNPSAKCYGDRFIPDRSAMDMDMAHYLLTEPKKDKENAAASPSKEAYRRLLAEKLLNNRTRILAFRNKPPEPENVSFADAASSNLQAKPAKQRRHIPQSAERTLDAPELADDYYLNLLDWGSNNVLSIALGDTLYLWDASSGSTSELVTIDEDSGPITSISWAPDGRHIAVGLNSSDVQLWDTSSNRLLRTLRGVHEARVGSLAWNNSILTTGGMDGKIVNNDVRIRDHVVQTYEGHSQEVCGLKWSGSGQQLASGGNDNLLHIWDVSMASSMPSAGCNQWLHRLEDHMAAVKALAWCPFQSNLLATGGGGSDRCIKFWNTHTGACLNSVDTGSQVCALLWNKNERELLSSHGFTQNQLTLWKYPSMVKMAELTGHTSRVLFMAQSPDGCTVASAAADETLRFWNDDVRRIVSSIGYGSSGLLFILDGLSL >Sspon.05G0014460-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:53890018:53890757:-1 gene:Sspon.05G0014460-2B transcript:Sspon.05G0014460-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDEFLIVNNVALLPMKNRTEVFLMLHDKIVKSLGRTNTAKKKIILSSLPYQNDWLDTAKANTKIEQWVEGVQNEYKRTPLDLLRLNRNVRSHLHQYNNDDDIEETLYCEWPELLMVMEKMLHLVGELEGTDIQNKFG >Sspon.07G0018110-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:69894228:69895443:1 gene:Sspon.07G0018110-2B transcript:Sspon.07G0018110-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SFLHLPTQLPLLSSEQRLAASPLTTRAPLFFFLVVFFYFYRSTSVPHSHPCFPYVVLAPHQAKMGSETITFEGIPFPAEITAAAAGGKPLSLLANGITDIEIHFLQIKYNAIGVYLHTTDTTLLGHLGAWKGKTAEELLADAAFWAALVSSPVEKLLRVVVIKEIKGSQYGVQLESSVRDRLAAADLYEDEEEEALEKVAEFFQSKYFKPASVITFHFPATPGPAEITFVTEGKGDAKITVDNEHVAGMIQKWYLGGENAVSPTTVRSLADRFAALLAVAA >Sspon.06G0020930-2D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6D:12371449:12371816:-1 gene:Sspon.06G0020930-2D transcript:Sspon.06G0020930-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVLRRLRLRLPLRRPLLVSSSSSSSFPCPSASPSTSSSFSCWSSTGEGRRSMASSPSPASAAVVAEGSAARRFWIAASTREAAFAAYTPFILSLAAGNLRLDVFRHYIAQDAHFLHAFARA >Sspon.07G0008680-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:22217109:22226779:1 gene:Sspon.07G0008680-2B transcript:Sspon.07G0008680-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMQLPQHHKPSAHSCCSSWIRRSPPPSPPHKKPGGGGGRSRYACRLVPLLVLTVYSVVTVLRIPSSSLVVSTADSERVDRREDLEALKTHLPSNQNSLEAREETRSVASLPCSAFISGEAGHGEGEGVLCCDRSHYRSDVCYLRGDVRTDPSTSSVLLYNAPRGSAPEKVRPYTRKFEDSIMSSIDEVTIQPVAAPYNASDGGTLRRRCDVRHPRGVPAVVFSTGGYTGNVYHEFSDGLIPLFVTAQRFAGEVVFVVLEYHYWWLGRYGAVLEQLTNYKVVDFRYDRRVHCFDEMIVGLRIHGELVVDPKLMPNGKSIKDFQALLHQGYSRTTTSSSASPPVPLPLAPPSWPCPRPAKPKLLIFIRKKNRVLLNLPHVVTACRRAGFAPHVMNLRRQTPLPVIHAALASADAMVAVHGAAVTHFLFMRPGSVLLQIVPVGLDWAADAFYGKPAQQLGLEYLEYKVAPEESSLAAEYGLNSTVVRTPWVISSRGWWEMKKVYMDRQNVTVNIKRFGELLRAARTHLKNTTACAKGAGAGSAASLR >Sspon.01G0061630-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:95271406:95283313:-1 gene:Sspon.01G0061630-1D transcript:Sspon.01G0061630-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase TOUSLED [Source:Projected from Arabidopsis thaliana (AT5G20930) UniProtKB/Swiss-Prot;Acc:Q39238] ESRSSVQLGHDDRAALQEELSMLRGKVAFLEEELSKSRQEATNYHQLSDRLAKELKDLKDHDQQMRSKQMKVLSDLLIAVSKAERQEARMRIRQESFRLGNVGVMRAGTIISETWEDGQAIKDLNSHLKSLLETKETVERHRKSLKKRQSDKGDGSDAETSMSEEDILLQDEICKSRLTSIKREEEQYMRERDRYELEKGRLIREMKRLRDEDGSRFNNFQILHHRYALLNLLGKGGFSEVYKAFDLVEYKYVACKLHGLNAQWSEEKKQSYIRHAIREYNIHKTLVHPNIVRLWDIFEIDHNTFCTVLEYCSGKDLDAVLKATPILPEKEARIIIVQIFQGLVYLNKRGQKIIHYDLKPGNVLFDEVGVAKVTDFGLSKIVEDDVGSQGMELTSQGAGTYWYLPPECFDLSKTPFISSKVDVWSAGVMFYQMLFGRRPFGHDQTQERILREDTIINARRVEFPSKPAVSNEAKDLIRRCLTYNQSERPDVLTIAQDPYLSYAKK >Sspon.05G0010210-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:20515719:20517018:1 gene:Sspon.05G0010210-3C transcript:Sspon.05G0010210-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLFYAAKMSLFDRQPNASSEPVQFTYLHKRTSTWDPSLPTPGKPHAVLVPFPAQGHVTPMLKLGKILHCWGFLVTFVNTEYNHRRLLRSRGAGALDGLPSFRFATIPDGGLPPSDTDATQDVPSLYRSTEEAVLQGLSTPPLTCRQPAASEIGVPCALLWTASACGYMGYRYYGTLIDKGIFFDQLDTLGSNGLTFGRRTKPRSVVFVNYGSVTAMTNAELVEFAWGRANSGHDFLWIIRPDLVSGDTAVLPPEFLEAIKGRGLLASWCPQDAVLRHEAVGVFLTHTGWNSTLESLCAGVPMLCWPFFAEQQTNCWYKCTECGVGVEIGHDVRREAVEKIRDYGRQWAVEWRHTALRVTQPREHSQANLEKLVTDVLLSGGKSS >Sspon.04G0021370-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4A:74962202:74962846:-1 gene:Sspon.04G0021370-1A transcript:Sspon.04G0021370-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKEVGILPWKLLLLALSTTRSSMACHVADGNSPVNWLLEMFSTCNGQPELDDGSSHSSPSRRLKLTSMATKPLGEDNIRNRSSGSSPDSELWERLRRRRLTRSPRAGEMGPWSPLPGKETSVTTPPPLQVMPSHEQQSAAVPLLFRHNDVARPPSHESPEMKRRRELFSWSVHGLAREAMEISSKTTSARVELDNGMADCDCELVACCCTFFS >Sspon.01G0058180-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1D:22964643:22965155:-1 gene:Sspon.01G0058180-1D transcript:Sspon.01G0058180-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHRHHIIAPVKTKQSVKPTKQHNTRRLEPLKQNSRCATATGRPTPTQRALSVRRDREEGRRENQPARVEPAAAARPRLGGPMGGRLMSMLRWPPDLGLPSLAALLPSPPAHLRVQVEEWWQWQRSRPEQLVRDVPLLVDAALWGVVTAVESVALVSMMCCFFLCCGCTL >Sspon.04G0024600-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:20584131:20589318:-1 gene:Sspon.04G0024600-1B transcript:Sspon.04G0024600-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVKERYGVTVQVCGCNGAVKLLLNARGPQPSRDTNHSNFTVQTLATKQNEAQIVTIKFLMGQSKKPAKLAMLIALPLLLLCTGVSNILHCSAVHENSQDFHSLLEFKQGITDPKGALSSWNPDTHFCQWHGVICSSNRPYRVTEINLSGKNLAGNISSSLGNLTFLDTLDLSNNSFYGPIPDALTNCSNLAYLDLSTNKLTSVIPPTIGSLTNLAQFYLDSNYITGGIPPALGNITNLLAVSFSQNQLTGKIPHEGAIPNSIANLSTNLTRLLMGGNSLSEYGAGGHASTSGDVYSFGVVMLEILTGKRPTDAMFTDGLDIISFVENSFPDKIVHVIDAHIVEDCNNLIEEKRVPENDINQCLLDLLQARLVLRPRLVSWAVPSVRTTRSSAWDGTDSTELGGVARMAGMLIVYK >Sspon.04G0014070-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:56169725:56192699:1 gene:Sspon.04G0014070-1P transcript:Sspon.04G0014070-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGREERYVRVNSERGHNIFGLLKDRTAGAFSFLGNSSHSEALNKSGPEEKKSKTRVLDPQGPFLQRWNKIFVISCLFAVFVDPLFLYIPVIDGGNNCLYLDKKLETVASILRFFTDIFYLLHMLFQFRTGFIAPSSRVFGRGVLVKDTFAIAKRYISTLFLVDLLAVLPLPQVFVLVVLPTLQGPEVMKAKIYYWLLLFYVPRLLRIIPLYLQITRSAGILTETAWAGAAFNLIIYMLASHGFGALWYILSIQREDTCWRQACINQTGCELTSLYCGYHPLTNNSFLQSACPTNSTSNPNPDPKFGIFLPALQNVSQSTSFFEKLFYCFWWGLQNLSSLGQNMKTSTDTLENLFAVFVSTSGLVLFALLIGNVQTYLQSASVRIEEMRVKRRDTEQWMAHRLLPENLKDRIMRHEQYRWQETRGVDEEGLLKNLPKDLRREIKRHLCLSLLMKVPMFENMDEQLLDAMCDRLKPMLYTEGSCIIREGDPVNEMLFIMRGTLESTTTNGGQTGFFNSNVLKGGDFCGEELLTWALDPTSASNLPGSTRTVKTLSEVEAFALRADDLKFVATQFRRLHSKQLQHTFRFYSQQWRTWAACFIQAAWHRYCRKKLEEALYEKEKRLQAAIVSDGTTSLSLGAALYASRFAGNMMRILRRNATRKARLQERVPARLLQKPAEPNFFAEDS >Sspon.01G0003410-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:8652456:8652941:-1 gene:Sspon.01G0003410-2C transcript:Sspon.01G0003410-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIHPAAPAGGGAKKDLRRLPHVYSKVLELPLPADTDVEVFEGPDAFHFVAAPAAGARAGVVRVHTVRIHPGVTKVVVQAGGSAEGAAADAGDSMELDRWRSRLPEPSCPAMAVAGYADGQLVVTVPKGPGGGEGGDGRQGEVTWRCSSGGKISGRLVVVQ >Sspon.05G0005300-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:17014877:17018085:-1 gene:Sspon.05G0005300-1A transcript:Sspon.05G0005300-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNLSAAAANAACDEFPYVPSNAGAAPPSLLPIMEQESSIHREHHHQLGYNLEANSLALLPPSNAAAHHHATTIAGHSPHDILQFYPASASHYLASAAGGGNPYSHFSAGTGGSTFHQSSYYPPAAPEYYFPTLVSSAEENMASFAATQLGLNLGYRTYFPPRGGYTYGHHPPRCQAEGCKADLSSAKRYHRRHKVCEHHSKAPVVVTAGGLHQRFCQQCSRFHLLDEFDDAKKSCRKRLADHNRRRRKSKPSDADAGDKKRAHANKAAAAKDRSSSKSMDIGDGLGTQILGSALLSKEQDQAMDLGEVVKEAVDPKGKASMQQHHGIHQQHHGFPFHSSSAGSCFPQTQAVSSDTTSNIAQVQEPSLGFHHQHHQHSNILQLGQAMFDLDFDH >Sspon.07G0009640-4D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7D:24559907:24560076:1 gene:Sspon.07G0009640-4D transcript:Sspon.07G0009640-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding EVTCHTSDPDPPRRAKRARRGPRRRATLHAAREVCGQGGGPLPPLNPRDIREDSE >Sspon.03G0018000-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3A:55533331:55535314:1 gene:Sspon.03G0018000-1A transcript:Sspon.03G0018000-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMALASPSPLAIAAGVTGLVAFQDELPRSAAAAVAQGSRRRSGGRNQHLEAAAAIGWSPFASSPSKSSSTSISGMQSVVLDIEGTTSPISFVTDVLFPYARDNVRKHLDATYGTHETNDDIALLRAQAGSDSCQVEQDLAEGVAGAVPVPPDEAGKDSVIDALVANVEAMIKADRKITSLKQLQGHIWRTGFEGQEIKGVVFDDVPPALERWHASGIKTYIYSSGSREAQRLIFENTAYGDLRKHLCGFFDTTIGTKREARSYYEIWQSVGVDRPSQILFLTDVYQEATAAQAAGLEVLISIRPGNTPLPENHGFETITSFGEILI >Sspon.05G0002710-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:11952989:11955792:-1 gene:Sspon.05G0002710-2D transcript:Sspon.05G0002710-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:APO RNA-binding protein (DUF794) [Source:Projected from Arabidopsis thaliana (AT1G64810) UniProtKB/TrEMBL;Acc:F4I896] MAMEIVNSVSFSSIGVGRIIINKTVMVGSQPHRIGWKLRRTCCEYSPGTSRKKQEKCEQQPQNVDLPELHPKNKKKPFPVPIKKMLQASRRDKRLAQMHIEKPLEPPKNGLLVPELVPVAHEVLDNWKVLIRGVSQLLNVVTVYGCRKCPQVHVGPVGHQIQDCYGSGSQRRNSHHSWARGSINDVLIPIESYHLFDPFGRRVKHDTRFDYDRIPAIVELCIQAGVDLPQYPSRRRTAPVRMIGKKVIDRGEFVDEPKPHRSEDCVSLLAELDTFSNQQGQSPSPSNVQELAERTLKAYLNVQRGVKQLMSKYTVKACGYCSEVHVGPWGHNVKLCGAFKHQWRDGKHGWQDAVVDEVIPPNYVWHVPDPSGPPLRSSLRSFYGKAPAVVELCVQAGAEIPDEYRPIMRTDIVIPDSEEARMAA >Sspon.05G0016970-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:65629003:65646060:-1 gene:Sspon.05G0016970-2C transcript:Sspon.05G0016970-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGGTHRTPEDVFRDFRARRAGMIKALTTDVEKFYQQCDPEKENLCLYGLPNETWEVNLPAEEVPPELPEPALGINFARDGMDEKDWLSLVAVHSDAWLLAVAFYFGARFGFDKDSRKRLFVMINNLPTIYEVVTGTAKKQTKEKTPKSSSKSNKSGSKPPRQPEPNSRGSKMPPPKDEDDSGGEEEEEEEDHENTLCGSCGDNYGQDEFWICCDACETWFHGKCVKITPAKAEHIKHYKCPSCSGSSKRARA >Sspon.07G0021520-3D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7D:3942494:3945828:1 gene:Sspon.07G0021520-3D transcript:Sspon.07G0021520-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEALVGWLVCPIIKIVMDKAKSCASDRIKWLGDGVPKALKRMEHLLDQLRAVARAVQRRGSPDRCRDPDLRAWLQQLMDAVYEAQDVVDDFDDSVPPPESSVARVSKRIFGTDERVNRLNDVVDKLEGIFKASSTLILTAEASASASREQSGHLPPLGRFTASLRHPEHVVFGRDRELQNMVSWLVGTGGDAQAAPVPIAAIMGHGGMGKTTLAQLLLEDQNVISTFQIKIWVQPAPTDNELELAKKILLGADVGVDALDGLTSFDLLVKKIKEKVSLRKFLLVIDDVWNKENMNQHEYREMWSKVLSPLRHGERESRIVVTTRQKMVANLLSASKEVWLGDLPADDIWSLFKRWAFGGEDIDSQSSALLDIGRKIAQKLKGSPMAAKAVGQMLEGNRSVSHWRKVLEMDSFDNVSKTLELCYQSLPGHLQPCFAICSLFPKNWRFKRDKLVKIWMALGFIQAADGETQLEDLGSDYFDQLVARSFFHRQKVGRRRYYYIHDLMHDLAEKVSRFDCVRVEDAKKEIPRQFGTYLCPVIPWHSSRADLKGLRVLGLEGCNIIRLPERIGNLKYLRYLALCKSITKLPQALTKLYRLQTFSSPKGSGLEVPEDIVNLTRLRHLDMDTSKINGIGKLVHLQGSVKFHVKNEKGHTLGDLDGMSSLRKELHIKNLDVVTKQEEACKAGLNRKENVKVLELEWNSTGKSVPSVEAEVLDGLEPHQYVKRLIIRRYHGNRSPNWLSTSLKERNFFFKYLHLINCRKWEVLPPLGQLPCLKVLHLKEMCSVKKIGREFYGTNPIAFPHLEELEFDDMPQWVEWTQEEKSTDMFPKLRKLKLLNCPELIKVPQLPLFVRKVSVKNTGFVSQLKLSSSSSPSKARKFALDTCSATVLTNGLMYKQQLESIAILTLRNCQDVKFEELHVLTSLKRLQISHSSINDEQLCTCLRGLQALTWLEISNCNNITCLPQMESSDCLTKLHELHIQQCSEFSSLHSMPSFAALESVLIENCSKITVKSFPTNFNSNTTLRKLSIMNCAELESLPSGFPSSLQVLHLIGCKPNLMNQLQLKDGPEWDKVASIPTTQIR >Sspon.07G0020990-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:81090867:81094231:1 gene:Sspon.07G0020990-2B transcript:Sspon.07G0020990-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQQVPSSNGHGSSIHSKSQAAVPQTRASRAPPPKVKQPPATPGPGAKARPRPAAAATTMAATRRQAAVPMPMPVRRVTMSTKESETVEEEVVRLRGEVDALRREVQRLLRLNAELQHQQHDAATNNRPQPPSKISISGGVVAAPPPPPPPPPPPPMPRHQNQRVPSAPSTSPVSKATALVDMYNSLQTSSKKPSKHTDKSRSHHQHSSIVDELQNRSRHLLAIKADVETKAEFINHLINKIHTSTYTGVEQVVTFVDWLDQQLSTLSDETAVLKHFNWPERKADALREAASEYGHLKCLLTEISSLRDEDDGGSGSGSEATTTRRKISSLLDKLEKSMGRLVNLRRSAMPSYKELRIPTDWMLDSGMASKMRLASVNLAKMYTKTVLKELDCRDTAGTGNEAALVAQSVRFTYRVHQFAGGLDCEAMHAFEELRKRVQLVST >Sspon.03G0020150-3D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3D:48362309:48363097:1 gene:Sspon.03G0020150-3D transcript:Sspon.03G0020150-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPAVVALLLPLSTLLRLGLTTAASDTSCAPATCGGLKITYPFSLVGVHPLECGYPAFALTCDALLLAGRTTFRDNLYLVQSILYGNRSMLVAVETAFSGDGACKIPDFNVFSGLGLFTVNISATNRDDLTFVYNCKIPRNELLTGPCAKDAVGAYISKRPGDVESSRSQWLQANSTATSVPVRGFQDGMNLTRESVYESLISDGFLLDWPTLGDCDACKQKGGLCRFFDLSFQCVNSSTPSRGKHRLLPCAADLEYFGLEK >Sspon.04G0008060-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:19273240:19277397:1 gene:Sspon.04G0008060-2B transcript:Sspon.04G0008060-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MECETGFVRSLNGDGLCMSAAAPAPRASDPLNWGKAAEDLSGSHLEEVKRMVAEFRESVVKIQGASLSIAQVAAVAAGAGGEARVELDESARERVKASSDWVMSSMMNGTDSYGVTTGFGATSHRRTKEGGALQRELIRFLNAGAFGTGADGHVLPPEATRAAMLVRINTLLQGYSGIRFEILEAIAKLLNANVTPCLPLRGTITASGDLVPLSYIAGLITGRQNSVAVAPDGRKVDAAEAFKIAGIEHGFFELQPKEGLAMVNGTAVGSGLASTVLFEANVLATMAEVISAVFCEVMTGKPEFTDHLTHKLKHHPGQIEAAAIMEHILEGSSYMKLAKKLGELDPLMKPKQDRYALRTSPQWLGPQIEVIRFATKSIEREINSVNDNPLIDVSRGKALHGGNFQGTPIGVSMDNTRLALAAIGKLMFAQFSELVNDYYNNGLPSNLSGGRNPSLDYGFKGAEIAMASYCSELQFLGNPVTNHVQSAEQHNQDVNSLGLISSRKTAEAVDILKLMTSTFLIALCQAIDLRHIEENVKAAVKNCVTQVAKKSLSLNARGGLHNARFCEKDLQTAIDREAVFAYADDPCSPNYPLMQKLRAVLIEHALANGDAERVVETSIFAKVAEFEQQVRAALPKEVEAARAAVESGNPLVPNRIKECRSYPLYRFVREEVGTEYLTGEKTRSPGEELNKVLVAINQRKHIDPLLECLKEWNGEPLPLC >Sspon.03G0040400-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3C:28987627:28988532:1 gene:Sspon.03G0040400-1C transcript:Sspon.03G0040400-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LRKRWSRAWGRRGGSSVRSTTCKLQCNADPPRSPNSPQRSVSVTANLARPTQFHRFPAPSCAAPRSRGSRQGRRRQSRQLAEPHQTGLYFSTRTRASSLGALPTLHTTRVISVAPRVSLARSRSSLDGPLPWRPAGLLYGLESGQGFLVHAASSCASSQTRPTKDVRTPVSTRPTTYAEVVGCVMILAASILERWRWTFPFPRGPEHT >Sspon.03G0004490-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:11479468:11486821:-1 gene:Sspon.03G0004490-1A transcript:Sspon.03G0004490-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Autophagy-related protein 18f [Source:Projected from Arabidopsis thaliana (AT5G54730) UniProtKB/Swiss-Prot;Acc:Q9FH32] MRDGAQAPRGGGGFFSARSLSNYMRIVSSGASTAASTLRSAGASLVNSMANHEEDGSRDQVQWAGFDKIECGGGLLRQVLLLAYKSGFQVWDVEHADDVRQLESRHDGAVSFIQVLKDPIFTANSGDRFADARPLLALACEGTHTGSGNNHDTNVPVFDGTNGAFHGIGGENLPTVIRFYSLRTHEYVHTLRFRSAVYSIRCSPRVVAISQATQIHCFDAATMEREYTVLTSPTVAQVSGYGPLGLGPRWIAYSGIPVPVPDTGRVSPQLLSLSPFVPPPGSNGSVVAYYAKESSKQLAAGIATLGEVGYKKLSKYYADFIPNGNGTIKQRSSGYKANGVTNGHLIDSEYAGTFRAHTSPISALCFDPSGTLLVTASVHGQNMNVFRIIPPHGTSEAGQMGTYVHLYKLQRGITNAIIKDISFSDDSDWIMISSSRGTSHLFSISPYSGSTRFRYSDNNPAENDYIVDSSVNHTAHWSQNSATSLSLSQKTLFVSGPPVTLSVVSRIRNGSNMFKGAVHGAAAFATGASSPISGAIASTFHNCKGGDINSDGSSRMKYYLLVFSPSGSIIQYVLHLSAEQDSGFDFQTSPISYGPERETDTKFVIEALQKWDVCYKRNRRDSAESFAYSDFENGESNKLFLKAMRKGTSVYPFDCSAVERQKLSADENRNFYISQSELQTHVVQTPFWSRSGIHFQVMEGETLEADNADVISGEVEVEKIQTHNIESRSKNLIPVFDSLHTSRFQQTRLNAPDNNRYEPLQRQKSGISEDGRLSRRSSCSSLDCMSEGPKSSDDGAFGKYVVDDNSSAVNNNPSVKFHAELVNNTGSLKSEAQLGFVNSKEDGEGGEQLPDFLNPVSCVRHKTQRV >Sspon.07G0029830-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:79796927:79801809:1 gene:Sspon.07G0029830-1B transcript:Sspon.07G0029830-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTMVGGCVGPSELHLRKELTALQKARCLQDPDTCSTWRSPLSSRSLVATSRITCNGGVSSNLAPKLNESPCMPPNTDKKRRKVYLYNWRQNSCKSSESGMKIDEEVKQPSGELSLDNPCKCNGVNSKGDAYLDPPASIYNVQSSTSCTPVKRIARRRKGVLSRKGAVRNQDVSKLSDLQVHSGEQSEGTENCNSETLEIFQRGYLSRPTSPLFAACGCVSSSNPSKLLKIGRREGSSFSCTPVSTSSYYRHVRRNTSTVGSWDARTATSFDGDESNQSAVLRSQRSHVPCYASKRRKHRRSEGSNYSPSLSAILRRKGSSLICGSQTMHKKKRSFGSMKWAHSKKSAQGMPLLGNSCDFGSSSFDSSSDELSTNIGELDMEASSRLDGKRWSSCKSQDGMDRSVRSADLAESDLRSLSQKYRPRAFPEIVGQNIAAQSLSNAITRERIAPAYLFQGPRGTGKTSTARIFSAALNCLNTGDNKPCGVCNQCTDFFSGKGTNLKEVDASNRKSINIIKHLLENLPPSAPLSRYKVFVVDECHMIPSKLWSAFLKFLDEPFPHVVFIFITIDPDNLPRAVISRCQKYVFSKIKDVDTVCRLRKICVKENLDVELAALDLIALNSDGSLRDAETMLDQLSLLGKKITPSLVNDLVGVVSEEKLLDLLEIAMSGDTTETVKRSRELMDSGTDPMALMSQLAGLIMDIIAGTYKLADVACCNGSAVGGRSLTEAELERLQQALKILSDAEKQIRLSSERPTWFTAALLQLGCGHSSDMNQQKSSTQEHHKVANDAMSEIARESSSRTVSHSLSAFGISKRTLDAKTISVHSSPQVLASHSSRLRLNDNLVYGECRSVDRIPLNSNQLNDSCSQQRALVNGISDNLAQVWIRCIENCHSKTLQQLLLDHGKLVSIRQFEGHAIAFIAFEDCGIKSRAQRFLSSITNSIETVLKCNVEVKIGPLAELMDGEIALEAVPNVRRYESDVLSCSSNSDRLKGTLDSRRSLDHPDEVKEELETYKNTASVHERLRLEVPTQTSKESTNDDQRLESAWLQVSEKHTPDEDLAHEIEALKIVDSYGSQKHQGRRSENGFAISPSRMHKKDDMVDCDKES >Sspon.01G0063560-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:116285757:116288075:1 gene:Sspon.01G0063560-1D transcript:Sspon.01G0063560-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GDGNEVPDKRKGTVLTHVWNLLEGDRIVVKCNMLGQPIGKEGGLLGQFLGTIARNGGYCPVGAKDWRQVKKNNAETIIQFVQVELESLLDAQPELAQNSEGGVAWEDDALHQVLEVEKAGQRVHSLAPNQNDGFLKHRDERTKETHESEFSDDDSLLLSTTSRTTKKQKAGSMMLLMTSKYPNKAHVAFATLLSADPEATVDGVKTGSQFYKVELING >Sspon.03G0006760-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:8987744:8990971:-1 gene:Sspon.03G0006760-2P transcript:Sspon.03G0006760-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable pectinesterase 53 [Source:Projected from Arabidopsis thaliana (AT5G19730) UniProtKB/Swiss-Prot;Acc:Q8VYZ3] MAVPSRARLLLCFVVAVALLVAPGEVAAAGHGKRRHSTHTRRLRPGKSAAAAAKPLPYPVNATRVEAIERQFTRWVRFMGGLGHSSYNRALNRAFLPTRTLVVDKNPAAGNFTSIQAAVDSLPLINLARVVIRVNAGTYTEKVNISPMRAFVTVEGAGADKTVVQWGDTADTAGSFGRPMGTFGSATFAVNSMFFVAKNITFKNTAPVPRPGALGKQGVALRISADNAAFVGCNFLGAQDTLYDHLGRHYYRDCYIEGSVDFIFGNALSLYEGCHVHAIARNYGALTAQNRQSLLEDTGFSFVKCRVTGSGALYLGRAWGTFSRVVFAYTYMDNIIIPRGWYNWGDPTREMYVTAPPPPPFSGRRATVFYGQYKCTGPGANYAGRVQWSRELTDEEAKPFISLDFIDGFEWLRL >Sspon.04G0035320-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:7883425:7889570:-1 gene:Sspon.04G0035320-1D transcript:Sspon.04G0035320-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAAPTGPHVVHETLAPCASRPPLHRELLPLLMHWSEGLIPFIQEKTRNKASMASGATPSNSVRLVQRNKGGDAGDGAPSFPRPRNWQHTARDAKMVSLPAKVSPSQGTQARHQAIFLLQLHDKVQRSCSSQHQLAWDKMK >Sspon.07G0008190-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:18985510:18988553:1 gene:Sspon.07G0008190-1T transcript:Sspon.07G0008190-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMGERPSPRVFGEFDWGAGVGSVITLSEMPSASKSKSKDRSAAKVAKEQPKVAAKPMGNGMLASSYNNLSGKFHVLEPSASLLGSQGIDKFRNTDEIDEHSRSSHGTGDFDCASNNGSCSGESEDPKEKLTSTASRADSVPGCDIDKREKIRQKNEKKHQRQKERRAQELHERCKGYLMSRKLEALAQKLVAMGFSADQATMALIQNEGCVEESVTWLCNLDGSEEAKQQLAADQQSGANLKIDIADELSKIVSLEAKYKCTKQEVERAVVSCEGDIERAEEVLKTHKQESTAVPPKPEGSGDSSGLPNKQQVVLAQNPARPQTNGFSSVGSQQMRREEKDINYKLLMNGSGPKEPAIKGFQPLVTPIKPDLGRQQFVQPEKRRLNPNSIPSVPYVASSPLPVAVPQLKSDMRHVAGTNEVKSSMPNGSLRESVIVMQRPQSAGTKQSLPSTSHSMFAAEQSARDWFLNGTSGVDMMLNGGLGHGLRNMSLDSVSPARSFGHANHQQSFVSNPIELAANGWGGTWSSGGTSSSRSVASSLGAFRGWNSSESSSALPHSDWRTNGPAPYDYTSVDWSVDTTLLNPAAKSERLSDTWSTMFMGGRSTRTPGNLNGAGISGLHDSNHPMDPAPSPRPYEWPTFCRGGSS >Sspon.08G0004090-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8A:11961507:11962085:-1 gene:Sspon.08G0004090-1A transcript:Sspon.08G0004090-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEERSSDSVEIKDMEAAVFRSMLHFIYTDMAPELDGDLEPQEAATMAQHLLAAADRYGLDRLKLICECKLSASIGIATAATTLALAEQHHCSLLKAKCLEFVTKSPETLDAVLATDGYAHLVASCPLVLTELLRAAAHGRKI >Sspon.03G0000140-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:341884:347731:1 gene:Sspon.03G0000140-1A transcript:Sspon.03G0000140-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCILGKLATAPGSSLFFPAAATIAGGGGDNKEVQLQAPQPEHIAAVKKDASGWPLWLSEAAGDALRGWAPRGADAFQKLEKIGSGTYSNVYKAIEVESGRVVALKKVRVDGVGEAESARFMAREIALLRRLGDHPNVVRLNGLVTSRLNTAPSLYLVFDYMEHDLTGLTACATASGRRLSLPQVKCYMKQLLSGIEHCHNNGVLHRDIKTSNLLVSSDGILKIADFGLATSYDPENVRPMTSQVITLWYRPPELLLGATHYGVGVDLWSVGCILAELLLGEPIFPGRTEVEQLHKVFKLCGTPSEDYWEKMKFAHPTFKPYQRCLAEKFKDVPPSTLSLLETLLSIDPDMRGTATDALNSEFFRTEPYACEPSSLPRYPPCKERDVKLKYEKHKRKSRINGSVERHRNRQHTLQNPGRRVFTPDVNNKPQANPKVPRLVTSTSTTKFERFPPPHLDASIGYSLDPSADGATEEFFSSSVVELKKMPSLIVGHVKSYLNSPKKGMHKAKPSLNMAPSTVLIGAFRPYSFGQPMEVRRKSREQFRSKGRNAVGAVKSSPELVLLAPFTESASRIREDPIQRCLIRVESLQLKRQQEAAKYRKLGEPGIGGKAAVPSSSSLGSGATLHPRLLPPDDDSASCCCGGGASRPEVDASLCPSARARAHPRRRGSAPSAVDAAHPIAAAASVGEGGAGESEGVRERERGGGPEQGVVGKDDVPCRAARPALVGRRARGGFDRCGRPAAARASRGGVQIWRPDG >Sspon.01G0045670-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:91519275:91524779:1 gene:Sspon.01G0045670-1B transcript:Sspon.01G0045670-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MERGEEVEGHRDGDCGEGADHVWCKIAMVERNTDQMMELYKDFILDGWIQMVKAWEQVKQAVHLPSIDGKSFILSIVIDGPQAPVIWSANPDSPVNKGAILNFTREGSLLLHNVDGTMVWSTATNSKSVAGMVLDVYGNLVLFDQDNTSIWQSFDHPTDTLLWDILPTLCKIITIHEVGV >Sspon.01G0053060-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:32061584:32062296:-1 gene:Sspon.01G0053060-2D transcript:Sspon.01G0053060-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding HAGLQEDCAEGGRRRRWVQGQSHEHRRQVPGREVGGGGRGGDADGGGGGGRGARGQGAAQGQVRGAHPQRRPGEAARQQEARRGRQEARRRRGGQEAAAAAVLRRLQRLPSTTSSGPGARVSFPRCRGVLRGAGRRQRVRHPL >Sspon.01G0035560-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:12070610:12073194:1 gene:Sspon.01G0035560-1B transcript:Sspon.01G0035560-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SMEASEAAAAAVMEAEDVSGGKGSWYVLGERALMVPYMREHVPRYHDWMQDPALLEATASEPLSLSQEFEVHRSWTLDPLSSAPHPILQIAEIEIMIAEHNSRGKGIGQEAIILMMAFAVEKYGIHTFRAKISESNMASLKLFRKQGFKDASYSMVFKQVTLEAPADSLPLRFPLTIGDW >Sspon.02G0000390-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:2798690:2801486:-1 gene:Sspon.02G0000390-1T transcript:Sspon.02G0000390-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTITALRSCQETISELVRHFEEFIRLAAVELEKTKSLRAQLYAMDGKTDTSSREASSAGMSVNPRSYPVIQTILELQPIYEKLDKFFASGSESSAEEIAENVDELVDKVINLELKFPKQTAQINQLIQENENLKNKLDELQDEMALRDDQSDLNEQIKKLEDEFSTVRVLQRSIIEEEASVSIGFSEVFSCIINISKALESVGPEDVHNLSTRVGDGATVLKDVVLEHSTEESKGGEFRDIDAPTLSHSLGQVEKMSQKWLMIIQVRYKSSIHSENHINPFASSENENRVHDSGEDNVDSSPGEVFQSSSENENGSRNFPKGEIVRGEYPLGIVRQTHLLHSGSMDALDKKYGSNEASQSLMEVAEGNIGDRSSFISSSVIQEEGLGDSKSQNTSGHISPIASSELYSLKEKDSLEESSLPKAMCFSGADKSLHLGHANEAQSIEELPNQGGSENIESLNECSQVGLLKEDDCISLGHVDNIQDMKNMYSSEVRDETSLCVPAGDSEQVLTNLEKKSSHGKELASEATTSNNHGGRSQGEKSGLMGEEFVPSWQEFLLDGLEGREAILLADYTSVLRNYKETKRRLTELEKKNQEHLEETKAVIRELRNANSMKYVEIRSLRDLLDSSEMPPSKVGSNSNGFLSVRSFREADRSNGTLGRDISTVEESSSSNIDAPNNTSPFEVRFRNDINALVEENLQFLVRYSMACHHMEEFDRRYQEVQNEMENMDDNKTGEPETRAEPEPAEKKLRELRTELDVWFEQNALLDQEVQLKSASLCRLQEEIAEALRGSLEMDRAKLFTPYEAAKFQGEVLNMQQSNSKIENELQAASERMRGLQAKVNHALEKLRESFEFSSQHLSQPETESSYGKQFKHFPSRTRVPLRNFLFGTKRKKKSIFACINPTLQKQFSD >Sspon.02G0011350-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:34394312:34409034:1 gene:Sspon.02G0011350-2C transcript:Sspon.02G0011350-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARRLDLAERWRGIQEDEDADGDGESSAAKHRRLIRAKEEWFSHCYTFLINLPKEDHIWCGYADIMSPFLETFHGFFDDEDENSSLRIIWRRVSLEMGICTQCVCEHHQAQGFFNTEYQSDTVDPLLKVLRLLDEERVLMYPVLLDDQSLANQFQMFIEKIDETYEVSLSTNQQYPGVYALLFFKSCKARAIGLRLARSMGKLRRAVDLEPLQPLLQKYITFLEAEVLPSTSEHSRPRVQLKRADIWLGFKSFLGFLEAPAFEDGVLEKYPFLNIVLNHVSDDTSDLSCAYGAVQKVNESNSVIFADDDDELPFSHDAEEKSQSCWNDFNVLNKLASRECKDWKCVPLLWYLTMVQLEPSKLPIAFSKAVLWGLSHISVLEPGLATELSVPVNAWLSSHAGEVSSTFTWQVPNGADDGGGGKDCVNALKERHSGMDSFVDVESTKSSHSPDVHEIILLSDSEDNLLAPDVSSEEVLSSVMENDASTASNMLKEATPLEQRTLNDDRHVPLEPQICHPVSNISASSKPVSTDSRDNIAASKGLLGIKKPRLLMNTNNNSTSSKVVKSSVTGTSQQPRPKLLSDTEKFKSIFRDLSDDEDDPLDHALDNYRRPQILSRKPSILVPKRQAVQLPVPVGRKPGSGSRVTSTRRLQPPKLGSWFRNILEMDYFAVVGLSSSEILKKPALKEVPVCFDSPAQYVEIFQPLVLEEFKAQLQNAYVETPPDDMMCGSISILSVERVDDFLIVRARPEHSQSIKFRGCSENDLVLLTKDPLKNQGQQVHVLGKVERRESDKNKALIFVMKFFLSSDNARLNKVKRLLVERSKWFLNRVMSMTPQIREFSALSSLHDIPVLPAILNPVSCAASHHKSGKVYLDRLAHPMRKTLESSYNDSQLQAVSIAIGSTSSKANFDLSLVQGPPGTGKTRTIVAIVSALLSLHAYDPHKSQRHEYVGSTDFTKPRAKISQSTAVTRAWQDAALAKQLEKDSQKECPRTTERFAKGRALVCAQSNAAVDELVSRLSEGLYGADGKLYKPYIVRVGNAKTVHSNSLPFFIDTLVEQRLSDQLKINNDGKKSSDAESSSSLRSKLEKIVDRIRYYESKRKLIESDKSEDGSPVPDEDEVDEVSDEALGGKLNFLYAQKRVVSAELATAHAREKKMADENKFLKHKVRKSILGEAEIIVTTLSGCGGDIYGVCSETASSNKYGTFSEHALFDVVVIDEAAQVGDPKQLPATVMSGLASKFLYECSMFERLQRAGYPVIMLTKQYRMHPEISRFPSLHFYENKLLDGAEVADKSASFHLHECLGPYMFFDIADGREHCGRNAATQSLCNEFEADAALEILSFLKNRYPLEFASRKIGIITPYRSQLSLLRSKFTSSFGPEIVAEMEINTVDGFQGREVDILLLSTVRASNSSGDRHHTGEARSIGFVADVRRMNVALTRARLSLWIVGNARTLRINSHWDSLVCDAEERNLFVSIKRPYGSMFEKGQPHSRDTHRYHTSHLKQKDNKKAALMSSKRIDAQLQEQPTHAIRIVEKESLSREQSKWTSLWDQKIPRAQESVMRSSEDKSQKHSGNMRVTKCSLQENMDQDSVIRKQMDGKKLAARNDKHLELSKGLVKRGDEGSSVTRKTELNIPVEQNLCKETNKALTDQELFQNSKERTHNKKSTSENSKKDVSPPAVPDLQKLIQKAKGARRVSEKPRCDNSNHEILHPANKNDGAYPPTDPDMKKANKARGARKFSEQPSPGNLTQVGPARPSHFDDASSHVPKLKKSQSSKLNSQKDLVAERKRQREDVNTLLSSALIPQGIRLTLPGAVNQIQVIYKEEEGRGRDHHPARTIEDALSEHLTVAVI >Sspon.03G0005060-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:16763774:16765600:-1 gene:Sspon.03G0005060-3C transcript:Sspon.03G0005060-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASASSSRDSAENEKSIRASGCDNKRNVVTDSLSLHRYCAYSKHYSASNRTLQLLMRACLLSSKSTFHGVGEEVMDSWSLDKAVSTLEMEMAVERARSGGRGAVASSSRTPQKAFVVVGINTAFTSKKRRDSLRDTWVPRGDKLRKLEQEKGIVIRFVIGHSGTPGGGALDRALDAEEAETRDFLRLDHAEGYHELSSKTRTYFTTAVATWDADFYVKVDDDIHLNLGMLSSRLAKHRTRPRVYVGCMKSGPVLSQKGVKYHEPEYWKFGDEGNKYFRHATGQIYAISKDLAAYISINQPILHRFANEDVSLGAWLIGLEVEHVDDRSMCCATPPDCEWKKRAGNVCVASFDWSCSGVCKSVDRMRHIHKACGEGEGAVWNAAT >Sspon.03G0022920-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:88678264:88678968:-1 gene:Sspon.03G0022920-3C transcript:Sspon.03G0022920-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VRSAAAMEAGQVPDGRALMAVVTTGGGGGAGGGREPEGLPCPRCESVNTKFCYYNNYNLSQPRYFCKTCRRYWTRGGALRNVPVGGGTRKATPAGRRKRAGTAPATASPPSAVAPPPPPAPALHGSLLRPYGGGGLSFAAPALASSPVAAVDPDRRLLDLGGSFTSLIAPGVADVGVHFSAGFLLSGLAPAALPRAPASVAALPPPQPTQQQQQQPTVSQALPEGVFWSMGWPDL >Sspon.01G0035760-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:14341976:14342746:-1 gene:Sspon.01G0035760-1P transcript:Sspon.01G0035760-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYWWDRVVLPVRRAWLGVASRFGVRQTGRRLDVGGGSRTIPRLRMRCAGLTDPVAGLWRLRQEVSTCEYEDVHVMWEMLSRTTAPPPAPRRPSRFRPQPRPWGDRFRLCRGF >Sspon.01G0020970-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:82050554:82051829:-1 gene:Sspon.01G0020970-2B transcript:Sspon.01G0020970-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEAKNAEAEALPTKDIAEKKTAAPAPALAPEVTLVVVENAETPAATATGGGSRDRDAFLTRVVTAKRTSFIRAWEESEKAKAENRRSPLPPSRTDPAMPFAVSISMGLKFPAARRLASITSWENSKVAEMETELKKIHEQLEMKKAAQAEKLMNSAAAVHRAAEEKRAAAVARRGQEVIAAEEAAARYRAMGQEPTRLFGLGLFRRG >Sspon.06G0015930-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:71555304:71556569:-1 gene:Sspon.06G0015930-1P transcript:Sspon.06G0015930-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFYMATQAAIAGGAKGIIFEQYSTDVLENQMYCQGHMPCVAVDRETIFRILSSESTVAKISPAATVVGAQVASSRVAMFSSRGPSAQYPGILKPDIAAPGVSILAAKGDSYELMSGTSMACPHVSAVVALLKAVHPDWSPAMLKSAIVTTASVTDRFGIPIQANSVQRKPADAFDMGGGIIQPDKAIDPGLVYDILPDDYNNVSDEVDIELLNLPSIAVPDLKESVTFTRTVTNVGPVKATYRAVVEAPAGVRMSVEPPVIAFEKGGARNATFKVTFVAKQRVQGGYAFGSLTWLDDGKHSVRIPVAVRTVIRDFVADTS >Sspon.05G0032310-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:26055107:26055835:1 gene:Sspon.05G0032310-2D transcript:Sspon.05G0032310-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AMVLMLLPVLWSPDSDDFAPSLEEQRHDILNIKNNCSFSVAPVIAPKPGSSPLDFTPYQFMGYEYLENIALPHRWSGLIWARTDCSTDGSGKFTCATGDCGSGRLGCDRGARPAPAATVAVLALGGKGGTDTYHISVADGYNVPVLVTPYDTSHGGQCAPTQCVVDLDSACPADQQVKASDGRVVACKPSGISGSSNIACTTANTNGPASGSSSCAVVDNSYTVTFCPDNSEFDPNNPTSTSN >Sspon.05G0002370-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:7312930:7313679:1 gene:Sspon.05G0002370-1A transcript:Sspon.05G0002370-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding HAGRVPVFQRGVGGVGGRRGSGSSSRSRSHGSSSGRRGRRGRRRGRGARHRRRRRHAVRGVHPEEGHRGGVPRGRAADRHDGAAAAPPRAPEAPPPPPPAAAACPRARGGGAGAEAAAAAAAGVERARQEPGSKPGAEPRELRRRV >Sspon.03G0033260-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3B:47569885:47573865:-1 gene:Sspon.03G0033260-1B transcript:Sspon.03G0033260-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALIGQALKWQQHQGLLPPGTQFDLFRGTAAMKQDEEETYPTSLSHQIKFGKKTHPECARFSPDGQYLVSCSVDGIIEVWDYLSGKLKKDLQYQADESFMMHEEPVLCVDFSRDSEMLASGAQDGMIKFYILKRIKGFFFLPPFCRVHGLKSGKMLKEFRGHTSYVNYAIFTTDGSRVITASSDCTVKVWDTKTTDCLHTFKPPPPLRVLTSHALQV >Sspon.07G0014130-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7A:51163276:51165399:-1 gene:Sspon.07G0014130-1A transcript:Sspon.07G0014130-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSIKCCLLLRRLRGPRTPRFSTAAVSPSLPRKNRAGELPRGAGPPPPPDDTGDAAAARAHEAAVRRLAAAGDADGVQLALQEMRLRGVPCTEGALVAAVGAFARAGAPDRALKTFYRAVHDLGCARPTAPRLYNHLIDALLRENMVGAVALVYDNMRKDGVQPNVFTYNLLVKALCQNHRVGAARKMLDEMARKGCPPDDVTYATIVSALCKLGRVDEATEVLAVAPPVAASYNAVVLALCREFRMQEAFSVVGDMVGRGLQPNVITYTTIVDAFCKAGELRMACAILARMVITGCTPNVATFTALVGGLFDDGRVHDALDMWKWMLAEGWAPSTVSYNVLIRGLCSIGDLKGASSVLNGMEQHGCFSNARTYSTLIDGFSKAGDLDGAISIWNDMTRSGCKPNVVVYTNMVDVFCKKLMFNQAESLIDKMLLENCPPNTVTFNTLIRSLCDCRRVGRALGVFHEMRRHGCPPNGRTYNELLHGLFREGNCGDALQMVIEMQNLGIELSLVTYNTVVSGLYQMRMSREAMVFVGRMIVRGIQPDAFTFTAIIHAYCKEGEVRMAAWMLGAMNVVNCGRNILVYTILMAELCNQDKLEDAMVYLLKMLYEDESRLSRWP >Sspon.03G0041810-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:5348196:5354663:1 gene:Sspon.03G0041810-2D transcript:Sspon.03G0041810-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSFGCLAYHLVARRPLLDCHNNVKMYMNALTYLTSEAFSNIPSDLVSDLQRMLSMDAVSRPSAMAFTGSSFFRDDTRLRALRFLDHLLERDNMQKTEFLKALSDMWKDFDSRVLRYKVLPPLCAELRNMVMQPMILPMVLTIAESQDKGDFELATLPALVPVFTSASGETLLLLVKHADLIINKATQEHLISHVLPMLVRAYDDNDPRLQEEVLRRTVPLSRQLDTKLVKQAVLPRVHGLALKTTVAAVRVNALRCLGDLVPSLDKEGILGVLETVRRCTAVDHTAPTLMCTLGVANAIYKQCGVEFAAEYVIPLIFPLLTAHQLNVQQFAKYMLFVKDITSKIEEKRGVTVTDNGNTEVKASPPLTNGIHSEPMSGQIPAAKSSPAWDEDWGPTKKTGVPSLSVDSSAQTKQPSVDPFDFSTQTNQSTTLPFDLSTRAKQPSLVSQVTAATIPPAQPQPSLQSLVPSSGPQTSGSCVPVDIEWPPRRSSSSDFNAPLSISKENDSGRLSNDGLDDIDPFADWPPKASNVTSISATEHRPSINQNISGFSSGNIGFGGSGNSMGQTKSNQMSWSNTSNLMGMNSTGSYLNQGNAALGFGNPIGGLSTGLSNPSSSSTGLSMMQTKSDFGSLSMSANNAAHGPPRLAPPPSTSVGRGRGRNQGQSALSRASRPPHSNSSSGQQQPILDLL >Sspon.02G0027450-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:99351814:99358033:1 gene:Sspon.02G0027450-1A transcript:Sspon.02G0027450-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding NYIIGAFKPPCDISITFSDARTRKQVSVKKDNGKTTMVPVFQSLETISGEVSIAPVPGKRIEHTGVKIELLGQIELYFDRGNFYDFTSLVRELDIPGEIYERKTFPFEFSTVEMPYESYNGTNVRLRYILKVTIGRNYVGNIVEYRDFCVSENYSPVPTINNSIKMEVGIEDCLHIEFEYSKSKYHLNDVIIGKIYFLLVRIKIKNMELEIRRRESTGSGSNTYVETETLAKFELMDGAPVRGESIPVRLFLTPYELTPTYRNINNKFSVKYYLNLVLVDEEDRRYFKQQEITMYRLLESPPAS >Sspon.06G0025390-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6B:66751371:66751566:-1 gene:Sspon.06G0025390-1B transcript:Sspon.06G0025390-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VFAVLIATGAAAGLGLTVDAQRFPELLDSDSKNFLNMVDLSCGLMLGATVCMVIMITISAHLLI >Sspon.03G0044160-2D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3D:56335511:56335756:1 gene:Sspon.03G0044160-2D transcript:Sspon.03G0044160-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEASSYDDHSTPARSIGLGPRRRRSCVSQRDGRRPNDAGHGEAYVPSTRPVHEPADGMMMHTSPPREKLHMQASETTPGP >Sspon.04G0021030-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4A:73775453:73776996:1 gene:Sspon.04G0021030-1A transcript:Sspon.04G0021030-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding EDDPCHGDDGHPRGGHRHGGRQDGDGGGPARQAHPQLQAPQPRLPAAGRRTQAQGRRLVRHPPHHGRHPHRHLPRPASPASPRASAT >Sspon.05G0008580-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:4260236:4263336:-1 gene:Sspon.05G0008580-3C transcript:Sspon.05G0008580-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEAGGAGAGGRDERVPQWGAQETRELIVARGEMERETVAARRSAKTMWEAVAARLRERGYRRTAEQCKCKWKNLVNRYKGKETSDPENGRHCPFFEELHAVFTERARNMQRQLLESESGTSVKRKLKRPGGDRSSGESDDEDDGGEESDDEKPMHSRKRKADDKKQQYQRMSEKSRAGISSIHELLQDFLVQQQHIDVRWREMMERRAQERVVFEQQWRQTMQKLEQERLLLEHSWMEREEQRRMREEARAEKRDALLTTLLNKLLQEDL >Sspon.04G0013380-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:53598340:53601928:-1 gene:Sspon.04G0013380-2D transcript:Sspon.04G0013380-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPYGVKGRKKKRKLEEEATSDAAPPAEEVEELPPPEESGGEKKGNEEEEAAAGEEGHAAVDGLPIVPRMVDGKRRPGAIFVLERACLEVGKVGKTMQILNSDDHANYLRKQNRNPGDYRPDIIHQALLAIFDSPLTKAGRLQAVYVRTEKGVLFEIKPHVRMPRTFKRFCGLMLLYNMAAQLLQKLSITAVGKREKLLNVVKNPVTRYLPVGARKIGLSFSAEKSVNLFDYVAKSSDDEPLVFVVGAMAHGKIDKEYTDDYIQICNYPLSAACCLNRICSALEQKWNIQ >Sspon.02G0023920-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2C:85684867:85685310:-1 gene:Sspon.02G0023920-2C transcript:Sspon.02G0023920-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAERQVRLGLSVVIDSPLSRRAHLDALTRLVGALVVVVECRPGDNEEWRRRLEKRGVAVPNGGGDGWHKPKTWAELERLLEGYQGCTDYEIEDVPRIVVDTTDPAVDAQAIAAKVVGFVSSHLACSQSSCETQDSPVCYWKPKCTLT >Sspon.08G0003320-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:5691755:5694666:-1 gene:Sspon.08G0003320-2B transcript:Sspon.08G0003320-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMRMAAAAAVALVAVMCVLAPRAAAQTTPNCAAKLVPCSPYINTTGTPPDACCTPLKDAVQNDLKCLCDLYATPEIFKAFNISLDAALGLSKRCGLDDTTAACKGISTFSFTAVNECSSFYLSDEIFQHPINSNRLQKPFSYTVSSRFTIRWQWQWQQHRSSHSVGRFPRIDELVPSFVVCIGIKF >Sspon.05G0007550-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:17556911:17560250:-1 gene:Sspon.05G0007550-2B transcript:Sspon.05G0007550-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MACYSAVLQHPLGSSLTPCSASRAPPRLQRVGVATPLVASARPVARVRIAPRCAYSGGAGATPGPGELPAAALRRVLEAPGAHQAPACYDALSARLVERAGFRACFTSGFSISAARLGLPDVGLISYGEMIDQGRLITEAVSIPVIGDADNGYGNCMNVKRTVKGFINAGFAGIILEDQVSPKACGHTQGRKVVSREEAIMHIKAAVDARNESGSDIVIVARTDSRQALSLDEALWRVWAFADAGADVLFIDALASREEMKAFSAIAPGVPKMANMLEGGGKTPILSPVELEEIGYKIIAYPLSLIGVSMRAMEDALIAIKGGRIPPPSSLPSFEEIKNTLGFNHYYEEDKRYAVTPAQSFYETGYDNYTSKPKSPGDSRSRAEKPQEPVIDILPQLYDIGSSGSRGPSTGMWSRTLRLKITGRDGVQKIDARIPVSNPLLDSSVQYLAGFLEGMTRIIPGLAGANIMERLRNAPIDTDNPQNGQILLDFEDAMGDRIQVFIA >Sspon.02G0000330-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:4909036:4913649:1 gene:Sspon.02G0000330-1P transcript:Sspon.02G0000330-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIPRRKGGAGPLAVGVPSRRVQVAAVFALAALLGVSVLYDSAHIAASLRRHGGPTAYAKLSSDGATAVPSVPREAAVEALAPPARAAESAPVDGADRADPPPRERQEEVEDGGAAKPGATAGSSLQDAPLIEEAVQGGGRGHGDGGAQEQSPGTCDLYKGRWVYDESRAPLYKESDCSFLTEQVTCTRNGRRDDDYQKWRWQPDGCDLPRFDAKLLLEKLRNKRLMFVGDSLNRNQWESMVCLVQSEAPWDKKSLVKNGSLNVFHLQEYNATVEFYWAPFLVESNSDDPDIHSISDRMIKPTSIAKHAANWEGVDYLIFNTYIWWMNTPQMKTLHGGSFSRKHVKYDEIERVEAYRKVLKTWSRWVEAHIDPKRTTVLFMSVSPVHMQSEGWGSPNAIKCFSETQPAINYTKKLEVGTDWDLFATAQRVTRSMKKVPVHFINITALSEIRKDAHTSVHTLRQGKLLTAEQKANPRKFADCIHWCLPGVPDTWNEFVYGHIVSSPPPQQQITEDQPHR >Sspon.03G0045880-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:27587328:27588766:1 gene:Sspon.03G0045880-1D transcript:Sspon.03G0045880-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHANPIVEALMDDLGGRLGDVDALQRVQRNVDLVRAEIDEYAALHAEAAAELAQARQRLAEVAFNAAAARRALQEPRPLEGLVLPLDDPEVAAHAAAYVEAAARVKLIKQNELLLVEALAFLAVFRALAFAFSRVHLLPGVLVTAAAAYALAYVASWGAVVPGPTSILRIAVLVLCFILGIPVVEREYTSGRCMNDELMIIGLLLSSEIFNASIYLLVRLSCLILFAIN >Sspon.05G0011360-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:5B:26878109:26880427:1 gene:Sspon.05G0011360-2B transcript:Sspon.05G0011360-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRAVPFGAISLLLLACAAAAAAAADGERRSYIVHMDVEKMPAPFVEHEGWYLSVLSSLPSATTAGEGAAPVHLYTYAHVMHGFSAVLTSRQLEELRGVDGHVAAFPETYGRLHTTHTPAFLGLTSGGSGVWPASKFGDGVIVGIVDTGVWPESESFSDAGMGPVPARWKGACEAGQEFKASMCNRKLIGARSFSEGLKQSGLTIAPDDYDSPRDYYGHGSHTSSTAAGAAVSGASYFGYANGTATGIAPKARVAMYKAVFSSDTLESASTDVLAAMDQAIADGVDVMSLSLGFPETSYDTNVIAIGAFAAMQRGIFVACSAGNDGPDGYSIMNGAPWITTVGAASVDRDFTATVTLGSGSTVQGKSVYPLRTPTASANLYYGRGNSSKQRCESTSLRSKDVRGKYVFCSADPSTEIEEQMDEVQSKGGLGAIIASDMKQFLQPTEYTMPVVLVTESDGAAIAKYATARSTEGAPKASVRFGGTALGVKPAPTVSYFSARGPGQISPTILKPDVIAPGVDILAAWVPNKEIMELGKQKLFTKYALISGTSMSSPHVAGVVALLRSVHPDWSPMAIRSAMMTTAYVKDSASNVIVSMPSGSPGTPLDFGSGHVSPNEAMDPGLVYDVVADDYVNFLCALGYSSSQISTITGRRNPSCAGANLDLNYPSFMIILNRTNSATHTFKRILTNVAAAPAQYSVSVTAPAGMKVTVSPTALSFSGKGSKQPFTVTVQVSQVKRNSYDYNYIGNYGFLSWNEVGGKHVVRSPIVSAFAQ >Sspon.01G0049390-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:96837173:96846999:-1 gene:Sspon.01G0049390-2D transcript:Sspon.01G0049390-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPSTSSKASSSLAVLVVAVAAASLAQPGAADLKLNYYASTCPSVETIVRGVVQQRLQATIRTIGSTVRLFFHDCFVEGCDGSVLIESTPGNQAEKDASDNKSLASEGFDTVRSAKAAVEAACPGTVSCADVLALATRDAISMSGGPFFQVELGRLDGLNSTASSVPGQLPEPNQTMDQLLAVFKAHGLNMSDLVALSAAAHSVGLAHCSKFANRLYSFQPGQPTDPTLNPKYAQFLESKCPNGGADNLVLMDQSSPAQFDNQYYRNLQDGGGLLGSDELLYTDNRTRPMVDSLANSTDAFYQAFADAIVRLGRVGVKSGRRGNIRKQCDMNELAQGCDASVILVSTGNNTAEKDHPSNLSLAGDGFDTVIQAKAAVDAVPACTNQVSCADILALATRDVIALAGGPSYAVELGRLDGLVSMSTNVDGKLPPPSFNLDQLTSMFAVNNLTFSDRIQPQSVDPTMNATYAEDLQAACPSGVDPNIALQLDPVTPQAFDNQYFVNLVNGRGLLTSDQVLYSDARSQPTVVAWANNATDFEQAFVDAITRLGRVGVKTD >Sspon.07G0023830-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:19890510:19893610:1 gene:Sspon.07G0023830-1B transcript:Sspon.07G0023830-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEALSAGPTSVLLLGTHTNLALLLMSRPHLRRNVEHVYVSGGGVRVAGNLFTATAANPVAEFNVLHSGVPVTMIPLDATNTIPVTEAFYSEFRRRQSTYEAQYCFLSLDGGFYMWDQFAAGVALSSMRHGETGSGNNEFAELEYMNITVVTSNKPYGVRDGSNPFFDGRTAPMFGLQEGGVHSGHAQTGIRDPFCLVPGSNRGRCQDGYTKEVSGPEAVQVLVATSAKPNTNKNSPLDKEFSERFLEVTNKHTSRVFWLKITSRNVRGQAHKCHQYLFQVLNLPKNTGRFNISTQFPYYREVLYKPDFMNVTRGKPVVFDMDMSPGDFVSLIYLLKEPRHEIDLKAVLINANGWANSASIDIVYDVLHMMGRDDIPVGLGNTTAMGSPTLVATILMLSLLEVVDLFTSDDLDHPERRQPHAFDVWQSVRKQLGPGQKITVLTSGPLTNLASISLSDMAASSVIERVYVVGGLIRDGGDEKGNVFNVPSNRYAEFNMFLDPLAAKTVLESSLNITLIPLTVQRKVASFEVFEATHPAYSESKLVHRLLLLLHQLQRKQKIYHHMDIFLGEVLGAVYMVKGSDLEPSVKLKPICIVANTTESTNGQILVKKSAKPVNVLYSLNTGAYHNHLANSLANDKQSAVVGSFEEQKAIWSRPQKHLGADIAKDRK >Sspon.01G0012510-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:33652785:33657262:-1 gene:Sspon.01G0012510-3C transcript:Sspon.01G0012510-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:1-(5-phosphoribosyl)-5-[(5-phosphoribosylamino)methylideneamino] imidazole-4-carboxamide isomerase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G36230) UniProtKB/Swiss-Prot;Acc:O82782] GKVKQIVGSTLRDSSNDGMELVTNFESDKSPAEFAKLYKEDELLGGHVIMLGADPASQAAALEALHAYPDTTVSSKACISCQNKYVFSDGKMNIERLRKLVELVGRQRLVLDLSCRKKDGRYTIVTDRWQKFSDVFVDKPTLEYLPLQMSFWFMVLMWRAKDPSNLCWGVSTMDDLERIKKAGKSRVDVTVGSALHIFGGDLPYKDVVLWHKKQSMVGQ >Sspon.06G0011560-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:50005700:50010568:1 gene:Sspon.06G0011560-3C transcript:Sspon.06G0011560-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASAAEVRYGIVGVGMMGREHLHNLAHLAAEVEREQSVRVRVTGLADPHQESLRLGLQLAAELSLPAPQTFSGHRELLDSGLCDAIIVSSPNMTHYEILMDIISHREPHHILVEKPLCTTVQDCKKVIEAAKQRPDILVQVGLEYRYMPPVAKLIDIVKSGTLGQVRMVAIREHRFPFLVKVNNWNRFNCNSGGTLVEKCCHFFDLMRLFAAANPVRVMASGAIDVNHKDEVYDGKVPDIIDNAYVIVEFDNGSRGMLDLCMFAEGSRNEQEICVVGDIGKGETFVPESIVRFGKRAEGRDGVVTIMAEDERIKYQGLHHGSSYLEHLNFLSAVRAQGASGPSVNLSDGLLSVAIGVAGQLSIEKGRFVTMEEDRGV >Sspon.04G0014930-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:21941568:21945262:-1 gene:Sspon.04G0014930-2P transcript:Sspon.04G0014930-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVLHTGAGNKNAFKALIAAEYSGVKVEVTKNFEMGVSNKTPEFLKMNPLGKVPVLETPDGPVFESNAIARYVARLKDDNPLLGSSRIEQAHVEQWVDFAATEVDPGVAWYLYPRLGYIPYAHTTEETAITSLKRSLGALNTHLASNTYLVGHSVTLADIVLTCNLYHGIARILTKSFTSDFPHVERYFWTMVNQPNFKKVIGEVKQAESVPPVQKKAAPPKELKAKDVKKEAPKEAPKPKVVEAPAEEEAPKPKPKNPLDLLPPSKMVLDDWKRLYSNTKTNFREIAIKGFWDMYDPEGYSLWFCDYKYNDENTVSFVTLNKVGGFLQRMDLARKYAFGKMLVIGSEPPFKLKGLWLFRGQEVPKFVMDEVYDMELYEWTKVDISDEAQKERVNAMIEDQEPFEGEALLDAKCFK >Sspon.05G0026610-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:5B:37477002:37477547:1 gene:Sspon.05G0026610-1B transcript:Sspon.05G0026610-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GRDLAGSCRPYRWRSFLRRRADEPKEPPLAALGVAGSSTRRHGCARLKKPPWHRCLRGWAAVAGCACRAGRGHRLCAAEDGIAVLGTARGAARQRRALPRASSRAGEGGGGGTAQPPWALLLVERSVGARTFPAAASPAGGGRWPWGSVEERKGQEGTKKEEPPCSGEPPAVAATKAAERH >Sspon.02G0001440-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:4915354:4919653:-1 gene:Sspon.02G0001440-1A transcript:Sspon.02G0001440-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRGGPRRGIFDGLPIPADKSYLKEGLSRIDESWAAARFDSLPHVVHILTSKDREGEIQFLKEQSDLIEDVVDEVVHAYHHGFNKAIQNYSQILRLFSESAESITGLKGEMEEAKKLLGRKNKHLGQLWYRSLTLRHVLSLLDQVEDVAKVPARIENLMAEKQLYAAVQLHVQSVLILEREGLQAVGALQDVRSDLTKLRGVLFYKILEELHGHLYNNGEYSSATLSMVDGEEVPTSTAAGRLVNSMQPLSRRTRSIKGDNHIGGPVDGFPKGLKAFHSGDFLAKHFKGINDKGRCPLNVKYLRTLVQCLSMLGKVAAAGAVI >Sspon.03G0021910-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:89412614:89416345:-1 gene:Sspon.03G0021910-2B transcript:Sspon.03G0021910-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding QRGGGDEGAMAQILLHGTLHATIFEAESLSNPHRASHDMFVLLGQLVEGIEDTVGVGKGSTKIYATIDLEKARVGRTRMISNEPINPRWYESFHIYCAHMAADVIFTVKIDNPIGASLIGRAYLPVTDLLDGEEIDKWLEICDENREPIGDSKIHVKLQYFDVFKDRNWARGVRSTKYPGVPYTFFSQRQGCKVTLYQDAHVPDNFVPRIPLADGKNYEPHRCWEDIFDAISKAQHLIYITGWSVYTEITLVRDTSRPKPGGDVTLGELLKRKASEGVRVLMLVWDDRTSVGLLKKDGLMATHDEETANYFHGTEVNCVLCPRNPDDSGSFVQDLQISTMFTHHQKIVVVDHELPNQGSQQRRIVSFIGGIDLCDGRYDTQYHSLFRTLDTVHHDDFHQPNFEGGSIKKGGPREPWHDIHSRLEGPIAWDVLYNFEQRWRKQGGKDLLVRVRDLSDIIIPPSPVMFPEDKETWNVQLFRSIDGGAAFGFPETPEEAARAGLVSGKDQIIDRSIQDAYINAIRRAKNFIYIENQYFLGSSYGWKAEGIKPEEIGALHLIPKELSLKIVSKIEAGERFTVYVVVPMWPEGVPESASVQAILDWQRRTMEMMYTDITQALQAKGIEANPKEYLTFFCLGNREVKQEGEYEPEEHPEPDTDYIRAQEARRFMNGNIFCLISVDDEYIIIGSANINQRSMDGARDSEIAMGAYQPYHLATRQPARGQIHGFRMSLWYEHLGMLDDVFQRPESVECVQKVNRIAEKYWDLYSSDDLEQDLPGHLLSYPIGVTADGTVTELPGMENFPDTRARILGNKSD >Sspon.06G0022950-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:40971736:40977084:1 gene:Sspon.06G0022950-2C transcript:Sspon.06G0022950-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATAVMVSSAGSLLAMLQEPAPELKLHALASLNSLVHAFWHEISTSVSSIESLYEDEEFVQRQLAALVASKVFFYLGELNDALSYALGAGPLFDVSDDSDYAQTLLAKALDEYAAIQSRATGEEKTMDPRLEAIVERMLDKCILDGKYQQAMGMSVECRRLDKLEGAISRCDNLHGALSYCINLSHQYVSHREYRLEILQCLVKIYQTLPNPDYLSICQCLMFLGEPESVASILDKLISGSNDDALLAYQTAFDLTENENQAFLLNVRNHLDALSSHTSAHVDPDSGPAVPSNQTNAATEPSGDVQMRDDINMPNGSATTVDPNAATHADRLTKIKGILSGETSIQLTLQFLYSHNRSDLQILKTIKQAVEMRNSVCHSATICSNAIMHAGTTVDTFLRENLEWLGRATNWAKFSATAGLGVIHRGHLQQGRALMAPYLPQNGAGGSGSPYSEGGALYALGLIHANHGEGIKDFLRESLRNATSEVVQHGACLGLGLAALGTSDEEICEDIKNILYTDSAVASEAAGIGMGLLMVGTASEKATEMLAYAHDTQHEKIIRGLSLGIALTVYGREEEADTLIEQMTRDQDPILRYGGMYALALAYRGTANNKAIHQLLHFAVSDVSDDVRRTAVLALGFVLYNEPEQTPRIVSLLSESYNPHVRYGAALAVGISCAGTGLSEAISLLEPLTSDVVDFVRQGALIAMAMVMIQTNESYDSRVGAFRRQLEKIILDKHEDTMSKMGAILASGILDAGGRNVTIKLKSRSKHDRLTAVVGLAVFTQFWYWYPLTYFISLAFSPTALIGLNSDLKVPKFEFLSNAKPSLFDYPKPVTQQTATTSVKVPAAILSTYAKSKSRAKKEAESKAKEKAEDSSIASTSMQVDGAAAEKKAPEPEPTFQILMNPARVVPAQEKFIKFLEDSRYKPVKAAPSGFVLLQDLKPTEAEELTLTDAPSTAATTNAPASSASEPAAMAVDDEPQPPPAFEYTE >Sspon.03G0033020-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3C:50264858:50266352:-1 gene:Sspon.03G0033020-2C transcript:Sspon.03G0033020-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding CTGSSRHYLTSHSTPPLPLQPPPPLPHRHLDASLLPSHCATSSLTASRLLARRPHLRRRALTPISSSCSAAAATVTLRKTSTSSSSSGGSIMTSSYPTISSTSTPRARAWLPRAGCSTKCPSGTPSPGPASSPGTCCRASPKRPSGCSAPCCGRWSPGAGPRPSPLGTLLRACQDGGPDRLGFAIQVHGLVSKTEYATNTTVCNAL >Sspon.02G0022530-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:77252446:77252873:-1 gene:Sspon.02G0022530-3C transcript:Sspon.02G0022530-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWLHSLFSPLRRMWVRAHSERRNRRGMHILYKDVQSCQDEDVHVLWSILIDSHRHPAVLKLKL >Sspon.01G0041520-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:50084060:50084623:-1 gene:Sspon.01G0041520-1B transcript:Sspon.01G0041520-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRAAAAAAAIFPVRFAAAPAVAAAEELRSPLLRVIGTLRGGRGSVLLGRRARFCSKSSASDSEAAAEAKAEDATAAEGEADSKASSAIMPTSTNIDDCLSVCSSGSESLALLLASAIRFSPLFKDSAMRLEMCWKCAMSSRLVPDSVSWVESPISSDTNEPLNQASVACWIQHLKGPCLNMSMEEL >Sspon.02G0009480-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:29510835:29513784:-1 gene:Sspon.02G0009480-3C transcript:Sspon.02G0009480-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEASPESGAAAVGGASGTAPPRKGKSCKGCLYYSSVLKSRGYNPICVGIPRSIPQVPNYVVDEPKEEATAQGHDLRRFRYGCAGYSMFVDNRDGQGGESEGKTLLPYCRGLELLVDSRLVERKPSTAEQAPAHVAKDAAATTRSHQQGQQRPANLSRQEFLERKVTVHISSSSPAPTSIYCLSDVYGWCRFKRSAGLVASGVAKNLNKTAHYIKENIQDILYPDRRPPK >Sspon.05G0017720-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:74197163:74198578:1 gene:Sspon.05G0017720-1A transcript:Sspon.05G0017720-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPVIDFSKLDGPERAETMAAIAAGFEHVGFFQLVNTGIPDELLERVKKVCSDCYKLRDEAFKDSNPAVKALAELVDKETEGVPVRKIQDMDWEDVLTLQDDLPWPSNPPAFKETMMEYRKELRKLAEKMLGVMEELLGLEEGHIRKAFTNDGEFEPFYGTKVSHYPPCPRPDLVDGLRAHTDAGGLILLFQDDRFGGLQAQLPDGSWVDVQPLENAIVINTGDQIEQWPYKSAWHRILATRDGNRRSIASFYNPARLATIAPAIPAATGDEDYPSFVFGDYMEVYVKQKFQPKRP >Sspon.02G0011810-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:29950475:29952635:-1 gene:Sspon.02G0011810-1T transcript:Sspon.02G0011810-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPRRACWESSTEDVTRPLLPVHDDDRRPAGAGRSCSALWSVLANKYLAVASGPLACALLCVLGGDLGGHPAARNMLGVLAWVFLWWITDAVPLGVASMAPLFLFPAFGISSADAVAKAYMDDVISLVLGSFILALAIEHYGIHRRLALNITSLFCGDPVKPHLLLLGICGTTVFISMWIHNTPCTVMMIQVATGILQRFPRDQLEGGGADARELQRFSKALVLGIMYASTIGGMATLTGTGANIILVGVWSTYFPEQRPITFSSWMSFGLPMTLVLFVALWVTLCLKYCTKNTGRVLSAYLDRNHLRRELSLMGPMAFAEKMVLAVFGALIVLWMTRSLTDDIPGWAVLFDGRVGDGTVTIMMTTLLFIIPSGKNDGEKLMDWGKCRKLQWHIILLLGAGFTIADGFKSSGLTDILSSGLGFLKGAPALAIAPVACVFSGLITEFTSDDATTTLVLPLLAELGKSIGVHPLLLMVPGTVGAQLSYLLPTATPGNVVGFGTGYITIKDMVVTGMPIKLVGIAALTILLPTFSGFWHGFENVGTWTAYISQ >Sspon.01G0049770-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:114886470:114886937:1 gene:Sspon.01G0049770-1B transcript:Sspon.01G0049770-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding CHAPHGAIPPARRARLRGRLREHGLQPCPHPHAAPAAGGIDWISFPDGMGPDGDRTDIGKVLDGLPAAM >Sspon.02G0058280-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2D:72030708:72036986:1 gene:Sspon.02G0058280-1D transcript:Sspon.02G0058280-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKTKFGANGTELLPLGAVGEFEFQDDRNMRGSEFHWPLHGVEDFAGVFVLRVARDGQWPPGPLLGGTRCNPMELKAKSTEAVVDGEVKVKEDVPCRQTESGEGRTACVHGDEDGVGQVKMETMASWHLVGGRWAESRRKAKASCLAGGRIRVDIGGLVVSSSKPSVGDAYRVRYSRAVWWFGSQNHRWRVYGFGPQNPGGGSKEEQTARGGIGEFASRRSCRFDPFFLGPCCYFWTARSLREKHGTSGPRSLRAKMWNLRNAEPLGTNAEPPHLLAEIMEHPPTSWVMDIMESGMSKSRLKNSFEKIEGVINDACTILEQLNLPSVANPDGRQVSAANSCSAVTTADPPLKVIGRDEERDKIIAMLHEKECDGQQNSNSDRCYSVIGIHGIAGSGKSTLAQYMCAHEKKDKEEEKDGHFDLVIWIHVSQKFDLDGIFRDMLEGAAGKACPQFNSRSALVENLKDKLRGKRIFLVLDDVWYNIRNGRQQLAEQVLSPLMVGMTGSKVLVTSRTKDALLALGAVEERCIPISELAENVFLQMFMHYALGGVRVAGHDRIKLEMIGTELAKKLNRSPLAARTVGSQLRLRPDVAFWRMARDQDLLKETKGALWWSYRHLDEQVRRCFAYCSIFPRRHRLERDELVRLWVAEGFIKSNNDQDELDATGGKHRVECVGEVEYFTVHDLLRDLAEEVAGSDCFRIVEGWTGDVPQDVRHLFVGTYNREILMEKILELQNLRTLIIRDAPRKQEIEEEVFEIMFKKLLKLRSYQSLPVMCGVPYTRRRRARDVRRAAERAASLGQAAHVLEPQQQHG >Sspon.04G0018540-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:76181795:76182286:-1 gene:Sspon.04G0018540-2D transcript:Sspon.04G0018540-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding WESCLSYLAAAVFWVSEALVSLPHCLPPFAGRCARAATRHRFSIIHNEEADGRGGEEQGIDPRKLDEDEPVPELVPRCARLSFLPVAPTSSPMRRRRHGSCSMAVQRADAD >Sspon.02G0000600-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:2240947:2247073:1 gene:Sspon.02G0000600-3C transcript:Sspon.02G0000600-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPHGPEEDADAHLFAGVRFVLHGFDQVSASQVRAAPPNLVRSAGSRADLVPDWFDPYRLEIERCGGVHVGGWDGDCTHVIVSNTLYVSPIPLLKFIRFLDDPVCVAARKAGKKVVVDQWVEDSIQLGELADADRVLYAPVRDFKGIPGCDKLHICLTGYQKNWRDDIMWLEVEIMEAQAKDSEYEAEDAGRGSSSSRRIGRRTPIREIRSKSHVDSAVHAPSGGPTISASNVVGATGKHLGTPEQIMNADDTSTKSPDIRADSGSALTQSVLQHLLIMVPLNLPTLAFTTNDEATADQASRDEAKDDHRRELDTRASTLNTPSVHKSIALTIPADNIENTDGNCFHCSSQINVNNDLQSISSEENFSKKILHSKDLSRKVDQKDDGHVPDPKPNISQSSVEENLNTCGFNPRLEGNSASRNDQTLGYSRRRSSKSVSPKVTKHVDRSGGGLAHRRKSILSSVSSKAPNEAPDSGSGISSSPFSGKESALEAAASNVGRSPTESTKVDGHVNSGPTTNSTEKQMSGCKGHLLSYRRTSLKRVSSAEVEKLPANSANDKNMGAPNVVKTPALHEATTEERCNISPSVSSEVRKESLGVHQNRDAEMTDAQRVSKIEAAAPCSKPDKEVSCQNLGEDPKDVPVNKITDEHGTFPSKVSTSRVRKAGSKQSHNADSKAAGEFINSKSEVTPSKTNHGKVASHENVEAQQREGCCSPNAAENTPSSLAEALNTKSRNEVLTSSQGPNRKTNESLVASKAESVNMTLQRNKKGNRRKLSNTSSADENQRSSSRTVPNSKSSNLVAKGSWTADVNISGSPTVDETETLPSNSSFNEAVPPGNGDENHKRLSSSASADDPETCTANKAPNNRIRKVVAKRKLSAVQNHKSGSEPCKTAKVLVSEDKVVSPARVVQSSRNANKVTVDKDLQNTNEGRTNDTVGSFCKDATEERSKIMQSSKTRSNRRQKVADLVDGSTDHDKENIPVSSNFTSNTKCGKNSKSSKSITKALQSSKAVLDENGMIKRNDYGTLNVPEPAWFILSGHRLLRKEYRTILRRLRGRVCRDSHHWSFQATHFVTTELRRTEKFFAAAAAGRWILKPDYLTACNEAGKFLEEEPFEWHGQGLNIGDTISLDAPRKWRQLKQRTGYGAFYGMQVIIYGECIAPTLDTLKRTIRSGDGTILATSPPYTRFLKSSVDFAVVSAGMPSVDAWVQEFMRHNIPCISADYLVEYVCKPGHPLSKHVLFNMHDLAERSLQKLLKNQEDAIAMDAEPESCSACGSNNREGLMLMCGRGDGNRAGCGVRVHADCCDPPVESCEGGDWLCDRCDQQKSAKKAKKTAAKSSVLKQR >Sspon.02G0054900-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:121884304:121886050:1 gene:Sspon.02G0054900-1C transcript:Sspon.02G0054900-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHSNVWNSHPKNYGPGSRVCRVCANPHGLIRKYGLMCCRQCFRSNAKDIGFIKYR >Sspon.04G0009160-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:26526889:26558924:1 gene:Sspon.04G0009160-1A transcript:Sspon.04G0009160-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTHSLANRTLNAQSNAKRASRESSKSNHGRAAAAAAGLPGRLRRGLVPPAPPRRGREEGEAAAAARSPGWPVLGNLPQVGSHPHHTMCALAKEYGPLFRLRFGSAEVVVAASARVAAQFLRAHDANFSNRPPNSGAEHVAYNYQDLVFAPYGSRWRALRKLCALHLFSAKALDDLRGVREGEVALMVRELARHQHAPVVLGQVANVCATNTLARATVGRRVFAVDGGEEAREFKDMVVELMQLAGVFNVGDFVPALAWLDPQGVVGKMKRLHRRYDDMMNGIIRERKAAGEGKDLLSVMLARMREQQPLADGEDSRINETDIKALLLNLFTAGTDTTSSTVEWALAELIRHPDVLKKAQQELDAVAGRDRLVSESDLPRLTYLTAVIKETFRLHPSTPLSLPRVAAEECEVDGFRIPAGTTLQVNVWAIARDPEAWPEPLQFRPDRFLPGGSHAGVDVKGSDFELIPFGAGRRICAGLSWGLRMVTLMTATLVHALDWDLADGMTADKLDMEEAPTPSAPPVNRASQTMDVPLPLLLGSLAVSVVVWCLLLRRGGDGKKGKRPLPPGPRGWPVLGNLPQVGSHPHHTMCALAKEYGPLFRLRFGSAEVVVVASARVAAQFLRAHDANFSNRPPNSGAEHVAYNYQDLVFAPYGSRWRALRKLCALHLFSAKALDDLRGVREGEVALMVRELARHQHAPVVLGQVANVCATNTLARATVGRRVFAVDGGEEAREFKDMVVELMQLAGVFNVGDFVPALAWLDPQGVVGKMKRLHRRYDDMMNAIIRERKAAGEGKDLLSVMLARMREQQPLADGEDSRINETDIKALLLNLFTAGTDTTSSTVEWALAELIRHPDVLKKAQQELDAVASRDRLVSESDLPRLTYLTAVIKETFRLHPSTPLSLPRVAAEECEVDGFRIPAGTTLLVNVWAIARDPEAWPEPLQFRPDRFLPGGSHAGVDVKGSDFELIPFGAGRRICAGLSWGLRMVTLMTATLVHALDWDLADGMTADKLDMEEAVEGAARDVLPFGGGGSFPRRSLERRPPSGRGPWSPVGSVGFVVASTHICECDQIMKRGTGLISSMLVQGADARPAVVARAEVNSRVLTCGRVVVAPGLQPSDDASLLLGGLIASADHPP >Sspon.05G0003620-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:9292445:9295328:-1 gene:Sspon.05G0003620-4D transcript:Sspon.05G0003620-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHSALSAILRCLTLLCILSLAVAADNNTIGSGQIRLDCGAPSPTGPDADGRSWDSDTNSKFAPSLKGIAATASSQDPSLPSPMPYMTARIFTSNYTYSFPVSPGCMFVRLYFYPTNYGGNHAAADAYFGVTAGNLTLLYDFNASQTAAAVTPVGVAFFIREYSINVTEGSLNLTFSPSTHHNGSYAFINGIEIVPTPDLFTTPIPTLANGGNPDPFPVLAGTGFQTMYRLNVGGQAIPPQGDVDFYRSWQDDSAYIYASGLGVAFGKDNNLTITYTPSVPNYTAPVDVYATARSMGPNAQVNLNYNLTWILPVDAGFYYLLRFHFCEIQYPITKVNQRSFFIYINNQTAQQEMDVIAFSGGIGKTVYTDYVTITTGSGQTELWVALHPDLTTRPEYFDAILNGLEVFKLQNYGNNSLNGLNPPLPSFEPNNGKPSGRNKDSVPVPAIIGGAVGGFAALLIAFIGVCIICRRKKVAKESGKPDDGQWTPLTDYSKSRSNTSGKTITTGSRTSTLPSNLCRHFSFGEIQAATNNFDQTSLLGKGGFGNVYLGEIDSGTVVAIKRGNPTSEQGVHEFQTEIVMLSKLRHRHLVSLIGYCDDMNEMILVYDYMANGTLREHLYNTKKPALSWKKRLEICIGAARGLHYLHTGAKHTIIHRDVKTTNILLDDKLVAKVSDFGLSKTGPNVDNTHVSTVVKGSFGYLDPEYFRRQQLTEKSDVYSFGVVMFEVLCARPALSPSLPKEQVSLADWALHCQKKGILGQIIDPHLQGKISPQCFMKFAETAEKCVADHSIDRPSMADVLWNLEFTLQLQESAEDSSSVTDGTSSNTSPLVIPRLHSDEPLTDTTTTTSSSTMSMAGRSLASVDSDGLTPSVVFSQLMHPEPAEITAMARYFPLNYRKHVPE >Sspon.02G0026610-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:84878312:84879766:1 gene:Sspon.02G0026610-3C transcript:Sspon.02G0026610-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding YCSAPKLQTTLRASSESAPPWPPPSSASPAPAARCSPSPPSSNRSPPSPTPHPHPLPPSSARRLPHFLSFLAAAAAAAAAGGGTVALCDSGLDHHRVGGKDSADLVVRGERKLVPQEFIDELASFLGDNMTLDYEERSFHGTPQNSFHKAVNVPDVVVFPSSQDEVQKIVMACNKYKVPIVPYGGATSIEGHTLAPHGGVCINMTLMKKIKSLNVEDMDVVVEPGVGWIELNEYLKPYGLFFPLDPG >Sspon.04G0025020-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:24171576:24171794:-1 gene:Sspon.04G0025020-1B transcript:Sspon.04G0025020-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding HGRQVVLLPHLQLPAQVEAVRRRHERLGRPDRLRAQGALQRRGLRRLVGRRARRRPQGLRLHQQLPPEEGHRL >Sspon.02G0051260-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:74954946:74955691:-1 gene:Sspon.02G0051260-1C transcript:Sspon.02G0051260-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKAPTRLMGQSTAEVPAASAEVPVCKVVNEGLDRQLTSRPLPRASTRQRPPPSRLSELAIPTLAPITGWPIKTTMLRKKATPARAPSSSRARGRPKRNTYNTYASNDEDQLKEEPVIEEQPPASET >Sspon.05G0021380-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:5A:89963534:89964133:1 gene:Sspon.05G0021380-1A transcript:Sspon.05G0021380-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGAGQSEGGANGVKNYIVVPGQGLVKETDKRPIETPKPLKVKKRGSRRKWNKRKFMGMTDQNAEKDVEEGLGMLVQYDYEDARRIEYELPRDTAMVQFNKEVIMMQPVEGDIILQLEPPRDPTEVQHNKEARSVEQDPPSNAEAVKPNNEAITIEHESVSADIASRSNGDSTDVKEAPSSSSMMQGVESETTRQEAPHT >Sspon.02G0033250-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2B:5760732:5761003:1 gene:Sspon.02G0033250-1B transcript:Sspon.02G0033250-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKLKPLVCPSPISPVSMLAIRWGPRIGPGDGEGVATSSSPKSVAGCHSTRTHRMTSSLRRDSSEQRSIRDASASVAFASSTLWARPPRP >Sspon.07G0025750-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:39686118:39689970:1 gene:Sspon.07G0025750-2D transcript:Sspon.07G0025750-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLRARGAAGALLRLAGAGAGVQRGGGPPLARAAFARGFLDFRKTGNKEAMEKEKEKKKARLTDEMSRGYFADIAEIRKNAGKIATASKVIIPEADAVKFPDLAVDSPAGGALHLPLVAPALQDDGGEAGDHVIPSASLVCLSFRASSLQKMAESWSLPFLDAFGAAKNMHVYQVSFIDSWLLSLSPVRRAFFKVMRKSNNPQRHVVYAFGDHYDFRKKLQIINLLTRYIYLVDSLGRIRWQGFGSATQEELSSLTACTSILLDEK >Sspon.01G0019110-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:77737191:77742558:-1 gene:Sspon.01G0019110-2P transcript:Sspon.01G0019110-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MELDQGKVFIGGISWETTEEKLSDHFSAYGEVTQAAVMRDKITGRPRGFGFVVFADPAVVDRALQDPHTLDGRTVDVKRALSREEQQASKAANPSGGRNTGGGGGGGGGGGDASGARTKKIFVGGLPSTLTEDGFRQYFQTFGSVTDVVVMYDQNTQRPRGFGFITFDSEDAVDRVLHKTFHDLGGKLVEVKRALPREANPGGSGSGRSGGSGGYQSNNGHNASSGGYDGRSDGGRYGQAQQGSGGYPGYGAGGYGAGAAGYGYGANPAVGYGNYGAGGYGGVPAAYGGHYGNPGAAGSGYQGGPPGSNRGPWGSQAPSAYGTGGYGGSAGYSAWNNSSGGGNAPSSQAPGGPAGYGSQGYGYGGYGGDPSYASHGGYGAYGARGDGAGNPATGGASGYSAGYGSGGANSGYSSAWSDPSQGGGFGGSVNGGAEGQSNYGTGYGSVQPRTKCNMLAGATVAGLGEHGQGLGCVAQGRLVCILDT >Sspon.08G0013030-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:66258275:66261356:1 gene:Sspon.08G0013030-2D transcript:Sspon.08G0013030-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutaminyl-peptide cyclotransferase [Source:Projected from Arabidopsis thaliana (AT4G25720) UniProtKB/Swiss-Prot;Acc:Q84WV9] MPVGSRRRPPPPHHRRAMTPASVSVSAPAPAPAPTKPLYLRSPVIAGAAALAAFLLLAAAYATRRAGSPPTALLAHPAVATRFFSFDLVREYPHDPDAFTQGLLYAENDTLFESTGLYHRSSVRKVDLQTGKVLVNHQMDGQMFGEDPISFSFVSLCRLFQVTWLKNEGFIYDRHNFSKRTSFTHKMRDGWGLATDGKVLFGSDGTSMLYKLDPKSLEVMKVVTVKYHGNEVPYLNELEYIDGEVWANVWQTDCIARVSPEDGLVVGWIFLHELRRQLWNSGNTVGSLWC >Sspon.07G0029920-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:68181203:68182836:-1 gene:Sspon.07G0029920-2D transcript:Sspon.07G0029920-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AWGGEGLSSRGSRITPAGSRSPSACAATGSSRRRMMSSALSSATPWRRSSSSSPAVAACTNEYANSRIMNIRF >Sspon.05G0009440-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:22365226:22373645:1 gene:Sspon.05G0009440-2B transcript:Sspon.05G0009440-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQKIVIRLSMASDKCRSKAMVLAAKADGVSKMGITGDSKDQLEVEGNDIDTVCLVNCLRKKIGRADIVKVEEVKPEEKKSEEKKPEEKKPEVVPLPYCWYPNYYHYHPQWQCLHKLKP >Sspon.02G0056000-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2D:15541946:15549140:1 gene:Sspon.02G0056000-1D transcript:Sspon.02G0056000-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIVKQQGMEVLGVDLSPSESFKDRFAGLFGSCIPPTRLAGCRVPVLGSWSSPWAWLCACKPPSSARLYGNAEIGRSSRAWLTPCSRVRVAISAGGRWRELARVTSPFRAIHRIYIPPPAASLTFSSLLVCAAGAVDLRPLQANGLAREETHAFCSCCCSYLGYVLYAISELRQDMIRPPTNETPLKISNSPRWYPYCKDCVGAIDGTHIYAKVPAKIQAAFRGRKNYPTQNMLAAVDFDLKFTYVLAGWEGSAHDATILADALDQHDGLRVEPVVPAKFSWVPNSNPLSPMATGKYAMGSSEALGTPSDFVGSSLKTDATEEMKRGKTDTVDATKSESAIGNKRKRSLLAEEDVVLFAGMVDAVNNVADDVRSTKVEDSHPELYGAVMFVPGFTDEALMCAYGHLLDNKALGTAFVKMSNSHRVLWLRTFLAKRYYM >Sspon.04G0004900-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4B:9721347:9722336:1 gene:Sspon.04G0004900-2B transcript:Sspon.04G0004900-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein NRT1/ PTR FAMILY 7.1 [Source:Projected from Arabidopsis thaliana (AT5G19640) UniProtKB/Swiss-Prot;Acc:Q3E9B5] VKPSGCGGVKAHCDEPSAPGVALFYLSTYMIAFGNGGYQPSIATFGSDQFDETDPKEARSKVAFFSYFYLALNVGSLFSNTVLVYYEDSGRWVMGFWVSAAAAALALALFLLGTPNYRHFKPSGNPLTRVAQVFVAAFRKWHAEVPRGELLHEVEGEDPKISGIRKILHSDELRFLDKAATITEGEKMENPWRLCTVTQVEEVKCILKMLPIWLSTIVYSVVFTQMASLFVEQGATMNTNIGSFHFPAASMSLFDVLSVLVFIAIYRRVLVPVMARLSGNPQGLTELQRMGVGLVIGMAAMVVAGVVEVERLKRVAAPDRPSSLSVLWQV >Sspon.01G0016570-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:55399273:55402544:1 gene:Sspon.01G0016570-1A transcript:Sspon.01G0016570-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding FSSTPEAESTSGCPDSCGGIAIQYPFGIGEGCFRKGFELTCDRNLDRPVLAGTTKPVPLNHLSIRTAEARVMLPVAWQCFNSSDLVYAWSDGDVQFNREEVYRISNTNNQLVVIGCNTLGYTQSQRSEGNDYDYGYYTGCMSYCNNSKSAMNGACAGIGCCRLDIPPGLTDNRMNFDVYTHKKRLGFSPCDYAFLVDRDNYTFHTADLKMETNTMMPVWLDWAIRDNMTPGFFVVYVGAIGGLFIIAVAVFASLLAKEKRKMKDYFRKNGGPIIEEVNKIKLFKKKELEQTLKSKVIVQCLSTDIDQRPEMADVAERLQYLLKIIPYPIIFDS >Sspon.01G0039090-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:32691318:32695408:-1 gene:Sspon.01G0039090-1B transcript:Sspon.01G0039090-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVNWELQGCCDRDQRIFIAAVGVSTVVILLLWRTFLLTPFKLITVFLHETSHALACKLTCGDVEGMQVHANEGGVTQTRGGIYWIILPAGYLGSSFWGMVFILASTNLLATRIAAGCFILALFIVLFVAENWFLRWLCLGFIVFIAVVWVIQEFTSFHILKYVILFIGVMNSLFSVYDIYDDLISRRVNTSDAEKFAEICPCPCNGDSSRLSFSALQYTLDWSYCLEDPNLVHAILI >Sspon.01G0049860-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1C:39525569:39526633:1 gene:Sspon.01G0049860-2C transcript:Sspon.01G0049860-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding FPPGPNITTNYNGQWLTARATWYGQPNGAGPDDNGGACGIKNVNLPPYNGFTACGNIPIFKDGKGCGSCYEVRCKEKPECSGQPITVFITDMNYEPIAPYHFDFSGKAFGSLAKPGLNDKLRHCGIMDVEFRRVRCKLPGAKILFHVEKGSNPNYLAVLVKNVADDGNIVLMELEDKSAPGLFKPMKLSWGAIWRFDTPKPLKGPFSIRLTSESGKKLIAKDVIPANWKPDTLYYSNIQF >Sspon.03G0043150-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:76418823:76419473:-1 gene:Sspon.03G0043150-1P transcript:Sspon.03G0043150-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AQHSTAMAARRLAAASCHALRRAVHDKIRAVELQLRACHRSLAGASSSTSPVAALGALLLLCGAAVAFPRAAAFFLPLVASTSLCCAAACLFAAAERGASKEAALEVVLVGGEGKAEAGLLQVIGEANASAYVDGVQVGCFVRRSAKLGVDEDGEEVVFAGTLAPCAAGFGVGADAGGGQRRGALEEELAALRVDRLAEGVWDSYFGGWSRWHHIDA >Sspon.08G0023300-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:49776348:49778372:-1 gene:Sspon.08G0023300-2D transcript:Sspon.08G0023300-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At5g39710 [Source:Projected from Arabidopsis thaliana (AT5G39710) UniProtKB/Swiss-Prot;Acc:Q9FIX3] MAAARAAAAATRQAAAEACSNQHAVHHAHLAALLNPSARLPPLPLPLCRRHLPLSLSAASRLVASFPPLPLLVCFLRALRLLPSPPPRPFDSLIKSYASLPSRASLAAAALAFARSAGYAPSVLAYNAVLLALSDASLPSARRFFDSMLSDGVAPNVYTYNILVRALCGRGHRKEALSVLRDMRGAGCDPNAVTYNTLVAAFCRAGEVDGAERLVGMMREGGLKPNLVTFNSVVNGICKAGRMEDARKVFDEMVREGLAPDGVSYNTLVGGYCKAGCSHEALSVFAEMTQKGIMPDVVTFTSLIHVMCKAGNLERAVALVREMRERGLQMNEVTFTALIDGFCKKGFLDDALLAAREMRQCRIQPSVVCYNALINGYCMVGRMDEARELLHEMEAKGVKPDVVTYSTILNAYCKNGDTHSAFQLNQQMLEKGVLPDAITYSSLIRVLCEEKRLSDAHVLFKNMIKLGLQPDEFTYTSLIDGHCKEGNVERALSLHDEMVKAGVLPDVVTYSVLINGLSKSAHTKEAQRLLFKLYHEEPVPANVKYDALMRCCRKAELKSVLALLKGFCMKGLMNEADKVYQSILDRNWNLDGSVYSVLIHGHCRGGNVMKALSFHKQMLQCGFAPNSTSTISLIRGLFEKGMVVEADQVIQQLLNCCSLADAEAPKALIDLNLKE >Sspon.01G0006470-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:16239653:16241016:-1 gene:Sspon.01G0006470-1T transcript:Sspon.01G0006470-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEANGGVVANEKGAGTVGVGRYVEMEQDQDSNTVKSRLSGLLWHGGSAYDAWFSCASNQVAQVLLTLPYSFSQLGMVSGIVFQLLYGLMGSWTAYLISILYVEYRTRKEREKADFRNHVIQWFEVLDGLLGRHWRNVGLAFNCTFLLFGSVIQLIACASNIYYINDKLDKRTWTYIFGACCATTVFIPSFHNYRIWSFLGLVMTTYTAWYLAVASLIHGQVDGVKHSGPTKMVLYFTGATNILYTFGGHAVTV >Sspon.01G0021890-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:80422321:80424103:1 gene:Sspon.01G0021890-1A transcript:Sspon.01G0021890-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPAAVGAGAGQGKEYPGGLTLYVLLTCAVAATGGLIVGYDIGISGGVTSMDAFLHKFFPSVYRKEQTTRGGGSSSQYCKFDSQLLTAFTSSLYLAALVASFFVASVARSLGRKWSMFGGGVSFLAGATLNAAAQDVAMLIVGRILLGIGVSFAGLCIPIYLSEMAPHRLRGTLNIGFQLMITVGIFSANLVNYGAAKIEGGWGWRLSLGLAAVPAAVITVGSLFLPDTPSSLIRRGYHEQARQVLSRIRGADVDVGDEYGDLVAAASEASGAVDVRRPWRDILGRRSYRPHLTMAVLVPFFQQFTGINVIMFYAPVLFKTIGLGGDASLMSAVITGLVNIVATFVSIATVDGLGRRKLFFQGGCQMLVCQIVIGTLIGVEFGASGDGDGAMPKTSAATVVAFICIYVAGFAWSWGPLGILVPSEIFPLEIRPAGQGISVAVSMLCNFAVAQSFLPMLCHLRFGLFYFFAGWVLVMTLFVAVFLPETKGVPVEKMGVVWRTHWFWGRLVADMDGGAGNCDSAFHKGNDIA >Sspon.07G0003270-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:7942531:7944599:1 gene:Sspon.07G0003270-1A transcript:Sspon.07G0003270-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSGMMLVKSEIESCYPGPSSSTAPAGVVIGGAPERGEGAHHHQVVVRRRRREPPLLAPIAGGGIGKPLPSITVKRSSRFRGVSRHRWTGRFEAHLWDKNSWNPTQRKKGKQVYLGAYDEEEAAARAYDLAALKYWGPTTYTNFPVMDYEKELKIMENLTKEEYLASLRRKSSGFSRGVSKYRGVARHHQNGRWEARIGRVFGNKYLYLGTYSTQEEAARAYDIAAIEYKGVNAVTNFDLRSYITWLKPSGAPAAFNPDALVMQAAPAEQLLHPAEMAQMLPRGNPFLLDHGAAPPGSSGGQEASMSMVSPGAGGGMRRRGSSTALSLLLKSSMFRQLVEKNSDAEEGVRDMEAAAAAAHPAGPGDAYEYHNFFQGEAPPDMCDLFSSGGGGGHARNAGFHGEIAACYDDGEGLDGWNGFGNMSSLQ >Sspon.08G0010390-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:43591950:43597954:1 gene:Sspon.08G0010390-2C transcript:Sspon.08G0010390-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to RAD23, isoform I [Source: Projected from Oryza sativa (Os06g0264300)] MKLNVKTLKGTNFEIEASPDASVAEVKRIIETTQGQSTYPADQQMLIYQGKILKDETTLEGNGVAENSFLVIMLSKAKASSSGASTATAAKAPATPVQPAAPVAPVAPATSVARIPTQAPVATAETAPPSAQPQAAPATTVAASGDADVYSQAASNLVSGNNLEQTIQQILDMGGGTWERDTVVRALRAAYNNPERAIDYLYSGIPENVEAPPVARAPATGQQTNQQAPSPAQPAVAPPLQPSAASAGPNANPLNLFPQGVPSGGANPGVIPGAGSGALDALRQLPQFQALLQLVQANPQILQPMLQELGKQNPQILRLIQENQAEFLRLVNETPEGGPGGNILGQLAAAMPQTLTVTPEEREAIQRLEAMGFNRELVLEVFFACNKDEELAANYLLDHGHEFDEQQQ >Sspon.05G0033110-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5C:26305394:26305977:-1 gene:Sspon.05G0033110-1C transcript:Sspon.05G0033110-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLQALRPSGVRLRRGRASESGESATVVAVWAVGVWRRSVTESARPARIRLWRLRVATIQRDGYAVAHPAYPVGPPLLGPMGCDTHAGFDFSAGPLCTRRRRAGEQATGHPRSRVHPAL >Sspon.04G0019850-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4A:70132991:70136601:-1 gene:Sspon.04G0019850-1A transcript:Sspon.04G0019850-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTAPPRPGPAAAAAAVHLADHLESATFAPPPPPPPPPSPTTILTAWSRLRDASTSPADALAALETLHHHRRALRLSSAHASLLLPLLPLHPRLVAPLLAAAPHLVPASASASASVPASLPIAPRLLLLGARASAKDLPGHASFRKPASTANNPGSDESASGHDDDPVVAVSRILEDVERGDQSIDDLDHLALTGVGYALVAADEVQFRRILDSLLRICGRTGNLATGVRVLKLMEWLVMGFIESRKMRKVQVLFELISPEKCEGEGYVLFPVVMAACGGLRALRVASARYRLDFDPLLKQAPERTIQFAAEKAVLEGRPADEQRILVQCVALGLTRCGQVAFHESVLQCVCMGLLKEFLPLPDMLRMSVQNAEGNSAEFVKAQVNQHLDSVLFKEAGPVTGVLCNQYSFANDKAKSFVETCVWEYAQEIYCHLRAAVLLHRGKQVDLITVIDKIAEASFLMVVVFAAKVTKHRLNAKSSEGFQPEVAARILVAFSCVEHLRRLRLPEYTEAVRSAVLAIQENAAATALFIESMPSYTELTSKPDLPALAGTTYIWHRDEHSNEKVTSASHSVMVSFSSSGSDTDQDDRAALKEQLTFYYIKRSLEAYPGVTPFDGLASGVAALVRHLPAGSAAILFCIHSLVVKAKDLCEVAKSFPYLLKELAEFVTLLPKEGQDALLDDMHAHVAESDDVTRKPVLVSWLQSLSYLSSQARRIYLEVDREMLIEGLDIMLGPERQKVQLKEAPKEDEEGGVCVWGCQGRGHTTEA >Sspon.06G0002340-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:5877573:5881809:1 gene:Sspon.06G0002340-2B transcript:Sspon.06G0002340-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSNLLLLLLLLATATPLITGQLSDYPTANLSTLWTNNNASLKHSITYVDGSVVRAIVLRSPKNLFGPSFAAGFFCPSAPCDTGAFLFAVFIVYTNSGAGITSVVNGIPQVVWSANRLHPVKENATLELTGDGNLILRDADGAGVWSSGTAGRSIAGMMITELGNLVLFDQKNATVWQSFEHPTDALVPGQSLLEGMRLTANTSATNWTQNQLYITVLHDGLYAYVDSTPPQPYFSRLVNENKTGNHPTQVTLTNGSFSIFVQSTTDPDSSIPLPAAKSTQYMRFENDGHLRLYEWSNTEAKWVVVSNVIKMFPDDDCAFPTVCGEYGVCTGGQCSCPFQSNSTSSYFKLIDGRKPNIGCTPLTPISCQEIQHHELLTLKDVSYFDINTSHTIANARNSDDCKQACLKNCSCQAVMFTYGQNESNGDCLWVTRVFSLQSIQPQILHYNSSAYLKVQLRPSPSATTANKKKAILGAIIGGVTGIVLVLIVVTLYVQRRRKYHEIDEEFDFDQLPGKPMRFSYAKLRECTEDFSQKLGEGGFGSVFEGKLNEERVAVKRLESARQGKKEFMAEVETIGSIEHINLVRLVGFCVEKAHRLLVYEYMPKGSLDRWIYYRHNNAPLDWSTRRRIILDIAKGLCYLHEECRRKIAHLDIKPQNILLDDNFNAKLADFGLSKLIDRDQSKVVTVMRGTPGYLAPEWLTLQITEKVDIYSFGVVVMEVICGRKNIDNSQPEESIHLINLLQEKAQNNRLIDMIDKQSHDMVTHQDKVIEMMKLAMCKHTDAALTEHPVTFLTDEITSYEVS >Sspon.07G0003410-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:7915421:7917056:1 gene:Sspon.07G0003410-2B transcript:Sspon.07G0003410-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCVSSKRFSRSAPAHEDAAVLASQTSFTVNEVEALYELYKKLSFSIVKDGLIHKVGGRPIHGSISIPWFLIDCAADELEFVLCCVGLVGLGQEEFQLALFRNSKRANLFADRVFDLFDLKRNGVIDFEEFVRSLSVFHPKTHISEKTAFAFKLYDLRGTGYIEKEELRDMVLALLDESDLCLSDSTVETIVDNTFSQADSNGDGRIDPEEWEEFVKKNPATLRNMSLPDITMSFPSFIMHSELAHEFVGAYSLLQGTRHRSKFRM >Sspon.08G0021790-1P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8B:44404008:44404739:1 gene:Sspon.08G0021790-1P transcript:Sspon.08G0021790-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSRAANQPLAFPVSGRTSRKQFHFHVRFPAKNAHGPQQRRRSRCGVRRGARRRRLAAGGAGGRKRRAVARGVQSTLSKTSMLANFLPTGTLLTFEMLLPAASGDGSCSAVSVAMLRALLALCAASCFLFHFTDSFRAPDGKVYYGFVTPRGLSLFRTGLGVEVPREERYRLAFVDVVHAVMSVLVFAAVALADYRVSGCLVTGHRKEMDEVMESFPLMVGAVCSGLFLLFPNTRYGIGCLAA >Sspon.04G0011030-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:30885598:30887979:-1 gene:Sspon.04G0011030-1P transcript:Sspon.04G0011030-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAEAHSIVIVGGGICGLATALALHRKGIASLVLEKSRSLRADGAGIGVHANGWRVLEQLGVAAELRDTAHLITVYHDVWQQGNKTSREKVPVRTELRCLNRKDLIEALAKDIPAGTIRFGCRIAAIDADPSSHGAVLTMADGTTMKAKVLIGCEGTYSVVAKYLGLSPVRTIPRPVLRGFTWYPHGHSFDKEFLRLRVGDFFIGRLTITDNLVHFFITMPKPPTDVSTKDLKKVKDVALKEMEEVQCPAEIIDIVRDSDPESLNFVTEFWYRPPWEVVFGNFQKGTVTVAGDAMHAMGPFIGQGGSAGLEDAVVLARSLARAVDDADGAGKDAPAPAREKMIGEAIGEYIRERRPRVALLSLESFIMGALLVRSPSPVTKLACVAVLILLGSKSLRHAHYDCGRL >Sspon.04G0012650-1T-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4B:61398861:61400309:1 gene:Sspon.04G0012650-1T transcript:Sspon.04G0012650-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPGTSAAVAGVDSPPHFVLVPMMAAGHAGPMLDLARALSRRGALVTFVTTPLNLPRLGRAPSDDALPIRFLALRFPCAEAGLPEGCESLDALPGLGLLGNFNDACAMLRGPLLARLRDDREGSTTPPASCVVSDACHPWTGRVARELGVPRLSFDGFGAFSSLCMRQMNVHRIFDGVEDDRRPVRVPGFPIDVEISRARSPGNFTGPGMKEFGEEIMGESARADGLVVNSFAELEPMFVDAYEAAIGKKIWTIGPLFLTPTMPSTATTTEDANAVRCASWLESKKPRSVVFVNFGSLVRSSLPQLVEIGHGVEATKRPFIWVVKPGNPGEFEQWLSEDGFESRVGETGLVIRGWAPQKAILSHPATGAFVTHCGWNSVLECVAAGLPMVTWPHFAEQFMNEKLVVDVLRVGVKDAAQWGVETEGVVATRQDVERAVAEVMDCGEEGSARRARAAVLGRKAREAVAHGGSSYRNVALLIQYVM >Sspon.01G0034700-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:72507715:72509252:-1 gene:Sspon.01G0034700-2C transcript:Sspon.01G0034700-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAGHGLRSRTRDLFARPFRKKGYIPLTTYLRTYKIGDYVDVKVNGAVHKGMPHKFYHGRTGRVWNVTKRAIGVEINKQVNGRIIRKRIHVRVEHVQPSRCTEEFRLRKAKNDQLKADAKARGEVISTKRQPLGPKPGFMVEGATIETVTPIPYDVVNDLKGGY >Sspon.07G0027480-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:55185473:55190090:1 gene:Sspon.07G0027480-2D transcript:Sspon.07G0027480-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEAAVGWYEELRAQDEMQEKISKEISQLSLSTRDKEFSKGLSKAELRKKRADLEKKRAALSQRYWQVIFETGSKPDFSGMTEAERAEAAEKLRSNQLRYARRLTEEGDPRGRTMEAFARIVDFDPKQGGRYYNRYTLVDLTKFDLDEESSSDIGFPIHVYGTVIVRDSIDERCVYHFRRDPDIANSSVLRRDESERTANALRVRQMARQILVEAPDDDDDEEDFDDDDDEDDDDDDVEEEEDVVVEVNKKQDEWWQRLKELQSQYGPALAARDKEAKERILDYDPKQGGAYYTRLLYVYDLASFDYDEESPLRPMRFTDAVYKSKHDYELCEAVNILSVKMGSLDIDFPIHVYGTVIARDSLDKKCVYLFRRGREDSQTINSKDESLILTGPKRGIALISDTYVETNLMIKGDDELQQDRELSKGILTIQGIARRWLKSCELESCSLATRLSTVDVVYAVVKDAVEATISVEVLAGEYFGEITACASSIKNRLVLHDSRLTQCASDQNIATVIPLLRPVVAVYVKEKLLLTVVAHTDGEMTKCIDYTPRVNGSNLDEIIVGATTLSVRV >Sspon.03G0000800-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:8209846:8210476:-1 gene:Sspon.03G0000800-4D transcript:Sspon.03G0000800-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding CMLLTHATVGEGTRRRKGGKGSTIHMAMRGRATRRRELGLRGSLLLQLLQGRTSSMTGRSFQSRLMRTSWNR >Sspon.02G0006280-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:18351538:18358673:-1 gene:Sspon.02G0006280-2B transcript:Sspon.02G0006280-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVLLLLLLLAVACSPATASGDGGAAVPVGTTVLSSFCSPTNSSVLQTYQPNSTFAANLQKLVAELPRNASASGFSAGRFGAAGPGAAYGIVLCRGDFLGQQCVDCLAEGFVKAASRCPSSVDATMYYDQCQLRFSDQDFLAGAGAGAANMPESAASNMNKVSAGNAAAFDALVTRLVDAVSDAASNRSTRYATGQAGFPPENMNVYALVQCTQDLTTGQCRECLTDLIDQMPRLFAGKVGGRILGVRCHIRYEKDVFFAQTQDMLTLTPLLGSTKGSSTTLWIVAVVVPVSVLLACFLACFLWIRKRRRRGTVSMPTMSMEMEQVLKLWRVEESDSEFSIFDFDQIADATTNFSDDHKLGQGGFGPVYKAYQLWQDGKWHELVDPALGDDRPVGEVIKCVQVALLCVQDSADDRPNMSEVVAMLGSEGITMPEPRQPAYYNVRITSLAVSSDSFGESSCRISSITLTDHEEGR >Sspon.01G0000070-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:552240:553277:1 gene:Sspon.01G0000070-2C transcript:Sspon.01G0000070-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SSMNGYGYDSSSLPSLSLPFPIPAPRGVTSTSSGGRLRIFSGSANPVLAQEIACYLGMELGKIKIKRFADGEIYVQLQESVRGSDVFLVQPTCPPANENLMELLIMIDACRRASAKNITAVIPYFGYARADRKMQGRESIAAKLVANLITEAGAHRVLACDLHSGQSIGYFDIPVDHVYGQPVILDYLASKTICPNDVVVVSPDVGGVARARAFAKKLSDAPLAIVDKRRQGHNQAEVVNLIGDVRGKVAVMVDDMIDTAGTISKGAELLHKEGARAVYACSTHAVFSPPALRRLSSGLFQEVIITNTVPVQHLHSFPQLTVLSVANLLGETIWRVHDDCSLSGIF >Sspon.02G0039330-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:50752557:50755322:-1 gene:Sspon.02G0039330-1P transcript:Sspon.02G0039330-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSSYTFLSPHIAGSLLFQRNLVVSPPPVPPPPVTKEALPLLSLLPSSSHDYNQHGDNNDKAGQPWNKNENEAMEDTETIKLRIGPPSPNCDFPLDLATVAGGAGDSKAAEEGKEELGSQAGGTATGDDGCSEYLIIGEKKLTNGKYWIPTPAQILFGPMLFACPVCCKTFSRYNNLQDAHVGARIAVPPRPGLAPRHAAGGNAPAAVLLLRAGVPEPRGPPAGAAAQGLPDPADALPAQALRKALPLPPVRQGPRRARGLAHAREELRPPVALRLRLRLQAQALAQGPRPRVRPRPRRGASRRHRGPNPQCGRLPPSCT >Sspon.06G0026090-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:76023053:76027535:-1 gene:Sspon.06G0026090-2C transcript:Sspon.06G0026090-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKASSPLIPPPSEIDLEAGGGGEQLQCRICLETDGRDFIAPCKCKGTSKYVHRDCLDHWRAVKVSAALRLPLPFCAGGFAFSHCTTCKAPYYLRVHSHTDRKWRTLKFRFFVTRDILFIFALVQIVITALAYLVHFIDGYQQYWLRTAWGFDNEVHWCFLLCWDYRDAS >Sspon.04G0008070-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:19346194:19354819:-1 gene:Sspon.04G0008070-2B transcript:Sspon.04G0008070-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFSASGLDEFYVVPAGGVLVRGFYLVSLAENYMGNNETCKKSHERETLDIGRREEKVKASYSPELEEGEFRKDEPFGLENLIHKGMVVSVQKLGSSTKGRVSTWQSTSPERGSHQGDTSMKSQLANVIQSTCHRIYSEKHSQSYSPSSPIRSKERHEKRMRNCFSYHDYHQVLKKIEKVCSERLGNQLLHQSKDRKEFNTLIKEEEWKFFKKHACSYRVHYERVIPTASYHRMKLPKLFCSILLKVFRKYMRSQLIKFVRRQINDRNKEKRIRERWIFEATAGYLKKTFDGTSLTCSGFEMEKSECHVHAYSEGEQELKFLDMQSLTTEIEAIASSKELEETVTDKDSDIFQPEPIIENLQSPIETNGGAEDGLSVDATEGLVDSMSSHSNNAPTELSEKVGMQVAVSSPPQNEGEMRRDPVLMAADLGNEPPVRKKQMCMNPDDDALEGSCSGSQRSLPHESDSNIHETALHDEEEPQAERLPSANVNQMEQADVAANKEVSSGAISSFGQVTEQHSITATSATLVQPSTQLQLNDLTCQNVAHPCQESGVNTCSISTGLDNHGTLNIQQQSANQTSSMVEHMPENGLQSDPVTNGCRQLLMSSNRTSPPVRVTEQQIATSPPVQVTEQQNASNSSLLTPHRQKIILDCEKEVAECKKKFDEKFHNLEMETLQKKKDIAILEDKICKQQMLGETFQVLHKASAGVASGSQRGAPRRTTAEANQPSWQHGLRFPATMYQSPQPAAQPSTNNFLGLPFMTTPLAVANTLGGSATNFTHAPSIVMGTGIPYQTHAPSGVMGTGIPYHALPLPPDLHAFVNQMPPSRGAAARYNRQLQL >Sspon.01G0030380-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:104027895:104029214:1 gene:Sspon.01G0030380-3D transcript:Sspon.01G0030380-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding EMRPHLLRLLLLLLLAAACLPPPAACRHNYTAPPPQHGSGTNVATVALAAAASLLALLLLYLCAAIAVRRFRSRGAVPREPAGGSSSAASRAAAFLRRHGLHHHRPSFTYEQLRAATAGFDAARKLGDGGFGTVFLAYLPPSGRPAAVKRLHVPPSPSPSFPSASATITKSFCNEVLILSALRHPHLVRLHGFCADPRALLLVYDFVPNGTLSHHLHSRVGAPGAGAGKPPPPPPPLPWRTRLAMAAQIASALEYLHFGVKPAVVHRDVTSSNIFVEADMRARLGDFGLSRLLAPPDACATGGARELVCCTAPQGTPGYLDPDYHRSFQLTEKSDVYSFGVVVLELVTGLRPVDVGRERRDVTLADWVVAKIQVGELREVVDPPVLGEGPAVMASVEAVAELAFRCVAPDKDDRPDAREVLAELKRIQTMLPELPGRKVS >Sspon.02G0005910-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2A:18383002:18383265:-1 gene:Sspon.02G0005910-1A transcript:Sspon.02G0005910-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGILRAHCREPVTMRLADPGSRSASRRRPLQATQLTCGDQTSPGTFRFTNLLLRHCTCRRTAAGLACAWAAYASYTTPTYDRSVADGR >Sspon.05G0027610-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:54140972:54142061:1 gene:Sspon.05G0027610-3D transcript:Sspon.05G0027610-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LHVDNTRASLCYFLLLGQLNWWSLGEMGMVASICMEPIKQRRVEKDLDDKVADALRERTRSRQRTFRSVNSITMRLPRFKEGLTDIKDIFDQYDEDSDGTIDNEELHSFLSKLQVQMSEKEMDNLHHYCDIDSRNGIQFQEFVVLLCLMYLLFGPDVTRRVSEFESAKLNYVFDELIDAFIFFDKDGDGKMKRKDVTHRMNEASHQERTPSHITAQLFSTPSVALLPLVCHIYIS >Sspon.08G0030090-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8D:54833758:54835862:-1 gene:Sspon.08G0030090-1D transcript:Sspon.08G0030090-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMDSAAEEGRRKEREAARRTEKMEVEKEAGGEGKVWRGGAEAEEEVADGGGGDVYKWEEGGAIGRNWRMQMQGHQRQPFEAGHRPFWRPRGGGWSGRGGGRGGFQFQRHPWNQRHQKSDISNNPGVYGGAVIICNHEIKRQFFEQKHFALPGYAATFIKKIRAGMLLFLFEHEERKLYGVFEATSDGALNILPDACASLCKLRPAQ >Sspon.01G0062390-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:104007090:104009725:1 gene:Sspon.01G0062390-1D transcript:Sspon.01G0062390-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding EPENIPDNVSDDDTSDDNLVGEASSELDQEISNRPSEDDFKTEADISRKVLENLIKSSEKSEPSGIEGSDIDTDTETEDVASEQDKSDSPVAGKLAKSKRVTDAEISNPASKPKKNDTGLDRTIFISNLPFDISNEEVTARFSVFGKVESFFPVLHKLTKRPRGTGFMKFSTTEAADAAVSAANVAPGLGISLKSRPLNVMKAMDKESAHKKALEKAKTEEGEILAGTPAAEGVSDADMNKRNWLARRKAEMLQSPKFHVSRTRLIIYNLPKTMTINDVKKLCREAVISRATKQNPVIRKVNILKNEKKGIQKHSRETFGSERRPIVEFALEDVEKVRLQKIRMERHLKSAAETAEVQQTPSGDQPASEDRNADNRRTSRKGNKRKSHNRPSKPSDFVEGPAKDPLVPGDRSARPAKRARKSNMGTVFPDRASCVK >Sspon.01G0025440-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1A:89957672:89958255:-1 gene:Sspon.01G0025440-1A transcript:Sspon.01G0025440-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARARAAPFWLMAALLVAAASAQSGSGGSSSDDCTSALVSLSPCMDYISGNGTSAPSASCCSQLKSVVQSEPQCLCAALGSDGASSSLGGVTIDRSRALALPAACNVQTPPASQCNGSSGGGSKATPSLPSGGASLRGPAGLVLGLAAAAVNAVAAA >Sspon.07G0012970-3C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7C:53838372:53845225:1 gene:Sspon.07G0012970-3C transcript:Sspon.07G0012970-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGGSRAAPTAAAPPWHVLPDEVWEHAFSFLPADSDRGAAAAACRSWLRAERRSRRRLAVANCYAASPQDAVDRFPAVRAVEVKGKPHFADFGLVPPAWGAAAAPWVAAAADGWPLLEEISFKRMVVTDDCLEMIAASFRNFQVLRLVSCEGFSTAGLAAIAAGCRNLRELDLQENEIEDCSIHWLSLFPESFTSLVTLNFSCLEGDVNITVLEQLVTRCRNLKTLKLNNAIPLDKLANLLRKAPQIVELGTGRFSADYHPDLFSKLEAAFAGCKSLRRLSGAWDAVPEYLPAFYCVCEGLTSLNLSYATVMDLIEDHGLAVVASSCNKLQELRVFPSAPFDAAEQVSLTERGLVDVSASCPMLESVLYFCRRMTNEALTTIAKNRPNFTCFRLCILEPHTPDYTTHQPLDAGFSAIVESCKGLRRLSVSGLLTDSVFKSIGAHADRLEMLSIAFAGNSDLGLHYILSGCKSLKKLEIRDCPFGDKPLLANAAKLETMRSLWMSTCSLTLGACRQLARKMPRLSVEVMNDPRRGCPLDSLTDESPVETLYVYRTIAGQRRRLACSCVTVTEVEVEAGSRYGDRWSKGGSCIYFMDNTGNVFLVIDEQKIATLFVGWFLRLISQLVLFCYERKTLYHG >Sspon.03G0004100-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3A:10411133:10412753:1 gene:Sspon.03G0004100-1A transcript:Sspon.03G0004100-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTPLTPVLHWCGAERGRRHRLQAPPAPGYRLSRLARSRTRKRRSRGSEAAEAEMSAGLVTDEATVGRLYRVRRTVMQMLRDRGYLVVEHELATSRRDFLRKFGESFHREDLQINKSKKNDPSDQIYVFFPNDDKVGMKHIKKYVEMMTHENVSRAVLVLQQNLTPFAKSFLIELEPKIHLEVFQEAELLINIKEHVLVPEHQVLTNEEKKTLLERYTLKETQFQHIV >Sspon.01G0037280-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:17652752:17660644:-1 gene:Sspon.01G0037280-3D transcript:Sspon.01G0037280-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGGGGGCCPSMDLMRSEAMQLVQVIIPAESAHLTVSYLGDLGLLQFKDLNAEKSPFQRTYAAQIKRCSEMARKLRFFKEQMSKADITTSPTQLNETHLDFDDLEIKLGELEAELTEVNANNEKLQRTYNELLEYHTVLQKAGEFFYSAQRTAAAQQREMEANQSGQTSLESPLLEQEMSTDPSKQVKLGSLSGLVPKEKAVAFERILFRATRGNIFLRQEPVDEPVTDPVSGEKVTKNAFVIFYSGERAKTKILKICDAFNANRYPFPEDVSKQLHAVQEVSGKISELKATIDMGLAYRDSILKNIASDFEQWNHLAKKEKAIYHTLNMLSVDVTKKCLVAEGWSPVFASVQIQDALQRATVDCKSQVGSIFQVLNTKESPPTYFQTNKFTSAFQEIVDAYGVAKYQEANPGVFTIVTFPFLFAVMFGDWGHGICLLLATLYLIIQEKKLASQKLGDITEMMFGGRYVIMMMALFSIYTGLIYNEFFSVPFGLFGKSAYECRDPSCSDATTDGLIKVRDAYPFGVDPVWHGSRSELPFLNSLKMKMSILLGVAQMNLGIVISYFNAKFFRNSVNVWYQFIPQLIFLNSLFGYLSLLIIIKWCTGSKADLYHVMIYMFLSPTDDLAENQLFSGQKTVQLVLLLLALVSVPWMLIPKPLLLKKQHQQRHQGHQYAMLQGTDESVGAELGEHHEEAHDHEEFEFSEVFVHQLIHTIEFVLGAVSNTASYLRLWALSLAHSELSSVFYDKVLLTAWGLNNVFALIIGGIVFVFATVGVLLVMETLSAFLHALRLHWVEFQNKFYEGDGYKFAPFSFALIHEEED >Sspon.07G0004070-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:6239529:6243726:-1 gene:Sspon.07G0004070-3C transcript:Sspon.07G0004070-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGASLGVRSSGSYGSLPQQQLGGCQPSPSPSPPLAARKPAKMSLGGAGAGAGGPRVFARICKLAGRRQRMLLLLLVAVAVAFCFLFSSLVSKDEDASPGVETMLVFSDHVRSFVNPVWTSSGRPVAQRGSLTVNGLNTTSQMEKQSDSKQQVQELIQSFPPAVVMDHHPCENFSLSPPPIDRKRTGPRPCPVCYLPVEQALALRPAKPSLSPVLQRLSYMFEENLIPKESKSGSLFGGFPSLEERDKSYDIKDSMTVHCGFIRGKIPGLNTGFDIDEADRSEMQLCQRTVVASAIFGNYDVMQQPENISKFSKDTVCFFMFLDEETEAAIKNSTTIGHTKRIGLWRVVVVRNLPFTDARRNGKVPKLLLHRLFPNVRYSIWIDGKLKLVRDPYQVLERFLWRKNVSFAISRHYRRFDVFEEAEANKAGGKYDNTSIDYQIEFYKREGLTHYSSAKLPITSDVPEGCVIIREHIPITNLFTCLWFNEVDRFTSRDQLSFSTVRDKIRSRVNWTADMFLDCERRDFVVQSYHRELLEQRQATSRSWPPQRPPIVRYQPRKMLPDNAAKEPWKASATKKLSGKRTREKKSSSRRSHRTNGKEAIQL >Sspon.05G0014310-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:52076947:52080064:-1 gene:Sspon.05G0014310-1A transcript:Sspon.05G0014310-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPVVDAEYMAEIERARRDLRALISSKNCAPIMLRLAYVLLMATMSDLPVTCSATMASFRRCRWHDAGTYDAKTNTGGPNGSIRFPQEYSHSSNAGLKIAIDLLEPIKQKHPKITYADLYQLAGVVAVEVTGGPTIDFVPGRKDSADCPEEGRLPDATKGASHLREVFYRMGLSDKDIVALSGGHTLGRAHPERTGFDGPWTKEPLKFDNSYFVELLKGDSEGLLKLPTDKVLVEDPEFRQYVELYAKDEEAFFRDYAESHKKLSELGFTPRHSCKSGNKQKSLLVQAAAGVAVAAAVVAWAYLCETNKNLAMISDKLYHDIQHSLPLTLTPPEGFFKNIKNLELFKE >Sspon.02G0042780-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:86387692:86390275:-1 gene:Sspon.02G0042780-1B transcript:Sspon.02G0042780-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNEYMHARTDRPLYMPTERASSSAGSHAERSRGHNHRTQVGVGRQRHTGDHTYLRPARACPACPPFNPGVVVAGGSQFGWAPPGLDQPGLSPSPSPLGYARPTRIEFSSRLTHSHRPLTSSQHGEAVTGSLHMITGECSRHLESLATSKASLPTAAACRGQLIPPPIYLLSLLPDTGGTAANKQEAARVKWERETEGRRSLIGSRPPRCERVCMSCGHCEAVQVPIVPQPQQEKASAAAAVTLTAAMFTYRVDGITNYKPLSWKCRCGGTILDP >Sspon.03G0025300-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:95064341:95068007:1 gene:Sspon.03G0025300-2C transcript:Sspon.03G0025300-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGADYYKVLGVDRGAGDDDLKKAYRKLAMRWHPDKNSTNKKEAETKFKEISVAYEVLSDPKKRAIYDQLGEEGLKGQPPPGAGAGGPGAFPFFPGGAHSTAHHFNPRSADDIFKEFFGFPGMGGMGGMRAEPGFQRSMFGNDIFSSRFGAEGSTSMRQPSHKPPAIENRLPVSLADLYKGVTKKMKISRETIDASGVINRRISNAEDILTIEVKPGWKKGTKITFPDKGNEAPNMKPADIVFIIDEKPHDVFTRDGNDLVMTEKISLVEALTGYTARVTTLDGRSLSLPINSIIHPNYEEVIPREGMPIPKDPTKKGNLRIKFNIMFPSRLTSDQKAGIRRLLGS >Sspon.04G0033600-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:61913361:61919131:-1 gene:Sspon.04G0033600-1C transcript:Sspon.04G0033600-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLAKDGAELEEGSLEIGMEYRTVSGVAGPLVILDKVKGPKYQEIVNIRLGDGTTRRGQVLEVDGEKAVVQVFEGTSGIDNKYTTVQFTGEVLKTPVSLDMLGRIFNGSGKPIDNGSSINPSERTYPEEMIQTGISTIDVMNSIARGQKIPLFSAAGLPHNEIAAQICRQAGLVKTLEKSGKHKESGVEDNFAIVFAAMGVNMETAQFFKRDFEENGSMERVTLFLNLANDPTIERIITPRIALTTAEYLAYECGKHVLVILTDMSSYADALREVSAAREEVPGRRGYPGYMYTDLATIYERAGRIEGRKGSITQIPILTMPNDDITHPTPDLTGYITEGQIYIDRQLHNRQIYPPINVLPSLSRLMKSAIGEGMTRRDHSDVSNQLYANYAIGKDVQAMKAVVGEEALSSEDLLYLEFLDKFERKFVTQGAYDTRNIFQSLDLAWTLLRIFPRELLHRIPAKTLDQYYSRDTTH >Sspon.03G0037920-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3C:2139232:2139598:-1 gene:Sspon.03G0037920-1C transcript:Sspon.03G0037920-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARFWAHFIENKCLEPLRVALFGDGEAQRAAMAAARPGLALVEEQLKDKRFFGGCHRPRGHRRRRPAGALARRAGGGGRSERADER >Sspon.04G0014160-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:52263459:52278581:1 gene:Sspon.04G0014160-1A transcript:Sspon.04G0014160-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDPPGDGAGGEEPTPGPAPAVEAPPAPAIPPRSRWAAEIKVYTRAAARPDPAAPASAPARTPSGKRGAASGDPSSGLNRVGGRIPNGNGDDRAAAAAEKAEKARKRRARSELRRRLAGELDQVRVLSKRLKEAAEALAQREASEPAPLPLMVLPTQQQAVDAGYVQPQFSAGDMAVPMSAQIAAAVTPGRTLLQRRPLTVSVIHNEAFEKEKRTPKANQLYQNSEFLLAKDRIPPSDSHGRKKSKHHKKKHRTLESRGADFDAERRLYSHAFKKSSSLLSRLMKHKFGWVFNKPVDPVALGLHDYFIIIKHPMDLGTIRGRLSHGQYRNPKEFAEDVRLTFHNAMTYNPKGQDVHFMAEQLSGIFEAQWPEIEAEVNYLASCPPLPKKFPPPPIDLRFLERSDSMRHHMALDTNSRPISHTPTYTRTPSMKKPRAKDPNKRDMTIDEKRKLSENLQNLPPEKLDAVVQVIKNKNLSVMQHDDEIEVEIDSMDAETLWELDRFVANYKKNLSKQKRKAERAMLARQDAELRAQHPIQPPQPAPAPQEPVGEKSPKQAAKDSLAGEQLPTSAPEQNDENRQNASAAARPDPAAPASAPARTPSGKRGAASGDPSSGLNRVGGRIPNGNGDDRAAAAAEKAEKARKRRARSELRRRLAGELDQVRVLSKRLKEAAEALAQREASEPAPLPLMVLPTQQQAVDAGYVQPQFSAGDMAVPMSAQIAAAVTPGRTLLQRRPLTVSVIHNEAFEKEKRTPKANQLYQNSEFLLAKDRIPPSDSHGRKKSKHHKKKHRTLESRGADFDAERRLYSHAFKKSSSLLSRLMKHKFGWVFNKPVDPVALGLHDYFIIIKHPMDLGTIRGRLSHGQYRNPKEFAEDVRLTFHNAMTYNPKGQDVHFMAEQLSGIFEAQWPEIEAEVNYLASCPPLPKKFPPPPIDLRFLERSDSMRHHMALDTNSRPISHTPTYTRTPSMKKPRAKDPNKRDMTIDEKRKLSENLQNLPPEKLDAVVQVIKNKNLSVMQHDDEIEVEIDSMDAETLWELDRFVANYKKNLSKQKRKAERAMLARQDAELRAQHPIQPPQPAPAPKNLLVKNLQSKLRKIRWQASNYQRLRQSKMMRIDRTRVVQAIQAAPAVTQDHLLVVQKFCRVDNNCLLDVTMAP >Sspon.05G0010950-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:31384055:31387105:1 gene:Sspon.05G0010950-1A transcript:Sspon.05G0010950-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRYGLQIRTKPPSSSSSRAPPPPARPLAAFADDDDDDVEKEILRQAAKKRALQKVEEQQKKALEEDPSVYAYDELYDEMKEKEARPKMQDKVVRESKYIAQLKEKAEIRKREQDIIYERKLQKERSKEDHLFGDKDKFVTSAYRKKLEEQQKWLEEERIRQLREEKEDVTKKKDLSDFYFGLERNVAFGARTHANTKCADPQKSDNKPEDTNTSSLGAEASEPSPKRRRESSVGSEGAKSMEEPLASRTRGSTAAASTEKNVADVPSNAKETPQSTQPAKVTDDHYKRGDDALAAARARALARKKAKEQQL >Sspon.02G0011270-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:34455448:34460217:1 gene:Sspon.02G0011270-3C transcript:Sspon.02G0011270-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRDTGYYGVLEVSPTATEAEIKKAYYVKARQVHPDKNPNDPDAAARFQARSAEPLSGQMHRVIIELGEAYQVLSDPAQREAYDSYGRSGISKEAIIEPAAIFAMLFGSELFEEYIGQLAMASMASLDGFTEEIDARRLQEQMQVVQKEREEKLAELLKDRLHLYLQGNKEEFIQYAEAEVTRLSNAAYGVDMLSTIGYVYSRQAAKELGKKAKYLGVPFIAEWFRNKGHFIKSQLTAATGAIALMQLQEDLRKHLSAECHYSEEELEAYMLAHKNVMVDSLWKLNVADIEATVSHVLQDSTARKEELRARAKGLKILGKIFQQVKLSTTEGDPAAMNHTINNLDENDGSSPESSPKSPRDQMFDANPPYSQSPYVEAPQFGGYCSFDFPTPIAPPGAQRDPIP >Sspon.02G0004800-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:15415888:15417007:1 gene:Sspon.02G0004800-1A transcript:Sspon.02G0004800-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVAQAAPVVAAAAAHTVYLHAACRGISPRAANGTTTGAFRWRPRRRTLARARPARRGGRATGRARGLVVVAEFGGTYEDGFEDVHKNIINYFTYKATHTVLHQLYEMNPPAYTWLYSYINVNDPLDGDYFLRLLAKERQDLAERVMVTRLHLYGKWIKKCDHTMMYERISKENLDIMRQRLVETVVWPTDDTNTGEF >Sspon.05G0031640-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:7380778:7382690:1 gene:Sspon.05G0031640-1C transcript:Sspon.05G0031640-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding YRYAYFAYGQTGSGKTYTMMGKPGIDQKGIIPRSLEQIFKTSQFLESQGWNYTMQASMLEIYNETIRDLLAPGRSNGFEMTSNKQYTIKHDPHGNTTVSELTIIDVFGIADVTSLLEKASQSRSVGKTQMNEQSSRSHFVFTLKISGSNENTGQHVQGVLNLIDLAGSERLAKSGSTGDRLKETQSINKSLSALSDVIFAIAKGDDHVPFRNSKLTYLLQPCLGGDSKALMFVNISPEASSVGETICSLRFASRVNACEIGIPRRQTQARPFDSRLSYG >Sspon.02G0023190-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:78625090:78630003:-1 gene:Sspon.02G0023190-1P transcript:Sspon.02G0023190-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LRASKIPESEFTTLPNGLKYYDIKVGSGAKAVKGSRVAVHYVAKWKGITFMTSRQGLGVGGGTPYGFDVGNSERGNVLKGLDLGVEGMKVGGQRLIIVPPELAYGKKGVQEIPPNATIEASNAEEVMVVEATEDPSEAAAKKQIQDDQRTETDEMASLKLMVSALEDRACAIEAQFHEYCDMKEQESAYQKMQIMCLGMKLELLESQNQRLEAAAAEIRAGAEEIRAGAEEFAAMRGKLERLQGKLKTMSKRSKQDSDAVGERIVALDAKQAQMAARCEEFEQDMEEMKQLTVQLLEQKGANNENVEVAVERSLRNLSSGRDLVDGLEALRDRWAAGMEEMIYLGWITAWLQHDLMLIDDDYGTYGGDDKEEDEQDGVAPRRPPEEGEEKKKGETMVASVAPSNQVELCKAGSVSSSGSGSAPRRSVEMEPSCMGFAAAASGGRGRDGSGGAWSIGRPRLLRKLRGWAAGGKAGGSGKTTRCRIVGPCCQK >Sspon.08G0024730-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:1521363:1522142:1 gene:Sspon.08G0024730-1C transcript:Sspon.08G0024730-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLLSAKQAKAFDGIWSALEESRTRVLKSMEDSLGTETPQGSSDIHEATCSVMNHIKFLLGNHWAVDPLVSQAASLGKYVPQTGLGEAPPFISLITEMVSCLEEKLITKSQLFLDKGLGLLFLLNNSNFIREQFKYPPSYIKVDVADDISHKVEHYIQSYIQVSWAPILACLFKPTPLCLGRKKNHSPLFKFGSEFQKTYTTQKLWKVPDPKLRKRLRTVVTKKIIRDYTEYIEDNKVNNAKFSPQELKEMLQELFEG >Sspon.01G0022080-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:78621481:78624297:-1 gene:Sspon.01G0022080-3D transcript:Sspon.01G0022080-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTQRQPHTHSIATQFRSQRHSDTQTNASVADGRRGNGTGRLRELQQGVVVGRQQQRLLHAVVQAQRARAGVCAGATGGGGQPFDGRRRLLLSVPASFRRRGGLGADWNFFAEPDPTSSFLPDFGQGEIAGATGISGYPKGASPADIAQKIIKQVEYQFSDTNLVANDFLTKIMNKDPEGYVPLSVISSWKKIKAMGVTNQLLVTALRTSEKLCRMVIAENLPHDSTRNSLEKIFGIIGSVKNIRICHPQEPSSARSSKSDANTLVSNKLHALIEYETSHQADRAVDKLNDERNWRRGLRVRPVLRRSPKTVTRLKRPGLDHLAGAASDEERSPQSQVSSDSPTAAHFPDHSVSEIKRTLWHMLCPLTMSATN >Sspon.06G0023780-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:59793606:59795621:1 gene:Sspon.06G0023780-2C transcript:Sspon.06G0023780-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDVDEYRCFVGSLSWSTTEADLKDAFRKFGHLTEAKVVLDKFSGRSRGFGFVTFDDKKSMEEAIEAMNGMDLDGRNITVERAQAQSSGSRDRDGDRDYSRGGGDRDHYRGDYSRSRDHGRDFGGGRGGGGGDCFKCGKPGHFARECPSDDGGRGDRYGSRDDKYGGSNGSSRYGPDRGGDRYSGSRDGGSRNGGGSDRYNRDKSGPYERPSRGASVD >Sspon.03G0002240-2P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3D:13564989:13565972:1 gene:Sspon.03G0002240-2P transcript:Sspon.03G0002240-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MANRALAPFLFVGTLLVALLATCHAGGIAVYWGQNDGEASLSDTCASGNYKFVILAFVYKFGKGQTPELDLASHCDPSSGGCTGLSDDIRSCQSSGIKVLLSIGGGDGSYGLSSQGDARDVAAYLWNNYLGGSSSSRPLGDAVLDGIDFDIELGGSKYWDSLARDLKNMGKNKVGGKGVLLSAAPQCPFPDEWDNGAINTGLFDYVWVQFYNNPPCQVNAGRGAFMDAWKQWESVPAGQIFLGLPASKDAAGSGFVPVDDLNSNVLPLIKGSSKYGGVMLWSKYYDDRAGYSDAIKSH >Sspon.06G0007700-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:36151692:36155203:-1 gene:Sspon.06G0007700-1A transcript:Sspon.06G0007700-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGIQTRDVVWRRTLRNTPTASMPTNTHTIRYSTGKKSLFNVHLMSVKFEDLYGFMVEGNVDDVNVLNEVRERIREQGRVWWALEASKGANWYLQPKVSSNEGVISVTSLKLSVLTNTITLRRLIRKGVPPVLRPKVWLSVSGAAKKRSTVPETYYDELIRATEGKTTPATRQIDHDLPRTFPCHPWLNSDKGQASLRRVLVGYSFRDSEVGYCQGLNYVAALLLLVMKTEEDAFWMLAVLLENVLVSDCYTDTLSGCHVEQRVFKDLLAKKCPRIAAHLEAMGFDVSLVATEWFLCLFSKSLPSETTLRVWDVLFNEGAKVLFHVALAIFKMREDDLLHIQHIGDVIDILQTTTHHLYDPDELLTFAFDKIGSMTTNTITKERKKQETVVMAELDQRTRRLSSLKMDAYL >Sspon.03G0003320-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:15813536:15814088:-1 gene:Sspon.03G0003320-3D transcript:Sspon.03G0003320-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding EMAGEMSWVGKKIHLYNVTMGLYMLDWWERCLFSILPLDILVLILLWFICFNGSRFATDVF >Sspon.05G0000640-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5A:2487891:2491161:1 gene:Sspon.05G0000640-1A transcript:Sspon.05G0000640-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTAAAAAEPCCISHAFERAARQEPGRLAVIHAAASGGDGEERRFTCGDLLFAVGSLSRRIAAALGGTPTDTRHDREQGPGFRAAGAVVPRIVGVYASPSVEYVAAVLAVLRCGAAFLPLDPSSNVALVVSSVASRGATLFESSACPVLRLDGGIRRWFQDENGGDGGEELAWPCEHEWRRKFCYVMFTSGSTGKPKGVCGTEKSAWAFEDVDPFGSVVHKGRRCRRYRSGEGHRLRTSSLRAVGGRWTCTLRAEGSTGPPPEHHRACLQEVCRRRASPCSLLQAAVALARRVQESWMEENRKGSHGRISHGEVGWGAGLPDIKDDFGSITYGDNTTYPGGKTFWWL >Sspon.08G0006060-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:19212971:19218459:1 gene:Sspon.08G0006060-1A transcript:Sspon.08G0006060-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMATLMITGVLHRDITREILEIRPPVIARENNVQPETTARLQRKYSRIGDDYRSLSQVTEALAQAGLESSNLIVGIDFTKSNEWTGKISFNRHCLHDIGNTPNPYEQAISIIGRTLSAFDEDNLIPCFGFGDASTHDQEVFSFYPENRPCNGFEEALDRYREIVPTLRLAGPTSFAPIIETAIGIVDSTGGQYHVLLIIADGQVTRSVDTQSGQLSPQERDTIDAIVRASQFPLSIVLVGVGDGPWDMMHQFDDNIPARSFDNFQFVNFTEIMSKSIAADRKEAEFALSALMEIPTQYKATLDLQLLGRRQRITPRIALPPPTRTAYSRSTSFSQQSGVYSRSSSFDQQTSGSHQRSESLKQQQPAAPKRPDTYASERALEDRIMCPICMYKSKDLAFGCGHQEPDDGKRILVHTHYLYPLTYKLSEAAASPAPEPVYLPSPASELALHRTRKVACAAATFSFLPSHFLRVLCCSARPKEKDSVQGLSTSSLFIASRFCVASSSLCPPDRNTTPGTAGGTVRRSAATVYSAISSAPT >Sspon.02G0025530-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2B:89717875:89721666:1 gene:Sspon.02G0025530-2B transcript:Sspon.02G0025530-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIQQVQQCISIALKCLEPESNKRPTSLDIVQSLNAVEPKCTSPENPPSVIEKDRRNQNLQSQANVNIINIPKTISAINGLNECTNLFQWVTSAISSPNPQLNETQKEKLHGDVRQLQRDLQCLSDTLPAMYKLIDRAEWRIHDHYVAELLSRLKDAVYDAEDILDEFRWYEIKLSVESNAISVEPVIDFFHSVTQGSSNKVNDIQKRLNNLSGQLEKMGLDQAAVPRFDRSFRPETTSFPTEAKIFGRDEEKKKLIRLLGVPTNNSANPSKRKRKRSGVCSSAINQICATIDSNETRVMSVPVLPIVGIGGVGKTTLAQDICNHSKVKRHFHQIIWICVSDDFDVRRLTKEAIEQSCGKVPENNNLNFLQGALANSLNTKRFLIVLDDMWDENDLDWKRFYAPFRNVLQGSMMLVTTRSPKVANVVRTMDPFPLEGLKEDVFRKFFKLCVFGYGRSNNYPELEQIGEKILPKLKGSPLAAKTLGKLLGMSLELAHWDRIHESQLWELRQEETDILPALRLSYMYLPFHLKRCFSFCAVYPKDYNFKKKDLAEIWVAEGLVEHQHTGDQYFEELAHLSFFQKYPRSKEKYVIHDLMHDMAQLVSKDECFIVKDTKDISKIPHNVRHLSVLKGGDVQCSDLLKHDMAQHRKLRTLFCHLSLKSETNNTVMEKWCNELLCMRVMVCSISKWGLPGSISNMKLLRYLQILNSSLCKSLPSAFCCLYNMQIFYAKKWEINEIPRGFDQITTPVCSIPKEAVSERLRGSRIQD >Sspon.04G0018580-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:76154019:76157150:-1 gene:Sspon.04G0018580-2D transcript:Sspon.04G0018580-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEETAAAAAATAEKASSYRYWVREATGDAAPLPVPRKLDPAANGNGNGNPPPLGSVWNQAGTWEEKNLNSWASSRIKDLLGSLGSLEFPTGKASVDEVSKCSGDAFQVTVRNKKRVGYNYELSLRFKGEWLIKEENKKIKGHLDIPEFSFGEIDDLEVQIRFSDDKGLASDDKTRICKDLKSFLAPIQEKMREFEEELKGR >Sspon.06G0011930-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:51887436:51887994:-1 gene:Sspon.06G0011930-2C transcript:Sspon.06G0011930-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSNVRFLAFFFALTLSVLHTHGTGSLILAGSQAAAETLRHRSKTWCVAKPSADEAALRGNLEFACSESDCGAIQGTGGCARPDDSLLSRASVAMNAYYQARGRNSWNCFFNGTGLITITDPSLGTCKYA >Sspon.02G0035730-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:15687045:15693188:1 gene:Sspon.02G0035730-3D transcript:Sspon.02G0035730-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Class II aminoacyl-tRNA and biotin synthetases superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G17300) TAIR;Acc:AT4G17300] MAAAAAARLLRLAPRRIQVPKASLLAALSFPLPSTAPLAAASGRRQRFCAAAQASAPAPAAAATGAAGEAVGQFRKRLRVADVKGGEDEGAAWVGKELAVRGWVRTCRAQRTVTFVEVNDGSCLSNMQCVLTPDTEGYDQIDSVTTGASVLVEGVVASSQGGKQKVELKVSKITVIGKSDPTSFPIQKKRASREFLRTVAHLRPRTNTFGAVARVRNALAYATHKFFQDNGFVWVSSPIITASDCEGAGEQFYVTTLLSNSAEGGSLLKDIPATKDGRVDWSQDFFCKPAFLTVSGQLNGETYASALSDIYTFGPTFRAENSNTARHLAEFWMIEPELAFADLNDDMACATAYLQYVVKYILENCKEDMDFFNTWVEKGIIDRLNDVVEKNFIHLSYTDAVELLLGSKKEFEFPVKWGLDLQSEHERYITEVAFGGRPVIIRDYPKEIKAFYMRENDDGKTVAAMDLLVPRVGELIGGSQREERLDYLEARLDEQNLNKESYWWYLDLRRYGSVPHAGFGLGFERLVQFATGIDNIRDAIPFPRVPGSAEF >Sspon.03G0002010-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:12821478:12831875:-1 gene:Sspon.03G0002010-2B transcript:Sspon.03G0002010-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPVRSSARGARRGRGRGGRRRGRGAAAAAATVTRSNRAVYSEAIDVDDGHDDCSHKKMLTEKIASAEGTSADGLKASPHDANDEYGGEPVPTRNLRRLRKQTGESKAAVAKEHAIVVAVEVKESDTEDSGNLAPSESKAKAMKGIRIRQTSNSDDGQKLGQHRRSKRLLGKQTRIQDMDVTDFKENIFDDSRSSSEDEERKFVPKRSKRIQRKYKSGYASGAVSEDKDACWSSSNDDHGKAAPCRRMSKRLKEKQKVVLIPDESHIEASSSLSASSSSSSALISEDELLCNSVKSSTRNGPFCLICKSGTASSHIIRCQNSNCSVSFHTFCQDPPLQDTTRTSECYLCKMKASLASETEEILVKRIQRYVGHRMVLIHESDFQYQALVKWHSLSHHHDCWVYSEDQRKPEWLEVDRAIACRRKCDPDGTCDILTAFQDNKNFEAYEILVKWKGLDYCDATWESCCTEGVQAALSMLVERHQKTLKRIDHVSPLFLDRVIPEEVHNGALYDYQLQGLQWIFNNFKTKRNVILADEMGLGKTVQVVCFLNHIIKENLTTSPALILAPKSILLQWEKEFGRWGSNLNVIVYQEDNDSRKCLQAHEMYSSEGKILFDALVTSYEFVQIDKTILQKIKWSTIVIDEAHRMKKLDCNLATCLKRYSSEFRLLLTGTPLQNNMLELFSLLHYIDPDEFTDPKADDLFTPIESGNELTMEEKIARIHDILKPRMLRRMKSDVLKDSMPTKKWVEVPCALTDTQRELYIDILEKNYSKLNGAIKSGLEIRQQPQDVFLSLVAASEKLQLLQKLLPRLKERGNHVLVFSQMTTMLDILEDFLFYLGYKYARIDGQTSLSSRQESIKEYNRAKSEIFIFLMSTRAGGLGVDLLLLCVLLCRLLKSKEYRNIVLWALIVGVLFTDPYFNPVYGLASTIQSTPDWADRRPVVVYQLIKNTAKVQAEVGYREYLMNSSNKKPNADELQSILLHGARQLLTRKDQCHFNPL >Sspon.03G0001130-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:9168293:9170317:-1 gene:Sspon.03G0001130-3D transcript:Sspon.03G0001130-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYNTPPTWAAVAGLALLAICSYLAAVRRSRSGRRYPPVVGTVFHKLYHFRRLHDYLTDLSRGRKTFRLLAPGRRLIYTCDPAVVEHILRANFGNYGKGAFNHDNTSDLLGDGIFAVDGDRWRQQRKIASHEFATSAMRDFSGAVFRANAARLAAVVAVNAASKQPMEFQASAVKSTLDLKGAMDTILAVTFGSNLDALDAAGLGGGGSDEGSRFAAAVDDASEFTLLRYVNPFWKAMRLLNVGPEAALRERVKAVDEFVYERIRARSEELRAAAAAARQGDLLVARRDMLSRFIEVATTIGGDGAATAGAGTAAAAVDHKYLRDIVLSIVIAGKDTSVEALAWFFYMACKHPRVQERVFREAGEATAGSAGETASSVDEFARSLTDKALGKMHYLHAALTETLRLYPALPLNNKECFSDDVLPGGFSVGKGDVVFYVPYAMGRMEYLWGNDAEVFRPERWLDDNGEFQQESPFKICLGKEFAYKQMKVLAAVLLRFFMFSLRDEEASVNYRATITLLIEHGLHLTATPR >Sspon.01G0007060-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1A:19148616:19149955:1 gene:Sspon.01G0007060-1A transcript:Sspon.01G0007060-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPLSVRSLSATPVPSSSPQKLLQASRQSSRSFAARGDVFPCKVCGEVLGRPQLLELHQAMKHSLSELTHLDSSLNIIRMIFLAGWKPAAASGAGAGEAPAVRRILRIHHNPRALARFEEYRDLVRARAARRCGEGAVVEERCIADGNERLRFHCSTMLCSLGGGGVCGSPYCCVCSTLRHGFAGKQADVDGIATYASAWAAHASLPADVEREFAFLQVRRAMLVCRVVAGRVARGPADDKVAYDSMVPVRGGVSGVGADDVELLVYNPRAVLPCFVILYSS >Sspon.03G0028510-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3B:9729778:9730898:1 gene:Sspon.03G0028510-1B transcript:Sspon.03G0028510-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAQQKGRVPHEPLSTLPSRERKPDRSERSGFTSSNRATNPRSASDPAGPPVYSVPHRTASGRGGFVAGRTERTEGRAARRGFERASAAAHQNRRSQARFPGHHLNLAASPSRFRPAGCAPVLQVGPGHGRAPTVPSAPPKLFCLACPVPSAHNSLELFLPFHPSRARLLVGRHGAFPSSRARTGSAVPITVAASRTGSGLRETAAGRSLGSGGVRPSGGVAASLCLCRTGPDRWTMTVVSQVRHGIRCSGHGFFTWRDTYWNAVHRSPARVSLFFNGDESHGSFHFPEPWFVFKSQVVRCVRRKGEEAAAFYVPAAVGDWLGWLLWNMLLVFHGSHR >Sspon.08G0008950-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:37370850:37383088:-1 gene:Sspon.08G0008950-3C transcript:Sspon.08G0008950-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVAMDSDYGARRELSPLQKARALYRPELPPCLQGTTVTVEYGDAAIAADIADAHVISHAFPHTYGQPLAHFLTKTANVPDASIITEHPVVRVGVVFSGRQSPGGHNVIWGLYDAVKAHNSNSKVIGFLGGTDGLFAQKTMEITNEALSSYKNQGGYDMLGRTRDQIRTTEQVKAAMATCQALKLDALVIIGGVTSNTDAAQLAETFAELKCPTKVVGVPVTLNGDLKNQFVETTVGFDTICKVNSQLISNVCTDALSAEKYYYFIRLMGRKASHVALECALQSHPNMVILGEEVATSKLTIFDITKQISDAVQARAEKDKYHGVVLIPEGLVESIPELYALLQEIHGLHNKGVSVENISSHLSPWASALFEFLPPFIRKQLLLHPESDNSAQLSQIEIEKLLAQLVETEMNKRLKEGTYNGKKFNAICHFFGYQARGALPSKFDCDYGYVLGHVCYHIIAAGLNAYMATVTNLKSPVNKWKCGAAPITSMMTVKRWSRGPATSQIGKPAVHMASVDLKGKAYELLRQNSSSFMMEDIYRNPGPLQFEGQGADTKPISLCVEDRDYMGRIKQLQEYLEKVKSIVKPGCSQDVLKAALSAMASVTEMLTIMSSPSFSGQATI >Sspon.07G0011900-4D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7D:39914399:39917746:-1 gene:Sspon.07G0011900-4D transcript:Sspon.07G0011900-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SARGERLGFRRLSSVASRGLRSPLPVRRPPSAAVSRRQRVVRAAAADTLEGKAATGELLEKSVNTIRFLAIDAVEKANSGHPGLPMGCAPVGHVLYDEVMRYNPKNPYWFNRDRFVLSAGHGCMLQYALLHLAGYDSVKEEDLKQFRQWGSSTPGHPENFETPGVEVTTGPLGQGVANAVGLALAEKHLAARFNKPDNEIVDHYTYAILGDGCQMEGVANEACSLAGHWGLGKLIAFYDDNHISIDGDTVIAFTEDVTTRFEALGWHTIWVKSGNTGYDDIRAAIKEAKAVTDKPTLIKVTTTIGFGSPNKANSYSVHGSALGAKEVEATRQNLGWPYEPFFVPEDVKSHWSRHMPQGAALEADWNAKFAEYEKKYAEDAATLKSLITGEFPTGWADALPKYTPESPADATRNLSQQCLNALANVVPGLIGGSADLASSNMTLLKMFGDFQKGTPEERNVRFGVREHGMGAIANGIALHSPGFVPYCATFFVFTDYMRGAMRISALSEAGVIYVMTHDSIGLGEDGPTHQPIEHLVSFRAMPNILMLRPADGNETAGAYKVAVLNRKRPSILALSRQKLPHLPGTSIEGVEKGGYTISDNSTGNKPDLIVLSTGSELEIAAKAADELRKEGKTVRVVSFVSWELFEEQSDEYKESVLPEAVTARISIEAGSTLGWQKYVGAQGKAIGIDKFGASAPAGKIYKEYGITVEGVIAAAKSF >Sspon.01G0032100-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1A:109120145:109122406:-1 gene:Sspon.01G0032100-1A transcript:Sspon.01G0032100-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LVNGSGAVLWSSNTGGQNVSAAAVQESGNLVLKDSRGATLWQSFDHPTDTVVMSQNFTSGMNLTSGSYVFSVDKATGNLTLRWTSAATTVTYFNKGYNTSFTGNRTLSSPTLTMQTNGIVSLTDGTLTSPVVVAYSSNYGESGDMMRFVRLDEDGNFRAYSAARGSNAATEQWSAVADQCQVFGYCGNMGVCSYNGTSPVCGCPSLNFQLTDPSKPRGGCTRKVDLNSCAGNSTMLQLDNTQFLTYPPEITTEQFFVGITACRLNCLSGSSCVASTALSDGSGLCFLKVSNFVSGYQSAALPSTSFVKVCYPPLPNPVPGSTAGGNSGGGPGVRAWVVAVVVLGVVSGLVLCEGGLWWYFCRHSPKYGPASAQYALLEYASGAPVQFSYRELQRSTKGFKEKLGAGGFGAVYRGVLANRTVVAVKQLEGIEQGEKQFRMEVATISSTHHLNLVRLIGFCSEGRHRLLVYEFMKNGSLDSFLFGGDRDAPPPGGKMPWPTRFAVAVGTARGITYLHEECRDCIVHCDIKPENILLDEQFNAKVSDFGLAKLVNPKDHRHRTLTSVRGTRGYLAPEWLANLPITAKSDVYSYGMVLLETVSGRRNFDVSEETGRKKFSVWAYEEYERGNLAGIVDRRLPAEDLDMAQVERALQVSFWCIQEQPAQRPSMGKVVQMLEGVMELERPPPPKSSDSFLSTTTATSAGSGVSSSMVSTVVSSGAPVAPAPSPNLEQEMALGRSESARNRERVSRQLLSPQ >Sspon.06G0006390-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6B:20970650:20975369:1 gene:Sspon.06G0006390-2B transcript:Sspon.06G0006390-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPAPVSFAATFRPLGAPVPPPPHQVPQYGAVPPNPGYPMQPPGVPVVMPPGAVRPPAMYGPPQPGAYMPQQGAAVPHPGGSLISNSVNLCDTATWYYRMPRYAPYPMVRPGFVPRPMPPPGVVAIQRPPIISGIRAIPPLVAPSARPPAPAVTLADKPPTAVYVGKIAPTVDNDFLLSLLRLCGPVKSWKRAQDPSNGKPKGFGFCEFESAEGILRATRLLNKLSIDGQELVININDATKEYLKKHVEEKKRAQEKAKEAKMQAETGDAGDKDNEENTKKFGIVTDEDSQADKDVAEKISNMIEEWLKTRPPPPPPPPPVQPSADSSGVDKAKNDYNDKNDADTDKRAVNETERSETASPDRRKDREHDKDKRDKDLERHDRERERERVRRDREKDHKHREADRLYRDRLKEWESREREKEYQRYSEKEKERDREHNRRREILKQEDDSDEEDNRKRKRRSSSSLEDRKRRRQREKEEDLADKIREEEEIAEARRRAVELQRQADEAAAAAAAAAEESATLMEVDGGDEKETDAQNKPAVVEADNILSFANGVGAGGLGKENNGEETSMAPGQLADTKQNSNAPAKKLGFGLIGLGKRTSVPSVFAEEDDENNVDKSIRPLVPIDYSNEELQAVQANSSTGANVAAAAEFAKRISVSNSKEEKADAEKDRNRRSSEGRPSDERREKLHDREKDKPKSENKKILDAKQLIDMIPRTKEELFAYDINWAIYDKHELHERMRPWISKKIIEFLGEEESTLVDYIVSCTKDHVQAEKMLDLLQSILDVEAEMFVLKMWRMLIFEIKKVESGLSGRA >Sspon.03G0011940-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:48894429:48896029:-1 gene:Sspon.03G0011940-3C transcript:Sspon.03G0011940-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAPAPAPGVVVVFDFDRTIIDWDSDDWVITKLGAADAFQRLRPTMRWNPLMDRMMAELHARGTTPEDIRDCLRSAPLDAHVVSAVKTAAALGCDLKVVSDANTFFIETVLAHHGVLGCFSEIVTNPASVDADGRLRISPFHDSAAAPHGCSLCPDNMCKGKIIERIQATASDTKQHFIYIGDGKGDYCPSLKLGEGDYVMPKENYPLWNLICNNKQLVKAEVHPWNSGEELEKTLLKLTSKMIAAPAQASQFDYSKCEMSNPASTEVAHHQALRVPH >Sspon.02G0018400-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:54077283:54078992:-1 gene:Sspon.02G0018400-4D transcript:Sspon.02G0018400-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAPCCDKASVKKGPWSPEEDRKLKEYIHKNGTGGNWIALPHKAGLKRCGKSCRLRWLNYLRPNIKHGDFSDDEDRIICNLFATIGSRWSIIAAQLPGRTDNDIKNYWNTKLKKKLMHGLQAPYYTTHNHHSTAVSAAAAAVASPEAAPIMSLQHLSSPHDYSHLYSGGGSFGPNNSTTSLLSAAASSRGLLLNGEQQVLRTSPCLDSRGDHDIYFDEPCATTISSSVVHGHGHGFGAETIIFGGFQLQEDHHHKAEALLLASGASNQMDEEYNFAASCCYDEKAPPLLSLTGGAGGTSSSFFYGSTYSEETATTTRAGAQ >Sspon.02G0015660-4D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2D:36966530:36967814:1 gene:Sspon.02G0015660-4D transcript:Sspon.02G0015660-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding QMCEGLKHMHSFDPPYAHNDVKPGNVLITWRKGQAPVATLMDFGSARPARKEIRSRSEALQLQEWAAEHCSAPYRAPELWDCPSHADIDERTDIWSLGCTLFAIMYNVSPFEYALGEYGGSLQLAIVNGQLKWPAGPKPPYPDELRQFVIWMLQPQAAMRPHISDVVLHVDKLIAKYLP >Sspon.01G0045120-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1C:82299650:82301843:1 gene:Sspon.01G0045120-2C transcript:Sspon.01G0045120-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSAVEKLKALWDSQVNDEEQWALNYKLLKAAGLFAGSIFLMRNFGDLMAI >Sspon.05G0006350-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:21413280:21415792:-1 gene:Sspon.05G0006350-1T transcript:Sspon.05G0006350-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGSETDEQLTEYEKQRLSRIRENEARLEALGLRSLAASPLLRSPSPGAAKGKQKKRSADEDEEYVPSDSGGGEEDDESSSESAQDEEVEGEGKSASRSRAKGKKKKLSKSGKSTKSTPAKGSASFADVVDDDTALQQAIALSLAEYSEKPSSAVGAETSSTVTGESESTPHKNKSKASIQDSAKNKKIKKLGKSRIQLTEDDVVAFFFSFDEVGKGYITPWDLERMATINDFIWTDSEISKMIRCFDSDGDGRINLEDFRSIVSQCNMLQEHEK >Sspon.01G0045110-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:87130590:87133543:-1 gene:Sspon.01G0045110-1B transcript:Sspon.01G0045110-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding QRLPGSIAFACACYSIEVKSIQTHVSELSLAQLTSHTWCRKEPQIRNCVANHYRRIRNKSVNQSLVSGEYSEAGRARGSPPLLFLVAHIRRQEAELSCPRDCKRGGGDTKQSQPPQTEGSGVQTLLPAEQSRRTRGMRMASFWHDVSLIVLVLLIGVSDSKASPAAPLHRHSQLDVNHKKPIQTFRPYNIAHRGSNGELPEETAAAYLRAIEEGADFIETDILASKDGHLICFHDVTLDATTNVANHTKFADRKRTYEVQGENVTGWFIVDFTLKELKSLRVKQRLSFRDQRYNGKYQIITFEEYILIALYADRIVGIYPEIKNPVFINQHVKWSGGKKFEDKFVEMLLKYGYKGEYMSEDWLKQPLFIQSFAPTSLIYISNMTNSPKLFLIDDTTIPTQDTNQSYYEITSNSYLEFIRNYVVGIGPWKDTIVPPNPKDNCLGQPTDLVARAHALNLQVHPYTFRNENQYLHFDFHQDPYAEYEYWLNEIGVDGLFTDFTGSLHKYQEWTTPYQKEKNPEALLREIANMLKNDGY >Sspon.01G0029280-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:88856427:88857616:1 gene:Sspon.01G0029280-3C transcript:Sspon.01G0029280-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGEHGEREEVVLVGDEEEEEDMLPGFRFHPTDEELVTFYLRRKVAGKRLSIEIIKDFDIYKHDPWDLPKSSSISGEKEWYFFCLRGRKYRNSIRPNRVTGSGFWKATGIDRPIHSAASGRAGDPIGLKKSLVFYRGSAGKGTKTEWMMHEFRLPPRAESAHTSPSEQEAEVWTICRIFRRNFTYKKHPQQQVAGSKVSAAAAVVVQPGESSSVTGSLESDTGDEYTNGMPQPPQAPAIDGYDYGYDQPMLQQGQWNSHALHAAATAPLPSPTMAAFHHSVLSSPAAGGLDDMYYRDGSSWDDIGRMVMELTDPSP >Sspon.06G0017480-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:78708824:78710205:-1 gene:Sspon.06G0017480-2B transcript:Sspon.06G0017480-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEANCASIWSEESEMIAHLQSMFWSSSNADSCLSSPNSSTSSCVEPSTLPTTLFLPLDENDCCDKEQCQNTVPVWCFDHQSQAFTPIINGVTSNKRACLMDENKKSKNSKKPRTIALVSRTSSIAPADEINTELVNQSCSWSCSSEDDSIGACEESVVLKQSTSSRGHSRSSKDIQSLYAKRRRERINERLRMLQQLIPNGTKVDMSTMLDEAVQYVKFLQLQIKLLSSEDTWMYAPLAYNHMSMDLSPNVAVNQS >Sspon.03G0025850-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:3721841:3722464:-1 gene:Sspon.03G0025850-1P transcript:Sspon.03G0025850-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALACTAARLVHPCMGMVSKHPRTPPPPSSCCLHLHILHHRPVASSHFSRHSVDVSKDDKPLETPTATTTQTESPQQATTALQEEAEDDDGGPKLDPRRFEEKFAVLNTGIHECRSCGYRYDQAAGDPSYPVPPGLPFAQLPDDWRCPTCGAAQSFFESKSVEIAGFAQNQQFGLGGNSLTSGQKGLLIYGSLLVGFLFFISGYFLQ >Sspon.06G0027330-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6B:84820591:84822720:-1 gene:Sspon.06G0027330-1B transcript:Sspon.06G0027330-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGRRYTNLPFYGSNDPEEFLVWAEEMEIELEVQDFSEAKEISRVVLEFEDYAHDWWKQYPHKHLIKNWEDLKKAMRKEFVPREYRLILLRRLQRVKQGSKSVQAYYDKLNSSLHRANVVDDMNAMEYFERGLNGNIAAALEGKYFRSMQDLLSCAIREEKKVMKVQQGKVTQNISLCQDKSAKLQPNMSSIVGKKQSSSPCKKRGHVVPKVSLVDSSTKRSEHQQFNSKKNFVVQQEEGSMSQIDMENVEVCDITLDHSDTPSFDIVAIPKCHLQSEVDENQVANVPINVCLSFEQDQEENSAAHDTQSEVAEATMQVVEDHQLSCETREDTSLIEVDPFLRAECEHKDDAIVPSIASNKSVQDEVHHDLMDLKFRTNMVPSTNVVSRCVVPSAALCDELLDSGAEILCSSMSQSVEIIEGKISAHDSTYTDASPASLEVVKDDYLVASIAVPMPQKVQIEGNISGDESTSAIERSSLFEEDRPDGVAATITTPMAIDYIMNDGRYSYVALEDIEDIMHQIPCENECHIAKLSESENKSELCDSTKCEIESIHSMSVYKEDSVEMAAQKTPKLGQRN >Sspon.02G0014100-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:37595399:37596471:-1 gene:Sspon.02G0014100-1A transcript:Sspon.02G0014100-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPSSLPELTDDLIGEILLRISPDEPAHLFHTSLVCKSWRHLLSDLGFLRRYREFHGTPPVLAFLNYNSFVSTTSAACPLIPPALDCYGCVGLDCRHGRVLLHTIYPAGLIVWDPITGNQQNLPDAPEHPYNHLTGAVLCAADGCDHIDCGRGGPFLVVFGGTADDENLVEDDVSFTWLSVYSSETGTWSALPRLTWGPSRRPATHELSVINNLPPLRVGNMALVKAEDGGLGVAGVEGYSLHLWSWRGAAAGWVQGRVIELEMMLPMTIGDPSTRLLVVGYSECANTIFIHSNDGIFAVEFKSDRITQICESRNFQAITPYASFYTP >Sspon.02G0026870-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2A:95907054:95908070:1 gene:Sspon.02G0026870-1A transcript:Sspon.02G0026870-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MELGGGNGESAAKRTRLSTGEDRLSALPNEVLVLILLKLSTRAAAQTSVLSRSWRRVWALLPTLSFDETAKPDWLRDALDGHEVPVRSLHVGAHGAAAESLGVWLPAAARRVTGDLSLCSYVRVSEEEDGEAPPQRGVFELPCFDKATSVKLFLSFHGLAVPRASVFARLADLHLYRVCLHGPGELGDAVSSPRCPCLLRLTVDDARGLADLTIRSDTLLEVELRNLRSLSQLTVAAPALKELTVVLCFVKNRPVANISAPLLTNLDWGDEYDPSSVRLGKMEHLRSLGTDCYLVYGNYAFIHNHFWLSLLQRFEGIQTLSLTLMYLRVSSHFSCCII >Sspon.06G0014930-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:62229683:62235374:1 gene:Sspon.06G0014930-4D transcript:Sspon.06G0014930-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARRSRGWARGAAAFAAVALAVGAGRRYGWDGASAVAAFREARGALGPWAAPAYVAAHALTLALCPPYAIFFEGGAALIFGFLPGVACVFSAKVLGASLSFWIGRAIFRYFTSAMEWLQRNKYFHVVVKGVERDGWKFVLLARFSPLPSYIINYALSATDVGFFRDFLLPTVIGCLPMILQNVSIVSLASAAVASTTGSNKSHIYSYLFPAMGIVSSVLISWRIKQYSSALAVPEELQSSPTNGNDNGDAKLASTPSKNTSSGKTRKRSCCDILYKQRSTLCPQSKANNADVCPMAPQGERKLFMNGFLCKHPSTILASDFKTLLLNHARDLDNIVRSSVNMVTTTEFPGLNTLGLSMARTDIAPNGVVLPHSHPRASEMMFVHGGSVVVGFLDTKGRLFQKSLGEGEVFVFPRGLVHYIMNYGFSLATTFSVLNSQNPGVVGIVHAMFATDSDVVEGLMARMFKFGEMGVSDNITAGSNSLKDKASQDFKRLPCALALDYLYNGIKH >Sspon.06G0033810-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:24027452:24029652:1 gene:Sspon.06G0033810-1D transcript:Sspon.06G0033810-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHKNRLQSFSDRMYKKPPIYKVESEGEPHQPKFRCTVEVGGQQFSSAGSFDRKKEAEQDAAKVAYEILAAVGEDDIKEAFGLIDQDAVFCKSILNEFAVKTKTTWPSYSLDSLTKPLTLFAATVVFDGNRYTGGPDHVAPVLQNASSSLAVQGGINAVPAVGASANPSSANVSRSKSKKRKARV >Sspon.04G0000350-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:1340662:1341162:1 gene:Sspon.04G0000350-2B transcript:Sspon.04G0000350-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTFTNSSATTGPDDKENFEMYFCFLCSGRDPLLIHHCPIYWDECHLICDDDMSTSTTVVDAAIPMATTAPPAPVQGDDCYVMKLYMSGRYVIVEHRPCKYIAWCFLTCGGGELADRRKVVMGTATTVSATTAAMIQGSFLPAVELCGTQVNAPWAPPSAGVVVPA >Sspon.07G0026950-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7B:57779152:57779313:1 gene:Sspon.07G0026950-1B transcript:Sspon.07G0026950-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVKMSLDSASGGRLSRKQQATVLVLPEITMSSAATQHPVATRSGLALLLRSS >Sspon.07G0003430-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:8230544:8234847:-1 gene:Sspon.07G0003430-1T transcript:Sspon.07G0003430-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding KMAKYRFRGSSIGRPMARSICFGGTWVTAVGPRPDGEPAAADRGIRRPAATTSGLMFSAARRLLTSRARARAFALAAAAPYSHSAGSSPSRPRFPTPKEIRRGLDEFVVGQDKAKKVTNHTLHTCRPLLVALPLALLLWPQYLKFREKLGAWWCRYELR >Sspon.05G0000990-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:3245789:3254406:1 gene:Sspon.05G0000990-1A transcript:Sspon.05G0000990-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVTQDASLCTANSTIIRMPLSSKCLKELEAGCNIVQRVFDRFTQNPSSTLLFLRSIIQVSLSTWEDGASQSTLNYSVLVDPSVAALRNPFSEKKWRKFQISRIFSSTSAAIKMQAIDVHVIEGGCSYIDKWFVALSLGSGQTRNMALDRRYLAYNLTPVAGVAAHIARNAVSTNVHPSSCILSPLPLSGFISMPVTTLGHFIVRHSGGRYIFGSTRDASLPELKEDRDRLVEAWNKELMLCVRDSYVEMVLEFQKLKTDPLSSAIEPRSAQSVGAILQTYGDRVYSFWPRSKQNPTSFTGHGSTGTNMDSPRASKADWQSLIEQVIRPFYVRLADLPVWQLYHGNLVKVDEGMFLADSGNGDDDNLPSDSVCSFIKERYPVFSVPWELVSEIQAVGVTIREIRPKMVRELLKASPSILLRSIETYIDVLEYCFSDMDPYRFSDDLPDESRVNSQHVGTTNSSRSHSMPSSSSTLSYQSSTQMAGTSGGDALEIMTYFGKALYDFGRGVVEDISKTNGPAFHRTQAAETNVLSSIISELKGVPFPTSTMRLTKLGMAELWIANEQQQLLMSPLLDHFIHYKCLEKPFLALLLSTQVIHRPLKLRSFSPHLLAGYLKHILDERWIRIALENKSSWIPWDNNAESSTTPTPKWIRSFWENFSSLNGDLSLLSDWPLIPAYLDKPVLCRVKERHLIFVPPISDSPDPPGDDVAGQLDTQDSPRDNTREAEQNEVLDTAFRSINSEFPWLTSLLNQLSVPIFDPSFPECGAICNLFPPNGRTLGQAIVSKLVAAKNAAHLPSPLSLSSEDCDRLFGLFVSEFRLANNHLYQREELDVLRTLPIYKTVTGTYTSLLGSDHCILSPTAFFHPSDARCLSCSSNAHLFLQALGVEQLNDHEILVKFALPGFGNKTAQEQEDILTYLYANWKDLQLNSAVVETLKETNFVANANEFCKEFFKPEELLDPSDALLTSVFSGERNKFPAERFMSDGWLVILRKAGLRTSTEADMILQCARKIETMGHDIMSSLEDVDDFEADFTDSKNEIPFEIWSLAESVVNVLFANFATLYDSAFCEKIGKIAFVPAEKGFPSIGGKRGGRRVLASYNEAILLKDWPLAWSSAPILTKQTIVPPEYSWGAFRLRSPPAFSTVFRHLQIVGRGNGEDTLAHWPTSAGIMTVEDAFLQVLQYLDKIWGTISSSEKTELEKLAFIPVANGTRLVPVKSLFARLTINMSPFAFELPSRYLPFVSLLREIGMQESLTNSYARELLFDIQKACGYQRLNPNELRAVMEILDFMCNGINQSITDGSDGLFDSVIPDDGCRLVTAASCVYVDPYGSRLLSNINTSRLRFTHPDLPQNICKALGIKKLSDVIVEELDGKEEIKVVNSIHSVTLDRIKEKLRSKSLQNALRIVMISVTNHFPSFEALALVQIEQILEDISQKLQLVQCLHTRFLLLPNLQDVTRTIQHPSIHEWSSNGMHRSICFVNKATGYILVAEPPSFLTIYDVIAIVVSHRLGAPMILPIASLFACPDGSEKEVLQILHLGSDVGVSKREGRYDASLGAELLSQDARQVQFLPLRPFYSGEIVAWKTGKEGEKLRYGRVPEDVRPSAGQALYRFPVETAPGETRMLLSSHVYSFKSVSMADLLSAPSQVNGGVALGGQENLLATNTGTEVTKDADAGLQYGKVSSTELVQAVHDMLSAAGVRMDAEKETLFEATLSLQDQLKESQVALLVEQEKAEAAVREADVAKAAWSCRICLNAEVNMTIIPCGHVL >Sspon.04G0024300-4P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:22422656:22451729:-1 gene:Sspon.04G0024300-4P transcript:Sspon.04G0024300-4P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGTIAKKTEANDPQDIKDPHLGWMIGFMFLVSFVGLFALVPLRKVMIVDYKLTYPSGTATAYLLNGFHAPQGTERAKKQVRTLGKYFSLSFLWAFFQWFYTAGDHCGFGSFPTLGLEAYKNRTENITLQVFITIAVILGDGLYNILKVFYRIIEAFISRYRNRNTNTLPVSNDGTPANTTEIESFDDKRRLEIFTKDQIPKTIALGGYVVLAAITIGCLPLIIPQLKWYHILAAYIVAPALAFCNAYGCGLTNWSFASAYGKLAIFSFGAWAGASHSGVLVGLAACGVMMSIVGTAADLMQDFKTGYLTLASPRSMFISQVIGTAMGCVIAPCVFWLFYKSFDVGASNGAYPAPYTYMYRNMAIMGVDGLSLPSHCLTLCYIFFAVSFAVNLIKDLVPKKVAKFIPIPMAVAIPFYVGAFFTIDMFLGCMILFIWERKNKAEADSFGPAVASGFMCGDGLWALPEAILSLANVKPPICMKFLSRSVHRFRSQQSGNRHTMASAHEQVKGDDVAGAASSVTDVSELRQRSKAHKKPGTDAEDNEAGRSAAGGCEVTDSIERVFESEPVPPWGEQVTVRALVTSLFLAVLFSVIVMKLSLTTGIIPSLNVSAGLLGFFLLRVWTAGVKDPKRPFTRQENTVVQTCVVAAYGIAFSGGFGSYLFGMSERIASQAAEENNADNVKEPRLAWMIGFLFLVSFVGLFALVPLRKVMIIDYRLTYPSGTATAHLINGFHTPDGSERAKSQVRTLIKCSLASFLWGFFQWFYTAGDDCGFGHFPALGLVAYQNRFYFDFSTTYIGAGMICPHIVNVSVLLGGILSWGVLWPLIAQKRGSWFDAQLADTSLEGMQGYRVFIAIAIILGDGLYKFATVLIRTVASIAASTEKKKFFGALPVNSDDSNGPNPATATPPSFDDARRTDFFTKDQIPMPVAIGGYVAIAAISVTTVPRLIFPQLEWYHVLAVYLMAPVLAFCNAYGMGLTDWSLASTYGKLAIFTFGAWAGKSHSGVLVGLATCGIMMNIVSTAADLMQDFKTGYMTLASPRSMFVSQVVGTAIGCVVGPSVFWLFYRAFAGVGTHKSAYPAPYALIYRNMAILGVDGFSKLPRNCLTLCCVFFVGAIAVNMAKDMAPEKFRDQALLEELRDGGDDAVAGEHRGPEPGRGHAAARGDGHGPRRQHVDDDAGDRRPPAWRRRRRQHALQVQGHVVLHGMHDVAEPRGVVVGVLDDAAGDGSQRDAATNTAAAGSGDAPVDEIRYGDGGRDAMRGEHFRQLQHGRDVALRRERHEEHGRVGHRLGPHARVRSASVNEEGYVVYVYDWTCATEAYIPLSSPWPAAGIARQRTQRPCENARSNGLTLGSWDYWTTRGSHGLTLGSWDYWTTRGLEEDDATDTRFGDRDEPWTRHRSGSACRAEARSLGRWDASPGAVTGEDVSAVSPTVSAVSPTMSRSPCRGSQGSKRQHLGDWEGR >Sspon.03G0025230-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:94821149:94823303:1 gene:Sspon.03G0025230-3C transcript:Sspon.03G0025230-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCASLPTRPSPTPLPAAGRARPSRSSHLRSCTTTGKPRRAFSIRAAHSGLSDVSVESLPLPDNEAPVTGAAYSFTGATTSLTNRILTSSKKVTLVRHGLSSWNAESRVQGSSNLSVLTETGTKQAEKCRDALANIKFDVCFSSPISRAKTTAEIIWKDKEEPLVFLDSLKEAHLFFLEGMTNADAKKQYPELYTRWREDPAHFHVNGIYPLREVWRTARQAWEQILLTPGENFLVVTHKSILRALICTALGLPPERQVV >Sspon.06G0025640-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:70520258:70521787:1 gene:Sspon.06G0025640-1B transcript:Sspon.06G0025640-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LAIGLLLFLVGTLKCVCKPWDLKRVSINSLVDSSAGSFEENSSKIVISSLDEYVRAATEYFHEDHPSDSAQVILVEPHLLFVDLAPPYFERLKWLKALVHNQDRAYNCVRFCLSETFDRFYTKESVLYSIHNWLGLITYLRLVITGLSFIIVMWEEILNHRHRKTSDTDVKITLNLICLEAVLDYILPALMHFTPKVVPIFRQPGKGRWPDEVAQYNLIGYLVRNKKHRKLRRLATLLVCKDYIDQLWCMKPSKSSSDITKVVHGYIAKGWTEHHIKDMATYRAFNDNRGQWTLKQEGCSRSLDWGLRRPFDESVLLWHLATDFCFLHMDPSPAHTAAYEAARRSKEISNYMVYLLFVNPEMLMTGARRSLFRAMYKQLKGIPLYNDEPAPQGEKELAQNIIHRLLGTEGSDMDRMVHDAWAIANELLTSLQSDEEKLWRVVQGVWVEMLCFSAGRCRGYLHAKSLGKGGEFLSYVWLLLFYMGMETVAEKMQRPELHEETDECSPVVDS >Sspon.05G0001390-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:5172959:5176051:-1 gene:Sspon.05G0001390-1P transcript:Sspon.05G0001390-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAMAAGRRLLHLLPGLELCLRSRALTLLSPSRADGMARRWREPPRRKLVTCRQGAFEEGNAARDKAVPIPDELLGHCKDANGRALDLEPIGKNSANESVQFSFEEEESDDVVCEISESLVRDVEKAAIELLAARAFTVSELRKKLRSKKYPFDTIDAVIANFKSRGLLNDGFYAESFSRSRWLSSTWGPRRIKQALRQKGVPEAEVDQATRRVFQDGHGHGKEAMFGISEASMDHLFAQASKQWQRGQSLTLENRRARISTVGQEYKTYCFDILIQIFQFGKYEFVHCCRNESFRAIASHASAVVGPSDGLEALGASAGAPAGLGADADPLGASELDVGELKALSAASAKGATAAAVRRMTTSARARAMARLVCG >Sspon.08G0006710-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:18181815:18188500:1 gene:Sspon.08G0006710-2D transcript:Sspon.08G0006710-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MCTNDFICFYDWAEYRLIRRIDVNVKNVYWADSGDLVTIASDSSFYILKYNEIAIEARSESKWKQLGELAMSTGKVNSKAAESLADPAEYPNLFEDWQIALNVEATVAPKRGVYPPAEEYMTYAERSNESLVEVFKSMNVEEVPSENGDPAHEVIEDDGVEESQEDAVEVEPDDSTDGGVLVNGNDGEEHWVLTPES >Sspon.06G0003180-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:8548004:8554497:1 gene:Sspon.06G0003180-3C transcript:Sspon.06G0003180-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGKAQLSDSNRRIMELDAPPRRVYQAWKGSNLFFLGGRLIFGPDVRSLVLTVCLIVVPVIFFAAAVCPQLGHEFHSQIGGWVASVAIIFTAYILVVLLLTSGRDPGIVPRSAHPPEPEDTDESSNLPDWPGGQQGSAGLPFTRDVLVNGVSVKVKYCHTCMLYRPPRCSHCSICNNCVERFDHHCPWVGQCIGKRNYRFFFMFVFSTTLLCIYVFAFCWVNLRRIMDMHQCKIGRALLKYPITALLILYTFIAVWFVGGLTSFHLYLISTNQTTYENFRYHYDRRTNPYNLGVGRNFVDVLFSRVPSSKHNFRAKVKDDSSAFTSSLSMGRVLSPPKMSVDLEMGMKRQAVAAEDLEDLHSQIGSAMGLERCGTEPPHFVGRKGCSEMSSDIEAFAEEFGMERGFNERKKIEKLKARKEEREVGQNGRVNPTGIGADLGLGPLGPRP >Sspon.01G0006320-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:17499334:17515086:-1 gene:Sspon.01G0006320-2B transcript:Sspon.01G0006320-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTPRAGSPASPVTGDRYLDLLVRFVARNAGALLDGTVTLRLHPVGLHYVASRLEALRELEAVGAGAPVDYLRAYVADLGDHRALEQLRRILRLLTSLKVVAPGPGRDPAPLSLLPFARLRVLELRGCDLSTSAARGLLELRHTLEKLVCFNSTDALRHVFVSRIMDIKDSPVWSKLSYVSCASNGVVLMDESLQLLPAIETLDLSRNKFAKVDNLQKCTKLRNLDLGFNHLRSISSLSEVSSRIVKLVVKNNALTTVNGIENLKSLMGLDLSYNIISNFSELEMLGTLSLLQNLWLEGNPICCARWYRAHVFSFFRNPENLKLDDKGMNTQEYWEKQVLFACRQNQPAGYGFYFPAIDDHENEDEDMLNLKMRRISRLVSIVEEERNLCDEGVEQQSTHCDSDSSKKDETTAVDHDIRIASLINTAELLKKEKSSNWLREFEWMDHNTEKTEGDNLSVDLTNGNGKYVRQKKKQKAHKETSNNMSDLAQVSEGGSSSNLLESDSSFTDNAFSGSNGVIKQSSNELNFDQDHLRMHLNSFQRPPPLELVATSQTDPFSELENGSRNRLANGTPSNTMSKLIESSPPHTYPSPQSPPQYKEDILHRRLFLEEEFLQISGHLHSVGSLGSGSSCSDGSSSDFGSCNSEDDCEEIQTKMELSRNGQMVLFPFVNGDDHEAKNNLEHFSGENTLSDHSEEGEPNCSDRREFDIEEFHDSNQRNGHLGHYLGHLIGQKGKEKFKWRVFPFKNHNGTKLEIPKMNGDQVAEHVLVEGNGQLTCNPTKSTHKEDSKSHSSNILHKNNSSVGTNIIPHDTGEHKTLEDFFNLEIANKDGSETCEQVACCAYMFQDSSGLVQREVALLRSSQNKLYVLLLDMFFDGQETMPRILGSYSIESLEKVSIGLGLKALRVHMSDDTTHILFTRTSKEAQDVLWLLSVTNFPKLNHKIQFQSWENIQVKLFEKCIIRRTANMGIFLYSMLMFWSNDAEEDSLRIRSIFVIEGSILVCIEDLDQFGGIPYDSNPPYFSLDASCSISNIQEVVMDQRNDKCLTLILGSRRQGEFHDSIQNPQNKQSDEIGTVHTWKLKWFSEEASLKFISVLKALYSTAAASSLPVNVSPFSKSSSSKVHEIRRRAVMKATCDTSAAQHLVTAEGLLLRVEALRREELRGAHLA >Sspon.02G0044690-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:114617969:114623812:-1 gene:Sspon.02G0044690-1T transcript:Sspon.02G0044690-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo-like helical domain containing protein [Source: Projected from Oryza sativa (Os12g0570000)] RQLLALSRRIRLLGPFAEELREARRGPAEEEEEQERALVPLADALEEALDLLQLGRDGSRIFLVLDRDKVMKKFHESIAQLEQALCDFPYDKLDISDEVREQVELVHVQLKRAKERVDMPDDEFYNELLSLYNKSHDPSAELDILGRLSKKLHLTTITDLTQESLALHEMVASGGGNDPGEHVEKMSMLLKKIKDFVQTQNPEMGPPVTTKLMDSNGQPRPVNIPDEFRCPISLELMKDPVIVATGQTYERTFIEKWLASGHHTCPNTQQRMPNTTLTPNYVLRSLIAQWCEANGIDPPKRSTKPDKPTSSCSPSERAIINALLSKLCSADPEEQRSSAAELRLLAKRNANNRICIAEAGAIPLLLSLLSSSDLQTQEHAVTALLNLSIHEDNKSSIILSGAVPGIVHVLKKGSMQARENAAATLFSLSVVDEYKVTIGGTGAIPALVVLLSEGSPRGMKDAAAALFNLCIYQGNKGRAIRAGLVPLIMGLVTNPTGALLDEAMAILSILSSHPEGKAAIGAAEPVPVLVEMIGSGSPRNRENAAAVMLHLSVHNVHLARAQECGIMVPLRELALNGTERGKRKAVQLLERMSRFLVMQHEDIASQQLDEIERQLAALGPNVTEADLDQLGLL >Sspon.01G0018300-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:68424777:68426508:-1 gene:Sspon.01G0018300-1A transcript:Sspon.01G0018300-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNDHTGKHSANGLRSNAQRTTDNIPSPCHHVDEDKDAEVVALIFNGAATEKTIPHFSFTVAGEEEKEEIKKQKQSWLRLFGPTEWHVLGQLGPYVALLSADSFPNQSEPAPTGLAAKPSSAAVPHPASMPAPESALHVVDEPRSASAIVGGELVGHHILHIDGYSRIKDKLPTGKSIQSRPILYYPNGQTSEEADFVSVFLQLTKSADGPVKARATFDLLDGAGNPLPLKSRTLDLFEFSPGGPLYGFPKFIKKEFLEKIVSLLDAVPPPDLNQHLGDLLMSKEGADVTFQVAGESFCAHRCLLAASRVFKAELWGAMKESTATGDCIRIDDMLPQVFKALLHFIYTDSLPQMEEQEEAVMAQHLLEAADRYDMQRLKLICEEKLYRHLDVSTAATTLVLAEQHCCRGLKQACIEFLKSPDALEAIMETDGFEHLTKSCPALVKELMSELYLFKQCKRRKLKT >Sspon.01G0018680-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:69486060:69494152:-1 gene:Sspon.01G0018680-1A transcript:Sspon.01G0018680-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSGFLLPPGPDMHDAALLSNHNPEIQLQQRAILGFVLLLVYNCSGLFISLQVKMSSRSRKKAAKRADKSAAARERWKLAKELVLRHEQSATSSTGVLNGTEANANRSKKHENLTQVPTERFGHAYNQADTDRAMKINNDKLTFSGVVSLATEIRPRRPTLEVTFRGLTLSIGKKKLLQCVTGKLSPGKTSGYKKDGIVLINGLPGLMQSYKKIIGFVPQDDIVHGNLTVEENLWFSSCCRLSKGMSRSDKVRVLERVIESLGLQEIRNSLVGTVKKRGISGGQRKRVNVGIEMVMEPSLLILDEPTTGLDSASSQLLLRALRHEALEGVNVCAVVHQPSYTLFNMFDDFVLLARGGLIAYHGPIYEVETYFAGLGIKVPGRENPPDYFIDILEGIVKTKLRGNVTPKHLPLLWMLHNGYEVPDDLQKDLENINTELYTVRSISEQSSEEQSENTDSVNRNLSQSNELLERKTPGVFAQYGYYLGRVAKQRLREATQQAVDYLILCIAGICIGTIARVRDDSFGVASYGYTIMAVCESASFVVSRLLASLRSFSPEKLQYWRERQSGMSSLAYFLARDTIDHFNTAVKPIIFLSTFYFFNNPRSTLRDNYLVLLALIYCVTGIGYTFAIWFELGLAQLSSAIVPVVLVLVGTKQDLPRVIRELCYPKWALEAFIIAGAKEYSGVWLITRCGALLQGGYDINEFNLCITIIMLQGVFFRLVAFLKR >Sspon.01G0003760-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:8812919:8817264:1 gene:Sspon.01G0003760-2B transcript:Sspon.01G0003760-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:chromatin remodeling 34 [Source:Projected from Arabidopsis thaliana (AT2G21450) TAIR;Acc:AT2G21450] MVCRICGLIQQRIEKFFEYSWKRRNQAYRTYPIKQRNSGDPDATMNALGTILSVAPDTLSVHPQHSEQMKPHQVEGFNFLIKNLADEDNPGGCILAHAPGSGKTFLLISFVHSFLARYPAGRPLIMLPKGILGTWKSEFLRWQVENIPLYDFYSSKASSRPEQLKVLKLWEESKSILLLGYQQFAHIISDNSSDRETIMCKEKLLRVPSLVILDEGHTSRNDQTDLLSALETIRTPRKVVLSGTLFQNHVSEVFNILNLVRPRFLKMQRSRAIMKSILTKLDMSGMAMRSKTISEKVFFELIEDNLQKDSKTMRVMIIQNLRKLTENILHYYQGEILKELPGLVDFTVLLNMSSKQEYIIKGLAGLKRFEAHAKCNAVSLHPCLKDVKIADKKNRNISKRMMDSIVCGIDISDGVKAKFIHNLLSISEAAGEKVLVFSQYVRSLHFLETLFTKMKGWKPGVNTFLMDGSSTQEQREQAIERFNNSPEAKVFFGSIKACGEGISLV >Sspon.06G0003840-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:11860529:11867989:-1 gene:Sspon.06G0003840-1A transcript:Sspon.06G0003840-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAASTTLLYGALLLAAFIFVAAAVRGRGSKSNGGRLPPGPTGLPLVGSLPSLDPQLHVYFARLAGRYGPIFSIRLGSKLGVVVTSPSLAREVLREQDLVFSGRDVPDAARSISYGGGQNIVWNPVGPTWRLLRRVCVREMLSPAGLDNVHALRAREFRATLAHLHAQAVAAAPVDVGAQMFLTVMNVITGTLWGGNVGSESERAAVGKEFRHLVAEITDMLGAPNTILSFNINQMFARIIEQRVSAERAGGEPPAPDFLEYMLKLEKEGGDGKASFTMTNVKALLMDMVVGGTETTSNTVEWAMAELMQKPELLAKVRQELDAVVGRDAVVEESHLPQLHYLHAVLKETLRLHPALPLMVPHCPSADATVGGYRVPAGCRVFVNVWAIMRDPAVWKDPQEFIPERFLGGDGEGRKWDFNGSEMDYLPFGSGRRICAGIAMADRMTAYSLAMLLQAFDWELPAGARLELDEKFAIVMKKATPLVAVPTPRLSKPELYAA >Sspon.05G0024080-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:12293748:12296459:-1 gene:Sspon.05G0024080-1B transcript:Sspon.05G0024080-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MESFKLASLTLVLPFLLLAAVAVVAGDELTTYIVHVQPQENQMLATADDRNAWYRSFLPEDGRLVHAYRHVASGFAARLTRQEVDALSSMPGFVTAVPDQMYELHTTHTPQFLGLDAREAKKSYAIAERGAGVIIGVLDTGVVPSHPSFSDDGMPPPPARWKGRCDFNGRAVCNNKLIGARSFVPSGTNATSNNSTSDDWRAPPVDDEGHGTHTASTAAGAAVPGAQALGQAMGTATGIAPRAHVAMYKVCTKTGCPDSAILAAVDAAVGDGCDIISMSLGGSSTPFYQDSIAIATFGAIEKGVSVTMSAGNSGPNVSSVTNEAPWMLTVAASTMDRSIRSTVRLGNGFVFHGESLYQPQAWNSTFYPLVYAGASGKPYAELCGNGSLDGMDVRGKIVLCELGGGPGHNITRILKGAVVRSAGGAGMILLNTFPQGYNTLADAHVLPASHVDYAAASAIKSYVNSTGVVALVKSKHPHWSPAAIKSAIMTTADATDRAGNPILNEQRVPADLFATGAGHVNPEKAADPGLVYDIAANDYIGYLCSLYDSQNVSVIARRPVDCSAVTVIPESMLNYPSISVTFQQTWNRSTPAPAVVERTVKNVGEVPSVYYAAVDIFDDDVTVAVYPRELVFTQVNQEQSFKVVVWPRQNGAPVVQGALRWVSDTYTVRSPLSISFF >Sspon.04G0019280-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:77537896:77539674:1 gene:Sspon.04G0019280-4D transcript:Sspon.04G0019280-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ankyrin repeat domain-containing protein EMB506, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G40160) UniProtKB/Swiss-Prot;Acc:Q9SQK3] MGGHRVATQHCSRRLICSSPPRRRSPPSTATLPPLPRLLCAGLNPLPRAAASDGDVFWEEPDDGSGSDYEDDGPEQRRASRFPSSSPSSRLEAARQQEQDLRREIELLLTPEEKAILDQHETPDVTRISSVKLPPAPKWHPLHSYALALQIPLMDKLLDSGVDINLLDQDGFTPLHKAVIGKKEAVISHLLRKGANPHVRDRDGATPLHYAVQAGALQTVKLLIKYKVDVNVADNDGWTPLHLAIQSRNRDIAKVLLVNGADKTRRTKDGRTALDLSLCFGRDFKSYDLAKLVKLIPASRGV >Sspon.05G0026010-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:37141612:37142682:-1 gene:Sspon.05G0026010-2D transcript:Sspon.05G0026010-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RHEGPGVVLQRARRADLRRRRLLRLRRRQCGGGGRRRAGGLRRRAGAGGERGDHRVPVAALGVREGTAHRRYVVSGARRARALRGRPRGRRRRLAPPPRQRQRRRGAAAPAQEEGERDVHGGQLRGGRVLGLRRGAVRRRPRRWGGARADFRVLRRRGRRRRVRAPAGRPQPRVRRPPARRDTDRGVAYGAAPGAVRRVRLLVHESAVLGVRRRARDRRRGARRRRGGVGHRGRPQGCAAPAAAVELPRQPHHLPRRRRAGRHDVGPARLALPRGGRRVAPPVVVVLLFFLLCRVHVPGAWRVGDNKAVDGRRLLRRRRRGAGHAAGDAERRSATEGGVGAGVLPADPRIQGLFQEH >Sspon.03G0024180-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:58228848:58229375:1 gene:Sspon.03G0024180-3D transcript:Sspon.03G0024180-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNMLPCLVQQAGTGALAPAMNPKRRLISLKLLMKAIHKMKKSPRPGKAAAKIDSKSSSLSASAAAAVEDGKGGEVLDEARSKAASRTNNPKGAVLRSRLHGRSSGGLVKKGKGVVRVKVVLTKEEAARLLSLTVGGQQHTAAQIVAEMRKMEARRAAANAAWRPALASIPEESS >Sspon.05G0021570-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:83674372:83679051:1 gene:Sspon.05G0021570-3D transcript:Sspon.05G0021570-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSYKYKYCMCFTRKFRSPDAQPPPDVSAAYHSFNSDVHALRRFLSQAQAEHPAEVDRIHALLTAASGGHGIARLVTRSPAPAMPTLEDFFAFLFSPELNPPMAHQVHQDMSAPFSHYFVFTGHNSYLTGNQLNSDSSDIPIIKALQRGVRVIELDMWPNSSKTNVDILHGGTLTAPVEMIRCLKSIKEYAFCASTYPLVITLEDHLTPDLQAKVAKMLTETFGDLLFIPSSDPMKEFPSPAALMKRIIISTKPPQEYKEFLKVKDKQNGSGNIADLPDTGSLKRIDSNADNQNGSGNLAADTGSLRRIDSNADDSDGKDELDEQDEEDSDEDDPKFQQDTAFFLEKEQDTACEYRRLITIQAGKPKGHLRDALKVDPEKVRRLSLSETQLAKATTSHGAEVIRFTQNNILRVYPKGMRVSSSNYDPMDAWTHGAQMVAFNMQGHDKALRLMQGFFRANGGCGYVKKPDFLLTTGPKGEVFNPKSSLPVKKTLKVKVYMGDGWRMDFSKTHFDAFSPPDFYTRIGIAGVRADTVMKKTRVIEDQWVPVWDEEFTFPLRVPELALLRIEVQEYDMSEKHDFGGQTCLPVWELKQGIRAVPLHDRKGNRYKSVRLLMRFDFV >Sspon.01G0033050-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:111829549:111831725:-1 gene:Sspon.01G0033050-2D transcript:Sspon.01G0033050-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQVIEKLKTTCGGGVCAEEKTAAAVTTEEPAPAAEQQPQPQPKPAGAARRAGPSAPTNPFDFSTMMNLLNDPSIKEMAEQIAKDPAFTEMAEQLQKTVVSPRQQQRQQAPAAQLDPQKYVATMQQLMQNPQFVAMAERLGSALMQDPAVSTMLGGLTSPAHKEQLEARVARMKDDPDLKPILDEIESGGPAAMMKYWNDPEALQKFGRAMGVGGGPSGEAGGAEHAEAEDDAGEEGEYEDESIVHHTASVGDVEGLKKALEEGADKDEEDSEGRRGLHFACGYGELQCAQALLEAGAAVDAVDKNKNTALHYAAGYGRKDCVALLLESGAAVTLQNLDGKTPIDVAKLNNQDDVLKLLEKHAFV >Sspon.01G0024090-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:90383450:90384844:-1 gene:Sspon.01G0024090-2B transcript:Sspon.01G0024090-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding NGHSGLLVHHRNRRARVVATAEASKQRRQHHAGATVAVALPRRRGARGLQRQLRELLLQQQQRGQQPSGPLVDVLRRLVVGRDAVVVPAAPAPRERRGVGAHPRPGARPAGLHAPPPRRGRGHRHRLPVPPREPGRRGVVRVRVRHEGGGPARARQEGQAGPRRGREARPAAARPPVPAHHVRGLRRRHGLLVHRHGVLSGRRPPLPPPPHAGPPLPARVRAVLRRGGAPRARVPAHDGHRVPRPQARERADTRRRPHHAHRLRPVAGVHGVAGSRGREERRRQQGGGRGGAGSTDLPPDPGAAAPPAAAVEAPRRAAAAVRGGARGRAVQLVRGHARVRGARGGPRRRARRGRGLVGLRGVPVRAHLRAHPVRRGEQRGHAPQHRAPPAGVPGRRDHAHAHARGRGLRRGARPDRAPPGQGPAHPARVEARRRRREGTRLLQGPKLRAAAVGAAARGAAPAALQ >Sspon.01G0044750-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:85015167:85017117:-1 gene:Sspon.01G0044750-1B transcript:Sspon.01G0044750-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSKRWTSASGTELPGRSSLLPTSALSPVRQSSRRSVSRSEPATTTSPVAVSIARSLWPSSSTGNKSGKSKAPPAPSPLSPAPPPPLSTSVATLADHLVRDDAPRALSRQRSCTELPRFADADAEARKIGNKPSGKGASSHAFGRSLRFLPSTRPAGVTLTPGRVAPSDLRRLANAAVSLDAAADVASSGSECSDASRGSTTTTPRTAASKPHSPLLPRTSSVRLLGSSNTQWALSPGRRSSSPPLDATMTLPTVPESKGKKSLISLGWGHIFHRRKHAAEDASIAAVTATLLSSPVPSRSSAGGGEAGHRMRMAHCRLLQWRLANAKADAVRKRKMASVELDLMGTWVSVSEMRGKVARKRVQLEKEKQKIKLNTVLSFQNLKRLCLGIFASDEMMKDLESWGQLQTGHDSALASTVGCTRAAVCRLPLTNGAKVSLAPLATILHQALELTSTAKAMTRSFSPMAQDTALLISKLVRVAREEQAMLQECVELLRQVSALQVEEQSLRTHLVQSASLSTVIVK >Sspon.04G0003410-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:11108613:11116540:-1 gene:Sspon.04G0003410-3C transcript:Sspon.04G0003410-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VLPSLQPIIVYFPDSSQWLSRAVSKSDRREFVQRVEKMFDRFTGPVVLICGQNILAAAPKDKEHPSPLKRLVGGLKGERYSRSGDISKLFTNSLTVPLPEEDEQLRVFNNQIEEDRKIMISRHNLVKLHKVLEEHDLSCVDLLHVKSDGIVLTKQKAEKVVGWARNHYLSSTDLPSIKGDRLIIPRESLDIAIERLKEQGITTKKSSQNLKVLAKDEYERNFISAVVPPNEIGVKFDDIGALEDVKRTLDELPCKGVLLFGPPGTGKTLLAKALATEAGANFISITGSTLTSKVDSLLGARGGAFEHEATRRMRNEFMAAWDGLRSKESQRILILGATNRPFDLDDAVIRRLPRRIYVDLPDAQNRMKILKILLAKEKLESDFKFNELANATEGYSGSDLKGRVSNENSFLRPLKLDDFIQAKAKVSSSVSYDATSMNELRKWNEQYGEGGSRTKSPFGFGN >Sspon.08G0028510-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8D:12897840:12898163:1 gene:Sspon.08G0028510-1D transcript:Sspon.08G0028510-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIGIGSDAEVKDMSSNRPLSESASTRSRPSAPRTSVRPSGTARPSLACGSASSTSSSAWQAAADAAPRARPRRAVVHLSTPEFQTSLRRRRECLHAREHQVGLPPY >Sspon.01G0033010-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:111843790:111849151:-1 gene:Sspon.01G0033010-2D transcript:Sspon.01G0033010-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDPPAFKRPKLEKDGNDSTYCPRPASNGAGATAASRAPPRDDVEEEEDDISEEAVVALIAHRERDVERCKLKLLHYQSLLDSAEMKLEEAQSRFARFRDRKPAPSRSEPKLPTPPIQREPKPSPPPNQRDLKPSPQPPMPEKKAPSPAPQQLARPQLVIPGTSNRPAPRPEPMPGLKKAAAPSSSSSPALPGRSRKEEKKTKRKIARWMDWLLYGKWRLEDLLSHFVAKQISFPQSIDGLKI >Sspon.06G0006330-3C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6C:21018532:21018730:-1 gene:Sspon.06G0006330-3C transcript:Sspon.06G0006330-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding EEALWRRTVRDQGPLQPADQCWSPGGPRGARVGAPPCGQARSLQCPQHHPGGDDDRLMLQW >Sspon.08G0002090-3P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:4797673:4799810:-1 gene:Sspon.08G0002090-3P transcript:Sspon.08G0002090-3P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LPSLPPPPMPIRLHVLVSSARHAVSSSVLVCRLIAYHLTPLLLHLTYFLAVDLLGFLALVLLKPSNPGYHPRYVDVFFMSTSAVTVTGLATVEMEDLSSAQLVVLTLLMLLGSEMFVSLLGLVLESSRKQQQQRESHQDHDSRVMAAAVRDEPDLEEANDPAAPSADSSGDGGDRKESCRAVRTLALVVSAYMAAILVVGSVLVFAYVATVPTARDVLARKRINAALFSVSTTVSSFTNGGLLPTNESMAVFAANRGLLLLLAAQILAGSTLLPVFLRLEVGATRGLARALFLFTERGGPVEELVPMDMEKSAAAAGFGHLLPSGSRAASLAATVVAVAAAAATLLCCLNWNSAVFAGLTAGEKLTNAVFMAVNVRQAGENSVDCSLVAPAVLVLFLAMMSVTLLRAKLKHTTRQHVVRSLTDFACQPTTFRCIPASATFFSAHDDGGERKRSGAGEPECRDGAEKKKKRRLSLNSMLLSPLACNAAAVMLACITERRSITVDPLNFSTFNVIFEVMSAYGNVGLSTGYSCSRLPPAAEEATAACHDKPYSFSGWWSDQGKLLLVLLMLYGRLKGFHGQRRRR >Sspon.03G0014660-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3B:69072518:69078113:-1 gene:Sspon.03G0014660-2B transcript:Sspon.03G0014660-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRPSEQAELRRSSFKASVSAADGLRRRVSAMDSIRKESRGSALRRKRCSEAAPHAQSQPPALEKMLANLTQWAAGLYSDDSSMQFEAAREFRKLLSVERDPPIKEVVECGVLPRFVQLLSREDYPQLQFEAAWALTNIASGSSEDTMLVVNIGAVPIFVKLLTSPNEDAVWALGNVAGDSAKCRDIVHAHGALFPLLQLFNGNPRLSLLRTATWSLSNFCRGEPNFEHVKLALLVLRQLIHSEDEAVLSDACWAMFYLSRDTEDNTWIEAVIETGACPRLVELLSHPSPSVLVPSLLVIGSIAAGDEVHTQRIVDHRALPYLLNLLITNQIKSVKKQACWTISNITAGNKEQIQAVIDANIIAPLVHLVRTAEFAVSNEAAWAISNASCGGTHDQIKYLVSQGCINAFCDILGHSDTRVLIVCLDGLGNILKVGEQEKDSGACDVNMYAQMIEDADGLDKIEDLLNNDNDMVYQMAAHLLETFWVVEDDVMPSEGNAPQTGIHNSNQQVPSVDLEGKMWGTGYLDWVFSPRGEEGSCCIRGWVLSSSSEAVVVDSWSLAAAIPIPTPI >Sspon.07G0034990-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7C:84400180:84400548:-1 gene:Sspon.07G0034990-1C transcript:Sspon.07G0034990-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVMSSRPSLLVLSSCLYCCFLMPATHFRRASSLSFNLSFTKPQSPANLDELIKTTGDATIDEELAMKTDGNGRKNPISTSVSIFFGGNGIGFGKYGFENRIGICGHTETNKYGQRAGKLN >Sspon.03G0022220-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:90636247:90641679:-1 gene:Sspon.03G0022220-2B transcript:Sspon.03G0022220-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYLVLSPSPSPSPPHAFQYVSSSSGATACRRRARRGGAFVVAAAASPLDGGPSPAAAAAADAYVLARRVVLLGASAIPFVSLREAAAAPTPVDLVKVYGMSLSEGFVRRKWIDAPRVNHEAENCYETFKIGRNSIICRPKEDKIDETKNIPQFEGTKGIAKPENTQPEGTQAQTTTPEARQRESSLQLMQEQPPENPLVGFLGTVGVAASGVLGGLYGTSLQEKKALQSIISSTETKLAENEAALSLMRENYEKRLLEQQAAQKKQSMKFQEQEVSLSGQLASATKTLTSLSEEFRKEKKLAEELRDEIQRLESSISQAGIDKDVLETRLEEKLGEIDVLQEKISLLSQEIDDKAKHIRELSASLSSKEVDYQKLTAFTNETRRNLQLANSRVQQLEEELNTTKNALASKISSIDSLNAKLETLNSEKEEADKKINELIQEYTDLKAASETRANHDSKLLSERDDEIKQLEEKLSVALTDSSKYQETIVELNKELDATKMMLENELKAMETLKDSIRSSEEALKTSRSEVSKLSKELEEANELNEDLVSQISKLQEESNEMQVDLTNKLGEAESLSKALSEDLASVKEMVQKGQEELEATSNELASIVEARDNLKKELLDVYKNLESTTHELVEERKIVTTLNRELEVLAKQLQVDSEARKALEADLDEATKSLDEMNNSALLLSKELESTHSRNDTLETEKEMLSKALTEQAKITTEAKENTEDAQNLITRLQTEKESFELRSRHLEEELALAKGEILRLRRQISTNSSQKPRAPPRPRGPPETNETLKEQPVNDRNQKSSGVVAGTPQPVKRTVRRRKGGA >Sspon.05G0001120-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:3834097:3836960:-1 gene:Sspon.05G0001120-1A transcript:Sspon.05G0001120-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPTTVPSTSLALPTAARAGARRHAFFSNRSPSSVPARLRRAPRLVMVSASASLEALIFDCDGVILESENLHRQAYNDAFANFGVRCPPASSDPLYWDEAFYDDLQNRIGGGKPKMRWYFGENGWPSSKIFETPPSTDSDKEKLVDIIQDWKTERYKEIIKSGTVKPRPGVLRLMDEVKDAGIKLAVCSAATKSSVIMCLENLIGLERFNGLDCFLAGDDVKLKKPDPTIYITASEDLLCCTQKLGVGSKNCLVVEDSVIGLLVSLPRMSCIITYTPSTASQDFKDAIATYPDLSNVRLEDLKLLLQKTLVTG >Sspon.01G0055710-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1C:82371697:82374996:-1 gene:Sspon.01G0055710-1C transcript:Sspon.01G0055710-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRWETLNHMAYKFGSLGKSDGKLALKILSSIVERSGLDRITYIYCMAVPILIQAQMHSQAMSVLRHLAVTGFSCTAIFTSLLRIISRFDSTNHVVFEILVKAYVKERKAVDAAVAVLLMDDCGFKASPVACNTILNALVEEGKSKHVWLFLRESLVRKFPLDVTTCNILLNSLCANGEFRKAEDMLQKMKSCRLSNSVTYNTILHWYVKKGRFKAALCVLEDLERDSIEADLYTYNIMIHKLCKIKRSARAFLLLKRMRKDDLTPDECTYNTLINGFFGEGKINHARYVFNHMLRQTVVPSVATYTTMIDGYCRNRRIDKALSVLSEMQITGVMPSELTYSALLNGYCKVSMLGPALDLMEDLKSRGITVNKTMCTILIDGFCQVGEISKAKQILKSMLEDGIDPDVVTYSALINGMCRTAKMHETEEILSRMQKTGILPNDVLYTTLICYYCKAGYVKEALKHFVDIYRRGLVANPVIHNSLLRAFYREGMITEAEHFRQYMSRMKISFDSVSFNCIIDSYCHRGNIVEAFSVYDDMVRYGHSPNLCTYQNLLRGLCQGGYLVQAKQFMFCLLDIPSAIDEKTFNALLFGICKYGTLDEALDLCEKMVKNNCLPDIHTYTILLSGFCRKGKILPALIMLQMMSEKGVVPDTVAYTCLLNGLINEGQVKAAAYVFQEIICKEGLYADCIAYNSLMNGYLKGGNINTIKRVMSDMYQNEVYPNSASYNILMHGYVKRGQFSKSLYLYKYMVRKGIRPDNVTYRLLILGLSECGLIDIAVKLLEKMVLEGIYPDRLVFDILITAFSEKSKMHNALQLFNCMKWLRMSPSSKTYSAIINGLIRKNYLDQSHEVLREMLQVGLQPNHTHYIALVNAKCRVGEIDRAFRLKEEMKALGIVPAEVAESSIIRGLCRCGKLEEAVIVFSSMMRSGMVPTVATFTTLMHSLCKESNIADALHLKRLMELCRLKVDVVSYNVLITGLCKEKYISDALDLYGEMKSKGLWPNVTTYITLTGAMYSTGRMQNGEELLEDIEERGLIPVYKQFENLERRMEGAIRRLNMIRNCRKEVPFRGVELLPVDLEPMCNAASDCNPTETRQHKGI >Sspon.04G0000460-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:1473975:1475264:-1 gene:Sspon.04G0000460-2C transcript:Sspon.04G0000460-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKEEDVRLGANRYSERQPIGTAAQGTEEKDYKEPPPAPLFEAEELTSWSFYRAGIAEFVATFLFLYISILTVMGVSKSPSKCATVGIQGIAWSFGGMIFALVYCTAGISGGHINPAVTFGLFLARKLSLTRALFYMVMQCLGAICGAGVVKGFQQTLYMGAGGGANAVNPGYTKGDGLGAEIVGTFVLVYTVFSATDAKRSARDSHVPILAPLPIGFAVFLVHLATIPITGTGINPARSLGAAIVYNRSHAWNDHWIFWVGPFIGAALAAIYHVVIIRAIPFKSRD >Sspon.05G0013100-3P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:23094857:23095738:1 gene:Sspon.05G0013100-3P transcript:Sspon.05G0013100-3P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAPCCEKMGLKRGPWTAEEDRVLVAHIERHGHSNWRALPKQAGLLRCGKSCRLRWINYLRPDIKRGNFTREEEDAIIQLHQMLGNRWSAIAARLPGRTDNEIKNVWHTHLKKRLEPTKPASQAPKRKPKKQQQQQQPEAVTTTLEGPTTGAAPVSPEQSLSTTTSTTSTATDYSAAASSMENASDSFTSEEEDYQIDDSFWSETLAMTVDSSDDSGMQAEGSTFGASSAAPSSDDDMDFWLKLFMQASDMQNLPQI >Sspon.03G0010590-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3A:28803318:28804147:-1 gene:Sspon.03G0010590-1A transcript:Sspon.03G0010590-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSATAEGGRHRCGNGLHSDSAAQEGHDKPTSEKRHIWGLFELDPLLSPYLDTTHATREVSEDSMTEEVAASFAVTDACICATSTMVSYPLSWQRTCFTVTRARSVSDMFSTPPWDDRTFDVRDSSTVRRFKSFTRRVLKKVDSSLIREPPKQPPAKPVLPLRNKRLAAQSLSSRSIASSASELEAFDKIFDGTMTAYNVEALNALFPDGGKGSSRQSRRRKATT >Sspon.04G0018310-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4B:69407116:69408743:-1 gene:Sspon.04G0018310-2B transcript:Sspon.04G0018310-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVTDNEKIESSESVLIIGGGPTGVELAAEIVVDYPGKKVTLIHRGPRLLEFMGEKASKKCLDWLTSKKVDVLLQQSVDVASLSDTEKVYRTSGGETVIADCHFVCIGKPLSSSWLHDTILKESLDGNGRVMVEMDLRVKGYNNIFTIGDIIDIPEIKQGYNAHKHALLVAKNLKLLIKGLPSSELATYSTGYPLALVSLGRKEGLAQIPLLTLCGACQVRSNPGIFSSARRGRRWA >Sspon.02G0043470-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:85271014:85271516:-1 gene:Sspon.02G0043470-2D transcript:Sspon.02G0043470-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LPAELPGFYYDPEKNRYFPIWGPIPGVAVRRPPPPAPAVPPPPADTTGCSRKRARRPELLSAREMYGGGVIFSNNAARSTFKQHCHYAQASQPTVWKYQATTSVADKALEQLDAMVQTPQGLKESRMLVTGSMNGSI >Sspon.05G0019440-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:72526802:72528679:-1 gene:Sspon.05G0019440-2B transcript:Sspon.05G0019440-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding NMATSSTPRTGKTPDLKTIRRLAQNREAARKSRLRKKAYIQQLESSKLKLAQIEQDMQRAPQGIFLGGAPGANTSSGAAMFDVEYARWLDDHGRRMAELHGALHAHLPDGDLRAIVDDTLTHHDELFQLKASAAKSDVFHLITGAWTTPAERCFLWMGGFRPSDLVKTLLPQLDPLTEQQLVGICNLQQSSQQAEEALSQGLDQLHQSLADTMAGGSLIDDTNMSFMGQMALALGKLSNLEGFVIQADNLRQQTLHQMHRILTVRQAARCFLAIGEYHNRLRALSSLWVSRPR >Sspon.04G0025490-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:27273693:27277635:1 gene:Sspon.04G0025490-1B transcript:Sspon.04G0025490-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQMAMPSFTVTSPPSHGGTLSALHARHSQRLHKRHVRSQVRAVAQTQLQYQKLGDSDLLISEVTLGTVCMAMKLETLSLQIMFSSSQGKSFPFQMTFGEQNTEKEAHDILAYSFDQGINIIDTAEIYPVPVNKETQGRTDLYIGRWMQSKPRDKIILATKVAGYSERSTFLRDNTEVVRVDAANIKESVEKSLKRLSTDYIDLLQIHWPDRYVALFGEFSYNPTKWRPSIPFEEQLKAFQELIDEGKVRYIGVSNETSYGVMEFVHAAKAQGLPKIVSIQNSYSLLVRCRFEVDLVEVCHPNNCNLGLLAYSPLAGGVLTGKYLDANADITRSRLNLFPGYMARYNASLAKEATLEYVKLAKKHGLTPVQLALGFVRDRPFTASSIIGATTIDQLKENIDAFTSTPRPLPQEVLDGIEDLFKRYKDPAIL >Sspon.01G0053210-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:33497707:33501151:-1 gene:Sspon.01G0053210-1C transcript:Sspon.01G0053210-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SYCMKVVAWETPREWYCAKCQEYTNGNRGPKHGEGGQTVLQRETLSHSNVVHQISPKSPNRFGNAKVKFISSEEVALLSREKQPFFRSRFAVSQLSKASSPPTTKHLSNMNCVSPSKSDTKVQALKRCSDASHSQTKLEDRSYSAMQQRQVHPASPSSMKQPSNMKSISPGRSDMQVQNLKRCAATRHDQAKTDGSPYFAMRESQLHPASPPHVKQSSNMKCISSNRTATQVHAMKRSAAASPDQAKIDDINMDCETRSGGSMPMIHECRTSEPVKGGEINSQTQLVPREKGMLLPIDEDTGCKSEMESLNQNKDVILAMGSTVEYSRRPAPAKHFRGCFHVFYAGEKLNLGEFKAYFPSKVSSRVTDIVKMMPTDLQLELLPRMNDWPKSFETITPVHEDIGVFFFSNKLCRCEKIHSNLLEGSSNYVLRAYIHDIKLLIYSSEVLPPVITGIDGENYLWGLFVRSKGKSYPWRSGST >Sspon.05G0009150-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:18036139:18039753:1 gene:Sspon.05G0009150-2C transcript:Sspon.05G0009150-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKVDTFTPHNDGHLWRKYGEKKINNTNFPRCTYKDDMNCPATKQVQQKDHSDPPLYAVTYYNEHSCDSAFLPLSPSEFQLQTSSGKAVSICFDSSSGAAAPQEPPAAEATNASGGSPSSSAAAAARRGTPPEISNPPVLRRSETYPWGAGAGAVEQKPASCSTECHDAFSGAAGAVLEEVAVCGKRSRSRHTRCPFAWPPVRMVSSSLIADSSTEEIAAARAPPVRSTQTAHSTLACSVHFVAMETGAARVLSEVLTADGVVLDSQEPDEVVPESQMPPDSITPELLELAPDSMEVVPESLPLGTFVCAWNRAHSRFYPCPRCGLVHADYSNDAMLGRINVDCELFMEHYKGLLPTKEYAKALVEVAPDPGASVYRLDSDSVAALHPTQTPQAPMDYGRQSGRLVDLTITLVIF >Sspon.03G0017660-4D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3D:42311907:42316146:-1 gene:Sspon.03G0017660-4D transcript:Sspon.03G0017660-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding WQVLGTPTREEIKCMNPNYTEFKFPQIKAHPWHKVFHKRMPPEAVDLVSRLLQYSPNLRCTAVEALVHPFFDELRDPNARLPNGRFLPPLFNFKAHELKGIPADNVAKLIPEHAKKQCSYVGLVLARLRISKENSQFLQEIVGFTVKKVYITSPTVQGSTTPSRMPLGKYYCDYCDKQFQDTPAARRRHLQGVQHQRARALWYDSIRHQGQPETLLFPFFPFAVVASSALWSFRLTPLFPSSAEQHGGTSSLLLPDGTLAKGICHHFVRTGACKYGDSCRYFHPKPDGVNPALAAPGPGSGPGPMVQQSDFIGNQPNFVGYQGADRNSSSGNILGGHTSWGNLPPSLQPPPEGGYPPLPFIDWG >Sspon.02G0027740-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:121331873:121334978:1 gene:Sspon.02G0027740-3C transcript:Sspon.02G0027740-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTSRRLADRKSAKFQKNITKRGSVPETTVKKGNDYPVGPIVLGFFIFVVIGSSLFQIIRTATSGGLA >Sspon.05G0008270-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:16844501:16848184:-1 gene:Sspon.05G0008270-3C transcript:Sspon.05G0008270-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSDEETSRLFRIRRTVYEMLRDRGYTVSEEEINLPRNVFIDRYGNPVRRDDLAMNAMKLNDGSSDQIYVFYPNEAKPGVKTIRSYVEKMKQENVFAGILVVQQALSAFARSAVQEVSQKYHLEVFQEAELLVNIKNHVLVPEHVVLTPADKKTLLERYTVKETQLPRIQITDPIARYYGMKRGQVVKITRASETAGRYITYRYVV >Sspon.08G0005040-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:15098376:15099887:-1 gene:Sspon.08G0005040-1A transcript:Sspon.08G0005040-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLITLPESIRSYCSLRELEIVKCWDFSALPDWLGELTSLQKLTVHAAKLEVLPQSIRYLSTLDTLVLKECNFRILEGCTLGKDYDNIKHIKHICYEPERPVLSFCRSGPHIVELQIEDLHLNLRSLSLDRSSYYKGSLLNKLVLEKLQPYDSLEILCIKKYTGVDFPLWMSSLPNLVKVELSDVQIEHLHLDQLQSLEELHISRIQSLGLEVCIWCTESLRKLRRIALSALTKQELKISMGEEVGCEENLFPCLQDFKVRTINPKECQVGKEHNAKVFCQLSIESWGHLSLRRYPKWRSGWVVIRILERSTTL >Sspon.04G0003810-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:11706731:11707000:-1 gene:Sspon.04G0003810-1A transcript:Sspon.04G0003810-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRSNSFGTSWADQWDYGGDPSPRARGRQDGGGGKKQGGVEKTKAAAATGLRKVKEGTASGFQWIKDKCQKKGGGKKQGAHEDSGIAGY >Sspon.08G0009030-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:34507415:34514009:-1 gene:Sspon.08G0009030-2P transcript:Sspon.08G0009030-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTKKVLCKFFMHGACLKGEYCEFSHNWSDQANNVCTFYQKGACSYGSRCRYDHVKVSRNPTVPPPPPTSSAARRVTSTSLQLLSSSQPHTGHQTDSSNQRHQISVDVLAHSASKPAWRNDFQHDIVSDDGIDWSSNRNLLNQTSLKPADLPICSFAAAGNCPYGEGCPQMHGDLCVTCGKMCLHPYRPDEREEHTKFCEKNHKRLEALKLSQEIECSVCLDRVLSKPTAAERKFGLLSECDHPFCIACIRNWRSNSPASGMDVNSALRACPICRKLSYYVIPSVLWYFSKEEKEEITESYKSKLKSIDCKYFDFGTGSCPFGTSCFYRHAYRDGRLEEVVLRHLDADDGSTVIAKDIRLSDFLSRMHL >Sspon.07G0021730-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:3994723:4000783:-1 gene:Sspon.07G0021730-1B transcript:Sspon.07G0021730-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPNAKRLKPCLPPDYLVPNGSGCPDFAESAQFHKVLQGQELLGYRTHDNAAVATSQPCEARNMQYIDERSCSNNVSNSIPGVPRIGVRAPLGNPRFSYHCSGFGESPRFQKVLQGQEVFHPYRGTLVDASLRSSGFHQQGGSHVPTQASKWHPQLHGCAFRGPQAPSIPSQSSSPPSVLMFQRDNPKLSPFEFGHCHLDKNEDRRAMFGHAGGIGGTERTMMLQAHVSGGMGNRDVTIEKFHPTIAVGKDGSDNTEVTKNSCKIFGISLTEKVPAIKEKDCGDTNYPSPFLSLKQQVPKSLGNSCATIHEQRPVVGRAVLRSVDSLRWLMIRYHEDGWIEDADQTCILFIALHFGAILLEREWLQNPRLCLVRT >Sspon.04G0017520-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:72207712:72210606:-1 gene:Sspon.04G0017520-4D transcript:Sspon.04G0017520-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MREILHIQGGQCGNQIGAKFWEVICDEHGIDHTGKYAGDSDLQLERINVYYNEASGGRYVPRAVLMDLEPGTMDSVRTGPYGQIFRPDNFVFGQSGAGNNWAKGHYTEGAELIDSVLDVVRKEAENCDCLQGFQVCHSLGGGTGSGMGTLLISKIREEYPDRMMLTFSVFPSPKVSDTVVEPYNATLSVHQLVENADECMMASTFIGNSTSIQEMFRRVSEQFTAMFRRKAFLHWYTGEGMDEMEFTEAESNMNDLVAEYQQYQDATADDEEEYEDEEEEVSA >Sspon.02G0044630-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2B:103287783:103288010:1 gene:Sspon.02G0044630-1B transcript:Sspon.02G0044630-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFKRSIYLLLDESRLVDVELDAAGGMPVGGRLRGLREGLCSHRRATAQLLGTGRAGAVPCSGGDSAARGERPSC >Sspon.01G0046560-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:94965933:94966505:-1 gene:Sspon.01G0046560-2C transcript:Sspon.01G0046560-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRGTSTVARGRRRGPPLPVRAPHGRWRDTPPPSVAWVQARRSTERPPAPEPARPSAGREPELLSWADLPADILGLVVGRLPRVDDRARLRSVCRAWRAAARVHGRPPPPLPLLVLSDFSFSAFCADGAMVGVRRIPLPSREMWAGVRCVGSFHGWLVGVQLNKGRYFGDGRCFLMNAFYQDVVASHRLP >Sspon.07G0020960-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:89354071:89355776:1 gene:Sspon.07G0020960-3C transcript:Sspon.07G0020960-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VSRLQSEGYYGGVRLLMAICKVFYNHCIQNNISLKAENFTLSYDTDIPRQAGLSGSSAIVCAALSCLLDFYDVRHLIKVEMRPNLILNAEKELGIVAGLQDRVAQVYGGLVYMDFSQEHMDKLGHGIYMPLDVNLLPPLYLIYAENPSDSGKVHSTVRQRWLDGDEFIISRMKEVAQLAFDGHKALLQKDYTKLARLMNKNFDLRREMFGDDVLGSVNIKMVEVARSMGASSKFTGSGGAVVALCPDGDSQVEHLCKACEAAGFVVQQVKVAPSMLTDAELSNLLAS >Sspon.01G0030830-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:106311731:106316669:-1 gene:Sspon.01G0030830-1A transcript:Sspon.01G0030830-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MCFLMDPNLGRNVCYVQFPQRFDGIDRNDRYANRNTVFFDINLRGLDGIQGPVYVGTGCVFNRTALYGYEPPVKKKKPGFFSSLCGGRKKTSKSKKRSEKKKSQRHADSSVPVFNLEDIEEGIEGSQFDDEKSLIMSQMSLEKRFGQSSVFVASTLMEYGGVPQSATPESLLKQAIHVIGCGYEDKTDWGTEIGWIYGSVTEDILTGFKMHARGWRSIYCMPKQPAFKGSAPINLSDRLNQVLRWALGSIEILFSRHCPIWYGYGGRLKFLERFAYINTTIYPLTSIPLLLYCILPAVCLLTGKFIIPKISNLESVWFISLFISIFATGILEMRWSGVGIDEWWRNEQFWVIGGISAHLFAVFQGLLKVLAGIDTSFTVTSKATDEEAFFTFWVIVHLYPFLKGLMGKQNRTPTIVVVWAILLASIFSLLWVRIDPFTTRVTGPDIGKCGINC >Sspon.07G0029560-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:70079919:70083627:1 gene:Sspon.07G0029560-2D transcript:Sspon.07G0029560-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQPSKEPCKKEACDIQACLSKNMFDSRKMTRRGIGNADILNLQNDALNSDSCPDFSKILQLVKLQAKPKAQPKFWRNQTLNSHTTTQEKNF >Sspon.03G0000550-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3B:9242589:9243849:1 gene:Sspon.03G0000550-2B transcript:Sspon.03G0000550-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVADHVQVVAVVVLDHAGRGLHHAGIIQRKRLGTVPHELVDPGLDVVEVVAADERRTIPLPNDDRHDGIRRDLLPKLLAIIVGDAQRLLPLSRGQQRRVDGREQLLQVHVLQHRLAGARERLEALPPLLVETALLLAQLAIDVVQVRVLRPLVRWQPAVPFDDDARVGLPHGRRAAVGPGADERDVDVAELRELFRLLHEPRLPPREDLGAIPCVADEAHLDLAARH >Sspon.03G0009690-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:3212596:3213339:1 gene:Sspon.03G0009690-1P transcript:Sspon.03G0009690-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLPAEQCYYYHAEDGGCGGPVDCTLSLGTPSTRRAEAAGVVHASAGGGGGGGGAAALMEEPRQEGSPPRRCANCDTTSTPLWRNGPRGPKVCRHDPTFFFLFAWRAVRPVGLSCQYAIVMHASRAGLVSRSPARSLLLQSLCNACGIRYKKEERRAAAAVAPAPPTHDSGVRPYECGGYARPPPPQQQQQWGCYGPAAGKSAASYGMDGGDGVVDADGPCLSWMLNVVPSSPAFAVRERHTLFQYY >Sspon.06G0021950-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6B:21194529:21195402:-1 gene:Sspon.06G0021950-1B transcript:Sspon.06G0021950-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKDDKDTERSGRQFDTDVPMSKVPVTEVFLGPQLQIGPHSQVVAVGRGYKSGKLLAAAGIVTDVPTEKYNLYVQKHLGALQLDFCSG >Sspon.01G0019570-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1A:73199748:73200762:-1 gene:Sspon.01G0019570-1A transcript:Sspon.01G0019570-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEKLQISVGPPFYSKKIWEELHQAVRKHGELKGFCFDSDQQGYKVAQQTVSGDRSKGKGLDQQCTVDIKHDVVAAGKKVLPNQDVPEPLALQKNFMNPLWISDVQSKELLMLLQKKGQFTWDEVVVMVLHMVRCREFTKYDPKINLVVCTRFCLFNIAFFDLNKESEVVPRPPISKIPVSDLWRLEDSVNVISIKVAESDVGYPINVYGTVLARDEYDYRCIYLFKRGRDNPQLITSPAMK >Sspon.03G0019200-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3B:81903306:81907852:-1 gene:Sspon.03G0019200-2B transcript:Sspon.03G0019200-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQWAQHPQAETALSNILPCVDESTTNRTLYQSKEVVVRLVGIVNRAISALSNRRPHHKHPGQFMPYLCSPYDSNLNDRPCKYREVTFENATTAWLNYTCMAQDTDLCSGNKTLTYDIYGQLVLAANVSYALYHYAPFLLNLQDCKFVRATFSAIASQYCPPLEHDLGLVSAGLALIASGFVLYLIWMLFADRPQREEVSDLASGSRITPVDSSSP >Sspon.07G0008640-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:20631907:20634297:-1 gene:Sspon.07G0008640-3D transcript:Sspon.07G0008640-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CDKD [Source:Projected from Arabidopsis thaliana (AT1G66750) UniProtKB/TrEMBL;Acc:A0A178WBE6] MASAGNSGSGDDDAGGKLLADRYQKGEVLGEGTYGVVFKAIDTKVPFPHQSPKPSLSDLRAATKSRIRAWLVRSIRLRAWPGWSRCARVTADVGCVLTVAVKRIRIGKDKKEGVNFTALREIKLLKELKDPNIIELIDCFPYKENLHLVFEFMETDLEALIKDKNIILSPADTKSYVQMMLKGLAFCHKKWVLHRDMKPNNLLIGADGQLKLADFGLARMFGSPGRNFTHQVFARWYRAPELLFGSKQYGSGVDIWAAGCIFAELLTRRAFLQ >Sspon.01G0025380-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1A:89857270:89857557:1 gene:Sspon.01G0025380-1A transcript:Sspon.01G0025380-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MWLAAPGPDPGPDQLIGSPPATVMVSAPRAPGGRSGAAGQQPRKAAAPCTCAPSLLDAAGRYAVCLCTPPEIKPRRSHTLPFQFQPYPRLFATRP >Sspon.02G0030610-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:112164397:112168788:-1 gene:Sspon.02G0030610-1A transcript:Sspon.02G0030610-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding PMDAMDSKQSKGSNKKSKSLLGKYELGSLLGRGTFAKVYLARPVAGGEAVAVKVLDKAEVMGTAGMAPRVLREVTAMRRLRHPNVLRLHEVLATRAKIYEVLRRKAYDGAKADAWSCGVILFVLLAGHLPFDDSNIAVMCRKAHRREFEFPEWVSQPARRLVSRLLDPNPATRVAVETLTTHPWFKRSLSVDSQLGGLLNGQQERALAFQAPAMNAFDIISMSSGLDLSGLFDQRNRERRFMTTASPERTLEELGRAGGKLGYVVVGKKGVDCLPLGGLPGLAAMTVEMSEVAPQLMLVELRLEVVDGDGDGEGQGFGWEELKHELGQLPAGRCTAAPRAPWRPSLASLFLIVGVPG >Sspon.02G0038140-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2B:39171648:39171854:1 gene:Sspon.02G0038140-1B transcript:Sspon.02G0038140-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRAFSSYCTASRPPPWRNTSTSAAATFFRPPSVVMASFGHGAPLPQPGPVASITARALNRPAAVPTG >Sspon.02G0033050-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:4060460:4064070:1 gene:Sspon.02G0033050-1B transcript:Sspon.02G0033050-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VAELDKLYSIATNGSEEEKTAAAKILCGASLVRGWNIQLLSASLPSDSSTSTPGSMSYYLAHMSTLNEILLGVSYGDAIHILSLYGMVPDVAVALMPLCEAFGSIAPPPNHKSTILGETSVYSVFSCAFLCLLRLWKFYRPPQEYCLAGRGGSVKLELTLDYLLLMRNNRIEFSNSSAPNRDSYNNMGSVNEVPAQPIYIDSFPKLRAWYFQNQACIASTLSGFCNKNPVHQVANKILNMICRKMNKREAVPFVLEAVLTACAHGRLSSRDLTTSLRDLVDFLPASLAAIVSYFSAEITRGIWKPVPMNGTEWPSPGASLHSIEAEVKEILASAGVQINSCYPRGVPPMLPLPMAALVSLTITFKLDRSLEYIQAVIGQALENCAGGVPGQACPLLGHCGHRKCEDGMTSSSSLVCFLHLAETKMQLRSSSKSSPSGSDIIANRGVGALLGDSITNQGLRLPMAPGFIYLRTCRTFHDTYFISEVILKQVIEWAHKLANGWSFNGPPQLKSGRTPLSCAASMAHQIALLGGGLLCIAGGPLVVQVLYEETLPTLLLSAREQSLKDPGPVSSTLQGYAMANMLFYSGSLLWGADRTDPVMKLSFLWRRPRVVRNHMDFIAGVLDGHILLGCDPGTWKAYVSQFMFLVVKFVPLWLRDIKLETLKKIAAGLRSWHEHDLALSLLERGGPQAISVVVETLL >Sspon.02G0009520-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:21377463:21379133:1 gene:Sspon.02G0009520-3D transcript:Sspon.02G0009520-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGEDDVPEWMMEVGGAGGKGGKGGGGGALDKNKKRFSEEQIKSLESMFATQTKLEPRQKLQLARELGLQPRQVAIWFQNKRARWKSKQLEREYSALRDDYDALLCSYETLKKEKHTLLKQLEKLAEMLHEPRGKYGGNADAGAGDDVRSGVGGMKEEFTEAGGAALYSSEGGGGGGGKLAHFTDDDVGALFRPSPQPTAAGFTSSGPPEHQPFQFHSSCWPSSTTEQTCSSSQWWEFESLSE >Sspon.04G0011920-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:36717662:36723065:1 gene:Sspon.04G0011920-3C transcript:Sspon.04G0011920-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAAALASPAAIRASPVRRGLVSFAPALRSGPDRSSRAVALPGARNHVAAVDQAIVQGETKLEGPVVVVTGASRGIGKATALALGKAGCKVLVNYARSSKEAEEVSKEIEASGGQAITFGGDVSKEADVESMIKTAVDTWGTIDVLVNNAGRYGRPEDVAGLVEFLALSPAASYITGQVLTIDGGMVM >Sspon.03G0011060-4D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3D:34735853:34739719:1 gene:Sspon.03G0011060-4D transcript:Sspon.03G0011060-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQTAVFLSAAAPSFSTRRRRSRLPAISASLSPSSSSSSSSEEPLLVRAARGEDGLPRPPAWMMRQAGRYMAEYQALAKRHPSFRERSENTDLIVEITLQPWRAFAPDGVILFSDILTPLPAIGVPFDISDSKGPVIQSPVRSEEQVRELVPIDLDMLQFVGESLKILRNEIVSRIKKECPHVPLVFYINGNGGLLERMKDTGVDVIGLDWTVDMADGRRRLGNGISVQGNVDPAFLFSPLPVLTDEIHRCDPNLANRVLTEGMFPAPYFFTAAGGLETGPTPALLLQARCLPVPFVCSSPALLRTLVSLVAALQPGRGRAPCMRRAPAARRIAVCAQPLRCVPSLESALRIGYYGRKVVVDTPFAGSSLLACIHGYD >Sspon.03G0003800-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:26250297:26267479:-1 gene:Sspon.03G0003800-3C transcript:Sspon.03G0003800-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFKFLKEVVAGSGSGLKDFPYTIGEPYASAWGSWTHHRGTSKDDGSPVSIFSLSGSNPQDRHMVAGRNGVKRLRTVRHPNILSFLHSTEAEVADGPAMKHTIYIVTEPVMPLSEKLKELNLGGTQRDEYFAWGLHQISKAVSFLNNDCKLVHGNVCLASVVVTQTLDWKLHAFDVLSEFDANNEASGSPMLQFEWLVGTQYKPMELSKSDWASIRKSPPWAIDSWGLGCLIYELFSGAKLARTEDLRNTASIPKSLLPDYQRLLNSTPSRRLNPSKLIDNSEFFQNKLVETIQFMEILNLKDTFEKDSFFRKLPNIAEQLPREIVLKKLLPVLASSLEFGSAAAPALTVLLKMGSWLPADQFSIKVLPTIVKLFASNDRAIRACLLHHIDQFGESMSAQTVDEQVFPHVATGFSDTDGTIRELTLKSMLVLAPKLSQRTISGSLLKYLSKLQVDEEPGIRTNTTILLGNIASYMNDGTRKRVLINAFTVRALRDTFPPARAAGIMALSVTSSYYEVTEIATRILPNIVVLTFDPDSDVRTKAFQATDQFLQIAKQHHEKLTTGDNRVAESTGVKLKPGNAGLLGWAMSSVTQKGKPSDHAPISTANASNSQASTTSTAAPDTQASTLAYAPSTSSSLDQAAPASARSSVDGWGELEDGNIHEENSSDKDGWDDVDPFEDKPSPSLLSNIQAAQKRPVVQPKQAVANSSKSNPLKAPKSEDDPLWGPIAAAPPKSAVKSADIKPSTSHNDEDDLWGSIAAPPPKSSGKPLKPAAANSDDLWGAIAAPPPATKARPLASSGRGRGTKPAQPKLGAQRIGRTSSTGITSGSVHPI >Sspon.05G0002880-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:8541218:8545839:-1 gene:Sspon.05G0002880-1A transcript:Sspon.05G0002880-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFDPLEWYCQPVKNGVWSLVVENAFGAYTPCGTDTLVVCISYLALFGVCFYRIWRTTRDYTVQRYKLRSPYYNYLLGLLVVYCIAEPLYRIATGTSIMNLDGQTGLAPFEIVSLIIESAAWCCMLVMILLETRIYINEFRWYIRFVVIYVMVGEAAMFNLVLSVRQYYSSRFWLGGFFKSMQKGDPSWSGYIYAFSIFAGVSLGVLAEAQYFQNVMRVGFRLRSTLIAAVFRKSLRLTNESRRKFASGRITNLISTDAESLQQVCQQLHSLWSAPFRIVISMVLLYAQLGPAALVGALMLVLLFPIQ >Sspon.01G0030720-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1A:106114563:106118260:1 gene:Sspon.01G0030720-1A transcript:Sspon.01G0030720-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRTTTGTTSTNTSMSKI >Sspon.07G0010230-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:30836716:30840850:1 gene:Sspon.07G0010230-1A transcript:Sspon.07G0010230-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Patellin-6 [Source:Projected from Arabidopsis thaliana (AT3G51670) UniProtKB/Swiss-Prot;Acc:Q9SCU1] MSPTTTPSPAPVAASASKGAKRSLMSSLMDATALLRSSSFKEDSYVAAALPSSELRALADLKALLATHPDPISIWGVPLNAHSPPAAADDAAPAPTPAPVDERADVVLLKFLRARDFRVRDAHAMLLRCAAWRAEFGADAVLDEDLGFKDLEGVVAYMHGWDRDGHPVCYNAYGVFKDRDMYERVFGDGDRLARFLRWRVQVMERGVRALTLRPGGVNAIIQVTDLKDMPKRELRAASNQILSLFQDNYPEMVARKVFINVPWYFSVLFSMISPFLTERTKSKFVIAREGNVAETLYKFIRPELVPVQYGGLSRAGDLENGPPKPASEFTIKGGEKVFLEIDGIEAGATITWDLVVGGWDLKYGAEYVPAAEDSYTLCVEKTRMVSATAEEPVHNTFTAREAGKMVLSIDNSGSRKRKVAAYRYFVRKPSV >Sspon.03G0012580-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:51216275:51228417:-1 gene:Sspon.03G0012580-3C transcript:Sspon.03G0012580-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LSRPGKVILEEVAAAAEAAEGEAGGGMEDVVAVVAPLAVPPTPAFSPAAAGLTPIAAAVVGAMEGISVPPVRTASAVDDDALAPEGEGGDASVAGSPCSVASDCSSVASADFEGVGLGFFGAEGGPMVFEDSAASAATVEAEARVAAGGRSVFAVDCVPLWGYTSICGRRPEMEDAVAIEPRFFDVPLWMLTGNAVVDGLDPMTFRLPAHFFGVYDGHGGAQVANYCRERLHVALLEQLSRIEETVCAANLGDMEFKKQWEKAFVDSFARVDDEVGGKAIRGGGGEAGTSNAVVLAPEPVAPETVGSTAVVAVICSSHIIVSNCGDSRAVLCRGKQPVPLSVDHKPNREDEYARIEAEGGKVIQWNGYRVFGVLAMSRSIGDRYLKPWIIPVPEVTIVPRAKDDECLILASDGLWDVMSNEEVILEEVAAAAEAAEGEAGGGMEDVVAVVAPLAVPPTPAFSPAAAGLTPIAAAVVGAMEGISVPPVRTASAVDDDALAPEGEGGDASVAGSPCSVASDCSSVASADFEGVGLGFFGAEGGPMVFEDSAASAATVEAEARVAAGGRSVFAVDCVPLWGYTSICGRRPEMEDAVAIEPRFFDVPLWMLTGNAVVDGLDPMTFRLPAHFFGVYDGHGGAQVANYCRERLHVALLEQLSRIEETVCAANLGDMEFKKQWEKAFVDSFARVDDEVGGKAIRGGGGEAGTSNAVVLAPEPVAPETVGSTAVVAVICSSHIIVSNCGDSRAVLCRGKQPVPLSVDHKPNREDEYARIEAEGGKVIQWNGYRVFGVLAMSRSIGDRYLKPWIIPVPEVTIVPRAKDDECLILASDGLWDVMSNEEVCEVARKRILLWHKKNGTSSSSAPRFGDSADPAAQAAAECLSKLALQKGSKDNITVVVVDLKAQRKFKSKT >Sspon.05G0035800-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:80821432:80824325:1 gene:Sspon.05G0035800-1C transcript:Sspon.05G0035800-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQHQISSGRQPVIATERDRLEIDTLKDIGSNILGRCGGLPLAIKVIGGLLRQRPSGRTEALKHIGLSGCEKMVRLPNGIVKLKQLRSVYLGEAGLENVHPSSLAAKAMLCSKKHLGRLTLKCSSIIEDDGWSVKRVVTEEEERHIEELTDTTSPGLSYHARALKQLNLRNVHCLSYLENFPCVVELSLKCCHSLKRITNLPSLQKLAISCCSEMYVLEGVPALRYLNLQSSNMERLPTYLRGLNLTRLYLCCELPLLCSMALGKSTYDWNKFCHIRRVEAHTHNGPNGVFTVTYTRDPRYLETNISSALFNLLG >Sspon.01G0023380-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:88729896:88730726:-1 gene:Sspon.01G0023380-2B transcript:Sspon.01G0023380-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSNSPCAACKLLRRKCTQGCVFAPYFPPDNPAKFSSVHRVFGASNVSKLLNELPQAQREDAVNSLAYEAEARLRDPVYGCVSYISVLQLRIKQVREELAAARKELAGYIGPAAFAPFVAAPQYHHHQYAAGGVPLAAATGMGLAVGVGVGVGPQHGQHQHHQQQIMVHQHQHLHHQQQMAAAEAQQQLAAAVEVAREQQDLMMRQAAVYAHAVPGSSGGGGATVAVVPPDAVPYEGGFLFQQQQPPPSQAQTAVALTYQMEQSPPPSSSGQSHPE >Sspon.01G0022620-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:82490544:82502300:-1 gene:Sspon.01G0022620-1A transcript:Sspon.01G0022620-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGEMEDVRAAAAAEEVISSRGGSVLGKKTILKSDHFPGCQNKRLTPQIDGAPNYRQAGSLRVHGVAMPTMEGIANVLNHIGAHKKGKQTRILWHSLREEPVIYINGRPFVLRDVERPFSNLEYTGINRERVEQMEFRLKEDILQEASRYGNKILVTDELPSGQMVDQWESVVYDTVKTPLEVYEELQHQGYLVDYERVPITDEKAPKEGDFDNLVRRISQVDIETEIVFNCQMGRGRTTTGMVIATLVYLNRIGASGIPRTGSIGKVFYAGNDVDDYMPSSEEAILRGEYAVIRSLVRVLEGGVEGKRQVDKVIDKCDSMQNLREAIATYRNNILRQPDEMKREASLSFFVEYLERYYFLICFAVYVHSVSSAHQTTLSVEVSFSDWMRARPELYSILRRLLRRDPMGALGYSSSKPPLTKIIESANGRPQDMDVVAAMRNGEVLGRLTVLKSDHCPGSHSLNLPERVEGAPNFREIPGFPVYGVANPTVDGIRAVIQCISTSKGGRPVLWHNMREEPVIYINGKPFVLREVERPCKNMLEYTGIDRARVERMEARLKEDILREAERYGGAIMVIHETDNGEIFDTWENVDNEAVLTPLEVYKNLESEGLPIKYARVPITDGKAPKSSDFDTIAFNVTSASKNTAFVFNCQMGRGRTTTGTVIACLLKLRIDHGRPIRIPVCQYGHEDDAIYSAGGDTADHNSHLNSESWKPRTLTKLNSGFGINDILLLRKITRLFDNGIECRQTLDTVIDKCSALQNIRQAVLKYTRVINQQHVEPRVRRVALNRGAEYLERYLKLIAFSAYLGSEAFDGFCGQGETKISFKGWLQQRPEIQTMKWSIRLRPGRFFTVPHEQKATYPPPQDDVTMETIVKARSGSVLGKGSILKMYFFPGQKRSSSVNFRGAPHVFKVDGYPVYSMATPTIDGASDVLSYLGSKDTTGRSIGQKVVVTDLREEVVVYIKGSPFVLRELDQPVDTLKHVGISGPMVENIETRLKEDILSEVKQLGGRLLLHQEEFNAATSQCSVVGYWEHIDLEDVMTPAEVYSSLRDKGYCIDYNRIPLTREREALAADVDAIQSLIDESARYYLFISHIGYGGVAYAMAITCLGLGADAKFVMEETAETHFVSTSLAKNVSIKTKTDIALRQGDYRDILNLTRVLVHGPKSKEEVDTVIDRCSGAGHLREDIMHYRKALQDCSHDDDDDDDEEHSYLTDMGTKALRRYFFLITFRSYLYSTRSCETTFTSWMKARPELGHLCDNLKLDK >Sspon.01G0018560-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:69995171:69996664:1 gene:Sspon.01G0018560-2C transcript:Sspon.01G0018560-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGSVTLKQKKRVKQAKNKYLKPGALAQIRYSRSTSRDIGKKRILLNAKDELELPPQPEVLLENNTSILSPARLNFEPFDSNKGQILPKTPKTPDASVFGGDSRLESLPLDLLIKIMCCLHHDQLKAVFHVSKRIRKAVELARQYHFNYTTPDRSRQELLLNKTPLPTEHWPFLRIDGKDVRVSTPRTPRAPKHAARLSRLRLVDVKPITAVLFQESPTPFPSKRLRRSVPPGLPRPVCKAAPSPRVLRYEEELCEAVAQNKLL >Sspon.08G0009910-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:42493841:42494386:1 gene:Sspon.08G0009910-2C transcript:Sspon.08G0009910-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPKTTSIVLATVLALAMVSLVAGTKGLATYYTPSYTPSACYGYQDMGTMIAAASEVLWNGGAACGDRYVVSCKGATNDGVPHPCTGRTVTVQIVDLCPSPGCQGTIDLSQEAFAIIANPDAGKVQIEYRRYVRRIILLASI >Sspon.05G0023210-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:1745321:1747832:-1 gene:Sspon.05G0023210-2C transcript:Sspon.05G0023210-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLISMMEARLPPGFRFHPRDDELVLDYLCRKLSGGGGAAYSGIAMVDVDLNKCEPWDLPDEACVGGREWYFFSLHDRKYATGQRTNRATRSGYWKATGKDRPISISGRRGAGALVGMRKTLVFYQGRAPRGTKTEWVMHEFRVDGPAVADRPGSPLLQEDWVLCRVFYKSQTTTTRPAAGPDEAGSLSTSSELIGLPMPQMAPADDAYLSFDNTPAAGGYYDYHHDPGLADAHHLPLPAATQPFSQSSSLSSFRDLLSSMVEGSDAAVRETTELHLQGWTEAAYAQQQGGVMSSRSQLTWN >Sspon.05G0008230-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:19428606:19429636:1 gene:Sspon.05G0008230-2B transcript:Sspon.05G0008230-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein STAY-GREEN LIKE, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G44000) UniProtKB/Swiss-Prot;Acc:Q94AQ9] MTFNAAKLKVKFAGEDKQPPPFPRAYTLTHCDLTANLTLAQLRSWQSTLQRDDVVAEWKEVTTAANAGEREMTLQVHCFVSGANLLQELAAGFRYYVFSKELPLVLKAVAHGDAALFAERPELMEAKVWVHFHSTSRKYNRIECWGPLREATRRNHNHLLDLDGRRLDQQLQSAITKSKRRVFNALLALLL >Sspon.02G0014430-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:36187147:36190998:1 gene:Sspon.02G0014430-2B transcript:Sspon.02G0014430-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MANTAATSRRSALSSSPLSLLLCVSLLLSSTVQQPPSTQAQQAAVQAPDPSFMFGWLNNKWAYTAGDTAVIQIMSLDLRAAAAVRASLSFTFSVNGKEGNSRYVTDVAANIGADPNAWTVSFVPLRAGNFAALVGEKRFVAAEWPLTFTVAAAGVHPSASRASWTFPGRRVVAGSRAFVSISPPGRLRQRHRARTRHAWLLQGVGLLPQRVKPWSSGIFTTTGGRRMDASASSSGDLLVHVYGDDRELRDSPLMLTVNPGVLNIAVSTCSWKHGINTLQLSSKLEIFIYQRDSFGNIVPDIHPFDAQVVDKATNLSIPIVDLAMEAVGDGVQLLSFNVAGQFFLTVFDAQLDERVSNTVHMFDVFVGYCDGSNSFANGSGLPNSTAGSTSSFSVFLLDHYRIPSPVETARLQVKILGKNATSYADPIITPAREPNGPAGHQEASTLFFSLILISGMITEILYIIAGNSNVQTSKFNVSYTPQIAGEYEIWVLCGNIVLNGGNPYAMTVLPGAINMSLSSVVKFDPKVKLSVENEVVVRLVDSFMNPVVSFKSKLKFQLISASITSTTSFVAKEFVDNGDGSYTAHYVARGLGSYGICVLYEDKQLNPCPFDVTVLAVGPESNSDELYLFADEYFSDVKNDTVSVWEDESISFDVLSNDRIAGKPERSRSRSRVPRRSLSLHLLRILF >Sspon.02G0008450-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:23396537:23399214:1 gene:Sspon.02G0008450-2B transcript:Sspon.02G0008450-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding FVFVATSRPGCVDLWRHVASPNSPTRPESGEAGLRFAPPPPATMSLASIFVFLLVFALQLLDRYLDLARKRGAHSDELIKLRLEIKQLLKEADQLSTPSTFAQAAKLKRLAAAKEKELAKMQEQDLKGKQSLYDKYAKFLLVTKVIIYAVLVLCFWSTPVTTVPQHLLQPFGKVFSWRGVDTATGHVVVGILPWLFLTSRVSKLLCQKFGFILVRP >Sspon.01G0048290-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:108144483:108147445:1 gene:Sspon.01G0048290-1B transcript:Sspon.01G0048290-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DCDCELLNRLIQLLPAHRSRGPATPRLPPNHSHEFLPPQNSRSPPSLPRVSTALAAGRRRAMDPFFLLQLPRASPTAPGGHGRRAIELQEAKRKRRCAPRAEAAAAPRRAAVRVRRGDRDAADRGGAAVAVAVREGPVRLAGPVVPIRPVCDLDPRAPHGKTVLGLSVVAWYDRGAGEWRVFDDACPHRLAPLSEGRIDDKGRLQCVYHGWCFDGAGACKFIPQAPALGPPVHTNPKACVASYPCVVQNNILWFYPRADPKYKDVLQRKRPPLIPEIDDPEFVTVYGIRDLPYGYDVLVENLLDPAHVPYAHKGIMRGIRKKEDPGRYVPDLTRVSSLMFGIANEVVLPVHTIRWAYSVADNACCLVCCIADRSCLFFFTVEYDKEGGGPAKMKIEQANIQGFVSPQERGYFQFIAPCTSLGGLFSQEDKKKKVPRVMLVFFCIPVSPGRSRVIWAFPRNIGIWLHHITPRWLYHVGQNLILDSDIFLLHVEERKFAAAGLDNWQKACYVPTSSDSMVVAFRNWFRKFSKNQIGWATPQIDQLPPTPTKDQLMERYWSHVAQCTSCSAALKAMKALEVVLQVASVAVVGFLAVAKGTLVTSTVQRAAVVSAAVLCFAASRWLANFIQKNFYFQDYIHAYK >Sspon.06G0007600-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:30889108:30901241:-1 gene:Sspon.06G0007600-1A transcript:Sspon.06G0007600-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFTSLIHHAGRARPDVAAAEDAPRSGGGLGLHGHGGGPSVVLTADPKPRLRWTADLHDRFVDAVAQLGGPDKATPKAIMRTMGVKGLTLFHLKSHLQKYRLGRQSGKELTEQSKDASYLMEAQSGTTLSPRGSTPDVKESQEVKEALRAQMEVQRRLHEQVEVQKHMQIRMEANQKYIDTILDKAFKIVSEQLSGFSISDQDPPILTSAGVMLSPADHLSSSVFPQLSVSSVSIHSPGRKGLPHIADSHVLPEAT >Sspon.01G0021030-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:77409887:77410963:-1 gene:Sspon.01G0021030-1A transcript:Sspon.01G0021030-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIALRCLIGPQQKVVLRVPTMTDDKIKQKAIEAVADIYGIDSIAADLKENKMIIIGEMDAVAIAKKLKKIGKIDIVSVGPAKEEKKEEKNEEKKEEKKEEKKEEKKEEKKEE >Sspon.08G0005990-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:18743787:18745177:-1 gene:Sspon.08G0005990-2C transcript:Sspon.08G0005990-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GRAS family nuclear protein, Control of tillerin [Source: Projected from Oryza sativa (Os06g0610350)] MLLACLHRRLRPPPFLRGLVAPLKKKKLGLGGTTVEAMLSSLHHHHSSSSSDTDNNNGNSGNSGGVLAAAVPSARDLVLACADLLQRGDLQAARRAAGADVRAAAAGRVVAPGLVAAAATSSAAARPASSGAYLAFNQIAPFLRFAHLTANQAILDAVEGARRIHILDLDAAHGVQWPPLLQAIAERADPAAGPPEVRITGAGADRDTLLRTGSRLRAFARSIQLPFHFTPLLLSCAATHHHQQVASTSTTTTTSSAATSLELHPDETLAVNCVMFLHKLGGQDELAAFLKWVKAMAPAVVTVAERETIGGGFDRIDDLPQRAAVAMDHYSAVFEALEATVPPGSRERLAVEQEVLGREIDAALGASGGRWWRGLERWGAAARAAGFAARPLSAFAVSQARLLLRLHYPSEGYLVQEARGACFLGWQTRPLLSVSSW >Sspon.06G0006820-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:25472721:25473770:-1 gene:Sspon.06G0006820-1A transcript:Sspon.06G0006820-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSDGLALLALSKSLILPSSLRSNWSASDATPCAWNGVGCNGRNRVISLDLSSSGVSGSIGPEIGHLKYLQNLSLSANNISGSIPPELGNCSMLELLDLSQNLLSGSIPASMGNLKKLSALSLYYNSLNGTIPEELFKNQFLEQVYLNDNQLSGLIPFSVGETTSLKSLWLLENMLSGVLPSSIGNCTKLEELCLLDNQLSGSLPETLSEIKGLRIFDASNNSFTGDISFSFEDCKLEIFILSHNDIKGGIPSWLWNCRSLQQLGFVHNNLSGQIPPSVGLLTNLSYLVLSENNLSGPIPPEIGNCRSLLWLELASNRLNGTIPHALVSNCQSLERLNLRDNNFSGSIM >Sspon.06G0010640-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:46483258:46487002:-1 gene:Sspon.06G0010640-3C transcript:Sspon.06G0010640-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding KLRNTAITRANSACFPMGDRVGVKYNSVNEGEERKGGHGIPKVSMVPLIFLIFYEVSGGPFGIEDSVKAAGPLLAIVGFLLFALIWSIPEALITAEMGTMFPENGGYVVWVSSALGPFWGFQQGWAKWLSGVIDNALYPVLFLDYVKSSVPALGGGLPRTLAVLILTVALTYMNYRGLTIVGWVAVFLGVFSLLPFFVMGLIAIPRIEPSRWLEMDLGNVDWGFTLAGEVENPKRTLPRALSYALVLVVGGYLYPLITCTAAVPVVREYWSDGYFSDIARILGGIWLHSWIQAAAALSNMGNFLTEMSSDSYQLLGMAERGMLPDFFAKRSHYGTPLIGILFSAFGVILLSWMSFQEIIAAENYLYCFGMILEFIAFIKLRVTHPNASRPYKIPLGTIGAVLMIIPPALLIIVVMALASYKVMAVSILAMVIGFVLQPCLGYVEKKRWLRFSISADLPDLPDAQGTAEDDAVPLLAKQVQLQKPLLQERCGVDEDIIDCCRYVTVLRTELTHFKEKAR >Sspon.08G0007040-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:19482665:19483972:-1 gene:Sspon.08G0007040-4D transcript:Sspon.08G0007040-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPRPRIRAVRRGPLLLFLLVPLIYSVSRLHPWAPEKGVCLPPPTALKRPYRLVLGPAAGQGRPDRLQCQGLRALNKIGLSSEENYSGEHISFVTVFTTYNSVPAGDGNVPSDSVTVGNHSYSKIERSMAILNTFISFIK >Sspon.07G0024540-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:24328922:24332107:-1 gene:Sspon.07G0024540-2D transcript:Sspon.07G0024540-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLKFRHFARCCIGGSERAPASAPVPAVSLPDNDDLLREILLRLPPLPSSLPRASLVCKRWRRLVADPFFLRRFREHHHGTPPPLLGYFFSDPGGPVFVPTMAPPDCIPPERFSLPRQPGAAGERLFFLGCRHGLALLINRRRLHAVVWDPVAGCRATVAYPPEFTTDNGAHCCRGTVLMSTAAATADGVGDGQLRPFKVILIRTDDVHDGHIRVSMCVYESKTGKWGHTISTVIIPLSVSNLPSVLIGNTLCGFLRWPNGILEFDLETHSLGIIKTPKSLCPIDRSFFQVVRTQDGELGLAILYKLTMELWKRKASSPDGAVGWVLKKTIQLDNLLPIPRMNMVDSNLSSARILGFDEDNNVIHVSTFTSGAFAIRLDSMKFTELFNVENDLVY >Sspon.05G0007380-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:23109333:23112811:-1 gene:Sspon.05G0007380-1A transcript:Sspon.05G0007380-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIASSSSANPESRAMALAKAKEIVASAPLVVFSKTSCPFCVRVKQLFEKLGASYKAIELDVESDGPELQNALKEWTGQRTVPNVFINGKHIGGCDDTMALNNNGKLVPLLTEAGAIAGSTSKTTVTA >Sspon.06G0007530-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:30483313:30488536:1 gene:Sspon.06G0007530-1P transcript:Sspon.06G0007530-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMEGPVLCRPAMQAKLPAAAALVNNSLTKSGQLGTALFGAISKYRNISRFISPISQPSTKNIGPCCSFSSSSDGNGYMAGNFSESDEDYVNSTVLEAVEVRSGSEGYVIKMRDGKNLRCVHNNSQGRNIPESAPQPAIVLRIEDGSGTLLPIIVLEMPSVLLMAAIRNVHIARPTIYQVVKEMIDKMGYEVKLVRVNKRIQEAYCAELYLTKIDDPTDSITFDLRPSDAINIAVRCKVPVQVHRSLAYSDGIRPVEPARMAVAAGLSDGLLFTELDRPDGQPCVEAQEFGLVRNMLIAAVEERYKDAASWKDKLMRLRSKRKNWA >Sspon.07G0005560-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:14282048:14286567:1 gene:Sspon.07G0005560-1A transcript:Sspon.07G0005560-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLLGSEIGKNDYDWLLTPPGTPRVPALEVAEKTPSSNILPKRTTTRSSSTTRASRLSISQTENGHSTVPTRPARSNSVSRPSIQSALMNGNNRTSVLNTSISSVTSRPTTPSRRSSTVVAPKQSVPAARPVPARSSTPVKTRPSTPAKTRPATPTRTRPTAPNSSTDSLLPRPHPHIMCNSCNKPPKRLLGYNEQTRVFLSSRSSTPTRQPAIRSSAPAIGRSPSVGRSSSINSFTSGSRSAASSGRNSAPSSAPSSRPSSPNPRPRAPVRPLDIPDFPNETPPNLRTKLPERPLSAGRSRPGMALGIRSNPNTEPSAASAPVKKVSVPVVSRSKFSDTSSKTSTLTNGHQNRQAERSIIDSQANRPSRPVTGTDNGFGRSISKRSLDMAIKHMDIRQNLGGIRGASLFPQSIRSAAAKVRPARASDPGHGISNGNQHYTDNGSSVNGHLSGDSYGALSRNGGSSTDSPDRGSIGTKETLSELDIYGSSRYEAMLLREDVRNTSWLHGFDDKPDQSPLFDHRFEPLPEPFSPL >Sspon.01G0020020-1P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1B:79795235:79795606:-1 gene:Sspon.01G0020020-1P transcript:Sspon.01G0020020-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTKLALLAVAVLLLQASWCAVAQPVVNSAVMALTSFEDGGPAACDGNYHSDGDLIVALSTVWFAGGSMCHRRIRITNSLPNGLNLVAEVVDECDTQSGCKDNMIATPKRVWDALGLDTNIGE >Sspon.02G0050530-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:62541203:62542274:1 gene:Sspon.02G0050530-1C transcript:Sspon.02G0050530-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVRPAAEMPCVTWSAVELQEKPEGPRRPRSHEVIIFALLPPPSLSSKKMATGETQPAWLPSRAANQSTPPPWRSYPGQETPVPYSGRLWEYLRKSLKTSPSKIYPSPTTETANLLPAPPLHLAVLPCSSTSASSSSSPCSSPPPRRPPLLLPLRLVVVLAAGKHRGAASPCRGPSSPRRWERQWRPRPLRRLPPLLLPLRLAVVLAAWKEPPRPAADPARRAGGSASGGPGLPLLLPLRLAVILAAGRHRRAASPRLRPARRAGGSGSTDGDLGRWGGRGADMWWRGGVPSMLAGAAVRPPAPVV >Sspon.01G0015990-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:48816024:48816892:1 gene:Sspon.01G0015990-1A transcript:Sspon.01G0015990-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VPEDLSNGTHLNEQNIYIGKTTSPTVVTLFQEQFRKDFKLFLALRYKELVSGGRMVLTFLGRKSEEMLMHGEVGTMWELLAKSLQSLVQKGRVKKEKLSSFNLPYYAPSVNEVKALVKEDNLFNIDHIDLFESNWDPLDDSESDVVPDCDSSGQNVANKSIRAVMEPLIVEHFGEAILDELFVVFASMVSKHLEITKAKYPVIVVSLKKAT >Sspon.03G0015430-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:73517723:73523930:1 gene:Sspon.03G0015430-2B transcript:Sspon.03G0015430-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPGPSAGPRLLLRRLLSTLTEAAAETPAPTAPAAASGVAAPTAAAAAAAKSAKKDPRLLYRRLSALGIAGEGSVSRVLNKWVREGGAPRSEELVKHVKELRRYKRHAHALEVMDWMVNARGMNMSITNHAIRLDLIYKVRGLEAAENYFANLPDPAKNHRTYGALLSCYCSAKMEEKATDLYRQMDELGIWSGTLPINNLMSLYMKLDQHRKVDSLFEEMKVKNVKPDNLTCCILMTSYAASNKIDAIEELLKEMVEKDVTLGWSAYSTLASIYVNAGQVEKAESALKKLEGLIGAEDGRQPFDFLMSLYASLGNLSEINRVWDVIKAKFSMVTNISYLGMLHALYKLNDIDRMKQIYMEWESNYQTYDVRLTNMMIRGHLKLGMSEEAETLREKAKEKGVEFDSKTCELFLDHYMGKGDMNSALNWVENMTKLPKKAGKLDQDRISKFQKYFEEHKDADSAERFCNCLRTLGCIDGKAYESLLRTYLAAGKKSCSLRQQIKNDKIEICYDIGKLLKRLGDGR >Sspon.08G0028890-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8D:18337466:18338119:1 gene:Sspon.08G0028890-1D transcript:Sspon.08G0028890-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPWFGGELRHWTCQTPARCCSRCSLAPCRDSTLRHSLPPLPRIGDQDVRRAAAASFVAAVRASLSLPPSPCTGDKMSAERHALEIKTSAERLRRALSLAIPPCRHRHAPSLPAAIASHWIPDGCCSVDARNRGTSGGGRRRSSGGGRAGAPERDKVRALRSSVSHFNHCRKPPVTASNDADPDAHGRGHCCTRAAPVHLPPPRSRNLCCRWGIRA >Sspon.04G0009950-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:29143381:29145104:-1 gene:Sspon.04G0009950-1P transcript:Sspon.04G0009950-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MESFLVKFFPEVSSGTKNAKHDPYCKYDDQRLTAFTSSLYIAAMLSSLVASRVTRTVGRSAVMLIGGVLFLVGSAINAGAVNVAMLIIGRILLGFGVGFTTQAAPLYLAETSPARWRGAFTTAYNIFQVLGALAATVTNYFANRIPGWGWRVSLGLAAVPATIVVLGALLVPDTPSSLVLRGDTDRARVSLRRLRGPGAETDAELKDIVRAVEDARRNDEGAYGRLCAKGYGHYLVMVVAIPSFFDLTGVIVMAVFSPVLFRTVGFSSQKAIFGSVILSLVNLASSLLSSFVMDRAGRRFLFLAGGAAMMICQLAMSCILAGHLGKHNDEAAMPRDYAVAVLVLMCLYTFSFGVSWGPLKWVVPSEIYPVEIRSAAQALTVSIALCLSFAQTQVFVSLLCAMKHAIFLFYAGWVLVMTAFVAAFLPETKGVPLEAMRSSVWAGHWYWRRFVRDAKQEVQVNCL >Sspon.04G0023460-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:16188238:16192105:-1 gene:Sspon.04G0023460-2C transcript:Sspon.04G0023460-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PLL1 [Source:Projected from Arabidopsis thaliana (AT2G35350) UniProtKB/TrEMBL;Acc:A0A178VV40] MGSGASRLLTACACSRPAPAPADDGPCLDDALGHSFCYAASSAAAAGHSSSFRHAISGAALSANSSVPVPIYHSSVAGGMQPPQYSSAFHTSSSFSSAPLQLSNLSSGPLFLSGPIDRGAQLSGPLDQAVPFSGPLPAKPTKPAPSSSSRGFSRRFRKPSFGSLRRSVSEKNRPCVVPLRREDGVQWAHGRAGEDRVHVVVSEDQRWLFVGIYDGFNGPEAPDFLVANLYRFLLRELRGIFYEEADPDSKRLWQFLADGDDEDSELDFSGSGRFALSLARLKEQRHPLWAHAAAAGDGQSGREWGVKRLTAAPAVTDHMAVLSALARALATTESAYLDMTSQSMGSHPELAVTGACLLVALLRDDDVYVMNLGDSRAIVAQRRDDDDCLIGSMRVEDIGVGLETESRIPGYSAIGLEALQLSTDHSTSIEEEVQRIRHEHPDDDQCIANDRVKGRLKVTRAFGAGYLKQAKLNDGLLEMFRNEYIGDTPYISCTPSLCHRKLSARDQFLVLSSDGLYQYLSNEEVVLHVENFMERFPEGDPAQSLIEELLSRAAKKAGMDFYELLDIPQGDRRKYHDDVTIMVISLEGRIWKSSGTYV >Sspon.07G0022200-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7B:6880335:6880982:1 gene:Sspon.07G0022200-1B transcript:Sspon.07G0022200-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQCERATLGDTTRSLSCFFVTTVCGVITVSTITTNVSSCSFDTVRGPGKGKEGCWMQAPPGGGCPISSNVPSLVPAARKRRPPLAPSTSPRRQWHRCSLRVPGKRRAMAVTSFSRFLRAHTCAPPAAMPDARRRAGGRKALMASRCRGPSHTWRAPGPCAPPCGSCQPVQGRIQHRGGVGSSPRYRCSDHGAPSKPPLQICTYSSLEGEARDERL >Sspon.06G0004180-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:13503831:13506332:-1 gene:Sspon.06G0004180-1A transcript:Sspon.06G0004180-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGAWFSDELDPHARALDNGTYLRNGPGLWEVGDHAFDHVFDGYGTLVRVSFQGARGRATGAHRQIESDAYKAARAHGHPLMREFSQLCPSEPGSLVDRLHHVVSHVSGAATSDNANTAVLPLGDGRVVCLAEVTKSSVLIDAETLGTCTHPVVTRGGAEVLTLLPDFTRRGYLLARMTAGSNRREVIGRVRCRGGTTAPAWVHSFAVTEKYVVMPEMPVRYSPARMLMSERTPLYIMDWLPDSGSYMHVICRSTGNTVASVEVPPFVAFHFINAYEEKGDDGARASAVIADCCEYYADPSIIQALALHRLRSPETAKDFPDSRSRIFEKMGRVARFRIPLDGSAMGELETVLDPDEHGRGVELSTINPAYVGKEYRYLYACTARRPCNFFNALTKMDLVEKEARSWHEEGTLNNKICVYTFAVDTMEFRFTRAGDTNL >Sspon.08G0013710-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:55270569:55273189:1 gene:Sspon.08G0013710-2D transcript:Sspon.08G0013710-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHHRKLSDEMLSMHLLLFFSFAFLLQPVVRGASSSPRHDCPNKCGDANIEYPFGIGLGCALEKGFELHCNKSQDGQRNITYFSNLPVANILLLKGQVRVMSSFASMCPNRSTTYLLNFSGTPFTVAEKENMFTVIGVNALGLMAGSRQSAIYVIGCQTESSPPENLTAQEGCTGVGCCQVALSSKLSYHNISLFGDNTSDKNTSAIEDTKHCRYAMVVEAEKFKFDTAYLNTTTFWDEHNGEVPTILNWAVSNKTCDVATKDAASYACRSSNSECINSTSGLGYLCNCSEGYKGNPYLHDGCQDIDECALSPSPCPGRCVNRQGSFNCRRRGLSPSGTAILSIGMSVAVVILAIAITCSYLTRERRKLANIKERYFRQHGGLLLLEQISTGQGTTFTIFTEAELMEATDQFDDKNVLGRGGHGTEGRLMDVIDDCIKGEENVGMLEEVADLAKQCLEMAGENRPAMRDVTERLGRLSRVTQHPWMQRDPEEMESLLAVREPSVDGVEMVSTTFFTMERSVGHGLLEFGR >Sspon.04G0022630-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:7981688:7982641:-1 gene:Sspon.04G0022630-1B transcript:Sspon.04G0022630-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSIGGKRKSAKVMQLDGTSFRVKPPAAAADVLRDHPGFQLLESEEVKLLGARARPLAPDAPLRRRRLYFLVALPRRAPAGPMRRAWSGNLNVGARERLESLKLARRSTSDLSSLPAHASASAPTSPLPSGGATPVRLKMRLPRAQVEKLMGESKDPAEAAAKIMELCGAHASARVTPERPPGILRSPRFAKTPEWGAGFMLPPPAPSKTPQRWPTLPRTNENDRTGSSNLV >Sspon.04G0012980-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:55816383:55820171:-1 gene:Sspon.04G0012980-3D transcript:Sspon.04G0012980-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTNHNVRLRSWKSNLDPSPGDYYIGLDPNRLPEMLLFQGTLLIYRTGPWNGQGFSGLPALKMTNVLVYNMTVGEGSAYYSFTALDRSIQWRLVASPDGHAHRWHSNTSNEWVEYWHLPQDQCDAYAYCGPNAACYNSDCHCLQEFVPKSESDWNQRTYAGGCVRDVVLPCSRPMGHGFAHLSHVKVPDTLNATMVDEYLTCTFDAVQGILPNFSDLAVKRLNMEIGLVELNNEVKMLARLDHPNIIRMLGSCIGNNENMICYEYMPVGSLDAVLFAEDEKSGVPDWSSRFHIMQGICEGLLYLHEHCRIVHRNIDPSNILLSEGFIPKISGFGHATVLDLGQGKAENFRGTSGYRAPELFYGEYSVKSDVYSFGVVLLAIATGSKATSFCREDTDDFPRY >Sspon.04G0006420-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:17545913:17549166:-1 gene:Sspon.04G0006420-3C transcript:Sspon.04G0006420-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAGSSILGADGEWGETSLGDMPESCVAAVLLYLDPPEICQVARLNRAFRGAASADCVWAAKLPANYRYLAALAAAADDEGRGDADANGKRFSLAATKKEIYARLCRSTLFDAGTKEFWILKDKGSLCISISSKAMTITGIDDRRYWSHLATEESRFHSVAYLQQIWWLEVDGELEFCFPAGAYSVFFHLYLGRPYRRMGRRLCGTEHVHGWDVTPTRFQLTTSDEQQATSEYYLHLHEQGGWKLYHVGDFVVSDSDEPIKLKFSMMQIDCTHTKGGLCVDSVFIYPKGYKPEKANIVCT >Sspon.01G0051840-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:491081:496019:1 gene:Sspon.01G0051840-2D transcript:Sspon.01G0051840-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Oxysterol-binding protein-related protein 3C [Source:Projected from Arabidopsis thaliana (AT5G59420) UniProtKB/Swiss-Prot;Acc:Q93Y40] MGSKDQGGGAASSGGGFFSSFAAGMRSWGTAVHKSVNGLLGYEGLEVINPDGGTDDAEEEALKGRWKQEDRDSYWKMMHKYIGSDVTSLVTLPVIIFEPMTMLQKMAELMEYCELLDRADECEDPYMRMVYASTWAVSVYFAYQRTWKPFNPILGETYEMVNHQGITFLAEQVSHHPPMGVAHCENEHFTYDITSKLKTKFLGNSVEIYPVGRTRVTLKKSGVVLDLVPPLTKVNNLIFGRTWVDSPGEMVMTNLTTGDKVVLYFQPCGWFGAGRYELDGYVYSAAEEPKIMITGKWNKSLSCQPCDQEGDPLPGTELKEIWRVAPTPQGDKYQYTHFAHKINSFDTAPKKLLASDSRLRPDRYALEKGDMSKSGAEKSRLEDQQRAEKRTREAKGEQFTPRWFNMTDVVAPTPWGDLEIYEYNAKYTEHRAAIDSSNVTDETDVTSIEFNPWQYGSSSSQ >Sspon.04G0016980-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4C:67959080:67959325:1 gene:Sspon.04G0016980-2C transcript:Sspon.04G0016980-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RSVGEEARRTAAESGGPDRAFWTALCKGFLRGGGRAIDDCGGRPNATAAPEVAGRAVAVAASCFTVAAPTIQLACRASINS >Sspon.01G0023710-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:82689351:82696333:1 gene:Sspon.01G0023710-4D transcript:Sspon.01G0023710-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGQVENFVGHDEGGLPQAPYNREQPNPYDDVAKQYSEELRDQYNEQLGAQYDEGSGNPYNEEQANLYSEETCNQYNEDPANSYQEELENAFSGDPDMAQQDNSQVNNDDDNKWPGWPGESVFRMLVPAQKVGAIIGRKGEFIKKMCEETKARIKVLDGPPGVSERASKAPNQTMFPKWLIGHGSESPVLCSGAANMNRSFDYQMKISFKMLLKLSDGESGQPQRSAGNIGPTRLLVPSSQAGSLIGKQGATIKSIQDSSKSVVRIVENVPPVALNDDRVVEIQGEPLGVQKAVELIASHLRKFLVDRSVLPLFETHMKMHGMPREQPVPPPQHWGPPQTWGPPPNIPPGGPGFGGNPQFMPPRLQDSYYPPDVPPMEKQPHYGISAYGREAPPPSGASVTGNQPPSHAGSQTQWRNSNYSRKQRCTWRDDYGDNWDCSPVQTAQQLIQNFMAEAAPPGPAPASNPPAPPVDPSYGSYPPPYGAAPYGSLVAAGPPPQYNGGSYGGPTYPPSYGY >Sspon.06G0017460-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:76824573:76825657:-1 gene:Sspon.06G0017460-3D transcript:Sspon.06G0017460-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLHQDMDREQMRMAILNQEQIFRQQVHELHRLYHVQKQLMQQAQKTAPASRAPMAVVDVKPRPQQLDIWCGEKATTTPQQQIISFTSCNKATTPAPTALADECNLELTLATGPSSSCSNSSCDAERRQGKRLKASSNSDSGVTAVSSTSTDSELAQFREVAAATPVRFHGEVGRRMDEMGQSPWMY >Sspon.03G0018530-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:57305088:57305702:-1 gene:Sspon.03G0018530-1A transcript:Sspon.03G0018530-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSVVQSLRQLRRFAQLHAERHSQATRLFRQQNALIMCGLTSRSLSMLRHNGEISRFASPGVELMRSMFSTVAADSIKDVGRGGPMVEYERRIASGELVDGDSFQVDTIQQLQRLYEELIENEEDCQLDRYKSSEKSGRSRWLWSRLITQPSTYAPVKGLYLYGGVGTGKTMLMDLFYEQPDSSATMMRKIKPLPSSSSPAGQAV >Sspon.07G0034190-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:67798441:67801236:-1 gene:Sspon.07G0034190-2D transcript:Sspon.07G0034190-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RLARKTAKIGLSAINSFKKKALHLMGCLCSKGAKDDANATSGRRTPSRKSDSAADAVSNNGGTAVLNAKTKEKLSGGEKVVVALDARISSGNNAELKGLSGEHVVAGWPSWLINVAPKAVEGWLPRRADSFEKLAKIGQGTYSIVYKARDLESGKIVALKKVRFVNMDPESVRFMAREIHILRRLDHPNVIKLEGIVTSRVSQNLYLVFEYMEHDLAGLVATPGLKLTEPQIKCFVQQLLHGLDHCHKNGILHRDIKGSNLLIDSNGTLKIGDFGLAISYDPNNPQPLTSRVVTLWYRPPELLLGATEYGAAVDMWSTGCIVAELFAGKPIMPGRTEVEQIHKIFKLCGSPSENYCKKSKVPETAMFKPQQQYRRCVTETFKDLPPSAVLLIDSLISLEPEVRGTAASALQSDFFRTKPLACDPSSLPKLPPSKEYDVRLRQEEARRQRNVALGGRGAESIKPGNENHVASRAIDIAAEVKQPTHNTSKSTCEKFNTEDSVPGFRVEPRALPTSMQVPECGTTWNNIGGYADHRSVLGRVYSSVRVARKKGSSNSNIPQYDAADLRNGIEITDHNQQADRPVSSQKKDQQEDHGRKYKRIHYSGPLMPPGGNIEDMLKEHERHIQEAVRKARLSKGSK >Sspon.01G0054640-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1C:63853354:63855057:-1 gene:Sspon.01G0054640-1C transcript:Sspon.01G0054640-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MYPSQLYCYKIMVKGSALAKLLPKCIYSKVTRPLNGLGKTLGDRGDDRELTSNENGGEVGARTAWSSDWRTAAICTGPSTTCEKGRRQLGASLYRAKARAAWRRSHEFRDWQCWVRAGLEQEEGDDTLTCGSEMAARERAWDHGVSQTESAALAAVLGRPKSKSSMELGLRLVTWASG >Sspon.01G0045210-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:87837170:87838812:1 gene:Sspon.01G0045210-1B transcript:Sspon.01G0045210-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSSQPGAIESGGGERNQREEEEEAAGTAAAEAGNGSQLVMPEDGYEWKKYGQKFIKNIQKIRLCGAKKKVEWHPRDPSGDLRIVYEGAHQHGAPAPPGGQPQGGGGASDSNRYELGAQYFGGARSQ >Sspon.05G0018960-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:83096730:83100840:-1 gene:Sspon.05G0018960-2B transcript:Sspon.05G0018960-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:LHW [Source:Projected from Arabidopsis thaliana (AT2G27230) UniProtKB/TrEMBL;Acc:A0A178VXD3] MAVGDALRRLCEEIGWSYAVFWKAIGAADPVHLVREDGYCGHTSCPVGSEPSESLPSDAAGCSVPAADTICSLVNNVMASQVHVVGQGTVGRAAFSGNHQWIVHGTATGHGLSSEVAAEMNNQFRVGIQTIAIIPVLPRGVLQLGSTGLVMENTNFVMFAKKLCSQLNNRSSMAVSASAKNGSSQHCQSRPDTATVSTSTPPHALLNASLLKVVQQNGHPVREHTVYAEPDLRFIQQASFCESQFGRNLRSVGMNSSLTSPSLTSVKNQSLLMNNIGQLQLNNNAHSSADLAMARDIILKSLVRQDSSVCENTNMNMHHGRYVVSNDINGPGNFDFLPVGTRASRANLSTSVSSQILDHASGTLQQKQSLVPFKIPQSSELAKKMENPESRPFQTPSVRTSESDGQVSNSFNMDQENLLSRSNNVRQDQKINRFSDPSANVSTQRIKNRDGCEAGMPIERASSLLVEPAADNDLFDMFGSEFHQFSHNVGADLVTWSGTESQNSDRDLTLFSSLDNELHYSGILSLTDTDQLLDAVISNVNPSGKQCTDDSASCKTALTDVPSTSHLGSVDLKRCESSGMPSMLIKHELAQFVKQPCLFDKSEEGCLSQNNGMHKSQIRLWIESGQNMKCESASASNSKGLDTPSKANRKRSRQGESPKPRPKDRQLIQDRIKELRELVPNGAKCSIDGLLEKTVKHMLFLQSVTKNADKLKDSTESKILGSENGPLWKDYFEGGATWAFDVGS >Sspon.05G0015770-3D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:5D:58857365:58860609:1 gene:Sspon.05G0015770-3D transcript:Sspon.05G0015770-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRYDVKSIIESSSLPVGGTTKRPKDGTDKSDIGVNGNCAEAAGPMTATNLLTDGIGSYSPEQYGYSGWSSPAAMVPISFQYSKGHGHSTLWCKQELDGAVVSAAHNLHQLQHIPASAGTHNFFQPSHVQDAAGAVDVPSLSVDTNSLLHDGGVGYHGAMGAGYAMPVATLVDGNLAASGYGVEDETASDLYGGQNLYYLSQDLSVTNSGKADVYEHGVGSERWLPSTVPVILQKAAN >Sspon.01G0045230-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:87908460:87912238:-1 gene:Sspon.01G0045230-1B transcript:Sspon.01G0045230-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tubulin beta-4 chain [Source:Projected from Arabidopsis thaliana (AT5G44340) UniProtKB/Swiss-Prot;Acc:P24636] MREILHIQGGQCGNQIGAKFWEVICGEHGVDSTGRSSGTSPQQLERINVYYNEAGGGRYVPRAVLMDLEPGTMESIRAGPFGGIFRPDNFVYGQSGAGNNWAKGHYTEGAELIDAVLDVVRKEAENCDCLQGFQVCHSLGGGTGSGMGTLLISKIREEYPDRMMLTFSVFPSPKVSDTVVEPYNATLSVHQLVENADECMVLDNEALYDICFRTLKLTNPSFGDLNHLISATMSGVTCCLRFPGQLNSDLRKLAVNLIPFPRLHFFMVGFAPLTSRGSQQYRALTVPELTQQMWDAKNMMCAADPRHGRYLTASAMFRGKMSTKEVDEQMINVQNKNSSYFVEWIPNNVKSSVCDIPPVGLSMASTFVGNSTSIQEMFRRVSEQFTAMFRRKAFLHWYTSEGMDEMEFTEAESNMNDLVAEYQQYQDATAEEYDEEEQDGEEEQA >Sspon.01G0024020-3P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:83712709:83713797:1 gene:Sspon.01G0024020-3P transcript:Sspon.01G0024020-3P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEEAAAASIQGPSAAPSWADMETDCLVHVFARLHLEDLAAAAPLVCRGWRRAAADPSLWRALDLRRDHVARFMPWAPLAVAFARRYAVPRFSLAGFLRLCVSRARGSADDVALPPLLADPADEIDHISLHCPRLRRLALPQLTAGDEARLPDLIPRWPLLEHLELEAKPSSSSSFPALAAQLELHCPGFASLKTSGAVKPEDAAALARSLPRLRSLCLDRSYLPREQLLAILAGCRDLREFSARGCVGFDEKDEEVLRRGARIQRFDVSGSKLVDDLEDELAAGGGFCDDSSDVDVIV >Sspon.02G0014870-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:39924284:39930848:1 gene:Sspon.02G0014870-1A transcript:Sspon.02G0014870-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWIAGQEYMITAYHQESETTPSSLSARSAVKQSSSACAAAPCPALRTRCHVADCGGAAVPLPRSAAALLPAASPVTPRAMNVRCARVRSACDLTVGRQPTRGHAALVVVVAVGRRLLGLLFADWVSDYEILQMLVKVLISELLVVSELVGLLLLRACCVDQKSKEDLEVNVLIDEIALPVLEQLLVEWLLAQPCYLLFWLPAAAARVAIRRPPGLALPGCAAACARSAGHANACELQNFLGQ >Sspon.03G0023730-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:57209050:57210645:1 gene:Sspon.03G0023730-3D transcript:Sspon.03G0023730-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMASVGCFHFPLTRPWAEPLQLRHTSITRTRRLKGNILKTSEHVPYWWNLT >Sspon.04G0001640-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:6913125:6915567:1 gene:Sspon.04G0001640-3C transcript:Sspon.04G0001640-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNLLAPSRSKLTSDASVLRGSMSESSSLLHSVRRSIEQATRRAETASADESDLPAPAPTSNLIADCFLSSSARGSKQRWALHSSLSQGDAQEEAKQSEAPSIDKEMALGSESQAAATADPSCPPFPLLEEDGGHIHEQEQEVPRGAELELPTVDLEAPGEALAAACRGLGVFRLANHGVPAALSARLFATARAALAGAPFSDKRAQPGYFWGTPALSLRVRDVNWVEGFHIALGVVPGHQLPAPLGDLLDLAAEYGAHMARVARALFDALAASLGLGAGQAATYLAERDGFLRVYRYPRCPEPGHLGMEAHTDSSVLSVINQDAVGGLQVLHDGAWRDVAPGAGETGTLLVNLGDMARAISGDAYCSVRHRVAASQAAERLSLCYFAFPQDDAVISCAGGRYRPFTYAEFREQVQADIKATGSKVGLERFLLRH >Sspon.02G0020490-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:69729551:69746247:-1 gene:Sspon.02G0020490-2C transcript:Sspon.02G0020490-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSHQLNQPEPTTSAPHRTAARLRLPQIKVESNLPFATAGHERVPTPRLSESSLARDDSRPGGAAEADLPRRPASARPMAPRAPPRRRPAPGAAGGGPGPGFGDSARVLLALAALYAAMSLLAYRVIHMRHVAPLGADAPRGNFSEGRVLQHLRRLAVDIPGRQEGSPGLEAAAQYIKGELQGLAARAGPEYRIEVEETLVSGSFSMMFLRHRVTLGYRNHKNIVMRISSNVSEDDDPSLLVNGHFDSPLGSPGAADCGSCVASMLELSRLIIDSGWVPPRPVIFLFNGAEELFLLGSHGFIKTHRWNRTISAFINIEASGSGGTDLVCQSGPGSWPSRIYAQTAKYPMANSVAQDMFGIIPGDTDYRIFAEDITNIPGLDIIFVLGGYFYHTSYDTLENLLPGSIQARGENLFNLVKAFTNSMLLKENEISNKAAKDGIEDLRAVFFDYLTWFMVFYSRDISLILHSLPVAIFLLVPLFLKFPNITLMSWFVTLLGFMRGMLLHAFGVILAIFIPAVAAALRLLFTKNAMNWFAHPYLVFLMFVPTSLIGLLLPRVTWGLSEQSHFWGAFGLYSLITMAYTLAGLSGGFLTFFISMSMLLGRFVSSINRKQWSQQSPRSLVAYVLPMIPCLLYCLYYGGFLIQFLIEKMGMMGSLPKPYGYFVPDVIVGAAVGLVVGWCFGPLAPVAGRWLSKTSILHVFLQITVVALAVSSQLFPYSTGAPKRVVLQHTFVTDGNNVIDSSYGFSVVDSNSLEFLFNNAPEAARWLKDNSELSFEEKYRSDRSSWLALYPVPFLFSGSLKFQAQTEEIRKYYQHFPQLAVQEIWDNNGQRRVHLKLSLGSLSEIWTSVLNITGPLSNWSFADNMLPDSLDNNLFCLSSLAPQTVSGGPPSYICRLSGKNDVDWSFWLEANSSESLRIDVAVLDQYLVDSTKKLKSLFP >Sspon.08G0021410-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:43221895:43249817:-1 gene:Sspon.08G0021410-2C transcript:Sspon.08G0021410-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRLFYVDFLSNVVIRQLLLTSLDDSNGKIRTAISMAVAAIGQQDWPEDWPELLLVLLKLIGDQSNGNGVRGALRCLALLSDDLDDTCVPKLVPELFPSLYRIISSPYRDTASLITSMLDPLIEQFSIVLNSPVQSQNPDDWSMQMETFVSSFKTYHLSYIQGAEDLDSVVMILMAVKELFELWTTIVGNSRLAKVIGGNIKELAYYTIALQQITEEQVQSWSRDANQYVADEDDLTYNCRVSGSLLLEEIVTAYEDYGIDSILEASQMRFHESRELKEAGSADWWRLHEASLFALGSLSEQLSEAQDSGLTKYNVRDLLEQMLIDIMGTGVHQYPFLHARAFSNVAKFSSVVSFLFFLLLFSFIFSKLNSKNMTDKCVRSIFLFCNFLSKCTRRMLHLSVNYLCRRPPPVKVGACRALAQLLPESNQNLIQPNIMGILSSLVDLLRQASDETLHLVLETLQSAIKSGGEQSTLIEPVISPIILDVWAQHIADPFISIDAVEVLEAIKNAPGCLQPLVSRILPTIGSILAKPNVQPDGLVAGSLDLLTMLLKNAPAAVVKAVFDKCFVSTVQIVLESDDHGEMQNATECLAAFISGGRQELLVWGGEQGHTLKMLLDAASRLLDPALESSVSLFVGSFVLQLILQIPLHLSPHIPDLIAAIVRRMQTSSITGLKSSLIVIIARLVHMSAPNVDGFINLLLSIPAQGYGNSLGAYQIKVTTTALALLISTRHPELSKVEVQGHLIKTSAGITTRSKARVTPDQWTKIPLPAKIFSLLADTLAEIQEQIGAGDDDDCEEDSDWEEVQNGDASISDDIIHLASVPSIANPSVEHLNAMAKVFAEVKLVDFLTNIFANLWENDRPMFEYLCQGLSDSQRSAVEKVLRR >Sspon.08G0001950-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:3787026:3797881:-1 gene:Sspon.08G0001950-4D transcript:Sspon.08G0001950-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTVSFSPANVQMLQARSGHGHAAFGSCSAVPRAGPRLRSTAIRVSSEQEAAAAIRAPSGRTIEECEADAVAGEFPAPPPLVRPKAPEGTPEIRPLDMAKRPRRNRKSPALRAAFQETSISPANFVLPLFIHEGEEDAPIGAMPGCYRLGWRHGLLDEVYKARDVGVNSFVLFPKVPDALKSPTGDEAYNDNGLVPRAIRLLKDKFPDIVIYTDVALDPYSSDGHDGIVREDGVIMNDETVYQLCKQAVSQARAGADVVSPSDMMDGRIGALRSALDAEGFHDVSIMSYTAKYASSFYGPFREALDSNPRFGDKKTYQMNPANYREALIETAADEAEGADILLVKPGLPYLDIIRLLRDNSALPIAAYQVSGEYSMIKAAGALGMVDEQKVMMESLMCLRRAGADVILTYFARHAAAVLCGMGPK >Sspon.08G0007740-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:23612662:23614027:1 gene:Sspon.08G0007740-2D transcript:Sspon.08G0007740-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVIFLLAMVVCAAHAGKPAPKDEKKGDASAEAPSGSAGGSGSSDISKLGAKGDGKTDSTKALNDAWAAACGKEGAQTLMIPKGDYLAGPLNFSGPCKGSVTIQLDGNLLGTTDLSQYKGNWIEIEHVDNLVITGKGTLDGQGKQVWDNNKCAQKYDCKILPNSLVLDYVNNGTVSGITLLNAKFFHMNIFQCKDMTIKDVTVTAPGDSPNTDGIHIGDSSKVTITGTTIGVGDDCISIGPGSTGINITGVTCGPGHGISVGSLGRYKDEKDVTDINVKDCTLKKTSNGVRIKSYEDAACVVTASKLHYENIAMEDVANPIIIDMKYCPNKICTAKGDSKVTVKDVTFKNITGTSSTPEAVSLLCSDKIPCSGVTMDNVKVEYKGTNNKTMAVCNNAKGSATGCLKELACL >Sspon.07G0032010-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7C:42321423:42321767:1 gene:Sspon.07G0032010-1C transcript:Sspon.07G0032010-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVAVVASIPVLEKPVDAPGIGFSEKRRLSTEQVCALERSLETENNKLEPERKARLAQELGLQPQQVAVWFQNQRAHWKTKQLERDYAALRHSYNALQADHDALRRDKDTLIGE >Sspon.08G0001450-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:1591589:1595932:1 gene:Sspon.08G0001450-2B transcript:Sspon.08G0001450-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQCYGKAGGGSSRADHDADLAGAGAVAPPSPLPANGVPQTPQAPPLPATPRRRKSGSTTPVHHQAATPGATAAWPSPYPAGGASPLPAGVSPSPARSTPRRFFKRPFPPPSPAKHIKATLAKRLGVGKPKEGTIPEEGGVGAGAGAAVAAADSAEAERPLDKTFGFAKNFGAKYDLGKEVGRGHFGHTCSAVVKKGEFKGHTVAVKIISKAKMTTAISIEDVRREVKILKALSGHNNLVKFYDACEDALNVYIVMELCEGGELLDRILARGGRYTEEDAKAIVVQILSVVAFCHLQGVVHRDLKPENFLFTTRDESAPMKLIDFGLSDFIRPDERLNDIVGSAYYVAPEVLHRSYSMEADIWSIGVITYILLCGSRPFWARTESGIFRSVLRADPNFDDSPWPSVSAEAKDFVKRFLNKDYRKRMTAVQALTHPWLRDEQRQIPLDILIFRLVKQYLRATPLKRLALK >Sspon.01G0044350-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:77048311:77048580:1 gene:Sspon.01G0044350-1P transcript:Sspon.01G0044350-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGINANGGILSYGNMEGYAMWVATGVASAFFASLERCSCIHLHTAEDDGDYDDEEDLEEANRSFSRPPQAIPEYYYDRSGSSASFATAK >Sspon.03G0025890-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:981479:988037:-1 gene:Sspon.03G0025890-1B transcript:Sspon.03G0025890-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEGNISDEDQRKFQEANDIIVGAVRNVLSDYLFDTMMHLRDAKALWDHLNATYGASDAGKELYTMESFNDYKMVANKSVVEQAHEIQRLAKKLELLKCVLPDEFNKGKPKQPAFNAKQNTAFKKKKKDKAELPCFACGELGHFAKDCPERADKKEKKKITSEVHTRALARLAGPGGAKGAGARHLREWSAEIRLLALQERDLLLLLSFLIGWTGLYKQPAGHAKTYPVNPRVFASTRYCAAALATPRPGEQVSGTLVFAILHREKTNKVFGKRSSRNYSQSFHNGSSSEGARGIVIKNTPPPLIDDFNIDDIDISDLAPSPPPATSSTPSTTTATESHRVGRMGTVTLVCSGLLTCVSLAALLAAAWLLRTTAEPDAEALDEEAHLRSYRNQELEHATCGFREPLGHGAFGTVFRGTLLRSGSEKPIAVKRLEKVVEEGEVEFQREVRAIGRTSHRNLVRLLGFCHEGANRLLVYEYMSNGSLVERLFKSGGGGDAPPAWGERMGIALDLHDELDSHVIHCDVKPQNILMDASGTAKIADFGLAKLLLPDQTRTYMAPEWYRGVGPLTVKADVYSYGGVLLEIVTCRRSMELEEAGEERTLMECAHEWLAVEAPEVEWAVKVAVWCAQAEPHARPTMRSVILMLEGHVEVPFPPPPTSS >Sspon.02G0038850-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2C:49759748:49759911:1 gene:Sspon.02G0038850-2C transcript:Sspon.02G0038850-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ESETSGPRARICAPTPLSRPRLLPETTIVLPQPLPHACNRGGRERDAKTKIYL >Sspon.04G0014640-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:54675397:54676908:-1 gene:Sspon.04G0014640-1A transcript:Sspon.04G0014640-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETPSSTPIYAYTSRSSTYKQEPGTDSTSIVCQYSIQQGLYVRSKLIIVNSTACTLDTIRTQMAVAEALLLQSQGVVVVAAALLPASRPRRGSRLLRLRTRAQQANLRQLVSIDDLRQVDRDDDHAVNGRAPAGTPPTPPECARAGTGTAAQRLRAIAEAAADRADMHDIIGRQRDNWNHLLLHSTNSLTLAASVMAALAPAAPAVAALKASAGALLATAAVTMAAVNRIQPSQLAEEQRNATRLWRQLERDVRAALDYHRTATATATATAEADVQEAMDRVLALDAAYPLPLFPGMLDKFPSTVEPARWWPRRKLPAQSAKTINVGARRGATSGNGWTPELEDEMRGVARVLRAKDEQEHVWNAKLALRLNRGLAVAAPALAGTAALATAFIGSSSGEAAAGAAVLCGALAAAANTVEHGWQMGMLFDMFRNVAGFYRKVQEDIEACLDEADVERRENGEVFQTKVALLLGRTTADLRQFREMASPSFKDADINDFAGKLF >Sspon.01G0018740-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1B:75348998:75351013:1 gene:Sspon.01G0018740-2B transcript:Sspon.01G0018740-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARRVLPMVVVAVMVAASHDGAAVEFAYNGFGGAGLSLDGTATVTPAGLLQLTNDTNMSKGHAFHPDPVKFHRPAAAAVSSFSTTFVFAIVSEFLDLSTSGFAFLVAPTTDLSTAMPQQYLGMFNGTDNGDARNHVFAVELDTVRNPEFADINNNHVGVDVNSLNSSAAAPAGYFDDGGGDGAFRNLSLISREPMQVWVDYDAATAEVTVAMAPARQPRPRRPLISTKINLSTVITDTAYVGFSSASSVVLVKHYVLGWSFALDGAAPALDYDKLPTLPRIGPKPRSKALTIALPIATTVSVLAAVAVAFLLLRRRRRYAELREDWEVEFGPHRFAYKDLYDATGGFMEKRLLGAGGFGRVYRGVLPASGTEVAVKKVSHESRQGMKEFVAEVASIGRLRHRNLVPLLGYCRREGELLLVYDYMPNGSLDKHLHCHGGDNKPVLDWAQRVHIVRGVAAGLLYMHEEWEKVVIHRDIKASNVLIDGEMNGRLGDFGLARLYDHGTDPQTTHVVGTMGYLAPELVRTGKATTLSDVFAFGAFLLEVACGRRPIEEEEQDAAAAVGIGDRFVLVDWVLGHWRKGSIAGAVDARLGLEYDATEADLVLRLGLACLHPSPPARPTMRQVTQYLDGSAPLPELPATYLTLDTLAGMEGHRSLFESWFIWRPTSTAAT >Sspon.07G0013530-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:48156421:48156654:1 gene:Sspon.07G0013530-3D transcript:Sspon.07G0013530-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRGRNTGARVAVVEGEVHVERVENLELVKNGVPDGGNQQLGWNVNQLAADFIRRSKEKFQGEDGSNGQV >Sspon.06G0029400-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:22449710:22450819:-1 gene:Sspon.06G0029400-1C transcript:Sspon.06G0029400-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLYLAPTAAAVSAAAATTTLPIRQLVAPPQSPSIDLRQLERGMALPLPPALPPPPLVSSASNRHVGANKHKAVVVMGATGTGKSRLAVDLALQFGGEVINSDKIQLHAGLEVTTNKVTDAERAGVPHHLLGVARPDEEFTAADFRREASRAACAITARGGLPIIAGGSNSYVEELVDGDRCAFRDRYDCCFLWVDVQRAVLHGYVARRVDEMCARGLVDEVAAAFDPRRTDYSRGVWRAIGAPELDAYLRWSSGDEDGDGERARLLAAAIEDIKSNTRRLSCRQRAKIQRLANLWGCVRRVDATEVFRRRGDAADEAWQRLVAAPCIDAVRSFLRDDDNTAAAAANDLPAAAEVVPVFAPAPAAAVAG >Sspon.04G0026870-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:55884235:55888884:1 gene:Sspon.04G0026870-2D transcript:Sspon.04G0026870-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTLSLSSPLLLGAPARARDVISYGVASASISPSTVNVLGKGYMSRVHDREQQRRTAILGRKKSTAKETVVPDPDYRLPIAILDNLLAAAPVGLLGLLLLFQTTRVRFVFDNEALEVKVGDQLQESGENVFVGGKNRWKMVGNYTM >Sspon.04G0028310-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:65000597:65000893:1 gene:Sspon.04G0028310-1B transcript:Sspon.04G0028310-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MESPSPSSLSALRHRLRATVCCCFGQGGLGERVRWRRRAGVGEFRYDPLSYALNFDEGDLDEEEKEEEQGVHARRGDGLLYHSFSSRLSTPAAVVEVA >Sspon.01G0021170-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:77813198:77827148:1 gene:Sspon.01G0021170-1P transcript:Sspon.01G0021170-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAAPSSLRRLPTTPPQAPSPPYASRLRSRSLREPRLCQRLVAAGAAAATAPLASSPTTVRTQRAPPLLTRTRHLLTLWSRRRTRSGGSTSCCARFRKRGADSRRGPTNALPSRRPSYVAVEERGAGEGTPLDLAALDGTWRLCYTSASDVLVLFEAAERLPPLQVGQIYQKFECKDRSDGGIVRNVVRWSIENLLEGEAPDRFSQDVNHVGNLAPPIERRIARLFGWAIQEAARHQTLLNLEQEGATLMVSAKFVVLSKRNIFLQFEEVAVENIKISEQLQALIAPAILPRSFLSLQILQFFKTFRAQVPVSGPEREC >Sspon.03G0010910-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:29691096:29698126:1 gene:Sspon.03G0010910-1A transcript:Sspon.03G0010910-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKMLSNDCLGTQEPHTFCKTTETLGHSHPQEITPDKTAAGSTLISHQNVCCTAEVSGENAEIMEISLLHDESDAGTTSLLPLPLLSYGPRSMVPIQVPSSSDLESILSPDPIYSDVQLKEINYNAAAMDESTEFLHLILSGNDEGYNTTTELQVWDVLDFYVSENFSALQFDSLMGFTNEVSTSYNDCMNLVDMVERPVARLSLDDTPKPSNSDDAVPADNVTMDPDETSLYLQTKPTDSETESSSAAGDVETEYLDQKLLSRCLPDLMDVDSPNCLLKTPVRTKHVTLVLDLDETLVHSTLDHCDNADFTLEVFFNMKNHTVYVRKRPYLKMFLEKVAQMFEVVIFTASQRIYAEQLIDKLDPDGKYISRRIYRESCIFSDGCYTKDLTILGIDLAKVAIVDNTPQVFQLQVDNGIPIKSWFDDPSDQELIDLLPFLESLVDSEDVRPIISKTFHDKLEQN >Sspon.07G0003360-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7B:7851112:7851617:1 gene:Sspon.07G0003360-2B transcript:Sspon.07G0003360-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding STALRESKPIYISVSCNLSGLPHPTFATDPVPHFLAPRPSNLMGLEAAVEASVTFDPKMRVAKAGDAFVELVEASGSAVATMPSATGLVLETLPRFLGTYWGAMSTAFCAEIVESVDAYLFTGPIFNDYSVGYSFLLKKDKAVVVQPDRVTVDNSPTFGYVMMKDFLS >Sspon.01G0061210-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:91793091:91796299:1 gene:Sspon.01G0061210-1D transcript:Sspon.01G0061210-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALKLTLPMHAGGRRRRRLSMLLRLAAACYLLLLPPSRASSSSSSSVVTHLPGFYGPLPFYLETGYVGVDDDTGTELFYYFVESERSPRTDPVLLWLTGGPRCTVFSGLAFEVGPIKFVLAPYTPHQSSLPQLVYNPYSWTQMASILFVDSPVGSGFSYARDSKGYDVGDYSSSLQIVTFLKQWFIDHPQYLSNIFYIGGDSYAGKGYLVGNPVTDSRFDENFVIPASHGFGIISDQLYELLSEIPKAHILYDLCPVAAPKPKYNGDSRRVLSEESIQQNEPPGRPKLHCFTYGYYLAYYWMNNDTTRNALGIKEGTVGEWIRCIEGLPYAEDLPSSIEYHLNLTTRGYRALVYSGDHDLIVPFLGTQAWIRSLNFSIVDDWRAWHLKGQAAGFTITYANNLTFATVKGGGHTTPEYQPEESFVLAQRWLDGEPL >Sspon.06G0008820-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:38635502:38636456:-1 gene:Sspon.06G0008820-2B transcript:Sspon.06G0008820-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGADYFIRSGVFNVAGHQWVIFFYPDGYGEELAGGFDFVSAYLRLLSTSGKVRASCDLRLVNPATGVATSVHPTLVIMRELDPEKNKVVCHCLCIGRGELEGTYLKNDRLTMECVVTVRKEPRVSKSRTFPSIRVPLSNLKRQLADLLESREGADVAFSVAGETFAAHRLVLAMRSPVFKAELCGPLREVGMGTRPIVIEDMQPDVFRAMLYFVYTDSMDHNDDLSRDYHSKNCDMVRHLLVAADRYAIERLKLICQSILCNNLDVQNVATTLALADQHHCDKLKHACMSWPPKGIWILRRLHLLC >Sspon.06G0024920-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:58911990:58915442:-1 gene:Sspon.06G0024920-1B transcript:Sspon.06G0024920-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAADSGMVMPFYPFQDQGGGLTTTTTTDPHHHMACFMGSSNSHSGPDPNPTLMPRPPPPPPAPASTSTATLLPPPPVPNPSSLPSPPKYKFVTGSPADWSADEIATLNQGLIRYAHEPSIMKYIKIAAMLPTKTIRDVALRCVWTPGKESSRRKPDGYHAGRNMTYSKTEENVDLFLRTNNNIRAISERMRETLSIMDQMPSLPVHVNEEHLSSLVHLHRGDKVIMCVTVSKEKQNK >Sspon.01G0001640-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:4594193:4596709:1 gene:Sspon.01G0001640-4D transcript:Sspon.01G0001640-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGVGDAHAAAMMQQALGMSLPMAAFVAEQGSAKLTRPPGLPPTPPQVFAAQHRGDVCMEAVVDPAARQQGPPKAHRRSRSDVPFGYFPPAFGGGHGHHQLPPPKVEHGWAGHLHGGDDDLFNAYLNLEGLNSSDDRHDDGDSRGSSMKTNGTDSSENESEACAADSRAGIRLWTEAAAAAAAADRREGLKRTAAGEPAAAPLARHARSLSMDSLIGKLNFSAGATGAANGVIPGPNRFSLEFGSGEFTPVEMKKIVADEKLAEMALADPKRVKRVLANRQSAARSKERKMRYIAELEQKVQILQTEATTLSAQLTLLQRDSAGIATQNNELKFRLQAMEQQAQLRDALNDALTGEVQRLKLATAELGDSCSSNNLTQQIQISVQEQMFQLHQQQQQQATPIPFYQLQQAQQNGAGKKQEPQE >Sspon.01G0028710-1P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1A:100546710:100548856:1 gene:Sspon.01G0028710-1P transcript:Sspon.01G0028710-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESKVASVDARTSKIRNVPIAVTPEGFWCCPSQAVLQKTAKNQNQQAKTKPKGGASPLASKASSIQRASTISSDRRTHSTPTRSKINSEEQRCLPAEDAATNPPKAVNERPQKQHKISVGFGQLEMSDLKVVLYGKDGVAVKMSVHKNILAENSTFFADKLSRQSPVSSIEVPDCEDVEIYVETVGLMYCSDVKQRLIKQTVPRVLRVLKVAELLGFPACVMSCLDYLEAVPWVGEEEENVVSSVRNLQSENYGVSPVLKRVASDLTTPPNDTFSHIIELVLRSNEDRGRREMKSLVQKLLKENSTSCISGSSDLCSETLYRSCRNCLDSLLILFQQATDGDFAERALNIKEPVFRQIALEADNLLWLAEILADRNAADEFAVIWASQRELAGLHSKLPVKSRHLVSCVTARLFVAIGKGEMLPSKDTRRLLLDVWLQPLMDDYNWLQHGCRSFDRKVVEEGIGRTILTLPLEDQQTILLSWLGSFLKVGDSCPNLQKAFE >Sspon.01G0006550-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:17273923:17274739:1 gene:Sspon.01G0006550-1A transcript:Sspon.01G0006550-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPFTIASRLSPAARLPVRAWRAARPAHGFASSGRARSLAVASAAQENRDNSVDVQVSQNGGNRQQQGNAVQRRSRRAAPLDISPFGKLSSVASLVWLVDPMSPMRTMRQMLDTMDRLFDDALGFPMATRRSPAATGEVRLPWDIVEDDKEVKMRFDMPGLARDEVKVVVEDDTLVIRGEHKKEEGADEAAEGGSGGDGWWKQRSVSSYDMRLALPDECDKSKVRAELKNGVLLVTVPKTEVERK >Sspon.06G0027860-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:89588942:89589112:-1 gene:Sspon.06G0027860-1B transcript:Sspon.06G0027860-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding CPSTSSTSPPRRPVTPSASRQGARPQGRLLRHARPRRQRRHGAGRRRGEALALRQDE >Sspon.04G0010350-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:30983363:30987963:1 gene:Sspon.04G0010350-1A transcript:Sspon.04G0010350-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding YVHFDFHRVCGHIHFERLSQLYDQIKDYLQKHKYGKRTTQGILNDLRNALGRYYFNNFVDGTKQDAMDLLQGHYMTSVSRDMAVPSKADFWRVMRWSFRLAFALVMGALMFMMMSLKQALCVLHHQLVQPTLIKAPCAFGGKSSSSPLPAVVFYLTSTQGLLLQLLRWVFEVEAKTGLERPGTMSTSPPFAITMMLHCICIAFLALF >Sspon.03G0001400-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3B:12089590:12093061:1 gene:Sspon.03G0001400-2B transcript:Sspon.03G0001400-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGNIGKIPVIGDLTGSNKNAHLKGNVVLMRKTVLGFDVTSMASAVIDGVGEFLGRGVTCQLISSTVVDPNNGNRGKVGAEASLEQWLLQPPPLLSGENQFRVTFDWEVEKHGVPGAIIVKNNHASEFFLKTITLDDVPGHGTIVFVANSWIYPQYKYRYSRVFFSNDTYLPSQMPAALKPYRDDELRNLRGDDQQGPYQEHDRVYRYDVYNDLGNPDGGNPRPVLGGSKDHPYPRRGRTGRKPTQTDPSSESRLTLTDGDVYVPRDERFGHIKNSDFYGYTIKAFADGLVPILQGYFLGLEFNSFKDILQLYEGGIKLPDIPALEEFRKQFPLQMVKDLMPAGGDYVLKLPMPKIIKEDKKAWMSDEEFARETLAGVNPLIIRRLTEFPPKSTLDPSKYGDQTSTITEAHIAGSLEGLTVQQALDSNRLYILDHHDHYMPFLIEVNSLDDNFIYATRTLLFLRSTGTLAPVAIEMSLPELRDGITAAKSTVYTPVPATAGAEAWVWRLAKAYVNVNDYCWHQGISHWLNTHAVMEPFVVATNRQLSVTHPVHRLLLPHYRDTMNINALARQKLINAGGIFEMTVFPRKYAIEISSKVYGSWNFAEQALPDDLIKRGMAVPDPSSPYKVRLLIEDYPYASDGLAVWHAIEQWVTEYLAIYYPNDAVLQSDVELQAWWKEAREVGHADLKDEPWWPKMQTVAELIKACTTIIWIASALHAAVNFGQYPYCGYHPNRPSVSRRPMPVPGTDAYKELEKNPEKFFVRSITTQFQAVVGISLLEILSSHSSDEVYLGQRDTKEWTSDAKAQEAFKRFGARLIEIEKRVEAMNKDPRYKNRYSEAQFPYTLLFPNTSDKGDNAGVTAKGIPNSISI >Sspon.02G0029310-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2B:104470029:104473221:-1 gene:Sspon.02G0029310-2B transcript:Sspon.02G0029310-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGRHVAGRQRRGAPWQRRRWEQPLSRIAVERAVLAVDDAAHVKASPLVLGLKGENSEWVDVEFFHPNPSSDDWIGVFFLRISDGDKCLYDSPLQRKGSIQSDVSCLGIVSAAICEPENKRQYPPVLCTAPIKYQFANFKNDDYNKTGKGYLKLQLINQREDFSFALFSGGLLKMTVTWTSGYDITEAVPFVEWGEKGGRHFLAPAGTLTFDRNSMCGKYTYRLGHRLMNGTRVWSKSYSFKASPYPGQDSLQRVIIFGDMGKAEAYGSNEFNNFQPGSLNTTNQIISDLENIDMVVHIGDICYANGYLSQWDQFTAQIEPIASTVPYMIGSGNHERDWPGTGSFYGNLDSGGECGVPAQTVFYTPAENRAKFWYATDYGMFRFCIAHTEEDWRPGTEQYKFIEQCLSSVDRQKQPWLIFLAHRVLGYSSCAYYESEGTFEEPMGREALQELWQKYKVDLAFYGHVHSYERTCPVYQSQCVVDASDHYSGPFQATTHVVVGGAGASLSEFTASKIQWSHFTDFDHGFVKLTAFNHSSLLFEYKKSRDGNVYDHFTISRDYRDILACS >Sspon.03G0009150-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:5546091:5547340:-1 gene:Sspon.03G0009150-2P transcript:Sspon.03G0009150-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DMGRAPCCDKASVKRGPWSPEEDEQLRSYVQRNGIGGNWIALPQKAGLNRCGKSCRLRWLNYLRPDIRHGGYTEEEDRIIWSLYSSIGSRWSIIASKLPGRTDNDVKNYWNTKLKKKVMAAVAAAGGASSSGGAFAAPATPTPTPPALSPASSSVTSSSGDVRFGAAYTEPHPQHHGGLIRFDAPRTELAPVPQAVAAQLDGAWTSAAAALDGGDVFLPLPELGGGGGEQLFPYGDFFGGLLQDSRALEQLSACYFPNMGEIWGAAAVAPDGTCKPPGLCNTLT >Sspon.01G0040260-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2C:11610283:11611392:-1 gene:Sspon.01G0040260-2C transcript:Sspon.01G0040260-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQLQALAVKPQVKRQARRRTHTSRPYQERLLNMAEARREIVTALKIHRASTSTRQYQHREPPPRQPPFAQQLQQQQEQEQQQVQAVAFHERSRSQAAVDEEASLAHSYAATSFCNPLCNSPLAHWIAAGSYCSPPVLPCDLTPPELPPTAAMGGLELEAHYQGLERLVHSLPARPLGLNLSFQGFGVSVDDGAKDDCEDLLGLPLTQPSPAASYSYSPPAVETATTHAYGHGSPALSAAGAENHSVIDAPAPALILVPDDGGETMVQQASAGETQGVEWSEAAAADVAAWWSKIFESGQRAPPNAEDVAAMAAGLPAEWRLLCGDDVGATEQGAVTGGVLATMMHVDDGDYSCCYEGGRSDDGKDVTLP >Sspon.02G0003640-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:12912502:12917543:-1 gene:Sspon.02G0003640-3C transcript:Sspon.02G0003640-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:WAV2 [Source:Projected from Arabidopsis thaliana (AT5G20520) UniProtKB/TrEMBL;Acc:A0A178UB23] MVGWLKALAYSAGGVAVAGLAALVALQERLVYVPVLPGLARAYPITPARLGLIYEDVWLRAADGVRLHSWFIRHSPTCRGPTFLFFQENAGSILLSPMYGESDGYPSQKGITYDAQAALDHLAQRKDIDTSRIVIFGRSLGGAVGAVLAKNNPDKVATLILENTFTSILDMAGIMLPFLRWFIGGSSSKGPKLLNCVVRSPWNTLDIVGEVKQPILFLSGLQDELVPPPHMKMLYDKASDHNRNCRFVDFPSGMHMDTWMSGGDRYWRTIQLFLDQYAPGVQNRDASFKSEITEDDESAD >Sspon.03G0003870-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3A:9920913:9927181:1 gene:Sspon.03G0003870-1A transcript:Sspon.03G0003870-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-conjugating enzyme E2 11 [Source:Projected from Arabidopsis thaliana (AT3G08690) UniProtKB/Swiss-Prot;Acc:P35134] MVFRNYPRATLHISVIIGSRRTNRGQRPPPFLPQLRRLLTALPPRRTRLDPDPGIPIGRSSASDPYPLGVLIVPGLIMASKRILKELKDLQKDPPTSCSAGPAGEDMFHWQATIMGPPDSPYAGGVFLVNIHFPPDYPFKPPKVSFKTKVFHPNINSNGSICLDILKEQWSPALTISKVLLSICSLLTDPNPDDPLVPEIAHMYKTDRPKYEATARSWTQKGSQNTFDSPKTLALGHGHRPTHNIITVSSEPQRRCHLSPPHPRPTSDAAPPLARLRPPVTSPTFLHPTNRIS >Sspon.01G0033530-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:111127144:111129385:1 gene:Sspon.01G0033530-2D transcript:Sspon.01G0033530-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEVWLSPASRLPSLSNAPPRFRSRPAATTTASLFSSCPRPARGALPVLRVRRRLRATEQQGQVQEQDDEVVDSNILPYCNIDRKQKKTLGEMEQEFLQACQRAFPPTNQAFYFDQKAIMSNEEFDNLKEELMWEGSSVVMLTEDEQKLLEASMAYAAGSPIMSDAEFDELKLKLKTDGSVIVMEGPRCSLRSHKVYSDLNVDYLKMFLLNVPATTVALGLFFFIDELTGFEINVFQIRVYTLDVLISKKHRCNVLFPVAVLSWNMTQNLG >Sspon.04G0031280-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:9996235:9999995:-1 gene:Sspon.04G0031280-1C transcript:Sspon.04G0031280-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGHALRAVGDAVFLGAYGRLSLSATSAAFVEALLQAWEEVRAGLLVPLLRAAVLLCTAMSVIVLAEKLFLGAVSSVMKLRRRRPWRVYRCDPIARPDEEAAAYPMVYQLSIGAACRLTWPVDRLIVQELVKGECERWAAEGINVKYETRKDRAGYKAGNLKEGMRHAYVRGCEFVAMFDADFQPAPDFLVKTVPFLVHNPSLALVQTRWNECQRLLADENARDVHGLPFQGGAGSRLFPLQLLRLQWNCWSMENASDCRVRGWEDRTTAEDMDLALRAGLLGWEFVYVGSIKVKSELPSTLKAYRSQQHRWSCGPALLLKKMFWEILAAKKVSVWKKLYMIYDFFIARRIIGTFFTFFFFSVLIPLNILLPEAQIPVWELIYIPTAIILLNSVGTPRSIHLIILWVLFENVMALHRFKAILIGFFEADRANEWIVTQKLGNLQKLKSIASLTGNYRFKDRFHFLEVFIGLFLLASACFDYLYRDDYFYLFVLPQSIMYFAIGFQFIGLSVSED >Sspon.03G0004970-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:36645894:36648475:1 gene:Sspon.03G0004970-3C transcript:Sspon.03G0004970-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHHHHHQHNGRNGGHRLETVINMAQQPEKPAGVGRFGFTGGLEFTSLTYTVVKKQRGAGGEWEKKDVDLLHEITGYAPKGCVTAVMGPSGAGKSTFLDALAGRIASLDGRVALDGFEMSPSLIKRSSAYVMQDDRLFPMLTVYETLMFAADFRLGSSVSASDKKLRVENLIEQLGLTSSRNTYIGDEGTRGVSGGERRRVSIGVDIIHGPALLFLDEPTSGLDSTSAHSVIEKVHDIACAGSTVVLTIHQPSSRILLLLDHLIILARGQLMYSGGPKEVTAHLGRMGRKVPKGENSIENLLDVIQEYEQSEFGVKAMAEFCLTGLKPPKLTATYGPEGLSTVSSIVQTPISGPGGDDDFDRSLRSQHSKSPWSGVRLTPSRRPKHKGPGAPHDNHRYTPEIVMGTPTPMSSAYTVNEDDYLTPTHRAAPNATGAPGVGVNALGHRGKFANSYVGEVWVLMRRNFTNIWRTPELFLSRLMVLTVMGFLMATMFTKPKDNTQGITNRLSFFIFTVCVFFFSSNDAVPAFIQERFIFIRETSHNAYRASAYVVAGIITYLPFLLLQSATYAAIVWFALKLHGQFLYFLVMLYAALLSTNSFVVFISSVVPNFILGYAAVIAFTALFFLFCGYFLDSNSIPVAWKWMNTISTMKYPYEGLLMNEFNGDHVFASEPAGLTLRGNDILKQLGISVEENRKWWMVLYLLGWAVFYRVLFYLVLRFASKNKRK >Sspon.07G0012580-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7B:50974721:50979849:-1 gene:Sspon.07G0012580-2B transcript:Sspon.07G0012580-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to 60S ribosomal protein L18 [Source: Projected from Oryza sativa (Os05g0155100)] MAETSPCHDADGDGGRLHVVALFAAGVMHERCQSVMAHCPIMPGALWATWAPRRGLPWLRVMSRQCRPPAGPAPLSEGNCAPSESGEARPSPKGLTSRTHPPGVGRTETCPLGAHICQPNSPLTQNPSSSPRLPLAHTIKLLRCDAATLLPEQEADKGIDLVAGGRNKKTKRTAPKSDDVYLKLLVKLYRFLVRRTKSNFNAVILKRLFMSKTNRPPISLRRLVKFMEGKEENIAVIVGTVTDDKRIQEVPAMKVTALRFTETARARIVNAGGECLTFDQLALRAPLGENTVLLRGPKNAREAVRHFGKAPGVPHSHTKPYVRSKGRKFEKARGRRNSRGFKV >Sspon.01G0036790-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:16090116:16095260:-1 gene:Sspon.01G0036790-2D transcript:Sspon.01G0036790-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEAAGLLLQEEGGGEDHEPLLLPLPQPEQSESYSTTRFKTNPYYRSYGNECCERLAYYGIAKNLVTYLKVKLHLGNLEAARHVTTWQGTCYLTPLVGAILADSHWGKYWTIAVFSSVYFIGLAILTLSASVPALQPPSCLRTVCPEASILQYGIFFVGLYMIALGTGGIKPCVSSFGADQFDDTDPAERAKKGSFFNWFYFCINIGSFISGTIIVWIQDNTGWGIGFAIPTIFMALAISFFFTASNKYRFQKPGGSPLIRVCQVVIAAFLPHDTSLLYEVDGQTSAIEGSRKLEHTNQLEFLDRAAVISSADLKSESFTDPWKLCTVTQVEELKILIRMFPIWATTIIFSAVYAQNSSMFIEQGMVLDKRIGSFNIPPASLSTFDVISVIIWVPLYDRILVPIARKFTGREKGFSELQRMGIGLVLSILAMVSAALVELKRLEIARSEGLIHEKAAVPMSILWQIPQYFLVGAAEVFTCIGQVEFFYDQAPDAMRSLCSAFALITVSLGSYISSIILTLVAYITTQGGDPGWIPDNLNEGHLDRFFWLIAGISFVNLIHRSPIIPARTTPILEVMEPIRVPDNDLSLHNL >Sspon.07G0014890-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:53485821:53487315:-1 gene:Sspon.07G0014890-1A transcript:Sspon.07G0014890-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGVIKRNILADRNGGNSDELNMEFFCPVVGSSQQPKGSVTKIGLWGGQGGSQQDITVPPKRLQSLTIRSGNAIDSIQFTYTDKAGQKHTAGPWGGFGGTPKTIDLCDAEFVKEVSGTIGTYGNSTFLSSFNLVTSSRTLGPWGIKDGTPFCITAPANSSIVGFYGRSGSLVDAIGVYRNIIE >Sspon.08G0022640-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:52601040:52603134:1 gene:Sspon.08G0022640-3D transcript:Sspon.08G0022640-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding EDLINNAQVQAIVAPQKSVVKAVKFSQFGNLMSIWMEETYLGIHGGLNSSGTGTMELEPVFWQEDPVMTSKGSDKILDPEPSLNPESKSTNARKLLVERNLGKVCSDNTTKPLRIGVPLKHGFENFVKKQNITGYSIDVFEDAMKELQDTPRYEYCIFDGSYDDLVGNVSSGNLDGAAGDVTITSDRINTVDFTMPYTQSGVALLVRRDRSDPIQWRFLTPLSKELWFATVGFFCFTGFVIWMIERPKNPEYQGSAMGQFSTAAYFAFSTLTFSHGQNVRSPLSRFAVVIWCFLVLVLVQSYTASLSSLLTADRLQPSVKDLNQLLKAGDSVGYQKGSFVLSLLRHRNFPAENLTAYSSADEYAKALRNGSKNGGVSAIVDEVPYLKAFLSDSRYEEELEIQDQIFRTPGFGFVFNSCHFRLVNNLSSAILGITGGEEISTIEKEWLGTSTAAAAAAADASLTITKADYTPLTLRNFSGLFLFSGLVSSLMLLISIAKLAYARLTGAEDADAVQTAGSTNPGDQQYHPLENTTDNISVLIDHPHPEATNGDHQGGHG >Sspon.04G0011080-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:26059896:26062530:-1 gene:Sspon.04G0011080-2B transcript:Sspon.04G0011080-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGLCSKEGVVEAPPAAPHPVAQLQKASSQSLKQLITLTAKEEDAAVVHAVISRTESNAKANGGIGAPAPAKEAVERTAPPVVVITSLSKSYSTAGAPTHHRCATLDIGGNNNAAADCGGGAQAQVISSVPQGFSGEHVIAGWPSWLTSVAGEIVEGWLPRRADTFERLDKIGQGTYSNVYKARDLQSGKIVALKRVRFVNMDPESVRFMAREIHILRRLDHPNVIKLEGIVTSRLSHSLYLVFEYTEHDLAGLAALSGQRFTEPQVKCFMRQILEGLRHCHARGVLHRDIKGSNLLIGDSGVLRIADFGLATFFDPGKTQPMTSRVVTLWYRPPELLLGATEYGVAVDLWSTGCILAELLAGKPIMPGQTEIEQLHKIFKLCGSPSEDYWAKAKLPDVTLFKPQRPYRRKIAETFKDFPPTALTLLDTLLAIEPSARGTVASALDSEFFKTKPLACDPASLPKYPPCKEYDAKLRGQEASRQNAVGIGGKGSVSVKPGRDDAKGAAPARDVAIADYQVRDWSPMPCSSSVTDCSSNCSCLNSGIALSLSLSRVSLQRRQARANQKSTSHHYSSLEDSVPGFRIEPPAVAVRGPATLQSGGGFGSTWYNRSDQRAVSRASSSVRASASHLTSQRSYAQSRGTDLHPSSSAATNANSRYNRLDVAEPANAVGRPGSSHHKDLGMRVASVGFGGRNKRIHYSGPLMPPGGNMEDMLKEHEKQIQQAVRKARVEKEKTNRHYH >Sspon.08G0023520-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8B:55893925:55894515:1 gene:Sspon.08G0023520-1B transcript:Sspon.08G0023520-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GKDLAFLARSTVQRRSITRGVVVLRLRGSEPSCSEAGSNCVVTPPNRELSEPFGRSGSLVHIKSSPRVQVTVYAARKVAKIEYGFLYYCERARRSIASCRVRSVSCPHPELLYKRHQRSQNSLPMNLCCLCPPLHARNIVRLHMGDRRYSTSTLTKLGLGALAFNSALAIYNSWGDAGSITFVLAADAALVLLFLCL >Sspon.04G0020040-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4A:70501731:70506445:-1 gene:Sspon.04G0020040-1A transcript:Sspon.04G0020040-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSVISCPAKILRLWDDMGSAKDEAQEGLDGSYRDFYLMENPRCGPSDAEAHMRSLITREWEELNRECLCRRTFSTYFTQACLNITRMISVMYSYSKEHRLLVLEDYARMLTTKSWDEEHVKEEEKGAIDFVLFAVVPSSTHPRHRAAPTRQGHRWLPRKMSLAMGVLAGFCARLRAALSSSSSSSSSFCRFTKAEMWDPTISQPSIFFPSLPTEPRNGVAHPHAATQLAERRSASCRRQLAQARALLPWPPPPRARAHPPWPHLLDLVIARHGRYLPEPELARHGRCLLEPELTRHGRYLPEHRPGRAIAPSWPGVAAPEERRRCSRHRARGAPSLLPSLSPLVLEEPAEPGY >Sspon.01G0034780-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:6371384:6375393:-1 gene:Sspon.01G0034780-1P transcript:Sspon.01G0034780-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLPRRDELLGIKDERESSAVAAGRVLLISSILRLSSLGFREMELVYMERRNGLYNEGAIPEFSGRVEGDQDPSTAASEVQSDFRQISDEKKSKGCQSCHKSFCSCSGSTTHSELFPAHPAKMMILEFLIRSLRHPTRTHNVNDLDDLITDGVSQGSVNLGPSEKKVLDSLHALVNAKTRPKSPSPFLAGSKLRKIRSKSHIITQSEILKLISPETWETSSHGASPMNKGTAEVSIHENKSPLCSSMSSNQPVLSQCPSSLSAGLLQCIWKDGLPHFELSLDDPIAVYAANPIRVQEKDKAFDYVYMIHSGEQGRKDWMGHSSNVSRLVGKMVVSSSLVLNSDKSSLLETEFVLYGSPDDYLRQMRSPYSVPKGKGLVKRVTEIMRTGNVSSSPKHSWKFGKSSSHQFDDLTEILEGEMISARESDLMNLNTDDLLTNQELAAIVVREQRHKRQEEPVLGGWGLKFLEKAGLNHSEGTKETDVQNSNGARQCITVVVPRGYHGGVVSKSSRPSGLIERWRSGGCCDCGGWDLGCPIRVLNGDGCGSLPEGESQDSRSVELSIKGAKNETMLKLVNITEDLYILYFDSSISALQCFSTGIAIVHSHAPQLCPKL >Sspon.04G0017680-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:7368392:7372552:-1 gene:Sspon.04G0017680-4D transcript:Sspon.04G0017680-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWRRGGGADGVARRWVLLLCVGSFCLGLLFTDRMWTLPEADEVEVPNLRRGEEAERNTGDCNVRKVQGKQDYNDMLHISDTHHNSQTLDKTIANLETELSAARTLQDSFLNGSPVQEDYKASESTGRRKYLMVIGINTAFSSRKRRDSIRNTWMPKGEMRKKLEEEKGIIIRFVIGHSAISGGIVDRAIQAEDKKHGDFMRLDHVEGYLELSGKTRTYFATAVALWDANFYVKVDDDVHVNIATLGNILSKHISKPRVYIGCMKSGPVLSDKDVRYYEPEHWKFGEVGNKYFRHATGQLYAISKDLAAYISLNKHVLHKYINEDVSLGAWLIGLDVEHIDDRDYAAVLHLIANGKLRLGTPVPPHSIGNAVVSATLCRTSGGFIRSVQKMKRRC >Sspon.06G0011980-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:52474227:52481140:1 gene:Sspon.06G0011980-2B transcript:Sspon.06G0011980-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEELDHPEGSVSDRGGERQTASLPLPAAFLEFLNENGLDPAVYSMAATIPRYIRLKPGMESQIPEIEAELKCGLKKVSWLPGFYAIPPEIQIAGSTAYQQGKIYGIDAASGAAILALDVRPGDHVLDLCAAPGAKLCMLADTLGTTGSLTGVDVAKHRLAACRTMLQKYCLGDRTRLFVADGTLFSILPVNSRMRRMEVSIGVEENGSTFPEWTSRRSWKDRQKTKKANAKGPQHLLATSEPELIYYGKHSGLVGLCKSDVFCPSVDDEACTSGYDKLHLLTNGFKLLKTGGSLVYSTCSWIDQSNTQTIANCQEIYI >Sspon.02G0052240-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2D:52054708:52057343:-1 gene:Sspon.02G0052240-2D transcript:Sspon.02G0052240-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VQVHQSNPRSRQSLLSPGADGARQPRQQAAAEARRQILLRLLIRSDIMDLSSDPKRKPRCLSKVVMLALLAAMCVVMLTQPPCHRRTPPTPTLFSIHEPGVTHVLVTGGAGYIGSHAALRLLKDSFRVTIVVNRIFAENAFDAVMHFAAVAYVGESTLEPLRYYHNITANTLVVLEAMATHKVKTLIYSSTCATYGEPEKMPITEGTPQFPINPYGKAKKMAEDIILDFSKSKKSDMAVMILRYFNVIGSDPEGRLGEAPRPELREHGRISGACFDAALGIIPGLKVKGTDYETPDGTCVRDYIDVTDLVDAHVKALNKAQRGRVGIYNVGTGKGRSVKEFVDACKKATGVDIKVDYFPRRPGDYAEVYSDPAKINRELNWTAQRTNLHESLRVAWTWQKAHRSGYEPPQAMIL >Sspon.01G0051250-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:239459:240232:1 gene:Sspon.01G0051250-2D transcript:Sspon.01G0051250-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAANGADDHELPLFHPSPCPHYYVQSPSAASHTLSHPASDSMALILSPFPNLHHDADAGHSSDARDQDQDQDHEEASRLTLSRYSSSRGSNSSFPVGDKKPGRRRQVLRVVSGRSSGHHDDGDDDDADSEAQRSGAWRYVKLDPEAPCCCIAFQVAWRVAASAALALLVFVLATRPRHPQVSFRVGKVQRFALGEGLDGSGVETSFLNCNSSVDMVIDNYSKVFTLRVAPPQLEMSFGRFVFAISQARLLLSHLN >Sspon.03G0034170-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:68959145:68960313:1 gene:Sspon.03G0034170-1B transcript:Sspon.03G0034170-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIPKVDLRGVEPGVPGWEEARAAVTASMVAHGCVVVAYDALEPALREVLFGRALPELFELPLDTKQRNVSTRWFRSYMARAETDYESVCVHEPTDDGNIHEFTNLFWPQGNPEFSHIMLRYAKNLLQLEQILQRMTLEGLGVREDTIRSHLRSLTHTLRPSHYGIQQDTAGSRRLSMAVHRDFNMSNLVVQHEVEGLEVLAKDGSWLPIRAEPDTFTFQAGELFTILTNGRVPASVHRVRTLSNRERFSMIFGSWSGDGDEVSAMDELVDGEHPLMYNPCRLDEYVEFLFIKEGHKLVDPLKAFCGVHKAM >Sspon.02G0027910-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:101486695:101501832:1 gene:Sspon.02G0027910-1A transcript:Sspon.02G0027910-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AP-5 complex subunit mu [Source:Projected from Arabidopsis thaliana (AT2G20790) UniProtKB/Swiss-Prot;Acc:Q8W0Z6] MSGGGCSVRAIWILTPNDTVAFSRRFAVVEKRWRAAWEAEEGYGEGERRGTGAAPLPLPADHEVAAAFAERRRREGTACGSGIRTNVSSVGLDSWVDDPITRHIISLRMDEEEGDGFMLWPVVLQKRGGYYVLVLPLVDPQPFRAYENLLKRSDCGSSAKENGNLSSILFNLPCITGAFMVAHVVGDIITGDIAEPEVIVSSGPSVGGLLDSLTGSIGISARAKPIAAPVAAPTASLSSPVGAAQSDSLKGGVRPFDKDLLRNFIIGAMPFGTPQDLNYANVTSIRTTGFSGDPLPTDQKQPAWKPYLYKGRQRILFSSLETINAALYDRDDVPDFLSVSGQVTCRAELEGLPDVSLPLTGLKTAHVEVSSFHHCVQASEPTANKQTLVFQPPLGNFVLMHYQAPCNIAPPVKGFYQLSMVSENEGAFLFKLTLMEGYKSPFIMDFCMITMPFPRRRVASYDGNPSIGTVSMTEHSIEWRIVSSGRGLSGRSIEATFPGTVKFLPRTVHRINSSFRSVSSTAYTEDSDSEQDNVKNGASLDDYIMEKINNDLQAVDLEEPLSWQAYNYAKVSFKITGGTLSGLTIDPKSMAREAAAWCALHGLVVGDRADPRSATVPGVGLVHAPFSLLPAHLPEPFWRQACELAPIFNELVDRVSMDGNFLQDALSKTRQVDDFTSRLLEIHRKMMEINKEENIRLGLHRSDYMLDSRTSSLLQIELNTISASFPGLGSLVSDLHRTLIKQHGTLLGLEHKRVPANAANTQFAEALAQAWAEFNIDSAVIMMIVQPEERNMYDQYWLLKHLQDSYPFMFCLHEWSARLMMEQSSAVKCPSISYHLVGTKKIQQELAKPNVLERFLESKEEIDKVRKCFAGLWSLDDEEIIKTAVEKPELFVLKPQREGGGNNIYGLDLRETLVGLQKEGGDALAAYILMQRIFPKASLAYLVRGGVCHEVTSEINTIVNSALHMVLVFSDEEYYTTRFLIRNKDKVVINEQCGYLMRTKVSSSDEGGVAAGFAVLDSLYLTGKDLNYANVTSIRTTGFSGDPLPTDQKQPAWKPYLYKGRQRILFSSLETINAALYDRDDVPDFLSVSGQVTCRAELEGLPDVSLPLTGLKTAHVEVSSFHHCVQASEPTANKQTLVFQPPLGNFVLMHYQAPCNIAPPVKGFYQLSMVSENEGAFLFKLTLMEGYKSPFIMDFCMITMPFPRRRVASYDGNPSIGTVSMTEHSIEWRIVSSGRGLSGRSIEATFPGTVKFLPRTVHRINSSFRSVSSTAYTEDSDSEQDNVKNGASLDDYIMEKINKDLQAVDLEEPLSWQAYNYAKVSFKITGGTLSGLTIDPKSINIYPSVKAPAEYSMQCNDEAT >Sspon.05G0012320-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:39721908:39722754:-1 gene:Sspon.05G0012320-2D transcript:Sspon.05G0012320-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding CRHRLWPSRWTWDAAAAEPRSRRSSAASKDDAGSSLTRWSTKRTRCSFPGPSTPWTSAASSGARPDVSQPKLRLCPRRSRRRKKKKKEDPPPLPLPPPPPVEEPEPKPATCKALIPYPCPYPYPYPCPSPCPNPQPTCPSSCATPPRSCQCHSCRPPPPCPAPPRPCPPPVCNKCPTWTPCQCRGYPWVVCCEEIPDPSCAVM >Sspon.03G0023120-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:70607798:70609023:1 gene:Sspon.03G0023120-2P transcript:Sspon.03G0023120-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAHQEPASSGGGPPRRPRVLLAASGSVAAIKFEGLCRSVAEWADVRAVATASALHFIDGASFPDGVPLYTDDDEWSRWRRVGNEVLHIELRRWADALVIAPLSANTLAKVAGGLCDNLLTCVVRAWDYSKPVYVAPAMNTFMWDNPFTARHLAILRELGMSIVQPVTKRLACGDYGNGAMAEPSEICKTLMLFFGPQHL >Sspon.01G0016500-3C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1C:52149960:52151131:1 gene:Sspon.01G0016500-3C transcript:Sspon.01G0016500-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGLKRDPIVILRINGEDLNEFVESPRYEPEAMAIFSQVGSANNATLRQCLLASLGQLTVDHGMPPASDSWVMENVVEPALQELSANQLDQPVSQEVFFQEFRKFLGIIVLRLQQHPVIVAHTENTFDGSGIRRLLSNKFEFDKLLDSVWRDVPKEHKDKTSKEYLRIALDRIADSASLPPFGAVDQVDAVVNEAFKMAKADDLKAVDEADFKKLLTEILGGIMLQLDGNPIAVSTNT >Sspon.07G0037720-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7D:61857934:61858582:-1 gene:Sspon.07G0037720-1D transcript:Sspon.07G0037720-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DSRNKLLEHVPCQYLLVLPTLLVGCLLDKSLYGLRQAPRAWFDRFAKFVITIGFTLTRSDSLLFVFHHGNDVTYLLLYVDDMVLTGSSPAFLQHIVQRLRAEFSIKDLGELRFFLGIAVKRDAHGFYLSQQRYAEDILEHAGMSSYKSATTPIDAKGKLSADGDKIDDAATYRSLASVLQYLTITRPDLAFAVQQLCLHMHDLRVPHLALLKHLLW >Sspon.08G0013820-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:55555157:55567508:-1 gene:Sspon.08G0013820-1P transcript:Sspon.08G0013820-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLAINPFSSGTRLRDMIRAIRACKTAAEERAVVRRECAAIRAAISEGDQDYRHRNMAKLMFIHMLGYPTHFGQMECLKLIAAAGFPEKRIGYLGLMLLLDERQEVLMLVTNSLKQDLNHSNQFIVGLALCALGNICSAEMARDLAPEVERLLQNRDPNTKKKAALCSIRIVRKVPDLAENFMSAAVSLLKEKHHGVLISAVQLCMELCKASYEALEYLRKNCLEGLVRILRDVSNSSYAPEYDIGGITDPFLHIRVLKLMRILGQGDADCSEYINDILAQVSTKTESNKNAGNAILYECVETIMGIEATSGLRVLAINILGRFLSNRDNNIRYVALNMLMKAIAVDTQAVQRHRATILECVKDADVSIRKRALELVYLLVNDTNVKPLTKELVDYLEVSDQDFKEDLTAKICSIVEKFSMDKLWYLDQMFRVLSLAGNYIKDDVWHALIVLMSNASELQGYSVRSLYKALQASGEQESLVRVAVWCIGEYGEMLVNNLSMLDMEEPITVTESDAVDAVEAALQRYSADVTTRAMCLVSLLKLSSRFPPTSERIKDIVAQNKGNTVLELQQRSIEFSSIIQRHQSIKSSLLERMPVLDEANYLVKRAASTQAAVSSVNSAPAATSGGPLKLPNGVAKPPPAPLADLLDLSSDDAPVTTSAPTTAPNDFLQDLLGIGLTDSSPIGGAPSTSNDILMDLLSIGSSPVQNGLPTSNSSLPAIETKPVPVTPQVVDLLDGLSSSTSLPDENAAYPTITAFQSATLRITFSFKKQPGKPQETTINASFTNLATTTFTDFVFQAAVPKFIQLRLDPASSSTLPASGNGSVTQSLSVTNNQHGQKPLAMRIRMSYKVNGEDRLEQGQISNFPAGL >Sspon.02G0031450-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2B:108581371:108581981:-1 gene:Sspon.02G0031450-2B transcript:Sspon.02G0031450-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GDALHLRAGRRRGGARARKGQGLRPRWPRLPRPLQGRRHSPPPRPRQTDEQLRRGRRRRRRRQAAVRGVLLPRGPGGAARHGAALHPAQEGGLLVLPLPLHRVRPRHQPGPLDRGHARRRAGARRALQPPPQGRRRRRRHGLHHARHRQARQPGEGHAAGPVPAPAREGPAEGGPQGGHHHGGRRRGPPVPHRLLRPIHLRRQ >Sspon.06G0014090-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:89248074:89249448:1 gene:Sspon.06G0014090-2C transcript:Sspon.06G0014090-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLARFVVDSHARSQPKGANLEDRVSTDVDDDPLAAARQADPDVLSQDMLKKYITYAKLNVFPKIHDADLDKISHVYAELRRESSHGQGVPIAVRHIESIIRMSEAHARMHLRSYVSQEDVDMAIRVLLDSFISTQKFGVQKALQKNFRKYMTFKKDYNELLLLLLRTLVKDALHFEEIMSGSTSRLTHVEVKVEDLRNKAQEYEIYDLKPFFSSAHFRDNSFVLDEGRGIIRHPLAEAVEPASLQPWDEVNSHSGLLDEER >Sspon.08G0028740-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:16315885:16316315:-1 gene:Sspon.08G0028740-1D transcript:Sspon.08G0028740-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding QRTPTVPLVSPGSHSSVAELQSPKVQRLRRRPNRRPRSAAPVLCSWQTIPLENAAEKFWRSKPRQEARRTAACRSTSNPFLAASASALRGTDWCLV >Sspon.01G0034460-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:3924318:3925110:1 gene:Sspon.01G0034460-1B transcript:Sspon.01G0034460-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLWRTEPRGKAAAAGPDEPVRVLVTVTVLGSAGPLRFIVLEGDTVAALRCYAREGRMPLIGTDPAGFVLSTANGGSGALKEDEKITFNGCRTFLLWQKDAVQGAAKDAGHDALTATPSPGRKGGGGWKAGLNKFLLSFSIKV >Sspon.01G0026480-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:77583365:77587156:1 gene:Sspon.01G0026480-2B transcript:Sspon.01G0026480-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHGRPDAVVLHGKRGREGPGGGEGDGGEHGVEGPDRQVEDQAGEEGQAQPRRQRRRRRQERGRVHAAGGGPAAAVAQGPDPVEHDQPVPHRHRAPPGGAVLLPQVPDHDARHGRRAAVAGVRHLRALVRLLLDPGPAAQVGPRDAGDVPGPPRAAVRPRGRGVPAVPDRLLREHGGPAQGAAHHHRQHRAVHPRRRLPRGPRQLLRLRRRRLHAALRHAVRDRRVRAPLGALLQEVRRGAARAGVLLLPEDRLPQGQGAADVRQGARAMKREYEEFKVRINALVAKAQKKPEEGWVMQDGTPWPGNNTRDHPGMIQVYLGSQGALDVEGHELPRLVYVSREKRPGYNHHKKAGAMNALVRVSAVLTNAPFILNLDCDHYVNNSKAVREAMCFLMDPQLGKKLCYVQFPQRFDGIDRHDRYANRNVVFFDINMKGLDGIQGPVYVGTGCVFNRQALYGYDPPRPEKRPKMTCDCWPSWCCCCCCFGGGKRGKARKDKKGGGADGGEEPRRGLLGFYRKRSKKDKLGGSVAGSKKGGGLYKKHQRAFELEEIEEGLEGYDELERSSLMSQKSFEKRFGQSPVFIASTLV >Sspon.07G0000070-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:19969459:19973659:1 gene:Sspon.07G0000070-2B transcript:Sspon.07G0000070-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVQETLLRDNSALSNTVTKLNRDVAKLEVFRKTLVQSLQEDDSSDNTAPTARVAATSNFSSAPSDEDSAFPTSKSSQISETASSVPEENSQVDPDAPRPPHPGRQHVFLPSYNSTPRMTPPGSPPRCFASVSPPRRHSISVTSMNMFNDRSSGFSGQHSSPFDAPSQTGRTRVDGKEFFRQVRNRLSNEQFGAFLANVKELNAHRQTREDTLRKADEIFGPENKDLYTIFESLITRNIRYVPVAEAAIANDGQALTREYAGCVASKLQGHPQMKQPFVA >Sspon.01G0052790-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1C:26208264:26209063:-1 gene:Sspon.01G0052790-1C transcript:Sspon.01G0052790-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding TTTAAPRGPTRGRDLTPRSASVHRRARSMSPLRSASPRLKLINALVPAPDLGPAPDATGGTHGGEAAPPVTASSRSSSSSSTSSSSSAASSSARGSRRWVFIRDMLLHRSKSEPGSSSSAHSRDGAPAPRPRRREQARASLAVLAGLATEATAGGPGGEDAARPRAQGRGRRRRSTTVAAAHERLYAAPNRAQAEEMRRRTFLPYRQGLLGCLGFSSRGYGALHGLTKTLNPVSLLKKSPSKGSHKKK >Sspon.07G0022580-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:6324112:6326877:1 gene:Sspon.07G0022580-2C transcript:Sspon.07G0022580-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding EKNSGVKVTSISISKSVQHSIKKREPKNSSSKKKEKQEVSVLLDKKSKKGNRKSVLERHKKDMTNSVQAQSICKNQSKAGFSTVLNTTDMRCKSDSSCKSKHVTFSDGTVKFRRTAHLPEDNTKQPQSVQTFQQPTQEGCDHRNTDEQQLVYQQAEAISGAVETTSSLSENLVPVGVCRTIPLTKPKDNTILGNSVDLNHCIETSHGSNCLNNASLACLSSKVPCQSFKGVDSHLNGDNSLSLDVECLGEQNHMTSQASFNPVSLAAKAISGDRSPLSQPSGSCLYDRSRSTFQERAVAFGKSVFHADPRFTPTAPMAYGTDIRQLPSSERTKIHYYTIPSNKYPCAKQQELSMECLCSECLGHHNPQQKLLGMRNHYLSQNFGQDTQHNAETTVRLMGKTVTLGTSSIQCTRLNNETPCSSKQIQAEDQFFQGTRTKVFPQLFHGRLVYPPSACRISDGERQPSGNPSRFSFVPAAVGAFVPGTSSLRTNGHNQQPELATANNRYVQPMDWRNESELGNQQPVMANQVQSNAEDMLLGSIHCRHTQTVAPESPFNTRNSARNFMEKGPASYLQSSYPTQQQFSDMAERTAASSFASGSAVQKTPGLTTQTKFTSLRPLPPSVIPSHVYSADCAPLPHGSVTTFHPSVPTPYPPSNSSAPGNSVFEIESMRWTIMGSRPEGLEHSRNCKRLAEKDDVPLTLPKKPCTAAQKGLHMLPFAETGLEFRGSRPDAQPQPSDVPICLDDEAEANLRLGNRESHPTWSKAVSTMTPVKLKPGAKHVRQTSASSVYQENPWPLHLITPPLAPGE >Sspon.01G0032730-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1A:110145583:110149050:-1 gene:Sspon.01G0032730-1A transcript:Sspon.01G0032730-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MIHLLFLVLFAEGAVALLLMVKVGPLRELAMRGVDQVKTGKGPATVKTLACTLSVILMSNVASILKIQNRGLKLGTVSPMDQVLWRTHLLEASLIGYTLFLAFVIDRLHHYLRKLMTLRKTSSTSREEVEKLQMENRSLREKEEKSSSETKKLQREIAKLNESMKKLKSETEEHERKASVAEAHVNALQKQSEELLLEYDRLLEDNQVLQTQLLSRG >Sspon.02G0025700-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2A:89230967:89231965:-1 gene:Sspon.02G0025700-1A transcript:Sspon.02G0025700-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGACSNNDVRLSAAALLAALLATAATVCSAQQLRRNYYARVCPNVESIVRDAVARKYRETFITVGATVHLFFHDCFVEGCDASVVVASTPNATAEKDHPINLSLAGDGFDTVIRAKAAVDAVPRCRNRVSCADILAMATRDAIALAGGPSYAVELGRLDGLSSTASSVDGKLAPPSFDLDQLTALFARNGLSRADMVALSAGHTVGFAHCGTFAGRIRGAAAPDPMLNRSLAEKLAAWCPDGVDPRVAVTMDVVTPRVFDNQYFRNLQSGMGLLASDQLLYTDPRSRPIVDTLARSSVAFERAFVEAITKMGRIGVKTGAQGNIRRNCVVLN >Sspon.04G0021380-1P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4A:65085974:65088695:1 gene:Sspon.04G0021380-1P transcript:Sspon.04G0021380-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHQKTVTAGGRAFRSKRKRKNMDAQGRVAVLTWVCKWRRGVGRITIVSTNEPTATVARGGPQCSIFPSLRLYSPRVLAPRRRPAEPPESPRLLRGRGTPRPADILLASFPKSGTTWLKALAFATTRRSVHSPLDGAAGHPLLSSSSHDCVRFLDTLRFLLERDGGNMTTAPRLLSTHLPYSLLPIRRATAGGGGCRIVYIARDPKDTLVSTWHFDAGLRRSAAAEGREGAGGAAMAFELYCQGHCGMGPQWEHVREYWEVSKRTPGSVLFLRYEEMLQDLAGNLKKMAEFMGCPFSAAEDDAGVVRSILELCSLDKQRSLAVNKTGACVENLEDTVITIDNKHFFRKGIMGDWRNHMTPEMAARLDGIVDEALQGSGFTF >Sspon.05G0016260-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:65941797:65945568:-1 gene:Sspon.05G0016260-2B transcript:Sspon.05G0016260-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASAPIRLLSPSRSPWLPQDPSPRPVPPLHASPARHRYLRSVRCALSPPPPSLDLPLLPFQPAEALYKRKNSFVHFVLDPVVDSTSKASFAVRYGCLVHIESVQKLEIGALISIRGVCRVNISNLLDMEPYFRGTVSPMMDEPYDAIELGTRISKLKESMCNLHSLQMKLKVPEDEPLQTNIRASLLWSEKEIFEEYNESFIPGHPERLSFAAYQTVSGMSDEELLTLQNYKIQAMDSIDTLERLNNGIKFVEHNIGMIAARLAIQNI >Sspon.07G0023300-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:14266045:14270496:-1 gene:Sspon.07G0023300-3D transcript:Sspon.07G0023300-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LTAASSAALGKPAAGKTVDWRYILASPQFRRLFSDESKKNYENYYPKGKKEVPKGDGSNKSESKQESNTDEGWNFQENAMKHLQNFLAPLLILGLMLSSMSSSTADQKEISFQEFKNKLLEPGLVDRIVVSNKSVAKVFIRNSPLPKSQGQNSDTHISTTDVLGKPAPSRCKYYFNIGSVDSFEEKLEEAQEALGIDPHDFVPVTYVTEVNWFQEVMRFAPTAFLVGLIYFMGKRMQSGFNIGGGPGKGRGGIFNIGKATVTKMDKNSKNKVFFKDVAGCDEAKQEIMEFVHFLKNPKKYEDLGAKIPKGALLVGPPGTGKTLLAKATAGESGVPFLSISGSDFMEMFVGVGPSRVRNLFQEARQCAPSIVFIDEIDAIGRARGRGGFSGSNDERESTLNQLLVEMDGFGTTAGVVVLAGTNRPDILDKALLRPGRFDRQITIDKPDIKGRDQIFRIYLKKLKLDNEPSFYSQRLAALTPGFAGADIANVCNEAALIAARREETQITMQHFESAIDRIIGGLEKKNRVISKLERRTVAYHESGHAVAGWFLEHAEPLLKVTIVPRGTAALGFAQYVPNENLLMTKEQLFDMTCMTLGGRAAEEVLIGKISTGAQNDLEKVTKMTYAQVAVYGFSEKVGLLSFPQRDDGFEMTKPYSNQTASIIDDEVREWVGKAYKKTVELITEHKEQVAQIAELLLDKEVLHQDDLTRVLGERPFKALEPTNYDLFKQGFQDDDDKSQAPAENAELPDDSSPPLGEVVPT >Sspon.03G0000250-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:608621:610762:-1 gene:Sspon.03G0000250-1A transcript:Sspon.03G0000250-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKHNNVIPNGHFKKHWQNYVKTWFNQPARKQRRRIARQKKAVKIFPRPTAGPLRPIVQCQTLKYNMKSRAGRGFTLEELKAAGIPKKLAPTIGISVDHRRKNKSLEGLQANVQRLKTYKAKLVIFPRRARKVKAGDSTPEELATATQVQGDYMPITRGEKRSVEVVKVTDEMKAFAAYGKLRLERMNKKHLGARQKKAAEAEKEDKK >Sspon.01G0038860-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:24477464:24477712:-1 gene:Sspon.01G0038860-2C transcript:Sspon.01G0038860-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to CLE family OsCLE302 protein [Source: Projected from Oryza sativa (Os03g0260432)] MVRGGRPAAGGLVGWLLIAVLVHSSILGSTVFTAVDAARTSAFVVTTTAPLPPMAPAPSPADNMLGESKRKVPTGSNPLHNR >Sspon.01G0025760-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:90223554:90225792:-1 gene:Sspon.01G0025760-1A transcript:Sspon.01G0025760-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYMVLNAEEEIQRRSMQASLHAAMPQPSENATDGSSVSRLAPRMTVDVNFGDVAGDSDAGSNQGTPGRPLHHFHRPHSKESGNILYGKSITLRHKYTPRTTSCSLFSIIARKASKAGNYRKL >Sspon.02G0028240-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:97923937:97931128:1 gene:Sspon.02G0028240-2B transcript:Sspon.02G0028240-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDFQGLLAPDFGLRPRGKAAPMSAARSSGPSGSAWTNTRSAAGSAAAPSAPSYDELFGSAPPPKATPSPSLDNIFDSFKDPAASTAAPPPPPKPKHSSMPVFDKPVYDDDIFVGVPGVKSSSARYEDVFGGSQSQSREAPPAFDDLLGGFGMSSQVREEVDDKRKPEAAATAAAATGFDYLIPGFGGRSSPRQRSTSKQPASLSDPFVVLETTSSSAHPFADPLDEFGKAAKYQGKSRESTSVGSSLFEDSSTFNQAPKSERFFTSEVDNGSKDRNESSRAQDSNPVQSFSKRNSQQPSVEDFENIFPKSHSARYSDVHVDIGSEKHSGNGINDQSPRSDESEDEIWLTASEIPLFTQPTSAPPPSRPPPPLAVKQKHGSKSKRKDDEHLRRSRKNIDHRRSSSNQAGVSTVDELEDFAMGKSHNVHAFNDEEFERSTAAAASAAAMKEAMDKAEAKFKHAKEVRERERDAKLRKRERERDAKLRNREQQEQDNVTRSYAQDREDKERKERLEQEREMRQKEEKEREQRRVEEERELERQRERERARQAVDRATKEARERAAAEARAKAEREARQRSERAAVQRAQQEARERAAVEAKERAERATAEAKERAAAEAKEKAASQARDRAAAERAAVERAQQEARKRAERAAVERAAAEARERQAASAAAAAAREKMSKPDDLESFFGMGARANSAPKQRAPTVDSMFDSQNQNRGTSTSTSMKKASSTANIADDLSAIFGGAPTSSDEFQEVDGESEERRRARLERHQRTRERAAKALAEKNERDMQQQREQAERHRLAETLDFEIKRWAAGKEGNLRALLSTLQYVLWPECGWQPVSLTDLITAAAVKKVYRKATLCIHPDKVQQKGANLQQKYIAEKVFDLLKEAWNKFNSEELF >Sspon.03G0025150-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:94610397:94614036:-1 gene:Sspon.03G0025150-2C transcript:Sspon.03G0025150-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQGAGRDPELFAELWRACAGPLVELPHTDERVFYFLQGHLEQLQEPTDPALLAEQIKMFQVPNKILCKVVNVELRAETETDEMYAQITLQPEPDQVDLPTLTDPPLPETSRPVVHSFCKILTPSDTSTHGGFSVLRRHANECLPPLDMSMPTPTQELITKDLHGSEWRFKHIYRGQPRRHLLTTGWSTFVTSKKLIAGDAFVYLRLSQSQYIVSLNKYLESSKIGFNVGMRFKMSFEGEDVPVKKFSGTVVDKGDLSPQWQGSEWKTLKVKWDEATNFSGPERVSSWEIEPFDASAPAINIPVQPSMKNKRPRETAESLDIHALEPAQEFWLSGRPEQHEKTSISSNEPNCISVHQVTWTSECPGYSAMSSSICQNSAVIGNWFKDFNSSSKGASPSLPEISQKLFQVTSNDARVPPWPGLSAYQAEEPSSKLSCNTAPCSYQTEEVAPKFSIAVKEKKEPGMFRLFGVNLVNHTRSSATADKTTVGVGETSMRGAGSFEDSGQLSALSRVTKDHTHLVNESPREIQSHQSCSGRSRIKVQMHGNAVGRAVDLGNLDGYEQLMGELEEMFEIKDLGSKEEWKVTFTNDENEMEVGAVPWQEFCQVVRKIVIHPIGDGSHMEACPCPEQDGKRGF >Sspon.01G0001970-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:5775721:5777077:1 gene:Sspon.01G0001970-1A transcript:Sspon.01G0001970-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVKVFGSPTSAEVARVLMCLFEKEVEFQLIRVDAYRGTKRMPQYLKLQPHGEALTFEDDSLTLSDSRGILRHVSHKYAKQGNPDLIGTGALERASIEQWLQTEAQSFDSPSAEMVYSLAILPPTLPRQQNDNNGTGTGSGFNARDVAVGSNADASSGKRGVAGSQQPAASQSQVSPQKEEEMLKLFEQRKKDLEKLLDIYEQRLEEAKYLAGDNFTIADLSHLPNADRLVSDPRSRRMFESRKNVSRWWHDVSSRDTWQYVKSLQRPPSTSTDASAKNGQQQQHLPGSTDGHGVKSHQQVQNERHF >Sspon.07G0001990-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:4273014:4277708:-1 gene:Sspon.07G0001990-2D transcript:Sspon.07G0001990-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLALYKYSVPTVGEVIISLGKGFEISGVLWPVAKNSPEMEFFSEYGDANRYKIQEIIGKGSYGVVCSAIDRHTGEKVAIKKIQNIFEHLSDAARILREIKLLRLLRHPDIVEIKHVMLPPSRRDYRDIYVVFELMDTDLHQVIKANDDLTKEHHQFFLYQMLRALKYIHTANVYHRDLKPKNILANANCKLKICDFGLARVAFNDSPTTVFWTDYVATRWYRAPELCGSFFSKYSPAIDMWSIGCIFAEILTGKPLFPGKNVVHQLDLMTDLLGTPSADTISQIRNEKARRYLSSMRRKQPIPFSEKFPNADPSALKLLQRLLAFDPKDRPTAEEALADSYFKGIAKVEREPSCQPISKMEFEFEQKFTKEDVKELIFQEILQYHPQLLKDYKNGSEKTSFLYPSAVDRFRRQFASLEENGGRNATLDRKHESLPRTTTVHSTSIPAKEGPAATSQVAQRIPAARPGRVVGPVLPFESVSVTDQHIARRVARNQAVPPATNTSSVYCYHLKSDSSDGQEHQLERGKDRRQYRTGQHLMDAKVAPEMARDIRPSQYYVSRGMPKADLTDRATLHRSTLHGVAPFNGIAAVAGGYSQAGVLHYGVTSLY >Sspon.04G0024250-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:14805026:14813829:-1 gene:Sspon.04G0024250-1P transcript:Sspon.04G0024250-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKSLVYYGNTPVGEVEVWPKGQTDLAWAREIRVDRLSPASERCPPLAVLHVVAAGARCLVMESKSTATAHEPPPPLVTMHTTCLKDNKTAVFPLGAEEIHLVAMTSKRNMRNGACFWGFKVPLGLYNSCLSMLNLRCLGIVFDLDETLIVANTTRSFEDKIDAIQRKLNNETDPQRISGMLAEIKRYQEDKSILKQYIESDQVTDGGELYKVQSEVIPPLADNHQQPMTRPIIRLHEKNIILTRINPSIRDTSVLVRLRPAWDDLRSYLIARGRKRFEVYVCTMAERDYALEMWRLLDPDSKLINSVQLLDRLVCVKSGIEFDEGIIPRITEVCYEDELDDISSAPDVSNYFISEDENAAVSNVNKNPLAFDGMADAEVEKRMKEASSGFQSANPITTNVDLMSVAANQHFVTPISSSTPVAPPLGMPLNNDLDPQPPSLRWHVAQSGHVDPSQGSPAREEGEVPESELDPDTRRRLLILQHGQDTRDPAPPFPAGPPAQVSVPPVQSHGNWVCLEDEMNPRNLNKVSTEFHLESDSVQYDKKQLQHTSYIPIGDNPMSYDRYNYQNQRYPSQPPHSEDHHTLHNHAPTAYRSFSGQRSSHMESGRHFARYGGIPGVLEEIALKCGFKVEYRSTLCDTAELQFSVEVLIFGGKVGEGVGKTRKEAQCQAADTSLRNLADKFLSWDPDKVTFLKENDFSRHPKSHRYPGSNIYDTLPVASTSDESRYMNDRIDTLRKPGASFAALKELVEIGGKVLGKGVGATWEEAKLQIRD >Sspon.01G0028850-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:97311032:97314209:1 gene:Sspon.01G0028850-1P transcript:Sspon.01G0028850-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDMAGDGGGEQDEQAVKAESTEEEVRTSTPQDAGLPALPNKDLNRRVALLSTLGAVALFASQRLNLSETSLKDLAANAVPYEEALSNGKPTVVEFYADWCEVCRELAPDIYKVEQQYKDRVNFVMLNVDNTKWEQELDEFGVEGIPHFAFLDKEGNEEGNVVGRLPKQYFLENVVALASGDPNIPHARVVGGDDRLYYNVL >Sspon.04G0023920-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4B:15878765:15879322:-1 gene:Sspon.04G0023920-1B transcript:Sspon.04G0023920-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATDAFGVGPNPWWTCHVSKTGLVWADGPGVNGFISLRGHISGFLVDGPIWHNCASSWTYSAFNSNKNTTKTMVIHGLPRHSSPTAAHGCDYMRNLLRLDCGLQRKEHRTRVLLQLLCCWNGRKDQKREKRGLKREWRTRRISPLLFLRCIDQETVKRNRPAVSTSPAPSAAQHRPMAQCSQAAT >Sspon.08G0015360-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:61593494:61598586:1 gene:Sspon.08G0015360-1P transcript:Sspon.08G0015360-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDEYVDLPVSDEDEEEIEDEMDDSEEEGGGGSSKKKAKQHVEQLKRLQQKDPEFYKYLEQFDKDLLGFDDDDDEIEDDQETGVDGEEESVSKEELNHVKPITMEMVDSWCDGVENEKIGSIRSILQAFRRACRYGEDHGDNSTPKFSVMSGSVLDKVMHFVLKHMDRVLRQLLGAPSFGGKKEAISELMLSKSWKRHGNLMRIYLGNALHMITEMTDEQMVAFTIHRVRASAVFLAAFPSLLRKYVKALLHTWARGRGAMPLVSFMFLRDLCIQVGSDCLDTCLKGIYKAYLVNCKLSKSISGSKLQHIQFLGNCVRELYNLDPQSAYQHAFVFIRQLGVILRGALTERGPKSAKDKRQKESSKSSKKQAEKSYQKIYDWQYIFCLELWTSVVCGCSSEEEFQPLAYPLTQIIHGVACLVPSARYFPVRLRCVRMLNRIAEATGTFIPVSSLLLDMLEMKELRGRPDGGVGKAVNLFSVKQVDKKTVKTRAFQEACIYSVVDELAKHLAQWSYSIAFFEMSFIPLVRLRSFCKTIKADRFRKEMKDLIYQIEANVEFIKSKRVGIAFSPNDPAVESFLQTEKEERCSPLSKYVANLHQRAQDRMDALDETSVIVGAESSTFSRRLSEAQKQQDEQDNDEGTIAFSKNWLAENKKLKTPKENKKRPREDDDVATEEDRVEDLVLSSDEEDGNNQEPEDGFVPVEGDSDEDFVDPDSEYKKQKKAKLKKRNKRQPLSHKAPSRTKRNSHPKKKTRH >Sspon.02G0001890-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:5441464:5442353:-1 gene:Sspon.02G0001890-2B transcript:Sspon.02G0001890-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVTSSSSPSAPPPGSSKPALRLNPAAVLLRRLPTPTPTTATAPPARPGGASNPLAAFLSSLIPFWRERRRGPPKQPAHPAASAAAARRAAEAEAEARQLVGCAVPLFRPYVAQLPWHGGARAWLSKLFPRYGHYCGPNWSSGKEAGSVLWDRRPVDHLDFCCYCHDMAYDTHDQAQLLRADLAFLRCLESSRRTPARDGIAAAAIYRAMCIFGLKTILIPYRTNLVRLQTGPSYADFFADFVKRVASSSGRPTGGEKQRL >Sspon.03G0011420-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:39513914:39516024:1 gene:Sspon.03G0011420-2C transcript:Sspon.03G0011420-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGFLRRGHSLDRFLSRTRRAVSPSPSFSSSSSSSPSSPRGSSVRSGTMAEDDDDAPTTAPPPLPLQKRVLSRSHGSRAATPARSQDLPPVPSKTVRDSGPPSELDLMKEKFAKLLLGEDMSGTGKGVSSALALSNAITNLAASIFGEQRRLEPMSAERRARWNREIDWLLSVADHIVEFVPSQQVSEDGTNIEVMGTQQRDDLLVNIPALRKLDAMLLEYLESFGEAQEFWYVAKDTGGGEDDDGTCTCDKWWIPTVRVPAEGLSEASRKWLQHQKELVGQVLKAAMAINADVLAEMEIPEEYIESLPKNGRSILGDSIYKIITDDIFDPNELLQSVDLSTEHKIVDLKDRIEASVVIWHRKICHKLSWAHAGVSLEKREEFEERAQTALLILKHRFPGIPQSALDISKIQYNTDVGYALLESYSRTLESLAFAVLSRIEDVLHADAVACDPNKRTKSRRRPSLESPVPDAATAEAHHGSCVHWQDQDAEDGEKHPPDGNGRKLKKIHRVVTKKFLHTQQIDNVASGLKSFTHR >Sspon.01G0026710-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:100495443:100514957:-1 gene:Sspon.01G0026710-2B transcript:Sspon.01G0026710-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALNELCDLVAAHPDLLLADKLAWLSSRCAAAPAAAAPQRASRAHLHSLLALARLVPAGGAGAGGDAAPPAPLLSFLASHAFLSPAFWPQSFAPAPFLSRLLPLLAAAPSSPALSSALSAAVLAALDVADPASAPLARAFLSAAAAAAPLPLLPADAAPIAARLLLEFPGSEEAPTRAKGKGEDGGRGERRGKGGGAEIRKRDWREQGAQLRARINTKLMCCQAAVVVLVRSVSTMDTDSKSSKDMLQQTLAWFIEATKSCILSSWRKLKICEDLFCTLLNGISQITVSRGGQLLPVLLIPLKPLVADMAGSSPGALFEAVVKLSCEIIEFGWTKDRALVDTFIMRLAAYVRERNDYEEEDGKGKEAVPVIRLNVVRLLAELCVCLKKWEVVDMILPLFIEHLEEGDASSPSSLRLRLLDAISRVACLGFEKSYRESIVLMTRSYLDKVKALGASENNTVPSEATTERTETLPAGFLLVASNLTSTKLRSDYRHRLLSLCSDVGLVAESKSGRSGADLMGPLLPAVAEICSDFDPVSTVEPSLLKLFRNLWFYIVLFGLAPPIQNNEAPTKPVSTSLGTMESSSAIALQAVAGPYMWNSQWSVAVQRIAQGTPPLVVSSVKWLEDELELNALHNPGSRRGNGNENSAVGQRAALSAALGSRVEAAAMNTISGVKATYLLAVAFLEILRFSCNGGILSATSTLNRSNSAFSCVFEYLLTPNLTPAEDRISDIGEGADVRESVLSVHACFLIKSMSQRDEHVRDVSVKLLTQLKEKFPQVLWNSSCLDLLLISVHNELTSGPVSDPAWVATVRSLYQKIAREWLTSALSYAPCTTQGLIQMVTVLSILRLPIACLVEVKLAYHVDPFPFIRFPVHGKNDWNGIRTANVPAVMDSAAAASGAKKETPDFTLEVLSTAVVSATVKCNHAGEIAGMRRLFSTMGGVNMVMSPPGMQSVHPHQSFDEVFVSKFVSLLQNFVVAAEKQPIDNSQFRETCSQATALLLDHMVSDSRANLEGFSQLIRLLCWCPAYISTPDAMETGIYIWTWLVSAAPSLGPLVLAELVDAWLWTIDTKRGLFASDMNYCGPDAKLRPHLIAGEPEAPPEKDPVEAIIAHRLWLGFFIDRFEVVRHDSIEQLLLLGRMLQGTMKSPAHFSHHPAATGTFFTAMLLGLKFCSCQSQSNLQKCNMGLQLLEDRVYRAALGWFSYAPEWYESQNKTYAQREAQSVSVFVHFLQNERTSGPVDSVSKYRDVKADQIHPVWGCVDNYATAREKRKQLLQTLSQNEADRLEVWAQPINTKDTTTFRGKISSDKWIDHVRTAFAVDPRIALSMPLRFPTNATMQSEITQLVQKLVEGYLLGATRRSNIFAHILIWHLQGEYVDESEKDAATLK >Sspon.04G0014160-4D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4D:58455024:58456851:-1 gene:Sspon.04G0014160-4D transcript:Sspon.04G0014160-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDPPGDGAGGEEPTPGPAPAVEAPPAPAVPPRSRWAAEIKVYTRKHPRKNPKPPPPEPAPSPSPSPPPPQPRPAPTPAPPRPAPAPAPAPNPLSETLSSIRRNIRRAEAAGAAARPIRQRRPRLPPGPRLGNAAEKARKRRARSELRRRLAGELDQVRVLSKRLKEAAEALAQREASEPAPLPLMVLPTQQQAVDAGYVQPQFSAGDMAVPMSAQIAAAVTPGRTLLQRRPLTVSVIHNEAFEKEKRTPKANQLYQNSEFLLAKDRIPPSDSHGRKKSKHHKKKHRTLESRGADFDAERRLYSHAFKKSSSLLSRLMKHKFGWVFNKPVDPVALGLHDYFIIIKHPMDLGTIRGRLSHGQYRNPKEFAEDVRLTFHNAMTYNPKGQDVHFMAEQLSGIFEAQWPEIEAEVNYLASCPPLPKKFPPPPIDLRFLERSDSMRHHMALDTNSRPISHTPTYTRTPSMKKPRAKDPNKRDMTIDEKRKLSENLQNLPPEKLDAVVQVIKNKNLSVMQHDDEIEVEIDSMDAETLWELDRFVANYKKNLSKQKRKAERAMLARQDAELRAQHPIQPPQP >Sspon.05G0010090-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:31330632:31334462:-1 gene:Sspon.05G0010090-4D transcript:Sspon.05G0010090-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSSTSLTALHSCFFLSSTPSSPHMAALLPRRRRARARRYPRIRAIDLDQNTAKRDNTQPCFPCSGSGAQVCRFCTGKGIVTVVLGAGETEESQCVNCEGIGSLTCTTCQGTGIQPRYLDRREFKDDD >Sspon.04G0022840-1P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4B:9134584:9137745:-1 gene:Sspon.04G0022840-1P transcript:Sspon.04G0022840-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSAAARLLAFSPLLLGSSHCHLRLRHPPLLLLPTSRSKTTSRKPPRSQPRRKVAPAPAGSGRPEPPGARASLFQEISELVASVANVEALGPRRNGGKPYDDLGAAAVGCTEGARGIASERAALASTSIGGSISDRVVLGDRADDGSRQSCGTITNAAEAFCQEAGDTGMAVDSDVDNISAMVDRITAVLRSEAPGPSVERKLEGLGANYTPNLVNMVLKRCFKVRQLGFWFFHWAKRLPDFHHTTETYNTMLYIVGEARSFGIMEELVGEMDREMCPKDIKTWTILLSSYGKARQIGKMLSTFEALRKSESILIDSKVYRTVLHALCNADKPELALEFYKDMPSNVEVGTDILRLLMCCLATADNTAEGVYLIRDDMIEGMKHPEEYCYTEALQSFCIAGKLGEAWKVFQKMNNKSMANSSALENLLRGLCRAGRMDEALQVTEYMKRTSGLNSTTFSFLINGYLRKGEHIKAVDLLREMREYGCVPLAPSYTQVMQHLFAIDQCEEACGLFEEMLKNSVEPDIVTFTALICGHVRSGHISKAWDVFRNINKNGQKPTLKAYTMFMRELCKVSRHLEAVALLKEMLEYDFRPSETTFCWLISALRDKSYLEEASYVERIRASFNLRNPRDGLQCEQLDGVDNVDKFRKMRKSNPQEKELALEFTGSPSDQNGKVSSFTLSDDTHQKEQQDYSDGDVEEICRILSSSDDWGSTQQALEMRSVHFSPNLVDAILQRCKRNSRAALQFFSWVGRRPYYMPTTKTYNTAMKLAGSAKDFKHMWYLYKEMLRTGCSPTVDTWNVMVCQYGNAGLSEKALKTFCDMKKCGFLPDKTTYNHLIMYLTHSKGRKIDVAITIFKEMCHAGHIPDNRILFMYLLALCECRKIADATSSVVSLCEQGFSVQAGYAIFLRSLCRADRMEEALHLFDCIEEHGCSRDQYMYGSLIHVLLRRDKFEDAVAKLTEMKNEGILQSAQIYTSFIVYYFQKRDVVKALDVLREMKENGCEPTVVTCSALIRGYMAMGMVSEAWDVFQQMKLKGPAPDFETYSMFMSCLCK >Sspon.02G0028640-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:97312553:97325144:-1 gene:Sspon.02G0028640-2B transcript:Sspon.02G0028640-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGDASTSARLPERQYSRVLQSLGQSVHILDLDGRIIYWNQSAENLFGYPASEALGQDALMLLVDSRDHNAVNDIFRRISMGESWMGKFPVKNRQGDRFSALATNTPFYDEDGSLVGIICVSSDSRHLEQIFCKPPTSSRPQSESSRTSCDGSCSNTIRRINLLNKSPFDPQLPLQSTLASKITNLATKVTNKVRSRVRTDENGIEREGGSGESHCSDRGAKEEPTSSGTNTPRGDAPHGPFATEENSPGKSTNPNSDESEGKVGLHKILSSKAEALLNKKGISWPWKGRENEGPDERNHVILPWLHGDQENGMNHQKVSDSSVAPDAQGAEHNQPNKNEASGSWSSFNNNSTSSASSTGSTNSSALYKVDHEADCLDYEILWEDLIIGEQIGQGSCGTVYHALWYGSDVAVKVFSKQEYSEEVILAFRQEVSLMKKLRHPNILLFMGAVTSPQRLCIVTEFLPRGSLFRLLQKSATKLDVRRRVHMALDIARGMNYLHHSSPPIIHRDLKSSNLLVDKNWTVKVADFGLSRLKRETFLTTKTGKGTPQWMAPEVLRSEPSDEKSDVYSYGVILWELVTQKIPWENLNSMQGFAEHTLTYDPQQRPSFQELLERLRELQRHYAIQHRNTKNSIEE >Sspon.06G0033800-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:23166484:23175737:1 gene:Sspon.06G0033800-1D transcript:Sspon.06G0033800-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDDFQHLSKNSLNLQSIGDMAKFVSNYPEYRKTHGNVTKHVNLVSELSRIVEERKLMLVSQTEQELACTSGQAAAFEAVTSLLNNESVSDIDRLRLVMLYALRYEKESPVQLMQLFNKLASHSAKYKSGGVENVYTQHQPLIFQTMEGIVKGRLRDVDYPLVGNHFQQGRPQDVVIFIVGGTTYEEARSVALYNAANPGVRFFLGGSVILNSKRFLEDSGEAQRISKSSTII >Sspon.08G0008120-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:29485344:29489299:1 gene:Sspon.08G0008120-1A transcript:Sspon.08G0008120-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGIELTAAAPAPAVIKKQVRLAMAAHRSPGVGESVLEELHELCEAREAGTTFILGHSHTLELLHQETASTFVKLSEKRLFYTKTTETLTVKLQEQQEWLSMKNKITRKEPHVSLLFTLDNTANKLRESVIGRRGGTWDDNKILRIVFSIKQESDPRNKHGKLYTQLVSAQLKIQDIKSQRSALLLEISKIKQILEQEKNIIAGFPAALQQMDMKSLEEEYKALQDDKSGEVEYFQTLDETINGMK >Sspon.06G0002010-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:6487083:6489363:1 gene:Sspon.06G0002010-1A transcript:Sspon.06G0002010-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVPSSLLARLLSLLLLLLVADATRLPLSLAPGDDAAAADALLRLKAGIKDDSGGLGSWSPGTSPCGDGDGGSGPSWKGVMCNRDGVHGLQLEGMGLSGTLDLRALTRLPGPGLRTLSFMDNEFAGPLPDVKELSGLRAVFLSGNKFSGVIPADAFAGMGSLKKVVLSNNNFTGPIPASLADAPRLLELQLNDNKFQGKIPDLKQDELTQVNLANNELKGEIPASLKSMPPDMFAGNKKLCGPPLGAKCEAPPSPSPSPKASPKAPPPASVKEGTTPSLPAADIVGATGSSSADDAKQDGGQKPAEQGSTSFGVLGAFLGTLAIAGVAFVALRRRRGYKNKNFGPTASSARPSGPPRVETHPPAAKAEASAAPAPAAAAAGSDLLKATAEVLGTANLGVCYCATLTTGHSVVVKRFKEMNRVGREDFEEHMRRLGRLSHPNLLPLVAYYYRKEEKLLIHDYVPNRSLANLLHGGGEGRGMKKAAVHCGALKIVKGVARALSYLYDELCMLTVPHGHLKSSNILLDGHYEPLLTDYALVPVMNQSHAAQLMVAFKSPERKQFGRSSKKSDSSSSPQKPGPAAGNTTDLVTVVGSTPEGEWLDTVVDPDLRAKEEDKEEMVKLIRVAISCCETNVDS >Sspon.04G0015780-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:67805236:67809862:-1 gene:Sspon.04G0015780-2D transcript:Sspon.04G0015780-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heavy metal P1B-type ATPase, Cu-transporting ATPase, Control of Cu accumulation in rice grai [Source: Projected from Oryza sativa (Os02g0196600)] MERNGENHLKDPLLPATSSGSPAGASPRKERKTRKVMFSVRGISCASCAVSIESVVAGLKGVESIQVSPLQGQAVVQYRPEETDTRTIKEAIEDLNFEVDELQEQEIAVCRLRIKGMACTSCSESVERALQMVPGVKKAAVGLALEEAKVHYDPNVTSRDLIIEAVEDAGFGADPISSGDDVNKVHLKLEGVNSPEDTKLVQSVLEAAEGVNSVEWDTVEQTIKVAYDPDITGPRLLIQCIQNAAQPPKCFNATLHSPPKQREAERNHEIRNYRNQFLWSCLFSVPVFLFSMALPMLSPFGDWLEYRICNNMTIGMLLRFYVGAYHALKRGYSNMDVLVALGTNAAYFYSVYIVLKALTSDSFEGQDFFETSAMLISFILLGKYLEVMAKGKTSDALSKLTELAPETACLLTFDKDGNVISETEISTQLLQRNDVIKIVPGTKVPVDGVVIKGQSHVNESMITGEARPISKKPGDRVIGGTVNDNGCIIVKATHVGSETALSQIVQLVEAAQLARAPVQKLADKISRFFVPTVVAAAFLTWLGWFIPGQLHLLPQQWIPKAMDSFELALQFGISVLVVACPCALGLATPTAVMVATGKGASQGVLIKGGNALEKAHKIKAIIFDKTGTLTVGKPSVVQTKIFSKIPLLELCDLAAGAEANSEHPLSKAIVEHTKKLKEQYGSHSDHMMESRDFEVHPGAGVSAQVEGRLVLVGNKRLMQEFEVPLSPEVEAYMSETEELARTCVLVAIDKIICGALAVSDPLKPEAGQVISYLKSMGISSIMVTGDNWATAKSIAKEVGISQVFAEIDPVGKAEKIKDLQMQGLTVAMVGDGVNDSPALAAADVGMAIGAGTDVAIEAADIVLMKSSLEDVITAIDLSRKTLSRIRLNYVWALGYNVLGMPIAAGVLFPFTGIRLPPWLAGACMAASSVSVVCSSLLLQLYKKPLHVEDAPRPGDGSDLV >Sspon.03G0015660-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:49835130:49838037:-1 gene:Sspon.03G0015660-1A transcript:Sspon.03G0015660-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALACATHARRFLLAGPARSFHAQPYQAKVGVVEFLNGVGKGVEAHAAKLEEAVGGDLQRLLEARTLRLKKLGIPCKHRKLILSFAHKYRLGLWKPRAEPRKVE >Sspon.03G0041510-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:42562727:42568324:-1 gene:Sspon.03G0041510-1C transcript:Sspon.03G0041510-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLGQRPGDKSDSRYCGVEVLDFPAGEGLPAVLSHSLASAFDFILAPLVDPSYRPAPGVVLPVSASDLVLGPAQWSNHVVGKISEWIDLDAEDERLRLDSELALKQEIAWASHVSLQLWLRLPLEKSEPMDGNLDKIKNNNHTSEIVDSWELWNSFRLLCDHSSQLCVALDISSTLPSINSLVRWFGEPVKAAILQTNAFLTNSRGYPCLSKRHQRLLTGFFNHSVQVIISGRSNFNVFPASGVLSGDESYTEDSPVRHALTPYLEYIAYLYQRMDPLPEQERFEINYRDFLQSPLQVSPVVFAIDSMPLMDNLEAQTYETFEKDVVKYTQYRRAVAKALVDRVADDAVSTTRMAAEETGRKLKVYAVEKNPNAVITLHSLIKLEGWESLVTVISSDMRCWDAPEKADILVSELLGSFGDNELSPECLDGAQRFLKPDGISIPSSYTSFIQPITASKLHNDIKAHKDIAHFETAYVVKLHRIATLAPPQQVRDHICVYASSVLIKSKVRLGASRNL >Sspon.06G0008030-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6C:36150059:36151722:1 gene:Sspon.06G0008030-2C transcript:Sspon.06G0008030-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAARLLLRVLALLAAAQAVVGKSAVLSLRELDGRRGAATETRYADAKLAQMLGEHKKAGAARTATTVLELKRHSLVAIPDDPAARDRYLRRLLAADESRANSFQLRNNDRAAAASTQSASAEVPLTSGIRLQTLNYVTTIALGGGSSSSPAANLTVIVDTGSDLTWVQCKPCSACYAQRDPLFDPAGSATYAAVRCNASACAASLKAATGTPGSCGTTAGGGNERCYYALAYGDGSFSRGVLATDTVALGGASLDGFVFGCGLSNRGLFGGTAGLMGLGRTELSLVSQTASRYGGVFSYCLPATTSGDASGSLSLGGDASSYRNTTSVAYTRMIADPAQPPFYFLNITGAAVGGTALAAQGLGATNVLIDSGTVITRLAPSVYRAVRAEFTRQFGAAGYPTAPGFSILDTCYDLTGHEEVKVPLLTLRLEGGADVTVDAAGMLFVVRKDGSQVCLAMASLSYEDQTPIIGNYQQKNKRVVYDTVGSRLGFADEDCNYV >Sspon.02G0032960-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:3055935:3061093:-1 gene:Sspon.02G0032960-2C transcript:Sspon.02G0032960-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRVLNPNAQVLTKSEALHMTINAAKGLQDVLKTNLGPKGTIKMLVGGAGDLKLTKDGNTLLKEMQIQNPTAIMIARTAVAQDDTSGDGTTSTVLFIGELMKQSERCIDEGTHPRFLVDGFDVAKRACLDFLEKFKTPVVIGEEPDRDTLKMIARTTLRTKLYEGLADQLTDIVVNAVLCIRKPDEPIDLFMVEIMHMRHKFDVDTCLVEGLVLDHGSRHPDMKRRAENCYILTCNVSLEYEKSEINAGFFYSNAEQREKMVAAERRQVDERVKRIIELKNKVCAGGDKNFVVINQKGIDPPSLDLLARAGIIALRRAKRRNMERLVLACGGEAVNSVDDLTEDCLGWAGLVYEHVLGEEKYTFVENVKNPRSCTILIKGPNDHTIAQIKDAVRDGLRSVKNTIEDEAVVLGAGAFEVAAKKHLIDNVKKTVKGRAQLGVEAFAEALLVIPKTLAENSGLDTQDVIVSLQNEHDRGLIVGLNHHSGEPIDPQMEGIFDNYSVKRQIINSGPIIASQLLLVDEVIRAGRNMRKPT >Sspon.08G0026340-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:20365235:20367771:1 gene:Sspon.08G0026340-1C transcript:Sspon.08G0026340-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFDVTSRITYKNVPTWHRDISRVCENIPVVLCGNKVDVKNRQVKAKAVTYHRKKNLQYYEISAKSNYNFEKPFLYLARKLAGNMDVKFVEELALVPADVTIDVAAQQQIDKEIELAAAIPLPDEDNDNMD >Sspon.02G0020840-3C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2C:70829420:70830268:-1 gene:Sspon.02G0020840-3C transcript:Sspon.02G0020840-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLPTGDSQPLPAPPAVRQLDDLPSDVLYKLLAGLPAGDVVRTSVLSQARSSRWESVPDLDIDLDDRVRDWESAAGFLERCAAPVRGVSIRGIPLRLSDRADGWVRTVAGKSPRSLSLALDMTPLPSLFACDPAALADLKLVTCVLPPPPPAFAGFRGLTALDLDFVLFSGEKGWERLEAMISAAAPTLKKLRLANIGFHDVALGGGLPVHGAWIIQAPNLRWLELRLTMAGAGSWELGHLPKLDYANITLNAQEPRDYGSMLTALSSVRELEIGNFDCATFQ >Sspon.02G0042290-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2B:82036014:82037409:1 gene:Sspon.02G0042290-1B transcript:Sspon.02G0042290-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQWKEAVYDKAKNLRVRMYKLTPTPIASVGKQKLPVLVHLHDGGFCLGRARGRTCTSCSSASLRTPLRDQSVAASATDGWLTEAADFGRVFVTGDSAGGTIAHHLIVHAGSEAASEPEPGLVTVHGYVLFMPFFGDVRHAASEAECPEEAFPNLDLVNRFWRLSLPAGATRYHPAANSFGPDSPDLGSVDFPLVLVVVGGLDLIRDRTIDYAEQLVPMGKPVELAEFTGKSHGFYLHELGSEATAQLIQTVAQFVDSCGAVAASEAGRLLLNLLGICMHMHGTKRLGVSRPRPFLFSCIDTFSAIIAERS >Sspon.02G0005150-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:16306733:16315793:-1 gene:Sspon.02G0005150-1P transcript:Sspon.02G0005150-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:HOPM interactor 7 [Source:Projected from Arabidopsis thaliana (AT3G43300) TAIR;Acc:AT3G43300] KLIAYDHLEGDPGLEGGKNSPIFTDILNMVCGCVDNTSSDSTVLQVLKVSVSPASSVVKDTPSSITKESENGEISTDSQDEEKVTLGDALSMNRPSEAPPTSVEELQNLAGGADIKGLEAVLDKAVELEDGKKVSRGIDLDTVNIIQRDALLLFRTLCKMSMKEESDEVATKTRLLSLELLQGLLEGVSNAFTKNFHFIDSVKAYLSYALLRASVSSLPVVFQYACGIFSVLLLRFRESLKGEIGVFFPLIVLRSLDSSDSPLSQKASVLRMLEKVCKDPQMLADVFVNYDCDLEGPNLFERTVSALSRIAQGSQIADTNSIASSQTVSVKGSSLQVTMIQCLVSILKSLADWEQLRRDSSKQGSIVESHEEDASRSLGTDEMKSQEDSRNQFERAKAHKSTMEAAISEFNRKPTKGIEYLLSNKLIENKASSVAQFLKSNPSLDKVMIGEYLGQHEEFPLAVMHAYVDSMKFSGLKFDAAIREFLRGFRLPGEAQKIDRIMEKFAERYCADNPELFKNADTAYVLAYAVIMLNTDAHNPMVWPKMSKSDFVRMNSASDAEECAPKELLEEIYDSIVKEEIKMKDDSHDTSKTTKRPETEERGRLVNILNLALPRLKSASDTKAESEKIIKQTQALFKNQGQKKGVFYIAQQVELVRPMLEAVGWPLLATFSVTMEEGDSKPRVVSCMEGFRAGIHLTRVLGMDTMRYAFLTSLVRFTFLHAPKEMRSKNVEALRTLLGLADTDMDALQDTWNAVLECVSRLEYITSNPSISATVMLGSNQISRDSVVQSLKELAGKPAEQIFVNSVKLPSDSIVEFFTALCGVSAEELKQTPARVFSLQKLVEISYYNMARIRLVWARIWSVLAEHFIAAGSHHEEKVAMYAIDSLRQLGMKYLERAELNNFTFQSDILKPFVILMRNSHNSKIRGLIVDCIVQLIKSKVGSIKSGWRCVFMIFTAAADDEDESIVESAFENVEQVILEHFDQVVGDCFMDCVNCLIGFANNKCTPRISLKAIALLRICEDRLAEGFIPGGAVKPIDVVPEANFDVTEHYWFPMLAGLSDLTLDSRPEVRHCALEVLFDLLNERGHKFSSPFWESIFHRVLFPIFDHVRHAGRDGLSSSGDDWLRDTSIHSLQLICNLFNTFYKVILLLECAKKTDQTVVSIALGALVHLIEVGGHQFSDGDWETLLKSIRDASYTTQPLELLNSLGFQKSNNQQLLSREESNAHGNSYHGSRGEPSISNNGEHSHPEAGPQTSLENSEGLPSPSGRTQPAVSPRGQSFGQRIMGNMMDNLLVRSLTSKSKGRTDDIAPPSPVKAPDDDEADKVEEEESPMMETVRSKCITQLLLLGAIDSIQKRYWSRLKATQQIAIMDILLSLLEFASSYNSPSNLRTRMHHIPPERPPLNLLRQELAGTTIYLDILHKSTVEQGEKDSTEETNGLNVESDDQEKIKYLAEGKLVSFCGQILKEASDLQPSTGEAASADIHRVLDLRAPVIVMVLKGMRIMDAQIFKRHLKEFYPLITKLICCDQMDVRGALGDLFSKQLTPLMP >Sspon.05G0010820-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:33112736:33115565:-1 gene:Sspon.05G0010820-2D transcript:Sspon.05G0010820-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRQKIANSGWAAFDRKWRSADGSGDEGDADSFPALSSFGAPNLASSSIAEKNGLKPKPFASVVRPSVGAVSNGRGNENSANHVDNGNHGAISASVNKVKLLKDAHSWADSNLIEDVLAAVNNDVSQASDLLKAMVSPDLQTGEGRTSGQLAAVMNKTHGFPSESAAAGKANPDSSQLLLPPMNFPSIPLQPEFEGIDDDYLNYRKDALKMMRAATKHSQSASNAFLRGDHAAAKELSLRAQEERAAAEKLNNKAAEEIFRLRNSNNDIWKIDMHGLHASEAVAVLERHLHMIEFQQPGNKSASTEDLAKLESAYSESTTGSNIELTAEKVVLRRPKQSILHVITGMGNHSKGQASLPVAVRGFLIENGYRFDELRPGVFAVRPKFRRR >Sspon.03G0003900-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:27214753:27218292:1 gene:Sspon.03G0003900-2C transcript:Sspon.03G0003900-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDEQMEVLRKQISIYATICEQLVDMHRTLTEHQDTIAGMRFSNLYSDHLIIPGGHKITARQRWQPTPMQLQILENIFDQGNGTPSKQKIKEITAELSHHGQISETNVYNWFQNRRARSKRKQAASLPNTSNAESEAEVDEESLTDKKPKSDRSLQDNKAMGGHSADRISGMHHLDTDHDQIGGMMYGCNDSGLRSSGSSGQMSFYENIMSNPSIFSGTSVVTSFH >Sspon.02G0013040-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:35062417:35069959:1 gene:Sspon.02G0013040-1A transcript:Sspon.02G0013040-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSEFLDLEVQDGIRMPWNVIPGTKQETVNCVIPVSAIYTPLKSIPDIPVLPYAPLRCRMCRSILNPFSIVDYVAKIWVCPFCFQRNQFPQHYSSISENNLPAELFPQYTTVEYISSTETGPIVPPVFIFVVDTCMIEEEIGYLKSALAQAVELLPDNSLVGFITFGTYVQVHELGFGLLPKSYVFKGTKEVTKEQILEQMCFFAGKKMPTTGVIAGTRDGLSSESISRFLLPASECEFVIEEIQKDPWPVPADQRASRCTGAALSVAANLLGVCVPGSGARIMAFVGGPSTEGPGSIVSKSLSEPIRSHKDLDKDSAPLYDKAVKFYDQIAKQLVHQGHVLDLFACAVDQTDYCSSGILEINCSKDVKVQGIIGPCSSLEKKSPLSSDTVIGQGNTSAWKMCGLDRKTSLCLVYDVAKKDGPDSIGQSTSNQFYFQFLTYYQHSEGQMRLRSTTISRRWVSGDNNELVAGFDQEAAAAVMARLVSFKMETEVDFDPVRWLDRALIRICSKFGDYQKETPSSFCLSPRLSIFPQFIFNLRRSQFVQVFNNSPDETAYFRMMLDRENVANAVVMIQPSLISYSFQSGPEPVLLDATAIASDKILLLDSYFTVVIFHGVTIAQWRNAGYQDQEGHEVFAQLLKAPHEEANLIIKERFPVPRLVVCDQYGSQARFLLAKLNPSVTYNSDNPSPGGDVIFTDDVSFQVFMDHLQRLAVQ >Sspon.01G0011370-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1A:31102888:31104051:-1 gene:Sspon.01G0011370-1A transcript:Sspon.01G0011370-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQCDAEALQVASSPCMVIVCSSSSTLCRTLPFRGNYLCPESRRRFRYAPTSNNQRLNLLPACHRVYLTAMNYEQCSALYRSIRLRVPARTHQLTRFSWLRLLEARRTPSRRRRLEEALQQHMVGMWLHSPASMPELIWNSDTRFSDGMRERSPSAMDWMYS >Sspon.08G0011800-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8A:50965346:50967164:1 gene:Sspon.08G0011800-1A transcript:Sspon.08G0011800-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALEGVVGIGIAKRPSQQCLDAVENAARRAEAEEGTVEQNTTPWIARKKVTALAICLVALPVLMTTVSRRDSPWTPASFWPLATFARQEKLLGGLLVPGFDERSCLSRYQSAFYRKNLTRSPSAHLIKRLRQHEALQRRCGPGTEAYRAAAARLRSWRRDGTNDGACRYLVLVPYRGLGNRILAVASAFLYAVLTDRVLLLDGNTSMGEIFCEPFPGTSWLLPPHFPISNLQNLTGDVRESYRNLVQNDSAASLASRLPYVFVDLDHSCTYHDKLFFCDDERPFLRRAPWLVMRTDGYFVPALFLNPAHQDELDRMFPRKDSVFYLLAHYMFHPTNKVWGLITRFHSSYLRNSDERLGIQVRVFDGDTPFQHILDQILACTSQEHLLPDVVTQEPPRPSTAGARSKAVLMTGLSSWYYENIRWKYWQLATATGEVVSVYQPSHEEHQLSGYTTHDMKAVAEMYLLGMTDKIVTSGWSTFGYVGHGLGGLTPWIMFRPENHTTPYPPCQRAKSMEPCMHGPPFYDCRAKHGADTGKLVPHVQHCEDMSWGLKLVHP >Sspon.01G0007910-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:19133554:19137045:-1 gene:Sspon.01G0007910-4D transcript:Sspon.01G0007910-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTEARVGMAAATMDGSGAAAAAARRYTTQQQQAQAQLQHHQPQLGTVPHLLAGGVAGAVSKTCTAPLARLTILFQVQGMHSDVATMRNTSIWREASRIVYEEGFRAFWKGNLVTIAHRLPYSSISFYAYERYKNLLQMLPGLEKNGGFGADVGVRLLGGGLSGITAASMTYPLDLVRTRLAAQTNTAYYRGISHALYAICRDEGVRGLYKGLGATLLGVGPSIAVSFSVYETLRSHWQVERPCDSPVLISLACGSLSGIASSTFTFPLDLVRRRMQLEGAAGRARVYQTGLFGTFGHIVRTEGFRGLYRGILPEYCKVVPGVGIIFMTYEMLKAILTGLESDD >Sspon.01G0008410-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:24094093:24095448:1 gene:Sspon.01G0008410-1P transcript:Sspon.01G0008410-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQLQKIALLLSFKKMVLWLPLLALTFSCCDATALKLHAHHADGGRSLTRRELLHRMAARSKARAARLLSASGRATSARVDPGPYTNGVPDTEYLVHLAIGTPPQPVQLILDTGSDLVWTQCQPCPVCFSRALGPLDPSNSSTFGVLPCNSPMCDNLTWSSCGKHNWGNQTCVYVYAYADGSITTGHLDADTFTFAAADGTGQATVPDLTFGCGLFNNGIFTSNETGIAGFGRGALSLPSQLKVDNFSHCFTAITGLEPSSVLLGLPANLYSDAGGRVQSTPLVQNFSSLRAYYLSLKGITVGSTRLPIPESTFALKQDGTGGTIIDSGTGMTTLPQDAYKLVHDAFTAQVRLPVDNTTSSSLSQLCFSVPRRAKPDMPKLVLHFEGATLDLPRENYMFEFEDAGGSVTCLAINAGDDLAIIGNYQQQNLHVLYDLVGNMLSFVPAQCNRL >Sspon.08G0011580-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8B:46793155:46794634:1 gene:Sspon.08G0011580-2B transcript:Sspon.08G0011580-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAYGLDVECPHIFDGTHFARWRNWMTCNFKFISPQLWWIVDVGFSFAIDKKNATQAQSKCLHLDSQATNVFYRSMDSSILCEIIDFESAHEIWVFLNEKYGAISNDDEPKEKAHEDVEHDHNKVVVEDCSTSRSSDDKNDHITRSLDKNDDNATSDASNDSTSCTLDGEDDGYESDAQQAHQYHHMVTLSESSSFGSNDQSHITNPCDVGKKHVSTSCDDLLDMPCSSQLNVCSTSMSCETNLLKENNELKSEVKNLSNKLERCYNSKVTFEHIMKTQRNLGDKSGLGFKKKLTRGERKQEKRMKRLLQKKLSHSMCYRCHEAGHLANGCPNIEKLKKMKEEERLKYVKCFKCRTWGHLTSMCPTKQLVKQQVKPQPKPLVEQDKTPKSKSRSTMR >Sspon.02G0001960-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:5707231:5710132:-1 gene:Sspon.02G0001960-2B transcript:Sspon.02G0001960-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding TMQSTVKLAVLALLLAAAAHHGLLPLPTARCYWLNPEIYDAGGLSRRAFPEGFVFGTAASAYQVEGMAKRGGRGPSIWDAFIEVQGTIPNNATADVTVDEYHRYQEDVNIMKNMGFDAYRFSISWSRIFPDGTGKVNQEGVDYYNRLIDYMLQRITPYANLYHYDLPLALHEQYLGWLSPKIVEAFADYAEFCFQTFGDRVKNWFTFNEPRCVAALGYDNGLHAPGRCSECAAGGDSTTEPYLAAHHLILSHAAAVRRYRDKYQLYQKGRIGILLDFVWYEPFSDSNADQVAAQRARDFHLGWFLDPIINGRYPYSMQEIVKDRLPLFSDEESRMVKGSIDYVGINHYTSFYMKDPGTWNLTPVSYQDDWHVGFVYAHSVICFSHVSADERNGVPIGAHANSYWLYIVPWGINRAVNYVKETYENPTMILAENGMDQAGDVSITQGVHDTVRIRYYRDYITELKKAIDGGARVIGYFAWSLLDNFEWRLGYTSRFGLVYVDYKTLKRYPKDSAFWFKHMLSKKRS >Sspon.01G0022190-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:81427595:81433221:-1 gene:Sspon.01G0022190-1A transcript:Sspon.01G0022190-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDEADAHDGASGRLESILTAEAEASSPWARRAWAAASIELRLLTRLAAPAVVMYMINYLMSMSTQIFSGHLGNLELAAASLGNTGIQIFAYGLMQDADKSLDLLKSKSASFFDKYKVSLKKCENKKKFWALATHRWACIIVNSWSGKPFAYMGLINSIMNRPPSLFEFICCDSRFRQNEVPDVYIVWLLQLGMGSAVETLCGQAYGAQKYDMLGIYLQRSAVLLCATGVPLAVVYAFSEPILVFLGQSPEIARAASIFVYGLIPQIFAYAINFPIQKFMQAQSIVLPSAYISTATLALHLLLSWVVVYKAGLGLLGASLVLSLSWWLIVAAQFAYIVMSPRCRHTWTGFTCQAFSGLWDFLKLSAASAVMLCLETWYFQVLVLIAGLLPNPELALDALSVCMTISGWVFMISVGFNAAASVRVSNELGAGHPKSAYFSVWVVTGVSTLISVMLSIVILCLRNYISYLFTEGEVVSNAVADLCPLLAITLILNGIQPVLSGVAVGCGWQQFVAYVNIGCYYIVGVPLGALLGFVFKLGVKGIWGGMIGGTCMQTAILLWVTLRTDWNKEVGIPKVEEAQKRLHKWEDKKTTEEPLLAGVGNGN >Sspon.05G0021300-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:82607962:82610090:1 gene:Sspon.05G0021300-2D transcript:Sspon.05G0021300-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SWR1 complex subunit 6 [Source:Projected from Arabidopsis thaliana (AT5G37055) UniProtKB/Swiss-Prot;Acc:Q9FHW2] MDEEVENVGPFRRTSACTCRMATRMASALASSDNRAQAALARLEALESDNAGVEMVDLNDDEYGSTDEEDLVLMKKKQSKIMKRKTRQGKALEKKAARSFMDVLQEANLESLPPHVPTYLRAAVGPPSTSSRWHYCSVCGSSANYTCVRCGTRFCSCRSQEWVGKSG >Sspon.02G0023540-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:80340909:80344544:-1 gene:Sspon.02G0023540-1A transcript:Sspon.02G0023540-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGPPRQGASLRSGGGERKQAAPDWASLHAELTQLISVRVLATGGFLDYVRFRAVCSHWRAAAASPRGRALLDPRFHPRRWMLFPEGFGRVPGHRALGGHVRFFDLSAAGTIVRVPLPELKDHCVLDTPDGLLLLLRDGDSAVRLLHPFTRDVAEFPDLKCLAHQLYEMDYELTGVDPWYSFASRLQNTFGCLYQARKLCAAVNVTATGAITVMLALHSIGRVAFASAGDAEWTISSWKMNQLDRALSYRGKLYVVNWEDGLTHVLQIDPPQLVPQCDGEDSSPLLALPPPKTPVTCSSEEIHLPCLVELDSEIMLVGYNDSSFSHILVLRLADLVLGRTVRVKSIGDHVLFVGARSLCVSPSWLPSIGGNSIEQRIDVLLQDRTQMMGDVKDEGWGRTRFRPGVQRFNGPACQIQCTYSHRSSPRAARVPVYVLPCWPAARLHATARREMSL >Sspon.06G0005910-3D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6D:18518613:18519146:-1 gene:Sspon.06G0005910-3D transcript:Sspon.06G0005910-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding QSEWVICRVFTRKQHQMMSDRKMQTEEAVVHGHPSPGHHLLAMDQADDGFDSDQEAAPPVVAETQHTAAGSHLGTQQAMEVDHHQQQQHRQMAHEEVLTMHQHGSSWLNQHDDQLGLGAHCSALLPIMQMQSDDADCYLPELLDIGGEEDRRRRADIEFTSVIGSSDDLNGLWDSGF >Sspon.01G0000430-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:990064:991366:-1 gene:Sspon.01G0000430-2B transcript:Sspon.01G0000430-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGREISKAKRSRATMSNEDDTTSQGSAGGDAAASAGAVTAAAAETEGDEMAVVVAAETEEQEQVVSAETEEHIQRILLAIDNYTRQVSDMLDAGRALFKDLAADFEDRLCSIHKEKVERWEEEIQELRASDAANEQARALLHNAQLHLHTVRD >Sspon.06G0011780-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:51318322:51318852:1 gene:Sspon.06G0011780-3C transcript:Sspon.06G0011780-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAQASLVAAVVAIIAAATVLGTASGASYTVGEPGGSWDLQTNLTAWSSTIDFHPGDQLVFKYDASAHDVVEVTQAGYGSCSAASPVAGGTHQTGSDAVKLNYVGRRYFICSKPGHCDAGMKLEVRVTPMCTNDRGFNTCYTVPLGAAPGSSSASLGSLLVTIVSLLLALTLTIA >Sspon.01G0040700-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:42448337:42449793:1 gene:Sspon.01G0040700-1B transcript:Sspon.01G0040700-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RAMDDDGAATTAVQGCGAHVLLLPFPGMQGHANPMLQLGRRLAFHGLRPTLVVSRHVLSTTSTSRSCPFPVATISDGFDAGGISSCPDTAEYVRRMEAAGSETLAGLLDAEARAGRPVRVLVYDSHLPWARRVARAAGVPAAAFLTQMCAVDLVYGEAWAGRVPLPLADGGELRGRLAVELGPDDVPPFVAAPQWYPAFTESALSQFDGLEHADDVLVNSFRDLEDKQIFRLVEQEADYLESTWRARTIGPTLPSFYLDDGRLPCNKTYGGDLFSGTAQAACMAWLDQQEPCSVVLASYGTVANLDAAQLEELGNGLCDSGKPFVWVLRSDEAVKLSQQLGGRCKERGLVVPFCPQLEVLAHKAIGCFLTHCGWNSTIESITCGVPMVAMPQWADQPTTAKYVESAWGGEEGGGGEVHPGSDGRGKENRVQEECGEMDEEGQGSDAGRRE >Sspon.01G0037620-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:17951438:17953507:1 gene:Sspon.01G0037620-2D transcript:Sspon.01G0037620-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSRQPSPPAPSPALAPAAAVAVAEPRSRGARHRRAPPAVPAAAGASAAAAAALILVAAVALLLLWRRRRRRRKLAVAAGAQHAADLRRLSYHQLRRATGGFAAGSKLGQGGFGPVFRGALPRSGQPVAVKVMDAAGSLQGEREFHNELSLASHLLGCAATAHGGGGGGGGGGPGADPPHFLLPFAYSLSTQPRRCRMMLVYDLMPNGSLQDALLGKRCPELVSDWPRRLAVARDVAAALHYLHSVVQPPVIHGDVKPSNVLLDKDLRARLSDFGLARIRSEEEDELESGAIGADADGNTNPGGGCDEDMSVAGESTTAVVVNGEDNAAKSPEDDEVLTTASPAEAVSTSGCDKTSVASGCNARSCNGGGAGGSGTGSDWWWRQDNSGGGGGGGGGGGGGVKDYVMEWIRSEIKKERPKNDWIAGPSAVTPVAPTERKKPKRRAREWWREEYAEELTKKQKRRALAKSKSDAGAMSGLQWWERDCDFEEKGHSRWRMMKNWSRRSSNGNANASISWWVDGVRRSSRDWASGEFVPKSGGAVSSTPSMRGTVCYVAPEYGGGGPLSEKCDIYSFGVLLLVLISGRRPLQMTTSPMSEFEKASLISWARHLAQVGRLLDLVDPALQDVDRDQALLCITVALLCIQRSPARRPSSTEVLGMLAGEGEPPPLPIEFSPSPPGGFPFKSRRKGR >Sspon.03G0017350-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:41673355:41678971:1 gene:Sspon.03G0017350-2P transcript:Sspon.03G0017350-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPPHAEEAAAAAAAAAGAGGGGEGGGASPGTGLEGPMLRLGLDGGGEEGEDGELGAGEEADARLPERPGEADCGYYLRTGACGFGERCRYNHPRDRGGTEFGGGAKNGAVQDFPERQGQPVCEYYLKTGTCKFGSNCKYHHPKQDGSVQSVILNNNGFPLRPGEKECSYYTKTGQCKFGSTCKFNHPEFGGIPVAPGIYPPLQSASVPSPHTYAPNWQMGRSPAVPGSYIPGSYTPMMLSSGMVPLQGWSPYPASVNPVVSGGAQQTVQAGPLYGMGHHGSSTAIAYGGAYLPYSSSTGQSSNNHQEHGFPERPGQPECQYFMRTGDCKFGTTCKYNHPRDWSTPKSNYMFSHLCLPLRPGAQPCAYYAQNGYCRYGVACKYDHPMGTLGYSSSALPLSDMPIAPYPISFSVATLAPSSSSPEYISTKDPSINQVASPVAAPEHVGAILPKGVFPSDTIMRTQTSTSVGSSSPGGGR >Sspon.02G0010800-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2C:34062810:34064183:1 gene:Sspon.02G0010800-2C transcript:Sspon.02G0010800-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSNKFSSYHLAAALRHELDPAAALRLFLNPPASATPFRYSLRCYDLIISRLAAARLFPAMESILSRLASSSSGPRPREQLLCRVISAYGRARLPAAARRAFTHPAFPGPRTARALNTLLHTLLACRAPLRDLLAVCRDAGVPPDACTYNILMRAAAASGSLDHARHLFDEMLRRGIAPTVVTFGTLVAALCDAGLLEDAFEMKEVMVRQYDALPNAYVYTSLIKGLCEKGDVDAAVTLKEEMAGKADLVLDSAVYATLVRALFRVGRKGAVVGLLEEMKGRGIVADRVVYNAMIAGFCEDERDFGAAFAVLGDMHKNGCKTDAVSYNTLVAGLCKLGRWRDASELVEDMPRQGCHPDVVTYRMLFDGMCAAGEFLEANQVLDEMVFKGFAPSKDGAQKFVQGIEREGDVALLESVLCRLAKVNALVSSGWEKAVSGVLNDPTELRLEKQLDSLRIA >Sspon.07G0015390-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:55152886:55154070:-1 gene:Sspon.07G0015390-1A transcript:Sspon.07G0015390-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSAPETGNGGSIAERWRELQGEHSWDGLLDPLDLDLRKSLISYGELVSATGAGFNKEDCSPHYGLCMYGPDDLLAKSGVADARYYRVTKFVYATAELLSGLCKETTWMGYVAVATDEGVAELGRRDIVVAWRGTLTLAEAAKDILEFGTVSAEKVLGSAAAAYPSAAVHSGFLSVYTASNANSEFGKTSARDQVLDEVRRLVQLYSDEEISITVVGHSLGASLSILNAVDFVSNGVNKASSGGWPPCPVTAVVFACPHVGNQPFKDAFDSFKDLKALHVKNKIDPVPVLMPWLPDLGVTLPIDTSLSPYLKDPEKKAHELECYMHGVAGVQGSTAGGGFDLVVDRDVALLNRFTDALKDEYPVPASWWVAEHKSMVKNEQGKWELKDFEQIY >Sspon.01G0025690-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:90072337:90079620:1 gene:Sspon.01G0025690-3C transcript:Sspon.01G0025690-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRPPIAARVRRSLFLLLCCCCLAAWSPPAVRALPLCTDGRAPVPLNRTLGFCSAYGGDSSSRSSCCDAAADSALRKRFRAMNISDAACASVLKSVLCAECNPFSAELFNSSSKIQMVPLLCNYTSSASSAQSKDSTQDYCKLVWETCKNVTIVNSPFQPPLQGSAKLPSSSSKLTDVWQSEDEFCTSFGGSSGDQSLCFNGNAVFFNSTEPSPTPKGICLERIGNGSFLNMAPHPDGSNRVFLSSQAGKIWLATIPEQGSGGTLQYDEENPFLDITDEVHHDSQFGLMGIAFHPKFATNGRFFVSYNCDRTQSPKCAGRCSCNSDANCDPSKLGPDNGAQPCQYQVVVSEYSAKISSSNVSMATSANPSEIRRIFTMGLPYTAHHGGQILFGPTDGYLYLMMGDGGSEGDPFNFSQNKKSLLGKIMRLDVDSTQSQSQTTNQSLWGNYSIPKDNPFADDSDFQPEIWALGFSNPWRCSFDSERPSYFYCGDVGKDAYEEVDLITKGGNYGWRVYEGSYIYHPQKSPGGNTSLESINAIFPVMGYDHSTVNKEIGSASITGGYVYRGSTDPCLYGRYLYTDLYSSLMWTGTETPEGSGNYTSAVKPVSCSKTSPIACESTAGSTDPLLGYIFSFGEDKSRDIFVLASKGVYRVVRPSLCGYTCPLEKLATDNGTTPGGPSSFAPARRVGRSVAVALALMIVCVL >Sspon.02G0043860-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2C:125927314:125927991:1 gene:Sspon.02G0043860-2C transcript:Sspon.02G0043860-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPPPPLLACLLLTLLLAAAVAPVPAGAVCVPRGGHTKPGAPAKPKPNPKPTPAPAPPKPTPTPIAPGADIIRSLCLKTDYPDLCTSSIAKQPQPQLPGGKRLDGAGVLRLAMSAVRAKAAEAKAAATALANDPKTQPLARNPLHDCVESFDDIAYSLDQAQKALAGGDRDTTGTMLDTVRTDVDTCDQGFEEREELTPVMAKHDAELAKLSSNCLAIATAAGLR >Sspon.01G0018140-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:67764683:67767301:-1 gene:Sspon.01G0018140-1A transcript:Sspon.01G0018140-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSSSILATSAFGSHVLKIDGYTRTKGLATGIHLRSCSFSVGGHTWHLAYLPNGDSAWNAEFISFYLVLEDPPANGTPVLAQFCIALLDRVGNPVPSHTQARPVTRFAAHATHWGFNTFIQREVLEKSRYLNLKDDSFCVCCEVNVVTGFHAEDAASAAAKAAVAACVCVPPPDLSRNFGELLQSELGTDVRFRVDGEDFAVHRCVLAVRSPVFQAQLFGAMKEASLSAGEHYWVEIDDMRADVFRNLLHFIYTDTLPTKFETQEETLIMAQHLLVAADRYGMERLRLICEDILCRHIDVDTVSTTLALAEQHQCQGLKEACFQFLKKSPGSLNAVMATDGFDHLVNSCPNIIKELMSRLSYNAPQALKNH >Sspon.04G0025220-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:25477327:25481029:-1 gene:Sspon.04G0025220-1B transcript:Sspon.04G0025220-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGFVDPFVPESAWPQDTMFIGSSWPGSAPSLADPAGTYLGAAAAAPDQFHLQTGSSTALLNVGAKEIVSPMELHEQFLSAHLPDDVAQGLTFEADSVLSTPCAISLADSAPVVCSSNDSSGSEQSGLPRFLLGEQPAWPPSTFPQISSLVGEETTQSFGFSGAVSNNDLLRDGKTYPQLGHVPSAPLQLHDDVEFNTGKMLSFTPGFGQQVNTSTNFGDLQSREVSSGKIGLNAPPFMTRPEVANGNGAAGSGAPKPRVRARRGHATDPHSIAERLRREKISDRMKNLQELVPNSNRTDKASMLEEIIEYVRFLQLQVKVLSMSRLGATEAVVPLLTESQTESSGGLLLSPRSGSGSQRAGGCSLSSSEARDGAAFEHEVAQLMETNMTTAMQYLQSKGLCLMPIALASAISDQKGASSAAVQPENAGAKEMLRAVKPLGSPIQGR >Sspon.08G0000710-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:2401782:2406123:1 gene:Sspon.08G0000710-1A transcript:Sspon.08G0000710-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWEGLGSQWASIWRLELISSVASSDTLHGITRHWRLWPATRLYYLLVITGMCCITDAKVASLANGKDSSCQQDGSPQGRKRRRYSGPDLPEDIWRHIHFLMLLQDAARAACVSHAFLSSWRCRPDITISWKTLGLDKNFHGKGEIAKDFNSIVDQILKNRSGIGLKTLKIDFCDYKADTYSYLNNWLEIAITPELEELSLDLFPRKEKYSFPCSLLSNGRGNSIQHLKLVWCAFSNTVRLDCLKNLTSLHLRDVHITGNELGCLFSSSSALERLELHGCHRIVCLEIPCHLQHLRYLGVFVCERLKVIESKAPNISSFRLSEIQGKFSLGESSLKLKDMMLSMNCTISFARAKLPFIVPNLKSLSLASDYEVPNSPLVSKTFLHLKYLSITLSEGAFSPYYDCFSAVSFLDAAPSLETLLLGVTQLRMKHEPFVGEPSPQNQIMGTRHSNLKSVKITGFCSAKSLVELTCYILEYATSLDCLTLDTTWGFFPRCSDHEISKCPPLTKNIIRDSENALLVIRAWIEGKVPPSVKFNVLAHCSKCHNADED >Sspon.05G0009670-3C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:5C:19131651:19132022:1 gene:Sspon.05G0009670-3C transcript:Sspon.05G0009670-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding CDASVLVSPLSSSTAPERAAEINLSLPGDAFDAVGRAKAALEAACPGVVSCADVLALAARDLVAILGGPRFPVALGRRDSARSDARDVEGNLPRTNMSARAMVRLFAGKGLTPREMVALAGAHT >Sspon.08G0002560-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:3958352:3960783:1 gene:Sspon.08G0002560-2B transcript:Sspon.08G0002560-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEHLFRDKFTVIRLDPDGKKFDKVARIEAHSENEMYMQLDVATEVYPMEIYASFGGLLMMLRGDPSCAASFQLDQRLFLLIKKAVV >Sspon.04G0013630-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:49254707:49256620:-1 gene:Sspon.04G0013630-1A transcript:Sspon.04G0013630-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAPSHSQSTRGWALNWRHHVAPIYCLVLPSSRRSGQLPDWQILAARRQQPGHRMGKKHAAGGGGGGWFAAVRKVFRPSWASSSAATTSSKDKDKDAALQHGKQVGAPHPPMLFTHFDRLATGMPCHAMVLRARDRYIHGERVIPCDGSAVEVEAAEEPEVLLLEHFPANDNEGGDAEVAALAAAVRKNGHRQEDEEEEEELLLADDMERARALAAAAEAAVAAAEAAARVVRLAALRRLSREERAAVRIQAYYRGYLVRTCPAPR >Sspon.06G0001990-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:5256432:5266300:-1 gene:Sspon.06G0001990-2B transcript:Sspon.06G0001990-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LQVDSRSQSAGKRARTDGSRREDDWVCPSCKNVNFAFRTTCNMRNCNQSRPADHTGTRAGSYSDEGSQKKPAGAGRDNDWECPNCHNINFGFRAVCNMRKCNTPRPENQGSKPDGLRGSRPKMPEGSWKCEQCNNINYPFRTKCNRPQCGAEKPSQTNNVNDSVTDQDNQ >Sspon.05G0013950-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:50206449:50213174:1 gene:Sspon.05G0013950-1A transcript:Sspon.05G0013950-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEVDARRRADGRTREGAAIAHRSGGVVGPTGGGKKRVDLIATRDRKNTTAGSLALLGSVVSRDAGVVCGVPAPSCSARPTSRSGQTSAAAAAWGASMAGARGADNLGTGSSIAAAANMAAATLGTEKMDSILCPASLNSVVGMKPTVGLTSRSGVIPFSSRQDTFLKIDGLSGKRIGIPNGFFDFANRTQHGATVIENLDVANLSGILNITASGLLTALTAEFKFNLNNYLSNLSYTCLSEKLKEYGQLVLMMSENTTGIGTQEKAIIRQLEELSENGVIKLMNQHRLDTILTPNSDATPLIAYIGLPGIVVPAGYDEQGAPFSICYSRLKGYEPSFEFQEATLDAIQLGFKNGSLTSTALNPYVLSSPPCGSSTGPAIAAAANMAAVTLGTETDGSILCPSSLNSVVGIKPTVGLTSRAGVIPISPRQDTVGPICRTVADAVHVLDAIVGYDELDAVATRAASKYIPDGGYAQFLKIDGLQGKRIGVPNAFFDFPDGSVRQKVYKQHLDTLRRNGAVVTEDLSIANLDVILNATVSGELAALAAEFKIALNAYLSSDLSRSAVASLAEVIAFNNAHPDEEMLKQFGQLIFLVSENTTGIGTAEKAAIQQLDGLTANGMEKVMRQHHLDAIVAPDSSSATVLAIGGLPGIAVPAGYDEQGAPFGVTFSGLKGYEPRLIEIAYAFEQATKARKPPMFKN >Sspon.06G0000730-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:1277371:1279980:1 gene:Sspon.06G0000730-2B transcript:Sspon.06G0000730-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLRSGHLLPPPLAPPQGGTRSPAFCPEDRLSGLPDDLLILVLVRLQCAREAARKSVLSRRWAGLWKQLPELTFKGVDNDSVKAALACVTRPTLELLNIRLNGMVAPGDVSSLLGAAAPLAPDKLIVTLEKSIDPFEADELHCLSRTTSLQLTAQDLYIAPPLFGEFTSLKSLFLHSCRIDLGGLLPVCPCLSILKISDYWGRDAITIHSSSLEELSMDITSWDACDDGRSGGFRANMLRVSFLAPVVEELCWSLKYDSLHPYSDFFMLMDVEGDGERQSTTEAIARLPVTNFSVLELYISANAHVIGPLVSCLLQILPAIQKLKLVMEASCPYEQSESWRSDYISLTDLEVVEIIGFAGEDHELDLMEFIFRCVPALGTMSVTFSEHLSSEMVRAYLERRNFIGTMQEFHRN >Sspon.02G0026650-3D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2D:76400744:76402921:1 gene:Sspon.02G0026650-3D transcript:Sspon.02G0026650-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKPSVQSVIATKAIAAKAHSPRDSEMAAGQPGRHRRSLATRLHRRQTSPTTPPTDAATHGFLIVFKMGKDKEAPKLKEEEKKSKDEVHLKIKSKDKSTGEVDDKKEIEIEIEAKFVEKEEVKDSGDSAGSAGKDKEGKKDKEKKKKPEKHEDDEDDEKVIKKKDKKENDKKKKEDDGDSGKADKDKKDKKAKVKDKDGSELKGSSGEKEKKIEDKKKKEDDKDVGEEEKHKKRENKDKDKKDKKDKEKDGSEPKGSSDEKQEKGKDKKKKDKSEKMEQKEGHLENKNGEAAQKDVHMNKELAGAADSGTREIKLADTEPQEKEIDSEDSEGKKKNKEEDKDEVKKKKKDVGQGDEGEKKGKEKKEKKDKGDKKEDGKKKEGDGEEDGEGKKKDKKKDKGAKEKTTDPVKLKTKLEKVDAKLQDLQTKKEDILRQLKELEESGKGKTNEEKPAHVEEDKGKDTAEVPAHKLEQGGESKVKEQNPVAAA >Sspon.05G0007720-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:24087064:24088124:1 gene:Sspon.05G0007720-1A transcript:Sspon.05G0007720-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSWTYRVLKFCHGTKADKTRVQMLPIFISCFLIYLSFTLLMTLSIQVGRAMDKGAGVIQIPSASLIAIPTAFHMLMQPCYNKILTLLLRTTTGHEHGVTPLQRIGAGSTCGITAACITTLVEARRLNVAKQQGLTLIGTGVPMSIFWLVIQFFLLSIMDIASFGGLIEFIKSEAPSTMKLIPSAVQSCIAGFSVWSCSAFIHLVKRMTRSGDGGGGWLDGTNFNKTRLDHFFLLLGAFELLALIDYTFWARRYARKLRCISTVETHEDDTRN >Sspon.06G0009840-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6A:52389066:52391990:1 gene:Sspon.06G0009840-1A transcript:Sspon.06G0009840-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSDLRMVVTGAERVGGKLNQLEPSLLSPHTKPNQTLDLRIQPSKSMDRPHRGGAGQDGATSGGAADAGPREHLLFVPVYDRVVVPALRWPTGNSSGLSILQRVGAGIATLPAGVAVALVEARRLATPREHGLAEATVPMSSVWILDRVAYAMMGVTDDEEDVAARSPRQEEDVGSQDKLDLLDLRCKQLLPSGQTRTDLEGVAEQVRDSGWRAQVQQRRWECGPKAPAAVWRLRSGPVLNRSKPHQFIIAVTPNQTIP >Sspon.01G0007930-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:22399915:22409384:-1 gene:Sspon.01G0007930-3D transcript:Sspon.01G0007930-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGRDAAAASAVPIHRNLTQLIRQIKSGRLAYIKEKLEVNRKTLQRHSCALFDVAAAAEVASRGTDGGNALSQRAAEGQSRLAGSDLANGIGERDVVYMQEENLAAGTLVLSSSGAAAQRTVVRFVKLPLVERIPPYTTWIFLDKNQRMADDQSVVGRRRIYYDPVGNEALICSDSDEEIPEPEEEKHFFTEGEDQLIWRATQEHGLNREVVNVLCQFIDATPSEIEERSEVLFEKNEKHSASSDKIESQLSLDKTMDAVLDSFDNLFCRRCLVFDCRLHGCSQNLVFPCEKQPYSLEPDENKKPCGHQCYLRWREGFQEMHDDGLGGCATYTMESGTASHKVDVNIMSESEDSNREKGNIRSMTLVGTSGSKIISSVSGEESTTPPSADTSETENVSSDLPPSSLRKHKITKHGPRYRERSPGKRQKVFTSDISFASNILNKLSIPEIRDTRQESRESGVINYELLTSPLRRLQAKISVEKAQLLLLIMWEERVINALERDLYLKGIEIFGKNSCLIARNLLSGLKTCMEVANYMYTNGAAMAKRPLLNKSISGDFAETEQDYMEQDMVARTRIYRRRGRNRKLKYTWKSAGHPTVRKRIGDGKQWYTQYNPCVCQQMCGKDCPCVENGTCCEKYCGCVPSVRRAAKTSLEAVIVQKVNAEADSALVLQPIVNVIQMFVGIAGCGDGSLGEPPARGDGYQCGNMKLLLKQQQRILLGRSDVAGWGAFIKNPVHKNDYLGEYTGELISHKEADKRGKIYDRANSSFLFDLNDQYVLDAYRKGDKLKFANHSSNPNCYAKVMLVAGDHRVGIYAKEHIEASEELFYDYRYGPDQAPAWARRPEGSKKDEASVSHHRAHKVAR >Sspon.02G0009710-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:27080303:27085903:-1 gene:Sspon.02G0009710-1A transcript:Sspon.02G0009710-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFDGAAGSGVFSYDATGGGGGTGMHNPSRLIPAPPLPKPGGFGATGLSLGLQTNMEGGQLGDLSRMGLIGSGGSGSGGDGDSLGRAREDENDSRSGSDNVDGASGDELDPDNSNPRKKKKRYHRHTPQQIQELEAVFKECPHPDEKQRMELSKRLNLESRQVKFWFQNRRTQMKIERHENALLRQENDKLRAENMTIREAMRNPICANCGGAAVLGEVSLEEQHLRIENARLKDELDRVCALAGKFLGRPISYGSSMSALQGCSGLELGVGTNGGFGLGPLGASALQPLPDLMGAGGLPGPVGSSAMRLPVGIGALDGAMHGAADGIDRTVLLELGLAAMEELMKVAQMDEPLWFRRPDGGGGGLETLNFDEYHRAFARVFGPSPAGYVSEATREAGIAITSSVDLVDSLMDAARWSEMFPCIVARASTTDIISSGMGGTRSGSIQLMHAELQVLSPLVPIREVLFLRFCKQHAEGLWAVVDVSVDAILRPDGGKHHHHAQNGGGAAGYMGCRLLPTGCIVQDMNNGYSKVRYHAITPVGRRSMLKLAQRMTDNFCAGVCASAAQKWRRLDEWRGGGEGGGSAGTGGAAGEGEVKVRMMARQSVGAPGEPPGVVLSATTSVRLPATSPQRVFDYLRDEQRRGEWDILANGEAMQEMDHIAKGQHHGNAVSLLRPNTCTDPSGSLVVYAPVDVQSMHVVMNGGDSAYVSLLPSGFAILPDGHCQSSNAAQGSPNCGGGGGSSSTGSLVTVAFQILVNNLPTAKLTVESVETVSNLLSCTIQKI >Sspon.04G0009220-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:29569822:29575294:1 gene:Sspon.04G0009220-3C transcript:Sspon.04G0009220-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chloride channel protein CLC-c [Source:Projected from Arabidopsis thaliana (AT5G49890) UniProtKB/Swiss-Prot;Acc:Q96282] MDMDGNSPRPPPRRPEREGSYNNYDIESMDGAGAGGGAPPWRHHNNGSSEALLRYDDGGGADRGSAARQPLLRKRTMNTTSQIAIVGANVCPIESLDYEYIGAFFVYGGWNLVLAAAAAAICAYIAPAAAGSGIPEVKAYLNGVDAYSILAPSTLFVKIFGSILGVSAGFVLGKEGPMVHTGACIANLLGQGGSRKYHLTCNWLRYFKNDRDRRDLITCGSAAGVAAAFRAPVGGVLFALEEAASWWRSALLWRTFFTTAVVAVVLKGLIEFCRSGKCGLFGKGGLIMFDLSSTVATYSTPDLIAIIVLGIIGGIFGGLFNFLLDKILRVYSIINERGAPFKILLTITISIITSMCSYGLPWLAACTPCPVDAVEQCPTVGRSGNYKNFQCPPGHYNDLASLFFNTNDDAIRNLFSNGTSTEFQMSSLFIFFTAIYCLGLVTYGVAVPSGLFIPVILAGATYGRIVGTLLGSISDLDPGLFALLGAASFLGGTMRMTVSVCVILLELTNDLPMLPLLMLVLLISKTIADNFNKGVYDQIVVMKGLPFMEAHAEPYMRHLVAGDVVSGPLITFSGVEKVGNIVHALRLTGHNGFPVVDEPPITEAPELVGLVTRSHLLVLLNSKNFMKGRFKTSGSFVLRRFGAFDFAKPGSGKGLKIEDLDFTDEEMDMYVDLHPITNTSPYTVVETMSLAKAAILFRELGLRHLLVVPKTPDRPPIVGILTRHDFMPEHIHSLFPNLNPHKYHSASMAG >Sspon.01G0008350-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:26448299:26450398:1 gene:Sspon.01G0008350-3C transcript:Sspon.01G0008350-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable enoyl-CoA hydratase 1, peroxisomal [Source:Projected from Arabidopsis thaliana (AT4G16210) UniProtKB/Swiss-Prot;Acc:Q6NL24] MGAASPDSGDLIEVEPARPGSPVAVVTINRPAALNALTKPMMISLAAAFRRLGADDSVAAVVLAGRGRAFCSGVDLTAAEEVFKGDVKDVTTDPVAQMELCRKPIVGAIAGFAVTAGFEIALACDILVAGRSAKFLDTHAKFGIFPSWGLSQKLSHIIGPNRAREVSLTCMPVTAEMAERWGLVNHVVDDNEVLSKAIEVAEAIARNNRNLVVLYKSVINDGFKLDLEHAQALEKERGHNYYNGMTKEQFANMQKFIQGRSSKTPSKL >Sspon.01G0031940-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:109499574:109502191:1 gene:Sspon.01G0031940-3D transcript:Sspon.01G0031940-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to Actin 7 (Actin 2) [Source: Projected from Oryza sativa (Os03g0836000)] MNELKDCLCRSMADGEDIQPLVCDNGTGMVKAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQSKRGILTLKYPIEHGIVNNWDDMEKIWHHTFYNELRVAPEEHPVLLTEAPLNPKANREKMTQIMFETFNAPAMYVAIQAVLSLYASGIVLDSGDGVTHTVPIYEGYALPHAILRLDLAGRDLTDCLMKILTERGYSFTTTAEREIVRDIKEKLAFVALDYEQELETAKSSSSVEKSYELPDGQVITIGAERFRCPEVLFQPSLIGMEAPGIHETTYNSIMKCDVDIRKDLYGNIVLSGGTTMFPGIADRMSKEITALAPSSMKIKVVAPPERKYSVWIGGSILASLSTFQQMWISKEEYDESGPAIVHRKCF >Sspon.03G0029690-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:17733568:17736687:-1 gene:Sspon.03G0029690-1B transcript:Sspon.03G0029690-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKAPLPTLTQQTGKIVPARAPKVTLSPPTHPLIPGMTATSRAPRRPRIRPRGPPPAPTPIRTARGARSAAADERVLAEFLEASLRVPDLSLPRGSASTSRRRRRLRRNGISSRALVSGDADAALRAVTAAAESGAFRVTGAIDAREVRDAVEAAAGAVFAAPEEVKRDLGRWFRRRERAAGEEFFWFRPMSADEDRALHAAFHGSTYRAFREKIDTLASKMEDVAKVVIRVLSDNVKNPKASAQFREAPSILCLTLYSSNMSRTCWNEFDNTTAPNSHALSIHLCGHDRRICLRNLGGSTFCSLPAGCMLVTIGKQIQEWSNGQFKTSVGEVLFEMTDEPGPFISVELMYCPDDLHLSEVGRHASRIDRPKIVSFRDQILIALILLSFFYLFWC >Sspon.05G0013010-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:34942561:34946975:1 gene:Sspon.05G0013010-3C transcript:Sspon.05G0013010-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SNF1-related protein kinase regulatory subunit gamma-1 [Source:Projected from Arabidopsis thaliana (AT3G48530) UniProtKB/Swiss-Prot;Acc:Q8LBB2] MESPRSPEAEIGHRVEDLWEVAEPQLSPSEKLNSCFEDIPVASFPRTHPSQVIEIPSDASLAETVEILSKNKILSGPIRNVEAPEDASWMDKYIGIVEFAGIAMWLLSQVGDISGSFRWAPFLALQTSDTFLTMLLLLSKYRMKSLPVVEVGGDKIENIITQSSVVHMLAECVGLSWFESWGTKKLSELGLPIMKPSKLVKVNEDQPVLKAFQLMREKGVGGLPVMDTSGAKAIGNISIRDVQYLLTAPKIYKEHRSITTKDFLSAVRHHLQEQREASPLLHDVITCKRDDTIKDIILKLDSEKIHRIYVVDDKGNTEGVITLRDIISKLVHEPRHYFGDFFDGVVPLPANSTV >Sspon.02G0011270-1P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6A:6366290:6370068:-1 gene:Sspon.02G0011270-1P transcript:Sspon.02G0011270-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ARLVHPDKNPNDPQAAEKFQELGEAYQVLSDPTQRQAYDSHGKDGISTEGIIDPAAIFAILFGSELFENYIGQLAMASMVSLDNFGEDEIDTRKLQERMQAVQKEREEKLAETLKNRLHTYVQGNKEEFIQHAEAEVSKLSNAGAIALMQLQEDLRKYTEGQYTEAELEMYMENHKKVMVDSLWKLNVADIEATLSHVCQMVLQDSSARKEELRLRAKGLKTLGRIFQRVKLNPSEGETSQVKNIDNMDDNDGSSPDSSPKREAPFTPNQPPVPSPYVEAPHVNGVYCPFPMPAAPPGAQRDRIP >Sspon.07G0023070-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:12543195:12546108:1 gene:Sspon.07G0023070-1B transcript:Sspon.07G0023070-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFDELLKSVFPDGSDPDGAVTGKPDLTSSLQRQGSIMMPPQLSKKTVDEVWKGIQGGPETSVAADGRQRRERQPTLGEMTLEDFLVKAGVVAEGLMKDSADLPSNMDTVGSSVVVAGASSLNPGAQWLQQYQQQALGSQQLSLAGSYMASQLRPQPLSIATGAILDSIYSDGQITSPSFGALSDSQTPGRKRGALGEVVDKVVERRQKRMIKNRESAARSRARKQAYTNELENKVSRLEEENEKLKKQQELDEILSSAPPPEPKYQLRRTGSATF >Sspon.06G0029000-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:13586411:13588669:-1 gene:Sspon.06G0029000-1C transcript:Sspon.06G0029000-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTYGIGSLAGSSSSVRRLPAAFLFLACRTRPALAARYTEIRQRDVLHPFILGRRGPDLAHIHGWLVPVTQRCKKRSGGKHHHHLHRSTARRLRHRAMVNFPAEPDGLPRRRSPPLTVHKMECGKNNCTGRAVGSPEGTVARLFDGGALNREGERAASKRPCGGTATSLLRQPWMAAAERRGSEEKEEEGESAVTMAATTAAPVLKREKGWVKTELGFWERRLKGGLGGKGKSRPRPRLLPGRGGRGRQTGRCGFLLFTCKRPPVAMEVVATISTRLFCLSVELRTKYSSSIPASAWWVALPLLPALLASLLHATVIWPLAVATRHCLNLKLDDTCTCSTWLRVLSKVTSQVLRILLLEQTSLWLLYLLAPHLFDINVKLKVEVGTIRAMLHQLLKNTARLLQRLVTGFRVSTPPTYDDEAVAAAATDGRQPGVRAREDGPR >Sspon.01G0014220-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:43341253:43343751:1 gene:Sspon.01G0014220-3D transcript:Sspon.01G0014220-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLLTSPASLTSASSPLLLASRVGALHHRGAASVHFLAPRRKTRAPPAMSWLGKLGLSGLGGSPRASEASAALAQGPDEDQPAPGNEFAQFGAGCFWGVELALQRVPGVTRTEVGYSQGNLHEPTYEDVCTGATNHNEVVRVQYDPAACKFDDLLGTFWARHDPTTPNRQGNDVGTQYRSGIYYYTPEQEKAARESLEKQQKLLNRTIVTEILPAKRFYRAEEYHQQYLEKGGRFGFRQSAAKGCNDPIRCYG >Sspon.08G0010970-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:48156542:48159405:-1 gene:Sspon.08G0010970-1P transcript:Sspon.08G0010970-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSHGHASGGKRKGKRGRKPKPPVPASPDNNHSHSHSHNHNHHHAAPSSSPLSTAAVAASDSPDPEPASSSPAPRRRGRKSRRIRNGPPASEVDAASSPSPPPRARGGPKAGAPNGEMVVEVPAAAVEPLRWDQVVKVMPSMDAVVKVFCVHTEPNFSLPWQRKRQYSSSSSGFIIGGRRVLTNAHSVEHYTQVKLKKRGSDTKYLATVLAIGTECDIAMLTVEDDEFWKGVSPVEFGSLPALQDAVTVVGYPIGGDTISVTSGVISRIEILSYVHGSTELLGLQIDAAINSGNSGGPAFNEKGKCVGIAFQSLKHEDAENIGYVIPTPVINHFIEDYEKSGEYTGFPILGIEWQKMENPDLRKAMGMKANQKGVRIRRIEPTAPESGCLQPSDIVLSFDGIDIANDGTG >Sspon.04G0008440-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:21339256:21342439:1 gene:Sspon.04G0008440-2B transcript:Sspon.04G0008440-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQVSHAIKALQLLALASVASFSWPPPLYCPVLLAVGQYLNFKVYQLLGESGTYYGVRFGKTIPWVTEFPFGYIKDPQYVGSMLSLVALLCWVPLQYVLLWCLGYVFMMWVEHKEDPATRAK >Sspon.03G0008300-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:27699241:27700664:1 gene:Sspon.03G0008300-1T transcript:Sspon.03G0008300-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADEEQAPSSRKRVAGTQINKDNPEPDDDGPEQEMGTFKKATEEVMATRRIVKVRRQQTSSAPSSNPFSAIRFAPLILAQPSDVKADEGSNGSGKHTLSVPDKNAGSGVNTDSAATTEAPPQPVETSDKAEDTKDESGGDKVVVGEPNESSSMPSEVEGKTKEGDAEEKERADEAGNNDKISKDDTEKKDGGESETKDGFSDEQRDADKISKDDTEKKDGNESEQKDDDNKGHTSSATPLFSFKNLSSGQNAFTGLTGTGFSSTSFSFGSASKDGSSSGPLFGLKADGSSFPSFNLGSANNGSSATALATSVEAPKKFAMTEGPVETGEENEKAVFTADSALYEYLDGGWKERGKGELKLNVPVSGGESGERARLVMRTKGNYRLVLNASLYNDMSLKDMDKKGVTFACMNSIGESPSSLATFALKFKDTATREEFKDAVESHKTSKAPDVQLKTPENSPKAEV >Sspon.03G0004740-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3A:13188324:13188618:-1 gene:Sspon.03G0004740-1A transcript:Sspon.03G0004740-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIDNFALAPLIPHERTDRLSFFHHTAAREVCSRRQLVPSPPTAAILLPGGVALPPSGVSGKGRCWLQRFPRWHIQAELRFLPAGESHRWSLHNSSGG >Sspon.08G0001730-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:2197871:2201055:1 gene:Sspon.08G0001730-2B transcript:Sspon.08G0001730-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAKKTKKSTDNINNKLQLVMKSGKYTLGYKTVLRTLRNSKSKLVIIANNCPPLRKSEIEYYAMLAKVTVHHFHGNNVDLGTACGKYFRVCCLSIIDPGDSDIIKTTPGEQ >Sspon.08G0010810-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:44664721:44671339:-1 gene:Sspon.08G0010810-3C transcript:Sspon.08G0010810-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alanyl-tRNA synthetase, class IIc [Source:Projected from Arabidopsis thaliana (AT5G22800) TAIR;Acc:AT5G22800] MEVAALSATSRPLSPLLSTAPALRLRLRLLSPRCVFGRRLRASPRTRGLGCVGDSVGRRHSSRKNGFFVTSSSSASVEPATQELGTAGAGDWSGDAIRRRFLEFYAARGHKILPSSSLVPDDPTVFLTIAGMLQFKPIFLGKEPRRVPCATTSQKCIRTNDIENVGHTARHQTFFEMLGNFSFGDYFKKEATAWAWELATMEYGLPAERLWISVFEDDNEAFDIWHNEVGVPKERIKRMGAEDNFWTSGATGPCGPCSEIYYDFYPERGSSDADLGDDSRFIEFYNLVFMQYNKKDDGSLEPLKQKNIDTGMGLERMARILQKVPNNYETDLIFPIIEKAASMAMVSYAKTDDATKTNLKIIGDHMRAVVYLISDGVIPSNIGRGYVVRRLIRRVVRMGRLIGIRGDGHGNSGGAFLPSLAEVVISLSSEIDPDVESRRKSIIGELQREELRFVQTLERGEKLLDELLDEALLSAGNNGDKPSLSGKDVFLLYDTYGFPAEITAEIASERGVTVDIEGFDIEMENQRKQSQAAHNVVKLSVGNETEIVKSIPDTEFLGYDSLSASAVVKGLLVNGNPVNEVSEGSEVEILLDRTPFYAESGGQVGDNGFLYVNGGEDRSQTAVIEINDVQKSLGNIFVHKGTIKQGSVEVGKEVDASVDAKLRHGAKAHHTATHLLQSALKSVVGSETSQAGSLVAFDRLRFDFNFHRPLSDEELTTIESLVNQWIGNAAHLETKVMALQDAKNAGAIAMFGEKYGEQVRVVEVPGVSLELCGGTHVSNTAEIRGFKIISEQGIASGIRRIEAVAGDAFVDYVCARDNYMRRLCSTLKVKAEDVNSRVETILEELRATRNEVSSLRSKIAVLKAASLASKATTVEPQNVRIVVENMGDVDADGLKSAAEYLIDTLQDPAAVILGSSPGDGKVSLVAAFSPAVVKMGLQAGKFVGGIAKLCGGGGGGKPNFAQAGGRKPENLPDALEKARAEIVAGVSSSS >Sspon.01G0018090-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1A:67560492:67562486:1 gene:Sspon.01G0018090-1A transcript:Sspon.01G0018090-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LRRRRSRVRADQPPPVGGDRTVAGRRAAPALLRPPGWIPRPCSGRTAAPAKTAKSRPLTAVSTRDCLSRRCAPHGLQSRSRLRPPPKLVPHQITTSPVLAPAQLRQAAGLARLLAPGGQSVVVEFVAPVTPEAVEGRRLCAWATPATANSIKKLISMQLINLSYVESGCCKPPTGCNFTYQSETVSIKRAGFKPTTDDPDWTTWSNDQTVLCYDCMACKAGVLANLKM >Sspon.06G0007550-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6A:29735456:29735596:-1 gene:Sspon.06G0007550-1A transcript:Sspon.06G0007550-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding QVSDGINRSATLAFSSCHPTLLTSSALDALRMLATLRRLSRKPHRR >Sspon.06G0003400-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:8530807:8537488:1 gene:Sspon.06G0003400-2B transcript:Sspon.06G0003400-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVLPEKVLTALALWCLVITTREITACISAERDALVSFNASIKDPNGRLSSWQGENCCNWSGVRCSKKTGHVVQLDLGGYALEGEINPSLAGLTYLVYLNLSKSDFGGVNIPEFMGSFKMLRYLDLSHTGFSGTVPPQLGNLSRLIYLNLSSSSFPVITMDSFHWVSKLTCLRYLDLSWLYLAASMDWLQAVNMLPLLEVIRLNDAYLPVTNLNCLPQVNFTTLKVLDLKSNNLSSSLPNWLWNLSSISELDLSSCGLYGNIPDELGKLTSLKFLALADNKLKGAIPRPAGSPCNLVHVDLSRNLLSGYITKTAKNLLPCMKRLQILDLSDNKLKGNLSGWLEQMTSLRVLDLSKNSISGDVPASMGKLSNLTHLDISFNSFEGTLSELNFVNLSRLDTLVLSSNSLKIVMKQGWVPPFQLRELGMHACMVGPQFPTWLQSQTRIEMIDLGSVGISGLLPEWIWNFSSTITSLNVSSNNISGKLPASMAHLKMLTLIMRHNQLEGSIPDLPTGLQVLDLSHNYLSGSLPQSFRDNALYYLLLSNNFLSGVIPTDLCNMVWMDVIDLSSNNLSGVLPDCWNKNSNLQVIDFSSNKFWGEIPSTLGSLNSLITLHLGKNDLSGTLPTSLQSLNRLVLLDLGENNLLGNIPKWIGVGLQSLQFLSLRSNQFSGEIPEELFQLHALQFLDFGNNKLSGPVPHSIGNLTGYLGDPSLMSDATPFIEFMVYGVGGAYFSVYRDALQGTWKGEILQFSRNLALLNVIDLSQNQLTGEIPSGLGCLTKLSMLNLSRNCIEGSIPGELGRLAFLELLDLSWNNLSGPIPQSLTSLVYLSDLNLSYNDLSGMIPLQRQFETFTGDSYLGNVNLCGVPLSRMCLPNSLPNSSSRKHRRHKLHPHFDMDFDMLTYLFVLLGFASGFSAVLVILISSAAARKAYFQSTDSMRDRRIWVFTNRLNMSGAIPTDLCNMVWMEVIDLSSNNLSGLLPDCWNKNSNLHMIDFSSNKF >Sspon.07G0027720-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:62023644:62026602:-1 gene:Sspon.07G0027720-2C transcript:Sspon.07G0027720-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTHGEIVLEEAMLFSQRRLETMIPYMEGSLAHEIKSTLEIPLPRRVRIYESKYYISEYEKDATVHEKMLQLAKLNSNIMQLHHQHELAIITRWWKDIQNESRLPFARDRVVECYLWILGVYYEPCYSRGRIILTMIIAIVTLLDDIYDSYATPEECELLTKCIQSWDSKGAHDLPECMKFALEKILDSYKTIENMLHQEEKYRMPYLRYFTKDLVRSFNKEVKMLQERYIPKSVEEHLKVSIRTGGCPILSCASFVGMHDVATEDCFDWVSSVPKMVQALSVILRLVDDLESYEREQLIPHVASTIDSYMKEHNVSIEVAREQIRVLKEESWKDFNNEWLNPAIAYPRQLLERIFNLTRTMEFMYNQEDNFTNCLNLKDLIQ >Sspon.01G0045640-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:84894844:84898647:1 gene:Sspon.01G0045640-3D transcript:Sspon.01G0045640-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ARCSGARRRRATTPSTTRSSGCPSRRPRTTSRRPTARLLSRTTPTRAVTPFQFKELAQAYEVLSDPEKREIYDQYGEDALKEGMGGGGAHVDPFDIFSSFFGPSFTGGGGSSRGRRQRRGEDVVHPLKVSLEDLYNGTSKKLSLSRNVLCSKCKSQPDTVTGDIVFVLQQKDHSKFKRKGEDLFYEHTLSLTEALCGFQFVLTHLDNRQLLIKSNPGEVVRADQFKAINDEGMPIYQRPFMKGKLYIHFTVEFPDSLAPEQCKALEAVLPPRSSSKLTDMEIDECEETTMHDVNNIEEEMRRKQAHAAQEAYEEDDEMPGGAQRVQCAQ >Sspon.01G0025920-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:91303831:91314021:-1 gene:Sspon.01G0025920-3C transcript:Sspon.01G0025920-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAGPAATHCRCIQLLLLALAVVPLGSRGFRVRAVGADTGGLSRDAFPKGFVFGTATSAYQVEGAATSGGRGPCIWDPFVHTPGKIAEDGNADEDVDLMKSLNFDAYRFSISWSRIFPGAQNLTLLTPYGEGKVNEEGVQYYNNLIDYMIKQGLTPYTNLNHYDLPLALQKKYQGWDIFADYAEFCFKSFGDRVKNWFTLNEPRIVSFLGYDKGINPPNRCTQCTAGGNSSTEPYIVVHNILLSHATAVARYRNKYQATQKGKVGIVLDFNWYEPLTNSTEDQAAAQRARDFHIGWFLDPLINGQYPKIMQDIVKDRLPSFTPEQAKLVKGSSDYFGINQYTTYYISNEQTTQQGPPSYSSDWGVQYNFERNGVQIGQLAHSVWLYIVPSGMYGVVNYLKEKYQNPTIIISENGMDQPGNLTREEYLHDTIRIDFYKNYLTELKKGIDDGANVVGYFAWSLLDNFEWLSGYTSKFGIVYVDFTTLKRYPKDSAYWFKDMLSGTGSKAATPQTGSGTRPAGSPSATSNGAALLVSLFVSLCVLLPSVFMVSSV >Sspon.08G0015430-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8A:61799975:61800379:-1 gene:Sspon.08G0015430-1A transcript:Sspon.08G0015430-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRAQECSALNLMADEIYAWTSMPVASPSRPSRLAKAENTLTNAWPPQAVASCYPAKIALNTSRAHRWRPPRHERHPRHGVPRRHPLKEVLRRVQVPVVAQRVVEQGVAGGQVRGPSISSNTLRVSQAGWPVRP >Sspon.08G0013980-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:57668169:57682426:1 gene:Sspon.08G0013980-1A transcript:Sspon.08G0013980-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFIAFPKKQSYLAMRGVSNFATTLLVSFLLMSSIAVASNQSYLARGFSISTRDTTTPILVSPNGAFACGFYKVATNAFAFSIWFMRSNSKTVAWTANRDAPVNGNGNGSRIVFQKYGSLDLLDYDGTAVWSTNTAAKHAERAVLLDTGSLVIMDQGGSHLWESFNSPTDTLLPSQSMATNTKLVSASANGLLSSGFYTFYFDSNYTSSLMYNRNEISTKYWPIHNQLWENATISSNVRNPYYGVDDTGAFVAGDQLKFEASDLGGGKMRRLTLDYDGNLRLYSLDMTSGSWSISWMLFTRLCDIHGLCGGNSLCSYTPEPECSCLEGFEVVDPSDLSKGCKRRTNSAANKEFTFQELPGTDFWGYELNYNMSMPFENCSMLCSDNVSCQAFGYREGTGQCYLKTLLFSGKEFPEPYNDIYLKVPEAIFSLPILPSRQSLVCSVTEKMAPPIQISPQKKFKFGYFLTSALTLLVVEVILILVGFWVIHKWGKGPETTDVGSMILYGQFCRFSYKELQKATNCFHEELGSGGSGVVYKGVLSDERKVAVKKLSDIIQGEQEFRSELSVIGRIYHMNVVRIWGFCAEKTHRLLVSEFVENGSLDKILFHNMNLSPSFTWSQRYNIALGVAKGLAYLHHECLEWIVHCDVKPENILLDEDFQPKLADFGLVKLLGRGSGAQMLSRVHGTRGYIAPEWALNLPITGKADVYSYGVVLLELVLGTRVSSQEVQGESEVEAEMAVGHYTKILKEKLESKDQSWLLEFVDCRLSGEFNYMQAGMMLKIAVSCVEEERRRRPNMSHVVETLLSLNKATSRGSSISTEDDTTTILVSPSGEFSYGFYKVATNAFTFSIWFSTSADKTVAWTANRDAPVNGKGSKLVFQKDGRLALLNYNGTAVWSTNTTTTRARSAQLLDTGNLVVMDPDGQHLWQSFDSPTDTLLPLQLMTWRTKLVSASAKGLLYSGSYNFYFNMYRLSLVYTRNGVPSQYWPRKSYDDLWEIRWGTYNDSQYGVLDQRGTFASSDGLEFEACDIMRRLTLDYDGNLRLYSLNATNDNWSVSWMATPRIREIHGVCGVNSLCKYIPKLECSCLEGFEMIEPTDWSRGCSRLNQNSTLDHDFSFRKLPGTYCQGNNLHSSNLVTLQFCQDKCASLVDCQAFGYQKGTGQCYPKYLLSNGNDFTDSYMVLIALGCWIVYNWETRTEATDEGSMIISSQFRRFRYKNLQKATGYFKAELGCGGSAAVYKGVLDDERKVAVKKLNDVIQGEQKFRSELSVIGRIYHMNNLGGFCAENTHRLLVSEFVENGSLDKVLFNYQNLSPVLQWSRRGYIAPEWALNLPITGKADVYSYGVVLLELVMGTRVSSQVVQGEGEEEVEMAVGHYSKILKDKLASKDQSWLLEFIESRIRLFTSSIDAKGSSFMCGRGEEKKTKHEPCVAMANRHVSISTGFISFLLMFSTALAKEQSYLARGSSISTEDDTTTILVSPNGAFSCGFYKVATNAFTFSIWFSKSGDKTVAWTANREAPVNCKGSKLVFQKDGRLALLDYNGTAVWSTTMTHARSAQLLDTGNLVVMDSDGQHL >Sspon.03G0001150-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:9185865:9188731:1 gene:Sspon.03G0001150-2D transcript:Sspon.03G0001150-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribonuclease 2 [Source:Projected from Arabidopsis thaliana (AT2G39780) UniProtKB/Swiss-Prot;Acc:P42814] MTTACVLAVWVLVAAGLADLGSARAPLGSKPQREFDYFALSLQWPGTICASTRHCCATNGCCRSEPLQTFTIHGLWPDYDDGTWPSCCRRTQFDMDKILPLKEVLDKYWPSLYCSKSGTCFSGKGLFWAHEHGTCSAPVVQDELQYFTIALDLYFKYNVTEMLSSGGIQVSNGKEYALSDVIDTIKHAFGGSPQIVCKSGSVQELRLCFDKELKPRDCLTTSLTIGSVSKSKHCPRYITLPTYDPLVLANSTVEVMSQFDEFEVPASLYTA >Sspon.04G0002080-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:3746933:3751922:1 gene:Sspon.04G0002080-2B transcript:Sspon.04G0002080-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLARALRSLGQAAAAREGPLLAWLPSSRSAAFSSSSSAPPEYDMPSVTWGVIQGRKERLVSRVLALDFLRSAGVSDPAGELEAVELPSSLEVLQERLDFLLRLGLSTDDLSNYPLLLACSLRKNVIPVLSYLEKLGVTRARLAAFVRAYPACLHASVAVDLAPMVKALRGLDVDRQDLPRVLERYPDVLGLKPDGTISTSVAYLVGIAGVAPRDIGPMVTHFPFFLGMRVGTTIKPFCDYITSLGLPMRILARILEKRPYILGYDLEETVKPNVEALLSFGIQKEALPLVIAQYPPIIGLPLKAKLAAQQYFFNLKLQIDPDGFARVVEKLPQLVSLNQNVILKLVEFLRGRGISNEDVAQMVVCCPQILLLRIELMKNSLYFFKSEMKRPMSELLEYPEYFTYSLESRIKPRYMRVTSKGIKCSLDWFLNCSDMRFEDRMQGDFIEGDAPGPSFTMGGKLQMPGSQLVSDDDNEDTDDEVLYRRTVMIYQPKTRHLAAFLASLTSSNHLPYVRLALPRRPATLLAHNDLLRTLARGPQPGL >Sspon.02G0035420-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2B:19010640:19011730:1 gene:Sspon.02G0035420-1B transcript:Sspon.02G0035420-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding HKWDIEDNEENRKKIWTIANERYKGWRSTFSATYRAYTTYDERMRHKPEELDIVEWHYQVLYFGSEEFQDNASSKISERETNGDANIISDVEQNQIFQSAYKETRKCKSTNILANGYLVRYPTRRQLLSEEYQHHVHQDVALVDAFSKLQERIESQEAEREAKREEHRCQMVEMMKAREADREAFRQEFMSMLQAAQGQASLQQV >Sspon.01G0056500-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:89918561:89927791:-1 gene:Sspon.01G0056500-1C transcript:Sspon.01G0056500-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKDEVEMLLGVPGEITKLETTLGDLSSILADAERRRIRDSAAERWVRELKDVMYDADDILDLCHIMEGGEDPSSSSSMAAPKTTSKWCGGIRKMFSCFRNPSDVVGDKIMEDKKKIVDLLIKKVGSPAESKGNNVVVAVAITGMCGIGKTTLARMESFGQRIWLSVNKEVNDISALQRNKDLLERALKDTVQQKKKFLLVMDDVWSEKVWNDLLKSATQLCRVLVTTRNDGAACDLNAQHIHRVVLNESDEADVDGLKGIGIKIVENCDCLPLAVKYIIKDEGALISEGQDANRILISSPKLRHLSISNKTVMIDSLQKQASLRTLMLFGSTTVELKDLLNHLSCLRVLYLDNVNLVELPDSICHLKHLRHLCLLDTSMPTIPRDIDLKFLQALDLAGCTNVSQLPNGITKLRRLRLLNLRGTAITSAPRGFGKLEDLVAMCGFPTHSNDSTDGWCSLEELGALSKLKALEIRGLEKAPSGSMAAKAMLSNKHHLIGLELMFTSRLGENGEVKDDISEAEHERTEEVLANLCPPTCIEELEITAYFARGLPQWMRTMSAFGKLRRLLLEDYACCTQLPNGLGQLPVLDYFWMKRAPSIQRIGHELLLPSLGDDDGDGKDMTSGLTGAQDMMKQPHRISQGSVVAFPKLAKLGFQGMLRWTEWEWEQHRMPSTKGIEQFAISPEPRVVGLDAEALPQYLRDTKLNKLRVDCSPSLLKLIASQDDSSEWGKIKHVQQLKAYGRTSGGDEDDRHIYYTKEPYSFDDEVEMLLGVPDHQARDDLGDLSSILADAERRRIRDSAAERWVRELKDVMYDADDILDLCTSWRAEKIPRRRHQWLLQNHFKVCGGIRKMFSASATLLEESFGQRIWLSVNKEVNDISALQRNKDLLERALKDTVQQKKKFLLVMDDVWSEKVWNDLLKSATQLWCSWQSCLGDNKK >Sspon.08G0009880-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:43135952:43138041:-1 gene:Sspon.08G0009880-1A transcript:Sspon.08G0009880-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALLRLLPLLLLTLTAPPAAAAPVLAPAAAKNATLDSATLSFADLTLLGDSFLRNGSVGLTRETGVPSSSAGTVLCTQPVAFRGPTATASNATAVASFAARFSFVIANPNAGAAGGDGIAFFISTSRATLGATGGYLGLFNSSDSATAKNGSASTAIVAVEFDTMANPEFADPSDNHVGLDLGSPLSVATVNLAASGIDLKSGNLTTAWIDYRSADRRLEVYLSYAAAAKPKRPVLSVAVDLSPYLKEALLGQHTIKEWTFQTFGFPPTANSSFESNATSNLSEQAAPASNAPNSHKRVGLALGILGPVALAVSFVFFAWVSIKKLIELTSRNDAAFSPELLKGPRKFGYKELSAATRGFHTSRVLGKGAFGTVYKAAMPGAAATTYAVKRSTQAHQSRSEFVAELSVIACLRHKNLVQLEGWCDEKGELLLVYEYMPNGSLDKALYGEPCTLSWPQRYTVAAGIASVLSYLHLECEQRVIHRDIKTSNILLDGNLSPRLGDFGLARLMDHNRSPVSTLTAGTMGYLAPEYLQSGKATEQTDVFSYGVVLLEVCCGRRPIDKDEGGGKNVNLVDWVWRLHGEDRLIEAADARLAGEFDKDEMLRLLLVGLSCANPNCEERPAMRRVVQILNREAEPAPVPRKKPLLLQEIAFSCGDDVRGGYSVAKPTSPKSEGADIER >Sspon.05G0012350-3C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:5C:32295508:32295808:-1 gene:Sspon.05G0012350-3C transcript:Sspon.05G0012350-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LPRAFLSPVATITSRDLLSFATTATRRRLHLSTTTTIATAMASSASPCKVIDSHLHVWATPQQVRKAGNGREPSFRILQFFLPVTPTLSAQAKEEYPYFP >Sspon.05G0009230-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:29740727:29741968:1 gene:Sspon.05G0009230-2D transcript:Sspon.05G0009230-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPFGPWADIPPELLALIGDGLHLLEFYSRARGVCTAWRAALPPPIPSLVTVTVPPPGASSLYRHFPEVFALFLPAERFLCVGSSNGWLAVDARTCYHGIYLEIPLLPQLRNENHYKPVPKIAFAPNPKPDDYVAIAIYDLRRLAYWMILDVAIGESDKFIDLAYDTDGGKVYCVTLLGDVHVLHIPQRQRRRPIVEPLLAERAGLPFDPAAAYAAPYDTASKFTSVKNVFFFGGNLYQVWRNATSTRSWLTPDGGRFVMLKDDIFVLKYNPERRPCWDAVTDLGGYSVFVGKNHPVVLQPKDAPGVTANCVYWINEQSRNEPMVFDMVTRTSTLHTSAAKALSPSCKPVCCWYFLDDKITEVQDNGRKRRLSIDHCEQVSKIS >Sspon.01G0048800-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:110457958:110466176:1 gene:Sspon.01G0048800-1B transcript:Sspon.01G0048800-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AAEEERLRKEFEAEGRTLAQKEKSEAIDSNVITPGTQFMFVLSTALQYYIQLRLNHTLGWQSVKIILSDSNVPGEGEHKIMSYIRLQRNLPGFDPNTRHCLYGLDADLIMLALATHEVHFSILREVISMPGQQEKCFLCGQVGHLAAECRGPSQPDNSVELPPIHKKKYQFLSIWVLREYLAKDLEIVDAPFKINFERLIDDFVFMCFFVGNDFLPHMPTLEIREGAINLLMSIYRSEFTSMGGYLTDGGEVILDRVEHFIQSVAVNEEQIFQKRARIQQARENNEEKHRIQRENSEENQYVDKVKLGEPGYRERYYAEKFKEEAESKPIDQVRRDVPYVAPADFEIDMNGKRFAWQGVAKLPFIDEMRLLAETRKLEDTLTIMFLYQNYYHLSRTDPYVIPIQPAVSGGMNGFLCLSERNWYSVTVTSPVKGFNGIAQNRVLNATYLNPQYHKHIPEPPAGVIIPAKGCTLWPGNRPRPAGPLGYERGFVDNPYHAHMSRSVPNPHPQFFGDSQANKQPMRILERPNSRSHDAGIRASMSKLTIQEGPRPHQNNRMQNSGYWPNQPHPNHFAGPPAQRPMQNISFVPQRPFQTGGFPQLRPVNGVPPPLPPSNWIGKQPSGGHMGVPAKHDPRTAPDRQPKQDNSRLQQDKRQQATTKVYRVKTQATNDNGLSESGKQEEPAA >Sspon.08G0016100-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:58381963:58384380:1 gene:Sspon.08G0016100-2B transcript:Sspon.08G0016100-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hypersensitive-induced response protein 4 [Source:Projected from Arabidopsis thaliana (AT5G51570) UniProtKB/Swiss-Prot;Acc:Q9FHM7] MVNAFFLFCGCVDQASVAVVEKWGRFLRLADPGLHFFNPFAGECVAGALTTRVQSLDVRVETKTKDNVFVQLICTIQYRVVKENADDAFYELQNPQQQIQAYVFDVVRAIVPRINLDDLFEQKNDVAKAVLEELEKVMAAYGYSIEHILMVDIIPDAAVRKAMNEINAAQRLQLASVYKGEAEKILMVKKAEAEAEAKYLSGVGIAKQRQAITDGLRENILNFSHSVSGTSAKEVMDLIMVTQYFDTIKELGDGSKNTTIFIPHGPGHVKDISEQIRDGMMQASSSNV >Sspon.04G0003510-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:10932183:10935687:1 gene:Sspon.04G0003510-1A transcript:Sspon.04G0003510-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLATASPHLQAAARRLSLAVPLNQGCSSVRLPRRRPGRYASVRAASTAAPPAKEGAEDLGFQEMSSGTRRRYYMLGGKGGVGKTSCAASLAVRFANSGHPTLVVSTDPAHSLSDSFAQDLSGGKLVQVEGPDSPLFALEINPEKAREEFRTASQKNGGTGVNDFMDSMGLGVLAEQLGELKLGELLDTPPPGLDEAIAISKVMQFLEAQEYSMFSRIVFDTAPTLRSKIASATSAIKSVFGQEVQQQDAENKLEQLRERMLKVRELFRDTESTEFIIVTIPTVMAISESSRLHSSLQKESVPVRRLIVNQVLPPSTSDCKFCAIKRKDQTRALDMIRSDPELMGLNIIQAPLVDMEIRGVPALKFLGDIVWK >Sspon.03G0016280-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:51827311:51829820:-1 gene:Sspon.03G0016280-1A transcript:Sspon.03G0016280-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRLPDEIVEEILLCIPPDDHEHLLCVALVCKRWAYLLGSCGFRRRYRERHRTPLLLGFLGNLIDTGGYARFIPTRAFRPVHPDRHDYRAHDARHGRVLLNRIARCGDVFQGVEAALIVWDPITDEQWPLPPLLRDQPVRNWTAAVLCATTGAAGLGTCDHLGCRPGDFHVVFVGIDDKEMFASVYSSDSATWSEATSANLPDDYLHEAVLPALAGNALYFVFRMGMAMLKYDLAMRVMYVMHIPVSWHPRRVVPMAMDDGDLGLAEVDMESNLILWSMEVSADGNVEQWVVSRHIELRTLLPAHALAFCVVAVADAVGVIFVYTVDGVYTFDLNSGQVTKVLSYGFYDIIPFVSFYTPVLRAALDSEVRLGLGGGKLYLLALLMEGGGGHV >Sspon.02G0023800-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2B:81063162:81064812:1 gene:Sspon.02G0023800-2B transcript:Sspon.02G0023800-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQNHGPSQEAYSDEALFDYPMTWVGFLAVPGTHPWPWVDCGKRFRGNHGKSNCLDIWLELLGLSLTALLIAMAQESWKQESEETGVHAPEAPILCINNCGFFGSSMTNNMCSKCYRDFIKLMETPVVEKKLIIGPSSSAAPLEAAKQVDATAAAVVDDKQAEAAQEQPPKPPSNRCLTCRKKVGLTGFQCRCGGTFCSMHRYTDSHQCTFDYKTAAREQIAKQNPVVMAEKINKI >Sspon.05G0015080-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:51715900:51716370:-1 gene:Sspon.05G0015080-2C transcript:Sspon.05G0015080-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MYHCQKHVLVAIALMAASILQGVSSKLPEYAVTTAYDVLEQNNLPQGLFPLGIQSYELNAGGAFVVTLPGECNFFVTFAEKEIKFRFDSSVSGTIKSGSISRLSGAKILVEFALRGFNQVNRAGNLLNFHLENSVIRSFPASAFAESENCSGLLAA >Sspon.01G0022620-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:86367257:86384688:-1 gene:Sspon.01G0022620-1P transcript:Sspon.01G0022620-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPTPIPSRLPAIRPAWPHRPSPFASPPPHPFRLLASASASTPASASRSLLLLLLLATASPSRLRAWAAVARARPCHRAAASRGRRPRAELFRGRSRPLLLPWVSPAVAAARMDAGEMEDVRAAAAAEEVISSRGGSVLGKKTILKSDHFPGCQNKRLTPQIDGAPNYRQAGSLRVHGVAMPTMEGIANVLNHIGAHKKGKQTRILWHSLREEPVIYINGRPFVLRDVERPFSNLEYTGINRERVEQMEFRLKKIFFRKLQVTDELPSGQMVDQWESVVYDTVKTPLEVYEELQHQGYLVDYERVPITDEKAPKEGDFDNLVRRISQVDIETEIVFNCQMGRGRTTTGMVIATLVYLNRIGASGIPRTGSIGKVFYAGNDVDDYMPSSEEAILRGEYAVIRSLVRVLEGGVEGKRQVDKVIDKCDSMQNLREAIATYRNNILRQPDEMKREASLSFFVEYLERYYFLICFAVYVHSVSSAHQTTLSVEVSFSDWMRARPELYSILRRLLRRDPMGALGYSSSKPPLTKIIESASGRPQDMDVVAAMRNGEVLGRLTVLKSDHCPGSHSLNLPERVEGAPNFREIPGFPVYGVANPTVDGIRAVIQCISTSKGGRPVLWHNMREEPVIYINGKPFVLREVERPCKNMLEYTARLKEDILREAERYGGAIMVIHETDDGEIFDTWENVDNEAVLTPLEVYKNLESEGLPIKYARVPITDGKAPKSSDFDTIAFNVTSASKNTAFVFNCQMGRGRTTTGTVIACLLKLRIDHGRPIRIPVCQYGHEDDAIYSTVGDTADHNGHLNSESWKPRTLTKLNSGFGINDILLLRKITRLFDNGIECRQTLDTVIDKCSALQNIRQAVLKYTRVINQQHVEPRVRRVALNRGAEYLERYLKLIAFSAYLGSEAFDGFCGQGETKISFKGWLQQRPEIQTMKWSIRLRPGRFFTVPHEQKATYPPPQGDVTMETIVKARSGSVLGKGSILKMYFFPGQKRSSSVNFRGAPHVFKVDGYPVYSMATPTIDGASDVLSYLGSKDTTGRSIGQKVVVTDLREEVVVYIKGSPFVLRELDQPVNTLKHVGISGPMVENIETRLKEDILSEVKQLGGRLLLHQEEFNAATSQCSVVGYWEHIDLEDVMTPAEVYSSLRDKGYCIDYNRIPLTREREALAADVDAIQSLIDESARYYLFISHIGYGGVAYAMAITCLRLGADAKFVMEETAETHFVSTSLAKNVSIKTKTDIALRQGDYRDILNLTRVLVHGPKSKEEVDTVIDRCSGAGHLREDIMHYRKALQDCSHDDDDDDDEEHSYLTDMGTKALRRYFFLITFRSYLYSTRSCETTFTSWMKARPELGHLCDNLKLDK >Sspon.03G0002120-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:5140499:5145535:-1 gene:Sspon.03G0002120-1A transcript:Sspon.03G0002120-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQLQSPRFLSIPRRSVTGRRRRARPVIAFNSQWKIPDVDTDAVRERVRSWMSLARGAVADAAHAARERGRHKEEPEGGKKKQRKEVVVEEQAFVAVPEVTVEPRVAQGWLSLDAVVSIEQFARLNGLTGRQVQRIFETLAPKHLHNDARSLVEYSCFRYLARDNSDFHPNLKELAFQKLIFVTMLAWEDPYNEDDGPLSLLDSYSVLGRLVGEDAFVRIAPAVAGVADVSTAHYLFRALVGAEKGLSFDLWTTYLAELLKQTHQMGDNFLYDEKVLCIGSSKKRPVLKWEENTAWPGSLTLTDKALYFEAIGLSGTKKPMRLDLTNQNSRVEKTKVGPFGSKLFDSAVSVSSGSVSDEWTLEFVDFSGEMRRDVWLAFISEIISVYRFIREYGPGGDDPAIHNVYGAYKGKKRAVSSAANSIARLQSLQFIRRLYEDPAKLVQFSYLSNAPFGDVVLQTLAVNFWGGPLTTKARSADQRSTQWHRSSEDPSSGHAHVYDIDGSVYLRKWMTSPSWASSHSANFWRNSSVKHGVILSKSLVVADKNLVEKAMVDCKERNKVVERTQATIVAATIEGIPSNIDLFKELMLPFAIVAENFKKLQRWENPRSTFCFLLLVHTVLFRNMLSYVFPFTLMMMALSMLAVKGLKEQGRLGRSFGKVTIRDQPPSNTIQKILALKEAMASVENYLQNLNVSLLKIRTILLAGQPEVTTQVALVLLASSAVLLVFPFKYVLAFFTFDLFTRELEFRREMVRAFMNFLKERWESIHAAPVVVLPYEGAESSPKTLPAKASGQSEPQNMQRGSGYVTSKNGISSS >Sspon.07G0001200-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:1680312:1685208:-1 gene:Sspon.07G0001200-1T transcript:Sspon.07G0001200-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGGWGVSDGYEGSKRTRMMMESNPYFAVNAGSPLDVSKRVRMMEPGAPYFGAVGSNTGGASGSFYQPFSSNLAGAGVSTGIQSFPGVRLRGLPFDCNDIDIFKFFVGLDIVDCLLVNKNGRFTGEAFVVFPTAMQAEFALHRNKQNMGRRYVEVFRCKKQEYYCAIANEVNQGGYFELEHRRSPPPPRPKKQFEDKGSMEYTEVLKLRGLPYSATTEDIIKFFEEYELTEENVHIAYRSDGKATGEAFVEFPTAEVAKTAMCKDKMTMWTRAMMGGWGVSDGYEGSKRPRMMMESNPYFAVNAGSPLDVSKRSRMVEPGPPYFGAMGSNAGGASGGFYQPFNSNLTGAGAGTGIQNFPGVRLRGLPFDCNDIDICKFFVGLDIVDCLLVNKNGRFTGEAFVVFPTAMQAEFALHRNRQNMGRRYVEVFRCKKQEYYCAIANEVSQGGYFESEYRRSPPPPRPKKPAEDKGSMEYTEVLKLRGLPYSATTEDIIKFFLEYELTEENVHIAYRSDGKATGEAFVEFPTAEVAKTAMCKDKMTIGTRYVELFPSTPEEASRARTRGRH >Sspon.02G0027490-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:106552259:106558707:1 gene:Sspon.02G0027490-3D transcript:Sspon.02G0027490-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GLKRKRGAKPTDSAEIGGGDHGRAEGEVRRGRRGAVAAASSSGERWSAAIGNLGELGANVDALQKLLGRKAVFVDDDIFSKASLAADQARTIKVLDQRVQSLERELDAAISAAARARTEKPAQRAAELRTQEVTRELENTARVFELHMEELRLKQEEIAKKDSDIKVLEAIIRTLSTKDDTLSSKDDDGSSE >Sspon.06G0032330-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:83419388:83425361:1 gene:Sspon.06G0032330-1C transcript:Sspon.06G0032330-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLAAIGTATPANCVLQNELPDWYFRVTISDHLTKLKAKMKRICDKSGIKKRYFHHTEETLSRHPEFLDRALPSLGARLRTTADAVDLAENNRSARVLVACAHAALLSFGTPDEARLDALITMALFADGAGAVVIGADPALHLERPIFHVVSSSQATPPATERTMGISLGESSVEYGVSMEVPTIVRYSIERCLADSLAPLGLANGSDGGGWDWNSLFWAVHPGGRALLDSYEAALDLETGKLGASRPVLAEHGNMLGASATIIFVLDEIRRRHQDGGEEREDCMWGIMSGLGPGLTVDTIVLHAAGSRNED >Sspon.02G0029150-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:114296704:114302081:-1 gene:Sspon.02G0029150-3C transcript:Sspon.02G0029150-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRYSPPYRSPPRRGYGGRGRSPPPPPRRGYGGRNKEGSGSLLVRNIPLSVRAEELRVPFERFGPVRDVYIPKDYYSGEPRGFAFVEFVDPYDASEAQYHMNRQVFFGREIAVVLAAESRKRPEEMRSRARVRYEVTLVMKVADLHIMVILHLYFCTIHGRSRSRSRSPRYRGRPRSRSYSPAPRRRDDYSASPRRKEAHRASPPRRPPKEQDEDKKHRSYSPASRDDADNGYEKRSPLPDSDGSPPHRRSPKEYSGSPPGSRSRSADESPVRSD >Sspon.07G0021240-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:2335595:2336606:-1 gene:Sspon.07G0021240-3D transcript:Sspon.07G0021240-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding KMMVWFSLAAGGGSEQGAEVPRLERDVTSPLATVPVVNPTAMPTPSLATGAGGGSWCVASPSASATALQVALDYACGQGGADCSAIQQGGSCFSPDTVRDHASYAFNSYYQKNPVQTSCDFAGTAVLTTANPSKFSTPEHVKIKASSCSAICSTGGSVLNTSTPLTPTYGSPPGYGSSPPAGYGNSPPLYGNMSPPEYGDNINAAVRALPGRRATTVVVSLSTTCFLTAALSL >Sspon.03G0019400-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3B:82602005:82604558:-1 gene:Sspon.03G0019400-2B transcript:Sspon.03G0019400-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAARAELAALLLVAAVCAATGFRPPPVSEDTLEKVAASLEMYVDVLPQMPKVLGYSIKHGRPTPTPTHLTIGMYQKKWKFHRDLPATTVFVFGTSAESATFPGPTIEALQGVPLSVTWENHLPDRHILPWDPTVPTAIPRSGRGVPTVVHLHGGVHPPQSDGHANAWFTAGFRETGPAWTTPTYLYPNAQAPGGALWYHDHALGLTRANLLAGLLGAYVVRNPAVEAPLGLPRGEEFDRVLVLADRSFYADGELYMNCTGDNPRVHPKWQPEYFGDAVTVNGKAWPFLPVARRRYRFRVINASNARFFNLSLSNGLPFHVVGSDASYLPRPVVVTHLLVAVAEGFDVVVDFSESATAEAELVNTAPYPYPDGDAPNHLNGKVMKFLVDPPAAKEQNDDHSRVPARLLEYVKVAEEEAARTRYIVMYEYEDEATGAPTHLYINGKRMEDPATETPRPGTTEVWEVINLTQDNHPLHLHLATFQAVRARELDGLEEFKHCMERLNDAVRCDVGRHAVGEEVAVPEHERTWKNVVKIAPGFMTTVVVKFLMVDTGRSYPFDATAEPGYVYHCHILDHEDNAMIRPLKLIK >Sspon.05G0009330-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:29594299:29596775:1 gene:Sspon.05G0009330-4D transcript:Sspon.05G0009330-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEISMPELEFTTRAGKIGQYLPEPPHDYDLNWGKVPQFGDANKLADLRLKDITVANFDLGVRTENPIRSPPKLPFDEKVVVVPKLALPWLGPLDKLFVKTSPRKQCRLRRWRRVRRQSPDNPLQLNINFLVLATGYSLGVIGLKTVGNCNRKRVWVKDFGFAGKGRKAHRRPIRDRRHASFAESYLIASDAGDAFSNHQHRTMSMNYDGDRSEDRQSEEVQSAYKRDSDIGEEMIITSEEQNDENEWSWVNKDRNGDPLAESVSSLHTTQQVLENEIQKLSELAKELEAEESTSGNKDQDVIVLPYAEVDMLELNEKMEHLEQKVKETSNTIREKDLRLSKLQVLIGTADRAKLEEEAAASIDQLETELEHHLQEKLEAEIQCLVMLKARQNWQVRAEDRMALEEHRALSGGDKTRMLLKLRETESKIVMLKEQVDKLEVREKELYRTTEVLKMQSRTFKVSLFGLLQLACSQ >Sspon.02G0050820-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:68323352:68324477:1 gene:Sspon.02G0050820-1C transcript:Sspon.02G0050820-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEARRCVELTEGSRAVATIAQMDPRLLQGQRASFVAAGIVGSTRSPPTPAVGVTVTTTIGSLAAQQIWWKEQRGRVERRRARVMRASGHPAEGLRCMPRFDSAPTGQDAPPTRLVVGPAGSDGRSLRVGSAGGKRSLPSVSESEMAAPPARARADYDYLIKLLLIGDSGECLHLHERILPHLSLSVRIDWWR >Sspon.07G0001790-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:3120898:3121602:-1 gene:Sspon.07G0001790-1T transcript:Sspon.07G0001790-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLWERSRVVLDDGGDDFTFAAAQPPPRLLSGGGGGGCMGPPLLYPIFGRPRSPPRAAPAPAPEPETATARVPLGRLLLVDRDPPPPPAPADDVVDDEGLDSVPAEMFCPWSPGWSAAAAAPSPARCKKSGSTGSVLRWRPWLVVGRSQSDGKEKFVFLSPAASSSSGRSSGSRNQKGICAVAAAAGGGAHAWSCYVNDNRGGGARRRRSFLPYKQDLVGLFANATVFRRSYLPF >Sspon.02G0050440-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2C:61613559:61614164:1 gene:Sspon.02G0050440-1C transcript:Sspon.02G0050440-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding CLQEWKLENKLFSITLDNAKNNNNMVGSLRKNLSKRHLMLGNGDLLLMRCVAHVLNLIVGFKVIDGATNHIRDSVKYIRSSQAHKQCFEEIIVQLGISCEKRLSLDVPTRWNSTYLMLKSSIEYRAAFDVMESQDPNYMDKPSNTDWNMADLLCNVFKPFYDATNVVFGTLYPTANHCFHVLWEVKGKIETLESHKLRRAK >Sspon.01G0055850-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:84880815:84884121:1 gene:Sspon.01G0055850-1P transcript:Sspon.01G0055850-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNIFKKKVDPKGAVTHSWISFVAGGRSWIREKSGEFFAAVLFGALLLSFDSSGGGSAVSAARTPEARFSVDFCHRGQGGRRPGWEALRTSKREMAVATRGVEREIGSLQMEAMYAGTSISAGMKGASKAMAAMNKLSHIGMGVSMQLEMMSDAIDETLDKDEAEEETEELTNQVLDEIGVDVASQLSSAPKGRIGATNKKVDNSQARNAAAPARNVAAPPESSAEVDDLERRLASLRRI >Sspon.01G0008870-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:3149396:3151214:1 gene:Sspon.01G0008870-1P transcript:Sspon.01G0008870-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTMMSAAQAATSQLPPGFRFHPTDDELILHYLRNRAASAPCPVPIIADVDIYKFDPWDLPAKALYGDGEYYFFSPRDRKYPNGIRPNRAAGSGYWKATGTDKPIHDAATGEAVGVKKALVFYRGRPPRGSKTNWIMHEYRLAAANGSTSPLAAAAAAAYRPPSKFRNVSMRASPMVPPLADYEHLDHDETSPGGSCFDDFCTSFYAVPAVGGSGAAAGSTTTTATPVMQHQQPAAALPRLPKIPSLSELLLDEYTLAQILDGPAEIADHHAPLAVHPSLNQLLAVGGDGSDLTVYSTPPAAASGGKRKAMMMMSPDECAAGMMITTAAAGLHHHHSPAAKRLNGGSCFDAPQPASRLPATTSSVLGGGLNHHMLPHF >Sspon.06G0014070-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:89322666:89333870:1 gene:Sspon.06G0014070-3C transcript:Sspon.06G0014070-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGRGTAMACGGCSCEAAVGAAASEAYLEAEPVREARELVADLCRHFYAQGWVTGTGGSITIKVNDPAVPLADRLIVMSPSGVQKERMVAEDMYVMAADGKVLSAPVAKPWPNKPPKCTDCAPLFMKAYLMRGAGAVIHSHGIETCIATMLNPGAKEFRVTHMEMIKGIKGHGYRDELVIPIIENTPYEYELTDSLSEAIAAYPKATAVLVRNHGIYVWGDSWINAKTQAECYHYLLDACIKLYQLGIDWTTPEHGPINNAKRQRSILSSEIPNGCHAADSSKCVVLDIEGTTTPISFVTDVMFPYARDNVQKHLTSTFDSEETKDDIKLLRIQIEDDLRNGISGAVPVPPDEAGKEEVINSLVANVESMIKADRKITPLKQLQGHIWRTGFEKKELQGVVFEDVPVALKNWHASGIKRSTKASIWQYNIRDLRKFLCGYFDTTTGNKRETRSYFEISQSLGVDSPSQILFITDVFQEAVAAKNAGFEVIISIRPGNAPLPDNHGFRTIKSFSEI >Sspon.03G0032060-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:34117488:34121418:1 gene:Sspon.03G0032060-1B transcript:Sspon.03G0032060-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHDRLHAMHVHTDNNNWWCERICLASNAAEEFGLAKVTAIQAGTAPGDFQSGANFAIISATANNGSFFAGNGMDIRPFSLDTQMLWFRTHLRELVQAAAPPPAAQQNGSAAALLSGALVALGEIGGNDYNFAFSRGMPRDEVRRFVPAVVDKLAGAMEELIALGARAFVVPGNLPFGCTPLYLQRFRANGGWWDYDPATGCLAWFNRFAQYHNRLRRLHPDVTIVYADWYEATMSIFQAPGKLATHVMCKTAPPYVLPTVGIGHAASSDVHGWHGLAALEVERLCLLRAATATPHQTASEAPLVGSLGNTVGPATKHNESSSSFHRVIGRWAVMAKRLCAYMARARHQLWLCGHQLHEPTNAKGHHGFTCNKWPTVPCGMPGCSVCKDPSTYGSWDGTHPTEAVYKVIADGVLHGPYALALPPHENINNQTISLICLDGPMQAVALPTMESELKALAWATLLTPACAVYARFAARCLRPGIPRLAALLPTFPVFLYMPCMFSSLHLRLFSTFFHTWLATNKLVLLALDLGPLHPRLPLLPFVLCAGLPIKVRRLRQSQQQPTKNQPSSSSPPPPGAEFLRPCARSVLLLSCLAVAYPYTGWLPLYALHYLYCVQIFLTLDLVFSCVMLVSAALMGATLERQRQFNTPLAVTSLNGFWGRQWNLMAVDLLRESAYEPVRARWGRDVGVLAAFLMSGLLHELLYWYLTLQQPRGEMLLFFMFHAAFQIAERWARAAGLWRPPRAVAYPLVTAFMVVTISEMFFGPFVRAGTDVRLKEETMAMGRAGSSPPYGSWAHGAP >Sspon.01G0055670-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:82343865:82348658:1 gene:Sspon.01G0055670-1C transcript:Sspon.01G0055670-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRALTHREENINDLSNTSYYSGTGVIERGWKFFWMHVIPYSSIDALRVVFGP >Sspon.08G0023140-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:52975955:52981539:1 gene:Sspon.08G0023140-1B transcript:Sspon.08G0023140-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPNYGEWNASEIKIVKALIANHIAKSTYANDINNKQIDIVDVLQARFPTKEKHKVTKLYADLMVVKMHVMLSGNQHGTASSKLVNDKFGMPVEHPTMDVLGGDLVEERKAKGNKERLRRRFWTTEEHINFLYGLRAFGRSDRKNIFKHFVTIKTPAQISSHAQKYFCKMENTTRKQRSSINDVGFNDDEPRVQTNASSLEGFTFTSGTYNSNHYGSGSQFVAMSNLAKQTWQAAAVTRGGASGPCVAPKVEGDGGRTKLTNDQEGDFPIDKWMMRNFRRRDQRLHASGLALVDRRGFHVNIRRCSRVVAEGLGIHAPAHSRNTDSVHVGMSSHVRVLDSVIGTGGDDCVSVGPGSFDVVVNGVICGPGHGLW >Sspon.01G0042500-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:66087580:66100232:-1 gene:Sspon.01G0042500-1B transcript:Sspon.01G0042500-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKSTPQPPPSRSSAVSQPPPSRSSAVPQPRSTILQPPNPPIPPPPLRHPAAPTYPRRRSDAQQPTCAASVVEKVTSAASVVEMPTCEASVVEKVTSASGAAATIPQAPPTSPKKRGRKPAKRPRQGNQSEEHPVNPYELVRIRKCIRNNAHMQKLGLPVLAQLFANTTLSPEKRQQKETENSGSEYNGEDEANSDAHLSDDDLEPPTLSSKKKITTKKRKKGAEKEQPRMQSSVVTRGMKNHPADVNAVNNQAEIPNQATEQVFSCLYSSPFLFISRRRRWRHDSQAGGGSERSGDRKRAILQRIHGMEASTEEESHARRSEPQSGSGRPPPASPGCGAFSGVRVLLSEHFVSGAAGVKMLSLLDGVLHGTGSSLCLYVSAITASHTSMRVGEAAELIQWLGVLTTIAPPPLPAPHRRPPPEPRPTTAPAAFRHTSTLFSSLLALSSDRVVLGHLGAGNKRFDVDPATTVLHLVIRKLIQVPMSFRRLVKNRSHFPLSLVDMGLGGAHLHAPLENRRPCSKQQEGGGSSKQQGRSTDGLFGLELEGREEGVSCTQAARKRAQQLHSG >Sspon.04G0014960-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:56033281:56036911:-1 gene:Sspon.04G0014960-1A transcript:Sspon.04G0014960-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSNNITACVTLLALICAVPVIASGIWFASAQGEECARLARWPVAILGGLLLLAALAGFVGAYWNRRRLLAFYLFAMASLVVLLIALLVFAFAVTRGSGAYPVLGRAYDDYHLDGFSMWLRGYVSDDPGRWEKIRACLAVSDTCKKLARQAAFTNAEQFYQSHLSPLQSGCCKPPSLCGFSYVSPTVWTAPARPAADPDCGLWSNDPGQLCYECESCKAGLLEALRDQWHKANIALVVATVALVILYLVGCSAYKNAQAAAIFGRYKY >Sspon.07G0031580-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7C:24643389:24643658:1 gene:Sspon.07G0031580-1C transcript:Sspon.07G0031580-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVTTLSSSPRVASCPHVMLALLVASLLAPALGRRCVPSPLPGSSSLLLTLISVAYLSQSGHHEFESDSRERDFVRCHPFRSPIAAIHQ >Sspon.02G0052570-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2C:94234047:94234484:1 gene:Sspon.02G0052570-1C transcript:Sspon.02G0052570-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRERSRVRIPSAALAVACRKNTAKNACLKRPVGASRWGPPPIKIFFPVFLWIFSIRDFAECSVFAECFFSTRQRAFADVNFTVCSLPSVALGKAFVECKKIFAECHRHSAKHWIPVVVLDVEDSLQRVLLRAVAKLELKRGGCNT >Sspon.01G0023500-3D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:82125909:82127062:-1 gene:Sspon.01G0023500-3D transcript:Sspon.01G0023500-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGLTMDQAFVQAPEHRPKPTMTEATGIPLIDLSPLTANGGRGDVDALAAEVGAASRDWGFFVVVGHGVPAETVARATEAQRAFFALPPERKAAVRRSEAEPLGYYESEHTKNVRDWKEVFDFVPREPPPPAAVSDGELVFENKWPQDLPGFREALEEYAKAMEELAFKLLELIARSLKLRPDRLHGFFKDQTTFIRLNHYPPCPSPDLALGVGRHKDGGALTILYQDDVGGLDVRRRSDGEWVRVRPVLDSFIINVGDLIQVWSNDRYESAEHRVSVNSARERFSLPYFFNPASYTMVEPVEELVSEDDPPRYNPYNWGEFLSTRKDSNFKKLNVENIQIAHFKKSLVLA >Sspon.02G0018140-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:53877437:53879812:-1 gene:Sspon.02G0018140-3D transcript:Sspon.02G0018140-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCAAAVRSLLPLPHPAPAGAAPIHTSAPHLAAELEAGDALHALLSTLPPSLPSFFPCLSLLSRRLTPHSVADALLCAALPPASRLRLFLFSALTPRLRSPLLHSRAVVPILLATDADAAMYDAIADAQAAGLQPPAAAFEALIFAHASAGRHHEAVEAFSRMEGEFGCRPTTFVYNAVLRVLVASGGVVPLALALYNRMVAAGCLPNRATYNVLMDGLCKRGTAVDALKLFDEMLQRGITPNVKTHTILLSSMCNAGQLKEAENLLHSMEDKGCPPDEVTYNAFLSGLCKAGRVDEAIERLEALRRTGTFVLGLKGFSCLIDGLFLAGRYEEGFQCYMEVLEQADFSPDIVLYTIMIRGCAEAGRTNDAFAFFDEMKEKGFTPDTFCYNTLLKALCDAGDLDGARSLMSEMAQNNVVLDTNTHTTMIHGLCKKQLVDEAMQVFDGMVEVGCHPTVMTYNVLIDGLYRAHRLEEARMLFYKMEVGNNPSLFLRLTLGANQVRDSESLQKLVDSMCQSGQVLKAYKLLRGIIDSGVVPDVVTYNTLLNGLCKVRNLDGALRLFRELQVKGFSLDEITYGTLIDSLLRAHRYNDAMTLFQDILHSGGIPSLSIYNSIMRSLCRMNKLSQAINLWFDHLPKKYNLPAQDEVIASARKKFEEGSLDEAVRELIKIDQEYGSVNSCPYTIWLIGLIQARRIDDALKIFHILEEFGIDITPACCAHLSKYLCWERNLDSAVDVMLYTLNKRFIMSRHVGNRLLSSLCIRHRRKDAQALAWRMHLVGYDMDAYLRESTK >Sspon.06G0020710-2D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6D:9933615:9934337:1 gene:Sspon.06G0020710-2D transcript:Sspon.06G0020710-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIIRSTSLPSSLRSEEIDIEEQLQSLKETISSATIETIVDGIKRLGEVYKTIEQTICSPSSQASLCRLQQRTMVEQEVELSLVLLDLCNTMQENFSEIKINIQEMQLAIKRRNDSALQARIQSYIRLAKKAHKQFKKISKKPTSVDQDSCRVVKHLAEAREIAISMLESLSYLLSKQIGISSSSKWSLVSKAIKKRRVVCEEKLQETELVIVDIESGVETLFRKLIQSRVSLLNTLSL >Sspon.03G0018170-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:79941200:79943502:-1 gene:Sspon.03G0018170-2B transcript:Sspon.03G0018170-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGDGHPYAPADLHLPGFVPLQLSQGQILAPYLGTSVFVVLAVWLVSGRCRGLSKTDRLLMCWWAFTGLTHIVIEGTFVFNPGFFRKENPNYFDEVWKEYSKGDSRYVARDPATVTVEGITAVLEGPASLLAVYAIASHKSYSHILQFTVCLGQLYGCLVYFITAYLDGFNFWISPFYFWAYFILANSFWVWIPTLIAMRSWKTICAAFRTEKAKKTK >Sspon.06G0003990-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6B:9934482:9934877:1 gene:Sspon.06G0003990-2B transcript:Sspon.06G0003990-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLTAIVLLMLMLAAATAGMVTDAGGTLPAGRQQARTRRSRFLLANSPSPYACSKKSAVAVCFAPGSPGATCCGGQCVDTVASADHCGGCNKVCKHDRSACCGGRCVDLLSDKDHCGACGNQCSKKCSNGF >Sspon.06G0031470-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6C:68252698:68255185:-1 gene:Sspon.06G0031470-1C transcript:Sspon.06G0031470-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RYGPIFKTNLVGKDLIVSLDPELNNYVFQQEEKAFQIWYPESFMRILGDDNILSSVGSVHKHLRNLVLRVFGPENLRLVLFHDVQGAVKRSLASWLEKPSIELKSAASTMIFSVTAKWLIGFEASASSGELWRYYDTRGVVTFPLNIPGTAFYRCMQGRKNVIKVLKQLLDGRKNAGPRESKDFLDLVIDELSKEKPLLNEKTALNLLFALLFASFETTSSLITVALKFLTDNPKALEELTVIHESLRIANIAPVFFRKAKQDVHIKGYTIPEGWTVMICPSAVHLNPSTYEEPSLFNPWRWKDISEPVGGSKDFIAFGWGLRFCAGADFAKLQAAVFLHCLITKYRWKVIGDGNMVLSPGLLFPKGFHVQIEPKP >Sspon.01G0015430-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:44686940:44693245:-1 gene:Sspon.01G0015430-1A transcript:Sspon.01G0015430-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AMRMSMSNSTPAADSHGTNFVRFDSCKEVVREQGRSGFSRASTAEEVTAGVDGHGLVAIITGASSGIGLETARVMALRGVHIIMAVRNFSAGLAAKEAIVAKIPAARLSVLELDLSCMASVRRFAAEFESLNLPLNILSNNADQGFHAFPRRPRIAFCDEPHRAFSSNKPLIREHEEDVQGTRCRGKDCQGFTAYCQSKLANILHSSELSRILQDEGINISANAVHPGVIVTKNSKNMPIVLACLSKQHGQNYALYCLLFCLRYKGAATTCYVAMHPQVKGISGKFFDNCSIAKPSSKASDASAYYDVLEISTHASVAQIKKAYYLKAKLVHPYKNPGNPDAARKF >Sspon.03G0009830-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3A:26462454:26463341:-1 gene:Sspon.03G0009830-1A transcript:Sspon.03G0009830-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANLKWPPVLALLLVAAMVGSTSAGNIAVYWGQNGNEGTLADTCNSGLYAYVILAFLTTFGNGQTPVLNLAGHCDPGSGGCTGLSSDIQTCQSQGIKVLLSIGGGIGSYGLSSTDDANSVADYLWNSYLGGSDGSTRPLGAAVLDGVDFDIENGQSAHYDDLANALKGKGSVLLSAAPQCPYPDASLAPALQTGLFDNVWIQFYNNPGCAYANGDDTNLVNAWSTWTSSVTAGSFYLGLPASTQAAGSGYIDPGTLTGTVLPAIQGVGKYGGIMVWNRFYDVQNNYSGQVKGSV >Sspon.04G0006850-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:19143606:19146878:-1 gene:Sspon.04G0006850-3C transcript:Sspon.04G0006850-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNTLLRVCPSELKMPFEPKKHNSACLELLNKTDQWAAFKVKTTNPRKYAVRPASGVVPPRGSCGITITMQAPKEIPPDYYCKDKFLVQSIAAEEGTTQKDIVPGMFSKAPGKLVEEFKVRVVYVPANPPSPVPEETEEEDESLDSDVDHEVGRPSTSNSVTGQGHTCRPQASDDEDDSTSKLELESRYAEENKKIQKNLVQDDSVSKLELESRYGEENKKIQKELDLLRKTKASSPGGFSTTFVLLVFLLSSLLGYLMFGGKA >Sspon.02G0025940-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:90171759:90172882:1 gene:Sspon.02G0025940-1A transcript:Sspon.02G0025940-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRKDVALLVRDFVWLLALVAALGKASALLDHDWPPDPATYPPGRVVAIDLGNTNYCVAGYESGETTRAMFHHCIPSWVAFVDDGTVLVGEDAMNHAAVNPGAVVSGFQRLVGKRFTRVFEREFTQSVKENLPYKVVEENVELGVEVKTTKDGAVRNVGVEQLTATAAILTLPLEFADYASRSAAVFAGRLAGLKAVRVALGEPVAAAIAYGVSRNLRDEGNVVVLHVGGGTAEASVLTFVDPFSQYDPFFGEQDFDRRIVDHHFVRLVWDKHGKDIADDGAALEKLKTACKRAKKTLSHQDHAQVTLESIVDGVDFSEPLTRSEFEELNHDFFLKVVELVDRVVSQAEVETIDE >Sspon.02G0050150-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:50473086:50479259:1 gene:Sspon.02G0050150-1C transcript:Sspon.02G0050150-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGFSVTTSKAARDNTLWLGRCIASLSISISCRDQQEIVRTQTHHCSRPWARGSRVRRAAAATTSDDDKRAMAQVSIVTAARRELQAAALNPDAREFLPWWRLGGSRKQLSVDAPEFIPTTWGKATAAAAARGVLIAHPNNSGTGRAARRPANNSRQDAYTPRNAFFKSHRSQWDETVKEQSLSNILITLSLRKRWLVVDCRICGHPASGDGLRFGFVELQHEDEALASLDLDGTIIGINSVSVSRSRTAICPINPKFLPQSEAEWETCLRTIYCTNISKIVTSSNLKAFCEAYFGKAQQSSKSLLHLLILQMPRTLSVCRLKLLDNEDRSTNIAFIEFAEVDGAIAALMSGGIYVDGIPIRMCPSKSPIRTYCFGSPTVLEGTIGGY >Sspon.01G0014970-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:49404471:49405968:1 gene:Sspon.01G0014970-2B transcript:Sspon.01G0014970-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATNSGSALTKVAPLEAILFDVDGTLCDSDPIHFCAFRDLLQQVGFNDGVPITEEFYSATISGGHNDDLARALFPDMDHQKAMQFMDDKEALFRKLAPGQLKALDGLPELCRWIEGRNLKRAAVTNAPRANAELMLSLLGLTDFFPVLVIGSECDRAKPFPDPYLKALQLIDASPEHTFIFEDSASGVRAGVAAGVPVVGLTTRNPGKVLKDAGASLLAKDFQDPELLSVLQEIEPAAANAQG >Sspon.04G0011130-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:33208878:33215560:1 gene:Sspon.04G0011130-3C transcript:Sspon.04G0011130-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFAVSGAALGGAVRAPRLTGGEEGSLVFRRTGPFLTRGARVGGSGTHGAMRAAAASGKAVVVPEGENDGLTSKADSAQFQSDELEVPDITEETMCGAGVADDAQALNRVRVVPPPSDGQKIFQIDPMLQGYKYHLEYRYSLYRRIRSDIDEHEGGLEAFSRSYEKFGFNRSAEGITYREWAPGALSAALVGDFNNWDPNADCMSKVRMDTPSGIKDSIPAWIKYSVQAPGEIPYDGIYYDPPAEVKYVFKHPQPKRPKSLRIYETHVGMSSPEPKINTYANFRDEVLPRIKKLGYNAVQIMAIQEHSYYGSFGYHVTNFFAPSSRFGTPEDLKSMIDRAHELGLLVLMDVVHSHASSNTLDGLNGFDGTDTHYFHSGPRGHHWMWDSRLFNYGNWEVLRFLLSNARWWLEEYKFDGFRFDGVTSMMYTHHGLQVTFTGNFNEYFGFATDVDAVVYLMLVNDLIHGLYPEAVTIGEDVSAEMTTL >Sspon.05G0018860-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:80494694:80500557:-1 gene:Sspon.05G0018860-1A transcript:Sspon.05G0018860-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATGNGGGGPEEGVGESSSPPRDPAPVPAPAASGGSGGGGRGGGLRDICREVFERLVSDGHAAGSELLAQLEAHFNRLPISYKLDVNIDKAEDVLIHHKVLAEAKDPDRRPAFAVRFLRLEEVNVDETTNSDAHEEGADIGEALSTRSKTYTHIHEILFSTKDKPKLLSQLSALLSDIGLNIREAHVFSTTDGYSLDVFVVDGWPIEETDGLHKALEASILRNEGSWSGSESSASERSVPFLAQDCETDIDTRLLKIVKKVASGSCGDMFLGTYSGEEVAVKVLNPENLNQNAWSEFKQEIYMLREVDHPNIVRFIGSCTKPPQFYIITECMSRGSLFDFLHNEHNVLDLPTLLKFALDVCRGMSYLHQKGIIHRDLKSANLLLDKDHVVKVADFGLARFQDGGGAMTAETGTYRWMAPEIPYNTMSPLQAAVGVRQGLRPQVPENAHPRLINLMQRCWEAIPSDRPSFAEIIPELEDIQAQAQVSPQSPRSQFQMDPTLVGSESMPCLTAVSLLQRTSGETSQKQKDDNSGSSKD >Sspon.01G0033310-3P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:114508837:114514437:1 gene:Sspon.01G0033310-3P transcript:Sspon.01G0033310-3P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEGGSRADGRNPNQLRPFSCTGNPLHRAHGSARWAQGDTVVLAAVYGPKPGTRKGENPEKASIEVVWKPKTGQIGRQQREYEMTLKRTLQSICLLTVHPNTTTSVVLQLLPCAINASCAALAFAGIPMKHLAVAIGCGVMDNGAIILDTNTAEEQQAKSFAHLVFPNSRKSVDSKDPISDFMRKTLQKEASGDA >Sspon.08G0020730-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8B:25438743:25439597:-1 gene:Sspon.08G0020730-1B transcript:Sspon.08G0020730-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFPVGSTFILGSWICVADDDGRLQSQLTEILPPQHTLAAPAIVMDQLTEKFSQLLISDSSQILEVPKEVNSGSAMPEEIKPESNPSFAPEVSGPYPLGLYNLGSCPDDSYPDSSWVIAMAEILLYQDGDVLPDDDQGTTEILKSSGTAESATNRVSVHAREVFMVRGRSPLHAPTPPDINSDDESPSAISLNVLRDPNETEVKKTTREKKNKQRQVTASGLNVDDRLRLIIKPGWLNMIANA >Sspon.07G0020630-2D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7D:66426776:66434001:-1 gene:Sspon.07G0020630-2D transcript:Sspon.07G0020630-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription initiation factor TFIID subunit 8 [Source:Projected from Arabidopsis thaliana (AT4G34340) UniProtKB/Swiss-Prot;Acc:Q9SYZ9] MSGWKAGERRSSGDMNGGGGGGDEFGRAVARAAVAQALEAAGFDCAHRSAVDAVVDIVLRYITHLGRSAAFHANLAGRALANELDVIQALEEVSADTDGFAGAATTGHCLVGSGVVKDLMAFVHSKDEVPFARPLPRFPIQRAEPQPSASFAVTGRETGTRHVPEWLPAFPDPHTYVRTEVWVDPPATKDRVDKVEQVRQRRKAEKSLLSLQRRLAMAGADGFRPAVAVAQDSAEKGKEIQAAGTKRNPFLEPALMPGEKDVSDIDLPPEKKKLSVLEAFAPAIQARTIREIDAGEGLDQNQRSIVPKERAPVHLKIGFSKKPLAAALNSRALDLREDPSFLKEEAKDDKKRRASMILRASMENPQELPQL >Sspon.08G0026630-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8C:28076925:28077504:1 gene:Sspon.08G0026630-1C transcript:Sspon.08G0026630-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEETSSDSVEIKDMEAAVFRSMLHFIYTDMAPELDGDLEPQAAVTMAQHLLAAADRYGLDRLKLICECKLSGSIGIDTAATTLALAEQHHCSLLKAKCIEFVTKSPETLDAVLATDGYAHLVASCPLVLAELLRAARKRKI >Sspon.03G0027360-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:5634759:5637623:-1 gene:Sspon.03G0027360-1B transcript:Sspon.03G0027360-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PsbP domain-containing protein 7, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G05410) UniProtKB/Swiss-Prot;Acc:F4J7A7] MATAAVARHHHQHRQQRGAERWRGRGRRGGSGSGIRCSSPAQEFASLAAVFRRRLVVGATTAAAAAVGANFGGVTSFLLSLSPELGRSLRLDVLYPVGGFTRCLDSDNGFEFIYPSSWVGDQTLLYREVKKAELQRSLDPPPLPNGKSPRNISEPVAAFGPPGSSGELNVSVIVSPVPRDFSIEAFGSPKDVGEVVLRRIARTRRSPDISATLIDAALREDEDNVKYYKLEFRVESPSFRRHNVAVCCARDGKLYTMNAQAPESAWKAVQKEFFAMADSFSL >Sspon.02G0041530-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:76781179:76782900:-1 gene:Sspon.02G0041530-1B transcript:Sspon.02G0041530-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAASPPFASLQATGFHAWWISSSPMGSSEGSLENFHLVGNTTSTTRISPTTPGFRGTPPGESNAQDKETINVDENVDEDKPVEDGRTKRRLNWTKDEDIRLAFAWVRNSKDPVDGIDRKSDQYWVDVTKEYNKSTEVCRKRNRNQLKIRWGRVKKPVGDFHGCWVRTNSVYKSGYSDDQLMEIAEKMYASEHKDKDFTLHIWKVVRDQRKWSTYVKKMEQEKENKKGATNKRAEVVNLEDNPNIRPIGNKKTNEKYGKKKTPQAFSAISEKLDKFIEVSTVARKDREKMAETQQIMVNSKVEAARLNDKGAEKQLKCKMLDTYRELLLAPTTNLNAHALAEREKAPESM >Sspon.03G0017680-3D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3D:42380335:42388583:-1 gene:Sspon.03G0017680-3D transcript:Sspon.03G0017680-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRRMRWMSDEDGRWELDAETPVTMEGTVRPVPGDPLPLGLSRGYRVTRPKQLDFFHRFMASPLVPTFSAARDGLSVNHAHILYITDNWSSTILEKINVDKLVSVVKEKFANRQEEASWTKDLKKHLHDVMSLGQNSGEPKYFGGDETDDVPIALLPGLCAKAAISIKKSIDAWRKKEDKLKNVQPYDIFLSDSHVSLTGIIGAVASGYLGDCSRRVAILNETQKSNACRMFDERNRCAAFADLFASVTFTAQYGNFQRLFLDLTKASARFDITSGSLFLCGASRLAQDFFFSRRPDVETFCDICPDVTVSLQQQIVGPFSFRVESSVAIDPRSQDHLVRVDDPIFAIDWALKVLGSAKATAWYSPKHQEAMVELREPASGARFAPIGPFIPFAGSQLSKPYCPAAPLISPRRRVNPARTHLAVAPPRPRPGATMAAASRGLLARLRGLSLSAGGSPRLPFPPSRLFSAEAFVSHSDDDDDAGGEGGGGGGRIIEARAGVMGPTSRRTGVIGVKCGMSAMWDKWGAKVPITVLWVDDNVVTQVKTAEKEGFFALQLGAGQKKEKHLTKPEVGHFRAQGVPLKRKLREFPVTEDALLPVGTTITVRHFVPGQFVDVTGITKGKGFAGVMKKYDFSGMPASHGASKSHRSGGSTGQRDAPGRVFKNRKMPGRMGGVQRTVKNVWVYQIDPARNLLYLKGQVPGPQGSFVFVKDSIYKKPDTALLPFPTYFTQGEPEELEPLIADLGDVDPFMAAD >Sspon.06G0007370-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:28156852:28164359:1 gene:Sspon.06G0007370-1A transcript:Sspon.06G0007370-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSGVGGGMPSARLLARGEDSMQFFGLKPRSPFGFVPRDRICSAVATPNTTRMDGGGDLRSTIKKWNVIYPVYLNSKKTVAEGRRIATAKACPDPTCIEIADCCSHLKIPHAIELDKAYPRDFFQVGRVRVQLKKDDGSPVNPAIKTKKQLMIQIAELVPKHHGRTKKQESAPSSSAGSSSKNTKGGKKKK >Sspon.06G0029540-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6C:24707077:24709969:1 gene:Sspon.06G0029540-1C transcript:Sspon.06G0029540-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRITIQFAVGSIKLASMAVKVWVFIVALMCIGVVGLGADGSAGSSRDGGSLSRCHLSSPNYHGALAKAILFFEGQRSGRLPANQRAKWRGDSALTDGQPENVNLTGGYYDAGDNVKFGFPMAFTVTLLSWGAVEYRDEVAAAGQLRYLRSAIQWGADFLLRAHTSPTTLYTQVGDGNADHQCWERPEDMDTPRTLYKITQSSPGSEAAGEAAAALAAAYLVFRDDRDKTLATQLLAASRSLFDFANDYRGSYQLSCPFYCSYSGYQDELQWASAWLYRATKDSKYLDFLQNNQGGSATEFSWDNKYPGAQLLATQEYLGGRTELEGYKRGLDSFVCAVMPNSGNTQIRTTPVHNHRCPAAVNLLKGPEFCRRPSSPVQRRKLLSRPDQFICNVTGGLHPGRQSEGHVVHGRFSSKYPRRIHHRGSSIPSIKALPRKVTCNEGFSSWFPTSNPTPTPMSAPSSVDLTGTTSSVTTGRTPRTPSQRRTSTQHLWVPVLLPSGSRTSTRSLWTT >Sspon.05G0009100-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:28389049:28393336:1 gene:Sspon.05G0009100-3D transcript:Sspon.05G0009100-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSPAYSPFRLPPPRYGARRRSAAAATITMRDRSKNRKPTQRGRYLSTEAIQAVQSLKRAALRGAPAASAAAAVEPKLRRLLKADMVAVFRELAAQGEALLALQVFEEIRKEHWYKPRLLWYVDLVTVLASKGLRIEVDKACSYLKREQLEPDTEGFNLLLKTLLDSGFTQLTMDCFRLMKLWDSDPDRITYTTLIKGLESLGKMELSAGIRLEAENDYGSLDFLDEVEIDEACRTILRKPTHLRNTKNLQKQSLSASNLKSEPHATAPQGLSLRRDAHAHLSCIGGGGRISHRDHDRSNLLLILLIVVHAAVAVVVHRRLLLWDHLVPDLPDYQALGVGVEHDTAEAGRGLLEAELLHPPHRLLAAGPGLVEREPAAERVDLPVAADVLLVAAAVPPPAPARRHVPDVVVLVAALRRADSSSRARGPRGEEVGPDMAVQAPVAARERERLRPHGVDVDAVGDSVPVRVLHGATARPGDEVALEEAAALGMEDVAGGQLRDGPRHPVRSLLDGRSGVFRRLRHGWIAPAQDLSLGIRLSG >Sspon.06G0004850-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6C:14241137:14242432:1 gene:Sspon.06G0004850-2C transcript:Sspon.06G0004850-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPLAVVEKPGSRPQPRATGGSLRPGFRFTFTHGGELLVRAAAPAQHGGSVARPAGSSSRPGLRGRQRQRQRDDDGDVDFFFFPFLVLYKSGRVQRFMGTDTVPASTDPATGVASRDVVVDAAAGLAVRLYLPPSLATNRTGTDDDDSGGRLPLLVFYHGGAFVTESAFSPTYHRYLNALVSRARVLAVSVEYHLAPEHRLPTAYDDAWAALRAGGNIAHYVALRAGREGLLDGAAVRGLALLDPYFWGKRPVPSETTDEDTRRWRERTWSFVCGGRYGIDDPVINPLAMPPEEWRRVPCARVLVTVAGLDMLSARGRAYVHALRASGWPGEAELYETPGEYHVYFLNKPDSEKAAKEMEVVVNFINGDQ >Sspon.01G0027110-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:95582578:95586191:1 gene:Sspon.01G0027110-1A transcript:Sspon.01G0027110-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to Anthranilate synthase beta chain [Source: Projected from Oryza sativa (Os03g0718000)] MVTWVLEFGRALSGSTTVKQQKFVAKSAISAVEGGDAFVGVKQNTRPIIVIDNYDSFTYNLCQYMGEVGANFEVYRNDEITVEEIKKISPRGILISPGPGQHFSVLIPFVILTYEMPMNVVLKPTVFWHAYKLLFSLFNKIEMSGTPQDSGISLQTVTELGPSIPLFGVCMGLQCIGEAFGGKVVRSPYGVVHGKGSLVHYDEKLDGTLFSNLPNPFQAGRYHSLVIEKDSFPHDALEIVAWTDDGLIMAARHRIYKHVQGVQFHPESIITTEGRLMVKNFIKIIEGYEALNCSP >Sspon.07G0016770-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:59759744:59764029:1 gene:Sspon.07G0016770-1A transcript:Sspon.07G0016770-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSSSPYSSLLLTTLALLLCSQQLTMAKEQYHEFVVKEATVTRLCGTQRIMTVNGEFPGPTVEVAEGDALIVRVVNRGSYNVTVHWHGVRQMRTGWSDGPEFVTQCPIRPGNSYTYRFTVAGQEGTLWWHAHSSWLRATVHGALLIRPRAGVPYPFNGGKPPAREMPIILGEWWNMNPIDVVRTATRTGAAPNISDALTVNGQPGDLYKCSSNGTTTFAVKSGETNLLRFINAALNTELFVSLAGHTMTVVGADASYTKPYATSVLMIAPGQTTDVLVTFDQAPGRYYLAARAYASAQGVPFDNTTTTAIFDYGTGATSSPAMPTLPAYNDTTTVTTFTTSLRGLRKAELPTRVDESLFFTVGVGLFNCSSGQTCGGPNNTRFAASMNNVSFVLPSTTSILQAHYTGGGAASVFTADFPANPPVPFDYTAQNVSRALWQPVPGTRVYRLKYGAAVQLVLQGTNVFAAENHPIHLHGYDFYILAEGFGNFDAATDTTKFNLDDPPMRNTVGVPVNGWAVIRFVADNPGVWLMHCHLDVHITWGLAMAFLVEDGVGELESIEAPPPDLPVC >Sspon.08G0004240-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:12279205:12282608:1 gene:Sspon.08G0004240-1A transcript:Sspon.08G0004240-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLSKLRLITVDVTGTLIAYKGHLGDYYCMAAKSAGMPCPDYNRMHEGFKLAYTEMARQYPCFGFAAKMPNIEWWRTCVKNSFVKAGYDYDEETFEKIFRRIYSTFGSSAPYSAFPDAQPFMRWAREKGLTVGVVSNAEYRYKDVILPALGLNQGSEWDFGVFSGMVGVEKPDPRIYKIALEMAGNVAPEEALHIGDSMRKDYIPARSIGMHALLLDRFKTADAESWRQSGATVLPDLVATQEWLTKNQNEEQEGTVAARVLNRMSEKLKMGA >Sspon.03G0021510-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:88206213:88206524:-1 gene:Sspon.03G0021510-2B transcript:Sspon.03G0021510-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDAVTYTEHARRKTVTAMDVVYALKRQGRTLYGFGG >Sspon.07G0018810-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:67971615:67976603:1 gene:Sspon.07G0018810-1A transcript:Sspon.07G0018810-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha-1,3-mannosyl-glycoprotein 2-beta-N-acetylglucosaminyltransferase [Source:Projected from Arabidopsis thaliana (AT4G38240) UniProtKB/Swiss-Prot;Acc:Q9XGM8] MARSPCDLRLLLLAALQLSSTSNLSLQVRLFATQSHYADRNINCPSFLSISVLHLCISIGSLPSFRGLTVGLGSKALHNSKLFTPVICTIQERSENQCTSQLKSLIDQVSMQQEKIVALEEIKVRQDEERAHLKILIKDLEKRSVQKLLDNNVLIYQFLFLDPLNVWEVLFFHWFLSQDGANGAVKRKALEYKQITYMQHVDLEPVRTERPGELTAYYKIAKHYKWALDNLFIKHNFARVIILEDDMEIAPDFFDYFEAAAKLLDNDKTYNFGKHGSSLGQFFEQYLEPIRLNDVNIDWNSEDLSYLGEDKFLTKFGKEVASATPLHGSDAASKAHNMAEDVRIQYNDQEDFERIARQFGIFEEWKDGIPRTAYKGVVVFRYNSSQRRIFLVSPDSLRQLGV >Sspon.05G0027600-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:54966967:54970423:1 gene:Sspon.05G0027600-1B transcript:Sspon.05G0027600-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPVFVGNLDYDTRHSELDRLFYRYGRVERIDMKSGFAFVYFEDERDGNDAIRALDGYPFGPGRRRLSVEWSRGDRAARRDGNKPEANTKPTRTLFVINFDPINTRVSDIERHFAPFGNLSSVRIRKNFAFVQFETLEEARKALDATHATTLLDRVISVEYAFRDDGEGSDRYDSPRRGGGYGRRGDSPVYRSRPSPDYGRPASPVYGSYDRSPVRDRYRRSPAYRSRSPRVNRRAYD >Sspon.07G0019230-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:72121511:72123049:-1 gene:Sspon.07G0019230-1P transcript:Sspon.07G0019230-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMREQERRSPRPETARTASDGPQLPGLGPITRGSAATMMTGDMAGGGGAGEEEQGPEGTPDNVRSSSPWVALLSVVGGFKPCSSHTFDCPAFQRKPRSHVPRSGPSHRWGFKFGPVLQPAPAKKKTRKRTAKKRDKPCALCDATQTPLWRTWPTDRRVMLCNACGIRVRTPGVVLPELVYLPHPATATTTVVSELQQPVPPSQEIQPVSDSPGAALPEKVYVHLPPPATATTTVVSELEQPPSEEIQDSESPLDSPILESMIDVDVYLQRRTSPRREKSPPPPPPPPPPPPPPAEPAPAPGNEKDKPEKWCLHCGTTWTLQWRTGPAGESTLCNACGVRYRQGRLVPEYRPRASPTFNQSEHAYKHLEVLKIRKKQDHPEPPAAYKLFRTRKRRKGKGQQQPAQIRKKCKRSNDQNQPAQAQPAAVPVLRHPGDELEQHLPPPPPELPQAADDRDTMAQHLPPPPAQPHAGGELIMDDEPLPPPDPFLFDGPAAPRIIDDDEPWVIVIHDD >Sspon.06G0002800-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:7431707:7440337:-1 gene:Sspon.06G0002800-2B transcript:Sspon.06G0002800-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRRQWRWCLLALWCLAAAALSAAQSSPPAANPLQSKCQGDFGKLTDCMDYATGHAASPSSTCCGDVGDTEKARPECLCYIIQQVHAGRNQVQSLGLRFDSLIALPAACNLPNANVSLCIITPSANPASDSTSGSGFKLQAGIRGSVALAVISAIVSSVF >Sspon.03G0030800-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:30709787:30715119:1 gene:Sspon.03G0030800-2C transcript:Sspon.03G0030800-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSSVHETLDAKAVRRMRLDELARRECNIIPHGACWHAGYKQHAGHQDINVAPEFAGGFEVPDWSHALQMPQHGVPNFRGSSGKNSMTVGPWGGLGGDPWDDGVNSSVRQIIISHGAAIDSIQFEYDLRGGLVWSEKHGTSGGGSKTDQVKLNYPEEVLTSVSGCYGAVGASVVIRSLTFESNCSKYGPFGTEQGTSFSLPVFSGKIVGFHGRSGSCLHSIGCHLNKENTTKLSKNAPSALRSITRSYDRNGHRYADSNAGYDMVLAVKDRGDNYNVLTSSLPKEQCPNPSKMSKMVSIPSFYSDNGTMTISTPVRFGPWGGNSGTIFDDGIYTGVRQINLTRGLGISSMKVLYDRNGQAIWGDKRGVSGGARPEKVVFDFPSEILTHITGYFGSTMIMGPTVIKSLTFHTTKKSHGPFGDEHGTFFSSCLTDGRIVGFHGRAGWYIDSIGVHVLEGKVLSQRVDTEFTDASPSRQSDMLALARREIGDEVTYGVVKEPIPIGPGPWGGDGGRPWDDGVYTGVKQIYIMRTDFIGSVQIEYDRSGQSIWSTKHGNGGQITHRIKLDYPHEVLTCIYGYYNTCVEEGPRVLRSLTFVSSRGKYGPFGDEIGTYFTSATTEGKVVGFHGRSSLYLDAIGVHMQHWLGDVKTASASNSKYYISRTL >Sspon.03G0043130-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3C:76179338:76180593:-1 gene:Sspon.03G0043130-1C transcript:Sspon.03G0043130-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLGFTYRRIKAVDTVAAIHLPICNKWEQSPEYEKRNALGLASVERAQTVVWALWKILTDLLVGFGHLLLLHLGLLFWLLENCYRSYFL >Sspon.05G0012040-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:35088152:35092309:1 gene:Sspon.05G0012040-1A transcript:Sspon.05G0012040-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPAAPLRTVICVGDVHGYITKLESLWSNLEAALPADAFATALVIFLGDYNDRGPHTRRVLDFLLALPTRHPAQRHVFLCGNHDLAFAAFVGALPPPPDGSPFSATWDEYIHNEEHEGWFRGPGYEGMHVQGRRWGGVIKERWNPKKGLPYKGSIYDAQPTFESYGVAHGSPDLAKAVPEEHKRFLHDLVWIHEEENVPIDTDEGQIICNLIAVHAGLERTIDLNEQLRVLRTRDTRVPKVQMLSGRQDVWNTPKDLTGKQTIIVSGHHGKLHIDGLRFIIDEGGGYADKPIAAIVFPSKTLIRSTEEAGTTSQS >Sspon.05G0015750-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:57689265:57695033:1 gene:Sspon.05G0015750-4D transcript:Sspon.05G0015750-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATTLALLVTLAALHGAAVVLAGDPPFSCGPSSAEASEGLAFCDVTLAPAQRAADLVSRLTPAEKIAQLGDQAAGVPRLGVPGYKWWNEALHGLATSGKGLHFDAVGGVRAATSFPQVLLTAAAFDDDLWFRIGQAIGREARALFNVGQAEGLTIWSPNVNIFRDPRWGRGQETPGEDPAVASRYAVAFVRGIQGNSSSSLLQTSACCKHATAYDLEDWNGVARYSFVARVTAQDLEDTFNPPFRSCVIEGKASCIMCAYTAINGVPACANTDLLTGTVRGDWGLDGYIASDCDAVAIMRDAQRYAPTPEDAVAVSLKAGLDIDCGSYIQQHATAAIQQGKLTEQDIDKALTNLFAVRMRLGHFDGDPRKNMYGALGAADICTPEHRNLALEAAQDGIVLLKNDGGILPLDRSTVTSAAVIGPNANDGMALIANYFGPPCESTTPLKGLQSYVNNVRFLAGCNSAACDVAATDQAVALAGSEDYVFLFMGLSQQQESEGKDRTSLLLPGMQQSLITAVADASKRPVILVLLSGGPVDITFAQSNPKIGAILWAGYPGQAGGLAIAKVLFGDHNPSGRLPVTWYPEEFTKVPMTDMRMRADPTSGYPGRSYRFYQGKTVYKFGYGLSYSTFSRRLVYGTSVPALSSTVLSSLRETMTEDGDRSYHVDDIGTDGCEQLKFPAMVEVQNHGPMDGKHSALMFLRWPNTKGGRPASQLIGFRSQHLKAGETANLRFDISPCEHFSRVRADGRKVVDIGSHFLMVDNHEMEIRFEA >Sspon.01G0008120-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1C:26612437:26613677:1 gene:Sspon.01G0008120-2C transcript:Sspon.01G0008120-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LQCFEDQQVYSCCEGAYRLNPSGIIAIPIGAVDYYCGGACVVETEDVLNCVASALDGFAFYNGASVEDVRYALRRGCSHTARRGPVSSPQASRFISLARPSTSPDLAWDRDYYWFPHVWWCSTNMYSACTQNRRARTDMLVFVCLRRRLQRFGAASGRLP >Sspon.03G0004120-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:17428939:17431805:1 gene:Sspon.03G0004120-2P transcript:Sspon.03G0004120-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRNHNNAATAAAPQLRHHSRGAVPALGKSKAVPARAADAMNRRPPLGDIGNLVTVPSRRVRTNPSSFSFATTVSLHVLVLKDSERLVLGYRKPQPQEQQVNRPITRSFGAQLVKNAQANAAIKNAAILPARHALRQDRKAPAKLPPPEDVIVLSSDSEQSRTQSESSASSVRSRRKAINTLSSVLSARSKAACGIADKPRQVIEDIDKLDVNDELAVVEYIEDIYTFYKIAQHERRPCDYIEAQVEINAKMRAILVDWIIEVHHKFELMPETLYLTMYIIDQYLSLQPVLRRELQLVGVSAMLIACKYEEIWAPEVPESFRLSVCPMFPVLLFKFFLNAFMCAFQGADSFYGEGILNRLEWNLTVPTVYMFLVRFLKAATLGNKVEKEMENMVFFFAELALMQYDLVTRLPSLVAASAVYAARLTLKRAPLWTDTLKHTQASESLRQS >Sspon.02G0025520-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:89576629:89587489:1 gene:Sspon.02G0025520-2B transcript:Sspon.02G0025520-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMQSSMLAFRPNGPYCHRQRPLKFPSQAQRRTLSPLDPRTITTLASLPPSPPTLHHPRRSAAAAAASYSASTPSDPDRAPAMALAFDEFGRPFIILREQEKKTRLRGLDAQKANIAAGKAVARMLRTSLGPKGMDKMLQSPDGDVIITNDGATILEQMDVDNQIAKLMVELSRSQDYEIGDGTTGVVVMAGALLEQAEKLLERGIHPIRVAEGYEMASRVAFDHLERISQKFEFSADNIEPLVQTCMTTLSSKIVNRCKRALAEIAVKAVLAVADLERKDVNLDLIKVEGKVGGKLEDTELVYGIIVDKDMSHPQMPKRIEDAKIAILTCPFEPPKPKTKHKDAGATLVICQWGFDDEANHLLMHRNLPAVRWVGGVELELIAIATGGRIVPRFQELSPEKLGKVLMRVCYKTNCCCAGNKMMIEETKRSLHDALCVARNLIRNNSIVYGGGSAEISCSIAVETAADRHPGVEQYAIRSFADALDAVPLALAENSGLSPIDTLTAVKAQQVKESNPHCGIDCNDVGTNDMKEQNVFETLIGKQQQILLATQVVKMILKIDDVISPSEY >Sspon.04G0003150-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:6248238:6249126:-1 gene:Sspon.04G0003150-2B transcript:Sspon.04G0003150-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRTASAPAFPEAHTHPVAPHADWIRIPMAKLAVLLLLLAVAASATVPAHGREPPTQIKVTARPFIDTFIDHLSGQSVIHVVDPCDSILSVPPSSQLIRGADASGVVGDSMECVYTVFIRTGSIWKAGTDANITLQLAAADGNGVGISDLPSWGGLMGQGHAYFERGNLDIFSGRGPCMAKPPCWMRLASDGTGAHHGWYCNYVEVTQLFTVEQWLATDAAPYKLEAVRDLCSAAARASRRRDESTRAWMDLVPWSNNRSHLA >Sspon.02G0022440-2D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2D:68770320:68773095:-1 gene:Sspon.02G0022440-2D transcript:Sspon.02G0022440-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVLRSRCLLLQLLLLVLSTTTTNRATASSSSSTGPGSDEAALLAIKAKISSHSGMLDSWNQSTSYCSWEGVRCGKRHPWRVVALHLSYQSLAGTISPAISNLTFLRVLNLSSNGLQGEIPPSIGSLRRLQRVDLSKNMLTGVIPSNISRCISLRTMYIYSNKGLEGIIPAEIGNMPSLRFLALANNSITGTIPSSLGNLSRLTEFSLSNNYLEGSIPAGIGNSPYLIFLQLSSNNLSGLLPSSLYNLSSLSHFFVTDNKLYGNLPSDLGKSLTSIQQLTVDGNRFTGALPLSLTNLSSLQVFYVGGNSFSGTVPSELGKLQNLQEFVLDENEFEANNEQDWAFIHSMTNCSRLQVLSIGWNRFAGKLPSLLANLSINLQRLRIPNNYISGVVPSEIGNLAGLEELLFAVNLLTGVIPESIGKLTRLKNLHLESNNLSGHIPSSIGNLTESLWLAANANSLVGSIPPSIGNLSKLSALDLSSNNLNGRIPKEITGLYSISTFLDMSNNMLEGPLPSEFGNLVNIEQVFLSANNLSGELPYTIGNCRVMEILLIDDNSFQGSIPATFKNMAGLTILNLTNNKLNGSIPGSLASITNLQELYLAHNNLSGSIPELLGNSTSLLRLDLSFNNLQGEVPKQGVFRNLSGLSIAGNNALCGGIPQLHLPKCPSSIAKKNKKGMPESVRIAVPTIGALLLILFLVWAGFLYRKFNTAPNRELPPQFTETELPIIPYNDILKGTNGFSEENVIGKGRYGTVYRGTTENEAAVAVKVFNVQQSGSYKSFQAECEALRRVRHRCLVKIITCCSSINHQGQDFRALVFEFMPNGSLDQWIHSNLEGRDGQGALSLSQRLDIAMDIMDALDYLHNGCQPLIIHCDLKPSNILLNQDMRARVGDFGIARVLDEATSKHPANSASTLGIRGSIGYIAP >Sspon.05G0004790-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:18411396:18418026:-1 gene:Sspon.05G0004790-1P transcript:Sspon.05G0004790-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGRVELKRIENKINRQVTFSKRRNGLLKKAYELSVLCDAEVALIIFSSRGKLYEFGSAGITKTLERYQHCCYNAQDSNGALSETQSWYQEMSKLRAKFEALQRTQRHLLGEDLGPLSVKELQQLEKQLECALSQARQRKTQLMMEQVEELRRKERHLGEMNRQLKHKLEAEGSSNYRTLQHAAWPAPGGTIVEHDGATYHVHPPAHSVAIDCEPTLQI >Sspon.01G0011180-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:92858997:92860252:1 gene:Sspon.01G0011180-2B transcript:Sspon.01G0011180-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTPAEELEPGLPTNPSEEPAPAPRLPTATPSEKPTPGLPPPKGPVDQPRRRRRPCVLLSFAAARTLPPGPVPLRRPAPLLRPPPFAGRHQHRGPPLGAPAARAAARAPPARLRRLGDVAVGPYLRSLLAAGLDPIVPDLLFFGASSSTVPDRSDTFQARTVKAAMDGMGVRRFAVVGVSYGGFVGYRMAAMYPDAVERVVLVSSGVCLEEGDLAAGLFPVADVGEAAELLVPRRPAEVRRLVKLTFVRPPPIMPSCFLKDYINVMGSDHLQEKTELLHALINDRKLSDLPKINQPTLIIWGEQDQVFPMELAHRLESVLGRILD >Sspon.01G0056180-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:86252136:86253425:-1 gene:Sspon.01G0056180-1P transcript:Sspon.01G0056180-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPPKDRPSGRLGRLLAALRPSRAGPLPVQTGFPTSLADLVVKNHGRLKKQPSPSSKRGKRGAAASTSPSSPTSPSPSPPPASPPPPAAAVAVSPSDRPRPADLPPAQPRRRGKGGGFGLGLGFLAVSGVVSLALLVIWSKKVVAAVTVASFSLYLLESVRSSSLPRPRRRPRPAVAERRLCLDGRGRVSPIREADAETEPSRPSCSDSDRGSDACILAVEESSGVLDESSNPKAKAKKKSWKKLLAASAKKLHRGRRSKEAGSSGSSFRSEGDRADDATARGGGGNAKAADSSGSRRVSASQTGAPAEDAAAAAAKEEADSSRGSRRSQGVEVDADAAPVEIDASVGDLIEEEEEEGEEKQAGIRFPALVLVAIVLMGLVAGKVVALALTVLCSAFLSSVSVQRSPCRGCGGGGGCSQGRRLELSMS >Sspon.07G0029730-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7C:72241367:72241938:-1 gene:Sspon.07G0029730-2C transcript:Sspon.07G0029730-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding QIRRSSYHDVVRVSEIEDILDINDVQTYVINSARVVFLNERPQLRASGVPICKAPSSSTHSCETCNRALLDAFRFCSLGCNLRGLNMEMSTPTMVESSPQSNGKDHVTRIDDVGSSTTSDKDSCNDKNNEEPPPKRVARHRRKGIPQRAPFF >Sspon.07G0013020-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:46426055:46427845:-1 gene:Sspon.07G0013020-4D transcript:Sspon.07G0013020-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEGAAGGRGGRAARYPPLSALVVSAIAAASAVIVLAVLHSAYDDAVSRTRTLLGHNLEPTPWHPFPHAKGRPPGRAAFRCAPSIACLPPLSRPPEPRTANAASSSSSSPRGNNKQCPAYFAAIHRDLAPWRGEGRGVTRALLDAARRRASMRVTITGGGRRLHVDLYYACVQSRALFTVWSLLQLMRRYPGRVPDVDLMFDCMDRPAVNRTEHGDGDPASPPPPPLFRYCTTRDHFDNPFPDWSFWGWPETNIEPWNREFKSIKAGARATRWADRVPTAYWKGNPDVASPLRVALLGCNDTTLWRAEIMRQNWDDEAKSGYQHSRLSSQCTHRYKIYAEGFAWSVSLKYILSCGSMALLIEPRYQDFFSRGLEPRVNYWPVTAAAPGMCESIRDAVDWGNANPGEAERVGRQGQRLVQGLRMHAVYDYMLHLLTEYARLMDFRPVAPPSPDAQEACEASLLCLADDKQRRFLEASRAEPAVGDPCVLPPPPPAA >Sspon.04G0016490-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:72742947:72743693:1 gene:Sspon.04G0016490-2B transcript:Sspon.04G0016490-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASRQRGTVKWFNDTKGFGFISPEDGSEDLFVHQSSIKSEGFRSLAEGEEVEFSVSEGDDGRTKAVDVTGPDGSYVKGGGGGGGGGGGGYGSRGGGGSGGGGRSYGGSWGGGRRSGGGGGAGACYKCGEPGHMARDCPSADGGGGGYGGGGYGGGGGGGGCFKCGEPGHMARDCPSGGGGYGGGGGGYGGGGGGGACYNCGQAGHMARDCPSGGGGGGRFGGGGGGGDRSCYNCGEAGHIARDCPT >Sspon.04G0002020-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:37651403:37660366:1 gene:Sspon.04G0002020-2C transcript:Sspon.04G0002020-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVFQDYILVTYSPFDVHIFHVMISGELSPASNPVLQLSTVRELSIMSPKSPPVSMRFIPEQNDKGVLKRDTNGSSDLLSQQPSRCLILRTNGELSVLDMDDGHEHALTNSIELFWVTCSQFEEKGSLIKEVSWLDYGHRGMQVWYPSHGADPFKQEDFLQLDPELEFDREVYPLGLLPNVGVVVGVSQRMSFSTAEFPCFEPSPQAQTILHCLLRHLLQRDKIEEALRLANLSAEKPHFSHCLEWLLFTVFDADISRPSASKNQASQKVDPPKKSLLEKTCDLLRNFPEYMDVVVSVARKTDGRHWADLFSAAGRSTEMFEECFQQRWYRTAACYILVIAKLEGPAVSQYCALRLLQATLDESLYELAGELVRFLLRSGRDFENATSDSEKLSPRFLGYFLFRSPYKRQSSDLKSNSMKELSPHIASVMNILERHASYLMSGKELSKLVAFVKGTQFDLVEYLQRERQRSARLENFASALELIGQKLQMDTLQSRLDAEFLLAHMCSVKFKEWIVVLATLLRRAEVLVDLFRYDLRLWKAYSITLQRSCAFFALLRFIGNHMHPSLYQ >Sspon.04G0014180-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4A:52288954:52292047:-1 gene:Sspon.04G0014180-1A transcript:Sspon.04G0014180-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding FLRSLPKRFDTLITVLVRTTLKDSTPQQIFQEVMTDDSYREDDEKDELVKKKKKENEKKDDEKKKSVAFKATTSKGKSKIESSSEEDSSSCDSDDIDEKMALFVKQFGKFMKKKGFRARRRKNSSKKNEHTMRCFRCHSKDHLIAKCPYDSDDEDAIKKERKKQKKKQEKKESSHKKKNDSHVATWDSDDSSSDDEDDNKSKKKGHASIAIQEKVSLFDTPSCFMAKAAKVSSDDESDHDNASDSDSDEEEFTKDELITMLEDCTQHFKESRKECKGLLKEKKNLMQELDELRASYESLKVDHKKLQKSHTKLEEAHSSLVEKCENMPTNVEKAKTCNIGISCDIIDESCHKPIVVAPTNPSCSASTSSSSSSDGFTCDSTLIVENENLKKEVKELNHTLAKAYGGEDRLLMCLGSQRASLYKEGLGYNPKKGKAAFAPHKTRFVKNNGSYCKSCKQVGHIEQHCMNKKSNANVSSIKFDSFYILTKGTNGVHAKFIGAPWMGSKKKAIWVNYKAGGRHWVLDSGCTQHMTGDSCMFKSIDTSQNGGFDAITFGNNKKGKVKGLGKIAISNDMSISNVLLVESLDFNLLSVAQLCDLGFKCIFGSDDVEVISVDGSNLIFKGFRHGSLYLVDFNDSETQLTSCLLSKSSMGWLWHRRLGHVGMKQLNKLLRHDLVRGLKDVTFEKDKPCSACQAGKQVGNHILVQNEFETTIKKIRSDNGSEFKNTRIEDLCDDLGIGHQFSPTYTPQSNGVVERKNRTLIDMARSMLSEYNVSHSFWSEAINTACYCSNRLYCHGKLGKTPYELLNGRKPNIAYFRVFGCKCYILKKGTRLSKFEKKCDEGFLLGYSTTSKAYRVWNLTNGTLEEVHDVEFDETQGSQNEAQNLDDVRGDQLSNAMKNMDIGDVRPRQVDDDDIHVINQSVQIDTNQASSSGTHDEAQDQ >Sspon.03G0040800-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:22929085:22931190:-1 gene:Sspon.03G0040800-2D transcript:Sspon.03G0040800-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGSGLALYHVLGVATFVALLYFTFGEVDLRQISLPSMPVSVSGPRSSSSSSSRSAAVAAPFVERRGAQLFLDGRPFYANGWNSYWLMDQAVEPRSRDRVSRMFRTGAEMGLTVCRSWAFNDGAYNALQVSPGHFDERVFKALDRVVVEAERHGVRLILSLANNLEAYGGKTQYVRWAWEEGVGTSASNDSFFYDPAIRDYFKVYLKTLLTRKNHLTGVEYRDDPTILAWELMNEPRCTTDLSGDTLQRWMEEMAAYVKSIDKKHLLTVGTEGFYGPTSPQEKLNVNPGTWKDNNYGSDFIRNAKIPDIDFASIHLYPDTWLQQQHATVDEKLKFVKRWIASHIEDGDRELGKPVLTTEFGLSHGAKGFDHSHRDVFYKAVYDIVYRSAVRGGAGAGAFVWQLAVEDMEEFHDDFSVVPSEHPSLHRLIKSQSCRLAKLRRGVGGEEAKRTLS >Sspon.01G0053590-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:39705621:39712951:1 gene:Sspon.01G0053590-1C transcript:Sspon.01G0053590-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPETVLRYISLTLSRSPHFLLTWSSTPSQAAETNLRRQLEQTLAAEPSSSLHHYNLGVFLWDRAEAEQEGDEEEARKLRAAASEHFLAAAKLNPNDGVPFRFLGHHYARGGDNQRAAKCYQRAVTLSPDDAEAGETLCDLLDVEGKESLELAVCKEAAGKSPRAFWAFRRLGYLQALGLAYHRLGMFTAAVKSYGRAIELDSSRVFALIECGNIQLMLGYFRKEASEASRICTSLTGNLSCVWKLHGDVQGAARENVTGQSGDKQLAKQAFDRARSIDPSLALPWAGMSVENYHQSGYPSPLISWKRSSPVNESFESCLRAVQILPLPEFQIGLGTIAARSSNLLSPQVLMAVRQAVQRAPHYPESHNLNGLISEVRLDFQSAITFYLQARFALGMMYNSKSDNRQAFADVSVNLARALCKAGLATDAARECEELRSQGLLSVDGLQIYALALCKSGQSKEALSVSRSLAENLSGIKAESATAAWGFICTLMYGISGKDSAAAIIHKLPGELNYNSQLKFIISALDALHPTKRLQLPQLNMPPKRTAYEVMSEVHSNIALGKAIGAEFDKPLRVDGSLSYLKKVLHMYPDCSLVRNQLGSLLLWSGDWMASHKAIRVTSLSSHGHTSSMGLRSPHHVQACAMVSCYATCPAHTKFSFTTCEHQYLSGPDAIHHLQRWVHCEPWNQDARYLLVLAIFQKAREEKYPKHICVILKKLIMQVLSKISNPHEKEAMQYEVFLLLLLSSEVCLQSLDYENCIAQAKEALRTTPSSRVDTFFAHLQLCRAYAVQGDLLNSRNEYMKCLRNHTNTEIGWVMLKQLESACSLEGSSDEIDINLRECVKRNGSDSSKWASLFNLACAQCFLWDGNFESAEKALAQACTQVDPDSCILFLNASSLRKAQQKSHASLPLVSLLLAQAEGSLGSKSKWEKNLRLEWFSWPPELRPAEVYFQMHLLARQSAAAVSQQNQLVETMQSPELWLLRAIHLNPSCPRYWKALLQQMDV >Sspon.02G0004410-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:13185864:13189322:-1 gene:Sspon.02G0004410-2B transcript:Sspon.02G0004410-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLFVESLPGPKVFKCKYCRVHSASPDAILSKDFRGRHGRAYLFDSEKAYNEDQKYKEGKYILEKNMMLKEGW >Sspon.08G0004800-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:13778462:13780340:1 gene:Sspon.08G0004800-1A transcript:Sspon.08G0004800-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGEKKVSFRVREFDMERDLAAVEHLERRCQVGLCGDDTTPDDDDDSGGGSKLRKTTKTKKKKKKKKGMTLSVELVGDPLARVRHAPEHVMLVAEYGKEAEMVGLIKACVRVVSRGGGKKQKPSSSPNPKQQQQQPSPPAYVKVACLLGLRVSPSHRRLGIATALVERAEEWCRERGAAHATMATTTCNAASLALFTGRFGLPPPLAAAAYASLSPPHATEFLPADMPALLDHKLTLGTFVAVESGPDADPSAPPSFAVLSVWDSTRSMRLRVRGASALLRASLAALRALDRGAPWMRVPSIPDIFRPFGAYLLYGLRMSGPAGPDLLRSSATTPTTWPARTRPAPSSPPTSRPTTRRRRRPEVAPLLLRRGRLVHQEPQQDCRRRRRRLGGAGASGTVLFVDPR >Sspon.01G0057910-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1D:18133905:18134806:-1 gene:Sspon.01G0057910-1D transcript:Sspon.01G0057910-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding WKHKERAWCELDLPCDGRDRELFAASTIVTIGDGKTASFWSSSWIDGKTPKSMAPSLFKKSRRKKLTVHDAIQRNRWIANILPLQTTQEIHEYVALWEAVKEVHLEENREDTIQWRWTSSGEYTTKSAYLIQFEGSFTRLKLTPIWRARAEPKCRFFAWTLLHKKILTANNLAKRNWPSDPTCKLCIIEPETPNHLCKDCPFSQQVWACLKRWLNLAVIDSVSTSGSIHGYWRRCRAKFDKTERRRVDGIMLYFWWNIWKERNRRTFQHKSLSPNQVAQLCKDDILQYHMATSPTRSDQ >Sspon.04G0019950-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4D:78721750:78726844:1 gene:Sspon.04G0019950-2D transcript:Sspon.04G0019950-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAHLRLLSAAHRPPAPTSLHRLRLRLRLPGPLPPSAARIRLLLRPPRHGGSQARFARAVRCAGEASAVARAVEDAGGGGEEAGIWEQVRDIVVFAGPALGLWICGPLMSLIDTMVIGQTSALQLAALGSPSTPPLYALFPSSPYKHRPAKLARDSVLRLPKLHIHVPFGRNIEHGGHLLSQKGMFLFTKVFGTQVLTAFTGSGNYELISSANTYAQIRGFAWPAVLVGLVAQSASLGMKDSWGPLKALAAASVINGVGDIFLCSVCGYGIAGAAWATMVSQVVAAFMMMQNLRNKGFRAFSFTIPSVRELLQIFEIAAPVFVTMTSKVAFYALLTYSATSMGAITLAAHQARMLLKSLVIIGAITGLTLGAVGTLVPWLFPSVFTNDQMVVQQENAWDMNHKPWIFAKFGNHPNSWGY >Sspon.03G0005570-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:10603434:10608507:1 gene:Sspon.03G0005570-1P transcript:Sspon.03G0005570-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AMRGVRADGGGGGGGAGGGGPFSSSTADNSRFEAAQYSFFGKAPMQGAELGGLLDGGVDGDGGGFGGPDDGGFQFSSTGEQIDCMSNLSEIDDLASTFAQLNRSISGTRNPGVIGDRRSISRAGSLTADWAQDADFSNWVDQDILDGDESLDSKQWCSQLQSSPHFGESKPLSRTSSYPNQPLQHRSSEPILLHRSTSFTSYPPPGGSPALPYPAQGLTRHSSIPSPGAGHHIGSPSSSLSGSPYHMPGLSHGLPYGRSMSYTTGDLSMNNVLQNEWSNQAGPLAFDHFNRRPSLLQPQLSLPSSSMSSLLFSQPHQRLPPGQPSLQNYINMQPHLFYHHQSPDVPSPRDKRSRSGRGKHNVRFSQQPSDAGSQNSESSGIKFRSKYMSSEEIESILKMQHSANHSNDPYIDDYYHQACKAKRSVTSQKSNFCPMSIKDFPSKSRSGVDQHSYLQVDANGGISFSAIRRPRPLLEADLPGSGDGFYDHKSSTRPLEKEPMLAARITVEDSLRLLLDVDDIDRFLQSSQPQDSSFQLKRRRQVLLEGLATSLQLVDPFGPNKPGHSGGLAPKDDLIFLRIVSLPKGRKLLARYLRLLVSGSELTRIVCMAVFRHLRSLFGGLSSDSSAAETTIGLAKTVSSCVHHMELSALSACLAAVVCSSQQPPLRPLGSAAGDGASLVIKSVLDRATELLADPHSAANYSRSARSLWQASFDAFFGLLTKYCDSKYESIMQRFAMQGSSSMGGPETTKAVSREMPVELLRASLPHTNEQHRQTLLDFAQKSTHVSGFSPNASRGLINSESV >Sspon.02G0025690-4P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:84114016:84115703:-1 gene:Sspon.02G0025690-4P transcript:Sspon.02G0025690-4P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGGGGVRRAAAALLWVLVLAADGGGSVCQAQLRRGYYAGVCPNVESIVRGVVAKKIQQTPATIGATVRLFFHDCFVEGCDASVMVASTANNTAEKDHPINLSLAGDGFDTVIRAKAAVDAAPGCRGKVSCADILAMATRDAIALVRTHAARAGGPSYAVELGRLDGLRSTASSVNGRLPAPFFNLDQLNQMFAANGLSQADMVALSAGHTVGLAHCSTFAARLRGADATLDAGYTAQLAAWCPAGVDPRVAVAMDPVTPVTFDNQFFRNLQGGKGLLASDQVLHTDPRSRPTVDELARSRVAFERAFVDAITKMGRVGVKTTTAQGNVRHDCAVLG >Sspon.01G0013500-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:37790325:37792002:1 gene:Sspon.01G0013500-3C transcript:Sspon.01G0013500-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKLLLPLAVAHKHAHAHGGGGGAERMWARPWRWAKTAFFLAAMLASLLLVCAPPLLVVLLDLALPPALLSATLRAGASGGDGSFAPAVVAQARAFDFRSSLVDLPAVSAARALLILCAYVVCGGGGAYLGVVVACAAGSVSYVLAKAAAVLPRRAAPQAGAGGDARAVAGPEAMLLLSLALAAAHLAAAYRTSCRERRRMLVYRIDVEGAVRLKGGHQTPKGLKQCSV >Sspon.01G0026340-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:95428233:95432168:1 gene:Sspon.01G0026340-3D transcript:Sspon.01G0026340-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:oligopeptide transporter [Source:Projected from Arabidopsis thaliana (AT4G16370) TAIR;Acc:AT4G16370] MASRKSPVAAEERAGMEEGKGERCAVEEVALVVPETDDPSLPVMTFRAWTLGLGSCVVLIFLNTFFTYRTQPLTISGILAQILVLPAGRFMAAVLPDREVRLLGGRLGTFNLNPGPFNVKEHVIITIFANCGVSYGGGDAYSIGAITVMKAYYKQTLSFACALLIVLTTQILGYGWAGMLRRYLVDPAEMWWPSNLAQVSLFRALHEKEEEGGKSRGPSRMRFFLIVFFASFAYYALPGYLLPILTFFSWACWVWPHSITAQQVGSGYHGLGVGAFTLDWAGISAYHGSPLVAPWASIANTAVGFVMFIYVIVPLCYWQFNTFDARRFPIFSNQLFTASGQKYDTTKVLTKDFDLNVAAYDSYGKLYLSPLFAISIGSGFLRFTATIVHVLLFHGGDMWKQSKSAMNAVKLDVHAKLMQRYRQVPQWWFLMLLLGSVVVSLLMSFVWKEEVQLPWWGMLFAFALAFVVTLPIGQPGYDIIAQFMIGYALPGKPIANLLFKIYGRISTVHALSFLADLKLGHYMKIPPRCMYTAQLVGTVVAGVVNLAVAWWMLDNIENICDVEALHPDSPWTCPKYRVTFDASVIWGLIGPGRLFGRHGLYRNLVWLFLVGAVLPVPVWLLSRAFPEKKWIALINVPVISYGFAGMPPATPTNIATWLVTGTIFNFFVFRYRKAWWQKYNYVLSAALDAGTAFMGVLIFFALQNAHHDLKWWGTEVDHCPLASCPTAPGIAVKGCPVF >Sspon.01G0042830-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:38599528:38603160:1 gene:Sspon.01G0042830-3D transcript:Sspon.01G0042830-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTMLPSSRSRSGTNESPISRGRPSTPSSNHHRPSTPSSNHRPSTASSNHRPSTPGGTRRSSVGTPSTPRSRTNGAGVPFKSEPNSPPSAAAQKPRLLFDRSPRSGDTRPVVERRVPKIGNTPEKQLRREAELQARLESAQEDLKKAKDQLAFILGERDRLVGELNEAKRVADETHEKLQDALMAKRWAEEATEIEKFRADELEQAGIDEAQRREEEWQREIECVRGQHAADLETLVNTTEELERLRRDLSMANEAKKAALGHADDAMKIAEDNAEKVEILSNEVVRLKGLLDSSAASEESKSREAELLVKNLESEVSSLKGKLEEAKVLEERLAEAEKMIEELKSEIADVQKVEADIRQQLEEWKEKSGSLEMKLEEVTLSEKFKSDSLASTTEELDKTQLILQDRESEIEVLKGKTTALEIEVARLVADVNDSNEHLDASQQEVFGLQTTIDVLRNKLDAAELAASEALDNERTAITKIECLTEEKTKLISELDDARDREEREKRAVEDLTAALDKVSCEAQEAHDRFQKKEDDYEHALAQIGDLKMALKSMEESYEVMLDEAKHDTTCLRETVEKLEAEVSKYREECESKELDLITASKQSEQEIAALKEEAEHVCASLQGAEQELEAVNEEKERLQEKLSCTESAVAEAKDAVQEAKAEKERLQEKLAHTESEIAEANIAAQESKIEIERLQEKLTYTESAVAEANKAVQEAKAESSQLKERLLDKENALQSITQENDEFRIREADAMRKIDELSALLAEAMTKKHPEEEEKLVVVDEAHNSVREEVIRSVAENEDTEETDDKKPRLEVDAADMNSNGESKHEEKDDSKVEQEELKTELSLQESNKVSFEKEAQTEYKRQETESSNDELDSKKDSSTESANGTTVPEDTATKVALSPTKPQQQKKNKPLLK >Sspon.02G0009330-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:26417923:26423550:-1 gene:Sspon.02G0009330-1A transcript:Sspon.02G0009330-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGPDRRLQCRHPRDGQGRGRGGVHDLRIDDHPGLAAAVSEPRRPVVPLYVFDRRILAGYSDKMLELLLFALKDLKMALKSQDSDLLIGLGNAEDVVLKLVNEVTMQEEEVEYRVRDVLANVESSLSNGSFSWGSPPKIVAWSPLPTLEELKCFLKDIAPEDNWAPLKSMPAISILKKTISQRKIKSNATLSTSSGENIEDITMDSGSSRKRIINSMFASENSLEVRGGTDITLDALSAYLKYLEGTGNASWQELHDKVRLAETRYGASFYILFGPAIQLGVISRRKAYMETIQYEKDRNAGFLSPFGYSTPTVTAAVDAICSMEWYWLLASKSQVCVEGKYPIRIWRWKGHLVQYTFLGNEGPAVLLVHGFGAFLEHFRDNIDKIADMGHRVWAITLVGFGKSEKPNVNYSELFWSELLRDFIVDIVREPVHLLGNSIGGYICALAAGLWPSLAKSLVLLNSAGSVVPNYSFVPLSEAQLLLLFLRARAEGILKEYYPTRTERVDKPLLDQISYDPGAATVLESVFNFNLSIPLNFLFDSFGGKILVIQGMKDPLTKSEAFVTMLREHCSKVQIRELNAGHAPHDEVPDEVNTILCKWVEQIEVKPALEKTKAI >Sspon.07G0000160-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:519236:522711:1 gene:Sspon.07G0000160-1T transcript:Sspon.07G0000160-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQERYRSSYTGSFPRWIREMDSPSEASLLKQIYKSSDPERVVQIFERHPSLHSNSAALSEYIKALVSLDRLEDSPLLKTLQRGFASSTIEEERRTGLAAFGSLGRQTKDGALGTANAPIHMVTAGTGQFKEQLWKTFRSIALTFLVISGIGALIEDRGISKGLGLNEEVRPSVDSSTKFSDVKGVDEAKAELEEIVHYLRDPKRFTRLGGKLPKGVLLVGPPGTGKTMLARAIAGEAGVPFFSCSGSEFEEMFVGVGARRVRDLFNAAKKRSPCIIFIDEIDAIGGSRNPKDQQYMKMTLNQLLVELDGFKQNDGIIVIAATNFPQSLDKALVRPGRFDRHIVVPNPDVEGRRQILETHMSKVLRAEDVDLMTIARGTPGFSGADLANLVNVAALRAAMDGAKAVTMQDLEYAKDRIMMGSERKSAVISDESRKLTAYHEGGHALVAIHTEGAHPVHKATIVPRGVSLGMVTQLPEKDQHSISRKQMLARLDVCMGGRVAEELIFGESEVTSGASSDLKNATRLARAMVTKYGMNKRVGLVSYSDNNGNSMSGQTSGVIDKEVKEILEKAYNNAKSILTTHDKELHALANALLEHETLSGAQIKKILAQARDLDVDACLQI >Sspon.01G0022320-2D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1D:79612155:79612385:1 gene:Sspon.01G0022320-2D transcript:Sspon.01G0022320-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MILGARLVWLALVLQLVRGQQGGPAAAPALYMFGDSQLDVGNNNYVLTRAPSPRPQPVFKANHPRYGVDYPGGVASG >Sspon.04G0012460-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:4443701:4448180:1 gene:Sspon.04G0012460-2B transcript:Sspon.04G0012460-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Co-chaperone protein p23-1 [Source:Projected from Arabidopsis thaliana (AT4G02450) UniProtKB/Swiss-Prot;Acc:Q8L7U4] MSRHPEVKWAQRIDKVYITVQLPDAKDAKVNLEPDGVFTFSGSAGTNVYELKLDLNDKVNVEGSKISVGVRSIFCIVEKAEAKWWKKLVRDDQRAPHFVKVDWDKWVDEDDDGTDVNLDGMDFSNLGGMGGMGGMGDMAGLGGLGGMGGMGGLGGMGGLGGMGGMGGMGMDDFEDESDDEEEVSKPQAAGKAVEAEKTESAEAKTEAAQSS >Sspon.08G0015240-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:56191315:56192727:1 gene:Sspon.08G0015240-2B transcript:Sspon.08G0015240-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTGPPHVAMLATPGMGHLIPLAELAKRLASRHGATATLITFASTASATQRALLASLPPSLASRPLPPVDLSDLPRDAAIETRMSEECARSLPALTRMLSELRETTRLVAFVADQFGMDAFDAARDAGVRTRCLFVPMNLHVLSLLLRLPDLAASVAGEFRDLAEPVRLPGCVPVPGSDIPSPLQDRSNPSYMVMVHLAKRYREADAILVNSFHAVEPEVAQVLRQPEPGRPPVYPIGPLIRQPGAIPPSSPRAACLEWLDRQPARSVIFVSFGSAGALPKEQMHQLALGLELSGQRFLWVVRSPSDEGTLSDNYYNAAESNKDPFVYLPEGFLERTKDVGLLVPSWAPQTQVLAHMATGGFLTHCGWNSTLESLVHGVPMLAWPLFAEQRLNAVMLAKGVGAAIRLPERKDKETIAAVVRELMVGQGKGAMVRVKVAELKKAAAEGLREGGAATTALDEVMDEWEAEAN >Sspon.05G0032030-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:13044630:13046926:-1 gene:Sspon.05G0032030-1C transcript:Sspon.05G0032030-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At3g53360, mitochondrial [Source:Projected from Arabidopsis thaliana (AT3G53360) UniProtKB/Swiss-Prot;Acc:Q9LFI1] MQNPSGTILQLYHAGRLSAALRAFESLPSSPASASAPDFLSPAAYAALVAACSRLRSLPQGRLVHRHLLASSARDPYLAHNTILSNHLITMYGRCAPQTLPANPVSWAAVIAAHAQNSRCADAMGLFSSMLRSGTMPDQFALGSVVRACAELGDLGLGRQVHAQAIKSENGSDLIVQNALVTMYSKCGSVGDGFALFERIRDKDLISWGSIIAGLAQQGCEMDALQIFREMIAEGMHHPNEFHFGSVFRACSVVNCLEYGKQIHGLSVKYMLDRDSYAGCSLSDMYARCNKLDSARKVFYRIESPDLVSWNSLINAFSAEGLHSEAMVLFSEMRDSGLRPDGITVMALLCACVGCDALHQGRSIHSYLVKLGLGGDVMVCNSLLSMYARCLDFPSAMDVFHETHDRDVVTWNSILTACVQHQHLEDVFKLFSLLHRSMPSLDRISLNNVLSASAELGYFEMVKQVHAYAFKVGLVGDAMLSNGLIDTYAKCGSLDDANKLFEIMGTSRDVFSWSSLIVGYAQFGYAKEALDLFARMRNLGVKPNHVTFVGVLTACSRVGLVDEGCYYYSIMEPEYGIVPTREHCSCVLDLLARAGRLSEAAKFVDQMPFEPDIIMWKTLLAASRTHNDVEMGKRAAEGVLNIDPSHSAAYVLLCNIYASSGNWNEFARLKKDMRTSGVQKSPGKSWIKLKGELKVFIVEDRSHPESDEMYTMLELIGLEMVKAGYIPELSCHSCKYVSSDHTYYDLLSEEMLAEYG >Sspon.03G0000080-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3A:228907:229906:1 gene:Sspon.03G0000080-1A transcript:Sspon.03G0000080-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTHVRASNWTRTTPHPDPDRTRSVASPPLPVLFLRHATRRPPSPFSSFLFFLPLPPPPCCVRAERSACASAAPPIGSPPPRPSLARLTSSLNSLPGSSGGRIRPLSLLLSGACSSIKGAMGPHAAAVVAILLVATCVVAAAPLQQQHHKPGRIPGACPALPPPPFPFRIRPQNRKARRRSSYQPASPLRHPPSGPPRDSDLTARAPPDRNAGAIRARIDRAACRCLASCRRRPTAQGPAPGLVLAAAPRPVPPGLHAARSLGIGIGSLSFWDRAAVIAVAVSNGTRVCAARAL >Sspon.08G0007890-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:27705514:27706323:-1 gene:Sspon.08G0007890-2C transcript:Sspon.08G0007890-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRKQVDLESQKPTPTAAAVASAPATAVPASSAGASSVGRNSGSVVVGISDGQHVAPETQPLLAEPNGDSHDEGLGDDGGATSTTDDEATRLERAMAQTFRSTAELAKHLPTGAVLVFEVLSPVFTNGGKCDDVNRVMTAWLVVLCAAGCFFLCFTDSFHDAKGIVRYVVATRRGLWVIDGTPPPPPEKAAEKRLKFIDFFHAFLSLIVFMSVAMFDRNVGACFNPVMSYDTRQVLTAVPLAGGLVGTLLFATFPSTRHGIGFPVPAA >Sspon.06G0015840-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:70945631:70948585:-1 gene:Sspon.06G0015840-2B transcript:Sspon.06G0015840-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMECNREEAIRARRIALKKLEKRDFSGAQRVALQAQRLYPELENLSQLLTVCKVYCAAEAKINRQLDWYGILQVQVTANDTVIRKQYDELAFWLHPNKNTLPGAEAAFKLVSEAHMILSDHVKRSRYDIKRQTAELSDITLGKRSGARYVQPYDCAIVFWTVCPHCQKRFVYYQRNFLAVCDACGKNFFAFKLSEQAMPLRFLSLAPDNSQVSLQMFSHQQHGVPDQLIQYTELRSTGGSMDTEPTVDSPCTEEIITWDGISGVHGEGSSETRSNVVQCSAVNQSHNSSPSADKGATGSMMLESPASDVVNWNFGREDASTVPNAAGSCNLQMLGKRKHDGCADRGHRKDSCINKRRSKDSSLSDANSTADKMYNDDVAVANTQAAKHVSDTMDSQGEGNATHEGSQQEYKEEATDIANQTHGNPGIAYECTGSICSRDSCNNERQRKDNSLADSNSTDDKVCSDNVAGGENQAAEPVPITLDSQDERNATQEGSQQKYKKDGTDIANETHCNSVITYECSDFFDFGTLRKFNRIAVDQIWAIYDDHDCMPRNYAQINHVDASNNNVQLTWLEHNTMNLQETRWTRKELPVACGNFCLGETCVLEDPSMYLSHKVSWVAGKNRTSFEIHPKKGEIWALYKESSLLQSRDTDNHQAVDFEVVEVSDDSMSVGIIVFPLVKIEGFVSLFAEPKDKTNILIPSSQLLRFSHSIPYYKTNGTEKVGVGGLMELDTAALPCDLATVFPSITLDSFIDLNKEKVTEFVSITYPDSEFYNFKEDRSCEKFERGQIWALYSSTDTFPNLYGWINKVEKEPFKVHLTWLDSFPEGVDKHWLEQDIPVSCGKFVIRNSTAEHCETCAFSHLAVSRCEIDAKRQVNILPKVGEVWAIYKNWAPDWIPSSKDRPAKYAIGEIKMCTETTTLFAFLTKVDGHLSVFKPDVQKGALEVRRKENLRFSHRIPSFRLTKENGGKLCGFYELDPAAIPDLL >Sspon.05G0007270-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:14296882:14298262:1 gene:Sspon.05G0007270-1P transcript:Sspon.05G0007270-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRSSSTPFPHHFLSSSSPSSRRSSLHLRRAFSDGHLPSLHPSSLPTPNDAGSNPGGGLHTELSFSIYNTFGGKDQAVAAPLASSSSSSQGPAQAQQEQEEEGGQQQPTVVQPEHPEVPLFLARGLGIDRIASGFFTAGADKTDCGGANMERVEEQDEAVAALDAQYKTMVDEQPGNALFLRNYAQFLHEVKGDTRRAEEYYSRAMLADPSDGEIMSQYAKLVWEVHHDPERCLGYFQKSVQAAPHDSHVLAAYASFLWEQDDDDDCGEQGTGGAAAPQRAAGTGQAMELTSAAV >Sspon.02G0026790-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:78363913:78364824:1 gene:Sspon.02G0026790-2B transcript:Sspon.02G0026790-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALGGRRRASAAALLAVAVALVSLAGPAIAAGNKTGQVTVFWGRNKAEGTLQEACDSGLYTMVIMSFLDVYGPNGKYHLDLSDHPIAGIGDDIKHCQFVGVPVSLSIGGSGSGYSLPSKKAALDLFDYLWNAFFGGSKPGVHRPFGDAWLDGVDLFLEHGSAAADRYDVLALELAKHNIRGGPGKPLHLTATPRCGFPPAGYLKRALDTGIFERVHVRIYDDADCEAYWHLAWDKWTAAYPATRFYVGLTASEMTHGWVHPKNVYYDVAPSVQKADNYGGFMIWDRYADKLSNYTSMVKYYA >Sspon.05G0002900-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:8776503:8779400:-1 gene:Sspon.05G0002900-1P transcript:Sspon.05G0002900-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MILVTTRELSVAQMTQTADPVMLGALKENNFWLLFKSCVFGDEESEEHPNLHIIRKQIALELRGNPLAAKTVGALLGSNISMASWTNIRDNEEWKSLQDINGIMPALKLSYDYLPGHLQQCFRYCCLFPKGYRFDGAELVRIWISQGFVHHSDIGKNLEDTGKVYLADLVKSGFFQQAGRDWSSLDFMQGGDTEDLFVMHDLMHDLAWEVSRADFATINGSDCEDIRTTTRHLSIVIDSAYNGNDPRGNTFSQENFDEKLKKVTSAVKKLRSLVLIGYYEPPFFKDFSEIFKQAENLRLLQISATYTDFVSFLQNLEHHAHLRYLKLENRRSVEDLSIPLGKFYHLQVLDVGRPTIPSSMMKDLVRMRSEADGAQLRDKPHIETLHLSWKDALSQDGYDSDTSSEHYSDASSEHYSDTSSENNSGSSPEDYGNTSSENHSNSSPEDYGNTSSENNRNSSPEDYGNIRSENHSNSSPEDYGNTSSEHYRNTISGHYAGTEPEEDNWPINGANDILTSEQCTDTAKEVLEGLEPHRNLKHLRISGYSGATSPNWFSSVVLYTCLQTLHLEDCGEWQALPSLERLPFLTKLKLRNMSKVTQVSIPPLEELVLIKMTKLERCSCNSIRDLNSSLRVLMIVSCDVLKAFPLFNSCEKFRIEQKSWLPGLSNLTIHDCPLLIVSNPLTPSSRACKLSIIRVARLPTMEGSSNSELIIGTDVEDKRFFYEDSDGLTKLDEKVLSFHNLRVLTRLRIKVWKYGTARNFSLQIFCPATANFNAFPALKRLSIVNSRISGKWLSVILRHALVLEELDLDCCGEILGLLIEGKESCLSNHNSSPRASSPRNPDDASMSSTSEGLVHIPSILFSTLKKLSIVDCYVLAFLEKKASFSAFTSLEELKIYGCPQLISSFWA >Sspon.06G0006590-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:23889545:23890411:-1 gene:Sspon.06G0006590-1A transcript:Sspon.06G0006590-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAINLPGPSEDLMRAMESFMQDDAAPSPLAMPPPPRAPRLPLPAAGAHGHGHGAQQYPATTHLSPEQMQFIQAQLHLQRNPGLGPRAQPMKPAVPVPPQQRPQKLYRGVRQRHWGKWVAEIRLPRNRTRLWLGTFDTAEEAALAYDQAAYRLRGDAARLNFPDNAASRAPLDPAVDAKLQSICATIAAASSSSKGARAKSKAMPINAPVLEAPSNSSSDEGSGSGSGSGSDDEMCSSSATPVVVAPPVVADMGQLDFSEVPWDEAESFVLRKYPSYEIDWDALLSN >Sspon.01G0042370-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:65156295:65159341:1 gene:Sspon.01G0042370-1B transcript:Sspon.01G0042370-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MIAVSTNLKTFPLRAKSEAFPTLSHFFAWVSTQFGLTIKAVQCDNGREFDNSTSRAFFLSYGVHLRMSCPYTSSQNGKAERMIRTTNDIMRTLLLQASLPARFWAECLHTSTYLLNRLPSTAAQLPRLTTLYSVPLLGTTTFESSGTASARSPGGPASPLPDSHQDLPPYLTRPRQPRSFHPRSLWLRCLPLCQMLPFRSRDRAHRPHLLPGASDSSTSAGGSRVRPHLLPDASASSTSAGVSRLRRFRHQRRRRRCMLRRLAALSRRPAGVPPASSSPGPSSHSPDGDEAGVSPAGPHRRRLRAGDLSGTLFRPRGLGGSSLARDGRGVRGPPRQPDVGPSARPPGSNIVTGKWIWTHKRRADGSLERYKARWVLRGFTQRPGIDYAETFSPVVKPATVRTVLSLALERSWPVHQLDVKNAFLHGTLTETVYCSQPAGFVDSSRPDFVCRLNKSLYGLKQAPRAWYSRFATFLQTLGFTEAKSDTSLESLLHRIIASLQKEFAMKDLGVVHHFLGVTVEPRPSGLFLHQRQFTCDILERAGMTACQSCSTPVDTQGKLSEAGGPMLGPADSTAYRSLAGALQYLTFTRPDITYAVQQLCLYMHAPREPHLAAMKRLLRYLRGTLGYGLLLGRSSTAELVVYTDADWRAARTPAGPPPATLFLGATLSLGRPSASRWSHAPVPRR >Sspon.06G0033070-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:8674831:8676812:-1 gene:Sspon.06G0033070-1D transcript:Sspon.06G0033070-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGCYSAFACSRKLRGRISFVLPVTERDRDDRSSDGGASSATPSPSPSPRKNDATPTPGRWWSGPPRPSSRAATCWAGSWGAASSDAAAAAHAADVQREVAIMRRMSSRGGAAVVRLREACCEDAGGGAVHLVMELCEGGELFDRIVARGHYSERAAAGVFGTIVDVVRLCHSNGVIHRDLKPENFLFANKSEDSPLKVIDFGLSVFFSPGDRFTEVVGSAYYMAPEVLKRNYGPEVDIWSAGVILYILLCGVPPFWGDNDEKIAQAVLRGVIDFNREPWPRVSANAKDLIRRMLDPDPPPASRRGRCLHPWLKNADTAPNVSLGEAVRARLQQFSAMNKFKKKALGVVARNLPVEELDKYVQMFHLMDKDHNGNLTLEELMEGLHINGQPVPESEIRMLLEAADTDGNGTLDCDEFVTVSLHLKKMSNDEYLASAFRYFDKDGSGFIEPEELREELGPNDQAILDIIRDVDTDQDGRISYQEFELMMKAGTDWRNGSRQYSRANFSSLSRKLCKGMS >Sspon.06G0030020-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:40508904:40511073:1 gene:Sspon.06G0030020-1C transcript:Sspon.06G0030020-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTAVSTCTAKTEQCMLVFEIFDYSQHRGMGIGEFIRSGTFSVGGYDWAIRFYPDGDQHNYSGYISVHLELLSSDTKVRGSCDLRLVDQTTGLSTSVQKTELRVFLSSVLSRFAAHAGPFMNRSQFEASPYLKDDHLTIQCITTVKRPQVSGPELLNEIEVPPSNIAELLGKLLDTGEGADVTFSVGGETFTAHKIVLAMRSPVLKAELFGQMKEATEQLVTIQDMQPDVFRALLHFIYTDSLPDMDDQDGEGNRDMIQHLLVAADRYAVDRMKLVCASILCKNLDVETVSATLALAYQHNCDKLKDVCLEFITSSSDLMDSVVATQGYQNLKATCPSVLVDAFEKSSKRSAALVLNGLHSLILLHWRRMRK >Sspon.01G0023330-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1A:84261217:84262535:1 gene:Sspon.01G0023330-1A transcript:Sspon.01G0023330-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAMADGPRGGFALRPSDHDLITFVLHPMFARQQRFDGAGFVVHLADVYSVAPERLTKRYAPAPGRTCWYFICPARCRHMAAAGALGEGRWNSETGEVSPVRGADGRCVGYSRTLSYSYGGARMTTTPWTTVTRHGWCMVELALDDEHDGGGVGGGDFVLCNLFRSSPHNEVAVPALLFNCKRKAVGDHTEAPPSVRQQLLHHLDPEAVFHHLHNRGMPNTVSST >Sspon.06G0024020-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6B:50098427:50100860:1 gene:Sspon.06G0024020-1B transcript:Sspon.06G0024020-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDLSSAVDWWNEWQLRVLALGSLCAQYLLAFLGRKRKSHIPAWWRFSIWFAYLATDALAIYALAALFNRQNRLHSNPTSHGDNHLEMLWAPILLMHIGGQVIITAYNIEDNELWKRHVLTVVAQVTVALYVFIKAWSSSSKRISAAAICILILATIRCLEKPWAFRRASFNNLITFFDRASRTTPSIEDQMSLQTYIREARNIVQENNPPPEVDFSRQSVPYFNCQPSITKTHGNLFVDLPYPYPERLDHLRSFWQLDEGVFYSSIEQGLSNMFNCLYTKDVQGFLSHSFFGTILVAAVCYALPGWPTTYQKLLEEGYSKSYVLITSVLLYGTLFLDISHSITTARLLTSWEATVSQHNLISFFARSRRQTWLVRIAARWLCQDWMDQYWCLEPCDSSSIRALTELTRNSVKTWWTDLIMDADSYRKVNDANGHWTLECEECKQLLEWSLENPFDENILIWHVATDFCLHHATDTPDDAHCVSRSRCREISNYMMHLLFANPEMLMPGSRKNLLMAANKELDDMFKDEDPPADREKVMQAIVDKVKVRSGRGFVDYAWSLARGLMGLDSKEKMWRVIQGVWVEMLCFSASRSRGYLHAKSLGSGGEFLSYIWLLWAHAGMETFSERLQRRRHRQSRGDDDSGPSSSQCTNPVEAENASASSSASKGKEPVKEEDDVVPSTSQSIGPVKEEGNAASAASSSKGKATVMEEDAAATTQSASQCDTACEIEIVVDSPPNHEVHTPH >Sspon.03G0022070-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3A:67173509:67178008:-1 gene:Sspon.03G0022070-1A transcript:Sspon.03G0022070-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSSSQSQSPKTPSPRGGMGGGGGAAEHARSGSEPWLVAAAAASASDDSCVNDVDNFARTVAAVKSKAASCARPDMLASVLSHYAAKWLPDVAPPLASSPASSVSGRFLPPESPTATWLKKRLLLESLVAALPPDPPAAGAGGGCCAAAAAVATDDGITCDFLLKLLRAGSMVGADAALLRDLEARVARRLDQATLGAVMIPAFGHAGREHANSALLLDVPLVLRLVRGFLKEGAAAGASKASSGAAIIGGGGAAAARVARLVDAYLAEAALEAGLRPAEFEELARAVPAHARPADDALYRAVDTYLKVRMRSLSIPGSSSIALMTRWHTMRACGVTRAHPNTAKEERKSLCRLIDARKLTAEAAAHAVQNERMPVRSVMQVLFSEHGKLNRLAELSNSFSSQRSPNPALELPGRCPSKREVLAQHQEVRRLREDVARLQVLRRRHLELPSLHE >Sspon.06G0002800-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:8661273:8663154:1 gene:Sspon.06G0002800-1A transcript:Sspon.06G0002800-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AMASRGQWRWCLLALWCLAAAALSAAQSSPPAADPLQSKCQGDFGKLTDCMDYATGHAASPSSTCCGDVGDTEKARPECLCYIIQQVHTGRNQVQSLGLRFDRLIALPAACNLPNANVSLCIDLLNLKPGSPDYALFANASTVTPSANPASDSTSGSGFKLQAGIRGSVALAVISAVVSSVF >Sspon.04G0020850-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:81517365:81521205:1 gene:Sspon.04G0020850-4D transcript:Sspon.04G0020850-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding METISCCPICNIQVLTVELEWHANSHFDDDQLQRDMELAHQMAIAESSTNTMNDPIRYSGSLSSDSNTLGATSSHSGYSRHHSELLEEQISCLVRAQIRSEVQEVEGGIMSLLRSCLESEDGTSTSMISCYIDHHQSLSSEDKGWGCGWRNIQMLSSHLLKQRPEAREVLFGGSGFVPDIPSLQRWLEIAWDKNFDTIGSSHFHNEVYGAKKWIGAAECATLFRSFGLRARIVDFDSTESSDLQRKNGSRAASQPTVFSTSRSFKDNSWNSKENGHHGFQEQYTLLILDPGHRTADLERSLRSKKGWQRLVKRGVHTLRKPQYQLCYVDPGIANSEEMEQLKIIAGILVRF >Sspon.01G0043410-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:74494297:74495157:1 gene:Sspon.01G0043410-1B transcript:Sspon.01G0043410-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGEEKNRKSKEKMMNGSVAGEGEPLPVFLTGEMSPLAIFMAWHADRNRAGPKTTPRVWTTGHHRKATQRQRAQPWASTRASEERHGGGTSIPNSSCVARLSLQGDFVLPKRERSRRIERPPDQRPGAYGLLSAQAGGGNAENYQPRPRLRPGRGKGHVRSRPRAKILNWAARTLALGHVTGLPRAAMITHSRDGPCTDYMLLLRFGPQSKKFQAGPNEQFH >Sspon.06G0019710-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6B:4241793:4242467:1 gene:Sspon.06G0019710-1B transcript:Sspon.06G0019710-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MIAKSSFSAAIGLLATSSATITGSAACRYICVENPVNGTCNPNAGFCQLDLPKDVKSTEALFVQLNNNNSQIWREYPWNYVTVMDKKAFNFSTTYLTSTAFYDKNNGTVPVVMDWGVTKKKCEDAKKTSKDYACVSKNSDCNNNDAGYYTCNCSNGYKGNPYLVNGCKGSSSHPIIHG >Sspon.02G0002660-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:7069327:7070117:-1 gene:Sspon.02G0002660-2B transcript:Sspon.02G0002660-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRACPGVVSCADIVQFAARDAAFFLSGFRVNYRLPGCRPEMHLRTHPVSSSFSVLNFSHLSFRPWRSRVLHFGIQHTKPLLSLLLRCCLSCSPPPLLCLFMGSSTFSAAADAALLESHRRLHGCRLHLRPLPNLPTSRGVRDLRFQSERLRRQGLP >Sspon.05G0008210-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:25064299:25069476:1 gene:Sspon.05G0008210-1A transcript:Sspon.05G0008210-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VMDRFKACSFSAMERTEVDGDFIAAGNWKLHGALCNELYTVVREVLDAIPPFEATRSGCSPGLLALSSLRISVEKAKNLLQELLMNFLYFRMQCVLLNTEFQAVTAEYVLTKFENSRQGLLESLHQVEETIPEAVGSKITMIAQELDKADFVLDQSDKQVGDEVNQLIQNEPKSNGFLDENELEFLHQIAFRIGITSSVAALTERRALRKLLERAHAEEDIKKESIAAYLLHLIRKYSNIFKSETTGTTNSLCSSPSFSSRSNSGLIGLNHSLSSSTDLHGNCQILEKQLPRVGSFNSKQIKGLSGSMPLPPEELRCPISLQLMYDPVVIASGQTYERACIEKWFDSGNTTCPKTRKQLSQLLRTPNYCIKEATSKLRVDEEVSVEICGVEDSVEKGAHQNSKDDVPVSDRCEQWLHVLNKNDAESKSERHKLVEQIRILLKNDDELRDYAGANGIAEPLIHFLKMAIGRGDVQSQEVATMALFNLAVNNDRNKRLLLSAGVIPLIEQMIQKRETCEAAIAMYLNLSCIPEAQAIIGSSVAIRFLVNGLGEGGPPSDTCRLDALLTLYNLSLHAPNIPSLMASGIMESLRAVLTPSSPWTDKALAVLLNLALTRRGKEEIAASAAMVGAIVLIVDNGEPGEKEKAVSCLYVICSGDEGSSQTVLQEGVIPALVSVTANGTARARDKAQRLLRLFRVQRQREMELEETQPRVELHEVASQAAAQQQREEEEEEEEEEELVLAVTPAAAASKTSGGGKKPRLRRSGSRRFTKAFTCLLKKWSLR >Sspon.04G0014940-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:64514816:64515927:1 gene:Sspon.04G0014940-4D transcript:Sspon.04G0014940-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RAMAAGLKVPEMALRVCVVPLALASLWEMATNAQADDTYGEVKFSDLSGVSYLVGVNAVTAAYAIASVLLSSLKPLARYDWVILVMVQASAYLLVTSALAAAELLQLARRGDREVSWGEVCSYFGRFCGKATVSLALHAAALACFVALALVSAFRVFSSRCHPPPDADADGQPKHAQEEE >Sspon.01G0037340-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:40801:45537:-1 gene:Sspon.01G0037340-3D transcript:Sspon.01G0037340-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMALPVVNATAAVLARVSSAFNAPLARAVVFGVHIDGHLVVEGLLIAVIVFQLSRKSYKPPKKPLTEKEIDELCDEWEPEPLCPPIKEGARIDTPMLESAAGPHTIVDGKEVVNFASANYLGLIGNEKIIDSCIGSLEKYGVGSCGPRGFYGTIGLYYHPQTMTLVENVHLDCESKIAKFLGTPDSILYSYGISTIFSVIPAFCKKGDIIVADEGVHWAVQNGLHLSRSTVVYFKHNDMASLASTLEKLTRGNKRAEKIRRYIVVESIYQNSGQIAPLDEIVKLKEKYRFRVILEESHSFGVLGKSGRGLAEHYGVPIEKIDIITAGMGNALATDGGFCTGSVRVVDHQRLSSSGYVFSASLPPYLASAAVSAVNYLEENPSVLANLRSNIALLHKELSDTPGLEITSHVLSPIVFLKLKKSTGSPTTDLDLLETIADKVLKEDSVFIVTSKKSNLDRCKLPIGIRLFVSAGHTESDISRLSSSLKRVSASVLSDY >Sspon.08G0009090-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:35136129:35139097:1 gene:Sspon.08G0009090-2B transcript:Sspon.08G0009090-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQQIQTERLNLNLNLAGYRPVTGKPGRFTATGGRRPTAMEGTVLCTANYAPLTPISFLERSALVYPDRPAIVSSGGRGSAPRSWRETRGRCLRLAAALAALGVAGHDVVAVFAQNIPATCELHFGIPMAGAVICALNSRLDATMASVLLQHSEAKVIFVDYALLDVAKQALSLVSKTGAKPPLVVLIKELLDESSPPDDGSRAATTNEKAFHHYDYEALISSGGSPDFRIRWPADENEPLALNYTSGTTSTPKGVVYTHRGAYLNSIATVIMNEMVGTPPVYLWTVPMFHCNGWCLVWGVAATGGTNVCLRKVTAAAVFDSIARHGVTHMGGAPTERGLLPLPRRGGRPVIVKAGGAPPPPQVLLRMEALGFFVIHGYGMTETYGPATFCAWKPEWDALPPEQRARLRARQGLHHLGLEVDVKDPVTMRSVPADGRTMGEVVLRGNTVMSGYYKDAAATAEALAGGWLRSGDLAVRHRDGYVKIMDRSKDIIISGGENISTIEVEAALFAHPAVAEAAVVARPDEYWGETPCAFVTLRDGANAVGEEDVIAFCRARLPRYMVPRTVVFVPELPKTATGKVQKVLLRNQAKAMGSKSKLLRGGSAPTTSKL >Sspon.01G0041720-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:48949405:48950559:1 gene:Sspon.01G0041720-2C transcript:Sspon.01G0041720-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEFVILLGGCKQMHKRSYDTYIYIDNFMFSRVVEKQELINALLQDNSPVGVPAVVPVIGAYRAGKKSLVGYVCNDNMVRFHFSSVLHLKSSNFLNVSRETFMPARSLVVVEFISDVDDSEWVKFYSAASSQMGAGSKVIIMSRFQEIARFGTVKPIVLRSLSDAEFSYLFKRFRKSIERNFSRFGEHPKQLLERDRPTDITMLVPPSSATLRLMPSHDNTRCYA >Sspon.02G0018720-1P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2D:56203793:56204097:-1 gene:Sspon.02G0018720-1P transcript:Sspon.02G0018720-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRKAAKGKEGEPQPADAAQEEPTPAPADAAQEEPASAPVAPAAALTEAEVDELPRAIVRRLVKDKLSHVAGGDGAEVIVNKDAMVAFAESARIFIHYLSA >Sspon.05G0004300-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:7791050:7793515:-1 gene:Sspon.05G0004300-2B transcript:Sspon.05G0004300-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSLARSPSPGAALPAPRVAHFALPLLPLRSPRRQYQALRLRLRVAASSPPEAQAAAPVAEEGEEQGEKRRKAGSCTWPTCPGPSRRRRSRSSSRSTAPSRTSRSSRGRMAGTGGSRS >Sspon.05G0019500-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:85118114:85120876:-1 gene:Sspon.05G0019500-2B transcript:Sspon.05G0019500-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPAVRTRRSTVVPLPSAGGTGTPSVDVVVPLSPLDTRWAALPPVCHVFLFPAAAHQQQVPFSDVVRGLRSSLAAVLPAFHTLAGELAYSPELGTVTIVCGEDAGVAFVEAETDLDLASLVVEDDDGTADLDVDALPQLVPDIRREVLPAPMFAVKVTEFAGGIALGVALNHVATDGIGFFRFMQMWAASAVAAGATSSDWTSTEPLHDRRFVRFDGDQELARRLLRQAAPDLPRVSYYYLSVATTFFIDGARGSSPRDPESSERRRPPSPRWQRTAGSASRSPAASPTRPRCSPRSSPRVPDAYMSPRVPDAYAGNCVVSCVVALSGAELTGADGPALAFLAIRDATAEVKRDPLAGSGSWITRFRAAPPGRKVVLAGSPWFPAYAVDFGFGRPVRVERASLDQDGAMAIFAGREAGSVQASVAVAAGKMPMFHRMFAVKSGSNKARL >Sspon.08G0016110-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:60774345:60782776:-1 gene:Sspon.08G0016110-3D transcript:Sspon.08G0016110-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:secretory 1A [Source:Projected from Arabidopsis thaliana (AT1G02010) TAIR;Acc:AT1G02010] MSMDFGAPADDPKVFRNVCRDRILKDLLKPDKDKETKSSWKVLIMDKFTVKIMAYACKMAEITDAGISLVEDLFKRREPMPSMDAIYFLQPLKENVIMLLSDMSGRCPLYRKAYIFFSSPIPKELVTYIKNDSSVIPRIGALREASHFTISPSNAMNLEFFAIDMQGFVTDHDTALNDLYGPSEQNSKKFNDTISTMATRIATTFASLKEFPCVRYRAPKGDASTTTKFDMVPKWLATAVWDIVSKYKSTIPEFPQKETCELLIVDRPIDQIAPVIHEWTYDAMCHDLLEMDGNKYIYEVSKMDSEPEKKESLLEDHDPLWLELRHAHIADASERLYEKMNNFVAKNKAAQLSRDGGEVSTRDLQKIVQALPQYSEQVEKLTLHIEIAGKINRCIREYGLRDIGQLEQDLVFGDAGAKEVISILRSKQDMSPENKMRLLIIYAIVYPEKFEGDKGEKLMQLAKLPHDDMDVINCLRYLDGSNTKKSSRTGTFSLKFDAQKELIEKLSKGELPLKEYPSMSEPSSAPQGATQTASSTARPAQNPQPMSMRSRRTPTWAKSRNSDDSQSSDSSVLRHASGDFKRLGNRIFVFMVGGATRSELRTVHKLTMKMKREIVLGSSSIDDPPQFIS >Sspon.06G0030090-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6C:41198171:41200146:1 gene:Sspon.06G0030090-1C transcript:Sspon.06G0030090-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAKELLDELKKKYSVDVPYDRVFRGKMRALDIIYGKWEDCYDLIPTYQAELLRSIPGSVVELETEEHNGHVWSSVQMQASELKKLLKNMWAATKSFTADKFNFFMWNIKEKDPKALEWLDENHPYIWSRSKFSEDCKREWKRRANMR >Sspon.03G0024340-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:92674321:92676889:-1 gene:Sspon.03G0024340-3C transcript:Sspon.03G0024340-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RERDACWEYCDKLDGNKVRCRFCHKVLNGGISRLKFHLSQIPSKGVNPCTKVKEDVIDKVKAIISAKEEYKEFQLLKRQRVAELSVAPKTAPEAPSLSTSPGRVSSPAVTLAAEQSRLLAPEVSAPAPKLSGAANKSRAAASELDAERCIAEFFFENKLDYSIADSVSYQQMLEALGGPGCRGPPADVLRDKSKLKQMFHSTEYSSSSYASRSIPCVEILNDDELWRAVEEIVAVSEPLLRVMRDVSGGKPAIGYIYESMTKVTDSIRTYYIMDEGKCKSFLDIVEQKWQTELHSPLHSAAAFLSPSIQYNPEIKFFSTIKEEFYLVLDKVLTTPDLRHDITAQLHAFRKAQGMFGSNIAKEARNNTSPGMWWEQYGDSAPSLQRAAVRITSQVCSTLTFQRDWGIILQNHYEKRNKLDKEALADQAYVHYNLTLHSEPKTKKKLDVDPIALDTIDMTSAWVEDSDGPILTQWLDRFPSALDGGDLNTR >Sspon.02G0044420-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2B:101378498:101379115:-1 gene:Sspon.02G0044420-1B transcript:Sspon.02G0044420-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGSTGNTAATMDAAGDPITRWPLFAYLGGAMVCLLTSSACHLILCHSERTAYLTLRLDYAGIAALIVTSFYPLAYYSFLCDRALQRLYMGSITALGVAAVTASLVPAFQAPELRPLRAALFSCMGASGAVPIAHKLLLYSGTAPGAVASAGYEALMGALYALGVAVYAARVPERWAPGRFDLVGHSHQLFHLFVIAGAYAHYLAG >Sspon.06G0004700-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:14980088:14990374:1 gene:Sspon.06G0004700-1A transcript:Sspon.06G0004700-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRSPPAYSVKIVKLTETHESLEKELKSLQERESGAKAEEKQILTQMEELKTEADDWKSKSDECEKVIDELKEQNGNVASTLAKLDRQVKSKEGQLAQLISRQRDVHEKCELEQLKLPTVNDPMDTGTSSQEPILDYSQLSKSHLQDIRPSERDKHEAEFKKRTGVLLADIERTAPNLKALDQYDALQRKEKEVTERFEAARKEEREISDKYNSVKQKRYELFMEAFDHISKGIDKIYKQLTKSHTHPLGGTAYLNLENEDEPFLHGIKYTAMPPTKRFRDMEQLSGGEKTVAALALLFAIHRPSPFFILDEVDAALDNLNVAKVAGFIRSKSCDRVADEQGSDGECGFQSIVISLKDSFYDKAEALVGVYRDSER >Sspon.02G0012930-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:32140339:32143552:-1 gene:Sspon.02G0012930-2B transcript:Sspon.02G0012930-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKDPRNAATPVKASANAGTRGTMNRIQSRRERKLALQQDVDKLKKKLRHEENVHRALERAFTRPLGALPRLPPYLPSQVSTATAFCRCALNCAESLALNCTLKTLALLAEVAVLEEEVVRLEEQVVNFRQGIYQEAIIFSSATNAQLPGGEVPVPAQLMPSNPVLNAEVPATTVNQGPDHPPNARPSPHNGVANGKQTPRKPVNASLPPSQDDRSGVGKENQSCSNTSSTSSRQTPPLQQKTANKSRATPPDRRSRATPAHQTNTAAPDRKRPAADAAAAGSNTDKVTTSHGDGSSSVPNKLSEELLRCLLTIFSRMGSAAGGGQGQGDEDQQAPSPSVSGSSESSGSGSGSEDAYPQDPYGIQELGARDIGPYKLFHVVDGACFDPNALAGDGDDTPLVRRLQALLRRLSSVDLAGLSHQQKLAFWINIYNSCMMNVSCHSTQSSSPTPSESLIPEFSLGYLSAFLEQGIPTTPQMLVAMMPKATISVGGRTHSAMSIEHFILRLPYSAKQVNREEGAKGDDDDVTTARGAFGLEWPEPLVTFALSCGSWSSPAVRVYTAARVEEELEAAKREYLQAAVGVSTPGKLAVPKLLHWYLLDFAKDVDALMDWVCLQLPPELRHKAMRVVEDGRRAGAEPGRIQVLPYEFRFRYLLAS >Sspon.01G0031590-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:108127282:108127831:-1 gene:Sspon.01G0031590-1A transcript:Sspon.01G0031590-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLMAGWDSPVLGDDGKKGTRKKMGTSLYSRSRALCWNWNSDEEVEAFWKQQRRSRMSSEDGGELASPPATATESPFGSLEKPAAVVRVDGFLPGGGSGDDDAADSPSRSRD >Sspon.07G0013280-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:54274316:54279799:-1 gene:Sspon.07G0013280-3C transcript:Sspon.07G0013280-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:EMB3117 [Source:Projected from Arabidopsis thaliana (AT2G47940) UniProtKB/TrEMBL;Acc:A0A178VUR8] VLARGTECDLALLSVENEEFWRGTEALHFGRLPCLQDSVTVVGYPLGGDTISVTKGVVSRIEVTPYAHGTSDLLGIQIDAAINPGNSGGPAFNEQGECIGVAFQVYRSDEAENIGYVIPTTVVSHFLNDYQKNGKYTGFPCLGVLLQKLENPALRESLKVPSSEGVLVRRVEPTAPASSVLRKGDVIVSFDGIAVGCEATVPFRSTERIAFRYLTSQKYAGDIAQLGIIRDGNSMKVQTILQPRKHLLKLLAKARYSLATFEGEQIVIVSQVLAHEVNIGYEHMGNQQVNLFYLLVVFLMLVNWNLRVMKLNGTTIKNIHHLAHLVDTCKDKFLTFEFEDDFLVVLHREEAAAASSDILKEHAIPSIRSSDLSEPYVETKNDIQKTSEHFGESPVTNFEMGID >Sspon.05G0004730-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:14111894:14127609:-1 gene:Sspon.05G0004730-1A transcript:Sspon.05G0004730-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMLGQNNTIKTLKHEVEVLGDENTKLSTEVTRLAAEALEVADLRLKVASFRDDKERVEGEVTRLKRQVEEAQTSEVLAMERASKANETYDNLRNALDKEKQSSVALQEQKAEKVSSGRPWLISSPASWCCTCGYCGTIAHPSRTAEVSKEKEVAGGSTSAAEASKKKEITGGSTTAATSRDDSFLGGGGAVLGAGTTSTAAPKDRKHVKMNLCAVAKEVGCALLYDRFACIGHRWPPLVPPKVLGLAYLARELQPLSLCFLAAVGFFVVVCGEVEAVLVFDLVVFAEALVQLEQLSVASWRTSAQSDHLLEVEEVKRIPDTFESFASYLNSFAYPLIEEVHTDIFSSLDGYAHSSFIEVIQMEKLDNERPIFGLEVAEPVKDEKSREVYEPTEGDIIVMSSQKPKHVSDLTQNRVSYVLGSVLKSGGDDKIPPNCCIVQLSSAIPIEADPQTKIPKRQLFVVFLINMETYNRIWKCLQMGPNDANLIELQNKKSTDLVDMVWQYKKRAVEDASSSCFQLSQTLRNESVDGLGLEKFNLNDSQLNAVADCVLAIDNHSSSLKLLWGPPGTGKTKTISTILWAMLVKGRKTLACAPTNTAVLEVAARIVNLVGKPSDGSICFLNDIVLYGNKKRMKIDNGNVLSAVFLDSRAKRLLPCFMPNTGWRHCLCSLIDLLENSATKYQSYIQDIIQQESPTKDIDEDTSWHMCHRNDYCEQNSKESEDKDNYSDVVLKNKDNDCDDDECYDSGDVEEALIVPSFKHYLKDDYNKLSGNLYDCIDILYNDHPRNPETGRSFQCMLEVLELIKILYALINCDFDDGDIWSDELLASKIEDEGDPEIWPEKLASVQINSCNKLKFSLARSLCVQELRYLCTNLELPNCYSERSVQQYVLARTKCILCTVSSSFRLYNVPKGNSSSGLCGLLKKPENMNLLELLIVDEAAQLKECETLIPLQLPGIKQAIFIGDEYQLPALVKSKISDSANFGRSIFERLSSLGYSKHLLNVQYRMHPEISKFPVATFYDGKISDGPNVTHMNYNKRFLAGKWFGPYSFINVDSGHETTEKNGRSLKNTIEAAAVVRMVQRLVSFYWNKLSVGVVSPYNAQVRAIQEKLGKTYSRYDGFSVKVKSVDGFQGAEEDIIIISTVRSNGAGSVGFLSNLQRTNVALTRAKYCLWIVGNGTTLFSSSSVWQKIIKDVQDRGCFFDVSDDKDLSNAVIKATIELDDAENSVKMESLHISRPRFQHYDNLSTKKTHCWEILYDDLA >Sspon.05G0006340-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:13997445:14000879:-1 gene:Sspon.05G0006340-2B transcript:Sspon.05G0006340-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSDSKDLLEIEPLELRFPFETKKQISCSMQLTNRTDDYIGFKVKTTSPKKYCVRPNSGIVPPRSTSDVIVTMQAQKEAPPDMQCKDKFLVQSVIVAEGTLVKDITGDMFTKESGNVVDEVKLKVVYVPPPKPPSPVREGSEEDSSPRASLSDGSNLNYQEAVKTYKDQEDYTSETSALISKLTEERNSVIQQNNKLREELDLLRREVSKQNGGFSFVVVVAIALLGMLLGYIMKR >Sspon.02G0008390-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:24648405:24651387:1 gene:Sspon.02G0008390-1A transcript:Sspon.02G0008390-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWSGIIYATVLLLVLLLPQSVSDDRLALGKPLVPGTTIVSDSGVFALGFFLLADSTPANLYLGIWYNDIPRLTAVWVANRGNPATTGTSSAPPSLTLTNSSNLILSDASGRVLWTTNVTGVSGSAATAVLLNTGNLVIRSPNGTTAWQSFEHPGDTFLPGMKIRVRYRSRDGERLVSWKGADDPSPGSFTFGMDPDTFMQVFMWNGTRPVARTAPWTGYATISGQFQVNSSSSVVMVSLAVVRTEEEMYLAYSLPDGSAHTRFVLTYSGEYQLQSWGSSDWAVVGKWPANACDLYGQCGPYGYCDGTVTSPTTPTCKCLDGFEPASQEEWSRGRFSQGCRRKEALRCGDGGFVALPGIKPPDKFVLVENRTSEECAMDCVNNCSCMAYAYANLSSRGTMGGTRCLVWAGELIDTERVGDTAGGDTLGVRGIGFCTTILHYYRHIRKEKRKKRNAHDILEAGKKQKEGKNKTLSVLGNLNTSEYSGERNPTEGVVFPAVSFQDITAVTNNFDRSFIIGQGGFGQVYKAKLDGREVAIKRLSRDSAQGIAEFRNEVLLIAKLQHRNLVSFISCCIEGDEKLLVFEYMPNKSLDALLFSSTRKLELDWPTRGYMAPEYAMRGIFSVKSDVYSFGVLMLEIVSGVKISSTDHTIDFENLIAWNLWKKGQAKDLIDSSIMESCVPAEALLCIHIGLLCVQDNPNERPLMSSVVFILENGNSTLAIPNKPAYFAHTYNNKVKQ >Sspon.05G0002260-3D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:5D:6205248:6205583:1 gene:Sspon.05G0002260-3D transcript:Sspon.05G0002260-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQTAVRMERGIHSTAARTKAVLTLNSFEKGKDGGGPSECDNAYHSDEEKVVALSTGWFSNMARCGHQIKISANGNSVYAKVVDECDSVHGCDDEHNFEPPCDNNIVDASPA >Sspon.05G0010750-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:21869643:21872886:-1 gene:Sspon.05G0010750-2P transcript:Sspon.05G0010750-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPLAHPVGHRHLHLHLPPAALQRAPAPGQAYLPSRRGARTLARARPPSPSAGAAGTETTSTTSGSVLSFLCPLLKLLGGGDPSQQRNDVVEVTTSSISSLARLPWGSKVATSSGENIDSAISTPTLQLYEFESLRHRDVVKKIGGKEQFPLLVDASTGISMYESEDIVKYLFRHYGQGKSPSPGLLESTIFTGWVPTLLRAGRGMTLWNKAGAVPAEKLELFSYENNSYARIVREALCELELPYVLQNVGEGSSKTDLLLRKSGSKQVPYLIDPNTGFQSSDHKKILAYLFQQYSASS >Sspon.02G0020930-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2B:68487162:68487880:-1 gene:Sspon.02G0020930-2B transcript:Sspon.02G0020930-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDATASDPSSPPRSRPLQGKRPPRLAVNVESLKIKKPAVTPRPKKSHHLQQTPARAPVIIYDASPQVIGVKPADFVMAMARVQRCILSLQTAWNTQDHCLFSLLPTTAAVSASARLAATERAVRPSPLALLRPPTDYGADADSLAAILSLPRRPGILSPAALPPATCSRQFSAALPFDPSCLPWLNEQSPFFLPAASRCVGELGCLPHAEPKHPAPRHAMSGH >Sspon.07G0015250-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:58285570:58286720:-1 gene:Sspon.07G0015250-1P transcript:Sspon.07G0015250-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDHPHHQSMATPAAASMCGSKSKTKLQGGGERSSRGSGGGNSPPAAMRKGPWTEEEDAQLVWFVRLFGERRWDFLAKVSGLRRTGKSCRLRWVNYLHPGLRRGRITADEERLILQLHAQWGSRWSRIARSLPGRTDNEIKNFWRTRARKQKAAAAQQQDSRSSKTASASAFSGSSSSVTATTSSCSGSPSPSSGGCGTATTSSSAMTESALRQSSGGCDSGDDDAEFDEASTTTTASQHQHHHTQQQQQQVLRLGPLLERHRGGGGGELHVDRRLGRSRSRSRSSRAGVEPPSSPMWEYCSDYSLWRIDDDEYYKKMLDSS >Sspon.07G0010680-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7C:38390792:38392164:1 gene:Sspon.07G0010680-2C transcript:Sspon.07G0010680-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQYAETARERTQEDKIKNARIDDWLPITSSRTAKWYYSAFHNVTAMVGAGVLGLPFAMSQLGWGLGTVVIVMSFVITLYTLWQMVEMHEMISGKRFDRYHELGQHAFGERLGLWIIVPQQLIVEVGTDIVYMVTGGQCLRKFHDLVCRGRCKDIRLTYWIIIFGSVHFPLSQFPNFNSISAVSAAAAVMSLTYSMIAFVTSVVKGAEEATAGAIDYGLRATSTSGRVFGVLNGLGAVAFAYAGHNVVLEIQATIPSTPEKPSKKPMWLGVVVAYAIVALCYFCVAFAGYYAFGNSVEPNVLISLEKPRWLIAAANLMVVVHVVGSYQVYAMPVFDMIETVLVKKHEFTPGIRLRLIARSAYVAATMFVGMTFPFFDGLLGFFGGFGFAPTTYY >Sspon.05G0010850-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:25700820:25703921:1 gene:Sspon.05G0010850-2B transcript:Sspon.05G0010850-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVEMSCAAAPEAAVSMDWRGRPCRPRRHGGMRAAVFVLGIQAFEIMAIAAVGNNLITYVFGEMHFPLSQAANVVTNFVGTIFLLALLGGFLSDSYLGCFWTMLIFGFVELSGFILLSLQAHLPQLKPPPCNMASTSTVGGGGGCEKASGFKSTVFFLALYLVALGSGCLKPNMIAHGADQFDGATPGGARRLPTYFNAAYFSFCAGELVALTALVWVQTHSGMDVGFGVSAVAMAAGLVCLVFVAAFTKRKQVCPSNSNSVNAGACEPARLGNFRHSNKFRFLDKACIPVAPQGPNTKPESAWRLCTAAQVQQAKTLLAVTPIFACTIVFNTVLAQLQTFSVQQGSAMDTALPPGSGSFRIPPASLQAIPYAMLLALVPAYQLLLVPLMKRLTGTRSGITPLQRIGVGLGTVAFSMVAAADVERRRRDAAAEGRQMSVLWIVPQFLVFGVSEMFTAVGLIEFFYKQACAGGMQAFLTALTYCSYAFGFYLSSVLVSLVNRVTARHGGGGWLGDNDLNKDRLDLFYWMLAALSVLNFFCYLLCARWYNAGADGSDAPASAGQVASEVDDGKEII >Sspon.03G0025400-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3A:77078532:77079476:1 gene:Sspon.03G0025400-1A transcript:Sspon.03G0025400-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPAQLRGRCFNCLSTSHRVATCWLPCRCLRCHSFDHLARDCGRSNAAPNGRGHLRHLRHSHVDNRSPAQRAPFIGSPANDGVTVEVGAEASGSRCRRRQRRRCDGLRRGAKPMSGTTMVAPVDIAEDATATTILHRPLQPDPLVKAMCVCTGPPARFGSVDPMLEEFALLVAARPVAAQAPSGRSTLGQASSWDPSSTAPQCTADKTTPTSPVLGMPSAPFTGTDSPTVEDSPSPGEAARRLARFTEEIRVVRPPPLITSPPKAAATQAARAQAEQADCRPVDGPHPDIQAWRGAPHEEDEVPGTFSAAFLCG >Sspon.02G0038840-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:45799267:45807757:-1 gene:Sspon.02G0038840-1B transcript:Sspon.02G0038840-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVRETIDFSSNLLGILGTNNEFGLTKSMAPFLQATNLGEGSSNLKTNYIIKMLGLSDCADTIVGDALRRGISGGQKKRTTIGEMLVGRARCFFMDDVSTGLDSTTTFEIMTLFRQMAHLMDLTMVISLLQPAPETLELFDDIILLCEGQIVYHGPWHNVNGFFNTIGFACPSRKNVADFLQEVTSKMDQQQYWTRDEREYQYHSTESFVKCFRAYNFPTLQEDQECRKYDKQESKTSEKFDSKRIPKWYIFKACFAREVLLLKRNYPLHIFKAVQIIFLAFVLATLFFRTEMNHNDVFDGNKYMGSLFMGIAVVNFNGMTEQAVTVKRLPTFYKQRELLGLPGWALICTIFLISIPMSLMETGLWTLSTYYTIGYAPSPMRFFQQLLVLFMMHQMSVSLYRLIASIARTQVMTNMLATEILIAILILGGFVISKDDLKPWMRWGCWASPFTYSLNAVALNEFLDKRWATILGNIIAEPKHESWNKADGKCNLPFQPLSLVFRQINYFVDMPREMRKNGVTEKRLQLLRDVSGTFRPGVLTALMGITGAGKTTLLDVLAGRKTGGYIEGTINISGYPKKQETFSRISGYCEQTDIHTPYLTVYESLQFSAYLRLPSEVNSDKRDIFVEEVMGLIELNDLRSAMVGIPGVYGLSAEQRKRLTIAVELVASPSIIFMDEPTTGLDARAAAIVMRTVRNTVNTGRTVVCTIHQPSIEIFESFDELLLMKRGGQLIYSGSLGPLSSSLIKYFEAIPGVPSIKDGQNPATWVLDISSQAMEYATGVDYYEIYRNSSRHKENMALIDDLSQLRPHQKDLHFQQRYWPNFKEQCIACLWKQHCSFWKNPELNVTRFLYTFAVSITFGMVFWQIGLTIKEEQDVFNILGTAYTSALFLGYVNCSMLQPIVASERVVFYREKASGMYSSMAYVIAQVFIFSAIVYPMVGFQLTVTKFFWFVLYMILSFIDFALYGMMVVALTPNEEIALVLSFFIFMLWNVFSGFIVPRKVTHFFTSPIIGMDPWSPKLSTRLDIWGGSEHHH >Sspon.05G0002680-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:11979687:11984187:-1 gene:Sspon.05G0002680-2D transcript:Sspon.05G0002680-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lecithin-cholesterol acyltransferase-like 4 [Source:Projected from Arabidopsis thaliana (AT4G19860) UniProtKB/Swiss-Prot;Acc:Q71N54] MTLLEELIRAIELWLRIVKEQVPLVDPTLDPVLLVPGIAGSILEAVDEEGNKERVWVRILAAEHEFREKLWSKFDASTGKTVSVNEKTRIIVPEDRYGLYAIDTLDPDLIIGDDTVYYYHDMIVEMIKWGYQEGKTLFGFGYDFRQSNRYLKNMSRVGSQLPHHSKLLECPSIYELLANPNFKWKDTPLLQIWRENLDNDGKKSALLESYEPEEAIKMIEKALSSNEIIADGMHIPVPLNLDILNWAKETYDLLSSTKLPESVKFYNIYGIDYDTPHTVCYGSEKHPVSNLSHLLYAQGKYVYVDGDGSVPTESAKADGFDAVARVGVAADHRGIVCSRHVFRIVQHWLHAGEPDPFYDPLNDYVILPTLYDIEKHCEKHGDITSVTEDWEIISQSDGKTMRPSELPPMVSTLTTSREGKEGSLEEAQATWSFTQRKKGGSMWKLGLLVFAMVAKPEEPRWLSM >Sspon.01G0015650-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:45470218:45470574:1 gene:Sspon.01G0015650-1T transcript:Sspon.01G0015650-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCGSNANAGKEEDASGGQPGNGKSPHWRRREYATTTVYVVHPTQFRTVVQQLTGAPPLSSHQHAGGSGGNGAGTRTIAVAQADHGGAEQSGGTGSRRGRTLGQMYQDCLAWANADDS >Sspon.03G0026480-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:2911813:2921008:1 gene:Sspon.03G0026480-3D transcript:Sspon.03G0026480-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDVSSDLGGIRAGPVERDIEQAIFQRYPRPEKECQSFSLISHDRSLDIICKDKDEAEVWFAGLKTLISRCHQRKWRTESRSDILSSGATSPRTYTRRSSPLSSPFSSNDSIHKDGSDNYRLRTPYGSPPKNGLEKAFSDVMLYAVPPRGFFPSDSNAGSVHSMSSGHSDNTNGHPRGVPMDAFRVSYSSAVSSSSHGSGHDDGDALGDVFIWGEGTGEGILGGGGSRVGSSSGAKMDCLVPKPLEFAVRLDVQNISCGGRHAALVTKQGEIYSWGEESGGGLVMPKLIDALSHMNIELVACGEYHTCAVTLSGDLYTWGDGTFKFGLLGHGNDVSHWVPKHVNGPLEGVHVSSISCGPWHTALVTSAGQLFTFGDGSFGVLGHGDRESISVPREVESLKGLRTVRAACGVWHTAAVVEVMAGNSSSSNCSSGKIFTWGDGDKGRLGHGDKEPKLVPTCVAALVEPNFCQVACGHCLTVALTTSGHVYTMGSAVYGQLGNAQADGMLPVRVEGKLHKNFVEEISCGAYHVAVLTSRTEVYTWGKGANGRLGHGDTDDRNTPTLVEALKDKQVRSVVCGINFTAAICIHKWVSGVDQSMCSGCRQPFNLRRKRHNCYNCALVFCHSCSSKKSLKASLAPNTNKPYRVCDTCYSKLTKGLETDMHSSAKRAATVPGFSDTIEEDLETRSNAQLSRLSSMESFKHLDSRYSKKNKKFEFNSTRVSPVPNGSSHWSGLNISRSFNPVFGSSKKFFSASVPGSRIVSRATSPISRRASPPRSTTPTPTLGGLTSPRVVPNDGKPTNDALSQEVLNLRSQVESLTRKSQLLEVELDRTTKQLKEAISIAGEETAKCKAAKEVIKSLTAQLKGMAERLPGGAAKNTKLPPLPGISIPSDISSMATESVGSPSSSGEQIINGHNGLLASNGPSSVRNKTSHPEVGKNGSRPPDAESCHDAEWVEQDEPGVYITLTALTGGARDLKRVRFSRKRFSETQAEQWWQENRARVYQQYNVRVVDKSTASVDNDIAAN >Sspon.02G0022510-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:76069872:76071445:1 gene:Sspon.02G0022510-1A transcript:Sspon.02G0022510-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450 93G2 protein (CYP93G2), flavanone 2-hydroxylase (F2H), C-glycosylflavone biosynthesi [Source: Projected from Oryza sativa (Os06g0102100)] MEAAAAAASAVAAAAWPCCPAAFDRILARYGPLVYLRLGPSTHCVVVGTADAARDLLKHEASIPERPLTAVTRHLAYDDAGFAFAPYGPHWRFMKRLCMSELLGPRTVDQLRPVREAELAAVLAAARVRPTGGGHRRTAAKHVAELVGAFNVEDYVGLCAAGTCRAHARTREVRDKFDALLEIMITGKEENRRRQHGQGQTTTDNSSKDLLDILMDAAEDVNAEVKLSRENIKAFVLDIFTAGSDTTATSVEWALALLLNHPDCMEKLRAELDAVVGASRLDVPRLPYLQAVFKETLRLQPPAVFAQRETIEPVHVGATSSRPRPPSSSTSSPSAATGVLGGAAPVPARALHARRRRRRRRPQGQHMQLMPFGSGRRACPGMGLAMQAVPAFLAALVQCFEWSARPAGQSKAPPLDMEEQAGLVTARKNHLVLIPTPRLNPLPARAT >Sspon.02G0047000-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:9968235:9971649:-1 gene:Sspon.02G0047000-1C transcript:Sspon.02G0047000-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTYAVLNDRPIDQWTVTELKDELERRYLPVSGLKDDLLKRLFEVMQDEILDGEGKATGVTFPPEELKGGETPGSIDASVNQALIEQHVDKGASEVAKQGADLVISVTEAYDESTFATSEVTQVAVVGTAEANQKSLDAVAEVESSLVDTAATDETNGDGLDSASSGNTIVKEANPHSEGHGDTIEKNPEDDTNKKMVVDDEPSNLTGGDIKLGLDEHSKILKLEDEPAPPDDMLHSDHEDSDAVAVADPEDDTSKKTIIDDVSSGPTHTNVELSAKVDCKIEQEEVSILSDATALHAYPKEHIVAAAKGLALPRRTLMSGYTSDIDLDRKEESPDRNSREKPNLDRSSGDESMDEDVMESKLADSYIKPDDLIGKTEVTSEHVLKEVSLLDTHAEGSSAHSKEVVTEEKPPTPTEKRKPEDQEVIENNEPIKRQRLWNNDAVNISDQQASKITSIGTQKEVICPAPERSFGRPNTIARRNSPKERIGEVLLPVQNTTTSLRIDRFVRPFTLKAVQELLGKSGSVCSFWMDQIKTHCYITYSSVEEAVATRNAVYNLQWPPNNHNYLIAEFVDPEEVKLKLETPPPSQVPISPSTVAAPQAATFQQTEANQTLVPPHAPATSRGLLPSPAPFAKLPRTSDPGPARESLPPPPPMNLEPARTLDELFKKTQAYPRIYYMPLSEEEVSAKRAARDNGKRG >Sspon.08G0024930-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:3843814:3849945:1 gene:Sspon.08G0024930-1C transcript:Sspon.08G0024930-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LVAPSSPTPRETKPLSDIDDSEGMRFYSSGIHLYRANPSKAGQDPARVIREALAQALVPYYPLAGRLREVEGRKLVVDCAGQGVLFAEADADLTADDFGDVQCPPFPCFEQFILESTTVAGVETFEKAVCEIARGAAAPSIAPAWGREMFMARNPPRPTYPHLEYREPAGGVGVDRMLTTPPADMACVPFFFGPREIAGLRQRAPPGMRCSRFELVAARDALLPVRARRRVLP >Sspon.06G0008290-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:37364760:37371143:-1 gene:Sspon.06G0008290-3C transcript:Sspon.06G0008290-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPALDHTAATQWDCYGLRPTCYLLFSSTLNQALVEVVDKHLSILAKQHVETRFVKVHAEKAPFLTEKLRIVVLPTLAIVKNAKVEDYVVGFDELGGKDDFSTEDLEERLAKSQVIFVDGEGSAYAAKQAAATKRSVRQSDTGNSSDSE >Sspon.04G0027380-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:58675824:58689969:-1 gene:Sspon.04G0027380-1B transcript:Sspon.04G0027380-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASLAPPVVPMELHAGNRDRLVAALRRHLSASARPLHGLVLLQGGEEKTRYCTDHLELFRQESYFAYLFGVREPGFYGAIDIASGQSILFAPRLPADYAVWMGEIKPLSYFRDTYKVDMVFYVDEISQVVQDRFGDHGKPLLFLLHGRNTDSGNFSKPASFEGIEKFDTDLSTLHPILTECRVIKSDLELALIQYANDVSSEAHIETLNDGDMALMDMGAEYHFYGSDITCSYPINGKFNSSQIIIYNAVLKAHNAVISHMQPGVNWMDMHKLAERAILESLRKEQIVQGDVDDMMAQRLGAVFMPHGLGHLLGIDTHDPGGYPEGLERPKDPGLSSLRTTRELKEGMVITVEPGCYFIDALLTKTRDDPISSKFFNWQEVEKYKSFGGVRIESDVYVTAQGCRNLTNCPRETWEIEAVMAGAPWPLPASSSMVGAAENRNGISKAL >Sspon.05G0038830-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5D:54835146:54835889:1 gene:Sspon.05G0038830-1D transcript:Sspon.05G0038830-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LLPKPETRQRREAPNSFYGRSRSRIYPVAPPSDPSLLQNPNQSLAHRPNQILHLLHFQPRPHPTPARVTSRWQEAAAQARARRPAARGPAAAAEGRGGGREGDWDCGSCGNRNYAFRSLCNRCKQPRLLVDPNTPRDSKWLPRAGDWICTGNASPAALPSMITPPLNHP >Sspon.04G0016660-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:61185128:61192079:-1 gene:Sspon.04G0016660-1A transcript:Sspon.04G0016660-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding YNNSNAFYYIFFNLAISPHLLLSFLPPPPHLNSPIPSPDLAGFSSSPAAAMAGNDNWINSYLDAILDAGKAAIGGDRPSLLLRERGHFSPRATSSRRSSPGTTRPTSTRHGYAPQERNTRLENMTWRIWNLARKKKEFEKEEACRLSKRQPETEKTRADATADMSEDLFEGEKGEDAGDPSVAYGDSTTGSSPKTSSIDKLYIFTWSGRGENMELGRDSDTGGQVKYVVELAKALSSSPGVYRVDLLTRQILAPNFDRSYGEPAELLVSTSGKNSKQEKGENSGAYIIRIPFGPKDKYLAKEHLWPFIQEFVDGALSHIVRMSKAIGEETGRGHPVWPSVIHGHYASAGIAAALLSGALNLPMAFTGHFLGKDKLEGLLKQGRQTREQINMTYKIMCRIEAEELSLDASEIVIASTRQEIEEQWNLYDGFEVILARKLRARVKRGANCYGRFMPRMVIIPPGVEFGHIIHDFDMDGEEENPSPASEDPPIWSQIMRFFTNPRKPMILAVARPYPEKNITTLVKAFGECRPLRELANLTLIMGNREAISKMHNMSAAVLTSVLTLIDEYDLYGQVAYPKHHKHSEVPDIYRLAARTKAAMNGLPIIATKNGAPVEINQVLNNGLLVDPHDQNAIADALYKLLSDKQLWSRCRENGLTNIHQFSWPEHCKNYLSRILTLGPRSPAIGNREERSNTPISGRRQIIVISVDSVNKEDLVRIIRNAIEVIHTQNMSGSAGFVLSTSLTISEIHSLLLSGGMLPTDFDAFICNSGSNIYYPSYSGETPNNSKITFALDQNHQSHIEYRWGGEGLRKYLVKWATSVVERKGRTERQIIFEDPEHSSAYCLAFRVVNPNHLPPLKEYLCIRWGIEVPNVAVLVGESGDSDYEELLGGLHRTVILKGEFNTPANRIHTVRRYPLQDVVPLDSSNITGVEGYTTDDLKSALQQMGILAQ >Sspon.05G0012590-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:39112761:39116423:1 gene:Sspon.05G0012590-1A transcript:Sspon.05G0012590-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLKAARATDVPSAMPEPDIAADLDGLAAASAASSCSCIRAGGAEAATVTTTATTTAAEGRPPLVVIGHRGKGMNTLVSTDPRLRGDVRENTLRAFNDAAASHPAVAYVEFDVQVTKEGCPVIFHDNFIYTQQDGEISGKRVTDLDLAEFLSYGPQREQGKVGKPLFRKLKDGRILKWDVRSEDALCTLREVFRGVHRRVGFNVELKFDDDLVYTEDRLTCILQAVLKACGKAKEPQAASLCNISEENAYLLIAPLLGVQVVFDHADDRPIIFSSFQPDAAQLIRKLQDKYPVFFLTNGGTQVYADPRRNSLEEAIKLCVAGGLQGIVSEVRAILRQPSAVAKIKEANKLSLMTYGQLNNVPEVVYVQHLMGVDGVIVDLVREIADAVSAFTATAVHESGSQDGGGVVVGERMESAAVAVAGTPSFSPREMSFLLRLIPELVQ >Sspon.07G0008030-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:17775471:17782007:1 gene:Sspon.07G0008030-3C transcript:Sspon.07G0008030-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDGRGEKKDADAAAAKQRGGFRTMPFILANDFCDRLASVGFTTNLISYLTLQLHLPLVQASNVITNYNGTANLTPLIGGLIADSFAGRFWTITFGSIIYQLGMVCLTLSAALPSLHPPPCAKHAADCQRASSYQISVLYLSLLCTSIGTGGTRPCTMAFGADQLELNAHRHRGAKPKWSFFNLYFFAVELAKLAAVTAVVYIQDNVGWGWGIGVPTVAMVAAVITFVSGYSLYIRMPPGGSPLVRLAQVATAAYRKRKAVVPDPSLLYEDKELDAGISTTGRLLHTDQLKFFDKAAIVTDGDVLPSGQPKLWRLSTVHRVEELKSIIRMLPICAAGIILVTSASHNHSFAIQQARTMDRDITPHFKIPPASMLIFTNLGMLLTLAFYDRVLVRVLRRFTGRPNGITHLQRTGVGLTIAMLSNVVAAVVERRRKSVAAASGLLDAPKATLPMSVFWLVPQYAIHGIANAFMDVGRMEFLYDQAPESMRSTATALYWLTFSIGSYLGTLLVTIVHDKTRRSGQWLPDNLNRGKLDNYYWLVVALEVVNLVYFFVCVKYYTFKPLEMVSEEEAVELDHHGNGTDDAKKQGASFK >Sspon.01G0019330-4D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1D:70016750:70017292:1 gene:Sspon.01G0019330-4D transcript:Sspon.01G0019330-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DNKLLSQCGLSSGHTLHVLVCPTDKLRIFVDVDGERTINLDVKGWYTVADVKLMIDTLEGLPASTQILMRTQPGGANTVLKDIETLQNQRIKNNDIVTLYLKVNFFIKTYEGRTLMMSMRTCDTAEEVMKVIEEKLEVNRGVYYLHYRGRVLSLGDTLRKHKIGNNSTVDVRLRNSYVPQ >Sspon.05G0023100-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:5091923:5092503:-1 gene:Sspon.05G0023100-1B transcript:Sspon.05G0023100-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVAAVSAARWVLSKALGPAWAASTGLGPNVETLKMELLYAQGMLDNVQGREIRSPALKELLLKLQQLAYGADDVLDELEYFRIQDELDGTYHATDVHGIILNTRHTARAVARKLKFSGSREASRGDPDPDEHEDGAKQGCLSACYPLHTKFTQHLERPEWRVHVKGGLDCSPGCTYYR >Sspon.07G0021280-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7D:2414963:2415431:1 gene:Sspon.07G0021280-2D transcript:Sspon.07G0021280-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVILGSTRRLRWLLLLQSLLPSTQWSYKRDQKLILALILAPSNNANGVVQFGGVDPPAISDPIIADIRVVTV >Sspon.07G0028460-3D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7D:80425814:80427210:-1 gene:Sspon.07G0028460-3D transcript:Sspon.07G0028460-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATSSFGDDADLMVADDLYLIAVCQGINQADATGLMAISDEEYAAELQLQEVLVSSAMAVIVNSGMAATAAQSSLMPQLDSAVVVHTAINDTAAAETPVLAVAEYSCCSSSSSPPPPFAVAAPPTGEDDATALATCKICLDYVLPSHLHHASRGCAHAFCAACLSGYISAKTQGGRISDIKCPGDGEGCCNVLDPELYRAIIPGEAFEALCAVLCKSMVEGAGNFCYCPFNDCSEILVDDRGGDVPESECPACRRLFCARCRVPWHAGISCAEYGQLAPGDKGKEDLVVLEMAKGEKWKRCPNCMFLVDKRDGCVHMTCRCGFQFCYACGGPWGQSHHSSCNTA >Sspon.03G0004340-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:10936878:10942006:1 gene:Sspon.03G0004340-1A transcript:Sspon.03G0004340-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAQKRRLPSPSSKPRDDVAATASDAAAGGSGGVGEGRGGRPPLPSRGAAKRRLTDPAPQHGLEDDSDAEDDGGADSDSEFSQSDGGGTDDNAYALEIHEMKYSTAWLQSQSPISVFFCLRFMLVKLAEIRKEVQCPICLGIIRKTRTVMECLHRFCRDCIDKSMRLGNNECPACRTHCASRRSLRDDPKYDALIAALYPDIDKYEEEELAFNEQERIRNQKIQETIEETFRRQSEAIGKKRSTAKATATAFSRKYRRNMRTRGRGRTITPDIALTGSDEEDREEENADEVTKAPSSADDYSPDLRQKRCRKTSASQASPARTIGSNDHSFEENDELVGGKEFLATSPLRGEMLAWGKNGTRSQNRHGSASSNGRIGRSGRIAKLVDHLRNVDEMDKEFQLYLVLLPVDGQTIPNLEKPYLSCRSTLSIQHLVQVIDFSMDLFLDFLNIPSLPGVAICFENSRLDQF >Sspon.01G0032730-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:113549249:113551924:1 gene:Sspon.01G0032730-3D transcript:Sspon.01G0032730-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MIHLLFLVLFAEGAVALLLMVKVGPLRELAMRGVDQVKTGKGPATVKTLACTLSVILMSNVASILKIQNRGLKLGTVSPMDQVLWRTHLLEASLIGYTLFLAFVIDRLHHYLRKLMTLRKTSSTSREEVEKLQMENRSLREKEEKSSSETKKLQREIAKLNESMKKLKSETEEHERKASVAEAHVNALQKQSEELLLEYDRLLEDNQVLQTQLLSRG >Sspon.01G0033590-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:113104989:113109164:1 gene:Sspon.01G0033590-1A transcript:Sspon.01G0033590-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVVVAPEVAAALARCGVVVALESTIICHGMPYPKNLQTAMEVEAIVRDNGAIPATVAILDGVPHVGLNSEQLKRLAISGRQFQKTARRDIAHVVASGGNGATTVSAIMFFAYKVGIPIFVTGGIGGVHRHGEQTMDVSSDLTELGKTPVAVVSAGVKSILDIPRTLEYLALVNANKNLHLGSGILIAVPIPKQHAASGKIIESAIQTALKEAEYSRHLHSWDKRIIGNAITPFMLERVKELTGGSSLEA >Sspon.03G0006410-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:17566639:17567831:-1 gene:Sspon.03G0006410-1T transcript:Sspon.03G0006410-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAEDGYCSSDSPRAESPDEPLLPAAGADADAESPRAGAAGLNKRERDLPASPSSPLPPAKRSRRSVEKRVVSVPLAECGDRPRGATGEGPPPSDSWAWRKYGQKPIKGSPYPRGYYRCSSSKGCPARKQVERSRADPTVLLVTYTFDHNHEAPQPKSSGSSCHQQGKPSPRPPAPKPEPVVEQDELGPERELTETEVAEQEQEPEEEREQKMVPSLAGPEAEAEATATVAPAAEEDESFDFGWFDQYPTWHRSALYAPLLPPEEWERELQGEDALFAGLGELPECAVVFGRRRELSLAATAPCS >Sspon.02G0008620-2D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2D:18562955:18563389:1 gene:Sspon.02G0008620-2D transcript:Sspon.02G0008620-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MISAKRLVQMARKWQRIATLTRKRVMPISAKEREASCNTSTSVAGKGHCIVYSADGQRFEVPLVYLGTVVFCELLMLSQEEFGFTSDDGKIMLPCDAAVMEYVMCLLRRDASEEVVRAFLSSMVRPCHTVSGVAPWSQRLAVCV >Sspon.01G0007480-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:32421765:32427724:1 gene:Sspon.01G0007480-2B transcript:Sspon.01G0007480-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTCFSLEGGGSERIAPPQRSQISDPEDEQVEPTVPSEPVIPVPGSRSPAPGNAAPVLAFLRFGEMAESVVMVETPHNDFITDQRPERHIRLRSFTYDEVCAATHGFEVDRFLGQGGFGQVYRGFLDSTNQVPGQEVAIKRLDLQGQQGHREFVTEVLILSNVHHPNLVKLVGYCTSHGQRILVYEYMPLGSLNSHIHDLPPGQQPLDWSTRIKILLGAAKGLEHLHHNLTPPVINRDVKCANILLGAGYHPKLSDFGLAKLGPTGDNTHVSTRVMGTPGYCAPEYLMTGKLTVKTDIYSFGVVMLEVLTGRMARDERLPESERNLVAWDEDQERVFSSTPE >Sspon.07G0004430-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:10127698:10130296:1 gene:Sspon.07G0004430-2B transcript:Sspon.07G0004430-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVTKFIKCVTVGDGAVGKTCMLICYTSNKFPTDYIPTVFDNFSANVSVDGNIVNLGLWDTAGQEDYSRLRPLSYRGADWMPELRRFAPNVPVVLVGTKLDLRDHRAYLADHPGASTITTAQGEELRKQIGAAAYIECSSKTQQNVKSVFDTAIKVVLQPPRRREAMPARKKNRRGSGCSIMNLMCGS >Sspon.08G0009900-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:37207435:37211983:-1 gene:Sspon.08G0009900-2B transcript:Sspon.08G0009900-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHDRSHKPQPAEAGNGGPAGEGGGNVDRVLFKNLVEMVPLVESLMDRRVNPAYSRRASLVYTPAPAKKASDLKTVKLPQSVSAKKRRDPGDAAKKSTPDSNVDNASVVPLSLSGAENKPKDEVAVLHEQIDDLQKKLLEKEEALRSAESSVTEMNAAYATIDELRRLVADKDALIRSTNSQLHDAKIMLADKQASLEKLEWEVKMSNKKVEDLQGDMSNMGFEISSLMAFFEKISENASGDSYDDTIPSSYELETLQSTSEIDKIEVDKIEQERITYAEALAAARENPDEEHLNIAAEARSRLQVLVL >Sspon.07G0001790-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:3653818:3654495:1 gene:Sspon.07G0001790-4D transcript:Sspon.07G0001790-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDGGDYFTFAAAQPPPRLLSGGGGGGCMGPPLLYPIFGRPRSPPRAAPAPAPEPETATARVPLGRLLLVDRDLPSPPASADDVDDDEGLDSVPAEMFCPWSPGWSAAAAAPSPARCKKSGSTGSVLRWRPWLVVGRSQSDGKEKFVFLSPAASSSSGRSSGSRNQKGICAVAAAAGGGAHAWSCYVNDNRGGGARRRRSFLPYKQDLVGLFANATVFRRSYLPF >Sspon.07G0010590-2D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7D:23605897:23606406:1 gene:Sspon.07G0010590-2D transcript:Sspon.07G0010590-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVAGAETTKKALRTTASDGDGASVHPPEPQQQSAPLPAAGVAGNAADGSAAVHHQSCGEEDDQDDEQVERFYTLLANIRALRGLYRAGTGPTASDRGSRKRAREAEAALWTPAFQLEDFEEEVNQGAAAADARCAVMNQGASGGVRGGPLPPPPQPTTTTRMTGSRK >Sspon.06G0013720-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:71082388:71086768:-1 gene:Sspon.06G0013720-1A transcript:Sspon.06G0013720-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Deoxyhypusine synthase [Source:Projected from Arabidopsis thaliana (AT5G05920) UniProtKB/Swiss-Prot;Acc:Q9FI94] MAGAAGGGVVRDVEALEGVRSIVLKPSESLDESRFTRIAGADFNDPGLGLEGLLASLAHTGFQASNLGDAIDVVNQMLDWRLSHEKPSEDCDEAELDPKYRESVKCKIFLGFTSNLVSSGIRDIIRFLAQHHMVDVIVTTAGGIEEDLIKCLAPTYRGDFSLPGALLRSKGLNRIGNLLVPNDNYCKFENWIMPLFDQMLLEQSTENVWTPSKVIARLGKEINDESSYLYWAYKNNIPVYCPALTDGSLGDMLFCHAVRNPGLIIDIVQDIRLMNGEAIHATPRKTGIIVLGGGLPKHHICNANMFRNGADYAVYINTAQEFDGSDSGAQPDEAVSWGKIKGSAKPVKVHCDASIAFPLVVAATFARKVHGSK >Sspon.02G0014180-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:38012544:38016650:-1 gene:Sspon.02G0014180-1P transcript:Sspon.02G0014180-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIANRIVLLLLLLLPGITVAQNASGAGTHEVHVGVILDLGSLVGKIAITSISLALEDFYAAHQNYSTKLVLHIRDSKSDDVQAASQALDLLENYNVEIIIGPEKSSQAIFISELGTKSHVPVISFTATSPTLSTSSLPYFVRATLNDSAQVSCIASIIKAYGWRKVVSIYEDTEYGRGIIPYLVDVLQEVNVQVPYRSVIPPSATSEQITKELYKLMTMQTRVYIVHMSSMLASTLFLKAKEIGMMEKGYVWIITGGVTNLIDSLHPSVVESMNGALGVHFYVPKSTELNNFTTRWNMRYRIDNPTDPPSKLSIFGLWSYDTIWAVAQAAEKVGLANATFRKPISKQKTTDLETLETSSNGPELLKEIIQSKFIGLSGMFDLSDRQLVVSAFQIINIFGRGWREIGFWSAQNGLSRKLKQSQPTTYSISNPDLNPVIWPGESTDIPKGFEVPASGKKLQVGVRPSGYQQFINVEKDQITGATKATGLSVDVFEEAVKILPYAVPFEYVLFGSPEDTSSRSYDDFVYQVHLKIYDMVIGDITIRYNRTFYADFTVPYTESGIAMVVPVRDSINKNTWIFLKPLTPGMWLGSIAFFIYTGVVVLSLEFLGNNQNVRGPIPRQLGILIFFSLFEE >Sspon.05G0002940-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:3748065:3749637:1 gene:Sspon.05G0002940-2P transcript:Sspon.05G0002940-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASALLAGRGGAHHHSWGETRAPLAPIPPNPSPSQPHPRADGSKSKSKPRAAAASSPAAGYVTFRPSSLGHREARALRDRLAGELGQVRALLSRIDTWQQQGPPPPPAKQQLRGEMRKRCGQILTRLRKDKRSVWFNAPVEVERLGLHDYHAVIKRPMDLGTVKEGLAAGRYASHDDFAADVRLTFTNALRYNPVGHEVHTFAGALLAYFERMYKEALANFEEECRRLEPPRPVAAELPPPLAAEPVEAKVKPRAGNVRMRKPKAREPNKREMSLEEKNMLRIGLESLPEEKMHNVLQIVRKRNNNPEMLGDEIELDIDEMDVETQWELDRFVTNFNKALKKSQRAAMMNGGVADVTSASVVAEDDTAPASDVPALIDNDDAESEKPVMSTAMAEQVDEYVDIGDEMPTATYQSMEIEKDAEGATGSGGSGSGSSSSSGSESRSSGDSASGAGNAHSLA >Sspon.06G0003530-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:8672836:8675538:1 gene:Sspon.06G0003530-2B transcript:Sspon.06G0003530-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MERQSSIRLGALEKLKSFRGMEKQKSFRGIMSLERRSRDSPGKRGDTPLHLAARSGSVAHAQRILAELDRALVAEMAARQNQDGETPLYVAAEKGHAEVVREILKVSDVQTAGIKASNSFDAFHIAAKQGHLARMGHVEVVRSLLNKDPGIGLRTDKKGQTALHMASKGQNAEIVVELLKPDALVIHVEDNKGNRPLHVATRKGNIIIVQTLLSVEGIDVNAVNRSGETAFAIAEKMNNEELVNILKEAGGETAKQQVHPPNSAKQLKQTVSDIRHDVQSQIKQTRQTKMQVNQIKKRLEKLHIGGLNNAINSNTVVAVLIATVAFAAIFTVPGNFVEDLSQAPPGMSLGQAYVASNPAFIIFLVFDALALFISLAVVVVQTSLIVVERRAKKRMVFVMNKLMWLACLFISVAFIALTYVVVGHDDLWLAWCTMAIGTVIMLTTLGSMCYCIIAHRLEEKNSRKIRKKASASQSRGSWSRSVDSDEEILNSEYKTKMYAL >Sspon.05G0007530-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:15258809:15264438:1 gene:Sspon.05G0007530-3C transcript:Sspon.05G0007530-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQVAAAAVPNGSPGAVPAVVSPGAVGVGVGVAQPLPTTSLYVGDLEGSVSDSQLYELFSQAGQVVSVRVCRDVTSRRSLGYAYVNFSNPVDAARALEVLNFALLNNKPIRVMYSNRDPSSRRSGSANIFIKNLDKTIDNKTLHETFSSFGTILSCKVAMDEAGQSKGFGFVQYEKEEAAQNAIKSLNGMLINDKPVFVGPFLRKQERDHSFDKTKFNNVFVKNLSESTTKEDLLKIFGEYGSITSAVVMIGMDGKSRCFGFINFENPDAAAHAVQELNGKKINDKEWYVGRAQKKSEREMELKRSFEQSLKDAADKYQGLNLYLKNLDDSIGDDQLRELFSNFGKITSYKVMRDQNGLSKGSGFVAFSTREEASQALTEMNGKMISGKPLYVAFAQRKEDRKAMLQAQFSQMRPAVPMTPTLAPRLPMYPPMAPQQLFYGQAPPAMIPPQPGFGFQQQLVPGMRPGGPHMPNYFVPVVQQGQQGPRPGMRRGAGAQGQQPVPPFQQQILPRGRMYRYPTGRNMPEAPAMPGVAGGMIQAYDMGGFPVRDAALSPAAQIGTLTSALANANPEQQRTILGENLYPLVEQLEPNQAAKVTGMLLEMDQTEVLHLLESPDALKSKVAEAMDVLRNVAHQQNPNTPTSQLAALSLTEGIIS >Sspon.01G0009790-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:27639791:27644502:1 gene:Sspon.01G0009790-3C transcript:Sspon.01G0009790-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSAVLRTILVTGGAGYIGSHTVLQLLQQGFRVVVVDNLDNASEVALVRVAELAGHNGANLVFHKVDLRDRHALEEIFSSHRFEAVIHFAGLKAVGESVHKPLLYYDNNLIGTITLLEVMAANGCKKLVFSSSATVYGWPKEVPCTEEFPLCATNPYGRTKLVIEDICRDVHRSDPDWKIILLRYFNPVGAHPSGYIGEDPCGVPNNLMPYVQQVAVGRLPHLTVYGTDYNTKDGTGVRDYIHVVDLADGHIAALRKLYEDSDKIGCEVYNLGTGKGTSVLEMVAAFEKVSGKKIPLVFAGRRPGDAEIVYAATAKAERELKWKAKYGIEEMCRDLWNWASKNPYGYAGSRDNGK >Sspon.03G0018600-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:44112054:44114834:-1 gene:Sspon.03G0018600-4D transcript:Sspon.03G0018600-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEAPPSASSSSSSSAPRPPAAAASGSGGGAAGSAESYIGSLISLTSKSEIRYEGVLYNINTEESSIGLRNVRSFGTEGRKKDGMQIPASDKVYEYILFRGTDIKDLQVKSSPPPPPPPQAASLHNDPAIIQSHYSQPASTSSSLPSAGGAVLPDLSSQAVQYGLQRPTFQSNLPLYQPGNAPWGSSVAPPAGNVPWGSSVVPPAGNASTLSVPSMYWQGYYAPSSGLPPHLQQPPLLQPTPGLSVPQNLQYPGLNPSLPSGPQKLSELQPSLMPPITSQGPSTGILPATTAPASATLLAPESSKPLLPNMGSLFTPPATSLGATFPFPSQPTSVAETSATVSHNLTSFGSNKATALPGSTLAYQTVSQSVSSTIAPSSSAQVEMPVPLLAPSGQLLQNISSVLSSSHSMQAPLQVASKEVKPVEPKAKVAEPLLPDPLLPDPPSRALPENKEPILPLPKQTPQK >Sspon.01G0011330-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:31059512:31066534:1 gene:Sspon.01G0011330-1A transcript:Sspon.01G0011330-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAGNGRRQAALGALAVLPDEVLCAVVDLLPPADIGRLACVSRYPPGLPAPPLPFASSSVFIVSGNVIKIYAEGGLVYAVHGLGTELEFYDLLQKSGSPLINHIPEIIASGFLEYKDDIYRTVPWNGKGIPEILVKHYPLEVSYANSCFPLGLWSKQQFGMSSSADVSDRPIWPYMVTRKCKGDIFARIRDMLSKTDVLHLASSLGVQMRNIHLLSLPPVEHVSESGNNDVKEVVGTCDDATVLPEWQQLVYTLNRRKQNVKKHLANWGNSVPQVLVEKAEEYLPPNMGFLFKFVKDDGDLVYPCPSWIHSDIMDDNILIEGITKLNSSGERERVYDADQEKMNAIHIIDFSDLSIGDPICDIILLHLDIFRGDTDLLKEFLRIYQLPLLRGESITDVYKSVQNSKFSRASYRAMCYCILHEDNVLGAIFSLWKELRAATSWEDVENFVWGELNRYQESCAL >Sspon.08G0017570-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:3957428:3959121:-1 gene:Sspon.08G0017570-2C transcript:Sspon.08G0017570-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRSTTARAVPLCLWLALGVATLTLPQAHAAEADADLTKITSKVFFDIQIDGKPEGRIVIGLFGKTVPKTAENFRALSTGEKGIGAYGEPLWYKGSTFHRIIPGFMIQGGDFVNHNGTGVDSIYGKDVWPDENFKLNHAEAGTVSMANYGKDTNAGQFAITTVEGSRLPKKLDGVHVVFGKVVSGMDVVKKIEAQGQPSGVPKAKVLIADSGELPGSDEL >Sspon.06G0007080-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:26566885:26570909:-1 gene:Sspon.06G0007080-1A transcript:Sspon.06G0007080-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:LSF1 [Source:Projected from Arabidopsis thaliana (AT3G01510) UniProtKB/TrEMBL;Acc:A0A178V9M4] MAPHLLTPPALTITCAAAPGRLGGAGSADPRAPRPRAPSRTFFCSSPGRARRGMRRRKGLSVAAAAAEGAEPGGPAGPMRLNEYMVAVDRPLGVRFALGVDGRVFVHSLRKGGNAEKSRIIMVGDTLKKAGGDGEGLVTIKDLGDTEIALRDKAGPCSLVLERPFAPFPIHQLHQNEDYHILFNRGRAAVASWNSAVLSTKLNGSFTGDGKSGFAVFSPRLLSSQGWALLSNEKGGLNQSSTNLANRVSEIVGLYSDEDDANAEWAHGSFPLEEYIKALDRAKGELYYNHSLGMQYSKITEQIFVGSCIQTEKDVKMLSETMGITAVLNFQSESERINWGINSETINSSCRENNILMVNYPIREVDSLDLRKKLPFCVGLLLRLIRKNYRIYVTCTTGYDRSPACVISYLHWVQDTPLHIAHKFITGLHSCRPDRAAIVWATWDLIALVENGRHDGSPTHSVCFVWNSGREGEDVELVGDFTSNWKDKIRCNHKGGSRYEAEVRLRHGKYYYKFIAGGQWRHSTSLPTETDEHGNVNNVIRVGDIARIRPAPSQLHIKDPTVVKVIERALTEDERFSLAFAARRMAFAICPIRLSPKQ >Sspon.01G0040190-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:35203625:35205482:1 gene:Sspon.01G0040190-3D transcript:Sspon.01G0040190-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSDGGQSRNRLVVGVLSVCLLVAMVIGTVVFFVNERAGYDSESKRNMIKTMRSVELFCAPADFQGTCRDTLESALSRTDPAEHPHAAAAAAITAVERALADGFNRSSVLDAVRQSNDTLVWEAIHDCRMLLEDCRGNVERALHSIAWRGVDGPAQDLQAWLSAAREISSNALAIIKQGATLASMLDLNGGSPDDVNGKGDRQLEEEGESASSSSSVPTWVPNEERKLLGVKGGRRKAALTPNVTVAKDGSGDFTNISAALAAMPEKYSGRYFIYVKEGVYDETVNITGRMANITMYGDGSKRSIVTGSKNIVDGVRMWRTATFAVDGDSFMAMKLGIRNTAGVEKQQALALRVKGDKAIFFNCRIEGNQDTLFAQAYRQFYRSCVISGTVDFIMGDAAAVFQRCLLLVRQPRRGQPAVVTAQSRRDHQQTTGFVIHRSQIVADEELASSNSNKSGSGSGSAPVKTYLGRPWKEFARTVVMESIIDGFVHGQGYMPWEGKDDLGTAFFGEFRNAGDGANVTGRKEMRGFHVMGKDKALQFTVGHFLHGADWIPETGTP >Sspon.04G0012730-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:52379516:52384308:-1 gene:Sspon.04G0012730-4D transcript:Sspon.04G0012730-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSPDTIRTAIGVIGNGTALVLFLSPVPTFIRIWKKGSVEQYSPIPYVATLLNCMMWVLYGLPLVHPHSMLVITINGTGMAIQLTYVTLFLLYSAGAVRRKVVLLLAAEVAFVGAVAALVLTLAHTHERRSMVVGILCVLFGTGMYAAPLSVMKMVIQTKSVEYMPLFLSLASLVNGICWTAYALIHFDLYITIPNGLGVLFAVAQLVLYAIYYKNTQKIIEARKRKTDQVAMTEVVVDGSGRASNNNNGNTTY >Sspon.08G0014130-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:53532594:53536364:1 gene:Sspon.08G0014130-2B transcript:Sspon.08G0014130-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQTLKSVSSNLLERFIDRAYRFSEQPSLNEGNFRPVNEIDDAVLLNNLDGEVPGDFPEGVYIRNGPNPLNPTQTIADSIFGSTSYMYYEGHGMLHAVYFNKSSLGEWKISYRNKYVNSDTFQLEREKNQVAFVPSVDGQPYATLVAFVLNILRFGKAVKDSANTNIFEHAGRAFAVTENHLPYEINISNLNTLGPYNINGAWNQPFTSHPKKIHGSGELVIMGTNTEKPHYVLGVISSDGERLVHKADLKFEEGKLIHDIGVTKRFIENDMNGKSRIGVMPRYGDAESIIWFDVENHCSYHLFNCFEHGNEVVIRGCRLLGSIIPSGRHRVDKLKWYGRAFLQPNKDSEDFDPSLDGTLFSRPYEWRLNLEKGSVHEGYITSEKVAMDFPVINDKFIGTQNKYGYAQVADSLATSKTGLFKFKMIAKLHFDMPDKENKQLISVEYHALQEKQFCSGVQFVAKQNGIDEDDGWIVTYVHDEGTNVSQVHIIDAKRFSEEPVAKITLPQRVPYGFHGNFFYTSNQR >Sspon.04G0002140-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:6645296:6647174:1 gene:Sspon.04G0002140-1A transcript:Sspon.04G0002140-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endoglucanase 16 [Source:Projected from Arabidopsis thaliana (AT3G43860) UniProtKB/Swiss-Prot;Acc:Q8VYG3] MRALSHGSSMRAHVALALAALVLAGDALHPALAAAGFDYKDALSKTIIFLEAQRSGKLPPNNRVKWRGDSALDDGKLANVDLTGGYYDAGDNVKYGLPLAFTVTTLAWTALAFKPELEQTKELEHVNEAIRWGTDYLLKCAARKNKLWVQVGDPNLDHQCWVRPENMKAPRTLYEIDEKTPGTEIAAETAAALAASSMVFRNDKKYSRALLNKAKLLFLFAKAHQGSYDGECPFYCSYSGYNDELLWAATWLYLATKRQVYADFIAHEAISSSVAEFSWDLKFPGAQVLLAEFNMTSGGGAQNFKVQADNFVCAVLPDTAFHQVFITPGGVIHLRDGANTQYVTSTSFLFVVYSDLLLRTGQSVMCGKQPVAPARLREFARQQMDYLLGANPRHSSYVVGFGANSPTQPHHRGASTPVLAPGTDVNCGLSFGEWMAPDKPNPNELTGAIVGGPDKNDGFVDKRGNSSYTEPCTYINSLAIGPLAALAVRGAQLVATH >Sspon.06G0013330-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6A:69260980:69262245:-1 gene:Sspon.06G0013330-1A transcript:Sspon.06G0013330-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GAACFSIPGLPEDATCLGAAHDGWLAIDCTDDVVRRTTNADRWCKDTGEALIYPRRNVKHKHTYLLYNAFSNVTVPLPELDAVVGYMAETFNIRKVLMRSPAPDDFIAITTNNCNYNIILCRPGKGTFVLPDYRIIDVVFLRDTLYGITSGEELLAFHLGEYEDGRPNVTRIELVIKNPLSRYYYGEFPWSWPQDVVDTSDNEVGQDNHNNGGKEVSDSSEEDEDLGDDAEEPNQEENDNDADEGYEEEEEDRNEDEELDDDELADQALEDIFNGDDGVPINTEPEEYDEAFMRYDEEVPYEPKDEILISRYLVKSRTGELLMVRHRYLLSPYSRSYTHDVEVLKADLSKGKWAASDGLPKGEALFLSQSYSKCTQAHGDIQEGFVYYLTLVDEAYDMTSCTTCNIAFGWPRNADWSVIPS >Sspon.04G0024200-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:18155358:18159145:1 gene:Sspon.04G0024200-1B transcript:Sspon.04G0024200-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNQIPLKIFYGPGGPYALFAGRDASRALAKMSFEASDLTGDISGLGPFEVEALQEWEYKFKSKYVTVGIIKKTVPLSEGDDTARNAVTTERDIDASTIESDNVPEPKETGMTNQGSVPEKITESLDVDVNTSCHEDTEEKANELPDSDVTNTSSQVDAVEKPEETPNAVVKNRRRVEDAVEPKETPQGVDGKDTCEPEEATEKPVEAADAVELKNRTSHEDAKQPKETWNEDEKDVSSHQHGEENPKETSDLEVEKQEQPDPPRQDKQQGYECPDDGVVLQLGISSWNEARYTTLAVASASSSSSSSSPASRATSSTKRVPEALLSLSVTAWPLSMPS >Sspon.01G0042700-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1C:64767009:64767578:-1 gene:Sspon.01G0042700-2C transcript:Sspon.01G0042700-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAGFSGRRRRFDVACGVLSRCVKKAEAATVGKTMAAAPAAAAAPTTAPPTTMPLMPGADVTPDVREEEPSEATTQLAPLTIMYGDRVVVFDDFPSYRVAELLVLVAERERPDLPGAGGTTTDIPVARKASLQRFMEKRRDRLEARAPYAARPASSESNKERRNLQAGEQDAGSSWLGLGVPGGGCAC >Sspon.08G0002380-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:7101290:7104335:1 gene:Sspon.08G0002380-1A transcript:Sspon.08G0002380-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADALFVVLRKVALSLGEGALEKIAKEVVEAAPLMTDFEHSMKQIEGELSVLQAFINQVSAQRVSDKAYDAWLDQVRDVAHEVEDIIDEYAYLTAQAVDTSIFFKRKFHQIKNIAAWQKFPIRISQVEARIQRLAEMRNRYGFSVSELDRNNNFQLSSQFCLSDSAYLIDNSEIVGNVDEIGKLTQCLLEEKQDRSLIAILGMGGLGKTAIASTVYKNQKIITSFDCHAWVIVSQTYQVEELLRELINQLIIGERASMPSGFMTMSRMRLVEVIQSYLRDKKYFIVLDDVWDKDAWLFLNYAFVRNRCGSKVLITTRRKDVSSLAVDNYVIELKTLKHAESWELFCKKAFHASEDSICPENIRYWADKIVAKCQGLPLAIITIGSILSYRDLKEQEWAFFFNQLSWQLANNPELNWISSVLKLSLNDLPSYLRSCFLYCSLFPEDYKIRRKLISKLWIAEGLVEERGDGTTMEEVAECYLMELTQRSLLQVTERKACGRARTFLMHDLMREVTSIIAKKEKFGIAQGHGGAGTTQVAHETRRLCIQRGAQTLKSLSSSWLRSFILFDTEVPCSWIHDILSRFRLLRVLCLRFANIEQVPGVVTELYNLHYIDLSYTKVKMIPASFGKLVNLQVLDLRDTYVKELPLEITMLTNLRQLQVCAVYDILLRSLNCLSATKIPGNICHLKNLQALQVVSANKDLVSQLGNLKLMRTLGIVEVRQSYIAELWNSLTKMPNLNRLFISTCDVNEILNLKTLKPLPNLTSFNLSGKLEEGVLPLIFSVKLKLLKLDWSSLKKDPISSFSQMLNLVDLLLTGAYAGEQLTFCTGWFPNLKSLLLADMEHLNWIEIEDGTMMNLHALLLAGLRNLKAVPEGIKYIRTLDEMFVTDMSNEFIIRLHGSDNHIVQHIPNINKFDSSDSRAVNNAIYLPWLAKKFGSGAI >Sspon.01G0001630-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:41687866:41688693:-1 gene:Sspon.01G0001630-2B transcript:Sspon.01G0001630-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSLSSQKKDGNVYKRRKMDKDSNSPIAFEEAKEMAAQSCTISDDHLSLLLPTISSEALLLNSTARMAGPILDCEEPADVSLEPNSGTNDRCFVSSMSPSSMTLGKKNAAECSSSHIGPIESITEHVSPRDLCIAILIKDGLINESRTRVTCKEEFSDNDANPLLACNNCGCLEHSSKMLICDSCEAAFHLSCCIPCIKELPTDEWYCAPCLCKKPKSLYGKLSEGKIKPSRNTNTRPHGMSHIEYMLKDAEPYVTGVRIGRDFQAEVPEWSGPSS >Sspon.01G0014590-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:42150591:42152856:1 gene:Sspon.01G0014590-1A transcript:Sspon.01G0014590-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLFGKSTSKQTAKLKSLVKLAVARLAVARRPRLGRRSIARARRCRCQSSSIGHLDRALVRAEQVIEEDNMLEALDVIELYCKILIEQAAQLEKPKYVQSLPVSSSTAKSSSSRPRSWRNPRDQGGGGGLMFASARCGELPELLDARAILADKFGRDFARAAKEGAHGVVDPTLVRKLSGERASLEQKRRLAKEIAAENDILLEFPKSPVEIHQDGRTTSQINSVEGIQEVPDARMAAEAAFASAKFAAMAARAAVELSRSESHGKGSRGGGYDYDKEPSVQTSAATEQGTAPPWWRLHKSPSPSPSPSWSDRSTATSVGSDAAHKGKEVVFDQSDEELEDKVTQDLVRPPSQRRPSYRRTASTVGTGVGPWHGDAGSTGAPGNNTPPHARPQHRRHATEFAAGNAHAHALAGGQRGQYVAPPYRRTSTASTGRDSDAARDDGGAYESSSYVHPPYSRMVSALERSNEHIARHEEVRRMGTDARALQERVYGAAPGQGHGPLNPERAIS >Sspon.03G0036050-2D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3D:51568565:51568972:-1 gene:Sspon.03G0036050-2D transcript:Sspon.03G0036050-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GEAEAEAAVDALVEAFLEEKARGGGFVDGEEDVYKLTRLLRALVAKARGRAAWKVYEAAVRKGGLDVDEYVYRVMARGMKRLGLHEEAAEVEADFAEWEATVSPPARDVLDEMRARDESKTTASASASASQSTRI >Sspon.01G0022700-3C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1C:53743245:53743747:-1 gene:Sspon.01G0022700-3C transcript:Sspon.01G0022700-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding PARCYRQIKNKPYPKSRYCRGVPDPKIRIYDVGMKKKGVDEFPYCVHLVSWEKENVSSEALEAARIACNKYMTKSAGKDAFHLRVRVHPFHVLRINKMLSCAGADRLQTGMRGAFGKPQGTCARVDIGQVLLSVRCKDNNAAHASEALRRAKFKFPGRQKIIESRKW >Sspon.04G0026670-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4B:49034671:49034877:-1 gene:Sspon.04G0026670-1B transcript:Sspon.04G0026670-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ASGSRSRWGTARLGDMASGPWPGADMCQCPTPTRIPNTPDRSVITQDSPAAGPTCGATADRPRCRWVS >Sspon.04G0025800-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:30797739:30807953:1 gene:Sspon.04G0025800-1B transcript:Sspon.04G0025800-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVPKVMRPMDVKSAFDNLSLRVAMGPGGGDGNATVATGKAEQRKSLWSKFKGGMANMFLERIGRQMYNYNPESTVIIPHVNHQTIPMTGIIEHYNLIEMGAGRGEIFHSNALNLLVSILNLGQCMEMESVSTGASYFPTPYHKQKLLKFFSSYGRTDNTIWICSHSEEFEPRIPELNEYGTLKELALAALDEMASGRTRAWGRPFSKPFLQRIGLALMGCNIGQNTRSKVLKENLVKSQ >Sspon.01G0031330-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:107575494:107578483:1 gene:Sspon.01G0031330-1A transcript:Sspon.01G0031330-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSLACFCCAGGAAGRRRHVAPAALPSDPAYDEGLGHSFCYVRPDKVLVPFSADDDLVADAKAAEEATTFRAISGAALSANVSTPLSTSVLLLLPDDSTASSAAAASSGFESSESFAAVPLQPVPRFPSGPICAPAGFLSGPIERGFLSGPLDAALMSGPLPGAATSGRMGGAVPALRRSLSHGGRRLRNFTRALLARAEKFQDSLDLGSPDAAAAAAACGAGSAGLQWAQGKAGEDRVHIVVSEERGWVFVGIYDGFNGPDATDFLVSHLYAAVHRELRGLLWDQCEQEEQHDAHPDQPTSTTASDHQDQPANRRRARRSRPPRGADDDQRRWKCEWERDCSSLKPPTQRPPRSSSENDHLAVLKALARALRKTEEAYLDVADKMVGEFPELALMGSCVLAMLMKGEDMYLMNVGDSRAVLGTMDSVDLEQISEGSFDGLVGDGTPLLSAVQLTSEHSTSVREAVAQVEMFIATTPEGDPAQHLVEEVLFRAANKAGMDFHELIEIPHGDRRRYHDDVSVIVISLEGRIWRS >Sspon.04G0032890-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:39316971:39323937:1 gene:Sspon.04G0032890-2D transcript:Sspon.04G0032890-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLAASQQQFAHSQTCFRARMQLKPPTRPKLYSGLMPQEEFDSYCDLIPGLPEDLAKICLALVPRTHFPVMGAVSKRWMSFLESKELIAVRKEVGKLEEWVYVLTPDAGAKGSHWEILECSGQKQSPLPRMPGLTKAGFGVVVIGGKLFVIAGYAADHGKDCVSDEVYQYDSCLNRWTELAKMNVARCDFACAEVNGVIYVAGGFGPNGESLSSVEVYDLEQNKWTLIEGLRRPRWGCFGCSFEGKLYVMSGRSSFTIGNSRFVDVYNPNNHAWDQVKNGCVMVTAHAVLGEKLFCIEWKNQRSLAIFNPADNSWQKVPVPLTGSSSTRFSFGVHEDKLLLFPLEEEPGYQTLMYDPAAPMGSEWCTSKLKPSGSCLCSFAHSQTCFRARMQLKPPTRPKLYSGLMPQEEFDSYCDLIPGLPEDLAKICLALVPRTHFPVMGAVSKRWMSFLESKELIAVRKEVGKLEEWVYVLTPDAGAKGSHWEILECSGQKQSPLPRMPGLTKAGFGVVVIGGKLFVIAGYAADHGKDCVSDEVYQYDSCLNRWTELAKMNVARCDFACAEVNGVIYVAGGFGPNGESLSSVEVYDLEQNKWTLIEGLRRPRWGCFGCSFEGKLYVMSGRSSFTIGNSRFVDVYNPNNHAWDQVKNGCVMVTAHAVLGEKLFCIEWKNQRSLAIFNPADNSWQKVPVPLTGSSSTRFSFGVHEDKLLLFPLEEEPGYQTLMYDPAAPMGSEWCTSKLKPSGSCLCSVTIKA >Sspon.02G0032190-3C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2C:102207558:102208046:1 gene:Sspon.02G0032190-3C transcript:Sspon.02G0032190-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GVRSCSFLSHQPVPPCRLHGERESGNIHHHQQLRLDGVAGGTQLDPGQTWTVDVPAGTQSGRVWGRTGCSFNGGSGSCQTGDCGGALSCTLSGQPPMTLAEFTIGGSQDFYDISVIDGYNLPMLFSCSTGVTLNCGGSSCPDAYLFPADNTKTHACNGNSNYQ >Sspon.07G0023490-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:14418612:14419953:-1 gene:Sspon.07G0023490-3D transcript:Sspon.07G0023490-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDSGPASSDPLLPGRRAPSTGGWKSALFIIWVEAAERFAYYGISSNLISYLTGPLGQTTAAAAAAVNAWSGAAAMLPLLGAAVADSWLGRYRTIVASSVLYITL >Sspon.01G0029810-2P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2D:78259628:78260089:-1 gene:Sspon.01G0029810-2P transcript:Sspon.01G0029810-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQQAPWSLPSQAAVAVDLALGLAPAGHRHEEDQDDQVTATSVGGKEARLFPCLFCNKKFLKSQALGGHQNAHKKERAAGWIWNPDVYGDRYAAAASPGSSLGAVSVSAAEESPARAKLKLEWPEGGAALFTDHALLPAAADRPFIRSPDADGT >Sspon.01G0023200-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:76298445:76301237:1 gene:Sspon.01G0023200-2B transcript:Sspon.01G0023200-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAVRVLPKAVTFVTGNAKKLEEVRAILGSSIPFQSLKLDLPELQGEPEDISKEKARMAASQVNGPVLVEDTCLCFDALKGLPGPYIKWFLEKIGHEGLSNLLKAYEDKSAFAMCIFSLALGPGEEPITFVGKTAGKIVPARGPTDFGWDPVFQPDGFEQTYAEMPKSVKNEISHRGKALALVKEHFASASYTVQSDDSA >Sspon.08G0000550-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:2134504:2137716:-1 gene:Sspon.08G0000550-1P transcript:Sspon.08G0000550-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMAQVEERGGLDSPGLPIDKRLPPDAVKSPLMDDALQPKEGADGYPVKARKPYTITKQREKWTEEEHGKFLEALKLYGRSWRQIQEHIGTKTAVQIRSHAQKFFSKVVREPGASNAIEIPPLGQRENHCTHTLASVLTTPPWQILQRANPRMLLFHHHLVLTKRMVHLCRCCLRCSRMLLDHQTRQWGVHPLRHRMMGIMSLR >Sspon.03G0018680-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3B:80480277:80483203:1 gene:Sspon.03G0018680-2B transcript:Sspon.03G0018680-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding YNISATIPTSLLVYMECNRDEAARAKALAERKMMDKDFVGAKKMIIKAQQLLKEVDDVDIPKMLTVCDVHCAAGAKVNTEIDWYGILQVPVNADDALIKKQYRKLALLLHPDKNKFGGAEAAFKLVGEANITLTDRSKRSVHDMKRNTFRGVTARPNHQPPKRPVPARSSSTPVNLHNMHQQHLHQASNPTGPQTTFWTICPACGMRYQYYLSILKKTLRCQNCLKPFIAHDLKDQAIPSGANQRSAGVWKKAGAPQNFTGPQSNVTDQKGWSATPGVHVNISSHQANVNTKRETDGNAGGLKNNMKNKMKSARATRNPSKASSTAGLKRGRRAVFKSSESSISKTSSDSEEEVLKHGPSENSVGPAQQTRRSSRQKHEVRYNEDSDDDDVEDDDNTVEDDFVGSPALKRFRRSGLFHGDHSTKTAKLNEDTAGHNGLSNCSNIKDTKNSGTACEEKTSNRVEQMKRETMHARENSHGKEELYHSVTNNGLGLNDDDASDDNKFTFPDPEFFDFDKLRDASQFRDNQVWAVYDDQGCMPRFYARITRVKKIPKFMLHFVWLEFNPANKVEAAWSYRGLPVACGHFKHGQSETTEETLMFSRTISFGRSKTNKFYEIYPRKGEVWALFKGWDIGWSSDSGNHKKLNHQYEVVQVLSDLTTSTSIIVMPLVKIKGYVSLFMQSGEAAPYVIPQGDTLRFSHCVPHHLMSGTEKQGIPEGSLELDPAALPLNLEEAFPSANPECSSVRSQDLDSNHAGLSSGDRKGSMNVGQGQRTKSVNAGIPTKTPEEEKIKHSTHLPEVTDVDDDDDDDNICQTEYVCAEPEFYDFSEIRLLQRFSPGQIWALYSDIDKFPNYYAFIQKVDLKNDKRPDLMAEKANMKYFLVLVRYGRFTKIGELDGLRRILKNVNMNWWRYLAILIPPYKFGL >Sspon.03G0031930-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:32125567:32133990:1 gene:Sspon.03G0031930-1B transcript:Sspon.03G0031930-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPADLRLHSFYRPGHGRNPPAANLRGPPAPLQAPASARLRSPIHGSAGSDERVGVALAASAKAKEEGEEGQTSRSLPRAADASSRHSTSSPSRHGDGGRGVRPPATKYVYDALPGLHFAFSPEEALDDAAAPTAAAAGQDEDDATATYAVFRNEITAAGDALADIPAADFFSLDVSAPASDSVEAEPEPASRGPRRRPLRRRRPLAPVLCRTSRRRGRSARGSEEGGGSGAPCFSYTRVEVFGSFRTGLYLPTSDIDFILGLLLQVVIFESRVKTPQVGLYALAKALSQKGVAKKIQVHQWTTVFLLRQVIAKARVPIVKFVERKSGIAFDISFDIDGGPQAADFIKDAVKKLPALRPLCMILKVFLHQRELNKVYTGGIGSYALLTMLITHLQLVWGGKDILGYHQSKEHNLGILLVRFFDFYGRKLNHWDVGISCNSSRTFFLKSDKDFMNHDRPHLLAIQDPMAPDNDIGKNSFNYFKVKSAFSKAYSVLTDANSLISLGNRSILGTIVRPDSVLLDRKGWNNEDMLAEPWEPITQQFDSENDAAYNWHVIDEDEPLPRNSQSTSEDTSSSPSKKRKSSKSKQKSRKKSKAGASSSSDVANGFREDRSSKWEAGSSKRRKGPKDDWACLVEVDRGPGCVAALIVVADAEHYDLLREAILYCRGHKMNGQLHAAAAAMKSME >Sspon.06G0007470-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:25078656:25088402:-1 gene:Sspon.06G0007470-2B transcript:Sspon.06G0007470-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARRPHNDDDHGEEDEDGGGDDDHPHRRCPRRIRPAPLAFTAVVRRVVAQETIQQIVHNLEPLIRRVVREEIQSMFSHHQDHMPHRSLPLSIQEADVLPSPLKLVFAKQLKLPIFTNNKLVDIANNPIEIQLMDTRTNYMIAPPDTHLGSSSVKLEVLVLDGDFQCDDRDVWTADQFNAAIVKAREGKRPLLVGTLSVPMNNHGVAVVDDVSFTDNSSWIRCRKFRIGVRIMPGGHLGARVKEAVSGSFTVKDHRVYKKHYPPLLTDNIWRLKNIGKDGPIDKRLESEGIRNVQDFLKLNTIDPEKLKALVGMSDRPWNATLNHAKTCDMGRKCYVFKTVGCDITFNPIGEVLAARIGDQTFALQELHPQQQATQAYQMWDQLDEVANEMTLAANKSFVPRSNSGREPSESQESMISSGSQNAKYLDFTGTATSSAAAMQL >Sspon.08G0000380-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:371514:382836:-1 gene:Sspon.08G0000380-3C transcript:Sspon.08G0000380-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSWRPKLPGFGEGSQAAEPGGGGRDPGRGFRGRGGFYHQQIPQGGRGTGYYQHGQGSMLQPRGAMMSQRWQPAGPAAGYLDQGQAYREVQPPLYYGGGRGGRGAGPSAIAPELRQAMETSHEPDNISPETGSPDLSPRASTVEVTDQLKDLSLQDESSMYQDIVQAFPVSSNAYKFPHRPGSGSIGTRCLVKANHFFAELPDKDLHQYDVSITPEVTSRIVNRSVMEELVKLHKMSYLGGRLPAYDGRKSLYTAGPLPFTSKEFHITLLEEDDGSGVERRKKTYKVVIKFAARADLRRLDQFLAGRQAEAPQEALQVLDIVLRELPTTRYAPFGRSFFSPDLGRRRSLGEGIECWRGFYQSIRPTQMGLSLNIDMSATAFFEPLPVIDFVAQLLNTDIHSRPLSDAERVKIKKALRGVKVEVTHRGNMRRKYRIAGLTSLATRELTFPVDQGGTLKSVVQYFQETYGFAIQHTYLPCLQVGNQQHPNYLPMEVCKIVEGQRYSKRLNQGQIRALLEETCQRPHDRERDIIQMVNHNSYHEDPYAKEFGIKISERLASIEARILPAPRLKYNETGREKDCLPRVGQWNMMNKKMVNGGRVRSWICVNFARNVQESVADFALEPILPPIYAHPDKVERALKARFHDAMNMLGPQRRELDLLIGILPDNNGSLYGDLKRICEIDLGLVSQCCCAKQVFKMNKQILANLALKINVKVGGRNTVLADAVSRRIPLVTDRPTIIFGADVTHPHPGEDSSPSIAAVVASQDWPEVTKYAGLVSAQSHRQELIEDLYKVTHDPQKGTICGGMIRELLISFKRSTGQKPQRILFYRDGVSEGQFYQVLLHELDAIRKACASLEANYQPQVTFIVVQKRHHTRLFAHNHNDHNSVDRSGNILPGTVVDSKICHPTEFDFFLCSHAGIKGTSRPAHYHVLWDENNFTADALQTLTNNLCYTYARCTRSVSIVPPAYYAHLAAFRARFYMEPDSSDSGSLASGARGGGAPSSSSTSRSTRAATGGAVRPLPALKDSVKNVICVQEAMHLVDLFNLFPVLGLRCFQQARNDNKTLQLDSLQQLLFKGPDLVQDVDHLATSVWQAKNLLLAKVAVDGEDDYVECGWNSAEELLHVDRRIGHAFRDAVCPLETQPGGHGGLERNKVEKNVMVSVWRKKNAVKL >Sspon.04G0005360-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:15521524:15526007:1 gene:Sspon.04G0005360-1P transcript:Sspon.04G0005360-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMRPLGPLLGFGASLFRFPVMHALHADLQQAIQIEAILVLEIRKLCHLGYKYAEIRDSELGRIVEMGEVAYKKDNEVRRDGKCYFRLQLQLCRFQFHFAIAAGWLVLCSCSCSSNLYQSEPLLFDLITHSMAGPGVLNERILSSMSQKHVAAHPWHDLEIGPGAPEVFNCVVEIPRGSKVKYELDKASGLIKVDRVLYSSVVYPHNYGFIPRTLCEDSDPMDVLILMQEQVVPGCFLRARAIGLMPMIDQGEKDDKIIAVCADDPEFRHYTDITDLPPHRLQEIRRFFEDYKKNENKEVAVNEFLPAKDAINAIKYSMDLYGSYVIESLRK >Sspon.01G0010890-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:10070276:10071750:-1 gene:Sspon.01G0010890-1P transcript:Sspon.01G0010890-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRPPCCDKEGVKKGPWTPEEDLVLVSYIQEHGPGNWRAVPAKTGLMRCSKSCRLRWTNYLRPGIKRGNFTEQEEKLIIHLQALLGNRWAAIASYLPERTDNDIKNYWNTHLKRKLQSGGGDGAAKPPPHRPLSSSKGQWERRLQTDINLARRALREALTPLDDLKPTQLQRDGAVDAPGAGVGVGAGMGGGGDSPASSSSGASQCSPSAPAAAAAAGPYVLTTENISRMLDGWAGRKGARGGSPGTPGGAESASTGSSDASEVSYGGAAVAPAAGGPVFEYETKPAVPPSQQMPLSAIESWLFDDDSHFHHVQSASLLDAAAMDY >Sspon.08G0023230-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:53623981:53629266:1 gene:Sspon.08G0023230-1B transcript:Sspon.08G0023230-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRRAIIAPTLAAALALAAMWRPGRLLACSVDDLAHVPVSGREWGGYLNTMQQGARSKYNKPRLPTPTPSSRDATTAAAGAAFPGREMATSAADADAGAGLRSEFLQVLLSRRRDLQVPLSVEQGSPVKNPLYQKPVSPNEANPMKSCPSKEVENFKEKLVEENFYLITELGEQGRVPVLLLKLNDPVPKTKPAIVFLHSSYKCKEWLRPLLEAYASRGYICVAIDSRYHGERASKETTYIDALKLAWRNGDTMPFIFDTVWDLIKLGDLLSEREDIDPCRIGITGESLGGIADHDECTHGFAAFVDTRYSVIVPIIGVQGFRWAIDNNKWQARVNSIKPLFEEARIDLGKSEIDTQVVEKVWEKIAPGLDSQFDAPYSLPLLAPRPLLLLNEEATSRAAKAYEESGCAEKFMPGIGHRMTIDMVKAASEWFDRFL >Sspon.06G0028030-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6C:1340218:1340402:1 gene:Sspon.06G0028030-1C transcript:Sspon.06G0028030-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNAPRWRAALKATSKPTVHVTRIDSFARFKICLQKLRSPQLIKGEGIGWSKRCDRGTLL >Sspon.03G0012570-3C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3C:51210894:51212635:-1 gene:Sspon.03G0012570-3C transcript:Sspon.03G0012570-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding EKYGPKGQNKPSNGVQGKADKPKPNADVDINVGLSTWPPWFCSLCKTTTTSKQTLLLHADGKKHRAKAKAFHSSQKLKDGAEQTSDAKEAGAVPTKESAQVNGGVSGDRERNEDKDAGKRKRMDDMTIEEPDNTKRQYLTSSSVGELTQSGDDKSENKAKSKADELASGADCKSVQKQKIKWKKIITKTLKTNADGVMKLKKLQKLLSKELQECGVSKDKEGLRATLMDK >Sspon.02G0017970-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:38654413:38656868:-1 gene:Sspon.02G0017970-3C transcript:Sspon.02G0017970-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PHD finger protein MALE STERILITY 1 [Source:Projected from Arabidopsis thaliana (AT5G22260) UniProtKB/Swiss-Prot;Acc:Q9FMS5] MAANKTTMVISLGSSRRRKRGEMLFRFESFCQPGYPAPLAGGGAFRDNVRALLGLAHLEAGGAHGETKCWSFQLELHRHPPTVVRLFVVEEVVDASPQRQCHLCRHVGWGRHLICSKRIHFVLPKRELSVEADGLHYGINHGPEKPSKGTATSRGHLLHGVVHLNGFGHLVALHGFEGGSEFVAGHQIMDLWDRICSSLNVRKVSLVDTARKGHMELRLLHGVAYGDTWFGRWGYRFGRPSYGVALPSYQQSLHALQSVPLCVLVPHLSCFSQDLPVVVTKYQAISGHKLLNLGDLLRFMLELRTRLPATSVTAMDYRGIMSEASCRWSAKRVDMAARAVVDALRRTEPPARWVTRQEVRDAARAYIGDTGLLDFVLKSLGNHIVGNYVVRRAMNPVTKVLEYCLEDVSSVLPAVGGGVPSNGGGGKMRVRFQLTRAQLMRDLTHLYRHVLKEPSQALTTGAFGAIPVAARMVLDTKHFVKDYHEGFAPINSVGVGHVHMNLCCTLLVRNGSPELVAPYEMVTLPAHATVGELKWEVQRLFREMYLGLRTFTAESVAGVGVSQDACPVLGLIDVGSAVVIEGAVVEQQQQLADESVHAGNEAAAVSEGGGDSERVVDCACGADDDDGERMACCDICEAWQHTRCAGIKDTDDAPHVFVCNRCDNDVLSFPPLSC >Sspon.03G0035740-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3B:83922237:83922908:1 gene:Sspon.03G0035740-1B transcript:Sspon.03G0035740-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRSSSSTSNPGSGSGGSKPILGRAMATILALPLTPISKAKLGLLLFKKRASSASAAARRRCYNYKPFRHYNYAYVGEYQFSPSRSPLLPAPPGPPGVTAWRRAAARKRRSRARVILASLFCGADELDVAVLDGLQARRGDAEARGDRDQQLVLAPALEWGRDDDAYAYNDDDGDVEEEEAEVVVDYGEEGDEEVDGRAERFIQRFYEEMRLQRQRSLVQRRL >Sspon.01G0060960-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:86088591:86089602:-1 gene:Sspon.01G0060960-1D transcript:Sspon.01G0060960-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIAKEISSGFASSCLIAQVDETLWDMGRPLEGDCKLQMFKFDTNEGRDTFWHSSAHILGESIERAYGCKLCIGPCTTRG >Sspon.05G0011780-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:36228295:36228642:-1 gene:Sspon.05G0011780-4D transcript:Sspon.05G0011780-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSNRKSSRGLDLKLNLSLPATGGSSTRAMADEESSPSSCLSSENEHGLQWSNSPEATSMVLAACPRCFIYVMLPQDDPRCPQCKSPVLLDFLQDNNNNSKNDSNNSSRRSRRG >Sspon.03G0003560-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:9215595:9218369:1 gene:Sspon.03G0003560-1A transcript:Sspon.03G0003560-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLMAQAHPVLLVLLLFLSPTAISSSKRTQPKFSAIFYFGDSVLDTGNNNHLPTLAVANHVPYGRDFPGKKPTGRFSNGRLIPDLLNEKLQLKQFSPPFLDTRLSNNDMVTGVNFASAGSGLDDQTSQLSNTLPMSKQVDLFKDYLLRLTDIVGDKEASRIIASTLIFISSGTNDFSHYYRSSKKRKMDIGGYQDIVLQMVQVYVKELYGLGGRQFCLAGLPPFGCAPIQITLSRDPDRACVDEQNWDAQVYNSKLQKLLATLQGSLHGSKIVYLDAYRALMEILENPAKYGFTETTRGCCGTGLREVALFCNALTPICKNVSSYVFYDAVHPTERVYMLGYEFLERQGYVAMISFISSNKKRILCQFSMKRYYPGPILTQQDLKLATIDFLDYIDPIVNQEDTAKRSMTINPGFGLKIPYQHIHNPSKADCQ >Sspon.05G0006020-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:13210202:13225844:-1 gene:Sspon.05G0006020-2B transcript:Sspon.05G0006020-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dol-P-Man:Man(7)GlcNAc(2)-PP-Dol alpha-1,6-mannosyltransferase [Source:Projected from Arabidopsis thaliana (AT1G02145) UniProtKB/Swiss-Prot;Acc:A8MR93] MPRPKPAAAAAGGGGGGWLSGWGWDLMLGSIAAFYAVMAPYTKVEESFNVQAMHDILYHTYHIEKYDHLEFPGVVPRTFIGAFVISILSSPAVFILRLLHVPKFYSLLTVRLTLSCVTLMSLRLLRVQVKKKFGHQAEAFFVVLTAIQFHLLFYSSRPLPNIFALALVNLAYSFWFKGSYVCTLQALIVAAVVFRCDMILLLGPIGLALLLTRSVSLLEAVKYCISTALICIGMFLCVLPFLNRSSEWGVSFVLFLSIQFQVGALLDRRIVPYMLPVFLFVVLYSKLPHKELRFIIGSIPMFNVSASLAASRLYNNRKKAGWNLLYILMLGAFLVSLGYSIVTFMASYNNYPGGYALKALHEADSSVKEKMVHIDAFTAMSGVSRFCENEYPWRYSKEEEIPIEEFEKRNFTYLLNEHRSIGGYQCLFAVDGFSRVKLKPQIPPLSLVKEPLVFVHGNMRDPDVLSLNWPGCP >Sspon.02G0057630-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2D:63503630:63505279:1 gene:Sspon.02G0057630-1D transcript:Sspon.02G0057630-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVGNGHPDFLASIESFDNYHLRGIAFAGKMFIEALRRKCHLNHRSEEEGYFQKEAMEGIVFYLNNFCYKALEDILEIAEAKERIYRTNQQVQQQNMKGKAPMMSTIRVQQQPSIPRDERTLFVTFSNGYPFTADELYEFFEG >Sspon.05G0021400-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:81739210:81739596:1 gene:Sspon.05G0021400-3D transcript:Sspon.05G0021400-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSTPSSGNSLHSDSPLVSAVDPSGSPSPSPPRRPTMSRSNFSLMARTTDAVPSASSTVFSTYTTRSLSLCLAQNSCSSSSSRASSCPPIPTQFRSLNRTTVSDMVPASGIRAAYASASRSSAPPRK >Sspon.01G0022230-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:81541468:81541955:1 gene:Sspon.01G0022230-1A transcript:Sspon.01G0022230-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLTAERGRDGDRPEVVVVDIESGSLDGASASSIVDRQDSLFREAVTGHHHRAAGGAGHADHDSWGTTLRLAFQCVGILYGDVGTSPLYVYSTTFGHGGGVGHPDDVL >Sspon.07G0014570-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7B:57897043:57897330:-1 gene:Sspon.07G0014570-2B transcript:Sspon.07G0014570-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLALKASPKRVYLQYGGGFRGGRWAPYLGRADGEDSSDLGCPKQTTPQEEPPTREEERNWGPPTRGEERRGGEAPKGEEPRSGLVVPCELVPTV >Sspon.07G0034230-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7C:71366293:71366616:-1 gene:Sspon.07G0034230-1C transcript:Sspon.07G0034230-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPHQLSPDNEQFQYTGKDWLLLLLDSYCEGLEQLRGTVVFSVWTFLERCGSASEAEALTCFGHSVGLPPIIMSPIAHRSSQQCAIHPWTNQRLALLQQMQKDSLDC >Sspon.03G0014640-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3A:46722604:46723734:1 gene:Sspon.03G0014640-1A transcript:Sspon.03G0014640-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCPVLLAIALKKVDLKSEEHGRAVPTTMLVVATVTLLAGICPFLVCCRSSRRFFGGSSSSSPHTATMLLAPLSSTCLVALACWIIYLILHSWAFPVIGALIELCSVIRTVTHFTTAVGGGDAATAVGCEEYCNRLESSLDFLAGITVLLFLGLEGLALEGQINSTKAIIHDRLTKPMGTSFIVCVVGVGLMLLETMPPRKPIRNLTETIDIFMGFAVSLVMFFIMYALMELRALLLLVAPFLILLIYVFYASISKDDGQGNNNHRGAANGDEQSAVSSGADGDKPASLELTKVTFTGFLAVSIPSISNGSVNMSTECFLHLAAAAIVSGLVWRLLTHYKSQTTIAPIADIASFCTHLCVAVVVIPFTIMAGKALS >Sspon.02G0018950-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:62454164:62456931:-1 gene:Sspon.02G0018950-2B transcript:Sspon.02G0018950-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAVGLLLGDAAGLGAHHAIRRRRTHNLYLRNWASKPLPRPPVWLGAAARVSRNGDDRRGHVARFAASASGGGDEPGELPEDEARRQREAELNRRLKEAEEMEELERTAEQLQSQAAAEAPEESEEEKRERVRRELQKVAKEQAERRETAKQMFELGQRAYGKGMYGRSIEFLEGALTIIRPSSLLGGEIQIWLAMAYEANRRHKDCIALYKELESSHPMINIRRQAAELRYILEAPKLKISNDEVVTIPQIGSSWDWYAGTWSDKIKEQEDRKRKMVAASNQVQPSPNIFGDFSFLRLPNEWKKSAWVIVTLWVLLIGTAIYLQT >Sspon.02G0026320-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:91780550:91789379:1 gene:Sspon.02G0026320-1P transcript:Sspon.02G0026320-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRPPPLAATADVASVVTRRSHAATSSELTASTLPAYRSLIRELVSAGRLDDVDGALASARSHLAPDSLQPLYVVSIQAYARAGRLRAAVDAFERMDLFGCPPAAPAYNAIMDALVNAAYHDQAHKVYVRMLSAGVAPDARTHTVRLKSFCLTGRPHVALRLLRSLPERGCDAKPLAYCTVVRGLYAHGHGYDARHLFDEMLGRDVVPDVATFNNVLHALCQKGDIMESGALLAKVLKRGMSANKFTCNIWIRGLCEGGRLEEAVALVERMDAYITPDVVTYNTLLRGLCKDSKVQEAAQYLRRMMNQGCIPDDFTYNTIIDGYCKRGMLQEATELLKDAVFKGFVPDRVTYCSLINGLCAEGDVERALELFNEAQAKDLKPDLVVYNSLVKGLCRQGLILHALQVMNEMVEDGCHPDIWTYNIVINGLCKMGNISDAAVVMNDAIVKGYLPDVFTFNTLIDGYCKRLKLDSALQLVERMWTYGIAPDAITYNSVLNGLCKAGKAKEVNETFEEMILKGCRPNAITYNILIENFCKINQLEEAFGVIVRMSQDGLVPDAISFNTLIHGFCRNGDLDGAYLLFQKLDEKGYSATVDTFNILIGAYSSKLNMQMAEKIFGEMISKGYKPDLYTYRVLVDGSCKAANVDRVYVHLAEMVSKGFVPSMATFGRVLNSLAMNHWVSEAVAIIHIMVRMGVVPEVVDTILSTDKKEIAAPKILVEELMKKGHISYPTYEVLHEGISCEYSVHSDAIDVDCWRQPTIVMAKKNLRTMQINVLTCRKIDSEPRRQTNGDLSAGPTVHKNQIIPSSCCNKSYQFCPIEAALMRMPSHLYPLGEGIIGEVALTGQHCWISANELCPTAMHKYQEDWQLQFAAGIKTVLLVPVVPHGVLQLGSLDMVFESAALVALIKDMFHMLCDASVSHASLSTGSAYSNNLRLQTATLSINHPDASLIDSSAQILNVDHHSLTHPFSTSEVPILEDITIGSYRTSPTGWPNGLLGDNGTIGHEYFEGFSLTDMSHWNQENTHGSTIVLNDGVMISNTSIHSEFHRDLMVMSREEHELFMWHCRLKQQEPTSPPLPQANGNNADFYVQLETNNYAELLLDTIIDQIGHTSNSESFPSTDSPFSCETQVKKEDHSLRVDESSISDIPGGQELSPISMNEGFISCAMTVGCMGINKTITEECLVESTHGINSAEIKRRCRKVELQKPRPRDRQLIQDRMKGLRELIPNASKLCLFGKNEGVSVDYILFHKQCSIDALLDKTVAYMLFLQSISEKAEKIQNTLEDKESHNETEKQLEGCPLRVEELNQPGHLLIEMLCEDYEVFLEMAQVLKGLKVSILKGVLEHRSDKLWARFVIEGSDGFNQMQILCPLMHLLSRR >Sspon.01G0028920-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:100820872:100823255:-1 gene:Sspon.01G0028920-1A transcript:Sspon.01G0028920-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIPSRHPDHEEEEEYDDALFYEDIQAPKFVDLTAPDAGRPDDDPAWFCLRVGCDQNHEQVDPEALDRSFFMRVMAARSPNVRLQKAISRKNQSSMLKCPQSAPPKPPRARFARLSVETEAADKAPAKPKPRVQRICALRASPIRTKAARVECPSERKKALTTPRSKAVRPRPELFHSVKHQKEPFAAAARKGTVVKALFMSTPKKEPVQTPAADKGKEAVSEAGSKPRKLNLACREVPSRYMSQLKNPKTAKKGEETTVAESEKRGQESKTNVKKKILGRSVKCADGCSTAADENSLAETAGSDQERKVVLQELRIEVDTSRSDSSDDNKENLSSAPIEEALDSSYSESENRQLENNENVPLKENVALKVTKLQNKVHQEQAGKLKKTTNPRPFRLRTDERGVLKEAKPEKRQPFAENNSMAVLKDAANRGPTVSSSASRCPAFSSSTTGSLTALYVCNTMQQMDKHTHGKGRDKPTYCKKQVSIDAAKYFQLQFNQVGVLMLICAFTIYVQKKQSTQIVTGQQQLGESRPALNSIQRKAVKPQTVSRVAASSTRTTKTASGLMAPSRVGKEKATVKLSRFQTTVA >Sspon.06G0018930-4D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6D:88548967:88549719:-1 gene:Sspon.06G0018930-4D transcript:Sspon.06G0018930-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LTAELYYIFVHKRRQRRRAAAISDAASSPSTSSRELLQLFCFKKPPAALASTYAVPEPGATAAVAVSVGGDGGADDDDETVEAQLMRLSSLVGPTRLLFTIKEETREDLESEDGGRRGRSRSLGELLHCAETPPFLTPRASPSPVPTAAVDNSYNPLFESPVASLAGPGVAPAVSPPPKFQFLRDAEEKLYRRALAQEEAMRARRSPRSPAAAADEDGGYITIVVTKNNRVIPMPSPSPSPPSAPGAGGH >Sspon.04G0037940-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:79945466:79946369:1 gene:Sspon.04G0037940-1D transcript:Sspon.04G0037940-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LGAGTSLPGLVAAKVAADVTLTDIAHNAEVLNNIRSICALNNATCTVCGHHLIEFLMVKWGLKCLKLLDGFSFLPPCKAASLQGNIQLVEIALDKEKHK >Sspon.07G0007870-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7A:21146755:21151554:1 gene:Sspon.07G0007870-1A transcript:Sspon.07G0007870-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAYIPFWFRISCGSRPQWRVVNGGVLPVVCPPALLGALLVFAGAGGAVARLRSVPTEPRCMFIVMRARQARGRRMGRAVRLGSYGWGVLILILTPKFRPRRERRVHRKGKELWCSMEEVSVHSSSTTAPRNPTARLVPEKTASVMELEFYSDCGGSGRDNTAGSLTGGTTTRKLARTREPRQARTAATDMLGCGHGSFGRNGCCGVLMSRLPLLGQEEVVNNASSLLID >Sspon.08G0003070-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:6653568:6654532:1 gene:Sspon.08G0003070-4D transcript:Sspon.08G0003070-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding HGEGCRRRSARRRPARLPCLGVARRPGHRHLLHRLHCVGVLRIPGRGHDDRGGERRALGQRRGVRADVPGVLRRRHQRHPEPLQRRQRHRQDRRPLPVAGVPGHARPLPGGLQHHRQPRRRQDPHQLQPVRTRHALHHISSPVHPGV >Sspon.01G0008000-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1A:22326256:22327090:1 gene:Sspon.01G0008000-1A transcript:Sspon.01G0008000-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding CSSSRDATNPAITAYLKPSIVEKLDDLRPHHRTSPTGNGASVTNPAFTASYLNPLLPRSSQNTSSSPSYLHQL >Sspon.02G0043680-1P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2B:94875102:94876348:-1 gene:Sspon.02G0043680-1P transcript:Sspon.02G0043680-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GNVISFMTFLAPIPTFYRIYKSKSTEGFQSVPYVVALFSAMLWIFYALIKSNETFLITINAAGCIIETIYIVMYFVYAPKKAKLFTAKIMLLLNVGVFGVILLLTLLLFKGGKRVVMLGWICVGFSVSVFVAPLSIMRRVIQTKSVEYMPFSLSLSLTLSAVVWFLYGLLIKDKYVALPNVLGFTFGVVQMVLYVLYMNKTPVAVAEGKDAGGKLPSAADEHVVVNIAKLSPALPERSSGVHQATQMAVAAVPARSCAAEAAAPTMLPNRDVVDVFVSRQSPAVHVA >Sspon.08G0024300-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:63675513:63679337:1 gene:Sspon.08G0024300-1P transcript:Sspon.08G0024300-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSGIGRVAPLEAVLFDIDGTMAISDPFHHRATSEMLLKVGYNNGVPITQEFGMAHMAGRSNEQIGSFLFPDWDQARLDAFFAEKEALFARYAGEGLREIPGLTPLCRWAADRGLKRAAVTNAPRANAELMISILGLTDFFQLVVTAEECERFKPFPDPYLRALELLGVSPEHALVFEDSTTGVQAGVAAGMPVVAIAEESREGKLLAVGATLVIRDYEDPKLWAALDKLDIAKPEAAAEANGVVHNCS >Sspon.01G0010820-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:30517220:30520294:-1 gene:Sspon.01G0010820-1P transcript:Sspon.01G0010820-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGHRNSHGKRHSDYTENGGGKRRNPGDDTYAPGPDDTVYRYLCASRKIGSIIGRGGEIAKQLRTETQAKIRIGESVPGCEERVITIFSSSRKTNTIDDAEDKICPAQDALFRVHERLATDESLGNEDGEEISPQVTVRLLVPSDQIGCILGKGGQIIQGIRSETGAQIRVLSNDHIPACAISGDELLQISGDTVVVRKALHQVSSRLHDNPSKSQHLLASSLTQPYPGSTHLGGSSTAPVVGITPVIPPYGGYKGDAAGDWSSLYQPRRDESSAKEFSLRLLCAAANVGGVIGKGGGIIKQIRQESGALIKVASSNSDPDDDCIITVSAKEFFEDPVSPTIDAAVRLQPRCSEKSDSESAEQSYTTRLLVSTSRIGCLIGKGGSIITEIRRTSRANIRILSKENVPKVAAEDEEMVQISGGLDVARHALVQIATRLKANFFEREGSLSAFPSVIPYHPLPSGASDEPKYLSRDTKPVGHYLYSSAFRTSDDMIPSDSYASYGGSQV >Sspon.06G0005310-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6A:17996116:18004616:1 gene:Sspon.06G0005310-1A transcript:Sspon.06G0005310-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKGQPFIDILEVNKLRRHLLLLSYLWDQRLKFIANSGGKYCDALAGLRIGSGNSDFNGKSVGATPAPKLEKGSKVTEILSTAKEGLLQQSSCPPHGEDEVFNQANESNENSSGNVAELNGTEDSIAKINHVTSADVKDQLDNQESRTGVRRVVSDGQFPVTTDIPDTLDAKWRGQNGPAPDSNLAKPLPSVEDTAVDVKSQTKAVHTSTFTARSGDAAEELLRWLKMPYMTSNSSLITTTSSPLRFTSLADYIPKYVELFCELSQKGGARLFLPTGANDIVIPVFDDEPTSVISYALVSPMYCFQLSDESSKNRDKDSSLPLPVYDSGNFNPFHLFEEFGSHYDVTSSVSGVRGSLAPDQVHLSVSFEDGGPLGKVKYNVTCYYAKKFEALRRSCCPSELDFLRSISRCKKWGAQGVTAMVKRAIELGCKGSSRSVHGRSALVLNHCHLICSAHAITSKLGMPKPPRSNGLKTFSQATRQQPSGFDNIYYPFGPPALFIKFG >Sspon.05G0025660-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:33941638:33943140:1 gene:Sspon.05G0025660-3D transcript:Sspon.05G0025660-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding HMDLKSLSSDLALVLALVPHWLCSSSSTCVTTPAPAASLRAALDSLHTASSAATACSLPSLLDDIKNTCLTSTRTAPGSRATARRPASDAASASSATSALHAAAPTRALPADAHDRQPRSAGTVPWWTALPRAGSAPQQIAATARTAAPETRSSPCRSSGSSACHTRGEAAAASARSRVAAAVAIVSRRRHSPDLATARPRAARSPTKDVRLASVLSMLRQLCCGDAGLDGSKVSAHCSARSSCSDRSRGVGAWKTSCSRTGRKPSWSMHARPTSDSEASATTFLSAATLALAPALDWRQRLSAASDGSSAASSSPSGMSGCIVTGHVRWPAASQRSMQGRSYVCPVHSVTGSVKISRLMGHRNRCGMLILRLASCVRAPPPRTRSTRRSNKETPRDHAPLPPHRPAIQEGNKIQIRSQHHQQLKTPTTHNQGGEARMRALTPMHRPIKNPWRRDDGDVTGDETAQVTAAELLHCG >Sspon.06G0013750-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:40675759:40676649:1 gene:Sspon.06G0013750-4D transcript:Sspon.06G0013750-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLDLGTSSYLHQHQQHHHQAPLHLHHEDGGGAGGGSDDGQDSLSPGSGGGGGTPSTAGGAGIGGGEVVGRRPRGRPPGSKNKPKPPVIITRESANALRAHILEVAAGCDVFEALTAYARRRQRGVCVLSAAGTVANVTLRQPQSSQTGPASPAVATLHGRFEILSLAGSFLPPPAPPGATSLAAFLAGGQGQVVGGSVAGALIAAGPVVVVAASFSNVAYERLPLEDGDEVAPPPPAGSDQQQPGVPFDPAAAAAAGGLAFFNLPMGMPPIPMDGHAGWPAGAPGGGVGRPPFS >Sspon.02G0014700-3P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:17533760:17534861:-1 gene:Sspon.02G0014700-3P transcript:Sspon.02G0014700-3P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ASGNQGMGRPPCCEKGGVKKGPWTPEEDLVLVSYVQDHGPGNWRAWTMDIGLMRCSKSCRLRWTNYLRPGIKRGNFSDQEEKLIIHLQCSCTIRWAAIASYMPERTDNDIKNYWNTHLKKKFTKTGAGAEAKSGRWAAPKGQWERRLQTDIHTARQALREALSLDPVPPSAKTEQLPQPPAPASQAQATYASSAENIARLLEGWMHPGGSGAAGKVSSGSGSSASSVSAFSGDEGVSASNSGTGRTPEGSTRTSKAESAGTAGPGPSFSMLESWLLDDGVGNGDTGLVSVPLAD >Sspon.06G0016910-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:53565637:53571707:1 gene:Sspon.06G0016910-2B transcript:Sspon.06G0016910-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:VIN3-like protein 1 [Source:Projected from Arabidopsis thaliana (AT3G24440) UniProtKB/Swiss-Prot;Acc:Q9LHF5] MPKTPPVKASKNTELQKQSAPNLTITNGHASTKEVAKTELPINDGKRITTWICKNLACKAVRPSEDSFCKRCSCCICHKFDDNKDPSQWLVCSSDNDSKNCCGSSCHIECAFQDKRVGCFDLEQIIHLDGSYSCASCGKISGILGYWKRQLVIGKDARRVDNLCQRIYLSYRLLEGTIHFKELHEIIKDAKAKLESEVGPLDGMSAKNAHGIVSRFSAGIAVQKLCSTAIQKADEWLSSPDLHLRDSLPVACRFKFVDIKSSSLIVILKETSSSDTIKGYKLWYWKSREQPSMEKPVIVSKDERKILLFDLTPCTEYSFRVISFTDDGVLGHSESRCRTESREIFVMRAPQNAVGGGTQAQKRDRNQSCKSTGFKIRDIWKNFQEALDAEGCFEGFSEDVHEGSCSRSVTETELSGACRKLHFNSSSSVPDLNAEVPVPMDYTTEKHYYSNKKGLVRSNDSGDSETCAVGHTAEPPAVESRPVSKVNTVQVNKCEQNGASAICHEKMLSGSTRQLDGDYEHCVKVIRHLECDGHIENGFRMKFLTWYSLRSTDQERRAVTTYVKTLSDEPSSLAEQLVDSFGEILNSKKAKTGFCNKLWH >Sspon.08G0001130-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:2816550:2819160:-1 gene:Sspon.08G0001130-2B transcript:Sspon.08G0001130-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAVADTPAAAVLLRLLKLAVFLAFGVAVVCPAAQASTVKRVTVTRLCRQKSILTVNGQFPGPTIHARKGDVVVVNVRNHGDKNITIHWHGVDQPRNPWSDGPEYITQCPIQPGASFAYRVILSQEEGTLWWHAHTGFDRATVHGAIVIHPSRGTTFPFQFQKKQRVEELPPIILGEWWRDDDVNDLLEETKRTGRDVKPSDANTINGEPGDLFPCSSRGTSVITVERGKTYLLRLINAGLTNHMFFAVTGHRLTVVATDARYTKPFAADHVMVAPGQTVDALLDADDRSAGGRCRYYMAARTFVSDTTVPFNNSTATAVLEYTQTRRGTPRRRPPVFPTVTLPAMNDIGAAEAYTARLRSLASEEHPVDVPARVDERLVVTMAVNLLPCAANAPCSGPGGARLAASLNNASFVNPSAVDVLRAYYFLRSGSARGVYLTDFPNHPPFAFNFTDPGVRASGLVGAATERGTRVKVLEYGAAVEVVFQDTAVLGTVSHPMHLHGFSFYVVGRGLGNFDEHRDIAGYNLADPPLQNTVAVPKGGWAAIRFRADNPGVWFMHCHFDRHMVWGMDTVFIVKDGRTADAKMMRPPWNMPQC >Sspon.07G0027990-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:85645998:85649689:1 gene:Sspon.07G0027990-2C transcript:Sspon.07G0027990-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPTVANQEGDGGATPTPDALSLFASRLSLRRFGDEDLRVLEAALSAGADVPALLSTRSAARSLLRASAAEAFAVTATGSVMDGGTSLAVADFFARAFALVGDVESCLAMRYEALVLRDAKYCNDLHLQVSRQEWLTFAKDSLDNGFYTIASKAFANALAQVHPRHPGHLDSANSIEEKDKINDITGLQNLAKSLSAHHSVQTQSAEYMKRRASGVHGKYNLQSGKPKLTGSSMFRLGIKTRNIKKLLRKELGRFEAILTWHLPQNREECCKKVHPARVNPIASEVLRWGTRCRVSRKRTPAAYSSKETRDAK >Sspon.01G0037200-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1B:20786147:20786500:1 gene:Sspon.01G0037200-1B transcript:Sspon.01G0037200-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPMRVLEVQNPIHHSNAIRTRNTATRAPFHKTPIELLTLIQQPAEDWEHLHEGPHQRRREIDHTKETRNRGTYQTAAPKTSKNQSVRSSNRTRPAAVIHLAPPPYEIHEPCLVRHL >Sspon.02G0003980-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:11536140:11538610:1 gene:Sspon.02G0003980-2B transcript:Sspon.02G0003980-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKRSLVLLMGLLLLSCSGTSSTARLLEEVAPKEEYPHPEVPELPKPELPPHPTVPELPKPELPPHPVVPELPKPEVPVHPAVPEVPKPELPPHPTVPELPKPEVPEHPAVVPELPKPELPPHPTVPELPKPEVPEHPAAVPELPKPVPEHPAVPELPHPDVPELPKHELPPLPEPELPVPPKAKSHYPEPETKPSTVSSLLLVALLLCCSGMSSAARLLEEAPPKEEHPHPAVPELPKPELPPHPTDVVPPELLKPELPPHPTVPELPKPEVPHPVPEQPKPELPPHPAVPELPKPEVPQTVPEQPKAELPPHPAVPELPKPEVPHPVPELPKPEVTPHPAVPELPKPEVPHPVAPELPKPELPPHPTVPEVPEVPNHELPPQPKAKLPPKPEGHYPEPEAAKP >Sspon.08G0002120-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:4921610:4922333:1 gene:Sspon.08G0002120-3C transcript:Sspon.08G0002120-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKREGRQHGTVLKTAAAAADADAESPAAEPLAVAATEKAAGKPTNASRSTGKCRRPRCAGCHEHPVTKARDKAKGAHKLRACDVALNHRLGQGVPEYRGKSASSLLAYLAGSGNSWHEDEDDAAGLEAPDAGLSDLYDLFVGRRADADADGSKSILICGAFKQLESREDGDDEEEEEEDMGFCMVGITIAVEFSDGEEDWIVVEEI >Sspon.08G0012950-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:55118206:55121528:1 gene:Sspon.08G0012950-1A transcript:Sspon.08G0012950-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPAALRFYCHQCDRNVSIAPPASPDADVLCPLCGGGFVEELPPNPTPPPPPPSAAFFASPSFDLRHPSDVSAFFGPTSPEPLAQGLFDPSNFLHDHFGGLLSGGATIQIVLEGGPALAPPPGLNLADYFVGSAGLEQLIQQLAENDPNRYGTPPAAKSAVASLPDVSVSADMMQADGGAQCAVCMDDFHLGAAAKQLPCKHVFHRDCILPWLDLHSSCPVCRFELPTDDPDYNHTHQQQHAASPAPAPAASSPRVAERRFRISLPWPLRAAFGAAQAESSNPNNDDTPPSGSGGNDNDNDAGQSKEEQWRYAMQQKVIRGYSLFTRM >Sspon.03G0041150-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:5597248:5598981:1 gene:Sspon.03G0041150-2P transcript:Sspon.03G0041150-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDYTVLGGKCNRGLSVVDSYKLLKGADTLGEEEMFLACTLGWCIEWLQAFFLVLDDIMDDSHTHRGQPCWFRVPQVGFIAVNDGIILRNHISRILRRHFKGKPYYADLLDLFNEVEFKTASGQLLDLITTHEGQKDLTKYNITVHGRIVQYKTAYYSFYLPVACALLLSGENLDRYGDVENILVEMGTYFQVQDDYLDCYGDPEFIGKIGTDIEDYKCSWLVVQALERADESQKRILFENYGKKDPACVAKVKNLYKELSLE >Sspon.07G0000650-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:847552:849276:1 gene:Sspon.07G0000650-1T transcript:Sspon.07G0000650-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGVLAVELAFIAAVVAGVVLGAHTHEKRSLVVGCLCVFFGTLMYASPLTVMKKVIVTQSVEYMPFTLSFVSFLNGICWTTYALIRFDIFITIPNGMGTLLGLVQLIIYFCYYGSTPKSSGTTAGMELPVKAGDGDNN >Sspon.02G0041680-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:81752192:81756683:-1 gene:Sspon.02G0041680-2C transcript:Sspon.02G0041680-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRMWRQLLLLVNPKTICTASHNVSSSQFFGLLSHPIRDFAIVAIHPDHNSIILVQHWNQKLVSYNMDSKELRALHTLGEGYLLLTPYVPWFIESSCPDWPDWA >Sspon.03G0025970-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:1450650:1453534:1 gene:Sspon.03G0025970-1B transcript:Sspon.03G0025970-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAQDPFYIVREEIQDSIDKLQSTFHRWEQTASNTGEYVHLTKELLTSCESIEWQVDELEKTISVASRDPAYYGLDEVELSRRRNWTGSARKQVGTVKRAIEKGKSNAATSKYQDTSRTNHYSAQDSDDFISSESDRQLLLMRQQDEELDELSESVQRIGGVGLTIHEELSGQVIAIDKEQSL >Sspon.04G0004370-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:13051347:13055175:-1 gene:Sspon.04G0004370-1A transcript:Sspon.04G0004370-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSKDKKGSREAKEDKKLALGVKRKQLKRKKDRALECAVDSEPAAGHGVKEDKELARRKKIPLVKQKKKNKHANVKSNHDRADDVVELLSDSKDAAMPKLKKKSKKKLMEISSPAVVYESSVVTDDAGAPKLKKKKRKVKGGKSSAGITEAEEILHENQNEETQSALSEDVDNEEPQKSKRGKKMEVKKPGKAKKKDKHASRKDNNNLERHVEVSTANADEIPSVDEDCSRGMKKWILEYKQKRPGLKALQERIDEFIVAHEEQQEKERKEREARAAEDGWTVVVHHKGRKKTTDAETGTAVGSVSLTAMQEKMANKRPKEVDMNFYRFQKREAHLSELAMLQSKFEQDKKRIQELRAQRKFKPY >Sspon.01G0004510-3D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1D:10591948:10593614:1 gene:Sspon.01G0004510-3D transcript:Sspon.01G0004510-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GKMRKVIDSDTHIDDATVAKMVPEVGMEFDNEDKAYEFYNRYAGHVGFSVRKSSSDKSAENITRSRTFVCSREGFRKDKKGAKEVKRPRPETRIGCPARMTIKITSDGKYRIAEFVADHNHEPAPPSTMHMLRSQRVLTDLQTAEADSSEDSTTPSWISSGCLVRQAGPTTNLNFLPADYRTSLPSKRMKNMQPGDAGAAVKYFQSMQMTSPSFFHAFQLDEDDKLTNIFWADSKSRTDFSYFGDVVCLDTTYKINSHGRPLMLFLGVNHHKQISIFGAALLYDESMESFKWLFDTFKVAIGGKQPKTILTDQSMTATDAITAAWPGTIHRHCPWQVYQNAVKHLNHIFQGSKTFAKDLSKCVYEYEEEEDFLLGWSTMLEKYDLRNNEWLRKLFQDRDKWAPVYNRHVFTADIKNSLQSESISSVLKKYLSPQFNLSSFFKHFEKVLDEHRYSELQADFHASQSFPRIPPSKMLRQAASMYTPVVFEIFRREFEMFVDSVIYSCGEAGTASDYRVAVTDKPGEHYVKFESSDFSAVCSCKKFESMGIQCCHVLK >Sspon.02G0051970-2D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2D:77710102:77710341:-1 gene:Sspon.02G0051970-2D transcript:Sspon.02G0051970-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAVVTAVIAIAAVVLGWITIEMACKPCLETGRRAMDRALDPNYDPDSPTNATAAAGASANEPLLADLSASTAPPAKAI >Sspon.07G0015240-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:60156175:60158434:-1 gene:Sspon.07G0015240-3C transcript:Sspon.07G0015240-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding KIKIGINGFGRIGRLVARVALQSNDVELVAVNDPFISTDYMTYMFKYDTVHGQWKHHDVKVKDSKTLVFCDNEVVVFGCRNPEEIPWGSVGADYVVESTGVFTDQDKATAHLKVINDKFGIVEGLMTTVHAITATQKTVDGPSSKDWRGGRAASFNIIPSSTGAAKAVGKVLPALNGKLTGMAFRVPTVDVSVVDLTVRLEKSATYDEIKAAIKAEAEGTLKGILGYVDEDLVSTDFLGDNRSSIFDAKAGIALNGNFVKLVSWYDNEWGY >Sspon.02G0005370-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:16918412:16921656:-1 gene:Sspon.02G0005370-1A transcript:Sspon.02G0005370-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MWKDPNELNVTVHSGPLILGLGEPQVWALASLVARPCLLRAPASVPPESPTQNSDSAGGCSPKSPARPPHRHSAMAMVSGAKRRRGLEEEQEEDEDRISRLPDGILGDIVTLLPTNDGARTQVLSSRWRHIWRSAPLNIDLDIIPDFPLGANHVTESVISRILSAHQGPSRRFSIPAHYLYYRDLPVTSLDGWLESPALDRLQELEFHYRRPHSTNLAPPPPPPPASVHRFSSTLRAVSFGGCGFTDGNNASGLHFPVLKQLSLSDVKFSESSLRALLSACPVLQSLLLKGTIGLSRVQIASPTLRSIGVRSNHGRVNLRQLIIEDAPSKGSLDGKEDGSTELAASP >Sspon.03G0015450-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3A:49290376:49290729:1 gene:Sspon.03G0015450-1A transcript:Sspon.03G0015450-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLFLTGALLLPVLLAAHLFAAQTLARHAPACPCSAPAVEVMYTSPTNDGPSPATGHGNQPSPRMAQDGGAVSGDPGVSAASATFSGHSTAPEESPRNVSRQRNTAGVGKASGVRP >Sspon.07G0018750-3D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7D:74253742:74254767:1 gene:Sspon.07G0018750-3D transcript:Sspon.07G0018750-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGILEEAFPNMRNGDAQTVLIVKDTEGSIYGGYASQPWERHSDFYGDMKTFLFKLYPQASIFRPTGANKNLQWMRGAQDEKLELVKGTVLERFKEDRNMLKMVGLASASD >Sspon.08G0003460-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:7675361:7675642:1 gene:Sspon.08G0003460-3C transcript:Sspon.08G0003460-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding CATGGSAGGSARSAPPCTSTTRGRGRGRMRRRQLRRLRAGATPRRRPRRWRRCGPRDARGRRRGRSGSGSGGSGRRRSRGRRSSCTCFSGDPTD >Sspon.01G0002670-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:7304909:7315591:1 gene:Sspon.01G0002670-4D transcript:Sspon.01G0002670-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPASSYDCSFKVLLIGDSAVGKSSLLVSFVSAAHIDDDIAPTIGVDFKIKFLNVGGKKLKLTIWDTGKVFFFLFLIMVLTYLLVAALCSRGNCFTIQLLYSFFSHYFPAGQERFRTITSSYYRGAHGIILVYDVTKRESFTNLSDVWTKEIELHSTNKECIKMLVGNKVDKDEDRMVTKEEGLAFAQECGCLFVESSAKTRENVEKCFEELALKILEVPSLLEEGSSVVKRNSLKQKQENTNQVSTVRRSGVTEQHHMLSQALSLH >Sspon.02G0012680-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:27434043:27439787:1 gene:Sspon.02G0012680-4D transcript:Sspon.02G0012680-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase 17 [Source:Projected from Arabidopsis thaliana (AT2G22420) UniProtKB/Swiss-Prot;Acc:Q9SJZ2] MATGGPNWEVRLGRDDSLTASQEDSDNIMPSPRANASALIRLFAGLNLSVTDLVALSGSHSIGEARCFSIVFRLYNQSGSGRPDPHMDAAYRRALDALCPKGGDEEVTGGLDATPRVFDNQYFKDLVALRGFLNSDQTLFSDNARTRRVVKRFSKDQDAFFRAFIEGMVKMGELQNPRKGEIRRNCRVANCSPVASRVFDI >Sspon.04G0035980-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4D:21276247:21276828:1 gene:Sspon.04G0035980-1D transcript:Sspon.04G0035980-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKAAVPPSPPQSARASPLSPSPQHLPPSLRAAARCRGGTRPGGSVERRAGRPAGHTQGGHGAWAPPSCRACGEWRGGAGKVLGAADPALAWWIQREAARASWSGAATSNGGGSSGHGGGTPWMPDLAPWRWIWRPGGGFGAPATVARCRIRRRRRVEPAVSSNGQRRPGDVSPAAVAASRGGGHEAQVQAR >Sspon.03G0007520-4P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:8010642:8013380:-1 gene:Sspon.03G0007520-4P transcript:Sspon.03G0007520-4P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATINPFELLGADDNDDPTQLLAAAAAAKQKAEAKKQAAGAQPAPAKLPTKPAPPPRPVSSGVPQWWCSLRGGYGRGERGRGRGGRGYGQNRDYGSEDANGFQGGYGAGGGGRAGGEEGAQDRERGPRPPYRGGGGGRRGGYRDGEFGDDSERPPRRPYERHSGTGRGFEMKREGSGRGNWGTTTDEIIARETEEGLKLEEKAPVPEKQGALEDTPQAEENKENKDATANEEEEKEEDKEMTLEEFEKIREEKRKALLALKTEERKVEVDRDLQSMQPLANKKGNDEIFVKLGSDKDALKKKENAERDERAKKSLSINEFLKPAEGERYYGGRGRGRGRGDRGGFRGGYGGGYHAPPAAPAIQDQSQFPSLGGK >Sspon.01G0046840-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1B:100355400:100355666:-1 gene:Sspon.01G0046840-1B transcript:Sspon.01G0046840-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLKIVAFPNFISHLWVPACVEDGFAPRLEGQQRTGVAGSAVGAHGCWEHGCMVETQEERGAWAWQGGMDTTTAWSTWMSGAWAWPGA >Sspon.01G0060640-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:81824372:81827851:1 gene:Sspon.01G0060640-1D transcript:Sspon.01G0060640-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMKLETLSLQIMFSSSQVPVNKETQGRTDLYIGRWMQSKPRDKIILATKVAGYSERSTFLRDNAEVVRVDAANIKESVEKSLKRLSTDYIDLLQIHWPDRYVALFGEFSYNPTKWRPSIPFEEQLKAFQELIDEGKVRYIGVSNETSYGVMEFVHAAKAQGLPKIVSIQNSYSLLVRCRFEVDLVEVCHPNNCNIGLLAYSPLAGGVLTGKYLDANADITRSRLNLFPGYMARYNASLAKEATLEYVKLAKKHGLTPVQLALGFVRDRPFTASSIIGATTIDQLKENIDAFTSTPRPLPQEVLDGIEDLFKRYKDPAIL >Sspon.06G0034890-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:57614242:57618974:-1 gene:Sspon.06G0034890-1D transcript:Sspon.06G0034890-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAVFARGWALWLKEGEAWWAGVFDWGLRCVGEGRRSGDGVMGCGEHGGGVAWATMRSVDGSVYNCWNQSSGEELRRRRNPNRALEREKRQTQRLQCIHLVLGYMGFRPPPRHGSTATWCAVVLGTHATCKPVQHFGSHSRPLFLHRQLLGILSSNSRPPPRRIGVKQVTLKEYGLQSSSRFVPQIEPPPLPAILRPRAIRLRRRPQLRPPSDCSAAALYFSPPRAAPPVLQGLRELAASAPRPERPSPGAARTRRAVCTPVPLLRLDARDTLPPARSCWYARTTPSSPAPSSGSVVPLANYMPPGDNGLHRKQYGIQ >Sspon.01G0027870-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:99284620:99284937:-1 gene:Sspon.01G0027870-2B transcript:Sspon.01G0027870-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RVAMAPSASMLFLSYHQLHSRPTTEVGAPPRRREEEAAPGGGFRMSVRTVLSLALFQRRPEAVPAEGKATLHERDAGEEIKSSSAAADANKELERKFEEALRLSCW >Sspon.05G0009200-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:26685722:26688210:-1 gene:Sspon.05G0009200-1A transcript:Sspon.05G0009200-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYAYPYVFRAQAPPARMEDHKAKGAAPAPQVKEQWPAGGGSRSASPHGAGAGWPDGLGSGESQRLASAYDLVETMHYLYVRVVKARGLPASAVTGGCSPYVEVRVGNYRAATRHCVAKASPEWNLVFAFSRDRVQATVLEVFVRDRDALGRDDCVGRVAFDIAEAPVRVPPDSPLAPQWYRLEGTDGGGKMAANGEVMLAVWVGTQADEAFSDAWHADAASVRGGDGAAAVHNTRSKVYVTPKLWYFRVSVLEAQDVVPPGAGAGAGATADKGRHTEVFAKVQVGGMVLRTRPCTTRGPTNLAWNEELVFAVAEPFDDPAVLIIEARVHPGKDEIVGRALLPLTIFEKRLDCRPVQSQWFSLEPFGRPVRPSEAVFAGRVHLRACLEGAYHVMEEPTMYASDTRPTARQLWRPPIGVLEVGVLGAQGLTPMKTVDGRGMTDAYCVAKYGQKWVRTRTVVDSCSPRWNEQYTWEVYDPCTVLTLAVFDNCHLGTAAAGNGAGPEDRQVSTLEMDKVRTSAHPLAVLHPSGLRKNGELCLAVRLTCLSLGSVVRLYGQPLLPKVHYIQPLTVLQLDSLRRQAMCIVAARLSRAEPPLRREVVEYMLDADSHVWSIRRSKANFFRVTALLSGAASTVRWLADVCRWKNPATTVLVHVLFVTLMCFPELILPTMFLYMSTAGLWNYRRRPRRPPHMDARLSCAEATHPDELDEELDTFPTSRPNAVVRLRLRPAAERGRADPDDVATQGERIRSLLAWRDPRATALFTAFCLVAAAVLYVTPIRVVSLVVGLYVLRHPRFRGRMPSAASNFLQEAAVPG >Sspon.06G0018840-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:87764311:87764808:-1 gene:Sspon.06G0018840-2B transcript:Sspon.06G0018840-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTPSPLPRWAPTPSPSRPLWRPWGGGGTPEAHHHPAGGVGTGWWSLASGVFAWGGRGRHRGSASLDAAAAAGDDIACGVVPGRSGCELQVVPSAAAVAADAGAGGDLRVFLTWEDVGVTVAGGPRGAPDVRILDGISGHARPGEVLAIMGPSGGGKTTLLDTLA >Sspon.01G0024590-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:87818270:87821142:1 gene:Sspon.01G0024590-2B transcript:Sspon.01G0024590-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMHYLAALSVTLGAILLLRLAFKWMNGGRTGEEGMLPPGSRGLPLLGETLEFFAASPTLELVPFFKRRLERCHRPFDDFYKSEPPASNKCRPPTTSSTSARCMQVRAHLPDEHSWRGHDRVADPELNARVLQQEERDFQIWYPSSFMRILGANNMVSMLGPLHRHIRNLVLRLFGPEALRLVLLHDVQQSTRDELRSWLDRPDVEMIFGVTAKKLISHDDVASGGSLWKCFDAWTKGLMSFPICVPGTAFYKCMQGRKNVMKVLKQQLDERRNAAERKTVDFFDIVIDELNKPNSIMNENIALNLLFLLLFASHETTSMALTAILKFLTDNPKAMQELTEEHEKIVERRVDPDSDITWEEYKSMKFTSHVIHESLRLANIAPVVFRQANQDVQIKGYTIPEGSKIMICPSAAHLNSKVYEDPLAFNPWRWKDTPEPVGGSKDFMAFGGGLRLCVGADFAKMQMAMFIHCLVTNYRWKALSKGTMMLYPGLRFPDGFHIQLLKKT >Sspon.04G0005410-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:15604725:15607373:-1 gene:Sspon.04G0005410-1A transcript:Sspon.04G0005410-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCLLLLAPPPRPCLIDSVSRPPLPKYRSFLPRTRRRPSPRLGVRMAEMIRVGSGGERPEVGVSMESDPLVGGEAPSRPRWEASRWAPVEAALNRMSKWLVSGSFAFAAIWKHDAEIMWFLLGAVGNSLLSMVLKKMLNHERPAPALRSDPGMPSSHAQSIFYAATILALSLYYWLGTNYLTMILGPATLSVATYLSWLRVSQRLHTLNQVTVGAVVGSAFGALWFVLWHSLVQEAFASSLLVRIAVIVGSSSFCVSFVIYMIRHWLKDE >Sspon.08G0030390-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:59232634:59233490:1 gene:Sspon.08G0030390-1D transcript:Sspon.08G0030390-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MCRAWRGGFAGRGCVKVRAGAESLQRLGWPDDAVVFALATLPVIELRGSIHVGSWLRLHQVRLTILSILGRLLVR >Sspon.03G0001550-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7B:18753044:18755328:1 gene:Sspon.03G0001550-2B transcript:Sspon.03G0001550-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DGGVAGRSYVGIWYNNIAVRSPVWVANRDRPVSDPASSRLTIAPHGDLVLLDRQSGTPVWSTNATTGATRRNAANNASRVVAVLLDTGNLVLAPASNVSDVLWQSFDHIGDTWLPGAKLRRDKATGVIQGMTSWRARGDPAPGMYTLQLDPAGAPQYVLLWNGTREYWLTGDWNGRIFTGAPEVAASGGDSGYSFRFVDDARESYFTYDFADNSTVYRFVTDVSGQVKGWFWVEAFQQWNLVYAEPKARCAVPRGCGAFGVCSQAASGACGCARGFRPRDAASWDLGDYTGGCVRNAELQCEAENGTAGAGSKTKVVEMDRFFRMDGMRLPDDGHVTGAASSGECEIACLGDCTCSAYAYNGSCVVWSSELQNLADGYGSQAGAGSLYLRLAASEFPGARSHKWRTVGIAIGGALAVVCFLFIASILIVRTTRARRTKIAQAGLTTAEGCVTSFKYRDLQSLTKNFSDKLGGGAFGSVFRGHLPDGTAIAVKKLEGLRQGEKQFRAEVSTLGTIQHVNLIHLLGFCSEGSDRKLLVYEYMPNGSLDRHLFGATLYTLSWRARHHIALGVAKGLAYLHDKCRDCIIHCDVKPENILLDADFAPKVADFGLAKLVGRDFSRVLTTVRGTIGYLAPEWITGEAITAKADVFSYGMMLFEIVSGRRNVEHGKSEPDSSSSGAGNGHAATATFFPLLAARKLAEAEGDVKALLDQELGGDADIEEVSRVCKVACWCIQHDVDARPTMAEVVQALEGLTDFELPPVP >Sspon.01G0053300-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:35097097:35103977:1 gene:Sspon.01G0053300-1C transcript:Sspon.01G0053300-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSSAAMKTTSSGREQHGAVHVLLVPLPAQGHMNPMIQFGRRLVYHGLLPTLVTTRYVLSTSPAAGAPFPVAVISDGFDEGGMASCSDPVEYCRRLEAVGVGGARAAADDGRARAPAAGVVSVDLAAEDLPPFVVAPELYPQYLKVSIRQFEFLEDAADVLVNSFRDLEPLEAEYMETTWRAKTVGPALPSFYLNDGRLPSNTTSGVSFFSSSATTVEWLDRQPPFSVVLANYGTVYSLDADQLHELGNGLCDSGRPFIWVVRSDEAQKLSQDLGERCREKGLIVS >Sspon.02G0027590-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:100201437:100202138:1 gene:Sspon.02G0027590-1A transcript:Sspon.02G0027590-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHGVYYCLVALLPLLYLLLKSAVDAPFGSGTRHGLQLPPGTWQLPLNTPAWMGRSHAHSAKFEKNDHPSDRQVLGQGVTLGSTPLTDKTTPGLSHTGSYAPDLTMRGRGQRNHDHGSKKPARDTCPLRMMTSQFLPEETDTRVEAMTATISPCCAGKLVNNHHSHGAVTAGTVPPLYAMPWRGEHDLDNHAWMC >Sspon.02G0018720-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:60265332:60267252:1 gene:Sspon.02G0018720-1T transcript:Sspon.02G0018720-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear factor Y, subunit B11 [Source:Projected from Arabidopsis thaliana (AT2G27470) UniProtKB/TrEMBL;Acc:Q9ZQH2] MPRKTTKGKEGEPQLADAAQEEPAPAPADAAQEEPASAPVVPAAALTEAEVDGLPRAIVRRLVKDKLSHVAGGDGAEVIVNKDAMVAFAESARIFIHYLSATANDMCKESKRQTISADDVLKALDEMEFSEFVEPLRTSLQEFRNKNADKRSEANKKQKEKRRKLNEETLPQKENDPADDAKENDD >Sspon.02G0015080-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2B:39118481:39118918:-1 gene:Sspon.02G0015080-2B transcript:Sspon.02G0015080-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSGSVVPDVVHSGGCHCRRVRWQVEAPASLVAWICNCSDCSMRGNTHFVVPAAKFKLQAGADEFITTYTFGTHTAKHTFCKVCGITSFYTPRSNPDGVAVTVACVDPGTLEHVEYRKADGKNWEEWFKRSDIAGFSEGKAEAAA >Sspon.05G0015830-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:63448862:63453015:1 gene:Sspon.05G0015830-1A transcript:Sspon.05G0015830-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable glutathione peroxidase 2 [Source:Projected from Arabidopsis thaliana (AT2G31570) UniProtKB/Swiss-Prot;Acc:O04922] MLTIASKPIGCCRLVSHFVLHASADRRSAPMIEATRGLRGPRLLLSVAVLVLAIALVFRSLTPAVPQMADDLRTSIYDITVKDIRGDDIKLSEYAGKVLLIVNVASKCGLTSSNYKELNVLYEKYREKGLEILAFPCNQFAGQEPGSNEDIQETVCSRFKAEFPIFDKIDVNGKDAAPLYKYLKSQKGGFLGDGIKWNFTKFLVDKDGNVVERYAPTTSPLKIENDIQKLLSTAS >Sspon.03G0029050-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:20020504:20022436:-1 gene:Sspon.03G0029050-2C transcript:Sspon.03G0029050-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAFFSSLARGLDDLGRAGGLSSLPALLRAAALLRGLHSQLTLMVGQLHLPPGGRWLDEYMDETARLWDACLAVKLGLASVERYCAAASCAAAALDDWLQDPSPLSTRQVLRAISVSRREAMAAEEENRALADARIAPLSLQLDVRLLLRATDAARLTGFNGFRGLLYALHNASSLLLLILASGAVSCAAAAAGGPCGADDAAAGADAGAGFMASIAMLQQRMAEEAESDGAPGIRMCEFRCARAAVEAAREEVERVAAAGRKCEDGSGGVKDKVEELKAWLDVLRTGTDSLVCQLDDFLDDIVEGRKELSDLCSH >Sspon.04G0033370-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4C:56660541:56661479:1 gene:Sspon.04G0033370-1C transcript:Sspon.04G0033370-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MICCPSTQTSNHQSPPTKKTMAVVVVPVPVAVAVAVAVVLLSASPPAAAQPWQYCGSSARYSPNSTYQVNLEAVSAALPRNASSSPALFATAARGAGGGDDRVFALTLCRGDADAAECLDCVADAFRHARGSCPLDKEVTVLYDACFLYFSGQDFLATTANVGQISLYNTPQNASADPRADALFTARVRALLNGTARWAAYGSARRFATARIWNGSVAAPVPTMYALAQCTPDLSPADCWGCLEDLVGKAPLAGGTIGARTAGVRCSYRYENYAFFRGAPMLNMGTPPPPSTQPTAGRRSGASLNRFVPIPV >Sspon.02G0026420-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:96697336:96702091:1 gene:Sspon.02G0026420-2C transcript:Sspon.02G0026420-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKELASSFATAAATAGASSSAADALRGWWDDVNESPQWQDAAFFSLAAAYALVSAVALIQLIRIQRRVPEFGWTTQKVFHLMNFLVNGVRALVFGFHVHVFLLRTKVYKLVLLDLPGLLFFSTYTLLVLFWAEIYHQARSLPTDKLRPAYIAVNTIIYVVQVCIWIYLGINDNAVVELASKIFIVAVSFIALLGFSVYGGRLFVLLRRFPIESKGRQKKLYEVALSAFDANVSLEVLDHPILDFFYYMFSLCQIEATNVDVVWGISQLPANQPAGSSDLDCNAGAGDLADADLQSTGCRGCHSPLPLAVLPYPLLGKQRRAAATSKFPMFPSRHRLLTVSVVLVFLLPAPGVHPQAPNICRTKANGRYACADCSTSAATSDRGPTFEANLLRFRDSLKDMPASNASFLNATFAAAAGDAPEDTVYGLATCLADAEASDCVACLAAAAGELPGTRCASRRDMVLWYPQCLVRYDNASFFGVTDTTKGRWFHVPNPSNFSDPSGLAAARERLNGRMFLEAAESPRRFAFDNERVTANATLHGLAQCTEDLTAKECRRCLAGNVVRVRACCADMDGVRINAASCYLRYEFMAIVPGTPPSMAPLVEPPPPEAPSVGAASSSRTRRKTTICEYPSINRASIDDEAPSFALFAYRIDEFNCN >Sspon.07G0006420-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:16772457:16776053:-1 gene:Sspon.07G0006420-1A transcript:Sspon.07G0006420-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LLARCLPPLRSSRRSFRPRNRLGSARAHAACAPDPLAPRSDASRCASAGRKNPSPRARLAAPMGGRPGYLTLPIFSVVAAIGYVYYTTVFVAMPRWLGLSTAAGVANAAAFTVLTAACLATYAVAVRRDPGRVPPGFVPDVEDAESTVHEIKRKYHEGVRAMWLAEKGGDLYHHPYDLGVYENLISVLGPNIFFWLCPVLNTVGNGLRYRTSYDIPTSTPPM >Sspon.01G0054580-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:57013124:57019005:-1 gene:Sspon.01G0054580-2D transcript:Sspon.01G0054580-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVPPPPPPPRTLPLPHFTLPPLAGQDHLFVAALRSHLSASPPPTAASLSRFLPGLTPLRLSHLVLLLAGRVSRPPHSLLTALLPSPPPPLPLAILLHSLPPRRCSELLTSVLPSVLPRAFPDLLHHLLLTARLAAGTQRAAAVPAIDVLFSACARNKKLSRATLTYRAMRAHGMLPTVESCNVFISAALRLRRPEIAISFFREMRRCRVSPNVYTVNMMMRANCVLGRVEDAAQLLNEMPGWGFSRTAASFNTLISAYCHDSGGMETALRLKERMEREGLVPNEVTYNTIVHGLCKEGRMRQASRVVNEMRVKGVTPNTITFNTLIHGYVTLGDNEAAMRMHEEMVEARVGADMVTYNALILGLCNEGKTRKAGKLVQELCAAKLEPNASTFSALIIGQCKKQNSERAVDLLNAMKKAGFQPNYYTYKIVVSTFSKNKDFEGAIDVLKDMLRRCIAPDKALLHEFFEGLSEAKKLHLAANLRSVANGARFISDVYYTGYFYAFQYGKLPFATS >Sspon.08G0017240-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:641321:643085:1 gene:Sspon.08G0017240-1B transcript:Sspon.08G0017240-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVFVDDVMFSKSLSIRGPPYILDSVVSCLHIHSALPKALSGIRLQFHSSTPAEVERIEKEMVSLLSAKQGKASEGIWSALEIRTRFLLYDCWPLDPLVSQAASLGKYVPQTGHGEAPPFNSLVSELVSCLEEKLITKSQLFLDKSLRFLFLLNNSNFIWDKLKYSYIKVNVAAVTRKVEQYIESYIEVSWAPKLSCLSNPTPLCLGRNHSPLSKFESEFQKTYTTQKLWKVPDPELRKRLRKAVIEKIIPDYTRYIEDSKVTNPKFTPQELEEMLQELSERPPESAYHVAAHGGGVGVGVAIAAGECATLQLHCLDLQGHANVQKELKMMGF >Sspon.03G0006680-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:18528534:18533867:-1 gene:Sspon.03G0006680-1A transcript:Sspon.03G0006680-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVSAVLLQRSSSRAREYLRLQRTASYSVALHLEGEGGGPALVVEQQLRPRREVWRESGAPGHSLLRDPRHNKGLSFTEKERDAHYLRGLLPPVVLSQDLQLTISVKRVHLLQEKRMLQNVRQFQVPLQRYTALMDLQERNERLFYKLLIDNVEELLPVVYTPTVGEACQKYGSIFRRPQGLYVSLKDKGRILEVLRNWPEKSIQVIVVTDGERILGLGDLGCQCLPITIDVGTNNEDLLKDEFYIGLKQRRATGQEYSELLDEFMAAVRQNYGQKVLVQFEDFANHNAFTLLEKYRTNHLVFNDDIQGTAAVVLAGLIAALKSVGGTLADHTFLFFGAGEAGTGIAELVALEISRQAKVSVEVARKKIWLVDSKGLIVTSRKETLQPFKKRYAHEHEPVKDLLGAIKAIRPTALIGSAGVGQSFTKEVIEAMSSINERPIILALSNPTSQSECTAEQAYTWSQGRAIFGSGSPFDPVKYNDKLFVPAQANNAYIFPGFGLGVVISGAVRVSDDMVLAAAEGLAEQVTPEHIEKGLIYPPFSIIRKISANIAGRVAAKAYDLGMASQLPRPKDLVKYAESCMYSPVYRSYR >Sspon.04G0012970-1T-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4B:59777266:59778147:-1 gene:Sspon.04G0012970-1T transcript:Sspon.04G0012970-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVACRTPVVRISRTFTVVGNGVLSPRVAAFSSRGPSPLFPGIIKVALTSSHCCLTFAVFHMASTKRRMYIHSSLSLLLKQPDVAAPGVGILAAVGDSYKFNSGTSMACPHVSAIAALLKSVHQDWSPAMIKSAIVTTASATDRFGMPIQAEGVPRKLADPFDMGGGHISPDRAADPGLVYDVDAGEYTKFFNCTLGPKDDCESYVGKLYQLNLPSIAVPDLKDSVTVRRTVTNVGPVEATYRAVVEAPAGVSVSVEPSVIRFSGGVGNKATFRVTFTARQRVQGGYTFGGLTW >Sspon.07G0010170-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:26790196:26808041:1 gene:Sspon.07G0010170-3D transcript:Sspon.07G0010170-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGQLKLEKMFTARRKIQKDNGVEPTEFEDTVAQAFFDLENGNQELKSDLKDLYINGAMQMDMAGNRKAVIIHVPYRLQKSYKKIHDVVLVATRRIVRPPKKGSAIVRPRSRTLTAVHDGILEDVVYPAEIVGKRIRYHMDGAKVLKVFLDPKERTNTENKLDTFSSVYRRLCGKEVEYRKPSSIL >Sspon.03G0002750-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:6574656:6580241:1 gene:Sspon.03G0002750-1A transcript:Sspon.03G0002750-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGGIGGSAGMTMENADSARAFVKDVKRIIIKVGTAVVTGQNGRLAMGRLGSLCEQVKQLNFQGYEVILVTSGAVGVGRQRLQYRKLIHSSFADLQNPQMNFDGKACAAVGQSGLMAIYDTLFSQLDVTSSQLLVTDRDFKDPSFGDQLRETVFSLLNLKVIPLFNENDAISTRRQPYEDSSGIFWDNDSLAALLAAELNADLLIMLSDVEGLYSGPPSDPQSKIIHTYVNEKHGKLISFGEKSNVGRGGMQAKVAAAANAASKGVPVVIASGFATDSIIKVLKGEKIGTLFHNEANLWECSKEATAREMAVAARDCSRRLQKLSSEERKKILLDIADALEANEDAIRSENEADVEAAQAAGYEKSLVARMTLKPGKITNLARSIRAIADMEDPISHTLKRTEVAKDLVFEKAYCPLGVLLIIFESRPDALVQIASLAIRSGNGLLLKGGKEAMKSNTILHKVITGVIPDIVGKKLIGHVTSKDEIADLLALDDVIDLVIPRGSKNLVSQIKATTKIPVLGHADGICHVYIDKSADMDMAKRIVLDAKVDYPAACNAMETLLVHKDLNKSEGLDDLLVELEKEGVVIYGGPVAHDKLKVPKVDSFRHEYSSMACTLEFVDDVQSAIDHINRYGSAHTDCIITTDESAAEAFLQQVDSAAVFHNASTRFCDGTRFGLGAE >Sspon.01G0060070-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:73034828:73038639:-1 gene:Sspon.01G0060070-1D transcript:Sspon.01G0060070-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAPVAGAAFERYQQRGGGGTAAANGNTVFKSGPLFISSKGIGWKSWKKRWFILTRTSLVFFKSDPSTLPQRGGEVNVTLGGIDLNNSG >Sspon.01G0039140-3D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1D:20290516:20290962:-1 gene:Sspon.01G0039140-3D transcript:Sspon.01G0039140-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:LPPgamma [Source:Projected from Arabidopsis thaliana (AT5G03080) UniProtKB/TrEMBL;Acc:A0A178URC2] MSGAADYQEMAASVLPSLKAITLTHVRYRRGDPLGLFLAWVSLVPVFISLGGFVSHFLFRRELQGLCFAAGLLVSQVLNELIKHSVAQSRPAYCELLEACDSHGWPSSHSQYMFFFATYLSLLSLRRSRARQVIAAVPWPLAFLTMLSR >Sspon.06G0008840-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:40539830:40548078:-1 gene:Sspon.06G0008840-3C transcript:Sspon.06G0008840-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LQAPESSVDWRGRPCRHRHGGMRAAVFILVFQASQTMALAAVGSNLITFVFGELHFPLSQAANVVTNFVGTVFILSPLGGFLSDSYAGCFWTLLAFAAVELALKSAPCNMLTMAGSCERASGFKAAIFFVALYLVALGTGCVMPNMTTYGGDQFAGGGAAAAEKDASKRLSTYFNLSYFGYCVAEVVALTAVVWAQTRFGMAVGFGLAAAALGAGLVTLVSGAVLYRNKPPRGSVIFTPIARACIRDAADTVPESEWRLCTAAEVQQTKTLLAVLPIVACTVVTNTVLAQLQTFSVQQGSVMDTRLAPGSSSSSSFRIPPASLQAIPYAMLLALVPAYELLLVPLTRRLTGTRSGITPLQRIGVGLCLVALSMASAAAVERRRRDAAVSSAGGGHQLSVLWLVPQFFVFGVSELFTNVGLMEFFYKQAATAGAMQMQAFFMAFFYCSFSFGFFLSSVLVSLVNRVTATGGRRGWLGDNDLNKDRLDLFYWVLAALSVLNFFCYL >Sspon.01G0035370-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:10575546:10579356:-1 gene:Sspon.01G0035370-2C transcript:Sspon.01G0035370-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear pore complex protein NUP96 [Source:Projected from Arabidopsis thaliana (AT1G80680) UniProtKB/Swiss-Prot;Acc:Q8LLD0] MAASTVFPVLRSSEYFTRPSIDELVEREVADPGYCSRVPNFIIGRAGYGQVRFLGDTDVRGIDLNGIVRFEKHYVVVYEDETCKPPVGHGLNKAAEVSLLLSLKDLPEPSILVEVLRCCARKQGSRFLSFNPVSGKWKFEVDHFSRFGLVDEEEEDAVMDEAAVRQPITEVRERDPPSNGHEIELSHSLPAHLGLDPAKMQEMRMVMFSNEEEDEYMEDGFPSDERYVSRERVNVDSPTSSGKGLRLRSLSPLHVSSQKAGRRSGMPVRKEPQALLEYSMNSSEFGPSTQGILMSGQNRGFPVSMTKVDGFKLPADQETPVAGNMYTNCVVDAALFMGRSFRVGWGPNGLLVHSGSLVNNPGTGLSSVIHIEKVASDKVVRDDKNKIKDDLTELCFSDPMDLHKSLGHEFLETESDSFRLKLQNVKANRLVLPDICRSYIDVIEKQLEVSDLSMSTRVLLMHQVTVWELIRVLFSERATGNQLEPVGDDDQEGMVLDKKEGYVDIDPDALPLFRRADFSNWLQDSVCHRVQGEVGSLNDARYLEHILLLLTGRQLDTATEIAVSRGDVRLAILLSQAGGSMSNRSDLAQTLDQWKMNGLDFDYIEEDWLKVYRLLAGNVQAAFLDSPIDWKRYLGLIMWYQLSPETPLDIIIRSYDQLLGEGKVPYPVPVYIDEGPFDEAPQWSPGGHFDISFYLMLLHANQGEKFGLLKTMFSAFSSSFDPLDYHMIWHQRSILEAVGAFSSNDLHLLDLSFVYQLLCLGKCHWAIYVILHMPYLDDAPYIHEKLIREVLSQYCESWSRDDAQRQYIVELGIPEEWMHEALALYHEYYGDKQCGNWKKAHTIFMTSVAHSMFLSSNHQEVWKITSALENHKSEIADWDLGAGIYIDFYILKNSMQERNAMDDSDSLEEISESCRSFFGRLNDSLLVWGSKLPVESRACYSKMAEELCTLLVDTPSETLNLPMGCLQTMLNAPVPDEIRSSYLQDALSVFTEILCSDS >Sspon.02G0023270-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2A:78969803:78969948:-1 gene:Sspon.02G0023270-1A transcript:Sspon.02G0023270-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding EVTAAYKIEAMPTFHFIKNGETVETIVGARKDDLLALIQKHTASASA >Sspon.01G0024490-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:87632455:87641671:1 gene:Sspon.01G0024490-1A transcript:Sspon.01G0024490-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNLLASLWSFLVQYCQSKTKTKMGLRNIICSIQKEKKGWWKRLLKSEEKHPYIKGSKTKTKMGLRNIICSIQKEKKGWWKRLLKSEEKHPYIKKSLGIQMMALRQMKEMKVMMMTGCFISLTLKSLGASDLTASVKGV >Sspon.08G0022840-2D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8D:53376040:53376289:1 gene:Sspon.08G0022840-2D transcript:Sspon.08G0022840-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEDSKDILKNVDWKTVGGAVTTESSKPIVKKRLPKKIRQVPDCYFLPRRSWPSALAIYGVVCAAGVGAGMLLEVWINKKIK >Sspon.03G0011200-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:46525180:46528077:-1 gene:Sspon.03G0011200-3C transcript:Sspon.03G0011200-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSANPKPKRRRRGPPKQGPEYPMVATVGEMVAREVGGEGPRRDARQGPAFPRVVATTTRGGGAGEQGADGVERSASNADKSAHEAGGVDGTYLTETRFDQCAISPLSLKAIKDAGYERMTQVQEATLPIILQGKDVLAKAKTGTGKTVAFLLPAIEVLSALPRSTSINLLVMCPTRELANQVAAEARKLLKYHRSLGVQVVIGGTRLPQEQRSMQANPCQILVATPGRLKDHLENTPGFSTRIKGVKVLVLDEADRLLDMGFRRDIEKIISFIPKERRTLLFSATVSEEVRQISHLAMRRDYDFINTVQEGDEETHAQVYQTYMIAPLDLHFPILYDVLKKHVAEDAEYKVIVFCTTAMVTKLVAEVLSQLKLNIREIHSRKSQSARTKVSDEFRKSKGLILVSSDVSARGVDYPDVTLVIQVGDITVSLAKRKEKDVDSSRNLFAHVGLPADREQYIHRLGRTGRKGKEGQGILLLAPWEMHFLSTVNDLSISEAATPSVDSSIQAAVKDAVRRVEMKSKESAYQAWLGYYNSNKAISRDKARLVRLAEEFSQSMGLAVPPAIPKFILRKMGLSNVPGLRSS >Sspon.05G0002690-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:11977993:11978869:1 gene:Sspon.05G0002690-2D transcript:Sspon.05G0002690-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYQAPPPGTAAYPPPGTAYPPPGQQAYPPPGEGYPPPAYGAPPPMAAGGYPPPPPPQQQQDSKGGNDGFLKGCLAALCCCCMLDMCF >Sspon.05G0002980-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:5350520:5355147:-1 gene:Sspon.05G0002980-2B transcript:Sspon.05G0002980-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Signal recognition particle 14 kDa protein [Source:Projected from Arabidopsis thaliana (AT2G43640) UniProtKB/Swiss-Prot;Acc:O04421] MVVLQPDRFLSELTSMYERSTEKGSVWVTMKRSTLKSKAQLQKMEKKGQEVEHRCLVRASDGKKSISTSVSLKEYSKFQASYATVLKAHMHALKKRERKDKKKAADAEKAIETAPKKQKKASSKKSSGSKS >Sspon.06G0012020-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:52567504:52568861:-1 gene:Sspon.06G0012020-2B transcript:Sspon.06G0012020-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VTTLAYSSMSSCSPPEVRGYDLHTTPARHNPIMASMDRGRAHWDDHTTRMFLDLCIAEKEKENYNSKGLTKIGWHNLYRNFKGHTRRVYDTKQLQNKFNSLKRMYNLWRQQNNKTRGGWDKNSSTVTQDADACDNQIPENSAAEDFRGKALAHEDALTILFGSMDGKDSTKLCVGGTGDRTPSGGGEDNRARVSEDNISCPEENLGRYRVGHVSPWSSQEHMVDSRPTKRYKNTGYYGELISESMLESRNESNAIWREQEEVIELLQLVEKDGVSQGSELFFIATELFRSPIRRAAFRCITTPKNRIAWLRWTWDNAKM >Sspon.05G0013570-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:41169810:41171926:-1 gene:Sspon.05G0013570-1P transcript:Sspon.05G0013570-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMRPAILLTICTLSALLLVARGSEKSPAAPVVAVGVAAASDLIRSGGHRYLDVRTEEEFRNGHVEDSVNVPYLFFTSQGKKGEEPQFIAQVAADFDKEDNIVVGCKSGVRSELACADLMAAGFRNVKNIEGGYTAWVENGLAVKKSQAQDEL >Sspon.01G0055410-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1C:78556114:78556309:1 gene:Sspon.01G0055410-1C transcript:Sspon.01G0055410-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AAGPSCMRVGAKGSEQQARETRASEDRDAARVDACMCRTRSGFGPHVNQAQAGGQAQLAQASGH >Sspon.07G0001480-3C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7C:2313363:2313920:1 gene:Sspon.07G0001480-3C transcript:Sspon.07G0001480-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRSAAPAAATAASSPAPKPQPQPHPHPQLRGSQLKQLREIFGRFDMDGDGSLTQLELAALLRSLGLRPTGEEARALLAAMDSDGNGAVEFGELAAAIAPLLTTQTHLVDQAQLLEVFRAFDRDGNGYISAAELARSMARLGQPLTFEELTRMMRDADADGDGVISFQEFAAVMAKSALDFLGVA >Sspon.01G0022910-3C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1C:81789358:81791021:-1 gene:Sspon.01G0022910-3C transcript:Sspon.01G0022910-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIVTLSLILTFLLIIRKLTRSYYTASSAPSPTPTKSTAAAALRLPPGPWQLPLIGSLHHLLLSRFSDLPHQALREMSGTYGPLMLLRFGSVPTLVASSAEAAREVMRTHDLAFCSRYLSATLDIISCGGRDILFSPYNDRWRDLRKVCMLELFNPRRVLSFRTVREEEVARLLRSISDQCGGHHAGAAVVNLSDGICRMVNDVVVRTAIGDRCKHRDEFLHELDEAVRLTGGFSLADLYPSSRLVRRFSVAARDMGRCQKNVYRIIESIIHERKATSRVPEREDDDDLLGVLLRLQREGGLQFPLTNEIVSTVIFDIFAAGSETSSTVLVWAMSELVKNPRVLHKAQSEVRKTFKGQEKLTEDDMSRLSYLHLVIKEALRLHAPVPFLLPRQCREACQVMGYDVPKGTKVFVNVWGIARDDKVWGDGEVFRPERFESSSVDFRGNDFEFTPFGAGRRICPGITHGLANMELVLASLLYHFDWEQPGGAGSGDLDMTEAFGITLRRKSKLMLKAIPR >Sspon.05G0027210-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:42511757:42518994:1 gene:Sspon.05G0027210-2C transcript:Sspon.05G0027210-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTPGSCPATTGAAGRCCCTPLPSSSLEPLVRLAHPQEVFPFYSRAGGSQKMVRPRLVLFGDSITEQSFRPGGWGAALADTYSRKADVVVRGYGGYNTRWALFLIHHIFPLVRQIHPAYRNVDGLAPPLATTIFFGANDAALLGRTCERQHVPVSEYKNNLKTIVNHLKDCSSSMVIVLITPPPIDEEGRERFAWSLYGQDARKLPERTNEMAGVYAGYCVELAREMHIPCINIWSKMQETEGWQKLYLSDGLHLTPEGNAVVHKEVVETLRNAGLKAEHMPYDFPHHSKIDGSCPEKAFQ >Sspon.04G0016240-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:62451058:62455583:-1 gene:Sspon.04G0016240-3C transcript:Sspon.04G0016240-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFSMDLNASPLPDDDEQVEVDYAQVEPVESAVAIMRREREERRKRLKREQQDDGSRLHSQQIRNDYVPQPKRHSRIKEAPQGWLDCPAFGEPIDKIIPSKVPLDETFNESVPPGKRYSSKQLVNKQRKAGREICLVIDLTNTTRYYSPAEWTSQGTKHVKIPCKGRDAVPDNESVNVFVYEAMMFLDRPKQSKNPKYMLVHCTHGHNRTGFMIIHYLMRTHISCVAEAINIFAQRRPPGIYKRDYIEALYSFYHEVPENTMIACPPTPEWKRPDDLDLNGEAKQDDDDDNAYLKPPLNESEDKVITNDDVLGDAVPYDQQKDLRDICYRLLEMPI >Sspon.01G0008060-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:22455028:22456730:1 gene:Sspon.01G0008060-1A transcript:Sspon.01G0008060-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPDAIGSFDGHEIVEVVGDGEPGSPSGTMRLIDFVPIDIYIPSVEQGALSKSRRMRQFLDFLRAHPSKDWFLRSTFVGRLRRRSSHHQESADSDDGDSGGRRSRGGGSACRSCGRSSGASCGPTRKPENFAMIIWLAFVAAGLLLLFMLMTGMLDDAIPDDERRKKWTEVINQILNALFTIMCLYQHPKIFHHLVLLLRWRPDGDREEIRKVYCKDGAARPHDRAHMLVVVVLLHVTCFAQYYCCALFWIYTRKDRPDWALNIGYGLGTGCPVVAGLYTAYSPLGRKEPDTEESSSAPAQAAAAQDNTSRTENNDVEIKIYNRRVVVSSPEWSGGLFDCCDDGTVCALSATCTFCVFGWNMERLGFGNMYVHAFTFILLCVAPFLIFSVTALNIHDDEIRDTVVAVGVLLGFCGFLYGGFWRSQMRKRYKLPGGRSAWWWCGSAAVGDCAKWLFCWTCALAQEVRTANFYDMEDDRFVAVLGARNGEGRPVLVPLPREASTTTYTRSMSCPPMLDDAAGGGGGVTSPLGPEMVGAAAMERSATYHPMRPPLPPLMQTERED >Sspon.08G0007790-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:23907528:23922072:1 gene:Sspon.08G0007790-3D transcript:Sspon.08G0007790-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNYTHLTEIQARSIPHIMEGSDVLGSAKTGSGKTLAFLIPAIELLHKACFMPRNGTGVIVVCPTRELAIQTHNVAKELMKYHSQTLGYVIGGTNIRNEANQLVKGINLFLDHLRSTSGFNYKRLQDRQTVLFSATQTHKVVDFANFTFGQNKERQRKLVYVGVDDSKLKPTVEGLQQGYCVIPSEKRFLVLYAFLRRMQLREQDVKIIDYILQYDPRDDPKDYIHRVGRTARGDEGKGILEQENIVGGNYFLNQSAKEAYRSYLLAYNSHSMKDIFYVHQLDLTKVAASFCFKNPPKVNLDLESSASKHRKMRKVDSGKKHGIGHSNPYGRRGGSDSRQVVDFANFTFGQNKERQRKLVYVGVDDSKIEVEGLQQVIVSFQARKGFWTIFCNMILEMTQRVGRTARGDEGKGSALLFLLPEELKLLIYLQENIVGGNYFLNQSAKEAYRSYLLAYNSHSMKDIFYVHQLDLTKVAASFCFKNPPKVNLDLESSASKHRKMRKVDSGKKHGIGHSNPYGRRGGSDSRQLLSIRGELQENWTNHLPIFLKDPLAQGPPSPQSVF >Sspon.01G0051450-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:17649025:17652557:1 gene:Sspon.01G0051450-2D transcript:Sspon.01G0051450-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFISGVIMGMIVGVALIAGWARAMARRAAKRSNNAANVNALGSLNREDVKKICGENVPQWISFPEYEQVKWLNKQLSKLWPFVEEAATMVIRDSVEPILDDYRPPGISSLKFSRLSLGTVPPKIEGIRIQSFKKGQITMDMDFRWGGDPNIILAVETLVASLPIQFKNLQVYTIIRVVFQLSDEIPCISAVVVALLAEPKPRIDYILKAVGGSLTAMPGLSDMIDDTVASLITDMLQWPHRIVVPLGGVDVDVSDLELKPHGKLTVTVVRAESLKNKELIGKSDPYVVLFIRPMFKEKTSVIDDNLNPRWNETFHLIAEDKETQSLILEVFDEDNMKQDKRLGIAKLPLSDLEMETVQEVNLQLLSSLDTTKVKDKKDRGVLSIKAGSISLVLFVLSSVGSNKSPVVYHQFTNAEAREALELEKQTVEERRKVKSETGAVSGAADAASGMASTVTHVAGTGVVAAGTGVSAAGSGVGMVGTGIGAVGSGIGAFGSGLQKAGKFVGRTVTGPFSSARRSASSVPNVVDE >Sspon.02G0053260-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:102535723:102537346:-1 gene:Sspon.02G0053260-1C transcript:Sspon.02G0053260-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRKSNINMEDREMLASDSAEWKEDARPHRATLGLPAPPPPVDLLSSFPEHLQDEILTRLDLRDAVRSYALSRAWRCRWETIPRLALSFPDGTPPSRLSTSQAKGRAICRPWFVLHPCFVSSSLTTCTPVVLTA >Sspon.07G0004130-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:6283808:6287459:1 gene:Sspon.07G0004130-3C transcript:Sspon.07G0004130-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding QKLTSQVHEIVGRINGRFGTLTAVPIHHLDRSLDFHALCALYAVTDVALVTSLRDGMNLVSYEYVACQGSKKGVLILSEFAGAAQSLGAGAILVNPWNITEVADSIRHALTMPSDEREKRHRHNYAHVTTHTAQDWAETFVFELNDTVAEALLRTRQVPPGLPSQMAIQQYLRSKNRLLILGFNSTLTEPVESSGRRGGDQIKEMELKLHPDLKGPLRALCEDEHTTVIVLSGSDRSVLDENQHIFPCVQNFGEFKMWLAAEHGMFLRPTYGEWMTTMPEHLNMDWVDSVKHVFEYFTERTPRSHFEHRETSFVWNYKYADVEFGRLQARDMLQHLWTGPISNAAVDVVQGSRSVEVRSVGVTKGAAIDRILGEIVHSENMVTPIDYVLCIGHFLGKDEDIYVFFDPEYPSESKIKPEGGSASLDRRPNGRSPSNGRSSSRNPQSRTQKAQQAASERSSSSSHSSASSNHDWREGSSVLDLKGENYFSCAVGRKRSNARYLLSSSEEVVSFLKELASATAGFQSSCADYMFMDRQ >Sspon.04G0017360-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:71863978:71893527:1 gene:Sspon.04G0017360-4D transcript:Sspon.04G0017360-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALSVPLRAAAVAAGSRAAAADPVKVSCVRSTGSAHFGCAFPSITASSSAARNIEPLRAIATQAPPAVPQYSSGEKTKIGINGEFFMYNWFGRIGRLVLRIATSRDDIEVVAVNDPFIDAKYMAYMFKYDSTHGPFKGSIRVVDDSTLEINGKKVTITSKRDPAEIPWGNFGAEYVVESSGVFTTTDKASAHLKVVHEEFGIVEGLMTTVHATTATQKTVDGPSMKDWRGGRGAGQNIIPSSTGAAKVAEAKVLPELNGKLTGMAFRVPTPNVSVVDLTCRIEKSASYDDVKAAIKAASEGALKGILGYTDEDVVSNDFVGDSRSSIFDAKAGIGLSSSFMKLVSWYDNEWGYRAVELGSSLSRIRNIAAACEGLRQLCADPRARAAVLADMDSVGKEAQLRGFEFAKAVRLVAEPFTVENGLLTPTFK >Sspon.05G0010260-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:20386239:20387877:-1 gene:Sspon.05G0010260-3C transcript:Sspon.05G0010260-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWDSPRRSGSFEAGLRGATATATASSSVSRDRKPSSPRLQRSRSTAGVGGGSKASPSPERRRGVGAMQQRVAQLEEELRREREEKARAVRELDDLRRDGEDGARAVAEKVQLLEREVGKSKESERKMLESLIYQTKQLEQTKISLEEAKLEIATLQQANRSLEAAAARRGGVEQRTSVKDLMFGGADEEIRVLRGELRTAMQGEERSRKALDIFRRALRRHHGGQAGQGLARRGAGRAGGRQRRGRAAARGAGRRRGRAARRVRRARPVQARGRRAWAAWGDKERVFLDCVRASEEDVNRARQENTKLIESQRVIRDENARLRDILKQAVAEANVVKDSLELARAENARLNDAVADKESALQSLRQEYESIKVSEAAAQGSLKELNSLLAATTTACSTPASAKTAPAPDYSFDQRLPPNGIGSKNGTPQSASQRWMADKPRTPSRRRYSIGEPGKLKTGLSQSARMGNLNPKDRCSRR >Sspon.06G0021460-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:16517584:16528553:-1 gene:Sspon.06G0021460-2D transcript:Sspon.06G0021460-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRGGAGGMAAQCGEGKAADCKKTPWTEEEDEALRRANWAAIAGAVAGRGAKSCRLRWCQHLAPELDSRPFTPEEDARIVELQRVHGNKWATIARFLHGRSDNAVKNRWNSALRKMQQGYPAAAAEDDADDTEDTDGQAEAVACLDLFPLSAGAMGEATAADRLVVREEEGDVAVEPIGLKLGSAGLSDAELALSLGPVRPSPNPPPPPQQPPLSSTTAGPPPFSSGISPGTEGREGWNRLLHGGSVEDEGDNISVSIFIDMTTWRLWFPDDGIKAEIIKKKKEDTRKKKFLNSAYRNVGRCSLHLRAGRWDRHRSQKETALALHPEIVPRRNPPSPCKPPPIPQASPSRHPLATTIGAANMHCPRPRPVVRGPTGCARASLPLEALTKPGGASVAGGGRRRVPLKHDEPYVVGVDDDDHKEDSDKRSGSRLGGPWTCGTAMGLRRRHGCGRPSGDLHHADAFFRESPIQFDVSVKAYTILICGLAIVMKPEKAQKLFDEMIERGLEPGELEKRVKNARRELERCRRRGISQDSVNREHILRFKLERLEDQLHVYWRQRAHNSWLLNGDRNTKFFHAFASERRRKNGVKRLVDEGGRVVEGDRLKTFITEHIGDLKAPGVDGTPAIFYKRFWGLIGERQCYRGRRGMGRFVNHLFFADDSLILMRARNEEAMELKRVLEVYERVSIGWERDGRELQMEEARCSLAQCKSGKEVAEKIWSMKPDQQLKIVVWLNKANAGERVQMQYTTT >Sspon.04G0011610-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:36085331:36088530:-1 gene:Sspon.04G0011610-1A transcript:Sspon.04G0011610-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPKDPRAFSNRALCHIHLGAFPQGLEDAEKCIELDPNFLKGYVRKAKVQFLMESYENALATYLEGLKCDPNNLEVLDGLRRCAARVKGSNAGDVELEDLKYVDIEELKYFSVSLAIKPKLKRKFRIIIFQRGDGPDTGHQQRSNGDIDESSAERLYDAMSYAMANMMLIQVPVLGTTKEFWRLSDKATRISRKLALILRSHHSVGKYLAAPLQVSNIWIGSNGSVKLRGVSFTGKGFSIERVRDDYKRLSRIVTALITISGRDSTKLPPDYAEFLLLLESDTLTMKDEFLIVNNAALLPIKNRTEVFLMLHDRIVDYLGCTNKKKKKRILSSLPYKEDWLDTAKANTKINQWVVNVQNEYRASPHDLLRLNRNIRSHLHRYNDGDDIEEVLYCEWPELLMVMQKMLHMEGELEGTDIQNKFG >Sspon.04G0031760-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:16381967:16382845:-1 gene:Sspon.04G0031760-1P transcript:Sspon.04G0031760-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding EAPIVLLGLGGAGKTAILHKLKLGHAVTTGPTIGFNAETLEYNGISFRVWDVGGQVKLRGLLRHYLYGAQGVIFVVDSSDREWILQAQDLLNMILNEDEFKVRDPALLVFASKQDRPNAMSAAEISDKLGLQPLLCNRRWHIQSSCAISGEGLHEGMDWLCANIQ >Sspon.02G0012370-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:31068846:31072097:1 gene:Sspon.02G0012370-2B transcript:Sspon.02G0012370-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGGGGKSEKARRSSSARVKLWVARASTVLLWTCVVHLAAYRELWAPSVLTRWPGCLNQPHVVPSPSEEVAVAVADAGQRQAARAVVLPPKRIYKNNGYLMVSCNGGLNQMRAAICDMVTIARYLNVTLIVPELDKASFWADPSDFQDIFDVDYFISSLRDEVRILRQLPPKLKRRVEMGFLRSLPPVSWSDIAYYHHQILPLIKKYKVIHLNRTDARLANNGLPMEIQKLRCRVNYNALRFTPEIENLGRRLVQVLRRNGPFVVLHLRYEMDMLAFSGCTHGCSNMEAEELTKMRYAYPWWKEKVIDSDAKRKDGLCPLTPEETALVLQALGIDRSYQIYIAAGEIYGGQRRMAALTLAYPNVVRKETLLPSELSLFQNHSSQMAALDYMVSLESDIFIPTYDGNMAKVVEGHRRYLGFKKTVLLDRRHIVELVDEYRNGTLSWTDFSSAVMASHISRMGEPSRRQMIPDKPKEEDYFYSNPHECLPAAAEDVSVL >Sspon.04G0003030-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:9914716:9916649:1 gene:Sspon.04G0003030-4D transcript:Sspon.04G0003030-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding TMGSLGSAAQQQPHAVCLPYPAQGHITPMLNVAKLLHARGFHVTFVNTEYNQARLVRTRGAAVAGLQGFRFATIPDGLPPSEDDDVTQDIPSLCKSTTRPASVPSAPARRLNDAATGHPPVTCVVSDVVMGFSIDAVKELGLPYVQLWTASTVSFLGYHHYRLLKSRGLAPLTGVEQLTNGFLDTPVEDVPGLRNMRFRDFPSFIRTTDPDEYMVGYVLQETGRSAGASAVIINTLDELEGEAVAAMESLGLARKVYTLGPLPLLAREDPPTPRSSISLSLWKEQEECLRWLDGRDAGSVVYVNFGSITVMTNEQLVEFAWGLANSGRPFLWIIRRDLVKGDTAVLPPEFLAATADRGLMASWCPQQAVLDHPAVAAFLTHSGWNSTLEAMCGGVPVISWPFFADQQTNCRYQCNEWGVGMEIDSNVRRDAVASLITELMEGKQGKEMRRKALEWRDIAIEVAKPGGTSHRNFDDLVRNVLLPKN >Sspon.02G0005200-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:16227472:16247933:1 gene:Sspon.02G0005200-1A transcript:Sspon.02G0005200-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTKSYHEQGKEKTTRWSLWGEQKVNQDNITTTNSNSALSKATRNVSPTLTMPKLETLTLFKMEELGPIFRSGNIISRGNYFETYRGYIHYLGDDKCPVAVMRSTTKSVGHDFVIVKELSFQAQLIHVNILSLIDYCLDNDILVLIFGFASSKGSLDGILHGINRMPLSLDARLNIAADIACGLAYMHSSNSDKILHGDLNPDNILLYEPMKATISGIGLSRLISPELLTRRKHPVPDSNWLLQDFLDAYRNNQVIQLVDPEIVVAENMELLHSAASRPSIRVLHIHLCLFGQAVNDATSSLRCSKDLLCSLGWLFDHCWYTVVFFCSDVLGYITKHTSVTSITPKGKERASILREVFIKDMSDNELKITLWDDQAVKFTVDHLNTEENPEAVIGLFVGFIPRKWHSHDAEDKPYLSGSSASCYYLNPNITEVLPFYDSGSPAAGAPTNPKKAQTPHRPFRRPLPRRPKPSLRTPAPPHRVPAKRAPSSMVLLEELVEEVLLRLPPADPASLVRAAIVCKPWCRIISDRRFRRRFSEFHRSPPMLGLLCNFRDQDGDYVSRLLPTSSDCPLRADRNWRALDARHGRVLLSLLLGLYLSVWDPITDGWHQLPPVPDVCIELKTGQVKMFCEGKGFHNIVPYSSWCTLALEAAAASTDEEPTACASSASKADNLWVSRSSGNWVLVNHNECKLSF >Sspon.05G0032730-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:21577144:21579139:-1 gene:Sspon.05G0032730-1C transcript:Sspon.05G0032730-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPRLSSAAPGAQTGEVGTRELGFPSVPAQTSLMAAGAGAGESQEVERLLSYADDLLGVFRASTDRDGNAQVGAGARRLVSACRSESDDLELQIKEIIDQNRRKMKNFEFENMTPPVQICDELWKKI >Sspon.06G0000990-4D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6D:994814:998442:-1 gene:Sspon.06G0000990-4D transcript:Sspon.06G0000990-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GIPPALACFRYGGLQQRQLQNPRARSRHGRCQRGRGAQFSATSRAGANQDALFPRSSPQQHHRGLPPDGVCHGRPRHAPRPRPRPPKTSPWVILGSIPRVAKIEGPARRRDRGHRRVA >Sspon.01G0021400-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:101957788:101958803:-1 gene:Sspon.01G0021400-2B transcript:Sspon.01G0021400-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLFPWFAWLLIALVGAYLLVLAHDRRRGLPPGPHPLPVIGSLHLLGDQPHRSFARLAKIHGPLMSLRLGAVTTVVASSPAVAREFMQRHDAVLSNRTIPDALGDHAKNSMIWLPNNPRWRALRKIMATELFAPHRLDALQHLRRGKVQELVDHVGRLARGGQAVNVGRVAFVTSLNLLSRTMFSRDLTSLDDDGGASREFQEVVTDIMEAVGSPNVSDFFPPLAAADLQGWRRRLAKLFAQQHRVFDEEIDGRLRSREAGEPKKNDFLDLLLDAAEDDDNTAKLDRDTLRSLFTVCPSLTV >Sspon.02G0001760-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:5894395:5897260:1 gene:Sspon.02G0001760-1P transcript:Sspon.02G0001760-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVARLRAAAAARLQPALAGCGERRPLGTAAEVATAGPGAARWELMGRGSTTTTGGPSTATSRTRPSSSTPPARCSPPPSPWPRTIGQKYGVDYSEDEILMRYRRAYAQPWGRSRLRYVNDGRPFWQYIVSSSTGCSDLQYFEELYQYYTTEKAWHLCDPDAGRVFEALRRAGVKTAVVSNFDTRLRPLLQALKCDRWFDAVAISAEVAAEKPNPTIFLKACELLGVKPEEAVHIGDDRRNDIWGARDAGCDAWLWGSDVYSFKEIAERIGVE >Sspon.04G0035060-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:695867:710411:1 gene:Sspon.04G0035060-1D transcript:Sspon.04G0035060-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LVPTALPRPRRGTRVLTFRATASSGAGAVLDRRCRPQNVAGDFFVDQRCIDCQTCRWMAPDVFKRVDGKATVAAQPSTEEERIKALQALLSCPTSSIHTEEPPKDILQVQNMFPLPIDDKLLPGVYLCGYNSQDSFGATSYTQKLANNIEKPGGARYMFLTHIDDVADHRKWAERLKFERIIHSEDMAEPTADVEWKLEGNGPWNIGTDFELIHTPGHTPGSVCLYYKSLKVLFTGDHVAKSEESDDLILFLMYNRQPVSLQLESIRKLLEVEFEWLLPALALPCTPTSPRPPRRRVPEDVSCRFAPPPPPLRRWTAGDGRRTWPAISSSEVFKRVDGKAAVAAQPSSEEERTKALQALLSCPTSSIHTEKPPKDILQVQNMAFISVGTILKTHMGQHPTCPRFTPKLANNIEKLGGARYMFLTHIDDVADHRKWAEQLKCERIIHSGDVEETTADVEWKLEGNGPWNIGTDFELIHTPGHTPGSVCLYCKPLKVLFTGDHVAKSEESDDLSLFLMYSKQSVSLQLESIRKLLEVEFEWLLPGHGYRIKYKDVQAKNAAMESLLANYTS >Sspon.02G0016980-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:48295444:48300543:1 gene:Sspon.02G0016980-1A transcript:Sspon.02G0016980-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTEPLLPTHLLHPLPEHSEVSHFSPSSPSCPSPAASYKDRIIFGAHPPQNPQQPPPPPPPPPPPRSCRAHHRRSSSIDGLHDLDLPSCSSSPPSPPSDPEDPAAGAGAGAPSSLIEFIANATGARTNLHRSRTAPAMAPLSAVALAAAAAAGDQTPAPPKRPAIVLHAFLFLLAYLALGVAFYAAAPANFTSSAGPTHPVADALYFCIVTLCTIGYGDITPATPAAKLFSISFVLVGFGFVDILLSGMVSYVLDLQEHLLITALKNPTSARKHRHNYIFDIKKGRMRIRMKVALALGVVAVCVGVGAAVLRKVESLGWLDAVYLAVMSVTTVGYGDQAFQTLAGRLFASAWLLVSTLAVARAFLYLAEMRIDKRHRAMANWVLSRDMTVSEFLAADIDNNGYVTSRALDYLS >Sspon.04G0008120-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:47252717:47253183:-1 gene:Sspon.04G0008120-4D transcript:Sspon.04G0008120-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGSNPDSMDTDPPGGGLSIAVERNPPESRLQQLGVRSWPKWGCPPGKFPVKFDARQTCYLLKGKVRAHIKGSSECVEFGAGDLVVFPKGLSCTWDVVAAVDKYYKFDSS >Sspon.05G0014950-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:57272863:57276065:-1 gene:Sspon.05G0014950-1A transcript:Sspon.05G0014950-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyruvate dehydrogenase E1 component subunit alpha [Source:Projected from Arabidopsis thaliana (AT1G01090) UniProtKB/TrEMBL;Acc:A0A178W8A7] EMAAASFTAAKFLAPVAARSGGERAPPLPAGASSSSSFVRTLRRGGAHHPRLRTALAVSSDLLAGNKAAQAAATHQAVTREEALELYEDMVLGRVFEDMCAQMYYRGKMFGFVHLYNGQEAVSTGFIKLLNQADCVVSTYRDHVHALSKGVPARSVMAELFGKATGCCRGQGGSMHMFSAPHNLLGGFAFIGEGIPVATGAAFAAKYRHEVLKESSPDGLDVTLAFFGDGTCNNGQFFECLNMAQLWKLPIVFVVENNLWAIGMSHLRATSDPEIWKKGPSFGMPGVHVDGMDVLKVREVAKEAIERARRGEGPTLVECETYRFRGHSLADPDELRKHEKTHYAARDPITALKKYIIEQNLATESELKSIEKKIDDV >Sspon.05G0025140-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:20618095:20626435:1 gene:Sspon.05G0025140-1B transcript:Sspon.05G0025140-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LEPVAAEGLARRGVSDIAARVAAMGFNCVRLTWPTYLATNATLASLPLRWSLERLGMLESVAGVRVNNPALLDLPLVDVFREVVSSLASKGIMVILDNQMTTPGWCCSRTDGNGFFGDVYFDPDEWLKGLSAMYMQMGAEAVHAANPNVLVILSGLDFDNTLSFLFKEKVHLSFSGKLVYEQHCLTVAQSFLRLNLTESLAGIRVNNPGVVDLKLIDAFKASLCLFSDDTTRHAVVSSLGEHNVMVILDNHLSKPGWCCSNTDGNGFFGDALFDPDVWVDGLTKMATMYMQRGAEAVHAANPRVLVILSGLQFDNDLAFLNSRPVNLSFTGKVAFEVHWYSFSNSPEWSSGNANQVCARITAGITRRAFYLLDKGWPVILSEFGVDNRGVNTNDNRYYGCAAATAADLDLDWALWALQGSYYLREGVQGLDEVYGVLDWAWSRPRNATALRRVQALQRPLRGPGLAEAAPYTVLFHPVSGMCVVVRRRSPTLTQPLELGLGPCNETEAWEYSAQQQRLALRDIALLCLRAEGAGRPATLGVSCGDAMAKWSLVSDSKLHVAVNATSSAGSDGMLCLDVGADGRSVVTNPCRCLSADNSCDPQGQWFKLVSSTRSVVTKQTMLAQLPLKLKNGKI >Sspon.07G0016320-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:63604405:63610491:-1 gene:Sspon.07G0016320-2C transcript:Sspon.07G0016320-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vacuolar sorting protein 39 [Source:Projected from Arabidopsis thaliana (AT1G22860) TAIR;Acc:AT1G22860] MGSQPPASRVALEPLATLDPAALAGLPVSSPLTVRSAALSAHLLYLGTGGGKLLLFSLQDPSTPEFLRLLPIGATLPVSAIVPLPSVARLLVLADGLLLLADPLLCRPVRRLGSLRSVAAVAARRVDPADPSSCSVAISVGKKLLRVDLTLRDADELDVQTREIASVEGVKALAWVDDSVFVATATGYSLYSSTTGQGVDIFSLPESSGHPRVKPLSGGDEVMLLVDNVGVVVDRFGHPVGSSLVFSTMPDCIAEVFPYVIVAGDSKVDVYRRRNAAHLQTIPVARTGQGILIVASDDDGISTELVVIATTYKVFCYRKVSAVEQIKASLRRKNYKEAISLLEEFESDGEISKDMISFVHAQLGFLLFFDLRFEDAVNHFLLSETMQPSEVFPFIMRDPNRWSDLVPRKRYWGLHPPPKPLEEVIDDGLVAVQQALFLKKAGVDTVVDEDFLSNPPSRADLLELAIRNIIRYLCASRMKNLSSPEMEGVDTLLMYLYRALHLVDDMEKLASSQNSCIVDELESLLDDSGHLRTLAFLYGSKGMCSKALSIWRILARNYSTGLWKDLSENGSCGTLVGKRSGEEIAAIEAAKILKELSDEDLVLEHLGWVADIDQDLAIAVLTSETRENQLSPEKVVAAVDAEKVVIHQRYLQWLIEDQGCDDPHYHTSYALSLAKSAIEAIDMSKDRGKDNSQIDSDAQFIYLLRESLQLFLQASDLYDPEDVLDVIAESELWLEKAILYRKMGQENIVLQILALKLEDSEAAEQYCAEIGRDDAYIQLLGLYLDPQNGKEPMFTAAVRLLHNHGESLDPIQVLERLSPDMPLQLASDTILRMLRARVHHHRQGQIVHNLSRATNLDVRLTRLEERSRHVQLTDESICDSCRARLGTKLFAMYPDDSVVCYRCYRSQQGDSSSGRGRSLRKDVIFKQSWL >Sspon.01G0010190-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:40173606:40178738:-1 gene:Sspon.01G0010190-2B transcript:Sspon.01G0010190-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LMNKLIRNSKRCQYKKLLLKHCSVNSQRAADVAKNDNGKAQFLIGGKSAYYDQAYVQLEAYSTHQQVVSFIWAVLRRIIPEPLLGNSCGKRSLRINIWKFIKLRRFEKFCLSDCIGELKVSHYSWISNIGLSDCFCSALMEKEILLSNGSDEEKLQNLLHCWISWMFSDIVIPLVKAYFYVTERESKRYDVFYYPKTVWRDLTSTAIASLNRQNFRILRGTSRKEARQSCCSSRVRFVPKAKDMRPLVNLRGQSKDVLLNNCHLIIKKVRDDNPDKFGSSVFDYNNVHRNLWHFISSVRSHLKEKFKIYIVVADVSKAFNCIKHEMLLKVVDDALKCDDYVLRKCKKVVCNWSKNALYRFDSNVSISNGDDICDFLIQLSSSGGILVDQGKFGKIQKKEIQCFLSKQVECNVLKIGQNFYSQQVGIIQGNKLSPKLCSLYFGHLENSELSKFLDDSKIDSEKDVSTPKSLLMRFIDDFIFISLSKEHALDFFNRIRRGFSDYNCYMNDKKYGFNFEVANSEHCCNRIYKADDGFSFIPWSGLLINCDTLEIQADYTRYLDISSTITVKTHSSAKYLESKLCHYMRPKCHPIFFDSTINSPGTVRLNIYQAFLLCAMKFHCYFRSMPDASIRKLELLHIIKRTFRYMHNLIARRIHDVELQYNVRPVLKLRRKETMWLGLSAYLRVLQKKQSRYKDLLALLREEIRRCGHLDHHNDGLRYAVDDSHSSMFWKCKF >Sspon.04G0018680-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:76312780:76313151:1 gene:Sspon.04G0018680-3D transcript:Sspon.04G0018680-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MIIGDLGCAAGPNTLLFVSSVTSTIVELCKSSGDDSVELQFFLNDLPDNDFNELFRSIEKFKRPNITGERAHLPPLYYIQGLPESYYNRLFPRESVHLFHSSYGLHWRSQVFESLIKLVIYQC >Sspon.04G0026970-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:56020465:56024288:1 gene:Sspon.04G0026970-2C transcript:Sspon.04G0026970-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASSSRGPAVVVLCALAALHAMTAVVLCDAAAAATCAGVAPAKHRPEVISITDFGGVGDGRTLNTWAFRKAVYRIQHQRRRGGTTLHVPAGTWLTGSFNLTSHMTLFLARGAVLKATQVVRAELMKRKANATDTRRWPLMEPLPSYGRGRELPGPRYASFIHGNGLRDVVITGDKGVIDGQGEVWWNMWRRRTLEHTRPNLVEFMHSTGIHISNVVLKNSPFWNIHPVYCDNVVVTNMMILAPHDSPNTDGIDPDSSSNVCIEDSYISTGDDLVAIKSGWDEYGIAYGRPSFGITIRRVRGSSPFSGIAIGSEASGGVRDVLVEDCSIFDSGYGIHIKTNVGRGGYIRNVTVDNVRMNGVRSGVRIAGDVGDHPDAHFSQLAVPLVDAVRIRNVWGVNVQHPGSLEGIRSSPFTRICLSNVKLFGWRSDAAWRCRDVRGAALGVQPSPCAELATSFASAGSLKF >Sspon.01G0027270-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1A:95713430:95713762:1 gene:Sspon.01G0027270-1A transcript:Sspon.01G0027270-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTVRGEWGHDAGRRGATDGGRVRCTHAGEGELKKAVGLEKARGGWRWLEKASVVVDAPAGARGSGRWGASRAARPRRSGRQRSCSKLEADLASRPRGLGDSRLLRMSG >Sspon.03G0013840-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:40087624:40090876:-1 gene:Sspon.03G0013840-1A transcript:Sspon.03G0013840-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GMGKKIRSADGTSTPARSMNQAVSLREETSGRTHVDDASLLRVKHLQRLAAWAGAEAGVGPIGALLGRRLAASAEAAGVTLDAASFLCHRCETILKPGFNCTVRIRNKRNKAKRRKKSNCCQNSVSYACHFCGDQNLILGSGKGVLKSLLPSREHATMNLSHIIMRGNNSNTRTHDKKEVLELSQAAILQVELSSLLRQSTSESDEHGERLKCNLDEQMHETDPISSEVKICEATSQAELPVGSSFVTPQKKKPLVVTVPKDSAGLLKTGSSVISGSVTDTLSGSSKSASNDSRNNVKYAARDSSHVSGSSRKRARKGWTTLKQIAEKDELERKEKMGNFVIPFFMQ >Sspon.01G0049730-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:96453007:96456120:1 gene:Sspon.01G0049730-2D transcript:Sspon.01G0049730-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSCVSSTRHRRRSRKLSVAARKFRRKVSSAIADAPIIRGRHGVVHVEAPDSNVTLHLTKLQWQHNQMDAGSVICEEAWYDSVSILESPDSDDDLDNDFASVSGDPLPDVTGGSNAPQCKDAACFLDTMQLLRSIANAEACDQSEQPDKSDDSNVAATATNSGSCNDEECCSSTLKELQAAMSPRPPFTTSIPSNKVQPMPIVGVSPHHQQQKKKTAVVRLSFRRRSYEGDEMTEMSGSANYLYRPRAGFTVPCSTGEKLSEGCWSVLEPSTFRVRGGSFFKDKRKYPAPDCSPYTPIGADMFAYTRKIHHIAQHLSLPSLKTHETFPTLLIVNIQLPTYPATVFGDNDGDGISLVLYFKLSDSFDKEISPQLQDSIKRLMNEETEKVKGFPVDSIVPYTERLKILAGLANPEDLQLSTAERKLVQTYNQKPVLSRPQHKFYKGPNYFEIDLDVHRFSFISRKGLETFRERLKHGVLDLGLTIQAQKAEELPEHVLCCMRLNKIDFADNGQIPTLITAADE >Sspon.05G0013460-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:46938726:46942974:1 gene:Sspon.05G0013460-4D transcript:Sspon.05G0013460-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPIEGLTVLLDMDTREAIRIFDGGAGIPIPPAANTDYRYARHMQEDDGGDQTSKSEAGFQKVRAPSMEPGPSGPGVELVDGHTVRWGGWEFHLKADARAGMVVSRARVQDPGTGAHREVLYKGMASELFVPYMDPTEAWYFKTYMDAGEYGFGLQAMPLVPLNDCPRHARYLDGVFVAADGRPYVREKMICVFERYAGEVAWRHSESPITGMDIRESRPKVTLVARMVASVANYDYIMDWEFQMDGLVRIKVGLSGILMVKGTAYSHLGQARENEDMHGTLLSENVIGVIHDHYVTFRLDMDVDGADNSFVRVEMARQETAPGESPRRSYLKATRHVARTEKDAQVRLKLYDPAEFHVVNPAKKTRVGNPVGYKVVPAGTAASLLDPEDPPQKRGAFTNNQIWVTPYNKSEEWAGGLFVYQSKGEDTLATWSERDRPIENKDLVLWYTLGFHHIPCQEDFPIMPTVSSSFDLKPVNFFESNPILKQRPTKEDDLPICAATAA >Sspon.01G0022180-3C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1C:80344061:80346145:1 gene:Sspon.01G0022180-3C transcript:Sspon.01G0022180-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKTNNNSELTTTPGACNGRCTHLQLQLGMGSAVETLCGQAYGAHKYEMLGIYLQRSTILLMATGVPLAVIYAFSRPILVLLGESPAIASAAAVFVYGLIPQIFAYAANFPIQKFMQAQSIMAPSAYISAATLVVHVGLSYLAVYQWGLGLLGASLILSLSWWVIVAAQFVYIVTSERCRLTWTGFSWEAFSGLPSFFKLSLASAVMLCLETWYFQILVLIAGLLKDPELALSSLSVCVRVSNELGAGNPKAASFSVVVVTLLSFVLSVLISIVILLCRDYISYIFTEGEDVSRAVSKLTPLLAVTLILNGIQPVLSGVAVGCGWQAFVAYVNVGCYYIVGIPLGCLLGFYFDLGAAGIWSGMIGGTFMQTLILVWVTYRTNWTKEVEEAQKRLNKWNDSKAPLLSAQE >Sspon.04G0021190-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:82160694:82161610:1 gene:Sspon.04G0021190-2P transcript:Sspon.04G0021190-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAGVVAIATTLTVRDMLYLYCDARSVYERFIGMGSHPEQARNAVALLLWLDQAFHQAMPIRHLPTLDATAVSMVASEANRILDLDCLQQQSHHPAVLPPIPFISALCQCHHGGIDTAFLAFNQDLVVRGVADILDGVGALIFDDRLYRLLRRYQTGLVGRLSELEAPYTCRPVTVPEDCRSMFVTFSKGQPAVDRQEIFDYFRHKWGDCIVRVLMEKTTRGTPPMYGRIIFKSEAFVSLVLNGKHTVKITIGYRQIWLRKYIPRPHTINNLQ >Sspon.05G0019140-8P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:74469206:74473716:-1 gene:Sspon.05G0019140-8P transcript:Sspon.05G0019140-8P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKGAALASSDDAVHHHPAGVTASAITGTGSSSSSSFASWRAYGRALAQTPRRLARRAFAATAAREEMSRVRARSGADMARALRWWDLVGLGLGGMVGAGVFVTTGRAARLYAGPGVVVSYAIAGLCALLSAFCYTEFAVDLPVAGGAFSYLRVTFGELAAFLTGANLIMEYVFSNAAVARSFTAYLGTAVGVDAPSQWRITVRGLPGGFNQVDLVAVAVILLITVCICYSTKESSVVNMVLTAVHVAFILFIIAMGFRYGDARNLTRPADPSRSPGGFFPHGAVGVFNGAAMVYLSYIGYDAVSTMAEEVQRPSRDIPVGVSGSVVLVTVLYCLMAASMSMLLPYDAIDPEAPFSGAFKGRDRCAWVSNVIGAGASLGILTSLMVAMLGQARYLCVIGRSGVMPAWLARVNPRTATPVNASAFLGVFTAALALFTELDILLNLVCIGTLFVFYMVANAVVYRRYVSSASEPGGGARWPTLAFLLVFSLAALAFTLAWKLAPPEPRGVRAGLLAACAALAVAVVAAFQALVPQARVPELWGVPGMPWVPAASVFLNVFLLGSLDRPSYVRFAIFSAAALLVYVLYSVHASYDAEESGRLDVDGGGGKVLDEACTVV >Sspon.07G0012890-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7A:46677522:46678797:1 gene:Sspon.07G0012890-1A transcript:Sspon.07G0012890-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPPYYTLFWLLFSLGYSSPACAAAYSNTLMTGEALIAGERLISSNGKFALGFFQAGSSKYSGNITLPNWYLGIWFNKIPKFTVVWVANRDKPITESSLNQSIKLTVSGDGKLVLINHVTNSEIWSTQIGNRTKTSANITAVLSDNGNLVVQDASKPARIWWQSFDHPTDVILPGGKIGRNKVTGLMYSLVSKMNSVDPSSGSYCMELDPSSPKQYVDKLCNTSIVYFSTGEWNGQYFPSVPEMSGNAFATAKFVETHEEEYLTYYTFNETVTTICLLDSDGLTKQLVWVSALQDWEMIYVQPKASCDVFAICGPFTVCNDNALPMCTCMTGFSVKSPKDWSLNDRRGGCIRNNILECMLDCPMMLKPWKLSQLFRNVWRFVYVIAIALDIRIVKVSVQYGKVILLT >Sspon.05G0014890-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5A:57084429:57088970:1 gene:Sspon.05G0014890-1A transcript:Sspon.05G0014890-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MERSSGSTCGWTKWVRNRRGTELVRNLSPRPIQLFCLLYGHEQGLKILDLVCVLPGGVGKSVPVLPPVWDTDDDDDWGDELVTDTSRAISVGKKTERKARTSNLDVKQSNLISLEHSLANKDEDEDISCTEFFDHYDCSGKSLKIYVDELCGGSSNVDELCTVSCDLNHTCILDMKHGDLTAGEELQPSTLDHIQPFSTWELKVVKVLDIVHRRALTEFNTKQIPVHTRFCDFNIAFFDHDKECEYMRTMVAKSDVPINIYGTVLARDRNDYRCVYLFKRARDNPQLMTKRNRMLALTGPYRALGAAGTMYFEFNLKIKGKEETDDQDFCKALTGPYRALGAAGTMYFEFNLKIKGKEETDDQDFCKGLLERNAFRSTLAQSCTYSLESCLSTESRPSTVDMVCMPVRFALEASLEVNVLNGQSFFTGKISAWTSGNDQNKTILDDETEIVLYDSKVPGTEIKLESGGSISLSRRIVSVPLNGDLVLKISVWENCKHKHRELVLGHDVEDHTCKLGPYELQVKIIWSGVIRQHLPKMWKLIGDNLVFW >Sspon.04G0011180-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:33491521:33492613:1 gene:Sspon.04G0011180-2C transcript:Sspon.04G0011180-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLAPPPAADHKAWPRQQRHAEKAAGAPAPAPAPREPLVQRRASFHGRGTHEHQQQQQQRDHLLLRQRPRTHPDLLAGVRERGFRRAGVHGGNATAAAAVPWGRRAAPSKALVTVAVQRSMWPLQVMAGAEWRVADLVAAAVELYVREGRRPLLPSADPSAFGLHYSQFSLESLDPEEKLMELGSRSFFLCPRATAAVAAPSTRPATEASKATPTSADRPNMLPPWLGFMHFWPLL >Sspon.01G0020950-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:74632320:74636479:-1 gene:Sspon.01G0020950-3D transcript:Sspon.01G0020950-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHQPRARYPPGYGSGGGGGAGHWKAQLNIPAPDTRYRTEDVTATKGNEFEDYFLKRELLMGIYEKGFERPSPIQEESIPIALTGSDILARAKNGTGKTAAFCIPALEKIDPEKNAIQVVILVPTRELALQTSQVCKELGKYLNIQVMVSTGGTSLKDDIMRLYQPVHLLVGTPGRILDLTRKGICVLKDCSMLVMDEADKLLAPEFQPSVEALIHFLPPSRQLLMFSATFPVTVKEFKEKYLPRPYVINLMDELTLKGITQYYAFVEERQKVHCLNTLFSKLQINQSIIFCNSVNRVELLAKKITELGYSCFYIHAKMLQDHRNRVFHDFRNGACRNLVCTDLFTRGIDIQAVNVVINFDFPKTSETYLHRVGRSGRYGHLGLAVNLITYEDRFNLYRIEQELGTEIKTIPPQIDLAVYCQ >Sspon.07G0007720-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:20712683:20716581:1 gene:Sspon.07G0007720-1A transcript:Sspon.07G0007720-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDERIPPPPFFQYSPSGVHSSPHHHNSMRYSSWDRERYLAELLAERQKLAPFMQYRGVLQSPSMNWNGTPGAVGSPTVKKVVRMDVPVDKYPNYNFVGRLLGPRGNSLKRVEATTQCRVYIRGRGSVKDSVKEDKLRDKPGYEHLNDPLHVLVEAEFPADIVDARLNQAVAILEDLLKPVDESMDYYKKQQLRELAILNGTLREESPSSHLSPVCPPSIPRG >Sspon.02G0056720-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2D:30821924:30822053:1 gene:Sspon.02G0056720-1D transcript:Sspon.02G0056720-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding KGGRPVIWALWQFLSGASFADYLSGIAPSNSRRVTPSGTPIA >Sspon.01G0038120-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:28267680:28276252:1 gene:Sspon.01G0038120-1B transcript:Sspon.01G0038120-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRLADGLAAASLSNTADQSAAAAAAGVPSADYLLSVMRAVEGAEATMRSQLEENNRLKEELMRKTQQLQRMSEDATSQSSIGGLGQERNSSNKMDGPSSSINPQISEMNSNISWKQDLTVKVKEGEEEIAQLRKHLADYSVKAFDQQQQDLIDAASKALSYRQDIIEENIRLTYALQAAQQERSIFISSLLPLLSEYDDLQPSVPDAQTIVSNLKVLFKHLQERLIVTR >Sspon.08G0028600-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:13331719:13334280:1 gene:Sspon.08G0028600-1D transcript:Sspon.08G0028600-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGGRTLGRSSFSRATSNPVASSSGAAGVKIGPNGAAFVSSGIPDLDRILGGGFLLGSVVMIMEDADAPHHLLLLRCFMSQGVVHKQPLLFSGAMKEPRLFLGTLPAPVSSSKEDGRHRAMGAAASSDGRANDEGLRIAWQYKKYFGDDSRVNIKEFSNDFDLRKPLERHLLNGQNIECVSIQDADTLSSLQDCCTAFLSKLPRKDGGSLTAGRIAIQSLCAPQCRYFEKAMVRSSNSVAVITFPSTVLSNSFYEDKDLAKLLTGYQEMVGFLHVHKVAQTNSQVPVILEASTLSLKLRKRRSLVLERLNQAPVDGSSGPSSAASSSCSSQ >Sspon.01G0005760-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:15076864:15082673:-1 gene:Sspon.01G0005760-1A transcript:Sspon.01G0005760-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNHDHSARIMKSIMIQHKALLEDQLLVVVAMEGEPYAPTLSSYLRKDVILKSSYAQRKGGGMDEQGCLPASAVLPNGIIMKQNLNWNELDFSWSQTMARSEVARTLSQCSGFSFGRGSPLPTISSATSSCLRIDALPILSSAVAAVIGIGMAMRGPSGGNGLSNRRRDVGSEGGCADFGTVLDGIIGGGGGGGGGGGGGGGFGAVIDFGGGGGGGGGAALGGCTDRGDGEITCLMSGVEPAEKRVRGGVRRDRAGGGVGAAMAATGGKQSLVRLGRLRRDAVVVVGGLDALASLHVSPPTRRRRSAGAASSSEEPTVAARRSGGSGRSSGLGWSVGSSRPTPRRARSLRSLRYGDPTRSAAVGPWWRPGVVGSTVPT >Sspon.02G0043270-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2B:90571214:90572449:1 gene:Sspon.02G0043270-1B transcript:Sspon.02G0043270-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMLLSALLGEGITRSLNFFISKSSKLQPQDLEDYLRRVLLRAQVIIDEATGRHITNHAMLQQLDMLRDAMHQGHYTLDTFRYQSHKEEDTKAQVVSHCLSLSTLNFLKGFYSSSNRNTQILEELQEAFHRLSSMILDAEKLVVFLTSYPRMHRQPYSMHLLLGSCMFGRQMEAELVLSFLLHTHPNAAEQLEVLSIVGPGKVGKSTLVAHVCYDKRVRDHFSEIMFLSDHHFKSDKLTYLGEGCLKKYQNSTLNKDGRMLVVIEATGDFNEEEWKSMYATCKRYMKSGSKIIITSRYDKITKLGTTRAVTLKHLSNEAYWYFFKTLTFGSTDPVMHPKLTCLAMEIARILKRCFFGATGTINCLRDNFDINFWCKVLSFLRGFSKWHVSKFGDHPCDALSQNSRPVHLGRM >Sspon.01G0057380-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:6410117:6415311:1 gene:Sspon.01G0057380-1D transcript:Sspon.01G0057380-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ARTSIRLDPKKQISLLCTHPSCGRPPAASLPPSLAAPPSCASVSRRAMPVLHCVQARRPGKGAVAGEARPDASAVGCCQVVPPSSPGGWLEPTVLPLYVASYGRMVKTLVVLMVNRGVKVCTRFLPAHGRREADVDERVKRRGDAGTAPADRQQERPSSRPAHQLRAAFLSSRCLILCSLLAVCLRSGRAGEDERKRNRRRGQGFRASEIQVMAATLAGMSTAFLRLRAEFDLLKDSVCDPVDQPPGPLVFACELRMLTTKPAANVVLVSERRQGRKCSTSVGVQVQCCGLKNVGQEFWGVTEGCCSIGGRLYRHLCHGGYTASSRLTLLAQISLSAEQGITRPHLWGGRNPPPPGRGGSSSWWHVVACSTRSIAIIPTRARGGTNGNDWHCRNRATTGEATGGDVVCEGNHEVVVSEALVDLGFWHRPIKIVAKH >Sspon.04G0033300-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:53454501:53464882:-1 gene:Sspon.04G0033300-1C transcript:Sspon.04G0033300-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGQFCRYHFDGTARPFFEGWYFKVSIPECRQSFCFMYSVENPLFRDGMSDLDKLVHGPRFTGVGAQILGADDKYICQFSEKSNNFWGSRHELMLGNTFIPNKESTPPQGEVPPQDFSKRVLEGSKYVPNVQTARWEYSTRPVYGWGDVKSKQLSTAGWLAAFPFFEPHWQICMAGGLSTGWIEWDGERFEFENAPSYSEKNWGGGFPRKWYWIQCNVFSGASGEVSLTAAGGLRKIGLGDTYESPSLIGIHYEGQFFEFVPWTGTVSWDIAPWGCWKMSGENKTHLLWEKKYDGSKGEMILDATSNMAALEVGGGPWFNGWKGTTVVNEVVNNIVGTQIDVESLFPIPFLKPPGLIGEKEYLDRTLDGQNTTLLFIYYYHEMF >Sspon.07G0003100-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:7613937:7621943:1 gene:Sspon.07G0003100-1A transcript:Sspon.07G0003100-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEARSIRPTSAVLPLSLTNLFCVVYAVTYSVWLDPESKQALWHTSERMTMSVMSSSPVRHGLVESSCDRWRLHSGSLIVGHRWRQGFISLVDPFTGDTGAEDSHLVQLMGQLSLVGAECLDASSSSVNNPSRAAHLPNGTKQFFHIAPRTILLNALKSVGISDPVFMHVGFKGAFQVHIQFESPLPLCIERGTKFADIASDVCGSYELAEASVVKKAFDHLYKMHNVVLVDISYRKKSACLCASRDQYCNVMDGLRYVGTMVEHWHECLNKLYQVDFIQNIKVDAMPHIRSCDAKLKHLTATKDDYVEASRSQPLFYRKASIIKAYHYEVVVLSVLVQWLGLEECQYSERRSGTSPLARPPPAARRPAT >Sspon.01G0029530-3D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:98705919:98708333:-1 gene:Sspon.01G0029530-3D transcript:Sspon.01G0029530-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At4g21300 [Source:Projected from Arabidopsis thaliana (AT4G21300) UniProtKB/Swiss-Prot;Acc:Q9STE1] MRASGCEPNFATLACFLSVCAAEADLLSGVQLHSLAVKCGLEQEVAVANTLLSMYAKCRCLDDAWRLFELIPRDDLVTWNGMISGCVQNGLLDEALGLFCDMLRSGARPDSVTLVSLLPALTDLNGLKQGKEVHGYIIRNCVQMDAFLVSALVDIYFKCRDVRMAQNVYDVARAIDVVIGSTMISGYVLNGMSEEALQMFRYLIEQCIKPNAVTVASVLPACASMAALPLGQEIHGYVLRNAYEGKCYVESALMDMYAKCGRLDLSHYIFSKMSLKDEVTWNSMISSFSQNGEPQEALDLFRQMCMEGVKYNNVTISSALSACASLPAIYYGKEIHGVIIKGPIKADIFAESALIDMYAKCGNMELALRVFEFMPDKNEVSWNSIISAYGAHGLVQESVSLLHRMQEEGYKPDHVTFLALISACAHAGLVEEGLQLFQCMTKEYLIVPRMEHFACMVNLYSRSGRLDKAIQFIADMPFKPDAGIWGALLHACRVHRNVELADITSQELFKLDPSNSGYYVLMSNINAVAGRWDGVSKVRRLMKDNKILKIPGYSWVDVNNSSHLFVASDKSHPESEDIYTSLKTLLQELREEGYVPRPDLCHPMHPDNNTQVMAM >Sspon.02G0004790-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:10251028:10252702:-1 gene:Sspon.02G0004790-3D transcript:Sspon.02G0004790-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDVTVLICPLCAKGVRLNPSEDPNITWDTHVNTDCDPSNYQKVTKKKKCPVPGCRETLTFSNTIRCKDCTKEHCLKHRFGPDHKCPGPRKVDSGFPFVSMLRRSQKAETRSNSSSNNGSSWWSSSLVNAATNFKSSAEAGMQKLSTVTSQAFQKAKDGMSPSSSSSSGDLVEQCVHCPAKFSTVGTLIEHVEKSHQMNSQPSHGRVTIDVCPKCSKGFRDPVLLVEHVEREHGGTSRGLIPIQSPIPRYQVKLTSTPSLVLYHWPPPLGCRIPTTRHLHRRPPRQRTPLPVPEVNK >Sspon.08G0003290-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8A:8796268:8797483:-1 gene:Sspon.08G0003290-1A transcript:Sspon.08G0003290-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSAIIQAKLESTIGAAFFSQVLSLDEATVKLDIWDTAGQERYHSLAPMYYRGAAAAVVVFDITSTDSYVRAKRWVDELQRQGNPHLVMALVGNKVDLQERRQVGTQEAMDYAEANGLFFTETSAKTAQNVSELFYELGKCLLSQLQFCGSLCCRVAERLVKLRPNRPAGMILHDAQHSGGGSRWRFCCSG >Sspon.06G0005310-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6B:15756369:15764871:-1 gene:Sspon.06G0005310-2B transcript:Sspon.06G0005310-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSMEVLDNDPVDFENNSSLWVPPEPEDEEDDHDGEHDDDEGVDATGSGDIYAQTALAVGTVEAEKVPLVDKSGKESWLDIVTSLSWEAASLLKPDTTSKGGQMDPGGYVKETDYLKMAVAKIKAHQPSVVLVEKSVSRYAQDLFLEKNISLVLNIKRPLLERISRCTGAHIVPSIDYLSSQKLGRCDLFHVEKYVEEHGTAGEILLKGANGDELKKVKHVVQYGVFAAYHLALETSFLVDEATVGPLAQQSNMHCPDGTGDVNIKMNLHDSLPGTTRNALVNDFSVSPAHPMNLVSAKGDKVADKPAAPLNEQISHDDDSAKDNSVAKTDEIPASPADNQSILVSLSSRCVWKETLCERPHLLRIKYYGNFDKPLGRFLRDQLFDQSNLCQSCELPPEAHVYCYVHPQGSLTISVHSVYLPPPKLDFTSEHQEWVEEEAKEVDDSAELLFSEVLNALHKISGGRPITGSFDGNLKILELRRNIGELEEILVAEKTDFMESLNNLLKKDMRKGQPFIDIFEVNKLRRHLLLLCYLWDQRLKFVANSGGKYCDALAGLRIGSGNSDFNGKSVGATPAPKLEKGSKVTEILSTAKEGLLQQSSCPPHGEDEVFNQANESTENSSGNVAELNGTEDSIAKINHVTSADVKDRLDNQESRTGVRRVVSDGQFPVTTDIPDTLDAKWRGQNGPAPDSNLAKPVPSVEDTTVDVKSQTKAVHTSTFTVRSGDAAEELLRWLKMPYMTSNSSLNTTTSSPLRFTSLADYIPKYVELFCELSQKGGARLFLPTGANDIVIPVFDDEPTSVISYALVSPMYCFQLSDESSKNRDKDSSLPLPVYDSGNFNPFHLFEEFGSHYDVTSSVSGVRGSLAPDQVHLSVSFEDGGPLGKVKYNVTCYYAKKFEALRRSCCPSELDFLRSISRCKKWGAQGGKSNVFFAKSLDDRFIIKQVTKTELESFLKFGTEYFKYLSESISTGSPTCLAKILGIYQVTIKHVKGGKESKMDLLVMENLLFGRNITRLYDLKGSSRSRYNADSNGSNKVLLDQNLIEAMPTSPIFVGNKAKRLLERAVWNDTSFLAGIDVMDYSLLVGVDEEKHELVLGIIDFMRQYTWDKHLETWVKSSGILGGPKNASPTVISPMQYKKRFRKAMSAYFIVIPEQWMPAIINPSKSSSNICQEDSQNGSQE >Sspon.08G0008990-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:34866492:34871072:-1 gene:Sspon.08G0008990-2B transcript:Sspon.08G0008990-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPIRVLTALDQARTQYYHFKAIVIAGMGLFTDSYDLFCIAPVMKLIGRVYYAPADPNRVDADGRPRPGLTPPAVVSATVGVALLGAVAGNLAFGALGDRAGRRRVYGASLLLMLCASVGSGFSVCRTRGCALASLCLFRFLLGVAVGGDYPLSATIMSEFANHRTRGAFIAAVFSMQGFGILASSAVTMAVAAAFDRCTGRRAPLDDTPEAADLAWRIILMIGAVPAAVTFYWRMAMPETARYVRVRNHSFTALVEHDVVKATHDIGRVLADLDLASITEEEVAAFRRTTPAAQFGGLTTASSYGLFSRRFLRRHGRDLFACASAWFLLDIPYYSSTLFQSQIYRPWFLPADHVNAFQEAFNVAKFQAIIA >Sspon.01G0048160-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:22609295:22611794:-1 gene:Sspon.01G0048160-1P transcript:Sspon.01G0048160-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKTRGMGAGRKLKTHRRNQRWADKAYKKSHLGNEWKKPFAGSSHAKGIVLEKIGIEAKQPNSAIRKCARVQLVKNGKKIAAFVPNDGCLNYIEENDEVLIAGFGRKGHAVGDIPGVRFKVVKVSGVSLLALFKEKKEKPRS >Sspon.07G0004610-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:8030726:8035163:1 gene:Sspon.07G0004610-3C transcript:Sspon.07G0004610-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAIGGAELHGFRGAAAQLPRSRMLGRLIRVGPPAAATPGGGGGGGASAGSIRAVSAPAKKDASEVKRSKVEIIKEKSNFLRYPLNEELVSEAPNINESAVQLIKFHGSYQQTDRDVRGQKNYSFMLRTKNPCGKVPNQLYLAMDTLADEFGIGTLRLTTRQTFQLHGVLKKNLKTVLSTVIKNMGSTLGACGDLNRNVLAPAAPYVKKDILFAQQTAENIAALLTPQSGAYYDLWVDGEKIMSAEEPPEVTKARNDNSHGTNFPDSPEPIYGIQYLPRKFKIAVTAAGDNSVDILTNDIGVVVVSDDAGEPIGFNIYVGGGMGRTHRVETTFPRLADPLGYVPKEDILYAIKAIVVTQRENGRRDDRKYSRMKYLIDRWGIDKFRAEVEKYYGKKFESFRPLPEWQFNSYLGWQEQGDGKLFYGVHVDNGRVAGQAKKTLREIIEKYNLDVSITPNQNLILCGIDQAWREPITTALAQAGLLEPQDVDPLNLTSMACPALPLCPLAQTEAERGILPILKRIRTVFNKVGIKDSESVVVRITGCPNGCARPYMAELGFVGDGPKSYQIWLGGTPNQSALAESFMDKVKLDDIEKVLEPLFSYWNSTRQEGESFGSFTNRTGFDKLKEVVNKWAESPSAA >Sspon.05G0003240-1P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:5A:9686922:9687398:1 gene:Sspon.05G0003240-1P transcript:Sspon.05G0003240-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RPRRHGPRPDRRQRLRQDHAPPHAGGLLAPLGGEILWNGHDITSPGVFQQYKLQLNWMSLKDAVKEKLTVLENVQWFELLEGKDGSRSGPAIELMGLGRLMNEKARMLSMGQRKRLQLARLLAIDRPIWLLDEPSVALDAEGTRLLEYIIAEHRKKGGI >Sspon.02G0041230-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2B:75025203:75025541:-1 gene:Sspon.02G0041230-1B transcript:Sspon.02G0041230-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLQRIGVARCHAKMQTCGGYVRALTPGVAHSGLSRRDVTALGRAHPQPLMLSVARRERGDGEERERRFLKEGRRGWRIHIMERDVRAKDPCDLLTSIHEVTRGHTAPPISS >Sspon.02G0002590-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:8472455:8476144:-1 gene:Sspon.02G0002590-1A transcript:Sspon.02G0002590-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHAHLQAAGILIFRSLPTAPSTGRRPLQACSHSWSLLHCMFDSIDDTVTFAQASAGVLCYVLPLLQLLQTKVIDILSFYPHVLHTETA >Sspon.01G0030520-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:116814555:116819219:1 gene:Sspon.01G0030520-2D transcript:Sspon.01G0030520-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPHGGLDDQIERLMQCKPLAEPEVRALCEKAKEILMEESNVQPVKSPVTICGDIHGQFHDLAELFRIGGKCPDTNYLFMGDYVDRGYYSVETVTLLVALKVRYPQRITILRGNHESRQITQVYGFYDECLRKYGNANVWKTFTDLFDYFPLTALVESEIFCLHGGLSPSIETLDNIRNFDRVQEVPHEGPMCDLLWSDPDDRCGWGISPRGAGYTFGQDISEQFNHTNSLRLIARAHQLVMEGFNWAHEQKVVTIFSAPNYCYRCGNMASILEVDDCREHTFIQFEPAPRRGEPDVTRRTPDYFL >Sspon.05G0002970-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:8897312:8905814:1 gene:Sspon.05G0002970-1A transcript:Sspon.05G0002970-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALITNVSDYEDLAKQKLPKMVYDFYAGGAEDQWTLKEAFSKILFTLPENVALKCFEGLDLSKIDKTNASGLAAYVTSQIDSSLSWKVVREAKGRVPVFLDSGIRCGTDVFKALALGASGVFIGRPVLFALAVDGKAGVRNALWMLRDELEITMALSSCTSLKDITRDRVITESDMISRIKGK >Sspon.01G0037240-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:17549739:17551857:1 gene:Sspon.01G0037240-3D transcript:Sspon.01G0037240-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAVYGGLKGKLGVEDAPELQLNRIRITLSSKNVKNLEKVCADLVKGAKDKQLRVKGPVRIPTKVLHITTRKSPCGEGTNTWDRFEFRIHKRVIDLISSPDVVKQITSITIEPGVEVEVTIADV >Sspon.02G0023970-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:77690198:77691440:-1 gene:Sspon.02G0023970-4D transcript:Sspon.02G0023970-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding HVRRAGSRVPAPPRGHLRRRRGGRVHGLLPLHPRRVPHRPDARREVRPGVRRLGESRRVPGARLVRLHPGAVQARAGLLRAPPPPGRRPRRRRRLRLPPRPHALRPAPPRTPGPPPPRRRTTRGSRPGSTPPRPPPRRGSSGPPDTTAQVHPGLFTKAVLAASGAEVVIGEVERVVARDGRVAGVVVKGRDAVVDADAVVLALGPWSGRLEVVSEVFDVSGLKAHSIVLRPREPEKITPHCLFLSYQPEPGAKMLDPEVYPRPTGEVYICGMTKDENPPDDPATITGEPESIAMLHKIAGKVSSQLKKEEGAEVVAEQACYLPCTTDGLPVIGEIPGVKGCYVATGHSCWGILNGPATGAALAELILDGKAKIVDLDPFSP >Sspon.05G0012020-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5A:35043356:35045056:-1 gene:Sspon.05G0012020-1A transcript:Sspon.05G0012020-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding EKVRIDTDEGQIICNLIAVHAGLERTIDLNEQLSVLRTRGTRVPKDLTDKQTIIVSGHHGKLHIDGGYED >Sspon.02G0014930-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:33794626:33798279:-1 gene:Sspon.02G0014930-3D transcript:Sspon.02G0014930-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VADVKKVIESMQEQASYPADQQVLIHQGKVLKDDTTLEENQVVENNFLVIMLRQYNCNLSQNKGSSSAAPAKATANQAPPTQTVPAPQTTEADSYGQAASNLVAGSNLEGTIQSILEMGGGTWDRDTVLRALRAAYNNPERAVEYLYSGIPEQMDVPAPPPSSQPANPVQAAQPAQSAVPSSGPNANPLDLFPQSLPNASANAGAGNLDVLRNNAQFQNLLGLVQANPQILQPLLQELGKQNPQVMQLIQENQAEFMRLINEPLEGDEENEMMLDQMADAAETIAVTPEENEAILRLEGMGFDRALVLEVFFACNKNEQLAANYLLDHMHEFDNDDGLGGPPL >Sspon.06G0020330-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:9088219:9089485:-1 gene:Sspon.06G0020330-2C transcript:Sspon.06G0020330-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGENQEAQTGNVPAASASASASAPKPSASAAGAGKGLEGQSVVRRLQSELMALMMGGDPGVSAFPDPEGDSMLHWVGTIAGSAGTPYEGTSYRLALSFTAEYPYKPPQVRFDTPCFHPNIDAHGNICLDILQDKWSSAYDVRTVLLSIQSLLGEPNNDSPLNTQAAALWANQEEFRKIVQKLYKPAA >Sspon.05G0034820-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:64336712:64336978:-1 gene:Sspon.05G0034820-1C transcript:Sspon.05G0034820-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMIDRTWLTSVFFVSGGARELKRAVPIAHEEGEELMGSLMSPSMTILVGDRFLCWVNYDIGVVVCDMVEEASPKLRHVPLPVSYDPSY >Sspon.01G0001420-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:3138550:3141569:1 gene:Sspon.01G0001420-2B transcript:Sspon.01G0001420-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLVLLLLATFLPPTALAAFSPAFSFFLACGAGSTVSFPRDNPARNFTPDDGYLTTKRVPAVTNASPNSAASPLYAAARASSSAFSYRLSFSDSAGQAKFLVLRLHFFPFSPASPSTVSISSARFAVSVQLQDAYTVLSSFSPPGAGVVKEFFVPAGGSGDFRVTFTPAAGSAAFVNAVELFPAPAELLWNGSVTPVGAGAAASDIAAWRKDALETVYRLNVGGPEVPEENDTLWRTWLPDDPFLFSPAGTSMLKNTSTPIIYDAIYTSEVAPDVVYKTQRAAANESAAGATAVPRRFNVTWTFSAEPGSNYLVRLHFCDYELLSSVVGVGIVFDVFVAQSIGTRDFTPTQSETQANTALYMDYAATAPISGNLTVSIGMSDKSSPGEGGFLNGLEIMKVAAGSRASNRRVLIITLSAVLGASVLAFAALCLFFVVRRKRRMARPAPLEKESSKPLPWSQESSGWVMEPSSRSGEGTTGAMQRVSTQLHIPLEELRSATDNFHERNLIGVGGFGNVYRGALATAPAWRFGYLDPEYFKTQQLTDRSDVYSFGVVLFEVLCARPVIDQALERDQINLAEWAVGWQRRGQLDRIADPRILGEVNENSLRKFAETAERCLADYGQERPSMADVLWNLEYCLQLQETHVRRDAFEDSGAVGAQFPEDVVVPRWVPSSTSFMTTADPDDTVVTDVGVASSKVFSQLSSGEGLKDFDLSSVTGFLAYPYYMDFIVDVKDEGILKLAIGGSKKSLSDE >Sspon.08G0004780-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:14483961:14486831:1 gene:Sspon.08G0004780-1P transcript:Sspon.08G0004780-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATNSAVMVLLLVAAALLACAGGARGFYLPGVAPADFRKKDPLAVKVNQLSSIKTQLPYSYYSLPFCKPDTVVDSAENLGEVLRGDRIENSLYVFEMMEPRLCQIVCKITPSQDEAKDLKEKIEDEYRINMILDNLPLVVPIKRLDQEAPTVYQQGVHIGIKGQYSGSKEEKHFIHNHFTFLVKYHKDVNTDLARIVAFEVKPYSIKHESDGDWRGNATPLKTCDPHSRHLVVDSDSPQEVDANKEIIFTYDVNFEESPIKWASRWDAYLLMADDQIHWFSIVNSLMIVLFLSGMVAMIMLRTLYRDINKYNQLEDQEDAQEETGWKLVHGDVFRPPANADLLCVYVGTGVQFFGMLLVTLLFAILGLLSPSNRGGLMTAMLLLWVFMGLFAGYASSRLYRMFKGSEWKNVTIKTALMFPGIVFAIFFVLNALIWGEKSSGAVPFTTMFALVLLWFGISVPLVFVGSYLGFKKPAMEDPVRTNKIPRSIPEQPWYMNPVVSVLIGGILPFGAVFIELFFILTSIWLHQFYYIFGFLFLVFVILILTCAEITIVLCYFQLCGEDYQWWWRSYLTSGSSALYLFLYATFYFFTKLEITKTVSGVLYFGYMLIASYAFFVLTGTIGFYACFWFTRLIYSSVKID >Sspon.01G0016510-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:53707454:53709681:1 gene:Sspon.01G0016510-1T transcript:Sspon.01G0016510-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAILARAGVTATAGKSLSSPTGRRQRNGIVVAAVTRTGTGVPQEGALERPAWSGETPLSRLVGALVAFKPLYSLLKVASREVIIRTAEKSNIPWREMTKEVLESDVYEVFERIQDPNLVYPDYYLNPFHAYDEGNLSWLAAAEAEPATMSIAKRAIPDATSIEEANQIVRGNWLNVNDILDIGCSVGVSTRYLAEKFPSAQAVGLDLSPYFLAVAAQKEEKLLRPNPIRWVHANGEATGLPSDSFDLVSLAYVCHECPARAIAGLVKEAFRVLRPGGTIALTDNSPKSKVLQELSPVLFTLMKSTEPFLDEYYMLDLEETMRKVGFVNVCSILTDPRHRTVTATVPY >Sspon.07G0019260-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:74969970:74971464:1 gene:Sspon.07G0019260-2C transcript:Sspon.07G0019260-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAASLLKSSFLPKKSEWGATRQAAAAPRPATVSMVVRASAYADELVKTAKTIASPGRGILAMDESNATCGKRLASIGLENTEANRQAYRTLLVTAPGLGQYISGAILFEETLYQSAVDGRKIVDILVEQGIVPGIKVDKGLVPLAGSNNESWCQGLDGLASREAAYYQQGARFAKWRTVVSIPNGPSELAVKEAAWGLARYAAISQDNGLVPIVEPEILLDGEHGIERTFEVAQKVWAETFYAMAENNVMFEGILLKPSMVTPGAEAKDRATPEQVAEYTLKLLHRRIPPSVPGIMFLSGGQSEVEATQNLNAMNQGTNPWHVSFSYARALQNTCLKTWGGQPEKVKAAQDALLLRAKANSLAQLGKYTSDGEAAEAKEGMFVKNYSY >Sspon.03G0036410-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:59841055:59842884:1 gene:Sspon.03G0036410-2C transcript:Sspon.03G0036410-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AVSLPAIQQFINYSSGLGGAPNANGDSMATRVAADHDTHQDFQPTSKSSDMSFSDIVAQDVKEHPVVIYMKGYPDAPRCGFSALAVKVLQQYGVPISARDILSDLKLKESVKAYSNWPTFPQIFIKGEFVGGSDIILTMHQASELIIAEG >Sspon.03G0016800-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:73948593:73949542:1 gene:Sspon.03G0016800-2C transcript:Sspon.03G0016800-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAANSSRALLPVLALAGVVFFLLAPAAAAAADAAAPQGLEFHVGGPRGWRVLDANTSYGWWAMNNRFRVGDHLYFKYANDSVLLVDRTAFDACNTTEPLATFADGATRFVLDRPGFFCFISGEPGHCEEGQRLIVRVMVHPAIVATPGPASAPATSAQPGHGGGGQGHPPRASSGAATSAVAAAAGVAVAAALAVFVSLVLMLH >Sspon.02G0011880-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:1089963:1092404:1 gene:Sspon.02G0011880-2C transcript:Sspon.02G0011880-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAPVAYPPPPGSAYPAGPYAHVPAAALYPPPPPPPAPPTSQQGAAAAAYREIEATTDFKNHNLPLARIKKIMKADEDVRMIAAEAPVVFARACEMFILELTHRGWAHAEENKRRTLQKSDIAAAVARTEVFDFLVDIVPRDEAKDADSAAAMGAAGILHPAADPMGYYYVQPQIYHGNGEIRYGDTGVDLSKFQVFDT >Sspon.01G0010800-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:29988061:29988474:-1 gene:Sspon.01G0010800-1A transcript:Sspon.01G0010800-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding TAIMERPELELDLGLSLLHRSAPEEPPGFFLCTYCGRKFYTSQALGGHQNAHKYERTLAKRHREMAAAAMRAHRARGQVDPDSVPGGAAGAGSVVAGAESEAAKNARHADPAPWQRGDVVEHADDLDLSL >Sspon.05G0011690-3T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:25738272:25740073:1 gene:Sspon.05G0011690-3T transcript:Sspon.05G0011690-3T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ANGAGGGGGFRRARCWELLCSAAGMLWAKVAGFARKLARIARDDPRRVAHSFKVGLALTLVSVLYYVRPLFNNWGVSTMWAVLTTVVVMEYTVGTYVTYAVVRAFGTLVAGFIAVGAHKVAYLCGDKAEPVLLAIFVFLLCTYVTLCVHVPEVKARYDYGVTIFILSFSLVAVSSYRVDELIRLAHQRFSTIVVGVATCLCTTIFIFPVWAGEDLHKLAIGNLNKLAEFFEGTYLPLHVNYATFENLEAKPFLQVYKSVLNSKATEDSLVSSPHFANHHGKFKFRHPWSQYQKLGALSRQCASSMEALASYVIALTRTEVTADPSIS >Sspon.03G0007690-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:35853800:35859330:1 gene:Sspon.03G0007690-3C transcript:Sspon.03G0007690-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAPSSARGLAPPRPLHPLARGGSERQEMEAVAATHPGGAQRMRRKGRKQKQLWPRTVLRKWLNIRSPESDFSADEGDTTGDDTDSEVEYEEMCAWERKLHDDERSFRGFGAEAIGNQLGVAPCGLHRRRKSETLRAQYIDVRELRICAGTWNVAGRLPPNDLNIQEWLDMEEPADIYVLGFQEIVPLNAGNIFGAEDNRPVAMWEHIIRETLNKISPDKPKYKCHSDPPSPSRFKPSDDAFVMEDELISESDSESDGEVHPLNEQDLVDSVDGNHGNKCEHPTDAPETILQDDEFSRLPSMKTFDRSNNLSFKESNLEEKICQKLLTKTFSNSERLGMIWPEPPLDMLAQCLPDSTKSFASGKALRSYLSFKSVNGDSGPFAEDNSVPDFNINCAAVKRKRPYFVRIISKQMVGVYLSIWVRRSLRKHIQSLKVSTVGGSISVSMSIYQTHFCFICCHLTSGEKEGDELKRNADVQEIHRRTIFNPVSRVNMPKTIYDHERIVWLGDLNYRINLPYEKTHELISKQDWNELFGKDQLKVELQKGHLFEGWTEGVINFPPTYKYKVNSEKYISDDHKSGRRTPAWCDRILSHGKGMRLLSYKTVDLRLSDHRPVIAVYMADVERALTFTDAEVEEQLSFEEDRAKDFSKVT >Sspon.03G0027130-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:9086517:9089242:1 gene:Sspon.03G0027130-2C transcript:Sspon.03G0027130-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLELDTRLAASSNVLCLRSLGRNATSKVVPACAREHTMSGGAEMIAGAIVQHVASKLGQTAWDRMGMLWSFNDDVQDMEDKMVTLQVALTCADKRSRGTDDALAQHWLKKYKSVAYDIEDALDELEANATIWKRSASTSTVKLFFSSINPLIVRITMSNKMRSIRLQLDKIAGDVKKFDFLPSSMSSQSKLIMKRGEKRILMVGREREKKHFLKLLQNYGDQESSIISIVGLGGMGKTTIAKFVYNLNEIDEFHFDLKAWVYVSMDFKLEKVIADIISQLDGHIPVKDATLHHLKSQLDHILYDKVYLIVLDDLWEERVHTLEELVAMLQSGKKGSKIK >Sspon.06G0000350-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6A:2078359:2079534:-1 gene:Sspon.06G0000350-1A transcript:Sspon.06G0000350-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MACAYMIRQKRSLAAVKQRYFKQHGGLLLFEEMKSKQGLSFTLFTEEELEEATGGFDERNVLGKGGSGTVYKGSLRDGRAVAIKKCKLVSERPEKEFGKEMLILSQVNHRNVVRLHGCCLEVEVPMLVYEFVLNGTLYQLIHGHRHGGSRVSFATRLKIAHEAAEALAYLHSWASPPIIHGDVKSPNILIDESYAAKVSDFGASTLAPTDEAQFVTFVQGTYGYLDPEYMQTSKLTSKSDVYSFGVVLLELLTCRKAMNLQALDDEAINLSAQFLHAMGEKRLDEILDEQIKGEQSMELIEQVAELAKQCLEMESDKRPSMREVAEELDRVRKLSQHPWGSQEETCDEEELKALLVGSPGTGTSSEIELSITTNAYVSMTDSAYLGIRSPR >Sspon.01G0008910-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:24905596:24908148:-1 gene:Sspon.01G0008910-1A transcript:Sspon.01G0008910-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to Cytochrome P450 CYP714B3 [Source: Projected from Oryza sativa (Os03g0332100)] MGNVVFLHVSRPDVVRDINLCVSLDLGKSSYLKATHEPLFGGGILKSNGEAWLHQRKIIAPEFFLDKVKGMVDLMVDSAQPLLKSWEERVDRNGGITDIKIDDDIRAYSADVISRTCFGSSYIKGKEIFMKIRELQQAVSKPNVLAEMTGLRFFPSMRNKQAWELHKQVRKLILEIVKESGEERNLLSAILHSASTSRVGIAEAENFIVDNCKSIYFAGHESTAVTAAWCLMLLGLHPEWQDRVRAEVHQVCRGRPVDSQSLQKMKNLTMVIQETLRLYPAGAFVSRQALQELKLGGIHIPKGVNIYIPVSTMHLDPELWGPDVKEFNPERFSDVRPQLHSYLPFGAGARTCLGQGFAMAELKILISLIVSKFVLKLSPNYQHSPTLKLIVEPELGVDLTLTSAK >Sspon.01G0032670-3D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:113289907:113293232:-1 gene:Sspon.01G0032670-3D transcript:Sspon.01G0032670-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DPWALGFCFWQHAVPRHNDSSLTRAPALCPSSLPPPHIPVESADPPMAAAVAAATTAEDEARLLRLEEQAEHGGGGAWEYLCLARRLRARRPAHVLRVGLALLNNASARSGLASEQWTLYEQVAVAAMDCQRLDVAKDCIGVLSKQFPGSTRVARLEALLFEAKGEWTEAERAYALILENNPFDQIVHKRKIAIAKAQGDMSIVVDYLNKYLELYKQAAFCYEELILAQPTIPLYHIAYAEVLYTMGGLENLQTAKKYYASTIQLTGGKNTRALFGVCLCTSAINQLTKGRNKEEEGSELQSLAAEVLLKNYKQQAPSKVPLISTMLKNMKLS >Sspon.03G0036190-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:88621280:88622383:-1 gene:Sspon.03G0036190-1B transcript:Sspon.03G0036190-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVHPCCSEEKKVRKGLWSPEEDERLASHIARFGVSCWSSIPELAGLQRCGKSCRLRWMNYLRPDLKRGRFSQQEEDLIIVLHKALGNSWSQIAARLPGRSDNEIKNFWNARLRKKLRQKEASTSSSTAGSKEAAARRSRNSAEDDDRTKPAPSVFTPFVPDHVAATAGASGASSCDDSSAAGSFVADPAAATCAATAVRLSDAADRNAAAAESGVTPTPSLTTSTSVCTDDAWGSCDDGFLRAMVDDPSFLFGDFYIDGDGGHHGQIMSFWEGHAFS >Sspon.08G0005170-3C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8C:15997233:16002520:-1 gene:Sspon.08G0005170-3C transcript:Sspon.08G0005170-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding QFYRIHVGIQSPEGFSSSHGILRRFSDFLKLSSDLKSAFPRKDVPSAPPKHAFLRINSSRLLLEERRHALEEWMQKLLSDIDLSRSAPVAAFLELEAAARSSLTRGSSLTGATGNGVLGEAILDHSDEHVSSALNHRKGNLVLEHDGRNGSVASYRGVVSEEDRDSNPGHARKDSAESIGSDLSSLRGSELSVPGASSSLWDGAVVDGHISQTEHLTGLDMHLLYDMDAQVILPNDQKQKLTRLLVTMQRRIGTAKTDMEDLIARLNQEAAVKEYLTTKVKDLEVELEATKQKGRETLQQAIQAEKERITQMQWDMDELRRKYSEMESNLKTEQNEKTRAESEKTTASGENETLLEELEIKQKEVESLKQRLVETEAKSKADKKVLVKEVKSLRNSQTEMKKVLNQYLEEKTDLERVINREKQRSARTKLSRLKILHECRLLRERLLECSAKFLAEEQDNFTIDPSSLPDALDLLATSDNRIRLLVAEAQLLARDDEQGSSDDGDNSDSRSSLTMSSEDTKVTDEDATKMLSDLLIDNAQLRLRLNAVIRNAVNTAVKPEKEGSGDVLPKKTVLNWLLDR >Sspon.06G0004870-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:14512692:14520804:1 gene:Sspon.06G0004870-3C transcript:Sspon.06G0004870-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKNAEAVESWTTSFYRRSAHWGDAVGGGATPRAGGDGDGEDEEIRRCEQRLEREDAVPYDRDFDRDPVLVGGAAKDWNKCYVGCEFGFSASKTPDATFGIAPDPSVDGILRSMESSQYYSENNIDVARGRGYKIVMTTSLSSDVPVGYFSWAEYDIMAPVPPKTEEALAAAFISNCGARNFRLQALEMLENLDVKIDSYGSCHRNRDGKVDKVDTLKRYRFSLAFENSNEEDYVTEKFFQSLVAGSIPVVVGAPNIQEFSPGEGAILHIKELDDVASVAKTMKNIASNPDAFNQSLRWKYDGPSDSFKALIDMAAVHSSCRLCIHIATKIHLKEEMTPKFTNRPCSCSSKKGTVYHLFVRERGRFKSESIYMRSDQLTLGALESAVLGKFRSLNHVPVWKDERPLSIRGGDDLKVYRIYPVGLSQRQALYGFRFRDDSELEQYIKDHPCAKLEVIFV >Sspon.02G0011110-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:6369941:6372823:-1 gene:Sspon.02G0011110-3C transcript:Sspon.02G0011110-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFPRPLLAVLFATVLASSSLSTPAHAACAAEKISGNRMFATCADLPRLGASLHWSYEAATSSLSVAFLASPPSGGWVAWGLNPQAQSMVGTQALVAVPKGSGGGYEVQTYSISGYSLGNPGPLPNYQTSDLAAEVGADGRVQMFGTLKLQNGTGAEVNQVWQVGPYSGGIGAHDTQPGSDSMNAKGTLNLLTGATTAASGGGSILRKKNTHGILNAVSWGLLLPMGAIFARYLKTFKSADPAWFYLHVACQLIGYGVGVSGWATGIHLGNLSKGITYSLHRNIGIAVFALGTLQIFALFLRPKKDHKYRLYWNIYHHSVGYTIIILGIVNIFKGMSILQVEQKWKTGYIIAIAILGAIAVILEVVTWGIVLKRRKEDSKTYNGTSNGGRLPLS >Sspon.04G0005330-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:11726984:11730580:1 gene:Sspon.04G0005330-2B transcript:Sspon.04G0005330-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLAVEAFKTLLVRTKARIVEGDAGKVICREADRLKPAAVILGTRGRGLIQREVSVSIASTTVKQHQLSLCLAKVV >Sspon.01G0003830-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:8997505:9004006:1 gene:Sspon.01G0003830-2B transcript:Sspon.01G0003830-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTVLRVHFPSEIPIVGCEITPYVLLRRPDGGVFTDDVSEAAPVDGYFMRYKYRIQSDRRAAICSVHPTEQATLQCIGCLKSKIPVAKSYHCSAKCFSDAWQHHRVLHERAISALNENGTEDDELFGRFGSGSSSSGIISAALSGSTSNLSQSSGVNNGPTPVYPTGTEKSSGETWFEVGCSRTYTATADDIGHVLRFECIVVDVETRGTVRAPTSVMTSRVIPAPTPTPRRLIPVNAADAMGHFDLDSRTSSFGTFTVLSYNILADTYATSDTYSYCPTWALTWAYRRQNLLREIIGYHADIICLQEVQSNHFEEFFSPELDKHGYQALYKKRTTEVYTGSPQAIDGCATFFRRDRFSHVKKYEVEFNKAAQSLTDAIIPAAQKKLALTRLVKDNIALIAVLEAKFGNHGTENPSKRQLLCVANTHINIHHDLKDVKLWQIHTLLKGLEKIAVSADIPMLVCGDFNSTPGSTSHWLLARGKVDHLHPDLAIDPLGILRPLSKLTHQLPLVSAYSSFVSMAGVGYDLDHQRRRMDPATNEPLFTNCTRDFTGTIDYIFYTADSLTVESLLELLDEDSLRKDTALPSPEWSSDHIALLAEFRCKPREEL >Sspon.08G0016620-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:65412206:65414126:-1 gene:Sspon.08G0016620-1A transcript:Sspon.08G0016620-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPSLRYLAGTAGPSGFGSRTTAEEATAAGRDLGHITAIITGATSGIGAETARVLARRGARLVLPARSLKAAEEARARVRAECPGADVAVLPLDLSSLASVRRFVKRFLDLGLPLNLLVNNAGKYADRFAVSEDGVEMTFATNYLGHFLLTRLLLDKMAETARDTGIEGRIVNVSSTIHSWFPGDDDALGYLDRVTRRKIPYDPTRAYALSKLANVLHTRALADRLREMGANVTANCVHPGIVRTRLIRDRDGLITNTVFFLASKLLKTIPQAAATTCYVAVHPAVAGVSGKYFADCNEASPSRLGDSSEEASRLWTFSENITEKVQKTSSVHAVSTGFRLQAQSSKADRAMALAA >Sspon.04G0000650-4P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:21561758:21564535:-1 gene:Sspon.04G0000650-4P transcript:Sspon.04G0000650-4P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCKACQKPKVQYRKGLWSPEEDEKLRDYILRYGHGCWSALPLKAGLQRNGKSCRLRWINYLRPGLKHGVFSREEEETVMSLHAKLGNKWSQIARHLPGRTDNEVKNYWNSYLKKRVEGGGAQGAADPATPARSDEHGSPDPSENNGQGSVVSRPANSDSSEPAVESSSADDSSCLTVTEPAGAGAGAVRPHAPVLPKVMFADWLDMDYGTSLVALRPDAGVFDVGSRSPAQGLSHQGSVQVDGPCGAVDSLHGLGIGGMCWEFDAAADQLDVQAGGGFCDLLSMSEFLGIN >Sspon.04G0031550-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4C:14443257:14444493:-1 gene:Sspon.04G0031550-1C transcript:Sspon.04G0031550-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGHRGPRCPAATTAMLAAPLPVCLACVVGSTLLLTLLGCSRVSLFALLHCPLHVLLGDAVAALGQLVSHPCPALAPLLAGDPSTDLVSPPPTPLLAMADGATSGTPAAKDHQRPIPHTRIIAVEPNDRATPPHIELGPHRAQFGAFLPTAPLRPRQPLARTAPHLTTESLTNCTTIGGRPASWVAGSPRWPAWPASATDAPGGGGVGDLPNAKAGFDEGLSAKALTAGIGHLVVVLFVVSAGVFLLNVQRAGASRSWATSLRAAPAQAVE >Sspon.01G0004480-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:12018102:12018653:1 gene:Sspon.01G0004480-1A transcript:Sspon.01G0004480-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GHGGSGGQEPEVRAGLRGAEPVRQGRAADGGRRGRCPARPGHDAEPHARRGRRRRAGAGARREGRGDGRARLHGGGGAAHHLLRRQGGGVRGLPGGEGGRGHAPRSWRRARGGPGPGPGSARRPAHRQEGVAAAVPGQAQGPPRGARALHPPVPRGGGGEDEAAGGLLAGARRQHGRRAPHHRA >Sspon.03G0021200-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:87672843:87677364:1 gene:Sspon.03G0021200-2B transcript:Sspon.03G0021200-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSAIGSVPASSDAGSPAASGSAPRDATLGRHLARRLAEVGARDVFTVPGDFNLTLLDELEAEEGVRLVGCCNELNAAYAADGYARARGGGVGACAVTFTVGGLSAINGVAGAFSENLPVVCIVGGPNSNDYGSNRILHHTIGLSDFTQELRCFQTVTCYQAVVNNLEDAHEQIDTAISTAIKESKPVYISISCNLPSIPHPTFSRHPVPFFLSPRLSNQMNLEVAVEAAVAFLNKAVKPVLVGGPKMRVSKACKAFVELADACGYPVAVMPSAKGLVPEHHSRFIGTYWGAVSTPFCAEIVESADAYLFAGPIFNDYSSVGYSLLIKKEKAIIVQPERVVIGHGPAFGCVLMKDFLHALATRLKKNTAAYENFRRIYVPPGEPLSSGPGEPLRVNILFKHIQAMLSGNTAIIAETGDSWFNCQKLKLPEGCGYEFQMQYGSIGWAVGATLGYAQAAKDKRVIACIGDGSFQVTAQEVSTMLRWGQNTIIFLINNGGYTIEVEIHDGPYNVIKNWNYTGLVEAFYNGEGKCYTAKVRTEEELKEALKAALGPKKDCLCFIEVIVHKDDTSKELLEWGSRVSAANSRPPNPQ >Sspon.01G0046880-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:72589683:72590640:-1 gene:Sspon.01G0046880-2D transcript:Sspon.01G0046880-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Methionine gamma-lyase [Source:Projected from Arabidopsis thaliana (AT1G64660) UniProtKB/Swiss-Prot;Acc:Q9SGU9] MAQTMAATSELVTLKRPFGGNDGFGDGGSNGTVADEKPKARRREADPAAALASARHEFGEHGGVNMSIEASATFTVMEPDTMRRLFAGELGPDRGDMYIYSRHFNPTVLALGRQMAALEGTEAAYCTASGIWWAPGGHVVASRCLYGGTHALLARFLPRTSGVRATFVDADDEAAVRAAVVPGETRVVYVETMSNPTLAVADIPMLARVAHEAGAKLVVDNTFTPVVVSPARLGGRRRPVAPTSSPPPILFASSLACACDDKIRNAASLILRAKRTFYDALALH >Sspon.04G0018830-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:67383372:67386663:1 gene:Sspon.04G0018830-1A transcript:Sspon.04G0018830-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSGTCLLGVAAAVFAWLASCSHAFPFPIPLLDPYTSQKDVDAINDLYAALGSPDLDGWTGSGGDPCREAWQGVQCDGPNVTAIRNGNHFTIPPIPGSSPTPATPPPPLLPPSHVPPPAAPDEPPVLSGSHPPIYVIPAPPQDAPPSRHRGRVSPAKAAGFSILAAGSLSVAVVAILFTASKRRRERSLRVGYLRGAEMSTPSSVREPPRLRAVAIAKPEKDKDHRSAAEEKIERTPRDYVKAAGSSFKNSSNGSTVSDKKNVQRSSEGPPLHLQVPFTLFTVASLQQYTNGFSDQDLMRETCFGKVYPADRPTGSKLSVLKLDGDAARTPVAEFLKTVHGVAGLRHPNVEELVGCCVEHGQRLLVYTHFSDRTLDDMLLFLLTSLCLCLVCFARYLHEGAGKQVVHRHFRPEHVLVDGELRVSVSGCGLAPFVPQLSDYCGLTLSYEPPEAAGGGGAAAWTPKGDVYSFGVVMLQLLTGRKPYDSSRARGERHLVPWASARLYDLAALGKMADPRLAASPPPVRSLSRFADIISRCIQ >Sspon.08G0015250-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:56216033:56219417:1 gene:Sspon.08G0015250-1P transcript:Sspon.08G0015250-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALASGTSRPLLGRPAGTARPHLAVSSSSPASSIRFRRGAGAGRAAVVSLRAPAPPAAAAAATSGSIAPAISLTEKALKHLNKMRAERNEDLCLRIGVKQGGCSGMSYTMEFESRANASPGDSVVEYDGFAIVCDPKSLLFMFGMELDYSDALIGGGFSFQNPNATKTCGCGKSFATGKETEAAATACNN >Sspon.06G0002900-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:7644512:7652037:-1 gene:Sspon.06G0002900-2C transcript:Sspon.06G0002900-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAAVMAVALLVALVVAAATLPGTASASPAEGIQPLSKIAVHKATVEMQPSAYVQATPSLLGEQVINTRCPWRAAATMAAALVVALVAAVAMLAGTASASPAEGIQPLSKIAVHKATVEMQPSAFVEVTPSLLGEQAHTEWVTVKYGWTYPSDDDWIGVFSPSEFNSSATCPNPWPAEEPYLCTAPIKYQFANYSANYIYWGKGSIRLQLINQRSDFSFALFTGGLDNPKLIAVSEPISFKNPKAPVFPRLAQGKSHDEMTVTWTSGYDISEAYPFVEWGALVAGAAQPQQTARAPAGTLTFNQGSMCGEPARTVGWRDPGFIHTAFLRDLWPNKEYYYRIGHELPDGSVVWGKPYSFRAPPSPGQKSLQRIIVFGDMGKAERDGSNEYAAYQPGSLNTTDALISDLDNYDIVFHIGDMPYANGYISQWDQFTAQVAPITARKPYMVASGNHERDWPDTAAFWDVEDSGGECGVPAETYYYYPAENRANFWYKVDYGMFRFCVADSEHDWRVGTPQYDFIEHCLSTVDRKHQPWLIFATHRVLGYSSNAWYAGEGSFEEPEGRENLQKLWQKYRVDIAYFGHVHNYERTCPMYQSQCMTSEKSHYSGTMNGTIFVVAGGGGCHLSDYTTAIPKWSIYRDHDFGFTKLTAFNHSSLLFEYKKSSDGKVYDSFTIHRDYRDVLRC >Sspon.03G0007700-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:20786034:20791012:-1 gene:Sspon.03G0007700-1A transcript:Sspon.03G0007700-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATALPSSASASATAASCLLQLSRRGLPGASPVLSPPRTATFRWRGGCNVACSCSPGPPPAVPAEPRGDVAGHASSPVATVRIVAVVGEGSISPIKDTPWEEVMRHTADRLKWVDEGFEMLVFTDNLIDHDNLRKELLSHCDMLVNVAITNHDAVQWLINNSKHISNSSPCLVNKLGGTYVQYTGEQDMFGKLASIGKPSGVKESAEVLKTISNAWERHNSDDIRFCLLVVVNAYIRPVSMLKNLRAKGLSTLSCMIKNCGPQILNGLFDPNCRKALQCLNSCSPTDQVCNYRCIASYESPYLEAFSLCVLQKNNCLDLNAEIPSKPNVMPLTMFREQKLSHEIAEDLFVGWLDSMEWSWRVAAGQNPAYDQFPCQYQLFYRGKAKGSLWYEPVFQVKTLEGELVWRRRRYRVRRASTPGTFYFSVLDNGVISKEFWTIVDVAEDFSWGLFHYHGAAQAAGLSYTGAVLVTPDGSYPDVEDPRLASALEKCAIKKWELYTVDNCSCMGAPLGTPEGSKLHHQISPGKETRFPMTPLHVMLQFESVYAIQWIMESSSF >Sspon.07G0030010-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7C:752653:753193:-1 gene:Sspon.07G0030010-1C transcript:Sspon.07G0030010-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEETSFWMDRNHTNGNLQYHFLMQSLQELETSLASNDLKMLENDIFVCIEQLGALKSFTASMTSGATLLDTLTHVSSSHDQSDSSPHDHQIIMFDPETPLDEEQEDTQVVVVPSGKSQERKLRRMRASEKGSRISVGVNLPRPRKSWKASSCQFISEWRSHPGRRSIVCEQSELLETIK >Sspon.02G0045020-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:106701436:106705363:-1 gene:Sspon.02G0045020-1B transcript:Sspon.02G0045020-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASLLSRAAAAARPNRLLARASLLPKETPLPPLLLLLLLLFATATPLAVVKPRRFAAASGRVGWAVRAAEGAGGSRCGPSPLAGAFGIAARCNATSSSSSAVSEAAGVHTLPRTEPVVSAEWLHANLKDPDVKVLDASWYMPAEQRNPLQEYQVAHIPGALFFDVDGISDRTSSASQHLKVKVENNNIKTGAYRSEHILFRGSASSRGPTSSSCTAPGSCHGRIRSTPRRWLAPPPTLTHALPPAPSPPARRRPPALASARIRACSAEPSNASAVAARCRRCFGRERGGADAGAGGPGAGAPGAGAGGPHQARLRARRERRRGRRPRGGRLFHARGRDEDPPGVLLPDPVAASSSPCRAARLCISICTAAFPGVPYRSTTAAALLCSVSFFFCLVRDRD >Sspon.03G0019790-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:47494894:47495403:-1 gene:Sspon.03G0019790-4D transcript:Sspon.03G0019790-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding TMSLVRLMDTLALDSWVRNPFSIFGTAVAADAWLASDTSAFANTYIESRDTAGAYVFSAALPPGVKKEEVTVEVDEGNVLVITGERSVSREERVGDRWHHVERCCASFLGRFHLPDDAAVDGVRAAMDAGMLTVTVPKVGAPAAAIAAATAGEKPNEGPAPVAVEGGPAC >Sspon.02G0023390-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:118765094:118768718:1 gene:Sspon.02G0023390-1P transcript:Sspon.02G0023390-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding WPRTPPGSRWRTSSTRRSARTSSASSTSSCPATAASLMRCPGAPATRPPRSPGARGVRSPVSPAFLAVVPTAPAREPSATCAVADTCLRPPRSGAAGTAASTSTSAASPSPPPSPATAVPSLVLARGHRMESVPELSLVVSDSAESIEKTAQSIKMLKQLGAYADAEKAKDSVGIRPGKGKMRNRRYINSKGPLIVYGTEGSKIVKAFCNLPGVDVANVERLNLLDLAPGGHLGWFVIWTESAFKKLDEVYGTFDTPSAKKKGFVLPRPKMANADLSRLINSDEVQSVVKPINKEVKRREPRKNPLKNMDAVLKLNPYLGTARKMAALAEAARVKARKQKLDSKRTKLNPLSKSSLVKAAV >Sspon.01G0006700-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:17633285:17640494:-1 gene:Sspon.01G0006700-1A transcript:Sspon.01G0006700-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLSRELVFLILQFLDEEKFKETVHKLEQESGFYFNMKYFEDEVINGNWDEVERYLGGFTKVDDNRYSMKIFFEIRKQKYLEALDKHDRSKAVEILVKDLKVFASFNEELFKEITQLLTLENFRENEQLSKYGDTKSARAIMLVELKKLIEANPLFRDKLQFPNLKNSRLRTLINQSLNWQHQLCKNPRPNPDIKTLFVDHSCGQPNGARAPSPANNPLLGSMPKPGGFPPLGAHGPFQPAPTPVPPLAGWMSNPPAVTHPAVSGGAIGFGTPTNPAALLKHPRTPTTANPGMDYPSGDSDHISKRTRPVGMSEEVNLPVNMLPVTYPQSHNYQQDDFHKTVARTLSQGSTPMSMDFHPLQQTLLLVGTNVGDIGLWDVGTKERLVVRNFKVWDLSKCTMALQASLVKDPAVSVNRIIWSPDGTLFGVAYSRHIVQIYSYNGGDELRQHLEIDAHNGGVNDIAFAHPNKQLCIITCGDDKTIKVWEATSGVKQFTFEGHEAPVYSVCPHYKENIQFIFSTALDGKIKAWLYDNLGSRVDYDAPGHWCTTMAYSADGSRLFSCGTSKDGESHLVEWNESEGAVKRTYQGFRKRSMGVVQFDTTRNRFLAAGDEFMIKIWDMDSTGLLTTIDADGGLLASPRIRFNKEGTLLAVSTVDNGIKILANADGLRLLRTLENRSFDASRNATETVTKPLILTAAANAAAASSSVAAAPSAITAMNGDSRSLVDVKPRIADESLDKSKVWKLMEITESTQCRSIKLADNMRTSKISRLIYTNSGLAILALTSSAVHLLWKWPRSDRNSGKATASVSPALWQPPSGIFMTNDTTDNNPEEAVHCFALSKNDSYVMSASGGKISLFNMMTFKTMTTFMPAPPAATFLAFHPQDNNIIAIGMDDSTIQIYNVRIDEVKSKLRGHSKRITGLAFSNVLNVLVSSGADAQLCVWNTDGWEKQKNRFLQIPSGRQSNILDTRVQFHQDQMHFLVVHETQIAIYETTKLEPVKQWPVRENSPPITHATFSCDSQLIYASFMDATVGIFNASSLRLQCRILPASYLPPNISPSVHPVVVAAHPSEASQFALGLTDGGVFVLEPLESERKWGNPPPVENGSASNLSTPPPNGASSSDQPER >Sspon.01G0002440-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1B:77966260:77968185:1 gene:Sspon.01G0002440-2B transcript:Sspon.01G0002440-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSPWSNCHGDVRRTRTTGSGGGGSGSGHAVFLPQCSHQDQSHSRCVSGSGIVEANGRPVCQLCIARWRELPSLRSTNPSPPSPVPSSQPFFRPMEPRVFDDDDPVDRTPRPLRDDQHRGASADGGAVALTTHCECSALARDASADDFAVLVHARAPGMAGAVGAAAPARAPLDLVTVLDVSASMVGAKLELLKQAMGFVIDNLGPRDRLCVVSFSSGANRLMRLARMSDAGKSLARRAVQSLAAGGGTNIGEALRRAAKVIDERMHRNAVASVVLLSDGQDTYTVPRRGGYGGRDANYDALVPPSFAYTGAGGPSAPVHTFGFGTDHDAAAMHTIAEATGGTFSFIEDEAAIQDAFAQCIGGLLSVTVQELRLDIACVHPGVRLRAVKSGSYGSRIDANGRSASVDVGELYADEERRFLLFLHVPRARHADDDDTHLVRVACAYRDTATGRSKNVAGEEDAKVRRPWGPVTSARSVEVERERVRVEATDGIAAARAAAERGAHAEAVEILRHRQRSVTRSAAARAGDSTCLALSRELREMRARVASRQRYELSGRAYVLAGLSSHAQQRATSRQMVSGGVAEATRSGESAEERSVALATAGLTTSYMTPAMLDMLGRSRRSSELLQQRQQQTKERRRTF >Sspon.05G0032080-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:13634576:13637240:-1 gene:Sspon.05G0032080-1C transcript:Sspon.05G0032080-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATNKVVVDLRSDTVTKPSDAMRAAMAAAEVDDDVRGADPTARRFQEAMAAIMGKEAALFVPSGTMGNLVSVLVHCDVRGSEAILGDGSHIHLYENGGISTIGGVHPKTVRNNADGTMDIDRIVAAIRPPGGGLYYPTTRLICLENTHGNSGGKCLSVEYTDKVGEIAKSHGLKLHIDGARIFNASVALGVPVDRLVRAADSVSVCLSKGLGAPVGSVIVGSKEFIDKRCIIVKKRRTAKICKLELKYEQYLQAKILRKTLGGGMRQVGVLCAAAHVAVRDTVGKLADDHRKAKALAEGLNKIELFTVDSASVETNMKAVEELLMGSSELEHLADTTTKNSYGH >Sspon.02G0024170-3C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:87574704:87575224:-1 gene:Sspon.02G0024170-3C transcript:Sspon.02G0024170-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQLSAAAAVPQFHGLRGYASPRSAVAMPSVRVGRKRSQGIRCDYIGSATNLIMVTTTTLMLFAGRFGLAPSANRKATAGLKLEARDSGLQTGDPAGFTLADTLACGAVGHILGVGIVLGLKNTGALDQIIG >Sspon.01G0039790-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:36633899:36638740:-1 gene:Sspon.01G0039790-1B transcript:Sspon.01G0039790-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQSMEELSVRFEMMAKQFEGFQSMMQSTLDSLNLMGSWQASADKAFGDLRDRADGTKTSLDVVSSRIDIAASRVDSLEARLVAAPASTAPIPHPLPALRNVNLNAAPGSSSCSPAADGERAKGHGEHCGGILGTRPQDITGGMFPIPIPAPHPRPDDVYIPPTRSPPFPKIEFPKFDGENPRLWRENYEMFFEVYATHPSLKTRFAALNFKGAAASWLQTVQRKARVTDWDYLCELVMSRFDRGQYQLLLNQFENLKQKGTVLEYQSEFEQLAHGLMLYNDGYDDTYFVNRFLIGLREEIRAAITLHQPKDVATASILASLQEEELSRCRAKGYGKEFAKGNFRALQEKSKGVDPDKPKAVWAKPETDDKLATLREFRRKNGLCFKCGGKWDRNHKCPAQVPIHVVEELLDALETGDLEGELSEEEPSEEVVLAVGKEDITSTAKRRTLKLQGTVGKVQILILVDSGSVGTFISDQLAQQLQLPLVPCTAAKFVTADGSPMLCNQKISNMQWSVQGNTFITSVGILPLKCFDMILGQDWLEEHSPMWVHWSKKLMRFTRDGVRIQLQDITKDLSKCPVVTKEGLRGLLNRQALTHCIQFRWCGKEMVEEAGINSVTVPQDICHSPELQKLLEDYGELFQTPTTLPPARPFDHHIQLLPEALPVNIRPYRYSPAQKDEIEKQLAEMLQNGIIKASDSPYASPVLLVRKKDGSWRFCVDYRHLNAQTVKNKHPMPIVDELIDELAGAQWFSKLDFRAECMAIVMAVDKWRPYLQNQEFVIKTDHRSLLHLTEQRVTTKLQQKALLKLMDLQYKIQYKQGPTNQAADALSRCHPVDIVAPITSCKPVWVDRVKEGYEEDPKATQLLAELSAADGSLGAFTLTDGLIRYNGRVWLGNNVIAQQHILQAVHSSGIGGHSGFNATYYRIKKLFSWPGMKQHIKQYISQCQPLPIPEQAWQTVCLDFVEGLPKSNRFDTILVVVDKFSKYGHFIPLAHPFTALQVLYGHQPRHFGISNDAQYHVPDIEQWLLERKLLEDVVKHQLHRAQQRMKHQADKKRLEKEFEEGEMVYLRLQPYIQSSVAPRGNQKLSYRYFGPFKILARIGQVAYRLDLPDDCRIHPVVHISQLKRHVASTESVGSDISLLPDDPNTTLQPMQFLSSRMIQKGASTISQIQVQWSDPAQKLTTWEEAEDLHRRFPTSPAWGQAGFRGGGNVRTFRRTKGRRPKLKTTEDGSGTGGRPTIQYDVKSLSVG >Sspon.01G0045860-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:26912018:26912580:1 gene:Sspon.01G0045860-2C transcript:Sspon.01G0045860-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MYKDQGFEILAFPCNQFGGQEPGTSEEIVQFVCTRFTAKYPIFDKVDVNGEDAAPIYKFLKSSKTGPFSENIKWNFAKFLVDKQGHVTERYAPTTYPLSIQ >Sspon.01G0032220-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1B:105758611:105758952:-1 gene:Sspon.01G0032220-2B transcript:Sspon.01G0032220-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALDESFKRPGTVPFKWEVQPGIPKQQHTAAAPAPGLPPATAPRLALPPAARVGPASAPQPSPSHRRSMSARFATSLALMPLPFTRRGRGGGGGGGGGGRAGAAEFCLLYDENK >Sspon.05G0023410-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:15983805:15986124:-1 gene:Sspon.05G0023410-1P transcript:Sspon.05G0023410-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPSVPSLRLPLRPASPALSRRALAGVSPNVVAPRALLLLKPLASKVPASYHQPAPLLHQRHRHGPPPAAATTASKPVLKDPKKYQEWDSVTAKFAGAANIPFLLLQLPQIVLNARNLLAGNKTALFAVPWLGMLTGLLGNLSLLSYFAKKRETEAVIVQTLGVISTYAVLVQLAMAESMPVPQFVATSVVVAAGLILNFLNYVGWLPGTLWLLWEDFITVGGLAVLPQVMWSTFVPFIPNSVLPGIICGSLAVAAVAMARMGKLSDAGVKFVGSLSGWTATLLFMWMPVAQMWTNYLNPSNIKGLSAFSMLLAMLGNGLMLPRAVFIRDLMWYWIFLFHLA >Sspon.07G0005940-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:13665435:13666178:1 gene:Sspon.07G0005940-1P transcript:Sspon.07G0005940-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MWPSWVKTRSSDSAAASTSTALVPAAGASPRLSIPNPSLKDLRSLLAPDSAAALPSASPSPRVFHRIRVAASALRVLRTLQHSPSPAAAPGAGGKELVPGAWGGGGGGRVVLYFTSLRVVRGTYEDCRAVRAILRGLRAAVDERDLSMDPSYLPELAALLPPHAQQQQLPQVFVGGRHLGGAEEVRRLHESGELRRIVAPAPAFSGNCARCGGERYVLCGACDGSHKRYSLKGGGGFRACAECNENGL >Sspon.07G0022530-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:8978334:8981383:1 gene:Sspon.07G0022530-1B transcript:Sspon.07G0022530-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYSNLQRQAASLKKNLFDQGYLDEQFCQIEDLQDEASPNFTEEVVSFCLRSFQNLKREHGVLRQKLESYFQLLRQAGPAVTAARPRGM >Sspon.05G0023060-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:4901109:4901800:-1 gene:Sspon.05G0023060-1T transcript:Sspon.05G0023060-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAKASWMVAMSVGAVEALKDQAGLCRWNYALRSIHRSAKANAPSFAQAKKLAPAEKRRADKAEEGMRTVMSASVSLAFHMNYPSSRISHK >Sspon.02G0042080-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:52118120:52121636:1 gene:Sspon.02G0042080-2D transcript:Sspon.02G0042080-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLACPAALHLLLPASPPPHHHHHHVAFPAPPLHASLPCSRRARRRAPRHGVARASAAVAEEASSSGPAKFSVRIPVGDREILVETGHIGRQASASVMVTDGETILYCSVCLADTPNDPADFFPLSVHYQERLSAAGRTSGGFFKREGKTKDHEVFSYDGIHSPDCLAITAAGIAVALSEIPNKQTIAGVRIGLINDQFVVNPTTEQMENSELDLMMAGTDSAILMIE >Sspon.04G0008850-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:26103936:26104953:-1 gene:Sspon.04G0008850-2C transcript:Sspon.04G0008850-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DGGQGREGSAGRQDDGGQEHRQGQGQEEGPRVPFLPRRPPVPGGPHPPPAQVACLRARPRRRHRRRLLRRHPRVPHRRGPRAGRQRQQGPQGEAYHPAPPAARHPGGRGARHPHQGHHRRRRRHPAHPQVAHQQDRQGV >Sspon.04G0006700-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:18515689:18519701:1 gene:Sspon.04G0006700-3C transcript:Sspon.04G0006700-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTLHLFCFFLLWRPCLAVPPGSSHGSDDKLSLLSFKSLLLDPAGLLASWNSSNYLCSWRGVVRDLRHPERVIALQMNSFGLAGRISPSLGNLPFIREIDLGNNHLEGQIPEELGQLRRLQLWEGALLSYLDLGMNHLQGELPSEIGSLKNIVFLNLFHNHLSGQIPQSLANLSSIKILDLGNNTFSGAFPSYLDKFPHISYVSFEFSNLSGVIIPSFWNISTLISFSMAGNMLVGTIPPNAFNNLPLLQVSYMDLNQFHGHIPASLGNASDLSKFQLNENFFSGTVPPEVGKLKHLQHLVLYGNSLEANEPIDWKFITALTNCSQLQFLVLYTNRFAGVLPGSVSNLSSSLFGLYLQNNRISGSIPKGIGVGNLINLEALDLSLNHFTGALPSSLGMLQSLRGLSVRNNTLNGSIPLAIGNLTRLNYLEVSSNKFSGTIPSTLGNLTNLLDLHLDNNNFIGSIPTEIFNIHTLSIILDLSYNKLEGSMPENIGNLNNLVELHLEFNMLSGEIPYALGDCQILQNLYLENNFFGGSIPFTLSKLKGLEILDLSSNNFSGHIPEFLGNLSSLYYLNLSFNNFAGELPTFGIFANGTALSIQGNEALCGGIPYLHLPTCSSEWRKKRRRLPVIPIVIPLVATLGMLLLLYFFLTWHKKNYGVLILEMVTGRRPTDNEVEHGLSLRNYVEMAIDNRVMDIINMELVTELENENARVDGAPTRKRLALASLLKLGILCTEETPSTRMSTKDIIKELHEINKALV >Sspon.01G0005630-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:13252408:13256062:-1 gene:Sspon.01G0005630-3D transcript:Sspon.01G0005630-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGPGLFSDIGKKAKDLLTKDYTYDQKLTISTASASGVGLTSTAVKKGGLYSLDVSSVYKYKNTTVDVKVDTESNISTTLTVLDALPSTKLVTSVKLPDYNSGKVELQYFHENATFATVVGTKPTPVIDLSGTVGAQGVAFGAEAGYDTATGKFTKYTAAIGVTKPDYHAAFILADKGDTIKVSGVYHLDEKQKASAVAELTRRLSTNQNTLTVGGLYTVDPQTAVKARLNNTGTLAALLQHELKPKSILTISGEFDTKALDRPPKFGLALALKP >Sspon.05G0000770-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:2072991:2073281:-1 gene:Sspon.05G0000770-2D transcript:Sspon.05G0000770-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding KSGTTDCHEEEKAAGASATPLPTSNCGKKLPSLRNGKADERSGRHASASDAAGIGGGDADAADEGSVETLRRLPPPPARREAPPTGTSRSVPPCVQ >Sspon.05G0002170-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:6468641:6478456:1 gene:Sspon.05G0002170-3C transcript:Sspon.05G0002170-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding TPPPAEASSRWALPPLHPDELTCSALVRTPSAFPPLLPRCGRRSGGVSTSTRPLLLAETRPLLLAEQLPPSSPPPAALLQVRVRLLLCSMAEAPGRGLVLGKRPGLEPFLVAPLLVLVLVPRGPISLLLLQTATRLLPDGCGPDGCYPCRGWCCCPGCLACHCRPYPAAGTNIDTFDENTPPLSDPNYISSLGAATFRGMQSGDNEAIWLMQALLHSVPVGKMIVLDLYAEVKPVWINSDQFYGVPYIWKVGVGMSMEGIEQNPIVYDLMSEMAFHHRQVDLQVWVETYPTRRYGKPVKGLQDAWWILYQTLYNCTDGKDASSDAYDHPHLWYDTNAVIHALELFLEHGDEVSDSNTFRYDLVDLTRQVLAKYANDIFLKIIESYKSNNMNQVTILCQHFLNLVNDLDTLLSSHEGFLLGPWLESAKGLARNSEQEIQYEWNARTQITMWFDNMETKASLLRDYANKYWSGLLRDYYGPRAAIYFKHLLLSMEKNAPFALKEWRREWISLTNKWQSDRKVFSTTPTGDSLNISRSLYIKYLNNADPLELEGTGSPRKSASFK >Sspon.05G0030390-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:82704785:82707262:1 gene:Sspon.05G0030390-2D transcript:Sspon.05G0030390-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIVGNKLAPLLIKEYSSIVGVKEDLQELHDLVEDIIFWLETTAHNSIGSRQSFAWFKKLKDISYDVDDVVDEFQLKSEKYDFDGDCGIVSRYLCKIPKSFVFQCKAANKIKAIKKRFATIMKQRTDYIAITNSLSADPPVRLTNMRTKEVPSSSNIDVESVVGRDKDKKKIISMLEENDDQQNIKIVSVIGLGGSGKTTLAKLVFHDGNIIEKHFDVRLWVHVSQEFDVRDFIKKVFEAFSENNPGPHALPYMTKTISDNLIRKKFLLVLDDVWTDSRDDWEEFMVYIKVGSPKSRILLTTRSREVAVIVGSTNQFHLSLLSPDDSWQLFQQSLVTRPTGWNFEFEEVGKAIVDKCGGVPLAIKVLAGALHGKERIEEWQAMRDKSLSHSNVNGKEDRVVACLRLSYFHLISNLKQCFTICSVFPKGHKIDKEELIDLWIAHEMITVEDGFDYLNLEYVGHKHFESLLQVYFLQNVQEKDGKVTCGMHDLVHELALSILGDEISLDVPNTAINSTKSYRYVSLIKRTENQMPKNIFRKTHAPYMSEYEDYIHGMALKHAKHLRSVTVGDLNAEGANTISQVKYLKYLTISELISTTLPETISNVWSLQALHVRHGYFLQELPQSIGKLKKLRTLKLSHCTDLKSLPESIGDCEMITSIDLCYCEKLAVLPDSIGRNEKLQVLRLSFTKIVRLPSIVTTLRNLECLDLQGCGELVDLPEGIGNLEKLQVLNLKGCKKLVGMPVDIGQLSRLQKLSLFVVGKGEKFARISELANVSSIGEELRIRGMSHVMDPNDGHMACLKQKTNLQRLDLQLMANAEEVNTELD >Sspon.03G0007640-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:25722035:25726347:-1 gene:Sspon.03G0007640-3D transcript:Sspon.03G0007640-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VMEPAGGRQHPTRGPAGLGLQLGLAYSSLLLNAIFLAHHFLSPSRLLLRDDGGGGSCGLTWSLQAAREAEAVAAVECSGHGQVFLDGIAGEDGRPGCECNSCFGGPDCSLRTPNCTADADSGNPLFLEPYWRRHAAASAVVFSGWHRMSYATTGQFQSVELERHIRRLHRAVGNAVADDKHLVFASGSLQLINALVYALSPDAMAAKPPSRVVAAAPYYQAYRVQTYMFDGREYRWGGTTALWTNSSRTNSTDGFIEFVTSPNNPDALLREPVLCSSAAAIVDHAYYWPHFTHIPAAADEDIMMFTISKPSGHAGSRFRWALIRDDEVAQRALDYVSNSNMGASRDTQLRMLGIVRFMLANLHGKDDIFGFGYDVMRSRWLRLSAVVSRSRRISLQKIKPQYCTYFNRVREPSPAYAWVKCEREEDEDCYEALLKANIITRTGVEYEAGSRYTRISLLKSDDDFDVLMERLQDLVDAEKYDDAPNGSSSM >Sspon.04G0031740-2D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2D:100365663:100366172:-1 gene:Sspon.04G0031740-2D transcript:Sspon.04G0031740-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQEFQYWYPFDIQVSGKDLIQNHLTFCIYNHTALQDLPCCDGMDDANFVTETANSAVMRLTKEISWMEEVTAAESELRSGPPTTYADHVFANEMDIAIKETEKSYNAFMFRDALKSGFYDLQLARDYRLSCGAAGMNCDLLWRFMMSRPGSSPLFAHTTLLSTCGQRS >Sspon.02G0011400-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:30518978:30521020:1 gene:Sspon.02G0011400-1A transcript:Sspon.02G0011400-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding KEQVPVFPRHAERAPWPRLGRQGADCRGVQRRLPRTVKQPPAPAGRRSVERAHRKQSRVRAWWRAWPASCHSISGHWAILDTGRPRAEQWQFELCQQQEKPGPDFTMLTEMLLRHVACCTPVSRLRVTSLHSRRRDEATRVRQ >Sspon.07G0000130-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:205519:210082:1 gene:Sspon.07G0000130-2B transcript:Sspon.07G0000130-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPRIGNRFRVGRKLGSGSFGEIYLGTNVQTNEEVAIKLENVKTKHPQLLYESKLYRILQGGTGIPNVKWFGVEGDYNVLVMDLLGPSLEDLFSFCNRKLSLKTVLMLADQMINRVEFVHSKSFLHRDIKPDNFLMGLGKRANQVYVIDFGLAKKYRDTSTHQHIPYRENKNLTGTARYASVNTHLGIEQSRRDDMESLGYVLMYFLRGSLPWQGLKAGNKKQKYEKISERKIATSTEALCRGYPTEFASYFHYCRSLRFEDAPDYQYLKRLFRDLFIREGFQFDYVFDWTILKYQQAQMTTAPPRPMAPAVGQSSGMAPVANNNRHSGTEEGRRSGWSDMDPTRRQAPPPVINAGSLAKQKSPVRPETSTSKDAVFSSSTFLGRSSGSSRRPAVSSSREPSTEAEQARSRTTDASPGAFQRSGAPRWSPQMPDSSDGRRSSSGRRHSSNPKNYESTIRGMQGLNFDGDDRVHY >Sspon.04G0010920-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:35761459:35762459:-1 gene:Sspon.04G0010920-3D transcript:Sspon.04G0010920-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRVLEPLIVGKVIGEVLDHFNPTVKMVVTYNSNKQVFNGHEFFPSAVAAKPRVEVQGGDLRSFFTLVMTDPDVPGPSDPYLREHLHWIVTDIPGTTDASFGREVVSYETPKPNIGIHRFIFVLFRQKRRQAVNPPSSKDRFSTRQFAEENDLGLPVAAVYFNAQRETAARRR >Sspon.08G0009270-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:40642707:40644261:-1 gene:Sspon.08G0009270-1A transcript:Sspon.08G0009270-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAVHCLLVCLVLLVSPYLGCSYHTSYTHGGRHYVLRSNRDQRQPKQTPTCSSAHSGTSSSDALPVLHRLSPCSPLGAARSQQLEKPSVTDVLHRDAVRLRSLFQDQNRGSPAPAPTSAGGGLSIPSRGDPIQELPGAFEYHVIAWFGTPVQQFTVGFDTSTTGATQLRCKPCAADEPCDHAFDPSASSSIAQVPCGSPDCPFKGCSGPSCTLGVSINNTLLGNATFFTDRLTLTPWNTVDNFRFVCLEAGFGPADSSTGILDLSRNSHSLASRAAPSSPDAVAFSYCLSSNPSDVGFLSLGATKPELLGRKVSYTPLRSNPHNGNQYVVELVGLGLGGVDLPIPRATIARGGTILELHTTFTYLKPQVYAVLRDEFRKSMSQYPVAPPLGSLDTCYNFTALNSFSVPAVTLKFDGGAEVDLWMDEMMYFPEPGSQFSVGCLAFVAQDGGAVIGSMAQMSTEVVYDVRGGKVGFVPNRC >Sspon.07G0010070-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7B:28062213:28063176:1 gene:Sspon.07G0010070-2B transcript:Sspon.07G0010070-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ATSELQDTSIPGICRWTSSQIICRITGTNHGSCSSFALMSAPSSMLAAALCGAGVSSWTPTPLPPPPGRLRVSDVSGAREGCWWPAHGRFLGGGISTESSLLPSSSSSSSMSSSPSTSLGSRRWNGTDAAAAADSLRSSRWRSGTGPAVTAAASGRSWRAHPTALALALAAAALRCRRSVEFQWFLTELSVRPWSIRAMVAHLLPYCACAATITASSSGVKARRSTPGLSWLHHRRRHDLPDRPGISALITVQFLGPCRVTARRSRSSSSGVHDPSPSRRNPTRRRDIAAGTASTPPAPPLGT >Sspon.02G0014570-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:41152121:41156483:-1 gene:Sspon.02G0014570-1P transcript:Sspon.02G0014570-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEIAGQPGRKGDDSSRAVLTPPPRGPGLLSPTPPSGSGPIPSPPSAAAAVLALPVSAASQSAGLLASSRLAGEMDGGGEALLVRRSKGKKKRPQPAAPAERGSGGSGDRFRTLWRDYHDLLQVSGASLVDSCCAFAFSNFHAALLFRAVLNGFTAGLIAGFLVARAIPVPETEAKKKRLASTKRRRLALLAEVKYDSSLALALSLHLLSVARFLRRKYQSFLKGGSQQKHYKLKKQARYTPSPLGSNKATALANHGAGTEVPSTSKNPNLDINQDSVPNDVGNDRQGQQGHPEVEKFDQVGVDEDMMTADVKLSICRDTGNSPASEGKRTVPWQDRLQTSQKLNDIRFSTFSVCFFPFRCSASVFLLLQCKLQSLQKWFSRCDLQVELDWQNLQTQFALIKCCILLTCRVTGTTRSFQAFTDAIFHDRLLGLQFYTPAHSIFLLLQIVVHIRGDNTMVCRLQPIGLSAVRRLLEEAEGRHRISTLGVGGAQGHGALYLGELGQDDLLAKSVLLELRQQRVPDHQHRRVFFCTSVMRRRIGEAAVSAAFIAARGGRRASAGEQLHLVGRAMEHSRARRVYGFAASATQRPGT >Sspon.01G0002310-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1A:6373296:6373994:-1 gene:Sspon.01G0002310-1A transcript:Sspon.01G0002310-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding EISSPIAAHILDFYDGGLGNDLFAAATTTSGPFPATDDDVSSSTTTTPPICSYSDDAPAAGATAYSPLPSFDTTLTALLEEEQHHGLDTELLPQIDGLSEVAYYPTATDEASLGQFNQMGLPETLAEQVTPMQMSSSASALMPLTSDYDECYTAALARGFMGLEGAMYQQTGAILPGCNAEASQQGFFNSASTNSMVMIGEYQKMMEGEGLTTAYNDTDSMQGTFNTNAEMQV >Sspon.07G0007280-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:14654172:14655725:1 gene:Sspon.07G0007280-4D transcript:Sspon.07G0007280-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSMPTPPCHVVAVPYPGRGHVNAMLNLCRLLAARDGVTATVVLTEEWLGLVGAPAGALSPRVRFEAIPNVVLSEHGRAGDMVRFVEAVYTRMEAPFERLLDKLLAAPLAPAAIVADMFVPWTVAVGARRGVPVCVLCPLSATMFAVQYNFHRLPPAADDGGSTSPVNKVADGTDPCLVENYIPGTKSIRLSDLAPTNTNKTLREKTLEAYSALRNAQCVLFTSFYELESDAIDALRHELPCLVYAVGPCIPFMSLQVQEHHADTLREGYTAWLDSQPAGSVLYVSLGSFLSVSSAQLDEIAAGLAKSKARFLWALRDADARSHVRGLIGGRDAGVVVPWTDQLRVLCHPSVGGFFTHCGMNSALEAVYAGVPMLTLPIAFDQPVNSRLVVEVWKTGLGLREKARADGVIERDTIATAVDRLMRRYTVEADDRLRKAALLKDAARAASEEGGSSWNDVTAFVKFISE >Sspon.04G0008100-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:24132483:24133097:-1 gene:Sspon.04G0008100-4D transcript:Sspon.04G0008100-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SMDLSPNPDSPGGGGDGGGGGGGAGGSSSGPTPGGGTPQTPSRYEAQKRRDWNTFGQYLRNHRPPLSLAQCSGAHVLEFLRYLDQFGKTKVHTAPCPFFGHPNPPAPCPCPLRQAWGSLDALVGRLRAAFEENGGRPESNPFAARAVRLYLREVREHQARARGVSYEKKKRKKPQPPDHASGSGSGGQGPHHPPPPAPPSAGAAC >Sspon.02G0022590-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:76427255:76430025:-1 gene:Sspon.02G0022590-1A transcript:Sspon.02G0022590-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLEGEPAQNAHPASARGCFVNHDGAAARLCGGKLRREDIGKQLSHHHVDVAATACSPHADVSLVEVARRDGRRHRKLCLHAHTTGKSLVKELVEFVKEELGSGIHGAGLASHQPRGRPGNTRCNATGLDVLCSLTGCAWDAPASSQSQSTVNFFPAAAAYHRAAGDITRAAAATSTSTSTSTTTTSSVSPSSSPSFAMSSHGIRTPILPAQSMTTEEAYRPYLVGGGGEEFQLATRQYEQTWALNTTSIPPSDGCDMANKNACLVVAEVDKIKLEKKSSASPHGGMDRVQDKDVFDVGNDASDSLRRTGQAERSRAVGGLAAAGTV >Sspon.01G0040040-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1B:38373015:38375023:-1 gene:Sspon.01G0040040-1B transcript:Sspon.01G0040040-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding TAPARSPGGPASPQDLPVPDTVEAAPELPPSLPVASLPPVVPDAAVPIAGPRTPTPPPPGRFGLVYQRRREPRPPSPPPGRFGIVYERRRELAPPLSSPAPSSPVLSPPASPRSRVDPPVYHPPLLHRDPRHTHPMVTRQASRPQALTAAACEPETSPVPSSVRAALADPHWRRAMDEEYAALLANQTWDLVPRPPGANIVTGKWIWTHKRRADGSLERYKARWVLRGFTQRPGIDYAETFSPMVKPATVRTVLSLALERSWPVHQLDVKNAFLHGTLTETVYCSQPAGFVDGSRPDFVCRLNKSLYGLKQAPRAWYSRFATFLQTLGFTEAKSDTSLFIYHYGGETAYLLLYVDDIVLTASSESLLHRIIASLQKEFAMKDLGVLHHFLGVTVEPRPSGLFLHQRQFTCDILERAGMTACQSCSTPVDTQGKLSEAGGPMLGPAESTAYRSLAGALQYLTFTRPDITYAVQQLCLYMHAPREPHLAAMKRLLRYLRGTLGYGLLLGRSSTAELVVYTDADWAGCPDTRRSTSGYAVFLGGNLVSWSSKRQPVVSRSSAEAEYRAVANGVAEAAWLRQLLAELHRPLARSTLVYCDNVSAVYLSTNPVQHQRTKHVEIDLHFVRDRVAIGDVRVLHVPTTSQFADIFTKGLPSSTFSEFRSSLNVSSG >Sspon.02G0024080-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:78864603:78871330:-1 gene:Sspon.02G0024080-3D transcript:Sspon.02G0024080-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ASLLPAAVLLLALLNLNSAAADAEGAAAALLEFKGALEDVNGHLSTWGAAGAGAGVDPCGWAGIACSAAGDVTGVTLHGLNLHGGLSTAVCALPRLAVLNVSKNALSGPIPPGLAACAALEVLDLSTNALHGGVPPDLCALPALRRLFLSENLLFGDIPPAIGNLTALEELEIYSNNLTGTIPTSVSALQRLRVIRAGLNQLSGPIPVDLTECTSLEVLGLAQNNLAGELPRELSRLKNLTTLILWQNHLSGEVPPELGECTNLQMLALNDNAFTGGVPRELAALPSLLKLYIYRNQLNGTIPPELGNLQSVLEIDLSENKLTGVIPAELGRISTLRLLYLFENRLQGTIPPELAQLSSIRRIDLSINNLTGTIPMVFQNLSGLEYLELFDNQLHGVIPPLLGANSNLSVLDLSDNQLNGSIPPHLCKYQKLMFLSLGSNHLIGNIPQGVKTCRTLTQLRLGNNMLTGSLPVELSLLQNLTSLEMNQNRFSGPIPPEIGKFRSIERLILSSNFFVGQIPAAIGNLTELVAFNISSNQLTGPIPSELANCKKLQRLDLSRNSLTGVIPTEIGGLGNLELLKLSDNSLNGTIPSSFGGLSRLIELEMGGNRLSGQVPVELGQLAALQIALNVSHNMLSGEIPTQLGNLHMLQYLYLDNNELEGQVPSSFSDLSSLLECNLSYNNLVGPLPSTPLFEHLDSSNFLGNNGLCGIKGKACPGSSASSYSSKEAAAQKKRFLREKIISIASIVIALVSLVLIAVVCWALRAKIPELVSSEERKTGFSGPHYCLKERVTYQELMKATEDFSESAVIGRGACGTVYKAVMPDGRKIAVKKLKAQGEGSNIDRSFRAEITTLGNVRHRNIVKLYGFCSHQDSNLILYEYMANGSLGELLHGSKDAYLLDWDTRYRIALGAAEGLRYLHSDCKPQVVHRDIKSNNILLDEMMEAHVGDFGLAKLIDISNSRSMSAVAGSYGYIAPGYAFTMKVTEKCDVYSFGVVLFELLTGQSPIQPLEKGGDLVNLVRRMMNKMMPNTEVFDSRLDLSSRRVVEEMSLVTLANHAPKISPPGNEYSSRRKKKCRRFSEYDEVRALKPSLKVQQPLKAKV >Sspon.05G0037600-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:17039124:17042789:1 gene:Sspon.05G0037600-1D transcript:Sspon.05G0037600-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MWDMGWDDPCISWTWMHASMCARPVLAFEVPIRRRASFSPTGDRSAREAVADPGLRRHGRRLEKRQLVEGLRVVSGGLSLRSVRLTRRGVRAHVRRRAKLVVGACFEGVRGVEATASCWEAIPTAPIMAIVVIAVVMAVAVTGIVAKDSSGTPSSLTTWSAADCSGDTSTIGSCGCTNLVFYAGQEFHYRGETATLYTGTGCAGTPYQVFEDTQACGDFGATPTAPIMAIVVAVLMAVAVTGVAVKDSLGDPSSLTTLLGGDVDHRVARVHRPRVYAGLEFYYRGEAATLYTGIGCAGTPYRLFEDTQACGDFGWRSINIDC >Sspon.01G0020210-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:73515628:73523363:1 gene:Sspon.01G0020210-4D transcript:Sspon.01G0020210-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAPRWSSSSSSLALVLIIVAAAAAVTASEAVRVPAVGNWTEELRGAAARRARQRHGWRSRRRTFENGLGRTPQMGWNSWNHFGCGINENLIKQTADALVNTGLAKLGYEYVNIDDCWAESDRDYQGNFVANRQTFPSGIKALADYVHAKGLKLGIYSDAGTQTCSQKMPGSLDHEEQDVKTFSSWGIDYLKYDNCNDAGRSVMERYTKMSNAMKTYGKNIFFSLCEWGRQNPATWAGSMGNSWRTTDDIADNWDSMTSRADQNDRWASYAGPGGWNDPDMLEVGNGGMSEAEYRSHFSIWALAKAPLLIGCDVRSMSQQTKDILSNSEVWAGPLSNNRKAVVLWNRQGYQATITAQWSSIGLASSTAVTARDLWAHSSFSAQGQLSASVAPHDCKMYVLTPN >Sspon.02G0018870-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6C:11320988:11321938:-1 gene:Sspon.02G0018870-2C transcript:Sspon.02G0018870-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRELSCFGDGSVSVAAAAASVSGRGALDRALQAATTSVYRAVLSSGKEMLVRVTWTRSAAGAHGVAVAFDDGGANSSDAPKPSPPPERTRPVLLLHKRRGSRTLVTGAGTAVGVHWDTTEAKYASGSSSPEPERDYCLAVVADAELALLLGTGGAARELSRRLGLAPSARAAPALLVSRREQLRCAAAAHVTRCRFREGGDEHEVTVHACRGGDEGLLRVTIDGEKVAEVRRVGWGFRGNRAAVLADGEVVDVMWDVHDWWFGGRGGGSSSSAGAGAQFMVKARAEKEGRLWMADDTAARGQSPGGFFLHVQCYRR >Sspon.06G0008780-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6B:38052127:38053205:-1 gene:Sspon.06G0008780-2B transcript:Sspon.06G0008780-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVASQNAIETSASRSALQTARGRHVFEIAGYSLQKGIGTRRIISSATFTVGGQDWCIHYYPGGDKDGDYVSAFLELINKTAEVRALFDFKLVNLVTMQYAFLKRVTQPIVFSRVQPSWGFSQLWKKDDLQGLPFLRDDRVVLECNLTVVMATPVSESRPMHMFGIRVPPSELVDNLGNLLEFEEGADVTFKVEDQVQLYGPMSDKARQDLTVEDMQPAIFKALLHYIYTDTLPVMDSLDEDENEDMVKHLLAAGDRYALERMKVMCEDILCKRLDVKSVATTLALADQHHCSKLKDACIQFINSSSLTDDLMASQGYDHLKRACPNIFVDIWEQSAKSRRMY >Sspon.02G0005830-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:19042602:19046137:-1 gene:Sspon.02G0005830-2C transcript:Sspon.02G0005830-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPRARLTLLLLHFRSRGPKFLPQPFSPSSSSSAHGLFPSRWPTPSTGGAWRRAFHDGRPRGPLWRGKKLIGKEALFAIQGLKRFKGDEEKLADFVRRYVARLLKADKLAVLGELERQEEVDLAVKMFRIIQKEDWYKPDIYMYKDLIIALAKCKKMEEAMVIWGNMRDENFLSKK >Sspon.08G0005720-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:17890435:17892150:1 gene:Sspon.08G0005720-1A transcript:Sspon.08G0005720-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSNGPLLLALRIVLFCALASAATTLLPLVTRPCAYSLPRTILAATGLDPHLISCADDGSKSNAHLSEGDGGNKPRSGGRPIVTDLLSCGEPDLPSHALPPFRCCPPAPASDAPVANFTFPDPGEPLRTRRPAHEPGTDSVARYARAVALMKALPESDPRSFYQQANVHCAYCAAAYRQAGTPELPVQIHYSWLFFPFHRAYLYFFERVAARLLGDPGFAVPFWSWDVPEGMRVPPEFADVASPLYDPMRNPDHAPPRLVDLDFSYVDKNCTDEQQIQLNLRIMNKQMVTNAPLPSLFHGQPYRAGDRGMPGAGTVELSPHNIVHRWSGDLSRANHENMGAYYSSARDPIFYPHHANSDRLWEVWRGVGGGHSDFTDPDWLDSSFLFYDGDARLVRVTVRDMLDVGRLRYAYAEVGLPWLSARPPIVTPDGDVNRGRGGPTHLKSVRFPVSLDAAVTAEVSRRPGKPRGQWEEVLVVEGIEADGAGFVKFDVYVNAVDHEKVGPGGREMAGSFVSLKHPGKVVVQTSMRVALNELLQDLGAEGDDSVTVTLVPVEGRVRIGGLRIAYMAE >Sspon.05G0014960-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:49586171:49586744:-1 gene:Sspon.05G0014960-2C transcript:Sspon.05G0014960-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTDAILSSPVASDGFKSKFLASPAVAVVQVPADAIASTSKKQQVKMELTSGEIACKQQQLKPRFALELDGLNCFETLVPR >Sspon.04G0017950-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4A:65069970:65070674:1 gene:Sspon.04G0017950-1A transcript:Sspon.04G0017950-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MESKHGDESSHVTHDGVFPANFAEIVASLPSRGPPGLMVPSIRQYRGFWIYDGVLVNLPRAHACFEERPADILLASFPKSGTTWLKALAFATARRSVHSPLDAGHPLLSSNCHDCVRSLDTLRSSLESGGDTTAPRLLGTHLPYSLLPMRATADGGGCRIVYIARDPKDTLVSLWHFDAGVRTEKEGGGGGAGEGTRPRTAAAAGRAALEFEEAFELFCQGCCLSGPQWEHVRE >Sspon.06G0002740-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:7193463:7196580:-1 gene:Sspon.06G0002740-2C transcript:Sspon.06G0002740-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARWGEMEEEDDDDEYAKLVRRMNPPRVVIDNDACDNATVIRVDRVKKHGILLEAVQVLVDLNLVITKAYISSDGNWFMDVFNVTDQDGSKLQNMEVIDHIQKCLESDGYLPPPANGGFAPPEDQFTSIELIGADRPGLLSEVCAVLAALSCNIVKAELWTHDRRAAAVIQITDEATGLAIHDVGRLSRAQELLSNLMQGDGTCNRGGTGVSVGAARAERRLHKMMLDDRGSGSGGGEEAVGGGCGKARPKAAKVVVMDCTERQYTVVILRCRDRPKLLFDTLCALNDLQYVVFHGTVDAEGASKEAYQEYYIRHVDGHPVHSDAERTRLVRCLEAAVERRASNLELEVRTEDRVGLLSEITLVFRENSLSIIRAAITTKDGKAEDTFYVSDTYGNPVDGRTIDAVGEQLGHTVLRVKRGRHDASAKAEAEGGAVSVLGSLLKGSFQGLRLIRSYS >Sspon.07G0020510-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:79829613:79832254:1 gene:Sspon.07G0020510-2B transcript:Sspon.07G0020510-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSGTQGELPMAMHAGGGGGGSPYLGLHHGHPQQQHNHGANGRHMSPPDVVAEEAKNRQLAVVPVGAGVGAAGVRYRECLKNHAAAIGGSATDGCGEFMPTGEEGSLDALRCSACGCHRNFHRKEPPGGGGGDARQLHGHHHHHPLSPLAAAHHHHHHHHRGLLVAALPPAPTRMVMPLSAMHHQQPQHHSSASAESDDAHAPGPAHAQQPPARKRFRTKFTAEQKARMLGFAEEAGWRLQKLDDAAVQRFCQEVGVKRRVLKVWMHNNKHTLARRGHDGLPGDPQPQQVPHGGQLAMPLPEPGRSPSRSPPPQLRLE >Sspon.04G0015830-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:15765220:15765735:1 gene:Sspon.04G0015830-2P transcript:Sspon.04G0015830-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AMEHKETGCQAPEGPILCINNCGFFGSAATMNMCSKCHKEMIMKQEQAKLAASSIDSIVNGNDAVMEPVVSGNTVVAVAQVELQTMNVQPTDVAGPSEGAAVISKGKVGPNRCSTCRKRVGLTGFNCRCGNLYCALHRYSDKHDCKFDYRTAARDAIAKANPVVKADKLDKI >Sspon.08G0019130-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8C:13120843:13121265:1 gene:Sspon.08G0019130-2C transcript:Sspon.08G0019130-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMMGGRLVLVKGLFDSTPEESRWHEITTAPTGDKRKWAENQPGTKVPSWFPNLGPSPLLPEGKGSAVAEMIITVGAIVLLFSRVRSSLVLDEYLSTAFFTLALRRLVKESFRFTELATPCPLSMEHLISFVEEQDLTKR >Sspon.07G0020660-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:79365781:79373381:1 gene:Sspon.07G0020660-1P transcript:Sspon.07G0020660-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQMEGPTDQIAAGWFAAEPAAERPVGLPRTGSSSRLNAQAPEFVPRGPPAPAPAVVVPPPPQVIRMFAAPPPPPRAAFFAAPPPRPFEYYAPVGGRSGFAAKEQQAPEPEPEAEMLPPAAVKAEPVVDGLDDEVVHKITKQVEYYFSDINLATTEHLMRFITKDPEGYVPISVIAGFKKVKASVHNNVMLAAALRTSSKLVVSDDGKRVKRQEPFTESDLQELQSRIVVAENLPGDPSYQNLKKIFSAVGNVISIRTCYPQTPNGTGPATNRSAKLDMLFANKLHAFVEYETPEDAEKAILELNDEKNWRNGLRVRLLNTCTVKGAGKGKKGVHETDGNGEEDVTTSNHSNEKQFEESSQLLDVLPEHLFDENFNDKEVPRRGKGRGRSGRGRGRGNHQYNNNHHHHQNNQQHYNNHGNNHLGGNRGSPHPVGTPPHNLITKPEQHLQLPIGANKLPPGPRMPDGTRGFTMGRGKPQAVLPGLCAVGTSVGGE >Sspon.08G0002430-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:7168359:7171698:1 gene:Sspon.08G0002430-1A transcript:Sspon.08G0002430-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSTKPDRPGQAAAAAGSGGKNWREEAVAAGSLRLVDLDRGANGWASPPGDLFHLRARGYFNCGGGKRGKAPSAADWLLRPAGVDWLRSHARLDHVLARDDNRVAAAFRRARLRKDPTAHFLLAVNLQVPGRPDAYSAVFYFAAEAPIPPDSLLGRFIHGDDAYRNARFKIANRIVKGPWLVRATVGNYAACLLGRALTCRYHKGDDYLEIDVDIGSSAIASAILHLALGAVTSVTIDMGFLVESQSEEELPERLFGAVRIAQMEMGAAKYVELPPDEAMPETAGRAGAGFRVSSAKVVNHSRQQDHAGGKVGRSMSCLDRESGGVTECPYFTDVNEKKTEPPPARKIGAKYAGALQKETIHRWESYGENRKTKAGQPQHIKR >Sspon.03G0029940-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:25952195:25952809:-1 gene:Sspon.03G0029940-2C transcript:Sspon.03G0029940-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVHARSMSWYMSPPGSPAPGSATEAQHALSSSPGAGSDASFDTNMVIILAALLAALLFALGLNSLARCLIRWARRAPAAAAGEAGGAGGLKKRALRSIPGSEAAAAAAAAAIAVVIG >Sspon.02G0002850-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:7696522:7697670:-1 gene:Sspon.02G0002850-2B transcript:Sspon.02G0002850-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYILRCLLVKLKNLNPGMAMSWTRGLKHGVVPRHAAQLVESRSLAIASKAKKGGKGGGADAAKTPALSQELKSTTVFGANILKEGSDPKIQPDSEYPDWLWHLLDKRPVLSELRRKDPKTLPYEDLKRFVKLDNRSRIKEKNALTAKN >Sspon.06G0030500-2D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6D:44858250:44858735:1 gene:Sspon.06G0030500-2D transcript:Sspon.06G0030500-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSTGGLGADFCYIEIIDTTTTTAAGAYGGTVEGEWEAGGAAGAATGGTSVWESECHPINTVNTYALLFSWHWLEVFDGGEEVWHGETNTDGTNFLETLELVERRHAHPRQGRRAHVARQLRAAPRAALRRTGIAGAHGRAPTLRGSARRAQQQPALRAGT >Sspon.07G0003320-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:8065384:8066817:1 gene:Sspon.07G0003320-1A transcript:Sspon.07G0003320-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAATKHVVLFPFPGQGHLSAFMSLADLLHGALPDAAITLVSTPRNVAALRTTAWSNSSFLGFHALPFTPADHGLPPDCESSDAIQPGAIAGLLVAFESLDAAFDDYLSAAVAGGHAVCVISDPFTAWTVTAARRRGCVHAFFASCGAYDSAVVHSLWSHLPVRPDPATGRVHLPEYPEVVIHRSQLSKNASAPPAVANRAAGFYGRQIPLGYETGAVLVNTVEEFEPTGLDMLRRTLKIPVWTIGPLVRSTNLPISPEAEAAVVSFLDCHPPSSMLYISFGSQNSIRAEHMTELALALESTCRPFVWAIRPPDGHDIKGEFRADQWLPDGFEERARTSNRGLLVRGWAPQVRILAHASTGAFLSHCGWNSVLESVTHGVPIIGWPLAGEQFCNAKMLKEEWGVCVEVARGNMEDTVVNRVAVADVVETVMGQTAKAAEMRRRVREIKEVVEGSWKEGGGSSRKAMEDFLRAMNLR >Sspon.06G0022520-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6C:35764141:35764222:-1 gene:Sspon.06G0022520-2C transcript:Sspon.06G0022520-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VVAPAHPLISHWVSVLRDRSTPSHAF >Sspon.02G0001740-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:5723916:5724371:1 gene:Sspon.02G0001740-1P transcript:Sspon.02G0001740-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKAEKKPAAKKPAEEEPAAPAEKAPAGKKPKAEKRLPAGKSAGKEGGEKKGRKKGKKSVETYKIYIFKVLKQVHPDIGISSKAMSIMNSFINDIFEKLAGEAAKLARYNKKPTITSREIQTSVRLVLPGELAKHAVSEGTKAVTKFTSS >Sspon.02G0018790-3D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2D:57028636:57032593:-1 gene:Sspon.02G0018790-3D transcript:Sspon.02G0018790-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MELRQGSRLERLLLDGMEQRSLQAGEQLGWPAAGSSSGVQGLQVNRLQRLPLRVVQRLPLRVVQRLERLLQAGELLRAGRVGAGPLLHAGVSHSRVEGISGRRWGVGSRGGGGKGLGSRRAWRGSRGGSKDLSPCGRRGEGREMAVESPDGYSLFACSLDGSVANFHFEAKELGYKLSDSELDELKRSRYGDVRGRQSNLAESPAQLLLEEASAKQSASKKGTSIVQQFQAPPKVSADVPNLAPVEQSQKAPETLPEAEKKTSDPGADDMNNVTRLSSPVKQREYRRPDGRKRIIPEAVGFPSNQDNIPSHSQNQAMDFSSLDRRMNGIRPSYGGNSNCNNSGVKDRSGVTARANITESLVIQKASTSAGSDGMLSVERTRSVVPGSLTCSALSIHVVDKKDNEDSLPVCLEAKPVERAAGDMIGVSGAFSTKETEIRCTRGTETLWLDRISAKVTVLAGNANFWAVGCEDGYLQDSLASLVASPDESSANQAGAVKVISAKFSRCGFPLVVLASRHAFLFDMSMKCWLRIADDCFPASNFASSFSSPQGGELGKLQIDLGKFMARKPIWSRVTDDGLQTRAHLETQLAASLALKSPQEYRQCLLSYIRFLAREADESRLREVCENFLGPPMGMLGSASSMEPKNPSWDPDVLGMKKHKLLREDILPSMASNRKVQRLLNEFMDLLSEYEAAKSKVDPIDVTPAPQPVPEVNDDMVTS >Sspon.01G0034700-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:4988542:4990382:-1 gene:Sspon.01G0034700-1B transcript:Sspon.01G0034700-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding KMPAGHGLRSRTRDLFARPFRKKGYIPLTTYLRTYKIGDYVDVKVNGAVHKGMPHKFYHGRTGRVWNVTKRAIGVEINKQVNGRIIRKRIHVRVEHVQPSRCTEEFRLRKAKNDQLKADAKARGEVISTKRQPVGPKPGFMVEGATLETVTPIPYDVVNDLKGGY >Sspon.08G0011780-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8A:50886364:50887764:-1 gene:Sspon.08G0011780-1A transcript:Sspon.08G0011780-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPRSARRHDRLLGGLLVDGFDEEPCHSRYQSAMYRRNAGRQPSTYLISKLRRHESLQRRCGPGTAAYSNALEQLRSGKSVASPECRYIVSVPIEGLGNRMLATASAFLYALLTDRVVLVDPSNDMDNLFCEPFLGATWLLPEGFPLASYANFSIDTAETYGNMLKNKVIGAEAAAAADASPVQQQLPAFAYVYLHSDAGIHDRNFFWDEDQRLLRHVQWLVMSTDNYIVPGLFLTKAFRGELDMMFPERDAVFHHLGRYLFHPNNHVWGLVTRYYDAYLEGATQRVGIQVRVFGAQPNSPELLKQITSCTQKHKLLPEVLATGEPTTLPASRAKKKSIAVLVTSLKPWYQEKIRSMYWEHATATGEVVSVHQPSHEEYQRFGVKSHDTKAWAEIYLLSLTDVLVTTSQSTFGYVAQGLGGLRPWVIYKPFNGTGVPDPPCGRDVSMEPCFFQPPNYNLWQNQWLDA >Sspon.07G0033500-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7C:61155484:61159152:1 gene:Sspon.07G0033500-1C transcript:Sspon.07G0033500-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGIEAALASGVLKVTGDKLVSLLTTEFAAITGVKRDLSELQDIHAEITSWLSAARDRAIQSEAQSRWVVKLKDVAYDIDDILQEVQLEAEKQKMERDDDKSGIAGCFCAKPMTFAFRYKMAHKIKAIKVRFAGIVKQISDVNTLVSNFPRDQHVGTWYRTVGEMSWLSKVPESKISLRDQEKDAIISKLVECNAGENSMIVSIVGLGGSGKTTLAKHICHDVKIKEHFGDGIFWVHVSQEFDVQKLIGKLFQTIVGDNSDRHPPQHMVQKISEKLSNKKFLLILDDAWHEDRHDWEQFMVQLKCGAPETRIILTTRDRKVAEVVESRHIFELAFLSESESWNLFLKGSGLAEQDLSSDHVQVGKEIIKVCGGVPLAIQTLGAVLSDKKEISTWRAIRENNLWNVRSINDRVFASLKLSYIHLADELKQCFTFCSIFPKGYEMQKDRLIAQWIAHGFINAMNGEQPEDIGRGYLDSLVKVRFLQEPCGSSNTDMYNMHDLIHDLTRQILKDELVTCVPIHTTEEFTHRYRYLSLTSFTENVDKGLFDKVRALYISDSFVTLPESVGKLRKLRTLELHSITDLESLPQSIGDFYVLQSLQLYNCRKLREIPCSLGRIGNLCVLDVERCSSLQQLPSDIIGEFKNLRTINFNGCTGLQDLPSTLSCHTLHTLNLSGTKVTMLPQWVTSIDTLECIDLEGCTELRELPKGIANLKRLAVLNIWRCSKLCCLPSGLGQLTCLRKLRLFVVGCGADDARISELENLDMIGGHLEITNLKYVKNASDAEKACLKRKSNIQDLELKWSLSDTEEELVSDMEHDWGVLNALEPPSQIERLIIYGYIGPCLPGWMVKQNDSSYCEGGLMLKQTIASHFLCLTWLRLARLPNLRHMRGFVELPSLKILELQEMPNLEELWTTSSGFETGEKELTAQYLFPVLSSLQISDCPKLNVSPYFPPSLERMFLSRSNGQLLSTGRFSHQLPSMHALVPRLKTLVLTEVTGSSSGWELLQHLTKLKHLSISRCNDLTQLPESMRNLTSLERLHINECPAIGTLPDWLGELHSLRHLDLSMGDLKQFPEAIQHLTSLECLNMSSGPALTVLPEWIGQLSALRQLYIQYFPGLQYLPQSIQRLTALEELRIYRCPGLAERYKRGAGPDWHL >Sspon.02G0040680-1P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2C:73615590:73616513:-1 gene:Sspon.02G0040680-1P transcript:Sspon.02G0040680-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHAEERLDEVVPGLEPLRVRDLIRVDGSDDETVLRFITRNAEAVRASSSGVVLNTFEGIEGAALAKIRRELSGRPAFAVGPLHLAPSPATAVGDGRGQFKHAPDRSCLAWLDARPPRSVLYVSMGSVARVDRAVFEETAWALAASGVPFLWVLRRGSIVRGGGAGVDTEDEEVPPVPEELHETVKRRGKIVAWAPQREVLAHPAVGGFWTHCGWNSMVEAISEGVPMLVQPCFAEQIVNARYVTHQWGVGFEVGKPLERTAMARMIRRLMARELGPHQGPRERARLLMAQAKQCVAEGGAAGLALDGL >Sspon.05G0019980-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:87307078:87331129:-1 gene:Sspon.05G0019980-2B transcript:Sspon.05G0019980-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDMEEVRNKMQSQMRLHGEPEDDDAADLPLPALFDRASRLHALASSSALDQDGIRTGVDLLRRCDEMVSKLGLFSPNETKEDVSTANLKYLLVPYYLAEMTEKIAQEDRIPVLKASQDHLKEFIALCEVLELIPEDELELSRQKQPDTMANRRAQKVARFKRQKAAETKLQEIRERKERRGRSLRAAALSAPIEAGEEDDLEDDGEEEREAWLATISLAICKAFDLLDMLKKEEEMLLAVKERKAKDGNAFAREMLDERTKKAESWHHNAANRVAYSKPADPITCATFAQDVIEGRASVSQAHEHKHQPLIFGPASLVGGGLTSERERMAAQVFQPSYRMPTMSIEEAGLREMKMMEKWQERTAEMMKEANSAWHKDGSSSAQEDEDAEEAKARAWDDWKDDNPRGAGNKKLTPSSSTPFFPLENEMVNHNPIIKMACHKVRNTYLAMKLSMPFFGSSSGKKHQETTISKKRKKGSKSGSFGSTSSSSSDDCASPSAATTPRTVLPLPVRSASSSSSSAGGGGNGKPNKPRPAAVLAAATVTREDLEVALRRVVRSEAELEAVLAEAEAAGLAPEAAADAEAEDEAELRDAFAVFDADGDGRISAEELRAVLASLGDEGCSVDDCRRMIGGVDADGDGFAANAAQSQADADLATALRECVAHVAAGRFDGGANRCFARVSALASLGGGDRPPQRLARIMADGLVRRLIGSFTGRGISGALMDSSGYYYFDRRTAVVCVIEQDAGENVANLAARFEEALHHYAAVFEALDDAAAAREERAAVERVLLWEEVKDVLAWDGSHRRERHERLHQWAARMAGAGFAGVPLSYAAKMEADAALRSQQMNDGNARVGVFNETQTAQQWRRPGGRRRCWPPWWGATTPAWRASSCGLRGCINDVHAMRAVLLDRFGFAPGDVTVLTDDDPKKLPTRDAIKGALDDMVKRALPGNILFFHFSGHGMRGEFADGPGSCPDEAHATSVVVTADLYFRELVDRVPAGATFTMEKEQIGPAAAAAAATTTDPHLHGGARARRLLPYAVVLGHLSVASGLGASPHTADQLVALLGDDASAKFHANADDANATRTDDVKADAGILLSGCQKDEEAAEVPGDDGKVYGAFTRALQAVLAAHPAPMSNREVVRRGREEVVRRFREYSTNAICLPLVPRHASVALRVRADINESLVLHNSFIYIGSFDRPNIFYGVKLIKPGTGKLQAILEMLRDVPRRVHEALLGLRVDAAIYHGQMGGAARAAAHKSFVMDEVFVMVATVAFGMGIDKPD >Sspon.01G0036970-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:14661398:14678181:-1 gene:Sspon.01G0036970-2C transcript:Sspon.01G0036970-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VRPGVVIGGVGSAHTISSPFTSALDACAPNSQILRRLRPNPARRLLSAEHAGGIPSPGLVFLLSAGLAWSGDPSPRGLVSSSAGSIPEEVAELEAIATFLLKEQIFRSYPDWTRNMNEQSTPTNPPTAYPDWSQFQAYYNAAGTAPVTPPAFFHSSVAPSPQGHPYMWGPQMMPPYGTAPPYAAMYAQGTPYQQAPMPPGSHPYSPYPMQPPNGTVQTPTSGAGGTETNKSNKNKRKTLLKRSKGSLGSLDVVAVKKNKSPAKPSASSSNEGSSQSESGSGSSSEGSSTNSKSSSRAKDGSEQGQGNDARSKGTQSSAVEPTQPSSGPVVLNPMMPFWPVPPPMAGPATTLNMGVDYWGTPASVPMHGKVIAAPTSAPSSNSRDIVLSDPAIQDERELKRQKRKQSNRESARRSRLRKQAEWEEVANRADLLKQENSSLKEELKQLQEKCDSLTSENTSLHEKLKVLEDEKSMEIGTRIKS >Sspon.02G0029880-1P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2C:111842644:111843909:-1 gene:Sspon.02G0029880-1P transcript:Sspon.02G0029880-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKRKASDSAADIDGDGDGNDVLQYSPPLQASTDACAWASSGGGGMAAAVAAAAAAQQQQRGRKRFVGVRQRPSGRWVAEIKDTIQKIRVWLGTFDTAEEAARAYDEAACLLRGANTRTNFWPRPSPASSVAVAAAANPAPPPPPPTPVVSASGSPSQAPALPSKVTNLLLLRLRARNNQHQQQLLNATPARQQEAALLQGPLGAEPYGGGEECAFQVDDFLSYDCGSDENSSQEIEDEEEEEEEELDFQFMDQQAASPAAGCEGGGLCSPFEVVAAELGGAVEAGGVGGEPATAFHELMMSRMDYERKISASLYALSGVSECLKMRLGAAAAGGGHAVRDQLSGLREACRKKQRETVQQQHEHEQKPSTETPEDVKPSREECSPSGGAPEATSSSSEASDGDCDGDVLLWSSLDLAPIC >Sspon.07G0029170-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7B:75033095:75066528:1 gene:Sspon.07G0029170-1B transcript:Sspon.07G0029170-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSSMPKQEHRRSETVTMASDEPQPQLPGLDDPATGRSDAATMVTGGAGDEEEVMGLEGPFGELVLIGTPWGLLLDPTPLPSMKQDEKVSAPPCPENMPAAAKGEKQCVQCGATETPQWRIHPMGQGALCNACRIRLRPAEALREPVHVHLPPPATAAAATVPSPSPRREKSPSPAPATAPEEKKQKRKRKPWHPRKSSKQCHHCKSASTPQWRMGPTGPSTLCNACGVRYRQGRLLQEYRPALSPNFEPSEHDCKHREVLQLRQKKHSGKEQQHQPPEPGAGDGMEQHLPPPPAQAHAGGDELPMADPMIPLDQLLLDAIDGSPETHIMYGVGGDLQMGDP >Sspon.07G0013020-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7B:52882915:52885040:1 gene:Sspon.07G0013020-2B transcript:Sspon.07G0013020-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVALRRAWERRGSFASTYIHLSREQRQGPPLVKWSTLGGRAFSGDPRPRATYMAAEGAAGGRGGRATRYPPLSALVVSAIAAASAVIVLAVVHSAYDDAVSRTRTLLGHNLEPTPWHPFPHAKGRPPARAAFRCAPSIACLPPLSRPPEQRTANASSSSSSSSPRGNNNNRQCPAYFAAIHRDLAPWRGEGRGVTRALLDAARRRASMRVTITGGGRRLHVDLYYACVQSRALFTVWSLLQLMRRYPGRVPDVDLMFDCMDRPAVNRTEHGDGDPASPPPPPLFRYCTTRDHFDIPFPDWSFWGWPETNIEPWNREFKSIKAGARATRWADRVPTAYWKGNPDVASPLRVALLGCNDTTLWRAEIMRQNWSDEAKSGYQHSRLSSQCTHRYKIYAEGFAWSVSLKYILSCGSMALLIEPRYQDFFSRGLEPRVNYWPVTAAAPGMCESIRDAVDWGNANPGEAERVGRQGQRLVQGLRMHAVYDYMLHLLTEYARLMDFRPVAPPSPDAQEACEASLLCLADDKQRRFLEASRAEPAVGDPCVLPPPPAAS >Sspon.01G0016350-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1B:63305624:63305986:-1 gene:Sspon.01G0016350-2B transcript:Sspon.01G0016350-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKYTAAAAEDFILLRCFDGEEFHVLSALARRSGVVAAGMDTGEHAATGAVPVPAGVTGQVLAAVIAYWIGRDAVGTGDLGRYDEEYVAGLSHDVRVDIINAAFHLGERGLFELFGPPVAP >Sspon.04G0006880-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:15902275:15903569:-1 gene:Sspon.04G0006880-2B transcript:Sspon.04G0006880-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AMAKSYTILFGALVVLALLVSPIACSRKLAKPNKPRPTHKPAARATPRSNYTATPSMSDAYGSGGWLSAGATYYGAPNGDGSAGGACGYQTAVGQRPFSSMIAAGSPSLFKGGKGCGACYQVKCDSNAACSSQPATVVITDECPGGVCLAEAAHFDMSGTSMGAMAKPGMADRLRAAGILKIQYSRVPCKYSGVNIAFRVDQGSNPFYFEVLVEFEDGDGDLSAVDLMEAGCGTWTPMVQNWGALWRYNSNTGKALKAPFSLRLTSDSGKVLVANNVIPAGWNPGATYRSLVNYS >Sspon.01G0017620-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:10402330:10414286:-1 gene:Sspon.01G0017620-1P transcript:Sspon.01G0017620-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVAVKKLKPEGFQGHKEWLTEVDYLGQLHHKNLVKLIGYCSDGDNRLLVYEFMPKGSLENHLFRRGADPLSWAIRLKVAIGAARGLSFLHDAENQVIYRDFKASNILLDSEFNAKLSDFGLAKAGPTGDKTHVSTQVMGTHGYAAPEYIATESKDGELHRHHGAGGSQHEQCCLSDPSKVTSKTSLSSVASTIKSNSTRSTLTLPSMRDRSELPTPRTEGEILSSSNLKAFTFNDLKNATKNFRPDSLLGEGGFGHVYKGWIDEHTLAPSRPGSGMVVAVKKLKPEGFQGHKEWLTEVDYLGQLHHKNLVKLIGYCSDGDNRLLVYEFMPKGSLENHLFRRGADPLSWAIRLKVAIGAARGLSFLHDAENQVIYRDFKASNILLDSEFNAKLSDFGLAKAGPTGDKTHVSTQVMGTHGYAAPEYIAT >Sspon.03G0001320-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:11738080:11740966:-1 gene:Sspon.03G0001320-2B transcript:Sspon.03G0001320-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEHAVWRQGLRVSFPSQGGFVKTQKTSAYSKRFQVKFKRRRAGKTDYRARIRLINQDKNKYNTPKYRFVVRFTNKDITAQIISASIAGDMILASAYSHELPRYGLEVGLTNYAAAYCTGLLLARRVLKIRGLDQEYEGNVEATGEDFSVEPADERRPFRALLDVGLVRTTTGNRVFGALKGALDGGLDIPHSEKRFAGFKKDDKQLDADIHRKYIYGGHVADYMKNLAEEEPEKYQAHFSEYIKKGIEAEDMEALYKKVHAAIRADPSVVKSTKQPPKEHKRYNPKKLTYEQRKASLVERLNQLNSGAADDDDEDDDAVSNFVQWVPHNVNKEPVSASLEGNSGDA >Sspon.08G0017040-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:63321954:63323408:1 gene:Sspon.08G0017040-3D transcript:Sspon.08G0017040-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDETTVYPNSLPISTQYNGSVKDDLGLGGMLAADCIFSWIVYFVEAVSAMSAKYIIAGLAASFAIAYASDVLVAQKKIFGGTTPRTVADKEWWQATDKKFQAWPRTAGPPVVMNPISRQNFIVKDVKP >Sspon.05G0019790-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:80163520:80167482:-1 gene:Sspon.05G0019790-4D transcript:Sspon.05G0019790-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GLDH [Source:Projected from Arabidopsis thaliana (AT3G47930) UniProtKB/TrEMBL;Acc:A0A178VN92] MRHLLLSRFLLRRSSGGGIPTADHHHNHLPLLRALSSAPSPVSSDAELRKYAGYALLLLGCGAATYYSFPLPADALHKKAVPFRYAPLPEDLHAVSNWSGTHEVHARVLLQPDALPALEGALAAAHKERRKLRPLGSGLSPNGIALSRAGMVNLALMDKVLDVDAKKKTVTVQAGIRVAELVDALREHGLTLQNFASIREQQVGGFTQVGAHGTGATLPPVDEQVISMKLVTPAKGTIELSREKDPELFYLARCGLGGLGVVAEVTLQCVERHQLVEHTFVSNADEVKKNHKKWLSENKHIKYLWIPYTDTVVVVQCNPPSKWRTPKLGSKYGKDEALQHVRDLYRESLKKYRTEAESNDPDIDTLSFTELRDKLLALDPLDKDHVMKINRAEAEYWKKSEVYRMGWSDEILGFDCGGQQWVSENCFPTGTLAKPSMKDLDYIDKLLRLIEKEEIPAPGPIEQRWTARSKSPMSPASSSEEEDIFSWVGIIMYLPTTDARQRKEITEEFFNYRSLAQSLWDDYSAYEHWAKIEVPKDKDELAEVQARLRKRFPVDAYNKARMELDPHKVLSNAKLEKLFPVLEPVHQTK >Sspon.06G0000450-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6C:1197783:1198123:-1 gene:Sspon.06G0000450-2C transcript:Sspon.06G0000450-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGASRDLPTAAAHPRLASHQKQRNIHAWLPNGNSARLPQTAMRASPSYFWIVIRAVL >Sspon.06G0000050-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:347637:360776:1 gene:Sspon.06G0000050-1A transcript:Sspon.06G0000050-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:7-hydroxymethyl chlorophyll a reductase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G04620) UniProtKB/Swiss-Prot;Acc:Q8GS60] MASTCLSLCLHASSPSSSSSSSSPGPRRSSLAFSSSSSSSKSKRMLKVRAKALREDWRQKSKPIPPGAVYPAKDYCSRCGLCDTYYVAHVKTACAFLGDGMSRVEDLEPQVHGRGRKEGMDEIDPDDRLAPRPVLARTPDEVIAAKGVKPTLSPNLNTLALVEAAGVKRLLFCGVGCQVQALRSVEKYLGLEKLYVLGTNCVDNGTREGLDKFLKAASSEPETVMHYEFMQDYKVHLKHLDGHIEEVPYFCLPANDLVDVIAPSCYSCFDYTNGLADLVVGYMGVPKYAGVSMTQHPQYITVRNDRGREMLSLVDGLLESTPTISSGSRQPFVMETVKADDAAKLGKGPSKPAPRFVGDILAFILNLVGPKGLEFARYSLDYHTIRNYLHVRRAWGKQRAEQHIPGYAKKIVDAYDKDGRIEAMSKRMLKVRAKALREDWRQKSKPIPPGAVYPAKDYCSRCGLCDTYYVAHVKTACAFLGDGMSRVEDLEPQVHGRGRKEGMDEIDPDDRLAPRPVLARTPDEVIAAKGVKPTLSPNLNTLALVEAAGVKRLLFCGVGCQVQALRSVEKYLGLEKLYVLGTNCVDNGTREGLDKFLKAASSEPETVMHYEFMQDYKVHLKHLDGHIEEVPYFCLPANDLVDVIAPSCYSCFDYTNGLADLVVGYMGVPKYAGVSMTQHPQYITVRNDRGREMLSLVDGLLESTPTISSGSRQPFVMETVKADDAAKLGKGPSKPAPRFVGDILAFILNLVGPKGLEFARYSLDYHTIRNYLHVRRAWGKQRAEQHIPGYAKKIVEAYDKDGRIEAMVTQSSD >Sspon.06G0010980-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:47399135:47401591:1 gene:Sspon.06G0010980-3C transcript:Sspon.06G0010980-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Flavone 3'-O-methyltransferase 1 [Source:Projected from Arabidopsis thaliana (AT5G54160) UniProtKB/Swiss-Prot;Acc:Q9FK25] GMGSTAEDVAAVADEEACMYAMQLASASILPMTLKNALELGLLEVLQAEAPAGKALAPEEVVARLPVAPTNPDAADMVDRMLRLLASYDVVKCQMEDKDGKYERRYSAAPVGKWLTPNEDGVSMAALTLMNQDKVLMESRYYLKDAVLDGGIPFNKAYGMTAFEYHGTDPRFNRVFNEGMKNHSVIITKKLLEFYTGFEGVSTLVDVGGGIGATLHAITSHHPQIKGINFDLPHVISEAPPFPGVQHVGGDMFKSVPAGDAILMKWILHDWSDAHCATLLKNCYDALPENGKVIVVECVLPVNTEAVPKAQGVFHVDMIMLAHNPGGRERYEREFHDLAKGAGFSGFKATYIYANAWAIEFIK >Sspon.01G0010100-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:57491636:57494009:-1 gene:Sspon.01G0010100-1P transcript:Sspon.01G0010100-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RVRAALRSQSHPTTAVSLRLIPCGATAGRGTWRLAAAAESQAVQEQPARTEESGEAGGAGAAEASSKLVLVVGGTGGVGQLVVASLLSRNIKSRLLLRDPEKASSLFGKQDESVLQVYKADTRNPNDLDPQMFEGVTHVICCTGTTAFPSKRWDGDNTPERVDWDGIRNLVSALPQTIKRLVLVSSIGVTKYNEIPWSIMNLFGVLKYKKMGEDFVRNSGIPFTIIRPGRLTDGPYTSYDLNTLLKATAGERRAVVIGKGDKLVGEVSRLVVAEACIQALDIGSTEGQIYEINSVKGSIKI >Sspon.06G0032070-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:78218767:78247340:1 gene:Sspon.06G0032070-1C transcript:Sspon.06G0032070-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPRVLLASWFAMAAVTAAAAGVDALGVNWGTMSTRRLPPKVMARLLTDNGFQKVKIFDADERTMKGLAGTGIETMIAVPNDMLAAVADYDRARQWVKDNVTKYTFDGGVNIKFVAVGNEPFLKAYNGSFDHVTVPALKNIQRALDEAGHGAAVKATVPVNADVYDSPASNPVPSAGRFRDDVAGVMTDMVRFLNRSGAPLTVNIYPFLSLYGNDDFPLDYAFFDSVGGTGAAKPVVDGRVTYTNVFDANFDTLVSALRRVGFGHLPVMIGEVGWPTDGDRHATAALAERFYAGLLKRLAARRGTPLRPGARIEVYLFGLIDEDAKSVAPGNFERHWGIFTFDGRPKFPLDLRGDGRPTMPVPARGVEYLPCRWCVLNPISAGDAVDGRIADNVGYACSRADCTALGYGCSCGALDARGNASYAFNAYYQAQGQVESACDFQGLAVVVDEDASQGACNFSVQVVGSGAPVAAAVTMVAAVLLVPRSFAKKIYRDIHSCNNCEIERAHNIKGCQDTVNGSTLPRIYHTVPRRNNKVKDHMAVTPTAARQDSESASDNTSIIHSTNQHFPGFNGRQAAINGAFNFGTNNDVLEQSDHLGVAVSNNVLQTTMTERARSLHKIKIEGAAIAKIKKELTCDIPYLVVMHRQPREQEGLYSVLHCRRRGDRSIDLLAPFKPARLRAFLARGHGGARARGSMAAPRVLLASWFAMAAVTAAAAGVDALGVNWGTMSTRRLPPKVMARLLTDNGFQKVKIFDADERTMKGLAGTGIETMIAVPNDMLAAVADYDRARQWVKDNVTKYTFDGGVNIKFVAVGNEPFLKAYNGSFDHVTVPALKNIQRALDEAGHGAAVKATVPVNADVYDSPASDPVPSAGRFRDDVAGVMTDMVRFLNRSGAALTVNIYPFLSLYGNDDFPLDYAFFDGGTAKPVVDGRVTYTNVFDANFDTLVSALRRVGFGHLPVMIGEVGWPTDGDRHATAALAERFYAGLLKRLAARRGTPLRPGARIEVYLFGLIDEDAKSVAPGNFERHWGIFTFDGRPKFPLDLRGDGRPTMPVPARGVEYLPRRWCVLNPISAGDAMDGRIGDNVGYACSRADCTALGYGCSCGALDARGNASYAFNAYYQAQGQVESACDFQGLAVVVDEDASQGACNFSVQVVGSGAPVAAAVTTVAATLVLGFGRFQFRSGGIGEDHVVMSSYWLNVGLGNDMFMVVEVHPKCLGMVLPSLAIIVDKDITWVVASMQYGDGSQPLLSQMTEARLCQLDAE >Sspon.08G0003020-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:6532490:6534164:1 gene:Sspon.08G0003020-1P transcript:Sspon.08G0003020-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASLQAAATLMQPAKIGGRAPSASLPSRSSSHLARAFGVDAGAARITCSLQSDFRDVASKCVDAAKLAGFALATSALLVSGASAEGTPKRLTYDEIQSKTYLEVKGTGTANQCPTIDGGVEAFPFKAGKYQMKKLCLEPTSFTVKAEGIAKNAPPEFQKTKLMTRLTYTLDEIEGPLEVGSDGTLKFEEKDGIDYAAVTVQLPGGERVPFLFTVKQLVATGKPESFGGPFLVPSYRGSSFLDPKGRGGSTGYDNAVALPAGGRGDEEELQKENIKNAASSTGNITLSVTKSNPETGEVIGVFESVQPSDTDLGAKAPKDVKIQGIWYAQLE >Sspon.05G0010280-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:20641166:20642699:1 gene:Sspon.05G0010280-2C transcript:Sspon.05G0010280-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALGSSVTSRGLALHTSVSVVFHRHHGNPVISASSHHQRSLTSLRMNSSGMNNAFPIRGTTTRIPAVGPGPANPSGGNLPIPSMPPWAKWLVGAVIVAIPIYRRFRTLEDKIEKTAEVAIEVIDTVAEATEKVAGEVADAFPGNENLKEAASRIKTVTDAIEEDAEKAEALIEKVDEIKEQVDSIVDPIIDKVVKDKET >Sspon.08G0001110-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:2345772:2346686:-1 gene:Sspon.08G0001110-3C transcript:Sspon.08G0001110-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGYGYGYGYSHLQAAALVVGWALAVASPAGAFAPSAWSKGSATFYGGSDASGTMGTLHLFVSIVTTDAAAAVRSLASGARMHAGGACGYGNLYAQGYGTRTAALSTALFNDGASCGQCYRVVCDAATDPRWCRRGRGASVTVTATNFCPPNYALASDGGGWCNPPRQHFDMAQPAWERIGVYQGGIVPVLFRRVPCRRRGGVRFTVSGRDYFELVLVTNVAGAGAVRGMDVMGTRTAGWMPMSRNWGANWQSLAYLNGQGLSFRVTADDGQTIVFADVVPPSWTFGQTYESRLQFN >Sspon.01G0049700-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:95993661:95995108:1 gene:Sspon.01G0049700-2D transcript:Sspon.01G0049700-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTATAAPHVMVLPFPAQGHVTPLMELSHRLVDRGFQVTFVNTEPIHALVLEALRLPAGGGSAHLDGIRLVSVPDGLADGDDRRDLSRFVDGLFRCVPGYVERLIRETKVTWLVGDVNMGVCFEAACKLGIRVAAVFPASAGNLGTLFKVPQLIEEGYFDDKGMLPKAARFVRARPGDAAALPIADAVEHRRRRGRTGSRLVTRNTQAARSHAEIIVCNSFRDAEAAAFELFPSIVPIGPLFADAELLKPVGQLLPEDTGCLRWLDAQPDGSVVYVAFGSFAIFNPRQFEELALGLELTGRPFLWVVRPDFTTGGGLSKAWFDEFQGRVAGRGVVVSWCPQQKVLGHRAVACFVSHCGWNSTMEGVRNGVRFLCWPYFVDQFANRSYVCDIWRTGLAVSPGEDGVVTKEEVSSKVDQVVGDEGIADRARLLKDAACRCVSEDGSSHENFNRFVHLLRE >Sspon.01G0003500-3D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:63404891:63407910:-1 gene:Sspon.01G0003500-3D transcript:Sspon.01G0003500-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding NGAAPRAAEREYDYDLFTIGAGSGGMRASRVASALYGARAAVCEMPFATVASDALGGVGGTCVLRGCVPKKLLVYASKYSREFEESRGFGWVYETDPKHDWKTLITNKNLELQRLVGIQTSTLKNSGVTVIEGRGKIVDPHTVSVDGKLYTAKNILVAVGGRPSKPNIPGIEHAIDSDAALDLPSRPEKIAIVGGGYIALEFAGIFNGLKSDVHVFIRQKKVLRGFDEENLGLEDVGVKMDEHGAIVVDEYSRTSINSIWAVGDVTNRLNLTPVALMEAGAIARTIFGNEPTRADYSAVPSAVFSQPPIGHVGLTEDEATETYGDIDIYISNFRPLRATLSGLPDRVFMKVIVCAKTNKVLGVHMCGEDAPEIIQGIAIAVKAGLTKQNFDSTIGVHPTTAEEFVTMRNPTRKVRRDTTAEARTKDEAASQK >Sspon.04G0032070-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:11582881:11583384:1 gene:Sspon.04G0032070-1P transcript:Sspon.04G0032070-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AKEVPPRRGPSRPEAARPVAVGALRPAPAPGRLPPPAAAARRRHARARREEARAGAAVGPVAGAASEPPRVEGRRAPDAGRRPRAGGDHAQGAGGRVRRRRGCVAVAAVRGPRGVLQPPHVRRAAQGGRGGVRVPAPRRHHHPLPRRAVRAGRGPGRRREEGLRQVVG >Sspon.07G0013200-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:47092677:47094901:1 gene:Sspon.07G0013200-3D transcript:Sspon.07G0013200-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding EMSAAAGGLRRLLAAAATAGAAEVRASIFGHALNPTGKRAATKLLRKKMVGDQVAQWYPYDIKRDDPLVMAREENRRLAKLEMLKRRGKGPPKKGQGRRAVKRSK >Sspon.03G0022330-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3A:67983291:67984926:1 gene:Sspon.03G0022330-1A transcript:Sspon.03G0022330-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLWSLIHSYPDVCIAIICFFGLSIFRFIQQSQKSCIPVNWPVVGMLPFILVSRHCIHDKVMGLLREAGCTFFFFGPWFLDMNFLITCDPATVNHCLNTHFEKYPKGREFAEMFDILGDGLLVADSESWEYQRRVATSIFGGRAFRSFVMSTITRKVGNVLLPYLDHMAKHGSEVELEDVFMRLSLDISYCTVFSTDLGCLSVSSPMPVFGCATKEAEEAMLFRHMVPSKLWKLMRWLNVGTEKKLAEAKVVINQFIYEEIAKRKAQGSNGSQADILSMYMKVTLDPSMSEQQKTDFVRDTAVGFILAGKDLIAVTLTWFFYMMCKHPKVEARILEELKDLQSSSWPGDFSVFECDALRSAVYLQAALLETLRLFPATPFEEKEAHVDDILPNGTKVTKGTRIIFSLYAMGRIEGIWGKDCMEFRPERWVSKSGRLRHEPSYKFMSFNSGPRSCIGKDVSLSNMKITAASIIHNFKVELVKGHEVMPQSSVILHTQNGMMVSLKRRVAG >Sspon.02G0006860-2D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2D:14642611:14643375:-1 gene:Sspon.02G0006860-2D transcript:Sspon.02G0006860-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SSSGAGGVGGRNGSSSASAAAAAVTSSSAASTLSLPPPTGSLPSLSSALGLPGGASLASLLLGTAGSGGDHLGLFQAAMQSVVSSEATAYEMQQQQTQVDHLLGLSYGGATGAGSQIQLKPWMQEAAGAGGIMDSFYAPLLSSSLVPGLEELHVKAEVAGAGDHQQKPAPGDQQSATWELPTPSSSNVDANVIASDALMAAAAASMNPAVSSTASTAPTTVPSSFMYWGNGGIGGAAVAWPDLANCGSSIATLF >Sspon.06G0026240-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:77740692:77742910:-1 gene:Sspon.06G0026240-1B transcript:Sspon.06G0026240-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDFVGHVLPGTLLLAVGLWWARFAADRPAFRVRASNPVPVPAAGPRLLELHVVAGGAFLDMCVELFYATPLHVVLTGGPPRRPRARRHTRTPHVLPLRRARTRLREDQVSPSVECGMPATMDRCISFQQARPPAQQSSPTVCRHLPLSDGALSLVFASALTAEFLLFHFHFHFHFHFHLGTFTPARTRGWRPGYYHGLLLVLLGLCIAAAVVAGALLPSSFPADLGAGRRPRRRPWPAWFYQTALTLYGPMLPAGCVRRFDSAPHSDARIECRGGAGARRAARGLPAVRDGVPRVRVRARVLRRGRGEISINFLGSSVFLNLAY >Sspon.04G0014590-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:54492882:54497255:-1 gene:Sspon.04G0014590-1A transcript:Sspon.04G0014590-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTERLNGPMDPPRPAGGRSGGVRAGDSLVRWDDGDDDDCGGGGRVEGLAGLHIFDQEADESPAKNDMSNSLDTKCIPVANGFSNETTETSVETEPGKGLNPLHEHTGIWVPVSVPPMTAQAREEWHRGFGSNGGYFPEEEFSWELDEENYEMTMWDVFADMVVAAKDKMVSAATYDFGRRGMSVVSNFFLQEAWKDMAQTLADANAGIANELLETEPTKWLPDSAATSCMLCGVRFHPIMRSRHHCRFCGGVFCNGCSNGRSLMPPKFMTAEPQRVCDVCGVRLESIQPQLMNQISRASQLPTQDVTDLSTLRSWLNFPWAHTMEYEIYKAANSLRSYCKVGGLKPEKAIPDTILRQAKGLAIITVVKVGMMVTYKLGTGLVVARRVDGSWSPPSAISTCGIGYGAQAGGEIADFIIVLRNTDAIRTFSGKAHLSVGAGVSASAGHVGRAAEADFRAGDGGYAACYTYSCSKGAFVGCAFNGSIVSTRDTENARFYGGPVKASDILLGSMARPPAASPLYKALSELFDKIGK >Sspon.06G0005430-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:16775522:16780969:1 gene:Sspon.06G0005430-4D transcript:Sspon.06G0005430-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LVSMAATASNPFPFPSRRPPDDSLFYAIYPLPLPSGLPAPALHASLQSLHLSLLSHLAPFLSSHLFHRDPFTLSLPADPAAPCALCASPPVPHLHGALRFGDSLPDEWLAVSLLFALTRAFPDIAARAWDSDGEFLLIEAAFALPRWLDPESAPNRVFIFRGELHILPPSLFPETPSLEAALAVVYDDSIDTRAADAIQAAIQRRIAGLPEKAAENLHTARVIVPAPVAKVLKEEPCLIARAVEGFYDRDIDTMKHAARMEKFLKGPGGEGVEMVRTTVRMTRAMYAQLVQQNFQAPRGYPMPRREEGPEKWMEAELGMKIACGFEMMYQERRRPGEEGKGSTWEVYRKSLEAAGCFEGLLPGSMEYKRVMEDAMQYYKSSNLYSCHREILSEPVRRIDEILSMPYSADEFKGTDLPPSDDDSWLHGGDDELNVELRERQKELEEYEAAKKQRRSQKQSVSSSSKSQTDDFKLGEITESMQEFIRKMSSFEGAEIPSCRRDMESVDLDVNQFFKAMESVLGGGSQEQAGSDDGFDRKSSSSDSDADDSDEDNDFAEELGDKDVDESFMESYSDALNKELSSTTLEKSFARAPRPETALQGPSDAAATDAEMTPVDVDLNLVESILNSYSSQQGLPGPASNLLGLMGVKVPPDAK >Sspon.04G0014880-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4A:55706251:55707738:-1 gene:Sspon.04G0014880-1A transcript:Sspon.04G0014880-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ALVYAIVRIAAARRYGAVFALGLVLVFWVTVSAAYYPRVCADLVPWLRFQRRARGRGRGHGQGEPSLLVPQRSWFVTVDVFPHPRQAPAAAVARGGARVRADDVVSSPSPYPYEHQRVPLSQRYGDRGGGMFPWDRASTSHGDRASTSRMMMAALPREPPAARGRARVGTDDGDDVPRPPCEEQSDGEPSKYCAICLADVDTEEMAKRLPLCLHVFHRHCIDQWLQGHSTCPICRCRQRAQGEPSPMVPQRSSFVTNDVFPRQAPAAAARGGARVRADDVSSPSPYPYEHQRVPLAQRYGDRGGRMMGAFPWEAPPTRGVARAGGADHDVPPPPPPALYPSYPCEQRRVPLAQASTSRMMMAALPREPPAVRGKARASADDDDDVPRPPCEQQNDCGPSKYCAICLAGVDDEETAKRLPLCLHVFHRHCIDQWLQGHVECPQVQPPSSLALARVLTGGEGRVFSEGRTRRRVGDTSCVMKYATYEGKKIILQVPA >Sspon.08G0008170-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:26539739:26548228:-1 gene:Sspon.08G0008170-3D transcript:Sspon.08G0008170-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABC transporter G family member 28 [Source:Projected from Arabidopsis thaliana (AT5G60740) UniProtKB/Swiss-Prot;Acc:Q9FF46] MASSSLLLCLLFLSAAVAAAAGAVVNPRRSLAQTAKSGDMASLAAGSPMVAGLMNERLKALTTSFAQQMGREFHYCIKNMDREWNTAFNFSSDPAFLTTCMKETNACPALFHGSPPPGDLPQRVCTAAEMKFYFESFLEGNGRKNYVRPNKNCNLTSWIDGCEPGWSCSAGKDQEVNLKDAVNIPSRTIDCRGCCAGFFCPHGLTCMIPCPLGAYCPESTLNKTTGVCDPFYCRKGSTLETKCFHKGSCKPNSTNQDITIFGALLVGALSLVLLIIYNFSGQLLMNREKKQAKSREAAARHARETAVARERWKTAKDVAKKHAAGLQSSLSRTFSRKKSLRTHESSKGGLGGGGLPSTDDQPTNEAGGGKKDSSLTDMVRSIEDNPEKGEGISVQIGGGAGEKKKAKGKHAHTQSQIFKYAYGQIEKEKAMEQESQNLTFSGVISMATDEDIKKRPTVEIAFKDLTLTLKGSKKKLLRSVTGKLMAGRVAAVMGPSGAGKTTFLSAIAGKATGCETTGMILINGKTEPIRAYKRIIGFVPQDDIVHGNLTVQENLWFNARCRLSADMSKADKVLVVERVIESLGLQAVRDSLVGTVEQRGISGGQRKRVNVGLEMVMEPSVLILDEPTSGLDSASSLLLLRALRREALEGVNISMVVHQPSYTLYRMFDDLILLAKGGMTVYHGPVKKVEEYFSGLGIVVPDRVNPPDYYIDILEGIVKPNSKEPVNVKDLPIRWMLHNGYEVPRDMLQSSSDSESSFRGGGDHAKGVDAGQSIAGEVWGNVRDIVGQKKDEYDYNKTSENLSNRRTPGILRQYKYYLGRCGKQRLREARIQGVDYLILGLAGICLGTLAKVSDETFGALGYTYTVIAVSLLCKIGALRSFSLEKIHYWRERASGMSSLAYFLSKDTIDHFNTIIKPIVYLSMFYFFNNPRSSIWENYVVLLALVYCVTGIGYTFAIFFQPSSAQLWSALLPVVLTLIATQQKDTFFANLCYTKWALEAFVIANAQKYSGVWLITRCGSLLNSGYDINDRILCIVVLVANGVIFRILLHGDLPEALMDEHEPSWFVRSDVHGNTGEDEDDYYDNGANSFIGARRAARNWSRVW >Sspon.01G0028660-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:97471117:97471689:-1 gene:Sspon.01G0028660-2D transcript:Sspon.01G0028660-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSVQDALDLASSSCGTMDIVTCGFTLWGPESCPSLDQVMASSRLAAAASTAVVEEADPEAEAARNVDPDPDPEEEERLRRQRRKVSNRLSAQRSRARKQQRLEELRQAAARLRAEKQELEARLQALARHDLAVRCQNARLRAEAAALERRVREARRLLELRRLAYALMPQQAAGVAPAPAAPLLGRRRS >Sspon.05G0016540-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:68058214:68061691:1 gene:Sspon.05G0016540-1A transcript:Sspon.05G0016540-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLVVGLAKTVVEGALTKAQSAIEEEAKLQQSVQHNLVFIAGEFEMMHSFLNVANEECVKNNVVRTWVRQVRDLAYDVEDCIEFVIHLDNKPRWWRRMLPLCLAASALPLDEAVTEIERLKVRVEDVSRRNSRYSLISDSGSKPTMQQQTAPNAAFGPMALDMLVEARDTTKKQQGLGDLTQLLTKEQADLGVISVWGTGSELGTTSIIRKAYQDPELCRKFECRGWVKLTHPFNPHEFLRSMVTQFYTNSCLQKGIVINVNELKRIEVMATMEGGLIEAFMDKVNENRYLVVLENVSTIGDWDTIRTYLPDRMNGSWVIVSTQQCEIASLCIGHSYQVSELKQYSAQHSICVFLKESLQGDGDKSMESDRVVDNSNEISMEDEATHGTGFLPRIPTSKWKAACDWVENFHLVERKSEMNQLGNYIAKARLNGLQVLSVWGIAGVGKSALVRNMYGWVDVTHPFNLRDFSRSLLLDFHSQPVQAMGIKGPTQECHKILKDNRCLLVIDDLESMEEWDLIQAALVSRPSSSIIIVITTEASIAAHCADNEGVIFNVKGLEDKAALELFKKQVHKQKPSSPIKDSKDEELQELILKCGGLPKSHG >Sspon.01G0008330-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:26366987:26369954:1 gene:Sspon.01G0008330-1P transcript:Sspon.01G0008330-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LERAFAAREISLLNPLWIHSRVSSKPFYAILHRIDVGVVIDLEPARTEDPALSIAGAVQSQKLAVRAISRLQALPGGDVKLLCDTVVEHVRELTGYDRVMVYRFHEDEHGEVVAESRRDNLEPYLGLHYPATDIPQASRFLFRQNRVRMISDCHATPVRVIQDPGLSQPLCLVGSTLRAPHGCHAQYMANMGSIASLVMAVIISSGGDDEQTGRGGISSAMKLWGLVVCHHTSPRCIPFPLRYACEFLMQAFGLQLNMELQLAHQLSEKHILQTQTLLCDMLLRDSPTGIVTQSPSIMDLVKCDGAALYFHGKYYPLGVTPTESQIKDIIEWLTVCHGDSTGLSTDSLADAGYLGAAALGDAVCGMAVAYITPSDYLFWFRSHTAKEIKWGGAKHHPEDKDDGQRMHPRSSFKAFLEVVKSRSLPWENAEMDAIHSLQLILRDSFRDAAEGTSNSKAIVNGQESEEIVEKLLSRALRGEEDKNVEIKLKTFGSEQSKGAIFVIVNACSSRDYTQNIVGVCFVGQDVTGQKVVMDKFINIQGDYKAIVHNPNPLIPPIFASDENTSCSEWNTAMEKLTGWSRGEVVGKFLIGEVFGNFCRLKGPDALTKFMVVIHNAIGGQDCEKLPFSFFDKNGKYVQALLTANTRSKMDGKSIGAFCFLQIASAEIQQAFEIQRQQEKKCYARMKE >Sspon.06G0018780-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:84965718:84970849:-1 gene:Sspon.06G0018780-3D transcript:Sspon.06G0018780-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPARALAADKRSHPLRLLILSPISISLCFHWVQLVLPDYKGLGFIAAGGGFAAAAAAPGRAPAAGVDEAAPKPTNLPSQRGALQLGDKPMPPAPNAWGSSSLLSLKKGEGSGSFVNIDDRPSSPGSSSTSTDESDFLDSPVSCGRTSNDSVTAISRPQSAELRSGSWKFAHSQISFLDVLKAPLRTIAKKRPTSHRKGFTICADDFPVLDSKNSQSNGQQGGDPVSTANFFWEPEQAQLHATQTPDICMPPPCIDYWHPSPDHPPDRNGIRLGGMVSYGPCKPADKAVSFPVEPFTHDGQSVLNQGGEESQKGMVHMGFITQKTMIPVMLISLPHLILEKVKDNHSDALEKQHVMKKDVALLEKIKCLPHHILGKVKVSTTNNHEDQPLAGNCSQQVHARTANDLLKSPYEIQCNKNSKSIHLAMMHMKATAREEHIAKVSKIKNA >Sspon.05G0039560-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:72336406:72339985:1 gene:Sspon.05G0039560-1D transcript:Sspon.05G0039560-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVLLDRRDFHFVTGVTRSENVRKGFERSQYFSISRPANILRDAKRTLEHALQLGPSVSKHDSLAPYGTTNSCELEALRVATPTVKGAEKGGFPHSLLRSVGSGYFAPGMSVGARREDGVHAVGRREGAPGTAIGRGHRACRVAGPLGPPAYQAWGPCGDAWMHGASAFGTPGL >Sspon.08G0020950-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:28475183:28488002:1 gene:Sspon.08G0020950-1B transcript:Sspon.08G0020950-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPQERDYIGLSPAAAATELRLGLPGTEEADGGEAAAGTPLTLELLPKGGAKRGFTDAIVRREAAARGKAPAEDEEDKKKTQAPAAKAQVVGWPPIRSYRKNTMAMNQPTLKTKDDGEAKQALVQDCLYIKVSMDGAPYLRKVDLKMYKNYKDLSLALEKMFSCFTVGHSESNGKSGREGLFDCRLMDHKNGTELVLTYKDKDGDWMLVGDVPWRMFTGSCRRLRIMKGSDAVGLEPEIPGAGHARGVWIDMAPPQERDYIGLSPAAAATELRLGLPGTEEADGGEAAAGTPLTLELLPKGGAKRGFTDAIVRREAAARGKAPAEDEEDKKKTQAPAAKAQVVGWPPIRSYRKNTMAMNQPTLKTKDDGEAKQALVQDCLYIKVSMDGAPYLRKVDLKMYKNYKDLSLALEKMFSCFTVGHSESNGKSGREGLFDCRLMDHKNGTELVLTYKDKDGDWMLVGDVPWRMFTGSCRRLRIMKGSDAVGLAPRVSDKSKNG >Sspon.06G0022120-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:25541932:25551317:1 gene:Sspon.06G0022120-2C transcript:Sspon.06G0022120-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGGGGGGESPNGVVIDPVSQRGEDYDFDAELNDVVCALCGDGGPLLWCFVVTTKHAAASTTLRALAQSFTRVILQRLADAEFGLLPVGRFGAEDHIDRALLPEILFIVSVTRILSHPPLIVPPVISPASETTTTPTSRIKPPVTLMPIPFLFSTISITPPPIIPIPKVPLKTTSTLSTPTIHVHDFSKRSGINEFYL >Sspon.01G0049280-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:112277988:112279250:1 gene:Sspon.01G0049280-1B transcript:Sspon.01G0049280-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTIIVSVDLECGRCRAKIQKVLNRIQEKGEFCIDDIDFDEKNNKVKVTGPFDPDKLADKLCCKACKIIKQIEIVEPPPPKPKEKPKKEEPAPPPPEKKEEPAPPPPAVVEPPKEKPPPPKEEPPKEKPAPPPPKVVQVPYPWPYPYPYPAWPSDCCCHHGHGGCHCCSCGKAPEPAPPAPAPAPPPPQYYPQYVPQPYPCNPWIVCEEDPPYACAIM >Sspon.01G0061440-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:93486984:93489031:1 gene:Sspon.01G0061440-1D transcript:Sspon.01G0061440-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAPDRRARGQARRGPAGGLATKGGCAARVRRWHSSARRAHTGPDRTGHGRRRGDQSARRHGAQSSEARPGRWAQLGEGATTVGPAMAQPGTGRDRHGPASVCRHGARPVGGLRLGETRAGRRWRGQARRARGEGPAGTACGRGGTRGSCSSAMAERWRKRSDAGRKSVESANDYRRRRRRRLTPDSQVFVGPVSGNEHPTNGMPAPSLRFLLCETEQHPKPNLSYLARPLCETEQHPNLT >Sspon.06G0021230-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6B:13741000:13741458:1 gene:Sspon.06G0021230-1B transcript:Sspon.06G0021230-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSVAASLGYGAALIYTVKVWRVSRHVKMRFSNLIATVILEASVRPSVWTLRCWIATTAFYLLIHSPCNHSKNTYLTVSAMTALRRIASAIVPPSPSCLRSAVRHLHRTQARWPVFLSTTPPTPSGLLQRADLLRPSPSERQALCHWRAPHL >Sspon.05G0020620-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:87499785:87502098:-1 gene:Sspon.05G0020620-1A transcript:Sspon.05G0020620-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AGLSVYLMGAISDVLVDLRNEWAVQTLVFLLMFAWTRRRNVATLTRLLLWLAYQLADSTALLIRVASILMFIVGGLKYGERIWALRSASMDSIWSSLDNEKSDASARRSESHRILQELVEKGWNNLDDEDILMASHGLLDVCKGIFIGSQRELGGAADFIERLMRRCRREDRLDMLMEMELSLMYDIMYTKAAVIHTWWGCCIRVISPVATAIAFLLFRITSKGDHKEKDVVITYVLLAGALLLETASLVRAAGSTWTAAFLHAKRWGHLYEFQDFRVFLRAASYRRWSGTVGQYSLLQSWGHDMAKPGGSRMARWMGWMGLEHLWWWLRHSRSDQISGTTKELVLREIFEMGADRRNVSSRPGLLALQQHQLATNFGWSVEESDIEGSIIAWHVATDLYYRAADNKVEDMLQASQELSRYMMFLFVVRPYMLPGPVRRSQYSKTCSLLNKAIEEAYNKAWDFTTPAEEEQGAKAITEKHRFDWVLRTFGKNLKKLPLSRLQVHFMDSFLPTEFAPVHDNPNPAVGGFAIAHGLRDRSDRLQVIFGVWVEMLCFVANHCSRESHARQLSSGGELVTIAWLMAKHANLPVVAAATKHPHHTHTDMSKRPAPQVVLEQTVPIRPWYPDHPGSNYEANEKADRDNYRKAAGKPKVPSHYEEETDRQIEIAAHGQSSELAGCPAKSVSRRT >Sspon.03G0024060-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:73336717:73340444:-1 gene:Sspon.03G0024060-1P transcript:Sspon.03G0024060-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSINSDYIDFSHMGGFDMGIDFNGFEDNVKKFMELPIKYLDSAHDKAVEFIEDVHAIFYGPFTDDEVPNNDDCYVITESSPTSIEKELVGPNTEPSTPASFISMENSSTGCDTDAHQTESFSTKSTDSSEEVILWNPVTSVKPQRSHDILVNCTDFLNAPLLEDSSTNYERTVCMQPCQATLPGTQANRPEVHIVSYSANSAVESTTHGTCISHDSSTSVSSCVDDPSMSTDNMVNSVDIDLRDGQKHMKNDKIEVYPVPQRENASFKKMFLRNLSRKLRWSKKQADTNQAMTSGSQDAENLGYQLVSSSDDLEDGWEVV >Sspon.07G0019210-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:74892430:74900374:-1 gene:Sspon.07G0019210-2B transcript:Sspon.07G0019210-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLPPLLPAMASTFSPTSAARALLPGSTSRPLFLAAAAASSGRIQPSRKGLDFRRGRFTVCNVAAPTAAEQEATASAAAKGSQRPVYPFAAIVGQDEMKLCLLLNVIDPKIGGVMIMGDRGTGKSTTVRSLVDLLPDIRVVVGDPFNSDPDDPEVMGPEVRERVLRGDTSVPVTTAKITMVGTVRDAELRVKIVEERARFDRDPKTFRESYNEEQEKLQQQISSARSNLGAVQIDHDLRVKISKVCSELNVDGLRGDIVTNRAAKALAALKGRDSVTVEDIATVIPNCLRHRLRKDPLESIDSGLLVIEKFYEDTQNMKSILLYTDKLD >Sspon.02G0053130-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:99398907:99405018:1 gene:Sspon.02G0053130-1C transcript:Sspon.02G0053130-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SMEASRNQGNGGRVRSSMDDGGGGAGAGAGNEVMRERATQGAGTSVNPTRAAAARPPQADLGPRAGDIEKVWTHGTKLPGQGFICGYCGMTNRGGGATRLRDHLGGIVGEVKACNNVPITVRDAMRELKKTTMDNKREKEQRKLRLEQDLMQGLHGDDEVINIASDDEEHVRMEIRKSLRDKNLSRAIERRGGGEKAVRVSVGKRSVTAYFNKDWSSSKAPVQPRIDTALSKGSREQIGQAWSKWFQANDIAGIKADCPYLAAVLDPETHYTHNFSKNPQYALALTDAIEKMAQIHDDAVQASDDAVQAMKEITLFREFHGRFGRPTTRAGASSMTPDWWLQFGGEVPTLQKYALRIISQCVSSSGCERNWSKFALVHTKIRNRLGYDKLHKLVYVHYNLKERIKEVSGQQEKEVDPCAMMMETATFDEENPIWDWLDKSMSDVGPNLAGMYPSWLDSPARGKNDRNKNGKRVREYDGRAREDDEIEFDFDVSEDEHEDEFEDTLSEGDDLESVVELGCDVDDEDDGPREPIPRVEEDVEVSRDEDHASRYGDHCRRSVRTRQKKLPLHSLYESRWDNIWDI >Sspon.04G0027330-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:58221382:58225161:1 gene:Sspon.04G0027330-1B transcript:Sspon.04G0027330-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Early nodulin [Source: Projected from Oryza sativa (Os02g0227200)] MAGKSFLIRSPKEEESNAAVREAVLLGAKNAAIAGTVVAVPTLVGCRVLPWAKANLNYTAQALIISAACIAGFFITADKTILRNARQNTIGKLDKLDSRFMLDK >Sspon.01G0017080-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:68649917:68654986:1 gene:Sspon.01G0017080-2B transcript:Sspon.01G0017080-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GGGDKVDYVFKVVLIGDSAVGKSQILARFARNEFSLDSKATIGVEFQTRTLVIDHNCQSPPQWLRAFLLSLSEAAAAVGGTLTSLLLAEMSAAMAVLQTQKGSSAPAPSLFTGPTSLRDGILHQGEVNQLPSLLQFASCAAAVVIRYRAVTSAYYRGALGALLVYDITKRQSFDHIPRWLEELRGHADKNIVIMLVGNKSDLEEERAVSNEDAKEFAEKENLFFLETSALQATNVENAFQTVLTEIFKIHGKKNMAADPKANEAAPSLAGKKVIVPGPAQEIPK >Sspon.06G0020480-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:9854628:9856507:1 gene:Sspon.06G0020480-1B transcript:Sspon.06G0020480-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQDDAGDEEEDEDDGVHTQDAWEDVDPDEYSYEAKSKGLAADTIASLPSVTYQAQDKQDGNMEQCVICRVEFDEGESLVALPCKHPYHSECINQWLQLNKTGHFVPGDAACVQNFK >Sspon.03G0014150-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:65851948:65857667:-1 gene:Sspon.03G0014150-2B transcript:Sspon.03G0014150-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHNRKTILLDEGWPHMMAGVEKLKLILAGEPGVAFASDEYMHLYTTIYNMCTQKPANDYSQQLYERYKELLDGYITVTVLPSLQDKHGEFLLRELVFRWKNHKLMVRWLSRFFYYLDRYFITRRSLMPLKKVGWDSFKTRVGSLFPFDGFYLCISLNKLVLDNHKATVTSILIAMVDEDREGQIIDRTLVKNVLDIYIELDSDSGSKLYNEDFEDAFLKATIDYYSKKAQAWIVEDTCPEYMVKVIEVLLKIPFDSAEECLQKEKQRVAQYLHANTEPRLMEDVQGELLARHIEQIMRKQNSGCKVLLCDEKVEDLSRMFSLFSRINGGLTPVSKIFQDHVNEVGMSLLKQAIDAATSKKNEKKDVVSTLELDYVRKILDLHDKYMAYVINCFQNHTLFHKALKEAFEVVCNKTVAGCCSAELFAAYCDSILKKGGCEKLGDEAIEENLDKACYTLVFSTFKLIIVVKLLTFISDKDLFVEFHRKKLGRRLIFDRSGNSDQERSLLSKLKQYFGAQFTSKMEGMINDVTVAKDKHTDLENYIRANPELNPRVDLSVQVKCVEIFSKFYHSNTDRKRKLNWIYSLGNCTVVGNFKAQKIDLIVTTYQAALLLLFNESERLSFSEIVTQLNLSEDDTVRLLHSLSCAKYKILNKEPNSRTISPKDVFEFNHRFTDKMRRIKVPLPPSDEKKKVIEDVNKDRRFAIDAALVRIMKSRKIMTHQNLVAECVQQLSRMFKPDIKMIKRRIEDLITREYLERDRDAPNSYRYLA >Sspon.08G0002650-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:7625717:7628735:1 gene:Sspon.08G0002650-1A transcript:Sspon.08G0002650-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GVIGPSGSGKSTLLRALNRLWEPAPGAVLLDGADICAIDVLTLRRKVGMLFQLPAMFDGTVADNVRYGPQLRGKKLTEAEVKNLLSLADLDPALSSKPASELSVGQAQRVALARTLANDPEACASSPLKLRLPYTVLHHGRLAEIDRLLQVLLLDEPTSALDPISTQNIEEAIVRLKKTRGLTTVIVSHSVKQIQRIADLVCLVVAGEIVEV >Sspon.03G0016800-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:53057279:53058000:1 gene:Sspon.03G0016800-1A transcript:Sspon.03G0016800-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAANSSRALLPVLALAGVVFFLLAPAAAAAADAAAPQGLEFHVGGPRGWRVPDANTSYGWWAMNNRFRIGDHLYFKYANDSVLLVDRTAFDACNTTEPLATFADGATRFVLDRPGFFCFISGEPGHCEEGQRLIVRVMVHPAIVATPGPASAPATSAQPGHGGGGQGHPPRASSGAATSAVAAAAGVAVAAALAVF >Sspon.05G0004010-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:16012111:16014796:-1 gene:Sspon.05G0004010-3D transcript:Sspon.05G0004010-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LSHGRRTELHLVAQEPQPARGGAAAGAPGGGSRPYMPPLSTGSRNPLAKCYVSSRPQSPLFLGTRTQAVGRNASTVNGSLCLQGDRFIPERSAMDMDLAHYLLTEPRKGKENPAARVSPSKEAYRKLLAEKLLNNRTRILAFRNKPPEPESMLTDLRADATQAKPAKQRRQVPQSAEKVLDAPELVDDYYLNLLDWGSSNVLSIALGCKVYLWDASSQSATELVTIDEDSGPVTSVSWALDGRHIAIGLNSSDVQLWDSTSNRLLRTLRGVHESRVGSLAWNNSILTTGGMDGKIVNNDVRIRNNVVQTYHGHEQEVCGLRWSGSGQQLASGGNDNLLHIWDVSMSSSVQTAGHTQWLHRLQGHLSAVKALAWCPFQSNLLASGGGGGDRCIKFWNTHTGACLNSVNTGSQVCALLWNKNERELLSSHGFTQNQLILWKYPSMVKLAELNGHTSRVLFMAQSPDGCNVASAAADETLRIWNVFGTPEALSRDLPEPADLYFI >Sspon.01G0044790-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:85183933:85185012:-1 gene:Sspon.01G0044790-1B transcript:Sspon.01G0044790-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRRLSRDPIRSFRVRRAGSGGVSLGFAGTRACGGEVPGRTGGGGRDRGRQQVRGLGGRFDSAPLPDPAGPRLTSDDDPSPMDSPGRPRRSPPVDRFLGLFSSPYHSLPASSPSAGDELLEGDLLFPAPPSSSDPPPDASKNPGRVQGGHLGLLAALHEGDRKVRGRGGAATVAAASTATAGTSGTLLRRKATIAAAAAAASSASSVTPSLSPTSASRAIPVAPRPRDPELPPAAPYHQSAPVLVPVPPPWSRGRKWDKLAGGPGDGDDDDEELFHGDAAMLPPHEMVARASAGGGYGSPGKPSSMLEGVGRTLKGRDLRRVRDAVLRQTGFLD >Sspon.04G0019980-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4B:72905675:72908386:-1 gene:Sspon.04G0019980-2B transcript:Sspon.04G0019980-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding FQVAGHHQN >Sspon.06G0001680-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:2912361:2914167:1 gene:Sspon.06G0001680-4D transcript:Sspon.06G0001680-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPDADEDYMGDLSRFLPPSPSSSPPKNLGRRKQPPTQAQAQTRAKRGKGVPWQERRRQERERKQREEDARTMAGLAEAIPESNVGFRMLKQMGYDPESRGAAAEPVGIEIRRSRAGLGAEEPALAPETALAPVEKSREVVERERRQQEEMAVELRARKSTQWKGRRVVWDYRKAERALAQLENREVEPPAPEGEVEKKGAEEEEEVITEEDLQNILSKLRDQYQYCLYCGCKSDETLS >Sspon.05G0031100-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:13129503:13135959:1 gene:Sspon.05G0031100-2D transcript:Sspon.05G0031100-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQRRRALNPTLLPPPPAAAAFGHHGADEARLPLLADYALLHQSSAASVSVVDAPAAAPASSEWSAGSAFTATSDAATTTATAPGSSSQLLTAAEASGGRERETWVRRAREGYYLQLSLAIRLTSQAFLAGAPPAPELLFGCGSGVVADHHAAGDGADDPEAISYRLWVNGCLSWGDKIAHGFYNIMGIDPHLWAMCNVAEEGRRLPSLAALRAVDASESSLEVVLVDKGADSVLLDLERRALDLVRALGVTLDLARSLAVLVSDHMGGALRSEDGDLYLRWKAVSKKLKKRQKCVVVPIGDLSIGFCRHRAILFKTSSACHAGLRKVASTALRLTDHLALSKSTVRGDMEYVVDLVVEPGSISSPDSSINGQLLSTVPSPFKTSCAVGSGNYTTPVAAWNQAIADERRNMVLSNSQYSVARCRIVENNSVQVASKEDLLPKCGQITQNGNCNGVSMLQVSAQLKAMDIGAENGNMETVPGVNLPKPLSIEPPFAVDWLEISWEELDLKERVGAGSFGTVYRADWHGSDVAVKVLTDQDVGEAQLKEFLREIAIMKRVRHPNVVLFMGAVTKCPHLSIVTEYLPRGSLFRLINKAANGEMLDLKRRLRMALDVAKGVNYLHCLNPPIVHWDLKTPNMLVDRNWSVKVGDFGLSRFKANTFISSKSVAGTPEWMAPEFLRGEPSNEKCDVYSFGVILWELLTMQQPWSGLGPAQVVGAVAFQNRRLPIPKDTDPELAALVESCWDDDPRQRPSFSSIVDTLKKLLKALLGGLEQNFSGDSFFFLWALSFGKQT >Sspon.01G0006120-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:16284312:16286740:-1 gene:Sspon.01G0006120-2B transcript:Sspon.01G0006120-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTAAAAKTPTKPLGPAAAAARTPTKPQAPAAAKTPCPSRARSSHTSENSNPNIPGTPPPPQPTPSKPVLKSPAAAGARSATAKKKPSTPAPAAPLPERERRFLVAKKGARRRRNVGTMGGGGGGGEIDFDKVREAAREALRASQGEFFRKEVAASTATVEEQLGQEEEEEEEEEEGKPVAKEDVKGGAFEERQEEETDAELEGSSKVRAMRTKAMVKAMTSVPDPGSGRVKHMVQAFESLLNISGATSDAERAGEGSWALPGLQLWKEKAEEGDLGFPPVSVFSSADFQNAGSNRICSSLDGNTDRLSWDSRTSAGERRDRRSSSESLRSSWNKKLKVTSQHPFKLRTEQRGKFKEQQFVQKVQEMLVEEEKKRIHIAQGLPWTTDEPECLIKPAVKERTEPIDLILHSDVRALERAEFDHHVLERNKFAEQQRLEWERQQELEEEERIRQLRRTELIPKAQPMPYFDRPFIPKRSTKPTTVPMEPKFHLRPEKLS >Sspon.01G0030430-2D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1D:101769218:101769715:-1 gene:Sspon.01G0030430-2D transcript:Sspon.01G0030430-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GENYRFGYKASGDAAELVKLCEEFDLSAFIVRSVMDTAKRSHNGVSAAINSSDKGQVSSSRVRHALAMGDMEYVSELLGRKHRLVLMVNQHCLHEKKNIVLPNSCMLNMPPAEGLYENCDLVNGGYLGPCRVIIDSDTIIIEMKDENSLSLNPIQEIRQLGIEFG >Sspon.01G0020510-1T-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1B:62557304:62557624:1 gene:Sspon.01G0020510-1T transcript:Sspon.01G0020510-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQIAAAEGHCNMARAIQDMPADRSHGQEAVGALGAGTGQGVVHHMEAVVLAPHRMGGTAVSMQVLAPVENIQALSLPQVERHREPEHAVVVMGCSDQVVQKHSSA >Sspon.02G0004770-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:14528939:14529178:-1 gene:Sspon.02G0004770-2B transcript:Sspon.02G0004770-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SMRRSPRRRSERGESLGPPPPPPPPLLLRRLLGGGGPPPTDTPPLLPSRILSISDLRHAAPIPGKSPPPRRIPTSTAAAP >Sspon.08G0000290-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:1485839:1497905:1 gene:Sspon.08G0000290-1P transcript:Sspon.08G0000290-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAPPVAKKVPRQLVEHGDVRVDNYYWLRDDCRSDPDVLAHLRGENDYTAAVMSDVKQLEDEIYAEIRGRIKEDDIDAPLRKGQYYYYERTLTGKEYVQHCRRLVPTDAPITVHDVMPTGPDAPDEHIILDENIKAEGHDYYSIGAFKVSPNNKLVAYAEDTKESIGQLQGGRALDFIDPTYSVDPEESQFHSSVLRFHYSSMRTPPSVYDYDMDSGVSVLKKIHTICIDPSFRGSRFSLVDRGFIYVIAHIRGGGEMGRKWYEDGKLLKKKNTFTDFIDCAEYLIKNKYCSKEKLCINGRSAGGLLMGAVLNMRPDLFRAAVAGVPFVDVVTTMLDPTIPLTTAEWEVSISPGIGVISPLGPRAIVHVLLFADPICSCPGAEHGASTSPPRIQASASHLPLLRSKEWGDPRKEEYYYYMKSYSPVDNVTAQEYPNILVTAGLNDPRVMYSEPAKYVAKLRELKRDDNLLLFKCELGAGHFSKSGRFEKLQEDAFTYAFILKALA >Sspon.01G0006590-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:15626882:15633134:-1 gene:Sspon.01G0006590-1T transcript:Sspon.01G0006590-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAQVAATFLSFLSSSSSTHHPAPSSSVSLGTNPVLPVSLRAAVTGGPRVASRLRGRRVGAAVAQLPTTHPEVVSGEKKIRWSSRAVRSFAMAELEARKMRYPTTGTEGLLMGILVEGTSGAAKLLRANGITLLKVREEAANVLGKSEMFYFSPMHPPLTEAAQRALDWAVNEKLKSGEDGEVTANHLLLGIWSDKESAGHKILESLGFDDEKASLLAKTADQEAAMSPR >Sspon.05G0016570-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:63338480:63341792:-1 gene:Sspon.05G0016570-3C transcript:Sspon.05G0016570-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMFASHPGMQLVGAEQIGLEVQIFSSSLGAMVSLLAKLRSLLLSPEDQLPEPLKSQKDKLELLKQDLEAINTFLVNLSWVEAPNMMVKHWMNEVRDLSYDIEDYIDKTMHHGALNCREESCSDSEVEELSILVKQAKDAHERHNRYDLGRWASTPRFVVDGGGQGWVPRLNGDATDLVGISDSKSELIKRLNMDDAEGRLVVCIQGPAGVGKTTLAQEVYREIEGRFECRAFVRASKMPDTRRLLSSIISQIQHRHQRPACGLPVQELIDILRTHLLQKRYLIVIDGLWETTSWDIVNSAFPDDTHCSRILMTTDIEEVALECFMLREIVGLSYNILPHHLRACLLYLSMYPEGHTFLKADLVKQWIEEKNCDDVAECYFEELICRGLIQSNHTNISDEVISYAVHSTVFEVSRNMSTEENFTTVIDYSNTISELSAKVRRLSLRFSSTKYATKPENITLSPVRSLIFYGFVECLPSIKQFEVLRVLILEVWGDDHEELDLSGIDRLFQLRYMQITSNITVKLPARMIGLTYLQTLEIYARVTSVPSDIVHLPRLVNLCVGGQINLCNGTGHMRSLRTLQSFDLSSYSEDNVWQLGGMTDLHDLHVISSTEMSDPLKGKLIAFVSSLEKLGNLKSIILAPAAAAAACTNISLDCSWRSISPLSIFIQKLELLPPFCIFSRLPVWIGQLRKLCILKIVLRELTTGDVYRIAKLQELTILSLYVRQPTAEQIVFHRAAFPVLKFFKFRCGIMCIAFQPEAMPRLRSLNLEFNVHSGQQNGNMLAGIEHLLNLQEITGRIGAAPGAEESDRIAVESVFKDAISKHSRLTNFNLRIVGLFDKEYVPFFSL >Sspon.07G0015420-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7A:55327387:55329370:-1 gene:Sspon.07G0015420-1A transcript:Sspon.07G0015420-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding PQSVPAPPPAAPDQKDATEDSRERAARPSHATLPHKYHHHCCATSPSPPPPPKQTAPRRILPRRPPPIPSPPRCEASPALPAQMFTYKRDASYDLVNAPRVYVCSLAAHARHIQEHVPNPRGNAREVPFITLFTDLANTASGMAVDDDCKRRFLELKAKRTRRFIIYKIDEKKKMVVVEQVGEPVLNYDDFAASLPANECRYAIFDYDFVTEENCQKSKIFFIAWSPDTARVRSKMIYASSKERFKRELDGIQVELQATDSAEVGLDVIQGRAN >Sspon.04G0009480-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:27188115:27192962:-1 gene:Sspon.04G0009480-2C transcript:Sspon.04G0009480-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYAYLFKYIIIGDTGVGKSCLLLQFTDKRFQPVHDLTIGVEFGARMITIDNKPIKLQIWDTALVNFPCSSRMACFYRKRIRRETFNHLASWLEDARQHANANMTVMLIGNKCDLSHRRAVSYEEGEQFAKEHGLVFMEASAKTAQNVEEAFIKTAGTIYKKIQDGIFDVSNESNGIKVGYVVPNSSGGGAGSSSQAGGCCS >Sspon.03G0003300-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:24069191:24078565:-1 gene:Sspon.03G0003300-3C transcript:Sspon.03G0003300-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:V-type proton ATPase subunit a1 [Source:Projected from Arabidopsis thaliana (AT2G28520) UniProtKB/Swiss-Prot;Acc:Q8RWZ7] RMALNEDKSPFQRIFVNQVKRCAEMSRKLRFFSDQINRAGVRSSVRPALEPDIDLEELEARLGEHEHELLEMNTNSDKLQQTYNELLEFKLVLSKAGGILASSHNHAASAERELDENIYDNGVDEGNAYLLEQVSARLSDLEVTLDAGIQHRNKALESIGSQLWRWTIMVKKEKAVYDTLNMLNFDVTKKCLVGEGWCPIFAKSQIKDCLQRATLHSNSQVGTIFHEMDTIESPPTYFRTDKFTNAFQEIVDAYGVARYQEANPAVYSVVTFPFLFAVMFGDWGHGICLLLGALVLILREKRLSSQILLLLLALVAVPWMLFPKPFILKKLHKERFQGHTYRFLGTSEMDPDSEPDSARARHDDFNFSEVFVHQMIHSIEFVLGAVSNTASYLRLWALSLAHSELSTVFYEKLLLLAWGYDSLIVKLAGLIVFAFATAFILLMMETLSAFLHALRLHWVEFMNKFYHGDGYKFKPFSFALLADDED >Sspon.01G0023850-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:91133528:91137137:-1 gene:Sspon.01G0023850-2B transcript:Sspon.01G0023850-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASMKPHYVAIIIYTGMFVISKAAFNQGMNTYIYIFYRQTAGSLILLPIALLRKKNPQGLIMSSRVLFKLFLCALIGIALGVNLYHVSLKFTSATVASAADSSLPAITFFFAVILTRMEDVKLRSSSGIAKVTGALCLAGFTIAFYAGPSIIPLNHHRAFGSDPAPAGSKPAVPRGVWIQWTFPMVVGEMCWCLWIILETVVLKDYPDKLVVTVTECLFSTVQTFVVAVVAERDFSKWKLRFNISLLAILYSMRGAMFFCRLDPTLLRVHSILLVVLSRRDCSPRQ >Sspon.03G0035460-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:79071932:79074708:1 gene:Sspon.03G0035460-2C transcript:Sspon.03G0035460-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGDPAKVIRNMKGAAQKYLGVGFLLGFFLVLLTYFTVSEQFAITAPNAVRRASPGHAHPSSSPITPAVEEKRQQAPVIEEKPPKAEHEAQENPPRVEVEEPHAETEMDQQKPVAGDDDGRSSSSIVGGDGIATEIAPAKKPACDIQGPWAADVCDIDGDVRIHGSAGTILIAPSIESGGSNPNPQEWRIRPYSRKHQAGIKEVTVRELASAADAPACDVRSPVPAMVLAMGGLTGNYWHDFSDIMIPLFLQAARFKGEVQLVVENIQPWYVGKYRTILRRLSRHDVVDMDRDDRVRCFPGAVVGIRMHKEFSIDPAREPRGHSMPEFTAFLREAFSLPRAAPARLTGADGEEDERVKPRMMIISRRHPRKLVNVDAVVSLARRVGFEVVIGDPPFNVDVGEFAKEVNAVDVLVGVHGAGLTNSLFLPTGAVFIQINPYGKMEHIGEVDFGIPAVDMGLKYIAYSAGVEESTLVDTLGRDHPAVKDPESIHRSGWGKVAEYYLGKQDIRLDLQRFEPVLRKAMQLLKE >Sspon.08G0009180-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:35427473:35428967:-1 gene:Sspon.08G0009180-3D transcript:Sspon.08G0009180-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPLLLCVVLLCSYCLIALGGNERGFVVVPTSTSSSSASNPAACSPAPQVTSDPNRASMPLAHRHGPCAPAAAATNRPSRAEMLRRDRARRNHILRKASGRRITLSDEGVSIPTSLGAAVDSLQYVVTLGFGTPAVSQVLLMDTGSDLSWVQCQPCNSSTCYPQKDPLFDPSKSSTYAPIPCHSKACRDLDRDTYGNGCTNSSGTSLCQYGIEYGNGDITVGVYSTETLTLSPQVSVKNFSFGCGLVQRGTFDLFDGLLGLGGAPESLVSQTAGTYGGAFSYCLPAGNTTTGFLALGAPSNNTAGFLFTPLHSVPKEPTFYLVSLTGISVGGKQLDIPPTVFSGGMIIDSGTVVTGIPETAYSALRTAFRSAMSAYPLLPPNNDEDLDTCYNFTGSSNVTVPTVALTYDGGVTIDLDVPSGILLDGCLAFVPAGSDGDTGIIGNVNQRTFEVLYDSGRGHVGFRPGAC >Sspon.04G0005880-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:16675339:16678454:1 gene:Sspon.04G0005880-1A transcript:Sspon.04G0005880-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETRAPSMVRGADRISDLPEGVLHHILSLLPAQDAVRTCVLAQSWRNHWRSAPAVRFACSTGLAGGVDTFGPFVDGLLRGRRRGSPLDSCDFDLDLDGSDVPRLELHGNCWIRRVLQLKVRELRFQVSADPRVPLTLECRPLSSQHLTSLVLMGVQGNDVVLDFSGCSALESLWMEECLVSSTEMHSPSLKHLRIKYCFFYSNFRTRMWFPNLRSFEFITNFGRAPMLERMPYLEAAKVRFDHHYDDRCKNGRLDDCGSAACRGCFNYYGPDDSGSVFLEGLAEATYLNLSAYPDMARKPLMKTEGSYNPLEKSVAPSHLQIVEIICKDVDGIVIILCVLITTPIRSEKYQAIDDPCRLLKQPDGKDCQQVNSSVIISASPCDRSDRLLCCSALMTAKRQCPYWCL >Sspon.01G0039170-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1B:32840772:32840949:1 gene:Sspon.01G0039170-1B transcript:Sspon.01G0039170-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASADADEAPLLSEEPLCPGSCSRELELREFRDRYVIRSLDSAAAFAVARSGGSIRPLS >Sspon.04G0010940-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:33596299:33600779:-1 gene:Sspon.04G0010940-1A transcript:Sspon.04G0010940-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEQGKPRSIRSKAKKSPFVEVEADEISQASGVVFARESALAPKNDQGCSVSHSHGDDETQESDGCVQSQGLDEHGWVDFGHSLQLVLFSRQWSLAESLVDLADQQSMLDYGLSISLDAIWFLRTKRDLEGLNSLIAKIVASGAKDFARAILRTSLLASCVAACQSKAIIVGDSKEIVAERLHERLRDCPGAEHLKIEAGAKVQKFMEWALQCIHMHHCSEDTHRYRWSCNTLQEVQLHLSAFKTFLDIAGDNLSGKIFTEAFDAVCFPLTLFSSLFESGWSSGSSAVSIKGLLSLLVEGGADNVNQCFLEAARFGSTELVRILLEVAYQNSLAVDTELALVYASHYCKFNTMECLVDEGNVSSFLSPLIKAAERGCLQVVHWFVSRGISDIEMCLALTTAASSGHFMVASYLLAHIPQQILEALSTQILKAARGQGSRSLDGVTFLLRSNFLRDAAATYEAADIIATGGTDGEPPDLVAFLKEHWSQGAFAEGVSTGEMHFVNVMRALRRGASPICLEDLPSEMVLGITYLPLYRECVSAGGQLLPQRLRGELLEAVHRLGEPVGMESQGKDLVRALERHMPSFLVGS >Sspon.03G0003400-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:17966594:17971553:1 gene:Sspon.03G0003400-2B transcript:Sspon.03G0003400-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPGLDQVMAFLTDHGFAGAASALRDDVLARTAAGDGSHAAALDPHLPPLRMPASAAGAGAGTPAPASPGSSSGSASSSAFVSMRSTPSGLLNPYGLWSPRHSQSDSSSSEMEFGTARQYDSTDLFFQEDWLYDDHLFPSKLDDEDDEGKEEDKFVLDAQDGSEQVEIGKLGAGHNHRHEHIGGDGCEGCAEVYTCSSPLCGCCGGGLKNDGLDVARSSSSTVYGRYQIMDDQTEILDDCAQDGFQLKQGGDIMFECGMPRGDDDSELSVVEKELQMLSSFDTDAVVNHGIHDFTDNGELNGSCDKNLKSSIDEEYLKGNRIQPFPESADPDEAYEFRNVASLNADVQHSTALKAEEDAETNIDLALSDFHREYEVFELRIIHRKNRTGFEENKDFPIVLNSVVAGRYYITEYLGSAAFSKVVQAHDLQTGMDVCLKIIKNDKDFFDQSLDEIKLLKFVNKYDPLDEHHVLRLYDYFYHQESGGEVYFTLPRIQVIARQCLEALVYLHRLRIIHCDLKPENILIKSYSRCEIKVIDLGSSCFLTDNLCLYVQSRSYRAPEVILGLPYDQRIDIWSLGCILSELYTGEVLFPNEPVPMMLAQMIGIIGPIDMEMLELGQETQKYFTDDYDLFTKNEETDQLEVILGVNP >Sspon.04G0006120-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:13673875:13674171:1 gene:Sspon.04G0006120-2B transcript:Sspon.04G0006120-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DVWARQGRQGAGQGRREAPPQGPPRQHPGDHEAGDPEAGEEGRREAHLRAHLRGDPRRSQDLPRERHPRRRHLHRARAPQDRHRHGRRLRAQAPGPHPL >Sspon.02G0011850-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:20176841:20181771:-1 gene:Sspon.02G0011850-4D transcript:Sspon.02G0011850-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAMLTAQFTVLPLSQSPSPQASFRLGLRPSPAARARSVAPVAATSATAVSAKPAAASSPLVADRTVVRIGLPSKGRMAEQTLSLLKSCQLSVRQLNPRQYTADIPLIPNLEVWFQRPKDIVRKLQSGDLDLGIGTEDLVVVHDALDFGHCRLSLAVPKEGIFENIITLEDLLKMPEWTEERPLRVVTGFGYMGMADAIVDLVSSGTTLRENNLKEIDGGVVLESQATLVASRKSLHKRKGVLEVTHELLERLEAHLRATAELMVTANMRGNSAEEVAERVLSQTSICGLQVGGSGVLVTKLTYIFDEETPRWRNLLSELGL >Sspon.04G0031660-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:9376911:9378350:1 gene:Sspon.04G0031660-1P transcript:Sspon.04G0031660-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGECSTEYRGLPDANGEDEGSVPVPAALRKVSIVPLVFLIFYEVSGGPFGIEDSVGAAGPLLAIIGFLALPVIWSIPEALITAELGTMFPENGGYVVWVASALGPYWGFQQGWVKWLSGVIDNALYPVLFLDYLKSAVPALGGGPPRAFAVLGLTAVLTLLNYRGLTVVGWVAICLGVFSILPFFVMGLISLPRLRPARWLVVDLHNVDWNLYLNTLFWNLNYWDSISTLSGEVDNPGKTLPKALFYAVIFVVVGYLYPLLAGTGAVPLDRGQWSDGYFSDLAKLLGGAWLMWWVQAAAALSNMGMFVAEMSSDSYQLLGMAERGMLPAFFSRRSRHGTPLVGILFSASGVLLLSSLSFQEIVAAENFLYCFGMLLEFIAFLLLRVRRPDALRPYRIPLGTAGCVAMLVPPTALIVVVLALSTLKVALVSLGAVAVGLVLQPALRFVEKKGLLRFAVNSDLPDIGVGRSPASAEEPLAP >Sspon.03G0010880-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3B:36608096:36610002:-1 gene:Sspon.03G0010880-2B transcript:Sspon.03G0010880-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VQLMLPAFSACCEELQQLPRRKKDFPATSRASFACHDEHSEDYDYSRLHVLAYRKQQKDAQKQQRGGINSARYNWEKNSSNETRREHQR >Sspon.05G0023740-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:8873852:8874324:-1 gene:Sspon.05G0023740-1B transcript:Sspon.05G0023740-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIVLAGRKIEAQYVEMKVPLYSYGCEKKIKKALSHLKGIHSVQVDYHQQKVTVWGICNRDDVLAAVRKKRRDARFWNSDELGPGEHVPPPGEAPKQYLAAFTAYRLRKSWKKLFPLIRL >Sspon.08G0012880-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:51568842:51571567:1 gene:Sspon.08G0012880-1P transcript:Sspon.08G0012880-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEAAGRERPPWRCTVAVQAALCLALYAAFSLGEPQLIPRGGGAGGVDALGRGARGGGGVAFLSVAGGARGPIEKARLLRQMETIAKVYEVKFVLDVAQLGEDDPLWQNGSMYFQALNIPCSMVRCTAQGYSTKSSHGRRVGNFMKKVKMPYDQVLDIIGLNTRALQEPLHDGKISTSYRGQIKWLDQSLALTDSNWKIVVGYNPLLVCNGEETPETTKLSLPIQRIFAKYEVNAYISMGGFCGYFHRDNSILYIGHPSQTSVDGFFVHRVRPLEMESMLINVEGKLVQRSVVQQHGTGA >Sspon.07G0005110-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:12261443:12261832:-1 gene:Sspon.07G0005110-2B transcript:Sspon.07G0005110-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLLFVAAYMCKKNRKPHKHVQIVRDGYGAEEEEMRSSEPLTYDLSTLRAATDNFSEENKLGEGGFGPVYKVNLILEPEN >Sspon.05G0003010-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:366492:371382:-1 gene:Sspon.05G0003010-3D transcript:Sspon.05G0003010-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMNLRAQSPLCSRGRPALVVRPAAAATGLTLSVIRCSRFTRGELVRCMVSSSDYPKRNPRRTSTSKSKGVASGGYASRPTAESSTKKIQQSSNDEGDFSRTNGSLYGEATEQASTAEESSQVYLPRDNSSSAERDEAGIEEEADQIQSSALSSASVDDDSIDRKLDEYRGKISALVSSKPEPSLLANVAGQSESVGGVHGQEEPVTGSEDHDSSTVDAHIKGRPFTEAVVGYKDFTESAAGKATRENEEEQVVSLEDVVGLSTDAEEELPASEDDPEVLLRRLQELADENDSIENNCFVFPEVVKADSVIDIYLNRSMSALASESNVFVKGAFNGWRWNAFTETMYKGELRGDWWCCKLYIPKQAYRLDFVFFNGDTVYENNNHNDFFLEIESDIDEQSFEDFLVEEKQKELERLAAEEAERKRQAEEERRRVEERAVMEADRAQAKSEVEMKKEKLRQMLSSASKYADNLWYIEPNTYRGGDRVRLYYHRSSRPLMHNTEIWMHGGYNNWIDGLSIAERLVKSHEKEGDWWYAEVKLPERALVLDWVFADGPPGNARNYDNNGRQDFHAIVPNNISISDDIFWVEEEHRIFARLQQERREREGAERIKAERSAKLKAEMKEKTIRAFLLSQKHIVYTEPLEVRAGTTVDVLYNPSNTVLNGKPEVWFRGSFNRWTHPSGPLPPQKMVKAENGSHLRTTARVPLDAYMMDFVFSESEEGGIYDNRNGMDYHIPVSDSVAREPPMHIVHIAVEMAPIAKVGGLGDVVTSLSRAVQDLGHKVEVILPKYDCLNLSSVKDLHYQQSFTWGGTEIKVWFGKVEDLPVYFLEPQNGLAFTLLDQLKYKDVLGWMCLWEE >Sspon.08G0003400-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:7356176:7372389:1 gene:Sspon.08G0003400-1P transcript:Sspon.08G0003400-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGGGFGFGPGRPHLGAAGRAAAARPDAPPPQGRHAHGGARSRVARPVEGPDGRWAHRASLEVDLRSRDDPRRELDALAREPRPRRRLDRFSLIVDICNLKSSELRRFLDYAAECGVEDLHVETPKITAADKLNFHLPLSNPSLACLSLGRISISSMYYKGARAFHAQEVIRLVSVSFRWKAFRKMMALCPSFLILDLRSCRCNGDGLVFYELPPNLRNLTVADCSKITSLDFVRVPSLRSFCYSGHFSNLPLSIARHAVLSDLYIHLHDSLPMKEWNIYKLRESLPKDLSILNAASVLSADGASAQLPNFYLHSLKELHLLMFEMKAANLSNLPSNVLIWRGSLHKLLIVSRNVTPVDLPGTPEADLSLLKEALVNGKIMLIESDSTAAQPYHEALIKYLVDVVQRSQSRNGGQHGNQEPEFRSQLTRKT >Sspon.03G0007570-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:18998493:19001003:-1 gene:Sspon.03G0007570-3C transcript:Sspon.03G0007570-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLPRTARLALLSTPRAYSAAAAAGAYPTSPAPYGGAPPPAMSKTAEFVVSKVDDLMNWARKGSIWPMTFGLACCAVEMMHAGASRYDFDRFGVIFRPSPRQSDCMIVAGTLTNKMAPALRKVYDQMPEPRWVISMGSCANGGGYYHYSYSVVRGCDRIVPVDIYVPGCPPTAEALLYGVLQLQKKINRRKDFLHWWTK >Sspon.01G0004450-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:11952920:11955709:1 gene:Sspon.01G0004450-1A transcript:Sspon.01G0004450-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRGTGAGYDRHITIFSPEGRLYQVEYAFKAVKSAGVTSIGVRGADSVCVVTQKKVPDKLLDQTSVTHLFPITKFVGLLATGLTADARSLVSQARNEAAEFRFKWGYEMPVDVLAKWIADKAQVYTQHAYMRPLGVVAMVLGYDEEKNAQLFKCDPAGHFFGHKATSAGLKEQEAINFLEKKMKDDPKFTYDETVQIAISALQSVLQEDFKATEIEVGVVRKEDRVFRALTTEEIDQHLTAISERD >Sspon.06G0017160-1P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6D:75156838:75157644:1 gene:Sspon.06G0017160-1P transcript:Sspon.06G0017160-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKIFALLALLALSVSATTAVIIPQCSLAPNAIIPQFLPPLTPMGFEHPAVQAYRLQRALAASILQQPIAQLQQQSSAHLTVQTIAAQQQFLPALSQLAVANPAAYLQHQLLASNPLALANAATYQQQQQLQQVLSALSQLAVANPAAYLQQQQQLLASNPLAAANAAAYLQQQQLQQILPALSQLAVANPAAYLQQQQLLPFNQLPVANTAAYLQHQQLLSVNPLAVGNPLAAAFLQQQQLLPFNQISLINPALSWQQPIAGGAIF >Sspon.01G0014710-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1A:42342233:42344774:-1 gene:Sspon.01G0014710-1A transcript:Sspon.01G0014710-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVRSCRRKQQQCRGGGLLMLLLLLAAAAVPARGQRSDGVVIAQADLQGLQAIRQALVDPRGFLAGWNGTGLDACSGGWAGIKCAQGKVVAIQLPFKGLAGALSDKVGQLTALRRLSFHDNIIGGQVPAALGFLRELRGVYLHNNRFAGAVPPALGGCALLQTLDLSGNFLSGSIPSTLANATRLYRINLAYNNLSGVVPTSLTSLPFLESLQLNNNNLSGVIPPTIGNLRLLHDLSLADNLISGSIPDGIGNATKLGKLDLSDNLLGGSLPESLCSLTLLVELNLDGNDIGGHIPACFDGLKNLTKLSLRRNVLDGEIPATVGNLSALSLFDVSQNNLTGEIPASLSGLVNLSSFNVSYNNLSGPVPAALSNKFNSSSFIGNLQLCGFNGSAICTSASSPLTAPSPPLPLSERRTRKLNKRELIIAVAGILLLFFLLFCCVFIFWRKDKKESSPPKKEAKEATTKTVGKAGSGTDTGGDGGGKLVHFDGPLSFTADDLLCATAEILGKSTYGTVYKATMEDGSYVAVKRLREKIAKSQKEFELEVNALGKLRHPNLLSLRSYYLGPKGEKLLVFDYMPKGNLASFLHARAPDSSPVDWPTRMNIAMGLARGLHHLHTDANMVHGNITSNNILLDEGNDAKIADCGLSRLMSAAANSSVIAAAGALGYRAPELSKLKKANTKTDIYSLGVVMLELLTGKSPGDTTNGLDLPQWVASVVEEEWTNEVFDLELMKDAAAGSETGEELVKTLKLALHCVDPSPPARPEAQQVLRQLEQIKPSIAVSAASSFTGEPSHTTATATSVTDETKSTTT >Sspon.07G0013110-1P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7C:54126937:54127404:1 gene:Sspon.07G0013110-1P transcript:Sspon.07G0013110-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVECIFASFLGRERNQAVVDENVEKLKKVLEVYEARLSQSKYLAGDFLSLADLSHFTIMHCFMATEYATLVEALPHVSAWWESLAARPAAKKVAEFMP >Sspon.06G0024930-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:59514216:59519352:1 gene:Sspon.06G0024930-2C transcript:Sspon.06G0024930-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPGGRRGAKQRPKWTREPQLGDLVLAKIKGYPPWPAKISRPEDWQHKPTPKKFFVYFYGTQEIAFVSLADLEEFTEKTKNDLLDRAPNIKVQRKYVQVFNDAVEQICKAYDELPKTSEAANGALPDQSEKTTEHLVRSPDVGEIPGLGQMEGDSPTDYSNTSGQGSGTEEDVKDGGHEVGDHSLAVSQKKTSLLQDPKHPKTKNLLHPKQEHSPTSVHTERETEEVKIEKESRSLEGFDLEPNLEVVCALEVPKKSKSNKLLRDAERKENKRAGIGSPTGRIAAEAASDVVLNMSTGKESREFKKSKIMTKLSLTTDSEKRDYNKTVHGKPDKQLTGKSSAGFSSNKKSLPGSGQHKMSSSADTRPAKKPRLMDRAGETDKTVAKSETKNINYEKHNAMKHERSTAMETGKNTILKTGTSDGARRSGGVVSPISRLHSEVMEPASGSVTQSGVADSVKKGSSMKEDASRVDRQLVKPKRRACRFDDDENEGQRTPLHRTSAKSIGEIHRTSAKSIEHVPSEKAGTRGHVGNASGKKSGPAREEKSRSVRMSPVKHEPVFSSPSQDKVHARHQVTGRRLITGSVETPAVIGNKMNLVDCKSSAQVKMPASSEVKKLHSSSSKPSHLTSGNSHSRNYPASEKIALLPKSEETKAKSKPTASVEHKVGTNLSTEWTGKRDHLKEERSSSVDKAASSEPNPDSAKSIKHLIAAAQARRNLMASAHAKFDGSSTDNAAITSTPYGLPGLSPSPVFRIPSPPRIAFPESPGQRILKSPMELDNGHGKSPKSRQASGSPSGGTDAAIARDALEGMIETLSRTKDSIGRATRHAIECSKYGIAGEIVELLIQKLESEPNLHRRIDLLFLVDSITQCSHSQRGVAGASYVPTVQAALPRLLGAAAPPGAGARENRRQCLKVLRLWLERKIMPEDILRKYMGDIEVPNDGTSTALMLKRPSRAERS >Sspon.04G0008120-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:20021438:20022076:-1 gene:Sspon.04G0008120-2B transcript:Sspon.04G0008120-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GLGVEPGQHGHRPSWRWPLHRRRAQPAGVAPAAARRQVLAQVRYGLDRRDVVCNGCRRARAVQVGLPAGEVPGEVRRAADVLPAQGQGAGAHQGVVGVRGVRRRRPRRLPQGAQLHLGRRRRRRQVLQVRLVLT >Sspon.08G0006420-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:19955126:19959294:-1 gene:Sspon.08G0006420-1A transcript:Sspon.08G0006420-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEAKPPSPGSGAAGAAAHIHGHRRWAAPLLASVLLSSLLISASLFFSSSRALLLSFSPLPSAASAEPLFVEAKLRQQMRAEERPARGAVPRIAYLISGSAGDGAALRRTLRALYHPANTYVVHLDLEAPAAERAELASAIRADPVYARFRNVKVVTRANLVTYRGPTMVANTLHAAAILLREGGDWDWFINLSASDYPLVTQDDLLHVLSELPRQLNFIEHTSDIGWKEYQRAKPVIIDPGLYSLQKSDVFWITEKRSVPTAFKLFTGSAWMMLTHQFIEYCIWGWDNLPRTVLMYYANFLSSPEGYFHTVICNVPEFRNTTVNHDLHFISWDNPPKQHPHYLTLADFDGMVNSNAPFARKFGREDPVLDKIDQELLGRQPDGFVPGGWTDLLNTTEKGKPFTVERVQDLRPGPGVDRLKKLITGLLTQEGFDDKHCL >Sspon.01G0000980-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:944139:948158:-1 gene:Sspon.01G0000980-1P transcript:Sspon.01G0000980-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPMETEEEDSGGWDFLDWLGPDTSTTVFHLLDDPADLARVGAVSRSWRRFLIENEFSKRLCRRICPEAASFTRAVVVTRSPPPAASASESSQDAECRARQGEHAVYSYLLGALVSAKPTMDLIMRCVVASSTDFFPDESMENTLVPHEWANHRHSYWSSGGKDDPDAPESLTYRLSSDLCLIHEIRVRPYKAAFQHGHPIYSSKAVRIRLGHSNLDPGTETFVSAEDENLTAIADENYTWTYTSPDVCHVQVMGRSLSPDLMIDISDPADYSNLKYLPGASNLRQKTY >Sspon.03G0024140-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:92089932:92093733:1 gene:Sspon.03G0024140-3C transcript:Sspon.03G0024140-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGPRVIRQGARTVVIPPTGCSPPNLAMFPSADPADYDSRTGCLKSFNDLAIYHNSLLQAAVSHVQTKHRNVRVIYADFFTPVIDIVESAAKLGFTRDILRCCCGGGGKYSFNLSAGCGMPGSTAILLFSLTFLLLLSSFSLSIQTQYTSIFSFGDSFTDTGNFAIIAGPTTPGLLITKPPYGMTFFGHPTGRISDGRLAIDFIGKFPSFVSAPTDARRLEDLFDAPEALGLPLLPPSMAANQSFKQGANFAVAGATALDRTFFVNDGDTAVPPYNISVGDQLGWFDAMKPSLCDSPQACQEYFAQALFVVGEFGWNDYGFMLLAGKSVAKARSHVPEVVGTICAATEKLLAAGVKTVVVSGLTPLGCATGNLVLFAGKSAADYEPATGCLKDLNLLSKEHNLQLRQALAGLRARRPGVRLIYADFYAPIVDFATSPDRYGFNGTDGALNACCGGGGRYNFNLTAAACSDPSAYVNWDGIHLTEAANRRVADGWLRGPYAHPPILDTHC >Sspon.01G0015440-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:44814914:44816882:1 gene:Sspon.01G0015440-2B transcript:Sspon.01G0015440-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MWWFNRKGPSGFSGASTAEEVTAGIDGHGLVAVITGASSGIGLETARVLALRGVHVVMAVRNVSAGLEAREAIVAKIPGARIDVLELDLSSIASVRRFASEFGSLNLPLNILINNAGVMTSNCTRSCDGLELHFATNHIGHFLLTNLLLENMKKTCRDSCIEGRIVNLTSAGHTITYREGICFDKIHDPSGLNDFVAYVHPGVITTNLFRNRTINGKGFLASEKQLHGAQVKVSLVTVPSTLAALLNSIGRIICRTVEQGAATTCYVAMHPQVKGISGKYFTNCDIASPSSQASDAELAKKLWQFSLK >Sspon.01G0038290-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:26034985:26047214:1 gene:Sspon.01G0038290-2D transcript:Sspon.01G0038290-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPAVATAGEGQRFKRIPRQAWPGNLELDPLLNESLDQWPHLNELVQCYKADFVKDDCKYGRYESVAPPSFQNQIFEGPDTDIETEFQLCNARHSKPEDATEDDTPSTSGRQIYETESSASSSKVHCSLSPLPAYEPAFDWENERSLIFGQRVPESIPAISNSGLKITVKVLSLSFQAGLVEPFSGTICLYNRDRREKLSEDFYFHILPTDMQDAQGSLDRRGVFSLDAPSPSVCLLIQLEKAATEEGGVTPSVYSRKEPVHLAEKEKQKLQVWSRIMPYKESFAWAMIPLFEGNHAGGLSDAASPSSPLAPSLSGSSSQDSIVDPISKLTLDGKVNHYSSGSSVIVEISNLNKVKESYIEDSLQDPKRKVHKPVKGVLRLEVEKLRDGRNDVDNASEGGSMANDLNDAGDINNGRSNRSSFDGIRSSVNSIAIGKKDAHHNGHISNAEIGDIFQAFDFRMLTRSEPFSQLFHCLYVYPLTVSLSRKRNLFVRVELRKDDSDIRKPPLEAVHPRERNTMLQKWGHTQIAVGTRMASYHDEVKISLPALLTPQHHLVFTFFHVDLQMKLEAPKPVIVGYSVLPLSTHIQLHSDVSLPILRELIPHYLQESGKERMDYLEDGKTVFRLRLRLCSSLFPVNERIRDFFVEYDRHTLHTSPPWGSELLEAINSLKNVESTALLQFLQPILNMLLHLIGDGGETLQVAAFRAMVNILTRVQQESSDGAERNRFLINYVDFAFDDFGDRQAPVYPGLSTVWGSLARSKAKGYRVGPVYDDVLAMAWFFLELIVKSMGLEQSRLFYHNLPLGEDVPPLQLKEGVFRCIMQLFDCLLTEVHERCKKGLSLAKRLNSTLAFFCYDLLSIIEPRQVFELVSLYMDKFAGVCQSVLHDCKLTFLQIICDHDLF >Sspon.08G0020800-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:26543730:26547753:1 gene:Sspon.08G0020800-1B transcript:Sspon.08G0020800-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAATLRSLVGIAAAGGRRVRACGQRWDAGWRGFRAGVFRGGGRAAPRGSSASTARQAGSLLGAATMAGSKGWVTGGFQSEDGKLTCGYSSYIGRRSTMEDCYDIKLTKIDEQPVNLFGVFDGHGGNLAAEYLKENLLKNLMKHPEFLTDTKLAISRTFLETDGDIIETISSSFRDDGSTALAAVLIGNRLYVANVGDSRAVASKGGKAVPLSKDHKPNRTDERKRIQDAGGVVIWDDTWRVGGILAMSRAFGNRLLKQYVTAEPDIQEEEVSSDLEYLILATDGLWDVVRNEDAIAILKAEDGPQAGAVKLTEIAYARRSTDNITCIVVQFHHEK >Sspon.05G0000330-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:5727246:5727500:-1 gene:Sspon.05G0000330-2C transcript:Sspon.05G0000330-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding TMAGGGSAAESPASSCVSSDAEDEAVVQPKPMVVVGCPQCLMYVMLSGEEQQPKCPRCKSPVLLHFLRAGAGADNDNGKQPAGRQ >Sspon.01G0003990-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:9349606:9354576:-1 gene:Sspon.01G0003990-4D transcript:Sspon.01G0003990-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRGGATAASAARALRSRLLLEPIHHPVTSLAPIASARASSSSSAPSTATANTIVEEAAAAAAVSVSQHTGSISDALRQYGKCYWELSKARLSGNIVDIAGLCCTCTGTMMVAASANTLNQVFEIKNDAKMKRTMRRPLPSGRISPGHAAMWATSVGVAGTALLAWKANGLAAGLAASNLVLYAFVYTPLKQIHPVNTWVGAVVGAIPPLLGWAAASTELSLNAMILPAALYYWQIPHFMALAYLCRNDYLAGGYRMFSFADPTDLMELTLVGGLTSEWFSFEASLLTLGLTVGALSFVLEPSPKTARRMFYGSLLYLPAFMAGLLLHRQPNEQKAHNLAEKSELDGVLYGADMQDKERAQQKRQDRKPSRVQSRPPVAYASVAPFPFLPVPIYES >Sspon.06G0033710-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6D:19675221:19676414:-1 gene:Sspon.06G0033710-1D transcript:Sspon.06G0033710-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNAWWPAGRGRGGLLSGWLLRLSKDDAAEIQRESVEERKTDDPRTGSILLRPAMTCSVSGFPSSSRPDVYVELIYGVRPATDQFFTMGIYTYLRRQLKVIKKQICGPEETDRKKLVRGRPGWSIPVVKVGSSDEHRPYSWVPLVKGLDG >Sspon.02G0014700-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:42199762:42201340:1 gene:Sspon.02G0014700-1T transcript:Sspon.02G0014700-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPPCCDKAGVKKGPWTPEEDLMLVSYVQEHGPGNWRAVPTNTGLADAVQQELQVAVDKLPPAGNQARQLYRTGGEAHHPPPGSPWQQVGGDSVLLAGEDRQRYQELLEHASQEEAEEDAGRRRGRRGRGRRSGCHGGGGAGGTAGGGGKRPAVPKGQWERRLQTDIHTARQALRDALSLEPSAPLAPAKVEPLPTTPPGPGCTTYASSAENIARLLEGWLRPCGGGGGKGPEASGSTSTTATTQQRPQCSGEGAASASASHSGGAAANTAAQTPECSTETSKMVGSSAGAAGSAPPAFSMLESWLLDDGMGHGEVGLMADVVPLGDPSEFF >Sspon.02G0051150-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2C:73202827:73203411:-1 gene:Sspon.02G0051150-1C transcript:Sspon.02G0051150-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAYRSLALVILLAAVPGTLAVDSRSATTKGLQKACNATSSPRICLKVLTRGSRSENPTTASPRRLAELAFRYLEKKAPALLAEARRETAATRNQSMLYCLREFNQNIRVYTKWLHGLTPERGDAVFVEAKRRLEALLSGPSNSGISCHGSQFNEKPVIRRIFNYEAMMQVTLDLMNAAARSNPALADPPSSDYG >Sspon.05G0005900-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:12935045:12937818:-1 gene:Sspon.05G0005900-2B transcript:Sspon.05G0005900-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MESQNGSGGGAPVVLNVYDLTPMNNYLYWFGLGIFHSGIEVHGMEYGFGAHEFPTSGVFEVEPKSCPGFIYRRSVWMGTTDLSRAEFRSFIENLAGKYNGNTYHLISKNCNHFTDDVCKNLTRKSIPGWVNRLARVGSFFNCLLPEGIQVSTVRHVPTQPAFSDDDMDSVSSSIIGDSDLEELDQHLLPSTADVHSIDVPPKLAKDLL >Sspon.03G0044660-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3D:2254330:2254539:-1 gene:Sspon.03G0044660-1D transcript:Sspon.03G0044660-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSCMPSLAKVFPKKPSSPSSSSVNDITRKQQQGVKQDERKKKEQRSNLDRAASTTPYFPFHSRPGLL >Sspon.01G0042520-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7C:28537925:28545587:1 gene:Sspon.01G0042520-2C transcript:Sspon.01G0042520-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAEARRLAVVPQLGGSLFLFDRKVLRYFRKDGHNWRKKNDQKTVKEAHERLKGGKSSRARGNNNIIQEAAVDSPVSQIPSQTMEGESSLSGQASEYEEAESGNYQGLHATQNTSFYPVNQHSSPLILNGSSAMLGTNGRANQTDLPSWNSVIELDHEPVQMPHLQFPVPPDQGATTEGLGVDYLTFDEVYSDGLSLKDIGASGTHGESYLQFSSATGDLSATENSFPQQNDGSLEAAIGYPFLKTQSSNLSDILKVSFKKTDSFTRWMSKELPEVEDSQIHSSSGGFWSTEEANNIIEASSCEPLDQFTVSPMLSQDQLFSIVDFAPNWTYVGSKTKILVAGNILSDSQITERCKWSCMFGEVEVPAKILADGTLICYSPQHKPGRVPFYITCSNRLACSEVREFEFRPTVSPYMDAPSPHGETNKVYFQIRLDKLLSLGPDEYQATVSNPSLEMIDLSKKISSLMASNDEWSNLLKLAVDNEPSTADQQDQFAENLIKEKLHVWLLNKVGMGGKGPSVLDDEGQGVLHLASALGYDWAIRPTLAAGVNINFRDVHGWTALHWAAFCGRERTVVALIALGAAPGALTDPTPDFPGSTPADLASANGQKGISGFLAESSLTSHLQALNLKEANMAQISGLPGIGDVTERDSLQPPSGDSLGPVRNAAQAAARIYQVFRVQSFQRKQAAQYEDDKGGMSDERALSLLSVKPPKSGQLDPLHSAATRIQNKFRGWKGRKEFLLIRQRIVKIQAHVRGHQVRKHYRKIVWSVGIVEKVILRWRRRGAGLRGFRSTEGSVESSSGGTSSSSIQDKPSGDDYDFLQEGRKQTEERLQKALARVKSMAQYPEARDQYQRILTVVSKMQESQVSDQYPGLFLEVVNYI >Sspon.06G0002420-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:6598327:6601340:-1 gene:Sspon.06G0002420-2B transcript:Sspon.06G0002420-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDESDGEAEEYLFKVVIIGDSAVGKSNLLSRYARNEFNLHSKATIGVEFQTQSMDIDGKEVKAQIWDTAGQERFRAVTSAYYRGAFGALLVYDITRRSTFDNVGRWLQELNTHSDTTIAKMLVGNKCDLDNIREVPVEEGKALAEAEGLFFMETSALDATNVKTAFEIIIREIYSNVSRKILNSDSYKAELSVNRVSIDGDSKNDQKQTSRFCKIFGSPFLAIHGPLERITVEREILLLHFDILANWKSATRCLTWVCDSVDPTSQEARVLVVCDWERRLGPGPLQRTGPTDKPQEEIGMGWLLPAAG >Sspon.03G0021280-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:65298108:65298428:1 gene:Sspon.03G0021280-1P transcript:Sspon.03G0021280-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNLSYNELVGRIPDSSHFLTFTNLSFLGNIGLCGFQVSRACNSTTPYVESHHSEKKSVDLVLFLFTGLGFGIGFAIAIVLTCGISVRRRSQNHIFLCCKKVLFFM >Sspon.05G0024060-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:19330118:19334824:-1 gene:Sspon.05G0024060-1P transcript:Sspon.05G0024060-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSASPVQEEELLQPAERQLRNQLAAAARSINWSYALFWSISGTQPGRMRKSGCRVLTWTDGFYNGEVKTRKISNSVELTADQLVMQRSEQLRELYEALLSGECDRRAAPARPVGSLSPEDLGDTEWYYVICMTYAFRPGQGLPGRSFASNEHVWLCNAHLAGSKAFPRALLAKSIVCIPLMGGVLELGTTDTVLEDPDLVSRATAAFWEPQCPTYSEEQEPSSNMVVFEDLDHNATEMETITAVAGGHELGEAESLSNASLEHISNEIDEFYTLCEEMDVQPLPLEDGWIMDGSNFEVPSSPQPAPPGNLAYGSRSASFMAWTRSSQSCSDEAAAVPVIKEPQKYLKKVVAGGGGWANCGGGVGTSTTGTAQESGIKNHVMSERKRREKLNEMFLILKSLVPSIHKVDKASILAETIAYLKELQRRVQELESSKELTSRPSETTRPITRPRGIGNESVKKKLCAGSKRKSPEFGGDVEKEHPWVLPKDGTSNVTVAVSDRDVLLEVQCQWEELLMTRVFDAIKGLHLDVLSVQASAPDGFMGLKIRAQFAGSGAVVPWMISEALRKAIGKR >Sspon.04G0011420-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:30753657:30769572:-1 gene:Sspon.04G0011420-2B transcript:Sspon.04G0011420-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCCSDEVSHAGAHHVGAAAAAKAASAASAAADRFLRSRGAGASTQIEVQSPPASSRFYLSPALGQWYAARAPAASSSGIVPGVATARSRRVLSLCLFADRCCGFVAFAEDTPGYLSQHQVWVIKNIFPRFQMLKLEEQEFLGEATCLLSEVITKRDRLLTLKLGVSEHNLPNPNKFGELTVHAEESSGSKAIMEMIFRCSDLEIKDLLTKSVLKSQLYVEKYVESNRHTFLDYISVGCQLNFMVITKRDRLLTLKLGVSEHNLPNPNKFGELTVHAEESSGSKAIMEMIFHCSDLEIKDLLTKSVLKSQLYVEKYVESNRHTFLDYISVGCQLNFMVGVDFTASNGNPRLPDSLHYIDPSGRPNVYQKDGVVTDFQETIDAIIKSSDFPVSIIIVGVGGADFKEMEFLDPNKGEKLESSTGRVASRDMIQFAPMKDMHGTGISTLQSLLAEIPGQFMTYMRTRETQLVST >Sspon.02G0039310-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:51136507:51141866:-1 gene:Sspon.02G0039310-1B transcript:Sspon.02G0039310-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKRIQKELMDLQKDPPTSCSAGPAGEDLFHWQATIMGPSDSPYAGGVFFVNIHFPPDYPFKPPKVNFQTKVYHPNINSNGSICLDILKEQWSPALTISKVLLSISSLLTDPNPDDPLVPEIAHMYKNQRQRYEETARAWTQKYAMG >Sspon.03G0016500-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:72369678:72376760:1 gene:Sspon.03G0016500-3C transcript:Sspon.03G0016500-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCSKNEGAVAALPDDPLVEILSRVPAKSVCRFKCVSKAWRDLIADPHHRKKLPQAMQGLFFMVPEDLIRVSFSFIDLTARSVPLDIDPAFSFLTERPGFQNLGLLDSCNGLILFKNYEEPPYSDTLGYVVCNPTTKRWQAVPTCGSLDLTSCAYLAFDQAISSHFHLVQFQLSVPDEMLVSLHVYSSETGTWSRNQIDSQENQGPLAEWHLRAMLSRRDPECAFVNGFLHFIVGDSDQHQIVVVDVQGKARRVITVPGVADGRHRYCYLGQSQGCLHCMTQEMFDDHEDRYKLSIWVLQDYDTQWVLKDTVSSMEIFGHLTNLMNLRELQLSSCKISDFGVSYLRDLISLECLNLDSCKIGDEGLFHLK >Sspon.04G0017040-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:62076711:62079927:1 gene:Sspon.04G0017040-1A transcript:Sspon.04G0017040-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSHSREDLDLSDSDSEDAESRASDNSSDYGTPSPATASSKLASGGGAAATPASIDAIDRHLRQLHLKYNEPISPNPSPGPTPSANPTALNAVKLYLHIGGSSPSAKWIISDRLAASSFVRTGDDEDDDAPSRGPWCLVVGSKIRARVGPELQLKTFPAQRRVDFVADGVWALKFLHADGFGDFCPKYHSCMFENSYGVAATDEGRAKVFGKDFAAWARPEDGDESIWEDATDSFSPGPKGSPMPPRTPVLKSVLEDLHEFEEPVEEGSGIKSLALGALDNSFLVGDKGIEVVRNFEHGIHGKGMSVKFSGGSTNFSTPKKALLMRAETNMLLMSPATDGKPHAKGVHQFDIETGKVVSEWKFEKDGADINMRDITNDSKGAQLDPSESTFLGLDDNRLCRWDMRDRRGIVQNLASATESPVLQWTQGHQFSRGTNFQCFASTGDGSIVVGSLDGKIRLYSKSSMRMAKTAFPGLGSPITHVDVTYDGKWILGTTDTYLILICTIFIDKDGKEKNGFSGRMGNRIAAPRLLKLNPLDSHLAGANNRFREGRFSWVTENGKQERHLVATVGKYSVVWNFLQVKNSHHECYQYQEGLKSCYCYKVIPKDESIVASRFMHDRYSVSDSPEAPLVVATPMKVTSFSISSRH >Sspon.06G0019890-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6D:4272140:4275531:-1 gene:Sspon.06G0019890-2D transcript:Sspon.06G0019890-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCAASRLEDEEAVKMCRDRRDFIKQALEQRNRFASSHIAYIESLKRVSMALQRFVAGDDQHELIFDTFISPVKQQKPEMLGLPYGSYEKRTIHVSRYLRSGPNASVSVEEHPRPVETVRVESHYPMDNDSGMDRFLPMHSPVRSSSYYPPPYNRPSYPPPSAQEPVRNSSSHYMSYDRPSYAPSSPQEAMRTSYYGSYDRPSYPSTSPQESQRNSYHVPYDRPSYPPPSPQEQESSPWDFFWNPFSSLDSFAYPRPRSSYDNVVTDDELARLQRVREEEGIPELEEEDDECQEHIQMHRKEEKEEHDNADDDEDEDDEEDDDEECEHSDECMVSNEGTCSVNFDANMKQETKGFESKGIRCTEAPEPRKTVELEIKAHKKELMRNRVANAEETPGFTVYLNRRPASLVEAMKDIDCQFLGICDAAREISVMLEATKMLNPVALLRSASSRSSSSRFLLAPSSSIDDLYDNETSSCYSEESCSTMSGSHHSTLDRLYTWEKKLYKEVKAGERLRIEYEKRLTHLRNQDVKGEEPSSVDKTCAALRSLHTRLKVSIHTVQSISRRIEILRDEELHPQLMELIQGLSRMWRAMAERHKVQKRTIEDAKLLFLQHHPSAATAISLGPLEASTPPPAALALESEIQAWRGALETWLFAQRAYARALAAWARRCLGISRAARPSHPLPPAFLVCMEWGRAVDAATEARVIDGLDFFVAGVGSVCSGAATGMEGMAGRVLCAGMAAVTGAMAEFAAASADSYDAAVTAVITAA >Sspon.05G0028580-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:64870457:64871512:-1 gene:Sspon.05G0028580-2C transcript:Sspon.05G0028580-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEAAADPAPAAKGRVAPPMSWRTRLSVLAAGYVTDATRRADGTVNRRLLGVLDKGVAACAAPRNGVASRDVTIDPAVPLHARLFYPCAAPAADADAEEAERPAAVPVVVFFHGGGFAYLSAASPAYDAACRRIARHAAAAVLSVDYRRSPEHRFPAAYDDGFAVLRFLDDGGLGPDPLIDAARCFLAGDSAGGNIAHHVARRYALDPSAFANVRLAGLIAIQPFFGGEERTPAELRLVGAPIVSVPRTDWMWRAFLPHGADRDHEAASPEGATAGIDAAGFFPPGTVVIGGYDPLQDWQRRYCDALRGKGKEVRVLEYPNAIHAFYVFPEFPESRDLMLRIKEIVAGSSK >Sspon.01G0005940-1P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:15057611:15059625:1 gene:Sspon.01G0005940-1P transcript:Sspon.01G0005940-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSENYVNIVTATRAPAGGGPIRSHLSCHQPMNADQRQRQRQRQRPSKSTPSPNPILGLVLRGTEAHIRTRLGRISPAGAARPKKKPSKFEPITSSCWRSCRVPDCPLQQKPLRLRADDAKYQRTAVRLAVAQNAAQTRAPALPIHLQQVAQAAASAAARRHIRCPRQPRRPRVRCRRRRGVPHRHVRGHAVRDGVRAGGVRRPALVPAPRRRHAPLPIRARDPLRALSLLSLAADRGGVAPSPYAIDTALYVLGRARRFPHMWDLLATTRRICPDAVTPRTAMIVLGRVAKVCSVHETVASFRRLARMFRAVDTAGLFNALLRTLCQEKSMSDARNVFHALKYEFRVNRHTFNILLSGWKSAEDAEAFVAEMRELGVEPDLVTYNSLIDCHCKNRDVEKAYKLLDEMREKDISPDIITYTSLIGGLGLIGQPDKAKDLLKEMHELGCYPDVPAYNAAIRNFVIAKRLGDAFALMDQMASKGLTPNPTTYNLFFRCYYWAFDVGSTWRLYERMRSEGCFPNTQSCMFIIRLCHRYGKVVQALELWSDMVRNRFGSFTLVSDVLFDLLCDEGKLEEAERCFCQMVELGQKPSNVAFRRIKILMQLAKQEESIARLTEKMAQFGRLAPGDCQRVQHSVESRPSNGDAVGADILRAA >Sspon.03G0000990-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:14175286:14180845:1 gene:Sspon.03G0000990-1P transcript:Sspon.03G0000990-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTCAMAAGATLNWVKTPFDTRRFHDLSSLSFRCRNPFGSIQPWWLPIDQDSSLIKVRVAADYSDSMPDSKYTRDRGYHPLEEVKERPKKKDLLLTDVETARTVVEANSKGLLVFPARVHNEPHGLVAWSEFQYVVDDYGDIFFEVPDSENILEDDTANNPVTVLIGLDRPIIGESSVAISDFSDYMDGENLMDVPDEHHTKIDTEITDILIEWGMPATMRAIHPIYFAKCLTKAVHNNNGKKMDSPSNGISIVGYLRPAFIEEESYLRSLFHGECSSDRYSSDWKDEYNRETQPVSGTNRLIDGDKSRFDFNDSGTSTDSMIYKLEIMTIELFSMYGKQFMIDPQDFQDSEPDILANSASAIIERIKENGDQCAMALRSLCRRKKGLTVEEASLIGVDSLGIDVRAFSGLEARTVRFSFNAQALSERSAEKKIRRMLFPRSQRKNVKTSTEDVS >Sspon.02G0033110-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:4592599:4593142:1 gene:Sspon.02G0033110-1B transcript:Sspon.02G0033110-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LRPANGVEGTSLGGRRGRGGVRGRRRGRQVRPPGPARHHRRRALQHRQPHRHRHLRAAPRRGRGAAVRGQGGGGRDDQHQRHLLHGAGHDQRAGGLHRRLHAGGGHAADQVQRRPHRRRQPRVLPAGPAHAPARRHLPPLPRRILLPC >Sspon.01G0039770-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:36274224:36281366:-1 gene:Sspon.01G0039770-1B transcript:Sspon.01G0039770-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGRGGGGGRKARNFATFRLFPRAGAADPNDRVFVRVDNNDYTVPGFADEDSFDPSLSDPSAGDGNFHSASIPLPEHVRREILELGLPDDGYNYLSHLRELRPAAVASSFVPSSTARPEPLPLDVKAYDASRVRVGPSDGELDEGKTMCKVAAKTAPVRRIEKAVDPDVARLLDESDVSHVGSEDEGLEEDFVIIANRAEGEELEEEDIEEMEEWNGVLSDVEEEFDFEEDEPQPRVRRLLDEQFDLNVCVDEEYRTPADFVRQKLDSSTTDEVDESACVIKKCAEYAERYLNETAEEEVVVLVSESSDESEVWDCETIVSTFSNLDNHPGKIETPGIPRKRLPRVFPGETTTTNDIIKLHGKEKLPVEYLPQRRRNGEKEKKVKPVEASVTDKFKKGAEKETKEEKKARK >Sspon.08G0021210-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:37335363:37338440:-1 gene:Sspon.08G0021210-2C transcript:Sspon.08G0021210-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Diacylglycerol O-acyltransferase 2 [Source:Projected from Arabidopsis thaliana (AT3G51520) UniProtKB/Swiss-Prot;Acc:Q9ASU1] MGADGGLNRAAEKPRDGEGGEARVFRCTDYSLPRTTLALTLWLGGIHFNVLLVLASLFLLSRRAAAIVVAFQLFFMFAPVNDRDKWGRSIARHAMGYFPISLHVEDYKSFDPSRAYVFGYEPHSVLPIGLSALADLVGFMPLTKIKILASSAVFYTPFLRQIWTWLGLVPATRKNFYHYLGAGYSCLIVPGGVREMLHMNNDSEVAFLKSRKGFVKIAMQSGCPLVPVFCFGQSHAYKWWRPGGKLFIKIARAVKFTPIIFWRIFHGLLAFQCSTPFPFSRPMHVVVGKPIEVNKNPQPTIDELDSGFSNNMALLLLPQLKRQTALRRHSG >Sspon.02G0031360-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:91033012:91036151:1 gene:Sspon.02G0031360-3D transcript:Sspon.02G0031360-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYERIHKVQMGVISPTKLRMKLLGSGNKDESTSKSPRASPPSRIDDDDDDHPNNSLLPQELDEEYPKDHRSDSSRSRSDASHGRAARSRSCGGSGSDSGIENAAAGGNFEFCKEERAALPPSATTAAAAVSGPFFRQQVPSKWNDAEKWIAGRHIVHSNPIFSKKPAAAAPHCPGGRVVPESAMASSSSGAGASALTELSSKYSSPSSSVSGPGSKPPHKKLRFAPSVSVSVSMRDVGTEMTPIASQEQSRSGTPASAATPSFSPLCSVPSSPRGGGGASSASPSASERELRLRTRREIAALGLQLGKMNIASWASKEESLLAAASPEKGAGDIDEEIKRKAFEARATAWEESNKCKLASRYQRKEVKIQEWESLQKSKFEAKLRQAEAQAEQMKARAKQDLARRLSALSHKVEGKQARVEARRSRQASRLARQVERIRKTGREPCRLRRCCAWFL >Sspon.01G0010690-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:32153929:32156711:-1 gene:Sspon.01G0010690-3D transcript:Sspon.01G0010690-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGVLNMGRSYDYSPSPPRGYRRRTRSPSPRGRYGGRGRDLPTSLLVRNLRRDCRPDDLRRPFGKFGRLKDIYLPKDYYTREPKGFGFIQYFDPEDASDAKYHMDGQMLLGREITVVFAEENRKKPSDMRARERMSGRGRSYDRRLRSRSPGYSDSPRGRPRSHSPSYSPAPKRKHYSRSPSPRPRERSVSRSPADSRSRSASPSVSRSPRRQRSLSVSE >Sspon.03G0017250-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3B:78759617:78761787:-1 gene:Sspon.03G0017250-2B transcript:Sspon.03G0017250-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMQSRHRSKRGAIEVQEQGGEDRLSRLPDDILHSILRGLPLKHAARTSALSRRWARTWLRALASSRVLDFTDRDFGRGQAPARAAAATVSCCLRLHADTARRSTCSAWCLRRRRQAPPARSCGTSSGGSRTPWREAPGSAGTRCGLALGRFSLRGVPAGAAGLAGLRSLSLSHADVTGEEVRGVVSSCRALELLSLNSCGLLKSVTITSETLRVLEIVRCPAVRELRVDAPALESFAFHGDIFYVNGNDGLSSAIDLGPTPALRDAYLSQIGFCEEGVYDEHDYAYGDLLSCVTHAPVWTLCSVGLRHMFTVEFVDMTNIQELQLLMATVVMTTTSRASPVSSSATRFHSWTACCPRKALPFAHPPISTSMQEIAVFPNRLTLTDASDAAAALAGEVVDDSKLKIYFDDFVFDSLSFIKLVNFRGTRFELQLLAFLLKRAPALEHLVLVTVGEEGGAPVDEHVIQGWVSEMRKASPEAQLTVCRSSEDRSQNPVHTRFYHELEACSLKNFHLNLRCLSARLSVPLLHQFLTAHMSPAHAVHSLRRAPCRRTQPVLCASRPRPASPSSAACGRNRAHHWSHRHRLIPAAHAVA >Sspon.02G0053980-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:94848689:94850577:1 gene:Sspon.02G0053980-2D transcript:Sspon.02G0053980-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AMEEVEVANRAAVESCHRVLALLSQQQDPALLKSIASETAEACAKFRKVAALLGSGSGSGCGHARGRFSRRIRPLGLVNQKSPLGTGGGNPLEMMPSTAAAAAAAAPSPSPSTSYAQMRARLNGVPDSRGLDLACSSSKSGGPHPFGAPKLVQPLSVHFQIGNVAHRYPFHQQPASRQKLQAEMFKRSNSGISLKFESPSPSGGAAGTMSSARSFMSSLSMDGSVASLEGKRPFHLVGTPVASDPADAHRAPKRRCTGRGEDGRGKCATTGRCHCSKSRKLRIKRSIKVPAISNKIADIPPDEYSWRKYGQKPIKGSPHPRGYYKCSSVRGCPARKHVERCVDDPAMLIVTYEGEHNHNQLPAQAAQT >Sspon.08G0010850-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:44737642:44747120:-1 gene:Sspon.08G0010850-3C transcript:Sspon.08G0010850-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEVERNLRMVAGDGETSYAKNSRLQEKAMLEVKPMLAKAITEVCMALSGTSTMVIADLGCSSGPNAVLFVASVVRVVEEHCKSLLGCHEPLELLFFLNDLPKNDFNNLFRSLEQIKNMVDVHPCNYGGETTTVAPPYYVVGLPGSFYTRLFPCHSVHFFHSSYCLMWLSQLPEELDIKSTTHLNGGNIYITSTTPPIVVKMYQQQFQKDLSLFLKLRFEELSPGGQMLLTLLGRKNKDAFHGNLNHVYGLLGQAMQSLVAEGIVGKEKLNSFNLPIYGPSIDEVKEVVRQSGLFKIDHIQLFESNWDPYDDSEGDLVPDSIQSGVNVARSLRAVMEPLFASHFGEQILDELFKRYACNVAKHLEKEKTKYS >Sspon.05G0036100-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5C:83942701:83946102:-1 gene:Sspon.05G0036100-1C transcript:Sspon.05G0036100-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRWTNSSKPAEKHAATLKENSDTIICPCKDCKNLIAFRDVSTIKEHLIRRGFVPDYTVWIHHGETVVVDDSDDDLADEAETQAYLSRFTYDLEQQMDRDYGNQQGGGFGNEQGGGFGNEQGGDDAGGASNDGEAREGDADDGDNLDEMLGAFGPEILEKSKRGLENLERVTKASKKTVYDAEKGCPTYFTLLRFVLELLILKAKYGWSDCSFDDLLCLMSRLLPRPNTVPANTYQAKKVISPLTLGVEKIHACPNHCILFRRGTSFETLDTCPRCGASRYKNNDLQSGVEASTGKRKKGGKKVVQESQPLEETPLGNDTNKRRIPALVMWYLPVIDRLRRMFLNPKEAALMTWWDDERKVDDDTIAHPADCSQWQAFDAKYKAEFSDDPRNVRFGLSTDGMNPFNERMTDHSTWPVILTMYNLPTYLCQKRKYLLLTILISGPKQPGIDIDVFLEPLMKEMEKLWRYGEPMYDAFRREDFICKAIIFQKRKNLPKDVLPWERKVSDAVRLLTCSAPPPARRRSPHRAAPPLHAATRSPLPLRPPHHSLLRAATRLGFGTTSRSPAVPHRIASSAGLAVGAIGAARLQLPVHRNQPGPSLPRPSSALRSLQRRTTREALGFVGLMNRASTECVADDCVDCVVASMRDYLRCALAAERANVSRCRRSSSLVDSAPRFICLPCLLLCFALSPISRGVLRGQQLPPCAFGNIS >Sspon.06G0021590-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6C:18451569:18452750:-1 gene:Sspon.06G0021590-2C transcript:Sspon.06G0021590-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTVTFSSVSAQAGLIQKPRNLGATSYPGLKVPSFGSESSFLGRNVSLRAAVTPRIVPKAKSGSQISPQASYKVAVLGAAGGIGQPLGLLIKMSPLVSELHLYDIANVKGVAADLSHCNTPAQVLDFTGPSELANCLKGVDVVVIPAGVPRKPGMTRDDLFNINASIVKSLVEAVADNCPEAFIHIISNPVNSTVPIAAEVLKQKGVYNPKKLFGVTTLDVVRANTFVAEKKNLKVTDVDVPVVGGHAGITILPLLSKTRPSVTFTDEETEDLTKRIQNAGTEVVEAKAGAGSATLSMAYAAARFVESSLRALAGDPDVYECTFIQSEITDLPFFASRVKLGKNGVESVISADLEGVTEYEAKALEALKAELKGSIEKGIAFANKQQEAAAV >Sspon.07G0020250-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:78442866:78457291:-1 gene:Sspon.07G0020250-2B transcript:Sspon.07G0020250-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDGNPAAASSKRPCDPSLDDPTPSAKLQRSSEPSDPTPAGKPDGGAATGEESEVMAGARNPRAQRYLVAVEYIGTRFSGSQQQPNQRTVVGVLEEAFHRFIGQPVSIFCSSRTDAGVHALSNVCHVDVERISKRKPGEVLTPHEPGVVKRAVNHFLLKNEGDIMVTDVRCVAPDFHARYKALERTYHYRLLSGPESTSVFEKNSAWHISEDLDIQAMKANSPMRTLDELSVTEVFPFMFFPSSVERSELESSNGSLVYSRTPDIESSGKGSDGCCTSSVKSELENGEDFGKRSRHRCFVVTARARSFLYHQVRLMVGLLKSVGTGDLTTADVERILDAKTVTAAPRMAPAYGLYLANADPWQWSPTKGHDQKLKQYQQAAQRRIQ >Sspon.01G0058430-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:27877093:27885725:-1 gene:Sspon.01G0058430-1D transcript:Sspon.01G0058430-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNQKIIWLISLVMGKGSLLYFLKAKGWASSLSAGVGSGGSQRSSYAYIFEMSIRLTDSGLKNVFEVIGAVYQYIKLLKQSEPQEWIFKELQDIGHMEFRFAEEQPPDDYAVDLAEYIYEDWDPELVKHALSFFNPDNMRVDVLSKSFDKQSQAIQCEPWFGSQYIEEDIPSSLIESWKNPVQVDANLHLPRKNEFIPGDFTLRNANSPGSSSDANPCCIVDEPFIKLWYKMDMTFNVPRANTYFLISVKDGHSSLENSVLTDLFANLLKDELNEVIYQAYVAKLETSISVVASKLEIKLYGYNDKLPILLSKILSTFRSFSPKTDRFEVIKEDLERAYKNTNMKPMSHSTYLRLQVLREIFWDVDKKLEVLMKLTFTDLVAFVPKILSQLHIEGLCHGNLSEDEAINISKIFLNTLSAQTLPEEARLAERVMRIPNGANFVRSVRVKNDLEENSVVEVYFPIEQDVGKEATRLRAITDLFSNIIEEPCFDQLRTKEQLGYTVDASPRMTYRMLAYCFQVMSSKYSPIYLQSRIDNFIDGISDLLAV >Sspon.03G0036240-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:52584359:52588655:1 gene:Sspon.03G0036240-3D transcript:Sspon.03G0036240-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding HAFGGITPRHGGGGQLPRRRRHGAVRAPPHPPTAARRPGPRPSRREETTTANGGGPGSGPGPDLAALRPTNRPRGKAQAGKPRKRAPIWNLQAAVFVSVVLNVGLLLQHYVNSTAPSHHHHQEHLQACPMHLDAGVDNRNRRGRQEEPQLSMPKAGAPSTGKPAVTPDSVINLDHGDPTMFEEFWRGTGSAAEIIIPGWQTMSYFSDVGNVCWFLEPGLDHEVRRLHRLVGNAAVDGYHVLVGTGSTQLFMAALYALSPPATAGAAPMSVVSTAPYYSSYPAVTDFLQSGLFRWAGDASTFKGNTYIELVCSPNNPDGAIREAVLSSESGIAVHDLAYYWPQYTAITKRADHDIMLFTVSKSTGHAGTRIGWALVKDRDVAKRMTKFIELNTIGVSKDSQLRAAKVLRAVSDAYELPETKEAHRLFDYGRRKMVERWSMLREAAAASGVFSLPEETSGFCNFTKEMAVTNPAFAWLRCDREDVEDCASFLRGHKILTRSGSQFGADP >Sspon.02G0056120-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:18373580:18377961:1 gene:Sspon.02G0056120-1P transcript:Sspon.02G0056120-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LLLSPALCTSDDRLVPGKPLLLGTTIISDGGEFAFGFFAPSNSTPEKLYLGIWYNDISQFTVVWVANRATPAISSSAPSLVVTNNSNLVLCDVNGRVLWTTNTTTAESSSPSPRSNTTTRSMSVLMNTGNLVLRSPSGKMLWQSFDHPTDTVLPGMTGNTSIVLYVAYVDTANEMSMVFTVSDGAPPVQYVMSYSGRFEIRIWNRDSSEWAMLAVSPDYECSRYGYCGPSGYCDYTDATPTCKCLEGFEPVDKEEWSNSRFSWGCQRKEALRCGDGFLALPDMKVPDKFVRIGRKTLQECAAECSGNCTCVAYAYVNLNGSTSSGDTTRCLVWVGDQQLVDTQKMGVPPYRTAGGDSQETLYLRIAGMSGTISYHKKFLANQGVPMNGSPLVACPITLSLIGKRTKTSTIKIILATLAGVIVLTSILLIWVCKFRGMFSILYTDLSYLRTNTKQLLEAGRERNSENYNIETWFNFLGDEKLLIYEYLPNKSLDHAIFRCERNATLNWSTRFKIIKGVAKGILYLHHDSRLTIVHRDLKASNVLLDAEMRPKIADFGMARIFGDNQENANTGRVVGTYGYMAPEYAMEGIFSMKSDVYSFGVLLLEVVSGIRISSVDRIPNYPNLIVYAWNLWKEGKANDLIDECIVENCLQDEASLCIHIGLLCVQENPEDRPFMSSVVFNLENGYTTLPAPNHPAYFAQRNNDMEHMREDILNSKNAVTLTVIEGR >Sspon.05G0008180-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:26790897:26795078:1 gene:Sspon.05G0008180-4D transcript:Sspon.05G0008180-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGCSGQAVEKNLFRSTMAIRGFVDWKGNPIHREVHGGVRAAIPNDRNKHGECPQFAEFGYLSPRDNAYGLTNFVGATSGFALIGAFLSDSYISRSRTILLFGPLEFLGFGLLALQAYLPSLHPPSCDIEDERTVCKEVHGWNATLLYAALYISAFGEGCIRACLPSLRADQFDHEDPTESRQQSSFFNWYTFGISLGGLVGLILIVWLETYKGWDIGLGLCAILILLGLIVVAGGLPFYRNQIPEGSPLTRLLQVVLIPALLLQVLVVAFRNRRLELPEKLEEAQEISTGAGTIEVLSRKNNLKSDSEKYMPFIFLDKACINSGKDGAWSHCSVAKVEETKIVLRMLPLFVSSMIGYVSNPIILTFTVQQGSMTNTRLGKIHLPGMVMLAIYDRFIVPFLRKRTGYASGITHLQRISLGFASMILASVIAALVERKRKDSATQMSLFWLTPQFFLLGVSDVTSFPGLLEFFNSEAPRGMKSIATALFWCEVGIASLLATFLVKGVNRATRRGHQGGWLEGTIRADA >Sspon.05G0008410-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:19694405:19696092:1 gene:Sspon.05G0008410-2B transcript:Sspon.05G0008410-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIPAHNDQRRVCLATWLTIASTWPPREQRLLFRGKKREDGDHLHLVGVRDWDKVLFLEDPALKGMKLWATLAAQTAQSPYQPFIKACRLDSRSPHKGSALVSASMNLIALRAHLKIFVARYLSRTPKHAELQLAELRLPALMDLYIHPLYVL >Sspon.06G0022960-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6B:39341845:39342693:1 gene:Sspon.06G0022960-1B transcript:Sspon.06G0022960-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNMKTAHEIWSYLNEKYGMVSDDYDEPKEEAHEDVEHDHNMVVVEDCSTSWSSDDNDRFTTSSLDKDGDDASSVASDVSTPSTLDGDESSCSGLDNDATTSPSTTSHCFMSLGDTKVSNDNVIDHVDSYDELVSRLASMTTSLENEKAKTMKLENENSFLKTTCEQQKHLLYVTTCSHEELKLAHEELSVAHDNLAQEHALLTKKFSNEETKTSESSSFGSNDQSHITNPCDVGKKHVSTSCDDLLDMPCSSHIYACSTSMSCETNILKENNELKSEVKKLSN >Sspon.02G0038380-3D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2D:36903804:36905444:1 gene:Sspon.02G0038380-3D transcript:Sspon.02G0038380-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSAGRLLAAAAPRSPRPLFLLRGLCSASPAGQPDPRLHTAPDPDPDPDPDPRLVGALCRVLSDFRGPRHDLRAALNGFAPRLTPAAAAAVLRRCRNLPVPSLRFFLFAAALPGFTHLPESLLILAGSLAGARLFPLLRSLLSDLPRPALSRDLFPLLFRAYARAGLPDDAIRAFSSMEGFGFLPTVADLHSLLFTLSHNGLVEHAEAFFRESPLQFDVSAKTYTILISGWAVVAKPEKAQKLFDEMIERGVQPDVPAYNALIDALCRGGDVALAQEQLKDMQRSRGLAPDAATYGPFLRSACASKDARSALRVLDRMRARSLTPNVFTYNAVIRLLCELGEVDEAYNILNEMAAYGEKPDVWSYNTLLNTHCKLKEVNKALRLISRMDEGSCLPNRHSYNMILKMLIAIGRVDRAIEVWDGMEKRGFHPGAATYAVVIHGLSCKKGRAEEACSYFLRMVDDGIPPYQATCQVLRDRLLRLGLRDDLEILTDRMRRSTSCTIRDLASIMCSKRTEETINLKCDHEFSGLDLAESQWREKWKIGDTS >Sspon.06G0007810-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6A:38607624:38610303:1 gene:Sspon.06G0007810-1A transcript:Sspon.06G0007810-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIDSGGGGGGGAKRQRVDELDGRCEAVGSDAIPVDRNSALPDELRLRVLTHLPLKDSIRTGALARGWRDLWRGRWAHRASLEVHIRSRDAKQRELDTLAREPRPRRRLERFSLIVEISSFKASELRRFLDYTTECGVEDLHLEMGRTTLADTLKFHLPLSCPSLACLSLRYISVSSMYYKGARPFLALVVIRLISVSCAREAFKKMMALCPNLLTLDLRSCRCNGYGWEFDRLPPNLRSLTIADCGRITSLDLVRVATLRSFRYSGCSSNLPFSIPLDAALSDLYIQLYSYDSVPRNECNIRVPLNEWNIDKLRRSLPADLSNLNVLTICYKALMGASVLSADRASARLPNFTLHRLKELHLLMLEEKAVNLSNLYLFLRTFQCPNLERLFVQLPAYRCKPMESCIDQVREEPPEDGLNNLVMVKVMNFNWIPTEVQLSWYSAAFIYAFRVARFRFNFSRFCPLRFLLVGALLSDLNLSSFLNGPPLTAMDEG >Sspon.02G0052680-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:95375215:95376122:1 gene:Sspon.02G0052680-1C transcript:Sspon.02G0052680-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSRGCHVGHECRSVAHLLAARRGLVPVLVLALNASRGRSQSFRRSHSHAARTEKKREREEGRRWREQRVDGGGGQGHRWQRAGWRSGAGGMAASSRRRGAPAARCRGGDAGLWRRHRERRRARDATRSTSGMEEGGGNGVMEEAARGWTGSGEQWPWRGDGRAAEGSALAGGSGHEGERRGRTAASDDLGVQGGQGAAASTALVHGAEGK >Sspon.05G0028700-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:66640261:66644778:1 gene:Sspon.05G0028700-2C transcript:Sspon.05G0028700-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRQSSSTRRMEGPFRFLPEEVKEMEKRLFPITSRRLDHILMDELAVKFSYFRGLAGMTPVKSKQVLNWFNNNRNKHCPKRAREAHAPASTREFWANHQQARGSSLSKLKPTVTTHAGSSSSSGNNYIDDHTKYEAKSARDGAWFDVEDFMAQRFCESGDLELLVRFSGFGAEEAEWINVRTCLRQRSVPYKATECANVHCRDPVLCYK >Sspon.04G0025780-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:30374004:30379895:1 gene:Sspon.04G0025780-1B transcript:Sspon.04G0025780-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSTGTRLPPLGRSGAGESCIGPNTPPASVASRSRRQRPLASAARSTSKRLLKAAKYEKVETMKLHRQLSMGKLSSAVRELWEGLTVGAHRPTEDDYFRGSYEFSCTTTPVNVLTVKGRRRRQRRLPPCIGAKQATEMLAGTVATRRDGGWSPELERSPQAMAALDIDGLAEEFIQRFREQLRSEDASRG >Sspon.06G0009730-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:39851939:39855505:1 gene:Sspon.06G0009730-4D transcript:Sspon.06G0009730-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glyoxalase I, Abiotic stress respons [Source: Projected from Oryza sativa (Os08g0191700)] MATGSEASKPAEVPAETVLDWHKQDNKRMLHAVYRVGDLDRTIKYYTECFGMKLLRKRDVPEEKYTNAFLGFGPEDTNFAVELTYNYGVDKYDIGTGFGHFAIANEDVYKLAENIKSKGGKITREPGPVKGGSTVIAFAQDPDGYMFELIQRAETPEPLCQVMLRVGDLERSIKFYEKALGLKLLRKKDVPDYKYTIAMLGYADEDKTTVLELTYNYGVTEYSKGNAYAQVAIGTNDVYKSAEAVELATKELGGKILRQPGPLPGINTKIASFVDPDGWKVVLVDNPDFLRELH >Sspon.01G0059570-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:60523701:60530516:-1 gene:Sspon.01G0059570-1D transcript:Sspon.01G0059570-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding PQRGQQIRLSLPPPPVSAPASAAPAPRVPQNVGRAAPPVTGVVPRASSSYLRLMRPRRSTAALLGSVRPSSGVLSMEGLAGRSASAPKAAPLGKDATWEKYGRDMTAEAGKMDPVIGRDDEIDRIVCILCRRTKNSAMLVGAPGVGKTAIAEGLEQRIAAGAVPAPLVGARVVELDLGAMVAGTQYRGMFEGRMKKVIQQAEAANGKVVLFIDEVHMLLGAGQTKGGSMDGANLLKPALARGRIRCIGATTFDEHRKYVEKDAAFERRFQKVHVQEPSYEEHHGTAIQDAAIVAAAQLANRYITGRQFPDKAIDLIDEACATARMQRDNILKGTPPVTGVVPRASSSYLRLMRPRRSTAALLGSVRPSSGVLSMEGLAGRSASAPKAAPLGKDATWEKYGRDMTAEAGKMDPVIGRDDEIDRIVCILCRRTKNSAMLVGAPGVGKTAIAEGLEQRIAAGAVPAPLVGARVVELDLGAMVAGTQYRGMFEGRMKKVIQQAEAANGKVVLFIDEVHMLLGAGQTKGGSMDGANLLKPALARGRIRCIGATTFDEHRKYVEKDAAFERRFQKVHVQEPSYEEHHGTAIQDAAIVAAAQLANRYITGRQFPDKAIDLIDEACATARMQRDNILK >Sspon.08G0022140-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8B:45907643:45909783:-1 gene:Sspon.08G0022140-1B transcript:Sspon.08G0022140-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VTLQKCAWIQPNTRHRCSKHKDYYKILGVPKDASQDDIKKAFHSLAKKYHPDTNRGNAGAKRMFQEIRDAYEARNDLDSSKPFDWSDLFWNLVFQQDINAQANDIKVHS >Sspon.06G0027400-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:64975069:64976304:-1 gene:Sspon.06G0027400-2C transcript:Sspon.06G0027400-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEHAAATDEDSIDKAAQEFEAYFQNMGMKIPINPINVFEDAAREFKVDIDMMKMKIHMYPPSIQVFDDKFFTIPRLVAIGPYHHGQNHLKQAEKAKYVAAYHCIMESGHSVQDMYDAVVSAAYDARSLYDKDVMAGISEDDFLHMMFYDACFLVQYFACTTDGCGTMDTSVRGFFDFNRKAIRHDIVLLENQIPWCVVEAVLRFRPVDLKTFVAFWKQYLQDRMVLAEKPLVLDDSFEPPHLLGLLRFYIVGKGNTKPPTEVKTNMISFSVSAIELAENGIKLTANKESTELIHMGINKRGIFAELSMAPLSLDDERASFLINMAALELCTTSNFQEAEPEESAVCSYLLLLSLLVHREEDVQELRTKHLLQRGAGLINKDALTFFTRLQSLPLRGSNCYVRIMMEIEKYK >Sspon.03G0012690-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3C:51798974:51800958:1 gene:Sspon.03G0012690-2C transcript:Sspon.03G0012690-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGAKPDTTASPQAPSAAKGAFMRRIFPFLLATNVFIGASTTAKAPDPTPAPVPKRVLPPLSEDEQRHLYKWMLEEKRKIKPRNATEKNKINEEKALLKEFIRAESLPC >Sspon.02G0017470-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2A:50627332:50627948:-1 gene:Sspon.02G0017470-1A transcript:Sspon.02G0017470-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DEGTPTWRRFTKLLHLCFGSPPRSNLLHHVSPAANDTSRIFADVFTHLDRLKALLKEEECERLAAVRLQAAAHGLMARRRVQSLRGQKCLPVISRAIMCPSSEEQAAVRLQAAGRGFLARRMVRKIRMLLGSSLHHIAACAFNHPVSSVLYAPPAEVEIWVCGLPARQRTAVSFILEPALVLNRSNARAGWYILHSSSDMKPAI >Sspon.07G0006970-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:18306968:18307369:-1 gene:Sspon.07G0006970-1A transcript:Sspon.07G0006970-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRNNGKVGSKLQELRLSLSRGGAAGGSSGATTHHHGVGGGSPRRLSASSSSTASPPSSCVSSEGSPEAGAGGGGPPMILAGCPRCMMYVMLSREDPRCPKCLSTVLLDFNDAPAADPRHAGGGAKGKGGKRG >Sspon.08G0026220-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8C:18461941:18466817:-1 gene:Sspon.08G0026220-1C transcript:Sspon.08G0026220-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTLVPTVAGGGNLALGSRLSMVEQEEAGENGGHEASKIQALASAGSGPLVASVTSSYGCAGVEMATLPSEVVREELIGHEAVEQMSKHATSRSVDVTKSLLLKRKPSARNAPKPPAEKRKKSSPLHVQFVSQTPEVPFYDKGWAIINHTGQITIFRVIRCPHQPEVAPAYEGAPGFSLPTPLTNSKSVDPKMEGNFILRCFPCNKLNLSYQLILADRSILYWFRCTVPGEQVGQEVGNSAASRGMAAVATVKSLLSKRKATSRNALKPPTRKRRKSPGSHVQIMRSPQLKRILLSPRLRSPSVRGTGLPSDEMNRSPSTISSSSTSYDDTAMDTSNSLVPVTEDVVRSYEEKKISLREIIKNTDSKKRIISFGMVASPHDGFTIFSALLKSLQDWKLEHKLFSITLDNAKNNNKMVGYLKKNLLDRKLVVANGDLLHMRCASHVLNLIVQDGFKILDNAIAHIRDSVKYIKSSQARKERFEEIIVQAGISCEKHPPLDVPTRWNSTYLMLKSAVDYRTSFEALDSQDMSYIDNPSAPEWALAYILCDIFKIFYDGTNAVSGTLYPTTNLYFHVLWKVKERLEKEASNKDSSIVAMAVKMKEKFQKYWDLSLLQICVPVVLDPRFKFNFVAFRLAAGFGEKGPIYTEQVKTTMKNLFAAYSPTLPDENNSQPRQIDEIADDEDDWADWEQHLTQQRRRKAKNELDVYYQDDLFPRQKSFDVLQWWKMHSAKYPIISRMAKDVFAAPASTVASEAAFSTTSRVVSEYRSRLTSKNIEALVCLQDWLRAEDNWEAPSIAFAAYRST >Sspon.04G0007750-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:23097127:23100041:-1 gene:Sspon.04G0007750-1P transcript:Sspon.04G0007750-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDSQYSFSLTTFSPSGKLVQIEHALTAVGSGQTSLGIKAANGVVIATEKKLPSILVDETSVQKIQALTPNIGVVYSGMGPDFRVLVRKSRKQAQQYYRLYKEHIPVTQLVRETAAVMQEFTQSGGVRPFGVSLLIAGYDDNGP >Sspon.04G0002280-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:3779547:3786967:1 gene:Sspon.04G0002280-2B transcript:Sspon.04G0002280-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADVDMAELPQTPRSTAGDDDLSFLGGEGDLAATILARLCGSPREDFQHLCATAAAMAQAVSDQGVPATPVAYFAASAAALAPLARAGAAGADRHVAGALLAFLSAALPALPAAVVRARGREVADDVMRVLDFPSTPDSGVRAGLRCLAHLISAGDRSNWEAVEPLYAVILRLSTDHRSKVRKQSHSCLRDVLLSFQRQPILVPASEAITRSFERFLLLAGGSSSVNTGAAEEGPKGAKEVLYILNALICCLPLMASKPSNTILKYFKPLLDLHQPILTRSLLDILHAVAESPTLQLKSDVLLDLLCSLGLSVSSERKSGDEMASIARLLHVGTKKIYKQNRDICVVKLPLIFTSLGDILSSEFEEARFSSVEAFKGLIDHCIDETLVSQGIVQMKARHQGLKSDPTIIEKICAILEGLLDFRYSDVWDRSFNVISVAFDKLGEFSADLLPEAVRNLADMQNMSDDDFSFRKQLDACLGSAIAAMGPKNVLEILQIQSICDENAWILPIMEKHIVGASLQFFLRDILGMVRAIEKSIPKLLKNDKLFSAKRAGGYVYSLWSLLPSCCNYSCDTSSNFRALQDVLCDTLQNQPDLRGIVCSSIQVLIKQNKEALSVSREEDILAEDEISKSERRAKEHYTQNLAEENLKAIRAFSSKLLEVLCSIFLMSSNDAIGLLQPAISEIASISDKNVVGKFFLDAIRELLDATKAVNTEPVDDSSMEIEADSNKNSMKRALLLDFAASLMPGLAAKSINVLFSYVKPAIKDSDSLIQKRAYKVLSMLLKDAEFVEKNLDALLELMISSLPCQFPSKRYRLECLYHLIVYILKDSSTVRKREVISSFITEILLALKEANKKTRNRAYDLLIEIARACENAGNDERKEGLHQFFGMVAGGLVAGQTPYAISAVVTGLARLTYEFSELIGVAYKLLPQTFLLMQRNNQEIVKANLGFVKALVAKSKADMLHEHLNGVVEGLLSWQSDTKNSFKAKVKSLVEILVKKCGLDAVKAVMPEEHMKLLTNIRKINERKMRKAKSCEDGDAMSMASGATRQSRWNHTQMFSDFGSDDESDGPFSTQHTVTSQSRTESKASTRLSRRRQGDKNLLEKFIDHSTGDPLDLLDQKTVRLALKSAAGKKRSAPDDDDDEFEVDPEGRIIVREEREKRKKKHVSRDDDDADGKSSVRSQSVKKRKTSSSGWAYTGHEYTSKRASGDLKKKDKMEPYAYWPMDRKLLNRRSDRKASARKGMSSVMKMTKRLQGKSASAVLSDK >Sspon.01G0023460-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:82067655:82068658:-1 gene:Sspon.01G0023460-4D transcript:Sspon.01G0023460-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRFCLHRCMDRSVMPWAARLLAVACVSLAAKMEEYRAPALSEFRADDEYNFSSVCIRRMELLVLSTLGWRMGDVTPLDYLPCLSSRLRRGDGGGGGGGLVAAKAAGLIFSAAESASVLDYRPSTVAVAAVLAAAHGAMAKEALESKMSSLSLSCLVDEDDVHACYSTMLSERSSATTPSKPAAKRPPPPTSSGSTGAGSPHESVDAASFAAAADSNKRPRLELPAVVGP >Sspon.01G0025000-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:56553487:56557231:1 gene:Sspon.01G0025000-3C transcript:Sspon.01G0025000-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLSIIRKIKRKEKEMRILMVGLDNSGKTTIVLKINGEDTSVISPTLGFNIKTIKYHKYSLNIWDVGGQKTIRSYWRNYFEQTDGLVWVVDSSDIRRLDDCRAELHNLLKEERLAGASLLVFANKQDIQGALKPAEIAKVLNLEVMNSSRHWKIVGCSAYTGEGLLEGFDWLVQDVASRIYVLD >Sspon.02G0056890-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2D:38470638:38473102:1 gene:Sspon.02G0056890-1D transcript:Sspon.02G0056890-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAGGQSSSGRVSECGRMCRGLARPAPMHVHVHALHARKRTKAGAAERVGRVGEASGSRAAGRPGMGPVRGVRAGVQACGVKQPRIARTKWWKLEGETAEVFKERVIQEGLWQDEGDANDMWEKMATCVRKIASEVLGVTKGGKYKVAKKTAKRAVSEAKGRAYEDLYQRLSTKEGEKDIYRMARARDRKTRDFNQVKCIKDEREQLLVKEDKIRHRWREYFDRLFNGENENTTVQLDDSFDDTNRRFVRRIQESEVREALKRMNGGKAMGPDVMERFREQKKDLHMVSIDLEKAYDKIPRNVMWWALDKHKVLAKYVTLIKDMYNNVVTSVRTNDGNTDYFLIKIGLHQGSALSPYLFTLVMDEVTRNIQGDIPWCMLFADDVVLVDESRAGVNRKLELWRQTLESKCFRVSRTKTEYIRCDFGTTAQEEGDVSLEGQVMPRKDTFRYLGSMLQSDGDIDADVSHRIKAGWMK >Sspon.01G0021250-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1C:77835620:77839765:-1 gene:Sspon.01G0021250-2C transcript:Sspon.01G0021250-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVAKIAMEWLQDPLSCVFLVTLAVVLLQLRRRGKAPLPPGPKPLPIVGNMALMDQLTHRGLAALAEKYGGLLHLRLGRLHAFAVSTPEYAREVLQTQDGVFSNRPATIAIAYLTYDRADMAFAHYGPFWRQMRKLCVMKLFSRRRAETWVAVRDECAALVRGVAVSSGGGEKAVNLGELIFNLTKNVTFRAAFGTRDGEDQEEFIAILQEFSKLFGAFNIGDFLPWLGWMDLQGINRRLRAARSALDRFIDKIIDEHVKRGKSPDDADADMVDDMLAFFAEAKPAAAVNGGAAANGDDLQSTLRLTRDNIKAIIMDVMFGGTETVASAIEWAMSEMMHSPDDLRRVQQELADVVGLDRNVNESDLDKLPFLKCVIKETLRLHPPIPLLLHETADDCVVGGYSVPKGSRVMINVWAIGRHRGSWKDADVFRPSRFTPEGEAAGLDFKGGCFEFLPFGSGRRSCPGTALGLYALELAVAQLAHGFNWSLPDGMKPSELDMGDIFGLTAPRATRLYA >Sspon.01G0027590-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:96772409:96782712:1 gene:Sspon.01G0027590-2P transcript:Sspon.01G0027590-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lariat debranching enzyme [Source:Projected from Arabidopsis thaliana (AT4G31770) UniProtKB/Swiss-Prot;Acc:Q94K01] MKIAVEGCMHGELDIVYDTLRKLEEAEGVKIDLLLCCGDFQEPKLIATYAGHYERPPYNEDTIRSVYHKLISVKKHFEEEVNNRTLGSKPAAELLNKLKPPYWFSGHLHCKFPAIIQHGKNGPTTKFLALDKCIPGRNFLQVIDIPSNPGPYEIQYDEEWLAITRKFNSVFPLARTRFTMRDEQLDTQEDRQWVRSKLNTRGAKPFDFVQTAPSFNPSNTISKHSMTVCCRNPQTESFLQLLELPYLLDSSNSEGRYLKKSNSEGFGRNESSSQPGNTLDDEDIELPDEDEDALEDDE >Sspon.01G0047800-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:105941233:105944973:1 gene:Sspon.01G0047800-1B transcript:Sspon.01G0047800-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPILERSSSPAAAAAAVVALIALASVAGVAGEVFFEEKFDDGWEDRWVKSDWKKDDNTAGEWNHTSGKWNGDADDKGIQTSEDYRFYAISAQYPEFSNKDKTLVLQFSVKHEQKLDCGGGYVKLLGSDVDQKKFGGDTPYSIMFGPDICGYATKKVHAILTKNGKNHLIKKEVPCETDQLTHVYTLIIRPDATYSILIDNDEKQSGSIYEDWDILPPKKIKDPEAKKPEDWDDKEYIPDPEDKKPEGYDDIPKEIPDPDAKKPEDWDDEEDGEWTAPTIPNPEYKGPWKQKKIKNPDYKGKWKAPLIDNPDFKDDPYIYAFDSLKHIGIELWQVKSGTLFDNILITDDPEYAKKLAEETWGKHKDAEKAAFDEAEKKRLEEESASSKDDDDDLDVDEDEDDADDDKADNTDTDEAEDSEDAKHDEL >Sspon.07G0008290-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:19558339:19559670:-1 gene:Sspon.07G0008290-4D transcript:Sspon.07G0008290-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARHPARARPLATAVLFLCLLSACRAAGSGGGKPSAVVLPVSKDDATQQYVTGFRQRTPLVPVKAVLDLAGATLWVDCDAGSYASSTYSRVPCGSTLCRRLSRSPACATTCSGAPSPSCLNDTCGGFPENTVTRLSTGGNVITDVLALPTTFRPAPGPLATAPAFLFACGSTFLTQGLAAGAAGMASLSRARFALPTQLASTFRFSRKFALCLPSSAAAAGVVVFGDAPYAFQPGVVLSDTSLLYTPLLVNPVSTAGVSAKGDKSDEYFVGVTAIKVNGRAVPLNATLLAIDRKGGGVGGTKLSTVAPYTVLQSSIYKAVTDAFAAETAMIPRAPSVAPFKLCYDGSKVGSTRVGPAVPTIELVLGNEATSWVVFGANSMVATQGGALCLGVVDGGKAPRTSVVIGGHMMEDNLLQFDLEASRLGFSSSLLFRQTTCNNFHLG >Sspon.02G0027090-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:124233016:124234522:-1 gene:Sspon.02G0027090-3C transcript:Sspon.02G0027090-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVKDAAKPRLPPGFRFRPTDEELIVHYLRRRALASPLPPAVDIPDVRILAHDPSDLLPPGFSEQERYFFTCKEAKYVKGRRANRATGAGYWKATGKEKPVAVAIPAAARGVQGQGQQGQAVLVGMKRSLVFYRGKPPTGSKTDWVMHEYRLAGAGLAPCRRAAAQDGDADAAVSRPAEGWVLCRVFRKKKGSAASAAASPGEDRSDGESESAGAGGPGFIDFFARADAAGRRRRAASPVVSSSCLTDASPERQQGREQETTSRGA >Sspon.04G0018870-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4A:67409007:67412774:-1 gene:Sspon.04G0018870-1A transcript:Sspon.04G0018870-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFFTEYGEASQYHIQEVIGKGSYGVVAAAIDSHTGERVAIKKINDIFENVSDAARILREIKLLRLLRHPNIVQIKHIMLPPTRREFKDIYVVFELMESDLHQVIKANDNLTPEHHRFFLYQLICALKYMHSAHVFHRDLKPRNILANSDSKLKICDFGLARASFNDSLSAIYWTDYVATRWYRAPELCGSFFSSYTPAIDIWSIGCIFAEVLTGTPLFPGRNVVHQLDLITDLLGTPSFRSLSKIRSDKAREYLLAMPRKRPIPFSHKFHNADPLALRLLERLLAFDPKDRPTAEEALADPYFSGLSKLELEPSAQPISKVDFEFEGRKLTKASVREMIYREILEYHPQMLQEYIEGGEQIHFLYPRERVCSSEDGHNQDSDNEEQRAASYVARTTISPPRSQEEGRKLQSTYQSTTNTANTCAKSYLKSAPNISASRRGIKGNTGRKEKESPRL >Sspon.06G0014660-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:61184373:61188203:-1 gene:Sspon.06G0014660-3D transcript:Sspon.06G0014660-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:N-alpha-acetyltransferase MAK3 [Source:Projected from Arabidopsis thaliana (AT2G38130) UniProtKB/Swiss-Prot;Acc:O80438] MSSAASSGAAAGGGSEGSGGAEERGEIAYVSYGGEQHLPLVMSLVDEELSEPYSIFTYRYFVYLWPQLTFLAFDARDGKCVGTVVCKMGEHRGAFRGYIAMLVVLKPYRGRGIATELVTRSIR >Sspon.08G0021540-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:40720377:40721611:-1 gene:Sspon.08G0021540-1B transcript:Sspon.08G0021540-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding TSAARAEPEAAPPAAEPAATCAARHPHRPEARATTPATLSSLTAGTQPPASRHQQRRPGTPRHYAAAGHPPGNSQQHCRTPSFWQQPQPPPPSYVAHLASPPARFSPRPRLLLWRQLPFLRTF >Sspon.08G0017430-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:1620834:1623484:1 gene:Sspon.08G0017430-1B transcript:Sspon.08G0017430-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NADH dehydrogenase [ubiquinone] 1 beta subcomplex subunit 9 [Source:Projected from Arabidopsis thaliana (AT4G34700) UniProtKB/Swiss-Prot;Acc:Q945M1] EKAWATKWAQVGLQPNVRAVADPVQTAHTHLRGRDPVRIRSPIPQPDYCSERREKERSRAAAAARSMASTAGYLARRAAQKERVRLLYRRALKDTLNWAVHRHLFYQDASDLRDKFEANRHVDNLDVIDRLIDDAEAQYRNFQHPDPYIVPWAPGGSKFTRNPLLLKGSRSSTIMARRTSKQESCERGQNFQSSKSLPV >Sspon.07G0005380-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:13631481:13634069:1 gene:Sspon.07G0005380-1A transcript:Sspon.07G0005380-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Centromeric histone 3 (Histone H3) [Source: Projected from Oryza sativa (Os05g0489800)] MARTKHQAMRRPTQKPKKKLQFERAGGASTSATPVSFTIVCFLGEAVTRGRVEKKHRWRAGTVALREIRKYQKSTEPLIPFAPFVRVVKELTGFITDWRIGRYTPEALLALQEAAEFHLIELFEVANLCAIHAKRVTVMQKDIQLARRIGGKRWA >Sspon.05G0009450-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:27245215:27245688:-1 gene:Sspon.05G0009450-1A transcript:Sspon.05G0009450-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding NSVAITGEAKDRLEVVGESIDIACLINRLRKKVCRDDIVVVEEVKDKKEEEEKKKRKKKQRRRRRLKKIRRRKKRRRKKSSRSPERRARRRALVVNTWGRRRRFYARNNSQKAATSCEATYYYSRWIFVTVRTIDSLKLSKRKKRPNTKYAGPDWSK >Sspon.02G0020070-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:61205959:61210466:1 gene:Sspon.02G0020070-3D transcript:Sspon.02G0020070-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding YPSTIKLSTKDCDASFSHVRVNPQQPFTPTRLPFPSPSPALRLNPAAAAKAKQFKIRSSNPSAPTRNPLFGHLARRSPPRTLLQPSLDLRVRPARPELAAADAMAAEKLRDLSQPIDVRLLDATVSAFYGTGSREERHAADQILRELQNNPDMWLQVVHILQNSQNLNTKFFALQVLENVIKYKWNALPVEQRDGMKNYISDVIVQVLKHEWPARWTTFIPDLIAAARSSETICENCMAILKMLSEEIFDFSRGEMTQQKIK >Sspon.02G0041120-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:74044713:74051551:-1 gene:Sspon.02G0041120-1B transcript:Sspon.02G0041120-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding IKEAGNGGAASPRVPNRLPGEAFVRRAHVWLCGANKVDSKVFSRAILAQTVACIPVDDGVLEIGTTEQVEEDICLIQYARSIFMDQIGAQIMPTLSGHSTSTAPTTHINHQPFQTKMGNCIGDINVQKNSLNSGDEHNNEMEDGDDRIDLLEINTGTDSSRHSPQDTNVGVGNEQGTLNAGTSELMLIGTSERVRDGCSKQEDEEIPVFMVCQNANLAGQGEFGPWHDFLDDDLSSKYLQPSAAEDQAVLAENAHYVETVLAILRFNACRQTQAASNTKAYLALSKKSSFSRWTSWNHKASNDLQSMLIPEDTPQRMLKSILLGAPGSSHRSYRGEAVQSPEPRDDGEGTSRSRRAPVPVPVQPAELSASHVLKERRRREKLNERFVMLRSLVPFVTQMDRASILGDTIEYVKQLRRRIQELESRARLVGSNPKMIAQPPPAASTETKRGHHTSGGYLARAGTGTAAEASGSCCDTNSSIGEPPAAAAGDTEVQVSIIGSDALLELRCPHREGLLLRVMQALHQELRLEVTSVQASSAGDVLLAELRAQVCL >Sspon.04G0031910-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4D:20690301:20693283:1 gene:Sspon.04G0031910-2D transcript:Sspon.04G0031910-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cationic amino acid transporter 9, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G05940) UniProtKB/Swiss-Prot;Acc:Q9C5D6] MEEAHGHRPFSTAGRPFLSGFCAAALRRKPLGAHGSAAATGEGLVRQLGVHELVLLGIGASIGAGIFVVTGTVARDAGPGVTISFVLAGAACVLNALCYAELASRFPAVVGGAYLYTYAAFNEITAFLVFTQLMVDYHIGAASIARSLASYFIQFLELIPFLKGQIPSWIGHGEEFFGGVISINILAPILLIILTVILCCGVKESSAVNTFMTTLKIIIVIVVVFAGVFEVDVSNWSPFMPNGFKSVVTGATVVFFAYVGFDAVANSAEEAKNPQVYVDPPGFSCPGVPLLPIISVFFNMVLFAQLHEEAWYRFVILSLIALGVYAGYGQYNAVASSSEHSTVGYHGIPSEVP >Sspon.01G0047360-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:115243623:115247628:1 gene:Sspon.01G0047360-1P transcript:Sspon.01G0047360-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRWGSSGQAADSFYQVRPDCSQNVPGTKFKIKAGKTLSVRKWHAAFTRDGCLDIASVLSRIQRGGVHPAIRGEVWEFLLGCFDPGSTFDERDQIRERRRMQYARWKEECKEMDSHVGSGKIITAPIITEDGFPIKDPLVLLEATSDTQGTSTTTTSSGGNVIDVDNSMDRVLDKQIIDWKLTLHQIGLDVLRTDRTMVFYENKDNLSKLWDILAVYAWIDKEVGYCQGMSDLCSPMIVLLNDEADAFWCFERLMRRLRGNFRCTQQSVGVENQLQHLASIIQVLDPKLHGHLETLGGGDYLFAFRMFMVLFRRELSFGDSLYLWEMMWALEYDPDICSTYEETGAAVHKIEGFKPKVKSIRQFGKYERENMKNRANGGDGPVPISVFLVASVLKENSPKLLQEARGIDDIIRILNSVNGNLDAKRACVVALKLHRKYHKKLQEKKS >Sspon.06G0010110-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:45094083:45108562:1 gene:Sspon.06G0010110-3C transcript:Sspon.06G0010110-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSGYMNWTFSRRQDYSHSSDMAVRGSSGFKLQFYPNLNVRNIAQEWVQESRRLFYLKTANNVTNNIYKGSSSLWAGNVKNELSEDRRGLNYTYVHNLRERVSSNSIVNRHGNSQELVGHSMTNQPVQSVPTPISVVNNSAKCLSMPRASKVEIPWREYSPAEDPLIDESNTEVILEIDDRVHDGDDKKEKKLAVKKVVSPLPTKAAFSEESLKARKALASIYDKVLVVDNIESARSIVKLLTTKYKSFIHACDTEVANIDAKEETPVGHGEVICFSIYSANSDVQAADFGNGKTCIWVDVLDGGRGVLMEFAPFFEDPSIKKVWHNYSFDNHVIENYGIKVAGFHADTMHLARLWDSSRKIDGGYSLEGLTNDRRVMDTVPEDLPKPGKISMKTIFGRKKVRKDGSEGKVVSIDPVKELQREDKKLWICYSSLDSMSTLRLYESLKRKLETRRWVLDGCPRGTMYDFYEQYWRPFGALLVKMETEGMLVDRGYLSEIEKAAIAERELAADKFRKWASKYCPDAKYMNVNSDTQIRQLLFGGIENRHRSGETWPQCKTFKVLNEENVATEGKKMSKYRTIELCSIVEDLKTDMFTPSGWPSASGDALKSLAGKIPTEYIYTMDDIQENVKDTSGSENPDGDSSYGTAYEAFGGGKNGKEACHAIAALCEICSIDSLISNFILPLQGDHISCAEGRIHCSLNINTETGRLSARTPNLQNQPALEKDRYKIRQAFVAAPGNSLIVADYGQLELRILAHLTNCKSMLDAFKAGGDFHSRTAMNMYQHIRDAVHEKKVLLEWHPQPGQEKPPVPLLKDAFGAERRKAKMLNFSIAYGKTAVGLSRDWKKRSVSLAKKSEEACTREMRVYTLLGRSRHFPNLTQFGPGQRGHIERAAINAPVQGSAADVAMCAMLEIERNVHLKELGWRLLLQVHDEVILEGPSESAEVAKAIVVECMSKPFYGTNILKVDLAVDAKCAKSWYAAK >Sspon.04G0030210-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4B:76059637:76064790:1 gene:Sspon.04G0030210-1B transcript:Sspon.04G0030210-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RKQCPTAPTSHLPPPLGARTPPRR >Sspon.05G0002330-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:7108651:7108905:1 gene:Sspon.05G0002330-1A transcript:Sspon.05G0002330-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GVSPAGVRGGEGAHAGVQPLGAAQPPAAARAPPPRGLRRPVRRARVARRVAQRCPGARRRLEPQREPGRRRRVRPLRHAQHLRLT >Sspon.04G0006660-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:19117324:19121263:-1 gene:Sspon.04G0006660-1A transcript:Sspon.04G0006660-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQVWAVSLAVASLAIGMLGVLGVWLCYLFDAVARGRAPRTPPPTPQTSEEEEKGGKNGLSEAELMRLGGVAVLESTDREEEEEEAEALCPICLDPMEPGRAVRVLPGCNRAFHKDCVDSGSPSRPAALSATSGLRRRLRLRPRRWRPRPVGILDVGAARRVILAPGGKLEILQSYNGHEVNSIFDIFMAH >Sspon.07G0032940-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7C:56256382:56258067:1 gene:Sspon.07G0032940-1C transcript:Sspon.07G0032940-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYKFKTGRNIWAGSIFSRARPNLTNFTEIRSFRAESQILATRSPRGAATAPSPTPTRCSTTTSPPRLTTSQSWSRASGARASPPTTWSRSPARTPSAAPTAPPSRSGSTTSAGSWGGRTRPSTPPTPTTSSSGARGRPATPRWTPRWCRSTRSRRRPSTTSTSRTCWRTRSCSPPTRRCSTAPVRPGSSSSTLPPRTRGKPSSRRLW >Sspon.03G0021460-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3B:88135249:88135731:-1 gene:Sspon.03G0021460-2B transcript:Sspon.03G0021460-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVRVLSLDAARPAATEEDMDVDGRPAPGGARHRQEGSGCSCGCAGTVAALLPSPGAKRKRACGGSGVDAGSTSRGEVDAVQPQKRRHLAWMRSHQGLLSGFGRVSSAPREPPPATLSRLRRARTVALAMSRIRRRIGKPTTTKHRREPSLGRQFSRITL >Sspon.03G0001140-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:9180676:9182310:1 gene:Sspon.03G0001140-3D transcript:Sspon.03G0001140-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding METRRPRRGRKKRFLLPLALLCLAVLLPGALLPPSASASSSSPAAGGGSRKRRWVGFDYYVLALQWPGTICRETSNCCDTNGCCRSKPLKWFTIQTHGTCAYPEIQDEYDYFSTALYLYSKYNVTVSHHYISDL >Sspon.04G0033730-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4D:67981736:67983786:1 gene:Sspon.04G0033730-2D transcript:Sspon.04G0033730-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKQLFPEARCQNWPPTAVQPMWKTVWETNSSCLREGVFRTTCDERLIDALPLESHNARVAFLTPKNVTPEKMSCVVHLAGTGDHTFERRLRLGGPLLKNNIATMVLESPYYGQRRPSMQRGAKLQCVSDLLLLGKATIDEARSLLYWLQTEAGYSKMGVCGLSMGGVHAAMVGSLHPTPIATLPFLAPHSAVVPFCEGVYKYATAWDVLREDAAALTQDVTSLAEDAAQKTGITIEQVRDRLRSVLSLTDVTRFPVPKNPQAVIFVGATDFYL >Sspon.04G0025970-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:33575523:33603851:-1 gene:Sspon.04G0025970-1B transcript:Sspon.04G0025970-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA repair protein RAD50 [Source:Projected from Arabidopsis thaliana (AT2G31970) UniProtKB/Swiss-Prot;Acc:Q9SL02] MSTVDKMLIKGIRSFDPDNKNVITFFKPLTLIVGPNGAGKTTIIECLKLSCTGELPPNSRSGHTFVHDPKVAGETETKGQIKLRFKTAAGKDVVCIRSFQLTQKASKMEFKAIESVLQTINPHTGESRGSSKILASAALAVSQLIVSGARAAAQYCTVQKSNSYTKALEVIKKLHKDQMQEIKTFRLKLENLQTVKDQAHKLRENIAQDQEKSDASKSQMEQLKEKIRGIESEILQMETRLDELRRLQGQINTKATERSTLFTLQQQQYAALFEENEDTDEDLMEWQTKFEERIALLETKISKLGRDMEDEASNSSRLSKQYSELTHEIGKLQAEADKSNEDQLDVLWKHYLKINARYSEVDGQIQSKTESMSGILRRRKDKEKERDAAEVELSKLNLPRIDERERHMQIEVERKTLALGERDYDSIINQKRTEMFSLDQKIKVLQREKDTINRNADERVKLVNEHKDKIRRVLRGRLPSEKDMKKEINQAFWPVDKEYNELKSKSQEAEQELKLTQSKVTDAREQLTKLRRDMDAKRRFLESKLQSILQISANVDMFPKVLQDAMNKRDEQKRLENFANGMREMLAPFEHLARQNHVCPCCERAFTPDEEDEFVKKQRMQNSSTAERSKALAMKSSDAEAIFQQLDKLRTIYDAYMKLIEETIPLAEKNLNQHLADESQKAQTFDDLLGVLAQVKMDRDAVEALLQPTDTIDRHVHEIQQLVKEVEDLEDTLDSSGQGVKSLEEIQLELNSLQRTRDTLSIEVDDLRDQHRILNEDLSSAQVRWHTAREVKVKASNILERFQKSEEELVLLAEEKEQLIVEKKLLEESLDPLSKERESLLQEYNALKQRFDEEYHQLAERKRDFQQELDALGRLNMKIKGYLDSKKIERLKELQGKHALCYSQLQNCMAKHKDISAELNKNKELLQGQGQLKRNIDDNLNYRKTKAEVERLTRDIESLEERVVSIGSSSAIEADLKRHSQEKERLNSEFNRCQGTLSVYQSNISKHKQELKQTQYKDIEKRYTNQLLQLKTTEMANKDLDRYYTALDK >Sspon.02G0027690-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:105308375:105309911:-1 gene:Sspon.02G0027690-4D transcript:Sspon.02G0027690-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSFQGTTTKCTACDKTVYLVDKLTADNRIYHKACFRCHHCKGTLKLANYNSFEGVLYCRPHFDQLFKRTGSLDKSFEGTPKVVKPERNVGNENATKVSSAFAGTREKCVGCSKTVYPIERVTVNNTMYHKSCFKCCHGGCTISPSNYIAHEGKLYCKHHHIQLIKEKGNFSQLENDHEKTSQAGSLEDEE >Sspon.02G0003400-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:19475846:19480848:1 gene:Sspon.02G0003400-2B transcript:Sspon.02G0003400-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRFAADKARRAVAASLRGAASRSAAPSPHPPAPRHSASPVGAAAMAAAMARAMSTAAAGAPPVSLDTINPKVLKCEYAVRGEIVTHAQNLQQELQKNPESLPFDEILYCNIGNPQSLGQQPVTYFREGVKGLRDEIAAGIAARDGFHASGDNIFLTDGASPAVHMMMQLLISSEKDGILCPIPQYPLYSASIALHETGWGLEVDELKKQLDEARSKGITVRALVVINPGNPTGQVLAEENQKKIVEFCKNEGLVLLADEVYQENIYVEDKQFHSFKKIARSLGYTDDDLPLVSFQSVSKGYYGECGKRGGYMEITGFSPEVREQIYKVASVNLCSNVSGQILASLVMNPPKAGDESFESFMLERDGILSSLARRAKALEEAFNSLEGITCNKAEGAMYLFPRFHLPQKAIGAAQTAGTAPDAYYAKRLLEATGIVVVPGSGFGQVPGTWHFRCTILPQEDKIPAIISRFKEFHEKFMDEFRD >Sspon.04G0016250-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4A:59855817:59858164:-1 gene:Sspon.04G0016250-1A transcript:Sspon.04G0016250-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASANPAVAEAAASGQRDELSESLAELFTNVSLMVRGELQGTNNQLALLEKMNDRVAQEYSNYGDIAAGLRVFVEQLNEKNRGFDDYVSQIDAIDQQLTEFEAVVSMLDKHIALLEKK >Sspon.07G0007060-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:16657794:16659556:-1 gene:Sspon.07G0007060-2B transcript:Sspon.07G0007060-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALGGATPERQEPRRYSGRITAFVVLSCVAAGMGGVIFGYDIGVSGGVSSMDAFLQRFFPEVYRRMKGGGERVSNYCRFDSQLLTAFTSSLYVAGLVSTFFASSVTARCGRCPSMVVAGVAIIAGAGIGGSAVHISMLILGRVLLGVGLGFGNQAVPLYLSEMAPPLRRGAFSNGFQLCVGLGSLAAQLVNFGTEKIKGGWGWRVSLAIAAVPAVFLAVGALFLPETPNSLVQQGKDRDEVRDLLRKIRGGGTDSNCVEDEVDDMVAAVRSSSKVTAWRGLKMMVSKRRYRPQLVMAVMIPFFQQVTGINAIAFYAPVLLRTVGVGESAALLAVVAKQTVGVGATLASMFAVDRFGRRTLFLAGGSQMLVSQVLIGGIMASQLGDDGEVSKACAVALITLIAVYQAGFGWSWGPLGWLVPSEIFPLEVRSAGQSIAVAVNFLLTTAVAQSFLAMLCHMKAGIFFFFAAWLVIMTVFVYLFLPETKGLPIEQVERLWARHWFWKRFVARE >Sspon.07G0006910-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:18025135:18028281:1 gene:Sspon.07G0006910-1A transcript:Sspon.07G0006910-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATQLVFSNASSCQCPLGISPINVRKTLLGESASFQRKKWFSGDSYRFSRLECSANSRRAGPRRTKDTLYDLHPEISLLYGEDNGAATVSSKEQGIDTAAERLVDTSPSYCYNEPKIKVIGVGGGGSNAVNRMIESSMKGVEFWIVNTDFQAMRMSPIEPENRLQIGQELTRGLGAGGNPEIGMNAAKESQELVEQAVAGADMVFVTAGMGGGTGTGGAPIIAGIAKSMGILTVGIVTTPFSFEGRRRALQAQEGIASLRSNVDTLIVIPNDKLLTAVSPNTPVTEAFNLADDILRQGVRGISDIITVPGLVNVDFADVRSVMSDAGSSLMGIGTATGKTRARDAALNAIQSPLLDIGIERATGIVWNITGGNDLTLTEVNAAAEVIYDLVDPGANLIFGSVIDPSYTGQVSITLIATGFKRQEESESRSSQAGGDSNRGGSGWFSPTSQEEGHALQIPEFLQRKGRSGFPRV >Sspon.03G0009500-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:40739379:40755662:1 gene:Sspon.03G0009500-2C transcript:Sspon.03G0009500-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:WD repeat-containing protein GTS1 [Source:Projected from Arabidopsis thaliana (AT2G47790) UniProtKB/Swiss-Prot;Acc:Q944S2] MDGYGEADNAAMEVEAEASPCRGRSSPVLSGSPSQNSDRSMSSWRLGLKNSIQTNFGDDYEISTLAVSLSTNALKFYSPETGQYLGECKGHEGTIHEISFSAPASPQVICSCSSDGTVRAWDTRNFKQISLLRGGASQEMFTFSFGGSSGSLLAAGSNAQVLFWDWRSSKQIACLEESHMDDVTQVKFAPDQQSKLISAAVDGLICVFDTDGDIDEDNHLLSVMNAETSVAKVGFFGNTYQKLWCLTHIETLSVWDWNDGARELNIEDARSLATDRWNLDHVDYFVDCHYSMPDDRLWLIGGTAAGTRHTGVVRSVYPSAGIYESLGQNKGIFGWTGGEDGRLCCWRSDEIAEINKSWISSSLVWKQQKKTKNRNQPY >Sspon.01G0025180-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1A:89059058:89059341:1 gene:Sspon.01G0025180-1A transcript:Sspon.01G0025180-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEQLPALASGSKAATTTPFYLTLDHRASTTSSSPPAEAPTPPPSAVSDPSRQSNSERGSEIIKAKIMSHPLYPALLRAFIDCRKVCMHSDFQE >Sspon.04G0008970-3P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:27298013:27302546:-1 gene:Sspon.04G0008970-3P transcript:Sspon.04G0008970-3P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MACVHAQPAWALALAALGLFVSARAAVRLALWLYAAFLRPAKPLRRRYGAWAVVTGATDGIGRALAFRLAAADLGLILVGRNPDKLAAVSADLRARHPGTQVRTFVLDFAADDLAAKVDALGEFLRGLDVGVLVNNVGRSYPYARYFHEVDEELARSLIRLNVEAVTRVTHAVLPGMLERGRGAIVNMGSGASAIMPSDPLYTVYVATKAYVDQFSRCLYVEYKSKGIDVQCQVPIQVATKLASIRKPTFLAPSPETYARAAVRYIGYEPRCTPYWGHALVWLLISLVPEPIADRMFLNRSISIRAKGRAKEAKKKAQ >Sspon.06G0015660-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:86015987:86020469:-1 gene:Sspon.06G0015660-1A transcript:Sspon.06G0015660-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Prefoldin like protein [Source:Projected from Arabidopsis thaliana (AT3G22480) UniProtKB/TrEMBL;Acc:Q56X68] MEGRIEGWQRGRERTLSLSIMRASSVMRDSFSVTRDAKMGQSYWWAVTAAHVYLKSFDSSTSSVLPHPTRDGRRRPPDGLGVSRHLKEFFSSSDSGLPSPSELDRCFFSCVAVYVQVIFGGSNDPVPELAYKAAASRLALHLDPSRRCYRMIGGVLVERTIREVLPAVKRNKEGLEEVIARMHEALERKKKEITEFELKYKIRIRKADNDAEDDGGKKEGTAQGVLVGPA >Sspon.03G0002680-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:6469198:6469410:-1 gene:Sspon.03G0002680-1A transcript:Sspon.03G0002680-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDWAPSIIATALFALLCPGGVLQMPGRQRPVDLMNMKTSFPSMLVHAIIYIYFLLLMLFLVILQPHLYI >Sspon.03G0019060-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:44989168:44992897:-1 gene:Sspon.03G0019060-4D transcript:Sspon.03G0019060-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATELRSPLLPLPPPPPQRQRRVGAAAAWAAAALAVSATVVVLLLPFLIASSFGGTSTTGCSTSRRHPARPRSSSSNNPVELTLLTAAHDKGAVCLDGSPPGYHLQAGSGAGSSSWLVHLMGGGWCDTVRSCSGRSRTSLGSSLFMEKLMNFSGILSNDPAQNPDFYSWNRVFVRYCDGASFSGDSQHEAEHGNGTLFFRGLRIWEAVLDELMQKGLAHAKQASCSAGGLATLLHCNDFRARFPPEVTVKCLPDAGFFVDGKDLSGQRSMRSVYDGVVHLQNVSKVLPKDCLLANKDPTQCFFPAELIKSISTPTLIVNSAYDSWQVRFVIAPDESSPDESWRSCRADVRRCNSSQIQVLNAFRKEMVDDLVAADANTNNSWFIDSCFTHCQTIFDDSGWDSPVAPRLGNKTLVEEIGDWYFGRSPSPVVRQVGCEYPCNHTCNSQLDTSCQLVQQSSSARSKQ >Sspon.02G0047820-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2C:19767643:19771356:1 gene:Sspon.02G0047820-1C transcript:Sspon.02G0047820-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MWPYHAPGADTRPPMAMLTGAPPAGTSPGAFPATPWVPPFGTPPGVAGWDQAALARSFSTMGLTPPVGPEWIADSGATYH >Sspon.06G0020560-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6B:10062028:10062204:1 gene:Sspon.06G0020560-1B transcript:Sspon.06G0020560-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTKTKTKKTTTTKRPCRLWIGLLDQFSPAPTPTPKVTGREAHVLVRTARCSVMSASV >Sspon.01G0014470-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:38452109:38453382:-1 gene:Sspon.01G0014470-4D transcript:Sspon.01G0014470-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVLGFAAMGGGSPAWIDVPERSKSAFMELKRRKVHRYVIFKIDDRREEVVVEKTGAPGESYDDFTASLPADDCRYAVYDLDFVSDDNCRKSKIFFISWCPSDSRIRAKTIYAVSRNQFRHELDGVHFEIQATDPDDMDLEVLRGRANRT >Sspon.05G0008180-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:24991648:25000263:1 gene:Sspon.05G0008180-1P transcript:Sspon.05G0008180-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIRGFVDWRGNPINREVHGGVRAAWFIYFLTVVANIVNVPNMLNMVTYLHGTMHMGVSRSATTVTNVLGATSGFALIGAFLSDSYITRSRTILIFGPLEFLGYGLLALQAHLPSLHPPPCNIEAKPSNCKEVHGWNSTLLFASLYISAVGDGCMRACMPSLGADQFDHENPTESRQQSSFFNWYTFGISFGGFIGLILVVWLQNDKGWDIGFGLCAILILLGLLVVAVGLPFYRNQIPEGSPLTRILQVLVVAFRNRSLELPEKLEEAQESSAEPGSVEVLPETKSLKVESIALFLVLPIINYSMHLDRILDKACINRGKDGAWSLCSRTKVEETKIVLRVLPLFISSIIGYVSNPVLFTFTVQQGGMTNTRLGKIHVSPATLFIIPTIFQMVMLPIYDQFLVPFLRKRTGYVSGITHLQRVGMGFASIILASVIAAVIERKRKEAAVQMSLFWLTPQFFLLGVRDIVSWAPRVLQQRGATGMKSIAAALFWCVLGLSSLLATFLVQIVNRATRHGNQAGWLEATSLNSSRLDLFYWVVAVVGLLAFFNYLFWAKRYVYRHNPRIVVTDEPPALWTGEETPYIERYMEVFEQQFLTVVTSMVNVPNLLNLVTYLHETMHMGVSNSATTVTNFVGATSGFALIGAFLSDSYISRSRTILLFGPLEFLGFGLLALQAYLPSLHPPSCDIEDERTVCKEVHGWNATLLYAALYISAFGEGCIRACLPSLGADQFDHEDPTESRQQSSFFNWYTFGISLGGLVGLILIVWLEIYKGWDIGLGLCAILVLLGLIVVAAGLPFYRNQVPEGSPLTRILQVVLIPALLLQVLVVAFRNRRLELPEKLEEAQEISTGADTIEVLSRKNNLKFLDKACINSGKDGAWSHCSVAKVEETKIVLRMLPLFVSSMIGYVSNPIILTFTVQQGSMTNTRLGKIHISPASLFIIPITFQMVMLAIYDRFIVPFLRKRTGYASGITHLQRISLGFASMILASVIAALVERKRKDSATQMSLFWLAPQFFLLGVSDVTSFPGLLEFFNSEAPRGMKSIATALFWCEVGLASLLATFLVKAVNRATRRGHQGGWLEGTSLNNSRLDLFYWVVTVVGLLAFLNYLYCAKKYVYQQDPRVADEPSVDQDAP >Sspon.03G0020000-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3A:62060657:62067399:1 gene:Sspon.03G0020000-1A transcript:Sspon.03G0020000-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MILRVVAADGPGREHCPPVLCGNVTISFHFGLIPDGAVQTNCSAGEIGSKILSIFYDNRSLHIAEYRHRHPYFNRSSHTKAAESWRPTPPPYLLLRSQSAPSIISSSSTIAPSRRSQAWTSWMCHNNTFVRAADGRSDESGGYFLEGCTATMVPVLGVSGKVNATNYEQLVRDGLLVTWQPPLPLPSPPGRLDDGRLVAVKFLHDSKGDGEEFVNEASDGKFSVRSAYETLHLASQPMPGADLIWETWAPLTLKLFLWLAMRRRHWTADRRARHGLDTHENCLLCEQEPETIDHIVVSCSFAQKLWWQIRAAFRESSQLQHCNNILKWWQSMESTVDGELQARSRLHVCSGGLGVVERKDRKIFSRSRLLASIKHQAELWPVVLLVAAILGEYSLLASLLLLLHDHASADDCEPAACGNLTLRYPFWLSNSSTNHPSSDPCGHPGFEVWCGNDGRVASLKGSSINVLSINYTTNSLVASHARVAGADGVCQTNFNMSSSIALSPFTFSPRNRALCFLYSCDGTEPSGPEYANATSNCSSTPIYAYLAGAYYWDKPPAIATGGCKYSYMPVLGSEAAVKTAANFSFLCCARGNAQ >Sspon.07G0007090-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:22947:26613:-1 gene:Sspon.07G0007090-4D transcript:Sspon.07G0007090-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFRSIVRDVRDSFGSLSRRSFEVTIAGLSGLTVHHRGKSQSTVHELRDTDLIIQESRWANLPPELLRDVIRRLEASESTWPNRKHVVSCAAVCRAWREMCREIVLSPEFSGKLTFPVSLKQPGPRDGMIQCFIKRDKSKSTYHLYLCLSTAVLMENGKFLLSAKRNRKTTCTEYIISMDADNISRSSSTYIGKLRSNFLGTKFMISDTQPPYSGAVVPHAGRTSRRFNSKKVSPKVPTGSYNIAQVTYELNVLGTRGPRRMHCVMHSIPASAVEPGGIVPGQPEQILPRALEESFRSTTSFSKSSIMDRSMDFSSSRDFSSARFSDIAGGAMAGDEEGQNKERPLVLRNKAPRWHEQLQCWCLNFRGRVTIASVKNFQLIAAPSQAPAPAAAGAPTPSQPAPQDQDKIILQFGKVAKDMFTMDYRYPLSAFQAFAICLSSFDTKLACE >Sspon.03G0043170-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:76608343:76612642:-1 gene:Sspon.03G0043170-1C transcript:Sspon.03G0043170-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQEDQEAQKPLLVTAAGRSGDGWHLAASGAASSSSSIAVVVASTAVAVAGSFEFGISVGYSSPSQPGIMHDLNLSLAEVPVYISEVTPKNLRGGFATVNQARFGQPGEFEAALQKLRGKGTDISDEATGIKDFTEKLQQLPESKMLDLFQKDYIRAVTIPMTGLGVLLMDKAGRRPLLMVSAAGTCLGCLLVGLSFLAKEHHWGKDLNLVLALAGILIFPINMKGAAGSLVTLVSWLGSWIVSYAFNFLLVWNSYGTFFIFASICGLTVVFVELLVPETKGRTLEEIQASSGMFGNWNSGMEDRNGQGTVNEQEDK >Sspon.04G0008360-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:20374097:20375377:-1 gene:Sspon.04G0008360-2B transcript:Sspon.04G0008360-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRARRREQVNIIRIGDQLQYFRQYQQKLRALIGEPQATRLVNQALVLITLGGNDFVNNYYLVPMSVRSRQYALPDYVRFIISEYRKILSRLYELGARRVIVTGTGPLGCVPAELALHSQNGECAAELTRAVNLFNPQMVDMVRGLNRAIGADVFVTANTYRMNFDYLANPQDFGFTNVQVACCGQGPYNGIGLCTAASNVCANRDVFAFWDAFHPTERANRIIVSQFMHGDTDYMHPMNLSTILAMDQEGL >Sspon.02G0034320-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:14959046:14959738:1 gene:Sspon.02G0034320-1P transcript:Sspon.02G0034320-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAIPVALLLLVLVAAAASFQDLTVAADNGGAVPDGVCDGKCRSRCSLKKAGRCMDLCMMCCGKCQGCVPSGPYASKDECPCYRDMKSPKTQRPKCP >Sspon.01G0040850-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:36141092:36142336:1 gene:Sspon.01G0040850-2C transcript:Sspon.01G0040850-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding YGLHLLLPPPQAPPPPQLPPDKAQALFLRPRLRGGQDQRLRERPSRAREAGAQRQGTTIHQRPRQEEGRQRAREQAGRQEGRQRAYGPDPPLGEPGRRGRGPPGRLGPPRGVPGRAGRLQRVRGQDGAARVRDGPVPRVRGRAVGRGARRRRPRCPPRGVHHGGNHAAQLRPAAAARPGGGAGDQPLRALLPARHARGARRRGAPRHQPPDGAGALRPRAGAARGGPGARGGHGGRRRDAALRTDARHRRHRQPARRRPVRPRRPRARAAGRVLERHALPAPLQAARRAARGRRRRRRQDQHGGRAPGRLHDGGAQGALRLLLPQHQPHQAGGHQQRRRRCRRRIWCAPAGDDPGHERPGRAHAARVPARPVRGLRGRGARPARPRGHPGDRDVRRLRARAWLLRRRLHRLRPAV >Sspon.05G0020260-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:81109090:81111581:1 gene:Sspon.05G0020260-3D transcript:Sspon.05G0020260-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRRNLDPRLAGARSPFAQVSGTLVFAILHREKANKAPTPRIALLWRCIERPAPMSTQGMRSSMETKEPIFTCAVVFEAMADGKWRKRVPWTGKKGEEARHTGVQPPTLPPAIPAPNLTHSTALDSRLRPPHVGCCCSASPIDLETEATQPRRPVVMSRRVSAAVDRCLELERVITGRARSGSLGLDDALKLFDELLTHARPASVITFNQILTAVSRASGRRSSTSESELVVSLFNRMIRECTIKLAPNLRTYSILIGCFCRMGRTEHGFATFGLILKSGWRVNDVVVISQLLKGLCDAKMVGEAMDVLTKRMPELGCMLNVVSYNTLLKGFCNEKRTEEALELLHMMADDQVRSCLPDVVSYSTVIKGFFTEGQVDKAYNLFLEMMDQGIPPML >Sspon.02G0019010-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:75557719:75561266:-1 gene:Sspon.02G0019010-2B transcript:Sspon.02G0019010-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAILPRTVRRAAEAALSLNSVPPRLACHFARYLGGVSSACSNPGTASVEFLPWHNGGGILHRAASVDPTAVVEPGAVVHSGAVLGREVVVGSGAVVGPSVSIGQSTRIGYNAVLSNCSVGDFCIIHNGASIGQDGFGFFVDEAGQVKKKPQMLYARIGDHVEIGANTCIDRGSWRETMIGDHTKIDNLVQIGHNVVIGKCCMICGQVGIAGSATLGDYVTLGGRVAIRDHVSIVSKVRLAANSLVTKDIQEPGDYGGFPAVSIYQRPYPFNFAPLFILVPINEWRRQTANLRLFSKKDGVKR >Sspon.05G0006090-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:20616311:20617503:1 gene:Sspon.05G0006090-4D transcript:Sspon.05G0006090-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATAPMPVHVESLQTAVPTRTAGAGKSLPIAVSGPPLTAAELQRRFRAVLYYRGAGAEVETAARERAAWVKESLCAALVDHPEMAGRLRRRSDGDGDGRLWEVKLRDTGVRLVQASVEATMAEFLEARGVDRERKEAALALWTDVDVHEPDICAPLFMQLTRFLDGGYAVGVSCSLLLADPLSLIGFLKSWARKNTEMQAQSKHVAHPVIQYTHYIQSPGAAKRVKSGTSTLDTATATAADNTKTVLFRATDSSDRRALAAACVGMASKRLGGAKEPPRFTVIARDSSKGLHVQTCGTVEYGDREACLGHGHGLAARVAQWSEAGLEDLALEGSKPVHVSYSISPCADEGLVVVMPAGGAELLISVTVPNY >Sspon.06G0028440-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6C:6527449:6530928:1 gene:Sspon.06G0028440-1C transcript:Sspon.06G0028440-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSRACVHRRCEGVSFRCGSSQTRRTPTRSTARSAPYISCGPPPLTPAGRSPAPPSFHRPVPSRPVPSPFPCSTSTTLPRAQPRAARAAMAAATGAGAVSEGMRRLTQLSLVSKVCSELESHLGVADRVLAEFVVDLGRASASAAAFAAALRDHGAELPDYLVRSLHAVITAIPDTVPAPAVPASRGAHGTGARVDRAAGEDEDHEPELHQVRRGRVTRVADAGCFVRLGGGREGLVHVSQMPGRRRAGATVTRGQEVFVRVVSVDGAKLGLSMRDVDQDTGRDLLPTRRNPGEEDAPRANPAAGRAGAAGRRKGVSGIFVPDEDEDKAGPPPRRPTRRMTSPERWEVKQLIASGVLDAKDYPVLDEDEEGMFYQEEEVEELEIELNEDEPAFLRGKGRSSADMSPVRISKNPEGSMSRAAALQSALTKERRDIRTQEQRGMVDAIPKDLNRSWEDPMSSGGRYLMQELVGTGLSAQSVPEWKVTYGKAGTYGQKSRLSIQEQRQSLPIFRLKKELINAVNDNQVLVVIGETGSGKTTQVTQYLAEAGYTTKGKIACTQPRRVAAESIAKRVAEEVGCRVGEEVGYSIRFDDCTGPETVIKYMTDGMLLREILVDGDLSSYSVVMLDEAHERTIYTDILFSLLKQLIKRRSDLKLIVTSATLDAEKFSGYFFDCNIFTIPGRTFPVEILHTKQPESDYMDAALITVLQIHLTEPEGDILLFLTGQEEIDHACERLHERMKAFGGDIPELIICPVYSALPTEVQSKIFEPAPPGKRKVVVATNIAEASITIDGIYYVVDPGFAKLNVYNPKLGLDSLVITPISQASAKQRAGRAGRTGPGKCYRLYTESAYRNEMPPTTTPEIQRANLGSTVLNMKAMGINDLLSFDFMDPPASQALISAMEQLYSLGALDEEGLLTRLGRKMAEFPQEPPLSKMLLASVDLGCSDEILTIIAMIQTGNIFYRPREKQAQADRKRSNFFQPEGDHLTLLTVYEAWKAKGFSGPWCVENFIQVNSLRRAQDVRKQLLEIMDKFKLNVISAGNNSTKIGKALSAGFFFHAARKDPSGGYRTLADHQQVYIHPSSALFHQQPQWVIYHEIVMTTKEYMREVTAVDPKWLVELAPRFYKSVDPMKISKRKRQESIEPLYDRYNEPNSWRLSKRR >Sspon.04G0005840-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:16543515:16545754:-1 gene:Sspon.04G0005840-1A transcript:Sspon.04G0005840-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFASVASPFLSFTAEDSQFSSYKDYYKVLEVDYDASDDTIKLSYRRLALMWHPDKHKGDNDVTAKFQEINEAYKVLSDPAKRLEYDVSGCYEIDQYTLREYLAKFKGMILTCNGLGIDHPSSKW >Sspon.03G0013180-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:36639158:36640943:-1 gene:Sspon.03G0013180-1A transcript:Sspon.03G0013180-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWTKVSHVGENQDIIKRTPTHHTPYNCKQPHNQSVVKVRLIGKLEDGTVFDRRGHDGEEPFEFTIDEEHVIYGLDEAVMTMHEGEVASFTIPPQHAFGAAGSSNQYELALVPPNSVVIYELELLSVVDVECPHLDLVSPAINAIRKTCHRRSLAGNPKPHMPGRDTIGGRGGYGLL >Sspon.02G0017080-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2A:48418439:48418855:1 gene:Sspon.02G0017080-1A transcript:Sspon.02G0017080-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNTAHPATWRKSATTRLDHHFPCHHHIAHHYQRQPSPPSEFAVTVQEDLRLSSGDFWRSSGGPSMPDGEGLPPGRCESMELTESWLNTEELNVSVGPHITPPGDISGRSGSEILKPLEANCSVTILHALARILSMYF >Sspon.03G0034980-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:77728700:77730149:1 gene:Sspon.03G0034980-1B transcript:Sspon.03G0034980-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQQRQQRGRGSGGGGVLRLVAVLLLLSLCLARADAVAAPWAPLAGAAPDSESEHGVATALENALAQEEVARGHSTASTAAAEEDGDGAVVLERRVVMESTEDYGFPSANSRHVPHP >Sspon.08G0011180-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:43359642:43359887:-1 gene:Sspon.08G0011180-3C transcript:Sspon.08G0011180-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARNALALKGVQYEYVEEDLDDKSQALLRLNPVHGKVPVLVVDGRLLAESLVIIEYVDEEFHNAVAADFSFAHSLENADGEQ >Sspon.02G0047600-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:18282554:18284509:1 gene:Sspon.02G0047600-1C transcript:Sspon.02G0047600-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GVHVPAPPAAGAPPRCGGARRPCPGAPGGRPSTTPHPPPPRQSISASRRPAHLHLHPGGRRLAHAVHVSSTPKNGTAQTALPVT >Sspon.02G0005750-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:17518344:17520001:-1 gene:Sspon.02G0005750-2B transcript:Sspon.02G0005750-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKQIHEIKDFLLTARRKDARSVRIKRAKDAVKFKVRCSKYLYTLCVHDADKANKLKQSLPPGLTVQEI >Sspon.06G0006530-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6A:23420713:23421834:-1 gene:Sspon.06G0006530-1A transcript:Sspon.06G0006530-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MYATKPLSLFKSQPEEASRPPPEGRNSGYLVVKGADDEGSDDETCCWGTCGGSRVRDLPFPQNRVLTVRYTEHHGESSTTYADAVVFVPVPDQPLASNRYYPVIATGRHRGLVRACSAEEDMVPCCFCRCINDVDPRPFDPADIYQQIEIVQRRRGRFTARAVAPDGFPYFLYRKKYWRVYASKPKSFDLGEARGLDAALRSRQLSDASVLDAFPAPNNGAVGKWYSPFFLVKEDGVAPREQMERSAFYEVTLEQRWEPVHAHAGGPKLGSKKALIGGSVEAKQEDEGSSRHGDAYVWFRAAATGQRVGMCTSVWERMRWEQYRGGWVDEDEDPGKVAGGSVLVERFVVKRLDGTVVVAFDFVHLNKVRAKQL >Sspon.01G0019240-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1A:72225925:72228701:-1 gene:Sspon.01G0019240-1A transcript:Sspon.01G0019240-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYQLSGSEEAKRFVKLFWGNRQKLLSGSFISFFKEKALVVGSAGGQEVLHRVSFLENGRATCLSKGERPTRTRATFRFDLPLRVWTHAPTRGRGSPSAPPARRPGRPDPQAPLASAHCCDAVPFPFSTALAIITCGLAIASTPRHGTAPRTVSFSFERGHRRTALHSLVAVVRSLSTSSRLATTETAQNRRGAGPVANLRTWAASPPGWFAVRAAAEPSTQLALGHTPALGRAPIPTQQANTWRGISSPPAPRIGSRPNPARLPRPRETKATATACCRQRDRVEGLCPSASATPRHATGRDIIRLPPTPKKRVHNVPSGTAAVRPRLSPWPPGTPPLPPERCAPVPSSSRHRPLLTPKGNPSHHLTTASTIVRLN >Sspon.05G0000360-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:5784040:5784324:-1 gene:Sspon.05G0000360-2C transcript:Sspon.05G0000360-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTAPRKTGVPFFRRADRGSRCVALLDFVLRVAAFGPALAAAIATGTSDETLSVFTQFFQFHARFDDFPALLSVSFARPSSDPTIPVIYPCMSN >Sspon.02G0008040-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:23278194:23279243:-1 gene:Sspon.02G0008040-1A transcript:Sspon.02G0008040-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAQQASFPCSNATTQWRELTNTSWRDDDYRRMVMAYLIEAVYLLELERQERRDAAAVAQQWWKPFHFRLAHELVDDRDGSVFGAVFERDHHGVSSDAGRPSPSGAPSAVIAFRGTLLRAPTIRRDVEDELRLLARNSLRGSARLARAVQALSATIDRFGSENVCVCGHSLGAGFASQVIRMLVASSSPRQQQQQQQAAAFAAASLESHLFNAPYLSLPMGVRSVVKTADCLLKALRSGVATVGKWHGKALRNVAYANCILGYTRLESTRK >Sspon.05G0028330-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:66279234:66280271:-1 gene:Sspon.05G0028330-1B transcript:Sspon.05G0028330-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEEVEVINVLGEIKELSILRLSVKPHHGADGKLDFCVWVNGIQQRCYLKLKILEITCSSKLNVSFGSEAMQNLELLTARCCSGSTLKFIEVKNLSKLKLKEVWLFGSHDNTLKDDMERQLNEHPRKPPLKMEELDER >Sspon.01G0007070-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3C:48477228:48477923:1 gene:Sspon.01G0007070-2C transcript:Sspon.01G0007070-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAQSSRPEDRVREGSATAAPPVLPATATMFTTTARTKRSASMPLPGASAGAGKGRDPSPAPAPGGRARLRDEQVRQLRELFLRFDLDGDGSLTKLELAALLRSLGLRPAAGDEIHALIAAMDADGNGTVEFDELASSLAPLLLGPCRPAVAVDHAQLAEAFRAFDRDGNGFISAAELARSMALMGHPICYAELTDMMKEADTDGDGVISFQEFTAIMAKSAVDFLGLAAL >Sspon.01G0031030-1P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1D:105826449:105827315:1 gene:Sspon.01G0031030-1P transcript:Sspon.01G0031030-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPAMAAPRACFPMAAKHKAVVVLGATGTGKSRLAIDLALRFGGEVINSDKIQAHAGLDVATNKVGPAERAGVPHHLLGVVHPDAEFTAADFRREAARAAAGVALRGRVPIIAGGSNSYVKELVDGDRRAFRERYECCFLWVDAQLPVLHDFVARRVDEMCRRGLVDELAAAFDPRRTDYSRGIWRAIGVPELDAYLRACGLGDVSDEQRARMLAAAVDEIKANKSRLALCQRGKIQRLARMWRVRRVDATEVFLKRGHAADEAWQRLVAAPCIDAVRSFLLEDQRI >Sspon.08G0006680-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:20660763:20664261:1 gene:Sspon.08G0006680-1A transcript:Sspon.08G0006680-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPRLHFLVLTFPLQGHIAPALRLARRLLAAAPDALVTFSTTEVAHRRMFPAKPDDGANKDSDDEYGQRLEFLPFSDGMEAGYVQSTDPGSFNAYMASFHAAGARSFGQLVDALAARGRPVSRVVYTLMLPWAADVARERGIPSALYWIQPVAVFAIYHHYFHGHAGTVAEHRHDPSFVVELPGLAPQTVADLPSFLTDSTDPSDFFHSIFTTIRDLIETLDKESPKFTVLVNTCQELEVGALAAVGAQAHDVFPVGPVLPSGDDDDAGIFKPDDDAKYMEWLDAKPANSVVYVSFGSLATMTREHLDELLRGLEESGRPYLCVVRKDNKAALLADAETKVLDEDELKNGVVVEWCDQVRVLSHAAVGCFVTHCGWNSVLESVVAGVPMVCVPRMSDQRTNAQLVVREWRVGVRAQVDDGGVLRAPEVRRCIAEVMGNLEAAAEVRRMAAEWKRVVTKATGKGGSSDRNLMAFVDGARSAGHIAPALRLARRLIAVAPDVLVTFSTTTAAHSRMFPAAKSTDDGERGAPEEDGRLEFDPFSDGTEHGYAGGSDDVAEFNAYMASFHAAGPRSVGQLVDALAARGRPVSRVVYMLMLPWAADVARERGVASALYWIQPVLVLAIYHHYFHGYAGVIAEQYRRGDPSLLVELPGLPPVADLPTFLTESTDPGDYFHTVFLTFRDLFDTLDRETSNSKATILVNSYEELEVGALAAIAPHDVLPIGPVLPTGDETSMFKQVDARYMEWLHGKPANSVVYVSFGSLATMAREQVEELLGGLVESSRPYLLVVQKDNRAMLAEAEVDQLGERAKNGMVVEWCDQARVLSHPAVGCFVTHCGWNSVLESVASGVPMVGVLKVSEQSTNARLVEREWCVGVRAQADGGGVLRAAELRRCVEDVMGDGTAAAEGAALEE >Sspon.06G0000830-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:1010391:1014543:1 gene:Sspon.06G0000830-3C transcript:Sspon.06G0000830-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome c oxidase assembly protein COX15 [Source:Projected from Arabidopsis thaliana (AT5G56090) UniProtKB/Swiss-Prot;Acc:Q9FKT8] MGSRVAAALLRRGRDQASALLTPRLPRNAPAPAPAPRVGSASSSCGGGGSCLVPPRPGPAGAFSPASRFGSFHAFRSQAPKTVFGQCTRRMSTTTAALNSAAANGAANSGLKLLVTKGPQAQKAVGIWLFGCAAWVFSLVILGGITRLTRSGLSMTDWKFTGEIPPITDDVWQLEFEKYKQSPEYKRVNKGMSLEDFKFIYWMEYAHRMWGRALGFVFAGPFAYFIAKGYVTRQLGLRLSALFALGGAQGLIGWWMVKSGLEEPTSEYVQPRVSPYRLATHLTSAFVIYCVILWTALSVVMPDPPTGSMSWVNGAGKIRKLAIPVSAVVGITAISGAFVAGNDAGHAYNSFPKMGDSWIPEDVFSMEPFIRNFFENTSTVQLNHRILATTTLLSVGGLWLAARKIDMHPAVKSLIGSTLGMAALQVTLGISTLLMYVPTSLGSAHQAGALTLLSLMILLTHTLRRPSPALLKSISSA >Sspon.01G0024020-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:91400697:91401627:-1 gene:Sspon.01G0024020-2B transcript:Sspon.01G0024020-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding METAAAASIQGPGAAPWADMETDCLVHVFARLDLEDLAVAAPLVCRGWRRAAADPSLWRALDLRRDHVARFMTWGALADAFECRYAVHRFSLAGFLRLCVSRARGCAEDVALPPLLAEPADEIDHISLQCPRLRRLALPQLTAGDEARLPDLVPRWPLLEHLELEANTSSSFPALAAQLALHCPGFASLKTSGDVRPEDVAALARSLPRLRSLCLDRSYLPKEHLLAILAACRGLREFSARSCVGFDDKDEEVLRCGARIQRFDVGGSKSKLVEDFG >Sspon.02G0010400-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:28743946:28747017:-1 gene:Sspon.02G0010400-1A transcript:Sspon.02G0010400-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAENPQLFGNGLPVPFYGEMFVLARDGVEFHVDKIPSAPGGQVKTKGTIYLSNIRMVFVANKPVGNFFAFDMPLLFVHGEKFNQPIFHCNNISGFVEPVSMIQCLTENTSVIRSLTSNVPMQVVPDNQNRALYSTHTFKILFKDGGCGTFVPLFLNLVASVRRYNQFEAQSAANMAPRVDPLQAAQTPVDDMMRHAYVDPNDPTKIFLQQPAPESQLRRRNYHGPADAN >Sspon.01G0017970-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:66911577:66915137:-1 gene:Sspon.01G0017970-1A transcript:Sspon.01G0017970-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MELPRTPERGADVAADAGEEDPESNDRSLSLAINVMQGKLGGRLISGNLSEHPDAWPFKEPVDSRDVPDYYDIIKDPIGLKISSRPKLLYWLHKPQPRARLEQRQERRRKHGHAHRVPAGWRSRVVVEEPEPRPRLCHAEEDNGRQDTVVGAEQRSGKEEQACRCPEQHHVQRRRGLADAAAHQDPPQLRHVVVAYTMSAAATASVGADSVAAGLDVEGPHLIRAVLASEAGLWGLSCAAVCSGCWLVKWTTTVVVCGIYNISLMREMFTPGSVSCIQVSPIYYKQYIQSFAELKRRRNQSGSVGLCIILELYTNTPYVLSTSFNSTSYKFRQS >Sspon.08G0004920-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:11875320:11879120:1 gene:Sspon.08G0004920-2B transcript:Sspon.08G0004920-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MIMCVYCVCHFEVFAVLKSSPHGLTSTDGASRLQIFGPNKLEEKKESKLLKFLGFMWNPLSWVMEAAAIMAIVLANGGVRTPPHDRADRLWVNDTYVCDLVRAYVQGRPPDWQDFVGIVTLLFINSTISFIEENNAGNAAAALMASLAPQTKARPCDAARSVVCASLTETVDGSALGGCMQVLRDGKWSEQDAAILVPGDIISIKLGDIIPADARLLEGDPLKIDQSALTGESLPVNKMPGDSIYSGSTCKQGEIEAVVIATGVHTFFGKAAHLVDSTNNVGHFQKVTHTPLPPVITYTSATATPLVILTVVLTAIGNFCICSIAVGMLIEIIVMYPIQHRQYRDGIDNLLVLLIGGIPIAMPTVLSVTMAIGSHRLSQQGAITKRMTAIEEMAGMDVLCSDKTGTLTLNKLTVDKNMIEDLDKDAVVLYAARASRTENQDAIDASIVGMLADPREVCDVETPLARAGIQEVHFMPFNPVDKRTAITYIDSDGSWHRISKGAPEQIIELCRLREDLSRRVHAIIAKFADRGLRSLAVARQRIPECNKDAPGTPWQFLAVLPLFDPPRHDSAETIRRALNLGVNVKMITGDQLAIGKETGRRLGMGTNMYPSSSLLKDGDTGGLPVDELIEKADGFAGVFPEHKYEIVRRLQERKHICGMTGDGVNDAPALKKADIGIAVADATDAARGASDIVLTEPGLSVIISAVLTSRAIFQRMKNYTIYAVSITIRVVLGFLLLALIWRFDFAPFMVLIIAVLNDGTIMTISKDRVKPSPMPDAWRLQEIFATGVVLGTYQALATVLFFWAVRDTQFFTVSQISVLTDRYARPTEELMAAVYLQVSIISQALIFVTRARSWFFVERPGLLLVAAFLAAQLVATLIAVYAHWSFAKIKGIGWGWGAVIWLFSIVTFFPLDVFKFAIRYFLSGKQWNNVFDNKTAFANELDYGKSKREAQWAIAQRSLHGLQQPETSGLFNTDNSNDFIELSEIAEQAKRRAEIARLRELHTLKGHVESVVKLKGLDIDTIQHNYTV >Sspon.04G0003950-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:7718322:7723945:-1 gene:Sspon.04G0003950-2B transcript:Sspon.04G0003950-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FAS4 [Source:Projected from Arabidopsis thaliana (AT1G33390) UniProtKB/TrEMBL;Acc:A0A178WIP4] MEDSNALILPCKRKNKAQGKAKDGKKAKKEDPKMSKTKLKKLQKLEEEKKKKLLQAKSIEAETLKEKRRRAVQFSKAGLDVPEELSVFKKNGDTKVPENSDVVEQVSPSKFVEPAPIVYSGRECNNEMKKDPVQAIECQPIMDFGAGIPESETEEPSDDAHMLTNQKIQLSTPSCSGAKLDLQGKELGHGEHAVQECIYPPIVVPVSRPHEVEKARRDLPIIMMEQEIMEAIYENSVVILCGETGCGKTTQVPQFLYEAGFGTSDRADRKGMIGITQPRRVAVLATARRVSYELGLKLGREVGFQVRHERKVGSECSIKFMTDGILLREIQGDFLLKRYSVIILDEAHERSLNTDILIGMLSRIIKIRKDLYAKQQEKIRSGFKIKPEDKISQLKVVLMSATLQLKDFISNRRLFDVIPPAVKVPVRQFPVTVHFSKRTHDDYLGLAYKKVMSIHKRLPPGGILVFVTGQREVDYLCKKLRRASKVQTAKNPEKTDGEDNGPCPEVDEKEIFEAYDIDRNKSEHRYDMFSSYDDDGMNAEPNIDSSDNETESEMDTETDDEESVTIETTEEDVPVLAFLKDAESSSALKASFGALSGIPSVLESVEESSDAKGEEKTSPSVSCFSKCTEHKPISHGRLRVLPLYAMLPASQQLQVFQDIPEGERLVVVATNVAETSLTIPGIKYVVDTGKEKVKNYDHATGMSSYEVQWISKASASQRAGRAGRTGPGHCYRLYSAAAYGKDDLFPEFAEPEIKKFQLKVENFPFPTPPNKESLVEAVRCLKTLEALYSDGKLTPMGKAMAQYPMSPRHSRLLLTVIKNLKSQQQGFARSNFILGYAAAAASALSFTNPFLKQLDECDTNGESEENTNPEANGPWERKRQKKLKAVAWRNEPSKNVLQLNEEELLGQGICAGWADRVARRIHTYSKLSEDDRKVRAVRYQSCALDDTIYLHRSSSVAQVAPELVVYSELLNTKRLYMHGVTTDFLALSPSVVLGPARQRRVGDLLNRMKIGPKLVDSRAALRDVWNVDPGFLYPEVKVWYQDKFHSQFDLIWEQMHQQVLLEGHKLFPKRSKKVKVSDSRCKTALEL >Sspon.01G0054840-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1C:69692766:69693295:-1 gene:Sspon.01G0054840-1C transcript:Sspon.01G0054840-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEYISCLDRKHIALCTNVVRLQEHYCRVPRAHGPSRRCIRPSLALDEPNRKLCMWLRNSYTLRHIPEYLGKEPLANEVVSYTFFQFDIPIEAII >Sspon.06G0019830-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:5167381:5169296:1 gene:Sspon.06G0019830-1B transcript:Sspon.06G0019830-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLAAAKPAAITLAERQPIPIPTCSSSCKQAKKSRIDRGAWRVAVASGDAEADGAEAGAGAGQAPTARAGRRARLLARRRERVPLPDGVSGDGVGEFLRHPDAVESLLNTGALESFAPAGTGPGTFTCGLRRIGLLGFEVAPVLDLRVAPTSTDCTIEMLSCRFEGSEALEQQNELFSAFMSNHITWSDDAEEPCLDIDVSLEITLEVYTKPFSMLPLSAVEKPGNLLMQGLLDRLVPMLGEQLLRDFHSWVQLQQQQQQHEAS >Sspon.02G0042260-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:81838364:81850493:1 gene:Sspon.02G0042260-1B transcript:Sspon.02G0042260-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALARPSSALLSCSSSACLRRLNPLLLSAAACRRPAWAPRRAARRFCAAIASETDVFTSPEVAKSFDFTNEERIYKWWESQGFFKPNFDRGGDPFVIPMPPPNVTGSLHMGHAMFVTLEDIMVRYFRMKGRPALWIPGTDHAGIATQLVVEKMLAAEGVKRTDMTREEFTKKVWEWKEKYGGTITNQIRRLGASCDWSRERFTLDEQLSRAVVEAFVRLHDKGLIYQGSYLVNWSPNLQTAVSDL >Sspon.01G0049290-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:95596748:95600451:-1 gene:Sspon.01G0049290-3D transcript:Sspon.01G0049290-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPSNNRGTCSRSSSARSRHSARVVAQTPVDAQLHAEFEGSQRHFDYSSSVSAANRPSASTGTVSTYLQNMQRGRYIQPFGCLLAVHPDTFALLAYSENAPEMLDLTPHAVPTIDQRDALAVGADVRTLFRSQSSVALHKAATFGEVNLLNPILVHARTSGKPFYAILHRIDVGLVIDLEPVNPADVPVTAAGALKSYKLAAKAISRLQSLPSGNLSLLCDVLVREVSELTGYDRVMAYKFHEDEHGEVISECRRSDLEPYLGLHYPATDIPQASRFLFMKNKVRMICDCSATPVKIIQDDSLAQPLSLCGSTLRASHGCHAQYMANMGSVASLVMSVTINDDEEEDGDTRSDQQPKGRKLWGLVVCHHTSPRFVPFPLRYACEFLLQVFGIQLNKEVELAAQAKERHILRTQTLLCDMLLRDAPVGIFTQSPNVMDLVKCDGAALYYQNQLLVLGSTPSESEIKSIATWLQENHDGSTGLSTDSLVEAGYPGAAALREVVCGMAAIKISSKDFIFWFRSHTTKEIKWGGAKHEPVDADDNGRKMHPRSSFKAFLEVVKWRSVPWEDVEMDAIHSLQLILRGSLQDEDTNRNNVRSIVKAPSDDMKKIQGLLELRTVTNEMIRLIETATAPVLAVDIAGNINGWNNKAAELTGLPAMEAIGRPLIDLVVADSIEVVKQILDSALQGIEEQNLEIKLKAFHEQECNGPVILMVNSCCSRDLSEKVVGVCFVAQDLTRQKMIMDKYTRIQGDYVAIVKNPSELIPPIFMINDLGSCLEWNKAMQKITGIQREDAIDKFLIGEVFTLHDYGCRVKDHATLTKLSILMNAVISGQDPEKLLFGFFDTDGKYIECLLTVNKRTNAEGKITGAICFLHVASPELQHALQVQKMSEQAATNSFKELTYIHQELRNPLNGMQFTCNLLEPSELTEEQRQLLSSNILCQDQLKKILHDTDLESIEQW >Sspon.07G0008090-2T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:17905694:17907249:-1 gene:Sspon.07G0008090-2T transcript:Sspon.07G0008090-2T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRAPRIRHLNEAVLRKALRCRTCSEIAARRRTPGSKSCYQLQQVLRPGVALLLFPRAWLLRRPTSPTRNSDRNRPAILSETQPQFTRNLLASRVISTTAYKTRLQHRYVQSNHQSFPPCSYKQRHPQFAPTTPMAPPVNAQQQPQVQLVGGRKVVDEVSGWLRMLDDGSVDRTWTGPPEALPLMEPVAPYAVPRDGHTLHDLPGEPNLRVYLPEAKAEAGARLPVILHLHGGGFCISHPSWLMYHHFYARLACAVPAVVVAVELPLAPERRLPAHIDTGVAALRRLRSIALSEDVSALDDPAAALLREAADVSRVFLIGDSSGGNLVHLVAARVGQEADAGSWAPLRVAGGIPIHPGFVRATRSRSELETKADSVFFTLDMLDKFLALGLPEGATKDHPFTCPMGPQAPPLESVPLPPLLVSVAENDLIRDTNLEYCNALRAAGKEVEVLINHGMSHSFYLNKYAVDMDPTTGERAQELIGAIKSFISRH >Sspon.05G0017230-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:67170347:67171224:-1 gene:Sspon.05G0017230-1P transcript:Sspon.05G0017230-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKQRSEHPPPSPQPCDDDGGGTKQKSVCYYYDPRISYVDYGETHEMVPHRVSMTHALIKAYGLLDDMDRLRVAPATEEDLVLAHDPEYIKFLRDLTLADYNADAETQREAAEKYKLGEVWNELTHCVTNDNPVIENLWDYCRCYVGGYKIAINWSGGMHHACEGEAGGFCYVNDIVVAIKALLHHGRRFIRRVLYLDIDAHHGDGVETAFVEDSRVLTVSFHQFGGDFFPRTGDAVDVSELPAGDEGVCPTLINVPLQAGTRDGRYHQLFGPCSSRTPS >Sspon.02G0013720-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:17065773:17074561:-1 gene:Sspon.02G0013720-1P transcript:Sspon.02G0013720-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLRRRKQQQPPPPPSDGDGSDHDDNDKGKKPSSSSSSAPPSKEPTRRTKAKWSCVDSCCWLVGCVCSAWWLLLFLYNAMPASFPQYVTEAITGPLPDPPGVKLQKEGLRVKHPVVFVPGIVTGGLELWEGHQCAEGLFRKRLWGGTFGDVYKRPVTGLVAADYFVPGYFVWAVLIANLARIGYEEKTMYMAAYDWRLSFQNTEVRDQTLSRIKSNIELMVATNGGNRVVVIPHSMGVLYFLHFMKWVEAPPPMGGGGGPNWCEKHIKAVMNIGGPFLGVPKAVAGLFSSEAKDVAVARAIAPDVLDSDFLGLQTLRHLMRMTRTWDSTMSMIPKGGDTIWGNLDWSPEDGLECKAKKHKTNDTDDSKDTNGENIEVQPEPINYGRLVSFGKDVAEAPSSEIEQIEFRDAVKGNNIAHSNTSCREIWTEYHELGWGGIKAVADYKVYTASSVIDLLHFVAPRMMQRGNVHFSYGIADNLDDPKYQHYKYWSNPLETKLPNALDMEIFSMYGVGIPTERAYVYKVAPQAECNIAFRIDTSAEGGEENSCLKGGVYLADGDETVPVLSAGYMCAKGWRGKTRFNPAGSKTYVREYSHSPPSTLLEGRGTQSGAHVDIMGNFALIEDIIRIAAGATGEEIGGDQLFGQPWTGLQPTEHRTMAAAVSPAGPMKHATSVAVGSAAGRVHMHGVRQRQWVPFP >Sspon.05G0002760-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:43087160:43089543:1 gene:Sspon.05G0002760-2D transcript:Sspon.05G0002760-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRRNRSRRRRRRRRLRANLDSDASESSSPQSSKSSSPQSSKSSSPQSSGSSSDDRAAQRKIPLPNRQRQFPEEKPDLRRTGDARAVRPFLLSLIRGYYIDAIARLPAADLRTTLAYGLLVGGHCYGALHPVHNIILNSVWYAAAFPFRADPIDVDVITTEGISRLAHRSLDGLVAYLCHDCPDLSHNDALWHLALSNAALHGAPATARGAVPFGRTELEPVPFQVAAQAARHPKPSALTHFVTTVLPPVERDALSLLAGRRRLSSHDILRLSAMLQPLPLPDAPLPQPCSRQLSVRIGRVIDEKRRISRKVYQTLLDIADAALRKFARQTGARYCLHTTYGQSTVKVEDGYFDRYLHINFMAWPKRKQSQSQTPVHFFAEAHNPPNRNCSEEDITFCCMLVIAMLVRGARKKSIIQMVRSTSEGIRTRQVKVKQRMTVIAQH >Sspon.08G0007470-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:24467369:24473427:-1 gene:Sspon.08G0007470-1P transcript:Sspon.08G0007470-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MESAMEKVWESGRRMSRSISRGMGMENWGVDDVFLPQHGSRAGSRNGGGRSGRGGVDDDEEALRWAAIERLPTYNRVRTAILSSSTEADADDSGRPLRQGQQQFKEVDVRKLGVGERQEFIERVFRVAEEDNQRFLQKLRNRIDRVGIELPTVEVRFEQLNVQAKCHVGSRALPTLLNTARNVAESALGLCGVRLGRQATLTILKDVSGVVRPSRMTLLLGPPSSGKTTLLLALAGKLDPTLRRTGEITYNGFRLDEFVPQKTAAYISQTDVHVGEMTVKETLDFSARCQGVGTKYDLMTELARREKEAGIRPEPEVDLFMKILGLDICADTIVGDQMQRGISGGQKKRVTTGEMIVGPTKVLFMDEISTGLDSSTTFQIVKCLQQIVHLGEATILMSLLQPAPETFDLFDDIILLYQGPREYVLEFFESCGFCCPERKGTAVSSGGLQVENHLSLPFDKSRCHQAALVFSKHSVSTTELLKASFDKEWLLIKRNSFVYIFKTIQ >Sspon.08G0011570-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8A:50367722:50368254:1 gene:Sspon.08G0011570-1A transcript:Sspon.08G0011570-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEARRSSAALVGVAVAALLVALVPETSRAERFIVGDAARWTWGYNYTDWVIRKGPFFQNDTLGTVQYDPPNATVHAHSVYLMRNAADYQSCNLKAAKLVANVMQGAGSGFEFVLKKRKQHYFVCGERGGIHCTMGNMKFVVKPKSSACRDD >Sspon.04G0008360-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:24309861:24310642:-1 gene:Sspon.04G0008360-1A transcript:Sspon.04G0008360-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRLYELGARRVIVTGTGPLGCVPAELALHSQNGECAAELTRAVNLFNPQMVDMVRGLNRAIGADVFVTANTYRMNFDYLANPQDFGFTNVQVACCGQGPYNGIGLCTAASNVCANRDVFAFWDAYHPTERANRIIVSQFMHGDTDYMHPMNLSTILAMDQEGL >Sspon.03G0031980-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:41065583:41068432:1 gene:Sspon.03G0031980-2C transcript:Sspon.03G0031980-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAERRLARVAAHLVPPFPVPHATVPPLAPSPTAASSSSSSSPAGDSYRRVHGDVPSEPPEWRAATDESGKEFVDIIYEKAVGEGIAKITINRPDRRNAFRPLTVKELMRAFNDARDDSSIGVIILTGKGTKAFCSGGDQALRDSDGYVDFDSFGRLNVLDLQVQIRRLPKPVIAMVAGYAVGGGHVLHMVCDITIAADNAIFGQTGPKVGSFDAGYGSSIMSRLVGPKRAREMWFLSRFYTADEADKMGLVNTVVPLAELEQETVKWCRQILRNSPMAIRVLKSALNAADDGHAGLQELGGNATLIFYGTEEAKEGKNAYMERRRPDFSKFPRKP >Sspon.03G0013800-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3B:51708919:51714161:-1 gene:Sspon.03G0013800-2B transcript:Sspon.03G0013800-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAPPPPPSLYSYSPPFLLPPGLRSSLHLLRATPAPVLLQAAPPLPWAPPRPPPLLLLRRWPRPLFPSSRSSLSFPSSRARPFPLVLHPGPRPTLLPPPPLALPRPSPPSLVLARGGGGTSGGSGCWRCGCRPPASTPPAAAAAPAPPAAPAAPPAAPAAPPATPAAARGYPASAGGCYHCRSTVGPSWGLPLGLTGPNPTLAAALVAARATAAEGQARVRAAALVWERECDAADALARQIAEAEQLLASPAGPDAGATSSASPGRRVSHTANIRLLVPVVLEPESPSYARWRDLVLLTLRRYALDDHVLVDASVAVQTPSWIRLDSIVLSWILGTISLDLHDLVRTSPNARRAWLALEGQFLDNAEARALRLDASFRTFDRILVLNVLRGLSDRYAHLRTWITCQRPFPTFLEVSDDLVMEELTQGLQPGSTASPGSLSSSTALAVTPPRPSAPPRSSAPPPQSLLDPPPSGPSGGGGVVAVVVAAEGVAGVAGNPWSGRISMWSYHASGADSRPPVAMLTGAPPAGPPPGAFPAAPWTPPSGTPPRVAGWDQAALARSFSTMGLTPPVGPEWIADSGATYHTTPDPGILSSLHSPSSLPSYIMVANGSCLPVTSVGTAGAHGSFRLPDVLVAPSMVYNLLSIRRFTADNSCSVEFDSSGLTVKDLASRRPLLRCDSTGPLYTLRFPAAPSSSSPSVLSTAFAASTSSTTWHWRLGHPGRDTLIQLSRSSGLPCTRAHDEQLSCSDTFTTLLHFFTWVSTQFGLTIKAVQCDNGREFDNSTSRAFFLSHGVQLRMSCPYTSSQNGKAERMIRTTNDTVRTLLFQASLPARFWAEGLHTSTYLLNRLPSAACPAPTPHHALFGTPPRYDHLRVFGCACYPNTTATSSHKLDPRSTLCVFLGYSSDHKGYRCYDLTSRRVLISRHVVFDDRYRLAELLAGLRSRLPSGSRPVGLRRGPALSVWRRTGAFVPRFCPGGGGPCRSPSPDPAPAPPSRFAAPVRVYQRRPRPPPLAVPSPPGTPTPPPQSPPTRGAPPVHHPPLLHRHPRHVHPMVTRHAAGTLPPRDLAASTDDTVVSPVPSSVRDALLDPHWRRAMEEEYAALLANQTWELVPRPPGSNVVTGKWIWTHKRRTDGTLEHYKARWVLRGFTQRPGVDYDETFSPVVKPATVRTVLSLALARSWPVHQLDIKNAFLHGLLTETVSLRPQAGTSGVEPPAAFLLTLGFVEAKSDTSLFVYHHGADTAYLLLYVDDIVLTASSEPLLRRIIAALQQEFAMKDLGELHHFLGVTIEHRPAGLLLHQRQYTRDILERAGMTDCNPCSTPVDTQGKLSEVAGPPVADPTAYRSLAGALQYLTFTRPDITYAVQQVCLHMHDPREPHLTALKRLLRYLQGTLDYGLLLHRASSTDLVVYTDADWAGSPDTRRSTSGYAVFLGGNLVSWSSKRQPVVSRSSAEAEYRAVANGVAEASWLRQLLAELHTSPSRSSLIYCDNVSAVYLSTNPIQHQRTKHVEIDLHFVRDRVAMGEVRVLHVPTTSQFADIFTKGLPSSTFAEFRSSLNITSG >Sspon.02G0009880-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:27568015:27570435:1 gene:Sspon.02G0009880-1A transcript:Sspon.02G0009880-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AIDRMTCQWLFLQCKDQSVVINHANARAAGGKARQSDRRHMRLGNALVVHHRRLPHRPNPILPARPIRIRHLLLHTGLRQYISLHTLGHREHREVRSIDDTMASPLLCLATAALAVVAVLVSATEAWRATPPPLPVLPIPSAPQLKWQRREVIMFFHFGMNTFTDSEWGTGSEDPSLFRPAGLNATQWMDAARAAGASLVILVAKHHDGFCLWPSQYSAHSVRASPWRGGRGDVVREFVDAGRARGVDAGLYLSPWDRHDERYGEEVAYNEYYEAQLHDNLSRYGSVSEIWFDGAKGKNATNMTYHFQEWFQTVKQLQRSINIFSDDGPDVRWVGDEKGYAGTTCWSTVNRSMITIGEACACRYLNEGDPRGRDWVPPECDVSIRTGWFWHKNETAKPLSQLLEIYYNSVGRNCVLLLNAPPNSTGLVEDADIARLREFGAAVATIFGTDLAAGSEARASSERGAGFAARNVLDGRDDTYWAPSTAGDGRRNGYWIELRLRRRPDKQARAFNVVRIQEHVALGQRVERHAVYVDGAPVANGTTVGHKRLHRLLSPVAGTTVRVWIAARRGPPLLSAVGLHYDPFVAA >Sspon.08G0030050-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8D:54517504:54518053:1 gene:Sspon.08G0030050-1D transcript:Sspon.08G0030050-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding NDINIL >Sspon.02G0048610-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:18274068:18277852:-1 gene:Sspon.02G0048610-2D transcript:Sspon.02G0048610-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLGSPPLVNLVHYRLVPGKPLLPGTTIISDGGEFAFGFFTPSNSTPEKLYLGIWYNNIPRFTVVWVANRATPAMSSSAPSLVLTNNSNIVLSDVNGRVLWTTNTTTGSSSPSPRSNSTSGSVVLLMNTGNLVLRSPSGKMLWQSFDHPTDTLLPGMKIWRSHKTDEGNRLVSWKDPDDPSTDEGEWSSGKFSQGCRRKDPLRCSDGFLAMPGKRTKSNAAKIVLPIFTTVILLTSILLVWICKFRGREKDAGNNTKLMHRGLTTSDEHREENTSHDFELPFLKFQDVLVATDNFSHTFMIGQGGFGKVYKGSLEGGQEVAIKRLRGTQIKEYWNQERNARLDWPIRFKIIKGVARGLLYLHHDSRLTIVHRDLKASNILLDAEMRPKIADFGMARIFGDNQENANTRRVVGTYGYMAPEYAMEGIFSAKSDDYSYGVLVLEVVIGIKISSVDRIPNYPNLIVYAWNLWKEGKAKDLVDECIVENCLQDEASLCIHIGLSCVQENPEDRPFMSSVVFNLENGYTTLPAPNHPAYFAQRNNDME >Sspon.04G0008080-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:22026516:22030703:1 gene:Sspon.04G0008080-1P transcript:Sspon.04G0008080-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSGYEGILLGMGNPLLDISAVVDEGFLAKYDVKPGNAILAEDKHLPMYDELASKSNVEYIAGGATQNSIRVAQWMLQIPGATSYIGCIGKDKFGEEMKKNAQAAGINAHYYEDENAPTGTCAVCVVGGERSLIANLSAANCYKSEHLKKPENWALVEKAKYIYIAGFFLTVSPDSIQLVAEHAAATNKVFMMNLSAPFICEFYRDAQEKALPYVDYIFGNETEARTFAKVRGWETENVEEIALKISQLPKASGTHKRITVITQGRDPVVVADDGKVKTFPVILLPKEKLVDTNGAGDAFVGGFLSQLVQEKSIDECVRAACYAANVIIQRSGCTYPEKPDFN >Sspon.06G0024260-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:52558366:52560749:-1 gene:Sspon.06G0024260-1B transcript:Sspon.06G0024260-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIKRTKAEKKIAYDKKLCSLLDEYTKVLIALADNVGSKQLQDIRRGLRGDSVVLMGKNTLIRRCIKVYAEKTGNHTFDPLMDLLVGNVGLIFTKGDLKEVREEVAKYKVGAPARVGLVAPVDVVVPPGNTGLDPSQTSFFQVLNIPTKINKGTVEIITPVELIKKGEKVGSSESALLAKLGIRPFSYGLQVTSVYEDGSVFSPEVLDLSEEDLIEKFATGVSMVASLSLAISYPTLAAVPHMFINGYKNVLAVAVETDYSYPHADKIKEYLKDPSKFAVAAPVAGADSGAAAAPKEEEKAPEPEEESDEEMGFSLFDD >Sspon.01G0018080-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:64111044:64111943:-1 gene:Sspon.01G0018080-3D transcript:Sspon.01G0018080-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLATSSASGRGKPSRSSSAIVADRTSGYHDLKIDSRLLDATSVPHGEFLLSSAFTVGGHTWCIICYPNGDRYDSDAAVGYVSIYLKLDENVAKPVTAQVQFSVMAEKRALFLVRWKKEVLSTKRKFVTSFARQDSSHGYSKFAKNKDVLKTVRQGDPLTIRCHIVVFNGSRAVQSPKHKQAAAAPVHVPPSNLHTHLGDLLSNKRGVLCQHLLVAADRYDMERLKLICEDKLCRYIDVGTAAIILTLAEQHRCHSLKKACIDFLCAPANLKAVVAGDGFEHLSTSCPSITKDLLAMHAS >Sspon.03G0008720-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:37900095:37901268:-1 gene:Sspon.03G0008720-3C transcript:Sspon.03G0008720-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVENDHRRGAKVTSAQSNSTGPAPRPSSSSRARLHDFSFPTLSWGTHRVLRCSKNGPASSPASPETPSLDKEEKAHRPEGGGGSLQLQRQRAALRPWNLRTRRSATAAPTRRQAGSDEADEEAQAAAECAPAPTAEAKKRAFSIALSKQEIAEDFAAIRGTRPPRRPKKRPRTVQRQLDLLYPGLCLVDVAPGSYKIEER >Sspon.01G0014640-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1A:42195569:42197527:-1 gene:Sspon.01G0014640-1A transcript:Sspon.01G0014640-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSVGSISILLLFVLYKIELVSSANNEQFAYEGFAGANLTLDGAAAVTPGGLLALTNDKHTKGHAFFPAPLRFHRPVSGAALQSFSATFVFAIISEHAQLSDHGLAFLLAPSSNLSDATGAQYLGLLNISNNGKASNHILAVELDTVLSPEFHDIDSNHVGIDVNNLQSMESHTAGYYEDGTGKFLNLTLMSRKAMQLWVDYSGQAMELNVTLAPLDVEKPKDPLLSTAINLSEIVNTTAYIGFSSATGLSVAYHYILGWSFSLNGAAPVLNASNLPVLPILPHQKRSLSETLVIVLPFATAGFIIALLIVVFMFMRRWLRYAELLEDWEVEFGPHRFSYKDLFHATKGFVSKQLLGTGGFGRVYKGVLLECNLEIAVKRVSHDSKQGMKEFIAEIVSMGKLRHKNLVQLLGYCRRKGELLLVYDYMSNGSLDKHLYDQSRPVLSWNMRFHIIKCIASGLLYPHEDWEQVVVHRDIKASNVLLDSEMNGCLGDFGLAKMYSHGTNPRTTNVVGTMGYLSPELLRTGKASPGTDVFAFGMFLLEVTCGRRPLEHDQVVLLDWVLEHWNKGAILDTVDARLSGQYSAEEVSLVLKLGLLCLQPMPNARPSMRQILQYLDGTLTVPEMAMMNLDYSTLMFLQSEGFDSYAMLDASS >Sspon.06G0016040-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:69594227:69596921:-1 gene:Sspon.06G0016040-4D transcript:Sspon.06G0016040-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTPPEARDLEAAVPAAPAAAAAAAAAAKPKTATEPKAAAEQKAAPAAKKVAEEEDPRLRWAFVRKVYAILSLQFILTAAVAATACLVRPIPHFFAYGPPAAVWPTFIAILVSPLIVMFPMLKYREKHPRNLVLLALFTLCCSLSIAVSASTTLGTVVLQATILTAAAVLGLTGFTFWAVKRGYDFSFTFPFLFTSLLVLLVYLTIQLFFPLGRVAMTIYGFLATLVFSGFIVYDTHMLLKRHTYNEYVVAAISLYLDVINLFMAQMSLSCH >Sspon.03G0011680-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:31843518:31844398:-1 gene:Sspon.03G0011680-1A transcript:Sspon.03G0011680-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMPPGTPARGSMKATVMYVSYGDALHLVRSLLNHVGSGSRETEAAVVHDTACLHRELNDIAWFHFEQDAKTIMDHKGGDG >Sspon.01G0022000-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:80674338:80677969:1 gene:Sspon.01G0022000-1A transcript:Sspon.01G0022000-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to Peroxidase 56 precursor (EC 1.11.1.7) (Atperox P56) (ATP33) [Source: Projected from Oryza sativa (Os03g0563600)] MAARPVLPPPALLLLPLLLLAASSAAHGYGYGDAAKLRVGFYKDSCPDAEAIVRRVVAKAVHEDPTANAPLLRLHFHDCFVRATKEVTKGGWSKDGNLYQVETGRRDGRVSSAKEAVKNLPDSMDGIRKLIRRFASKNLTVKDLAVLSGAHAIGKSHCPSIAKRLRNYTAHSDSDPTLDGAYAAKLRQQCRRRRDNTTELEMVPGSSTTFDTAYYGLVVNRTALFHSDEALLRNEETRALVYRYRDAAGSSEEAFLRDFGASMVNMGRVGVLTGDQGEIRKRCAVVN >Sspon.03G0041430-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:30353193:30355025:1 gene:Sspon.03G0041430-2D transcript:Sspon.03G0041430-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSIYWPNPDYGVFPNGRTTYNSSRIAVLDDTGVFLSSDNLRVVASDLGRPGVKRRLTIDPDGNLRIYSLDPSTGGWTVTWAAMAQACSAHGLCGRNAICVYQPSLRCLCVPGHEMVDRHDWRQGCRPMYSVPNCSQAAPPEQRFKFVEVPHTDFYGYDVGYNASSDTFEHCKKLCLEMCSCAAFSYRPFEGQGVCYLKDFLYNGYTSPNFNGNIYLKVPIGFDASAQSVSARSSEGLACNPDGPEIVQGTPDTFRTSRNNAKWSYLFVFAGVLGVLDIIFIATSWWFLSSKQSVPSSLEAGYRMVTGQFRRFTYRELKDATGNFKEELGRGGSGVVYRGVLDKGKVVAVKKLTNVAGGDEEFWAEMTLIGRINHINLVRIWGFCSQGKHKLLVYEYVENESLDRHLFDTDRTLPWRERYRIALGTARGLAYLHHECLEWVIHCDVKPENILLTREFDAKIADFGLAKLSKRDSTAGDSMPLSHMRGTTGYIAPEWALNVPINAKVDVYSYGIVLLEMVMGCRVCDQTTAGGERLEMSQIAQALRQVVATGNVVPLVDGRLQGQFNPRQALEMVRISLSCMEDRTNRPTMDDVAKALTACDDEDEHPAYR >Sspon.07G0016580-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:59098975:59101985:1 gene:Sspon.07G0016580-1A transcript:Sspon.07G0016580-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLYIMLFCYQKIDGGEHGKEKDFDFLSSIEIVVVDHADVISMQNWEHLETVFEQLNQLPTKEHGTNVMRIRPWYLDQHAQYYRQTILLSSYLTPEINALFNGLCLNYEGKVYERFDASSIAEADDARFDYFCNKVYPKIQNLDEGGLLLFVSSYFEYIRISNFLKSKEASFCRIGEATSQQDISRSRLWFFEGKKKILLYSERSHFYHRYKIRGTKHLLIYSLPGRKEFYPELVNMLGESEIRKCNVLFSRLDLLK >Sspon.01G0049980-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:117962876:117964580:-1 gene:Sspon.01G0049980-1B transcript:Sspon.01G0049980-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRRYWNINLKEMIEAGVHFGHGIKKWNPKMAPYISAKRKGTHITNLARTARFLSEACDLVFDAASQGKSFLIVGTKKRAADLVASAAIRSRCHYVNKKWFSGMLTNWSITKTRLSQFRDLRAEEKMGKFHHLPKRDAAILKRKLSTLQRYLGGIKYMTRLPDIVIVLDQQKEYIALRECAILGIPTISLVDTNCDPDLANISIPANDDTMTSIRLILNKLVFAISEGRSLYIRNQDNMNITPCSIKTLKGLYDISGVEVGQHFYWQIGGFQIHAQVLITSWVVITILLGSVIIAVRNPQTIPTDGQNFFEYVLEFIRDLSKTQIGEEYGPWVPFIGTMFLFIFVSNWSGALLPWKIIELPHGELAAPTNDINTTVALALLTSAAYFYAGLSKKGLSYFEKYIKPTPILLPINILEDFTKPLSLSFRLFGNILADELVVVVLVSLVPLVVPIPVMFLGLFTSGIQALIFATLAAAYIGESMEGHH >Sspon.02G0003810-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:13708516:13711317:1 gene:Sspon.02G0003810-3C transcript:Sspon.02G0003810-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRDFLGGFGRDGGQAPAGGAAAGAGGESDEIELSLGLSLGGCFGADPSQDGKLRLQRSSSIASICSLPAATSCGAQAQDAAPATAPPPDQLLRTSSLPAEYMEDRLRRRAMQSQRRLEAKRKRLERRNSMNSGRPVPAAGGGAAGRDEGLEHTVPSGFQLRRTVAALTTAGSPTPSRPQQGLAERRAEASSSAAAPTSSDGVSVGQSSSLVPKEARPSSDGGAACHEQQSPPAPLRTLRSLTMRTASTGDLRNTMAEDMPMVSYKAAEGPSGGGRKTDGFLYKYRKGEEVRIVCVCHGSFLTPAEFVKHAGGGEVTNPLRHIVINPQQSVFL >Sspon.07G0023750-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7B:19194443:19196273:-1 gene:Sspon.07G0023750-1B transcript:Sspon.07G0023750-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding QLHLPLVEASNLLTNFNGTAAFTPVLGAIIADSCAGRFWTIAGGGALYQLGMLGLVVSALVPALRPASCVAGAGATATATASSLCQRASGGQLAMLYVSLLLTALGGGGIRPCVVAFGADQFGFSLRGRRPGGEQKWSYFNLYFFSMGLAVLLALTVVVYIQENVGWGWGFGIPAIAMFVSVLSFVVGYPLYVKVKPQGSPFKRLLQVVVAAFKKRKESVPEDFGLLYQNKELDVPIAADGRLLHTDQLRQVPTIDFFRRWWFLDRASVLTTGDVSDSGEPDLWRVSTVHRVEELKSIVRMLPLWAASITLVAAASHNFTFAIQQARTMDRHLTPRFQIPPATMIIFTTLTMLVSLALYDRVFVPVARRYTGRRSGITYFQRMGAGFAVSVLGVLAGALVETRRRRVAAEHGLLDSPGAVVPISVFWLVPQYALHGMSDALSTVGHMEFLYDQSPESMRSSAAALFWVAGSIGNYLGTVLVTVVQSATRGVWLQDNINRGRLDYYYWLVTFLLVLNLFYYIVCFHFYTLKTFEVDAGRINGGGEEQVGERQAEAVEPCHVQVGSL >Sspon.01G0056300-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:88084797:88086553:1 gene:Sspon.01G0056300-1C transcript:Sspon.01G0056300-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAGKKRGPRFPVPKGQGRARHTHVASTAASSEVPGAKLFEFASGTARGVAPKARVAMYKACGPMGFCSMSGIAAAVDAAVKDGVDILSLSLGSQDHDFYKEPMSIALFGAVRAGVFVACSASNSGPDASSLSNVERWITTVGAATMDRRHARNGQVLTGQSLYAITANRTDFIRLLPSACTDKDLVPDRIMGKIVVCAGDLGSDASLGAAVQKAGGSGQSPSPPRISVWTGSLGAREAEKLAAYVRSEPYPVASFRFTCRTVTGKNRAPMVSSYSSRGPNHVAREILKPDVIAPGTNILAAWPGESPLTYAEEDPRRARFNIQSGTSMSCLHVAGVAALLKHRHSGWTPAMIRSALMTTASTLDSYGRPIADNGRRGGAGDGATPLAAGAGLVRPQQALDPDLVYDATERDYVNFLCTLNYTAAQRRRPFVADLSNGTDVRVLTRTVTKVSEGPEAYAVKVLAPRQLVEVTITSATLEFGGEPYEKKSYTVVFRNKYRTPSAHGAAGMALFGQIVWQNDVHTVRSPVVFMWNRRDQ >Sspon.02G0011980-4D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2D:25891873:25892922:1 gene:Sspon.02G0011980-4D transcript:Sspon.02G0011980-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATKERQQQQQRKAAAEGDSDTVQLPTETSPYVQYEGLEDYKMRGYGAQGHLPVSDVPHGGSGTEAPTVPGTAIPVAKPQRRDDVQPQRDLGAGGGGGDVGRRPGDTATDAINRHGISRQSAYFAVRTIAAAVVKDIGGCPCQHLPSRQLASVLSTTASPFPSLNPGGGSGHCLGVGGRPRHLGRGGNGGRNDESSCSCTPRFFTVSSMASRELFGAAVAEAGAFTGSAAEGITTENADPIAHRSKREHHEARILNTFIVSSEQMVRLVRGGILR >Sspon.01G0012400-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:27857606:27860411:-1 gene:Sspon.01G0012400-3D transcript:Sspon.01G0012400-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASVFGGGEAFRLSAAPGAGVLKLHKGDITLWSVDGATDAIVNAANERMLGGGGVDGAIHRAAGPELVQACRKVPEVKPGVRCPTGEARITPNSLKLAKDNGIQYIAFPAISCGVFRYPPKEASNIAVSTAQQFSEDIKEVHFVLFSDDLYNIWRETAQELLSQFEK >Sspon.06G0009260-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:49798779:49812863:1 gene:Sspon.06G0009260-1A transcript:Sspon.06G0009260-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MIAQGLRRSSAASSQAQRQRFKGHSMLAPFTAGWQSTDLHPLVIERSEGSYVYDINGKKYIDALAGLWCTALGGNEPRLVKAATEQLNKLPFYHSFWNRTTKPSLDLANDILSMFTAREMGKVFFTNSGSEANDSQMDSKALRSMKDNIDIRLTLSSLLIDDDKTDEAATLLSPPKIPELQSANTPDQQKPWWCDGKVKMQLAKIYYNKGKLEDFVDTIFHPILETLNVEYANRKVRPMKKLPNTVLHERVKVLVSNAQIVIKANRAKKIIEKRAAANELKPDDPPRTKQVPPVPGLLTNVEHHQLVLNRYWDALQIINRTLKLGNDALTGDKKEELRSLGAQIAYRAPDPSHGFKYVRYVVQQHPYSLAAWNSYYKNRGQVSTAFQISSSTREAKPDCVPPIIISGHRFTAISQHQSAARDYLEAYKLDPENPLINLCVGTALISLTLGFRLQNKNQCIVQAFAFLYRYLRLCDNSQEALYNIARAYHHIGLNTLAAIYYEKALAIEEKDHPIPKLPYEAGSRVPEDLRPGYCDVRREAAFNLHLIYKKSGATDLARRILKTYCTC >Sspon.08G0000840-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:761960:762681:-1 gene:Sspon.08G0000840-2B transcript:Sspon.08G0000840-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAVEAKVEPPQEVAGAAVPGGQHPVVVGDLCPEIHKSSLVAMPCRGGYGQQQEDAEKQHHGRILLLSPITTTAGHGDERALVDFRAKITNNYGVLASWNSSTSYCRWEGVTCGRRRRVVALDLHSQGLTGTISPAIGNLAVLTASGLV >Sspon.01G0014600-3C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1C:24073071:24074176:-1 gene:Sspon.01G0014600-3C transcript:Sspon.01G0014600-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFIHRRTSKQTSKVKTLLGLALSRLAAARRPRLARKSISCSDVGQLLALGHLDRALHRAYQCCTFSISMQAEQLIEEDNMLEAFDIIELYCNRLIEHAKQLDKPHECGVDIREAAAGIMFAAGRCSDLPELLFARTILANKFGGDFAMMAKEGTGVVDPMLVWKLSGNKRNMEMKKMVVKEIAAENNVLLDFSEFQKVVELDGSSNVPHYHELNHEAIYQTDMDESSESDSYHSPSRNKDPCIVSNSDGTNNGQSKQKNMKTSVRTRR >Sspon.06G0012630-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:50478765:50481203:1 gene:Sspon.06G0012630-3D transcript:Sspon.06G0012630-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWLDEYEKLVIRMNTPRVVIDNAVCPTATLVQVDSAKKRGLLLEAVQVLVDLDLSINKAYISSDGRWFMDVFHVTDRLGRKLTDDSVITYIQQVERPFAIPSSALAHAFPKMSCLSLTRCFDLQSLGTWNEPARPAALEGLTALELTGADRTGLLSEVFAVLADMECSVVDARAWTHRGRLACVVFLRGEELAAGADDDRVTRILARLGHLLRGDGEAPGAVAAVPAAGVAHADRRLHQLMAADLERATSFPELSPAVSVQSWAERGYSVVTVLCRDRPKLLFDVVCTLHDMDYVVFHGTVDTAGDRARQEFYIRRADGSPIRSEAERERLSQCLQAAIERRSLEGVRLELCTPDRPGLLSEVTRTFRENGLLVAQAEVSTKGDLASNVFYVTDAAGKAADQSAIDAVRERVGTDRLVVSEEPRPPQVFPKAGSGDRDHGVGGGLGLVYLGNLVKRNLYNLGLIKSCS >Sspon.05G0026220-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:40309576:40310055:1 gene:Sspon.05G0026220-3D transcript:Sspon.05G0026220-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPKLDPSQVVEVFVRVTGGEVGAASSLAPKIGPLGLSPKKIGEDIAKETAKDWKGLRVTVKLTVQNRQAKVSVVPSAAALVIKALKEPERDRKKVKNIKHSGNISLDDVVEIAKTMRPRSMAKEMAGTVKEILGTCVSVGCTVDGKDPKDLQQEIDDGE >Sspon.03G0042750-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:39025905:39026126:1 gene:Sspon.03G0042750-2D transcript:Sspon.03G0042750-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHKGVQLPAGVPAILQGLHYAEMEKNSASFHIKDRQSAEAPDPFMIPEEPPHPAAGGAWSPTVFVHDDDGVE >Sspon.02G0016300-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:47309212:47313442:1 gene:Sspon.02G0016300-2C transcript:Sspon.02G0016300-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVDAGHNSMALVHAHLADSAVAPPRTLRQRQKWEVEYARYFGTPQRDPSAPPPPGLRHIIRGVHRHQGTWIPASCPASLYVSHPSLPSAVPVLTISIGDVVFVTCVTQCPIRGSRVVFVSFCDKSKQIQKFAVRFPQLCDAESFLSCVKECSCETMDIIPSGSDYVCEDSPASEYIASNGLHHSLIIQCKSDTEEPCSLAASNHAFQEVYALDTSHDVANEETTAGKGMDAGEGVDTSTLTYDIMARIKTYMADESFNDMLFKLEKVIDELGGDMSL >Sspon.03G0033270-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:47609237:47613001:1 gene:Sspon.03G0033270-1B transcript:Sspon.03G0033270-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCFSCCCVADDDDVGRRKKHDDAYVPIPAQAPTPVVPTGRAQPIAVPAIHLEELKEITKNFSSDALIGEGSYARVYFGVLKDGTKSAVKKLDSSKQTDQEFLVQVSAVSRLKHENVVQLVGYCAEGSTRVLAYEYATRGSLHDILHGKKGVKGAQPGPVLSWMQRARIAVSAARGLEFLHEKADPRVVHRDIKSSNILLFDHDVAKIGDFDISNQAPDMAARLHSTRVLGTFGYHAPEYAMTGQLSTKSDVYSFGVVLLELLTGRKPVDHTLPRGQQSLVTWATPRLSEDKVRQCVDPRLGDEYPPKAVAKMAAVAALCVQYEGEFRPNMSIVVKALNPLLHSRPGNRPSASSASPAAAAAERSGL >Sspon.02G0015910-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:43810839:43813876:1 gene:Sspon.02G0015910-1T transcript:Sspon.02G0015910-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding FIQEVGWDEDLVNKSFPWVEEKIVQWPKIAPWQAALRDGLLQAGVAPFNGYTYDHVSGTKVGGTIFDETGYRHTAADLLAAGDPDNLRVLLHASVHKIVFDSRQGRLKARAIGVQFTDENGRHHQAFLNSNKDSEIILLLLSGIGPKNDLKNHNIPVVLHNKYVGKGMADNPMNSIFIPTRSPPRQSLIETVGITEEGVFIEASSGFGQSSESVHCHHGIMSAEIGQLSTIPPKQRTLEAAQKYTHNKLNLPKEVFHGGFILEKIDGPLSTGHLVLTDTDVRNNPAVTFNYFSHPQDLNRCVYGIKTIERILKTNRFSELSADGAGLSMERVLNMSVQANVNLIPKHTNDTESLEQFCKDTVITIWHYHGGCHVGKVVDQHYRVLGVSGLRVVDGSIFSRSPGTNPQATVMMMGRYMGVKILRERLGRAAGVYPETIIAKLDVKTLCFHQLGKI >Sspon.02G0034230-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:11230626:11231939:-1 gene:Sspon.02G0034230-1B transcript:Sspon.02G0034230-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding PSPPALLSAGVLLPHRRLPPSTALGRRLLHPPPIPCSTQPLHPLQIFLQMQWCCSPPPLVLWRHVDLSTGAHCSSSAQRRGGVP >Sspon.05G0039880-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5D:81321731:81326742:-1 gene:Sspon.05G0039880-1D transcript:Sspon.05G0039880-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GLGRSMVACSEDALSVRIPTSACGFRSVHLWSSTRLPSCSIQFDVEPFYNIQATEAAMSPAPAPGPGGGFVNGSDHLGPGSNVVVDWKTFLSRLNIAGPKPGDSLGRNPLSDALHRNPGVVLNGQGPGRHPLSGASYRDPGTMKNEQGSGRRNLSGALHLRPGVVKNEQGSSHFPRRVRRVRKLAEPTRIRLGSWNVGSLTGKLRELVDAAIRRRVNILCVQETKWKGQKAKEVEDTGFKLWYTGTTSGRNGVGILIDRSLKDGVVDVRRQGDRIILVKLVVGDSALNVISAYAPQVGLSEGTKRQFWEDLDSMVSTVPISEKLFIGGDLNGHVGATNVGFERVHGGFGYGSRNQEGEDVLNFALAYDLLIANTLFRKRESHLVTFRSGQHSSQIDFILTRREDRRACFDCKVLPGECVVPQHKLVVADFRFRVRVHRDKRAKIARTKWWKLRGEAAQTFKERMLDEGPWEEGEDADDMWLKMATCVRKVASEVFGVSRGGKREAKDTWWWNDEVQRAIREKKECFKRLHHDKSAANIEGYKIAKRAAKRAVSVAKGQAYDDLYQRLGTKEGEKDIYRMARIRERKTRDINQIKCIKDGTDRLLVKDEEIKDRWREYFDKLFNGENEGPTLELDDSFDDTNRRFVRRIQEVETGEALKRMKGGKAMGPDGIPIELWERVIEHRLRRVTHVTQNQFGFMPGRSTMEAIFLLRQLMERYREQKKDLHMVFIDLEKAYDKVPRNVMWWALEKHKVPTKYVTLIKDMYRDVVTFVRTCDGDTSDFPIKIGLHQGSALSPYLFALVMDEVTRDIQGGIPWCMLFADDVVLVDDSRAGVNRKLELWRHTLESKGFRLSRTKTEYMRCDFSATRHEEGDVSLDGQVVAKKDTFRYLGSMLQKDGDIDEDVRHRISAGWLKWRQASGVLCDKRVPQKLKAIECSRDAYVALVLWAHKRDRVRNDDIRDRVGVAPIEEKLIQHRLRWFGHVQRRPPEAPVRSGVLKRADNVKSGRGRPKLTWDESVKRDLKEWNISKDLAMDRSAWRLAINVPEP >Sspon.06G0011710-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:51243654:51254124:1 gene:Sspon.06G0011710-2B transcript:Sspon.06G0011710-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Organelle RRM domain-containing protein 2, mitochondrial [Source:Projected from Arabidopsis thaliana (AT5G54580) UniProtKB/Swiss-Prot;Acc:Q9FIU6] MAAAVARSGFRRMFSVSAFAPPKAPAPRPQADPSPNLFVSGLSKRTTTEGLRDAFAKFGEVVHARVVTDRVSGFSKGFGFVSKRTTTEGLRDAFAKFGEVVHARVVTDRVSGFSKGFGFVRYATTEEATKGIEGMDGKFLDGWVIFAEYARPRAPPDQAETNSQPQQAWGAPSSSWGAQ >Sspon.03G0043680-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:83253809:83256905:1 gene:Sspon.03G0043680-1C transcript:Sspon.03G0043680-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGITAPHVVEDFLAVVQLLSDGSVVRADESVLTPPGATFPDVPGVQWRDVVYDPAHGLRVRLYRSPEAEAAPKGGRRLPVLVWFHGGGYCIGAYDQPGFHAFCQHVAAEVPAIVLSVQYRLAPEHRLPAAIEDAATFFSWLRAQAAPGAAADPWLLVDSVDLSRTFVSGVSAGANLAHHVVVRIASGQIVPGPVRVAGYVLFSAFFGSDERVASESHPPAGVSLTVESLDTAWRMALPLGATRDHPLANPFGPDSPSLEPLPLPPALVVAPGRDVLYDHVLRYAARLKEMGKAVELAEFAGERHGFSVGQWSEATEELMRILKRDGSVVRGDESVLMPEGPFPDVPGVQWKDVAYDAARGLKVRVYRPSAARGKLPVLVYFHGGGYCIGAYDQPMFHSCCQRFAAELPAVVLSVQYRLAPEHRLPAAIDDGVTFFSWLRRQAAGGAQGTEPWLEESAHFTQTFVSGVSAGANLAHHVVVQIASGKLALAVDPARIAGYVLLSAFFGSAERTAAESESAANVSLTAAFDQIWRLVQPAGATRDHPLANPFARDSPGLEPLPLPPVLVVVPGLDTLRDHMLRYAARLEEMGKAVELAEFAGERHGFSVRGWSEANEELVRILKRFVNQGATRN >Sspon.06G0004820-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:15340778:15344115:1 gene:Sspon.06G0004820-1A transcript:Sspon.06G0004820-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNENADKDHDTGAENKLRHNFRLGDITWVKCSGSSWWPAQVIDESCVGSKPKKRDKFDCLVRLYGTCQYLYVDPWKSNSEFEMMLKQENKSAMEAFREVLEKELSGVNLCSDYEDDYEEEAVNSKGGDTKGASKKTSSRKARKQGLKQQYNEEEDQNVGSNATTGSARKRKGGRARQSSSTHDVIHKDCSDSSAEGLRNKRQKYAAQSASVGRKEGLRQSARSDAKQYLDAAEGNTEPLTDILGSEGATRGSKHTEIKAMVRDILFKDIIDKEQDAEMAYVDEVINGICNATDSVVGSGDASTKGGRGSKQSGSGVKGESSNAPVS >Sspon.05G0002220-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:6979890:6984869:-1 gene:Sspon.05G0002220-1A transcript:Sspon.05G0002220-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLHATLLSTAGTADTLKLLTYANLNPVMLIYPLDRLITGCCHRNTVTQRQLSVGELQQSSSTPFLAVTEDIEHPVMDHKAWLWRKKSTERELEKEKVLLLEKSLQDLNEQLSFAHSKCVEKDGILAKQSKVAEEAILGWEKAEAEALAIKTQLDDTLDEKMAMEQRICQLDEALNVAMVERDSLIKETAQMISCEQDKVQKLEENLVEKINIIASLDAENDKLSEILSVKENIISELIESKGVTESKFKDLAVKLESAERSNSSLRYEVCMLQKQLEIRSEERKFSLKSADAAHKQHLENVKKITKLESECQRLRSMVRKRLPGPAAIAKMRSEVETVGSDTGITRTRKLNSVTPQNSCVLVQNSHDTSHGNSPLLARLHAIEDENKAIKESLSRKDGELQFSRTMLARTTSKLSQVEAQLEDLSKGRTTAELVKGSPAVVENPLSSISEDGRNEDNVSCSGSWASALLSELEHFKKGKLTAHSSKSTRVSDMSFMDDFAEIEKLASLCNDKHVEPYASMREATESSGKEVVAVDISTGTADQVRQPKIEKAVLKLIELIEGVIQKSSKDCSSTVVLSGGDEENDQGTLSGYVARAFLWNTSELTSVLQNFVFACNELLYGNTDVENFVHDLQLTLDWIINHCFSLRDVSDMKEAIMKHLELNNSDGLEIVAVARHTGIHTADEPRTPENVQMSLLSYSSCIDLKPDVNKQKTGNEVAVSKFHGIEEKASHLRAELNELKESRKIMAHANGKSTMTECITHESIFISGQNKGKQEGVCCPEPKHQPERCSAKEGSKNVAENEDKHLQMELEISTASEKLIECRETIINLGKQLKALAAPKDAILFDQVLQTATRSERKPRSQSLSEMLSMEDGGFYYSGSPKTKEIICTEPSASGKRNSPAADEGDDGSAATCSSSYPMPVAAPHVKQACRVNGTCKGEADVKVVTLAVVPRKQKGNGSLLKRILTGRRKEAMAKPQ >Sspon.06G0004330-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:10365799:10369635:1 gene:Sspon.06G0004330-2D transcript:Sspon.06G0004330-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGAVALAPTLASASSSASTSAAAASSTLAPNPTSRGDPLTSSRCRSLCRNAAPLVPLAATRRHVRGCRGAPLVASPHHARNPRLRFASAAAAEGMAAEASTAGAASEAEAKPFAVLFVCLGQSPQPWSVLTSGSVSVPVPVPVRAKQFGYQSPIFLASVRIEPTRNICRSPAAEAVFRTLVSKRGLDSKFLIDSAGTIGYHEGNKADSRMRAASKKRGIEVTSISRPIKPSDFRDFDLILAMDRQNYEDILNSFERWRRKEPLPENAPNKVKLMCSYCKRHTESEVPDPYYGGPQGFEKHCICKKKPPGDCPLAAVSSAIHSLPSFSALAFTMNCAPKPALLLLPPPPRPPNHQSCTSHMTSTTSPSASTAARLPRNPQPTHRTSATFSPSMVTRSNPLSPPRHACTATSCSSPPSRNLQRVTCAAAAHRSCSRRLTRSAGAARGLGARPRRRESSRAAPPEPRRSASSASATTARQ >Sspon.02G0020100-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:60606389:60610060:-1 gene:Sspon.02G0020100-3D transcript:Sspon.02G0020100-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSINHHIIILDIIKRKKIRSNRLSPPTPLPNKQLKLISAKIITQRVTEEQKGEGKLPLPNCCLLPQLKSPRAAAAVGGIHRPRLAGESTSVGFTSDDNEVTGVSPVADEWDMLSLTSSIYASPLFRRGFDPINLPGYGDVINIQEGTQTGLVMSDGFVFPPSEHENLPIEPEHENLPIEPEHDESNTNSDGKESSCAGNNDDDWCHVSPEEIDGISNENLSDNSDLPAASEATVPDSHPTEINAKREKDHTTCKADLPCERWWKRKTTYLFHHIKGVTTVCSVVAAGAVVGFVVMGQRWQQDHWHLHQFKFSISGESMSRVIGAFSRLKDGLPGSEQLRSLLPTRVLPQQPLSA >Sspon.03G0007110-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:24539999:24541242:-1 gene:Sspon.03G0007110-4D transcript:Sspon.03G0007110-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSECKGHLQLHAAGYGYGVGVASPQQQEQEQEQAGAIAPFVAKTFHMVSDPATDAVVRWGGASNTFLVLDPATFSDYLLPSYFKHRNFASFVRQLNTYGFRKVDTDRWEFAHESFLRGQAHLLPLVVRKKKKAAAGGGGCRELLCEEGEELRGTIRAVQRLREEQRGMEEELQAMDRRLRAAESRPGQMMAFLAKLADEPGVVLRAMLAKKEELAAGNGSPPGTVEAPGKRRRIGADQAGAGGAADLAQSRRGAVPFPFSVLGQVFY >Sspon.08G0010950-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:48118463:48119863:-1 gene:Sspon.08G0010950-1A transcript:Sspon.08G0010950-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMEMMGWQIPAFGMWNYCNDLSITQYFDSAMQARLMKRCWSRRGSDAGAAVVVGEKGGVACGDEQLVLFRTPSFQRKPAAQIKVIRREVEKHCDGDELQDGGGVRPDKVVAYPVKRKVVISKAVDEDLYKVPQPPLYQKPRKMRKVVWSMWIGCLGLDCIA >Sspon.06G0008750-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:46543034:46547500:-1 gene:Sspon.06G0008750-1A transcript:Sspon.06G0008750-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding QESGQESEDCEDEEVISKVANVAHTKNPTRSGNTSVRGTKASREWWHLRNKIKNKGFSEPIKKAIADMEAIMTELVQDEEQPKSVNHVVSQVVKSTTFLQVEFNQTPTIALKVALPQRCNSFRLSLKLKKKSKEDLRHEFEAMKKELEMAMETLKKEPEIARAKQGQLKSIKVKWLSRSQTSRLVPMPKIPNSKSILRVRELMEHPSYSENCPPDH >Sspon.07G0003110-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:7622560:7623820:-1 gene:Sspon.07G0003110-1A transcript:Sspon.07G0003110-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDFNGGWSMQYAAADPCLPSRPGDDGGLLLGAFLGGGFDLHSDHGGLNLPSTSCPATAARLFRFDGFMGLDTADMLASVTGALDDGGLLDTFAYGSDVVVAEAEEPAQPTASTTGGNYGNISSGESNTCGGGGGGHDMEATAAPASKRKLGKYPAIAETTSTDSQVAAPRRGAKRDSATLSSSTSITFAAGHGVDHHAAGGSSSLAGGGYEYEPDSEALAQVKEMIYRAAAMRPVHQLVCGAGSEPPPSSQSKPRCKNVRISSDPQTVAARLWRERVSERLRVLQRLVPGGSRMDTASMLDEAASYLKFLKSQVKALERASPSNGGYHNGSLLPQSYTASLGVGGDGTGVAFGRDGAIGGYVNSNRNMHL >Sspon.01G0045070-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:80469748:80471018:-1 gene:Sspon.01G0045070-2D transcript:Sspon.01G0045070-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRLPAALCGGRAATRVRRKRVQRATYSSPSSKLPAPAMPSSDGGKAGSAAAAGGGGGGGCYVNGNGALMVEVGSSKKGGGGRRIMVLADGRAEAAGALQWALSQAVRSNDTVVLLAVAKPIARDAVSDSCVKMLGTKSQQHLAALRTVCESTRPEVKVETCAVEAEERAPAVVDAARRHGASLLVLGQRPRRHAVARWLQAVLWRRRRSRGSSSATGGGGMVEYCIEHAPCVALAVRRRSSGGYLVSSKHHRDFWLLA >Sspon.07G0035900-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7D:17808159:17808345:1 gene:Sspon.07G0035900-1D transcript:Sspon.07G0035900-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDRDRVQRASFSLPASFAFIRTCQAIELFRSTDHSLLSNQVTSISHPIHRFVFYLFQEHAK >Sspon.01G0049170-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1B:111809842:111810113:-1 gene:Sspon.01G0049170-1B transcript:Sspon.01G0049170-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding NNLTIGARVVGPRIADFGMAKLMARNSSRVLTTTRGAVGYLAPEWISAGCPHAQGRRVHLRDAAAGELVREDELVGRMRQWLYMGLPRMM >Sspon.02G0027200-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:98721119:98721990:-1 gene:Sspon.02G0027200-1A transcript:Sspon.02G0027200-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEADPRSLGARVRSVGDLGPGFIPHTRAPTDVRENGCLATGAQSAVYVSECRKSSRFGFIRVQEVPSSDRSFGQE >Sspon.06G0032730-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:771749:772275:1 gene:Sspon.06G0032730-1D transcript:Sspon.06G0032730-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGGVDMQSWHRFHDCFFLSTRKQCSLLFLVMMRRSSSFMTAQIKKASNTNDGVLAPASVLGPDPDLPTESLALA >Sspon.03G0001750-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:15638535:15641837:-1 gene:Sspon.03G0001750-2C transcript:Sspon.03G0001750-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MWALNLKAGGPCLTPRHPQPAARSPLAAGIGSLAVGPMRWRRQPVRWPRLAVSASGRKGNNGREDGDEPRNKASSSGKGDASTPSGDAANGTNQNQGEPKSSDTMYLPSNLSYWRDVRASFVIPKLEQTVDANSPEQTAQDGAAYHLPWKWAHSIPMPESGCVLVATEELDGNGTFERTVILLLRLGSRDAYDGPFGVILNRPLYTKMKHVNPSLGEQATLFGDCSLLFGGPVDMSIFLMKTTEGRRIKGFEEVVPGICFGFRTDLEKAGALMKNGTVNPEDLKFYVGYSAWEHDQLLSEIDAGYWVVTSCSSGLITDALTTDPSCLWTEILQLMGGQYSELSQKPKQDGI >Sspon.05G0038650-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5D:52125251:52127524:1 gene:Sspon.05G0038650-1D transcript:Sspon.05G0038650-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFDRQDIEDDFSGVDDGFRVEIAEVTTEIYQTVRDLSCLVVFHSGSDNTVDMADFDFPRHDWYSKRINKVLWTFSNRRLRVNPDIKENVDSSHLYIYRNIGRLFDHDTVQLILEEARDVVKHIQHKQSIITPEIAAKCITYILWLHEMAGSTMDYNWATHASNNWVCDGIIGQQDHCEDQGQEEEDKQERPIMEFFESLWVLDISHTDWEFNLWQDLSEQMSSNIREVHIKKGRIWNNHLTWRQLQNLRKLRVIEPTSSWETGKKDEFTDMEKLELLDLSGNTTLQVLPSLSSATCLRTLVLDGCVGLDHVGPEGLPPALETFSLDARSDKLLKISLSGCANLESFLLRGELPRLEELNLSGTSIRRIDLGDKVVQVKGLKKVFLIGCKQLRAILWWEGARQLEVLRIVTADARIIQSLLTKEYGTMITSSLYLDLHLPPTSSSNKYKGPSRDKVIPKPCYYTYSDVLLPGASTCDNEMRWPAPLDYHMEVGEGISLTAVESDKGIKAIHYVTLKCIYSLHVHDNSCILAVTPKRPLNSGYRILVLKWCRVERCPKLETMFASSSLRYIFDDLEAIWVSDLPAAACIWSKGSIDDNYKSFEALESIHLHKCPRLKFALPLSRSTYLPRLETLHITQCGDLRQ >Sspon.05G0002650-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:7890717:7899556:1 gene:Sspon.05G0002650-1A transcript:Sspon.05G0002650-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLEETMTSVEGYKEKITELQSSLDSSVSKNQLLEQEVKELTDKCSEHQEQAHSVRQRSLELEDLLHTSKTHAEGAHSRTQELEQELNNTYEKLKGVEEELEQYRSKASQLSDDLEAYQTKAASLEAVVEGASEKEKELMESLSQITEEKKKIEELTAEYKAKLEESLKEKQSLEESLQSQESKVLDLQQELVKLREENEHHQNNIADLNLQLSTNNDMYSQLESQLKEIDDDHSKTKSLLSETQSHKEELELNLRSLNDLHTASKTAAESSMQKISELETQIQELTASEQSLKLQLSELESKLTSAEKTSIDLEQELKAATAECSSCHVKIDELSGELEAYKDKSTNLETSLAEAKQLEAELSEKLAQVNEEKEKFEELSKKTTIKHLEAEKQVQTLQDELESVRGKMQEVENELQSLGIRESSVLDKLKSAEEQLEHKGRALEHATSKKLDLETLYQSLLEDTEAKLQQSADSLTQKETECQQLSEKLKLAEEEAASYQSRATAATEEVEAMKVELEAFESEISTHEATIEELKIKVSDSELKAEQALAELATLSGTNETLKEELGAKLAMLHEVQEQLNSTHAEKEEVAAKLAEHERTVEHLTEVHSRGIELQSAAESRNAEIEAQLREALEAVGQKEAELRNLNEKLVALESEIESLTHVNEALKQEINAKLVMVDELQEKCSSINSEKEELAEKLSIHERKLEHLTEEHSRGLELRSVAESRNEEIESQLREVLEKVAQKEAEVTDLTEKLALLEAENEKLTGVNEALKEEVDAKLAMFDELQERFSSTHAEKEEAAEKLAVHERTISHLTEVHTRTLELHSVAESKNEEIEAQFREALERIAQKEGEVKELYKKLDALEIELGYYEEQATEAAAAEEKNKVKFDEASQKIKILEEQLAGTHSKLEHFLTEKESLAQANSSLNEELEVHQNKLNDLQLALAAAIAEKEEASEEIHSLRKTLDGMIERKAELEIQVSSTIQEHDELKSKYQNTMEEKQMLSDKYETAKKELKDAIAKLEEEMNVDKSEKESHISKLERQITLSEIKYMEEIKTMQVETTEKNEALTAKMQEHADLQHEKDELEQQLLEVRKELDGAYHTIANQEEQASVREIKWDAFRKYSEDRLEAEQQRAEELELQVAALKQQLQEAEIHYKQKEEQVSLREVQWEADQNHSLDELEAQRQYATDLEKQIEDLTQKLQSADVHYKQKTMDAVESRSREFSLDSSTSSVKQQDRTLAADKASPSPTLQEVQEPSGIMAFKFILGVALLSILIGVFLGKRY >Sspon.06G0007830-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:39098323:39101470:-1 gene:Sspon.06G0007830-1A transcript:Sspon.06G0007830-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIACQESGQESEDCEDEEVANVAHTKNPTRSGNTSVRGTKASREWWHLRNKISRCYIAQAHVVDFHCSKNKGFSEPIKKAIEFNQTPTIALKVALPQRCNSFRLSLKLKKKSKEDLRHEFEAMKKELEMAMETLKKEPEIARAKQVRRSEKIKK >Sspon.05G0010170-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:5A:29094890:29095282:-1 gene:Sspon.05G0010170-1A transcript:Sspon.05G0010170-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQESKSLAAEGLNPNRTALGFHNPKLEVGLGLTVWLPSGDGDVGVTCYLEKTSMGRELAPAIEEGRSRERNGAAPGPLQAHVLGLVEEDEEAKATTRAAQEGACRGGGAHAVPARVSPRGMGRAGERVSA >Sspon.03G0021920-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:85502255:85503540:-1 gene:Sspon.03G0021920-3C transcript:Sspon.03G0021920-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase 25 [Source:Projected from Arabidopsis thaliana (AT2G41480) UniProtKB/Swiss-Prot;Acc:O80822] MAALFLLFAALLLRSSSVHSQGLEIGFYDSYCPDAEDIVRSTVEQYYDRDATIAPGLLRLHFHDCFVQASYHFEFHHPVTGGPSWSVPLGRRDGRLSSASGATALPSPADPVSVQRKKFTDQGLTDHDLVTLVGAHTIGQTDCQFFSYRLYNFTATGNADPTISQASLAQLRALCPPNGDPGRRVALDQGSPGAFDVSFFKNVRDGGAVLESDQRLWSDAATQGVVQKYAGNVRGLFGLRFGYEFPKAMVRMSSIGVKTGDQGEIRRRCSRIN >Sspon.07G0037670-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:60699161:60710679:1 gene:Sspon.07G0037670-1D transcript:Sspon.07G0037670-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding IISIISLLTHQMQLAVFVDCKMTIKMPPSPSPRRSLKEISHTREHSFVSILPAKSKDDELTLFKDMQKHERDNFLLEPAEDFDESISKLSYFRDLKLGVNIAARGENRDLLNADGERNDYDWLLTPPETPLFRSLDDEEDQSIGMAHRGRPQIKPISISRSSTMENTRRSNRSSASPSRLSPSPRSCSSTVLTRTRSSNSSSRSSPALHLQPAAPSRRSSTPPASKTSTPPRRSPSPASRRLSTGSSDPILNRKGGTSPIKTSNRPSSPKLQGWQSSDPGFSFDAPPNLRTSLSDRPVSRSRGGSPSSFSGLDMNWRGRRQSMSPTPSRRASSSHSNDRFSSYSKASATSSAEDDLESMQSVPISYSSSPALRKNLSVMKSRTIASPKKPSKSFSPSSAPKRSFDSAVWLMDRRKAPQDKFRPLLSSVPCTTFGVGKGDNVHSSMLSHNSSLTTSSNLSSDHGVTFSPCMGNEQEPSDIVGDCEATPSSLIHDDIFMFDQLDEGSSGHQHSLSATQSGPKSPSTEKYAESNIEGLNMERSRIAQTSCNVASGSKAGQTKMATCTRCGKLFNAIEDDGEVDFCEECGLVDEVLFVDPKIETSEEEHRKDYRSSKPCVALEAPLITPDHVEHIKKSSLDSQLVNDEPPADCLQKCPQSQSTMDTTNRMHGENVTENLWPNDVGNSSRGNSLDISSHQCSINNHQQTEPASVVECDNLRDQTANHHNEVFQCLPGSVYQSIEFASDTLTIDSSCKQGLAGHSNLKVENTEGAGISVLLLQKSSSNKWPVIEGRPLAGTNIICSEPYYTRDGVSTLKRTIGLDSSSVSSSIDQGSTRQSGHLERLKSSNRHDFEKSQISSTMSCKSIASMSDMSTSTCSVSVCPRGDTIVDTGFLTDNSESSVPRTMICTEELNESCKYTLSSAIECWSAAQAIVNVDIGSTEDVVIQKQCTGRMAHKDNISDHSCSSDTETCSNIPLSLAPEESCIQKIEEGTSVGTPEHPDDDCGIISYRMQHETIPEPDEANRLDDGCVSVISEEDVLIPAAEANIMGLPGNGM >Sspon.05G0027130-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5D:47757791:47767202:-1 gene:Sspon.05G0027130-2D transcript:Sspon.05G0027130-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VDEVRATPLSRRTTKCWSTQRVLACWRAREPREKNRVSIVIDSLDFSRMQAEKKGMTMVWVMLGGVPRHRPTARRAPSCRPRSGSSASRRCRPRSSPRSVAACSWWSQQPSWRAGRGRCAAAVLIIPRRRYAWLAMGIRPAVSARLGDGGGSDLGAGSSLWRTDLVGDDPERLAVDEEGRPGEGLRATEVSIAGVFAVDADASAFEA >Sspon.04G0004940-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4A:14345366:14351058:1 gene:Sspon.04G0004940-1A transcript:Sspon.04G0004940-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDYGVPRELSEVQKKRALYQPELPPCLQVRTSPLLLLRSVRAPLPASGFRSAVSRVKRRQIPHCPPAGSGTTVRVEYGDAAIAADLAGAHVISHVFPHTYGQPLAHFLRKTAVVPDAKVISEHLAVRVGIVFCGRQSPGGHNVVWGLYEAIKAHNQNSKLIGFLGGSDGLLAQRTLEITDEVLASYKNQGGYDMLGRTKDQIRTTEQVNGAMASCQALKLDALVIIGGVTSNTDAAQLAETFAEAKCATKVVGVPVTLNGDLKNQFVETTVGFDTICKVNAQLISNMCTDALSAEKYYYFIRLMGRKASHVALECALQSHPNMVILGEEVAASKLTIFDITKQICDAVQARAEKDKNHGVILIPEGLVESIPELYALLQEIHGLHGQGVSVENISSQLSPWASALFEFLPPFIRKQLLLHPESDDSAQLSQIETEKLLAQLVETEMNRRLVLGHVCYHIIAAGLNGYMATVTNLKSPVNKWRCGAAPISCVETEFFSFLLEDVYRNPGPLQFEGPGADSKPISLCVEDQDYMGRIKKLQEYLEKVKSIVKPGCSQDVLKAALSAMSSVTETLNIMTSSSNGQTL >Sspon.05G0017340-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:68071642:68073579:1 gene:Sspon.05G0017340-3C transcript:Sspon.05G0017340-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKPHSHGPTVGTDDTSEKPTVGRPSQKHTEWSSQVSIEHPATANPQSHQSIINSARSQVGSDPPPWGTPSSASGEKREDGHFHGRRDRYPYYQPQYYSYGGGDPHPPAAAPRPHQQGLAAGPHGFVTFTPLTQTAGPNTGHQQRSSGVHDSRVVASQYQSNLQSSSFCCLSMSTSQHRGHRRVVRRGPVQRQELRQGEPAADPGHRAGNHQEVLAAIRQGTRITRKLVLILRSHHAVGKHLATPLQVSNVWIGSTGGSVKLRGVSFTPKGFGIQRVRDDYKQLSRLLQAIIRISGGDAAIARLPPDYREFLALLGSDTLAMDDEFLIHGGVPDAARQDREAPWPDGPGEEEEDPLGLPYESDWLETARANERINQWVVNVQHHEYRRTQSDLLRLNRNVRSHLHEYNDDGGRIEEALYCEWPELLMAMVKLLHLEGELEGTDIENKFG >Sspon.08G0014420-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:59082635:59088442:-1 gene:Sspon.08G0014420-1A transcript:Sspon.08G0014420-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEAGAKKKLKLEGVGKMIGVGKAKQYANVLDKPLSRGRQEVSLSAFAFLFSEMVQYNQTQVDNITELERRLEDAGYAVGARVLELLCHREKGNRRETRLLGILSFIHSTVWKVLFGKVADSLEKGTEHEDEYMISEKELLVNRFISVPKDMGAFNCGAFVAGIVKGVLDNAGFPAVVTAHFVPIEGQQRPRTTILIKFAEEVLHREARLG >Sspon.01G0020230-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:74838277:74840904:1 gene:Sspon.01G0020230-1A transcript:Sspon.01G0020230-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAMKGVIPRTGPFFVAALRLLPAGALLVAFAAARGRKQPSGWEAWLAIAAFGLIDAACFQVHTRRHVDSSRRELLAPVPPRVCLWFLESKARCLFLVAFTGLSRGGIAEDVGWARERKAKSKLPRCSLAHQARQAIALSSWPGELVALTQFNSPFQVIIDSQPLTVAILASLLFGESIGAIGVGGLVLGVVGLLLLEVPALSIEGNDTAIWGSGEWLMFLSAQSMAVGTIMVRWVSKYSDPIMATGWNAMYLYLGETFSPVQLGGALLTLVAIYMVNYKSIIDREHCALVRAWK >Sspon.03G0039560-2D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3D:28108083:28108646:-1 gene:Sspon.03G0039560-2D transcript:Sspon.03G0039560-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVSYFELARATNNFDSDNLLGTGSFGKVFRGILDDGQIVAIKVLNMELERATMSFDVECRALRMARHRNLVRILTTCSNLDFKALVLPYMPNGSLDEWLFPSNRRGLGLSQRMSIMLDVALALAYLHHEHLEAVLHCDLKPSNVLLDQDMTACVADFGIARLLLGDDTSIVSRNMHGTIGYMAPGM >Sspon.07G0017890-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:69351653:69363095:1 gene:Sspon.07G0017890-2B transcript:Sspon.07G0017890-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFTAHRSACLCFKSSLHIDPQDGHTIVDNTRHPVSLRKQRKFKLEGNLPVQTGWRTYRRPDDKYGGHRVGWSPIIPYSFKVPDGWDEVTKAMDAKSVNKTLLNQSTFCKAETCSARNIVGQEIEAGIEKTQQHMPDENRFLHEIVDFHQFTTHNVVWLDDNGDMEDGEPEEDNNLMYAEKVLPVVEENSADEGHDLVLSRLESLMVSRGEESSPKSCNFHNALKLDASASDLA >Sspon.03G0044150-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:89203465:89209025:-1 gene:Sspon.03G0044150-1C transcript:Sspon.03G0044150-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMWAGGPPSTNAHIVAFVLPLSLFVVVRVTNGLDERFVRERTTRDNEANTAYLSASVGFSSSQLPSVLANAARLDSSESSSLDVDPGSPNADLISLS >Sspon.08G0011300-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:47248815:47250385:1 gene:Sspon.08G0011300-2C transcript:Sspon.08G0011300-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLSADSPLGLQTLISADADASSTGGGNVATRTIAASPSAVRCLAYGCEADGGRDGKEQQERGGGCWVSYGWRRLPRRLPSAIQSPRPLVRERTADGRLVISREEAALRVCARKVEDRRLVLELVDERDGGVAPPPQRRRRRSHPLVGQEAKESASAAGEESAPAGAPTTSPVAAVPVPPVPAEACFEGAIREASLRETRMSLLRMITDLPGDDGLEARLAKYGYEYRYDSACVEERTDNVDVVQPNASNALISMANQRASGPAMVWSEKVIDDPACVEKR >Sspon.01G0033620-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:114842886:114847162:-1 gene:Sspon.01G0033620-1P transcript:Sspon.01G0033620-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFHPFVLIHPLQAKQMVTHLLVSCCLPAPASSSSSAPPDTKSKSKSKSRGQGHTRVGRSGGIHNARSSSWLAAVPATPSAHAVLLTSGHLSSRASANSLLRAAPSPSACALILRLLLLHRLRPDHISLSFSLHSCARAVVVPTTTTRNTLTALFHSLALRLGHARDVYVANAALSSYFAASADRLFAEVSAADVVTWTTMLTGHASSGALDRARRFFDAMPERNVVSWNAMLGAYATAGMLSEARDLFDAMPQRNAATWSSMLTGLVLSGRCGEALRLFHDMVRGGAVPNEPALVSVVSACAQLRSLEHGAWVHAYAEQELQGAMSVILASAIIDMYGKCGGIRSAVRVFAAMPVRNVYSWNAMITGLAMNGGERQALSLLWKMQMAGVRPNDITFIGLLSACAHSGLVNEGRRLFDSMIEDFGIQPVQQHYGLMVDLIGRSGRVRDAMFFVKSMPVEPHPGLWGALASACKMHGELELGEELAKKLIELEPRHGSRYILLSNLYGSANRWDGMAFVRKILKRRKVPKGTGNAVVGMTYNIDFVLRSSELATLAAIFHFRNYNPKDGRRGKPITKEEAMKELIEVVTKTKPDNFTPRIVDKTDDYIRVEYESPIFGFVDDVEFWFPPGNKPLVQYRSASRSGFIDFNANKKRVKELRLALEKKGWASESNF >Sspon.07G0022730-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:10093932:10095449:-1 gene:Sspon.07G0022730-1P transcript:Sspon.07G0022730-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTPPSSRDPSPQPRRPASSAGPATKRGGLLLGRYELGRLLGHGTFAKVYHARHADTGETVAIKVLDKEKALRNGLVPHIKREIAILRRVRHPNIVRLFEVMATKSKIYFVMEFVRGGELFARVAKGRLKEDTARRYFQQLISAVGFCHARGVFHRDLKPENLLVDERGDLKVSDFGLSAVADQFHPDGLLHTFCGTPSYVAPEVLARRGYDGAKADIWSCGVILFVLMAGYLPFHDQNLMAMYRKIYRGEFRCPRWFSKDLSSLLNRLLDTNPETRITVAQIMESRWFQKGFRPVRFYVEDDQVHSLADSENEVPELGPSEPPPPPPPPPTTLKEDDGDDSGWESDSSVASCPATLSSEERRRPVGSLPRPVSLNAFDIISFSRGFNLSGLFEERGSEVRFVSAHPMQTIITKLEEIAKVKSFAVRRKDWRVSLEGTRESEKGPLTIGAEVFELTPSLVVVEVRMKAGDREEYEDFCERELKPGMQHLVHHTASVPDIPSDTE >Sspon.03G0031160-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:24706781:24710421:-1 gene:Sspon.03G0031160-1B transcript:Sspon.03G0031160-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQQQTNPEEVVLGQEAGGARVITLNRPRQLNGISDRVVYLLAQFLEKWEKDENAKLVIFKVALVNGLVMGGGAAMVAPLKFAVVTEKTIFATPEASVGLHTDCSFSYIHSRLPGYLGEYLALTGARLNAKEMISAGLATHFVSSEKLEELEKRLLNLDTGDESAVRAVIEEFSTDVQPDEDSILNKLSTINKCFSAETVEDIIKAFESEASIDGNQWIAPVLKGLRRSSPTALKITLRSIREGRKQSLPECLTKEFRLTMNILRSVVNGDVYEGIRALSIDKDNAPKWNPTTLEEVKNEDIDRVFQPFSSEQELQVPSDDSNRWAVTYSPQISVAHITHGSYLDS >Sspon.05G0019130-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:83852218:83855481:1 gene:Sspon.05G0019130-1T transcript:Sspon.05G0019130-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MYTNLHYHHHCEKEHLFEKPLTPSDVGKLNRLVIPKQHAERYFPLSGDSGEKGLILSFEDEAGKPWRFRYSYWTSSQSYVLTKGWSRYVKEKQLDAGDVVHFERMRGFGMGDRLFISYRRRGESATVAAAPPPSAVRVVAPAQSTGGEQQPWSPMCYSTSGSYPTSPANSHAYRHSVDHDHSNMQHAGESQSDRDNRSCSAASAPSSRRLRLFGVNLDCGPAPELEPDTPTAINPTYSMGSGSRHAWEVSGNIKLLAARMQNLGLPKKEYNDNAMMVGH >Sspon.01G0019910-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:74032916:74036250:1 gene:Sspon.01G0019910-1A transcript:Sspon.01G0019910-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Forkhead-associated domain containing protein [Source: Projected from Oryza sativa (Os10g0487300)] MVWALTPVDTARGTQKRYIFAAGTYKVGRKDCDVIVQTDTSISRVHAEIAIEKMVAWDPHSGAPASPSFVRVIDRSKYGTFVNKVHGTQGSRLHKDEDMMLTDGDAVTFGTGNATFRLSFVPIVAYFHGGKLARIDPSLHAVMKSIGAYATRKWSNECTHVLVDESSSLTPELLDAVMAKKQIVLGDWFKAIAEKNIHTEIPSCTQYIPNLTLDGMVIKMVDINAIQNCLAGYTFILGSSDKVYQFGEKLPGLLESTGAKYLHIDDFCANSQDSAAGDTDQQILVVPARYPLEFSKIRGLFTLSKISDVKLFAATLSGHLEATSIEPPAFIVTSSNSTDDTIVADSDVEMETATSNPTGAANKSQHHIESISDDEKEITNITNEVAVSISATKGNVIHPKYPEKVEDLKPIEEDVKIIEKTAMYRSTARDEDARIFNKAPKDENLDTSRDGASDVIFSQNLVVRSFPQSAPAAPTEVGGVNFKRFRKRETVSGNSFKDLVPFAREPYRQELERLPVNFERESDYERGTLTDFMREEKQRKQMEAIADDLFNNAKVPAYAKFILPELVMSFA >Sspon.04G0013380-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:48054703:48060641:-1 gene:Sspon.04G0013380-1A transcript:Sspon.04G0013380-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRKEKAAAAAAAAHEQGWEGETERATGAPTDGSTLIRTTRQRANRTSSRRSVVPFRSAAPIQNPRRKTPRRRRRRPVGQARQRVACAAQARGRGVGGSRRLSVAEGRGARARRAPVVGSSFPSLSPSLAQSKKQKQGAKGRAQRGAEPSNRPALDLRSFREAATAAPTDARSSVITPDETDCPGLQIGERQRDGAALRGEGTEEKRKLEEEATSDAAPPAEEVEELPPPEESGGEKKGNEEEEEAAAGEEGHAAVDGLPIVPRMVDGKRRPGAIFVLERACLEVGKVGKTMQILNSDDHANYLRKQNRNPADYRPDIIHQALLAIFDSPLTKAGRLQAVYLLQKLSITAVGKREKLLNVVKNPVTRYLPVGARKIGLSFSAEKSVNLFDYVAKSSDDEPLVFVVGAMAHGKIDKEYTDDYIQ >Sspon.06G0025730-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6B:71032127:71032429:1 gene:Sspon.06G0025730-1B transcript:Sspon.06G0025730-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RYWNYTYITFGYWRLSSTAPTSTAAALARRGTGGAELPLTRTAHAAAGELPWETTPCLRPRSARARALPMAALTDMDCYALWVWSPAALTATLSTDERSK >Sspon.06G0018610-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:65929516:65932244:-1 gene:Sspon.06G0018610-3C transcript:Sspon.06G0018610-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKSRLLLAHKLFSAMLSLLLHGRRPPPHSKSSSTASPVVHRGAAGPPTVQDAAALVVDVDTALLRSGGDLFPYFMLVALEAGGFLRGLVLLLLCPLIRLLMPHGVAVRAMALVSFCGLRAGGQFRAGRAVLPKWLMEDVAAEAFEAVHAAGARRAVCVTAMPRVMVDGFLREYLGVETVVAREMKVVWGFYTGLMEELPEGGEMVMKAVLAAEESDRAVVGFSGSMEFLNHPLARCCKEIFIVSADEKSRWQPLARDKYPKPMVFHDGRLAFRPTAADTAAMFAWLPLGVALGAARLAVALTVPYRYSTPILAATGMSWRLKGSRPALPGGGRGQLFVCNHRTLIDPVYVSVALDRQVRAVSYSLSRLSELISPIGRTVRLTRDRDSDGAVMARLLNRGNLVVVCPEGTTCREPYLLRFSPLFAELSDDVVPVGIAVETSMFYATTAGGLKCFDPLYYMVNPRMCYTVQFLEKVDTSAVRSRAAPSTDMANLVQRKMGEALGYGCTKLTRKDKYLMLAGNDGIVRSTGDKSAPSAGAAAAEIGRKNN >Sspon.05G0029890-3D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:5D:78022798:78023985:1 gene:Sspon.05G0029890-3D transcript:Sspon.05G0029890-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFNIPHCKSQAPVTAAAAVAAFSIPRFSSASLPLPALVPLPPPPPPPPPTPLPEESPFAALLASDPAPPEPLRQVLATGDVHAALRGLPGLARQLFRWAEATPRGFPRSASAFAAVLVPLAHANHIRAAYPVSLRALHLGLLLPVLSLLLAAPLSPALRSLLSLLLRLSAKFSTKREARDATPAACSTLCLAAFRVVAGQGVAPDVRDCNRVLRVLRDAARWDDVRAVYGEMLQLGIEPTIVTYNTLLDSFLKEGRKDEASMLLKEMETQGGGCLLNDVTYNVVISFLAREGHLENAVKLVDSMRLSKKASSFTYNPLITALLERGFVQKVEALQMEMENEGIMPTVVTYNAIIHGLLKSEQVEAAQLKFAEMRAMGLLPDLITYNSLLNGYCKA >Sspon.03G0036620-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3B:91328533:91333506:-1 gene:Sspon.03G0036620-1B transcript:Sspon.03G0036620-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFDLYSIFSYLIVKIHFQMLCCKASSNHHANGSELSKLLL >Sspon.01G0045120-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:87136283:87138407:-1 gene:Sspon.01G0045120-1B transcript:Sspon.01G0045120-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSAVEKLKALWDSQVNDEEQWALNYKLLKAAGLFAGSIFLMRNFGDLMAI >Sspon.08G0016160-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:58352012:58358156:1 gene:Sspon.08G0016160-2B transcript:Sspon.08G0016160-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPLARRLLHPLPLPRGARALHPTPSPPGDPGVLACRLASRAVVRFAGPEAARFLHSLLTNDFLSAFSAAGASAPQRYAPTPNSPARGPAAPAYAALLTPQGRFLYDLFLYRPPPPSQMLDRTGSAPETGEVPEGDRGEPQEVLADVDAAEVDDLVACFKRYRLRSKVEIDNVSENFACWQRFGHNVVHTEPSTQEPEAQSIGWGQGVDHAGESAAQGNGHGWQWLKDPRLDYLGYRGIFPADTIPPLVESDKEADERHYQLWRIENGVAEGSTEIPKGEAIPLEYNLAGLNAISFEKGCYIGQELIARTHHRGVIRKRLMPMKFVDENGQELEQAVAPGSEVIDESSGKKTGTVNTALGSRGMGLLRLEEALKPGSALRISDNRDVRVQAIQPDWWPAEWTQVLQQQSAAA >Sspon.08G0010340-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:43548774:43552285:1 gene:Sspon.08G0010340-3D transcript:Sspon.08G0010340-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTAAPRARLSPPAPASHPPRKRGRSPSSAPGSTASSWRASAENTVPLDHRRRWHNPERAPGRVWQRFRAPQPALPSSRLWVCSEDASTSSSSGDACTIMSYNILADYNAQNHPDLYLDVPWDALRWDSRRRLIIREIRHWDPDLVCLQEVDRFQDIAAGMKSRGYEGIYQFVIIRGELEILEMDVPCFGSQNGMDDALPFIILIDLNKMVFSLHLCFQMLHLLEEDSIDFSEFNLRNNVAQICVFELNGTHKFILGNIHVLFNPKRGDIKLGQIRMLLEKANALAEKWDKIPIVLAGDFNSTPDSAIYKFLSTMKLNISLHDRRQLSGLDSSEFGLYELCSLLKYQWTDEEVRNATGCSNVVVAEHPLKLSSSYAMLKGNSNNRGLHGEPLATSYHKKFLGTVDYLWHTRGIECSRVLDTLPIGVLRRTRGLPTREIGSDHLPIVAEFAFPESVDDDSYEEDESEQDDESEKEVSEAQHLLFSSDSSVEVT >Sspon.04G0030140-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4B:75743772:75747644:1 gene:Sspon.04G0030140-1B transcript:Sspon.04G0030140-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPIVRSVAAPSGAAVDHERGSNSMMWMGDKRRRTIGGPSPRPLSLGSSSDDTTDAMLMDYDRALVAQAFHLRSDCTLAKGKDREEFKPQTDARVRRVVDRRVQSLAEPARPVTHPGVQHGGQMRSDAVARPVTFDRTLPVMSGSLLDSDRTRLARPMLEEGSLNWDDTTAVVPASEYPDEVFDWDNSFELGPSIFGWT >Sspon.04G0017390-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:69258773:69262327:-1 gene:Sspon.04G0017390-2C transcript:Sspon.04G0017390-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatase IMPL1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G31190) UniProtKB/Swiss-Prot;Acc:Q94F00] MARYLLAPTTSSSAGLLPKDAAKNSPTSRPLRLRALGSRTSKARPIVAVASEQAAPAARYPKVAAPTTGPIPAAELLGVIEAAAKAGADVIMEAVNKPRNIQYKGVADLVTDTDKLSELVILEVVTKNFKDHLILGEEGGLIGDSQSEYLWCIDPLDGTTNFAHGYPSFSVSIGVLFCGKPAASTVVEFCGGPMCWSTRTISASAGSPINYWLCLFMLEDSRDFIFMSFLSLEQEEELIVMGKRFMSVRQTSLFSLLEVDMHFPIMFICECKPAAATICQGLRGHVEQSLLVTGFGYEHDDAWTTNINLFKEFTDISRASGVRRLGSAAADMSHVGLGITEAYWEYRLKPWDMAAGVLIVEEAGGVVTCMDGGEFTVFDRSVLVSNGLVHGQLLDRIGPPTEDLKKKGIDFSLWFKPDKYPTDF >Sspon.08G0019530-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8B:12687785:12690354:1 gene:Sspon.08G0019530-1B transcript:Sspon.08G0019530-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATRRAFLHCGVDGIARAAPGEAELLPQVGRRPDEGASSMIFGFPMPVVPRRSAAVTQQLFPATAAAPAQQQATEEQWQCHVPAGCSAAEQWVRSASRKSRRGPRSRSSQYRGVTFYRRTGRWESHIWAYDQAAIKFRGVNADINFTLDDYKDEMKKMKSFSKEEFVQVLRRQGAGFVRGSSRFRGVTQHKCGKWEARIGQLMGKKYVYLGLYDTETEAAQAYDKAIIKCYGKEAVTNFDAQSYDNELQSQSWDDGELDLELSLGCAGGSDPSTVAVGAFSSAPSSKQRTMTLTLDLPAVAEGEETSASYPHRSILSRRPPPPTAAAGMFWRPADDHVHVHVQHRRHPGSGSGDDDTLHMQLQM >Sspon.08G0007880-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:26119317:26120063:1 gene:Sspon.08G0007880-2B transcript:Sspon.08G0007880-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLPWLDLPFTFLTLLLATRLAYDYYGDGAAAFAGGFSIQVFLFYCFARWYRYAIAGRAGDDAGGRDDPSPSVRPPQQQQGDAEAPPVLTPLLGSPDGVRPSTLANRCFAVVFMVFVPLVIVVFERSQADVVAYALCLANIIVMVVWLSPDTGSTVSAAKSFLRLSDDEDDGSGGSAGAEDKCCVCLAGMREDQALRALPRCRHRFHDKCIGKWLKAHPTCPVCRATAVPPPLWSGGSDPLDDDISPV >Sspon.02G0054220-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:96043074:96045785:1 gene:Sspon.02G0054220-2D transcript:Sspon.02G0054220-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLSLWKPLSHCAAVLLAKNHRRRGGGGGGHGGGSNGHRDDPSSFLRQLRDALDAASEDGSLCPPPDTAGADADAAVSRSRSLARLRAQRDFLRATALAAAAGPFRSLSDLPLLPHAIATFIAMYPDYASTADVDRLRVDHYSHLDAPGAGRVCLDYCGFGLFDSSWDSSSSSFTLHELNANLSNHALYGGAEPGTVENDIKERILEYLNVPASEYALVFTVSRGSAFRLLAECYPFETNRRLLTMFDHESQSVNWMAQSARAKGAKTRTAWFRWPTLKLCSTELRKEIVGKKKGRRRDAAVGLFVFPAQSRVTGAKYSYQWMALAQQNGWHVMLDAGALGPKDMDSLGLSLFRPDFIITSFYRVFGADPTGFGCLLIKKSVIGTLQGRNGCSASGMVRIVPVFPQYLSDSVDGFDAFDGLEDDAGINKDEKPSSNAQNGSQLPAFSGVYTSAQVRETFESDPGRDSSSDRDGASTIFEETESVSMGEVMRSPAFSEDCSSENSFWVDVGQSPLGSEKSGQFKKGKLGSPLPSSWFNGRKCNKRMSPNLTSRISRSPLYDGHVISFDAAVLSVSQDADCLKEDPEEEIFENGRRTHFRQVSEIQEEPEVEEVACQRAMNGGAEHKESAIRRETEGEFRLLGGRDGNSRFTGGRLFGVEEIDGGLSMGRRVSFSTEANIIADRLNRASDAAEASGYTFRDDDGCASDGYDDAQDWGRREPEIICRHIDHVDMMGLNRTTLRLRYLINWLVTSLLQLKLPDSKGGDGVPLVHIYGPKIKYERGAAVAFNVKQNDGTFVNAEVVQKIAEKNGISVGIGFLSHIKVDMNQKQLNDMLDIPEASFYKNGRRDNKRLTIRVEVVTASLGFLTNFEDVYKMWAFVAKFLDPSFLESERLTIAADHLEGQN >Sspon.03G0027490-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:5939099:5939782:-1 gene:Sspon.03G0027490-1B transcript:Sspon.03G0027490-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding WRCTRRRRWGSGCGATCARPSSWRATASASSSSACTSSAPTSAAAQRPSRAPSPTSSPTTTGTTPTPTRFAAATTSSPAPTAPRRPPPPAGAASPTSPASARTRTSTGTSTTGTAPSPSSTPSPRRPSPGSTTTRHRPGTLTSRRGRRSTRMPARRRRRRRPRCCSRAPAASSPCGCPTTRPRTKASATRPWTPTRRSSSAASTTSSAARTPSRCSPTTCRKPSPPRE >Sspon.07G0025200-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:38672044:38677288:-1 gene:Sspon.07G0025200-1B transcript:Sspon.07G0025200-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQMLMLLSLRPPPAAAHLLAAAPARTPLLRARRPAGPPPASASASAEFPGSVPDSAQMPPRRRRRRSVAGIDQDELLDPDALADPDSSFYEINGVRLHHKVCSHEDEDEVEDSSSDQPSGSSTIASDAVRNSQIGLPVLLLHGFGASVFSWSRVMRPLARMVRAKVLAFDRPAFGLTSRASWSTDDTKLLNPYSMAFSVMATLAFIDYLGAEKAILVGHSAGCLVAVDAYFEAPERVAALVLVAPAIFAPRKVVKEDQAGEQEGQQTQNVPNDENSPPNLFARIWGGFLQLWKHIAGLVFKIITVIQGVVRSFCLKALVAFLRSSLGAALPLKSKGWETALLEHTISMIIDSASASRVPVSKRLSEISCPVLVVTGDTDRIVPAWNAERVARAIPGATKLIDHRNGKRVNAYEVIKNRIEK >Sspon.02G0021960-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2B:92331867:92333747:-1 gene:Sspon.02G0021960-2B transcript:Sspon.02G0021960-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MIHATVLMFVVGIIKYAERTFSLYSGSVDGFRNKILDPPEPGPNYAKLMTEFDSKKKAGISVEITIADGEASKAQKEMEKEETKRLVEKVKKSVEARAYEFFLTYRRLFVNLILSFKERRQSQAFFLDREDTSPKEAFEVIEVELNFIYDMVYTKAPVAYTRAGWVLRSVCSACLVSALLIFFFLDKPGHQILPVDVGITYALLLGGLALDASALLMIFFSNRAQVYLELSRRLQWLAWLRRVTKLWRTRRWSGKTSQLNLIGYCLGKPGSYSNKGGRRWLHKAAEKLHVEDIVDDFVFIRRVPLKPSSKQQADGGKEAEPEDSALLSFIFQGLKDASHELKKEKDIKNAIMKMCNCRGKHVIERRAEDIKKAIRNNDEVERLAKDKINKPLENKDEAERLCMDDIDKIKAEVVEEKFKVILDSVVQSDFDESLLLWHIATDLCLHRNYQGPMLEKATEMQAIGETLSEYMLYLLIKQPEMLAATAGIGLLRYRDTCEEARRFFGSMEAWIDENNDARRMLLDVNTTQKPSVVKGDRSKSVLFDAVILAKELRKLDDDELMWEVITQVWGEMLTYAAGKCRGSMHVRQLSRGGELITLVWFLMAHMGLGDMYQIQEGDSKAKLIVKD >Sspon.08G0014760-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:59948851:59950501:1 gene:Sspon.08G0014760-1A transcript:Sspon.08G0014760-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPQPSLLGNTVSIGAGQRRRHHSDSPAPLVPIPFTCGNETAPKCPGPPALQPPSPPRTTLRPPGDDPAPSCPEYFRYIHEDLRPWRGAGITREAVERARPHAYFRLVVVGGRAYVETYRRAYQIRDVFTQWGILQLMRRYPGRVPDLDIMFACDDPGQVRAADFPTTPSDAPPVFRYCKDALTLDIVFPDWSFWGWPEVGIPPWPQLLEEVRQENERVRWPERQPYAFWKGNPEGYRIRHELLRCNASNGQEWNARLFTQNWHYAIQNGFKDSRIPKQCIYRYKVYVEGNAWSVSEKYILACDSPVLFVTTPFQDILSRGLVAGKHYWPINREHICKSIKFAVDWGNEHPAQAQLIGKQGSQFVREEMSMDYVYDYMLHLLTEYAKLLRYKPTIPENAVEICTESMACPAQGLHRDCMMDSMERHVAGFDPCTLPPPFTAEEAKVITDREAEVLRKVEKMEG >Sspon.05G0031350-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:4549247:4549516:-1 gene:Sspon.05G0031350-1C transcript:Sspon.05G0031350-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRMAGAALLRHLGPRLFAAEPVTGLAARGVMPAAARILPARMSSTAVEAAKEAAAPEEQRQKLEARRRRGRTRRPSSATGASSRGSS >Sspon.08G0005150-1P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8A:14871886:14872086:-1 gene:Sspon.08G0005150-1P transcript:Sspon.08G0005150-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSTSLSTPETEVNSLMQQVADDYGLEVSVGLPQAAAHAIPAAKDKEKVDEDDLSRRLAELKARG >Sspon.04G0013440-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:48162688:48166564:1 gene:Sspon.04G0013440-1A transcript:Sspon.04G0013440-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAPLLALLLFLSGTNNYLGTLARADREPYGRDFDTHRPTGRFSNGRIPVDYIAERLGLPFVPPYLEQNMRTGAADVGFTNIDGMIQGVNYASAAAGIISSTNSTSSPSSSPRPAPLVPALFVIGDSTADVGTNNYLGTLARADREPYGRDFDTHRPTGRFSNGRIPVDYIAERLGLPFVPPYLEQNMRTGAADVGFTNIDGMIQGVNYASAAAGIISSSGSELGMHVSLTQQVQQVEDTYEQLSLALGRRRRSVFFVSIGSNDFIHYYLRNVSGVQMRYLPWEFNQLLVSTMRQEIKNLYDINVRKVILMGLPPVGCAPHFLEEYDSQTGECIDYINNVVIEFNYALRHMSSEFISQHPDSMISYCDTFEGSVDILNNREHYGFVTTTDACCGLGKYGGLIMCFLPQMACSDASSHVWWDEFHPTDAVNRILADNVWSSQHTKMCYPLDLQQMVKLKL >Sspon.01G0004400-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:11106108:11107283:1 gene:Sspon.01G0004400-3C transcript:Sspon.01G0004400-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEQQQQPAKAAAGGSRFAVTCGLLRQYMKEQGGSGATRCLAPAVAMGLMPEADAAAAAATEERTTVLELFPQQAGTLKDEQQRKREEPADGRAPLTIFYGGKMVVFNDFPAEKAEELMQLAGSGGNTAPAAAHQNAMGQPSLTDMPLARKVSLKRFLEKRKNRLTAADPYPAAAPAVASESPTKQPPAVKDEGAPWLGVSSALSLS >Sspon.02G0037060-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:34810538:34811062:1 gene:Sspon.02G0037060-2C transcript:Sspon.02G0037060-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRTEGARWSGETTVVCVTGAGGYIASWLVKLLLSRGYTVHATVRDPRDPKNAHLGRLEGASENLRLFKADVLDQNALAAAVSGCQGVFHLACPVPTDKVLDPEASATLFIALATFSFFARDSLLNLAL >Sspon.04G0018380-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:71668976:71672535:-1 gene:Sspon.04G0018380-1P transcript:Sspon.04G0018380-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MREEGPWGPPPAPAPPSARLSPAPLSLVVLLLLAGPSSCWCQGREGGAEVARMGLAGDGSADTAHLSNSENGRFSYGVASSPGKRASMEDFYEARIDDVDGEKVGMFGVYDGHGGVRAAEYVKQHLFSNLIKHPKFITDTKAAIAETYNHTDSEFLKADSSQTRDAGSTASTAIIVGDRLLVANVGDSRAVISKGGQAIAVSRDHKPDQTDERQRIEDAGGFVMWAGTWRVGGVLAVSRAFGDKLLKQYVVADPEIKEEVVDSSLEFLILASDGLWDVVTNEEAVAMVKPIQDPQEAANKLLEEASRRGSSDNITVVIVRFQDGTTTGDKSGEDKETTNDQNS >Sspon.02G0032720-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:105240421:105244713:-1 gene:Sspon.02G0032720-3C transcript:Sspon.02G0032720-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SUF3 [Source:Projected from Arabidopsis thaliana (AT3G33520) UniProtKB/TrEMBL;Acc:A0A178U6Y1] MTLKRPIDRGYLINTEVQKEVWERVLRNLLQVDPTSSSLLLVEPLFNPPALQHATDELVFEEFGFNSLCVADGPSLVHLYEASRQPMLFRAQCSLVVDCGFSFTHASPVLQNFTLNYGVRRMDLGGKALTNYLKELVSYRSLNVMDETLLIDDAKEKLCFVSLDVPGDLHLARLSFKDNPFRCSYILPDGITYKKGFVKDMDEALRYRSLPLDEEAKRKEHSIDINKSEDRKKPELSQNEFVLTNERFLVPEMLFHPIDLGINQAGLAECIVRAVQACHPYLQPVLFESIILTGGSTLFHRFTDRLERELRPLVPDNYQVKITRQEDPILGVWRGGSILASTPDFESMCITKSEYEEMGSARCRRRFFH >Sspon.06G0011250-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:31507068:31507721:1 gene:Sspon.06G0011250-1P transcript:Sspon.06G0011250-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLDERFDLLRGIGEECIQEDELRNLLEKKPVPICYDGFEPSGRMHIAQGIVKTINVNKMIRAGCKVKIWIADWFAQLNNKMGGDLKKIQTVGRYMIEIWKAAGMNLDGVEFLWSSEEINNRAHEYWPIVMDIARKNNVKRIMRCSQIMGRSESDDLTAAQIFYPCMQCADIFFLKADICQLGMDQRKVNMLAREYCDDIKRKNKPIILSHPFLFWI >Sspon.02G0027780-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:121109611:121113572:-1 gene:Sspon.02G0027780-3C transcript:Sspon.02G0027780-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGGGGDPRPEDDPFSDGDTTGSDSDESPQQGMGARRPGATSNPILTRLAVSRNPSPLAAATAAPGVCLLRFAWESAAGSLVGAVVGYGKGLVMMKGFKGSFPDAASSAKIFAVLAGVQSLVACSLRKLRGKDDGINAGVAGCCTGLALSFPGAPQTLIQSCLTFGTFSYIIEKLNKQQPALALPPATGVMDLKAGQSVLPPFTLPLPDAMDEFSKFQNFLSSKFRGN >Sspon.01G0017450-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:67221812:67229533:1 gene:Sspon.01G0017450-2B transcript:Sspon.01G0017450-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNKILVEHELEFGLSLFPNDGSESPRDANNEQVDNAENSGPRNSEDVGIRMDLSDDLLHLLLIFAVVTRVHLKTLTMGKGQLGEAFFLALAECPLLTALTVTDASLGSGIQEVTVNHDGLRELHILKCRALRISVRFADLNLRSPVLSYIKVSRCSALHRVSITSTTLQSLSIVELNSSSLACLSLAGCRSMTFLRLSCPNLQHVNLDGCDHLQSAAFCPVLKLSACKYLSDSSLDALYREGALPLLVELDLSYSSIGQNAIEDLLACCTNLVNVNLNGCTNFQELVCGSDDSSSVDMPVDFCPPSSSPIKSEEISERSGRLLEVLSCTGCPNIKKVVIPSIANFLHLSKINLNLSTNLKEVDLTCSNLFMLNLSNCSSLEVLKLDCPRLTNLQLLACTMLQEEELESAISLCSALEILNVHSCPKINALDFGRLRLVCPSLKRIQSSLIS >Sspon.01G0018650-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:69400621:69402250:-1 gene:Sspon.01G0018650-1A transcript:Sspon.01G0018650-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKVLVAVAVAVLLVVLSKLKSLLVTKPKLNLPPGPWTLPLIGSIHHLVTSPSIYRAMRDLAQKHGPLMMLRLGEVPTLVVSSPEAAQAITKTHDVTFADRHLNATIGVLTYNGTDLVFGTYGERWRQLRKITVMELLSVARVQSFQRIREEEVARFMRSLAASAGAGAAVNLSKMISRFMNDTFVRESIGSRCKYQDDYLDAFDTAVQQTSVLTVADLFPSSRLMQILGTAPRNALKCRNRITRILEQIIHEQVEAMDRGEKTVHESLIGVLLRLQKEASLPIELTNDTIVALMFDLFGAGSDTSSTTLNWCMTELIRYPAVMAKAQAEVREAFKGKTRITEDDLAGAELRYLKLVIKEALRMHCPLPLLLPRQCRETCQVMGYDIPKGTAVFINVWAICRDAKYWEDAEVFRPERFENTNLDYKGTNYEFLPFGSGRRMCPGANLGLANIELALASLLYHYDWKLPDGVKPEDVQVWEGPGLIAKKKTGLILHPVTCIAPAH >Sspon.05G0005120-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:18089579:18090766:-1 gene:Sspon.05G0005120-3D transcript:Sspon.05G0005120-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to Heat stress transcription factor Spl7 (Heat shock transcription factor) (Heat shock factor RHSF10) [Source: Projected from Oryza sativa (Os04g0568700)] MASPAAGTPPFLTKTYAMVEDPTTDETISWNDTGTAFVVWRPAEFARDLLPKHFKHSNFSSFVRQLNTYGFKKVVADRWEFANDGFRRGEKHLLGGIQRRKGTGAVAAVPMSGIPTGMPISSPPTSSGGEPAVSSSPPRGSTAGVSGAVAELEEENARLRRENARLARELARARRLCDGVRHLLARYDQGRGGDEDLPDGDEGHGGGGEGPSGPKPMLFGVAIGAKRIRGADGDDAEDAEDGTAEENGEEHNDEEQDEDDERHAVRERGIKAARRNETSDLDVLTLSVRAAAAARTRGGSRDHKS >Sspon.02G0053330-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2C:104085589:104085801:-1 gene:Sspon.02G0053330-1C transcript:Sspon.02G0053330-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEADGTTIIMANSPLLEYHSNLNSSRQGIGSSTTQAYRQMSSSIHRFNVSLPPLLQGPRCYTDASTMPDQ >Sspon.05G0036840-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:4488680:4492248:-1 gene:Sspon.05G0036840-1D transcript:Sspon.05G0036840-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRILVRATERQDIRNVIHDSNRAMLLAAAVQQKCKVVDLGIAEDTEESLKEHMDAALCSDADIIIASGGISMDDRNFVKRRLAVGEGKLSIKIQVSMKPEEPLTFDEFTTQYTRYANVIVNNDFDMSTFKEEEEETNFLGGQDFDDSEEEE >Sspon.05G0039140-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:5D:63352110:63352326:1 gene:Sspon.05G0039140-1D transcript:Sspon.05G0039140-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARYASPLLHVVCPQSMSPSIHPSSPRFRFSRCRGVEGVAFISRKLLSAALAVEDRSSCEEQDYKLDLDLCQ >Sspon.02G0058400-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:73561266:73564091:-1 gene:Sspon.02G0058400-1D transcript:Sspon.02G0058400-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MREREDADAASASPLEGDLMAWVAAAVAVACRSSAMAPPCGVWAAGAASSFPLLLPRQRKCVRGGWWRRRRQRTVQISGFCKIGDYDEKCACVYTRWEEKGLGFGAISLELASWVDLSIFPLLLLMSGHC >Sspon.02G0006940-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:20817914:20828400:1 gene:Sspon.02G0006940-1A transcript:Sspon.02G0006940-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MIDAGGAHRLGRADICGGRERTLSFELPSTNLPFAVGFWGEVPHSTSPEKKLSQAELTYLAYGTMSLMSPFPGMDLSKMDPATLTLLGAAGCVMLTMHFTVQLVSQHLFYWKNPKEQKAILIIVLMAPLYAISSFVGLLDIQGSKTFFTFLDAVKECYEALVIAKFMALMYSYLNISISKNIVPDEIKGRELHHSFPVSLFLPRNVRLEHKTLKLLKYWTWQFVVVRPVCSILIIALQLLGLYPSWVSWTFSIVLNFSVSMALYALVLFYHLFAKELAPHKPLAKFLCIKGIVFFSFWQGFALDILTEAGVIKSHHFWLDVEHIQEAIQNVLIILEMVVFAVIQQYAYHVAPYSGADRAKFEKKNE >Sspon.04G0017950-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4B:68520453:68521522:1 gene:Sspon.04G0017950-2B transcript:Sspon.04G0017950-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKKGDESSHVTHDGVFPANFAEIVASLPSRGPPGLMVPSIRQYRGFWIYDGVLVNLPRAHACFEERPADILLASFPKSGTTWLKALAFATARRKRRRYDGAASPRHALALLPAPDARHGGWRRVPDRVHRQDPKDTLVSLWHFDAGVRTEKEGGGGGAGEGTRPRTAAAAAGRAALEFEEAFELFCQGCCLSGPQWEHVREYWEASKGRPGSVLFLRYEEMLQEFMGCPFSAAEDDAGVVRSILELCSLDKQRSLAVNKTGAFVKNVEGTVITIDNKHFFRKGIMGDWRNHMTPEMAARLDGIVEEALQGSGFTFGYTNTNN >Sspon.02G0011740-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:25387942:25389258:1 gene:Sspon.02G0011740-4D transcript:Sspon.02G0011740-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIDLHDVRAARVLGRGAMGTVFLAVDGGAGGEAYALKVFDKRTPSAASRTAAGADEAARRARWEVSVLSRLAHPHLPSLLGCAETPDLLAWALPYCPGGDLNELRHAQPDRVFSPAAIRFYVAEVVSALAELHAAGIAYRDLKPENVLLRADGHVMLTDFDLSRQLPPRSPSASTSTSTSSSCSATSSPPPPQAQNHGRSQYHHVKNIFKRSESAVTASTSGQEEEPRNLAWYLDRSIDGGVDQIKKAKSARVSPMDRGKKLSSFCSAAAGERSFSFVGTEEYVAPEVVRGDGHEFAVDWWALGVLVYEMCHGRTPFRGRSRKETFRNVLLREPEFTAEARRRWPELTDLISRLLEKDPARRLGFAGGADEVRAHPFFAGVAWDLLGEVSRPPYIPAPADDIVSCEGFSVVEYFDKLHQPPRSPAEHSPEEDLLPEF >Sspon.06G0030500-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6C:48647774:48648307:1 gene:Sspon.06G0030500-1C transcript:Sspon.06G0030500-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSTGGLGADFCYVKIIDTTTTTAAGAYGSTVEGEWEAGGATSAAAGGTSVWESECRPINTVNTCALLFSWHWLEVFDGGEEVWHSETNTDGTNFLETLELVDDGDMRIRDKDGELTWRANYEPRHTQHCDTLGSPGLAAALPPFAEPLGAHSSNLTATATRPSCPRRPMSAAEQQPS >Sspon.05G0001070-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:2694645:2698413:1 gene:Sspon.05G0001070-3D transcript:Sspon.05G0001070-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALAVTDEVALPIRAVGDLAAAAEVSREEVAVITQCAALGGKLPFEDASVGAVLAVIKNVESLREQLVAEISRVLKAGGRVLVQSPAPSSSQKPNADIERKLLMGGFAEVQSSAANSQDSVQSVTVKAKRASWSVGSSFPLKKATKATRALPKIQIDDDSDLIDEDSLLTEEDLKKPQLPVVGDCEVGAAKKACKNCTCGRAEAEEKVGKLELTAEQINNPQSACGSCGLGDAFRCGTCPYRGLPPFKPGEKVSLSGNFLAADI >Sspon.02G0037150-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:35198773:35218587:1 gene:Sspon.02G0037150-2C transcript:Sspon.02G0037150-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDTGPCGPCTEIHFDRIGNRDAASLVNNDDPTCIEIWNLVFIQFNRETDGTLRPLPAKHVDTGMGFERLTSILQNKMSNYDTDVFMPIFDAIHQLTGDGIQPYSGKVGSDDIGKVDMAYRVVADHIRTLSFAIADGSQPGNEGREYVLRRILRRAVHFGHQKLKAKQRFFSSLVNVFVQVMGDVFPELKDNEKKIKDIIRDEEESFENTLAKGYEKFKKAADAVKDNGGTDAFVLWDTYGYPIDLTEVMAIDYGLTVDKEGFNASMEEARQKARNARNKSGGNSISMDVNATAQLRKLGLASTDDSPKFLWPKEHESVVKAIYTGSEYITTATGDENFGLVLESTSFYAEQGGQIFDTGSIEGSFGTFNVNNVQVFAGYVLHIGSFTKGSKVLSLGDSVMCKVDYNRRTLIAPNHTCTHMLNFALREVLGDHVDQKGSIVLPEKLRFDFSHGKPVQPDDLRKIESIVNQQIKDELGVYAREIKLEDAKRINGLRAVFGEIYPDPVRVVSVGRKVEDLLADPDSKEWLSISTELCGGTHITNTRDAKAFALLSEEGIAKGVRRITAVTAGCATHAMELASSIDSDISEASQLEGALLEKKIASIKSGLDAAAIPAAKKADLRGKVSKLEAKKKMGEQNIQKAVKSAMDAAEAALSGNKPFCVTHVDVGLDTTAVREAVIKVMDQKGLPIMLFSTDEASNKAVIYAGVPPNAPSGFKVLDWLTPSIAPLKGRGGK >Sspon.05G0001740-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:4225824:4226300:-1 gene:Sspon.05G0001740-3D transcript:Sspon.05G0001740-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SMCKVAITIPSLVWLRRAVRRWRSHGRAADASGSSSSSPRPCTAVPAGHVAVCVEAAGGSGSGSTRRFVVRVAHLSHPAFRELLRQAEEEYGFPAAPGPVALPCDEDHFLDVLHHVSSSPAADASSSFCCGLATRRCARGESRPLLQGRVMAVDQKLVW >Sspon.04G0014840-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:55678386:55679649:-1 gene:Sspon.04G0014840-1A transcript:Sspon.04G0014840-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTGLVILFSVLAGAILIGAVGCFIVRRKKQQGRDVTSWKMMPFRKLDFSECDVLTNLREEDVIGSGGSGKVYRVHLPGRGAGAGWLRRHECGRGAKVNQKVDVYSFGVVLLELATGRVANDSSKDAAECCLVEWAWRRYKAGGPLHDVVDESIQDRAVYAEDAAAVFVLGVMCTGDDAPSRPSMKQVLQQLARYDRTASVAGACRDGRDVEVGQVPKGKQGRHQAAKR >Sspon.01G0002980-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:6580757:6585379:1 gene:Sspon.01G0002980-2B transcript:Sspon.01G0002980-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQGESSSSDPKGKKDYSTAILERKKSPNRLVVDEATNDDNSVVALHPDTMERLQLFRGDTVLLKGKKRKDTICIVLADDTCEEPKVRMNKVVRKNLRVRLGDVVSVHQCPDVKYGKRVHTLPIDDTIEGITGNLFDVFLKPYFLEAYRPLRKGDLFLVRGGMRSVEFKVIETDPPEYCIVAPDTEIFCEGEPVKREDEERLDEVGYDDVGGVRKQMAQIRELVELPLRHPQLFKSIGVKPPKGILLFGPPGSGKTLIARAVANETGAFFFLINGPEIMSKLAGESESNLRKAFEEAEKNAPSIIFIDEIDSIAPKREKTHGEVERRIVSQLLTLMDGLKSRAHVIVMGATNRPNSIDPALRRFGRFDREIDIGVPDEVGRLEVLRIHTKNMKLAENVDLELIAKDTHGYVGADLAALCTEAALQCIREKMDIIDLEDETIDAEILNSMAVTNDHFKTALGTSNPSALRETVVEVPNVSWEDIGGLENVKRELQETVQYPVEHPEKFEKFGMSPSKGVLFYGPPGCGKTLLAKAIANECQANFISVKGPELLTMWFGESEANVREIFDKARQSAPCVLFFDELDSIATQRGSSVGDAGGAADRVLNQLLTEMDGMNAKKTVFIIGATNRPDIIDPALLRPGRLDQLIYIPLPDEQSRLQIFKACLRKSPVAKDVDLNALAKYTQGFSGADITEICQRACKYAIRENIEKDIERERRRKDNPEAMEEDEVDDIAEIKAAHFEESMKFARRSVSDADIRKYQAFAQTLQQSRGFGSEFRFPDQPTAAAGSAGAADPFASAAGAADDDDLYN >Sspon.07G0021570-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:3386995:3390297:-1 gene:Sspon.07G0021570-1B transcript:Sspon.07G0021570-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVLQDASFLYMGRLLEGFGVGIISYTVPVYIAEISPQNMRGALGSVNQLSVTFGIFLAYLLGMFVPWRLLAVIGALPCTVLIPGLFFIPESPRWLAKMNLMEDCETSLQVLRGFETDITTERAVTSSSKRTTISFQELNQKKYRTPLLLGIGLLVLQNLSGINGVLFYASSIFKAAGVTNSDLATCSLGAIQVLATGVTTWLLDRAGRRMLLIISTSGMTLCLLAVSVVFFLKDSTEKIIIVVLTLTVVAIDKISQDSNSYYILTMISLVAIVAFVITFSFGMGAIPWLMMSEILPVGIKSLGGSIATLANWLTSFAITMTTNLMLTWSVGGTFLSYMVVSAFTLVFVVLWVPETKGRTLEEIQFSFR >Sspon.01G0012750-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:25298278:25302340:1 gene:Sspon.01G0012750-2C transcript:Sspon.01G0012750-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGEAVAKNERKVGGGGGGGVSNDGGANARRRWKGGGGRHHPIIQAYPALLPLPLHAAHARRNGTVALPLPPPVLVYLHQPPPPLLFPKVPACYGKPNGPPLLRGPPWRSRKPPPPPHAVTAALLPLPHDTELIQHKKCFIHENQTSEMKANHLSTHHNSSITIHGVTIATRPDGGGVGGTAIPLYANHFLVCFDPGQKIFHYDVDISPHPSKETARMIKNKLVEENSNILSGALPAFDGRKNLFSPIQFQQDKLEFFVSLPAAASTRFIEAKENAHMIDKQNHKVFRVNLRLVSKLSGEDLNKYLNEGKDGIPLPQEYLHALDVILREGAMENSIPIGRSLYPRSMGEAKEIGGGAVVLRGFFQSLRPTKQGLALNVDLSLTAFHENTGIIAYLQKRCEFMKDLSQVKTRALAVDERREVEKALKNIRVFVCHRETDQRYHVHGLTEETTENLKFRDRSGKDYTVVDYFKEHYNHDIKFRNLPCLQIGKSKPCYVPMELCMVCEGQKFLGKLSDEQTSRMLRMGCQRPSERKGIIKGVVEGAFATRRNSYADQFNLQVSKDMTQLLGRVLLPPKLKLGNGGRIKDITPDRFDRQWSLMDSHVTEGSKIKSWALISFGGSPEHQSFIPKFINQLSSRCEQLGILLNKKTVVSPLFERIQILNNVGILESKLKKIQEAASGNLQLLICVMERRHRGYADLKRIAETSIGVLTQCCLYSNLSKLSFQFLANLALKINAKLGGCNVALYNSLPCQIPRVFSDKEPVMFMGADVTHPHPLDDSSPSVVAVVASMNWPSANKYISRMRSQTHRKEIIERLDVMTGELLEEFVKEVGKLPSRIIFFRDGVSETLFYKVLTEELQAVRFTCSRYPDYKPAITFVVVQKRQHTRLFHREKNGGSTHFADQNVPPGTVVDTVITHPREFDFYLCSHWGTKGTSRPTHYRVLWDENNFKSDEMQQLIHNLCYTFARCTKPVSLVPPAYYAHLAAYRGRLYLERSDSTATSRTTLYRSTPLQTAPLPKLRDSVKGLMFYC >Sspon.04G0013830-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:57336853:57348435:1 gene:Sspon.04G0013830-3D transcript:Sspon.04G0013830-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPPAPAAPRGAERAEAVAVAVAAEPAPGAGARVVEAQQTGPPEGSGRASREVPLRLRLGRARRRAGPATPTPSWKMEVEDEGVREGPPAPAAARRSSASASARQLGASLWEIHDVAREVRRSRPRGGRGVAAGREHGGGGELDQPQSSGGIGRHLADLSMKHHKLNQERSHRIQPFSPASYTSSVGDSNVNQTRSPTRSLGIMGRSMGEGYSLKTSTELLKVLNRIWSLEEQHTANLSVVNGLKLELQQAQTHVQELMQERRRYRHEVSSLMRQLSENKLVRKNKDHMKVDAAVHSLQGELEDEKRLRKHSEDLHRKLGMELSEIKSAFLKSVKDLEKEKKGNRLLEDLCDQFAMGIRNYEEELRVVKQRNVKSYELNFDNSVLHISELWLDERMQMQNTDVNGELAHNTTITERLSSEIQAFLLSKKAGSSTNNEKHMNDNTRLRRQSLESVHLNGATSAPQFAEDDDDSIASDLNCFELNMHGHMGSHRSGTGAMDVHKRRSEHPHGIAAEGSRMSSVPVYSQKGVARPSSSKLQHASKIPEINSQSNARITPAEEQNGSTNTQLIRGSNHGSMKNNPDVHHVDFLGQESFDHFSRTSLFCEGTTSGDLGNVGSPTRQLKYQSTSLDPEITECSPEQPVGVMENTLKAKLLQARLEGRHARLKASGGSSTSRRKLYKFLSATGVTTYTRNSDFSMDRREQEGRGRRQRT >Sspon.03G0010580-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3A:28801794:28802006:-1 gene:Sspon.03G0010580-1A transcript:Sspon.03G0010580-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLRRRRPSHRSPVLLAATVLPAGAPCLGRLALAASCRAAGAPCWLAAGLSATAQRRRRGRGKVAASRIC >Sspon.05G0015340-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:56405751:56412401:1 gene:Sspon.05G0015340-4D transcript:Sspon.05G0015340-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIRRPHSPAKQHLLRHHHPFATSSPPSSPLRHSSSSPRTHHHGGYPHPFLFFTRRPLPRFAAFFLLGSFLGLLHFLSHLPHQTPHLRPSSPNNPAAAVHDDESSDADGNNKKLLVVVTPTRARAAQAYYLSRMGHTLRLVDPPLLWLVVEAGKPTPEAAAALRGTTVMHRYVGCCDKLNASDDPLRLRPHQMNAALELIENHRLDGIVYFADEEGVYSLDLFKRLRQVRRFGTWPVPVISDNRKDGVVLEGPVCKQNQVVGWHTSEHVSKLRRFHVAMSGFAFNSTMLWDPKLRSHLAWNSIRHPDTVKEGFQVTTFVEQLVEDESQMEGLPADCSQILNWHVPFGSENLAYPKGWRVATNLDVVIPLKYFGCDSAEESRVDYIGEAALEKKRLQVQCIAAPGGYGYCFVDAGRQGKWPPLTGPLKPAGEAPVKLVVAWLT >Sspon.07G0020320-2D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7D:68063278:68063811:1 gene:Sspon.07G0020320-2D transcript:Sspon.07G0020320-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASYPLLLLLLLAATAAAAAAAAAAGEASRGNATATSSSPTAYEMLERYDFPRGILPAGVSGYELRGDGSFEVYFPRRCEFLLAGRWLVRYEARVRGSVAAGSLTALDGISVKVLFLWLGVGEVDRAGDTLSFYVGPVATSFPLADFAESPRCRGYDDGAGAADEDDDFFPAAAASS >Sspon.06G0011030-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:43159540:43161403:-1 gene:Sspon.06G0011030-2D transcript:Sspon.06G0011030-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMSCSDVVTICGLFAVQCIYGLYMMFLSTLLAAGVPSLFIIVVACAASSVVVLPFALALERYVRRCQYTIMMLGVAHTSPAIASAMPNLCPGFIFVIAACLGFERFDWKCKYTRAKILGTLVCLSGAMCVSFLKNPSPSISPKSVPYDDEELSNGKGRHDWILGCFCLLIGVIIFACNTVIQAAALKRFPAPLSICSTTAMMGSIFSAIVQVLMEGKLTAGTADNLTRIIGEIVLVGGVVIGLCTAFQVSSIGRKGPVLVSMFNPFQTVFSAFMSFIFFGQWIGLGCSVGIVLMFVGLYVVLWAKNREGNMFADLTAPSETGCDVESSLLQ >Sspon.02G0058540-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:79234903:79248864:1 gene:Sspon.02G0058540-1D transcript:Sspon.02G0058540-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding METPSDASRPADAKPVKPRPVAPTGRFALGTASSIKKRTDGAAPAEVGVSRSSLMKPSPSLNAGSVPRRSSTGTAGKQLDNGSSAVAKKPSPTLSDGAKKTKLVSAPAVGSKPAAEKKTSVIERTGADLARKPGVKASPTSTLKKVQTESSNGSSGSTRRVASNASSVPSPRSVTSNATKKLGTQTSSAMSNRRKSSTADSRDSRFMMLPQVDLKASDEVRLDSRGHRVRSLKQLRLSHVLEFVYLRDNLLSSLEGIEILKGVKVLDLSFNDFKLPGFEPLGNCIVLQVLAASRNKISTLKGFPHLPSLEHLRVEENPLLEMPHLEAASILLIGPTLKKFNDRDLNPNEAEVAKQYPAHTAICIRDGWEFCSPELAAGTGCPKVINLAVSGELVEGNILSGVPEIAWCGGTPGKGVASWLRRRWNGNAVVIDGAEGMEYQLTINDINSSLVFMYAPVTDEGVKGELQCTMTDFVKAATPSVSNVHVLGDIVEDNIITGKGKYFGGREGLSKIRWFREKENGEFLLVLSDSMQYTLTKEDVGRHLKFVYTPVNLEAPPKVFNLKIVGEAKEGSKISASATVKGGTEGSSRVQWYKASSSEFKNEHELEALTASKVSKTFRIPLGAVGYYIVAKFTPVAPDGEVGEPAYATSDGLVETLPPSLNFLTVTGEFSEGQILTASYGYIGGHEGNSLYSWHLHETEDDEGTPLSEATGLLQYCVTKEAVGKFVSFKCTPVRDDGIVGEARSFIGKDRANSDGTEKEIEGATSSSYTLKCDDIGFYISVLCKPVRNDGVHGSLVSTEAIGPIIPGPPTCQSLELAGSMVEGGRLTFHAAYTGGLRGSCIQEWFRLHGDGHKDKLTADECLDLDLADVDCRIELMYTPVREDGVHGSPRSVISDTILPGEPKGVHLILPECLEDNEISPIKTYFGGKEGTGKYTWFRNKEKLDNLEFDLVAASSEVVGETLKYKPSLDDVGFYLILYWVPTRCDGKIGDPLMAISDDPVVAAFPSVSDVHLEQKSSDIYCGLGIYYGGYEGSSLYRWYRESSDGTRLHIDGANSVTYEVTDADYSCRLLFGYTPVRSDGIRELLKIETLIFKGSPVERETLTAAEQIPGSEIQQHIWNNYKKEMKYQWFISNGSGEDQSFEPLATKCSRSYKARFEDIGRCLKCECFVIDVFGRSSELVSAVTAPILPGRPKIEKLEIEGRGFHTNLYAVQGTYSGGKEGKSKIQWLRAMVGSPDLISIPGEIGRTYEANVDDVGYRLVAIYTPVREDGVEGQPISVSTEQIAVEPEIYREVKQKLDDGSVKFEVLCDKDRTPKKAQVMGHLERRVLEVNRKRIKVVKPGSKTSFPSTEVRGTYAPPFHVELYRNDQHRFKIVVDSDNEVDLMVQTRHMRDLIILTIRGLAQKFNSTSLNTLLKIEA >Sspon.02G0016090-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2B:42761023:42761769:-1 gene:Sspon.02G0016090-2B transcript:Sspon.02G0016090-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEEDRSTKAAGCKKNVTRLHRSFLHLSRALGKLHGRRAHHANANGETSLSSSTSAATSFLSGCMHPRTHSFASGRRHRHKHDDGGGGSGDALAVNFRSLRVSLAASAAPVAGDEGGSSSAQDYCYVDGDGGSEADEPKAVVRGAGVAVATLSAAPYEDFRRSMREMVDAAAGSGAAAAAVDWDFMEELLFCYLRLNDRAVHKDILRAFTDTVAAIRRRRRRAAKSRRTRRRTRRQPGAGGDGHGAEA >Sspon.01G0037760-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:21296817:21299085:-1 gene:Sspon.01G0037760-3D transcript:Sspon.01G0037760-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVTTDAAAAAEKPQDAEKPDYAPYNGESTAADGGTGARSRRGGGGGGVVDSVVARWRREDMLDKSPLALHAAAAVFAFVALVLVASNQHGDWMQFDRYQEYRYLLAIASLALLYSLAQAARHAHRMRGGVDPVSSASGRLLDFVVAYLLMSALSAAVPITNRMRSAVVNNFTDATAAAISMAFFAFVALALSAVVSGYKLSKQTY >Sspon.02G0019820-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:64421101:64421735:1 gene:Sspon.02G0019820-1A transcript:Sspon.02G0019820-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPEYSHFYEEVFLEISHASRCCTSVVKKQERIKRHDSGGNGLKVSEQGEFCSCPKFEVGRRENTYEDNDDVLGAIKGSAGKDFA >Sspon.03G0023610-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:90664168:90667035:-1 gene:Sspon.03G0023610-3C transcript:Sspon.03G0023610-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLIYVQAVHLFRGLAYIHNVPGVCHRDVKPQNVLVDPLTHQVKLCDFGSAKVLVPGEPNISYICSRYYRAPELIFGATEYTTSIDIWSAGCVLAELLLGQPLFPGESAVDQLVEIIKVLGTPTREEIRCMNPNYTEFRFPQIKAHPWHKIFHKRMPPEAIDLASRLLQYSPSLRCSALDACAHPFFDELRAPNARLPNGRPFPLLFNFKHELANASPDLINRLVPEHIRRQNGVNFGHMGS >Sspon.03G0023470-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:71899301:71904629:-1 gene:Sspon.03G0023470-1A transcript:Sspon.03G0023470-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-directed DNA polymerase [Source:Projected from Arabidopsis thaliana (AT1G78650) UniProtKB/TrEMBL;Acc:Q9SYL7] MAGGAVDQSLLDLLPQIHALFSDPLRVISYKWLSRNFSVSSNDAKRLLQEFVNKHGTGLQVIYSVSGWLKNNPQSYCVKLISGSKLEEARQGFKDSCSVQIYSIQACIPKDTAVLWNPEFVQAEELFNQPFDDENCLRDNRFCGVLNSFVKRTSNGKHVSSLPPKPLNSAAAVAQSKPSVTPKEQFVTARQQDLPVSSKQGAGNKSEKDNCTVLDKAGNAPVVKEQSIDAHASKSKAQNGKAMPSNGGSLANMWGRASAKPKPPSTTNSTAVASVAATADAQICAKEEADGDSSDDEQGIKYKRGSTNANNKKRRAVFDFSDDEEDDNIVSIASPELPKQHTPDPVIGTAEDAEVNQKNLENKDDVPNSEKGSSMGMDSDFTAECKIKTVNTMNHSGITLKEKSSDPPINDKKQDSAAEPASTSPKRRKVLKTCIDERGREVTEVVWEGEGSADDKTEKNVNTTAATASGATLPSKPKPAANTDRSKAPSKTAAGNKKPAKAGTKQGSIMSFFKKV >Sspon.06G0000180-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:821733:822536:1 gene:Sspon.06G0000180-1A transcript:Sspon.06G0000180-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSSNKDGATEQPTNGGSGDDRENGTGEPKEGAVVTGNRRPRGRPPGSKNKPKPPIFVTRDSPNALRSHVMEVAGGADVAESIAHFARRRQRGVCVLSGAGTVTDVALRQPAAPGAVVALRGRFEILSLTGTFLPGPAPPGSTGLTVYLAGGQGQVVGGSVVGTLTAAGPVMVMASTFANATYERLPLDDAEEEPGQAPPQLPPGPGGGPPLIMGGMADPSAMPMFGGGAGGVPPSLMPGGGTASGAGLQLVHEGLAWAHARPPPY >Sspon.01G0030520-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:105888076:105892485:-1 gene:Sspon.01G0030520-1A transcript:Sspon.01G0030520-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPHGGLDDQIERLMQCKPLAEPEVRALCEKAKEILMEESNVQPVKSPVTICGDIHGQFHDLAELFRIGGKCPDTNYLFMGDYVDRGYYSVETVTLLVALKVRYPQRITILRGNHESRQITQVYGFYDECLRKYGNANVWKTFTDLFDYFPLTALVESEIFCLHGGLSPSIETLDNIRNFDRVQEVPHEGPMCDLLWSDPDDRCGWGISPRGAGYTFGQDISEQFNHTNSLRLIARAHQLVMEGFNWAHEQKVVTIFSAPNYCYRCGNMASILEVDDCREHTFIQFEPAPRRGEPDVTRRTPDYFL >Sspon.01G0009500-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:28889934:28894734:1 gene:Sspon.01G0009500-2D transcript:Sspon.01G0009500-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cell cycle checkpoint control protein family [Source:Projected from Arabidopsis thaliana (AT3G05480) UniProtKB/TrEMBL;Acc:F4J7B7] MELSMSGGSLRTFGRCVTFLARVASELVLQAHPAKLEMHTLNSSRSAYASVSLARDFFDQYTLDAAASAPSSTPLQCSVLLKSLLAVLRTPHAALDRLAVSLPEPDAPKLQVTLHCLNGVRKTYWIVCSAEPEVQSLSLDRGRFPSRLAIRPRELARLLSNFQSSLQELTIIATDPASGLPDAGGDVGGKAVELRSYNDPTKDDCDTRLHTQLWIDPAEEFVEFVHAGDPADVTFGVKELKAFLTFCEGCEVDILLFFEKTGEPVLLVPRFGLDVGATSDFEATLVLATMTVSQLSDSNDAQQPATSAQHMGEPRAAATPVPENVSNHTKIWSELSGVYLSCEMLFPSNH >Sspon.05G0018290-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:75490672:75500110:-1 gene:Sspon.05G0018290-2P transcript:Sspon.05G0018290-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPDPQGPGRGKAGGANAHVWLPPPVTAGSGGRPASVLPHKTDNVRDHYRIGKKLGQGQFGTTYQCVGKADGAEYACKSIPKRKLLCREDYEDVWREIQIMHHLSEHPNVVRIRGAYEDALFVHLVMELCAGGELFDRIVAKGHYTERAAAQLIRTIVGVVQGCHSLGVMHRDLKPENFLFASTAEDAPLKATDFGLSVFYKPGDKFADVVGSPYYVAPEVLQKCYGPEADVWSAGVILYILLCGVPPFWAESEAGIFRQILRGKLDLESEPWPSISDSAKDLVRHPWIVDDAVAPDKPIDSAVLSRLKNFSAMNKLKKMALRVIAESLSEEEIGGLKELFKMIDTDNSGTITYDELKDGLKRADIDNSGTIDYGEFLAATLHMNKLEREESLVSAFAFFDKDGSGFITIDELSQACEQFGLSDVHLEDMIKDVDQNNDGQIDYSEFAAMMRKGNAGGVGRRTMRNSLHVNLGELLKPAET >Sspon.01G0036760-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:19631308:19638737:1 gene:Sspon.01G0036760-1B transcript:Sspon.01G0036760-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGNHSSDDERGATAIHRRLCWDFPSVMHPQRSSSLIVGKGAMDSTGQFYRSPLLEEDNSVQEGSVECTGDGSVCFRGHPASKKHTGKWKACSLTIFCSFCSYLAISSISKNLVSYLTKVLHETNVAAARNVSTWQGTSFLVPLIGAFIADSFLGKYWTALIFFTIFNIGMMMLVLSATFPLISTDPHVWPAWTYSVSSQHIIFFFGLYMVAIGYGGQNPCVASFGADQFDDTDDEERTKKSSFFNWHHFAINAGALISGTIIVWVQDHEGWLWGFAIPALFLIFGVGSFILGSSVYRFQKPGGSPFARVCQVIVMATRNFDKVLPSDCSLLYETPGQGSAIEGSRKLVHTTGFEVCTVTQVEEFKILIRMLPIWATMVLFATVLAQMSSTFIEQGMVMDRHVGSLEIPAASFQSVDIITVLALVPIYEKILVPVFRKFTGMAKGITPLQRCGTGLFLSTLSMVSAALVEGNRLQTVQDRGLVGQNVAAPMSILWQGPQYFLIGAGEVFSLIGLNEFFYEESPDAMRSLCLAFSLANISAGHYLNSFIISLVPVFTARGDSPGWIPDNLNEGHLDRFFWMMAGLCFLNLLAFVSCAMRYKCKKAY >Sspon.05G0019670-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:84571546:84575764:-1 gene:Sspon.05G0019670-1A transcript:Sspon.05G0019670-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LSGLEQSFFPAMTKIVGTLGPKSRSVDTISACLKAGMSVARFDFSWGDAAYHQETLENLKLAIKSTKKLCAVMLDTVGPELQVVNKRETPISLEENGTVVLTPHRGQEASSSLLPINFSGLAKAVTPGATIFVGQYLFTGSETTSVWLEVSEVKGDDVVCIIKNTATLAGSLFTLHCSQIHIDLPTLSDEDKDVIRKWGTPNKIDFLSLSYTRHAEDVRQAREFLSKLGDLSQTLIFAKIENVEGLNHFDEILAEADGIILSRGNLGIDLPPEKVFLFQKSALHKCNMAGKPAVLTRVVDSMTDNLRPTRAEATDVANAVLDGSDAILLGAETLRGLYPVETISTVGRICAEAEKVFNQDLYFKRTVKYVGEPMTHLESIVSSAVRAAIKVKASVIICFTSSGRAARLIAKYRPSMPVLSVVIPRLKTNQLKWSFTGAFEARQSLIVRGLFPMLADPRHPAESTSSTNESVLKVALDHGKASGVIKSHDRVVVCQKVGDSSVVKIIELDD >Sspon.01G0021560-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:83017943:83028190:1 gene:Sspon.01G0021560-2B transcript:Sspon.01G0021560-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRNGSVKRAASSGAAGPPSFSVNPADYRLMEEVGYGAHAVVYRAIFLPTKGVVAVKCLDLDQLNNNIDEIQREAQIMSLIDHPNVIRAYCSFVVEHSLWVIMPFMTEGSCLHLMKISYQEGFDEPVIGSILKETLKALDYLHRQGQIHRDVKAGNILIDGAGVVKLGDFGVSACMFDRGDRQRSRNTFVGTPCWMAPEVLQPGTGYNFKADIWSFGITALELAHGHAPFSKYPPMKVLLMTLQNAPPGLDYERDRRFSKSFKEMVAMCLVKDQTKRPTAEKLLKHSFFKNAKPPELTIKSILSGLPPLWDRVKALQLKDAAQLALKKMPSSEQEALSLSEYQRGVSAWNFDIEDLKAQASLIHDDEPPEIKEDEDIARNFEVEKDLSSRNHLGKSSANECNSRQRAFASTLNSDGNSPTTNEAFDFDFDFSDADTTRRANGYESNIRENDSLPSTSKRDPESNHWTNDVGQRQLTSGGSNSSAAERGYGFERDAAAQMISDKQRNEMRKTASLSGPLSLPTRASANSLSAPIRSSGGYVDSSGDKSKRSVVEIKGRFSVTSENVDLAKVQEGPLSSLSRKSPEGSLLRKSASTGDCLVNPKLMCNANQLKELCNSSVSSSVLIPHLNNLVQQTMFQQDLIMNLLSNLQQNEKVDGTQPGISSQVRTMENDKVADTANSEKERSLLLQQELNALYCREEIEDIRDEDNEET >Sspon.07G0010050-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:25945226:25947876:1 gene:Sspon.07G0010050-3C transcript:Sspon.07G0010050-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSDDEVREEKELDLSSNDVVTKYKAAAEILNNALKLVVSECKPKAKIVDLCEKGDSFIREQTGNVYKNAKRKIERGIAFPTCVSVNNTVCHFSPLATDDAVLEENDMVKIDMGCHIDGFIAVVAHTHVIANGPVTGRAADVLAAANTAAEVAMRLVRPGKKNKDVTEAIQKVAAAYDCKIVEGVLSHQLKQFVIDGNKVVLSVSNADTKVDDADFEENEVYAIDIVTSTGEGKPKLLDEKQTTIYKRAVDKNYHLKMKASRFIFSEISQKFPIMPFTARALEEKRARLGLVECMNHELLQPYPVLHEKPGDLVAHIKFTVLLMPNGSDKITSHPLQELKPTKSIEDNAEIKAWLALGTKSKKKGGGKKKKGKKGDAAEADLMEATNGASQE >Sspon.04G0004740-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4B:9223682:9226550:-1 gene:Sspon.04G0004740-2B transcript:Sspon.04G0004740-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein S-acyltransferase 18 [Source:Projected from Arabidopsis thaliana (AT4G01730) UniProtKB/Swiss-Prot;Acc:Q9M115] MEMEHRLHMRLPKGAHAALSMTFVIFTLYSTAALGQLFFFHMVLIRKGMRTYDYILAMREAGAAFDPFEDSDSDESIDFDSPEKSSFFSRVFCRKDEVNESARKLQEVRIESDQMDASGRKDDIQINPWTLIKMSKEKAMAAAERARERIRQKLPTSPMKPLPVETKRGPLNPERKHITTGKEIVPVFTKSWLSVSPTARISSPRRRFSGSSSPKPQRYRSNFDLRLAEVSRDLETHISKQVLCSVVMKGVEDEGCSS >Sspon.03G0034510-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3C:71024012:71025130:1 gene:Sspon.03G0034510-2C transcript:Sspon.03G0034510-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPPPRLFPIPFGELHGCDGDGCASDPDTWPLHHVLRRGVHCRLCSSCILLSHRSLYCCRCFFLVTSPSCNYDDGDALMAPPAPTVTCQVCREAVAHLPCLYYPEPADGVFVCPPCTAAQSGRPFTYAPPCRQPLDERDTRVLVLGSRIALALLQRHATAACAAAERLAREAREARTRAQEALKVAYNLGKKEDPSWVVPQSPENDLAVSEEEGNEAEANAGKVLLVRRNAMPSLATLTIGTGGSVVPMALAEAKHMPPSSQSKPLFDLNENAVENDLNDNAAENEAESVQAEPTPHRALNSFNVKEVALNSFNMKEVAMAAVEAVRASHPTPQTLQLFPSAKASSSTQMPRTKKPRSKRPRMLQLFNDDRA >Sspon.01G0004390-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:10990633:10996710:1 gene:Sspon.01G0004390-1P transcript:Sspon.01G0004390-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPCRELTGLRRGPAAARPPVPGRRGANELCLAPFHHRARAGLKVVAAISEDLPRLAAPGTGTGKGAAEGRRPEKVLVRAALTVRRKHKEDLKEALAGHLDALWDMVGRSVALELISTKIHARTKKPLQSGQASIKDWCQKRGVKGEHVVYTAEFMVDSDFGEPSAITVANRHHREFFLESIVVEGGLPCGPVHFACNSWVQSTRELPGKRVFFSNKPYLPFETPPGLRELRDKELKDLRGDGTGVRKLSDRIYDYATYNDLGNPDRGKEFTRPILGGDKIPYPRRCRTGRPPTDTNMLAESRVEKPHRIYVPRDEAFEELKQGAFSSGRLRAVLHTLIPSMIATISAETHSFQGFHHVDNLYKEGLRLKLGLQEHLFQKIPLVQKIQESSEGMLRYDTPRILSKDKFAWLRDDEFARQTVAGINPVSITRLTVFPPVSKLDPAIYGSPESSITEAHIAGQLNGLTVQQAVDEAKLFILDHHDVYLPFLDRINAIEGRKAYATRTILFLTKAGTLKPIAIELSLPPSKAGEPRPSKVLTPPSDATSNWLWMLAKAHVSSNDAGVHQLVNHWLRTHAIMEPFILAAHRRMSAMHPVFKLLHPHMRYTLEINALARQSLISADGVIESCFTPGPVSFEISAAYYRNHWRGVAVEDASQPHGIRLLIEDYPYANDGLLLWSAIRNWVESYVQLYYQDAGTVQSDDELQGWYHETVHVGHADIRHAPWWPSLSTPADLASILTTLIWLASAQHAALNFGQYPLGGYVPNRPPLMRRLLPDPERDAAEYAAFLADPHRFFLNAMPGVLEATKFMAVVDTLSTHSPDEEYLGEGRDEPWTGDAAAVAAHAMFEADVRCAEEAIERRNADQRRKNRCGAGVLPYELLAPSSPPGVTCRGVPNSISI >Sspon.02G0011620-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:3848048:3852283:-1 gene:Sspon.02G0011620-1P transcript:Sspon.02G0011620-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding QWSPAAAPVAAAGTTSFTASSSARRSTSRTPPPAPAAEAEAAAVAVPVPVPVRPPWLQRRSSRRRRRPLRPGRPAAGGAGPRLAARAPPRCPRPRAARSTAATLTSPTSSPTTAATRSAATRSAKCTPRSPASSSTASSSASASSAARFHQLPEFDQLKKSCRRRLAGHNERRRRPPPGPLASRYGRLAASFAEEPGRFRSFMLDFSYPRVPGTMRDEFPAVRPGERVPGSIQWQASLDPHHHQSAVAGYGAHSYGSQGSSSSSRPPVFPGPELPPGGCLAGVPSDSSCALSLLSTQPWDTTHSAGHSHAGSMPATAGFDGNPVAPSLMASSYIAPSPWTDSRGHEGGRNVPQLPPDVPLSEVHSGSSSHHGQFSGELELALQGNRPAPGSAPAPRNDQGSTGTFDQSGNTMDWSL >Sspon.04G0007160-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4A:20384919:20385815:-1 gene:Sspon.04G0007160-1A transcript:Sspon.04G0007160-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGCLEAMLPRIKQATPYIFHTTSSPIQDTNQLYDKSAQEILTPIISPNLTFGLLGGGGSSASSSSASATATAPSATSSSDSAEAVTGSSGSGSPASALASARAIMWVVRRGEAHRESRRNEEATDRTCGGGDRWERKAWGSTTPETAVAEVAAAIGRLSRANVSAAEHGTEEGGRGRRVAAAGGLGRDAIRLALCGMGAVTLHAGPKLLSRSGIGAVGPVITFGSL >Sspon.05G0016620-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:68262671:68264529:-1 gene:Sspon.05G0016620-1A transcript:Sspon.05G0016620-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNGDYYVGPPETYTAPEPATKQAPQVTGAAQAPATHNYFVGPPVNPEKTQQPKEPQPPPKNRSSFLARWFPCICASRASEQ >Sspon.02G0058090-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2D:69730670:69732364:1 gene:Sspon.02G0058090-1D transcript:Sspon.02G0058090-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDSYRESIWRKKSRPREMYPDVSCKDAPVPPEEPFPNCDCGHPAHPSFQCFFFQWIDGLDKFDPRILLFYPGIDHCKREVFTRWVLPPPNPPPMTEEEKAIASVRRLEDPPKCHCGEQAEINPHNEQEFICPLRREDHDFPKCRFAEWVYGPKSHWPEPKKKEEVPEWKKKMRYIVLPMMCKCGVEASYGLVPSGLGIDHFCGHMIDYDESTQKCKWKSYDDVFKFKDEYKKKMLQRAQEMRVRNPESIAWKKWYEKRKKELEEYQARKAKEDARK >Sspon.03G0028440-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:9287958:9290769:-1 gene:Sspon.03G0028440-1B transcript:Sspon.03G0028440-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLPGRKATAGVPGVRRWLVTVVVSVLGLVLTLVVISLSQGSSLPRTSLHDYLPAGVTGLGKRSSSERADGNSSGAAVGEELSQGGREPLVEQNGQGGDANSSQTSAVTGKMDDNEPYPVASSDATAAPDEDTSNESQKAEQDTCDLYRGEWVTDSSGPLYTNNSCPIITQMQNCQGNGRPDKDYENWRWKPEQCNLPRFDARKFLELMRGKTLAFVGDSVARNQMESLLCILWQVDVPQNRGNKRMHKWLFKSTKTTIARVWSSWLVHRSTEAVGIAPKGIDKVFVDIPDETFMEFLPRFDVLVLSSGHWFAKRSAYILNGNVVGGQLWWPRKAGKMQVNNVDAFGISVETCLTAVATNPNFTGLAIVRTWSPDHYEGGAWNTGGSCTGKVKPLDEVVRNGFTDAMHEKQVAGFRKAVKNAGEHVSRLKLMDITEPFAFRADGHPGPYRSPDPNKKTQRGPDGKPPPQDCLHWCMPGPVDTWNEMLLETIRREFERDRT >Sspon.02G0057140-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2D:43685017:43685281:-1 gene:Sspon.02G0057140-1D transcript:Sspon.02G0057140-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSPATACTGAVTLGLALLKTQSFRCFQNREDTKNDK >Sspon.05G0023460-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:4395772:4405461:1 gene:Sspon.05G0023460-2C transcript:Sspon.05G0023460-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Regulator of G-protein signaling, Chilling toleranc [Source: Projected from Oryza sativa (Os04g0600800)] MGWGTVVYEGAVVGSSLVGLGWAGLWFLNRRLYKEYEERRVLVQILFGLVFAFSCNLFELVLFEILPVLSKHARFLNWHLDLFCLILLLVFVLPYYHCYLLLRNSGVRRERSCLVAALFLLVFLYGFWRMGIHFPMPSPEKGFFTMPQLVSRIGVIGVSVMAVLSGFGAVNLPYSYLSLFIREIDETDIKTLERQLMQSMETCTAKKKKIILSQMEMERIQGSEEKLKARSFLKRIVGTVVRSVQEDQTEQDIKNLEAEVQALEELSKQLFLEIYELRQAKIAAAYSRTWRGHLQNLLGYALSVYCVYKMLKSLQSVVFKELGSVDPVTMTITIFLRHFDIGIDVALLSQYISLMFIGMLVVISVRGFLANVMKIMGMYFISSILLIRKSLANEYRVIITDVLGGDIQFDFYHRWFDAIFVASAFLSLLLISAQYTTRQTDKHPID >Sspon.07G0010440-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:29918041:29918790:1 gene:Sspon.07G0010440-2C transcript:Sspon.07G0010440-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNHHRFKLSHLMPNSWFYKLRDMKKPRPASKRNSETTRTSKRSSHYYHGSITPKPLPLSPHRSYSYYLNTKHNMSLDEKLRPSTLHLHPKASDIQFPRDHHHHHHHCLESTMVIEAKHEFQDLQLRPIRTRAVLTGSTSGTCPSSPRLRSRRLPAVINGGSISTTSAIGGQRSAARRSFAVVKASTDPPRDFKESMVEMIVENDMNAPEDMQELLECYLSLNSREYHGVIMEVFREIWLEIVQDIAED >Sspon.05G0011770-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:34121926:34122980:-1 gene:Sspon.05G0011770-1A transcript:Sspon.05G0011770-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSLHPPHLHFHKLKRRHHPAAMAKKGLAAILYKFRDVHRPPSASPPAPSTPSAHYAQRCYPPPPSAWPWPSCRHPRTSSFRGPKDDDAAVFRTLNSVYDTTSEQFLRRSSMDEAACIDRSPLSLLGEAVAEQVDEEEKETELRETAVVRGMRSERLFFDPAGAEFLPKQRFQGVAPARGKNEAATVAVEGVKSEEPATDAPRDKNESAAEAAVAAKGGAVVVTVESKDPYGDFRASMAEMVAAHGLQDWEALEELLAWYLKLNAKGVHAAIVGAFIDLLVTMQPQASSPPSLPSPSPSSSCITFEEYSSATFDEEDGKS >Sspon.03G0020500-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:63832120:63843439:1 gene:Sspon.03G0020500-1A transcript:Sspon.03G0020500-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKALLSSSLLPALPRASGGSRSRPLLLPPLRLRRGRRAASACAVRAGLHGLDYVGGPHLQAALERAEAALYTLADAAVAAADAAAGAGAGGDAGEAAAAAQKNGGWFGFISEALEVVLKVLKDGLSAVHVPYAYGFAIILLTIIVKAVTLPLTKQQVESTLAMQNLQPQLKAIQQRYAGNQERIQLETARLYRQAGVNPLAGCFPTLATIPVWIGLYQALSNVANEGLFTEGFFWIPSLGGPTTIAARQSGSGISWLFPFVDGHPPLGWHDTICYLVLPVLLVASQFVSMEIMKPPQSDDPSQKNTLLILKFLPFMIGWFSLSVPSGLSIYWLTNNVLSTAQQVWLRKMGGAKPVVSEGGSGIITAGRAKRSNAQPAGERFRQLKEEENRRKFNKALAAGDSNASSSTYDMEDEELDDETTEEGGPVEEASSTASDKKLPSYSGKKGKRSKRKRMVFAAKLCNESSSVYVRPEGNGQENRSRWECCVVHADSVRGWLGLRDPRAVGGLVRSTAAVASVAHRPVLWLHTKAPTNVPTHCCPLSRLASVTQTVTHVEHGGDYG >Sspon.01G0046980-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:112886513:112890466:-1 gene:Sspon.01G0046980-2D transcript:Sspon.01G0046980-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSSRLLKLTLSSYFLRSCRLASSSLLPTASRCHPGPLHSLRFCSAVPAVVDVAADPAEAVVSAGHPWPEWGDFLDKLRAKGYFEQGRPSSGVSSGEGTAGDGDAAVAAASENAAAAASGNTVAAAADSVVASEDIYPFRDENRVKNACLKFARDRYDLLSSLPKQDIQAIVTSGCPNKNRKPVNSAKRLRQFVEVKEEDACGICKFKASCDKAFVTPKDEAEVKTVDVVRILLSYAMDKNLSGENSVIESVQESAKKLLSRLIELSDTKIDTSLPKPALQASRKAQGSDGKGRETTAVEMKKGDWLCTNCNFLNFARNDRCRECKADGPKKIEAAMPEMKMGDWICMQCKFMNFSRNKICFKCEEPRPKRQLNPGEWECPSCDFVNFRRNIFCKKCNWDRPEDDTQDSQLGLRKTRGAGKSRRFHYIDQKSDDDDNVSPYEGFRKRGASMRLKPDQRRTTAKSRGFDDLEDGLVTAKRRSTKEDEDDEVLPYEGVRKHVVSRRATPSQRRFTAARNQ >Sspon.07G0001110-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:1344901:1346529:-1 gene:Sspon.07G0001110-3C transcript:Sspon.07G0001110-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPESDRLHSPPYSISIRVAPSNEAKLDAHRPDAAEPKTTHPQPTLTLRSEEPPRQQQVQGTLARSDSTGERDRRFDQFKTLGGRLERQLSSIRGGSQHPAAAVGGDEEASRVSEAETDDDSGEVPTADRYFAALEGPELETLRATEVPVLPEDETWPFLLRFPISAFGMCMGVSSQAMLWKTMELERSTAFLRVSPGVNDVLWWVSAALMALVSFIYLLKVVFYFEAVRREFHHPIRVNFFFAPWIACLFLAKGLPEHVTALHHAVWYQLMAPILLLDLKMYGQWMSGGEWRLSRVASPTSHLAVVGNFVGALLGARMGLQEPALFFFAVGTVHYVVLFVTLYQRLPTNVPLPRDLHPVFFLFVATPSVASVAWARISDEFGLGARVAYYVALFLYASLVARVSFFFRGVRFSLAWWAYTFPVTSAAIATVVYASAMTSALNQALAVGLSSVASVTVAGVLAATVYRAFVRRDLFPNDVSIAIRRRPKAKFGKILKRIRTSSADLKELVVSRHSGGGSASETSISEPPTPMVYGRGRAEP >Sspon.06G0015380-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:68565511:68569258:1 gene:Sspon.06G0015380-2B transcript:Sspon.06G0015380-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSASNGEATAVPVPDSWNFEPNERLLGLMSISVRGVLARIKAEMVAGGGGGGRPVIPMGHGDPSAFPCFRTAPEAVDAVAGALQSGEYNSYSTCVGLEPARRSIAQYLSRDLPYELSLDDVYLTNGCAQAIEIICSVLARPGANILLPRPGYKFYEARSVFNGMEARYFDLLPGKDWEVDTDGVQALADKNTVAIVIINPGNPCGNVAETAWKLGIFVIADEAYAHLTFGERKFVPMGVFGAVAPVITLGSLSKRWLVPGWRLGWIVTNDPSGVFQRTKVAASIKAYHYISSDPTTFVQGAVPNLLENSKEEFFQKTIKILKESADLCWEKLNGINAITCPSRPMGSMFVMVKLDLSYLQDIKDDMDFCCRLAKEESVVVLPGRVVGCKDWLRITFAIDPSSLEDGIDRLKSFCLRHSKPAE >Sspon.03G0031840-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:29469932:29476122:-1 gene:Sspon.03G0031840-3D transcript:Sspon.03G0031840-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Evolutionarily conserved C-terminal region 8 [Source:Projected from Arabidopsis thaliana (AT1G79270) UniProtKB/TrEMBL;Acc:Q9FPE7] QTKAAVLHSPSQKFKTAETENRHPSTPPEREKKLPNQLNPPRRNSPPSPRLHRLHRRLPRLRLLSSSAARLRPAAGAGLGYRRRPRRPHFAVELEDTRSSAGFFCGELWCGLMEPKGELAQKPIEEAIDSLKIDASTKASDVNLPAKKDASSSDAVSCISSGDAASTVKESEMNQEISFGDQGMYYYGYYYPGSYGGWDENGYFVGYNGLEVHPAVVQADNGSYLCYLPGYENGYASYSPVGPGGIPSVDGQYVSKEPYYSTAIPVQDLSTPGIFAQPIAYGTEPFLPNQPAYSWDPSFVLLDGVQGHPVSVHQTNYAARPKYSSNKHAIPSSKAARSAKPAADTVKGSSSGLETVPNAANSSPSSKGANKASGASITKGYLPSSKFVMHSNNQGKNSVYQSKGINVKESGRSWNNSEKLKTTSKLNGHGDSESNENSHTDNSKHSLSPQSDVGLSSAGGANASIPSHVAISKNAYNLSDFVTKYEQALFFVIKSYSEDDIHKSIKYNVWASTPNGNKRLDNAYRLAQERMAEKGPNVNASGQFCGVAEMVGPVDFNRNMNFWQQDKWNGFFSVKWHIIKDVPNPQFRHIILENNENKPVTNSRDTQEVKFSQGTEMLNIFKNFACKTSILDDFDFYENRQKVMQDRRGKPLTTSFDHPLPKAEKTAEIKRQTQLVSATEFGRAKSNEGQGNNVGMVHDTTKKNEEQSNNVPEVLDACSNKESNPTKLQHKDDAMR >Sspon.05G0009020-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:26404350:26410543:1 gene:Sspon.05G0009020-1P transcript:Sspon.05G0009020-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAKEKASACCSRDAPARVAGAPVVPMRAIAASPVGGKVVALAAGGGERVAASAAGASGAVIEEIAAVQPTTAKASSKAYNLDRHMLFEPCVNSTCVFLDKKNAGIPIMTRAQRCHPLDPLSAAEIAVAVATVRAAGRSPEERDSMRFVEVVLLEPEKNVVALADAYFFPPFQPSLLPRSKGSAVIPSRLPPRRARLIVYNKQSNETSIWIVELSEVHAATRGGHHRGKVISSEVVPDVQPAMDAMEYAECEATVKSYPPFIEAMKRRGVDDMDLVMVDAWCAGYYSEADAPSRRLGKPLIFCRTESDSPMENGYARPVEGIHVVVAMQNNAVIEFEDRKFVPLPPPDHLRNYTPGETRGGVDRSDVKQLIINQPEGPSFRINGYFVEWQKWNFRIGFTPKEGLVIYSVAYVDGSRGRRPIAHRLSFVEMVVPYGDPNEPHYRKNAFDAGEDGLGKNAHSLKKGCDCLGYIKYFDAHFTNFTGGVETIENCVCLHEEDHGILWKHQDWRTGLAEVRRSRRLTVSFICTVANYEYGFYWHFYQDGKIEAEVKLTGILSLGALMPGESRKYGTTIAPGLYAPVHQHFFVARMDMAVDCKPNEAHNQVVEVNVKVESAGTHNVHNNAFYAEEKLLKSELQAMRDCDPSSVRHWIVRNTRTVNRTGQPTGYRLVPGSNCLPLALPEAKFLRRAGFLKHNLWVTQYKSDEMFPGGEFPNQNPRIHEGLPTWVKKDRPLEETDIVLWYVFGLTHIPRLEDWPVMPVERIGFMLMPHGFFNCSPAVDVPPSSSDADVKEAESPKAIQNGLISKL >Sspon.04G0021130-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:74019319:74021074:-1 gene:Sspon.04G0021130-1A transcript:Sspon.04G0021130-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPHEPDNCGSEAALAVVTTVDVTAARDLIISSAGGHRYLDVRTEEELAKLGHLVEPQNSLNVPYMFITPEGSRVKNAQFVEQVASHFSSKEEPVLVGCQSGKRSELACIDLQAAGFKNVKNLGGGYLAWVHHGFPVHHPLPAPGAGDMEHDASPRPPTPPPAPSNQA >Sspon.01G0058420-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:27864758:27868990:1 gene:Sspon.01G0058420-1D transcript:Sspon.01G0058420-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MWPYHATGADTRPPVAMLTGAPHAGPPPGAFPATPWVPPSGTPPGVTGWDQAALARSFSTMGLTPPVGPEWIADSGATYHTTPDPGILSSIHSPSSSLPSSIMVANGSCIPVSSVGTAGAHGSFRLPDVLVAPSMVHNLLSIRRFTADNSCSVEFDSSGLTVKDLASRRPLLRCDSSGPLYTLRFPAAPSSPSPPVLSAAFVASISSTTWHRRLGHPGRDALIQLSRSSGLPCTRAHDEQLCHACQLGRHFGLTIKAVQCDNGREFDNSTSRAFFLSRPLFLLASGLRACTPPPTFLTAFLPLPVPLPHLTTPFRYPASLDPRSTLCVFLGYSPDHKGYRCFDLTSRRVLISRHVVFDEYRFAARRAGHLSRIPSGSEPVVLGRGPVVSGWCRPGAFVPRFCPGGSCRSPTPDPVPAPPSRFAAPIRVYQRRPRPPPLAVPSPPGTPTPPQQSPPARGAPPVYHPPLLHRHPRHVHPMVTRHAAGTLPPRALEASTGDAVVSPVPSSVRDALLDPHWRRAMEEEYAALLANQTWELVPRPPGSNVVTGKWIWTHKRRADGSLERYKARWVLRGFTQRPGVDYDETFSPVVKPATVRTVLSLALARSWPVHQLDVKNAFLHGLLTETVYCSQPAGFVDSTRPDMVCRLNRSLYGLKQAPRAWNHRFAAFLLTLGFMEAKSDTS >Sspon.03G0017320-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:41612388:41614903:-1 gene:Sspon.03G0017320-4D transcript:Sspon.03G0017320-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LTVDYSKSSESSPLNTERVAHMEGAQLIGRHEEATIFTLRQLAEATKNFSEDYLLGRGGFGCVYKATLSNGQVVAVKQLDLNGFQGNREFLVEVLMLNLLHHPNLVNLHGYCVDGDQRLLVYEYMPLGSLEDHLHDLAPDQEPLDWRTRMKIAAGAASGLEYLHDKANPPVIYRDIKPSNILLGEGYHAKLSDFGLAKLGPVGDKTHVTTRVMGTHGYCAPEYALTGQLTVKSDIYSFGVVFLELITGRRPQDSDRPPEEQDLVAWARPLFKDQKKFPKMADPLLRGRFPSRGLYQALAIAAMCLQEKAKNRPPIREVAAALSYLASQTYDRNNSAARRNRAGPSTSRVLDDQIGQDTTLANQHGAQMSMHGQTNHVMPEVKETSWSGNGSHRGGRGRVTPNGADRERALADANVWAEAWRRQEKASKMR >Sspon.07G0034280-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:71682857:71686328:1 gene:Sspon.07G0034280-1C transcript:Sspon.07G0034280-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLPLSGDGATPSLPSSPSYSSAATRRGGVWELPPQPVVECLFDPQIRGTRFVSMVALDSLILLLLPGFAQVPIGSSVEIADFCLFGSAHMPDQHLPAITSCPSNHQSFLPM >Sspon.04G0006840-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4A:19548126:19548479:-1 gene:Sspon.04G0006840-1A transcript:Sspon.04G0006840-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKAFALFLVVNLVVLGVASAWTPPSPSPSSSGKCPRDALKLGVCANVLGLIKAKVAVPPAEPCCPLLKGLVDLEAAVCLCTAIKANILGINLNLPIDLSLILNYCGKTVPTGFKC >Sspon.02G0030280-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2C:110333428:110334108:-1 gene:Sspon.02G0030280-2C transcript:Sspon.02G0030280-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMEGLRSSLAALLFLTLVVLAAAASGGDDAAAAAEEHPLADEPYMLVAFQNHDGEEIRLLADKGTDSLSPSGFANRSGHWFYAIREEGKQGKRRRRLLPLPQSNNRSSRSSRAEVLWTTLGVLANTTAAAGDSDPAAADDDDERYMVVGMQTSGGDKIRLVVVADQRYVAVTGFAKGFSSCPQWFFRDDERHRLILTLGGNGGSHAEVVASNLLRALINGGSGMA >Sspon.02G0018770-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:62024279:62027859:1 gene:Sspon.02G0018770-2B transcript:Sspon.02G0018770-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uridine nucleosidase 1 [Source:Projected from Arabidopsis thaliana (AT2G36310) UniProtKB/Swiss-Prot;Acc:Q9SJM7] MKLIIDTDPGIDDSVAIMMAFQLPGVQCEKAGHPEVPVAEGSHEPLKGGKPDVADFVHGSEGLGNIELPDPTIKKVEESAAEFLVDKVSQFPGEVSVLALGPLTNVALAIKKDPSFVKNVKKIVVLGGAFFSAGNATPSAEANIHHDPEAADIVFTSGANIYVVGLNITTQVSFTDKDLLELRNSKGKHAQFLCDVCNFYLDWHIKSYGAPVIFLHDPVSFAALVRPELFTFKKGVVRVETQGICVGHTSMDMLLKKWNSENPWTGYSPISVAWTVDVPKVIAFVKELVIKQ >Sspon.01G0003490-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:9593185:9593419:-1 gene:Sspon.01G0003490-1A transcript:Sspon.01G0003490-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTTAATMVKAVVVAVLLMQCCDVILSARPLLNAAAGRTAGGSWGSDPGNGNCDYTKPGNPGCPSS >Sspon.06G0015240-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:65564143:65570670:1 gene:Sspon.06G0015240-2B transcript:Sspon.06G0015240-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAKSADGFPAGVNGGSATNPANDAEGRRDVEAGGGWSSTPALTTTTTAAVATQGPPRGQRRLASLDVFRGITVVLMILVDDVGGLVPSISHSPWDGVTLADFVFPFFLFIVGVSLAFAYKRVPNKALATKKALIRVSKLFLLGLLLQGGYFHTIHDLTYGVDLRKIRLTGILQRIAIAYFAVALCEIWLRSDNGAGGYALIRRYRHQLFVGLVLTVTYTALLYGMYVPDWEYVVMSPDTTLTNFMVKCGVRGDTGPGCNAVSMIDRCILGTQHLYTHPVYLKTAQCSINSPRNGPLPPDAPTWCEAPFDPEGLLSSLMAIVTCLIGLQIGHVIVHFKEHGKRIIRWSIPSLGLLILGFSLDSFGIHMNKSLYSLSYTCVTTGTAGLFFAGIYFLEDVYCYKKPFFPMEWVGKHALMIFVLVACNIVPILIHGFYWREPQNNLLKFIGIGG >Sspon.03G0007910-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:26332562:26334679:-1 gene:Sspon.03G0007910-4D transcript:Sspon.03G0007910-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor MYB26 [Source:Projected from Arabidopsis thaliana (AT3G13890) UniProtKB/Swiss-Prot;Acc:Q9SPG3] MGHHSCCNKQKVRRGLWSPEEDEKLIKYVTTHGHGCWSSVPRQAGILHTLGLRWINYLRPDLKRGSFSHQEEALIVELHRVLGNRWAQIAKHLPGRTDNEVKNFWNSTIKKKLISQAVGSLHPDLYYNILDGAGQGLAAAGCAPLNGADSASAQAVVGVTQSPPPMLHNNNPAAWADFGSQPLFLPGHGVHGAGGDLGQYAAVDGEFVKQCGRAADSYPPADGGASQCKPPAADFVPQQEEGATARALIPVFLEPKCAAGDFMPEPAMAPVMDFMEAILAGSSSTSAASASSLDSFSANAGMQSHCWIP >Sspon.08G0003310-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8A:8812546:8815449:-1 gene:Sspon.08G0003310-1A transcript:Sspon.08G0003310-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEVILPSKALTLFRPGVEPRICVLLQGSAVTPLSRLCVQDRRSGHPVQERRDLVSCTRAKTNALLLPFLRPVVRFATRVAASGPTVAAATILHRAGALPRNRDLERLVRDDALHGRDSRGEDCIASFVGGVMRCLC >Sspon.04G0014810-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:55340442:55343662:1 gene:Sspon.04G0014810-1A transcript:Sspon.04G0014810-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDAAETSKTLHNWMSDQGDDDEETLQLSLGLPGGGGGGGTGARLPPAAAWRMLPARDKEMRSAAAVDTSMLSLGYSAPAFSPRSQGKAKGSPAAATENARLASTNNASQARQRSPNTPVIGWPPVRAFRRNLATSSKASLEHQNGKKAARPEQTTKRAPFVKINMDGIPIGRKIDLNALGSYDELSLSVDKLFRGLLAAQQDPLDAGTEECSQEEVAISGLLDGTGEYTLVYEDYEGDRVLVGDVPWGMFVSSVKRLRVLKTSDLSSSLTTSGRKRT >Sspon.03G0042640-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:37721210:37725756:1 gene:Sspon.03G0042640-2D transcript:Sspon.03G0042640-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VASFHRRETAVEKYNKSLKNAYKSGVREGLATGLGMGTVMVLLFCGYSLGIWYGAKLILEKGYTGAKVMNVIFAVLTGSLALGQASPSMKAFAGGQAAAYKMFETINRTPEIDSYSTTGRKLDDIRGILSLGMPDEQIFKGFSLTIPSGMTIALVGQSGSGKSTVISLIERFYDPQLGDVLIDGVNLKEFQLSWIRSKIGLVSQEPVLFAASIKENIAYGKDNATDQEIRAAAELANAAKFIDKMPQGFDTSVGEHGTQLSGGQKQRIAIARAILKDPRILLLDEATSALDAESERIVQEALDRVMTNRTTVIVAHRLSTVRNADTIAVIHQGTLVEKGPHNELLRDPEGAYSQLIRLQEANQQNNRKGDANTRPGKQMSINKSASRRSSRDNSSHHSFSVPFGMPLGIDIQDGSSNTLCDEMPQEVPLSRLASLNKPEIPVLILGSIASVISGVIFPIFAILLSNVIKAFYEPPHLLRRDSQFWASMFLVFGAVYFLSLPVSSYLFSIAGCRLIRRIRLMTFEKVVNMEVEWFDHPENSSGAIGARLSADAAKRTCGRRLQLVVQNSSTLVAGLVIAFVSNWELSLIILALIPLIGLNGWIQMKFIQGFSADAKMMYEEASQVANDAVSSIRTVASFSAEEKVMDLYKKKCEGPLRTGIRTGIISGIGFGVSFFLLFGVYAASFYAGARLVEDRKTTFPKVFRVFLALAMAAIGVSQSSTLTSDSSKAKSAASSIFAIVDRKSRIDPSEDAGVTVETLRGNIEFQHVSFRYPTRPDVQIFRDLCLTIHAGKASDLLILLRLLERVRFYDPDVGHILLDGVDIQKFQLRWLRQQMGLVSQEPALFNDTIRANIAYGKDGQATESEIISAAELANAHKFISSALQGYDTMVGERGAQLSGGQKQRVAIARAIVKDPRILLLDEATSALDAESERIVQDALDRVMVNRTTVIVAHRLSTIQNADLIAVVRNGVIIEKGKHDALINIKDGAYASLVALHSAASS >Sspon.01G0036900-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:20293475:20296411:-1 gene:Sspon.01G0036900-2P transcript:Sspon.01G0036900-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MACSFLFLAFLTTAAAACLSAAAATGKLLPPPETPSLSFGEGYTQLFGDSNLALHGGGKRVHISLDERTGGSRLGFAVLFSPECPIDGNGKPCFVLFLLCSLTSSLLCSAPLRTGAGFASQAAYLHGLFSARIKLPADHTAGVVVAFYMSNGDVYERTHDELDFEFLGNVRGREWRVQTNVYGNGSTAVGREERYGLWFDPTKVFHRYAIHWSRHSIIFYVDDTPIREVVRTEAMGAQFPSKPMSLYATIWDGSSWATSGGRYKVDYKYAPYVAEFADLALRGCAVGPASATACATPGSASDDDAYGHAAAISPARRSAMEAFRARYMTYGYCYDRLRYPAPLPECSVGAEAAAFLPSGDARAALHRHGRRNRMRRGADSAV >Sspon.03G0043300-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3C:79804649:79805469:1 gene:Sspon.03G0043300-1C transcript:Sspon.03G0043300-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADDGEERQNKRSLTASGEGSISKKSKALSASPSCLVSSVILKEESEGEVAQGGRASRARGVVAEQGNPPMALPRINVSLQPQLLHCAVTDCYRRLKPPVFKCVAGHRLCNNCRGDGRAGHCRKCGRDTTFVHCGPDLDVYIGGFMVPCPFQDYGCDSSVAYHESDAHRDACAYAPCRCALCPFKASPLVFRDHLAAGHAWRVHAVPSYRKLFHLRAAVSVRARAKDIWAVSLACVRASAKAEP >Sspon.03G0039070-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3C:9458737:9459054:-1 gene:Sspon.03G0039070-1C transcript:Sspon.03G0039070-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAQGKETRTAAIAPQIRAKKYRNKKLTKDRHSSFNQQQQQLLCGHRNRQSGGDDRTTPTARRSTGRAAASIAPQPNTPAAAQGNGRQGLRPAPPPPHHHHRPTR >Sspon.03G0009120-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:31001080:31002326:1 gene:Sspon.03G0009120-2B transcript:Sspon.03G0009120-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFREEQLDLVLVPLALAAVAGYHLWLLWAILRHPTRTVIGLNAIARKRWVAAMMANTEKNGVLAVQTLRNNIMASTVLATTAITLVSVISVFIGVTSPASSPRLVYGSKAGEVFAAKYLAVSLCFMLAFVCNVQAIRLYAHASFLLGGLPPGPGDGDEAQAREEFACYVARTVNRGSYAWSLGLRAFYVSLALFLWTFGPIPMLACSVLMCGLLYFLDTTSSSDHGHVHGQQGTAGAARKDSTV >Sspon.01G0040780-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:35294385:35294768:-1 gene:Sspon.01G0040780-2C transcript:Sspon.01G0040780-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ALPRTERSFDLTMVRALVSMLLCSVAPAASAREEPPMPQIVGGWKPIKNVNDPHIQELGRWAVSEHVKQASDGLVFSKVVSGEEQSSPGRTTSWSFRRRGAAPGRARRTGRWCTRSLTR >Sspon.02G0012540-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:17489366:17494940:1 gene:Sspon.02G0012540-3C transcript:Sspon.02G0012540-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFLLTPGARAAPSPSTLSRLLRPLHLQITNGRHNHQHRHVSVSASASPLLYPRLRNRRDRFFASSSSSQMAAPADAPGGSSDAFEVIRVHQVWLLAFCRWLWGSNTDHSGPKCQRRSCYAFSEFSAAEYKEAKVDPISQFSTPITSHMNKDHANDTKLIVQHSTTVKVDFAYMLDVDSLGFNVKAGYDGSVLKLRIPFPRQAQDRKPSEAPVHCGILSRDTNVRRGRERPKLTWGRQ >Sspon.08G0003690-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:10351131:10355659:-1 gene:Sspon.08G0003690-1A transcript:Sspon.08G0003690-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKVLLKDAISQIYLNPQIWVYASYEVQRDLYLFVIKYFETDGRLLPLLCGLPWVIDIVCRYYWENADSKHVVASKPLLDPVTKRLIGERPKVVEIRKLRLLLLSLAEMSLKEFEPVRLLGLQLLGKLLTGIPSEKEGTKLFTLPLGKSRPISVNLTKEITAAPQLFLNTMSERLFKFPLSDNLCAALFSVLSGTSAQQEHSWNSWLETSTKLDVFKDYKSVSKGEPDDVKTDELSLGQLSSSDLLRDILDDIAGSLLQKSVEDNIFLSQPCCDNELLVSQMGIRLLFLSPSISEESSHDNIWKEDIKSTSLKTLGSPRGVYGCQGTYLISYALVSCL >Sspon.01G0012270-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:39563156:39567179:-1 gene:Sspon.01G0012270-2B transcript:Sspon.01G0012270-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GMKAVPGDSAASPVPTTKITMPASAVGGAEAALLGKGRYKVWALAAIALLALWSMSAASVSLRWSSSGDLASVYGDMDVPLADDLDSQEMEEREKLVGRMWDMYTRTSDEVRLPRFWQEAFEAAYEELAGDDVQVRDAAISEIARMSAHKLELEQTLNENQAFK >Sspon.01G0017220-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:62780611:62787577:1 gene:Sspon.01G0017220-1A transcript:Sspon.01G0017220-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFGKFQALVLVYAGTGWLADSMELMLLSFVGPLVRQQWNVSPQHESLLSSVVFTGMLLGACSWGYISDKYGRRTFLLFSILLTAGAGFISALSPNYISLLALRFLVGIGVGGTHVFSSWFLEFVPAKNRGAWMIVFSAFWTFGTIFEASLAWVVLSRLSWRWLLAFTALPCFVLLLFFVIVPESPRYLCVQNRISDATLVLERMSKTNRVALPPGALTYHKETQLVDHNGDALTSQNGHLPVRESDCTTMDNNAMTMSSKSGSGGIAALRKLFSRKLLRSTLLIWFVWFANSFAYYGLVLLTSQLSDANRRCTSAQKSQAHQKASNLYKDVFITSLAGLVISAIIVDWFGRKATMWILLFGCCGFLGPLAVHQKESLTTALLFGARACGMGSSTVLCLYAPEVYPTSARSTGVGIATAIGKIGGIVCPLIAVGLLRSCHQMEAVLVFELVLGLAGVACILFPVETKGREMK >Sspon.04G0004650-1P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4D:14676015:14676688:1 gene:Sspon.04G0004650-1P transcript:Sspon.04G0004650-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSGNPNPNPNPPFEIGKLFRPPNPMPTASPNPIFPGVAGGPAGPPPPSGPYSYPPVTPPFHRGPYIHYPQDPHVMPRPLLGNSGPTQLETAVSMPPPTSEFAQPQPLPAMPSAPPARMLSSTSSKVPRGRLLGTGERAVHDVDSRLPGEAQPPQLEVTPITKYTSDPGLVLGRQIAVNRTYIVYGLKL >Sspon.01G0011700-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1A:31836609:31837757:-1 gene:Sspon.01G0011700-1A transcript:Sspon.01G0011700-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDVDRRPSHTHGLPRPPSHAAGLRRASAPSTPRTSAPPSPSAASAGPAPSPSALLAHLAAAGVTVLPGLSAAELALAEAALGGVQLPPDLRDLLALGLPSGDGFPDYRSPAGLRLLRFAAQEVPAAVAAATLPLAPGRRRAGRAAPPPLVPLCGRHYVPATPCLAGNPVFHVSDSGVAFAGANVADFLLRAFAAEPPPGAPLRRQLSAPAPPPSAAAPPSTARRSLDSVTGRAPRWIEFWTDAAAAGDRFLEVPTGSTSAAAAAPGWLRSSLAEAASMLRRGGWSVGEVEEMVTGEGPSGVGDVDVVALALTVDRCCGDLKRGGWGAEEVVEMLGALLGPRKPRRAAPALPPDVAARVGRLAEAVSRAVGSHAKAKPPRPS >Sspon.07G0003370-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:7858984:7862163:-1 gene:Sspon.07G0003370-2B transcript:Sspon.07G0003370-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAMLVLLLYCSVQTFRYVAVGNEPFLKSYNGSFINITFPALKNMQRALDEAGFGQRIKVVVPLNADIYSSPENKPVPSARSFRKDINTLMVDIVNYLHANDAPFVVNIYPFLSLYQNPNFPLNFSFFDGATKPVYDQGMVYTNVFDANFDTLVWSLRKAGVPDMRIIVGEVGWPTDGDKNANIKYAQRFYNGFLRKMAKNIGTPLRPGRMEVYLFALIDENQKSVLPGRFERHWGLFTYDGKPKFSMDLSGNGKGNLAEVKGVQYLPSQWCVFNKDTKDKYKDLPASFRAGIPDRNCEGSNVVEPQTWSIHYEIPDKESDLTN >Sspon.03G0018510-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:57169023:57170494:1 gene:Sspon.03G0018510-1A transcript:Sspon.03G0018510-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVPLSPCRRSSPASARAVPRPPPHLGPPRASPLRLSNLLLLALASVPGAATLADAVFARLPEPAARDPFPWNTAIRLHAPARPRAALLYFARMRRCGVRPDAYTFPAVLKACGCAPGCRAGLLVHAEAVRRGLGADLFTVNALISFYCRILDCRSGRKVFDEAGGVSRDLVSWNSMVAGYVGCGEMWPAQELRVLLGALIDGYGKQGGAGVDRARELFDQMPERDLVCWNSMLDGYARHGRMDEARSLFEEMPERNVISWSIVIDGHVRCGEAKEALEHFQSMLRCGVRPDRVAAVGAVSACAQLGALEQGRWLHSYLEKKKVLSDVVVQTALIDMYMKCQACYRDNAHGTNSRIVGISSCCLPKP >Sspon.04G0031920-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:19501660:19503117:1 gene:Sspon.04G0031920-1C transcript:Sspon.04G0031920-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHEEQCDTTYGQGNGRGGGEEELKMEGRLLMRMKRRDHLLLTDGGAGAGPLSVQSPQAPMEPMEFLSRSWSVSASEISKVLAAGGVGGRRSSNFVVDRLSGMLMPETLALAAASGTNISTRKRTLRSRSTISAHQVHHTVHAIGKWFHHWDASSKVDKARAERARVHAAVSVASVAAAVAAVAAGATSPEMEDFDGARMESALASATQLLASHCVEVAELAGADHDQVVSAVEAAVDVRSPGDLLTLTAAAATALRGAAAMRHRAQREARSRAAVAPYEKAGGSCRADVAVYINKKSQVIVKLKSKHIGGAFSKKKKGVVYGVYDDIPAWPAHDEGGGVQGSAPETCYFGLRMAQGLLEFQCESRAQRQEWVEAVKNLIREVAGGTAQLEHSFESLRLSAS >Sspon.02G0015580-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2C:43471034:43471232:-1 gene:Sspon.02G0015580-2C transcript:Sspon.02G0015580-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSALALFIYCSTELEELHNMAPLLGSFPASKSKTALLQCPARRSRSRAKEALSINIKRIYCSFP >Sspon.08G0000880-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:72899:80303:-1 gene:Sspon.08G0000880-4D transcript:Sspon.08G0000880-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEEVKSVTLSRLTAVMVEVVDFLKNPDKYTALGAKIPKGCLLVGPPGTGKTLLARAVAGEAGVPFFSCAASEFPWRRRRCRPPTSSSPPRSQSRKPSSLRPPRLPLAKPLPAAALLALAASPALAADAPAPAPAPAPAPELQAEAPTPTANPFSSSLLTAPKPSAAASDLPEGAQWRYSEFLSAVKRGKVERVRFTKDGGLLQLTAVDGRRATVVVPNDPDLIDILATNGVDISVSEGESAGPGGFVAFVGNLLFPFLAFAGLFFLFRRAQGGPGAGPGGLGGPMDFGRSKSKFQEVPETGVTFLDVAGADQAKLELQEVVDFLKNPDKYTALGAKIPKGCLLVGPPGTGKTLLARGVPFFSCAASEFVELFVGVGASRVRDLFEKAKAKAPCIVFIDEIDAVGRQRGAGLGGGNDEREQTINQLLTEMDGFAGNSGVIVLAATNRPDVLDSALLRPGRFDRQVTVDRPDVAGRVKILEVHSRGKALAKDVDFEKIARRTPGFTGADLQNLMNEAAILAARRDLKEISKDEISDALERIIAGPEKKNAVVSEEKKRLVAYHEAGHALVGALMPEYDPVAKISIIPRGQAGGLTFFAPSEERLESGLYSRSYLENQMAVALGGRVAEEVIFGQENVTTGASNDFMQVSRVARQMVERFGFSKKIGQVAIGGPGGNPFLGQQMSSQKDYSMATADVVDAEVRELVEKAYSRATQIITTHIDILHKLAQLLIEKETVDGEEFMSLFIDGQAELFVA >Sspon.05G0014350-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5C:47420495:47424537:-1 gene:Sspon.05G0014350-2C transcript:Sspon.05G0014350-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLRSTIPSLLPVLLWMKRHSSDRLFMVCKGTHSPPVSRANTEQTVLSCPIKAETCALVLMSHT >Sspon.06G0021360-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6B:14411570:14411857:-1 gene:Sspon.06G0021360-1B transcript:Sspon.06G0021360-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPAYDSTARRLPSSIGGGVRGWVPLCRHATLSASSPALPFAFPPSSPPKNPCAYTAASLASRIRPAGSSSSDLLLVPPVDRHPQSVLIPNRLGV >Sspon.02G0052720-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2C:95624962:95625299:-1 gene:Sspon.02G0052720-1C transcript:Sspon.02G0052720-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DSTSIADASDYRSLVGALQYLTMTRPDLQYAMHAPTTAHQGLVKRILRYIRGTTVLGLHLRQSSQSDLVAYSDADWAGCPDTRRSTSGYCVFMGGLANFLVLQMANNSLPL >Sspon.01G0035580-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:12154022:12154427:-1 gene:Sspon.01G0035580-1B transcript:Sspon.01G0035580-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding TSYDEVLRSLLQFSNEELYIPFCKGFDVIHRTQSGTGNNTDTGAVAAAPVALGKGKRKVEGKEHTRRLYSPTTGKATTRIVTLLLDFALGD >Sspon.01G0006310-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:17483250:17485679:1 gene:Sspon.01G0006310-1P transcript:Sspon.01G0006310-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLILVFLLLSSVDLRQISGATTDTLTLGQSLPWNQTLVSKGGNFELGLFSPGNSKKHYIGIWFKKVSKQTVVWVANRDRPILEPSASRFTLSDRGELLLRATPSNTLLWSSNASSPSPRTTVATLQDDGNLVVRSNATASSSGPVAWQSFDHPTDTWLPGARLGYDRARGVHSFLTSWTDSENPAPGAFSMEIDPHGQAKFDLLAGGTHQYWSTGVWDGEVFENVPEMRSGYFDGVPYAPNASVNFFSYKNRVPGIGNFVLEVNGQMQRRQWSPEAGKWILFCSEPHDGCDVYGSCGPFGVCSNTSSAMCECPAAFAPRSRGEWKLGNTASGCVRRTKLDCPNDGFLKLPYAVQLPGGSAEAAGARSDKMCALSCLRDCSCTAYAYDAAKCLVWNGELVNLKTLPGDEGIAGAAAVLHVRVAASESEVPPPAAHHSWRKSMVILCSSVSGVVLLLAGLIIVVAVAVVLRKRRGKGKVTAVQGSLLLFDYQAVKAATRDFTEKLGSGSFVGVARGLSYLHEKCRECIIHCDIKPENILLDEELGAKLADFGMAKLVGHDFSRVLTTMRGTMGYLAPEWLAGAPVTAKADVYSFGLLLFELVSGRRNNGSAEAGPISAVYFPVHAAVRLHAGDVVGLLDEKITGDANVKELERVCKVACWCIQDEEGDRPTMGLVVQQLEGVADVGLPPIPSRLHMLAMMNNGSKLDTEVNELRVQV >Sspon.08G0012430-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:53329785:53339054:-1 gene:Sspon.08G0012430-1A transcript:Sspon.08G0012430-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAEPDSCLQSFELYEAESKFYILGTNTNKTIWRLLKIDRMEPSELNVDEDSTVHSQSDYLDLLKNLDEEHGSTGGVKFVTNCFGIIGFIKFLGPYYMLIITEQRKIGDIFGHPVYQVTKTAMIELSNSKTRPKLINSKDENRYKKLLQTIDLRKDFFFSHSYQIMRSLQKNFSDPQEGWGLYDTMFVWNEFLTRGIRDILKTTLWTVALVYGFFKQDKLAICGKDIMLTLIARRSRHYAGTRYLKRGVNEEGRVANDVETEQIVYEDMLGPWQISSVVQNRGSIPLFWSQETSKLNLKPDIILHEKDKNYEATRLHFENLRKRYGNPIIILNLIKTREKRPREIILPQMAENSLNFSPTLKNGFGPHVCDVNNNCGNADYVDDLDDISQDDTCGSSDPGNGIAEDKSEVNGSTQIKPPKLQKGYFQPQVGKPALWEPESGDEHVLDDETRSKAISTPDVNISTVNGVHDVSSGTTDDQAAEIQDQGLSKDFVQWVNQGEAFWY >Sspon.08G0002890-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8A:8107889:8108773:-1 gene:Sspon.08G0002890-1A transcript:Sspon.08G0002890-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGFDEPLWDQLAKALADINAWLAAMEGRQAAFARQVSAAVQLQAAARGLLVRRRVRRLCDLQLIQPRTTSQFLQAVLRRAKADTAQQIKQRKDIAAQPMLIHQVSAVVRLQAATRGLQARQRLQEMRQKMREAVLAAVRLQAAASGLLARRQAREMRGLQLVPVPRASLLRHQAALRHMEGPDLVRCVMEIGRAITTSGGELSVYSAGVWGRGCVATHRRTLISAVVLRHRPPRGRLRWPQVCLHQPPPVQCQGHSPAFAEGRTLSLQAAGWGPPFIQRCSGNKEFTSCLMAE >Sspon.03G0023980-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:73172621:73173097:-1 gene:Sspon.03G0023980-1A transcript:Sspon.03G0023980-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LESQPAQHQRAATRRTGTGLVHLTSTPQQAGGGEAMCCCGDGECRPLGWLLGLPFALLAVLVSFVGAIIWIIGLPISCICPCCLCVTVLLEVAVELVKAPLHVMTWFTSKIPC >Sspon.01G0012030-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:32835346:32837850:-1 gene:Sspon.01G0012030-1A transcript:Sspon.01G0012030-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDGGSSSSFRELYGNIHGPAVLTGAAFALVALLISLWLILQHLRSYNDPAEQKWIIAVLFMVPVYASESIISLWNSKLSLACDILRNCYEAFALYAFGRYLVACLGGERQVFHLLENRRRDELSEQLLESQDKTQAHNRSRVYSFFCDPNALGENLYTIIKFGLVQYMILKTLCALLALILEPFGAYGDGEFKWNYGHLYPYIAIVINFSQTWALYCLVKFYNATHEKLQAIRPLAKFISFKAIVFATWWQGVGIAIICQTGLLPKEGKVQNALQDFLICIEVHLLMAIAAVAHAYVFNVEPYQQCIPVLGHGEVTCEESKMEVKLDVNDDTSSTPTTIEEQETHVEAPGTSIKESVQDVVLGGGQHVVKDVALTISQAIGPVEKGVEKGVGKIQEKFHHISLKPGDKKEPEVDVEEHITENVVDGKPVAVNAEVEVEQKVQDSGKEGETAVVETDVEIKRTEKDDEV >Sspon.02G0040840-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2C:75479745:75479918:-1 gene:Sspon.02G0040840-2C transcript:Sspon.02G0040840-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKGKAAMPRRKIEIKRIENEDARKVCFSKRRHGLFKKASELSILCGATVGSVVFSNS >Sspon.01G0039220-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1C:16390964:16392638:1 gene:Sspon.01G0039220-2C transcript:Sspon.01G0039220-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPKGQVSSERNVKIISGRDGQKKGNSQHDQIVHVPKDMVTAVNGNVDGKFEDKVRVVKNDKFRRQRESWGGERAACMKGSKPWPGRKATTVDELVKHMSNVPSYLQRKETSDHLQDKALNVGVLEWGLLANWSQQQKLELARSHGASPSNTSRSVLFSSPSHSSASPSSRSIESTQSTPMSDHHHSSIRAQQSRLADKHHGKARCSPSPNSAVLSLLPGHGTHPCPENGRNYVDLGLNNVSLAPDSLGSSSRSCGRHEMVEDEETRWKIEDVVHHCSRRLFTDSDNIGKHFFTSNNNDSMCNDPEQSSGLNGENFESLISDALMDTARSGSRSPDCFLEDIEPSLEFPRIPYSCPLPIIDSAAKEIGTTSTEAGDNFVGTAAKVNKNSNRNQSAMNVTENPPRYSAKFSDRMPDRHIGSGMNRVSRSSSLKESPHARQPNVVPPVDKTGDRSSPNSKVQDTSRKTRIQQQQGKHFCS >Sspon.07G0004990-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7A:12636322:12637963:-1 gene:Sspon.07G0004990-1A transcript:Sspon.07G0004990-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding EALSEALLCFGASSVTVDDIADAENLDEISITSLYADGEDVDSSVSSAASSAGLNYSPVYEISVGKQCDWVANVQETYEPTEVADGLWVVPKWRTPPDPEATNIIIDPGLAFGMGEHPTTKLCLLFLREVIKGGECVLDYGTGTGVLGIAALKPSCFPNAIDKSEDHDSTNNLDLKFSRGTYDVVAANILLNPLLELVEDIVGYAKPGGIIAVSGILEEQVPKIKEVYSRYLDRILVSEMDGWACLQGTRRV >Sspon.01G0032440-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:109708898:109714105:1 gene:Sspon.01G0032440-1A transcript:Sspon.01G0032440-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSATYSASATTVVAMAKGNGNSKGVRGGGSAGTNKVTRPPRITSNVKQNLRILKFWKEFERRQTSGPQPSTRYRKKKVIKEVLPDDTDFYEDPSSTLHLSGLTNEGLEIASPVILVDGYNVCGYWGKLKKDFMNGRQEIARQTLIDELVSFSAVREVKVVVVFDAANSGLSTRKETYKGVDVVYSADLSADSWIEKEVEALVADGCPKVWVVTSDALEQQLAHGEGALIWSSKRLVKEIKESEKELDLELKETRSTSLQGKLLQHKLNPKVVHALKGLRNKLEEQERRKK >Sspon.05G0010340-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:31918675:31923694:1 gene:Sspon.05G0010340-4D transcript:Sspon.05G0010340-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSASRSGRRRTGSVALGDLLRREASAERAALGGGERDRPSVAAGQACRAKKGEDFALLKPACERRPGAPSTSFSAFALFDGHNGSAAAVYAKEHLLGNVLGCVPTDLSRDEWLTALPRALVAGFVKTDKDFQTKAHSSGTTVTLAIIDGSVVTVASVGDSRCVLEAESSIYYLSADHRFDANEEEVGRVTECGGEVGRLNVVGGAEIGPLRCWPGGLCLSRSIGDQDVGEFIIPVPYVKQIKLSNAGGRLIISSDGVWDALTADMAFRCARGLPPEAAAEQIVKEAVESKGLRDDTTCIVIDIIPPEKPKCTIESPKTPGKGLVLLKKFFLRKTASDSLSLADTDNYPEPDLVEEVFEDGCPSLSRRHIHTRGFVKARKAASLGWPFPLSQLPGKERGDGGEASLTRFLVKK >Sspon.01G0024700-4D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1D:86282957:86283268:1 gene:Sspon.01G0024700-4D transcript:Sspon.01G0024700-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWKRKNGSGSPGRGSGGAYEEEKVPRGHVPMVAGGGGGDGEEGERVLVPVRLLSDPSVAELLNMAAQRYGYGQPGVLRVPCDAGHFRQVLDSAMQRCGISFA >Sspon.08G0003270-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:7100123:7105119:-1 gene:Sspon.08G0003270-3C transcript:Sspon.08G0003270-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASYFNHSSSSYPPPPPPPGTSPYGAYRHAYPPAPAPPAAYSAYYDRAEQALPARDELRTLFIAGLPADAKPREVYNLFRDFPGYVSSHLRTGKSSQGMVFDLEKNCSLHVDLAKSNSRSKRLRSDDTSPYSPEKRTRKPRGFPDSVTQALSLDPSTFAPQNNPPCPTLFVANLGPACSEQELIDVFSSCAGFVKLKMQNKLGAPVAFVDFKDAFSSTEAINRLQGVILYSFTWRGNTFRICKISDGPSEA >Sspon.01G0039690-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:36095247:36099435:-1 gene:Sspon.01G0039690-1B transcript:Sspon.01G0039690-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSGSGSNSNTAPPVPTDGGHGGDLPGSSRPYRRRLWTPCGKSQRSRRSPAGCRGARASSQDTVAKPLDGGALSLAGNAHVGEGHRGGITLLPSSHHGRLPGFVRAAVAWPSDPPMCTRDRRIHSPSDRRLAVTGLKSAHAGESGWPNSRPRPRLRPRRGNAGERAREAGRGYSLMGFIKDISPLKGDNYTEWKKKIDLAFVLAEVDCVVITPCPTEPVAPVRDANESDAKWQKKERDHAPIQMIYDLQKQKWVNANKKCVAVIENMIEPTIMGSIQECDTVREYLKRIKSQFYSSSKTYVTQLIKQLVTERYTGGGNGNDIREHISKMNHLNNKEERIKAANGGTLSFVKDNKRKNVNANTNSPSKPKGKGPMQHQPQQNRFAVNKDQCLYCKEGHYKKDCPKFLKIIKAKKGIPFDEDYAKKRKTHRYTKFVETRHTVILEDEMMRGSMVAREIILEEKREPIQTDATDEGEQQQPQTEDVPNVEAPRRSQRVRRSAIPDDYKVYNTEEFQIGGDPTSFEEAIRNDNSSKWLEAMEDEIKSMSTNKVWDLEHIPKEAKTVGCKWVYKTKRDS >Sspon.01G0036400-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:15354149:15358643:-1 gene:Sspon.01G0036400-3D transcript:Sspon.01G0036400-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAAGVGFQLIGAAAATFLAAVLVAAVLGRRRRPRPRPQAPLVEGKPAPEAGCAVGDGGTDVIIVGAGVAGSALAYTLGKDGRRVHVIERDLTEPDRIVGELLQPGGYLKLIELGLEDCVEEIDAQRVLGYALFKDGRNTKLAYPLEKFHSDVAGRSFHNGRFIQRMRQKAASLPNVQLEQGTVTSLLEENGTVKGVQYKTKSGEELKAYAPLTIVDVPSCFVGLVLENCELPHPNHGHVILANPSPILFYPISSTEVRCLVDVPGQKVPSIASGEMANYLKTVVAPQIPPEIYDSFIAAIDKGSIRTMPNRSMPAAPHPTPGALLMGDAFNMRHPLTGGGMTVALSDIVVLRNLLKPLRNLHDASSLCKYLESFYTLRKPVASTINTLAGALYKVFSASPDQARNEMRQACFDYLSLGGVFSNGPIALLSGLNPRPLSLVAHFFAVAIYGVGRLMLPLPSPKRMWIGARLISGACGIILPIIKAEGVRQMFFPATVPAYYQAAPMGE >Sspon.08G0011530-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:48243770:48248509:-1 gene:Sspon.08G0011530-3C transcript:Sspon.08G0011530-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARERREIRRIENAAARQVTYSKRRRGLFKKAEELAVLCDADVALLVFSSTGKLSHMNDIIDKYSTHSKNLGKSHQQPPIDLNMRGEDLEGLSVEELHQMERKLEAGLHRVLSTKDQLFTQQISELHQKVTSIRRALSGDQLRLSHSHVPSDLSRTS >Sspon.05G0019760-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:61417565:61419786:1 gene:Sspon.05G0019760-1P transcript:Sspon.05G0019760-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSTSAARKMKQLPVPASRLWDAGIRKLQNIRRVGAVVPAAASSGGGPVVDTADAFLWTDGSIYEGSWRRGRASGHGKLSWASGATYEGDFHGGYMHGQGTFIGELGDAFAGLWAGNLRHGRGTQAYANGDVYDGHWREGLQDGHGRYIWRHGHEYIGAWRAGDMHGCGTVIWADGDRYDGAWEGARPRGQGTFRLDGGGMYIGTWRWELEDAAGAVRADGLFYPPSGGPAVPMPQEPCEPITTLIQELDVCEGKTVSLMPSNKIVTWPGVEAVQKKPMQRPPKVSKVSAPAADADADHGRRSSLSRGSSASLDMDSLALAEGEAGKEAQMDRWSSLLSSSCMPTPPPRPGKKQGETISKGHRNYELVLNLQLGIRHTVGRHSAPTSLDLKPSAFDPKEKVWTRFPPEGSKHTPPHQSCDFRWKDYCPLVFRTLCKLFGVNPVDYMNSICGDEALREISSPGKSGCFFYLTNDDKYMIKTMKQSEVKVLLRMLPSYYKHVRAFENTLITKFFGLHSVKLTGAAIQKK >Sspon.03G0032200-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:35685807:35687530:-1 gene:Sspon.03G0032200-1B transcript:Sspon.03G0032200-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGITKALRLHGRQLRQAVLQHMNKGIFSWATLISRIQSESPTVIIPHIGLENITVSEILKAKGEAEAGAVYWCSTSHSVHEAVQHMTAHNVGALVVLESGDMNQLAGIVTERDFARKILLPGRPSEETRVEDIMTEEDKLITVSSHTNILRAMEVMTDKHVRHVPVFDEKVVGMISIGDVVRAIVDQQHQE >Sspon.03G0002100-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:12455201:12457858:-1 gene:Sspon.03G0002100-3D transcript:Sspon.03G0002100-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPHRAGGAAGGGDTSAFFAATLVLWAVSVGFEIGVRGRRELAPVAAGFAFFQAANAAVRGSVSRDPLFVNTAVSLLHSSLTSVSEFPIVVVI >Sspon.02G0031570-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2B:108603189:108605357:1 gene:Sspon.02G0031570-2B transcript:Sspon.02G0031570-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DETOXIFICATION [Source:Projected from Arabidopsis thaliana (AT3G59030) UniProtKB/TrEMBL;Acc:A0A178VKA2] MGAGSNVIAPLLDIDESSAASEVLLRQEPVPWGVLARLAAWEAGNLWRISWASILTTLLSYMLSLVTQMFVGHLGELELAGASITNIGIQGLAYGVMIGMASAVQTVCGQAYGARRYAAMGVVCQRALVLQLTTAIPIAFLYWYADPFLRLIGQEADVAAAGQLYARGLLPQLLAFTLFCPMQRFLQAQNIVNPVAYITLAVLIFHTLVSWVAVFVLGLGLLGAALILSFSWWVLVVLTWGYIVWSPACKETWTGLSLLAFRGLWGYAKLAFASAIMLAINYWNFDFNIMLGLSYAASIRVGNELGAGHPKVVRFSVIVVVIVSVAFSFLATITVLILRYSLSTLYTSSATVIEAVISLMPLMAISIFLNGIQPILSGVAIGSGWQATVAYVNVGAYYLIGLPIGCVLGYKTSLGAAGIWWGLIIGVAVQTIALIILTVRTNWDKEVEKAMQRLKQTGVVPVDDIIA >Sspon.07G0013090-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:52840759:52841676:-1 gene:Sspon.07G0013090-2B transcript:Sspon.07G0013090-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSVVKVYGPAASPFVATVLVCLEEAGAAYELVPVDMAARENKAPHHLARNPFGKIPAFQDGELMLFESRAISRHVLRKYKSSGGSDLLREGDPEKAAMVDIWLEVEAHQYEPAIAQIVRHCVILPMIGAGGARDQRVVDESAGKLRAVLAAYEARLREHAYLAGGDAVSLADLAHFGFTHYLMATEYAALVEERPAVSAWWHRIAARPAARK >Sspon.01G0015950-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:47724968:47727827:1 gene:Sspon.01G0015950-1A transcript:Sspon.01G0015950-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSGSIPNDIGRLVNLQQLQLSNSSLTGGIPKSLENLTQLNFLYLFGNELSGPIPTELGKLVNLQELYLSTNELSGPMPTELGRLINLKELDLSTNTLSGEIPVSLSNLTEITLFLLYKNQITGSIPPGLGKLINLQQFDLCMNHLTGTIPTFLSNLTKLSELGLCKNGFTGPIPLELGRLLNLQILFLFTNQLSGFIPASLGNLSNMQHLSLEENQLVGTIPKTFGNLQNIQNLLLYTNHLSGSLPHEFENITGIVQLDLSNNSLSGPLPSNICTGGRLELLMAPLNMFDGPIPRSLKACTTLVRVRLDGNRLTGDISEQFGVYPQLVKISLSSNRLSGQIPQNFSSCPQLEVLYLSENFFTGPIPPSLAKLPNLVELTLDSNRLSGEIPSEIGKLRNLYSLNLSSNQLSGSIPPQLGNLSNLGFLDISGNNLGGSIPNELGDCIKLQTLRINNNNISENLPAAIGNLANLQIMLDVSSNKLSGALPQQLGQLQMLEFLNLSHNQFSGSIPPSFTSMVSLSTLDVSYNNLEGPVPEGHLLQNASVDWFLHNNGLCGNVSGLPPCHSNSAQSYGHHKRRLLSLVLPIALVVGFTVLAITITVTILASNKRKPQENATSSGRDMLCVWNFDGRLAFEDIIRATENFNDKYIIGTGGYSKVYKAQLQDGQLVAVKKLHSTDEEVNDERRFRSEMEILSQIRQRNIVKLYGFCCHPEYRFLIYDYIEQGSLHRILQNEELVTEFDWQKRTALVQDVAQAIAYLHNECKPPIIHRDITSNNILLNTSFKAYVSDFGTARLLKPDSSNWSVVAGTYGYMAPELSYTSVVTEKCDVYSFGVIVLEVVMGRHPENLLHDLASSSLEKNMLLKEILDQRSSLPTITEEEDIVLLMKTAFSCLQASPQARPTMQEVYQALTYRQSSSSSPGDF >Sspon.06G0003870-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6A:11911913:11916928:-1 gene:Sspon.06G0003870-1A transcript:Sspon.06G0003870-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSWMRSSSNVASLRANWRRSTRRRSAAWMSRATGRGPRSVTAGARNPLTTRSAATCVGRSEKLGAAPTPAPAVEAGRLLQRLAASESRREKAESRLRITLASSAGVASDAVEGAR >Sspon.05G0001860-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:819050:821253:-1 gene:Sspon.05G0001860-2B transcript:Sspon.05G0001860-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGKQSLRHGRTAYHFQPAKNWMNGPLYHKGMYHLFFQYNPHGPLFGTGKLSWGHSVSGDLVNWAFLGTALDPTSPFDAEGCWSGSATTLADGRPAILYTGRDANDVQVQNVAFPKNPSDPLLREWHKPSCNPVIPQPADVMRNNFRDPTTAWLGRDGLWRFAIVAEVGGVGSTVVYRSADFVHWERNAAPLHASPDVPVWECPDLFPVAERGTEGLDTSATASGGPGVRHVLKLSKAADEDYYVVGRYDDETDTFAPVDDGDHDVRNWRRIDHGHLFGAKTFFDARKKRRVLWAWVDETDSRSDDVGKDWTGIQTFPRALWLDADGKQLVQWPVEEIETLRRERVALLGVEIGSGGLREIAGVDALQADVEVVFEVPSLEDAEELDPKWLHDPQKLCAEKDTSSLGGCGVGPFGLVVMASGDMREQTTVFFRVFRHSGTYKILMCADLTRLINKHNDNYLLHAFLLQMWKYRSSTKEGVHRPVYAGFVDVDVEKDRSISLRTLIDRSVIESFGGGGRTCITARVYPEHVAAGSSSHLYLFNNGAHPVTVSKLEAWELGTASVNVEEDYRMAPL >Sspon.01G0045310-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:82160611:82164814:-1 gene:Sspon.01G0045310-2D transcript:Sspon.01G0045310-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA polymerase kappa [Source:Projected from Arabidopsis thaliana (AT1G49980) UniProtKB/Swiss-Prot;Acc:Q6JDV7] MPGFIGCKLCPGLVFVPPNFERYAHYSGLTRKVFQRYDPNFIATSLDEAYLNITNVCIERGITGEEVATELRSAIHQETGLTCSAGVAPNRMIAKVCSDINKPNGQFILPNNREAVLTFVSTLPIRKVRTRAVTTRNYINSKEDILVYATKLLKAEMPLSLRLMGLRMSQLHDEKDDPSTSTQKTLDIFFRSSNSNSNVNGINVQRITNASGQNNDSISLTTKEEYLVPDAGTGVSTDQQDFFLHDESCFIPEQRSLGNYSNEAVLSNPLGGINLDDVSSSAKKVTPTKKLNGPDPLISPKAVASSSKPDQQSWIDGYICSICGFELPPGFEEERLEHSDFHLAETLQQEEAVDGTRHIPNESYVFYCIQQAGRKTMLYYAHAQEETEVF >Sspon.08G0016300-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:59177039:59184552:-1 gene:Sspon.08G0016300-2B transcript:Sspon.08G0016300-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSISVNGQSVVPPGFRFHPTEEELLTYYLKKKVASERIDLDVIRDVDLNKLEPWDIQGAYVCVSHCAMHRLLASGRLAAVCADDGHLSDSIYTEKCRIGSGPQNDWYFFSHKDKKYPTGTRTNRATAAGFWKATGRDKAIYASGTRRIACARRSSSTRAAPRTGRSPTGSCTSTASRRRSTPTPATTPPPGPSTIPTTPRRRRLLLPHCSTDRSIMSISVNGQSVVPPGFRFHPTEEELLTYYLKKKVASERIDLDVIRDVDLNKLEPWDIQGAYVCVSHCAMHRLLASGRLAAVCADDGHLSDSIYTEKCRIGSGPQNDWYFFSHKDKKYPTGTRTNRATAAGFWKATGRDKAIYASGTRRIGMRKTLVFYKGRAPHGQKSDWIMHEYRLEAALDADAGHNPAAGAVDHPYYTSPTPPPSTAIRGAAGDQAAQEQEGWVICRVFKKKNLVHHGQSSGGVTAAGSKMAAAAVPMESSPSHCSSVTVISDHANKQQAQAMLQHSASDDALDHILQYMGGGKQPDTKPALLDQQQQQHHHHHLAAATTTTAACPAGGGGLYGKFMKLPPLEHAAGGGMLPTPPGACEYGVADASGIADWDALDRLAAYELNGLSDASKNMAAFFDVEPSAAAAFSSSTSSVHAAAVDGDLWSLARSVSALHADLTMNNV >Sspon.07G0028200-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7C:84733506:84735276:1 gene:Sspon.07G0028200-2C transcript:Sspon.07G0028200-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFMSIQEMNLRSNQFSGPIPKLPTNLISLDLGRNNISGPLPVDFGALGLESLILFDNSISGTIPSSLCQLQSLKLLDLSGNELSGSCPDCLVDASMTNMTYLGFVYLILRDNHLSVCYTNLPFSSTCYPWEPRAHLYARASALPSRARASPIPFPRARGHFRGPLAPSRWIDLILCDRIDFLLAIGWWRQRQGPGRRRAIDFLLAIGQIAKSPNRRRRRRCLGCDEPATAVPRHCPTVMEPALAGSPTSPWPRAVAPSPASHRCTAAAAPPDGRPAVTTILEPGRTRLPPPPTAARPPRRHLTVARLSPPSWSLGGASERPSRQAAAWCGGPTAAPPVVGQELLLVYRLCCNRGRGGIGKQNNWYYS >Sspon.04G0018020-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:68871691:68875445:-1 gene:Sspon.04G0018020-2B transcript:Sspon.04G0018020-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SPLSLLKLTSRTLMLLESNSSCGSPPESKLPDRLSFNRPVLPGEAKKSNSTRPTPSKGNAELVKTCKVQSSKGGAGAAHWGHMGEESSGGLAGRQSRLQSSVHLT >Sspon.08G0020600-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:23372830:23375346:-1 gene:Sspon.08G0020600-1B transcript:Sspon.08G0020600-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VQQVTSKSTHYVTKPVQNACEAEETSKGFVAEDVRERWEISDNPSKQEQEAKKLMESTDEIKKRLAAKEVEAQKAGQEHPRCQDHD >Sspon.05G0020540-3P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:67593692:67594675:1 gene:Sspon.05G0020540-3P transcript:Sspon.05G0020540-3P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPPCCDKVGIKKGPWTPGEDIVLVSYIEEHGPGNWRSVPINTGLMRCSKSCRLRWTNYLRPGIRRGNFTPHEEGIIVHLQSLLGNRWAAIASYLPQRTDNDIKNYWNTHLKKKLQKQQAIGAIFTPPPPSEPSIIPVAVPTAATGHADCHYDGMTLSKDSYARPASSTPAPADEVTQFIGQCSPPFAPTNGDTFSSPYASSMDNISKLLNGFMKSSPTQDDAATNIYIKPSSVIDINPFDHKYGGALPPISDDVPLLMPPPQQQQALTGHGDYHKPKLQQLSSIEKWLFDEAPSRWRSPTAVAQFPACCFSSKKEANMIADTRSSW >Sspon.02G0052530-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:93257710:93262372:1 gene:Sspon.02G0052530-1C transcript:Sspon.02G0052530-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSREKVVAAQVIAPLNQPVTGYQKLRASSCSRPRQQATWPLASSDPGEAVPQLQFAGAQAAVLALIALSVALTICVDKQYTKRGKRGLDLAFRRNLHLEIESRHMPMQHICSANVRIPQLDHNEDISPDEGGQQMNNSQWEVAQQILLEKFNSFAPMSLTSTLRSPLLHYNMSPA >Sspon.01G0018620-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:69257782:69268467:1 gene:Sspon.01G0018620-1A transcript:Sspon.01G0018620-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MANSFMLCTVVVAACLTVTAADWSQGTATFYGGVDASGTMGGACGYDNLYNAGYGVNNAALSPTLFNDGASCGQCYLITCDPTRLGGQWCKPGNSITVSATNLCPSNYALANGGWCGPGRPHFDMSQPAWEHIGVVQGGIIPVLYQQVKCSRTGGVRFSIAGSQYFLLVNIQNLGGSGSVGAAWVKGDKTGWIQMSRNWGANWQALAGLYGQGLSFAVTSTGGQYIQFWNASLMLFTAALAACLALAAADWSQGTATFYGGPDGSGTMGGACGYENLYNAGYGVNNAALSPVLFNDGASCGQCYVITCDASRPGGQYCKPGTWITVTATNLCPSNDALPNGGWCGPGRPHFDMSQPAWENIGVYSGGIIPVQYQQVKCWRNGGVRFSMAGNYYFLLVNIQNLAGSGSVAAAWIKGDKTGWIQMSRNWGANWQALTGLVGQGLSFAVTSTGGQYIQFLNVVPG >Sspon.04G0021460-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4B:361724:361916:1 gene:Sspon.04G0021460-1B transcript:Sspon.04G0021460-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:A-type response regulator, Cytokinin signalin [Source: Projected from Oryza sativa (Os02g0830200)] DGDNVHMIITDYCMPEMSGYELLKRVKESAELRQIPVVLMSSENSPTRIRRCLEEGAEEFLIKP >Sspon.01G0017340-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:63715854:63722799:1 gene:Sspon.01G0017340-1A transcript:Sspon.01G0017340-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKPKSSSSSSTSWSALFGLGCFSSSHADRSSDGSGSGSGSGSKNAAKVASSSRPPAPAPLPSPEDLSLSLAGSDVLAFTVEELRVATRDFSMSNFVGEGGFGPVYKGRVDERVRPGLRQPQAVAVKLLDLEGSQGHKEWLVSKFLNHANNLVRDEHRLLVYEFMARGSLEKHLFKKYSASLPWLTRLKIAIGAAKGLAFLHEAAKPVIYRDFKTSNILLDSDYTAKLSDFGLAKAGPGEDETHVSTQVMGTQGYAAPEYIMTGHLTTKSDVYSFGVVLLELLTGRKALDKNRPPREQSLVEWARPCLRDSRRLERVVDRRLLNGQHPTPTRAAHKAAGIAHQCLSVSPKSRPQMSAVVEALESLLALDDAVERDARTWEGSDSRSRTHGRETGRVAPRSASAGR >Sspon.08G0001570-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:1777757:1781994:-1 gene:Sspon.08G0001570-2B transcript:Sspon.08G0001570-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAVACRRALLLHGHQQWPQRWAAAAPCPRTISQLVKTNGRRAFLVDTLALVRKLESQGVPTKQAEAITSAITEVLNDSLESISESFVSKAEMQKSEMLQESNISKFKSQVQSSQENHFSLLQRETEKLRGDIDKMRSELKYEIDKVTAGQRLDLNLERGRIRDELAKQNEETTELTTKLDKEIHSLKAQLEAAKYDVIKYCIGTIVSISAVGLAVLRIVM >Sspon.05G0012530-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5A:38984971:38986642:1 gene:Sspon.05G0012530-1A transcript:Sspon.05G0012530-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAEGAQEIAGSLEKKSSGEFASCSQKSAVTSTQKSAVVTKQTTLPAPPQNRFKLDNRTTSFRILPPLPPEIANESVLADHFSSFGELSSVVLEDTEAHNHDATLKPSLSCSACVTYTTRQSAEKAFIGGKSCKGHTLRFMWLTASPGSNNQSRPQNTSVPVRASSISGHTQSISSESPSPVGKVSSLATSVTLAIPHNKSISTVENAKTSPVGISKASCSSSSLSSNDECPPERRSTRNVISDSDIPQ >Sspon.04G0017520-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:63489998:63492590:-1 gene:Sspon.04G0017520-1A transcript:Sspon.04G0017520-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MREILHIQGGQCGNQIGAKFWEVICDEHGIDHTGKYAGDSDLQLERINVYYNEASGGRYVPRAVLMDLEPGTMDSVRTGPYGQIFRPDNFVFGQSGAGNNWAKGHYTEGAELIDSVLDVVRKEAENCDCLQGFQVCHSLGGGTGSGMGTLLISKIREEYPDRMMLTFSVFPSPKVSDTVVEPYNATLSVHQLVENADECMVLDNEALYDICFRTLKLATPTFGDLNHLISATMSGVTCCLRFPGQLNSDLRKLAVNLIPFPRLHFFMVGFAPLTSRGSQQYRALTVPELTQQMWDAKNMMCAADPRHGRYLTASAMFRGKMSTKEVDEQMLNVQNKNSSYFVEWIPNNVKSSVCDIPPTGLKMASTFIGNSTSIQEMFRRVSEQFTAMFRRKAFLHWYTGEGMDEMEFTEAESNMNDLVAEYQQYQDAT >Sspon.02G0013790-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:29892850:29895901:-1 gene:Sspon.02G0013790-3D transcript:Sspon.02G0013790-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPSPRPTTRLRRPPASPASCSFSAVSLRGLVSLSSASRFLSASFRQQGPLASTFAGRPRLLSAVRSSGDPGEVIDVVSGEGTEQTPGGSTGPRKDGKETAKSFSTKEYSLTVNPNTNDDKSAISYLDVMILHLNRQKTSQKLLEKLKRYGVAGVLSYGLLNTVYYLTAFLLVWFYFAPAPGRMGYGAAVERFVKLMAMVWAGSQVTKIFRAGGALALAPFVERGLRWFTVKFNFKSEGKAFAAIVGLCFAVAALMFFGLTILWA >Sspon.08G0001770-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:5463478:5464609:1 gene:Sspon.08G0001770-1A transcript:Sspon.08G0001770-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPRPLDYGCSPAAAAAAGCAGWAWRPRPRPHGGGRAARVSPKCSHSASSSAAAAGAVHSEHRRRGALLRPVEQPGTGYASELEARIEKVIFACRFMTFLGIGGLLLGSVPCFLKGSVHVMNAFVEYYLHGGGKLILMLLEAIEMFLIGTVTFVLGIGLYEFFISTIDSSYGSNLFGLFSLPDRPKWLEIKSLNDLKTKLGHVIVLVLLVGIFEKSKRVTITSCADLLCFAGTIFLSSVCLYLLSKLHT >Sspon.02G0020490-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:66848889:66851229:1 gene:Sspon.02G0020490-1A transcript:Sspon.02G0020490-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDLFSDGNNVIDSSYGFSVVDSNSLEFLFNNAPEAARWLKDNSELSFEEKYRSDRSSWLALYPVPFLFSGSLKFQAQTEEIRKYYQHFPQLAVQEIWDNNGQRRVHLKLSLG >Sspon.08G0009030-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:34605852:34611763:1 gene:Sspon.08G0009030-3D transcript:Sspon.08G0009030-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLGNHSRIRYPAGRGSAKRSPKSRRVKREERNLRKEHQFKAIPSPWRRGGKDSNQRGLSDRFGWAMSTKKVLCKFFMHGACLKGEYCEFSHNWSDQANNVCTFYQKGACSYGSRCRYDHVKVSRNPTVPPPPPTSSAARRVTSTSLQLLSSSQPHTGHQADSSNQRHQISVDVLAHSASKPAWRNDFQHDIVSDDGIDWSSNQNLLNQTSLKPADLPICSFAAAGNCPYGEGCPQMHGDLCATCGKMCLHPYRPDEREEHTKFCEKNHKRLEALKRSQEIECSVCLDRVLSKPTAAERKFGLLSECDHPFCIACIRNWRSNSPASGMDVNSALRACPICRKLSYYVIPSVLWYFSKEEKEEITESYKSKLKSIDCKYFDFGTGSCPFGTSCFYRHAYRDGRLEEVVLRHLDADDGSTVIAKDIRLSDFLSRMHL >Sspon.01G0034620-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1B:4708309:4709368:1 gene:Sspon.01G0034620-1B transcript:Sspon.01G0034620-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MCDIWEEFRAVLCISGGNRRPPPSLPPPDLTGRGDEGGGGGEDEEEDRLSALPDDVLLFILSLLHSAAAAARTSVLSRRWRRLWAQLPVLWFPLPAEPARALPSPATTARTRVRSHRWRRLLAHLPALRFRLPAEPARALPTPVSARAALAQHSAPSLWMLSIVANDADPGDTAGVLRLAAPRLTNALFFHNVVPEDRKKAVVREVIELPCFDRAVHLFPHLGYLRLALPPSGVFTKLITLVLSHVRFQGALDIGDTLSSARFPPLRLLYLFDAQGVSDLNICSESLVHMFLHNLKGLQQLTVVSPMLRDLHIFNCFFKRQPVAHITAPVLQRFGWLDEYDPRWVKLGELVHL >Sspon.02G0015940-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:46690947:46695462:1 gene:Sspon.02G0015940-3C transcript:Sspon.02G0015940-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:THO complex subunit 6 [Source:Projected from Arabidopsis thaliana (AT2G19430) UniProtKB/Swiss-Prot;Acc:Q8L4M1] MATAAAAAAAAAAQSHPASMDARGWDEASYRRGILRARDLSSRTLFRAVFFDNSDDPDPDVLLAAASSDGSLASFSLSSCISAGSAASQVETAAALVDPVCIVQAHSGPAYDVRFYPDPQRPLLFSCGDDGRIRGWRWHEMQSCLVPLSLQGDHLEPALDLVNPQHEGPWGARSPIPENNAIAINKQDGSILAAAGDACAYCWDLETGKCKIKFKGHADYLHSVAVRESNNQVVTGSEDGTARIWDCRSGKCTQVIHPVKSKAYSSWVSCVAIDASESWLILAVGAEPVLSRLTINGTVLSQIKCAPQSAFSVSMHSSGIAAVAGYGGLVDVISEVGSHLCTFRSRGLDK >Sspon.02G0052690-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2C:95460295:95461119:1 gene:Sspon.02G0052690-1C transcript:Sspon.02G0052690-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDARHGRVLLWNLRRQYDDDEIHLGNELMVWDPITDDSSELPLPPTSERPVNWNAAVLCASTSSACDHLDCGRGHFLVVYVCKSKTGMFAHVYSSETAAWSEEISAHHLRVNHMYMLPSALVGNNLYFVCSAAVLKYDLVAREMSLIHLPWDCINGRTILSEGGRLGRADISSDRLYLYLWSREVGPDEDVGWAQRKVINLRKLLPDVPLRSLRLAGFAHGLDVILVGSSKGTITIDLKSLQATKLHGEDCCEFWFPYMSFYTPGTRVRPRRHV >Sspon.03G0007260-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:24958424:24967954:-1 gene:Sspon.03G0007260-1T transcript:Sspon.03G0007260-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPAGACRRRRAPRPHLPLFVIVLLIFSLPIPRASALRVPLRQAATLVSLSHSLLSRVAATRAARGDAAAAARARRIASLLSSRGAWGLGWDYLRHYAFSSATGCGLSCAAAASRLIAAAAEASRLRSATDAAQWMRRHYGDIRDAAAQLLNGLLLAFSEEGPLREVVMDVKWEVEEGELLKDCLEVGAKDLQGLLVIAKDLFAGVSRTSTPHTRALAARAMEVRTTRRLLCLVAAVSWALAVARCDPQVPCYFIFGDSLVDNGNNNYIMSLARANYPPYGIDFAGGPSGRFTNGLTTVDVIAQLLGFDNFIPPFAVTSGDQLLGGANFASAAAGIRAETGQQLGGRIPFAGQVQNYQTAVQTLVSILGDQDTASDHLSRCIFSIGMGSNDYLNNYFMPAFYNTGSRYTPEQFADSLIADYRRHLQTLYSYGARKVVMIGVGQVGCAPNELARYSADGVTCVDHIDGAIHMFNRRLVGLVDEFNALPGAHFTFINAYNIFDDILANAASYGFTVTNAGCCGVGRNNGQVTCLPYQAPCANRDQHIFWDAFHPSEAANIIVGRRSYRAKSPNDVYPMDISTLASI >Sspon.02G0020850-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:63335400:63341337:-1 gene:Sspon.02G0020850-4D transcript:Sspon.02G0020850-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKQIFGRRKASKTAGKEFAGGRTFNQLSGSGAADLSGQPPFLSGTGNTYGSGNRTGFQDSRINDALFSSNFRPLPSFKDVPNTEKQNLLIKKLNMCCTLFDFTDAAKNIKEKEIKALMLLEIDEYVRTATVKFPEIVVEAITKMISVNLFRTLISPPREKKVLQAFDLEEDEPLMDPAWPHLYHVYELLLTFIQSPENDAKLAKRYIDNSFILRLLELFDSEDARERDRLKTVLHRIYGKFMVYRPFIRKAINNIFYQFIYETEKHNGIAELLEIFGSIINGFALPLKEEHKLFLVRTLIPLHKPRCTTVYHRQLSYCITQFVEKDCKLADTVIRGLIKYWPITNSTKEVLFLGELEEILDATQPAEFQKCMVPLSHQIARCLNSSHFQVAERVLFFWNNSHFENFVKQNSKVILPIIFPALEKNINGHWNQVVQSLSLNVRKLFSDRDPQLFTECLRKYEEDKDREKEFKLKQELAWKRLDEIASAKVTSGEAVLVLAESLDIVQDWVVDKRGYMKPPRQF >Sspon.06G0035660-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:80973953:80983030:1 gene:Sspon.06G0035660-1D transcript:Sspon.06G0035660-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRAAVPPPSSSCDSDTYLLFHGETLLSSGVRASLYTVALAYCFIGLSAITARFFKSMEQIMKHSREVVVSVDPHTKAPVVKHEKVWNYAVADIALLAFGLGPGTLVGSAAFDLFPIHAVCVVMPRAGSKKKISDLGVWLVELFWSFWAYIWLYVILEVWTPKVITLWEALLTVLQYGLLLLHAYAQDKRMRGERPEDWVPPEDVPVDYHNCDDINETLPISADRNDGIVDVFSAHSYHNAEYSRVPEKDMEGSSTMDQVVKNTQEDMSWLSIWWQQFVDASMLESPESRKMDSVFLRSTTIFWNLIIAPWKFLFAFVPPYHIAHGWIAFICSLIFISGIAYGVTKLTDQISCVTGVSPYVIAFTALAAGTSWPDLVASKIAAERQVTADSAIANITCSQESG >Sspon.03G0020650-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3A:63945220:63948319:1 gene:Sspon.03G0020650-1A transcript:Sspon.03G0020650-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPCSVSLLLLSFSTLVAAHANDEAALLAFKAAAISSGYNGPLASWNRSTTGGYCSWEGVRCRGTHRRVVALNLPSHGLTGVLSPAVGNLSSVRILNLSSNGFSGNIPASLEMVLDFNKLSGNIPSELGDKLKQLKDLSFLGLAFNNLSGEPPISLYNLSSLEMLQIQWNMLSGSIPTDIGRRFPSMRILHLFTNQFTGTIPASLSNLTSLQALDLAQNMLTGYVPRTIGRLRALQLLVLSNNMLEANDGEGWEFITSLSNCSHLQRLLISYNTALTGHLPSSLVNLSTTLQFLDFSSTGIWGSIPSAIGNLVGLEHLGANDASISGVIPDSIGKLGNLTQLGLFNTNLSGQIPTSVGNLTKLAILDAHFCNLEGPIPPSIGKLKSILSLDLSLNHLNGSIPRDIFKLPLLTLVSLDFSYNSLSGHLPSEVGSLRNLNQLFLSGNQLSGEIPESIGDCIVLQELSLDDNLFKGSIPQYLNKGLTTLNLSMNRLSGTIPGALGSTSGLEQLFLAHNSLSGPIPAVLQNLTSLSKLDLSFNDLQGEVPKEGIFRNLVNLSINGNNKLCGRIPQLHLVPCKTDSVKNNRTGQLKYIKVALPTTIAVLLFAIVIAVIHLIYRKQRRKQKGPFQSPIVEEQYERVSYHALSNGTNGFSEANLLGKGSFGAVYKCTFQDEGTVVAVKVFDLEQSGSTKSFVAECEALRRVRHRCLMKIITCCSSINEQGQDFKALVFEFMPNGSLNRWLHRESAMPTLNNTLSIGQRLDIVVDIMDALDYLHNHCQPPIIHCDLKPSNILLAEDMSARVGDFGISRIISESESIILQNSNSTVGIRGSIGYVAPEYGEGSSITTFGDVYSLGILLLEIFTGRSPTDDMFRGSMDLHKFSEDALPDKVWEIADTTMWLHTGTYDSNTTNIIEKCLVHAIALGVSCSRKQPRERTLIQDAVNKMHSIRDSYLKFARHLAVEDGAGMIL >Sspon.02G0022200-3C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:75278532:75279965:1 gene:Sspon.02G0022200-3C transcript:Sspon.02G0022200-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LPSQPARDPFTARKMADQEAPVVVEAPTPVLGEPMDLMTALQLVMKKSSAHDGLVKGLREAAKAIEKHAAQLCVLAEDCDQPDYVKLVKALCAEHNVHLVTVPAAKTLGEWAGLCK >Sspon.03G0004080-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:10401212:10404802:1 gene:Sspon.03G0004080-1A transcript:Sspon.03G0004080-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSVYEKLSIWRLITSLFAFSSTPELIFGVALLYYFRVFERQIGSNKYAVSSFVLWVFIIFSTMVSVLLQILALGYMKVNVRIADPSLNPLTSGPYGLIFASYVPFFFDIPVSMKFRIFGLSLNDKSFVYLAGLQLYIFDLCHQLLFSSGRRSVVPGLSGILAGLLYRLNTFGIRRLKSLQHRSSRSCHGPFLTIHIKGYQLQKIIEVSLLTRHIKLRQDARTATQDPTESSITALVSMGFDRSAAIQALALTNYDVNLASNILLESQALQP >Sspon.04G0001940-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:36046323:36052574:1 gene:Sspon.04G0001940-1P transcript:Sspon.04G0001940-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLRCYSSSASVSSSLRRRLELVLAAALLVWCQRVATAQQQPARVRVGVILNLTSLAGQRRKVGIEMAVEDYYAARPGSRTRVVLSFRDSAGDVVGAASAAVDLIKNEQVQAIIGPQTSAEAEFVAYIGNHTHVPVLSSSATSPGLSPSQTPFFVRAGANDSFQAAPVAAVLAAFGWHAAAVVYEDSPYGSGILPALAGELQGVGSRIMDRAVVPSDADDDRIDAMLYGFKAMPTRVFVVHMNPFLAARFFRRAREAGMMTEDYAWVATDGVGSVVDALSPDNISAMEGVVSLRPFVQLTDRVRNFSARFRARIRREYPSADIYPHDPTVVMLWSYDTAWAIAAAAEAAGVSSPAFQTPQQSAAVTDLDRLGVSATGATLLKAVRETTFRGLAGNFALVDGQLQPPAYEFVNIVGKSSRAVGFWTPEAGITQTLGADGAKGLKKILWPGDSISSPRGWVVSPNGRQLRVAVPVKHGFKEFVGVQRDSTNRTTNITGYCIEVFDAIIGKYMPYPVSYQYVPYDDSSESYDNLVSLVPEQSADIVVGDVTITASRMGKVDFSMPFTDSGWSMVVAVRTETSTSMWIFLQPLTTSLWLASLAFFCFTGFVVWAIEHRINPEFRGTPWQQFGLIFYFAFSTLVFSHKEKLESNLSRFVVIIWVFVVLILTSSYTASLTSMLTVQKLQPAVTDVRELQRTGAFIGYQEGSFIMQRLQKLGFDKAKMRSYSTAEGYADALSSGQVAAVFDEIPYLRLFLSQYCDGYTMFGPVYKTDGFGFVFPMGSPLTADVSRAVLTLAEGEEMAQIEKKWFGEQGKCPSQGGGGGTALGSSNLSFRSFGGLFLITGVVSGLMLLIYLATFVYRERGEVRPEEEGSGSSSMRRLRAWLRHFDQKDLKCPTFKTGNDDSIRNGNQTHR >Sspon.01G0016700-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4B:6877414:6877925:-1 gene:Sspon.01G0016700-2B transcript:Sspon.01G0016700-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLIAAAASAVAPPPPRRVAPLRSLGTRRPGILALTATSCSPSPPPPVVAAEAPAPPQEAKPKPRRYPKQYPGESVGVAEEMRFVAMRLRNPKRTTIKDKAGTADADAGAGASENEDEEDGGGMKEEHEKEEGASSRQGSGCPAWRGSCATWWTASSSSEPSSGSSPSPP >Sspon.05G0009980-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:28540482:28546804:1 gene:Sspon.05G0009980-1A transcript:Sspon.05G0009980-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABC transporter B family member 19 [Source:Projected from Arabidopsis thaliana (AT3G28860) UniProtKB/Swiss-Prot;Acc:Q9LJX0] MAEGDAGKAEAGSCSGAGAGGGGGCDAVKKRPEQSVAFHELFVFADPLDWLLMAAGSAGAVVHGAAMPVFFLLFGELVNGFGKNQHNLRRMTDEVSKARIQFYSLYFVYLGLVVCASSYLEIACWMYTGERQVGALRRRYLEAVLRQDVGFFDTDARTGDVVFSVSTDTLLVQDAIGEKVAKPIPLALLPRHISWLHDSGVPKDLTPVVGNFIHYLATFLAGLVVGFVSAWRLALLSIAVIPGIAFAGGLYAYTLTGLTSKSRDSYANAGIIAEQAIAQVRTVYSYVGETKALNSYSEAIQNTLKLGYKAGMAKGLGIGCTYGIACMSWALVFWYAGVFIRNGQTDGGKAFTAIFSAIVGGLSLGQSFSNLGAFSKGKIAGYKLLEVIRQRPTIVQDMADGRCLDEVHGNIEFKEVAFSYPSRPDVMIFRDFSLFFPAGKTAAVVGGSGSGKSTVVALIERFYDPNQGQVLLDNVDIKTLQLKWLREQIGLVNQEPALFATTILENILYGKPDATMAEVEAAATSANAHSFIALLPNGYNTHVGERGLQLSGGQKQRIAIARAMLKNPKLLLLDEATSALDAGSENIVQEALDRLMVGRTTIVVAHRLSTIRCVDMIAVIQQGQVVETGTHDELLAKGSSGAYAALIRFQETARNRACPSTRKSRSSRLSNSLSTRSLSLRSGSLRNLSYSYSTGADGRIEMVSNADNDRKYPAPRGYFFKLLKLNAPEWPYTILGAIGSILSGFIGPTFAIVMSNMIEVFYYRNPNKMESKTREYVFIYIGTGLYAVVAYLVQHYFFSIMGENLTTRVRRMMLAVILRNDVGWFDQEENNSNLVAARLSTDAADVKSAIAERISVILQNMTSLLVSFVVGFIIEWRVALLILITFPLLVLANFAQQLSMKGFAGDTAKAHAKTSMIAGEGVSNIRTVAAFNAQDKILSLFCSELRVPQMHSLRRSQISGALFGLSQLSLYASEALILWFGAHLVRTHVSTFSKVIKVFVVLVITANSVAETVSLAPEIVRGGESIRSVFAILNSRTRIDPDDPDAEQVESVRGEIDFRHVDFAYPTRPDVMVFKDFSLRIRAGQSQALVGASGSGKSTVIALIERFYDPLAGKVMVDGKDIRRVNLKSLRLRIGLVQQEPVLFATSILENIAYGRDGATEEEIVEAAKVANVHGFVSALPDGYRTPVGERGVQLSGGQKQRIAIARAVLKDPAVLLLDEATSALDAESECVLQEALERIMKGRTAVLVAHRLSTIRGVDSIAVVQDGRVVEQGSHGDLVSRPDGAYSRLLQLQLHHG >Sspon.03G0039230-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3C:12070735:12071243:1 gene:Sspon.03G0039230-1C transcript:Sspon.03G0039230-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLLGAGNSGRSRYRPRSSSSTGLRFMGAFGLPSGVGAMASSRQAHWWLRTRKMVPKAARASFDSAVLLACWNLWKERSRRTFDKVSRTPLELTCAIIEEASSWVTAGYTSLSPLIGTEGR >Sspon.08G0002140-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:3543013:3547155:-1 gene:Sspon.08G0002140-2B transcript:Sspon.08G0002140-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRSILSQSWKRGAHMLREGNSAPALLTCWTQFHSGQVLSSSRSFFGVEDFVDEDNSRPYTYKKEKRSKNPHKHISFKQRTIAYMEPFTLDVFISKRFVSASLTHRSTCRQVAVAGTNSKDIKAVLKSRSDIPACLAVGRFLAERAKEADVFTCTYTPRERDKFEGKIRAVVQSLIDNGINVKLYLD >Sspon.05G0027550-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:53640886:53645518:1 gene:Sspon.05G0027550-3D transcript:Sspon.05G0027550-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWELIEVPGNLNPSLEDSTVDVVAAKIDPKLANTLIRQLSQVCPLENLRHVKRVRRRTECGGKSELSIILCVSTGSEPCSIQFSEDLQKIVDTYQLSPFTAKAGNAAIIVDPSSMQIIAKATDQTHKHDMPVEGNTFSEVKADVTCSLNEATDNDSNLSLPGSFLPKRNSLNMEISCINPWGWMRKRTCEQKPLPCDGCFAWHPLRHAPMVAIENAAERDRTTFPSSTPITKPDSNGNPENCCDNEPAKRLKTDTKDNEQPASESFCGDLSETSRPYLCTGFDIYLVWEPCTMCAMALVHHRFKRVFYAFPNPVTGALGGVYRLHGERSLNHHYNVFRVSVPEAYTNGLNYCSKEC >Sspon.07G0016870-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:64640315:64640587:1 gene:Sspon.07G0016870-2B transcript:Sspon.07G0016870-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding NMPRSTLSRTTLYSRQQPVYDYREDTQLISLSSANTQNYQKLLSLIFHGHLMPPLLCNLDKQSQQCFTYLRRVRLIPGLFFQRRIHHPRVV >Sspon.06G0023820-2D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6D:44223677:44224014:-1 gene:Sspon.06G0023820-2D transcript:Sspon.06G0023820-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHFMSNFTAFLVAIIVAFACCWDVGLLSLLVVPMLLMVGASYAKMMIRMSLTRTSFVSEATTIVEQVPMQMNAMLKLSRYIWVGAAAVIDRKAKPGETIAAIINVLSGAM >Sspon.02G0047570-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:17685536:17686404:1 gene:Sspon.02G0047570-1C transcript:Sspon.02G0047570-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEQWDDSTQSKEQIEAILISRQEAAVRRERALAYTFSHQWKSTSRSANPMFVDPNNLQWGWSWLERWMAAKPWEGQNGTDKESNIDRGSVKSMSLNLGEGEITKAFNRRDSKPDKPAPTTPKLIRPASRQSPSTPSPKVTPILVRKKSTMPKNGPTQVDDDARTRSVLSVQSERPRRHSIATSTVQDSESVSGSPSVPSYMVPTESARAKSRLYGSALKNGRDTRKRRLCWTREEEVILPIWNGVSLTDEEAFWSS >Sspon.03G0025670-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:4269056:4271923:-1 gene:Sspon.03G0025670-2C transcript:Sspon.03G0025670-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RSPMPSSMAKSLLLPPPLVSVSPRPHPHQRAGFPVLKPRRAALLAATGGDATPAPAPDANTGSNTNPLAALVEAPRALWRRTLQPLGDYGFGKRSVWEGGVGLFMVSGAALLALALAWLRGFQLRSRFRKYNTVFQFTQACGICVGTPVRIRGVTVGSVVRVDSSLRSIDALLQVEDDKIIIPRNSVVEVNQSGLLMETLIDITPKDPLPAPSVGPLHPDCTKEGLILCDKERMKGQQGVSLDALVGIFTRLGRDMEEIGVSKSYKLAEKVATIMEEAQPLVEQIEALAEEMQPLLSEVRDSDLLKDVETIAKGLADASGACRKLKSSMLTPENTDLIKQSIFTLIYTLKLIQSISSDISGFTGDETTRRNIKLLIKSLSR >Sspon.03G0012010-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:33190017:33190364:-1 gene:Sspon.03G0012010-1A transcript:Sspon.03G0012010-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAHLSVVIVMVAVVLSTPGAGSAAVDQAGRPCCFHACFDQCVLRDEFWFCQFSCYRRCAAAGSRAVPAPDRDCEHSCALSMCGQLRPGSKMMAACRDTCRKSYAVAACTSSAAA >Sspon.03G0046000-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3D:31397743:31397991:-1 gene:Sspon.03G0046000-1D transcript:Sspon.03G0046000-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRVLPWRLPSTRARSGTGLPCQYATLERGAAVRRPILDPGREPPTEDGASLSRAGAGNTTPPSILPQIINRCTAPRHCRQD >Sspon.08G0011110-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:48586778:48589345:-1 gene:Sspon.08G0011110-1P transcript:Sspon.08G0011110-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DLVELECKFWANKGKNVKYEVRNNRKGYKAGALKQGMLYEYIQQCDFVAVFDADFQPEPDFLMRTVPYLVHNPRIGLVQARWEFVNPNEFLMTRIQKMTLDYHSKVEQEAGSSIFGFFGFNGTAGVWRISSIKEAGGWEDRTTVEDMDLAVRAGLKGWKFIYVGDVKVKSELPSNLKAYRRQQHRWTCGAANLFRKTGAEIILTKEVSLWRKLYLIYSFFFIRKVVAHVVPFMLYCVVIPLSVLIPEVTVPVWGVVYIPTTITLLYAIRSPSSIHFIPFWILFENVMSFHRTKATFIGLLELGSVNEWVVTEKLGNSNGTNSVPQILEKPRCRFWDRCTISEILVAIFLFFCATYNLVLGDDFYFVYIYLQAITFLIVGTGFCGTSSSNS >Sspon.04G0014960-4D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4D:64516771:64519638:-1 gene:Sspon.04G0014960-4D transcript:Sspon.04G0014960-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetraspanin-2 [Source:Projected from Arabidopsis thaliana (AT2G19580) UniProtKB/Swiss-Prot;Acc:Q9ZUN5] MARRKEQTHHASDTVPDAATPVGRGHARDARHEVVTSAASSGHRQRQPPHHGTTTHAERSRAHSHHHRARGRRHPTQSMAVSNNITACVTLLALICAVPVIASGIWFASAQGEECARLARWPVAILGGLLLLAALAGFVGAYWNRRRLLAFYLFAMASLVVLLIALLVFAFAVTRGSGAYPVLGRAYDDYHLDGFSMWLRGYVSDDPGRWEKIRACLAVSDTCKKLARQAAFTNAEQFYQSHLSPLQSGCCKPPSLCGFSYVSPTVWTAPARPAPDPDCGLWSNDPGQLCYECESCKAGLLEALRDQWHKANIALVVATVALVILYLVGCSAYKNAQAAAIFGRYKY >Sspon.08G0017370-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:2601504:2606966:-1 gene:Sspon.08G0017370-2C transcript:Sspon.08G0017370-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSADAAGPASSASAPPLAPLIAAQLNYLLSHSKLPIRVGQIWSGCRNGSPADRFTLAIPFCLDYVHWDFVYNALSPKVAPDVVFGPEDERFQPLVDYAVTGNGDKSCLARWDCRAPEGLLALVQELRELYIEYQKKRVHMVDDARVAFELSTVLSKEGIEVCMVPSADRPDEVKFAVPLLDSDFDFAKLVPGCPWRLPQKIHLQVIFPISRSSSYSSMPSAPRLKLISTPDLKSLLSVEDVKLPPWSNGMCLAEYLPALEDSLNGLLVEASASIGSRRRFIEALAPTFGRPLEADPIFCRRATILSISGMFTFLVHFAIPLQFPKQQPVLTLQSSQHCNADGTPIMSPPINDYPWSPRWDQAEMVERIYDFLTDECQNFKKFCSDAITQQK >Sspon.03G0026160-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3B:1980515:1980766:-1 gene:Sspon.03G0026160-1B transcript:Sspon.03G0026160-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSLTYHASTEALVPCIIRDNQNCQGAKEVQRQLVDFGIRSTTFLEKLIWSGSEGVGEEESDYGDVEVVAFLVGSSTALEVAS >Sspon.03G0018760-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:78197944:78218277:-1 gene:Sspon.03G0018760-1T transcript:Sspon.03G0018760-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDTTDDIAEGISFQAFEDDCRLLASLLHDVLLRELGPRFIHILERNRILAQSAVSMRAAGMEDTAAVVERQLEADLAAMSLDDALCLARAFSHYLNLMGIAETHHRVRKARNVEQLSKSCDDIFNKLIQSGVPSEQLYDTVCKQEVEIVLTAHPTQINRRTLQYKHLRVAVREITAIWQTDELRRHKPTPVDEARAGLHIVEQSLWKAVPHYLRRVSSALKKHTGRPLPLTCTPIRFGSWMGGDRDGNPNVTAKVTRDVSLLSRWMAIDFYIRELDSLSFELSVKRCSDKVASLANDIMLKESASEDLKANTWNKTLPQNNAKLYHNLALPAQLPSGADLPSMLDTTDDIAEGISFQAFEDDCRLLASLLHDVLLRELGPRFIHILERNRILAQSAVSMRAAGMEDTAAVVERQLEADLAAMSLDDALCLARAFSHYLNLMGIAETHHRVRKARNVEQLSKSCDDIFNKLIQSGVPSEQLYDTVCKQEVEIVLTAHPTQINRRTLQYKHLRVAHLLEFNGRPDLSHEDKEMLIEDLVREITAIWQTDELRRHKPTPVDEARAGLHIVEQSLWKAVPHYLRRVSSALKKHTGRPLPLTCTPIRFGSWMGGDRDGNPNVTAKVTRDVSLLSRWMAIDFYIRELDSLSFELSVKRCSDKVASLANDIMLKECSDGESQFRMINLPRNSSRPGALNLTEKFEDSPLSSPTGRQPQISRTPSGHQLRKLFKESHIGRSSSFQKLLEPSLSDRPGITPYRVVLGNVKEKLVKTRRRLELLLEDLPCDYDTEEYCETSDQLLEPLLLCHQSLQSCGSSVLADGRLADLIRRVATFGMVLMKLDVRQESGRHTEALDSVTSYLDLGVYSEWDEEKKLDFLTRELKGKRPLVPQNIEVAADVKEVLDTFKVAAELGSDSLGAYVISMASNASDVLAVELLQKDARLTVSGDLGRPCPGGTLRVVPLFETVKDLQAAGSAIRKLLSIDWYREHIIENHNGHQEVMVGYSDSGKDAGRFTAAWELYKAQEDVVAACNEFGIKVTLFHGRGGSIGRGGGPTYLAIQSQPPGSVMVGGWLAVCCCLPKDRKLLRVFLSCFLTKLNGIWWHAGHSPVDGARRDGAGQVRSAADCRPPARDLHDGGAAGDAAPRIRRGTPPGGP >Sspon.01G0042200-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:64267976:64268315:1 gene:Sspon.01G0042200-1B transcript:Sspon.01G0042200-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MYTPYGWFGVVGSGKQRAQLGTRTTRTRAGRGRVLGVASVRTPGLRAASGCCKNHASAPVPSRPIRPRATKKRKRRTPPRSRISESPRPAPPRGAPPPAYHQAPPLSLARTDL >Sspon.02G0021050-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:69215975:69229445:-1 gene:Sspon.02G0021050-1A transcript:Sspon.02G0021050-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNTSTDMLQAFRDGHTHCTFKMDMPVTGEVLLLVILVHVECNVLASECTNLNSTSAEGAGRNAVGDWPPLKLGVGFAPHFCNECMQGKTGVEVIGGKAEPISESLHQMDEMVRLKAIDCYICQPDLSDYRMRLYAGHGVAYFLVRKPSPGTASAPKYNGKSNILSVVKRRPFKRESSKRN >Sspon.06G0012370-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:66011011:66013712:1 gene:Sspon.06G0012370-1A transcript:Sspon.06G0012370-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKQILGRLPKKEKPAKSGEKELAGAGPSLPSPTSDARTTTDLTMSSRLVNPSNYASTATNPGQSYAARGAGGVSNGFAASSAGYEALPSFRDVPASEKPGLFLRKLAMCCVVFDFADPTKDVREKEVKRQTLLELVDYITSATGKFPEPVVQEVIRMVSVNLFRVPTPAPRENKALESFDMEEEEPVMDPAWPHLQIVYELFLRFIQSPETDAKLAKRYIDHGFIIRLLDLFDSEDPREREYLKTILHRIYGKFMVHRPFIRKAINNIFYRFIFETEKHNGIAELLEILGSIINGFALPLKEEHKLFLVRALIPLHKPKCVAMYHQQLSYCVTQFVEKDCKLADTVIRGLLKYWPITNSSKEVMFLGELEEVLEAAQPAEFQRCMVQLFRQIARCLSSSHFQVAERALFLWNNDHIEGLIKQNSKVILPIIFPALERNTKGHWNQAVQSLSLNVRKIFMDHDPTLFEECRKKFEEEEAQEAGVRSKREARWKRLEEIASSKSPQ >Sspon.06G0004180-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:13091357:13092374:1 gene:Sspon.06G0004180-1P transcript:Sspon.06G0004180-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEMPVRYSPARMLMSERTPLYIMDWLPDSGSYMHVICRSTGNTVASVEVPPFVAFHFINAYEEKGDDGARASAVIADCCEYYADPSIIQALALHRLRSPETAKDFPDSRVARFRIPLDGSAMGELETVLDPTSTAGEWG >Sspon.01G0029740-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:99373478:99376591:-1 gene:Sspon.01G0029740-3D transcript:Sspon.01G0029740-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVRVRVGNRSNSWPIGGRFGIGSSGGAGNPPPKPWERAGASSGSVPFKPPSGGSTSDVVEASGTAKHGEVVSAAGNNVASNVNSNISMPVPPRPWQQQGYGNSYGGYGSSMYSSYGGYSGPYGNNMYSGYGGGYGSMYGGSGMYGGSMYGGGMGGPYGGYGMGVNPYNQGPNSFGPPAPPPGFWVSFLRVMHGVVNFCGRVSFLISQNTQAFHMFITAFLQLCDRAGMLYGELARFVLRLLGIKTKPKKGGVKGAGAPSSEGRGQQFVEAPKANSSWDSVWTENGKGT >Sspon.08G0000090-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:10359584:10365687:1 gene:Sspon.08G0000090-4D transcript:Sspon.08G0000090-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSMSTTPKPLDSAAVSAAAVAGGGGGGDEGGGGGGGGGGGKKQVRGAAAAAVMAPPPMAVPAAAPAAGEEVRKVRKPYTITKSRESWTEPEHDKFLEALQLFDRDWKKIEAYVGSKTVIQIRSHAQKYFLKVQKNGTGEHLPPPRPKRKAAHPYPQKASKNAPAVSQAILSQQQPTQREQGSVMPMDTATVRNTNANVAVPSWDNTVAQPFSAGHVQGAVATNNCSSSIESPSGTWPSSEAVEQENVVPPLRAMPDFARVYSFLGSIFDPDTSGHLQRLKAMDPIDIETVLLLMRNLSTNLRSPDFEQHRRLLSSYSYGGGDHVKSEGMENHGSPQSGHLPFLVTSE >Sspon.08G0004680-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:13530495:13539673:-1 gene:Sspon.08G0004680-1A transcript:Sspon.08G0004680-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLLYPFSACVPPPRALLRRLSPPPPMAAVAPPPPAVRILPSVGTLTDLPALPPPPTDDFHWLDLFAFLNAPADTYHHQIPPQPPQDEEEREAGLELELELELERHAEVERQRERARRAQHRRLRQRQVKAETEAWARAAEEYREIEREMLDRRLAPALPYVKSLFVGWFEPLRDAIARDQDVQRRKRVKHVYAKYLLLLPADKVAVIVMHKMMGLLMSSKDGTGSVRVVQAAHCIGEAVEREFKVQSFFQKRRKKKDQGENDPALEKEQAKCRKRVKSLVKRRKMSEAQKLVQQELELEEWGTEAQVKLGSRLIELLLDSAFVQPPADQTPDSSPDIRPAFKHVLRQPIIENGRLKKKHWVIECDHLVHQGFESTARHVDIPYLPMLVPPKKWKGYDKGGHLFLPSYIMRTHGVKDQKDAINSVPRKQLRKVFEDGSCNGLQHYAALGRDYVIEDMGIGSLQVDRKLVKQTVMTSVYGVTYIGARQQITKRLQEKGLIADDKLLYDVSCYATRVTLDALGQMFQSARGIMAWLGDCAKMIASKNQPVKWTSPVGLPVVQPYKKYKNYMIRTSLQCLALRREGDAIAIQRQKAAFPPNFVHSLDSSHMMMTAIACKEAGLHFAGVHDSFWVHACDVDQMNQILRQQFVKLYSMPILENLLEEFQTAFPTLEFPPCPPQGNFDVREVLTSTYFFN >Sspon.06G0012690-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:54827024:54829579:1 gene:Sspon.06G0012690-2B transcript:Sspon.06G0012690-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SREAPPLQGEVTVNKSRFCFPPNSVATSAGVFAGDDPLKFYFPLLLYHVCAVFALSRGVHALLSRANVPLVISQIVVTAGRRANCSVCTQQAGALLGPSLLGQVLPHASELFATPEGWVQLNTVGAYAFVLQIFVIGVKTDLGMIVKSGKKAVAIAFFGTVGPHLAMYAAAAAVRARVPAPWKANFMLTNLNVWWSLSAFIVVCTTLGDLNLLSSKLGRLAMSAALIGDFANTFSIAGITSYLLASSPSEKVQRIGFLSLVTSAIFIGFLAFVARPAILRLMRDVPEGGLLCEARLVAVLLITIVCSFAGEIIGLHATYGPFMLGLMLPGGAPLGVTLGERLDRLVAGVLMPLLFAQGGLRLDVFKIADASICLLLQFFLVVGVAAKQVSCMLPCLYCGMPLREAFILGLMMNFKGITEVAYASAFVDSDVFDEQVYAAFMLNVVLLGAATASVVKHMYHPEEKYVAYRRRTVQHKKLGDELRVLACVHSQADVAPMLALLDAASASPVSPVAVYLLHLAPLVGLTSSVLRAFKHGDRNCVPSGGTDSERIVNAFQYFVQQRPVGSASLLSPTSASRPTPPCTTMSAPSRSRSAPCSSSCPSTSASPSTAPSRTPRPAPDPSRPPTSTCSTTPPCSVAILVDRGSLSVAPAGASTDGFPHRVALYFLGGPDDREALALATYMAEDAPIGLTVFRFLPPPEWRKGGDPEEDRLDEEALQEYVSRWVDDHRVAYSENLVCGSDEMVGIIRKSSPASDLVIVGRRADGPKSPLTVGISDWSEHLELGVLGDLLTSTDFGCQVSTLVVQQQTRAAAGEISRSPEKNAEKPPESDGHV >Sspon.04G0036000-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4D:21304286:21304489:1 gene:Sspon.04G0036000-1D transcript:Sspon.04G0036000-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLALVHPSDPATAWQFSTNALCMSRRHRTRSSPALERSLGPSHPASPAHVGHNDTAGLPLPHVFAKV >Sspon.05G0011680-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:5B:28543181:28543783:-1 gene:Sspon.05G0011680-2B transcript:Sspon.05G0011680-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYPRRTLLHTPFSGHPSGPSQPLDGAVATDGGAPGSNFDANIVMILAVLLCALICALGLNSIVRCALRCSSQMVVGPEPNQATRHAQSGMRRKALRAMPILVYSAGLKLNAANPMCAICLSDFEAGEHVRVLPKCNHGFHVWCIDRWLLARSTCPTCRQSLSGVQQKASGCSEASRAEPEPAPALVPLRPEGLVTPYDF >Sspon.06G0028800-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6C:11399725:11400372:1 gene:Sspon.06G0028800-1C transcript:Sspon.06G0028800-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPGLTPCLANSLAAYPCAQAPSSSAQAAGDRRRHTNRIESTQGEREGEGRGCTRARTAATPPPRMTYLKCLAIPTIRQAGRQAETKRDETIAKDADRRRRSSRGRRRRRSTGGSGNGMDGRVGGRGEDRVRWLTFYARCLPPPCFAEKKAGGLCCLPFPSPIGRYLPLAFRANAGATATWHGPRPNDVALRVISHQLAGGRSAAARTSRRPT >Sspon.05G0025660-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:5C:22994522:22995714:-1 gene:Sspon.05G0025660-2C transcript:Sspon.05G0025660-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ARRKMSIPHLFRCPISLDIFTDPVTLCTGQTYDRPCIERWLAAGHRTCPVTMQPLGDAALVPNRTLRHLIERWLSADHHQIPDGDDDAAEEPSLAALKRCLQSSAGASAKVAALRKVVALASESDVGRACMLQLGYLPVLLQLVFHAPTPRDLSEQEDLALQCALTLLPSSPASPQHDCLNMLKTEASLTSFVGLLAARGRAVAKSGLCRLLETIATAAATRDLALAAAASPRVWQALLPLLLHGDDRVSGAAVRAVAAICCGAEPARGSAVHHGAVPALLGCLSWASAGKARGGAATCSALAALEALAASEAGRRAVAREPGAVRALVRHVFLMSSSNEGSEHAVAALLAVCRESRAARSEAAGAGVVTQVLLLLQSQCGTRAKTRARSLLKLFKS >Sspon.04G0030960-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:3921251:3924645:1 gene:Sspon.04G0030960-1P transcript:Sspon.04G0030960-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRLKDRGGGRATAAATRPLTPKPFSISSSARRTTAAAAAGKENTASKPSKPTSAVRWSTSSLPRASRIQSSVDSSKLVSTLRAPVLPGRPSIGKDPVVDAGLRRSVSGGIRASSVEKGRRSVSVVGSRASEARRGSAGAVGDGTCRRERFDAKAKGSDEISRRRDDLDAKGKQTNEIGRKRESFDAKAKQICGKRESFGVNVSKQCDEIKGKADAFMSNMKKQCENVGGQREGSDSKAKAGEEINMKKEGPNKFVKEMHTKKADPGEASSKSVECCATQKDDEIGNTSVIPVFTVHVVDSNFVPDPIRKQQKNNEEGRKQEEKGKLADRIRVFEKVAAGGEGGSAKPVSAMNRYPSKLHEKLAALEGRVQKIATDIKKTKEMLDENNPDEPKQILSNIQKEITAIEKAISHVKDDNKIQLGTADSSECESSHPETAEKCTITKPGDLKQAGKGLNADELEARFFPHHKLLRGRISSTSTQQESSVDMKKDCNGKTRPAASNPDDDENSIAMEFLASLDGEENDFFKDRRAKKLEKNKICEVADATSKTSSQGSSKNPVGPNHKEEIELLATEELEEFDDQENKSSMMLQEETEESCNSQLSGIGNKSSTGGWFVSEGEAVLLAHGDGTCSYYDIANHEFKSEYKPPSMVSNNMWGDCWLIRAPGVDGCSGRYVVAASAGNALEPGFCSWDFYTREVKSFHVEEEASQAFAPTSRAILGALSNVGSSRSSSALSNSERQQWWYKPCGPLLLSTASKQKMVTAYDIRDGDVVMKWEVSNPVIGMEYSSPLQWRSRGKVVIAGSESIGLWDVNSLEPQPLLSVASVYCLHVNNTDAEVGGGVRQVSSSEVEGNDGVFSTQESVNVFDFRVPAGIGLKIARHGGTANSIFSRGDSVFIGSTEGRLQIKGGLRSRVQQYSLRKGKLVATYELPEFNAHMHHSAITQVWGDSNLVLATCGMGLFAFDTFKEDMQPTYSFDRGNTIGVREAIGPDDLYCPTFDYLSSRVLLVSRDRPAHWRYL >Sspon.04G0018690-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4A:66998381:67000697:-1 gene:Sspon.04G0018690-1A transcript:Sspon.04G0018690-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MIIGDLACASGPNTLLFISSVISTIIEQCKSSGDDYVELQFFLNDLPGNDFNELFRLIEKFKKTNLTGERAHLPPLYYIQGLPESYYNRLFPRESVHLFHSSYGLHWRSQEPEGLEAWRKTYLNKDNIYITKTTTPFVVKQFQKQFHKDFSLFLKLRHEELVHGGQMVLIFLGRKNEDVYNGDLNQLFALVARALQSLVSKVVVYGLVDKEKLESFNVPVYGPSVGEVKELVMQSHLFNMDLIKQFEMNWDPFDDSEGDDVEDSACSSMNIAKLLRSALQSLIVRHFGETIIDAWFTEFRRLVAEHLEKEKTKFTTIVMSLKKE >Sspon.01G0033570-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:110938440:110951086:-1 gene:Sspon.01G0033570-2P transcript:Sspon.01G0033570-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLEYLIGISYVDDTEVFKAQMVPGMVDGTGTAVQQRRQLYSGPLSKLRMLMICRMAKPEEVLIVEDENGNIVRETMKDNDVLVQYKIMRETLIYLSHLDHEDTEQQMLKKLSKQLNGEDWSWNNLNTLCWAIGSISGSMVEEQENRFLVMVIRDLLNLCEITKGKDNKAVIASNIMYVVGQYPRFLRAHWKFLKTVVNKLFEFMHEMHPGVQDMACDTFLKIVQKCKRKFVTQQVGENEPFVSELLSNLATTIADLEPHQIHTFYESVGHMIQAESDNTKRDEYLKRLMSLPNQKWAEIIGQASQSIDILKNQDVIRSVLNILQTNTSVASSLGPHFFPQISLIFLDMLTVYRMYSELVSSTIAEGGPFASRTSFVKLLRSVKRETLKLIETFVDKAEDLPHIGKQFVPPMMDPVLGDYARNVPDARESEVLSLFATIINKYKGEMLEDVPRIFEAVFQCTLEMITKNFEDYPEHRLKFFSLLRAIGTHCFQALIQLSSPVDGLTEPLWDASSVPYQYTDNAMFVRDYTIKLLGTSFPNMTVTESKEFSAQDNKDLYAEEAAAQRERERQRMLTIPGLIAPSELQDEMVDS >Sspon.01G0026200-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:91178304:91181859:-1 gene:Sspon.01G0026200-1A transcript:Sspon.01G0026200-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSAAFSSSSSALLRGAPPRTRRLLLAAPARAHSGAASRARGGLPRFHAPSLPSSKGEVIRIQGDEFWHMTRVLRLGINDRVELFNGAGGLAEGFIYKVDKGGSDVELLEDARIIPPQGMQWHVFAAFGTLKGGRADWLIEKCTELGASSVTPLLTERCHTIAENRVDRLQRLVLAAVKQCQRIHEMSLKPPIQISHLLPVVSQSKLAFLASAEAPPLLSVLPKSSTEQSGLLIIGPEGDFTEEEVHSLKSAGAAPVGLGPCRLRVETATISLLSALMLWSDAKHQ >Sspon.02G0022030-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:74492063:74497564:1 gene:Sspon.02G0022030-1P transcript:Sspon.02G0022030-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGLDMSLDDLIKKSKSRPKANPASSSGPARRAPHPARAAPYPPAAPKARAAADSPYGVYSEHIATIAAVAPPPPRPAATARSLETGTKLHISNLDSGVTVEDVQELFSEVGELKRYSMNYDKDGRSKGTAEVVFARKVDALDAIKRYNGVLLDGKPMNLELIGNNVEPPPMPPIIPNRPLQNYNDIHSSVPQSQRGGQRRAPQGNGQPGRSYQSSGGRGQGKGRGQDRNRTAISAADLDAELDKYHAAAVKEE >Sspon.05G0025040-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:19973503:19975200:-1 gene:Sspon.05G0025040-1B transcript:Sspon.05G0025040-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding CPPLTRRARPWRRTGTRRRARAWPWRSLPRAASGAWSWCTSASPAWRARRSGIRRATATPRPTATSAAAARATPRWCACTTTPTPAPTTSSSTSSGPSTTPPRSTDRATTSGRSTGRASTTTRRSRRSWRGSRWRRSRRSGRTLSSPRSCRRGGSTPPRSTTSSTSRRAGSPPRRAAVIPSAATAD >Sspon.05G0033450-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:5C:33346227:33346931:-1 gene:Sspon.05G0033450-1C transcript:Sspon.05G0033450-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKISVHSNHNRVKEVKARAEELARAQLIRLGADRDLVQGLKSINDLSKKLAVSDQRFATLWKSFKTVAKLLQTPKDDGRTWGDFIPLILVRLQSFIMKGV >Sspon.04G0009760-3P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:13653102:13655122:-1 gene:Sspon.04G0009760-3P transcript:Sspon.04G0009760-3P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAATLPTGQEPHAVCVPFPTQGHITPMLKLAKILHARGFRVTFVNTEYNHRRLVRSRGAAAVAGLTAFRFATIPDGLPESDADATQDPATISYATKHNCPPHLRSLLAGLDGVTCVVADNLMSFSVDAAREMGVPCALFWTASACGYMGYRNFRLLIDRGIIPFKDEEQLTNGFMDTPVNWAPGMSKHMRLKDFPTFLRTTDPNDVLMNFQLQEVERSEYASAVVINTFDELEQPALDAMRAIIPAVYTIGPLASVTEQVIVRRGPLDAVSCSLWREDQTCLAWLDARKPRPRSVVYVNFGSITVMSGKELAEFASGLASSGHDFLWIVRPDVVKGDTSSAAALPPGFLEATKGRGLLASWCDQEAVLRHEAVGLFLTHSGWNSTLESLGAGVPMLCWPFFAEQQTNCRYKCVEWGVAMEVGDDVRREAVEARIREAMGGDKGKEMARRAAEWKEAAAGSAARSLANLNRLINDVLLSPARLAAHSKWLQ >Sspon.07G0001610-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:2365454:2366369:1 gene:Sspon.07G0001610-1P transcript:Sspon.07G0001610-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LETRKRKPFGPRLNFSAEEAVEYQLESLKYNDQPRQDYGIEVMYRALTPLKGRLTLDDSLILDRVLLGHKERNILSSLWVEENRFKQRVWVQGARPEEEEIFQFTMVQRVGGSWDGYWLTESLINDGDAFSGGIAY >Sspon.03G0042970-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3C:74247195:74249047:1 gene:Sspon.03G0042970-1C transcript:Sspon.03G0042970-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKLNSAVPLKKPSFASARSVSSIRGTAVKSASIKPAPPVSRHGSAIQKHNVPPPKVPTIADVPSRAPALVSCTGLVSPGRSGDFVSIDETMSTCDSMKSPDFEYIDNQDSSMLASLQRRTNEHLRILEDRDVEENKWKKNAIAPMQIDRICDVDNEYEDPQLCATLASDIYMHLREAETKKRPSTDFMETIQKDTNPSMRAILIDWLVEVAEEYRLVPDTLYLTVNYIDRYLSGDLLVLRKRVTRYCYIVSFWLLEGIPIKLQT >Sspon.04G0017510-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:72131226:72132417:1 gene:Sspon.04G0017510-4D transcript:Sspon.04G0017510-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DIVEFSEVCALMTGKDKDYRYMATSDLLSELNKESFKADQDLEPKLTITVLQQLEDASGDVSGLAVKCLAPLVKKVGEDRVVEMINKLCDKLINGKDQHRDTASIALKTIIAEVTTPSLAEKILLSLA >Sspon.02G0015540-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2C:46120435:46121520:1 gene:Sspon.02G0015540-2C transcript:Sspon.02G0015540-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAAGLRRAGGRFLLARDIKSSGANVHFLGRGFGGGVTMPRALLEDPAPAPAPAMDAPATTTTATGPHPASAAASRITPAVLFVTVVLAVVLLVSGLLHVLRRLFLKSHHASAGAGERQLQHLFFPAHDDGAGGSGGGGGGGLVQAAIDALPEFAYGELSGGGGAAAASRKGKEKAARPFDCAVCLCEFADHDRLRLLPLCGHAFHVACIDVWLRSSATCPLCRTKLSARHLAAAAAADALPSSVGQDVEEQKPQQDQAPPDAAEAASSSVVLPVRLGRFKNADDDAAESSSITGDATSRIDRRRCYSMGSYQYVLADEHLLVSVHLRHGNAGGGGAGTACGTSAAVTAASGGDEQHQGKK >Sspon.04G0016930-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:67899410:67914523:-1 gene:Sspon.04G0016930-3C transcript:Sspon.04G0016930-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MENRTIHHDYRKWKRVEVERTLTDSQRDEFEDMLRALTLERSQIKDAMGFALDNADAAGEIVEVLTESLTLKETSIPTKVARLMLVSDILHNSSAPVKNASAFRTKFEASLPDVMESFNDLYRSITGRMTAEALKERVMKVLQVWADWFLFSDAFLNGLRATFLRSGNSGVVPFHSLCGDAPEIEKKGSSEDGNDGFKLNEDGALATGKAAATKELLGLPLAELERRCRHNGLSLCGGKEMMVARLLNLEEAEKERVYEKDVDMKYVQGEQHTIVSGVNAHSTSKFGESPNGDELDVSRNNMRAGKGRSGESASAELEPFPSKKPKYDPVLPASKWSREDDISDDEDRKGGRGLGLSYSSGSDIADDLGKVDTTEASTDHTSHHHDTIVDEEHRQKLRQIEISVMQYRESLEEQGLRNLDEIERKVASHRRRLQSEYGLSTSTDGANNRRSSGIKNIIGAEREA >Sspon.07G0026490-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7D:47689825:47702138:1 gene:Sspon.07G0026490-2D transcript:Sspon.07G0026490-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ADYSGRLYGLRAGSLAGHLN >Sspon.01G0025420-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:89948755:89951326:1 gene:Sspon.01G0025420-1A transcript:Sspon.01G0025420-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MECVLGVVGRDFAVVAADTSAVQSILVHKTDEDKVMVLDSHKLMGASGEPGDRVQFTEFIQKNLHLYQFRNTIPLSTAAAANFTRGELATALRKNPYMVNIILGGYDKDVGASLYYIDYIATLHKIDKGAFGYGSYFCLSLMDKLYHPDMTVEEAVDLVDKCIKEIRLRLVVAPQNFVIKIVDKDGAREYARRELIGDNAPVDAAITVAA >Sspon.02G0024050-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:83891051:83892209:-1 gene:Sspon.02G0024050-2B transcript:Sspon.02G0024050-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATADEADQVTVVDFWANGFGMRARIALRELGVAFRYVEEDLRVRERSELVRRMNPVHRSIPILIHDGRPVCGSVNILEYIDEVWGNNPTRACFLPHDPLQRAQARFWADFVDQKVFSTQTRFLKSKGDEKATAKAELLEHLKRLEEALGDKTFFAGDEFGFFDAVLIPFSSMFYGYEQHGGFDLDVECPGLMRWVRRCKERESVKGVLPDEDEMYELHKKWYGIE >Sspon.01G0037320-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:17993248:17997192:1 gene:Sspon.01G0037320-1P transcript:Sspon.01G0037320-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VEGLLIAVIVFQLSRKSYKPPKKPLTEKEIDELCDEWEPEPLCPPIKEGARIDTPMLESAAGPHTIVDGKEVVNFASANYLGLIGNEKIIDSCIGSLEKYGVGSCGPRGFYGTIGLYYHPQTMTLVENVHLDCESKIAKFLGTPDSILYSYGISTIFSVIPAFCKKGDIIVADEGVHWAVQNGLHLSRSTVVYFKHNDMASLASTLEKLTRGNKRAEKIRRYIVVESIYQNSGQIAPLDEIVKLKEKYRFRVILEESHSFGCLASLGEALLNIMEFL >Sspon.06G0029010-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:13889223:13890182:1 gene:Sspon.06G0029010-1C transcript:Sspon.06G0029010-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPIAPPPASADDEIVYESMPCIRVYKNRVERYFGTEFVAASTDAATGVASRDVVISPNVSARLYLPRLHDAAAKLPILVYYHGGGFCLGSAFNPTFHAYFNTFAGLANVLVVSVEYRLAPEHPVPAAYADSWDALAWVVSHLAPAAADHNQDPWIAGHADFSRFYLGGESAGSNIAHHMAMRVAAEGLAHDAKIRGLVMIHPYFLGTDKVPSDDLSPEVRESLGSLWRVMCPTTTGEDDPLINPFVDGAPPLASLACGRVLVCVGEGDVLRDRGRAYYDRLSASGWPGEAEIWQAPGKGHTFHLLEPSCDEAVAQDK >Sspon.06G0001650-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:5296845:5298862:1 gene:Sspon.06G0001650-1A transcript:Sspon.06G0001650-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDHLAIIASELGDATDFEVDGIDNLSENDVSDEEIDAEELARRMWKDKVKLKRIRERQQKLALQRLELEKSKTKKISDQALRKKMTRAQDGILKYMLKLMEVCNARGFVYGIIPEKGKPVSGASDNIRAWWKEKVKFDKNGPAAIVKYEVEHSMLSNPKSSGAMNQHSLMDLQDGTLGSLLSALMQHCSPQQRSYPLDKGVPPPWWPSGNEPWWPALGLPKGEAPPYRKPHDLKKVWKVGVLTGVIKHMAPNFDKIRNRVRKSKCLQDKMTAKESLIWLGVLQREEKSIHSFGSALLPMAQHSTSEDRTEGIYSSSDEYDVDRLEQPPRSTSSKDDEGDAQPVLQIRGEQISTR >Sspon.03G0003240-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:15695143:15702813:-1 gene:Sspon.03G0003240-1P transcript:Sspon.03G0003240-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRREIPRACTRRWQSGPSTNRRKGRDAYGFAVRPQHLQRYKEYAGIYKEEEEERSDRWKNFLERQPESSGQDAGDNAKGDGSESLHEKTVAGPRKIEIWTPIRSSLSNIEQMMSLRIEKKQSSAGKQQAKDGTHPLKVEEGKLSEDSDDEFYDVDKVDPSQEVQSSDTGNADAGSRSQEENYISKEELECLVHGGLPMALRGELWQAFVGTGARRIEGYYDSLAAEGELDNKCSDSPTSEGVHEKWIGQIDKDLPRTFPGHPALDEDGRNALRRLLIAYAKHNPSVGYCQAMNFFAGLLLLLMPEENAFWTLVGIMDDYFDGYFSEEMIESQVDQLVLEELVREKFPKLVLRVWDVLLFDGNRVMLFRTALALLEFYGPALVTTKDAGDAVTLLQSLAGSTFDSSQLVLTARMGYQSVNETILQELSNKHRPPVISAMEERVKGLGVWTDTNGLASKLYNFKRDPEPLVSLSDSADQLSDVGDGDANQESDPGNMDDEYGGVTVNSEIDSLPDPKDQVEQVELEISELRQALSDKQEQEQAMFQVLMRVEQELKIAEEARISAEQDAAAQRYAANVLQEKYEEAMASLAQMENRAVMAETMLEATLQYQSSQQKALSPCPSPRPSMLDASPTQASQNSSHEFQPRRKNLLGSFSLSWRDKNKEKQNNADDSANTKFTNNDEMVETSNIDDEKQRETQDLDNEQRAESPKEDGKTRAEMPEKDNDLPGVQLATDNLNGHHEQMQEIKLD >Sspon.08G0025510-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8C:10229076:10229248:-1 gene:Sspon.08G0025510-1C transcript:Sspon.08G0025510-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGILQATCFTRVANSRTPTGKAQEPLTINLVRLVTISKVELNTTAAPSHLGCQETPK >Sspon.03G0001260-3C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3C:14921224:14923284:1 gene:Sspon.03G0001260-3C transcript:Sspon.03G0001260-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMPKNSCAGGCEIQASGINYRIAISSRPHPPLKVWSRSDDDVHVQDHQDHHHSVRHVLRDVSCRARPGELLAIVGPSGAGKSTLLEILAGRLSPSPSPQPQPDLLLLLDGAAAHSADLRRVSGYVTQQDVLFPLLTVRETLLFSARLRLGARLPAKDMHARADALLDDLTLRRVAATRIKDLSGGERRRVSIGVEAVHDPPVLILDEPTSGLDSASALQIVGALRAMAETRGRTVLLSIHQPGARIVKMFDSVLLLAAGSVLHQGTVDQLRALLGDAGLHLPPHVDAVEFAIDSVDALRLHRRHASAAGLQAPPSQPPQPSSREREGRCTLQHLFQLHGKQVADEDTAAVVPVMASSAAATAGSRYANSRAREVAVLSQRFFKNVARTRQLFACRTVCMLVAGLALGSIFYDLREDKVAERVGLFAFLLTFLLSSTTEALPIFLQERDILAKETSSGAYRVSSYAVANAVVFLPFQLALAVVFAAPVYWLAGLRRTAAAFGYFLLVIWLILYTANSVVVCFAAAAPDFVVGNAAIQGVMGSFFLFSGYFIARSAMPACWVFMHYLSLFKWPFEALLVNEFAGGGRCVVRALGECVATGDEVLRREGLGEECRWRNVGVMVAFMTAYRVLGYAVLRVRCSLALNKGAVAAGPPGLGLSLSRRLRSQLAIMGAAARPSPSSSSSSTPT >Sspon.02G0030530-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:94090859:94094973:1 gene:Sspon.02G0030530-3D transcript:Sspon.02G0030530-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box/LRR-repeat protein 17 [Source:Projected from Arabidopsis thaliana (AT3G54650) UniProtKB/Swiss-Prot;Acc:Q8W104] GLRRRRCPRRPRPRPGEARAGQAPRQLQLRPVRAAQEGPRLLRRLRRGRRQPRQGGGAAAAPTAASPQQQTKPRRALQFDEPAASAPPAASAVVLDAVPLAVAAPPLPRTPSQAAAGKNKARAEVVDVDVEEDGDGDDGPPLPWVEVGAGQRAPGEVLLGVLQRLPPRAVAAAAGVSRGWRECTRRLWGGTEEIRLRASGVRPVAALIARCPVLTKLVLTMDSDVDATLLACIAFSCPNLQSLAINMVNSAANRITGDELSRFVSEKRSLSVLTLDGCSCLGFLNVSSSSLSTLWLSGLCSLTKAVMNCPNLNELSLNFPIQNNDSTDLVALMDSLGRTCPNLRNMHISSIRLCNEAVFALESANLRGLRMLSFLQGSKITDAAVASIVRSYARLELLDLSGSGITDNGLGMISNAFPNTLTFLLLARCPNITSFGVQVAAAHLPLLRVMDCGQSICAIPQPEAGRSYYGDLTGGIKFCAKLPVQRKQQTTCQKLIIKHNNLKKLSLWGCSAIDALYVNCPELVDLNLNWCTNLHPERLLIQCPKLKDVHAQGCRDMLIGAIRNQVLNEFAAIEPRLPCKRLADGSKRVHVPHFMIEQLEGQEKWGRPRKSQCTVHLT >Sspon.05G0005220-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:11179184:11190717:-1 gene:Sspon.05G0005220-2B transcript:Sspon.05G0005220-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MYILVISFKNFELFDQVVPSSNGVQDYFSSATKYPWLFSMKDKGQTLTSFTNIHKTRNLCITGHLDGTISFWDASCPHLLQIFMIKQQNEDNTSTGNPITSLQFDMSSSTLLSGERSGMVRIITFKKDSSDNIFSFLNAKQGDNCNVRSIKLKGAVTTMSSISKSKHFAAGTEKGIVSVINIEDATILYQKQFECRLSGGIASLQFEIYSHNGYDKDILIAAMEDSSIFIIEEENGKLLNPNPVQTDKPSKALLLQMLELSPNDTPAVSDNHDTVSKESLLLLCTENAIRLFSLSHAIQGTKKIINKKKLNSSCCFASLIHSSSNDIGLILVFSNGKIEIRSLADLSLLKDAFLRGFVYSRNLNSTSSIACSSDGETILFNGEETYFFSTLCQDEIYRHVDSISTVYRKENSTREDSSFVVKSPKEKKKGLFGMIMKDNKGSKSKESAANGSEQFIATTSEELASIFSSTNFSPPSEGRNSSLRDDENVELDIDDIDIDDNPEKPKGPHFPGLSKEKISKGLHTLRGKLKPKNEEKISSGNKKTEDETSVSQVDQIKMKYGYANTTNYDSTSVPKMIGNKLQENMKKLEGINLRAADMASGAQSFSAMAKELLRTTKNEKGTS >Sspon.06G0024340-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:53044251:53045519:1 gene:Sspon.06G0024340-2C transcript:Sspon.06G0024340-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMAGTRIGMGMTKFGSRCPVCFGGMGHGQAIFTAECSHTFHLRCVPSRSVCPVCAARWRDAPSSEAPSAAVETMYGDDEPVEPPPPHAPLGGGGRTAAAAATGLLVLKTHCEYPALAKAAARDGFGVLVHAKAPAVAAPESSASASARAPLDLVTVLDVSSSMAGDKLELVKRAMAFLIDNLGSGDRLSVVAFSTDAHRVIRLTCMSADGKAAAKRAVESLAASGCTNIRDGLDVAAMVLDGRRHKNTVASVILLSDGQDNQSMHHHGGFGTYNRFNKTGYDVLVPPSFRRTAGDRCAPVHTFGFGTDHDAAAMHYISEVTGGTFSFIENHAVIQDAFAQCIGGLLSVAVQKARISLECVHPGVRVRAVKSGSYESRIDAEGHAVTVEVGELYADEERRVLLFVDLPRAHSIDELATRLFKV >Sspon.02G0002920-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:6972078:6973554:1 gene:Sspon.02G0002920-2D transcript:Sspon.02G0002920-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYLHNEPNVVIHRDLKPRNILLVNSAANHLKVGDFGLSKIIKAQHANDVYKMTGETGSYRYMAPEVFKHRKYDKKVDIFSFAMILYEMMEGDPPFSNYEPYEAAKYVADGHRPVFRKSHTNELKDLVELCWSGDISLRPSFLEILKRLEKLKEHYSHENHWHLFQ >Sspon.01G0036330-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:18473943:18477844:-1 gene:Sspon.01G0036330-1P transcript:Sspon.01G0036330-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRDAEETSPPVSTSELEQEEEDDDCYLSDQEDDALEESVLQVLEDEHLEDCHWSASSVITKESLLAAQREDLRKVMELLGLREHHARTLLIHYRWDVERIFELLDQKGRDRLFSEAVTCNVCYDDVPPSAASEMDCGHSWTEYFIVKINEGQSRRVRCMAPKCNAICDEAIIRKLVIARDPDIAERFERFLLESYIEDNDTVKWCPSTPHCGNAIRVKGDIYCEVECTCGCQFCFNCSLQAHSPCSCMMWELWIKKCRDESETVNWITVNTKPCPKCHKPVEKNGGCNLVACICGQAFCWLCGGRDHTWSSISGHSCGRFTDDQTKKTEQARRDLYRYMHYHNRYKAHTDSLKQEAKLKNEIQGKISISENKESKIKDYSWVINGLNRLFRSRRVLSYSYPFAFYMFGDEIFKDEMTPQERELKQNLFEDQQQQLEFNVERLSGFLEKDFQLFTDDEVMDTMKHVINLSNVVDKLCKQMYQCIENDLLYPLRTPHNIAPYKSKGLDRASELNISWDSAAQSSQSTKCSQDEHRNQPETTILGKRTELHGSSSDNRGHQSNKRERVDANGGGGGALFDLNVPAEVVDKI >Sspon.03G0010140-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:32378662:32380238:-1 gene:Sspon.03G0010140-4D transcript:Sspon.03G0010140-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSSEELRASFSDLVIYVWVGCNTTKFGHLYAAATTRPAAAERKLVEKLKGLGYIKTAAVEANTSTVQ >Sspon.02G0029840-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2A:108342041:108342718:1 gene:Sspon.02G0029840-1A transcript:Sspon.02G0029840-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding QVTFLKCGGVVLTSCFHHSIVDATGIFHFMQTWSRLARGLDAAEAVGPEAPFHDRTSLRARSPPVPATAPDTAVVFTDRLRPFVTRSYPFPLKLIDELKSRCGVAGGGVSTFSAVTAHIWRCMCVARRLAPDSDTRLGMAVNVRRRLRPPLPHSFFGNAVLRVFTTARVGDVVDGSSPAGSVVGMVRDTVNSVDDAYARAMVDYHEVNSKPTVRFVVGKTAPAESD >Sspon.04G0028070-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4B:63721503:63722125:-1 gene:Sspon.04G0028070-1B transcript:Sspon.04G0028070-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGANDNNSEFKVAFDKLVADLHTQFDDIKGQFTSTNDRLTSIKQRGALRRPPLMPAPNIVDDLENKLFNDLNKQEPPKMNMPPPYPYTGTPPGSFPVLTPRIHKLNFLTYDDKEDPLPWINRCEQFFRGQKTPETEQVWYASYHLTGGAQQWYMHLTVRSKWLEGGE >Sspon.08G0014490-1P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8D:56627958:56634217:-1 gene:Sspon.08G0014490-1P transcript:Sspon.08G0014490-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAALRLGASALALLVLVSVAVLPLVSSDDGYKHCEGVVRGWADSSTGREKDGDKLSLKDLLFFLHIPRTGGRTYFHCFLKKLYTNAQECPRSYDKLRFDPSHPDCKLVVSHDDYSLTSKLPRERTSVVTILRNPVDRVFSTYEFSVEVAARFLVHPNLTSAKLMTTRVLTKSRAVSTLDIWPWKYLVPWMREDLFARRDARGGDKVHSSKKVNAYDVEDMVMPLHQYINDPVAHEIIHNGATFQITGLTNNSYFDGAREVRHCVRKHPDLGRIVLEVAKNRLDQMLYVGLTEDHEESARLFAHMVGAQVLSQSGTLNLDLKEDAPSETDSHPSMMEPEDEETNDHLMTVGKLMEAYETCIAKLRKSQSSRRKISLKKVQEANFSKEARKLVPEAILKQIISLNSLDMELYDHAKKIFTQEHLMLKAQQSMVGQHRQLAEQKEGWISIICSDGICSPWMVVLLGLGITMIIVLAAFAVTTRKRTSKLKV >Sspon.08G0016690-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:65643694:65652183:-1 gene:Sspon.08G0016690-1A transcript:Sspon.08G0016690-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LPCNFAKNADLELHKSRGKEEWVHTVSAYSSRSARFPSENPLACRIASKPFSSPTSLADHSPPPPPPDAATAAAVMLSLSRALGRRLFSSAAAASETAAAASTSAVRKAQNPLEEFFEVERSTEEDKPPPHYGRSWKASELRLKSWDDLQMLWYVLLKEKNMLMTQRQMLHSENMRFPNPERISKVKKSMCRIKHVLTERAIAEPDPRRSSEMKRMINALWKASELRLKSWDDLQMLWYVLLKEKNMLMTQRQMLHSENMRFPNPERISKRPSYY >Sspon.03G0028890-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:12209994:12213212:1 gene:Sspon.03G0028890-1B transcript:Sspon.03G0028890-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MECLELDCSDFFLDDDAIDCPLEIHLESNSSAGVKAAPDHSRHAQLHRSNAPDPPPLPGTSNDARRSSKAKACRRVPEGVVDSWDKLFLEGYQSDLRVSTDDGSEILSHSCVLGVKSPVLRAMLEEAKLEDGFRCLRISGAPAEAVRVFIRFLYSSRFEQEQMKKHVLHLLVLSHVFSVPSLKTVCIDQLERNFLAPDNVVDMLQLARLCDAPRLSLVCTRMIIGDFKTISLTDGWKVMRRVNPSMEQELLESLVEADTKRQERAKRMEEKKIYRQLYEAMEALVHICRDGCRTIGPRDQALKGSLAAACKFPACKGIELLVRHFSTCRVRVPGGCANCKRMWQLLELHSRMCFTPDTCKVPLCRHFKEKMRNLERKEETKWNLLVCKVLESRGTMGFMPERREGTRTRRSRNQNVRTSQSALERVARLAALAVARPPAHGSNPRLGNRGCHARVLSPEGAERVCKQCVFSRRVPRFLGQSHMYLSVR >Sspon.02G0039110-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2B:48865867:48869695:1 gene:Sspon.02G0039110-1B transcript:Sspon.02G0039110-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLVSKIAGLEKSNNRFTSLEVDQDIEVVQLDLEEEQQESSLSKNEPKRVNGQDFSWVWTEAEGHSGGTLTGVKNGDIELISTNTGAFFSSIKAKSRKDDFIWEVVNVYGPVQDGKKQVFLEELLNKINNTNWSFIMGGDFNLIRCASEKSSDNVDQGKMDMFNKFISDTGIKELCRKGGKFTWTNKQENPIMCTLDRVFTSFDWELHYPWTTCESLTRIGSDHNPLLVITEDVRVSHPYVFRFEMAWFTLPDFKDKLLANWPARECEEVQNYWKRVKKHIRTFCKGWGNNIRGQMRREKTTLIEDIKFLDATAEIRNLTATEWNERYGKERALEQIYSFEEIQWQQRGCEKWLLHGDANTGFFHNKANGRKKKCTIFSLEDGNRTITGDQDLRKHIEDYYKTLFGPERSESISLEDDFWSSQETLSGEEAEELVQPFTMTEIEEALKDMDSNSAPGPDGLSTEMEHPNQGQGKRLDFEDGGQVIKTLGAKRPRLVM >Sspon.02G0052070-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:87551996:87553116:1 gene:Sspon.02G0052070-1C transcript:Sspon.02G0052070-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RKYIHLLVHHHQFTAMTMSRVVLIILAVAIPRFCSSCQPHAPTMAVAGPMTRRRSQEAEDRCLYVYTRVWGYMGCCLPVLGRRVPALQSDGDIFFVVKVFAAGTVADPGYKFTYGGFWNCWIRPWAGIVLTTGLIHILPDAFDNHAFHASRKAPSPAWWRVDLTSHEVCSPSGLQGCCAAS >Sspon.08G0013810-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:55551107:55552189:1 gene:Sspon.08G0013810-3D transcript:Sspon.08G0013810-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATL family protein containing RING-H2 finger moti [Source: Projected from Oryza sativa (Os09g0376700)] MLLLLGLATGLAVAGEAEKQQDGGGASSSGGGGGHGSKISPVVLFVVVIAAVVLFVSCLLHLVVRLLLRRGRARARDEADGGVDAAGGGGEESALQRQLQQLFHLHDAGLDQDHEDAVLPVRLGKFKNLSRAAPGPVRDGAGIVTREAGETSSSSLDARRCYSMGSYQYVLAEASLQVSVHRRHGDGHARAGPRLRGAGSNAAGSETGGGGATEGKRIGAGSKGDSFSVSKIWQWPRNGKGKLPVLASDDSPAMNMNGRLPWQRPSPGDS >Sspon.03G0006380-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:24720083:24723964:-1 gene:Sspon.03G0006380-2B transcript:Sspon.03G0006380-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVYFKARPAAGDYTIYMGADKNENEELIKYGLPEDVWFHVDKVSSAHVYLRLKKGETIDTISEGLLEDCAQLVKAHSIQGNKMNNVEVIYTPWSNLKKSPSMDVGQVGFHNPRMVHVLTVEKRVNEIINRLNKTRVERRADLKAEKEASNAAEKAERKMQLKEKKRKEEMERLEKEKWAEIRSYKGLMVAEKMTSNRQIASAGKSMQEMEDEFV >Sspon.05G0011730-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:35999279:36004301:1 gene:Sspon.05G0011730-4D transcript:Sspon.05G0011730-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEGARPAAAARQIVKSLQRWGRLSLERPPFGAALGDYHQLPRPSPSPTAAAAAAVTLGGRGEFFDEGIIVRMPLKRKSPYRECDTAESTGLSMASSGFIQGVDSPRMTPTSGNTARKYKSKSEYTKAGPQTPTLNAGKYKFVDLVLCLCVVVGSPGNPPTPAGSCRYDSSLALLTKKFINLLKEAEDGILDLNSTAETNWSDRKEVEEQNLLETDFENLNLQEQALDEHISKIREKLKGLTEDKSNQGWLFLTEDDIKGLPCFQNQTVFAIKAPHGSSLEVPNPDVMTGNSFQRRYRIVIRSTTGAIDLYLVSETEEKMEGELDDAAAPAGHTNVAKHGSIKRPRTKRAWQMSREEEVVLKAQKTQKTPDLNPPCHSDVDSPPFLILPIQLATTGVSATVGGNLIGDAALCAA >Sspon.05G0016920-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:70172034:70172531:1 gene:Sspon.05G0016920-2B transcript:Sspon.05G0016920-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DSSGHLQMHVLRWRWRWRRRRRHARHRLLLLRRRRGLQALGGEVVLVVVHPHLEHAPLHADLLAEGLHHAVVQPLEAPPHALRELQHARLLLQGELGAEPLPGRRRPAAAAAARRVAGDTSAALHLVGFRGVHHVQRHHVLVVGVGVPVPRRRWQRRREGARCRVG >Sspon.07G0010990-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:34473896:34474641:-1 gene:Sspon.07G0010990-3D transcript:Sspon.07G0010990-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MCCCGDGGECRPLGWLLGLPFALLAVLVSIVGAIIWIIGLPISCICPCCLCVTVVLEAAVELIKAPLHVMTWFTSKIPC >Sspon.02G0003130-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:10252691:10265365:-1 gene:Sspon.02G0003130-1A transcript:Sspon.02G0003130-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRDGTTRFSLAATGEPVHSFLCVSSFAEYTVVDVAHLVKLDVVGPAPALPPEKACLLSCGVTTGVGAAWKAAAVEPGSAVAVFGLGAVGLAVAQGARIRGAKRIIGVDLNPDKFEIGKEMGITDFVNPNDVAEKTVSEVIKEMTGGEGADYCFECIGSVSVMAEAFKSSRSGWGKTIVLGVDGSAAPISVPSFDIMRGRSVTGSLFGGIKPKDDIPVLAHKYLDKVICHRQSDAAGSRPLNCDRCAAAVSKAPGQPLEMEEVEVAPPRAHEVRVRIICTSLCHTDVTFWRMKDFPAMYPSILGHEAVGVVESVGEHVHEVAVGDTVVPVFLPQCGECADCLSARSNICSALPYRPGGMPRDGTTRFSLAATGEPVHSFLCVSSFAEYTVVDIAHLVKLDVVGPASLPPEKACLLSCGVTTGVGAAWKAAAVELSSTVAVFGLGAVGLAVAQGARMRGAKRIIGIDLNPDKFEIGKKMGITDFVNPNDVAEKTVSEVIKEMTGGEGADYCFECIGSGSVMAEAFKSTRSGWGKTVVLGVDGSAAPISVPSFDILRGRSVTGSLFGGIKPKDDIPVLAQKYLDKVICHRQSDAAGSDFLRRWKFTLLLDPDRLNYFYALLEKALEASGDDLDSAIRSLSLLRLESTSTGFKPENDRQGRDGVRMAHHAGDLGGMRRILVRAGRWRWGRLPVGCQRRQEQLQRARCCLLVVSG >Sspon.01G0034480-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:4423731:4425470:1 gene:Sspon.01G0034480-2C transcript:Sspon.01G0034480-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQLPKRPANYVPLSPVGFLPRANAVYGDRASVIYGRVRFTWRQTYHRCRRLASALLSLGVGRGDVVSVLAPNVPAMYEMHFAVPMAGAVLNTINTRLDAGAVATILRHSGAKLFFVDYDYVRLASDALRLLAADGTHAVPLVAVIDDIDSPTGARLGELEYEALVAHGDPDAELPPLEDEWDAVTLSYTSGTTSAPKGVVYSHRGAYLSTTSLLLQWGVATEPVYLWTLPMFHCNGWTFTWGMAARGGVNVCIRDARPADIYRAIARHRVTHMCCAPVVFSILLEGDGATMQLETPVHVLTGGAPPPAALLERVERMGFKVTHAYGLTEATGPALACEWRDQWDRLPLPERARLKARQGVSVLSLADADVKNADTMVSVPRDGKTVGEIVLRGSSVMKGYLNNPEANDSAFRGGWFLTGDVGVVHQDGYIEIKDRSKDVIISGGENICSKEVEEVLFRHPAVADAAVVAMPHPRWGETPCAFVVPRNNAAELSDDDVLAFCKKRMARFMVPKKVEVVGALPRNALGKVEKVKLREVARKLAPTVPAQKPKGKTTTSSTTVGGRREEQPVAHVMAVSRL >Sspon.07G0019810-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:73289417:73301469:-1 gene:Sspon.07G0019810-3C transcript:Sspon.07G0019810-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPSGQPNPMGGPGQQVGASLLRTSSSLLGGGRGGGVGAGGQPGMGMGMGMGGGVLPSQSPFSSLVSPRTQYGGGGGNGLLAGASSVASMLSRQQSYGNGGTGVMPGTGAGLPIGGMQHRGGVETTVEVLPRLCQIKYASGTLEELLYVDMPRESQNSSGQIVLDYTKAIQESVFDQLRVVREGHLRIVFNQDLKIASWEFCARCHEELIPRRSIIPQVSQLGAVVQKYQSSVQSSASLSKSLHKFPRRGNSGVSSVQAQQPSEEQKPVPQNSNQSGQNSAPATGMQVSASVNGDATSNNSLNCAPSSSAPSSSSVVGLLQGSVSGRQDHPTSSGNGLYNGGNSASVAKANSTNSMQSNGPASFPSPAPSASNGNMMPAPQHSSQMNSPTMSSNPPPMQTPTSRPQEPEPNESQSSVQRILQDLMMQSHINGVGPVGSDMRRANTITPGLNGVNSLVGNPMTNNPGINGMGFGAMGGLGQQMRTAMGNNPLAMNGRTGMNHSAHDLTQLSHQQQQRDLGNQLLGGLRANSFNNLQYDWKSAQ >Sspon.02G0028770-3D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2D:97176606:97179708:1 gene:Sspon.02G0028770-3D transcript:Sspon.02G0028770-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAVGARVAGELRFPTVVPCVAVRPRSRALPRVTVPRGGLPAAATKISAVPRRNVLSTMLSTSSILLLGPRQITLAETTGGAFREYIDIFDGYTFLYPKSWIQVKGAGADIFFRDPFVLDENMSVEISSPSSSKYTSVEDLGPPEKAAEKVLKQYLTEFMSTRLGVRHESNVLSAVSKVADDGKLYYEVEVNIKSYASNNELAVMPEDRVQSLEWDRRYLSVLGVENKRLYELRLQTPEQVFMQEEEDIRRVMDSFRVIKTA >Sspon.01G0043350-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:73488127:73488660:1 gene:Sspon.01G0043350-1B transcript:Sspon.01G0043350-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSQEQEQQRRQPAEHDGQDRPGDGGGAVRYGDVFAVKGELARAPVAPQDAAMMQAAESAEYYEATPMGGLAAQARAAADANAQAERDAGKTTLRQVLADATVRLGADKEVEREDAARVVGAEVRCDPDATARPGGVGASVAAAARLNRGRQ >Sspon.03G0008270-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:6569678:6582492:-1 gene:Sspon.03G0008270-4D transcript:Sspon.03G0008270-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMIGTKVLLFIVLSEVACWMITGERQAARIRGLYLEALLRQDIAFFDKEMTTGQLVESMSGDTILIQDAIGEKVGKFIQLTATFVGGFVIAFAKGWLLASVMVSSIPPIVVAGAAMSWTISKLSSQGQAKYNEAGMVVEQTIGAIRTVASFNGENRAIALYNKYIRNAYVSAVQEGTATGLGFGFVMLILFCTYGLTAWYGAKLIMDKGYGGGQVVSVWMAFMTGAMSLGEATPCVTAFASGRAAGYRMMQIIQRKPQIDPNETDGIMLANMKGDIELRDVYFSYPSRPDQLIFYGFSLHWKNNGYSGESGSGKSTVINLVERFYDPQAGEVSIDGINIKSLRLGWLREKIGLNRCCLPLSIRENIVYGKEDATAEEIMAATKLANAANFIDKLSNGLDTMVGEHGAQLSGGQKQRIAITRAILKNPKILLLDEATSALDMESERVVQEALNRIMQGKTTIIVAHRLSTIKDADTISVVHRGKVVELGTHTELLQDPNGAYSHLVQLQDITGDPDASDIDYQRSTSAVKNVESLSKSMHSPSLKKSITGSASFGSTSVHFISIANMIVPEGTHTEPLPKVLDEGEECRKVALSRLISLNGPEMPVLLLGTVAAVISGVIFPMFGLLISSSINSFYEPPHQLRKDSRFWTLMYVASGVASFIFLPVESFLFGVAGGKLVERVRSLSFQSIVHQEISWFDRSSNARSASKYSAIMLYVARFMMTSALHNFYVIISMSSGNVGARLSVDASNIRRLVGDSLALMVRSTVTVIAGFVIAMVANWRLALIATVVLPLGGLQGFLQIKFLEGFSADAKAMYEEATQVANDAVSGIQTIASFCAEHKVMKTYYGKCKAPVRQGIRQGIVSGLGFGVSFFVLYSTYALCFYVGAKFMLDGKATFTEVFRVFFALLMATIGVSQTSALGSDSSKAKASASTIFALIDSKSKIDPSSDEGMVLTVALVGESGCGKSTVIALLERFYDPDSGTITLDGVDIKDLKVGWLRRQMGLVSQEPVLFNDTIRANIAYGKEGEVTEEEITAAAKAANAHQFISALPQGYGTVAGERGAQLSGGQKQRVAIARAVLRDPRILLLDEATSALDAESERAVQEALDRAAVGRTTVVVAHRLSTIRGADVIAVLRNGEVVAQGTHQQLMAARDGAYASLVELRMRSERAGVSSVAKASAGDVVKGGKEEENGEKMVTMAKAPFHSMFKYADRTDVLLMLVGMVGALGNGMSMVIMTIIFGQMIDAFGGGTLDTIVPRVSKVALNFIYLAIGTGFTSFIQISCWTMTGERQATRIRSLYLKSVLGQDMTFFDVEMTTGQVVSSISADMTLIQGAIGEKVGKFVQLITTFFGGFVLAFIKGWLLTLVMLSTIPPFVVAAGIVAKMLSKISSEGLASYSDAGDIVERTIGSIRTVASFNGEKKAITLYNNFIKKAYKGAVKEGAVQGFGMGLLSLIYFSAFGLLIWYGSKLSLTKGYSGGDILTVMIAIMIGARNLGDATPCIASFEEGRVAAYRLFKTIKRRPEIDYGDSTGIVLDDIKGEVELKDVFFSYPSRPDQLIFNGFSVHASSGTTMAIVGESGSGKSTVINLVERFYDPQAGEVLIDGMNIKGFKLEWIRGKIGLVNQEPLLFMTSIRENITYGKEDATLEEIKTAAELANAARFIENLPDGYDTTVGQRGAQLSGGQKQRIAIARAILKNPKILLLDEATSALDLESERIVQDALNRIMVGRTTLVVAHRLSTVRNAHCISVVSKGKLVEQGHHDELVKDPNGAYSQLIRLQEKQQENGRMSDARLSGSASKRSVSLRRSISRSSAGSSRHSLSLPLGVPGPTELLEYNFGQGARQIENIDDKVPNKAPMGRLINLNKPEAAVLLFGSIAAAIDGAVFPTLGLAMASASKIFYESPDQQRKDSILWALLCVGLGATAMISKIVNSFLFAIAGGKLIERIRALTFQSIVHQEVAWFDYPENSSGALNGRLCIDALNVRRLVGDNLALLVQSTATLTCGIVIAMVADWKLSLGFSQDAKIMYEEASQVATEAVGSIRTVASFCAEKRVMDKYNQRCQASRDQGIRTGIVGGLGFAFSYMMLYASAALCYYVGAKFVSQGKSTFGDVFKAYFALVMAMIGASQTSAMASDSAKANDSAISIFSILDRKSLIDSSSEEGSTLENVKGDIDFKHVSFKYPSRPDVQIFTDFTLTIPSGKTVALVGQSGSGKSTVISLLERFYEPDNGAILLDRVEMSSLKVSWLRDQMGLVSQEPVLFSGTIRDNIAYGKHEEVTEEEIAAAARAANAHEFISSMPQGYNTTVGERGTQLSGGQKQRIAIARAILKDPRILLLDEATSALDAESESIVQDALNRAMVGRTTVIVAHRLSTIQGADMIAVLKDGTIVEKGRHETLMGIAGGAYASLVELRTM >Sspon.02G0018320-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:58838279:58840404:1 gene:Sspon.02G0018320-1A transcript:Sspon.02G0018320-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGASWESPYAAGLQPATGGTIILALIDQSRRQLTEGGRAGGIASPPPAREVTRETTERCAASESACASTTGRGGQARHGHWHGRAGTKLDARRADAETAGVAVQLAWAWNRNGQGRRTHVRMRIAADGHGGSSIAIDVTLQPYSYRKNHQTAQAACARGPSITTAAPCASDLPTGDGGARRPVYRPYGRTELRPVGTEIFARAAPRTVYRKRMFRIFVTPDKCY >Sspon.06G0001700-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:5486061:5487103:1 gene:Sspon.06G0001700-1A transcript:Sspon.06G0001700-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SLWQLLLHARQQCLPRTPQLSGLLFLCRELSILPSKALAAPPQRQSPYVQVRLPQSSPQSSSDLLGSGFHIDVVDSDLWTPSFSFSSEAARGNEYLDDLHRNDDSEVQDFNDEIDDMRHRKKLFYKLDRQSKEYEENSLPLRRSKNRDKTNAKNPKECKVEPAKSVSCNAPKPTKRAIRDDDMVEVKRERMPTFNQMTDPYHHPFCLDIHVTKGSVRACFVHRVTSRVVAVAHSISKDMKFDLGSKKGKGVKACAAVGALLAKRALEDDIHNALYTPRKGDRIEGKIKVVLHAIIENGVDVKVKLKQRKPIKVLHIK >Sspon.01G0006460-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:17016745:17022150:1 gene:Sspon.01G0006460-1A transcript:Sspon.01G0006460-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALQWMILACVVAVEAAVAALVTLPVPRAVRGQIVALTSLFLQPLSSVIPFAAFQLLDIYWKKEHRLMCTSEICTAEERIRFEKSMFKAQRNVILCVSACLLYWCIYRIVKFNKDIKALEETEKRLKEE >Sspon.02G0036700-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2B:26246567:26247441:-1 gene:Sspon.02G0036700-1B transcript:Sspon.02G0036700-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SASTDTTPRPCHVTDDPGRRRHGGAWGPTRRRRVSRRHKPNLGAAGQRAEVLAPSGARPKRPNNASWPSISARSHLTPRHQTSARPDSTPRSSPLRPRRGPLLLPSFILKTGACLFFGSPAPHETLTPKSDPRCPDLVSRSFLE >Sspon.05G0034490-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:58475495:58478974:1 gene:Sspon.05G0034490-1C transcript:Sspon.05G0034490-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTASARDMRVDLGMRTNPLLGKRLAVGSRRVVAKGVLIRVACFGGGLRMMGHGSSNLCRGQQRDLATSTDAPSISPFVVSFVGLGWSLGWWRRSALYPSLRRRPGDPMLKTRKQRWRVEGLTGDRPPMGKISWGLRRRHSASFLAHRRHGIALQQCSLRLSVRMVNGGGGNGMAANIDWRPRDPIPEALFSASTGTTRLTPPSTFPFPCSTSLTEPTTTPTARSHLGAIHPPSLPHCACVGGEGAGGQGDRAWWRRRRRGSQFLSSSSSARWLGFRPPSSSPTLAGLEGAERGRQVGGPGSGGGGWARSRWGRRGCSPEFEKKAAARKGRGRGSPQRG >Sspon.07G0013020-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7A:47137884:47139372:-1 gene:Sspon.07G0013020-1A transcript:Sspon.07G0013020-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AYDDAVSRTRTLLGHNLEPTPWHPFPHAKGRPPARAAFRCAPSIACLPPLSRPPEPRTANAASASSSPRGNNKQCPAYFAAIHRDLAPWRGEGRGVTRALLDAARRRASMRVTITGGGRRLHVDLYYACVQSRALFTVWSLLQLMRRYPGRVPDVDLMFDCMDRPAVNRTEHGDGDPASPPPPPPLFRYCTTRDHFDIPFPDWSFWGWPETNIEPWNREFKSIKAGARATRWADRVPTAYWKGNPDVASPLRVALLGCNDTALWRAEIMRQNWDDEAKSGYQHSRLSSQCTHRYKIYAEGFAWSVSLKYILSCGSMALLIEPRYQDFFSRGLEPRVNYWPVTAAAPGMCESIRDAVDWGNANPGEAERVGRQGQRLVQGLRMHAVYDYMLHLLTEYARLMDFRPVAPPSPDAQEACEASLLCLADDKQRRFLEASRAEPAVGDPCVLPPPP >Sspon.04G0017880-1P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8A:8793908:8795991:1 gene:Sspon.04G0017880-1P transcript:Sspon.04G0017880-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ICNAVAIAKIMNATLILPVLKQDQIWKDQTKFEDIFDVDHFINYLKEDVRIIRDIPDWFTEKDELFTSIKRTVKNVPKYASAQFYIDNVLPRIKEKKIMSIKPFVDRLGALHLRFEKGMVGLSFCDFAGTREEKAMMATYRQQQWPRRYKNGSHLWPLALEKRKEGRCPLEPGEIGIILRAMGYTKETQIYVASGQVSKEDLARKEEMEPFKKHVTSLAALDFLVCLKSDVFVMTHGGNFAKLIIGYRRYMGRHRLKSIKPDKGLMSKFFGDPYMPWATFVEDVMITHQTRTGLPEPTFPHYDLWENPLTPCMCRA >Sspon.01G0003610-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:8202719:8204202:-1 gene:Sspon.01G0003610-1T transcript:Sspon.01G0003610-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKAGRWLRSFLSGKKDKPPQAVDPPAAPKDKRWSFRRPPAAQEGRAAEPAGRGGPPDQDQHQGPLGFPAPGVEFDQKKHAVAVAVATAAAADAAVAAAHAAAAVARLSSRGAPRMELPPCLVEDAAAVRIQATFRGYLARTALCALRGIVKLQALVRGQLVRKQANATLRCMQALLAAQSHLRAQRMLALQHHDHHPTPPRPRQSPQHPRHRRSYQEMDRSCEDNAKIVEVDVGEPVRRSSGKDRQLFADHHHAGRSSPAPSAVTELMSPRAYSGHDDEFSVANTAQSSPRHQASEACPGYMANTESSRAKARSQSAPRQRTDALERLPSRRKGTPPRTAKMQRSSSLAGGAGATPRGAGHYSSPWSSGARLDVSTASVKDSECGSTSSVLTAATVYSRTRSLVGFEVSD >Sspon.01G0044390-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1B:82153030:82154460:1 gene:Sspon.01G0044390-1B transcript:Sspon.01G0044390-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DGSRNGGESPPDTVQCNGDGVREGEEELAGLNAGRFPREVLLRLPPPRGPPEGDDDDGETFSPGHNSRWRLFEDVRLEADRIFRILLQDGPGFSARQALDEMRPKVSVELVREVLFRIVVSVDSVNRERYPKLAYKFFIWVGQQEGYQHGTSMYNLVMKVFAECGEVKAMWRLFEEMTEKGLPVSSRTFHLLICASGKVGLRQRLVERFIKSSTFNYRPFRNAFNAILHTLLTIEQYSLIEWVHEKMILEGYSPDVLTYNVVMRAKYMLGKLDQFHQLLDEMGKNGLTPDLHTYNLLLHVLGKGDKPLAALNLLNYMSDVGCVPNVLHFTNLIDGLGRAGNLEACKYFFDEMIKKGCDPDVVCYTVMISSYVAAGEFEEAQRFFDDMLVRGQLPNVYTYNSMILGLCTVGEFDKAFAMLKDMDSHGCTPNFSVYSSLVSRLRSAGKDTQANNVIKYMTDKGHYLHLLSRFGGFRRC >Sspon.03G0004330-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3A:10804497:10808786:1 gene:Sspon.03G0004330-1A transcript:Sspon.03G0004330-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DSWVENLVAGAERPASPIRQTPCPHRRLAPRTQESRVFGNGGQEPLCPSPSTTPASFNVAGSVVRRASSTAPAALSLAVGKADRSCRRIQHRHPLRPYHHSNRSSLNYPESTEPYPLSKIAFRGPWSCGCEGQREEGPLRACDARSNQLLLHPAMWSAWLGLWVQLTHVIAKTTMSQERTLDEVVSPFLMQLERARVVPLGPDEDSSHSDIVLLFENIKKEACEVKDILLRVSKWENEIINDFGGIARHLDEIIEEGNQLNSIRSTLQIVNTEMSKLKDRMQLPLHVPMIKPIAPTTLPSSVPSKWVHAKVSEQWKRLEIERKILESSTMSNLQVSYDNLDLQLKLCLLCFSVFPENSIVSKRAMIHWWIGEVEEHRQEIDVASLRNLLTIFNVNEQYLQFDKCWFLDLRKIAILQLGRWHNLRRHHIEVDSTDFLEGLQLSNQLKYLCLRGISRIIELPASIGGLPNLRILDLHACHNLERLSASITSLQMLTHLDVSECYLLEGMPRGIGLLTELQVLKGFVIGGSTGNYNCRVAELARLDKLKKLTIYIGSKVTVTEDELNELENIKGLCVLKITWAVSLSKKERVHQTSDSTPLLTSLSLPLNLEKLDLRCFPGEKIPDWLNPSKLLRLKRLYFTGGMLRTFGNKNMSGVWSVEVLRLKFLNDLSVQWTQVHDTFPKLTFLEVFRCRKLESFPCEKDGVWMNHDMKDIKK >Sspon.07G0002600-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:31410864:31412969:1 gene:Sspon.07G0002600-2P transcript:Sspon.07G0002600-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMGRASATTLLVALAVLAPAVMAQTCDDELPAQLVGNFSGLACAPVWHNFVLRYAQGKDNVLRVVLSTMYSTGWVGMGFSKNGLMVGSSAMVGWMGKTGLPHIKPFSLGGKTPSQVVADQGFLVSSDHHRPTVLVHQAKIYLAFQLSFTEPLKGQNVLLAFGSAIPVNDRLSEHQDKTAIVFDFTTGSSSSSSSFPEGLKRTHGALNLFAWGVLLPIGAIVARYCRRWDPLWFYLHAGIQFVGFILGLAGVVAGVSLYNKIQADVPAHRGLGIFVLVLGILQILAIFLRPNKDSKYRKFWNWYHHWVGRLALFFAAINIVLGIKVGGAGNSWKIGYGFNLAVLLIAIITLEVLLWTRWKNNSGSTGAY >Sspon.06G0017970-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:71122008:71123014:-1 gene:Sspon.06G0017970-3C transcript:Sspon.06G0017970-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAGGKSREERWSLAGATALVTGGSKGIGHAIVEELAGFGARVHTCSRNAAELEECRRRWEEQGLQVTVSVCDVSVRGDRVELMATVGATFGGKLDVLVNNAGQTLFKPAAECSGEDYARIMATNLESCFHLSQLAHPLLRHASVASGGSGSVVHVSSIAGFVGLPALAVYSMTKGAMNQLTRSLAAEWAGDGIRVNCVAPGGVKTEISTDMTIDPELVKNEMARLPMGRIGEPEEVASMVAFLCMPTASYMTGQVICIDGGRTIS >Sspon.07G0016490-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:58335718:58339760:1 gene:Sspon.07G0016490-1A transcript:Sspon.07G0016490-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGTGTGSGQLQPPDSAVRLEENQFFFALAATAADADPGAGGDKLVFEAPPQPVREDYVENAVKFLSHPKVRGSPVVYRRSFLEKKGLTTEEIDEAFRRVPDPRPSTAATTSPQQQVSNQNQSAGVQTYAPAQPVHPATAGPVVLRTQPRFSWYQAFLAAGLLLGFGASAAVFIKKLFLPRLKSWIRNVVAEGDDTGGNQLKARIDEETAEAVKASASAVSAIAKTNQQLLASRDEGFTLYLFMICFFFLANGSTHSNNVVLFLLAEKKILVTLTQALDSQAKELKSFTESISHTREPINITRDDRFSQYRPLEDHAPPVIRNGAINSSWRASQQTNMYGASNGDFGSARSSFAPAPVEPTAGSFSRSYAETMSSAQRGDRSSGSKPWEMQQYSQQRPGYGSNSQLSDDGSYSDAQDSYAPSYHQNGKAPDFHADEPRPLTYNTGVEERPPPQRRWVPPQPPGVVMPEAAAAIRQPKTPPKQPFSNASEAASEMQVNGASSASDAVTEVPVNGATAIDAGHSEIEEQSVAV >Sspon.02G0001660-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2B:5383412:5389020:1 gene:Sspon.02G0001660-2B transcript:Sspon.02G0001660-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLQKPPGCDSSYWNLTLASACHAGLLSNPVRHQRTKHVEIDLHFVRVATSMFCRFHLPYSEYHANNPEMTKLLHKAIREKRDNAYTVYQQHLASRPVNVLRDLLELKSDRAPIPIGKVEPATSIVERFCTGGMSLGAISRETHEAIAIAMNRIGGKSNSGEGGEDPIRWNPLTDVVDGYSPTLPHLKGLQNGDTATSAIKQVASGRFGVTPTFLVNADQIEIKIAQGAKPGEGGQLPGKKVSAYIARLRNSKPGVPLISPPPHHDIYSIEDLAQLIYDLHQINPKAKVSVKLVSEAGIGTVASGVSKGNADIIQISGHDGGTGASPISSIKHAGGPWELGLTETNQREELRARFPGVPGDLVNYFLFVAEEVRAALAQLGYEKLDDIIGRTDLLKPKHVSLVKTRHIDLGYLLSNAGLPEWSSSQIRSQDVHTNGPVLDETILADPEIADAIENEKEVSKAFRIYNVDRAVCGRIAGVIAKKYGDRGFAGQLNITFNGSAGQSFGCFLTPGMNIRLVGEANDYVGKGMAGGELVVVPVDKTGFIPEDATIVGNTCLYGATGGQVFVRGKAGERFAVRNSLCQAVVEGTGDHCCEYMTGGCVVVLGKAGRNVAAGMTGGLAYILDEDDTLLPKVNKEIVKMQRVNAPAGQMQLKSLIEAYVEKTGSEKGATILREWEAYLPLFWQLVPPSEEDSPEACAEFER >Sspon.08G0019870-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8B:15738015:15738725:1 gene:Sspon.08G0019870-1B transcript:Sspon.08G0019870-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIMSASEMNIMLTNKDVRWFTAMPRLTPCSRRRSIMISALYVPARGLNPMESAAMKARADKTLVAMLAVDAMSSCRLRWSVKRVATKTKHILTMPTPDSVVEDGLVGQHAGLAKHQRVVEHDSVDAGCLLEEVHTDHADEDPAYARTLPPLLSLTCGSLMACLSSSMRRSASIGVYEVCTSTTRASARHSHIASHRGDSGMSSSTGGSTPRCT >Sspon.07G0026900-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:50968705:50972206:-1 gene:Sspon.07G0026900-3D transcript:Sspon.07G0026900-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLPDELVREILLRVTPDDPACLLRAAVVCKSWRRMLADPDFRCRHRELHPMPHVAGFLRIMSNTIPYSSRFESIYPTFRRPAARDLPGWLALDCRHGRALFATPAPGGSRPVALDFIVWNPLTDERRRLPQPSPRPTAPPTGRSTDRPHFNAAVLCAATAEGCDHRGCHRGPFRVVFLFSTSTRTCALVYSSETDDWSYLTSTPGHNLYSVDNMPSPSVLVGDTLYFRGSGNYHAFEYQVTTHRLSAIYRPQSASLMATADGEFHFTTLLEDPLSLCLWSAEKVPDGFEQWVQARAFNLETLLPKDTLTPRFNVTGSVEGADIIFVIVYKYAHHRGDVYMVHLNSGKITKVFEGYSYVFPYTSFCIPVIDEAYTGEKPREGDQRKEKKKKVLVVPSGRGSR >Sspon.07G0002250-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:5509732:5510421:-1 gene:Sspon.07G0002250-1P transcript:Sspon.07G0002250-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GMDLDAAARCFHLWFRGLRSLRRDLASARWSDDAAAQLPALVGRFVTHLESYCAARADLDPVWTLSAPWATPAERGAAYWLAGWRPTTVVHLLYTESGRRLEAQLPDLLLGVRSGNLGDLTPAQLAQVDHLQRRTVAEEDALAREMALVQEGHGVVAVAASADESGLDVAGHVRRARAVLERADALRLRTVKRAVEILEPAQAAELLVAAADLEIGFREFGLKHGSGRDQ >Sspon.05G0010700-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:24830323:24833413:1 gene:Sspon.05G0010700-2P transcript:Sspon.05G0010700-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SEEVERALAKLGPAGPARIQGRSSPKRIEGPDGRNLQLQFRTRLSLPLFTGGKVEGEQGAAIHVVLLDANTGHVVTSGPESSVKLDILVLEGDFNKEEEEGWTEEDFESYIVKEREGKRPLLTGDLQVTLKEGVGTIGELIFTDNSSWIRSRKFRLGLRVSSGFCEGIRVMEAKTEAFTVKDHRGELYKKHYPPALKDEVWRLEKIGKDGSFHKRLNSSGIYTVEHFLQFLVRDQQKLRSILGSNMSNRMWESLIEHAKTCVLSGNHYIYYSSDARSVGAIFNNIYEFTGLIADDQFISAENLTENQRSRSHSTLSQVFADTLVKQAYDDWTNVVEYDGKALLRFKQKKKYVTTRSETAKASASYPASNGLVNSQKQLAGGPVNAEQSPLNNIS >Sspon.07G0008160-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:22148296:22149165:1 gene:Sspon.07G0008160-1A transcript:Sspon.07G0008160-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:E3 ubiquitin-protein ligase SGR9, amyloplastic [Source:Projected from Arabidopsis thaliana (AT5G02750) UniProtKB/Swiss-Prot;Acc:Q8GXF8] MDAGDSHGQTPTTPSSTETLMAALLNVPAAQLPGLARALAADARRLRSRLAFLLLSPPHFARALARLRSMPLPAKAALLGRALLRSLLLLLPALCPDHHLLLQPSDLDAALLLLAMCDSYSPAAAAAAASPSPVDWRAVIVDDVVASALSVSGLGATPWAALAPYVDAAAKCRRFAEVACAVAAGDSGTTTKDGEGSEAASYAAVLALPPAAGDGALCAICREEMVARGGRGGVCGLRPCGHRFHWRCALRWLARHNTCPCCRAELPAEDPLAETRRLWRGVERMALGW >Sspon.01G0030510-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:104638466:104640500:-1 gene:Sspon.01G0030510-1P transcript:Sspon.01G0030510-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SAMAAARRPPPVYVLNHYLLVGNSHAGKGGEGWAEPNVHVGNGNLTGKAGEGWTKIEIARREAYGCGGNMRRALNGLNLCVRLGDDDPLVVTSTLGIRISSDARKRFNSELGLDAFVDEEEEMLVTAYIMMQEQGLLIIVLLLERDLRNLSCYLVYDHSDASLSMVSWVPESLEVIGMFRPVPKRTSDGTYELFLLACECDDDRLPLASDTDPSPLLCVFSPVTGTNPTCAWEMRRTLRWNVPVSVFERFRTDATFSFQGKGFWADLSQALIYCNLPTVSDDSAVDFDFIELPGECQLDLEETLDGPLPMEVTRTIACVGNSIWFVCIRRYEDPADDLVMMWTLELSGGHWKNWARFAVRELWRFDGFKEALLPEAKVGYPVITADGALCLVVAGKVSRYSYSDVSSVDHICTFDVRNKTLLWHGSVHDHIFTQTVAFPPDFFVQRKHGSHKRKFYNLRSAAGPGFADCSNGPIKGQGVSAYAWKNSR >Sspon.06G0027000-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:68474587:68476463:-1 gene:Sspon.06G0027000-2C transcript:Sspon.06G0027000-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTPEEFFIKDLMELPPASPLVFLDLPQKTNVSNEVWHHLPNNDMMLPYISHMLMEDDIDDELIDHPALLQVQQPFAQILSSPSLGTNTNNSEGPNEFLHEGHGDESALNSPLSKGSCVLCGGGILEEHEDHIFRRDELVNQIRESNIIDSRVKKRCNKDHLLEEEVRTTNKAVMMNNELEEKCGNEMLDKMMLHTYETCIKGMERVTIDTTEVEKRNRNSGRIKAARNNVIDIRRLLISCAQALAVDDHIRARELLKMIKQHASARGDITQRLAHCFAEGLEAQILGTGSQLWRKEGKLPQVKITAIARPTPICYPGEQIEKVGSRLMKYAHELGLPSFKFNAIMKNWEDTSIMDLHRDADEDGRGMPSVPTRHGLQMKTPLLDEVE >Sspon.04G0000380-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:1705643:1709664:-1 gene:Sspon.04G0000380-2C transcript:Sspon.04G0000380-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPRGYRSNRTSLFDGIEEGGIRATSYSSHEIDEQENDRAIDGLQDRVSILKRGNDMDASRGFLSGTVDKFKMVFETKSSRRMGTLVASFVALFLLVYYLTR >Sspon.03G0008060-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:21959711:21964288:-1 gene:Sspon.03G0008060-1A transcript:Sspon.03G0008060-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSATIDRLATPSHEGHAHSDEKRECNSDEEISEGERKPKKGSLKKRAVTAGYKFRHSLRRKSKTKNDNHVASIEDIRDVQELEIVERFRQCLLDEGLLPEHHDDYHTMLRFLKARKFNIDKAKHMWSEMLRWRKEFGADNIEEFDYTELDEVVKYYPQFYHGVDKEGRPVYIELIGKVDTNKLVQITTIDRYVKYHVKEFERCLHMRFPACSIAAKRHIDSSTTILDVKGVGLKNFSKDARELIMRLQKINNDNYPETLYQLYIINAGQGFKMLWGTIKSFLDPETASKIHVLGNNYQTKLLEIIDGSELPEFLGGKCRCEEYGGCPKSDKGPWKDPEIVKRVINGEANYGRQVLAVSSINQKEVCWDSIPAVDKVVDACSNPRNSSRPSSSGSLSLRNIPATLGGLKTRIVVWSTVLVLSLLAFLRSVLSIVTKRLSNQAITCDHYSADFRQGYMGNGTLTSVLTRLGELEEKIQTLEAKPPQVPFEKEELLHTSVYRVDVLEAELISMKKVLYETLIRQDELLAYIDQQKTAKFCCKELQFL >Sspon.07G0003180-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:7273861:7282052:-1 gene:Sspon.07G0003180-3D transcript:Sspon.07G0003180-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKEARNHYHTRGSSRGGGGGGTAAERDLLLQWGNRKRLRCVKVQRRDVEAAATAAAEKAQRRAAAAAAAAAQHHPTGLTHHRVLRNSEEFAIMKSPARQQQNNRIHTVASPDRECPGRGNNNNGVPQTYPDDKKGSSSGSEESIWPKFAITLSNREKEEDFLVFKGSKLPQRPKKRAKVIQRTVNFVCPGTWLCDLTLERYEVREKKVSKKRPRGLKAMHDIDSDSE >Sspon.08G0007130-2P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8C:22761156:22772732:-1 gene:Sspon.08G0007130-2P transcript:Sspon.08G0007130-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKPHVSLAVQLLFCCALMPVSAQSVPAAAAPSPSSPAPVTRNFKWDVEYIMWAPDCQQSVMIGINGRFPGPTITANAGDLIRVEVTNSLHTEGVVIHWHGIRQIGTPWADGTASISQCPINSGETFTYEFLADKPGTFFYHGHFGMQRAAGLYGSLIVNGTEQQPEYDGELNMLLSDWYHENVYAQAAGLDGKDKHWEWVGEPQTLLINGRGQFGCSLGITRDRDRRACDRRKRDALCEEGDKSERCELIRRSECGPFCERSQCEPVVFDVDPGKTYRLRIASTTSLSALNVQHKLTVVEADGNFVAPFDVGDIDIYSGESYSVLLKTDQRASSYWISVGVRGRRPKTPPALAILNYTNSKPGSWPAGVPPETPAWDNVTRSKEFTYRIKARDGSPRPPPAAVDRRITMLNTQNWVQGQVKWAINHVTLSLPATPYLGAYFYGIEDIAFDSSAESPDNYDRGYDIQKPPGAQAPEARSPTTVSDRVFRITHGAVVDVVLQNANALEKDVSESHPWHLHGHDFWVLGYGDGVYDHARDNKTLNMVNPPLRNTVVLFPHGWTVLRFVADNPGVWAFHCHIEPHLHLGMGVIFAEGMEKLRELNVPREAITCGEAKTAPLPLAPAVAPSPP >Sspon.01G0003800-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:9837421:9838652:1 gene:Sspon.01G0003800-3C transcript:Sspon.01G0003800-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQQRTLVYSFVARGTTVLADHAEVSGNFASVAAQCLQKLPANNNRFNYNCDGHTFNYHIHEGFTYCVVATESAGRQLPIGFIERVKEEFSKKYSGGKAKNANANGLKREYGPKLKEHMRYCDQHPEEIDKLAKVKAQVTEVKGVMMQNIEKVLDRGEKIELLVDKTEDLRSQAQDFRKQGTKIRQKMWWENMKMKLIVFGIVVALILLIVLTVCKDFNC >Sspon.01G0048810-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:100643296:100646395:1 gene:Sspon.01G0048810-2D transcript:Sspon.01G0048810-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSVLLSPSPPPLPLPSATATATAASYLIFSGHDRRRRRPLEHGCRCGHPPETPLGSSSGRRGSYGDEKAAPGPLGLDGSSTSSSDRRTGSAKPRYQVTLKEEDTKNADDEGWQKWQYSPEGHSQSMSKSRYVKVFGVDLSPDNVVVAIVYFVQGVLGLSRLAVSFYLKDDLHLEPAETAVISGFSALPWLVKPLYGFISDSIPLFGYRRRSYLFLSGILGALSWSLMATIVDDKYSAALSIVLGSLAVAVSDVVVDSMVVERARGESQSTSGSLQSLCWGSSAFGGVLSAYFSGSLVDTYGVRFVFGVTALLPLMTSTVAVLVNEDRLPLEECSVSLSISSSELIENSKQRIMQIWNSVKQPSIFLPTLFIFLWQATPQSDSAMFFFMYDHRLILSA >Sspon.07G0037200-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:50271960:50275476:-1 gene:Sspon.07G0037200-1D transcript:Sspon.07G0037200-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AVHSLAHSRRTCLHSLASPARDTTRGSAVALRRRSTGKEHRRLTRGAATHAGNVLQHFPVVIQQQPLSGRLINIMMTKVNHTGKVYHMRARRQMAQSLGQIAKFKRRYEQRAEESKDNNGHRALTTIFISNLKSGSDCKHSAATAAICLP >Sspon.04G0003010-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:9222771:9223892:1 gene:Sspon.04G0003010-1A transcript:Sspon.04G0003010-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSCSPRRALIAAVSLCFLLGAATSIRTATFPPSQQNLAEDKSRLGSTPPSCHNRCSACNPCMPVQVTTAPGLGRAARVADDTVTVAGFSRFSNYKPLGWKCRCDGRLYNP >Sspon.04G0000200-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:2158804:2163654:1 gene:Sspon.04G0000200-1A transcript:Sspon.04G0000200-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABC transporter F family member 3 [Source:Projected from Arabidopsis thaliana (AT1G64550) UniProtKB/Swiss-Prot;Acc:Q8H0V6] MDDDVAPKKPQPEVFDGPLLSSRDKAKIERRKRKEERQREVQYQMHVAEMEALRAGMPPVYVNHSNDGGPTVRDIHMENFSVTVGGRDLIQEATITLAFGRHYGLVGRNGTGKTSFLRAMALHAIDGIPKNCQILHVEQEVVGDDTTALQCVLNADVERVQLLQEEARLVQQQKDLEIEAEFGQSSGKSKDGLDKDSISKRLEEIYKRLELIDADAAEARAASILAGLSFTPEMQRKRTKQFSGGWRMRIALARALFIEPDLLLLDEPTNHLDLHAVLWLETYLLKWPKTFIVVSHAREFLNTVVTDILHLHGRKLHAYKGDYDTFERTREEHLKNQQKAFETNEKARQHMQTFIDKFRYNAKRASLVQSRIKALERMEHVDAVVSDPDYKFEFPTPDDRPGPPIISFSDASFGYPGGPTLFKNLNFGIDLDSRIAMVGPNGIGKSTILKLISGDLQPTSGTVFRSPKVRMAVFNQHHVDGLDLTVNPLLYMMKCYPGVPEQKLRAHLGSFGVSGSLALQPMYTLSGGQKSRVAFAKITFKKPHIILLDEPSNHLDLDAVEALIQGLLIFQGGVLMVSHDEHLITGSVDELWVVSEGRVTPFSGTFKDYKKMLK >Sspon.05G0023120-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:1028600:1036450:-1 gene:Sspon.05G0023120-2C transcript:Sspon.05G0023120-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPRKAKPPPPPSPPKAAAPSIAEALLLATVCMVGLPVEVRVRDGSAYAGVLHTACVDAGYGARSALPSLPFPPAPIRKSSPLLGSARRVWGSRECRPGRDPTVAGVGRWVLPGWLVPRFPLHPFDLLAGLVIVVAGSPRYVGFFGASPSGWIWRRVVEIAGSGVVLKKAKKIANGKGDANLPLGSFVDTLVVQPDDLVQVIAKGLSLPLKLNYSDSGSTTSLSSSTGHVRPCFSMNGDSGSATMVPKVDAVTSSAIAVPVVASDVKPSQPANNSVTKIVTSSKTAAKEFKLNPCAKVFSPSFASSRQVLAATTAPVDTYYISHSAPEVPMGVPVYESKSVSGVSPLSSKVMTGKFSPVYVQPVPQDAMHGTALGFQGWPRPVLLNSYQASMQKFQGYSYFLGRPRLRFTPEIDD >Sspon.07G0031290-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7C:21467477:21468353:-1 gene:Sspon.07G0031290-1C transcript:Sspon.07G0031290-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKELRHELEIVRGRLPVIVKGIMPSRRSAKGNSTILGSSVGDGASTEARGAEPPGPGLHRSSQEEASFPSDVREGGAPPLRAHSSRPYASPRHRPTPALDKTVGKNKKG >Sspon.08G0018630-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:8023154:8028631:1 gene:Sspon.08G0018630-2C transcript:Sspon.08G0018630-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAERPVPRRESPWGLPEGDTRQPKAHRCNDRAEDVVQACFEGNPFKTVPGPFKLFWQCMRSKPGEEPTEPYTYLQLDPPRRVEVNLE >Sspon.06G0000330-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:1975427:1978584:-1 gene:Sspon.06G0000330-1A transcript:Sspon.06G0000330-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLACWDGRSFPHLPLPFPSPPTHSPSRSSHTHTHPPMAAAAAAGTREEMVYMAKLAEQAERYEEMVDFMEKVVAAAASSELTVEERNLLSVAYKNVIGARRASWRIVSSIEHKEETRGAAAHAAAARGYRARVEAELSGICAGILRLLDDRLVPAAAAVDAKVFYLKMKGDYHRYLAEFKTGAERKDAADSTLAAYQAAQDIAVKELPPTHPIRLGLALNFSVFYYEILNSPDRACSLAKQAFDEAISELDTLGEESYKDSTLIMQLLRDNLTLWTSDMQEDGSDEMRDASKPDDE >Sspon.02G0030410-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:109376784:109379786:1 gene:Sspon.02G0030410-2C transcript:Sspon.02G0030410-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:LpxK [Source:Projected from Arabidopsis thaliana (AT3G20480) UniProtKB/TrEMBL;Acc:A0A178VBJ6] PIKKKEKAQHHRRGPCAFFSFPAAQSNGSPSYISGPAPPLNGDGYGGMEETARQLIARLAATPDSDVRDLPFLHRALTLPLLSAASAALRLSLLLSRLRPRRALPVPVVSVGNLTWGGNGKTPMVDFLARSFHRLGVSPLILTRGYAGGDEPKMLRRRLSDTSAKIGVGANRTAVASSMLQEYGFIHHFQTTCAEKKLSSACELEPGSRIGVAILDDGMQHWSLLRDVEIVMVNGLAPWGNTHFIPRGPMREPLSALGRADIVIIHNADMASEAQLKSIRSTIEDNSTTCSVFYSRLAPSHLFEVKQPLQRLPLNVLNDKIVLCVSAIGCPNAFIHTVREIGPLKVDRLDFSDHHFFNAHDLEIIQEMVRNLMDQHSKDIIVLVTEKDYDRDPAALRTLDAKVWVLSSSLQIMPHKEQGEDEFMRKVDEIITISGCAKSHVVDRATSC >Sspon.07G0024470-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7B:25490506:25491123:1 gene:Sspon.07G0024470-1B transcript:Sspon.07G0024470-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHKPCKEGAGCVASVYRRIKNYISLSTYGHKRSAYNAQFIGACSDHNWNQIWKTKVEPKCRFFVWLLLQRKLPTADTINKRGGQADPICKLCYSRSESHVHLAENCTYSKRVWQQVEIWSGEQGLKQNQHTSRVKDWWTHLNTAQGHTTAAAQKRAQIVVYKAWNLWKERCRRVFDNKATDVQLLLASIQDDIQSLHMARDELE >Sspon.04G0016850-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:70363084:70367154:1 gene:Sspon.04G0016850-4D transcript:Sspon.04G0016850-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLLLPPSACTTAATAPAAAPASLAFSRPRPSRARWSSLSFAFPRLRKYGRRHREPVAAPSLDDEDETEEAEFWESDEGEEELEVDEEEFLATRPKPAGFGEGKTYSTDIEEQLLREMGFGGGGARRRGEAAPANRRAGDSSTKVTAADVSDDGVQVRVWNLPKKKNIHKDLKQAFKGFRGLLSIDPAVSANKKTRDPICKGFAYLKLESAEAATRFVEIYSHQTVSFGKVQKLISCCIVDKGSSAEPSKASSSQATPQPMLKHQKLVAAS >Sspon.06G0006300-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:20428007:20430393:-1 gene:Sspon.06G0006300-2C transcript:Sspon.06G0006300-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LRCFDRRWRCSPSSRWTAPTATCGGRSRSHVLSDEPPPGPAPAEAAGSAAAAAAKKWARDDAVCRGHILYALSDRIFRDYVRHGTGRAVWQAVARTYDLDASFVLYPRQYERFLHRFRFEEKEGAASFLEQLAHAEALVATMDPPPSDYAMASRICGKLPADMATRIRCGEMSMGRRRAFSWRRRTKTKRNAWVRLRRLAWSSRSSIGRRLGIAIVAESVLLRLHTLGVAHVLSDDPPAPAPALPAGDVAFRATAKKWARDDAVCRGHILAALSDRLLPDYARHVTGRAVWQAVARTYDLGVATPSVSWQRFLDFHFDDDEGASLLEQIAHAEALAAAADHPLVSGSVLVRMLCQKLPADVGFRAMVGSVPGRETMDAVWAVARAKEESRILKDLQRRRQRCKRRIKR >Sspon.06G0011530-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:49467388:49468242:1 gene:Sspon.06G0011530-2C transcript:Sspon.06G0011530-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNHITDVESYWRFSDTRGHWALERNGTSDRMLWSIQKPFDESIILWHVATDLCFYIKGTSPDSQRGRLCKQISNYMMHLLFNNPEMLLPGSRSNLFTVAYDELEAILQGEGDDVSLLDEKGLTPRIIDKLESAKGIVIIPEAWLLAQELMQLDDEKMWEVIKGVWIEMLCFSAGRCRGYLHAKSLGSGGEFLTLVSLLLSYAGLETYADRQQRLQLRLPKQERVEIAKQRIREAETKQATSVSLTPHGMVPVKEEEDPASPSASQFNQEGNTAPNPEIKIVVSL >Sspon.01G0008270-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:22423578:22431757:-1 gene:Sspon.01G0008270-4D transcript:Sspon.01G0008270-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLSAKLLPSCESMCVCCPALRPSSRRPVKRYKKLLAEIFPKTPDGAPNERKIIAKFLEQRSHKELRSAHVNFVRIITEAYSKLLFICKEQMAYFAISLVNVLTELLESKQENIHILGCQTLANFINSQVDNTYARNIESLVHKVCALSRQQGEEHRLLRAASLQCLSAMILFMKEHSYIFADFDEIVHSVLDNYRMEESNGGGDDRHALQHNWVDEIARSEGRPGVGGGNDVNINTTTIRLRPARNSSALTRDERDSPEVWSHICVQKLAELAKESTTMRRILDPMLSYFDMKKQWAPRHGLALLVLSDMAYLEKSSGNEQLILTTVIRHLDHKNVSHDPQTKSDIIQTATSLARQLRSRGFAVELVVAGDLCKHLRKTLEAVESGNVEDQNLNESLQNFLEDCLMEVVRGINDVRPLYDMMTITLENLPSMPTVARATLGSLLILSHIISLTSVSSNSPMVFPEALLQQILKSMIHTDIDTRVGAHHMFSAIIVRGPSHLRSESEYLYETKKQSRTTSVFASATALLEKLRREKESLSSDKTRNIMHDDVKEMHDEDKRKNPAYFSKLVSSFIERCAKRSSSVEETNIAMLTEDQTNQLLSSFWIQTNQTDNTPFNYEAIGHSYSLTVLSSRLKDSSNGNIIQFFQLPLSLRSVALTPSEVLPASCQRSIFTLAVSMLAFAGKVCHITELSDLLRCFLSSKMDPYLRIGEDLQLYVRLQSDLGSYGSESDQEVAKSMLSDCRTKVGINDQRVLDVIASALSNFIEMGKDVLTKELTEMFTPEEMPLFGSNSALDWANFNAQAFSDESLSFDEESSRTSSVDCGLHESPITNIASSISKITLPQSVPHVLGVGQLLESALHVAGQVAGASVSTSPLPYGTMTSQCEALGLGTRKKLSSWLVNGHESTPDNPMPSLPTAHHSAIPMVNSAMFRTSSEPCSAVKLPPASPFDNFLKAAYRTQPEL >Sspon.05G0018490-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:78167916:78174379:1 gene:Sspon.05G0018490-1A transcript:Sspon.05G0018490-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GWTAAVGSGSANRQIKALGRAIALARLHHTPSLTLMIERKPMSSNSSPNHSHSPDVSSRIIDNETQEAERAESLNEKLVLTTISDDSSPQHEQSMKPEIYQSPLPEVSPNIRNDDVQDSVKNLNEKVSPKVKDDNVQDSVKSLNEKLSAALLTINAKEDLVKQHTRVAEEAVAGWEQAEAEVASLKQLLETASQKNTSLEDQVSHLDDALKECVRQLRQAREEQEKKIRDTVAKKSKELESEKSELQHHIAELSKQLEATRLEATTVRVQCDLQEKLQIVEKENKDLKVELLALSKDLKILARERDLSNQAAETASKLHLESVKKITKVEAECLKLRHLTRRTSLINDSRTITNSACMESQTDSQSDSGERMLADDEMKNSDLWASALIAELDQFKNANTGTRNLVNNPVEIDLMDDFLEMEKLAALPEVDCVSSSFGAETDSDQGVTRDKSSKVETESLQCQVTDLLAQVEKIEGEKRELEMALADARDQLGTSCDTLIVANNKLIDLQMQLDLANESKHAAFGEAERLDGERKDLALQLESKSAQVDELQLMIETMKTESERSLSVVSTKESLEAQLQVANSEVAKLRGMVNALECDAAKEKAYSSDLQMQLEAVEGIRKVLESELESSHQEVMKLKEKVSSLEVRLKDQTSLLVEFTAKSEDAVSRKKAMEGQLEAANLEVTKLRNKVSLLQGKVEQEKLLSEEYEAKCRKLEAQVSRDSREAKLWRLTNSNGDLKVKQEKELTSAAGKLAECQKTIANLGRQLKSLTDLDGVAPDPEKLEAKDTHLDFRDGDDDLQSADVADGLYELGLPKRNGSCLSPLRSGGLPSLSSYLSKTKR >Sspon.04G0033380-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4C:56662151:56664433:1 gene:Sspon.04G0033380-1C transcript:Sspon.04G0033380-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding WLLSMLQRVSSLSKLMSLASVCYFLRLLVGREMLVFTSKEISSTCLAMHGSYGWRADGLSFLTNH >Sspon.06G0034040-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:37264292:37266645:1 gene:Sspon.06G0034040-1D transcript:Sspon.06G0034040-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding IERTPRRVDIIRAPFLSPPLQRRQHGIFHSKHGFPYKICKCAFQPSLQPTAVEAKNQFQSYICFAEKMQKQFKKITLANQESCRVVKLLDEARDTVPILIVVTFPVKADSKWSLIHKTFLKRRVLCEVDQLQALELDIVHVQGRVGTLFKKLIQRQRLESSGKNFRFSFLPPHFNTQKVSAKLQIDMACHLRSISLPSRLHSSEAAVQQELCILEAIISSPSTSIVTMCDGLRRLGDIYNSVEEMTHLPSNQVCSSQQRKMLDGEIESSLELLDLCNTMQEIFVELKALIQELQVALRKGDGASVQAKIQSYSRLVKKAKQSFKKSSKKATSDKTGCAMIRLLTKAREIAISLLESTVQLLSKQIEVPKQSLVSKAFSKRKAVVCEEDQLQALECSIGDLESGAGHLFGILVQSRVSLLNIL >Sspon.02G0012090-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:26002197:26004658:1 gene:Sspon.02G0012090-4D transcript:Sspon.02G0012090-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFAAVLRARPLAVPAPLSTSTPPPFFATSAYACVPRLTAAAAAAAARAVRYRRRGRRNRASSAITASLDLTEDNLGQLFDTSVGITGQVDLAELDGPFVKLRLKGKFWHTRATVVARIGNYLKNRIPFQASSFGDLQLPIWFGLYDEPFYSGTFSSCFA >Sspon.01G0027530-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:99250913:99255488:1 gene:Sspon.01G0027530-2B transcript:Sspon.01G0027530-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMSLRKRQRSASSSRLAAFCSPPSPPPPSSTSTSPDSLTFPNADVFLRLHLDPSSPDDVDAMPDTDYHLSAASSGTFLDLHVSSASLLRSRYFAALLSDRWPTAASGRLSLAVVAPPSCPRPFDAHIQVLRLLHTLDFAGAIRSPGDALDLLPVALLLLFDACVEACIRFLEAVPWSEDEEARVIDLARLLPADEAADLLARVSPPPSPQVLLPLRGSPSEAMLHGLIHSAIHGHPVHAATKAFVAMLLKDYPSRDCVHKVLDEAFQSRLDTVKELMGKYASPDFRVAVDSDEREAIQRLNLQSVVLNVKHLYWLIERMVDLRVADNAVMLWSDQAALATDLQKLLNDADMWRNMTPGLPMLVTRCTLRLANSVITGETLVPRQVRMMLVKSWLPVLNVCRDIVQPMHFQKSTNCQELEEAFLQIISTLPVPEAQELLQQCLGFSTRNVDDCAHLVAAFKTWFRRAGRLPLGGEN >Sspon.04G0000470-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:1477196:1487094:-1 gene:Sspon.04G0000470-2C transcript:Sspon.04G0000470-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding CRVGSPYAADGDGKTKKKRLTGGPSRRTNGRAVAQPPIRRPFVVSAPRKPQPTTTKAEEKAREPAASSFSQNKGDTTPPPVHTQQIGVEIHRIVPASPRLLRGVGVVVMARGALEPLVVLLALAALAVVAAGGDIRLQDDDAPKIPGCSNDFVLVKVRTWINKKDEIVFVGVGARFGPKIESKEKQSNWTNLLLADTSDCCTPPKEKVAGDILLVERGNCTFTTKARVAESAGASAIIIINDKQELYKMVCETNETNLDIGIPAVLLPKDAGSSLERSLSSGEVLVELYSPDRPLVDTAEVFLWLMAVGTILCASYWSAWSAREADIEQEKLLKDGHEVPPNFEAGGSSGSANMLGGLTVDVKGITLIVTVIQIVRIPNLKVARGDKTDEDGVPMLLKIPRMFDPWGGYSIIGFGDILLPGLLVAFALRYDWAAKKTLQSGYFLWSMVAYGSGTLLALGMKRGELRNLWTKGQPERVCTHMHMHTHPSPKDSPVAVSSTS >Sspon.08G0010070-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:38792811:38793952:-1 gene:Sspon.08G0010070-4D transcript:Sspon.08G0010070-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLKALILAILGFAFFCCAALAARDLNDDSAMVARHEQWMVQYNRVYKDATEKAQRFEVFKSNVKFIESFNAGGNRKFWLGVNQFADLTNDEFRATKTNKGFKPSPVKVPTGFRYENVSVDALPATIDWRTKGAVTPIKDQGQCGCCWAFSAVAATEGIVKISTGKLISLSEQELVDCDVHGEDQGCEGGLMDDAFKFIIKNGGLTTESSYPYSAADGKCKSGSNSAATVKGYQDVPTNNEAALMKAVANQPVSVAVDGGDMTFQFYSSGVMTGSCGTDLDHGIAAIGYGQTSDGTKYWLMKNSWGTTWGENGYLRMEKDISDKRGMCGLAMEPSYPT >Sspon.03G0003930-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:16915643:16922148:-1 gene:Sspon.03G0003930-2D transcript:Sspon.03G0003930-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTSVEFCVISARGLGRRSSLLKPQWFSVAWVDPNSKYCTKVDASGSSDPSWGMKFSVSVDEHDLSSLQQMVLTVEVYRREPIFLREHLQGAAVVQMKEYFDKFEHGEEQPGVVEETASFQLRRKKSDKAHGFVDVSIRIYKEEDVHAQLSGSHDGSKHPNQVGITLAIEDGPVYNYPPLPSSRYRDHSKGDGRYSNTMPTSPTTRPDPSPSESNGYSNQPPLIPQTLPPPTSNPSYFFPPYPTRGQVPQSYINMPPRRFAGQNGSSNLGMGLGAGALAAGTLIFAENLLPGPNFGAGLDGASLTLSSDAPF >Sspon.01G0060660-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:82052652:82053212:-1 gene:Sspon.01G0060660-1D transcript:Sspon.01G0060660-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALGLGPPILAFYEEGSGLAPWEIPWLLPLPRLAPGRPPPLLRQAPQPTRRPARVAGRGSSHARQGRGCGHRLAAWLAMGRRANKGCSPAGEQGTNLPRPPVGLEAKTPADATPPAGSLEHGSRRREL >Sspon.04G0019490-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4B:71918194:71921413:-1 gene:Sspon.04G0019490-2B transcript:Sspon.04G0019490-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MERPASPPRPHEEAEIDFDDEEEDPEEVEPWFTSSSDSEPEREPKRPAPPPTPSPAPAWTEPEQQPAPITAEAEADKKGDGEEDARPRWPGWPGASVFRLVVPADKVGGVIGRRGETIKRLCDETRARVRVLDAPLGASSQIVLVSAKEEVEAELSPAMNAAIKIFMHINEIEVINSDGTLSASAPEICSVRLLVPFAQAVHLIGKQGVTIKPIQESTGTTIRIIDEDELLSHETVDERIVEIRGASLKVLNALKSVLELLRKFLVDHGVLHLFERKNQEVVQPQDTSNYPLAVNQDFLLSDQRSHGNPISSRLLYGHDPSFYGPHSPDICHATDSLMIQTTRTMQVPLAYAEEIIGVRGENIEFIRSISGAVVVLEEIGDYQK >Sspon.01G0030140-1P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1A:104610551:104610910:-1 gene:Sspon.01G0030140-1P transcript:Sspon.01G0030140-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LAASPTRSRSVTQTVNGSHRFVIQGYSLAKGMGVGKHIASETFTVGGYQWAIYFYPDGKNPEDNSNYVSVFIALASDGTDVRALFELTLLDQSGKGKHKVHSHFDRSLESGPYTLKYRGS >Sspon.08G0005050-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:15100296:15105162:-1 gene:Sspon.08G0005050-1A transcript:Sspon.08G0005050-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPASNHSDSSSSDDGYSVAPTLSSQIQGISIRHHVPVILDVDEGNFGQWRHFFESSLEKFRLESHVFSTTPDEERDGEWRRVDSCVKNWILATVSKGVFDIVRRNRHDAFSLWHAIEGLFQDNELQRAVYLETELRSLMQGNMSMTEYCTKLKRLANQLSDIGHPVSEPSQVLNLLCGLSPWYHYVKPVITSKSPPHTFQSARSFLLLEELSVQHDAAAEATQALTVTHGDQSSGASSGAKDGSSSSSAPRRDNRSNNGNGNRYNNRSNNSDRRRGRNNGNGNGGGSRSSNNNNQQPGQWTLGHNPWQGLVQAWSMPFWVPGAGVLGPRPPFQPQQAMAAYHQPSPPPGNIFDNSALYAALHSAGNQQPPQSASDWYFDTGATAAVISTHVGSHTTKLSPRLRRLLCGISGWALATATYLVNRRPCRATGTATPYSMLFGVVPSYNELRVFGCRCFPNITATTPHKLAARSTTCVFIGYPADHRGYRCYDVTTGRVITSRHVVFDEDVFPFRITTAPSNNVIDTPSAPDSDDDTPPPGSPAHRRRPLPPRPTRARTVRHGGAPADNIAIDLSTPPGPGASSAAPSTSTSSSSSTSSPSKAPAPTSTSTTVTTSHHMVTRARDDIYKPNPKYALAATGTISPVPRSVRSAVKDPNWHAAMQAEFDALQANKTWCLVPRPPGARVITGKWVFKHKMNPDSTLERYKARWVVCGFHQRPGIDFGETFSPVIKPATIRTVLTLIASKGWPANQLDVSNAFLHGNLHEQPNDVCLLSRSLYGLRQAPRAWFDRFVAHVTSLGFIQSRADTSLFVYHRHSVTSYLLLYRLHDTFKVKDMGPVHHFLDINVRRTSTGFFLSQAQYTEELLERAGMANCKAVPTPADTKPKTSSTDGKLIFDATTFRSLAGALQYLVITRPDIAYAVQQVCLHMHAPRDVHLTMLKRILRYVKGTPSLGVQLRTITTPTLTVYSDADWAGCPDTRRSTSGFCVFLGSSLISWSSKRQTTVSRSSAEAEYRAIANAVSESSWLRHLLGELRCPVNTATIAFCDNISSVYMSRNPVHHRRTKHIELDIHFVREKVAIGELRVTHVPSARQLADVFTKGLPSALFFDFRDSLSVTNGDALQRLGLTESLASPTIGTPQRPSTRIVSPTDSAERAQPCASPRPDSTAPTRPSLAFLHLSGDADAGSGRGLMEVGVAQEEEGRAAERSSWGNFRHDTDIIRPTSKLRSAAHLKYT >Sspon.01G0002120-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:5207430:5210509:1 gene:Sspon.01G0002120-2B transcript:Sspon.01G0002120-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATATALSLSGGGGGTPLLRGHAALASGRCCAFPRSRWRTPRLSASRADDSSPAPFEMTVEGALKLLGVAEGASFDEILRAKNSVLASCKDDQDAVAQVEAAYDMLLMQSLSQRRAGKVANNSIRYADVKPVKSAGAGTVPKWMQATMKNAPITFETPSSSSLGIQSCVYGALMVFTYASGSSTSLPSAYTSPDVPGFILATGFGASLYFLAKKNMNLGKAALITVGGLAAGATVGSAVENFLQVDIVPFLGIHSPAVVVSEFILFSQLLVSLFVR >Sspon.04G0007300-4P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:11282785:11284659:-1 gene:Sspon.04G0007300-4P transcript:Sspon.04G0007300-4P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVQCDVCAAEAASVFCCADEAALCDACDRRVHRANKLAGKHRRFSLLHPCSSSSAAAQKPPLCDICQEDRAILCRECDAPVHSASDMTRRHSRFLLTGVRLSSAPVDSAGPSEEQEQEQENSSSPCKDACSGASAGAATTVSASDGSSISEYLTKTLPGWHVEDFLIDDASAGDVVGACSDGLYQGQHGQISGVLQEEAYMPWTGPADVADERASWERWVPQMHAEFAGGSKRPRASPSPPCSNW >Sspon.06G0002730-1T-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6A:8589690:8591593:-1 gene:Sspon.06G0002730-1T transcript:Sspon.06G0002730-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSERALKDLNISQSADLENGKDNSVKPCITKPVLNGNKCANKEEKAPSGCQDAVTNGNEAVIADVEYIDSENLVDLSDVNGALSTLAKRLDSKDWVMTCEALNNVRQLAMYHKERLQELLEPLVPLIVKSVKNPRSAVCKTALMTCADIFKAYGDLMVDSIDPLASQDKRFVCEAAEAALISMTNWISPLLLLPRMQPYLKNKNPRIRAKASVCFSKSVPRLDVVGIKEYGMDKLIQIVATQLCDQLPESREAARNLALELQAFYEKSQASTSNEHEGEPSASPDAESWEAFCQSKLSALSAQAILRVTSTTKQGVTSTTTKEGVTSAPKEGLAVGC >Sspon.01G0048910-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:118757332:118758593:1 gene:Sspon.01G0048910-1P transcript:Sspon.01G0048910-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAVFDPAVAPSPEGLRQPGAAGGDSAAGLADRFREARPDAVTVNLGGSGAMAYSSSNQSPLLPRLFGAVDDIFCMFQGTIVNVAVLKQQYGLSKGANEVNIIIEAYRTLRDRGPYPADQVVRDISGKFAFVLYDCSTKSVFMAA >Sspon.02G0029360-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:98426089:98427057:1 gene:Sspon.02G0029360-3D transcript:Sspon.02G0029360-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLSVAAAAATTARPSSSGGRGRRLRVAAMATQKGQKPTARKTVSGTRRSGTTTVFPLGEPGPRAATTSGGKAPVKLLTNVEKLRLLTKAERAGLLSAAERAGLSLSAVERLGLLSKAEELGALSAATDPGTPGALLALALPLLAAGPAVVYLVPEEQAWQVALQAVAALVCVVGGGAAVAASTFVSRLQGSSS >Sspon.01G0005780-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:13687953:13689021:-1 gene:Sspon.01G0005780-2B transcript:Sspon.01G0005780-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSEATWHSFDPSVAVEDSEAMAQLLGVHYSGNEQKQPTPTPMTTVMMYWPGQEADQYYSPAAYPYYMHMQQPNSGASCYDHGYYGSGNTFTMTGDFFVPEEQMAADPSFMLDLNLDFEYQDGEGTGRGGGGNTPAVCKRKLEDQKGESTTCTVPKKKSRSTAVPAPKKGKKAQKGACNRRNQEESNGDDGNVARQQQCSSNYQSDDDSLEMTACSNVSSASKKSSSSAGGKARAGRGAAIDPQSLYARKRRERINERLKVLQNLVPNGTKASLYQWLES >Sspon.01G0036260-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:17884849:17885859:-1 gene:Sspon.01G0036260-1B transcript:Sspon.01G0036260-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GSRPPAPPAAAPHPPARPLRPAPAAAAAAHRRAVHLHLRRAPLGLREALRSRPRQRRHRVQGAAPAVRAAGRAQALRGRGHLRGARGRDTHARRGRAPRRAPPRGDPVGVVGRRRGRGGARGAGAGAHAGGLPLGPAPPAGPPDGGAAHRRRRAAGAPRARRAPRAPRRAPRPEAVEPARGRRRRGEDRRLRRGQGAAAAAGPLRVLRRHGGVHVPRAVRPGDVLGRLRPLRRRRVEPRGGHPGAVPGPLPSPARGPAPGLGRAHVRHMLRRGAGAAGRGVGGVPGLRGAVPREEGRTARVGGRATGAPVRRREGRRRRTARARCARRGGGAARPII >Sspon.04G0009520-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:28247911:28250464:-1 gene:Sspon.04G0009520-1A transcript:Sspon.04G0009520-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAMKMACPNLLKAAVLSTMTLLAVPLEASAETCQPPNSFANMPIFIAVALIGAAVGGKTSEHVIVSTPKKVELKWLNNQLHQINAALRRQAQIESFVPGLTYAPVGRTNETDVIVDPRKQQLVTHLRNEKNYMRNQDLDKAILAESIGDRFEEKKAARGLGASLQSLGKYREAMRQYTKVLELSKEMGEDSGCTEAYGAIAD >Sspon.07G0025720-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:46667858:46669446:1 gene:Sspon.07G0025720-1B transcript:Sspon.07G0025720-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPAAAAKTVERLAQRLVPPAEPTPTGPHRLSWLDRYPTQMALIESLHVFKPDPARDGVSPAATIERALARALVDYYPLAGRLAVSEGAGGLHVDCSAEGVWFIEAAVRCRLEDVDYLEYPLQIPKDDLLPHPLPRPSHEEESKHILLVQVTAFACGGFVVGFRFSHAVADGLGAAKFMGAVGELARGAEQVSVSPVWARDAIPDPPGALVGRLPDPTGAKRLEYLAIDISADYIDHFKSQFAAATGGGRCSAFEVLIAKAWQSRTRAAGFEDPANTPVQLCFAMNARPLLGATRGLPRGGAGFYGNCYYIMRVSSTADRVASSSVTDVVKIIREGKKRLPSEFARWAAGEEGAGGVDPYQITSDYRTLLVSDWTRLGFAEVDYGWGPPAHVVPLTNLDYIATCILVKPWAHKPGARLITQCVTPDRVERFHDAMVDMN >Sspon.02G0000190-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:8353568:8355794:1 gene:Sspon.02G0000190-2B transcript:Sspon.02G0000190-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AVLEKQSYILLSRNDVESLMRLEPANISLHNSTSDHDNNVTDAEAVEVEIELSDLLLDMCPIKQENLIHDDQKLILLASLSDSLEYLADSVERLGESFISPPTTSESINHSYGRHARSSSAIPKGLASLANEYRRLAIDCVRVLRLEMQLEAIYHMQEMTKREYVEDQDAEDPDDFIISLTTQIARRDEEMAPYITESKRNYVFGGISSVAANASIK >Sspon.01G0030710-1P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:76116084:76119664:-1 gene:Sspon.01G0030710-1P transcript:Sspon.01G0030710-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSAAAGPSLARPSSSHVRPAGSVLQPVSVPHVLRQGRGRRHRRLTGVVRAAPDAPPVVRAAVSAVTELLRALSPSKKLRDGATQQGEGPDPPPCGSVEDVLAVLQDDYRRAYFLTGLSRYSQNLGLLAPFFDSPSLELENIEKRGSSAVLIGFLVVVVGAGLRYDDLYDPYHDLDIKEALARLPREVVDARNQRLKRAMDLSMKHQYLPDDLQAIQTPFRSYLSDMLALVKKESAEREALGALPLYQRTIP >Sspon.02G0003760-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:11894868:11897666:1 gene:Sspon.02G0003760-1A transcript:Sspon.02G0003760-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DIKEQLVSADFADCLIEIETVDAQPSHVDGVLILVAGYFTTDAVKQKFTQSFFLAPQENRGYYVLNDMFRLTQISTEVKGVVANHDNKSTQITTLPNGEVVSTSANVVSPFKNDPVVETCVKVVNKDVEKVPEASTPLTAEKAVNKDLEKIPEAAPTPRAPVEKAAPAPHAPVEKAAPAPHAPVEKAAPTPPAPVEKKVAPAAPAPVEKAAPAPRAPVVKAAPAPRAPVEAAAAPPTPVVKSAPAPPSSDEKEVTRKTYASIVKTMRESTQPAPTARPAKPNPRPKAAQNVEKNVSSPSKPAHSTDNALPGDKSVPKNKSHDEPGYSIFVKNLPFDATVEMVEQEFSKFGSIKSGVYFGTRESYVEEKRTKTRVVDGVITRGDDNGNGFQSGRGGYYGDNYKRQWGGQNNGYYHDGDNTRNDYSGRVRGPQGNGYPQNGNGYHQNRNSYQQNGNGYSGNGYQQRRPSNNNGNGRVERSNGPRHQQGPAAA >Sspon.01G0013020-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:35330414:35331826:-1 gene:Sspon.01G0013020-2P transcript:Sspon.01G0013020-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSSAAMKTTSSGREQHGAVHVLLVPLPAQGHMNPMIQFGRRLAYHGLLPTLVTTRYVLSTSPAAGAPFPVAAISDGFDEGGMASCSDPVEYCRRLEAVGSETLARAIDAEARAGRAPAVMVYDPHMPWAQRVATAAGVPTAAFLSQPCAVDLIYGEAWAGRAPLPMTDGRALRRRGVVSVDLGAEDLPPFVVAPELYPQYLKVSIRQFEFLEDAADVLVNSFRDLKPLEAEYMETTWRAKTVGPALPSFYLDDGRLPSNTTSGVSFFSSSATTMEWLDRQPPCSVVLASYGTVYSLDADQLHELGNGLCDSGRPFIWVVRSDEAQKLSQDLGERCREKGLIVSWCPQLDVLSHKATGCFVTHCGWNSTTEAIVAGVPMVGLPRSADQPTTAKYVESAWGIGLRMQLDEKGLVRREEVERCIRKVMEGERKTEFRQNAAKWMKKAKEAMQEGGSSDKNIAEFAAKYLPK >Sspon.06G0011990-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:64592691:64597645:-1 gene:Sspon.06G0011990-1A transcript:Sspon.06G0011990-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450 hydroxylase, Anther cuticle and pollen exine developmen [Source: Projected from Oryza sativa (Os08g0131100)] MAALRLPRAPAMVALAGAGVPYRLPCQEHTPPPPARALPNRAHLNALLTSYGRRGRIQDAQQLFDRMPRRDVISWTALLTAYADIGDLASARLVFDDMPLRNVASWNALVSVYLRAGRPAAAHALFCKAPAKNAVSYGAIITGLARAGMLREAQAVYGEMPPRWRDPVGSNAMIWGYLRAGELGMALRVFDGMVERDVISWSAMVDGLCKYGTVSEARRLFDAMPERNVVSWTSMIRGYVKRGMHRDGLLLFLDMRREGVQVNMITLSVVLDGCAQSSLVNEGIQVHCLMIRMGFVMDIFLDDSLIIMYSRFGSMVDARRVFAFMKQKDIVSWNSLITGYVQNNMIEDAHVLFKLMPERDAISWTSMVVGFANRGWMRESVELFEQMPVKDEIAWAAVISSLIANGNHVSAVRWFCQMSQEGCRPNTVAFSCLLSALASLAMLNQGLQAHAYAVNMGWIFDSAVHASLVTMYAKCGRLAEAHRVFSCISNPSLIATNSMITAFAQHGFAEDAFKLFNRMQYDGQRPNHVTFLGILTACARAGLVQQGYNYFETMRSAYGIQPDPDHYTCMVDLLGRAGFLNEALEMINSMPQKDYPDAWAALLSSSSLHSNLDLAKLAAQRLLEIDPYDATAYTVLSNMFSSAGMKEHEEMVKVAQLSNMAMYWRRLNSMRLRLPPGPPTWPIFGNLLQLSPLPHKDFARFCTKYGPLVYLRLGTIDAITTDDPEVIREILIRQDEVFASRPRTLAAVHLAYGCGDVALAPLGPNWKRMRRVCMEHLLTTRRLESFAAHRAQEAEHLCQFVWAKSQSGKPVNLREVLGAFSMNNVTRMLLGKQYFGIQSAGPGEAMEFMHITHELFFLLGLIYLGDYLPAWRWVDPYGCEKKMREVEKKVDDFHQKIIDEHRRAREAKKTRRSSLDDDDDGKEEMDFVDVLLSLPGENGKEHMDDMEIKALMQDMIAAATDTSSVTNEWVMAEVIKNPRVLRRVQEELDAVIGRDRMVAESDLAHLPYLRCVVRESFRMHPAGPFLIPHESLKPTTIMGYHVPARTRVFINTHALGRNPRVWDDVDEFRPERHLPAEEGGRVEISHLPDFKILPFSAGKRKCPGAPLGVALVLMALARLFHCFDWSPPDGLRPEDVDTQEVYGMTMPKATPLVAVATPRLLPHLYGGSAP >Sspon.01G0026390-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:88822391:88832805:1 gene:Sspon.01G0026390-1T transcript:Sspon.01G0026390-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VDPFRGCPVDLPLYQQLQLSPPSPKPDQSSSFYCYPCSPPFAAADASFHLSYQIVVPPPPPSTSRDQRLAGAAGAAADGAGAGAGYRAGACASGAQGAGVSVSLDRAAAAAAARKDRHSKICTASGMRDRRMRLSLDVARKFFALQDMLGFDKASKTVQWLLNKSKAPSRRSWRGRRRRRVVGVRGGRLQQPLRRRQAQPGGAAGRRRSEAQG >Sspon.07G0030540-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:8762264:8765368:-1 gene:Sspon.07G0030540-1C transcript:Sspon.07G0030540-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIGEVVLSAFMQALFDKVLAATIGELRFPRDVTEELHNLSSILSTIQFHVEDAEERQLKDTAARSWLAKLKGVADEMDDLIDEYAAETLRSKLEGPFNHDHLKKVRSCLCCFWLNNCLFNHKIVQQIRKIEGKLDRLIKERQIIGPNMNSGTDRPEIKERPKTSSLIDDSSVFGREEDKETIVKMLLAPNNSGHANLSIIPIVGMGGLGKTTLTQLIYNDERVKEHFQLRMWLCVSENFDEMKLTKETIESVASGFSSATTNMNLLQEDLSKKLQGKRFLLVLDDVWNEDPEKWDRYRRALVSGGKGSKIIITTRNKNVGILMGGTTPYHLKQLSNNDCWQLGLTQFEAFLGFKRARTLLLLNGYKSITSSIPSDLFLKLKYLHVLDLNRRDITELPDSIGNLKLLRYLNLSGTGIAMLPSSIGRLFSLQTLKLQNCLALDYLPKAITNLVNLRWLEARTELITGIAGIGNLTCLQQLEEFVVRKDKGYKISELKAMKGITGHICIKNLESVASVEEANEALLMKKTNINNLHLIWSERRRLTSKTADKDIKILEHLQPHHELSELTVKAFAGLYFPNWLSKLTQLQTIHLSDCTNCSVLPALGVLPLLKFLDIGGLHAIVHINQEFSGTSEVKGFPSLKELIFEDMSNLKGWASVQDGQLLPLLTELAVIDCPLLEEFPSFPSSVVKLKISETGFTILPEIHTPSSQVSSSLVCLQIHQCPNLTSLEQGLLCQKLSTLQQLTITGCPELTHLPVEGFRALTALKSIHIYDCPKLEPSQQHSLLPSMLEDLRISSCSNLINPFLREIDEIASMINLAITDCASLHDFPVXXXXXXXX >Sspon.02G0015560-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:40911237:40956905:1 gene:Sspon.02G0015560-2B transcript:Sspon.02G0015560-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASAPGPPRFTVADLLQLRCPTTGASSLTCPSVPTSSSTSRPRKKHKLSAPAPTWSTGTVPFAPISHPVLLSGTLSLPSTSSPASCRSNCFSFSDPSPTAAASPASAAASVCCCILDFDPAALGREILVLAWNYLPSVRLHAAPGVLEVVRWRLAAEESAPPAKCGFLMTVPFHFPDQEPDLATRGCAFGLVRSVSVVFSMPLAKADAGSRNPVGFLAEILCCGCRCCCRATPPEDAQSHKFETMKFVYFVDASSMWRPVLVWLVGRLVYVSGLKKKMVSVGDKASHTTLVSSAKTAIAWCPSYRGSLPLDSFPEKCGGEYAGVITGIYSQGLVVELDNTAWLLIDDQQLPPPHSLRVGAIVSVKNFRATCLNFAWTRIVLLATCCKTCITTENKGLLGTFVDSLEMPARFWMLLLISCFKQKFTKLFSDKEILGSQNTSPLSGRLQLVDSTGCIDIFIPDLPPNQSLYGIYEIVDYKLALEGPVAYVDHYDVADPLSCKALRCPTTGASSLTCPSVPTSSSTSRPRKKHKLSAPAPTWSTGTVPFAPISHPVLLSGTLSLPSTSSPASCRSNCFSFSDPSPTAAASPASAAASVCCCILDFDPAALGREILVLAWNYLPSVRLHAAPGVLEVVRWRLAAEESAPPAKCGFLMTVPFHFPIKSPTWPPAAAHLVWSGPVVFSMPLAKADAGSRNPVGFLAEILCCGCRCCCRATPPEDAQSHKFETMKFVYFVDASSMWRPVLVWLVGRLVYVSGLKKKMVSVGDKASHTTLVSSAKTAIAWCPSYRGSLPLDSFPEKCGGEYAGVITGIYSQGLVVELDNTAWLLIDDQQLPPPHSLRVGAIVSVKNFRATCLNFAWTRIVLLATCCKTCITVNYFSLVDSKIHLKTENKGLLGTFVDSLEMPARFWMLLLISCFKQKFTKLFSDKEIWAHKIISIRIHFECLCPFCIQTSPLSGRLQLVDSTGCIDIFVPDLPPNQSLYGIYEIVDYKLALEGPVAYVDHYDVADPLSCKAVFQKLPYKKRLQHLNIYVIVQWRELIHIGPPLSIPSYINYRTRLFHLLKLSHIFPVNSNVSRFSYVNNHEIFSITMPLNSVCVFDFPFQIQCQSMSGSLYAEAVILPYVLKFFGQGNSGASMAKSCNIPCLLSFGSTNLCGTLVSTGSSGSEGTVLKETRILLEFKAGSFMKYQLPRINGSYILQCPSGGFTCTMGSCGCVRGGKVLIDSQDRLWSFAITIDGNVNIKGSTGDQSIGGTSVKMDELFSRNIIHDELRLVQPWNDFYSNSYFHLDFSCEAISKKMEEYNTICHVLNGLCASSSEVLSVSSCVDIMMPKDASVSANFKTKEVVHGNLLVSVQGKVENIHSLVCKRERCVPGNEKYSLWRLQLVDSTGCIDIFVPDLPPNQSLYGIYEIVDYKLALEGPVAYVDHYDVADPLSCKAVFQKLPYKKRLQHLNIYGINSYWPSLSIPSYINYRTRLFHLLKLSHIFPVNSNVSRFSYVNNHEIFSITMPLNSVCVFDFPFQIQCQSMSGSLYAEAVILPYVLKFFGQGEFLEDAEGFIMSHSTLVGNSGASMAKSCNIPCLLSFGSTNLCGTLVSTGSSGSEGTVLKETRILLEFKAGSFMKYQLPRINGSYILQCPSGGFTCTMGSCGCVRGGKVLIDSQDRLWSFAITIDGNVNIKGSTGDQSIGGTSVKMDELFSRNIIHDELRLVQPWNDFYSNSYFHLDFSCEAISKKMEEYNTICHVLNGLCASSSEVLSVSSCVDIMMPKDASVSANFKTKEVVHGNLLVSVQGKVENIHSLVCKRERCVPGNEKYSLCIHIADNNHAVYIRGYSNKHSTVGIGPGATVTFHRVATVLVLVLNKSTNHGETPNVKVRLAGFILDDGSSLCCGWADDARAELLLRLQEIAHLDASVNLKLSKGGDSTNLQYTIGCCLEKMLKKHTSVTVMNCGIPPDFSCRDLDASSVSDKVLSRLEEKLLKFIVLNACWKGSLNVITSALNPDDINGFNVELPVPIQNMRMLWIEKVFPVDPLEQARRLCDILENS >Sspon.03G0011590-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:47422503:47427918:-1 gene:Sspon.03G0011590-3C transcript:Sspon.03G0011590-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTILHDVSGIVKPRRMTLLLGPPGSGKTTLLLALAGKLDKDLRVSGKVTYNGHEMNEFVPERTAAYISQHDLHIGEMTVRETLAFSARCQGVGTRYEMLTELARREKAANIKPDHDIDVYMKASAMGGQESSIVTDYILKILGLEVCADTVVGNEMMRGISGGQRKRVTTGEMLVGPARALFMDEISTGLDSSTTYQIVNSLRQTIHILGGTAVISLLQPAPETYNLFDDIILLSDGHVVYQGPREHVLEFFEFMGFRCPARKGVADFLQEVTSRKDQGQYWYRQDRPYRFVPVKKFADAFSTFHVGRSIQNDLSEPFDRTRSHPAALATSKFGVSRKELLKATIDRELLLMKRNAFMYIFKSVNLTVMSFIVMTTFFRTNMKREESYGGIYMGALFFALDTIMFNGFAELAMTVMKLPVFFKQRDLLFFPAWAYTIPSWILQIPITFLEVGVYVFTTYYVIGFDPSVIRFFKQYVLLLALNQMSSALFRFIAGIGRDMVVSHTFGPLALLAFQTLGGFILARPDVKKWWIWGYWISPLSYAQNAISTNEFLGHSWSKIQNGTTMGIVVLRNRGVFTEAKWYWIGLGALVGYTLLFNLLYTVALAVLSPFTDSHGSMSEDELKEKHANLTGEVIEGHKEKKSRRQELELSHSVGQNSVHSSEDSSQNRKGMALPFAPLSLTFNDIRYSVDMPEAMKAQGVTEDRLLLLKGVSGSFRPGVLTALMGVSGAGKTTLMDVLAGRKTGGYIEGDITISGYPKKQETFARISGYCEQNDIHSPHMFIEEVMDLVELTSLRGALVGLPGVSGLSTEQRKRLTIAVELVANPSIVFMDEPTSGLDARAAAIVMRTVRNTVNTGRTVVCTIHQPSIDIFEAFDELFLMKRGGEEIYVGPVGQNSSKLIEYFEVRREGIEGIGKIKDGYNPATWMLEVTSSSQEEILGVDFSEIYRQSELYQRNKALIEELSTPPPGSSDLNFPTQYSRSFFTQCLACFWKQKKSYWRNPSYTAVRLLFTIVIALMFGTMFWDLGRKTKKQQDLFNAMGSMYAAVIYIGVQNSGSVQPVVVVERTVFYRERAAGMYSAFPYAFGQVAIEFPYIFVQTLLYGVLVYSMIGFEWTVAKFLWYLFFMYFTLLYFTFYGMMAVGLTPNESIAAIISSAFYNIWNLFSGYLIPRPVSNSPLGFAFSIFHSLLRSDLNTSQIS >Sspon.01G0049310-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:95537231:95543400:-1 gene:Sspon.01G0049310-1P transcript:Sspon.01G0049310-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Two-pore K+ channel family protein, K+ homeostasi [Source: Projected from Oryza sativa (Os03g0752300)] MRVVTGPWEFDRERKGQYAFSSLNCKSLNVIASLQQIQVQRMADNSIQQALIEDPPNVLKRKPSEGAKRFRRCRSTPSDPTDQKPAENRSVLKAKELFTEIRPSFRLVGLLLFAYLLVGVIIFYLFMDQLSGKTTDRVLDALYFVIVTMTSVGYGDLFPNSDTTKLLACAFVFTGMAIIALFISKAADYLVEKQEVLFFKALHMNMKGSEAKMLRAMETNKIKYKFYTVALLLAMVIAAGTVFLWKVEKLSLVDSFYCVCATITTLGYGDKSFSSKLGRVFAVFWITTSTIIMAQFFLYLAELYTERRQKMLAKWVLTRRITTMDLEAADLDGNRQDQISSMAQVLSTIHNRSFWKDSRLMAPTTYHIEIVPTALEEYADIVDGTVNNCYFCSEPRYMQILELLHHEQHPQEHIYE >Sspon.07G0006710-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:15397974:15413795:1 gene:Sspon.07G0006710-2B transcript:Sspon.07G0006710-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQQLRDWLDLSLNHAVPSSLLILSRAFTVSGRMKPEEAVVATLSSLPDEVVDTVGTVLPSEDSVSERRRKLEFLEMQEELIKEEEKKKEKEEKAKQKKEEEAKMKEPDTAEEDLALKEMTDATAREEEELRKAKEHDKEKLCNISRALAVLASASSVSKERQEFLSLVNKEIELYNSMLKKEGTEGEEEAKKAYIAAREEPDHDAEVAAEEKVSSALIEKVDAMLQKLEKEIDDVDAQIGNRWQLLDRDLDGKVTPEEVAAAAAYLKDTIGKEGVQELISNLSKDKEGKILVEDIVRLASQTEEHNDDEEEARQ >Sspon.04G0004400-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:13075358:13078114:-1 gene:Sspon.04G0004400-1A transcript:Sspon.04G0004400-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding TQVRGIQRLMIQKALQLLLSLQRQQIQLPKSDHRRRKFQQFGSITMKFLSKKWWMTWLSRSRHANTVTMFLMPQASKVRCTHKG >Sspon.05G0016740-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:71281208:71283393:-1 gene:Sspon.05G0016740-3C transcript:Sspon.05G0016740-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAHRFHQYQVVGRALPTPADEHPKIYRMKLWATNEVRSKSKFWYFLRKLKKVKKSNGQILAINEIFERNPTTIKNYGIWLRYQSRTGYHNMYKEYRDTTLNGAVEHMYNEMASRHRVRSPCIQIIKTATVDFKLCKRDNTKQFHNSKIKFPLVYRKVRPPTRKLKTTFKASRPNLFM >Sspon.06G0026800-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:80889848:80890195:1 gene:Sspon.06G0026800-1B transcript:Sspon.06G0026800-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SMAASAGSAAAVLKPAVPAACIVLLLLSAMGPPAMADLRDDCRTFCDPRCDRYTSDLCGSIIHISPWLDPLSLTCTERFYGVCATTCVTICTANTLTPFGSPVPETPPPPPPCKQY >Sspon.02G0031090-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:8208703:8210141:-1 gene:Sspon.02G0031090-2D transcript:Sspon.02G0031090-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNQSPNLIPTGKLVTFTEDERIMVLLTLSKVSESTLSGDNAAQVRASLSVPTAGAARRWLSPPQSSEDRISGLPEDLLLEILVRLRSVAEAACAGAVSRGWCDLWTELPELTFWCANPLPVVSALDRITRSSVDLLDINLSSGWMEEDWGGDVSLLLRSAALVLPEKLIISVMVHPIKDYVVELPCFERTSYLSLELMGSLPITLPQSGEFTALKSLHLQSCCVDLGALLPLCPCLCILNILNVSDLRHADTVIVHSPSLEEFSLEINIHDICRIDIATPVLKEVTLEVDIAKGFSLSFSAPMVKKLRWGCSYSCVTVGFGQIWCLVSIRERELDEFHVVSLTIMSFANSNGLLDAEWSITQLIAHLPVAVFTVLELDLEIEGHTFGPMMLCLL >Sspon.01G0028130-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:98563190:98565588:-1 gene:Sspon.01G0028130-1P transcript:Sspon.01G0028130-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGAGAGATGGGEGKKRGASRSWILLDAAGEERVLDADKYAIMHRVDINARDLRILDPLLSYPSTILGRERAIVLNLEVLLRDPSDENVIPVVEELRRRLAPSNATQHDGKENLNGQHDVEGAEEDESPFEFRALEVTLEAICSFLDARTTELETDAYPALDELTSK >Sspon.04G0004210-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:12668936:12670621:1 gene:Sspon.04G0004210-1A transcript:Sspon.04G0004210-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALAVVFAIATVLAHSVVSAAVAAADDASSTAARNFTIASPPSPTTNGPVTYVFGDSMSDVGNNNYFPMSLAKSNYPWYGIDYPNREATGRFTNGKTIGDYMAEKFGVPPPPPFLSLRMIGKDVLGGVNFASGGAGILNETGVYFVQYLSFDEQISSFEIVKKAMIAKIGKEAAEAAVNAALFQIGLGSNDYINNFLQPFMADGTTYTHDQFIRLLITTLDRQLKARPNQHCPCRGRLRLYGLGARKVAFNGLAPLGCIPSQRVRSTDGKCLSHVNDYALEFNAAAKKLLDGLNAKLPGAQMGLADCYSVVMELIEHPEKNGFTTAHTSCCNVDTEVGGLCLPNTRPCSDRSAFVFWDAYHTSDAANKVIADRLWADMMSAGQGGASAPPRVGASSPAAAPSPSPSPSEDY >Sspon.03G0006360-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:17465589:17475886:-1 gene:Sspon.03G0006360-1A transcript:Sspon.03G0006360-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VDLCHQTNDEDEEDGRSQSHHEALLDRTKVKPGIRPVLVLSFVVMFAFAILIWIGRGENPIDSSLLKRVYLDVFSIVVIVLGGALACYGAVLFSKMSKVRSETVSIEKWKERPSTTQDPQWRTAVTSSNKAEETPFTLRIR >Sspon.02G0034240-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:11266893:11268158:-1 gene:Sspon.02G0034240-1B transcript:Sspon.02G0034240-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFIRDKYLQIQKYGLGNEVSTHGDVYSYGILLLEMFTGKGPTDNEFGGAMGLRDLDEVEIN >Sspon.03G0015650-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:70425076:70425938:1 gene:Sspon.03G0015650-3C transcript:Sspon.03G0015650-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHAKAGASLLVPNVQALAQTWHGSGDPVPVRYVRTEEIGAGEVVASCAIPVVDLSRLLDPRSSEEELANLSSACQHWGFFQLINHGVPDEVIQDVKRDMIEFFELPLEAKKVHAQVPGGLEGYGQAFVFSETQKLDWADMIYLMISPKESRDLRFWPTRPPSFRDSVDRYSAETAKVTACLLRFMAADLGVEPERLLEAFRGQPQSMRTTYYPPCRQAADVLGLSPHTDATGLSVLLHVNDVQGLQIRRDG >Sspon.06G0033550-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6D:17235645:17237583:-1 gene:Sspon.06G0033550-1D transcript:Sspon.06G0033550-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDGLNFKEFVAFLSAFSPRTSPQQKVEFIFKVYDTDCNGKVAFDDILSILRDLTGSFMTEQQRQKVLTHVLEEAGYTKDSHFTLPDFMKIIGSSELKMEVEVPID >Sspon.07G0024440-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:25242154:25244925:1 gene:Sspon.07G0024440-1B transcript:Sspon.07G0024440-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGGLLRGLVSPAGGAAAWLPCHELFASWQHWLPSLSRLRPPASDGFKLLFVLLLFSVALAEVRYIASSSMAPTLRPGDRAVAEKVTYLFRRPSIGDIVFFKVPSAVQNYGVNKDVVFIKRVLATPGDFIEVRQGQLIVNGIALKEHYTATHALYTREAMGASSHCQHRWKVHDVLYKILFPVTEVKRFHSMFLMLMSAHNRS >Sspon.08G0006760-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8A:20976918:20977320:-1 gene:Sspon.08G0006760-1A transcript:Sspon.08G0006760-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPTSCRDTRRRRLRRPTLPAPMTRRRRDGEDNGSTAQWQKGRDEFMTTSYTRRVATKSRREIAGPFTRMSRAHAHRPA >Sspon.03G0011350-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3B:37926984:37927400:-1 gene:Sspon.03G0011350-2B transcript:Sspon.03G0011350-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGALLSSPHTLPSPTSQFSLRPRHALALPPPRFPTRRPFVLLCTEHPPSLTALLIVGWLVPGDCAGAGDRSVLGQRVFCHRGGGPVCSLRALASLPPGTAAWRWRGGAFSCPGSCLLSQSCSRFQVEFTGRGSLRSS >Sspon.02G0010730-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:23679162:23680056:1 gene:Sspon.02G0010730-4D transcript:Sspon.02G0010730-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to Peroxidase 55 precursor (EC 1.11.1.7) (Atperox P55) (ATP20a) [Source: Projected from Oryza sativa (Os09g0507500)] DMFMVKIDLQGCDASVIIASRDNDAEKDAPDNVSLAGDGFDTVVRAKAEVEKKCPGVVSCADILAIAARDVVTQSSGPHWTVQLGRLDGLVSKAGNVAGKLPGPNMRVKDLAAMFAKHNLSTLDMVALSGAHTVGFAHCTRFTDRLYHHGVGDGGNGASVDPSYNPAYARQLMEACPPDVGADIAVDMDPITPTAFDNAYYANLAGGLGLFTSDQALYSDGASRPAVRDFAKNQRRFFEAFKDAMVKLGSVGVKTGRHGEIRRDCTAF >Sspon.04G0005100-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:14643550:14644314:1 gene:Sspon.04G0005100-3C transcript:Sspon.04G0005100-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SKPRSWGILLAVIVAAAVAVPPATAAVASIDLGSEWLKVAAVHLAPGRAPIAVAINEMSKRKSPALAALADGNRLAGEEAAGIMARHPSKVFARARDLLAKPFSYVQSVTESLFLPYDLVPDARGAAAVYSLEEIVAMVLHYAAGLADAHVGAPVRDAVIAVERRALTQAAQLAGINVLSLINEHAGAALQYGIDKDFSNASYAALVYYSAYNAKEFGKTVSVNQFQV >Sspon.01G0021100-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:77710375:77723153:1 gene:Sspon.01G0021100-1A transcript:Sspon.01G0021100-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chaperone protein dnaJ 13 [Source:Projected from Arabidopsis thaliana (AT2G35720) UniProtKB/Swiss-Prot;Acc:Q39079] MALAPEPEDGRELYALLHLSPDASGEEIRRAYRQFAQIYHPDKYQDSQMKEVATENFQRIRDAYEILSDENKRQIYDIYGMEGLNSGLELGPKLSKPEEIKEQLERLRRRKEEEKFFTHALPNGSIIANFSVPHYLNDGGIMRGMAMSSEVELPVSKQNTVVVGGNLAVNGSAGSGAASTVLRHQLSPVSSIEFMATAGLRSVIGTQMSRQISPHSTATSGLAVSLRDGSINLSNAWTRQLSDNAVGNIQLVLGDESSISVGWQKKDEKSTATGEVKFGTNFFGASAHYTHRFSSKSHARIAGRVGSTALDFEIGGGRRISEFSTVRMMYNIGIQGISWRFELHRAGQKLIIPVLLSTDLNALFVTSAFAIPSTLYFLLQTYVVKPYYLKREKQKTLEKMEGLSMQLTEARKAAEKAQKLLEPVSNRKKNRQLENNGLVITKALYGSRQKIKESSELNEIHNDMASQVFDVTIPLNFLVTEAGQLKLHDGIKKSGIMGFYDPCPGDPKLLLVEYTFHGRKYKVMVDDYEA >Sspon.01G0016010-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8B:25752781:25753221:-1 gene:Sspon.01G0016010-2B transcript:Sspon.01G0016010-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMEVQGTRRPPQDAGVKVKFIETQFISSDAASFKAVVQRLTGKSPAAASTQPPHQAPAAPHPQRPRPCRPAFVGPGQQQQQAAGWTTDQQAAGYLAMMPAAPKQEPLAAAPRLEDMNELCDFADLFYTTTAASARRDGGASAFPN >Sspon.07G0007500-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:19719275:19722206:-1 gene:Sspon.07G0007500-1A transcript:Sspon.07G0007500-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding YMAARHTPHTMKHELLKAVSTGDAGLLEQVLGLQSSATVEEGEESCLKGITAEGSSALHIAASCGYLELVKMVCAQDISLIKARNNLCDTPLICAARAGHVDVANYLIECAIDEQEDLRARNLDGATAMHEAVRNGHVLILQRLMSKDSGLAAVEDERCVSPLYLAVASNRADMVKVLIGESSNSVTRVSYSGPDGQTALHAAVYISIEIGESLQCWDPTLARVVDDYGRTALHYATLAKNIGQVKYLLANSSLAYIPDNEGLYPVHIASIVGNVNIVCKLMEICLNYDELLDNKRRNILHCAVEHGRIQVVWHICRNPKSARMMNARDGEGNTPLHLAVKKGHTLIFSLLMMDTMVNLDIMNNEGLTPLDVAFSTIHSDYTFSSVSYHCVHSALPKFDLFSYSLCFPFHNQFTNTSIITCLTLCEASGSPCHQARNLTDKWCLEEKKESSSYANVSQSILYISIFIVVGSLTATFTPPGGYIAVGKDAGKPVFGGRTGFWIFIVANTMSYYISIAAIILFVFATLTRHRRFFLILSGALVFGAVLSMVVAFATVVGLTLDPANSWDEYILIWLVSNLAFPISLRVAMQLWTSKHRWQDISKVVAQAILVVYVIRASIIGMQSLVKSVLIGRHEPCSWPWCAIQGDAAFLYPT >Sspon.02G0007260-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:20978126:20979181:-1 gene:Sspon.02G0007260-2B transcript:Sspon.02G0007260-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARDSTCEASWEEAFRWQRPQRPEEPGVRDVAVDPFSLRQFSRVDIDRPLPIPAVSVDDRRVSPALLHGASVLGSASSSPRVSTAGGLKAPAAPTWWDDGARPTAPSGALPRSKLSAGGDETELADAGFDVALSSTERNKASEPQRWGSDVPLIAAADAVEYSFGGYAAKDGRGKQAKHAGNAPFTCCMFVPGLTRRIIKLPTSSTATATAAARSSSSGKFGNTASTVQLQPINPGTCSARPSTMSLAVSLERFDCGALSTSSSPRGLGLDDGDGEGTSASSYFDLPLELIMGCDAEDDADLPVCAAFLFDSDGIRKSVLKRRLEAGAGWEPRRPSLGKVSMDASGRISNAH >Sspon.01G0015660-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:47506924:47513079:1 gene:Sspon.01G0015660-4D transcript:Sspon.01G0015660-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPSPSSPSPSGEVAAHRFSGTMDASSSPSRTELLTMVKKHSHLIGWTIVDAEDDASDVGMDDKFWHEMLDLFFVRGRQSKRSEEDDLVFFVNNMKLHGYGFNDNMEDPPPFFVRRWATTAVETVPAYPNIFFSVDDFDDTFDAVVLSDPEHCYCVILNAHDGAAFPDETESKNPVSNIQPGVSCGTAQEKPPKRTLFSGYVSYQNVREAYDAGRSKFGSFLSLGHDHNKLDRLFMRGPEGRGEVEVAVSSIADQSREKSKKDPGDSFRVLVHKAASAASKLAKHAYESASANKQMYDELVPLKCCLMSVSLPWDYIAHDLLHKDAPPLDL >Sspon.02G0033520-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:6867490:6868083:-1 gene:Sspon.02G0033520-3D transcript:Sspon.02G0033520-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGLTPSYKLSLISVIVLLLGLTSGDVAHGRKKLVSSSDGEPCHKMTVYYHDILYDGTNTANATSAVAAQPTLLSRSVSINDSYFGEVVVFNDVVTAGRALASEPVARAEGFYFYDKKESPSAWFAFSLVFNSTAHRGTLNLMGADPIAEKTRDISVVGGTGDFFMARGIATLRTDTFEGLYYFRLQMDIKLYECYV >Sspon.07G0000080-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:329692:332643:-1 gene:Sspon.07G0000080-1A transcript:Sspon.07G0000080-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSPWSPSPADEKTYGLVVVFLVEQFLKKDPQGFCGSMEEPSHSVFVRRPTIDAVATGQLWLTRNFDNGKPHHVKHEMKSVPPSCQCHAGSTI >Sspon.07G0022550-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:9091975:9096492:-1 gene:Sspon.07G0022550-1B transcript:Sspon.07G0022550-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAARALGALLHRASSLSSSASALRGASLLRGNTLVLARSPLRRSGLVLLDCWNVWCFDLFPGAVRGSTYWQRRPVPEACSEAADFIVPAALHGTTLLQNRWKEVVSLIQDAQNLKKMKRNSKIGKEIVAAIKKGGPNPSSNTTLAAILEKARELDVPKEILERNIKRASEKGQDTYTEKVYEVYGFGGVGMVVEVLTDKITRSVADIRNVVKDCAAKLADPGSVTFRFRQARVVNIKVTDADKDQLLTIALDAGADDVLEPNFDDDDSEEEAERFYKIVTTSENYPVVLSKLQEEGFKFETDNGYELLPLNPVEVDDEAMELNKELVSKLLELDDVDAVYTDQK >Sspon.04G0026850-3D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4D:48524239:48529106:1 gene:Sspon.04G0026850-3D transcript:Sspon.04G0026850-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLAAAAPDLQVDEAVRRGCWPWPRKCSSNTSRRKALLAICVGATTVIVVLFTGGSSPDRMLHSLLFQHLQGFEDKRPFNLTADHLLDGLLTAEFGYRSCRSRYEFAGYHKKSSHQPSPYLLAKLRKQEALQKRCGPGTVAYKKALRRLESNAADVEDDDDCRYLVNISFRFRGLGNRMLAVASAFLYAVLTERVLLVNGGKDDVADLFCEPFSGATWLLPRAGRRSPLRKLDDYHGGSKESLGNMLQRGAAGVSADGYMSWLSPPQPPSYVYLHLAGEYGFHDKLFYCGEHQRLLCGVPWLLMRADGYFVPGLFLTPPFVGELEAMFPEKDATFYHLGRYLFHPSNAVWRAVTSYYSANLAGASRLVGIQIRVFQEKQPPQQILDQLLSCVRDEKLLPETTTKDDNSTSSSYSVLVTSLSSWYYERLKGEYGGRLAGGVHQPSHEGRQRLRNEAHDVKALSEMYLLSMCDVLVTSGFSTFGYVAQGLGGLQPWVMSRPSPWEEWTEGQAAPQPPCQRTLSVEPCFHSPSSYDCTARRDVELDKETPYIRRCVDVSWA >Sspon.02G0048100-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:21219566:21225522:1 gene:Sspon.02G0048100-1C transcript:Sspon.02G0048100-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCSQDSHEESHQRRENGEEAEKTADYGSALSRKEATEELLGCVVHSEEEAYRLYCDYGHRIGFSVRKGKQSYFIGTKNIRTKDYYCSKEGLKYDEPVTEANFNRPDTRTNCKAMIRFRVDEKGRWTVIRFVPVHNHQLAKPGERHMLRSAKSLAVGKSGVIDPSASTESHPINGFSDMTGDVAENSGYTIRECYNQVGMQSITVIEAGDSQSLVSYFKRRTNEEGMFYWDVQVDQEGRMTNFFYRDGKSRNDYGCFGDAIIFDTTYRTNKYNLICAPFVGVDHHWQNVVFGCAFLLDESVASYAWVFKSFLESMGGRSPKSIFTDQDEAIMQAVEQVFPNTQHCFSYWHILKNAQSHLGSLNMSQAFQSMFTKCMQGSDSEEDFKESWTTMIQEYKLQDNSWLIDLYTFHRKWCSAFNKDTFDGGINSSQWGEISSNILNGISDENTSLTRFALLLEKVVKDLRRNESEEDFRCSQTAPVRAIKHSTVLKQAAESYTHRIYKLFEAEFLDGCGATSCHETSSGGNLLRFGITMQGRGSKVWDVVLDTSTMEISCGCRKFERMGLLCSHALKVFTLQNVDTIPEKYVLKRWTKDARRSMYTLAQDDSNQQECTEAELAYRNRAMQYAYNLIIKSQEVEESRKIFWDSLETGEKALEVFFEMRNMRTQAAKDANKNEKKKKKTSKGPNPKKSKQAPGVSSTGLELIVQTNEHQFQSLQDAQGNATIGRPYYYQVQQTFPNAPIQPNQMYMHPNMHTVPLCTPQLRKSPYTWPQRICLHLQYAPIQLLVVPRTSELLKDIKVQVPLDVIGKPYSGIVSCDNSALSSVDPFM >Sspon.08G0002160-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:6644473:6652559:-1 gene:Sspon.08G0002160-1A transcript:Sspon.08G0002160-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MINLFKIKGQKKEEAASAAGKAPVKKQSAGELRLHKGEALLFSPFKCPHLILMILRRSNARPRYKTLSFTSMVYHPNIDLEGNVCLNILREDWKPVLNINTVIYGLNLLFTQPNDEDPLNHEAAVVLRDNPKMFEANVKRAMAGGYVGQHYFQRCA >Sspon.08G0019150-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:10486575:10497212:-1 gene:Sspon.08G0019150-1B transcript:Sspon.08G0019150-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ATNENLPPNVIRQLAKELKNLDESPPEGINVIVNDDDFTTIFADIEGPAGTPYENGVFRMKLLLSHDFPHSPPKGFFLTKIFHPNIATSGEICVNTLKKDWNPGLGLRHVLLVVRCLLIEPFPESALNEQAGKMLLENYEEYARHARLYTGIHALKPKNKSKTGAISESTTALNVDKSSTASSENTPSMPPAVSTSTASRAFGTNLQDQNPTVSDPAERRRAKEGWPNGLQKKDGCAEEELEEIIGGGNHPGKKGFIATNENLPPNVIRQLAKELKNLDESPPEGINVIVNDDDFTTIFADIEGPAGTPYENGMFRMKLLLSHDFPHSPPKGFFLTKIFHPNIATSGEICVNTLKKDWNPGLGLRHVLLVVRCLLIEPFPESALNEQAGKMLLENYEEYARHARLYTGIHALKPKNKSKTGAISESTTALNVDKSSTASSENTPSMPPAVSTSTASRAFGTNLQDQNPTVSDPAVGAAAVRKKDGPMASKVPVDKKKMDARKKSLKRL >Sspon.01G0022330-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1A:81914497:81917683:-1 gene:Sspon.01G0022330-1A transcript:Sspon.01G0022330-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPSSCKSTATTSGLLLCVVVSFLAELAHGHGERLVPALYVLGDSQADVGNNNQLELSPLRANFPRNGIDYPGQQATGRFSNGYNFVDFLAGSLGLASPPAYHSIRDTAGSNSTYLNGVNFASGGAGVSDLTNKGQCFSFDHQIERDYSSVHSELVRQLGQPQATAHLSKSIFVVAIGGNDIILRALPPAVELTVEVAAVISLPPQEFIHSLAQTLRRQLQRLYDLGMRRLFFVGAAPIGCVPLMRELSRLTTGNCHDGANDLSVRYNAGVRSLLADMNTQHPDFHYSFFDSYTALMQYINEPEANGYAQVKAACCGLGDNGAMYRCGRVSTVCPDRTNHMFWDLVHPTETTSRKLTGIAFDGSPPLVSPINENASLPTACPGRQRHKPSRQRLCRPQSHVAVGKEQSAKPGSAKADLPTATWHTVGKAFANCHASRRHSHVAPSALTAGFADCWFLGSR >Sspon.03G0002630-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:15861211:15865434:1 gene:Sspon.03G0002630-2B transcript:Sspon.03G0002630-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MCPFMQPPALDAALDTRHETAGGQPSSLRLLPSSLPSLLHVHGKVLSSFPFSLPRFRLVAQRSEASNNFTASELALCHRLFCFVLSAAAVHDHGSSRDNNLKIQSCSSQPPYLRFSVACAVSRVVVASTRFGWILVAASSRDRPHWVSLVAMVEQSAITAAAAAAVEPGVVERGRVSGGGRRLAGESSDEEEGSQRFSDAEDRSWHSHSRQGSALEGCISTSAPISCDAGAASAVGVDTATERARKSCVSECSLDLDDVVDLEAGLAEITKASPEKAEGNCRICHLGLDSAAAESGAGIVLGCSCKADLSRAHKQCAETWFKIRGNKICEICGSTACNVAGFCDADFIEQWNESSNTAAAQATATEPRRFWQGHRFLNFLLACMVFAFVISWLFHFNVPG >Sspon.08G0004640-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:11298329:11302023:-1 gene:Sspon.08G0004640-4D transcript:Sspon.08G0004640-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding IMMANLGRTKWQAVTTFIVLCTLSSICICKAQFTPADSYLVDCGSSKSTIVGRRTFAADGASPVKVSTSQDVLAGTSANGVASFDNSVLYQTARIFTVPSSYTFPIQKQGRHFVRFYFFPFTYQSYDLSLAKFTVSTQDVLLLSDFQQPDKTAPLFKEYSLNITRDTLVISFKPSNGIAFVNAIEVVSVPDDLIADNAQMVNPVQQYTGLSTQPLETVYRVNMGGPKVTPDNDTLSRTWVTDGKYLLNPTVTKNVSYGKDVNYKKGGATQLTAPDVVYGTARELATANTSNAVFNMTWQFDVDAGFSYLVRFHFCDIVSKALNQLYFNAYIGGFSAQSNLDLSTMSDSQLATPIYIDVVLSSNDASSKLGVSIGPSTLSNVLPDGILNGLEVMKISTGGSAFTVGSSSGNKNWGVILGAALAEIELLSRLRHRHLVSLIGYCDERNEMILVYEYMEKGTLKSHLYGSDNPSLNWKQRLEVCIGAARGLHYLHTGSAKAIIHRDVKSANILLDENLLAKVADFGLSKTGPELDQTHVSTAVKGSFGYLDPEYFRRQQLTEKSDVYSFGVVLLEVLCARPVIDPTLPREMVNLAEWGMKWQKRGELHQIIDQRISGTIRPDSLRKFGETVEKCLADYGVERPSMGDVLWNLEYVLQLQDADSTVSDVNSMNRIVELPSQVQNVGALESISVTMAEAGASNEPDHDLSDVSMSRVFSQLIKAEGSRFGDYIQS >Sspon.06G0016480-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:71759049:71759555:1 gene:Sspon.06G0016480-2C transcript:Sspon.06G0016480-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALIADELKAKAEVYYGDEICQMCTQLLLREAGLPNGLLPLKDLIECGYVQETGYVWLKQSKRVDHTFQSLGRLVSYGTEITGYAEKGRIKKVKGIKTRELMVWVPVEEIALDDPATGKLICKSIAGITKTFPASAFHVPEKENVKMNCAAPKPVVLMERAPRVVKNN >Sspon.04G0031900-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:20362689:20364068:1 gene:Sspon.04G0031900-2D transcript:Sspon.04G0031900-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding CHGYQDDPVSLCGLRGSRLPPPPRRARRAPPQALRLVRRRRRDVVRRSHRRRERRWCMRVPERRRPAAVLVHDRRRQPIYLPERRGLRLLLAGEMHRSRVVLRHPGDGGPHRRVPRRRVPGRARALRPERHGVWSHGEARPGRPAPQRRTPQHPVQQGAVQLERDGHRVQGGRRLEPELLGGADRVRVRRRRAAVRGAHAARRRVGADAAVVGRRVEVQLRRRPAGALLRPPHLRLRQDARRHQRHPRRVDARRHVPLGRQLQQ >Sspon.08G0002460-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:7240015:7241351:1 gene:Sspon.08G0002460-1A transcript:Sspon.08G0002460-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLDWRSLGSLLATVVVFRTALRDFLPPEAQTLLRRFIAWVAAAFRPPHDTILIDEADGPTGGVINDLYDSAQLYLGARCLATAPIVRLHKPRQSPRPVASLPDSHTTHDTFRGVRVKWTSTARTVDRGSGGGGYGHPYNMFGGRGGHGDQRGLELQFPRQHRDLIHGHYIPHLIDEATRMRLKSRERRLYTNRATGLGDLDHHRLWTSHAFSHPSTFDTLALDPALREEIRADLLRFAARRDHYARVGRAWKRGYLLHGPPGTGKTSLVAAIANLLEFDVYDLELTTDIDCSLDLSDRNKKKKKGGGSNVGADNDEDAAAQLAVMSVSPAAAAAMAVMGRESISLSGVLNFVDGLWSSCVGERLMVFTTNHPERLDRALLRPGRMDKKIELGYCTPPALRVLAKNYLGVGDEGCEDADADPT >Sspon.06G0021060-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:12013080:12015568:1 gene:Sspon.06G0021060-1B transcript:Sspon.06G0021060-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRKLLMGLTAKRRLQSRAQQLFWATSLPEATTSRSLVVAAATDHLIDTVRDTVAGDVSGSGSSAVDHKLAMQEDEFHKLADETIHDLLEKLEEYGDSIQMDGFDIKAWGFGTYVINKQTPNKQIWLSSPVSGPSRFDWDATTNGWIYKRTGVNLVQLLEKEIGGLCGTPLGAQSDHEDAELHLIQRPVPVHVAFLHHLQELVIPELAKPEPGRVLLQAPKGDASACPGLHEQLEPLAELLDQALHAEPPRHHWQEVLKLHGGALV >Sspon.06G0009810-2T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:40539985:40541110:1 gene:Sspon.06G0009810-2T transcript:Sspon.06G0009810-2T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPATCLLLVSFLALVTSQAIASDPSPLQDFCVADIHSPVKVNGFVCKDPMAVNADDFFKAANLDKPRDTNKVGSNVTLINVMQLPGLNTLGISLARIDYAPLGQNPPHTHPRATEILTVLEGTLYVGFVTSNTDNGNKLFAKVLNKGDVFVFPQGLIHFQFNPIHDKPAVAIAALSSQNPGAITIANAVFGSKPPISDDVLAKAFQVQKGTIDWLQAQFWENNHY >Sspon.08G0004680-3C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8C:14345874:14353032:-1 gene:Sspon.08G0004680-3C transcript:Sspon.08G0004680-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTHGVKDQKDAINSVPRKQLRKALDILGSTKWRVNRRVHDVVETIWSRGGGIAGLVDKANIPLPERPESEDLDEMQKWKWSLKKAKKTNRELHAERCDTELKLSVARKMREEDGFYYPHNLDFRGRAYPMHPHLSHLGSDLCRGVLEYAEGRPLGKSGLCWLKIHLANKYGGGVEKLSHEGKLAFVENQLLDIFDSAANPVDGNCWWTNAEDPFQCLAACMDLSDALKSPSPYRAVSHLPIHQDGSCNGLQHYAALGRDYMGAVAVNLVPGEKPADIYSEIAARVLNVVREDSMKDPATNPTASLARVLVDQVDRKLVKQTVMTSVYGVTYIGARQQITKRLQEKGLIADDKLLYDVSCYATRVTLDALGQMFQSARGIMAWLGDCAKMIASKNQPVKWTSPVGLPVVQPYKKYKNYMIRTSLQCLALRREGDAIAIQRQKAAFPPNFVHSLDSSHMMMTAIACKEAGLHFAAAGGVPNGIPNTRISTLPTTRSVIGCRDNSWYTSPWSAKDFVVDDRIMLRHRPVINV >Sspon.08G0019240-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:10958996:10961266:-1 gene:Sspon.08G0019240-1B transcript:Sspon.08G0019240-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGNSGLHFGQGDRPYGPMEHLHVQIDLHLHGHGHELSLSHHWLSFSLSNNYHHGLLEASPTPPLLHSCTTGSFIRHYILISDRLLHVRSCTMEESPKMVEDFLGGVGGTGAPPPATAAEDHQLVCGELGSITAGFLRHYPAPGTVENPGAVTVAGMSTDVVESDQARRPAETFGQRTSIYRGVTRYEAHLWDNSCRREGQSRKGRQGGYDKEEKAARAYDLAALKYWGATTTTNFPVSNYEKELEEMKSMTRQEFIASLRSHSHAWHRAHRRILFHVHTLPYECMHAHSHEEQRLLTRCLHLQRSHKVRIFHAMIHGSD >Sspon.07G0012970-4D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7D:46288511:46293476:1 gene:Sspon.07G0012970-4D transcript:Sspon.07G0012970-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGGSRAAPAAAAPPWHVLPDEVWEHAFSFLPADSDRGAAAAACRSWLRAERRSRRRLAVANCYAASPQDAVDRFPAVRAVEVKGKPHFADFGLVPPAWGAAAAPWVAAAADGWPLLEEISFKRMVVTDDCLEMIAASFRNFQVLRLVSCEGFSTAGLAAIAAGCSLNFLSCAARVVATSKRNLRELDLQENEIEDCSIHWLSLFPESFTSLVTLNFSCLEGDVKITVLEQLVTRCRNLKTLKLNNAIPLDKLANLLRKAPQIVELGTGRFSADYHPDLFSKLEAAFAGCKSLRRLSGAWDAVPEYLPAFYCVCEGLTSLNLSYATVMDLIEDHGLAVVASSCNKLQELRVFPSAPFDAVEQVSLTERGLVDVSASCPMLESVLYFCRRMTNEALITIAKNRPNFTCFRLCIIDPHTPDYTTHQPLDAGFSAIVESCKGLRRLSVSGLLTDSVFKSIGAHADRLEMLSIAFAGNSDLGLHYILSGCKSLKKLEIRDCPFGDKPLLANAAKLETMRSLWMSTCSLTLGACRQLARKMPRLSVEVMNDPRRGCPLDSLTDESPVETLYVYRTIA >Sspon.05G0009800-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5B:23151313:23152007:-1 gene:Sspon.05G0009800-2B transcript:Sspon.05G0009800-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAPAFCVAALLLLLLVSVTGVHAVPQAGTSTCSTDLFRLLPCLPFIEGTAAVPADTCCANLGSMVHDEPQCLCQALSNPSTAPVAVNMTRVMAMPRLCRLDLPPATGACAGISIPLKSLYDDDPSCSCRSSSPWTITAAAASSHPTPKRQLHCSSDPHAGDASANDTTYDAVTVGEQPDAAIQQGVQGDS >Sspon.01G0038870-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:32028127:32029946:1 gene:Sspon.01G0038870-1B transcript:Sspon.01G0038870-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospholipase A2 family protein [Source: Projected from Oryza sativa (Os03g0261100)] RANEHQRMASVLAFSWCSLLLLLLLATASQALNVGDWTCGFPQGSKDCSRTSLSLKTKVPPLLRYGKYCGILYSGCPGEKPCDALDACCMVHDLCFAPRADDYLNTRCNENLLSCLDRVSPAGPTFPGNECGVGQTASVIRGVIESAVLAGKILH >Sspon.02G0002400-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:7629637:7631456:-1 gene:Sspon.02G0002400-2C transcript:Sspon.02G0002400-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding HGSGERRAQLGGDAGHGGQPAALLPALLPLQDVLLLPLLALPRGPHRQGRAHHRRFLRHRRAIGLPVRAEAGLLALVARRESSLRQVADRALELGARDVIVLPGDVSAPDDCNRFVQTAISHYDRLDHLVCNAGIASVGAFLEIPDVTKYSSQIDVNFWGSVQTTFAALPHLKSRGRIVVTASATGWNPVPRMSFYNAANAALINFFETLRTELGNQVGITVVTPGRIESEMSKGRYLKEHGEMEVDQEMRDAQIGLFPVEYAKNCAKAMVQAAQQGERYLTVPAWFRAMYLWRVFAPEVVEICYRLLYMHGHGARQADTASRTMAESGGKQLLYPTSLRSGEIKSD >Sspon.01G0027420-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:94261788:94266838:-1 gene:Sspon.01G0027420-2P transcript:Sspon.01G0027420-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAQEAAVEEVLRAAAAEVSTSSAKRRLRLFRHTLPPLIAKATESPSDTALLVDLIFQTLPIYDDRASRKAVDDMVIQALGEPTFMKPFAAVLVQSMEKNLKVTNPLTSFKLLRWSHYLLKWSQFATLSKGAFTRLANAQAVLCQVLMDGSFRRRRTCKQLFIHLFSEPNGIYKMYVEELRDLRISMRDSPAFLNLILDYTITSPSLSTEYKSVFLDLYVKTILSSKDRPPEAANEAFKPLFLEIGHEDFKNTVIPSCIKMLKRNPEIVLQSIGYLLKTVRLDLSKYSMEFMPVVLHQARHSDEERRINALSIIGTLSEKSSDPDALPSMVNAIKAVLGGSEGKLSLPYQRIGTINALEQLSRSPPKQIGRLAPSVSSFLLTCYKDDGIEEVKLAILSAIGSWALVSAEAVQPDVVSFIAAGLKEKDTLRKGHLKFLRVICKNSDSLTKLSKTGFSKATQRLDGIYALFAVSRLAAVDTKADGAILKEKLWTLIAQNEPSLISLQLLLIYLLCHPSWEVRKVASDATKKIFSSSSGLAEDILFLFTDWLSLVGERLSILKQGDVDSSSDSQLPFIPSTEVLVKCLFLIAPYAVVHSPRSYSRIILCSHHPCLSSSSSPAGVYK >Sspon.02G0020350-4D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2D:61757899:61759036:-1 gene:Sspon.02G0020350-4D transcript:Sspon.02G0020350-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding CNFLNYRRNMSCFHCEHDRPADEYSNSQMEAKQSALQKRLERPPRKSDVSSAWNFDFDDNESDGADVAAFEFADSSKARESSSADSMSYRGASKGSEDEEYRMAETMTTGRGNKFSERESLPSSRNGFDDFDDEEDDIDSYELDLSKGSQTGGVSRMSYSDLESASDSEGFSEIDNSRESRYDNSRESRYDNSRESRYDNNRESRYAAKDEDEFEDHPSLRSTHLADSWHKTRGRSGSSNYRRASFGSESDDGINSDLDEDIDKGLRNNGSHSLGSPNRASVRHNALAYSDEPFFDDVDAGMVDRSQSRRTKSTMNIKDNFRDTRRNLNGRRSSGDRYGRAERNERFNDFDMHRGGSLDKSRRTRGNQLASGSRGLQRN >Sspon.08G0002990-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:5127357:5127836:1 gene:Sspon.08G0002990-2B transcript:Sspon.08G0002990-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAPGRPLTVDFEALSYISSLVEAFQAFDSDSDGLVTAPELRGLLASLGLDKSEAEARDMLARADADRDGRLSVEELLDVMNAGELGLGALGAMLQSALPQLEAAGAALVGADELARVLGTLGDASPEDCAAIVECLDGDGDGAITIEEFRLMADLL >Sspon.07G0011840-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7C:47768070:47768426:-1 gene:Sspon.07G0011840-2C transcript:Sspon.07G0011840-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGCGGGGVRAAGVLPLASLNHISIVCRSVEASLRFYTDVLGFVPIRRPGSFNFDGAWLFNYGIGIHLLQSEDPGSLPEKGEINPKDNHISFQ >Sspon.08G0029630-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8D:45210763:45214925:-1 gene:Sspon.08G0029630-1D transcript:Sspon.08G0029630-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMKFLRGTRDEGLQNPLVMISLCILWTIFLLLLQRHMHLQMQMIGKKLFVVRWTQFFLIELGSYLSYLLVVNQLDVSGCSKRSLGLITIDKYKARLVAKGYTQKEGEDFFDTYSPVARM >Sspon.01G0025730-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:90015185:90019747:-1 gene:Sspon.01G0025730-3C transcript:Sspon.01G0025730-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDATVASRPRQQQPATTKDSRGRAMMAELDAPLHALGFEMEELSPSRLIGRLPVTRTCCQPFKVLHGGVSALVAEALASMGAHMASGYSRVAGVQLSINHFRSAALGDTVLAQAVPVHVGRSTQVWEVKLWKMDPSTGEKGPQIAESRVTLLSNLPLPEEHKRAGDALKKYASKL >Sspon.04G0004700-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:14737030:14737311:1 gene:Sspon.04G0004700-4D transcript:Sspon.04G0004700-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAARVPSKPPISVSGTEMQNHRARRVKKSEMGRAPVLFLPHRMELSTVRMMNTIPGKKQAVSQATDFHPCEVPLIVLQTRTPTYPAMIPRKR >Sspon.04G0000080-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:1649072:1651426:1 gene:Sspon.04G0000080-1A transcript:Sspon.04G0000080-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQVALSSSAARLRGDQQDDDDADRDVLGERPRKVLMLLATFAVSVTYVSGLSTPGGFWDGSQAGHAAGDAVLQDYNRARLVTFFLCNTTAFVASLLIILLLLDKKLRANAGLRSHELYGCIVVALAGLVAAYAAGSCRDAETTAYVVVLVAVVLGYMLFQVYFAVKVVEATRKSNLWQCLVSVYAAASGWLRAIAAASHCFRGQAPERHPAEIAREQVINESMDKARSLVLLLATLATSVTYQAGLVPPGDVWQDDGDGHLAGDPILLTTQPRRYKTFYYCNSTAFMASLAAIVLVQRRSALIKRHTLEAAMILDLFGLMGAYAAGSCRDVSTSIYVVALAGGVMVYVVIHVIFFTLDHGERIAGRQQDDDVSVEKRRKRLLLFAILAATITYQAGLTPPSGFWPKDDDQGHRAGEPVLLSNYPRRYKAFFYSNSLSFMSAIAHIILLVNPNLYRPAIRSYALSVCTAVGLLGLVGAYAAGSTQHLKTSIYIFVLAFLVILLMAVLFVVARRKKGMNRGKTTSNVVADESPAVEASGGGTGGGNPVAGDIAEPVAPRAGGAEVDEVKAKERHAKCKYLMLLGILMASVTYQAGLDPPGGVWQSDGDGHAAGDPVLRTNRRLRYLFFFHCNSTSFVASVVVVVLLLPRQLMDISWWLTVTNVTIVLNLFGLLGAHAAGSSRGWETSGYIVAMIVAALAFVFVHVLTSCFGRTRGPRSSSHLPAGDVPPQLKEPGSIGRRQPLEVSV >Sspon.07G0017490-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7A:62778384:62778765:-1 gene:Sspon.07G0017490-1A transcript:Sspon.07G0017490-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKESEELIFLKNNYFRADAPDAPSKHGRGCPPKVRDLNVPVLSPKSSAAASAGTGRGHGRPPKAKNPLNAAMKQAMAGMPKACSRPPKKAKTTEDGTSPAPAPKPAPAPAPTPAGDGSAVNARNTNT >Sspon.07G0000940-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:11018759:11024146:-1 gene:Sspon.07G0000940-2B transcript:Sspon.07G0000940-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calreticulin-3 [Source:Projected from Arabidopsis thaliana (AT1G08450) UniProtKB/Swiss-Prot;Acc:O04153] MGRLRDGRAFLHRALVLSSLLLLASGEIFFEERFDDGWDSRWVKSDWKKSQGQAGTFRHTAGTYSGDPDDKGIQTTGDAKHFAISAKFPEFSNKGRTLVIQYSLKIEQDIECGGAYIKLMSGYLNQKKFGGDTPYSFMFGPDICGDQKKKLHLILSYQGQNYPIKKDLKCEADKLTHFYTFILRPDATYSILIDNREREFGSMYTDWDILPPRKIKDVNAKKPKDWDDREYIEDPDQVKPEGYDSIPKQIPDPKDKKPESWDDDEDGTWKPRMIPNPEYKGPWKRKKIKNPNYKGKWKTPWIDNPEFEDDPDLYVLKPLQYVGIEVWQVKAGSVFDNILICDDPDYARHVVDETFAANKEAEKEAFEGAEKKRKAREEEHGEHGRKGKDEGGRGIGTVAGTVTGIDISVIDTTTTMTSYRSPVLSKSARYWLSMELGLDDCVNKVYHFRAGTDV >Sspon.08G0015130-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:55311238:55318298:1 gene:Sspon.08G0015130-2B transcript:Sspon.08G0015130-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPRKPVKLTLPSQETTIGKFLTHSGTFKDGDLRVNKDGLRIVSQSEGDEAPPIEPLDSQLSLDDLDVIKVIGKGNSGNVQLVRHKFTGQFFALKVIQLNIDECIRKQIAKELKINLSTQCQYVVVFYQCFYVNGAISIVLEYMDGGSLADFLKTVLKGLIHLHHERHIIHRDLKPSNILINHRGEVKISDFGVSAIISSSSGRDTFTGTYNYMAPERISGKKHGYMSDIWSLGLVILECATGIFPFPPRESFYELLEAVVEQPPPSAPSEQFSPEFCSFISSCIQKDANDRKSAQVLLDHPFLSMYDDLHVQAPAIEPLYSHLSLDDLDVIKVIGKRSSRDVQLVRHKFTGQVFALKVIQLIDESIRRQIAEELKISLSTQCQYVVMFYQCFYFNGAISIVFEYMDGGSLADFLKTVKTIPENYLAAICKQNLIIEIKPFLGEVKISDFGVSAIISSSSGQRDTFIGTHNYMAPERISGKKHGSMSDIWSLGLVILECATGNFPFPLCESFYELLLAVVEQPPPSAPSGQFSPEFCAFISACYLRHEWSAISYPSLAVVFDAEYHPFLSIYDDLHIDLASYFTTAGSPLATFQGVATESKHGVDLLEGP >Sspon.05G0020490-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:86989817:86995957:1 gene:Sspon.05G0020490-1A transcript:Sspon.05G0020490-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AERPRSPATALFVLGDSTVGCAATANLTTATTALPSSLSGGPCLSFPAARRRLPDLLAARMGLPSPPPISALNGTASEAARGVNFGGGGGGQLFYYGGGERGSSPSSVFRLGAVGQQVRLASETLQLLQLEAAAPGEWESAAVFVLSFGADAYARLLARGPAEADAAAPKHGRRGFARLLADRVARAVSVRTVFSFAAARGTRFDARHVARPVVVVVVVWVQELYEAGVRRVAVMGVPPLGCAPRVMWERIPARDGGCVEEANELIEAYNGRLAARLDDLRPLLAGADVVFCDVYKGMMEIISNPGSYGLEETREACCGLGPLRATVGCVSKEMACATPERHVWWDLYSPTEAADALVANWSWTSSSDSGAAAGATSICGPISLQQLAGTSSPPVEV >Sspon.02G0014530-2P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6D:17354155:17358893:1 gene:Sspon.02G0014530-2P transcript:Sspon.02G0014530-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVDVVGTTLVAPSEDTLRRELWLSNLDLAVPKTHTPLVYYYPAPAVDGAAFFAPERLEAALARALVPFYPLAGKLGVGEGGRLQIDCDAQGALFVVARADFAGDDVFNDDYEPSPEVRRMFVPFAASGDPPCVMAMFQVTFLKCGGVVLGTGIHHVTMDGMGAFHFIQTWTGVARGLDTAEACGPLPPFHDRTLLGARSPPTPAFDHPVYSPALLNGHPRPFVTRMYAVSPKLLADIKSRCAPGVSTYCAVTAHLWRCMCVARGLAPGSDTRLRVPANVRHRLRPPLPRSYFGNAIVRDLVVARVGDVLARPLGFVAQAIRDAVDRVDDAYVRSVVDYLEVEAEKGGQAARGQLMPESDLWVVSWLGMPMYDADFGWGTPRFVAPAQMFGSGTAYVTQRANRDDGIAVLFGLEPEYLQCFEKVFYGE >Sspon.08G0000110-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:641662:646222:-1 gene:Sspon.08G0000110-1A transcript:Sspon.08G0000110-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYYNGCLFHKVEKDFLAQTGDPTGTGTGGDSVYKYLYGDQARFFDDEIRPELRHSKTGTVAMASAGENCNASQFYITLRDDVDYLDDKHTVFGMVAEGFDTLTKINEAYVDDKGRPFKDIRIKHTYVLDDPFDDPPQLAELIPENSPTGKPRDEVAEERLEDTWVPLDQTVAPEQLEEMIRSKEAHTNAVILESLADIPDAEIKPPENVLFVCKLNPVTQDEDLYTIFSRFGTVTSAEIIRDYKTGDSLCYAFIEFDTKEACERAYFKMDNCLIDDRRIHVDFSQSVSKLWGQFRQSKRNAKKDGCFKCGAPDHLARDCDQDGEQKNKGPNYVLKDENTQRGGNHRRSYDLVFDEDEADYSDKRENENGHKRKNRRIDDRKSELPPRGDRERNSHERPHSDEKGSRHGKDDRNRGGRKHDDYHSYSRSGDRSSGRYDDRDYSKHSNRSRSGEEEEGHRRRDKSDGERRHRDDGYEKSDRHRRDEDGHRKRSPDSRHRREDGGHHVKNQQSDDRSYKERRHRDGR >Sspon.02G0059100-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2D:89196777:89197226:-1 gene:Sspon.02G0059100-1D transcript:Sspon.02G0059100-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AAVEPNKTYCNSSQLIPCNHRTSRRDEGTGTSPGSGCGVAKPAEEAAHAAEVDAGVPVGAGAAVARPGARSCAAVMLRCSESSTRLLRDTDERGLGFTGGWVGWSGKRTGHRSRAAEDGRDKGSRGIPEDGVMARQQQKCRLHLIYLLE >Sspon.07G0031260-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7C:20930994:20932037:-1 gene:Sspon.07G0031260-1C transcript:Sspon.07G0031260-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MERIDSIVSPTNPISLPLPRFSGGEITSHEQEGKRRERKHNDNEPAAFFTRVFFEQDLADPRYCGSSLRHVSGGVHKRIDLKPQ >Sspon.05G0002900-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:5778595:5782351:-1 gene:Sspon.05G0002900-2B transcript:Sspon.05G0002900-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLHPLPPAENTQVSVQALLTYPAVERMNSNDLSVMSSKELRVLNAKVLQFQNLTDVTSLHIEHCPNLVFLSSEGLRQLLNLRKMAIVSCGNLVSTCIVLNAVSESWKATDHAFPRLKHLRIESCGGIAGRWLTEMLPHMQSLVELDIEDCPQMKSISIHHPRQEAESGSLACQAVLPTSLAQDEFLLHIPLNVLSTLEKFCIRRCPEMQLCSSSREGFRGFTSLTELIITGCPMLLSSANERFSVPSAPYLCIEFLPKRLQPYFPENRSSLRFLSVRESPDLQSLRLHCCTALQELQIQSCRQVAALEGMQYLSSLRVLSIDMNPRLSASWARWCQDIQQGSGQACLLPPSLGKLSIRNLQDGLAPYMLASGTVLSTLVVEDSPNLTSLQLGSLAALINLQIQDCGSLTSLQGVLPKLEVCFCDSQNLTSLQLGALTSLEDLTVYSCDCLTSLETLASLRNLADLAIYECRSIAPLLELLSRQPEGFSVFPRLERLYVDGLSALATSFFKHLTSLRYLRVTYDSNRNSVRFTDEQEKALQLLTSLQGLAILGFLNLEELPAVLHSLHSLKRLDVRGCPRISRLPEKGLPPSLDVLEINDCSMELQEQCRMLATGKLRTVDNALVEGAILWLAQTILANLLLDKLDAWLLHVGLADETERLMSEVERVEMVVAAVKGRANGTGC >Sspon.02G0027120-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:123917001:123922883:1 gene:Sspon.02G0027120-3C transcript:Sspon.02G0027120-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGGGGAEAGGGGKDALFVELWKACAGPLSSVPPLGEKVYYFPQGHIEQVEASTNQLAEQQGTPLYNLPWKIPCKLMNIELKAEPDTDEVYAQLTLLPDKKQDENTSTTVENEEAEEEVVPHAPPATNEGPRIHSFCKTLTASDTSTHGGFSVLRRHADECLPPLDMSQHPPNQELVAKDLHGVEWRFRHIFRGQPRRHLLQSGWSVFVSAKRLVAGDAFIFIRGENGELRVGVRRALRHQTTIPSSVISSHSMHLGVLATAWHAVNTGSMFTVYYKPRTSPAEFVVSQDRYYESLKRNYSIGMRFKMRFEGEEAAEQRFTGTIVGIGASDPSGWADSKWRSLKVRWDEASSVPRPERVSPWQIEPAVSPSPVNPLPVRFKRSRSSVNASPSDVSTVTREVASKVMAESQQNNLPRALHNQGRTQLTGRYRDSSDVKTAQDLTMWSSGTEQQRNNIAAQTKRSLEGWTQSRTPEGYNQLFSAFQPLKDAHNPLSPFPSQISGTRSNTWDTADARYPAQQANHNMLPGPWSFMPHSSDFRMNQQNYLVMPEAAKFTGKSAFTSLQGHGTDQCSTGWFGHIESSSRTGHASSSLIRPQPLVIGNDVQKTKGTSFKLFGIPLGSPEKCEPLVSPPSVAYDGKVQTSPSEKGNQLDIVEVDICSDPSKTVKPLDGPQSDSITENNEPCPEATLNIQNKVQSSSTRSCKKVHKQGSALGRSIDLTKFTCYDELIAELDQMFDFDGELKSPCKNWLVVYTDNEGDIMLVGDDPWNEFCDMVHKIFIYTREEVERMNPGALNSRSEDSLSDSQGRGLTSKEPRGGPSTSTPNSENC >Sspon.03G0041980-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3C:48750508:48751422:-1 gene:Sspon.03G0041980-1C transcript:Sspon.03G0041980-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTAQNSCTPNDGSEEQAPDLVRHHLTGLPPAPGDSEGEVDEDQEKFIPAWQRRLLHQACATRKPNPYANLAGDDITEATIAGNLHRDPNPNRRYRRHRREIETTRPYAYSYTSPPTEEPGTPLNPTADKDGGGEGASGSMEGRGSRPRVRPFTVERGRWFERESAKVGDLAQVESLDRHNELFGEIPTSLSALTYLRHLNLSYNNLSGKIPSGNQLQVLDDQASIYVGNPGLCGPPLIKKCPETNLIPAAPEDHKDGSDNVFLLLGMSSGFVIGLWTVFCILLFKTKWRIVCFTFYDTLYDWVY >Sspon.03G0009360-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:35956153:35956698:1 gene:Sspon.03G0009360-2B transcript:Sspon.03G0009360-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AGGPYYAVKKGRKDSKVSLAAKVRGSIPRANSTVDELLRVFAGKGLGAADLVALSGAHTVGFAHCVHVLGRIYDFRGTRRPDPLMDARLVKALRMSCPSSGGSARVVVPFDVNTPFQFDHAYYGNLQARLGVLGSDQALFLDARTRPLVQGLAANKTRFFQAFVASMDRMGSIRIKKGRKGE >Sspon.03G0044700-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3D:2764008:2767175:1 gene:Sspon.03G0044700-1D transcript:Sspon.03G0044700-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:A-type response regulator, Cytokinin signalin [Source: Projected from Oryza sativa (Os01g0952500)] MPGMTGYDLLKRVKVRGLKLNSFPLFGRSLIILMVHETTNHRGVGISSLLANSGMQGSSSLKDIPVVIMSSENVPARISRCLEDGAEEFFLKPVKLADMKKLKSHLLKRKQPRKPQAVEPEQQLDPHPQPAHEPEVAAAEPVAAAPNGTAVGDCNCNGSNKRKAAAMEQQQQEEEGLTVTVTAPESTKPRLSTSSLAVET >Sspon.05G0011070-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:26273579:26276193:-1 gene:Sspon.05G0011070-2B transcript:Sspon.05G0011070-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPEAVRKSLEPTATAEKITGSTPARLHFYDPFVLSGVSIEAAEHGRLLCSFVVTPRLASPVGYLRSGVTATLADQLGSAVFFCSGLPSSGVSIEISVSFVDAAAVGEEIEVEGKLLRAGKSVGVVSVDFRKKKTGKLMAQARHTKYLVASSKL >Sspon.02G0042080-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:33834994:33838500:-1 gene:Sspon.02G0042080-1P transcript:Sspon.02G0042080-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLACPAALHLLLPAPPPPHHLHHHHVAFPAPPLHASLPCSRRARRRAPRHGVARASAAVAEEASSSGPAKFSVRIPVGDREILVETGHIGRQASASVMVTDGETILYCSVCLADTPNDPADFFPLSVHYQERLSAAGRTSGGFFKREGKTKDHEVFSYDGIHSPDCLAITAAGIAVALSEIPNKQTIAGVRIGLINDQFVVNPTTEQMENSELDLMMAGTDSAILMIE >Sspon.04G0035210-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:5036589:5041550:-1 gene:Sspon.04G0035210-1D transcript:Sspon.04G0035210-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLACCYNDPEMQIDPDTVYPIRPDCRDDAPKTRFKPRPGLTLSPRRWKLLHNEEGVLDIAGMIKRVQHGGVHPTIKGEVWEFLLGCYDPKSTTEQRNQLRQQRRLEYEQLKSKCREMDTTVGSGRVITMPVITEDGQPIENPNSDGGAAGSEQQNNGAPLPKEVIDWKLTLHQIGLDVNRTDRVLVYYERQENLARLWDILAVYSWIDKDIGYCQGMSDLCSPISIILEHEADAFWCFERLMRRVRGNFKSTSTSIGVRAQLTTLSTIMKSIDPKLHEHLENLDGGEYLFAFRMLMVLFRREFSFVDTMYLWELMWSMEYNPNLFSMLESGTGTSSANTKDESVLGQCGKFERKTLQAAKKDDQIPLSVFVVASVIEARNKKLLGEAKGLDDVVKILNEITGSLDAKKACRGALQIHEKYLNTVKAS >Sspon.03G0005150-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:14730694:14735174:1 gene:Sspon.03G0005150-1A transcript:Sspon.03G0005150-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPEPAVTAASDAQAPPTRRLLAPLLLSPPRMPTLRLAGSPFVATCPGANPGRIPSPLWLRCDAGRRRGLCSAEAARRGGDTEEREKGGGGRAAPERKQRGRSDALVGSGELLAIPGVGPRNLRKLVDKGFDDVAQLKQFYRDKFFGKSNEKMVEFLQNSVGIIHKNHAESITLFIKESVDEELKGTDTSKLPKNKRLTFCVEGNISVGKTTFLQRIASETIELRDLVEIVPEPIAKWQDVGPEHFNILDAFYAEPQRYAYTFQNYVFVTRVMQEKESACGIKPLRLMERSVFSDRMVFVRAVHEANWMNEMEISIYDSWFDPVVSSLPGLIPDGFIYLRASPDTCHKRMLVRKRSEEGGVTLDYLRGLHEKHESWLLPSKGGGSGVLSVSQLPVHMEGSLPADIRDRVFYLEGDHMHSSIQKVPALILDCEHDIDFNKDIEAKRQYARQVAEFFEFVKKKKESPTAETTDGDNKSINKQIVLPYRGGLWVPGSSPLPESALKSFDFRRTMSSFLST >Sspon.07G0016060-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:57358388:57361339:-1 gene:Sspon.07G0016060-1A transcript:Sspon.07G0016060-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFDQKPSKWPLPEYFAGRPFDSFAMSRGQIKDILSSQKKTLTLAINYRLLDGKAIEQSSQGDQIHNQVEDRISGLHDHILLNILDRLDLPVVIQASTLSRRWAHLPRLLTRLLIDVSDFLPRNKNKRVNCTVDQIMTTYTGVVRRLLLSSSFDNRAIERLQLSFYLADPYVRSIGHVVGNVMERGNTKSLEFIIWADVPHPSYERCVLLGQRFMSFFHACPSAFRWLTSLILQNLTFGDSDIPNLLNFCTKLELLKLIYCDSVIDPVTGDDVVLTIDTTQSALVALEITTCGYAGVDLIQVPKLQRLLCANWIGTTPPLRLGNVPCLHSITLRHAALHWQKPFTLSHCLSNTTSLSIMYLNFADQMIWIEPENSKHLSPVFNHLRDVYLYNIFYECDLNWTMFVLEAAPSLSNFYLKLSRHPCERSRCEDSAKKVNVRWDQTSHDFKHHCLNQLEIVGFAVDEKLTKYIRLVIKLAMGLKRIRLLDQEPCSRCDALNDAQTTSPIRWRFPVEEEEKKVIREKLVDGLYSPVEISIG >Sspon.03G0006060-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:24067485:24071071:1 gene:Sspon.03G0006060-2B transcript:Sspon.03G0006060-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVKPEEISHPPMEQLQGFEYCIDSNPPWGEAIILGFQHYILALGTAVMIPAVLVPMMGGDDGDRVRVVQTLLFVTGINTLLQSLFGTRLPTVIGGSYAFVIPIMAIIQDPSLSGIPDGHQRFLETMKAIQGALIVSSSIQIILGYSQLWGIFSRFFSPVGMTPVVALLGFGLFERGFPVVGRCVEIGLPMLILFVVLSQYLKNIQIKEIPILERFSLFICIALVWAYAQILTSGGAYKNSSEVTQNSCRTDRANLISSAPWIKIPYPLQWGAPTFNAGQSFGMVSAVLVSLVESTASYKAAARLASATPPPAHILSRGIGCSKLKKMLCEQQGIGILLDGLFGTGTGSTVSVENVGLLGSTRIGSRRVIQISAGFMIFFSMLGPECEEQLLTLFIYRIAGKFGALFASIPFTIFAAVYCVLFGLVAAVGLSFLQFTNMNSMRNLFIVGVSIFLGLSVPEYFFRYTMAAHRGPAHTKAGWFNDYINTIFSSPPTVGLMVAVFLDNTLEMKDAGKDRGMPWWLRFRAFKGDSRNEEFYSLPFNLNRFFPPA >Sspon.01G0020820-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1B:81530398:81531615:1 gene:Sspon.01G0020820-2B transcript:Sspon.01G0020820-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDRRHLHLSAGDVAYRLDLISKVHGLEKAVEYFGMVPKQLRKPQCYGSLLKCYVEAKAVDKAEEHFAKMQEMGMKSSFAYTSMMKLYLETGQLERVHAMFQDMEEKGVKPDTFSVESMLAAYIAAEDVEGVGKVLDKANPHEKLVSWHGHALAASLFMKSGMQVRAAMALLEAERRISPKSSRIAYAFLLKTYTDLGMYPEVGRIWSVYKSKVPPSNTMYLSRINALLKMNDIDGAEATLKEWETVCLRYHDFRLINLMVDAYCRDGLVEKAVALVDDAIKKGRIPYANTWYKLAGGFFKTGQVLKAVDMTRKALESATPPWKPDLTNVLMSLEHFMNQKDVEAAEEMASMLQKLDPLTRDVYHCLLKTYVRAGKPVSDLLERMKKDGLEADEETERILAGECE >Sspon.07G0010740-6P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8D:34882772:34883794:1 gene:Sspon.07G0010740-6P transcript:Sspon.07G0010740-6P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAYGLDVECPHIFDGTHFARWRNWMTCNFKFISPQLWWIVDVGFSFAIDKKNATQAQSKCLHLDSQATNVFYRSMDSSILYEIIDFESAHEIWVFLNEKYGAISNDDEPKKKAHEDVEHDHNKVVVEDCSTSRSSDDKNDHITRSLDKNDDNATSDASNDSTPCTLDGEDDGYESDASTSSSISSHGDTKVSIGGFVVDCDGPNFELLYKLSKALRNEMAKTSKLKNENSFLKTTCEQQKHLLYVTTCSHEELKLAHEELSVAHDNLAQEHALLTKKLSNEETKTSESSSFGSNDQSHITNPCDVGKKHVSTSCDDLLDMPCSSQLNVVLLLCLVRLTF >Sspon.07G0035990-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:19382348:19383345:1 gene:Sspon.07G0035990-1D transcript:Sspon.07G0035990-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGHGRPEREVGGTNGHVVWMAPETAFMLTYLANLVASGTKTWATSSASKPKKARIGGDEVDRLIAVISHVDDRLAEAIEKPPTNDLPDDLFSMLINLPGFDAAHLNLDYQYMVANKDMGHAFYNLPFEHKLIWVATFVSERFPG >Sspon.01G0009130-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:30747857:30749985:-1 gene:Sspon.01G0009130-2C transcript:Sspon.01G0009130-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AMALVEESSQDLLQAYVELWHQSLCYAKSMALAVALDLRIPDAIHHHGGGATLPQILAQTALHPNKLRALRSLMRMLTVFGTFSVQQPPTSGDDSTVDASGEAVYRLTAASRFLVSDEVSSATLASFVSLALHQIAVAPHTLGLCAWFRQEQNEPSAYALAFRQPTPTIWQHADDVNALLNKGMVQDSRFLLPIVVRECGEVFRGIDSLIDVAGGHGGASAIIAAAFPHLKCSVLDLPHVVAGAPSDGNVQFVAGNMFESIPPATQTTLHDWSDDNCVKILKKCKQAISPRDAGGKVIILDMVVGYGEPNIKHLETQVMFDLYIMSVNGAERDEQEWKKIFNEAGFNDYKILPVVGALSVIEVYP >Sspon.06G0002860-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:7575874:7581207:1 gene:Sspon.06G0002860-2C transcript:Sspon.06G0002860-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAKSDAEEEWSDSDFDDDSDTEVGEALDWLDAVEGPDGSARPSGAFSASGGGAAARRPNAHGGVLSRPLQPLSNRTQKLASHIRATPLEEWEGRMNVGMSNSVTTAIRDSIRDTAIGKIRNTEKADRATVEQAIDPRTRMVLFKMLNRGVFNNINGCISTGKEANVYHATKTDGQELAIKVYKTSVLVFKDRDRYVQGDYRFRHGYCKHNPRKMVKTWAEKEMRNLLRVRAAGIRCPVPLLLRLHVLVMEFIGKGGWAAPRLKDAALSDDKLRESYFEIITTMRTLYQKCKLVHGDLSEYNILYFEGHLYIIDVSQSVDLDHPSALDFLKEDCLHDFFKKRGVPVMTVTDLFNFVIDQSISDEDVDDYLEKAQQKILENGGAVPNDDEITPTVMVQTLDYVKQCEADIVNMSIMQRSSSGYEPTADKLYDQPLLGFVRTKNTHVEKEQEQEQSAQNTVEVEEDDSESCSSSDEDDSWHEVDPKMGPEERKAARKENKKKVKEEKREARKTKIPKAEKKKRKKMAKAKCKR >Sspon.01G0029170-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:102007261:102009489:1 gene:Sspon.01G0029170-1P transcript:Sspon.01G0029170-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein AE7-like 1 [Source:Projected from Arabidopsis thaliana (AT3G50845) UniProtKB/Swiss-Prot;Acc:A8MR89] MTVGMINANPVVHERPERAAHPHAADALDPLDVFDTVRDIKDPEHPYSLEQLSVLSQESVSVDEKLGRIQITFTPTVQHCSMATVIGLCLRLKLMQNYPPHFK >Sspon.07G0036210-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7D:27967628:27967993:-1 gene:Sspon.07G0036210-1D transcript:Sspon.07G0036210-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAETSAMALAVGFWRRTLTAPRGSRAERCMRAKEEVPLSSRLPRTRSRGQAQRSEGTRRRVLYWEERRMGGEPVAAERASRRLMGRWKVGRKLRPNAIACGGSGGDVGGFGIVLAVPVEA >Sspon.02G0045390-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:104701694:104705568:1 gene:Sspon.02G0045390-2C transcript:Sspon.02G0045390-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGKKEKGEGLGRALIRQRNKVAAAAKERGEALAFGRRRAMPLESVIDVSDIDAVLQRAAEADQLAEAASVSSDSDLVIDLDATGETEEERRRLRKEQEALHASSLRVPRRPPWHSQMTVEELDANERRAFLVWRRNLARLEENDKLVLTPFEKNIDIWRQLWRVLERSDLLVMVVDARDPLFYRCPDLEAYAKEIDEHKRTMLLVNKADLLPLNIRKSWADYFKAHDILYVFWSAKAATATLEGKKLSGYSEEESASLDLDTKIYGRDELLMKLQAEAESIVAQRRTSTSVDDQEASSSDSVSSVAKHVVVGFVGYPNVGKSSTINALVGEKRTGVTHTPGKTKHFQTLIISEELTLCDCPGLVFPSFSSSRHEMVACGVLPIDRMTKHREAIQVVADRVPRDILEQIYKITLPKPKPYEPQSRPPTAAELLRAYCASRGHVSHAGLPDETRAARQILKDYIDGKIPHFELPPGVTDSEVDLEQIIGSEGPTTSAVNESDADDLDEEDEDAVDPAEPDMRDVLDDLESFDLANGGSKTTAKKKKEASYKLHKKPQRKKDRSWRVGNDGGDGTAVVRVYQKPAVNLSAVSASGRV >Sspon.04G0032370-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:25719150:25720936:-1 gene:Sspon.04G0032370-1C transcript:Sspon.04G0032370-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVPAVGEVPALPVSPAGFNPTPVYDYGNPFDADGRAAALAMDIPAPWDPCYDDDDGHVVQPGVEEPVSVSSAAPVCDGGGTLNDDDDSTRLLPKLCCPYDGYDEDIEATLRAQEDEAKPSPDYLETTQGSRMSQDTRATLVGWMKRFTQCYDLAPGTLHRAVSYADRFLTVRPLEDVGMHRLRLLGAVAVYAAAKYEDQGTVELLDAAEIASYSRRCGGGSGGFASSKEEVLDMERALLVALDYRLGRPTAHTFVEHFTRHYGQEELRLELRSCAHDFADMSLLHYSCLQHNPSAVAAAAMFLARLTLKPTYGQITRWNRELKELTGTRINRGCVGTDHKRQIAAEQAREGAGTAVSGLRAAEARLEGSRGGSGGPA >Sspon.01G0024550-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:85726736:85727605:1 gene:Sspon.01G0024550-4D transcript:Sspon.01G0024550-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding WKRHHPSAPSPRPRPPPRGLDPAFCSRARPRGALRALAAPPPPRAVASSRAPLVVTSPPPPEASGTPAPAHAKVDRSGRFCSPRAARELALMISYAACLEGTDVVRLFDRRISARREPGFVFDKACLLSYNHMSFGGGPLEVGTEEEAEKLTSQNEKDSANGNNYAHATSSSTPYGGVWFTNSNCNVK >Sspon.07G0006320-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:16636299:16640073:-1 gene:Sspon.07G0006320-1A transcript:Sspon.07G0006320-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTSTPARSSARSSLPVRVVLRVRPFLPSEAASATAPCVSLLDCHPGGEVTVQLKDQHTSRSELYKLDAFFGQEDSVSHIFDQEVRAVIPGIFEGINATGFAYGATGSGKTYTMQGTEDFPGLIPLAASTILAHCTGTWCSVEMSYYEVYMERCYDLLEPKAKEVMALDDKDGNMQLKGLSWVPVRSMEEFEELYSIGVQRRKVAHTGLNDVSSRSHAVLSLRVSTDVVKGKLNLIDLAGSEDNRRTLNEGIHLQESSKINLSLFTLSNVFSALNKNEHRIPYRESKLTRILQDSLGGSSRAVMIACLNPAEYQESAKTVSLAARPCHIETFTSSSKQETPKVKVDMEAKLRAWLESKGKTKSIQRMDGLLSPIASKTPLSMSHMKHPTPSRISCRAKAMDQDGGKIKKMLFNPEVHVPTENIPRDHRQTEVNTPKKVVLPSVTPCHEKHEASLRKALSPISSNMVPVKQQISDNGNCPILLEPQTPIETHNIVKETPGATPLERLNALQSNLKEALVQQYLEVLNVADKEELQQLKGIGLKRAEYILELREDSPRPFKTLADLEHIGLSSKQIQDIQKKMAATGIFK >Sspon.01G0000520-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:1030106:1037245:1 gene:Sspon.01G0000520-2B transcript:Sspon.01G0000520-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVAMRGGSSDSGGFDKVPGMDSGKYVRYTPEQVEVLERLYIDCPKPTSSRRQQLLRECPILSNIEPKQIKVWFQNRRLLAIAEETFTEFLSKATGTAIDWVQMPGMKPGPDSVGIVAISHGCRGVAARACGLVNLEPTKMYAPTTLVPARDFWTLRYTTTMEDGSLVAWSVPEVLRPLYESSRVVAQKMTTVALRHLRQIAQETSGEVVYALGRQPAVLRTFSQRLSRGFNDAISGFNDDGWSVMGGDGIEDVVVACNSTKKIRNNSNAGITFGAPGGIICAKASMLLQMIMPLAHTVENEEILEVVRLEGQPLTHDEALLSRDIHLLQLCTGIDEKSVGSSFQLVFAPIDEHFPDDAPLISSGFRVIPLDMKTAWIN >Sspon.04G0001040-2T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:5115774:5119246:1 gene:Sspon.04G0001040-2T transcript:Sspon.04G0001040-2T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MESRWRKAKMSLGLNLCVYVPRTLEGDDVSSPNTASSTAALVSPVASSSSAATSTNTTPTAEQSSANGKVNGAGALMPTTPTPTSAGLRLSKSGSKSFKKTCAICLTTMKPGQGHALFTAECSHTFHFHCISANVKHGSSSCPVCRIKWKELPFRGPLPAELPQGNVRINPVNGYQNGGHMNILRPLPRARSSGRLHHLASFLPDTDPSTFNDDEPLDLLCEDDTQQGCLRTVEIKTYPEFTEVPENTSERNFTVLIHLKAPLAQHLQSSSNLGDGNGLSTTRAPVDLITVLDVSGSMAGTKLALLKRAMGFVIQNLGSSDRLSVIAFSSSARRLFPLRRMTESGRQQSLLAVNSLTSNGGTNIAEGLRKGSKVIEERQAKNPVCSIILLSDGQDTYTVSPTAGVHKGAPEYCALLPSTNGNQQVPVHVFGFGADHDSVSLHSISQTSGGTFSFIETEAAIQDAFAQCIGGLLSVVAQGLHVKVESLHSDVHFGSIRSGSYSSRVSDDKRNGSIDVGDLYAEEERDFLVSVNVPPGYGETALLKVGCVYKDPLMKETVNMADVQVKISRPAFVSVQSVSIEVDRQKNRLHAAEVMAEARFSAERGDLTNAVSLLEDCRRMIMGSASGQSGDVCAKHWMLS >Sspon.07G0022630-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7B:9701714:9702882:1 gene:Sspon.07G0022630-1B transcript:Sspon.07G0022630-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALKSALDLGIADAIHSHGGTATLPQIVSRATPTLHPSKIPCLRRLMRVLTATGIFSAAHHDDAGGELVYRLTPASQLLVGGSSSLTPFMSLVLHGIFVSPFLGLGTWFQQEHSDPSLFEMTHGKTAWDLNKHNPAFGQLFNQGMVCDSSFIMDIIVKECGDIFRGLSSLIDVAGGLGGAAMAISTAFPDIQCSVLDLPHVAANAPASTSVKYIAGDMFESIPPADAVFLKWVLHDWGDADCIKILKNCKKAIPPRDAGGKVIILDMVIGGQSSNIKHKETQVLFDLFIMFVNGVERDEQEWKKIIFEAGFSDYKIIAVLGVRSIIEVYP >Sspon.08G0017790-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:3732965:3737332:-1 gene:Sspon.08G0017790-2D transcript:Sspon.08G0017790-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRQELCRNFQRGSCKYGAQCRFVHASSQQQQQQQQANPNPFGFGSGSRQQQQQSSFGAQFQQQQQQANPNPFGFGSGSRQQQQQQSSFGAQFQQQQQQQKPNPFGFGVQGGAAAQSRNAPGPAKPFQNKWVRDPSAPTKQQEAAQTPPAAHTSCTDPESCRHQIAEDFKNETPLWKLTCYAHLRSGPCDITGDISFEELRAKAYEEGRQGHPLQSIVEGERNLQNAKLMEFNNFLNNPHVSVLQTPSFPTVTSFPEVKNNSSFGVSQTNGPPVFSSFSQVGAANNIGPGSRTAPGVSTNSIFGQSSQPNHSAFPAPTFGRSDMKFGVSGSFGSQTSQQPSGSLQGSSMSSFGNFPKSHAGYQQPPASSSHHRDIDRQSQDLLSGIVAPTSAINQAPVEDNKNENQDDSIWLKEKWSIGEISTFDSHELLFRFHWVSHHRGTSVMCFRNSSAKVSLTPMC >Sspon.02G0010770-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:23780730:23784862:-1 gene:Sspon.02G0010770-4D transcript:Sspon.02G0010770-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWDLKMPVSWDLPDLEHDAAVPQPPPIAATAAAAAASPMAASGIAAAAAVPSRAECSVDLKLGGLGEFGAADGTKEPAAAATATPAPSAPSASPMKRPRSGPGGAAGAQCPSCAVDGCEADLSKCRDYHRRHKVCEAHSKTPVVVVAGREMRFCQQCSRFHLLAEFDEAKRSCRKRLDGHNRRRRKPQPDTMNSGSFMTSQQGTRFSSFPEPSWSGVIKSEDSSYYTPHAVLSNRPHFAGGSTSSAYSKEGRRFPFLQDGDQISFSAGAGTLEVSTVCQPLLKTTAAAALPPPESSSSNKIVDVSRMVVQPAAEHIPMAQPLVPSSSLQQHHQFGSSPGWFACSQAGSSGAVSAAGTGGFACPASVESEQLNTVLQAPSSDAGHEMNYHGIFHVGGEGSTDGTSPSL >Sspon.03G0007600-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:20437011:20439602:-1 gene:Sspon.03G0007600-1A transcript:Sspon.03G0007600-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRTARLGLDKVLDCFSLSLCSNACACIHSVEEEDEDEANERKALVSSQLQELVKLRDFVDGAAKTLAFHLEPKTVELKVSMHCYGCAKKVQKHISKMDGVTSFEVDLENKKVVVIGDITPYEVLESISKVKFAELWVAPNSKQQAAERL >Sspon.01G0055830-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:82489029:82492945:1 gene:Sspon.01G0055830-2D transcript:Sspon.01G0055830-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding QQQDLSMVMGLFNIKQHHARALLIHYRWNTDRLGDHLERKGQERMLMEAGVVLQQQETSSSSSRPSPRSRVLCEVCFEDFSPRHVSTMDCGHSFCNDCWTQHFVAALDLGKKQILCMAFKCPAICDEAVVQRLLSLRDPAAAKRLHDFLLQSYVDDNSAVKWCPSVPHCGHAIRVDAADEVELLCEVRCPCGVSFCFRCAAAAHSPCPCAMWERWEAKGQGEAENVRWLLANTKSCPKCFKPIDKIDGCNLMTCKCGQHFCWLCGGATGLAHTWTSIKNHSCNRFEKEEKRKVDDSRRQVRRYEHYYQRFHAHDLSYKAERDKLGPAVADRVLRLEWSGVLTRDAAWLTDAHRSLLRCRQVLARSYVFAYYMFDAEATPTRRREPGSLSMAKKQALFEDYQEQVEGNVERLSKLLGTDVPELPEAEILQAKQDVTNLVRVVEAHCGKMYGCIQDELLPMLVEPMSIVAYQPGGPSKANESNRIGSLGFKPHSHHSSKPSPPIGFGEAKRIKMFLGTIPRRPSKEAAYKQLRSHLVVMASCAAVIRAAPYILHFLTRDGDIQELKLEL >Sspon.04G0027220-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4B:56832504:56832836:-1 gene:Sspon.04G0027220-1B transcript:Sspon.04G0027220-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNPDVPRRANPTRRPSSRRGTVGRPRSSGKTLSPEVTFFFPSPSRRRHGHGHSASAWPGRLLRRRNHNCRPHRLPSFTSPEPLLSPLTLEKMREEEEEREKERGAARGE >Sspon.06G0028780-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:10310966:10311646:1 gene:Sspon.06G0028780-2D transcript:Sspon.06G0028780-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWFLVDWLFDVLASLGLWQKEAKILFLGLDNSGKTTLLHMLKDEVRSCLLFPLLLLLDLPARRPEGANTVAVVVQRLTQHAPTQHPTSEELSMGRINFKAFDLGGHRIARRVWKDYYAKVDAVVYMVDAADGARFAESRAELGALLSDDALAGVPFLVLGNKIDIPQAAPESNLAYYLGLTGCTTGKGSVDLAGTGMRPVEVFMCSVVRKMGYGEGFKWMSQYIK >Sspon.01G0050320-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:446717:448829:1 gene:Sspon.01G0050320-1C transcript:Sspon.01G0050320-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLLKFLATTRVPNFGPTQFLLRVGNRQGDYCTSGDGNVNVYQTNLDYPQVGLHLVNSFEEEFRPLDPCSGDFMNELNVELASKLSQCVVSLAAFNVAYACLNTIRTSARKIRRFACSGILIKYGRCTSVLTSASLVRYSDDESKINNDLQIEVRLPNGQCVKGVLQCCCLKYNIAVIKITGFSDLCAIKLERRRQFKSGSKVVAIGRIFDHGELTATHGMITDKLSKLDCEVLMVSTCKITKVHC >Sspon.02G0057380-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:57394059:57399054:1 gene:Sspon.02G0057380-1D transcript:Sspon.02G0057380-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRASASAVPMEVSASARRSATGPDPGGAKKPRLAQPPPPRDPRSYAAAASSNGAAEQALVDELLGQYRTALGELTFNSKPIITNLTIIAGENLQAAKPIAALICANILEVPSEQKLPSLYLLDSIVKNIGKDYVKHFSARLPEVFCKAYKQVDPAIHHSMRHLFGTWKGVFPLPPLQMIEKELGFQSSANGSSSAAPSRTDSQSPRPSNSIHVNPKYLEARQQLNQPTKARYSFSSYLNTPVHSFDLWDIIKICTMCSIGILGSGAKTTVIADTVDDIERANRLGTDRNAGRRLEAPNARPNIQRTQRDPFSNPVHEKQAGRDVRGLGFSNISQQAAVGTGQVRSKPKGQDGIGGPYYASGVGSSEEQFDRRSNFYASKDVRPSGSVRLDSALHPTPSINADRVGRPSSNKSWKHSEEEEYVWDDVHSKAAEYGGSNNVIKGEWMSDDGNAKFASLQRAKWAEAGPVERIDPNTHKLDNVSRFGLAAGQERRISAYMDQEEYLLGKCEVEARIDREIKPEGQQFPPPRGSSLWVSQEKTLPDIGLDPRISRFSNQPAERSTIYTGTMTASITSSVPVGFSGHHAGRSSLDTANIVPIRSTEAFGQQKHRYWSSSPPQAHSPSTAPFARQGSPNPAESDFYPSRSFSQLGQNPQEEYSQRALPVLAKDSHEPSQQATLQTQQYPTLQSKSHTKPSDPLQASFSRENSPSLFRPSHLGEVSLPSDSTPISSDLTSASNLLAGLIKSGFKPNNHSDAHLLGPSGSLPVASLSLQNTAGENTTLHTQTPDTSRPPLPPGLPPPPSTQSAEKAAPLSSLLSSLVAKGLISSPASDSSNAVLSQPNKASSMNAKDVTASAVPLSALKPSVGKMGDLIGLEFKPEKLRKYHEHVISSLFDDQSHQCKTCGIRFRLEEELSLHTSSCGPRESETIYTGIAPKRWYPSKNIYIDGSHEIEDSTEASDGDLGSTEEVCEFMVPADERQSICALCGEPFVDIYSFEKGNWMYKDAVFLDYPKGEAAVEQC >Sspon.06G0032620-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:54981149:54984080:1 gene:Sspon.06G0032620-2D transcript:Sspon.06G0032620-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGVHEEHQQTHVLGRKGANEVSERIVSSSVGANLIIYLTTKYHLGAASSAIIIFVYIAAANFLPVCGAIVSDALLGRCLMVTLTLFSCTIGTTLLCLTSVIARLTPPDCIQPNQGCASPTPIQLLVLCASLGFMALGASGVRPCCLAFAEDQIAHWDTTKKDRALRGLFNWYYASVGFSQIVAVTVLVYFQDKMGWKVGFMVSAAIMASVTLLNLAASSFYVKVRPQKSMWAGLLKVAVVTIKNRDLVLPEANHGAQFHCLPGSAQLVPSDKMRFLNKACMARTHPGSSTSSSACTVEQVENLKSALSVMPMWSAMVMSFLLQSSSFGVLQAATMDRRIGTTRFQIPAGSISIFEILTFTVWSGCYDSYILPVLRRMTGRQRVLTLKQRMGIGVFLAIASMAVASAVETRRREAAARHGTPPLSALWLAPQYVLMGLSGAFGAIAQIEFYYAVLPKSMGSFALALLFFGGGVASIMSTTIVKLVNVVTSKGGGAPWISDDLNQGRYDCYYGLLAVLGAVDLVYLVICANVFHESAQNMTLEAGADGEAAEVFRG >Sspon.03G0000250-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:6128302:6130633:-1 gene:Sspon.03G0000250-3D transcript:Sspon.03G0000250-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKHNNVIPNGHFKKHWQNYVKTWFNQPARKQRRRIARQKKAVKIFPRPTAGPLRPIVQCQTLKYNMKSRAGRGFTLEELKAAGIPKKLAPTIGISAGDSTPEELATATQVQGDYMPITRGEKRSVEVVKVTDEMKAFAAYGKLRLERMNKKHLGARQKKAAEAEKEDKK >Sspon.01G0004590-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:12219928:12222522:-1 gene:Sspon.01G0004590-1A transcript:Sspon.01G0004590-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eukaryotic translation initiation factor 3 subunit K [Source:Projected from Arabidopsis thaliana (AT4G33250) UniProtKB/Swiss-Prot;Acc:Q9SZA3] MATEQAAENYTVEDLVALNPYNPDILNDLEKFVNEQVSSQTYNLDANLSLLRLYQFEPERMSIQIVAHILIKALMAMPAPDFSLCLFLIPEHVQMEEQFKTLIVLSHYLETARFSQFWDEAAKNRHILEAVPGFEQAIQSYAIHVLSLTYQKVPRPILAEAINIEGLALDKFLEYHAANSGWVIEKGGQSQVIVLPRNEFNHPELKKNTADIVPFEHVTRIFPVLS >Sspon.02G0002170-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:6897472:6901333:1 gene:Sspon.02G0002170-2C transcript:Sspon.02G0002170-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEDGAPMHVLRRVLDGLQCLHPRRRRRYGGAGPPKQPRVAVRRFGTSKATTPCRADGGAEGGGGREVTIRVATFNAAMFSMAPAVSGEAAAPAPTAGPGAGAGLPGSPRRPPKGILKAQAAASLARSPSKARVSINLQDNEISLERTRLWRGAGGGGASGKRQQQPAAAAAASAPRPQRRSVEEVLREAGADIIGLQNVRAGEERGMRPLSELAEGLGMRYVFAESWAPEYGNAVLSRWPIKRWKAHRVADQSDFRNVLRATIEVPDAGEVNFHCTHLDHLDERWRMKQVDAIIRSGDGPHILAGGLNALDGTDYSADRWADIVKYYEEIGKPTPKVEVMQYLKAKQYVDAKDFAGECEAVVVVAKGQDVQGTCKYGTRVDYILASPNSPYKFVPGSYTVVSSKGTSDHHIVRVDVAIPQIREPDGETTANGKRRVVKMNKKSSRKGIWGAK >Sspon.06G0013070-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:68387821:68394205:-1 gene:Sspon.06G0013070-1A transcript:Sspon.06G0013070-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospholipase SGR2 [Source:Projected from Arabidopsis thaliana (AT1G31480) UniProtKB/Swiss-Prot;Acc:Q8W5R2] MAAGPDESWGARGGNPTGDAHASTSRAPAGPGPAGEAEGASPDSLRNTPSNIARLEDAIGHCAARRKYLAHTKSPSDGKDVRWYFCKLPLADKVLSSSVPRTEIVGKGDYFRFSERDSLALEASFLEREEELLAYWWREYAECSAGPRGSLVESDDSAYLYKVEEERVGVPVKGGLYEVDLMRRHCFPVYWNGENRRVLRGHWFARKGGVDWIPLREDVSEQLELAYSCQVWHRRKFQPSGLFAARVDLQGSTPDLHALFTGEDDTWEAWLVFDTGPKLGSNTIKLRRGFSPSESASTNPSQDELRQTKEEEMDDYCSQVPVGHLVFMVHGIGQRLEKANLVDDVVDFRRVTANLAERYLTSYQRSTQRVLFIPCQWRKGLKLSGESTVEKLTLDGVKGLRVALGATVHDVLYYMSPIYCQHIIDSVSNQLNKLYMKFLKRNPGYSGKVSLYGHSLGSVLSYDILCHQESLWAPFPTEYLNMETSDRSQGAKSANDVALHDSGTKDHDTSTLGHSCADNAHSVVDEDRTITDASHTDSILPSCVLEDSPNNHETVVPRGAVVAEQNEEENKVENHQMVYTGEGTTSGVSTKDAEGSSISRSAEEVHEEVLDEEKLMVLDKDKLIISLEEEVKRLKARLDHLEQHNHLVSESTSGVEYHEGKSDNHALNSGKLFTAQGSTNQSYSPQIKYTKLNFKVDTFFAVG >Sspon.06G0006110-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:21698812:21699706:1 gene:Sspon.06G0006110-3C transcript:Sspon.06G0006110-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:HMG-Y-related protein A [Source:Projected from Arabidopsis thaliana (AT1G14900) UniProtKB/Swiss-Prot;Acc:Q43386] MATEEVAKPSPIPPYPEMILAAIEGLGDKNGSNKSAISKYIEGKYGELPPAHASLLTAHLARMKESGELIFLKNNYFRADAPDAPQKRGRGRPPKSRDPNAPPPPPKSPASSAGTGRGRGRPPKAKNPLEAAVKQATAGMPKARGRPPKKAKTTEDGASPAPKPAAPAPPTPAPAGDGSAPVKRGRGRPPKVRPAVPSETAAA >Sspon.04G0016010-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:58966370:58969707:1 gene:Sspon.04G0016010-1A transcript:Sspon.04G0016010-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKKGTKSKKEVDNAPNQQENKLPDYLELQRTRVVCNADAPIHVSNPRVHLLLWGLIIVSVEKFCKNFKIEINLLTEDDMEFDMIGIDASIANAFRRILIAEVPTMAIEKIFMVDNTSVIADEVLSHRLGLIPLHADPRLFYYISENDVPNERNTIVYKLHVSCPKGSQRITVKSSELEWLPEGSQLSMAAPAQSGDKQKTFTSFSQSQKEILEKPLGVKFKDITIARLGPGQAIELEAHAVKGVGKVHANWSPVATAWYRMLPEVVILEEIEGDDAEELVNKCPVNVFDIEDLGNGGKRAVVAKPRACTLCRECVMGPNGNQVELRRVRDHFIFTIESTGALPPEVLFTEAVKILEEKCER >Sspon.01G0029520-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:91589472:91600041:-1 gene:Sspon.01G0029520-3C transcript:Sspon.01G0029520-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phytochrome-interacting factor-like bHLH protein, Stress-responsive transcription factor, Regulator of reduced internode elongation under drought condition [Source: Projected from Oryza sativa (Os03g0782500)] MDGNARSAAANQKKLIVADDDLIELLWHNGSVVAQPQAHHRPAPPSDRDRPGTSGLTGEETAAWFPDSLDDALEKDLYTQLWYSTIADAAPQPEGTLLGPTSQPSPPLPPVASSGVESSWAGDICSTFCGSNQVPRSPAGTSGKDVALQSEGPSGAGAHDGTSSSGGSGSNYGGSGLPSHSVHVHKRKGRCRDDSDSPSEDAECEEATEETKPSRRHGPKRRTRAAEVHNLSERVRSESDCASKAKKAYFQKGRQLRRRDRINEKMRALQELIPHCNKTDKASILDETIEYLKSLQMQVQCYLSADDDLIELLWHNGSVVAQPQAHHRPAPPSDRDRPGTSGLTGEETAAWFPDSLDDALEKDLYTQLWYSTIADAAPQPEGTLLGPTSQPSPPLPPVASSGVESSWAGDICSTFCGSNQVPRSPAGTSGKDVALQSEGPSGAGAHDGTSSSGGSGSNYGGSGLPSHSVHVHKRKGRCRDDSDSPSEDAECEEATEETKPSRRHGPKRRTRAAEVHNLSERRRRDRINEKMRALQELIPHCNKTDKASILDETIEYLKSLQMQVQIMWITSGMAPMMFPGAHQFMPPMALGMNSGCIPAAQGLSQMPRLPYMNQPLPTHIPLNSSPAINPMNPLNAANQMQNSHLREASNHFLHLDGRTAVLPQVPGPHVYGHQIAQAEEPNSILEVTASTVVPTSTAGQPPTFGDQERVFFLAITANTDMIRSRFGLQEMSSPLASQSMHLHTTWTVGMLHGNGSASSWQSCGSQQLRESVWSKMPGSHLQHDVTAFNCFTGTCSV >Sspon.01G0003770-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:8819016:8822820:-1 gene:Sspon.01G0003770-2B transcript:Sspon.01G0003770-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATFFSTSTNQRDLAGGGSDMSFHHYTYSDSPAGGLMPFPATIVSEGHVAHGGDDGRDEPGSFVNVNARDGPTSGGEMGLQTQLLMVNASSAVQHQGLSLSLGTQGVPVSLYQYRQAQAGMAAAASLLSPNQASTTASRNAQSIYIQNSRYLKAARELLDEVVNVRDAIKRKGDKNQQGKDSGGEGKDAETSDDKADEHEGNSSAAELTPSERQDLQNKVSALMALLDQVDRKYRHYHHQMQIVMSSFDAVVGAGAARPYTALALQTISRHFRSLRDAVGAQVQSLRRSLGEKDTSAQGGGLSRLRYIDQQLRQQRAMQQFGMMQQPQHAWRPQRGLPESAVSVLRAWLFEHFLHPYPKDSEKLMLARQTGLSRGQVSNWFINARVRLWKPMIEEMYKEEFGAEMDSNSSSENAAGNKGKADEAISSEDHEEFQSPSSAANAKHGAAAAGHHLSAFKSEAIGGMDAAGVGLSSLDGAIGPYATTSLNLGAAVGNGAGGLQEAFAHHHGGGDARFVQAYGDMAGLGGYDGGSVSLTLGLQHCNDAGAVAAEQQGGLLYGTAGDFEFINGSAEDRQRFGSSQLLHDFVA >Sspon.02G0026010-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:96484754:96492623:-1 gene:Sspon.02G0026010-2C transcript:Sspon.02G0026010-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTAAWQATGGSSAGADSPSIGDARKRTKSSSMWIAIFPRIHVKDPYQRLGISREASEEEIRAARNYLISKYGGHKPSVDAIESAHDRIIMQSFFDRKKPKMNLKKKFRELNQSLAVKAIQGRFQTPRSKVIWQTAIAFVLLGVLTLTFPTEEGPTLQALSVDAWNVESGKVQENMSWY >Sspon.08G0004600-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:14131968:14134714:-1 gene:Sspon.08G0004600-3C transcript:Sspon.08G0004600-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRCSNGLLGLLNAGVLVLAVVALGGGAWLSHRASTTDCERFLERPVIALGALLLVLSLAGLAGALCRASCLLWLYLLALFLLILLLFAFTVFAFVVTNRGAGWVVSGRGYKEYRLGDYSTWLQRRVENSQNWAKIRSCLQDGNVCQKLAARKETVAQFVNSNLSPIQLINLSYVQSGCCKPPTGCNFTYQSETVWIKPTGFNTSTTDDPDCTTWSNDQTVLCYDCMACKAGVLANLKNDWKKIATVNIIFLIFLIVVYSVGCCAFRNNRQDNSYPVRK >Sspon.04G0011210-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:34446184:34448171:1 gene:Sspon.04G0011210-1A transcript:Sspon.04G0011210-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGKRGEDSAVALKTSPSVTMARGLRGGSNPLEEWSGRVKAIEAGFRAWMAKQPIHIEAAVATAVGAVQGGALGGLMGSLTADGGSPFPMPQPPPNANPEAMASFKQAQALAGGPLVQARNFAVMTGANAGISSVMRRIRGQEDIQGSMAAAFGSGALFSIVSGVGTPNPVNYLN >Sspon.02G0047890-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:296800:298954:-1 gene:Sspon.02G0047890-1P transcript:Sspon.02G0047890-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPVPLRRRRALLVVATLLAVVTAAVAQDYNDGGGDDEDDEKKKPQFKAQEACNGVFLSYTFMERTKEYPHLKNATAQPYAFKATASVLNTMTEDLKAWQMFVGFQHKEILVSVGGAVLLDGSDLPANVSGGVTFAGYPMADLLNSIETAGEPSLIESKIEITGTQFGVKPPGKPMPKTIKLTNPEGFRCPAPNHKGSVMYVCCVKDRKFKAKKANSTRYQTRRKADLTFAYDVLQATANNYQVQVTIDNWSPISRLDNWNLTWEWKRGEFIYNMKGAYTLLKEGPACIYSPAAGYYKDFDFTPVYNCEKRPVIVDLPPEREKDDAIGNVPFCCKNGTLLPPTMDPSKSRAMFQMQVYKLPPDLNRTALYPPQNWKISGKLNPQYACGQPIRVSPQEFPDATGLMSTTPAVASWQVACNITRPKKRASKCCVSFSAYYNDSVVPCNTCACGCGNDTATCDPDARAMPLPPEALLIPFDNRTAKARAWAKIKHWRVPNPMPCSDNCGVSINWHVMNNYKSGWSARMTIFNWQDYTFKDWFAAVTMGSHFSGYENVYSFNGTRMGAPFNNTIFMQGVPGLAYLDPITDGKTPAEPRLPGKQQSVLSFTRKDAPNIDIPKGEGFPKRVYFDGEECALPDRIPKVSSARRRAGTASLGQVAMAAALVMIVALLDSLCL >Sspon.06G0002370-3C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6C:6182862:6183833:-1 gene:Sspon.06G0002370-3C transcript:Sspon.06G0002370-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LDFSQTKNLAAGEFISSEDISVGGQVWRINCYPPGSRREKYGDHLSIYLHLVSKPSKSVKAIFQVFVLDRDGEPSFNHTRRFMEVYPLPKGMQEWGWHRFATGGDLKLLYMVDGVVTLACGVTVAAAGKYETLAVPPPDLVSHLGGLLDCATGSDVSFVVDGKVFPAHRAVLAARSPVFKAQLFGFLADARMSSITLHDIDPAAFKVMLRFMYTDCLPGDNELGDAPAPSAMLQDLLAMADRYALDRLKLFCAKKLWEYVSTDTVGVILHCAEMYNCPELKRNCIVFVAEEDNVKKTLLTDGFLQLVQTIPSIVAELRKKLGV >Sspon.01G0018630-1P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:65966538:65967371:1 gene:Sspon.01G0018630-1P transcript:Sspon.01G0018630-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MANSFMLCTVVVAACLAVAAADWSQGTATFYGGVDASGTMGGACGYDNLYNAGYGVNNAALSPTLFNDGASCGQCYLITCDPTRLGGQWCKPGNSITVSATNLCPSNYALANGGWCGPGRPHFDMSQPAWEHIGVVQGGIIPVLYQQVKCSRTGGVRFSIAGSQYFLLVNIQNLGGSGSVGAAWVKGDKTGWIQMSRNWGANWQALAGLCGQGLSFAVTSTGGQYIQFWNVVPG >Sspon.08G0010300-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:43289637:43293333:-1 gene:Sspon.08G0010300-1P transcript:Sspon.08G0010300-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSKLFQSDNVLFAICSLKLTLKETGGVSSCNCKHGNKPPPGFRQVVKTSPLSQAAKAKPSRLRPPHGTGNLGANPANSCPLTPLGFLERAATVFGDCPSVVYHDTVFTWSQTHRRCLRLASALVSLGITRGDIVSVLLPNVPAMYEMQFGVPMSGAVLNTINTRLDARTVAVLLRHSGSKLVFVDPSSLQLISDALRQLPLGHPAPRVILVEDPHEEDFPAAPGDTLTYERLLDKGDPEFAWVQPASEWDPMVLNYTSGTTSEPKGVVHCHRGLFLITVDSLVEWAVPPRPTYLWTLPMFHANGWSFSWGMAVVGGTNVCLRRVNAATVYAAIASRGVTHLSCAPVVLNMLANAPVRVRRPLPGKVRIRTAGAPPPAAVLQRTEAIGFEISHGYGLTETAGLLVSCTWKGEWDILPAPERARLKARQGVRTVATGKVDVIDSETGRSVPRDGTTMGEIVLRGGCVMLGYLNDDEATKAAIRENGWFYTGDIGVMHPDGYLEIRDRSKDVIINAGENISSVEVESVLYDHPAVNEAAVVARPDELRGETPCAFVSLKEDAAGTVTEADVTAWCRERMPQYMVPRTVVFRAELPKTSTGKIQKYALRNLAMEMGPARKGVTGSRQQQ >Sspon.01G0032740-3D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1D:113530005:113530517:-1 gene:Sspon.01G0032740-3D transcript:Sspon.01G0032740-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding HQGVPKFGSWEDEGDHLYTQYFENARKGKSPGRSASQNDHSGDPEALSKDSPSAKASPLRTGSDPVVRKPKDERHANREDDLRRHEAPARRPYTDSATHKHGVNTSHDSAARKTGMERSPLHPHHQARVVNKGGVSSPSWERRGSSEGHRGMAPTTPGRSKMRPSGRGDET >Sspon.02G0017180-3D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2D:44017437:44020976:-1 gene:Sspon.02G0017180-3D transcript:Sspon.02G0017180-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAQNPHNVLLGFVGGTEGLFAKKTLEITDDVLSSYKNQGGFDLLGRTVDQIRTTEQINAAKATCCDLNLDGLIIIGGVTSNSDAAQLAEIFAEHNCKTKVIGVPVTLSGDLRNQFVETTVGFDTVCKVNSQLISNVCLDAISAGKVILGEEVAFSKLTLKEITNKICDGVEARAEQGKYHGVLVIPEGLIESIPEMYALIQEINNLHSNNVSEADIPSQLSPWAAALFKFLPSFIRRELILHQESDNSAQLSQIDTEQLLAHLVEAEMNKRTAMMSVRRHLRGPGAVPIGRPVIHPSPIDLKAESYAVLREKASSFLLDDFYRTPGGIQFEGPGAGTKPITLTIEEQDYLGDIEILQAYLDKVRTILKPGCSREILKASISSIASVNDVLKFMSAPLNTELPLYHFN >Sspon.04G0012360-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:46718907:46723056:1 gene:Sspon.04G0012360-3D transcript:Sspon.04G0012360-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GHAKKPLEEVIQAAQKAPPSRDFYGALEAAYKRNGVPALIAEVKKASPSRGVLRENFDPVEIAQAYEKNGAACLSILTDEKYFQGSFENLEKVRTSGVKCPLLCKEFVIDKWQIYNARSKGADAILLIAAVLPDVDITYFLKICAELGMTALIEVHDEREMERVQKINGVKLIGINNRSLETFVVDTSNTKMLLEKHGDSIREKGILVVGESGLFTPDDVAYVQNAGFGGGIPGEARMPWTSHCWIVWQRTAALNERTKIVGIWFGSDD >Sspon.01G0021810-3C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1C:79467839:79468371:-1 gene:Sspon.01G0021810-3C transcript:Sspon.01G0021810-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding IQASIAEAFRKQSEVIGRKSTAKATAAAFVRRSRRNIRPNGQNTYFRGRGRANSDDVALAGSEDEEDGNGENCSKEASSAEESSPEKKQKRLPKWPTPRSSPAQGACNDEVASDEKDDVGISRENFSTSPLRAWGKNGTRSQTRHSSFSGSNGRMVKGGRMIKLVECLRNSDDNDGE >Sspon.06G0012500-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:54145213:54147836:1 gene:Sspon.06G0012500-2B transcript:Sspon.06G0012500-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGGRRRPKPRARARGKAKRTKYLSLSDLMVKVEVAGTPTPPADEPASPRSPLEEEAEVKREEDAGGDGGAGRQQQVEPFALHHHHEASTLFEALPAPSLSDILGPSPSASPNGAGSGNSGLPGAQEEDLARRALRGRERWVYCSSPAATATATATTSSSSPCSSAASTGASARSLLLKLDYEEILAAWADRGSLYIGAGPGGCGGDSPGLQLDAVQVLVEVEPSEHAVATVSGRAERVRRYKEKRRRRLFAKRIRYEVRRVNAVKRPRFKGRFIKEHEESELRPT >Sspon.04G0007930-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:18916820:18917567:1 gene:Sspon.04G0007930-2B transcript:Sspon.04G0007930-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VSPHGRSRPRPCAPPRRHRCLNDNGGGGEVLPVAEPPVQRLVHEQQQLRQRVPDRELPRRRVQGGRRHAQVLLQEDMLASV >Sspon.07G0012830-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7A:46412276:46415392:1 gene:Sspon.07G0012830-1A transcript:Sspon.07G0012830-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RLIYVPAPHTAEVLHEVLLDWHIERNLSTITLDNCSVNDNMMKTTIGTDGDDLAKNKAAIEGKKWLNSDIPKIEEMSIKMKEKFNKYWSDVHGLMAVAAVLDPRYKLHLLTALFLKIHGSESVATESVNKVKDLLYNLVLEYQDTIEDVATTDGTQTRPRAPTQMDDEDWMDTFDDYMSKQPAMTSTYVRTELDLYLEEPLMPRTQDLDIIQWWQIAGPKYPTLRKIARDVLGIPVTTVASESAFSTSDRIISPHRSRLAPSMIEALMCMQAWSHNDMLGPHSTFVGALMTCLDEKDEEEWGDVTTPSLPCWHSIEQLVGGDGGAALLPLRCPALPLPRRCLLRGVPLFPCHVAAVTTFAHSLLMEVCDVCSNRSCCYSRDEGIHGERDRGRSLQHRSRHSVAMRSSGLSAGDGDTDALRSTTRTSTMYGALHSCVKPHHSHATSSSSTGSLPWLLVEREADAPAIAEEHEVGAAEDLGVPLVEGERAHAVERQQRPGLTAAGAQREQEDHGEREHQQQWWRRSDGRHHSDGPRAASAPPPALSALRKVLAFVFSSQTGEEERRGR >Sspon.02G0002760-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:8789413:8792657:1 gene:Sspon.02G0002760-2C transcript:Sspon.02G0002760-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDGEAQPFRLFSKRGKSKPKLKLEPEVQPQPEPDPTSPDPAAAAAAEADHPPSPTIVTETGQADDAEAAAGAAPATFADLGLSQWLVDACDALGMRRPTAVQRRCIPRALAGEDVLGIAETGSGKTAAFALPILHRLGEDPYGVAALALAPTRELAAQLAEQFRALGAPLGLRCLVAIGGFDSLAQAKGLSRRPHVVVATPGRIATLVKYDPDLAKVFARTKFLVLDEADRVLDVNFEEELRVIFGCLPKKRQTFLFSATMSDNLRSLLELSGNKSYFFEAYEGFKTVETLKQQYIHVPPEGKELHLWYLLSVMKGKKEDPIRSAIVFVSTCKVCQYLDLLLEELGYAAVALNSHKSQAQRLLALNRFKSGQVPVLLATDVGSRGLDIQTVDLVINYDMPMSPRDYIHRVGRTARASRGGLAISFVTQKDICLLHEIEDIVGKQLEAYECSDKEVTKDITKVFKARRLAKMRTRDEGHDEKVEARKEQKKRDRARKRKHED >Sspon.02G0028490-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:116559335:116567129:-1 gene:Sspon.02G0028490-2C transcript:Sspon.02G0028490-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSGDRSASGGGVGCASGDESACSTPFVSAPSSPDRDPSPARDPSFSAAGCFYSTPASPARGGPGTAHEYDGCELGFDFDFDFASRCPSPAAAAAAMFSADELFHNGQIRPMRLASFLLRPQALPPLDGDIPRPPQPPPSEQPAPAGTADERGRLRRSPPVHRRYRSLSPFRARWLSPSSSPAPAAKSLEPAAAGEAASRSSSSSSTASSASSSSSSRSYYRRWGFLKGILHRRKSDGGGHPPLPSNASPPPAPKRNPSPSSPAAVSRGRGRARRSSAHARLYEARRAEAEEMRRRTFLPYRQGLLLLGFLGLGSRGYGAMHGRATAAAGKARPFIGRDWLQKKASSRMVGQRRTELVPQPVVKTEESSKSLQELFGVNKTWRNLILGRLGLWGPSGEACHSMSATTMQRTPNQMNRVSMLHWCLHER >Sspon.06G0005470-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:18536914:18538284:1 gene:Sspon.06G0005470-1A transcript:Sspon.06G0005470-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LLSPPSPPAAAASASSDAGFQSRISPSVLLIILILAVIFFVSGLLHLLVRFLLRPAPRDPGDAYGGDANATAFQGQLQQLFHLHDAGVDQSFIDALPVFLYGAVVGAGGKDPFDCAVCLCEFADDDRLRLLPKCSHAFHVDCIDTWLLSHSTCPLCRRSLLADFSPCGGGCSPLVFVLESGSEGSVSDRLDAASSARLSFVMEQEQAGQDRKHAHAAAEAAEKKDEVVVPVKLGKFRSQATEGAGGSANPGSQDVRRCFSMGTYEYVMDETSLLRVAVKPPEKKRPATRMPGHRVAMSECDCHSKREGFRGFDAPPKQQPQQPSKAAVDKRESFSISKIWMRSGARRKDVSSGAISAPSCSTSRRASSFRLPSALQRTASDVGVTAAAVVPKRRADVVSPVTESEYNVSTWDKSASGSVVDWDVESAGGGGGGHCLSSRADEAPSFARRTLLWIRGH >Sspon.02G0030900-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:113255890:113263441:-1 gene:Sspon.02G0030900-1A transcript:Sspon.02G0030900-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQQWASRRGAEAAVDFPSLAGVPLDRTRESLDLRSGKLRPVFRFPDSKFYDFDDNDLWGRDAPHIEASRVDYRKMEKENYVEGFKQTLLVHGSLNGYLLVSFAFRSYVINPATRHWVWLPCLENLGDLQLPEDQGLAYGVELPYISPPIQFKRNHHLHWPLQDIQRHHIHMLVFDTEREEFSWKRPPPVRDHVMRLLEFPNEDLGLSLSKMNKPTMELWRLVDYENEVWVPVYQIRLALQQMPRGVLRPLDHFWIPAVVSPEGDVLIQSSTNWPYKEEVGARGTQREVHCHSSCPTSIPSPIEKGCCNDGKHHRCVVLPIDRRHDWKRMEGKGSYPSPLLAITTAMVREEDRAAASPARGKGPRAVIVRARVKGLQRGRIGHRRAAARRSYALPTGERKLRRGGPRQCHLLPPPVAMDGGCCCSFHWATAWRA >Sspon.07G0034000-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:68039394:68041504:-1 gene:Sspon.07G0034000-1C transcript:Sspon.07G0034000-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGERTEGDELIADYVDCLMSLDTNSRPAHSDGLILGAAVGEGGSVGAGTEPDANAMRDFPSAEEPNEPVLGMTFESDEAAKAFYNEYARRLGFPFRVGRSRRSKGTEELVVMKRFVCSREGMYKKKQTSPDEAVRKRERMSMREGCNAMMEVVREADHWVVSKLEKAHNHDLGTCSAKVGYLRARGLLGGGSDKATMVGPDSCVLESETISSFETTWMSIIDRYDLRKNSWLQAIYNIRQKWVPLYLMDTFFAETSPTWKLETMNDFYKKYFNSKTTLEVFLNQFDSSLAGRYEDEAKADMDASLNKATTKTASLIEKQAASTYTKAVFSKFQEEFTESLGFIIQKTEDGCISKYSITKDEDPSDTFYVTYNASNKMANCSCKYFEFSGILCRHILGVYIIVDPRTLPPEYFMKRWTRWARDDALLEDNNNNTHDEDASQSTTSRYNALCADAIRCAEKGAGSDAVYKAAKDILQKAYEEIIAYERNPGRGSQRDAININEDVTIDDAMNDQSMPDSGRKVRLM >Sspon.04G0029520-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:78678023:78679809:-1 gene:Sspon.04G0029520-2D transcript:Sspon.04G0029520-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone-lysine N-methyltransferase ATXR6 [Source:Projected from Arabidopsis thaliana (AT5G24330) UniProtKB/Swiss-Prot;Acc:Q9FNE9] GMNGPTLESHHKGPSTLPPTLVTQAGSGSSRNPVRAKILSPLPPPPLLFTHHLPIRTPPHLPLRCRRPTRTPLHSTTTSLLVPPAINLGSRERRQMGAAGQLRRRTRARTPPGPRLEAAAAAGDDDDDVRCEACGSGEAAPELMLCDGCDRGFHIFCLRPILPRVPAGDWYCPSCRGPASSKSQSAAAAAHTVVAKKPTEFPLVQTKIVDFFKIQRSPTPADASEELKKRKRKGGGTLVASKKKSRRLLPFIPSSDPAQRLRQMASLATALTATGAAFSNELTYQPGMAPRSANRAVLEAGGMQVLNREDAETLARCQRMMARGEWPPLVVAYDPVEGFTVEADRCIRDLTIITEYVGDVDFLRNREHDDGDSMMTLLSAASRAEPRHLPRPPQQHRAVHQRHQQPHAGGEEEAERQVRAVRRRRRVPRAAGGQQGHLQGREALLRLQWLRARVPHAPFRVMNVTTTPRAARRSESAIATAPALMWLPKLDLRTGHWGKELEL >Sspon.08G0015620-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:57246432:57252519:1 gene:Sspon.08G0015620-1P transcript:Sspon.08G0015620-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRKREHRLKINCSAHVDLTGREREARPRRGIFSPRLPPPPLSHSPLRFPWPWFKIRIQKDFPEHFRFFNKPSAAHPSSPSARLARCAFKFRTPSSAPNPSPIPPLSLPPPTMSSAAAPAAVGSGRELANPPADGISNLRFSNHSNNLLVSSWDKTVRLYDADANVLKGEFVHPGAVLDCCFHDDSSGFSAGADHTVRRLVFSSNKEDVLGRHDGPVRCVEYSYAAGQVITGSWDKTVKCWDPRGVSGPERTLVGTYAQPERVYSLSLVGNRLVVATAGRHVNIYDLRNMSQPEQKRDSSLKYQTRCVRCYPNGTGYALSSVEGRVSMEFFDLSESAQSKKYGTFATGGCDGFVNVWDGINKKRLYQYSKYASSIAALSFSKDGHLLAVASSYTYEEGEKTHEPDAIFIRTVNEVEVKPKPKALAAPQ >Sspon.01G0026410-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:92021721:92021897:1 gene:Sspon.01G0026410-1A transcript:Sspon.01G0026410-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPAQDPDPGLQIHMHIHLQCSATEGILGLHTRFPVPGGPGQQPRRRRRRRRHQPQAI >Sspon.02G0017270-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:51145796:51150023:-1 gene:Sspon.02G0017270-2B transcript:Sspon.02G0017270-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFWGVEVRPGKPYTHTHQADHGRLRICQATLGNCDAAARTVLQCNVGNKIPIKLCSLNPKLAETCHLEIEFEEVDDVVFSVIGQSSIHLSGYYVRASSRESYGEDIGHSDTDEEHDASEDSYESDFIDDREVPEKYGSDSIDDSDDECSLRRRRKQKGKRLTHEAERRRRLKKHQVDSTDDNDGDTPVTKPVVKRSAKIFDSGDDDTPVTKPVDKRSAPSMFDSGSDEDDNVPISVALGKKDNAKVAEETDPRNGQANDVTKKKITDVKKRKHSAIIEDPALSMDTTDANGTSVSKQGAEIKKKSKKKTKKQSGEKDEKQSNIRTLEDGLMVEDLSIGNIDAKVASDGCKVYIKYVGMLKNGKIVQSNVSEKPYKFKLGAGKVIRGWDVGIRGMRVGEKRKLTVPPSMLSGGKSVGEVPENSSVIYEIELVKVK >Sspon.03G0030610-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:22255264:22258166:1 gene:Sspon.03G0030610-2P transcript:Sspon.03G0030610-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRRRGKRGRTSPGPPTKRRRGGAPEIESDDYPEPVPTPTPAPAAPQPSSVMVAGLPPGCGVLELKSRLQAYGPIARARVDASAATGYVTFRSGAAAVAAIAASLDPGGGIAIGSKKVLVVQASEAPHNSISTIRAAEPAGRSSHDATVKHVTDNSAILSSKAASGATYKAREIVTYDDLTGQAKAEKEQLEENLQLALQMGEPFYQICL >Sspon.01G0029000-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:97698799:97702091:1 gene:Sspon.01G0029000-2D transcript:Sspon.01G0029000-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDRAKFGSNSSTKMDQAILSSLLGWSNEKPSEAMPSVSYSPIEEAERSRFRSESQAKSDKDSWHELVVRFWCFRAQADLLVLIIIYTSYLVMVIVNGYRGGVVCDCSRVCANPHGLIRKYGLVCCRQCFRSNAKDIGFIKIKRVLLGVWLPFFECAGRVSLNVLIRGLVVTHRGIGVLGTRIPVEPVSVSAGAQRPLPLPPPIITRLNKRSTPDLHRWPWAMSISPCNAGRNTEQHRCVERKLLRPQRPADAAGRPGQLERPLRFAAPARLGWPGTPHQSRLPLAARLLQRTRASQPDAMRPIAAAIFFGATWNPSDREPMVAVLVATSSHVGPGP >Sspon.03G0046480-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3D:38805867:38806028:1 gene:Sspon.03G0046480-1D transcript:Sspon.03G0046480-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNHIEAFKILAKNYLGIDAHLLFSTVKEQLMEVDLTECLMTAKNTGSDMLPD >Sspon.04G0021250-4D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4D:80270522:80274466:-1 gene:Sspon.04G0021250-4D transcript:Sspon.04G0021250-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKPKPKTQAFRLLPSCLFFSGHPPPLLSRLPVKTLCRFRCVSKTWRALISSPAFASAQASRAAAPFVAGVFGTPRPLDKFCPARAPRVLRVVKDVKSTKLMCGALLGRLIMVDQGECGARVIDPATGRVMVLDNGGSSTTATTVLYSNGRTATAGGGDGDGDDINTVHACRWPHSLHMHPPLGVLYFMNHRSPSHTGRPLRPLITSSHVCIASFDLESEEWKPTIISGPPVNKDVGWEMALAALKSSLCLIQTDAGLGQNNNLGRRYYTKNIWFLVDSKRSIWVKEYAIQMPEGWCLFKPLEILVDGRMLMLNAFKKKEENLCNVQCVLQLYHPSTGALTDLMEMGNDFRVFSPDSRHPVAAAERRRGPSPRVRPSPSSYAGCQAAHPQLRRAPELPAVSTPSLLKPTAPDPRRPHSRTSLRLQRTRAVTPRAARQPVTSLLPCSPAAVRRRLLCPRDVDPGIQGNLPQHHQLQQRAPVSGTAKGKSKLKAGQPLKRSTIGAKKGPPSTGGGGGGRGRREAIERITNISESCLNASTPLRHLSPKERLREAKREELGLVSKERQRELDIAKAKAKAKSKGTGGDDGDRVLMGPPGLDYISLGLVDEEAIPKYELTVEDGRRLAKEFSRVLMRRHRARQTAESTLLTLKKEAIAALPEKLRAAAMVPDMTPFPANRYMATLTPPIEGYIEK >Sspon.02G0023890-2D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2D:77411029:77411337:-1 gene:Sspon.02G0023890-2D transcript:Sspon.02G0023890-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRNRNRTGRWYAKPLKSLRARGPRGPSLQLQSEARVRDPGCQRNAYGSHEGRPATASSRPATGIVGASPLSATPATAHSHKPTQLTPSCSNKSPLLRTAHV >Sspon.04G0005250-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:9167180:9178690:1 gene:Sspon.04G0005250-2C transcript:Sspon.04G0005250-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMNADYNCILKNKMDQHDSMADSPRRRYNLLRDKVQLVKRKDSNRYEIVRFHDPLSFEKGFFVVIRACQLLAQHNDGIIFVGVAGPSGAGKTVFTEKVVNFMPDVAVISMDNYNDATRIVDGNFDDPRLTDYDTLLENIHGLKEGRTVDVPSSRIVIIEGIYALSEKLRSVMDLRVSVTGGVHFDLVKRVLRDIQRAGQEPEEIIHQISETVYPMYKAFIEPDLETAHIKIINKFNPFSGFQNPMYILKSPRSLAPEKIKTVLGDDHTESNEETYDIYLLPPGEDPEACQSYLRMRNREGKYNLMFEEWVTDNSFIISPRITFEVSVRLLGGLMALGYTIAAILKRSSRVFSDGKATVKIDWLEQLNRQYIQVQGRDRLYVKFVADQLGLDGSYIPRTYIEQIQLEKLINDVMALPEDLKTKLSIDDELVSSPKEAFSRVSADRRNKLMKSGLSQSYSTHGDKNIVKLSKLTETNRRFGSGRALESPAINQGAITQLSEQISTLNERMDEFTSRVEELNSKFTIMNISRGQRQVIHQLDNLTNLLHEHLVLTRQANTASRNRVLDIDTVICPLICLTVASIGYFMFKGLNRG >Sspon.01G0024900-3C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1C:89431530:89434194:1 gene:Sspon.01G0024900-3C transcript:Sspon.01G0024900-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAAHGSPPGLGGRHLRDHEFPGILRPALMARKIAGDLESYLKMDEGYDVYSLTGMSDCCNGLILLDDHVVNPATRQWMRLPPYLTLPEGKQSSRRRCCYYSYLVFDPTLSPYFEVFSMKDPQERPFLREEEHTAIVANVWSKLEYCGSCHAVYWHGALYVYWMLTFTTRINVSTNKYQVIDPPPDIRYPFSHYRTDRPWILQDGSYGYDDDQEENNQEPTAAENDSDWDSDADNVVDIDLDSCPCIEVLGFHPYRDIVFLVSSRKVVAYYFNSSKIQHLGQLLIRYCYQIIREGFVYTPTWIGELPGASYLASLARGRIRAQKGLAIPISGPRRHSGMASGLESRGGAGRNEEDRRQCCCSSSARGGGAAVRRRRRARAAVVTRKVNGALRSLAGLFVRLARLFVHLAGLFVR >Sspon.01G0025880-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:100947894:100951555:1 gene:Sspon.01G0025880-2B transcript:Sspon.01G0025880-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMPFARRDIEAAGAGAGPGSDDSPAAKKGKPELAGARPALTRSEAFAFAAVLALFTAGIFCVFLTAPRGEFGQILRLPRSLADVRLLKDNLAVYARDYQTNFILGYCSIYIFMQTFMIPGTIFMSLLAGALFGVIKGGILVVFTATAGGSSCYFVSKLIGRPLIAKRREKLLNYMLFLRITPTLPNTFINMASPIVDIPFHIFFAATLVGLIPASYITVKAGRALGDLKSVRELYDFKTLVVLFLIGSVAVVPTILKRKRTYE >Sspon.03G0022640-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:73869274:73869790:1 gene:Sspon.03G0022640-2B transcript:Sspon.03G0022640-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHTNHRRRRGLRLPRQALATVSTTTTLLLLLLICKKKKTNISSHSICLTALTRTAAAKALRKHGDEGDASSGGGGSGSGCDNNAGKQSSPVWVFGDSYADTGNLGNLGRELTRAWYDPYGVTFPGRPTGRFSDGRVVTDFIGQLSTPVLFVVKK >Sspon.01G0011660-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:38924933:38928340:1 gene:Sspon.01G0011660-2B transcript:Sspon.01G0011660-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLQSSYAPDDASSPEDSAAASSPESSPESSPLRLPSKSAAPAVDDTALALSSAASAARPLDPSLHLVAFNPTADQLWAPILGPQHPHAPISSASGNRNHKLGHVEDAAVLPFLFDEQYNTFHRFGYAADPSGLHIVGDAQPQAPEPDTVYNLAPSEQKRRRLLAKADNQEEPAPPEAKNPASEEWILHNKQSPWAGKKEAPPAELTDEQRQYAEAHAVKKAEKEARGEGKTERTEVVVKTTFHGKEEKDYQGRSWITPPKDAKATNDHCYIPKRCVHEWVGHTKGVSAIRFFPKYGHLLLSASMDCKIKIWDVLGSRTCMRTYMGHSKAVRDISFSNDGTKFLSAGYDRNIQYWDTETGQVISTFSTGKVPYVVKLNPDEDKQHILLAGMSDKKIVQWDMKSGQITQEYDQHLGAVNTITFVDNNRRFVTSSDDKSLRVWEFGIPVVIKYISEPHMHSMPSIALHPNSNWLAAQSLDNQILIYSTKERFQLNKKKRFAGHIVAGYACQVNFSPDGRFVMSGDGEGSCWFWDWKSCRRFKTLKCHNGVCIGCEWHPLETSKVATCGWDGVIKYWD >Sspon.01G0004530-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:10547985:10551053:-1 gene:Sspon.01G0004530-2B transcript:Sspon.01G0004530-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAGASCLTAATLLLASALSRSLVLGLRSYAYAVVEALQASTVMAAQQQEQARSTRQAVPASSRVAIIGGGISGLAAAKQLAAHDPVVFEATASIGGVWKHCVYRSTRLQTPRPDYEFSDYSWRNRDDPTFPTHTEIIEYLEGYADTFGLWRYIMLGAKVVDIKFLGGGGGFTELWSGTGEPLQGKPMWEVGVATAGSDTVQYYQFEFVVMCAGKYGDVPRMPVFPPGKGPEVFRGQVMHSLDYCKLSEEETVEQMRGKKVVVVGYKKSAIDLALECAQANQGAEGGEACTMLVRTLHWVVPSYSIWGLPFFLFYSTRLAQFLYERPNQGILRSMLCKLMKPLRAGVSRFIESYLAWKLPLDKYGLRPDHPFVEDYASCQMAILPDGFFDMADRDMIRFKRASGGWCFSHNGVLLDDGTEVEADLVFLATGFEGKDKVRAVLPDPFRGLVVDKSGMMPLYRGTIHPLIPNMAFVGYVESVSNLHTSELRCRWLAGLLGGRFALPSVQDMVRHVDGEAEAMRRTTRFYRRHCISTYSIHDSDAMCADLGSRVLRKGNWLAELFAPYNNQDYKED >Sspon.07G0000880-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:10783393:10787066:1 gene:Sspon.07G0000880-1T transcript:Sspon.07G0000880-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEAKYLETARADRSVWLMKCPPVVSRAWQAAASASSSDPANANPVVAKVVLSLDLLRPEERPEEPTLQFKMELAQTNTGNTPKSYSLNMFKDFVPMCVFSESNQGKLSCEGKVEHKFDMEPHSDNLANYGKLCRERTQKYMVKSRQVQVLDNDHGMSMRPMPGMVGLIPSGSKEKKKQAPAKPSDVKRTRRDRTEMENIIFKLFEKQPNWALKALVQETDQPEQFLKEILNDLCVYNKRGPNQGTHELKPEYKKSTGDTDAA >Sspon.05G0009420-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:29907583:29908261:1 gene:Sspon.05G0009420-4D transcript:Sspon.05G0009420-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding HEAKDRDQGQHVVREEPVQGHGAGRQSGRGQLDGDHRRRQGPAGGGRRRRRHRLPGHVPAQEARPRGHPAGGGSQGQEAGGEAQAQGRGTAAVLLPLLLRLLLPLPPAL >Sspon.08G0024290-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:63281832:63285590:1 gene:Sspon.08G0024290-1P transcript:Sspon.08G0024290-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAHAPNGNPTVSSLATTVPVQAVLFDIDGTLCDSDPLHHLAFQELLLEIGYNNGVPIDDEFFIKNIAGRSDVEAAQNLFPDWPPEKGLKFLDDKEAKYRSLAKERLVPVKGLGKVVQWVKDHGYKRAAVTNAPRINAELMISLLGLSDFFQAVIIGGECEQPKPAPYPYLKALKELEVSAEHTFIFEDSPSGIRAGVAAGMPVVGLATRNPENSLVEAGAALLIKDYEDPKLWAALEEIDREEAKLKKAST >Sspon.02G0015200-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:40010158:40013584:-1 gene:Sspon.02G0015200-2B transcript:Sspon.02G0015200-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTDSAPPSAASLSEDDRLLVAHCAALSFPSSSPTATAAASPSPSASFQVHHASHPYPCAAFAFPPSWSAADWMGGDGGRPPFGDAEVDPALFPSLRAVGSGIPARTNAAFLAAFRRLLDGSTLQSEVSRAVAEEKRVVFTGHSSGGSIATLAAIWFLEKCSRRGSVNQAHPFCVTFGAPLVGDNIFNHAVKREGWSQCILHFLLPLDIVPRIPLTPLASFREEIQAVLDWLSPQTPNNSPAGRSLVIPEYYETLLRSTLSIASYEACSFMGCTSSILGTLTSFIDLSPYRPCGTYHFLIGSEQLIVLTNSDAVLQLLFYCLQLDPQQQLLDAAARSLSAHWQYEPIKQCMQDIVCVDYLGTISSTVPGRQTDRVAIGSIELSREAILHLSAAAQWEKQRQRNQTKIDESCQKIQEALGSLNEYKRSRELNGVSYYDSFKLQREVHDFDANVRRLELAGLWDEIVEMLRRRELPDGFEAREEWVSLGTLFRRLVEPLDIANYYRHSKNEDTGSYLSKGRPRRYKYTQKWHEQLQRAPVGSSLESCFWAVVEELQAEMADGRAFEDLRDRVVKLENDAHGWYNSGSLGKDVFLGSSSFVAWWRTLPEQHRSASSIAKLVPL >Sspon.07G0028500-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:67091848:67092133:1 gene:Sspon.07G0028500-1B transcript:Sspon.07G0028500-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSRRAPPDGAAKRPSRAALPQPIVLLVGLLLRLFVSHSHLSCLGLECFLISLQCLESSYLLVFGLIKR >Sspon.04G0024630-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:24896835:24899631:-1 gene:Sspon.04G0024630-3D transcript:Sspon.04G0024630-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDKSDKPWEYTLRKYVLLLATVVATLTYSSAFSPPGGVWQDTDAGHLAGDPIIRDTHYRRYLVFFYCNATGFASSLVVIILILLLSVQHEDMSGASIWKWRHTLVPLRVVMALDLLSILGAYAAATCRDAVTTTWRCRRRRALRGDQQDDDDADREVLGERPRKVLMLLATFAVSVTYVSGLSTPGGFWDGSQAGHAAGDAVLQDYNRARLVTFFLCNTTAFVASLLIILLLLDKKLRANAGLRSHELYGCIVVALAGLVAAYAAGSCRDAETTAYVVVLVAVVLGYMLFQVYFAVKVVEATRKSNLWQCLVSVYAAASGWLRAIAAASHCFRGQAPERHPAEIAREQVINESMDKARSLVLLLATLATSVTYQAGLVPPGDVWQDDGDGHLAGDPILLTTQPRRYKTFYYCNSTAFMASLAAIVLVQRRSALIKRHTLEAAMILDLFGLMGAYAAGSCRDVSTSIYVVALAGGVMVYVVIHVIFFTLDHGERIAGRQQDDDVSVEKRRKRLLLFAILAATITYQAGLTPPSGFWPKDDDQGHRAGEPVLLSNYPRRYKAFFYSNSLSFMSAIAHIILLVNPNLYRPAIRSYALSVCTAVGLLGLVGAYAAGSTQHLKTSIYIFVLAFLHEGRKGRTRGRPPATSSDDESPAVEASGGGTGGGNPVAGDIAEPVAPRAGGAEVDEVKAKERHAKCKYLMLLGILMASVTYQAGLDPPGGVWQSDGDGHAAGDPVLRTNRRLRYLFFFHCNSTSFVASVVVVVLLLPRQLMDISWWLTVTNVTIVLNLFGLLGAHAAGSSRGWETSGYIVAMIVAALAFVFVHVLTSCFGRTRGPRSSSHLPAGDVPPQLKEPGSIGRRQPLEVSV >Sspon.05G0001970-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:5124434:5131496:-1 gene:Sspon.05G0001970-3D transcript:Sspon.05G0001970-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGLEEIKNEAVDLENIPIEEVFEQLKCTREGLSSSEGQQRLEIFGPNKLEEKKESKILKFLGFMWNPLSWVMEMAAIMAIALANGGGKPPDWEDFVGIIVLLVINSTISFIEENNAGNAAAALMANLAPKTKILDLCHCKEDLRRKVHGIIDKYAERGLRSLAVARQEVPEKSKESPGGPWQFVGLLPLFDPPRHDSAETIRKALVLGVNVKMITGDQLAIGKETGRRLGMGTNMYPSSALLGQNKDSTLEALPVDELIEKADGFAGVFPEHKYEIVKRLQEKKHIVGMTGDGVNDAPALKKADIGIAVADATDAARSASDIVLTEPGLSVIISAVLTSRCIFQRMKNYTIYAVSITIRIVLGFLLIALIWQYDFSPFMVLIIAILNDGTIMTISKDRVKPSPLPDSWKLKEIFATGVVLGSYLALMTVIFFWAMHKTDFFSDKFGVRSIRDSEHEMMSALYLQVSIVSQALIFVTRSRSWSFVERPGLLLVTAFLLAQLTAFTTKKDYGREEREAQWATAQRTLHGLQPPEAATNTLFNDKSSYRELSEIAEQAKRRAEIARLRELNTLKGHVESVVKLKGLDIDTIQQNYTV >Sspon.08G0006740-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:21090058:21095013:-1 gene:Sspon.08G0006740-1P transcript:Sspon.08G0006740-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGHMLPLLHFATALSAQHGRSRRLRVTLVTTPGNVAFARSRLPASVDLVALPFPSFPPLPAGVESTDALPCPTLHLTFMHATGLLRGPFAEFLASLPSPPLALVSDFFLGFTRRVAADAGVRRVVFNGMSCFASAICKALAASPPASGFEPGDMIQVPGMPEHVVVRAEEVPDGVTKRADPDNPFTRFFMDEIGDSDVRSWGVLSNSFAALDEAYVPALESFYEAGARAWLVGPLFLAAAGDDIPDGEKEQDPEGCLSWLDERAAAQPGSVVYVSFGTQAHITDAQLDELVHGLLQSGHPFLWAVRSDTWSPPVDVGPNGRIVRGWVPQRSVLAHKAVGGFVSHCGWNSVMESLAAGKPMLAWPMIAEQHLNARHVANIIGVGVRIALKAGADVVGSAEVEDKVRELMDAECKAAKQMRERAAWAQQAARSAVSHSGTSAMALQKLVEELQETYDDVVGKGANGFRNMTDDYQCAKTPKQIFFPASTLSG >Sspon.08G0029190-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:28445950:28461721:-1 gene:Sspon.08G0029190-1D transcript:Sspon.08G0029190-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPWSAAAAALVAVAVAVAALTTTTTAKECTNIPTELSSHTVRARLQASPGAGAAEWRWRELFHEHLNPTDEAAWMDLMPPPKGGLRAAAAGHHQHRQEEELDWVMLYRSLKGPLVGGAAAPASDAAAGAGPPFLEEVSLHDVQLDPDGDAVYGRAQRTNLEYLLLLDVDRLVWSFRSQAGLPAPGNPYGGWEKPDSELRGHFVGHYLSATAKMWASTHNGTLAGKMAAVVDALDECQRAAGTGYLSAFPVEFFDRFEAIKPIMQGLLDQHVVAGNGKALGMVVAMADYFAGRVRNVIRRYSIERHWTSLNEETGGMNDVLYQLYTITHDQRHLVLAHLFDKPCFLGLLAVQADSLSNFHANTHIPVVIGGQMRYEVTGDPLYKVIQILVGSDPKRLAEALTTETEESCTTYNMLKVSRHLFRWTKEIAYADYYERALINGVLSIQRGRDPGVMIYMLPQGPGRSKAKSYHGWGTQYESFWCCYGTGIESFSKLGDSIYFEEKGETPALYIIQFIPSTFNWRTAGLTVTQQLMPLSSSDQYLQVSFSISAKTNGQFATLNVRIPSWTSLNGAKATLNDKDLELASPDDRPEYASIQAVLFGPFLLAGLTTGDWEAKTGGATAAATDWITPIPPESNSQLVTLVQESGGKAFVLSAVNGSLTMQERPKDSGGTDAAVHATFRLVPQGGAGAATNSTAAALLEPLDMPGMVVTDKLTVSAEKSSGAPFNVVPGLAGVPGSVSLELGSMPGCFLVAGGSGEKVQVGCTGGVQKKHGDGGDWFRQAASFARGEPLRRYHSMSFAARGVRRNFLLEPLFTLRDEFYTIYFNLVADPRSSSYLVHAGHYLSATAKMWASTHNGTLAGKMAAVVDALDECQRAAGTGYLSAFPVEFFDRFEAIKPIMQGLLDQHVVAGNGKALGMVVAMADYFAGRVRNVIRRYSIERHWTSLNEETGGMNDVLYQLYTITHDQRHLVLAHLFDKPCFLGLLAVQADSLSNFHANTHIPVVIGGQMRYEVTGDPLYKEIATFFMDTVNSSHAYATGGTSVSEFWSDPKRLAEALTTETEESCTTYNMLKVSRHLFRWTKEIAYADYYERALINGVLSIQRGRDPGVMIYMLPQGPGRSKAKSYHGWGTQYESFWCCYGTGIESFSKLGDSIYFEEKGETPALYIIQFIPSTFNWRTAGLTVTQQLMPLSSSDQYLQVSFSISAKTNGQFATLNVRIPSWTSLNGAKATLNDKDLELASPDDRPEYASIQAVLFGPFLLAGLTTGDWEAKTGGATAAATDWITPIPPESNSQLVTLVQESGGKAFVLSAVNGSLTMQERPKDSGGTDAAVHATFRLVPQGGAGAATNSTAAALLEPLDMPGMVVTDKLTVSAEKSSGAPFNVVPGLAGVPGSVSLELGSMPGCFLVAGGSGEKVQVGCTGGVQKKHGDGGDWFRQAASFARGEPLRRYHSMSFAARGVRRNFLLEPLFTLRDEFYTIYFNLVA >Sspon.02G0015970-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:37650924:37659962:-1 gene:Sspon.02G0015970-4D transcript:Sspon.02G0015970-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMNDLPQPALAGHVTYAATATALLIYGRLFLLDDAGDTLESRFLRSSEEISAGAAVTFQAHLVDVGEPEDGPARYTSSSSSASAYAAAGSRNAPRDGGARARPPSSGRVFQPRVPRTFVNPSKSHGCGDGGEGEAAGSGSGRADVAESKFQEWTALYTAQLTQKAKKYHDGLVRLVQIGPQVKQIVLLDEDGQVLCSRHLKSGESVASGKKCHFPNYLVDICEAKNQNKDLENTDSEVTASSGKPETDNAEAVSADQPGSLIEADSDFKEWNALYTTQLTQKAKKYHDGTIRLMQIGSHARQIVLLDEYGEELCSRYIKSVESVESGKRFQMPNYLIDVCEFRNQKNEPGHSSKEALSQTGLRNEETTSDKLSGKSKSPKFVSPFKCQDLRRSHWGSTTSSNRPQIGPTSSNLDAPPNFNASQILSIMKPPAEVRISQSSPSGQAHSLASSVSRAAFDVNCRKNSVVDDSNRSFGGRETSGSSHFATHLRSSIQSCLNLETLPRKNSIGDHQWNESSGNNYSTYDDPNIRRPAAFEGQNLAMVDTLASNVSNAKGQKLDSSNQHSGSSSDTVPVMNIVTDTGFQDGRSGTADQLTTQNSSTDGIFDDPPSTSAYTLTCKDPKIQALIDDCPSFDLGF >Sspon.02G0011770-3C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:35312229:35313512:-1 gene:Sspon.02G0011770-3C transcript:Sspon.02G0011770-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRPGTIPSVSNTSASSPLAPTRTFSPLVPKLPLPSPPSPCSSPRRSARWPRLARARATPGSSSTAAATEEQKERKRCLRCGGVYRDEENHPTACAFHGHVTGEKGLFSMSPPHQGIDGEWSDKSGIIVYRWNDEGSRPNTGRANWKKRWSCCQEREEDAPPCQRGWH >Sspon.03G0015150-3C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3C:68804724:68810231:-1 gene:Sspon.03G0015150-3C transcript:Sspon.03G0015150-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTKDEGDGGAKNQSNMVVDEGDGGAKNQSRMVVDEGDGGAKNQNSMVVVKSEAVCTNGGPLVVGTELVKYEGGDTTECSSSFGDTCSGFEGEVDNGEPEVNSGLSAHADGVGPSKPPRRKKVMAEWSNSVRPIQWRCHWLELRMRELSSQVSKYDRELALIKKQKESQQVVSKANGTMSESMQIHKGHGNSIMKRRKRKRHEENVDASLYINKHRILSFYHDKQNKGAETDGVLVDDDCGTVDGSMRGGLDTATLLDSEDYDMICEQRTLQDILLTIDGVQSRVHLLQDRLTKAHSEGENLAFSGGDTHVRVARKRQRTQKHSFSYTKSRHTKPQKKKNLNILLKDDDGQALAGGSALPDRETDAHIIGTGRSSEERSGECNHLRDKAITLDLLLGTGNSITNSDIGDLYKVNTDDILIDNQGANEACEQFYKAKQPSGSSSKDHNISTPAEMKNNSPPVEVKNTCAAVKVESICAPVEVDSTSAPALEQESFLEKSPSRKPVSPGNKQELEPKKRQKKKVSFFTKKQRKEASKTPDAKEKTEGMSSVAKNQRRTPSDVAKEKTESTLSAATGPGGKKRKSGNEPADAKMHRSLNSSLASKEQKTVKPSSAVKKQKTEKSTAAARAPKDENAGSATKKQETESSSSTEKKLETASAPLKLQVEKAVLVVNSRRSQRVRKPKVFAE >Sspon.06G0010210-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:43204965:43208694:-1 gene:Sspon.06G0010210-2B transcript:Sspon.06G0010210-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKRPRVDPSSSASAPKRQYGAGTPPVATSLFLPPAPPIAPPGVMGEARRKIPRSGGGYGGQQGCSEERSSARRVADHYSARSNQSLQEREASPIIHLKKLNNWIKSVLIQLYARPGHCVLDLACGKGGDLIKWDKAKVGYYVGVDIAEGSIKDYMTRYNGDTDQQRRKKFSFPARLLCTDCYEARLDEYLYEDAPFDICSCQFALHYSWSTEARARQALANVSALLRPGGIFIGTMPDANVIIKRLRESEGLEFGNSVYWISFGEEYAEKSSFCVDKLKKSAVKNFFCNTQDAVDCPEWVVPFHLFKLLAEEYDLELVLMKNFHEFVHEYLQKPEFTELIRRLGALGDGREDQSTLSQDEWEVSYLYLAFVLRKRGQPSTQRRANNANKGKMFLTEGDIEFLGMILYLAAAAAVTARKVSREIVNSG >Sspon.03G0022680-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:87616514:87632785:1 gene:Sspon.03G0022680-3C transcript:Sspon.03G0022680-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MACHLCTLLNLRLLLLLGAVLGNAGLGRGGGAEASDRAEPDPYSILMWHDYSPPSPPLPPPDPASPTATCEGDLHGKGDFLTRCEVSEEVELGGDVHITGNGSLVLLSGASLTCEKYGCVISANLSGEVRLGRGVRVIAGRVTLVATNITVADTVVVNTTALAGDPPDRTSGVPTGTHGDGGGHGGRGASCFVKEGQTQEDSWGGDAYAWSDLEHPWSYGSKGGSTSVEKDYGGAGGGIVWLFAQELVMNGTVLADGGDSNEKGGGGSGGSIFIKAASMHGGGKISASGGDGLAGEVEGEFLLTHDDTQIFVHGGRSSGCPDNAGAAGTLYEAVPKSLIVSNNNLSTQTDTLLLEFPNQPLWTNVFVRNRAKVAVPLLWSRVQVEGQLSLLSGAILTFGLTRYPYSEFELMAEELLMSDSTIKVFGALRMSVKMLLMWNSRMEIDGGGDSIVATSLLDASNLIVLKESSVIHSNANLGVRGQGLLNLSGDGDTIEAQILILSLFYSIQVGPGSVLRGPLVNRSSDDVAPKLNCEADSCPVEIIHPPEDCNLNSSLSFTLQVCRVEDIDVWGLVQGTVIHFNRARSVTVHTSGTISASGLGCRTGVGQGKMLNSSICGGGGHGGKGGDGFYKGAEGGAIYDNADLPCELGSGSGNDSTELSTAGGVMGSWEYSLPTLALYGSVESNGGSYANGSVGGPGGGSGGTILLFVHTLSLAESSVLSSVGGFGSAGSGGGGGGRIHFHWSNIPTGDEYVPVAVVKGSILTSKGLGYSGGNGTVTGKACPKGLYGTFCKECPTGTYKNVTGSSKSLCFPCPSGGAAETPCPYRCMSDRYRMPHCYTALEELIYTFGGPWLFGLLLSGLLILLALVLSVARMKFVGTDELPGPAPTQQGSQIDHSFPFLESLNEVIETNRAEESHGHVHRMYFMGPNTFSEPWHLSHSPPEQITEIVYEDAFNRFVDEINTLAAYQWWEGSIYSILCILAYPLAWSWQQWRRRKKLQRLREFVRSEYDHSCLRSCRSRALYEGLKVTATPDLMLGYLDFFLGGDEKRPDLPPRLRQRFPMSLIFGGDGSYMAPFSLNSDSVLTSLMSQAVPSWIWHRLVAGLNAQLRLVRRGNLKVTFLPVIDWLETHANPSLAENGIRVDLAWFQATALGYCQLGLLVYAVEGEAAVIEPDGSPRVKTEQRILTQNMLADTQLSQSRIKDALMRKRITGGVLDSNSLRTLKDRRDLFYPFSLILHNSKPVGHQLYSYSMVDVLLVLFILPLGILSPFPAGINALFSHGPRRSAGLARVYALWNITSLVNVVVAFICGFVHYKSSTKTHPSVQPWNLGTDESGWWLFPTGLMLLKCIQARLVDWHVANLEIQDRAVYSNDPNIFWQS >Sspon.01G0008810-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:28259244:28264106:-1 gene:Sspon.01G0008810-2B transcript:Sspon.01G0008810-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPAATACATPAAARPLLNTVPARRSPPSAARPPSATPARRAAGCCFCAAASSSTSSPSTWDWTRWSRHFDEVDQAESYASVLQFQLEEAVENEDFAEAAKLKRDIIEATGNDAVAHVMAELKSAIEEQRYQDASRLTRLAGTSLVGWWVGYAKDTDDSIGRIVRISPGVGRYVAKSYSPRQLVTASSGTPLFEIFLVREDDETYTMKVVHLRPTKGTSGASSISSTITESPAKVENESSSESSAISDSITQEANTDTQVKGNEDAEEKEQDVGSSKDSSVEGLKSVLNFFKSRIPEFKVQVINVDVSEETELLQIQLVQDDVKSTPESSLEEPTTEELEQEEDVPEEDMDEESKSTEVKLFISGVVHNKEDAGAKSYVRVPAEINNMEKDSFELHIPGKDSDRDLSETKAAKQKVADMAAKLASELMPSDVAKALWGTTKSSSKINKEVQELLRLTLSKARVKLTENTIFNRIIMDTNSTDPFNGLYVGAFSPYGPEIVQLRRKFGHWNSTDDVEFFEYVEAVKLTGDLSVPAGQITFRAKIGKGKRLENRGAYPEEFGVIASYKGQGRIAQPGFKNPRWVDGELLVLSGKSTIPHLGGAELGFLYSVPEQSFLVLFDRLNLPE >Sspon.04G0031730-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:16450117:16455326:1 gene:Sspon.04G0031730-1C transcript:Sspon.04G0031730-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRYWPMAASAVGFRLVLVLVGGDLHLASRPEVSTPLTSLRRLAEGYWLKQASVSPYSGSMYHGSPLLLSVLGPLTNNSLIFVAVDFLAAMLIRATGHKLQMARNRSLKSLDLTKAVNDTGLAPLAAFGYVMATHLSLYPAILIVPKAGGLHEMFEKTYGFILTVKDLSPNIGVLWYFFAEVFDFFRNFFLVVFNMNIIFMVLPLAIRLKHRPCFLAFVYTAIVAILKSYPSAGDSALYLGLLGLFVNELAEMQFTFFLFFGYIGVSLLSPVMHNLWIWRGTGNANFYFATGLAYTCLQTVLLVESVSSMIKHDRKLRLLVTS >Sspon.01G0034290-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:3236694:3237032:1 gene:Sspon.01G0034290-3D transcript:Sspon.01G0034290-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMARRQLKEWVSAAADTTSWCVALSLVAMLLVVCTLGAGGGGAGEGGGVVVVRGAALSARPCEEIYVVAEGETLHSISDKCGDPFILERNPHVHDPDDVFPGLVIRITPSKP >Sspon.06G0003550-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6D:8524241:8525661:1 gene:Sspon.06G0003550-2D transcript:Sspon.06G0003550-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MERVRRIAGMSKKAKVPSVVQEDKDESVVFFRELYKREKYRDVNLLEPMYSVEFDAIQGEHFVRFRLMTPPAAPLFPSLDTEANSSRMVKEVPIPPRPVKPPASRLPGKPDGATTSARPASHTASSSSKTTCVKGAPAVSKEKKQPRTADQRPSHKVPTNGKQKAAAAAVPGTRTSGAGAPKKHSERCYASQDSGTNTVKGVADQEVPFKAPKNLITTARSIFRRQPPPPVVSAQSKGSGSGVDVKKKKKNGKATRQPCPPAATRGMTMSELLLQDRRNELPPRGTNVAGSGAG >Sspon.08G0003850-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:10967951:10968172:1 gene:Sspon.08G0003850-1A transcript:Sspon.08G0003850-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLWGWAALAAASASASGQKNDDDGRPDTSSSAAVHALLAFVVWLLGVSLVALVHVERRRFPRAARVGFARGTS >Sspon.04G0012820-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:43472352:43478503:1 gene:Sspon.04G0012820-2B transcript:Sspon.04G0012820-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLGDALRQAFLMPRRAYAALRDDEHSLPPRLRRPLAVTAACCVAAAVAAAACVSLGIVFPAEPAERPFCRERRMLEALPAAASSREEEPEAYRYRGGAFYMTTAEAADFYWMVVFVPSAVLFGASFTYLVAGMSVAYAAPRRHPMICVVENNFCASRRGGVRCLAILNVVFAVIFGLMAIILGSTLLALGSTCSVPLFWCYEITAWGLAVLYGGTAFFLRRKAAVVLDEGDYVTHNVGLEMLESKMEVTPEMQRRINDGFKQWMGSSLLSSDDEEEATDDYIEHDAPSPTALGQQRQQEHDLET >Sspon.03G0002790-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3A:6971472:6971813:1 gene:Sspon.03G0002790-1A transcript:Sspon.03G0002790-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVEPPFRPREKLLEVQRYFQNVHKHTYLKGRYDAITSVGIPLALAASSLFLIGRGVYNMSHGIGKKE >Sspon.08G0006880-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8A:21361916:21362099:1 gene:Sspon.08G0006880-1A transcript:Sspon.08G0006880-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding STDIVLLKFLHVREFKMKDAMAMLKHTVLWRKRFDITSLLNADLVLLDPENIIFYHDATMT >Sspon.06G0003970-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:9544583:9544993:-1 gene:Sspon.06G0003970-3D transcript:Sspon.06G0003970-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVETTRPPPLASAPFSMPSSLASASGPAAGGGDEFTADDLAAADQLVQLSVSGGGGEDQCCSSSARSVNNAAENEDDDDEEDAAGRGLVVDRRSRKRYRLVSELYAATRPVKVGAGVGGGGGGSRRRKGRDGVGN >Sspon.03G0036520-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:86375511:86375723:-1 gene:Sspon.03G0036520-1T transcript:Sspon.03G0036520-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding HGGAPDEDVQPRLVLLQHGVAAASEAAHGLLPPPAAAVRRAHGGARDRGRDRLHGRHPSHLVDDDGQASQL >Sspon.05G0034090-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5C:48820625:48821038:1 gene:Sspon.05G0034090-1C transcript:Sspon.05G0034090-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPAASTNAPSPSIPPASTTIPTSGVSLPLVNTTPPAPPPTLASIAEMLASMQLQMTAMNNHLADQGARLSALDGRPAFPQFGLPGFGGVPRLPASFSPVITEVAAGTEDSTSASGAPARPLSLPAPPPPQRPAAAP >Sspon.02G0001790-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:5951097:5955120:1 gene:Sspon.02G0001790-1P transcript:Sspon.02G0001790-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRRRHALAPVVEELISPMNSLDDGCLMHIFSFLSPIPDRYNTALVCHRWRFLACHPRLWLRVERPIRDVMEPGVYPNLEAAVSAARPGDTILIAAGGSHVACNIQIKKPICIIGGGDLPDDTVLTCSRGFDNALEFLSTCKIANLTIRAELGCCLLHRSGKLTIQECLLQCEQNPLDYLSFPIISTAIEYNSFPSLKEQGHGVTVVRTRIEGGAKAVRTNGTLALQRVRAIYSRSSVFFWFEVGEK >Sspon.04G0023830-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:15502679:15504242:1 gene:Sspon.04G0023830-1B transcript:Sspon.04G0023830-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVCDECKLKFLELKAKRSFRFIVFKINENVQQVVVDRLGEPGESYDAFTACLPADECRYAVFDFDFVTDENCQKSKIFFISWAPDTSRVRSKMLYASSKDRFKRELDGIQVELQATDPSEMSMDIIKSRAL >Sspon.04G0001250-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:5010630:5011441:1 gene:Sspon.04G0001250-1P transcript:Sspon.04G0001250-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGYWAASGPLGTFTWASKQPAQQSVAFINPPTHSRSSFGAGEPPPPPPPPPPQQPESLVRSSEHHSSGSAAAAAAMGKVHGSLARAGKVRGQTPKVAKQDKKKKPRGRAYKRMQYNRRFVTAVVGFGKKRGPNSSEK >Sspon.02G0010100-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:26910184:26913748:-1 gene:Sspon.02G0010100-2B transcript:Sspon.02G0010100-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKQSSTRHGALEKLKSFRGIEKQRSFKFLSMEKQQSFKRNKDSPGKRGDTELHLAARAGSVAHVQRILAECDPELVVELAARQNQDGETALYVSAEKGHVEVVCEILKASDVQSAGLKASNSFDAFHIAAKQGHLDVLKELLQAFPSLAMTTNSVNATALDTAATQGHIDIVNLLLETDASLARIARNNGKTVLHSAARMGHVEVVTALLNKDPGIGFRTDKKGQTALHMASKGQNAEILLELLKPDISVIHVEDGKGNRPLHVATRKGNTIMVQTLISVEGIQINAVNRAGETAFAIAEKQGNEELINILREVGGETAKEQVNPPNPAKQLKQTVSDIRHDVQSQIKQTRQTKMQFQKIKKRIQKLHIGGLNNAINSNTVVAVLIATVAFAAIFQLPGNFLEDMSQAPDPDMTLGQALIASDPAFIIFLVFDALALFISLAVVVVQTSLIVVEQKAKKKMVFVINKLMWLACLCISAAFIALTYVVVGRDDEWLAWCTMAIGTVIMVATLGSMCYCIVAHRMEEKSMRKIRRNSTSQSFSISIDSETELMNSEYKKMYAL >Sspon.04G0010420-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:26963556:26974466:-1 gene:Sspon.04G0010420-2B transcript:Sspon.04G0010420-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger transcription factor YY1 [Source:Projected from Arabidopsis thaliana (AT4G06634) UniProtKB/Swiss-Prot;Acc:Q2V3L3] MLCYICPYVAMGYFVLLLSHFCFIGDTIGATFIWCLMLSIAGLLGYELSVRAQCKQMMLSRQQLEHQDGLGSADTKIASNSDIHSAMITLLPLLRLWTITAFISAYIIFLIFIFLPTMCLSTVFFHMPYLVLLAIAANRFVLHDALLSEQQFGNIMLCYICPYVAMSYFVLLLSQFCFTGDTIGATLLWCLMLSIAGLLGYELSVRAQCKQMMLSRQQLEQWDGLGSADTKIASNSDIHSATITLPRVPQDLVVAGGPCTLYKWVREDRLTALKAKDKEQGAESATPEPNSEILFLCSYEGCGKTFFDAGALRKHAHVHGERQYICHYENCGKKFLDSSKLKRHFLIHTGEKNFVCPHEGCGKAFSLDFNLKAHMKTHSADNYHVCQYPECGRRFTQESKLRAHIRQQHEKNPSASTMNHSAIGDPHQPPKPAKVSATPPAPSAERPYVCPYDGCDKAYIHEYKLNLHLKKEHPNHYQDGGPQGAASSKRSISKSSHRSKPDITSRMQPAKIPKHKGGYAAPSPTVNLPEEQQWPRKV >Sspon.02G0039570-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2B:55766131:55767407:1 gene:Sspon.02G0039570-1B transcript:Sspon.02G0039570-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCLRILLLVPLFLCSGISGSLASSPASGCSCGDSTAQDFSSFSSDSADELVLLKDAGISNGVLHLTAEDNSRNRNRSGAALLLAPVMLWCQDPEDVKQNASFKASFTMGIMERRGDGGLAFVLVPSLNSPPLDILGLSNNPSSKVTSSSTSSGFVTFTFDTVKQSYDPDGNRSIISINAGVSVSDDVVARSSLNITIPTNLQAATKNFTVWIEYNNGAGRHDRAVSIYVDVQGQPKPDKAAIDVALNLSDHLPQRAFVGLLAWTEVSSELHSVLSWNLQVNLPGDGPSMDWKVILPAVLGGIAFTGIMNLFVAVFYFKSKYNKLKMELVLSETLRRLPGMPREFKHATMRKATDNFHEARKLGKGGFGAVYKGTLRSAGKDGMAACVDVAVKKFTRNENRCYDDFLAEVDIINRLRHRNIVPLV >Sspon.05G0016010-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:64356296:64357603:1 gene:Sspon.05G0016010-1A transcript:Sspon.05G0016010-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MECRIISETLLRANTALCELAPAVRGLRGAHRRSIHFAPGPSAPDEDDVRDRAPPPRRWYRAAYARLLRHAGSLAGLDHAGGLPRHGATGSLVSCPHAAARAAHFDALAGEFVAAAAAARRGHPPPKMEATSLSSLTQVCDVLGVSAQQRKSVRLTVCPQVTQHHVWRGALEAVLGDLQVDMASLDRPSPATQMAEQIASACTRFLSETATSSSPSWMRPTPFKKPAEPPPPAKKWQEVLDMFTDLARSLETEDRFSGHAQKVEAMKEGLYQIRDVVIERDTSFKEARRQDCLVQRKLSKSLGHSSRCLYTLLLFYLYGTVRDMEVHVGKCISGKGGRNVAVHAAQFLTDGDESTIRSGIKQLSRALGIFQFVWEAANTDCDAANHKGKDVAVKKKNEDAKGVLELQGHLWSFGVEGEDSDIQRRCVPSASDSITM >Sspon.03G0033650-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3B:54351579:54353129:-1 gene:Sspon.03G0033650-1B transcript:Sspon.03G0033650-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RRHTWKGRRFAADLIHRIGEGGEGGAEPEEEERKGETVTDRWAQQGVTGIIFETLPDWWRGRGGRPCPLGGRPWLAARYREALKLPPSPRWSPSQGIPRKGEAPDRDRSPPSSLSSFRRNRHGPCSLRYMVAATPQARLVWSRKTTSPADV >Sspon.05G0004840-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:9290036:9294769:-1 gene:Sspon.05G0004840-2B transcript:Sspon.05G0004840-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRSAARSLRLRQPLEQQRCLLARQFLSSSVPTETLGLLRSSTHVRSYRSQLSGDKFEAVVPFMGESVTDGTLANFLKKPGDRVEADEPIAQIETDKVTIDVASPEAGVIEKLIASEGDTVTPGTKVAIISKSAQPAETHVAPSDEATPKESSPPKVEEKPKVEEKAPKVEPPKMQAPKPTAPSKTSPSEPQLPPKERERRVPMPRLRKRIANRLKDSQNTFAMLTTFNEVDMTNLMKLRSDYKDEFVTKHGVKLGLMSCFVKAAVSALQNQPIVNAVIDGDDIIYRDYVDKGINNLAKKATEGALSIDDMAGGTFTISNGGVYGSLISTPIINPPQSAILGMHSIVQRPVVVNGDILARPMMYLALTYDHRLIDGREAVFFLRRIKDVVEDPRRLLLDI >Sspon.03G0032130-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3B:35187257:35187857:1 gene:Sspon.03G0032130-1B transcript:Sspon.03G0032130-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding TKYTGIGSAIEYAVCALKVEVLVVIGHSCCGGIRALLSLQDGAPDNFHFVEDWVRIGFPAKVKVKKEHASVPFDDQCSILEKEAVNVSLENLKTYPFVKEGLANGTLRLVGGHYNFVSGEFHTWEIKS >Sspon.01G0005340-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1A:14522815:14529378:1 gene:Sspon.01G0005340-1A transcript:Sspon.01G0005340-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVAVVRKSTPGTRGSVVYYVLRSAWRVSPDSSALLARSTDYSQPARRAGAAPTRSRDGGGLRLRARGVQTSDVACVPARSRRAYTGGPATGDYGQLHGLAPAPYTAVPVVACSRAEKEDFRSHPPLFRLVPRARNARIPAALTGACWPLGGAAVCRYAHASSRGGRQPCSLSRLRPSVPAAAGTRGGFWRIRRPGRVRARATCRAGTAHGRAQHPHASCDVLMVFDSSWIDVERGEKGRLALPPARSPFPSASGGLWGLGCVGEGWIFDRRCRLGTYVRGSSLGGDREVETTSPWWNKVLTAFSHLIFTFSGVGDGPVRSETSGSGDSVRDPDLVELDGSGFICVLDPGGFFFFPSLVFIGVCLVKSLGMFVDQFGRSGCPTVSGGLHLLLGVLCSGVLGFMLFVASDLFDTGEVVAVGHLVRPVRSTFTRHVGFPDVLLLVPIQNFEDASSGRWSASGRLLRLPATTSTGRSLQRLVCNFHFFQGCHCKVGRNNRRLMRSNSTRRRNHAPQGTPNASFRGVLTVARTGNRRTARGADAEQNVVVDRRRYEEAVPRGLLVVLPPTAAAAAMVNGHGHNNRHRALVPILVRPRSRGGLLMHAGAAFAVSRLASAFLCYWLCFIVGVFLSLADIAL >Sspon.06G0012810-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:67510427:67510885:-1 gene:Sspon.06G0012810-1A transcript:Sspon.06G0012810-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNLETLVITSMREVVDAPALPTKFLYLKHLTIRLHLTPVSRPYDCCSLVSFLDTSPSLETFVLDVSQPHMLYQSVFEDSQLRHMP >Sspon.07G0001610-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:2563056:2567868:1 gene:Sspon.07G0001610-3C transcript:Sspon.07G0001610-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSAAATAKPIALPPAPTRGGGGCLRACPRPTVPAAAARLRVSASAASSDVPDFFSSNWLETRKRKPFGPRLNFSAEEAVEYQLESLKYNDQPRQDYGIEVMYRFAGFDPFERSTYFGRQFDLGQFERFRRLFHHSSYRVLLGHKERNILSSLWVEENRFKQRVWVQGARPEEEEIFQFTMVQRVGGSWDGYWLTESLINDGDAFSGGIAY >Sspon.07G0005410-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:19708415:19711405:1 gene:Sspon.07G0005410-2B transcript:Sspon.07G0005410-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ADP-ribosylation factor A1E [Source:Projected from Arabidopsis thaliana (AT3G62290) UniProtKB/TrEMBL;Acc:Q9M1P5] MGLSFGKLFSRLFAKKEMRILMVGLDAAGKTTILYKLKLGEIVTTIPTIGFNVETVEYKNISFTVWDVGGQDKIRPLWRHYFQNTQGLIFVVDSNDRERVVEARDELHRMLNEDELRDAVLLVFANKQDLPNAMNAAEITDKLGLHSLRQRHWYIQSTCATSGEGLYEGLDWLSNNIANKS >Sspon.03G0018420-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:43781561:43788825:1 gene:Sspon.03G0018420-3D transcript:Sspon.03G0018420-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:1-deoxy-D-xylulose 5-phosphate reductoisomerase [Source:Projected from Arabidopsis thaliana (AT5G62790) UniProtKB/TrEMBL;Acc:F4K7T6] MRVTCELHHLEYVQRFAMCVTVRILCVALQRLGVDFTFQRKGKRAISLRRTCCSMQQAPPPAWPGRAVAEPGRRSWDGPKPISIVGSTGSIGTQTLDIVAENPDKFRVVALAAGSNVTLLADQVKTFKPKLVAVRNEALVDELKEALADCEEKPEIIPGEQGVIEVARHPDAVTVVTGIVGCAGLKPTVAAIEAGKDIALANKETLIAGGPFVLPLAHKHKVKILPADSEHSAIFQCIQGLSEGALRRIILTASGVDRLKDVKVADALKHPNWNMGKKITVDSATLFNKGLEVIEAHYLFGAEYDDIEIVIHPQSIIHSMVETQDSSVLAQLGWPDMRIPILYTLSWPDRIYCSEVTWPRLDLCKLGSLTFKAPDNVKYPSMDLAYAAGRAGGTMTGVLSAANEKAVELFIDEKISYLDIFKVVELTCDAHRNELVTSPSLEEIIHYDLWARRYAASLQPSSGLSPVPA >Sspon.06G0012330-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6A:65758572:65760953:-1 gene:Sspon.06G0012330-1A transcript:Sspon.06G0012330-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ACIFLLGAGIGWRSKSPAVDQAGALRPHGLAELVEHHKLRCLPVGRRDMQQPAPDRVALLDLSSRQLWGIISPAIGNLTFLQKLNLESNMLVGKVPLTIGRLRHLQFLSLFNNSFHGEIPREICCSNLVRLNLGFNQLEGEIPAGLGLLSQLHILYIHYNNLVGSIPPSLGNFTLLQILDVLENRLVGSIPAPTCLILLGNNRLSGTIPSSLGNTTMIETLDINRNRFQGRVAPEIGKLCPFQVVMGVNQLQAEDEQGWEFFTLFTNCTRLRLIDLPLNRLGGVLPTSIANFSTQIQWLSIGANGISGVIPSGLGSLVNLSNLDMGNNNLHGVIPEDIAKLTNLQTLLLENNQLSGNIPSSFGNLTQLQQFSLSNKRLDGPIPRSLEIPATIGDCASLMYLGLADKSFTGRIPKSLGNLGLSTLNLTRNALSGRIPQELGNIIGLEELYLAHNHLSGMIPKVLESISNLVELDLSFNNLDGEVPTQAVFANMTGFSMIGNDGLFGGIRELELPPCQDMPHKKQHHALLRVVLPIAGTIICISLLLFVLFLLKWKRTPEKTKTDSLIGLADMYPRVSYLELFEATDGFAPTNLVGAGKYGSVYKGRLSLPALRNAAVAVKVFTLQQSGSSRSFLAECEALRRVKHRNLINIITCCSSIDTRGNDFQALVFEFMPNYSLD >Sspon.03G0020370-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:82784346:82788891:1 gene:Sspon.03G0020370-2C transcript:Sspon.03G0020370-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDNYDEEGEPTMDFEEREPSPEPQPYEDLDDDLGDGGDWTRGRSPTPVHGDDGGAGSSSKPRKRLLKKGGGGGMPGDGGLDDFGLEDEDADPAADARKRKGSSALRDLARGGAGKEKKEKKRRREDDGRGRDSGMVRDRRGSGGRDSGGREDQDDGEREIQELWDTIAGGDSEDDQEGVRTVDDDNFIDDTGVDPADRYGSDNERHSPGHYAQAEEGEEDDEIERLFKGGKKKKKNDRPRADIGLIVEQFIAEFEVAAEEDANLNRQSKPAINKLMKLPLLIDVLSKKNLQQEFLDHGVLTLLKNWLEPLPDGSMPNMNIRSAVLKLLTDVIMFLSKSDEETTANRKLAKELVDKWSRPIFNKSTRFEDMRRYDEDERAPYRRPQMKKPSSSSSGMESRDDDLDADFSQRKSGQSSSRQHASRPEASPLDFVIRPQSKIDPEQIRARAKQAVQDQRRLKMNKKLQQLKAPKKKNLQASKLSVEGRGMIKYL >Sspon.07G0028140-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7B:64503143:64503616:1 gene:Sspon.07G0028140-1B transcript:Sspon.07G0028140-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPAGAGAGAWTLLRLRLRLGIASTAARTPSVFSALASCSTAAAATTLAADPFAIANALSAAAASQSMSAGSSLHASAVKLGVSADTFTANHLLIFYSKRGRLASALDVFDETPRRNLVTWTAMVSAAARGGAPDLGLELFSAMVRSGFCPQRVCSG >Sspon.06G0006430-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:21549306:21552068:-1 gene:Sspon.06G0006430-3C transcript:Sspon.06G0006430-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDEDYSWVRRTRFSHSVVRSNSGREQFGAFVEQFNRGAALRQKGAASGFKLHGLNMEPGTTRFSTSSVPTPSSLCAKPKPKPTDMSSSDTTKPGLQHDKAAVSDRPSSQQASARQDDAKAATANGKKGSGSNLSVAVPREQPAVQRAEDDESPGALEFSFHPDEQSLKLQRACSSPAPFPRKKTTPGDDAAALTRSSSLSVLGEAPKTKQRARSPLPSRHVPEVFQEAKSAAKRFSTPPPPRRAASSLDLNGCQPAAPVTTVRAPGKAKHRKEGHHANGRTKVSALEVLEKWSVDRSQLLIGHRFASGAHSRLFHGIYKEQPVAVKFIRQPDDEEDAELAAQLEKQFHTEVTTLPRLNHPNVIKLVGACSSPPVFCVITEFLSGGSLRAFLHKLDHKALPLGKIISISLHIARGMSYIHSQGVVHRDVKPENIIFDEEFCAKIVDFGIACEEEYCDPLANDTGTFRWMAPEMMKHKPYGRKVDVYSFGLILWEMFSGTIPYEELNPFQAAFAVFDKNVRPAIPSSCPAPVRLLIEQCWASHPEKRPDFSQIVQILEKFKSVLDRDGTLDNMPSSICQLETHDHKNWLAHWVQKLKHSQPDLSGPPPPKLL >Sspon.01G0002110-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:5946995:5948581:1 gene:Sspon.01G0002110-3D transcript:Sspon.01G0002110-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGQGQNMQVLSALDGAKTQWYHFTAIVVAGMGFFTDAYDLFCISLVTKLIGRVYYTVDGSPRPGSLPPHVSAAVNGVAFVGTLSGQLFFGWLGDRVGRKSVYGMTLLTMIVCSVASGLSFGHSPACVMATLCFFRFWLGFGIGGDYPLSATIMSEYANKRTRGAFVAAVFAMQGFGILAAGAVAIGVTALFRSRFPAPPYDVDPAASTPAEADLVWRIILMFGAVPAALTFYSRMKMPETARYTALVARNAERAAADMSRVLQVDIGSKEGPEEEATTTGPPPFGLFSREFYHRHGLHLLGTTSTWLLLDIAYYSQNLFQKDIFSAVGWIPAAETMSALDELFHIARAQTLIALCGTVPGYWFTVAFIDVVGRFAIQAVGFLMMAAFMLGLAIPYHQWTRPGNQTGFVVMYALTFFFANSGPNATTFIVPAEIYPARLRATCHGISAASGKVGAIIGSFGFLYLAQSQDPAKTAHGYPPGIGVRNSLLLLAGCSLLGFLLTFLVPEPKGKSLEEMSRETEPGAAEP >Sspon.02G0040200-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:61544466:61546236:1 gene:Sspon.02G0040200-2D transcript:Sspon.02G0040200-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSWARAALLGAVGAAELLVSAVVHLGYAFYIFGTAVIADVSASLVKALMAAGGGGVAKGVAVEGEDEAAAVLDGAVPPIVLVHGIFGFGKGRLGGLSYFAGADRVLVPDLGSLTSVHDRARELFYYLKGGQPGVRFGRTYARGHYPVWDEEHPVHLVGHSAGAQVIRLLQQMLHDGEFEGHADTSERWVLSVTSLSGALNGTTRAYIDGMRADADDKDGWRSLRPVCLLQICRVGSVLYHWLDLPWLKRYYDFGFDHFGMSRRLVGAAGLADLLLGGNGNGKRGPFATGDWILPDLTIQGAARINARVRTFPGTFYFSYASRRTARAPGGGGATVPSGVTTIHPLLFVRVLQMCRWRYPAGADPPYPGYRDEDWEDNDGALNTFSMTHPRIPVEHPSVQVENDEDCHPLRPGIWYYKIVEADHMAFVINRRRGGVQFDLVYDSIFHNCRKHVFRTAPPPTLPDQSQS >Sspon.07G0032030-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7C:42381800:42382316:-1 gene:Sspon.07G0032030-1C transcript:Sspon.07G0032030-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARWTAGPCRPIPIHRAVPRPLLRHGGRLSPARSGIECLRPRKSDDPKAQASASTWEQSAVAASLLAPALASTWRSHLEALRGGSLSLIPS >Sspon.07G0014030-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:56776320:56779450:-1 gene:Sspon.07G0014030-3C transcript:Sspon.07G0014030-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAEAEVMHQAPVPVLEVQYHRCVTTKGVEDVVGMSTAAAAAAAVAAPGEVGVEVEVEVAVEVPLMGLEQPDAAPSVSMDVLQFVPTIRSGSFADIGPRRYMEDEHIRIDDLSAHLGSLLVCPLPSAFYGVFDGHGGPDAAAYMKRNAMRFLFEDSEFPQASQVDEMYLQSVESSVRRAFLQADLALADDLDISRSSGTTALTALVFGRQLLVANTGDCRAVLCRKGVAMEMSRDHRANYVEECERVAASGGYIKDGYLNGVLSVTRALGDWDMKTPDASVSPLIAEPEFRQATLGEDDEFLIMGCDGIWDVMTSQHAVSLVRRGLRQHDDPARCARELVMEAKRLETADNLTVIVVCFVSELGSQQQEQPARPRSCKGLSTEALCNLRSWLETDHR >Sspon.08G0016620-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8B:60815949:60817826:-1 gene:Sspon.08G0016620-2B transcript:Sspon.08G0016620-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNWFHFWRLGPPLFLSSGWITPASSAAALAGEEESKRHRHHHRHSTPLPLYLFIPPSTLALRSTRSARHRRPSEAAEPLAPIDQISSSAGRLIMLPSLRYLAGTAGPSGFGSRTTAEEATAVGRDLCHITAIITGATSGIGAETARVLARRGARLVLPARSLKAAEEARARVRAECPGADVAVLPLDLSSLASVRRFVKRFLDLGLPLNLLVYVPYHHHNNAGKYADRFAVSEDGVEMTFATNYLGHFLLTRLLLDKMAETARDTGVEGRIVNVSSTIHSWFPGDDDALGYLDRVTRRKIPYDPTRAYALSKLANVLHTRALADRLREMGANVTANCVHPGIVRTRLIRDRDGLITNTVFFLASKLLKTIPQAAATTCYVAVHPAVAGVSGKYFADCNEASPSRLGASSEEASRLWTFSENITEKVQKTSSVHAVSTGFRLQAQSSKADRAMALAA >Sspon.03G0025020-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3A:75823193:75824104:-1 gene:Sspon.03G0025020-1A transcript:Sspon.03G0025020-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNLPTNNSVASAASVIDDAVARPRHAYQLRLAHSSVLSRHGKAIEAFPRPGEECVVAYKFSLSALASTVVIVRPESSMTSSPLALVACFARVAARFLRAWREDPGKVTLVLGLATTAVVASGWADGRPPTSKSKVALGGAFVGGVTTTVASFWLAHDPRRRRTTYFILVVVGMACLLVAVALCEFDTEFFMDICSAIL >Sspon.01G0037870-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:21419968:21423795:-1 gene:Sspon.01G0037870-2P transcript:Sspon.01G0037870-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVLVGPPGSGKSTFAEAVVGGSTAGRHWVRVCQDTIGNGKAGTKIQCLKAASDALKEGKSVLIDRCNLEREQRADFVKLGSTLHADVHAVSLDLPAKVCISRAVSRKGHDGNLQGGKAALVVNRMLQKKETPLLTEGFSRIMCCNDDGDIKKAVDLYNGLGPSDSLPSGVFGQKSKRPVQVGIMKFLKKADASSVETSSGPKLALTEIKPVQQNPLPKQENVEAGFACPMEVEKGLNDKMENEEHAKESDYCDVGSRTLAFPSISTADFQFDLDRASDIIVDTAANFLQKFDNIRLVLVDLSEKSRILSLVKQKAAKKSIDSNRFFTYVGDITQLHTRGGLQCSVIGNAANWRLKPGGGGVNAAIYNAAGESLQHATKKCADALRPGTSVVVPLPSTSPLRQREGVTHVIHVLGPNMNPMRPDYLKNDYTRGSKILREAYNSLFENFASIVQSYMGKQNSESGAEKSASGGTSPNDTKMKREDSHGSERMKKHKLFQPIMTAKQQHECTKVNAPNCHDDAMTSSVVPSQTRQVDNKRNDVVTSKTWGSWAQSLYELAMNPEKYKNSDSILETSDEYIVLKDLYPKAKRHILVISRMDGLDSLADVKKEHLPLLRRMHSAGVKWAQKFLEEDAALEFRLGYHSVPSMRQLHLHIISQDFNSASLKNKKHWNSFTTSFFRDSVDVIEEIEQNGSTTTSSDEKVLAMELRCHRCRSAHPNIPKLKSHIAICKSSFPSHLLQKNRLLSSTMHMDRT >Sspon.05G0019890-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:85313268:85315774:1 gene:Sspon.05G0019890-1A transcript:Sspon.05G0019890-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQYSDYSSLGYKWQEGTVHPANTMMTLEDIVMLHLLHLHEQGLSLRVAWRRNCLVAVPRCAESVKTISVWQMKLLVSGKPSRVWKKTSKSQVRLFRSSGQRKSLNQGADSEESEAGS >Sspon.04G0014700-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:58008073:58009636:-1 gene:Sspon.04G0014700-2B transcript:Sspon.04G0014700-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding TLLEAHGRTQSANGPRASQMHVGLFFGVSDATFSFSRCTSAPRELTKPPTHHQCPPLGMAAAPPMTSSRRLAAVFLLAACCLPAAAAAPQGKQYRVGGEGGWRVPPPEGKDKYYDNWASNITFYVDDSLEFVYKNDSVLRVSKAGYYHCNETAGDAAPRDGRTVFLLDAPALRHRGAPRGQRPRRHRRPAGARASPSSWNAKRWTNEEGLQAEKDPAQSRSYIHCGSDSS >Sspon.04G0018610-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:76179579:76181404:-1 gene:Sspon.04G0018610-2D transcript:Sspon.04G0018610-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSFGMDWNQKASVLWDWELPIGANGSENPRMAAAPQGEAKFAGLEATRHESVHSSCGTFSSSSEMGYGSSKSSVSALTDSSPKAKGNSMELNFAPAKAPDKNTDSGKADDAKTSPSSVIAISSGEPVLSLKLGKRTYFEDVCGGQSVKSLPSDTSAVTPPASAKKAKAAPNTQKSYCQVEGCKVDLSSAKEYHRKHRVCEPHSKAPKVVVAGLERRFCQQCSRFHGLSEFDQKKKSCRRRLNDHNARRRKPQPEALSFGSSRLSTMFYSSNTRQQTSLLFGQGPYGQMRSCASSSWDNPVGGFKFEETKAPWLRAAGVDGFHLSSQKVWNNFMPHGVHQDFDGFTALKGTSAKVLDQ >Sspon.02G0044300-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:100568224:100580276:1 gene:Sspon.02G0044300-1B transcript:Sspon.02G0044300-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding PLSPVRVLCRLKRCRRGRRTAPTGGANVRRGVEVVDGKGDLGDLYGAAAGWVEARTSCPHLGTMPPAGADDLARVPPPDSPCSRFTKENNDSNVM >Sspon.01G0001230-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:3602189:3613520:-1 gene:Sspon.01G0001230-2C transcript:Sspon.01G0001230-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dicer-like protein, miRNA biogenesis, Reguration of developmen [Source: Projected from Oryza sativa (Os03g0121800)] MAGGPGAGDAGGEHVAASYWYDACEDGASLLCGIDFAASADFDPGLIPAMDCGADDGFVAEIDRILESINAEAVPAPPPPLPPPAPAPVAPLQPQPQLQEAAATVAHNAVAVADVPQRTPAVEARKEPRRESPAAAANGGGECRDGKRQRLTAGGAGGPRHDWRRRPMLPPPPLPPSRGWEDRRGRRDYDRSRKRDRDGHYGHDHHRRDARGFWERDRGGKMVFRHGMWESETDRHGKRARTQDGCPAAENKAEVDRTGNEKPVTEEKARQYQLEVLEQAKSRNTIAFLETGAGKTLIAVLLIKSMCDKMLKENKKMLAVFLVPKVPLVYQVLVMTAQILLNILRHSIIKMDAIHLLILDECHHAVKKHPYSLVMSEFYHTTPKDKRPAVFGMTASPVNLKGVTSQEDCAIKIRNLESKLDCVVCTIKDRKELEKHVPMPFEVIVHYDKAATLLSFHEQIKQMEAAVEEAALSSSKRTKWQFMGARDAGSRDELRLVYGVSERTESDGAANLIQKLRAINYALGELGQWCAYKVAQSFLTALQNDERANYQVDVKFQESYLKKVVDLLHCQLTEGAAMKSDSEHVDEVIGAAVADGKVTPRVQALIKILLKYQHTEDFRAIIFVERVVTALVLPKVFAELPSLGFIRCASLIGHNNNQEMRSCQMQDTIAKFRDGRVTLLVATSVAEEGLDIRQCNVVIRFDLAKTVLAYIQSRGRARKPGSDYILMLERGNLSHEAFLRNARNSEETLRKEAIERTDLSHLDGTSMLSPVDTSPDSMYQVESTGAVVSLNSAVGLIHFYCSQLPSDRYSILRPEFIMQKHEKPGGSTEYSCKLQLPCNAPFEKLEGPICSSIRLAQQAVCLAACKKLHEMGAFTDMLLPDRGSGEGEKTEQNDEGDPLPGTARHREFFPEGVAEILRGEWILSGRDGYQIYLYSVNCVNIGTSKDPFVTQLSNFALIFGKELDAEVLSTTMDLFVARTIITKASLVFRGPIEITESQLILLKSFHVRLMSIVLDVDVDPSTTPWDPAKAYLFVPVGAEKCMDLLREIDWTLVNSIVNSDAWNNPLQRARPDVYLGTNERTLGGDRREYGFGKLRHGTAFGQKAHPTYGIRGAIAEFDVVKASGLVPDRGRGHFNDYQNQGKLFMADSCWDAKDLAGMVVTAAHSGKRFYVDSICYNMNAENSFPRKEGYLGPLEYSSFADYYKQKYGVELIYKKQPLIRARGVSYCKNLLSPRFEHSEAGNGEFWRILTKHTMYIYHLNCALCILFPDHLFVELRGYPQ >Sspon.01G0043550-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:75647000:75651643:-1 gene:Sspon.01G0043550-1B transcript:Sspon.01G0043550-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLARWPAEGHPRGGVPKLPLQSPELARALRPDLAHSLFPGELGRSGSLFSGKLVGGEGGGWRMQRGGEGDERTSAGREKGGPRGLLLCLLAVGKRSFWVGDPFTVQDLNPGMGRPFRTALAIATLVAALPPPCSWPYPHRARGPRLRGRQGGPIQMMEQEEVHGVEDGHEMMVIKFNLEKKKVKNKTKEIKTKQVASKGEAVEDLEKEKVMKVLDWTLAAG >Sspon.05G0019890-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:82269502:82272219:-1 gene:Sspon.05G0019890-2C transcript:Sspon.05G0019890-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding NNAAITTLSAILDAVSASLGTVVHLVRSVVIESSEGKTKRQDARRHRASRQYHDDPRGYRDAPPPPLARTRPLSPRRLAEELSSRRAEMRRIREDNQRLADEIVSLRQTKPRLEEDLQVSSQAVPKLRAEKELELRELTQRNLKLEAELRALEPLRQDALHLRSEASKLQSLRQELAAKVQGLLKELGHQKSESQKMTAMIAERDALYQELLQARANLEFEKKAKPELTAQVQAMEKDLVAMAQEAEKLRADIAKRNTPSFSSRGTYGAPLSTPGMGLQGIYDGSYPTVGSRYGSGTGTWNSHDPHGYPHLLAPTPVAHADDVC >Sspon.05G0014090-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:5B:52030793:52033027:-1 gene:Sspon.05G0014090-2B transcript:Sspon.05G0014090-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALLLLSLLLMLSSTPLQAQQNITLNSSLTPQGPSTSWLSPSGDFAFGFRPIEGNTSFYLLAVWFNKIGDQTVAWYAKTTDLDPAPVQVSSGSRLQLNSNGALSLQDSTGTEVWNPQIVGASYAAMLDSGNFVLVASDGSNKWESFKNPTDTILPTQVLTSGMSLRSRIIPTDYSNGRFLLDLESTGVSLYTVAVPSGHKYDPYWSMDVNTTNLVFNATGMIYIGNQSEITSWVISSIANYYLRATLDPDGVFRQYMYPKKASNQSNQTWSVVDFKPPNICEVQLTNVGSGICGFNSYCIWNGVNNQSTCMCPEQYSFIDDERKYKGCKPDFQPQSCDLDEAATMQFKLILMSHVDWPLSDYEQYTPITKDQCQKLCLTDCFCALAVFHDEDNTCWKKKMPLSNGKMGDGVQRTVYIKVRQNNSTQSEIVASNKWKKDKKKWIIGSSLFLGSSVLVNILLISIILFGTYCTITIKEVPSMQSSNNIGLPLKAFTYAELEKATGGFQKVIGTGASGIVYKGQLQDDLSTHIAVKKIDKLEHETEKEFTSEVQTIGRTHHKNLVRLLGFCNEGKERLLVYEFMTNGSLNRFLFGDAKLQWNIRAQLALGVARGLLYLHEECSTQIIHCDIKPQNILLDGNFTAKISDFGLAKLLRTNQTQTNTGIRGTRGYVAPEWFKNIGITAKVDVYSFGVILLELVCCRRNVELEAAEEDQKILTDWANDCYRYGRIDFLVEGDDEAISDLKN >Sspon.06G0015670-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:86023058:86026548:1 gene:Sspon.06G0015670-1A transcript:Sspon.06G0015670-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVSTPADLSPAPAPRRRGRPPKNAAPADPASPLPAPVEAEEVIGDYERQRAARIRENMERMQKLGILDLAHTLSQSAAASGRGRWRRKPAEPGSVDAARAKPAPPPPSRRSLRLKDVEPVSYCETEPKKEKHSEDGKTAVIEIGAKEEIYTEEHDKLLGTCNTPWTLFVDGYGKDGKRIYDQVRGQTCHQCRVNLVTYCVILFYIGKGTKTFTTLITVTIMQQKTLGHHTSCCKCQIVQGQFCGDCLYMRYGENVLEVKNNPNWICPVCRGICNCSICRTKKGWFPTGAAYRKVVGLGYKSVAHFLIATHRASSVNSEDSSSAVKKKLLSAKSETSCISDHDGLDANESPEDGETSSKAKLNKATRCQVKKSSDGDKDDSRSESVVTSDCQDDQANKDAGCVTPSSKPMSRKRKYVERSPDCIASRLRSRLNMS >Sspon.04G0003660-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:11232859:11235467:-1 gene:Sspon.04G0003660-1A transcript:Sspon.04G0003660-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAITGASEKAALQALKASDWHLEGAFDVFYSQPQIAVANTRHLEELYNRYKEPDADMIMVEGISQLCNDLQVDPQDIVMLVISWHMKASTMCEFTRQEFIGGLQSIGVDSIEKLREKLPSLRAELKDDQKFHEIYNFAFAWAREKGQKSLALETAIGMWRLLFAERNWPLIDYWCQFLQVRHNKAISRDTWAQLLEFVKTIDPQLTNYDEEGAWPYLIDEFVDYLKENGLVQHRR >Sspon.03G0021950-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:85737504:85740503:-1 gene:Sspon.03G0021950-3C transcript:Sspon.03G0021950-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNTKGVEPVQRAAGLPLTALNHISVVCRCLESSLRFYRDVLGFVPIRRPGSFDFDGAWLFNYGIGVHLLQAEDPASMPPKKTEINPKDNHISFQCESMEAVQRRLKELGIRYVQRRVEEGGIYVDQLFFHDPDGFMVEVCTCDNLPIVPLVPEGHAILGLPQQPAAPACKRPAAAALRQQAPSPQQPLPVPVAVAVPTPAKAGGGGSCVGEVELEACIPACAMRSCPEHACV >Sspon.03G0015530-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:49525540:49528747:-1 gene:Sspon.03G0015530-1A transcript:Sspon.03G0015530-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding QTSGCLPACRSRVLISEPPHLPRRLHSTGYIIRFCVPVGGEPCWSWRWQASTKRAEQPRRGRRASTEAFLRRSPSSTRAMSAPTSTPQTGSSASKAQAATATARRRRSPPPSPSSPSCSGRRTASFPLDGRRARRSSSANGDYSLEHRREPERTEVAPIGL >Sspon.03G0015410-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:73000551:73001857:-1 gene:Sspon.03G0015410-1T transcript:Sspon.03G0015410-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSSGVTITRHACLYETAPAYVTDQPRFLNSAIRGTTRLGPHELLKMLKEIEKDIGRTGGIRYGPRPIDLDILLYGNSQINSETLIVPHERIHERSFVLAPLVDLLGASGDDGIETSWHSLSKCSGGFFELWNKLGGESIIGTESIKRVLPVGDRLLDWCERTLVMGVLNLTPDSFSDGGKFQQVEAAISQAKLLISEGADIIDIGAQSTRPFAKRLSPNEELERLVPVLDEIIKIPEMEGKLLSVDTFYAEVASEAVKRGAHMINDVSGGQLDPRILKVAAELGVPYVAMHMRGDPSTMQSEQNLQYDDVCKEVALELYTQVREAELSGIPLWRLVLDPGIGFSKKSGHNLEVIMGLESIRREMVERDVATVAAVTAGILNGANIVRVHNAGYGADAAKVCDAL >Sspon.05G0020300-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:87493964:87503888:-1 gene:Sspon.05G0020300-2B transcript:Sspon.05G0020300-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTHGLEPNCFTYALLLDYLCKNGRCREGRIFFDSMLKKGIKPDVTIYGIMLHGYATKGALPEMHRFLDLMLGNGISPNHHIFNIFFSAYAKCGDIDKAMDIFNKMRQQGLNPDVVSYGALIDALCKFGRVDYAELKFNQMINEGVTPNIVVFSSLVYGLCTVDKWEKAEELFFEMLNQGIRPNVVFFNTLICNLCNVRRVVEGRRLIDLMEHLGVRPDAFSYTPLIYGYCLAGRIDEAKKVFDGMVSIGMSPNEVTYNTLLHGYCKARRIDDAYGLFRKMLSNGITAGVVTYSTILHGLFLNGRFSEAMELYLNMMKSGKEWNICTYNIILNGLCKNNCVDEAFKMFQSLCSKGLQLHIITFNIMIGALLRVGRKEDAMDLFATISANGLVPDVVTYHLIAENLIEEGSLEEFDSLFSAMEKNGTAPDSRMLNALIRRLLQRGDLSRAGAYLSKLDEKSFSLEASTTSMLISLFSRVEYQHHAKYLPEKYRFFNEANSSLERVIAGRARSGSLGLDDALKLFDELLSHARPASVDAFNHLLAAVSRASGRRSSTSDSGLVVSLFNRMIRECSPKVTPDLCTYSVLIGCFCRMGCLEHGFAAFGLIFKTGWIVNDIVINQLLKGLCDRNMVGEAMDVLLQRMPELGCTPDVVSYNTLLKGFCNEKRAQEALELLRMMANDQSRSCPPDVVAYSTVINGFFREGQVDRAFDLFLEMMDRGIQPDVVTYTTVIDGLCKAQVFDRAEGVFQQMIDKGVKPDNETYNCLIHGYLSIGQWKEVVRLLKEMSACGLYPDCCTYALLLDFLCKNGRCREARFFFDSMIRKGIKPNLTVYGIMLHGYAAKGALSEMHSFLDLMLGNGISPNHHIFNIFFSAYAKCGDIDKAMDIFNKMRQQGLNPDVVSYGALIDALCKLGRVDDAEVKFNQMINEGVTPNIVVFSSLVYGLCTVDKWERAEELFYEMLDQGIRPNTVFFSILICNLCNVGRVMLAQRLIDLMEHVGVRPDAFSYTPLISAKELYLNMINSGTKWNFRTYNIILNGLCKNNCVDEAFKIFQSLCSKDLQLDIITYTIMIGALLKGGRKEDAMDLFAAIPANGLVPNVVTYRLVAETLIEEGSLEEFDSLFSAMENSGCVPNSQMLNSLVRRLLHRGDISRAGAYLYKLDEKNFSLEASTTSVLISLFSREEYQHKAKSLPERYRFVNGLFLRCCFDAEF >Sspon.03G0005620-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:31442318:31445701:1 gene:Sspon.03G0005620-3C transcript:Sspon.03G0005620-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tunicamycin induced protein [Source:Projected from Arabidopsis thaliana (AT5G64510) UniProtKB/TrEMBL;Acc:Q84JN2] MPARARWRLLALPLAFFLVAGSAHGLVKPEAAAAPKPPVVPKAISDLRDAIVKGLGFQAEGLKVSGFDVRDALVGHAVAYEFDIEVGRKVLPVRLLEDVSRWDFVDLPIFRSQANADDTALTEIRRGGRGSVVEPTLPPFQLAGPMELWIQDGDDVRLALPHDVDAGTLKKVVLSDGAVVTVKGARAVSLRLPLELPLPLNRTTYKGRLSSLLSIAQALRGAARSNQKPLLSLRIEGPTSLSSTPSMSPKDKLKLKRLGPGQVELSSRAGAIPAIADDEDEPHNSGLWPLLSLNGSDGSLQGFEELLASVLGKKAGKKGTFKLLKARASAQTYVKMAFAVEKKLAEGEVNWSNIPEWKTKPKRLRAHYEVLARVEEGQAIPERIAQVQPFQADEAMSESVLTGNVTRSKMEIVHPPPVYFTL >Sspon.08G0008190-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:29725501:29729516:-1 gene:Sspon.08G0008190-1A transcript:Sspon.08G0008190-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWPPTPAQQRKAVVGAGSALKLVLFVILAGLALRLLVGPAAYLLPPTAAPDGAARLFPAPGRERTGGGGIPSSVEPLTALDKKEGSPKPEEPLTALDKKEGSPKPEGPAYTNASCHFIESPQNCMTNGRPDTDYLSWRWKPYGCEVPPFDGKKFLDGMKGKHWALIGDSILRNHVQSLLCLLSKVEDATEVYHDDTFRSRRWHFPSHNFTVSLIWAPFLVKAKIFEDDDGVSTADLQLHLDVLEKNWTSQWESFDYVVISTGQWFFKTAVYWENGVAIGCHSCQNKTLKERPPEYSFRRALKAAFQFITSSPHKPVVFYRTWAPSHFENGEWFSGGTCNRTAPFKPGEAGDREMDNKMWRIEREEFHNAVANKGPVDGDRLKLLDTFELSLLRPDGHSGPYRAYHPFDKGMTAKVQNDCLHWCLPGPIDSWNDVLMKMLAKD >Sspon.04G0032990-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:41144598:41145149:1 gene:Sspon.04G0032990-1C transcript:Sspon.04G0032990-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRALLMVVALAAVHGLTLTGVDATVAATCLAASNSDRRVNYDFCVSELNKHRDSPGADTPGLAKVAANVGVNSAGGAVNDIEALLAAKQPPPDARTSAALRLCEQLYYDMELAFAGAYDEINALNYTAGKQMAADADSLVRRCTGGFAEAGLVPPEPVARRSAYAVQIAIVCTAITNLIISP >Sspon.03G0030160-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:27409992:27410390:-1 gene:Sspon.03G0030160-2C transcript:Sspon.03G0030160-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AALPPRPPHPPRHALAPPRANPSLLLPRARRRGGVGVGGGVRRPLRGRRRGRGRRRPAVPGAVLPPGPGLRRRRGHVLVRLPRGDLRGGPRGAARLLRGRRRLRARLRPGAAARPHRLALRARRRRPPRLPLM >Sspon.05G0030820-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:1463075:1472650:1 gene:Sspon.05G0030820-1C transcript:Sspon.05G0030820-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding METVDNALVEGAILWLAQTILANLLLDKLDAWLRHVELADETERLMSEVERVEMVVAAVKGRANGNRPLTRLLARLKELMYDADDMVDELDYYRLQHQVQGGMHIYALVQIDERDSMFASANEQEGTDGDGDGAEQVDGSRANPDIPSSSSIGKRRSKAWAHFEVIAHGIAKCKYCGTELHCETIKGTSVLHNHLKSNNCRTKRAAIEQTPILSSAVDGAQNGATSSTHASDSRKRKRIDEVSAVAPNTPANSHPWNKAKFCDRIQQTTHELHQVISEVQKLYRSAPVASSNFCRDTIADPCRRTSSLIQCKMYGRDAEKNSILKLMTEGTSGGVLIVPILGIGGIGKTALAQSVYDDPTVKGQFVHRIWIWVSNNFDEVRLTMEMLDFVSQGMHAGISSLAKLQEILSSHVTSNKTLLILDDVWDDIDDCRWNKLLAPFRSDNMEGNVILVTTRKLSVAKRIGTVKQIELCGMQSDDFWLLFKTCSFGDETYQEQPSLNIIGQQIAEKLHHNPLAAETAGMLLRQHLTIDHWSNILKNEKWKSLQLNGGIMASLKLSYDNLPENLQQCFRYCCLFPKGKPLDGVQLVRMWISQGYVHGNHTGKKLEDMGKAYLADLLNSGFFAKHLHDSNWFVMPNLMYDLARELSGADFATIDDKECTKILPTTRHLSIVTGSDYETFSDENLKKNLLQITSVRRLRSLVIIGDYDPSFFSFFLKMFRKVESLRLLKICATFADFDCFINNLVSCTHIRYIHFSGNEDEGGVLPQAFTNFFHLQVLDLGLDASITLPSGVTNLVSLQHLVAAKEIHSTIACIGKMTALQELPVFKVRNASESDIRQLQSMDQLVRLNIYQLKNVKSKQEAGEARLTDKGNLEELCLSWESWEFDSESDNSESNNSESLDSDNSNLGISRETTSEVLEGLKPHQNIKHLQIIGYSGSVFPSWLSIELTSLQLLHLENCNELSVLPPFEKLPFLRKLKLINIYHVPEIVIPCLEELELNELPSLKKCIATCRKELEFYLQVLIIKNCGPPFAEAEQKQWLSGLRKLMLHGCPHLMLSHPLPPAPATQLSIRGLSTHPAIEKDSGDLSVKSSNELTVLDAKILAFQYLKELTSLYVADCPNLMYFSFEGFRELLNLKSLKIISCGTLVPSCNMPTNAVSEDWKGTNCPALPRLRRLQIKSCGAIAGKWLTEMLPHMQSLEELDIEDCPQIKSISIHRSRQDSASVSLASTLRCEAVVPTRVTQDEFLFHIPLNVLSTLKILCIRRLPTAELSGSTDGFGGFTSLTKLHITDCPMLLSSADERFPLFIRKKRYSLPPFLNELLVGGQYLQSLCLHSCMALDMLNINNCQQLAELEGLQELSSLRVLSIELHPGRVEEGSHRTFQLPPSIEKLNIRYLRDETVPYLLGYLPCLSKLEVLDSPGLVSFQLGFLTALEELKIQRCGSLESLQGFLPELKAFICDSQKLSSLQLGSPTALKHWTAYSCEWLASLESLQSLVNLAELKILCHSIPPPLPRQAEGFDIFPRLETLHIHDLSALTISFCKHLTSLRHLYVREDLYGAHFTDEQEKALQLLTSLQSLRFSACVKLVRLPDVLPSLHSLKRLDIFWCRGMSRLPDKGLPQSLEELVIANCSAELNEECRMLATEKLRVHINGMGVEGTLVDAAVGWLVQSILGSLLTDKLEAWAREVGLADDARRLEREMRGVEAVLAAARGRVLFSRNQALAQSMEELRNLLYDAENVLDELDYYRLQREIQQVWSARDSFTSWASKAIDFVMIHTGRKRKRGEEWPAEDIIMPLKNKRDMSRRMNEIASQLCVVGDSVQKALHLEMSYCIAAPREGAVSTRPLTTSMPVEQKVYGRDTDRDKIVELLINGKSSDYMFFQ >Sspon.02G0037200-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:35855624:35856636:1 gene:Sspon.02G0037200-2C transcript:Sspon.02G0037200-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SPMTHHPLYHPSPAFFISATDVVLRDILFHAASATSDGGSGGLAAYHRAGPRREVTFDPARVRAAIVTCGGLCPGTNTVVRELVVGLWELYGVRAIFGVRNGYRGFYSEEEEPLPLEPATVHHWHKVGGTVLGTSRGGFDLARIVDAIDRRGFNQVYAIGGDGTMRGLVKIFEEVRRRGLPVAVAGVPKTVDNDVGIIDRSFGFHTAVEAAQRAIAAAHVEAESAANPEEDFYLRGAGGLFEFLYRHLREKGHAVVVVAEGAGQRLIPRPADQQQHDESVNPALLDVGAWLKAELRAWWAEEHAGEL >Sspon.05G0019370-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:77883487:77885934:-1 gene:Sspon.05G0019370-3D transcript:Sspon.05G0019370-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RQVGNLSISQLCQPARIITAVNGQLPGPTLEAREGDMVVVHLVNESPYNMTITRHGVFQRGTPWADGPAMVTQCPVKPGANYTYRFNVTDQEGTLWWHAHISFLRATVYGALVIRPRDGAGAYPFSPKPHREETVILGEWWNANVYDRQQEVFLTGDTRKMPPADAYTINGKPGDFYNCSAGAETYKFRVQSNETYLLRIINAALNTPMFFKVANHTFTVVGADAAYTTPYETDVVMIAPGQTVDALMVAGAAVGRYYMAASPYDSAIPPLPSDAFSMTNGTAIVEYAGSAGEEKPQLPPRTEYNDTDTAFRFLSNLTALVLPGKPTVPLSVDTRMFVTVGLGNGDCQPKQTLCNTTGTTARIFSASMNNASFLLPDAVSMLQAHYDGVDGVYTSDFPDRPPVIFDYTADASDNDTLKYTTKSTKVRTLRYNQTVEMVLQNTALIAKESHPMHLHGFNFFVLAQGFGNYDEAAVAPRFNLVNPQERNTVAVPTGGWAVIRFVANIAGMWFMHCHFDAHLDLGLAMVFEVQDGPTAETSVPPPPLDLPQ >Sspon.06G0004210-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:11735032:11739226:1 gene:Sspon.06G0004210-3C transcript:Sspon.06G0004210-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKLQSEVLKDAISQIVGDAKEKNRKFTETVELQIGLKNYDPQKDKRFSGSVKLPHIPRPKMKVCMLGDAQHVEEAEKMGLDYMDVEALKKMNKNKKLVKKLAKKYHAFLASEAIIKQIPRLLGPGKFPTLVTHQESLESKVNETKATVKFQLKKVLCMGVAVGNLSMEEKQIQQNIQMSVNFLVSLLKKNWQNVRCLYVKSTMGKPYRVF >Sspon.02G0016080-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:44132762:44134774:1 gene:Sspon.02G0016080-1A transcript:Sspon.02G0016080-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKGRTGQRVRLYVRGTILGYKRSKSNQYETTSLVQIEGVNTKEDVAWYAGKRMAYIYKAKTKSSETRYRCIWGKVTRPHGNSGVVRAKFKSNLPPESMGRKVRVFMYPSSI >Sspon.06G0027390-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:84991228:84996571:-1 gene:Sspon.06G0027390-1B transcript:Sspon.06G0027390-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADDPTTGGEGGDRVEDIVHAEAEETQPRDDGSSKSKDRDKDREKDKDRDRRRDRDRDRGRDRDRDRDRDRDRDRDRDKDRQSRHHRERREPRDRPDDHRGRDSERRRERDRDGHRRHRSRSRSRGKDRRSRSRSRSKRVSGFDAPPPQALGSTFPVIPTPSPLPGSSLPNIGGMFPNMLPFGVAGQFNPLVIQPQAMTQQDLTVTDIACAALNGIKMGDKTLTVRRANQGASQPRPEQESILLQAQQQVQLQKLVYQVGALPTKVVCLTQVFLEYADIDGAAKAKTALHGRKFGGNPVVAVCYAEDKFANGEYDG >Sspon.03G0041800-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3C:46647159:46647739:1 gene:Sspon.03G0041800-1C transcript:Sspon.03G0041800-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNGPCRAGPARQRAVPCRPASCRHGPPCLTGRACGVLVPGLRPKAWPVGRRADPRARRALRAAGGPRAVEWEEVRVWSDGEGKGRAAPSGRRVVPNIHMIINLTGRLLDRAVPMPCHVPGWRPRHGLVPRAGPCRARAGPKRRATGRATGPRVAWPSIDLPVRLSTRVDM >Sspon.01G0014490-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:38542567:38544495:1 gene:Sspon.01G0014490-3D transcript:Sspon.01G0014490-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MERLLWASTQALGCGGKRGKGDDAAAAGLLVDSMGTVGQLGEWLCRAALQPPPAPRVCGAPGGPPVTARRVRLRDGRHLAYEESGVPRESARYRIVFSHGFSGSRLDSLRASQEVAEELGVYMVAFDRAGYGESDPNPHRSVRSAALDMEELADALGLGEKFYAVGVSLGSHAVWGALRHIPHRLAGRRHAGARGQLLVAAAPRGGRGGGARGDQWALRVSHHAPGLLHWWMAQQRWLPTSTVVDNTTHLPNKRDAEVRAALAADGTLRRKREAATQQGIHESYYRDMKVMFGKWEFDPMALPEPPCPVHLWQGDEDGLVPVVLQRHVARSLPWVNYHELPGTGHFLSAVPGLGDTVLRTLFGSSAAAKPW >Sspon.01G0007840-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:19071557:19073747:1 gene:Sspon.01G0007840-2D transcript:Sspon.01G0007840-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEICCEVAAARSDRKGKCVGADAGSRAARRRRMEIRRLRVLAEEASAKRPRLEADVEDAVRGPAPRYGVTSVCGRRRDMEDAVTARLGFINGHHFFGVFDGHGCSHVATSCGQRMHQIVAEEATAAAGSSVSDDAARWRDVMEKSYSRMDAEAVGSRETAGPAPTCRCEMQLPKCDHVGSTAVVAVVGPRHLVVANCGDSRAVLCSGGATIPLSDDHKPDRPDELERIHAAGGRVIYWDGARVFGMLAMSRAIGDSYLKPFVISDPEVRVVERKDGEDEFLILASDGLWDVVSNEVACKVVRTCLRNRAPHPHGGERSSPTSNLSPRHSSGSGSSSGDEEGAGPSDGAGSESDDGESGEDRACAEAAILLTKLALARQSADNVSVVVVNLRRRPRS >Sspon.01G0044690-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:79531725:79535409:1 gene:Sspon.01G0044690-3D transcript:Sspon.01G0044690-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLTAERGRDGDRPEVVVVDIESGSLDGASASSIVDRQDSLFREAVTGHHHRAAGGAGHADHDSWGTTLRLAFQCVGILYGDVGTSPLYVYSTTFGHGGGVGHPDDVLGVLSLIIYSFMLFTVIKIVVVALHANDDGDGGTFALYSLISRYAKVSLLPNHQAEDELVSSYNSHEKPSSATLRRAHWLKHMLETSKSAKISLFLLTILAIAMVISDAVLTPPISVLSAVSGLKEKVPDLTTDQIVWITVAILVVLFAIQRFGTDKVGYSFAPIILLWLLLIGGVGLYNLIKYDVGVLRSFNPKYIIDYFRRNKKEGWVSLGDILLVFTGTEALFANLGYFSIRSIQLSFSLGLLPSVLLTYIGQAAYLRKHPEHFADTFFRSTPSALFWPTFILAIAASIIGSQAMISCAFATVSHLQTLSCFPRVRILHTSKRFHGQLYVPEVNLLLCIAACVVTVSFKTTTIIGKAHEICVILVMLITTLLMTIVMLLVWKINIWWIALFFIVFVPIESIYLSSVLYKFTHGPYVPVVMSAVLMVVMIVWHYVHVKRYKYELKHTLSPAKAEKLLEKHDLKRIPGVALFYTELVQGIPPIFPHLIEKVPAIHSVLIFVSIKHLHVPHVDAAERFLFRQVEPKEYKVFRCVARYGYRDSIGEEAEDFVVALVESLQYYIRDVNLYSVDEMQNVSYPISRDQSLSRDKPSGRHAIYAEEMITPINPSPSSQYLKLMMVVNEQASKMNIEELAKIEEEQKVIQREAEKGVVYILGEAEVVARPQSSLLKKIVVNYIYSFLRKNFMQGEKMLSIPHGKLLKVGISYEI >Sspon.02G0016510-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:40376748:40390429:1 gene:Sspon.02G0016510-4D transcript:Sspon.02G0016510-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAKEAGPSSRAVLVTGGAGYIGSHAVLQLLTAGFRVVVLDSLANSSELVIRRLRSLAGADNAKNLAFHKFFAINTSSSLLLPDAAASLLLAAPRSDHATSSVAASLPYSGLTTKPTDNAARLSNNEHAPSKEDLDIRNMTNRLKTMFDELFACPDSSPPIADPPQPEIPTPEITYKGVGAAVFLKPYQRSSKATTRGRAARRRGKRCHTSNGGPRLARLISVTKMDWKRFDAVIHFAGLKAVGESVQKPLLYYDHNVVGTINLLEVMAAHGCKKLVFSSSAAVYGSPKNSPCTEDFPLTPHNPYGRTKLMAEEICRDIYHSDPEWNIILLRYFNPVGAHPSGYLGEDPCGTPNNLMPFVQQIAVGRRPSLTIFGNNYATKDGTGVRDYIHVVDLAEGHLFALRKLFESSSNTGCEAYNLGTGKGTSVLEIVNAFEKASGKKIPLVMGQRRPGDAEILFSSPAKAERELHWKAKYGITEMCRDLWNWASKSPYGYAASESPKLNDGFR >Sspon.01G0023670-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:83892518:83899865:1 gene:Sspon.01G0023670-3C transcript:Sspon.01G0023670-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSAPGRPAGLSAAKKPRLFAGSFKKGKPRFREQEAGRSLLNSQALNWSWKCGDFLIGDIRKKDIVALQTINMGWLSKIFKGSVNRVSRGHYNGNSHEGYSTQHTKSYGAHGNEDEDMDHAIALSLSEEDQRKGKAIDAGHHLDEDEQLARALQENTGHDLDEDEQLARALQESMNDGPPRRDIPIEDVHSESAPASSLPSNIFPTSGLSLLFMKTMHTTGPATRSVSILNVISFVSTLHFDLINDLENLVIPTNKNGLIEYRAHPFWMQKYCPSHENDGTPRCCSCERMEPKDSQYITLDDGRRLCLECLHTAIMETNECQPLYIDIQEFYEGMNMKVEQQVPLLLVERQALNEAMEAEKSVHHLPETRGLCLSEEQIVRTILKRPIIGPGNRIIDMITGPYKLIRRCEVTAILILYGLPRLLTGSILAHEMMHAYLRLKGYRTLSPEVEEGICQVLAHLWLESEITSGSGTMATTSDASSSSSSTSSSSKKGAKTEFEKRLGEFFKYQIETDSSVAYGDGFRAGMRAVERYGLRSTLDHIKMTGTHMKNASIKSGLKRLILILI >Sspon.02G0048160-2D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2D:33963809:33964030:1 gene:Sspon.02G0048160-2D transcript:Sspon.02G0048160-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAFQAHVVTRPHDREARRVLPSCLAAALASSHLRSWWPPFIVGKTLGGCQPANQPSRPHDLVASIKSHHDLIQ >Sspon.02G0039560-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:66857788:66879087:1 gene:Sspon.02G0039560-1T transcript:Sspon.02G0039560-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGGFRGRCRVGAVLLFSAWVALAALSRLLRPVPNGCVMTYMYPTYIPIAATPRNISSDRYGLFLYHEGWKQIDFAKHIRGLRGVPVLFIPGNGGSYKQVRSLAAESFRAYQNGPLEPTFYREASSTLPADELKDFSIPSWYGRMLDWFAVDLEGEHSAMDGRILEEHTEYVVYAIHRILYQYKESHLERSKGGAQSSHDLPSSVILVGHSMGGFVARAAVVHPNLRKSAVETILTLSSPHQYPPIALQPSLGHFFSHVNEEWRKGYGTGVSHASNSKLSNVVVVSVSGGIHDYQVKVGIIGWNCAFYSRLYGRKLQYEECLVIHGASIYPMVWNYVSTANSLNALQYAVAHTLLSIIDPVDRHPFSSTQKRVFVFTKMLQSAVPQSLSSMAHVPASLSQTLPANENQDAGELHKKGSLSCPPSTQWTSDGLEKDLYIQSNSVTVLAMDGRRRWLDIKKLGSNGRGHFVFVTNLAPCSGVRIHLWPEKHHSPVQNELPASKKIVEVTSKMVQIPAGPAPKQVEPGSQTEQPPPSAFLLLSPEEMSGFGFMTISVAPRPTISGRPPPAASMAVGQFFNPEEGASALSIGTIIRSSFAPEEIFLLEDHPLALNLSFSASLGLLPVTLSLKTAGCGIKNAGDQMEAERNNLCKLRCFPPVALAWDSVSGLHIIPNIYSETIVVDSSPAFWDSPEGTDKTTVLILGIGGLYYIYKGEGGRERLQLWPKLDAEEMG >Sspon.04G0021310-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:80184651:80192638:-1 gene:Sspon.04G0021310-4D transcript:Sspon.04G0021310-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAYVGAAPAAGVFAGYGTAATRPFILRLRRRRRVGGGGGGSPRSSAGRVRLVRAPPPRAAGDAGDLPPLDKWDIMELDFGRFLGEDPKLTLAKILLKKSDPDASSLDVEKLIATKKDKLDDILRECMEANKKDQGFNTSESGSPLNATRPTISKPTEAKSALNISRPVMGKPIQDGPPLTLLRPAGSKPKQDEPSLAPLRPVGSKANEDTPSLILSRPIGSKPKVRGTPVQDSWPSKESLAAATEFSEVGSISRTNEVDVTLRKPAVYQSEDDDLKSKLKIKPNINLKMRKDMNEDLTNISLLQKPDAAKDTSNLEQDNASASPATVSATEDNSELEPESNGLDEKLVTENVHESSGLDDDSTAGLQPSGQTFIQETSTSAGPVDNQSATSNTFSMQAFLQGKPKGENQSAEILPSEVDEKMNATDNKNYVDDGGNVLPSKLEDITESDWTRLEHYASTGEKVEVELINCSAKGFVVSLDSLIGFLPYRNLATKWKFLAFETWLRRKGGDPSLYKQSLGLVDSFEVNDRNIEPESSPVFKIAGEDQESLSSKPKFEDLIRAYNQEKSKFLSSFIGQRLRVSVVLADRNSKRIFFSMKPKESEEFIQKKKNLMARLNVGDIVQCTIKRFVYFGIFVEVEGVPALIQQWEVSWDDTLDPAVSYKIGQVVDAKVIQLDYNNSRIFLSLKDVKPNPSIGALEAVIGEDLSLGGALEPVQADFEWPEVDALMEELRKIEGVRDVYKGRFFQSPGLAPTFQVYMAPVVGPKYKLLARYGNNVQEVMVETTLGKEELKEAILMCTNRMQIAEQQAANPQSRAPPVHGLKPSSQRSIGSDGRAAPSLPPPPTPPVDLAPGHVQQLGAVDLAELAAEDRAGDEAAPPLADEGGVHEARGVVGREAEEELLDELVHERRRRRHLDWCGGWWGTSDLSWALPLHMHIWTQMGL >Sspon.01G0022680-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1A:82618292:82619274:1 gene:Sspon.01G0022680-1A transcript:Sspon.01G0022680-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAIGVVHHIFLHFLSYHSLHRQIARLLDCTTWTGAGPGLMDAAIQGALEADKPVGGLKIAKEAGEWTSSNFHPYLPPETYLTCRFFSARKHGLVDAAVRSSPTDRTAVVALPGGVGTLDELFEIMALIQLERIGSALPVPFLLLNYDSYYSKLLDFLNDCQEWGTVAPGKWHHFGRRVMSKLK >Sspon.01G0021840-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:80355173:80358212:1 gene:Sspon.01G0021840-1A transcript:Sspon.01G0021840-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RLQNGGHRHRHGHSNYLPLRPDPIRALPIPAPLPLPPPPPSTPPPLRCLPPPPPPCPQGLLLGRLRRRGGRRRGGIRRRWGEDDDEEDEKPRPEPVSSSEFQFAAPPEGYVEPAAFDELPPESPEDVAAAYESLYGPAFSGESLLGNNVYEVKVVDPMDMDREQRPNDDFTERVVQVNRVTKVVKGGRQLSYRAIVVVGDMKGHVGVGVGKAKEVTEAITKAAMNGRRNLVTVPLTKYSTFNASRADADYGAARVMLRPACPGSGVIAGGAVRVVLEMAGVENALGKQLRSKNPLNNARATIKATQMMKQFKDVAEERGVPMEE >Sspon.08G0022080-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:45481581:45488689:-1 gene:Sspon.08G0022080-1B transcript:Sspon.08G0022080-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MWVFYMISLPLTVGMVAATLRYFAGPAVPAHVLAVVGYAWLCSLSFVVLVPTDIWTAISGNGNQKSDVGFFWSWSYWSTFILAWSIVPTLQGYEDSGDFTFRERLKTSIHKNMTYYAIVGAIGLFGLMLIIIMRHDWVRGILGFSMACSNTFGLVTGAFLLGFGLSEIPRNVWRSADWARRLQFLSHRVSKMATKLDHAHQEYCNAIFVVQATSNQMSKRDPLRPCMDIINNMLTQMLQDDPSFKPSGGKLGEHDMDYDTDNKTMATLRRQLRKAHEEYYRCKSDYMTCVVEALELEDTIRNYEQRDASGWKYLSSFRENRSGTLGSFLDSTEFIWRCLLRKQLMRVLAVILGCVSASILLAEATLLPSDVDLSLFSNLINAVGKQAVLVQVAAFVPLMYMCICTYYSLIRIGMMLLYSLTPGHTSSVSLLMICSMVARYAPPISYNFLNLIHLGGNAKTTFEKRMGNIDDVVPFFGRTFNKIYPLIMVVYTLLVAGNFFEHLIDFFGSLKRFKCWTDQEEDMDGLDPSGVFILQKERNSMEQGHKASEHATPLARNFSCLGKDVESGNIPQGEDTVETKPERTTQPKHGGDVAHRYSSVREQCSSPKPVEQVEKEISPASIKLDAGNSEEPAPDSPADTASAWASMKMGLQNFRASMGSKKFFRLSLSPRARTTESATETLDEIFRKLKRHSSNADADHLDDDGLP >Sspon.01G0013250-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:36001869:36002240:1 gene:Sspon.01G0013250-2C transcript:Sspon.01G0013250-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGQRRRSGAADVASWCALLALVMVVGSLTGSGDEEEEQYWYNRGGSVVGRGARLAAARPCDELYVVAEGETLHSISARCGDPYILEQNPHVHDPDDVFPGLVIKITPRDAGNSNSGDGANK >Sspon.05G0020010-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:85610378:85615265:-1 gene:Sspon.05G0020010-1A transcript:Sspon.05G0020010-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAMGIVVRACAPPAPAAAAPSGSRDAALAQRRSKPSRTGRVLVLGGTGRVGGSTAAALSKLRPDLGILVGGRNREKGESVAAKLGGQSEFVQVDTRDAGMLEQALQGVDLVVHTAGPFQRAEECTVLQAAISTKTPYIDVCDDTDYSWRAKGFHEQAKAAGVPAITTAGIYPGVSNGEEIKLKPYSGALNIDFGKGVRKKNVYLLNLPEVKSAFKILGVPTVSARFGTAPFFWNWGMQAFANFLPVEFLRDRNKVQKLVQSVDPLVRAVDGIAGERVSMRVDLDCSNGRNTIGLFTHKKLSVSVGFATAAFALAVLEGNTQPGVWFPEEPEGIPMEARKLLLERASQGTSNFVMNKPSWMVETDPKEVGLGIYV >Sspon.02G0030100-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:109364995:109373099:-1 gene:Sspon.02G0030100-1A transcript:Sspon.02G0030100-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCPLFMVTSCLRGLCSCFFDDKPNQEEPIMAAATASDDDQTSSIITHRTVELSTGVRLHLAEAGPSQSSAPTVLLLHGFPELWYTWRHQMRALAAAGYRAVAPDLRGYGDSDAPAVADPGQYTALHVVGDLVVLIDDVLGEKQVFVAAHDWGALTAWSLCLFRPDRVRALVALSVAYTPRSAARKPVDGLRALFGDDYYICRIQEPGETEAEFTRLGTELVLKKFFSYRSPGPLFFPKSGWGSPDDEVPLPSWVTEEDLKYYTSKTWELTSPWTLAKINVPVKFIIGDLDLTYHNPGIQDFIHKGGFKKFAPLLDDDIVVMKDVGHFINEEKPNEY >Sspon.07G0032260-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7C:47454961:47455240:-1 gene:Sspon.07G0032260-1C transcript:Sspon.07G0032260-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding REGRGSGHGAAVAAGRDDGAAGIPHPHRRCYEDETGAAGYFYICKFWTCAIFTVLDLP >Sspon.02G0043090-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:89342117:89349098:-1 gene:Sspon.02G0043090-1B transcript:Sspon.02G0043090-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEQQFLTSMGVSVSNMRSHLQRACADVDVPDPVMLGAAMFLTSAYDAIPNPPVSPAAPLASAVAARAPADGVDRISLLPDALLRNVVSRLPAKDAARTAALATRWRGLWRSVPLAVVDAHILPRWISADHMMPGGEDALSRVVAVAASRVLDAHPGPFAAVPSTAGLPRATRFPHLQELVLSTVVIEERDLHFLIDRSPVLEVLTITTNLTGKARVRLISRSLRCVQQRITLDTSLPLRSNPCLHHCAPAPPQPPVPSSTPLRSSAAATMEEQQFLTSMGVSVSNMRSHLQRACADVDVPDPVMLGAAMFLTSAYDAIPNPPVSPAAPLASAVAARAPADGVDRISLLPDALLRNVVSRLPAKDAARTAALATRWRGLWRSVPLAVVDAHILPRWISADHMMPGGEDALSRVVAVAASRVLDAHPGPFRCVHLTRCHMASHQAEIERWLDLLAAKGVQELVFINRPWPLDHPLPRGLFGCTSVTRLHLGLCMVPSTAGLPRATRFPHLQELVLSTVVIEERDLHFLIDRSPVLEVLTITTNLTGKARVRLISRSLRCVQVSMSAQVDITVVDAPRLERLLMWMLSPAITGKRCSRIKIGHAPNLCMLGHCQPGFELEIGNTILKVGTKMTPSTMVPSVKILALEVGFEVHNEVKMMPCFLKCFPNVETLHVF >Sspon.07G0005000-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:11321878:11322522:-1 gene:Sspon.07G0005000-3D transcript:Sspon.07G0005000-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQMEWDMRGGGGGHGGAARPLPLSHQALAAPGAHFGGLDVTHSSPWHVVYLPPRLHHQAVVAPPMPPLVAVAGDVVASSLPSTALELRTGPKALPFDLNEPPSLLFGSRSP >Sspon.03G0022020-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:53324626:53332927:-1 gene:Sspon.03G0022020-2P transcript:Sspon.03G0022020-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin carboxyl-terminal hydrolase 14 [Source:Projected from Arabidopsis thaliana (AT3G20630) UniProtKB/Swiss-Prot;Acc:Q8L6Y1] MDLLRSHLHKVRIPEPTNRIHKDECCVSFDTPVTTMPPSLPVPQLQLDSLGLGFEAAWMCVRRGQREACVEGGFGDQEPEYDDTFEIVILPDFISLPFPSVDLPEKVRLAVDKERTIGCLVADKKNISADAMDLQQLDNGVIVPPTGWKCSKCDKTENLWLNLTDALDGSGGNNHAIEHYEQTKYPLAVKLGTITADLEAADVFSYPEDDSVEDPLLAQHLSHFGIDFSSLQKTEMTTAERELDANTNYDWNRIQESGKMLNFCLANLGNSCYMASIMQVMFSTHPFISRYFEKQSLKAAFATAPADPIVDLNMQMTKLGHGLLSGKYSAPAKEGQEGIRPRMFKSVIAANHPEFSSMRQQDALDFFLHLIDRVEKANPGNHELNPCSGFKFVVEERVQCPSGKVSYNKRSDYILSLSIPLHEATNKEQLEAFNEKKAAMDLDGKEVSNEDIVRPRVPLEACLATFSGPEEIPDFYSTALNSKTTATKTAGFNTFPDYLVLHMRKFVMEAGWVPKKLGNTPIADHLVLTVYFFADVYIDVPDTIDITHMRSKGVQPGEELLPEGGSGDNNAEPAHPVASEDIVSQLASMGFNYLHCQKAAINTSNTGVEEAMNWLLSHMDDPDINDPISKDSRASEPSVDEASVQTLISFGFQEDVAIKALKASGGNIEKATDWIFSHPEASSSASADSSTGNANADDTYIPDGSGRYKLMAFVSHMGTSTHCGHYVAHVFKDGRWTIFNDSKVAASVDLPKEMGYLYFFQRISN >Sspon.05G0025550-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:20814259:20820634:-1 gene:Sspon.05G0025550-2C transcript:Sspon.05G0025550-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zeaxanthin epoxidase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G67030) UniProtKB/Swiss-Prot;Acc:Q9FGC7] MALLSATSPAKAHFSALLFCHDEPQQHQHQHALPAPHPQCFGGGNKARQRARGRCAASMRPPDASVAPAPAAGEARRKKKPRVLVAGGGIGGLVFALAARRKGYDVTVFERDMSAVRGEGQYRGPIQIQSNALAALEAIDMSVAEEVMRVGCVTGDRINGLVDGMSGSWYIKFDTFTPAAERGLPVTRVISRMTLQQILARAVGDDAILNGSHVVDFIDDGSKVTAILEDGRKFEGDLLVGADGIRSKVRKTLFGHSDATYSGYTCYTGIADFVPPDIDTVGYRVFLGHKQYFVSSDVGAGKMQWYAFHNEEAGGTDPENGKKKRLLEIFDGWCDNVVDLINATDEEAVLRRDIYDRPPTINWGKGRVTLLGDSVHAMQPNLGQGGCMAIEDGYQLAVELENAWQESVKTETPMDIVSSLRRYEKERRLRVAIIHGLARMAAIMATTYRPYLGVGLGPLSFLTKLRIPHPGRVGGRFFIKYGMPAMLSWVLGGNSSKLQGRPLRCRLSDKANDQLYRWFEDDDALEEAMGGEWYLFPTSEGNSNSLQPFRLIRDEQRSLSVGSRSDPNDTDSSLSLPFPQISERHATITCKNKAFYLTDLGSEHGTWITDNEGRRYRVPPNFPVRFRPSDVIEFGSDKKAMFRVKVLNTLPYESARSGKQQGQQQQQQVLQAA >Sspon.02G0040730-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2B:71749823:71750832:-1 gene:Sspon.02G0040730-1B transcript:Sspon.02G0040730-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAVSDSPASSGVVVRRRRVLMFPLPFQGHMNPMLQLAGVLHARGGLDITVFHAAFNAPDPARHPPGYRFVPVGEGVAWADLVPSGGGGNDDDIPGALLRVNDRLREPFRDRLRQALARAEEDEGDAPPACLVLDSNLRGMQLVAQELGVPTLVLRTGAAACLVAYMAFPALCDKGLLPPTSKAHYIELML >Sspon.04G0027380-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4D:62744561:62752803:-1 gene:Sspon.04G0027380-2D transcript:Sspon.04G0027380-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RQESYFAYLFGVREPGFYGAIDIASGQSILFTPRLPADYAVWMGEIKPLSYFRDTYKVDMVFYVDEISQVVQDRFGDHGKPLLFLLHGRNTDSGNFSKPASFEVHDGIEKFDTDLSTLHPILTECRVIKSDLELALIQYANDVSSEAHIETLNDGDMALMDMGAEYHFYGSDITCSYPINGKFNSSQIIIYNAVLKAHNAVISHMRPGVNWMDMHKHTLDAPESKQPDLTKGSCCTCTTAAPWDVDDMMAQRLGAVFMPHGLGHLLGIDTHDPGGYPEGLERPKDPGLSSLRTTRELKEGMVITVEPGCYFIDALLTKTRDDPISSKFFNWQEVEKYKSFGGVRIESDVQYKPNIVYLGHLEYRKRKNDIMCVHILYVTAQGCRNLTNCPRETWEIEAVMAGAPWPLPDSSSMVAAAENRNGISKAL >Sspon.03G0016260-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:51773772:51774867:1 gene:Sspon.03G0016260-1A transcript:Sspon.03G0016260-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LVLHNSFDQSGSDYTRTEDSHSAVLQGCTVEDEAFVGMGATLLDGVVVEKHGMVWGGNPAKFLRKLTDDEIAFIAESAANYSSLSKAHADENAKPLEKIEFEKVLRKKFAHQDEEYDSSISVTREAPPELTSPNPAQ >Sspon.01G0019010-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:70999540:71022981:1 gene:Sspon.01G0019010-1A transcript:Sspon.01G0019010-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CHR20 [Source:Projected from Arabidopsis thaliana (AT1G08600) UniProtKB/TrEMBL;Acc:A0A178VZQ9] MNKDGILSDDKMAEMGSVQPNRQLVDIIIIDSQSDENKIGVETSIRDSSLKEHKEPPCTTMDNNANEETSITDDDSEADSYEFSLRESDNEQASASEEGTEVPLTEEEVEELVAEFLEVESKAAQAQESLEKESLDKIETEVRLELSERLQGDELESAVSTEMEQFQMQWENELDDLEIRSSILLEQLDAAGIELPRLYKSIESQVPNVCETEAWKSRTHWAGSQVPEEANRSIKNADEYLQSCRPVRRKHGRLLEEGASGFLAGKVPVGDDDSVKCHEKSWSSFNELIKSKENAENTFGSSNWASVYLASTPQEAAALGLQFPGVDEVEEISEVDGVFDDIKGVDEVELSEEQRRKYRKVTEEDDAKIMKCLQRRSKGKRTRGWCKENIGLVSSCDEKPLPENGVLGAKSDLPSSKKLKTDENKVSIETLKHTFEDDENEKRLKTVIIESDDDMQIDNKQALQKDGEGSSAEVEKVVDIIDLDLFPSQSPKLPTKSLPKTFKCTICSEMLNASDVHRHPVLDVVVCGSCRFLVIEKNRLERRCLHLGRILYLVVIALGVHKVNCFKVVVLLLFCTNCLSKNLGEECLSEAKATGWQCCCCIPSQLELLISECDKALSGVESSDSESSNTELSGPENNGPVSKRRMKKSIRRIMDDTELGEETKRKIAMEKARQDHLKSMQEQSATKLRSENVGTPFEAPVEICLQDAGDGHIVNLAREEDEEPVRIPSSMSSKLKPHQVEGIRFMWENVIQSVKKVKSRDKGLGCILAHNMGLGKTFQVITFLYTVMRCAQLGLRTALVVTPVNVLHNWRKEFSKWRPAELKPLRVFMLEDVARVKRPDLLTKWRVKGGVLLIGYSSFRNLSLGKHVKDKNSANEIAYALQCGPDILVCDEAHMIKNRRADITQALKQVRTQRRIALTGSPLQNNLMEYYCVRSRASLLFCLLNHPVTEITLMFNTSSLPTYFVLSCEQMVDFVREGFLGSTHEFRNRFQNPIENGQHMNSTSDDVKIMNQRSHILFEQLKGFVQRKSMNVVKNDLPPKKVFVITVKLSQLQRKLYKRFLDVHGFSSSGYSEKSHSSFFAKYQTLAQESNWWEELLDENTYMEADYSGKMTLLLDILSKSSELGDKVLVFSQSLTTLDLVEFYLAKLQINGKEGKHWKRGKDWYRLDGSTPSSERQNLVEMFNDPGNTRVKCTLISTRAGSLGINLHAANRVVLLDGSWNPTHDLQAIYRTKPVYAYRLMAHRTMEEKIYKRQVTKEGLAARVVDRQQVSRTISKEEMLHLFEFGEEELMEQNENGSTIIEKPSTSDTIKTSEPVPVDSLMLSLLSYHEHEALLQENEEEKLTKEEQDMALSEWESLSKAAPDPERKSNMNAVPTYPNLVWPLKPASRSRQLQQPKVNSNNQKKCNNLTHLLTLRSHGTKAGCTTTCKECGQEISWETLNRDAVVVQA >Sspon.03G0024010-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:91718595:91719823:1 gene:Sspon.03G0024010-3C transcript:Sspon.03G0024010-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLRPMALPPFLILLFLLSCPRSSYSGEQKRFTSILSFGDSYADTATWSADALGLPFVPPVLSRGQNFSTGVNFAVAGATALNLTYLQGHNVTVVPPINSSLNDQLRWFEKLKPSGCFGESLFIMGQFGGNDFLNMLIASNMTLEQTGSFVPEIVNTISIGVERLIRHGAKYIVVADIVPVGCLPVTLAAQPSPNKGDYDRYGCLNSFNTGLSQYHNALLRRRIDVLRRRYPHTRVVFAEHYRAVVAFLQDPDHF >Sspon.03G0040240-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:27578636:27581653:-1 gene:Sspon.03G0040240-1C transcript:Sspon.03G0040240-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAGKEKTKGCGPKLFTTKEKKSAKSTNNPSRHAEVKSMRFSHFLAQSSNNTTTEPIRIFVSTWNVGGKTPTAALNMDDFLPPDDNSDIYVLGLEIVPLNAGNVLVIEDNEPAARWLVLINQSLNRPADIDANVFQHEPSPSVDSSSSRASSSLDTSFSDLSKTASGTTIFQKSLLKAISKSFMPVRRKQLKACNCPVEMTKTSYRDACFGCKKAYADETDSSGEDEEEKGKDKEKSRDSDGFVVDGITSGPATRDQLKYNLIACKQMVGIFVTVWVKKELVQHIGHLRKSCIGRGILGCLGNKGCISISMTLHQTSFCFVCSHLASGQKEGDEFRRNSDVLEILRLTMFSRICRRAGRKIPEKILDHDKVIWLGDLNYRIALSYADTKKLLMENDWDALFEKDQLKIERDAGRVFKGWNEGKIYFAPTYKYSFNSDAYAGETATSKKKRRTPA >Sspon.06G0004130-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:12602651:12605018:1 gene:Sspon.06G0004130-1A transcript:Sspon.06G0004130-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMPTFHLAPDLPPVSRLCFGTMTMGEQSGAACSLRLLDAAYDAGVNFFDSAEMYPVPQRSETRGRSEELFGRWLRARRVPRDSVVVATKVAGPSGQMTWIRGGPTSLDSRNIAEAIDGSLHRLGVDYIDLYQIHWPDRYVPMFGETEYDPNCQYTSVPMEEQLEALGRAIDAGKIRYIGLSNETPYGLMKFLQLSKDFQLLPKLLTVQNSYNLLCRNFDSGLAECCHHERISLLAYSPMAMGILSGKYHSSDNCGPPDARMNLFKGRYSEGESRYKLQSPKVEAAVKVRKHPLVVSAVFGATKLWQLDEVLQATTVHLPEEILVEIND >Sspon.03G0023320-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:89514434:89524990:-1 gene:Sspon.03G0023320-3C transcript:Sspon.03G0023320-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHARLLSVPSPASTAPSSSSPYLPSASAGARRRRASWKPRGPASAPPPLLSLRARASMQPAALPHDGQGGPPVHGVTNTVVGVLGGGQLGKMLCQAASQMGIRIVVLDPLPGCPASSVCDEHVVGSFTDGDTVREFAKRCGVLTVEIEHVDAAALERLEKQGVDCEPKASTIMIIQDKYRQKRHFSKCGIPLPDFMEVDTLHSIEEAGEKFGYPLMVKSKRLAYDGRGNAVAKNKEELSSVVASLGGFEHGLYVERWTPFVKELSVIVARSRDSSTVCYPVVETIHKENICHVVEAPAEVPDKIKKLATSVAEKAIKSLEGAGVFAAELFLTEDNQILLNEVAPRPHNSGHHTIESCYTSQYEQHLRAILGLPLGDPSMKAPAAIMYNILGEDEGEAGFYLAHQLIRRALNIPGASVHWYAKPEMRKQRKMGHITIVGSSKISVKSRLDNLLQSNSSDPKEVSPRVAIIMGSQSDLPVMKDAERVLKEFNIPCEQTIVSAHRTPERMYDYAKSAKDRGFEVIIAGAGGAAHLPGMVASLTSLPVIGVPIKTSTLSGFDSLLSIVQMPKGIPVATVAIGNAENAGLLAVRILAARDPELWDRVTKYQNDLRDMVLETAERLEDLGSQEFLKGMA >Sspon.03G0024650-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:59532828:59533442:1 gene:Sspon.03G0024650-3D transcript:Sspon.03G0024650-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWAMAELVSHPRAMRRAQDEVRAAAAGSTGVNEDHVAQLVYLKAVVKETLRLHAPVPLLVPREPPADAEILGYHVPARTRVLVNAWAISRDPTTWERAEEFVPERFLGGAAAASVDFRGQHFELLPFGAGRRMCPGIGFAEASAEIALASLLYHFDWEAAGGGGQGSRNREGTPTPSLDMTEVNGIAVHIKSGLPLLAKPWVP >Sspon.04G0012530-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:44172355:44173236:1 gene:Sspon.04G0012530-2D transcript:Sspon.04G0012530-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRSPTIAVVLILAYLSSPATAFNVTRLLGEFSDFTTFNNLLSQTKLAEDINRRQTITVLAVDNSAAGGISSLPSDVQRKVLSMHVVLDYYDTAKLGAIKNHSALLTTMFQSSGQATDRMGFLNFTKRSDGVMVFGSAQPGAQMTSHMVKSVASRPYNISVLQVSAPIVPPGVGGSADSGKATAPAPAPATKGKKGAPPPKDKEAPAPAPSDDASTDAPADAPGPAADGPTADGPAADGPAADGPTADGPAADAPAHKKSSDEAADAPEGSAAGRVVAAAGLGIVTLLMTII >Sspon.05G0006210-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:13645828:13649980:1 gene:Sspon.05G0006210-2B transcript:Sspon.05G0006210-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALWKQASRLKDQVARQGVFKQFGYGNSDNAFTDESEVKLHQRLEKLYLSTRAAKHFQRDVVRGVEGYIVTGSKQVEIGNKLSDDSQKYGVENTCTSGDTLSKAATFFGKARSQIEKERGNMLKAFGTQVAEPLRAMVMGAPLEDARHLAQRYDRMRQEAEAQVVEVSRRQNRVRESAGNGDMISKLEAAEYKLEELKSNMVGLGREAIAAMSAVEAQQQRLTLQRLIALVEAERAYHQRVLEILDQLEQEMVSERQKIEAPPTPAAENYMPPPPPPPSYDEVNGAFASTSVNESVQPVDFFLGEALDSFKAESDFELTLSAGDIVIVRKISSNGWAEGECKGKAGWFPHAYIERRERVLASKSGEDFGCNIFLRDQLGISCLDIVK >Sspon.03G0011340-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:30819600:30823002:1 gene:Sspon.03G0011340-1A transcript:Sspon.03G0011340-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:UBP1-associated protein 2C [Source:Projected from Arabidopsis thaliana (AT3G15010) UniProtKB/Swiss-Prot;Acc:Q9LKA4] MDPFSKKRKPDENGAVTASPAGGAATLGLTRDDVLRLLEPLSRDQLADIAAAAALVSAHALDAVRAAADRDPALRKLFVRGLGWETTSDSLRAIFSAYGDLEEAVVITDKATGRSKGYGFVTFRHADSAVLALKEPSKKIDGRMTVTQLAAAGSAGGPSGGAAGSGGAPVADVSLRKIFVGNVPADMPSERLLAHFAAYGEIEEGPLGFDKQTGKFRGFALFVYKTPEGAQASLVDTVKVIDGHQLVCKLAIEGKKGKQGQSQQSGPGNQQQQQMLPGGPQDIQGGLGLGSQMGAQYGGPGSGMPSFGFGGGFGGPNPYGNMPSSMGGGGAGGLGSMGGQVPTGLAGAGAGAFGPGGLCGGSFGGSSQFAAAGMGAYGGLGMGGGSMYRMQQGAGGLPAGAFGEGGNYPLPGSGFRGQDPQGGMSPPGPGARAPPMYPNVPPYF >Sspon.03G0017040-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:74753348:74757225:1 gene:Sspon.03G0017040-3C transcript:Sspon.03G0017040-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKRKLEPKSAAAVKPVKASPTTTKASSAAANRSATAKAAGLSEVRAPVEAAMEKLPQGAGGEEEVEEEIEEEVEVEEEVEVEEEEGEGESDPASIQALLDSFPKDQLVELLRDAAVAHGDVLSAIRHVADADPAQRKIFVHGLGWDATTDTLSEAFGPYGEIEDLRVVTDRNTGKCKGYGFILFRHRSGARAALREPQKKIGNRSTACQLASVGPVPGGVANSAVPAPAQLQLPPVSEYTQRKIFVSNVGADIDPQKLFQFFARYGEIEEGPLGLDKVTGKPKGFALFVYKTIESAKKALEEPHKHFDGVVLHCQKAIDGPKPNKLGGAGGFFGAGASSGGKGFAGYGATSHSLPGTVGIVQPMSPLAPGLASLPGGISAAPGVDPALGQALTALLATQQGAGLNLNSILGVGANGSGLPPLGATGALGGSSVPGMQGGYMGGYGGSGGYGGAASGGPGRNYMVKERIDRIHGPEVAD >Sspon.04G0035050-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:1110777:1115146:1 gene:Sspon.04G0035050-1P transcript:Sspon.04G0035050-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding PMSTFPPPPPTAARSPLARVRLDDLAPFDGASTPAYARAVDAVAASLTRHGAAAVELPAADAAVVRCALRALDDGELSPACMADAFRCLGKAARAALCAIARNLRSANRSSLISSAFSHLLDDNPLPLDEVSASELMVSFSHGHPQSSQAPMVGLRSSMAEVDRGFVTLVASDHPGIQVCNPNGHWYPADALSSPDVLLLLTGRALNHVTAGLQLNSQYKITNNGNRASLMFRLMPRANAILGCSPISAAGHCIPQIHRPISASQFMDDLRAEENVYHHLEAPQESQGNFVNEPSLRSVLSDPFSGAFLEDAMVLSCGHSFGGLMLKKVLEQARCSICNGEVDEASLFPNPALRAVATVVKMEDDRRLFHNAALRKRRKEVTEHMDAQRRNGSSQDSIELGLDAESPRAFKGVQYPFVAGERVVIQGNKRTPDKFVGKEAVVTSQCLNGRYLVKAVDSGESIRLQYRSLRKAGELKVQALLQSRQ >Sspon.02G0010860-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:28220184:28230752:-1 gene:Sspon.02G0010860-2B transcript:Sspon.02G0010860-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRCHTPPQCGRALLRHHGRRESPPAAAPGVVVRCARGAPQVSGIAAASPGHAAATAERGDARPSLAERLRLGSLLEDGLSYKESFIVRCYEVGINKTATVETIANLLQEVGCSHAQSLGFSTDGFATTTSMRKLGLIWVTNRMHIEIYKYPAWGDVVEIETWCQEDGRIGTRRDWILKDLASGEVIGRATSKWVTMNQNTRRLQRVSDDVRDEVFIHCPKTPRLAFPEENNGSLKKIPNLSDPAQYSRLGLVPRRADLDMNQHVNNVTYIGWVLESIPQDIIDTHELQTITLDYRRECQYDDIVDSLTNIEGGEEKSMNGSASAAPHKEERQQFLHCLRFAANGDEINRGRTRPPPMLRCHTPPQCGRAPLRHHGRRESPPAAAPGVVVRCARGAPQVPGIEAASPGHAAATAAKAEGGDARPSLAERLRLGSLLEDGLSYKESFIVRCYEVGINKTATVETIANLLQEVGCNHAQSVGFSTDGFATTTTMRKLGLIWVTNQMHIEIYKYPAWGDVVEIETWCQEDGRIGTRRDWILKDLANGEVIGRATSKWVMMNQNTRRLQRVSDDVRDEVFIHCPKTPRLAFPEENNGSLKKIPNLSDPAQYSRLGLVPRRADLDMNQHVNNVTYIGWVLESIPQDIIDTHELQTITLDYRRECQHDDIVDSLTYIEEGEEKSMNGSASAAPHKEERQFLHCLRFAANGDEINRGRTVWRKLAR >Sspon.08G0029850-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:51780218:51784990:1 gene:Sspon.08G0029850-1D transcript:Sspon.08G0029850-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDQGSDGVTPSPAEGEKKPINSELWHACAGPLVSLPPVGSLVVYFPQGHSEQVAASMHKELDTVPSYPSLPSKLICKLLSLTLHADSETDEVYAQMTLQPVNKDFTMQPPAQELIAKDLHDISWKFRHIYRGQPKRYLLTTGWSVFVSTKRLLAGDSVLFIRDEKSQLLLGIRRASRPQPALSSSVLSSDSMHIGILAAAAHAAANSSPFTIFYNPRASPSEFVIPLAKYNKALYTQVSLGMRFRMLFETEDSGVRRYMGTITGIGDLDPLRWKNSHWRNLQVGWDESTASERRTHDENEVESAFKRAMPWLADDFALKDVQNALFPGLSLVQWMAMQQNPQMLATAAPAVQSQYLTSNALGMQDGIGSVSEDPTKRLRLPGLTTMGSLQQSQLTQTPQLQQTAEYQQALLQSQQPQLQQLSQSELQLQLLQKIQQQNLLSQLNPQHQSQLIQQLSQKSQEILQQQVLQHQLGGADAMGQLKHLQQTPLNHTTGSLTPQQLVRSHSALAESEEPSSSTAPSGSRISPINSLSRAQQGSRNLPEMPATPHIEHLLQEIQNESPREGFSFPPVCLDNNVQVDPRENFLIAENVDTLMPDALLSRGMSSGKGICNLPSGQRDHRDVENELSSAAFSSQSFGVPHMSFKPGCSSDVAVADGGMASQGLWNSQTQRMRTFTKVGYL >Sspon.01G0000640-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:1553781:1558587:1 gene:Sspon.01G0000640-3C transcript:Sspon.01G0000640-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHCGARGAHHGAAGSATNPNLPPLSLTGTRACSTTLMRSPPPLAAHLRRLLLLSPSAHLIISRRAMASTTAAAQAQPGGLPPAPAEYEEVLGRLSSLITQTVRAHSGNRGTSGTSWRTTLRSVAPCVENLRSPPPTARVLLNRNRMLILELEEPIARMKVIHVAGTKGKGSTCTFTESILRSCGFHTGLFTSPHLMDIRERFRLDGVNISEEKFLKYFWWCWNKLKEKTDDDIPMPAYFRFLALLAFKIFSAEQVDVAVLEVGLGGKFDATNVVKEPVVCGISSLGYDHMEILERSSDLYCSTTGRGNDCSQTKSFGVGFALASSWLEKQGHKDRIPLNRTDPLPDHFIRGLSSASLQGRAQIVPDSQANSEEKDKDCSLVFYLDGAHSPESMEICAKWFSHATKDDRRLTSSMEQSQTSRSQKILLFNCMSVRDPMRLLPHLLDTSTQNGVHFDLALFVPNQSQYNKLGSNTSAPAEPERIDLSWQLSLQTVWEKLLQDKGINTTKSSDSSQVFESLPLAIEWLRRNAQENQSTSFQVLVTGSLHLIGDVLRIIKK >Sspon.07G0022140-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:6808049:6812529:-1 gene:Sspon.07G0022140-1B transcript:Sspon.07G0022140-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding WKIETSCAGFEGFVHNDERKESRSDADNSEGDKKTKIGSFKKKAINAGNKFRHSLRRRSKKKNERGDSIKDIRDIKELQDVETFRQCLIDEDLLPQQHDDYHMMLRFLKARKFEVEKAKNMWSDMLKWRKEFGVDKIEEFDYTELDEVTKHYPQFYHGVDKEGRPVYIELIGKVDANKLLQVTTLDRYVKYHVKEFERCFQMKFPACSIAAKKHIDSSTTILDVQGVGFKNFSKSARELITRLQKIDSDNYPETLCRMYIINAGQGFKMLWSTIKSFLDPKTVSKIHVLGNKYQHKLLEIIDECELPEFFGGKCNCIEGCQRSDKGPWKDPNIIKRVLNGEANYGRQIVTISSTDGKIISYARPEHPTKKGSDASAESGSEVEDVASPTASRNLITHPILTPSKLSAHGSAFVAHASIEESIPVVDKVVDDGWGSPRGSLQASSGSLSSRNSHGTFEGLRVQIITWLTFLIMTLFAMLCSVPSKMARRISNQSSKHDDYRVEYPQEQEYKEEFRPPSPAPSYTEKDVLSSMLRRLGELEDKVQVLETKPSEMPFEKEELLNAAVRRVDALEAELISTKKALYDALMRQDELLAYIDRQELIKFRKKKFCF >Sspon.08G0008350-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8A:30967000:30968593:-1 gene:Sspon.08G0008350-1A transcript:Sspon.08G0008350-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTQISTRQSLRFALPSDYVRMTCFAKKQPIAAVPLSSSLEDKRYEPVVKMCGITSAIDAEMAVKAGAKLIGMILWPNSKRSVPLSEAKEISRVAKSYGAESVGVFVDDDNSTILRSSDSCNLDLIQLHGDSSRELLPLLWKNNRIIYVLNADEDGKLINAPPSEEYVLDWFLVDSAKGGSGKGFNWEKFQMPTVKSKNGWLLAGGLHADNVHQAASALKPNGLDVSSGICYPDGLRKDPKRMCSFMSSVKTLNLL >Sspon.01G0035660-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:12868977:12870672:1 gene:Sspon.01G0035660-2D transcript:Sspon.01G0035660-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKQHDASDDGGIPATEAPAKRPPLNKYALACAVLASMNSILLGYDVSVMSGAQLYMKQDLKISDTKIEILAGIINIFSLVGSLAAGRTSDWIGRRYTMVLAAAIFFAGALIMGLAPGYGILMLGRFVAGVGVGYALMIAPVYTAEVAPTSARGLLTSFPEVFINTGLLLGYVSNYAFHGLPVHLSWRVMFLVGAVPPLFLALGVLAMPESPRWLVMQGRIGDARRVLAKTSDSPAEAEERLADIKKAIGIPEGVGDNDDDDVVVVPRRSKQGSHGEGVWRDLLIRPTPPVRRILIACLGLQFFQQASGIDSVVLYSPRVFDKAGLTSDDNSLGATMAVGASKTLFILVATFFLDRVGRRPLLLTSAGGMVVSLVTLASALHAIDRLPEGHATPLAGVSIAAVLTFVASFSIGMGPIAWVYSSEIFPLRLRAQGCALGTAMNRIMSGAITMSFISLYKAITLAGSFYLYAGIAAAGWIFMFFFLPETRGRSLEDTEKLFGGGEDKEDGHDVHKKSTELSSSQ >Sspon.05G0023370-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:7137108:7140890:1 gene:Sspon.05G0023370-1B transcript:Sspon.05G0023370-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SPAVQPRSLASTITSACGNMATAPSSSTPPTPHLPSMERRKGPTGLEKLVLRESRGWSAEVHLYGGQVTSWKNDHGDELLFVSSKAIFKPPKAIRGGIPICFPQFGTHGNLEKHGFARNRFWSIDDNPPPFPVNTAIKTFADLILKPSEEDLKIWPHSFEFRLRVALAPRGDLILTSRIRNTNIDGRPFSFTFAYHTYFSVSDISEVRVEGLETLDYLDNLNAKRRFTEQGDAIVFEAEIDKIYLDVPSKVAIIDHEKKRTYVLRKDGLLDTVLWNPWDKRSKIMQDLGDEEYKHMLCVEPAAVEKPITLKPGEEWKGKMELTAVPSSYCSGQLDPEK >Sspon.07G0003140-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:7247019:7247210:1 gene:Sspon.07G0003140-2B transcript:Sspon.07G0003140-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEMENALILIHDKKISNMDSLLPVLEISIKVTLFTYVSAFGAMTSDGWYLAYVEPQASSHCC >Sspon.02G0000460-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:1921404:1926915:1 gene:Sspon.02G0000460-1A transcript:Sspon.02G0000460-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCLRFLRRGFLAMLPSKRSAGADGSDSKRAKLGDSPSAAAATRNGAAPEIDEDLHSRQLAVYGRETMRRLFAADVLVSGLNGLGAEIAKNLALAGVKSVTIHDVKMVEMWDLSGNFFLSEQDVGKNRALACVSKLQELNNAVLVSALTEELTTEHLSKFQAVVFTDISLEKAYEFDDYCHNHQPPISFIKAEVCGLFGSVFCDFGPEFTVIDVDGEDPHTGIIASISNDSPAMVSCVDDERLEFQDGDLVVFSEVQGMAELNDGKPRKVKNARPFSFSIEEDTSSFGVYTKGGIVTQVKEPKVLRFKALRDAMRDPGNFLLSDFSKFERSPVLHLAFQALDKFKKEHGRYPITGCEQDAQTFLKFAADINEASVGPKLENIDEKLLRHFASGSRAVLNPMAAMFGGIVGQEVVKACSGKFHPLYQFFYFDSVESLPTYQLDPQDLKPSNSRYDAQISVFGSKLQKKMLDANIFIVGSGALGCEFLKNLALMGVSCSSKGKLTITDDDVIEKSNLSRQFLFRDWNIGQAKSTVAAAAAIAINPSLQIDALQNRASPDTENVFHDTFWDGLDIVINALDNVNARMYMDMRCLYFQKPLLESGTLGAKCNTQMVIPHLTENYGASRDPPEKQAPMCTVHSFPHNIDHCLTWARSELEGLLEKTPNEVNSFLSNPAQYAAAMRKAGDAQSRELLERVSECLDKERCNTFEDCITWARLRFEDYFSNRVKQLTFTFPEDAATSTGTPFWSAPKRFPRPLQFSATDSSHINFIMAASILRAESFGIAIPDWAKNTSKLADAVNKVAVPKFEPKKGVNIVTDEKATNLSSASVDDVAVINDLLTKLEEYAKGLPPGFQMKPIQFEKDDDTNFHMDLIAGLANMRARNYSIPEVDKLKAKFIAGRIIPAIATSTAMATGLVCLELYKVIAGEHPIEDYRNTFANLALPLFSMAEPVPAKVMKHQDLSWTVWDRWTIKGNLTIAELLQWFSDKGLSAYSMSCGTSLLYNSMFARHKERLQKKVVDVAREVAKVEVPEYRKHIDVVVACEDDDGNDIDIPLVSCTGAAASTSQRYL >Sspon.04G0035930-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4D:21237847:21238251:-1 gene:Sspon.04G0035930-1D transcript:Sspon.04G0035930-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ACPGVRLAFLLLLRVLTGPMETEVAPVSSRSRHRHACMRPSPLGELPVEPFPPEGALVRYCHRPPPSRCRQHVWPGRIGPPRCEPRLPAGVNGPPEARPPPLHRRWRLPHWPARRPPLFSVMGRGRGTTGEKLE >Sspon.03G0040710-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:31889286:31891898:1 gene:Sspon.03G0040710-1C transcript:Sspon.03G0040710-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLRACCRLRRFLAPPPPQAPPPGQPLARGFNPATAHVLPFSRLFSSASAAAAIAPHDARDSGLGGSAYWAWIRAATESAPAPSPPQEEEDEGPARYIPVKAYFLSTSIDLKGMQAEHGNDIVPPSTRSLNYIALRYSEFPPEIMNIGVKDNRFCYRYVVVFQYGSAVLFNIADHEAEYYLDIIRKHASGWLPEMRKDDYAVVEKPSLTTWMKGGLDYIVLKSLDTDGIRIISSVLGQSIALDHYIRQVDDMVEEFTEINRVMEKTGNFTMQRKKLFQLVGKANSNLADVIIRLGLFDRSEIAWKNANYAQILEYLREEYELNQRFGSLDFKLKFVEHNIHFLQEVLQNRRSDLLEWGVIILLIIEIAISLYEIVKDSMIS >Sspon.07G0013050-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:46382820:46385414:-1 gene:Sspon.07G0013050-1P transcript:Sspon.07G0013050-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGLYPAITCGGLGVPRHDAWRATSVFTARCMPRGTCTPPPCMAGFSSIAPPCMAALRYAKANAMFGQVDTQYHLREVESGTAGREPPLAAPAPEAPPPQQQQRSELRLMETASFRPGSSGNGAPLPMSRAAGPCRRQQPKSILKKSTDDGNSGTTREVSRVKFMLDGADGGKLEPLTPPASGVGGNGADSGVKAAKSVGFASSQPLQPPTRTLQPPMRPALLLQQQAPRAAVTQQLPPPPPLQLQQHLPYHQPRVADGPPLVPPQGQLLAYPPRGHGEGPSALPGPPPPLPYQPRPTGFPGGQHQQQQVGYPPRSGDSPPLVAGQQQQFPPRPSNANAAAEEKEFKEEVWRLMTGIAKMVEPLTDKNGFFPYHLFRAQWGRGSCTVNGAAALYPLGPRASSNSRSWCNRDFAGEGTERVKGWQVVVGQVRLVGDGLVEGGFDWQAVWQGGASSTAAVSGGRGGMLL >Sspon.01G0001080-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:3467214:3470595:-1 gene:Sspon.01G0001080-1A transcript:Sspon.01G0001080-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQYEKQEKIGEGTYGVVYKGLDKATNETIALKKIRLEQEDEGVPSTAIREISLLKEMNHDNIVRLHDVIHSEKRIYLVFEFLDLDLKKFMDSCPEFAKNPTLIKSYLYQILRGVAYCHSHRFLHRDLKPQNLLIDRRTNTLKLADFGLSRAFGIPVRTFTHEVVTLWYRAPEILLGAKQYSTPVDVWSVGCIFAEMVNQKPLFPGDSEIDELFKIFRVLGTPNEQSWPGVSCLPDFKTAFPRWQAQDLATIVPNLEPAGLDLLSKMLRYEPSKRITARQALEHEYFKDLEMVQ >Sspon.04G0007590-3P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:12133991:12139519:-1 gene:Sspon.04G0007590-3P transcript:Sspon.04G0007590-3P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAGGGEEEEEEEVFYESRDRVLSSSCSSTSASDDDDNDRPRRRRDGAAAAAAAALDVWTSEPAPVQERRRKLLHMMGLTGDPALARLEMGRSVTCDGPVRPAPVSPISRSRSDGAVPVSATKPPLGGRSRQASSGSSEATPEGEETDPRCLIRNLDDGSEFLVKEEFELREVGTGRQLTMEEFVDLCVGRSPIVQELMRRENVANSGSNNGSSTPIQRSNSDSSNGATRHRRHSSWLRIIRNVAGSMVVSSRDRRSSDEKDTSSEKGGRRSSSATDDSQDSAGAVHHGPVRVKVRQYGKSYKELSGLFMNQEIQAHNGSIWSIRFSPDGRYLASAGEDCVIHVWEVSEFERKREENGVCNPFVAMACNGSPEPTLALASSVDGSNREKKRRARFLEGRRSVSSDRLMVPEHVFALSEKPIRTFVGHSEDVLDLSWSKSQYLLSSSMDKTVKLWHISSTSCLKTFSHSDYVTCIQFNPVDDRYFVSGSLDEKVRIWSIQNREIVDWKDLHEMVTAACYTPDGQRALIGSHKGSCHIYDTSDNKLLQKKQIDLQNKKKKSSQKKITGFQFLPGSTSKVLITSADSRVRVVDGLNLVHKYKGFRNTNSQISACLAANGRYVISASEDSHVYIWRNDDNLERGRSKGNVTVTNSYEHFHCQDVTVAVALPCNGSAMVSRANSTKHDEQDSVLEHSLLHAVPEKLQDSSDFQPQSGNILSTSSNHSGDGATWPEELMTPTKQSPRSSASFPSGADQAPSRSAWGTVIVTAGRGGQIRTFQNFGFPARV >Sspon.04G0018900-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:70338713:70343134:-1 gene:Sspon.04G0018900-2B transcript:Sspon.04G0018900-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphopantetheine adenylyltransferase [Source:Projected from Arabidopsis thaliana (AT2G18250) UniProtKB/Swiss-Prot;Acc:Q9ZPV8] MEEGSGAVEKGPAVGTVADASAAAKVIGDGKEEAEGTRPAPAAYTAVVIGGTFDRLHQGHHLFLKAAAELARERIVIGVCDGPMLAKKQYAYLIQPIEKRMQNVKDYIKSIKPDLDVHVEPIVDPYGPSIVDKGLEAIIVSKETLPGGHAVNRKRAERGLTQLQIEVVELVPEESTGNKISSTAFRKMEAEKELQQQNRQQGAAIGSLSAVVLRHPRKDFAALALKPGRAKALRRQLRIHPRKELAALALKPGRAKALRRQLQM >Sspon.02G0039730-2D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2D:54632245:54632622:-1 gene:Sspon.02G0039730-2D transcript:Sspon.02G0039730-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYVDILSEMILLLRHAVIRKGSFAKYDYGWWGNLRCYGQLHPPSFDLSSIPESLPIWMGYGGLDALADITDVDRTIKELRSTPELLYIGDYGHIDFIMSVKAKDDVYVDLMRFLRAQQGMHSSY >Sspon.07G0009240-3C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7C:21518148:21520175:-1 gene:Sspon.07G0009240-3C transcript:Sspon.07G0009240-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKEKSHINIVVIGHVDSGKSTTTGHLIYKLGGIDKRVIERFEKEAAEMNKRSFKYAWVLDKLKAERERGITIDIALWKFETTKYYCTVIDAPGHRDFIKNMITGTSQADCAVLIIDSTTGGFEAGISKDGQTREHALLAFTLGVKQMICCCNKMDATTPKYSKARYDEIVKEVSSYLKKVGYNPDKIAFVPISGFEGDNMIERSTNLDWYKGPTLLEALDQITEPKRPSDKPLRLPLQDVYKIGGIGTVPVGRVETGVIKPGMVVTFGPTGLTTEVKSVEMHHEALQEALPGDNVGFNVKNVAVKDLKRGYVASNSKDDPAKEAASFTSQVIIMNHPGQIGNGYAPVLDCHTSHIAVKFAELITKIDRRSGKELEKEPKFLKNGDAGMVKMIPTKPMVVETFSEYPPLGRFAVRDMRQTVAVGVIKSVEKKDPTGAK >Sspon.01G0057400-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:6439945:6441263:1 gene:Sspon.01G0057400-1D transcript:Sspon.01G0057400-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGTRVEGGDPGLKVIEELVATCHVLVRPGDILSNVLHEEHRCPAGLLLMIIIVYALVMVLVTLARWTTTLIQPHSHLLLLDRHEQHIEEIYNY >Sspon.01G0013800-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:45443135:45444073:-1 gene:Sspon.01G0013800-2B transcript:Sspon.01G0013800-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AAGVWPAGVDGRGEGHGVPPGAAARLRARPHRHLQAWAQQAIPEFQGHMPVCVHRVPAVVHQGPVRRGRAGARVHRAVAAGGGAELRRAELGAGVIPPRLRRRGRRARALRRRRRGRSGGERAAAAARAGRVRRRARPERVPRGRRVHAGGPVPPPERALPRVLREGPGAAGVQGERGALVRGHLVAPRVEAGGRGAAPDRALPCRVPPVRPRRRLM >Sspon.03G0031640-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3C:37515645:37517328:-1 gene:Sspon.03G0031640-2C transcript:Sspon.03G0031640-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVKRSAFVAALPPACRRARARLCVRLAAPLSFLLLFVALFRAQPLLGVPPAAPPPSAGPAKVAFLFLVRAGVPLDFLWDAFFRNGEEGRFSVYVHSAPGFQLDLTTTGSPYFYGRQLARSVKVVWGEATMVEAERMLFAAALQDPANQRFVLLSDSFVDRTEKRYNQKMSPAIPKDKWRKGSQILGSMSLQPVEQKHEMS >Sspon.02G0038460-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:41996687:41997262:-1 gene:Sspon.02G0038460-1B transcript:Sspon.02G0038460-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEWWGWPSPAAWLGSEAAWFLVLNAVVAAIVVLSSRERPSASPRSGGVTRSASSVLLQRLRSFSIFSYSYPSACFDTPTLLQHHGAAQATEEPVTPPTMPTPSPRALVPTPQPAPPEEEEEEDSSAMSMEDAYALVLASRRAPEPERRSDVDAQMRQQRLNSIFNYTQMLKQRALGAGRRQPDARPHQL >Sspon.06G0026380-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:76895222:76896426:1 gene:Sspon.06G0026380-1P transcript:Sspon.06G0026380-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ISTLLTSTVGKSTNILWHDCPIGQNERQNLLNQKGCVVWITGLSGSGKSTLACALSRELHIRGHLTYILDGDNLRHGLNRDLSFKAEDRAENIRRVGEVAKLFADAGLICIASLISPYRSDRSACRSLLPKSSFIEVFLNAPLEVCEARDPKGLYKLARAGKIKGFTGIDDPYEPPSDCEIVIQCKVGDCPSPKSMADQVVSYLETNGFLLD >Sspon.02G0016710-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:46789523:46789807:1 gene:Sspon.02G0016710-1A transcript:Sspon.02G0016710-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDKLQRLQLLLLRVRMVVDEADGRCITNSGYYVLENFKYRHIMESDEQKVSSSRTLVSFIIGASIKRFCMGALLMTMST >Sspon.01G0038950-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:53797468:53798279:-1 gene:Sspon.01G0038950-2C transcript:Sspon.01G0038950-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDTLYPLSLGINLACRKNIQEYMLNKLRIEESQVPKMCLDLYREYGTTMAGLKVLGYDFDYDDFHACVHGTLPYEKVTPDPVLRQLLLSLPQRKIIFHQL >Sspon.03G0034590-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:75399237:75402813:-1 gene:Sspon.03G0034590-1P transcript:Sspon.03G0034590-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rotamase CYP 4 [Source:Projected from Arabidopsis thaliana (AT3G62030) UniProtKB/TrEMBL;Acc:F4IX26] MACMPAVSAPSALAPAPASTRIHLFCSTETRRGALSLRPARAIPALRLSGHRDARGAVVVRAAAAEGAVDLQAKVTTKCFFDVEVGGEPAGRIVIGLFGEVVPKTVDNFRALCTGEKGYGYKGCSFHRIIKDFMIQGGDFQENNGTGGRSIYGECFDDENFTLKHVGPGVLSMANAGPNTNGSQFFICTVKTPWLDNRHVVFGHVLEGMDVVKQLESQETSRSDTPKQPCRIVNCGE >Sspon.07G0032840-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7C:55868711:55870023:1 gene:Sspon.07G0032840-1C transcript:Sspon.07G0032840-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDSGSSKPPPPAGEEDEGPVAADKALHALGFEFTRIGAREVAGRLPVTDTCCQPFGVLNGGVSALVAECTASVGAYMASGYRRVAGVQLSVNHLRPARLGDVIRAQAAPVQLGRSIQVWEVQIWRADPSTEECKDLVSTARVTMLVKYPSRPEEMSSHEASIKKYAKL >Sspon.01G0033600-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:114692472:114700995:-1 gene:Sspon.01G0033600-1P transcript:Sspon.01G0033600-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASLVSSLTVPLVSAVLGAAIALVFLAGYVRRKRAAIAHIPPRATAAAPDQPKHVRPSNQGQHKKGHLRPHHHAADKDAAKKHHHLDVNTLRGHTDSVTALAFSNDAGNLATVCADGAIRVFRIDDTSSKSFKILRINLPAGAHPTAVAFSEGSSSVVVAAQALLGSSLYMYADVSAPPTAENKQQGKLSPPEIKWSHPKIHGKESVLNLAAACATHGSGDGSTIIISCSEATDIKVWHGKSGKELGAVDTNQLKNNMADISPNGRFIAAAAFTADVKVWEIVYSKDGSPKEVNKVMQLKGHKSAVTCLCFAPNSEQIITASKDGSIRVWNINVRYRLDEDPKTLRVMPIPLHDSKGSVCQYDHMNVSPDGKILAVTSGSTLQWLCVETGAVLDTAEKAHEGDITGIAWAPRTIPNGGVPVFILGTAGVDKKAKLWSAPEVGSTRADKD >Sspon.02G0001490-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2A:5137875:5138646:-1 gene:Sspon.02G0001490-1A transcript:Sspon.02G0001490-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELMRNPRVMRKAQGEVRRVLAGQETITEDSLNGLRYLPLIIQEALRLHPPAPLLIPRECRTPCRILGFDVPAGAMVLVNTWAIGRDPRHWDAPEELSPEQFEGSGAVDFKGTDFEFIPSGAEWRMCPGIAFGLANMDLALASLLYHSDWALPDGVEPGELDMTEALGITTRRLSHLLL >Sspon.03G0042570-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3C:70959158:70961467:1 gene:Sspon.03G0042570-1C transcript:Sspon.03G0042570-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKMTWQMLLHSCSGHLLCLVLVVLLLHLVLAVAVAVLLLCLVLAVAVHRLCMVLAVAVAMLLLRLVLQLVQRLVLILVVRRLMLLLLQRQVLLLLLHPLPLATQLHWHPRLASHWQIAEKVLEFLELFYESTVALSGVYYPTSPLVLHHILEIASHLHDYEHDNNLSDVVVSMKTKFLKYWKKIPLLYSFAFVLDPRAKMRGLQNVLDLLAQYNNISYIAYFAEVKSELHKLYDKYESKFGAARPARTTHPSGLTGKRKQAWGRIFGGSGSSGPSSYSGSSVVSPSLSELTVYLDSDNVVAYDDEFDVLNWWHEHKLTYQVLSVLAKDIMTVHVSTISSESIFSLTGRIIEERRRRLGADTVEMLICTKDWELGEESGQHTVVDKELEDYFKNQFLDEDSGASTTTAA >Sspon.03G0026090-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:1908737:1910021:1 gene:Sspon.03G0026090-1B transcript:Sspon.03G0026090-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MERGSLAEKSGRSDGQLAARWREIHGRGDWEGLLDPIHTVLRGELIRPATTPRTFFRDVGLDGVGYEVTRYLYATSNARLPNFVGSRKHRSDDPDARLWSETADEETARIGRRDVAVAWRGTVTRLEWVADLTAAPRPVADFGIPCPDPGAKVESGFAELYTGKDASCRWCRYSAREQVLAEVRKLVDLYHGRGEEVSVTVTGHSLGSALATLSAFDVAETGANVSPDGRTAPVCVFSFSGPRVGNTRFKARLDRELGVKVLRVVNVHDMVPTVPGVFYVLDEKSFPEAVLRLMDDLGLGAVYVHVGVELALDHRVSPYLKADTLDLACFRNLEALLHLLDGYQGRAREFRLCGRDPALVNKAADFLRDEHMVPPTWRQDENKGMVRAEDGRWVLPPRYREVHDHPEDTDHHLQQLGLAAN >Sspon.04G0026170-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4B:38696258:38699885:1 gene:Sspon.04G0026170-1B transcript:Sspon.04G0026170-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SFSQQLSVLGKLIGFEDSGHRFTMALSLAESQGARQASISHLPATVMVKHAIVEYMNQPRVRSLPIHEPRRPLLRCDSTGPLYTLRFPAATSSSSPSVLSAAFAASTSSTTWHRRLGHPGRDALMQLSRSSGLPCTRAHDEQLCHACQLGRHVRLPFHSSTSHAARIFDLVHCDLWTSPVLSISGYKYYLVVVDDFSHYSWTFPLRAKSDTFPTLLHFFTWVSTQFGLTIKAVQCDNGREFDNSTSRAFFLSHGVQLRMSCPYTSSQNGKAERMIRTTNDTVRTLLFQASLPARFWAEGLHTSTYLLNRLPSTACPAPTPHHASLVPLLGTITSAYRFTAPLAGHRSRLPGGSGPVVLWRGPDLSDWRRPGDFVTRYCLGGGRAGRRRLVLPRTSVAVRRAGTGVPAQAAATTRGPFSAGDTDTTAAVPAGSWCSVGLPPAASSPTPAACSPMMTRHAAGTLPPRALEASTGDALVSPVPSSVHDALLDPHWRRAMEEEYAALLANQTWELVPRPPGSNVVTGKWIWTHKRRADGTLERYKARWVLRGFTQRPGVDYDETFSPVVKPDT >Sspon.01G0028040-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:64286609:64290223:1 gene:Sspon.01G0028040-2B transcript:Sspon.01G0028040-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Deoxyhypusine synthase [Source:Projected from Arabidopsis thaliana (AT5G05920) UniProtKB/Swiss-Prot;Acc:Q9FI94] MAGAGGSGGGGVVRDVEALEGVRSIVLKPSESLDESRFARIAGADFNDPGLGLEGLLASLASTGFQASNLGDAIDVVNQMLDWRLSHEKASEDCDEAELDPKYRESVKCKIFLGFTSNLVSSGIRDIIRFLAQHHMVDVIVTSAGGIEEDLIKCLAPTYRGDFSLPGALLRSKGLNRIGNLLVPNDNYCKFENWIMPLFDQMLLEQSTENVWTPSKVIARLGKEIDDESSYLYWAYKNCSELSRWKQYKVAIILNVRMNSGLPFPVPALTDGSLGDMLFCHAVRNPGLIIDIVQDIRLMNGEAIHATPRKTGIIVLGGGLPKHHICNANMFRNGADYAVYINTAQEFDGSDSGAHPDEAVSWGKIKGSAKPVKVHCDASIAFPLVVAATFARKVHSSK >Sspon.07G0000570-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:1294143:1296816:1 gene:Sspon.07G0000570-1A transcript:Sspon.07G0000570-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDASYDREFWNFSDQLRLHNNFSNLSIADSIWSSTTSPPDPSSGNPSGNTNSPGLIGSAKLAFGNATTTSADRYNANAAASKTMSVTDYYLNKNAIPAAGKTMDVADYYYLNKNAYANNDAVKSYFNKSVGRPVNNNNTVVVGGGKKNSAAAAHHDKKHKNSGNGNGAGVDKRFKSLPASEALPRGEAIGGYIFVCNNDTMEENLKRQLFGLPSRYRDSVRAIRPGLPLFLYNYSTHQLHGIFEAASFGGSNIDPKAWEDKKCPGESRFPAQVRVATRKICDPLEEDAFRPILHHYDGPKFRLELSVPEALSLLDIFAEKIFA >Sspon.03G0016820-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:40530249:40531475:-1 gene:Sspon.03G0016820-3D transcript:Sspon.03G0016820-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEFSYEEVDAATGGFAAKNLVGKGSHGSVYRARLRGGGRRMAVVAVKRPSHAQGEAKLANEIAVLSAAPRHPGVIGIVGVSSSPQPPAASGPRLPPLLVMEFMPNGSLHDLLHRSPRPPPWPRRVEIALDVARAVQALHAAAPRVIIHRDVKSANVLLGRDGRARLADFSLAVSVREEDDDDDDEEGGSASSAGPAPAGTIGYLDPCYTEPGRLGPASDVFSFGVVLLELVSGRKVMDVNSCPSSIVSWAAPLIGAGRAREVLDARVAAPPTARADRAVARVLALAARCVSETVERRPAMDEVVSELRGALQSAGWRHGNSGGGVVERVCRRVASWGQRVRRRSKRVVRATKVECTEHSDSGVAHDEALPSHRNNGMMGGTAHSDGSKAKESALNRFESELDLVFNS >Sspon.01G0030980-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:103670717:103676838:-1 gene:Sspon.01G0030980-3D transcript:Sspon.01G0030980-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASSPAAAAASPGRGAGDPPMAEAATARTAACPCPVCLEAFKDEAYLDTCFHSFCYKCICQWVRIVASKHAEPLSSVRCPLCKTENLSVIHAFDGESFERWYINQEPRKRRLSDAHELVSQFYNMKETTSNISGVQQYWEQKRYLRKKNWLETWLRREIQALTRDENVEAIVYHIHGVMGSFMKRLEKEHTSRTISPEKRREEFRRLVSDAARPFLLGRTERFVTEVELFLVSNLNMEAYNKLRVQRFRESSSHLTREQDVLPHDRSLEEHYLYFVCNDTDCDEM >Sspon.05G0020180-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:86134805:86136167:-1 gene:Sspon.05G0020180-1P transcript:Sspon.05G0020180-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAGAKKKKMLATLVGCNYAGTPYELQGCINNVHAMRAVLLDRFGFTPGDVTVLTDDHDRGRGAGVLPTGAGVKRALADMVARAAPGDVLFFHFSGHGTLVPPVNGRPRRGHGHRDDEAIVPCDFNLITDADFRELVDRVPAGATFTMVSDSCHSGGLIDQEKEQIGPDAVAHPDLHAGARAARFLPYAAVLVHLSGASGVGASHHVADHLLALFGADTSAKFHLHRSDHEGNSSGAARTDDAGILLSGCQTDETSADVAGDDVAAAGGRACGAFSAALQAVLAAHAAPMSNREVVRRAREVLGEQGFQQHPCLYCSDANADAPFLGQQETKAKSN >Sspon.03G0023410-3D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3D:56339018:56347666:1 gene:Sspon.03G0023410-3D transcript:Sspon.03G0023410-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ML >Sspon.04G0030720-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4D:2804899:2806450:1 gene:Sspon.04G0030720-2D transcript:Sspon.04G0030720-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RWISKVIRKRHESSVTSLAWHPNNIYLATTSTDGKCRVFSTFIKGVDKRGSQSSTSTDSKFGEQIAQLDLSSTWVFGVRWSPSGKTLAYAGHNSMVYFIDEVESSPAAQNLALRDLPLRDVLFVSERTLIGVGFDCNPMIFAADDTGLCPEAFRTELLAPVETM >Sspon.04G0028370-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4B:65208447:65210676:-1 gene:Sspon.04G0028370-1B transcript:Sspon.04G0028370-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRPLSGNGGVRLGGAAASARRRRAAAAAARARPGGGECESDWRGRGGRGFKARGAAGGAHGSPPVRRRRRAAATRRPRPERGVRWRERARGRGRGREAGQAGFGRGPRNEAEAQ >Sspon.01G0002460-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:6845807:6849640:1 gene:Sspon.01G0002460-2C transcript:Sspon.01G0002460-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAWARAKRALATSLCVSVPARQRAIDDAPPVAAAPVEAPSSVSEDASVSVRRLTSFGSRSSQQKTCAICLGGMRSGQGHALFTAECSHKFHFHCISSNIKHGNLICPICRAEWKELPGAQPADANYGRARVNPLNWSQDEGHMAVVRRLSHTYSGNLQEYLPYFRTLEAGIFNDDEHIDLQSDMNDEHNAVTGSVKINAYSEFPAIEQSVTKELFAILIHLRAPKSSHSTSSRAPLDLVTVLDVSGSMAGTKIALLKNAMSFVIQTLGPNDRLSVIAFSSTARRLFPLRRMTLTGRQQALQAVSSLVASGGTNIADGLKKGAKVIEDRRLKNPVCSIILLSDGQDTYTLPSDRNLLDYSALVPPSILPGTGHHVQIHTFGFGSDHDSAAMHAIAEISSGTFSFIDAEGSIQDGFAQCIGGLLSVVVKEMRLGIECVDNGVLLTSIKSGGYRSQVAENGRGGSVDIGDLYADEERGFLLTLHVPAAQGQTVLIKPSCMYHDAITMENIEVHGEEVRIQRPEHHVDCKMSPEVEREWHRVHATEDMSAARAAAEVGAFSQAVAILEARRRILESQAAQSSDNQCLALMTELREMQERVENRRRYEESGRAFMLAGLSSHSWQRATARGDSTEITTTIHTYQTQSMVDMLQRSQILVPPTADMLNRSPSVAPSQRSPHRFSRSSRSRTTKSFSEQFL >Sspon.01G0035760-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:8871898:8872228:-1 gene:Sspon.01G0035760-2P transcript:Sspon.01G0035760-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DGLLVGPRRAAGAAGLARRRVPLRGSPNRAVEAEAGGEHVRVRGRARDVGDAEPHHGAAARAQAAQPVPAAAAAVGRQVPPLPGLL >Sspon.05G0038980-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:56800049:56800216:1 gene:Sspon.05G0038980-1D transcript:Sspon.05G0038980-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding WPWAFPAQLRWKAKKAPFRGARAISEILLWKSSDSNLVSDPRAKGQSQVDSFYRA >Sspon.02G0054950-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2D:106562578:106562921:-1 gene:Sspon.02G0054950-2D transcript:Sspon.02G0054950-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAMITTRNWEKGLEQSVDSPRSIQARCASEGHSSQQIKGRWRASSVEDRWTKLCVIYRRGCVGGQFQLEFGGDHANLKLARAWEEGKLQWGK >Sspon.02G0031050-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:113616254:113617895:1 gene:Sspon.02G0031050-1A transcript:Sspon.02G0031050-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MIYGYKKMKVTAFIFSSATGQWRRVTFDGWKMNGHITSVLTSCCYHPHISVYKTSKLFSEVLMLDTHKMEFSVVRTPRVLRFGQHAIIEAGEGRLGFFTIGDGMLDLYCKAWQDNGVSAEEWQHEKTIPIPLPDSDRYSISFCGTGDGYLLIQAVPRDSAKLKQKPEIHYFTLHVKTLLVERIFFEFC >Sspon.03G0031310-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:26796158:26796577:1 gene:Sspon.03G0031310-1B transcript:Sspon.03G0031310-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAEELCESEVLWPEAPHHDGGGGDATPSCSSSSVAPRSRTLPAPRCVPETERPIHPGSRPVDIPRPAASSAARRRDHEDEDGGWSGSGTMVPPHVLVSRRRAAGAAAFNLRSGPGRARELSHLRNSVLRMTGFIEG >Sspon.01G0049540-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:96473022:96475362:1 gene:Sspon.01G0049540-2D transcript:Sspon.01G0049540-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDSEEHHFESKADAGASKTYPQQAGTVRKNGYIVIKNRPCKVVEVSTSKTGKHGHAKCHFVAIDIFNGKKLEDIVPSSHNCDIPHVNRTDYQLIDISEDGFVSLLTESGGTKDDLKLPTDETLLTQIKDGFNEGKDLIVTVMSAMGEEQICALKDIGPKN >Sspon.07G0021530-1P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7D:4125069:4128592:-1 gene:Sspon.07G0021530-1P transcript:Sspon.07G0021530-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPSATMSVQSKAATWDSRGTAMEEVPAALRLDWRDPIPIRYPLPLLGSSGFCSAPSWSLPLSDAMLLA >Sspon.06G0013250-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:57271264:57273661:-1 gene:Sspon.06G0013250-2B transcript:Sspon.06G0013250-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMSSSRALLRRIGGALLRRSFSDAAAGPDSAAAAAGYHVAGGPSYMRGAVFWEPGRPLTLEEFRMPRPKAGELLIKTKACGVCHSDLHVLKGELPFSSPCVVGHEITGEVVDHGTHTPAEIINRFPVGSHVVGAFIMPCGNCFYCVKGQEDLCESFFAYNRAKGTLYDGETRLFLRGNGKPVYMYSMGGLAEYCVVPANALAVLPDSLPYTESAILGCAVFTAYGALRHAAEMRAGDSVAVIGVGGVGSSCLQIAKAFGASEVIAVDVLDEKLQNARTLGATHTVNAAKEDAVERIKEITDGRGVDVAIEALGKALTFSQCTKSVRDGGKAVMIGLAAVNVMGEVDITRLVRRQVKIIGSYGARARQDLPQIVKLAESGAFNLQNTISRKCKIEEVNGAYEDLNQGKIVGRAVVEIME >Sspon.04G0012230-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:35061906:35065648:1 gene:Sspon.04G0012230-2B transcript:Sspon.04G0012230-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING-type E3 ubiquitin transferase [Source:Projected from Arabidopsis thaliana (AT3G07360) UniProtKB/TrEMBL;Acc:A0A178VJC7] MAKPTPVASAEEAAAMRRRLRRLVAAVAAGSADAEAFDEAAEALAKLRDAELGPRKDNRAGDGGRNKRRTEAAVPEHFLCPISSEIMRDPVVLASGQTYDRRFIQEWLSAGNRTCPQTQQVLSNTIIIPNHLVRSMISQWCTDNGITLPPVENQDEDLITNNERKTFSKIFERIASSSNLSEQREAIKDLRLLTKCNSSLRAAIGEKPDSISQMISIASNPELENNAEVLEDMVTIILNLSIHESNKKLIGDDPLAIPFLIRTLQSGTMEARSNAAAAIFSLSALDSNKVKIGELGVMRPLVDLLEHGSMIAKKDAASAIFNLCMLHENKSRATKSGVIDVTLKAIADDSLVDESLAILALLSGDHETVEEIGETGGVASMLHVIKEDQCKRNKENAVAVLFAVCMYDRTKLREVAEHEKLNGSLAWLTQNGTSRARRKAAGILDKMKRTLHQPHYSC >Sspon.07G0003480-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:8020862:8026412:-1 gene:Sspon.07G0003480-2B transcript:Sspon.07G0003480-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGSAASLGLRSGSYGSLAAAVVGSGGRKAGAGAACRPLRGEKERLQLLHRALRLVGRRRAGVLLLLAVASAAVFCSLFAVVKACPVCYVSVDQAFALMPLQASPSPVLKNLNYVSEDGITANLSNQGSGFGGHPSLEQRNDSFNINESMTVHCGFVRGKKPGQGTGFDIKDDDLLEMEQCRELVVASAIFDEETEAYVKNSSSLYNNNKVGLWRLVVVRNLPYEDPRRTGKIPKLLLHRLFPNVRFSVWIDAKLELVADPYLLLERFLWRKNTTFAISRHYKRFDVFEEAEANKAAGKYDNASIDYQIEFYRNEGLTHYSPAKLPITSDVPEGCVIIREHIPIANLFTCLWFNEVDRFTSRDQISFSTVRDKIRARVGWMPEMFLDCERRNFVVQAYHRELLEQMIASGWMPPSAVAATDAPSSRKVRAGSRKAPPSKKPSVKRKKEKKSSSRRRLPKPVAGGLGAM >Sspon.03G0007290-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:25007595:25012460:-1 gene:Sspon.03G0007290-4D transcript:Sspon.03G0007290-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLRALWQASFNATKRALVWSSDDLIPPSERCIFNFNSKDELKRWHLYSDSEYGGVFSGKLSLDMSEESTWRIRRYGFCGMRSKKFDGFIDLDAYDTIAMKIKGDGRCYISTIPLDRYLPTWRGNVIEAKLEMNPARIMGMSLSVNAEGGVPGAKTGPGDFRLEVDWIKALRTL >Sspon.02G0035070-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:16161269:16168795:-1 gene:Sspon.02G0035070-1B transcript:Sspon.02G0035070-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISGEERHAPAGGDSGGGKLWNLCRMPFRQAGGAPASAPPQSSSSSGIHHHSASRYGHEAPVAGDGAVQAASAGSISSVAKSLLPARRRLRLDPANKLYFPYEPGKQVKSAIRIKNTSKFHVAFKFQTTAPMGCFMRPPGAVLAPGETIIATVFKFVEHPENNENVLQKCKVKFKILSLKVKGPMEYAPELFDEQKDQAAVEKILKVVFLDVNGQSPQLEKVNNQIAEAEAALEARKKPPEENGPKIVGEGLVIDEWKERRERYLAQQQVEVVDSSARNKIQEHMQEEGR >Sspon.08G0017780-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:3673835:3674290:1 gene:Sspon.08G0017780-3D transcript:Sspon.08G0017780-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTPAAQAVVALALAAILSTPAPQADTFSNVPPTLSAGGDGKQERIKHPRSAKALQCTTKCVGTCIRGGGGAPGGPAQRQE >Sspon.07G0024400-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7C:22614742:22615192:1 gene:Sspon.07G0024400-2C transcript:Sspon.07G0024400-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding WRVCRTRPCSSDRRWRQRLSRVACAALRASASTDAGPRLSLRRRTRLSRSGERAVAATDASVAFEREAERTGPRRRCDRRAPAKRRTCLLLRKIDRWISVAGTRGTRRSDGRYCVASVGLATDATVQRLSPPKNAQWVSNGSISLWSYK >Sspon.03G0013640-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:39239600:39242311:-1 gene:Sspon.03G0013640-1P transcript:Sspon.03G0013640-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPYIYSLLNCLICMWYGLPFVSYGVVLVATVNSIGAVFQLAYTAVFIAFADAKQRLKVSALLAAVFVVFGLIVFVSLALLDHQTRQMFVGYLSVASLIFMFASPLSIIIPNGIGTILGIVQLMLYAYFRKGSSEEAKLPLLVTHT >Sspon.07G0007040-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:20349691:20350686:1 gene:Sspon.07G0007040-2C transcript:Sspon.07G0007040-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSHHEAMLPYAPGPPPSLLMDRRYKQGGEAAPNCPRCDSPNTKFCYYNNYSLSQPRYFCKGCRRYWTKGGSLRNVPVGGGCRKNRRGKSSLRSAADAIANGGGRDAAFGHRFPGPVRPDLVLQGMVGNPSNPAGQAMSGGVPAAADGSTIDLAMLYAKFLNHPPAEEGVSAVTPESAGQVDEAFDTFSASSDLSPAVLAPLQFDPCHDGFGEWSGGPVSSTGPSSTASTTTATTMLCADVSVQAAFGELNFAMDQSCFDSLGLPTDDVVGNLSSSWCSIVPGLSTLEDAKYDSLDSFPDDALSLHEGMISGADHDWSVDCQGLEALYMP >Sspon.06G0023030-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:41732175:41733224:1 gene:Sspon.06G0023030-2C transcript:Sspon.06G0023030-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLADLPAGPQIYRRCRAAGGGRHMQERRRVASSGGRSGARGCPHGLHARQVRVQQSGASSLSSSRVQLCRHGANHSPTIPTYPSPHRWPMAVEAWQQVAGAEPPRDYKYLLCLTPPLLLASIHHLRPLKSRATVCALLLMLLVLSHDGNNGGGGGTAMVAEARVCMGKSQHHSFPCISDRLCSNECVKEDGGWTAGYCHLRYCRCQKAC >Sspon.02G0017730-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:52693031:52701315:-1 gene:Sspon.02G0017730-1A transcript:Sspon.02G0017730-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSALGCVQQLYRSGCGQRCSQSNRPILGRSSDGTGYPLAPAVPVTACSARSERSSVAEGLRLIWGWGGGQWPGVGQERRVRAALGGQLQPAGGGGLAVLRRRTVVQQARAARQPSAQRPAPGSKPRELLSSLFSQFLCQMISWNTNLRQTENAPPPIVTKLLSGLANEMGAA >Sspon.05G0022260-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:7191730:7200028:-1 gene:Sspon.05G0022260-2C transcript:Sspon.05G0022260-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Synaptotagmin-5 [Source:Projected from Arabidopsis thaliana (AT1G05500) UniProtKB/Swiss-Prot;Acc:Q8L706] MADSMCKLVVRGHTLSLRKFAYNKSTTTEPHATAATIASFSKMTIEDSRKLLPADLYPSWVVFSSQQKLKWLNQELTKIWPFVDKAASELIKTSVEPVLEQYRPIILASLKFSKLTLGTVAPQFTGISIIENTKESGIVMELEMNWDANPSIILAVKTRLGVALPIQVKDIGFTGVFRLIFKPLVEELPCFGAVCFSLRQKKKLDFRLKVIGGEISSVPGISDALEDTIKNAIEDSITWPVRIVIPIIPGDYSDLELKPVGTLEVKLNNDLNPIWNEHLEFTVEDADTQCVTVKIYDDDGIQESELIGCAQVRLKDLQPGKVKDVWLKLVKDLEIQRDRKDRGQVHLELLYCPFDMKEESPNPFSQQFSMTSLERTMTSMENGSGGSSFDRLSSRKKREIIIRGVLSVTVISGEDLPAMDMNGKSDPYVVLSLKKTKTKYKTRVVNESLNPVWNQTFDFVVEDGLHDMLMLEVYDHDTFRRDYMGRCILTLTKVLLEEEYKESFNLEGAKSGKLNLHLKWSPQPIMRDSREADSLRFR >Sspon.05G0024240-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:11085451:11091542:-1 gene:Sspon.05G0024240-2C transcript:Sspon.05G0024240-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVARCDCYLCGLARSLCLDELTVLVHVLIPSEAEMDGTELENPTSRSSSQKSSRRSSSRRSQKSAGQHSLTSTQEKRSKSKSLRQKHLVIDDKEAKKGKNHAHKIDVADERSNFLGYEVYAGKLIFDKKNRSASDNNQLPSNGKADAIDARLTSKALIWGSSVLLLEDVVSVSYNSGVKYFTLHAYPTKKSLFGKTRRVQKDFCFIASTLDEAILWVTCFAEQSISVNLLPRPGASSINQDSENPLSESLFDQPPIKCKSPQRVLVILNPRSGHGRSSKVFHEKAEPIFKVLNGLLIRSDRAEAVSIPVGIIPAGSDNSLVWTVLGIRDPISASLLIVKGGFTALDILAVEWIQSGQLHFGSTVSYYGFLSDVLELSEKYQKKFGPLRYFVAGILKFLCLPSYFYELEYLPVSKEMAVNGKGTDQDKTYLSNVYTDVHSRSKREGIPRASSLSSIDSIMTPSRMSLGDVDTSGSTVASTEPSDYVRGLDPKAKRFSLGRSNIVSEPEEVLHPQPHHGSFWPRTRSKARAERNSVGVTSTNDTQLSWAAPSMHDKEDISSTISDPGPIWDCEPKWDTGPKWDSELTWEPDHPIELPGPQEDTEMGAPMELVPNLDEKWVRSVKLKPGANTHNGCGIDGELCRVKGQ >Sspon.05G0009800-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:5A:28033837:28034172:1 gene:Sspon.05G0009800-1A transcript:Sspon.05G0009800-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAPAFCVAALLLLLVSVAGVRAVPQSGTSACSTDLFRLLPCLPFIEGTAAVPADTCCANLGSMVHDEPQCLCQALSNPSTAPVAVNMTRVMAMPRLCRLDLPPATGACAG >Sspon.08G0027020-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:34443793:34445923:-1 gene:Sspon.08G0027020-2D transcript:Sspon.08G0027020-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAERKQRPGGARKDEVVTREYTINLHKRLHGCTFKKKAPNAVKEIRKFAQKAMGTTDVRIDVKLNKHIWSSGIRSVPRRVRVRIARKRNDEEDAKEELYSLVTVAEIPPEGLKGLGTKLVEDDE >Sspon.05G0024260-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:21246750:21248462:1 gene:Sspon.05G0024260-3D transcript:Sspon.05G0024260-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVQCDVCAAEAASVFCCADEAALCDACDRRVHRANKLAGKHRRFSLLHPCSSSSPAAQKPPLCDICQERRGFLFCKEDRAILCRECDAPVHSASDMTRRHSRFLLTGVRLSSAPVDSAGPSEEEEEEEQENSSSPCNDGSCSAGAATTASASDGSSISEYLTKTLPGWHVEDFLIDDASAADVVGACSDDLYQYQGQHGQISGVLQEAYMPWTAQEQVLADVVDERASWERWVPQMHAEFAGDSNRPRASPSPPYSYW >Sspon.05G0004610-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:8618277:8620001:-1 gene:Sspon.05G0004610-2B transcript:Sspon.05G0004610-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIQKNIGPNFVAGFCVGIALLAAAARQVIIRSREHDNRGSVADLVRRGQLKSGQRGTAKLRTYDDPFNNPLVKIDEGTSTAQMFGKEYRLAPVRLTKEQQEMHQKRRSRAYQWKRPTVFLREGDSLPPDVDPDTVRWIPANHPFAAASSEVDEETAKQNVYQKDGVPSRVKAEHEALQARLEASNAVTKLPSDPRSMQRNERPMRSSSKPSENLESSKFDNQDRQVSIESGKHSSDGSLQSNGPEGQ >Sspon.08G0020090-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8B:17566125:17567345:1 gene:Sspon.08G0020090-1B transcript:Sspon.08G0020090-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHAARRADVERAARPQGLADGEPERGQLQPPGPRVQPLRPHHPVRQQEPLAARHDGAVGRAHRRPRAVPVLPQPHLRRAQHQRHLREAAAAGVPAVGRRQSPCPLGRADPGRVRQRLLPEPGGAGWAAALGPGAFQWRLAGRAGDAVQQQRRPVLRRLRDRDDKDGQPDAVARDADGGQAQLQQ >Sspon.02G0039580-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2B:55769394:55769846:1 gene:Sspon.02G0039580-1B transcript:Sspon.02G0039580-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSALSFYGLPTSYGSGASSPPWAIPSHPLTAASHTTRMELTRLDLRARPLLHDRQREWQGARVPHDLISSYRSASPPALTLCPPSPSPAAGMSGEGRARWPALGRRAAGQGASVGVVSSLGVRVGMGRGQPRSSRQWGRGVAGRGARG >Sspon.01G0010470-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1A:29271032:29273173:1 gene:Sspon.01G0010470-1A transcript:Sspon.01G0010470-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFLVERRCGGGGGETTTTVVSSMDDMDMSSHAAKPAGPAPFLTKTFQFVDDHRTDHIVSWGEDGATFVVWRPPEFARDLLPNYFKHNNFSSFVRQLNTYGFRKIVADRWEFANEFFRKGAKHLLSEIHRRKSSSCLQPPQKLQPPLPQHQPYLSLFLPPQPPRHPSGYHVQEEDHGGKDFLATLAEDNRELRRRNSLLLSELAHMRRLYNDIIYFLQNHVEPVPLPVATTTASCRLVELGSTDSDTSAPTWRPRGDDEAPVKLFGVRLNDGKKRRAQQVVPLEEKGDGEQGESLGDGDHNGHGIRA >Sspon.06G0008890-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:47704403:47708035:1 gene:Sspon.06G0008890-1A transcript:Sspon.06G0008890-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPTGGDGDSAAARGRAGGGGTGAGRWAVGAVCGGLVYYHCAVRRASAVSLAADVLLVLLCSLSILGLLFRHLHISVPVDPLEWQISQEMANSIVASLANTIGAAESVLRVAATGHDKKLFFKVVFTLYFLAALGRVVSGAAVAYAALCIFCLYMFAQSTDLFDQLPSWVPVGRDSLGGSQDTA >Sspon.01G0028940-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:111029624:111035287:1 gene:Sspon.01G0028940-2P transcript:Sspon.01G0028940-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSFGDLGGGGSSSSKAAASFLQLPLPASTSAAQQVFPPPDRQHHHHHSPRIALQQLLADPSAAQQHSHQKDAAAIVQGEMSPPADGDADTIKAKIMSHPQYSALLAAYLDCQKVGAPTDVSDRLSAMAAKLDAQPGPSRWREPTTRADPELDQFMVPTEAYCNMLVKFQEEMARPIQEATEFFKSVERQLQLGSISDLVQRSPPRHFRDLLHDRKIIRSVDSSLWTASTQASVIYIKYKVA >Sspon.02G0031370-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:91069936:91073153:1 gene:Sspon.02G0031370-3D transcript:Sspon.02G0031370-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRARSSEGFLGVSRLRARRGLGAPLLAAAAFAYLLFVSVKLAGLGGAVGTAPAVGRLATAGAGEPPARARGGTSGGGVSGYGRITSEILRRQDAVRERRERWGRRLGNFTELERVAAEAWSLGAAAWAEASAYFGDVDSIASRDDGEGAAKCPGSLALGAGETAAFLPCGLAAGSAVTVVGTARAARSEYVEALERSGAGNGTVLVAQFAVELRGLRAADGEEAPRILHFNPRLRGDWSGRPVLEMNTCFRMQWGRAQRCDGTPSIDDDLVDGFRKCEKWERQDIVESKETKTSSWFNRFIGRAKKPEMTWPFPFSEGKMFVLTIQAGVEGYHINVGGRHVASFPHRMGFALEDATGLAVTGGIDVHSVYATALPKAHPSFSLQQVLEMSERWKARPVPEEPIHVFIGILSATNHFAERMAIRKTWMQFPAIQLGNAVARFFVALSHRKEINAALKKEAEYFGDIVILPFIDRYELVVLKTVAICQYGVQNVTAGYIMKCDDDTFVRLDIVLQQITTYNRTLPLYLGNLNLLHRPQRRGKWAVTYEEWPEAAYPPYANGPGYVISANIARDIASRHTNHSLRLFKMEDVSMGMWVEDYNASAPVQYVHSWRFCQFGCVDNYFTAHYQSPRQMLCLWEKLSAGRASCCNYR >Sspon.05G0038200-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:5D:35951499:35951783:-1 gene:Sspon.05G0038200-1D transcript:Sspon.05G0038200-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIFAPNPGVHVDQTPCQVTAPPHIKISPDPPFLVSPPSLPDTGPRPPESTRAATRAPPHLGPHRPLPSPCSSFFPAEPSRAPTHPLLAPPTRF >Sspon.01G0018040-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:67957699:67960767:1 gene:Sspon.01G0018040-1P transcript:Sspon.01G0018040-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding CADYLIEVGRMATAADPLRWTKQWRKATNVIRTCHRLARLTLSRAILRRTGSYVEIKIHDETEPTATATPAAPPARRAPPTLTPRRRSSLSPRTTRASGAWSRTSATTASAAWAAPPGSRPRWRRKRRPASAATTATCGAAGRPSAGTRTRGGSPRGFWTHVWDALSDVFLLVLLVCAAVSLGFGIKEHGLKDGWYDGVSIFLAVFLVAAVSAVSNHGQARRFDRLANESDNIAVNVVRGGRRQEVSIFDVVVGDVVVLNIGDVVPADGVFLQGHALQVDESSMTGEPHPVDVDAEKSPFLASGVKVIDGYGHMLVTAVGTDTAWGEMMGSITREKTEPTPLQERLEGLTSSIGKVGIAVAVLVFAVLTARHFTGSTRDEQGKPTFDRQHVTFNSVFTALVGIFQQAITIIVVAIPEGLPLAVTLTLAFSMKRMVKEHALVRTLSACETMGSVTAICTDKTGTLTLNQMKVTEFWVGTDRPEEVTGAVASLLRQGAGLNTTGSVYKPDSASLPEISGSPTEKALLSWAVTDLGMDADALKRSCKVLHVEAFNSDKKRSGVMTRDNATGAVIAHWKGAAEMVLASCSAYVGSDGAARVLDAGKRRKLEEIISEMAAASLRCIAFAYKHVDGEHSKIDDEGLTLLGFVGLKDPCRPEVRTAIEACTKAGVAVKMVTGDNVLTARAIAMECGIISNSDRDAIVIEGQEFRAMSPEEQLDIVDRIRVMARSLPLDKLVLVQRLKQKGHVVAVTGDGTNDAPALKEADVGLSMGIQGTEVAKESSDIVIMNDNFDTVVTATRWGRCVFNNIQKFIQFQLTVNVAALIINFVSAVTSGKMPLSTVQLLWVNLIMDTMGALALATDTPTKALMRRPPIGRTAPLISNAMWRNLAAQAAFQVAVLLALQYRGQEIFGINEKANGTMIFNAFVLCQVFNEFNAREIERRNVFAGVLRNKMFLGIIAVTIAMQVLMVELLTRFAGTQRLDLAQWGVCVAIAAVSWPIGWAVKFIPVPDRPLRQILATRRKLF >Sspon.07G0002220-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7A:5413516:5419328:-1 gene:Sspon.07G0002220-1A transcript:Sspon.07G0002220-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LLLGYLPTGRSLWAYELEKKRSQYSAYKEEFLLNPSEKLRRMEETKLSRKKELNIERIGFLPRLEVTNEEHPLSSGKSSLWNQYFQDSEILEQIDRDVKRTHPDISFFSSKSNQESLRRILIIFSKLNPSIRYVQGMNEVLAPLFYVFKNDPDPSSSASAEADTYFCFVELLSGFRDNYCKHLDNSSVGIRSTLSKLSQLLKRHDEELWRHMEVTTKVYPQYYAFRWITLLLTMEFSFNDTLMRICCAMLILVRKRLLAGDFTANIQLLQHYPATNIDHLLHIANRLRGTVLRRWWPLKESQDEQRKREAHEKGEKEKGQFEGSTLPQTLSRISSASCISLSRTRSPPPPPAPVPPLPAQARASARCRPQLLPHRRPLPDAPPPPPAPSMSCARLGVRLPPRPGDGPCLVNNRVELRLGKRLAEHLFSGLLYRARAVAVSCAAGTLAARLQARGAHHAYAASSVPAALALSADSLSAGRCCSLHGGDQLLRVCQSSTTQSNV >Sspon.05G0008220-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:16835275:16839524:1 gene:Sspon.05G0008220-2C transcript:Sspon.05G0008220-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPEAQWPGPRPGGPGRAWAATQARGTARFKRRPTTLLFPSPPYPSRCSSLPRALLSGRPRHAGHAVLSGRPDGPRAHVTGTARQAWRPVVARRGPARHGTLSSRAGTARPPPVAWQPARPRRWSGLTQPNQVTIPFPSRRPRAPLAAAPPSRRPHCAVHARPVPPQTNPTIYYSVPRCPCPPHPLHHHHPRPQQARSLPPGQTAPLPHHHRAPIRVHPSAMPPPRLLPPILLLILLLLAPPAAPQPAPGSAAEPQEDDLRCLKGVKQDLSDPNGRLTDWDFTNTSGGAVCNYNGIGCWNLQESRVLSLSLSGFGLVGSIPSSLQYCRAATTLDLSSNALAGTILPALCDWLPFLVTLDLSSNQLTGPIPAELANCRFLNTLRLSGNQLSGQIPASLARLDRLKTLDLSGNKLDGQIPPQLGDNFSKDSFSGNSGLCGRPVSSRCGRGLGSTGLGIVIAAGVFGAAASLLLAYFFWRCTGKGKGGRRRHRRGASESGGGEDGSWWTERLRAAHNRLAPVSLFQKPIVKVKLADLMAATQDFSTSHIVVAGSSRAGTAYRAVLRDGSALTVKRLHSCPLSEKAFRAEMGRIGQLRHPNIVPLLGFCVVEDERLLVYKHMESGALSSVMKKPGEAPLDWATRLRIAVGAARGLAWLHHGFQVPQIHQNLSSSAVLLDEDYEARITDVGLTRLVRMAPGEGGDTSPFLNGDFGEFGYVAPEYASNPVGTMKGDAYAFGVILFELVSGQEAAAVVTDVTGEGFKGTLVDWVNQLKASGRISDVVDKPLRGKSHEAEIEEFLKIAFACTQPRVRERHSMYRVYHALKSIGEGRDVTEQFDEFPLAYNKDDSDTM >Sspon.04G0036440-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:29688949:29689914:-1 gene:Sspon.04G0036440-1D transcript:Sspon.04G0036440-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLQLHRRLTLLLLPRLTRPQHLRHRLIIAVPVLAFLALCLSIFIFVRRRRLRREALLEAAPTPAAPATFPDDGPGEEVVHHVWHIRTVGLDDAAIDNTRTMELERPDQQTNEQRELRVQIDQPDHSSSLERRRQQIRHPGARARNFRRVASMDSPSPPTASAEEVPEDEQAGGEKRGTGGAVCCEVSPEPGHQLNRPAMKRSLSASSRWTLLPRHCRARSSLLPL >Sspon.04G0005250-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:15305753:15317306:-1 gene:Sspon.04G0005250-1A transcript:Sspon.04G0005250-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQHDSMADSPRRRYNLLRDKVQLVKRKDSNRYEIVRFHDPLSFEKGFFVVIRACQLLAQHNDGIIFVGVAGPSGAGKTVFTEKVVNFMPDVAVISMDNYNDATRIVDGNFDDPRLTDYDTLLENIHGLKEGRSVQVPIYDFKSSCRTGYRTVDVPSSRIVIIEGIYALSEKLRSVMDLRVSVTGGVHFDLVKRVLRDIQRAGQEPEEIIHQISETVYPMYKAFIEPDLETAHIKIINKFNPFSGFQNPMYILKSPRSLAPEKIKTVLGDDHTESNEETYDIYLLPPGEDPEACQSYLRMRNREGKYNLMFEEWVTDNSFIISPRITFEVSVRLLGGLMALGYTIAAILKRSSRVFSDGKATVKIDWLEQLNRQYIQVQGRDRLYVKFVADQLGLDGSYIPRTYIEQIQLEKLINDVMALPEDLKTKLSIDDELVSSPKEAFSRVSADRRNKLMKSGLSQSYSTHGDKNIVKLSKLTETNRRFGSGRALESPAINQGAITQLSEQISTLNERMDEFTSRVEELNSKFTIMNISRGQRQVIHQLDNLTNLLHEHLVLTRQANTASRNRVLDIDTVICPLICLTVASIGYFMFKGLNRG >Sspon.02G0008310-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:22754305:22759585:-1 gene:Sspon.02G0008310-2B transcript:Sspon.02G0008310-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAEVSVDEKLDKLRAENEKAGFISLVSRYLSGEAEQIEWSKIQTPTDEVVVPYDTLASPPEDLEETKKLLDKLVVLKLNGGLGTTMGCTGPKSVIEVRNGFTFLDLIVIQIESLNKKYGCSVPLLLMNSFNTHDDTQKIVEKYSNSNIEIHTFNQSQYPRIVTEDFLPLPSKGKSGKDGWYPPGHGDVFPSLNNSGKLDILLAQGKEYVFIANSDNLGAIVDIKILNHLINNQNEYCMEVTPKTLADVKGGTLISYEGRVQLLEIAQVPDEHVNEFKSIEKFKIFNTNNLWVNLKAIKRLVEAEALKMEIIPNPKEVDGVKVLQLETAAGAAIRFFDKAIGINVPRSRFLPVKATSDLLLVQSDLYTLVDGFVIRNPARANPANPSIELGPEFKKVANFLARFKSIPSIVELDSLKVSGDVWFGSGITLKGKVTITAKSGVKLEIPDGAVLENKDVNGPEDL >Sspon.02G0042850-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2B:86761601:86764056:1 gene:Sspon.02G0042850-1B transcript:Sspon.02G0042850-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNCSSATLEQCSSQMICPVNDTSIFYSSLSIKGQLWRVDALLVVEAVLAAVIVGIGAYGQRYRHHPITRFVFHGDTTLFLPIISYIASNINAGPNYIIPFPFTLPNYYDHGLQAMVATCYATGHVRYFIILASLVQIVMINASTIVSVDDREDQCKYPPHELIVQGIWTFYLGVSFVYKFGYYDFLSSAFLFTPIARDENGVELGGGGRRRVEGQVGTCRADGPGAVKDGHEAWTEGPGGQSERRTCDSSVAQEDLVDGRLSTAVTRVERRGTRMEYSTRERVWWFGPQNHRWRVYGFQPQNPGGGFEEERMKFETPIHPPPIAVFGPSLIRWQVRMQVMHHLPHSWS >Sspon.08G0007390-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:21718543:21732460:1 gene:Sspon.08G0007390-2B transcript:Sspon.08G0007390-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding HGLCSLPTRTSAAAAPNPSTARRRASTGKPPRAACRVLPRRCENLACPSTAPWAHAGKDSGPDVACRRSPPQSSPLRRTQRHRRHSRSRRRSPCAGPVSAGPVHRECPSSFAPTPSFLLPQYELPLEIYIQEYTAANFSITSSVDVTSNALARPISHPTARIAQSGFRQEWILGLLPCPYAPT >Sspon.05G0028640-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:70395152:70396435:-1 gene:Sspon.05G0028640-1B transcript:Sspon.05G0028640-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVFKGKIWAAIGSLMDHAGTASTIKSSSASAAAVPDRALLADIEAAIERCTGGSSSSGGGANDDRHVHEILFLVSNAPGAITFLSRRITARLEAARAPAAALRSLLLVHRLLRAGDRYFEQDFRGLWASRELRVDAPRCSCSPLAAAAGVVHYGSAGGAAVVASGACAFVHGYSAYLEERMQWVINQAGNLEPARKPPPPPDHDGGKPPLSSSSSSSSTSSHDASAETLLFKLAMCQRLLDLAIQLLPDNNTSASAAARSAFGIVLRESFKVYDAFAEGVDVMLLLLSRSLAGLSKPSRVTAHEILKKACAQTPELKEFYHKCKRSNASSKSLEYPLVRVVTPAQAFAMEMEPVTMVPIPEEDGCLQEEKVEAKAGAEAIDSSGSPFAHKMETTISTVWVEFDDENQKLITAAAADDHSLKTVQPS >Sspon.06G0020660-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:10550529:10555729:1 gene:Sspon.06G0020660-1B transcript:Sspon.06G0020660-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLLPPHSLYKGESQSQEDHHCSSLPSLLHFKNKRSFTASASIQIMACHLRSASVPSSPRYNKANIDEQLQSLKATISSPSVAIETMVVGLNKIVSIYSCIDELTSLPSNQRQQRKAVEEELERSLVLLDLCNTVLENFAELKVNVQEMQLVLKRGDHMALQAKVQSYARLAKKAQKQFKKINSKATSDIEGCRVVRFLAEAREIAMSMLESTSHLLSKKIAMPNASKWSLVSKAFDKKKVVCKEEQLQVLELDIVDLESGVATLFRTLIQSRVSLLNTLTLLAKKSKTTKEKGAIQVAEELLVKKLGDLSPPTTQEGDDQLEFYTQYFERPLTKEEMEALTGLELVYDLSTSQKPDYPASFDVRSSLAVDLLELLLCLLGQAGIRLNLGLKSHVVSSLENQLHLLDIDLELCKVL >Sspon.02G0017790-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:56636809:56640712:-1 gene:Sspon.02G0017790-2C transcript:Sspon.02G0017790-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GNNAPSGSRGSGIVLPFSATHNASTYGDEFDTTIITFNTAVILYHLHDYESALSVLDPLYRNIEPMDETTALHVCFLLLDITLALQDATKAVDIIQYLERSFGVANTMNPNENVSIPQQQLAQPKPPARINTPPDSDSNAYAGGYENLSAGIFPDDQIEFESLYSTFDGHQNLGRRILNDFSRASADLAATAADLKVRLQIYKVRLLLLTRNLKVAKRELKVLMNMARGRDSSTELLLKSQLEYARGNYRKAVKLLSTPNNRTEPAMLAIFYNNLGCILHQQRSYHTSIWCFSKALKYSLSLRSEKPMKLSALSQDKSCLISYNCGIQHLMCGKPLLAASCFREAMPLFYKRPLFWLRFSECSLLALEKGLLCANGASSCNDEIEINVVGSGQWRQLIVNPVNLRNNFDSAGVISGEHKNLVSLGFARQCLLNALLLLDATEQENSVIASNTEDCNQGAVQGYKSSGQKSTASTDSKSPSGPTLANVNGEQKGTSLNATLQSSLALYDEICRKENLKIKQAILGNLAFVELCLENPLKALSYAKSVLQLTDCSRMYVFLSHVYAAEALCTLNRPKDAAEKLSVYIRDGNDIELPYNVENSEKALVERDSDGEDSVAPSVTKLATEESEHSESLRPEEARGVLYIDLGMTAAMQGEVEQANYMVSRGLAMLPNNPRAVLASVYIDLLQGKSQEAVGKLRQCRNVRFRPGSIAASS >Sspon.01G0034200-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:1389138:1392301:-1 gene:Sspon.01G0034200-1B transcript:Sspon.01G0034200-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MECRVQSPWLPWGPNIAHTKYSVGCRVRAESMLPAGWLARSTAFVMIEQAYCFASVVQNRVVGSITKMSMELWASDEPPLTDIEKERAKNIMRMIRGCNSWAVAPPVAAIGASSKRVRAPNNLEQTRCTRQSVMREKEAAALSLVEATEVPPPVPDSISIQNDEGMDIADEGNRMNLIIDDKEKCAKLKQNREKVKFQQCTGSRSYIAATYVAKQEKYKDTEPTAIDLFKLTHCSKTKGLSEDAKKAARTSTRRGQEKTCTEIVAKVLPKSSTFLRNVGLQQHVAAPESVSLSPQMLELQAQLEAEKEESAGLRQKLQRLEAQAEESEAKAQRQAEEIENLKKATTDTQKAAADTQKLVRQMIAFGQ >Sspon.01G0029280-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:102268482:102270009:-1 gene:Sspon.01G0029280-1P transcript:Sspon.01G0029280-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAKEFGREVISMDKVKRDGEALITTGAGDEEEDDVVLPGFRFHPTDEELVTFYLRRKVARKPLSMEIIKEMDIYKHDPWDLPKASTVGGEKEWYFFCLRGRKYRNSIRPNRVTGSGFWKATGIDRPIYSVAAGAAAANSGESIGLKKSLVYYRGSAGKGTKTDWMMHEFRLPPAATDASPSMQEAEVWTICRIFKRNIAFKRQPQQQPAWRQQAGSNAPPPMLAESSSNTGSFESDGGGDEYMNCLPVPAVALGMPRLHRIGSMLNGGGVSVTGSSFFREGVHSQQFQGQWLNRFPAPAIEQKPQLLDSSAMTIAFHQNDQSVAAAAMTNDQCYKDGYWDEIARFMEVNDPTVLYDRRYA >Sspon.01G0008010-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:31179459:31181013:-1 gene:Sspon.01G0008010-2B transcript:Sspon.01G0008010-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGSGNASGGGSGGGASTCCYYALLGIRKNASATDIRTAYRRLAMKWHPDRWASDPGAAGEAKRRFQRIQEAYSVLSDKGKKAMYDAGLFDPLDDDDQPDEKKRKEINFPAVLSDGQDFSDFMQEMLVMMDNVKNEKPDTLEDLQKMLEDIVNGDGGGGVGGRMPPPPDGARRTRVAPYPQPQQARR >Sspon.01G0003120-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:7211878:7213693:1 gene:Sspon.01G0003120-2B transcript:Sspon.01G0003120-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAVEDIVIAGAGLAGLATALGKGVRCVVLESSPALRASGFAFTTWTNAFRALDALGVGDKIREHHLLYERMIAFSAATGEAAAEVSLKIQSKSGPHEIRCVKRDFLLQTLANELPEGTIRYSSKLAAMEVDGSVKTLHLADGSIIKAKVVIGCDGVNSVVAKWLGLPKPILSGRSATRGLAEYPAGHGFGPEILQFIGHGFRSGVLPCSDTSVYWNYTWYPSPADGDAEESVAKMRSHVVAKLRGAKIPAEALEVIERSEMSDVASSPLRFRSPLALVRGSISRGGVCVAGDALHPMTPELGQGGCAALEDGVVLARCLGEAFSGHEQDDEGRRVKAALEKYAEARRWRSIQLITAAYVVGFIQQSNNAVVRFVRDKFLSGLLAKTLVAMADYDCGTL >Sspon.02G0021900-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2A:73242209:73242520:-1 gene:Sspon.02G0021900-1A transcript:Sspon.02G0021900-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEGRNSWYPEPQIHCVSVPPKIALPFSSSIHIGSSARSHQKVDNLEDFTNKFEGFELMMQLTLDKLSGFNTEWSTADALLGVAADEIRGGGDVAKMVILSLT >Sspon.07G0037090-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7D:48553848:48554064:1 gene:Sspon.07G0037090-1D transcript:Sspon.07G0037090-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SGLAFLNEAERAINSSWLIDHRGTQGAFIADSIEAVIEERKMRKENACDILEENGSSQSQESNGLDLMFTD >Sspon.08G0004570-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:11173588:11181205:-1 gene:Sspon.08G0004570-2B transcript:Sspon.08G0004570-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histidine kinase 5 [Source:Projected from Arabidopsis thaliana (AT5G10720) UniProtKB/Swiss-Prot;Acc:Q3S4A7] MGDDYLAEPEDEVTQSMWPENLGDKHQRQFRMENFRKDQDAFKDVKFDEKPVHVDFQRLMEMANSEKGVSQMQYFMKHWAYKRANAARLLEEELGLLCQQRKEIEQNKQQILEEQRFHDESYYAVKRHVPILDEVYEDEWKRPSKKNDDLSRSRETKIDADYDSVSYWKERATKLEEKLEESIQRERSLVEKLEENIKNLQSHTPAEEFSGMLKRADYFLHLVLQSAPIVIAHQDADLRYRFIFNHYPTLADEDVIGKTDYEILSGEGIEEMNSVKREVMAKGIATKREFAFNTPMFGAKTFVTYIEPVFSKGGETIGVNYVAMDITDQVKRREKMADIRVREAVQNAKETELSKSLHITEETMRAKQMLATMSHEIRSPLSGVLSMAEILATTKLDKEQHQLLEVMLSSGDLVLQLINDILDLSKVESGAMKLESTTFRPREVVKHVLQTAAASLKKELTLEGCIGDDVPVEVIGDVLRIRQILTNLISNAVKFTHEGKVGINLQVVHDKQPGCKIEGGKIHKRAYSGTAITAAETAVVSPRNCDNDNLHCSKHEDAFQNGVPTCENFKEDIEGEEVVWLRCDVYDTGIGIPEKSLPLLFKRYMQASTDHARKYGGTGLGLAICKQLVELMGGTLTVVSKENEGSTFTFVLPCKIPVKEEHSDDPDEVHSSRNDSANSDIEGSFFFKPQMRASLLSPGVSIMNNTKLFGAKLMCYDPPDISDDRKLSSNGFSSTEHNFTNTSTARQPNGASVRSTAEEHDNAMVLELNSQAERVSSSRGDLVSVSGAAPCKVFEEQSLHKKSKCSPTSNKAKILLVEDNKVNIIVAKSMLEQLGHAIDIVNNGMQAIRAVQQHQYDLILMDVHMPEMDGLQATKHIRSFENTGCWDVSVKPEDNRMITDSAISSDCAHAKKQGQRVPIIAMTANSFAESAEECLAAGMDSYISKPVNFQNIKECLQRRFASNLVLFMGKPEA >Sspon.06G0021950-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6C:21642066:21645557:1 gene:Sspon.06G0021950-2C transcript:Sspon.06G0021950-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GYTTTIAGGLSSGPGHRDGLAQNATFSADFELVYVPKICALLVADRGNRMVRQINLKPEDCAHEKQSGLGTTSVSVIAILCALLGSIIGFLVRHFYPVNAGSLHQPLFQQDTEAIPETQRKATLISFCDIKSAVASSMGYTLLHRLIRLGRGYLAMLFPSLPFSYWATGDLISFAGDAGDKAGSGNANSQEGKVPSYEGDLMGLLYIPPGSVKKIDHMIETNLSGFSSHVNRRRLTVSGCSSLFPRLRSSLPSRRGKLLCPVCLAATKSAFVEAVAHRWKHRFPPVPPPPPTTLRPLRSSSDPLIRDHSMSMEKDDKDTERSGRQFDTEAPMSKVPVTEVFLGPQLQIGPHSQVVAVGRGYKSGKLLAAAGIVTDVPTEKYNLYVQNHLGALQLDFCSG >Sspon.01G0017560-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:64665619:64666416:1 gene:Sspon.01G0017560-1A transcript:Sspon.01G0017560-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding INSVFSFRANEDFLENDIRNRAGVLLACGATLYWADGKTATFSCSFLTNLTRDITLVGTNGTIHVTDFVIPYEEKSAEFHVASKSSFAELHIGWDPLPSKHVVTTDLPQEALMVQEFARLVQGIRDAGGKPEGKWPAITRKTQLVLDAVKASIDKGSEPVEVAS >Sspon.01G0001820-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:5185355:5193151:1 gene:Sspon.01G0001820-1A transcript:Sspon.01G0001820-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:H(+)-ATPase 5 [Source:Projected from Arabidopsis thaliana (AT2G24520) TAIR;Acc:AT2G24520] MRENLEQIMTETVDLENIPMDEVFTFLKCSKEGLSSNEAQTRAAMFGPNKLEERKENKVLKFLMFMNNPLSWVMEMAAVMAIALANGDNRPPDWQDFVGIVVLLILNSTISFIEENNAGSAAEALMANLAPKTKVLRDGRWSEEDAAVLVPGDIISIKLGDIIPADARLLEGDALKIDQSALTGECLPVTKSPGDGVYSGSTCKQGEIEAIVIATGVHTFFGRAAHLVDSTNQVGHFQKVLQAIGNFCIGTIAVGLFVEVIVMYAVQHRRYREGIDNLLVLLIGGIPIAMPTVLSVTMAIGSHRLSLQGAITKRMTAIEEMAGMDVLCSDKTGTLTLNKLSVDRSLVEIFAAGVEKDDVILFAARASRVENQDAIDAAMVGMLTGTVSARVHPNKYVVMAFSSPSIFYMDFAMILALCNCGDNVKNLVHTVIDKYAERGLRSLAVARQQVPEKRKESLGEPWEFVGLLPLLDPPRSDSSDTIKDALDLGVNVKMITGDQLSIAKETGRRLGMGSNMYPSSALLGQSKDEATASIPVDDLIEKADGFAGVFPGSDEVVHFDAEHKYEIVKKLQEMKHICGMTGDGVNDAPALKKADIGIAVAGATDAARSASDIVLTQEGLSVIISAVLTSRAIFQRMKNYTIYAVSITIRIVLGFLLIALIWKFDFSPFMILVIAILNDGTIMTIAKDRVKPSPHPDNWKLNEIFATGVVYGAYMAVTTVVFFWAMRSTDFFSNTFHVRSLRGSTEEMMSALYLQVSIISQALIFVTRSRSWCFTERPGFWLCAAFVIAQIVATLIAVFANFGFARIRGIGWGWAGVIWLYSLVTFVPLDLFKFSIRYVLSGKAWNNLLQNKTAFTTKKNYGGEERKAQWATTQRSLHGLPTTTESEAGGRRSSSNAELSEIAEQAKRRAEFARLREKNTLRGQLESSARRRGIDINAVRTPFYSM >Sspon.06G0004760-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:15293444:15301568:1 gene:Sspon.06G0004760-1A transcript:Sspon.06G0004760-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEAESSSSSALALALVALVVVPAVALLVRARWRRAAARREEVRRLARLAAEEAELAERESVLAYYSELFPGVVHAAEVPLAPEWGPPPVVAAAVPGPAAQEEFDAQPQPPVGAKGVCAVCFKPTTFRCKQCKAVKYCSFKCQIAHWRQGHKDECHPPSVNTRPDDEGKAEQGRAAEENVSVGVKQVAEMNRPVVGSGASDANHNLKSSNGKSKHMPSEVVCTSAEVPGGHQSNSTVEISQNVPVSVDNSKMGSSIKHTNFVEDGSSTVDLNGASPCKSQASPPNINGHSSFVSEEFCNHSKEHQRAKDASVVEDSQTNNNREVEDSSIPQATGSVVLEPKSSRTPIHVELERSKTKPVGNDSIQSMKPVPSALTVDKATSVRGSSSITPNPSKVADNLPDRSFKKPSDGSGSTANNLATSLKKMVRQQTAPKVVRHYPSESTLFPYELFVKLYGKVELHPFGLHNVGNSCYANAVLQCLMFTRPLTTYLLEGLHSKNCSQKGWCFMCELGKLIVEGKRHKTALSPTGILSHLHDIGSSLGPGKQEDAHEFLRCHVSSEQCEPMLDLTVEIDGDISSLDEALVRFTSTEVLDGENKYHCSRCKSYERAKKKLTIEEAPNILTIALKRYQSGKFGKISKAIRFPETLNMLRYMNPDTDDMPIYSLYAVVVHHDVMNAAFSGHYVCYVKDTQGKWFKADDSQVKPVSLDNVMSKCAYMLLYARCSPRAPNSVRQAMGDPTHPKKAKQKVVLGGTTWRGGSLNRHQAGQPHRDHTADDLTHAFDEYRDAPYSPSDSPSPSESSSLFSNSDAGSHSTVSTDSSESTRNSTSTEEYEYVFGAADQMYPGGPMGGAPAESDYHSRSRSSLNTSSSGRGEAYSAYSSAEHKLQGGSGGLWVEGDDSPSLLYTDRSNQQLSSKLTDQYRQLDRSRHDPGETRGGVLLRRPSRDRTAQMMSRVLGCREIM >Sspon.01G0043700-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1C:72710802:72714372:-1 gene:Sspon.01G0043700-2C transcript:Sspon.01G0043700-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTGMVPLSMFPDKSSFCSLELLPKLVGIGPEKELWLRLRLNKKLRLPSSGGKAPAKLFAAKLRSCKLVMFPNAAGMAPDMLLPS >Sspon.07G0015510-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:55549810:55560250:1 gene:Sspon.07G0015510-1A transcript:Sspon.07G0015510-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:E3 ubiquitin ligase, XA21-mediated disease resistanc [Source: Projected from Oryza sativa (Os05g0112000)] MGHGVSCARTGDEHDYFRAAQVGDMDALGTLLAADPSLARRATLYDRLSALHIAAANGRLEVLSMILDHGVPPDAVNRHKQTPLMLAAMHGKIDCVLKLLQAGANILMFDSLHGRSCLHHAGFARFVNVRDDHGATPLHLAARQGRPGCVQVLLENGAIVSAVTGSYGRIPYAVALKRSHEACAALLNPSSAEPIVWPSPLKFISELDPEAKALLEAALMEANRERERKILKGTKYSPPSPSPCEHENDADAIDDASSEASDTELCCICFDQACTIEVQDCGHQMCAPCTLALCCHSKPNPTTLTLPSPACPFCRGSISRLLVARASTASSDDADKAASAAASSPQLVRRRSRRSHNLSDGGSSSFKGLPSAVAGSFSKIGRGSSRMADSDGMDKPEHDL >Sspon.03G0046360-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3D:35745788:35746476:1 gene:Sspon.03G0046360-1D transcript:Sspon.03G0046360-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DRMVRRRLTSPYERLGVVMGLGLHALLTALKTMDEAPAVIESYYNKRIVGCPGGEGEDEHDVVWFWLKKDEPHECPVCSQYFVLKVIGDGGDPDGHDDEDDGHH >Sspon.03G0037770-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3C:919132:919707:1 gene:Sspon.03G0037770-1C transcript:Sspon.03G0037770-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPSGRPRSLIGVPAERGGMTMFSGSSSMPRIRPGHQDPLRRRTQTSAPTSASGPVTPPGHSSSPAQLPITLYVCNPYLTTTIASPLVPPPTNQVKKSPFLVQEIRRGLRNPEMACRRWLCEPGRRRRRRLPRGGGGSRCAGGEKRCGRSGGAQSGEGGRRELGVRGVRWAVLGAHDGANGLREDPKPIFSD >Sspon.01G0060200-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:74899289:74900607:1 gene:Sspon.01G0060200-1D transcript:Sspon.01G0060200-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDKPITMISDEEEKEPTTLDEEDIEALAKPPDWLPDGWIMEVFRAEDGTIIRFYVHSITGVRLLSKQDVLLYINEAKVSRCDTNGQCDTNSEDNVCLLLELVQLK >Sspon.01G0029570-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:72198300:72200401:-1 gene:Sspon.01G0029570-2B transcript:Sspon.01G0029570-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MCDAQAAGEGPRCVGCGGRVKTLFVQYSPGNIRLMKCDKCKAVADPYIECEFMIILIDLILHKTRAYRHVLFNKLSMGSSVDKGILYRSTLIHIALDAFRISFLKGNRADGASSRSIFSTISNCTEVIGDALLGNIIFMIMLLLGVRFILKLSFDIIRYRDVLFAVIISSYFKLFLFTMMVWEFPSSVIFIVEMFVLSSNVVALR >Sspon.01G0043740-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:76869908:76870864:-1 gene:Sspon.01G0043740-1B transcript:Sspon.01G0043740-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding KACASPGASARLDVRSPTLSTFALPYPPLPHPTSTLRPRLYVRWLPLTPSSPALRVGSTQDRNDELRTSTPTAAPPSVDPPPVPPFAALLSHRAPSRLAPEGGRSWSSSSWEVAASCGMRAPPAPAALMGALASAEEEQRHHDICAPAALGHDDVSSVYDSDGGRTNGEETSDAGD >Sspon.04G0007450-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:18088481:18092854:1 gene:Sspon.04G0007450-2B transcript:Sspon.04G0007450-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGDGWARRRASVQAAAARHTAAAGHAAAEPPPGKAVTAPSPHSDPDRACIAVVSSFPRWRKLVTLVATSLQNYLRQDCSAVEVSASDAALVGESDRGGDLGAFRGRQVTGVAVHLRLDVARVHAVDPQRRVLPGEDPGVGGDERLGRLVRRVHPVRRPSTGLQLVALVVLQEQRRQLVQPSLGQRVDAAQVLPELLAAEKLHQREQDT >Sspon.08G0020740-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8B:25441516:25444897:1 gene:Sspon.08G0020740-1B transcript:Sspon.08G0020740-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GSSWRWCMARTGSVKPPCVLPLLVKFATFISSPSLPSFVSIPSPPNPVRDQRCVEMDPAKNRELMAQQAAALVVVMVSYVVLYAVGELRSEMIKPPTPSIHPKNLGSHKWNPYLQDCIGAIDGTHVLARVPRHMQQAFRGRKSNPTQNVMAAVDFDLSGFLPPYKGVKYHLSEYVSRNHPTNARELFNLRHSSLRVIVERAFGALKNRFHILDNKPFHPYKTQVKLVLAYCILHNRILGFGMDQVVPPEDWLPPNQPDSQPIHELLSQDSNVMSTRRDAICTAVWEGWLRLLLRVGLWLLLRSWLRLLGAKVAPAADVATIVAPVASPMRLDKVFKDNDVNLVAKYLKNYSGDAVSPTQVYNHLRKWRQKWSRICKLKDLSGAIWDSEVNAIMLDGEHYLGHCKDHPKDAEFLNWPIRFYSEIEAIFGHSMATGRYALGSGEALGVNQANSAAAKVEGSAFHHVPEEKTNTEVGEGSKAIELLHSTMGGKRKIGNFTEDEIILLINMSNAVNNVTNALRETGAAHVDPDLYLTVMEMQGFTTEALIVAYTYLLENKAIAIGFVKMAISHRDI >Sspon.02G0032460-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:86684569:86686314:-1 gene:Sspon.02G0032460-3D transcript:Sspon.02G0032460-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAFAAQVVRGRWFMAYGSFLIMSAAGATYIFAIYSKDIKSTLGYTQEQLNTVGFFKDVGANVGIHAGLVAELAPPWLVLAIGAAMNLGGYLMLYLSVTGRAGAAPPLWLVCLYIAVAANSQAFANTGALVTCVKNFPESRGVMLGLLKGFVGLSGAIFTQLYLAFYGPGGSGGDTRPLILLVGWLPAAVSVAFLATIRIIRAPPRSPVAGRREYRAFCGFLYVSLALAIVLQKRFRFTRAEYAVSAAVVFLMLLLPLGIVLREEAALLKANITNAPESQARPAATPALPAATKQQPPPALPATTAGQRLLLSLRPPPRGDDYTILQALVSVDMLLLFTATVFGVGGTLTAIDNMGQIGESLGYPQRSVATFVSLISIWNYLGRVTAGFTSEALLSRHRIPRPLLVAGVLLLTVPGHLLIAFGVPGSLYAASVLVGFCFGAAYPMILAIISELFGLRYYSTLYNVGNVASPVGSYILNVRVAGRMYDREAARQGAAVVPGKAGGVTCVGKRCYRESFLVVAAVTVGAAAVALALAWRTRAFYAGDIYARFKEGATGTGASGNRVRVGEDEDASAAAAAAES >Sspon.02G0022690-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:69723254:69724336:1 gene:Sspon.02G0022690-3D transcript:Sspon.02G0022690-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKVGVSNFRNAWESMDPESERVDEYGLGVRESLAEAVSAVISILGMQPCEGTDVVPSNSRSHTCLLSGVFIGNVKVLVRLSFGISAPKEVAMKLAVRSDDPEISDKIHEIVANG >Sspon.01G0022690-1P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1A:82619691:82625168:-1 gene:Sspon.01G0022690-1P transcript:Sspon.01G0022690-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMLRRNLKRQASRSLSAFAGAASPRAVDQENLHPNLASSPPASPAKGASSPRPKQPAAAAAAPPAATAEEDHSTAATTAPADDEPSVKVVVRVRPTVSRPVDGKDLWFVRKTAPDSIAVGDRSFPVDGVLDDRASQADAFDLVGLPMIENALAGFNTSLVCYGQSGTGKTYTMWGPLGAMVDSGSDHADRGIVPRVFQNLFSRIQRMRESSPEKQTSYQCRCSFLEVHNEQINDLLEPSQRDLQIKENAGNGIHVENLTDEYVSTVEDINQILMKGLSNRKVGTTSMNLKSSRSHVIFTCIIEAWSKGSSNGFSSSRTSRITFVDLAGPDTDELDGAAKHSTKEERHLKKSLSRLGCRSGTLSTLRFGERAKLMPNKPVINEISEDDVNGLSDQIRQLKDELIRTKSGDTTTCKAGYFSAQSARESLHTLRVSLNRSLILPHIEVDSEEEMDVDEEDVQELRDQISKLHSSSEDTFDDFMDAESGDENTPCSIGRSGEDDQVIIDDFEGPQQEEHKEVSNNTNANEDLSSNRKSSLSISASPRLSPIQDPTLCSSPKIHNKARKSITSPGLSPSKLRVSDSPGDKNVEALPEDQGSNLCSSCKKPMNTNENQTENDGNITKEIASKRETELEALCEQQAAKIKELSTLIDQYKNRSEDGLDSNSIAPAEELTSEGKVSEQCHDSKVSLDVNEREALLAEIESLQKQLKNQTTVSTTDSLLDQLRNGSTDQEYELDKERQKWMESESKWISLTEELRVDLESNRMHAEKTEMELCNEKKCTAELDDALQRAMYGHARMVEHYVELQELYNDLLEKHRRVMEAISEVKRAAAKAGRKGCGTAFAAALAAELSTVRIDREKERGQLKEQNRRLRIQLRDTAEAVHAAGELLVRLREAEEASTLEKERTAALLQENEKLKKQLEKLRKKHEMELETMKMHLAESRLPDSALGAFYHHENERTPEYSCDAPLTHDDDQSWRAAFASAYE >Sspon.08G0010370-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8B:40123018:40123500:-1 gene:Sspon.08G0010370-2B transcript:Sspon.08G0010370-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKAATYARHSCGCAELHKSASPPPPRTEKEAAMAMEETSKMTGRKKGGLRTMPFIFANEVAEKLAVVGFSTNMLTYLTTQLHMPLAKAATTLTNFGGTSAATPLIGAFLADACIGRFWTIAAASVVYQV >Sspon.06G0033770-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6D:21102265:21107999:1 gene:Sspon.06G0033770-1D transcript:Sspon.06G0033770-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRDDAGGGGGGLHELFDSVRRSISFRAGAAAVDEPSPSLSSSSAAAAGGAGGFRERIGNRLRRSRGMGLLGMAAKGPSPTRRLLPPPSPPPPPPVAAALASDGCGRVGGEGSEGGEENSADPVAEGRPHREWRVRAGVLIGSSNATREKAQAHVRELEDEVKMLKNLSHPNIVRYIGTAREENTLNILLEFVPGGSIQSLLGRLGSFPEAGANILVDNKGCIKLADFGASKQVEKLATATAAKTMKGTPYWMAPEVIVGSGHNCSADIWSVGCTVIEMATGKPPWSHEYQEFYTEQLQLFNRDLSRPGKLRNLNSYKSSDTRPLWDLQCNDDDICEFADKDDVPMVGSSFNPMSEPSDERESKLDISPEQQFSESREFGGLAKLAESQMSENDFTFPCEGSCEEDDEFTESKIKEFLEEKATDLKKLQTPLYEFYNTVNAGVSQGVSDVCRASNITNPQLPPQAIKMAGGAALEPICVNLKNASPKSCTRRFSRSSVESSRVLREIASPELNKLEGKVHDDTEDNPSFSFSEIQRKWKEELDQELKREREMRSGGYGKAPSPSPKSRRLTGKRDRSPVY >Sspon.03G0017460-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:54554779:54557745:-1 gene:Sspon.03G0017460-1A transcript:Sspon.03G0017460-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATVEGVRHRTVEANGVRLHVAEAGPEEGGAPVVLLLHGFPDLWYGWRHQMAALAARGYRAVAPDLRGYGDSDSPPDASSYTTFHVVGDLVALISDLGQPQVFVVGHDWGAIVAWQLCLLRPDLVRALVNLSVVYHPRRPEMSPLQAIRAVCGEDYYMCRFQEPGVAEAEFALYDIKSVFKKTFGMRKPAALILPKDMSFFDSLDSDGTCPPWLSEEDISYYAEKFAKTGFTGGFNYYRCIDRSWELSAPWTGAQIKVPSKFIVGDLDITYNAPGVADYIHKGGFKASVPNLEDVVVMEGVSHFINQEKPNEVSDHICEFFSKF >Sspon.04G0014920-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:55910082:55910758:1 gene:Sspon.04G0014920-1A transcript:Sspon.04G0014920-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPEVLRNEPSNEKCDVYSFGVILWELATMRVPWSGLNPMQVVGAVGFQNRRLDIPKDVDPRVASIISSCWDNDPSKRPAFSQLLSPLKQLQRLVVTESC >Sspon.07G0015530-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7C:61042274:61042618:1 gene:Sspon.07G0015530-2C transcript:Sspon.07G0015530-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MILASDGLYDYLSNEEVVAQVEAFTASYPDEDPAKYLSHEILLRAANQAGMGFHELLEVQQGDRRQYHDDVSIIIISLEGKIWSS >Sspon.02G0034490-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:12885036:12898289:1 gene:Sspon.02G0034490-1B transcript:Sspon.02G0034490-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSVIFSPSNQVVQPQISSLRSQAPPPPPSASSPVYGSDEMAAPLRRSLPSLGRALLTPAPAPARMLSAEASDALVEIKPGEIGMVSGIPEEHLRRKVRKRHTPLLKPKAYAENFKWRGPRRRSKHELKTRVCEPDIGTMLFS >Sspon.07G0008390-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:19846470:19857149:-1 gene:Sspon.07G0008390-3D transcript:Sspon.07G0008390-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSHCWESPPALIPAGGVGEVVDDFGGLKAYVVGSAESKAAVVLVSDVFGYLVVVPDFLHGDPFDPSNPNNRAMWLQAHSPQKAFEEAKPPIAALKEKGVATIGAAGYCWGAKVVVELAKVHEIQAAVLLHPSLLTVDDIKEIKCPISILGAEIDKTSPPELLKEFEQILSANSGIDHFVKIFPGVAHGWAVRYSDDDVAAVSSAAEALHDMTHWFNKYLK >Sspon.01G0015240-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:45283624:45289976:1 gene:Sspon.01G0015240-2C transcript:Sspon.01G0015240-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MCPGSVESAGAAGKSVCVMDAAGPLGHALVDRLLRRGYTVHAATYAVSGDGEREEEEEEEVAAALLSHLSSCGGGDVDAYRRRLKLFRADPFDYHAIADAVRGCAGVFCMFNTPDDQAQCDESTVETEVRAAHNVLEACAQTDAMERVVFTSSVTAAVWSGAGAGTGTHGHEEAVADEKSWSDLAFCRKFKRGMHGQAKPWGVGTGGGKDGKKEMGDVLIQLAAAPAAGRYLSLSPWMIDPSSIRDGCHPFAVASRTSFFCGCRRVACHSSPAGSAACVLGNLASNQLLSVKHAAANRIGLPPDLSPVSVCALLQLWHALAKTLSEKTAWALAMDRGVDMVAINAGLLTGAPGLRLTAAHPYLKGAPDMYDGGVLVTVDVDFLADAHVAAYESPTAYGRYLCFNNAVCRPEDAVKLAQMLSPAAPPHARSPPPPSDELKVIPQRIQNKKLNKLMLEFASGV >Sspon.06G0016790-3C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6C:76034121:76035191:1 gene:Sspon.06G0016790-3C transcript:Sspon.06G0016790-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLAGLVLKRLPAHVDRIRFAAVCPQWRSAARQVRLPPPLPLLALKAGDSFYSMPRGEPLHFAGCNGFDTACGNWLVYRRSCDLLLVDPFSGATMTLPAQSSVRLGNEDEGEDSRDGHDSADDGEDSEGGHDSADDGDDSEDGSVYTDISVKLLTDVKYSDVIKLVVCSPNLIAALFKGRESNRLAVCRPGGSMWSVAGDLSLWITDMAFYQGKLYVVHYHEDLLALDISVDDNTGDPRVAQIGRVINVSHFDDERTMLRMLYLVESCGSLLLVRRRIFHTHVHDDEQIHTFAGQCEPDLSIFQADFARSQWSKVTALADNQALFLGPCSRAVCMAQSDSPGNRVWFLDDYKDFHLW >Sspon.04G0025420-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:31366064:31368365:-1 gene:Sspon.04G0025420-2D transcript:Sspon.04G0025420-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKETEYYDVLGVCPAASDDEIRKAYYIKARQVHPDKNPNDPHAAEKFQALGEAYQVLSDPLQRKAYDGYGKNSISRENILDGTVVFTLLFGSELFEDYIGHLAMATMASSELTSDNDSPEKLQDRLKNVQREREEKLARFLKEFLSQYVRGDREGFANRAEAEAKRLSSTTSGLDILRTIGYVYSRQAAKELGKKAVYLGVPFIAEWVRNKGHLWKSQITAAKGALQLLQLQEEACRQSSKDGNATEQDVDLQMRMNKDLMMSSLWKLNVVDIEMTLLHVCEMVLYENNVKKEDLKARATALKILGKIFQREKEALPAPAPAPVPGPSGPSKRTVLDDDSSSDDSSDDDLARTVPYRTPAFTQAIVQPPAESAGCSDAYATQLMMLTMISSPTNESQRG >Sspon.08G0019370-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:14771066:14776646:-1 gene:Sspon.08G0019370-2C transcript:Sspon.08G0019370-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPALRPRRRLPVASLRRLLCTPSSSGTGESDLPVDAAAMAAAAAKARAEAAARARAEAYKQVQNFDWSSGADWKTAANIVFTVPPKRKEFGLDFHLVQLFFVCMPSLAVYLVAQYARREIKRMEGEAEEKRKKDEEHEKQKQLEEESAKKDADSKLSKVLDRLDTLEAVVEEIVDDKRKLSSPDLPTKAEVAKKDKASPGKASDSKNDSQPVTVKSKANAPANTAKPNIKGICDKSFPV >Sspon.05G0016440-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:62695047:62698407:1 gene:Sspon.05G0016440-3C transcript:Sspon.05G0016440-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPKQGILQSGQLVAVKKLVRTSGVHDRRFQNEAGNLQILVHRNIVKLLGSCYQVEKKLVERNGRHFLADVPEKFLCYEYLSHGSLDKYIYDQSSELDWPMRIKIILGICNGLHFLHEERSEAIVHLNLKPSNIMLGDDMVPKIADFGLSRLFGEEQTRILTQNVVGWIGYIAPEYHYRGEISVKSDIFSLGVLILEIVTGLKRDLNIQDISSKLLIDNVSKNWTKMSHIESKYPSLEEQHMLQVKRCIELGLNCVETDPNKRPTVGSIIGKLEEISHEASIHKFMEKKLQLITEFPREPKLHFVEEITGNFANEREIGKGSFGVVYKGLLQNGEVVAVKKLLVVPEINLDKQFTNEVFSLIDLNHRNIVKLIGYCYEIHKKLVESHGRYVFADTQERILCYEYLPRGSLDKYLYGASHELNWSISFKIIQGICQGLQFLHELQRPIIHMDLKPGNILLDDNLMPKIADFGLSRLFGEEQTRTLTSNVVGSRGYMAPEYYYRGEVSAKSDIYSLGILIIEIVQKTWTKMPQIALKYPMLEASSLQQVKRCIDVGLNCVSENPKERPSIGKIMEELNGSGFSSSS >Sspon.03G0020920-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3A:64666979:64667926:-1 gene:Sspon.03G0020920-1A transcript:Sspon.03G0020920-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSDAAPHVVEDFFGVVRLFSDGSVVRGDESVLMPEGPFPDVPGVQWKDVAYDAARGLKVRVYRSSTAREKLPVLVYFHGGGYCIGAYDQPMFHSCCQRFAAELPAVVLSVQYRLAPEHRLPAAIDDGVTFFSWLRRQTAGGAQGTEPWLEESADFAQTFVSGVSAGANLAHHVVVQIASGKLAVDPARIAGYVLLSAFFGSAERTAAESESAANVSLTAAFDQIWRLVLPAGATRDHPLANPFARDSPGLEPLPLPPVLVVVPGLDTLRDHMLRYAARLEEMGKAVELAEFAGERHGFSVRGWSEANEELVRILK >Sspon.06G0012580-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:66536975:66537277:-1 gene:Sspon.06G0012580-1A transcript:Sspon.06G0012580-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding INGGEAGTHADEAAPREEEPVAVVVVGGGRAAGPPGGVRGRGAEAAGDPDGVPQPPGVRDAAEAGGGRVRIRPPLRRPHHPLRLRDRVRPHRRRRRGHAPP >Sspon.05G0015930-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:64116979:64118365:1 gene:Sspon.05G0015930-1A transcript:Sspon.05G0015930-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MWSSDSERDETVDTATTTSSPAQQPSTPPAPPRRRRQRARRRAQRLRAKNIGAGEEEPVEEEEEAEAEDVWRALQQQREREREAWPRRASRPVVVAGEEGSPDAASAASGENGGGMGRARSLTDDDLEELKGCVDLGFGFSYHEIPELCGTLPALELCYSMSQRFLDEHQQLSKAEEAPALAPASPAQPVATNWKISSPGDSPDEVKARLKYWAQAVACTVRLCS >Sspon.01G0044010-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:79015027:79015971:1 gene:Sspon.01G0044010-1B transcript:Sspon.01G0044010-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MANTRHATYEAAETGGNGAGAGGSGANNVNHHEPQPEPQLPPPPPLTAEVFFAQFLGSQRNAEQSQKNMEDFLRAITENVQRGNNQGGGHGVNQYNNFKDFLDIRPPIFKEATEPLDAEEWINTMEDKFRVLRLTEISWREFTEAFCGVYIPPGLVEMKLGEFLALNQGTKTVTQYLHAFNNLCRYAPDMVDTDAKRIASFKRGLSPKMMKHVGTNTRARFNDFVSDCLKQEKNNNAYTASKNRKRVFESGPSQSRVLTANRSSYRLSAPGARFRPPQHKTQN >Sspon.06G0010840-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:34883716:34887450:-1 gene:Sspon.06G0010840-2B transcript:Sspon.06G0010840-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYTNAIHIIPDAAGPDAWTNAAPSTGGDAAIWATEDDYRQWNADPGYGDRNPSSRAGSEQPPPGKKARGGGGGGGGGSDGGGGSSTSKSRAIGKMFFKTKLCCKFRAGTCPYVTNCNFAHGMEELRKPPPNWQEIVAAHEEATEQREEHQIPIMTSGSVVAGDGGGGGSQGGRAYKGRHCKKFYTEEGCPYGDACTFLHDEQSKARESVAISLSPTVGGGGYNAAASANGVMVQKPSNWKTRICNKWEMTGYCPFGSKCHFAHGSAELHKYGGGLVDIDGRDIASTPDSKQAGASAKAPAESAAASTAMPPHADVYHLGIQSQRSTIVSQRSGQLQRPIQKWKGPDKISRIYGDWIDENE >Sspon.07G0001180-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:1955821:1965214:-1 gene:Sspon.07G0001180-2D transcript:Sspon.07G0001180-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMVIIGPSRGGGHAVFFSCSVGHGRYIQMCFIFSIPSVFTCSLWLLLIRFRHFEELHRRLKEYAQYNLHLPPKHFLSSGLEVPVVRERCKLLDIYLKTYIFADSLSVIQTLSVSLDERSNGKNIKALNSSGALNGNLISGGQSLHGHIDDTVHKDSDIAGDGLRFRKGNVNKNLGHTTDSVRDTTANHYQDNSGSDPEQNDHSFSIDAVNPKKLRSSETNDTSQISESDGFSVSPNDLQDGGWIRRQAFWVAKQILQLGMGDTFDDWLVDKIQLLRKGRIIAFAVKRVEQILWPDGIFMTKHPKRKTSPPPGAQSDVMGNYLSDEQRIEAAHRANFVRELIIDKAPSPLVSLVGRKDYERCAQDIYFFLQSPVCLKQLAFELLELLVLSAFPELDGTVRKWHEDKQQFCAQ >Sspon.01G0042860-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1C:63707397:63708463:1 gene:Sspon.01G0042860-2C transcript:Sspon.01G0042860-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding NSSNEQGVSDWVLTVEHPATIIVTCRYSSGPGVVQQSAMSSLVRSFASLYCQKLRSTTRLGPVTVAPPRFQALNRRGFSASSGAADARRPPAALPMPSQQSQQQKRTPFFTWARLAVGSILAAATPFLHSRWASFLRIQSEVEMVKDTAEAVAEVVEKAATVAEKASSEVAEQLPENGRLRAAAVLLEHASKEVGEEAHLAHDILHKVDEIEEDVKAIMDHSKHAHAKARVHD >Sspon.02G0037230-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:30710857:30714379:1 gene:Sspon.02G0037230-1B transcript:Sspon.02G0037230-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSPPLQSQCLREAPPHKASHPPHCRPLASPHVTRPPQPRHRGAPPMPPRLAFLVPLACALLLVSPCHCVNEQGQALLRWKDTLRPASGALASWRAADANPCRWTGVSCNARGDVVRLSITSVDLQGPLPANLQPLAASLKTLELSGTNLTGAIPKEMGGYGELTTLDLSKNQLTGAIPAELCRLAKLESLALNSNSLRGAIPDDIGNLTSLAYLTLYDNELSGPIPASIGNLKKLQVLRAGGNQGMKGPLPPEIGGCNDLTMLGLAETGVSGSLPETIGQLKKIQTIAIYITLLSGRIPESIGNCTELTSLYLSAAQEAPDAASLAEPARRRNSPGARTHSGEFGRATNLQQLQLSTNQLTGTIPPELSNCTSLTDIEVDNNLLSGEISIDFPRLSNLTLFYAWKNRLTGGVPASLAEAPSLQAVDLSYNNLTGPIPKALFGLQNLTKLLLLNNELSGLIPPEIGNCTSLYRLRLNGNRLSGTIPAEIGNLKNLNFLDMSENHLVGPVPAAISGCASLEFLDLHSNALSGALPDTLPRSLQLIDVSDNQLAGPLSSSIGSMPELTKLYMGNNRLTGGIPPELGSCEKLQLLDLGGNAFSGGIPSELGMLPSLEISLNLSSNSLSGEIPSQFAGLDKLGSLDLSHNELSGTLEPLAALQNLVTLNISYNAFSGELPNTPFFQKLPLSDLAGNRHLVVGDGSDESSRRGAISSLKIAMSVLAAVSALLLVAATYMLARTQRRGGGRIIHGEGSWEVTLYQKLDITMDDVLRGLTSANMIGTGSSGAVYKVDTPNGYTLAVKKMWSSDEATSAAFRSEIAALGSIRHRNIVRLLGLLFYGYLPNGSLSGLLHGGHAGKGSPADEWGARYEIALGVAHAVAYLHHDCVPAILHGDVKSMNVLLGPAYEPYLADFGLARVLAAASSKLDTGKQPRIAGSEKSDVYSFGVVMLEILTGRHPLDPTLTGGAHLVQWRDAAELLDARLRARAAEADVHEMRQVLSVATLCVSRRADDRPAMKDVVALLKEIRRPVAADDAKQPSPTGAAATPAAAAPVGQSSSCSFAVSEYSA >Sspon.08G0005480-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:16635913:16636975:-1 gene:Sspon.08G0005480-1A transcript:Sspon.08G0005480-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VYKADFPNGKVLAVKKIDSASLSLYEEDNFLEVVSNISRLRHPNIVPLTGYCVEHGQRLLVYEYIGNGTLHDILHFSDGMSKKLTWNTRVRIALGTARALEYLHEVCLPPVVHRTFKSSNILLDEEYSPHLSDCGLAALTPNPEREVSAEVVGSFGYSAPEFAMSGTYTAKSDVYSFGVVMLELLTGRKPLD >Sspon.03G0004530-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:17941336:17941524:-1 gene:Sspon.03G0004530-2P transcript:Sspon.03G0004530-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVRQRTSSSGLESITTWSAAISFTSSTGLFSSIQRWNLSTMAWSSVHTMYTLRTDPYLSLV >Sspon.01G0012130-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:32648249:32648632:1 gene:Sspon.01G0012130-2C transcript:Sspon.01G0012130-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding HGGRRDGAVGVRRRRRRRRRGGPRRRHQGAARVPDELRAGADAAGPEPAPHQRDQPQPRVQGARRPLPQRRPHQGAQQQHPPRRRPLRRPLLALRRLRRPRRVRGRLRRHRPPGGRRRAQEDQVRPRL >Sspon.04G0025410-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:22983596:22993440:1 gene:Sspon.04G0025410-1P transcript:Sspon.04G0025410-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitogen-activated protein kinase kinase kinase 3 [Source:Projected from Arabidopsis thaliana (AT1G53570) UniProtKB/Swiss-Prot;Acc:F4HRJ4] MPAWWPGSKLRSRSKAKPGGAGSVSAASSPRKSADLESPSPSPSPTQRDRKAHSLDFPGAGAAPARGRCAAAGHGSVGYKLPMPAEAAEPVGTLYEEVLAAAAAAGAGDGCSSAEESSVCSAGSLDEAHYQHGFRPMDPVAFARERNMPSDSDRTVNEDKRFMSCSMPREHQRFFEVPVSSVRELHFQSDEPSTSEANCSRGRMIPDFAPRTRSLSPVPKGHAFAVSNGNSREFGFSPRSPVRKMDGLRSPPHPLPLPPASAACSLLPASAACSPLHPASGACSPLHPASGACSPLPPTHSPCSPLPSSPSSCPPLPASPTTCSQSQSQWKKGKLLGSGTFGQVYLGFNSENGQFCAIKEVQVIMDDPHSKERLKQLNQEIDMLRQLSHPNIVQYHGSELSDEALSIYLEYVSGGSIYKLLREYGPFKEPVIRNYTGQILAGLAYLHGRNTVHRDIKGANILVGPNGEVKLADFGMAKHISSFAEIRSFKGSPYWMAPEVIMNSKGYSLSVDIWSLGCTIIEMATARPPWHQYEGVAAIFKIANSKDIPEIPDSFSEEGKSFLQLCLKRNPASRPSAAQLMDHPFVQDHPAVRAAKSSVLRNTTSSPADGRHTMSNRELPSQKIITPLKDIGLSMRDFTGFSTAVPSPHSSPIPGRANMSTPVSPCSSPLRQFKQSNVRCMRSPPHPLLSPGLGNTLSYTQNQTRRIPAPAISDSWLDVGQMKLQSLNISPKRF >Sspon.07G0002070-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:5007025:5010128:-1 gene:Sspon.07G0002070-1A transcript:Sspon.07G0002070-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to Urease accessory protein G [Source: Projected from Oryza sativa (Os05g0565200)] MASHDHHHSHSHDHHHSHGDGGGHEAGGSWVGEDGRVWHSHDGLAPHSHEPIYSPGDFTKRAPPLTSRNFADRAFTVGIGGPVGTGKTALMLALCRFLRDKYSLAAVRGMTLPSNSFTEVI >Sspon.01G0014300-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:41339410:41342756:-1 gene:Sspon.01G0014300-2C transcript:Sspon.01G0014300-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:8-amino-7-oxononanoate synthase [Source:Projected from Arabidopsis thaliana (AT5G04620) UniProtKB/Swiss-Prot;Acc:Q8GW43] RMFDEMEQWDALVDAALATLEARSLLRATRPIALASPPATPQTFDGPGPWDRAAVEIRLDRDTLHQWLAVGGEASGHEEELDGNLILFSGNDYMGLSSHPAVREALVQDCLLCPTGFSANMAVMTALGSISSLLSRGRKPAEHERIAIFSDALNHASIIDGIRLLEQQQEAVVFVYKHCDTFHLDFLRSSCSMEKKVVVTDRQVITDQVKAHGTLVCGENGGGAAELFECEKDIDIGVGTLSKAAGCQGGFITCSGTLCLKEGEMAEISGLEACAVFCLFDQTRDN >Sspon.07G0001960-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:4869467:4870583:-1 gene:Sspon.07G0001960-1A transcript:Sspon.07G0001960-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSSASAAAARAPHVAREFEFMSAPLDRWGEPLASPADELFYKGKLLPLHLPPRIQMVEELLDGRGHGGCRAGRETAPATPYESCTASPANSCYVSGELNVEEYFQEYAAAAGLALADGAAAAPGGERKPWSRKLRFMRQLNLGLRLKASKAYIKTIFAAKPGNPDDLGAATTARGAREELAHAHAHAHGHPRAWRKNPFAQIRSNRCIASHGGVVGGSSRAAPAGGRCKEREHGHRRSFSSVIIRYSSSNKTSPVPALPLPPPSYSSSCSSSSSSSSASSSVRTSSESDGAGPALRRSSSASSEVENPIQGLIAYCKKSQQLASVRKSASDAGFRFLSSAASKIAADSDGLDELTEICRG >Sspon.04G0008140-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:23518912:23526119:1 gene:Sspon.04G0008140-1A transcript:Sspon.04G0008140-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVFTQAGQKKRAEKNNVDSDHYKKLKTKEHGQKPKSSMHGSVKTELAEVPHQQEADSLEGRITGDQLQMGRAETTRIGENSSILLGSQDPCTSETPASLTVMCPKVSRHNGNVCCFVFSLNNLSPKTDIKRQYHDVNDITRGEECASIPIVCGEDGVLPPLFHYISQNTTFQDAYISLSLARIGDENCCSGCFGDCLAEPLPCACARETGGEFAYTRDGLLKEGFLDACVSMLRQPLEQPYFYCKGVCPIEQMKKGVNLAKPDACKGHLIKKFIKECWRKCWCTRNCGNRVVQRGITRKLQASEVFLTPGKKGWGLRSAESLPRGAFVCEYVGEILTNTELYKRNTELRGKNNKKTGKTRHTYPVYLDADWGTEGILKDEEALCLDGTFYGNVARFINHRCFDGNVIDVPVEIETPDHHYYHLAFFTTREVEPFEELTWDYGIDFGDVNHPIKAFKCHCESKFCRDKRRIS >Sspon.02G0010130-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:28120130:28121524:1 gene:Sspon.02G0010130-1A transcript:Sspon.02G0010130-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARSSDQSVVHVLLLPFPTQGHINPLLQFGKRLASRSGVRCTLAATRFVINSTKPTPSSVHVAAISDGCDERGPDELGGMGVPYFERIESAGSETLDDLLRSESELGRPVHVVVYDAFAPWAQRVARRRGAASAAFLTQPCAVDILYAHAWAGRVPPPPLRPEEVWDLPGLSTQLEVGDMPTFLVDIRYPPCFRELLLNQFLGLDTADHVLVNSFCDLEPQEADYLASTWRAKMVGPTVPSAFLDNRLPDDVSYGIHLHAPMAAESKAWLDAQQARSVLYVSFGSMASLGPDQMSEIAEGLYSSGKPFLWVVRATETAKLPKGFADKAAKASRGLLVSWCPQLDVLAHPSVGCFFTHCGWNSTVEALSAGVPMVAMPNWSDQTTNAKYIQDVWRVGVQVRPDAQGVVRSQEVERCVRDVMEGEMGKEFRKRALDWSSKARKAMGEGGSSDVAISDFLSCFGQST >Sspon.04G0033120-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:45542495:45547226:1 gene:Sspon.04G0033120-1C transcript:Sspon.04G0033120-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSSSPSPLPPPMIGRAGNLTVFITPPSPASTPRGASRAPPPESRRSDFSTSTPQRAAPSPSPSPSPRNHESPVAAPAVVFTPPPPPAPVKVAPPPVQVPPPQYEKASVGGKHDGSAFGFFWDAVTRVQEAHASLDEYVATWFGLDQSKYQWALNDYYEATGKEVDCVKGGKPKELTTTKVQKV >Sspon.01G0015010-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:44088030:44089139:-1 gene:Sspon.01G0015010-2C transcript:Sspon.01G0015010-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKAPLYNAQRTLLPCASRSAHPIEEIHLQSAGEEIGVMAKKQQRQVVCMVFLVAFLVISAMHAVPAEAGRTLAESSVGYEPLKPGVLDPGQPYTRRCRDINECPHTHGTATP >Sspon.07G0005220-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7A:13391258:13392137:-1 gene:Sspon.07G0005220-1A transcript:Sspon.07G0005220-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPKLIALFFAFAMAAAALHPSEAARVQVQQAFKPAAAGQEAAEKVADQAAAGGVARPSTPPAGPGIPAGLPPNLLAAILGLLFPGLGGIIGLLQPLIPLLPPPGSSSPPLQGGAGNLGASLTSTSSTPPPPQPTECMTPLAGMLPCTDYLTNITVLTPPGECCDGLKSVIGDAPICLCHGMNGDMNQFLPKPVDPIRMLILPLACGTMLPLQTLFACNCAADNASYARRAADDACYTSFSVTVNGFVEEFRCW >Sspon.05G0010870-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:25720241:25721876:-1 gene:Sspon.05G0010870-2B transcript:Sspon.05G0010870-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MELEAIGKRPRASSGSSSLASDRLSSLPDCLIHHIMSFLKARQVVQTCVLSTRWRHLWRSVPCLDIDQEEFKTAGPNHDTKKEWHDFEDFTDHLLIPNNICIALLDTFRLQLSGTHTYPYYDKKKQAARWIRRGIKYGRQDPGIQHKELNSTPWRLKRLHLSNVYLDDRFMEHINSGCQYLEELELKDCECTFHEITSHTLKKLILKNCRCSGLSAVTSPMLKRLVIDDCQNESNCQLVIMAPAVAYLLLSVGVWTFSGGVLLNGMPSLAKALIYLRDNNEYESKFGDDQFKLLDSVSNVTSLYLSDFKAMVISEEFPEFLNLRTLLLEKCDLSDNFQMLGYFLQHSPNLVNLTLHYCKFSKKKKGKAKSRKGCLNQFNVRCKNLRHTKIIYKDDDVQKLVELLLSISGDLPKNNIKLTKV >Sspon.02G0009130-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:28656555:28659301:1 gene:Sspon.02G0009130-1P transcript:Sspon.02G0009130-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAAALDAMAGTRWGRWLGLVTAVWVQCISGNNYTFSNYSHALKTLMGLTQLQLNGLSVAKDVGKAFGLLAGLASDRVPTWLLLAVGSLEGFLGYGAQWMVVSETVAPLPYWQMCVFLCLGGNSTTWMNTAVLVTCIRNFRRSRGPVSGLLKGYVGLSTAIFTDTCSALFADDPASFLVMLAVVPAAVCALAMVFLREGPAGGGAAAGDDEDDGRCFAAINSLAVAIALYLLAADLTGIGGNGGVVSAVFVAVLLVLLASPATVPALLAWKSWVKTRKAANADLEEADSLAAVAAPLLLAAKAAARTEVEARAPGERPRLGEEHTIAQALTSLDFWLTFASFLLGVGTGLAVMNNLGQMGVAMGYVDVSLFVSMTSIWGFFGRIASGIISEHFIKTRAIPRPLWNAASQILMAVGYIVMALAMPGSLFIGSVVVGICYGVRLAVTVPTASELFGLKYYGLIYNILILNLPLGSFLFSGLLAGLLYDAQATAVPGGGNTCVGAHCYRLVFLIMALACVVGFGLDVLLCVRTKRVYAKIHESKRLSRSAVAQRV >Sspon.05G0019210-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:82463242:82464879:-1 gene:Sspon.05G0019210-1A transcript:Sspon.05G0019210-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFQTDTLPLRPSCVPCSSSTILLLLICFVVVLCRCSGSGSDDQDYFEPYTLSSPFNQTDGLLFLANPNTTIHNVSGGLQGIRGNLSFNLSDHGWFFIPPTIFLWKYTYRDDVVSFHEASFNINFTMSIYQPKTSLVLAIQPSLYDPLGYIRYPISSPPIGTSSHTSSSSSNSTVAGGHVSAQTTTVYGTINDPRNACLVQIDIESPGSSSGGLGSRYSVRIDYDHIQHSISVSIDATQAGTPSTATTNGVPKVSSILPQYASLGFYSTMGQLLQLDAWSLTAERLPYSYSYPSQGKPKGNNGNTIILSSVLGSAAAVAITATVVYVYFNSKYRKWMKEQDKLAKTMQRLPGVPTQVNYADIRKATKNFHATMMLGKGGFGAVYRCTLPASSLRTGRGMEVAIKKFMRDVEDRRYNDFLAEVSIINRLRHKNIVPLVGWVALRLLGNFPIP >Sspon.04G0008290-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:23905493:23907265:1 gene:Sspon.04G0008290-3C transcript:Sspon.04G0008290-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGQFGWGREEGGWRKGPWTAQEDKLLVEYVRQQGEGRWNSVAKLTVLPWSSIGHGAGLKRSGKSCRLRWVNYLRPDLKRGKITPQEESVILELHALWGNRWSTIARSLPGRTDNEIKNYWRTHFKKGKPSKNIERARARFLKQRREMQSQLLLQGQDHQQQEKQPDHDDDDDASREAAPAAVSLAQQHEEDLQMLQQDMDDLLFQFCPMASACTSSSCLLPGGSCTSAVSASTSEDGSSGDHQLDGGATWGWGSLWNLDDVVDDVDGGACGWDSSLPLLQDQGLAFY >Sspon.04G0031130-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:8369306:8383279:-1 gene:Sspon.04G0031130-2D transcript:Sspon.04G0031130-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDQERDDVPMLLRNVELPRFPLRSTSMCIPVRDDEYEEDTFVPHTGPLFVQPPTQTAPGIPFTSRDTPDRLPRPSQGKQVSKPHAIMPEEIRGNRWSYSGQVPKNEHLMMSGPLGQCDNPDCVNCPPACRNKRHFQRGSNALDNKIHNILYGHSGGWKKKIEQIMAYIPIMNPHAKPVQQWNQFFVISCLIAIFIDPLFFFLLSVRQDGNCIVLNWNFATGLAVVRSVTDAIYFLHMLLQFRLAYVAPESRVVGAGDLVDEPKKVAIHYLCGYFFLDFFVVLPLPQVMILLVVPKVGLSAANYAKNLLRATVLLQYVPRIIRFVPLLDGQSANGFIFESAWANFVINLLMFVLAGHVVGSCWYLFGLQRVNQCLRDACSASTIPYCDSFIDCGRGMGSGLYRQQWFNDLGAEACFNTGNNATFQYGIYEQAVLLTTEDSAVKRYIYSLFWGFQQISTLAGNLVPSYFVWEVLFTMAIIGLGLRLEMQLRRRDVEKWMSHRRLPEDLRRRVRRAERFTWAATQGVNEEELLSNLPEDIQRDIRRHFFRFLNKVRLFTLMDWPILDAICDKLRQNLYISGSDILYQGGTVEKMVFIVRGKLESISADGSKAPLHDGDVCGEELLTWYLEHSSANRDGGKIKFQGMRLVAIRTVRCLTNVEAFVLRASDLEEVTSQFARFLRNPRVQGAIRYESPYWRTIAATRIQVAWRYRKRRLKRAEKSRLSEETYTSHGISTHDSFQRGQKG >Sspon.06G0018360-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:98729693:98731564:-1 gene:Sspon.06G0018360-1A transcript:Sspon.06G0018360-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFAFVAGSGSVVAEAVTTARFARQVLSGRWFTLFACLLILSASGATYAFGIYSRALKSALGYNERAVATVAFFKDLGSNVGVPAGLLSEVAPPWAVLAVGASMNLAGYLMVYLSLSAPGPGRAARPPTPPLWLMCAYVCAGANSQAFAGTGALVTCVRNFPEARGAVLGLLKGYVGLSSAILAQIYLALYGGGDARSLVLLIAWLPAAVSVAFLGIVRVMPPDDDRQKRSTRSRGGGGDVFLCLLYISVALAAYILVMIVVQTQASFSRAAYAASAGGLLVLLFLPLAVVVRQEYRIKRELDDSPSDDVPVMVTVVEKSTAVALAELASTTADPPPASCSSCLGSFLRHTFSPPAHGEDYSIPQALVSVDMLILFVAIACGAGGTLTAIDNMGQIGQSLGYPPKSVDAFVSLISVWNYAGRVTAGYASEALLSRYGFPRPLALTLVLLASCAGHLLIALGVPRALYAASVLVGFCFGAQWPLLYAVISELFGLRRYPTLYNLGAVASPVGAYVLNVRVAGRLYDAEAARQHGGGSLASAGGDKACFGVECFRTSFLIITAATVGGALVSLVLVWRTRDFYRGDIYAKSRDGAVVESPGDGGPLAAEQRPSEEGSGGRVNGGQR >Sspon.06G0005190-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:17369576:17370187:1 gene:Sspon.06G0005190-1A transcript:Sspon.06G0005190-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGSSSSSAALVAIVDDFYFSVLAHGRNDAGAAGDDELFPISDEKYATELQLQEVIMSSAIAATARSPVAPPRSSTADTSNNAAAAAAAAAASGHGKGKCAYASSSRPSVAVAATLVFCKICMDVVPPSDAHRASRGCAHAFCGGCLAGYVGAKIQDRIADVRCPEERCGGVLDPELCQDILPREVFERWGAALCESMLLGA >Sspon.02G0037860-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2B:36274208:36274961:1 gene:Sspon.02G0037860-1B transcript:Sspon.02G0037860-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWCRGSLAIWCSCPHVHGLTGRLRKSPLFGVAGGIIFVEEEWTFLWRIWPGRWHDGDASSSIKDGPTTQTPGPGGRTVFSGCGVEDQGWISRGTQRVPGRVRSQDKNGAEKISDGSDFGADVSMKSYKARQGEAPAVEHARKAKAVGGKKESVPRAGEVGCACASC >Sspon.01G0003910-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:9197507:9199293:-1 gene:Sspon.01G0003910-2B transcript:Sspon.01G0003910-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSCSPPRGAGSGGSTPLRSSSSTSRSRKDFVLSSPSPRSSLTTTCALKTPSYGGSREKVNPRDLFTFSYKFSTDIPMSETQGASIDEYLQNSPRIVGAVFPDQRKRRKINDFLFLSASPVIVMRFVSKSGGKEYPPNVPVHATSLLLMEVTDYKLNGLDSNAMPSHLALTVRGLMYPQRQREGRKSLRGHVEMTVGFDLPPVLALVPESIIRGVGETVLRQMAEQMKQDLDTGLAADFKKYRREKLTERRTSP >Sspon.07G0034060-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:68563164:68568917:-1 gene:Sspon.07G0034060-1C transcript:Sspon.07G0034060-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNHTCSAHPGLRSHLGPNNESFSRSHLSSSPQNAKRRCNLSFRPRAERVGSENGVQKLSPSWEIPKRDCFPSDFIFGAATSAYQVVGMKMERGQALGITSATLIRDSRREQWGRRNQFVPSVPWTLEGGINWEGIHYYKRLINLLLENGIQPFVTIFHWDTPQALVDKYGGFLDQRIVKDYTDFAKVCFENFGDKVKNWLTFNEPQIFCSMSYGTGTLAPGRCSPGQKCAVPTANSLTEPYIVGHNLLRAHAEAVDLYNKYYKGENGRIGLVFDIMGYLPYENTFLDQHAQERSMDLNLGWFVEPVVRGDYPFSMRSLARERLPFFTDKEQEKLVGSYDMMGINYYTSKFSKHIDISPNYSPVFNTDDAYATKETYGPDGNPIGPSTGNSWIYMYPEGLKDVLMIMKNKYGNPPIYITENGMGDVDNGNLPIQVALNDHKRVEYLQRHIATLKESMDLGANVHGYFTWSLLDNFEWATGYTERFGLVYVDRKHGCKRTMKLSARWLQEFNGAAKKVEDKILTPALN >Sspon.02G0029260-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:106585903:106590007:-1 gene:Sspon.02G0029260-1A transcript:Sspon.02G0029260-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RLVGIDGPREEIIEWLKNDPYGQQLKVVSIVGFGGLGKTTLANMVYQKIKGQFDCSCFVPISRNPNIAKILANMFKELGSCVDPTDDERQLIDKLRAFLQDKRYFIIVDDIWSTQAWELIKSALPENNLNSRIITTTRITNVATSCCSSLGGYVHNILPLSEQQSQQLFFKRVFCDASSCPPHLEEISHGILEKCHGLPLAIITIASLLAGKPSKDQWEQVYNSIGSAISHQGMREIILFSYYDLPHHRLRTCLLYLSMYPEDFMIEREQLTWRWIAEGFVMEVRGQTVDQVADNYFYELVNRSLIQAIDIQYDGQAKACRVHDMVLELIVSLSAEQNFASIVEGQSYSGGGHKTRRLSVQDEHVGDAVMQDIIDKWSQINQEDLYVLGSISALLFFHLATRHAPDEQLTISSQQFRCLKEFSFRNDDYGGGLEILFLHDLMPELRRLHLEFRAHETECKMGFEVSFQHLARLEHITVRIFRDRATRSRVKSAEAAIRNA >Sspon.07G0025100-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7B:37758165:37759896:1 gene:Sspon.07G0025100-1B transcript:Sspon.07G0025100-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding QVAISGGTPLDSEFLTLISDGSFTKPKPDSCSASLSLPRLLTSAADRMQGSHLRSMAFSRELVAELPSLLVSFLVSGEDRVVPVYASGTQVLRKLQEKSESTKQGYPAMYSSVVGGIILDPSMMVIPIDDHMVHRGHGVFDTATISDGLTVLSARLIHFTSFLNLLMIYSDTISCLNSESDIGYCDSVSSYLYELDSHLDRLLVSASKAKIDPPFPRETLREILLQMTAASGCKNGSIKYWLSAGPGDFLLSPKGCTGSAFYAIVVAAAATTGSRHKDGGVKAITATVPMKHPFFAGIKSVNYLPNALAMAEAEERGAFASVWVDEDGYVAEGPTMNVAFVTTGGDLVLPAFDRILSGCTAKRILALAPELVGAGLLRSVRDARISADEARQCAEMMFLGSGLPLLPVVEWDGQPVGDGRVGRISLALSDMLRDDIKSGPDRIPVPYS >Sspon.05G0021130-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:84394412:84395645:1 gene:Sspon.05G0021130-4D transcript:Sspon.05G0021130-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHQQYNSRCLAQSSASDHRSAMKEIARGQSLVTQLRAIVLPALQADERSELVAHMFQNILDCSSKAMAELQLHQSPSTRRPHDDDDVLVDDKKRVNKISSIDCKNEKGVTTANPRHQHKRRRFDDSVSLETPVPHYDGRQWRKYGQKHINNTKHSRSYYRCTYRQEQGCKATKTVQQQDDSSGADHTLMYTVVYYGQHTCKDNDGANSCPDDSEINTRSSSDSQSSISSTCTDPCDHQNQTSLHDNEPINDKSEELVTKGMYEPFDMTAFAPLDLDSWELDALLRFGA >Sspon.07G0030010-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:810942:815410:-1 gene:Sspon.07G0030010-2D transcript:Sspon.07G0030010-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLLTTTVQYESWMDEETSFWMDRDHTNSTLQYGLLMQNLQELETSLAGKDLKMLENDILAHIQQLGALRSFNASMTLGANLLDTLTHISSPYDQSDSSLLDHLIIKFDPETPLDEEQEDSEVVVVRSGKSQERKLKRMRASEKGSRKSVKVNPRTPKKSRKASSSQFISEWKSHPGRRRIIVREQSALLATIKECASLEKIREKMVKEGQEVSYHRWAEAAGMDEAELMSRLQAGYCCRERLLVTTEWLVRCIARSYTGMGTALDDLLQAGKMGVLDGAEKFDTRKGCRFSTYVKYWIRKGMLALLAENSGVTLLPARMESIMRKVKEARRGIRYSQGRNPSDSEIAAAVGVSVANVRLARKCSRRPVSLYSEIGIGQHAKFAEVIPDEAAAAAEAPDEAALFRGQLRERLLLVLGRLPAREGHVLRLRHGLEDGRCRSLEEIGGMYRVSKEWIRKIEKSAMARLRDDDDVRRDLHDFVCRFYLGLEDALNLFDELLPQARPASVHAFNRVLTVVARGDSSFRHGAALAVSLFNTMARAGVNKLAADACTFSILMRCFCTVGRLDFALGAFGQFLKTGWRVQVMALNQLIKGLCDGKMTSDAMDIVFRRMPELGCTPNVFSYNILIKGLCDGKRMSDAMDIVLRRMPELGCTPDVVSYNTLIKGLCDERKSQEALELLLHMMADDGYNCLPMWCHITRSSTASLKKVDKAYTLFHEMLGRGFPPNLVTYNSVIDGMCKAQAIDKAKAVLQQMFDKSVMPDSWSYNSLIHRYCSLGQLEEAVRLLKKMSGGGLQPNVVTYSLLIDYYCKIGRCTEARNIFDSMVRRGQKPDAATYRTLLHGYATKGALVDMHDLLDLMIRDGIPLEHRAFNILIHAYAKHETVDKAMAAFIEMRHKGLRPNVVTYNTVIDILCKTGRVEDAVSHFNQIVSEGLSPDIISFNSLIQGLCTIGEWKRVDELAFEMINRASIPMSDS >Sspon.05G0026140-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:39941973:39969391:1 gene:Sspon.05G0026140-3D transcript:Sspon.05G0026140-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEETGTGRPPASGTAAADFPELLGFCSRAEALITELLLLSDRAPPLFADRRFDPVLFDFRYFDSPGDFEARIEGNIELEALEDQLRDSCGSYMQRFFSFLDGAVTYHGELCNYLNDLQEGLYVHCTLDHVLENNCACQLLVESMTLFGCMILIMEHKIGGLLRERLLVAHLRYKRCFSYPNLEQICELCRRHVPTPGTSASSGSSPFSSDIISIQKPEDLLRRFPLPEPVVNAVITCLRNGDVYNNLRFYPDPQHRTTALSLQGGHLYVLLFYSHDLLHRGLAMREIVDRFFKDNWVVPIFLHFSVDLLASWDAYKEAKSSLVSCLSPTSIRDISLHHYTKVPYFLADLDIHMHAINKEYVLDNSQSLLSVIRECNFTLRWLLLHRMTSDKKARDLVISVGSSQQVDEGSLLQLLLKTAKLEFEVKQLHIELLKTRESMWYEKKNDALECMKDLSQNYVGTWAASCKFKNKTLKDWLEQLLSEVKESVQIKQGFSKIQNNLHDMIKVLNLNQEATNILSVITDAKYAWVYLTLFETLLKKNISHDPSETIFLHTVFLKFQSWLSAPLQRIKQCESPDLHCVSTYYSSKYAAKILAVLDIIPEKLLKISNAVDYANAEQPTHLVNRINQEALQELMQMDHQLCQARQAAQLCTISKGLRNMSNKFDDLVNLNLGGWLKQMIRKELAIQLEGRLKCLSSYGDMEANLNSLSNFMLFQMQRMEFIEDILHIDGSSIWQETFITVLERCAKKEALELMACMQKSANVVKQLNNMSSPSTFFGNLLDLYHSKKHVPCRFYYSDICR >Sspon.01G0037760-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:25644200:25646480:1 gene:Sspon.01G0037760-1B transcript:Sspon.01G0037760-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVTTDAAASAEKPQDAEKPDYAPYNGESTAADGGTGARSRRGGGGGGGGVVDSVVARWRREDMLDKSPLALHAAAAVFAFVALVLVASNQHGDWMQFDRYQEYRYLLAIASLALLYSLAQAARHAHRMRGGVDPVSSASGRLLDFVVAYLLMSALSAAVPITNRMRSAVVNNFTDATVAAISMAFFAFVALALSAVVSGYKLSKQTY >Sspon.03G0011610-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3C:47885466:47887156:-1 gene:Sspon.03G0011610-2C transcript:Sspon.03G0011610-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AYAMGGQDANVVTDYILKILGLEICADTMVGDEMLRGISGGQRKRVTTGEMLVGPARALFMDEISTGLDSSTTFQIVNSLRQSIHILGGTAVISLLQPAPETYDLFDDIILLSDGQVVYQGPREEVLEFFDSVGFRCPERKGVADFLQEVTSKKDQKQYWVRPDEPYRFVSVKEFVTAFKSFHTGRAIANELAVPFEKSKSHPAALTTTRYGVSGKELLKANIDREILLMKRNSFVYIFRTFQLMLMSIIAMTLFFRTKMKHDTVNDGGIYMGAVFFGVLMIMFNGMSELSLTVFKLPIFFKQRDLLFFPAWSYTLPSWIVKVPITFIEVGGYVFLTYYVIGFDPNVSRFFKQYLLLLAVNQMAAALFRFISGVSRNMIVANVFASFMLLVFMVLGGFILVRG >Sspon.05G0031850-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:10521945:10524512:1 gene:Sspon.05G0031850-1P transcript:Sspon.05G0031850-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVYVGSARLERRRRISHDEQESRAPRQPLRRCHRPSHPIFFLLRRRPFTVAHRRPPAARNLTAASGFHRLRRCWESRTLCSDGEDWEEVVVAEGDADAPDAHQEATEEHGVVFGVAPTDDEVRAAVASIKQVFEKAPAVDSEPADLQALALPISVHPSSGIFMNHFALDSDASEVGLDEWTEPARLVLNSSALLTKEHRSVLDAFHLLHEDASVQKMVMALSTDKAVWDAVMNNDVVQEFKKSFQDGMWLLDSAFGIFGLAWVNNGSSTAPPGFMMWVLENTQAKIRDFLEKILGLVNMLFQAGGKNYDFSDDIVKMSFMLSVFVFIVNVWSIYFIK >Sspon.02G0013500-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:35837153:35839778:1 gene:Sspon.02G0013500-1A transcript:Sspon.02G0013500-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRITVSYSGYVAQNLAASLGHRFSSASASASGSRLLHDGAWRPFCIFTSTRQPEQHRNSGRGSDRHDGGDHNHPKPQAIAAGAHSLLLTRACLSSKSPPPSLAVGLLSVLVQRTGPTPGTTGSAASLAGSSPISLGFNPTSFLPFLQTAKWLPCSDLATSSSSAPSSPPRSLAPSAPARKALIGGASGTVTAGASGSAGIATSSGAGAAMSRSNWLSRWVSSCSDDAKTAFAAVTVPLLYGSSLAEPKSIPSKSMYPTFDIGDRILAEKVSYIFRDPEISDIVIFRAPPGLQAYGYSSGDVFIKRVVAKGGDYVEVRDGKMFVNGVVQDEDYVLEPHNYELELVLVPEGYVFVLGDNRNNSFDSHNWGPLPVRNIVGRSILRYWPPSKINDTIYEPDATRLAVPS >Sspon.06G0029220-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:16288475:16289170:-1 gene:Sspon.06G0029220-1C transcript:Sspon.06G0029220-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDGAGASRSDGEGSPRLPAERRYKGVRFRKWGRWVSEIRMPNSRERIWLGSYSSAEKAARAFDAAAVCLRGSRAGSLNFPESPPNVRHIPGALLTPEQIQAEAARHANQQLPSPPVASPASSSSQPAPAPPAGGASSDRTALSMPSTYYSSGDAVCGDDEALDWSFMDALPSSMPASSVGMGNSADIVPALDDFMYGSPHQVMPPSEEATHDMIDSDDDHTFISDDLWRF >Sspon.03G0030130-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:28033307:28037597:-1 gene:Sspon.03G0030130-1P transcript:Sspon.03G0030130-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRVKSEGEGDCEMMLQDQMDSPVADDVSSGGGSPHPHRGAGPPLKKGPWTSAEDAILVDYVKKHGEGNWNAVQKNTGLFRCGKSCRLRWANHLRPNLKKGAFTPEEERLIIQLHAKMGNKWARMAAHLPGRTDNEIKNYWNTRIKRCQRASLPIYPASVCNQSSNEDQQVSGDFNGGENISNDLLSGNSLYLPDFTSDNFIANPEALSYAPQLSAVSISNLLGQSFASKNCSFMDQVDQAGMLKQSSCVLPALSDAIDGVLSSVDQFSNDSEKLKQALGFDYLNEANASSKSIAPFGVALTGSHAFLNGNFSASRPTNGPLKMELPSLQDTESDPNSWLKYTVAPAMQPTELVEPYLQAPSATPSVKSECASPRNSGLLEELLHEAQALRSGKNQQPSVRSSSSSAGTPCETTTVVSPEFDMGQEYWEEQPGSFLSEYAHFSGNSFTESTPPVSAASPDFFQFSKISPAQSPSMGSGEQALEPKHESAASPHPENLRPDALFSGNNTADASIFNNAIAMLLGNGINAEYKPVLGDGIVLDSSSWNNMPHAFQMAEFK >Sspon.06G0011910-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:47253905:47261594:-1 gene:Sspon.06G0011910-4D transcript:Sspon.06G0011910-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRFFQPVPKDGSPSKKRLAAAAEPGDGPASAGAATGAGAGGDGEGRPAEEPRKFLTWNANSLLLRMKSDWPAFSQLVVRLDPDVICVQCLFTQLATFRFQGKLVDAYRHLHKEKDMDGGFSWSGHPIGKYRGKRMRIDYFLVSERLKDRITSCEMHGRGIELDGFYGSDHCPVTLELSKAVAEEAPGHPNPSI >Sspon.04G0026950-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4B:53949400:53950137:1 gene:Sspon.04G0026950-1B transcript:Sspon.04G0026950-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALGVSATMAFLLLFSFVVVSHCDAALQADSSATNASSSYSVVVPAMVHVVQDAEGLLRLPSSVAEPDPDRSLVEPVAEPDPDRSPVEPVAESDPDRSPVEAVAEPDPDRSQLDSDELATKKPCGEGQQGYEKAKKQIDDEDEEEEEKEKANKKKEGKKKTKKHHDGDDKGKKEKAKKHRRQSGDEDKEEENTKKRIHGRHHHAEDESVKKKNKLSRHIKNKDNGVDSDDEEEEKMAKRWRKAIKS >Sspon.07G0009760-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:28056412:28060633:-1 gene:Sspon.07G0009760-1A transcript:Sspon.07G0009760-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RIDKQLPSLTTLDLHSVSPELLKCRKLELAVPGTYAADSQLVTIEYFVPQLIVITSKQRPRKLTIHGSDGNDYAFLLKGHEDLRQDERVMQLFGLVNTLLENSRKTSEKDLSIQRYAVIPLSPNSGLIGWVPNCDTLHTLIREYRDARKIFLNQEHKLMLAFAPDYDHLPLIAKVEVFQHALQNTEGNDLAKVLWLKSRTSEVWLERRTNYARSLAVMSMEIGIQVPFRLTRMLVKAMEVSGIEGTFRTTCENVMQVLRTNRDSVMAMMEAFVHDPLINWRLFNFNEVPQVSNYGNAHAHTVVSSEEAVANRELMQPQRGARERELLQAVNQLGDANEVLNERAVAVMARMSDKLTGRDFSSGSALAGAGGVRSGELSWKQ >Sspon.04G0019990-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:75271027:75278697:1 gene:Sspon.04G0019990-2C transcript:Sspon.04G0019990-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAHLRLLSAAHRPPAPAPAPISLHRLRLRLPGPPPPSAARIRLLLRPPRHGGSQARFARAVRCAGEASAVARAVEDAGGGGEEAGIWEQVRDIVVFAGPALGLWICGPLMSLIDTMVIGQTSALQLAALGSPPSSLLMLCPSSPYKHRPAKLELIAETRARDSVLRLPKLHIHVPFGRNIEHGGHLLSQKAFTGSGNYELILLANTYAQIRGFAWPAVLVGLVAQSASLGMKDSWGPLKALAAASVINGVGDIFLCSVCGYGIAGAAWATMVSQVVAAFMMMQNLSNKGFRAFSFTIPSVRELLQIFEIAAPVFVTMTSKVAFYALLTYSATSMGAITLAAHQSRFGSALLRLISPTGMMFNKNFNQAEYIEAKATSDNCHLKLQQPGSQFPCRSINQSVFTTVGLEQSHAGVVVVLQHGGGSLHLLPEDPDRLPVDHTAEIMADLERVLDAQQVAVVPNASRPALLLLAGHSATNHKPRLI >Sspon.08G0024260-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:49570465:49578562:-1 gene:Sspon.08G0024260-1P transcript:Sspon.08G0024260-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSPCCDQDAGVKKGPWTPEEDKLLVDYINEHGHGSWRRLPKLAGLNRCGKSCRLRWTNYLRPDIKRGRFTDDEEKLIIHLHSLLGNKWSSIATKLPGRTDNEIKNYWNTHLRKKLLGMGIDPVTHQRRTDLNLLAAGGFTNLLAAANLAAAAGGHGHGGGGPAAAAGGPLAAAAHASWDINALRLQADAAKYQLLEGLVRVLTAPAAPTVDLMTLLAAANGGAASGGHQMLTGGVDQQSTTTASRVVQQYDGMLSLPALTSVPAVTQGMSSSMSSAYSLSGLLNGFGGAAGDGLSSTELGHSGATTGSNVTAAAMPPPLAPAAEGCNAGNGVGTSSTPSPCEETPASSPFDGLDSLTLDFDPNSDSWRELLEFALQEVFVS >Sspon.03G0011000-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3B:37080484:37080705:-1 gene:Sspon.03G0011000-2B transcript:Sspon.03G0011000-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SSAVKISDVRYTDIQGTSASKVAVKFDCSASNPCSGIGLKDIKLTLDGGKPAEATCQHADGRASGEVEPPSCL >Sspon.07G0031530-2D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7D:24556019:24556717:1 gene:Sspon.07G0031530-2D transcript:Sspon.07G0031530-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSHMGRRRFVNLILTAAVGLVLFAAVTSAREAPNGISDGELKRSVPGGQDPVKHEVPSGQNTLSNRLALPYDFVKHEVPSGQNPVSNRSPPPYDIIKHEVPSGQNPVSNCSPPPYDIIKHEVPSGQNPVSNRSPPPYDIIKHEVPSGQTPVSNRSPPPYDIIKHEVPSGQNPVSNRSPPPYDIIKHEVPSGQNPVSNRSPPPYDIIKHEVPSGQDPLINRSPPPYNIVEHA >Sspon.02G0029830-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:105385609:105388027:-1 gene:Sspon.02G0029830-2B transcript:Sspon.02G0029830-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEISQPLLLASLLPLFVWLLLQASPRKRTNGQGGRHTPSPPALPVLGHLHLLKKPLHRSLAALATRYDTEGAGLLHLRFGAKRVVLVTSPAVAEECFTVHDVALADRPGLASRRVLTQDCPAIAMCSYGPLWRQLRRLATVHALCAHRLAATSGARDAEASAMAAKLWRRAGAGAAVGVKAAAYEFAANVIMAMVAGTRMTGDQVRRFREMTEAGLAAAGAANRHDSLPVLRILDFGRTRRRLAGIAEARRQFGQSILDDYRRRRHRHPGGADDEDDAGETARTVLGDLLRQQQQQKEQSPEHLDDVVIRSVCLVSGTDTTASTIEWAMALLLTNPSAAKKATVEIDAVVGTSRLLRESDLAGLPYLRCVVSETLRLYPLAPNHVPHEASRNCVVAGGHAVARGTMVLVDVYSMQRDPAVCGERPDEFVPERFMADDGGSGSGGGGRWMMPFGMGRRKCPGESLALRMVGVALGVMMQCFEWEPEVGKEVDMSEGSGLTMPMATPLVAVCRPRAKMESLLKVL >Sspon.02G0046980-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:9834669:9836048:-1 gene:Sspon.02G0046980-1C transcript:Sspon.02G0046980-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVPAPHLIPAPPKPPYHAPLRFTVSASAAPASPAAARKAVIVGGGLAGLAAATHLTSLSVPFTLVEASDRLGGRVATDVVDGYRLDRGFQIFLTAYPECRLLLDFPALRLRPFYPGALVFVGAGEPFYLLSDPFRLPLRSLSAVFSPVGTLSDKVLVGLTRLRAAATPDDVILSAPETTTGKHLTQLGFSSSIVERFLRPFLAGIFFDPALDTSSRLFELVFKRLALGDNALPEDGIGAIAEQLAARLPAGSIRLNTRAVAIDQSGVTLDTGETIPGDLGVIVAVEQPQAEKLLPQLSTREKAKKSERSTVCIYFSTDRAPVQDPILLLNGSGKGIVNNMFFATNVAPSYGPAGKVLVSVSLVGSFADREDADLADEVIRELGGWFSPGEVASWTHLRTYRVGFAQPDQTPPTTPAGRDPRVGEGLYVCGDHWCSATFDGALVSGRRAAEALAKDRGL >Sspon.04G0017520-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:8182868:8186138:1 gene:Sspon.04G0017520-2P transcript:Sspon.04G0017520-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MREILHIQGGQCGNQIGAKFWEVICDEHGIDHTGKYAGDSDLQLERINVYYNEASGGRYVPRAVLMDLEPGTMDSVRSGPFGQIFRPDNFVFGQSGAGNNWAKGHYTEGAELIDSVLDVVRKEAENCDCLQGFQVCHSLGGGTGSGMGTLLISKIREEYPDRMMLTFSVFPSPKVSDTVVEPYNATLSVHQLVENADECMMSSTFIGNSTSIQEMFRRVSEQFTAMFRRKAFLHWYTGEGMDEMEFTEAESNMNDLVAEYQQYQDATAEDDEEYEEEEEEEHDA >Sspon.02G0000220-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:1860217:1860914:-1 gene:Sspon.02G0000220-2C transcript:Sspon.02G0000220-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKQMLAAVAASMALVFLPTLASATDHVVGDSQGWTLGFDYAAWAESKQFTYASSSHNVAEVSGPDFKACKKAAGSVWNSGDDRLSLDKPGRRWFICTVGSHCQLGMKLNVTILPATTPTPLPAPAPAPAPEPSQPQLSRRFFLSN >Sspon.05G0016870-3C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5C:65192564:65195373:1 gene:Sspon.05G0016870-3C transcript:Sspon.05G0016870-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLPLRRKTPAAAAASVVAIQKQLLVVAPPTAPAAVLVGAELPNVAGGCDDDVTEQQAESKKNKTAWAARRRPTRLVIPVAEVDAGEVAAGWATAAAQAKEADLEVEGEGYRVASRAGPRHAMEDAYAVVTDKHGGDSELVIFFICVANVFGTVVYSLFGTDSKSLYCFIRVEINLQTWYVKLTSYICSLDERKGESGGACATTAVVKGGHLYVAHVGDCRAVLSRDGAAAALTADHTCAREEERERIEREGGYVSRSGSGVWRVQGSLAVSRAFGDGALKQEPAVTRVALDAGGEFLVIASDGLWDKVSNQEAVDGVSGSPATACRELVDMARRRGSRDDVTVMVVDLG >Sspon.07G0023900-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7C:18478946:18482937:-1 gene:Sspon.07G0023900-2C transcript:Sspon.07G0023900-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RLLPLQRLLLLFLLARLLLLWAQWPRLLLLRAPRQPRRVQLPPASSRHPWPVAFPPPLLLPLLPLLLPLLLPGVLLLLLLLPLLRSPPTPSTFSAQVSFLPAFSPCSSSTRPLSQAPLLCLLRPPTHLLRLFFRLHRVQVPASFGRISPMLLPWRGGTSNSGPYHLASHRRGCRAVVAGASPPASPRSRVDPPVYHPPLLHRDPRHTHPMVTRQASRPQALTAAACEPEISPVPSSVRAALADPHWRRAMDEEYAALLANQTWDLVPRPPGANIVTGKWIWTHKRRADGSLERYKARWVLRGFTQRPGIDYAETFSPVVKPATVRTVLSLALERSWPVHQLDVKNAFLHGTLTETVYCSQPAGFVDGSRPDFVCRLNKSLYGLKQAPRAWYSRFATFLQTLGFTEAKSDTSLFIYHSGASRDDRLPVLLYTGGHPGQLSEAGGPMLGPADSTAYRSLAGALQYLTFTRPDITYAVQQLCLYMHAPREPHLAAMKRLLRYLRGTLGYGLLLGRSSTAELVVYTDADWAGCPDTRRSTSGYAVFLGGNLVSWSSKRQPVVSRSSAEAEYRAVANGVAEAAWLRQLLAELHRPLARSTLVYCDNVSAVYLSTNPVQHQRTKHVEIDLHFVRDRVAIGDVRVLHVPTTSQFADIFTKGLPSSTFSEFRSSLNVSSG >Sspon.04G0012210-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:40849120:40855370:-1 gene:Sspon.04G0012210-4D transcript:Sspon.04G0012210-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding YLLAEAGDTLFATFIGTKQYKDIIADVNILQGTLFHEDTAQDLAPDVDPEQNGTQKGEENHGKSYRETSKKLRKSKPAVHRGFLARANGIPALDLYNLAQKRNRKLVLCGHSLGGAVAALATLAILRVIATTPSKEDNRLHVKCITFSQPPVGNAALRDYVHKRGWQDYFKSYCIPEDLVPRILSPAYFHHYNAQTPEASFINKNGAKSEETMEASAERPKGNNGEQLVLGVGPVQKSLWRLSKLVPLEGVRKSLSVIQKQTNVFGKAPSQLDSYLQSKVDESEEPPQSLEIQESSQGIALTPLSDKDGGNAEDNNSTEKINASEAGGSKRWSRVPSLPSYVPFGELYLLGDSSVNTLSDSEYSKMTSVQSVISELRERLQSHSMKSYRARFQKIYDSCMCANAPIFTGIEQLPQFSHLQELIGLTATDSVELGDIVDPPVIQTATSILPLGWNGLPGGKNAEPLKVDIIGHDLQMCTLFQAQINGIWYSTVIETLPSVTSFSPNGELQPTLQKMRILVGQPLKQPPNYISEAFMVPVMTGADSTRTLDSNKDCCKGLSGFLIYGTSDFVTVCKKVYVRTRRVRLLGLEGAGKTSLLKAMLGQVKERNSAVLECIHVDLHGKGISSGLCYIDSTTVNLQELPLEVGRFKEELSLGLHDISRKTDLVIAVHNLAHRIPQYQQSNTSRPQPALSLLLDEAKALSIPWILAITNKFSVSAHEQNTLISSAMEAYQASPEMTKVVNSSPFLMPSARNSLQRIRSATGNLGNKDPANRSAYLPVNFVLSPFQRKDIVMHVEGVSALRQLVHQVVLNNEEQAFEELARERLLQELAREKAASLQMKQKPPKRDGSVTAAAVGASLGAGLGIVMAVIMGAASALRKP >Sspon.01G0014190-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:43384895:43388530:-1 gene:Sspon.01G0014190-3D transcript:Sspon.01G0014190-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding KH domain-containing protein PEPPER [Source:Projected from Arabidopsis thaliana (AT4G26000) UniProtKB/Swiss-Prot;Acc:Q9SZH4] MAEPSAAAVTAAEVGAPASLPPPVAEEADAAAMEEASAAAKRWPGWPGDSVFRLVVPVLKVGSIIGRKGELIKRLVEETKARVRILEGPVGATERIVLVSGKEDPGLELPSAMDALMRVFKRVIGITDGAAEGTQATATPGVCAARLLVPGAQAINLIGKQGATIKAIQESTGATIRVISVDERERPFYVTDDERIVDIQGDTEKVLKALQAVSNHLRKFLVDHSVLPLFEKTNTTVSQDRNGDGWSDMSHPSIGSAQVNQPPSVVDEYILPVKRDSLYLEREPLVDHNIHRSGVSLYGRDPALSTLRPSGIHGAGPLLTQITQTMQIPLTYAEDIIGVKGANIAYIRANSGAVVTIQESLGSPDDITVEIKGTSSQVQAAQQLIQDSLAAHREPVRSSYVGAGLDSVYRSSYSQYGSSTYPSSSLPSYSSIDDVSRYPSSGLGGYGSSYRY >Sspon.07G0018270-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:70501498:70504739:-1 gene:Sspon.07G0018270-2B transcript:Sspon.07G0018270-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRKPMPSAAARGPKNRPKRLRSAKQGAELREENPQLSEHDDVRADCWDIFRTKVDFLGQGHVYLLLM >Sspon.06G0001760-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:3954037:3955170:-1 gene:Sspon.06G0001760-2B transcript:Sspon.06G0001760-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKASIKFRDEERPLISAKVPIAVLGLPFQSGLAAGGDPRELRFDVSTAFASGPALRLSYRPNDPALPFALTVRAGLGPLGSPARAPFALAAEFNLLAPDPSSSPAFFLRLKPRLGDFSLSHTLRSPAAAPAPAPRKVGEPGSDDGGGGHGREVKLLDYRPPFTFTGSGLAADVAAAGTKSGVGALLSGMRLTTRSVLPLWGRASLRFNWGLRVPPELLADDADGKGAARAPVSKMPLLVMSKVSIEQSPRAGAGSKGARAEAEAEATASDLDGDAAFSLVRQQLESLNVDNMLLRRAVEDLRAEVRSGSRAARPAAAAAGRGEGRVAATALQTQPHPRPQPYHATKPVRGAATAREPAATPDDVGEELKKALEARLR >Sspon.03G0003380-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:13795112:13799906:1 gene:Sspon.03G0003380-2P transcript:Sspon.03G0003380-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASGGAYAAQLPAVPEWLNKGDNAWQLTAATLVGIQSMPGLVVLYGSIVKKKWAVNSAFMALYAYASSLLVWVLVGFRMAFGERLLPFWGKAGVALSQDYLVRRASLSATAHGDTPRTEPLYPEATLVLFQFEFAAITLVLLAGSVLGRMNIKAWMAFTPLWLLFSYTVGAFSLWGGGFLYHWGVIDYSGGYVIHLSSGVAGFTAAYWVRDYVGPRLKSDRERFSPNNILLMIAGGGLLWMGWAGFNGGAPYAANIAASVAVLNTNVSAATSLLTWTCLDVIFFNKPSVIGAVQGMMTGLVCITPGAGLVQTWAAVIMGVFAGSVPWFTMMILHKKSALLMKVDDTLAVFHTHAVAGLLGGVLTGLLATPELLEIESPVPGLRGAFYGGGAQQVGKQLAGAAFVVAWNVAVTSLILLVIGLVVPLRMPDDQLMIGDDAAHGEEAYALWGDGEKFDATRHDLAARVGGGGMTDREGSADQRMSGMGARGVTIQLLNWMRWPWEGGTGPRHPGCFQSLMPSCKPPYSRPGGTAAPPSLPENPSGRPLALGGMLATTQCVHGRTGSASMSCTSSASDRVPSGTPYHSSGGDMSFFAPCPSAEYCRVSRPPCAAIGCDTSLRPPSCAMVTAARCDDTPAASRAAMPRLLRTWEAVIMGVFAGSVPWFTMMILHKKSALLMRVDDTLAVFHTHAVAGLLGGVLTGLLATPELLEIESPVPGLRGTFYGGGARQVGKQLAIGLVVLLRMPDDQLMIGDDAAHGEEAYALWGESKKFDATRHDLAARVGGGGMMDRE >Sspon.04G0004000-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:40013021:40020011:1 gene:Sspon.04G0004000-2P transcript:Sspon.04G0004000-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDGGEDGNAAAPRGPARRRGPVRASLDADEFIALMHGSDPVRVELTRLENELRDKERELGEAQTEIRALRLSERAREKAVEELTDELEKMAEKLNLTESLLESKNLEVKKINDEKKAALAAQFAAEATLRRVHAAQKDDDMPPIEAILAPLEAELKLSRQEIAKLQDDNRALDRLTKQKEAALLEAERTVQIAMAKAAMVDDLQNKNQELIKQIEICHEENKILDKLHRQKIAEVEKLSQTVRELEEAVLQGGATANVVRDYQRRFQEINEEKRTLDRELARAKVTANRVASVVANEWKDSNDKVMPVKQWLEERRFMQGEMQQLRDKLAVAERTARSEAQLKEKYQLRLKVLEDGLRGPPSGSSRPPTEGKSFSNGPSRRLSLGGADNISKLSPNGLLSRRSPSFHSRSSLSSNSSLVLKHAKGTSKSFDGGTRSLDRGKVHGNGAHLLNRSTDAVRDKETNDSWKGDMDERTNEIADSNADEKSNETTNNNSAETVSGFLYDMLQKEVISLRKTCHEKDQILKDKDDAIEMLAKKVDTLTKAMEVEAKKVRREVAAMEKEVAAMRASKEQEIRAKRLGTKGSGSSQLLPGRNAPRSGSMRNFQ >Sspon.01G0013360-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:43694586:43695342:-1 gene:Sspon.01G0013360-2B transcript:Sspon.01G0013360-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGDDLKLLGMWASPFVLRVKLALSLKGLSYEYVEEDLRDKSELLLKSNPVHQKVPVLIHNGKPVSESLIILQYIDEAFAGTGPSLLPADPYERAIARFWAAYIDDKMLPAWNQSTMGKTEEERAEGKKQSLVTVETLEGALRDCGKGKPFFGGDSAGYVDVVLGGVLGWVRASDELHGVKPFDPERTPLLAAWSERFGALEAVEPVMPDVSRLVEFGKMLKARLAAADA >Sspon.01G0061140-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:90594216:90594643:1 gene:Sspon.01G0061140-1D transcript:Sspon.01G0061140-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ASDPCRTPRVHSLTAVRSYPLIRLFFPRFLRRSKLARSLAPAPARLLPSCRQGAFLPPLPSLPPSPFPAATCMPSSPLEWGGDSGDFRGAHTPPLPLCSLVLARRDLHASRDEDDGAGFDEV >Sspon.04G0000040-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:11368630:11373185:1 gene:Sspon.04G0000040-2C transcript:Sspon.04G0000040-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKGREEELVKREEKRRKTTGKEKEEEESGSGFIFMCSARTKPECYRNAVFGLPRGKMDVVEKIRPGGEAVLLMYGVYKADTRGGLDLVRHAFDGKFPAQVKFSVHMDCLPIPESSFKNAIKENYTAKGRFSQELNSKQVQRLLAMFKPIGLSQPAPQHIEEVRRSRIVEDIRKPSDYEERRRLQHIEERGAPINVHAHPLEDQYKITRSLHPPLLDEPRRGVVLDPYHMQEPQHVPLNYYHQVATRSPYQAHMDIIHERTATEATVRDPLLVRDHRALPGELAARSDRVDELYRSYKLSTRAMDFNPGASYQTTYEHPTSVYGEGIQRPVLTRVSGANVPVSTRYSFAGPPGY >Sspon.01G0029040-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:84559761:84565600:1 gene:Sspon.01G0029040-1P transcript:Sspon.01G0029040-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPYLCWEKKFGAMECKENNKDFAMLGQRNQEGNKLFLLLTETSNKAALSDPAGALASWTNATTTSPCAWSGVTCNARAAVIGLDLSGRNLSGPVPAALSRLAHLARLDLAANALSGPIPAPLSRLQSLTHLNLSNNVLNGTFPPPLARLRALRVLDLYNNNLTGPLPLDVVALPMLRHLHLGGNFFSGEIPPEYGRWRRLLYLAVSGNELSGKIPPELGGLTSLRELYIGYYNSYSGGIPPELGNMTDLVRLDAANCGLSGEIPLELGNLANLDTLFLQVNGLAGAIPPELGRLKSLSSLDLSNNALTGEIPASFAALKNLTLLNLFRNKLRGSIPELVGDLPSLEVLQLWENNFTGGIPRRLGRNGRLQLVDLSSNRLTGTLPPELCAGGKLETLIALGNFLFGSIPESLGKCEALSRIRLGENYLNGSIPEGLFELPNLTQVELQDNLLSGGFPAVVGTGAPNLGAITLSNNQLTGALPAYFNATSFVGNPGLCGPYLGPCHSGGAGMGHGAHTHGGMSNTFKLLIVLGLLVCSIAFAAMAILKARSLKKASEARAWKLTAFQRLEFTCDDVLDSLKEENIIGKGGAGIVYKGTMPDGEHVAVKRLSSMSRGSSHDHGFSAEIQTLGRIRHRYIVRLLGFCSNNETNLLVYEFMPNGSLGELLHGKKGGHLHWDTRYKIAVEAAKGLSYLHHDCSPPILHRDVKSNNILLDSDFEAHVADFGLAKFLQDSGASQCMSAIAGSYGYIAPEYAYTLKVDEKSDVYSFGVVLLELVTGKKPVGEFGDGVDIVQWVKAMTDANKEQVIKIMDPRLSTVPVHEVMLVFYIALLCVEEQSVQRPTMREVVQMLSELPKAAARQGDEAPSGDDGSAAPSDPPAADGSIIIIIMGRMRATYCIARSDSPWLVERWLAADEDW >Sspon.03G0033940-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:66800051:66801708:1 gene:Sspon.03G0033940-1B transcript:Sspon.03G0033940-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQVPKKMAILGDLARRSVSGLRSLAPSSKSRTHRQRAVSPARSGCAIDEPLYRTLSRPAPPLRERAPAATEQPSFLRKPHTAKIREEEEGVKWIGLWGRESACVEKARENAAAEDRSS >Sspon.01G0021630-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:83306018:83308485:-1 gene:Sspon.01G0021630-2B transcript:Sspon.01G0021630-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LAPPRALPVPGGAPTAASEHSALSDARAAAAAAGPGAASPLSLGHIVFGIAGSAHLWPRRREYVRLWWDPAAMRGNVWLDAGAPAAPGPSAPWEGSLPPIRVSEDTSRFRYTNPTGHPSGLRIARIAAEAVRLVGGGAGARWLVLVDDDTVLCADNLVAVLSKYDWREMVYVGAPSESHSANTYFSHSMAFGGGGVALSFPLAAALAQTLDVCIERYPKLYGSDDRLHACITELGVPLSREYGFHQWDIRGNAHGLLASHPIAPFISIHHVELVDPIYPGLNSLESLELFTKAMKMEPMSFLQRSICYDQSQRLTFAISLGYVVEVYPNVLLPPDLERSQRTYIAYNRMSQRNEFDFDTRDVQKSLCKKPILFFLKDVWKDGNITRGSYARSSARDDLKRKVFCFRSPPLPDIDEIQVSSSPLSKRWHLAPRRLCSALKGSIDGTLFMFVRQCGHGTFGSASDSL >Sspon.08G0002470-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:5487043:5487348:-1 gene:Sspon.08G0002470-2C transcript:Sspon.08G0002470-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRKIELGYCKGPALRVLATNYLGDCRTAGDGDHEPTNGDHKAERLLKEVHMTPADVAEVFMGCDGDGALAALQKLVDDLSSKRVVDECAASDV >Sspon.03G0026880-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:3982618:3985553:-1 gene:Sspon.03G0026880-1B transcript:Sspon.03G0026880-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding METAIGAARWVVGRALSPVTDGLLESWTASSELGPNVRELKMELLYAQGMLNSARDRDLRNNPALEQLMLELRSVAYSADDVLDELEYFRIQDKLDGTYETIDAKDQEWHGKLHSVEQRGELRHLRWRQQCPGR >Sspon.01G0000790-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:2708504:2719790:1 gene:Sspon.01G0000790-4D transcript:Sspon.01G0000790-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRSGSMRRSSAPTSTRAAAPAFTVSPADYRLLEEVGYGANAVVYRAEFIPTGRTVAVKCLDLDRVNSNLDDVRKETQTMSLIDHPNVIRSYCSFVVGHNLWVVMPFMSEGSCLHLMKVAYPDGFEEPVIASVLKETLKALDYLHRQGHIHRDVKAGNILIDNPGVVKLGDFGVSACMFDRGDRQRARNTFVGTPCWMAPEVLQPGTGYNFKADIWSFGITALELAHGHAPFSKYPPMKVLLMTLQNAPPGLDYDRDKRFSKSFKEMVAMCLVKDQTKRPTAEKLLKHSFFKNAKPPELTVKSILTDLPPLWDRVKALQLKDAAQLALKRMPSSEQEALSMSEYQRGVSAWNFDIEDLKAQASLICDDDPPEIKEDDDTGRITDIDKDTSSDVSVLLRQQIHVKMAPKQAKYCLPTMGVPIVKGRLMT >Sspon.01G0055700-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:82363614:82364293:-1 gene:Sspon.01G0055700-1C transcript:Sspon.01G0055700-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAEEVPRERERAMDNRRSGGECCTCSELLMMISGHSGMDSGTQPISIYEMLDGILAHCVQKSEMELDYSGHLQTGDAELLMPESCYSDVKLKFISLSQVLKVTATQQPTIALRQGLHIQQRIGKPQGSSTEFLIMKRLQHHPTLQGLKQESSCLKWIARCSVSSFQDSTTGTTASEVRNLNLLHGGRKEALGVIECWVLSETVT >Sspon.04G0033500-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:58818775:58822144:1 gene:Sspon.04G0033500-1C transcript:Sspon.04G0033500-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MYNGIGLQTARGSGTNGYVQTNKFFIRPRSTSGGGPPGGPHRPPLPDAADGGGGLGGMRKPNKEILEHDRKRQVELKLLVLRDALEEQGYTDGEIEERVDEARKAAEAEAAAAAAAEEAGTGLGAGRPPLPGGRGFTDTQSHHVAARKEKQLETLRAALGLDVEDAKKKGDVESDVESGELVPGKYYEELDTAGQKDSKDSKDAKKNKKKIENEKSSRHRSSRKSKYDSDSDHDHDTKTKKKSSRHDSEDGSETDYDEKEKKHNKKPRLGSDDDSENDRKKVKRGKSSRHDSDSDTDSGYGKKKAKHAKNDRGERKKIPVKNSHHDSESDTDSGYGKKKTEHARNDKGERKKIPVKNSRHDSKSDTDSGYDSGYGKKKVEDAKNDRGERKKIPVKNSRQDSESDTDSGYGKKKTEHAKSERDERKKVPVKSSRHDSDSDTDSGYGKKKVEHAKNERDERKKIPVKSSRYDSESDTDSGYGKNKMEHAKNERNERKKVPVKSSRHDSESDTDIDYRKKKIEHAKGICDERKKMPVESSHTSAKLSSDEKPRKYKESFRHDSDSDGLSRDKERQLNSASLKKDVQEKRKLASSSESSDYSSSLSSSDSDMSADSYEEQKRSRAEGRRNELTTQRQKEEERKELEKHQLREVERKELEKQKQREEERMEMEKKRQREREEERQREREQYGRKGGNDVERDNKRKLIDDRYDPNSSRVREEGYKDRQNRDDNRWQEEHGRHSRYMDSHDSKRSRRDDDSHYHSRRDYEQRYSRDEHRDRR >Sspon.04G0003180-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:46883567:46884652:1 gene:Sspon.04G0003180-4D transcript:Sspon.04G0003180-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding HFPNGSHRFVHKPAELLLVVLLGPGAHESTRTFYPECFFTHLLHLHHHLSLLLFLLIPLLLCFASRFVLPPRHILLHRAPAATSCSHHRHFLLAAPASAAVLLVVHLSGGVVPDVVGGRRVGAGGAEPPGPGANPPDPGAALGAASAAAEGPAGGGVPRPAGAAHETRRGAAAVGVGREREAVPRRPAAALGQVGGGDPPAQEPDAAVARHLRLRRGRGARLRQGGLPPPRRRGAPQLPIPPPGRRAPRGPARRLRRRQAHRHLPEPHRRRARVLQGRRRQHHRRGSPGLAQGLGVHDHHGGRRVGTLRRLASFLPPGVPAAAAAESSSRDGEPGLHGGAVGRVRRPAPQQVPVLGDRLGLH >Sspon.05G0021570-3P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:72961927:72966254:1 gene:Sspon.05G0021570-3P transcript:Sspon.05G0021570-3P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSYKYKYCMCFTRKFRSPDAQPPPDVHAAYHSFNSDVHALRRFLSQAQGEHPAEVDRIHALLTAASGGHGIARLVTRSPAPAMPTLEDFFAFLFSPELNPPMAHQVHQDMSAPFSHYFVFTGHNSYLTGNQLNSDSSDIPIIKALQSGVRVIELDMWPNSSKTNVDILHGGTLTAPVEMIRCLKSIKEYAFCASTYPLVITLEDHLTPDLQAKVAKMLTETFGDLLFIPSSDPMKEFPSPAALMKRIIISTKPPQEYKEFLKDELDEQDEEDSDEDDPKFQQDTAFFLEKEQDTACEYRRLITIQAGKPKGHLRDALKVDPEKVRRLSLSETQLAKATTSHGAEVIRFTQNNILRVYPKGMRVSSSNYDPMDAWTHGAQMVAFNMQGHDKALRLMQGFFRANGGCGYVKKPDFLLTTGPKGEVFNPKSSLSVKKTLKVKVYMGDGWRMDFSKTHFDAFSPPDFYTRIGIAGVRADTVMKKTRVIEDQWVPVWDEEFTFPLRVPELALLRIEVQEYDMSEKHDFGGQTCLPVWELKQGIRAVPLHDRKGNRYKSVRLLMRFDFV >Sspon.04G0030900-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:3070485:3073392:1 gene:Sspon.04G0030900-1C transcript:Sspon.04G0030900-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDVGVDVPLPREKLSAGPNSLMDGLVCHLIPGFSDAAAAGTEEAEVASCSWPPGASILPPTCTCACLLAKDELEQRGYSVLGGYMSPVNDAYKKKVHIMASQKPYGTDLLPAAHRICFCELACKSSSFVMVDPWEAMQKGYQRTLTVLSRVRNSLCKDGVADQGSLKVMLLCGSDLLESFSTPGAWILDQVRTICKDFGVICIRREGKDDNIISVDEIVPNQISSSRVRSFVVHILNNHETAAASSGAPAAEDKASLPCGLKSDSLSQVAGGSGWIPPGLSGKSVLKYPAMMASMSHVSATLGIW >Sspon.03G0033590-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3B:51871755:51874270:1 gene:Sspon.03G0033590-1B transcript:Sspon.03G0033590-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGGNVTHHEYMQVGKGRDVGMNQISSFEAKVANGNVYGRSYRSSSLYLFVTCSIWFLVASWLFAPFIFNPSCFEWQKTVDDWTDWRKWMGNRGGIGMSVEQSWEAWWVYGVSWVVMLLVLVVLKMVSIGRQKFGTDLQLMFRILKGLLFLGFVSVMAVLFVVLHLTISDVFASILGYLPTGWCLLLIGQACSPLIRRTMLWDSIMELGRTYENIMGLILFLPIGFLSWFPFVSEFQTRLLFNQAFSRGLQISRILAGQKDIGEF >Sspon.02G0013210-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:15474485:15475321:-1 gene:Sspon.02G0013210-1P transcript:Sspon.02G0013210-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNRTFSAMAANQSYIIRFDGHSDDPSPSSAGAEPPGPQPPFAGRRMISPEQEHQVIVAALLHVVSGYTTPPPEVFPPPPAACCQVCGMERCLGCEFFAAGEGAAAVTALDGAGKVPAAAPGQRRRRKKKNKYRGVRQRPWGKWAAEIRDPRRAVRKWLGTFDTAEEAARAYDQAAIEFRGPRAKLNFPFPEQLTTGHDEPTSNGGDASAAGTKSSDNTLSLSPSLCSADAEERGQPEWPPSAGLGQETGEQLWEGLQDLMKLDEGELWFPPTSSAWN >Sspon.03G0009190-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:25229611:25232897:1 gene:Sspon.03G0009190-1A transcript:Sspon.03G0009190-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GMEVQVVDLSSDSEGEVSAHSPDHMRPSRQPDPNRGGGDTGSSAMDILFEQAAAGAAWGDALKKGKEKVVEGESAGPPKHGGELLGAAGRVLGAGSDPWSAVVSKCKAWDGGSNGAGCWGSWGDRGDQLTNSAPMPRLGSERKGFHETSSDKWKGILGASPADPVNSLWSSWDTDMKDNEDETFSQRSAAARAISNCDDFLMEDSSSSWLSKIKGLHFPLPDEHQLRTRQIENDEIFARRLQEQLNQEQPGSQHSEVVDTTIAWTLHEQDAEHARFAARESQSSSSQRDRSMAHLYSYGRHSPVQSFASWASNRTPIPMPSRRGLQRNSNCPQAEQRNMLISQLTKGCFGEDMDLEMRMAVLDSLSEAFRNCEDTLSPDSDVDYEDSIAFDVDIQYRGASDDQINSLPLSLVEGENCSDEPCNICLDCPAAGDSIRHLPCLHKFHKKCIDRWLGMRTWCPICKSNVFSQ >Sspon.02G0053030-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:99248781:99252255:1 gene:Sspon.02G0053030-1C transcript:Sspon.02G0053030-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SVSAVINMSISFHI >Sspon.04G0005010-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:14345483:14349281:1 gene:Sspon.04G0005010-3C transcript:Sspon.04G0005010-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding HMTTGPCRRGGRATTSSLALLLLLLACVAGCSVPAVRAQATVFTGTVAGREITNFSFPKFDQNRFQLATNLTFTGNASVSQGALQVTPDSSNNFATYLVNQTGRIFYSTPFLLWASNASNATADRRHVASFSTVFQINLYRANKTVKGEGLAFVVASGMDDPPAGSHGGFLGLTNASTDGLGTNGFAAVELDTVKQSYDPDDNHVGLDVNGVHSNPAIPLAPYGIQLAPSDNSSSGDYTVWIDYNGTTRHVWVYISPNDTKPATAVLNASLDLSTILLDKTGYFGFSASTGVDYQLNCVKLWKMTVEVIHGESVPKTKLSGWKLGLAIGVPCAAALALGLLAGLYLMKKRKKQIGDDPSSVVFHNAFDLRSIPGVPKEFDYKELRKGTNSFDDKMKLGQGGYGVVYRAEAVDPRLAAGGYDEEEAERLLLLGLACSHPNPRQRPKAQAILQNLQTRSVPPLPVPMSKPVFMWPVPLADGEEGEETQTSMSHCGVTSSDVTSSSNYPYTWSSGYTTQTFQVSREVQDAAGRDVSTV >Sspon.03G0022540-3C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3C:87396327:87399804:1 gene:Sspon.03G0022540-3C transcript:Sspon.03G0022540-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFVLTNLVDLCLLEDAAEQCVAVDFIMLEAEAAFMYGGAPENANSFVNRICDLENCVVRYGDTILRLAGSEEILPVPDVTRDCSSKITIEMMNSVKTSLSKVPTCQNLEESMFLNQPEDAAGGFNDHLHSFGEPQTSTFITPSKNKLSIQSKKGKVSPSISEDWEKLIVIDDLNDNFASPAHPRPAVDKSPRPKPPSPVKPLDEKTSRILERLELPRAKKQRANISKASP >Sspon.05G0021500-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:90358836:90361006:-1 gene:Sspon.05G0021500-1A transcript:Sspon.05G0021500-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVGAVTLSKTKKKPGLERKGKVVAEIKDAIDKYSSAYVFTYDNMRNQKLKDLREQLKSSSRIFLAGKKVMQIALGRSPADEAKTGLHKLSKVELKEGPLEQFTHEMEPFLRKQGLPVRLNKGVVELVADHVVCEEGKPLSPEAAQTLRLLGIQMATFRLYLVCRWSCDDFEVYKEGLMHLGADDSS >Sspon.03G0044200-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:90614621:90617665:1 gene:Sspon.03G0044200-1C transcript:Sspon.03G0044200-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPPRQHERQSVPPTTAEHRRQSTGTGGGRASPRAGTSTGVTLQPVMYTPLAHHPDIDLAHLQSFVAAPLLHHREPTFRC >Sspon.03G0024720-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:94106094:94107824:-1 gene:Sspon.03G0024720-2C transcript:Sspon.03G0024720-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRVGRLNDAYRLQLKLFLYEVKQQQLLSGIRSYLKMYSTITISKLAQYMEMDEATLRSILMTYKHKMHAVDSDGKIVSSADFDFYIVEDVIHVVESKPTKRHGDYFLRQILKFEEMIGELEKSTLKAC >Sspon.02G0014130-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:37673248:37674432:1 gene:Sspon.02G0014130-1A transcript:Sspon.02G0014130-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPRPSQRSIFHLGEEGVDDHRSADEHVKNTDATRILAGRRDHGRQRRKQDSAVDAVGVGLQILVQNRHHTRAPSPSPSHIVLKQVVVLPMVARHRRGRPAGSSFLSACSRCRRELSSKDVYMYRGDQGFCSEECRCQQILADEATEREAMIEKERMRRGLPHHLHHGPRSAMGAIGGASRRLVAIAY >Sspon.02G0005930-2D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2D:11991248:11991636:-1 gene:Sspon.02G0005930-2D transcript:Sspon.02G0005930-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RQITRDPSRNRRKHAPAPCARLAPNPVVLVFALTLPPTSTTRGFPSLPLSTSAIVSHRFGGRRPHRLIPSPSHPALHRCVVAIAPKPLGRAVGEEISSPQNSNASELVARKVVLFALHMTGRLFEFAI >Sspon.08G0003210-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:35821017:35823362:-1 gene:Sspon.08G0003210-1P transcript:Sspon.08G0003210-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MERVQEEQRRWYGVKEPRQVLVVTPTYSRAFQALHLTGLLHSLRNVPYPLTWIVVEAGGTTNATASMLARSGLTFVHIPFPDRMPHEWADRHATENRMRLHALRTYGKKVADEGSVSLRSVIRERKMDGVVVFADDSNVHSMELFDEVQKVQWMGAVSVGILAHTGTADQLRLSEEDKQNMPLPVQGPACNSSGHLAGWHTFNSLPFSGKTATVVGEAAPVLPRGLEWAGFVLNSRMLWKEADGKPDWVKDLDAVGENGEEIENPLTLLNDPSSVEPLGNCGKKVLLWWLRVEARADSKFPQGWVIEPPLEVVVPAKRTPWPETTTELPSELLDDKQEQEDRR >Sspon.02G0012910-3D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2D:28334272:28336546:1 gene:Sspon.02G0012910-3D transcript:Sspon.02G0012910-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRTTTFFVLSLTILLGTSVAAVTDVSQEAQLRKFMSSRALKKLTKRASRANEAEETDPWADPNAFAHLPERCKGPASGSKEADRVLGLPGQPPRVNFRQYSGYVTVNEEHGRELFYYFVESPYNATSKPLILWLNGGPGCSSLGFGAMKELGPFRVNPDGTLRRNKHSWNNLANVIFLESPAGVGFSFSRNASDYDTGDQRTAEDTYVFLIKWLERFPEYKGRDFYITGESYGGHYVPELATVIMHMNRFPGLLTRINLQGIFFGNPLLDDYMNDKGELEFLWSHGVASDEEWAAILGNCTFTPSDDWPCVDAALAVRRGNIDDYDIYAPVCLQSDNGTYFASSHSLPGYDPCSYYYIEPYLNKHAVKKALHARLDTNWTGCEDLGWDDAPESMVSIIKRLVNKGLKVWIYSGDFDSVCSLLATRYSVNDLNLTITTKWHPWYTPDSEVGGYIQQYQGGFTFASVRAAGHLVPTFQPKRSLVLLYAFLKNMLPPADPKYRPPTGLLTPN >Sspon.01G0009220-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:3822152:3826150:-1 gene:Sspon.01G0009220-1P transcript:Sspon.01G0009220-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASASCLSLLVVLAALASAASAQLSSTFYDRSCPNALSTIRSGVNAAVRQEPRVGASLLRLHFHDCFGCDASLLLNDTSGEQTQGPNLSLNPRGFVVVNNIKAQVEAVCPGVVSCADDIVAVAARDSVVALGGPSWTVLLGRRDSTASFPGQTSDLPPPTSSLQQLLSAYNKKNLNPTDMVALSGAHTIGQAQCSSFKDHIYNDTNINSAFATSLRANCPRAGSTTLAPLDTTTPNAFDNAYYTNLLSQRGILHSDQELFNSGSTDSTVRNFASSTSAFNSAFATAMVKMGNISPLTGSQGQISLLAVVLAALASAASAQLSSTFYDTSCPNALSTIKSAVDAAVMQEARTGASLLRMHFHDCFGCDGSVLLNDTSGEQTQRPNLTLRRFDVVDNIKAQVEAVCPGVVSCADILAVAARDSVVTLGGPSWTVLLGRRDSTASFPSETTDLPAPTNSLQQLLSAFNKKNLDATDMVALSGAHTIGQAQCSSFNDHIYNDTNINSAFATSLQANCPASGGTSLAPLDTMTPTTFDNDYYTNLLSQKGLLHSDQELFNNGSTDSTVSNFASSTSAFTSAFTSAMVTMGNLSPLTGTSGEIRLACCGIVNSS >Sspon.03G0000140-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:10343876:10348509:1 gene:Sspon.03G0000140-2C transcript:Sspon.03G0000140-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMEQVPRERRLMHGQQHSAPASTNKLEFPLEHLIGSGTYSNVYKAIEVESGRVVALKKVRVDGVGEAESARFMAREIALLRRLGDHPNVVRLNGLVTSRLNTAPSLYLVFDYMEHDLTGLTACATASGRRFLFPRLQVKCYMKQLLSGIEHCHNNGVLHRDIKTSNLLVSSDGILKIADFGLATSYDPENVRPMTSQVITLWYRPPELLLGATHYGVGVDLWSVGCILAELLLGEPIFPGRTEVEQLHKVFKLCGTPSEDYWEKMKFAHPTFKPYQRCLAEKFKDVPPSTLSLLETLLSIDPDMRGTATDALNSEFFRTEPYACEPSSLPRYPPCKERDVKLKYEKHKRKSRINGSVERHRNRQHTLQNPGRRVFTPDINNKPQANPKVPRLVTSTSTTKFERFPPPHLDASIGYSLDPSADGATEEFFSSSVVELKKMPSLIVGHVKSYLNSPKKGMHKAKPSLNMAPSTVLIGAFRPYSFGQPMGVRRKSREQFRSKGRNAVGAVKSSPELVLLAPFTESASRIRG >Sspon.02G0017830-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:54153359:54156697:-1 gene:Sspon.02G0017830-1A transcript:Sspon.02G0017830-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCAASRLSGGGGGGDGGDPVAVCRDRKRLIKAAVERRFALAGAHAAYAAALRSVADALDVFVARHTAPAPILITLPTPSSSPPGSPKPAQVQVQGLPSPATPPPPQQEEEGEEEAPASPAAAEDGGGGVQATEMGCPYYYPSPATPPPPPPPAASAVGGWDFFNPFYGTEEVAPAISDEEMRAVREREGIPELEEAEEEEEGAKAVETKPPKAEASLGVLTPQEEAKDVCEMAANNGGLEVAVAPQGRELLAALKEVEELFAAAAEAGKEVSGMLEAATRVPELKENSSKIIHAITWHRSPSSVSSSYRSELGASSNSLSWTDKSETKSDIFEDYGGMKSGSHSQTLGRLYAWEKKLYEEVKAIDQIRQTYEKKCVQLRNQDAKGSELRCAEKTRTTVRDLYTRIWVSLRAAESISDRIQKLRDEELQPQLVELLQGFTKSWKIMVDSHETQRQIMFEVNSFTCPAYGKFCNDAQRHATLKLEVELRNWRSCFISYFNAQKAYIEALDGWLSKFILTDTIRYSRGISSIAPDRAGAPTLVVICHDWYTTMSKFPNKRVSITMRNFLRSVRVLWLKQGEEQQQKRKVDSLAKELDKKVTAYKRAENRIIGTKLLEHKPEIDAKQRMEHLSEKKEMLNVLRKRIETEKAKHHACMRDTHDVTLNGFKIGLASIFESLAEFSKDSVMLYEDLLARAHDKDSEKAATDKRPCIAGPYSHIAVDAT >Sspon.06G0009100-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:48960323:48961455:1 gene:Sspon.06G0009100-1P transcript:Sspon.06G0009100-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPHEKVVVLKPVASRPFSRFRPFPKVLQDFNATCSPTITIPEETELIRPKATRLASLPGNLPTQIAATIDAGAGSDAISEEVEVNAEHFTCCDHVTACQAARRNGVRSRLSLDGYNWRKYGQKKVKGSEFPRSYYKCTHPSCPVKRKVEMTIDGRIAEIVYSGEHNHLKPGKPCPPRKPLSSTSTEVVMCDMHGIDDMM >Sspon.02G0043910-1T-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2B:97608840:97615948:-1 gene:Sspon.02G0043910-1T transcript:Sspon.02G0043910-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VFTALSFLLFSQENVDIAIIEAGLGGARDATNVIQSTELAASVITTVGREHLVALGGSLQSIAIAKSGIIKQGRPVVIGGPFAADIEQIIRDRAFLTQSPVISACDPGMKSFTKCIDWDNAHTEESAKALSGVLKTVRPEGPLALVVGMASDKEHLTFAEQLLSGQTPDVVLLTEASIAGGTSRAMPALSLKEVWIAAARDRGIEYVDIGGISGAETPEHIGDLLGSLSSSSGRKPMVIGCQDGALFSSKLIISASQLLESRGRAPGLICVTGSLHLVGAIRGEHALASAPPACPFHRPLPRPLHRPLPRPLRSLRRMQGSRRRGGDTEVRLADVWSSQKAAAPSPLSTNATMDQINSWTHHGQRRSSPYGRVGQLPPLPPVGSPKYPMFFLPSGISKTPSGCVWRCVAELES >Sspon.03G0003520-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:9162932:9164568:-1 gene:Sspon.03G0003520-1A transcript:Sspon.03G0003520-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAGDSAGARGGLLCVTGGSGFIGSWLVRLLLDRGYTVHATVKDLQDEGETKHLQALDGADARLRLFQMDLLDAASSMRPAIEGARGVFHLASPLTLQTRDPEAILHSALVLRAAKDCGVHRVVLMSSKSAMLPNPAWPADKVIVEDDSWADLQLLKKRQLWYHVSKTLAEKAAWEFAVKEGLQLVVLNPGTTLGPFFTPSVNTSLNILLQLMRGQELELDAVYTGWVDVRDVAQSAIVLYENPSAQGRHLCLASMERLADFADKIADMYPEFPVHRIKEDKQGWLMRVKEPSKKLIDLGVRFVPFDVTIRETVDASETRAHLA >Sspon.08G0024660-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:912732:914360:1 gene:Sspon.08G0024660-1C transcript:Sspon.08G0024660-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTPTITLHLLVTLVCIILSSERTSSSSAYSSDVDAFLSCLSADISPSLIYTPANNNYSSVLLSSVRNLRYYVGMPDTTRPLVIVAATEPAHVQTTVVCGRRHSVHGLSYASIDPHRRHFAVLDLAALRAIHIDASRAEAWVGSGATLGELYYAAAAANRTLVFPAGNCPTVGVGGHLSSDGFGALSRKYGLSADNVLDAVVVDAEGRLLNRSTMGEDLFWAIRGGGGESFGVVVSWKVRLVPVTETVTVFSIRRRRNESAVDLITRWQAIAPALPRDLYLRVLVQNQQADFVALFLGRCGSLVDTMRGHFPDLGMAERDCQEMSWVKSTVFFFYGTADLPAEVLLNRSSNPYYYLKVKSDHVQEAMPRHAWESIWSKWLDKPEAALLQLDPYGGRMGSILPSATPFPHRNYLYQLQFYSVWFNNGKGTAAALEQQRVMMSWVRGVHEDLTPYVSKNPRAVYVNYRDLDLGTNELEEGGNVTSYAKARVWGEKYFKGNFKRLAAVKSKVDPHDFFRNEQSILPLPVPAAK >Sspon.03G0011350-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:30859016:30863401:1 gene:Sspon.03G0011350-1A transcript:Sspon.03G0011350-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGALLSSPHTVPSPTSQSRGPLGAGAARVLPPRRRARVLASCSREPAARNRCLEVERRRLLMSGLVSSFTIVLPISEAYAVMETDEDVKMNTQVDEINAYSFLYPVELPGKKSPENQSDIPLQRHYHIGPPNSRFLPSKDKSSWDPKDVADCILSDRSTLKVTTGQRMTESSVLDAHATKDELFIEESVRFTGL >Sspon.08G0017870-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:5281351:5282896:1 gene:Sspon.08G0017870-3D transcript:Sspon.08G0017870-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAESNNGAAATATAVHPGPQHRRRHVLLFPLPYQGHINPMFRLAGVLHARGFAITVFHTHFNAPDPARHPRYRFVPVPDGISGPAPVAIEDVVARIIALGAACEPAFRDRLAAVLDEYSRDAVACLVADAHLLPVFQVAARMGVPALALRTGSAASYACFAAYPMLCDRGYLPVQGTSRSPVHMLHFSLANSQLDMLVPELPPYRVRDLMQLGKGGHGLIRELLARAVAAVEASSGLILNTFDALEHGELAGIRLDLGAAVPVFDVGPLHKLSPAGGGGDSSLLLQDRACLEWLDAQLQDSVLYVSFGSLACMTPRELAETAWGIASSGVPFLWVVRPGLVRAEGQSQQRLPAGFEAATRGRGMVVAWAPQEEVLRHRAVGGFWTHNGWNSMMESVCEGVPMLCRPYFGDQPGNARYVEHVWRVGFEVGGELEKGSVETAIRRLMTGTDGAEMRARAGELKKAAADCIGKGGSSCLAIDKL >Sspon.04G0010080-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:25152040:25155737:1 gene:Sspon.04G0010080-2B transcript:Sspon.04G0010080-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding CLRARGPRAAAGAAAAAAARGQAPRCRWPCCSGGRSPASAPRRSARSCTRASSARPRKARTSPSSSPNASASLGVPSSSFSAFGLFDGHNGNGAAIYTKENLLNNVLSAVPADLNREDWLAALPRALVAAFVKTDKDFQTKARSSGTTVTFVIIDGLVITVASVGDSRCVLQAEGSIYHLSSDHRFDASKEEVDRVTECGGDVGRLNVVGGAEIGPLRCWPGGLCLSRSIGDQDVGEFIVPVPLVKQVKLSTAGGRLIIASDGVWDALSPEVAFNCSRELPPVPAAEQIVKTAVQSKGLRDDTTCIVVDIIVEKNNPCMPHPKKQPGIGVFKNMFGKKKSSDSSSHSHTDREYTEPDNVEEIFEDGCALLSRRLDSEYPVRNMFKLFICAICQVELKPNQGISVHEDSSQPGSLRRWDGPFLCQSCQEKKEAMEGKRRSR >Sspon.06G0017570-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:95385907:95390737:-1 gene:Sspon.06G0017570-1A transcript:Sspon.06G0017570-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHHVQLEILVAIKTGIQAFLHPSVDIPQTRLAEIFLYKRCRNIACQSAVPAEECKCNICSNRNGFCNLCMCVICNKFDFEVNTCRWVGCDICSHWTHTDCAIRDGQIGTGQTIKNGIGHAEMLFRCQACQRTSELFGWVRDVFQQCAPGWDRDALLRELDYVCKIFRLSEDSKGRKLFRKCAELVERLRSGSAESMSPRILLQALQELDIDTSKSFENEEPGRLITPQEACNRIAEVVQEAVRKMEIVAEEKLRMYKRARLAVEACERELEEKAREAQELKVERLRKLQQAQELESIIRLKQAESEMFQLKASEAQEEAERLRSVALAKKKSEEAGQDYASLYLKRRLEEAEAEKQFIFEKIKLQENKCVPPVPHAASSSGVGVGVGVGMVGGSSGGDPSQVMMLSKIQDLLKNVRSMPSAKPDGPRSK >Sspon.05G0022290-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:3095214:3095606:-1 gene:Sspon.05G0022290-1P transcript:Sspon.05G0022290-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRRQATAAATLAFLLLSVSAASSSSSPPALLTTAASRKVLHWKLGCPWDAVKFGACVGVLGAVGLQAGAQLGSKCCDVVQGLAAAEAAACFCTTVKETVLGIPTEWDVGVGVLASACKTELPDGFKCV >Sspon.08G0007840-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:26862643:26864462:-1 gene:Sspon.08G0007840-1A transcript:Sspon.08G0007840-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQAGSTGKGRRKRANGTWCRGAKWAQPVAHGYRRSNCNSSFPRPRPQAVHSTRERAASVPAAYGHNTPYLVKKYSEIINFPIYLLSTTEVDVDNPRNYKGGRDRKSPKEVTDEEYLKFHHSLAKDTGEMLREKLREMLSSKLCFLFPTKTPHDLYESYYNNNMSSLSRYCGFRHTSPECVTRKKQLIHEALDMIRKLAADLQE >Sspon.06G0028470-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6C:7457197:7458155:-1 gene:Sspon.06G0028470-1C transcript:Sspon.06G0028470-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCTHERTNRATFLQVYRKKSTGGFSSVPYVVALFSSVLWIFYALVKTNSRPLLTINAFGCGVEAAYIVFYLAYAPRKERLRTLAYFFLLDVAAFALVVVVTLFVVREPHRVKFLGSVCLAFSMAVFVAPLSIIVKVIKTKSVEFLPISLSFCLTLSAVAWFCYGLFTKDPFVMLRPDGPLLLVPQAPAAKNNAVLPTTTDGAGAVQVQGQVIELAPNTVAILSVSPIPIVGVHKIEVVEQQHKEAAVAAETRRMAAANPDGAMPEVIEIVPAAA >Sspon.01G0022170-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:80414151:80434903:1 gene:Sspon.01G0022170-3C transcript:Sspon.01G0022170-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMQPPPPQQQQQWAMAPPPPPQYYHAGHPPPPPPQFYQAGPPPPAMWGQPAPAPAPSGGGAGDEARTLWIGDLQYWMDENYLYSCFSQAGEVISVKIIRNKQTGQPEGYGFIEFGNHALAEQVLQNYNGQMMPNVNQPFKLNWATSGAGEKRGDDGSDYTIFVGDLASDVTDFILQDTFKSRYPSVKGAKVVFDRTTGRSKGYGFVKFADLDEQTRAMTEMNGQYCSSRPMRLGPASNKKNTGGQQQPSSAIYQNTQGTDSDSDPNNTTVFVGGLDPSVTDDLLKQTFSPYGKLLYVKIPVGKRCGFVQYSNRASAEEAIRVLNGSQLGGQSIRLSWGRSPANKQPQQEQNQWSGGYYGYPQGYDPYGYARPPQEPAMYAYTPYPGYGNYQQQPPQQPPPQQVISVKIIRNKQTGQPEGYGFIEFGNHALAEQVLQNYNGQMMPNVNQPFKLNWATSGAGEKRGDDGSDYTIFVGDLASDVTDFILQDTFKSRYPSVKGAKVVFDRTTGRSKGYGFVKFADLDEQTRAMTEMNGQYCSSRPMRLGPASNKKNTGGQQQPSSAIYQNTQGTDSDSDPNNTTVFVGGLDPSVTDDLLKQTFSPYGSFSMSKYLASAEEAIRVLNGSQLGGQSIRLSWGRSPANKQPQQEQNQWSGGYYGYPQGYDPYGYARPPQDPAMYAYTPYPGYGNYQQQPPQQPPPQQVKHVCQGPSTTFVD >Sspon.08G0029400-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:35948457:35954978:-1 gene:Sspon.08G0029400-1D transcript:Sspon.08G0029400-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRLQTYAAFSLLATASAVYYAFSSREQFYPAMVYLSTSKICFVLLLNTGLVAMCVAWQLVKRLFLGSLREAEVERLNEQAWREVVEILFAVTIFRQDFSVSFLAMVAALLLIKALHWLAQKRVEYIETTPSVPLLSHIRIVSFMAFLLIVDCLFLSNSLRSLIQKWEASVAIFFSFENYGVPLHLIRELYETFRNFRIRIADYVHYRKITSNMNERFPDATAEELNASDATCIICREEMTTAKKLLCGHLFHVHCLRSWLERQHTCPTCRAPIIPPDNGRSVSARHGGQPGVQPGTDTPASEGAPGDNMSRRQAKLEAAAAAASLYGRSFVYPPANTLNRSGPQSTSSTPQSEASNSNQSQKDQELQIQNSSDGLAPLPFSAHGAIGSGPSTSNLENSLQKAQENFIKSQIEMLQIQLQMIRCGAAVSVTNNENDEHKRND >Sspon.08G0004840-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:14076540:14078263:-1 gene:Sspon.08G0004840-1A transcript:Sspon.08G0004840-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPWVGQPSLSLDLNVGPPTAKTKVLVEENFLAVKKDREVTLQVEALQAELRRVGEENKRLSEMLRAVVAKYTELQGPVNDMVAAAANRGSSTTSEGGSAASPSRKRIRSGDNSLDTAQHHHSRKPSSLSPSLATAVAAHEQTECTSAAVSVTAAAFRRPVREECKLKVSRRYVHADPADLSLVVKDGYQWRKYGQKVTKDNPCPRAYFRCSFAPSCPVKKKVQRSADDTTILVATYEGEHNHGQPPQHDGGRAARSTAPAPAQAAGEAVAVRPAAAPLPQQQQQKQKQEAATTGPSSEAARKNLAEHMAVTLTGIPGFKAALVSALSGRILELSPTRD >Sspon.07G0008200-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:19111352:19112833:-1 gene:Sspon.07G0008200-3D transcript:Sspon.07G0008200-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRPACSLLPLLRSRCCPHDATTPRSFTAGSVPPDVISYTWPPPPPPPPNPTGSWCPASCSSTSPPLPPFAASHLRAAVSSLATSLTALPGPDPDPVPALHEHSFPTLLAVSPLASLELLSLLRSRPRLGLAVFSFRRALSPAPSLGEFALAISLASRARDHDAAAALFADGAATHSPNQGLYNALMAAYMHNGLLDSCTEVFHALERDPRCGPPNVDSYNILIALYGRSLLVDHMEATLQSLDASGQPRTIGTYNAIISGYLTAWMWDKMEAVFQDMVSGHVAPDATTHLLMLRGYAHAGRMYKMEQAYERACKLVRKVDIVHIRAMLCAYCKFYHVRRIQKIEDLLKQLGPDDYRPWLNVLLIKVYAQEGLVEGMELHISEALERNTIVNTMKVMRAIICSYFQYDAVDKLVHFVRRAEEAGWKLCRSLYHCKMVMYGKHHRLEEMHGVLDEMECFKIDQTKKTFWIMYKAYVSCGRRTEANTILGMMWKH >Sspon.02G0028810-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:104750370:104755686:-1 gene:Sspon.02G0028810-1A transcript:Sspon.02G0028810-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GTLTWGSKTPSTTPNAWGSSSILSTKNDGSSSSSSHFNGRPSSGGGSRPSTAGSESLGSPNAWGPNSRPSSASGTFPSPHLPMASNRPRSAETRPGSSQLSRFADNASDNVKASIRTIDRSGSSSHGPGFTLSTGDFPTLGSEANSQRGTGEVISPSNNHPVDIMKTEQHAHTGGAPVPAATVSNEGLQPQSYPPNFPMPPPQFDSWRAPPGHPPEGMWHRGPVPGGPYRPVGPPGSFPVEPFGYYGQFPPNSEAAARQGPGHGGYHAKSGDVYHPMAPNSYIMNQPVIPVRPVYQGPVPYDGYYGPRPNFNNANVRDPHFTGGPHQPGILNQFPNQNDRFHPGHPQNRPGKHETVPREQLEYDKVHVFRRGQPGSLHDNPDRLGGSREFERNVQPAPPLLPHPDGNQTGMNMRTDTRDTFGETERTRVLTKSVPKQRGSVGTEHLSVYENAHSHHQETVDGTLRKKFKEDSSIALDQQPVIKKNAALIEKIESLNNKARNIDARNLPEPASSKEFKKHPKSTDVPSTGMVCASDQVSVSCISPVVQRLPNVPIEGTILGPAHSQLTEFSKAEKLGDPINDHVHRRGDSSRNSYHGPSKDRPARSHGPGESSTTNSLPVADLRNNIQHGQQPESASQLQPVTVPDDMPASLDYESQNKKLYAVSNAQSSQRAKMKELAAERAKKLKAEEEERIKNQKAKALAKLEELNRRSSVLQKKSNDTKVETNDAHDKQQAGLDVTAKLATSIAEPRDVIAPNRLTALLPLNDPNHTMVHVQSQATAQSHASDGDKDPDVHAASSSVRNTPSNMEHVVQKSISQSHDISVVKAKQGYRKRHVVSEEKISGEKASASITTGNVKKNVDVPVDTVRAVVAPHDDPVQNKKGTRHLRSKKKVDDAPVTSKHPPVVFNEQNTVRVSTEPKAHTGGVIINSSIVPTEGTVVTVGSITVGGISLAPINQECVKSPDGTNSTESSRPKPQQARKSGKHQHVLRPVEKPHGNDGVLWAPVKPPVQNEQSDGAMPNAAVADLTQPTGKAIIDGENVTRAKRAEMERYVPKPMSKELQQQNPSHDKEAIDKPSAAKSDVAAESKKTSKGHGGKSNPSWRKRNPNESALVAPDSVEQADSSYESKEVQNCTDQNQPVELGKQDTQLKSNADAAAENSSAPAQTVLLSVGGAKEHSAANRQRRQHVKAQRNEASSYSNESKGREGKNDDVYQSATPIMDSNSSNHRNVPRSDVKSSSGVVSHSRAWKPKANSHPQNSSDGKIAVEGPVESHGGGLEINMSKGSDTTTHQDTSSKPMKRSDGADEVSHSKQESLTWEDGNQKSETEQEQANPALRRQGQPNAKYHRGGGAHRGRGAYDAGRPSHGTNAERRRGGNHLEYQPVASQNKATDFQQNPSMEERTQGPPTSGQAFMERGHNRGLRPAGRFVRRNPASTPTSYSHQNE >Sspon.07G0021070-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:109350:110372:1 gene:Sspon.07G0021070-1B transcript:Sspon.07G0021070-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLSCNGCRVLRKGCSDACTIRPCLQWIKSPDAQANATVFLAKFYGRAGLLNLIAAAPTDALRPAVFRSLLYEACGRIVNPVYGAVGLLWSGTWHMCQAAVEAVLKGAPIVQVSPDDAAAYDIRHVFPKSSSSSNKQSPAAGAPAPPPPPAAAQSKVDDKDKHTDRGLLHKVSKPKFKRTSSSPPSKKTKTTTQNNKPHLAAASPATDHHNDDDDDMLVADHSDEEVQHAALRQASNSNAKSRRASPDDCDRHSASASASLDTEEAASHVSQAVAEPQQDDQQAEAEQQPPSMGLDLTLGLLTSSAPPHIAPATPAGCRQGGGSSAAVDEPAGVIAFRFL >Sspon.07G0020220-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:78371310:78372995:-1 gene:Sspon.07G0020220-1P transcript:Sspon.07G0020220-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MANGRLQKQALLPPRSPFPAPAPAPHAEFGPIARPRDAHHRHGHQRTSSESFLADEQPSWLDDLLDEPETPAARAHGRPGHRRSSSDSFALFEGGSSAAAGGMYDNVLDGMRGGGGGGGQVASWAGAPEFFPEPTSFGRPQGRPWESRQMYRQGGGMPMPGREKNGGRHGPSSSFADHEHGHLPNGVDRKGHGDPGIVAERKEGLRHSQQYAQRSRVRKLQYIAELERRVQSLQTEGIEVTAEMDFLGQQNIMLDLENKTLKQRLESLSQEHLIKR >Sspon.04G0036610-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:36341265:36341924:-1 gene:Sspon.04G0036610-1D transcript:Sspon.04G0036610-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHLKCFVLLGAVGQEHDGERRTRTRITVPKFTWRVLSAGPEWIQIRCRANDAIRGPGGGQRLHRMGRGIAQPSEGSRAAPMACVVGPASWPLPGLPAGTAGLSPAIKWAPRPGYCSLPLCDIIGAVFFSRRLRP >Sspon.06G0021490-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:15947402:15968408:-1 gene:Sspon.06G0021490-1B transcript:Sspon.06G0021490-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIPTSVIHRLQSSLREATFAPSVEDAPAPPFPSVADAVAAFDSGAASVSAELRCVRCGAAGGLLRGARSALCAYCGCPRRGEEAEGGGSGGIAFRDGAAYRWLLGSLGLDGSEFVEFDTDTTASNKSKDAPPPNSGMIISDLLDLKITCLPENKETSASSITKEQSSSVDTLNLSAANLDSFFVERKEEMTSASLLQTHNVEQEKKRTDSKNHESRLEVHAASKSLISSQRTNQIEATPAFASWDADFQSASSESAAKNFKQPDLFKSSSEAESFSFPASETAIKPVVGTENKTNTRSAILEHHSEDLVSESGTLFGDGLSNQKVTQPIFESNSAPEFTESSHHMNFAKSDQLHGRDDTGVNDDEAFDEWLEFTASGNQGSLSNAGEHMEEPLKRDSSEKDVIDPLPVGNKESPNNVIEDSEWQTFASVSGQGRDFVTSVEQSTSGHGLNLVRPVRVEETMSNISHQHSSEINPVDLWPVGKVEAQNVAEMVKETNDSFVDWQDFTTTGQVQDTSLNQTGDMMEVPKASHKETDMDSWFIGNFREPANTGTVNENNMLDDWQGFTGSDQAQLNSPSTGAEMISVPFEQHDGSVSVQSWANASSNDAAKTSSTNIESDTFDVWQDFAKSGHLQENMSSLGRELSSVSPEPAKENDSLDLWLTSDFKESKSSDVVGKTNASSDGWQDFASFDQAQRSTKIPVERHLVKDPSGAETMDLWVSSHANENNLEQINENNDLFDDWQDFQNSRPQQTTLQVSSDASLFDIASAPRPDAFGGLEFGSVLQLASSENLKDKKEASNEAKAFPSGDHLKSRNGMQQMGDVDSLSSLWPTNKPEPANTNVEQLLAQMHDLSFMLKDELSTISDCEEEIAPCYHYRALTNPTSAPISLPQATLGEQPDRIVPIHLF >Sspon.05G0015620-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:60789832:60791163:1 gene:Sspon.05G0015620-1A transcript:Sspon.05G0015620-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASANNPGAASRVRQWVLANFASSWFLAAPLLAAYAPRRLFRTYFNLFLRRHARRLLNVVDPYVSVDILKCPALARFVSSPRAKNPLPIAAVEFIRRPRFIQPLVETDTTYEEVKAYLSGACSQDARELRAEGARHGDGLVISMRDGQDVADEFRGATLASHRAAATAARAGGASASRSTSAPHALVIDEYLPHVRRRGRDILFSNRRRRLYTNKKVLEYSSPYHKSWSYVNFKHPTTFDTLAMEPAKKKEIMDDLDAFRNSRDFYQRTGKPWKRGYLLYGPPGTGKSTMIAAMANHLNYDIYDVELTVVCNNNDLRRLLIETTSKSIIVIEDIDCSLDLTGDRRASKLLELEMLQNGSSRRCQW >Sspon.07G0011190-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:38429642:38431670:-1 gene:Sspon.07G0011190-1A transcript:Sspon.07G0011190-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKNGHQSEPEVVVPSSESSMFRSFSGIPDETSSLKPRSSNNTTANNSCHHTAEVSSQQIQLSPIVTYSSDRPYDHQCYGNLDTILNLDDFKCQEAHNERAQHKNGHGGAFMPYVRHLSPKKKPNKPGSGGQRAIKAAMSTVARMHMVRLAQWRQCCYQMEMAVAPPTGGSNCNQQQHVLSERKRREKLNDSFKALRTVLPLHPSDQTICKRNVALSWQKDKASILIRARDYVSTLKSRVSELEEKNRILVELLHRRNNGGDPGDVSGKKIEVDIDINREASAVKETSQEFRLKIMVGSECNAMDAVVSILKCLKEIGDVRLVAMDTGSRSTTLTLQMKVNFRGSKRISTCIFILQMPESPSSGWDDNILKEYVTKSVKGAMKSKIETS >Sspon.08G0007760-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:23679904:23682063:-1 gene:Sspon.08G0007760-1P transcript:Sspon.08G0007760-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MREVKQKVATEDILEEDDEKRSLENSVPPVILDLNEGFGEGSDEGDTGDDDDGNEEDGDDGGSTSEVEGCRSSSSNNSSTNHTSMSNKDCDMDSSSKGEGSGERTLTVRQYNRSKLPRLRWTPDLHMAFVHAVERLGGQERATPKLVLRMMNVRGLSIAHVKSHLQMYRSKKLDHESGGHERAAISSGSHLISTLSLSLSLSLNFSLISSTEAYCTHTENAMDWIMHFLNLRCTILAVFSPMDFHMMRRGDHRFHDMFLHRAPGSVISSGRLLHNGELFGSRNAVSPEATSRLYTLLQRRQQPSMQTFDFKNYSSSLRDQEWSFSQHAAAAARAGAINDHGPTKGLIHDMFLRKNGRVTSHLFDVRDAIASNRTSSDAAGAANHGGRVVRSTDWDGTSSGPPLSRTMSAAASTGLELGSHQLLSRGRGSGNVASSDPVVTSEALGSRLQTFLEPSKVIGEMCAGTRTTKRMKTPMEGNGGTPDLQLSLSPNDDMGGDADKQVKKRKFLGIGLSEEVDDDSGKTTLPLSLSLSLRGGEWSGGDDARRLEAATGSSGNKAALGRSTLDLTMSIKALE >Sspon.06G0000850-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:2973106:2974059:1 gene:Sspon.06G0000850-1A transcript:Sspon.06G0000850-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRNPTRIELCSADRDELEEHLRAAAGSTPAPTTTPNDPAGYTTPPPPSSTSSTRRRAPRPPRPNASASASASPPPPLPRPRPRPRDHPIPTAMEVEIKLRLPDAAAHRRLSAHLAPRLRRTHAQRNLFFDAAARTLAAATAALRVRLYDDDRGVPARAVLALKRRPRIDAGVSRVEEVEEPLDPALALACADDPARLGRLDSPIVRLVADEYGVGGDAAPFVCLGGFRNTRAVYEIEDETGTALDETRFDFGTSYELECETAEPDRVKEVLERLLTVAGVPYEYSRTNKFACFMAGKLLP >Sspon.08G0024510-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:64260905:64261150:1 gene:Sspon.08G0024510-1B transcript:Sspon.08G0024510-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPALVAAEIAALPEPRGPMRRLCGDLARRIRLLAPLLQHLQDRDDALPLADALGAARDLLRAVHDGSKIYQVRCLAALLAP >Sspon.04G0005120-3D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4D:15503503:15503967:-1 gene:Sspon.04G0005120-3D transcript:Sspon.04G0005120-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKAEKKPAAKKPAEEEPSEKAAPAEKAPAGKKPKAEKRLPAGKSAGKEGGEKKGRKKGKKSVETYKIYIFKVLKQVHPDIGISSKAMSIMNSFINDIFEKLAAEAAKLARYNKKPTITSREIQTSVRLVLPGELAKHAVSEGTKAVTKFTSS >Sspon.05G0033310-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:29562908:29564266:1 gene:Sspon.05G0033310-1C transcript:Sspon.05G0033310-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDVLMLCYFLFGNTPLFVSHVYLQMGILVITVDLECCRCRAKITKVLDCLKEEFCIEKVEFEDKKEKKVVVVRGKFDAEKLCKKVWCMAGKVVKEIVIAEVWPMPPPPKPCTPCKPKPEESKPKPEDPKPEKKCCVCEHCKPKPDKCCVCDHCKPKPKPEEKPKPEEKPKPAPPKTEYKLVPYPYPYPVPNPVMCQSWPWQCPPHQQCQCCEKQQPPPPPPPPQRPTCSCSSHANCGCGQTPPAWPPQPPVWPPPWAGCNVVTDENSCSVM >Sspon.06G0009500-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:42363344:42370897:-1 gene:Sspon.06G0009500-3C transcript:Sspon.06G0009500-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MENQSAHPQHGMADQGFRLLATTPLSSSVNTAPIIIPIWAPIQMPALPSNSADDGYIWKNLGMQEIPGSGPFICYECSQADCTVKKSVVLSADGKISEIVYKGRHNHPRPPSSEMCPRDVPTGYISDSQYYHVPSEMYIAGTSIPETEEGGEQEQLGSSSDSEEEDGGEQRADGHVAGFGTENKKKKKKKKKKKKKKKRISNLTQKLCDDWFTYMTCSPLRSEKYKEILLQLQISRPTFGSQKWYLAFYFLEAALQYNKVFPNPEQIDSGFYASESKPSDKEVQDTEDFCNIARVFYDAIQVASSPCNATLNSNFHTIWNLKIALTRSSGKAQKLFHHDSMKKRFDEFWEKWFLWLCLAVVLDPRYKIRFLDHSLKEAFGSDAKKYMLEKQMTKSVETQTCQLNTRQVVPPGSSLLKSSKSSLKA >Sspon.07G0032920-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7C:56166512:56166874:-1 gene:Sspon.07G0032920-1C transcript:Sspon.07G0032920-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding IQRIVTHLLLLREFTPPHPLFLGVLATQPCPTAILRLAVVASDAYEGHPSTTSSIFFLSLPQLDLAPLPSPMPPQSSGIVVVKYVALVTITVANCCNNVRSSLPPFPYHAPPPPLPPLPI >Sspon.03G0014970-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:48005339:48012680:-1 gene:Sspon.03G0014970-1A transcript:Sspon.03G0014970-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hydroxyproline O-galactosyltransferase GALT3 [Source:Projected from Arabidopsis thaliana (AT3G06440) UniProtKB/Swiss-Prot;Acc:Q9ASW1] MRKCSGVLFILTLAVVLFLLSPSPSPTPPPTTAPNGPIADLLPALPGLSDLYPPAPNSTAQLSWGLLRPLLCRSDALPGTAAGVLEASEAWRNLTLAVAAAAASEEEGRPQGPRCSSSVGGDLRAGRARIPCGLAEGAAVTVVGVPREGASKFWVEMLGASGEVVLHVNVSLGAAGMVVEQNSWTPEEGWGEWKRCPPVGHVGSSNSSLQRSPVDGLVHCNEKVGERIVQENNNTVVNVTGNQPEDWQSPKGHGQLSGGFSIVEGEPFTVILWAGVEGFHMTINGRHETSFAYRERLGPWLVAEVKVSGDLELLSFLANGLPVSEDIDMASVAVLKAPPLPKKRTFLLVGVFSTGNNFKRRMALRRTWMQYEAVHSGIVLVRFFTGLHKNEHVNMELWREAQLYGDIQLMPFVDYYTLITLKTISICIFGTKIVPAKYIMKTDDDAFVRIDELFKLEDVAMGIWIQQYKNSGQQVNIVTDDRFYNEGCDADYVLAHYQTPRLMMCLWEKLKAEYQAICCE >Sspon.01G0055170-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1C:74112603:74114858:1 gene:Sspon.01G0055170-1C transcript:Sspon.01G0055170-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At4g33990 [Source:Projected from Arabidopsis thaliana (AT4G33990) UniProtKB/Swiss-Prot;Acc:O81767] MPRPPMPPSALPRGAHAVLVTSGHLRHLDPHLQVPPLLLANSLIAAFSRAALPRLALPLLRRLLAGAHPLRPDSFTFPPLVRAAPGPASAAQLHACALRLGLLHPNVFASGSLVHAYLRFGRVAEAYRVFDEMPERDVPAWNAMLSGLCRNSRAADAVALFGRMVGEGVAGDAVTLSSVLPMCVLLVDRALALVMHVYAVKHGLSGELFVCNALIDVYGKLGMLAEARWVFGGMALRDLVTWNSIISAYEQGGKVAAAVELFHGMMESGVSPDVLTLVSLASAVAQCGDERGAKSVHCYVRRRGWDVGDIIAGNAIVDMYAKLSKIDAAQRVFDNLPDRDVVSWNTLITGYMQNGLSNEAIRIYNDMQNHEGLKPIQGTFVSVLPAYSNLGALQQGMRMHALSIKTGLNLDVYVSTCLIDLYAKCGKLVEAMLLFEHMPRRSTGPWNAIIASLGVHGHGAKALNLFSQMQQEGIKPDNVTFVSLLAACSHAGLVDQGRSFFDSMQTAYGIVPIAKHYACMVDMLGRAGQLDEAFEFIQGMPIKPDSAVWGALLGACRIHGNVEMGKVASQNLFELDPKNVGYYVLMSNMYAKIGKWDGVDAARSLVRRQNLQKTPGWSSMEVKGSVSVFYSGTQTEPHPQHEEIQRELQDLLAKMKSAGYVPDYSFVLQDVEEDEKEQILNNHSERLAIAFGIINTPPRTPLHIYKNLRVCGDCHNATKYISKLTEREIIVRDANRFHHFKDGHCSCGDFW >Sspon.08G0005560-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:13872536:13872825:-1 gene:Sspon.08G0005560-2B transcript:Sspon.08G0005560-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHYSLIQPAEPIRAQYKTSNSKWVTSLHAAKWTFFFDVDEYIFLPDGRTLEDVLAELEPYTQFTIEQNP >Sspon.02G0045320-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:90900974:90904336:-1 gene:Sspon.02G0045320-3D transcript:Sspon.02G0045320-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRPLLRLLLPSPPHRNPPPPPSSPSVASTSRRAAASTVLLLAAAASAPPPRPARAEPDGEDVDEARVVRLFQEASPSVVFIKDLVVAGPQGRGGGGVEEYDEKEEGGAAKVEGTGSGFVWDSAGHIVTNYHVVAKLAGDGSASHRCKVAHWYQLMAIHLLVFLEDSGGKSYSKEGRLIGCDPAYDLAVLKIDADSDQLRPALIGTSRSLRVGQNCFAIGNPYGYEHTLTTGVISGLGREIPSPNGRAIRGAIQTDAAINAGNVTQEVHLLTLMAI >Sspon.01G0032900-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:112042126:112045513:-1 gene:Sspon.01G0032900-3D transcript:Sspon.01G0032900-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDAYAKRVLLTAAGDAVSRGIASTLAKHGCRLVLLGDEGALAATVEEARRCGGSGGGGVELVGLDLEACGEAAADAAVDRAWRCFAGLDAFVNCYSYEGEVQDCLSISEDEYRKIIKVNVITPWFLMKAIAKRLQDTKSGGSIVFLTQIIGAERGLYPGAAAYGTSLGAIHQLVRLSAMELGKHKIRVNAACRGLHLQDKFPISVGKEKAEKATAEVMPLQRWLDPEKDLVSTVLYLVGDESRYMTGTTIFVDGAQSIVRPRMRSFMWRQECMVTIFCL >Sspon.01G0002630-3C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1C:7335248:7342757:-1 gene:Sspon.01G0002630-3C transcript:Sspon.01G0002630-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRVSLATRSVRVLIPDQLPTLFEPQKSVMTPHVRASVVGDEDDAAPPERRLTMLALQLAVLEKAASRLGTLGFIWATVVLLGGFAITLGQTDFWCITTLLLVEGARILGRSHELEWQHETTGRAPVSWALGRVFHWLQLLSASSCAALSLVRLVHQRYGGTEEARVNRHSALDIFYGLALAEALLFLVEKALWQWRVGHHRLLERVATDCHLATACGAVAVRRFFYDSYSRCLNGSIFDGLHMDLVYYADDLLTAGSHDEQRLGASILAALVESDRFADATLRKIGTSAPAIERLIEMLSWKNASEKDVRRSAAVVVFMLTGRKVNALRVTGIPGAMESVASLLYADLDELNLLGLSILNKLARDHDNCDKIGKTRGLLDRIISYSGIVANGPATGPPTDMRLKAVKQSLRVVKRLASTTGTTGKLLRRELSDIVFTVSNVREVAAVTTGRCRAVPSSSPEFGSINLVSEPCCMQYDGSVSPPREPARKKFVIPRRTPPPSTMGDLQTSNSTGGVKESSLLWPMLTTDNYTEWAMLMQCNFEALEIWGVIDPGTGVKRAQDRQAMSALLRSVPKEMWQSLGRKATVKEAWEAVKTMRIGADRVKEVNAQRLLKEFENIAFKEGESIDDFGMRITNLVGNLKTLGENIDDVRVVKKFLRVVPPRFTQVVVSLEMFVDLKTLTVEELIGRLRAAEERFDDKTEPITDKMGRLLMAEEDFLEKHKHRFASGSKPGGSGAGGGAPKSKAAARSDGGGSKQVKLTSEGTPRRKGRCRNCGIYGHWEQDCKRPKKEKKKEQAPPEANIIVGGGDQAGALMLATCHVVHGSPQCVHLLEKNVTPVDVPNGVWVLDTGASNHMTGTLSTLTQLDSSVQGTVKFGDGSTVKIKGMGSVVMQDRNKGHKVLTEVYYIPELKSSIVSLGQLEEQGFKYVGENGRLCVYDQERTLLISAPRVGNRLYLAKFGLVSPICLLAQSEDVSWDWHARYGHINFRSLSDLSSKGMVEGLPSVKRVERICDGCVLGKQHRKPFPQVSSFRAQRGLELVHTDLCGQITPKSIGGASYFLLVVDDYSRYMWVEMLKSKDQALEMFKKVVARAENESGAKLKAMRTDRGGEFNSNLFSVFCNEGGIKHYTTTPYTPQQNGVVERRNLSVVEMARCLLKAMKVPSVFWGEAVRTAVYLLNRSPTKALNNITPFEAWHGVKPKVNHLKIFGCVAHVKQVGPGISKLSDRSKRMVFIGYESGTKGFRLFDPSTNRLVVSRDVIFDEKMPWEWDNWVSNTDQQVTDTFVVEYELTDQNPTIENIADQPASPGAESGAGNSAGHSAGFGNGGGHNDSPQQQETPGSMVAPNVIYATPPSEHSEDTFGGPLRFRTLTDILDNTDEMHDYEYSGICLLATDEPSGVEDALEEECWVKAMNSELRSIEENNTWQYTNLPKGHKAIGLKWVYKVKRDPEGNIVKHKARLVAKGYAQKYGVDYEEVFAPVARLETVRLILALAASEKWEVHHMDVKSAFLNGELQEEVYVKQPPGFENPRYPGKVLKLQKALYGLKQAPRAWNAKLDQELIRLGFCKSEEEHAVYKKGNGDSLLLLGVYVDDLIICGPNIKYIDEFKKQMCRTFSMSDLGLLSYYLGMEVKQKPGEITLCQKAYAARIIDRCGMTGCNPVDTPMEQNCKLVPGKPDMARDVTKFRSLVGSLRYLVNTRPDISYSVGMVSRFMECPTTEHWAAVKRIVRYLAGTTDYGCRYTTNSLTNLKLLGYYDSDHAGDLEKRKSTSGVVFFLNGNVVTWTSQKQRVVSLSSCEAEYIAAASAACQGVWLTRLIADLTGQKLQKFKLLMDSKSAIELSKNPVYHERSKHIDTRYHFIRECVSNGVAEVEHISTDKQLADILTKPLGRIKFVEMRYKLGDRVFRHFPAMAGTPCMSHGRPEITAHRATPRCGGGCGSRPSKAHCNASSALRHSQCVTNLRCLSLSSSRNSGFRSPRSPPAGAAPCRAPRRSSVPSLQQQQQQQHDEKDVSELHRLAIEILTNLAMDEEAREMIGGTGGVVSTLVAMFLPEKEAVPDRHKDAVRVEAGEALAMLALDSRRNCGAIIMAFGGGVERLVEALSDPVVVISAARILRNLCTYAGDEWQLTLRGVTAGATKVLRSIMVEKTKLLNISLGLAAQMLRFMQPGELRASLATAGVTDAALARTLVLVLREYSRPSLEVPRIRLYTLELAIALMRSDARFVSLFVELGMEAEQRRVAETTSGLECFNVFSGSVGLSRRAVSVGSLVDSAMELMRQQACTSKSQQIR >Sspon.07G0016850-4D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7D:81714349:81715887:1 gene:Sspon.07G0016850-4D transcript:Sspon.07G0016850-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGGITLAALMGKEKLATMESHETSGKQVEPHGKAKKERFLDFLRAAPSKDLWLHRFGVNAPQAVLRRVATVSARTAAYVPAAYARLLSLRAPAFVRTVDWRAVRARCKAWARRPTNAALLVWLAFVVAGVVFVFLLMTGALNSAVPDASRRRRWTEVANQVLNALFTIMCVYQHPRLFHHLALLLRWRDADDAELRGVYCKNAAAGPRRQRLHVAVVLLLLHATCFAQYAYCALFWAFSSETRPDWAVNFCMGFGLAAPVAAALYMVYGPLGRRIVQLPGVSTDGDDDAVAVKDAAMANEEAQCSGGSRVAVARPEWAGGLFDLADDPTVAALSLTCAFCVFGWNMERMGMGNMYVHVFTFALLCAAPVLVFAVAALNIHDPTLGYLVGATGALLSVLGLTYGGFWRAQMRRRFGLPADRSMCGGRPTVADYVNWLFCAPCALAQEVRTGNLYDVEDGSLYHVRSSEEDAAAEEKPAMAPLEREGCAAPLMADKAHDGIDECVVTVDPPAP >Sspon.02G0003740-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:11860277:11863374:-1 gene:Sspon.02G0003740-1A transcript:Sspon.02G0003740-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPQPAHLPVRFASSVALLLVVAFSSLMRSSDAYDPLDPNGNVTIKWDVIQWTSDGYVAVVSLYNYQQYRHIQAPGWKLGWVWAKKEIIWAMTGGQATEQGDCSKFKTNIPHCCRKDPEVVDLLPGTPYNMQIANCCKGGVLTSWAQDPNNAVASFQVSVGQAGTTNRTVKVPKKFTLKAPGPGYTCGTAKLVKPTKFISQDGRRSTQAHSKIFFPWPSYSALTWNVTCTYSQFLAQRSPTCCVSLSSFYNDTIVNCPSCSCGCQNNSTAPGSCVEGNSAYLASVVNDPNKNSLAPLVQCTSHMCPIRVHWHVKVNYKEYWRVKITVTNFNYRMNYSQWNLLQLQTSEPLWSDKLAPEPSELIAPTHLNLMQPPEEIQSSIVNPFLLFFRH >Sspon.05G0011490-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:24493659:24494944:-1 gene:Sspon.05G0011490-3C transcript:Sspon.05G0011490-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRQWSSGGGLAVAVLAVLSVLCSGHPVPGGGFPPLQPHFYDHACPQMQAIVGSITGGPGWEVPLGRRDSLTASLSGSNNLIPAPNDSLPTIIGKFANQGLDVVDLVALSGGHTIGDSRVQES >Sspon.06G0017180-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:93466596:93469482:-1 gene:Sspon.06G0017180-1A transcript:Sspon.06G0017180-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding PICSRAHLLQNPRAVTNGGRRNPIHARLRLHREEEEEALQEAQGHRRSPHQSPTNVTVDASLTGGSSYAAAAPVVGYFPSGYDPLAAAAAAAGAESSPRTRLFRHEKHPTWVDLVVRSPGGGPDFVGRSYAGEAAAPQLCEYALGVLDKASGTLRVVPIAAKKILRIEPHLEVQRPAHSQHSEVASEAASAAGNDELKAQDLTMMYGTKMDRDKDNKWRSLNEQRNDPSAFEDIDLGGSETAANTIDSQEPVLTRNIPPYDPTADTSEKAYLLDEIIPKSMRQHLLQIIDHFESGEFSSKGYGGFVSKRVHKLNELQGEDKERFAWILSYIQHLLSLLARNGSMSKRQRKERNENQTNRGPATPQAVYRRLLLMFTEPGSSVMSTEKNELLINYILVLTLFADDFRSNPNDICEDLKMTRQKLKPYYDHLGCKSVSEGAFKGSVMTLPAPLKFPKDVTRKRRRQ >Sspon.02G0030160-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:109843840:109845432:1 gene:Sspon.02G0030160-1P transcript:Sspon.02G0030160-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AMEKKTVVLYPGVGVGHLAPMLELAKAFLLHAGDQQVDVAIVVFEPPVYANGFAATVARAKASNASVALHVLPPPASDGGESGDDAEPDDPLARMLRFLRATNAPLRDFLRALSASRRVQAIVLDMFCADALDVAAELGLPAYFFFPSGAAGLACFLGLPAMRASVGTSFAALGESAVLSFPGVPPLRVADLAQGLADDGEACKGIIGVAARMPEARGILINSFESLEPRAMRALRDGLCVPDRPTPPVYCVGPLVSPGGDTDHDCLRWLDAQPDRSVVFLCFGSLGTFPKKQVAEIAVGLERSGQRFLWVVRSPPGEAPADDVGALLPAGFQERHEDRGLVVKNWAPQVDVLRHRAAGAFVTHCGWNSTLEGVVAGLPLLCWPLYAEQKMNKVRIVEEMKLGVEMRRRDDDDVVTAEEVEAKVRWVMEDSDGARALRERAAAARDRAAEALAEGGPSRAAFLEFVVDLLASEGQNCIFSLSV >Sspon.02G0018300-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:87891844:87897331:-1 gene:Sspon.02G0018300-3C transcript:Sspon.02G0018300-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLGGFLALLLLVVSPALAQLPSQDILALLAFKKGITHDPAGYITDSWNEESIDFNGCPASWNGVVCNGASVAGVVLDGHGISGVADLSVFANLTMLVKLSVANNNLSGSLPSNVGSLKSLKFMDVSNNRFSGPVPEGIGNLRSLQNLSLAGNNFSGPLPESIDGLMSLQSLDVSRNSLSGPLPAALKGLKSLVALNVSYNAFTKGIPSGLGLLVNLQSLDLSWNQLEGGVDWKFLIESAVAHVDFSGNLLTSTTPKELKFLADISETVLYLNLSNNKLTGSLIDGVELSTFGRLKVLDLSNNQLSGDLPGFNYVYDLEVLRLANNAFTGFVPSGLLKGDSLVLNELDLSANNLTGHINMITSTTLQVLNLSSNALFGDLPLLAGSCTVLDLSNNKFRGNLSVIAKWASDLEYVDLSQNNLTGTIPDVSSQFLRLNYLNLSRNALSETIPEAIVQYPKLTVLDLSSNQLRGPMPADLLTSSMLQELYIQDNMLSGVLSFPGSPSKNLSLQVLDISGNHFNGSLPDDIASLSSLRVLDVSTNNFSGPLPAAVSKLGALTDIDISTNQFTGPLPEDLPDSLLSFNASYNDLSGVVPENLRKFPESSFHPGNSKLEYPAGSSGSGNSPSGSGGGKSLSTGAKVAIVAASIVVLVILILIAIVCHYKRISRQFPSSEKVSDKSLHRATKDSAVMKGKDNKGGLVSADELVTPRKGSTSEALSQEEKSATGGFSPSKGSRFSWSPDSGEAYGQEGLARLDVRSPDRLAGELHFLDETITLTPEELSRAPAEVLGRSSHGTSYRATLENGVFLTVKWLREGVARPKKEFAKEAKKFANIRHPNVVGLRGYYWGPTPHEKLILSDYVAPGSLASFLYVTTLLRWFSDCTDRPGRRGPPLTWAQRLKIAVDVARGLNYLHFDRAMPHGNLKATNILLDGLDLNARVADYCLHRLMTQAGVVEQILDLGVLGYRAPELAASKKRLPRSSPTCTPLALCFWSS >Sspon.06G0000540-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:2397801:2400937:-1 gene:Sspon.06G0000540-1A transcript:Sspon.06G0000540-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LGTVDRKQIIGQQSESRARRYDYSGYGQSSVQPSEANTFADIEAAYKCLVDVYGTREEDIVLYGQSVGSGPTLDLAVRFDRVRAVVLHSPILSGLRVMYSVKKTYWFDILQNIDKIPHVKCPVLVFQGTKDDVVDCSHGKRLYELCQHKYEPLWIEGGDHGNLEKFPVYIRHLKKFLSSIKKLPSEKDAAAEHEPSAAENRTQPDREAISEAPSRMISRRLEPSKRSTIHEEPTLSTEHVDKRRRSTGHREKARSSTDRRSRRSVDCFDSILEHEQPEKPRKSLCRIGEKIRAMGLCNVDCFKEPPDSGELSR >Sspon.02G0032520-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:86237258:86242875:-1 gene:Sspon.02G0032520-3D transcript:Sspon.02G0032520-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:uridine kinase-like 3 [Source:Projected from Arabidopsis thaliana (AT1G55810) TAIR;Acc:AT1G55810] MGSKSVDEVLDSAAAAAGVHLSALRLDGLNRIQGSASSEEQPTTSGLENGHQEPFVIGVAGGASSGKSTVCKMIIDLLRDQRVVVVTQESFYYGLTDEELVHVHDYNFDHPDAFNTELFLSCIQNLKRGKAVDIPEYNFKTYKSVPDARKVNPSDVIILEGILVFHDSRLRDLMNMKIFVDTDVDVRLTRRIRRDTIDNGRDIKTVLDQYSKFVKPAFEDFILPTKKYADIIIPRGGDNNVAIDLIVQHIRTKFGQHDLCKIHPNLYVIQTTYQIRGMHTIIRDAATATHDFIFYADRLSRLVVEHGLGHLPFQEKQVITPTGSVYTGVEFSKRLCGISVIRSGESMENALRACCKGIKIGKILIHREGDNRQQLIYQNLPKDIANRHVLLLDPYWEQVPQGVHMVSKRFPRVKIVTSEIEFGLNDDFRVMPGMGEFGD >Sspon.01G0020900-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:77119421:77121178:1 gene:Sspon.01G0020900-3C transcript:Sspon.01G0020900-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLWDSTLEGFLLMMGENKWMGKRWEDMDTDVLVKIFKELNLVELSPTRASPYIWVDDRSDKRLARILRVAMAISCGNVNCLIFHYNLFMKDEHLHFISERSPHLKRLVMPAWNRITKVAICQAIQRWQELESLTMPTIGHPPYIMEEIARSCKNFTELKIMGSFDQQFASAILQYLPKLKVLSLRCSKVSMDALQCLLNSMEYLEVLNISHCLLLVVAANGRKQVVHELDSQILERASRLREFHYCQSRLCVACQRMVVDEGIMRWYRYEDWFWRRDEVRSLDLLEDYGKLFDAGCERLTSVE >Sspon.07G0021850-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:4601137:4601905:1 gene:Sspon.07G0021850-1P transcript:Sspon.07G0021850-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGSGMGAYGGAVRPVESAAGETMLLWGLGQPEAHRNNALVRQAAHAFELDACGRRLSLLQSPSSMSTPGVTGAVVWDSGVVLAKFLEHAVDSQRLLLRGARAVDLGSGCGLVGCAAALLGAHVVLTDLPDRLKLLRKNVALNVDDPHVPGSARVMELVWGDDPHHELLKEPLPDFVLGSDVIYNEEAVDDLLATLNQLSGKHTTILLAGELRN >Sspon.04G0002780-4D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4D:16804901:16809469:-1 gene:Sspon.04G0002780-4D transcript:Sspon.04G0002780-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSMKKEHLQLLPLFCQSLLEMGTKDMDFVQLNQLIGRKTGGISVYPFTSSVRGKEDPLTRIIVRGKAMVPRVEDLFNLMYIILQDVQFTEQQRFKQFVSQSKARMENRLRGSGHGIAAARMDAKLNAVGWISEQMGGVSYLEYLRDLETKIDQDWDSISSSLEEMRKSLFSKNGCLINLTSDSKNLEKSSQHIAKFLNSLPSSPSLGSDPWLSRLPSVNEAIVVPTQVNYVGKAGNLYQSGYQLNGSAYVISKHISNTWLWDRVRVSGGAYGGFCDFDTHSGVFSYLSYRDPNLLKTLEVYDETARFLRELEMDDDALTKAIIGTIGDVDAYQLPDAKGYSSLMRYLLGITDEERQQRREEILSTSPKDFKEFAGAVETIKDNGVVVAVASPDDVEAANKENLIFPE >Sspon.01G0011070-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1A:30484702:30486055:-1 gene:Sspon.01G0011070-1A transcript:Sspon.01G0011070-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFDGEIASKNSMKIVEGFAKIAGECLAMERDKRPEMIDVVERLRVLNKASHQDQGERAGLFSWARKSKPVPAARVTTVPAKILVLPAHLCRQFSFAEMKAATNNFYGRLRVRDGAFGSVYRGKIDGGKADVAIKRHMPYSVQGEHEFRTEIEMSSKVRHCNVAQLIGYCDEMGEMILVYEYMARGCLRDHLYRTKHPPLTWNRRPEICIGAARGLHCLHASQVIYRNLKSEDILLDDAWVAKLTDLALCKTAGPSMDEITRVIGTGGILLDHEYITTIVLCARPILDISLPNEGMKLVDWALHCKEEGKIDQIVEPYLKGSIDQSSLETFVGIAEKCLASEGVRRPSMGDVLLDLELALRQQGTTAHGLSPVV >Sspon.01G0006580-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:20786743:20791472:-1 gene:Sspon.01G0006580-2B transcript:Sspon.01G0006580-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRCIRAGRPASSDEVRGATSLSVLASWRAVWKDRNEDTAYLTAWKRIQDKLAASPDGRHLHFKSNAAQRVSHVGMWRDIVSEAHADPDLLRHLAFKDTVDRIKQSWTVGAKFYGIPESFIRVCVAACPVCKAAPAGQPDSAISSPGRGKRRRRFEYTETLDVPARDVPRRLQQLAAKHKVVLCIRQKYIRYKPFMAEVKDYACHRAGVPTSNSGSAASSASNSEGKKARVLKREPYQSKRCGCGFRIRAIVPIANYNEKDKTFVYLEEGTAVFKLYAVHSGHEPGPLDGNARIVHRLVGHKGALEFDPDIYGVSEEGDPTFSAKGDEDVDIDDSHQAVLQQVRELRSEVLLLEGKVAKMHPELLGSLSTELSELLHRIRKFNLEGNVYQQETLMVGNEEVRGWGPGDVSHQLDQHDQAFCKDDEMLDDDDTDFGSSLGPIVSWDRMAAECEDRKMLMGDSPKCDKWMLKDDVGDFDAKSILNCGDDDGVEDSKVIKPLMHDDTMVTDPSLVGIHVEGFYTGPKWYDSPGLDSNVDGGDSSFRHGGIVGYIVKLADSKYVPNSWVLTGSGEWFASPVDSLLAHE >Sspon.05G0014430-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:53717476:53719027:-1 gene:Sspon.05G0014430-2B transcript:Sspon.05G0014430-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRFLTEGINQDEKKSIAENMIKLVDLYYLALDGHKVIVDRKLRVQSYPHFMEKKGFDSYHSTSILGRIYDETDRVISQQCEQIQITTLSCFDEVEATPECTFLWERRYQEYLRKSMQLSELDKEEKNDEFQKLYQSYKHLLYDADEFEETKRDLSDVFMEACAIYRIVYERARSTRSVTKCRFVWNVAGAALCHLHATKYVVQRGDKTALCPLSVIRQLYI >Sspon.01G0057020-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1C:95442014:95442207:1 gene:Sspon.01G0057020-1C transcript:Sspon.01G0057020-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSVGCGDLVPNNDTTKLLACAFVFTGMAIVGIFISKLADYLIFISKLADYLVEKQDVFFTAPQ >Sspon.06G0003540-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:8526081:8530163:-1 gene:Sspon.06G0003540-3D transcript:Sspon.06G0003540-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQDDNPESSATGSSTSSAPAARLNAAAPEFTPRSAAQHHNPHRRGSHHHHYHHHQSNQHYQPRHQHHQAEDEGSAAATAEDREGPLARAGAAPAARTRGPQARQTVPISVVASFRKIREIVYDRSLLVAALRTSSELVVSEDGKHVKRSQPFNAEEVQSRIVVAENLPEDQKHQTLMKIFSAVGSVKSIRTCYPQDVTAAAANKTSRIEMIFANKLHAFVEYSTVEDAEKAKHGLGQGRKGGDEEYAADEDGPDTTDHQQDYGTDVAAQNSEAHFDHQAEDGTHDKGGMRQQGRGRGRGGRGRGRGQYYGHNSRDAHHPVGTPPSEHPVVPKPPPGPRMPDGTRGFTMGRGKPLNPTTDAAANPTTDAA >Sspon.03G0030710-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3B:22050432:22050799:1 gene:Sspon.03G0030710-1B transcript:Sspon.03G0030710-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAMKTVGGYYNCVTTRPDLSAQPNRAQMVEAIYYKFRLVVGVSAVESTPTQDKMGVRLR >Sspon.08G0019620-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8B:13525261:13528810:1 gene:Sspon.08G0019620-1B transcript:Sspon.08G0019620-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tryptophan synthase beta chain [Source:Projected from Arabidopsis thaliana (AT5G38530) UniProtKB/TrEMBL;Acc:Q9FFW8] MAAAAAAATTTTTASTSTLRPALSQAAGPEQRASLLCTPKHRVAASASRRSLRFTTRASSNPGAKVSIPKQWYNLIADLPVKPPPPLHPQTHQPLNPSDLSPLFPDELIRQEVTDERFVDIPEEVIDVYKLWRPTPLIRARRLEKLLGTPAKIYYKYEGTSPAGSHKPNTAVPQAWYNAAAGVKNVVTETGAGQWGSALSFASSLFGLNCEVWQVRASFDQKPYRRLMMETWGAKVHPSPSTATEAGKKILEADPSSPGSLGIAISEAVEVAATNADTKYCLGSVLNHVLLHQTVIGEECLEQLAALGETPDVVIGCTGGGSNFGGLAFPFLREKLRGNMSPAFRAVEPAACPTLTKGVYAYDFGDTAGLTPLMKMHTLGHGFVPDPIHAGGLRYHGMAPLISHVYELGFMDAIAIQQIECFQAALQFARTEGIIPAPEPTHAIAAAIREALECKRTGEEKVILMAMCGHGHFDLAAYEKYLRGDMVDLSHPAEKLEASLAAVPKV >Sspon.03G0019660-4D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3D:47174335:47177116:-1 gene:Sspon.03G0019660-4D transcript:Sspon.03G0019660-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLFNLESDIVDLAMSIDTRKTDAEETLHGNDKLPCLQNFLSHDFNILESRLCSIEEAKSNAELLLGGSLLYSTTSGLPRHPFIVEMLENTMDMFSGGEYSDFTEDKKYQHTNFLFDCIIESLDAKFCNFGKCGYKAWLKLPLSLSKDLLKRQVLEDISNWRELSGTALRQVSDKEMDQVTARWDASQVEAFDISIAIENDILEALVGEFAVDLWWY >Sspon.04G0004060-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:12735574:12739082:-1 gene:Sspon.04G0004060-3C transcript:Sspon.04G0004060-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNCLKNSRSILSRLLRHRPHVAAAPTPAPVPAAQSPASRYYYASRVLRNKPAVSRPAPPPQLPRPRHYYTSPRRQEVIHFDRRRGGSRWYHDQRKLTAAVLITSGGAVAFYFGHLETVPYTNRSHLVVLSPRLERQLGETQFAELKKEFGPKILPPLHPDSIRVRLIASEIVRAVHRGLSGHQRHSAAYGEDASYGYGGIADDLTIMNRDADATAAMLGASPDKNARAAVAAQRDDEVLDDRWVTECRSRGKARGAQPQTAHLDGLNWEVIVVKDDIVNAFCLPGGKIVVFTGLLNKFRADAEVATVLGHEVGHAIARHAAEQITKNLWVAILQIVILQFIYMPDLINAVSTLLLRLPFSRRMEIEADHIGLLLLAAAGYDPRVAPSVYEKLGKIGGDSALNNYLSTHPSSKKRAQLLSRASVMNEALELYREVSAGQGTEGFL >Sspon.01G0022710-4D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:80140474:80147066:1 gene:Sspon.01G0022710-4D transcript:Sspon.01G0022710-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQASPDYPAPMVMTVAELEAAIAALPSKRDALREAFDRLAACSPSPLPFAWEDLDGHISSLQSSIALRFRQLRVLEAAGAAPAAAALGGTRGDGKGENPGEELEEEEEEEEEEEEVVEVEVEEEVAVDVEEEKKKADEEIQEVDSDKICNDEKDGKESREVEVEEEAAELEKADEEMHEANSNKICNDEKDGKETREVEVEEVVAELEKKADEEMQEADYDKICNDEKDGKEAREEAVEEEEVEEAVNVKIGNEIKDEEEAREEEQASKVNEQDPDKAMQVVDNDKDGLNNKDALKASQDKEEEDQDTNMDETAAKMASSLQHKEVETCDKKQEQDAHEKVEGAKNVTDQVSSDQGNRAVPCRSDDRTVTCANMNAQRLVKLICTNTEFNSEFHAAARRAPDAAALALHVVELFLHNKKYVKTNKVWASCVGLFQMVPVVVTKPSADTIEQAKRVAKDWKEMIDNPESCNVLGSLASWGLLYFLISYNIVTEFDTKEIFHLFGSIPFKQKKKSAVPLLKGLGLVNRIPELMDCLIGNGQQMDVLGLARVFNMVDKYPPLSLLKGYIEKAKQTAMEIPQKNMTHTFQRAVIIKELEDLRRAHVLAKNEITNSNLCTSIREEINILIGELEKKKRSLANPLRASTSNSQQQQTKSKKKREIEQKQEHDKGQMRGQLSGLAEKLEEKERKPQQEQQQKQEDKPEEKKQKQEDEAEEKEQKQEDKAEEKKRKQEDKPEEKQQQNKQKHEKRPRQRTPKVPTQGYPAMWNAALRGDLERPPYAAMHGVHHGYPAQPGWPGVHCASPFMPLLGAPEYIGSFTPLYPRPQFYPRNRLQLHISHKPLRKPERVGVRRAACDEWQPQPALPATDGKKVISRATRLFLRRSAGPLSSGVLAGGGDERGARLLGGRACIALGFRSSSFPPAISISSARVRLPVHLSGEDGFGGVISGEICMRLVLIFLLTLGKDIMCPGGAWSVEAAPWLRNKFLLAFLHLAVMDSGGGGGLVSWMPSGSGGPTVSLVCVGSSFGAPSLAASRRPCVVAGPPVLSFKDTVVAEVSTVSRRVDRTLVSFGVGRWRDSVQAQRILGCVPGRWIFSVASIYCGSIQSLRAKGIPLIWDGHGASFNNGGWRLIDGGGWRWSALASSGSTGTRVFIVIFIFVRGLCASRLGQLSMLYPLYTYLYSYGNVYVFLI >Sspon.03G0021820-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:85356930:85359487:1 gene:Sspon.03G0021820-1T transcript:Sspon.03G0021820-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRNIGVAVDFSSCSKAALRWASTNLARNGDKLILIHVNNSYQNEQGAVHLWEQSGSPLIPLAEFSDVTRTYGVSPDKETIEILTQVANQRGIEVFAKIFYGDPAKKLYEAVDLVSLSCMVIGSRGLSTLKRALLGSVSTYIVNHAACPVTVVKENM >Sspon.01G0036050-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:12799109:12804404:1 gene:Sspon.01G0036050-2C transcript:Sspon.01G0036050-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor CRC [Source:Projected from Arabidopsis thaliana (AT1G69180) UniProtKB/TrEMBL;Acc:Q1PFF1] MDMVSQSEHLCYVRCTYCNTVLAVGVPCKRLMDTVTVKCGHCNNLSYLSPRPPMVQPLSSTDHPLGPFQCQGPCNECSRNQPLPLASPTSTELSPRMPFVVKPPEKKHRLPSAYNRFMREEIQRIKAAKPDIPHREAFSMAAKNWAKCDPRCSTTTASAATSNSAPEPRVVPTPQVTEARFDLEVDRAKEQVIESFDIFKQIERSI >Sspon.02G0035690-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:21152604:21159404:1 gene:Sspon.02G0035690-1B transcript:Sspon.02G0035690-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AIFSPTTNVVSEAYANPGHNQASESYFGSLKHQANNSPSLLTSDLDSQSKQHANSLMKALVYGNMPNDVSARQNSLGLWKYLDDDISLGNNPSSGILPTEQVTDERPFHITEISSEWAYCTEDTKVPPVLVDVEVLVVGYFHENYKHLAGTNLFCVIGDQCVVANIVQTGVYRLIVRPHVPGQVNLYLTLDGKTPISEVLSFDYRMVPESQTLADDEPQKSKLQMQMRLARLLFTTNKKKMAPKLLVEGSKVSNLLSVSAEKEWMDLLKFGSDSKGTYVPAIEGLLELVLRNRLQEWLVEKASGSSHREKMVAALLSAGANPSLVTDPTHDAPGGYTAADLAARQGYDGLAAYLAEKGLTAHFEAMSLSKDKRSTSRTQSLKQNTMEFENLSEQELCLRESLAAYRNAADAASNIQAALRERTLNLRTKAIQLANPKTEAASIVAAMRIQHAFRNYNRKKMMRAAARIQSHFRTWQIRRNFMNMRRQAIKIQAAYRGHQVRRQYRKVLWSVGVVEKAILRWRKKRKGLRGIATGMPVEMATDAEAASTAEEDYYQVGRQQAEDRFNRSVVRVQALFRSHRAQQEYRRMKVAHEEAKVEFSQNCSDNLDYNYPFTELAAAQTTLTITGTFVDSTSGSGDEHRSRVGHGEGGEQAPVIGGGDGAPHGIGKPPELRRRGALNALEPVPGRRCVGRGVGRRMKPRQGRGQGQRRGGGGVTWEAEASRGDLNGRAGCSTSPRWSSSLAAQRTREEALAAAESMAAQSAAESRSLSSIAIAEGGRVGLCRCSASAVAD >Sspon.08G0000700-2D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8D:988659:989393:-1 gene:Sspon.08G0000700-2D transcript:Sspon.08G0000700-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MESIRTRVLESIDIEYVDGSSSSLIPQVSSDIHKATLSVMEYINFLCSNSSLIYQIVSNAVCLGKYVPQNRQVGPMHSMIMDMVSCLQEKLVKMSESFPDQGLRFLFLLNNLEFTRQSLLDNHFCYWLLYHKKGEGLPGKIEGYMENYLQACWEPVLSCLFSPTPLCFGINHSPLLKFESEFKKTYATQKLWKVPDPKLRKRLRTAIAKKIVPRYTEYIEENDITTPRVAPRDLEKMLQELFEG >Sspon.01G0052210-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:20213090:20216421:1 gene:Sspon.01G0052210-2D transcript:Sspon.01G0052210-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAFEAAGGSKGSCFLEHIVLRMSQYGKLRGHEGCVNTVSFNPAGDLLVSGSDDTNIILWDWLSKTKKLVYPSGHHENVFHARVMPFTDDSTIVTVAADGQVRVGRLKEGGEVTTKLVGEHDSRVHKMAIEPGSPYIFYSCGEDGLVQHFDLRSDSGTKLVTCYSFLNDRRRVRLNSIAIDPQNPYYFSIGGSDEYVRLYDMRRFQLDDSRNINQPVDTFCPKHLIKGGKVHITSIAYSYAREILVSYNDELIYLFQQNMGLGPNPVSVEPEFFDMLDQPQVYSGHRNFRTVKGVSFFGPHDEYVVSGSDCGNVFIWRKKGGELMRMMNGDKSVVNCIEPHPHFPFMATSGIDKTVKLWTPASKKVMSLPKNAKQIIASNERGREVDASRAEVTLSSDVIMHVLRLHRRQSDLHMENESASADLASDDDEAFYIGFGDAERSQRENSDPRECIVT >Sspon.01G0022100-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:80954205:80954480:-1 gene:Sspon.01G0022100-1A transcript:Sspon.01G0022100-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVAGGHGKKSSSKVVAASGGGGGGGSPWSNSKKPAKKKKDAVAGSGSFLPRMCSSAEVAEDPGSGRRERPSRVRYRPLGDDGDALPQLD >Sspon.07G0010390-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:32192067:32193308:-1 gene:Sspon.07G0010390-1P transcript:Sspon.07G0010390-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPEPDDSSVASSTAAAESYTKVLQGGTSSAASSAGAPRLRSTARATSARSPRRRQGCPEAAPPVLARGRRGGTTVSGAGARRAPPHPGPPTRRAPPRRPGLPLHRVPRAGPRTRRQRPIRAGGKGRSEEPAARRLFGQLVSALAHAHARGVFHRDVKPENLLLDERGGLKLTDFGLCAFADGQLGADGLTATACGSPAYVAPEILLKKRYDPCKADVWSCGVVLFSLTAGYLPFNDGNLMGMYRKICSGKFRCPRWFSSELRSLIGRLLDPKPDRRIKVGEILSHPWLQQDGMSIPVPVASSSHPTPEVVKWEAESELAREMNAFDILTFASGCDLSGLIGTLPDRARFVVSSSSINARSILDKVEELGREEGFRMRRKEEAGFGGILLEAMDGKFVAQASVHLLHEEMLLVE >Sspon.05G0030590-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5B:91394041:91395354:-1 gene:Sspon.05G0030590-1B transcript:Sspon.05G0030590-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GKAIIIEEEEDNNEDGEFEIDDEALALV >Sspon.02G0029850-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:111847602:111860207:-1 gene:Sspon.02G0029850-2C transcript:Sspon.02G0029850-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MILSALATSVGLNLALTVLLAAAYSLLRRRPSYVEVYAPRRPYAPLEPWLAAAWRRSEEDVHAAAGLDGVVFVRIFVFSIRVFAAAAVLGVGVLLPVNFLGHQLQEIDFTDLPNKSIDLFSVSNVQDGSSILWLHFSAVYIITGITCYLLYHEYKYISGKRLEYFMISKPLPQHFTVLVRAIPLSDGVSVGDAVDKFFKEYHASTYLSHTVVHQTGKLRRLLNDAESICTKLTNLKYVRRSTGDPPRKFLGLFSRNDLVGKYQKRLEDLEENVRMEQSDATRRQEIAAAFVSFRSRYGAANAVYIRQSDNPTEWQTELAPDPHDVYWPFFSTSFMERWIAKFVVFVASVLLILVFLLVVAFVQGLTYLEQLEQWLPFLRNILEIAVVSQLVTGYLPSVILHFLSSYVPSIMKSFSTMQGFVSVSGIERSACNKMLRFTIWTVFFANVLTGSVLRQYEIFLDPKELPTKLAVLVPAQASFFIAYVVTSWTSITSELTQIAALFCHLWGKCAKCCKRDGSKAPSMPYHSEIPRILLFGLLGLAYFIVAPLILPFVLVYFCLGYFIFRNQLFNIYVPKYDTGGKFWPVVHSTTIFSLVVLHIIAIGVFGLKKLPLASSLLLPLPLLTLLFNEFCQNRFLPIFEAYSTESLIKKDREEQSKPDMLEFFSNLVTAYSDPALKPIQHASNSDERTAPLLASVSSR >Sspon.03G0001800-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:16132419:16134344:-1 gene:Sspon.03G0001800-1P transcript:Sspon.03G0001800-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEDWELLLASPKAAVAAAEPYAGGGGGGREDDAGAIKHDYFDLGSDAKYPRRASLSKADEEEEEEGVEEGLLGASDNASWVEPDPDDLLFPGRDRAALWSDSSSDGERPDVEVTDPVGRATEEAGVTAAAAADAGEGAVAKGGGPVPWWKLPLDALRVWALRAARSAWSVPFAVALLGFAVLGRRLYRMRRQSKAVARVRLVLDEKKVYQFKGQSSRLNESMTMVRRTPTIKPMLPANGVTPWPVLGTCEMCDQ >Sspon.03G0041560-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3D:33333547:33335377:1 gene:Sspon.03G0041560-2D transcript:Sspon.03G0041560-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSSRFPSQPAARGLLRRTPPRILPVERTPRRLALVVPAVCGGPGPGGSPVPRRPPPPADAATVAPTSAPSSAASAIDFLTLCHRLKTTKRKGWINHSIKGPESIADHMYRMALMALIAGDLPAVDRERCIKIAIVHDIAEAIVGDITPSDGIPKAEKSRREQAALDEMCEVLGGGPIADEIKELWEEYENNSSIEANLVKDFDKVEMILQALEYEK >Sspon.01G0013950-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:41442764:41450021:-1 gene:Sspon.01G0013950-3D transcript:Sspon.01G0013950-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAWENGGGGADSYSSSTTGGATTAEIFEEQAAAGEEETTEKVFVAVPEKHKSGKSLLAWTLRHVGAVAGASVVVAHVHAPAQMIPMMGSKFHASKLRPEQVSAYRQYEREKVEKHLDEYIHQCSKMKVKCEKLVIENDDVAKGIAEIVSLHGVSKLIMGAAADKHFSRKMKMPKSKTALAVLQKADTSCKIWFVCKEHLICTREAGAPAHSAATPSATSSSMSTLSEWGGQPNGYACNAVDGHIQRSMSEKVVPASVRTSLRLPSQLSVRTSLSRRSIEDTSVSSWDSVPRGNFPSSHQASSTVTDEGFSDYSSFSTPRRDASEILPLVHAGHNLQNSSCHEQDAMNSNIDIFDKLGEASTKAEKHQKQAFDESVRREKAEEELVLFRRKANNCEDISLDEAKKRKEVKEALAKANGVIEQMKQEMDALKRDRDGIIDKLVKVSEQKETLEQQVDDYGGIVKDLEDTLAASKSLIHSQKLEYEKLKHERDKALKDADELRKEKAKTEFSLSWNTEFSLSELQLATQNFSDTLKVGEGGFGRVYRGLLRNTTVAIKMLRSHNLQGQSQFRQEVVVLSRVRHPNLVTLMGSCSEASGLVYEFLPNGSLEDRLACENNTLPLTWQVRTRIIGEICSALVFLHSNKPHPVIHGDLKPANILLDANLVSKLSDFGISCLLVKSSTMSTSLYQTMNPRGTFAYMDPEFLTTGELTARSDIYSLGIVILQLVTGKPALGIGRAVEDALEKDELELLVDQSAGEWPFVQAKKLMLLGLQCAELSRRRRPSRMSDVWCVIEPLVKSASLSTTSRSFGYQFVESHTPSCFVCPISQEVMRNPHIAADGYTYEAERVSGFQFAGMTSLAMSFLPDSLVRRMEKGPLSGLGVSNLQMAPQESFIGLNNPGADLSSSSISTMLPSSATFLTSTAR >Sspon.02G0030560-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:111502498:111508765:1 gene:Sspon.02G0030560-1A transcript:Sspon.02G0030560-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEADMENGRSYQERPRTFSTVRSKSSIPLAFRLLMRINPRALIILSLLVFSGVLYVGASTSPIIIFVFCICTLSLFFSLYLTKWVLAKDEGPPEMSEISDAIRDGAEGFFRTQYGTISKMAFILAFVILGIYLFRTTTPQQEASGLGRATLAYITVASFLLGALCSGIAGFVGMWVSVRANVRVSSAARRSAREALQIAVRAGGFSAIVVVCMAVFGVAILYSTFYVWLGVDSPGSMKVTDLPLLLVGYGFGASFVALFAQLGGGIYTKAADVGADLVGKVEQGIPEDDPRNPAVIADLVLMFSTLFIIADPSGFILFPLVVHSFDLVVSSVGILSIRGTRDSGLISPIEDPMAIMQKGYSVTIMLAVLTFGATSGLVDESGNPTGGLFGTAVATMGMLSTAGYVLTMDMFGPIADNAGGIVEMSQQPESVREITDVLDAVGNTTKATTKGFAIGSAALASFLLFSAYMDEVASFAQLPFKEVDIAVPEIFVGGLLGSMLIFLFSAWACSAVGKTAQEVVNEVRRQFIERPGIMDYKEKPDYGRCVAIVASASLREMIKPGALAIISPMAVGVVFRILGHYTGQPLLGAKVVASLLMFATVSGILMALFLNTAGGAWDNAKKYIETGALGGKGSESHKAAVTGDTVGDPFKDTAGPSLHVLIKMLATITLVMAPIFL >Sspon.07G0038670-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:83195174:83196030:1 gene:Sspon.07G0038670-1D transcript:Sspon.07G0038670-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding YHGRQRKWEWEWRQQQQRQPAMRILQAAASALHAGVRVRALLPSRGSSQVRHRPQGLWRQQCQQDAPGAACSAESGRGEQPGVRGARAHEGPRVRLRRRHLLPPAAGLPAPGAARPRQGRDPLRPDATRRVSSGKATTPSSSPPAAGDGMRGLWQPAPAKWPDDEHVEQHWCSSSAAADAGQLRLCREHCNHAAGGVPQERVPMD >Sspon.01G0001090-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:2928911:2932011:1 gene:Sspon.01G0001090-3C transcript:Sspon.01G0001090-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFCTEVEDVISMRYWLSLTVVKSLLKNYNIDPKSIGRLEVGSETVIDKSKSIKTWLMQIFEESGNTDIEGVDSSNACYGGTAALFNCVNWVESNSWDGRYGLVVCTDSAVYAEGPARPTGGAAAIAMLIGPNAPISFESKYRGSHMAHVYDFYKPDLASEYPVVDGKLSQTCYLMALDSCYNVFCKKYEKHEGKQFSIFDADYVVFHSPYNKLVQKSFARLYYNDFLRNCSTVDEESRGKLAPYAGLSSEESYQSRDLEKASQQVAKNLYESKVQPTTLIPKQVGNMYTASLYAALASIIHNRHETLAGQRIVMFSYGSGLTSTMFSFKINEGQHPFSLLNIANIMDVSKKLKARHVVPPKKFVEALKLMEHRYGAKDFVTSQDTSLLSAGTYYLTRVDSMYRRFYDVKGDAVTTAMSNGH >Sspon.03G0002290-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:5543522:5545092:-1 gene:Sspon.03G0002290-1A transcript:Sspon.03G0002290-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Basic-leucine zipper (bZIP) transcription factor family protein [Source:Projected from Arabidopsis thaliana (AT2G36270) TAIR;Acc:AT2G36270] MASEMNVKALDEQEVTSQQRDQGARARTSAAAAEEQQVVDPLARQSSIMSLTLEELQNSLCEPGRNFGSMNMDEFMANIWNAEEFQAATGTGGCSKEGTEREPMMMPVATAGTGENGAGGGSGLVRQGSFALPPPLSRKTVEEVWAEINQDPADSQANAAPQAVVQPKMGSGGGGVAASGRQVTLGEMTLEDFLVKAGVVRGAFAGHGPQAVGMVPAGPMGMQHAAPVMYQVAAPVPPNAVYPVMGDGMGYHNGYHGGMAVVPPPPPSQCVAAAAVSPGSSDGMSAMTRAEMMNCIGNGGMVRNGGGGARKRDSPEDGCTEKTVERRQRRMIKNRESAARSRARKQAYTVELEAELNHLKEENERLRAEEKTILLSKKKMLVEKMMEQARENVSAKKGGRGLRRWGSAM >Sspon.08G0008210-3C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8C:31637165:31638385:-1 gene:Sspon.08G0008210-3C transcript:Sspon.08G0008210-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRSKDQEKMRAALGSLHEELEDERRLRKHSETLHRKLGKELSEMKSAFCKAVKALEKEKKTTCLLEDLCDEFAKGIRNYEEEVRLLKQKHVKEYEHKFDKSVVHISEAWLDERMQMHKTKMREDLSGKTSITERLSSEIEGFLHHAKRLGNSQNVNLDNGNEKRDASLCRQSLESVHLNGATSAPQLAEDDDGSSIASDLHCFELNMHGGVIRNHDLAGTRRRVTGFMHSPMRRLEYSNGISVEGSPMSNAPPCPKKEKTRSSISRQQFITSTPEISSRNDASLAPADEQNETVMTQVSRRLRDDLLKIKSEAPQHAYLGQKSNQPWTNQFHESTSRDLCDVRSPARHLNNPAQSLGYEISEPPAHQLIGTKENTLKAKLLQARLEGQHARLSASVFPLISTRR >Sspon.06G0015220-1P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6A:83896213:83898070:1 gene:Sspon.06G0015220-1P transcript:Sspon.06G0015220-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGATVTVEEVRKAQRATGPATVLAIGTATPANCVHQADYPDYYFRITKSEHMTDLKEKFKRMCDKSQIRKRYMHLTEEYLAENPNMCAYMAPSLDARQDIVVVEVPKLGKAAAQKAIKEWGQPKSKITHLVFCTTSGVDMPGADYQLTKMLGLRPSVKRLMMYQQGCFAGGTVLRVAKDLAENNRGARVLVVCSEITAVTFRGPSESHLDSMVGQALFGDGAAAVIVGADPDERVERPLFQLVSAAQTILPDSEGAIDGHLREVGLTFHLLKDVPGLISKNIEHALEEAFKPLGISDYNSIFWVAHPGGPAILDQVEAKVGLDKERMRATRHILSEYGNMSSACVLFILDEMRKRSAEDGQATT >Sspon.02G0020680-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:62826850:62828811:1 gene:Sspon.02G0020680-4D transcript:Sspon.02G0020680-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNTKPAVSMLLQLLFLSLNLLAAFTTGDGQQFVYSGFSNNDLVVDGATTITSNGLLELTNGTDQQIGHAFYPTPLRFTRSPNGTVQSFSTSFVFAILSVYTDLSAHGMAFIVAPSQNFSGALPGQFLGLTDIQNNGNTSNHFFTVELDTIQNKEFSDINANHAGANVNGLESLNSSSAGYYADEDGKFRNLSLISREAMQVWMDYDDTVSSITVTMAPLKVARPKKPLFTTTYNLTSVVTDVAYIGFSSATGTINTRHYVLGWSFNMNGPAPAIDISRLPKLPRMGPKPLSKVLQIVLPLASAAFVLAVGTVVVLLVRRHLKYAELREDWEVEFGPHRFSYKDLFYATEGFKDKHLLGIGGFGRGIASCLVYLHEEWEKVVIHRDIKPSNVLLDSDMNGRLGDFGLARLYDHDTDPQTTHVVGTIGYLAPELGHTSKATPLTDVFSFGMFLLEITCGRRPISENSQESQCMLVDWVLERWISGSLLETVDSRLDGNYNTEEACLALKLGLLCSHPFSNSRPTTRQVMQYLDGEMPLPEMTPTDMSFHMMAIMQNEGFDDY >Sspon.02G0006690-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:14168676:14175680:-1 gene:Sspon.02G0006690-2D transcript:Sspon.02G0006690-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding TWVQILTLPLKVVTGQRLAENTQNVTNIATPTMSGWSIVKKEFTFPARSAPFNSCHASTIVQIDEGNFLVAYFGGSMEGAPDVKIWLQRYSDGHWYPPVVADEQLGVPMWNPVLFQLPSRELLLFYKIGQEVQKWSGAMKRSLDGGLTWLPREQLPPDGQSLGVIQPVPYRTANGIIRVLLRSFQTIGRVCMADSFDGGVTWSFVRETELPNPNSGIDGVKMKDGRVVLAYNTFSRGTLKLAVSLNDGDSWNEVMTLEDTSDMEFSYPAVIQTMDQLIHVMYTYNRMQIKVKVGQNLEEDTQSIINMTMNVTSGWCIVKEELIFPAGGAPFSSCHASTIVQIDEQNFLVAYFGGSREGAPDVKIWLQRYSDGHWHPPVVADEQDGVPMWNPVLFQLPSRELLLFYKIGQEVQKWSGAMKRSLDGGATWSQREQLPPGILGPIKNKACINVFSVPSIMMYVLIVRWNLMPTSPRQPFLLEDGRLLCGSSVESWNSWGAWLEVTNDAGRTWRKYGPICIEGQSLGVIQPVPYRTDNGTIRVLLRSFDTIGHVCMADSLDEGVTWSYVHETQLPNPNSGIDGVKMKDGRVLLAYNTFSRGTLKIAISSNDGDSWDEVMILEDTKGMEFSYPAVIQSMDELIHVTYTYNRTQVK >Sspon.01G0006040-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:15429997:15431388:1 gene:Sspon.01G0006040-1P transcript:Sspon.01G0006040-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSSGEYDAAYAATIAAAAFAIAAREEKLATQKKSVPIEEVPPALSPVKRGESTKKPAGGNKIARWFSGKEPLEHDDDGPVNVSVRRPLKPAPGMPEDMPADHKVAPKILETSLSAKKGSGSSSKSADRKGSKKFEQEQAVQKAPSAVRPATSYHSRRNGEDTSGVTGIGGTGSKADEWEKAKLARVREEYEKMMETIAEWETEKKVKARRQKEQKETELDRKRAKALEEYNQEMTRISKIAGGARSMAEERKYNDENKIKEKARKIRSTGKPPRTCACF >Sspon.02G0028750-3C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2C:125991153:125991560:1 gene:Sspon.02G0028750-3C transcript:Sspon.02G0028750-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQNCHRPPPSAVALVAITLLLPCFVSVASSSPPPPSSGGTNNNIKLPTDGGAAGSFTEFVAENVELYHNVSTEQHKYGAGGKVWDPELLAAQGMVVRYVVSPDGHGKFRSITEAIKAVPDGNKKRIILDIRTATY >Sspon.05G0009060-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:28324323:28328188:-1 gene:Sspon.05G0009060-3D transcript:Sspon.05G0009060-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMDACQTWPPPAPSPPPFSSRPRASPSPSLHHQRRRHSKKYKPKPKPKPAPTPQGAADFSALPPELVHRALAAACASDVAAASRACRAWREALRPLREAAALHAYGRRVKHGLVAGSAASRGTGGERLETERQRALGLFRRAARLGSAAAMVDAGLMCWEDGRREEAVGYYRSAADLGHPVGMCNLGVSYLEADPPKAEEAIRWFYPSASAGNARAQYNLGLCLQNGKGVKRNQKEAAKWYLRAAEGGNVRAIYGEGLSQDPVRAKRWLQLAADCGHKKALYECGIKLCAAGDKVKSLMYLELATRRGETAAAHMRDVIFESLSVVNAQRAMSDADKWKPRTLHPRR >Sspon.08G0001560-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:5041951:5045612:1 gene:Sspon.08G0001560-1A transcript:Sspon.08G0001560-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKIKIGINGFGRIGRLVARVALQSEDVELVAVNDPFITTDYMTYMFKYDTVHGHWKHSDITLKDSKTLLFGQKPVTVFGIRNPEEIPWGEAGADYVVESTGVFTDKDKAAAHLKVIHDNFGIIEGLMTTVHAITATQKTVDGPSAKDWRGGRAASFNIIPSSTGAAKAVGKVLPDLNGKLTGMSFRVPTVDVSVVDLTVRIEKGASYEDIKKAIKAASEGPLKGIMGYVEEDLVSTDFVGDSRSSIFDAKAGIALNDNFIKLVSWYDNEWGYSNRVVDLIRHMFKTQ >Sspon.03G0000060-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3A:217505:221255:1 gene:Sspon.03G0000060-1A transcript:Sspon.03G0000060-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKARAYNPVTGMESLIIIPVSKAQALQRSGRAGREGPGKCFRLFQESEFDKLVDSTVPEIKRCNLSNVVLQLKALGIDDIIGFDFMEKPSRTAILKSLEQLILLGALTDDYKLSDPVGHQMARLPLDPMYSKALIVSSKFKCLEEMLIVVSMLSVESIFFSMREKLEEARAARKGFESSEGDHITLVNVYRAAAECLEKSRNANAKEKTMEKALNRWCRENFINYRSLRHARDVHSQIQGHAQQMGLNLSSCGDDMVLFRRCLTSAFFLNAAMRQPDGSYRALATGQSVQIHPSSVLFRTKPDCVIFNELVRTTQNYVKNLTRIDPLWLAELAPQYYATEE >Sspon.08G0027470-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8D:50820612:50830977:-1 gene:Sspon.08G0027470-2D transcript:Sspon.08G0027470-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMKKVSDEIKGKQQQIAHLERQIKGKLDQLEHTPSHAKLLEQVNEKAFELEVKTADNRVLQDQLQQKLSQALEANDLLSESIIFQQYTDISLQNGSQVHKENPASIDVSDELHQKAEQSEIDELKQRLCELTEAKAQLEAHNQKLQEESMYAKGLASAAGVELKALSGRSPNSWTTTRGSRTSWL >Sspon.07G0007010-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:16449216:16454087:1 gene:Sspon.07G0007010-2B transcript:Sspon.07G0007010-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone deacetylase 8 [Source:Projected from Arabidopsis thaliana (AT1G08460) UniProtKB/Swiss-Prot;Acc:Q94EJ2] MASESSPPPPAAPPPGPGDKLAVFWHEGMLAHDAGRGVFDSGRDPGFLDVLDQHPENADRVRNMVSILRRGPVADFLSWHSGRPAHASELLSFHSSEYIEELVQANATGAKKLCEGTFLNPGSWGAALLAAGTTLSAVKHILDGHGNLAYALVRPPGHHAQPDHADGYCFLNNAGLAVQLALDSGRAKVAVVDIDVHYGNGTAEGFYRTDNVLTMSLHMRHGSWGPSHPQSGSVDEIGEGKGLGYNLNIPLPNGSGDAGYEYAMNELVVPAIDKFQPQLLVLVIGQDSSAFDPNGRQCLTMEGYRKIGQIMRSLANRHSNGQILVVQEGGYHITYSAYCLHATLEGVLDLEAPLLDDPIAYYPEDEEYTMKVVDMIRSCWKESVPFLKEI >Sspon.02G0032820-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:324675:325178:-1 gene:Sspon.02G0032820-2D transcript:Sspon.02G0032820-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding TWPPRAASRSQCSASRWRCTPRRRPGPCRCRCRASPPRPPAAPPRSRSRLRRPRRPAPRTATTLAQAPAWRTRRTSSWAWAAWATSRASRPWAPGTAAASATTAGASSAASRARWAASGSASEASARWAASAGSAPSAAAVSRSAASVAAATPSAAAMAAGALVALRL >Sspon.07G0009160-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:21211113:21213574:1 gene:Sspon.07G0009160-3C transcript:Sspon.07G0009160-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PrfB3 [Source:Projected from Arabidopsis thaliana (AT3G57190) UniProtKB/TrEMBL;Acc:A0A178VAE2] GLYSLKKRIEDAVVRVETTASSALELEEARRIKQEEVLRNRNLWDNPAKSHETLSALADAIRVVDHLKDICFQAEEAKLISQLSEMDAINGELFKQAYRSSVDASEHLDRYQMYKLFKGPYDKEGACVIVTAVSNGVTSQLWAEKVFGMYTSWAQKQGYKVGLIEKIFSTSGHIQSAAMEIESEYMFGTLSGEKGMHQMIYSSLENSDIDQALSARVDIIPLFLDRPVDLHLDDSDIETSPSPSVHKKGDRRNGAAVRVQHVPSGVTAESSGERSYFANKLKATCRLKAKLLLIARELRVSDIKLINRQAIEDKCNSETRRYTFGPQKLVHDLNTGIQLSDLNSVLEGDIEPFIRGRATSRQL >Sspon.02G0018310-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:84632503:84636696:1 gene:Sspon.02G0018310-2B transcript:Sspon.02G0018310-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding KMWSFPHLLLILVLLLILENVRAQPSKPLLINCGSGSSADVDGRTWVGDARPDGTDFTVTLPGVIATQAPKPDGGGADAYGDLYRTARVFNASSTYGFSVAAGSYFLRLHFSQLFSNLSSGKESVFDVTANGLRLLSKFSVPGEIYWRNSRTNSTSDVIVKEYLLNVTAAKLEVEFAPDAGSFAFINAMEVVPVPGNSIFESVNKVGGAGLKGPFSLGESGIETMYRVCVGGGKIERKEDPRLWRKWDSDEHYIFSMNAAHSIKNTSNISYVSSDDSVSAPLRLYETARVTEETQVVDKKFNVSWRFSIDPGFDYLVRLHFCELEYEKAEERKFKIYINSKTAAENYDVFAKAGGKNKAFHEDFLDAALSETDTLWVQLGSESSATSSAASDALLNGMEIFKVSRNGNLGHPTIRIGGMSGGLDQPKRSPKWVLIGAAAGLVIFISVAAAVYFCFYLHRKKNTSAKKTKDSLPATPMATNARSSPTLRTTGTFGSCRMGRQFSIAEIKTATMNFDESLVIGVGGFGKVYKGETENGTPVAIKRGHAQSQQGVKEFETEIEMLSRLRHRHLVSLIGYCDEQNEMILVYEHMANGTLRSHLYADASTPSFKFNPPAGKVEDLADGWTPVNLDRSKNGDGFRDKEAMTEAAMLYEWLRIGLFL >Sspon.06G0016830-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:73024850:73029546:-1 gene:Sspon.06G0016830-3D transcript:Sspon.06G0016830-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl-coenzyme A oxidase [Source:Projected from Arabidopsis thaliana (AT5G65110) UniProtKB/TrEMBL;Acc:A0A178UA38] MSTSHAGESAAGEESSATRRLRRLSLHLLQPAAPPATGDQLALAACAGGRARRVEGGADVAAAIAAYLRGRNRATQMRLFDFFRARPDLQTPVELATAAHRELCYRQLRALVREAGVRPLTLMVTDPAEYFAVMEAAGGADISLGVKLGVQYSLWGGSVINLGTKKHRDKYFDGIDNLDYPGCFAMTELHHGSNVQALQTTATFDPVTDEFIINTPNDGAIKWWIGNAAVHGKFATVFARLILPLQGKGGQPADMGIHAFIVPIRDLETHAVLPGIEINDCGHKIGLNGVDNGALRFRSVRIPRDNLLNRFGDVSRDGKYTSSLPTINKRFAATLGELVGGRVGIAYCSVGVLKVAVTIAVRYALLRHQFGPPKQPEISVLDYQSHQNKLMPMLASSYAFHFATVMLVDKYSEMKKTNDEDLIADVHVLSSGLKAYITSYTAKSISICREACGGHGYAAVNRFGALRNDHDIFQTFEGDNTVLLQQEKFKGGTLSVTWNYLRDSMGTYLSQPNPVTARWEGEDHLRDPNFQLDAFRYRTSRLLHSVAARLQKHSKTLGGFGAWNRCLNHLLTLAESHIESVILAKFIESVKSCPDEKTREALKLVCDLYALDRIWKDIGTYRNVDYVAPNKAKAIHKLTDYLSYQVRLVARELIDAFDLPDLIIRAPIGMQSEAYAQYTQYVGF >Sspon.08G0003880-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:11380165:11386863:1 gene:Sspon.08G0003880-1P transcript:Sspon.08G0003880-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSENTGAPLEEEQPQAPPTPNPEPSEVAPGEVEEEPQTLERAQELFDRGAKAIDDEDFVEAVDCLSQALEIRTSHYGELALECASTYFKYGCALLYKAQEESDFLGNVPKSVPNEESVKSTASKDDSGTSKVSGTNVEDAVSSEKADAEEGQNSNGKDQEKGNGEVEKDDDDDDDVDEKMGDEEDNDLDLSWKMLDIARAIVEKSPENTMEKVKIYSALAEVATERVSKIGDAIPYCAKAISLCKSRIQSLKSSKDALLAGKDGDASAAEAEGGSEKSDAEKELEQLTSILPDLEKKLEDLEQANPSPAMEEMVKTIASRELKLNGKKAIIYIYKIKLHRTHAEGAIVDVAKVKPWPEESGSSHIRIWDNIVAGSVIVL >Sspon.07G0007070-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:40864210:40866876:1 gene:Sspon.07G0007070-2P transcript:Sspon.07G0007070-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHAADAHRTDLMTITRHVLNEQSRNPESRGDFTILLSHIVLGCKFVASAVNKAGLAQLIGLAGETNVQASACAGEEQKKLDVLSNEVFVKALVSSGRTCVLVSEEDEEATFVDPKLRGKYCVCFDPLDGSSNIDCGVSIGTIFGIYMIKDKDNVTLSDVLQPGKDMLAAGYCMYGSSCTLVLSTGTGVNGFTLDPSLGEFILTHPDIKIPKKGKIYSVNEGNAKNWDVPVAKLWRSANTPKMVRHQNL >Sspon.02G0055560-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:7885544:7885777:-1 gene:Sspon.02G0055560-1D transcript:Sspon.02G0055560-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGGGRGRIPIPAYMCWPPSGALSTDDTAPALMRHGLDPRTLDVYEDTVLNFIYGFLPKPSVSVAATLSCARNFNI >Sspon.04G0006700-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:19126246:19130037:1 gene:Sspon.04G0006700-1A transcript:Sspon.04G0006700-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSFGLAGRISPSLGNLSFIREIDLGNNHLEGQIPEELGQLRRLQVLNLTRNLLEGSIPEALGRCTRLSDRIPQSLANLSSIKILDLGNNTFSGAFPSYLDKFPHISYVSFEFNNLSGVILPSFWNISTLISFSMAGNMLVGTIPPNAFNNLPLLQVSYMDLNQFHGHIPASLGNASDLSKFQLNENFFSGTVPPEVGKLKHLQHLVLYGNSLEANEPIDWKFITALTNCSQLQFLVLYTNRFAGVLPGSVSNLSSSLFGLYLQNNRISGSIPKGIGVGNLINLEALDLSLNHFTGALPSSLGMLQSLRGLSVRNNTLNGSIPLAIGNLTRLNYLEVSSNKFSGTIPSTLGNLTNLLDLHLGNNNFIGSIPTEIFNIHTLSIILDLSYNKLEGSMPENIGNLNNLVELHLESNMLSGEIPYALGDCQLLQNLYLENNFFGGSIPFTLSKLKGLEILDLSSNNFSGHIPEFLGNLSSSITLTFPSITLLVNSLLLVFLQMVLHFQSKAMKHSVVASLIFICLHVLLSGERKDAGSLDAFAALFFPYLAQEEVKYGVGNMVSIHGDIYSYGVLILEMVTGRRPTDNEVEHGLSLRNYVEMAIDNRVMDIINMELVTELENENARVDSAPTRKWLALVSLLKLGILCTEETPSTRMSTKDIIKELHEINKALV >Sspon.05G0002470-1P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5D:8270792:8274114:-1 gene:Sspon.05G0002470-1P transcript:Sspon.05G0002470-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHKRQDMLSSLKSKAKQMATSFNMSNFANREDLLGQSKKADDMSRVAGLDNQGIVGLQRQIMKEQDEGLEKLEETVLSTKHIALAVNEELTLHTRLIDDLEDHVDITNSRLQVCSKLLVEAR >Sspon.07G0007740-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:18419667:18429697:-1 gene:Sspon.07G0007740-2B transcript:Sspon.07G0007740-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEGETAALEFTPTWIVAAVCSLIVLLSLVAERCLHYIGKTLKKKNQKPLGEALLKVKEELMLLGFISLLLTVFQGMIQRTCIPEHWTFHMLPCEKPDEKAGEAATTEHFVAVGTLGRIGRRLLSEGAAGAEQCRNKGKVPLLSLEATHQLHIFIFVLAITHVIFSVTTMLLGGAQIHQWKQWENGIQKEKEAPGNGPKKVTHVHHDEFIKKRFKGIGKDSIILSWLHSFGKQFYRSVSKSDYTTMRLGFIMTHCPGNPKFDFHRYMVRVLEADFKKVVGISWYLWVFVVIFLLLNVNGWHTYFWIAFLPLFLLLAIGTKLEHVIAQLVHDVAEKHTAIEGDVIVKPSDDQFWFGKPKIILYLIHFILFPNAFEIAFFF >Sspon.02G0028140-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2A:102175964:102176176:-1 gene:Sspon.02G0028140-1A transcript:Sspon.02G0028140-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVFGWEPNHATLWPPRMFVLSFCSSGSSSSCGEIVVSEASFLGATVVRGRGCGVANTGREPSSPYYVTS >Sspon.03G0023530-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:72075070:72077103:-1 gene:Sspon.03G0023530-1T transcript:Sspon.03G0023530-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTWRERENNKRRERRRRAIAAKIFAGLRAHGGYKLPKHCDNNEVLKALCNEAGWVVEPDGTTYRKGSKPMERMDHIGCSVSPSPCSSYQVSPRASYNASPTSSSFPSGASSPFLPPNEMVNGGIDGNPILPWLKTFSNGTPSKKHPLLPPLLIHGGSISAPVTPPLSSPSARTPRMKTDWDEATVQPPWHGANSPTIVNSTPPSPGRYIAPDPAWLAGIQISSTSPNSPTFSLVSTNPFSVFKESIPVGNSSSRMCTPGQSGTCSPAIPGMPRHSDVHMMDVVSDEFAFGSSTNGAQQAAGLVRAWEGERIHEDSGSDDLELTLKL >Sspon.07G0027650-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7B:60964669:60965014:1 gene:Sspon.07G0027650-1B transcript:Sspon.07G0027650-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHELLIFTSSSTTDSRTTNLIVGFSSKSIYRNISTHKREIEERFHYLVEQDDGVDDEDNRGDGGGKFAPAISVVISMDKSSK >Sspon.08G0025740-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:12247936:12250202:1 gene:Sspon.08G0025740-1C transcript:Sspon.08G0025740-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMASALSSFNKKSTLLMLTAPAKSIGRIPSSWGRHAWSIGREDPRRAVHALKAGIALTLVSLLYILEPFFKGIGKNAMWAVMTVVVVLEFTAGATICKGLNRGLGTVLAGSLALLIEFVAAGTGKVLRAFIVAASVFIIGFAATYVRFFPTIKKSYDYGVLIFLLTFNLITVSSYRQQDVVSLTRDRLSTIAIGCAICLFMTLLVLPNWSGEDLHSSTVGKFEGLATSIEACVNEYFRDQDKGDDVLDKQEEARASIQVGYRAVLDSKSSDETLAHYASWEPRHSMHCYSYPWQKYVKLGSVLRHFAYTVAALHGCLESEIQTPPSVRSLFRDPCTRVAREVAKVLQELAVNIKHHRRCAPDVLSDHLHEALQDLNSAIRSQPRLFLGSKRACAANKRMLMELNSGKLSAPSRAALHSFKTDATALSETTRSARSDQPAAAAAAADRNERSGMLRPTLSKIAITSLEFSEALPFAAFASLLVEMVVRLELVIEEVKNLEQAANFREFTGHDHLVVDLSSTEKTRNSNAAALNPVSAAAE >Sspon.06G0002640-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:8380912:8389547:-1 gene:Sspon.06G0002640-1A transcript:Sspon.06G0002640-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Triacylglycerol lipase 2 [Source:Projected from Arabidopsis thaliana (AT5G14180) UniProtKB/Swiss-Prot;Acc:Q67ZU1] MGTAAHRPGLVLLSPAAAAVALALLFVSSTLRLAVVVGARVVQPYGGGGGPCALAVAPLGYPCEEHQDGMSWLLASPEESLPFILADRGFDLYWNWSWDDLVVNDLPAMVDFVNTQTGQKPHYVGHSMGTLVALAAFSEGRVVDQLKSAALLTPVAYLAHITTPIGILLAKAFVGEALSDLLGVAEFDPLAPPVTNLVRAFCRRPGMNCYDLLGSITGKNYCLNSSAVDVFLKYEPQPTSTKTMVHFAQTVRDGVLTKYDYVLPERNIASYGQAEPPVYQMSGIPASFPLFLSYGGRDSLADPADVRLLLQDLRGHDRDKLTVQYLDQFAHLDFIIGVCAKDYVYKDMIAFLN >Sspon.04G0006040-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:18069692:18070653:1 gene:Sspon.04G0006040-4D transcript:Sspon.04G0006040-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AASPPCLASPPSITTHLTRTRGEASTGGGRIAGKQKKRTAICSCSLHRRGGREAPIASPSVARGSGSGLLASRWRYEAAEAASMGGAGHSVHFLDACFLCRKPLASNRDIFMYRGDTAFCSDECRSAQMAADEAAERRKDRARTVTHGALPAREAEGPPQERGKVRAGSILAL >Sspon.02G0022120-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:73945001:73945397:1 gene:Sspon.02G0022120-1A transcript:Sspon.02G0022120-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTKLDVFLAGNTTAEQVCDFKIKGSYFERSCAFYRGNSNVLIAQMNRKFTLSNVLLGKDTYSVSVFPHVDYVFIAALVVILDEIHRDRSK >Sspon.04G0002000-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:6182826:6187124:-1 gene:Sspon.04G0002000-1A transcript:Sspon.04G0002000-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPLDEKETTQVFEKLFKFTGPNLKHLLERPAVEGPDAEPGRYCLRLHRNRVYYASEALVRRATAVSRPRLAGVGTPIGKFTHHGAFHLTVHALDLLAAHARRRVWLKPDTERSFLFGNSVPKSSLARITENTKAGDGVVVMSMADVPLGFGVAARSAQDCRKADTNAVVVLHQADAGEYLRKEEELIC >Sspon.03G0002030-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:12901165:12904355:1 gene:Sspon.03G0002030-2B transcript:Sspon.03G0002030-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRSRRALLRRTAAALEQSAVAEAAANGRKRRLYGFSASLVVASWVAVLLLHSLVGHGDGQRDGGGSAVDLTVVEPTMNVGSINPVVQEEHGENLAVPGDTCVNSVENAVLSEDTLVQADQLCSNDEVRSENTEALTKDSQVELSGDQGGYLPQSDVDSGVQPGEKVESEDLPRPPRLSRVAPPDLDEFKTRAIAERRPGVSNQPGHVIHRREPSGKLYNYAAASKGAKVLDFNKEAKGASNILEKDKDKYLRNPCSAEEKFVIIELSEETLVDTIAIANFEHYSSNPKEFELQSSLTYPTENWETLGKFTAANAKLAQNFTFLEPKWARYLKLNLVSHYGSEFYCTLSMLEVYGMDAVEKMLENLIPVENKKTEPDDKTKEPIEQIPLKEPVGGKESSQKPLDEDEFELEDDKSNSDPSKNGANDPVSETRALQAGRIPGDTVLKVLMQKVQSLDVSFSVLEKYLVELNSRYGQIFKDFDADIDSKDVLLEKIKSELKNLESSKDSIMNEIEGILSWKLVASSQLNQLVLDNALLSFVFACLALAKLSIGIMSKFCRFYDFEKFHNVRSGWLPVLCTWPQHRTALKGSLPLVSSGKGIADNFSQHPGAESMLKILHDYVK >Sspon.07G0014240-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:56795789:56803369:1 gene:Sspon.07G0014240-2B transcript:Sspon.07G0014240-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRLLAAVGPLAGPSVAMVALFCLLGHLQAQPQPNYRAGLTNSLLYFEGQRSGRLPPDQRVQWRGDSALADGRDHGVDLTGGYYDSGDNVKFGLPLAFTVTMLAWSVVEHERPLAAAGELRNALAAVRWGADYLARAHAADETLYVQVGDGDSDHSCWQRPEDMDTPRTSYSVDASRPGSDVAGETAAALAAASVAFRPLDAGYSAMLLGHAEQLFRFAKNHRGLYQNSVPGAAKFYPSSGDEDELIWAAVWLFIATGGEEYKAFIASDGNVGGAQTSFSWDNKFVGAQALLILEGKLPDAGNAAAMKSHLEEFLCGVLEHNSNDGRLSPGGPWNNLQYVTSAAFVLAARADHLAAAGGAGASLRCGGATLPPQQLLAFARSQADYILGANPQRMSYMVGYGARFPEQVHHRGASVPSIKSSPAKITCKGGFGYYSRDAPNPNVLVGAIVGGPDGSDRYDDSRRNYQQAEPSTVTVAPMVGVLARLQQN >Sspon.02G0004770-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:15113004:15113243:-1 gene:Sspon.02G0004770-1A transcript:Sspon.02G0004770-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSPRRRSERGESLGPPPPPPPPLLLRRLLGGGGPPPTDTPPLLPSRILSISDLRHAAPIPGKSPPPRRIPTSTSAAP >Sspon.05G0008390-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:25360083:25365245:-1 gene:Sspon.05G0008390-1A transcript:Sspon.05G0008390-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MACVHAQPAWALALAALGLFVSARAAVRLALWLYAAFLRPAKPLRRRYGAWAVVTGATDGIGRALAFRLAAADLGLVLVGRNPDKLAAVSADLRARHPGTQVRTFVLDFAADDLAAKVDALGEFLRGLDVGVLVNNVGRSYPYARYFHEVDEELARSLIRLNVEAVTRVTHAVLPGMLERGRGAIVNMGSGASAIMPSDPLYTVYVATKAYVDQFSRCLYVEYKSKGIDVQCQVPIQVATKLASIRKPTFLAPSPETYARAAVRYIGYEPRCTPYWGHALVWLHISLVPEPIADRMFLNRSISIRAKGRAKEAKKKAQ >Sspon.02G0021850-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:74523513:74527666:-1 gene:Sspon.02G0021850-3C transcript:Sspon.02G0021850-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVWSVGKSCLLLRFSDGSFTTSFITTIGIDFKIRTVELDGKRIKLQIWDTAGQERFRTITTAYYRGAMGILLVYDVTDESSFNNIRNWIRNIEQHASDNVNKILVGNKADMDESKRAVPTSKGQALADEYGIKFFETSAKTNLNVEQVFFSIARDIKQRLAESDSKPEDRTISINRPEGGEASASQKSACCGS >Sspon.05G0009550-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:27469120:27473767:1 gene:Sspon.05G0009550-1A transcript:Sspon.05G0009550-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQMQGRLRRVLRAPPPRILLKRAISSPPPGGDGDGDGGGGSGVAVKQVTRGNMAEALEKLQGRVREAAFVGVDLEMSGVTSAPWRDTFELDRADVRYLKLRDSAERFAALQLGVCPFRWDPAKSAFVAHPHNFYIFPRKELPSDCSSHEFLCQTTSIDFLAKYQFDFNTCFREGVSYLSRAQEEEALQKFNMLYHGQTATSSTNSEEDRDIPLKSASDILFTERMKMNFKEWRDVIVSKPMVDNHLSGNIKCCAGQFQTVFFKMRPAIMLNGFSSHQLKLIQQVLRKNFKDLVFVCTFGEDDTSEKKVVYSDTDEDRILLMKDVQEDLLKNREESVKSAIGIRHVIDLLSSERKLIVGHSCFLDIAQVYSKFVGPLPSSIKEFALSFHKIFPHIADTRHLMSVNQAVQKLMKHKSKSLSSAFSLLCPSSYSSAEKPSSHSPVTIEVEGDETTSSCFISGAKHEAGYDAYMTGCIFAQLCAYLGIKFEQFSPLENLATNTKLQKHINFLSPSWNSGTVIDLSTGMERPEPGYVRRYPAAVYDNIIVIWGFPSKVRPKEIKDCICKVFGSGSVTTVFSIDSTAALVQFKKQESVNDFLDLKAVLERADSAISILHPLSTILEGGQTRAAKYDTYRDICNSSESKYLFADQAEAVCATSKNQLKENVDDNLISGVLDGVAFASVTEGDGIISGSKNQDAVDVTCCDILDALHDGKALLGRRM >Sspon.02G0022660-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2A:76575566:76575943:-1 gene:Sspon.02G0022660-1A transcript:Sspon.02G0022660-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESKESSPLHIVVFPWLAFGHIIPFLELSEQLAKRGHFVTFVSAPRNLAKLRPVAPDVRPRIRLVPLPLPLVDGLPDGAESTADVPPEKVELLKIAFDGLAAPFAAFLAEACASGEAREGHSKKP >Sspon.07G0036340-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7D:32145569:32145988:-1 gene:Sspon.07G0036340-1D transcript:Sspon.07G0036340-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQTRQDRQEVTTGTITTIQIQLDGIGAGPTHYGMELTTAALTFKAVRANESHDPRAWDLAIDSTTSKTTNSCMTSPGDQEAKTETTSHRTAGESPPCQQCHYDMHSSTTPPHTVTWAQNHNDNHVRTCATPRQDGVPC >Sspon.02G0032040-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:117172474:117174151:1 gene:Sspon.02G0032040-1A transcript:Sspon.02G0032040-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSTMAPSSVASLFFFCCLLLLPAQHDGASSYASYKLGSRQVFFKTPGKSSGNSQQASTVPTATTCSSITTGLGNNKLPIVHRQSPCSPLHGLPSLTTADVVRRDTSLIRRRSSSQPDVAAAPAPSPAVTIIPTNGSSNPATPPGTLDYSVLVSYGTPEQQFPVFLDNSFGTSMLRCKPCASGSGDCDPAFDTSRSSTFAHVLCGSPDCPTNCSDGSVCPFDDRPPRARLRRTRDRNSLPSRLSSSWLAPAATFSYCLPQSPSSQGFLALGGDATVGHDDYNLSSHAPLVSSAYPELSSITTLDMATTFTMLAPDAYAPLRDAFQKEMSQYNRSVPGFAGFDTCFNFTGLHELIVPVVNFKFSNGESLGIGDQMLYYDDPAAGPFGMTCLAFSSLDAGDDVFSAVIGTYTLTSTEVVYDVAGGK >Sspon.06G0025280-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:63778692:63779726:-1 gene:Sspon.06G0025280-3D transcript:Sspon.06G0025280-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAFFVARQTGQASVRGSYALHRHRCRHGSTSTDASPWPHALHAHLPFHEDEAVAAAVSAACSSTSQQHDCASSVPPESPKPSTAAGRAVPVPVAQPAPAPCSSTASIAARSPAATAPFLRASPHHAWLSAAACRSSSSRSAARRRAAASSTSISRSAGAAAAAVARATARHWRFRACSSPARSRSHSARTSASISAPCRRSSSGTSTSAPDAGRPLVDVVADSASRSAVVAAASAGGNCSPAIAWCICVPVIGSSAAAGDDDDTYCPCSASLPRFRDGGPTPAPPLHVRSLCAALATTATTAKRFECMRIQILCIGLEVSINATNVKSLEKTDRDENEEACWH >Sspon.06G0006930-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:23912815:23918852:-1 gene:Sspon.06G0006930-4D transcript:Sspon.06G0006930-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGCSRGSLPTWMTAAAARVDLSGGVTPSSSPSPSSSGPAPAAGADQELGMFERAFSAAGAAFVSAIIVNPLDVAKTRLQAQAAGVLYHQPAQMAALGPDAILSEFRCSPSCTRGLVLGSEPVCPPDCFQYKGTLDVFSKVVRQVGIYLPCYDIFRNAIEDFTRSNAPGLTPYAPLVAGSVARSLACIACSPIELARTRMQAYKEFRPGVKPPGMWKTLVGVLSPLASSSQNVQNYRVLWTGVGAQLARDVPFSAICWSTLEPIRRKLLGLVGEEGNAASVLGANFAAGFVAGSLAAGATCPLDVAKTRRQIEKDTEKAMRMTTRQTLTEIWRSGGMKGLFTGVGPRVARAGPSVGIVISFYEVVKYALHQRHTS >Sspon.03G0031150-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:24703577:24706335:1 gene:Sspon.03G0031150-1B transcript:Sspon.03G0031150-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRPRKRRRRHVGAAAGEGPDRFSHLTEDLLRSILNCLPTRSAARLAAVSRHFRHEVSRLLERVESLTLHEPHFPDPLRITPPLLIRRLALAPHRAIPPSSFRPIIEDAALHGVSELSFRLTRRARLPKNVLTVKSLVVLALDTCAVPPWSDVSCPCLRTLRLHRVAIHQENINKILASASCLETLEMEYCTGHGAGKGCTVESSSVRNLVFRPTLKLEGTVIRASGLRVLTLYTRSRAKSLELAPAPEIRKVYLHISKSNSMKKSMESFRVRPFLDAAVKLECLTLRGHAMKVLSYEYEKNPKLMVMFQDLRILSVSLNLSSEQEPVFLLKLLESCPNLQKFILSILSVRTSPIERTSTKYKRNYYLELEYS >Sspon.08G0007290-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:23691073:23692571:1 gene:Sspon.08G0007290-3C transcript:Sspon.08G0007290-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:myo-inositol oxygenase 1 [Source:Projected from Arabidopsis thaliana (AT1G14520) TAIR;Acc:AT1G14520] MGIWDCIELLNEFIDDSDPDLDMPQIEHLLQTAEAIRKDYPDEDWLHLTGLIHDLGKVLLHPSFGELPQWAVVGDTFPVGCAYDECNVHFKYFKENPDYHNPKFNTKFGVYSEGCGLDNVLMSWGHDDYMYLVAKENKTTLPSAGLFIIRYHSFYPLHKHGAYMHLMNEEDKENLKWLHVFNKYDLYSKSNVRIDVEKVKPYYMSLIDKYFPAKLRW >Sspon.04G0031720-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:16302475:16308160:1 gene:Sspon.04G0031720-1C transcript:Sspon.04G0031720-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKQWLVFACALLAASSAGVARASSPSLQVGFYEHSCPQAEDMVRNAVRRAVARDPGLAAGLIRMHFHDCFVRGCDASILLDSTPGQPHEAEKYSPANFRSLRGFEVIDEAKAIVEAHCPRTVSCADIVAFAARDGAYLAGGIDYRVPAGRRDGRVSVKDEVLKDNLPFPDSTVAGLIESFRRKGLSADDMVTLSGAHSIGRSHCSSITDRLYSFQGETGRTDPALHPAYAADLKRRCPPPTDDNTDDRTTVPLDTVTPNTFDNQYFKNVLTHKVPFTSDQTLLDSPWTAGLVAFHAAVGQAWEAKFAKAMVKMGAIEKLTGHQPITTPRSFSPAAACSSAQIADKLSTCEQVISMMNQWLVFACALLAASSSMARASSPPLKVGFYEHSCPQAEDMVRNAVRRAVARDPGLAAGLIRMHFHDCFVRGCDASILLDSTPGQPHEAEKYSPANFPSLRGFEVIDEAKAIVEAHCPRTVSCADIVAFAARDGAYLAGGIDYRVPAGRRDGRVSVKDEVLKDNLPFPDSTVAGLIESFRRKGLSADDMVTLSGAHSIGRSHCSSITDRLYSFQGETGRTDPALHPAYAADLKRRCPPPTDDNTEDRTTVPLDTVTPNTFDNQYFKNVLTHKVPFTSDQTLLDSPWTAGLVAFHAAVGQAWEAKFAKAMVKMGAIE >Sspon.01G0020520-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:80886105:80890558:1 gene:Sspon.01G0020520-2B transcript:Sspon.01G0020520-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRKLSPKCPSLPFVGVILSIIELLSALSLLQKPSTVSRKADPKNTKVCNGACSGDSRGLSLLNHHSKCNNSKKKSLLLNRRHQDCSSSKSEASHVTISSSSVVSQSQRTLNSSSFSSDGASSGSLGVKHSNGAGLKLNLRKDRSKTNTIRGSSGWISLKAHTSDWNSREMAKKRRLSENVHTDLSNGGDDPFAFDDIDQEPSNWDLFGPKRKSPRKRVKRSNGEVLDDCGTAVMGSPQLCQPEDIYQSGATSDSKADESNLLEDCLLASVKVLMNLANENPSGCEQIASCGGINTMASLIIKHFPSFDFSMDSNNQMKERASSGDLSSSQNSKSLQIKTKQLQDYELDFLVAILGLLVNLVEKDSLNRVRLANARVSVNLSQNPDSKEVQRDVIPLLCSIFLASQGTGETAEAISPTLEIAKRMMKNLCCKENAKLK >Sspon.07G0002310-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:5670233:5673029:1 gene:Sspon.07G0002310-1A transcript:Sspon.07G0002310-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPLVPWDWEGSRQEGAVVVEEEEDRWARLLPELLAEVLRRLEASGGERWPARKDVVSCACLQAVARRRRHGRPPVALVREDHLPGLAEAGPKDFPIQCFIKRNKKNSMFYLYLGLTNNLMAAMDKGKFLMAAKRFRWGAHIEYIISLDADDISQGNMAYVGKLSQPPYDGAKASSTRSIQRFGSRRISPQVSSGNFDVGQVSYKYNLLKSRGPRRILCTMDCPSIQETWENSLKVKSLRQTGSTVLRNNAPRWHEHLQCWCLNFHGRVTVASVKNFRLVATADPSHPNSVDDAETVLLQFGKVGDDIFTMDYRQPLSAFQAFAICLSSFGTKLTCE >Sspon.08G0017640-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8B:2454903:2455235:-1 gene:Sspon.08G0017640-1B transcript:Sspon.08G0017640-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGKAKPKKHTAKEIAAKIDAATTNRGGGKAGQADRLGQDKGGHAKLACPLCRTSAPDIKSMQIHHEARHPKLPFEPEKLVNLHSSAPAAAAAEATTSKPKPGIRGSLKK >Sspon.04G0015280-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:61215349:61229853:1 gene:Sspon.04G0015280-2B transcript:Sspon.04G0015280-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding TNQSHEPKRPTKKHTASTPESRSNPRYLGDPLARLLPLHFPLHLVPSQARIRKSPPLSPARRACTSRSGERPLPAWPRAPRPHTLPAPRSGSPRRAASTAAPPLRKLAQRSERVKSVDLHPTEPWILSSLYSGSVCVWNYQTQTMVKSFEVTDLPVRSAKFIARKQWVVAGADDMFIRVYNYNTMDKVKVFEAHTDYIRCVAVHPTQPFVLSSSDDMLIKLWDWDKGWVCTQIFEGHSHYVMQVTFNPKDTNTFASASLDRTIKIWSLGSPDPNFTLDGHSKGVWDYQTKSCVQTLEGHAHNVSAVCFHPELPIIITGSEDGTVRMWHSTTYRLENTLNYGLERVWALGYMKGSRRVVIGYDEGTIMIKIGREVPVASMDSSGKIIWAKHNEIQTVNIKSVGADNEIADGDRLPLAVKELGSCDLYPQSLRHNPNGRFVVVCGDGEYIIYTALAWRNRSFGSALEVVWSTDGEYAIRESTSRIKIYSKNFQEKKSIRPSFSAERIFGGVLLAMCTNDFICFYDWVECRLIRRIDVNVKNLYWADSGDLVTIASDTSFYILKYNRDVVSSHLDGGGSAGEEGVEDAFELLHEINERVRTGLWVGDCFIYNNSSWRLNYCVGGEVTTLFHLDRPMYLLGYLANQSRVYLIDKQFNVVGYTLLLSLIEYKTLVMRGDFDRANDVLSSIPKEQYDSVARFLESRGMLEEALEIATDTNYRFDLAAIATEVQSESKWKQLGELAMSNGKLEMAEECLLYAMDLSGLLLLYSSLGDADGINKLASVAKEQGKNNVSFLCLFMLGKLEECLQLLIESNRIPEAALMARSYLPSKVPEIVALWKKDLQKVNPKAAESLADPNEYPNLFEDWQIALNVEAAVAPKRGIYPPAEEYMIHAERSNESLVEAFKNMHVHEEMVPDDNEDTVHEVIEDGGVEESQEDAVEVDAEDDGVEESQDEAVEVEAEGSTDGAVLVNGNSSEEQWGQKLFQATSISDSVELYLAGVTVTAKIEIIS >Sspon.08G0015720-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:62923827:62925573:-1 gene:Sspon.08G0015720-1A transcript:Sspon.08G0015720-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFVVCRVRFLWRNSMAIFAAKARGLGSRTELRWAEAREEIEDNLSALKWIVKYNETEPLRQGGKEEEAIFPVLKSLVAQEVFRPPTFTSSTNFS >Sspon.08G0011370-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:45677861:45682127:-1 gene:Sspon.08G0011370-2B transcript:Sspon.08G0011370-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPASSSSTTTSGSPATTAGGGPRPYRSRFGDTTLTKVFVGGLAWETPSEGLRQHFERYGDILEAVVITDRLTGRSKGYGFVTFREPEAARRAVQDPNPTIAGRRANCNIASLGPPPRPAQAQPRGRGPYTGQGPHLQVTQFIPRAPASPQMMPQQLQHGGGPAAIYPSQFGYWYPPDFQYQQALANPQVLQNYYAQLYGLTSPTAASPYHQYLGYVAHPPPTPRAILPPPAAAAQQVAVQPLVQHPPPAQQVTVQPLLQHPPAQIQAAPFFPASSLSHNFRLQLPPPPQAMPSVLPPNTTGVYVACLLSSQTVLYFGTDGVQDIATDAYICYSNVAESLPADQAAASAARATNASTTPGA >Sspon.07G0034180-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:70415239:70428457:-1 gene:Sspon.07G0034180-1C transcript:Sspon.07G0034180-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASKPILYSAWISSCSFRVRIALNLKGNWIKPQALVSCSTDDARILPKTGVSLPGDGDSHNCDPIVDYEKINPIKYVPVLVDGDFVISDSLYLEDKYPQHPLLPQDLKKKAINLQCVRAFNLFNVMQCKIISPEQCSEARKLMHRSGCFAAVKLSSFGEVLQSLYGDPSISSVDYEYRAVTRTDLDYEKINPIKYVPALVDGDFVISDSLAIILYLEDKYPQHPLLPQDLKKKAINLQGLLDGKLGSDESLQIVRNYTDKGFKAIEKLLEGCDSKYATGDEVQLADVFLAPQIHAGVTRFQIDMSNYPLLERFYKAYMEIPAFQ >Sspon.07G0008070-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7C:17830846:17834436:-1 gene:Sspon.07G0008070-2C transcript:Sspon.07G0008070-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSTSDDVGAVLAFFGRLMTAHLMASPYDAGNDICDRFATAGFGANLITYLTKQLHLPLVEASNLLTNFGGTSSLTTILGALAADSFAGRFWTIIAGSVFYQLGMLGLVVSALLPSLRPSPCSAPPGGPACQRASGLQLTVLYLSLLCTAFGAGGLRPCVVMFGTDQFDHELGEQQQKQVTAEAAKAVAERKRRYFNLYFFMMGVAALLALTVVVYIQDNVGWGWGFGIPAIAMFVSIVVFVIGYPLYVMLKPGGSPFTRLAQVAAAAFKKRGVAVPEDTGMLYQDKELDVLISTNGSLLHTNQLTFLDRAAIVTPGDISASGQPDLWRLSTVHRVEELKSIVRMLPIWSAGIMLATIESHNGTFIIIQAGSMDRHITRHFEIPPATMSIFGTAAFLVSLALYDRAFVPLARRVTGLQSGITYFQRMGIGLAIHILGVATAALVETKRRHAAVDHGLLDNPAAVIPLSVFWLVPQFAVHGIAGAFSSVGHMEFLYDQAPESMRSTAAALFWLASSFGNYLGTVLVTVVQRTTRAHGNDWLQDNINRGRIDNYYWLVTCLMVLNLGYYLICFRFYTMKPLEMADEQDDHDGECELSTLQKNDTGAG >Sspon.05G0019610-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:78671582:78677764:-1 gene:Sspon.05G0019610-2D transcript:Sspon.05G0019610-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQLAPTPRPSPAAAARRRPRPSTSACICCSSVHELERSPSPRPRPGASLREAKRVVLVRHGQSTWNAEGRIQGSSDLSVLTPKGESQAETSRQMLLSDSFDACFTSPLARSRRTAEIIWQGRDDDLIPDSDLREIDLYSFQGLLKHEGKERYGLLYRQWQKNAANFSIDGHYPVQELWGRAQSCWERILAHQGKSVLVVAHNAVNQALVATSLGLGAEYFRILLQSNCGVSVLDFSPRTGGGPPSVCLNRLNQTPNSPVAGGSSAGRRTSKRIILACQGATQSSSEISLGGMGYAPLNMLGTIQSQKTAELLLDLKVNSIICSPQVAAVDTATVICEIQEAADCLGADCVPRYVEMKKLIELEIEDAYQAKQKLGFFPISNEYWLLSGRGGQECKVQLKLSTGHAK >Sspon.06G0022720-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:42525032:42528816:-1 gene:Sspon.06G0022720-3D transcript:Sspon.06G0022720-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MACRGFFECVLKLLNLVVMAVGLAMVGYGAYLLVMWLQVAPPSPAPAPVAVPPSGGELVRLGRPLLLLVDASLSDADGGTVERLSSAWFIFAFIGVGAILFITSIFGCAGARNGCCLSIYSFLIILFILVELGAGGFIFFNHSWKEVIPVDKTGNFDMMYSFLKENWRIAKWVALGAVVFEALLFTVAIIVQSGNQADYDSDDEYFGARSGIRQPLVNQQAAAADPRVPNLDYRPIRNDAWSQRMREKYGVDSFDPNRFQQATISPGEQRNRCTIL >Sspon.01G0014090-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:42187829:42189362:-1 gene:Sspon.01G0014090-4D transcript:Sspon.01G0014090-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MESYGGGGTRTSASSRRPCTSALSRRPCTAAAASRAGSGAFAYDGMRATSLSTSTPNLTRSLRKAVSFAHKKPPPPSANAPPPRRALSSKENSAASTEALLMSPHRRSMSEPGAAASGPWEPTTRRRRSTGTVDEAGAGKGSSSASGALREIMAPRRKEEPEKEEAAHRARMLTARLLQWRFANARMEKAMARATSAAENKLFYTWLRVAELRNIQAAKRIVAQRRRQKLKLARLLRPQLSLLASWEPLAKPHADATADLGRVLSAACTGLPLAAGARADMDALHETMFSCVGAVNEIEAITDMFYATAGATSGALGELARTIQQEMECLEEATRLSSIVTGLQVGAQSLSGDGWLAVAEAVMQEVSLRANLMQAKQRIDLGRRRGRGPDTPALATSGWCF >Sspon.01G0022790-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:86749926:86757132:-1 gene:Sspon.01G0022790-2B transcript:Sspon.01G0022790-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKAVANGAAAADVAAPDNKDSAKEEASESKEAVANQDAEEQNKGSENGAEGESGGDVKMAEAETAEEGDGDAGAAKQVDSGDVETDADTKEDATAKTGEGEDVKMTEADAGSTEVKDKEEKEHEVEKEKEDEVENTNADKQDESKEQEKGVSAEQEENKGKETDADEKQEEEEAEEKGSAEGEGDKKMEENKEETPKNKKARSARDRSQGKDKKQGGSKSREAKSLLNTPSPYGTDRPQRERKTVERLVEVIEKEPNRNFVVEKGRGTPLKDIPSVAHRISRKKPSDLKFLHSILFGRKGKAVDFKGHILQFSGFVWHESDEKQRAKAKEKLDKCVKDTLLDLCWTLGIPTPKANIRKEDIVSKLLDFIAEPHSPADSGLSDDQGSNSKKRKRGGESASKTPDSTPSRSRKKFVDDSTSSKRQKKALQYDTDEDEDESMKSDSEENRDEDSDEAADKQEGDYGSGKEKAGKKLSKVKESSGKKKADTGSGHKSGPPKKIIKSPVKKVSSKNHEEKESPNDSAKVFSRKKKPTAEKEIKEKKSSGKKVTKGKGESAEAVLPSKDDLRKTITEILKKVDFNTATFSDILKKLDNHYKMDLTPKKEAIKVMIQDELTKLSEEDEGEGDGDKHQQPQAKEIEA >Sspon.02G0018820-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2A:60368294:60368590:-1 gene:Sspon.02G0018820-1A transcript:Sspon.02G0018820-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLQSFERKSQHVMQIPLRPTFKQKRRRNNHVKVPKPWRQSDRQNHSLTESTIVAINEKNSRTSISRPEQQSDHTAFLIKILHMLSSYPYRGSLFCLQ >Sspon.02G0023080-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:80630666:80632700:1 gene:Sspon.02G0023080-2C transcript:Sspon.02G0023080-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPSVPRTGEHAAAPTGGDTILAVHDDDGTVHCDSLDATAPRDAAGREVRYRGWKAMPFVIGNETFEKLGSIGTAANLMVYLTSVFHMTNVRAAVALNVFGGTTNLATVFGAFASDLCLGRYATVGFSCVATLLCAGATEGQFAVLALSFLFIVVGAGGIRPCSLPFGADQFDPRTESGRRGINSFFNWYYFTLTIAVVGSSTGIIYVQSNVSWCIGFAIPAALMFASCVLFFSGAGLYVRVRPEGVPLASVFRVAVAAARKRRAAAPQDPAASLFRTRHASSLVSRLPYTDQFRFLDKAAVVESESEIDDASGGPRDPWRLCSLQQVEEAKCVLRIMPVWATCIVYYVAFAQTNTYVVLQAAQADRRLGPGGFEVPPASFTIFPMLALAVWIPLYDRLVVPWLRRLTGVEGGITLLQRMGVGMVLSVVAMLIAGVVEQRRRERAVLHQAEANRELLSVTLVSPASAFWLVPQLAALGLSEAFNQVSQMEFYYKQFPENMRSVAGSLLFTGLALSLYLSGLLVVVVHRATADPVLGDDGWLAENLNRGKLDWFYFLIAFIGAVNFFVFLAFAKWYRYKGLDDGEHGADGVHQWQPRTVEVDDCPEEGRVVRRRSF >Sspon.08G0009850-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:42944740:42948546:1 gene:Sspon.08G0009850-1A transcript:Sspon.08G0009850-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VSAFLLHHFSEVADYSFTANMETELDNVSAGSTEWKGLLKDYWERFSKYCADASKLDGRKVERMLEEKFGPILFPDVDKDSRICPSCSEGTLRFKVSRYGEGYFIGCDRHPKCKYIARSLSQQEDETEPTEESPKSFTPRLLGVMPDSDQKVFLKQGPYGYYVQGKHPEDDHPVLITHSKVGYNIKHRRSLALVPKNMDPKKMTLERALKLLSGKSVRRIGRPKGKVEKKEPIEWH >Sspon.01G0002700-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:6170892:6173515:-1 gene:Sspon.01G0002700-2B transcript:Sspon.01G0002700-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASLRSPPPLPAAFRRSRAVVRASSSSSSSSAVSSSSSAPKARFVARRSESVPVQQLARPLAEYMSLPASQYSVLDAERIERVDDSTFRCYVYRFRFFALEVCPVLLVRVDEEPDGCCIRLLSCKLEGSPLVEAQNDKFSASMANRVFCSSRSQDSTIQQLTSDTTIEIAIDIPFPFRAIPVEAIESSGRQVLEQLLRVMLPRFLKQLVKDYQAWASGDASRKPLGTGEI >Sspon.06G0007260-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6A:26931798:26933156:-1 gene:Sspon.06G0007260-1A transcript:Sspon.06G0007260-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAHAVDIASLARQLKEELAAADAPAVRSASGCPVVIAEVGALTRNVNPEAYDPYHVSIGPYHRIKNPELARNEDKIRSLGTILSAASAGVTLEVYLDVVARIEAQARSCYAHTFDMESNAFVRMLLLDACYVLDHFGGVGAGGRCGSGGDMMEPVRVVRDVIYLAENQIPFFVVDEIHKLTVPDGGSIYAADAVAGYVRELLRGQQYSVATPAVAGPPGPGNLLHLLHMHLTPTAVLVSSSRTTTGNRVAGKQRRFGRWRSATEYYCAGVGFRSRPLVGKGARSILDVKLDGRGGTLEIPRLKIDAETSRLLRNLMALEQSNPAEAGSHVTAYCVFLSQLAGTARDVELLSRRGIIAHGLGSHDEVAACLADLCKGVAFGGDDPGGNYLRATWQGLEESFRSRPRRWAAWLMLRYFRNPWLAFGLAAAAVGLVCTVVQAVYAVLAYTPDA >Sspon.07G0015570-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:61040687:61041970:1 gene:Sspon.07G0015570-2C transcript:Sspon.07G0015570-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNRVARLATPCFAPADGHAADDAHHHHAEAEGTGAADDGTGVCHILSFDGREGLIHGVLLPSNQSTVGGSLFLSDRASFSGSSSFDSSNSFSFRTLQPRQYSGPLDSYGGSSSIASTSTSATNSGVSSVPRLPARTDEQILADLYATRHRRRQCLRQQQESSGASSLLGGLRRAVASVLRAGPCVSPGGGNEHAVAVGNGGAPADDGGAARVQWARGKAGEDRVHVVVSEEHGWMFVGIYDGFNGPDATDYLVAHLYAAVCRELDGVLLREESEEEEESEAAARCNGRARGARGRDHDVLAALARALRSTEAGYFAEAEARAAECPELAMMGSCVLVALVKGADVYVMNVGDSRAVLAQRAEPDLSRALVGDLAGVKEEIKRQFDACEMGDLVALQLTMDHSTSVYKVLDMTHTVDGDHPFIVWSL >Sspon.03G0036710-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3B:93462677:93463998:-1 gene:Sspon.03G0036710-1B transcript:Sspon.03G0036710-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RTPSSSPAAATATRVSFPRSSSLPSASSPTRSKPATTLPRSSAAYTPLYTSRSPRASRRTSSAGASSARSSTPCSSAPATWPPTPTSPPASSSCTAPADTSPNVMIAGYVKAGDLVHARELFDAMPERNVVSWTTVIGGYAQMRRPEEAVEVFRRMQVEGIEPDREWVHRFVVRRGLSQEIPLMNAIIDMYMKCGCIEKAVEVFEGMEEKSVVTWTTLIAGFALHGLGLQAVEMFRRMERENMAPNDVTFLAILSACSHVGLTDLGRWYFNIMASQYRIKPQVEHYGCMVDLLGRAGCLKEAQDLVKDMPLKANAAIWGALLAAARTHGDADLGEQALVHLIELEPNNSGNYILLSNIFAVQERWDDVSKLRKAMKERGLRNVPGQVPLKLMAWFMSSLPGMGLILSYIRYVKYYVRSTLT >Sspon.02G0026080-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:85483252:85488332:1 gene:Sspon.02G0026080-4D transcript:Sspon.02G0026080-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWREQAFNIYEQNPPKQLPTSPPFGGTTTTSSGSSQASWKQIPPNPYQVQRKKIKFICSFGGVFLPRPSDGELRYVGGERHLVRIDRDMSWRELTCKTTKLIRRDHTIKYHLPGEQLNMLISITSDDDLRNMIDECIFLEANRERLTMYLFSTNEDEHSVHFLVKHSSSEAEKEVHFIALVNGLTAPIVASRMHNLGSTSASDLDQVMLGAKEDRLPASMEEEYSMHIKGKPSQRMDVELPKTSSGPLEKTIPTPKFLTRKARKDNAQNREGNLITSGRNVHFGPSVPSESIHAATRGIGSDQAVSRHQPELQRTTTTITGKGHQATGSQEKGSLRKELLIPLDNSNVNISSSNSSPTPHTNRSVFDILESSSRGSQKTVDQQTSSDNNKMRPERNSTQEEGISHSAAATEPPRNNNNFQLHNKTEIPEHSPEFASPMHCHDDMDISSNLHTMEKSIATNSMKQQQPAVPNTCGNTPEKDHPSKPTSNSSETLLSSPFTSSDKRTEMKPNTLVRALSERQQERPNEQSSKMIKSRSVGANSPQIIVLPQEAKDNIAPLISEHEEHETKNSEQDSLKNAELGRGLTSNVQIISNEDLEDLREMGAGAFGTVFHGKWKGTDVAIKRIKNSCFMLPSPQADKLITEFWREAAILSKLHHPNILAFYGVVNNGPEATLATVTEFMVNGSLKKILLRKDKYLDWRKRIMLAMDAAIGMEYLHSKDIVHFDLKCDNLLVNVKDPSRPICKLTENQLLQVADFGLSKMKQATLVSGGMRGTLPWMAPELLTMSGTKVSEKIDVYSFGIVMWEILTGEDPYDGMHYGGVIGGILSNTLRPPVPTSCNPEWRKLMEQCWSTEPERRPSFTEVASRLRAILEASQRESPR >Sspon.06G0002940-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:9349964:9355183:-1 gene:Sspon.06G0002940-1A transcript:Sspon.06G0002940-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDVSQAPHAAGGGGGLEEGAGPDPNPSPSLGGCSDPVSVELSMGGDYYRACCGDPDPDPDIPEGPKLPCVGEKEPLSSLAAEFQSGSPILQEKIKLLGEQYGALRRTRGDGNCFYRSFMFSYLEHILETQDKAEADRIMVKIEECKKTLLSLGYIEFTFEDFFAIFIDMLESVLQGHETPIGPEELLERTRDPQVSDYVVMFFRFVTSGEIQRRSDFFEPFISGLTNSTVVQFCKASVEPMGEESDHVHIIALSDALGVPIRVMYLDRSSCDTGNLSVNHHDFIPSANASEGDAAMTSTPAAEKPYITLLYRPGHYDILYPK >Sspon.06G0005550-1P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6D:17156481:17162077:1 gene:Sspon.06G0005550-1P transcript:Sspon.06G0005550-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRRAPLMTTNHTTRPGALVSRFRGVPSQGLSGTLSGRIANLTHLEQVLLQNNNITGRLPPELGALPRLQTLDLSNNRFSGRVPDTLGRITTLRYLRLNNNSLSGPFPASLAKIPQLSFLDLSFNNLTGPVPLFPTRTFNIVGNPMICGSNAGAGECAAALPPVTVPFPLESTPGGSRTTGAAAAGRSKAGAARLPIGVGTSLGASSLVLFAVSCFLWRRKRRHTGGPSSVLGILHERGGCDLEDGGGVARLGNVRQFGLRELQAATDGFSAKNILGKGGFGNVYRGRLPDGTTVAVKRLKDPSASGEAQFRTEVEMISLAVHRHLLRLVGFCADGGERLLVYPYMPNGSVASRLRGRFPLRAPRAEVHAGVMEGCALRTVPSSQAWSRPPVVLGAAAARGPWSAERGAETTGQTPPRHSRFVRIPELSRPAGGTWHRCSPSLRTAAVQRQCGTPPPRHRAARHEAQAGSVSAPGRPAPPPRLPVAAASGSASDTARPERRPAGGRLGRCNLLAALAPTSLAWALATAPTCACARRRLSLLLATSVLLARTRGSALGHAGGVVVVVPSRTRTPGGRGRRGGAPRALEQHSTGAQGMSVSSFVPGGDLATCMCVARTTSPCGVSAVRPPVWPLFRHAARVSRAKQSGATWASLFSYLSGTQQRLITSSLRPSMYSTTSTYAHAPGSWSADTDDRSTSFSSSVNKNDAVTGRYCRLRIWKPALDWATRKRIAVGAARGLLYLHEQCDPKIIHRDVKAANVLLDEHHEAVVGDFGLAKLLDHGDSHVTTAVRGTVGHIAPEYLSTGQSSEKTDVFGFGILLLELVTGQRALELGKASGALHTQKGVVMLDWVRKVHQEKMLDLLVDQDLGPHYDRIEVAEVVQVALLCTQFQPSHRPKMSEVVRMLEGDGLAEKWEATNRPAAVAGAPCHVDALGYDHRNDSNGSVFFNDFHDNESSLSSDEARSIDMVEEMELSGP >Sspon.03G0036160-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:84332470:84333065:1 gene:Sspon.03G0036160-2C transcript:Sspon.03G0036160-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPPPGPPAWSVTLSLRHRGGLEIRAAAENLLPGWGRGGERLSLLLRLRRRLLLSVTSQCGDRPAAATQPGTPPRGSRVVRFLRSRWARLPRVTSIWRRNKQPLTRVAAAVPRGRRDQTSWLLACVQRSRTLTRPGFWAMGWTPKSTATTLRFVVVVVFAALAVAVAVVAAFRIT >Sspon.05G0010320-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:29446036:29452251:-1 gene:Sspon.05G0010320-1A transcript:Sspon.05G0010320-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGDLRSTIKKWNVIYPVYLNSKKTVAEGRRIAAAKACPDPTCVEIADCCSHLKIPHAVELDKAYPRDFFQVGRVRVQLKKDDGSPVNPAIKTKKQLMIQIAELVPKHHGRTKKQEAATSSSAGGSSKNTKGGKKKK >Sspon.04G0021240-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:74116680:74118656:1 gene:Sspon.04G0021240-2C transcript:Sspon.04G0021240-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VLSDPEKRAIYDQYGEEGLKGMPPPGSQSRSSTTAGPSGPSNFRYNPSDPDDFFAEFMASNKTYSFDQDRTRFQPRSHWTSARNSRSEAPSGSRKESGSSASHVEKPPPVEKTLLCTLEELYNGTKRKMKITRNVAKPDGRVEVETEVLAVEVLPGWKKGTKITFPNKGDKLHGQLAQDLTFVLDSKPHDVYNLEGNNLLVKQEIPLVDALAGAEINLRTLDGRNLPVRVEEVVRPGYEVVLENEGWPIRKEPGKKGKLVIKFDVTFPMRLSSSQRAAIRRIMGS >Sspon.01G0009780-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:27797788:27798993:-1 gene:Sspon.01G0009780-1T transcript:Sspon.01G0009780-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Divinyl chlorophyllide a 8-vinyl-reductase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G18660) UniProtKB/Swiss-Prot;Acc:Q1H537] AMAALLLSSRLPTTGTATPSPTRPAPRFLSFPGTATRRRGRGPLLASSAASPPAPAPAAQPFRALPASETTVLVTGATGYIGRYVVRELLRRGHRVLAVARSRSGIRGRNSPEDVVADLAPAQVVFSDVTDPAALLADLAQHGPVHAAVCCLASRGGGVQDSWRVDYRATLHTLQAARGLGAAHFVLLSAICVQKPLLEFQRAKLKFEEELAAEAARDPAFTYSVVRPTAFFKSLGGQVDIVKNGQPYVMFGDGKLCACKPISEEDLAAFIADCIYDEDKANKVLPIGGPGKALTPLEQGEMLFRLLGREPKFIKVPIQIMDAVIWVLDGLAKLFPGLEDAAEFGKIGRYYASESMLLLDPETREYSDEKTPSYGKDTLEQFFQRVIREGMAGQELGEQTIF >Sspon.03G0036250-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:88948001:88954778:1 gene:Sspon.03G0036250-1B transcript:Sspon.03G0036250-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSGGADDDAAEGAGAGFEWDADSQLYYHASTAFYHDPVAGWYYSSKDGQYYIYKNGNYMRLTTDLESEPTANYPYDEANQDVLEHSCLETATPDNENEILGPPSEWMEETLINLYLSGYSNSEVNAESSLGNTHINEEEKWLAQYGQVERLNDDQPLPPTIDIWDWDVVQDHVSKGQLVVRLVGCLSRGSSKLHPSLPARGGLLRTAPVREVHLDLVRVSTGKLYRLRNPSRKYLTSLSTYDSSNPTKDWGFPDIYANPDINLSKKSTAKCQPEESKPKAYRDRAAERRILHRGLGVGPGQKQSNTNNFDEYEESIEDMDSMGTVSVDLNFRSSGLLSAKRIMENMGWKEACGEALGKSRKGIVEPIQPTINKHGAAMLWEWKVMLLSSSVTGQIDHPG >Sspon.03G0009700-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:3139762:3147878:-1 gene:Sspon.03G0009700-1P transcript:Sspon.03G0009700-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLRAAGRRLLGVGGGEAAPALAAAAAGRGRGYHERVVDHYNNPRNVGSFDKGDVDVGTGIVGAPACGDVMKLQIRVDEGSGRIVDARFKTFGCGSAIASSSVASEWVKGKQMEEVVAIKNTEIAKHLSLPPVKLHCSMLAEDAIKAAVKDYEAKKGKLAKTEEQDIPSP >Sspon.08G0013880-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8A:57483408:57483896:1 gene:Sspon.08G0013880-1A transcript:Sspon.08G0013880-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQFPDGAHVRLRRRVHGGGGGGGYLYLHADKDGVGVSLRGARGSLSVAWRVHRVQHGGATRVLLHGAAYGRYLAVRPESAPPPSLRARRACRAVQCVYDAPEQDDVLWEAVEAGDDDGGDVLMRHHRYGLWYDHGDPSAVMRWVIEAIPPRPEPPALPAPSP >Sspon.02G0028740-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:97121343:97122047:1 gene:Sspon.02G0028740-4D transcript:Sspon.02G0028740-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RVLPSPNTQHSPPHSTTLSNQPTHSPTPAAPPSTAAGEDDADDPGRGAREGGDLPGRRGGARENPPAPPRNRPAQRPAPAAGHHRVRVRGGDGLRVAQAAPQGGPLLRQGRPPRLLRRRGVGGGGKGPAEEDHGRQGQGDAHLGHAPRDLRRRPAPGEAPLQGDRGFLQELPRRGVRGQRWPRCRAQERRRRWRCRCCRQRQRQRRCGCGRGREERGSGGGGGGGEESARRRRGR >Sspon.07G0006360-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:16671801:16672926:1 gene:Sspon.07G0006360-1A transcript:Sspon.07G0006360-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSVHDKGTEIDFFCKDRPEDPLNVDSGSARAGGKTANNVCRLSLLNESNSFGSLCYEIPKLEDVVPSHSPIFSTHHVLEGCGDGFQSPVGYTTSHVDGEISDQLSVESILKSAAETFPGTPSILRRRKREKPSPVQDSNLKTNILNSDGFHTPLGKCTAESSHSFKTATFLSLGPADNEGLSAALGSFDVSPPYRLRSKRLALLKTVEKKHLDFSSDGMDNCDTPDNMKKSVSWNTECTNSHSDVSSMQEKKMKEHMIGLETLAKESAQTIKYSKTRWASVPDVSKLTNVPKYKKKRHLEKQSLLQLY >Sspon.05G0018300-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:79068507:79071557:1 gene:Sspon.05G0018300-2B transcript:Sspon.05G0018300-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Embryo defective 2739 [Source:Projected from Arabidopsis thaliana (AT4G14590) UniProtKB/TrEMBL;Acc:O23315] MPPPFPPSPPPPRLLAGGDHHPPPTSASSPEQPFLSAHLVLPSPSPSPADLSSPHLPLALAFAFLTQPSPLPRRLLVVLHAAGARFPAFYHAFASALLSLPFPLLLPHPRTRLLLAASELARAAAPGFAPLLASLLRRVPFPGDARLLEVLHEHAAFLADEEPQLLATAVFAFLRLLSRNRLAPAAGSVECKDCEECKGAKNLQECRGRLVSFCVSVLRDHFQVCALLGRDLVRSLHELALVPEFQLLWRDLILDRAADICRIGTPRWCTAMAITSEMEMQLLFMMNNVKWGDQKRYQLWFARNHLMVPGGEERIPDIVRFICCGYHPTNEVMQSGVIARWAVVGWLLTSCSKGYVVANAKLALFYDWLFFKEGRGSVMNIEPAMLLMVNSVSQYTDITNMLLEFLFLLIDNYDVQRKEAIARCVRSAFGVLVKKGVVPSLEPLTGCDKLSPLLRQKLLAFLSNTCEAAEEACGKPINELGPRSSTINSAFGS >Sspon.01G0056430-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1C:89417322:89417785:1 gene:Sspon.01G0056430-1C transcript:Sspon.01G0056430-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding METDAGSGTRLSSSSSCGGDAGGYTGVDLALLEGSNPGHLRRSARVTPWCAASCSPLNAVSKRYGSIPEARARGLAPRCSRRGSKAAAVQPTPSDRVCVIN >Sspon.04G0005440-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:15300817:15302425:1 gene:Sspon.04G0005440-3C transcript:Sspon.04G0005440-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGSSGPSCRAAICGIVVLLSVIAFSCSLAAEFRKVKEKDMKLDGSLCSLPKSSAFELGVAAIAFLFVAQLVGTTAAVTTLCAGNPKKSSAARGRAAFVALLVLSWLSFAVAVILLATAASMNHGQRYGRGWMDGDCYVARSGVFGGAAALVVVTVLITLGLTFATESAAAAGAMATTPASSSSATCARTHLDAASADAEQPGGRSKQ >Sspon.03G0007100-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:19276975:19279301:-1 gene:Sspon.03G0007100-1A transcript:Sspon.03G0007100-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MERLLAGLLGFLLIASVGSHAARAPDQYWKSALPDTPMPTSLSQLLNTPAGGGTTVNVGWGGVHVDAGHGKPGGTTVDVGHGGVGVNVNPGSSGKKPGGTTVDVGGKGGVGVKVNPGYGKPGGTTVGVGKGGVGVDVNPGYGKPGGTTVGVGKGGVGVNVNPGKPGGSGTTVGVGKGGVGVNVNPGYGKPGGTTVGVGKGGVGVNVNPGKPGGSGTTVGVGKGGVGVGVNPGYGKPGGTTVGVGNGGVGVHVNNPRKKPVNVNAGAFVYNYAASETQLHDDPSVALFFQEKDLLQPGKKVTVQFANTATTGAKFLPRSEAEAIPFSSEKVPEILTRFSVDPASVEAAEMEQTLRDCEAPAAKGEKKACTTSLESMVDFATASLGTSHVRAVSTVVAKEGSPKQEYTVTGVKRAAGTDGDGRLVACHAEPYAYAVFACHLTQQTRAYSVSMLGRDGTAVDAVAVCHADTSGWNPKHVAFQVLNVKPGTVPVCHFLPQDHVVWTRSG >Sspon.01G0021520-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:78667546:78668721:-1 gene:Sspon.01G0021520-3C transcript:Sspon.01G0021520-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MACGGRGGTGWSSLPADLLLTVFVLLPSDADRIRFRAVCAGWGAAAAAWRPRPWLVGSRTDRSGRGAGAMSSFWLAPSGGLLPFAANVPPGLEYLSSSSHGYLALLDPRVSPKAILLLNPLTGRRVHLPPIGFFSNWLDLTTVVLSGDAATAAEWAAVAVGFPTTCLAYYSSATGAWARLDFRVPGYAGVEHYEGRFYVAFKSRICICEVGGDAPAVIPLEHVADADGDASDDDDKLPGGVRRAVDTHLVECGGQLLLVSVQDDVVYKSDDDVVDMAGLAVDDGANKGGDARAVEVHRVEWLGDGAVRLVRVVDIGWNNLFLGRNRAFALSGAEFPCCRANCIYLVDRQGHPDGVVRVLGMDNQWARHEETICPDDGRRGWARRGWFFPNY >Sspon.04G0013710-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:54363488:54366865:1 gene:Sspon.04G0013710-2C transcript:Sspon.04G0013710-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPAEETPAVEDTASPPPAAARSRGFWFLGEDKSVHKALGGGKTADVLLWKDKMTSAAVVGGATILWVLFEIVEYHLLTLLSHVLIVALTILFLWSNATVFIKKSPPDVPEVQISEDLAVNIALALRADINKALALLREIALGHNLMKFLGVIVALWILSEIGELCDLLRFMYIAVLIFHTLPLLYHKYQDQVDDFAAKAHRELCKQYKVLDAKVLSKIPRAPPKNKKQN >Sspon.01G0016340-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:60878255:60879058:-1 gene:Sspon.01G0016340-2B transcript:Sspon.01G0016340-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVGLAKCADCSRKNMKAEAVFNGLKVAVKCKNADGVFVTKALGEVDKSGAFSIPLAADLLREDGELKQDCFAQLHSAANQPCPGQDPSWIVGPTSDSQYDDDKMKKTFVAVAGKVHYPSKECASAFLCYHFFKKHLLHKKPIVIIPHIHKKPVPEYKPPTTTTPVPVYHSPVPEYKPPHPTPTPIYHPTAEDKTQNPETDPEKFKKLLPFIKKNPFFFPKFPKFPPGKEEIKA >Sspon.02G0017090-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:48423780:48425470:-1 gene:Sspon.02G0017090-1A transcript:Sspon.02G0017090-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPCPITFPHCSLGAAITALMPATIAKLTFSTDSLSKPIEFKRRPLSLSSRRPCGGRQRQRQPQEEDMFPPGLIHHRPDAPAPGDGAPRSGPGGPSLVLTADPKPRLRWTADLHERFVDAVAQLGGPESEPLLSSLALQSRSLVLALEWRAMRACWLVHPLGVLLGLAQARFRGARE >Sspon.01G0060700-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1D:82110703:82111023:-1 gene:Sspon.01G0060700-1D transcript:Sspon.01G0060700-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATNGKEVKEKVTADVEERAGQRGGQGGGRRGSQKWRRGNGWLSWEVWATRRKARLHGEGIAGMRKKPLLKIATEKLGVGGNLGLFMMKNFLKSTSKQGHRACLV >Sspon.06G0014720-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:65859796:65862532:1 gene:Sspon.06G0014720-1P transcript:Sspon.06G0014720-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDATTAAAVRRLLLPLRALPPAPRGAAAVSARPRQRSGPRRRVRVAPALASLDRAAVLLDAAAAVAAGGTGYSQASYYTSLGLFVLSVPGLWSLIKRSVKSKIVQKTFVKEEGESMAPKQVAGEILSFFTRNNFTVSDRGEVITFEGTMIPSRGQAALLTFCTCISLGSVGLVLSIAVPEGGNNWFWLMTLSPLAYVSATQLPAIQNESSRTNVVTDPS >Sspon.03G0019750-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:84035929:84068045:1 gene:Sspon.03G0019750-2B transcript:Sspon.03G0019750-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAHGGDYLQRFVAETEWYNEVVLSAVAPGDWWRGLPHPVQSWMRNCVGGYLLYFISGFLWCFVIYYWKRHAYIPKDAIPTNEAMKKQIVVASKAMPFYCALPTLSEYMIESGWTRCYFNISEVGFPMYLCYMAMYLTFVEFGIYWMHRELHDIKQLYKYLHATHHIYNKENTLSPFADVGGVQCSTGLMTDRMVDNYSMGRSLIVNNQAEVQGSTDAHANKENRVPAVKKRSKQWGLVIPERKSKRHPQDGTTMLEKAQALKEQNNLEISKDLGNYVSPREKGGVFTAIEETEGHFYKPNKNLWLSQ >Sspon.04G0005420-2D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4D:16183396:16186951:-1 gene:Sspon.04G0005420-2D transcript:Sspon.04G0005420-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARERDGEGRRAHLTMVGVQLVYAGYHVIAKQALNVGVNRVVFCVFRDLLALSVLAPLAFFQHRVSPAQARPPPLTWRLVGSFFLLGLT >Sspon.01G0003980-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:9347988:9348607:-1 gene:Sspon.01G0003980-3D transcript:Sspon.01G0003980-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGRKPMRRRRSDRQPPPQSFGATARPSSPRSFASTPASAAAVAADLDELLLTAPPPSASEPRSFSYAVKQQCWEKAERVPGRDPERWRRDALGNIVFRKLVGCPGCLCHDYDHIVPYSKHITDPVKLTGREEHIGELPGFA >Sspon.08G0015360-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8B:56573796:56576076:1 gene:Sspon.08G0015360-2B transcript:Sspon.08G0015360-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RLCSIPGLEDEVQCLLFLLCSFETCAFNARYFPVRLRCVRMLNRIAEATGTFIPVSSLLLDMLEMKELRGRPDGGVGKAVNLFSVKQQSFPNRQKGRTLQPLSKYVATLHQRAQDRMDALDETSVIVGAESSTFSRRLSEAQKQQDEQDNDEGTIAFSKNWLAENKKLKTPKRIKSDLGRMMMLLLRRIGLRIWS >Sspon.04G0015970-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:68151022:68154393:-1 gene:Sspon.04G0015970-3D transcript:Sspon.04G0015970-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGERGESSGERQGTVERRMLRSRYHAVKNLISDERDEMARAGSDRFTAIIQQVDCLHELVQRPREQIADAEALLGIASTLVTSVRSQSSEGITPSDFITALLKKFGQQGTPDDESVSLRWGDLGHSVSHIFRPMPGCCTMLGPMDTEVKQRKVAAVGRKRTARPTENTCPEELADSSEEVKSDTDRNVLVIFDVLRRKKSTKLENLVLNRLSFAQTVENIFALSFLVKDGRVEINVDNNGHHIVRPRNAPAASAIASGEVSYSHFVFRFDFKDWKLMKEAVTEGEELLPHRTSQSALCNEENDQPNTEARVQITPIRKLSRNRGLILQDHVVEETPEENQTSKRRRLFRDHD >Sspon.07G0002730-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7A:6551750:6552551:1 gene:Sspon.07G0002730-1A transcript:Sspon.07G0002730-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMFSSARLALRRAASLGAGLCRAAEDPFSRRLLHGQLLPRSFASDAFGHNRKEKTEKASEVKISKLPGSAALKALYDL >Sspon.07G0019870-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7A:73549439:73549664:1 gene:Sspon.07G0019870-1A transcript:Sspon.07G0019870-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVNSSVVGLKPAAAVPQAAAAGSSPAKRVQLAAPEGRRAALLGLAAVFAVTATTGSAKAGIIDEYLEKSKANK >Sspon.01G0008190-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:22991188:22995191:1 gene:Sspon.01G0008190-1A transcript:Sspon.01G0008190-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding PMAFTSQSLIFRAPASPPCARIAPSVGRVTLRDYRAAFPPVAAVSTSMASSESEDKREAKLWGGRFEEGVTDAVERFTESISYDWQLYKYDIMGSKAHASMLAGQGLITATDRDIILEGLDQIEKLIQEGKFEWRKDREDVHMNIEAALIERVGEPAKKLHTARSRNDQIVTDLRLWCRDAIDKILIRIKQLQVSLVILASKYVDLIVPGYTHLQRAQPVLLPHLLLSYVEQLERDAGRLVNCRERVNFCPLGACALAGTGLPIDRFQTAKDLKFTAPMKNSIDAVSDRDFVLEFLSANSIAAVHLSRIGEEWVLWASEEFGFLTPSDKVSTGSSIMPQKKNPDPMELVRGKSARVVGDLMTVLTLCKGLPQAYNRDLQEDKEPLFDSVKAVLGMLEVCTEFAQNISFNSKRIQSSLPAGYLDATTLADYLVKQGVPFRTSHEIVGRSVALCVYKQCQLAELELDDLKSVHPVFEGDVYEYLGVENAVNKFISYGSTGSEQVKKQLEDWRIQLGISS >Sspon.02G0018550-3C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:62547168:62560593:-1 gene:Sspon.02G0018550-3C transcript:Sspon.02G0018550-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEEEVHIAVGKNSKKEKANILWAAANFPRATIVLVHVHWPSKWMPFMGGRVSYKFADEKEKEMHRGREMKVELPHTSSRCQSEHLFASETAAAVRDCLPPNHAGELGNSNLLATIFHAGLLANFVVTTSGRSYQTVALHCQTDVKPSNRCVTALLLTTFLRRGHYGQGFHLRKTHCAQGRGPFDERFRQRKRRPKASPSSAQEGQGFRHGASAPCAVATVLLLHQPPTTDGRVTGTAARVRRCVAGHRQRLPDIAAGVTPSTAGRRAEPSTNPGPSARRRPRLPDVPGSPPPPRSSASSSRIRRLSCRLQPDAASSHPTTPAPPRAAAHAGCAPTKSKRGAPDLASRAPDPVTGAVDPRAGRRGNHHHRRKELPQGGEKEGTREKGPAATILAGCAASGSTLWQRRGGTGREGREVGGGENDILCNVSAHYLTHDDTVAGVVNLIKKLKIKRIIIGSSNDHLEHSENIGYGGSSGILASIHELGEESDGYVTPPSDLVDEIMDEESTEMNDSDQLVTEDETLTEQGTEESVTSEEMENFFEEGADQSDEIQSFRNITEKAEKIMEEIERLQKKLKQLQGEELNHGERSLSPRQMAASLKRKSLSEPRYPELQIPENIEQFSMSQIEKATDNFHSRNFIGEGGYGPVYKGKLGGTSVAIKLLKPRGRQGFSEYQQEVVVLSELEHPHIVRLIGVCPESCGLVYEHLPNGTLMDRLSKGLLWKERVRILAELRSALAYLHSRRPHAIIHADLKLTNILLDAGNASRLGDFGTARAVHVKPLEEETISRRTNPMGTTGYMDPVFFMTGELTTESDVYAFGVVILQMLTGLLDLNIAEQAREAVKMDAVHSVLDASAGPWPEVQAEKLMKLALRCCSLERKRRPVITSDAEWRSLDILRAMATPSKPPVLGSVSITMSPLDAKLILDEIAKLNRRFDESYARMERCFSRTLTALEPLADAVELRVGSPEQFGATQPVVADNWGGLFVEKPAFFSATDTTFTVPDAPACITPAPPELEEKLQDDDIAAPEEALSKRPPDAAFDSKLSGTVGKATSTDTPRLSLMYPLPQTDLDRLYELGRRKLKQVVSPIEPVPVELALNILDDTRVVTLQRATGTHSPRLMERMADLNASYGGGEVEFVGVDMQRIHNGLMPDDPGLLSCVRLTFRPGFVERVLRASAPGGYDGSLDDDTEFGIPHVVALLNRAIGRDGQAPAAAAALRSNAVGAAGGGLLAWEMAVGSAQSTCSTIFLAGDSSFAEVFLVRVTELSITAVSAPLAYTVAPHREAAATYIVLVPVAAIVVFANGGKPGFHLSIINDASAISADAFTRIMKDVLAHHGEVSSPLLLRGHGPVHAYDAASVLYDPAATTPHNWFALQASQHVVEFVTDSIELSYFHAMLLFVDALPTPDVTMEHASPGVRQVFEVLSLHADIFCNFSIVFELLGHMSLEVNRHQPWPPPDELRLTGCAAELRPTPWPSFNMSARCSVSRCCGCIWKPPGPLQLRKQIRYRAVTSAYYRGALGVLLFHDIPRHPSFLHITRWLDAQAHADKNIVIMLVGNKSDLEEQRAVSTDDAEEFAERENLFFMENEISVHVLVMSMSHLVDQSDEQNISSVADLEPSEIWKWSTDFPDMLVVLLAERGVDALDEVDRIAADAKLLGTLTIVDILAFTRAIYASRTTITHAKPLVDRSSRSEAKVVIIGKKGGALTHIATENGHAKMLKLWQHKADYNAGNPATAQFIMLLLATKILVIQLIYYNKRYGVYANAQVGVDAKMTLSVAPATAGARRGISTNTWAAGRAAAQTFGDHCGAWRECATDWKAFPTSFCFSPPVHRSLLWPSFPRGDDWASAAAVLQVLPGDGHPPELPRPCLQSEMNASRKSNHVSSKDSAETARDIITTSGQIQPLKIPDAVAALAQAAAKANGETEKYLPGWPLFSPPKVQLDKCTKCSREFCSAINFRRHTRVHRRTLKIDRDFPKNRDLLAAFWNKLTVDDASTILSLTGVVVEGVTGSSILTALSSWMCKPGYASLPMAYARAGSELLVEAWCADKTAEALRCQKLLEEEEEAAQKRQAELMERKRMKKLRQKEQRLKNLKDEDVTVQSPEIMDDATCSTVIQSVKSISDPDHFEQEESQYLQFPAPITSETDNVFNVDLLVEDICCDLGPEMDK >Sspon.07G0005280-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7A:13497224:13504502:1 gene:Sspon.07G0005280-1A transcript:Sspon.07G0005280-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGARQSEERLAECFDVFDDARSGSIPAEQLRQVMVTHGDRLTEEEADAMLREADPRGEGRVEYKHYVKHATIEIPYLLRPSQLHGCIHPPYSAALPPLPSRAAPHSGALSPPSPVAPPSSAAPCRAPSSVALRLDNSGSLQPNAYNTLQPNAYNTLERKEDYIQIVASFSRSSSSPAAGTVRAPGPTRGRFILHGSTRKKAASVSPTPPADPPQAPLPTPTKRSKRVGSHLGSAAGNPNPNIYLIGEGMYTGHRSPVSPPPPTPKRPLEAKRRRRNRRRRRSRPSVALFFAVEGRETKGRESPLTNARRATDRLLLLSTSPSATASGLTTSRLESAERKELVAALVSMAATAVAVTTSVCTSSGRSRGGPNVRPQARLACSLLLVRPPSPRRRLRMALAASSGKQKPPGEEEEKVPAWAKPGADEPPPWERQGGAARGPEEAGQVPFYAYLLASAITAIAAIGSIFEYTNQRPVFGILGSDSALYAPILGFFVFTGIPTSAFLWFKAVQTANREAEEQDRRDGFL >Sspon.01G0045010-1P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1C:81828663:81830678:-1 gene:Sspon.01G0045010-1P transcript:Sspon.01G0045010-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSYPTVSSNSNSNGHVASSNSSSAKIYGPHPCEVSSNVKSDWYGTNVTDYSVNSWIASDVTLNYINKLLMQEDNDDRVRLHHGEYALRAMEEPFYKLLGQNNPVYPHQLPFCNCDRLKNINDSISKSCSICSVAIDSSSSHSNHNFQAFEAPWSLSDIVKEKKQFTQGTHSMELSLNVDGLSIAEKRSRDDQSLQVNVADKSNHASSEVRSGSYSRTEDFHLLEGRSSKQFAVSFNGTTRDEMLDRVLLFSGHKLTDEGIVLREMMANKSTRNSQNDQGRTSACRKTRVKKQHKKEVVDLRTLLTHCAQAVSVNNYTLASDILNIIRQHSSVSGDDAQRLASCLADCLQVRLAGTGGQLYHKLMTETSNAVDTLKVYQLGLAVSPFMRAPYYFSNKTILDVSKGKPKVHIIDFGICFGFQWPSLFEQLARREDGPPKVRITGIELPQPGFRPNQMNKNTGQLLADYASMFSVPFEYKGISSKWETIRIQDLNIEEDDVLIVNCLYRMKNLDDETVSFNCARNRVLNIIRMMKPKVFVHGVVNGSYSTPFFLTRFKEVMYHYSALFDILDRTVPRENEARMILERDIYQCAILNAVACEGSERIERPESYKNWKLRNLKAGLEQLPLNPDIVKVIRDTVGQYHKDYVVDVDDQWLVLGWKGRILRAISTWKP >Sspon.05G0007170-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:16660614:16661974:-1 gene:Sspon.05G0007170-2B transcript:Sspon.05G0007170-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPAASISPICCVPFPSSAPRRASPSSLRRLPRFAARSSGGGGGTRPEPKPDDNESKAVLDAFFLGKAFAEALTERVESVVGEVFSVVGQWQAEQQKQVQEFQEEVVQRAQKAKERAATEVNDDKGTKSLREPSATIVTTTPMPSSSPPATPTQTE >Sspon.04G0019870-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:70145951:70148556:-1 gene:Sspon.04G0019870-1A transcript:Sspon.04G0019870-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MYACCVTRIFCFPFCPSTSPPSPSTRRRHRYLDLRVAAVVVPTSGRACLGSAAEGCHHPRSAIRRRGASQASQRVDLVGLRLLAPDLAKLPDPPLSATEPRLAVSTPPGPADREEERDGAPEGATLREVEGPLEGGSDGRERGGSGGRGATREGDDRVIGIRGEAAGQSSSIRARGR >Sspon.05G0037780-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:22537567:22546576:1 gene:Sspon.05G0037780-1D transcript:Sspon.05G0037780-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPQTTAAGGGEEEASTLRHRHTAAKNGGGGEEDGVAASESQLLAGEGEGNAALSVERAFAEQAVPSWREQLTVRAFVVSFFLAVMFSIIVMKLGLTTGIIPSLNVSAGLLGFFFVRLWTAAIERVGLLKQPFTRQENTVIQTCVVAAYDIAFSGGFGTYLFGMSETIAKQATEADNPQNVKNPHIGWMIGFLFLASFIGLFALVPLRKVMIVDYKLTYPSGTATAYLINGFHTPEGAKLAKKQVKTLGKFFLYSFLWGFFQWFYTGGDNCGFQNFPTLGLQAYKNRFYFDFSPTYVGVGMICPHIVNVSVLLGGILSWGIMWPLIRNKKGSWYPASLSESSLHGLQGFYIHRYNSWRRPVQLCEGAHSHYHGVYIDDEEKQQLPVSNNGSPITEAVSFDDERRTELFLKDQIPRSVAYGGYVAVAAISIGTLPQVFPQLKWYYILVAYIFAPVLAFCNAYGTGLTDWSLASTYGKLAIFIFGAWAGASNGGVLVGLAACGVMMSIVSTAADLMQDFKTGYLTLASPRSMFISQVIGTAMGCVIAPCVFWLFYKAFSDIGVSGSEYPAPYAIVYRNMAILGVDGFSSLPKNCLTLCYIFFAAAIVVNLIRDLVPKKVARFIPLPMAMAIPFYIGSYFAIDMFVGTVILFAWQMINRAKADAFGPAVASGLICGDGIWTLPQSILALAKTTTMATGTAAASASGGPAAHAGADALESGASLLRRRHAGGLAGADDDGYGGGNAEEVVVASVEQAFADKPVPSWREQLTVRAFVVGFILSILFSVIVMKLNLTTGVIPSLNVSASLLGFFLVRLWTKAIESMGLLKQPFTRQENTVIQTCVVSAYGLAFSGGFGSYLFGMSRSIAEQATEANDAQNIKDPHLGWMIGFMFLVSFVGLFVLVPLRKVMIVDYKLTYPSGTATAYLINGFHTPQGAERSKFEPWASTSHSVSFGLSSSGSTQLGTIVDSPPSHHSALKLTKTSRFYFDFSATYVGVGMICPYIVNVSLLLGGIISWGVMWPLISTKKGSWYPESLPDSSLHGLNGYKVFITISVILGDGLYNFLKVFSHTMCAFISMYWKKHANTLPVSDNGAPPATTETESFDDKRRNELFVKDQIPKSVALGGYVCLAAITIGCLPLIIPQIKWYHILVAYIFAPILAFCNAYGCGLTDWSLASTYGKLAIFVIGAWAGASHGGVLVGLAACGVMMSIVGTASDLMQDFKTGYLTLASPRSMFISQSFDIGVSGGAYPAPYAIMYRNMSILGVDGLSLLPKNCLTLCYIFFAVSFTINLIKDLVPQKVAKFIPIPMAAAIPFYLGPYFAIDMFLGSVILFVWEWKNKAEADSFAPAVASGLMCGDGLWALPQAVLSLANVNPPICMKFLS >Sspon.05G0018130-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:76295871:76301548:1 gene:Sspon.05G0018130-1A transcript:Sspon.05G0018130-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRALWRGDLTWRSQAVVRSRELARAGTPAGQPAAWQRRWLLAHRPRGNLPRPDQEDCGGMFLDLERDKGILQNGKAIAVKKLSEMQLEDGPFQNEVIYLIGLKHKNIVKLVGYCAESRWEATQVSGKYVMAEIRKRLLCFEYLNNKSLDKHLSELMTGSRDYPPSSEASFERFIKNVVENWRNNMEKAPKYIPLEIESRQDTEIDTLGYSVGMTNPITMLNRSNISSLFSSAKGSKISILAIEVANTIVKSSSLMKILSKQSMEHLKEGVLRSEGVRRLISEDHNQLIVLVEADIWQMLGNFAQSLAAREMKTLKKLLNEELVSNMEYLTKLEKYTSELRGKLLVWDKCEKGFQYELLRHYVSGRSKRDQRNMIQTMRRSLKHQRSVAKNLGNKSLWPKTMEDIVAKLVDIVHVLNFEIRRAFLKNHGDQSDQSVAPVTNLHQTLGSTGLALHYANVILQINALTMNVAKVRDEMDRILQWLVPVAESTKY >Sspon.03G0002070-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:19380597:19383077:-1 gene:Sspon.03G0002070-3C transcript:Sspon.03G0002070-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLPYNVTMTLGYQPGPGIPEWLNKGDNAWQMVAATLVGLQSMPGLVILYGSIVKKKWAVNSAFMALYAFAAVWLCWVIWGYQMSFGQKLVPFWGKAGHSLNQGLLLSQAALPATTHYFHNSDVVETLELTPFYPMASMVYFQCVFAAITLILLAGSLLGRMNFKAWMLFVPLWLTFSYTIGAFSIWGGGFLFHWGVIDYSGGYVIHLSSGVAGFTAAYWVGPRLTKDRERFPPNNVLLMLTGAGILWMGWAGFNGGDPYSANVDSSIAVLNTNICAATSLLVWTCLDVIFFKKPSVIGAVQGMITGLVCITPGAGLVQGWAAILMGMISGSIPWFTMMVVHKRSRLLQQVDDTLGVFHTHAVAGFLGGATTGLFAHPDLCPMFLPVTHSRGAFYGNGMQLVKQVVGALFIISWNVVVTSLVCLVVRLVVPLRMPDDELAIGDDAVHGEEAYALWGDGEKYDSTKHGWYSDNDTQHNKAPSGVTQN >Sspon.01G0050660-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:5890913:5894712:1 gene:Sspon.01G0050660-2D transcript:Sspon.01G0050660-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAAVMDYFSSCWGPRSRAGHRGKGSDAAGRQDGLLWYKDAGQLVTGEFSMAVVQANQLLEDQSQVESGSLSLADPGPQGTFVGVYDGHGGPETSRFINDHLFNHLRKFATEHKCVSADVIRKAFQATEEGFVSLVSKEWSMKPQIASVGSCCLVGVICAGTLYVANLGDSRAVLGRLVKASGEVVAMQLSSEHNACREEVRQELQASHPDDPHIVVLKHNVWRVKGLIQISRSIGDVYLKKPEYNREPLHSKFRLRESFQKPILSSEPQITEHRIQPNDQFVIFASDGLWEHLSNQEAVDLVQSSPRNGIARRLVKAAMQEAAKKREMRYSDLKKIDRGVRRHFHDDTTVVVVFLDSNAMSKASWSKSPSVSIRGGGVTLPAKSLAPFSAPAQLNSTY >Sspon.02G0024760-1T-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2A:85365613:85366659:1 gene:Sspon.02G0024760-1T transcript:Sspon.02G0024760-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPLPELFDDAIAEILIRLPPDDPACLVRASLVCKLWRRILSDSTFPHRYREFHRTPPLLGYVCSGYRKAGRFVTITTGVPFLQPPFGCRVLDCRHGRVLLDSVGGRRENLAVWDPFTGDHKLFADPDPDSFRRSGVVLCAAAGCNHRACHGGPFVVVLVNSPLEGTKAISALVYSSNRESDAWSAPASVQLPEKSHVWEKRGALVGDQIFLLTDTGILKYDLGKHCLTMISLPSCIYINRPVLMTMEDDSLGLADVNDSTLHLWARKVNLDGAMGWVQDRVVLLNNLVPIIPPTGYLHMNVIGFAEGMDFLLLGDGGSGFMFELKSGQFKKLSNPEYHYYDVFPYS >Sspon.05G0032340-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:16356356:16364593:1 gene:Sspon.05G0032340-1C transcript:Sspon.05G0032340-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFTRHKYGNMSYFQATIHKPHSMLTAYKPVAGLGRARELLHQHSLCPHSTRSFKLQEQVYPRLFLVSACHKRLGLVYASSGKENPKIINDPFSMESLNKAMAEAKRPRSIQDVLMEQMAKIGGLGSGGNGGNKNRYGRGGGGSDGPEDESFKESLYEMIQILIATVAFILVYIHIIRGEELYRLARDYTRYMVTGKRTARLKRAMLNWRDFSESITKKDVGAQEDYYGRSTSSESTWWQQPQHLVRRVEELCRGYLRPHAQES >Sspon.03G0032190-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:43541320:43553666:-1 gene:Sspon.03G0032190-2C transcript:Sspon.03G0032190-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGVDEALYEIHRHASGSHVIPHQEEYQGAATSSGSSDAGGGGVLSYLSLQGVSKLKERWARYSVLGKSRQRKRGDGVALFVSPNAEYVSVTIGNRIIILRKGDGYASPCGVYTSNDRIAFFTNGAWLEAQGIFGVVDDLSTLYLIKENGELLARRTCDQLKLSSSIIDLVLQDGSSLLRPGFYIFTSDCLVHRFDYTEEPEASLCEVPISTKDVMSAKTIQLPRSLSCIDYHQRHLLFVLVGDSNVSFSSNSYSGTYFMYLLHVNKNLELSLSFQSLQLEGVFSPLKDQRTFVSSPKIRISPQGKYIATLDLTGIVNFFSLDGDMRIVSLHTLGNGRHLIDVKDISWWTDNVLMFVRKDGSISMYSVTEDKIVSKDDPVLSSPVLEKAKATEGHAFVLQSKRYGTNTPVNNQMDSDSEPSLLSGSGEHQQTEMAEKSWSLISFSKVTVAEMYSVLIREKRYEEALDFASRYNLDKDEVLKACWLHSDGGTHEIDLYLAKIKDQVFVLSECVNKVGPTEAALRALLSFGLCITDRYKFSELGNSSKGSTWDSRIIRLRLLRHRDMLETFLGINMGRYSAGEYSKFRSMPLVETAIALAESGKIGALNLIFKRHPYTISSDILRVLSAIPETLAVQTYSQLLPGKSPPSVIILRDGDWVECEQMASYINNFPAELDKIGEVKTEILVKHSKGFSWPSVAELCEWYRNRARDIDCLSGQLENCLAMIELACQKGIVELQPFFDDIKCLYQVVYSNELNEFTMNLLTWEDLPDYEKFKIILRGVKEDTVVQRLEENAIPFMKKGLYLTSSNNERKQESYLVRWLKEVAAENELLICLAVIENGCGESPIYGLFKDLAEMIETAVHCIYMCSATNQWNTMSSILSKLLYKTKREKSLVASEEDCNLKDAKHALGSSVVSYEEMQCVCADILSGLGNAPEDFHHYDSVPYEHNNSKYLDILEKRLKVAEGHVEVGRLFAYYQVPKPMHFFLSAHLDEKNVKQIIRLLLSKFGRRQPVRSDNEWANMWRDLKLFQEKAFPFLDSEYMLAEFIRGLLKAGKFSLARNYLGGTSAVSLSTEKAENLVIQAAREYFFSASTLSGNEIWKARECLNLLPNSKNVQAETDIIDALTVRLPYLGVKDPMEIIRMVITSQTGAYLHFEEIIDVAKLLGLRSEEEVADVEEAIAREAVVNGDIQLALDICLNLTKKSHGAVWDLCAAIARGPPLDNLDTGTREKLLGFALIHCDEDSVGELLNAWKELHGHGKFEKLMITTATNPPNFLIDGSSITPLPVQSVQDILDLRDDSGHDRHRDHAEIVKDMLSKVCLDFSNGDTHNWESMLEENRKLLSFGALELPWLLKLFNNEACDGEITDHPTRRCRFSTKVEAAISIIYWLAVNGLAPNDNIIMILAKSIMEPPVDEEFDVLGCSVLLNLMDPFNGVKIIEEELKRRECYQEISSIMSIGMLYSSLNNSKKECSTPEQRRNLLLHKFHEKFTSADTDDLDQIDMANTTFWREWKSKLEEEKQLADQARMLKQILPDIDTSRFLSGDVNYIKRVVYSFVGSVKLEKKHILKEAVRIAETYGLQRTEVLLRFLACSLVSEYWDNNDILNEISEFREDIVRSAKGVIDMIYSDVYLEIDGYNKQRLSYIYGILSACHSYLKRTNEIELRYPEHVHTHKLEPFQYYKVLEEECKKVCFIDGLNYKNIAGLDNLNFEHFNEEVCKNIHASTVTALANMVQSLVSMYVDVLAKGLISQQGVYKHYVLGLLASLEGRSEAQSNCTDYEKFQAALCEVELNYDSCREYIQALPATDISYIVGRYCTLCFPSNLARNHPQEPSWRKPLATLLAFWSKLVDDILGDSIDACSYERTDYLNSNRLSLCIGVFRQLLINDEIALHQGWDAISMYVKDCLRCGMMMGTSCFCRAMILSGCRFESVVEVYFGGQGQLGSENADSSNYLDILELYNAATEECLSDLSEESCEYRLLLHHLLSSLSRSTGKHAGTLEMVRSGVWGKLIRFSEDMQLESQLRVYALQLMQCITGRNLKTLPNEMVCQVEPWESWYEHGAGAAMADESINSSISITGTLVALRSTQMVTAVLPDANITPENLATLDSAVSCFLNLSEHASAANVAVLEAVLEEWEQLFSPKEEHVVPHESPKETSDWSDGWDDGWEALPEELESPKNKQEGMLLSVHPLHSCWMEVMRKRVELGELHKALELLDRASAKHSVFLEEEEAHSLVELVSALDCFMALKTVLLLPYEALRLQCLQMVEMKMREGIVSTSSNADDHELLALVLSSETMQKITTEEAYSKFFSYICHLVGHLARSFQTDLLIRWNDEGTSKINRSLLFGRVLFPCFVSELVLRGQYLLAGFVISRWMHTHPSLGLMDITETSVRRFLQGQVAQAELVGRSDAAFTDGEVCVRHTISTLQSKLVSLLQSALAALPNQEL >Sspon.08G0005520-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8A:16778287:16783527:-1 gene:Sspon.08G0005520-1A transcript:Sspon.08G0005520-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRPPPPPPPSYFQVFPSLPSPSWAQVASPPSAAAPLQPVPAAGALPAASALPAPAAGTQRLPAAGAQPLPTAGAQGVQPLPDGAAGAFSQLRPEHLPAAALLPGLQAAARPAGLSPAALTALAGLTVGGATAVQHPWPAAALTVVGGAAAGGPSAPLDSGEVVGGLPVTASGNPDPPLVVTDRPEAATFPGARPDSALAAALVAARAAAAEGQAQVRAAALVWEHERDAADALARQIAEAERLLSPASPVAGATSSASLGRRVSHTAVLWHDPADPLVAQLHYQAGGVQNIRLLVPVVLEPESPSYARWQDLVLLTLRRYALDDHVLVDASVAVQTPSWLRLDSIVLSWILGTISLDLHDLVRTSPDARRAWLALEGQFLGNAKARALRLDASFRTFVQGDLSVGEFCRRMKGMADSLGDLGWTVEDRILVLNVLRGLSNHYAHLRTWITRQRPFPTFLEVRDDLVMEELTQGLQPGSTTSTGSSSSSTALAATSPRPSAPPRSTAPPPPSLLGPPPSGPSEGGGVVVVVVAAEGVAGAAGVDIPRLWHLVGRRGGTMALLPEPVWRISIWPYHAPGADSRPPVAMLTGAPPVGPPPDAFPATAWTPPSGTLPGVAGWDQAALARSFSTMGLTPPVGPEWIADSGATYHTTPDPGILSSLQSPSSSLPSSIMVANGSCLPVTFVGTAGAHGSFRLPDVLVAPSMVHNLLSIRHFTADNSCSVEFDSSGLTVKDLASRRPLLRCDNTGPLYTLRFPAAAPSSSSPSVLSAAFAASTSSTTWHRRLCHPGRDALIQFGVTIKAVQCDNGREFDNSTSRAFFLSHGVQLRMSCPYTSSQNGKTERMIRTTNDTVRTLLFQASLPARFWAESLHTSTYLNRLPSAACPAPTPHHTLFGTPSRYDHLRVFGYCFAELLAGLLSRLPGGSGPVVSWRDLALSGWRRPGAFVPRFCPGGPCRSPAPDSAPAPLSRFSAPVRVYQRRPPPLAVPSPPGTPTPPPQSPPTRGAPPVYHPPLLHRHPRHVHPMVTRHAAGTLPPHALAASTGDAVVSPVPSSVRDALLDPHWRHAMEEEYAALLANQTWELVPRPPGSNCPGVDYDEIFNPVVKPATVRTVLSLALARSWPVHQLDVKNAFLHGLLTETVYCSQPAGFVDSTRPDMVCRLNRSLYGLKQAPRAWNHRFVAFLLTLGFVEAKSGTSLFVYHHGADTVYLLLYVDDIVLTASSEPLLRQIIAALQQEFAMKDLGELHHFLGVTVEHRPAGLLLHQRQYTRDILEQAGMTDCNPCSTPVDTQGKLSEVEGPPVADPTAYRSLAGALQYLTFTRPDITYAVQQVCLHMHDPREPHLTALKRLLRYLRGTLDYGLLLHQASSTDLVVYTDADWAGCPDTRRSTSGYAVFLGGNLVSWSSKRQPVVSWSSAEAEYRTVANGVAEAAWLRQLLAELHTPPSRSTLIYCDNVSAVYLSTNPIQHQRTKHVEIDLHFVRDRVAMGEVRVLHVPTTSQFADIFTKGLPSSTFAEFRSSLNITGG >Sspon.05G0003310-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:10052166:10062675:-1 gene:Sspon.05G0003310-1P transcript:Sspon.05G0003310-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPEAASSTGTGHIAARFRRPLPAERPHVRRCTRLLCSAFLTVLLIAGVVLFVVYLAVRPHRPRFHVMAFSASGIAPSPGGAGGGPVALSGQLSIRNPNRDIAFFYDRFYLSVEYRGADVVKDQALTAAPLYQPPKTTSPLTFQGVAASAAGGDMARDAAEAGGRVEMMVKVRSRIRARLAFWGNRHWHPLNVRCEAASSTGTGHIAARFRRPLPAERPHVRRCTRLLCSAFLTVLLIAGVVLFVVYLAVRPHRPRFHVMAFSASGIAPSPGGAGGGPVALSGQLSIRNPNRDIAFFYDRFYLSVEYRGADVVKDQALTAAPLYQPPKTTSPLTFQGVAASAAGGDMARDAAEAGGRVEMMVKVRSRIRARLAFWGNRHWHPLNVRCEVAVGTDGQLLAEYLQKRCSIDFL >Sspon.07G0038140-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:71697415:71702836:1 gene:Sspon.07G0038140-1D transcript:Sspon.07G0038140-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVLVMLCFAILQWKNSKNEMSFWNNMGNYTRLAVVLRDDHVQTWPGEAWPTQSRFPPPLHPQDLMRTLMNGSSMNDSEEPNQQDEPLRCADGT >Sspon.07G0024490-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7B:25531852:25532616:-1 gene:Sspon.07G0024490-1B transcript:Sspon.07G0024490-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chaperone protein dnaJ 49 [Source:Projected from Arabidopsis thaliana (AT5G49060) UniProtKB/Swiss-Prot;Acc:Q9FH28] MDGNKDEALRSVKLAKSAFASGDRQRAEKLVKIAQRLDPSLPLDDLLSPAEKVGILNSDTCKEKTGRGQARVDPKTPKESVGPLNVDQAYTEENIRVVQDIRKKKDYYAVLGVERRCSVEEIRKAYRRLSLKVHPDKNKAPGAEDAFKLVSKAFKCLSNDQSRRTYDQTGTIEDHEFNEQYPNVMRRGAARRRRPARSGFYNYEEDFDPDEIFRSFFYGTHDNLFHAQNAYRARGTGRQQQQRREHSVQGGSDIN >Sspon.07G0028100-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7B:64314228:64314629:1 gene:Sspon.07G0028100-1B transcript:Sspon.07G0028100-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRLRIRLQAQVGKQGAEITLVEDAAVVLGSVVGEAGVPDQRATRCRSASHHPPALPFAPRRREELGPQSRTRETKRPWWRIGGGAPHTARPVAASPRRLGQRRRGGGVKASPRLVLGRSAIASAGRVAELGF >Sspon.05G0032600-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:31450725:31465081:-1 gene:Sspon.05G0032600-2D transcript:Sspon.05G0032600-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTVLEATRAAHEDLERLERLAVRELQREPANPRDRLFQSHRVRHMLDLVVSTSDKLVEIYEDKDNARKDEINTHLTAPTQSGLFSKYYERLKEIREYHRRNLSARFVSTTDDYEELLKEEPVIEFTGEETFGRYLDLHELYNEFINSKFGTPMEYSAYVGCFSQTDKISHSHKATRQYREYLEHILEYLTSFLYRTEPLQDIEKIFLKALAARGLKSGGTVQQRAERLFLLKHTPLEQLDRKHFAKVPCTKDGSNTTSNGNNVKDDMKKEIALMEVFVRTKENAEKKLTLTYEEMEAEREEEEVQADTESDDEEQQIYNPLKLPMGWDGKPIPYWLYKLHGLGQEFKCEICGNHSYWGRRAYERHFKEWRHQHGMRCLGIPNTKNFNEITSIDEAKALWEKIQARQGVNKWRPDLEEEYEDQEGNIYNKKTYTDLQRQGLI >Sspon.08G0002460-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:3935670:3937028:1 gene:Sspon.08G0002460-2B transcript:Sspon.08G0002460-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMVLDWRSLGSLLATVVVFRTALRDFLPPEAQTLLRRFIAWVAAAFRPPHDTILIDEADGPTGGVINDLYDSAQLYLGARCLATAPIVRLHKPRQSPRPVASLPDSHTIDEATRMRLKSRERRLYTNRATGLGDLDHHRLWTSHAFSHPSTFDTLALDPALREEIRADLLRFAARRDHYTRVGRAWKRGYLLHGPPGTGKTSLVAAIANLLEFDVYDLELTTVPTNSHLRRLLVSTTPKSVVVVEDIDCSLDLSDRNKKKKKGGGSNVGADNDEDAAAQLAVMSVSPAAAAAMAVMGRESISLSGVLNFVDGLWSSCVGERLMVFTTNHPERLDRALLRPGRMDKKIELGYCTPPALRVLAKNYLGVGDEGCEDADADPDTVNTLMAEAEGLLASAEVQITPADIAEVFMGCDGDDAACALRKLVGELRRRRDATAATAVGPGESTEDTTE >Sspon.01G0028550-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:99590732:99593941:-1 gene:Sspon.01G0028550-1A transcript:Sspon.01G0028550-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:TIP41-like protein [Source:Projected from Arabidopsis thaliana (AT4G34270) UniProtKB/Swiss-Prot;Acc:Q8VXY4] MASAAAWEGPTAAELKSAGAEAIPGGVRVKGWVIQSHKGPILNAASLQRFEDELQTTHLPEMVFGESFLSLQHTQTGIKLHFNALDALKAWKKEALPPVEVPAAAKWKFRSKPSDQVILDYDYTYTTPYCGSDAVVVNSGTTQTSLDGCSTLCWEDTNDRIDLVALSAKEPILFYDEVILYEDELADNGISFLTVRVRVMPTGWFLLLRFWLRVDGVLMRLRDTRLHCSFGKGSGAKPVVLRECCWREATFASLSAKGYPSDSAAYADPNLIAHKLPIVTQKTQKLKIPD >Sspon.08G0016790-3D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8D:63007125:63007793:1 gene:Sspon.08G0016790-3D transcript:Sspon.08G0016790-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAISNHTMISGLCPPSIDRYIKNLTSSYTEKSNEASMVSASVIMFVLAGLFFNLNLFSGISDVSATLDPKVRLFLSSALSLLLPVMSYLFSEAKNAAVGASFSSSATSGRAAAELSMGAGLILAWMLLVELLRKKVDEIRMRGFSGSIQRAGRVVWLGSLVFFNIRSTGRKALFAILWILCATRVVQRIAYTEIGKNSYAHGKNARLINSYMAKAKKLEEK >Sspon.06G0018910-2D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6D:88455712:88456128:1 gene:Sspon.06G0018910-2D transcript:Sspon.06G0018910-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHNDDGEAVSAPPPPMQEQQPLPESGDGRGAQSSSSEGDRLPRRWRQRRQPPAVAEVPAAEVELAQGDVHLTQAGVEWLLANLELLAPAADVDMAPAADVEIAPAPEPAPTLKCTFSTAEGWTEGTCSVCLSELVDGEK >Sspon.03G0007010-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:26179467:26185347:1 gene:Sspon.03G0007010-2B transcript:Sspon.03G0007010-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPLIRTARCWLLRVRVRAMATLPMAPPAAAISSFTTRPLYSPSSRSHRLLLARFFSVAPAPARAKGLRAAASAVEVGGVKIAREDVVKEDDPTNNVPDTIFSKIGLQLHRRDNHPLGILKNTIYDYFDKNFTGQFDKFDDLCPLVSVKQNFDDVLVPSDHVSRSYNDTYYVDGQTVLRCHTSAHQAELLRDGHTHFLVTGDVYRRDSIDSTHYPVFHQMEGFCVFSPDEWSGSGMDGTAYAAAELKKTLEGLARHLFGKSLLNYRKMLSAGAVEMRWVDTYFPFTNPSFELEIYFQDDWLEVLGCGVTEQEILKRNGRRDHVAWAFGLGLERLAMVLFDIPDIRLFWSNDKRFTSQFSEGKLGVKFKPFSKFPPCYKDMSFWINDAFTENNLCEVVRGIAGDLVEEVKLIDNFTNKKGMTSHCYRIAYRSMERSLTDEEINNLQLNVREAVKDKLKVELR >Sspon.02G0056380-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:24926625:24927213:1 gene:Sspon.02G0056380-1D transcript:Sspon.02G0056380-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SIHFLSLARPNPLPLGVLAAAAALAWRCGQRGHEQPTVASSKQVAGGGLRGLQSSPGGQPRPPQSPVRDCSRLGKEKTAAASLPPSSPTPLLQSFLLQRREQI >Sspon.05G0024530-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:16023943:16025409:-1 gene:Sspon.05G0024530-1B transcript:Sspon.05G0024530-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEQLKRDYEIGEEIGRGRFGVVHRCVSRATGEPFAVKSVDRSLLADDLDRALAELEPKLARLAGGEGDENPGVVQVRAVYEDDAWTHTVMDLCTGPDLLDWVRLRRGAPVPEPDAARVVAQLVEALAGCHRRGVVHRDVKPDNVLLDLDPAGEAPPRVRLADFGSAAWLGGEDSVRGRAEGLVGTPHYVAPEVVAGGEYGEKVDVWSAGVLMYALLSGGALPFGGETPADVFAAVLRGSLRFPPALFAGVSPAAKDLMRRMMCRDVSRRFSAEQGTRGSRAEGESERRWCGQPEEPQSMSNET >Sspon.02G0058880-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2D:84833743:84834359:1 gene:Sspon.02G0058880-1D transcript:Sspon.02G0058880-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSTSAEAGPTRHGEKKKRMRGGGGGGGGAVATPEPSAMAAASQVRVSRPPDLHVDPSSIVCIHALILASWRRRRGRHAGAQRHGRGLAGDCVDP >Sspon.01G0059370-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:48864174:48866885:-1 gene:Sspon.01G0059370-1D transcript:Sspon.01G0059370-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKALLGKSSTAVEAGDEESPLLHLHPPPQAMVVLIASASLPIFSTSSDHGGNVHRAVVYFGIYLAAIASGGIKPCTSAFGADQFDTNDHAELVTKGSFFSWYFFLISTSSLLSGTVIVWLQDNVGWAVSYVIPTVLMLICFPVFLAGSRVYRFRKIGVSPLTSILQVVVAAVRKWHIKLPDDSSLLYEPTSMPSGTDASYKIEHTNEFRLFSALYLSPLSCHLDIGID >Sspon.03G0037240-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:87266182:87267924:-1 gene:Sspon.03G0037240-1P transcript:Sspon.03G0037240-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPRRPLRMLAAVLLILCSLAVLSAVSAEAKVHHHTWDIAYHKKSLDCVEKLAVTINGESPGPTIHATQGDTIVVTVHNKLETENTGIHWHGIRQIGSPWADGTVGVTQCPILPGDTFTYRFVVDRPGTYFYHAHYGMQRVAGLDGMLVVSAPDGVAEPFTYDEERTVLLMDWWHKSVYEQAVGLASDPLVFVGEPQSLLINGRGVFEPFHCSHAPNGSSAAACNAPKPAGCAPPTLFTAVPGKTYRLRVGSLTSLSALNFAIEGHSMTVVEADGHYVRPVVVDSLYIYSGESYSVLVKADQDPSRNYWAASHVVARERNTTSAMSILSYAGNDPLAPPPTPRPEGPAWDDVRPRVEQSRSLAVAHPDHVMPVPPRPDRALLLLNTQNRIGGHTKWAINGVSLAFPATPYLVSMKRGLRGAYDARPPPETYDYRSYDIERPPPANGTVTSAVYRLALGSVVDLVLQNTVALNNRSETHPWHLHGHDFWVLAYGDGKFDPERDTARFNLRDPVMKNTVALHPKGWTAVRFVADNPGVWLFHCHIEAHVYMGMAAVFEEGVDKVGRLPKSIMGCGRSRTLP >Sspon.01G0023900-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:85732816:85740466:-1 gene:Sspon.01G0023900-1A transcript:Sspon.01G0023900-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDPRARTSAVAAGELRPPEPPLDPLEFLSRSWSASAGRAFAPHPPPTPPQAAALLAASPIAEDAACELDDGGVVCGVAAAASGSSFSFASAATSQLIMERILAQSEVAPLTSGRLSHSSGPLNGGGSLSDSPPVSPEIDDAKYCRAVSTPKPQSYRAGNKTVGRWLKDRKEKKKEETRAHNAQVHAAVSVAAVAAAVAAVAAATAAASGSGKDDRAARTDMAVASAATLVAAQCVEAAESMGAEREHLEAVVGSAVNVRTPGDIVTVTAAAATGVSSSPVETTSRPELVHSVTGLLVVALRGAATLKARVLKEVWNIAAVIPVEKGTVGGGGHHQKHGAPKQQQQQHHRKLESNGSSISDVSLEEENNFLGICSQELLARGTELLKRTRKGALHWKVVSVYINRMGLVMVKTKSRHVAGTITKKKKSVVIDVCRDVAAWPGRHLLEDGEHRRYFGLRTAEHRVIEFECTSQREYEMWTKGVARLLSIAAERKRL >Sspon.05G0013020-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:5B:36121033:36123123:-1 gene:Sspon.05G0013020-2B transcript:Sspon.05G0013020-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPSSPPQQRREVGEGGELPVPVPAAVVGDDKVLAAAQHIVKSFATSKNAADDMIRILSGFDHRLSSITSDLFPSPSPAGTSDPDPEPPRGEQEPSSSSSSLADFDAAEQLIHLWDTTPEALVFEAPEDDAAHYLAAVDVAVDHLSTPAVSGRAGVAVQLAMSRLEDELRHLMLRHSVPLDASGLYCSLRRLSLGSMDDLDTSSEFDPATPHSQDGGGGPDTARSASIAGNNPFEDQVFDLVRPEAVDEIRAIADRMVHAGYGSELAQVYCAIRRDLLDDCLTVLGVERLSIDEVQRIEWKQLNDKMKKWVHGVKTVVRSLLTGERRLCDLVLAASDELRDECFVESTKGCIMQIRNFGDAVSVCTRSPEKLSRILDMYEALAEVIPELKELFFGSYGDDVIHDLEGVLERLGDAVKGTLLEFGKVLQQESSRRPMMAGEIHPMTRYVMNYLRLLVVYSDTLDTLLDDSGAGDVDHNILHNGTDEDQEYLKSLTPLGRRLVKLISYLEVNLDEKSKLYEDGALQCIFSMNNTLYIVQKVKDSELGRILGDHWIRRRRGKIRQNSKSYLRVSWTKVLSYLKDDGHGSSGSGSFGSSGNSSSRIREKFKNFNLAFEEIYRSQTLWKVPDPQLREELKISISENVIPAYRAFTGRYGSLVDSGRNSGKYIKYTPEDLENYLSDLFEGSLGSANHSRRR >Sspon.02G0045940-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:99260179:99260512:1 gene:Sspon.02G0045940-2C transcript:Sspon.02G0045940-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDCKCSSDDMLERILQDPNSIPRVMQLEYLKRITNNFSDERLLGEGGFGKVYKKSVSTHKAGRKTRSSLARSARGWLDSARLGSLR >Sspon.01G0016420-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:52196376:52203991:-1 gene:Sspon.01G0016420-3D transcript:Sspon.01G0016420-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANGKSSLANGASSTQGKPIKCKAAVAWGPGVPLVMEEVEVAPPGRLEVRVKVLFTSVCHTDLSFVKGENELQRKFPRILGHEAAGVVESVGEGVEDLAPGDHVLPIFNGECGACAYCESDKTNLCGTYRVNPFKSTMTSDDGTRFSVVDRRSGVRQPVYHFLNTSTFAEYTVLDAACAVKVNPKAPLEKMCLLSCGVSTGVGAAWNTANISAGNTVAIFGLGAVGLAVAEGARLRGASRIIGVDINPEKFTKGKEMGVTDFIDSKACDKPVHEVIREMTDGAGVDYSFECTGINDVLREAFVSTHDGWGLTVVLGIHATPKMVPLHPMELFDGRRITGCVFGDVKGKSQLPAIVDKCINGDLNINFDGFITHNMPFSDINKAIQLLEEGKSLRCVLHL >Sspon.07G0037450-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7D:54049370:54049747:1 gene:Sspon.07G0037450-1D transcript:Sspon.07G0037450-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGILQATSSTRVSNLRTPAGKDQEPLTTLGEGSNNLQLRAQSPPLLQAVYRVGKHGRVTRNPQQLEDQVPLECNSQAMHLNLTQSHSRCATKQGDEWRGCSLAQRMSLCLKICKSYPQTPDILYL >Sspon.03G0025070-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:75851361:75853829:1 gene:Sspon.03G0025070-1A transcript:Sspon.03G0025070-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLRFMGDENEAKNYSYSLEVGANGRKMVWEGTPRSVRDSHDGLIIQRNMALFFSGGDRKELKLRITGRIWKEQQTPDGACEVALVQFYSPRLPLPAPISVSDHSPPRSNGSSLRLAAAPSPSSPQPPALPPKQPFFLCMPSRRGNLLLCSASGASSSVVTKEQEEATSDPSSEEGSPEPEIYNYKDDPNFREEVERGCNGEGRIQGGIAAVPGFGWWPIKAYRPCPGFGQSMDDVASGRGKKKPSPAKNKR >Sspon.03G0009840-1P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8A:32058099:32059181:1 gene:Sspon.03G0009840-1P transcript:Sspon.03G0009840-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MERYREQKKDLHMVFIDLEKAYDKVPRNVMWWALEKHKVPTKYVTLIKDMYRDVVTFVRTCDGDTSDFPIKIGLHQGSALSPYLFALVMDEVTRDIQGGIPWCMLFADDVVLVDDSRAGVNRKLELWRHTLESKGFRLSRTKTEYMRCDFSATRHEEGDVSLDGQVVAKKDTFRYLGSMLQKDGDIDEDVRHRISAGWLKWRQASGVLCDKRVPQKLKGKFYRTAIRPAMLYGAECWPTKRRHVQQLSVAEMRMLRWCCGHTRRDRVRNDDIRDRVGVAPIEEKLIQHRLRWFGHIQRRPPEAPVRSGVLKRADNVKSGRGRPKLTWDEAVKRDLKEWNISKDLAMDRSAWRLAINVPEP >Sspon.03G0012970-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:53054033:53059698:1 gene:Sspon.03G0012970-3C transcript:Sspon.03G0012970-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding EMTEFLVIALLLCLLIDGAYRAMAWDDADFFSNCPPSRCSEHGPEIRYPFRLESSNTSSLCGAPCMKLGCYGDDTILVLPTAIIQYKVTAIDYRHGTLTLAPRVDNSSSSCKQKLMSVSLPRSIIKCEDQDPCSLLLCDPGYATIVSCLREFTPSNLAANYIFGPISCLSSASQFSYLVDGSASMSVLPLDCKVVPDSYFPMMDTPNDDPTFKEQAETILDFSGTTTISWYLDGVIGFAISVNCTQCGRGGGRCGFSPHRNQTFCINPGSHVKVIAATSSVATIVVLLLMVATGLYLSLKTRYNEEIHLKVEMFLKTYGTSKPTRYSFSEVKKITRRFKEKVGQGGFGSVYKGELPNGVPVAVKMLENSTGEGEEFINEVATIGLIHHANIVRLLGFCSEGTRRALIYEYMPNESLEKYIFSRDSSSSQELLVPKKMVDIALGIARGMEYLHQGCNKRILHFDIKPHNILLDFNFNPKISDFGLAKLCARDQSIVTLTAARGTMGYIAPELYSRNFGGVSYKSDVYSFGMLVLEMVSGRRNSDPSVDSQNEVYLPEWIFERVITGQDLVLSREMTGEENEKVRQLAIVALWCIQWNPRNRPSMTKVVNMLTGRLENLQMPPKPFVSSESHEGP >Sspon.04G0031230-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:9021948:9024018:-1 gene:Sspon.04G0031230-1C transcript:Sspon.04G0031230-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTCDVTATPCLPRPVVRLPRSAARDAIRRDKKQARKAPTRSLSALAIPQRSDHSRAGSRARAHGKRHRRLPVPGRGSALALAQQANPLPPPSLPLSSSNPTRKRGRVPPLPRCLPPCSALPCSPRKAHRAPELGASHPMAAPQRPRPRQRPQLARINAMKHSSYPAEDDGADDLAPVDLGPEFASQTSFRIRRGGAEVADLFRKLGLKGPEDFTIPPAVYAAAMAHIPNSSRSRRQSLEAPPPLLPGAGAEGMEPAPPGPPDVSGRDAAVAARLDAAVEGEDQAVLASKVVQPEVAEVSARSYKEGSARSYRAVQLETVESSRRAIAAVVKERTADGMKGKGDVVKVDQLRVERTKAVVVEAPRETTAAVVQAVAESPSRSTEYLISPSPNRRFKRTITSWLRGQHLGSGSFGSVYEAISDDGFFFAVKEVSLMDQGLNAKQRILQLEH >Sspon.02G0027870-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:120679460:120682006:-1 gene:Sspon.02G0027870-3C transcript:Sspon.02G0027870-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVSDRSVRLTIEAQPSDPPAGAPGFMAATTPFEPQLSDSPPRPSVHAADDDDNEASCSARRGRPDPDDAAAGPERRLTLLALRLAVLEKAASGLGALGFIWATVVLLGGFAITLERVDFWCVTVILLVEGARIFSRSHELEWQHQATWSLSLAAAGRSSVRLVARSFRFVFRKGGCGTSGKAAGGGGAPAAASCSSHVGRSGCGWGWTWTTWPWSWSFLSSHVGRVFYWLQLASATACVALSGVRLARQDFGEAVDARTNRRSALDIFYGLALAEALLFLAEKAAWEWEVSHGRLLERVAAECRLAGAPGLLAIRRFFYDAYSRCVEGSIFDGLRMDLVSFAEELIVEGSHDEQRIGVGILVNVSASPRQGDAALRRVGTSPAVVERLVEMLSWTGPGERGARASAALVVSKLASKKRNALRVAGVPGAIESVSSLLYAADEECNLLGLLIIKKLARDHDNCSKIGTARGLLDKIIDFSTIGVVGGASSPPTATFFMAPARAKAVQRSLQVIKMLAETTGSTGKQLRREVAEIVFTVSNIRAVLRHAPAAHVGLRRLGAEVLTRLAMDADARERIGGTGGVVALLLDMFLRAGGFSDEAAAADAARVEAGEALAMLALESPRNCERILRAGGGRSSSATTSTTTVDSLVDALGDAAIGVGAGRILTNLCAYTGGSCEWFPQLRRATRGAATVLRDVATVNESKPLEVSLGLAAQLVRLMGPHELAHHLVSAGVTEAGLVSRLVSVLATYACPSIKAPRIRRFTVDLVIALLRTPPARERWLIAEAMAAAGMGAELRSVAETTSELEFFHVFSGSAGLSRHAVGLAALVDTALELMGTVAVAEPHGHV >Sspon.07G0022550-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:9274662:9279061:-1 gene:Sspon.07G0022550-2D transcript:Sspon.07G0022550-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RVHAQLTTAAARPLQPTTRAQQFVEQLPRELTLLRPPAHQGTMASAARALGALLHRASSLSSSASALRGASLLRGNTLVLARSPLRRSGLVLLDCWNVWCFDCFLVLSGDRLIGSDGLFRRHAARRRISSFQPLCMGRRSCKIAGRKDAQNLKKMKRNSKIGKEIVAAIKKGGPNPSSNTTLAAILEKARELDVPKEILERNIKRASEKGQDTYTEKVYEVYGFGGVGMVVEVLTDKITRSVADIRNVVKDCAAKLADPGSVTFRFRQARVVNIKVTDADKDQLLTIALDAGADDVLEPNFDDDDSEEEAERFYKIVTTSENYPVVLSKLQEEGLKFETDNGYELLPLNPIEVDDEAMELNKELVSKLLELDDVDAVYTDQK >Sspon.01G0051130-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:11154250:11171433:-1 gene:Sspon.01G0051130-2D transcript:Sspon.01G0051130-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SVP [Source:Projected from Arabidopsis thaliana (AT2G22540) UniProtKB/TrEMBL;Acc:A0A384KDB8] MRLCSINIVAVGHWSFAKRYSYQPLDCSRSAPQIYSNTSAAAPPRVEEQERGTMAGKRERIAIRRIDNLAARQVTFSKRRRGLFKKAEELSILCDAEVGLVVFSATGKLFHFASTSMKQVIDRYDSHSKNLQKSEALSQLQSHIDDGTCSRLKEELAQTSLKLRQMRGEELQRLSVQQLQELEKTLESGLGSVLKTKRMELIEENSRLKEQVTRMARMETQLGVDSEIVYEEGQSSESVTNTSYPRPSTDTDDCSDTSLRLGNFDANVAYRAAEQ >Sspon.01G0037780-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:25875078:25878625:1 gene:Sspon.01G0037780-1B transcript:Sspon.01G0037780-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSTDHGGAGGRGKKQAGSQLWKKALLHSSLCFVMGFFTGFAPSSVSDWTSAAVAAGRVGSSHVVRALPTAAGGGGAVNRSLLAHGGADLLLGDPASPRPLLVVVTTTESTPAASGERAAALTRMAHTLRLVAPPLLWVVVEAAPDVPATARLLRATGLMYRHLTYRDNFTAADAAAGKERHHQRNVALGHIEHHRLAGVVLFAGLGDVFDLGFFDQLREISAFGAWPVATMRRDERKVVVRGPACSSSAQRTAPASASTARPPEVDVHGFAFNSSVLWDPERWGRYPTSEPDKSQDSMKFVQQVVLEDLSKVKGIPSECSEVMVWHVDNTAVPSSSSSQPSMHNKRR >Sspon.04G0002690-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:8223234:8224946:1 gene:Sspon.04G0002690-1A transcript:Sspon.04G0002690-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRRAILLGLAVVVALAAAAFVRTGDGAGEAGNIAAAFAKASGTRFTLGGRRFYSNGFNAYWLMYMASDPADRSKATAALDEAARVGAALVRTWAFSDGGYRALQLSPGVYSKEVFRGLDYVISEAKKRGIHLILSLVNNWDGYGGKKQYVQWARTQGHNLNSDDDFFTNSVTKGFYKTHAVLTRVNKITGVEYKDDPTIFAWELMNEPRCQSDLSGKTLQAWITEMAGYVKSVDPNHMVEIGLEGFYGESTPDRPHKFNPGGYTVGTDFISNNLIPGIDFATIHSYPDQWVPGASNDAQVAFMRRWMASHAGDAAAVLRKPLLVAVFGWSARSNGYTVSMRDAYFRMVYDAIYSSASAGGPLAGGLFWQVMVPGMESWTDGYDVVLDRSPSTAAV >Sspon.07G0032840-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:49995678:49997157:-1 gene:Sspon.07G0032840-2D transcript:Sspon.07G0032840-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDSGSSKPPPPPPAGEEDEGPVAADKALHALGFEFTRIGAREVAGRLPVTDTCCQPFGVLNGGVSALVAESTASVGAYMASGYRRVAGVQLSVKHLRPARLGDVIRAQAAPVQLGHSIQERKKKQLGSGLGGADMASRPCTEECKDLVSTARVTMLVKNPSRPEEMSSHEASIKKYAKL >Sspon.04G0028620-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:67133831:67134330:1 gene:Sspon.04G0028620-1B transcript:Sspon.04G0028620-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEAAGPEATRPRPIDSRPSPSGVAFPRIGLPSQTFHRPIPKPSNPPRPSPIRGPREPAGAAALTPSPSHAGSPTAITVPQSTAPASEYPAAIANPRLWPTVYKENRPHIRSPRPKLTSSHTTTTTTTDLVVRRFDPVLPTRGESPDPSPSGLRVLLSSESESD >Sspon.05G0022720-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:8098125:8100392:1 gene:Sspon.05G0022720-2D transcript:Sspon.05G0022720-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRKETALDLAKFVDKGVQVKLTGGRQVTGTLKGYDQLLNLVLDEAVEYEREQDDPLKLSGKTRQLGLIVCRGTAVMLVSPTDGTDEIANPFLADGA >Sspon.07G0036970-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:47179861:47188715:-1 gene:Sspon.07G0036970-1D transcript:Sspon.07G0036970-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSVTPNPRHHALVEPGDRIPDLRHTGSSLQLQASLLSQMTPPNAFTNELEKWLFQKYIGSIVIVEFRKKSYTELKKLWDRVAPIGGRGADRKNAAELKEAREAREASLQCGALCCTGSVVKIEESHISILTCAHVLEASFSQSNPITPAGVEDYFNVFIAYCAETHAAIPMARAYPEALEKVVMLSWPPLRHRTAVSGETSHQSRLNSHVSTANPQGFTFRLAEVNILSERGSSGAPLINGAGYQSFQKVDTWGLLPTTVNARREK >Sspon.08G0025160-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:6647084:6672818:-1 gene:Sspon.08G0025160-1C transcript:Sspon.08G0025160-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPARFWASKVRFTVGSHVWVEDAEVAWIDGLVEEVQGDELIINCTSGKKVTANVSSVYPKDAEAKRCGVEDMTRLAYLNEPGVLQNLKSRYAMNEIYTYTGNILIAVNPFQRLPHLYNNHMMGIYKGAEFGELSPHPFAIADRAYRLMMNYGRSQAILVSGESGAGKTESTKSLMQYLAFMGGKAQSGGRSVQQQVLESNPVLEAFGNAKTVRNNNSSRFGKFVEIQFDKNGKISGAAIRTYLLERSRVCQISDPERNYHCFYMLCAAPPEDRERYKLGDAASFHYLNQSSCIKLDGMDDASEYIITRRAMDIVGISSDEQDAIFRVVAAILHLGNVEFSEGSEADSSVPKDDKSQFHLRTAAELFMCDEKALEESLCKRVLVTRGESIVKNLDARAAALSRDALARIVYSRLFDWLVNKINTSIGQDLSSKLLIGVLDIYGFESFKTNSFEQFCINLTNEKLQQHFNQHVFKMEQEEYTREEIDWSYIQFVDNQEILDLIEKKPGGIIALLDETCMLRNSTHEIFAEKLYQKFKGNPHFSRPKFSRSDFTIHHYAGNVTYQTDLFLDKNIDYAVNEHQVLLHASRCSFVSSLFPPSEESAKSTKFTSIGSSFKQQLQALLETLSATEPHYIRCIKPNNVLKPAIFENSNVLQQLRCGGVLEAIRISCLGYPTRRRFDEFVDRFGVLLPEGVLEAIRISCLGYPTRRRFDEFVDRFGVLLPEIGKTKLFLRAGQMAELDALRTEVLGRSASKIQRKVRSYLARKNFIQLRISATQLQAICRGQIARHYYEDLRREAASLTIQTCYRMHFARKNYRNLCTASTTIQSGLRGMAARKELQFRQQTNAAVIIQSFWRSYVARSRFMSLKKAAIATQCAWRGRVARKELRKLKMAAKETGALQAAKNKLEKQVEELTWRLQLEKRMRTDMEEAKTQENRKLQQQLQELQLQSKETKDLLKREREIAKEALEKASLVPEVQVDTTLIDKLTAENENLKALVGSLETKIDETEHKFEEMKKAREEWLKKATDAESKINELTNTMLSFQEKVTTMEAENQLLRQQALLRTPVRTIHENTSPKSNLTNGSPRIDEQKTPHGTPLAPKDYGSFGQPRASFFERQHESVDALINCVSENIGFSEGKPVAAITIYKCLVHWKIFETEKTSVFDRLIQIFGSAMQKHDSNEDLAYWLSNSSTLLIMLQKSLKAAGSTGTSPQKRPQTQSSFLGRMVFRSSNITVDMDLVRQIEAKYPAFLFKQQLTAFVEGLYGMIRDNVKKDLSSLLAYAIQFLTFSDLTA >Sspon.07G0013190-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:47073421:47084229:1 gene:Sspon.07G0013190-1P transcript:Sspon.07G0013190-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRIPTISSSHGLASSPAPISTTCRPAVLAVGSSWASAAQKRSLLLATTASETRGVAPVRSSGIETTTSVGAAEAVTGQVTEVNKDTFWPIVEAAGDKVVVLDMYTEWCGPCKVMAPKFQEMSEKNLDVVFLKLDCNQDNKSVPRAAATVPSASSAPIDPSTSEYVRTRPMALRIPTISSSHGLASSPAPISTTCRPAVLAVGSSWASAAQKRSLLLATTASETRGVAPVRSSGIETTTSVGAAEAVTGQVTEVNKDTFWPIVEAAGDKVVVLDMYTEWCGPCKVMAPKFQEMSEKNLDVVFLKLDCNQDNKPLAKELGIKVVPTFKILKGGKVVKEVTGAKIEELAHAIDTVKSG >Sspon.05G0008260-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:16848205:16851527:1 gene:Sspon.05G0008260-1P transcript:Sspon.05G0008260-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MCKLSYGRDAFVRRRHFASGIRLATAKADPSVEKSGDTPVAMATDHRASLLPFAAALLVLLLAGGAAADDASSDDDAGTSRTPGCSNKFQLVKVKNWVNGTEGTTVVGLSAKFGAPLPRDIHEAKKSFAVLANPVDCCSNLTSKLTSSVAIATRGECAFTEKANTAQAGGATGLLVINDNEELYKMVCGENETSINVTIPVVMIPQSAGKKLKNLLHHGAMEVQLYSPNRPTVDLSACFLWIMAVGTIVCASLWTEFVACEQVDERYNQLTRKGH >Sspon.08G0017020-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:66520918:66523639:-1 gene:Sspon.08G0017020-1A transcript:Sspon.08G0017020-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding METLASAMRRENRRSKAPSSSSVATALASGRVPLVMAFLSGLAWLYVAGRYVPSTRSDPRHSDPAPDRESLWQDAQTRAILSGFLEKSSGSVPKVLSVEDKLRNLGCKAIGSKIVEAEMDLTKAKSEGYLWGNRTAAVDSDKKQQLLAVIGVYTGFGSRLKRNVFRGSWMPRGDALKKLEEKGVVIRFVIGRSANRGDSLDRNIDDENRQTKDFLILESHEEAAEELPSKAKFFFSAAVETWDAEFYVKVEDNINLDLAGLIEMLEGRRGSQGLYMGCMKSGVVISEEYVLSLFNHQGVFGDLHYPCPGFHNATFEVFGSQVEAHRHPLGQA >Sspon.02G0043950-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:101467907:101474882:-1 gene:Sspon.02G0043950-1P transcript:Sspon.02G0043950-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMEVYERLTAAETRHHRGTRFDALIGLDEVEGSDEEEEEEEEERAAGAGLGDELPCPFCGEELDAVGLWCHMDDEHHAEANAGVCPICTDKVDKNLFDHISSKHRGFLKDKWRNQKGSSGARYSTLALLKRDLHERINGSSRAAPVSTVPDPLLSSFVGNFYEVDLPKDAKKESLAETEVGSDNLEQKAAESVDEPLLPEVKEERTRRSQFVQGLVLSLMFDDIL >Sspon.05G0017750-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:70922802:70924032:-1 gene:Sspon.05G0017750-3C transcript:Sspon.05G0017750-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATMDRRLLKVATSGDAASLKPLVSHDPGVLLGTTPPGNTCLHISSIQGHDELCESILAMDQSPALLSAVNKDGETPLLAAVARGRASLASALLRRCRERQLSEVILKQDMHGCNALHHAVRRGHGKLALELIEAEPALSRAVNERSESPLFIAAMRNFTEVLDRLLEVPDSAHSGAFGFNVLHAAVRSGNLAIAKKVMKTRPWLATEENENRHTPVHLAAYDGEINALAALLEHDPSLGYLTSTNGDPLLCVAASQGHGGVARELLEHCPDAPYCDASGSTCLHIAVLCGHTEFVKSILGLQQLGHLINMLNGSGETALHLAARNCKPDMVATLQLHQDIDVTVLNSAGDPASR >Sspon.08G0029920-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:52381944:52383586:-1 gene:Sspon.08G0029920-1D transcript:Sspon.08G0029920-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DMGTGGVALVAAALAVVVVTRLWTVLLHLVWRPYAVARAFARQGVRGPPYRVFVGNSKEIQAMRAATSGDTLDLTSHDYIPRVMPQYRAWMSLYGKVFLTWPSSTPALFVGSYDMVKRVLFDKSGLYGKTDPGPAILSLLGMGLIFTDGDDWSRHRRVVHPAFAMDKLKSMRGAMAACAAEVIEARAAASGKEEGVTVEVGQQFTELTADVISHTAFGSSYRQGKEVFLAQRELQFIAFASINSVRVPGMQYVPTKANVRRWQLERTVRGTLVAIIGERLAAAKEARGYGSDLLGLMLEANAAGDDGGKRQQQAISMDEIIDECKTFFFAGHDTTSHLLTWAMFLLGTHPEWQQRLREEVIRECGGAEVPLRGDALNKLKQVTMVLYETLRLYGALPMIARQATADADLCGVKVPKGTLLLIPIAMLHRDEEVWGAVLSFSLGPRSCIGQDFAMLEAKATLALILRRFAFQVALEYVHAPADFLTLQPSKGLPVVLRLLDPRTLASPDI >Sspon.05G0001560-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:5B:1125095:1127860:1 gene:Sspon.05G0001560-2B transcript:Sspon.05G0001560-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTNPDEPKSRARTDFLLNNESEVQKFWDENKVFEADPGNEPPSPGEKFFGNFPYPYMNGLLHLGHAFSLSKLEFGAAYHRLRGSNVLLPFAFHCTGMPIKASADKLAREIQQYGYPPVFPMAEGSSAAVEDAIQADQADVVAPDKFKGKKSKATAKAGAQKYQWEIMKSFGLDDEEIARFQDPYHWLTHFPPLAKEVLQKFGLGCDWRRSFITTDMNPYYDAFVKWQMRKLKKLGKVVKDMRYTIYSPLDGQPCADHDRATGEGVQPQEYVLIKMEVISPFPPKLKALEGRKVYLAAATLRPETMYGQTNCWVLPDGMYGAFEINDTDVFILTARSALNLAYQHLSRVPEKPTCLCELSGTDLIGLPLKSPLAFNETIYALPMLTVLTDKGTGIVTSVPSDSPDDFMALQDLVAKPALRAKYGVKDEWVLPYEIIPIIHIPEFGDKSAEKVCHDLKIKSQNDKEKLAEAKRMTYLKGFTDGTMIVGEFSGRKVQEAKPLIKSKLLEEGTAVLYSEPEKKVMSRSGDECVVALTDQWYITYGEAEWKQKAVKCLDRMNTFSTETRNGFEHTLGWLNQWACSRSFGLGTRIPWDEQFLVESLSDSTLYMAYYTVAHHLQNGNMYGKEISSIKPEEMTDEVWDFVFCDGPAPKSDIPAALLNKMKQEFEYWYPFDIRVSGKDLIQNHLTFCIYNHTALLPEHHWPLGFRCNGHLMLNSEKMSKSTGNFLTLEDAIKKYSSDATRFALADAGDGVDDANFVTETANSAVMRLTKEISWMEEVTAAESKLRAGPPTTYADRVFANEMNIAIIETEKSYNAFMFRAALTSGFYDLQSARDEYRLSCGAAGMNRDLLWRFMDVQTRLITPICPHYAEHVWQKIMKKEGFAIKAGWPVADTPDPTLRIANKYLQDSIVSFRKLLQKQES >Sspon.07G0030510-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:11790546:11791292:-1 gene:Sspon.07G0030510-2P transcript:Sspon.07G0030510-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding EMRGSETLQYDLGTLRAATNNFSEENMLGKGGFGPVYQLSWGQRYKIIEGISRGLLYLHEDSRLTIIHRDLKPGNILLDADMNPKISDFGLAKLFKIE >Sspon.01G0042730-3D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1D:59659502:59660005:-1 gene:Sspon.01G0042730-3D transcript:Sspon.01G0042730-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAAAAARSGGTGNNTAATTTTSRFAAACGALSQYVKAAEAERTRARPPVVRPLPLMPGADVDHEQEEPAETAAQQLTIVYGGRALVLDDVTADKAADLLRLAAAAAARGGTEQQPLSCSSVDDLPVARKASLQRFMEKRKGRIAARAEPYRRPGDPRDHLTLA >Sspon.05G0007350-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:14594863:14596331:-1 gene:Sspon.05G0007350-2C transcript:Sspon.05G0007350-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLDRFMAASVVLAVVVSLAAVLPIVRAEIKTTPIVSDSRSVILFEEFGFRRGGHATVSATGISWRVPEGSQLQAVDTTLMGFFLISNSLFYKINNESDYAEATGGAFCPLTSKYVQRLFLFKEVAPDGTGRGSLTIDSDDQYTVLFSSCQEGVEVTMEVRTEMYNLRRSGAREYLPVGLLPLPWIFAAAAAVHFAFLGTWVLVCVKHRRTAERIHAVMGALLLFKALKLACAAEDQWYVERTGTPHGWDVAFYVFGFFKGILLFTVIVLIGTGWSFLKPYLQVHEHACVVVEREKNVLMIIIPLQVIENIASAVIGETGPAGRDWLAWNQIFLLVDVICCCAVFFPIIWSIRNLREASKTDGKAARNLQKLTLFKQFYLVVVCYLYFTRIAASAVAAVLSYKYQWAVNVSIETASLAFYVFVFYNFQPVEKNPYLYVGDDEEEAAGGQLEMESTFEI >Sspon.02G0055420-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:5669026:5671024:-1 gene:Sspon.02G0055420-1D transcript:Sspon.02G0055420-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA replication complex GINS protein PSF2 [Source:Projected from Arabidopsis thaliana (AT3G12530) UniProtKB/Swiss-Prot;Acc:Q9C7A8] MAGQSDPHLSIFSPSEVEFVAEDEIVEIVPNIRMDALNMICGDFGPFFPQIPTKVPLWLAVALKKRSKCTIRTPDWMTVDRLTQVLEAERESPREFQPLPFHYIEISKLLFDHARDDISDAYLVRSLIEDIRDVRFHKVETGLETISGRTHAVKLKNLSAMEVNIVRPFMVRTLQAFYKHDSPQMIQQADNTGSRATPVTDRGPRRDLRRR >Sspon.05G0014940-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:49572030:49576158:1 gene:Sspon.05G0014940-3C transcript:Sspon.05G0014940-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSALLDLLMGIFRQQTLGGAALELAALAAPLWLAALVGLLIGWAWRPRWAGVVVGGDNAQQQAAAQLPAPPPPARPTATAVVSRYESAAVVPRTVVAPAAAPEDEQLAVNTGDLMHLWRLVEEKDGGPSWIHMMDRTLPTMRYQAWRRDPPNGPPQYRSSTIFEDASPEVVRDFFWDDEFRIKNSWDDMLLQHETLEECTKTGTLVVRWVRKFPFFCSDREYIIGRRIWASGKTFYCVTKVAVTRLDKGVPRPSVPRQNKPRRVDLYYSSWCIRPVESRKGDGSMTACEVLLFHHEDMGIPWEIAKLGVRQGMWGCVKRIEPGLRAYQVARTAGEPISKCAAMAHVTTRFNADELITEENTETSSSNNAAEVEKPKHWTNNIPKVLMIGGAVALACTFDGGLLTKAVIFGTARRFAGPGRR >Sspon.05G0017310-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:72284058:72285770:-1 gene:Sspon.05G0017310-1A transcript:Sspon.05G0017310-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RMHRGRNSKRAVPPADGSGCSIEAMPDGILEHILGFLPAPESVRTCVLARRWRHLWRFATGMRVGCGSDDDFLTPVTERREFMDHVLLGGESPLDTCEFKLGEFQHEDVPRVNHWLRHAVMRKVRVFSLNMSPGEAPTPYLELDDQPLASQHLTRLDLSYVQARNSFLNFSSCPSLENLVLRYCEFWSATMISSKSLKDLAIISCEITASASEHSRLRIYAPHLVYLHIDDIEGRTPILDSMPSLVQAFVRIIDDCEDTCDKLLDNSQCGCEYCTASGNGGDDNHRVLLKGLSEAKDLELISSPEQFIFKMDLRLCPTFSKLKTLFLNEYWCMPDDFTPLACILEHSPVLEELTLELFCQSSEYEVEMEGRFSVERPTTISEHLNIVEVKCQDVDKRVLKVLKFLCTFN >Sspon.04G0010750-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:32968442:32975685:1 gene:Sspon.04G0010750-1A transcript:Sspon.04G0010750-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSRPVVLVFLLVILIVTSQFEWKQQLVNELESKARNQKHVSSREELVKDQQAVLALMSKSMKGWNAIFTGNVGGIEAKAEVQRRKLKLL >Sspon.07G0002100-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:3824951:3846412:1 gene:Sspon.07G0002100-3C transcript:Sspon.07G0002100-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGNDWINSYLEAILDAGGAAGEISAAAGSGGGGDGTAGEKRDKSSLMLRERGRFNPARYFVEEVISGFDETDLYKTWVRTSAMRSPQERNTRLENMSWRIWNLARKKKQIEGEEASRLSKRRMELEKARQYAATDLSEDLSEGEKGETNNEPSIHDESMRTRMPRIGSTDAIETWANQHKDKKLYIVKYVVELARALGSTPGVYRVDLLTRQISAPDVDWSYGEPTEMLSPISSENFGHELGESSGAYIVRIPFGPRDKYIPKEHLWPHIQEFVDGALVHIMQMSKVLGEQIGSGQPVWPVVIHGHYADAGDSAALLSGALNVPMVFTGHSLGRDKLEQILKQGRQTRDEINATYKIMRRIEAEELCLDTSEIIITSTRQEIEQQWGLYDGFDLTMARKLRARIKRGVSCFGRYMPRMIAIPPGMEFSHIAPHDVDLDSEEGNEDGSGSPDPPIWADIMRFFSNPRKPMILALARPDPKKNITTLVKAFGEHRELRNLANLTLIMGNRDVIDEMSSTNAAVLTSVLKLIDKYDLYGQVAYPKHHKQFEVPDIYRLAARTKAAAYGLPIVATRNGGPVDIHRVLDNGILVDPHNQNEIGEALYKLVSDKQLWTRCRQNGLKNIHQFSWPEHCKNYLARVVTLKPRHPRWQKNDVATEISEADSPEDSLRDIHDISLNLQLSLDSEKSGSKEGNSNTVRRHLEDAVQKLSGVSDIKKDGPGENGKWPSLRRRKHIITLCKMQTLFRIEASDFDAFICNSGSDLCYPSSSSEDMLSPAELPFMIDLDYHSQIEYRWGGEGLRKTLIRWAAEKNNESGQKILVEDEECSSTYCISFKVSNTAAAPPVKEIRRTMRIQALRCHVLYSHDGSKLNVFVYQMGVELSNITVIVGECGDTDYEGLLGGVHKTIILKGSIKESGRTFPMLSYCTSLKNNGIAMHGPYYTLGNWGHKFVSVSPLLQNSFMVFL >Sspon.07G0020280-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:75507272:75511950:1 gene:Sspon.07G0020280-1A transcript:Sspon.07G0020280-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATIITVTRKSQSFVVPSSSAPAPPTTETLELSAIDRVPGLRHTVRSLHVFRRKDDAAATATARRDAAAAGSRPAEVIRAALSRALVDYRPFAGRFVGSLYAGEACVECTDEGAWFVDAVADCGLDDVNGLDYPLMVSEEELLPAPEEGVDPTSIPIMMQVTEFACGGFVVGLVAVHALADGLGAAQFINAISEFARGLDKLKIAPVWARALIPNPPKLPPGPPPSFESFGFKHFVMDVTFDNIAHVKTEYFQANGQYCSTFDVAIAKVWQARTRAIKYNPDFKVHICFFANTRHLLTRVLPKDGGFYGNCFYPVTVTATAEDVASGGLLDVIRMIRDGKARLPLEFAKWSTGDVKVDPYQLTFKHNVLFVSDWTRLGFFEVDYGWGVPNHIIPFTYADYMAVAVLGAPPTVNKGTRIMTQCVEEKHLMDFKDEMKAFF >Sspon.05G0010190-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:29166012:29174258:1 gene:Sspon.05G0010190-1A transcript:Sspon.05G0010190-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMGASGSKLEKALGDQFPEGERYFGLENFGNTCYCNSVLQISQAKKKTGVIAPKRFVQRVKKQNELFRSYMHQDAHEFLNFLLNELVDILEKESSAAKDSPQSSSPEKAPNGPVQPLANGVKKEPPVTLVHKNFQGILTNETRCLRCETVTARDETFLDLSVDIEQNSSITSCLKNFCSTETLNAEDKFFCDKCCSLQEAQKRMKIKKAPHILVIHLKRFKYIEQLGRYKKLSYRVVFPMELKLSNTSDDVDTEYSLFAVVVHVGSGPNHGHYVSLVKSHNHWLFFDDENVEMVEEQTLQTFFGSSHEYSGNTDHGYILFYEGLGGKS >Sspon.03G0017390-4D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3D:41730921:41741804:1 gene:Sspon.03G0017390-4D transcript:Sspon.03G0017390-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVVFAVLASLCLAEASMTGPSIIVVQWICVCAVASIPFRMKTRPRELCLPFRLPLLAERKLGFCSRNLPPPQPPTDRAPTIRPPPSSDPAFIDPRIPYKMLPLSLLKTAQGHPMLVELKNGETYNGHLVNCDTWMNIHLREVICTSKDGDKFWRMPECYIRGNTIKYLRVPDEIGSHQVLAAEEEGGTLVLNLEAEALDAAKMMEVEAVVAVEGVELVPKVVTKAGAVAVAEAGGHMGQCRFLRPNGSCGLDIEAAAAARPVTVPQNSLVDILSMGHSVHLLRAGYAIACAMLALPLRASVARGLPAACPHAARRRKWRSRWGGKRSKAVATEMCHRGGCANSSVAARDARDAPPPGEAASTSTAAGEQESSPPRGRVGERGRNLRRRTRERERSTSTSRAPWPEPYPSSGAVRPYPFLLPLDRGLSARRAAVPVRGRRRRRTAAACAVLAGGRAGGALPLLTPCSPGQSRERVGGAGQWRERSCSAWAAAMEGGASAATGAAGQWLEREKEG >Sspon.01G0041200-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:41225601:41235679:-1 gene:Sspon.01G0041200-2C transcript:Sspon.01G0041200-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSQTDQSSAHPKSGNSPTDSTVVPTEKDQSWSTHSRMTDDLDRSQSTHSRMIADISNTESQLEHFAPFLMDKKAEQAADSVAENETLLSESSDGLLEAITNPVKMLHRSDDTCNKVNSTLQPSFSAVQHGTEGLKSSVHRSNELVVKEFSTGLDSLLSDQSEADPRHSNSHPVSSVSPKSKIADATCVPEETKNAGGSSTNTCCTGDESKHVVLEHHQDSVDNQNSGDMGEKIIEEEIPAVSGNIEQMIENDHEENATNATGTSKDKVGPSNSIAPENFAAGTLNASEDPNIPSLNHERSFEEHELPALGEEPKSTNLLVSTSGLQEKISASVISSSSGVTPTIVTDNDKNGCSIGVSPVDSSALLDKKDLKMATVNNEEAFKEGAKSALGDQDHNGISPGSEQGGEMPAVPMDSNIAVYSGTVSATEKEKYKEQPNSLGGLTTGETQDKSGNHPLKCQTDRSSILADRIDPTTAPTLGIPTGKVAENIVKTPLDASDDLNAHMQDIVLNHGTDCSPGTVPSQGRQGSSLLEPGNGNGICTGVTCESPSVISCAESSPQEGGHGSNALLHHTLCGQSEDPKDSGATADATESSKQCCTRNIESAPGSEDASAAGGDRSFSFEVGAPPNVAEKAHSPVWSPFPRYLTSQSTKTATENPQAGSSLKDASDDSKKASTVEAGKEQLSERKVTESSGGGPSDNSNIGGNIKSRSSPPRQHPIPECSVSSADLVNFPFTDPQHLQLRAQIFVYGALIQGTPPGEAYMVAAFGEPVGSGKPTWEAAWRAAFERFQYQKSLYTSLETPTSARIGSSVPEKASKGTAIATVPASKKGGKTVVPAHPAVTLHSPTSNVPFGSSTFNLQRGTHLDFSQAVSPFAYNSHMRHQSPGVAPWYTQSPGPRPAPWLIPPQNLIFDSSMQPAIPTNETAKGASSKNISISHTVSSGVVLPSPAPSIISSPTAVVNDGKQKAASSSKHGTASQKPRKRKKASASPEQQPVFASPQLKMDMPSFTPAIKHTAGFALSSSKLVPNTGQIASEPNYQITGGTDSEQRIIFSEQIRGAVEQSTAQAKGASIDSMEAVKHKEGLWSHLSTISRDKLPPEVEEKLTSVAAAAEAAVSVAKAAAEAAKMASEAALQAKMMAEEALSSSISVMPMHHETGQINVISSPRTLSSSTPASSLKIKNKSHAPGPIISVAREAARKRVEEASAAAKRAENLDAILKAAELAAEAVFRAGTIIGMGEPLPFTLRELLEAGPNGYWKSASVRNKSGSGNDNPVTETLEVDAPVNFNKSGRKRGRKPKYDQALLGSEPSSSCKELQPYGIHSGHGVVEDVPVTVSLDGNNVIAPINIIWNGIEKGSSVEVLSDKGGFGVAWFSAKVIDINANNAFVNYDNHNGEYPFVDLHINLLLMLLPWCRSIFHLFHSYLFNLFSGTGPREEWVPLRQEGDKPPQIRLAHPATLSKFKTRKRRRETAGSCLWVIGDHVDAWVNNSCWREGVISQNYETDETKYVVHFSVGGGGESLVVDAWSLRPSRVWKDGQWIEWSRARERKPKSNKGDSPLEKRQRTDLLQTGGNLSVVGEVGGSSKDKNTNNAKKPEELKPLSLSQGEIVFNIGKSVVENKSDALAFRRPGLQKEGSKVVYGVPKHGKKKKFMEVSKHYDAGQSNKISEGNASNRFAKHLLPQLPRPRENTSKVDAIRGRRVGETRSRLPKPTKSQSVGGSSDSLPMHVPNCVSQRSFGFVGSSTSTSNNEKPTSEKNNPALGVGLRTEVPSVSELETASTVPSSKPNVFTTTRAKRKYVPTVSNTNRGILKTSEKTSSDSGEPRMTTSDSTEPRRSNRRIQPTSRLLEGLQSSLIISKVPGEKVPRSNSKSASSRVWKQEDRIGVSVRVFRCRLDSGQDWEMDMRWVVQRWPVGLRMRK >Sspon.05G0002380-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:7316759:7340375:-1 gene:Sspon.05G0002380-1A transcript:Sspon.05G0002380-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGQRNSMEHYADVFGFDIASSSGNPVMDQQSYWNNVLGSVESQNLQGYQMNHSDAAMPYGNEVQQDGTFLGFWESGEASSSGSPLNYGSSSDVKTEHLNIGGGLRIGERRLVADNGISLDVDINLNANVNDLCGQSANVNCTSQGPEQYGGSDRSGINSQPTDLRLHPYRTFLLGAEQADSFTLNPSENPLGDFSLMQESIDQRPGSSLDGRRLACKRKNIEGANGQSSAGASTSFSHRNDNAFHNIASSSYNPAPIRNSSSPNCLPVPSSIEDQLPRYGTNAGISAGTYDLNGGVNNAGNSQRSFRARTTTSQQIAPCSVWPSSNAIRLSNSWNHQPPHFQSAFDDPQEVIPVVSSLNLQYQHPVNVPGVPQAANRFTGHGASSSRAGSLENRILGSEDVPRRNVVPTNYSDLVPPSAVDLRRLVPEPSNWSSDVRGTAISGSIPPVSRANNSSTVNPPAGFNHQNLTRRHPRNLSEEIGRLSGALRGHQPPRLRSGFLLERQGDGVWGVPLSTRGREGRRLMEIRNALEMIHRGDNVRLEHTYSGQLRTDINYPSIFYGGVDIHDRHRDMRLDIDNMSYEEEYVDGDDLGRLDCGHDFHAGCIKQWLVVKNVCPICKNTALKT >Sspon.07G0037330-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:52919198:52923189:1 gene:Sspon.07G0037330-1D transcript:Sspon.07G0037330-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LVQSSSWPKAKTVVKKWLNLKDSEFHSDCIGKLAKMFVGTWNVGGRAPHGGLDLSDWLTDDGPDSSSPHIYVLGFQEIVPLNAGNVLGAEDKAPARKWLDLIRRALNGPASDAATSSSPSSRSHRSPSDRHLLQKASRVSFSDLLAAAEDDSRRASTASSERDDDDVGSEPSTSSSAPESSSEEEPAARRHRGGRYRYRLAASKQMVGILLCVWVRADLLPCVAGVRASCVGRGVMGYMGNKGSVSVSLTLRGGGASLCFVCTHLASGDKDGDGARRNGDVAEILRRTRFARLDSPCRAGSPVTTILEHDKVIWLGDLNYRLLEREGGGTRELVERHEWAALLERDQLRAEQKAGRVFAGWEEGRIAFPPTYKYVAGSDAYAMMSVADSCAAADGSRSRDRKKRTPAWCDRILWRGEGMEQRWYARGESRFSDHRPVAALFSARVGGDKPAPAHSS >Sspon.04G0002640-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4A:8065663:8066255:1 gene:Sspon.04G0002640-1A transcript:Sspon.04G0002640-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding METQRCRLDAGTEVGGGAWSSPVRQRRRQLANGTHNIILRLGGMEAHRCRLNAGTEIGGGVWSSPMWQRREQLANKVASHEAPPSARGKAASVAPRRGTVDAGHGTQRRGMGHGVQGRGRGTARCTRDGDAAQRRGGLGRADDAMSHDERSKLRVRVFSAVTV >Sspon.03G0012300-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:44134566:44139737:1 gene:Sspon.03G0012300-2B transcript:Sspon.03G0012300-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKQQEGKRRRGEKDGKRKGTGKAAAAVVTVPEDAATVAGCWIRFPRLRGCISSRAKVDSSTSARDGGGGATVLLLSVFMFESSLSVYHLTDTISTCVETKPATDDGCQDQSVPPASGSTTTSNTGSISPSSIVGEELKLAFQLRRFTFNELKCATRNFRPESLLGEGGFGCVFKGWIEENGTAPVKPGTGLTVAVKTLNHDGLQGHKEWVAEVDFLGNLQHPHLVKLVGYCIEDDQRLLVYEFMPRGIFCIILYQIESLPLPWATRMKIALGAAKGLAFLHEEAERPVIYRDFKTSNILLDADYNAKLSDFGLAKDGPEGDKTHVSTRVMGTYGYAAPEYVMTGHLTSKSDVYSFGVVLLEMMTGRRSMDKNRPNGEHNLVEWARPYLGERRRFYKLVDPRLDGNFSIKGAQKTAQLAHACLSRDPKARPLMSQVVEVLKPLQNLKDMASSSYFFQSMQHERRTALANPHGSQSMKAQSNFVRNGQQPMRSLSYGPHASPYRQSPRLDSKRQ >Sspon.06G0027450-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:91054447:91060264:1 gene:Sspon.06G0027450-3D transcript:Sspon.06G0027450-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLPAFLAAAARLAVLVAAAVTAANAASFARYRRRHLRRILNPIDESADPIADFRALPSASASASAAEEAAEDGNFFFGLATAPAHVEDRLEDAWLQFAVEHSCDDKEAVRDHKTADAVMASAAGDGGAQLASRSRGDEKAGDGEKRKPLKVAMEAMLRGFEMFADSGDSGSSDNCSHNVAAWHNVPCPQERLKFWSDPDTELKLAKETGISVFRLGIDWTRVMPKEPTEELKSSVVTTGKITRQDRLGAWRELQQAAFQKKTRPFFRAVDKHGRMYAGGLDRPIQRPFILRDWRFGHYEMEGLQDPFSRFIRSIFSPILRKKKIHYIEYEDISYAISP >Sspon.02G0020910-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:63622004:63624151:-1 gene:Sspon.02G0020910-3D transcript:Sspon.02G0020910-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNNGERTIIHTLRDALLHFAVKSKKLASPLLEPFGRASEPATVDDDELTALKSKLRRIRATLRDAESLSVTDRSVQLWLAELGDLEHRAEDVVEELEYESRRSAQLEELKQDLLYAATTGKRRREVALLFAPAPARRLRRKIDDVWARYEEIASDRKKLRLRPGDGALRPVASPLVPSSALPRTERLHGRQRDIERVAALVCGEPDGGRSYAVVPIVGMAGVGKTALMQHVCGMEAVKSYFELTHWIWVSQEFDVVSVTRKIVEAVTRSRPECGELSTLHELIVEHLAGKRCLIVLDDVWDDNPNHWNSLTAPLSRCAPGSAVAVTTRSNKVARMVSTKVFHLKCLSDEDCWRVCQRRALQNRDANVDQELVEIGEKIAKKCQGLPLAAEAAGSTLSTSTNWKHWDEVLNNDLWADNEVKNLVLPVLKVSYDHLSMPLKRSFAFCSLFPKGFVFDKDLLVQLWIAQGFVDAEGNCSLEAIANGYFNDLVSRCFFHPSPSHAISEGKFVMHDLYQELAQFVSGNECRMIQLPNSMKIDESPRHLSFVDEESHSVEEINLNSFCGHRDLRTFLFIARTEQNHEEMAFRTKIPSELITDFECLRALDLSNTNIMELPKSIGSLIHLRFLGLDNTAIQMLPESICALFHLQTIKLNHCSSLTQLPQGIKLLLNLRCLEIPHSDIKMPSGIGELTRLQRLPFFAIGNEPAGCSIADLNEL >Sspon.01G0001760-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:5096939:5099027:1 gene:Sspon.01G0001760-1A transcript:Sspon.01G0001760-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAESTQISALTAGAAHLLCFAGLAAAHALAGRGALVSDPALALRLLVVFSLTSPPLVPVCEAPIVIAVFSYLRRDAKSCSFIKAVARGLIGLPFVPAACVFGASKIDWQNVLSHSIYFTQTDVENYMISAPCHGAVLGAWLGAWPMPLDWERPWQEWPICVTYGAVAGYMVGMVVSLVLTAVHKRR >Sspon.02G0013040-3P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:14242887:14254497:-1 gene:Sspon.02G0013040-3P transcript:Sspon.02G0013040-3P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ARNPESKTAPLSARKSEENSDLSPFAIAIATPRCRQNFRYFSPSRRAEGSRDYRGYRSPSLSVSVLLAGSPSIRSPRGCGASPLDASHPSPQPHTTSETPLSIHRSELRQRFRGVRSVLFAGVEIHTGKMSEFLDLETQDGIRMTWNVIPGTKQDATNCVVPVSAIYTPLKPNPSIPVLPYAPLRCRTCRSILNPFSVVDFVAKIWVCPFCFQRNHFPQHYNSISENNLPAELFPQYTTVEYKSTSETGPVAPPVFLFVVDTCMIEEEIGYLKSALAQAIELLPDQSLVGFITFGTYVQVHELGFGLLPKSYVFKGTKEVTKEQILDQMSFFAGKTKPTTGVIAGARDGLSAESIARFLLPASECEFVLNSVIEELQKDPWPVPADKRSSRCTGVALSVAASLLGVCVPGSGARIMAFVGGPSTEGPGSIVSKSLSEPIRSHKDLDKGSTPLYNKAVKFYEDISKQLVNQGHVLDLFACALDQVGVAEMKVAVERTGGIVVLAESFGHSVFKDSLRRIFQSSDNDLDLSFNGIFEINCSKDVKIHGIIGPCTSLEKKSPLSSDTVVGQGNTNAWKMCGLDRKTSLCLVFDIAKKDGPDSVGVGQSASNQFYFQFLTYYQHHEGQMRLRATTLSRRWVAGSGGVQASFSLAFFTLELIDGFDQEAAAAVMARLVSFKMEAEADFDPIRWLDRALISLCSKFGDYHKETPSSFSLSPRLSIFPQFMFNLRRSQFVQVFNNSPDETAYFTMMLNRENVSNAVVMIQPSLISYSFQSGPEPVLLDVTAIAADRILLLDSYFTVVIFHGITIAQWRKAGYQYQEGHEAPQEEADAIIKERFPVPRLVVCDQYGSQARFLLAKLNPSVSYDNPPAPGGDVIFTDDVSFQIFMDHLQRLVVH >Sspon.07G0034060-1P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7C:68727324:68729015:-1 gene:Sspon.07G0034060-1P transcript:Sspon.07G0034060-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GADGRIGLALNVFGRVPYTNTFLDQQAQERSMDNCLGWFLEPVVRGDYPFSMRASARDRVPYFKEKEQEKLVGSYDMIGINYYTSTFSKHIDISPNYSPVFNTDDAYAKQERMGDIDEGDVPKPVALEDHTRLDFIQRHLSVLKQSIEYVSIDLPTSSLIYSLYYYCTLSTHTYMQGSIKMHPCSLGADVRGYFAWSLLDNFEWSSGYTERFGLVYVDRENGCERTMKRSARWLQDFNGAAKK >Sspon.04G0022840-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:9117044:9119779:-1 gene:Sspon.04G0022840-1B transcript:Sspon.04G0022840-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLLALCECRKIADATSSVVSLCEQGFSVQAGYAIFLRSLCRADRMEEALHLFDCIEEHGCSRDQYMYGSLIHVLLRRDKFEDAVAKLTEMKNEGILQSAQIYTSFIVYYFQKRDVVKALDVLREMKENGCEPTVVTCSALIRGYMAMGMVSEAWDVFQQMKLKGPAPDFETYSMFMSCLCKAGRSEDGLHLIHDMSDCGFIPSTVNFMTVVHGLNVEGKHELAESVLRSKWLLRKQRTISYYSSMLKMKLIYLAAELVQ >Sspon.03G0003490-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:13636733:13637470:1 gene:Sspon.03G0003490-2P transcript:Sspon.03G0003490-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSGPSSSAARGGDDDAHAPQQQVQPLAQAQPQPQAAAPPQPPPPQQLSRYESQKRRDWNTFLQYLRNHRPPLTLARCSGAHVIEFLKYLDQFGKTKVHAAGCAYFGQPNPPAPCPCPLRQAWGSLDALIGRLRAAYEESGHAPESNPFAARAVRIYLRDVRDAQAKARGIPYEKKSRKRKQPAASGEASSSSAAAAAAREAAGAAGSAGDGSSGSAAAGKAAPTTGQGSATTAAAAPASTSRV >Sspon.05G0003070-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:10705217:10708452:1 gene:Sspon.05G0003070-4D transcript:Sspon.05G0003070-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSTRLINDEAPRHGTKSSSPSGAPHIPVTALACRPCIVEYFLDLCLVLCSDSSSTSMAAESDHGNCDAWAARDPSGVLSPYKFNRRAVQSSDVSLKIIYCGVCYADVNWTQNKHNDSQYPLVPGHEIAGVVTEVGTDVKGFKVGDHVGVGTYVNSCRDCENCNSSLENYCPKSVFTFNGIDTDGTITKGGYSTHIVVHERYCFKIPDGYPLAKAAPLLCAGVTVYTPMARHNMNQPGKSLGVIGLGGLGHMAVKFGKAFGLKVTVFSTSESKREEAISLLGADNFSLKNSLHFIVDTASGDHPFDPYLSLLKVGGVMTIVCFPSEIKMHPASLNRGGRTLSGSIVGGTKDIQEMVNFCAENKIYPEIEIIKMDYINEALARLVNRDVKYRFVIDIENSFNKVARSIDIPDKLQMVV >Sspon.03G0020850-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:64541071:64545596:1 gene:Sspon.03G0020850-1A transcript:Sspon.03G0020850-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTSSSPSSVGTVVKILGNDAMVGSIGNLYGSVEKLDETYVRSADAKGALLAPAGGYDGGKLLQLPEAAQSTEFYRCASGATIPHDDHEDDRRGFEPCVWWRGSGGPVVGSCSRQGFCAGRGDVHGDGLDDLKVAPMSTISGITLLSTFGITDIGMLQEKTVKLGYEDGMKIQKASLQSKTVLTDVFLYNLTTCGTRVAHGQRRRHAGVCAVRRDPDHQAAHRQGGAGGGPGRGGQGVVDFLLGLLAMPIGAVVKLLGHKEKEGALAGLASLYASVQQMDAGYMQSLETRDALLNPAPAHPALIAAAGGFPSLVQPPLSAPPAPAGKASAGPGGLSLKNLSLPAFGLGSACHCAACLAAQAQEQGSKGFVRGAVTYTVMDDLSVTPMSNISSIALLHRLGVEDLGALEEKTVKIGYQEGLEILKASLHSKTVLTD >Sspon.02G0024420-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:82055349:82056392:1 gene:Sspon.02G0024420-2B transcript:Sspon.02G0024420-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAVSAAACCAAAAPANEVAEDLFGFLRVLSDGTVLRSPAGPVFCPKTFPGSHPSVQWKEAVYDKAKNLRVRMYKPTPTASAGKQKLPVLVHFHGGGFCLGSCTWANVHEFCLRLAADAGTVVLSAGYRLAPEHRLPAAFDDGAGFMRWLRDQAAADGWLAEAADFGRVFVTGDSAGGTIAHHLAVRAGSGSSAAEPGLVTVRGYVLFMPFFGGVRRTASEAECPEEAFPNLALVDRFWRLSLPAGATRDHPAANPFGPDSPDLGSVDFPPVLVVVGGLDLIRDRTVDYAERLAAMGKPVELAEFAGKPHGFYLHEPASEATGELIQTVARFVDGCGAVVAASEAAA >Sspon.08G0005260-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:16123137:16127009:1 gene:Sspon.08G0005260-2C transcript:Sspon.08G0005260-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIHGLILEVRVTGCRKLRDTEFFTRQDPYVVLEYATTKLRTRTCTDGGRNPTFDEKFHIPLIEGLRELNVIVWNSNTLTHDDFVGSGRVYLHKVLTNGYDDSSWPLQTRHMRSAGEVKLIMHVDVSAMKNKMGRSVAASSAHPVPALSMPVPTPAPAPAPAPAPAPAPAPAPAPAPALASAIPYTGVTPSYPPVSAYPAATAYPAYPTPSHAPYTTAEYPPPPQQPYQPPPAGYPPSYPPQPYEQSYPPQPYGQSYPPQPYGQQPYPPPPAAQSPYPPAPYPGTYPPRPY >Sspon.03G0024690-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:75042755:75045172:1 gene:Sspon.03G0024690-1A transcript:Sspon.03G0024690-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:2-methoxy-6-polyprenyl-1,4-benzoquinol methylase, mitochondrial [Source:Projected from Arabidopsis thaliana (AT5G57300) UniProtKB/TrEMBL;Acc:A0A178U865] SGPKHPNGGSLPPLPRHQLRYTLLILKSRSSSGYKQVREEEKSKLVGNVFSSVASSYDLMNDLMSVGLHRLWKDRLISKLNPFPGMKHLDVAGGTGDVAFRVLERIKSVGHRAMQGTLTETEEDTHIYVCDTNPNMLNVGKKRAAERGYSEEHCLSWIQGDAEALSFEDGSMDGYTIAFGIRNVTHIEKALSEAYRVLKRGGRFLCLELSHVDVPVFKEIYDVYSFSVIPTIGELVAGDRQSYQYLVESIRRFPNQEKFAQMIEEAGFQRVEYENLVGGVVAIHSGLKL >Sspon.01G0041640-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:52092134:52094429:-1 gene:Sspon.01G0041640-1B transcript:Sspon.01G0041640-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVPLVVLSCFLLVLPSATAIGKVCGNAGNYTANGTYQSNLAFLAATLPSNTSSSPQLFATATAGQAPGDVVYALALCRGDLTSNLTGCSACVNGSFQYAQRMCPNAKAASVYDDDCLVGYSSDNILVPAYNVTRDMSTLFNYWNNAATTPGGNATQVAADVKDLLTQTAQQAAARPSRFVTAYMDASSTAIRTLYSLAQCTPDLSAGDCMACFQQILGTLNATTPVAGRVLLLRCNFRVENIMFFDGAQMTQITPSSGAPAPPLPAPTTNKGGSRLRQKHTRNNLKGDELGWEMEAELSEFSVFDFRQILEATNNFSEENKLGEGGFGPVYKGQFPDGTEIAVKRLASHSGQGFVEFKNEVQLIAKLQHSNLN >Sspon.02G0015710-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:21790910:21792945:1 gene:Sspon.02G0015710-2P transcript:Sspon.02G0015710-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDPDAEAASRRRWTLVLVNLASVLEKADEVLLPAVYKEVGAALGASPTALGSLTLCRALVQAACYPLAAYASARHDRARVVAVGAFLWAAATFLVAVSGSFVQMAISRGLNGIGLALVLPAISSLVADYTDDHTRGAAFGWLQMTCNLGSILGGSFGVLLAPITFLGVAGWRVAFHAVAIISVALGVLMWLFAADPASPAVAKSSKTAAASATEEAKELLQHARRVLGVTTFQIIVAQGIAGSIPWSALNFSAMWLELVGFTNWETSVITGLYLFATALGALFGGLIGDPVARRFPNTGRIALAQISSASALPLGAILLLALPNDPSTGVAHAVTFFVMGFAISWNASSTNKYDRYDAFVSGVLLCCIAACSLSIVFLPAQLHCSPIFAEIVPEKARTTVYALDKCFEAVFASFASPIVGVLAERVFGYKPVSSDTSVDTDRENAAALAKAVYTEIAVPMAICCLTYTFLYCTYPRDRERARKELLMASDDQLGGDGEASDEESSAVHTRVDEESSVSSLNQRLIS >Sspon.06G0008530-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:44949016:44962446:1 gene:Sspon.06G0008530-1A transcript:Sspon.06G0008530-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLLASRSIRSSFSAVTASTRGASPRPARVATLASHGARARPLRAGPKDSTLEVLAQDDILNAKELVQWENGLSFNDIAARQGIRIRRHNHPTASLKEIEEELGAPRNILEKIIWDKEIEVAEGRAKMPLEEVTQAARKAPPPRDFYGTLEAAYKRNGVPALIAEVKKASPSRGVLRENFNPVEIAQAYEKNGAACLSILTDEKYFQGSFENLEKVHTSGVKVHDEREMERVMKINGVKLIGINNRSLETFVVDTSNTKMLLEKHGDSIREKGILVVGESGLFTPDDVAYVQNAGVSAVLVGESLVKQECPGRAIVGLFGKELLH >Sspon.07G0016400-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:46346930:46349859:-1 gene:Sspon.07G0016400-3C transcript:Sspon.07G0016400-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKIFGAKKNKDPPPSIQDATDRITKRGDTVDEKIKKLDAELARYKEQIKKTRPGPAQEAVKARAMRVLKQRRMYEGQRDMLYNQTYNLDQVAFAAEGLKDAQQTMTAMKGANKELKGMMKTVKLEDIDSMQDEMMDLMDVSNEIQETLGRSYNVPDDIDEEELMGELDALEADMDFESEAVPSYLQPEQESELNLPAAPTGHAAVPANQQQEDELGLPTVPHASIRT >Sspon.01G0014230-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:43333806:43339198:1 gene:Sspon.01G0014230-3D transcript:Sspon.01G0014230-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGGGSLAEVEMAWHLLTVLIRLGRPAAPSELAAAAVPSISTRAVEQMCCLPRSPLWISDDGVVTPSETAVLAFLRFMGWGIPGPQVSLRPSEVRRWSGKVSIRYERKRQGSDARCFNAKRRRLLAPDADLVDQTEHQSCQLVAQTCTTVGTVATGEVHLEVMQKLKDRLPTLGTFLGEPSLGFSTAVTLAPNIAKITMLCLQPKLDQPLRGDDGTVIGNMPLALVPTGFSDCPCSVNLPPLDAEKSKCTNVEVHGKSNKISESEQVSLLNCRVEDSDDIDIQKESVLPMASHDVLVGESKNRADEDLNLVCKNHGSLINHNTKTADSIEAFDMILNQADGLQYNCLNDGHHQYASGCVQEKNPLGASAYAEVFTDKTTQILFQPSMDNKAGSMAPQMNRNVQSETLPQETTRQDGMNMKNMNILYENRDIRYLNHGEQSLNKAEVNVSKNGRDKLAVKQKEKCKKNEQPKEDKDHTAKTQKGHEAPKPLPAFKGFVIEEEEGSGGYGTVYRAQRTKDGKTFAIKCPHPNAHSHHVNNELKMLQRFGGKNCVIKYECSLKSGDLDCFVLQHVEHDRPEILKKDITLLELQWYGHCLFRALAGLHRQGVVHRDVKPGNFLFCRKQKRGYLIDFNLANDLHQKFLKNCKSDATSSGKDTTSQTLSTIAPVVHAKEPAADSKQPLPLKRKRSSRSPVDSAHAPKIDNKSRHGNQAADVSGVTSAKDPTSTKTSLDRLKQPMPYKGRKELMNFLHETMQSPKKSTMPTPVSHRKRVAAPFGSADQKLFILTPMPLRSGGSAVAGSGMFNNKVQYMENIEEKVHVLELKDSGLQRFDYDFCCAKSISSLKLHILKSFHQGCKVDVWSAGVTLLYLIIGRTPFGGDPEQNIKEIAKLKGSEELWEVAKLHNCESSYPSDLFDVKFPLCSVDLREWCAANTRRPDLLEMIPNSFFNLVDKCLAVNPRCRLSSEDALRHEFFAPCHDSFRKLRMPRRSAGSDAACSSSHQNTALTAKQS >Sspon.02G0015530-4D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2D:36562494:36563024:-1 gene:Sspon.02G0015530-4D transcript:Sspon.02G0015530-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFMHTTLRGGGASSNSSSGEKAAADGPIAHGNLKASNVLFTAGMDPCISEYGITAPPTGGRDSAAAFRADVYAFGVLLLELLTGKATSAQGDGAELARWVTSVIREEWTAEVFDRALLAGSGVGSTEQRMVRLLQVAMRCVDASPGSAPPPTMREVASMINSIRDEDDRSFSLEA >Sspon.03G0021800-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:66461186:66465302:-1 gene:Sspon.03G0021800-1A transcript:Sspon.03G0021800-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADSRVLPIISSLVPFLPVLLLLLVASCPATLASATHGGGRKHARPHQAVFRAGDEREAYRRIMARMARMAKDSNMTIQSPDGDVIHCVPAHRQPAFDHPMLRGQKPEDEPVERPMPKGGASEEEEEEQEEAGVFPQAWSDGGKGCPEGTVPIRRTTKRDVLRASSALRFGMKARASNARRDSTSSGHEHAVGYVTGDQFYGAKASLNVWSAKVASAAEFSLSQIWVISGSFGNDLNTIEAGWQVSPELYGDSNPRFFTYWTTDAYQETGCYNLHCSGFVQTNNRIAIGAAISPTSVYNGRQFDISLLIWKDPHRGNWWLQLGSGPLVGYWPSLLFTHLGGHANMVQFGGEVVNSRPSGWGAATSPGEGFNRAAYFRNVQVVDGDNSLVPAAALRLVADHPGCYDIQGASNRAWGNYFYYGGPGRNVHCP >Sspon.05G0005770-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:18387143:18393353:-1 gene:Sspon.05G0005770-1A transcript:Sspon.05G0005770-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDEIDRSLRDALCIVKRTLESNMVVAGGGAVEAALSVYLENLATTLGSREQLAIAEFAESLLIIPKVLSVNAAKDGTELVAKLRAYHHTAQTKADKQHYSSMGLDLSKGIIRNNLEYGVIEPSMSKVKIIQFATEAAITILRIDDMIKLTKEEGNEEDVSLISSVIKDNNAN >Sspon.01G0009470-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:26943328:26946065:1 gene:Sspon.01G0009470-1A transcript:Sspon.01G0009470-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSQAVSLVLDTNSFNGTYPGSAIAGLSELETLTLAHNPFTPGPIPDDFGKLTKLKMLWMSEMNLTGGIPDKLSSLTELTTLALHVNKLHGEIPPWVWKLRKLEILYLYDNIFTGAIGPDITAVSLQEIDLSTNWLTGHIPESIGNLKNLWLLYLYFNNLTGPIPSSVGRLPNLADIRLFSNRLSGPLPPELGKHSPLGNFEVSNNFLSGELPDTLCFNKKLYDIVVFNNSFSGVFPAILGDCETVNNIMLYNNNFTGEFPEKVWSAFPYLTTVMIQNNSFTGTMPSVISSNITRIEMGNNQFTGDVPTSATGLKTFMAENNRFSGTLPENMSGLANLIELNLAGNRISGSIPPSIRSLEHLNYLNFSSNQISGPLPAEIGSLLVLTILDLSNNELTGEIPQEFNNLHLSFLNLSSNQLTGELPQSLQSPAFEDSFLGNLGLCAAVNPNINIPACRYRRHNQMSTGLVILFSVLAGAILIGAVGCFIVRRKKQQGRDVTSWKMMPFRKLDFSECDVLTNLREEDVIGSGGSGKVYRVHLPGRERGRRGGCGGTVVAVKKLWSRGKAEEKLDREFDTEVKILGDIRHNNIVSLLCYISSDDTKLLVYEYMENGSLDRWLHPKDNATATAALDWPTRLGIAIDAARGLSYMHDECAQPIMHRDVKSSNILLDPEFRAKIADFGLARILLKSGEPESVSAVGGTFGYMAPGTFLTSRKTVNQKVDVYSFGVVLLELATGRVANDSSKDAAECCLVEWAWRRYKAGGPLHDVVYAVYAEDAVAVFVLGVMCTGDDAPSRPSMKQVLQQLARYDRTAGVAGACRDGRDVEVGQVPKGKQGRHRAAKRSWDTGAFLGGDEESGNFVARPV >Sspon.08G0006780-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8B:18763226:18764848:-1 gene:Sspon.08G0006780-2B transcript:Sspon.08G0006780-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATAAAAAATTTNGALAMTNDTVATANGARTNGTPATTPNGASATAYGSPASHHGANGAGDGVPVPGSGRDHVVIFPFMAKGHMLPLLHFATALSAQHGRSHRLRVTLVTTPGNVAFARSRLPASVDLVALPFPSFPPLPAGVESTDALPCPTLHLTFMHATGLLRGPFAEFLASLPSPPLALVSDFFLGFTRRVAADAGVRRVVFNGMSCFASAICKALAASPPASGFEPGAMIQVPGMPEHVVVRAEEVPDGVTKRADPDNPFTRFFMDEIGDSDVRSWGVLSNSFAALDEAYVPALESFYEAGARAWLVGPLFLAAAGDDIPDGEKEQDPEGCLSWLDERAAAQPGSVVYVSFGTQAHITNAQLDELVHGLLQSGHPFLWAVRSDTWSPPVDVGPNGRIVRGWVPQRSVLAHKAVGGFVSHCGWNSVMESLAAGKPMLAWPMIAEQHLNARHVANIIGVGVRIALKAGADVVGSAEVEDKVRELMDAECKAAKQMRERAAWAQQAARSAVSHSGTSAMALQKLVEELQETYDDVVGK >Sspon.03G0018610-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:87131568:87132711:-1 gene:Sspon.03G0018610-2B transcript:Sspon.03G0018610-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQRILNTAKQTPAPTQRHHPSPPPKLGRRAAAAAIAIAAAPALLSVSPASSKAQEAEATAVPAAEAAPPCLAELPVTAKAFLDVSIGGEPAGRITVGLFGDAAPAGASRFLSLVTGVGYRRKEFVKIVPGYVQHSGVVSYPAIPAVTDRLAAEAEAVRARCGAGGAGTVHAAAGAVSIVVRDPSLPPPKPKLVARGGKLEVEEEQAGVVPNGTEFVVTTEAAPELDASAVLVGRVLDGMDVVAKIAAVPTVRDNTGSPYFRVAKLIGDKRAVVAERGFNRPYTKILVTNCGVLS >Sspon.05G0017980-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:75795870:75798713:1 gene:Sspon.05G0017980-1A transcript:Sspon.05G0017980-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASSSRGRSGSAVLKRRRGVGAATGSGSTAQSLNDDILRSVFSRLDDHFDLARCSAVCGSWNRIIETAHLMRDLYYKRNPPAKGSSSNISVKSYFEMLAMDEHASSFSRGPAEAFQWIGHPIRATLCRMKSGSILTGVGDKVLRLWSAESCKFMNEYSVPNSKTLVDFDFDENKIVGLTSSQICIWRRSEPRSIFQSGGASFNHGLCMSYADPEV >Sspon.04G0028180-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:64462535:64464192:1 gene:Sspon.04G0028180-1B transcript:Sspon.04G0028180-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVATRFGSPPPPQTPRPRPRRRAAFPAGAARGRIRRNSSLCNLVPRTAKASVSGAGPSSDGEDLNEVIDTVEVESTTPGASLLAKVAVAIGIAATATVISLVMKEPSSGPSFRLPQIVDASTQSDAAAATIGYTFSLFGKKLIIPEYTPGNA >Sspon.07G0015680-3C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7C:61309214:61310782:-1 gene:Sspon.07G0015680-3C transcript:Sspon.07G0015680-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTLQPVLQVVDVRMVKNVDNPSAECFRMVLSDGVYTLQSMVDTAHNPRVRDGSIQKGSIIRLLEFTCSTIQSRRIIVVLQLDILQSEHDIIGNSKPFEMRNVPNGTTSVNQGMLAEIPWKTVAEIRNSLGYLVKPELFTVKATLSVMNSESLCYAACPLVVNGNQCNGAITSDGYEWWNCDGCNMTFVACDYRYKIFVQLADSTGVIYATTSQEVGEEIFGQTARELYLVKYEKQDLAQYNKIVMGVQNCEYLLEVILNREAFSDESEALPMFTIVKVESLNPSAENRRPVRRTSVGMRTGFSDLEAQLRQGVRNFSTGNAIDAAGVHVPYLLSEETNSNGL >Sspon.03G0023050-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:94073347:94079950:1 gene:Sspon.03G0023050-2B transcript:Sspon.03G0023050-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RAP domain-containing protein, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G31890) UniProtKB/Swiss-Prot;Acc:Q8VZE7] MEAAIPLGVALPRGSTGICSFSVLLKSSTKPSLSCFGRVPRKLKLFPSRAVSEDRADATPQWQLDFLGASAVTPDTPEDEDEEDLLPAEATDWCVRARRSALRSIEERGLAPSLQRMVSPPKKKKKKKTAKKKEFKKAAAELKRRNKQVDDAEADEDDDDDYDVVDDLQNMDDLELRVAQFADGMFDEKRQRNREAFVQTLSRFSAAPSNRSKEVSLNRSIVQAQTANEVLDLTAEVITAVAKGLSPSPLTPLNIATALHRIARNMEAVSMMQTHRLAFARQRDMSMLVGLAMVALPECSPQGVSNIAWALSKIGGDLLYLPEMDRIADVAMAKVQDFNAQNVANVAGAFASMRQSAPGLFSALALRAAQLLQTFKEQELAQFLWGCASLNECPHPLLDALDTAFQNDTSFQCHVSDLKSSAHQSSAQELSGGEGGSTSSARTLNFSRDQVGNIAWSYAVIGQMDRPFFSHMWKTLSQFEEQRISDQYREDMMFASQVYLANQSLKLEYRNLGLCLRSDLEEKITKAGKSKRFNQKTTSSFQKEVGRLLYSTGHEWVREYTIDGYTVDAVLVDEKLAFEIDGPTHFSRNLGTPLGHTAFKRRYIAASGWKLVSLSLQEWEDLQGEFEQLEYLRRILDIEAE >Sspon.01G0026750-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:101127473:101132675:-1 gene:Sspon.01G0026750-1P transcript:Sspon.01G0026750-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:At1g77720 [Source:Projected from Arabidopsis thaliana (AT1G77720) UniProtKB/TrEMBL;Acc:Q84VX4] MENRDSFPRPPTPSYPSLTRAVAVPTGGDTAATNLTSSSGSSSSLTLSPPRFLQQIHAAVKRQRPFGPMQSKLPRATRVLVSGGERSTKVGACPSVAKDPERKVTQPQRGLLGSSRLPNATPDQSTPKLGSSTPDELMMTASSSMLKSTIDTHAQSVGQKNNEANLLIDTEKSALDALSSQITSCNALMGESFKKGQLDLDGNPQLTSQSDNIGITVDSRMDSMSSYLHSVSLTAGDNFPANQGAQYDHQQNHQELEIVGAEVDMDIKYDAPNLSRRGIDEARNHSHGEPMTRFSAIGSSVTAISLHSGPTVQSLQTPQVSRYTSPVQMPESAVESSKGVLGHGSQKEPAGATGVGDWNPHNQHVRNLGNGAMDKAVSSIGRLRSEGLPANDQSTSARDGGASRPNKGEKERHKKNYDPNVFFKVNGKLYQKLGKIGSGGSSEVHKVISSDCIIYALKKIKLRGRDYPTAYGFCQEIEYLNKLKGKSNIIQMIDYEVTDKSLLLESSVPPRDGRIKDDHFIYMVLEFGEIDLANMVALKWKERSNSNMKIDENWLRFYWQQMLEAVSTIHEERIVHSDLKPANFMLVRGSLKLIDFGIAKAIMNDTTNIQRDAQVGTLNYMSPEAFMCNDTDSGGNVIKCGRPSDIWSLGCILYQMVYGKTPFADYKTFWAKYKEVTDRNHKILYEPVDNPWLVDLMQRYAWDRNERWRIPQLLKHPFLNPPVPKDLPPSDDDPCRLLMERIRLHWDNPVVQKLRSLIEELDGDQ >Sspon.04G0003650-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:11999598:12006070:-1 gene:Sspon.04G0003650-2D transcript:Sspon.04G0003650-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQGVANPARSAGLRVPMETSEAAAAHPQKDSVKVAVNIRPLITWNCRRAARIALPSRPANRRFKLGPMSSPLIISGPSSSLIFEQCVHPLIDALFSGYNATVLAYGQTGSGKTYTMGTDYSGEGNCGGIIPQVMDTIFRKVDTSKDGSEILIRVSFIEIFKEDVFDLLDANQAAVRLDAGSMAKASAPGRVPIQIRETATGGITLAGVTEAEVKSKEEMASYLTRGSSSRATASTNMNRQSSRSHAIFTICVEQKRTSGASDKSGSCDYDIISSKFHLVDLAGSERAKRTGADGLRLKEGIHINKGLLALGNVITCISPADINAEETINTLKYANRARNIQNKAVVNRDPATAEMQKLRSQLEQLQTELLFSRSGSAALEELQLLQKKVSLLELKISELNNELKERELSCEQLAERARAAQLERDQLMLKIESARNGKSWDDIENDDNDQDMDLMKGYISKIQQLESELMRQNFSNACRHGLNDQLAMEQDILLNELGSGCEVGTPDASSEVDEEEKEREHSSMQDQLDKELQELDKRLQQKEVQLQQKIKQESEQFRSWKAAREKEVLQLKKEGRRNEYEMHKLLALNQRQKMVLQRKTEEAAAATKRLKELLEAKKSSRETYGGANGSGCRRAVISKEIAKLKEESKHKMSDYPQAMSPSARSSRISALENMLSSSSSAMVSMASQLSEAEERERVLNGKGRWYHVRSLPEAKNIMNYLFQLTSSARCQVLDKE >Sspon.01G0002080-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1C:5897391:5897883:1 gene:Sspon.01G0002080-2C transcript:Sspon.01G0002080-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAGRGGRGGTALLLRTLAAPRPWPPAGAGAGARCIHEGPDTIEELLDRHLVKKPAAGPDEESAGARRQADELPAGGAGPVPGHPPGGAALRVARRPRRAVAGGAARQRQARVRGGPRGARPGGGGAPPHRRPRRRAAGARPPRRRLTPRDRGRGGQAPWRSV >Sspon.01G0005600-3D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1D:13177860:13178282:-1 gene:Sspon.01G0005600-3D transcript:Sspon.01G0005600-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSTTYLPSLNILYTPGVIRSTIVEPARPEGSTPPSKTYEQCGHKPSKSLTQTLLGCPLKLALVSASALPAPRAMDRMKSRSGTRTPMLSKPGLSDLSNMLFSPKCKQMNSTINASACLRQMLHKTKKNSVVTNLVLEQQ >Sspon.05G0007530-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:17548413:17553706:1 gene:Sspon.05G0007530-2B transcript:Sspon.05G0007530-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQVAAAAVPNGSPGAVPAVVSPGAVGVGVGVAQPLPTTSLYVGDLEGSVSDSQLYELFSQAGQVVSVRVCRDVTSRRSLGYAYVNFSNPVDAARALEVLNFALLNNKPIRVMYSNRDPSSRRSGSANIFIKNLDKTIDNKTLHETFSSFGTILSCKVAMDEAGQSKGFGFVQYEKEEAAQNAIKSLNGMLINDKPVFVGPFLRKQERDHSFDKTKFNNVFVKNLSESTTKEDLLKIFGEYGSITSAVVMIGMDGKSRCFGFINFENPDAASRAVQELNGKKINDKEWYVGRAQKKSEREMELKRSFEQSLKDAADKYQGLNLYLKNLDDSIGDDQLRELFSNFGKITSYKVMRDQNGLSKGSGFVAFSTREEASQALTEMNGKMISGKPLYVAFAQRKEDRKAMLQAQFSQMRPAVPMTPTLAPRLPMYPPMAPQQLFYGQAPPAMIPPQPGFGFQQQLVPGMRPGGPHMPNYFVPVVQQGQQGPRPGMRRGAGAQGQQPVPPFQQQILPRGRMYRYPTGRSMPEAPAMPGVAGGMIQAYDMGGFPVRDAALSPAAQIGTLTSALANANPEQQRTILGENLYPLVEQLEPNQAAKVTGMLLEMDQTEVLHLLESPDALKSKVAEAMDVLRNVAHQQNPNTPTSQLAALSLTEGIIS >Sspon.03G0001860-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:4453185:4456110:1 gene:Sspon.03G0001860-1A transcript:Sspon.03G0001860-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGGQDLQLPPGFRFHPTDEELVMHYLCRRCASLPIAVPIIAEIDLYKFDPWQLPRMALYGEKEWYFFSPRDRKYPNGSRPNRAAGSGYWKATGADKPVGTPKPLAIKKALVFYAGKAPKGEKTNWIMHEYRLADVDRSARKKNSLRLDDWVLCRIYNKKGGLEKPSAAAGDHKPMVFAAGAVSSPPEQKPFVATPGGLPPAAFPADLAAYYDRPSDSMPRLHADSSCSEQVLSPEQLACDREVQSQPKISEWERTFASDPVNPAGSMLVDPVVGGHAGDPLLQDILMYWSKPF >Sspon.02G0024060-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:79058869:79060570:-1 gene:Sspon.02G0024060-3D transcript:Sspon.02G0024060-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSDELAQIDISKEVRTSFRAHLPRCGAPAREVFGGLSDPPVLQEKDKLVAEVMRYVLFKTHQNSDCPIKREELTRIVTKNYCQRALPTLVINEAKDRLAATFGYEMRELQRTRAPATRSGRPSQPQLNVEAKSYVLISNLDPEVYSKYVEDKGATHLSGFAFVVISTIHLAGGKMPEEDLWHQLKRVGLNENDETHPVLGNNKQVLEHLVQQRYLLKEKVAGPEGHFMMYELAERALDESISGKIKDHISQVVGTSLQK >Sspon.01G0030930-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:106577807:106581569:-1 gene:Sspon.01G0030930-1A transcript:Sspon.01G0030930-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin carboxyl-terminal hydrolase family protein [Source:Projected from Arabidopsis thaliana (AT5G62990) UniProtKB/TrEMBL;Acc:Q9FM53] LVRCAALDRQAARASRLRFARKLLTLLLSKRRHFLPLRVLNRCRRFLGLPRRGRPLIPMVLRYPALFRLFQAHTSLPLSPSLSTLAVGLTPAAEALAADLAALRATSTGAGALAAKIHRLLLMTPRRSIPVSRLVHLAPDLGLAMDFRATLCPRHPDLFRLVNTSRGHALQLADPPPTPPPPLLSLRPATPPDRLMDRPRRFPHLPLRRGLNLRRAHRDYLLRFHSLPEVSPFEPLDEGASLEMLERRACAVVREVLAMTVEKRTLVDHLTHFRKDFGLPNRLRAMLVRHPELFYVSVKGVRHSVFLVEAFDDDGRLLVEDEMLAYEEYDAESGEMEEFWVKKAVAQGLVDDGNEQDVWSYCSKVKEQCSADMIHAEDAFKSSWIYHAKVMMTGFCTFCIERILVDKMIDLPWDSEEYEHLHKSLFDSAHEMPMKPNDSLLCCLLPSASARNHQLMAASKGMSGQGGDPMSLVKMKGEQTGRVELLLLLEGDRALFVSLKFGCCYADLL >Sspon.06G0016980-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:75436663:75456525:1 gene:Sspon.06G0016980-3C transcript:Sspon.06G0016980-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQREKLVCLAKLAEQAERYDDMVEFMKNLARMDVDMSAEERHLFSVGFKNTIGAKRASWRIICSHEQKVTTDRQTGVMIDAYKRKVEDELRKVCNEVLSIIAIHCLPLANADENVVFFYKMKGDYYRYLAEFSTGTEKKAASDQSLMAYQHAMVVASSELSPAHQIRLGLALNLSVFFYDIMNSLERACQVAKQAFDEALTEINSGEGVYKDSTLMMQLLKDNLALWTAELTADMVEFMKNLARMDVDMSAEERHLFSVGFKNTIGAKRASWRIICSHEQKVTTDRQTGVMIDAYKRKVEDELRKVCNEVLSIIAIHCLPLANADENVVFFYKMKGDYYRYLAEFSTGTEKKAASDQSLMAYQHAMVVASSELSPAHQIRLGLALNLSVFFYEIMNSLERACQVAKQAFDEALTEINSGEGVYKDSTLMMQLLKDNLALWTAELTAGDYYRYLAEFSTGTEKKAASDQSLMAYQHAMVVASSELSPAHQIRLGLALNLSVFFYDIMNSLERACQVAKQAFDEALTEINSGEGVYKDSTLMMQLLKDNLALWTAELTADYFVV >Sspon.02G0023630-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2B:80013559:80013894:1 gene:Sspon.02G0023630-2B transcript:Sspon.02G0023630-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MCYDGPELVARTKHRFPELTSLRVASASRFPDIIRFEKGSMAMLETLLVNMIDNEEKRIVGIEHLTSLKEVQLWGRKNNPALGRALEQLNDENQRRQLESKNQFQIVVKYE >Sspon.04G0030950-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:3569918:3574950:1 gene:Sspon.04G0030950-1C transcript:Sspon.04G0030950-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKFSREYEASIIPEWKATFVDYKGLKKLVKRIKIARRDAAPLLLAGREAAGAGGGGRSSDGGGNNASSGSYGFSVLDPKYGGGDRSPSTQVGDDDGDSDSLESDSGELVRATDKHEQEFLEKADEELEKVNKFYAAQEADMLARGDALIEQLHILTDVKRILADHAAASRRGRARLARTASSPPSVNGSNSGRHLLSSPFVVASPQSMSDGSVELQQARVAEGAAVAEEVMAALERNGVSFVGGGLGKARKDGSGKQLMGRAALLQLPATVRIDIPPTSPGRAALKVWEELVNVLRKDGADPAAAFVHRKKVQHAEKNIRDAFLALYRGLELLKKFSSLNVKAFTKILKKFVKVSEQQRKTDLFSEKVKRSPFSSSDKVLQLADEVESIFLRHFAGNDRKVAMKYLKPQQPRNTHMITFLPMSPAFSLLPETQPTWRLFTIMFALISLHVFLYGCNLFMWKSTRINHNFIFDFSSSTALTHRDAFLMSASIMCTVVAALVINLFLRNAGPTYANALPGALLLLSAGVLFCPFNIFYRSTRYCFMRVMRNIILSPFYKVLMADFFMADQLTSQIPLLRHLEFTGCYFMAGTFTIHAYGSCTSSSQYKNLAYVLSFLPYYWRAMQCLRRYLEEGHDLNQLANAGKYVSAMVAAAVRFKYAATPTPFWMWMVIISSTGATIYQLYWDFVMDWGFLNPKSKNFWLRDQLILKNKSVYYASMVLYLVHLFFNTTELIYGLWNSKFSSANALTTQMLNLVLRLAWAESVMKLHLGMVESRLLDFSLASLEIIRRGHWNFYRLENEHLTNAGKFRAVKTVPLPFRELETD >Sspon.04G0027350-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:60789294:60791215:-1 gene:Sspon.04G0027350-2C transcript:Sspon.04G0027350-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDPSSFSPIGVDAMGGGYFMGGSSSSYGGGGGSIMSAEVPHFHPGMLLDHGGGFGFGLGDAAVCGAGAATATDLVGAHYAANNIVLASFASQFFANTTAPPPPPPQDDRTCDRTPPEMDDEVYGVSGSDSRVVAASLRCPSHPGAMAVWSSPSSSKKPYGIWTSAGGPVAASGHDPYHLAGAGLPDLGGGFHYPLAACSGANASAAAASELSLTLCSNSISSSDSALNATEQCSSGASRSALTELPPHPTRARSRMAPHFTVVVARSRYAAVVQEVLNDVVGHMLDGVADVADDSCSGVDGGSVGAPSAVSSNRFMVASSADAGARWGEAQRVRSKLLKTLQLMDQKYNQCLDEIQSTTAKFNTLMHSPSGGNGGSICAPFAHRAVSAMYRGLRRRLAGEIMAAASRASCWGESSSSAVTVTAAGGDVERSWESAFIQKHWSAQQLRRAEQQCWRPQRGLPEKSVAVLKAWMFENFLHPYPKDHEKDVLAARSGLTRNQVSNWFINARVRLWKPMIEEMYQDLKRSSGAGAGGQGPAMEQQQHMSKRRIICELEDGGQ >Sspon.04G0021400-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:127948:139049:1 gene:Sspon.04G0021400-1B transcript:Sspon.04G0021400-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKRLRRKGDASSAPQPKAAAPGSTKPDKFKKKGVKTAGISEASASAQTPAPKPVKTSPAAAAAAETADDRVAQSKTENRKLSRKETMKGREEKKGEGASDGVAQSKTEGEAEERTTSRKEMMKGREEERVKREEKRRKTTGKEKEEEESGSGFIFMCSARTKPECYRNGVFGLPRGKMDVVQKIRPGAKLFLYDFDLKLMYGVYKADTRGGLDLVKFSVDMDCLPIPESSFKNAIKENYTSKGRFSQELNSKQVQRLLAMFKPIGLSQPAPQHIEEVRRSRIVEDIRKASDYEERRRLQHIEERGAPINVHAHPLEDQYKITRSLHPPLLDEPRRGVVLDPYHMQEPQHVPLNYYHQVATRSPYHQPHMDIIHERTATEATVRDPLLVRDHRALPGELAARSERVDELYRSYKLSTRAMDFNPGASYQTTYEHPTSVYGEGIQRPVLTRVSGASVPVSTRYSFAGPPGY >Sspon.02G0043680-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:95084128:95086283:1 gene:Sspon.02G0043680-1B transcript:Sspon.02G0043680-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLSLQHPWAFAFGLLGNVISFMTFLAPIPTFYRIYKSKSTEGFQSVPYVVALFSAMLWIFYALIKSNETFLITINAAGCIIETIYIVMYFVYAPKKAKLFTAKIMLLLNVGVFGVILLLTLLLFKGGKRVVMLGWICVGFSVSVFVAPLSIMRRVIQTKSVEYMPFSLSLSLTLSAVVWFLYGLLIKDKYVALPNVLGFTFGVVQMVLYVLYMNKTPVAVAEGKDAGGKLPSAADEHVVVNIAKLSPALPERSSGVHQATQMAVAAVPARSCAAEAAAPTMLPNRDVVDVFVSRQSPAVHVA >Sspon.08G0009920-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:43292680:43296200:1 gene:Sspon.08G0009920-1A transcript:Sspon.08G0009920-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWKVASGGKAAAAPGGDKLGRFPPSSSAAAAAARSRMKLWLVRATTTVLLWTCVVQLTAVGDTWGPRVLKGWPSCLTPHDEEAAAARPRPPLVDRAAALPLPPKSEFACSSSSLFISLVACSLSFALFRPAICDMVVIARYLNVTLVVPELDKTSFWNDPSEFQDIFDVEHFITSLRGEVRILRELPPRVKRRVELGMFHSMPPVSWSDISYYQNHILPLIRKYKVLHLNRTDARLANNGLPMEIQKLRCRVNYASLRFTPQIEELGKRVIRILRQNGPFLVLHLRYEMDMLAFSGCTQGCSTEEAEELTRMRYAYPWWKEKVIDSDLKRKDGLCPLTPEETALVLRALDIDRSMQIYIAAGEIYGGKRRMAALTSAYPNVVRKETLLEPSDLMFFQNHSSQMAALDYLVSLESDIFVPTYDGNMAKVVEGHRRFMGYKKTVLLDRKLIVELVDRYTNGSLRWDEFSALIKVAHAKRMGSASKRMVIPDRPKEEDYFYANPQECLQDRDLLQTS >Sspon.01G0042570-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:64319733:64322564:1 gene:Sspon.01G0042570-2C transcript:Sspon.01G0042570-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SVVYAPLLLRQRTVARRVLTVVAGVPDRSTRAGGTVYMATLPAPCISHERRCRRFSSPAPVLLPHGHSTHLRPVRRKALSPTLCAATATSRREAAVAHMPRLAHREVMLALAHQMQARLGPRLLPSEVPPDVAWFGDAAVPGAALGSVDVHRGAPGSSIDFMLEAWFHRALPTGGAIDITSLIVFLNGTTDAPHFLMELIQGGPSSLVVLLDLFPRRDLPVHPDYIDKYYGATGVDAHRTNIEKIPQVRPYVSPSLLVRSLWSPTAVVVDVQCGEGKEAVLEEIVRGQIARSAVELLDVWLNKCAGSVVEMEEMEKESLVVRDKMISTTSVKLNLSANLPKIFDKHVSDR >Sspon.01G0018990-1P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7A:48334980:48335626:-1 gene:Sspon.01G0018990-1P transcript:Sspon.01G0018990-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIPQPSNRTEDLFEIEDVQLAISSCKIDPESASGSDKPGHQFLRRSSLGRPLRQAKERVTTYKEMPLHVKLRRP >Sspon.03G0014400-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:44239517:44254256:-1 gene:Sspon.03G0014400-4D transcript:Sspon.03G0014400-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding HANKSSFPSPNAVRISDRGPNRLQHACPSADVASEPFEPSPFMPKDIDDDDEDVISGSQQVPVDNYGVVTSEKESTARENNVAKIKVVVRKRPLNRKELSRKEEDIITVHDSSCLTVYEPKLKVDLTAYVEKHEFCFDAVLDEHVSNDEVYRETVEPIIPIIFQRTKATCFAYGQTGSGKTYTMQPLPLRAAQDMVRLLHQPVYRNQNFKLWLSYFEIYGGKLFDLLSDRRQLLMREDGKKQVCIVGLQEFEVSDVQIVKEYIERGNAARSTGSTGANEESSRSHAILQLAVKKHIIVKDTRRQRDRDANEAKNTKAVGKISFIDLAGSERGADTTDNDRQTRIEGAEINKSLLALKECIRALDNDQIHIPFRGSKLTEVLRDSFVGNSRTVMISCISPNAGSCEHTLNTLRYADRVKSLSKGGNTRKEQSTGPTTTSSRESSSAPSYPLPAEAEEVPNQIQDKRPVDTYRKGIENFVSNASAEPDRNSFSMIPSYSNRGREENGAASGNDRERYDLKSSQTAYTSKAQLVQNSANTQEEEKVTKVSPPRRKAYREDKSDRPSNYTKKDNGPDTGRVGYKMQQAKQQQQQQRPASASASSRQSEKESSCDDVEIDAILEEEEALIAAHRKEIENTMEIVREEMNLLAEVDQPGSLIDNYVTQLSFLLSRKAAGLVSLQARLARFQHRLKEQEILSRKKPSR >Sspon.04G0030330-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4B:77010306:77011437:1 gene:Sspon.04G0030330-1B transcript:Sspon.04G0030330-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLLLLGPVFTRRLLGGAWRARSRESVHVPKKRFAPPDLCSSGSHRRPQTAHVSSRRHSPLNYQQIPWPPVSKLPDPTALSLALPTPAPGPGLKLNKEDYLAICLAALAGTRKFGLGRQRDQEQHQHQPTNKWCPTHAPAQQELRFRCAVCGKAFASYQALGGTSPATASRPRRSSTPLPRRSRRRFPRPTPRRRRRPREVPPVGRTGAPSAEGVRHGPGARGHKRCHYWDGTSSVSVSLSASATVSSGVTVRNFDLNLMPVPENAGMKRWVEEEE >Sspon.03G0020130-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:62447872:62449101:1 gene:Sspon.03G0020130-1A transcript:Sspon.03G0020130-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFKGRLDDGRLVAVKFLHYSKGDGEEFVNEVMSIGRTSHINIVSLFGFCLEGSKRALIYEYMTNGSLDKYIYSENPKAILGWDKLYTIAIGIARGLEYLHHSCNTRIVHFDIKPQNILLDQNFHPKIADFGLAKLCHANESKLSTTGARGTPGFIAPEVHSRTFGVVSTKSDVYSYGMMLLEMVGGRKNVESVAQESSEKYFPHWIYDHFGQDDGLQACEVTLDNEGIARKMSVIGLWCIQILPMHRPTIGKVLEMFERGLDELDMPPRQNFSQIFEEPVYSLNAESSIMISGTKTQVSSEVLKMKEINVVNSKILPRLPTL >Sspon.06G0013460-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:53768397:53770466:-1 gene:Sspon.06G0013460-4D transcript:Sspon.06G0013460-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHAHGHHELLQQRAKSPPQVALVVSVLLVCPFLVLLVRRCFRTTLSTATTRAREELLSKLPSPPRRLPIIGHLHLVGRLPHVSLRDLATKHGRNGLMLLHLGAVPTLIVSSPSAAQAVLRTQDHIFASRATSPVTDILFYDSTDVAFSPYGEHWRQVKKIVTTHLLTNKKVMLVVAKIREAATAGTAINLSELLKSFANDVMCHAVSGKFFREKGHNKLFQELVEANSSLLGGFNLEDYFPVLVKLDIIKRMVCAKAHKVNKMWDDLLNTLIDNHASKPASERDGEESDFIDVLLSLQQEYNLTRDHIKAQLVIMFEAGIDTAFIVLEYAMAQLMQNPCLMNKLQAEVRSTTAKGKEMVTEDELNSLAYLKAVIKETLRLHMPAPLLVPHLSMADCNIEGYTIPSGTRAIVNSWALARDPSYWEKAEKFMPERFMEGSSTKAMDNKGNDFQYLPFGAGRRICPGINFASSTIEVMLTNLVYHFNWELPVELAKKGIDMTESFGLTVHRTKKLLLVPIVPQV >Sspon.02G0031840-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:116518714:116519054:1 gene:Sspon.02G0031840-1A transcript:Sspon.02G0031840-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQPADVNVLWGFCAADVVVVHVAACHCYPCFFQGGSSSDGTLASVRCLAYICITV >Sspon.03G0008550-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:30199678:30202900:1 gene:Sspon.03G0008550-2P transcript:Sspon.03G0008550-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MESWVRAVVEAIHSSRSQAVIYLAGGASQALGWLLSVPGASGTVLEVVVPYSRASMAQLLGKMPLQFTSKQAAEDMALAAYNRALKLSGPGLQVMGVGFTGSLASSRPKHGDHRFYVSTWTHNCLRTHMSPCQREEEDKVSSYFLLKAIADTCRVSATIRSDIHEPEIPEESMEEFDEDQDLQQIINGQVCMKVYNFAALVENNFSRKIILPGSFNPLHDGHLRLLEVASSMCDDGLPFFEISAINADKPPLSIAEIKGRVEQFRKAGKNVIISNQPYFYKKAELFPGSAFIIGADTAARLVNPKYYGGDYNRMLEILLECKSTGTTFLVGGRKIEGNFKVLEDLDIPVELRDMFISIPEEKFR >Sspon.02G0030870-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:106858139:106858413:-1 gene:Sspon.02G0030870-2B transcript:Sspon.02G0030870-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ADDTSVRGGGGAVAAAVRDQRGAPQPPADRRAHRRRAAEGPAHRRQLRGGAVRPPAVDAGQRRRPPRRGRRRRHEGAGPALRVLI >Sspon.07G0026470-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:53820691:53827224:1 gene:Sspon.07G0026470-1B transcript:Sspon.07G0026470-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRLDGSARLVLVSDLDQTMIDHQDRENLSLLRFEALWEAEFSQDSLLVFSTGRTPISYKGLRKDKPLITPDITIMSVGTVIAYGEEMIRDVGWEEYLNNNWDRTIVVEETAGFPQLKPQACPPERNQGPHKVSFFVDKQGAQEVMDYLPQKLEKRGLDVKIVYSSGEALDVLPQGAGKGQALMYLLNKLNSYEKPPKNTLVCGDSGNDAELFSVPSVHGVMVCNAQEELLQWYEENARDNPKIIHATERCAAGIMQAIGHFKLGPNVSARDLEFPYLKADIAKPADVVVKFYVLYEKWRRGDLPNSSSVMQYLKSITHLNGTIIHPSGSERSLHASIDALSSCYGDKQGNKFGEPNSRNTQHSLPAILARASMEKLDASARLMIVSDLDQTMVDHDDPEDLSLLRFEALWEAEFSHDSLLIFSTGRSPISYNDLRKNKPLITPDITIMSVGTVIAYGADMVRDADWEEHLSSNWDRDMSLRRRQNAELNPLYGYSQRRIRVPISYGVLIDVVPQGAGKGQALQYLLNKFTSQGRAPSNILVCGDSGNDAELFSVPSVHGVMVSNAQEELLQWRKENAIRYHASYWNFKLGPNVSARDLELPHPKLGIIKPADVVVKFYIIYEKWRRGELQKSSSHLNGTIIRPCGLEHSLHASIDALRSCYGDKKGKKFRAWVDRLVTSPMAMGTSNWLIKFDYWEMEGDARYCCRTTLLLNLKSDTPEGLELVHIQKTWVEGHSAASDHTFIL >Sspon.08G0021650-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:44000561:44001165:1 gene:Sspon.08G0021650-2C transcript:Sspon.08G0021650-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding HGAIGSCTSPASRCGRGEEEADDPPRRVRGGVHPAGAAAARPEAQPERRRGRHRHRAGRAGARPPPQQRRRPPPPPSRCWREEGDKRQESQPAAAAVADDAAAAGPKPKKDRRRGVRLLGRHTVAMCGGTVPLVAAAPRAARHVDMQRHHRARDRRQREATRRRVVQRHHHRARGLRCRGRRRQEATARWLPGGGEGV >Sspon.02G0029930-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:108589523:108597352:1 gene:Sspon.02G0029930-1A transcript:Sspon.02G0029930-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleobase-ascorbate transporter 3 [Source:Projected from Arabidopsis thaliana (AT2G26510) UniProtKB/Swiss-Prot;Acc:Q8GZD4] MGETNEQPPPPPVAAPPPMMAMMGPPVGAGAIHPPHEQFHHLNYCVHSNPSWVQVAALAFLHYLVMLGSTVMFVSVIVPAMGGNAGDKARVIQSFLFMSGINTLLQTLIGTRLPTVMNASFAFVVPVLSIAREIESNNDLLNSHERFKRTMRTAQGALIVASILNMILGFSTIWAAYAKKFSPVIMTPVVCVVGLGLFQLGFPQENRAAHEEKKEKEKEKEPYNVGKCVEIGLPMLILAVIVQQSTGAHFATARLAGATPPPAHVLSRSVGLQGIGMFLEGIFSCPAGSSVSVENIGLLGLTKVGSRRVIQISTGFMIFFSLFGKFGAFFASIPLPIFAAIYCILFGIVAAVGVSFMQFANKNSMRNIYIIGLSLFLGISIPQYFNEYTSSANGRGPARTNAGWFNDIINTVFASGPTVALIVASVLDNTLEFRGYESDRGLSWFQPFLRRHKGYSDPRNEEFYSFPIRLSLKLDISLQRLMRPSKA >Sspon.08G0000480-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:1745103:1748728:1 gene:Sspon.08G0000480-1A transcript:Sspon.08G0000480-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phytoene synthase 1 (EC 2.5.1.32) [Source: Projected from Oryza sativa (Os06g0729000)] MAIILVRAASPGLSDAAAAVRDIGGISHHGMSLQCSSLLKKRSAPARRWMLCSLRYGCLGLDPWEVGRASPPAVYSSLAVNPAGEAVVSSEQKVYDVVIKQAALLKRQLRKPVLDVRPQDLEMPRNGLKEAYDRCGEICEEYAKTFYLGTMLMTEERRRAIWAIYVWCRRTDELVDGPNANYITPTALDRWEKRLEDLFAGRPYDMLDAALSDTISRFPIDIQPFRDMIEGMRSDLRKTRYNNFDELYMYCYYVAGTVGLMSVPVMGIAPESKATTESVYSAALALGIANQLTNILRDVGEDATRGRIYLPQDELAQAGLSDEDIFKGVVTTRWRNFMKRQIKRARMFFEEAERGVTELSQASRWPVWASLLLYRQILDEIEANDYNNFTKRAYVGKGKKLLALPVAYGKSLLLPCSLRNSQS >Sspon.04G0014030-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:55794323:55799826:1 gene:Sspon.04G0014030-1T transcript:Sspon.04G0014030-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGGSGEPGVGGGSAPVCSFVRKPPKNMRKRPAAPAGSDDDDDDGGSGALAAARSKKGPPSSTAGKLVFSTADASSEPRRFQYESSRTIQSSDSRATAVLETETEFDRDARAIRERQLKQAEEFLKKNPSGASVSASASSASASGEVYKGIHGYTDYKAGFRREHTVSSEKAGGSHGPLRAAAHIRVSQRFDYQPDICKDYKETGYCGYGDSCKFMHDRGDYKSGWQLEKEYEEAEKARKRRIAMGGGDGSDDEAADEDEDDEEALPFACFICRQPFVDPVVTKCKHYFCEHCALKHHSKNKKCYVCLKPTGGIFNAAQEIRKKMAQDKKQQE >Sspon.01G0010500-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:29346205:29355424:-1 gene:Sspon.01G0010500-1A transcript:Sspon.01G0010500-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIAATPPKMSSVLAAALLSLLLLAVACQASPYYPLELGYYRYKCPQAEAIVKAIIEKAIAQNPGNGAAVIRMLFHDCFVESLKFLVPPTSNLSTLVSSFVVKGMSVEDLVVLSGAHTVGRSHCSSFVSDRLNASVPSDISPGLAGFLKSRCPANPTSSNNPTVMQDAVTPNAMDNQYYKNVLSHTVLFTSDADLLTSAETAKLVLDNANIPGWWEDKFEKAMVKMASIEVKTGYQGQGLGVEDMVVLSGAHTVGRSHCSSFVPDRLAVPSDISPSFAASLRSHNDPTVLQDVVTPDKLDNQYYKNVLAHRVLFTSDASLLSSPATAKLVSDNANIPGWWEDRFKAAMVKMASVEVKTGNTGEIRRNCR >Sspon.02G0022140-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:74956875:74957869:1 gene:Sspon.02G0022140-2C transcript:Sspon.02G0022140-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPPLPAPPPPEPSGGVVAPVAVVAPHFCAPYVVQLSVKEKFSLREGDFAITDTNGAVVVTVKGAFISIHNRRLLLDAAGNPLLSLREKVISMHNTWEAYRGDSTRSSDLLFTAKKSSILQLFKTEMCIYLASNTSHEVCDFMMKGSFNENSCSFYLGNSNTLIAKMHREHTATSMVLGTDCFSLTVFPNVDYVFIAALVVILQEIHTDKND >Sspon.04G0012760-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:54149375:54151559:-1 gene:Sspon.04G0012760-1T transcript:Sspon.04G0012760-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGTYSLSSEKSHTAAAAPRPPLQEAGSRPYMPSLSTGSRNPSAKCYGDRFIPDRSAMDMDVAQYLLTEPRKDKENAAAASPSKETYRRLLAEKLLNNRTRILAFRNKPPEPENVSATVAASSHLAKPAKQRRHIPQSAERTLDAPELVDDYYLNLLDWGSNNVLSIALGDTVYLWDASTGSTSELVTIDEDSGPITSVNWAPDGQHIAVGLNSSDVQLWDTSSNRLLRTLRGVHEARVGSLAWNNSILTTGGMDGKIVNNDVRIRNHVVQTYEGHSQEVCGLKWAAAASGGNDNLLHIWDVSMASSMPSAGRNQWLHRLEDHMAAVKALAWCPFQSNLLATGGGGSDRCIKFWNTHTGACLNSVDTGSQVCALLWNKNERELLSSHGFTQNQLTLWKYPSMVKMAELTGHTSRVLFMAQSPDGCTVASAAADETLRFWNVFGAPEAPKPVKASHTGMFNSFNHIR >Sspon.02G0020670-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:69962352:69966614:-1 gene:Sspon.02G0020670-2C transcript:Sspon.02G0020670-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFFLMCMSTLLFMFISSYVFQLLADRRHRLPPGPIPLPLIGNLLDVASELPHRSLARLAGRHGPLITVRLGTLLTIVASSPSTAREILQTHNGSLTGRSPPDAWLALGHAANSVFVLPPDRRWRALRRIGTEHLLSARQLDGARVRPLLRDGVLDLVRRVSELAAAVETAPVEVVHAAFAAMMDLQWRAMFSAGLDDAAARALHDAAREAVALSLKPNVSDFFPALAAADLQGVRRGFARRVALIYRLVDEQIERRMRDRREAAAAGGGVSSGEKDLLDVMLDMLEEGKDDSVMMSVNRDVMRTFLTHPDTLQKLQKELRSVLGSKPYVEHSDVGRLPYLRAAIRETLRLHPVVPLVPNEAEQAVEIQGHAVPKGCTVLVNLWAVHRDAGAWPEPDRFVPERFLPRLEETGFVGTAEFEFIPFSAGRRACLGLPLATRMLHAMLGSLLLRFDWSLQPEAMENGVDMSESLGLTMTMTTPLKAIAKPWS >Sspon.03G0001920-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3A:4704467:4705148:-1 gene:Sspon.03G0001920-1A transcript:Sspon.03G0001920-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAENVVATYYYPTAAPAAMEVCGAELGQGAGDECFDDDGRPKRNGTMWTASAHIITAVIGSGVLSLGWAIAQLGWVAGPAVMLLFSLVTYYTSSLLADCYRSGDPCAGKRNYTYMDAVNANISGIKVQICGFLQYANIVGVAIGYTIAASISM >Sspon.05G0027640-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:55653700:55661546:1 gene:Sspon.05G0027640-1B transcript:Sspon.05G0027640-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:At5g11860 [Source:Projected from Arabidopsis thaliana (AT5G11860) UniProtKB/TrEMBL;Acc:Q9LYI7] CGSRRSEPTTGMAGSCQGNLFYGVLLLHESVVLRPSRRATQSSVAEKKVTDLITSSSKKQRPVGITSKKHSIGGRKLLVSCDTTYAENDAPQVAHSIPPDLQAQHSDGVADDRPNDSIFSPTYHHHKEGGLNNLSKGLEEQTTHVHGSKEASLKSGSNLACNTRDGINDHSCTLNLHSAGQSTLLEVDEYSELGNLSSEVSAIYLAMQQSKLECIDEQSQDSTSTEGYGEAEETEEYGDFDPYSFIKDLPDLSMVVPKFRPVLLPKQTRSCPTMTLVLDLDETLVHSTLEHCEDADFTFPVHFNFREHTIYVRCRPYLKEFLERVASMFETIIFTASQSIYAEQLLNVLDPKRKLFRHRVYRESCVYVEGNYMKDLTVLGRDLTRVMIVDNSPQAFGFQLDNGIPIESWFDDPNDTELMKLLPFLERLVGVEDVRPYIARKFNLREKAFGFQLDNGIPIESWFDDPNDTELMKLLPFLERLVGVEDVRPYIARKFNLREKVATASSLAIDMQM >Sspon.05G0016310-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:66342300:66349248:1 gene:Sspon.05G0016310-1T transcript:Sspon.05G0016310-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding TMATPEPAPDAWRLPIRPCLLLPVCRCHALAMDPSSLSGFGVPVFVDPEEDNTMGFDFFSQLDSMPPPSNAAAKAGDSSTSAAWLFILVNQPTTSSPALDDAAVAGLLVRVPVPHHDATIVGRLLNLGQQVIVEILEVDRDGALRPIRHTTLSRGEAEVHLRLGVPRRPLVPLLHDAPGAAVLVGALVIAVEPDVDALEQPRLQRPVDAPVRAPAPWRRREPHPLPGPVEPVVSVEAAGKLVVVVRRAVVDVEVDAVHGGVAERAVHTAAPPAEVRVPQVVGDLRRGL >Sspon.01G0010440-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:29229249:29234188:-1 gene:Sspon.01G0010440-1A transcript:Sspon.01G0010440-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLAALCTDPVVVSCAFLCLLLHVALRSLLHPSSASSSSRSGHLPPGPTGLPILGALPLVGPAPHAGLAALARKYGPIMYLKMGTTGVVVASSPGAARTFLKALDAKYANRPAVASAADITYGCQNMVFANYGPKWKLMRKLASVHLLGARALADWAHVRRDEAGHLLRGVAEAAAAGRPVVVPEVLVCALANIVGQITMSKRVFDAQGDKSNRYKDMIVSLLTGAGLFNISDFVPALARLDLQGVQAKLRRVHHQFDGLITKLLVEHAATAARTSSTGSAPPLTPAPTTMTRDMFTAGTDTSSIIVEWAMSEMLKNPPVMARAQEELDRTVGRGRCLEESDLPNLPYLQAVCKEAMRLHPSTLLSLPHFSFDACDDVDGYRVPANTRLLINVWAIGRDPATWESPLEFRPERFLPGAAAEKVDPLGNYFELIPFGAGRRICAGKLAGMVFVQYFLGTLLHAFNWRLPDGEVLDMSETFGLTLPKAVPLRAIVTP >Sspon.03G0031530-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:26444458:26446935:-1 gene:Sspon.03G0031530-2D transcript:Sspon.03G0031530-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycylpeptide N-tetradecanoyltransferase 1 [Source:Projected from Arabidopsis thaliana (AT5G57020) UniProtKB/Swiss-Prot;Acc:Q9LTR9] MAAPNSNDAASASGTGPAGEEDISIEALARRVQEHMTLNSNPAARRHKFWETQPVGQFRDAADTSLPDGPIEPPTPLSEVRADPYPLPAAFEWFTCDLDDDALLADLYSLLAHNYVEDDENMFRFNYSPAFLRWALKPPSFFRAWHIGVRAKESKKLVAFISGVPARIRARDDIVRMAEINFLCVHKKLRSKRLAPVLIREVTRRVHQENIWQAAYTAGVVLPTPITTCRYWHRSLNPKKLIDVGFSRLGPRMTMSRTVRLYKLPDAPLTPGFRRMELRDVAAVTRLLRAYLARFVVAPDFDEVDVEHWLLPQEDVVDSYLVESPETHEVTDFCSFYTLPSSVLNNANYATLKAAYSYYNVSTKTPLQQLMNDALIVAKQKNYDVFNALDVMENESFLKELKFGPGDGQLHYYLYNYRIRNGIKPSELGLFQAGLLA >Sspon.08G0005120-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:15181372:15188259:1 gene:Sspon.08G0005120-1A transcript:Sspon.08G0005120-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPELPEVEAARRALQAHCVGRRIARCAVADDAKVVVAAAGRAAFERAMVGRTIVAARRRGKNLWLQLDAPPFPSFQFGMAGAIYIKGIPVTKSVVNSEEEWPSKYSKFFAELDDGLEFSFTDKRRFARVRLFDDPETVPPISELGPDALFEPMSVDNFLDSLGRKKIGIKALLLDQSFISGIGNWIADEVLYQSRIHPLQIASNLPRESCEALHRSIQEVVKYAVEVDADMGRFPKEWLFHHRWGKKPGKVNGKKIEFITAGGRTTAYVPQLQKLIGTQSSKMIAANLERLAENGDTKDSGTEGEDADILIPKKRAATSRAARRQQNKDTVGASSRKARGNGGGSKKPDADVEPAEPETVVTESNGEQVLDQPNSNASNKSDQVTRRSSRKVKPLIHNNKHINHMSRSQANNHHDDVEANILKKMLAKKRT >Sspon.04G0011040-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:26035036:26036030:-1 gene:Sspon.04G0011040-2B transcript:Sspon.04G0011040-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEKKGSHRGNGQDSKSNLQEQSASAATWLRSTKILVPMEPFLVQSTGQGVSYQIQECNLKKYLSFCLFNLFVCCVLIDLDVQVVIGCDRGNSVVAKYLGLSAPKTKPGIALHGFTSYSHGHPFETEFLRLSGEEFSVGIVLVTHNLVYFYLARPIRPIPPTGIITKDARATKDSMLEKLRARDCPSEIIEMVRNSDPESLSVVNSIRYRPPWQVAFGTFHKGVVTVAGDAMHAMGLSIGQGGSLALEDAVVLARSLSRAGSGDYSVAIQEYVRERRPRVALLSLESFVMGTLLSA >Sspon.01G0029450-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:91881898:91891980:-1 gene:Sspon.01G0029450-2C transcript:Sspon.01G0029450-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MREILHIQGGQCGNQIGAKFWEVICDEHGIDATGRYAGDSDLQLERINVYYNEASGGRFSGAGNNWAKGHYTEGAELIDSVLDVVRKEAENCDCLQGFQVCHSLGGGTGSGMGTLLISKIREEYPDRMMLTFSVFPSPKVSDTVVEPYNATLSVHQLVENADECMVLDNEALYDICFRTLKLATPTFPELTQQMWDAKNMMCAADPRHGRYLTASAMFRGKMSTKEVDEQMLNVQNKNSSYFVEWIPNNVKSSVCDIPPRGLKMAGTFVGNSTSIQEMFRRVSEQFTAMFRRKAFLHWYTGEGMDEMEFTEAESNMNDLVAEYQQYQDATADEEYEDEEEEDAVAE >Sspon.06G0025000-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:89414105:89414421:-1 gene:Sspon.06G0025000-2C transcript:Sspon.06G0025000-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEEGSGGGGGVGAGAGEKKSSQAPAKPGAGGAGKFLAGLPSRGNFSSGFGSSNLRLDSRGEVGSE >Sspon.01G0015140-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:33666625:33668439:-1 gene:Sspon.01G0015140-2P transcript:Sspon.01G0015140-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALLRSEPGVPLTVKQQVVAGAGAGIAVSFLACPTELIKCRLQAQSSLAEAAAASGVALPKGPIDVAKHVVRDAGAKGLFKGLVPTMGREVPGNALMFGVYEATKQYLAGGPDTSNLGRGSQILAGGLAGAAFWLSVYPTDVVKSVIQVDDYKKPRYSGSLDALRKIVAADGVKGLYKGFGPAMARSVPANAATFVAYEITRSALG >Sspon.05G0029300-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:77492728:77497952:-1 gene:Sspon.05G0029300-1B transcript:Sspon.05G0029300-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHDGPRHGIDGNNQSPKGPGPTTASVFESRERFPFSVPRLPPRLAVVSAIPFPTFPSREQKLPFPRGRSPLPAPSIGPSPTAAAPRPRPPAPRRIYSERHPGLSSPSPSPTRSSSSCLPSQVFPSPPPSGHHRKFHNPTTSAAGGLLLRFALFKPTVRHGDPDDSPGRLPRQLPLTADHPRLQAPPPPDRRHGKLSSEGRRGLRPSLPLPEAGFDPLDPDADPPPRLLTADELRRCNKALKVLENKLGKPAKLAKEFYSLPDIRTELQSAQKFSVARKQENRGRNRYTDVLPFDRTRIRLESSTGNDYINASHIEIAGRNLTKFISTQGPLANTIEDFWQMVYDNHCPVIVMVTKFDGLKCDEYLPLSKGQDIFGKFTIKITKVRKDGQLVLRGVEIRRDESDEVRSLLHIEYPEWPDHGVPNGSADVRRILKRLYYIPRERPIVAHCSAGIGRTGAYITIHNTIERILLGEQGAVDLVETVKKFRSQRPGMVQTEEQYKCCHQAITDELKDLECVTPAVTLY >Sspon.08G0012160-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8A:52173892:52180438:1 gene:Sspon.08G0012160-1A transcript:Sspon.08G0012160-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKWASNGLIFVSRLGTNKMKSSPTVWMGIRWHPGEDRASAFLGIRSPDVALVDFTRQSRHIYRSVPSNGPAEQVSPAVHTGRQSRGPSKAHDRGCLGTLFSPPPLSPSATPRSAGDVFLAFPTKSLLRACASRELVHLASSPSCCLGVRTSSSSQRHSSPRLLATRPPALHSLSCHVRALLGGRRRDEAATPRHGRTAYSTCGSHLLASGSRLALHSVLERRPATGDSATAAFRSLGLDDVEAFGTAAYSSLACKLPQRHSTPLDWTMKRCKCRVAHVFVHQWQPSSSTARSPRRPSYCRTPSRPVNRRRSPRAKTPSNRAKTPSYRAKKREPSKLNRPSFYWSETQCVGRWNRGSLIARGARCLAQRRLIASASETSIDRKIRIPWLDDHDGEVTAWFSWSAMPWSGGAEPNSATGAPISTSSVVMLFVKHPVGASAHDEALSGTLLVSGGLGTVLAFRPRRTLSCITHYTKNNSILAWWKCTATPPSSPSYFTGIHLHPHHRCPSPPPWMASISTISSSPLIFSSASESLAPSLWRGQAWPQAGERPTHRKEERSPKRLGAGVGRLHRQGRARIADRDVIVLGEAARRLGEALTRACADRPHWHPWAPNAKSRHVSLGDLGETRKLRLKPIGTNSARARNSCSPAHDRGLQDKDPGARSQYFQSKHPGSMYVKTSKRRIRATAYSRVFVPIRLPRRPPRSSRRWQRCNSKIAARRMRCLSRRRAMRFGFFSHTSARFMVLNVR >Sspon.05G0002400-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:2759668:2761539:1 gene:Sspon.05G0002400-2B transcript:Sspon.05G0002400-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVLRIQSVDFPDAASATASPAAVAADEVGTSRGSAATSHPLSPLSGHPPPSTATSSIPPLELPGATPAAPGRSPRILHTRGVIHLYHSSSSTSTSSSYASAVAATSSSSSGPAAPQLASDSHLPLLSVSLSQPCRGTRLLVLAVPTRVSPDDFVRFCGPCLERASDIRFIRDDGVEDRYSVLVEFEDQNSAERFYADLNGWRFSTSEREVCHVLFIAAVQYTPSSELATTPPAGSTELPMCPVCIGELTFTQSMAAL >Sspon.05G0029580-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5B:81013519:81019131:1 gene:Sspon.05G0029580-1B transcript:Sspon.05G0029580-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIALMPPPSLNCSRFLQGSGTDPIEISKIRQALAAGSNYCGRILNYKKDGTPFWNLLTVAPIKDEDGRVLKFIGLIGMGHGNVEKNILKPREDTLLDSDDERPESFDDDFRRKEMRRGIDLATTLERIEKNFVITDPRLPDNPIIFASDSFLRLTEYSREEILGRNCRFLQGPETDRGTVKKIRDAIDNQTEVTVQLINYTKSGKKFWNLFHLQPMRDQKGDVQYFIGVQLDGTERVRDAAAKDGATLVKKTANNIDEAAKELPDANLRPEDLWANHSKPVLPKPHMKDTASWRAIQKVLENGESIDLKHFRPVRPLGSGDTGSVHLVELLGTGEYFAMKAMDKSVMLNRNKVHRATAERQILDMLDHPFLPTLYASFQTKTHVCLITDYYAGGELFMLLDRQPMKVMKEDAVRFYAAEVVTALEYLHCQGIIYRDLKPENILLQRDGHISLTDFDLSCLTSCRPQVFLPEDDKKKKRRKSRSNPIFFAEPMRASNSFVGTEEYIAPEIITGAGHTSAVDWWALGILLYEMLYGYTPFRGKTRQRTFANILHKDIRFPASIQVSLAARQLIYRLLHRDPANRLGSYEGAMEIKQHPFFRGINWALVRAATPPELEAPLQDTLLEATGETLPPPDAAHTDMF >Sspon.03G0008610-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3A:23190320:23191093:1 gene:Sspon.03G0008610-1A transcript:Sspon.03G0008610-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLLPLLLLLPVQLLLAVADAVPDSCAKSICAGQDIHYPFWLTPDCGYPGIGIGLICQGNYTLILPIKSHRYKVLSIDYKTHTVLVSDADIVGEYETGCPRLHVNLTIDTVWLRLAPSDSNITFLYSCKKSITLSSAVELSGCGQPQQDGNRSYVLRDGVITGAEAYEFECEEVVVAPVLDVHKKAIVGAPGGPPPVNGSFREVLQGGFELNYDTHNHQCDRCEGSGGWCGYQRDETHAAGLAFTCFCDSGPTSDRC >Sspon.03G0010030-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:32156622:32165291:-1 gene:Sspon.03G0010030-4D transcript:Sspon.03G0010030-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFKRNQRSEILALRRCNAAGGGGEDEGGGDPRPRKRRRGDEFFPVELLGDVPASAIPYAAFGLRWSEEPEAPAEAAQPPPAARPPVVRTSRGRTQVLPSRFNDSVLIDPWKKEKPAKPPPPPPAVKTEHLVRKNGLLHSKGAIFDRSFALSEVDDDDEEEAMVECYRARRNFGGSRKYLASRSTLTSVHDEPYSNYHRKEVMLRHYYEEEDEEDEEDEQEEDEGCEEEEKEETFHCTEQLVYGDIVWAKLGKRQPMWPGVLVDPTQQAAADAMPPQPRGVAVLCVMLFGWCAEFSDEKKYVWVRQGLIFPFSDYMDRFQGQTELSSCKPADFRRAVEEAFLADQGFSEVVVDCSTKGQPVQCRRALKCESCGNCFPNKDTNKMVYVMEQLACRLCAGILALKKKDSSAQKGSKPDKVALVCFDLEGTYQPDLELSPNPDNVLIIHTPAGTFSSKKLAQSNGKVAASRLIRKDIPLDLPSEVEILENISAARCRIYVRKDLKRSRDGAIAHRVRGPCQHRWDEIDNLNPPREKRDPESFCTFKERLCYLQKTEHGRVCFGRSGIHRWGLFARRDIQEGEMVLEYRGEQVRRSVADLREEKYRVQGKDCYLFKISEEVVVDATDKGNVARLINHSCTPNCYARIMSVGHDESRIVLIAKKNVCAEVENIRPS >Sspon.03G0010840-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:29499377:29499748:-1 gene:Sspon.03G0010840-1A transcript:Sspon.03G0010840-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHLFNTVKKHGCKRPLRSDASTIIPVQPLSQPPPSPCDVSAAPQAPFYSRW >Sspon.01G0004130-3C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1C:10545679:10545955:1 gene:Sspon.01G0004130-3C transcript:Sspon.01G0004130-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding CGAAAVSPAPAPGGTVKRVEASRHALLQRELEHDLLEDVSLLALLRQSNGRLAWLVAQLYDGTGKYEARKASTLPILAAAIMGEHPSKSCSS >Sspon.04G0032770-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:33197805:33202301:-1 gene:Sspon.04G0032770-1C transcript:Sspon.04G0032770-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIAEVALHTMPGAFTTHSPASILSLGAVARRRNRNTNSVPNARALQGLLRIPRLRSVRRLCQRIDDLARVTEGNGTWVKDAMNKAGQVLGDISVPGQVVGGNGGLNGSAAKPPPQGGSPHRLRMRPGNFCRVNGDLSVQERIDVQTGMKMILKLCLADGFDMFPTLLVTDGSCMIDRRMGIHGHPLEIQISPWLVEWIPPKGGYFIGNLQPAHMDFRFFSLGNLWSIVSSLATTHQSHAILDLIESKWSDLVAEMPLKICYPALENQEWKSSQGVTLKTRLLSLDVELVFICPYVVILIHFLHLAKLAEIYWLTVACIKMNRPELAAKAIEVAERRIATDKWPEYYDTKKARFIGKQARLYQTWSIAGFLVAKLLIEKPDAARILWNDEDAEILNALSTNRKRGKKVLKKTYIV >Sspon.07G0022330-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:7174813:7176808:1 gene:Sspon.07G0022330-1B transcript:Sspon.07G0022330-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSSPVCHGLVESSCDRWRLHSGSLFVGHRWRQGFISLVDPFTGDTGAEDSHLVQSMGQLSLVGAECLDASSSSVNNPSRAAHLPNGTKQFFHIAPRTILLNALKSIGISDPVFMHVGFKGAFQVHIQFESPLPLCIERGTKFADIASDVCGSYELAKASAVKKAFDHLYKMHNVVLVDISYRKKSACLCASRDQYCNVMDGLRYVGTMVEHWHECLNKLYQVEKLAYSKLKYGSRELSFSGP >Sspon.04G0001550-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:2617780:2621077:-1 gene:Sspon.04G0001550-2P transcript:Sspon.04G0001550-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLDERNAAVGRVRDQFPVGMRVLAVDDDPVCLKVLENLLRRCQYHGKDFRDTAAALMYKMLVLAFATATHLDNDESGCCRLEDAEAKQDLFDLVISDVHMPDMDGFKLLELVGLEMDLPVIMLSVNGETKTVMKGITHGACDYLLKPVRLEELRNIWQHVVRRKFSNCDRANIDGYEECNRPSNADFDLVHSQITAGTPDQSGRPSKKRKEYHSEEEDEGEESNGQENDDPSAPKKPRVVWSVELHRKFVAAVNQLGIDKAVPKRILELMNVERLTRENFSRLSTLIKQDKYRLYLKRLSAVASQQASIVAAFGGNDPFMRMGAFEGLQGYQSFASAAALHLLGLLNRNNPTSFAIQGMSASRPIQIATGNSTISHSIGDPNKYHLSLPGTSSREGNLAQGLTTSVGQVQLPQKWIHEETDDLSTILSVSGRTNNGVPGTLQCVTNSHLLQQGLVECIQDKVGIQPCSSASSDRLEGTVGVSSSLMDSCASQQRVVPLSAFSTSASPMNGSFCSNGIAELGATSSGGTNICPSNDLRVARDNKVRASSVGSVILLSPDTVPNQKYLNFGGGSNLRQSMDGGNTENLLNPKLIWSCLPTSQPLNLIGNHHPMSQRPNNRNLGGTMVGQTTGSASTAAQQTRIDMFITGDTATPKSASDLSFPKVHSELSSSSCSFDGLLNSIIKMEKDDASFSDDLGCDFYSLGACI >Sspon.05G0001840-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:5868531:5868863:1 gene:Sspon.05G0001840-1A transcript:Sspon.05G0001840-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKHHPDLIMCRKQPGIAIGRLCEKCDGKCVICDSYVRPCTLVRVCDECNYGSFQGRCVICGGVGISDAYYCKECTQQEKDRDGCPKIVNLGSAKTDLFYERKKYGFKKR >Sspon.07G0022680-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7C:6887182:6887541:1 gene:Sspon.07G0022680-2C transcript:Sspon.07G0022680-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHYLAPWVHCQARAGPALRCHHGKVGGDKHSCTEIWCSRTEGCHCHHQDPLCRDLEAVGCPCKVPPHPPASVGHPALHPLPPPASRCCLCRMVVAAQREREQGAFVEREKERKGRRER >Sspon.01G0025180-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:89384177:89385985:1 gene:Sspon.01G0025180-1P transcript:Sspon.01G0025180-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEQLPALASGSKAATTTPFYLALDHRASTTSSSPPAEAPTPPPPSAVSDPSRQSNSERGSEIIKAKIMSHPLYPALLRAFIDCRKVGAPPEIVGRLSALADEVEMNSDDRQEQRPADPELDQFMIS >Sspon.01G0042950-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1B:70032529:70032740:-1 gene:Sspon.01G0042950-1B transcript:Sspon.01G0042950-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVRQAEHGTTGSRLCDHGGGGWQWVSACAAPVGRAHAVPAVKATAAVGWCGLARPTHRGSGGEEGRWEA >Sspon.02G0039840-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2B:62038631:62039188:-1 gene:Sspon.02G0039840-1B transcript:Sspon.02G0039840-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFALPPMIFDLNSKPPDQGEAFTNMDKKPPEKLPLPFDGALPDLNEGPPEEEQLVGGEVPGGRNEGGSSMHAEDEHQTIGEAIPDLNMRPPDDEHQAIGEAVPDLNMRPTDDAHQAIGDAIPDLNMQPTYDEHQADADAIPDLNVQPTDIEQQANE >Sspon.01G0035230-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:9011399:9012544:1 gene:Sspon.01G0035230-3D transcript:Sspon.01G0035230-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARWAAVVLVLAAATAIAMASVAGGDMSADKTECADQLVGLAPCLQYVQGQARAPPPDCCGGLRQVLGKSPKCLCVLVKDKDDPNLGIKINATLALALPNACGATRANVSHCAQLLHIPPGSKDAAVFSPGGDKGSTAAPAKDNSTATTDSRALQATNGGGVSSLAATAGAALTVLLAGYLLLLVPELSPSSF >Sspon.01G0017700-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1A:65577219:65578517:1 gene:Sspon.01G0017700-1A transcript:Sspon.01G0017700-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMGRSGNGGGKVVSFDDAISGKRRNGSSLSSYLDMGRDADGGRATPLPLPAHAMASRRRMYADGELDVFAAERYFRGAMDGDGDRNEGFSVTTPAVAAVQVQQPLVETAAARLAADPEAVVMAKPSSTRASTVSFTASSASSANSQTALFRGGLRRRRSSRDNKCCVQVGVLMRTCSGKRSVRVDGCAAAKEAPDAAAEPAAANGIDWYRELRMHKAALGLSVSGDGNSHGLVAAGLGLPPSLNLGTAKVAAIGREVTTGEEKEAAAELTFSSSTRRRSNFTLVAPVRASVPASGRVVGDPGGAGKVGRGGGAHHLHDNDDDDDDDAGSESSSDLFEIKSLMIGDCPYEPSEASIQWSVVTADASERGDRVPARWVSGGGGARGPPVAGRQLRGTGRPGFWRGAPATGPLTCRQRRRRRPCQMRWRRCSGGA >Sspon.02G0034180-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:13257658:13258038:1 gene:Sspon.02G0034180-2C transcript:Sspon.02G0034180-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTNVPNVVIGPVPWPFNNAGGVDADTEKDPRVKEHESLASALPSNPTLRLRCYQGTWVLAPWAPGIMAVQRGLFAPRRGDVVLASAPKCGTTWLKALAFATMARGAHPPAHDAAQPLLRLNPHD >Sspon.07G0015970-1T-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7A:56793480:56793659:-1 gene:Sspon.07G0015970-1T transcript:Sspon.07G0015970-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMEAKKPYLIAIVIQLIYTGMFVVSKAAFDHGINTFVFIFYRMAAASLLLLPVAIILER >Sspon.02G0039970-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:58624492:58630919:-1 gene:Sspon.02G0039970-3D transcript:Sspon.02G0039970-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPPRAPRRFLFDLNVAQEEVEEEEPMEVLEEEGAPVAQPEEAVVEEVAEQLVEHAVPTVEEEEPVEEVIMEEEPSEEVIMEEEEEEPAPAPAGEVIGEGKGRKKRIDYEVFVSGLPQDAAEEDVAKALAEAGDVEEVRLVRDPADQRLKGFAFVRFAAAWQARWAANDLREATIKGKACGICKNSENETLHVRNICFDWSKDDVRRSLFVQLAEKLEPFKLENLDRINLIEHPEKKGKNRGYAFLDFRTHVDAVAAFVKLQKRDLYLGTDFRAHISFSNTLSQDDEIMEKVKSVFLDGLPPHWDEDKVREMFGKFGEIDSIQLARNMFTAKRKDFGFIGFTTRQSALDCIKMVNKDGVGEAPNYKYGRIREEYAERRYTSKYPKHRQAMHETMEQDAYRRNKYGHAYQERAHRTCPCPECNLSGQNCNYPNGEEFSAISGCEQAYYKTDRDLTPSTSQVASHCEDSCCKVNQESTPSPNEHARTRSNLPVPLHHRLGKHSNEHGRHVDDAHSAFEVEYTVRESRSRYLSSKDAPSNHSRKHHRSAR >Sspon.05G0010480-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:21278267:21280104:-1 gene:Sspon.05G0010480-2C transcript:Sspon.05G0010480-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSIGNTAAAVPEVALRFGNARPIPAVGMGTAVSAPMNAVLAAIEVGFRHLDTAAMYGSERSVGEAVAEAVRRGLLESREEVFVTSKLWCTQCHPDLVVLSLRETLKRDLWPSCVDRASRNLQMEYLDLYLIHWPVCMKPGPIAFPAKKEDAVPFDFEGVWRAMEECQRLGLAKAIGVSNFTTKHLDKILAFATIPPAVNQVELNPVWQQRKLREYCADKGIHVVAYSPLGGQDWSRTGEGNGVLGSEVLEEIAQRRGKTIAQVSLRWIYEQGVTWIVKSFNKERLKQNLDIFEWKLTEEDRLKISQIPQKKYVTAAVLFSTEGEFNSVDPADMDIVEE >Sspon.05G0037480-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:14399130:14403601:-1 gene:Sspon.05G0037480-1D transcript:Sspon.05G0037480-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPNGPAASPMVYPVYLTGAFPQQPGDDQAQGPGIYAIQQNQLAAAMGMGCFSPTTLIPLTYKIPTESVGGPAGEENVQDARQQNGPQRQVVVRRFHFAFQLDLALIIKLAAVRAGGAAARPPQAPARVENRAPLPAQNDGNEQPNGPANPDQAAENHEQDAAAGNENPQEAEVEGNQRNWLGGVLKEVQLVVVGSPNFN >Sspon.02G0034670-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2B:13824677:13826491:-1 gene:Sspon.02G0034670-1B transcript:Sspon.02G0034670-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEKSYACQGYIPRPRPPSAAPDLGRRHDSGQFVHEGFAAAGLTLDGLLALTNTTDQAKAHAFHPVPLHLRLPSSESAATTARSFSTCFVFAIVSPYDELSSHGLAFVVAPTSNLSTANAGQYLGLLNATNGGRVLAVELDTITDAEFHDINSNHVGVDVNSMISEQARPAGYYDDGDGGAFRELALNSRKPMQVWVDYDGRARRLDVTLAPVRVPKPKKPLLSMAIDLSTVVADPVYVGFSAATGVLSTHHYVLGWSFSLDGPAPPLDLSKLPVLPRLGPKPRSKVLDVTLPPATALLVAAALAAVFVIVRRRRRYAEVREDWEDEFGPHRFAYKDLFHATNGFNDRNLLGVGGFGRVYKGTLPSSNLEVAVKKVSHGSKQGVREFVAEVVSIGHLRHRNLVQLLGYCRRKGELLLVYDYMANGSLDKYLHDPSKPALSWPQRYWDWEQVVIHRDVKASNVLLDHEMNGRLGDFGLARLYDHGATPETTRVVGTMGYLAPELVHDGRATPSTDVFAFGAFLLEVVCGRRPIERDRRSDEPVVLVDWVVECHRGGGTVLDAVDPRLAGRYDADEVSLVLNLGMMCRV >Sspon.08G0027000-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:38298213:38299361:-1 gene:Sspon.08G0027000-1C transcript:Sspon.08G0027000-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDLIDASREFFHLPLEEKQKCSNLIDGKHFQVEGYGNDPVRSKDQSLDWLDRLHLRVEPEDERNLVHWPEHPKTFRALLHEYTLNCKRIKDCILRTTAKTLGLNEDYFVAQFSNKAPSFARFNYYPTCPRPDLVFGVKPHSDSGVLTILLMDRDVGGLQVLRDGVWHNVPTSPYRLLINIGDYVEIMSNGIFKSPVHRAVTNTEKERISLAMFHGLDPEKEIEPAAALLNEKQPERYRTVKAKEYLAGFYEHFCKGTRFIECVKI >Sspon.07G0004670-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:12053655:12054450:1 gene:Sspon.07G0004670-1A transcript:Sspon.07G0004670-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGPRNSVRGGARQDGCATQDRRADHHAAGARGGRRHPLRVSHAVWHDGVTDEGLLRRHRRAVAGAEPGQQARRPLLQHRHPGRRPGDHTVDGSDAADAPRDGVRAGGVHVRRQNIRHGERARRQPVRRGHVRRGRVAVADGSGAGARFPPGQ >Sspon.04G0026690-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4C:52741818:52743059:1 gene:Sspon.04G0026690-2C transcript:Sspon.04G0026690-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIERPPQPPPVAAAVAAAAAISTVLGDDDLLREILVRLGLPTSLLRAALVCRRWLRHASDPAFLRRFRDLHPPRLLGAYLSTAAGPAPRLRFLPIRPVPELASAARRAGSFFDAFKGSSAAVYDSRGGRLLVTTFDDRHDSTHQVCRPLSPGGDTTVVPPPPPPPPIQLNNDEECLIYHYGEFLRDDGDGRSYFCVVMGFSELQTTVYLYELHDINWVVKASAAAQLALLPPKSRVMLFDSANFYMLSTTNRILVCDFPSSSISVMELPNGVENKPGGCIMLSRGGSFGIFLIYVKESQLHIFHHRMGTNDPGNWSLVDSVSLRQVCANLDMAKWPSVDGPGVKLCAVGDNAEFVLLEIFGTIVFLDITSRQAEKVYELTPEDKELVSVRPLMLIWPPVFPELKEAYYDQKE >Sspon.04G0021530-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:716114:719037:-1 gene:Sspon.04G0021530-2C transcript:Sspon.04G0021530-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMPSSQPDFSPSQLTSSQNAAADSTTPSKMRGASSTMPLTVKQVVDAQQSGTGEKGAPFVVDGVEMANIRLVGMVNGKVERTTDVTFTLDDGTGRLDFIRWVNDASDSSETAAIQNGMYVAVIGSLKGLQERKRATAFSIRPITDFNEVTLHFIQCVRMHIENTKLKAGSPARTSSSMGVSVSNGFSESSTPTSLKSNPAPVTSGANGSDTDLNTQVLNIFKEPANLESEHGVHVDEVFKRFKLPKEKIMEAIKYNEDGGLLYSTIDDFHYKSASID >Sspon.01G0030930-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:105541606:105545651:-1 gene:Sspon.01G0030930-1P transcript:Sspon.01G0030930-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin carboxyl-terminal hydrolase family protein [Source:Projected from Arabidopsis thaliana (AT5G62990) UniProtKB/TrEMBL;Acc:Q9FM53] MVVVAPSSLRSFLPAHPFGPARPRRPHPMNPTAKPLSRTLTIRCAATTAAGRPGPPPLPPPKLVRCAALDRQAARASRLRFARKLLTLLLSKRRHFLPLRVLNRCRRFLGLPRRGRPLIPMVLRYPALFRLFQAHTSLPLSPSLSTLAVGLTPAAEALAADLAALRATSTGAGALAAKIHRLLLMTPRRSIPVSRLVHLAPDLGLAMDFRATLCPRHPDLFRLVNTSRGHALQLADPPPTPPPLLLSLRPATPPDRLMDRPRRFPHLPLRRGLNLRRAHRDYLLRFHSLPEVSPFEPLDEGASLEMLERRACAVVREVLAMTVEKRTLVDHLTHFRKDFGLPNRLRAMLVRHPELFYVSVKGVRHSVFLVEAFDDDGGLLVEDEMLAYEEYDAESGEMEEFWVKKAVAQGLVDDGNEQDEACSLLPKISSKETHPKIAQVLLERHKPDMTLLVLNLSKKSSVDGCQQRCVRSRWRSNESSEDEGRTNWESRASFATRR >Sspon.05G0024450-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:15315557:15316410:-1 gene:Sspon.05G0024450-1B transcript:Sspon.05G0024450-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:A-type response regulator, Cytokinin signalin [Source: Projected from Oryza sativa (Os04g0524300)] MASRNGLGGEGRAPSPPHVLAVDDSSVDRAVIAGILRSSQFRVTAVDSGKRALELLGTEPNVSMIITDYWMPEMTGYELLKKVKESSRLKEIPVVIMSSENVPTRINRCLEEGAEDFLLKPVRPADVSRLCSRVL >Sspon.04G0001260-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:4794496:4797419:1 gene:Sspon.04G0001260-1A transcript:Sspon.04G0001260-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RID2 [Source:Projected from Arabidopsis thaliana (AT5G57280) UniProtKB/TrEMBL;Acc:A0A178UNY0] MPRPEFQAPPDVFYNESEARKYTTSSRIIEIQSRISERAMELLALPNDGVPKMLLDIGCGSGLSGETLTEHGHHWIGYDISKSMLDVALERETEGDLLLADMGQGLGLRPGVIDGAISISAVQWLCNADKSSHDPRLRLKAFFGSLYRCLARGARAVLQFYADNVKQSEMIVTAAMRAGFAGGVVVDWPHSSKAKKSYLVLTCGPPSVNTSLPKGKGENGEMCSDDDDNESSDEDGDRTVGIYERNRPKKRQKTKKNGKGKDWLLRKKEQMRRRGHDVPADTKYTGRKRKSYF >Sspon.01G0017710-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:71109221:71111723:1 gene:Sspon.01G0017710-2B transcript:Sspon.01G0017710-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQQQQQVRRVLKVAPPGKGDGECFPTVQAAVDAVPLGNRARVVIRLAPGVYREPVYVAKTKNFITIAGASPEATVVSWDNTATRIKHSQSSRVIGTGTFGCGTFIVEGEDFIAENITFENSAPQGSGQAVAVRVTADRCAFYNCRFLGWQDTLYLHYGKQYLRDCYIEGHCDFIFGNSIALMEHCHIHCKAAGFITAHSRKSTSESTGYVFLRCTITGNGDGGYMFLGRPWGPFGRVVFAYTFMDRCIKPSGWHNWDKSENERTACFYEYRCSGPGSQPSNRVTWCRQLLDVEAEQFLAHTFIDPDVDRPWLLQMMAIRIPASA >Sspon.02G0042620-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:88949852:88958628:1 gene:Sspon.02G0042620-2C transcript:Sspon.02G0042620-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPEASQGVHSDLFTDRSDDDLAAQKQNANAPHRDGFPKAALLLVDALKKNRACQKFIRRKMVNIEAKIEENKDLRDRVKCLLGYQLSCRKSVGRSLGQKEDPRVRLISPLKSTQPCSKNKYRKMPALFLGPAENPHVSKYEMVLKQFPMSFKKQPWSDVEKDKLAKGIKQQYQETLILDSLNNGSADGDFSAVDMAYALTNGAGNFEVTPENLRSVLPLINWDKISAMYLPGRSGADCESRWLNCDDPLINHEAWTADEETKLLLIIQEKGIYTGYSPTPFQCLVRYQRSLNPHILNKAWTKEEDLQLQAAVETFGQKWQLVSASLDGRTGTQCSNRWRKTLHPERTSVGRWLLDEDKRLMVTVKLIGPGRWSLIAPFIPGRTQTQIFERWCNILDPDLYLDDWRPEEDSMLLASVSEFGPCWSKIAKTIIPGRNDSMCYRRWRKLCKHEVQKVREARQLKKAIFQTNFVDREKERPAIRPRDLLSLLPSKGDGCDEAIVRGRSKKQGEENLAVSNIVNISDGLDCVSANTDLNTSSRRRRSKKHTEGNNIAVHNDLNASSSAPSSSRKRKSTTATIVSADNEVETNRITDSVAVGEEGVVKKRTRRSKPVGNEGAVRKRRGSDNEVGTNRITDSVAVGEEGVVKKRRRRSKPVCNEGADNEVGANKITDSLAVVEEGAVQKRTRRSKPVGNEGAVRKRRGSVNRDDEAGTNKMMDPAIGEEGVAKKRTRRSKPVSTEGPASIGDDGVVKRRTGSVSTENHGVVTKRNRASSRRKSAVVNLPTEGVPNAATDLDLPCATSEERVVDAGSMDKGRRKSTPRPKQINMSEGDADKNSTFTRLANCLSMVLNKLECHQAQCLASTNSFTDRPEQSNGIELYQLNDNAVGKFDDSLRLRGSELPVPQRFKRALTNIGHSS >Sspon.06G0014910-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:81865678:81866565:-1 gene:Sspon.06G0014910-1A transcript:Sspon.06G0014910-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQWKSLVPALHLHGPAASCFPHPPSSPSPSPCPSPPRAEETDLLPPPAPAVVRLVGCDGRVRAYAPPVTARQLMQEHPRHLVCRADALLIGEKIPAVAPGEELQPGQAYFLLPAHLFRSVLSFVSLASSLLLLLSSSTAAAAGAGTGKKPGAGRPFELHRTASGTLQIKFSDDFLLAGGDGKGVEDDDDDAAAAAQKEQPAVLLRGDDRLAKDYEELVGYGKSRRWAPKLETIQEAVAPAPAAAESADPAASPKGAGRGSRRSRALPFLGRLGSRRRSSSRDRDACSAAVACSG >Sspon.02G0036520-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:21752458:21756246:1 gene:Sspon.02G0036520-2C transcript:Sspon.02G0036520-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTLGPEDDTSQGLILTNKQRVMYRPPAGKSVLGLDTLADKKRAAGGGSVFKPPPPKVAVAAGSIDEDEKPGATENDATSLSTAIRSNSSRCYRGSGSDDKTSLNEPTVTDKGERAPTPSHRDEPHRQETHISGSSRGSRPHGTPRGYDYYDDRGSRDKHGDHERSASIGYSSSGRRRYHDDRESHTRRDERGRSTSIEYTNKRSRHEHSSRSSRTPVRSDWDDGRWEWEDTPRRDYRDNRPGSRRQSSTRSPMLAAASPDARLVSPWLGGNTPRSAASPWDNVSPSPAPIRASGSSKGSSYSHSSGRSHQLTFTSTTSSNVYSINIVNFYHARVQVIDADRSPSNPDRNYEITEEMMQEMDYNADRAWYDCEEHTTMFDGDNSMYLGDDNSYKKKEAEMPKKLTRRDGSLMTLAQSKKLSQMTADNAQWEDRQLLRSGAVKGTEVQTEFDDEEERKVILLVHVSYL >Sspon.06G0015780-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:70700035:70704874:-1 gene:Sspon.06G0015780-2B transcript:Sspon.06G0015780-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGEDPYGGVGPVDACPLCGGSGSGPPSRVALAKRAPPASAAALAVSSAAAPGSGGDEASALREQLARQRRGVADLQAELEAERGAAAGAASEAMSMILRLQRDKSEAMMEARQYRRYAEERFAHDAAEAAALHDALDRRDAAVRNLAARLRACQARLLQLGFPASPPQSPSSPTAANLLCPCSDSDSDPDHDDYRSRSVECLDHPAADVGTLRTHHLLNRIPSPDSDKAVVMFGSPHRASSRHAHTFSGGVPFDCRIALADEFPLFTADRDALDQDADDEEADRVYTVDAVHGVPIMAPEDCCYFGDGDVAARARAGAGGWAEEDEIQKLKARLLALEADRESMRHAIMSMGDEKAQVILLREVAQQLCRDAAPFPAPLKAQPRPQPVVMAQRKVVKRQSSFAKVFILTVIKVNMCGYCVPMYPIGMCGSNVGLMLVLDRFPKQRQKKIPKRKLSGTVINNKISGRSRISHTTNDDT >Sspon.04G0025080-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:24597339:24601530:-1 gene:Sspon.04G0025080-1B transcript:Sspon.04G0025080-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MWMTKKLAITVLVMLTSLLTARYLIEHAANSGISRYQILHLVHVEWFKSPAADAQETTTPEVAVQTTADASPASNSSDSRNNSPEVFQWLDTWNQMKQLANITNGLPHASEAISDGRAAWENLTASVQNASSQQREKERLCPYSIRRMDASKSENGVFTIDVPCGLIVGSSITLIGTPGVLSGNFWIDLVGTALPGESEKPIALHYNVRLNGDKATKDPVIVQNTFTANNGWGVEDRCPSTNYSNSTEVDDLERCNAMVGPEEKDIDNSKHHAAAKKHGEPSKYFPFKQGYLAIATLRVGSEGIHMTVDGKHITSFAYRAGLEPWYVTEVGISGDFKLVSAIVSGLPTSEDLENSNIESLKSPPIPDDKDVDLLIGIFSTANNFKRRMAIRRTWMQYDTVRQGAVAVRFFVGLHTNLMVNEELWNEAHTYGDIQVLPFVDYYSLITWKTLAICIYGTSALSAKYLMKTDDDVFVRVDEIQSTVKQLNVSHGLLYGRINSDSGPHRNRESKWYISEEEWPEEKYPPWAHGPGYVVSQDIARAINNWYKASRLKMFKLEDVAMGIWVNDMKKDGLPVKYETDKRINIDGCNDGYVVAHYQEPRHLLCMWEKLLTTQQAECCSTNSQPCHIDKPLREIIIVGC >Sspon.08G0025360-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8C:8019376:8020317:1 gene:Sspon.08G0025360-1C transcript:Sspon.08G0025360-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARPTALLALVVCAVAALAASAGATQFRVGGQSGWSVPGAGSESYNTWAGRLRFQIGDQLLFVYPKETDSVLLVDAAAYNACNTSSYVSRFDDGSTVFTFDRSGPFFFISGNEASCRANEKLIVVVLADRSGARTPPAAPPTSPAPLPSPPSSPPAAAPATSPPSSSPPSPGAAPVPAPAATPPSPAASAPAPAPTTTTPSSPPAPAAQTPSPSATPTPGGGLSSPPPSGSANAPAGEGGSPTTPPPPSAAAPVVAGFVGSLGAFIGYAMLAA >Sspon.08G0008550-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8B:29120545:29121672:1 gene:Sspon.08G0008550-2B transcript:Sspon.08G0008550-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQDAPNALASDADHPAPPSRLLSKHRPRRRAAAPRPPLPPPAPPAPARGLPDLNLCHCCGVRFPTPQPGARSKRRPVRPLSSLWRVVLLCAECLSLVRSAAVCSYCLSLDNLPPEDSGVVCRRCKRCVHRSCIPAEHRTTVIQPVDVEDFLCVDCCPTVRSKNGGFNLGLNLEACFWDRTSVAGENALRKAAEVKLASKRGNNAVGSSGLVGRNSGVPVLLDEELALQLHLAMNGSQRISRSGNSAGGGSAEPGKGKNAGVGGRICNINQEICITNMMAQLDEEEEPGCNRVLKCLRSSDSSVTVVLALECVKGKHAEERMKAKRKGPHVITQQDDLVDPSSKLAKDGYVESRNMCDGMDIDADHGGNGVAPMK >Sspon.06G0020070-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:7215219:7217656:-1 gene:Sspon.06G0020070-1B transcript:Sspon.06G0020070-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPEIANQTNPTVMSDTYSFGVVLLELITGKTAIDDSKEGEERNLVYWKKNGNFEELLDPRLHGSVSRMLLSEALNVVDKCIEREAVGRHVVSICQDDTLGASSSAA >Sspon.08G0030700-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:64539693:64556619:-1 gene:Sspon.08G0030700-1D transcript:Sspon.08G0030700-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRLQEPVVVLLGLYPKRPGDKTQQQAQHQQNALSACIQSFYAYLRCLCDTAKSSRDTDEEPALATSRTWCFDEADLQDAARQVVAEVTSLLETHRFAARQTSATYETYLTFLSKFPLVDKDWELQLLPITAVRDAGPAAGLVPPLAPATTVAALSMPGTTSKSLVIDQSRADENYGEDRLSALPKDILVLILLKLTTRAAARTSILSRSWRHIWALLPALSFTLAPEPHWLRDVLDAHQVPVGDLRVETDGATPESLAVWLPAAARRVSGDLTLFNHGWVEDGEVEEEKAFEFPCFEKATSIKLSGFQGLAVPPTGVFTRLTGLYLSRVMFHGSGELGDAVSSLRCPCLQRLTVNDARGLRDLTIHSKSLLLMHFEGISIESLSLALLCLQLQPGEWETEELLLDHLEEVEISGWGGTEHEVTFVKRIFDSGTKLKEMTNK >Sspon.03G0005680-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:31520147:31524000:-1 gene:Sspon.03G0005680-3C transcript:Sspon.03G0005680-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AMSWLARSIAATLSSAHSDDDDSEFPSGDKSPPRASDPTSADTGESDEQPDTPSRGVKGDISELTESLTRRFWGVASFLAPPPVEGETEEEEVEEETAAATEAPQSPRIDGIRSDLAEIGGRVKTGISMLSNAGAVAEISRIASSFLPFGPGDHGEEEEGEAVGVTEEVVVFVSNISKHPKTWLDFPLFVDDRHTVDFELSDAQYGHAVAIERLVPSLSYLRTELCSTNMSEACFWKIYFVLLHSKLSKQDAEILSTPILEAREGLLQSSPTKNKLLSENRNTTEPEESELSPSSIQNKHGVSEAPSFQELTSDAVPNVEADKHPISNTEAEIVDKSVIQEELVMKTEAKSLPTEKSNPHPAEDDDEKEVDDWLQDVDSVPSKTGNPTAVGEEEDVSFSDLEDD >Sspon.07G0000480-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:1134302:1146169:-1 gene:Sspon.07G0000480-1A transcript:Sspon.07G0000480-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGEKKKDEESRFYDGPGAMNEPTRPTFTSQLLTRSLPPPPQGSSAEAACLSGGLKRRRHRHRHRRPPPPLLILLRGRRMDTQPVLAPAIDASASVMCQLLSPEGDPLGAALYLPQNVGPPQLQEIVNQLLHNEDKLPYAFYVGDEELSVQLGAYMRQKNANVEVTLRIVCQPQALFRIRPVNRCSATIAGHTEAVIAVSFSPDGKSLASGSGDTTVRFWDLSTQTPLFTCKGHKNWVLCIAWSPDGKQLVSGSKSGELILWDPKTGNQLGTPLMGHRKWITAVSWEPVHLQSPCRRFVSASKDGDARIWDVTTRKCVISLTGHTSSVTCVKWGGDGLIYTGSQDCLIKVWETSQGKLVKTLKGHGHWVNSLALSTEYVLRTGAYDHTGKTFSTAEEMKEAALARYKKMRGNAPERLVSGSDDFTMFLWEPTISKQPKARMTGHQKLVNHVYFSPDGQWLASASFDKSVKLWNGITGKFVAAFRGHVADVYQISWSADSRLLLSGSKDSTLKIWDIRTHKLKMDLPGHADEVYTVDWSPDGEKVASGGKDRVLKLWMN >Sspon.01G0000030-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:40617005:40618365:1 gene:Sspon.01G0000030-3C transcript:Sspon.01G0000030-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATFSSTVVALGALIFSLLATCSSCARPVSFNASDFTADPDWEAARATWYGAPTGAGPDDDGGACGFKNVNLPPFSAMTSCGNEPLFKDGKGCGSCYQIRCTNNDACSGNPETVIITDMNYYPVAKYHFDLSGTAFGAMAKPGRSDELRHAGIIDIQFKRVPCNYPGQKVTFHVEEGSNPVYFAVLVEFEDGDGDAVQVDLMEANSGSWTPMRESWGSIWRLDSGHRLTAPFSLRITNESGKTLVANQVIPANWVPNTYYRSIVQY >Sspon.05G0018920-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:78768380:78769846:-1 gene:Sspon.05G0018920-2C transcript:Sspon.05G0018920-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MACHQRSTSLPSLPHSTESNVEQELQTLKARISSPSATIDTTCDGLRRLGDLYSCVEEMMCLPSNHALTQQRKTAEEELDRSLALIDLCSAMQESLAELKTSVQELRLALRRGDDAAVKLKIESFVRLAKKAHKPMKKTTCKATAEGCRVVRLMAEARETAVYLLESMLRLLPEQVGSCPNASKWSLVSKRFQKRKVVCEERQLRALERSMGDLGDGVAILFRRLIQSRAKNDRGLIDLIVGLTVWTSLGCISQSPLVSV >Sspon.07G0034880-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:82278769:82286505:1 gene:Sspon.07G0034880-1C transcript:Sspon.07G0034880-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Trigger factor-like protein TIG, Chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G55220) UniProtKB/Swiss-Prot;Acc:Q8S9L5] MELLSTVAAAAARPHGPFSSPRAASVSWNNSLSLASTSRTLSTPSRRRLRRWLPVTSAAVELREAAAGGGDSLRVTETPQPGSSVKFSVEVPPSIIHDCYQTTLQEYAKRFKVPGFRPGKIIPENILINYVGPQHVEERALEDSVRILTQFDDMRNCFSLDNVFRYDVAVDVVPEVRWLSEDKYKNLKVVVEIDEAVDAEKAAEKELQRRHKALGLLRIVGDRGLQIGDLVVLDIFAESINSDGSKGEKISSAESTGFHLDTEENNNLVPGFLGSLIGIRRGEARSFPIQFPESFEQESLRGVNAQFTVVCKELFFRELPEMNDSLAVKLLPGCTTIDEVRKHILERCKEVEKTAIEQATDNTILDQLGKAERKLDKDQLASLSTQRSVQAYLEDEKENITRIIKQMLAVGDVFKSENLQYPTEQLIKEVENSIAEFKKYNQDYDEDNIRQQVQDVLEAAKAERKLDKDQLASLSTQRSVQAYLEDEKENITRIIKQTLAVGDVFKSENLQVQDVLEAAKVLEWLKESCTVEYIRR >Sspon.02G0015350-3C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:45894699:45896336:-1 gene:Sspon.02G0015350-3C transcript:Sspon.02G0015350-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGRLYDLDASKYGTAAELKSLIAAFHGKGVQVVADIVINHRCADYKDARGIYCIFEGGTPDGRLDWGPHMICRDDTIYSDGTGNLDTGADFAAAPDIDHLNDRVQRELTDWLLWLKSDLGFDAWRLDFAKGYSAEVAKVYVDGTAPSFAVAEIWNNMAYDGNNKPEYDQDPHRQALVDWVDKVGGAASPATVFDFTTKGILNAAVEGELWRLIDPQGKAPGVIGWWPAKAVTFVDNHDTGSTQAMWPFPSDKVMQGYAYILTHPGNPCIFYDHFFDWGFKDEIAALVAVRKRNGITPTSELTILEHDGDSYVAEIGGKVIVKIGSRYDVGHLIPAGFEVAAHGNDYAVWEKAGAEEVTRA >Sspon.01G0043790-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:73052293:73058965:1 gene:Sspon.01G0043790-2C transcript:Sspon.01G0043790-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASGERAAEVRRLMEAKEVSGKTFSEIAAETGLTNVYVAQLLRRQAQLQADTVPALRAALPTLTDDLVQLMMRPPFRSYHPDIVHEPAIYRLNEAVMHFGESIKEIINEEFGDGIMSAIDFYCSVDKVQGADGKDRVVVTFDGKYLPYTEQVCVFFLLFSCHSVASRWAGERASAEGSGMEASGERAAEVRRLMEAKEVSGKTFSEIAAETGLTNVYVAQLLRRQAQLQADTVPALRAALPTLTDDLVQLMMRPPFRSYHPDIVHEPAIYRLNEAVMHFGESIKEIINEEFGDGIMSAIDFYCSVDKVQGADGKDRVVVTFDGKYLPYTEQKSEHMMSRPTRKNLDMVGDEEKHDVAPWSHHVVILTAFVVFGLRKD >Sspon.02G0019900-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2B:64912262:64913292:1 gene:Sspon.02G0019900-2B transcript:Sspon.02G0019900-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQMQNLTEVARSKGLLLKVEGHSVTMAMSDGELIKSKKLSVGGHDWEVHWRPKNHWAGRHRPVTLNLVLLSEACGGGDVKAKLSCRLVDPAGRLEPSKEKSLSHKFYKPGDYSGPLEVTSREDLEASGYLADDSYTLQCSISVLKGAPAAPNTAATAMDRDRRPEAALPSLDLHRHLGELLQKGTGADVTFHVSGDEFAAHKAIHASRSLVFMAELFGGMKEEASRRVEVQDRLKLIFEGSLADRITVDTAATTLALAEQHGCLQLKASCVEFIAGYLDAILETEGYKHLEASCPSVLTD >Sspon.07G0022560-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7B:9175548:9176251:-1 gene:Sspon.07G0022560-1B transcript:Sspon.07G0022560-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDEGWLCPACDCKIDCIDLINDLQGSDLSIEDSWEKVFPEAAAMANGSKQDDAFDLPSDDSDDNDFDPNMPEEHVVSKEEGSSEDEEDEDGGSDSDDSDFLTCSDDSEPLMDKKKVDDLGLPSEDSEDDDYDPAGPDSDKDVEKKSSSDESDFSSDSDDFCKEIAKSGGHDEVSSPPLPDAKVVIWKRALLRLRQQVLLMTLWRLK >Sspon.02G0008400-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:23344071:23352687:1 gene:Sspon.02G0008400-2B transcript:Sspon.02G0008400-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWLDIRYATVLLLLLASPCATDDRLVPGKPLSAGATIVSDGGSFALGFFSPTNSSSPGKLYLGIWYNDIPGRLTVVWVANRETPVTASPPALSLTNASNLVLSGADGRVLWTTNVVGAAAAASNTAAVLLNTGNLVIRSPNGATLWQSFDHPTDSFLPGMKIRINYKTRAGDRLVSWKSPDDPSPGVFSYGGDPDTFLQIFIWNGTRPIMRGGPWTGESVTGGLIRQNTSVIFYQTIVSTQEEIYLTFSVSDGAEHTRYVLTDSGVLLFQSWNSSSSAWAILGGSRNNPECNLYGYCGPNGYCDDTESPRRCKCLDGFEPVGLEDWNSGRFSQGCRRKEELRCGDDRFLALPGMQSLDKFVLVENRTLQECEAECTKNCSCVAYAYANLSTSRTKGDLTRCLVWAGELIDTWKSDTDTLYLRIAGLDAGTRAKSNTVKIVLPAVLLSGILILIGISLAWFKFKGKISYGAKRRKLIFDGMTSDELEGNHAQDSEFLSVRFEDIVAATRNFSEACKIGQGGFGKVYKAMLGGHEVAIKRLSKDSEQGSKEFRNEVILIAKLQHRNLVRLLGCSVDIDEKVLIYEYLPNGSLDATLFDNSRKMMLDWPIRFNIIKGVARGLLYLHQDSRLTIIHRDLKTANVLLDGQMRPKIADFGMARIFNDSQENANTHRVVGTYGYMAPEYAMEGVFSIKTDVYSFGVLLLEVVTGTRRSSISSTMGFQNLIVYAWNMWKEGNARDLADPSIMDTCFLDEVLLCSHVALLCVQENPTDRPLMSSIVYSLENGSTTLPTPNNPGHYGQRIGDMEQIRDENNSTNSLTLTTIEGR >Sspon.05G0028490-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:68399073:68400063:1 gene:Sspon.05G0028490-1B transcript:Sspon.05G0028490-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVDGFGMQQQEETPPTTLHTPTAFNPKMAHVAPHDSSLQLSDSEHCSAPNRARLPWLAGTAEARTAISSGTTTIARVFLEHAMKLYARLPWLRSFYLE >Sspon.01G0037660-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:89787626:89792417:-1 gene:Sspon.01G0037660-1P transcript:Sspon.01G0037660-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAEGEVRRDMWGQEYRTSSADCAAALDAYYAAFLSFGRGRVAAVLRAAAADPTCALAAAHAAHAVAPRDPAGAAAFLAAAKDNLGKATEYERAVFGTLSALMGEGWDVEVAIERHFELLKQFPRDILSLKRAQLICFYLGKPELSLKFVQQVLPKNQDQNFIYGMLAFPLLELGRMDEAERAARKGLAINKNDFWSQHNLCHVFQQECRFREATEFMESCSPSWEACTSFLLTHNWWHIAVCYLEAESPLCKVLEIYDHSIMKELEKSDCEAAEVYLNALGLLLRLYIRGHIDSAKERLTTLLDALKNESIWHVEWLLDLLILWALASMSELKSAHNMLESLKSRVHSMDRNRQQVMQKAIKLAEAAYEYGKGDHMKVFDTLGPDFDALDYKMIGASDEQVDVFNEVWYTVLINAGETSKAIEILGKQIRKREGAPFLWRLLEKGYSLDGRSADASVASKKANALQSAYNH >Sspon.07G0012670-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:45663279:45670072:-1 gene:Sspon.07G0012670-3D transcript:Sspon.07G0012670-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gamma-tubulin complex component 4 [Source:Projected from Arabidopsis thaliana (AT3G53760) UniProtKB/Swiss-Prot;Acc:Q9M350] MLHELLLALLGFTGDFVLDASPPRRRSASQEAGRDGDGDRDRDRDGEVGPAFRLAPDLTFLQPSERTAIERLISLGFYYRELNRFATESRDLSWIQSSVDVSSPHSDKSQKGKVRKGSVYRRAIANGITEILSVYRSAVLQVEQNLLSDLLPILATVTHGLNKFEVLLPPLYELVMEIEQKDIKGGQLLNLLHKRCHCGVPELQSCIQRLLWHGHQVMFNQLTSWMVYGILQDQYSEFFIRRQDDRDGENELSQRVVSDKFKQKLAKDTSLSSWHTGFHVSLDMLPEYIHMRVAESILFTGKAIRVLRNPSPGATLQEPVNQSQNSKGSHGMQSFVGGSGAPKELPSFSNISAEELLPQAEADKIDVMLKELKVSCQLGNFCWYCTVYVTAIFCCMRVSLLYYKSFLCYLHKMNILIWAYGCLRFLQHSSEFYKRPFESAVGSIRTIAANHLWQLVVVRADLNGHLKALKDYFLLAKGDFFQESRQLMRLPPRQSTAEADLMIPFQLAALKTIGEEDKYFTRVSLRMLSYGMKSSTSQKDLQKPNASELSSQGKATSELALDGWDSIALEYSVDWPLQLFFTPDVLSKYRKVFQYLIRLKRTQMELEKSWAAVMHKDHADFSDYCKDRKNNSATQLRRQCSKPLWRVREHMTFLIRNLQFYIQVDVIESQWNVLQSHVQDSHDFTELVSFHQEYLSALISQSFLDIGSVSRILDSIMKLCLQFCWSIEQYETRRNISEIDHITEEFNKKSNSLYTILRSSRLADHRKGSDEFRKAAPKHCRFSAVTVTVDV >Sspon.05G0039490-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:71297950:71299265:1 gene:Sspon.05G0039490-1D transcript:Sspon.05G0039490-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTHALIKAYGLLDDMDRLRVAPATEEDLELAHDPEYIRFLRDLTPADYNANAETQREAAEKYKLGEVWNELTHCGEAGRFCYVNDIVVAIKALLHHGRRFIRRVLYLDIDAHHGDGVETAFVEDSRVLTVLFHQFGGDFFPRTGAAVDVGELPAGDDGVCPTLINVPLQAGTRDGRYHQLFGAIVDRVMAVFEPDAVVMQCGADSLAGDRLAGLGLSVRGHAKCVSIVKGYGLPLLLLGGGGYTINHVASCWCYETAVAIGKEIPDDIPQHGYQDYYKSQGYKLHYHKEDSSSSSRNRDMRSKDKITMDKVMNHLDLLSSQLMPKPRPSEQLDEEPPRATPHADGDDALVDRSPWVEDAVRGCIGAAVRRCSTSSSPTGAETSQASLS >Sspon.05G0012420-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:30362409:30364883:-1 gene:Sspon.05G0012420-3C transcript:Sspon.05G0012420-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein MHF1 homolog [Source:Projected from Arabidopsis thaliana (AT5G50930) UniProtKB/Swiss-Prot;Acc:Q9FI55] MAMDPDPDLDLDMDMDMETLADDDAGAALAGDSGREAERNEAAEAEAERYEAAEAEADILRDRFRLAVISIATDEGKKAGMTVADPVVACIADLAFKSAEQLAKDAELFAQHAGRKSVRMDDVILTAHRNEHLMGMLRTFSQELKGKEPASEKKRKKSSKKDERLLVLALELAFSTEQVGLLNCT >Sspon.02G0046370-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:5135143:5136499:1 gene:Sspon.02G0046370-2D transcript:Sspon.02G0046370-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCCTGSAKCANASTPFESKVTSRSTASNNSNSTTTANKLASRRSIGSSSALSGQLAGSDVQVQAASAGTGAGAGVGLKSFSMSELRAATKNFGSTSYLGEGGFGCVYKGWIDEATLAPTRPGVGRMVAIKKLKEESFQGHREWLAEVTYLGQLHHANLVTLVGYCSDSGANKLLVYEYMLRGSLENHLFRRATQPLSWPMRVSIAIDVARGLAFLHSRDDSPVIFRDLKSSNVLLDSDYRAKLSDFGLARNGPTGDKSHVSTRVVGTRGYAAPEYIATGHLSTKSDVYSFGVVLLELMTGRCAVDDARGGSAATLVDWAYPQLGDRRKVIRIMDTRLGGQYPKKQAQGMAALALRCLQNDPKNRPAMADAVLPELEQLLQHNSHKSSYTSSTPPPVHGRPGRPHS >Sspon.02G0021600-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2B:70999218:71001492:1 gene:Sspon.02G0021600-2B transcript:Sspon.02G0021600-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARCSVAKPFCATTATPRLSRRGRRLSANATTPAMSTRKAGLPALSKPAKPPPPPLLSRPKLPVPTNTATDATSGKDCTKKPPPEATDSHPSSSGAGDVLRLMDALGIPPDEDIYISLLRECADAAEVASVDAHMTARCASDGLPSPVANRVLLSYAACGDIEAARRVFDGMPTRNGMAWATMVSAYSDGCFHRKAMRLFAEMCHGTPILDGDCCSHAIVAVLRSCTRVGELRLGEQVHTLVIKKGRIRGDIGSSLVQLYCESSGLHRSARRVLVTMMQHHRQEPIPEAAWTSLITSCHRDGQLSEAIDVFRDMASSGVPRSSFSLSSILAVFAESQNQGCCGQQVHADAIKRGVDTNQFVGSGLVHMYAKQGRLADAAMAFEAIGGKPDAVCWSALAMAYARGGRYREATRVMYQMKAAGMNPSQEMADATILTNSNRNVVVRASVSSDATFPSLLATSRTGLSAHLRLPPSLSKKNLLTLHHAVMASLCREAVRQPHSASPPRPSSPAMPHPTQRCPTLPRRHGHPPLCARPHPASCGAASPAVPRPGATRRRSSPAEDTMTGSHATINPSFLQRRVVAVGDVVFMCFRCMF >Sspon.01G0055640-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:80454930:80467731:-1 gene:Sspon.01G0055640-2D transcript:Sspon.01G0055640-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTKPMAAAAGEKKKSKGKKKGKNGPAKVAMKARGTATEERSNPFEAIWSRRKFDVLGKKRKGEERRISRSRSEAIRKRENTLLKEFVESGKSSVFHDRRVGERDDTLPEFDKAILRQQRERLAKLKRESKYNLSDDDEDEANVHNMLSEKDDFDEEVPLDDGSDDEGKMVLSKKRLSLQSDNHPSVTDLPQETHGQKSKKEVMTEIISKSKFYKAQRAKEREEDEHLVDKLDNDFASLAQTQALLSLTESAKVKVNKNDSSAGLTRKETFNKAKADTYEKLVKEMVMDQRARPSDRTKTPEEIAQEEKERLEKLEEERQKRMLGTADSSDEDDDNEDDKHMKLGNSKPISGDDLGDSFSVDESIGKKKGWVDEIYEREGRKIGDDAAASDDGESDDENAGDDGADDEEDSEEDSSDNDFGNMSARDWEQSDDDEVDVGDDEMEDFKEKEQEINGKVVDKDAHNLKGESNVKPQVKDGSIPFVIDAPNDLKDLSSLLDGRSEAEIIEIISRIRTCNSIRLAAENRRKMQVFYGVLLQYFAILATQSPVKFRIIDTLVKPLIEMSGETPYFAAICARERLIHTRTRLCEDIKVTKESKKFCPEAIGFLQSLLVTSLKGKVGTHLHNQINDQFMELKTSKPWLSIHDQVHEVNPVNILEIVGMDPDAPYFSSDNFKAGVLLSVAECLRGFVIIHEGLCSFPEIFLPISSLLQEILERSELPGMLQDIFHEIIDLVKKRSDEHHASREPLRMRKKKPEPIKQLNPKFEENYIKGLDYDPDRERAQMKKMRKRVKSEMKGAKRELQKDNYFLAAVKEKEKRKRDEERAEMYGKAMAFLQEQESDFKSGQLGKGKGRKRRR >Sspon.04G0020310-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:74174972:74178349:1 gene:Sspon.04G0020310-2B transcript:Sspon.04G0020310-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Autophagy protein 5 [Source:Projected from Arabidopsis thaliana (AT5G17290) UniProtKB/Swiss-Prot;Acc:Q9FFI2] MAAPHDEAAAWSEEAARRVWAGAVPLQVHLHDADVTALPPPPPFLTLGPRIGYLPLLIPVIKAHFSSALPPGIDTVWFEYKGLPLKWYVPIGVLFDLICADPERPWNLIVHFRGYPSEILSPCEGEDSVKWSYMNSLKEATFIITGNSKSVMNMSHADQVALWESVMKGNVDGYKNISTRLKLGPFEDDGLVRTASAERQRQQNSDEPESPGSSKPVPVRLYVRNVQEDLEYIEDAVPVSDWEGVSYINRPFEIRKVEGRSYITLEHALQTLLPEFFSSKPAGALDSAADSSDATNSSRSSQEAEQALASPREAGAAKKARVKLVRVQGIELDMDIPFLWVANNLKNPEYYLHICVYVGTRKQ >Sspon.05G0013970-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:50372941:50376605:1 gene:Sspon.05G0013970-1A transcript:Sspon.05G0013970-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPIPIGEWMASACITKTVSKVCSYLEDQYEYQRDDAKDKLTKLKNNLWKIPFVLDKASSLQTKDPSMQCWLGSIKDAAYQAADVLGLFDYRFFEAKAEDIGTVMAKSSDYVTVSSSSSTISATTNTTASTSTSSSSTVKRSVRILKRLLFSDEDLNKLIAIVDKFDKIASEMQTFLELADPRDRKPGKALHWRRTTSMLGTTKFFGRDGEETKLKKLLEQTNDECRQPYSVIAIVGVAGVGKTALLQRVYNHFRDIGHFDIMAWLYVSEKFGVKRLTKEMVQSQKCHRLKRKRDGTSRVSWDGSISADLNSISNLDLVQRKLQKKLNGTKILVVLDDVWNEMSSKWETLCKPLQFASMGSKVVLTTRSQKVAKINGATEIIHLDGLKGKDYLDHFQQCAFGNATPSDFPRLVEISEQLVMKLAGSPLAAKTVGGELKMKLQEDHWKDVLQLQLWQIEQTADDIMPALQLSYEHLPDHLKQCFVYFSLFPKNYQHRGDVLIQMWRAHGYIQKETSDENAYRYIDDLLQLSFIKEAANLDNHYVVHDLLHDMAESLSNGEHFRIEDDFIIPRNVRHLYVKASNISKVYMSLVESQESLVESQDLKKNLRSLIICQHHAASGERIPPDKFNNVLKETLHELRSLRVLGFILQSHCQHNIWKELQMHISRLTAEPVKILSPIQNFRHQNDRLLYFKQPQKEL >Sspon.08G0007380-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8D:21692850:21693981:1 gene:Sspon.08G0007380-2D transcript:Sspon.08G0007380-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ASSALDVAESSRAGQEAEQEPESEPQRKWVALVSVAVLLGNDDERAKEIAVGTDVLLDLNDPPLPSFLRVLHPRVAPDPRRNDGPLSAYILAADRSACILLQVVKGNRPDFLLCDPQTIRIGLVCYSTAEGRWFTRELTRARQQVRMRNPFSETGVLAHDGRLWWLALAYGVFFCDPCTPQFESPQLRFLPLPDDCETMENTAAAFDPRIRTLIDQRRCVRPSEGKLRFVEIRGLSYDELVDAPASNPTVWMWTLDDPEGPNPWTLEYEVAFAEIWDNKTYADAGLLPRAVPHVALVDPTTTTSSTSSRARSSSAWTCARRRSSPARNA >Sspon.07G0024980-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7B:34652742:34652986:-1 gene:Sspon.07G0024980-1B transcript:Sspon.07G0024980-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding TQARRGMRRRSQQPGWILSLGHDRFVAYSQPKRIIIKTVVNRPESVTGAAMRSEGHSVSPIQGVSRVDFCLRDQGVPSSA >Sspon.07G0035100-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:676488:678428:-1 gene:Sspon.07G0035100-1D transcript:Sspon.07G0035100-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LHRGAALAVSLFNTMARAGVNKVAADACTFGILMRCFCTVGRLDLAFAAFGQFIKTGWRVQVTALNQLMKGLCDGNRTGDAMDIVFKQMPELGCTPDVFSYNILIKGLCAKKKSQEALELLRHMTADGGYNCPPNAVSYNTVINGLFKEGEVDKAYILFHEMLGGGFPPDLVTYSSTIDGLCKAQAMDKAEAVLQQMSDKGLMPDSWSYDTLIHGLLIDYYCKIGRCTEARRALVDMHDLLDLMIRDDIPLEHETVDKAMVAFVEMRQKGLRPDVVNYNMVIDILCKTGRVEDAVFHFNQMVSEGLSPDIITFTSLIHGLCTIGEWKKVEELAFEMINRGIHPNARFLNTIMDSLCKEGRVLEAQDFFDRIIHAGVKPDVVSYNILIDGYCLDGKMDELIKLLDRMVSIGLRPDNVTYNALLNGYCKNERVDDALALYREMFSKDVKPNAITYNITLHGIDEAKGLFSAILSNSLVPNATTYSLMIQSHIKEGLLEECDELFLSMEKNGYAANS >Sspon.08G0007790-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:26552580:26556362:-1 gene:Sspon.08G0007790-1A transcript:Sspon.08G0007790-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGKEISFFFSNHLNVKPPAPAATTPKPPASPSPVEQHRQAVPIPCHSGDSSPVSSAAAIPAPLRVGIVGFGNFGQFIAGGVQRQGHAVLAASRSDYSAYCARHGIRFFSSVDALCEEQPDVLLICSSILSTEGVVRAIPFHKLRPDTIVADVLSVKEFPRNLLLEVLPPGFGIICTHPMFGPESGKHGWGKLPFVFDKVRVAEDGDQAAKCDQFLSIFEQEGCRMVEMSCAEHDRYAAGSQFITHTIGRVLSQLNLKSTPINTKGFETLLQLTKNTVSDSFDLYYGLFMYNINATEQASETDAVWQAPRLHTKTDCGEGGPYASGSFMKIGK >Sspon.01G0013410-3P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:43787374:43789070:-1 gene:Sspon.01G0013410-3P transcript:Sspon.01G0013410-3P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKEDLKLLGLPLSPFVVSVRMALNMKGVSYEYVDEGLNNKSELLLKSNPVHKKVPVLIHNGKPICESLVILQYVDELFAGRPILPTDPYERATARFWAAFAGDKLFPAWYGVVTAQAEEERAEKVKETLAAIERMEVAFAKCSGGNAFFGGDSIGYVDVVLGSFLFWFEAVRRVDGLEIINASKTPLLAAWAERFGGSVEAKEAVPVTKADLAVQYISKFRAPAAAAAAKL >Sspon.08G0005170-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:13100695:13106988:-1 gene:Sspon.08G0005170-1P transcript:Sspon.08G0005170-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSSSSSGAGARRKAPSPPKHRHDGTSPLPLGMDWSPPPKRWEGRNTIWPHNPQTGWSYCVMMPSWITQTPETGVTADSFLKSVVFYRIHVGIQSPEGFSSSHGILRRFSDFLKLSSDLKSAFPRKDVPSAPPKHAFLRINSSRLLLEERRHALEEWMQKLLSDIDLSRSAPVAAFLELEAAARSYFQERNGRPSEVGSSAKSSSIDSSPHSDGHASGSLAESNQINQALTRGSSLTGATGNGVLGEAILDHSDEHVSSALNHRKGNLVLEHDGRNGSVASYRGVVSEEDRDSNPGHARKDSAESIGSDLSSLRGSELSVPGASSSLWDGAVVDGHISQTEHLTGLDMHLLYDMDAQVILPNDQKQKLTRLLVTMQRRIGTAKTDMEDLIARLNQEVAVKEYLTTKAAPRRLMLKLTLP >Sspon.01G0013280-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:36293089:36295353:-1 gene:Sspon.01G0013280-3C transcript:Sspon.01G0013280-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMNKSRWCRATLLLLLSLALRGAAYLQERKNYIVHLRPREGAADGSVEEWHRSFLPQAAPRLDSAADDGPRIIYSYSDVFTGFAARLTDEEAEALRATDGCARLYPEVFLPLATTRSPGFLGLHLGNEGFWSRSGFGRGVVIGILDTGILPTHPSFGDDGLQPPPKGWKGTCEFKSIAGAGCNNKIIGARAFGSAAVNSTAPPVDDAGHGTHTASTAAGNFVENANVRGNADGTASGMAPHAHLSIYKVCTRSRCSIMDIIAGLDAAVKDGVDVLSFSIGAYSGTQFNYDPIAIAAFKAMERGIFVSCAAGNAGPDPGTVGNGAPWMLTVAAGTMDRAIRTNVRLGNGEEFHGESLFQPRNNSAADPLPLVYPGADGFDASRDCSVLRGAEVTGKVVLCESRGLSGRIEAGQIVAAYGGVGMIVMNKAAEGYTTFADAHVLPASHVSYEAGAKIMAYLNSTANGTASIDFKGTIIGSYPSPAVTFFSSRGPSKASPGILKPDITGPGMNILAAWAPSDSHTEFADGGADLSFFVESGTSMSTPHLSGIAALLKSLHPDWSPAAIKSAIMTTSDAVDRTGLPIKDEQYRHATFYAMGAGYVNPALAFDPGLVYDLHADDYIPYLCGLGLGDDGVTEIAHRPVTCGGLRAITEAELNYPSLVVNLLSQPITVNRTVTNVGKASSVYTAVVDMPKDVSVTVQPPMLRFTELKEKQSFTVTVRWAGQPNVAGAEGNLKWVSDDYIVRSPLVIPPKGE >Sspon.01G0039470-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:31212926:31215552:-1 gene:Sspon.01G0039470-2D transcript:Sspon.01G0039470-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGSIASRWRELHGKDSWKGLLDPLDIDLRASVISYGELAQATYDGFNTEARSPHAGACVYGLADLLTASGGAPGNNRYRVTKFVYATSGLQVADAFLLLPQPGLQGQEPWCRESNWMGYVAVATDEGAAALGRRDVVVAWRGTVRSLEWVNDLDFTPVPAAPVLGAAADAHPRAMVHRGFLSLYTSSNASSKYNKLSARDQATTETCVQVLDEIRRLMELYKDEETSITITGHSLGASLATLNAVDIVANGLNAPAATAGGSSAQPGCPVTAVVFASPHVGGPFFKAAFASFGDLRALHVKNQGDVVPLYPPLGYVDVAVPLPIHTARSPWLRQPGTPQTLHNLECYLHGVAGEQGSGAGADDGGGFKLEVDRDVALVNKAADALRDEYPVPAKWRVALNKGMVRGTDGRWVLKDFEHV >Sspon.07G0027490-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:62668847:62670970:-1 gene:Sspon.07G0027490-2C transcript:Sspon.07G0027490-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAWYEELREVEYLEESIHKKMASISRRLMMAKDLSEAEAAKLKEEHTFLRKHVVQLGLEVSPKPDFSCMTEAERAAAAERLRNDELHYARRLSQEGDPRGPGMEACARIVDFDPKQGGLYYSRYSRGNLDLDEESPIGPMRYIDSPYKSESDYFWLCTAVNFLSVKIASSDVGFPIRVYGTIIVRDSIDVRFVYHFRRDSDNCQLINSEAESLILTGPKRGLALCGDIHVETDLKMKDDLVQDRELSKGLISIRSASTGPSVTGYTFQTKSLATRLSTVDVTYTVVDHALEGTIAVEVLQGGFHGKIAAYTANMQYMLVLYDSKEADVMTVDDCGVLELLRPVVSVYVKDLLMIAALTSDGKSEHIVFTPRINTRDECPLAVGVTKLRVKLSWSVMDP >Sspon.08G0023880-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:59746280:59748909:-1 gene:Sspon.08G0023880-1B transcript:Sspon.08G0023880-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKALVSAATGALGPVIGKLAELLEKKYMLFKDARRNVMVLKSELEHMYSFLERLSGVEDPNEQAKLWMAEVRELSYDIEDSINDFMLRVEGPGPAKLKGFQGFIQRITNLFTKIKTRCRIAKEIHGLKEQVKEAGERRARYKIDNNVHSNHSKIAEVDTRVQYLYKDQSELVGIDGPKDELLRLLTEEEKNLQVSIVGPGGLGKTTLAKQLFSTNEGKFKHRAFVKVSRNPNMFDILKEIYRQVIIPRFIGGPKAQYKEIASNTYEEYRYIVVVDDIWDVEVWETIKCVFPRSTGGNVIITTTRTMEIAESSCLSYQNHVYNLSPLGAMDSK >Sspon.02G0052540-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2C:93276243:93276629:1 gene:Sspon.02G0052540-1C transcript:Sspon.02G0052540-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEELVEEILLQFPLQEPTSLVRATLVSKQWCRLISGPGFRRRFREFHRMPPMRGYLCNDIDGPARFVPTTAFCPPHADRGHRVALDPHHGRVLLWEPKVVVGKVLVVYWCGTPSRTSRRSCPFRRCGP >Sspon.08G0024380-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:65395138:65396870:-1 gene:Sspon.08G0024380-2D transcript:Sspon.08G0024380-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLWFLKDRKFSVDEAVSKLTKAIKWRQEFGVSELSEESVKGLYQTGKAYVHDSLDVYGRPVLVVVAAKHFPSTQDPVENQKLCAYLVEKAVSRLPSGAENILGIFDLRGFRVENGDLQFLKFLRLGQVLFVDAPFVFQPMWQVVKPLLKSYASLVRFCDAETVRKEYFNEETVPPDFRN >Sspon.06G0023210-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:39970402:39972545:1 gene:Sspon.06G0023210-3D transcript:Sspon.06G0023210-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMSSFKLEHPLERRQAEANRIREKYPDRIPVIVEKAERSDIPDIDKKKYLVPADLTVGQFVYVVRKRIKLSAEKAIFIFVKNTLPPTAALMSAIYEENKDEDGFLYMTYSGENTFGLL >Sspon.07G0006480-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7A:16852897:16853097:1 gene:Sspon.07G0006480-1A transcript:Sspon.07G0006480-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LGAKIYGANDDGTKVLPRQRQLGVVGQVAWGLGANVNGTKLYYLGASVDGAKPWVHFLKSFCQGHI >Sspon.08G0016590-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:60783512:60790048:-1 gene:Sspon.08G0016590-2B transcript:Sspon.08G0016590-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPDPTQSNRPIRGANARTLHAHGHRQRPAPPPRRGRVRLPAHGVTAAATPRPARSRRYKLPACRGSRAPSIPADGLPIPCHSPPPPLPPLAQRACPRLSAPIFLDQIPEWKGYYINYKLMKKKVKQYGQQLQQGEKDRRRVLKDFSKMLDDQIEKIVLFLLEQQGMLASRIEKLGKQRAILQEQPDISGIAKLREAYREVGIDLIKLLKFVDLNATGIRKILKKFDKRFGYRFTDYYVTSRSNHPYSQLQQVFKHVGVGAVVGALSRNLAELQERQGSYLSIYDQPSSALKDPIIDMINSSVDKLTRSTNFLRFLGQHAMIADEESPSTAGEEEIEDQKYHFMSLMLNLVNTFLYMVNTYIIVPTADDYSVSLGAASTVCGVVIGSMAVAQIFSSVYFSAWSNKSYFKPLVFSSIVLFLGNVCYAMAYDMKSLTVLIIGRLLCGMGSARAVNRRYISDCVPARIRMQASAGFVSASALGMACGPALAGLLQWKFKVYMVTFNQSTLPGWVMAVAWLLYLIWLWVSFKEPNRATEMNEPPQNPAPGQTVDIGRLENGLAQPLLTDSVDKQNEDEDEEVDDSEEAADDSRKPATSIGSAYRLLTPSVKVQLLIYFMLKYAMEILLSESSVITNHYFSWNTSAVAIFLAILGLTVLPVNAVVGTYISNMFEDRQLLMVSQITLLVGIIFSFKVTSTYSVVQYVVSALVTFVSAEVLEGVNLSLLSSVMSSRLSRGTYNGGLLSTEAGTLARVVADCTITAAGYLGVGMLLNITLLPSLVICVASIACTFLTYNSLF >Sspon.05G0021690-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:90906987:90914155:-1 gene:Sspon.05G0021690-1A transcript:Sspon.05G0021690-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHQGGDGEERQQLDDHRDEQDVLSPLQEAGNQHLLLEAQGDRRSNVIPTWRIIPNEDIIDRAIKSEEWREEVRNNHYCYKGKPRLKTGYEIFMASLDTESNLDKVILPFIIVHGGDDAVTDPTVSEALYTLAKSKDKTLKLYPGMCHALTSGEPKENIDIVFSDIIKWLNERVPGRTVMRSSRSNGGEVLLDHEYREEYVKNSRGMRLFACTWLPSKRKTPTPKALVFLCHGYAVECGVTMRGTGERLARAGYAVYGLDYEGHGRSDGLQGYVPDFELLVRDCDDYFTSVVVRSQSQSQSKRFLLGESMGGAVALLLHLRRPEFWSGAVLVAPMCKIADDMRPHPLVVNILKAMTSIIPTWKIVPTNDVIDAAYKTQEKRDEIRGNPYCYKDKPRLKTAYELLKVSLDLEHNLLHQVSLPFLIVHGGADKVTDPSVSELLYRSAASHDKTLKLYPGMWHALTSGESPDNIHAVFQDVIAWLDHRSSNTDQQELLSEVEQKARHDDQHHHQQHGGNK >Sspon.03G0037150-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3B:97098581:97099303:-1 gene:Sspon.03G0037150-1B transcript:Sspon.03G0037150-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLMLDLMWVFGERLRDCSDIIFFPHDIVYIFIFFLVTLAGLLLPGDHTFAGLGTANLFLQRLWSTTSSNALIRTP >Sspon.06G0031000-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:53665304:53668575:-1 gene:Sspon.06G0031000-2D transcript:Sspon.06G0031000-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeodomain (PHD) transcriptional regulator, Flowering promote [Source: Projected from Oryza sativa (Os08g0105000)] MSAAVHTISSQQGGRQTLDRRWRSWRDTLEGVLQSTAGNQGSGGIQSCIRDALRYNGCQPTEHGNLADGQGAGREDPVGAVHSEENSGALVQLEDGTAASLEANKAMCHKALFDILISEKFAMLCDLLAATFHVNKPDDLIGLQIIDAKMRNGDYAQNPALLDHDIKQIWKKIEHVGQQMAGLASSLSLISQASHQKQASGVSEIDVAEHRIEETSLVGGAHKALRELTPPCDSGHSTIPKQTGTSGLDGICKDCGGKADSEGRIICDRCEAAYHVSCLKLAIDEETPAKWYCPSCVGLDGPSKNNNNGRSHGGCDVCEWLVVEKPEEPAEDVIQPELAVKTQESSVSSMDEDSEPDLSTTALANLCKHCGTCEDENKKFLVCGHPYCAYKFYHVLCMKESQIASERQKNLACWYCPSCLCRRCFRNKDDEEIVLCDGCDDAYHIYCMTPPLESIPRGNWYCLLCNARRSARGMERYEKSILQKAKRVPDAKRPKVQAAAAPEK >Sspon.04G0005160-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:10322189:10324430:-1 gene:Sspon.04G0005160-2B transcript:Sspon.04G0005160-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLRRARSILLLLHYVAASHLLPCAPIHRAAGDTLPDPAPLDPALIFPSATPVQPAAAVPGGTIPAFPEQSDSLAGSSSTCPLAPSPALLPAVRSSCGAADGGALPPRLRCCPALAAWLFAAYAPAALAERPPARSPAAAAVDMPLPPDDSEACAGATDRALRAAGAALPRPPGGNGTCDVTFCYCGVRLRRLTCGPPPAEGGLWSPADTAARKLEKDCAQPGVPGCSKCLLALTTIKASNPGGGAAAEAAGKKQQTAARSSESDRDCELMGLMWLLQRNATRYGAAVTAVIQALMAVDEASAAGVVAVAADAGPAAACSLPVDDMPLPAEYTQVGRASDAPRVCCFHLVVLLAILSFRFVNS >Sspon.07G0002610-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:4950252:4953893:1 gene:Sspon.07G0002610-2B transcript:Sspon.07G0002610-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSITHYVLDHFLETGSAAHVQKAVPKPPPPPPDKATPVPVCPVRTQTSPASLYATPESTTLPDSPSSFPGTWSPYLINHKRRGASLARTFSQGDAGREGSQPKLPVMLPALLKGGEPTEVQDPEFVLQQGGAEGDSGVEEALDDKSGMLQKGKGSVVAESEHELPEFEFQRRSLEALVKPVNVGRLLNGGAHINSESDIFEWWKPSSPLGTSVGTPGAEFYDAFEEMSSDGGTRSSRGMDDDLREMRLSLLMEIERRTQAEEALEIWQQEWKNLSHHLSLIDLSLPSPCIAEDSDGSSVDLGAELCQQIMVSQLVAAAIARGFARAEVESDMETMIATKNFEIARLSDRVQYYEAANREMSQRNQEAIKMSRQQRKGRKKRQKWFWVSVGLAVTLGATAIAWSYLPSSQPQASGDSNSAAS >Sspon.08G0027760-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8D:1493833:1494084:-1 gene:Sspon.08G0027760-1D transcript:Sspon.08G0027760-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAANGDGRDEYLLGDGGRDDAAVAQMNMASTAGTTAVALGLVAAATRMTTDTATRMAARMVATTARMALPRELAARGDEFLR >Sspon.01G0016000-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:48830465:48831498:1 gene:Sspon.01G0016000-1A transcript:Sspon.01G0016000-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLEKFVDAEQWEAEDIAGRLGMVLHAAFLFAGFQPYGAQPRLAVLSRQYTAPDLAHREGADAAVTDVALLVFLVTDGDDVLENAYLERLDLLTVWPLLSRSLGGVEPWASRICKSLADGVCWGFLDELCRRNGLPLSGFASLPDDLKGAVLEKLTNGKDLARVECVSSQLRLLVAERDHELWKALYKALPLRQRRGYRWRLWWFLPDAERSDEEQETLSPGSSWKDKYVEARRRSRPMLFSWFFDSFDPIPMIRRRGMSSWPLDYWFIQDPPEEEKTVPPRVDRSGGGHRQKLARNDYMQKWHGGGAIHSPSSRYRWKHR >Sspon.01G0032880-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:111628797:111630674:1 gene:Sspon.01G0032880-1P transcript:Sspon.01G0032880-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKERLMKMAGAVRTGGKGTMRRKKKAVHKTGSTDDKRLQSTLKRVGVNTIPAIEEVNIFKDDLVIQFLNPKVQASIAANTWVVSGSPQTKKLQDVLPGIINQLGPDNMEHLKRIAEEMQKQVAAAGAAAQAKEDNDDDVPGLVPGETFEEVAQEAKA >Sspon.01G0004720-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:11200423:11207060:1 gene:Sspon.01G0004720-4D transcript:Sspon.01G0004720-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCITLYDELEISSLRQQQTDPNFQDIPTQSWYPPSVVGSSSRPSTPTSSSASPHQRASDNPQSSSRGQPSPAEAAGIIARLKDKSIEELQRLLKDKEAYNAFFNSLDQVKTQNNVRDELRKETLQLARENLEKEQRILELRNQCTIIRTSELAAAQDRLTDLERQKDDIMRSYSPAALLDKLQTSMAKLDEESEELHQKFLEKDIDLATFVQKYKKLRTAYHKQALLHLAGQTSLR >Sspon.06G0002530-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:6777530:6780966:1 gene:Sspon.06G0002530-2B transcript:Sspon.06G0002530-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGILGKGSGWCFCSRGAKLERIKSSLLAAKGAAVAAVSFPSGGGGGGGGGAGGKGGSGFLIHRGLLLTTHGTIPSAAAAGIAEVRLSHGRLLARLVPQRFFITSSILDLTIVGLDIVDDDLSSHGQQPHFLKTCLNPSLDLGSTVLLLGHNRNDLAVGEGKVVIATDNLIKFSIDEVLWHPGSAGFDMHGNLAFMVCDPMKIAPSTPNGYASASSTALCTSRKDVPAQFGIPIPAVCEWLKQHWNGSLEDVSKPMMTPARLTTSGERSGRSSLGHLRYVKTTEVEGGDVLSSSQMPPRPTWQHGACSSASAKISHGEKDSVSSHSFHGQHELTSKICKPQKEQATSLVEHSRSIRLPLPLKQMMPAENKNEANRQAPHRTQPSNVQMNCGTLHNVAYHENCWSEVQSSSSPLAISELGDERNGFSSGEETMYSAETRESRNIPSPKEKKTEMVGRSQSFVNHSKWDSPKSVESSRGFPSKSQTFIPLRKPHLQAAAISQKSQDYFSPTVSSNMKKRNLSQTPMKPRQRAQFTAQPLET >Sspon.08G0006910-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8A:21492519:21495544:1 gene:Sspon.08G0006910-1A transcript:Sspon.08G0006910-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCLASLSPRLLWQPVATSNERENDRRALLCFKSQLSGRAGIFASWSNTSLEFCSWHGVSCSEHPPRRVTALDLTSEGITGIISPCIANLTSLTRLQLSKNGFHGSIPSELGQLSQLRSLNLSMNSLEGNIPSELSSCSQLQILGLWNNSLHGEIPPSLSQCIHLEEINLSNNNLEGSIPSPFGTLPELRILNLASNKFSGAIPPSLGSSASLTYVDLGTNALTGGIPESLASSSSLQVLRLMRNSLGGELPEALFNSSSLIAICLQENKFVGPIPPVTAISPPVKHLHLGGNSLSGKIPASLGNLSSLLDLRLTWNNLVGSIPESIGYLPTLSLLNLNLNNLSGPVPPSLFNMSSLGALAMGNNSLSGRLPPHIGYTLPKIQILILSSNKFDGELPSSIGNLSSSLEILWLRDNKISGPIPPELGNLKNLNTSYMDYNRFTGSIPATIGNLKKLVVLAAAQNRLSGTIPDAIGNLVQLTDLKLDANNLSGRIPASIGRCTQLQILNLAHNALDGGIPRSILKISSLSLEFDLSYNDLAGGIPEEIGNLINLNKLSISNNMLSGNIPSTLGQCVLLEYLKMQNNFFTGSIPQSFAQLVGIKELDVSRNNLSGKIPEFLTSLNYLHYLNLSFNNFDGAVPKGGIFGNTSAVSMEGNDHLCTSVPTRGVTLCSARGHRKSRHYNLVLIAKTVTPIVIIILLCLVAFFWMKRMQAKLHLQQSNKNMKNITYEEILKATNMFSPTNLIGSGSFGKVYKGNMKLHKDQVAIKTFNLNIYGAHRSFLAECEALRNVRHRNIVKVITVCSSVDPTGADFKAIVFPYMLNGNLDMWLNQKTHQNNQREILSLSRRISVSLDVAYAMDYLHNQCASPLIHCDLKPSNILLDLDMVAYVSDFGLARFRCNRPSAHEDNPTSFAGLKGSIGYIPPGDLSQNLSSRF >Sspon.07G0019500-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:76331451:76337800:1 gene:Sspon.07G0019500-2B transcript:Sspon.07G0019500-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRKASSNSDARTKWRKRKRVAASASPSKQPADHSDDSDTAAAANGDDEASRAASANGGGGTLAGGGDDDPVLDLRAAEVLSSSAEPVSAFPAAVRRAVGRPHPSVLAVIAAERGAASSDGAPATPAPVPVLENISNGQLQVVSAMLPDHPSLSYDPDKPSTYVCTPPPLMEGCGVHKQFYGKLHIVPRHSDWFVPTTVHRLERQVVPQYFSGKSQGQTPEKYMMLRNKVIAKYLERPGKRLVFAECQGLVTSTPELYDLSRIVRFLESWGIINYLATGSVHRGLRMAASLIKEETTGELQLVSAPMKSIDGLILFDRPKCSIRADDISSSVSTSSAPFVANGDADSANLDEKIWERLSESSCSFCSQPLPSLHYESQKEADIALCSDCFHNAKFVTGHSSLDFQRVDGMKDGSDTDGDRWTDQETLLLLEGIEKFNDNWNHIAVHVGTKSKAQCIHHFIRLPVEDGLLENIEVPEACLPSRMHSNGILHSDSNGSTSGSQPGNQIPFINSANPVMSLVAFLAAEVGPRVAASCASAALSVLTRDDSRMHAEGIDAMGHATHLNYGPSSSISSETVKNAAICGLSAAATKSKLFADQEEREIQRLSATIINHQLKRLELKLKQFAEVETMLLKESERLEAMRQQLVTQRVRLLSTRFTSTGGTIPGGSSSMVSNPMNQATGRRPLMMPGSVSQSSMPAMYANNMQGHPQMALLQQRQQMLSFGPRLPLSAINPGSSSSTPNMMFNPGMPNSAAPNHHPLLRSPSGNNSNV >Sspon.07G0021210-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:1604392:1610119:1 gene:Sspon.07G0021210-2C transcript:Sspon.07G0021210-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWKSTIGAVFYKKQEGDQTREVLISAAFVHLKNAELSKHIRNLSAASRAILLSGPTEPYLQSLAKALSHYFEARLLILDATDFSHRARNQSVAETTFGRMSDLIGSFMAYPKKDEPRESQRRQTSNTDLRARGSDGSSSTPSLRKNASVSSDMSDLASQCAGNSVRRTGSWCFNEKVLIQSLYKVMVSVSENGPIILYIRDVDHFLWKSQRTYAMFQKMLAKLSGQVLILGSRLLSPDADNRNADERISTLFPYHVDIKAPEEETHLDCWKSQIEEDTRKIQMQDNRNHIIEVLSANDLDCDDLSSISEADTMVLSNYIEEIIVSAVSYHLIHNKDPEYRNGKLMLSSKSLSHGLSIFQGGHGGKDTLKLEETKDGLKGAPGSKKTETVPAGEGPLPPAKPEVPDNEFEKRIRTEVIPASEIGVTFDDIGALADIKESLQELVMLPLRRPDLFKGGLLKPCRGILLFGPPGTGKTMLAKAIANDAGASFINVSMSTITSKWFGEDEKNVRALFSLAAKVSPTIIFVDEVDSMLGQRARYGEHEAMRKIKNEFMSHWDGLLSKTGERILVLAATNRPFDLDEAIIRRFERRIMVGLPTQESRELILRTLLSKEKIDENIDFKELATMTEGYSGSDLKNLCVTAAYRPVRELLKKEREKELERREKESKEKAAENSEAPEGKKEGSESKEAPKSKESSESSKDENSNSEADSSETKAEGEKEAAIDLRPLTMEDLRQAKNQVAASFAAEGAVMNELKQWNDLYGEGGSRKKQQLTLLVFLSEGKLGRYSMGKELSIHRSLVHSSVNSFQPLN >Sspon.04G0019770-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:69738432:69741327:-1 gene:Sspon.04G0019770-1A transcript:Sspon.04G0019770-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding FLDSSRQTDRARSGKPNQLIRDLIVQDSSSGGAAARSLALEGRNVIGIVLHVSTIHGRDDMRMRPYRYVVLMNERRMIFKDYAIVCLVMKRDVTEFKNLPRKPCHVS >Sspon.02G0028850-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:105075470:105081633:-1 gene:Sspon.02G0028850-1P transcript:Sspon.02G0028850-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKPALFMAAIRPAAAGSAVADALLALLAAAALSSGSSLATGDEAELIVSTGSMGIITRGRVLVPAMYVFGDSLVDAGNNDFLPAPAPRALPPNGVDLPRSILWRTGRRRFTNGYNLADIIAQHVGFRESPPAYLSLTPLSSRQLDLLRGRVGSNYASAGSGILDATGNGTITLREQVELFAKTKATILRAGLALYKLGARRLVLLGIAPVGCLPRQRATTADGECDDDQNYLSELFNSLLRAEMAKVVAASMPAMRYSIANLYNVFSDIIDNPSLAGLREVKTGCCGSGKFNGEVDCSVDTNLCVDRDEYVFWNMVHGTQAAYRWAFLAFFYGTTREAEPINLHQLLQEQYSM >Sspon.06G0001460-3C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6C:3255464:3259434:1 gene:Sspon.06G0001460-3C transcript:Sspon.06G0001460-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VQVPTAAPVEEAPVVVEAVQPAAVVEETPKEEAAAPVVAETPAADEPAPAETEVAKEPEAEAVPAETETKEVETVAEPTEAAETKEAEAEAAPAAETAAKEAEPETAAAPVEAVPAETEAEPEEAAPAPEVAAADKADDSNLEEADHGRVTSAVSLPWLGTSIWHAYEPVDQQASSPSIASEHVDGERKVATQVAVLLLLLVVASCLGWHACLGAALCRMSARPGGACQQKRVTQCKSNLLLAIVDSSPGRCRLGARCGGLVGDWHRGAVFERQFAMQLNYTQSVHCV >Sspon.08G0026890-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:32402250:32408703:-1 gene:Sspon.08G0026890-2D transcript:Sspon.08G0026890-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPNMRLEKLKHSTLLTFMRCHRGSSDDHSRIGTVGFSRVVYVNEPDRLQEEGFSYPLNEVSTTKYTLATFLPKSLFEQFRRVANFYFLVSGILALTPLAPYTAVSALAPLCVVIVATMAKEGVEDWRRKQQDHELNNRIVNVHRGNGHFEETKWKNIRVGDVIKVEKDNFFPADMILLSSNYPDGICYVETMNLDGETNLKIKQALEVTLDLQEDIKFREVRQTIKCEDPNANLYSFVGSMEWRGQQYPLSPLQLLLRDSKLRNTDYIYGAVIFTGHDTKAMQNATTDPPSKRSKIEKKMDQIIYLLMSSLLMIALLGSVFFGIWTKEDLRDGELKRWYLRPDATTIFYDPKRAALASFFHLLTALMLYSYFIPISLYISIEMVKILQALFINQDIEMYHEESDKPTHARTSNLNEELGMVDTILSDKTGTLTCNMMEFIKCSIAGTAYGQGVTEVERAMAMRKGARLDDDIENGDHKDEKIDNSPHVKGFNFKDPRIMDGKWIHEPNRDMIRDFFRLLAICHTCIAEIDENEKVSYEAESPDEAAFVIAARELGFEFYKRSLATIIVRERDPSRNVVEKRKYELLNILEFSSSRRRMSVIVKEPEGRILLLSKGADSVMFKRLAPNGRKFEEETRRHINQYSDSGLRTLVLAYRVLDEKEYKEFNEKLNAAKASVSADRDEKIEQAADSIERDLILLGATAVEDKLQQGVPECIDKLAQAGIKIWVLTGDKMETAINIGFACSLLRQGMTQIIVTLEQPDIIALEKNGDKQTIAKASKQRVMGQIEDGIKQIPPSTQISTASFALIIDGKSLTYALEDDVKFKFLDLAIKCASVICCRSSPKQKALVTRLVKQVTHKVTLAIGDGANDVGMLQEADIGVGISGAEGMQAVMASDVAVAQFRFLERLLLVHGHWCYRRISVMICYFFYKNVTFGVTIFLYEAFASFSGKPAYNDWFLSLYNVFFTSLPVIALGVFDQDVSARLCIQYPQLYQEGVQNILFSWRRILGWMLNGVMNAVLIFFFCITAFEDQAFRQDGQVAGLDALGVVMYTCVVWVVNCQMALSVNYFTIIQHIFIWGSIAVWYLFLLVYGAINPRFSTTAYMVFIEQLAPALSFWLVTLFVVMATLVPYFSYAAIQIRFFPMFHNKIQWKRYLGKAEDPEVARQLSSRHRMSSQQRMVGISARRDGKAMQITRETELEDIVSGKMTDELIGTWD >Sspon.05G0027220-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:42645464:42648450:-1 gene:Sspon.05G0027220-2C transcript:Sspon.05G0027220-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFISFVGRVLFASLFLLSAYQEYVPRPSSTPSSPTTPQIPSRLSTLRSSPNRGLAASPSVASVPIWPWWLGFGRVQSKCFLSGAVTRAEIRPVWVRFIEFGNDGGPAAKTLKPKFNLFVKLVSKSTGLGVPHVDVGMIKTVIATTMFLKGFGGLLFIFSSSFGAFLLLIYLVFITPIVYDFYNYEMESAQFVQLFFKFTQNLAFIGALLFFLGMKNSIPRRRSKGRTTKTKTN >Sspon.03G0017700-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3B:79269746:79270222:-1 gene:Sspon.03G0017700-2B transcript:Sspon.03G0017700-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEILKRHFFLTEGVSCKPSRSQQIKIALRYVSPPGIKSMDVDAEKCTLTVVGTVDPVCIAQKLKKKCFAVNIISVEDDKPKPPAPPPDPCKEVCEKKCDKITCCKECKDECKETCERQCKAWLESGSCCSCCPRCAVPSYPYSGCSGGGGWPWPYGY >Sspon.01G0018540-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:69854178:69855247:1 gene:Sspon.01G0018540-2C transcript:Sspon.01G0018540-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLATDAATTKQEQHAAGGEGVEVGGGDLRLVAECGTEVRLSRSAARMSTMLLDMMEAGCAEGGIPIKVPDAGTLRLVAAYCEKHAPHYDPVASAARLRDPFPPFPIDFTPVAYAVKPVTQLDPDPHGLEAWDHKFISDLPDNSALFNLIIIANLMAIEDLVDLGCTAVADKMRGKTPDEIRVALDIENDYTPEQEAEVRRENAWAFED >Sspon.08G0011550-3P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:46364242:46383250:-1 gene:Sspon.08G0011550-3P transcript:Sspon.08G0011550-3P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVREAAKETIPLLTPYKMGHLELSHRVVLAPLTRCRSYGQVPQPHAAVYYSQRATSGGLLISEATDVSPTAQGYPETPGIWTQEQVEAWRPIVDAVHRKGAFFICQIWHVGRASTNGFDGVEVHGAHGYLLEQFMKDGTNDRDDEYGGSLENRCRFAVEVIDAVIHEVGAHRVGVRLSPFVDFLDCVDSDPVALGEYMVQQLNRHQGLLYCHMVEPRMANVDGRRQIPHRLLPFRKAFNGTFIAAGGYDREEGNKSTCKDGARGGERDDPAADALQDGPSGALAPCRARAADAVPLLRPSAAAARRVYYSQRATSGGLLISEATDVSPTAQGYPETPGIWTQEQVEAWRPIVDAVHRKGAFFICQIWHVGRASTNGFDGVEVHGAHGYLLEQFMKDGTNDRDDEYGGSLENRCRFAVEVIDAVIHEVGAHRVGVRLSPFVDFLDCVDSDPVALGEYMVQQLNRHQGLLYCHMVEPRMANVDGRRQIPHRLLPFRKAFNGTFIAAGGYDREEGNKVPLLRQRAAAARRRVLLAARGLLIADATVVSPTAQGYPDTPGIWTQEQVEAWKPIVDAVHRKGGIFFCQIWHVGRVSTNEFQPNGQAPISSTDKQISPDAESGMVYSKPSRLQTEEIPGIVDNFRRAARNAIEAGFDGVEIHGAHGYLLDQFMKDNSNDRTDEYGGSLENRCRFAMEVIDAVVREVGAHRVGIGLSPFADFMDCVDSDP >Sspon.07G0030150-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:3040107:3041357:-1 gene:Sspon.07G0030150-2D transcript:Sspon.07G0030150-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIVLAPAQHDGLHAAFGPKPFGGIGGDGRFSSSLSSLGGDRFCGYSTTTASSRFGNSPGLSSPSPRAASLSRGSSDSGSVVDDGDDAAAASATAAAAERRLRLARLALQYQEVVNRFELCLSYLADASNEAAALRRENDELRVANEDLARRINVVGCKLVDEFSGLRLAEEHAAPPPPPPPPSPLPAAPVMLKSISVRSPGYLKMNQNGKHRASKTTKGSQRVFVGMDGGVKGEEEKKLNGGLEFEVYNQGMLKTELCNKWEETGACPYGDQCQFAHGIGELRPVIRHPRYKTQVCRMVLAGVVCPYGHRCHFRHSVTPADLFLPRP >Sspon.03G0045230-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:11914899:11924539:-1 gene:Sspon.03G0045230-1D transcript:Sspon.03G0045230-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKMCHACASEETTTSEANIDLTCSTSCCKMCDHGEGSSIATGEIDWLTMDSSPCSTPYGTPIFTRENSFSSFASCFSSLGDSLTDSDSEEEIELQDTGQFHHDNLLSNDFMEQEGSSVRVEECQFKDIADDCAIFSIPVKQYISFAEQKLERHEDSTKGNFDAINISLDSDVSSEDRKDIQSDDQLVRTKCGVPVEDIDHKQSGVIVAEQITSLPMPGGDIFPLNEQYVENAIVYNNVSNTEPEMKHGTHFENENECLYSLLLPSFDADPLIWLPPEPENREDVFDTVSNDDDESGTNSTGWGRSSFKVNLAERNKESHEDQLQKVISHEWAIQILVSRFLAAEGLSLSDGGTDKNWLDIVASLSWDAALLVKPDANSGNAMDPGSYVKVKCIASGSYQQSEVINGLVFKKSAAHKQMRTNVKHPKLLLLQGALGHSSTGLSSINSMKQENDQLERTLYEVIVKCQPDVILVEKAVSRNSVLTKPSLIKQCESLHFEKSVEEHNITGEDGKKSCKTFLFLEGFPRPLGCTILLKGATREELKKIKRVLHFTVFAAYHLILETSFFADQKLFTTDKTTTGKEKCFKTNPQLLGPSYDSSKNSDTMNNTPTCDDQYPNQEKLIHTEKSIPLHLHDSKTMTSEDPAGEEHIDSKGIQSYSSLLVSDPSTNFMQDMSSPDCAESNTSDGFDGSPFTDTSKEVQKKQLSSDNFQGTFDVIRAESGAALNTQDILISMSSQHIRNQAVCEQSHLSRITYYGYCDASLGRYLQDTLLNEKHNCLSCGESPESHMYSYTHHNGTLTVLVKRLPLELTLSGETQGRIWMWTRCLRCNGKPTHRVIISSSARNLSFGKFLELSFSTHSAAKKLATCGHLLHRDCLRFFGFGSKVAMFRYSSVEIYSACKPPLSLEFNNQNKKDWLDVEVNNVLLKWKQLFTEIENVIQDLRSRYSSQAMGEDTNVSVDEGLLLEVSRMLTQEKNEVEVYLREFSQIAISESFAHGILGLNWLYQQLLLGFHIWDLRLLHILQYTKVNTASSDNSIHDSTAKNELKSLGSIAIQDTPSVKKIGTERKETIINSSDGSEDPFGDTILDKVHLIGKLITKEHDLPIYKDHDVRSALSCLGEAADQFETSVEIATDNCSEKLNTTPFTKDEQPATSNVNEMHHVVIPSDDEGKWVWNQFNQLEMEYKKELQGGSLYKFYLLNKYTPCSSSLAQLKHQMDLGHFIAGRGGNIFSISEEEVSSIIAYALIISEQQGFYSEAASSNLDRNASMLSSMLSPNESLENNHNFQVSPEEATSGFYDSFLSALKDLHHEIDLNNEKIALRSKYTVVCIYAKQFHDLRKICCPSELAYISSISRCKNGMRRVERIYHKTNQETEFDSFLKFGLEYFKHFCISQVRRIFHETPWDKLLLAYYDVKETRNGKETRVNFMVMENLLFGHNIIRRYDLKGALFSRYIPDSENPEKVLLDQNFIEDMRTMPIYIEGKTKNFMERAIWNDTSFLSHMNVMDYSLLVGVDKEKKELVFGIIDYLRQYTWDKQLESWVKTSLFVPKNLSPTVISPREYKIRFRAVEIKKQVASNFQAS >Sspon.04G0013620-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4A:49245783:49250929:1 gene:Sspon.04G0013620-1A transcript:Sspon.04G0013620-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPYCVVTAAAVAGGEQEEVRIFYQRYGHGATKVLLIIGRFAGTYESWAPQVKGLTGAVEPVDEEAPADDDDSGVEVCCFDNRGMGRSSIPAQKSQYTTVIMAKDALALMDHLGWRRAHVFGHSMGSMIASKLAAMAPDRVASLALLNTTGGGYQCIPKEYLEEVIGTSTRRQMLYQEYVKGLSSGGMQSRYGFEGQINACWTHKLSPTELDRIRFAGFLVLIIHGRDDVVAQLYHARRLAEKLQPAAKLVELHGGHLVSHERPAEVNMSLMEMIKASKSNTDLEEWSNLPKKSDGLLLSGSASCLTKTDDDRVNYLVFTYNLLGKLQLIFLFIFGVFYVILEHVRRVATLLVRVLTPNRGGVQSPVPLESGLYPAIALPFWPELDVSPASPPPDRLLACVGVDDGGTGRALARADLVAA >Sspon.04G0017260-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:71577732:71580800:1 gene:Sspon.04G0017260-4D transcript:Sspon.04G0017260-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MESHDAGSSSGGSGDGAAQPRRNSRKPKYSKFTQQELPACKPILTPKWVISVFVLVGVIFVPIGIVSLRASQQVIEIVDRYDDACVPAGVTDKLAYIRNESIPKTCTRNLTITKDMKQPIFVYYQLDDFYQNHRRYVKSRNDAQLRDKSKASETTNCDPEAKTVDGKPIVPCGLIAWSLFNDTYNLIHNNVTLSVDKNDISWKSDRDHKFGSDVFPTNFQQGPLKGGKTLDPSIPLSKQEDLIVWMRTAALPTFRKLYGRIYVDLKENDTITVQLENNYNTYSFSGKKKLVLSTATWIGGKNDFLGLAYLTVGGICFFLAFAFTLLYLIKPRKMGDNSYLSWNRATLGR >Sspon.05G0018740-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:82479221:82481914:-1 gene:Sspon.05G0018740-2B transcript:Sspon.05G0018740-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable mediator of RNA polymerase II transcription subunit 26c [Source:Projected from Arabidopsis thaliana (AT5G09850) UniProtKB/Swiss-Prot;Acc:F4KFC7] MDRGERLGRALDAFGGDLWALVDAVLDAASRDRPDELRAHRDGIVERLYAAAAGCSNCNGRPPPVAALVAAGLDEEDGEEAAPASSVVEADAQAGGAEEQAEEIGAGAGAPGLERKIVAIRDFLDDPNQVPNEAIFTTSQHEFAPNARSFSRKLCTLVPEDELVRLLQNLADMDVTYNALQATDIGRQVNGLRKHSSAEVRRLVKQLIRYFFGIYPVLDNPDGWKSVALVTAADGDSPDKIQGRRHQGPRKVTREQRQSLLDLDKLDSARKRLQENYQEAQNAKKQRTIQVMDINDIPKPKTRNAFIRKSASGGLPARNR >Sspon.02G0018380-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:54698955:54700341:-1 gene:Sspon.02G0018380-4D transcript:Sspon.02G0018380-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIFAHHDHVVASPPATSSSSAPSSYRNNDRRAPPERQAAGEEKSSRRTDSVDNANLVALVNDIVADYRHRRVAEEILKMGKAGKVTARAFTYAELSEATGGFRPESLLGEGGFGPVYRGRLGSPSGPEVAVKQLDRNGMQGTREFLVEALMLSLLKHPNLVTLLGFCTDADHRMLIYEFMPLGSLEDHLLDLPPGRAPLDWATRMSVAQGAARGLEYLHDTARPPVIYRDFKASNILLDTGFRARLSDFGLAKVGPVGDKTHVSTRVMGTYGYCAPEYALTGKLTTMSDVYSFGVVFLEIITGSRAIDTTRPPDKHNLVLWAGPRFKDKRRFAEMADPLLQGDYPTKGLYQALAIAAMCLQEDATMRPAISDVVTALEYLTVAGGGAADDNEPAPNPNQQQQQTDIAGHDDDQA >Sspon.07G0035910-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7D:17829330:17837788:-1 gene:Sspon.07G0035910-1D transcript:Sspon.07G0035910-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKVDTTLFTKKIGKDLFVLQIYVDDIIFGSTNQDYCDEFGKMMAKEFEMSMIGELSFFLGLQIKQMKEGTFVSQTKYIKDMLKKFGMEDAKGITTPMGTSGSLDSDKSGNMVDQKLYRSMIGSLLYVTASRPDVMFSVCMCARFQASLRESHLKATKRILRYLKHTQDVGLWYPKGASFELVGYSDSDYAGDKVERKSTSGTCQLLGRSLVSWSSKKQNSIALSTAEAEYIAAGSCCAQILWMKATLKDFGINFKNVPLLCDNESAVKLTNNPVQHQRTKHIDVRHHFIRDHQQKGDIAIESVGTEDQLADLFTKPLDEKRFHKLKNELNILDFSNMS >Sspon.03G0028940-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:15205449:15207103:-1 gene:Sspon.03G0028940-2C transcript:Sspon.03G0028940-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPVLSLLVHLHAALLFLPDPAGGAVYNVLRYGARPDGATDAAGPFHRAWADACRSPRPATVYVPSGRYLVRSATFTGPCRSRAVTFAIAGTVVAPTRYGARGSSGRWITFENMDGLVVSGGGTLDGRGRALWACRRRGQRDCPTPTSSLTIANSRNVVVAGVRSVDSELFHVVVLQCHGVTLRGVTVEAPADSPNTDGIHLHMSSHVSVYDARISTGDDCISIGPGNSHLWIERVTCGPGHGISIGSLGKQQGMEVEAVQNVTVKTTWFTGTMNGLRIKTWGGSKRGFVTGVTFADSTMSGVDNPIIIDQNYCPDSSGCPGAGRSSSIRISDVRYVGIRGSSATPVAVNFDCSRSNPCSGISLQDVALTYQNRAAAKSYCRNVQGTTLGLVLPPSCL >Sspon.03G0017000-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3C:74675351:74677506:1 gene:Sspon.03G0017000-2C transcript:Sspon.03G0017000-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKNGIQYAVVSNTALHSLGGERFSYHLAGYLTSSPWSSQVDAFTAEPFKGNPAAVCLLEDAAKAADERWMQSVAAEFNLSETAFLLRDSSASGAAPRFQLRWFTPAAEVELCGHATLASSHFLFTSVLAEHEALIEFSTKSGILTAKKVAAPESSGVSGEGKLFIELDFPMIDLVDCRPSELPSIPETLNGASIVSVHKSTTAGDLIVELSSGKEVADIIPNIHEIKMCSGRGIIVTGPAPAGSGYDFFTRFFCPKFGIPEASPRSGILYLELEAAGRRVRIQGEAVTVMTGTLLA >Sspon.07G0005340-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:8987215:8989400:1 gene:Sspon.07G0005340-3C transcript:Sspon.07G0005340-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPKARIHADPVREVDRFDCLPDSLVLLILNKVEDVRSLGRCYAVSKRLCGLVPLVHDVYVKIDRVVAVDGDAEDTLNLSSPKPRNIFSHFLKLMLLTIIKPFHNMRNPNGTGRPLFPQLSQHSPAQVLRNFTHIRNLRIELPSGDVGTEEGVLLKWRAEYGSTLQNCVILGGTRVDRKPVGGEHEPSLEDNGSMPESFYTNGGLKLGVVWTISSLIAASTRHYLLRSIINDHPTLRSLVLTDADGQGTLCMGAEQLKEFRENQLSASACSNRTQVPACNMKLKYAPYLELPGGMALQGATLVAIKPSPEESNGSHLNRADEETYLPSGDEWLLGFLSSS >Sspon.03G0030080-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:27567844:27572041:1 gene:Sspon.03G0030080-1P transcript:Sspon.03G0030080-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AMRPFHPPRPNPNQYHRARPGGDPGPPHLPGTPMHPAFPPPVPNLAAAAANPMAAASAANPFLALQILGQAQQLQNLGFLAAAALQQQQQQQAPFFPGGFPANPNQFAPFAGGLPPAGFNGGGAFRPGGAGICGPRPPSPMMSPAGNGSNNNNAGSGGTLRPILNVGRKDHNSKAGSGGTLGPILDVGRKDRNSSAGSNGQVYHFENKADVTISNFASESGNKITDQKSGFSAGRDGRGGRQFGAFRGRGRGRHPNQSHGTGSNNLGEIKSNFMGHKSSASGHCSDIPAPASGGRRRPPPIVYDSNEVKRWVEARKKNYPTSVNINQKLSEIKSDNENKDKDAQLRRQELKEVLAKQQELGFDLPELPPGYLSDTGDQCLENKNNRKTQCRDSHFGNRFSNNKRPRYERGGFQSKRSKVWNRTPRADDAMAKSREPTLLQKLLSSDIKRDRHRLLHVFKFMTLNNFFKDWPDKALQFPSVKLNQIEIGSNIGTDDLENAEMANDSILGVNENGDWKELSSIDEEDTDNANHNDEDVNGVSADSSIEDGAEEDAYEEQFNEPEDDT >Sspon.07G0024160-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:22736906:22741834:1 gene:Sspon.07G0024160-1B transcript:Sspon.07G0024160-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGSSKATTSSSSSSPTAAAEAGGEVKRPNGSGKGRRGRSLLASSSCFRGSTTPCEGDASAAAVDVECNKGGETASLPSLTHTAKSDEDVLAMPKSHPGEGVAAPSSDSERDQDDDVLQNAAATSTSTAANQLPNPSGRSRPRFGVNFGLSRAVSLGSSVACSILSSGLPTANPGEGRGDVDGSSDTGISQQGSAPTAGIDSTLDMLRDSVTAQARAARQARRNLVESEDASLRSSYRRMGSQEPFEGSVRFSRTLSVGRLRDRVLRRPPFSDGLFTPALLYDRAVWPSGNASARQDSAVMQRTNSDRSSEPRSDPSTNDLYDLSSERQASNSDLLEHRSAFLERRRRIRSQVVWSSTLFQPWSELCKGWAAGLKIYQVMRGLAYYLASIEQEIATAEQVLDEIHHQSAALSSSRPSFSSIGSVPAPREIVERLPAKVYRKPLKYQSEEAAQCYICLVEYEEGDCLRILPCHHEFHLTCVDKWLKEIHRVCPLCRGDVCRSDAPIRKNSSGCPVFYGKFASQSSHYETDDMLWIRGPAIHEL >Sspon.01G0047200-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:88642081:88652772:1 gene:Sspon.01G0047200-3D transcript:Sspon.01G0047200-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRRRSHTWAGVGKTAQAAAAHAALFCFTLFLALRVDGRTTYSWWIIFVPLWLFHGIVARGRFSMPAPSLPHGRHWAPCHSIVAAPLLIAFELLLCIYLESIRVRNHPSVDLKIVFLPLLAFEAIILIDNFRMCRALMPGDEESMSDEAIWETLPHFWVAISMVFLIAATTFTLLKLSGDVGALGWWDLFINYGIAECFAFLVCTRWFNPMIHKSPTHGEASSSSAAIRYRDWESGLVLPSLEDHGQERLCGLPDIGGHVMKIPLVAFQVLLCMRLEGTPASARHIPIFALFLPLFILQGAGVLFSLARLVEKVVLLLRNGPVSPNYLTASSKVRDCFAFLHHGSRLLGWWSIDEGSKEEQARLFYTESTGRRFFVGFAVRERYAWCCFPAGTELYASLVLRNARSVQSAVCQLRSACLYMMFKPH >Sspon.07G0003020-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:5632584:5632913:-1 gene:Sspon.07G0003020-3C transcript:Sspon.07G0003020-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLQRSSETFRRSGSSGLVWDDKNFSGEIKPAAEDDGGGAARAVERSRSAGHAHAGYRATGRVPPALDPPSPRVAVCGFCRLFGGGGNGDSKDKGRDGGSAKAKGRRH >Sspon.01G0015140-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:43614124:43616601:-1 gene:Sspon.01G0015140-1A transcript:Sspon.01G0015140-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding HGDSDMGDVAKDLTAGTAGGVANLIVGHPFDTIKVKLQSQPTPAPGQLPKYAGAIDAVKQTVAAEGPRGLYKGMGAPLATVAAFNAVLFSVRGQMEALLRSEPGVPLTVKQQVVAGAGAGIAVSFLACPTELIKCRLQAQSSLAEAAAASGVALPKGPIDVAKHVVRDAGAKGLFKGLVPTMGREVPGNAVMFGVYEATKQYLAGGPDTSNLGRGSQILAGGLAGAAFWLSVYPTDVVKSVIQVDDYKKPRYSGSLDALRKIVAADGVKGLYKGFGPAMARSVPANAATFVAYEITRSALG >Sspon.03G0024430-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:92897942:92900802:-1 gene:Sspon.03G0024430-2C transcript:Sspon.03G0024430-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPEEAEAVLETIWDLHDKVSDAIHALSRAHFLRAVRRRASGDKPAAGLVYVKGGGLGLGARGGDEVAALAALAEEARSLHAIRAALEDLEDQFECFLAVQSQQQGERDFALARLEQSRIMLAIRLKEHHGKNHEVIDEASDFVHNVYQDVWPSLSATKPEKCADSSSNTAKGPNFFARMVSSSLAIAGSSFNLKSLGGALGNSAALALSIITVLQLRWLASGAHSPGVGNSSYRRISEKNSSSRLGTSPSGSRMARLDVKSGHKHWNINAAPYAGSRKTSTEPEAGNVGSA >Sspon.08G0020320-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:20349580:20350380:-1 gene:Sspon.08G0020320-1B transcript:Sspon.08G0020320-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGDPGYGYGGYGAGGYGGYGAGGYGYDIAAANGGGGGYYSATDRYPAAPAAAYEEPLAGQRQHDFPAPLTGLEFQPSDTCPKNYVIFDQTYDRSRVMFHPSLANNFGNPAGGYDYGSHCYGYDHQSYPGESAYQYHGCGGDDGGGGVSVRQKEDTDEIDALMSTEDGDDEDDVLSTGRTPGCRAGGSPDSTCSSGGYAGNSGGRKHETGGGEKKKERMKKMVRTLKGIIPGADRMDTPAVLDEAVRYLKSLKVEVKKAGARGSSS >Sspon.07G0028990-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:72470224:72472359:-1 gene:Sspon.07G0028990-1T transcript:Sspon.07G0028990-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMDPPPPLHADRQRRCREYLLALEEERRKIQVFQRELPLCLDLVTQTIEGMRSHMDSVVVGSEETVSDHGGPVLEEFMPLKPTLSSSSSEDDDEHDSTHHQHCAAATADGGVDADKNDEAPGDPGTAAARTSTRRRLLPQPETKKAMPDWLQSVQLWTQNQRPPLPQHQDELLPCRPVALNACRKPGGAFQPFEKDKDKKEKEKKRAELPLPASSSATAAASSAVVGDSCDRAGATDTDTAGNNKRSGDKETSIKGGKDKEAQSSSQAPGRKPRRCWAPELHRRFLHALQQLGGSHAATPKQIRELMKVDGLTNDEVKSHLQKYRLHTRRPNSTAAVQSSGTVVAPPAAPQFVVVGGIWVPPPEYAAAAAAAAAAAAAAAAKPQQVHDLAGDASGTTTTTANKVYAPVATTALTPGLLQPRPQRQSSSCSGGRRSGDACSGSPAVSSSSQTTSA >Sspon.04G0007970-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4A:22479189:22483055:-1 gene:Sspon.04G0007970-1A transcript:Sspon.04G0007970-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMGTPPPPRCCHRLGAGGPLLYHSPRLLWVAVCRREPNGRRNQTGAVGRLGLLRRERDVHTLSLRRTLQPSWQPGDAPRPVAERRIASPATLTTRPRRQPPRSLISTRFPGRSSSDQHRLSRARLSRVHAVPTTRRWAVGVLPPAPKDGAGCPSIAGLSHNSFMGCLSAAGTWVAEPPGHERWHTTLAGPSVARPTGTHRQPPVYLHPRRGWLAQGPTTSAGQRRREDKTQPACEPLTPKAHPARPSWQVPQLPLLSALGGNLQDASVMSAVQDDAPLLIPLQPKHDNADPMDEVIRNIDALGGLLDAANVAIEQDNGEVLAMDDLTDESEVDPPLPAENVQIVDFPNFENLQPLMLEEIQL >Sspon.03G0008640-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:23282841:23286102:-1 gene:Sspon.03G0008640-1P transcript:Sspon.03G0008640-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding TDGRHGGVTSTIAARFAFFPPTPPSYTVVVADAATGRLTIPEISRAPSRRRRRDGDGGSSSASSVAAAEEEDGAEVVRLRTRRGNEIVGVYVRHARPPPRCSTPTATPPTSARCTGSSSSSAAASAYDYSGYGRSTGKPTECNTYADIEAAYNCLKEKYGVADEDIILYGQSVGSGPTIDLASRLPDLRAVVLHSPILSGLRVLYPVKRTFWFDIYKNIDKIGLVNCPVLVIHGTSDDVVDCSHGKQLWEQCKVKYSPLWLSGGGHCNLELYPDYIKHLKKFVSSVSKKTSKPEPKEITANDGTTSKETEETEEAYSQKPQEATKCSQISRKSLDSRVGKSKTVDVPDKPRMSSDDIDKFRRRRCLVW >Sspon.01G0008300-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:23141042:23143911:-1 gene:Sspon.01G0008300-1A transcript:Sspon.01G0008300-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein high chlorophyll fluorescent 107 [Source:Projected from Arabidopsis thaliana (AT3G17040) UniProtKB/Swiss-Prot;Acc:Q8RWG2] INPTKHVTVYEFVVFFAKQCWAVLESKSGNIRRARELFDASTVADAKHIAAWHGWAILEIKQGNIKKARNLLGKALKYCGGNEYIYQTLALLEAKAECFEQARTLFEQATQSNSKSCASWLAWAQVEMRAGNNTMARKLFEKAVQASPKNRFSWHVWALFEANEGSIDRARKLLKIGHAVNPRDPVILQSLALLEYNFSSANVARVLFRKASQIDPRHQPVWIAWGWMEWKEGNARTARALYQRALSVNSTNECAARCLQAWGVLEQRAGNYTAARRLLRSSLSINSQSEVTWMTWAALEEEQGDPVRAEEIRNLYFQQRTEVVDDASWVMGFLDIIDPALDSVKKLLNLDQPSGPTRQDDVKSTARSSAAGESSETSAAVGSDSSGLKSHDAGNNGSEATGTPTSDFDLDGFIKKRLALDPGELDAVLEGSDPRGVVSQRRKQRLPRKPLPLLPA >Sspon.01G0046300-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:96888311:96889693:-1 gene:Sspon.01G0046300-1B transcript:Sspon.01G0046300-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding HEGEDHELQDREAGSRRRRRGTAERRQERAAERVRQGDVRRAHCRHLRVPAAEPTERGAGAGAGAGAGRVPRVGGAPRRRARRPAGRAAERRGRAARGGRRGRAAGHVDAVQAITGAAAAAPQHRRRRRPRGGAGAGAADALRVRVAGGRVHGAPPRRGRPGHGQLPRRVGARHAPAASGAAPRLRPRHPVPAAGHAAGGVPAPRHRVLPARATQEARRRRGRGPGHRRRGSRQDQGPQGAVHQGVRGAAQGAGLVGPPAAADASSSSSAWALQHLRELGGAPVARGDRGAGAGRRRHHQAAHLRERADADASPRAAHLLRQHGAVGVPAVRRRGPRVPPGAARRRPDPPRRVARGRRLLPVLRRLRVVGGRGARGAGAHRRRVAGGDVPGPGGGQLARDQLLRPGLRWRVPVLLHAVVPAHGGHHVPAALLPRRRRDRGLRVALRGPPRGVQADMLQYRL >Sspon.05G0010130-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:29543595:29546792:1 gene:Sspon.05G0010130-1P transcript:Sspon.05G0010130-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MERAVPVRKPHTNTADLLSWSATGPDASASPAASSRPSLKQPAAGITPAMFGAPVTEQEAEDLSKSERKFCSGSKLKEMSGSGIFIEKSENGDSEASNPANKTSLRMYQQTVTGISQISFSADGSVSPKKPSSIPEVAKQRELSGTLEDADAKINKQLSEAKTKELSGSDIFGPPPEIPARPLAARNMELQGNVDFSLPQRSVHTSVKVSNPAGGPSNISFSEEPVVKTAKKIHNQKFQELTGNNIFKEDVPASAEKSLSSAKLKEMSGSDIFADGTPAPREYLGGIRKPPGGESSIALI >Sspon.05G0029240-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:77154617:77156229:-1 gene:Sspon.05G0029240-1B transcript:Sspon.05G0029240-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ATNGIGKETARVLALRGAKVIIPARTLESGLKVKESLAERVPSSKLHVMEMDLSSLSSVRDFARSFDSSHEHLNLLMYGLWPALTSSPRDGIELQFATNHVGHFLLTNLLLDKMKSTARETGVQGRIINVSSIAHKRSDGTCFELNKLNDKARYQLFMSYAHSKLANILHANELSRRFQEEGCNLTANSLHPGMIITNIIRYVAGNNNALISVLSPVANLVLKSIPQGAATTCYLALHPNVKDVSGKYFADCNEATATAVDSELAKRLWSFSEELVGINADISQKTQASEEEDVQVEDVFQAK >Sspon.08G0003380-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:5743262:5756335:1 gene:Sspon.08G0003380-2B transcript:Sspon.08G0003380-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPRAAKRPKFASGAAPPQRGEDDYVPGNIVEIELFNFMTYDRLVCCPGPRLNLVVGPNGSGKSSLVCAIALGLAGDPNRGEVAGHVKISLRGDTPDDKICITRKIDTKNKSEWLLNGATVPKKEVIDVIKKFNIQVNNLTQVGLYFLPQDRVSEFAKLSPIQLLEETEKAVGDPDLPVQHRQLVERSKELKALEVAITQKEKTLNNLKALNAEQEKDVERVRLRDNLLRKAQLMKKKLPWLKYDMLKKEFVEVIQENEKTAKQEMEEAARVWEDSKGPIDKLKKHKATHTSNIKKINSQVNENMNNRQKVMDLDLKLNAELKATFDEIEDLKKQEKSRQQRILKTKEDLAAAEKELEDLQPYEHPKAENAQLTDQIARINVEIKNLKAERNAAESQLTREEESMRRCCDRLKEMESKNSKLLQALRSAGADKIVEAYRWVQANKKNFREEVYGPVLLEVNVQDKLHATYLENHVPNYIWKAFITLDASDRDYIVRETKQYGIPVLNYLAHEGTRRQPLNITPEMKELGIYSRLDQVFQAPDTVKDVLISQAGLDNSYIGTDETHRRADEVSKLGICDFWTPDNHYRWSKSRYSGYMSANVDGVRPSRLFKSSILPADLDVSDIEDLRLQKENHVTNIEGMREAIKMLHRKQRQLEDEEANIHKQKRREEIQRRVDIKRRTLKDISREEDVESSTRKLLDQVAKLNDERFHATMKLKDLLTEAVALKWSHTEKNLASIELDTKIWEMEKDVKKLEKEANQKARNYEDCKRITLEHRRRLSIAKQNAESIAMITKDLEKEFLAMPTTVEELEAAIQDTESEANSMLFLNQNVLQEYQNRQHEIESISNKLKDDKGEHEICCSEIETVKGKWLPTLRTLVSKINDTFSRNFQEMAVAGEVSLDEHGLDFDHYGILIKVKFRQTSQLQVLSSHHQSGGERSVSTILYLVSLQDLTNCPFRVVDEINQGMDPINERKMFQQLVRAASQINTPQCFLLTPKLLPDLEYSDACSILNIMNGPWIEEPAKAWSSGDCWRTVVSAAGH >Sspon.01G0028970-3C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:89710205:89711904:1 gene:Sspon.01G0028970-3C transcript:Sspon.01G0028970-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFIIAPEKDFSNAAWGQYLGFLNSTNNNGPTAASSNHIFAVELDTVRNVEFQDIDNNHVGIDINTLSSVRSGTAAFYDDKEGGTLKNLTLSSGDAMQVWIDYDGKAKEINVTLAPTPMAMRGAKPSKPLLSYISDLKDVLTDKAYIGFSGATGPVGSQHCLLAWSFAMNGPAPPIDFKKIPKMPNSGHHEALVKDMEIGLPIAAFVLILATCITVILLVRRHLTYAELREDWEVEFGPHRFSYKELFKATEGFKSKHLLGAGGFGKVYKGVLPQSGTEVAVKRVVSIGHLRHRNLVQLLGYCRRKGELLLVYDYMPSGSLDKYLYGEDDKPLLEWAQRFQIVKDVASGLFYLHEKWQQVVIHRDVKASNVLLDGGMVAHLGDFGLARLYDHGADLQTTHVVGTMGYIAPELARTGKASPLTDVFAFGTFLLEVTCGRRPVVDTVHHGRKLLVDRVLEYWRRGSLEETVDSRLQGNYDVDEARMVLTLGLMCSHPFPGERPTMRQVMQYLDGDAPLPKLTPADMSLLSLMQDQTSFYQSALQYPWSGTSI >Sspon.04G0021200-1P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4A:74593521:74594038:1 gene:Sspon.04G0021200-1P transcript:Sspon.04G0021200-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RPDGWPDEGDDDDLLFQDQDHDLLGHQPQIDETHVVVLTAANFSSFLAATRHVMVEFYAPWCGHCQELAPEYAAAAAHLAAHPHQADLALAKVDATDETDLAQSYDVQGFPTILFFIDGVPKDYNGARTKDAIVDWINKKLGPAVKNVTSVDEAERILTGDDKAVLAFLDTL >Sspon.08G0024840-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:3221612:3223540:1 gene:Sspon.08G0024840-1C transcript:Sspon.08G0024840-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGKKSKEKEMEKKPVDILAGSDEDSDGGEDLSKIQINEEYARRFEHNKRREALQRLEERRKQGLVPASDDDESVSESESSEEEDEEAAIASRLVDRRVFEVIRRIRSGDPRILDKDAKVYSESEEEGGEEAENAEKEGESKQEKKKAKKEKPLYLKDVNARHLLEEGPEFAAQSSRSSSKFERIAYDEQQKKGLEAFLEAQKEVLGDGDDDDDLFQVKPKARAGADDEAEEDEEEKQAKELAGEVFGKDEELDENEKFLKEFFLKRPYLEAEKRKSYLDDIQELSDDEEELEIQEEYEHGYNYRHEEAMASGAVVADRVMGHSRVVEGSVRKKESSRKQQRKSKEERMARIKQEQAEELKHLKNLKKKEIAEKLERIRMIAGIEGDAACKLGADDLEEDFDPEDYDKKMQEMFDDSYYEADDVDPEFGSGEEMDLEKPDFDKEDELLGLPKGWASDQSKEESTATDAKGAKGKISLKDKVELEKEMEEYYKLDYEDTIGDIKTRFKYKQVKPNSFGLSAYDILAADDKDLNQYVSIKKLAPYREDEWKVTHHKRQSKDLILGGQKEGKKDKSGKKSRSEEGGPSSSKTEKDRLTNGQESTNDKKKTTRSERRKRRKADLKISDDRLAAFGKTNSKRHKSH >Sspon.08G0018870-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:8933378:8941714:-1 gene:Sspon.08G0018870-1B transcript:Sspon.08G0018870-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VPTIPHWTAGPAHKTRRKTPGPPPDVQNPKPLPPNLSSPLRFALSSSPRPPIPKPVLLPCSAPSGPCAGAPEQPGCRNPTRRPVMPPRPSLHSLLLMAASASSSAAAAGGDSGLLLSARRRLAATAAAGGHRIRLLHSFSPGRVPRRPEAACCVRGAPDARRSAPASAPLRSRNVHSASNYAAASEERLGQLIQKLKNEGINPKQWRLGNFQRMLCPQADGVTNISQGKTDIESETDQKVEAKKTANKVYRKVIEEDLNLEPLCDELVEYFSTRMISAETLRRNKVMQRNWNNKISIAFTYRRDGVLVGCKYRTVDKTFSQEANTEKIFYGLDDIKRAHDVIIVEGEIDKLSMDEAGYRNCVSVPDGAPPKVSSKIPDKEQDKKYNYLWNCKDYLDSASRIILATDDDHPGQALAEELARRLGKERCWRVKWPKKNDTDTCKDANEVLMFLGPQALRKVIEDAELYPIRGLFSFKDFFPEIDNYFLGIHGDELGIHTGWKSLDDLYKVVPGELTVVTGVPNSGKSEWIDALLCNINKQCGWKFVKEHARKLLEKHIEKPFFDARYGGDAQRMTPDEFEAGKQWLNETFHLIRCEDDSLPSINWVLDLAKAAVLRHGVRGLTETEYVSQILTKVKRFAQHHSCHVWFVAHPRQLHNWNGGPPNMYDISGSAHFINKCDNGIVVHRNRDPNAGPLDVVQVCVRKVRNKVIGQIGDAFLTYDRVTGQYKDAGKSTIAAVTAVQKRQNSYAMSKKDNVAYEMPFPHPVEDDSVSGEDDSVSGEDGGNSFGL >Sspon.05G0013630-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:41463835:41472423:1 gene:Sspon.05G0013630-3C transcript:Sspon.05G0013630-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding EVAASGADPGLPFPPLRAPSKRRSLPRHGRRRRGRAVVRHAGAGAPFAASILEGDACWGCRRSILLPHLRYCCGGGGQGQGAAAAGSVAAERGAVPVPGLPLLQQGQRYYHDIPYKVVEVNPLSKKEIKWSDYKKVPILTVDGEELVDSSDIINILQRRINPDEPTNDEEAKWRRWVDEHLVHVLSPNIYRTTSEALESFDYIAKHGNFSFTERFAVKYAGAAAMYMVSKKLKKKYNITDERASLYDAANTWIEALNGRDFLGGSKPNLADLAVFGVLRPIRYLRAGKDMVENTEIGDWYQRMEVAVGEPSRIQDAEGN >Sspon.05G0007010-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:15842180:15844868:1 gene:Sspon.05G0007010-2B transcript:Sspon.05G0007010-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SNTAILESDPLNWGKAAAELTGSHLDEVKRMVAQFRDPIVKIEGSTLRVGQVAAVAAAKDASGVAVELDEEARPRVKASSEWILDCIAHGGDIYGVTTGFGGTSHRRTKDGPALQVELLRYCNAGIFGNGSDGHTLPSEVSRAAMLVRINTLLQGYSGIRFEILEAITKLLNTGVSPCLPLRGTITASGDLVPLSYIAGLITGRPNAQAVTVDGRKVDAAEAFKVAGIEGGFFKLNPKEGLAIVNGTSVGSALAAVVCFDANVLAVLSSVLSAVFCEVMNGKPEYTDHLTHKLKHHPGSIESAAIMEHILDGSSFMKHAKEVNAMDPLLKPKQDRYALRTSPQWLGPQIEVIRAATKSIEREVNSVNDNPVIDVHRGKALHGGNFQGTPIGVSMDNARLAIANIGKLMFAQFSELVNEFYNNGLTSNLAGSRNPSLDYGFKGTEIAMASYCSELQYLANPITNHVQSAEQHNQDVNSLGLVSARKTAEAVDILKLMSSTYMVALCQAVDLRHLEENLKSAVKNSVMAVARKVLTTSLDGDLHSARFSEKALLTAIDREAVYGYYDDPCSVNSPLMKKIRAVLVDHALANGEADKDASASVFSKINRFEETLREALPREMEAARVAFEGGHRADRQQDQGEPVVPAVPLHPPGPRRCVPDRREAQVPRRGVQQGVPGAQRGQAHRPHARNPQGVGRQAPAHLLSR >Sspon.01G0044190-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:80891569:80895100:1 gene:Sspon.01G0044190-1B transcript:Sspon.01G0044190-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:1-acyl-sn-glycerol-3-phosphate acyltransferase 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G30580) UniProtKB/Swiss-Prot;Acc:Q8GXU8] MGTLIRPGPAASPLPIASSSSSPSSAHVAAVGGGGGGARSLRHRVSVAVFPSPSTQVLATRWRRRRGMVVRSDVVAGGAAAAAAGDSTPALSAMVVVHPLVLLFDRYRRRAQHYIAKIWATLTISMFYKLEVEGMENLPPNSSPGWAMYLLGVIPLRRMDSRSQLDCLKRCVDLVGKGASVFFFPEGTRSRDGKLGVFKRGAFSVAAKTGAPVIPITLIGTGKLMPSGMEGILNSGSVKM >Sspon.04G0003260-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:9808574:9810516:1 gene:Sspon.04G0003260-1A transcript:Sspon.04G0003260-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPAERIESGTALGKRPRSRVLPRTASLVTLPSAAKQGRQERVGTGVPSSSSVPAGGVGMGAGAGGGGAVPGYYYGGSFSAVETAAFLKACGLCNRRLGPGHDTFIYRGEVAFCSQECREKQIEYDERMEQTCSLTSIKEAPSVSGASSSDQSGSGGETVAAA >Sspon.06G0017190-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:74602279:74609805:-1 gene:Sspon.06G0017190-2C transcript:Sspon.06G0017190-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatidate phosphatase PAH2 [Source:Projected from Arabidopsis thaliana (AT5G42870) UniProtKB/Swiss-Prot;Acc:Q9FMN2] MYAVGKVGSLISRSVYSVSGPFHPFGGAVDIVVVQQQDGSFKSSPWYLRFGKFQGVLKSREKVVDISVNGVEVGFHMYLDSNGEAYFLRNADPNGGEEGEFIVSPASSGDEREVPIQEAQAQLRKSKSTSCDSSTMEADVSEGKILARTALRRTTILERMFGRKSGKNNAHAVDRVSSLERAEIAAELLDTKWSTNLPRSSKTNGSNDEPSKSNLAEFSNNDQMETSKPNGSNDELSKSNLAEVSNNDQVETSKPVFPEHSLDHGKEIYCNCDNAHCNLCSPRGGRNSSADETDQCLQATIVKKEVFEIHTRESNDFTDNITSTTHQHGSEPLSNGLGTDKLTHQPVDTQDELLHDVEDDAGRETHTEQVEIHMRESSDVTDNITSITHQHGSESLSNDLGTDKLTHQSVNTQDELLHDVEDVAGRETHTEEVVSNGIFEIHVVETDITSGKSERVSEVVTVDSDGANQNFTDSNYQNYGTTDISAERHEVSLIPSAQDAVQEKIIILSSSETVEGSLDAVQEKVVILSSSETVESSYAVSCILDDTVHDASDISQADSVQHEEHSSISDVSTEKLIPEESSLVCYGAPSNKKDLVNVAVQECEAFILENPAPEILEENGPDMDVSTDSVSLSHADAAHDLACQHVSSSVVETPSYEPDIGPEDVTKNSIVENEACSGELVVSVIQTSMEDGLTECIAEPAVFSNKIEVEGSPTAVGFSSLVSVEVQNTKLEDDTNKSSSVIGDEVGVAPEATAELDEEAEPVVSFSEYTEEIQFQFSDTENFADRKATDDMVANKTTGEVEHDESDCDTEKQEGGDVALANDLENCSDSLKPVTSPVSIPAIDFQSGDSNIEAKSLPNLRSHIHDLERSDIFQLSRSLQSNAENNGIDPVKSTSNAENNGVDPVKSMNSAFLEQKSEVIGDSEENTSPPEVTSNVAPDGKHADDLKVDAFIPFAELSLCRHLLSEGMGEDAACSAFDSEKITLEKFHYMKQSLMRNNKLVVRIAGRYFPWDAAAPIVLGMISFSEEQVFEPKGMIKVERVEQSEAPGGSWRIWPFSFRRTRTISAIQPVCESPVETSVSTPKESTPVKELDRERNKSRGKRIERKVRSLTPTSEELASLDLREGRNVVTFTFSTAIVGKQQVDCHIYLWKWNTRIVISDVDGTITKSDVLGQFMPLVGVDWSQNGVAHLFSAIKENGYQLLFLSARAISQAHITRQFLFNLKQDGKALPDGPVVISPDGLFPSLYREVIRRAPHEFKISCLEAIKDLFPHDSNPFYAGFGNRDTDELSYLKVGIPMGKIFIINPKGEVAVNRRVDTKSYTSLHALVHGMFPPISSSSEQEDYNAWNYWKMPLPDVDL >Sspon.01G0002280-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:6304975:6311396:1 gene:Sspon.01G0002280-1A transcript:Sspon.01G0002280-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DGCRSQGRGGCCRCRWPPWELGHGEAAAWRGGVRAGRVGGPARQPRAPLQARRLDRLLLHRRVRAVRADGLLRDRVEPHHLPDGQAPPGHRGGLQQRHQLVRHRLPHAAPRRPRRRRLPRTLLDLRPRLRHLLPGDGVAGAVRLPAGAETATVQRRRRVPEGVGAAARGLLRRTLHHSLRQRRHQAQHLHDRGGPVRRLRPAGEDAQALLLQLVDVHHLPGHPLLLHRPRLPAGQRQLVHRLRHPHARPHGLHLHLPRRHQVVPPQGAAGQRVHEHGQGHRRRAMEMERPGPGRRQGAARAGPRGVHEEAQVQDRLHQRHEVPQQGGRARERQGRGIAGDDVEPVHGDAGGGDEADPQADAPPGGDVRAVHADRADQHPVREAGHDDGPAHGPALRDPAGEPGRVRDADHARVHRGVRPGVREGRPAVHREPARDHAPEADGHGHGAAGGDHGGGVGDREPEAGVRPEPRPGRDGGPAGAHHLRAPAAVRADGPRRRVPGGGQARVLLRPGAGGHEEPGHRHVPHGVRHRQHPQQLPAVHGDAHHAGARQRLGHQQPQRLQPRLLLRLPHRARRRQLRRLPRAQHQVQVQGPVHRHHRHCHRPGDRESQASGGATGL >Sspon.01G0045630-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:90554167:90559371:-1 gene:Sspon.01G0045630-1B transcript:Sspon.01G0045630-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to Nf-Y-A subunit [Source: Projected from Oryza sativa (Os03g0647600)] MMSFKSHEGFGQVAAATTTGAGSQAVSHGAAPAGAAPLPWWAGPQLMFGEPAPLSPEETRRDAQFQVVPGAQGTPDPAPAPPKRGTPEVLKFSVFQGNLESGGKGEKNPKKSTTIALQSPFPEYNGRFEIGLGQSMLAPSNYSCADQCYGMHTTYGMRSMSGARMLLPLNAPADAPIYVNPKQYEGILRRRRARAKAESENRLAKGRKPYLHESRHLHAMRRVRGSGGRFLNTKKEGHGTDIAANGGGKMAAAAPSRLAMPPSSEPPRPPGLSNISNPHCHSQSSVSRLSGSDVSNIYGGLEQPPRAPPFFTALPPIMDGDHGGATHISSFKWAASDGCCELLKA >Sspon.07G0009190-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7B:24089590:24096043:-1 gene:Sspon.07G0009190-2B transcript:Sspon.07G0009190-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPAVGPASPRQNPSGSELPAAATAASMANPGLGLGLAPPGPEPSAGAPPSSRRAPRLAKRRHAPASSRSRAPQASAGTWNPFGGGGTDGQTGGFVFGAAPAVSQQPSEPAVAVASPTEAPFVFASVRESLPRFDEGLSASSKLPDKMEKLNLRTSGEEANVLQEKLTQLNLGHRETLQSEKRDSANGVPQAFVFGGNGAGSFADCKNTAGPGAHSDDPSSVHGTDAKAVAGKSMQFDIGSQAPSRVGGIDRTNGAPEAFTFRSTTVSGHVDNINNVDSGANTSSSTVAKGVGDVDVLPEAITRLSIGSDMPLHETKSGYQPKVFTFGSEGVPGAVFGKETGSTSDRSSEFFSANSNTSSSSSDFFSTANSNASNSANGTYCFPPEKTSDLNAGDGVMSQSLESDNSNCPPQTFLFERNETRSSVSHSASIAMDDGGNFVNDENSDTCSSARGTVECAGALPEKMTKLNIGCGVPYHSRKDETATEPPEVFVFGCNVSSFSSAQTASKSNDPTTPDFCFQSKPEATSGYGAVPQTKVQESYPFTNLNNSCSSSTFVNAVPAFSFGAMNAETETAPDDHFSVKQDLPGYSRETLFGLDSIKSAYKDKREAHKGKMKNKRPTRLKQHAQLHQVVSKEACTNGEASDLAGDYSPMDCSPYPAEAEHVPTEAYVASDQPVHISDSVISNLNTSCAEDDVVSATEHLVIDADLPMFGDQGRGPKLDASESNFGSSFSSFEGDRSNASQHSFTNIGQDCNENTYRTPHDFVEAAAFQSSSSNFSGLNFRFGVSSSPEISAAAQRRNTRRKLRRKGSLASKPSTIGSFEQPKSSQDTKGMRFFGETSKNEETVKKQSSRDSSTSAALETCETWRTSGNKAYANGHFATAEDYYTRGINSVTHYGVSGHCSRALMLCYSNRAATRMSLGMMQEALQDCLTATSIDPTFLKAKVRAANCQLALGDLEDASRSYMSCLNSNTGSSDLKIFAEASDGLERVKTAPTGTRSFSCIGRETPRWPAALDMPPCASECSGRMPVAMCISLKAAQERVTDWVSQCKELLEKRTSPEATKALELISNALHICPHSDSLKEMKADALLMLRRYEEVIELCQESVNPTERSSFLFKDNGEPKNSRVSEQTKFSGRYWRPYLICKSYFLSGKLDEALELLKKHEQVTPVKER >Sspon.01G0034660-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:16033623:16038379:-1 gene:Sspon.01G0034660-3D transcript:Sspon.01G0034660-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDANGRGENDDARAPLLAGPGRRRNSVASMRGEFVSRLPKKVLDAVDPEHPSHVDFSRSKGLLEGEREYYEKQFATLRSFEEVDSLEESNEINEDEELAEQIQSEFSMKISNYANIILLALKVYATIKSGSIAIAASTLDSLLDLMAGGILWFTHLSMKSINVYKYPIGKLRVQPVGIIIFAAVMATLGFQVFIQAVEKLIVNEAPDKLNQVQLLWLYSIMIFATVVKLALWFYCRTSGNKIVRAYAKDHYFDVVTNVVGLAAAVLGDRFYWWIDPIGAIALAVYTISNWSGTVWENAVSLVGESAPPEMLQKLTYLAIRHHPQIKRVDTVRAYTFGVDIELPEDLPLKEAHAIGESLQIKIEELPEVERAFVHLDFECDHKPEHSILSKLPSSQP >Sspon.01G0014960-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:44048222:44052977:-1 gene:Sspon.01G0014960-4D transcript:Sspon.01G0014960-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAISMEGIEARARELGVDLSAVDLDSIALPAGEDFGILSDDEEVLHSEDPPELEMGLSNIIVVDNLPVVPPEKFEKLENVIRKIYSQIGVIKENGLWMPVNPETKKTYGYCFIEYNTPQEAELAREKTNGYKLDKSHIFAVNLLDDFEKYMKVPDTWTPAEIKPYTAGENLLKWLTDEKARDQFVIRAGTLTEVYWNDARKLAPELVFQKQYWTDSFIQWSPLGTYLATVHRQGSQVWGGENGFERLMRFAHPMVKLIDFSPGERYLVTYSSHEPSNPRDTHRVVLNIFDVRTGKVMRDFKGSADDYTTGGNIGVSGVSWPIFRWGGGKDDKYFARLGKNIISVYETNTFSLLDKKSLKVENVVDFSWSPTDPIISLFVPEMGGGNQPARVSLVQIPGKEELRQKNLFSVSDCKMYWQNNGEYLAVQVDRYTKTKKSTYTGFELFRIKERDIPIEVLELENKNDKIIAFAWEPKGHRFAIIHGDGPRPDVSFYTMRTANNTNRVSKLTTLKAKQANALYWSPAGRFIVLAGLKGFNGQLEFYNVDELETMATGEHFMATDIMWDPTGRYLATAVTSVHEMENGFQIWSFNGKHLYKVSKDHFYQFIWRPRPPSLLTPEKEEDISRNLKKYSKKYEQEDQDAFNQLSEQDRKRRTQLQEEWDSWVAKWKQMHEEERAYRMELRDGEASDEEEEYEAKEVEVEEVVDVHQEVLAFDLDQE >Sspon.02G0026760-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:27413581:27415036:1 gene:Sspon.02G0026760-3D transcript:Sspon.02G0026760-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFLFPVKNQQGTMEGRPSAPARVSMFRRLMVRVTPAERLVGDGKERDKDEKPAASGGAGAEADVGSVALDKMVISFMEDSSAAVERPPRGRCSNCFNGNQDGSDDEDFDFLPSATAPAAPPAAAGDSLELLKGLVQCASTAERNLLADASRIAERCGKGSGFGRKKADIRRAVADGLRALGYDAAVCTSRWDKTPSHPAGEHEYIDAVVESGARLVVEVDFRSEFEVARPTKAYRAVLQALPPLFVGTPDRLGRIVAVVAEAARQSLRKRGLHFPPWRKPEYMRAKWLSPHARSGSSSPDKAPAPATALATPISAATFSGEFELRFDEKPKAAADDICGGEEDGADKKITVVVSPTPEEPGAAIKLNPQPPQAKRK >Sspon.05G0023720-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:8446615:8450189:1 gene:Sspon.05G0023720-1B transcript:Sspon.05G0023720-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGKKGKGAANAGKKRPDDELVDLIFSWSLQDVMNQDLFRDKASTIPDRFFGLKSYLDAFRIPLLEEMRAEMSSNLEPLPHHPSAVPIQSLVPSIGRGVKNSALVYRATVARHRGSRRAAPCIGDIILLLDGMPRRPAELAWNGGGGGGSYCVAHVQDVDRAGPSFEIRASRKIEDTSCYARAVSLLSFIPYARIWRCLDYDAAVKRSPALVKVVAGDAQSTSSPAGSSAPARRDTGGTDADVAAKLSMFKLNDSQTESILSCAKAMRQRDGANKFSLIWGPPGTGKTKTISVLLLLLLTSQTKCRVLTCAPTNTAISQVASRLLALWKQHAAKDGVCHGDLLLFGNRERLAVDGDLGEIFLDTSVKRLKKCFSPATGWKHCLVSLELFLGEPRIVTSHKLLGRKIVGNDEAGKSEFTQNLMQSKARILEVTRTLLRELKLPVTRSDFRIKKFCLGSAPFIFCTVSGSAKLNNQKMDLLLIDEAAQLKECESLIPLHLSGLKHAVLIGDECQLPATVKSKQKIGDAKSMHPLTLRVNSADGFQGSEEDIIILSTVRSNSAGSIGFLSNLRRANVALTRARHCLWILGNAATLCGSGSIWEELVRDAVDRRCFFNWDDMSAGVSSPITPWRNAAAVGFGSDFGVHVPAA >Sspon.01G0018760-3D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1D:66422834:66424945:-1 gene:Sspon.01G0018760-3D transcript:Sspon.01G0018760-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQATASLPFLLLLFQLHGLSHAAAPAAGGGGDGQFIYNGFTGAGLDLDGMAVVEPDGKLMLTNVTSQLKGHAFHPAPLRFHDRASASAQNRTASARSFSTTFVFAIVSDYVTVSGNGLAFFVAPSKNLSTASPSQFLGLFNSQNNGNATNHVFAVELDTILNPEFRDINSNHVGVDVNGLVSLAAEPAGYYADDTGAFKNLSLFSGDAMQAWVDYDGQATVLNVTLAPAEAPKPKKPLISVAVDLSAVVNDTAYVGLSSSTGPFHTRHYVLGWSFALDGAAPTLDYTVLPRMPRVVTKRRSKPLDVVLPVAMPLLALAVLACVSLLAWRRFRYAELREEWEVEFGPHRFAYKDLFHATDGFDGKRLLGVGGFGRVYKGVLPASKTEVAVKIVSHDATHGMKQFVAEVVSIGRLRHRNVVQLLGYCRRKGELLLVYDYMPNGSLDRWLYDHGTPPLSWEQRLRAIRGVAAGLLYLHEDWEQVVIHRDIKASNVLLDGEMNARLGDFGLARLYERGAGPQSTHVVGTMGYLAPELAHTRRVTPATDVFAFGSFVLEVACGRRPIERGDDDGRFVLVDWVLELWHMGALADAADARLCAEYPAEEAELVLKLGLLCSHPVPAARPSMRQVVQYLDGDAPLPEPPASYQSFTVLAMMQNQGFDSYAASYPSSSATVSKASEYARSPAATTSRSPSCRQWSDNRCDVE >Sspon.04G0031570-1P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4D:14925055:14930283:1 gene:Sspon.04G0031570-1P transcript:Sspon.04G0031570-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTRHAAGTLPPCALEASTGDAAVSPVPSSVRDALLDPHWRRAMEEEYAALLANQTWELVPRPPGSNVITGKWIWTHKRRADGSLERYKARWVLRGFTQRPGVDYDETFSPVVKPATLDVKNAFLHGLLTETVYCSQPAGFVDTTRPDMVCRLNRSLYGLKQAPRAWNHRFAAFLLTLGFMEAKSDTSLFVYHHGADTAYLLLYVDDIVLTASSEPLLRRIITALQQEFAMKDLGELHHFLGVTVEHRPTGLLLHQRQYTRDILERAGMTDCNPCSTPVDTQGKLSEAEGPPVADPTAYRSLAGALQYLTFTRPDITYAVQQVCLHMHDPREPHLTALKRLLRYLRGTLDYGLLLHRASSTDLAVYTDADWAECPDTRRSTSGYAVFLGGNLVSWSSKRQPVVSRSSAEAEYRAVANGVAEAAWLRQLLAELHTPPSRSTLIYCDNVSAVYLSTNPIQHQRTKHVEIDLHFVRDQVAMGEVRVLHVPTASQFADIFTKCLPSSTFAEFRSSLNITGG >Sspon.04G0019130-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:68121124:68123586:-1 gene:Sspon.04G0019130-1A transcript:Sspon.04G0019130-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGICASSRRVQQEEDSDENVVYVMEGGGGADGDGHGRKVASLFSQKGKKGPNQDAVILCQGFGMEDGVFCGVFDGHGRCGQFISKLVRDYLPFMILSHRNALLLGGSDDDDDLVVFSDASPVASSAASSTDGSGRSSPAPAQLLEEWREACANAYPASRVDDCSAVCLFLRDQQDWASSVAAAKAKAAAASR >Sspon.01G0010780-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:32577365:32579244:1 gene:Sspon.01G0010780-3D transcript:Sspon.01G0010780-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATLFSTSLSPQFLSLSAKPAAAAPSAAAFPSGLPQLHALSAAAAGSRPLEPVRAAAAAAVTEELEAEGQPGGEEEFSEDLRVFVGNLPFSVDSAQLAGLFEQAGSVEMVEVIYDKLTGRSRGFGFVTMSSVEEVEAAVEQFNGYVLDGRSLRVNSGPPPPRDQSSPRVSRGDANRVYVGNLSWGVDNSALANLFSGQGEVLEARIVYDRESGRSRGFGFVTYGSAEEVENAISNLDGADLDGRQIRVTLAESKPPRQQY >Sspon.04G0015790-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:64723797:64740287:1 gene:Sspon.04G0015790-2C transcript:Sspon.04G0015790-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Outer envelope protein 80, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G19620) UniProtKB/Swiss-Prot;Acc:Q9C5J8] MGRSRDVRFVSSGVKLPSAPAPPPSPAPALLSAALPFAHIGRAIDAAARRLGSCLPRVPVARADPAAPPPLRRHGKDGGGPEERVLISEVAVRGKDGEPLERAELEAAAAAALRACRPNAALTVREVQEDVHRIVESGLFRSCMPVAVDTRDGIRLVFEVEPNQDFHGLVCEGANMLPSKFLEDAFRDRHGKIINIRHLDKVIKSVNGWYQERGLTGLVSYAEILSGGVLRLQVSEAEVNNINIRFLDRKTGEPTVGKTQPETILRQLTTKKGQAYNRAQVKRDVETILTMGIMEDVTIIPQPVGDSNKVDLVMNLVERPSGGFSAGGGISSGITNGPLSGLIGSFAYSHRNVFGRNKKLNLSLERGQIDSIFRLNFTDPWIDGDNKRTSRTVMVQNSRTPGTLVHGGDHPDHGPITIGRVTAGLEYSRPFRPKWSGTLGLIFQHAGARDDKGNPVIRDFYNSQLTASGHDYDDTLLAKFESIYTDSGDHSSTMFVFNIEQGLPVLPEWLSFNRVTARLRQGYEIGPARLLLSASGGHVEGNFPPHEAFAIGGTNSVRGYEEGAVGSGRSYAVGSGEVSCRLFGPLEGVIFGDYGSDLGSGPKVPGDPAGARGKPGSGYGYGVGVRVDSPLGPLRLEYAFNDKQASRFHFVELHFGTLTGPSRAAAMGRSRDVRFVSSGVKLPSAPAPPPSPAPALLSAALPFAHIGRAIDAAARRLGSCLPRVPVARADPAAPPPLRRHGKDGGGPEERVLISEVAVRGKDGEPLERAELEAAAAAALRACRPNAALTVREVQEDVHRIVESGLFRSCMPVAVDTRDGIRLVFEVEPNQDFHGLVCEGANMLPSKFLEDAFRDRHGKIINIRHLDKVIKSVNGWYQERGLTGLVSYAEILSGGVLRLQFQKLSGEPTVGKTQPETILRQLTTKKGQAYNRAQVKRDVETILTMGIMEDVTIIPQPVGDSNKVDLVMNLVERPSGGFSAGGGISSGITNGPLSGLIGSFAYSHRNVFGRNKKLNLSLERGQIDSIFRLNFTDPWIDGDNKRTSRTVMVQNSRTPGTLVHGGDHPGHGPITIGRVTAGLEYSRPFRPKWSGTLGLIFQHAGARDDKGSPVIRDFYNSQLTASGHDYDDTLLAKFESIYTDSGDHSSTMFVFNIEQGLPVLPEWLSFNRVTARLRQGYEIGPARLLLSASGGHVEGNFPPHEAFAIGGTNSVRGYEEGAVGSGRSYAVGSGEVSCRLFGPLEGVIFGDYGSDLGSGPKVPGDPAGARGKPGSGYGYGVGVRVDSPLGPLRLEYAFNDKQASRFHFDRDVHCGIVPMPKEVVKSFDP >Sspon.05G0034830-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:64711304:64716914:1 gene:Sspon.05G0034830-1C transcript:Sspon.05G0034830-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycerophosphodiester phosphodiesterase GDPD4 [Source:Projected from Arabidopsis thaliana (AT1G71340) UniProtKB/Swiss-Prot;Acc:F4I8H8] MRGILLGRRRQPPPLPLFPAAKRSSAPANLLFARLCRFLPASPILRLLLLLALLSLVPPAFFHLRLRRFHRMRERKCGWIVSPPMVCAHGGDSTNAFPNSMDAFRMALDARVDCVEVDVSRSSDGVLFALHDRDLQKMSGNSTAKVGHWTTDEIKALSTRFQLSKRVQNEEVPKAEDALAMISQSVRQVVLDVKVGPPSFEKGLAEDVLSLLRRTNCKNCLVWAKSDDLGRDIIKLSKDVIVGYIVMVDKSTNRRTELVRIEGAKVAGVYHPLIHEKVMKVMRRHDRRVFAWTVDDSSSMKKMLYEHVDAIVTSNPSLLQQLMQETRTECMEDGFALP >Sspon.04G0025200-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:30341985:30349290:1 gene:Sspon.04G0025200-3D transcript:Sspon.04G0025200-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding NAMDTMRGALERAKMLVGMEVDEESALPPPEEQSFFDDINRHCTLNTTQASTDPSARPVSPRRSGGGSRFAFLLRYRRAEALWFRDMLGCRTDVHLLGEFTCPAASLCWDNLQSMIVFFNPVKFGVTFTLGNLMALCRYSLSYIPFARSIVFQLTTLHRPL >Sspon.08G0027770-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8D:1494991:1495293:-1 gene:Sspon.08G0027770-1D transcript:Sspon.08G0027770-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKIQKPRRAIRSCWWRPARGGNQRRWGAQALDRVSVYGGAEHGVCRRPKKCVCRREEDYSVRAEMGRAEVAASSREAVVAAVPLKTTTAAASPATTSQD >Sspon.07G0006650-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:17202371:17204279:-1 gene:Sspon.07G0006650-1A transcript:Sspon.07G0006650-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAADGERRIGVAMDYSESAKKALDWAIDNLLHHGDTLVVLHVLHHGGEETKHALWAKSGSPLIPLSEFREPEVMQGYGVRPDAEVLDMIDTAARQKQLKVVAKLYWGDAREKLCDAVEDLKIDSLVMGSRGLGPIQRILLGSVTNYVLSNASCPVTVVKG >Sspon.04G0000790-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:13370548:13372420:-1 gene:Sspon.04G0000790-2B transcript:Sspon.04G0000790-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATAMDHDGGGDVVTPGELLGNSLTLAAGRGAYADGRSVRASVTGRRRIVPPAPGSEDQRSTVEVVGHKAHGAVPQPGSVVIARVTKVMARMASADIMCVDSKAIKEKFTGMIRQQDVRATEIDKVDMYQSYRPGDIVKAMLSLGDARAYYLSTAKNELGVVSAQSIAGGTLVPISWTEMQCDLTGQIEQRKVAKVE >Sspon.01G0028590-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:112703480:112706116:1 gene:Sspon.01G0028590-2B transcript:Sspon.01G0028590-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRAVARCCGHWPPGAAAADGMLWQTELRPHAAGEFSMAAAQANLAMEDQAQVLASPAATLVGIYDGHGGADASRFLRSRLFPHVQRFAREQGGMSAEAIRSAFGAAEEEFHNQVRQEWTKRPRLAAVGSCCLLGAISGDTLYVANVGDSRAVLCRRVVGGGVAVAERLSTEHNAASEEVRRELAALNPDDTQIVVHARGAWRVKGIIQVSRSIGDFYLKKPEYSLDPLFRQVGAPIPLKRPALSAEPSVQVRKLKPNDLFLIFASDGLWEHLSDDDAVQIVFKNPRTGIANRLVKAALKEATRKREVRYRDLRTIDRGVRRHFHDDISVVVIYLDRHRERRHTRVIDSSSNCTSAPVDIYSSNTHQSAEPLHAYKS >Sspon.03G0000610-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:8074198:8074560:1 gene:Sspon.03G0000610-2D transcript:Sspon.03G0000610-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYYSEPGYCTEEARRPYAEPGFCHGEGGERYAVVRKEYEEVDEVARAGRGHHGHGHGHLGHSGSHHHIHGGHEGSCHGGYVGEHREQYHVHGHGHGHGGRLYDACESKRYDSCTGQYYA >Sspon.07G0005540-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7C:9652377:9653802:-1 gene:Sspon.07G0005540-2C transcript:Sspon.07G0005540-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LGKALMEKQSTDMNSRSSCHGPLVTDAGFLLGLALAKPLNDGVDVSSSVGSISPCIVDYKLLVEVSGAAHAFLTRSQAVRQVVGIHPLHPSKRSVCALKLVY >Sspon.07G0019870-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:73251640:73255579:-1 gene:Sspon.07G0019870-3C transcript:Sspon.07G0019870-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAASPYPHAHHHNHNPLPASVPLHHTCSHPRSKTKPSPLSLLPANQAPSTHSQSATPNQVMAGVNSSVVGLKPAAAVPQAAAAASSPAKRVQLVAPEGRRAALLGLAAVFAVTATTGSAKAGIIDEYLEKSKANKELNDKKRLATSGANFARAYTVEFGSCTFPYNFTGKCPSSPTTSRLSARGRRSSSAAPTFSGSGVKLNEEETWCTCTFTIFS >Sspon.02G0048170-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2C:22249756:22251024:1 gene:Sspon.02G0048170-1C transcript:Sspon.02G0048170-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATMVFLPCWGSGHFMSMIAAGKRMLDASGGALSLAVLVMQAPTPAKASEVEDHVCRESSSGLDIRFINLPAVEPPTDCLAPEEFNFRYIQRQAPQVEEAIAGLSSPVTAIVFDLFCTPLLDVAGELAVPRYAYFASTGAFLALMLRLPLPGIREDLIVRLKQTEGMVHVPGLPPVPVSYMPACLSGSKIGNYEWFEYYARRLMDTSGIIINSSVELEPGVLAAIADGRCVPGRPAPTVYAIGLVIWFAAPEQHQPHACVQWLDTQPSASVVFLCFGSNGVLDVAQVREVAAGLERGGHRFLWVLRGAPAAGSRHPTDADLDTVLPTGFLARTRGRGLVWPAWAPQKEILAHPAVGGFVTHCGWNSILESLWFGVPMVPWPLYSEQHLNAFVREMGVAVHFKNMDVTKKDLLVEAAELEAA >Sspon.01G0041650-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:52095966:52098745:-1 gene:Sspon.01G0041650-1B transcript:Sspon.01G0041650-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMNVVAGVLLLVLAGLNNPSSVSADFCDNLKQVAATLPKNTSSSPLHFATTTFGQAPDVVYALALCRGDVLNDTACGDCVASTFDMVTPPPPQTCYQAAYYYGGNCRLVYSGDNILAPSNTTGGNGDDTPFTRWNANNITAGGGDADDTRLIVSRVHELVVETVQLAARTAPRRFATGVVDSGAIFPKVYSLAQCTPDLSADDCLACLQRLLDMVNSTMALRMGAQIHVIRCYFRYEAYAFYDSTPMLQLGPSAPAPAPSPVKHKSKAMRLQAGSRRTQDLHGEEQLVWDGKNSEFSVLEATNNFSEENKLGQGGFGAVYKGQFADGLQIAVKRLASHSGQGFTEFKNEVQLIAKLQHRNLVRLLGCCSQEEEKILVYEYLPNKSLDFFIFDENRRGMLDWSKLLVIIEGIAHGLLYLHKHSRLRVYIEILSQAWQLWDEERWIDIVDASLVNKSQSSEMMRCINIALLCVQENAADRPTMADVVSMLSTETTTILAEPKKPPYFHVRVGNEEAPITATESCSINDMTISVTTPR >Sspon.06G0011890-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:47242312:47247788:1 gene:Sspon.06G0011890-2D transcript:Sspon.06G0011890-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRNVWSVPVILICILVCPVRIHGFSWNIFSSSSSSPATGGGDRAPMMELDGAVADFAMDGANSDPRGLKLLENARNKLAGPRNCWQEAYRKLFASCGEIMADKERQSRLAWHLTSCFQEDSGRPPFPSCAEGSEMVHCRKRLSESEGKVFLEFFLETNTLCHQLQAKQANIFAALDKLYILHSAILAESRFIKAFFFYSCIVFLVYMLTSAKQTFGIRGQLYFGLCITLLLEIGLIKIGADDFDKQFWVMSKVFLVRMVFLGAATLQILHSVFTYRRRNEVVVAEEIGENSITASVSRKYNLRPRK >Sspon.06G0022710-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:40795509:40796066:1 gene:Sspon.06G0022710-1P transcript:Sspon.06G0022710-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSPSSAATSPLPRQLLRYVSSQLVAALNRPTPTISLPSAPRGVDAAAAAMSPRLRAVSRAAPSPKGRPGAPRQGSGGRVHAAPAVATIARVATPMRQPTPPGHPAQGVAAEKFMPRRRRRQRVCLCEDQLRQVHQHKAAAEKFMPCHWRRRRVCFCKGPRRLVPRQKAPAGVVESSMLLLLDA >Sspon.03G0015030-1P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3C:68397781:68398766:1 gene:Sspon.03G0015030-1P transcript:Sspon.03G0015030-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LQCKRGRHQGCDASVLLTSPNNTAERDAAPNNPSLRGFQVIDAAKAAVEQSCPQTVSCADIVAFAARDSINLTGNLPYQVPSGRRDGNVSLASDATANLPAPTFNASQLVANFAAKNLTDEEMVILSGAHTVGRSFCTSFLARIYNGSTPIVDSGLSAGYATLLQALCPSNANSSTPTTTVVDPSTPAVLDNNYYKLLPLNLGLFFSDNQLRVNSTLNASVNSFAANETLWKEKFVAAMIKMGNIQVLTGSQGEIRLNCSVVNNGSSSSSSSSSVGIQQTTVPYYSYSGSTTASLDEIATS >Sspon.06G0029700-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6D:26251949:26253315:-1 gene:Sspon.06G0029700-2D transcript:Sspon.06G0029700-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding EHRPCVHTFPAKERIHRSSAGVKVTISYIPKPYHSVLDFAYTELATTATEKPPSASAGFYINVAPSNIADFVPTKCSMYCRSGFTSMLTTALSNSTPTWVSAEVIHSFDKQPWPPPSQVQVLREQATALQELLTCLMALSVSMDGCALIQELVQWKCSGNYRCSLLEETGQKFRVAGGKAMGHWQAKQDLLQQSLSYDASCTEVLNLKWSIQSQLIGSARVPSLLTVATARWMAKGFLLPERRVVAVTQIGMKAFLDRILTTVPVLDKHLAASCLCRAGLSMNGYIELQPWPPPRQDCNNLLKDTIKLCCSLWSLVTRYLGMQFSSHDSMLSVVGSITGCTVCDFGILLSIDDA >Sspon.01G0019040-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:71283932:71290488:1 gene:Sspon.01G0019040-1A transcript:Sspon.01G0019040-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNGYVLFALSATGGLLGAFVTLDSIDMSFSILDFQTSVLEIYIGSFSEKQGWRSRQKGPKYCWTSMRPSTTTAAAPLTLSAHQHQARHPVRGDWCPTGSKCGINYQLPSVTGDGQN >Sspon.05G0031060-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:3028981:3029274:-1 gene:Sspon.05G0031060-1C transcript:Sspon.05G0031060-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAPSLDLSLSMPAMVAAQPFLFLDPRLAVTVAVPAPVPCLPTAVAAGANKQAEAAASCREDDEQSDTGSSSSVVDASPEAVGVGFDLNMPPPAEVA >Sspon.03G0039090-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3C:9575370:9577058:-1 gene:Sspon.03G0039090-1C transcript:Sspon.03G0039090-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding CAFSAMSPYRLDKWRLVVAELDTGAELGGGAELAGAAAARATHWRDDVIRSSSTRLLAGASVVADKVNNISWLMFHLFLLLSKCFLRASGPHTNFRAKMTMESGKKQQHHFVLVHGVCHGAWCWYKVATLLSSAGHRVTALDMAACGASPGRAEEVPSLQEYSRPLLAAVAGLQPEEKAVLVGHSFGGLSLALAMEQCPDRVAVAVFVSALMPAAGKPMAFVFEQLSQEEQPADRYMDCKFETSVAGDPQHPVETFRFGPQYMKQRLYQLSPPEVLRGLFTIVLLPLVGATQDLTLAMAMVRPSQRFRDDTTMNGNVLTAERYGAVRRVCVVAKDDASVPAGFRGRMASLNPGTEVRGLLGADHMPMFSKPRELSELLMEIADKYI >Sspon.07G0003800-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:9343042:9345484:-1 gene:Sspon.07G0003800-1A transcript:Sspon.07G0003800-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSSSMKRDISETHDTLRFGINAGVKADLAPPHPLQSTIQSETKFWADKKKFGTDAIYGSALNIRKDLDAQILSRFQRPPGALPSSLLGYEALTGSLDDFGFEDYLNMPQDSDSFRQPDMHHGMEVRLGLSKGPICPSFN >Sspon.01G0039230-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:23825932:23827699:-1 gene:Sspon.01G0039230-2C transcript:Sspon.01G0039230-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAELMRSATTIDSLSVEMLTEVLLRVSTPAALVHAAAASRSWRGAIATPAFLARYRKRHKSSTFLGLYVPRQFAGPPSFHMPDSIRLGDDDAGNLKNAALRAFDYGEIRRYQDHRLHDAVDDAFILGRLGVHPDCRLLDCHNSRLLLTRGDEALEVRSPLARESILLPFPPDVVHPYCLEACLLQGHDKAAASFRVVCLQHRREGRNWQARAVEYDSRRKSWQDHPWETLKSNIEGTPEEVMHAGHRIFCKYTGLTSAALLLDTSNMQFSVLPLPNYQNFVIGEIEDNVCCFVEAIGCADHRLRVWQLDEEKLHWDLKKDIKMDQVLGEHVGYYRPRAISNGIALVCSRTTHHHFVVDLKTCSMKEEFEFHGQSAYPMQMPWPPAFSGPTANAAGGFDRDGGPASVGDARTGVGLLDRIAACTQQLERNTKALAAAGYRIAAILQDLKSNVKAIAVAGPPFLACQVHGGSATNDFDDGGLAKLSVGDADAGAKTGATELDEIDDPIPGPSVGGCVGTDPLPEAKKAQLLNSSSIACFVPGSSTAPPALMPSMTAGSPSCPHR >Sspon.03G0036390-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3C:85875435:85876112:-1 gene:Sspon.03G0036390-2C transcript:Sspon.03G0036390-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding CSSDFGESMQILKYEVNKSDYNNYEPQSSSGHDRLVTVLMYLSDVKRGGETAFPRSELKGTKVELAAPSECAGYAVQPVKGNAILLFNLKPDGVIDKDSQYEMCSVLEGEEWLAIKHIHLRKIDTPKSSLVSEDECTDEDDRCVNWAAGGECDRNPIFMIGTPDYYGSCRKSCRAC >Sspon.03G0028270-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:9392754:9396076:-1 gene:Sspon.03G0028270-2P transcript:Sspon.03G0028270-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAAAAANGGACCHAAKGPGYATPLEAMEKGPREKLVYVTCVYNGTGINKPDYLATVDLDPDSPTYSQVIHRLPVTHIGDELHHSGWNSCSSCHGDPSAKRRFLILPSLLSGRVYVVDTATDPRAPSLHKVVESEDIAEKTGLGFPHTSHCLASGDIMISCLGDKEGNAAGNGFLLLDSEFNVKGRWEKPGHSPLFGYDFWYQPRHKTMISSSWGAPAAFRTGFNLQHVQDGLYGRHLHVYDWPGGELKQTLDLGDTGLLPLEVRFLHDPSKDTGYVGCALTSNMVRFFKTTDGSWSHEVAISVKPLKVRNWILPEMPGLITDFVLSLDDRYLYLVNWLHGDIRQYNIEDPAKPFLAGQVWVGGLLQKGSDVVYVTDDGQEEQYNVPQVKGHRLRGGPQMIQLSLDGKRIYVTNSLFSRWDEQFFGDDLVKKGSHMLQIDVDTEKGGLAINPNFFVDFGTEPDGPALAHEMRYPGGDCTSDIWI >Sspon.01G0010740-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:29827372:29829445:-1 gene:Sspon.01G0010740-1A transcript:Sspon.01G0010740-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARVRGCLLPLLLFLLATAAPAVALRFDLLSGHTKCISDDIKVGAMAVGKYHVMAPDDGASSSSSQSQQQQLPDSHRISLRVTSPYGNSLHYAENVHSGNFAFTASEAGDYLACFWAPDHRPPATVAFEFDWRSGVSARDWSAVAKKGQVEMMELELRKLEDNIRSIHEEMFYLREREVEMQELNRRTNSRMAWLGFLSLAICLSVAGLQLWHLKNFFERKKLL >Sspon.08G0005480-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:13281067:13286067:-1 gene:Sspon.08G0005480-2B transcript:Sspon.08G0005480-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SRF8 [Source:Projected from Arabidopsis thaliana (AT4G22130) UniProtKB/TrEMBL;Acc:A0A384KGH4] MGALKTIDLSYNNLTGNLPFSMGSLSKLSSLYMQNNQLSGTVDVLSNISLATLNIANNNFSGMIPQGFSSIPNLIVGGNSFVNMPASPPPTLKPHDQPNDPEGPISAPTIPDTPIDQDDKKMQTGPLIGIAVGSIAAASCVLFVLVFCLHNARKRNDDASSEPKDIVGSLAVNIERASNREVLNNNHENAVVATSDLQPAGKMTPDRVHGTNGSSAKKPKVPVTVTSYTVAALQVATNSFCQDSLLGEGSLGRVYKADFPNGKVLAVKKIDSASLSLYEEDNFLETPNIVPLTGYCVEHGQRLLVYEYIGNGTLHDILHFSDGMSKKLTWNTRVRIALGTARALEYLHEVCLPPVVHRTFKSSNILLDEEYSPHLSDCGLAALTPNPEREVSAEVVGSFGYSAPEFAMSGTYTAKSDVYSFGVVMLELLTGRKPLDSSRERSEQSLVRWATPQLHDIDLLAKMVDPALDGLYPAKSLSRFADIIAICVQSEPEFRPPMSEVVQQLVRLMQRASIIRRQSDDLGYSYRVPDREADVL >Sspon.03G0040580-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:17918040:17918390:1 gene:Sspon.03G0040580-2D transcript:Sspon.03G0040580-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ISMGNCAVTQHAVTSWADDGEWDVPSAAEEEEAAAAGTSGRKDQDHAAAEVTIRITRKRLQELMEKRAGGLHGLKSRRGAAQLLADVMNAGQVYHHLHHCKAAHWKPALQSIPEAVE >Sspon.07G0037750-1P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7D:63878001:63878299:-1 gene:Sspon.07G0037750-1P transcript:Sspon.07G0037750-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DHLEVNKGIRSIPCSASPILYNAPASGAILSVIGDPRKSGSAILRKSNTSDDELDELSSPLSFISNTSLNPLAKLKRISNSSTARYRLLHEVWKLDDQ >Sspon.03G0034690-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3B:76085137:76085419:1 gene:Sspon.03G0034690-1B transcript:Sspon.03G0034690-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNAAFHLVQDASHSLFHELYRLYLSLPPTFPLISHLCLPQAPLSIDEDDNGLPDNAILEPNSSSATLFCPGMRSTSTPMTCRPPHLLGTANFP >Sspon.01G0021410-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1A:78671697:78674852:1 gene:Sspon.01G0021410-1A transcript:Sspon.01G0021410-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPLDAKLILDEIAKLNRCFDESYARMERCFSRTLAALELRGDAVELRVGSSEQFGATQPIVADNWGGLFDEIPAPDATFTTVLKDIKAEESLLVEYPHQIEKGEEKLQVAPLEEALSKREDGKRALARPLASFFAPATDAALDSELSGTTGKGASIDALNRDSLAQPAREFVVGDVSAPRLPRVRQPRRAAPRRRGRPPWLLVRGRRPRLRARTRLRARPPHGAPRDRLRRRRLRLRPLPRHRQRLAAPHGFWILRPGRRREGRPPGVRPDFHHHALPPSEPVFPKAFTTCIASVPSATAMPKERARLSISRIPVGAEGLSSSGRVSFRSTFHHDDVPKFRLELSVSEAPALLLAYAVAAHAAAHRLLLNPYQVTASAKAPRLSPSSVFLPKLRGGICSTARPDPPDATVRRAIHVHHDVLLAASEALAEQATKEHFAKSYELVLASRLPRPDVFVKDADSCMYTPTNRSTQVLNGNNYSTNCILHHTIGVPIPDAAGFRVVQFQSVVGSTRTSPYCLSTYTGGWFASQDVPRLINSGSIASIVAATASSSAAVGAQLVFNGMPSRYIFNLNLTYSVDLVPTCSASKSYIVQKVFVSLIVEHQVHLASIRDSAQLRPLPWPSFSSYVTSVQLNTSIPVVLHGKGSPFCWPEFTQLRLCHLWLPGSNSPDGVLVIAADSSKWQPCALLRQLDSVQSEYWTYFEIANLHPDIGNASSVFAVKCTWIGSLLGLVEMQLTCGYWETYFQWEAAREAMNTDIFATSQESVHCSACMQNFCQELIYLGSYTRGHETVLPVLSPGKGFKFTMLNEDESRAVLLVFANKQDLPNATNDAEITDKLGLYSLRQRHWYIENTCAAAVYSYGLAMEEDPPDAAQCITLQRQDPRATLQTQKHDGQAGGSMREVALWTNSAEATTFFTNKEVQHNADCLSSPRSEQEFLQFPWDPGSNLQHRLGGKPSLKVGGMSGIICALGRHQAMGRVMLELRVDDLLDTDGCTWQAELGNFVVILLHKLAMVHKLVPEAHAGNGGAQRQEHGPGGCVSTTRAWKITAAA >Sspon.04G0006480-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:17940778:17941362:-1 gene:Sspon.04G0006480-1T transcript:Sspon.04G0006480-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRAIPAADPRDSTWQPDLARRHVALPEYGGRITFPSVVALPEHDGGGGIAFPHPAYHALYDLPTSPEILFEEEARRKGLTWGEHLTLCTGVGYLAGAAAGDVVGLRRAAVEAERGEPLKLRSNRILNSCGSVGRAYGNRLGVIAMLFSATKSGVSGCRSGADDWINVAVAGVGTGALYRMPGGPRSAIVGSIVG >Sspon.02G0036300-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:20518877:20519305:1 gene:Sspon.02G0036300-2D transcript:Sspon.02G0036300-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SQHGRGLRVARGRMGDRSRARAGGPVHGGGAGGGGPARAAQRQRRRGRGGVGVGVAAVREHVLGRRGVGGGGGQGQSRARDDGAGQEGQEEVPPPVGRLRRHEARDRRRRGRGQRQEEEAGSRAGAGAGAGGADDDQVRRRSV >Sspon.05G0027860-3D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5D:57061364:57063818:1 gene:Sspon.05G0027860-3D transcript:Sspon.05G0027860-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKAMATTEVEGPPPPAAAAALEVPAKRGLLRYNSPLAQVSLLGLICFCCPGMFNALSGLGGGGQVDASTADNANTALYACFAVFGVLGGAAHNLLGPRVTLMLGALTYPLYAGSFLYYNHHRHSQAFPVTAGALLGAGAGFLWAAQGAVMTSYPPPNRRGTYISLFWCLFNLGGVLGGLLPFSLNYNSGDEAKNVSDSTYIAFMAFMLVGAALTVLVLPPARIVRDDGTKATRVTFSSPATEGAEILKLFANWKMLLVLPAAWASNFFYTYQFNNVNGGLFTLRTKGLNNVFYWGAQMIGSAGIGYFLDFGFASRRKRGLFGVVAVAVVGTAIWGGGLANQLKYTSVPLSDPIDFKEGHRYAGPFLLYFSYGLLDAMFQSLIYWIIGALANDSQILSRYVGFYKGVQSAGAAVAWQVDKQHTPLISQLIVNWGLMTISYPLLVLLVFLAVKDEDYSVSSVEEDGKEKD >Sspon.02G0048050-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2D:13763951:13764603:1 gene:Sspon.02G0048050-2D transcript:Sspon.02G0048050-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIPCTFQLSTAVSRRVSPRAAAQGQGGGGGAGLRTPLLGAGRRGLGWLRASRLSRVVPASESGRVGPTCWFKFGNKDAEGAGIYGSQARDDFDRDDVEQYFNYMGMLAVEGTYDKMEALLNQDIHPVDILLMLAASEGDRPKIEELLRAGAKFDVTDVDGRTALDRATDETREFILGFAAKKA >Sspon.06G0006850-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:25894280:25897348:-1 gene:Sspon.06G0006850-1A transcript:Sspon.06G0006850-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding KLAQAGIKIWILTGDKLETAVNIGYACNLLRKEMEEIFITLENSSTDASEGSSGEGNKMAAFEEIDRKLQDARGKISQKGTSTSFALIIDGNALTHALTSRLKNSFLDLAVNCASVLCCRVSPKQKALVTRLVKIRTSKTTLAIGDGANDVGMLQEADIGVGISGAEGMQAVMASDFAIAQFRFLERLLLVHGHWCYRRIAAMICYFFFKNITFGFTLFWFEAHAMFSAQPAYNDWFISFYNVAFTSLPVIALGVFDKDVSSRVCLEAVRQDGRVAGFDILGVTMYSCVVWTVNCQLALYISYFTWIQHFVIWGSILI >Sspon.04G0010120-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:25172302:25172653:1 gene:Sspon.04G0010120-2B transcript:Sspon.04G0010120-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSNNLLAAWPVVGPGVAGAVFGAGWWFWVDAVVCSAAAVPFLHYLPGFFASFAALMFNAVNREDIGDGYYSPYDDTEW >Sspon.04G0025410-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:26538652:26544073:1 gene:Sspon.04G0025410-1B transcript:Sspon.04G0025410-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFWWPRRRSRPSSKRKDGPVPASASASCSPRHPVDVVAPTAYASASASPSAQWERAWTRSLGSRAPAAPRGADRAAAAAAAATLCGGGGSGSGPGGPAAGRGQGLPLPRPAVYKSARGGSPVSSGSSSESDEVVDNTNHRYADPFVYPGVRTMPPDAHNRTTEEKHLVSCSAPPPREHHKFFEVLVTNAREVHLQSYEAATSGTTSRGRVFHKNTRARTRSLSPGPRGHDFASSFATPGDLGVSSRSMVKMMDDLKSQSQPFFPSSLSQPLPRPPARIASCPIPSSPIASAQSQSQWKKGKPLGSGTFGQVYLGFSSESGKFCAIKEVQVILDDSKSKERLRQLKQEVDMLRQLSHQNIVQYYGSELTDEALSIYLEYVSGGSIHNLLTYYGPFKEPLIRNYTRQILSGLAYLHGRKTVHRDIKGANVLVGPNGEVKLADFGMAKHITSLAEIHSLRGSPYWMAPEVIMNKNGYSFEVDIWSLGCTIIEMGTGRHPWHQYEDVPAMFKIVNTNDIPEIPERFSKEGKDFLSLCLKRDPAQRPSATQLLRHPFVQDNQSNKEPSLKRSIAPLRDIGGLRARGFMGSSSACVSPHKTSRHSNLHLLNNLLSGSSNHTHRHIDVRANMSLPVSPCSSPLHQLKQSNWSCLASPSHLAFSSGSAAHNPVSYMQNQMRGSDPVPDPWHDISQIPQSPYGSPKRF >Sspon.02G0013600-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:41277424:41278602:1 gene:Sspon.02G0013600-3D transcript:Sspon.02G0013600-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding HGACIVIPDHRHGAARRGGEAGGDGASARCVRELGLLRDSEPRHLDGADGRGREADQGPLQAGARAEVPRLRQQDAQGRAGRQGGGEPGLGEHFLRPPPPGVQHRRDTGPRRGLPARDEAVHRRAGGAGGAAAGPAVREPGPRQGLPGAGVPRAPHGRPDVRHQGEQLPAVPAPGPRERPARAHRRRRHHPAVPGRPRGRAPAAQGRRVGGRAAPAPLHRRQPGGPAGGDHQRQVQERDAPGGGAARRQQDVHRVLLQPGQRRRHLPRAGAGEGRGGGRGGVPQVRVRGLHEAVRAAQVRGQGATVRGVQVRGDGQLQLHSH >Sspon.01G0058300-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:25195439:25200929:-1 gene:Sspon.01G0058300-1D transcript:Sspon.01G0058300-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPDPGRTPAQGEESASTSPWSLRKLQVSLLSWLDPIGKIPIGFVFMAILHLRCMRKLKNLSIYVSFICIARKNFTPGLWSQYKAYEDAVVEGTKSPRRFLYRNTFGRFKTEKDLLNDAEQSMMEYKTSIQNLKKESKYTLDKVAVGESDLQRGRTDLRSTGKQIQSLIGSIYKAESTAAGLMDRLRTIPTRQSLELRAEVASMASDLKNQRCALQERINKISEYGVRV >Sspon.08G0014430-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8A:59099938:59102175:-1 gene:Sspon.08G0014430-1A transcript:Sspon.08G0014430-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEEEVSSPNGEAAGEAVEGGAPPVVHGSAATTEKEEGREKWFIEMRGWIMVLAVQVASFTYQAGLNPPGRFSEPKHKASDETHESTDSFRYKVFFYSNTTAFLTSLAIIILLMNRSFYRSFAKVTVLEIIVVLDMIGLMAAYCAGSTRKNQTNMFALWLTALVLFVIYVVYAVQLVQKLYALPLVRKLWSLFAAVVRRRPSSVPARAATRDDDVGTPPVPNQQDIDDDTRAPPPLDVGRSKSELRRRDSRP >Sspon.03G0004210-3P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:8515899:8520360:-1 gene:Sspon.03G0004210-3P transcript:Sspon.03G0004210-3P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDASLLLSVALAVVLIPLSLALLNRLRLGRLPPGPRPWPVLGNLRQIKPIRCRCFQEWADRYGPVISVWFGSGLTVVVSTSELAKEVLKENDQQLADRPRNRSTQRFSRNGQDLIWADYGPHYIKVRKLCNLELFTPKRLEALRPIREDEVTAMVESVYRAATAPGNEGKPLVVRNHLSMVAFNNITRLAFGKRFMNANGDIDEQGREFKTIVNNGIKIGASLSVAEFIWYLRWLCPLNEELYKTHNERRDRLTMKIIDEHAKSLKESGAKQHFVDALFTLKEQYDLSEDTVIGLLWLEMCALYVHFTYYRHEECAKDMITAGMDTTVISVEWAMAELVRSPRVQKKLQEELDRVVGRDRVMLETDFQNLPYLQAVVKESLRLHPPTPLMLPHKASTNVKIGGYDIPKGANVMVNVWAVARDPKVWSNPLQYRPERFLEENIDIKGSDFRVLPFGAGRRVCPGAQLGINLVASMIGHLLHHFEWSLPEGTRPEDVNMMESPGLVTFMGTPLQAVAKPRLEEELYNRVPVEM >Sspon.01G0020410-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:13745252:13749817:1 gene:Sspon.01G0020410-2C transcript:Sspon.01G0020410-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFIFGIEEYYGLVQAGINEWYLHRGARLRGPNQSTRTPTNGYRAIDLNCLVLGMPDCMLATLWSLIKRPSPHCFTTSFSQGADRKAARDTDTESEMEPRHFYCALLLVLHGFRRAGAQDSTNYTVPAQFACNVSSPWCDTYVVYRTQSPGYQDLGSISDLFGTSQARIASANSLSSEDGVLQPGQPLLVPVSRCGCTGGWSFANVTYPIRQGDTFFNLARVSYENLTEYQLIQNLNPGSVPTSLQVGEEVTVPLFCRCPAPAERSRGVQSFITYVWQPWDTMSQVSKLMNASENEIAEANNVTSSSASASLVGQPMLIPVQQRPRLPPLLYAASAGDGKSRWRRRAVIIGASVSGSLVALFVAILAHRRYRKKPSMRLGSRFAVNTKLSWSRNQYGHDSSNSFAHHMMKGGKLLTGVSQFIDKPIIFVEEEIMEATMNLDERCKIGSTYYRAKLDGEVFAVKPAKGDVSAELKMMQMVNHANLIKLAGISIGTDGDYAFLVYEFAEKGSLDKWLYQKPPSALPSSSCCTVATLSWGQRLSIALDVANGLLYMHEHTQPSMVHGDIRARNILLTADFRAKISSFSLAKPATADAAATSSDVFAFGLLLLELLSGRRAMEARVGSEIGMLWREIRGVLDAGDKEAKLTKWMDPALGNEYHMDAALSLAGMARACTEDDAARRPNMTEVVFSLSVLVQPLSVADGFEKMWQPSSDDSIGMASSVSYRARHYLPNLDGWANREQAAVPAHLVLAESAADTPAKQKRHDPHGHTTAENCVHEVPTAHEATTTALARWRCLPPDSQRTPAFPLGFPPNGHLPRAAGTTVFTLHNNCTQTIWPATLSGNSAAAVGGGGFELSPDATVSFPAPAGWSGRLWARTGCVASPSPSSPSGISGAASCSLGGAPPVTLAEFTLGGADGKDFYDVSLVDGYNVGVGVAATGARVNHATCGYAGCVGDVNALCPPELQVSGTNKAAAGGEGAPTVACRSACEAFGTAEYCCTGAHGGPSTCGPTKYSRLFKAACPAAYSYAYDDPTSTFTCGTGAQYLVTFCPGHQ >Sspon.05G0003440-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:7466029:7467650:1 gene:Sspon.05G0003440-2C transcript:Sspon.05G0003440-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEGGRKKTACVTGGNGYIASVLIKMLLEKGYAVKTTVRNPDDMAKNSHLKDLQAVGPVTVLRADLLEEGSFDEAVAGSDYVFLVAAPVNLHSKNPEKELIEPAVRGILNVMRSCAKAGTVKRVILTSSAAAVAGRPLQGGVHVLDEESWTDVEYLTANKSSHWGYGVSKVLAEKEACRFAEEHGISLVSVCPVLTVGAAPATKMDTSLHASLSLLSGDQAAFRVLRGIEMATGCMPLVHAADLCRAQMFVAEEDAAAGRYICCSVNTTIVELARFLADKYPQYTVKTDLLSSGGVLEKPRVSLSSARLLSEGFKFKYKTLDEIYDDVVEQGKAQGILPN >Sspon.02G0028660-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:104237413:104240438:-1 gene:Sspon.02G0028660-1A transcript:Sspon.02G0028660-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:WD repeat-containing protein VIP3 [Source:Projected from Arabidopsis thaliana (AT4G29830) UniProtKB/Swiss-Prot;Acc:Q9SZQ5] MKLAGLKSVDGAHEESIWAAAWAPAADHRPTAVLLTGALDETVRAWLPDDLAALGSPARGHALGVVSLAAHPAGALAAAVSLDSFIRVFDVDTGASVATLEAPPSEVWGVQFHPKGNALAAAGGGSGSVKLWDTEKWQPITSLTVPRPEGARPDRTGSGKFVLSVAWSPDGRLLACGSMDGTIAIYDAVRMKFLHHLEGHHMPVRSMVFSPVDPHVLFTACDDCHIHIYDAKEKSLIGAMSGHASWVLSIDVSPDGLAVATGSSDRTVRLWDINMRTSVQTMSNHSDQVWAVAFRPPGGAGIRAGRLASASDDKSISLYDYS >Sspon.07G0015390-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:55161042:55162225:-1 gene:Sspon.07G0015390-2P transcript:Sspon.07G0015390-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSAPETGNGGSIAERWRELQGEHSWDGLLDPLDLDLRKSLISYGELVSATGAGFNKEDCSPHYGLCMYGPDDLLAKSGVADARYYRVTKFVYATAELLSGLCKETTWMGYVAVATDEGVAELGRRDIVVAWRGTLTLAEAAKDILEFGTVSAEKVLGSAAAAYPSAAVHSGFLSVYTASNANSEFGKTSARDQVLDEVRRLVQLYSDEEISITVVGHSLGASLSILNAVDFVSNGVNKASSGGWPPCPVTAVVFACPHVGNQPFKDAFDSFKDLKALHVKNKIDPVPVLMPWLPDLGVTLPIDTRPEKKAHELECYMHGVAGVQGSTAGGGFDLVVDRDVALLNRFTDALKDEYPVPASWWVAEHKSMVKNEQGKWELKDFEQIY >Sspon.08G0002340-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:3759308:3762040:-1 gene:Sspon.08G0002340-2B transcript:Sspon.08G0002340-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAMAAEPSSPAPAAAANLPTPPYHHCPAPAYEVATPPPKPKPKQSTAGPGLRTPSPSPSVQVSAGHSLHELLLQSPPPPSSRRHRSRTRGAAGTSVDESLEIVEVVGTPTPPRRRRRGAAEQCVAPALASPRNARRARRKLEKDVEAAEEDAARRARRRKSTRAAPKAAAAVLNEDDTSMALVPACPVTTCGTDFVEQSELEGLWERIVELVMWRNVAKSALWLQVENKRSFQLTEEDVLRASQVVLPIANSMISTAQVIFSGEPSMTLKEPVFTGISVEILRDQALEAWKSCPRKKLVAAMVVTMCWNMFSVKTRVMAAFVSLVILRYNQKYHKSNITTEVGSSRDEQGPTMEMDD >Sspon.05G0019200-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:77686537:77689079:-1 gene:Sspon.05G0019200-2D transcript:Sspon.05G0019200-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRRVGAAAAMGEDRIGALPDDLLLHVLSFLPAHDAVRTCLLSRRWRQVWKSLRVLRFTKPQSWGSATKFNRFANSVLFFRSRAPLEELTFETYMYDAINPLLDKDQKNERVKYAQRWIMYALTHNVQVLRVLVNSRLSRPQLRPVPLLRLSEPLISQHLMTLELKSVVLTNNSMDFSSCPALKNFKIRDSKIWVHKISSQSLSRLTVKNCEFSGDICTQILVPNLASLLLDVRSGRLPYFPSAVLLVKAYIRLDQYCRDCCWHSYFEHCSHDTCVDCYGNNDRSAKCALLRGLSNAKNLELAVQFSVYIQNRAAAIFKQDMKLCPIFSNLKTLVLNGWAVACELDALICFLQHAPILEKITLQLNLIKGKWHVGLGESAI >Sspon.04G0019180-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:1899795:1903170:-1 gene:Sspon.04G0019180-2C transcript:Sspon.04G0019180-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALTVVSRGVPCVQSYLPSDTPKGLEDLRKKDLQALRGDGSGERKAFERVYDYDVYNDLGDPDKDPNHQRPILGGSKRFPYPRRCRTGRARTKRDPQTEKRDGHHYVPRDEQFSEVKQLTFGATTLRSGLHALLPAIRPLLVKKELRFPHFPAIDDLYSDGIPLPAQTGLDAIRTVVPRLVKLVGDTTDHVLRFEVPGMIERDRFSWFKDEEFARQTIAGLNPLCIQLLTEFPIRSKLDPEVYGPAESAISKEILEKQMNCAMTVEQALAAKRLFILDYHDVFLPYVHRVRELPDTTLYGSRTVFFLTDLDTLMPLAIELTRPKSPTKPQWKRVFTHGPDATDAWLWKLAKAHVLTHDTGYHQLVSHWLRTHCCVEPYIIAANRQLSRLHPVYRLLHPHFRYTVEINALAREALINADGIIEESFWPGKYAMELSSVAYGATWQFDTEALPNDLVKRGLAVRRDDGELELTIKDYPYAHDGLMVWNSIRQWASDYVSVYYKSDEAVAADPELKAFWDEVRNVGHGDKKDEPWWPVLNTRDSLVETLTTIMWVTSAHHSAVNFGQYHFGGYFPNRPTTIRKNMPVEEEGRDEEMKNRRRRCWTCCMQAIRVMTTLDILSSHSPDEEYMGEFAEPSWLAEPMVKAAFEKFSGRMREIEGFIDECNNNPENKNRFGAGIVPYELLKPFSKPGVTGRGIPNSISI >Sspon.01G0006930-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:18527881:18531776:1 gene:Sspon.01G0006930-1A transcript:Sspon.01G0006930-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRDKVGCSSAPLPLDRVLGALVASAEQLGRRWEAAARVRCGKAAGAAAVGKAEGKGEVIEMHTPLFYATCALGGVLSTGLTHLAVTPLDLVKCNMQVDPSKYRDIPSAFGVMLHEQGPRGLFKGWMATLVGYSCQGGCKFGFYEFFKKCYSDIAGPENAERLRTLIYLAASASAEVIADVALCPMEAVKIRVQTQPGFARCLIDGLPKIVQSEGAFGLYKGLLPLWGRQVPYTMMKFACFETIVEMVYKHAVPKPKDQCSKPLQLAVSFAGGYIAGVFCAAISHPADNLAVRTLGMWGLFTRGLPLRIIMVGTLTGAQWAAYDAFK >Sspon.05G0008590-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:4258976:4260011:-1 gene:Sspon.05G0008590-1T transcript:Sspon.05G0008590-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGQPLHLLLAQPYHPRLAGLIPNHPTHYLPTSRLRLRVHRRKPKQLAAVLSRRPSGSQGDAPGRSGWERVPNAVAALMLQLTVCSLLFLFPNRARVLALPPPPGAAAVVEATQEEEDKEWEAALQKWKTKTYALSVPLRVIALRGSFPPSWIKDFVEAQGKRLKFSPELRANLDGIFSEMSQCMDKGQVQPKSAMAADVISLGDSWLGYAIRKGLLEPVKNAEEQDWFRCLSDRWK >Sspon.04G0006910-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:20747139:20749041:1 gene:Sspon.04G0006910-4D transcript:Sspon.04G0006910-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AMRNPPGRHLLRLSSRAVRSSSSSSALGSGAGASTSAASPRPRAVAGGRPLRASSPPPPSAVAAAAYWESRALRRDGEEGDWEEVVAGAPGTGEMEEEEEEYRVVFWSPPTIDEVTGAVTSIHRVFENPLGQDSDTTDRQRALLSASGHSSGNSSGSDDWIEPAAYVLNSTALLSREHRNVLDAFRLLQKDPTVQVLTGPIPFMYFQCSPKH >Sspon.06G0020070-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:7441958:7443207:-1 gene:Sspon.06G0020070-2C transcript:Sspon.06G0020070-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPEIANQTNPTVMSDTYSFGVVLLELITGKTAIDDSKEREERNLVYWATNKKKNGNFEELLDPRLHGSVSRMLLSEALNVVDKCIEREAVGRHVVSICRMILQAHPHQQR >Sspon.02G0012730-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:27662352:27662932:1 gene:Sspon.02G0012730-1T transcript:Sspon.02G0012730-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MANSLLLLVALVVAGCTALASATTYTVGDSQGWTTNFDYSTWASGKSFAVGDKLVFSYISKAHSVTEVSKSGYDTCSGTNPLSDDESGSTVITLQTPGTHYFICNVPGHCANGMKLAVAVAATPSGTTPSAAGALQVPAMASVVAAAAGAVIKLALF >Sspon.02G0006370-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:19440224:19442275:1 gene:Sspon.02G0006370-2C transcript:Sspon.02G0006370-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAAERLWPDARRKAAVGYLAPVPRRTGGDDFSLQGEGIHDPRYSGRTYKETQAPARRRLTVRELSSSSPPPSLQRVTQVQQSLMAAKRSLLLCLLLLLPSFAVPGHGEPSRGIRLELTHVDARGDLTGPDRVRRAADRSHRRVNGLLAVVSPPAASTSTLRSNGGGGGGGGGAGAATAAASVHASTATYLVDLAIGTPPLALSAVLDTGSDLIWTQCDAPCRRCFSQPAPLYEPARSVTYANVSCGSRPCEALTSLRPSSRCSASASAQVRGCAYYYSYGDGSSTDGVLATEAFTFGAGTTVHALAFGCGTDNLGGTDNSSGLVGMGRGPLSLVSQLGVTRFSYCFTPFNDTATSSPLFLGSSASLSPAAKSTPFVPNPTGPPRSSYYYLSLVGITVGDTLLPIDPAVFQLTASGRGGLIIDSGTTLTALEERAFVVLARAVAARVALPLASGAHLGLSVCFAAPEGRGPEAVAVPRLVLHFDGADMELPRSSAVVEDSVAGVACLGMVSARGMSVLGSMQQQNMHILYDVGRGVLSFEPANCSEL >Sspon.05G0004300-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:16344943:16357284:1 gene:Sspon.05G0004300-3D transcript:Sspon.05G0004300-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSLARSPSPGAALPAPRVAHFALPLLPLRSPRRQYQALRLRLRVAASSPPEAQAAAPVAEEGEEQGEKRRKLYVANLPWSFPAPEIEKLFAQHGIVKDVEVRVGGAIDFRERNAVCSGEPLRPRVIKGKDGRNRGFAFVTMSTAEEAAAAAEKLNSHDVMGRTIKVEFSKSFRKPAPLPPLGTIIERHKLYVSNLPWKARAPNVKEFFANFNPLSANVIFDNGKAAGYGFVSFGTKEEAEAALTELDGKELMGRPVRLNWRESGDDKVEVAKADSEVEVVNIEGASIDHASTDGDGHLVFLI >Sspon.04G0009430-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:27836322:27845859:1 gene:Sspon.04G0009430-1A transcript:Sspon.04G0009430-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKFLVAAPPHSGEEPAPLPRRHRWRRITVELDGRIDARFRHRESRLLLNSFAEILYLNREGVSAIEFDRKGIYLASVTLSGCLTVHDFETLYCSKYGPSCSMPDESSNYVLHISNRIPLNAVRWNPGNQDEIACTSSQSDKVFLFDIGYVSSAPTEVLHKGKSKFPALSESCKSLTDITFTSDDKSRIFASGLDGAVYMWDRRSSKTHCLELMASPESQFNTVKLTVDNRTVFGATKNGTIHVWDLRGGRASAAFQSHNEVQQLLSVKLSTLLGKIASLKEQSNIVSSPILSIDFNPSCSYQLAFHLDDGWSGVLNVNNLNGEYGLGSAKPVKKANLAADIFFGSSSYDGIYLLDFHPDTSSACHVDYKEETRVSEENQPAENKFVPLSQRVLSCAAHPLSHTIIAGTQFSSLLMLSQKHKTIRNPES >Sspon.07G0009210-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:25695078:25696239:-1 gene:Sspon.07G0009210-1A transcript:Sspon.07G0009210-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPSVLQYLKFFVLFAFGVVTTSHATDQDVLATLNKLPLDGHFSFHDVSTAAWDFGGLSSFMPAAVLHPGSVDDIATTVRHVFLGGEHSMLTVAARGHGHSLRGQCQAAGGIVIKMESLPNARMQVHAGASPYVDASGGELWINVLHETLKYGLAPKSWTDYLHLTVGGTLSNAGVSGQTFRHGPQISNVNELEIVTGKGDIITCSPEQNSDLFHAALGGLGQFGIITRARIVLEPAPKMVRWIRVLYSDFTSFAEDQEMLISAERTFDYIEGFVIINRTGILNNWRSSFSPQDPVWTSQFESDGRVIFCLEMTKNYNPEEADNMEQ >Sspon.04G0021150-3D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4D:82078692:82080002:-1 gene:Sspon.04G0021150-3D transcript:Sspon.04G0021150-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEADNKKMITLRSSDNEEFEVEEAVAMESQTIRHMIEDDCADNGIPLPNVNSKILSKVIEYCNKHVHAAAKPADAADANAAAAAGGGEDLKNWDAEFVKVDQATLFDLILAANYLNIKGLLDLTCQTVADMIKGKTPEEIRKTFNIKNDFTQEEEDEIRRENQWAFE >Sspon.01G0004000-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:10134238:10142868:-1 gene:Sspon.01G0004000-2C transcript:Sspon.01G0004000-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDRRVLLVASPEVAIFGYDGIVSFASVQDAVDAVPLNNQVRTIIRIGPGVHRQPVHIPKTKNFITLYGSPIKDTVICWDNTTTRIKHTQSSQEIGTGTLNSATVIVEGDDFIAENVIFKNSAPQASGQAAAVRVMADRKLYICMVESSSSKTVTLKILLPFWSIAISTAKQQDILLLMAANPLQNQLDFVLTSKRQELAYQSIFQTVFTISFYFLLRAYVPNDMAPSRCVITGNGEAAYMYLGRPWEPFGRVVFAETFMDHCIEPVGWHNWDKPENEQTACLYEYRCSGPGSSLSERVSWCKELFGDEAIPFLIQTFIDPDIENPWLVHRLGTQVPVSASSP >Sspon.02G0022580-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2B:75027643:75029518:1 gene:Sspon.02G0022580-2B transcript:Sspon.02G0022580-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VFKEAINLDDYPTLIEEARMILKKCNGLPLAIVTIGGFLAKQPKTPIVWRKMNEHISAELEMNPELGIIRAILMKSYDGLPYHLKSCFLYMSIFPEDYSISRRRLVHRWKAEGYSSEVRGKSTGEIADGYFMELIERSMVLPSKESVWSIGSRKGISSCKLHDLMREISISKAMEENLVFRMEEGCSLNTQGTIRHLAISSNWEGDQSEFESTVDLSRIRSLTVFGKWKPFYISGKMKLLRVLDLESTSGLVDHHLEPIGKLLHLKYLSLRECDGIFHLPESLGNLKQLETLDVTNTRIIKLSHAITKLRKLQYIHAGRLYNSFFGASSYEEFMEDIPKLIRNKLCLWTLILIGFCSLSCSLKRGKSAIPINDDDPINRRDVNTFYCCALLPFLARFADPIGVAVPRGLRKLKALHTLGMVNIAPGKAILQEIKRLTRLRKLAITGINKKNCQEFCSTLAHLSSLESLSVHSEEEEGLRDCLDSLRTPPENLQSLKLYGSLGKLPEWVTGLQNLVKLKLARARLTELDGSIQVLGKLPNLAVLRLLAYSFTAKGPRHLSCRREAFPSLTALETGMFSRTVSVLFEEGTAPKLEVLLARGGISFSGLSCLPSLKEVMIRNDPELVR >Sspon.02G0022790-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:79035647:79041038:-1 gene:Sspon.02G0022790-2C transcript:Sspon.02G0022790-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPSRSRGDYDHLIKLLLIGDSGVGKSCLLLRFSDDTFTTSFITTIGIDFKVRTVELDGKRVKLQIWDTAGQERFRTITTAYYRGAMGILLVYDVTDESSFNNIRNWIRNIEQHASDNVNKILVGNKVDMDAKRVVSTAQGQKLADEYGIKFFETSAKTNQNVEQVFFTMARDIKQRLTETVAAVTEPPTIQISRPDPDQAGAASSRWSSCCNT >Sspon.01G0059810-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1D:68751511:68752341:-1 gene:Sspon.01G0059810-1D transcript:Sspon.01G0059810-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHAVAEKTGGTFSFVENQAAIQDSFAQCIAGLLSVAMQDLRIDVACVHPGVRVLGAKSGRYVSRVDADRRAASVDVGELYADEERRFLLFVRVPAAEATEEINRLIKVRCSYKDILTGCLESVAGDDVVVLRPVQVTDGDTELSMEVERERVRVAATEDIAAARAAAERGDHTEAARILENGQEALRRSGPGMVKDPTCAALDDELSDIRIRVANPWAYEETGRAVMLAGMSSHRQQRASSVAVRRPDSCRGSRGARRPYATPAMQNMVEISRSAR >Sspon.03G0026720-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3B:3682444:3682626:1 gene:Sspon.03G0026720-1B transcript:Sspon.03G0026720-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VLGAATMVSQTGKHPGQLKDQVTSPAGTTIAGIQELEKGAFRGTLISAVVAAAKRCRELS >Sspon.05G0039550-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:72322443:72332716:-1 gene:Sspon.05G0039550-1D transcript:Sspon.05G0039550-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALQVTPQEMGMCGGGFLLAYAREVVSSGMGLWGWLWGRRGPSGFGSASTAEEVTAGVDASNLTAIVTGATNGIGKETARVLALRGAKVIIPARTLESGLKVKESLAEQVPSSKLHVMEMDLSSLSSVRDFARSFDSSHEHLNLLINNAGIMACPYQLSKDGIELQFATNHVGHFLLTNLLLDKMKSTARETGVQGRIINVSSIAHKRSDGTCFELNKLNDKARYQLFMSYCHSKLANILHANELSRRFQEEGYNLTANSLHPGMIITNIISYGAATTCYLALHPNVKDVSGKYFADCNEATPTAVARDSELAKRLWSFSEELVGINADMSQTTQARATSGIGKETARVLALRGAKVIIPAWTLESGLKVKESLAEHVPSSKLHVMEMDLSSLSSVRDFARSFDSSHEHLNLLINNAGIMACPYQLSKDGIELQFATNHVGHFLLTNLLLDKMKSTARETGVQGRIINVSSIAHKRSDGTCFELNKLNDKARYQLFMSYCHSKLANILHANELSRRFQEEGYNLTANSLHPGMIITNIIGYLTNTNVCLTDALISVLSPVANLVAKSIPQGAATTCYLALHPNVKDVSGKYFADCNEATPTAVARDSELAKRLWSFSEELVGINADMSQTTQASEEEDVQVKDVFQAK >Sspon.06G0004270-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:10812107:10819323:-1 gene:Sspon.06G0004270-2D transcript:Sspon.06G0004270-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMYEYDSMDGARIIAYFKGKSILITGSTGFLGKTYVCGDRDGLHLEKPIKPGESLREGRYLDIDAELQLVREAKKELMDASDDEHKKTERKAMKELGIQRARHFGWSNTYVFTKAMGEMLLGQLRGDMPVVVMRPSVITSVREDPLPGWMQGMRTIDTLIIGYAKQNLSCFLGDLSVVVDVIPGDMVANAMMAALVAHSEEKAAEAVPVYHVTSSLRNPVAYSVLYESGRRHFYQNPRVGKDGKVIPTREMRFFPTIAQFHLYMLFTFKLPLEILHLVNLLLCGLFSRLYNDLNRKYKFVMHLLDVYGPFAFFNG >Sspon.05G0018260-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:83286043:83289599:-1 gene:Sspon.05G0018260-3C transcript:Sspon.05G0018260-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRVSSHVSLASEAEINLDLSRLIIDRPQRFTLERKRSFDEQSWSELSHSHSHRNNDGFDSVLQSPAFPSGGFDSPFSIGTHFGGGGPHPLVNEAWEALRKSVVYFREQPVGTIAAVDHASEEVLNYDQVFVRDFVPSALAFLMNNETDIVKNFLLKTLHLQSSEKMVDRFKLGAGAMPASFKVDRNKNRNTETLVADFGESAIGRVAPVDSGFWWIILLRAYTKYTGDVSLSESPDCQKCMRLILNLCLSEGFDTFPTLLCTDGCSMIDRRMGIYGYPIEIQALFYMALRCALQMLKPEGEGKDFIEKIGQRLHALTYHMRNYFWLDFHQLNNIYRYKTEEYSHTAVNKFNVIPDSIPDWVFDFMPCRGGYFLGNVSPAMMDFRWFALGNCIAIVSSLATPEQSVAIMDLIEEKWDELVGEMPLKICYPALENHEWRIITGCDPKNTRWSYHNGGSWPVLLWLLTAACIKTGRPQMAKRAIELAESRLLKDGWPEYYDGKLGRFVGKQARKFQTWSIAGYLVARMMLEDPSTLMMISMEEDRPVKPTMRRSAS >Sspon.04G0020600-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:78850560:78852972:1 gene:Sspon.04G0020600-3C transcript:Sspon.04G0020600-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSTAYSRSSKLPGGGPERRLPPRLMRSLTSKIEPKKLGVGLVAGCCLALLTYVSLAKLFAIYSPVFASTANTSALMQNAPPTSSKPSVPETETIPPQETLGGGDSADPVDPREAVTGSEEPGLPEAAVTRKDMAGSDEPGLPTRKDDGDNVAAEPTNKPSAAAEDKKEGDDGNGSGQGKMTCDENGVDEGFPYARPTVCQLSGDVRVSPKQKTVYLVNPSGAGGFDESGEKRLRPYARKDDFLMPGVTEVTLKSVPSAAVAPKCTKHHAVPAVVFSVAGYTDNFFHDMVDAMVPLFLTTAHLKGEVQLLITNYKPWWVQKYTPLLRKMSLHDVINFDAEDADDVHCFPAGAFVGLYRDRDLILSPHPTRNPRNLTMVDFGRFMRGALALPRDQPVALGEKPGMRPRMLIISRAGTRRLLNLDEVAAAADKLGFNVTSAEAGADVPAFAAQVNAADVLVGVHGAGLANVVFLPTEAVVVQIVPWGKMDWMATNFYARPAAGMGLRYLEYYVGEEETSLKDKYPREHAVFRDPMSLHKQGWQALAQTVMKQDVAVNLTRFRPVLLQALDKLQQ >Sspon.05G0029410-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:78550311:78551825:-1 gene:Sspon.05G0029410-1B transcript:Sspon.05G0029410-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSRIAVHRFAALSLLTCQASNALRPIINRYFAPTCSHRRLLSNSPVATAAAAAAKDRCWVMLSTYGRRRRLDDSSSVTDDVNTVAESCTSKDRRVRVSLRCAAPPSSSYLHYDFPESARVDEGDHYRIRVVAAHGEFVLLEMHHCGSSSFVDHFVYRPAGPRRPPSLSLLPVPDFLTKSESVFPLDVPDLFPIPDFLTKSESVIPPDFNPRIRPSLDSGSIGLLRQGENDLLAVQVKLLDDYHARRQTVEFSVLRPGVRRWELTEPVPILHGEDEGHWRIMLGTLKDVICVDDRFLCWVNYRYDFLLCDMADDAGPKVRYVPLPPEVRRCSYGNDSPMRVGRMGAAGDDSVRFVSIHPYSCIRDHNDLCSRDDSDCWCIVSDRTTLQHPCFVYTIKTWTMNLSMDEPLEWMEDGEMDSEEIFALPGYQGLPQAIPEWPVVCLDKPNVVCFLVSNYYFTKDDKKLWMVRLNINTKTLLSVIQFTDTEDCWYWKKYHHLPAEIQH >Sspon.01G0028710-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:97414981:97418439:1 gene:Sspon.01G0028710-3D transcript:Sspon.01G0028710-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAGGNMAESKVASVDARTTKIRNVPIAVTPEGFWCCPSQAVLQKTAKNQNQQAKTKPKGGASPLASKASSIQRAPTISSEKRTHSTPTRSKINSEEQRCLPAEDAATNPPKAVNERPQKQHKISVGFGQLEMSDLKVVLYGKDGVAVKMSVHKNILAENSTFFADKLSRQSPVSSIEVPDCEDVEIYVETVGLMYCSDVKQRLIKQTVPRVLRVLKVAELLGFPACVMSCLDYLEAVPWVGEEEENVVSSVRNLQSENYGVSPVLKRVTSDLTTPPNDTFSHIIELVLRSNEDRGRREMKSLVQKLLKENSTSCISGSSDLCSETLYRSCRNCLDSLLILFQQATDGDFAEQALNIKEPVFRQIALEADNLLWLAEILADRNAADEFAVIWSSQRELAGLHSKLPVKSRHLVSCVTARLFVAIGKGEMLPSKDTRRLLLDVWLQPLMDDYNWLQHGCRSFDRKVVEEGIGRTILTLPLEDQQTILLSWLGSFLKVGDSCPNLQKAFEVWWRRTFIRPYAEQQGNRSQSGRN >Sspon.04G0022590-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:12433899:12435284:-1 gene:Sspon.04G0022590-2C transcript:Sspon.04G0022590-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTPVSRYRLRPRSVRVAVSEIPLATRRTARQPQPVPAAADATTEPAIPSNFLCPISLEMMRDPVTAPTGITYDRDSVEGWLERGHSTCPVTARPLRAEDLVPNHATRRMIQEWCVANRALGVERVPTPRVPLSAADAAELLAAVSAAARRRDAPACRQLAARVRALGKESDRNRRCLAAAGAARALSSAFSQHVDQPALASSLTTSGGALDEILAALVVFFPLGEESRSHIASPASLNAVVSILSHGETTAKASAAVVLREIASSSDPECLGAMSETSGIHNALISLLQRPVSPQATKAALVTAYYLVTNSGLAASRLVDLGMVELLVELLVDADKGTTEKALAVLDSLLLTEEGRGKACAHALAVPVLVKKMQHVSDMATEFAVSALWRLCKSFSGEGPCKAEALQLGAFQKLLLLLQVGCMGVTKERASELLRLLNASRDGVECIETVDFKGLKRPFS >Sspon.07G0000940-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:1986354:1991759:-1 gene:Sspon.07G0000940-1A transcript:Sspon.07G0000940-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calreticulin-3 [Source:Projected from Arabidopsis thaliana (AT1G08450) UniProtKB/Swiss-Prot;Acc:O04153] MGRLRDGRAYLHRALVLSSLLLLASGEIFFEEGFDGIQTTGDAKHFAISAKFPEFSNKGRTLVIQYSLKIEQDIECGGAYIKLMSGYLNQKKFGGDTPYSFMFGPDICGDQKKKLHLILSYQGQNYPIKKDLKCEADKLTHFYTFILRPDATYSILIDNREREFGSMYTDWDILPPRKIKDVNAKKPKDWDDREYIEDPDQVKPEGYDSIPKQIPDPKDKKPESWDDDEDGTWKPRMIPNPEYKGPWKRKKIKNPNYKGKWKTPWIDNPEFEDDPDLYVLKPLQYVGIEVWQVKAGSVFDNILICDDPDYARHVVDETFAANKEAEKEAFEGAEKKRKAREEEHGEHGRKGKDEGGRGIGTVAGTVTGIDISVIDTTTTMTSYRSPVLLKSARYWLSMELGLDDCVNKVYHFRAGTDV >Sspon.01G0016550-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:54265454:54267311:1 gene:Sspon.01G0016550-1A transcript:Sspon.01G0016550-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVNSTSASFASALTNVLPALTFVNAIILRMERIEIKERRSQAKIAGTAITVGGALLMILFKGPIVNFPWSKHVNGAVSDSGAHNSGHWLMGTFMILLSCFCWSAFFILQSYTLSYPCGLSLTTLICAMGATESGAVALVMERDTKAWSIGFDMRLFTAVYSGIICSGVAYYVQGIVIKERGPVFVTAFSPLCMIIVTVLGSFILSEVVTLGRLIGATVIVVGLYALIWGKNKDHVKFVDNNNKEENCFEKHKTFELPFSTSDVNKTSSLGNI >Sspon.01G0028020-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1B:64250487:64251077:-1 gene:Sspon.01G0028020-2B transcript:Sspon.01G0028020-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLARIIFCVVIAAAVLAVVLLATVSPLPHRSGGHNKGAPGQRERTFTVYIHPTVSAPVVQQEQQVQERRRGASALVFHHRMTAGPESTSTTVGAASGFLLPAGERGAVASVFDTVHLAFDGRAGLSGSLCVETSDEKARRGGRRRHDGEDEVLRVVGGTGAFAFARGHAVLRGLRPRPGATAAALLLDISVSSAGS >Sspon.07G0005870-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:15365824:15366449:1 gene:Sspon.07G0005870-1A transcript:Sspon.07G0005870-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding PYTALRPSPQQHSRVTGASGGAKAALAAAASHGASCAAVPAEVDRGARGRRVVRGAPLRPPAGVQALHPELPPRGRRRRRGRGGRCERCGSCQASPPPAAASGSRSSTTSAACSASASSAASTASPTTGPSPQCTRPTPAAPSSWSRTWWTCPPATPRTRRACSSTPSCDATSSRWRAPPSASPWPSPR >Sspon.05G0000190-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5A:1040277:1041483:1 gene:Sspon.05G0000190-1A transcript:Sspon.05G0000190-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVATVRRQRCFLLVLGTAIASLFGAASGAALVGDSCKASSTPDGGGGCGKGLRCTTCVPPPGTGPAACARTTPIDPKTHGTGLPFNKYSWLTTHNSFAVVGTKSPLGSAIISPPNQEDSVSEQLKNGVRGLMLDAYDFNDAVWFCHSFHGRCLPFTAYVPALSVLTEVRVFLEANPSEVVTVFLEDYAAPGSLSNAFNAAGLSKYWFPESLMPSPSKGGGDWPLLKDMIADDHRLIVFTSKKGKQGTEGLAYQWDYVVETQYGSEGMSDGGCPKRSESRPMDSKAQSLVLLNFFTSNPSQSWACRNNSAPLISRLNACYQAAASRWPNYIAVDFYM >Sspon.01G0052900-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1C:27801993:27802343:1 gene:Sspon.01G0052900-1C transcript:Sspon.01G0052900-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPSATAVFVRPPSALTHSIPRRPHPHRLENSIVWLTHDVAPQLSPSPARPRTTPTPPGQSDGAAGRRGGGHHGGCVMGRGVAGGSRPLQLGGYAKLEACVRMVEHAGLRTQAVM >Sspon.07G0022590-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:9461689:9467030:-1 gene:Sspon.07G0022590-1T transcript:Sspon.07G0022590-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSRWPHLLLLLLLPLLLPPSSGVAAAAGVIRLPTGGRAGALACAPRDPAVYDRPVIGIVSHPGDGAGGRISNTTATSYIGASYVKFVEAAGARVIPLVYNEPEERLREYVLDKNDAGEPFPLFAQCLGFELVSMIVSKDNNILETFDAQNQASTLQFPSYALEGSVFQRFDPDLIKKVSTSCLVMQNHRYGISPKRYRENGALSSFFKILTTSPDENGKKAIFEWRKPMIPHSEDAVQVAQHFANHFISQARKSPNRPPADKVLDNLIYNYSPTFSGKKSKSFEEVYIFA >Sspon.06G0032600-2D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8D:36496470:36496976:1 gene:Sspon.06G0032600-2D transcript:Sspon.06G0032600-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VSRRFRRDCHGLPVEFEIDSSLGITNRQQVFDLGIGKYNEACRSIVTKYVSEWEAVVTRSGRWIDFKNGYKTMDLNFMESVWWVFAQLWEKDLIYKGFKVMPYSTGCKTALSNFEATQEYRTVPDLAVMVSFPIIGDADNSALVAWTTTPWTLPSNLALCVNANLTYAK >Sspon.05G0019130-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:83844245:83847507:1 gene:Sspon.05G0019130-2B transcript:Sspon.05G0019130-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MYTNLHYHHHCEKEHLFEKPLTPSDVGKLNRLVIPKQHAERYFPLSGDSGEKGLILSFEDEAGKPWRFRYSYWTSSQSYVLTKGWSRYVKEKQLDAGDVVHFERMRGFGMGDRLFISYRRRGESATVAAAPPPSAVRVVAPAQSTGGEQQPWSPMCYSTSGSYPTSPANSHAYRHSVDHDHSNMQHAGESQSDRDNRSCSAASAPSSRRLRLFGVNLDCGPAPELEPDTPTAINPTYSMGSGSRHAWEVSGNIKLLAARMQNLGLPKKEYNDNAMMVGH >Sspon.06G0002890-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:6522728:6527879:1 gene:Sspon.06G0002890-3D transcript:Sspon.06G0002890-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:65-kDa microtubule-associated protein 8 [Source:Projected from Arabidopsis thaliana (AT1G27920) UniProtKB/Swiss-Prot;Acc:Q9C7G0] MGSLKMTEKAPGAALPESSCAYLLQELKMIWDEVGQDQNERERILEELEQECQEVYRRKVNSANMSRIQLHQALAESEAEFTNLLLSLGERSFPGRPEKMAGTLKEQLNSITPALQEMQMRKEARVKQFMEVQTEIHRIASEIAGRLGNEAVTVNEEDLSLKKLEEFQSELQRLKREKSDRLCKVEEYKVLIHNFAKVMGMDPSKILANVHPRLLDGPNEQQTKNISDEILNKLNMTVQQLKEEKSNRREKLQNLVKALTNLWNTLDTTMEERQPYGQILAMTSVNGMLGPGSLTLETIQQVESEVQRLNQLKASKMKELFLKKRAEVDEICKKSHMDMPYQTEMDKIMNLIMSGDVVHDDLLKTMDEYIYKAKEEATSRKDIMDKVEKWMASCDEERWLEEYSRDERRYSISRGAHKHLKRAERARIIVNKIPGLVEQLMAKTQFWEQERNKIFYYDETARHGRNGIMFKPAKLYISFLYIEKQARGSLLGQTYSLALKRGGVKKESEIIGGQCMRDTCCHCKVKIDKSIRLHSTIKSHAIPGREPKQLPLLAMLKDYMFTLKEKEEEKYRQRENKKIQTLLEKRHENSIMLRPNTSFSRPSSRGFNMSPGSTSIWTSQVSAKVQLPDSENSPAEKNMRAKRIRNREGVKDAN >Sspon.01G0044330-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:74410667:74414275:-1 gene:Sspon.01G0044330-2D transcript:Sspon.01G0044330-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPLLTLTSLLFLVHSAAAAGDTRRALHEPLFPIEWTPPPSTTAPPAPGFAADPSTPVPPVDNGGPALLPAPPPPNTVAADASSSSTGPAPRLHGGGGGTPKATIVVASAAVAAVLALLAFAAAFLLTGRLARRHPAWAHKPPGLAAATHPGPASAVVLHADALGTSAAASSSGAGATPYRKARSERARRGMCRDVDTVPSPELRPLPPLRRAGSSDEDAAYYTPGQRSAGSAGGEGAGTWSEATASSPRTTTPSRRSLPSLTSDFFPPTPAAAVPAATVAPPPPAPPAPRSRRTQPRTRFSAGSASDMIKQMVSPPSNPPHPPPPPPPPPPPAPRCTNAIPKPPPPPREPSAAPLSTRRFHKLEQTEGPSVAVPRAPVMAVNKDNDGMPIRAHDDPASDEARPKLKPLHWDKVRACSDRDMVWDRLKSNSFQLRYILRTETRLKSLKIVMLTRVSGRLDEDMIEVLFTNNAANAPPRDTLKKPGVPQCSAQEKVLDPKKAQNIAILLRALNVTLEEVSDALLDGNAECLGADLLETLVKMAPTKEEELKLRNFTGDLSKLGSAERFLKALLDIPFSFKRVDAMLYRANFESEINYLRKSFETLEGACDDLKGSRLFLKLLEAVLQAGNRMNVGTNRGQAKAFKLDTLLKLADVKGTDGKTTLLHFVVQEIVRSEDAKSEKAVEDEARNIVKDEMFRKQGLKVVSGLSNELGNVKKAASMDFDVLHSYVSKLQAGLGKINSVLLLEKQCTQGQNFFARMRDFLKEAEMEIKQVRCDEERALGRVKEITEYFHGDAAKEEAHPLRIFMVVRDFLSMLDHVCKEVSQQDRTVVGSARSFRMSAITAMANLKMYGQHARDDDDEDSWSL >Sspon.04G0021480-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:387743:390390:1 gene:Sspon.04G0021480-1B transcript:Sspon.04G0021480-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding PGMGRGGGMGNPVNVGIAVQADWENREFISNISLNVRRLFDFLLNAEATTKSKLATLNEKLDILERKLEVLEVQNRKDKMEFQWPVGKKSMEDVALVLYPLATTQLVTVPLSGPFGFSESPPAVGCCCLLSSSSSPRGHTAGRGQGGCLLQEILLHVQLSMRK >Sspon.01G0018280-1P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1A:92315468:92316568:1 gene:Sspon.01G0018280-1P transcript:Sspon.01G0018280-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLASAVRVFLAYCLLLHGCMGSEEAGGAFDQGCHGVSLTHLDEARAPSRCAARPSPSGPAILPPKPLLVAAPREAGEYPVLLFLHGYLAVNSFYSPAVPARGLPWLHRRRTSAVHHIRARHHRGDQRSGGRHRLARHRAPVRSATRRPRGPNQGVRLRPQPRRQGGVRAGAGPRQGQARAPSRRPRRRGPRGRHGRGQADATAGPHGQELLAARGGPRHGHRHGARRAAPGPPAPAVRAPGRQPRGLLRRAGPRGAGVPPGGQGLRAHGHDGRRHAGRQGDAHAHRLQERRRQGAHAPVRGRRHRRVPQQVGGRGRRGDGRHQGAAGPGPRRAVRGGVSGWETI >Sspon.01G0022830-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1C:81856857:81870169:-1 gene:Sspon.01G0022830-2C transcript:Sspon.01G0022830-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRGA >Sspon.08G0010850-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:47798142:47798805:1 gene:Sspon.08G0010850-1A transcript:Sspon.08G0010850-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MCCTSRQNIIPYHLKQIAEVLVGGIVDKEKLDSFNLPIYGPSADEVTKIVRQSGLFNIGHIQLFESNWDPYDDSEGDLVPDSIQSRVNVARSLRAVMEPLFASHFGEQILDELFKRYACNVAKHLEKEKTKYSNVKVNIVSVDPVKD >Sspon.07G0017310-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:84490579:84494233:1 gene:Sspon.07G0017310-3D transcript:Sspon.07G0017310-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDPAPSSAAPDPPPFPPPHPQQPEEEGDGWVIVPASEVEGAHAPKVIHWDDLQQELARLWSLSAALQPAGDRKAHLAARLESTLQARQTFLEQDNELAEMRQRLQEHTDRLGDLKMRTKKLSEDVVDQREQLCVKIRTLSVASKALDAAHSNLKEANKLLSGENGCGRLKNLEQKLRMRQQYMIAQVSQIYPVRPLDEQSSDHKPGFTSNITKTRNAESVLPNGSQNRPLVILGLQLSKLSVKKTGYFSDKTEVQKSSTVLGYAAHAVSLIASYLNVPLRYPLRFGGSRSYVLDPAPSVEPSSITSVATSVPPSTSMRTMEFPLFLDSQETTRSAYAIFLLNKDIEQLLNYIGAESLGPRHVLANLRQLTRIIQSQEYISVD >Sspon.02G0055910-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2D:14631016:14631470:1 gene:Sspon.02G0055910-1D transcript:Sspon.02G0055910-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGHAGGPRWKLLRPSSEPVAGRAVSPTPIIRGRKKTAAGPRTQLSARSYSWWVTDWPASIPRRGRLAACTGSPAAMRQRGSLWPQLVGSQRGHQPLFVMRLSVKGRPGANPCQSQHQTSRSGYSK >Sspon.08G0010630-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:41637267:41640539:1 gene:Sspon.08G0010630-2B transcript:Sspon.08G0010630-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKLVAPSVLPAVVAVVVLCACTMPARGGDDYTAFVYAGCSQGRYDPGSQYAADVDTALSSLVNSAGFTAYGNYTSPPSAASTGQLAGVYQCRSDLPAAVCGSCVKSAVSKLSSLCNTAAGAAVQLRACFVRYGNDSFLGKQDTTVLFKKCGGENAGDTGVVAMRDAALGALVAAAAPADEGSYRAGAAGYVQAMSQCVGDLGAKACTDCVSAASSQLKAGCGYASAGEVYLGKCYARFWSNAAGGSGTGGGVPVVGGGAGTGGGNVIGGGGGVGGGAAGNGYAYGGFVPNTYGQHDESGKTLAIIIGLVAAVAIVIVFLSFLRRAGGVGGKIYLHKLRSCQFLHYSLFLVSMAFIH >Sspon.06G0011360-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:48800872:48803955:1 gene:Sspon.06G0011360-2B transcript:Sspon.06G0011360-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHHRPSSSAAAGSLLPLSPADGFLRVKDGVDGMIKYVANEPSVGLYFVQQHARASMPLLLDVKGKLAEKTHEVTLHTEDMEDSICAVRSMADFGLPLADDMIKDINRSLQIMSKTQPKRGLIQNPSWGFQSRKSSGTWDELGTTNGSSSRNYFSSMFNTAKQKASSLRWPQPDFTTKDDGSENSVSSAAPDSSQAGGQGASTPDTEKDEIPISSRLSDGTTATNRSLPATGVSETVEDYNKFKEEQELKLQEWLRDSEEAVGDKD >Sspon.03G0012860-3C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3C:52652031:52654629:-1 gene:Sspon.03G0012860-3C transcript:Sspon.03G0012860-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heat stress transcription factor A-2 [Source:Projected from Arabidopsis thaliana (AT2G26150) UniProtKB/Swiss-Prot;Acc:O80982] MASSSSCPLAPGPSGSNSKPRTARASGVGFGGVAMARSVAAVKLEPKPEPWAAGAEEGSSVVPRSMEGPPLPAPFVAKTYEMVADAATDAVVSWAPGGAGNSFVVWDPQALAAGILPRFFKHANFASFIRQLNIYGFRKVNPDRWEFANESFLVGQKHLLKNIKRRRASKPPMEAQPRNCASACLGPPKDPSEVESLKRDHAALRAEVITLRQQYSICKSQLVALEERILNNERNQQRAIAFFAKVLSNPAFVQQVLLNYAKEKELRGASKRQRLMENEEQWHGDLPLRSSTEAAFATVAAGVSAESSDGGITAKQEPGPELNGQEMEGIWYDVWDELDAIPGAEMDRREADKAVAGFDVEDLSGRPCCWDDDCSYLAEPMQFVEHYE >Sspon.08G0014460-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:56494626:56503571:1 gene:Sspon.08G0014460-2D transcript:Sspon.08G0014460-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKAKGKGPKFAAVKKIITKKTINKYKEDVLNHKKKDADREKLGRNVPQVSSALFFSYNTALGPPYRVIVDTNFINFSIQNKKYRVALRIAKDPRFQRLACTHKGTYADDCIVERVTQHKCYIVATCDRDLKRRIRKVPGVPIMYITRHRYSIERLPEATIGGAPRI >Sspon.06G0001620-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:2737567:2739981:-1 gene:Sspon.06G0001620-4D transcript:Sspon.06G0001620-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AGL26 [Source:Projected from Arabidopsis thaliana (AT5G26880) UniProtKB/TrEMBL;Acc:A0A178UI04] MEAGLRALGHRYRGGGVSLGGFAAVRAIRIRRQRRAAAFCSREWQEIASPPGSRSGVPGSLTSPALANVSAVAVGGDGNGAAGGPVGSGVEVERAMRMLQVVLVSPLIPGNTGSIARTCAASAVGLHLVGPLGYKVDDTKLKRAGLDYWPYVVVKIHDSWDHFCDYFMKQVMNSLFSPSSCSFDPIRREIKGCWHSPKEAHRSIQPGDWLVFGSETKGLPQQALEDCCKEGLGGGTVRIPMVETYVRCLNLSVSVGIALYEAARQLNYEQLHYQPELPQEAQGLFPAEDIYA >Sspon.02G0020610-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:62684573:62686519:1 gene:Sspon.02G0020610-4D transcript:Sspon.02G0020610-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAALANPIPAITAAGNDDEDPDLISLLPDCILTTVLSLLPLPAAGRTQVLSHRWRRLWPSAPLHLLDSHLPVPASSLSAAVSRILASHRGSAVRFHLLIARPSTSDFDSWLRSLAAKNLQELALRLPPSFLSFRTLRTAELTNCRLPEDGAGGGEVYFPHLSELTLRLASVPSSAALHGLLVGCPEFASLSLDRVFGCRTLRVRSLSLRSLTVSVSLTRRRVQEWGAAELEHLVVEDAPALERLLAHDINWGPSINVVRAPRLQMLGYLGIGIPELQLGSALFRSMCAVRLAAELRCVRTLALEMAEPQLKPVADFLKCFSCLETLYVTSHMVVPRSMEILNHEMDDDRIECLHHHLKKVVLKGYRGRKHEMQLASFLVRHARVLQVLKFLCENDCSTKWLTNQKRQLQVDSRASLGAQFVFAKFSKSYIRFLKPAMKGDKVIERIVSL >Sspon.02G0002310-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:7425530:7426695:1 gene:Sspon.02G0002310-1A transcript:Sspon.02G0002310-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SASAPHDLRSVQALFDTASCRCKWDDHARRTPSLPWPVRVQLAALALAHRRDGSIRRLLFSLGDLKSGATSRPDASGVRSADVTIDASRGLWARVFSPSPTADAEPAPVPVVVYFHGGGFVLFSAASRPYDAFCRRLCRDLRAVVVSVNYRRAPEHRFPAAYDDGVAALRYLDANADSLPVPAHVPVDLSSCFLAGDSAGGNITHHVAQRWAASSPPPANLRVAGTVLIQPFFGGEERTAAEVALDGVSALSVAATDHYWREFLPEGATRDHEAARVCGEGVELADAFPPAMVVVGGFDLLKDWQARYVEALRGKGKPVRVVEYPDAVHGFHAFPELADSGKFVEEMKLFVQEHRSTNTERAAEFDVKLVQN >Sspon.07G0014990-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7A:53884477:53888869:1 gene:Sspon.07G0014990-1A transcript:Sspon.07G0014990-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VPGDGVSVACAPWASLSHARLQEEVPVQRDSMRGRLQHRPTPPLEGAAWRDLATALGHDRTFQESRSKPRENVMSKIPNPGKESPDLAATDLMGQESAAGTTSATARDEECKRTVGSGPRHPLRRPDGAPRPPPRGSLPPMPPPRGAPRRLRRRPEVLPVVCTGALKGSSTSALQPQPPVPSPPKGLLDVRPRHHSRRPKDQRGIMDRESLAKEWVEVVKGSSRSVPVHDQKCMFPPQLSHRFMSAGLASSPSRDRRGQVSLSSGPQPSKVPMQYVSFADYSLRCLGVTPLPPITIPWSRSWKISAPEFDADSVSPPPSPVVVPAERVEQRFASFGEFASAVLGIRSSSLVTHIAWEDKTPTVTVLPSSSSQASMAYRFIDPVPFMPNGAQRVMIPGRPLMKRVITGPIQERNNDVAIALLQPLPQHHIDFTDIREVLIDFLNANDIPYLTIQRCPFGQAYVRFSYLHHRDFLIGGGPYHFGNGTISFIPHNRAWNNRTASMTHEVWLMMVGLNLDLWNNALVDKAVSEFGRLIAWEEDENHMLIPIHNEPAPEAPAPNNPMPEVIQQLPPPEDDPFAHEVLEEEEGEVLAMDDLTDQSKGEGPPPPGVIEPVQIVEFPNFANFEPMIPAPEDEVQFEDLLGFINSEDEHYQDPFHHNLQIGYAQLLQPDVDPVFTSIVSHKCFHSNPEAVRLWSPTFDWAKSILQSPAWDILSANNP >Sspon.01G0000370-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:1618978:1619751:-1 gene:Sspon.01G0000370-1P transcript:Sspon.01G0000370-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVALALALAFLVGGAWCGPPKVPPGKNITATYGSDWLEAKATWYGKPTGAGPDDNGGGCGYKDVNKAPFNSMGACGNVPIFKDGLGCGSCFEIKCDKPAECSGEPVVVYITDMNYEPIAAYHFDLAGTAFGAMAKKGEEEKLRKAGIIDMQFRRVKCKYGEKVTFHVEKGCNPNYLALLVKYVDGDGDIVAVDIKEKGGDAYEPLKHSWGAIWRKDSDKPLKFPVTVQITTEGGTKSVYNDVIPEDWKPDTAYTAK >Sspon.05G0033160-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:26590785:26592727:1 gene:Sspon.05G0033160-1C transcript:Sspon.05G0033160-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MWGRRETGEERRREESAIRVTRVCLREGSWAGLLGGGGVWEKLGLWLMGLVGPARKEWRGINSVSSNLTSPFFAETLRRRRRRTATFRPSAAAAPLLLGSFIPQSRMKFVAAYLLAVLAGNNDPSVEDLKTILESVGAEIDTEKMEHLLSQVSGKDITELIAAGREKFASVPCGGGGGVAVAAAAPTAGGAAPAAEKKEEKVEEKEESDDDMGFSLFD >Sspon.05G0014740-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5A:56514977:56515880:-1 gene:Sspon.05G0014740-1A transcript:Sspon.05G0014740-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTADPSAARARSAVVKLGKQEEGGGKRMRACRVRPNRGGQEVLRHAGGKQAASACRRAKDDRGPRRRNPRAPPLVPHVIHISLLVIVTIIFPPTPKLSALVGAAPFPPFSFNHRRRSLGVHASSLASWLLVYDHITLPRLPVPYLSSARSPITASGDHLP >Sspon.02G0020030-4D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2D:62377280:62379627:1 gene:Sspon.02G0020030-4D transcript:Sspon.02G0020030-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAVAALFIVGSHAGSISIYWGQNKGEGSLADTCATGNYKFVNIAFLAAFGNGQPPVFNLAGHCDPTNGGCASQSADIKSCQSRGVKVMLSIGGGAGSYYLNSSADARNVATYLWDAFLGGQSSSTARPLGDAVLDGVDFDIEGGTPLHWDDLARYLKGYSNSGRRVYLTAAPQCPFPDAWVGGALNTGLFDYVWVQFYNNPPCQYTSGSTTDLADAWKQWLTIPAKRIFLGLPASPQAAGSGFIPADDLKSQVLPLIKSSGKYGGIMLWSKYYDDQDDYSSSVKSDHRAGDGQAEGSAIAAPSGGKQRGWQGYAAGELCHTASCRRRRRAERKARTGQQWAQAVGGLWPGGRGRPQAQVAASELWPGGGGGEKGLAGSSGRARDGKKGLTAGVATDGRCSGRRRVLADGSAAGDGAGWRPVLHRWMEVPRRVRRADDHMLGFFFLFDSASGMEVTGHTHSWRIIIVLQLAMTFKSWDL >Sspon.03G0004080-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:16944937:16947548:1 gene:Sspon.03G0004080-2B transcript:Sspon.03G0004080-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSVYEKLSIWRLITSLFAFSSTPELIFGVALLYYFRVFERQIGSNKYAVFIIFSTMVSVLLQILALGYMKGLQLLFSSGRRSVVPGLSGILAGLLYRLNTFGIRRLKSLQHCSSRSCHGPFLTIHIKGYRPQKIIEVSLLTRHIKLRQDAHTAIQDPTESSITALVSMGFDRSAAIQALALTNYDVNLASNILLESQALQP >Sspon.04G0028630-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:69241670:69243918:1 gene:Sspon.04G0028630-2C transcript:Sspon.04G0028630-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SCI1 [Source:Projected from Arabidopsis thaliana (AT1G79200) UniProtKB/TrEMBL;Acc:A0A178WDT9] MGGGEGKSRKLRSSPSSGTHPPRDSFRIPSVVEFLVSFCCGPLISFLLGSGEEEGRERRRRDKKESRRSSRDDREDDDGRHKKRKKGKHSDRDKGKERDSKDRHSKEEKSKRKDKDAAFKEISKDDYFAKNNEFATWLKEEKGKYFSDLSSESARDLFLKFVKQWNKGKLPSQYYEGITSGPRSAHNWNIKA >Sspon.01G0020660-3C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1C:77534560:77535477:1 gene:Sspon.01G0020660-3C transcript:Sspon.01G0020660-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding HGRRRPPRRRGLLLRRRRRRRRDEGTDTDASNSDVANPQGQDPLPFPDAASVPPHPLAPAPEPAGTIPPPQPQPQGPAAGASSADDSRRLFQRLWTDEEELLILRGFLDFTARRGTTFASHQYDTGPFYEEIRRRLSFDFTKSQLIEKLRRLKKKYRVCAARVAAQGAAFAFRSTHEGAIYDVARHIWRPAFRRGEGGGAADASDEDDINPVAAAAAEDGGGGGGSASTPMPTPTQRGRGGRRVRRRTAQELEAPALPATSALMLTDAAEDRLVVAVENLAPAIAPPPPLQVPTVSPAAATPSPMP >Sspon.01G0061220-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:91932533:91933205:1 gene:Sspon.01G0061220-1D transcript:Sspon.01G0061220-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VDVQAQLSKATEPAKLACGGSETSKQGGVAHGPVTGECGCGKSPDPTHSEARKRPSATRGTAGHQVWALGSGAGEHRSGGSAARSVCGASKAALAAARSSSNLVLRHCVAWRFRKLARTAVTRWGYGSGSSSSGAWRCMEESMEKCRTSQEHMDKLHP >Sspon.02G0031290-1P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2A:114548923:114550050:1 gene:Sspon.02G0031290-1P transcript:Sspon.02G0031290-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLHWQQRRKCKKDGDTETSATSVHDVPDDVFRLILLRLHSPLCLVRAAYTCRRWRGIVAADGGAILHLARSLHPPFVVGHYHHRGSGPITFVPSSPPAPIDCRRFSLDFLPLGASSWDVVDCHGGLVLLLERSRPLPKLLVCDPLTRRHRGMRYKPPREHAGCTVADAFLLDTNDGGSISMSNFTVVYRLKLNNSGPGACVFATSSGGHGVRFVCASENDLDADCRGQVAGRVDGCLYLGLTTGSVIVLDKATLDFSQVDLPSRVDPSKKDYHASFRVVHGAGPDSASPPTAWIVHANGEELEVFRRVHGTAEWVLEHSIPRLSEVARGLPSYLAERPFHWAVKVIADGVGFVVLSVLDFSKRKWLFNVDVDTME >Sspon.01G0038030-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:27746433:27747528:-1 gene:Sspon.01G0038030-1B transcript:Sspon.01G0038030-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTLEMAPPPASVKAPPVRPAPHLTPPFSFLFLSLLPSRPKGAAAMVATRGGWRKGCMAPPWSSSPARARSPVAERAAVDWPRDDALYLLSRARWCGRAPASRRWRISSRATAGLRPARRRWTRHPAVRSRGYCGVEPRVVCPCPTSSLLSCATGAHQPVERARYPRSGYDQGPDLVVGPSQEEIN >Sspon.05G0007420-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:23147323:23148966:-1 gene:Sspon.05G0007420-1A transcript:Sspon.05G0007420-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLGSCYLGGNADAVEFCPHRPFRHVLAAATYTLQEQERDRAGTISLFSVDAASEDASRRLQLLHTAETAGIFDMKWSPKSPLLAQADAHGRLALRRLEQEDGSDSGIVFTDVSDEDISSSMCLYVDWNQTAESLSVGLSDGSLSVVSVREDRLEISEQWTAHQFEVWTCYFDRTRPHLLYSGSDDCCFSCWDLRESPPNIVFQNKKSHKMGVCCIAQNPLEGNMLLTGSYDEFLRVWDMRFMAKPVNEKLINLGGGVWRMKYHPNIADVVLAACMHNGFAIVKVGSGDATVMETYCKHESLAYGADWQKSEEVEQNGNSSVVATCSFYDRLLR >Sspon.05G0034590-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:60211188:60214508:-1 gene:Sspon.05G0034590-1C transcript:Sspon.05G0034590-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DITMVPEDLPFQFIREITDDFSEERILGEGAFGVVYKGVTKDGHDVAVKKLKPCLADLDNKQFRNEFDTLRKLKHQNIVKFLGYCYETEKIPFNMPDGSKVFVDEIHTALCFEYLHNGSLQKHLSDESCEVKLDWHMRIKIIKGICEGLKYIHKDLGEPIYHFDLKPDNILLDKDMVPKIADFGLSKIFGQDIARTTHNPYGTLGYQPPEYIHRGEISGKFDIFSLGAVMIRIVSGPMGYPESSDMSSYEYYIDQVQEIWRNKLQETCSSSDSLLEAYCRQVETCTRIALKCLDKESQKRPDIVKIIEKLNEIEIGARKLPEKGCGISFSEMTVQNHSIEMRKESKDITVQHQNTDLLSSPSCTKLELIGARETSTDLSEKENQANERHVVHSSLTKLLPDKKIMIVLDDLWEDNQFQLMDLKDMLYHVDSNNIIILVTTRSFIKPTELLSVMDLCKKYIVQLQGLSFLQESPK >Sspon.02G0025290-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:88873604:88876680:-1 gene:Sspon.02G0025290-2B transcript:Sspon.02G0025290-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MELGNGGGGGGGESESEANRARLSFNDTTGKDHLSALPNDALVIILLNLSTRDAVRTSILSRRWRRVWALLPGLTFDSDTNPHQIVSALQAHEAALRFLLVFSRNATAESRATSVTLHLGFLGFAVPPVGVFTRLRELWLSSIWLQGPGLLSDIISWPRCPCLQRLTIRDARGLDNLAIHSRSLQIMDLTNMRGLRQLTIVAPALEVLKVKLCFYYSRSQPVASITAPQLGTLLWSDLYDPSSVHLGKMEHLRLLNPFVFTVYGNNGVTLNPSCLSLLRRFTVIEHLILTLFYQQNMDNNQYMMEDKTMLPDITILHLYVNANGHRFGASAFHVLRMCSGIRRLMLTLAEAEQQNWETKHLLLNRLEEVEIDQFRGSEHEFTFVKQLFVWATALEKMTVTFDDSVTESVAMELGQVLQSFSRQETHMEIALVENLRSSKLYSTWHAEE >Sspon.02G0042910-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:80282064:80293846:-1 gene:Sspon.02G0042910-3D transcript:Sspon.02G0042910-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPSELIDDAIAEILLRLPPDDPACLVHASLVCKLWRRILSDSTFPHRYREFHRTPPLLGFLGSTFSCASKASRFDPITTRIPFLEPAYGCRALDCRHGRVLFDMVGGTRGSLVVWDPITGDHKVLSDPDPDSYCHSGTVLCAAASCNHRCGCHGGGPFQVVFVSTSYNDDGTNAPARAWVYSSETAAWSAPASVQLPEDSHLYTTKRGALVGDQIFLLTDTGILKYDLGKHCLTTICLPGKYTNCHPGILITMDDGSLMGFVIIKDLTLHLWTRKVNPDGSMGWVQDRVVLLNNLVPIIRSADTVGVVNVIGFAEDVDILLLGEDASGFMLDLKSGRFKKLSNPEYHYYGVFPYLSFYIPGCNHRACHGGPFVVVLVNSPLEGTKAISALVYSSNRESDAWSAPASVQLPEKSHVWEKRGALVGDQIFLLTDTGILKYDLGKHCLTMISLPSCIYINRPVLMTMEDDSLGLADVNDSTLHLWARKVNLDGAMGWVQDRVVLLNNLVPIIPPTGYLHMNVIGFAEGMDFLLLGDGGSGLMFELKSGRFKKLSNPEYHYYDVFPYSSFYIPEPDLRTGLYCFSSCVELLFSSVNTSFPRTQFPIRTFLTQTLAPPELIPMAPPPPMPELIPDAVAEILLRLPRDDPAGLVRASAVCKPWLRTLTDPAFLRRYRAFHGTSSVLGFLHNPTDRGLARFVPTTAFRPHAAAAAHRTRTCVVLDCRHGHALLYDYGSTEFVVWDPVTGRERRIPVEVPNMYTNHAVLCAAGASCDHSTCSGGPFLLASVVVYQECEGVHCLAYGCFYSSETGETSARTDLYLEGEHNLCGLEDRPAVLVGGALYFVSRSGTLLRYDVLGGRGLSVMELPPANFLGSSTIVMTAANGGLGLATFGYGALALWSTETGPNGDAKWAHIKIIQLEKLLPVDIRMNSAWLSGFAEDANVIFLSTDDNGIFTIELKSLLTRKVCEMGNVKHVFPYVSFYTAAGTASRASPSLFASL >Sspon.06G0007560-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:30181421:30183086:-1 gene:Sspon.06G0007560-1A transcript:Sspon.06G0007560-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVESGSVLAAYSSDAAMLITVAIVLFVLTVSAARRRGGGKLPPSPPALPLLGHLHLLRPPPHQAFHRLVARYGPLVHLRLGPSNHGVVVGSAEAARDLLKLESSIPQRTHSSITRLLAYDSAGFAFAPYGAQWRFMKRLCMSELLGPRTLDLLRPVRDGELVALLREATAAAGRGGSVDLSRQLIAMANNAIMRMTASSLPDHLTETARHCAKEVTELVGSFNIDDYIALFRPFDLQGLGRRAHEVHAKFDALLEILIKKKEEARRSPPPAGAAPANKAKDLLDILMDAAEDENAEVKLNRENIKAFVLDIFTAGSDTTATTVEWMLAELINHPACLDKLRAELDAVIGRSRLVGEEDVAQLPYLQAVLKETLRLHPPAVFAVRETIETVHVRGYTIPPKTTMFFSIYSVGRDPAYWEKPLEFDPERFMPGGANEDMEVNVQNMQLMPFGGGRRACPGMGYAVQVVPAFLAALVQCFDWAVPHQEGRELPQLNMDEKQGLVSARLQPL >Sspon.04G0002440-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:7417336:7419947:1 gene:Sspon.04G0002440-1A transcript:Sspon.04G0002440-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGAWKQSGVAAVADHPGHLGGAGLLGRARPVRLCLYGFALTFAGFAVFLAFAPTLPVPPASSPAAAAWFDGLIASASPYRTQVSGFLSSLFPANSSSTVPPVGVAARRAGPSGGEFAASGSRGNGSRAARPGEEQLGSGGGVPPSSVGAGEAPSGKEAPVPGDATAAVPSGAPPIDHVRGGAEAKHSAGSAAADAKGEPQPGLPSGGSAQNGTSAKGSVPVRINGSDDTNASSVDAGDGNGMRASARNAAGSTHQLGSGTAALLGNGTAVLFINQTASAVAGAMDGNGSAGAGNNQTVLIQATAGNKNQSSAASNGSNSSPANKQNESAASPQGSTSPVKDQSARGATPTASNNSAVPVKADANAGRRMKVDWIETMASCDMFYGNWVRDDSYPLYPAGSCPHVDESFNCHLNGRPDKDYERLRWQPSGCRIPSVEFFRSPFLVQEWEMPISNGKGTRETLRLDIIDSAFPRYKNADIIIFNTGHWWTHDKTSLGKDYYQEGNRVYSELDVHDAFRRALNTWAKWVDSNVNPKKTTVFFRGYSASHFSGGQWNSGGSCDKETEPIMNDQYLTPYPTKMSILEEVLHGMKTPVVYLNITRMTDYRKEAHPSVYRKQKLTEEERKSPELYQDCSHWCLPGVPDSWNELLYAQILLKQQHTMQQ >Sspon.04G0017720-3P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:73314478:73315087:-1 gene:Sspon.04G0017720-3P transcript:Sspon.04G0017720-3P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AKSSSYGLALACFAIAAAVAGGTQFTVGAANGWSVPTAGAEPFNTWAERTRFQIGDSLGIVYPKDQDSVLLVEPVDYNACNTSSYVKKFDDGDTVVTLDRSGPLFFISGVEANCRANEKLIVMVLATRSNGTGGGAQAPSTAPPPPASPASPPPASSTPPPPSSPAPKGAPTTA >Sspon.01G0001800-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:3951583:3955947:-1 gene:Sspon.01G0001800-1T transcript:Sspon.01G0001800-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAAAAGVELGSSKPQIATQAEMAEARVPLAYRDQCAHLLIPLNKCRVAEFYLPWKCEPERHAYEKCEYELVMERMLKMQKIREAQEAKVKGGASIGLIPATAKLA >Sspon.03G0015080-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:48347055:48351176:1 gene:Sspon.03G0015080-1A transcript:Sspon.03G0015080-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEETPPGQRLQPPPAHASPPFVPAPFTPPPRVFSPGLAAARGTPSPGSRPGPETAHLSTPPGPPVFSSPLRPAAVPFRATPASPHPVPFAASGGYSSSSSATAATTASLPTSSAPHFLNGAATPHGDHAPSPSPLQGDGLDNPYVQFSANKVLKQKKLLNAPSLGFGALVSPGKEVSPGPEVVERDPRRCLNCGAYVNLYCDVQVGSGQWQCVICKKLNGSEGEFVVSSKQDLLHWPELASMTVDYVQVGNRRPGFVPVSDTRVSGPIFILIDECLDEAHLQHLQGSLHAFVDSLPPTAKIGIITYGRTVSVYDFSEGAAVSADVLPGNKSPTHESLKALIYGTDNMLVTQVIGPGEEASPDSHETFKHDSSFCIQMHSVEESQSFSVSMETKGDIKIHYSNIYQTEITRVITMRLQTVDGLSAYLASVQEDVASVIIGKRTVLRARTASDAIDMRLSIDERVKDIALKFGTQAPKSKLYRLPKELASLPECLFHLKRGPLLGSIVGHEDERSVLRNLFLNASFDLSLRMLAPRCIMHREGGTFEELPAYDLVMQSNAAVGAELAAQEGQSAAALAACRTLAEELSEQRFPAPRILSFKQGSSQARYFVSRLIPAHKDPTYEQESRFPQLRTLTPEQRARLKSSFIQFDDHSFCEWMRSLKLVPPEPS >Sspon.05G0006300-2P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4B:17854695:17858735:1 gene:Sspon.05G0006300-2P transcript:Sspon.05G0006300-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor, DNA-binding intermediate protein for SLR1, Modulation of gibberellin signaling pathway, Regulation of plant growth and developmen [Source: Projected from Oryza sativa (Os02g0643200)] MHARPCVPAPGGRPMDGWIARAAAAGQGHTKGTRGRETARIVAQLGPGPGRSPPLIQLLTVANSLEMPTGRPEQCAETGEAEVALGVLLCRPSATYTNGSRSLSCSLFPLSRAIYPTHCPPRTTPHAVGLLLLLRVPACLPFPRRSRQDPHAVVGEDISGSCPSSPSRIKFPFRDSPQPPSSSDLSPRALFCYLCFPCDPFLALASRIEQEGESSTTPSSFVGSIDLDDSVSASDHIMMSSSSSSSAAFPLDHLAPSPTEQLCYVHCNCCDTILAVGVPCSSLFKTVTVRCGHCANLLSVNLRGLLLPPAAPPANHLNFGHSLLSPTSPHGLLDELALQAPSLLMEQASANLSSTMTGRSNSSCASNLPAAPMPAAKPVQQEPELPKTTAPSVNRPPEKRQRVPSAYNRFIKDEIQRIKAGNPDITHREAFSAAAKNWAHFPHIHFGLMPDQGLKKTFKTQDGAEDMLLKDGLYAAAAAAAANMGITPF >Sspon.06G0020030-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6B:7052121:7052655:-1 gene:Sspon.06G0020030-1B transcript:Sspon.06G0020030-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding FGAPNPSWSSSTRDLGSYYFLEKCLGELHGGSAGRPDSHPIVAQQQPISGSCFSPFCLTDTLTLHLPPTKQRMHQTWPGRPRHPRWFFWPGWSCRPGSSRPLMRQPCMVVAPPTVWRAAAGRWKAAASRLARKGSPEMTTGSRWKATARRLARKGSSEMVKMGGTTSVGVKGRKQRE >Sspon.08G0009840-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:42929093:42938582:1 gene:Sspon.08G0009840-1A transcript:Sspon.08G0009840-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLPGAGLHGAGLEGAGSSAGRPFPLPAAALSQPQLRQPLLQPLPPTAAPGAPEPAQVLSSAAVEDPGAADGGLQADGDPQPHLPAADGAQPTVQQPQIHLPGAGLDAGTLSPAASFVGILDDDSLKLYTLIWKRTMACQMEASRTEMIQVDIGTPEGEMIFHSAASKLDFKGYQAVYEGYEYNPIIGYHSTVPVKDLVFPVNVHLGQHFTKPPSRYSEGALIKKLEELGIGRPSTYASIMKVLQDRKYVTAKSRVLHPEFRGRMYI >Sspon.02G0008730-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:25106570:25106845:1 gene:Sspon.02G0008730-1A transcript:Sspon.02G0008730-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MELKNALTTSLEASLLSKHITYSITAGSHGNVILPSSLANPYPSCDMLRSSLNTVVPRYASGTSNRLLSEEYTTQWPLTATDCQHCYCYGN >Sspon.05G0011490-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:27668075:27669714:-1 gene:Sspon.05G0011490-2B transcript:Sspon.05G0011490-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRQWSSGGGLAVAVLAVLSVLCSGHPVPGGGFPPLQPHFYDHACPQMQAIVGSIVAKAHAEDPRMAASLLRLHFHDCFVQGCDASVLLDADGSGRFVTEKRSNPNKDSIRGFEVIDEIKAALEHACPHTVSCADIVAVAARDSVVLTGGPGWEVPLGRRDSLTASLSGSNNLIPAPNDSLPTIIGKFANQGLDVVDLVALSGGHTIGDSRCVSFRQRLYGQNNNGQVDRTLNPAYAAELRGRCPRSGGDQNLFALDPATQFRFDNLYYHNILAMNGLLSSDEILLTQSRETMEFVHRYAADQGFFFDHFAKSMVKMGNISPLIGTAGEIRHNCRREYNTQLSGVAVIAPPFSRWSAEKRR >Sspon.01G0010470-1P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1A:29326584:29327063:-1 gene:Sspon.01G0010470-1P transcript:Sspon.01G0010470-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LFLPPQPPRHPSGYHVQEEDHGGKDFLATLAEDNRELRRRNSLLLSELAHMRRLYNDIIYFLQNHVEPVPPPVATTTASCRLVELGSTDSDTSAPTWRPRGDDEAPVKLFGVRLNDGKKRRAQQVVPLEEKGDGEQGESLGDGDHNGHGVSDDDRRSET >Sspon.07G0002770-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:6701784:6703007:1 gene:Sspon.07G0002770-1A transcript:Sspon.07G0002770-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSTSCLVDDASSGGSTGKKPALAAATGGKPLQRVGSGASAVMDAAEPGVEADSGGARRAAGGGGGVSGNGKLPSSKYKGVVPQPNGRWGAQIYERHQRVWLGTFTGEAEAARAYDVAAQRFRGRDAVTNFRPLVESDPEAAVELRFLASRSKTEVVDMLRKHTYGEELAQNRRAFAAASPAAASPPPAKNNSPAASSSPAAAAAAREHLFDKTVTPSDVGKLNRLVIPKQHAEKHFPLQLPVPAAAATVSGGECKGVLLNFEDAAGKVWRFRYSYWNSSQSYVLTKGWSRFVKEKGLHAGDAVGFYRSAGKQQLFIDCKLRPKTMTTAAAFVNATTTTAAPPPAAVKAVRLFGVDLLTTTPRPAVVAAPEQEEMVAMANKRARDAIASSTPVHMVFKKQCIDFTLT >Sspon.02G0035980-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:26565609:26572814:1 gene:Sspon.02G0035980-2C transcript:Sspon.02G0035980-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLVDYEEERWKVIQGVWVEMLCCSASRCRGYLHAKSMGEGLEFLTLVWLLLSRMGMETFADKVPNVNLSLPALASQQLMASAAADAVAVVEMLASAFASAVVVLDAAGGTGITCREIKAMTSRKLRKSETSFKILISGNKHRLTKQNDRSSMLTIENCPSTSCLQFTPSQSAVSQQHHTSRSILIKP >Sspon.01G0025530-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:90011091:90016651:1 gene:Sspon.01G0025530-1A transcript:Sspon.01G0025530-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAHVLVVPCPVQGHINPMVQFAKRLASKGVATTLVTTRFIQRTSGVDAHPAMVEAISDGHDEGGFASAAGVGEYLEKQAVAASASLASLIEARASSADAFTCVVYDSYEDWVPPVARRMGLSAVPFSTQSCAVSAVYYHFSQGRLAVPPPPTPAADGGDGGATAARSEAFLGLPKMERSEFPSFVFDHGPYPMIAKQALKQFAHEGKDDWVLFNSFEDLESEVLAGLTNYMKARAIGPCMPLPAPETTGATGRRITYGANLVNPEDACTKWLDAKPHRSVAYVSFGSIASLDAAQTEELACGLLAAGKPFLWVVRATDEHQVPRHLLDVATASGAAMVVPWCPQLDVLAHPAVGCFVTHCGWNSTLEALSFGVPMVAMALWTDQPTNARNVELAWRAGVRARRDAGAGMFLCGEVERCVRAVMDDGQEASAVRNAAGTWKDKAREAVAPGGSSDRNLDEFVQFVRAGVTEKCEALVLKGGDVAGSEIAMGHVLVVPFPAQGHINPMAQFAKSKGRGHHARPHPLHREDVRRGRAPGGDRSHLRRPRRGRFASAAGVEEYIEKQAVAASASLASLIEARASSADAFTCVVYDSYVDRVPPVARRMDLPAVPFSTQSCAASAVYYHFSQGRLAVPPPPSPATDGGDGGAADARSEAFLGLPEMERLEFPSSVFDHGPYLRIAKQALKQFAHEGKDDWVLFNSFEDLEGQILAGLTNYMKARAIGPCVPLPAGETAGATGRRITYDTKPHRSVAYVSFGSFASLDAAQTEELARGLLAAGKPFLWVVRATDKHLVLRHLLDEATASGAAMVVPWCPQLDVLAHPAVGCFVTHCGWNSTLEALSYGVPMVTMALWMDQPTNARNVELTWGADVRARRDAGAGMFLHGEVVRCVRAVMDEGEEGSAVRNAARKWRDKARAAVAAGGSSDRNLDEFVQFVCAGGTEKCKALVVKGGEVAGSEM >Sspon.08G0005160-3D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8D:13120778:13124004:-1 gene:Sspon.08G0005160-3D transcript:Sspon.08G0005160-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding PQSRTRTEVGHAAPERHHWTFLLTKKPNPASIKSPPPSLVATSPPILDADASTRTLLRHSFGYIFEYNGSAVVAMVGKNCFAIASDRRLGVQLQTIATDFQRVFKIHDKLYIGLSGLATDAQTLYQRLVFRHKLYQLREERDMKPETFASLVSALLYEKRFGPYFCQPVIAGLGDDDVPFICTMDCIGAKELAKDFVVSGTASESLYGACESMYKPNMEPDELFETISQALSSSVDRDCLSGWGGYVLIVWYEKERLLSYPVLQDFCLDFS >Sspon.08G0007790-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:26572458:26575180:-1 gene:Sspon.08G0007790-1T transcript:Sspon.08G0007790-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDVGRGHRQAVPIPCHSGDSSPVSSAAAIPAPLRVGIVGFGNFGQFIAGGVQRQGHAVLAASRSDYSAYCARHGIRFFSSVDALCEEQPDVLLICSSILSTEGVVRAIPFHKLRPDTIVADVLSVKEFPRNLLLEVLPPGFGIICTHPMFGPESGKHGWGKLPFVFDKVRVAEDGDQAAKCDQFLSIFEQEGCRMVEMSCAEHDRYAAGSQFITHTIGRVLSQLNLKSTPINTKGFETLLQLLDKLEMAFEKVRQMLSGRLHDFIRKQIVERAAPMPADPS >Sspon.02G0009820-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:22107221:22108036:-1 gene:Sspon.02G0009820-1T transcript:Sspon.02G0009820-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMGRLQQHATSSSSTSTSASSSSSKQNKAVWSPSSSSSPQPPKKRPAGRTKFRETRHPVFRGVRRRGAAGRWVCEVRVPGKRGARLWLGTYLAAESAARAHDAVMLALLGRGAGAGAGRLNFPDSAWLLAVPPQSALSGLDDARRAALEAVAEFQRRFGGAAAGGASVDEATSGVSAPSPSSSSLPDISFGSPAAPELEQVPVKAGETAAALDGGVFEPHDWFGDMDLELDVYYASLAEGLLVEPPPPPATAWDHGDCCDAGADVALWSY >Sspon.04G0008040-4P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:21988463:21990689:1 gene:Sspon.04G0008040-4P transcript:Sspon.04G0008040-4P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSAWPRTRAADPLNWGKAAEDLTGSHLEAVKRMVEEYRRPLVKIEGASLTVAQVAAVAAAGEARVELDESARGRVKASSDWVMNSMMNGTDSYGVTTGFGATSHRRTKEGGALQRELIRFLNAGAFGTGDDGHVLPAAATRAAMLVRINTLLQGYSGIRFEILETIAALLNANVTPCLPLRGTITASGDLVPLSYIAGLVTGRPNSTAVAPDGRKVDAAEAFKIAGIQHGFFELQPKEGLAMVNGTAVGSGLASIVLFEANVLAVLAEVMSAVFCEVMNGKPEYTDHLTHKLKHHPGQIESAAIMEHILDGSSYMMLAKKLGELDPLMKPKQDRYALRTSPQWLGPQIEVIRAATKSIEREINSVNDNPLIDVSRGKALHGGNFQGTPIGVSMDNTRLAIAAIGKLMFAQFSELVNDFYNNGLPSNLSGGRNPSLDYGFKGAEIAMASYCSELQFLANPVTNHVQSAEQHNQDVNSLGLISSRKTAEAVDVLKLMSSTFLIALCQAVDLRHLEENLKSAVKSCVMTVAKKTLSTSATGTLHNARFCEKDLLTAIDREAVFAYADDPCSANYPLMQKMRSVLVEHALANGDAERNPDTSVFAKLATFEEELRAALPREVEATRAAVENGAAAIPNRIAECRSYPLYRFVREELGTEYLTGEKTRSPGEEVNKVFVAMNLGKHINAVLECLKEWN >Sspon.01G0002640-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1A:7126713:7129839:1 gene:Sspon.01G0002640-1A transcript:Sspon.01G0002640-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SLRSRSLYSLQIPICHGIGVDHWAEIVEEKLQHLHSPEAYEMIENHLALILGQRQGDAIIAAICKLQVDQVYVAPVMYGYFLKRVDQRFQLEKTMKSLPWGSEEEDGALSQVMMTN >Sspon.04G0032250-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:23246572:23248246:-1 gene:Sspon.04G0032250-1C transcript:Sspon.04G0032250-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding QGIYSCPPNQSSLLQSPRRQPGKAVLQEGEFHCEIRERLRDGRDGVHPLFGSDEARQGRERSHSHQALP >Sspon.01G0021910-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:22782539:22786711:1 gene:Sspon.01G0021910-2B transcript:Sspon.01G0021910-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRRCPLLAAVLLLLRLHAGGGTDTDTVTPAAPLKGNRTLVSAGRAKYVLGFFAPDPDDTAGRAYLGIWFNGIPERTVVWVANRGSPVLGGGLANGSLAIVVDDDTDTDQHGVVVWATPPPPTGTTTASSGGGGGNATAYAQLLENGNLVLRVPGAGVVWQSFDYPTDTLLPGMKLGIDFRTGLDRRMTSWRAAGDPSPGEYSFHLDPRGSPELFLYRRSARTYGSGPWNGYQFTGVPNLKSNSLLTFRFVSNADEAYYSYGVVDSAAAPLTRFVLNSSGQIQRLMWIDMTRSWSVFWSYPLDECDGYRACGPYGVCSVERNPVCGCVPGFDPRFPAEWALRDGSGGCRRRTELNCTGDGFATLTNMKLPESANATVDMSLGLDECRRTCLRNCACRAYASANVSSPGATGCFMWTGDLLDMRQFGNGGQNLFVRLAASDLPLTSSSAETDARTKRLVEIIVPSVAAPLLLLAGLYICAMRMKKRRKEKEAIPLALLRNAQRQSTPFGRRNQIAASTDVQDDSLHNGQGSSNQDCDLPSFDVETIQGATANFSVHNKIGQGGFGPVYMAWRLWKDGEILEFMDQSIADTSNAAEVLKCIQIGLLCVQEQPKRRPTMSAVTAMLTCENPMLPEPCEPAFSTGRNRGDDDEDPEVKACRSDSASSWTVTVVEGR >Sspon.01G0045850-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:94111174:94118316:-1 gene:Sspon.01G0045850-1B transcript:Sspon.01G0045850-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LAAAADAAPAAVPAGGVAVAGAAADEVVRRVRPTEASERRRAEVVDYARRLVGSALGCEVRFDFVNFEATVTHTSDLLFDKEFLKSSMDKATVPPKNSDSCYMRFRPKHLNIVDPLKEHNNLGRSVNRASFNRIRTAFLYGARKLGHILMLPSEVIPGEIYGFFKNALERNGIGVRPDICSNFAFHPSFGTVEAILEDISSMKISDGEDENLTSCHLSKSLGDKNLYIGTNGPTHLSRCSPGVHDSVLSTDLSTRSSHFVHNAPKLHSSFCQDDSHADSEKCYLDHGMEQVSHSTAKAFCIDDKTSIQSQVSVSNPQLLSTSAASNASELATKQKNWSATSVGKQHFPPSPSSLPDLSGDLDSQFRCLRQVQYHLEYLFDGFLQSVQEASSADKFHKDPFHSPACSIFLGRDAASPRLQLLSPAQSNGRDSSPVSCSQSTECVSQHSQNESPWDMAYHQSISLPSGTDVPPNGVLPSSSCADSEVSSVSWFHGSEDSAMMHGNGVHTYFTKSCYTHRERLTSSRENGKILPNQSVTYKSNQKSAPGARFVSRKEQAALDSRTKETIIGQALKIHGYIQSDRKIVEKLSCHTQKEFVRNDNEARQLPKYNQDVCLNKNFLQNRYHDTDMESTRAPRATNQMPKYQPFNIQNTTESDRASLSKSLPRKHSSGTWKEYEILDQPTKQRPICGPLKLENRRHVWDCTKKTSAGKQNCNNSKDCLSFVRGAVPCSHTASTPNGLEKEVKSNKLVDNGSLLRPILPELLLSCHDINSQETPPSSNAQSYFPAVNGRPLDTIEFGSLGPFALPLSSLKSNGGTNTQTTSKVFTGTSPFVLQRSRAAASENRPPGLCKVGDEDEFPPLRAGIR >Sspon.05G0014520-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:53849811:53855576:1 gene:Sspon.05G0014520-1A transcript:Sspon.05G0014520-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTRRRRQLLRQRQKKQLDAEQWAAAAAQFQRLPPELLAEIHHRLDFLRRLAFASVCGASGQNLRETPWLILPRPEGYDAKATILSLADGESASMRTSDVALRGHVAIGSSGGWLVTTDERAALRMANPVTGAHADLPPISTVPFLHPVSGGDWFCLDVNPFLQIHFGGLPPPSEHKNWLRARTYTVTAAQMRQLFYHKVVLSMSPRPGSYAAMLIMDRFIGASAFATAEDPAWRMAPSRDGVEDVIHHGGRFLSITYTGHVEAWQRNVETGEFTSDAVASRLAYEDQQPQVQILDHDNGRWEEAADIGDAAIFVGANGSLCLSTRQHQGIRPGCVYFTDDDVGGACLHNAKSSYSDSDDTELIDELRDVGVYSLKAGRVEKIDALLVEIHRASGQNMLETPWLLLPGPQEYDEKATILSLASGKSASVRTSDVAMRGHVAIGSSGGWLVTVDERGALRMANPVTGAHADLPPISTVPFLHPVSGGDWFCLDVNPFLQIRFGGLPPQPEHKDWLRARTYTVTASQMRQLFYRKVVLSLSPRPGSYAAMLIMDRFIGAPAFATAEDPAWRMAPSRDGVEDAIHHNGRFLSITYTGHVEAWQRNVETGEFTSDAVAPRLAYEDQQLHRKYVAVSTDGRLMVVLKHSRDEENPSHRHWYGPISKNMHVFFKIQILDHDNGRWEEAADIGDAAIFVGANGS >Sspon.04G0010630-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:34277523:34281707:-1 gene:Sspon.04G0010630-4D transcript:Sspon.04G0010630-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGSVGNGLADLGAGAVSMNGAGKALHPAEAAAAAAAAETLPMELEPPEALVAAAAEAKREEAASGRREIVMGRNVHTSCFAVKEPDADDEETGEREATMASVLALYRRSLVERTKHHLGYPYNLDFDYGALAQLQHFSINNLGDPFIESNYGVHSRQFEVGVLDWFARLWELEKDEYWGYITNCGTEGNLHGILVGREVFPDGILYASRESHYSVFKAARMYRMDCVKVDTLMSGEIDCADFQRKLLQNRDKPAIINVNIGTTVKGAVDDLDLVIKTLEENGFKDRFYIHCDGALFGLMIPFVKKAPQVTFKKPIGSVSVSGHKFVGCPMPCGVQITRLEHINALSSNVEYLASRDATIMGSRNGHAPIFLWYTLNRKGYRGFQKEVQKCLRNAHYLKDRLKEAGVGAMLNELSSTVVFERPKDEEFVRRWQLACEGNIAHVVVMPSVNIDKLDYFLNELVEKRATWYQDGISQPPALPETKENRIPNC >Sspon.06G0031980-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:75801900:75807724:1 gene:Sspon.06G0031980-1C transcript:Sspon.06G0031980-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding HDDQFRSHEAISFYVTSVGMPNKMFSRSRLPIEALVEMKMQLGDNRGVLSNWKDNQMSPCYWEYVNCQDNIKVTTITLSSSGFTGTLSPSIAKLTTLQQLDLSHNYLSGNIPSSFSNLPSLNNINLAHNNISGEIPQHLLQAAHYEYVIYLQTCSTIPGQNDHMLEFGQIKRFSWRELQIATNNFSEQNVLGKGGFGKVYKGVLPGPNSIKIAVKRLLNVNSHEGEMAFLREVELISIAVHKNILRLIGFCTTTTERLLVYPFMENLSVASRLRDIKLNEPALDWSIRMRIALGAARGLEYLHEHCNPKIIHRDVKAANVLLDGNFEAVVGDFGLAKMMDIGRNTVTTGLRGTMGHIAPEYIKTGRPSVKTDIFGYGVMLLEIVTGDRAIAFHPDRIEEAGEIMLIDQVKLWMEEGRLLDLVDRNLGGLYNLEELEKVTQIALLCTHMDPDQRPTMSEVVQMLEGEIVPAERWEEWQLAELQRRQQHEMRQQGKLFNFSEEKAIRKFQDYAIQILTIRNS >Sspon.04G0005010-4D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4D:16681802:16687047:-1 gene:Sspon.04G0005010-4D transcript:Sspon.04G0005010-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTGPCRRGGRATTSSHALLLLLLACVAGCSVPAVRAQATVFTGTVAGREITNFSFPKFDQNRFQLATNLTFTGNASVSQGALQVTPDSSNNFATYLVNQTGRIFYSTPFLLWASNASNATADSRHVASFSTVFQINLYRANKTVKGEGLAFVVASGMDDPPAGSDGGFLGLTNASTDGLGTNGFAAVELDTVKQSYDPDDNHVGLDVNGVHSNPAIPLAPYGIQLAPSDNSSSGDYTVWIDYNGTTRHVWVYISPNDTKPATAVLNASLDLSTILLDKTGYFGFSASTGVDYQLNCVKLWNMTVEVLHGESVPKKLSGWKLGLAIGVPCAAALALGLLVGLYLMKKRKKQVGDDPSSVVFHNALDLRSIPGVPKEFDYKELRKGTNSFDDKMKLGQGGYGVVYRATVPGDNGQSMEVAVKQFSGANTKGQEDFLAELSIINRLRHRNLVKLVGWCHQDGVLLLVYDYMPHGSLDRHLFGGKDAPTLDWKQRYNVVAGVASALNYLHHEFDQTVIHRDIKPSNIMLDSSFQARLGDFGLARALESDKTSYTDKIGVPGTLGYIAPECFHTGRATRESDVFGFGAVVLEIVCGRRISCSNPAGCSQLLEWVWKLHGAGRVLEAVDPRLAAGGYDEEEAERLLLLGLACSHPNPRQRPKAQAILQNLQTRSVPPLPVPMSKPVFMWPVPLADGEEDETQTSHSGVTSSDVTSSSNYPYTWSSGYTTQTFQVSREVQDAAGRDVSYGGDPKYHLRIIMLPIEHSADINPVSHSAFLLQFPNSRLLRDTFSDRSMVVNGTDGPSRADGLRAQNEAIDIKIGNADVRTGRTRCATRTRSRATPPARPRAAPLSIRQSLAQFFPLPTRSLRSLRLASFSPPRAASSSPARRAGGSSPLPLFLSPGDAPLLCPSPSFVASSKLTAAAGSWRSSGGSMGVVADPAAWGLLHPRASSSTSTVAWGPPLVVDPHEHHAPHGGSMEGGADPAVWVAFFAPARLLHLDGGMGASPGANLHVLFQLFVELRGRGVARRGGRRRLLRGQTEFGEVGSDPACGPGMRSIRSHARVETVRVAFFLITGSSVFISVVRGLSPIVCPDASARIRTSGR >Sspon.07G0014690-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7A:52594686:52595267:-1 gene:Sspon.07G0014690-1A transcript:Sspon.07G0014690-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSVRSSAATLAPSIRGAAELVRQEALRRELDGCQLLAGIWCHGFTVAQLRSIRASLPPTARLVVTKNSDMAAAVAGTRWEALRPCARGMNAWLFVRSDEIPPALKPYRDFQKEWKLQLNDFTGAVYEGRLYGPDDFAQLEAMPTRVQSYQYLLGCLQMPAVNVLAVLRARQEALAAEADKPPAEGEAAAEEK >Sspon.02G0016770-3C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:50398240:50400569:1 gene:Sspon.02G0016770-3C transcript:Sspon.02G0016770-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLRLRLPQYASLTPLPRSVRQIVSWDGREHSALMAKQYYATSSLVVGYALCSSLLSIINKYAVTKFGYPGLLTALQYFTSAAGVWILGKLGFLTHDAFNLETAKKFAPAALVFYLAIFTNTNLLRHANVDTFIVFRSLTPLLVAIADTTFRKQPCPSKFTFLSLVVILGGAVGYVMTDSAFSITAYSWALAYLVTITTEMVYIKHIVTNLGLNTWGFVLYNNLLSLMLAPIFWFLTGEHKSVFAAMESRGEGWFHLDAFVAVALSCVFGLLISFFGFAARRAVSATAFTVTGVVNKFLTVAINVMIWDKHATAYGL >Sspon.01G0036590-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:19397828:19400893:1 gene:Sspon.01G0036590-1P transcript:Sspon.01G0036590-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDPGGCAERRPPTAAEVVGRLKDDGDFDALRRAIVRKVKDNEVLRNKIISEVKQSVVLQEEGSERMKLKDLSDAIFQDIGSKIMGEISDEVWNVIQSNETDIQGTVKAVYNRILNPEKIPEPCSKKLKREGKDEQVLPTKTATSVAVEAEDDDPEEPPGFGFGNTQRKPPMNLENRNEVKPNGGEPAAISSPGDEEDDPEVPPGFG >Sspon.05G0010150-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:20179923:20180955:1 gene:Sspon.05G0010150-2C transcript:Sspon.05G0010150-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPLLTRPRVHAAGDQSRPTSTRKLMTRPAARLHRSGRFHEHKSTGVRARLSPARQHEPPQLINLLHEEPRGAIELRVQPPPSLELGVRVVRVDGLEQEQLEERGGGSLFLRYYVPAGDGRRRLRVDTREVPCGAGDLRWGELARFERWGGGQPAAASAAAGGIAFELRWRPRPSSGLAAALLGAGGARVRPSSRVLARAELPWADVSASSSQPAERWLALSQVGRELRGCKAPKLLVEVKAAVHGAAVAATARTPGGGMTQCCRAAERCGQCGWVGSEEDMFLAATFSQQHC >Sspon.08G0010190-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:42252694:42263997:1 gene:Sspon.08G0010190-1P transcript:Sspon.08G0010190-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLDGLRLDTLLKRVCKSLLKKRLGDLILGDLDLEQFDIQLGRGTLQLNDLALNAEFINRKLSGSPITLKEGSIKSLLVRFAASCEIVVEELELVLAPSVASEVGDVHTECSVSGSTSDTQTLVKTQRNESDSNQCSTSVSRDVDEGVKRIASAVKWFLTSFNIKLKNVYVVFDPQISLNSGLPETSRSLVFRIKEVEFGTQLGLFKLDNFLTFHEAVIEFLKMDDVDAVLQNDPIRGTADISARYSTTAVLTGPISGFSGKLNLSIPWNKGCLNFEKIDADVSVDSLELRLQFSSIRWIMNVWDSLQRKPVDEQRCGHNIADISISSSSSAFCPPASSSLKPGSDSVIATSEYLAQSTFSQSKQDKIQDSFLTRAHVITDWMEPVARKDLGDPDSDCDESIDQFFECFEELRNSQSSLGNSGIWDWTCSVFNAISFASTLASGSDQVPKEPVVERTLRASITEVSVLLLFSDDTDIENSSVHVSALDDLRNSEMFSSCLSSEHFEKSIISPAKASSLNMHHLKAKCENIHLDLQTYPKNVRFKASIAQIKLDEYYHAGNNNSDDSNLGNHFLNNNLRQGVQASLPQCLFAAGDHSVETYEFCGNSSSELTRVELLKTFGECTFYYDVSTKDQDGNLVSLTSMSIRLAPLLLWVHFHTIYMLLSFVSKVESDLSHGEHKIQKHGDEKGSRLTTSTNMSSSGSLKVQISLSPARIILCFPSEFSWDLSHPSVLDKFLVIDHTSCLNMVGNRQNEMQNEVHLSKPCTSIHLALGNIDVYLVKPVNNVLVGRICSSSRQTFSTMKILSVTGASYNDSGITLVRRKYPVTGPEILNNAWSLPKLHDEKITKKQNSKWAGISPSSTHDLAETGSSMRQELLKSTELLFHVQLSCVSVQLSKKDCELLNKLLDHVIEGISNEQTSISGNSKDQSVLINDVCIQTSVLFECSILEICTELNETVEVGPLLQAELKGSWNSLKLKVSKFSLFSCSNVGGLNNASFLWVNHGEGELWGSVSAKNDKVPGESKDFLIVACKDSACRRGDGEGTNVLSIGAAGCSVTHIRNPKLKENYTSVDVRSGTIVAPGGRMDWINAICLLFSSGSDRTEKSDDSNTVNSYQSGEPYSSSLFIELADVAVSYEPHFKNSTLSAGAADRKFFSCLLAASSFKLHNKSASASAATDFDIQLRDLGLLISQSSGSTNATCSYGVDYLRQAGYAKVAQNTFIEASLRLDSSFWKLEISDSQFDIGTCHDTTYGLIGLGSQLQQLYGPDMQDALDHLQSRWNSVQQANRQNMAADASDKSDSSLEISADSGDYQSDGLLDDIIENAFYTEDCLDNNFWESNCHHLFSSSETDDGFELNAATSSHEFLRSTPEGNVTQISPERNACPDQIIDSYYMPEFHQSLSALCDEEHKCTSGDNSRRTLESEDAGWYNNVPLTIVENHVLKKKNKQVEQVLQHEVASVCSLNPDEYCNLKGKVHIHDIDVKWRMYAGDDWLLPQKDSTSLTCTDGRDKSSSLEFTLRGLGIQVDMYPNGDVSISKLSVAAEDLTLCDQSIHAPWKLVLGCYNSKDYPRESCSSAFRLELESVRPEPQAPLEDYRLHLEILPLQLHLDQEQLNFLINFFKNDSCNNDPHLHCENETVDVKSTSNGSNTVVDEALLPFFQKFDVKPLVLHINYIPRQFDPIALGKGNYAELLNILPWKGIDLKLKHVSAMGVYGWNSIGDTVAAEWLEDISKNQVHKLLKGLPPIRSLVAVGSGTRKLVSLPIKSYKKDRKLLKGVQRGAVAFIRSVTIEAVGLGVHLAAGAHDMLVKTEHALTTVPPPLASCEAKRTKHNIRANQPESAQQGMKQAYESLTDGFGRTASALIGNPIKVYNRGAGVGSVLATAICGAPAAAVAPVSASARALHYALLGLRNSLDPEHKKESMYKYQGPPQA >Sspon.04G0036820-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:52262725:52267115:-1 gene:Sspon.04G0036820-1D transcript:Sspon.04G0036820-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SANRRSAPLLSVPLPIRRNRVVRPVRSPPSFPPPFSASASASASSSFSCSMSALFNFHSFVTVVLLLICTCTYLKMHFPSLLIRRTGWAEAFEMRLK >Sspon.02G0022850-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:87706531:87709335:1 gene:Sspon.02G0022850-2B transcript:Sspon.02G0022850-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRASTMTGAMLIAAAALLLVAVRDGHCAQLCMDSTFPRTINESLSFCGYNGTSCCNATDDAAVQKQFAAMNISGTPCGDIVKNILCARCNPYAGELYTVTTAPRTVPLLCSTTGVSSRLSSTKPAAATTTDYCTEVWETCKDVRIPGSPFQAPKGTAPAPAPRLTDLWQSSSEFCGSLGGSGTTGGTSSPCLDGGGAAFNSSRPALPLNGMCLERVGNGSYLNMAAHPDGSGRVFLSNQAGKVFLATVPPQGSGKTLQLDAAKPFLDITDEVHLDNEFGLMGLAFHPDFATNGRFFVSYNCDKTQSATCAGRCACNSDVGCDPSKLGADSGKQPCQYQSVVAEYSANSTSGTPATATSANPAEVRRVLTLGLPFTTHHGGQILFSPADGYMYFAMGDGGSVGDPWNFAQNKKTLLGKILRIDVNTMPSGNTTAGWGNYAIPKDNPASTDPSFAPEVFALGFKNPWRCSFDSGKPSYMYCADVGQAAYEEVDLVTKGGNYGWRVFEGPLPFNPPSTPGGNTSADSINAIAPVMGYAHSSVNKNVGSASITGGYVYRSMTDPCLNGRYLYADLYAKSMWAGVESPEGSGVYNVSALTFGCSKSSPIPCDFAAGSSLPSLGYIFSFGEDNARDVYLLTSKGVYRVVDPAECNYACPVKSSAPGAGRLPRAPRSGRARRR >Sspon.02G0027410-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:99189294:99192662:-1 gene:Sspon.02G0027410-1A transcript:Sspon.02G0027410-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVGITSPPAPHALAPAASPSASSYSTLGLRRLRLSTPRLAAAGPSFRARRCAAAADGGAAASEGASAVADPDAGTDVAGGAATSTRPPYSIISADNVQKAMRGLAITDADHYGRLGVTRLASTDEESFTILSTEEERRLYDWSLSRSGQPERYVWPFQVDPLEMAPDPPQEPEDEFPTKLVGYFLLAWFILSVALS >Sspon.03G0005810-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:20764043:20767083:-1 gene:Sspon.03G0005810-4D transcript:Sspon.03G0005810-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKLGTKAAAASSVAVHRGGLARGPQGTSRVAFGPAASRTKGLRAANNSVTPMAKEQRVDRSDIFELDSIRQVLIRLEDSIIFGLLERAQYCYNADTYDSKAFHMDGFEGSLVEYMVRETEKLHAQVGRYNSPDEYPFFPEDLPEPRLPPIQYPKVLHPVADSININKEIWKMYFDELLPRLVKEGSDGNAGSSAVCDTTCLQALSKRIHYGMFVAEAKFQESPEAYMPAIIAQDRDQLMHLLTYETVERAIEQRVEAKAKIFGQEVKIGAEDNSNPPVYKMRPSLVAELYSYRIMPLTKEVQIAYLLRRLD >Sspon.02G0008060-4D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2D:29731446:29731730:-1 gene:Sspon.02G0008060-4D transcript:Sspon.02G0008060-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MESEMQAALIKVGVFVLVQALVYLILSQSSTVFSRTKSLGLRPARSLSARRMLALLLSDLPLAAGEPSPVAAFARTRSSASSPMLAATAHRKQD >Sspon.06G0032790-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6D:1617224:1618387:-1 gene:Sspon.06G0032790-1D transcript:Sspon.06G0032790-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSVNVMKHKLKLRRARKMKEKFFEQNHGLLLQQLVSQNGDVAGRMIITLEQLERATNNFNKTNEVGVGGHGTVYKGILGLNVVAVKKSKIVIQKEIDDFINEVAILSQINHRNVVRLLGCCLETQVPLLVYEFISNGSLSHHLHSKGPSKLSWDDRLRIALEVARALAYLHSAASVPIFHRDIKSSNILLDDSLTAKVSDFGASRYISLNRTEVTASIQGTMGYVDPAYYYTNKLTDKSDVFSFGVLLIELLTREKPFVFTCNGDSLVTRFHSLLMEGDLVQIIDPQVKEDEDGRVQEVAELAARCTLLKQQERPTMRQVEMELESMQGSEKLTPRNAAARSCEVHRVARPCSMANAGGSVREREEASRRYSMEEEILLSASFPR >Sspon.05G0021580-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:35513473:35517242:-1 gene:Sspon.05G0021580-3C transcript:Sspon.05G0021580-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPDRPLEAFITAARGAIAHLHLPVIHVPGSNSSPNPNPKQQEEPEADCLLHLHVVVTNFLHKPLKSFARRFKPKRRADKLSPPLHWDHSNGGTPQQQLELLLCIAFDAFAHNLHLLEDACRQKGEEFGVATRQLEQFVVLRKIIDGKRADFDGFLSNLGFAKVGAPSPRARIMSGASPVPAAAPVSDQEDGGGIGDSDQEDGGGIGDSEAVDNASGTQQPAQKLPARLLNIPLSNVERLRSTLSAVSLTELIELVPQLVSRSSTSADAHPDKKKLFSVQDFFRYAEIEGKRFFEELDRDGDGQVTLEDLEIAMRKRRLPRRYARELFRHTRSNFFSKSIGWKQFLSLMEQKEATILRAYTTLCLSKSGTLHKNQILTSLKGAGLPANEDNATAMLRYLNSDSEGSISYGHFRNFMLLLPSERLEDDPRNIWFEAATVVAVPPPIEISTGSVLKSALAGGLASALSTSLLHPIDSMKTRVQASTLSFPELISKLPQIGLQGLYRGSIPAILGQFSSHGLRTGIFEASKLVLINVAPTLPEIQVQSMASFCSTVLGTAVRIPCEVLKQRLQAGIFNNVGEAIVGTMRQDGPKGFFRGTGATLCREVPFYVAGMCLYAEAKKAAQHVLKRDLEAWETVAVGALSGGLAAIVTTPFDVMKTRMMTAPPGTPATSLPRRR >Sspon.02G0010100-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:28089990:28094051:-1 gene:Sspon.02G0010100-1A transcript:Sspon.02G0010100-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKQSSTRHGALEKLKSFRGIEKQRSFKFLSMEKQQSFKRNKDSPGKRGDTELHLAARAGSVAHVQRILAECDPELVVELAARQNQDGETALYVSAEKGHVEVVCEILKASDVQSAGLKASNSFDAFHIAAKQGHLDVLKELLQAFPSLAMTTNSVNATALDTAATQGHIDIVNLLLETDASLARIARNNGKTVLHSAARMGHVEVVTALLNKDPGIGFRTDKKGQTALHMASKGQNAEILLELLKPDISVIHVEDGKGNRPLHVATRKGNTIMVQTLISVEGIQINAVNRAGETAFAIAEKQGNEELINILREVGGETAKEQVNPPNPAKQLKQTVSDIRHDVQSQIKQTRQTKMQFQKIKKRIQKLHIGGLNNAINSNTVVAVLIATVAFAAIFQLPGNFLEDMSQAPDPDMTLGQALIASDPAFIIFLVFDALALFISLAVVVVQTSLIVVEQKAKKKMVFVINKLMWLACLCISAAFIALTYVVVGRDDEWLAWCTMAIGTVIMVATLGSMCYCIVAHRMEEKSMRKIRRNSTSQSFSISIDSETELMNSEYKKMYAL >Sspon.02G0016920-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:43204280:43211124:1 gene:Sspon.02G0016920-4D transcript:Sspon.02G0016920-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mediator of RNA polymerase II transcription subunit 25 [Source:Projected from Arabidopsis thaliana (AT1G25540) UniProtKB/Swiss-Prot;Acc:Q7XYY2] MAAADRQLVVAVEGTAALGPYWSAIVAEYVEKIVRSFCASELPGQKLAGAPPELALVVFHTHGPYSAFDVQRSGWTKDTDAFLSWLSGISFSGGGFSEASTCEGLAEALKILQGSPNTTQSHQNHEAQKHCILVAASNPYPLPTPVYCLPTQSTDHKENIETAKEPSIADAETVAKSFAQCSVSLSVISPKQLPTLKAIYNAGKRNPRAADPSVDHAKNPHFLVLLSENFMEARTALSRPLHGNLAPNQTITKMDTAPAVTMPGPTSNANPSVNAMTGRQPVVGGISTATVKVEPATMPPIVSAPAFSHVTPISNVASQGISALQTSSPSLISQEANMANDNVQEHKPIINPVQQPVRPGGHGSLLNNLSQVRLMNSTSLGGGATSMGLPNMGATPIQVHMSNMISSGMTSTPSVISSMSGPGQPIGTQQMVQSTGLGSFGPNTSTVSGNSNVAVSSSLTNNQSSMGMGQSVQPVAQGGLVAGSQLGQGGIGANQNVMSSLGSTAISSAPAMMPTPGMVPQTGVNSLGVNNNSAMNMPIPQHANAQQPAPKYVKIWEGTLSGQRQGQPVFICKLEGYRSGTASETLAADWPETMQIVRLIAQ >Sspon.06G0008440-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:44465429:44472380:-1 gene:Sspon.06G0008440-1A transcript:Sspon.06G0008440-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSARFDLRPPAGRPQIRRPPLSASNILPPMQASSQQLQPPPSHAGMSDGGAFANAGNLEHCARYLNQTLVTFGFPASLDLFATDPVSIARTCNCIYALLQQRQRDIEFRESTNDQRQRMQSDISRLEAKIERMDAQLAAKDRELATLTRTEAKNTAALKSQIDKLQQERDEFQKMVIGNQEKLNQVLMEKKKESSRSGMEIMNLLQKEGRQRGTWNGKKNDNDYYKMIVDAYEVKKQELMQENADLRALLRSMQMDMREFLNAPNGSSQPTVTANGRQESGSPQSPLGGKTDVFDLPFHMARDQIEESLRTKMTSIKARMTQLQDAQKGAEVTSEATDRELELEAQLFTFTPTRPSIHVFPCSGRRHSGLDGEREASAE >Sspon.01G0016500-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:53344857:53351565:-1 gene:Sspon.01G0016500-4D transcript:Sspon.01G0016500-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHQQQQQQVRGKRNGVLQVQDGSEIRALVENKEAFTRFVDDRFRKLDADGDGRLSVKELQPAVADIGAAIGLPARGSSPQADHIYAEVLNEFTHGKQDSVSKPEFQRVLSDILLGMAAGLKRDPIVILRINGEDLNEFVESPRYEPEAMAIFSQVGSANNATLRQCLLASLGQLTVDHGMPPASDSWVMENVVEPALQELSANQLDQPVSQEVFFQEFRKFLGIIVLRLQQHPVIVAHTENTFDGSGIRRLLSNKFEFDKLLDSVWRDVPKEHKDKTSKEYLRIALDRIADSASLPPFGAVDQVDAVVNEAFKMAKADDLKAVDEAQFKKLLTEILGAIMLQLDGNPIAVSTNTVVHEPMSTSSTLLSPTPLSPMVSSPSE >Sspon.02G0033500-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2B:7347560:7348481:1 gene:Sspon.02G0033500-1B transcript:Sspon.02G0033500-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFQKFSLVAPFPVARLDFSTSETPSNVSYLVTPMHVARLCYLVAPLGMARPKRATGCKLFSGAV >Sspon.07G0032330-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:47840752:47841132:-1 gene:Sspon.07G0032330-1C transcript:Sspon.07G0032330-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GDGTVRTRLRLRLLHRPPGGGVPFLCPSARRRRGGPRDRWSGGGRQVVGAAAGVVGEGRLPRGPGPGACGGGAAGRRRGRGGAQAVRGGLDGGEGPPAAGADGADGVVPRRHVPLRHRLPPRPLRLG >Sspon.01G0013590-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:39804361:39808764:-1 gene:Sspon.01G0013590-2D transcript:Sspon.01G0013590-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGVDKLQVKLCDFNQTVALHFSDGLVEHIVDESTPYGIYSRNHFSNLLGLHSLRSESSNSVSEKQPGNCQESSEALTKDFGPSVFHQQQSAFVSKFLDNSGAEDTGPYPPRQDLNQYPFGSTYDKYMTQLSSCSTDTQPHIFSTRYVNSSEMANTTGSSEMKHVLGSFSSKVSSSESGFVQPRECSSEFPEVNNTSVDSPCWKGTPASYQPSFGIMENNGAPPVVIGTVGYINSHQNQKLPELNSEYPWRFCEHHEASGSENDPFKAFKLPERCKISKDHKEVPPIDVRVLKDMTTHASYLPDKQHSTTHKCYNSEDSKNVITSSQQESLCPASKPKLLGEHGGSLTASIDELLSKSVINPIAITPRVHTDHLRTGSPHGNIFSAVVEKEESTQKRGEDPSQCYPGVEGNMLNMSSESSSSTRAIFLKLMHNLSVVLLSTCKGGSSLQEDEEELLQSVIQNLTAASSKRSKENADSELKATVSQVLTNHLEDKMLDNTEASIYRNLWIEAEASACKLKYELQHARLKLETAKGLNDTVKANSLEGSKGSNSYMSSSKPQNHGKETITCAAACQGQGGDARDKQSPVVNRSIFNGVDADVFARFKVLQSRNDNVNSFSEIDCGEQQEASNRPYAVEDAVMARLKVLKSRPDNITSLSQENNKQQLDASTNSADNVDDAVMARLGILESHPNSAALMGQESSKQQLDARTNREDGIDDAVMARLRILKSRPDNETSMGDANKEQQDACSDQLNGDDLDVVSNGTISNTLSEKCSKFTHSDDSADHLGGKDSVGLETFGDGNCAREKKEVGGSADVATPMRCKGTSDEVSIESAVHGEDNLGENHVWLQTAGDSRVCTEGSQEAHLISSPIDQYGGSPTEWEHVLKENFFHPGK >Sspon.01G0055790-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:98083629:98089487:1 gene:Sspon.01G0055790-2D transcript:Sspon.01G0055790-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQCTHRSVVSMQFKGDTVSFGFGVPVLTQDAIDSRSGDSDINQMLSIPPNIVCEVCGDIGYRQLLLCCRDCKRYAVHQYCLDKVVFDASLIEWFCYECLQRRGEVTCIRSLEQVSSERPLSHAHFGSPVHQLATKRVESVRDAGPHSPNGGCEELFSCPGIENIPRVRERSVDPISISSISLHDTTEVSASSEKSTECQKASSCRRGKTVKMAMASSSSEESGEDILSEHVSLEYVLAYRCYLSKAQKKRVMELIQEIQPEFTSFISIMRRGNVQPPGPFLGITRDYASAHFPNESTNVTLETPGKSKKWHPKFYKRAESRNYMLIGQWLDFVRDNHVQEGDICLLVPTKDEIRCTFMVYVLHERTHSRGGAGFQMGGPCPGASSAKMASEIHIEEEPTAGEHVSSESDMQEIPHEPLEGGDSDDPFVPPYIVPCKSPLSKSQKRIVEERVRAIQSEIPICVAVMKNNNVGVAQRWMLELGSRYGSVYLPTKGQTIWLQCGGKTWKAKMMFHNGRRWFLNGGWPNFARGNGLRVGDICLFELKKKESKLTMAVHIIRRENQACIQMSRRRAQHQRCRISVLRAVALGSGSGSWLNGVTLGGLGRAEKAANRLGKLRFIWGIAVLLGGFASALNITDFRCITVNLASERGRKHHPQPRAGVAAPRGADLHRAGGVLRSSLPATALLHPGVHGGIALRCTRRGRSPDPSDSIR >Sspon.02G0033440-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2B:7309822:7310392:1 gene:Sspon.02G0033440-1B transcript:Sspon.02G0033440-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPGKRPAGDASDGGWSTRHHVTGAGSSSGGGHGRRLPLAVTAELAQPLDAASTTAAETEVERLRRYLEVSQATLEVAEREMQVVRDQQVATDNRVAGEFFVLFRFEGSHLFFALIVFVCWEKLEVELLEIREAADAAAGYVHARSANREEHLLDLPNHVWDAVELGIHRGAAVALMVAQVHSGHVLHHL >Sspon.07G0009600-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7B:25738751:25739872:1 gene:Sspon.07G0009600-2B transcript:Sspon.07G0009600-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEGATSPALEPEVAPLPDNDDIHREIFLRLPPLPSSLPRASLVCKRWRRILSDPAFLRRFRAHHRAPPLLGFFADEDGDIEFVPTLRRPDRIPGARFSLPRRGDDYLSFLGCRHGLALLVDRARSEAVVWNPVTGSQCRVPFPPEFNKRHVYYKGAVLSSSGDGHVHADCRLIPFKLVLVHHTDLHDTLACACLYQSESGKWGNISSIAIPWSRLHQPAVLVGNRLYWILLGTSDILEFDLDGQSLAMIQKPEDPRVTKNSGIQVLRVEGNKLGLATLSKLSIQLWQRETNSDAAGRWVPWKTIELDKLLPLSRLIRIWPTTILGFDEDSNAFFICTSVGVYMIQLESTQFTKLFEGDSFTAYYPYTSLYTAG >Sspon.02G0055020-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:123358803:123361468:-1 gene:Sspon.02G0055020-1C transcript:Sspon.02G0055020-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSESTYFKLDLIKIITGDFADERMVGSGGFGVVYRGTYDGQDIAVKKLHPLQGLDDKAFDNEFRSLSKINHPNVVRLIGYCHEAHPDSCDLDWLTCYKIIKGTCEGLNRLHSEQGKPIYHMDLKPANILLDKNMTAKIGDLGLSRLVSSTKTYQTKAREGTVGYMPPEYINSGDLSRKFDVFSLGVIIIRIMDGNDGNSRRFDMPREQFIEFVANNWKERLQGTLGYSSQEINMLRVNTCIDIALRCVDTQRNKRPYIKDIVNELEELEAKIQKMAVSSDHSKAVILGQQIGHSPKDLRIEELEKKAAAMVRQIEDLQKKVVAMSGQIEDLQKKVDAKP >Sspon.05G0020460-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:86959958:86963546:1 gene:Sspon.05G0020460-1A transcript:Sspon.05G0020460-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAFKSTTRRALHATSTTTTRSDPPPCPRRTRSRSVSAAPRPRAAHEDYANTRTNPLFDSAASPSASPSPSPLPAAAAAGTGASSARGDAAGRERGREPRLKGGGSGGGRARSASVAPPLRRRTASLPSVADSAGGVGGRRASRPRSVADDARTYRGSETDAETRDVARKLQSWRSRHSVPETIWQQNHTTVPVDPVLEIPPEFDPDSAEFISDISDYVTEYGKKDVLEIPIDFDTDAAELVSESRNSAAKQHWEQMEISLEFDTDASELVSDIWHHGANQQRGELEAPHEFDPDTSELAPDITEYTLKLKQSHERARKLRADLAVEEQREQELSRMLKGIVTVPNFTETHKKRPRRKSSIERLKVSRHLAEEAMNYFEECVSISTMDSTDFSSPEDPQPNSVVNVPPKSNSRFFHKGRSIFQEPHTLADQHGHHEESDKQTQCSISATGSDVSDSVIFSHTNGAGLKIRSISSDDPDGFDTPRSRSSCFSFTNEPVKKVENCDVRQYLGNFGRGNNSRELKETRSSYFADDYASQKVNPDLLEDMVTFQNRIEYGGLVICNIRTF >Sspon.06G0031510-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:68654168:68657620:1 gene:Sspon.06G0031510-1C transcript:Sspon.06G0031510-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLAFIFIHFLALCQYTSPAALRESSALLCLKSQLRDPSGALASWRDDSPVFCKWHGVTCGSTQQASRVIALDLESENIAGSIFPCVANLSFLERIHMPNNQLDGLISPDIGRLTQLRYLNLSMNSLRGEIPEAISACSHLDTIDLDSNSLQGEIPPSLAQCSSLQTVILGYNNLQGSIPPQLGLLPSLYTLFLPSNNLTGSIPEFLGQSKNLTWVNLQNNSLTGGIPPALFNCTSLHYIDLSHNALSGSVPPFSQASSSALNYLSLHENNLSGEIPSSLGNLSSLALLLLSHNSLRGRIPESLGKLKTLQALDLSYNNLSGTIAPGLYNISSLTFLGLGANQLAGTLPTSIGNTLTSITQLILEGSRFEGPIPASLANATNLQYLDLRSNAFTGVIPSLGSLTLLSYLDLGANKLEAGDWSFMSSLVNCTQLKNLWLDRNNLQGIISTYITNIPKSLEIMILKENQFTGSIPSEIGKFTNLTVIQLDNNFLSGKIPDTVGNLQNLSILTISKNQLSEEIPTSIGKLERLTQLLFEENNLTGPIPSSLEGCKQLTTLNLSSNSLYGGIPRELFSISTLSVGLDLSNNKLTGNIPFEIGGLINLNSLSLSNNRLSGEIPSTLGQCLLLESLHLEANNLQRSIPDSFINLKGITVMDLSQNNLSGRIPEFLESLSALQSLNLSFNDLEGPVPGGGIFAKPNEVYIQGNNKLCATSPDLQVPQCSQRKNHANILAVLVSLASVAAVTMACVAVIILKKRRKGKQLTNQSLKELKNFSYGDLFKATDGFSPNSLVGSGRFGLVYKGQFKVEECAVAIKVFRLDQFGAPSNFLSECEALRNIRHRNLIRVISVCSTFDPTGNEFKALILEYMVNGNLESRLHQKEYPKNTKRPLSLGTRIAIAVDIAAALDYLHNRCTPPLVHRDLKPSNVLLNDEMVASLSDFGLAKFLSVDFSTGFNNSSSAVGPRGSIGYIAPEYGVGCKISVEGDIYSYGIILLEIVTGRRPTDDMFKDGVNIRNFVESSLPLNIHNILEPNLTGYHEGEDGGQEMVEMQHCAMQLANLGLKCSEMSPKDRPRTEEVYAEMLAIKEEFSTLCSLG >Sspon.07G0017070-1T-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7D:80960742:80962272:-1 gene:Sspon.07G0017070-1T transcript:Sspon.07G0017070-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GDVPGGHVVVGDVVAGVVSVHAVAARAWRARVVVGAGAEPRPAGPAPHQPAVPVRGGGGGGRLRPRQLLPGADHAAGVPGRAAHAAAARRRVRRRAGAEAAEPCPRPVAGAAVHGGQLRGGAPGARRAAPPLRRAAVHEAGVPDHQPRYPGGDGGRAVRARRRPLRPRRQPGAVDRAIPRLPRPPWRATAPPCHGRARRQGVLGQHGRRARQGGRSVRHPVPVQRRGGEAGGAGHRRAPARPLRAVRRGAGRQRGRAAAPPPGGRRRRRQEQQARPGQQLPHPGADHRAVQPQQLRRAARAGDQHAAAAEPGLIGGALHVAAVVVAVAGSAAAEGKGEAGELPARGAGGCCRRSWWWRSRRRTTTRRRSWSGSRRRSTTTRRCSTAWSARRRRRRTGAQGSGRARSGWCSGRRSAAWWRGRARSGRSGTSGWRSGRAGWRPPGWSASGL >Sspon.02G0006970-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:33939984:33941405:1 gene:Sspon.02G0006970-3D transcript:Sspon.02G0006970-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding HGSPYRRLTARVGCRPPHAHARGRQAADHPRRPRALAHRVPHPTAHGGGRGRRRRPHTQGGGLRPRHPLRPPPRRRAADGLPRHRGVRLQASPAARAPRQGGDLQPVVPGGRVRHRLLLHDAARRVPRVRRARVRLLHRQRRDARVLPAPSVAPRGGAGPVRGDGGRGGCAWASAGAAVVPPQPSDGQESPQLHVVRVPRQALRRGRRHHRQHGCRARAERACRHRGRAVHAWCPCPDGLSNWAGDLVQPAHRAVARVRAVARHAAPGLRGAPLLWERRVLHRATGARDRARPGAQRSPLPLGAARPTGTRPVAPVGREPRRAAPRRLPREDQGQRPGVADEGAAERDTGPRRHGGFRDTRRLELGPREPVVRRADGAVAAVRRAAPERVHAGGLHRRRRGDEGGQEEEQFRGGRGAGASGEGADGRWRGGEEGEGEGHGDEGRLQERRGGGWLILRCAAEAIRGDVQSRHEPL >Sspon.05G0023820-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:10197390:10205237:-1 gene:Sspon.05G0023820-1B transcript:Sspon.05G0023820-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAASMLEVYRRDRRRLLGFLLSAGGGGGRALDLSRVDLDAVSADYALECVASGAHFNASEATRRYFDERRYPIMIGSPSGNSYFLLSRPRPSDSPPKEAAPSIGPQAPVQDNSSSAGQPTEPRDFFRDAINTSGIGYGTNDDNLADISPQQVKKVDILSLGLPRLTTDLSDDDIRETAYEVLLASLFVSGKVHFSEEKREKKHKFLKGRRTKTEGSNPSPQVEDGFAHILDLIRISESMDALTKRALRHISLKTAKETLDVPRISLQLLSSVGKLDFPTERLRGQWQKRQASTYNRFYLQYASMGVCLTIVSDSAIQANVLEELLLFSASLEYDMSKTLRIVLSKLKSTEASTSNDTSIYLKNKQPFHLSLRNRLIHSIDFIDWVVSVPEGRVEVLTIIERYNTKLCALTKKFDIKDETYHWTHNYHFNFRLYEKLLCSVFDVLEDGQLVEEADEILETAKLTWPILGVTEKLHHIFYAWVLFQKFSQTGEILLLKHASLQIQKLQVHHDVKEIELYTNSFICSVDACSGNRVLSLVDSVLLKINVWCRRQLDNYHAYFSKNNYSIFEATLNLVLLLVTNSTEDNFEEIRFIESPVGSTPESKLVHLLIVRSIHAAYKQRNVFLIFYYAPLGPNFIRWPFRFQFKHPLTILANELKAVAEKECTDFSPILHKYYPEAQRVALIFLHMLYGKQLELFLERTDHLENSKEILAASNNFELFIAQKLYTVYGEAVGSSFSNYLKPYMVGRFSSPLILQWLHAQHENVLEWTKRTIEIERR >Sspon.08G0011220-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:44704389:44705834:-1 gene:Sspon.08G0011220-2B transcript:Sspon.08G0011220-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFAVSDELLGTFVPIAVYWLYSGLYVVLDGLGMDDYRLHPKGEEARNIVSKWTVVRGVLVQQAFQIAVSLLLFTVLGDENGTVRKQPSALVIALQFIIAMFVMDTWQYFMHRYMHINKFLYKHVHSKHHTLVVPYAFGALYNHPLEGLILDTIGGALSFLISGMTPRTGIFFFSFATIKTVDDHCGLWLPGNILHVFFSNNSAYHDIHHQLYGNKYNFSQPFFVMWDKILGTYMPYTLETRKGGGFEARPVKLNQAQQTKAD >Sspon.07G0030090-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:2519721:2526581:-1 gene:Sspon.07G0030090-2D transcript:Sspon.07G0030090-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAARRERRHHRKAAAATAAAAAAGAAGVGGGGGAAAAARAAYGDVFGGPPRFAAPFGGAPLDYAEVFGGVAATCSIPYLDLPPAPASGAFFACRGKGDYGEIFGRFDFADFALPYEDLFGAPEPQPEPQPEAARQTDPEIASSSGSSTRSSFKKESSQPEDEPSILPQHYQNLDHCQHFKDHKFSPISFSPDTESLQFVMSYNKTTERRPDDLIEMTTCTAKPSLDFVIDSRNLSHDTAINHVSRIDNDTMANDDNNKNPSSANTSVRSPESDFAVDQKQQSPSWTPISGSMSGNENHKNSDIHSTRSTVTPPDYAFLRVSDSDAQTQPIKVQPILSQQPKLLNKKESAAKGCVNIVNHNCSPTSAEHTTSSSNMPHTDKRVDANPTSASAAMKEAMDFAEARLKAAKALLEGKGDSFKLRKKPSHLRSTRSTEIKSPIFVDVDTSEQKLSVKKSSKEEKNPDDSLFDKHKKLSAVRLDHFDDNGKKVHPLEKPQHMLQHCTESCQTSSKLEKLGNWKSGNDFFELSGDVQKCKTDEATEGGDKCEQMDPITSVINDQRETEFNAADSDLDRYEKLWEVNDGRNVGVKHVNLRENKTAPVDKDRVSVILEPSTENMAHQKTHNSIGEGLVTLENAKESHDTDKCLELPTSGASAKLDVFKDVSGSLPEACSSVNASDLRDHGNISPNVSPLAGTSQEDRNSKLAPEVSSDSGMQHTSRSNEKLQESSDVSNVAISQGSNIKSLILEELKESDVCDAFTRGPNRIEQEAETYGREKISFIDGSFLLPSHFHNKGAKTNLVSEKVQKVEIEKKVGPCAHPEVTIVDLDADCPKDANNDILQNDNFADPEESNMLNVFEVASKLIKRELDQEMHGSLGHGEEENMEEGIDAFVSDINGKEADETALENSEWTGTEEGSARVNQEDQNSSGSTNRGKNDVDAKRDTTCDEVGSESLSGDEVVIKATSDSTGEVSNRGERELPTERSTCEDHRKASIMEEKDTTARISKAEHVPSPLETTCGVTKSAEAPTSVERENMTRADSACEKDKGSSQRVQEKKENERRLAKERELAEKERRKLEKERELAEEMERMKLEKEKELAEEKERRKLEKERELAEEKERRKLEEAERERERKKDRLAVERATREAHERAFAEAREKAEKMALERITAARQRASAEAREKEERASVQATAERATREARIKAERAAVERATAEARERAIEKAKAEKALAEARERRERYKSSFKESFKSTNQVAMVEVESALRHKARLERHQRTAERVTKALAEKNMRDLLAQREQAEKHRLSEFLDPEIKRWSNGKEGNLRALLSTLQYILGADSGWQPVPLTDLITAVAVKKAYRKATLCVHPDKLQQRGATIRQKYICEKVFDLLK >Sspon.01G0000010-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:446115:446534:1 gene:Sspon.01G0000010-1A transcript:Sspon.01G0000010-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding TRYIMAASRGAAAAALVVLLAVVNCCFVLLASAHYTNCPPPPPPPCPPAPSSGGGGGVGCPRDALKLGVCANVLGLIKAKVGLPPTEPCCPLLDGLVDLEAAVCLCTAIKANVLGIHLNLPIDLTLVLNHCGKTAPKGFH >Sspon.03G0003870-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:12567291:12571996:1 gene:Sspon.03G0003870-3D transcript:Sspon.03G0003870-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-conjugating enzyme E2 11 [Source:Projected from Arabidopsis thaliana (AT3G08690) UniProtKB/Swiss-Prot;Acc:P35134] MASKRILKELKDLQKDPPTSCSAGPAGEDMFHWQATIMGPPDSPYAGGVFLVNIHFPPDYPFKPPKVSFKTKVFHPNINSNGSICLDILKEQWSPALTISKVLLSICSLLTDPNPDDPLVPEIAHMYKTDRPKYESTARSWTQKYAMG >Sspon.08G0008450-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:28342488:28347193:-1 gene:Sspon.08G0008450-2B transcript:Sspon.08G0008450-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AT4G28980 protein [Source:Projected from Arabidopsis thaliana (AT4G28980) UniProtKB/TrEMBL;Acc:B9DFZ7] MAIGGGGSWSIHGRADVTSRYEVLGPAGSGAYADVYRGRRRSDGAAVALKEVHDAVSARREAEALLAIPPSPNVVALIDHFPGGDCDDDVLVLEWLPLDLAAVVRDARRRGGGGEGPGGIPASQLKRWMLQVLEGVAACHRAGVLHRDLKPENLLISEDGVLKVADFGQARILQQTAPTFQEMHPHEQSSSMETWIPQQQPAVLQETEEEPTSYESDATAGQEPETLTAADYLHELDSLRAKSSDVDKMSLQDGDASCLATCSTGDIEDDPFRTSYSYNVEGIGEDSGAFTSCVGTRWFRAPELLYGSTNYGLEIDLWSLGCILAELLKLEPLFSGISDIDQISRIINVLGDITEETFPGCSNLPDYNKIFFNKVGKPTGLEACLPNRSPTEVSIIKQLICYDTTKRVSAADLLNHRYFTEEPLPAPIEGLQVPASKDEDDDSSMEEWGNYRDGVSDSDFDEFGSMDVTKTDKGFSIRFS >Sspon.07G0021550-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7B:3379856:3380059:-1 gene:Sspon.07G0021550-1B transcript:Sspon.07G0021550-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTHRAPVLYGRVLPRPLLSRTQPFRFSRAASRLAIPAFLIRRPRTVAPSPSAESPAIPPLLLHLALR >Sspon.06G0006360-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:22615908:22618947:1 gene:Sspon.06G0006360-1A transcript:Sspon.06G0006360-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIPKIDLSGLEPGGPGWEEARAAVTASMVAHGCAILAHDALGPELRRALFCRAMPEVFALPLETKQRSDSRWGPFNGYISGVPGMAMESIRVAEAADAGSVRDFARLLWPQQGNQEFCDTIVSFAKNMLKLERMVEKMTLEGLGVRDEKSVGDHLESLTHGVRLSRYGAPLDRETGVSMKEHRDDTMVTGIVQHEVKGLELQAKDGGWTAVPPEPDTVTFVAGEQFRVVTNGRVPACLHRVRTPSSRERFSVLFGCRSRDNATVRAMDELVVDGEQPLRYEPVRDLGDKKLQLQDFTSPIK >Sspon.05G0028860-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:73049059:73055461:-1 gene:Sspon.05G0028860-1B transcript:Sspon.05G0028860-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKRSRENCDCEEPAATAGNDDRLGSLPDDALLHVLSLLPSEDAVRTCVLARRWRHLWRFTAALRIARGDDKRWSVWWLHRFVTNFLRLRDSLSPLDVCDIFCRPFVSFRGGHAAQALCFKVAEEWVRRVVSEYRARVLKVWTYTYENMLEPADAPLVSQHLTRVELGEVELGSGILDLSGCLALEHLELISCRIQGERMLFPSVKHLRIADSSFCIIPRSSISAPSLVSLQLSENWGFTPMLESMPSLAKAFARFDDECCDKCPRNYYGDCGDKDCDGCYGNLYHEDDEDNQCVLLGGLSGTTNLELIGLPEVFVCRKDFKWRPIFRKLKILLLNEWCVADDFSLLIYFLQHSPILEKITLQLSKMPEPVVKANGCHSPREGSMVSKCLKAIEVKYQDDGVLDKLLTILNTYGVSSEMVTIQKKDSLSSESKLTIVAFCYYNLCRLCACVCLLNLNIFRPTKLVCAPPNSEYINRESHLPVAETGAIWCARGEQGAVRYGDAFPAVSGGLAEKPVPPQDAITMQSAENLVFGQTLRGGPAAAMLSAATTNERMGAVVHDQATDATAVQGVTVSETRIPGGRVVAEFVAGQAVGQYLARDDDAGATAGGGRCRRRSERRLCCRGGREGYDE >Sspon.03G0015870-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:50086785:50088912:-1 gene:Sspon.03G0015870-4D transcript:Sspon.03G0015870-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCFACCGDEDTQVPDTRTQYPGHHPARADAYRPADQPPKGPQPVKMQPIAVPAIPVDEIREVTKGFGDEALIGEGSFGRVYFGVLRNGRSAAVKKLDSNKQPDQEFLAQVSMVSRLKHENVVELLGYCADGTLRVLAYEFATMGSLHDMLHGRKGVKGAQPGPVLSWSQRVKIAVGAAKGLEYLHEKAQPHIIHRDIKSSNVLLFDDDVAKIADFDLSNQAPDMAARLHSTRVLGTFGYHAPEYAMTGQLSSKSDVYSFGVVLLELLTGRKPVDHTLPRGQQSLVTWATPRLSEDKVRQCVDSRLGGDYPPKAVAKFAAVAALCVQYEADFRPNMSIVVKALQPLLNAHARATNQG >Sspon.01G0020720-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1A:76805594:76806484:1 gene:Sspon.01G0020720-1A transcript:Sspon.01G0020720-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADDGPHDAAASSSAGGDEDDEGTDTDASNSDVANPQGQDPLPFPDAASVPPHPLAPAPEPAGAIPPPQPQPQGPAADDSRRLFQRLWTDEEELLILRGFLDFTARRGTTFASHQYDTGPFYEEIRRRLSFDFTKSQLIEKLRRLKKKYRVCAARVAAQGAAFAFRSTHEGAIYDVARHIWRPAFRRGEGGGAADASDEDDINPVAADSVEDGGGGGGSASTPTPTQRGRGGRRVRRRTAQELEAPALPATSALMLTDAAEDRLVVAVENLAPAIAPPPPLQVPTVSPAAATPSPMP >Sspon.04G0017300-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:69079950:69085213:-1 gene:Sspon.04G0017300-3C transcript:Sspon.04G0017300-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CYP97B3 [Source:Projected from Arabidopsis thaliana (AT4G15110) UniProtKB/TrEMBL;Acc:A0A178V2Z2] WPRPRCSRAPPPPPRRVSAPRLPPRPRPTGGVASPSASGTRAYGALTHLLARSRRRWPQLTRGRPPGCQSTSVDKQQQQPPKPKQRNLLDNASNLLTNFLSGGNLGAMPVAEGAVTDLFGKPLFFSLYDWFLEGVLAEILKPIMGKGLIPADLDTWKQRRKVITPGFHALFIEAMVRTFTKCSERTISKLEELTESEGREQKSTIVDLEAEFSNLALDIIGLGVFNFDFDSVTKESPVIKAVYGTLFEAEHRSTFYIPYWNLPLTKWIVPRQRKFHSDLKVINNCLDNLIKNAKETRQEADVEKLQQRDYSSLKDASLLRFLVDMRGADVDDRQLRDDLMTMLIAGHETTAAVLTWSVFLLAQSPTKMRKAQAEVDSVLSNGAITVESLKKLEYVTCGYNGAKEGYEVPAGTDIFVSIYNLHRSPYFWDRPNEFEPERFSVPKKDESIEGWSGFDPDRSPGAMYPNEIIADFAFLPFGGGPRKCVGDQFALLESTVALALLLRNFDVELRGSPDEVEMVALSSPSSVHHHVHQSWGVQQLMRCCAVPPWDACSAGNKKPTGMNNTAGSI >Sspon.01G0044430-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:76614446:76626227:-1 gene:Sspon.01G0044430-2C transcript:Sspon.01G0044430-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding QLDEPAVSPKNPQLVPSADSSTSFFLFSPRVRRTRRRNPSLRRHRCPKCAELKLPRENAAFCPLRPYPISKMRVVPDEIEKPDWALDGIPKIEPDSDLQKRVEIKTPELIERMRETCRIAREVLDAAARVIKPGITTDEIDKVVHEETISRGGYPSPLNYHFFPKSCCTKLEDGDIVNVDDQFWKLFIMQMISLCKK >Sspon.03G0022730-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:91820144:91825423:-1 gene:Sspon.03G0022730-2B transcript:Sspon.03G0022730-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MISARAATVAAASPASPVRSTSGLTTLLRHLHSASDSGASRLARFGIASWMPPPDHLSLCVVSVYSPHPQWKRGGRSEGGGSCDGCSTYRKTVRRRAATAKVRALPPKRVEAVAIGSAAETETEEVVVEVAAATEELPVALGHLRRKLMLKKKNGYTLLRDPHHNKGLAFTEKERDAHYLRGLLPPAVVSQELQIKKFMNNLRQYQLPIQCYMAMMNLQAIPETDERLFYKLLIENVVELLPYVYTPTVGEACQKYGSIFGRPQGLYVSLKDKGRVLEVLRNWPHRNVQCLPITIDVGTNNEKLLNDEFYIGLRQKRARGEEYDELMEEFMAAVKTFYGEKVLIQFEDFANHNAFDLLEKYSKSHLVFNDDIQAGTGIAELIALEMSKQTKAPIEECRKKVWLVDSKGLIVDSRKNSLAPFKKPWAHEHEPLTTLYDAVQSIKPTVLIGTSGVGRTFTKEIVEAMASINETLLVVYCEDGKLTSDIVIINAEAYHLFTGRAVFASGSPFAPVEYDGKTFVPGQSNNAYIFPGLGLGLVISGAVRVHEDMLLAASAALADQATEENFVTGSIFPPFTNIRKISAYIAAAVAAKAYELGLATRLPPPKDLVAYAESCMYSPVYRNYQ >Sspon.01G0052610-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:24786970:24787556:1 gene:Sspon.01G0052610-1C transcript:Sspon.01G0052610-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDQGQGLHFFLCFPISSRGQGAEYSRQGILVAKTSTLGFLFIASTEENCHIYNTQNANDSTASLLAGAQFNIPISTPESSLPTRLQETQDVPTDQCGNH >Sspon.05G0007480-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:17314112:17315744:1 gene:Sspon.05G0007480-2B transcript:Sspon.05G0007480-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKIRSEVLSPFRSVRMFFYLAFMASGTLGGLIALARLLPALSVSDPARAAGAADTLKGLGIDVAAVSLFAFLYSRESKAKDAQVARLAREERLSRLRLRVGAEGAGAGTGRPFTLSELRGTARLVIVAGPAEFVAESFRRSQPFLRELAERAVLAVPFATDGNTPELRLDGGDDDDVARRSKRLWQLTPVYITEWAQYLSLRMDGRVRGSGVGYPPWQAFVAQLPPVKGMWSGLLDGMDGRVL >Sspon.02G0018740-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2A:60277620:60277888:-1 gene:Sspon.02G0018740-1A transcript:Sspon.02G0018740-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding STPCESATPEVLAGGDECGGQHGAAAKRAGGVRRKPQLRTRRVRWPASGRGQLRPMTESGIFSSLGEIKSHASSKVTPSVESTWFYVH >Sspon.02G0019510-4D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2D:59000501:59001178:-1 gene:Sspon.02G0019510-4D transcript:Sspon.02G0019510-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQWLLGNWKPRIDMGSMAQNVSAGGACGYQTAVGKKPFDSMIAAGSTPLYRGGEGCGACYEVKCKTNAACSGQPVTIVITDQSPGDLFPGEVEHFDMSGTAMGAMARPGMEDKLRAGGVLRILYRRVPCKYPGVNVAFKVDQGANPFYFDVLIEFEDDDGDLNAVDLMEAGSSVWTPMAHNWGATWRLNNGRKLNAPFGLRLTSDSGRVLVANNAIPAAWKPGKTY >Sspon.07G0013080-2D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7D:46582356:46583195:-1 gene:Sspon.07G0013080-2D transcript:Sspon.07G0013080-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYQVLEVTLISAKDLKRVTLFSKMRVYAVASISGGDPRLPTHRTYADREGGRNPMWHAPLRFTIPPAADPRGLALHVLLRAERAFGDRDVGEVVVPVQDLAAASPEGGAAANAEQRHLSYQVRRPVSGRKRGVLHISYRLSDAPAPAPDAYHRYTQAPPASSMSSKRHHGKGADAAITAYPVATPRGGGARQYSPYHQPYGHAPYGGPAGAYPHHHQYGYGAYGYGTAPHAHGAAPYGYGGHGAAPAGRSGGAGMGTTAGLGLGLLGGLMIGDMIADAE >Sspon.01G0008110-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:22673441:22678940:1 gene:Sspon.01G0008110-1A transcript:Sspon.01G0008110-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAPCCEKVGLKRGRWTTEEDQILANYIAEHGEGSWRSLPKNAGLLRCGKSCRLRWINYLRADVKRGNISKEEEDIIIQLHATLGNRWSLIAGHLPGRTDNEVKNYWNSHLSRQIHTYRRKYTAGPDTTVTIDMSKLHSADKRRSGRSPGRSPRSSVSGSKSKKSKQPDPEPEAESGHEKGASSPATVTIDMSKLRNDEKWCGGRTPGRSPKSTSSSSKTKSKQPDPEPEPESGDAKGASSPATAATSAASSPRHSDGARSAVVDVDSDPNQHNSSSGSTAEGPCSEDATGPWVLDPIELGDLWQAESEIDALVSIGAGHDSVTQGFHAVGGEAQ >Sspon.07G0012280-3C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7C:50176170:50179535:-1 gene:Sspon.07G0012280-3C transcript:Sspon.07G0012280-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding NYLINCGSTVDATVDNRVFVADTSGPAILTTPTTQSIAATTSPNSVSGFDSAMLYQTARIFGTSSSYAFKLKSRGRHFVRLHFFPFKYQSYDLTTATFKVSTQDVVLLDNFNASSSSSPVFKEYSLNITEDMLILTFVPLGNNTPAFVNAIEVISVPDDLITDSALNLEPVGQYLGLSKQPLQTFYRINVGDRRKAEFPERIGNRGGCTRQCLQYREVVEWYWLHSNMTWQFDVDGRSSYLVRFHFCDIVSKAPYELLFDVYVDSWSVVKDLDLSDKAFGNLAAPFYIDAVLLSSDPSGKLSVSIGPSAVKRAAPEGILNGLEIMKMNISTGSVSVVQPSLGGKSHRGVILGSVLGAVAAVVIAIVLCIFFRRKNKPHPPLPSRPSSSWTPLNGLSFLTTGSRTSRTTLTSGTSGDTSYRIPFVVLQDATNHFDEQMVIGVGGFGKVYKAVMQDGSKLAVKRGNQKSHQGLREFRTEIELLSGLRHRHLVSLIGYCDEHNEMILVYEYMEKGTLKSHLYGGDMPPLSWKKRLEICIGAARGLHYLHTGFAKSIIHRDVKSANILLDENLLAKVSDFGLSKVGPEFDQTHVSTAVKGSFGYLDPEYFRRQKLTDKSDVYSFGVVLLEVICARPVIDPTLPRDMINLAEWAIKWQKRGELDQIVDQRIAGTVRPEALRKFGETVEKCLAEYGVERPTMGDVLWNLEFVLQLQEAGPDMSNIDSMNQISVLPSNANRVSSLDISTTDQSRMPIEYSDMSTSNAFSQLINAEGRVLNFQVTGTSPELHLSWGYHHDMNHCIKLTPLVGSSSWGCGCNQRFLVAILHHQP >Sspon.05G0034300-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:53760407:53771133:1 gene:Sspon.05G0034300-1C transcript:Sspon.05G0034300-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSIVSLLYCLLILLSINITVVTAAEANKTEIDRQALLCFKSGITSDPLGILNSWRNTSRNFCNWSGVTCSTRLPPRVVSIDLTSMHLAGQISGCIANLTSLSQIDLTHNSLSGAIPDELGMLPGLRTLMLAGNHLEGNIPDSLGFSLSLSYVDFSNNSLTGGIPRSLASSSSLSTLILSRNNLAGGIPATLFANSSTLTTVDLQMNSFTGGIPPFDKVTALNYLCVTENFLSGSIPPSIGNISSLRSILLGQNKLTGLIPESLGHISKLLQLDLSFNSLSGHVPVPLYKLSSLKYFSLSSNGLVGQLPSDIGYTLPNLQVLDLSNNSLHGRIPSLGSLAKLRQVLLGRNQLEAYDWQFLSSLTNCTQLTKLSLERNMINGSLPGSIANLSPSLEYLLLGSNQISGSIPVEISNLVNLTMLSMENNLLSGSIPATMGKLRNLFILNLSKNKLSGQIPSSIGNISQLGKLFLDGNDLNGNIPSSLGQCLGLVQLNLSSNSLDRLLPKELFASPPLSLGLDLSFNNLTGEIPEDIGKLEHTVLLNVSNNLFSGVIPGTLGYLSTLSSLRMEGNKLQGGIPETFRNLRSIEYLNLSRNVLSGNVPEFFESLTMLETLDLSYNNFDGPIPTGSFFRNSITLWKKRVFEFPRWEDVLRMIRLRERVQTFPLNNETLKKVSYSDILRATNCFSSVHTISSTRTGSVYVGRFKYDKSLVAIEVFNLNEPAAYESYFIECEFWSASSGDSNRKTPTDDSFVDGLNLHNFAESMFPDRLAEIIDPHMVHEESQPCTEAWMHSCIFLWLRLACHVPWNLQKIDLECE >Sspon.02G0030510-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:111272052:111281756:1 gene:Sspon.02G0030510-1A transcript:Sspon.02G0030510-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:pfkB-like carbohydrate kinase family protein [Source:Projected from Arabidopsis thaliana (AT5G37850) TAIR;Acc:AT5G37850] MARPPILSVALPSDTGRVLSIQSHTVQGYVGNKSAVFPLQLLGFDVDPINSVQFSNHTGYPTFRGQVLDGKQLWDLIEGLEENQLLHYTHLLTVCDPVLGDEGKLYVPQELISVYQQKVVPVASMLTPNQFEVERLTGLRITSEQDGLTACNTLHTAGPQKVVITSALIEGKLLLIGSHKKTEEQPSEQFKIEIPKIPAYFTGTKIIGASKPCLTPRRCTPPAQQAWSSCPDEVELECTHIRPSRCWMRSGHYAAGWKDSLISKVYNRSRYHANQNSDDPAASEKFQEATFSYNILSDQKRGTILVEELEGVLGEEGMAGILVFVNVTGTGDLTTALLLGWSNKYPDNLEKAAELAVSSLQALLKRTVEDYKRAGFDPSTSSLEIRLIQSQDDIRNPTVTCKAVKYGS >Sspon.03G0027370-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:5667039:5681814:-1 gene:Sspon.03G0027370-1B transcript:Sspon.03G0027370-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MESGGGGKHFILVHGLAHGAWCWYKVVARLRAAGHRATALDMAASGVHPARLDEVASFEDYSRPLLDAVAAVPDGDRLVLVGHSLGGLSVALAMERFPGKVAAAVFLAASMPRVGSHMGITIEEDLELAKLLLRSGFQFMDDPTMKDEALLTDANYGSVKRVFVIAKADRSSTEEMQRRTVDLSPGADVEEIAGADHMAMLSKPAEVCEVLVRIARSPRQRAAIMEVGGGKHFVLVHGLCLGAWNWYKVATALESAGHRVTALDLAASGAHPARLHEVRSFEDYSRPLLDAVAAAPDGDRLVLVGHSHGGASLALAMERFPRKVAAAVFVDAALPWVGKHIGVGTEAVHTRSHQPLFHNCFQIKGLLMDCQTVAITGTSIGTGSEDAIGQQGTAIVMGPKFLEKCYKESPAEDVTLAKLLVRPGNQFMDDPVMKDEALLTAANYGSVKKVFVVAKAAHGSSTSTEEVQRWIEATNPGTEVQEIAGADHAVMNSKPRELCDVLVATALESAGHRVTALDLAAAGAHPARLHEVRSFEDYSRPLLDAVAAAPDGDRLVLVGHSHGGLSLALAMERFPRKIAAAVFVAAALPCVGKHMGVTTEE >Sspon.04G0028820-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:68422501:68422851:1 gene:Sspon.04G0028820-1B transcript:Sspon.04G0028820-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRRTQPGGHNLDGEHVVSFSLHSALPSLLTRRRCCVRARTTQGLLPLFLRAVARLAACLATRPVSETAATVLYHAGALPRDPALERLVCDDMLLLDGGDDDCILHFVVGVMRSLG >Sspon.02G0007660-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:25028719:25041079:1 gene:Sspon.02G0007660-2C transcript:Sspon.02G0007660-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKVKNMLKPRPTPQQQLREWQRRLRNECRVLDRQIRDVQREEKNVEKAIREAAKRNDMGSAKARLALAKELVRSRRAVNRLYENKAQLNSVSMHLGEIVATARTVGHLSKSTEVMKIVNNLMKAPELATTMQEFSKEMTKAGVMEEMVNEAVDSALDSEDMEEEIEEEVDKVLASVAGETASQLPDAARTQKIHEASTSRVPEERQAVAEGADDDEEDLEEIRARLAKVRPAMRPAGQLLLPLLLLAVSVAAAAGGGSWADGHGSILRLPSSSPRRFPRSAAVDLIRALNLHPADASPPLSTAGVEGALAPAGTLVERPIRLASFADAGDAGTSVEDLGHHAGYYRLPNTHDARMFYFFFESRGQEDDPVVIWLTGGPGCSSELALFYENGPFNIADNLSLVWNDFGWDKASNLIYVDQPTGTGFSYSSDSRDTRHNEATISNDLYDFLQAFFTEHPKYAKNDFFITGESYAGHYIPAFASRVHQGNKNNEGIHINLKGFAIGNGLTDPAIQYKAYPDYALDMGLITKTQFNRINKIVPTCELAVKLCGTSGTVSCLAAYFVCNTIFSAIRTIIGSKNYYDIRKPCIGSLCYDFNNLEKFLNLKSVRESLGVGDIEFVSCSPTVYEAMLLDWMRNLEVGIPELLESDIKVLIYAGEYDLIWNSRWVNSMEWSGKEAFVSSSEKPFTVDGKEAGVLKSHGPLSFLKVHDAGHMVPMDQPKAALEMLKRWTSGNLSEPSSSSQRLDFTIDWILIACICGLQTIKDEGSLDSLKKADAGLLEDAIWWTDWDCNALA >Sspon.05G0012480-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:31435055:31439452:1 gene:Sspon.05G0012480-3C transcript:Sspon.05G0012480-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTYFPEEVVEHIFSFLPSHSDRNTVSLVCKVWYEVERLSRRAVFVGNCYAVRPERVVLRFPNVKALTVKGKPHFADFNLVPPDWGGYAGPWIEAAARSCVGLEELRMKRMVLSDENLELLARSFPRFKVLVLISCEGFSTDGLAAIASHCKLLRELDLQENDVEDRGPRWLSFFPDSCTSEVNSGALERLVARSPNLRSLRLNRSVSVDTLSKILVRTPNLEDLGTGNLTDEFQAESYARLTSALEKCKMLRSLSGFWDASPICVPYIYPLCHQLTGLNLSYTPTLDYSDLTKMVGRCVKLQRLWVLDCISDKGLQVVASSCKDLQELRVFPSDFNVAGASAVTEEGLVAISSGCPKLSSLLYFCHQMTNEALITVAKNCPNFIRFRLCILEPKKPDAMTGQPLDEGFGAIVRECKGLRRLSMSGHLTDRVFMYIGKYAKYLEMLSIAFAGDSDKGMMDVMNGCKNLRKLEIRDSPFGDVALLGNVAKYETMRSLWMSSCNVTLKGCQVLASKMPMLNVEIMNELDGSSEMEENHTDLSKVDKLCVSEMEENHTDLSKVDKLYVYRTTAGARGDAPNFMVGGIRHAWDQGNSGSGKAPL >Sspon.08G0021410-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:38434100:38449484:1 gene:Sspon.08G0021410-3D transcript:Sspon.08G0021410-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ERRRHERTGLAGTTAVCPVLVRHCGCARARHPTFGRAVTDSNKQFVQSWSRDANQYVADEDDLTYSCRVSGSLLLEEIVTAYEDYGIDSILEASQMRFHESRELKEAGSADWWRLHEASLFALGSLSEQLSEAQDSGLTKYNVRDLLEQMLIDIMGTGVHQYPFLHARAFSNVAKFSSVISKGISEQYLCNAAHAIASDVPPPVKVGACRALAQLLPESNQNLIQPNIMGILSSLVDLLRQASDETLHLVLETLQSAIKSGGEQSTLIEPVISPIILDVWAQHIADPFISIDAVEVLEAIKNAPGCLQPLVSRILPTIGSILAKPKVQPDGLVAGSLDLLTMLLKNAPAAVVKAVFDKCFVSTVQIVLESDDHGEMQNATECLAAFISGGRQELLVWGGEQGHTLKMLLDAASRLLDPALESSVSLFVGSFVLQLILQIPLHLSPHIPDLIAAIVRRMQTSSITGLKSSLIVIIARLVHMSAPNVDGFINLLLSIPAQGYGNSLNGHNSKASEIQGAYQIKVTTTALALLISTRHPELSKVEVQGHLIKTSAGITTRSKARVTPDQWTKIPLPAKIFSLLADTLAEIQEQIGAGDDDDCEEDSDWEEVQNGDASISDDIIHLASVPSIANPSVEHLNAMAKVFAEDDDDDSYDDDLTKTDPLNEVKLVDFLTNIFANLWENDRPMFEYLCQGLSDSQRSAVEKVLGR >Sspon.01G0031140-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:105924800:105928305:-1 gene:Sspon.01G0031140-2D transcript:Sspon.01G0031140-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDESGEASVGSFRIGPSTLLGRGVALRVLLFSSLWRLRARVYAAISRVRSSALPVAASWLHLRNTHGVLLMVVLFALFLRKLSGARSRAALARRRRQYEKAMLHAGTYEVWARAAKVLDKMSDKVHEADFYDEELIRNRLEELRRRREDGSLRDVVFCMRGDLVRNLGNMCNPELHKGRLEVPKLIKEYIEEVSTQLRMVCESDTDELLLEEKLAFVQETRHAFGRTALLLSGGASLGSFHVGVVKTLVEHKLLPRIIAGSSVGSIICSIVATRTWPEIESFFTDSLQTLQFFDRIGGIFAVMRRVTTYGALHDISQMQRLLRDLTSNLTFQEAYDMTGRVLGITVCSPRKNEPPRCLNYLTSPHVVIWSAVTASCAFPGLFEAQELMAKDRFGNIVPFHAPFATDPELGPGASKRRWRDGSLEMDLPMMRLKELFNVNHFIVSQTNPHISPLLRMKELVRAYGGHFAGKLARLAEMEVKYRCNQILEIGLPMGGLAKLFAQDWEGDVTMVMPATVAQYLKIIQNPTYAELQMAANQGRRCTWEKLSAIRANCAIELALDESIAVLNHKRRLKRSIERTAAASQGHSNYVRLRTPRRVPSWSCISRENSSESLSEEISAVATSSTQQGAALIVGTPALSHHVRRNSHDGSESESETIDLNSWTRSGGPLMRTASADRFISFIHNLEIDTELTRPCTVEDYTAGTSSESTFPSDPRPNNSSSVTMQGRCTENSETKSCNFVNTRASQASTPTSSTVSEGDLLQPESTTNGILLNIVKRDALQAQNDSVTELAESSCAEAYVATCDAISGSDCAEDNKDAADSSNHSLDSDDFVVSRQPSADD >Sspon.04G0014420-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:54049655:54050801:-1 gene:Sspon.04G0014420-1A transcript:Sspon.04G0014420-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFQTWHCLAASCLFLLLASAAHGQLSPSFYTTSCPTLGLIVRATMIKALLAERRMGASLVRLFFHDCFVQGCDGSILLDDVGSFVGEKGAGPNVNSVRGFEVIDQIKANVELICPGVVSCADIVALAARDGTFLLGGPSWAVPLGRRDSTTASLTLANSDLPSPASDLATLVSAFGNKGLSPGDLTALSGAHTIGFSQCQNFRGHIYNDTDIDAAFATLRQRSCPAAPGTGDTNLAPLDVQTQLAFDNAYYRKLLAKRGLLHSDQELFNGGSQDALVRKYSSNPALFASDFAAAMIKMGNISPLTGTAGQIRANCRVVNSS >Sspon.02G0034620-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2C:17073005:17073344:1 gene:Sspon.02G0034620-2C transcript:Sspon.02G0034620-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding TPVLEKASKAVWELILDNGGLGKEITETVEKVFCRLSGIDMMPPPPSAAGAHQEKDDMAVDEVVKSKEVDAFEPSSSRKRPFSDVNRKVAGALPNGSATDQHDESEDSDQKI >Sspon.06G0010740-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:58294436:58296463:1 gene:Sspon.06G0010740-1A transcript:Sspon.06G0010740-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor bHLH27 [Source:Projected from Arabidopsis thaliana (AT4G29930) UniProtKB/Swiss-Prot;Acc:Q700E3] MEMGDSFEYYWETQQYLESEELSIYLGTQDDALSCYDSSSPDGSISNSSWAPAAGTVTTAAAGKAEEGPGGAGGGAAAAAAANKNILMERYRRRKLNDKLYALRSVVPNITKMDKASIIKDAIEYIEQLQAEERRMLQEVRALEADAAEERCDYEYDEGALPQAAERGKKRMKRTQSVPSSSVPAPVEVLELRVSEVGDRVLVVNVTCGKGRDAMARVCRAVEELRLRVITANITSVAGCLMHTIFVEVDLDQANRIQMKHMIEAALTQLDAAGSPPSVMSY >Sspon.05G0018290-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:79060542:79063610:1 gene:Sspon.05G0018290-2B transcript:Sspon.05G0018290-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPDPSGDAGNAKAKLPLPQPVTAPAPSSGRPASVLPYKTANVRDHYRIGKKLGQGQFGTTYQCVGKADGAEYACKSIPKRKLLCREDYEDVYREIQIMHHLSEHPNVVRIRGAYEDALFVHIVMELCAGGELFDRIVAKGHYSERAAAKLIKTIVGVVEGCHSLGVMHRDLKPENFLFASTAEEAPLKATDFGLSMFYKPGDKFSDVVGSPYYVAPEVLQKCYGPEADVWSAGVILYILLCGVPPFWAETEAGIFRQILRGKLDFESEPWPSISDSAKDLVRSMLTRDPRKRFSAHEVLCHPWIVDDAVAPDKPIDSAVLSRLKHFSAMNKLKKMALRVIAESLSEEEIGGLKELFKMIDTDNSGTITFDELKDGLKRVGSELTENEIQALMEAADIDNSGTIDYGEFIAATLHMNKLEREENLVSAFSFFDKDGSGFITIDELSQACHEFGLDDVHLEDMIKDIDQNNDGQIDYSEFTAMMRKGNAGATGRRTMRNSLHLNLGELLNPSKT >Sspon.07G0003210-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:7804430:7810940:-1 gene:Sspon.07G0003210-1A transcript:Sspon.07G0003210-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ETASSYSLQPSFSNLRESDGEFQYGSYRKEAADLHSVVLHFSEQKYDIIALIGHSTGGNAVLLYASKYHDVPIIVNISGRFALERGIEGRLGKNFMRRINEDGYIDVKNRTGEVEYRVARASLEDRLSTDTLFSSRAISKDCRVLTIHGAKDEIVPVEDALQFAANIRNHELRIIAEANHRYTGHEEELVSLVLGFLRRMSEEAAAAAAARAGVEESIGRRPRRDGRGEAAAGRVWEFERDLVAGALMGGAVHTVVAPIERVKLLLQTQDGNAALLGRALRFRGFADCVARTVRDEGVLSLWRGNGTAVIRYYPSVALNFSLQDLYRSILKDAGTSADNKFTSIALSNFLAGAAAGCTTLVLIYPLDIAHTRLAADIGRSDTRQFRGIRHFIQTIYKKNGIRGIYRGLPASLQGMVVHRGLYFGGFDTAKDVLVPLESPLWQRWVAAQAVTSMAGLISYPLDTVRRRMMMQSGMEVQMYSSTLDCWRKIYRLEGIRSFYRGALSNMFRSTGAAAILVLYDEVKKFMDRGRL >Sspon.02G0026720-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:94730120:94735532:-1 gene:Sspon.02G0026720-1A transcript:Sspon.02G0026720-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MANQLRKRIMKKRRVKTLSFAITNDVCIEVNTYALAERSFICNDTGALLQDAQTRFQMYNDTIVKFSVHELSEVLCSGIASVSSCSLLLYGNYPLNAENVVIFSMFALAFYGNPTRPQLVALVAQVRDSVLPCLFNILISIYPNMLFKINSGLYWSIKQEEVTSSGGQFEPPGMHMIYLPYSNDIRYPEEVHVTYDDAPRATDEQIKKASNLFKRIDLKNFSAYQFANPALQRHYGILEALALGEDEMPDIKDETLPDEEGLSRPGVVKAIEEFKTSVYGENYDQEEVDAAAGKGSRGDASKKRKEITDAAAQLSAAYDWEELADNGKLKQMTAAELKSYLTAHDLPVSGKKEVLVSRILTHLGK >Sspon.01G0027360-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:94500335:94502192:1 gene:Sspon.01G0027360-3C transcript:Sspon.01G0027360-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MWCCSGAEDESHAAPLAANNPAATPPRAPAQPRGPSMPRTGAASAAKVLPIDVPAVALSELNRLTGNFGDRALVGEGSYGRVYRAKLATGETVAVKMFDNGSSSGQSEVEFCEQLSVVSRLKCEHFTQLLGYCLELNNRIVLYQFATMGSLYDILHGTVQSKPTETHAVHDGATPRLSEDKVKQCVDPKLKDDYPPKAVAKLAAVAALCVQYEADFRPNMTIVVKALQPLVNARPGGESP >Sspon.02G0016560-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:46807628:46811185:-1 gene:Sspon.02G0016560-2B transcript:Sspon.02G0016560-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMGSPSKARSQSTLSLLAPGGLVGADVEVMGREADAEHLDLGELVAYGGDTLADEVRVDVEASVGEDAEVLVLLAMEAEGVAVALVKFHFEVTDTNFRFLEHLRDFHDVFTIVLDNNGSNTVEHVSLHSSLRTPEDRTHAIGSVIKSLGEMIPGIRNELYPVTSSYGMPVYFSLERAAAPYFGIKAYGVHMNGYVEKDGQKFLWIGKRSDVKQTYPGMLDHLVAGGLPYGISCKENIIKECEEEAGIARSISTKYKRDVLFCYDLKLPADFVPNNE >Sspon.02G0028510-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:103714824:103718685:-1 gene:Sspon.02G0028510-1A transcript:Sspon.02G0028510-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWATRFLAAVCFFAAGVLFVPEALLGSPSGAGAVTAAKLAHVLSFSTSWGAALWATFIGGIIMFKNLPRHMFGNLQSKMFPAYFTLISACAAISVAAFAYLHPWKTASTVERYQLGFLLSALGCNLSNLLVFTPMTIEMMKKRHKIERDLSIGEEVGWSKNQQVAKSNPTLAAMNKKFGMIHGLSSLANIMSFGSLAMHSWYLASKLEL >Sspon.01G0047450-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:103813707:103817015:-1 gene:Sspon.01G0047450-2P transcript:Sspon.01G0047450-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGGAAISAGPTPPSATATAVEWHQRPPNPKNPVVFFDVTIGSIPAGRIKMELFADIAPKTAENFRQFCTGEHRKNALPQGYKGCQFHRVIKDFMIQGGDFLKNDGTGCTSIYGTKFDDENFIAKHTGPGLLSMANSGINSNGSQFFITCAKCDWLDNKHVVFGRVLGDGLLVVRKIENVATGANNKPKLACIISECGEM >Sspon.06G0026940-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:81685450:81686815:-1 gene:Sspon.06G0026940-1B transcript:Sspon.06G0026940-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKALALSDPPAKDPEKANADMKMRIAAAEEVEVDVMDIRKASLIRFALDVLFRCICCFVLVW >Sspon.05G0032670-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:32399515:32402898:-1 gene:Sspon.05G0032670-2D transcript:Sspon.05G0032670-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKKPSGGGDAVVAGGGGGGSRGILGPGKAGLCSLSCGSGGGFWTSWSEAAAPVAKEAGTSAAGGGKRAQVWDWPEPEAKRKRPRGDDGEGDAGGEEEEEEVAYEWRWTEAVSPEILALVLRGRVAADDVARGAAQVCRAWTQAVASPDMWGDVDIEAWCRRVNCRARADAAVRRLVARAQGTLRSLSAYRVGDAALTYVAASGKLLNVLQIPMSEITDEAVEKHAECLPVLKVLDISNCLNISPKGIEALGRHCKLLVQLKRNMPPPDLPQGFNTAAKAVEEEALAVANTMPMLEQLELAYGLFSDLALNAILTKCQQLRTLDILGCWNVRLEGDIEERCCALQSFREPWEPEYGTDSSSGGDYESVT >Sspon.02G0015910-4D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2D:37626472:37632211:1 gene:Sspon.02G0015910-4D transcript:Sspon.02G0015910-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:HTH [Source:Projected from Arabidopsis thaliana (AT1G72970) UniProtKB/TrEMBL;Acc:A0A178WG21] MASSSRAVLFFKLLLLACLLCLLELSQGKEPFFTLKNLPPLQKASRYPAGCPTTYDYIIVGGGTAGCPLAATLSLRYKVLLLERGGSPYGNRNVSYMENFHIGLMNMAPDSPSQAFISTDGVINARARVLGGGTCINAGFYSRASSSFIQEVGWDEDLVNKSFPWVEEKIVQWPKIAPWQAALRDGLLQAGVAPFNGYTYDHVSGTKVGGTIFDETGYRHTAADLLAAGDPDNLRVLLHASVHKIVFDSRQGRLKARAIGVQFTDENGRHHQAFLNSNKDSEIIVSAGAIGTPQLLLLSGIGPKNDLKNHNIPVVLHNKYVGKGMADNPMNSIFIPTRSPPRQSLIETVGITEEGVFIEASSGFGQSSESVHCHHGIMSAEIGQLSTIPPKQRTLEAAQKYTHNKLNLPKEVFHGGFILEKIDGPLSTGHLVLTDTDVGNNPAVTFNYFSHPQDLNRCVYGIKTIERILKTNRFSELSADGAGLSMERVLNMSVQANVNLIPKHTNDTESLEQFCKDTVITIWHYHGGCHVGKVVDQHYRVLGVSGLRVVDGSIFSRSPGTNPQATVMMMGRYSTSRR >Sspon.05G0011220-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:32001068:32003353:1 gene:Sspon.05G0011220-1A transcript:Sspon.05G0011220-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein disulfide-isomerase 5-2 [Source:Projected from Arabidopsis thaliana (AT1G35620) UniProtKB/Swiss-Prot;Acc:Q94F09] MATRVLPPALLPLILLLLLPLSARDTVAAGEDFPRDGRVIDLDESNFEAALGAIDFLFVDFYAPWCGHCKRLAPELDEAAPVLAGLSEPIVVAKVNADKYRKLGSKYGVDGFPTLMLFIHGVPIEYTGSRKADQLVRNLKKFVAPDVSILESDSVIKTFVENAGTSFPMFLGFGVNDSLIAEYGRKYKKRAWFAVAKDFSEDIMVAYEFDKVPALVAIHPKYKEQSLFYGPFEENFLEDFVRQSLLPLVVPINTETLKMLNDDQRKVVLTILEDDSDENSTQLVKILRSAANANRDLVFGYVGIKQWDEFVETFDVSKSSQLPKLLVWDRDEEYELVDGSERLEEGDQASQISQFLEGYRAGRTTKKKISGPSFMGFLNSLVSLSSLYILIFVIALLVVMVYFAGQDDTPQPRRIHEE >Sspon.01G0052710-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:25132327:25133314:1 gene:Sspon.01G0052710-2D transcript:Sspon.01G0052710-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALTVPTAALVVLAVAATLALQPRASAQISAPPLGAPAAAPSPSLDCAGALLNLTPCLTYMERRSALTRPEKGCCGALAAVVGGDDAACLCALLAGYGARGVRVDTVRALALPTICRVDAPPPRLCAALGMPVAESPGGAAAPMDSGSDAPSTTPATAAANGGPATRPRASTRPYLVAALSRGCLIVLLSTLLL >Sspon.01G0014570-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:42064238:42065132:1 gene:Sspon.01G0014570-1A transcript:Sspon.01G0014570-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQGRGSATRGLALGGLLAVCLLLGVADAATHRVDWSFNADNWSKGKIFRAGDVLEFNYDPSVHNVVAVDAGGYYGCRSSGTPYGSGSDRITLGSGTSYFICSLNGHCGMGMKMIVNAS >Sspon.01G0032980-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:111684397:111687698:1 gene:Sspon.01G0032980-1A transcript:Sspon.01G0032980-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:U2 small nuclear ribonucleoprotein A' [Source:Projected from Arabidopsis thaliana (AT1G09760) UniProtKB/Swiss-Prot;Acc:P43333] HGQAHRRPDMEEPALLQRHQGARARPPRQQDRRHRESRSHRDQFDTIDLSDNEIVKLENFPYLNRLGTLLVNNNRITRINPNLGEFLPKLHTLVLTNNRLTNLAEIDPLASLPKLQFLSLLDNTVTKQPDYRLYVIHKLKHLRLLDFKKVKQQERVAAAKKFHSKEAEEEAKKVPAKTFTPGLPDAQDITMEPQGPKVVAPTPEQIMAIKAAIANAHTLEEAARLEQVLSTGQVPAEFAVPKPDANMAEASEGAEKMDTDGQNQEGEADGQKQDDESTPIQEV >Sspon.03G0036820-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:94478140:94478985:-1 gene:Sspon.03G0036820-1B transcript:Sspon.03G0036820-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GGGARHRQPGGSARRCGPHGARAREAPHDRAPRCLPHAAPGPLPQSPHDGPPAPARPGQARRQGAARPPRRRAPLPPPHGRPVLLLVPRRRPGSISLRAPRRRHLGRPLVRPVRPPGRGVQLHHHAVLLLRQRRRSPGPGARAVSFHQVPRPRRPGQRWRRRVRRARLRAGGARAREDARRRRGRRRRRRRIRLRSACSVVGVAVAIDRRGHAVAHAGAQPRPQPRRGPPPARHRLALPRRHPRGPRRPRRRLHLRRLHQQVLRDPPPAAGRRHPRQPPRLA >Sspon.02G0028250-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:102198843:102205139:-1 gene:Sspon.02G0028250-4D transcript:Sspon.02G0028250-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LLYPKVLIHKSRALLASQARPDSWKGMESTAALARTAPPLAGSTRQPSCALRPTASLSFSAASTTPRGRLGLGLSMASPGSGRAARARAVPRRIVASSEVEQSYIMIKPDGVQRGLVGEIISRFEKKGFLLRGLKLFQCPKDLAQEHYKDLKDKPFFPKLIDYITSGPVVCMAWEGDGVVASARKLIGATNPLQAEPGTIRGDLAVQTGRNVVHGSDSPDNGKREIALWFKEGELWQWESVQTPWLIE >Sspon.07G0017300-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:62363739:62364214:1 gene:Sspon.07G0017300-1A transcript:Sspon.07G0017300-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLVTLEGNPSLVVPKLKQEWLSSVLANWQLWIPFQFLNLYFVPQKLQVLAANFIALAWNVILSFKAHKEIVAK >Sspon.07G0029550-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:77028491:77032471:1 gene:Sspon.07G0029550-1B transcript:Sspon.07G0029550-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGASIGRRAVSHLKDLRRLLHGAMVCSEYLYGTARRIGHTHPSLLPGLHGLWKQVGGKDCWKQRRRLLGTRRKAEEACWKEESRR >Sspon.04G0022310-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4D:9474947:9476218:-1 gene:Sspon.04G0022310-2D transcript:Sspon.04G0022310-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPSNSHASGGDEERLPLRGALETQQRAPGTSPPPPVHHAAEQLKEARRGGSRLWRASVRAGLLLCLLTVPAVLLLLRWQADSSPQWVFDFEAPEEDDDQENPNGDGRTALAVASMYFAGGPGHRREVGPTARSLWRMPDQTKLTLSSFPIVGKRTIAVWKIHGS >Sspon.05G0019090-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:76346625:76347938:-1 gene:Sspon.05G0019090-3D transcript:Sspon.05G0019090-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAGGCAVQQALAAEAAAVVRQAVALARRRGHAQVTPLHVASAMLSAAGLLRAACLRSHSHPLQCKALDLCFNVALNRLPTAGPAAAVMRPNAGGKADDDAMRVLDCMASGTKRCVVVVGESAATAEVVVKAVMDRVSKGELQQRHERLKNLQFVPLSAASFQRMPREEVEAKAGDLRALVRQGCAAGKGVVLVLEDLAYAAEAWAAVSERRRHGSGGREHGQFGYCPVENAVMEVGRLVSAAAGGGGRGFARFWLLGFGNNQAYMKSRAGQPSLEAVWELHPVVVPDGGLSLSLTCNRFVA >Sspon.01G0022680-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:80009008:80009217:-1 gene:Sspon.01G0022680-3D transcript:Sspon.01G0022680-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLFDSSTVVSFGIFSRYGVHCRTLPGTPKLHDSHHKPSKVMPLPPEQPCPTPGSHSESPAAWSNKNRS >Sspon.04G0027910-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4C:64406164:64407690:-1 gene:Sspon.04G0027910-2C transcript:Sspon.04G0027910-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAVVSAVVADLVGRAISLLAGKLQDRRGVEGKLRRLRHLVVKLESVVEAADARRITSRALLEWLSELVGAAHRGRYFLDAFGGKAVEVTDEDEDDGHGGGEPGRSLFSPSSFNAAKRVRVAARRTLFHGGGSGAGELDGALADMESVSSDLAEFIMLVQCCPPALHRPLATNIYADCQVFGRHLERRRVIDFLLHEGDSGGGGGELGVLPIIGRAGLGKTTLVQHVCDEPAVRRHFSLIMLLDFHCMSLTVPSEAVQLLRSLFSVASTAGTSLAAAGEQLRLLERKLRGERFLAVFDNVDPRKKPVIDAIMPALRRGRTGSRIIVTGSDKHVVELGTTEPIILRPLPPEEYWFFFKAHAFGGADDAEADPRLAAAGQAIAKRLRLRGSFFGAKAVGALLRSRPDHRLWRRVLAASHAESSWFGNGDYVAATAGSLLPPHVTVRGVAVSGSPVRGLVGLQAATLTAPADADGGGGRLELPVLLCKSVFPSYCLYYTAYCTIDAERKQ >Sspon.01G0003730-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:10557290:10560629:1 gene:Sspon.01G0003730-1A transcript:Sspon.01G0003730-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding KNYLDVYCFDSWGGTLLPTFNIGQQAGIGTDATMHDHIKKLLDRCYATKDENTRFSPTKLGEALVMGYDEMGYELWKPYLRSMMEADMKSVSMGTKSKSEVLESCLQQMKACFLDARVNKAKLLDAMGTFFARSNRPINETQNPVEVVRPCGACNGSEMVLKRRATGEFMVGCRSYPQCRNVVWLPGSLSEAAVTNQVCPICAPGPVYKIQFKFRRRDIPPNFDVDHLGCVGGCDDILKELMELSRFGSRSQSATPVYKAATLFTSYIQLQVKCCALHVEQLAYYELLIQRLTGGGSSTNVKILAVASS >Sspon.07G0017560-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:79507141:79513667:1 gene:Sspon.07G0017560-3D transcript:Sspon.07G0017560-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAARVHPKHKTIRDVLLSFEYNRVGRSSNPAESLTNVLAMCLYGAMTSFSKLATLGGYLPKSDSTNTAGDSATRACSKLLGWCATMTWSAPPNLLHSGRAVRYATSFSCSALSLLTFSGGTGREWRL >Sspon.07G0027150-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7B:58897025:58898143:-1 gene:Sspon.07G0027150-1B transcript:Sspon.07G0027150-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLSLGLVSTPLAPFSELPSAGFSFSPRGTAVLARINCLQGKSDHGEHWTYTCDRARGSREPHLHESLFSSNAHPSAALPSRLAVARQPTSVPATPPPQPHLPLLPASLQPLLLPTRRAATSGGPDPSQTVAPMRVAPHPLSLLLPWCAAARPSPSPRWQLGTRAWLPRPLCARRARP >Sspon.04G0010110-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:28730027:28732269:-1 gene:Sspon.04G0010110-3C transcript:Sspon.04G0010110-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGVGGPLLTIGDLLSDLAVDGGDDPLVGVGDASAPSSPSAAQQAGKADPSDLSRLFEALQEKDPSWPSLMLKLCAALKTADKLVSCANTNAEQLLEKVKALEGVLERGDHAVAEIVEALQRSGLAKDHQSSRSKSASK >Sspon.06G0020850-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6B:11262338:11263074:-1 gene:Sspon.06G0020850-1B transcript:Sspon.06G0020850-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLGLPFIRSHAATCPLASPSPRHSAASISVSALSSVSTSFHFIQIATAGVPPSRRRRPGEWRPRGRERSPCGVGRCGVTSGSCEPLRGARETESRRRHSEV >Sspon.06G0008240-4D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6D:31830271:31835991:1 gene:Sspon.06G0008240-4D transcript:Sspon.06G0008240-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ADP-ribosylation factor 3 [Source:Projected from Arabidopsis thaliana (AT2G24765) UniProtKB/Swiss-Prot;Acc:P40940] MGIVFTRLFSSVFGNREARILVLGLDNAGKTTILCKSHPAHSVPSSLLESLQAHRGSAVLFREGSPILILPCGLVRFTDRLQMGEVVSTIPTIGFNVETVQYNNIKFQVWDLASFYLPSISFAAPQLFHLHQRCSLPALWLESERSVMSNRPPLLCPVACWWIAPCYSSTSLDELGELGGRLWMRESSKEVGMSWIHGCLLRDRRPYWRCYFPNTQAIIYVVDSSDTDRLVTAKEEFHAILEEDELKGAVVLVYANKQDLPGALDDAAVTESLELHKIKSRQWAIFKTSAIKGEGLFEGLDW >Sspon.01G0022950-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:82141435:82145278:-1 gene:Sspon.01G0022950-3C transcript:Sspon.01G0022950-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding HEPARAEEHSLRRWAGGGGGREDPARRVRALRRGQGRQDAARPVHAEAPLLRLRHLPRARGRRRRHGQHGRRRALRPRAHRQLRLPRAHQGRGAGMGCPAFWADADTWFERQQQEEEMQRLQAEHRAAMQAAEKLHREKLAAEREGEKEEETADPMAAAEAQAVKQ >Sspon.02G0004070-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:12535896:12537055:-1 gene:Sspon.02G0004070-1A transcript:Sspon.02G0004070-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MESPMEITEEQRRRSEANRLAALEKRKRLAEAAAAAEPATAFPASGAPTFPAYDTAAAEWRLAKCPRIAPPAPQPPFAPLPPRPSPPPPPPPTPPQPPVGFQVVLEVCGPDEFLVAVGPVEGRAYPGEAECLGAVQDCLAAASVSWSWPKWASDEEVDELLKKLPQQIKDALLPFQLEGVMFGLRRRGRCLIADEMGLARLS >Sspon.05G0008520-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:27567827:27569831:1 gene:Sspon.05G0008520-4D transcript:Sspon.05G0008520-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIRCADPTVRFLRERMEKAGCQVWPRLIQAATCSDAGGYASGHGIMVCCNHMAFQDQITQVLIHELIHAYDDCVAKNLDWKNCAHHACSEARKLSPFTMSCIIRANHLSGDCHYKRELLRGFMKIRGHEQDCVKRRALMSLKNNPYCSETAAKDAIEAVWDICYNDTRPFDRAP >Sspon.01G0047130-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:91260892:91262286:-1 gene:Sspon.01G0047130-2C transcript:Sspon.01G0047130-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTADDSMHIVIFPWLAFGHLLPCLELAERLATRGHRVSFVSTPRNLERLPPVRPALAPLVELVALPLPRIDGLPDGAESTSDVPYDKFELHRKAFDGLAAPFAAFLDAACAAVDGARRRPDWVIADFVHHWVAAAAQDRNVPCAMLIPCAASIAGSTGPPPPGDSHAEQQRQAIDQSTSAAPAFEQQQAAELFATEGDSGPSVISRFVQTLARSRFVATRSCPELEPEAFPLLTRLYGKPAVPLGLLPPQPDGTRGVSRSTEDDSTMRWLDAQPAKSVVYVALGTEAPLRVELLREAHGLELAGTRFLWALRTPAGVQEDSIIPDGFVERTGDRGLVATRWVPQVRVLAHGAVAAFLTHCGWGSIVEGLQFGHPLIMLPIFADQGPNARLMEEWKVGLQVARNEKDGSFDRHGVAGAVRAVAVEEEGKVLATNARKLQHIVADRACQERCIDVFIQHLRSCKG >Sspon.06G0007100-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:26644346:26648305:-1 gene:Sspon.06G0007100-1A transcript:Sspon.06G0007100-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEWIRQAEVWVRQAESWIRQQPPEQIYVAAAVVAVTILVLILASCLKSSKPNTIVLSGLSGSGKTTLFYQLRDGSSHQGTVTSMEENSDTFVLHSEQERKGKVKPVHIVDVPGHARLKPKLDEVLPKAAGVVFVVDAQDFLSSMQAAAEYLYDILTKATVVKKKVPVLIFCNKTDKVTAHSKEFIKKQLEKELNKLRESRTAISSADISDEVQLGVPGEAFNFSQCQNKVAVAEGAGSTGNVSAVEQFIREHVKA >Sspon.03G0019130-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:59294190:59296378:1 gene:Sspon.03G0019130-1A transcript:Sspon.03G0019130-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding APAVRAAPPPPTPPAPPTPPLRRGRSPPPPRPSSQPSGPRLRLPASARPPPTPRSSTSRLTCPRTGGSSPPTPPPASSSPSAYPPRHTPSSSPSRPLLPHPPTPEAGLAGSGSASCFDFLVKRLPGTPSALLCDLRPGDLVHVGASVVGRGFDVARIADARDVLVFATGSGISPIRSLFESGFAENKKTGVSLFYGVRNLQRMAYQERFHDWESRGVKIVPVLSRPDGQWTGERGYVQNVFSRMKNIVNPSSVGAILCGHKQMTE >Sspon.06G0016990-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:92662947:92663132:-1 gene:Sspon.06G0016990-1A transcript:Sspon.06G0016990-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEIDMNVMDGTKLEEVDIARAALYLASDDAKYVNGHNLLVDGGCTVSRSAKNTGTGAPKE >Sspon.05G0030840-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:1624268:1632195:1 gene:Sspon.05G0030840-1P transcript:Sspon.05G0030840-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SRT1 [Source:Projected from Arabidopsis thaliana (AT5G55760) UniProtKB/TrEMBL;Acc:A0A178UR49] MSLGYAEKLSYREDVGTVGMPEIFEPPELVQNKIEELAAMVQKSKHLVVFTGAGISTSSGIPDFRGPKGVWTLQRAGKGVPNASLPFHRAVPSLTHMALVELERAGLLKFVISQNVDSLHLRSGFPREKLAELHGNSFKEICPCCKTEYLRDFEIETIGLKDTPRRCSDKNCGARLKDTVLDWDFSGNLVHRAKIQRFKVFQRQMWRILEKASLFSLSVH >Sspon.01G0059100-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:39355625:39357456:-1 gene:Sspon.01G0059100-1D transcript:Sspon.01G0059100-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPPASSSGAPPTGVRTRGQLASEAQRAQGGQSTRAPRSTRPAGGPAVRPPQAPSGVSDRPGSRPLLPLSGNKRKAELAGPTPV >Sspon.04G0012840-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:55721428:55723123:-1 gene:Sspon.04G0012840-3D transcript:Sspon.04G0012840-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Branched-chain amino acid aminotransferase like protein [Source:Projected from Arabidopsis thaliana (AT5G57850) UniProtKB/TrEMBL;Acc:Q0WW83] VAERLDTFHASGARNQNYMAMYSSIFGGITTDPSAMVIPIDDHMVHRGHGVFDTAAIMDGHLYELEQHLDRFLRSALMAKIPLPFDRSTIRSILIQTVSASNCTQGSLRYWLSVGPGDFQLSSSGCANPALYAVVIESPSLQVPSCCKVVTSSIPIKSPQFAVMKSVNYLPNALTKVEGEENGAFTGIWLDDEGFVAEGSNMNVGFVTKSKELLLPCFDKILSGCTARRVLTLAEHLVAHGKLSGVISGNVSVQEGKMADEMMLIGSGILVKPVVQWDDQIIGSGQEGPIAQALYDLILEDMRSGPPSVRIPVPY >Sspon.01G0022030-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1A:80735872:80751196:-1 gene:Sspon.01G0022030-1A transcript:Sspon.01G0022030-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At3g53700, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G53700) UniProtKB/Swiss-Prot;Acc:Q9LFF1] AGVVRSFVESYARLRRFDDAVDLVLNRLDIFGVQADTAVYNHLLNVLVEGSKMKLLESVYNEMAGRGIQPDVVTLNTLIKALCRAHQTTFTTLMQGFIEEGSIEAALRVKAKMMEAGCSPTGVTVNVLINGYCKMGRVEDALGYIQQEIADGFEPDQVTYNTFVHGLCQNGHVSHALKVMDLMLQEGHDPDVFTYNTVINCLSKNGELDEAKGIVNQMVDRGCLPDTTTFNTLIVALSSQNRLEEALDLARELTVKGLSPDVYTFNILINALCKVGDPHLGIRLFEEMKSSGCTPDEVTYNILIDHLCSMGKLGNALDLLKEMESNGCPRSTVTYNTIIDALCKKMRIEEAEEVFDQMDAQGILRSAVTFNTLIDGLCKAKRIDDATELIEQMVKEGLQPNNITYNSILTHYCKQGNIKKAADILETMTANGFEIDVVTYGTLINGLCKAGRTQVALKLLRGMRIKGIRPTPKAYNPVIQSLFRRNSLRDAINLFREMTEVGEPPDALTYKIVFRGLCRGGGPIKEAFEFLVEMVNKGFMPEFSSFRMLAEGLLNLGMDDYLISAIELIIEKAKFRESDVSVIRGYLKIRKYYDALATFGRLLEINNPQWTYR >Sspon.01G0001280-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:2845196:2852018:1 gene:Sspon.01G0001280-2B transcript:Sspon.01G0001280-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTATALMDGKVAALVKVSAAVWAAMSYARLAAARLRPGAPRLLALLPVVALLCAIPFAFSTSTFRGTSGFFLAWLGSFKLLLLAAGIGPLDPSLRLSHFVCSASLPVKLRRQSKEKSQDPVRGPARILLSGAVIPGVIYAYQFKSSMSSSVGMEPQVDRPYLALSLRDFWGRRWNLMVPSILRPSVFRPVRARLGAAAGVLAAFLVSGLMHELMFYYIMWSAPSGEVTAFFLLHGACAAAEGWWASHAWWWRPPRAAAVPLTLAFVAGTGFWLFFPAMVKGGLDEMVLRECQGMVVLMEQAARRLAGATDLVSSTM >Sspon.06G0015220-3D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6D:62636269:62637990:1 gene:Sspon.06G0015220-3D transcript:Sspon.06G0015220-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGATVTVEEVRKAQRATGPATVLAIGTATPANCVHQADYPDYYFRITKSEHMTDLKEKFKRMCDKSQIRKRYMHLTEEYLAENPNMCAYMAPSLDARQDIVVVEVPKLGKAAAQKAIKEWEQPKSKITHLVFCTTSGVDMPGADYQLTKMLGLRPSVNRLMMYQQGCFAGGTVLRMAKDLAENNRGARVLVVCSEITAVTFRGPSESHLDSMVGQALFGDGAAAVIVGADPDERVERPLFQLVSAAQTILPDSEGAIDGHLREVGLTFHLLKDVPGLISKNIERALEEAFKPLGISDYNTIFWVAHPGGPAILDQVEAKVGLEKERMRATRHVLSEYGNMSSACVLFILDEMRRRSAEDGQATT >Sspon.01G0022970-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:82098761:82104453:-1 gene:Sspon.01G0022970-3C transcript:Sspon.01G0022970-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVDDVLSNLRVVGVPTKSAIYIWGYNHSGQTARKGKECHLRIPKSLPPKLFKLGNGKNLRWTDIACGREHTAAVASDGSLFTWGANEFGQLGDGTEESAKEPKKVKSLESEFVKSVSCGAHCTAAVAEPRENDGTVSKSRLWVWGQNQGSDYPRLFWGAFTPNTVIKQVSCGAVHVMALSEDGLLQAWGSYNEYGQLGRGRTSQGLQGARVLNAYARFLDEAPEQVKIVRVSCGEYHTAAISENGEVYTWGLGSMGQLGHCSLQSGDNELIPRRIVALDGIVIADVSCGGVHSCAVTEGGALYAWGGGHVGQLGVGPQGFFSCSLNGSDMLLRNIPVLDSAGAALATTCVGEVRRLAAGGGHSAVLTDACSLKELCEFKLAETVNLSNAELIEDVASRTGADALARLCGKVSGSFWHYHREHLDKEGECEFLEKQVAEEVKTAAS >Sspon.02G0014780-5P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:18310464:18311591:1 gene:Sspon.02G0014780-5P transcript:Sspon.02G0014780-5P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQRLTYRKRHSYATKSNQTRVVKTPGGKLVYQYTKKRASGPKCPVTGKKIQGIPHLRPAEYKRSRLSRNRRTVNRPYGGVLSGIAVRERIIRAFLVEEQKIVKKVLKIQKTKDKTAAK >Sspon.04G0027140-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4B:56182343:56183128:-1 gene:Sspon.04G0027140-1B transcript:Sspon.04G0027140-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQVMFNHVNGKPPFFDGVSSFDHWKRKMKQYLGNIHEKVWDVTNEGYIILDEENLTPNDRINKQCNTAALDTIYRAIDDKVFEQIKDLEKANELWIRLEETYEGTSTVKSAKLYMLKDKFSTFKMKDDESIPEMFYRLQVIVNDLKGLGEKVEDKDFSHKFLMCLPKKFATLRRMIFREGLDKVKPTNVLGDIMTDAQYNDDEDEDEKKETKVKQEKPIAFKASSSKGKSKVESDDDDPFDDETMALLVHKMGRFMKKKGY >Sspon.08G0002310-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8A:7017725:7017936:1 gene:Sspon.08G0002310-1A transcript:Sspon.08G0002310-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VPGLPNDLALRSFQMDQLIRKNKESKPALAIFGTDFLSRIHQAKILSCRMTIVCCKLSFLSTADHSSLV >Sspon.07G0018160-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7A:65358127:65358639:1 gene:Sspon.07G0018160-1A transcript:Sspon.07G0018160-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPASAKQQQQLAAAAVLVALLLVCTGTAPATVSGAVTCAQVVRDLTPCISYAMGAGGATTAPGQDCCTGIKSLNGAAGTAADRQATCACLKQATASMGALKPDLVASIPSKCGVVIPYPISRSTDCSKVQ >Sspon.01G0043100-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:62728038:62745667:1 gene:Sspon.01G0043100-3D transcript:Sspon.01G0043100-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLFVIVYNASIFSKTIDSGVGVKEKKEYSRMETEIRRKGATPTRKKADAHPRAQEHHPRPTRDQIRAPIPSSSPAPRAKIYTKPRESGLIRAPDLALDPGPPGRSVLQPPARSQRRSCGFDLVVEGRGGGMSARIKEMVRVATARLGGEQAGGGGGASSSSGFTRRESTARLGGGGTSLRRQPQPMAPSVRTVYCNDREANAPVGYKGNSVSTTKYNILTFLPKGLFEQFRRVANLYFLMISILSTTPISPVHPVTNVVPLSIVLLVSLIKEAFEDWKRFQNDMSINNAHVDVLQGQCWESTPWKRLQVGDIVRIKQDGYFPADLLFLSSTNPDGVCYIETANLDGETNLKIRKALEKTWDYVLPEKASEFKGEVQCEQPNNSLYTFTGNLIMDKQTIPLSPNQLLLRGCSLRNTEYIVGVVIFTGHETKVMMNSMNVPSKRSTLEKKLDKLILALFATLFTMCVIGAIGSGVFINEKYFYLGLRGHVEDQFNPKNRFVVTILTMFTLITLYSTIIPISLYVSIEMIKFIQCTQFINNDLHMYHAESNTPALARTSNLNEELGQVEYIFSDKTGTLTRNLMEFFKCSIGGEMYGTGITEIEKGGAERAGIKIDDDEGKRSANAVHEKGFNFDDARIMRGAWRNEPNPEACKEFFRCLAICHTVLPEGEETPEKISYQAASPDEAALVAAAKNFGFFFYRRTPTTVMVRESHVERMGSIQDVPYEILNVLEFNSTRKRQSVGADNVVYERLADGNHDMKKISREHLEQFGSAGLRTLCLAYRDLSREQYESWNEKFVQAKSSLRDRDKKLDEVAELIEKDLVLVGCTAIEDKLQEGVPTCIETLSAAGIKIWVLTGDKMETAINIAYACSLVNNDTKQFIISSETDAIREAEDRGDPVEIARVIKDSVKQSLKSFHEEAQHSLTSTPERKLALIIDGRCLMYALDPTLRVDLLGLSLSCHSVICCRVSPLQKAQVTSLVRKGAQKITLSIGDGANDVSMIQAAHVGIGISGQEGMQAVMASDFAIAQFRFLTDLLLVHGRWSYLRLCKVITYFFYKNLTFTLTQFWFTFQTGFSGQRFYDDWFQSLYNVIFTALPVIIVGLFDKDVSASLSKKYPQLYKEGIRNSFFTWRVIAVWGFFAFYQSIVFFYFTAAASRHGHGSSGKILGLWDVSTMAFTCVVVTVNLRLLMACNSITRWHYISVAGSIVAWFMFIFIYSAIMTSFDRQENVYFVIYVLMSTFFFYLTILLVPIIALFGDFLYLSIQRWLFPYDYQIIQEQHKDEPHEYSRVQLPETSHLSPEEARSYMISMLPRESSKHTGFAFDSPGYESFFASQQGVGVPHKPWDVARRASMKQQRAGKS >Sspon.05G0012940-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5A:40712519:40714113:-1 gene:Sspon.05G0012940-1A transcript:Sspon.05G0012940-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLVLGALAMEQVANALCLFLVALLLPVLLLKLNWHGGSAGQRLPPGPSRLPVIGSLHHLLFMRIPLAHRAMAELSRRHGTPLMYLRLGEMGLVVASSPAAAQEIMRAHDVAFASRPWIPSVRPAMERGAVGLAFGRYGALWRQLRRISVLELLSAKRVRSFRRVREDEVRRLVAAVALAAATPGEAVNVGKRVAALTADATMRAVVGDRFEWREEFLRAIEEGSMLVSGFSLGDLFPTSRLASFFSRTAGRVTAVQRKTMELMDRAVRQHEERRRAGTTVDDDEDILDALLRIHKEGGLEVPLTMDIVKSLIIDLFSGGSDTSAATLGWAMSELMRNPGAMEKAQAEVRSKLQGKPAVTEDDLHDLRYLKLVIKETLRLHPPLPLLLPRECMEDRKVMGYDVPKGSMVLVNAWAIGTDPEHWDDADAFKPERFEDGRIDFKGTDFEFIPFGSGRRMCPGATFALATVELVLASLLYHFDWELPGGVTPGELDMDEKMGMS >Sspon.02G0012720-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:33984306:33984934:1 gene:Sspon.02G0012720-1A transcript:Sspon.02G0012720-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANASSRVAVLLFAVYASLASATTYTVGGVHSWMTGVDYADWASGKTFAVGDKLLFSYVRTDHTVTKVSKSEYDACSGSDAMSEDNTSGLTTVTLSTPGMHYFICIMPDHCVSGMKLAVNVSVTTPAGSGLEVTGNNAGGGLLVPVMAPVVAAATGALIELGL >Sspon.08G0013350-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8A:56134717:56135742:-1 gene:Sspon.08G0013350-1A transcript:Sspon.08G0013350-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIPLAAHHCQGDEAIRVVSCWDIQYSSKVASSIRRQWLHLVGLRVWTQEGVADQPLLVLKG >Sspon.04G0010550-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:32016852:32020437:-1 gene:Sspon.04G0010550-1A transcript:Sspon.04G0010550-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVPKVCPHCPLRQMPQRWNSMATIPASMHEVPRAALAPEMRPMRSPPLPCQEGEVVFNGKKNGEERFSQQRAIDIRNTIQPYNEDNRDMIVW >Sspon.07G0010220-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:20831392:20833259:1 gene:Sspon.07G0010220-1P transcript:Sspon.07G0010220-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKFGLAGGIPERRVRPIWDAVDSRQYKAALKLCTALLAKHPTSPYALALKALILERMGKPDEALSVSLNAKELLYSDNIFHFDDLTLSTLQIVFQRLDRLDLATSCYEYACTKYPSNLELMMGLFNCYVREYSYVKQQQTALKMYKTVGEERFLLWAVCSIQLQV >Sspon.02G0012740-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:34127610:34129811:1 gene:Sspon.02G0012740-1T transcript:Sspon.02G0012740-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MESRSDVPLISDSELPDRNRRGGGRIAELAKEVWGESKKLWVVAGPAAFTRLTFYGMTVVSQAFAGHIGDLELAAFSIATTVISGLSFGFFVGMASAMETLCGQAYGAKQYHMMGIYLQRSWIILLSFAVLLTPTYIFSGQLLTALGQPAELSRQAGLVSLYMLPLHFVYAIILPLNKFLQCQRKNWVAAVTTAAAFPVHVVATWLLVHYFRLGVFGAAMALTLSWGLATVGLLSYAFGGGCPETWRGFSGSAFVDLKDFIKLSAASGVMLCLENWYYRILVFLTGYVKNAELAVDALSICTVQKDLTECPPNVTRVRVANELGAANGIGARFATIVSMTTSFLISLFISLLILIFHDKLGMIFSSSQAVIDAVDNISFLLALTILFNGIQPVLSGVAVGSGWQALVAYVNIGSYYLIGVPFGFLLGWGLHYGVQ >Sspon.01G0015160-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:44482946:44484373:1 gene:Sspon.01G0015160-2C transcript:Sspon.01G0015160-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALQHRLTTTLVLLLAAVLFSVGAPSSEAHEGTPGLHPVVLLPGYSCGQLDARLTDEYKPPTPGCGVPKQGRRWFRLWDNYTALQEDPALLPCYEDQLRLVYDRAAGDYRNLPGVETRVVSFGTTRSFFFDDPAKKNTCMERLVEALQGVGYREGANLFGAPYDFRYAPAAPGVASRAFADFRSSLRLLVERASVRNGDKPVILVTHSFGGLFTTEFLNRSPLPWRRRYVKHLVMLCLGVGGSPLIMQVLASSTTSPSPTLLDSVLAFGNRSFASTFSLLPSPKVYGDTPLVITRAKNYSAQDMPEFLAAVGFSDNEVERYRTRALPVTLNFRAPLVPMTSINGVGVPTIHSLIYWDGNFSAKPQVVNGDGDGIVSLDTVLALQTFVGDDPDQRYFKSVLIPNMTHTGIISDHVALGRVVKEILEANQATS >Sspon.07G0013590-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:48531368:48534315:1 gene:Sspon.07G0013590-2D transcript:Sspon.07G0013590-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAMCLGRVLLQDERGCDICGVEITEDAKPVTAHPFRRSTAFLFGNEGTGLSQKECDICDFFVYIPQYGGGTASLNVTVAASIVLHHFGVWVGFPERGREGNKFIVADRPQGHSRGLYCTDSIEAVIEERKMRKENACDILEENGGSHPQESNGLDLMFTD >Sspon.02G0006170-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:17991063:17994249:-1 gene:Sspon.02G0006170-1P transcript:Sspon.02G0006170-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTLPMAPHLMAVAVAATIAAVAVLAPGAAGYPWPICGTSNDFKPNSTYQANLNLLAATLPKNVSASPTLYATSVVGAVPEQVWAMGLCRGDTNASSCLACLTQAFQDLPNDCSYNKDATIYYDPCVLHYSDVHTLPDDDTGPTTLSYTINNNANVTSDPARFERLLAALVNATAEHAAYNSTRRFATGEADFDQEFPKVYTLAQCTPDQTPAQCRKCLAGLISQSLDGFQNNIGGRVLWVNCTWRYETAPFFNGPAMVRLASPSPPAPAPATATAGTTAATGGGEKKYSVFIVVLAVVLPTLAALNLVFCFCFWRRRRPIAQAKQPQPMYSTKAEDTETVDSMMIDISTLRAATGNFDETNKLGEGGFGAVYKGVLPDGDEIAVWEHWEAGTVAELVDPSMGGSFPEGDVLRCIHIGLLCVQGDPAARPVMSSVVMMLGTNTVTLQAPSKPAFFARKSGTNTTVSADVSL >Sspon.01G0010660-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:29658650:29666660:1 gene:Sspon.01G0010660-1A transcript:Sspon.01G0010660-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein phosphatase 2C 32 [Source:Projected from Arabidopsis thaliana (AT2G46920) UniProtKB/Swiss-Prot;Acc:Q8RWN7] MGNSTSRVVGCFAPPDKAGGGVDLDFLEPLDEGLGHSFCYVRPGAVADSPAITPSNSERYTLDSSVMDSETRSGSFRHEPAADDLAAGLQRPCRSFGETTFRTISGASVSANASSARTGNLTVSLAGDVQEPAAAFESTASFAAVPLQPVPRGSGPLNTFLSGPLERGFASGPLDKGSGFMSGPLDKGAFMSGPIDAGSRSNFSAPLSYGRRKARLGLLVHRISRPMKTALSRTFSRSSQNPGWVQKFLSHPMAQLPWARDAKSRSEGSQNGLEPGIPEPEYNVTRNLQWAHGKAGEDRVHVVLSEEQGWLFIGIYDGFSGPDAPDFLMSNLYKAIDKELEGLLWVYEDSSDRCDHVSNLEEGESAAASVDAPHDDSGQFQSDNGKQEQLGNFGKQNVSPGKGGDESALQVQPNCTSCEEKDLATQDSSSEKLGRDEIVEEMAGADLGNDLQSRESHNLNRGLSGTDLSTSCSCATETSAYCDQHAKFLKENRKSKRLFELLEMELLEDYNKRLSKASPEGRKIQSLHVAQAGTAEGSSRKAAEISRCSLAATGECFDDSEDLGSSRHADSVLGTDVKECTGCSISTSSSGHKQVTRRFVFGSKLRKMYKKQKILQKKFFPWNYDWHRDQPHVDETAIKSSEVSRRCKSGPVEHDAVLRAMSRALETTEEAYMEIVEKELDRHPELALMGSCVLVMLMKDQDVYVMNLGDSRAILAQDNDQYNSSSFSKGDLRHRNRSRESLVRVELDRISEESPMHNPNSHLNSNTKAKELSICRLKMRAVQLSTDHSTSIEEEVLRIKVEHPDDFQAVFNDRVKGQLKVTRAFGAGFLKKVVSHVLWFMENVPDGDPAQYLVAELLCRAAKKNGMNFHELLDIPQGDRRKYHDDVSVMIVNVVMRWADKTSIESLGSYKR >Sspon.01G0030040-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1A:104375804:104376714:-1 gene:Sspon.01G0030040-1A transcript:Sspon.01G0030040-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GVGVGNGHGGGSRHGNGHVPVPPQRLQHHHAPMSSHHSDGASYSTAHHHHYYGTPPSWATPPCALTTTFSALHRRWRWRHEFFPTAAT >Sspon.08G0006540-3C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8C:9362118:9365790:-1 gene:Sspon.08G0006540-3C transcript:Sspon.08G0006540-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MANTTSLRSRLLVLPPPPALPTAVSFRLRPCTITVSSSSKRRSSRLAARVAPPGGAVAPASAASKEDEQEEMGNGGGLSAADAERLCEFLRADLPHLFDDVGVDRSAYDDRVRFRDPITRHDTIDGYLFNIRLLKLLFRPDFYLHAVKQTGPYELTTRWTMVMKFMLLPWKPELVFTGLSIMGVNPQNLKFNSHVDLWDSIQNNEYFSSEGLWDVFKQLRIYKTPDIETPNYLILKRTAHYEVRSYAPFLVVEAKGDKLTGSSGFNNVTGYIFGNNASSEKIPMTTPVFTQASDDTLSDVSIQIVLPMNKDLDSLPAPNTAVTLRKVEGGIAAVKKFSGRPKEEIVLQKEKNLRSQLLNDGLKPHPGCLLARYNDPRTKSFLMRNEVLIRLIDFTLEL >Sspon.02G0015570-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:40960451:40965109:-1 gene:Sspon.02G0015570-2B transcript:Sspon.02G0015570-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGSGGSAPPPPLHMEDFQLEGKKPVKNPFVPIGALVTAGVLTAGLISFRYGNSQLGQKLMRARVVAQGATVALMIGSAYYYGDEIKLFKKGSSP >Sspon.03G0022800-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:88372444:88376130:1 gene:Sspon.03G0022800-3C transcript:Sspon.03G0022800-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAGVAPAPVAAPEKKKLLDLKDPFPAAANGSAKASPAGKWAMKKKLVGGDAGYVLEDVPHLTDYMPQLPTYPNPLQDNPAYSVVKQYFVNPDDTVTQKIVVHKTSARGTHFRRAGPRQRVYFQSDEVKAAIVTCGGLCPGLNTVIRELVCGLYDMYGVTSVVGIEGGYKGFYSKNTVPLTPKSVNDIHKRGGTVLGTSRGGHDTAKIVDCLQDRGINQVYIIGGDGTQKGASVIYEEVRRRGLKCSVVGIPKTIDNDIAVIDKSFGFDTAVEEAQRAINAAHVEAESAENGIGVVKLMGRNSGFIAMYATLASRDVDCCLIPESPFYLEGKGGLLEFIEKRLKDNGHMVIVVAEGAGQDLIAKSMNFDHFKKKPSFPITLKYIDPTYMIRAVRSNASDNVYCTLLAHSALHGAMAGYTGFTVAPVNGRHAYIPFYRITEKQNKVVITDRMWARVLCSTNQPCFLSHEDVENMKHDDDEHHLHNTQLLEGESSPVKDASKCNGTV >Sspon.02G0019400-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:63885218:63887083:-1 gene:Sspon.02G0019400-2B transcript:Sspon.02G0019400-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNVEPQPIDWEYYKKGIGSKVVEMYKEAYESIEIPKYVDTVTPQYKPKFDALLVEMKEAEKASLKESERIEKEIAEMKEMKKKISTMTADEYFAKHPELKQKFDDEIRNDYWGY >Sspon.01G0047910-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:108728929:108731716:-1 gene:Sspon.01G0047910-2D transcript:Sspon.01G0047910-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GQMPIFFPGRPPRMRGQLGQRLASQPSRRHLPSAADMLQLRNRLLPLLRAASTRHSPIRPRASRLLSASTTLPAAFSLEDYLVAACDLAPAQAREVSKKLSRELLSIGSRRSLD >Sspon.06G0022880-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:35749028:35753463:-1 gene:Sspon.06G0022880-2D transcript:Sspon.06G0022880-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSDVLTGGNIAIAIVSVITGGIVIIVVSVLIYKCCKLHMIRKYGGGDLPLLPVLPLVETRTTAAVPVPVPPIGNSGGKHSSSSSYGGTVDVVKDRPVRFSSSQLQEFTGNYSERLGAGGFGVVYRGQIQLPSDGSSNLLVAVKVLGSNMGRRAEEQFMAEIGTIGRTSHVNLVRLYGFCFDADLKALVYEFMPNGSLDRHLFHGGGDGDQKLRFDKLYDVALWMAASATHKCDVYSYGMLLFEILGRRRNYADVEAAESAERWYPRWVWQRLERGEMEALVARALVADDRVGKEAMKKVERLCSVALWCVQYRPEDRPSMSGVVRMLEGDEDVAAPAVSPFAHLDSDLLSSRTSTADIYEHFMNEGKVTSEAEAFAESIRSSCTLALVHIDLE >Sspon.04G0002290-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:7818937:7828755:1 gene:Sspon.04G0002290-2D transcript:Sspon.04G0002290-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MWSGIVLYSNLNSSASNLPDSAGRPFTASFSGQSGSIPGFHHSGLHNTHGSYNLPSMPGSLAQRNAAMSGIPSSGVQQPGGSIPGRFPSNNLPVAMSQIPHAHSGVSGRGMNFGGGPAFSSSMNIGGNIQGLSGGSRNSVPGMSVSPSLGNSGPRITSSVGNIVNGSNIGRNISTGGLSVSSIASRMNLSGNAGSGSLNVQGSSRMMNGLLHQQASPQLINMLGSSYPTSGGSLSQNQIQAGNNSLSSMGMLHDASDTAPFDINDFPQLSGRPSSAGGPQGQYGSLRKQGVGVNTIVQQNQEFSIQNEDFPALPGYKGNPSDYNAMELHHKEQLHENVPVMQSQQYPMARSGFNLGSSYLPNRQQHQQGANSVQTAGSHNILLRPPSQTSGLGSYDQFLPQYQQPQPQNHFRFQQMSSAAHSYRDQIQKIQAGPTPPDPYGLLGLLGVIRMNDADLASLALGIDLTTLGLNLNSPDNLYKTFGSPWSNDPAKGDTDFRIPACYFSEQTPPLQPLLFQKFHILILFYIFYSMPRDEAQLYAANELYNRGWFYHKEVRLWFTRTANVEPLVKTHLYERGSYLCFDPEIWDSVRKDNFVLHYELVEKRPALPSIAQNVR >Sspon.06G0014020-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:60260397:60263323:1 gene:Sspon.06G0014020-2B transcript:Sspon.06G0014020-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVPSVAVPVVASGFPAAGGADSRRPPPSSVAATDKNNWNGRSIQAGQNGGTMEAPLRPLDFGEAMDMLREGKTVQSAMYVPLLHGCVETGSLGGARALHGHMVKTGTSADMFVATSLVNAYMRCGASQDARSLFDQMPEKNVVTWTALITGYTLNSQLVEALEVFVEMLEAGRYPSHYTLGAMLNACSASNNADLGNQVHGYTIKYDALSITSIGNSLCQLYAKSGSLESAMRAFRMVHDKNVITWTTMISACAEDENYKELGLTLFLDMLMDGVIPNEFTLTSVMSLCGTRLDLNLGKQVQALCFKIGCHTNIPVKNSTCTSI >Sspon.05G0006320-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:21374323:21376656:1 gene:Sspon.05G0006320-4D transcript:Sspon.05G0006320-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:histidine triad nucleotide-binding 4 [Source:Projected from Arabidopsis thaliana (AT4G16566) TAIR;Acc:AT4G16566] MRKTFCFGGSRLAKTMSERAPMVDGCVFCDIARRAPTSTTALLYSDDKVVAFRDINPSAFRHYLVIPIDHIPTVNSLHKTKDDHQLVSHMVKVGKDLLNQDAPNSEEHRFGFHQPPFNSVDHLHLHCLALPFMPSWRQVKYTPLGPLGGFIEAEKLLERIKPEAEAY >Sspon.04G0029380-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:71964993:71967836:-1 gene:Sspon.04G0029380-1B transcript:Sspon.04G0029380-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAAPNDQLASLVCDSLRARNWIRRNVTAGVDVRLLSHEERCPARPSAPTRFGASLPLRALYARCCFQARLQLRRVLGLVAASTPAACAVPSLPRLLAYSARLHFCLLINKVMSKFAMPPEELYATQLVKLQEMGFFDTQDNIQALAATAGNVHATVERLLENMGQ >Sspon.07G0017570-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:63229350:63234289:1 gene:Sspon.07G0017570-1A transcript:Sspon.07G0017570-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:V-type proton ATPase subunit C [Source:Projected from Arabidopsis thaliana (AT1G12840) UniProtKB/Swiss-Prot;Acc:Q9SDS7] MATRYWIVSLPVQTPGATATSLWSRLQDGISRHSFDTPLYRFNVPDLRVGTLDSLLALSDDLVKVRLGCPAQPSPFDSISPICRSNVFVEGVSHKIRRQIEDLERAGGVDSGALTVDGVPVDTYLTRFVWDEGKYPTMSPLKEIVGSIQSQVAKIEDDMKVRAAEYNNVRSQLSAINRKQSGSLAVRDLSNLVKPEDMISSEHLVTLLAIVPKYSQKDWLSSYESLDTFVVPRSSKQLYEDNEYALYTVTLFAKVVDNFKVRAREKGFQVRDFEYSPEAQESRKQEMEKLLQDQEAMRTSLLQWCYASYSEVFSSWMHFCAVRIFVESILRYGLPPSFLSTVLAPSTKGEKKVRSILEELCGNAHSIYWKVEDDAAVAGLGGESEVHPYVSFTVNFVGV >Sspon.06G0006870-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6B:22836968:22837525:1 gene:Sspon.06G0006870-2B transcript:Sspon.06G0006870-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DDPVRAVREQAGPDPAGAVLRAPLPRRAARPRGRDRPQVPRPHRPAGPCLRPFLSPPPPLTPAGLPPHVPPLLRSPLVRSGHRPPFRIHEPA >Sspon.04G0030450-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:1356857:1357138:-1 gene:Sspon.04G0030450-1C transcript:Sspon.04G0030450-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MESWATWVGTSVTSAFFASLERCSCINLSTDDDDDDRDHEEAKDRPLILAAAPRHDDVAKPDPESSLPAAAAAKDQVHLQGDQKQEQPPLPPV >Sspon.06G0015600-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:69448469:69450555:1 gene:Sspon.06G0015600-2B transcript:Sspon.06G0015600-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLHDLTAMLLLLFSVLSGVLAPLRVQAQQPYGSQIADCPNSHNSSGLLGYFCAAAAAPSCPTFLTFTARGAYSSLATIGALLGADPATLLAPPNNEQAAADDAPLPAGTRVLVPATCACTATPGGRFYQRNATYVAVDGDTLLIIANNTLQGLTSCQALEAQALRGAPPQSLLAGQSLVPVPLRCACPTAAQAAAGARFLVTYLVDVSDELAAVAARFGVDAQTVADANQLQPPFTIFPYTTLLIPVAAQPNVSRIQTPPPAPPPPPVVAPPAQGKKSGNRVGIYIGVAVAVVAVAAIASAGAFLALRARRRRAGAVLAAGGVVAKKEGKAGNDTAATSSGFGEFSLSTSEAFSSISVTDIKSSLKHGPTHRRVGDSVYRAAFNGDAAAVEVVDRNVSTEVELMRKINHLNLIRLIGLCHHRGRWYLVTEYAEHGALRDRLLAAARGAAAPLTWAQRVQVALDVAEGLRYLHEYARPAWVHMDVSSGSVLLTGDGPRAKLRGFGAARAITGATIGAGEQEALFTMTSRIAGTRGYIAPEYLEHGHGVVSPKADVHSFGVVLLELVTGRHAEELVGDGVGDPFVALRELAEELDGGGDAVMQRLEELVDPALPAGSCPQDAVVMVVRLVERCVRQDPARRPTTGEVAQRLLKLSGVSVLSWRNSPDGKGLMY >Sspon.01G0039120-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:20266317:20270132:1 gene:Sspon.01G0039120-3D transcript:Sspon.01G0039120-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LPQSSPPDPSFRRRLPTALHHLLHQPPSPPTPLPQPPTGADPLSLLATAGFAPLPLPRALALLATAGEDPRGRRRLTRGDQNSSWRRGLRWPRSPTRPGRRCSSPRQPIMNTTQKANSVEQDAKVLKHASQFKSKEVTKEKEDIEWEVVETTKALSRTGPVEGAYKPKKLSLEDELKALQQKVDINSYDYKPIPRPNEK >Sspon.01G0045540-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:90202284:90202559:1 gene:Sspon.01G0045540-1B transcript:Sspon.01G0045540-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding EIEKKNELRLTSLCSNPTVTRPPPARVAVAATSTPYARGGRWEGKWGGGGPRGAEAGEEARRRLAHAFRRAPCLRAQEIPWQLGSDLGAGR >Sspon.06G0012050-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:64779420:64782597:1 gene:Sspon.06G0012050-1A transcript:Sspon.06G0012050-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:LSD1 zinc finger family protein [Source:Projected from Arabidopsis thaliana (AT4G20380) UniProtKB/TrEMBL;Acc:F4JUW0] MHSQLVCSGCKRLLQYRRGATGVCCPTCSTFTTANPSGPEMSELVCGGCFTMLVHSRSATNIRCPHCGRLNSTRSGNQMGHLSCGQCRTTLAYPPGATTVGCPTCRNINPVRDARPQTVLVENPKTLDAKGKLVSNVAVGVTSWKR >Sspon.01G0052310-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:20861442:20862851:-1 gene:Sspon.01G0052310-1T transcript:Sspon.01G0052310-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWLRGKCIGQGAFGTVHLAVDTATGRAFAVKSVDAKSAPAAAMACLESEIRILKRLSSPHVVAYLGDDGVTGATRNLHMELVPGGSAAEAAAHGGLGERGARGVLRSVAAALRYLHEEAGVVHGDVKGRNVLLGCRDAHGCGGAKLADFGAARLVSDAATTPRGPRGTPAWMAPEVARGGAATPASDVWSLGCTALELLTGKRPWSELGGASEVGELLLLVGFGGKRPAIPACLSDACRDFLDKCLRHDAAQRWSCEQLLRHPFLSTDAGDDASEPWPSPSPSPSPSPSPRAVLDWAPSSDSDSEALDDAETESKHEVMARAKGRVAELASNEPRTSWDWEEVEWGTGATWAADTWAPPPSSEAPRNGNATAPVPSAAAFSDGRDRDVLVLVVGSGSGSSGLRCGPRPGCHSHICRYKCGFGVVGVGLGWPPLAVVPVLVPCTVVPFVHSIQFNPNLRQIKQPMGFAC >Sspon.01G0036060-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:12833560:12842636:-1 gene:Sspon.01G0036060-2C transcript:Sspon.01G0036060-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VTFAKRRNGLLKKAYELSLLCDAEVALIIFSGRGRLFEFSSSNILGEDLGPLSLKELEQLENQIETSLKHIRSRENQMLLDQLFDLKSKEQELQDLNKDLRKK >Sspon.01G0036200-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:13187318:13192115:1 gene:Sspon.01G0036200-2C transcript:Sspon.01G0036200-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAAARALTSVSRAAFSWKPTGRPQQTLAAAVSRSGVGLHSGARLTATLFPTQAGEGRYFLVEGKEEARVAAEVGNAEPRSQLCTTLRRGEGAHTRVRTVEHLLSAMEALGVDNCRIEVSGGDEIPSLDGSAQEWVEAIQSVGLCAAEDANGKKLDKLVPEIHEPVYLRRDDCFIAAFPSSQIHITYGIDFPKVPTIGCQWVSTFLDDNIYSSKIAPARTFCIFEEVEKMRAAGLIKGGSLENAMVCSISGGWLNPPLRFEDEPCRHKILDLIGDFSLFAQNGSQGFPIAHIIAYKAAAATLCLSEN >Sspon.03G0046880-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:49410359:49410595:1 gene:Sspon.03G0046880-1D transcript:Sspon.03G0046880-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding WGVGRDEIRPLAPGLWFQPKLVDGSGNPGRGREREREREEEEDGRTWTGAGRERGRGRRCRCWGWRTAKRRENLRPATE >Sspon.07G0010740-8P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8D:51714461:51715660:1 gene:Sspon.07G0010740-8P transcript:Sspon.07G0010740-8P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding FLNEKYGAISNDDEPKEKAHEDVEHDHNKVVVEDCSTSRSSDDKNDHITRSLDKNDDNATSDASNDSTLCTLDGEDDGYESDASTSSSISSHGNTKVSIGGFVVDCDGPNFELLYKLSKALRNEMAKTSKLKNENSFLKTTCEQQKHLLYVTTCSHEELKLAHEELSVAHDNLAQEHALLTNKLSNEKLKTSESSSFGSNDQSHITNPCDVGKKHVSTSCDDLLDMPCSSQLNVCSTSMSCETNLLKENNELKSEVKNLSNKLERCYNSKVTFEHIMKTQRNLGDKSGLGFKKKLTRGERKQEKRMKRLLQKKLSHSMCYRCHEAGHLANGCPNIEKLKKMKEEERLKYVKCFKCRTWGHLTSMCPTKQLVKQQVKPQPKPLVEQEKTPKSKSRSTMEMM >Sspon.02G0057400-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:57751675:57751947:-1 gene:Sspon.02G0057400-1D transcript:Sspon.02G0057400-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ICWTKTNQKHFKFLSLAISCQLLLCSSSPPEEGAADSVEDSSRILLKLLSFLPLQTTSRSPRLLSPLDFSKLFNSVLCFGSSPTRRGTGW >Sspon.05G0018460-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:88368274:88371425:1 gene:Sspon.05G0018460-1P transcript:Sspon.05G0018460-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVTKPGQFLLVLMACSVHVVTCSSTPENQIDRLSLLEFKKAISLDPQQALASWNDSTHFCNWEGVMCRTRSRRVTNLDLANRGLVGQISPSLGNLTLLKHLSLETNRLSGDIPASLGQLHCLQTLYLSNNTLHGVIPTFQNCSSLQELWLNGNNLVGGFPGLPFGLKQLELRYNNLSGTIPPSLANITTLQRLELGFNNIEGNIPDDFAQFPELQSLGAGINHLAGSFPQAILNLSTLFAFSITWNQFSGVVPPGLGTSLPNLQILAIGVNFFHGHIPSSLANASDLRLIDMARNNFTGVVPSSIGKLRNLDLLNLEVNKLKARNSQDWEFVYSLGNCTKLQRLWINHNHLEGHVPTSLGNLSVGLQTLYLGFNQLSGGFPSGIANLHSLTGLALHGNQFTGEVPEWLGTLNSLQAMRLDNNNFTGFIPSSLSNLSQVLYLDLESNKFEGHLPASIGDLQNLLACSFSNNLLHGGIPKGMFVIPTIIYIDLSVNHLHGQLPYEVGNAKALANLDLSSNMLFGDIPITIGNCENLEYIVLQRNSFGGSIPNTLGNIRNLQVLDLSRNNLTGSIPMSLGNLRYLEKLDLSFNNISGEVPMERIFGNKTAVQIDGNPGLCGGPLELHLLACHVVPVNSSKQRHSIVQKVIMPLSSILLVAILLQEQQELSQETSFAMKEKRLECLLSVLNIGLLCTKTSPNERISMQEVAARLHEIKKAYPREN >Sspon.02G0031790-1P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2B:110292634:110293309:1 gene:Sspon.02G0031790-1P transcript:Sspon.02G0031790-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding PATCTSIADAYHGLLRVGPAGGEAEVVATMAGGEAFNFLNGVDVDQSTGDVYFTDSSTSYTRRQSALIFLTRDSSGRLMKYDTRAKRVIVLKDGLPFPNGVAVSADRTHVVVAHTWPCQLFRYWLEGPKAGTYELFADLPGYPDNIRRDKRGGYWVALNQETIDGEAAVASKHIVGVCLDAKGVQLEEMTAEDKRVTFSEIAEEDVKLWLGSVELDYVVVVDQT >Sspon.05G0033710-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5C:38189597:38191993:-1 gene:Sspon.05G0033710-1C transcript:Sspon.05G0033710-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding EPPIGTTERHSTYLRGGAAPQNQAPARRRRVPSSRPLSMFCQNASTSLLADADGRVPSARRLPPPRQCRLRDDSDMSPPALVRRRGSSTAGRLDRSRLPAAGSEPPDDDTARRFRILLASLTAAAAAASSAAAPSSESHLGIASCQDSSRLLLLVLLTNTNQEAAESNATAVSAQCHRSTDAVKKGDAYDDNTVTSDRSKGKEKQGESKRGEGNSFRRDRGTQSSKDPRNQWMGYKSTYTDVSTAPTNVGTSGQEPRGRREKAWGSPKEKKKAEEAAAAAAAKSWLAWKSRVAYPLMSCLASLSVLGRSAAASAAGSGRCCHHEALELLLRRIASQLARVGRGDGDGRTGDRDDGAGVCQCAPRVVEVEARAGRAARVSCPSLPRVVPRMIGACRDGWLVVVEHGRMDVRYGRREGAGETRDRRARCGARVGGVGRRRAAGSCWLLRCTLPDWGPGKKMGHGAMGVLRGTSKSSFDQKLQM >Sspon.02G0039720-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:61819501:61840408:-1 gene:Sspon.02G0039720-2C transcript:Sspon.02G0039720-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFRTAALIFRRRAAAAAAPKPLQQWVRRCCPHLPASLVQKLFRLRKVKKNLVTADTSSTDSIAQQLRLRRVSAKDELVPGDILFLPVNIQESSVTEKTKKFGNKNEIDFLRGLEIYKDRAIIVLNKPPGMPVQGGVGIKNSIDILAPMFEDGSSEAPRLVHRLDRDCSGVLVLGRTQLSASIMHAIFREKTADALGDGTQQVLQRKYVALVIGRPRHPKGLLSAPLAKVVLQDGRSERLTVCAGSNTASVQDALTEYRVIESCPQGYTWLELFPRTGRKHQLRVHCAEVLGTPIVGDYKYGRQAHQNWTPPPMPQTIDEEMLKKRKLPFGLALGGGSVAEQQPQLHLHCKQMILPDISAAMQQLQSSDADHDFSDLEKLSFVAPLPLHMRLSWKILMSVGK >Sspon.08G0000120-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:646888:647367:-1 gene:Sspon.08G0000120-1A transcript:Sspon.08G0000120-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEINKATSQQRFWLWAFESVQEHGTARSAPALSSTASTHSPVAALPAHSPSAGPSGVASSIPARAGHPTRHLSLTKPQKHPALALAPFSPKHCFAAVRQPRAEADKFRRCGSHTDRCPLTTKNFLKLCK >Sspon.07G0004540-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:10050971:10055122:1 gene:Sspon.07G0004540-4D transcript:Sspon.07G0004540-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VRLCREKTSKNVYAMKKLKKSEMLRRGQVEHVKAERNLLAEVDSAYIVKLYYSFQDEEFLYLIMEYLPGGDMMTLLMRKDTLTEDEARFYIAETVLAIESIHKHNYIHRDIKPDNLLLDRIGHLKLSDFGLCKPLDSSNFPNLNEPDYTSGKVTKPLLDSTRLSNPSAPRRTQQEQLSHWQKNRRMLAYSTVGTPDYIAPEVLLKKGYGMECDWWSLGAIMYEMLVGYPPFYSEDPMSTCRKIVNWRSHLKFPEEAKLSPEAKDLISKLLCNVEQRLGTKGAHEIKAHPWFRGVQWEKLYQMKAAFIPEVNSELDTQNFEKFEETGTQIQSSSKSGPWRKMLPSKDANFVGYTYKNFEIVNDDEVAGIAELKKKSSKPKRPTIKTLFESMDEEEPVQGSFLGMLPPKEGQPSSHSSIPPEKYQPRRK >Sspon.02G0015080-1P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2B:39170696:39171139:1 gene:Sspon.02G0015080-1P transcript:Sspon.02G0015080-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSGSVVPDVVHSGGCHCRRVRWQVEAPASLVAWICNCSDCSMRGNTHFVVPAAKFKLQAGADEFITTYTFGTHTAKHTFCKVCGITSFYTPRSNPDGVAVTVACVDPGTLEHVEYRKADGKNWEEWFKRSDIAGFSEGKAEAAAE >Sspon.01G0052720-2D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1D:24857084:24858002:-1 gene:Sspon.01G0052720-2D transcript:Sspon.01G0052720-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLIVLWLSIWAVCSAASAGAGSDMEALLEFGRGIRQDPSRRQAIPWNPTSALDSDGCPVDWHGVQCSGGQILSIAFDGIGLVGNASLSVLARMPMLRNLSLSDNKLEGSLPVELGSMASLQLLDLSSNRFSGPIPSELTKLAGLGYLNLSSNGFRGALPLGFRNLRKLKYLDLHGNGFTGKLDDVFAQLQSPIHVDLSCNQFSGSLASISDNSSVVSTLQYLNVSHNVLSGTLFDSAPMPLFDSLEVFDASFNMLSGNIPQFSFVISLKVLRLQNNNFSGSIPEAFFRETSMVLTELDLSCNQLT >Sspon.04G0003420-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:46261045:46262421:-1 gene:Sspon.04G0003420-2P transcript:Sspon.04G0003420-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCKGSKHALHGVCSGLPAARPPEPQQRRSSGRLAPPPRHSVALRSSTLGTLSLDRAAVAVAAAGLSFDAAGGGRGGGDDDDDEGMMKAGNDDVDGRAGTKLLGPSRSFGGWWCPTTPPPVAAPPPKRQKQKRVVVASRVAPPRTPNKTPVRDPEEINVWELMKGLDDDEDEEEDEETDDGCVVHAAGGVDERKKAQSSAPGSPVFDPEILDAFRKALDDLTPAPDSPPLPDCVKRVPEGGDVDKKRAEIQRFPGIVRARVDVFQEKINAKTKLLANKSPPPPPESAGRVVVYLTSLRGIRQTYEDCWSTSAILRSYGVRVDERDLSMHAGFKDELRAALGDGDGDGGGRPPPLLLPQVFADGRHLGGAEEVRRLHEAGELASALAACDAAPPCATTKGGGAQDACCTGCGGVRFVPCGGCSGSCKVFVEDEDGSGGGGAFRRCPECNENGLVKCPVC >Sspon.01G0059760-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:67483873:67484487:-1 gene:Sspon.01G0059760-1D transcript:Sspon.01G0059760-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARRTCRGVSGHPRLRQGHPRARSNSSGARSPPLAAGFHHDGRNRPAQTYVVFRVFHIKRVSCFRRVRAVGVRVRTRMNG >Sspon.08G0019630-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:14161117:14165959:-1 gene:Sspon.08G0019630-2D transcript:Sspon.08G0019630-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRAAPSVEPLDVSSGDSSGSDSDQLGGKEKGGSASKASAAAAGEDMKRVVLHKESHDVSSDDDLSSDSDDDAGKGNGWNAFGLPNSSKASSVAEGALIRRAKMYQQYMKHIPVPAYCDSVIPFTSWLGLAGSLKQLYEQPLHYLTNVLLKKWDQQRIGSDDEHRRLDAIIHPVRAETLIWATEEVHRLTTSGQHLATAKSKKKKTGVDFSALSRHGYHGGPSVLTVRPVEEANWSWSTGKDRNDKEDAPESYEERERTRAAVTEGEKLIGLQNAPPNQLLLEKDKKDASFSQKEKRKRDRGQASRGKNYVEEEKRLLRGSGVRITVRFVTDEKELAGGTAISET >Sspon.08G0007120-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:22851249:22854318:1 gene:Sspon.08G0007120-3C transcript:Sspon.08G0007120-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIVPDGSVVTSLSRRRQGDDEAGKAGGDYSGSRSRGMAAKAISSPVPVEWYPTLAVVMVSVGLMFTASFFIYEATSSRRSRSLPKEIATAAVASVFLGFGSLFVLLASGVYV >Sspon.01G0031830-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:103985821:103986749:1 gene:Sspon.01G0031830-2B transcript:Sspon.01G0031830-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding EMDSSVEQQGSGTLDPDERPPASGETKACTECHTTKTPLWRGGPCGPQSLCNACGIRYRKKRREAMGLDSSSKAGGGNEQQQQRKKKATAAAAAAAAAASKREREREKEADEVTVELRAVGFGKEVVLKQRRRMRRRRRLGEEERAAILLMALSSG >Sspon.03G0014570-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:68187831:68190336:1 gene:Sspon.03G0014570-2B transcript:Sspon.03G0014570-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRIDCNHSVVVLRYKQARSVSSGFITRCAGVKKQRANTMTHQSDAKHVTVLEINGDSQDTNAKKSTEKKMQRKALQDEIEKLKNRVRAFTGAFVVMISPVLLAIVLKKVNLTGAGKYQGRIRTLEAFIDLLQRRFAHLAAVTLQMGIMPFLCVMVSEACASKPPLSYVAPRLVALSKVLVFLSNFLLMALGCGILLLFHKNALLVLTFCSVMAVGVVAVHIWYLCCCVDDAGDAAAQGDAEAEYHSKLEHLLELSAGITVMMFLKSWHWKAC >Sspon.05G0005100-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:15853282:15856465:-1 gene:Sspon.05G0005100-1A transcript:Sspon.05G0005100-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGIKYESRTCCYAEIDWPQSHQIKRAEIELQYIPSGVDMITFYRCMKGWKNMQKIMLDLLRERLSTPTEKHSDLLDLIVEELQSEKPAMDERFAIDTLVALLFISFVTMAPTLTLAFKFLNDNQKVLEDLKEEHEEIIRSRENPNSRFTWEEYKSLRFTTLVVNELTRMSNVTPGIFRKTLTDVNLEEDLWNTEDESKRSTLSKNFVPFGLGIRACPATEFSKLFIALFLHILVTKYSMLTQKEIKGGEVSRKAVIMFPQ >Sspon.03G0036350-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3D:38039241:38039976:-1 gene:Sspon.03G0036350-2D transcript:Sspon.03G0036350-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRSTARTHLGLTLRVPVIPPSAPGIWNAPGLASFKRTRLVIYALGQLLSLFLFLGHLLCLELLRIGLGLFFRHCYRRLLLRSCMMQLHK >Sspon.05G0022860-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:4185645:4188727:-1 gene:Sspon.05G0022860-1B transcript:Sspon.05G0022860-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIDNNTSYSITSRSTMQILVQDQGTSPVMVVAATTKFVISLQALIFMVLLFFPRTSAAIASDTLNNGGNISDGEALVSAGGSFTLGFFSLTDVPTKRYLGIWFTASADAVCWVANRDSPLNTTSGVLVISSTGSLRLLDGYGQTAWSSNTTSASASAPAVTGQLLESGNLVVREQSSGTILWQSFDHPSNTLLDGMRLGRNPQTGAEWSLTSWRTPNDPRPGDWRLVMDDKGLPDCVTWQGNVKKSRTGPWNGLRFSGTPEMASYSGMFSIQVVVRPDEVAYVVTAMPGTPLNRLVMNEVGLLQRLLWDQDSQVWNVIARTPRDVCDSYAMCGVFGLCDAGTASTLFCSCIHGFSPASPLEWSMKETSGGCRRDVPLECSNGTTTDGFMVLWGVKLPDTDNATVDRSATLEQCRARCLADCSCVAYAAADIRGGGGGSGCVMWTDDIVDVRYVDKGQDLYVRLAKSELVTTKSRNVVVKLLLPVAACLLALTGMFLVWICCKFRGRSRATSLLGSQNNDAQKMMLRYLGASNALVDEISTFHFLAFEILFPQPIILLKTICLDKEASGRFIRMVKSYAGYAGRNKEVAIKRLSQGSGQGVDEFRNEVVLIAKLQHKNLVRLLGCCIHGNEKLLVYEYLPNKSLDYFIFDAACKNVLDWPARFRIIKEISRGLLYLHQDSRLTIIHRDLKPSNILLDAHMSPKISDFGMARIFNQHEENTNRVVGTYSGYMSPEYALDGTFSVKSDTYSFGVILLEIISGLKITLTRFMGFPNLLTYAWSLWQDGKAIDLVDSSLVETCSPNEALRCIQIGLLCVQDNPNNRPLMSSVVFMLENKTTALAVPKQPFSQWYLEAQGIEENNNSSMNNMSVTVLDGR >Sspon.02G0018280-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:54505493:54508175:-1 gene:Sspon.02G0018280-3D transcript:Sspon.02G0018280-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSQSRLCFWLLLLLVHFSRSASRATAAKVSAFIVFGDSTVDTGNNNFIPTIAKADFPPYGRDFNGGVATGRFSNGRLVTDFISEAFGLPSTLPAYLDPSYTIDQLAKGVSFASGATGLDDLTAKFTSVIPLSQQLEYFEAYKARLEAAKGESVAREIIAEAVYIFSIGTNDFILNYFTLPIRPVQYTPTEYVSYLVSLAEAAARDAYHLGARKIGLSGLPPFGCLPLSRTRNHREPGECNEEYNQLAMRFNAELQDAVTKLNGDLAGVLVVYVDIYSVLPDIVANPLDYG >Sspon.08G0015450-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8A:61997120:61999390:-1 gene:Sspon.08G0015450-1A transcript:Sspon.08G0015450-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding QPAAHHSFHQHQGIEKKKIRRDTKGSRRRRSERERDETLLSSSVTHHHSSQGSRQQAKMYKRASAQEMSYFEHRLWLRLHRTIQMQMEIASVFYLPSDDDDSVSDTSSSVWAGAPDLAYTQGRSMIRRPNISGCSPLSSDRLIREHGIGRLLSSSLGRAKWTSRSGETEQLGKRVVDALSARISQRQFIVRLARGSLDRTPRLREISDSHDSGSGGRPPHSPTLRQNGNQHGSSTGVKFHFLLLSRDGPVDPPHSPTLRQKSEHLMQLPTTSCPHGYSGNQHGSSTGVKFHFLLPIPGWTVKTYHCTRHTGHYSAIDHPRGRIRRVPYPAIPGTRPYPFIVDPPRPGLDQPPVKQESKTRMPQDPVSFHRLFLYVIMVSGDGRPFSGHVATVASTAVDKTSLIRHPRRPCPLLTL >Sspon.05G0033360-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:40672652:40676192:-1 gene:Sspon.05G0033360-2D transcript:Sspon.05G0033360-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTYFPEEVVEHIFSFLPSHSDRNTVSLVCKALTVKGKPHFADFNLVPPDWGGYAGPWIEAAARSCVGLEELRMKRMVLSDENLELLARSFPRFKVLVLISCEGFSTDGLAAIASHCKLLRELDLQENDVEDRGPRWLSFFPDSCTSLVSLNFACIKGEVNSGALERLVARSPNLRSLRLNRSVSVDTLSKILVRTPNLEDLGTGNLTDEFQAESYARLTSALEKCKMLRSLSGFWDASPICVPYIYPLCHQLTGLNLSYTPTLDYSDLTKMVGRCVKLQRLWVLDCISDKGLQVVASSCKDLQELRVFPSDFNVAGASAVTEEGLVAISSGCPKLSSLLYFCHQMTNEALITVAKNCPNFIRFRLCILEPKKPDAMTGQPLDEGFGAIVRECKGLRRLSMSGHLTDRVFMYIGKYAKYLEMLSIAFAGDSDKGMMDVMNGCKNLRKLEIRDSPFGDVALLGNVAKYETMRSLWMSSCNVTLKGCQVLASKMPMLNVEIMNELDGSSEMEENHTDLSKVDKLCVSEMEENHTDLSKVDKLYVYRTTAGARGDAPNFVKIL >Sspon.01G0021900-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:97502699:97506134:-1 gene:Sspon.01G0021900-1P transcript:Sspon.01G0021900-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRRCPLLAAVLLLLRLHAGGGTDTDTGNRTLVSAGRAKYVLGFFAPDPDDTAGRAYLGIWFNGIPERTVVWVANRGSPVLGGVDAAQLRVLANGSLAIVVDDDTDTDQHGVVVWATPPPPTGTTTASSGGGGGNATAYAQLLENGNLVLRVPGAGVVWQSFDYPTDTLLPGMKLGIDFRTGLDRRMTSWRAAGDPSPGEYSFRLDPRGSPELFLYRRSARTYGSGPWNGYQFTGVPNLKSNSLLTFRFVSNADEAYYSYGVVDSAAAPLTRFVLNSSGQIQRLMWIDMTRSWSVFWSYPLDECDGYRACGPYGVCSVERNPVCGCVPGFDPRFPAEWALRDGSGGCRRRTELNCTGDGFATLTNMKLPESANATVDMSLGLDENCACRAYASANVSSPGATGCFMWTGDLLDMRQFGNGGQNLFVRLAALVEIIVPSVAAPLLLLAGLYICAMRMKKRRKEKEAIPLALLRNAQRQSTPFGRRNQIAASTDVQDDSLHNGQGSSNQDCDLPSFDVETIQGATANFSVHNKIGQGGFGPVYMLDNGQDIAVKRLSRRSTQGLREFKNEVKLIAKLQHRNLVRLLGCCIDGFERMLVYEYMHNRSLNTFLFSKPWEKRFNIINGIARGILYLHQDSVLRIIHRDLKASNILLDKDMNPKISDFGVARIFGTDQTAAYTKKVVGT >Sspon.05G0030500-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:84525132:84529401:1 gene:Sspon.05G0030500-3D transcript:Sspon.05G0030500-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding CFIAKKKKPQANLLAWLWSCVSSAFGLGSPRGFYTASFRLLRHLSLLPKPNPCTLQLLNPSSVELSRPTPAAKKIQSFRSPPRPHGCKIDQSCADRAADTTSSNPIPRWCSRTAGRAGRSMGSRSAGAMLWLLVCAALLLGCCHGRFVVEKNSLKVTAPDDLKGTYECAIGNFGVPQYGGTMVGFVAYPKANRKACKSFDDFDISYKAKPGAFPTFLLVDRGDCYFAKKAWNAQKAGAAAILVADDKDEPLITMDNPEESGKTDYLENITIPSALITKSFGDRLKKAIDKGDMVNVNLDWREALPHPDERVEYEFWTNSNDECGPKCDSQIDFVKSFKGAAQVLEKKGYTEFTPHYITWYCPGAFILSKQCKSQCINHGRYCAPDPEQDFSKGYDGKDVVVQNLRQVCVFKVAKEHKKPWLWWDYVTDFAIRCPMKEKKYTKECAEGVIKSLGLDHKAIDKCIGDPDADEENPVLKAEQDAQIGKGSRGDVTILPTLVINNRQYRGKLDKGAVLKALCAGFRETTEPAVCLSEDIQTNECLENNGGCWQDKAANITACKDTFRGRVCECPVVKGVKFVGDGYTHCEASGSGRCEINNGGCWKDTKNGRTYSACTDDGCKCPDGFKGDGKHKCEDIDECKEKTACQCKECKCKNTWGSYECGCSGGLLYIKEHDTCISKNGGSEAGWGFLWVVFFGLVAAGIAGYAVYKYRIRRYMDSEIRAIMAQYMPLDNQGDVQSHSHHIEM >Sspon.03G0011930-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3C:48925686:48930440:-1 gene:Sspon.03G0011930-2C transcript:Sspon.03G0011930-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGKHGWYSGSRCRPPLINDVQQIKDSFGGKEATAPWSDLWTGGLVCAFEFVRHRGHGFGSPHDLSRSNSSQSKDLPVITDSGSSTDGDEPRPACRHGRAAPAESYWSPIGWARITELVEMVDGDDRQGISLTDACDADGQHCCGDSDITVADVAVPYWQRPAGPTWWCHVAAGHPAVDAWLATSARWLHPAICVALRNETMLISEKMKHLLYEVPVRVSGGLLFELLGQSVGDPTRDEDDIPIVIRAWQAQNFLITALHVKGSAPNVNVIGVTEVQELLSTCGSTVPKSIHEVIAHLASRLARWDDRLWCKYVFGAADEIELKFVNRRNEEDLNLLCIIFNQDIRRLATQVIRVKWSLHAREEIIFELLKYLGGSTTQSLLEDIKKDARKMIEEQEAVRGRLFTIQDVMQSTVRAWLQDKSLRITHNLTIFGGCGLILSIITGLFGINVDGIPGAQNTQYAFALFTGLLFLVGLLLIVAGNIYFGLQNPISDQQVQVRKLELQQLVSMFQHDAETHAKVREGVLIRNDLPPRASDLIYDQGDYYFNHSPMEVRIHCS >Sspon.01G0057010-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1C:95259488:95259811:1 gene:Sspon.01G0057010-1C transcript:Sspon.01G0057010-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAFFFALVLQGLSSGAVCVVWPRACRWRGCAPVGILPGRASHHRGRVWERLRTLWRRPTHAARCAPPSATSEFGNGSARCGNDRQPRDRLLVRASHYRGRVRKWLYM >Sspon.08G0012900-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:54838881:54841122:-1 gene:Sspon.08G0012900-1A transcript:Sspon.08G0012900-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPPLSSAPSRLLPRRTVASATAATASSPSPAPSSAGGHGHRPLRYAVLGAGFAGLSVAWHLLKVTLHFPSSSSLPVGDTTSRNRLNRRWRDFNFQHSPRDSRVSVDIYDENGVGGGASGVSGGLLHPYSPKGPSSIVSTKPSESHWFRTPPMATQRMTWHPAYFISVMRLNSLLTTVKLLWRGAEFWKESMDLLRSAEQANRTMGSDTTNEDDNLIWRRQCIHYIFAATSCLESCSLQLLDSDAAQRLIPGLCTPFDFAVYMPLALNINPKKYLQVLHCFLLSVIVTCFAILCSLYLDKQTYFFLGFVQALFSACQNLADEASSLQSEQKEFKLYKQHVDNLHHLAGNYDSVIICLGAKAHSLPELANKLPLRTCRGVIAEFQLPSNTVEEYSSQSPSILSDAWLAFQGPRTVSIGSTWQWKSENDSSTVSDEESLTAMEELLPKASGVYPRINKWDFVRARAGIRAMPPLTANGSLPLLGCLDNLLGKKSNCTFWLVGGLGARGLLYHGLVGKLTAKAVISCDENIIPSEFTCWNVIKP >Sspon.06G0008410-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:38431033:38433421:-1 gene:Sspon.06G0008410-2C transcript:Sspon.06G0008410-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASGGLEQWQKDGFFQAAEEVQESADLMESVYRTWMRERCNGSSSEELEQFERAVSSSNDKYSLEEGTVARRRQFVVAIADQISRVEKDINGSLMDNDRQGLNWVKLDDEERDDLVAFLSAPADFYSEMKSTDSSNHVPSRQKNVPVGMNDQSDPALIIKDIYEVPHREISTVKSDVCGLAEELHGLRRNLSVGNDHWKIDICNEMVDDRKSSPNATGVQATRQTTALSSIRRSTESLTRERWFWNSLWKPKSDENRSLRYDMSNNLDLRVLSLLTQRFNGLAERSRNYLTSWKENSRISGRTGGLHIQGQQQNIQFGRSIRITLLL >Sspon.03G0009900-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:33228222:33236562:1 gene:Sspon.03G0009900-1T transcript:Sspon.03G0009900-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLNASRIKVLQAQDDLVNKMKDDAMKELLRVSHNHHEYKNLLKDLIVQGLLRLKEPAVLLRCRKEDHHHVESVLHSAKHEYASKADVHEPEIIVDHDVYLPPAPSHHDAHGQFCSGGIVLASRDGKIVFESTLDARLEVVFRKKLPEIRKLLFGQTAA >Sspon.02G0010870-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:8295497:8297852:-1 gene:Sspon.02G0010870-1P transcript:Sspon.02G0010870-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MIAWGDIYKVVAAMAPLYFALGLGYGSVRWWRFFTAEQCSAINTLVVNFSMPFFTFDFLARANPYTMNYRVLAADAVSKALAIIAAVAAAWAGSCGAKAGAQPSWAITGFSLAGFNNTLVVGVPLLYAMYGKWAQDLIVQIAVVQSLVWFPLLLLGFELRKAWVGIPAQGGGVSRCSSSSGSDDDDSAGGRVGPVSSSSSSSSPPPSPPPKKDAAAEKDVEMNAEEAAAAAGTIRLWPLVRTVGLKLAGNPNVYASVLGVVWACIAYRWDLSMPGIVTGSLDVMSKTGTGMSMFSMGLFMAQQKKMISCGAGLAALGMALRFVAGPLATLVGAAAFGLRGDVLRFAIIQAALPQSIASFVFAKEYGLHADVLST >Sspon.06G0014230-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:61020445:61024650:1 gene:Sspon.06G0014230-2B transcript:Sspon.06G0014230-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABC transporter I family member 11, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G14100) UniProtKB/Swiss-Prot;Acc:Q8LEF6] MLAAAATAVAVPPAASYRFPANTARLNHNFFQVRKVTYRPPGTEQNLLKEINLSLQEKRQAYLLWLNYISGGFYIPNSVFVQFWFDIWTEWEWKDHPFAVGIVFQFPERYFLADTVLEEVTFGWPRQKADLLLKEQLALNLQNAFNSVGLTTISLDEDPQALSGGFKRRLALAIQLVQTPDLLLLDEPLAGLDWKARADVVNLLKDLKKHHTILVVSHDLRELYPLVDYSWRMEMGGSLKEEALPV >Sspon.01G0019860-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:73896760:73908204:-1 gene:Sspon.01G0019860-1A transcript:Sspon.01G0019860-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAIMLAREHVLRARAGETPRRIVVFLAPTVHLVHQQFKVIREYTDLDAVECHGASGVGDWNAKRWKEAIGTNEIVVMTPQILLDALRHAFLTMHVMSLLIFDECHRACGNHPYSRIMKEFYIDSEWRPAIFGMTASPVSKKGNPSLQQQIDLNILHIVPDRNELESFSTGAKIVNKYYDPYLFDLDDLESKLQMLLEEFDDLLVSLQESSPNKFEDTDSILEMSRKSLSRYHGKILYGLNTLGPIVTLEVVKIYNESINTVGDSEDCLFSKASLNLQVSYFKEALFLIDEFLPQGYGELMKSESGSAELTKRGYISSKVETLINIFKSFGSSEEVLCMIFVERIMTAKAVERFMRGNVNFSHFSISYLTGGSTSKDALSPAVQRFTLDLFRAGKVNLLFTTDVTEEGIDVPNCSCVIRFDLPRTVCSYVQSRGRARRSSSSYVLMIERGNLVQQEHIFRIIRTEYYVKTFALHKQPNTPSLDLPLQEKYMYHVESTGAIITAECCVNLIYKYCEKLPKDRYYLPKPCFEVGIKDGSYQCTLTMPPNAAFRSIVGPPSSTCNLAKQLVCLEACKKLHELGELDDHLVPLTEEPMDIDTDITDKKCVSGPGTTKRKELHGTINVHGLSGNWIHESETVILNTYTFDFCCDQEGETYAGFVLLMESVLDDDVAHSEIDLFLIPNKMVHTTITPCGKIQLNKEQLRKGKLFQEFFFNGIFGRLFHGSGTSGLQREFIFRKGHEIRWGSDNMYLLLPLRNSSHIQHDLNIYWEAVESCFGAVEQLRNLYLEDGNLNYENLCSHKRSNKGEDIIHLANKSLHFSAVKDSVVLSLHTGRIYSVLDLILDTTADDSFDEMYNGKVSPFVSFVDYYHQKYGIVIQHPGQPLLLLKQSHNAHNLLFSKMKYQDGSTGNSLLVEKEQIHARVPPELLIHIDVTTDILKSFYLLPSVMHRVQSLMLASQLCSDISYTQRIPSSLILEAITTLRCCETFSLERLELLGDSVLKYVIGCDLFLRYPMKHEGHLSDMRSKAVCNATLHKHGIRRSLQGYIRDTAFDPRRWVAPGQISLRPFPCNCGIKTAFVPLSGVYISDDPSFVVGKPCDRGHRWMCSKTVSDCVEALVGAYYVGGGIAAAIWVMRWFGIDVRCDMELVQKAKSNSNASRMCSLSKLKDIEELEAKLKYNFSVKGLLLEAISHPSLQELGVDYCYQRLEFLGDSVLDLLITWHLYATHTDVDPGELTDLRSSLVSNENFAQAVVRNNIHKHLQHGSGILLEQVTEYVRSNLEYHGKENEFLQQATCKAPKVLGDIMESITGAIFIDVNFNVDLVWKIVEPLLSPMITPDKLALPPYRELLELCSHLGCFIKSKCTSKGEDLIIEMTVQLRDELLIAQGHDRNRKSAKAKAAARILVDLKKRGLSLKQCFSKAKQLDIVSSEPQSESTSYSDAMAFHMLSVVLPLKMDKGGPRTALFRLCKSLQWPIPEFEFVEQRFRTPIVLDGVTTTNFNSFVSTITLHIPDVTVITLQGERRTDKKSSQDSASLVMLQKLEELKVCICKTQPSNMD >Sspon.03G0039080-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3C:9462558:9462715:1 gene:Sspon.03G0039080-1C transcript:Sspon.03G0039080-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAACLEAAKEACIKFAKGKCIGPFRDARITSDGLLENTNFDVWGAAADKSSS >Sspon.03G0003240-3P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:10973452:10977534:1 gene:Sspon.03G0003240-3P transcript:Sspon.03G0003240-3P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFTSEFYISVLRVWDVLLFDGNRVMLFRTALALLEFYGPALVTTKDAGDAVTLLQSLAGSTFDSSQLVLTARMGYQSVNETILQELSSKHRPPVISAMEERAKGLGVWTDTNGLASKLYNFKHDPEPLVSLSDSADQLSDVGDGDANQESDPGNMDDEYGGVTVNSEIDSLPDPKDQVAWLKLELCRLLEERRSAVLRADELETALMEMVKQDNRRQLSAKVEQVELEISELRQALSDKQEQEQAMFQVLMRVEQELKIAEEARISAEQDAAAQRYAANVLQEKYEEAMASLAQMENRAVMAETMLEATLQYQSSQQKALSPCPSPRPSMLDASPTQASQNSSHEFQPRRKNLLGPFSLSWRDKNKVISSD >Sspon.01G0039160-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:20401301:20406689:1 gene:Sspon.01G0039160-3D transcript:Sspon.01G0039160-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRRTASAPCQQLAHPTGDQNQKRLRQATVVRPSHAIQQTERTRTRKRKERNREEPQSDDGKAERQKEPNAGAPGRASCHVSATVTFPRQRRRLAQPGRGRTIKLKPVNTGVFSAPRNRTKVGHTAQPKPLSAPRLLRPHSLVRAQEVKMDGSTRGGGHSEALRNYNLGRTLGIGTFGKVKIAEHKLTGHRVAIKIINCRQMRNMEMEEKAKREIKILKLFIHPHIIRLYEVIYTPTDIYVVMEYCKYGELFDYIVEKGRLLEDEARRIFQQIISGVEYCHRNMVVHRDLKPENLLLDSKYNVKLADFGLSNVMHDGHFLKTSCGSPNYAAPEVISGKLYAGPEVDVWSCGVILYALLCGTLPFDDENIPNLFKKIKGGIYTLPSHLSALARDLIPRMLVVEPMKRITIREIREHQWFQTRLPRYLAVPPPDTTQQAKMIDEDTLRDVVNMGFNKNHVCESLCSRLQNEATVAYYLLLDNRFRATSGYLGADYQESMDRNLNQLASSESSSSGTRNYVPGSSDPHSSGLRPHYPVERKWALGLQVVHTSRAHPREIMIEVLKALKELNVSWKKNGHYNMKCRWLPGFPEVHDMLDASNSFLGDSTIMDNDDANGRLPAVIKFEFQLYKTKDDKYLLDMQRVTGPQLLFLDFCAAFLTKLRSGEKLRIELYHVQFFTEVMNKRKHAVAPQMAMLY >Sspon.03G0029190-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:13991650:14013953:1 gene:Sspon.03G0029190-1B transcript:Sspon.03G0029190-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA polymerase alpha catalytic subunit [Source:Projected from Arabidopsis thaliana (AT5G67100) UniProtKB/Swiss-Prot;Acc:Q9FHA3] MEDSPADAAGSGRRTRTRTRGAEASGRAAVLQQLRSVRKGEIRASDVIQVKVDAPIYDTVPEEEYNVLVARRKKEAGEFIIDDDGLGYVEDGREEDWSHRALPSSSDEGSDGEDGAPRKRKQTRPPQAKRQPQQSSKAAASLSAAAAKTGQQISSMFTSSVFKRTGSDRAKGLALAADSIVDDIIAEFAPDENDREERRRRVGRVCAPQPPPPTVSYVKPQKVIVDTEMVRSDNGNDMAVELKNDTEMETNLEEILGSSADLVVDNLEEIPGSSAELVVDNKCLEEPKQEVDGEVKVEKTHRLNAKIKAEESRNNDVTSATAGWMKICGNGQNAVGEEGVAVDGNTNVDESSEFELKDGALPFYILDAYEEPFGANSGTVYLFGKVEVGKRFHSCCVIVKNIQRCIYAIPNHSVFPRDSISGIEKNPTSADLLPSLRATLHELASGLKSEIADRLSDLNVSNFVMTPVKRNYAFERTDLPNGEQYVLKINYPYKDPALPADLREEGQGAFMAVGFQICDTAIHSAVDCPKDISVLTTSTNLEVPTVVVAAVNLKTIINEKHNVHEIVSASLICCHRVKIDSPMRPEDWQKRGMLSHFTVMRKLEGSIFPIGLAKGASDRNDQAGSNLSKLDCDVLVGHNISGFDLDVLLHRAQTCKVPSSMWSKIGRLRRSSMPRLTKGSTLYGSGASPGIMSCIAGRLLCDTYLCSRDLLKEVSYSLTQLAETQLKKVRREVSPHDIPLMFQSSSELLKLVEYGETDAWLSLELMFHLSVLPLTRQLTNISGNLWGKTLQLTMKPCILEVMSQMKFLAQRVEYLLLHSFHARKFIVPDKFARNKELNSTKRKMNADTEGANADDGAADPSVDDEVHNGDQGKARKGPSYAGGLVLEPKKGLYDKYVLLLDFNSLYPSIIQEYNICFTTVERSSDGSVPNLRASKATGVLPELLRSLVERRRMVKSWLKTASGLKRQQFDIQQQALKLTANRFYAKPLAELITLQGREILQNTVDLVQNNLNLEVIYGDTDSIMIHTGLDDISRAKAIAGKVIQEVNKKYRCLEIDLDGIYKRMLLLKKKKYAAIKVALDGSLRENIERKGLDMVRRDWSLLSKDIGDFCLNQILSGGTCDDVVESIHSSLVQVQEQMRSGQIELEKYVITKSLTKAPEDYPDAKNQPHVQVALRLKQNGYSGCSAGDTVPYIICSQQDSDNTHSVGIAQRARHPEELKRDPDKWMIDIDYYLSQQIHPVVSRLCASIQGTSPARLAECLGLDSAKFQSRVTESSNQDTSTMLLSVIDDEDERYRGCEPLRLSCPSCSGTFDCPPVSSLITSATSVSDPNEGKDATANFWRHMRCPRCPDNADESKISPPMLAIQMKRQADNFISLYYKGLQMCDDEGCKYSTHSVNLRVMGDSERGTICPNYPRCNGRLVRQYTEADLYRQLSYFCYVLDATRCLEKLDQKARLPFEREFVAVGQTINLALLEIQKIRDRCAFGWVQLRDLAVSI >Sspon.03G0008310-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:29426465:29440677:-1 gene:Sspon.03G0008310-2B transcript:Sspon.03G0008310-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDAATAAAVTAAKEREGKENHGGDAAAKVSLVELFQYADAMDMLLMVVGFVAALASGLTQPLMTVIFGQLINAFGGAATETILDRVTKVTQSAFPVDIEVSCWTMTGERQATRIRSLYLKSVLKQDIAFFDVEMTTGQVVSRMSGDTVIVQDAIGEKVGKFLQLTANFIGGFVVAFVKGWLLSLVMLSCIPPVVVAGGVVSKMLSKLSSKGQTSYSDASNVVEQTIGAIKTVVSFNGERQAIALYNKFIHKAYKAAVQEGITNGIGRGSVFFIFFASYGLAIWYGGKLILSKGYSGGDIVSILFAVMIGALSLGQATPCIAAFAAGQSAAYRLFTTIKRKPEIDPDDTTSGKQLEDMRGDIDLKDVYFSYPARPEQLIFDGFTLHVPSGTTMAIVGESGSGKSTVISLVERFYDPQAGEVLIDGINIKSLQLGWIRGKIGLVSQEPLLLMTSIKDNIAYGKEDATIEEIKRAAELANAANFIDKLPNDYDTLVGQCGAQLSGGQKQRIAIARAIIRNPKILLLDEATSALDVESERIVQEALNTIMVGRTTLVVAHRLSTVRNADCISVVQQGKIIEQGAHDELLMNLDGAYSQLIQIQESPKEAEQKLDRHISDKSRSLTSSELIGINSAGNSSRHSFTLPFGLPSSIVELYEGNDTNDNEKDKAGDSEVPKQSPLGRLANLNKPELPVILLGSLAAAIHGMILPVSGVIISNAIITFFEPADKLKNDSQFWGLICIVLGIVSIISIPVEYFLFGIAGGKLIERVRALSFQSIVHQDVAWFDNPKNSRTCDYEGLDMGTDGTRLSIDALNVRRLVGDSLALAAEVTSTLLTGFVIAMIADWKLCLIIICVIPLVGLQGYAQIRFLKGFSEDAKLLYEDASQMAMDAVTSIRTVASFCGEKRVMAIYNKKCKASKNQGVRTGMVAGLGFGFSYLMLNLTYGLCFYVFFALMLSTVGISETSALASDSKRARESTISIFALLDCKSKIDSGSDEGLILEEVKGDIDFRHVSFKYPTRPDDQIFSDFTLHIPSGKTVALVGESGSGKSTVIALLEQFYRPDSGTISLDGMDIKKLKINWLRDQMGLVSQEPVLFNDTIRANIAYGKHGEVMEEELIRVAKLADAHEFISSLPQGYDTSVGERGVQLSGGQKQRVAIARAILKDPKILLLDEATSALDTESERTVQDALDHVMVGRTTVIVAHRLSTIKDADVIAVLKDGMIVEKGRHEALINIKDGFYASLVELRSASPDRI >Sspon.05G0004020-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:12317306:12322328:1 gene:Sspon.05G0004020-1A transcript:Sspon.05G0004020-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Clathrin interactor EPSIN 1 [Source:Projected from Arabidopsis thaliana (AT5G11710) UniProtKB/Swiss-Prot;Acc:Q8VY07] AMDFMKVFDQTVRDRKREVNLKVLKVPEIEQQVLDATSDEPWGPHGSALSELAHATKNSTECQMVMNVLWTRLSERGANWRHVYKERLYVQALTIIEYLIANGSERAVDDILDHYSKIKVLSSFEYVEPNGKDAGINVRKKVETIVGIINDKERIKAVRDKAASNRERYVGLSSTGITYKSSSASFGSNYSSGERYGSFSGTKEADSYGDSYRDKEPVKTSTSNSGSRKSGSKLRKDAKPDSRNEDYSSPSSLKPPSNTNNTEDDFDDFDPRGSIGKTIAKPNEVDLFGPNLMDDFVDVSAATPATDSAVERQVDLFADADFQSATASTETAANTDVKTSFPAAFPPQAGIIPPPSARTSSEVNTSVSKKAAPESFDPFGAIPLNSFDGSDPFGGFSSNAGSSTTAPPTHSSTGNISTSNQNLQAASDFGAFVSNNEGAAKDPFNLSSSANVGKTPLAAPKIDASDFGAFVSSTDEAAKDPFDLSSGSNLGRTDQAPAAASKPNTKKENFQVKSGIWADSLSRGLIDLNITAAKKVNLADIGIVGGLGDGSDEKGHALLDHGHRIWPRNVWYSTINTSRWHRELSQLQQASIRLQ >Sspon.04G0007940-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:22417777:22418838:1 gene:Sspon.04G0007940-1A transcript:Sspon.04G0007940-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIAVGAAFVLSIAVVFVLKGSFRRKDHTVKVVADTNRALELAPASLVLLFQDKADKALTITDILKSTNNFDQANIIGCGGFGLVYKATLQDGAAIAIKRLSGDFGQMEREFKAEVETLSKAQHPNLVLLQGYCRIGSDRLLIYSFMENGSLDHWLHEKPDGPSRLIWPRRLQIAKGAARGLAYLHLSCQPHILHRDVKSSNILLDENFEAHLADFGLARLICPYATHVTTDLVGTLGYIPPEYGQSSVATFKGDVYSFGIVLLELLTGKRPVDMCKPKGARELVSWVTHIKKENREADVLDRAMYDKKFETQMMQMIDVACLCVSDSPKLRPLTHQLVLWLDNIGVTSDAPK >Sspon.03G0009280-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:40651986:40658293:-1 gene:Sspon.03G0009280-3C transcript:Sspon.03G0009280-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDASGAGGDGDGDGAGKAALEAPAEATTPPPAPVAAPVPVSVSASAAAAGAGTSGSGEKPVKRMMKTPYQLEVLEKTYAVEQYPSEAMRLELSAKIGLSDRQLQMWFCHRRLKDRKPPSKRQRREEESALAPVMPPPPVLPAPVSVMPLASSDLMVGAPGPYDEPVHPVHSRRGAGRSSAVPRISMPDIGRRYYEPPPIMITPAIPSMQLTQSELRVINSVESQLGEPLREDGPALGVEFDPLPPGAFGAPIVPEQQKQPVRSYDTKIFSRHDQKLLKASAFLPTMESPFVPNSFTGKRKSMVGNSPIVQPHVGSRAVHEYQFLPEQPSDTYERASRSHYYDTPVEVSNSRISSLTSGSQLLHGSEAAAPSYAFQGHTSGSSLLPQSSRSQVFPAAPADYETTQTNSNLNSVPVEGQFDISQVA >Sspon.01G0021630-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:78990714:78993031:1 gene:Sspon.01G0021630-1P transcript:Sspon.01G0021630-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKPHYAASSPPHPPPQQPPLLPLALVPPRQCPLAAALLALLSVLLATALWLLLVLSPSQGAPPTAASEHSALSDARAAAAAAGPGAASPLSLGHIVFGIAGSAHLWPRRREYVRLWWDPAAMRGNVWLDAGAPAAPGPSAPWEGSLPPIRVSEDTSRFRYTNPTGHPSGLRIARIAAEAVRLVGGGAGARWLVLVDDDTVLCADNLVAVLSKYDWREMVYVGAPSESHSANTYFSHSMAFGGGGVALSFPLAAALAQTLDVCIERYPKLYGSDDRLHACITELGVPLSREYGFHQWDIRGNAHGLLASHPIAPFISIHHVELVDPIYPGLNSLESLELFTKAMKMEPMSFLQRSICYDQSQRLTFAISLGYVVEVYPNVLLPPDLERSQRTYIAYNRMSQRNEFDFDTRDVQKSLCKKPILFFLKDVWKDGNITRGSYARSSARDDLKRKVFCFRSPPLPDIDEIQVSSSPLSKRWHLAPRRLCSALKGSIDGTLF >Sspon.01G0011650-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:38249558:38250930:-1 gene:Sspon.01G0011650-2B transcript:Sspon.01G0011650-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVWKAAAQTRFRLFKHENGITVRVIACFQLLQNCQVNPWCHWHNPPWKFNTETSAGNLRPPDVGLVNANSVALPAYLSTVAAPVPFFTASIAERPLSTAPRYATTLAPSLELPALYPSRKRPLVFYQKENHAPIAAPLLSKGPLDPVPELQGSNETNVTDVGAEETEGIHENTDEINALLDSDSDEGYEKLLELDRVRRQSPAENDTLSVESVASAGAATDSAPPAKKRKLSSCTDKSVVDTASSARPDHSIEQKLLVNDCDAQSCCVGEVESDHKFSLGEVEAAEGDSPDDQKQRRERIQETVAALRNIVPGGIAKDATAVLDEAICYLQYLKLK >Sspon.01G0004070-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:11342163:11342417:-1 gene:Sspon.01G0004070-1P transcript:Sspon.01G0004070-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RMADIALLVTEQFEKNLKRGAGGDNGSGSGSGGERRNFGAVAKVWSSWVESASAAASGVRVSLAVQLLEPKTGLALAAADGLFSA >Sspon.03G0032120-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:33348263:33416424:-1 gene:Sspon.03G0032120-3D transcript:Sspon.03G0032120-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDMEDRSVVRRQEPTAVVRTEDDDVASGDEDSDAEFEFPFVSRESAAGAGAGAAAADELFAGGRIRAFYPVFGRVLQDEAPAPAPASPRAPLGRLFQLEQARTSSVASTSSSSSSSTAGAGAGAGLDGASPDSYCLWRPGSSASAASSPSRPPRKSGSTGSIARWRRIGELVVGRSHSDGREKFLFLSAPPSPARDREHSSPASKAKAKQPPKGSKAAAATELDTVAAGRRVSYGGGAKASTTGGRRTFLPYRQDLVGLFANGMDAVERLKVGFQKFKTEVYDKKPELFEPLKGGQAPKYMVFACADSRVCPSVTLGLQPGEAFTVRNIAAMVPPYDKTKYSGTGSAIEYAVCALKVEVLVVIGHSCCGGIRALLSLKDSAPHNFHFVEDWVRIGSPAKTKVQKEHASVPFDDQCSILEKEAVNVSLANLKTYPFVKERLAKGTLKLVGGHYDFVNGKFVTWEPYMVFACADSRVCPSVTLGLLPGEAFTVRNIAAMVPAYDKTKYTGIGSAIEYAVCALKVQVLVVIGHSCCGGIRALLSLQDGAPDNFHFVEDWVKIGFPAKMKVKKEHASVPFDDQCYILEKEAVNVSLENLKTYPFVKEGLANGTLKLVGGHYDFVKGEFLTWKDMADAVQRLKSGFNKFKTEVYDKKPELFEPLKAGQSPKYMVFACADSRVCPSVTLGLQPGEAFTVRNIAAMVPAYDKTKYTGIGSAIEYAVCALKVEVLVVIGHSCCGGIRALLSLQDGAPDNFHFVEDWVRIGFPAKVKVKKEHASVPFDDQCSILEKEAVNVSLENLKTYPFVKEGLANGTLRLVGGHYNFVQDMADAVERLKTGFEQFKADVYDKKPELFEPLKAHQSPKYMVFACSDSRVCPSVTLGLHPGEAFTVRNIASMVPPYDKTKYAGVGSAIEYAVCALKVEVIVVIGHSRCGGIKALLSLEDGAPDKFHFVEEWVRIGGPAKTKVQADHASVPFEDQCSILEKEAVNVSLENLKTYPFVKEGLEKGTLKLGLGAAERLKTGFRTFKKNVYDQNTMLFGQLKSAQSPKYMVFACSDSRVCPSVTLDLKPGEAFTVRNITSLVPAYKQNVHCNIGSAIEYAVTILKVECIVVIGHSCCGGIRALLSLKEDRPHTYFIENWVKIGLDIKKKVERIHAFLPFDEQCTKLEIPSYWRMAPEEALRYAKKEIRNAEPVLDDPDLYAPLFKNVSQFKRSYELMERILKVYIYQDGRRPIFHTPPLSGIYASEGWFMKLLKESRRHVVADATKAHLFYLPYSSQQLRLTLYQADSHNLRPLATYLRNFVRGLANKYPFWNRTRGADHFLGPYTTTAHRDLRKNAIKALCNADSSEGIFTPGKDVSLPETTIRTPRRPLRYVGGLPVSRRSILAFFAGNVHGRVRPVLLKHWGDGQDDDMRVYSLLPDRVSRRMNYIQHMKNSRFCLCPMGYEVNSPRIVEAFYYECVPVIIADNFVLPFSEVLDWSAFSVVVAEKDIPDLKKILQGISPRRYVAMHDCVKRLQRHFLWHARPIKTSVSDSEHAEEISRGDERVQSEHQERNEGQPQGAGDLPVEGVSAEPGHPQSPAHPDVRALAITEKAARLSTAVARRSVTDSSLRSPTATATAAATSGGTDPPFSAATAACCSCCCVWPPRCSGDVTASSTGSKCCAAIAAVAAAGDDSGSDRCSFVSAARLGVLWPFLLLLPLLLLTTGAAAGVSGDGGVGEGDGEGEELVVASDLCDDGDRARPFVARRSGSRAGAAVPVPAAAAALAPPSCSSCPFCPARGLGLGGGRRRGAARRTGESCGLLGGAGAPSLPSLGKLLASSRGDPSARDGAGVWYSSPGCDDEGDGGGGISTGGGGMGTGGGALSGAGVRGVDAM >Sspon.03G0036780-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:94093532:94097713:1 gene:Sspon.03G0036780-1B transcript:Sspon.03G0036780-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTTTLQLLPWPPEPARADVLLLPPLLLAAVVVCLWALLSRSLFSRERAAGGIKEQQAAPARLPPGSFGWPLVGETLDFVSCAYSSRPEAFVDKRRLLHGSAVFRSHLFGSATVVTSDAEVSRFVLQSDARAFVPWYPRSLTELMGKSSILLINGSLQRRVHGLVGAFFKSPQLKAQVTADMQRRLAPALAGWKTLGAAAPPLRIQDHAKTQIVFEILVKGLIGLEAGPEMQQLKHQFQEFIVGLMSLPIKLPGTRLYRSLQAKKRMARLIQGIIQEKRRRRRAILDGGGEAGPPRDAIDVLISGGSDELTDELISDNMIDLMIPAEDSVPVLITLAVKYLSECPLALQQLEEENMQLKRRKTDMGETLQWTDYMSLSFTQHVITETLRMGNIINGIMRKAVRDVEVKGHLIPKGWCVFVYFRSVHLDDKRYDEPYRFNPWRWKEKDTSTSSFTPFGGGQRLCPGLDLARLEASIFLHHLVTSFRYGSISPAH >Sspon.07G0016740-2P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7D:83276850:83277260:1 gene:Sspon.07G0016740-2P transcript:Sspon.07G0016740-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding WSELADRSALSRPDSLGEATSRLRKNLAYFRVNYAAVTALCLAASLLAHPFSLAALLALLAAWCLLYVLRPADAPPVAAFGRAFSNREVLGGLVAASAFVVFLTSVGSLIFSALALGAAVVCAHGACRVPEDLFLDE >Sspon.07G0013370-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:54606088:54609337:-1 gene:Sspon.07G0013370-3C transcript:Sspon.07G0013370-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIEASTAEAEAVSAVWRAAKEASAASSEDSLSEGIGSESPLSETSPMPEDFDDGGSLEPDVKLHSRAVVVAKEAVGDLGCLVRQLSLDQFENESRRMHPSNNDQSYPGRRTLNRQRSPQGLHKKVISFLLKPRNWRAPADRTFFLDSYEVGELCYAAEQIFMQEPTVLQLKAPIKVFGDLHGQFGDLMRLFDEYGFPSTAGDITYIDYLFLGDYVDRGQHSLETITLLLALKIEYPENVHLIRGNHEAADINALFGFRLECVERMGESDGIWAWTRFNQLFNYLPLAAMIEKKIICMHGGIGRSINTVEQIEKLERPITMDVGSIILMDLLWSDPTENDSVEGLRPNARGPGLVTFGPDRVTEFCKRNKLQLIIRAHECVMDGFERTANNAGAILVVGRGLVIVPKLIHPLPPPVNSPESSPERGDATWMQELNIQRPPTPTRGRPQAAGTYGIAKKNNQQDIMDTLEISS >Sspon.01G0024100-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1A:86589470:86590666:1 gene:Sspon.01G0024100-1A transcript:Sspon.01G0024100-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKNKKRRKITSTASLPLPTTIDSLPDDVVADILLRLPSAAAICRAVFASEHWIRVATSSTFLRRLRDIHDYPLLLGHFVAPPYGHRFFTPAHFHPAPLRSSESDGVLAAMVRRGDFFLTRIQVQGSGQHVLEDCRGGLLLFSDTRTLRVFDPMTHRVSIVDQLRSRGGRAADHRGRTLCLLPNGDDAGAFRVMSLQHGNGKKLARLEVYNSCTRAWRVLSGAETDKIPARSHMAQIYRGHYSPAMHAGDRIYWKYAVADSLLSLDTKKMTFSDVRLPPGVTRRSAYAVGEAEDGTCCLVHVVKHRRQMQVWRFKLGDDDHKGNGAQMWELERQVPLILDSVSAYRSVDQVRAIVGGIVLLCVDNSSSYQHHIAFRLKSLKVEADFTCRGLARPFVIE >Sspon.01G0030980-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:106658979:106661778:-1 gene:Sspon.01G0030980-1A transcript:Sspon.01G0030980-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAATARTAACPCPVCLEAFKDEAYLDTCFRKSCPFVSLEFFTDFRCPLCKTENLSVIHAFDGESFERWYINQEPRKRRLSDAHELVSQFYNMKETTSNISGVQQYWEQKRYLRKKNWLETWLRREIQALTRDENVEAIVYHIHGVMGSFMKRLEKEHTSRTISPEKRREEFRRLVSDAARPFLLGRTERFVTEVELFLVSNLNMEAYNKLRVQRFRESSSHLTREQDVLPHDRSLEEHYLYFVCNDTDCDEM >Sspon.02G0019860-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:64657071:64668886:1 gene:Sspon.02G0019860-1A transcript:Sspon.02G0019860-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVDAARYAHSPAHHAVAARDHAALRRVLDALPRARRPEEIRTEADSVAEEARAEAVSAVIDRRDVPGRETPLHLAVRLCDATAAEMLMAAGADWSLQNEQGWSALQEAICAREEALARVIVRHYQPLAWAKWCRRLPRVVAAMRRMRDFYMEITFHFESSVIPFISRIAPSDTYRVWKRGANLRADMTLAGFDGFKIQRSDQTILFLGEGSEDGKVPPGSLCMINHKDKEIMNALEGAGAPASEAEVQQEVTAMSQTNIFRPGIDVTQAVLLPQLTWRRQERTEAVGPWKAKVYDMNHVVVSVKSRRVPGAMTDEEFFSSCNDNDTESEGFDDVLTEEEKKQLEAALKMDSPDAGGESNSFAGPRHSCVEPREREIPIEDVSVSGVGESKHDKKGWFSNWGKRSQVSKPEGVKKMAPPRSSLCVDEKVSDLLIESPSNVPTRPGRHSVDVVRADDNRRIRERDNRRHVASAENGHRRKEGSKESEYKKGLRPVLWLSPNFPLRTEELCHCLIFLQTRDLLTTKLPPGTFPVKVAIPVVPTIRVLVTFTKFEELQPLEEFTTPPSSPDNSKSPAVQPSSSSWIQWIKAPYRQNFSTAPGPSSRVEDIQDPFAIPSDYVWTTPEEKKKKTQENKNKYAHSPAHHAVAARDHAALRRVLDALPRARRPEEIRTEADSVAEEARAEAVSAVIDRRDVPGRETPLHLAVRLCDATAVEMLMAAGADWSLQNEQGWSALQEAICAREEALARVIVRHYQPLAWAKWCRRLPRVVAAMRRMRDFYMEIPSTSRAPVWKRGANLRADMTLAGFDGFKIQRSDQTILFLGEGSEDGKVPPGSLCMINHKDKEIMNALEGAGAPASEAEVQQEVTAMSQTNIFRPGIDVTQAVLLPQLTWRRQERTEAVGPWKAKVYDMNHVVVSVKSRRVPGAMTDEEFFSSCNDNDTESEGFDDVLTEEEKKQLEAALKMDSPDAGGESNSFAGPRHSCVEPREREIPIEDVSVSGVGESKHDKKGWFSNWGKRSQVSKPEGVKKMAPPRSSLCVDEKVSDLLIESPSNVPTRPGRHSVDVVRVDDNRRIRERDNRRHVASAENGHRRKEGSKESEYKKGLRPVLWLSPNFPLRTEELLPLLDILANKVKAIRRLRDLLTTKLPPGTFPVKVAIPVVPTIRVLVTFTKFEELQPLEEFTTPPSSPDNSKSPVVQPSSSSWIQWIKAPYRQNFSTAPGPSSRVEDIQDPFAIPSDYVWTTPEEKKKKTQENKNKSKKGRNGA >Sspon.05G0005890-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:18674174:18676322:1 gene:Sspon.05G0005890-1A transcript:Sspon.05G0005890-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKAVINAVLFPALAVVVALAIFYFVRRRRRRRRGRGRSVLPSHGGGGGARADRFQAAGASGGYVAGGEEALLRFPGGEALTVAAILEAPGEVVAKSSHSTLYRAGLCAGEAVALLRFVRPACAAGAEDAAAAARVLGAVRHPNLVPIRALYIGPRGEKLLVHPFYAAGSLRRFLQEGINDSQRWEIICKLSIGIVKGLDHLHTASQKPIIHGNLKTNNIMLDADFQPRISDFGLYLLLNPAAAQEMLETSAMQGYKAPELIKMRDTTRESDIYSLGVIMLEMLAQKEVANDKSPNARDIHLPASFKDLVLERKMSEAFSSELIKQSKNSGKEDNLNSYFELATACCNPSPSLRPDTKKILKRLEDIAR >Sspon.08G0000050-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:511080:519933:1 gene:Sspon.08G0000050-1A transcript:Sspon.08G0000050-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPSMSLAAKGVLPFSALTSSGVTQRPVSVAASLEHKTSDARRKFLKLALGNLGVGLPTLLGAKKALADEQSISSSRMSYSRFLEYLDKDRVKKVDLFENGTIAIVEAISPELGNRVQRVRVQLPGLSQELLQKLREKNIDFAAHSNQEESGSLLFNLIGNLAFPLILIGGLFLLSRRAQGGLGGPNGPGFPLGFGQSRAKFQMEPNTGVTFDDVAGVDEAKQDFMEVVEFLKKPERFTAVGARIPKGVLLVGPPGTGKTLLAKAIAGEAGVPFFSISGSEFVEMFVGVGASRVRDLFKKAKENAPCIVFVDEIDAVGRQRGTGIGGGNDEREQTLNQLLTEMDGFEGNTGIIVIAATNRADILDSALLRPGRFDRQVSVDVPDVRGRTEILKVHGSNKKFDSDVSLDVIAMRTPGFSGADLANLLNEAAILAGRRGRTAISSKEIDDSIDRIVAGMEGTVMTDGKSKSLVAYHEVGHAVCGTLTPGHDPVQKVTLVPRGQARGLTWFIPMDDPTLISRQQLFARIVGGLGGRAAEEIIFGEPEVTTGAAGDLQQITGLAKQMVVTFGMSQIGPWSLMEGGAQSGDIIMRMMARNSMSEKLAEDIDSAVKQLSDEAYEIALRHIRNNREAIDKIVEVLIEKETLTGDEFRAILSEFVEIPAENRVPPATPAAALPA >Sspon.07G0005520-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:9580769:9581520:-1 gene:Sspon.07G0005520-1P transcript:Sspon.07G0005520-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLHWLEAVLPLGIIGGMLCIMGNAQYFIHKAAHGRPKHIGNDMWDVAMERRDKKLMESPPATRCGSGLLPLISMDFAGIPLGCRPPGVRDYAGIRSALLRDDSRVWVPFGIRGLDWSNGIMEMGEPQ >Sspon.03G0026560-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3C:8403807:8404613:1 gene:Sspon.03G0026560-2C transcript:Sspon.03G0026560-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGDAVKVIGFFDSPFSHRAEAALCLKGVPYELILEKDLRNKSELLLQHNPVHKKVPVLLHGNRRAVCESLVIVEYVDEAFQGPPLLPADPAGRAAARFWAHFIDDKVTVNPIRLTSQVSSGSFEVVNRDRSNGVHVPGRRQCSKAFWLAMWTEGEVQRGFVKEIKENLKLLEGQVKGKRFFGGDAVGYLDIAASAFAHWLPVCEEVAGVTLVTAEEYPDLCQWAREYTSHDAVKQCLPDREELLARFSARKDSFVATARLMAPAPAPE >Sspon.05G0035630-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:76848359:76854119:1 gene:Sspon.05G0035630-1C transcript:Sspon.05G0035630-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHSGRCSCRHRSMSHSRGPLEGVHAAILCNDKQQPFLCGLIMASKCILKELKDLQKDPPTSCSVDLKHGTYQLVCLQPTAADQRRGGSYTCCCSSPGSHQQHVLGPSVRLTRPSVLCIYGVAAHCPSGAEGGERVTVGQTNLGGRGDL >Sspon.02G0030900-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:107384708:107386050:1 gene:Sspon.02G0030900-2C transcript:Sspon.02G0030900-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding WRKRRQGAGENAAVFIRDAPFEVVKALLSRLSPKWILRKRSIGKAWRDKLCDETLLTGLHREELLRRLICFDSVACPDRYLRLRDYRVESLDLRSGKLRPVFRFPDSEFYDFDDNDLWGRDAPHIEASRVDYRKMEKETYVEGFKQTLLVHTVPSMVISSSPSPSVLVGFYEHIPSGEYRVLCLTGRRIGEEEPTCWYHVLHVSPVQLRYIGRPISPAAPEDQGLAYGVELPCISPPIQFKRNHHLHWPPQDIQRHHIHMLVFDTEREEFSWKRPPPVRDHVMRLLEFPNGDLGLSLSRTNKPTMELWRLVDYENEVWVPVYRQMALQQMPRGVLRPLDHFLIPAVVSPEGDVLIQSSTNWVLHCDRNGNLLRQFWFHESATALPIRHELRESLLPHPMFRSPKVDGATEPPFFLGLCSDPRS >Sspon.02G0025320-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2A:87832689:87833669:1 gene:Sspon.02G0025320-1A transcript:Sspon.02G0025320-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHDSAKSQSSTPRPAAVPRAAMGVLTRAEKRRAREVDRISGLPDDVLGDIVSLLPTKDGARTQILSSRWRHLWRSAPLNLDLHDDDPVGRSIRASEVSHILSTHPGPGRRFVMPCLGYPYYDYPDKTALDGWLHSPALDNLQELRFQHYPRLLLPPSVRRFSSTLRIARFCDCTFPDGNNGGAPLLLPVLESLTLFDVRISGSTLHALLAGCPVLQSLFLFDNYGFPRLQIVSPSLRSILLGSYWRSLMSHHELVIEDAPCLETIQCSEKLQMNISVISAPRLAILGTLFDDTPRLQFGATVLQVWAPSPAFMRDPIKSHHLIMMC >Sspon.03G0003560-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:18247715:18250489:1 gene:Sspon.03G0003560-2B transcript:Sspon.03G0003560-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLMAQAHPVLLVLLLFLSPTAISSSKRTQPKFSAIFYFGDSVLDTGNNNHLPTLAVANHVPYGRDFPGKKPTGRFSNGRLIPDLLNEKLQLKQFSPPFLDTRLSNNDMVTGVNFASAGSGLDDQTSQLSNTLPMSKQVDLFKDYLLRLTDIVGDKEASRIIASTLIFISSGTNDFSHYYRSSKKRKMDIGGYQDIVLQMVQVYVKELYGLGGRQFCLAGLPPFGCAPIQITLSRDPDRACVDEQNWDAQVYNSKLQKLLATLQGSLHGSKIVYLDAYRALMEILENPAKYGFTETTRGCCGTGLREVALFCNALTPICKNVSSYVFYDAVHPTERVYMLVNDYIVKYVIPQLGYEFLERQGYVAMISFISSNKKRILCQFSMKRYYPGPILTQQDLKLATIDFLDYIDPIVNQEDTAKRSMTINPGFGLKIPYQHIHNPSKADCQ >Sspon.05G0027060-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:5B:49148471:49148746:1 gene:Sspon.05G0027060-1B transcript:Sspon.05G0027060-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVVGSNFRSSDSASIRFADQTDRESCGAQKLTMEALLVSFKFNFKMDASMEATTKRGSTASTRVMGSRKSKVAQGEWLGQSNSGMRQEED >Sspon.06G0008210-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:31816433:31822320:-1 gene:Sspon.06G0008210-3D transcript:Sspon.06G0008210-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aspartate carbamoyltransferase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G20330) UniProtKB/Swiss-Prot;Acc:P49077] MAVAARAALPRPHLSLPSSSRRSPRLSLTPSSAPRLRGGAATSVAAGTSAAPQQHQVTTRLGDVIEAQQFDRDALNEIFEVAREMEAVERGSHGAPSRVLEGYLMATLFYEPSTRTRLSFEAAMRRLGGEVLTTENAREFSSAAKGETLEDTIRTVEGYSDIIVLRHFESGAARRAADTANIPVINAGDGPGQHPTQALLDVYTIKREIGTLDGIKLGLVGDLANGRTVRSLAYLIAKYQNIKIYFVSPDVVKMKDDIKDYLNSKGVEWEESSDLLEVASKCDVIYQTRIQKERFGERIDLYEAARGKYIVDKKVLDVLPKHAVIMHPLPRLDEITVEVDSDPRAAYFRQAKNGLYIRMALLKLLLV >Sspon.07G0001150-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7A:2629073:2629201:-1 gene:Sspon.07G0001150-1A transcript:Sspon.07G0001150-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding QTALASWVLLPKNGAMYVTQQQVSATPPCISSGLKKGSVTGS >Sspon.04G0022460-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:6132454:6137502:-1 gene:Sspon.04G0022460-1B transcript:Sspon.04G0022460-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Inactive exonuclease DIS3L2 [Source:Projected from Arabidopsis thaliana (AT1G77680) UniProtKB/Swiss-Prot;Acc:Q0WPN0] MRATGEHTAAVPIPAPPPPPAAAASATEEADKERRKNRRRPSRRSKQAAVAAPQGPLADAAGPRSVRSMPPMHVGSGGAGVDAEAEASAAGTSQSCPLLPTPRPTEAPVSRVGGGAPAMRTSSLTGLSGPWRTPSRYSLVPWEACGFVVRGYAFVGKFRVNAHNRNEASTALRTARLMACQWMFSLRDWHKTEPYVEGDLVAITLDPVAQWTRMKGPNACNPAIGDSVVREFSETNGNHSWKKGHADVGCRFENCSNGLPVLDRMQPPHKNSGFSQAVKCGNGNATVPERNEIDLNDGKCEAARALQRICAMIYSHPSRRPTGKVLSVIKKSPRRDAIVGFLASFSELPDGEQQKNQMGLKRMNNRASSITGLFHLLPTDPKFPLMVVSFSTLPDSVRQSLRDDAAIEKELVAAQIDEWNEENLYPYAHVIRFLGKGGHVKTHMDAILFENAISDAEFSPESLACLPDNCWKIPQKELEARKDLREVLSFTIDPPTASDLDDAISIEILSGGTVRIGVHIADVSYFVHPETALDAEAQSRSTSVYTLKRKISMLPSRLSEVVSLNPGVDRLAFSIIWDIDPHGNIISRWIGRSVIFSCCKLSYELVQDLICNEASQARSAVSSLEVHGKFERDDVIKSLRVLYEVSKNLKEVRFKGGALSLDTAKLMILFDEDGAPCDSYRYVRNNACFIVEELMLLANMSAAEVISNAFPDCALLRRHPEPNLRKFREFEAFCAKNGFELDASSSGQLHLSLSRIKEKLQEDPVMFDILMFYASKQMQSAEYFCTGDLISKKDDWAHYALSVPLYTHFTSPLRRYPDIIVHRTLNAVIEAEQVYMKQKKSSTGRNGVKASCELMDRCFTGLQLCKDAAESEEGKKALSAAAKKFKVPYSENLGEIAEHCNERKWAGRRAEDAGQKLYMWALIKNKEVVVCNARVLGLGPRFMSVYVPKLAMERRIYYDEVEGLSVEWLEATATLVLDACRNKPAQRRGTQMKCRPIEEVAMVVNPSELSEEDEESGATEAGGCTAKAVLLSGDTVKAQTAPAVLPLVIHYLSDIPVVLHAIGGEDCAVDIGVRLYMASYFEL >Sspon.03G0015810-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:50354884:50357420:1 gene:Sspon.03G0015810-1A transcript:Sspon.03G0015810-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASKGDGPAIGIDLGTTYSCVAVWRHDRGEVIANDQGNRLTPSCVAFTKAERLVGEAAVNQAALNPTDTIFEVKRLIGRRFRDESVQEDAKLWPFKVVAGRDERPMIAVQHKGKERQFRPEEISMVLAKMRQTAEVYLGKTIKNAVITVPVYFNNSQRQATIDAGTIAGLNVMRIINEPTAAALAYGLEKMPLSNKRRTVLVFDLGGGTLDVSLLNIDPVTSKDKGLFEVVAIAGDTHLGGADFDSEMVKYSLREFTRKHGKTDIHSNQKALRRLRTACERAKRMLSSTAQTTIEVDSLHDGIDFCATITRSRFEELNKDLFRKCMKALDKCLCDAKMDKSSVHDVVLVGGSTRIPKVQNMLREFFGGKQLCQTINPDEAVAYGAAIQASILSGGETDDGRLVDMLLRDVTPLSLGVEIQDNCTMSVVIPRNTAIPTKMAKPFTTLYDNQTVCTRARAQTPKFALTGVPPAPKAVARLQVTFDIDANGVMNVSAEDLDTGRKKSVTIINHGGRMPKEEIASLVPIEI >Sspon.04G0025520-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:77303816:77311916:1 gene:Sspon.04G0025520-2C transcript:Sspon.04G0025520-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSHARISSAVFTTAASSSPSPRAPHLALTAATARVRSGTFRPEEAHHLFDELLRQATPVPERALNGFLAALARAPSSAACRDGPALAVSLFNRASRADGPQVLSPTLHTYGILMDCCTRTHHPELTLAFFGQVLMTGLGVDTIMINNLLKGLCEAKRTDEALDILLHRMPELGCEPSVFSYNILLKSLCNNGKSGQADELLCMMAERGALCLPDVVAYSTVIDGFFKEGDVAKACDLFKEMMQRGISPNLVTYNSVIHALRKARAIDKAEAVLRQMVDKGVQPDKRTYNNLIYGYSSMGQWREAVRVFKEMTSRGILPDVVTLNSLMASLCKHGKIKDARDVFDSMAMKGQKPDIFSYQIMLNGYATKGCLVDMADLFNLMLSDSIAPNSHTFNVLIKAYANSGMLDRATSIFNEMTEQGVKPDVVTYSTVIAVLCRIGKMDDALEKFNQMIDQGVAPNISTYCCLIQGFCIHGGLLKAKELALEMMTKGMHPDIVFFSSIINNLCKVGRVLDAQNIFDLTVNIGLHPTVMVYSTLMDGYCLVGKMEKALKVFDAMVSAGIEPNVVVYATACVRKGTFRPEEAHDLFDELLHQATPVPGRELNGFLAALARAPASAACRDGPALAVALFNRASRAHGPRVLSPTLHTYGILIDCCTRAHRPELTLAFFGQVLKTGLGINTIVVSNLLKGLCEAKRTDEALDILLHRMPELGCVPDVFSYCILLKSLCNDGKSGQADELLRMMAERKAVCSPDVFAYTAVIDGFFKEGNVNKACDLFNEMLQRGISPDLVTYSSVVHALCKARAMDKAEAVLRQMVDKGVQPDNMTYNIFIYGYSSMGQWREAVRVFKEMTSRGILPDVGNWNSLMASLCKHGKIKDARDLFDSMAMKGLKPNIFSYQIMLNGYATKACLVDMADLFNLMLGDSIAPDIHIFSVLIKAYAKCGMLDRATIVFNEMREQGVKPDVVTYSTVIAALCRIGKMDDAVEKFNQMVDQGVAPDMCTYCCLIQGFCIHGGLLKAKELALEMMTKGMHPDIVFFSSIINNLCKVGRVLVAQNIFDLTVSLGLHPTVMVYSTLMDGYCLVGKMEKALKVFDSMVSAGIQPNVVVYGTLVNGYCKVGRIDEGLSLFREILHKGIKPSTILYNIILHGLFQARRIVPAMEMFHEMTESGISVDTDTYRIVLSGLFKNSCSDKAILLFKELRAMNAKIDIKTLNIMISGLFQTRRGEEAKDLFASISRSGLVPSIVTYSVMMTNLIKEGLVEEAEDMFSSMENAGCEANSRLLNHVVRELLEKREIVRAGTYLSKIDERNFSLEHSTTVLLIDLFSSKGT >Sspon.06G0015580-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:85768744:85769380:1 gene:Sspon.06G0015580-1A transcript:Sspon.06G0015580-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKFKDQIELSSLTAAAPLLTLAALMGAGSEATKEVFEWVSSVPDMVRACGEIGRKNENDVASSLECYMKEHGTTGEGAAAALSAMVEHAWRRMNKAFMEIDRALLPAASVLINQVRTYMVLYKGGNDGFTFAAELEASVTSLFLKPVPVK >Sspon.03G0009330-1P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7D:4567885:4568238:-1 gene:Sspon.03G0009330-1P transcript:Sspon.03G0009330-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVDVAEEERLRAAAQQQQPAPAAEAEAAAREEEVRRAVAECPVLVVGRRGCCLSHVVKRLLQGLGVNPAVHEVADAEAELAGVVDGGGDVALPAVFVGGRLLGGLDRLMAVHISGDL >Sspon.06G0026960-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:81864348:81866141:1 gene:Sspon.06G0026960-1B transcript:Sspon.06G0026960-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding CMSTAGGSKGRRSVRKTRSRHGDGENGAGKKCRVDDVKDYGDGEFGAGKRCRVDEDDYGDGEIGAGKRHRFDEDYNDAETGAGNGTGDYNINGDEIDDNGDCTGEDLIYYYSDDDEETEVDGAEAIERAEERYIVLSQDAIRARQEEDIAEVADVLSIPPGFAAVMLRHYKGRAMRVQEEWFSDDRRIREAVGLPVDGGVLVPTVLSRERLVCAICFRRFPAGRTRSAACSTHFYCDECWRGYIRAAVEDGPRCLSLQCPDPSCSAAVVRELVDEVADAEEKARYAQFALWSFVDESGGRIKWCPGRCCGRAVEFVGCAGDATDVFCECTHGFCWSCGEEAHRPVSCDTVRAWLAKNVSDSETANWVLTNTKLCPMCRRPIEKNHGCNHMTCRPPCHHEFCWLCLGPWPHQSSCSSYKQGDMDAAQQRQQHAKASLDRYLYHYERWAGNAKSLQKALADMDELKRSALEKMAASLEIQVEDLEFLTMAYELIAYGRRVMRWVYAYGYYLDPERDAAKRNLLDQLQDDANQRLEDLHHSAEVERMKFCGGGQGGSAMNEMYRAYKEQLVKLTKVTRNYFGNLVKAFETDLPEFNSVKSS >Sspon.07G0014580-3C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7C:58452002:58452406:-1 gene:Sspon.07G0014580-3C transcript:Sspon.07G0014580-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHSINQSPKACKSVTRKLQSPQPSNTPGQHTSSQGPCQPMSDNTSMKSNTPKKPVKRVCYHCREKGHSANLCLQKNQHLLDGRSQNQIPIELEPPVVNDSGAQGQGSQLQQNHTGNQANLEVAKEDKNTQSAI >Sspon.03G0042930-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3C:74105754:74106832:1 gene:Sspon.03G0042930-1C transcript:Sspon.03G0042930-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GGYSLMGFIKDIPPLKGDNYNEWKKKIDLAFVLAEVDWVVTTPCPSEPVAPVREANESDAEWQKKERDHAPVQMAYDLQKQKWVNANKKCVAVIKNTIEPTIMGSIQECDTVTEYLERIKSQFYGSSKTYATQLIKQLVTEKYTGEFDTFVVNYNIQPEKWDLERCMAMCAQEEERIKAANGGTLNFVKDNKRKNFNANANSPSKPKGKAPMQHQPQQNKFTVSKDQCLHCKKTGHYKKDCPDFLKMIMAKQGIPFDENYAKKRKAIKVANGVQADVEAVG >Sspon.03G0026940-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:4547055:4551586:-1 gene:Sspon.03G0026940-3D transcript:Sspon.03G0026940-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVGLGVAAGCAAATCAIAAALVARRASARARWRRAIALLREFEDGCATPTPRLRQVVDAMVVEMHAGLASDGGSKLKMLLTFVDALPAGNEQGTYYSIDLGGTNFRVLRVEVGAGSVVTSRKVEVTIPEELTTGTLEELFNFVAMTLKEFVETEDGKDEQRALGFTFSFPVRQTSVSSGSLIRWTKGFLIEDAVGKDVAQCLNEALARTGLNVRVTALVNDTVGTLALGHYYDEDTVAAVIIGAGTNACYIERTDAIIKCQGLLTNSGAMVVNMEWGNFWSSHLPRTPYDISLDDETQNRNDQGFEKMISGIYLGEIARLVLHRMALESDVFGDAADNLSAPFTLSTPLLAAIRKDDSPDLSEVRRILQEHLKVSFPDLHEVKHIPDTPLKTRRLVVKVCDIVTQRAARLAAAGIVGILKKLGRDGSGVASSGRTRGQLRQTVVAIEGGLYEGYPVFREYLDEALVEILGEEVAQTVALRVTEDGSGAGAALLAAVHLSNRQQDITLILEKLKDHLARGQRSSNQIRLDISGW >Sspon.03G0042290-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3C:58634123:58635029:-1 gene:Sspon.03G0042290-1C transcript:Sspon.03G0042290-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTKNQFDLLVDVDNDDPSHLIAAAEKKAAASPKPAPAAPAKLPTKPPPPAQAVKESRNYGAPARDGAGRGRGGFRGGRTGPRREFGEGNANGVEGGYGGGGFGDSGFQRREEGDGKAMERGRGPRQPYRGGGRRGGYTDGEAGDESARPPRRAYERHSGTGRGYEMKREGAGRGNWGTVTDEALA >Sspon.03G0027770-3D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3D:5449027:5452620:-1 gene:Sspon.03G0027770-3D transcript:Sspon.03G0027770-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDGEAQRDAITARMRGQDYAGARALLLRTLQTNPRLEGALEMLPVLEVLCCAAGSAAGGRGGVDWYRVLQVLPGDDAARIEARYKSIVAQVEPAMGALPGAELALKLVDEAYAVLSDPEKREGFDSSNVFARFIRSGVVDAPPPSGTVVRSDRVNNLHTKEIRGADGTSNAASHVNRAADRPCFGGRDPLLSNVASSSRTKRMDPCFRGDDGELQSPDDTHVDKRQKSVCEKDVYCLSPSQEDWDTCFDDPSPAMEEDLDACLMIHPVWAAYDWERFPRRYGLIVKVLTDKMQLYVSWFKPCPQTPEEKKWSHAGLPLIFSNSLNQDLEVYPQEGEVWAIYSNWDIGWYTDPRMWKNSAFSIVEILTSYSSESGCTVGHLVKVDGHGSVFQRHFKSGTEHLLHIHRDNLIMFSHRIPSFRFTPEAGTMFELEHSTVPENIHQENTSACVSHFSELSGLHDDTNGFPETAVAQFSNPSSTSKMEAGSPMQAMMSYNTKWSPKDFLEGQIWAVFDSRDRMPRSYVRIIHVVSYTSVFVLKLEPHPMLNEEIQWVEDGLPVASGVFRAGTQTTYKDIWEFSHPVECDWSAKRSFYRIFPQKGEIWAMLKNWKITLNSTDIDKCEPRMVEILSDYSDENGVNVCSLARTRPHQLTAC >Sspon.04G0015270-1P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4B:60911752:60916186:1 gene:Sspon.04G0015270-1P transcript:Sspon.04G0015270-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VVYLDEPSTGLDPASRSALWNAVKFAKKDKAIILTTHSMEEAEALCDRIGIAAYGRLRCTGTSKELKAKYGGTFVFTVTAAAGEDDAVERLVRSISPAAKRTYHIAGTQKFELPKQGVRIAEVFRAMEQAKRSLSIAAWGVVDTTLEDVFIKGQNRLFHVEGHTAFAVLRCWCLGTPAPSPIGSATTLASVAAESSLLLELSTSLQGEEEPSGDAMGANCLAQTNALFRKNLVIQRRNHRSNCCLVCFPLLICLLLGGVQMIVAIAYFSSAAHRPRIDCGYCTARTNSSSTDTVGGLVCPTECPLPIAPKWPPVLQLPPAPDDMDDFGSSASTNLTAGASITRAKAKHPAATFVVTGANRSFAESVMSNMLPKHGELKSAADISTIADFALGTDAMRFVSNGAEELGSDFDHRNHLFFLQSNCTPKVTLSFPVQEGSSNFTKAYDLTSSNLNKFNLIVSYNSTYKGATQLLLSLSSLSFSPILLRVPRLLNLVSNAYLQLRGSDTKMQFEFIKDMPRVAQQELPMDISFLVGKLVFVWMIMLLLPVWSPLP >Sspon.04G0008100-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:19569319:19569939:1 gene:Sspon.04G0008100-2B transcript:Sspon.04G0008100-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSPNPDSPGGGGDGGGGGAGGSSSGPSSSSAPGGGTPQTPSRYEAQKRRDWNTFGQYLRNHRPPLSLAQCSGAHVLEFLRYLDQFGKTKVHTAPCPFFGHPNPPAPCPCPLRQAWGSLDALVGRLRAAFEENGGRPESNPFAARAVRLYLREVREHQARARGVSYEKKKRKKPQPPDHASGSGSGGQGPHHPPPPAPPSAGAAC >Sspon.08G0012120-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:52113629:52116684:-1 gene:Sspon.08G0012120-1A transcript:Sspon.08G0012120-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTREVYEEKLRSGEHLHRDPTINPGLGSPRCPRCLSLLNPTVGERDWAITSVLHDATAVAGSGAGALLSAVHGFNTGIPFVQKHVKGPKWLQLLVGVPPLLMFSGASAVFGAYALPRFTQLTVTSYYAASTGSQYAVSQITRQIERDHFQESDEKSR >Sspon.03G0026000-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:6653978:6657798:-1 gene:Sspon.03G0026000-1P transcript:Sspon.03G0026000-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLKLQSALDDVETGNKNEIALAKRIEELSMKVSEMEVEMQDLSADKRELSNAYDSMMTELECTKLNLDCCNEEKQKIEVSLEECSEERNRIRVELDLVKKLLENMALTDNNASRDSSEPCTPGTTSIGHILGDGKAESASKATPNTTKMDSGLQEREIQSRSLPSNLSQGAEDVVKFGDNEESKNLENRDEEMESSTENNLNGNNSIKDISQEHKKLANEFNLFQKELERLKNENLSPLLPLDVNLTDPSLSGLERTLSQLDMANEHLQSIFPSFKELPGSGNAFERLLALELELAEALQAKKKTDILFQSSFLRQHNDEAAVLQSFRDINELIQDTIELKRRQMAVENELKEMQGRYSELSVQFAEVEGERQKLEMNLKNRTPWRS >Sspon.05G0029920-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:85110658:85114771:1 gene:Sspon.05G0029920-1B transcript:Sspon.05G0029920-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGTDRWAGNCFTVLKKHQSVSTFEDSLFMGAQARVIWSANPDNPVSRNAILDFTREGDLLLHEADGAIIWTTDTNSLSVAGMKLDDLGNLVLFDQNNTTVWQSFDHPTDTLVLGQSLCRGNNLSAKPSNTKWPGARVYFSAQLNGLQYSFKPAAYTQLFQATTTTSTNATPTCYAFVNGSFGFPDKIFSLPLAGSMQLMRLESDGHLRLYEMGIPHLELRMVLDVLSSVMNFCDYPLACGDYGVCSNGQCSCPSSNYFRLQSERHPDAGCIPLASISCDDMHGHKLIPINNVSYFSYTTFQSLATRVVSEDVCLHSCLLDCSCKVVLFQSSSFSDDAGNCLLLSEQNLILFTEGSSNNLLALLKVQDKHSVKRRNIIIGSTIAGFSVTSFFVYAVIWKKCKKDEEPLFDGIPGIPKRFSFHELKVATSNFSIKLGATGGFGSVFKGAIGKETIAVKRLEGVDQGMEEFLAEVKTIGRIHQFNLVRLVGFCAEKSHRLLVYEYLSNGSLDKWIFHTSLVFTLSWKTRRNIMLAIARGLSYLHEECEEKIAHLDIKPQNILLDNKFNAKLSDFGLSKMINRDQSKVMTRMRGTRGYLAPEWLGSTITEKADIYSFGIVMIEIICGRQNLDESQPEQSIHLISLLQEKAKSGQLFDLVDSSSDDMKSNVEDIMQTMKLAMWCLQVDSSRRPLMSTVAKVLEGAVSMEATPDCIFVPSFESVNTDASGSTSSYVPSESHLSGPRLDTPFDGTGGDNDELYLNSGPLFGTQSGWLFGMASTGCGSSS >Sspon.05G0018540-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:78625841:78635217:1 gene:Sspon.05G0018540-1A transcript:Sspon.05G0018540-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVFSGDETAPFFGFLGAAAALVFSCMGAAYGTAKSGVGVASMGVMRPELVMKSIVPVVMAGVLGIYGLIIAVIISTGINPKAKPYYLFDGYAHLSSGLACGLAGLAAGMAIGIVGDAGVRANAQQPKLFVGMILILIFAEALALYGLIVGIILSSRAVRERQTKMSSVFSGDETAPFFGFLGAAAALVFSCMGAAYGTAKSGVGVASMGVMRPELVMKSIVPVVMAGVLGIYGLIIAVIISTGINPKAKPYYLFDGYAHLSSGLACGLAGLAAGMAIGIVGDAGVRANAQQPKLFVGMILILIFAEALALYGLIVGIILSSRAGQSRAD >Sspon.08G0005030-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:12874869:12884572:-1 gene:Sspon.08G0005030-2D transcript:Sspon.08G0005030-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASNLSAAEMLQMPHKMERMRKTIDEILAHQNEFKFIEDTSKNDVEVISKRETISEADEVPVGRMEEKERIISMLQTDDPNCLIVFIYGFAGVGKTTLAQMVFNDDRTRNEELFEIRAWVYVSVKFDIKTIGQAIISRLDKSSGSTDTTLESIRDRLRTIVQGKRFLIVLDDIWEEDPIELEKLRTLLRGAKAGSKIIATTRSVKVAKLMDGSSGVELGALPDNYCWELFRAKAFPNGKPDANKESIGREIVKKCGGIPLAASALGCLCRKINNKWEAIQDSDIWAEDGDDGEFRDTKVLPSLKLSYQYMPYHLKLCFAYCAVFPKGCYIEKSSLIQQWIALGFVQHTGQSFTVQEAGERYFEDLREMSFLQDVAGMSPNPIARYSKPRGVLFQMHDLVRELARLVAGDEVIAFDTRQQNPPTNIDNCRYMLLSNLCDSSPSYIPSTARALHFKECRIVQTTLKSLKEAEFLRVLDLSACTISDLPASIGNLRLLKFLNISGMQTGLLPKSLSSLHGLQALNLSENTCLVELPNYISEFFNLQYLDLHGCSNLKELPQDIYKLKELLHLKVSRCGSLQLLPEKFGELRKLAFLDLSYCSQLQTLPSNFGSLQNLSFLNLLHCYKLHGLPDSFIYLANMIHLNMSFCRELKLLPSGLFKYMKKLLVLNLSGCTSLEVLPEFCNIDAGCRMLETLELSDCTNLAGLPNSCTNLCELRCLNLSGCSQIQNSLNLFPEWKFGKLEYLNLSGVGAKAYSEDPGTSAGKLESSEDPHRELELGMLQQDIVTQRLVCLKYLSVGGFTLFSEQGIASLVDLLTLPNFDVRTQPGDNHSNIMLLQQILDLTQHKLNIKCLENVVSPEEAKKLELGRKQQLHSLSYEWSYIWFPIQMDNPTRVLENLRPHRNLQCLSIKRYNGTIFPDWINNINDTLPNLVKIIFSDLEGCDHIPALGNLPNLQELEINNMPLLDHVRLGPCKNLRRLTLVKLRAGTTVDIFYDDNTETQVNEVVELSHNCEKGENPDQLPGALPSNKQAKRKSMVCARALLVKAKDLLKAPCCGMRRETNITQDTAGAGNESPAVTSSRPALTPGPSNETREQAAVPTLDYFKIESCRSLKLYPYYIPMCEELFIKDCSLDIDDMEPESPSGVYGQESISGISPKLKITNCNRHIVDRLLLLFKSSLQELEIDDIKSIDDLPSNLYKMTRLKKITISSLELQEAKGSNIIRELYISGIPYINTRQVALFTVRPKQGGWVGSSPTYIWQTKEEEEERRLNFAAGSNTRCSSNFSLLYQYLGNEIQSLMIHGLEHIDETVEEVSECRELAQYPQLRSLILEWSRGILSEDSSIVNDIVVLEKLHPHGNLETLEIQGYGGDTFCPWVTNISHFLPNVVKVELSDILWCQHLPLLGQLANLEVLHISNMPRVRKVGGDIYGADRAFMKLRELTLARMDNLEEWTTTTLSTRDDEQRLQESHGDEILFPNLQVLTIRNCHRLRFVPAFPGSRSCIIERSSDVLSSDRYIGSSNLALLNMEIQNCRFSSDISKLLHYCVNLEQLSLHSCIDLITLPDTIRSYHNLMKLEILECWNFSALPEWLGELESLSELSVHAAKLELLPRSIQDLTALDKLVLKKCNYRLRERCTSGEDKDKIKHIGSVDTTEIPVLYGPSGISMKRICGPQLIELHLQDPIFDDELLDFDSLEILSVTGYYGAYFPHWMSLLPNLVKLELSSLQFKHLRLDQLQSLQELRMSQMKGQWLEVCISCTEPLRKLRRIILSEVTDKKFKIYMEEQGRDDNLFPSLQDFEVHCCSRLRFEPSIPRSAMYVLSGPGSPRRPGEDLCPSFQRIMRPSTPLPQPSKMAIRHSRGLSSPSWKSLERFDSIVELTIDHCADKMPLPESIRGWRSLQKLEILNCENIEGLPEWLPEITSLEEFKLDTYLWQTLPASACIQQLTALKTLTLCCGSRLRQSYISGEDKSKLPHGPELAVVGEM >Sspon.08G0030210-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8D:56551309:56551929:-1 gene:Sspon.08G0030210-1D transcript:Sspon.08G0030210-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MERSAHPSPQVPPAVVGARPRRRGRRWRGRVRAPPSPLFPPAVVGARPVGADGGGEDARLGGGATKSGRHLQRARSHDEIRIRRLREIRCEEAEADEIHVRGGLWRSPTADPGAGALTGGRSRAWPSFGGRSRVVASPGSGSGVCPSSGGGSRASATGSRDDDGDDAQIQLATAAARGWARQACLWVFFVFDLQRRAKQPPLYVMD >Sspon.02G0014000-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:17721443:17722205:1 gene:Sspon.02G0014000-1P transcript:Sspon.02G0014000-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MWAPSRGSSSGSGRRTWRRRIADYLADDQTDVSDNESFITAHSDEYVAASTSAVGGVGAGGMLPAFLADQSDLVEVMLELDEESMVVRSVTPTTAALYGTPTTSLPGAGPAAQAAHTPDGPRSLSRSRGCGRRRRRRRCAVPRPPPAAAASSDQQVVREAALAARERRRVQARLLNRSRSGARRALKGLRFISRTTTDADGGAALWRAVEERFNALATDGLLARDDFGDCIGTHVRSFVRPN >Sspon.01G0013360-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:36521645:36522447:-1 gene:Sspon.01G0013360-1T transcript:Sspon.01G0013360-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGDDLKLLGMWASPFVLRVKLALSLKGLSYEYVEEDLRDKSELLLKSNPVHQKVPVLIHNGKPVSESLIILQYIDEAFAGTGPSLLPADPYERAIARFWAAYIDDKMLPAWNQSTMGKTEEERAEGKKQSLVTVETLEGALRDCGKGKPFFGGDSAGYVDVVLGGLLGWVRASDELHGVKPFDPERTPLLAAWSERFGALEAVEPVMPDVSRLVEFGKMLKARLAAADA >Sspon.01G0023750-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:90754749:90757508:1 gene:Sspon.01G0023750-2B transcript:Sspon.01G0023750-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable prefoldin subunit 4 [Source:Projected from Arabidopsis thaliana (AT1G08780) UniProtKB/Swiss-Prot;Acc:Q9M4B5] MQQGDGTEAQVTWEDQQNINRFGRLNNRLHELQDEIKLAKETNENLDDAGNELILSDEDVVRFQIGEVFAHMPRDDVETRLEQMKEDAAKKLERLEEEKESILAQMAELKKILYGKFKDAINLEED >Sspon.02G0031940-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:116736259:116745504:-1 gene:Sspon.02G0031940-1A transcript:Sspon.02G0031940-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding HPGCVQTVVLKVGMSCQGCVGAVKRILGKMEGVESFDVDIKEQKVTVKGNVTPDAVLQTVSKTGKKTEFWEAEPVTIHHEWCEDKAGTKDKDSLHCLHVTNNTQPLNDKRSLIIVFGWLLGCTLEDVSKIL >Sspon.04G0023100-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:15574045:15576023:-1 gene:Sspon.04G0023100-2D transcript:Sspon.04G0023100-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMPRLPLLLKIAAAAAAGALALIVAARLRREDAVASLRREIREALGALVADDEDGGYGSDGEGAKEDASPPPPSVLITGFRAHGKSSLVNTACRALAAEDGPLLLRAEASPPGGGTDGPRRRRRVKAAVAGADGDSAGAGEGDVVELLDAPPLPEAVRLTREDIDAAISGGDLRLNLIIVLTFKKAMRSIRQAEELLREVSFRARTDCVYFIENYTWSNNGPNLHHPPVIKNDYETHFTVLTIIRQCLEFIKLNRSQSKDKKKNGKQEKTPEPKPKNPPTETKQYRKSNDSS >Sspon.02G0037740-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2D:30811651:30813571:1 gene:Sspon.02G0037740-2D transcript:Sspon.02G0037740-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWVYCDGGEEFAMEKENSNPPMDHRSKEEAVIRDAMAPDVVEAVVGTLRTPKFVDGSKQEAVVRDAASTSADTVETGTQNAMIFWDEVDDDDYWVQQEDLDAPLDVAQVVNPWLDFLMATVAPETAALLRTIHANTNIFNVGTHVNANNQFAAQTRTEASATARPSAPVISTIFAGSTEEEDGNEWYKSIARDAQRLEQMEEQEIQEMPSPLRLPEAPPKEEHCGQKRPSAGEDGRELTLEDYCKIWGVHPSELDPDEPGPSTKRQSRVPPLADDEVAKFDCGICLETLPILDLFHGMKCDHKFCAQCMTTYIEGRIRDGGVSILCPDPACKEAAGEGSNNGGVLNPEHCKKSIDFAAFCSWGDRLTEKAIPQDQRVYCPNPRCGLMLERTFGANKAPCKAPCPACNHPMCTTCGLGWVIDGRDDDHHNCDEGKGAALVKELAAQRRWKQCPSCKMVVERIMGCDTMHC >Sspon.07G0008500-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7A:23169037:23170039:1 gene:Sspon.07G0008500-1A transcript:Sspon.07G0008500-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding KSGHRFGATFQRGSMVLSAAILRPSLPPPTLASKYGKKPRSSLPPHPTRSVNRNSPCPGRWDRNDTITTLLGPPPRSRLPCLHVLPYCAKRKLAASTTLLPRRRRTRCRLHAITASPRHLALPFSRSRHDPAQRLVCVSVPSQGAVPNRRCTPPGLAAILPSAARPPSAGRGARVCVVQRWQPLESDGVHRGPSRALSLAAPTTSADRSTTKAAFHRLIGPRAAAHNLARGTHLPPLTCAPWQGSVFRKVISQPPSSNVSSNNAMYPAPYILLPHRQLAWEVCLEHPGAQEPELGSHGNEEELICERYI >Sspon.07G0008020-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7A:21772632:21774267:1 gene:Sspon.07G0008020-1A transcript:Sspon.07G0008020-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDGRGEKKDADAAAAKIPAKQRGGFRTMPFILGTHAATMATIITITITITITATMTTMTTTRDGSGFGSKI >Sspon.01G0054190-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:51352266:51359261:1 gene:Sspon.01G0054190-2D transcript:Sspon.01G0054190-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFVVTKVCSGGGKARAGALHIGGGGTGIETPALLLSTRKGLPAFLSCDLLASLPLPDSLLLHVCPTHFIEGPPAKTISAIGGLHGMLGLPDHILVAAASDSIECLPSSDAANKFGASFETPSGRRLVKPSDYMELISCMKPNIWASLADEVPAWVNEKRNKVSVDRTLRWLDACIALDVASGTNSFGVIVGGSSLEQRKLCATEVSKRNVSGFWIAGFGLGESIEERCSLLNAVTDCLPPEKPRLVSRLGLPESHRAYLNHLLNVHEMLAQILLEIHNTHHYLRFFHSIREAIKVGEFDLFRQEFVKKKHAHLTAVAI >Sspon.05G0011750-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:34110337:34111108:1 gene:Sspon.05G0011750-1A transcript:Sspon.05G0011750-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHIFKIAAILVAISAIWIALLETSTVPRSYTWLLPIYLVVALGCYGLFMVGFGLMFFPTCPQEAVLLQQDIVEAKEFLAKKGVDVGSE >Sspon.01G0047580-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:104220245:104222349:-1 gene:Sspon.01G0047580-1B transcript:Sspon.01G0047580-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MWAVGAILAELFTLSPLFPGESETDQLYKICTVLGTPDCTIWPEGMNLPRSCSFKFFQIPPRNLWELIPNASLEAIDLIQVCNWVPRPVHDASHAKTEEPNLANHVPRRTEEELLLFSGFENTPVKSGFWPLVPSDRPIGDVPVMPSWQQAYMVD >Sspon.08G0029200-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8D:28670182:28673154:-1 gene:Sspon.08G0029200-1D transcript:Sspon.08G0029200-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MCHVPAFLGPPVSKKITRKNFIRWKAQVLPVIRDRQLVGFLDGSIKAPEPMAIVVAEDKYKTTIVNSMYEHWVVQDQQMPPATSRPAAGSAGHHGRPATSPSLSLLLPTLPLPHFSILGPGGVFPFCCRPLAPYQPPPPVDSQMPAPISSPLLVCRATPLTLLDPLKSRATTPSLLGPPGTSLEQPAPSPSHIQRSTRPQHSFPVS >Sspon.02G0018210-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:58284374:58292463:1 gene:Sspon.02G0018210-1A transcript:Sspon.02G0018210-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLELETQNFADSHRCNPSPKAVTPMLENVPDGSNEEDNSSSNAFYTDSGDELSDFDELGDPVDMDLLKVTVEAAKADAKKANKRYAEKSDRKVDESFDRQYLDIKDGPNTARLRTQAANHKRTDASFMAYKPVETLGHLKSLTMKLRESEDLF >Sspon.08G0002560-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:5619996:5625197:1 gene:Sspon.08G0002560-4D transcript:Sspon.08G0002560-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEHLLRYKFTVIRLDPDGKKFDKVARIEAHSENEMYMQLDVATEVYPMCVGDTFNMAGRKTLADDYQYIMHGKLYKISEDSTKDSNGDSSTKVEIYASFGGLLMMLRGDPSCAASFQLDQRLFLLIKKVEFIGSSKG >Sspon.02G0059310-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:91889645:91892737:1 gene:Sspon.02G0059310-1D transcript:Sspon.02G0059310-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDKRGRLGKGADADGVAGGGATKQGAAGKRNASADTPRLHYLLAEEKTRATTSVLDRPVHITWNRSGDDDSSSSTAGARKGSPNVAGDGRVLDVPAVRGVAYRKVKVLELKHVVTMRAPGEEDTAIALLEEGATATRPGLRAIGLYRACRTRPASFDEF >Sspon.06G0013310-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:57700395:57705472:1 gene:Sspon.06G0013310-1P transcript:Sspon.06G0013310-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRTLVQPVGQKRLTNVAVVRLRKHGQRFEIACFPNKVLSWRSRVEKDIDEVLQSHTVYSNVSKGVLAKSKDLTKAFGTDDLTQICIEILEKGELQVSGKEREAQLSSQFRDIATIVMEKTINPETRRPYTITMIERLMHEIHFAVDPNLTSKEQALKVIKKLTEHFPIKRAPLRVRFTAPKPNFPGLMEKIGEWNATVISKDELSTQPSIVCEIEPSLLHSCEERLKDVQGRVEVLSVSAHAESGPSVEQHDSVEVPQAAPVKEHDAVAQISETMQKQSISTESQGSAQGKQQRRCKECDVLVEDKLYREHCKSGWHKHNYTRHKNGLPSLSQEECMVEMELADSKKDLKDYDF >Sspon.01G0000240-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:95108501:95109935:1 gene:Sspon.01G0000240-2B transcript:Sspon.01G0000240-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRARDLHPLCCLPADGGSPPPPSVAGLLYKWTNIGKGWRPRWFAIRRDVLTYSKIPRRSSTSAAAATRIIGPAQYGQDRPVGLVHLKVRPALASLVCYRMISSFRESKSDDRRFYIITPTKTLQLRTDSANERVAWIEALVSARAESSPDGGLLSNQNDASFSTERLRNRMHEEGLGEEIIKDCEEIVHSKFSQYHTQMKQRCEEYVSFISSLPQQLEVMFLQDMPISILVKEDG >Sspon.05G0038120-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:33645155:33645542:1 gene:Sspon.05G0038120-1D transcript:Sspon.05G0038120-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VKVVSPDLLLPRVDPDWSARERADTGHVTRPSTEQRRPPHSPVAPPGHAPTPPILPGRVADSPPVTIQPLQIRHGARLLAELFPAAHPKAVSWDRLLAWL >Sspon.03G0001050-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:2824294:2829899:-1 gene:Sspon.03G0001050-1A transcript:Sspon.03G0001050-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVLLMSSAAPTVLSAHYFETVDYHTGSSRSFNDIDGTLVAMGEITEQIRQKSKEFPETKPLDYHRYLVVSLGTGLPEQDIKFDACRVAKWGIFGWFGRENTMPLLQMFLHASSDMTDSYVADLFKAIGRSLNGEDLQGLVKIGENLLHKPLSKDDYKINYIETMPKDSRNLTYAAMLTRFAKLLSDEMKLRLQNMELDAAAQSLYSYHLDWQPQQGSNSVQYKNSTKFSDRREKQHL >Sspon.04G0006890-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:23054189:23056644:1 gene:Sspon.04G0006890-2B transcript:Sspon.04G0006890-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding WPAPPCAPCAATSGSLTSSSAAPAAAAASSTRIAPTTSATRPRPRRAPACATGASATTSSVAGRSGSALRRRDVASSSIRRWEKKQAVARSSRRRSLPAGAASRAPARSPAAASTARAAGGPAGTSSSRTFCAS >Sspon.05G0004410-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:13228408:13228672:-1 gene:Sspon.05G0004410-1A transcript:Sspon.05G0004410-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPGASAPAEFTQMEAARQSLIAISQSVPEIEAPVIRPPNGGGGIDENGHEDVAEQRYRAKLISISNQSPDARPTLCPPKNGAA >Sspon.02G0038240-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2B:40503256:40507486:-1 gene:Sspon.02G0038240-1B transcript:Sspon.02G0038240-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding PPCLSIFSLAADEHQRPEPVAGRLIPIVCSVLEEGKDEKMGLFAKTRQATPGQAALGRPARVLGRVPACWATTARAAGPHYWEESLKEGDWSKTLLATGYGLNGHVLLSKAFARPLD >Sspon.02G0030660-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:106347031:106351749:-1 gene:Sspon.02G0030660-2B transcript:Sspon.02G0030660-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:TPR3 [Source:Projected from Arabidopsis thaliana (AT1G04190) UniProtKB/TrEMBL;Acc:A0A178WLS7] MADGGGGGGPPAAAAALKDQGNEQFKAGSYLKAAALYTQAIKLDPDNATLYSNRAAAFLQLVKLSKALADAETTVKLKPQWEKGHFRKGCVLEAMERYEEAIAAFQIALQHNSQNAEVSRKIKRLSQLAREKKRALDVENMRSNVDIAKNLESLKTELAEKYGDAETGQSIFSFIVNVIESAIKVWHDTGNVDPRVNFLLNQKTDTEKYAPVVNIDKAFESPNTHAECFTYLRQYAENSFAKAACMVSPKSIISYPQVWKGQGSRKWKLDQSDGFFVQFESPALRKIWFVPSTKEKGRTLCRSPEALDIGIHEVLPRIFKEAAA >Sspon.03G0015040-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:68510485:68512306:1 gene:Sspon.03G0015040-3C transcript:Sspon.03G0015040-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAESFLFTSESVNEGHPDKLCDQVSDAVLDACLAQDPDSKVACETCTKTNMVMVFGEITTKATVDYEKIVRDTCRAIGFTSDDVGLDADRCKVLVNIEQQSPDIAQGVHGHFTKRPEEIGAGDQGHMFGYATDETPELMPLSHVLATKLGARLTEVRKNGTCAWLRPDGKTQVTVEYINEGGAMFILQLATGWTGLDLVAVGSTAGHEPAAKLATGT >Sspon.07G0019300-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:70610327:70612400:1 gene:Sspon.07G0019300-1A transcript:Sspon.07G0019300-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLLLSLPLRLRPIPAGSSTSRIAPRSRSPRPFPRRRLHLPALLQVQCLAGPGPATPAPPPRWHAALSAAAGLYPAYVAAGACVAVARPAAFRWFVALAPGSYTATLGFIMLAMGLTLQLRDFAALLRDRPLAILFGCAAQYTIMPAFGAIVSHALGLPPSLSAGLILLACCPGGTASNVVTLVAQGDVPLSIVMTVCSTLAAVFLTPLLTKILAGAYIPVDAVKLSCSTLQVVVAPILLGSSIQTAFPSVVQFVTPFAPLMAVLASSLLACSVFSENFVRLRSTIADASSVNGNFFSGDIRVVMLSVFLLHFSGFFVGYAAAAIGGFKEKQRRAISIEVWILRRSNHISNQYGQ >Sspon.01G0059580-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:60619862:60620167:1 gene:Sspon.01G0059580-1D transcript:Sspon.01G0059580-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding IQGGLGTPGALSHAYVQHPPLRCDIPDIRGLFYDDANKFLIAPTADRILYWKTTLSTPSGPPNSDPVNEGPV >Sspon.08G0001760-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:5461699:5462415:1 gene:Sspon.08G0001760-1A transcript:Sspon.08G0001760-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSTEPARGPYYASAAAAPTAGGVEEPAQQYRTRHAVQRILQKKRRRSSGLVWALVILCTVLAIGVIVTGATVFAVYLLYKPKTPYLLVSDARLETLVYDQSGTIRDLQLALTVLAENSNSKTDATFSRVNLAVGFRGAEVALLRAGTFAVPRRSSFPLRYQVVSAGRQLSPQGMEAMAGALRAGVVPLDLFGKARTTWKVGIFASLQFWTRISCRFLFNYPGNGTALPIDCRSKSP >Sspon.08G0006990-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:22143034:22148905:1 gene:Sspon.08G0006990-3C transcript:Sspon.08G0006990-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VPGQRVLALQLLASILNRALQNLHKIDLMDNVKGMNSNEKFDDWQAIWSYALGPEPELVLSLRMALDDNHDSVVLSCARVVNVMLSCEFNESYFEFSEKVGNGKDICTAPVFRSKPDLDGGFLEGGFWKYNTKPSNILPHYGDNDEDEADDKHTIQDDVVVSGQDVAAGFVRMGILPRICFLLEMDPSPALEDYLVSVIVALARHSPHSADAILNCPRLIQSVTKLLINQGSMEIRSSQIKGVTLLKVLSKYNRQTCLNFVNHGVFQQALWHWYRKAGTIEDWVRSGKEKCKLSSAMMVEQLRFWRTCISYGFCIAHFADFFPVLCLWLSPPEFEKLSEHNVLVEFSSVARESYLVLAALAQRLPLLHSVEQLANQDLGVSASYIETCSWSHVVPMVDLALSWLHLNDIPYVSSLISGQNRNTKHMVEASYLILVIASVLGMLNSILERISPDVTPEDKSYSLPWIPDFVPKIGLGIISNGFFSCSGTVAVGNAEHQSFCCASLVQGLCYMRCHGNVDVSLSSISCLQRLVQLSWSVDRVIQGAKKSCSECFNESGTGVAGKLLGEGISSLWHNDLLHLLTSLLPMISSQWSISQNIEMFGRGGPAPGVGFGWGACGGGFWSLKCLLAQLDSQLVVELIKCFSSVQGSPVILDEGVKSDNVTNTVVTASNWISSSLGLSLIAGPGQNYMLEKAFDMIFEPSILKYLKSSIHKFASDMELLKPFEWDINEDEYRLFSSVLNLHFRSRWLAIKKKHSDKYAGNNSSTKISKTPETLETIQEETELTEAVNQPCNTLVVEWAHQRLPLPIQWILSAVCCIDDPKGTLSTSANYILDVSRAGLILLLGLEAISATLCLHAPLIWKVHALSVSIRSSMYLLQEDRSRDIFFALQELYGQHLNRLCQKLCKSNSVEEVKGVAVATSEEAMEISSLEILRFQEKIHGSYTTFVESLVDQFAAVSYGDFVFGRQVAIYLHRKVEPAVRLAAWNALSNAYVLDLLPPLDKCIGNAQGYLEPLEDDEKILESYAKSWTSGVLDKALQRDSMAFTLAKHHLSGFVFQCSDSGKTLRNKLVKSLIRCYAQKRHHEAMLKSFLLQGIAQDSKSSGNELDRRFEILKDACEMNSNLLGEVQRLKACLGQ >Sspon.01G0007530-3C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1C:20545577:20546845:1 gene:Sspon.01G0007530-3C transcript:Sspon.01G0007530-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA topoisomerase 6 subunit A [Source:Projected from Arabidopsis thaliana (AT5G02820) UniProtKB/TrEMBL;Acc:A0A178UQD3] HVGEKAPRRGERGRRRIQLQEAARFLGRVLRRVPPLEAPPRRLHPRHTPLPRLCLRFQIQGRRQVLADHDLSADPASSYIVVADQDSASVTSRINRLVLAAARSILSGRGFSFAVPSRAASNQVYLPDLDRIVLVRRESARPFANVATARKATITARVLSLVHAVLGRGIHVTKRDLFYTDVKLFGDQSQSDAVLDDVSCMLGCTRSSLHVVASEKGVVVGRLVFADDGDRIDCTRMGVGGKAIPPNVDRVSGIESDALFILLVEKDAAFMRLAEDRFYNRFPCIILTAKGQPDVATRLFLRRLKVELKLPVLALVDSDPYGLKILSVYMCGSKNMSYDSANLTTPDIKWLGVRPSDLDKYRVPEQCRLPMTDHDIKVGKELLEEDFVKQNEGWVKELETMLRTKQKAEIQALSSFGFQYLTE >Sspon.01G0024630-1P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1C:86439168:86444187:1 gene:Sspon.01G0024630-1P transcript:Sspon.01G0024630-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSGGGGGGPGPGPISAEAESALGEAIRLVFGRWTALQMAVENQWGGRDSRAKADQFGESILFWFCRTKGPCYFEDLVDMMYEKISESFNADFEDNSVEEVAEQLLIIHEECLQNNYSSIEKLRNSHVQGNAVSQSRQVVADDDDDSDSSDDGDDTPMMDDQEAVPEDMAVDRPRPPRPTPDADGVPRVRRQQQQLLAQMAASIYFSDVSVRTSGYAVEVKSCSCIGCSPPPRATVGAHCRSGDAECCLPHSAPNAFMGWEHGTDLRLGPSRELEMFGHQKCLPVQVRGYVFSSVSATFACLLPHALTSHSP >Sspon.01G0041930-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:58771919:58773393:-1 gene:Sspon.01G0041930-1P transcript:Sspon.01G0041930-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSNVDATKAVAAPPIISSKLTCNFSNHQSNTCSMEGDLRIHGKSATVYVVSASTYRPENATIKLRPYARKWEDQVMMLVREVTMRSSPPGGADDPPPPQCSVRHDAPAVVFSTGGYNRNFFHVMTDVIIPLYLTAREYNGHVQLLATDYEPKWIAKYKAILAALSSYPVIDLDSESEDTVRCFPSAHVGLESHKELGIVPGLSHKGYTMVSFRDFIRSAYSLQRPRVTPVSRSAGRKPRLVMILRRNSRQLKNEADAIAAAADVGFEVVAAGPDDVSDLKRFPGVVNSCDVLMGVHGAGLANMLFLPHNATVVQIIPWGELKWACRHSYGDPVPDMGLRYIEYEATAEESSLKESYPRDHAVFTDPLSIHRQGFDKMWNIFINGQHVIVDIDRFRGFMKQLYQSITTE >Sspon.01G0017480-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:61381426:61382518:-1 gene:Sspon.01G0017480-3D transcript:Sspon.01G0017480-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding QQWRGGRRRGRGRGGGLASRPSRRRCWTPSATPWTSRPAAREKRRSGPRHQRLLGAPRSSSRRPCITTTTTSPPWPPATGRRARRRRRRTTAPPAVATSRRPRSSTPSAASAPSAPLAAPSGRPRWLQRRSSSLPRLARRRGERGSRPPPPPPAAAAAGRPLRARGSPACSTPSSPASGIPRGSTRLRRTTSRRARRRHPARAPASPRHRRRRGRGRPAAGAGPCGSWTSKARWLWPRPPLAAGDSRWSKWRAATAAGRAATRARTCSSWRTSRPSLRRTAPVAVERARTSFRCTGRLELGLRTTLDLSVAARLGLWKLCICCY >Sspon.05G0011760-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:34111463:34113426:-1 gene:Sspon.05G0011760-1A transcript:Sspon.05G0011760-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTELLTKFLTLLFGYAMPALECFKAIEQRPGRADQLRFWCEYWIILVLLVMFDEIAGVLISKIPMYYELKLAFLVYLWYPKTRGTDIVYETFLQPLVMQYQPNIEARLQYLRANAGDILVFYLKNFTERGYDLFLRVLDYVRSQASRGSRTRRFFSFRGERAERPSFADDDYATGGDRRDGGRHRRPRSGY >Sspon.01G0061050-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:87976428:87977426:-1 gene:Sspon.01G0061050-1D transcript:Sspon.01G0061050-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAVLDAFASKLVDILMGMAKDEVEMLLGVPGEITKLETTLGDLSSILADAERSRIRDSATERWVRELKDGGEDPSSSSSTAAPRTTSKCCGDIQKMFSCFRNPVVAHEIGRKIQALNQQLEDLEKRSSRFRFITQEINPSGYSLNVAPSTLSDKTGSLILQSDVVGDKIMEDKKKIVDLLIKKVGSPAESKGNNVVVAVAITGMCGIGKTTLARMVFNDSTLEESFGQRIWLSVNKEVNDIRNKDLLERALKDTVQQKKKFLLVMDDVWSEKVWNDLLKSATQLWCSWQSCLGDNKK >Sspon.06G0001640-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:2723500:2725290:1 gene:Sspon.06G0001640-4D transcript:Sspon.06G0001640-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLYAPALCLRRSSTHSHPNQFQGGFTQSMASWKCPHSQSSLYHPKSGLVDFSLSKNVKSSQPQSIKYFVSLMGQQFRCGLSTRVGSLSVKLDIPSHEKSRIGWNWKNMHHKIGGAAGGLCFGFSVTGVASAEVPVIRIKDNAETSSSSASSSHGKKVYTDYSVTGIPGDGRCLFRSVVHGACIRSGKPIPNEDLQRKLADELRAMVADEFVKRREETEWFVEGDFDTYVSHIREPHVWGGEPELFMASHVLQMPITVYMCDEDAGGLIAIAEYGQQYGKEDPIQVLYHGFGHYDALQIPAKVGSKRKL >Sspon.01G0010870-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:32693231:32701229:-1 gene:Sspon.01G0010870-3D transcript:Sspon.01G0010870-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MYHKVHVGEYGVHWNFFFTLAAVSILTSIVRIHPKHCGLVGLLILAGYQIWLSSGLNEYLISDKRSADIISQNKEGIYSILVQRARTGTLKSCKSGILAIIFDSYIERVSRRMCNFAYVMLVFGQNFQVLSILTLAGFASYKKNLVLEDAFNQNMLGSFLLANILTGLVNLSVNTLSASYLTAFMILSVYTFTLCMVTGLAHFCGVRMKFW >Sspon.05G0025290-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:19412255:19418032:-1 gene:Sspon.05G0025290-2C transcript:Sspon.05G0025290-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNLRLLDVVLFARHIQLVRLASSRAYELGASVHEHETQLKKDYKVIKSVLQRDGVSWDQSASMVRTTDEIWDEIIEKSPSSIPQNVGGNVDDGGNNISRVPGLSERPSGNTSVNEAWNNISLLQQTALGPQGIDDLDMLQNRDEEVLERLQHGADPRPQRADEQAQSSSCVELQRDRRKKRKVPDIQQIMETYLNFRMKQARVKEQRAKDADQFTISSCIKALHTMADVSDEVKVLASDVFKDAENREIFLSYEPRLRTLWLKRELNQMVMTAASKALNFEVLYLKSCESTKQILGLPNKSPIQHTGTLGLIDTGYKNNKNTKTGK >Sspon.01G0048340-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:108450223:108457712:1 gene:Sspon.01G0048340-1B transcript:Sspon.01G0048340-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SMASRTNKPPQLVLWPSLLTLLFLSGALEAAAGTGTTSTSSVSSISSSADGAAATGRRSLVAAASQSVFSLDRYGARGDGSHDDTQALAQAWNAACTSPRPAVLLVPGGKRYLLKLVTLHGPCKSSVTVTVKGTLVASPNRSDWSDEDRRHWIVFRQVDRLTVNGGGAVDGNGETWWKNSCKINKAKPCKEAPTALSFHFCTSLTVQDLKVVDSQQIHVSIEDCTGVQLTGLSIAAPGTSPNTDGIHITRSNNVQVTNCEIKTGDDCLSIESGTHNLHVSQVVCGPGHGISVGSLGDDNSRAEVSSITIDSVQLYGTTNGARIKTYQGGSGYAKDITFQNMVMYDVENPIIIDQNYCDKATPCEAQGSAVEVSNVVFKNIRGTTVTKDAIKLNCSRNVPCNGIILQNINLKMQGSSGGKDAAKSTCQNARWSKSGQVHKVVLANFSLREQLSIEENVAPENDRAAMQALQQKMIQLSGFYIPNKQ >Sspon.06G0004570-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:12275621:12280551:1 gene:Sspon.06G0004570-2C transcript:Sspon.06G0004570-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin carboxyl-terminal hydrolase [Source:Projected from Arabidopsis thaliana (AT5G16310) UniProtKB/Swiss-Prot;Acc:Q9FFF2] MKDATMVAILRLDFVSKTCQTWSKPLNMSWAAIENDPGVFTELLQQMQLKGLQVDELYSLDLDALNDLQPIYGLIVLYKWRPPEKDERPVIKDAIPNLFFANQIINNACATQAILSVLLNSPGITLSDELKKLKEFAKDLPPELKGLAIVNCASIRMLNNSFARSEVSEEQKPPSKDDDVYHFISYVPVDGVLYELDGLKEGPISLGKCPGGVGDAGWLRLAQPVIKEHIDMFSQNEIRFSVMAILKNRKEMYTAELKDLQRKRESLLQQMGDPSAIRHVPSVEQSLAEVAAQIESVTEKIIMEEEKSKKWKTENLRRKHNYVPFLFNFLKILEEKQQLKPLIEKAKAKQKSHGPSAR >Sspon.03G0026380-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:2706517:2709121:-1 gene:Sspon.03G0026380-3D transcript:Sspon.03G0026380-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAAAAASSSSEGPVAVSTCVSLGEAAAADCGVCAICLDRIQLQETALLKGCDHAYCVTCILRWASYKQNPLCPQCKHPFEFLSVHRSLDGCLHDYLFEESVCLLLRAAWFEPLIVEAHEEALEEEEFFHQQYQYDDDEDDLDEESYYMSRSPSIRIGNRRWGDNGYIRGGRKEARPVNTDASAGPPRTPKKKEKASSSSASVSGSGSGSVSKDVAGRRAKRAQKREAADRAAAEKHLKHLQRLGLRKAPEPEVPAEVGPQVNE >Sspon.07G0016700-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:85626065:85628618:-1 gene:Sspon.07G0016700-3C transcript:Sspon.07G0016700-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding IHYRRAAQNSRERARPPPSSNPPPPPSSPKRCLGFHRLRPPPRLTSSLVRARPRLPSGWRRRAEASRRRAAAACRAAGKGKEVLSGVVFQPFEEIKGELALVPQTPDKSLARHKFVDECEAALNEQINVEYNASYAYHSLFAYFDRDNVALKGFAKFFKESSDEEREHAEKLMEYQNKRGGRVRLQSIVTPLTEFDHPEKGDALYAMELALALEKLVNEKLHNLHGVATRCNDPQLTDFIESEFLEEQVEAINKISKYVAQLRRVGKGHGVWHFDQMLLEEEA >Sspon.07G0005640-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:14447408:14451502:1 gene:Sspon.07G0005640-1A transcript:Sspon.07G0005640-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASLLPPAATLVKKYPTLLHPFGAKPHAQRLIFRCSATSSDGAYDGWASLVDELKRSLQQTDPSDTVTSNAGAGVTPNDLVTALPLEPSTTGPAVGDATNAAAGAVNKLLGVDASSVAASSDSGAAAIPNGLLSALLHLDASNPVARAAGGALSRLDALTSGLSDAQRWALFGFLAATWLYLTARPGVLSGAVDTYVLAPLQLALDSVLGRRSLKMSDFVVGERIGEGSFGVVYAGAVVPKNGAVVEERSGRARTSLQNDDRYKEKVILKKIKMLTVGAKECGDYEEWFNYRVARAAPESCADFLGSFVADKNKAEFVKGGKWLVWKFEGDRTLDNYMSDRGFPSNLERLMFGRVLQSLGTLERDALVVKQVMRQLITSLKRIHATGIVHRDIKPSNLVVTRRGQVKLIDFGAATDLRIGKNYVPDRALLDPDYCPPELYVLPEETPEPPPEPIAAILSPILWQLNSPDLFDMYSAGIVLMQMAIPTLRTQSGLKNFNAELRSAGYDLNRWRQSARRRPDLQILDLDSGRGWDLATKLISERGANGGGRLTAAAALRHPYFLLGGDQAAAVLSKFSLSK >Sspon.06G0016730-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:90996909:90998729:1 gene:Sspon.06G0016730-1A transcript:Sspon.06G0016730-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLARHSPLLTTITVVLLAYAGAAAAAQGSDAGNGTAAAVPSAASLTGCPKMCGNLSIAYPFGIGAGCFRDPDFELVCNHTTEPPRLFLTGGDTEVVADIDPSATYELADVRVAFSRSVPIIQGVQVYNTSWKPPGRSFTGFYFDLNLYFTGCDFNVSWLHDDGSTNFMCKVTCPSEGIMDEAIARQQCNGTGCCNYHNFLFGSHDTVSSLNLQFERNDPEANAKQPNRRSSLWDRINVESDYGMLLSWVVADEPNCAAASANKTKYACVSKHSTCIDKLPSYVCTCEPGYSGNPYVADGCMRDRVPMLEQLQQ >Sspon.08G0004980-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:31080394:31089887:-1 gene:Sspon.08G0004980-3C transcript:Sspon.08G0004980-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQSVLVERATSESLIGPDWSLNLEICDILNHDPSQAKDVVKTIKKRIGHKNSKVQLLALTLLETLIKNCGDFVHMQVAEKDILHEMVKIAKKKPDYHVKEKILILIDTWQEAFGGARARYPQYYTAYQEMLRAGAVFPQRPESTVPIYTPPQTQPLRNYPPPALRNTDYRQDVPESSSAPEVPALSLTEIQNARGVMDVLAEMLNAIDPGNREGLRQEVIVDLVDQCRSYKQRVVQLVNSTSDEDLLSQGLSLNDDLQRVLAKHDAIAAGIAIRVEKPKSVPDRADSSPTKPEPTKEADQRSAKVASSVTPFEQLALPAPPSSNASKSHGESAVTPNIDLLSGDEFFKPEPVHSQALVPLVTQPAASASSSPSTLDLLDMFSDSNAINNTSQNPTIPSISNTNPNLSVQAYPAPQQPVPPHHPSPYANGLNSDTMTPYDQGSNLTSASSWNGQFAHGMIPSQQAPNYGQDEQSNDLPPPPWEALPAETEQLEADHPGGLSALPQFGVSQPQPVQITHPGQQVLPSQLMPTGQPGAQFQPGLAQQPYATQNTQYGGMYPPVQGNQLVGIYPQQMAGDVYQQQMFSGQMTGYGYGQQPGGYYVPNAAYAYASANDLSQRMNGLSMQDNSLYGTPASSSLQQRNRPSRPEDSLFSDLVSIAKTKPSKTASNKAGNL >Sspon.01G0026220-3C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1C:95151468:95154833:1 gene:Sspon.01G0026220-3C transcript:Sspon.01G0026220-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLMGGVVMEAGGGGASELGLLGGGSSSRLLKHGRGNAVGGEDHGWGGGRAKQARTTASIVAGDVVEAAKAAAPFLLGSCSPGHGGEQMLSFSSAAAAASSCASTAAVAAAVAADGGAMPLYYGTPASCSGLSSVSLSTSMQGAMARVRGPFTPSQWMELEHQALIYKYLAANSPIPHSLLIPIRRSLASSPYPPSYFGTSTLGWGSFQLGYSGNADLEPGRCRRTDGKKWRCSRDAVADQKYCERHMNRGRHRSRKHVEGQPGHAAKAMSAVAAAAALAGAGATAAGLTVNQHQQPAKSYATGATDPCSLQYNRELVNKQNESENMQDSDNLSMLTSMTTGNTGSVFPLSKQNNPFEVTSSRPEFCLVSSDSLMSSPHSSLENVNLLSSQSLNEHQSSASLQHFVDWPRTPAQGGLSWPEAEDMQAQRSQLSISAPMASSELSSASTSPIHEKLMLSPLKLSREYSPTGLSIAANRDEASQLEATWATMFRDSSMGGPLGEVLTKNGNVEAKNCLSAPLNLLTDYWDSSHGMESSPVGVLQKTAFGSVSSSTGSSPRIESHGAYDGISNLRDDLGSIVVSHPSIRLV >Sspon.02G0003280-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:7670071:7671881:1 gene:Sspon.02G0003280-3D transcript:Sspon.02G0003280-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQCPARTVGGSFGRGRTPPYLAGTTYLFFSRTSNLITSHVCLVTACASTEWHSSDHIFDGYATLVRISFRRGRATGAHRQVESDAYKAAMAHGRPLHREFSQLCRPSKQQPGGSLLDRVRNVVGLGSGALLSDNANISVLPLGDGRVMCLTETTKSSLLIDPETLDTIGKFRYADRLWGLLQTTHPVVTGTELLTLLPDMIFRPAWPSCRQDGSREQREESDRESALPGRGRARTTGMGAFVRRHGQVHRRAGDATALLPYSRSQVSAHTLVYLRLATGVWELHARHMRVHRKDRVASVEVPPFMALHFINAYEQRDAIIADCCEYYADPSVIKALALHRLRTPGMNKDAAFPDARVTRFRIPLDGTPMAMGELETVLDPEVHGRGVEMPSIHPAYQGKDYRYVYACGARRPCNFFNCLTKIDLVEKEAKNWHELGSVPSEPFFVARPGGTDEDDGVVISIVSTMEGDGYALLVDAVTFEEIARQGSSSVQSEPSMQVSDGSMCAV >Sspon.01G0052390-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:22168419:22173273:-1 gene:Sspon.01G0052390-1C transcript:Sspon.01G0052390-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Arogenate dehydratase/prephenate dehydratase 2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G07630) UniProtKB/Swiss-Prot;Acc:Q9SSE7] MAPTACLRLPFLPARARSANATPFSSPRRLSLKCSATGGDNPNPNSISISPASPPGLTVSDGVESVDVNGLRRPPAPVSAPTVPGARDPHWLPRPLTSADVMEADGKGLKVAYQGCAGAYSEAAAKKAYPNCETVPCEHFDTAFQALAQCEHTLTGLGIEHREAVDDTAGAAKIVAEHMLLDTGAIASSLAAKLYGLDVLAENIQDDKDNVTRFMMLAREPIIPRTDKPFKTSIVFSLEEGPGQLFKALAVFAMREINLTKVYPTTLSYRKSSTQRKASSRLFLSLKEL >Sspon.03G0022010-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:67057046:67063806:-1 gene:Sspon.03G0022010-1A transcript:Sspon.03G0022010-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLHFVLVPLVAQGHIIPMVDLARLLAGRGARVTVVTTPVNTARNRAVVESARRAGLDVELAEVAFPGPGLGLPEGTENIDMVVEKDHFMPFFQALWKMDEPLDEYVRSLPRRPDCLIADWCNPWTAGVCARHGIPRLVLHCPSAYYLLATHSLSTHGVYDRVADELETFEVPDFPVRAVGNRATFRGFFQWPGMENYERDIVEAEATADGLLINTFRDLEGVFVDHYAAALGRKTWAIGPTCASGGLDADAMAVRGNRADVDVGLVLLAQLSPKQVIELARGLEASERPFVWAIKEAKSNADVQAWLVAEGFQERVADRGLLVRGWAPQVTILSHPAVGGFLSHCGWNATLEAITHGVPVLTWPYFADQFCSERLLVEVLDVGVRSGAKLPPMNLPEEAEGVQVTSADVDKAVAELMDVGSDGTARRARAKELAAKAKAAMKEGGSSYAELDDMLRHVAELSMKKSYEEDTGVSTLLSAPQLGNKNKKNIGTGAALSVES >Sspon.03G0030000-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:27058283:27063972:1 gene:Sspon.03G0030000-2P transcript:Sspon.03G0030000-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAMRQLLLLFLLLVYLRPSFSQTNSQDVAALKALMNNWQNEPESWTGSTDPCTSWVGISCSNGRVTEMRLASMNLQGTLSNAIGQLSALKYLDLSNNQNLGGPLTPSIGNLKQLTTLILLGCKFTGNIPKEIGNLSQLTFLALNSNNFTGGIPPTIGLLSNLFWLDMSSNQFHFSENQLTGPMSESLFSDKMNLIHVMSLLSVTVARIFNNNNFTGPIPASLGQVKSLQIMSLANNLLTGTMPDLTDVTQLDYVDLSNNNFASSPAPGWFSTLTSLNTIFMDNDDLNGTIPSAMFSLPNLQQVSLARNAFSGKLNMTGNISSQLQVVNLTSNQIIEANATGYSNSLILTENPVCLDNISFCTLKQKQQTPYATNLGPCAAIPCPFDQSASPVTSQNCACTNPFQGLMIFQAPAFSDVISPTMFQTLESTLMQNLSLAPRSVAISNVQFSPGNPLIFTVKIFPASGTSFNRSEVIRIISPLVNQTYKAPTNFGPYSFIASTYFPAPSNKKSSMGKAAIIGIAIAGVVLILGLVVVAIYALRQKRIAKEAVERTTNPFASWGAGGTDNGDAPQLKGMKKCTNNFSETHEIGSGGYGKVYKGTLANGQIAAIKRAQQGSMQGAAEFKNEIELLSRVHHKNLVSLVGFCYEQGEQMLVYEYIPYGTLRENLMEYYGLKGLIDPKIRDSAKLIGFRRFIQLAMECVEESAVDRPTMNDVVKELEIIIQNEGAQLLNSASLSVEQFGNEKSRDPYAEHLPMNDESSSNTFDYNSVYSYSAVQP >Sspon.07G0033510-2D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7D:53618902:53621821:1 gene:Sspon.07G0033510-2D transcript:Sspon.07G0033510-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKGDDELQQDRELSKGILTIRGIARRVLKNCELESCSLATRLSTVDVVYGVVKDAVEATISVELLAGEYFGEITACTSSIKGRLVLHDSRLTHHSASSGQNIAPAVIPLLRSVVAVYVKEMLLLTVAAHTDDGEITKCIEITPRVNGSDLDEITVGAATLGVR >Sspon.03G0039540-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:16753412:16758444:-1 gene:Sspon.03G0039540-1C transcript:Sspon.03G0039540-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVNGRPLKRARARVEATDFARFPAAGDCGAAGTFREAVRGFLAKHARLLPLPSIFSPAAAAAPPHLLIWRVSLRVGEAGEEESGGRVELNVVEEDVLRSRSVYCDQCRVVGWSGHPVCVKRYHFIIENDSISGRQRTCCLRCGTPMAAGESRCALCNYDMDGEEVEECAYLHLDDSSHLLHAVVHANGYGHLLRVNGREGGSRFLTGRDIMSLWDRLCKVLHVRKVTVMDISKKHGMDYRLLHAVTAGHPWYGQWGYKFGAGSFALTSDTYQNAVDMLSSINLALYYSHRSPIRTALQNTIALYWALSNRQLVTLRDLFRFIMHLLHQGQKMSKPSTDKHKELRSNELCAWTKEDLHRAEGAMLKVLQVVQTGQWVSWRALRGAASKAVDSQELLDYSLRELGGKQLDNGLSVAVRCNAETSAIEYRLESSSLRSPANAATFGPSVEQLLHDLRFLYDALLNPESMLSSQPEVVGASAHSAAAKIIDCKQFIKHYDEHALRTPSNPYLLCVRCSIELLDHPKDYTTPPEELVLLPASATLGDLKVQASKAFQETYLMFQSFQTEQLPDFPNLSDTTPVNHVLGSGQLLRVRGRCTGDYRRIVQFRMERGLENWTVDCSCGAKDDDGERMLACDICGVWQHTRCSGISDFEEVPENFICRKCATRKGKGRGGGGSNGDGTMEVSAAGRCKDEIGSSVGGAGKFGRMATLYR >Sspon.08G0026960-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:36788955:36789368:1 gene:Sspon.08G0026960-1C transcript:Sspon.08G0026960-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVVLHDATEVCQLVGVAGPDATATVGLTASAVSDANDDVEHDATDDDAEHDATNDDDAEHDVTNDDVEHDAIATMSLRCHLPDYAATAVTIHVQPNSHGDPTHVLTATLCWFCILDRNICVVLNNKVDMPSHVTHY >Sspon.08G0021200-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:34642502:34645947:-1 gene:Sspon.08G0021200-1B transcript:Sspon.08G0021200-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGEARVFRCTDYSLPRTTLALTLWLAASTSTSSRSCVALPPLPPRRAIVVAFQLFFMFAPVNDRDKWGRSIARHAMGYFPISLHVEDYKSFDPSRAYVFGYEPHSVLPIGLSALADLVGFMPLTKIKILASSAVFYTPFLRQIWTWLGLVPATRKNFYHYLGAGYSCIIVPGGVREMLHMNNDSEVAFLKSRKGFVKIAMQSGCPLVPVFCFGQINEVHEQFITALRDLFEKYKGKAGYPGLHLRVL >Sspon.01G0008420-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1A:23457330:23458373:-1 gene:Sspon.01G0008420-1A transcript:Sspon.01G0008420-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding QGPHHAVALRHRLIIAASASAVPGKGQALHTEYLISFAIGTAPPQTVQMTLDTGSDLIWTQCQPCPSCYAQALPYFDPTLSATSVELTCNSSACQQLDLSPCGTDKWGNQTCAYSYFYGDKSVTKGLLDADTFTFDGVAGGAGVAVPGLAFGCGLFNKGTFNSTETGSGIAGFGRGALSLPSQLKVDNFSYCFTNITGSTPSPVLLGLQANLYSGGASGAYYLPLKSITVGSTRLPVPESAFGLTNNGTGGTVIDSGTSVTVVLPLVYGLLHDAFVSKVDLPVTNDEPLCFAVSSKQEEKKKKVPKLELQFEGATLDLPRENYVFEIEEDG >Sspon.07G0005540-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:14208243:14211144:-1 gene:Sspon.07G0005540-1A transcript:Sspon.07G0005540-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPAFAAAPSSSICGVRIPTVCRFEAQGTVSTPRFLRNRVAARTRSASAWSLKAGLWDSLRSGFLKSNNSTETVEPPPAPLEVEEPLPVEIVLLERTLLDGRTEQILFSSAGDVDVYDLQALCDKVGWPRRPLSKIAASLRNSYLVATLHSIIRSSETEGEEKKQLIGMARATSDHAFNATIWDVLVDPSYQGQGLGKALMEKVIRTLLQRDINNITLFADNKVIDFYKNLGFEVDPQGIKGMFWYPRF >Sspon.04G0000570-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:2824857:2827375:-1 gene:Sspon.04G0000570-1A transcript:Sspon.04G0000570-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGGGAGPTAAFISGEPPPAAAEEVEENSGAEEEDEEVVVGQDDDELELGLCLGSKKQQQQPAPCRILTARDLQPGSLSPDSSVSSSSPAAGAGTAAPSKRAKADAAPNATTSPGTVASGHPQSFGVVGWPPIRTFRMNSLFNQAKENASAAGTKKPAIESDMQEDKEESKKGRVVGWVKVNVEGDIIGRKVDLNAHRSYKTLASALELMFMKPSIGLCTSSSSKSLKLLDNSSEYQLTYEDRDGDWMLVGDVPWEMFVGSVKRLKIMRTSDANGLGPRFQGAHRPTATCTRGRT >Sspon.04G0028780-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:70256866:70261285:1 gene:Sspon.04G0028780-2C transcript:Sspon.04G0028780-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGGRGGKRRGPPPPTPSGAAAKRANPSPGMPQPPPPAAAAAAAEEEDMMDEDVFLDESILAEDEAALLMLQRDEALASRLTRWKRPALPADLAAGCSRTVAFQQLEIDYVIGESHKELLPNSSGSAAILRIFGVTREGMGPDDISRFHQTLEGRMKESNRSSSVPRFVKRVELVQKQTIMHYQTQQSQPFLKIDFIREVDPDIIIGYNICKFDMPYLIERAEVLKIAEFPILGRIRNSRVRVRDTTFSSRQYGVRESKDVTIEGRVQFDLLQ >Sspon.08G0004210-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:12686322:12689132:-1 gene:Sspon.08G0004210-3C transcript:Sspon.08G0004210-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LAVAGGSSMVVVGSADSFNSILSKVQDEKLPAVFYYTAVWCGPCRAMAPLVSKLSSQYPKIPVYKVDIDMPTFHFYHKGQKIGEIVGADAKKLEVVMESLHKQQ >Sspon.02G0013680-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:36399753:36400453:-1 gene:Sspon.02G0013680-1A transcript:Sspon.02G0013680-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGFMDKKEKPEAPSSPPPPRLDCIKCFDMLWFCYSPFHQMQNYYRHGEFDNCFGKWGDLVDCLTLKTKRVAEVEEILIAREKAKPHIWTYRTVDEASENWWRMYKHFVMLSRPLSGSAQSRPKSDES >Sspon.08G0002270-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:6909152:6914863:1 gene:Sspon.08G0002270-1A transcript:Sspon.08G0002270-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMMVDPPNGMASQGKHYYTMWQTLFEIDTKYVPIKPIGRGAYGIVCSSVNRETNEKVAIKKINNVFDNRVDALRTLRELKLLRHLRHENVIALKDIMMPAHRRSFKDVYLVYELMDTDLHQIIKSSQPLSNDHCQYFLFQLLRGLKYLHSAGILHRDLKPGNLLVNANCDLKICDFGLARTNNTKGQFMTEYVVTRWYRAPELLLCCDNYGTSIDVWSVGCIFAELLGRKPIFPGTECLNQLKLIVNVLGTMSEADLEFIDNPKARKYIKSLPYTPGIPLTSMYPQAHPLAIDLLQKMLVFDPSKRISVTEALEHPYMSPLYDPSANPPAQVPIDLDIDENLGVDMIREMMWQEMIHYHPEVLTRMTMNLSSESTGRWILTQLHSSGEAELKAEKPEAHGCGYEYLDVIEFDVESGPADGELGLEDLSDGRAQLPVDAGADAAD >Sspon.07G0028540-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:67403516:67408500:-1 gene:Sspon.07G0028540-1B transcript:Sspon.07G0028540-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATIPGFPVLVATILLAFSCTSGFLSFSTASSSAPTTLDSPSDTDLQALLCLKLHLSDSDTAGAMASWRNDSSEQYCSWPGVTCGKRHTYRVTMLDFDSANLSGQIPPCVGSLTFLRVFHLPNNQLNGHIPPELGSLNRLFYLNLSYNHLIGMIPSTLSSCSRLQFIDLSNNLLEGEIPSSLMSNKSSQLQEIVLSNNKLHGSIPDGQGTLSSLSALLVANNTLTGGIPPFLANSSSLELLDLTNNHLSGEIPSAIFNSSSIGVLGLGGNNFVGSVPPLVHIFSPLDTLILSNNNLSGSIPSSLGNFSALTWLLLSQNNFQGAIPSSLGMIPSLEQLDLTFNNLSGTVPASLYNKTTLTYLAVGTNRLSGQIPYDIGFTLPNIQTLILQGNHFHGQIPASLGNATNIQVLDLRDNSFQGTIPSFGNMSSLTELNLGMNQLEAGDWSFLSSLANCSQLVLLCLDRNILKGELPVSIGNLPRSLQVLLLTENQISGTIPPEIELLTNLTLLHMENNLFAGNLPDSIGNLSNLFVLSLSQNKLSGQVPLSIGNLNKLSELYLQENNFSGLIPRALGYCKNLETLNLSCNGFTGSIPKELFSLSLLSEGLDLSRNELSGEIPLEIGGLINLDVLNISNNQLSGTIPSTLGSCVHLGSLHMEGNLLHGKIPESFISLRGISEMDLSRNYLSGEVPEFFELFSSMKLLNLSFNNLEGPVPTGGIFQNIGEVVFIKGNKLLCASSIHLPQLPLCSELRSRKRKAYAILKIGGFTALSLAIFSCFVVVLLKKRKKVKQESRPPCNEFRKFSYADLVKATNDFSLANLVGSGKSASVYKGRVGLEEHIVAIKVFKHNELGAPKSFVAECEALRNTRHRNLVRVITACSTFDPSGHPFKALILEYMFNGSLESWLYPNLNRDGLRSPLSLGTRITIAMDVASALDYLHNHCVPPVIHCDLKPSNVLLDDVMCAHLADFGLAKFLQSCSHQSSTSLLGPRGSIGYIAPEYGLGSKLSAEGDVYSYGIIILELLTGKCPTDEMFTNGLDLHRFVESAFPQKIGEILDPCIIPGFEDDGDLYNSLEHGNSATAGVESCILHLVKLGLSCSVETPKERPSMQDVYTEKNKIMRLVVLVPERKEPGRERNQGRAGWELTSWSRSLGGE >Sspon.08G0021800-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:45328082:45336059:1 gene:Sspon.08G0021800-2C transcript:Sspon.08G0021800-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGAWGGTTQKCASCGRTVYPVEELAADGRVYHRPCFRCHHCKSTLQFSNYSSVEGVLYCKPHYDQILKSTGSLEKSFEGVSRSAKSEKSNGHKGQQSSRFSNMFVGTQEKCVVCNKTVYPLEKVALNGNSYHKSCFRCTHGGCTLSPSNHITHEGKLYCKTHHSQLFMVKGNFSQFEDNSGIAKVATEKQPETEEATKNPDQGDEVTRKPVENEPTDEKTSKNDEKQLQSSVDVTKPSESATAENERGTESESKNNVVNKKPSESSVEEPLQNSVVDVKPSGNSAAMRKPWQRSLQTDKPFLSSTSTVKPSPGSDTTEKPSSSNGVDMKQSESSTLVKKPWQQNVPTENPPQSVLPSDKPSATSVDDAKPSERSKVVKKPWQSNTAAEKQLQNSAPTEKSQKSVATDKPSPTTNMKSLDNTTEVKSPWGRRMFNNKSLKSTVGTEKSSATSVVHVRPGETSTVAPVPQQQTENIEKPSDTSADDAKSADDTKSADDVKLSVASPDDAKSADDTKTTDDVKPSENTAAVVRKSWQRNIGTGKQPLTTAVDPKVTETSGTVKRLWQRSAATDKPSQSATAVVKPLQSSVAVSKPFQSNVAVKKTWQRSVTPENQLESSMSSNKPLPSKVAVDSLVQSNTVEKMFQSNVPTEEPQKVIVATENQSQTIEVTKKSNDTSMKLSVTSETTKVPPLAATVLQSDVSTEKPSQTDMPTIAPSQIPEPTEKPSESAFNAEKLSKTDTAAEKPLQSMITEKVQSVAATLKPSQSDTAPQEILERNMATEKILQSAMAVEKPPPTNLITEKPSIKDASEEPVQTNEPSEQPLKTKEVEKPHQSEKIAAETRGSEVSIGNVLELESNATKLNKDHSEPEGLSSGRNPPDFQSNPNAGQQLESKGIVAEKAADHIMEAENDAVEHSSESQSVAPAEVPKEQPSEHQKDTDMQQLLEPQNGNHPGNPLEPVSDTAAKDSSEPKSDITTEKPAESQNNADQSVEQSSEPQIDKSTEKPEVHQSSTSSDELSRLQSDAGADKLSVPSSDPESNASVSKPSESQTDVITMEAPEPQIDALPDKATDQPVKPQDDASAKKPMGTESDAVCDKPSESSSDAETLLVCHQNSSITSDEPVQGDISYETPHQRSAPIETTPGSDTVVEDCIHHEDTSSKPSEENKAIEEPEEVSAKLPDDHVTSEKSSEEDEENAEPSVDNAPLGKQLEANEESSKPSGDTVTPEKPLEEDKTSVEPSENDASFGKLLEADEVSANPSEDIATPEKPLEEGVASVEPSEDNSVLDKPWKEGEVAAKPSKDVVTPEKPLEEGSTTAEPLEDNAAVGEAKEEDEVIPKPESSAALEKSLEGSESSVEPSEDNAALEKPSEDNEADAKSSEDSVAVEKPQQEEENGVKALEEGVSPEKSAAGKPLEEEDPVHEKPADADAAVEPSSQDDTATEKPSATTDTAETA >Sspon.01G0017960-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:66894006:66909433:1 gene:Sspon.01G0017960-1A transcript:Sspon.01G0017960-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVLVRVLVTCAKFRRPAANLLLSASTSRARALPGGPLLRRRTSKVSLRLIAMATTQASEAAADKGLPLAVDATMVDEYVSQSKLLQEFVKIPTIGKAWIFNSKDDEMSKAVVSIGQSDLLANKRRTFLLNSHISKSPSKSVDFKWSPFPTEISGVSAVIPSPSGEKLLLVRNSEDDSPTKLDIWGPCQWENEIHIAKSVHGSLYTDEWFGGISWNQEETFIAYVAEEPPQPNQCLMIMDSRRKAHPKRTARAGRDKGIGRRPGEKRIPRKGFLHCLSCVYSHPEEIIYKYCVFMRNIMCSCSGEVRPVKQIPRSLSVGQVIWAPSSSYSLVFVAWGNKGETTTMIKLTADLISAFFPRFSPDGKYLVFISAKSAVPVVMCPDNCFPGLYCFGLLRDPWLTDGQTMIISSVWGSREVILSVNVVSCEVSRVSPQDSDYSWNVLAVDKNNILAGAKLPFEAIFVSHKDSASNPTIVVLHGGPHSVYPSSYSKSLAFLFSQGYNLLVVNYREHTPFLTLTCMCIFRFLRGSLGFGEEALQSLPGNIGSQDVNDVLTALDLVIKRGLIDPSRVAVVGGSHGGFLTTHLIGQAPDTFVAAAARNPVCNLSLMVGTSDIPDWCFVEIYGKEGKKYFSESPSVDDLCQFHQKSPISHISKVQCGFCIFVQEQFLMSMLERVEFAHANGRYYVDAMNSPLLDESINLQVKTPTLFLLGAQDLRVPVSNGLQYARALKERGIESKTIIFPEDIHGIDKMDALTPEEYASQSKLLQEFTTVPSIDSALILKTNNEDRSTAMFSISQPDLLANSNRKYILYSHITRAGTNSPDFQWSPFPAEITGVSVIVPSPSGSKLLVVRNGEKGCPTKLEIVYQSHVEKEVHVVKFHGISWNLEETLIAYIAEAPTQPKPAFNHSGYRREGSSEEDCNTWKGQGDWEEDWGERYSNKGRPSLFVLDIARGEVRAANGISTSLSVGQVVWAPASSSGSQKYLVFVGWLEHNGFQNTARKLGIKYCSNRPCALYAIPCPFEGSEPENTPASRDGKVLVFLSSKQAVDSGAHNATDSLHIINWPSQWKMDRQLDINEVVPIVMCPEEGCFPGLYCSSMLSDPWLSDGCTMILTSAWRSTEVILSIDVLSGKVTRITPEDSYYSWSALALDGNNVLAVSSSPIDPPYIRYGRPVTPEGQARRWIWDEVTSPLMASNSKVKSLLLHHSVSILKIPVPSPSDDLSDGGKLPFEAIFVSCKDSSHCPTVVVLHGGPHSVSVSSYSKSSAFLASLGFNLLIINYRGTPGYGEEALQSLPGKVGSQDVQDCLTALDFAIKEELVDASKVAVVGISHGGFLTTHLIGQAPDRFAVAAARNPVCNLSLMIGTTDIPDWCYIVACGTEAKQYASEAPSSNHLHLFYQKSPIAHISEVKAPLLMLLGGADRRVPVSNGLQYARGLRERGGEVKIMMFPEDIHEINLPRSDFESFLNIGV >Sspon.04G0009350-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:26758291:26760818:1 gene:Sspon.04G0009350-2C transcript:Sspon.04G0009350-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSPTRPRRTTGSALLLVLLFLSPLASAGQPKGVCVSPGGRFPAFSSEGKPPGRAPKGRRDLALCRIFRHNTCCDVTQTFPALVSVRNLALTGEGSQECIHLWELLECSICDPRVGVRPGPPVVCASFCDMVFKACSESYFSIDMKTQALSPCGLGDILCGKAHKWVSNGTDLCRLAGFSVQVSETSSGGVDDTFCYGGKASLDSISDSWTSSKDRPTLSGVASWDVQDFQRWAREMPVGERVSWAIGGMVLTAGLIFISKRKSYSHRQKQAAIVRNMRLRRLDSRANPQQTKRS >Sspon.06G0016850-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:75814559:75817648:-1 gene:Sspon.06G0016850-3C transcript:Sspon.06G0016850-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MELAVGASESAMRSLIGKLGSLLAQEYTLISGVRSEIQYMNNELASMHAFLRKLGRAAAAGATHDEQTKDWIEQVRDVAYDIEDCVDDFAHRLGRQPRGEGLLVTLCQAWYTMTTLWARRDIASKIIDLKNRAHEVSERRTRYGVKDPNDDPRPIKSKSNKQSGPVRQYATDHLQPLAPQLIGTMEPVGQEDATAERGRWLTESEGDVRILTIVGFGGLGKTTMALALQRRFGEKFDSRAWVQASQKLNLQSLLRGILEQVMPRQDTERGGGTGTSEDRADGIESWGVKQLKDKLEAHLGQKRYFIFIDDVWSVTSWKNIWESLPRNQKGSSIVVTTRFKSVANACCHNKEHIYMLEPLPHAASTQLFFKTVTDPKPEEFKETKDEIIQKCGGLPLAIVAVAGLLARRDLTEKSQWQTKIENMNLSHVRSLTVFENLHNLPSYSFKSVILQVLDLEGCKNLNTNPLKKIFKMFQLKYLSLRNMYIKKLPSEIGKLQYLETLDVRETNHLTGYSLKSLAIDDGFSGFLNSMDDLSTPPKYILSLDLSGKLFCVPKWIKELETLEKLTLSLTSLWTDGLQVLSQLPKMLSEGTYGLENLASLQQVYLRVSQQASDATKEK >Sspon.04G0032290-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:24046418:24066312:-1 gene:Sspon.04G0032290-1C transcript:Sspon.04G0032290-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLADPYALRCVSDLPPPFRSVFRFRYFNSLQSECFHVCFCSDVNMVISAPTGSGKTVLFELCILRLLSRFLSPDWRFNLNEGTLKTIYIAPSKALVQEKLRDWNMKLGPLGINCLEMTGDSEFYDNKAIHDADLILTTPEIWRRNEASEVDNQGYAPAKNDFLFERRLQSFIYDSICSNKDKGLYVEYERSMVLQNPENYGVKRGTPQDLLEKQIQGIQLRRKDKKILNDINADKDGRLLFHIVMENRKRKKRVQTREEKIFLLANDCLTGDPLIHDLSLNQALKTAGIYSFETLATADARKIESATGRNYPFGNHVKDSMSSLPPKIDIDIEETGNRLGKTTITVTLTRLSQAVLSSKRSCADMVVASEEDNVILFHENIRTQEFHREVPSFDLLPEEEYGAASAPGPEQEECKSATDNTIFDHIRKKSKEFPL >Sspon.03G0008420-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3C:37664976:37669656:1 gene:Sspon.03G0008420-2C transcript:Sspon.03G0008420-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIQSISYGYELAMHVLYQLQSISVANSPESSASTSKHYEKFFISLARSLIDSLPASDKSFSKLLCDAPYLPESSFRLLEDLCMSEDNSQQLKDGDGDRVAQGLGTVWSLILGRPPLRHVCLDIALKCAAHSQDEVRGRAVRLVSKRLYDLSYATEKIEQFAIESLVRVANEHTVDADINLKSLKESTAEIEVDSQGTSVSGSQIPDIEFSENEPFKTSSVSPKQSAVSVSEAKRRTSLFFALCIKTLQTLTEDSNPSADLVAAVKQLYNTKLKDASILIPLLPSFPKEEVLPIFPRLVDLPLGRFQDALARILQGTAHTGPALTPAEVLIAIHDINPEKDKVALKKVDRIPIPLLFMRTVIQALDAFPALVDFVMGILSRLVNKQV >Sspon.01G0021960-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:80548910:80551304:1 gene:Sspon.01G0021960-1A transcript:Sspon.01G0021960-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVMMPNKEILVVFLAIVAGLATTMVSPVAGISGTATFYTPPYTPSACFGNAAEGTTIAAASEVFWDGGAACGDRYVVTCTGATNQGVPHPCTGRSVTVKIVDLCPAGCRGTIDLSQEAFAIVRK >Sspon.02G0016210-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:44428670:44438393:1 gene:Sspon.02G0016210-1A transcript:Sspon.02G0016210-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSFLVLLLLVFAPVAVSQQDFLSIDCGRDANYSGYTDRETGIFYVSDGSYIDVGENHRIAAELAGLWSDLYQTLRSFPSGQRNCYALPTVAGTRYLVRAFFAYGNYDGKNSSSLQFDLHLGSNYWQTVYPDASVNRAREAIFVAWAGWAPLCLVNTGQGTPFVSVLELRPLGALYPLVTPGLIISRYKRLNMGGSDSIIRYPDDPYDRLWWPMDNASPRWVNESTTRPIQPDTSDYGAPSRILQTAVAASANDTALTAITWQADSDTTPYSFMILQHFADFQETQLRQFDIFIHEKDESGARQELKSYSPSYLSSSSSPVSTVDYRATDGYYNITLVRTNASALPPMINALEIYVRVPYENPTTLPQDFDAIMAIKIEYGVKKNWMGDPCFPTKYTWEGVKCSIPSGNTSRITSLDLSNSSLRGTISKNITLLTALENLNVSGNQLSDESLCKNYTGPLIFRHDGGSVCNKPSPSPSTNKVAIIAISVVVPVLVVILLLLAYFIWWEKRKPNVQPAPIHGPTRDSEPDNASGSKKSHGYNLNKTENRQFTYKELEKFTNNFKKFIGQGGFGPVYYGRLEDRTEVAVKMRSESSSHGLDEFLAEVQSLTKVHHRNLVSLIGYCWEKDHLALVYEYMSQGSLFDHLRGENGVSEALNWRKRVQAVLEAAQGLDYLHKGCSLPIVHRDVKTNNILLGQNLQAKIADFGLSKTYLSDTQTHISATAAGTAGYMDPEYYQTGRLTESSDVYSFGVVLLEVATGEPPIVPGHGHIIWRVKQKIATGDIGSIADLRLGNAYDISSMWKVIDTAMMCTADSAAQRPTMATVVIQLKESLALEETREDSSIRASRVSDIEAMVSTFRPLAR >Sspon.02G0014240-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2C:40470917:40471147:-1 gene:Sspon.02G0014240-2C transcript:Sspon.02G0014240-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRHHVSAALLPVVLPHRRWPLSAAPPLRRPQSPACEPGVYGLPTQTGIGLCLDQIRPIAIWPLEHLSRFIYFFQR >Sspon.08G0014050-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:57914682:57920942:-1 gene:Sspon.08G0014050-1A transcript:Sspon.08G0014050-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:S1P [Source:Projected from Arabidopsis thaliana (AT5G19660) UniProtKB/TrEMBL;Acc:A0A178UNR0] MKKLEKARLSNISYTSWFLDAFNYAIATGMDVLNLSIGGPDYLDLPFVEKVWELTANNIIMVSAIGNDGPLYGTLNNPADQSDVIGVGASFSSRGMTTWELPHGYGRVKPDVVAYSRDIIGSKISTGCKTLSGTSVASPVVAGVVCLLVSVIPEDKRKLILNPAAMKQALVEGASKLSGPNMYEQGAGKLDLWQSYEILKNYQPRASIFPTMLDFTDCPYFWPFCRQPINLQCYDSERDGVIGYVKDQPLWQPSEDIGNLLSVHFTYSDVIWPWTGYLALHLQVKDEGSQFSGIISGNVTLTIYTPAAHGESSPRSSTCVLHLKIKVVPTPVRSKRILWDQYHNIKYPSGYVPRDSLNVHNDILDWHGDHLHTNFHILFNMLRDAGYYIETLGSPLTCFDASNYGTLLMVDLEDEYFDEEIQKLRDDVIHKGLGIAVFAEWYHVDTMVKMTFFDENTRSWWTPITGGANIPAINELLAPFGIALGDKILTGDFSINGEQTHYASGTDIVQFPAGGFLHSFELQENSKTVQDHIGTLDTESTQGKSKLSSILGVMEAGEGRIAVYGDSNCLDSSHMVTNCYWLLRKILEFTGNRVKDPVLFSEAAQLKFPVFENIHQPSRRMDVNFSTYSTVIGKELICHQDSRFEVWGTKGYGVQPTGTSRKLPEYQMHESSSSPNVTIQTTDSRQDKVERLQRNLSTPNAAKFDDKRDYFGFIGHEEVDIGMLMASQWMALCCILVVECNKNAADEGKGRWLVLVG >Sspon.06G0007800-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:38453765:38456135:-1 gene:Sspon.06G0007800-1A transcript:Sspon.06G0007800-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASASSYASAPAALLPLLAAPPRFLHLPLRRPSGRRHGFAIWGGGGLLLQPPLLGPGSRARCRTTCCASSPEQAEVLLGGASLVSLAAVAILSALQLIWLRWRSARHWDFPEVLHEHDKINVNKALGPSKAMHDSNLEAQLTQDSHWLSEPCVSGRISVAEMHPKAGNFPCANTVHKETQACSVITPWISPDIPLYISRPEEVSCSTPTANSSIEMDTSLPVMPHSVSQGQDKSKYLSNRTGRVAGLPYQFLSLSGQKKEAQNSQGHNDKQMDTKDANLVGCPQSDQEEHLDFTSLSSFERVEEDHLNFVPLASYCNLLEPGKVIEFTYSNAGSSYLAAGRFAPVACLREVPVSKQVKAVKGHDGGWNIYNILNKENPDNFAPVKRGGSKGTKDTLDYLRIYNSFLIDGRLKDCMDLLESMEQNGLLDMKKGLALGIPGAMLTIWDGGSGLVISEKLVKPPC >Sspon.07G0014740-2P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7A:53116044:53120314:-1 gene:Sspon.07G0014740-2P transcript:Sspon.07G0014740-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding NGELPTDAGVIPRAVRQIFDILESQCAEYSMKVTFLELYNEEITDLLAPEEPKFPVPEDKTKKPIALMEDGKGFVFVRGLEEEVVYSAGEIYKILDKGSAKRRTAETLLNKQSSRSHSIFSITIHIKELTHEGEEMIKIGKLNLVDLAGSENISRSGARDGRAREAGEINKSLLTLGRVINALVEHSGHVPYRDSKLTRLLRDSLGGKTKTCIIATISPSVYCLEETLSTLDYAHRAKNIKNKPEVNQRMMKSAVIKDLYYEIDRLKQEVFAAREKNGIYIPRERYLQEEAEKKAMTEKIERLGADLEARDKQLVELKELYDAEQRLSAELGEKLGKTQKDLEDTKSALHDLEEKYNEAKSTIKEKEYVIFNLLKSDRKDRIEDGNRSIVQRFRSQLTHQLDALHKTVSSSVMQQEDHLNEMEHDMQSFVSSKDEAAQGLRESVQKLKVLHGSGITALDDLAGEIDMNSRTTFERLNSQIQSHTSALEKCFGGIASEADNLLNELQCSLSKQEERLAHFAKKQREGHLRAVEASRSISKITAGFFHSLDVHASKLTSILEDTQSECAANEEKQLLEKVAEMLASSNARKKKLVAFEKRCKQNKSPAERNFDAQDFTSSVREKWGFYMEETEKNYIEDTTAVDSGRSCLAEVLVECKAKTTMGAQQWKNAEDSLFSLGKGNVVSVDSIVRTGTEANQVLRSKLSSAASSTLEDIDVANKALLSSIDSSLKLDHDACANIGAILTPCHGEMRELKGEHHHKVVEISQNAGKCLEEEYLVSSHEKANR >Sspon.03G0017910-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:76734510:76737979:1 gene:Sspon.03G0017910-3C transcript:Sspon.03G0017910-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLSSPSAASAAAAAASGARFGPFPSRAQRLAPYPSLARGAPASTLVLRPQPHPDGRGHGLLAHTGSSSSSRCRAVAAEVEGLNIADNVTQLIGKTPMVYLNNVVKGSVANVAAKLEIMEPCCSVKDRIGYSMINDAEEKGLITPGKSVLVEATSGNTGIGLAFIAASKGYKLILTMPSSMSMERRVLLRAFGAELVLTDAAKGMKGAVDKATEILNKTPNSYMLQQFDNPANPKVHYETTGPEIWEDSKGKVDIFIGGIGTGGTISGAGRFLKEKNPGIKVIGIEPSESNILSGGKPGPHKIQGIGAGFVPRNLDSDILDEVIEISSDEAVETAKQLAVQEGLLVGISSGAAAAAAIKVAKRPENAGKLIVVVFPSFGERYLSTVLYQSIREECENMQPEP >Sspon.07G0019740-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:77052334:77052861:1 gene:Sspon.07G0019740-2B transcript:Sspon.07G0019740-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEFTFPSFPLEQYSSKKVPFPHFASASPWLVVPGGVVDTAVHEHDHRRSFSAVEQQESAGSDQQQLGGYGHHRHGSARFAVEDKMDMLWEDFNEELARAAQPCPLTKGTPSWATAKESWLAGGDGDGYEGAFETRKHAVVRRRRMGLLMMLRLLKKLFLAHKSGAAPSRKAPPI >Sspon.01G0052140-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:54832089:54839024:1 gene:Sspon.01G0052140-2P transcript:Sspon.01G0052140-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKNAVQAVRAALCLVLALAVANCAFAGRVLDEQAAAPLPADPLPGPTDPPADPVVAPAAGQAAAAGAASAGAAATGNAGAAAGGAVAAGAAGAGDHPLTFFMHDILGGSQPSGRIVTGVVASAAANGQLPFARPNNNIFLLNYTDRAAAGGAVAAGAAGAGDHPLTFFMHDILGGSQPSGRIVTGVVASAAANGQLPFARPNNNIFPIQGAMPLPQGASNLINSNNVPYVAGLGGTSSAVVQNNGNPVNGGNKNIPFVNAGDLPSGVTLQNLLFGTTTVIDDELTEGHELGAGVIGRAQGFYVASSQDGTSKTIVLTAMFEGPETPHGGDTLSFFGVHRMATPESHVAIIGGTGKYENAKGFAAIQTLHPGDEHTTDGVETLLQFNVH >Sspon.07G0003890-3D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7D:8589027:8592346:-1 gene:Sspon.07G0003890-3D transcript:Sspon.07G0003890-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRAAGNHLRSLKHHGASRFASTSVVKQSSGGLFSWLLGGKSSQLPPLDVPLPGITIPSPLPDFVEPSKTKVTTLPNGVKIASETSSSPAASVGLYIDCGSIYETPASSGVSHLLERMAFKSTVNRSHLRLVREVEAIGGNVSASASREQMSYTYDALKSYAPEMVEVLIDSVRNPAFLDWEVKEQLQNIKSEIADVSANPQGLLLEALHSAGYSGALAKPLMASESAVNRLDVSILEEFVASTHIALAFEVPGGWNQEKTAMVVTVLQMLMGGGGSFSAGGPGKGMHSRLYLRVLTNFQQLESFSAFNSVYNNSGLFGIYAVTSPDFSSKAVDLAAGELLEIATPGKVTQEQLDRAKEATKSAVLMNLESRSIASEDIGRQVLTYGERKPIEYFLKTVEEITLNDILSTAKKMMSSPLTMASWGNVIHVPSYESVSRKFHSK >Sspon.05G0033720-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:38497868:38499657:-1 gene:Sspon.05G0033720-1C transcript:Sspon.05G0033720-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKSNAFASSSHNIRSVLSAIAGLVTCPVRSRSRSPASWRTSIRWASAPTSCSNNINPNLVGYVHDVIDLFSADILNSILDTSKVQSGKMQLEEAEFSMADVLQESVDMANVTGVRRGVEVVWDSCDFGPEMCGPPRKRLKQILDNLLGNALKFTDEGHVVLRGWATWQIAGSSVSAPSRFAHPWHSGGGSLGCLFGAREDPGDQDHVQNDPNLVEFYFEVVDTGIGIPEEKRMSVFENYVQVNNGHCGTGLGLGIVQSFVCCYSNILYTYMYLLYSFSLQNFGFNWMIYVDLDHRLASRTKGPGKGEHAPEDKRGASVQLLQDIEGPSVPSGTLNRSNFIASAFQEARNFKGVHCVLYFHGYETRRILQTWMESIGVKVWLVPQAEFIGSTLEKVQSNSMATAAADCSGADWCFNSKEMVSQLRNSTSPRRASLRGDSFLYPCCHRRVRWWT >Sspon.02G0025550-3C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:93811031:93817488:-1 gene:Sspon.02G0025550-3C transcript:Sspon.02G0025550-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEADPPPSASALMEELVEEVLLRFPPADPASLVRAALVCRRWRRLVTGPRFRRRYRDLHLHRGDSPSPPMLGFLASAGTGTRFVPTSTFRPAPTPPREDGGWRALDARHGRALLPRDTGCDTPVDCELAVWDPATGRRTDLPRLPWAPYYPHSWNAAVLCTAASSSAAAAACDHLDCSGGGGHFLVVVVGTNHAEMFAHVYSSETGAWSAPASARHPDDNVDFAPSALAGNALYFAFQMGTAALEFDLRTREMAVVRLPLPRFDWQRVVLATRDDGRLGLAMAGKSTIYLWAREARSRGDGNWVQTRAVELDKLLPAAAISAFPDVVSFVDGVGVIFVRTSDGLFTIDLKSLQVTKVSRDTAFSSIFPYISFHTPEESLQRALLRAQVIIEEAMGWHITNQAMLRDAMHRGSYALDAFRYQPHYGEDGNDLAARRFMPLSKLHVRDFTDDELTFKQGCAMKRQNCLSNLKKGKRLLVVIELYGNMCEDAWNRFYLASKRSFLSGSKIIVTSCSDKIVKFGTTPALTLKYLSHEAYWYFFKTLTFGSIDPEMHPRVNSDV >Sspon.01G0025390-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:80533303:80534460:1 gene:Sspon.01G0025390-2C transcript:Sspon.01G0025390-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNVCFCGTTTTSPDQPEPDATAASKAPPQGAANKRPATPPSSQQGSNSQEPSPRPKPRPKAAATKPNPYDSAPPPASRVLDGVVPHHPRLRVTDKYHLGRELGRGEFGVTRLATDRATRERLACKSIPKRRLRTAVDVADVRREVAIMASLPDHPALVRLRAAYEDADAVHLVMELCDGGELFDRIVARGRYTERAAAAAARTVAEVVRACHAHGVMHRDLKPENFLYAGKSDDAQLKAIDFGLSVFFKPGK >Sspon.01G0010470-3P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:8816531:8819112:1 gene:Sspon.01G0010470-3P transcript:Sspon.01G0010470-3P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLVERCGEMVVSMESSHAKPVPAPFLTKTYQLVDDPCTDHIVSWGEDDTTFVVWRPPEFARDLLPNYFKHNNFSSFVRQLNTYGFRKIVADRWEFANEFFRKGAKHLLAEIHRRKSSQPLPTPLPPHQPYHHHHHLHHHHLNPFSPPPPPPTQPPVSYHHFQEEPAATAHGVHAGGNNEGSGAGGDFLAALSEDNRQLRRRNSLLLSELAHMKKLYNDIIYFLQNHVAPVTSPSSAAHASLPTSAGGAASSCRLMELDPADFPSPPRRPEDDGTVKLFGVALQGKKKKRAHQEDGDDNHEQGSSD >Sspon.04G0024520-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:20165966:20170698:-1 gene:Sspon.04G0024520-1B transcript:Sspon.04G0024520-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGVWRKAKRALGASLCVHLPAVAGDREDGGAGERRASDALSLDSTAAAHASAPNTPAATAAPEAAALLRRSKSGGKSSKRMCAICFDSMKPGHGQALFTAECSHMFHFHCISSSVKHGNHVCPVCRAKWKEIPFNRSLSSIVPRGRGGLNVNHARLPQQDAYMALLRQVPNRQREGPVLVTSEPSDFNDDEPLQKMEAANIGSSRTVEIKTYSEFSAIQQSSQDDFAVLIHLKAPYANPEQVTGRSVNATSVGGYPTSRAPVDLVTVLDVSGSMAGTKLALLKRAMGFVIQHLGPSDRLSVIAFSSTARRLFHLRRMSHSGRQQALQAVNSLGASGGTNIADALKKAAKVIEDRSHQNPVCSIILLSDGQDTYNIPSNIRGARPDYSSLVPSSILSHTFRLVPVHGFGFGVDHDSDALHSIAEASGGTFSFIEDEGVIQDAFAQCIGGLLSVVVQNMQVNVECVHPGVRLRCIKSGSYLSKVAAHGRNGSIDVGHLYADEERDFLLSVSLPHCREQTTLLKVACAYRDSLTNEDIKIQGDEVTILRPKSPISEPVCMEVDRERNRVRAADAIEAARAAAERGALSDAVSILEGCRRILSESFSSRSGDRLCMALDAELREMQERMANRQRYEASGRAYLLSGLSSHSWQRATARGDSTDSDTLVYSYQTPSMVHMLQRSQNHCPSPQGPSQVQQPRIMVKPQPSHGARGGEAGLEIRGIDLGAVSAVDMILRVD >Sspon.08G0011670-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:48501206:48506298:1 gene:Sspon.08G0011670-2C transcript:Sspon.08G0011670-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:bZIP transcription factor, Positive regulator of ABA signaling, Drought toleranc [Source: Projected from Oryza sativa (Os06g0211200)] MTLEEFLVRAGVVREDMGQQTLVLQPHAQGLFSQGNAVAPQTMQLGNGMVTGVVGQGLGGGMAVAAPTTPVVLNGLGKVEAGDLSSLSPVPYPFDTALRVRKGPTVEKVVERRQRRMIKNRESAARSRARKQAYIMELEAEVAKLKDQNDELQKKQVEMLKRQKDEVLERINNQHGPKAKKLCLRRTLTGPW >Sspon.02G0028090-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:119551171:119561952:-1 gene:Sspon.02G0028090-2C transcript:Sspon.02G0028090-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DEDRLGARGGGVHAEQLLHVPHGDAADGGPGRERAGARAGQRPQGQGHGARPAQDARRQGPRRPRHLHRRQARRRHQQGHVAPPRRRARAHAQERRRALQL >Sspon.01G0059930-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1D:70896107:70896832:-1 gene:Sspon.01G0059930-1D transcript:Sspon.01G0059930-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKSKRPKKRLSTLNHSHGTAVARSPGTGRAPRHVLVEDSGEAEEATETVEGECETCRTSVGRPISICSLSLSSPWTPRAPALHITSDSAASHDGAHQPSPASYPPCPEHHLFLPYLIQSYLLPPDAAPTRRTCAREHAPGHGPPAATRHPAAWKHCGSTRHNPTQWPPALVQEIYRGRERVDGGGAVEEGRRDGSSVGGARRCGEAGSGRHWVLQGGHQIRPSPTSRRRPPELESSPSP >Sspon.06G0015380-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:84786494:84790652:1 gene:Sspon.06G0015380-1A transcript:Sspon.06G0015380-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSASNGEMAAVPVPDSWNFEPNERLLGLMSISVRGVLARIKAEMVAAGGGGGGRPVIPMGHGDPSAFPCFRTAPEAVDAVAGALQSGEYNSYSTCVGLEPARRSIAQYLSRDLPYELSLDDVYLTNGCAQAIEIICSVLARPGANILLPRPGYKFYEARAVFNGMEARYFDLLPGKDWEVDTDGVQALADKNTVAIVIINPGNPCGNVAETARKLGIFVIADEAYAHLTFGERKFVPMGVFGAVAPVITLGSLSKRWLVPGWRLGWIVTNDPSGVFQRTKVAASIKTYHYISSDPTTFVQGAVPNLLENSKEEFFQKTIKILKESADLCWEKLNGINAITCPSRPMGSMFVMVKLDLSYLQDIKDDMDFCCRLAKEESVVVLPGRVVGCKDWLRITFAIDPSSLEDGIDRLKSFCLRHSKPAE >Sspon.03G0045480-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:19339380:19340851:1 gene:Sspon.03G0045480-1D transcript:Sspon.03G0045480-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNIAAVKSLLSALHQLSSQHISGSSQLSVQQIGSISFSVERMTTILVNNLHRVEPIWDQIAAHHLELANSSNPQLRSMALDSLDQSICSVVGSEKFQGISSAPHQFQESHLVKESETVSFEYAVLSPLVILYSSNKNVDVQMGALKILLHVLERHGEKLLYSWPSILHMLRAVTDASEKDLISLGF >Sspon.01G0010590-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:9659714:9660820:1 gene:Sspon.01G0010590-2P transcript:Sspon.01G0010590-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPTGDDSFPDADGGGGDSEDGDFADAHLLDPTDPGLPNPTTSSATGLPHAIPAAGSGGGPVTSGNGGERRPLFQRLWTEEDEIVILRGFAEFTAARGTAFASHQYDTDPFYEDMRRRLQLDFSKSQLVEKLRRLKRKYRNCVSRLRESGATFTFRSPHEQAIFEIARNIWRPTNKHGRDPSADSDDEDAAAATQVPANTSPNGEVKSPSSARQRRRRRVTEFNPATGAAPVTNMLQPPQPVQLSVSVPVKMDDSLPAQPQTPMPVMVTMDGSEPLRLPVVSPRSGISDAEKTCLTPLFKEMIRAAINVGANPFGAKLPEPPLGLPMEGEKWRKQRILELEVYLKRIELLQDQAKATLEELKSSTPGT >Sspon.02G0020800-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:85552477:85554333:-1 gene:Sspon.02G0020800-4D transcript:Sspon.02G0020800-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQRGELGRQLPLRGPLKALEADIHHANAMADAVQRNYGGACVQMRLSFGSLAPFFLYFIQWLDCGCCYALPSYLGLFHILICKVYADGDSSVSTYERRASLREFYAIIYPILQQLESSLIERDLKGKGRCKDIVSRRRMEDWKKLSGKDLEREDECGICMEACTKMVLPNCSHAMCIKCYRDWYKRSESCPFCRGSLKRIRSRDLWVLTNYNDVIDPAHLERENVRHFYSYIDSLPLILPDSIFFFYYDYLL >Sspon.04G0036700-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:42422311:42425974:-1 gene:Sspon.04G0036700-1D transcript:Sspon.04G0036700-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding KKKPRNASCRSRDSRVSCLARAEDRSPQTPVPSAYASSLQSPLTPPVSVLLRRLGTTAGKMSPFRRPSLILALPLLLLLLQAPSPEAAESTPGTRTRKIGGSGASSVFSLFNLKDKSKLWTESVIRTEFDDLEGSVSRDSSKKGLLNFTRAGNIANYLSLAEVDSIYLSIPVNFIFIGFDGNGGHDFKLGPEELERWFTKIDHIFEYTRIPPVGEVLTPFYKTTIKKLQHYDLPLVSHVNHNFSVHAIHMGEDVLSVFEHAIKVLSRKDDLADSRENEEFLVQVDSAQMEHIFSTLVDHLQIQEAYNIFVLNPKPIGKRINYGY >Sspon.08G0003480-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:9271297:9271710:-1 gene:Sspon.08G0003480-1A transcript:Sspon.08G0003480-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHQQQRQQQAGAGASAASSAAAVDFEDYLPVMAERLGEDGLMRELASGFRLLMDPARGLITFDSLRRNAPLLGLGGMSDADLRGMLAEGDFDGDGALSEMEFCVLMVRLSPELMDEPRRWLDDAVDQASRFLFTS >Sspon.06G0013250-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:52380866:52383493:-1 gene:Sspon.06G0013250-4D transcript:Sspon.06G0013250-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMSASSSSSRALLRRISGALLRRSFSDAAAGPDSAAAGYHVAGGPSYMRGAVFWEPGRPLTLEEFRMPRPKAGELLIKTKACGVCHSDLHVLKGELPFSSPCVVGHEITGEVVDHGTHTPAEIINRFPVGSHVVGAFIMPCGNCFYCVKGQEDLCESFFAYNRAKGTLYDGETRLFLRGNGKPVYMYSMGGLAEYCVVPANALAVLPDSLPYTESAILGCAVFTAYGALRHAAEMRAGDSVAVIGVGGVGSSCLQIAKAFGASEVIAVDVLDEKLQNARTLGATHTVNAAKEDAVERIKEITDGRGVDVAIEALGKALTFSQCTKSVRDGGKAVMIGLAAVNVMGEVDITRLVRRQVKIIGSYGARARQDLPQIVKLAESGAFNLQNTISRKCKIEEANDAYEDLNQGKIVGRAVVEIME >Sspon.06G0005970-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:18022817:18025247:-1 gene:Sspon.06G0005970-2B transcript:Sspon.06G0005970-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVQEDSRPSGALGGLYGVQFAGRSLYSDDVAVKTSIMDSSTCEPQEDNGSSQRLLIWRLWQQRPPCLKPIHCSLSCDKHVGETIANVVTSLPFIVLGLQTPRKNLNTALYANSLIGVGIASSLYHTSRGEIRKYLRWADYTMIATSTLCLSRALRDENPKFLMAASTLLLPFQPLMVSAVHTGIMEVSFAKRASIDPELKMAHNLHKMSSLLGGALFIADDVFPQTSYLHAAWHLAAALGVGTCNRLLE >Sspon.02G0057040-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2D:42634971:42635417:-1 gene:Sspon.02G0057040-1D transcript:Sspon.02G0057040-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLGVGAETSRRLPLPPRTTTHRRSLTREVEEQAMRGRRTPVESGGANKGRRNRRPFASRAAAIARERGFPSRQSPREPPRRRPKSPARAATSTARKKRGFSTFPESQAERVVLAPQSQATSGANATNTETCSPRGQPSPWAALAKAP >Sspon.07G0015650-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:53569627:53571820:-1 gene:Sspon.07G0015650-4D transcript:Sspon.07G0015650-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SCPPSLGFFLPDAPPPSRRSDSPLRTQVKKEEEEFSTGPLSVLMMSVKNNTQVLINCRNNKKLLGRVRAFDRHCNMVLENVREMWTEVPKTGKGKKKALPVNKDRFISKMFLRGDSVIIVLRNPN >Sspon.05G0017230-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:67628764:67630111:1 gene:Sspon.05G0017230-2C transcript:Sspon.05G0017230-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPHRVSMTHALIKAYGLLDDMDRLRVAPATEEDLVLAHDPEYIRFLRDLTPADYNADAETKREAAKKYKLGEVWNEFTHYVTNDNPVIENLWDYCRCYAGGSLAAARALASGKYKIAINWSGGMHHACEGEVGGFCYVNDIVVTIKALLHHGRRFIRRVLYLDIDAHHGDGVETAFVEDSRVLTVSFHQFGGEFFPRTGDAVDVSELPTGDDGVCPTLINVPLQAGTRDGRYHQLFGPIVDRVMAVFEPDAIVMQCGADLLAGDRLASLGLSVRGHAKCVSIVKGYSLPLLLLGGGGYTINHVASCWCYETAVAIGKEIPDDIPQHRYQDYYKRQGYKLHYHKEHSSTSRNRDMRSKDKITMDKVLNHLDLLSSQLMPKLRPSEQLDEKLPRATPHADGDDALVDCSPWVEDAVERLHRRC >Sspon.06G0023920-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:48735840:48736216:1 gene:Sspon.06G0023920-2C transcript:Sspon.06G0023920-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKCLEHTSIRGLCLLFMVLLTSSIVHAQIIRGETKEDSNTKSMMMTTTSPTSYVIGMDGNDSRCFKDKKTLWYICKKTLYCFKTLADCLSHCLM >Sspon.03G0003820-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:19195101:19199720:1 gene:Sspon.03G0003820-2B transcript:Sspon.03G0003820-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MANLGGMLYAVILLVLCTGYVDVARGQTTDPAEVNALKAIKGSLVDPSNKLKNWGSGDPCTSNWTGILCNKIPSDSYLHVTEIQLFKMNLSGTLAPEIGLLSQLKTLDFMWNNLTGNIPKEVGNITTLKLITLNGNHLSGSLPDEIGYLTNLNRLQIDENNISGPIPKSFANLTSIKHLHMNNNSLSGKIPSELSRLPALLHLLVDNNNLSGPLPPELADTRSLEILQADNNNFSGNSIPAEYGNIRTLLKLSLRNCSLQGAVPDLSAIPKFGYLDLSWNQLKGSIPTNRLASNITTIDLSHNFLQGTIPSTFSGLPNLQF >Sspon.08G0007800-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:26563319:26569798:-1 gene:Sspon.08G0007800-1A transcript:Sspon.08G0007800-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAVAAEVPIRGADAVPAAEREAVRAAAAEAAAKGGEGESRKEEVRDYESDMRKLEELFSKLNPSAEEFVPPSRRRVDGGARRLSADAPVFVSPAIDYYARHHQLPPPLQQQQPMHVLQFVGGVGGGGMGGGGGRDSSSDGSVNGQPNRRRRNGFIQGRRRMMGGRPRRADREDSVRRTVYVSDIDQHVTEQKLAEVFSTCGQVVDCRICGDPNSVLRFAFIEFADDVGAQAALALGGTVLGFYPVKVLPSKTAILPVNPKFLPRTEDEKEMVSRTVYCTNIDKKIGEDEVKQFFEGTCGEVSRLRLLGDYVHSTCIAFVEFVQADSAILALNCSGIALGTLPGEPIKDTGPPSIAPCDVILSSAHLKPSSRAANFWVLSLSRMALETVVSRISNCC >Sspon.03G0021700-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3A:66056528:66057298:-1 gene:Sspon.03G0021700-1A transcript:Sspon.03G0021700-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPWWAWPSAAINQTSFVFFGDNDPPTHAPQARVGTRATGYIRPPWRLLHKYLEEVQLTPQPDTFAWRLTADQNYSAASAYGAMFLGSSQPFGARQVWKTSTPPRVKFFWWLVVHGRCWTGNRRYRHGLQDSNTCIICDQTAEAMDHILLKCPFAREVWGRWLMKLHLSDMVTIQHGPAISWWIQFRKRIPKTKERNARTFNGVATTPAQLESLIQDEIDNWCLAGYSQLRTLMAFAC >Sspon.06G0021710-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:18918684:18919806:1 gene:Sspon.06G0021710-1B transcript:Sspon.06G0021710-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVDHYAEDSDSAWHADSIYKGRHPTGFSQRSPTIAVAISKPRCSMDVMAASSSTDNSELLPASRETTQAAAGARVVKCEGVVFTVTEGNEVAQAARGGAAAARVLGSESFFDAATCTRRHFVDVQGKAEAMLFLVSVREDQRCIVDVQRFS >Sspon.03G0000320-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:7649037:7655547:-1 gene:Sspon.03G0000320-1P transcript:Sspon.03G0000320-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQLQQQQQGLDIDKLQQVVKTRWLKPQEVLKILQNHELFTISHKTPQKPQSGSWFLFNRRVLRYFRSDGFEWQKKKNGKTINEAHERLKVDNVDALNCYYARGDKNPTFQRRIYWMLDPAYEHIVLVHYRDVLEGSISVSARNDSSTSNQNGSASRADVHSSPGWTSELIVQCPNSCSPGSAEEVSSRTSASESDWIQHKAALRKLKMQLSLEDKEDCDVNAEEVPANNEPIILPGIQNEELDTSRNHDDIFDVLDFSEDHINGTGTHPCPSAIDVLKNSDTWLEEDQLEAILHPACMTLTENQWFKIHEVSPESAFSSESTKVIIVGDFLCNPPHSSWEVLFGDVKVPVEIIQQGVIRCHTPCFNAGKVRMCLIDGNGKSCSEAREFEFLEKPTKGMIDGNRNPCNEARDSRIHQIPTKSSDELSLLLHYVRTLFDGHACGLFSNFSSPLPNLGCEFQTNQMDIIKTYEQLDPENTVNSVMEALLNDKFKQWLSSKCEQNIDGDHLLPKQYHSIIHMIAALGYVLALKPLLNSGVPINYRDANGWTALHWAAKFGREDMVAALLTAGAAAGALSHPTSEDPAAKTPASIALAYGFKGLSAFLSEAQLTTHLDSIESKENGKLDSREGGICRAVDRISDKSSHVHGGTDDQLALKDSLGAVRNAVQAAGRIQAAFRIFSFKKKKEMALQNRNSCFLSISETGAVSHGMLEKAALSIQKNFRCWKKRKEFLRIRNNVVKIQARVRAHQERKKYKELLSSVGVLEKVMIRWYRKGVGLRGFNSGAMPIDEEVDEDVAKVFRKLRVETAIDEAVSRVSCIIGSPKAMQQYRRMIKRYQQAKMAQATANRINNQAGCEPLV >Sspon.07G0018530-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7B:72696409:72700352:-1 gene:Sspon.07G0018530-2B transcript:Sspon.07G0018530-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLALLLPRIRLSPAAMPSTRSASASRLLVRADLNPVVREVKRESSVSFDIPKPKATASVKRKRVKRELEVNGEHHKKQFGVVPDIEDFRYQKAKTLTSSSKATSSLVGAPDNWEAVLGGIKSMRLSGEAPVDTKGCEKAGSLLPPKERRFAVLISTMMSSQTKDEVTHAAVERLSENGLLDPDAIVRTDETTLANLIKPVMSIAWKNTQGICVDTHVHRISNRLGWVFREGTKQVA >Sspon.06G0006740-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6A:24553758:24554342:1 gene:Sspon.06G0006740-1A transcript:Sspon.06G0006740-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPDQLTTAITDLARSVAAIQSYLGIPPLQPASWLLSQSAVVSLPPVFPYGMPGYGTTLLPFQDVQPTMQPTSQQIKQRKDIVVQPMLIHQVSAVMRLQAAARGLRARRRLQEMRQKMQEAVLAAVRLQAATRGLLARRQAREMRGLQLVPVPVLLCFTTRLRFATWRAPISFAASWRSGVASPPRMANSVSTA >Sspon.01G0041780-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:55109410:55117055:1 gene:Sspon.01G0041780-1P transcript:Sspon.01G0041780-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGAAATGPPAMAPGAGGGASIVHILMREKMAKELDGILDSSDAETAKSCTSEGSTSFLERIITPIYDTMAAEAENNKNGKAAHSAWRNYDDFNEYFWSRSCFELGWPPAEGKTNFVEHRTFLHLYRSFHRLWIFLLLMYQLLAIIAFHHGKMDIDTIKILLSAGPAFFVLNFIECCLDVILMKVLEEKNARNSDSTYFRIYGLVLGGYAAVRIMFALMAKIPACHRLSSFSDRSQFFQFFKWIYQERYYVGRGLYESISDYARYVIFWVVILACKFTFAYFLQIKPLVEPTKIIVQLHDLQYSWHDLVSRGNKNALTILSLWAPVLAIYLMDIHIWYTLLSALVGGVMGARDRLGEIRSIEMLHKRFESFPQAFAKNLSPPRISSRPIAQDSEITTKMYASIFSPFWNEIIKSLREEDYISNREMDLLMMPSNCGNLRLVQWPLFLLTSKIMLANDYASDCKDSQYELWDRISKDEYMAYAVKECYYSTEKILHSLVDAEGQRWVERLFRDLNDSIEQGSLLVTINLKKLQLVQSRLTGLTGLLIRDETAGRAAGVTKALLELYEVVTHEFLAPNLREQFDTWQLLLRARNDGRLFSKIFWPKDPEMKEQVKRLHLLLTVKDSAANIPKNLEARRRLQFFTNSLFMDMPTAKPVSEMIPFSVFTPYYSETVLYSMSELCVENEDGISILFYLQKIYP >Sspon.06G0035000-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6D:62960558:62961590:1 gene:Sspon.06G0035000-1D transcript:Sspon.06G0035000-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGATVTVEEVRKAQRATGPATVLAIGTATPANCVHQADYPDYYFRITKSEHMTDLKEKFKRMCDKSQIRKRYMHLTEEYLAENPNMCAYMAPSLDARQDIVVVEAWFPLSAEISRYFRYILFIRRCR >Sspon.02G0017420-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:54459429:54464418:1 gene:Sspon.02G0017420-2C transcript:Sspon.02G0017420-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SRTPPQPTSRSRPAPPPTPAPRDRILHLLLRRACRMQGEVAATAGGGTPHAAAGEAAALPQPALCTALAFHAERHAVALPFHDHDIPAPPRKPKAAGRRYIPAPPRKPKAAGRRCADPAFRGRRGPLLGVFATMLRQTLHSTTRMGLPLMERHNYCSFGDSIGRMARNEGVRSLWRRSSLTELSDAQESIKCMRHAKRIVLTVDDVDSALGLRNVEPVYGFASGDPLRFKRAVGHKDLFYLDDREVDFKEIIEAPLPKAPLDTSVVAHWLAIEGVQPAIPENPAIDAIVPPTENKRSEHGKDDGLPVDVKLPVKHVLSKELQMYFDKIAELTMSRSDTSLFKEALVSLAKDSGLHPLVPYFSYFIADEVTRSLGDLPVLFALMRVVQSLLRNPHIHIEPYLHQMMPSMITCIVAKRLGHRLSDNHWELRDFSANLVALIRLLLLPNLVTYMQLLEPELQLEKQKNEMKRKEAWRVYGALLPPLKKMATDVTANSMASASMGGNMQGAMDGFSNQLANPGMMQASSSGQMVESIPSAAIRRDQGSDLAQRVSAVLRQAWKDDQDTGHLLGSLYEVFGEAIFSFVQPPEISLFV >Sspon.08G0017210-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:302394:304379:1 gene:Sspon.08G0017210-2D transcript:Sspon.08G0017210-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MYPLWHSSFQKLKDAVYDAEDLLDEFRWYKLKLETEGNNDASQMSPFIDFFHSVTHGSFNKVTDIQTRLGHLSAQLEKMGMHEATPRFDKSVRPPTTSFRTEPKILGRDKELQEVMRLLGVPASDHGSRCRCRSTSKRKRTASSAADSTEPVRIPSIPVLPIVGIGGVGKTTLAQEITTRPSVKSHFDNNIIWICVSDDFDEERFTKILINSLSTKEATADNLDDLQQVLAEEARKKRFLLILDDIWPAALNDGQRWRKFCAPLTEVLKGSMLLVTTRFAEVANIVGTMESFVLEGLKEDVFWDFFKLCVFGSEDSHIDPQLEQIGKSILVKLKGTPLAAKTIGRLLRKSLTSAHWNDILNNELWQIEQKESDILPALRLSYMYLPFHLKRCFSFCAVYPKDYNFEKAILADIWVAEGFVEPQGSIPLQHIGEQYFEDLVNLSFIHKLRGKYVIHDLMHDMALLVSKDECFIVKNTSLFKKVPPNVRHLSILSSSGVNFSTLMRLGKLTKLRTLLYNKQHSRSDIASLMMDRWFDELGCLRVFFCAFKMEVLPERIGNLKHLRYLGISRNCHFNNVPLSFGSLYNLQVLYARECTFERLHGVGKLINLQKFESHILEMKVDAAELGEQIGLISVESDGEISGIGVRSLLSPSLYLFCSFTI >Sspon.01G0032360-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:110382590:110386920:-1 gene:Sspon.01G0032360-2D transcript:Sspon.01G0032360-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AVERPEADVVVIGSGLGGLCCAGLLARYGQDVLVLESHDRPGGAAHSFDIKGFSFDSGPSLFSGFQSRGPQANPLAQVLDALGQSVPCASYDSWMVYVPEGQFLSRIGPTEFLKDLEMFVGVDAVQEWKKLLDAVIPMSAAAMALPPLSIRGDLGILSTAAGRYAPSLLKSFIQMGPQGALGATKLLRPFKEIVDSLGLKNPFVRNWIDLLCFLLAGVKSDGALSAEMVYMFAEWYKPGCLLEYPLGGTGAIIDALVSGIEKFGGRLALRSHVEKILIENGRAVGVKLQSGQVVRAKKAVVSNASMWDTLDLLPPNVVPKSYEDRVKATPQCESFMHLHLGFDAENAREDLGIHHIVVDDWNKGVDGEQNVVLISVPSVLSKDLAPPGKHILHAYTPGTEPFSLWEGLDRKSAEYRRLKEERSEVMWKAVELALGPKFSREKCDVKLVGTPLTHKRFLRRNRGTYGPAIKAGEATFPGQATPIPQLFCCGDSTFPGIGVPAVAASGAIVANTLVPVSQHSELLDAVGI >Sspon.06G0034840-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:56813283:56819035:-1 gene:Sspon.06G0034840-1D transcript:Sspon.06G0034840-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMFWIQMLVAEVLRAGAYISRKPQFLYLSTKSRPYHFSQSRLMAMAAALASGDSQSGPQRNYQVVVAATRDMGIGKDGVLPWKLLGDLKFFKELTLTTSDPAKKNAVIMGRKTWESIPVKSRPLPGRLNVILTRSGSFDFATVENVVICGSMKSALELLASTPYCLSIEKVFVVGGGQVLRKSVAETHESNGKESTEVDTKNDKFEIENFSFLPKMVYDCHEEYQYLNLVEDIIRSGAQKNDRTGTGTLSKFGCQMRFNLRKNFPLLTTKVLQEKGIHIWDGNASREYLDRYTDMHADYTGKGFDQLMDVIDKIKNNPDDRRIILSAWNPSDLKKMALPPCHMFAQLRTFAFNLFTFQFYVENGELSCQMYQRSADMGLGVPFNIASYSVLTYMIAQILKINPSKKDIDSFMASDFKLVGYDPHQKIEMKMAVWLSCGAPAFGLGG >Sspon.02G0001990-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2A:6541270:6541708:1 gene:Sspon.02G0001990-1A transcript:Sspon.02G0001990-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding QKSDDEDRLSMLTDDVLLSILESVDITTATRTSVLSTPCKHLPWLLRELTIDVREFLSVPPPNPIEVGHMDEAMASLTKVAISFLATPRSESTITRLQLKLYLVNTYSDVIGPLISQAIDIGTLEDLDLSIVDEKEPDDCYEEEM >Sspon.07G0015250-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:38201796:38202896:1 gene:Sspon.07G0015250-4D transcript:Sspon.07G0015250-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSLLCSRRNHKKDRDGEQVQVIMSTAAAVMRKGPWTDEEDEQLVRFVRLFGERRWDFLAKVSGLRRTGKSCRLRWVNYLHPGLRRGRITADEERRIVELHAQWGSRWSRIARSLPGRTDNEIKNFWRTRTRKRALEERRAAAGAAATAEPASSSSPSSSVTTSECRPGSPTSSGSSSSSLREGRGGHGDDDAELEEWTPTAAPATKHEQQQPQPQEYYDGCSSMDQLWNEIAAADAAAMSYLVGDACWGGAGHYYYGAAAEPPPASPPWEYCGSDFSLWRIDDQEYYKKMLDSS >Sspon.05G0025480-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5B:23972184:23973133:-1 gene:Sspon.05G0025480-1B transcript:Sspon.05G0025480-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIQPNGTDTNFGINTIGIVRAPRGDGKEAIVLVSPYNSQSVQSNELLSLALGFSVFSLLSLAAWLSKDVVWLSADSQFGEYAAVSAWLNRYHNPVFLSQSVILHTKMYGANHINDGNSEKTEVTAFKRAGTMAAALIFKVGETRRYGDRDSVMMYAEASNGQMPNLDLLNVVHYLAVHRQGFRVNMAAFSSLLSSAWLRVIAEFLHTLGSVLRKINPNWKLDIAVPDYVEGTANLANSIYSQALGVPTGSHGAFRDYQVDAVSLEFSPTFHVRNENAKSLFLLRGG >Sspon.02G0002410-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:6777949:6779746:1 gene:Sspon.02G0002410-2B transcript:Sspon.02G0002410-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLVNGVLNWVGTPAMVASLLLFYPPYYLFKTCYSFLSWLFPEDLAGKVVLITGASSGIGEQLAYQYALKRASLALVARRESSLRQVADRALELGARDVIVLPGDVSAPDDCNRFVQTAISHYDRLDHLVCNAGIASVGAFQEIPDVTKYSSQLDVNFWGSVQTTFAALPHLKRSRGRIVVTASATGWNPVPRMSFYNAANAALINFFETLRTELGNQVGITVVTPGWIESEMSKGRYLKEHGEMEVDQEMRDAQIGLFPVEYAKNCAKAMVQAAQQGERYLTVPAWFRAMYLWRVFAPEVVEICYRLLYMHGHGARQANTASRTMAESGGKQLLYPTSLRSEEIKSD >Sspon.01G0032600-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1A:109873301:109873519:-1 gene:Sspon.01G0032600-1A transcript:Sspon.01G0032600-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRREDRSVALPRRRMTRAVDLAVCAHTVVLVPLQSRAHEQIQLHVRLCLSVFSILFLRSTSSCLDLFAVSAR >Sspon.02G0045690-2D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2D:88276946:88277458:1 gene:Sspon.02G0045690-2D transcript:Sspon.02G0045690-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTTSMSSVLFLLLAAFAAGASAATFTIKNNCGYTVWPAGIPVGGGTQLDHGQTWTVNVPAGTSGRFWGRTGCSFSGGSGHCDSGDCAGALSCTVSGQPPATLAEYTIGGTGNNQDYYDISVVDGYNQPMAFSCSTGVGLVCTYAQCPDAYLYSTDDTKTHSCSANSNYQ >Sspon.01G0036500-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:18654397:18655841:1 gene:Sspon.01G0036500-1B transcript:Sspon.01G0036500-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLARALLVVAALLAQPLGSRSGDAGAGDLHPVVLVPGYGSNLLEAMLTAAYEPPAPACAAGVADQGWFPLWPNHTAMRDASQVPCFADQMSLVYDAGADDYRDADGVATRTPFFGSARALIGWDRLVQQLEGMGYRDGETLYAAPYDFRYAVAPPGHPSAVGDRYFRDLGRLIQASRLNRGRPAIVVAHSFGCALTYQLLLSRPLAWRRRYVKHVVLLGPALGGFAAGMYVLSAGMDYGLPNVTRPTMLRLARSQQSALWRLPTPLVFGDRPLAVTTTATYSARNMSEFLEAIGFADGVRPYLTRVLPMWEALPAPMVPVTSVIGFGVRTPETYVFGTDGLEGDAEVLYGDGDGDINMVSLAAVERWSEVEGQVLKVVRLPGVHHDGFFSTDFAVKSILAEISEAGDSIELIGVVSCQQKCSD >Sspon.08G0005370-1P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8C:16757084:16757269:1 gene:Sspon.08G0005370-1P transcript:Sspon.08G0005370-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGASLCCFGSGGGYCADDEQPRRSPRKVRPSDEDGLWYVAERDVDMKASAYIARFHATASS >Sspon.01G0052300-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:20875000:20877698:-1 gene:Sspon.01G0052300-2D transcript:Sspon.01G0052300-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial succinate-fumarate transporter 1 [Source:Projected from Arabidopsis thaliana (AT5G01340) UniProtKB/Swiss-Prot;Acc:Q9M038] MASTSSSSLPQPPPAAAAAASPGAASPSEERSAGGGGGGRPPVPPYVKAAAGSLGGVMEACCLQPIDVVKTRLQLDRAGAYRGIAHCGATVARAEGVRALWKGLTPFATHLTLKYALRLGSNAVLQSAFKDPTTGKVSAHGRLASGFGAGVIEALLIVVKIRLQQQKGLSPDLLRYKGPIHCARTIVREEGIFGLWSGALPTVMRNGTNQAAMFSAKNTFDIVLWKKHEGDGKVLLPWQSMISGFLAGTAGPVCTGPFDVVKTRLMAQGRTGDIKYKGMVHAIRTIYGEEGLRALWKGLLPRLMRIPPGQAIMWAVADQVMGLYERTYLQPVHV >Sspon.05G0013120-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:41673984:41676409:1 gene:Sspon.05G0013120-1A transcript:Sspon.05G0013120-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MWWYCYSSPAIPCLPPSCLLRHRRGPFGRLHAASRSRPRVRALEDATEATTAGEISRSRFTTLHNLLTHLPEPPVPSIFAGVGHATASASPWPTEREQWIVGNAVATVAVNLNTPAHVANHLAELHDLDQPILHVSGIDAVSMAGMCKRSGFTDAGVAAARPPRVTVVVVEAGKMSRPLLDPAKDLEKQEFDLCWAALGRPAALLGHARLRAWAGLRSTASCLGRFVWVGRAVLEVIHPWCWCRPPVVLMSNLTSTRTN >Sspon.07G0009950-3D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2D:4663967:4664884:-1 gene:Sspon.07G0009950-3D transcript:Sspon.07G0009950-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMTNHFRMYSYRELVKATEKFAHELGWGGTGDAYKGILDDDRVVVVKKLGNIRHSREEFHDELHVIARINHMNLVRIYGFCSERSHRMLVLEYAEKGSLADVLFKSKTSLDWKQRFNIALGVAKGLAYLHHECLEWIIHCNLKPENILLDQDLEPKITDFGLAKLLSRSGPTQNVTRARGTIGYIAPEWISGLPITAKADVYSYGVVLLELVSGTRVFDLVKGEDERVHVMLKKFIKMLSYKLDKDEPFWIAEFVDFRLDGEFDYSQVKGLIKLAISCLEEERKKRPTMESVVESLLSVNLAGIQ >Sspon.04G0028060-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4B:63716764:63718127:1 gene:Sspon.04G0028060-1B transcript:Sspon.04G0028060-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSPTDFNECVSKGELTTFMTEQHNLMTELTRNVNNLVTRIEQLEQRPPPHRAADEDVDDFGDDDADANADGYARRRLNFNRRAPNTPKIPVASQPSTSSLSSGVSQLSNVQKKGATPGASTSSSSSSSKIICHQCKGMGHVMKDCPSHRAFIATEDGYVSTSDVEDYLALTANIDADSTVDGQDKEAIIIDSVAAAADYPSLLVQRVFSTRVGHEDAMMIQRHNLFHMYFIVQGCRVLTIIDNGSCNNLVS >Sspon.08G0020140-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8B:17892053:17892454:-1 gene:Sspon.08G0020140-1B transcript:Sspon.08G0020140-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GWVAKIGDDVQKVGSSGLVWDERFLTEADAEAKAGDGAAEDPQPELRHSRSVGSIGMLRCGGGDSDNKKAKEKKQKQGHKEEARSNQQQLFRTKDVAPDMDPPSPRVSGCILCAIFGGGSGGAGKARRRSKPRK >Sspon.03G0042780-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:39245968:39246648:1 gene:Sspon.03G0042780-2D transcript:Sspon.03G0042780-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPNAVHIQMPTASQEEGPSSTQDATKKKQPQQQADTATPKSPPVRPALSCASSDLLKLLPTGTVLAFQALAPSFSNHGVCHGANRYLVLSLLSACTVSCVLLSFTDSLVGRDGKLYHGAATFWGFYPFNYTGTREEREATFKDLSRFRITALDFVHAFFSALVFLAVALADASIQGCLFPDAAPDVRELLVNLPLGAGFLSSMVFMIFPTTRKSIGYTDMTPHAQ >Sspon.01G0052350-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:21471786:21474758:-1 gene:Sspon.01G0052350-1C transcript:Sspon.01G0052350-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMREGDACVTLLRSKLHGLVERNRALEEENKQLRHQVSRLKGQISSLEGQDTDKRMLWKKLENYATSISYSKEKQFVQSNDVAKEAVDLNSSLYHSRQQFSRVNLVRSRAPRVPNPPPNPACTQPKTTTRKEGCMAPPPPPPPPPPPLLSKLQRSTKAIQRVPEVVELYRSLVRREGKNDAKSGPVGIPAATNSREMIGEIENRSAYVLAIKSDVENQGNFVNFLASEVQNAAYKEIADVEEFVKWLDGELSYLVDERAVLKHFPNWPEKKADAMREAAFNYRDLKNLESEASSFHDDRRVATPMALKRMQALQDKIEQGIHNTERARDSASGRYKDLKIPWEWMLDSGVISQLKKASLKLAKEYMNRIVNTLKSDPFANDEELLLQGVRFAFRIHQLAGGFDEGCRKAFQELKTYASKSE >Sspon.08G0010130-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:39208286:39213112:1 gene:Sspon.08G0010130-2B transcript:Sspon.08G0010130-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SWRSELVLAYQSLGVVYGEVATSPLYVYKSAFAGGDIDHSEGNEEIYGVLSLVFWTLTLITLLKYVSSSCAPTTTARAAHSRSTPSYAAGSVWGSSLAAADELKEQRDDALLPPPASSVRTALQQRRELQWLLLLFALLGTSMVIGDGVLTPAVSVVSAVSGLKLSMVNEQHQCRLIHLLLTFRSLLLDDDVVPAGSALIAAWNETILLYVLLPVTCVILVGLFALQHFGTHRVGFLFAPIVCLWLLCISTIGVYNIIVWNPHIYKALSPYYMYSFIQKTQVGGWMSLGGILLCVTGSEAMYADLGHFSQTSIKIAFTTVVYPSLVLAYMGQAAYISQHHNFERNHHIGFYISVPEKIRWPVLGIAILAAVVGSQAVITGTFSVIKQCCSLNCFPRVKIVHTSSTVHGQIYIPEINWILMILCLAVTIGFRNTKQMANAQGLAVITVMIVTTCFMSLVIVLCWNKNVVFALAFLLFFGAIEAVYFSASLVKFHEGAWVPIILSFTFLTVMCVWHYGTTKKYEFDVDNKVSISWLLNLGPSLGIVRVRGIGLIHTELVSGIPGIFSHFVTNLPAFHQVSCHAFCVLLMVIDVNANVRLCSHLNTLVKEWFWSSYALNPFLYHMSNLKSDFWSDALAETIQAIQVVRYGYRDVQQDSLEFEKALVSSIAEFIRSGDSDKMSPYERLSIISKGLPFQEADGEVEGSPKSSVQKDTNPNLVSSKSRRVRFVLPENTQVNSEVRNELKELTDAREAGMSFIMGRSYMKAKSGSSLMKRIAINFIYEFLMRNSRGPAYAANVPHVSTLEHKFVAGRLVSYPFK >Sspon.01G0023770-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:85359005:85360509:-1 gene:Sspon.01G0023770-1A transcript:Sspon.01G0023770-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DNAVSSSPAPLRFVIFPWLGFGHLLPYLELAERLALRGHRVSFVSTPGNIARLPALRPAAAPRVDLVALPLPRVDGLPDGAESTNNVPHDKFELLFKAFDGLAAPFAEFLGASCADEGKRPDWVIVDCFHCWAATAAVDHKVPCAMLLPSAASMAAARERQSSRAKPAAAEEPPAVAAPRYELEQQKKQYSVKDGASGMSIAERYYLTRQRCTVMAMRSSLEWEPEFLPLVAPLVGKPVVPLGLLPPSHDGGRGANANGEHAAVRWLDAQPTSSVLYVALGSEVPLRVEQVHELVLGLELAGTRFLWALRKPGGGVLDADILPAGFQERTSGHGLVTTGWVPQTSILAHGAVGGFLTHCGQNSLIEGLLYGRPLVMLPIFGDQGPNARFMEGKKVGLQVPRDEHDGSFDRHGVASAVRAVMLDEETRGVFVANALKMQGIVADKELQDRYIDEFIQQLRSYSGSAALPPAETSVQG >Sspon.06G0026580-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:79637228:79637924:1 gene:Sspon.06G0026580-2D transcript:Sspon.06G0026580-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MCQEKKSGGCAKWLHRFSLLFRVAGIGLAAVAAAAMATASQCTVYADYGARPYTITYSDFHAFVYLVAATSIATLLEAVALFLSWWKKGKCKKGWRVLIMLLLGAVVPALLYTSAGAAFAVGWDISYYLEPSGRHFSVCRSSVGDSFCGRVHVSMWLALGAAVAVSIAEFLTTLRWCHGCGSDSGSDSDSDSESVCGHGCHCKH >Sspon.03G0019740-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:61575996:61577872:-1 gene:Sspon.03G0019740-1A transcript:Sspon.03G0019740-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALLSCRAQEETKTTAHFGKNLIESYCDSEDGLAGWAPSGSCTLSAHAEDAPLPSLAATLAAADDDSDEEVQQQRVRKPSGRYVLAAHRASDKDGLCRAISRAPKPKVTYRVAGWVGVQGTAVEGSHAVHVEVRVDDGQRVGGGVAVVESGKWGEIKGSFRVDDDDEPPHHAKVYVHGPPAGVDLKVMDLQVSAVNNIPRIRHFRKKADRVRKRDVVLKVNQRTDGDTTASVAGAHIQVIQVQNSVPIGTCITKAGMQNPAYVDFFTKHFDWAVLENELKWYYTEAVQGQVSYADADELIDFCDRHGKPVRGHCIFWAVENSVQPWVRALNGDQLRAAVEARLRGLVSRYSGRFPHYEVNNEMLHGAFYTQRLGDDINAHMFRETARIDPAPALFVNDYNVESANDPNATPEKYVALVTDLQRRGAPVGGIGVQGHVTHPVGDVICDALDKLAVTGLPIWITELDVSAADESVRADDLEIVLREAYAHPAVEGIMLWGFMQGHMWRSHGQLVNADGKYTQAGNMFAGLRREWTSHARGKVDSNGNFKFRGFHGTYQVLLTTAAGEVKKRTFDVNKGDAPLVLDMDF >Sspon.02G0051010-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:71281386:71286199:1 gene:Sspon.02G0051010-1C transcript:Sspon.02G0051010-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding METSLVTYACLCGATLLIGWLLHWVYKWMNPPCSGTLPPGSMGFPILGESIQFFKRSSSLDIPDFYKIRLKRYGPIFKTSLVGQPLVVTADPEVNRFIFQQEGKLFRSWYPEAANIIIGEETIDGFHGPPHKFIRNSINKLFGLEYLKHHLLPELEGAITRNFAEWAAKCVIDVHDSTPDMIIDLVAKKLVSLNPSESRELTKNYSAFLQGLISFPLYFPGTTFYRCMQGRRNMQKIMSNLLRKRLTKPNVKHGDFLELIVEDLQTEKPTIDEKFATDALVALLFTSFVTLAPILTLAFKLLSDNPEVIKALEEEHEAIVRNRCEASSGITWEEYKSLTFTPMVVNELTRMSNATPGVFRKTMTDVQVNGYTIPSGWMVMVCPMAVHVNPEFFEDPLKFNPWRWQDESKRSTLLKSFMPFGIGIRTCPATDFSKLFTAIFLHVLVTKYRWTNIDGGEVGRMGVIIFPNGYKIQLLLPRT >Sspon.05G0002800-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:5826492:5829449:1 gene:Sspon.05G0002800-2B transcript:Sspon.05G0002800-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LAPHALPVSLTVAPHRPTHARPRSSASPPPPSFPSAPPLSRPRDPADSGELLLSPRARRRPLRRAGGDGDAECNRFPRGPYLAGCSEAGKPRVLGAGMQAAGACRWPGSRRRRVSARLPRMPCDECFSCADKESLAIAIVAGGCDKNGQVLRSVELYNSEIGHWETIPDMNLPRRLSSGFFMDGKFYVIGGVILLHSWCPEGGVDGADWEVLSVKERAGVFVYNCAIMGC >Sspon.07G0036620-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7D:39900515:39901514:1 gene:Sspon.07G0036620-1D transcript:Sspon.07G0036620-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DRATTYLLLFVDDIILTALSSVLLMHITKRLHLEFAMTDLRDLHHFLDIYHAIQRRPFLSHCQYAAELLQHVGRQRQRHRNDFRLFETFGGIRRSQKGQRRRHLDKVQGRGLKTMDTLRDEAKSEANRTKPTQVEAAKPHPEDSSRRS >Sspon.02G0001140-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:4041230:4041915:1 gene:Sspon.02G0001140-1A transcript:Sspon.02G0001140-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWKRLLVIGVVAVASAVVASGAPPPQPARIQADVVVTGFVPCNNGTSMRTGSCTGEGGAGALAANATTDGKGWFRMAVNTTVTPSSVARSCGLVVDTPLAACNATLPVTGALHSGLRLLVSMVFFPRGFSYVASSADDSPAA >Sspon.06G0021840-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:20400590:20402346:-1 gene:Sspon.06G0021840-1B transcript:Sspon.06G0021840-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pre-mRNA cleavage factor Im 25 kDa subunit 1 [Source:Projected from Arabidopsis thaliana (AT4G29820) UniProtKB/Swiss-Prot;Acc:Q94AF0] MGLEMEVMSEAAAPTAVPAAAAREHGVDIYPLTRYYFGARDAAAGVPRGLETAADRALRLKANFAAHGLRTSVHGVLLVELFDHPHLLLLQVRNSSFLLPGGRLRPGEEEVQGLKRKLSSKLSFVDDDQTIEEEDDWQIGECIGMWWRSEFEAIPFPYMPPSFRAPKECIKLFLIRLPMSRQFVVPRNMKLLAVPLSQIHGNAQVYGPIISGIPNLLSKFSFNVISD >Sspon.05G0015980-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:59782102:59787156:-1 gene:Sspon.05G0015980-4D transcript:Sspon.05G0015980-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSFGELHSISFVEQPSKWTFSSYTILKTAEQAPRTPSFADQLIEADNGLGEVMKPPEKSFWAKYWMYIIPLGLVVMNAVTAAANIPEEADNKGGLIFSTVAKEFGIHFRNSTKEDILMITMQIHFSCIFHGKQSEELFVKCDKGGCFFAEE >Sspon.02G0008690-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:25049917:25055436:1 gene:Sspon.02G0008690-1A transcript:Sspon.02G0008690-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Enoyl-CoA hydratase 2, peroxisomal [Source:Projected from Arabidopsis thaliana (AT1G76150) UniProtKB/Swiss-Prot;Acc:Q8VYI3] MATSSKPTAPVDPEVVLAHEFPEVEASPLFLATSPVRPPSRSHVLIPSSVLRRDVALYALGVGACGDDAVDEKELHFVHHRDGQPNIKVLPTFVSLFPNKNSNGLGFVDVPGLNFDASLLLHGQQYIEIYRPIPSYASVVNRVKVAGLHDKGKATVLELETTTSLKESGKILCMNRSTIYLRGAGGFSDSSRPYSYATYPAHQVSRISIPNSAPSANYPIQKQNLLKLLGLRQGFTSSVTM >Sspon.07G0000100-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:8271348:8274326:-1 gene:Sspon.07G0000100-2B transcript:Sspon.07G0000100-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding PWAALDPLPKSTENSKKLKKPKAWKHTQPITPAQLKQMRDEFWDTAPHYGGQTEIWDALRVAAESDLALAQTIVDSAGIIISNPDMTLCYDETGAKYELPKYVLSEPTNLIRDG >Sspon.05G0026360-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:33246613:33250813:1 gene:Sspon.05G0026360-2C transcript:Sspon.05G0026360-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTGGADKPGSGGGGAVKTPSDFLKSIRGRPVVVKLNSGVDYRGILACLDGYMNIAMEQTEEYVNGQLKNKYGDAFIRGNNVLYISTSKRTLTDGA >Sspon.07G0009940-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:29068669:29070218:1 gene:Sspon.07G0009940-1A transcript:Sspon.07G0009940-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSKNHTAHNQSYKAHKNGIKKPKRHRQTSTKGMDPKFLRNLRYSRKGNKKSGEAEAEE >Sspon.06G0023440-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:43499677:43501907:-1 gene:Sspon.06G0023440-1B transcript:Sspon.06G0023440-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGSKYRSKGYAMANAGRKLPYVFLLLVALAAGVLSIVVLQKVREQRVFAGRLQERDRQLVSVRILLQKEKAINKEMKRKVEEMKATTSSLRSQKIDQKTKLKGLETTVANLKKTQKDLEAALAEKDNRINLMEEAATNLKKARKQLEATLTEKDRHIKQMEEKAKNATNTQEELEAALSEKNSRIRQMEEKATGSNPDQMAALMEILQRKEAELEEIKTRFQDFKKPDRAEVNSKNTPMQMNNASATPDAVLVTKATNSSSLALPIKPEEKRSANSTAVGNAKPEEKRSANSTAVGNAKPKRSANSTAVGIAKPEEKIPATTVAENKRPIQRSLEEKPVKSTTSMEEDGIQGNLNDFDGVIDFDDIYGESRSKKSGSPRRNKMDGIGQSENSLDQDSDRVRYNRLLEKEHKTKKNNAQSTLEKISKDNSIGASHTASKKAVEGVTGAAGVKPNIKIPVNSDEAKQQNSKQKKKRSKSEKKMADIAVGNVGGVFAKQRTLDATSVS >Sspon.03G0002650-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:6413849:6418119:-1 gene:Sspon.03G0002650-1A transcript:Sspon.03G0002650-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVTQPGSSGDCWRTRAGSGSCGHDDVRRAGVYQAVEEGVRGDDSGAAASVREVAIAPCRVGVSVHPWIGCSRSTLLASSRTTAPGLGIYGPSSMSYAFIQFHVHKIMHNIDLFCATGDTPPYGRSLAKRKVILVRAYSLSSSFPFCCKRFYTVLLWRRVLAFLVASQFLRIITFYSTQLPGPNYHCREGSKLATLPPPNNALEVLLINFPRGVLFGCGDLIFSSHMIFTLVFVRTYYKYGSNRLIKLLSWLMAIIQSLLIIASRKHYTVDVVVAWYTVNLVVFFVDKKLPEMPDRSNGLSLLPVSTKDKDGRMKEELHKLEKDGRMMDEFHKLLNGNTVDATDWVLLITSQFLNYLK >Sspon.07G0017090-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:84117554:84118030:1 gene:Sspon.07G0017090-2C transcript:Sspon.07G0017090-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIPPPAGAKPAPHLGSALPGGVRGAALLLLVAARLRPRLVLLHHHLLRLGARVARGAVRVRHVRRGVRAALHGGRAGRGRARHARHLDHRPRAARLRGAPAPVARRRGPPRHQGHRGARAPRAAARGQRRRRALRRRQLRRAPPRPPRRRRRFLMNQ >Sspon.04G0033120-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:44883673:44887960:-1 gene:Sspon.04G0033120-1P transcript:Sspon.04G0033120-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSSSPSPLPPPMIGRAGNLTVFITPPSPASTPRGASRAPPPESRRSDFSTSTPQRAAPSPSPSPSPRNHESPVAAPAVVFTPPPPPAPVKVAPPPVQVPPPQYEKASVGGKHDGSAFGFFWDAVARVQEAHASLDEYVATWFGLDQSKYQWALNDYYEATGKEVDCVKGGKPKELTTTKVQKV >Sspon.02G0048020-1P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:20565482:20568105:-1 gene:Sspon.02G0048020-1P transcript:Sspon.02G0048020-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAIAATISQYQWKQVIAIYVDDDYGRGGITALGDALAKRKCKISYKAKLPPGAAKTTIKDILMQVNDMESRVYVIHVNPDSGLNVFSAAKSLGMMSSGYVWIATDWLSAVIDSSVHGNPDVMELTQGVLVLRQHIVDSDIQHAFLSKWNNLTRNASSYFMHAYDSVWLVAHAVERFLREGNAISFSSDPNLQAKKGSSLQLDSLRIFNSGDKLLEKVWSVNFSGVSGPVQFTLDRDLVHPAYDILNVGGTGLRTIGYWSNSSGLSVVAPESISSSSLDSSVNNVELRSVIWPGQTSEKPRGWVFSYHGKPMRIGVPLRTSYKEFVMQDNGPDGVKGFAVDVFKAAISLLPYPVSYKFVLFGDGLKNPSYSELVQKVSENYFDAAVGDIAIVTNRTRLVDFTQPYIESGLIIVAPARVIESNAWAFLKPFTFRMWFSFSTMFFAHRENTVSALGRFVLLIWLFAVLIINSSYTANLTSLLTVQELTSGIQGLDSLISSSSAIGYQVGSFSRNYLVDELNIAEPRLVPLNSPSDYARALELGSGNGGVAAIIDELPYVEIFLSKYCKFNTVGQVFTKSGWGFAFPRDSPLAEDLSTAILALSENGNLQRIHDEWLSGTECAADNNGAGSNSLSLSSFWGLFLICGLACLVALVIFFLRIFCQYSRYSNQVEAQFPEPQQILNRPARLTTIKSLISFVDKKEEEVKNALKKRPNG >Sspon.04G0026800-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4B:50581010:50583616:-1 gene:Sspon.04G0026800-1B transcript:Sspon.04G0026800-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNSVLTATATYTMCTLKLPKGVIDNIDRARKQCLWRGSDMSKKGGNWAAWPMVQKPKNKGGLGVINLSVQNDALLVKHLHKFYNKVDVPWVNLIWSKYYTDKVPHASREVRSFWWKDVHRLSYIFRNIARRSLSDGTTITFWDDCWSGNEVLAHHFPRLFSFARNPSITVSKVMAAEDLDTLFSLPLSQEAFEELETLGPCLDDIKIPRLGQFLELQAFDEESKDTWIYQWGNTTYSSSKLYKLAFQNLPEHPVFSWLWKSKCTPRVKFFAWLVLVDRLNTKTMLRRRNLSDEDDDEHCVLCPAGTEEDLDHLFFDCPFAKRCWEKIGMYWITSLSLYPRIAHARQQQNIPFFMEVVTIAAWEIWKIRNERVFNNGKSTSTFGLRTSKTNVFFSRVVGNSPTVLPSKENKSQGTVSIWNFDGKIAFQDILNATECFDEKYCIGVGGYGSVFRAELQGGSIYAIKLLHSVEDFTDEKSFHAEIQVLTKVRHPYIVKLHGYCSHSKCKFLVYDLIERGSLASNLQEEQLAKEVNWSKRIAIVKDVAQALSYLHHDCDTPIIHRDIKSSNILLDGDFKACVSDFGMARKLKKHSCSSWSTIFAGTCGYIAPELSSTMVFTEKCDVYSFGVVAMEVVMGKHPGDLLLSFFCRTEIHMKLNDILDKRIIEPKSDEEDIILLVLVAFACLQVCPKARPTMEQVHQALTNRSCPTAILLRPIHDIKLQDLHDFCRTIQNI >Sspon.02G0030070-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:111183806:111186578:1 gene:Sspon.02G0030070-2C transcript:Sspon.02G0030070-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Adenine phosphoribosyl transferase 1 [Source:Projected from Arabidopsis thaliana (AT1G27450) UniProtKB/TrEMBL;Acc:F4HSX1] MLFLHCHQQPRVPSPSASAPPFRPRVSVAAPLSVRVTGGRRAQAVVAMASADARLAGIASSIRVIPDFPKPGIMFQDITTLLLDPKAFRDTIDLFVERYKDQGITVVAGVEARGFIFGPPIALAIGAKFVPLRKPKKLPGEVISEEYSLEYGTDKIEMHVGAVQANDRALVVDDLIATGGTLCAAVKLIERVGAKVVECACVIELPELKGRDKLGDRPVFVLVEADA >Sspon.01G0044670-3D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1D:79259706:79260275:-1 gene:Sspon.01G0044670-3D transcript:Sspon.01G0044670-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAVDAGHAVDVYVDSASSDSRKLLGPRRRCSTYYGRGAGARKSAPAVAVVEASSDASFEFSAAVVSYSSSSPASMVFSDGQLRAHQFPAVRPAASAGSSKATSPLRSTSVGSSYSSTSTKQQAGVTNGSKKRVSFATDDGANKAAAAAAKAGGGQGKKSGGLLGCMGSACGPSSRNEAVEPVARNDNRK >Sspon.03G0004430-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:30363187:30367322:1 gene:Sspon.03G0004430-3C transcript:Sspon.03G0004430-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRAAPRFLQRRLLSSGGKVLSEEEKAAENVYIKKMEQEKLEKLAHKGPSSGEQLRQPQALQQVM >Sspon.04G0007350-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4B:17662293:17662907:1 gene:Sspon.04G0007350-2B transcript:Sspon.04G0007350-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPNLSSDVEAAAAAHGVDYLARAQWLRAAVLGANDGLVSVASLMIGMGAVNDGAREMLVSGLAGLVAGACSMAVGEFVSVYAQYDIQVAHSERGGSDDSSSGGRGGDEERLPSPTKAAAASALAFAVGAALPLLSGAFVRPWAVRVAAVCAASSLGLAGFGAAGAYLGGASIVRSGLRVLLGGWLAMAATFAILRLFSLALKTH >Sspon.08G0016810-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8A:66053940:66056162:-1 gene:Sspon.08G0016810-1A transcript:Sspon.08G0016810-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATTTKAATAWGRGYSGNGRSLAPTHLPIVVEDMNVFPLLPRRGFLCGHGDDADPTREMDQQHHGAGSSPSRHAASRDPLGVAASREQAPATRTGSWGLAAAHLQEGPAAEQCRYIQSRASSSQQQVVVVVLVVQRGQCARSRDDLLLMAHTRKKLATNNAYVEYV >Sspon.08G0014280-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:58580550:58583007:-1 gene:Sspon.08G0014280-1A transcript:Sspon.08G0014280-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MITGTSTRSSGEATNSTAGPCPTEVRPGHLARQLNERIHRITLCVRAGPQGRLTPLVMDLPSNRHAMEIIVLTTMSPGLAEELTRLPALTD >Sspon.06G0033400-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:14537110:14541437:1 gene:Sspon.06G0033400-1D transcript:Sspon.06G0033400-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRIQFENNCEIGVFSKLTNAYCLVAIGGSENFYSAFEAELADAIPVVKTSIGGTRIIGRLCVGNKNGLLLPHTTTDQELQHLRNCLPDQVVVQRIDERLSALGNCIACNDHVALTHPDLDKETEELIADVLGVEVFRQTIAGNILVGSYCTFSNRGGLMFYLANNKFMQVHPHTSVEDLDELSTLLQVPLVAGTVNRGSEVIAAGMTVNDWTAFCGSDTTATELSVIESVFKLREGQPTAIVEDMRKSLID >Sspon.01G0035000-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:38611907:38615421:-1 gene:Sspon.01G0035000-2P transcript:Sspon.01G0035000-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPRSPAAAEAEAAGATMVPSVGGVEPAVTLDQVPRWSDPDQRIFLASTPDEASAEGAGSDATSASGFISFSDPLTVDDGAGAGGRAGAASRFPVDQEINSRIYLWRGQPWNLEVDAVVNSTNESLDEAHSSPGLHAAAGSGLAEECATLGGCRTGMAKMTNGYDLPARKVIHTVGPKYAVKYHTAAENALSHCYRSCLELLIENGLESIAMGCIYTEAKNYPREPAAHVAIRTVRRFLEKQKGKIAGVVFCTTSSSDTEIYKRLLPLYFPRDKQEEEIAILKLPADVGDENGETVIDERKIRIRPLPAGVVDRTVSATLVDLPLSDSGSALKRGSFKLDSYLDPSFMSIIKDPDLRRKEQWEKSAQAQKGFNYARLLGYGDLACPSLSAAEEYSLHSRYLAKANSVNLSEIAEMKIMHI >Sspon.06G0017310-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:94051161:94056039:1 gene:Sspon.06G0017310-1A transcript:Sspon.06G0017310-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHGAMNRKGRRRLAAGTNHACEGFIIVCKRAIVLDEMKSTVFSAVVVSIGYALLGWDFAALVEANHHMEKEFELLNGPSIEGITLAASTFGAIVITIFSGALLDWLGRRAILVYSSLVLFSGGVLMLWSPNIYIVLLARLIVGSGSGLVFTCVPIYISETSPPNMRGLLGTMPQFMFFLGTIFSYCLIFWLTLMSSPNWRIMIGAISAPSIVYFALLVYYLPESPRWLASDGKISEARVSLQWLRGKKHDVSGEIAVIVEGVDIISDSAVGTARAQSFSGTSASHTWPRSTFYWQLSDPLVDLLGSIHGNMSEGGSRRNSFFPVFNSFSFPEHEHMNELRDGNSDQQTREAYSAGEVNNGDGLRASLLSQAASVEVNDTNTSFTSEGSSSYLRRHGTSVLAQEFMASIHDYDIEEEEEIHGFVSPHQSAPRDMESTGRHPFRHQIVRLSETADMKFKWRVLLQPGIRHALCYGMLIQALQQSTGISGLLRCAPEILEQVGVSWFSDIGLSPHSTSILICILHALLILPCITAAMLLMDVCGRRVLVLATTPILILSLSVMSMSTLLNMGLFERAIVFHFALTICFCSYVVGLGPIPNILCSEIFPTKARATCASFCSLSFWFGGLLSAYCFPVMLSTIGLGGACGIYALVCCAPLFLFYYRIPETKMLNLELIAELFKLSRQDLGPIAFHTYSDF >Sspon.03G0022260-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:86858586:86862249:1 gene:Sspon.03G0022260-3C transcript:Sspon.03G0022260-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTEDSAAAGEVRRLLAHLDSQQQILADCHGAWSRTLAYFASLEEDLASRSAALEEALAAADASTSESLAALEARESAVPLRLAEASAALSAAVAEAETESTGPPPTDIKGALRWICRRMDAAALWRFMAAHRRELAVVRKEAGPAVAMAVDPPRLVLDVVSDFLAAGSGVGEDQCWVLGMLLRSLLDSNGRKPPEIGDTLVERAFAVTQEWQERFGINMDKLSSENKEVEMVEADGLGNSGATEKKEGKEEEEGQEEEEEEEEGEEEEEVEEEEEEEEEEEEEDPEKTALVSGDEEDPEEVEEPEALEKEGNEVKGEGAEGKVSMEGEGAEGKVSEEGKGAEKIGPEDEKKGAAVGTMEGKTGEEGNKGAPEQPEAQIFVQMVAAFGLKDKFDEEFLRRLFVANGRKRELARFACVLGFEESLGGIVEELIKSGNVIEAIFVAYEADLLERFPPVPLLKSYLRNSTDKAQVVLKSGRHSSSALEEANNLEGNAYRSIIRCVESCQLQSVFPIEVMKKKLAKLEKEKSEKKKAAGPSRFQNKRSRGAAGPYPFPAAKAARGSNFGPRFQNPISRSLNYAAHAGYINPAAASSYYVPGSVSGRRGGVPFGGPGATYGGSPNFAAGGGQQSFRR >Sspon.04G0035550-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:13337505:13337741:-1 gene:Sspon.04G0035550-1D transcript:Sspon.04G0035550-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GGSRPQSPSVPLFFIPESRLRLVLSILDASPSSVRRSHRRPPQSPGLRSPLRYPGCASGPSHRRFRRSGSRGNPNPVP >Sspon.07G0015270-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7A:54491278:54491771:-1 gene:Sspon.07G0015270-1A transcript:Sspon.07G0015270-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAKEIWRASVPPKSNYPRGAQEELRLHLACHLEHVEGTQPRTFDRESCTLPQLVNKILEEANAWIGAGFGSLALLTTLIT >Sspon.08G0016230-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:64327303:64329662:-1 gene:Sspon.08G0016230-1A transcript:Sspon.08G0016230-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQDLYLLFLILFIALNLQSVLSWRMQNSTNDISDDQCPPQPHPFGMCKSRVAAYGYPCEEYHVTTEDGYILSLKRIPHGLSNATSNSTEDTRTPVLLFHGLLVDGFCWVLSTPKQSLGFILADGGFDVWIANCRGTKSSRRHTTLSPEDPAFWDWTWDQLADYDLPAVLQFIYNKTGGQKVHYIGHSLGTLIMLAALSDNKLTDIVRSAALLCPIAYLNRMKSRLILLAARIFLAEVKQCRTNHTTTPHPPPTPHPTFFLLIRMAMFIIVLYLCFYIMQTIHMLGYHEFNPLGRVAQELLGEVCVDPDVDCYDLFSSVAGPDCCLNASTTCIFLQHGPQSTSVKNMIHMSQLVRKSSIRKYDYGNEKENMKHYNQTRPPPYDLSSIPRHVPLFFTHGGQDFLGDVPDTRHLLRTIIREHDSDDIEVLYMPDYAHGDFVMGYNAPQLIYKPMVEFFKRVY >Sspon.06G0005920-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:17998958:18000916:-1 gene:Sspon.06G0005920-1T transcript:Sspon.06G0005920-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSPCCEKIGLKKGPWTPEEDQKLLAFIEEHGHGSWRALPAKAGLQRCGKSCRLRWTNYLRPDIKRGKFSLQEEQTIIQLHALLGNRWSAIATHLPNRTDNEIKNYWNTHLKKRLAKMGIDPVTHKSISGTLTGTTNNKSAKAAASLSHMAQWESARLEAEARLARESKIRTATPTPTALHAQPTNVPVSAASPCLDVLHAWQGAKIDLESPTSTLTFTGSNSGILPTPRTNRLEVSESNSMMWHQRSDELEGEENDWQIFSKHQVLELDSKEREDDFIGCEEPWFSGMAGVGSGFTGMLFDVSNEHDASECWGESNNGQTEHSNQASDEEDKNYWNGVLDMVNSELTPQSPPLV >Sspon.08G0024010-1T-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8B:60850163:60852031:1 gene:Sspon.08G0024010-1T transcript:Sspon.08G0024010-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAGCSFSSSRHQMSTAQRFDILPYGFSKRASNRGDGPGSGAAPRVAAADARTGGGATCSFRGHPAPPVTQAVSWGAKPEPGGNGAAAWERSRAVKRAHEEDAGDEYGGPVVRAKRTRMGGDGDEVWFHRSIAGTVQAAGSGDGEEAEEEKVFLVPSAAAFPHSMSAAAGPSLAAAKKEEFSKSPSNSPASSGGTDGGSSAVLRPEQLHAHNGAPAQRVEAMELVVALTACADSLASCNHDAANYYLARLGEMASPAGPTPMHRMAAYFAEALALRVVRMWPHVFDVAPPRELTDGAVADDDDATALRVLNAVTPIPRFLHFTLNERVLRAFDGHDRVHIIDFDIKQGLQWPGLLQSLATRASGPPAHVRITGVGESRQELQETGARLGRVAAALGLAFEFHAVVDRLEDVRLWMLHVKRGECVAVNCVLAAHRLLRDEMGAAIADFLGLARSTGAAILLLGEHEDALNSGRWEARFARALRYYAAAFDAVDAAGLADTSPARAKAEEMFAREIRNAVAFEAGDRFERHETFAGWRRRMQEGGFQNAGIGDREAMQGRMIARMFAPGNYSVQAQGDGEGLTLRWMDQAMYTVSAWTPVSDGGGGGSTVSASVSTTASHSQQS >Sspon.02G0041290-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2B:75166708:75168183:1 gene:Sspon.02G0041290-1B transcript:Sspon.02G0041290-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding PFGHCSTRWMLTGRPCSSHWYFNPHIPEGQPFYEKYKAQPQITSVCTSSIGVPISHGYWCLLDGAESTADVPPEKVELLKIAFDGLAAPFAAFLAEACAGGEAREGHSKKPDWIVLDFAQQWLPPIADEHKARTSHRHRPSSYLVPCAVFLIFLAALIAFVGPKELNDAHPRSAAEDFTVAPPWIPSPSRLAFRGHEAKWIAGAWQPNASGISDFSRFWETTQRCPLMVCRCSHEVDGPLCPLLGDLYGKPVLPSGLLVPYDVAVRAAAADGEGEHDEEESAGLMRWLDAQPARSVLYVAFGSEALLTLAHVGALALGLELAGVRFLWVLRKPVGDERPQLPDRFEGRVGGRGVVRV >Sspon.07G0005040-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:12789450:12789929:-1 gene:Sspon.07G0005040-1A transcript:Sspon.07G0005040-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding CMTLAAGTGAGTGTLPAAAAVTGGGSRSSNSSTVESFSGGSDVQAAPMQAMPLPPSLELDLFHHAAAAGTGAGGAAVRFPFNSYPVTHPYYFFGQAAAAAAAGCHMLKLAPTVTVAAVAQSDSDSSSVVDLSPSPLAAVSTTKAAAFDLDLNCPPPAEAE >Sspon.07G0021060-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:138624:140146:-1 gene:Sspon.07G0021060-1P transcript:Sspon.07G0021060-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSALAKGKSASGSAKFEAKGKFSSSSSPAAAAAATKRGTATTTTSSSSRGRGKGRGKAYKKVYSLPGQKFDPPEEREPLRIFYESLSKQIPSSEMAEFWLMEHGLLSPERAKKAYERKQKRQQQVRMGTPIKSSAVMRKGKPESSKKPSIMDSKAKRRVDYSDDDNDFIVKMKRSKG >Sspon.01G0009740-3D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:30032526:30033722:-1 gene:Sspon.01G0009740-3D transcript:Sspon.01G0009740-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNNCPICYEYMFDSLRETSVLRCGHTMHLQCFHEMLKHDKFSCPICATSIFDMDKLFKALDAEMEASYFYMGKGWIVCNDCRDTTQVFSGVAGHKCCHCQSHNTCRVAPSVLP >Sspon.05G0021490-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:90310965:90312686:-1 gene:Sspon.05G0021490-1A transcript:Sspon.05G0021490-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATAPANLFYAAMLFLAVLYLALTRRRTSSRLPPGPTGLPLVGSLPFIHRNLHVCFADLAAKHGPVFAIRLGSKVQIVVTSPELAREVLRDKDAVFSNRVIPDAGRAIAFDGVEDIGGVPVGPKWRLLRRLCVQEMLSPGGLDKLYGLRRREFRSTLSYLYSQSQAGEPVDLGALMFVNMMNVVTSTLWGGTIGSESERAAIGKEFRALVNKLTELLGSPNLSDFFPVLAPFDLQGIRKKMERIRVRFDHIFEKIIQQRLKAQQDGSEMATDFLESMLKMEREGGDGKATFTMSDLKSLLLDMVVAGTENMSNTVEWAIAEMMQKPQVLRKVQEEIDKVVGRDAIVEESHLSQLHYVRMVTKETLRLHPTLPLLVPQSPSEESTIGGFHVPAGCCVFVNVWAIQRNPLVWSEPLEFNPERFSGDDGGRKWDFTGSQFDYFPFGSGKRICPGIAMADKMITYSLVMLLQAFDWKLPQGTELDLSEKFGLVMKKATPLMIIPKSRLPKPD >Sspon.01G0002160-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:5908258:5912184:1 gene:Sspon.01G0002160-4D transcript:Sspon.01G0002160-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CSC1-like protein At4g35870 [Source:Projected from Arabidopsis thaliana (AT4G35870) UniProtKB/Swiss-Prot;Acc:Q9SZT4] MGPAAQPPDAGGGGDPEAWYGSIQYLINISAVGAASCVLLFLLVKLRFDHRRIPGPSALAAKLLAVYHATAAQIALHCGADAAQFLLFERASFLILAAVAAAAVAAALPLNLLAGDATVVDQFAATTISHIPKSSPLLWLHLLLTAAVVAIAHLGISRMEDALRITRFRDGNGNPSDPNSSSVAVFTIMIQGIPKALAADKTPLKDYFDHKYPGKVYRVIVPFDLCTLEYLVEQLGRLGARDLFDDIVHDDGEAVQSEEHWFVRRCKELWAMAGERLGFTDEERLRKLQTKKLVLASRLSDYKEGRAPGAGVAFVVFKDVYTANKAVRDFKMERKKTPIGRFFPVMELQLERSRWKVERAPPASDIYWNHLGLSKISSRLRRIAVNTCLILMLLFFSSPLAIISGMQNAARIINVEAMDHAKSWLAWLEGSSWFWTIIFQFLPNVLIFVSMYIIIPSVLSYFSKFECHLTVSGEQRAALLKMVCFFLVNLILLRALVESSLESWILSMGRCYLDGPDCKQIEHYLSPSFLSRSSLSSLAFLITCTFLGISFDLLAPVPWIKHIMKKFRKNDMVQLVPEENEDYLPMQNGEETNNLTAPLMPEREDSGLLDSIEGHDLSLYPLNRSFHMPKQKFDFAQYYAFDITIFALTMIYSLFAPLVVPVGATYFGYRISWTNTEAFGCTLRNSQKTVSDTQVVL >Sspon.01G0051530-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:16472278:16483215:-1 gene:Sspon.01G0051530-1C transcript:Sspon.01G0051530-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALKKQASKLREHVAKQQQLRLTSSIRIDCKPFPCFLACMASLRELCVMMQAVLKQFSARYNQDPSLVDEAELECHQNLQRLYSTTRAAKHFQRNIVRGVEGFIAISTKQMEIVKKLAEDCCKYGNDNQHFGFALARASEEFGKSHKQIEKEREDLLKSLGEQVFEPLREMIMSAPLEDARLLTYRYQRIRQDMESQVIHPFEAQADGELNLAVGEYVVVRQVAANGWSEGECKGKAGWFPSAYVEQRDKAPASKVIEPGLLTT >Sspon.04G0028220-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:64576051:64578756:1 gene:Sspon.04G0028220-1B transcript:Sspon.04G0028220-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNRSPTGRLRRVDSPVNLSWVPVEMDPNAACRLSIHIPAYEQHVENGRHEYHVSSHKNWVRDKDTILWMDFYADLDEEIKHGRNQSLSVTFWDKIAGEYKEIVSDATLLAAFEIQAKKIARDQVTSHGVPANTISTPLKIQLGPSSPLYLDNSPGALTRSRARKLAMEEAEVLGTVALEQLMQP >Sspon.04G0014850-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4B:58374699:58375466:-1 gene:Sspon.04G0014850-2B transcript:Sspon.04G0014850-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ALVYAIVRIAAARRYGAVFALGLVLVFWVTVSAAYYPRVCADLVPWLRFLRRARRQRGQGEPSLLVPQRSSFVTVDVFPVPRQAPVARGGGARVRAADDVLPPSPYPYEHQRVPLSQRYGDRAGSRVMGAFPWDWEAPPARGGARAGGADDHVPPPPLYPWYPCAQQRVQSHGDRASTSRMMAALPREPPAARGKARVGADDDAIQRPPCEEEIDCVICLADVDDEETAKRLPLCLHVFHRQCIDQWLQGHSTCPI >Sspon.01G0014770-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:42334868:42338312:1 gene:Sspon.01G0014770-2C transcript:Sspon.01G0014770-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine protein kinase, Hyperosmotic stress respons [Source: Projected from Oryza sativa (Os10g0564500)] MEERYEALKELGAGNFGVARLVRDKRTKELVAVKCIERGKKIDENVQREIINHQSLRHPNIVRFKEEICHRDLKLENTLLDGSPTPRVKICDFGYSKSGLLHSKPKSTVGTPAYIAPEVLSRKEYDGKVADVWSCGVTLYVMLVGSYPFEDPEDPRNFRKTISRILGVQYSIPDYVRVSSDCRRLLSQIFVADPSKRITIPEIKHHPWFLKNLPREISEREKANYKDADAAEPAQAVDEIMRIVQEAKTPGDMSKVVDPALLAEIAELESDDEEADADDTY >Sspon.02G0023420-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:78861016:78863035:-1 gene:Sspon.02G0023420-2B transcript:Sspon.02G0023420-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding KMPENNKGSRIIVTTRIETVANAYSPASVSGPYIHKMEPLKLDDSKKLFVSEVMVSKSLEANFLSLHGGRYKGMLYDKIRRLSIHTAVEGVDSIAMINVEGRQGEDNLNMEHVRSLSMFHLQGHKLLKKLGNFILLRVLDLEDCEGVTNKHVRYACNLYLLRYLSLRRTNVSKVPRQIQNLEHLQTLNLDMTLLTWLPKTITKLEKLEYILFSNKNDYWETMWTLPRGIKKMKSLRVLRMVHLGNDTKVAQELGELEQLLELDLSIDNSKTIDEDILKEIALSISKMHSLRSLWIGLHGSSDDGSKIPNFLHHLPTPPRLLRTLWIRGDIVNGFPCWIRSLTHLVSFNAWHTTLSDDELFGVLCMLPNLKTLYVTWNCYRGDELVVRSSHKFPVLRDLILGGYLPKVLRFEEGSMAMLGMLKLGFDSRSRHVAEGTIVGIEHLTNLKKITLQCFGDNSALINPVLEQLKAENDRLSRSNSQFQILVKY >Sspon.06G0001040-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:1560911:1565413:-1 gene:Sspon.06G0001040-3C transcript:Sspon.06G0001040-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPSDAAAAVSAPAPTTTAAASSSGGFTFKLHPLVIVNVSDHHTRVKAQAACSGDSSSSSSGAGAGAGQPPRVFGCVIGVQRGRTVEIFNSFELVLDPVSGTLDRAFLEKKQELYKKVFPDFYVLGWYSTGSDVHDTDMQIHKALMDVNESPVYLLLNPTINLSQKDLPVTIYESELHVIDGSPQLIFVRSNYTIETVEAERISVDHVAHLKPSDGGSAATQLAAHLTGIHSAIKMLNSRVRVIHQYLVAMQKGDIPVDNSLLRQVSSLVRRLPTMESQKFQDDFLMEYNDTLLMTYLAMFTNCSSTMNELVEKINTSYERPTTRRGGRGAFM >Sspon.05G0011060-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:31626750:31629399:1 gene:Sspon.05G0011060-1A transcript:Sspon.05G0011060-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPLVLPFTILLAGVLLLSGPALAEVETTVELGEVVLTLDAGNFSEVVAKHQFIVVEFYAPWCGHCKQLAPEYEKAAAVLRNHDPPLVLAKVDAYDERNKEIKDKYQVHAYPTIKIIENGGKDVRGYGGPRDADGIVEYLKKQVGPASMELSSAEAAQSSIGDKGVVLVGVFPEFAGVEYENFMAVAEKKRSDYDFFHTSDASILPRGDQAIKGPVVRLFKPFDELFVDSQDFDTDALEKFIEVSGFPSVVTFDADPTNHKFLERYYSTPSAKAMLFLNFSDDRIETFKSQIQEAAKKFSANNISFLIGDVESADRAFQYFGLKENDVPLLFVIAQGGKYLNPTIDPDQVIPWLKEYIYGNLTPYVKSEPIPKVNDQPVKVVVADSIDDIVFNSGKNVLLEFYAPWCGHCRKLAPILEEVAVALQDDEEVIIAKMDGTANDIPTDLAVEGYPTIYFYSTTGDLYNYNGGRTAEDIISFIKKNKGPRAGAVDEVTQTGAGAVEEGITSSS >Sspon.01G0002950-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:7994065:8010857:-1 gene:Sspon.01G0002950-2C transcript:Sspon.01G0002950-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRLQQILGRKHQKNLHTIYILHPTLGLRTAVMAMQLFVDGEHEPILEGFELFGNGDVTLGCEMSPKTPDLR >Sspon.07G0010970-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7A:36712986:36714399:-1 gene:Sspon.07G0010970-1A transcript:Sspon.07G0010970-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VRGQRLQRGALLQAVHGGGARLRAQGRHQAHPRPGDDHQERSRGRGGARGAAHRLLPTYLTLYGTSNAADYDHDGCLKSYNDLSYYHNELLKRSLASLRRTYPHARIMYADFYTQVTHMIRAPHNFGLKYGLKVCCGAGGQGKYNYNNKARCGMSGASACADPGNYLIWDGIHLTEAAYRSIADGWLKGPYCNPPIQH >Sspon.03G0028550-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:13560448:13567682:-1 gene:Sspon.03G0028550-2C transcript:Sspon.03G0028550-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVRHGKVGEEKVSEVKWFLDASAVPGVPAGPPKLSATARRESFAKRAGLATNPMGRKLFEVREAKQSNLCVAADVGTSKELLELADKISHLLYSFSLLSVYLR >Sspon.06G0017390-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:94267808:94269017:1 gene:Sspon.06G0017390-1A transcript:Sspon.06G0017390-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPFSVLRSSSPVVAAAAAATAPSFSGMSSHASALRISSSKSRVSCRATSRSLSIRCEQSAKQGSGGGGPDVWLGRAAMVGFASAISVEVATGKGFLQNFGVATPAPTLALVVSGLVVGLVVFFLLQSGGSRD >Sspon.05G0023270-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5B:6430146:6434016:-1 gene:Sspon.05G0023270-1B transcript:Sspon.05G0023270-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSPTDFNDCVSKGELTTFMTEQRNLMTELTRNVNNLVTRIEQLEQRPPPHRAVDDDADDFGDDDADVNADGYARRRLNFNRRGMGGNNHGNNDPFAKIKFSLPPFAGNVDPEAYLDWELAVQQKFDSHNVPAEHRVRLATSEFTNFALFWWSDLCNANNAAVVPQTWNALKQRMKSRFVPPYYQRDLRLKLQTLKQGDKGVEAYYQELLIGLARCGINEDDNDASARFFGGLNHDIQNILDYKEWRNFSQLYHLAIKAEREVQGRKQHQPFRSNNGRNFQQRSEPETPKLSVAPHGDCPSRRAFIATEDGYIPPRAIKTRRLSSLTLWLLPRITLAFLCSGCRVLTIIDSGSCNNLEFADDGMKSRATPILEGGDDEDIAKMESRTTPIQEGEDDEDIATLDTPTLWSSQVAIRPQLSCHVTLGFSRHVVTVLVITLAYDIETGRSWMRWKGDDDAVVLDLVPAPEGPWIILCDHDKVLRHLFGPTWPCIVSGLKPKLWAPNPWPSSTLGKAFLARSIKLCLVDNQRSSGVTISKSRTYRLPLGRSGLYLINRRSPTGVLQRCITNEEGRDLLSDLHSGACGHHAAPRTLVGNAFRQGFYWPTAVSDAVKLVRSCKGCQYYARQTHLPAHALQTILVTWPFAVWGLDLVGPLKRTTGGFTHLLVAIDKFSKWIEARPITSIRSEQAVLFFTDIIHRFGVPNCIITDNGTQFTGKKFLDFCDHHHIRVLWSAVAHPKTNGQVERANGMLLQGLKPRIFDKLNKHGKRWAAELPSVLWSLRTTPSRATGFTPFFLVYGSEAVLPTDIEYGSPRLKAYNERNNDAAREDALDQLEEARDVALLHSARYQQGLRRYHDRHVRKRDLNVGDLVLRRNQNTKGRHKLTPPWEGPYVIAEVLKPGTYKLSNEKGEIFTNAWNIEQLRRFFP >Sspon.02G0014630-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:39021991:39023573:-1 gene:Sspon.02G0014630-1A transcript:Sspon.02G0014630-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVARCAHADAGGFRIWPIFSAPALRRKVLEVLACGGGGGGGDGGGGSSCRGRTAYRSPQRMPKPRPRSDRLAELLRAEEPSECSDEAEAEAADAAAAARKVEAFEELKGVVGALQDGGGGDGCMSRVEAAKAVRRKAKDDAGAREMLAMLGAIPPLVAMLDDGGEDITTAALYALLNLGIGNDTNKAAIVQAGAVHKMLRIADDGGGASGALTEAVVANFLCLSALDANKPVIGASGAAPFLVRAFQSAACSTEQARHDALRALLNLSIAPANAPHLLAAGLAPALVAAVGDAAPVTDRALAVLCNLVAACPEGRRAVSRAPDAVPSLVDVLNLADEPGCQEKAAYVLMVLAHRSYGDRAAMAEAGASSALLELTLVGTALAQKRASRILEILRADKGKQVADDASGVVATVSAPQERRCRGEEESVDGEFADAGMSAEKRAVRQLVQQSLQSNMRRIVRRARLPQDFAPASTESLKAFTASSTSKSLPF >Sspon.03G0037180-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3B:97121807:97122469:-1 gene:Sspon.03G0037180-1B transcript:Sspon.03G0037180-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKITSDHIPCKIAINTKIPRSSIFRFKNFWAEHDTFLETVHGCWESCPSLPVATRTISSKFKKLRSELKSWSRNLCNLKLLISNCNTVIGFLDSLEDSRPLYNKEANLRLLIKGQLKTLLHYKKLYWRKSYTVNRIKLGDECTKFFHSMATISFRRNSISQIFNDQGVWIQDHAVKAGLLWNSFRNRMGVTSNPNMLFDLANLIIPSEELNTLKPHSNR >Sspon.01G0031250-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:107422821:107424378:-1 gene:Sspon.01G0031250-1A transcript:Sspon.01G0031250-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPEVVVEGVVFPSVARPPGSAGSHFLGGAGVRGLEIGGNFVKFTAIGVYLEDAAVSALANKWAGKTADELASDAAFFRDVVKGDFEKFTRVTMIRPLTGQQYAEKVTENCVAFWKAVGLYTDAEGVAVEKFKEVFKPETFPPGASILFTHSPTGILTVAFSKDSSVPAAGGVAIENKPLCEAVLESIIGEHGVSPAAKLSLAARVSELLTKGTAAAADAPQVEPFSVTV >Sspon.08G0021960-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:46893504:46894674:1 gene:Sspon.08G0021960-1T transcript:Sspon.08G0021960-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVTGDAGGGRRPNFPLQLLEKKEEQPCSTSPAAAAGAGANGSAGSGEMMQMKKVAPPKRTSTKDRHTKVDGRGRRIRMPAICAARVFQLTRELGHKTDGETIEWLLQQAEPAVIAATGTGTIPANFTSLNISLRSSAHLRAACLPGPRFGGARGDPWERVVGLGFGGAEGPPSATSSASSPLLLSFHSGSVGLDVSPSSSSAAASSDLSKKRRWEQEMQQQQQYQQQMAGYTQSQMPGTVWMVPGSNAQAAAAAGGGGSNESIWTFPQPGSGGGGAATVYRGVPSGLHFMNFPAPMALLPGGQQLGLGGPRRGGEGRMGILAALNAYRAHAVSDAALAAQNGAEGGSSQHHQQHGGGGGERQESMSPSDDS >Sspon.08G0019150-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8C:13129120:13130615:-1 gene:Sspon.08G0019150-2C transcript:Sspon.08G0019150-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVTLKATNENLPPNVIRQLAKELKNLDESPPEGINVIVNDDDFTTIFADIEGPAGTPYENGVFRMKLLLSHNFPHSPPKGFFLTKIFHPNIATSGEICVNTLKKDWNPGLGLRHVLLVVRCLLIEPFPESALNEQAGKMLLENYEEYARHARLYTGIHALKPKNKSKTGAISESTTALNVDKSSTASSENTPSMPPAVSTSTASRAFGTNLQDQNPTVSDPAVGAAAARKKDGPMATKVPVDKKKMDARKKSLKRL >Sspon.02G0017240-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:49303779:49304252:-1 gene:Sspon.02G0017240-1A transcript:Sspon.02G0017240-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVAKNTGQRGTGMGGKENSGADTGCCWRRCIQMDGGDADRLRRGSFDLRFSGLQSLSSQLQ >Sspon.07G0028730-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:68422963:68424086:1 gene:Sspon.07G0028730-1B transcript:Sspon.07G0028730-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGDRRHLNRKLQGVSASDRPGMLKTNKQACASEGYGILKAKKEALAAVRLLRFNRIPGGSDIWMDHWREMKAKAAEEAARPVKKRKRVVKQRLPKALIELMVARPFRSVEDLTPVQLAKRSHEFRQFYAPFRHQSTHDSQMHAPIPPLLCAVDTSWEQLSSREGDM >Sspon.01G0008230-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:26493276:26497042:-1 gene:Sspon.01G0008230-2C transcript:Sspon.01G0008230-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDIQKRRVRLLLLITGVLALSMTAEKFRELVGKEAASKSGQFTFMNCFDMGSGSLACTAKEGVKLYVNNIRSAHLEMVRQRAMEKALADAVTQGLTPSEAAKQAQKISAKVTKVAARQANRILGPIISCGWDFFEAMYFGGSMTEGFLRGTGTLFGTYVGGFHGEERFGKLGYLAGSHLGSWGGGRIGLMIYDVISGLKYMFLSIQPEYESSSYASEDGSEYTERYVNYVN >Sspon.02G0002650-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:8636964:8638099:1 gene:Sspon.02G0002650-1A transcript:Sspon.02G0002650-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLGILVLVALLAAATAQAQVPVPNETLRFLPPPFFSLSQLIQSFKVKGLDVDDLVVLSGAHTIGHSHCSSFSDRISTPPSDMNPRLATVLKKQCPAHPNFTNDPTVVQDVVTPNTLDNQYYKNALNHKVLFISDAALLNSTETAKKVIENAFIRGRWERKFAKAMVKMSLLDIKTAANGEIRKNCHVVN >Sspon.01G0007220-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:19542864:19545155:-1 gene:Sspon.01G0007220-1A transcript:Sspon.01G0007220-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein phosphatase [Source:Projected from Arabidopsis thaliana (AT2G39840) UniProtKB/TrEMBL;Acc:A0A178VUA1] MAAAPAAGGQGGGGMDAALVDDIIRRLLEVRTARPGKQVQLSESEIRQLCTFSREIFLSQPNLLELEAPIKICGDIHGQYSDLLRLFEYGGFPPEANYLFLGDYVDRGKQSLETICLLLAYKIKYPENFFLLRGNHECASINRIYGFYDECKRRFNVRLWKVFTECFNTLPVAALIDDKILCMHGGLSPDLAHLDEIKNLQRPTDVPDQGLLCDLLWSDPGKDVQGWGMNDRGVSYTFGADKVSEFLQKHDLDLICRAHQVVEDGYEFFADRQLVTIFSAPNYCGEFDNAGAMMSVDETLMCSFQILKPAERKNKFMGSNKM >Sspon.08G0014110-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:58021352:58026374:1 gene:Sspon.08G0014110-1A transcript:Sspon.08G0014110-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGKVELKRIENKISRQVTFAKRRNGLLKKAYELSVLCDAEVALIIFSSRGRLFEFSTSSCMYKTLERYRSCNFASEASAPLEAELNNYQEYLKLKTRVEFLQTTQRNLLGEDLGPLNVKELEQLENQIEISLKHIRSSKNQQMLDQLFDLKRKEQQLQDANKDLRRKIQETSEENVLRLSCQDIACGGSSGHGDEANQERLQLALDPSLHIGEKGKKNEST >Sspon.03G0020560-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3A:63897553:63897840:-1 gene:Sspon.03G0020560-1A transcript:Sspon.03G0020560-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRMKQRDYCSYACDKVCSPDADATLHQRLRPLAVVGPAALPDHRLLDKKQDADAVRPAALPDHPFHETHGGGVKPAGEPDPGHVVRPARVPVLP >Sspon.01G0015550-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:45115258:45127751:1 gene:Sspon.01G0015550-1A transcript:Sspon.01G0015550-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAAPPPERDTSADWGDGVVALGFRVRASSRESPSQKAGNVLEADLRSHWSTATNTKEWILLELQEPCLLSHIRIYNKSVLEWELTAGLRYKPDAFVKARPRCEAPKRDVVYPANNTPCRYLRVSCLRGNPIAIFFIQLFGIPVPGLEPELQPLLSYLLPQITSSKQPLSHNMHLQADLNSVADTPESSVRFLALLAGPFYPILHLINERDPTKSLFGSADSDALRTSPASTPTVSSNFEAYYSSMFGEEFSLSENQFDGSFLNILDIAAVEEGILHVLYAAASQVDLAVELLEDLLSIIQEAGQSLARSRAALKYVVLAISGHMDDVLTEYKEVMHKLLFVLEMLDPFIDPSTSAMKDTVIFGGISAIYLEKQSSVYDIALNIIHTAVKRAAVLPSLEIEWRRGAVAPSVILSILDPHMPLPPDIDLCKSSVPEIDNVPLAVLDNRAPQPCNPENIDGRDASETTVRPENFEQCSFLFAPEELNQSELTSLDTLKGKGHDVVTKTSLNHDIPEGRTNEKLSSDPFLLDNSVAADYFDAQADYQQLENYEDCELRAQEFHRIALNLCMQQEPTLEGHNAGIDALLLAAECYANPFFLLEFQSNLEPLDNIERIHSELMQGNASFALKNLHFKDLDKKAIYNLEKKRDRSVIDYSYKLPDSTLNIRERYLKGNHIQILLKMASFL >Sspon.05G0002590-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:2511961:2515861:-1 gene:Sspon.05G0002590-2C transcript:Sspon.05G0002590-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEEMVVGLSAPGPVGRWGAAPPQAMLERMKDYGQEGAFALWDDLSPEDRELLVRDIESLDLSRIDRIIRRSLGSQGIPLPAVEPVPESSVSKVEDRSPEEKERRWKKGLKAISEGKLAVVLLAGDIGLPSGKSLFQLQAERILCVQKLASQSSESPSNTVPIHWYIMTSPFTDAATRKFFETRRYFGLDPEQVTFFQQGTLPCVSADGRFIMETPYRVAKAPDGNGGVYAVLKSKKLLEDMAARGVKYVDCYGVDNALVRVADPTFLGYFIDKGVSSAAKVVRKAYPQENVGVFVQRGRGGPLSVVEYSEMDAAMTTEINQSTGRLRYCWSNICLHMFTLDFLNQVANSLEKDSVYHLAEKKIPSIHGFTTGLKLEQFIFDAFTYSPSTALFEVMREEEFAPVKNANGATYDTPDSAKLMLLRLHSRWVVAAGGFLTHSVPLYMTGVEVSPLSSYTGENLEAICRGRTFHAPSEISF >Sspon.05G0027740-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5B:56153081:56154101:-1 gene:Sspon.05G0027740-1B transcript:Sspon.05G0027740-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding FRHGVGGPGHVSGSAEGPRRLLPRRLPLPRGRPLGAGLLVQVTEFSCGGFVVGVTWNHVAADGAGMAQFLQAVCELARGVSPPSVVPVRRWDESLPGLPASMVSAQKSTMDHATQVDLAHLDVTVPGSLVRRIKAETGATVYEAVATGDSDPESPAPLTFPCNMRAHAGARDGYYGNCVAVQMVPATKGAVAASSIGDLVRLIRLAKEKAPHILSGGSSTGSVDGDGGAAGDEPPLPQVRWYDGLAVVSWRNLGFDAVDLGGGGGPERVTWYGERNVVPGCVVCPPCTLGNKDDGAVSVSSVFVKPEHVDAFLGELASLATS >Sspon.07G0018450-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:72448327:72450894:-1 gene:Sspon.07G0018450-2B transcript:Sspon.07G0018450-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable purple acid phosphatase 20 [Source:Projected from Arabidopsis thaliana (AT3G52780) UniProtKB/Swiss-Prot;Acc:Q9LXI7] MVTAMARSRSTAALALALLALASAALPASLAVTSPYVRPPPRATLSLPRDDDADGQTPQQVHISMVGPDKVRVSWITDDDAPATVDYGTTSGQYPFSATGNTTTYSYVLYHSGNIHDAVIGPLQPSTTYYYRCSATSPSTRELSFRTPPATLPFKFVIIGDLGQTGWTASTLKHVAAADYDMLLLPGDLSYADFVQSRWDSYGRLVEPLASARPWMVTQGNHEVEKLPLVEPKPFKAYNARWRMPYDAGAVPSGDNLYYSFDVAGGAVHVLMLGSYTDYGARSAQLRWLRADLAALDRRSGRPAFVLALVHAPWYNSNEAHQGEGDAMRDAMEALLYGARVDAVFAGHVHAYERFKRVYAGKEDPCAPVYVTIGDGGNREGLADKYIDPQPPISAFREASFGHGRLEVVNATHALWTWHRNDDDEPVVADQVWINSLAANPA >Sspon.03G0040550-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:18026534:18027626:-1 gene:Sspon.03G0040550-2D transcript:Sspon.03G0040550-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMPWHRHGTGMRCRRPVACMPASTAFTYQPGNDSVLLVDKRSYDACDTSAPIDTFADGSTMFTFTRSGPFYFISGNKDNCNRGEKLIVVVMAERAAIGNGTEPGAGLAPSPNGPFSSFSPPPPFGIDISPTAAYPPPSAAAPKMVGVAGTAAFAIGALFYALV >Sspon.08G0013210-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:63848697:63851221:1 gene:Sspon.08G0013210-2B transcript:Sspon.08G0013210-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLAPACRAHTASLADFGGVGDGTTSNTAAFRSAVDHLSQYSGEDGGGAMLYVPAGKWLTGPFNLTSHFTLFLHSDAVILASQDINEWPIIDPLPSYGRGRDKIGGRFASLIGGSNLTDVVITGNNGTIDGQGAMWWSKFHKNQLKYTRGYLIELMHSDTIYISNLTLLNSPAWNIHPVYSSNIVVQGITILAPTNSPNTDGINPDSCSHVRIEDCYIVSGDDCVAIKSGWDEYGISYGMPSQHIVIRRLTCVSPTSAVIALGSEMSGGIQDVRAEDITAINSESGVRIKTAVGRGAYVKDVFVRGMTLTTMKWVFWMTGNYKSHPDEKYDPNAIPVVDNISYQDVVATGVYKEAARLEGIQGAPFRGICVANVTAELSKSRKYPWTCADVEGVSVNVSPAPCEPLQGAHDGACPFPTDTLPIDQVTVQQCAYDVPGTGGGN >Sspon.07G0013290-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:47173365:47177881:1 gene:Sspon.07G0013290-3D transcript:Sspon.07G0013290-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVNCMKQGKQKASSNAIHPLLKIVDESASCSTSFGGVDDDSHGYVQNHDKGGGKSRDKCSTAKKLFADTVAEDGENNSRCLAGLSYVGSQEPGDLSQANAFDVVDRLISINGGLSSQENTPNKLEKEKPRVSSKRGTLMLAEKVDLGRSSNRKAEIFEFVDSREDDGGGDFFSKNKDILLPKPIGRGKPKSHSTRAKKSSTKKSQEENKLGEAMNKRNIKLPGRFETIPLSDSRLFRSDVKSKRASGNRTKKNLLKDLDDLSNAKSLEEQEKADVALNDVGLDTQMAAEAMEALVQCSPAKSLSAEGQPLFNRDMRAEKSRITKSHSKNGSPQRTSNIQKGVATRSKRRKVTDFSTKPQKERLKGSKMQESSEPIVKVKHKQTKSVPEKSKVSKKFIDENKYNGTPVAHRTRHCGRNDPSAFIELSNKHLRRGKKLTGDSSTIGQVQNNHIATKSGLSYFEKESTEQTCTNNDQDLQQSRDGSAQRTSVNNVQNLEAHRVEPTTDVTCRDSPSHPKRRRTPTKMIQSTAAAAANHEIPSEVARPCKKRRIFIRSVSDLLKYAKREPSHGRSVSMMSNIIEKSLAASPVLNSSVRDDRNTSSDVISSAQRLKESSHVEDTSKSPKNNPQVPNSAMKTPSKVVNELSPTFSPVNPSKGSSRSLSKASIARELLKLDPENVLSNQQRKDSRRRKDMASVSILFSHHLDDDVIKRQKKILARLGVCEAFSMADATHFVADRFCRTKNMLEAITLGKPVVTSMWLENCGQAGCFIDERKYILRDEKKEKEIGFSMPISLTSACKHPLLLGKRVFVTSNVKPSQVVVTSLVKASSGQPLARVGRSIMKEKEVPPDLLVISCEEDYGTCAPLLEKGTSVFSVEFLLNGIVIQKLEYERHRLFMDRVKQTRSSRWLKDTVQD >Sspon.05G0036960-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:6292207:6295458:1 gene:Sspon.05G0036960-1D transcript:Sspon.05G0036960-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GMKLPLLRPLWPCLSPAAGPADAAPEPAKPSLPATWLLLHALFCATSMAVGFRFSRLIVYLLFLPTPPINPAAHLVSLMSPPAVVLAGANATSMATITTTTTTTTTVTTTTTVAAEIGAHPQQQPHHHHHGPVFVGRHPIRVRAWPHPDPSELLKAHRILAAVQNAQRSTKRRGEGPARQVPSLTSLAHTLRLVDAQLVWIVVEPGHRTDAVAAVLSRSNLDFLHITGPGESTASLRMHALREIRTKRMDGIVVFADENSILRTELFDEAQKVTTVGAVPVGILGEDDGTSESFLQAPSCDAEGNLVGYRVSEETVLPANRSDMLMSSRLEWAGFVVNARTLWEDTKERPVWVRDLSAIDDADARAASPLALVTDAGRVEPLASCAQAALAWSLRSDSLHEVKFPHRWKIDPPPLNTGARQQTVQPETQPMQTTLASTEDQH >Sspon.01G0004740-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:12720989:12723401:-1 gene:Sspon.01G0004740-1A transcript:Sspon.01G0004740-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRPGIAGLQNAVATRDQFRLVGENVAKVRTDVMKEQLATFRSQLEEFARKHKSDIRKNPVFRQQFHEMCAKVGVDPLASNKGVWAELLGIGDFYYELGVQIVDICIATRSHNGGLIDLLDLRKLLGQKRKATLESLSEDDCLRAISKLKVLGSGFEVISVGRRKLVRSVPTELNKDHSGILGLAQAEGYVTVEHVEREFSWSTGRAIDALETLLKEGLAMIDDGHRDGKRRYWFPCVTVSSDTAAVEK >Sspon.06G0008520-4D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7D:33416611:33417405:1 gene:Sspon.06G0008520-4D transcript:Sspon.06G0008520-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGDPGYGYGSYGYGYGYGYGAGAGGYDYDMAGYGGGGAYYSANDRYPAGPAAYKDPLAGRRQHDFPAPLTGLELRPSDNCPKNYVIFDQTYDRSRVMFHPSLANNFGSSAGGYDYDRHCYGYEQNYAGKSAYYGCDDDGGGASIRQKEDTDEIDALMSTEDGEDEDDVLSTGRTPGCRAGGSPDSTCSSGYAAAGGGGGKHKMAGGGGEKKKERMKKMVRTLKGSSRWRPDGHASGPRRGREVPQVSQGGGQEARRARVKQLAS >Sspon.03G0026390-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:7695603:7696343:-1 gene:Sspon.03G0026390-2C transcript:Sspon.03G0026390-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEGASGGAGLMEPAPAPALPLVPVTARKPRGRPLGSKNKPKPPVVVTRESEAAMRPVVLELAAGCDVVAAVAAFARRRRVGVSVLCGRGAVAAVTLRLAAAAASAVTLHGRFEMLALSGTVLPSSSFAPAFSVSLAGVGGQVIGGTLAGEMTAADGVVVVAAVFRTAEVHRLPAAGAEDGDGDGGGGREDWRHPHSQQQLQVSAAASAGDGHVGQHAEQLAEIGLWGQQPTPTREPVHHPLHRF >Sspon.06G0001680-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:4155157:4156489:1 gene:Sspon.06G0001680-3C transcript:Sspon.06G0001680-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPDADEDYMGDLSRFLPPSPSSSPPKNLGRRKQPPTQAQAQTRAKRGKGVPWQERRRQERERKQREEDARTMAGLAEAIPESNVGFRMLKQMGYDPESRGAAAEPVGIEIRRSRAGLGAEEPALAPETALAPVEKSREVAERERRQQEEMAVELRARKSTQWKGRRVVWDYRKAERALAQLENREVEPPAPEGEVEKKGAEEEEEVITEEDLQNILSKLRDQYQYCLYCGCKYESPEALAKECPGPDEDDH >Sspon.01G0032770-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:110245799:110247507:1 gene:Sspon.01G0032770-1A transcript:Sspon.01G0032770-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSTTPSATTPLLQPAPHGGGGSGELEAILADASVPWARRALRGAGVELPLLLRIALPAVAVYMINYLMSMSTQIFCGQLGNLELAAVSLGNTGIQVFAYGLMLGMGSAVETLCGQAYGAHKPGMLGVYLQRSTVLLTATGVPLAVAYAFSERILVLLGESERIARAAAVFVYGLIPQIFAYAANFPIQKFLQAQSIVAPSAYISAATLALHLALTWLAVDRLGMGLLGGALVLSLSWWVIVLAQFGYIVTSPRCRETWTGFTAQAFRGLGGFFKLSAASAVMLCLETWYFQILVLIAGLLKNPELSLDSLSICMTVNGWVFMISVGFNAAASVRVGNELGAGNPRAAAFSVLVVTSLSLAVAAVCAVVVLCIRDQLSYFFTGGEEVARAVSDLCPLLAVTLVLNGVQPVLSGVAVGCGWQAFVAYVNVGCYYIIGVPLGVFLGFYLDLGAKGVWSGMVIGGTMMQTLILLWVTCRTDWNKEVEKAKVRLDKWEDTKQPLLED >Sspon.05G0010830-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:33121448:33123030:-1 gene:Sspon.05G0010830-2D transcript:Sspon.05G0010830-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMDADGGPGIDALPGDIFVEVLRRLRAHSLGTCRCVCTLWRAVVDDRGLLLPHALPPRSFPGFFANVVHAATKPLAFLRPHLPCSGADAAVQDQCNGLVLCFQDYLVGRPVAGFVCNPTTERWARLPPPPTWWPRGHEGMFLAFDPAVSLEYQVLLLPVPPPRRCSSDAGLPAGAEAPRQGHVTLGMFMPESFERRQQPGQEKALPLLVFSSAHGRWTRRLLAPGRCAPARLYDRVMRRRRRSAEGYPWVRTWRSALYCRGSLYAHCEKRILVVLRCSEGTYDMVKLPADEVENVSSGLPVDSIFASTEDGVLLRYASVDVFRVKVWALQESVDGGGQLEWTLTHDKDLAAHARMLDLLRHAPSNRVPLATGEGRGGGQGKSVWFSDEDAEEVGSGDVGDGCSASGRWNWDDASLLDIEIGEDEVLDVVAGAPTPFSILGCHPSEEVIFLVAGAFHVVAYRLGSGKVEYLGRIVSLGDGDRVEAAIPYRPCTVDALPSYTW >Sspon.02G0039210-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:43872084:43874658:-1 gene:Sspon.02G0039210-3D transcript:Sspon.02G0039210-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LAMPTFHTFLRLLLILTLHLHAIPPGAGADDDDTLMPGQAFTVGNKLVSSNGKFALGFFQPGAGNMNKPPSSTTSPGWYLGIWFNKIPLVTPVWVANRERPILEPYLSATQLQISVDGNLLVIVSNNATTTQTQSVLIWSTSTHIAKANNTATCRAILKNTGNLVIESLENPSNNATAMVWLWQSFDNPTNALLPHAKIGWNKVTGLNRVGISWKSQIDPGLGSFRVGLETNATTRNNVTIRRQIYPYKTYWWWSPDTAGGMKIPALRALLHMNPQTSGLVVPEYVDNSEEEYYMYTSPDESSSTFFSIETNGQVKMNVWSHANQSWYSIYVQPVDPCRPYATCGPFTVCTGSSRPPCECMESFSRTSPQDWDLGDRTGGCSRNTPLDCSANTGSSSSTDVFHPIARVTLPYGPQTQSSLHTMTRSECQQACLSNCSCTAYSYQDTKCSVWHGKLFSVNQDDGIEISSEDSIYIRLAAGDFPSLTRGMTRKPLPIGAITAASVIGSGVLLIVIVMISRKKRFKYWCGVSSPAPTSQASVGVAVFSYADLGRATRNFSEKLGAGGFGSVYKGVISGLSTVAVKRLDGVRQGEKQFRAEVSALGLIQHINLVKLVGFCCQGDKRLLVYEHMCNGSLDSHLFQRNDVAAVLDWSTRHQIAIGVARGLSYLLADFGLASVIGRDFSRVLTTFKGTMGYLAPEWLSGVPITSQVDVYSFGMVLMEIISGRRNASVVSNTTSMDHVAYFPVYAMSQLHEGDVQSLVDPNLHGDFNLEEAERVCKVACWCIQDNESDRPTMGEVVRVLEGLQDLDIPPMPRLLAAITECSSVAAMINNS >Sspon.04G0013930-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4B:52356992:52357860:1 gene:Sspon.04G0013930-2B transcript:Sspon.04G0013930-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAPVLAALLLLTVRMGVGRGVAANTPSPTGWLRGAHATFYGGADASGTMGGACGYGNLYSQGYGSHTAALSTVLFNDGASCGQCYKIACDRKTDPTLCKPGATVTITATNFCPPNSALPDGGWCNQRRPHFDMAQPAWEKIGRDVSTGIIPVIYQRVPCVRRGGVRFMINGHDYFNLVLVTNVGGAGSIKSMDVKTSDSTDWMPMARNWGANWHSMAYLSGKKLSFRITITDGQTLVFTNVVPVGWTFGLTFASNLQFK >Sspon.05G0029480-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:78964950:78969914:1 gene:Sspon.05G0029480-1B transcript:Sspon.05G0029480-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVDEHEVEQQQYLNGKALYDVSSGAPRKHGRLAIANGAVKSSDVRAAGRERSVRPSNSVTMQNMSREMEELRRANGRLERENREKDNALQQNKVLVGLVLNLYQETGRVVPEEALRHLSATQAIATGSSHAASESANNGNDVDHTNGDLHVANVVNNQGSGGNMETLTNPRGPSDPTAAAPRWVLLNRNILKDSGSSAADAKTMAASCTSSGIPFSVSFSIAAPPERSSFLYDLVAGVGSTPSNGDDKDAGKNLHLEVVAAHDDSVLIEAREPVPRRGFRLCVRLDYFLYEAGAGRTPPTLSLLPGCYVSKQFQRDKDAHQVPTRRDQARNLSCSKDTGILRHYQGEVLVAQLEVVGYDTPYRTAELCVLRGPGHDWVLKGGLPIVHHEGEGELQHRPEIDATVPVGSRYMCWVDYHRGFILCDVAEENPRLWYVPLPLLPAKTDHRSSDRLDMQYCRNLAAASPSAVRFVSVAPRCCCGGPGKTFCERSNSAFNVTAWTLTLRTEEPMAWVKDSVFDCDELWQLPNYGYLPRVAPHGPIVSSDDPDVVYFRVCENNYYMNPENNTRLFVFSYSVPAGTDIRLQ >Sspon.07G0004610-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:11348288:11353098:1 gene:Sspon.07G0004610-2B transcript:Sspon.07G0004610-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAIGGAELHGFRGAAAQLPRSRMLGWPIRVAPPAAATPGGGGGASAGSIRAVSAPAKKDASEVKRSKVEIIKEKSNFLRYPLNEELVSEAPNINESAVQLIKFHGSYQQTDRDVRGQKNYSFMLRTKNPCGKVPNQLYLAMDTLADEFGIGTLRLTTRQTFQLHGVLKKNLKTVLSTVIKNMGSTLGACGDLNRNVLAPAAPYVRKDILFAQQTAENIAALLTPQSGAYYDLWVDGEKIMSAEEPPEVTKARNDNSHGTNFPDSPEPIYGIQYLPRKFKIAVTAAGDNSVDILTNDIGVVVVSDDAGEPIGFNIYVGGGMGRTHRVETTFPRLADPLGYVPKEDILYAIKAIVVTQRENGRRDDRKYSRMKYLIDRWGIDKFRAEVEKYYGKKFESFRPLPEWQFNSYLGWQEQGDGKLFYGVHVDNGRVGGQAKKTLREIIEKYNLDVSITPNQNLILCGIDQAWREPITTALAQAGLLEPQDVDPLNLTSMACPALPLCPLAQTEAERGILPILKRIRTVFNKVGIKDSESVVVRITGCPNGCARPYMAELGFVGDGPKSYQIWLGGTPNQSTLAESFMDKVKLDDIEKVLEPLFSYWNSTRQEGESFGSFTNRTGFDKLKEVVNKWAESPSAA >Sspon.05G0033640-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5C:36156539:36160481:-1 gene:Sspon.05G0033640-1C transcript:Sspon.05G0033640-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GCHLLDGLVVVSVEARKEDCVVLRRSDCEGYLLTPWKLRRATLIERVIELPSLVVCIFWRLHESSSSLAPSSAGPIPIVAACVKAKLSPCVHLIANLSMPFHLTPHLQSRHTAASTSHATASACFPRCLSFLSSVWIKAGCSGYPKISGRMVSQMDGYGGDLAGHVDGQGINFASSADGRGDSLARCADGRGSDLMSSVDGRAAASRATRMANAIMSMACMELAVPEEDQREERHHKQETREREDGGK >Sspon.02G0026290-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2B:66061894:66063346:1 gene:Sspon.02G0026290-2B transcript:Sspon.02G0026290-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMSALREEGDKITDSDALLALYQASYLAFPGDTVLDQARAFAVGKLAARDDSDLCTHLSSTLPLHWRAPRLQAMWSLIKDDDHNNKVAVDPAILQLAQVDFNLVQALHRRELAEVTTWWKESRLGEYSFARDRVVECFFCAACIAPEPRLAECREVLAKTGALIVHLDDIYDVYGTPDELQAFTDAIASWEHCDDGSAVVMDMALPEYMKAMYSAIWTTSATAADRVLNKHGYDMLPLYKKAWHELCKAFLVEAQWQQQGHMPSFAEYVSNGWVASTGPLLLLHALPAAVAIPPITQANGDGGNGAGGGIDYPRLVELSSTIFRLCNDCASHEAELERGDAPSSIACCMAEPGCAGEEQARATVQGVIAETWKALNWEVVAAASGSGGNDPCCSSSSSSSATETTESMTSMANLCLNLARIVHCIYQDGDGITSPTHRMKQMVKDLLFNPIHH >Sspon.01G0009000-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:3927806:3931929:-1 gene:Sspon.01G0009000-3C transcript:Sspon.01G0009000-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSQPSSLTAILAQGPNLCPVKILLPMGPPDVVSSDNIEYDFSDVFGSTPVQTPTNLGGLGPDSPSPTVESNEEFYSDPIIIINRSHSLVGPTSLVSRSLRLSKLSLGKTEGSSELVKCLSEEKEGDQGQLSDEELGNAMTEDEGVGLDDFEILKLVGQGAFGKVFQVRKKNTSEIYAMKVMRKDKILEKNHSEYMKAEREILTKVDHPFIVQLRYSFQTKYRLYLVLDFINGGIFSFSSTSKDCLGIFHFSLGSFICQKVVTLVLKLNWFYITREELARIYTAEIVSAVAHLHANGIMHRDLKPENILLDADGHAMLTDFGLAKEFCENTRSNSMCGTLEYMAPEIILGRGHDKAADWWSVGILLFEMLTGKPPFVGNRDKVQQKIIKDKLKLPSFLSSEAHSLLKGLLHKEPNKRLGSGPGGSNEIKNHKWFKPINWRKLEARQIQPSFRPNVTGLTCIANFDECWTKTPVLDSPVTTPAGGGHSHFAGFTYVRPAPILEEVNASGSRLKD >Sspon.01G0004540-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:10686890:10691113:1 gene:Sspon.01G0004540-4D transcript:Sspon.01G0004540-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DGGYDGWQIPSKFWLYETRSKFYLIGRDKTRIHWRVLKIDRLESTELGVEEDPTIYTENECQELLCRIHEGNKLTGGLKFVTKCYGIVGFVKFLGPYYMVIITRRRKIGTICGHEIYSVAKSEMIAIPSVIVWPNVAYSRDENRYKRLLCSVDLSKDFFFSYSYNIMRSLQKNITEKNTGQSKLSVSGKDFWLTLIARRSRHFAGTRFLKRGVNEKGRVANDVETEQIVFEDTSDGIPTQIASVTREKKPRESLLRAEFAKAIHYINKSLPDDKRLKFLHMDLSKLSR >Sspon.01G0013950-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:39534206:39539011:-1 gene:Sspon.01G0013950-2C transcript:Sspon.01G0013950-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GMTSCGNSPIFKDGKGCGSCYQVKCTAPQECSDRPVVVFITDVNDYPLAPYHFDLSGTAFGSMAKPGLADKLRHRGIIDMEFSRVRCKYAPGKNIVFHVEHGSNPSYLSLLVKFVAGDGDVVQMDLKREASLEWQPMHHSWGAVWRIIDPHRPLKGPLSIRLTSESGKKLAATNVIPEDWKSDASTSPTSSSRSNQLTDRSMHVPLINHQTDEATSEFYLAYVIRAHLLFREKHSNNTTMGSLGNNIVAVAAVLAALVAGGSCAPPKFPPGPNITTNYNGQWLTARATWYGQPNGAGPDDTGGACGIKNVNLPPYNGFTACGNIPIFKDGKGCGSCYQVRCTEKPECSGQPITVFITDMNYEPIAPYHFDFSGKAFGSLAKPGLNDKLRHCGIMDVEFSSAKLTRRPSSCRVRCKLPGAKILFHVEKGSNPNYLAVLVKNVADDGNIVLMELEDKSAPGLFKPMKLSWGAIWRFDTPKPLKGPFSIRLTSESGKKLIAKDVIPANWKPDTLYYSN >Sspon.07G0012200-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7A:43659821:43661630:-1 gene:Sspon.07G0012200-1A transcript:Sspon.07G0012200-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding QWKCTCLRKFKEVLLQLPLLHQAQLLLLVQQHQLLQMLHL >Sspon.07G0007730-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7B:18370010:18379948:1 gene:Sspon.07G0007730-2B transcript:Sspon.07G0007730-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GSTCRRPPPPLLLLRRCSNSKTWPTPPLLVAPFLRRAALFPWPFQLPSRDPRPHARRKHRHQQRRLARRDDGAVVVAGGAAPGLLHLDVARDARELPRQRAGPARPARPRLRRLRRALAQVSRPC >Sspon.04G0026430-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4B:43197073:43198005:1 gene:Sspon.04G0026430-1B transcript:Sspon.04G0026430-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSKLSTARLTRSASSSPGPAHASLDPLPPGQDLRLDHGVRGGGQHGKDHHALGHGPPPLAIQIRCAPIDAGKARPTTNATASRSSDAAIATTSARGELGGEEEEASGAGQDGGDVAEGRGASGDWGGSSLRLERRQGRGIFCLGFQQGSPLL >Sspon.02G0003130-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:7486198:7490550:-1 gene:Sspon.02G0003130-2D transcript:Sspon.02G0003130-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPDNAGKPIRCKGTSSTQTLHTCLSMSEMSGRAKRPCDRLVVDRAAAVSKAPGQPLEMEEVEVAPPRAHEVREFPAMFPSILGHEAIGVVESVGDHVHEVTVGDTVVPVFLPQCGECADCVSARSNICSALPYRPGGMPRDGTTRFSLAATGEPVHGFVCVSSFAEYTVVDVAHLVKLDVVGPAPALPPEKACLLSCGVTTGKKMGITDFVNPNDVAEKTVSEGWGKTVVLGVDGSAAPISVPSFDILRGRSVTGSLFGGIKPKDDIPVLAQKYLDKELELDEFITHQMGFHEINRAFDLLTQGKCIRCIIWMDYGANENG >Sspon.02G0002210-1P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:7265003:7269804:1 gene:Sspon.02G0002210-1P transcript:Sspon.02G0002210-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSASGPVAVASASAATKAAPSPAVGISPDLYPTEDDLPYEEEILREPFKLKGWWRYLVARAAAPFAKRAVIYERALKALPGSYKLWHAYLRDRLDHARPHPIDHPAYSSLNNTFERALATMHKMPRVWVLYLTSLLDQRLLTRARRSFDRALRALPVTQHDRIWPLYLRLASLPACPVETSLRVFRRYLQFDPSHAEDFINFLISANHWQEAANRLASVLNDDGFRSVKGKTRHQLWLELCEILTKHADEVAGLKVDAILRGGIRKFTDEVGKLWTSLADYYVRRGLFEKARDVFEEGISSVVTVKEFSVVFEAYTQFEQSMLAAKLEAAEEEGAEDENEGGGRKSGMDKLSKKFLDEFWLNDEDDTDLRMARFERLLDRRPELLSSVLLRQNPHNVEEWHRRVKLFEKDPARQVATYVEAVKTVDPMKAVGKPHTLWVAFAKMYEKHNRLDSAEDIFKRATQVNYKAVDHLASIWCEWAEMELRHNNFDKAIELMRQATAEPSVEVKRRAAAEGDEPVQMKVHKSLKMWSFYVDLEESLGTLDSTRAVYERILDLRIATPQIILNYAYLLEEHKYFEDAFKVYERGVKIFKYPHVKAIWVTYLTKFVQRYKRSKLERARELFHEAVQQAPPEEKKPLYLQWAKLEEDYGLAKRAMNVYDEAVRAVPNSEKMAMYEIYIARAAELFGVPRTRQIYEQAIESGLPDRDVLTMCMKFAELERSLGEIDRSRAIYVHASNYADPNNPDFWKKWNDFEIQHGNEETFREMLRIKRTVAASRSQTHFILPEYLMQRDQRLNLDEAVDTLKRAGVPEDEMAALERQLAPGPSTAPPAAPSTAPASANRMMNFVSAGVEAQAESSRQQAGNSEDIELPDESDDEEPDVQIAEKSVPAAVFGELGKRAAENAAENNEESSGAQGNEQLGALERIKRRRQ >Sspon.02G0042210-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:76812521:76819360:1 gene:Sspon.02G0042210-3D transcript:Sspon.02G0042210-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVSVSRKQLACVVSAVCRAVAAGCCTAGREAKVVHFRSLEKPKEDDFCLEMSKIFTYDQVVEKVAEKLGVDDPSKIQLTSHNCYSQQPKPQPIKYRGVERLLDMLIHYNQTSDILYYEVLDIPLPELQALKTLKVTYHHATKDEVSVHSIRLPKNSTVGDVLNDIKTKVELSHPNAELRLLEVFYHKIYKVFAPNEKIENINDQYWTLRAEEVPEEEKNLGPFDRLIHQVQNFGEPFFMVIREDEILSSIKERIQKKLKVPDEDFSKNRHSFERPVKIYN >Sspon.03G0021780-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:88928296:88932051:-1 gene:Sspon.03G0021780-2B transcript:Sspon.03G0021780-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPNLSKTTPAGLLGDEVAPVEGLKPSRFTFKGKDLSVDGHPFLLDVPANIRLTPASTLVPAAAAPAAAGDGSFLGFDAAAAKSRHVVPVGRLRDIRFMSIFRFKVWWTTHWVGDNGRDVENETQMMVLDRSSAAGGGRPYVLLLPIIEGSFRACLEAGKADGYVDLCVESGSSSVRGAAFRSALYLHAGDDPFELVADAVRVVRAHLGTFRTMDEKTPPPIVDKFGWCTWDAFYLKVHPEGVWEGVRRLAEGGCPPGLVLIDDGWQSICHDEDDPASGEEGMNRTSAGEQMPCRLIKFQENHKFREYKQGGMGAFVREMKAAFPTVEQVYVWHALCGYWGGLRPGAPGLPPAKVVAPKLSPGLQRTMEDLAVDKIVNNGVGLVDPKRAHELYEGLHSHLQASGIDGVKVDVIHLLEMLCEEYGGRVELAKAYFAGLTASVRRHFGGNGVIASMEHCNDFMLLGTEAVALGRVGDDFWCTDPSGDPNGTFWLQGCHMVHCAYNSLWMGNFIHPDWDMFQSTHPCAAFHAASRAVSGGPIYVSDSVGQHDFALLRRLALPDGTVLRCEGYALPTRDCLFADPLHDGRTVLKIWNVNRFAGVVGAFNCQGGGWSPEARRNKCFSEYSVPLAARASPADVEWKSGKAGPGVSVKGVSQFAVYMVEARTLQLLRPDEGVDLTLQPFTYELLVVAPVRVISPERAIKFAPIGLANMLNTAGAVQAFEAKKDAGGVTAELSVKGAGELVAYSSSRPRLCKVNGEEAEFAYKDGMVTVDVPWSGSSSKLCRVEYVY >Sspon.07G0003620-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:8534143:8534940:1 gene:Sspon.07G0003620-2D transcript:Sspon.07G0003620-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSREQHTHHSYEHLVLDPASLGVPVSWADPAAVEIPPQLLGALGEYLSAARVGGDEADAEADDEFMMYEFKVRRCARARSHDWTACPYAHPGEAARRRDPRRVAYTGEPCPDFRRRPGAACPRGLACPFAHGTFELWLHPSRYRTRPCRAGAACRRRVCFFAHTAAELRAGAKDECSPLSLSLSPKSTLAPLWESPPVSPVEGQRRWVDAIDEPSSDADAEMEELMLAMRELSFRKAQASSAPVLPAVTEEDGPDLGWVSELVM >Sspon.04G0023900-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4B:15852482:15853435:1 gene:Sspon.04G0023900-1B transcript:Sspon.04G0023900-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFSARQQQHFNLPPPPTTKRCPRPAAPSTARAAWPRSRHPAVGLLRWSPRRPSLAALQVTASATTNAARSSEVICFKNIVRDTKLPRAGKFFELEMTVRDCDLDMYGVVNNAVYASYIETGLLYHPNNPRIKARNVSRYSFPNPWSMYGNHVYMQYPARQEMAASLGVCTGSIVRTGRAMALSELNVKYFAPLKRGAMFVVMVRVVQIKGVRMLMEHLIATLPDRK >Sspon.02G0006060-1P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:18574317:18576463:-1 gene:Sspon.02G0006060-1P transcript:Sspon.02G0006060-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LVHGSHCSSRQPPPLFSYDEETMLRNYVPVYVMLPLEVVTTENELEDAGKLRAQLRRLREAGVDGVMVDVWWGIVEGAGPELYEWRAYRELFRIVQAQGLKLQAIMSFHACGGNVGDAVNIPIPRWVREVGEGDPDVFYTSSSGVRNQEYLTIGVDDEPLFYGRTAIQLYADFMKSFRENMADFLDSGLIVDIEVGLGPAGELRYPSYPKPGVGVPGIGQFQCYDKYLEADFKAAAVAAGHPDWELPDDAGEINDTPEDTGFFAAERGTYLTEQGRFFLTWYSSKLIEHGDRVLDEANKAFLGCKVKLAAKVSGIHWWYRHPSHAAELTAGYYNLGGRDGYAPIARMLARHDGAILNFTCAEMRNSEQVEEALSAPEQLVQQVLSTGWREGIEVACENALSRYDRRGYNQMLLNARPNGVGLSGDGAAPRRVVAVTYLRLSDELLASNNFRIFRTFVQKLHADLNYCPDPERYGRPIKPLERSAPEMPIERLLEATAPAPAFPSDPETDMSVGGWLAEAVDWVLDKIEWVFG >Sspon.08G0002410-3D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8D:5467186:5467773:1 gene:Sspon.08G0002410-3D transcript:Sspon.08G0002410-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MCARPASSSPDLGTAGVLLADVSAAGLLLTGSRRSRPPPHQMCARPASSSPDLGVAGLLPADVSVAGLLLTGSRRSRPPPHRMCARPASSSSDLGTTGLLPAGCVRDGLLLAGSGRGRPPPCPHHTHFYIGAPPPSSPSHPSRVATLSDPSPPVITNDLIPTRLRLAGISFPSLSSPTLPLSRSTLPHLHCRPSV >Sspon.02G0045590-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2B:109926094:109926531:1 gene:Sspon.02G0045590-1B transcript:Sspon.02G0045590-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATHGPHCTTATRATCQRARRHTNLVPLVYLLELPSWRFGGSVEAAAARQLGGGGCGKQAGTARWRRARQTGSSGECDAEEAALGGSVEEAAGDGVWRGAAGDRRRRGGVGWANGHCDTGRKRRSEHRSARTGVSGGRCLSVLTS >Sspon.02G0014100-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:30888615:30889595:-1 gene:Sspon.02G0014100-2D transcript:Sspon.02G0014100-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MERKLRVIPFESSGPRSSEMAPPSSLPELTDDLIGEILLRISPDEPAHLFHTSLVCKSWRHLLSDLGFLRRYREFHGTPPVLAFLNYNSFVSTTSAACPLIPPALDCYGCVGLDCRHGRVLLHTIYPAGLIVWDPITGNQQNLPDAPETPVLCAADGCDHIDCGRGGPFLVNLVEDDVSFTWLSVYSALASTHLGPIATSRDVMGPSLLARGALYFLLEDGRRVLRYELGRHELSVINNLPPCARKTAGWDLHLWSWRGAAAGWVQGRVIELEMMLPMTIGDPSTRLLV >Sspon.04G0015740-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:58530972:58533787:1 gene:Sspon.04G0015740-1A transcript:Sspon.04G0015740-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLLLPRPRTCAFASRRFLSALAGSGAGEPCAGVVYGFGDNSHGAVGQPQPAADAYVPTPVPSLPPSVSAVAAGHYHSLAVSAAGEVWAWGRNDEGQLGRGLHSPRNTWSNAELVRGLENVQVQAVSASGVVSAAIGSDGSLWVWGRSKRGQLGLGKDVVEAAVPSKVEALASYDIVKVSFGWGHAMALTKDGKLFGWGYSENGRLGEMGRSTRALSAEEYIGKMADKYSSSMLEAVEKMVEEKIRSEDNMPIIWEPSLVHEVSHLEVSDVSCGLDHSLILCSDGIVLSSGDNTYGQLGRKAGPPKLLPVGMSYKPFSMSASVGHSLALCHTTTEGTDGVETGLLSWGWNCSSQLGRPGQEDIPALVDCLSRERLVSASAGRVHSVALTSKGEVWTWGSGRNGRLGLGSSIDEAEPCLVDTLEGVEVLQVAAGMDHSLLLVSE >Sspon.01G0017460-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:64069829:64074840:1 gene:Sspon.01G0017460-1A transcript:Sspon.01G0017460-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLFGLGSKNQKTFRPKKNAPSGNKGVQLKKHIDATLGSGNLRDAVRLPPGEDLNEWLAVNTVDFFNQVNILYGTLMEFCTPATCPTMSAGPKFEYRWADGVQIKKPIEVSAPKYVEYLMDWIEAQLDDESIFPQKLGTPFPLNFKEVVKTIFKRLFRVYAHIYHSHFQKIVSLKEEAHLNTCFKHFTLFTWEFKLIDKAELAPLIDLIESIVSESYTFDLSELVGVVSDKVEQLAEARGAPEQV >Sspon.02G0032430-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:112750845:112759644:-1 gene:Sspon.02G0032430-2B transcript:Sspon.02G0032430-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGEVRHWNADVNGVSIHVAERGLEDGPAVLLLHGFPELWLSWRHQMATLAARGFRALAPDLRGYGDSSAPSDPAAYSIFHIVGDVVALLDHLQLAKVFVVGHDWGAQVAWHLCLFRPDRVRAAVVLGVPYFARHARPVTEALAAFGDGFYINQFQEPGRAESAFARYMLPLEEELGQYAEKFQKSGFTGPLNYYRMAETNWRLLAPWNEAKITVPVKFIAGDKDIGVQSFGIEKYIKSGAFKSIVPDLEVTIIEGHHFLQQEQAERVARQAEQQSMEAEAAAEVRHWTADVNGISLHVAERGPADGPAVLLIHGFPELWLSWRHQMAALAARGFRALAPDLRGYGDSSVPTDPAAYSILHIVGDLVALLDHLQLTKVFVVGHDWGAQVAWHLCLFRPDRVRGTVVLGIPYFPRGPRPLTDRFVPLGDGFYINQFLEPGRTERSFARYDVATVLKKFYAMEIDEILAPPGVEIIDFLEAPSSPIPWLTEEELGQFAEKFEKTGFTGPLNYYRMLVTNCRLTAPWNGSKITVPAKFILSKNDVGLQSFGTEKYVKSGGLKENVPDLEVSIIEGHHFVQQEEAERVNLEILSFLDKFPSEGDWKLFQTENARSS >Sspon.02G0007260-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:15399129:15400536:-1 gene:Sspon.02G0007260-3D transcript:Sspon.02G0007260-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVAEVSTNAATTNAHACHPPLRFLSSNPWPDRHWTKLRFSYHSTSATAARTRSTMARDSTCEASWEEAFRWQRPQRPEEPGVRDVAVDPFSLRQFSCVDIDRPLPIPAVSVDDRRVSPARFHFHGTSVPAGSASSSPSPRASTAGGLKAPAAPTWSDGAGARPIAHYGALPRSKLSAGGDETELADAGFDVALSSTERNKASEPQRWGSDVPLIAAADAEEYSFGGYAAKDGRGKQAKHAGKAPFTCCMFVPGLTRRIIKPPTSSTATATATVAARSSSSGKFGNTASTVQLQPINPGTCSARPSTMSLAVSLERFDCGALSTSSSPRGLGLDDGDGEGTSASSYFDLPLEMIMGCDAEDDADLPVCAAFLFDSDGIRKSVLKRRLEAGAGWEPRRPSLGKDIECSCSGLLEVEVAVEVLALQVAGNFSPDSPVYLLCVQLCTNGIAGGISF >Sspon.08G0001660-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8A:5363453:5363686:-1 gene:Sspon.08G0001660-1A transcript:Sspon.08G0001660-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYDDEPFHNGQFRAVRLYGGPSSPPPHGRRCPRLVTLAGPARRVGGLATRIDDCGLVLLAASMTVLTSGRTCSPRQ >Sspon.05G0013150-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:23211753:23212316:-1 gene:Sspon.05G0013150-1P transcript:Sspon.05G0013150-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALREIESTLPPGFRFYPSDEELVCHYLHKKVANERIAQGTLVEVDLHAREPWELPEVAKLTATEWYFFSFRDRKYATGSRTNRATKTGYWKATGKDREVRRGGAVVGMRKTLVFYRGRAPYGVKSGWVMHEFRLDAPHSPPR >Sspon.06G0012620-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:10170219:10174053:-1 gene:Sspon.06G0012620-3C transcript:Sspon.06G0012620-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribulose-phosphate 3-epimerase, chloroplast precursor (EC 5.1.3.1) (Pentose-5-phosphate 3-epimerase) (PPE) (RPE) (R5P3E) [Source: Projected from Oryza sativa (Os03g0169100)] WRRRRRRFAPASPPCGPPPSATVVASPPPRPGKAFQVRASARVDKFSKSDIIVSPSILSANFAKLGDQVKAVEVAGCDWIHVDVMDGRFVPNITIGPLVVDALRPVTDLPLDVHLMIVEPEQRVPDFIKAGADIVSVHCEQTSTIHLHRTVNQIKSLGAKAGVVLNPATPLAAIDYVLDVVDLVLIMSVNPGFGGQSFIESQVKKIAELRRLCAEKGVNPWIEVDGGVGPTNAYKVIEAGANAIVAGSAVFGAPDYAEGMVSSQYHSCSKFEIPYRFRIKYMMPTATKVFIDDPLAILQLSKESRPAKDL >Sspon.06G0011120-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:47717002:47731841:1 gene:Sspon.06G0011120-3C transcript:Sspon.06G0011120-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDARFPYSPAEVAKVELVQFGILSPDEIVIPLSPPLPSPALFAFVLRAAKSNNFRLKELVEYGPHPPPGKTGAKYIIREDGQRLDLRYVKKSSDQHLELGYKVSINLPMTIEAATFLNMLIVKLEEVGPDAARKFLGHTQWLVNYWLLQNGFSIGIGDTIADAATMESINKTISDAKDAVKELIKKAHEKQLEAEPGRTMMESFENRVNQVLNKARDDAGSSAQKSLSESNNLKAMVTAGSKGSFINISQMTACVGQQNVEGKRIPFGFIDRTLPHFTKDDYGPESRGFVENSYLRGLTPQEFFFHAMGGREGLIDTAVKTSETGYIQRRLVKAMEDIMVKYDGTVRNSLGDVIQFLYGEDGMDAVWIETQKLDSLKMKKPEFDNLFRYELDDENWRPNYMLPEHVDDLKTIREFRNVFEAEVQKLEADRYQLGTEIATTGDNSWPMPVNLKRLIWNAQKTFRIDFRRPSDMHPMEIVEAVDKLQERLKVVPGDDPMSIEAQKNATLFFNILLRSTFASKRVLKEYRLTKEAFEWVIGEIESRFLQSLVAPGEMIGCVAAQSIGEPATQMTLNTFHYAGVSAKNVTLGVPRLREIINVAKKIKTPSLSVYLKPEVNQKKELAKNVQCALEYTTLRSVTHATEIWYDPDPLGTIIEEDAEFVQSYYEMPDEDIDPDKISPWLLRIELNREMMVDKKLSMADIADKINRVTENIMLGQLAPIGTGGCALYLNDQMLQQAIELQLPSYVEGLDFGMTPARSPISGTPYHEGMMSQRQMSVVQIEHAETMERGKPKPGGLSDPRLGTIDRKIKCETCMAGMAECPGHFGHLELAKPMFHIGFIKTVLSIMRCVCFNCSKILADEDDLTHQLAMIIRHNENLRRQERNGAPAHIITEFAQLLQFHIATYFDNDLPGQPRATQRSGRPIKSICSRLKAKEGRIRGNLMGKRVDFSARTVITPDPNINIDELGVPWSIALNLTYPETVTPYNIERLKELVEYGPHPPPGKTGAKYIIREDGQRLDLRYVKKSSDQHLELGYKVLNKARDDAGSSAQKSLSESNNLKAMVTAGSKGSFINISQMTACVGQQNVEGKRIPFGFIDRTLPHFTKDDYGPESRGFVENSYLRGLTPQEFFFHAMGGREGLIDTAVKTSETGYIQRRLVKAMEDIMVKYDGTVRNSLGDVIQFLYGEDGMDAVWIETQKLDSLKMKKPEFDNLFRYELDDENWRPNYMLPEHVDDLKTIREFRNVFEAEVQKLEADRYQLGTEIATTGDNSWPMPVNLKRLIWNAQKTFRIDFRRPSDMHPMEIVEAVDKLQERLKVVPGDDPMSIEAQKNATLFFNILLRSTFASKRVLKEYRLTKEAFEWVIGEIESRFLQSLVAPGEMIGCVAAQSIGEPATQMTLNTFHYAGVSAKNVTLGVPRLREIINVAKKIKTPSLSVYLKPEVNQKKELAKNVQCALEYTTLRSVTHATEIWYDPDPLGTIIEEDAEFVQSYYEMPDEDIDPDKISPWLLRIELNREMMVDKKLSMADIADKIN >Sspon.03G0010810-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3B:36574186:36574719:-1 gene:Sspon.03G0010810-2B transcript:Sspon.03G0010810-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLEASFAPAASEVPWIFLLGGVTGLVLLWQAGRLLHQLWWQPRRLERALRAQGIRGTSYHFPAGDLKEYGRLAKEAWSKPLPLRCHDIAQRVTPFVHRLVQEHGKTSMSWFGPSPKVTIVDPELAKDVLSNKFGHFEKLKFPALSKMLGGGVANHEGEKWVKHRRILNPAFHLEKLK >Sspon.04G0029000-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:69605447:69607670:1 gene:Sspon.04G0029000-1B transcript:Sspon.04G0029000-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine protein kinase, Abscisic acid (ABA)-activated protein kinase, Hyperosmotic stress response, ABA signal transductio [Source: Projected from Oryza sativa (Os12g0586100)] MARTPAAGSAVGMEMPIVHDGDRYEHVRDIGSGSFGVARLMRNRATGDLIAVKCIDRGDKVRRTLPLPLQSAKLTGRGDHVGCCVLALALPLQIDENVRREIINHRSLRHPNIIRFKEARFFFQQLISGQVCHRDLKLENTLLDGSTAPRLKICDFGYSKSSVLHSQPNSVVGTTAYIAPEVVLTKEYDGKIADVWSCGVTLYVMLVGGYPFKDPEDPKNFKKTILRITMPEIKSHPWFVRNLPADLVGDGTVSYEEADQPMQNMNDIMQILAEATVPADGARGTTQFLCDDLDDGDMDLDWDLDLDIESSGEIVYAM >Sspon.03G0000770-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3B:9754704:9765685:-1 gene:Sspon.03G0000770-2B transcript:Sspon.03G0000770-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPARYAIAAHRIRDAVRSQCSDDQLLWAANEMATVLKEGDPSAVDAPIAWAGLAMAHRAQHEIAAAYDTENINLNDAEERAQYTLKQAIQEDPDDAVQWHQLGLYNICMTRFSRSVNFLKAALARSPDCSYAWSNLGIALQLSDDPSSETVYKRALDRKRAIGLRGQQPTHKPSAGSGRHPLNGRAADDGEYPPAIPPLSPPPRLARARGIPAPASPDPPSAGARGGDLAGEMDKSSALEYINQMFPTEASLSGVEPLMQKIQSEIRRVDASILAAVRQQSNSGTKAKEELAAATNAVQVMASKRQYKEAAAQLEAVNQLCSHFEAYRDVPKITELREKFKNIKKILKSHAFQRTLEFEEELAEKFSGGTTNARNKETASDDEDEGGEHNKIVSDIRKKYEKKLAGPSDEAEQDKDKQKDLSVPGAGFNFHGIVSSCFEPYMTVYIELEEKSLVDQLEKLVQEERWETEEGSQTNILSSSMQVFLVIRKSLKRCSALTKNQTLFNLFQVFQRILKAYAAKLYARLPKGGTGIVAAATGTDGQIRTSDRDERMICYIVNTAEYCHQTSGELAENVAKMINPQFADKVDMSEVQDEFSVVITKALMTLVHGLETKFDAEMVAMTRVPWATLESVGDQSEYVNGISSILSSSIPVLGTLLSPTYFQYFLDKLAASLGPRFYLNIYKCKHISETGAQQMLLDTQAVKTILLDIPALGKQSTGAASYSKFVSREMSKAEALLKVILSPVDSVANTYRALLPEGTPLEFQRILDLKGLKKADQQTILEDFNKHAPAPAPAIKHPVVAPTVAPPVATASVQIVPSVATPAVSITPSMASLKGALANQEDVLARAAALGRGAATTGFKRFLALTEAAKDRKDGPFRKLFNA >Sspon.02G0030550-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:93979587:93983057:-1 gene:Sspon.02G0030550-3D transcript:Sspon.02G0030550-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGSDDDDVVEVSCGGRGGGDPGAYAAVLKRKLDLYCAAVAKSMEAKSQESSLGYPNSQASDTSQLISQASFDGDIDGEGLVTNSNVIEDDDFQGKPANSGISKELSDDDGDLEETTDPANAKKMRRMLSNRESARRSRKRKQAHFYDLESQVSRLTSENASLLKHLADMTQKYKDASLDNKNLTVDIETMRRKVRSS >Sspon.03G0032310-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3B:36921522:36921677:1 gene:Sspon.03G0032310-1B transcript:Sspon.03G0032310-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DEYMRIQTRTQEDMNELLPFKSSSPNLSDSNLHSKCQVPQEFSSEVDEREE >Sspon.02G0006510-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:19628064:19629119:-1 gene:Sspon.02G0006510-1A transcript:Sspon.02G0006510-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MANVMDSEGNTPLHLAVKNADQMIVSLLMATKGVLPNIVNNQGLTALDLAVLATDKGISYTLNPQVIILRCLAWTGAVLSPRRLDHFIDEFGVGRTTGNELKKFTNIAQNLIVGSVLVSTVTFAAVFTLPGGYISDGHPHAGAPILSHRYTFKAFVMANTLAFVGSTLSTIWLTYAGSEHVHPLLRAIYMFLSVISMEQATRSMVIGFALGAYVVLSPVSERIAIVVCMSTFMTLLLRNPSSWQLWFLFMPIKRRLGWKGAFKTHLPPETRSRLTIGVGSNFACQFLRRMLGMLFTYSFIFLLALL >Sspon.07G0016930-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:60802009:60803028:1 gene:Sspon.07G0016930-1A transcript:Sspon.07G0016930-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSFNGHVLPGTLFLAVGLWRVWSAVARFAADPPAFRVRAWCPLELPRAPRLLELYVVAGGAFLDMCLELGGGVLAGRDGGVAPESSLIYLEHAGMLLMFFLFGALALLSQKCTRYLPLTDGELCLVAATAFTSEFLLFSYHSATHAGLEGYYHHLLVILIGLCILTTILGALLPASFPVDVAAGTLIALQGLWFYQTALTLYGPMLPDGCVRNADGDQVDCRSRAAEERAEQLANFQLFGAVFLAFVYVLGCYAVAAARHGHPDLAAMHGEHVAALDVAPDRR >Sspon.06G0023280-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6B:42365696:42366115:1 gene:Sspon.06G0023280-1B transcript:Sspon.06G0023280-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKKEALTRGPAASATHREGKRAGGKARPAASAGLGPRGRAGEEGGPRAGKEGGARWRALLGWAENQEGLYAHKIV >Sspon.06G0003270-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6B:8339297:8342391:1 gene:Sspon.06G0003270-2B transcript:Sspon.06G0003270-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNALADTGLMQNQYIGLIGCMSIGYRESVPPSPNHPSFRPAVFHLLRSSLPSAFLHCPPPKSDQISHACSAKSSYQSSNRVVISFAKSSPKEAPSDHSRVQEKTTQSRDPAFTRKITKPFLFTRIECYLLVAVANHSLIDRLPHRQKHKAILDRRLMEATVVSVGKSVLSGALGYAKSALAEDVALQLGVQRDHAFIRDELEMMLAFLMAAHDERDEHMVVKTWVKQVRDVAYDAEDSLEDFAVRLGKPSWWRVFRTLLDRRRVAKQMKELRAKVEDVSQRNARYHLIKGSDTKPTTRTGQSSMMTGETMSGLEEARREKDKAKVDLIRLINRMDENLQVIGIWGPSYVLQEASIIKLAYDDLKRTKKFECHALIQIMHPFNPTEFLKTIARQFYVDSLEETARITQQDSTPGAQDLRRMGVMTEGDLVGAFKKYLNKKRYLIVLTDLSDVEEWDQIKALFPINNKGSRLIVCAQQVEVASLCVGPEIILLDHKQLSSDQALYAFYDKSLRDSVSCYLNHHLSTGNILALGHLDMTSSIYLDN >Sspon.01G0033160-2D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1D:111739179:111740222:-1 gene:Sspon.01G0033160-2D transcript:Sspon.01G0033160-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKKPTPVKPAAPSTQRNGSAAPGSKSRPKQASEEAAAASAKAQSASKPRKTTKEKPAAAKKKRDKPEPQVGGKRKKQQASADAATPAKKRKKGGEGPEPKPQKEATPAKKHKPSGKPEKPATPGKKQKPAGKPATPAKKQKPAGKSPMPAKKQKPAGKPATPAKKQQSTGKPATPAKKQQSPGKAATPAKKQQSPGKPATPAKKQRQSPGKAEKATPKKKQQSPGKAEEPTPKKQQSPGKARKPAAAATSPTKKQAKREKPTPTKRKRGDDEPQKEAKSPKRAPADGEAHASTPVKKKRKDQKAAAADMGVCSFPMARVRQLMRVEDATIRASSDAVFLINKASV >Sspon.02G0054850-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:121558592:121559631:-1 gene:Sspon.02G0054850-1P transcript:Sspon.02G0054850-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MYWMDCLRLVRTFAAGGDSKKEWPEKPEEFRNVFENYTTLTRGLVLELLHMLSESLGLPSDYFDGDQTGGDMILGINRYPPCPNPDVTLGLPPHCDRNLITLVLPSSVPGLQVFYKGDWIMVKPIRNSFVVNFGLHLEVVTNGILKSVEHRVITNPVQARTSVVTTIHGTQDCLIGPAGELLSENNPPRYRTVMLRDFMRIYTKSLENPVAALEEHMKPFMI >Sspon.04G0021410-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:248295:250723:1 gene:Sspon.04G0021410-2D transcript:Sspon.04G0021410-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding METSLRLRSGGGLRIHAKEKLPLGHISLLQAHAELDLHKSPAGVTAPSYLALFVRHFYPQLSANLGAGVQWHNGDDLSYSLRAKKAVLFKPDNGFLVLNLKGRLLIDKEFKPTKTTGAVELAWTILDFKQGQDVRLKVGYELYDKVPYFQLRENSWTLNAYMDGKWDSGWTRQLKLK >Sspon.01G0024300-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:87229183:87234462:-1 gene:Sspon.01G0024300-1A transcript:Sspon.01G0024300-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARHRRGRGRRGGRQANPPHTELGGRFPAYDDRDSLFTAGALPFHTKEFEVTLSAGGDKKMDRKYKVVINHAATISLLQLRMLLAGYPTDIPAQALLVLDTVLRDVVSNERDDMKCAAIATKDRTLGVDAWKGLYQSIRSTQNCLSLIADVSSSVFVQPLLLIEFVQRILKMDVVDRNLTKPEYDKLLKVLRGVRIEVTHLGDNRRRKHRIVGLSANPTNDLSFQSSGATTTVINYFREIYILDLKYKSLPCIIARSEQNPIYLPIEVCKIVPRQCYQKKLEASQVSTLRKSACIHPEPEQSCHQIVDQEKYKRTKRANEFDIEVDDNLTAVDARVLLPPNLKYHDSGSQKTGFPMNGYWNMKDKKVINGAKISNWACLNFCEDLSKKDIKEFCFKLAEMSRITGVEFANLKLPIFTARPDQVEDGIHKCYQEAKNKLKDQKIDLLLAILPDKNGSLYGNIKRICETGIGLVSQCCRRSNVFTENSQILSNIAIKINAKAGGRNSVFDDVQKSLPVVSNKPTIIFGAHVSHPSKKKDGSAAPSIASVVASQDWHEVSKYNSVVRAQGHTEEISGLEDIVKELLHAFANESKKKLQQLIFYRVGISEGRFKQVLEKEIPAIEKAWNALYDNEKPQITFIVVQKRHRLRLSPTDNKYKLRSATKKIVEPGTVVDSEICHPAEFDFFLCSQADVKGPRRPVKYLVLRDDNNFTADELQALTNNLCYTYTSGTRSVSIAPPAFYAQKLAHRALVYLAKASDTASASSSGSAGAAAPGGGPKQLPEIKNELKGSMFYC >Sspon.02G0024530-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:88285095:88287704:1 gene:Sspon.02G0024530-3C transcript:Sspon.02G0024530-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLLLLLRWLLLAATAAAVAQAQTQPLASRTDLAALYSLRASLGLRALDWPLKADPCARWAGVDCLAGRVVGVTVAGLRRTRLGATAPAPPRVALEGLRNLTALARFNASGFALPGEIPSWFGAGLPPPLSVLDLTSAAVNGTLPPDLGASGNLTVVHLSGNRLTGSVPAPLLSVAGLRVLDLSRNNLTGALPNVSISVSRGAGDAANASVFNVSGNSLYGVAADAIGALRRRFQVVDVSSNYLDGAWNGSDTAVLATTNCFYGVPGQRSRVDCEDFYRKQGVKLVDAPAPSPAPSSETNKKQRISKNVLIGVLVAAGTLMALFFIALLLCLVKRTSRGTRGGRGVEPNEEGTRSSRRRDSSVNPVMSLPPAVSPGVDDGPKNAPAPAISGEFTYEQLVLATGGFGDDKLIKHGHSGDIYHGVLENGSHVIVKKVGAKGVNKHVGELDFYTRYSHERIIPLLAHLSNDDEEFLAYKYMPKGDLTNALHKKPLDTTDGLPSLDWITRLKIATGVAEAMCFLHDECSPPLVHRDIQSSSVLLDDKYEVRLGSMSDICIQQSGGSQNVFTRILRSS >Sspon.02G0012090-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:30324409:30325854:1 gene:Sspon.02G0012090-2B transcript:Sspon.02G0012090-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAFAAVLRARPLAVPAPLSTSTPPPFFATSAYACVPRLTAAAAARALGQLFDTSVGITGQVDLAELDGPFVKLRLKGKFWHTRATVVARIGNYLKNRIPFQASSFGDLQLPIWFGLYGIHIDCRYPLFGGV >Sspon.01G0018260-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:64540035:64542497:1 gene:Sspon.01G0018260-2D transcript:Sspon.01G0018260-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWVGLLMLRAILNLSAAKEEEGRRQDDGRMFRALQLILRKKKGGVLGPAALSGFVNGFSSAPNSQRYVGFGSILVCGQQEEPLTLGVECRLAGKVAVITGAASGIGKATAAEFVRNGARVIIADVQDDLGRAVAAELGPDAACYTRCDVTDEAQVAAAVDLAVGLHGRLDVMFNNAGVFGDVTPTPLGSIDLDDFDRVMAVNARGVLAGVKHAARVMLPRRSGSIICTASTTSLLGGILPPAYTVSKAAVVGLVRAVAAEVARSGVRVNAISPHAIPTPLTMAAVAQLFPEGSVEDHRRIVEKDYNEMVGPVLEEGDVARAALYLASDEAKYVNGHNLLVDGGYTVSKAPNITAAPGQ >Sspon.08G0006540-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:17781102:17785317:1 gene:Sspon.08G0006540-4D transcript:Sspon.08G0006540-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMKFMLLPWKPELVFTGLSIMGVNPQNLKFNSHVDLWDSIQNNEYFSSEGLWDVFKQLRIYKTPDIETPNYLILKRTAHYEVRSYAPFLVVEAKGDKLTGSSGFNNVTGYIFGNNASSEKIPMTTPVFTQASDDTLSDVSIQIVLPMNKDLDSLPAPNTAVTLRKVEGGIAAVKKFSGRPKEEIVLQKEKNLRSQLLNDGLKPHPGCLLARYNDPRTKSFLMATEEV >Sspon.01G0039420-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:34806308:34811606:1 gene:Sspon.01G0039420-1B transcript:Sspon.01G0039420-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATTLRSAAARARARAAELVGGGRWPQRRLGTTSAAETESRKDKEEEEGAGAGWELSAAREYYDYRKSIYGDVTHRALLVDAVGTLVVPAQPTAQVYKSIGEKYGVKYSEDEILMRYRRAYEKPWGGSRLRLSYYTNIDQAWKFVDPDAENVFKALRKAGVKTAVVSNFDTRLRPLLQVLKCDHWFDAVAVSAEACELLGVKPEEAVHVGDDRRNDIWGARDAGCDAWLWGSDVRSFKEVAERIGVEVTK >Sspon.04G0029810-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:41383835:41384326:-1 gene:Sspon.04G0029810-2C transcript:Sspon.04G0029810-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYTSHILDQIKTLGFHQATTTSISLGIKDLLTIPSKGWLVQDAEQQSFLLEKHYLYRAVHAVEKLRQSIEIWYATSEYLKQEMNSNFRITDPSNPVYLMSFSGDRGNASQVHQLVGMRGLMADPQGQMIDLPIQSNLREGLSLTEYIISCYGARKGVVDTAV >Sspon.07G0033840-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:66380877:66414023:-1 gene:Sspon.07G0033840-1C transcript:Sspon.07G0033840-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIPNLLRFLKPFIEPVHIKKYAGKRVGIDAYSWLHKGAYSCSMELCMDPKCAAARRYISYFMHHINLLRHYKVTPVVVFDGGSMPCKAATDNERQRKRELSLNMAKEKLEQGNTAAAIDFFRKAVHITPLMAYRLVQILRSENVEFVVAPYEADAQLAYLTTIDADQGGISAVVTEDSDLIAYCCPAIIFKMDRFGNGEEFTMERTLKTEKDGLSFQDFDKKLFTGMCVLAGCDFLPSISGIGTKRACSLISKYKDINHVISNLKLDKRYSVPDDYADSLWKTLAVFDHARIYDVKSKSLKHLKPLDDQCISYLDGKVSVLPSFHTSIDGSFKGHLNPVTMEAFDQYSRTINPIEFIDTSAFDTANQCGSQEVLTQSCITIVSSQECKENMIVNEISSGKKCKKGVLALGKFLVQKQPLRVEDNEVGPGNIPENNPFKKRKLPTNQVQEMDPTELLTDLQNEESYLPCSSLSQESSHTINSTKLLSIGQDYNNGQNLLMNEVPVAICSSLTWRSAKSVPKKIASTRQKILNKSNDKTNKKLKTVFGGGLPWALAVWHMLPLHAILAMHKKVHFVLGLAGGGKMFSVMDASSRMTEDLAWNTFGIRKVRFKALTVFVLLLLFICMLLNASTSTSSEKVGHQLNSASLLLNLKSSFRSVKTFLSGVLVLLRFFIISDGFIIYNVGKEHIFAAYIGDIGEQRSGAAACGPIARWWRPRKEASEAADTCGADPMLPVPDLTLARFSSLDKITVSQQEGERGGGPQRCPPEKDGGGEARDGPISNLPDEMLAEVLACLGSTAEAARTSIVAKRWSCLWPNLPVMIFRGIEPDQLRELLAKPHSSKLKRLEIHVPRQAGGLAAAEVSSLLRAAMERRPTELIFDAGGITDQDVPFELPFFATATSIDLQIWNRCFTLPPVGEFSSLERLTLPLCCVDPSENQRAKRSFAEEIPHLPVNSFSVLKLGLHMDEGRDFGSLVVHLLKILNGIQRLQLVLSRNMKPFSKNSNVAGNQNVSLTRLEVVDIEGFNVTKHDLDFLKLLFKSAPVLKIVRIQLSSGVSQNGRAYKELCGLFMANASVKCFVNGGHEDREENMLL >Sspon.01G0021730-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:82961867:82996098:-1 gene:Sspon.01G0021730-2B transcript:Sspon.01G0021730-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLTVCTATAAAGGNNNKQREGAEIITGAEACFAHSKEMLKSLGFPGGVMPLRGLEECGWVRETGFVWMRQKAPYEHYFRGTGTRVRYDAEVTAYVEDGRMKRMTGVRSKQVMLWVPIVEMSLDGEKRDKIYFKSNVGIGRSFPASAFPDEDEEAEPKKKEEEEKKENGDDKAAADDAASNAKAAGNENPKVIENAEGARTTPSVVAFTQKGERLVGTPAKRQAVTNPQNTFFGTKRLIGRRFDDPQTQKEMKMVPFKIVKAPNGDAWVETTDGKQYSPSQIGAFVLTKMRETAESYLGKSVSKAVITVPAYFNDAQRQATKDAGRIAGLDVERIINEPTAAALSYGMNNKEGLIAVFDLGGGTFDISILEISNGVFEVKATNGDTFLGGEDFDNTLLEFLVSDFKKAEGIDLSKDRLALQRLREAAEKAKVELSSTSQTEINLPFITADASGAKHLNITLTRSKFESLVHNLIERTREPCKNCLKDAGISTKEVDEVLLVGGMTRVPKVQEVVSEIFGKSPSKGVNPDEAVAMGAAIQGGILRGDVKELLLLDVTPLSLGIETLGGIFTRLINRNTTIPTKKSQVFSTAADNQTQVGIRVLQGEREMAADNKLLGEFDLVGIPPAPRGLPQIEVTFDIDANGIVTVSAKDKATGKEQNITIRSSGGLSEADIQKMVQEAELHAQKDQERKALIDIRNNADTTIYSIEKSLGEYRDKIPAEVASEIEAAIADLRQEMASDDIEKIKAKLEAANKAVSKIGQHMSGGGSGGSQSGSGPQGGSDQAPEAEYEEVK >Sspon.01G0049200-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:111972592:111978102:-1 gene:Sspon.01G0049200-1B transcript:Sspon.01G0049200-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGDHTGIGTGTQISPDGACEAAGAASFFFPALGGLLFGYDIGATSGATISVQSPDLSGTDWFSLSSLQLGLVASSSLYGALGGSLLAYRIADFLGRRIELVTAAALYILGALVTGFAPNFVALIIGRVLYGIGIGLNGPRCLFSVNDHGLALSLQCLEITQSVRIERECKSYSTVAAAALKSGISGHQITLLKSAHFITTVTSLVAAVFLK >Sspon.05G0030840-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:1660159:1663124:-1 gene:Sspon.05G0030840-1C transcript:Sspon.05G0030840-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MELITNVTEYEKLAKEKLPKMVYDYYASGAEDQWTLKENREAFSRILFRPRILIDVSHIDMATNVLGFNISMPIMIAPSAMQKMAHPDGELATARAAASAGTIMTLSSWSTSSVEEVNSVGPGIRFFQLYEYSTATREKAELAGFKAIALTVDTPILGRREADIKNRFTLPPHLTLKNFEALDLGTMDKTNDSGLASYVAGQVDRTLSWKDIKWLQTITSLPILVKGVVTAEDTRIAIEYGAAGIIVSNHGARQLDYVPATISCLEEVVREAKGRLPVFLDGGVRRGTDVFKALALGASGVFIGRPVLFSLAVDGEAGVRKVLQMLRDELELTMALSGCTSLREITRAHVITDSDRIGRSRL >Sspon.05G0024300-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:13845582:13846569:1 gene:Sspon.05G0024300-1B transcript:Sspon.05G0024300-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQHRHHPKCSVARILASAPISAVHNPNHRYAYLRPQPPPHGGPTLGAEAAALGRQKEREPTAVPCAYASCSLA >Sspon.03G0010800-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3B:36567178:36569122:-1 gene:Sspon.03G0010800-2B transcript:Sspon.03G0010800-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQINKEVNSILRGLIAKRMQAMEEGESSTSDDLLGLLLESNARHTDENGGQSTLGMTIEDVIEECKLFYFAGMETTSVLLTWTMVLLSMHREWQDRAREEVIGLFGRNKPEYEGLSRLKTVNMILYEILRLYPPAVVFSRKTYKEMKIGDVTFPAGAFIELPVLFMHHDPDTWGNDVHDFKPERFAEGISKASKEPGAFLPFGWGPRICIGQNFALLEAKMALCMILQRFEFELAPSYTHAPHTVMTLHPMHGAQIKLRAIC >Sspon.08G0014740-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:54697958:54704713:-1 gene:Sspon.08G0014740-2B transcript:Sspon.08G0014740-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPKPEGGDADGAAAAEVGSPRPGYFRQRSMHAAAADPEAAARRAFDVENPPCSAGGAGGGLRPSESVTKLESLERAERAALAPAVVLRTGFYILVWYAFSTCLTLYNKTLLGDKLGKFPAPLLMNTVHFALQAGLSKLIIFFQSKGPEATVEMGWKDYFIRVVPTALGTALDINLSNASLVFISVTFATMCKSASPIFLLVFAFAFRLENPSIKLLGIIVVISTGVLLTVSKETEFDFWGFIFVTLAAVMSGFRWSMTQILLQKDSYGLKNPITLMSHVTPVMAIATMILSLLLDPWSEFQKNSYFDNPWHVMRSFLLMLIGGSLAFFMVLTEYILISATSAITVTIAGVVKEAVTILFLMALMKDLFTLVFQVAVFYFHDEFTWLKGFGLFTIMVGVSLFNWYKYEKFKRGQTNEDDVNSPPFTGDVKYIILDDLEYQDEFEEDTQANYTVKKRANTTRSQFPS >Sspon.01G0004060-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:9633749:9634389:-1 gene:Sspon.01G0004060-2B transcript:Sspon.01G0004060-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to DNA-binding protein-like [Source: Projected from Oryza sativa (Os03g0171300)] MSSRRSRSRQSGSSRITEEQISDLVSKLQDLLPEARLQSNARVCAICEVLQETCNYIRSLHQEVDDLSERLSELLATSDMSSAQAAVIRSLLM >Sspon.03G0019500-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:60794338:60796027:1 gene:Sspon.03G0019500-1A transcript:Sspon.03G0019500-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding CAMAWGKFVERAIGILVLIGFWGISSSMMCGSGEERTAGPLTNLSHISGISLSVKKPRIKMALRRALGWSEGEVMRPESKPCSRLMRQTAGIFSVGGGLAFWVLCRLPVGPRITVPRSLRWASCGVVSMSATSALLVRLFSPECEPQNIAAFDKPELKA >Sspon.04G0002790-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:9693192:9694907:-1 gene:Sspon.04G0002790-2C transcript:Sspon.04G0002790-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLSSPRLLSSFLGDRLALSGRPLLLRSAVPGTCPPPSTALPLCGCAPGFIALAQSRSSLRRLLLVGSRRVTYQATRTLCNLVDILFNRRSRDDAPENNPRRLRPGKVSPHLSVPNHIQRPPYVNSRQQRPGMNNGPEIHDEKGIECMRASGKLAAQVLKFAGTLVKPGITTDEIDKAVHQMIIDNGAYPSPLGYCGYPKSVCTSVNECICHGIPDSRPLEDGDIINIDVTVYLNVSHSKLMSTN >Sspon.02G0033920-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:9647373:9657624:-1 gene:Sspon.02G0033920-1B transcript:Sspon.02G0033920-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRRLPTRRLASAFLSSSPLQRAAATHAPPLERHLPTTASGLVPPSRLPSWHHEPRWFASSSAAEAVSSEDAEELHHAIEEIVRAQPSQNLPQPQSVAEERQAPGQKHRGRHRRSGRGRHVEVMAAEHGMTYHRYTSLRRRQIRVETEAWEQAAKEYRELLADMCEQKLAPNLPYIKSLFLGWFEPLRDQIAAEQELVADPGSRASHGPFFNMLPADMMAVITMHKLMGLLMTGSGDGSVRVIQAACQIGEAIEHEVRINRFLEKTRKKSNKEMEKEEEAAGDTDIAKEQQRLRKKVTDLMKKQKLRQVRKIVKNQDNSRPWGQDAQAKVGSRLIELFMETAHIQPPASQSSDGLPDIRPAFRHEMRTMAKEQQKNSRRYGVIKCDPLVRQGLDRTALNTLGSTKWRVNKRVLSIVDRIWSSGGRLADLVDRTDVPLPEKPDTEDETLLKNWKWHLKSVKKENSERHSQRCDVELKLAVARKMKDEEGFYYPHNLDFRGRAYPMHPYLNHLGSDLCRGILEFAEGRPLGKSGLHWLKIHLANLYAGGVDKLSYDGRIAFTENHLEEIFDSADRPLEGKRWWLGAEDPFQCLAVCMNLTEALRSSSPETTISHIPVHQDGSCNGLQHYAALGRDKLGAIAVNLVAGEKPADVYTGIATRVVEIMRMDAQKDPSIDPDVDRKLVKQTVMTSVYGVTYVGAREQIKRRLKERGVIPDEAELFGASCYAAKVTLTALGEMFQAARGIMNWLGDCAKIKTSLQVLTLQRETDKVMVKRQRTAFPPNFVHSLDGSHMMMTAVACKRQGLNFAGVHDSYWTHACDVDTMNKILREKFVELYGTPILEDLLESFEKSFPKLKFPPLPERGDFDM >Sspon.01G0022160-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:79132720:79139091:-1 gene:Sspon.01G0022160-1T transcript:Sspon.01G0022160-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGGDGEGGTSSSSHELSGQLEGILADREAPWARRASKAAMIELRLLAPIAAPAVVVYVLNNVLSISTQIFSGHLGNLELAASSLGNNGIQVFAYGLMLGMGSAVETLCGQAYGAHRYEMLGIYLQRSTILLVAVGVPLSVIYAFSEPILVFLGESPEIAKAAAVFVYGLIPQVFAYAANFPIQKFLQAQSIVAPSAYISAATLALHLLLGWLVVYRLGMGLLGASLVLSLSWWIIVAAQFLYIVTSERCRRTWTGLSCRAFSGLPEFLKLSTASAVMLCLETWYFQILILIAGLLDNPQLALDSLTVCMTLAGWVFMISIGFNAAASVRVGNELGAGHPRAAAFSVVVVTALSFVITLAMAVVFLVFRDYLSYIFTEGETVARAVSDLCPLLATTLILNGIQPVLSGVAVGCGWQKLVAYINVGCYYFVGIPLGILLGFKFHLGAKGIWTGMLGGTCMQTLILFWITFRTDWNKEVEEARKRLNQWEDNKQPLLLVPSD >Sspon.05G0000130-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:829110:833160:-1 gene:Sspon.05G0000130-1A transcript:Sspon.05G0000130-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding PMYQKKKKKKKKGERQGSSNQTDLKLIITNISSHLEGKGREGKGAEAELVEWQTGCRGGHGPHRRRRHHPRRSPPPSPPAVQRGSSGGWRSALFVAGVEIAGSFAYFGISANLITYLTGPLGQSNASAAASVNAWSGTACLMPLLGAFLADAYLGRYRAVIIACTLYVQGYGMLMLSATVPALRPSHMPCREDGKSVSSSSFSCQPGWPQVAFFYVSLYLIAIAQGADKPCGLAFAADQFDAEHQGERASRGSLFNWWFFCMAIGISVSVSVVGYIQEYVGWGLGFGVPCAIVLCAFLVFLLGTPTYRLYAPTPEAKSPFRRLARGLAAVVKGGGRLPSSQAQAADDARCVLRLLPIWASSLAYGVVYAQIMTLFNKQGRTLDRRIGQAGPELPPAVLQTLGPASILLFVPVYDRAVVPALRWATGNPSGLSMLQRVGAGMATSLAGVAVAALVEARRLATAREHGLVDDPAATVPMSWAWIVPQYAMMGVADVLAVVGLQELFYDQMPDGLRSLGLALYLSVMGIGGFISSLLISVIDGVTGSGGGDSWFADNLNRAHLDYFYWLLAGLSAVELALFLYFARSHVYKHNKSGSSSSSRRLSCSSSSTLGKGKYSSC >Sspon.02G0025620-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2A:88768866:88769228:-1 gene:Sspon.02G0025620-1A transcript:Sspon.02G0025620-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQSIESHRAGAAVVTGDAACRKKSVELLEELGLPKGLFPMEDIQEFGYNRDTGFFWLVQGKKKLEHTFKKIKQTVSYAAEVTAFAEKGKLRKITGVKTKELMLWLSVVEVYVLEASPEK >Sspon.03G0019040-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3A:59060720:59061295:1 gene:Sspon.03G0019040-1A transcript:Sspon.03G0019040-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQTQSFPKKKVSASTQTRRYRTGRTRCRLDAAGSSAASTNRPADAAGRSAASMNSDAACVRTIGAAIPTLPRASHRHRTRRTDEPRDPGVPSSGEAKPERRRLLFLPVAPAPSSSPCVPARSWLSRAIFLAVDTRDVVLTLRARTVVLAVRARAALAPPPSTVRRSNPVAASARRAGQPSAPGTTTAGKG >Sspon.08G0017510-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:2018422:2024514:-1 gene:Sspon.08G0017510-1B transcript:Sspon.08G0017510-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lysophospholipid acyltransferase LPEAT2 [Source:Projected from Arabidopsis thaliana (AT2G45670) UniProtKB/Swiss-Prot;Acc:Q8S8S2] WPPQAQAPPPSPRPCSPTPSRPRTPPTGTPPTTDTTTTMTTATAPRRPPCAATASGTLSRSSRRTGRRGTRGRPRPTRSATARRRGAAARTRGRGRCCSCRWPRCGWRCSGSPSRSGTRPRGWLSAAGRTRTAGHGRAAGLCRRGAAGSCGSRGSPPAASSSHSGYHWIRKKGRPAPRELAPIVVSNHISYIEPIFFFYELFPTIVSSESHDALPFVGTIIRAMQVIYVDRFSPASRKAAVNEIKRKAACNSFPRVLLFPEGTTTNGRFLISFQHGAFIPGYPIQPVVVRYPHVHFDQSWGNISLLKLMFKMFTQFHNFMEVEYLPVVYPPEIKQENALHFAEDTSYAMARALNVLPTSYSYGDSMIMARAVEAGKVNCSNYMVEMAWVKDIYGVSTAEAMELLEHFLAMNPDNDGRVKAQDFWAHFGLDCSPLCKKIFHYFDLGIKESITFRQFLVGCAHLRKQPLFQGACETAFEKCQDPETSEISRAQLADVLRLSMLLPSDDKMLELFKTFDIDGDEKISKDDFMTCLGKFPFLIAFFAAPINGEVYIEIV >Sspon.04G0030420-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4D:1394506:1396348:-1 gene:Sspon.04G0030420-2D transcript:Sspon.04G0030420-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPHATKDVVAAPATAATAAPPPSRSLPDALAAARPFLRGEEADVDPALPGLAAVLRAAGAGECWHKHGTFLAHLLDVHRILRLWGAPDAVARCGLYHSAYSNSYVNLAIFQPDTGRAHVAAVVGADAERLVHLFCVVPRQQLVHDDLLFHYSDQDLVDDLASSEASLREARRGVFDDAQPWRRKIQRLLPPDGITVKHIRTGEDVALSRRIAATFLLMTIADFSDQLFDWQDRLFDNTNGRLEFSGNTWTSLWPGTGKPGLWVTSSSRMGALYTLIIREEEIYIMQRAHATGQQGSNGSAGRDEDIDLVIPPVFNGCTEVLNADDQKVARDLYWEAVCSGDEASDWLKVEELLQQSIAKNPFVGEPHLVLAQVYLNMERYGDAQMQAEDDLKLLLEWGSSWDKRMPWEGWVSWGRAMLTKASEKDWPHTSFGIVSLGLVK >Sspon.02G0031590-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:90757521:90763843:1 gene:Sspon.02G0031590-3D transcript:Sspon.02G0031590-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIRRAPPSRAASGGVEPRFRQVGFVTSAEPARGPVAPAAASPTASDGLSPVMIPPPLIPVPVPASESLMPSSPPPASSCLLEVVSDLDDYADDDDIDVSWARPPPPALLEPNKRDLTETKNEHAPTSVSQKPKLSKAERRAIQEAQRAAKAAAKEAGLKPTAMASDASTKISKQPKTAKTSLKKDVNQVNPPVASDKKTGERLPDKDRKKDVPQPRMQFDDAHRVVKAKKRSVVSQSEARNRVELFRHLPQYAHGTQLPDLESKFLQPDLMHPSVYKVGLQYLSGDISGGNARCIAMLLAFREAINDYSTPAEKILNRDLTAKISSYVSFLIECRPLSISMGNAIRFLKNRITKLPLALSESEAKVSLQSDIDRFINEKIIVADKVIVSHAVTKIRDDDVLLTFGSASVVEMIFDHAHELGKKFRVVVVDSRPNHEGQRLLRQLVAKGISCTYTHINAVSYIMHEVTRVFLGASSVLSNGTVYSRVGTASVAMVAHAFGVPVLVCCEAYKFHERVQLDSICFNELGDPDAISRVPRDESLSHLKNWAENENLHLLNLKYDITPSDYVSMLITDYGMLPPTSVPVIVREYRREHLPPTSVPVMVREYRREH >Sspon.06G0016980-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:76446839:76452465:-1 gene:Sspon.06G0016980-2B transcript:Sspon.06G0016980-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQREKLVCLAKLAEQAERYDDMVEFMKNLARMDVDMSAEERHLFSVGFKNTVGAKRASWRIICSHEQKVTTDRQTGVMIDAYKRKVEDELRKVCNEVLSIIAIHCLPLANADENVVFFYKMKGDYYRYLAEFSTGTEKKAASDQSLMAYQHAMVVASSELSPAHQIRLGLALNLSVFFYEIMNSLERACQVAKQAFDEALTEINSGEGVYKDSTLMMQLLKDNLALWTAELTAGEASKDNDVDMEG >Sspon.08G0006460-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:19912741:19915634:-1 gene:Sspon.08G0006460-2C transcript:Sspon.08G0006460-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLRCNGSFAQFPKQNDPMRFFKGSKVEVLQEAEVPFGSWRPGEIISGNGHTYLVRYDESPVDSSGAKKVDETSPSVDDPVRWSLGTILEAFDSYSWKVAEVVRVLGKNQYLVRLLGSSLELSAHASDLRLRKLWLDDRWVVTQKYSARCLDGGAFRGQSKDGNVDHNHNFRMDRRIQLENQNAFEGDTSRGMKRKSSAMSTHPQCSEITKRLRTPNREGRYSKLVDRGFFPLAEKVDAVDSPCFMLGGKYMHASHKGHTRTTEEFSDTDSISSSVGSSSPNSTPHRSQYSNLVYQSGDTCSRTDDDEASTSERETSEHDNDGLREETHLLEETHLLELHAYRSTMLALYACGSISWEQEALLTNLRLTLNISTDEHLAELRSLEDLNDSDK >Sspon.04G0019810-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:69864167:69864410:1 gene:Sspon.04G0019810-1A transcript:Sspon.04G0019810-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding PQGPAGRADRVSACGYAVPPGYSTMTTTARSPSSPSSARAMHVLSLLLGTGSISMYRLNKG >Sspon.01G0021830-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:83595163:83595792:1 gene:Sspon.01G0021830-2B transcript:Sspon.01G0021830-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEKLWDDVVAGPHPETGLEKLRKATTARPLVIDKEADAGAAAGSYKRTQSMPSTPTTPVTPSSSTTTPRGASNVWRSVFHPGSNLATKGLGANLFDRPQPNSPTVYDW >Sspon.03G0016500-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:76083514:76084584:1 gene:Sspon.03G0016500-2B transcript:Sspon.03G0016500-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCSKNEGVVAALPDDPLVEILSRVPAKSVCRFKCVSKAWRDLIADPHHRKKLPQAMQGLFFMVPEDLIRVSFSFIDLTARSVPLDIDPAFSFLTERPGFQNLGLLDSCNGLILFKNYEEPPYSDTLGYVVCNPTTKRWQAVPTCGSLDLTSCAYLAFDQAISSHFHLVQFQLSMPDEMLVSLHVYSSETGTWSRNQIDSQENQGPLAEWHLRAMLSRRDLECAFVNGFLHFIVGDSDQHQIVAVDVQGKARRVITVPGVADGRHRYCYLGQSQGCLHCMTQEMFDDHEDRYKLSIWVLQDYDTQWVLKDTVSSMEIFGHLTSNILHFIVGIHRDRNVVFFQSLRSNLIAYDMDHKE >Sspon.05G0011630-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:35544752:35547172:-1 gene:Sspon.05G0011630-3D transcript:Sspon.05G0011630-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLALLPFVLIFFPLNILEVHSSASASGRDTNTILPGQVLAGSDKLVCSTGKYALGFFQTQTQTQASGNSNCWYYLGIWINRVPTITPVWVANEDDPIADLTTAVLTISPDGNLAVLNHTTKSIIWSTQANTTTKDTIATLSDGGNLIVQRSSSPSDVILWQSFDHPTNSLLPGAKLGRDKVTGLDRRLVSRKNSVDQAPGAYALELDPTGAAQFILVELNSGVTYWSSGEWNGRFFDSIPDMGAYSEFVDNSKEVYLVTPLRDDNMIMRLSLEVSGQLKSFIWYEQLQDWVISAVQPKSPCDVYAVCGSYSVCNDNVSPSCDCMKGFSIKSLEDWELEDRRGGCIRNSPLDCSDNKTTDGFYSIPCSRLPPNAQSLTVVTNEGECANVCLSNCSCTAYSFSDDHGCYVWHDELFNVRQQQYSDLTITKVEFLKVRLAAKELRISGNHRRKILVWVVTSATMLALFGLALLLMIWRKPTMRYCRVSHSVQGGNGIVAFRYGDLQQATKGFSSKLGSGGFGSVYKGVLPDASIIAVKMLDGLRQGEKQFRAEVSSVGMIQHVNLVKLIGFCCEGNKRLLVYEYLTYGSLDVHLYQNSVTFLNWKNRYQIALGVARGLAYLHESCQEYIIHCDIKPENILLDASFAPKIADFGMAKLVQRNFSGVLTTMRGTVGYLAPEWLSGVAITTKVDVYSYGMVLLEIISGRRNTYGQCTSCSHNDAYFPLQAANNLLKGDVQSLVDPKLSGDANMEEVERACRAACWCIQDKESDRPAMGEIVQILEGLREVDVPPLPKILQAVAGSPLSSIN >Sspon.07G0025250-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7C:39624124:39625006:-1 gene:Sspon.07G0025250-2C transcript:Sspon.07G0025250-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNCSLQLNSGVTTQLLLTLFDVKGIVHFGIAGNADPNRQIGDVAVPRYWAHTGLWNWQRYGDGPDKELALESNGDYTRKLGNLNFTDYSVDKNARSDGGNLLNSVWYQPEEVFPVNGTPEVREHEFWVPVDGYYYDLLSRKLEGLKLEGCVSNGTTCLPRRPVVAMVERGCSASVFVDNAAYREFLRSRFGVTAIDMETAAVALVALQQGAPFIAIRALSDLAGGGSAESNEAGVFAPLAAQNAVTAAVEFISLL >Sspon.02G0046980-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:9737493:9738863:1 gene:Sspon.02G0046980-1P transcript:Sspon.02G0046980-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVPAPHLIPAPPKPPYHAPLRFTVSASAAPAAARKAVIVGGGLAGLAAATHLTSLSVPFTLVEASDRLGGRVATDVVDGYRLDRGFQIFLTAYPECRRLLDFPALRLRPFYPGALVFVGAGEPFYLLSDPFRLPLRSLSAVFSPVGTLSDKVLVGLTRLRAAATPDNVILSAPETTTGEHLTELGFSSSIVERFLRPFLAGIFFDPALDTSSRLFELVFKRLALGDNALPEDGIGAIAEQLAARLPAGSIRLNTRAVAIDQSGVTLDTGETIPGDLGVIVAVEQPQAEKLLPQLSTREKAKKSERSTVCIYFSTDRAPVQDPILLLNGSGKGIVNNMFFATNVAPSYGPAGKVLVSVSLVGSFADREDADLADEVIRELGGWFSPGEVASWTHLRTYRIGFAQPDQTPPTTPAGRDPRVGEGLYVCGDHWCSATFDGALVSGRRAAEALAKDRGL >Sspon.02G0006820-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:14608930:14611242:1 gene:Sspon.02G0006820-3D transcript:Sspon.02G0006820-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GALRQQVGVAPSAARGQRARAVRPLRAAEPARQPVAASATAAAPAAPVADEAAALAAVDYEALARELEGASPWRSWIGRWPCSAPKSPSPSEDVALIEYAKLTGRPFRVFSLDTGRLNPETYQLFDKVEKHYGIRIEYMFPDASEVQELVRTKGLFSFYEDGHQECCRVRKVRPLRRALKGLRAWITGQRKDQSPGTRASIPLVQVDPSFEGLDGGAGSLIKWNPVANVDGKDIWTFLRTMDVPVNTLHAQGYVSIGCEPCTRPVLPGSTSGRPVVVGGRQGQGVRPPQGQHRKDAQAAAPRSANGNGSAGAPDIFESPAVVSLTRAGIENLLRLENRAEPWLVVLYAPWCPFCQAMEASYVELAEKLAGSGVKVAKFRADGEQKPFAQAELQLQSFPTVLLFPSRTARPIKYPSEKRDVDSLLAFVNSL >Sspon.02G0011290-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:28749163:28752039:-1 gene:Sspon.02G0011290-2B transcript:Sspon.02G0011290-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEELVLDTAIRDWVLIPLSVVMVLIGVLRYFVSKLMRSPATSPSPDPKLVKEGQVVIRARNLRTNAQFIPAKAFKARKVYYTNEENGLLHVPKEEAQKAQAAMFSDPNMAMDMMKKNLSMIVPQTLTFAWVNFFFSGFVAAKIPFPLTQRFRGMLQNGIDLSTVDVSYVSSRSWYFLNLFGLRGLFSLILGEENATDDAQKMMQMGGGFGFNPTMSLGAEKDSLDIIQHDWALPKMERHAEDVLKQLLKK >Sspon.02G0014450-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:38331163:38333480:1 gene:Sspon.02G0014450-1A transcript:Sspon.02G0014450-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosylmethionine decarboxylase [Source: Projected from Oryza sativa (Os09g0424300)] MPKKLMFLVSGERADFLVIFKEVHLHSVMLIFFKKGTFVDALMESKGGKKSSSSNFMYEAPLGYKIEDVRPAGGIKKFQSAAYSNVRTKNKQYKECMRPQTILISPHAIRTDSWGSSPASPIGFEGYEKRLEITFSDAPVFEDPCGRGLRALSREQIDSFLDLARCTIVSQLSNKNFDSYVLSESSLFVYPHKVVLKTCGTTKLLLSIPRILELAAGLSLPVLSAKYSRGTFIFPGAQPAPHRSFSEEVSVLNGFFGNLKSGGNAYVIGDTFKPNKKWHVYYATEEPEHPMVTLEMCMTGLDAKKAAVFFKNSADDSCTSAKEMTKLSGISEIIPEMEICDFEFDPCGYSMNGVFGPAASTIHVTPEEGFSYASYEAMNFNPSSLVYSDVIKRVLAGFSPSEFSVAVTIFGGRGFAKSWAKGADVDSYMCDDLVEQELPGGGLLMYQSFTAVASGTVSPRSTLEMDGWSSDGMETAAKSDEMCIGCWDVAKK >Sspon.08G0017030-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:66638779:66643470:-1 gene:Sspon.08G0017030-1A transcript:Sspon.08G0017030-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGPSPLAGDRGSSSSASHHHSHHQHPPPPPPPKILLAKPPLPHAASAGADDDGGGGGAGARVRQATQPGSLSLVSDAWEAHTDKILPYLTENNDFVVIGIIGPPGVGKSTIMNELYGYDASSPGMLPPFPTQTEETRLMGKHCTTGIDIRISNERVILLDAQPVYSPSVLIDMMRPDGSSTIPVLNAEPLSADLAHELMGIQLGVFLASVCNIVLVVSEGMNDLSMWELMLTVDLLKHNIPDPSVLTLQGQDFSPSKLMLLRKTLKKHFNSSSFRIGSSNATGQPSDSLVSSSTKVEDLTSGRQDIFLLPLRGHDNSTKFEYGTYSCMLGMLRDQILSWPARSFSKNLSERDWLRSSAKIWDMVKKSPVISEYCKALQSS >Sspon.02G0025900-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:91277634:91279097:-1 gene:Sspon.02G0025900-2B transcript:Sspon.02G0025900-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSKKVCVVGAGVSGLASARELLREGHDVTVMEQSSGVGGQWLYDPRTDAGDPLGAAGANSSMYASVRLISPRELTAFSDFPFFPSNDGTGDARRYPGHDEFLRYIRNFCDAFGLMDVVRLNTKVLHVGLAPPRAADDGANRWTVRCANANSMHGDFEGEVVTTEEVFDAVVVAVGQYTQPRLPTINGMDKWRRRQLHSHSYRVPDSFHGEVVVVVGFHESGKDIALELSRVAREVHVSVKSMEGITPGVAKAVSRHHNLHLHLQIECLCEHGQVMFADGSCVVADSIIYCTGYRFSFPFLNTGALVTVDDDRVGPLFEHTFPPALAPSLSFVGLPRLVLVPLFYEAQARWVAQVLSGRRPLPSEEEMLRSAEEYHRAREMAGVPRRLSHAIFFDFEYCDEFGEKHCGFPRMPEWKRDLLWSAVARMRDDDMETYRDSYHDDSDLVLEGLRSEGWLPWTPQDKEVGQDEDDGVPERATTTDTATQT >Sspon.08G0026550-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8C:26873019:26873572:-1 gene:Sspon.08G0026550-1C transcript:Sspon.08G0026550-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARRSRGEDMDMTVSAKQADLDRFGSPPVSSWTQNLENLLGLIPSSAWQRRRERGRGSRRTRPPPPCADHKRGVASRAHHNTDLPHAPPGVRLSLLLPCLCGAAGTISSPQALVHMATSPPIFPRFSAKKA >Sspon.07G0000770-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:1287103:1289762:-1 gene:Sspon.07G0000770-2D transcript:Sspon.07G0000770-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLREHSVSTDMGSLDWKRMKIPPVLTSSQSARLFKTMQPMKAIFEVQESLRDELQRDPTDAELAEATGMTVHQLRRRLDVGRAARNKLIKHNLRLVPYAINKYYPDMGTDERFDDLCQAGANGLITAIDRFEPKRGFRISTYALFWIRHSIVRAMTLSSFTRFPFAMESERQEIGKAREELAFELGRPATDEEVRRKVGISPQRYRDVLRMTRPTYSLHARNRVTQEELINEVTDDDAIGVDAGGRHNTLLRLAIDDLLDSLKPKESLVIRQRFGLDGRGKRTLSEIAGNLSISREMVRKYELKALMKLKHPTRVEYLRRLEEMYITTYTGTQEWCVRVGFQMSEFI >Sspon.01G0007120-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:16536367:16543608:1 gene:Sspon.01G0007120-1P transcript:Sspon.01G0007120-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGQDSSGTTLMDLITSDPSATSTAGASSQQQSSSGVGSVGGSLLGKPVAPPADRKSKKGTLTQIQNETISAAKALNPVRVLPQRNRKKKARPLPLSPHHFHPLFIRIPPVSYAQLARSIHELAATCDQKSSQRQLVNSVFPKLAVYNSVDPSVAPSLLMLHQQCEDRNVLRYVYYYLARILSDNGSQGLSAAGGIPTPNWDALADIDAVGGVTRADVVPRIVDQLSAESSSDDVEFHARRLAALKALTSCSTSSSEMMEKLNEIVFGILEKVADTKQKRKKGIFTKQGGDKESIIRGNLQYASLSALRRLPLDPGNQAFLHRAVQGIEFSDPVAVRHALSIISEIAAKDPYSVAMALGALQDILHLHDVLARVYLAKLCHSISRARVLDQRPDIKSQYSSLLYQLLLDPSDRVCFEAINCVLGKGDNTESTEDRAGGWIRLTREILKLPEAPSVASKGVLSKSSEKSSKARRPQPLIKLVMRRLESSFRSFSRPVLHAAARVVQEMGKSRAAAFALGAYDEGASLDVESLDSDLENPMAEATRKPNPLSNGHGGMDTIAGLLASLMEVVRTTVACECVYVRAMVIKALIWMQNPHESFEELKSIIACELSDPAWPSSLLNDVLLTLHARFKATPDMAVTLLEIARIFATKVPGKIDADVLQLLWKTCLVGAGPDGKHTALEAVTIVLDLPPPQPGSMSGLTSVDMVSASDPKSAMALQRLVQAAVWFLGENANYAASEYAWESATPPGTALMMLDADKMVAAASSRNPTLASALTRLQRCAFSGNWEIRIAAVQALTTIAIRSGEPYRLQIYEFLHALALGGVQSNFSELQLSNGENQGASGTGLGSLISPMLKVLDEMYRAQDDLAREIRQHDNSKQEWSDEELKKLYETHERLLDFG >Sspon.07G0010000-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7A:29364501:29370175:1 gene:Sspon.07G0010000-1A transcript:Sspon.07G0010000-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQQYITMKRDVLDPCKSNPKGYQQPSFFPHPSPSHPPARGRRRRRRAARRHGRPWRASPSSLLLSHLPPPLSSANSWAQIAATPPPSAGRRAGPGWAPPAAPLAAAAWPPPGASPAAGGWAPLAPGASPARPALALATDGAAPAAAAFPAAPADAAEAVGPEPPADAAAAAAAAAGLALPGGNGAASHLQGPGMGSGTQGAFNLPAPPSATLYAAWPTSALAAALRDAADTLARQIAEAEQLLAQDGGATSSGSTGHLTSTTTALRHDPTDPLVSQLHYQAGGVQNIRLLVPVVLDPESPSYARWRDLVVLTLRRYALDNHVLDDPMPAVQTPSWQRLDSIVLSWILGTISVDLHDFVRNTTSARQAWLALEGQFLSNAEARALRLDASFRTFVQGDLVVGEFCRKMKAMANSLGDLGWPVEDRILLLNVLRRLSDRYAHLRTWITRQRPFPTFLQVRDNLVMEELTQGIQPGSATTPGSSSSSTALAATPPHPSAPPRPSAPPPSSLLGPPSGPSGGRGVVAVVADAVGAVGEAVVESPRQLAHRGGTMAFLPQPPRPPVAMFTGAPPPGVSTTPPWATPSGNPPGLAGWDQAALARSFSTMGLTPPVGPEWIADSGATYHTTPDPGILSSVHSPSSSLPSSIMVANGSCLHVTSVGTASAHGSFRLPDVLVAPSMVHNLLSIRRFTADNSCSVEFDSSGLTVKDLATRRPLLRCDSTGPLYTLRFPASASPSSLLSAAFATTSSTTWHRRLGHPGRDSLMQLSHSSDIRCTRAHDEHLCHACQMGRHVRLPFSSSSHAARIFDLVHCDLRTSPVLSISGYKYYLIVVDDFSHYSWTFPLRAKSEAFPVLHHFFAWVSTQFGLTIKVVQCDNGREFDNSTSRTFFLSRGVQLRISCPYTSSQNGKAERMIRTTNDTVRTLLLQASLPAHFWAESLHTSTYLLNCLPSAACPAPTPHHALFGTPPRYDHLRVFGCACYPNTTATAPHKLAPRSTLCVFLGYSPDHKGYRCYDLTSRRVLISRHVVFDESVFPFSTTTTPSTSALDLSLFPTDAVVEPPLPLFPAGPTAPCPSSPSASDSPDPAPRSSMAGSPSGTAPVIPADGGHRPSPPTPSARFARPVLVYQRRAQTAPAPSPPPVAPPSPESPPGPSSSPPGTPEPSPRPPTARIETPVYHPPLLHRHPRHVHPMVTRHEAGTLQPRDLAAMPGDSQVSPVPSSVCEALLDPHWRHAMEEEYAALLANRTWDLVPRPPGSNVVTDKWIWTHKRRADGTQPAGFVDSSCPDMVCRLNRSLYGLKQAPRAWNHRFAAFLRSLGFVEAKSDTSLFIYHHGAETAYLLLYVDDIVLTASSESLLHRIITSLQQEFDMKDLGVLHHFLGVTVTPHPAGLLLHQRQYTLDILERAGMTDCNHCSTPVDTQGKMSEAEGNPVSDPTAYRSLAGALQYLTFTRPDITYAVQQLCLHMHDPREPHHTALKRLLRYLRGTVGYSLLLHRRSSSTEMVVYTDTEWAGCPDTRRSTSGYAVFLGSNLVSWSSKRQQVASCSSAEAEYHVVANGVAEASWLQQLMAELHNPLLRSTLHQRTKHVEIDLHFVRNRVAIGEVRVLHVPTTSQFADIFTKGLPSSTFTAFRSSLNITNG >Sspon.05G0013060-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:41273072:41275013:-1 gene:Sspon.05G0013060-1A transcript:Sspon.05G0013060-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLALVGSREGPVQPQTCLGVRMQLMFPAGQNVPSKTMIQMQNDYSSSALMPGLPEDVAKICLALVPRRYFPGMGAVSKRWMAFIGSREFIAIRKEVGKVEEWIYVLTTEAGRNESCWEVLGSLDQKKRRLPPMPGPNKAGFFVMAGYAADHGKEFVSDEVYCYHACLNRWTAFAKMNVARRDFACAEVKGVIYVAGGFSLAGDSLSSVEAYNPQQNKWALIESLRRPRWGCFGCGFNDKLYIMGGRSSFTIGNTRSVDVYEPDLHTWEENKRGCVMVLLFSLEEEPGYQTLVCDPAAPTGCEWQTTKLKPSGSCICSVSIE >Sspon.02G0009490-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:29691503:29697383:-1 gene:Sspon.02G0009490-2C transcript:Sspon.02G0009490-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endoglucanase 24 [Source:Projected from Arabidopsis thaliana (AT4G39010) UniProtKB/Swiss-Prot;Acc:Q93YQ7] MQVGDPWKDHDCWERPEDMDTDRTVYNVSAGRPGSDIAGETAAALAAASMVFRDADPAYAETLLTSARKAFEFADTYKGAYSDDPDLRAGGCPFYCDFNGYQDELLWGAAWLRRASKDDTFLQYIQNNGKTLGAEDSSNEFGWDNKHAGLNVLVSKEFIEGDALSLQSYKEFADSFICTLIPESSSPHITYSPGGMIYKPGGSNMQHVTSISFLLLTYAKYLTKSSHTVNCGDVSVGPVTLRLQAKKQVDYLLGDNPMKMSYMIGYGDRYPQRIHHRASSLPSIKDHPQRMACKEGTPYFNSSSANPNPLIGAVVGGPGEDDAYEDDRADFRKSEPTTYINAPLVGVLAYFVGNPNPGHTRH >Sspon.05G0017040-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:71053297:71054157:-1 gene:Sspon.05G0017040-1A transcript:Sspon.05G0017040-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AMTNGYLFREYIGAQSTGVQFSDVPINAFVSFHFILSFAIDYTPVGQQPKPVPTNGVFSPFWDTGNLSPAAVAAIKKAHPNVAVMAGLGGATVLDIVNVVFTPQSIDSWVANAVTSLTGIINTYGLDGVDVDYEHFADGASVDTFVECIGRLLTQLKQRMPNIATSIAPFEDPVVQKYYQPLWSKYSGVIDYVNFQFYGYGDNTDVPTYVMFYNNQSANYPGGKVLASFKTGNVTGLLSPDQGISGAMELQRQNKLPGLFIWSADSSKQSSYRFKYETEAQQIIANH >Sspon.05G0001660-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:3420900:3421610:-1 gene:Sspon.05G0001660-1P transcript:Sspon.05G0001660-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKLYQGGKGRRVHPAPADAAAAVALPAAVLALASALTAEEQEVLAYLLSCGGAAAAGRPRRRRGPHPPEMGCACFGCYKSFWARWDASPNRHLIHRIIDAVEEGGGGLGGGPPRRPPRRRRRGGRRGGDGDAADDDAADDDAAETGPAVDHLLGCDAGAAMGVGMDHHGEYECDGEEEEEGGSSMDGDGYGDGDDASVVSEGDCSIGDGLDGGAEKSTVGRLVRFIGEKVWGAWN >Sspon.02G0020230-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2B:66223186:66223452:-1 gene:Sspon.02G0020230-2B transcript:Sspon.02G0020230-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LQVKYHPFTKEEQLEALEMEKQAIEERKRLKEAGVIGSTMDAVGGAASLVGSGVGLVGTGIGAGIGLVGSGIGAVGSGLGKAGKFMGRT >Sspon.02G0004890-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:15010298:15016255:1 gene:Sspon.02G0004890-2B transcript:Sspon.02G0004890-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exocyst complex component EXO84C [Source:Projected from Arabidopsis thaliana (AT1G10180) UniProtKB/Swiss-Prot;Acc:Q9SY60] MESSSGEELEEEFPGHEWITPQSSVNAAYQSQTEKGIRKICSDLLELKDAIENLSGNRQSKVLAFLRISEEVVEAEQELIELQKHVSSQGILVQDLMSGVSRELDNWHKSSKEEDATKKDPETELDEILSHGTQDPKAIFLDKLDVLLAEHKMEEAVLALEAEEKKYLVADESGKESNAENTAFKTALIKRKAILEDQLVRYCGQPALSMTELRRQKFFSPSSTSESLWFTTAEKCEAFLPNCSIYTQTYSATLSQLVFSAIAKAAKETNTLLGDSPMNSNRIIQWAEYEIETFARLVKENSPLPESVSALRSACICIETSLHHCSCLESQGLKFSKLLMVLLRPYIEEVLDLNFRRVRRKIVDGARNDDILLLTPKKDHRSLVQFHQIGKKFMSIVNDVLDQILPMTIVHFGGAILNKSLQLFDRYVETLIKVLPGPSEDDNLLESKEPVEFKAESDAQQLTLIGTAYTVADELLPAAVAKFFDLQAEKKGAGGSSEGLGPGSIYAMEYKEWKRNLQHSLDKLRDHFCRQYVLSFIYLEGKSRLDAKMYLGRKEDDLLSDPDPLPSLPFQALFGRLQQLASVAGDVLLGKDKLQKVLLSRLTETVIMWLSNEQEFWDVFEDRSVQLQPSGLQQLILDMHFIVEIAVCGRFPHRPVQQLVSTIITRAVAAFSARGVDPQSVLPEDEWFVDTAKAAIHKLMLGNSGSESEPEPEVEEHIALHGEISDSEESSTPSTAGSEDSFASAKNDDLESPVYFTDPES >Sspon.07G0007430-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7B:17332609:17341492:1 gene:Sspon.07G0007430-2B transcript:Sspon.07G0007430-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potassium channel AKT2/3 [Source:Projected from Arabidopsis thaliana (AT4G22200) UniProtKB/Swiss-Prot;Acc:Q38898] MQILWCISLLVMPCVLNKLIVISIYNHTCHGCSIVCIWWWDTLMVVLVAYSAWVYPFEVAFMNASPKGGLEVADIVVDLFFTVDIVLTFFVAYINPRTQLLVRDRKKITFSVEGMDPATRGDGGRPMRSGGGSAVEVLQAHPGGGEHLRPTTSICDRRGALTRRAFGATTIASRAELAVARSFTSAAPRTLPAPSSNHHHCPRVLLFFPKEKGKQNNCFIKQFDAASFAVVRCARRYLSTFFIMDVASTIPFQGLAYFITGEVTLFVVHCAGCLNYLIADRYPQPEKTWIGDVIPNFRQASLRIRYITSVYWSITTMTTVGYGDLHARNTIEMIFNIFYMLFNLGLTSYLIGNMTNLVVEGTHRTMEFRNSIRAASSFVGRNHLPLRLKQQILAYMCLKFRAESLNHQLMDQLPKSICKNICEHLFVPVVKDVYLFNGVSREMLLSLVTKMKPEYIPPKEDVIVQNEAPDDVYVVVSGEVEVILFDGIDERVEATLGTRDIFGEVSALSDRAQAFTFRTRTLSQLLRLKQATLKEAMQSRPEDSVVIIKNFLEVTTYQYQSSRSSLQKCERTAPRSVLVVITETVALLVSHIAASKGYEDCVLVLLKHACNVNIRDAQGNTAMWNAIAAGHHKIFNILYQFARSSNPHAGGDVLCLAARRGDLDALRELLKLGLDVDSEDHDGATALRVAMAEDHADAARFLIMNGASVDKANLDDDGSGSSSSTARLTMSPTELRELLQKRELGHSITIVDSPAVVPDGGPSGHSRPGRLQSTSSDNQRSPRVSIYKGHPFLRNRSSEAGKLINLSGTMEEFRAIVGEKLKVDVEKVPIVNDEGAEIDSIDVIRDNDKLFVVTEEDLRRLALASMDSVSSS >Sspon.07G0007920-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7B:18867730:18868098:1 gene:Sspon.07G0007920-2B transcript:Sspon.07G0007920-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding QEEETINHLLVSCVISRQFWFELLHKFGLQTLAPQRVEPSFEVWWCRSNEIVADQDRQGLNSLIILGAWSLCNHWNQCVFDRASPTLQGILASVHEEAKLWGLAGAKGKGIALLTERILGVA >Sspon.04G0016980-3D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4D:70754792:70756748:1 gene:Sspon.04G0016980-3D transcript:Sspon.04G0016980-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTPTTKPAAGRSGRRYALLLALWDSEYAKEVYGGYYNVFVAAFGDASGGTDERWDCFRVIAGEFPAPEDLASYDGFVVSGSPHDAHGDDPWILRLCALVQTVHAMRKRVLGICFGHQVLCRALGGRVGRARNGWDVGVRKVTFSQDLLEGRRLEFLIGDLIEDDLPRSAALIEVHQDEVWEIPPAATVLAYSEKTRVEMFAVGEHALGIQGHPEYTVDILHNLIDRLTNQRAIQRSVGEEARRTVAANGRVEMLENNSTTKCKNGRNHKTGLTRETEYLMNAF >Sspon.06G0018480-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:74580307:74583295:-1 gene:Sspon.06G0018480-2B transcript:Sspon.06G0018480-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWAARFLTAVSFLAAGVLFAPDALGAGGGSGSGAAAAARLVHVLAFATAWGAGLWVTFIGGIVMFKYLPRHQFGSLQGKMFPAYFMLISACTAISVAAFAYLHPWKTASTIERYQLGFLISALGCNLSNLLVFTPMTVEMMMKRHKMEKDLGIGTEVGYSKNAETAKRSPALAAMNRKFGMIHGLSSLANIMAFGSLAMHSWYLSSKLDL >Sspon.05G0020700-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:83293756:83297989:-1 gene:Sspon.05G0020700-4D transcript:Sspon.05G0020700-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAWEATKVVFDRVRALDPENASKMMGLLLIQDNSDKELIRLAFGPEHLLHAFVATARAELAGKPASPPSPVLGPLQTGPPWGLPSPGADQHHRHNSPFAADQLGYDGGADAFYADDYDVWSPAGAGAHRRSFSLSDAEAAASWRPCMYYARGYCKNGSSCRFLHGVPEDDAAEREMAVMRAKALAASPPPPPQLMASAYPFSPSPKGGVSLSFLLQQHQQQSETQRCHFYPAAPRHKGCILFPVSCPFRFLRVCCSRAAAAAAAGMLLGGDDMHRFPVRSPRMGMDRGDLIASPAARQIYLTFPADSTFSEEDVSNYFRYPAQQAAIIVLCSALFCFGSTTSSNNGRAKTTDRPGCHRSSSMFGPVQDVRIPYQQKRMFGFVTFVYAETVKVILSKGNPHFVCDARVLVKPYKEKGKVPDRFRSVPSPLHLILIHPSLRIALHAGCLFVSTVFVRKLQHAHHGAEFAGCTSPTGLLDSRDPYDLQQPQIGPMMMYGNIANHEAFLRRKLEEQQQAAELQQAIELEGRRFMGLQLLDLKSRGHHLGLGSPVGSGSPMSLGQADGKGSGNNGNGNAAHLEDVTGIQDKKMSSTSSLAMSAPAAASAADAEGKHEVQQGEGGDGSPKQAVNPGEEEKVESGPVTATPNAACGFQESGVVEHILPDSPFASPTKASIDTITTATAQNGSISNSSPHHVASSLFPAASTLELPPYSSCFFQVPRDYDGPIKQA >Sspon.04G0034250-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4D:75740978:75743225:-1 gene:Sspon.04G0034250-2D transcript:Sspon.04G0034250-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQRSWTTSIQEPHEMEQPAPMTVMEAACSRQSSSWSPASAGEQRRERRAAARVVGQRGSTGRRAGCRCGARPRPESSVERGGWRQERWASVAAPASEQCGCADKRGQQASEQCGRAKERGGRQANEQCGLLHVKAKYNRNDKYKDLHPRLTVIVAETLLYTDPEIELPLWLVQMFKRPADLLHRKKMSAAWFPYTAVERLWCQLGDMQRAGHSVDQCDRLKKLLHGALMSHLQQVVVDSDDVLSSVGDGQGMEGQSS >Sspon.08G0002100-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:4923720:4935988:1 gene:Sspon.08G0002100-3D transcript:Sspon.08G0002100-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIRLHVLASAARHAVSSSVLVCRLIAFHLTPLLLHLSYFLAVDLLGSLALVLLKPSKPGYQPRYVDVFFMSTSAVTVTGLATVEMEDLSSAQLVVLTLLMLLGSEMFVSLLGLVLESSRKQQQQRESHQDHDSRVMAAAVRDEPDLEEANDPAAPSADSSGDGGDRKESCRAVRTLALVVSAYMAAILVVGSVLVFAYVATVPTARDVLARKRINAALFSVSTTVSSFTNGGLLPTNESMAVFAANRGLLLLLAAQILAGGTLLPVFLRLAVGATRGLARALFLFTERGGPVEELVPMDMEKSAAAAGFGHLLPSGSRAASLAATVVAVAAAAATLLCCLNWNSAVFAGLTAGEKLTNAVFMAVNVRQAGENSVDCSLVAPAVLVLFLAMMSVTLLRAKLKHTTRQHVVRSLTDFACQPTTFRCIPASATFFSVHDDGGERKRSGAGEPECRDGAEKKKRRLSLNSMLLSPLACNAAAVMLACITERRSITGDPLNFSTFNVIFEVMSAYGNVGLSTGYSCSRMPPAAAEATAACHDKPYSFSGWWSDQGKLLLVLLMLYGRLKGFHGQRRRRVSLHQPPKSPKHPPPSSSLAAAHAGTSDMGVYTFVCRNSGGEWTAKQHSGEIEASAATPYALQRALVAAASAADSASGVQSSFSMVTPTSAVFQVIIGAVGGGAMMVSAGGGAAAASGGAAAEAPKEEKKEEEKEESDDDMGFSLSRRPPPMPIRLHVLASAARHAVSSSVLVCRLIAFHLTPLLLHMSYFLAVDLLGSLALVLLKPSKPGYHPRYVDVFFMSTSAVTVTGLATVEMEDLSSAQLVVLTLLMLLGSEMFVSLLGLVLELSRKRRQQQRDHQDHDGRVMAAAVCDEPGPDLEEANGPAAAPSADSFGDGGDRKESCRTVRTSALVVSAYMAAILVVGSVLVFAYVATVPTARDVLARKRINAALFSVSTTVSSFTNGGLLPTNESMAVFAANRGLLLLLAAQILAGSTLLPVFLRLVVGATRGLARALFLFTGRGGPVEELVPMDMEKSAAAAGFVHLLPSGPRAASLAATVVVVAAAAAALLCCLNWNSAVFAGLTAGEKLTNAVFMAVNVRQAGENSVDCSLVAPAVLVLFLAMIAYGNVGLSTGYSCSRLPPAVEATAACHDKPYSFSGWWSDQGKLLLVLLMLYGRLKGFHGQRRR >Sspon.02G0005830-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:18206771:18208243:-1 gene:Sspon.02G0005830-1A transcript:Sspon.02G0005830-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPRARLTRLLLHFRSRGPKFLPQPFSPSSSSSAHGLFPSRWPTPSTGGAWRRAFHDGRPRGPLWRSKKLIGKEALFAIQGLKRFKGDEEKLADFVRRYVARLLKADKLAVLGELERQEEVDLAVKMFRIIQKEDWYKPDIYMYKDLIIALAKCKKMEEAMTYAEVIRGFLRYGSPSDAMNIYEDMKKSPDPPKT >Sspon.02G0016920-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:42733528:42735150:1 gene:Sspon.02G0016920-1P transcript:Sspon.02G0016920-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIVRLIAQEHMNNKQYVGKADFLVFRTLNQHGFLGQLQEKKLCAVIQLPSQTLLLSMSDKAGRLIGMLFPGMVVFKPQVPTQQTPMQQQQLQQQQLQQQQQLQQQQLQQQQHMHMQQQGLPLQQSQMQLQHQQQPQMQQMQQQPQMQQMQQQPTQMQPMQQQPTQMQPMQHQQPQPQQMQPMQHQQPQPQQMQSMQHQQQQQMQPMQQQQQQMQPMQQQQQMQPLQQQQQMQQMQHQQQQMQQMQQQQQQMQQMQPQQQQQPQMVGTGMGQQFMQGHNRAVQMMQGKITPQGPGSMPGGGFLP >Sspon.05G0038290-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5D:37048070:37051221:-1 gene:Sspon.05G0038290-1D transcript:Sspon.05G0038290-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLAQPHGDKSSSSGGGRQRQGMAAAQAQQRSASFHGRGTEQQRHQQPQQKQRPKTLPDLLAGVRGASFRSGSPPPDGGDTGRSPRRTPSKVLVSVAVQQSMWPLHVMASAEWTVADLVAAAVALYVKEGRRPLLPSTDPAAFGLHYSQFSLEMLHPNVSKVNRVLHIRYTWEARGDASSRHGHAVQATFGGMGPRVAAGRRRGAADEQHPTSAGPCVDARKQIATADVGRALHLSSSKLPNQAPADFHRSYTEPLFHCLQGSVSSVVSSPSIRQSGPARPPPVASSTAPVPALPGRASSFPTRRSFPPRSQNPRHTPIRPIMLYRKQTFHREMELCAQVTKQLVELVLANHPVGVFAWACRAPRGIASSLVAIRCPRRPPSGPSWCILTELGRLILHGDRSSSSSSSLCLSPHHALLRIDRLVVRPPRALPPPPNVTPPPSVRPSGSIASTSRAPLCSRPARRCLLWRRRRTATRAAAPAAAGRGRGWRRRGRPGSAAAVGVVPRGGTEQQRHLQPQQKQRPKTLPDLLAGVRGAKSFRSGSPPPDGGDTGRSPRRTPSKVLVSVAVQQSLWPLHVMASAEWTVADLVAAAVALYVKEGRRPLLPSTDPAAFGLHYSQFSLESTSL >Sspon.07G0011160-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:37842185:37851749:-1 gene:Sspon.07G0011160-1A transcript:Sspon.07G0011160-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAEENSSLFLIFILTMIALPLVPYTILRLCRAASEKAKTIHCRCSGCQRSGKYRKSIYKRISNFSTCSNLTILLLWIVMIFLVYYIKHVSREVQVFEPFSILGLEAGASESDIKKSYRRLSIQYHPDKNPDPEAHKYFVEYISKAYQALTDPISRENYEKYGHPDGRQGMQMGIALPKFLLNIDGASGGIMLLGIVGFCILLPLMIAVIYLSRSSKYTGNYVMHQTLSTYYYFMKPSLAPSKVMDVFIKAAEYMEMPVRRSDDEPLQKLFVAVRSELNLDLKNIKTEQAKFWKQHPSLVKMELLIQAHLTCESFALTPALLKDYRHMLELAPRLLEELVKIAVLPRNPHGFGWLRPAIGVIELSQNIVQAVPLSARKASGGNSEGIAPFLQLPHFTEATVKKIARKKIRAFQELCDMSVEDRAALLTQVAGLSEEQARDVELVLEMIPSIEVDIKCETEGEEGIQEGDVVTMYAWVSLQRRNGLTAALPHCPNFPFHKEENFWLLLADVASNDVWLSQKVSFMDEATAITAASKAIQETQEALGASAKEIGNAVKEAVDRVKKGSRLVMGKFQAPAEGTHNLTSFCLCDSWIGCDTKTSFKLKVLKRSRAGTRGHVPEEGPAAAAEDGIEEEEEEEEEEYDDYESEYSDDEEDEKNKGKGKGKVVNGSAHQGAESDIDSGSDE >Sspon.06G0009250-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:41916556:41938397:1 gene:Sspon.06G0009250-2C transcript:Sspon.06G0009250-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAVTEDGDQRASALDAGDRGRDGDEDFDEDEEDGFEFGDADEAMHCVEMAESSSTAAKLRAHAQDYEALAASKRKALAEEQSQREEGSKRPRQDQLSEAEAATMFDQLMEGFGLRRKRRSKDGKKRGRKKGTKNKGSAEVIKKLGDATLLFAEEKFNEAIPILHEIVRIAPNLSDSYYLLGSIYNEIGELDKAINFLMLAAYVSPKDASLWKKLIPLARKKGDASLARHCILKAMRADPEDVDLKYLCGDMYRNLCDYQKAAEIYEQIVRIYPANIAVLKVAAQMYRECGQIDRAISLLEDYANAQTSNIDWSVLDLLISLYLRNNVLSEALRQIKRAQLQLGSQQKLPVQLQAKEVICHAYCGDMKYAEIFLHDVHLEPSKENIDVIKELASTLEKMGQYEYAVKFYLMIENVATHNDVTLRSMKDNIDIRLTLSSLLIDDDKTDEAATLLSPPKIPELQSANTPDLQKPWWCDGKVKMQLAKIYYNKGKLEDFVDTIFHPILETLNVEYANRKVRPMKKLPNTVLHERVKVLGEQRPDSVFQGLKPIASPDELIKANRAKKIIEKRAAANELKPDDPPRTKQVPPVPGLLTNVEHHQLVLNLCRTLALLQRYWDALQIINRTLKLGNDALTGDKKEELRSLGAQIAYRAPDPSHGFKYVRYVVQQHPYSLAAWNSYYKVISRIEDRFPQHFKHLLRTREAKPDCVPPIIISGHRFTAISQHQSAARDYLEAYKLDPENPLINLCVGTALISLTLGFRLQNKNQCIVQAFAFLYRYLRLCDNSQEALYNIARAYHHIGLNTLAAIYYEKALAIEEKDHPIPKLPYEAGSRVPEDLRPGYCDVRREAAFNLHLIYKKSGATDLARRILKTYCTV >Sspon.02G0035730-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:21420295:21425687:-1 gene:Sspon.02G0035730-1B transcript:Sspon.02G0035730-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Class II aminoacyl-tRNA and biotin synthetases superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G17300) TAIR;Acc:AT4G17300] MAAAAAARLLRLAPRRLQVPKASLLAALSFPLPRTAPLAAASGRRQRFCAAAQVSAPAPAAAATGVAGEAVGQFRKRLRVADVKGGEDEGAAWVGKELAVRGWVRTCRAQRTVTFVEVNDGSCLSNMQCVLTPDTEGYDQIDSVTTGASVLVEGVVASSQGGKQKVELKVSKITVIGKSDPTSFPIQKKRASREFLRTVAHLRPRTNTFGAVARVRNALAYATHKFFQDNGFVWVSSPIITASDCEGAGEQFYVTTLLSNSAEGGSLLKDIPLPRMEGLIVSQNCTSNMNLSNDFFCKPAFLTVSGQLNGETYASALSDIYTFGPTFRAENSNTARHLAEFWMIEPELAFADLNDDMACATAYLQYVVKYILENCKEDMDFFNTWVEKGIIDRLNDVVEKNFIHLSYTDAVELLLGSKKEFEFPVKWGLDLQSEHERYITEVALGGRPVIIRDYPKEIKAFYMRENDDGKTVAAMDLLVPRVGELIGGSQREERLDYLEARLDEQNLNKESYWWYLDLRRYGSVPHAGFGLGFERLVQFATGIDNIRDAIPFPRVPGSAEF >Sspon.04G0007300-6P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:21724824:21725535:-1 gene:Sspon.04G0007300-6P transcript:Sspon.04G0007300-6P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVQCDVCAAEAASVFCCADEAALCDACDRRVHRANKLAGKHRRFSLLNPAPPSSSGSSAQPPLCDICQEKRGLLFCKEDRAILCRDCDVSVHTASELTMRHTRFLLTGMRLSAEPAACPAPPSEDENSSGSFCCSAGGGDAAAAPPSAAPATSSHGSGSDNGSSISEYLIKTLPGWHVEDLLVDEAAAPPRLTSASPPQTHRIC >Sspon.07G0008450-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:23146870:23150665:-1 gene:Sspon.07G0008450-1P transcript:Sspon.07G0008450-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSQCCDNPPALNPASGEGKVVDSFGGLKAYVAGPEDSKAAVVLVADVFGFEAPILRKIADKVASSGYFVVVPDFFHGDPYVPENGKPIPEWIKSHTPEKGFEEAKSVIAALKEKGVSAVGAAGYCWGAKVVVELAKAHEIQAAVLLHPSFVTVNDIKEVKCPISILGAEIDKMSPPELVKQFEQVLSVNSGVGHFVKIFPGVAHGWSVRYSHDDAAAVKSAEEAFADMLDWFNKNLK >Sspon.01G0044420-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1B:82250961:82251686:-1 gene:Sspon.01G0044420-1B transcript:Sspon.01G0044420-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGHGGLNILPQKRWNVYNFDNREKVQKDEAAAAREEQLQREAERRRESDLRLAALRRNRGLVQAESPATPPPDPAGPADPVDALPSPPPSSDGDHINLFSGGSGVAADFAALASASGGRGAAREREPDADPNPKKRKKKEEEVRVVGPDEEKYRLGYGLAGKGVAVPWYMSSPAAAAAKEGRGRDAGEGNGVKRSGGKKSIEELREERKKREAKEKERERAILVAAGRKERQADRGRPSR >Sspon.02G0002580-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:7695014:7697017:-1 gene:Sspon.02G0002580-1P transcript:Sspon.02G0002580-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPTGTIVFASVGVTNFGFDVFSVAVPARASADAEAGVSAEELDERRHTDGVSVNFNAQFADDAGDAVAFVSERTGAASLFLSRPSGSESDRPEPLPAAEGSLFHDRPTVRGGRVYFVSAHEKPDRSFQSWAAVYAARVGERDGEPERLTPRGVVDMSPAVSGSGDLLAVASYGDRPWAFDFRVLETEVAVFRAADPSRRAVVVPRGGWPAWHGDAALFFHRVADDGWWSVFRVGVSPDTLQPTGPERRVTPPGLHCFTPAAPALGGGRWIAVATRRKGRAQRHVELFDLETERFSPLTELLNPGLHHYNPFFSPSGARVGYHRFRGVGAPGDSLVPHLQPVRSPVPSIRMLRVNGTFPSFSPDAAYLAVNGDFFTTPGVMVLRSDGSRRWTVSKEPNLFYTTWSPTEPGVVFTSMGPIFETPKATVRIARVEFDPADLTDDDRGEVIGATVRPLTRPEAGNDAFPAVSPCGRWLVFRSGRTGHKNLYVVDTARGEDGGVRRLTEGEWIDTMPSWSPDGSLIAFSSNRHDPTNPAVFSIYLVRPDGSGLRRVYVAGPEGSAEADKERINHVCFSPDSQWLLFTANMGSVVAEPISGPNQFQPYGDLYVCRLDGSGLRRLTCNAYENGTPAWGPASAGLGLESLAIGPPAGEDALGQFDEPLWLTCDV >Sspon.07G0004450-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:9848105:9856054:-1 gene:Sspon.07G0004450-2D transcript:Sspon.07G0004450-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial import inner membrane translocase subunit TIM50 [Source:Projected from Arabidopsis thaliana (AT1G55900) UniProtKB/Swiss-Prot;Acc:Q8VYE2] LNEVEEKTREFRKNPAPLIPEDATTFEKYKAMVYSTAMKVPVSAIELYLDIRSTIEDHVRGFTEPTSDKLLPDLLPQDHHVFTLVLDLNETLVYSDWQRERGWRTFKRPGVDAFLEHMSKLYEVVVYSDQQPMYVEPVFERLNSRGTISHRLSRPATKYVDGKHYRDLSKLNRNPAQVIYLSAHAFESCLQHANCVQIKPFKLEDKYDTQLLDLIPFLEYVAVARPSDIRTVLASYQGHDVAAEFIERSKEHQRRMQEQSKLGRIWRR >Sspon.07G0031800-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:31038948:31044686:-1 gene:Sspon.07G0031800-1C transcript:Sspon.07G0031800-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTASSEFPKPSSPRFLRRPHSFPPPYTQANQPKPPLIASASDLEHSSPPMATAPAPLSLSASTLPARLRAGAVPAGTRWRQPRRGRMVVRAKIREIFMPALSSTMTEGKIVSWSAGEGDRVSKGDAVVVVESDKADMDVETFHDGIVAAVLVQAGESAPVGAPIALLAESEEEVPLALAKAQELSNGQPQQAPPAPTEDAAAAPPPPPAPAAASAAVAAGTKGIASPHAKKLAKQHRVDLAKVTGTGPYGRITPADIEAAAGIQPKSKPAPAAAAPPPVAAPSVGAVPQAAVLPPVPGATVVPFTTMQAAVSKNMVESLAVPAFRVGYPIVTDKLDELYEKVKPKGVTMTVLLAKAAAMALAQHPVVNASCRDGKSFTYNSNINIAVAVAIDGGLITPVLQDADKLDIYLLSQNWKDLVKKARAKQLQPNEYSSGTFTLSNLGMFGVDRFDAILPPGQGAIMAVGASKPTVVADKDGFFSVKSKMLVNVTADHRIVYGADLAAFLQTFAKILEDPESLTFGDSVMEPPDEREQDNEWKESASSDCMLVLVFTA >Sspon.02G0012170-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:32138583:32142053:1 gene:Sspon.02G0012170-1A transcript:Sspon.02G0012170-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding KPAIHRIAAHLASPHVTRPPQPRHRGAPPMPPRLAFLVPLACALLLVSPCHCVNEQGQALLRWKDTLRPASGALASWRAADANPCRWTGVSCNARGDVVRLSITSVDLQGPLPANLQPLAASLKTLELSGTNLTGAIPKEMGGYGELTTLDLSKNQLTGAIPAELCRLAKLESLALNSNSLRGAIPDDIGNLTSLAYLTLYDNELSGPIPASIGNLKKLQVLRAGGNQGMKGPLPPEIGGCNDLTMLGLAETGVSGSLPETIGQLKKIQTIAIYTTLLSGRIPESIGNCTELTSLYLYQNSLSGPIPAQLGKLKKLQTLLLWQNQLVGAIPPELGQCKELTLIDLSLNSLTGSIPASLGGLPNLQQLQLSTNQLTGTIPPELSNCTSLTDIEVDNNLLSGEISIDFPRLSNLTLFYAWKNRLTGGVPASLAEAPSLQAVDLSYNNLTGPIPKALFGLQNLTKLLLLNNELSGLIPPEIGNCTSLYRLRLNGNRLSGTIPAEIGNLKNLNFLDMSENHLVGPVPAAISGCASLEFLDLHSNALSGALPDTLPRSLQLIDVSDNQLAGPLSSSIGSMPELTKLYMGNNRLTGGIPPELGSCEKLQLLDLGGNAFSGGIPSELGMLPSLEISLNLSSNSLSGEIPSQFAGLDKLGSLDLSHNELSGTLEPLAALQNLVTLNISYNAFSGELPNTPFFQKLPLSDIAGNRHLVVGDGSDESSRRGAISSLKIAMSVLAAVSALLLVAATYMLARTHRRGGGRIIHGEGSWEVTLYQKLDITMDDVLRGLTSANMIGTGSSGAVYKVDTPNGYTLAVKKMWSSDEATSAAFRSEIAALGSIRHRNIVRLLGWAANGGTRLLFYGYLPNGSLSGLLHGGHAGKGSPADEWGARYEIALGVAHAVAYLHHDCVPAILHGDVKSMNVLLGPAYEPYLADFGLARVLAAASSKLDTGKQPRIAGSEKSDVYSFGVVVLEILTGRHPLDPTLSAGAHLVQKRDSAELLDARLRARAAEADVHEMRQVLSMAALCVSRRADDRPAMKDVVALLKEIRRKQPSPTGAAATPAAAAPRGQSSSCSFAVSEYSA >Sspon.02G0021060-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:69267858:69272526:-1 gene:Sspon.02G0021060-1A transcript:Sspon.02G0021060-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAADAAQQGARGHRRRGGAGAATRVRPRPRAWCCSFGGAPDSPDLRPLPSSAASPAAAGPGRKLPPKSPSSAAASFYGSPTSSRLAGLGGLIDPRRILSPGRVSPIDPDAGAVPPPPLPLPLPPPPPPPPAAVEDAAAVDPAEQPAAVLLASALPSATVAPPPVVAVREEADAAGVLDLRLFLRGRDGRCVLMELDSTVLCGCSDFFAAMAPREDAAGAGAGGKRIEVDGVENLDAFRAAVELMYDPHPMRCLAAAGVSRAMDVLEVCSSIMFSKGIKSCLTYIEAVPWNENEEEKLKNLFARFTFDEAISQDILARLRPHNWKSSDDLTVQLIQSVTSSTSTVARKDMQSLVNGLLSKSSVYQKESSGLNKESLYQICYSCLESLVDLFEEAREPTDYTGQAVAVRGSKPLIERVSRQAENLNWLLEILVNNDIAEEFVELWAKQDRLIRMHEQASAMVRYELSRISAGVFIALGKGKVQCRGDIRSLLFHGWFSTMLLDFGWLQRCPKGLDLRSLEENLGRGLLTLPLRQQQCLFEEWFQFYATKGAECPNLIRAFQSVVSGDLAKGFDR >Sspon.01G0009960-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1C:27830049:27832994:1 gene:Sspon.01G0009960-2C transcript:Sspon.01G0009960-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Very-long-chain 3-oxoacyl-CoA reductase-like protein At1g24470 [Source:Projected from Arabidopsis thaliana (AT1G24470) UniProtKB/Swiss-Prot;Acc:Q9FYL6] MPAENEMALLAAEAPWPISSPAPAWFAALVAVGLYIVVRSAATFLLWLHRAFLRPGRDLAGRYGTWAVVTGATDGIGRAVALELARAGLHLVLVGRSPDKLARVAKEVLAAAPPSSCKVRTVAFDLAVTGDDARRGVARVAAAVEGRDVGVLVNNAGATYPCAAYFHEVERPVWEAVVRVNVEAATRITRALLPMMAARGRGAVVNVGSGSSVVVPAFPLYAVYAASKATFPANRPHPRGATHAPWNRPHPASENRPRPCRGAGAISRLCRWPRAPHPAMVDGPRSPCRCAGAHPAMAGAGARAPRPVRGDWGHYASHPGAGVTEALFRAGTAEVATPIPPPTPGPPPPTLGRRHGRERQRAGVGEMSLRAHEDEWQQETKRAKLRVASTSSKQALTTGTGQQLRADERRFCVVWWTCGRY >Sspon.06G0005210-2P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6B:15459865:15460230:-1 gene:Sspon.06G0005210-2P transcript:Sspon.06G0005210-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGGLTKLRCMIKRWHSSSRIARAPSPGPGGEDDRGASFHGADEVPKGLHPVYVGRSRRRYLIAEELVSHPLFQTLVDRTGGATGGAAAGTTVVGCEVVLFEHLLWMLENADPQPESLDEL >Sspon.05G0007100-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:22206264:22210533:1 gene:Sspon.05G0007100-1A transcript:Sspon.05G0007100-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADEAVSAACSCLEPLSGCLLEATRREVLAFLHIKSNWGDLEKARDSLRAVETTVRAAVMAEEDKLNVCDPQVEVWLKRVDELRQDTIDEDYSSLLGFSCLCQCTVHARRRASIGKRVVDALDEVNKLTEEGRQFRTFGFKPPPRAVSRLPQTETVGLEPMLTRLHDLLEKGESSIIGVWGQGGIGKTTLLHAFNNDLEKEDHNYQVVIFIEVSNSETLNTVEMQQTISDRLNLPWNESETVEKRAKFLVKALGRKRFLLLLDDVRKRFRLEDVGIPTPDTKSQSKLILTSRFQEVCFQMGAQRSRIEMKVLDAAAAWNLFLSKLSNEAFEAVESLNFNKVVRDQARKIFFSCGGLPLALNVIGTAVAGLEGPREWISAANDINKLNNEDVDEMFYRLKYSYDRLKPTQQQCFLYCTLFPEYGSISKEPLVDYWLAEGLLLNDRQKGDQIIQSLISASLLQTSSSLSSKVKMHHVIRHMGIWLVNKTGQKFLVQAGMALDSAPPAEEWKEATRISIMSNDIKELPFSPECESLTTLLIQNNPNLNKLSSGFFKFMPSLKVLDLSHTAITSLPECETLVALQHLNLSHTRIRMLPERLWLLKELRHLDLSVTAELEDTLNNCSKLLKLRVLNLFRSHYGISDVNDLNLDSLKALMFLGITIYAEDVLKKLNKTSPLAKSTFRLNLKYCRKMHSLKISNLNHLVHLEELYVESCYNLSTLDADAELTTSGLELLTLSVLPMLENVIIAPTPHHLRHIRKLAISSCPKLKNITWVLKLEMLERLVITNCDGLLKIVEEDSGDEAETMLEDKCIDDGQSACNSGDNTHAEFLNLRSIVLTDVKMLRSICKPRNFPSLETIRVEDCPNLRSIPLSSTYNCGKLKQVCGSVDWWEKLEWEDKEGKESKFFIPI >Sspon.02G0017120-1P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2D:38561766:38562044:-1 gene:Sspon.02G0017120-1P transcript:Sspon.02G0017120-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSKLSLLCLVPFLLAAVAVAGGDTLTTFIVHVQPPEPEENQQTAGDREAWYRSFLPEDGRLVHAYHHVASGFAARLTREEVDAVSAMPGFV >Sspon.04G0015370-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4A:57659535:57660360:1 gene:Sspon.04G0015370-1A transcript:Sspon.04G0015370-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEVTRDIQGDIPWCMLFADDVVLVDDSRAGVNRKLELWRHTLESKGFRLSRTKTEYMRCDFSATRHEEGDVSLDGQVVAKKDTFWYLGSMLQKDGDIDEDVRHRISAGWLKWRQASGVLYDKRVPQKLKDGLDMSNGGLLTPEAPVHSGVLKRADNVKSGRGRPKLTWDESVKRDLKKWNTSKDLAMDRSAWRLAINVPEP >Sspon.03G0016050-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3A:51247650:51248966:1 gene:Sspon.03G0016050-1A transcript:Sspon.03G0016050-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARILQVVAPLLLLLLPAGLRELLSPTTTTDHRLPPEGAADGEVLLHPLVLVPGLTCSEVEARLTDAYRPTVPRCGAMKGKGWFGLWANCSDLPAHHYVQCFIEQMTLVYDPVANDYRNLPGVETRVRGFGSSRGFQRNPEHTTWCFEALRRELERAGYRDGDTLFAAQYDLRYAPPVPGQRSEVFSRYFRQLTRLIEDASEKNGNKKVILFGHSFGGMVALEFVRSTPMAWRDRYIKHLILVAAVPAEGLVVTLQFFVSGSDLMYIPTVTQLALTLRPMWRSFESSIVNFPSPAVFGDRPLVVTARRNYSAYDVEDLLADIGFGAGVEPFTRRAVPKMSSFQAPMVPTTCINGVGNNDTPEQLVYRDGDFDAEPEIVYGDGDNTINLISMLAFDEKMRRQPEQNKLYKSIKLHGAEHGTIVTEDWALERVMQEILEAN >Sspon.08G0028730-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8D:16172527:16173626:1 gene:Sspon.08G0028730-1D transcript:Sspon.08G0028730-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLSSYNSKGGIRCGCFASRGICVHNLGALPLCKVKVASCLPAPKKCNVGVVASGPNGQLWDMTGTWNEWFQHSDLSSA >Sspon.03G0019210-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:45335918:45345802:1 gene:Sspon.03G0019210-4D transcript:Sspon.03G0019210-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DEGPNDRKIGKTVRIYFKESYACSKVYLEQKCYKELRAERYGSVKVVMAIYRKVDSTYMFNLENQIPKLCHLAQEMGEKEKICILHAAGLQALSSMIWFMGEHSHMSAELDNVVSAVLENYESPYANADNDAAVEDRRIQWVDEVLKAGGHEPPAVTILTRVPSWKVIRAVHVKHLEHKNVLKQPDMNLDIIEVTSRLAEHSKAQSSIALMASISDMVRHMAKSMQSLASDADPGDSMVKWNSRNGKAVDECLVQLSRKVGDAGPILDTLAVVLENISSSMIVARSTISAAYRTAQIVASLPNLSHQSKDNTKLLPISENADEISANDATLFKSQTIQRMASTKDISLPSSTDTSTSSEPTPNQEKDAVTLMLSVRQANLLLSSLWTQALSPENVPRNYEAISHTYSLMLLFSRAKNNSFSIFIYVFVRLPSIMEDIPFAFNIPALIPVVKHVLTESTVDPFLCLIEDCRLRALDSAAEPCTKLYGSKEDDDLALKSLSNIDMNEDQSKETSVSLILDSLEDLSESELSTIRKQLLEEFSADDICLGSHFTETPSKSSAQNGKLHQKSMEVIPLGFVFEDDTLVEASDSLVEPHLRHPPCNSVLDVNRLLDSDGESSIEQSSPQDPQADTFLCTADEQCHLNS >Sspon.08G0007050-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:22523915:22530979:1 gene:Sspon.08G0007050-3C transcript:Sspon.08G0007050-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSSPLPALAGEALRQKRILSSKLYLEVPSSKAPVVYSPAYDISFLGLEKLHPFDSAKWGRICRYLTREGYLDKKRMVEPLEACKEDLLVVHTEAYLNSLKSSFRVSSIVEVPPVSLVPNWIVHKKLLYPFRKQVGGSILSAKLAIERGWAINVGGGFHHCSADEGGGFCAYADISLCIQFAFVRLNISSVLILDLDAHQGNGHEKDFANDGAYFNFLWILVLYICQFDTSLSLTGRVYILDMYNAGIYPF >Sspon.01G0009840-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:27890719:27894296:1 gene:Sspon.01G0009840-1A transcript:Sspon.01G0009840-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALYLLFESASGYALFHAYGIDEIGQSVEAVRSSVLDLDRFGKAVKLTAFSPFSSAVDALNQCNAISEGIMTDELRSFLELNLPKPKEGKKAKYSLGVVEPKVGSHISEVTGIPCQSNEFVQELLRGVRLHFDRFINELKDSDLEKAQLGLGHSYSRAKVKFNVNRVDNMVIQAIFLLDTLDKDINSFSMRVREWFSWHFPELVKIVNDNYLYAKLAKFIVNKSDLAEKDIPALADIVGDEDKAKEIVEAAKASMGQDLSPVDLINVQQFAQRVMNLSEYRKNLYEYLVTKMNDIAPNLTSLIGEVVGARLISHAGSLSNLAKCAASTLQILGAEKALFRALKTRGNTPKYGLIFHSSFIGRASTKNKGRMARYLANKCSIASRIDCYSEASTSVFGQKLREQVEERLEFYDKGVAPRKNLDVMKAAIDSMVNGTSMDDDDNQKTDASAKKSKKKKTKTESDGDAMDVDKPSNAADGEAEPGTEKKKKKKKHKLEDAQEENGAAHANGDAEETETPKKKKKKSREVSEEAEPKTATEGKKKKKKKAKVEDDE >Sspon.06G0006690-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6A:24356132:24356639:-1 gene:Sspon.06G0006690-1A transcript:Sspon.06G0006690-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding KLNGFTVPYVECLRCSPRAHDGLAGAVIPIFQLWIREVYPEPTFCRCVSALRRHLFSRLILTWSTQRRVYLNVNAYQMFTVLALGKPSEYQFNGVT >Sspon.02G0038250-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:43008103:43010370:-1 gene:Sspon.02G0038250-2C transcript:Sspon.02G0038250-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding CGSGRRSWATPSPASSPPSRASPSPGPGPGPPLPSVRLPLRRPSRSRRSRRRNFFPPSPPPPPCRTTGATARRRSPRGGPVFPRATSAVVPDPSRFFAPPLLAAPLPTNSFFQNFVLKNGDQPEYIHPYSVRSPGGAALDVCYPTRNHSPSFVIQTFVADLTVSDAAGTAAQRHCVSAFDDLSVTLDVSPSLRAHLVRGCPYVTVTTTAGPVDVSVASVHAFLDVTSCDDSGTKWRLRMNSGQTFLLYASAPIRLAQATTTQLSAPAFAGAIRVAYLPDASMEPVLDRYSRCFPTAGHAALNRPFCVDYAWRKDGPGELLMLAHPLHLRLLADDDCAVRVLDDFRYRSIDGDMVGVVGDAWVLRTDPVSPTWHSTRGVSEDGVAEVVAALRADVAGLASTAVTTTSSYFYGKAIARAARLALIAEEVGCPDVIPAVQQYLKAAVTPWLDGSFQGNGFFYDAKWGGLVTLQGLKDSGADFGFGIYNDHHYHLGYFLYAIAVLAKIDPSWGRKYMPQAYSMVADFMTLSRNKAGASFTRLRMFDLWKLHSWAGGLTEFADGRNQESTSEAVNAYYSAALVGLSYGDAHLVSVGATLTALEMLAAQTWWHVREGEGIYEDDFSGNNRVVGVLWANKRDSGLWFAPPEWKECRLGIQLLPVLPISEALFPDVAFVKDLVAWTLPALARDGVGEGWKGFVYALEGIYDKEAALTKTRALNGHDDGNSLTNLLWWLHSRGSVVGDGDAGFSRFCWYRQYCH >Sspon.08G0017130-3D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8D:66410811:66417634:1 gene:Sspon.08G0017130-3D transcript:Sspon.08G0017130-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AAATQAGAAAAIPWPAGLPLLPHLPTSMSSPPVKFLGANRHSSTFPTPCRRRRYPYCGRGRRPYWRGRRRTWRHHRRRGRPCWPYCTARRPSHWPRCPACRPCRCSSCGPSGCCPSGCCPCGPGTCCLARSRPCRGGWCCCQWRRCSCAAGRQGGHRGASCHCHRDARSASSGTGGDGPSRCRCLPRPASAASSRRTPRLRPRRRSRRCPGCGCRGAGPGARGRPHVGA >Sspon.02G0023450-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2B:78849459:78853380:1 gene:Sspon.02G0023450-2B transcript:Sspon.02G0023450-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLRRLAPPAASAALPPPSPPPRHQAPRALPLPSAQAPSKKRRVSPSPPKTATPVPPPPPALATSAPAAAFLSPCSLPQGDTTTEPAYVDSPTAPRPAADEKPSTPSLPAADEEPSTPPAAARPVLAWEARKMKRRAIITRNPVPKGTFAAGKAAAAAAVGELPARKNAALDEMADEEQNARKIEAEELHSGFNVVDVDETLLGSAQKTLLGEEAVWGGTLIGKPVANTDSDACEAEELASTSIGKEEFGMSDRAERQRRMTEVFVCRLNDDMKEEDVRSVFGWAGEITEVRMAMDARTSKRKGYCFVQYREPSQAKKVVAEFCKFEVISTHWDEFKICWKLCQVEALDGNDKIVLENIDKKWKKEDIMKLLDKTGVENIDTVTLMADCDNPGYNCGYAFLELETERDAWMAYIKLSRKGVFGSCLNITVAWAKPMSDPDEEMQQVESIFLEGMPDSWDNSKMTELFSKYGVIQRTVLSRDIQSAKRSDFAFVHYTTHAAATLCLESFDREELTENGSKHAKFRSVTTSFKPPVADPAGFWVGSGLPQISGDQEMILGPPLQATQGFSGSVPAMAPSLPPMLHGNVLPIPVSVLRSRLARGQWQVLVRWEGLLASEASWEDVESFRQRYPAFVLEDQLFLKEGRDVMVGMKYHRRQHV >Sspon.04G0016260-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4B:76535406:76537266:-1 gene:Sspon.04G0016260-2B transcript:Sspon.04G0016260-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding YDYSGYGQSTGKPSEQNTYADIEAVYRCLIETYGAAEDNIILYGQSVGSGPTLDLASRLTRLRAVVLHSPILSGLRVMYPVKHTYWFDIYKNIDKIPLVRCPVLVIHGTADEVVDCSHGRSLWELAEVKYEPLWIKGGNHCNLELYPEYIKHLKKFVGAIERSPPPPPIDESTESLGPSGHTLTTEPECSAEDSRKSTDCRDKTRPSIDQRHSTDRREKPRGSTDRRDKTRKSVDHPDKPRAS >Sspon.07G0004050-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:9949210:9954971:-1 gene:Sspon.07G0004050-1A transcript:Sspon.07G0004050-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NSF [Source:Projected from Arabidopsis thaliana (AT4G04910) UniProtKB/TrEMBL;Acc:A0A178UTB9] MVILPRARRLFRLRASSPPRATLSQRSIVNGPEVLSKFVGETEKNVRDLFADAENEQRTQGDQSDLHVIIFDEIDAICKSRGSTRDGTGVHDSIVNQLLTKIDGVEALNNVLLIGMTNRKDLLDEALLRPGRLEVHIEINLPDENGRLQILQIHTNKMKENSFLSPDINLHELAARTKNYSGAELEGVVKSAVSYALNRQITMDDLTKPLDEESIKISAETMIGFSESSKCAQICKVFEDAYKSQFSIIILDDIERLLEYVAIGPRFSNLISQTLLVLLKRVPPKGKNLLVIGTTSEVGFLESVGMCDVFSVTYHVPKLKKDDAKKVLYHLDVFDDGDLDAAAEALDDMPIKKLYTLVEMAAQGPTGGSAEAIYAGEDKIDINHFFSILSDIIRY >Sspon.01G0010660-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:36183986:36190748:-1 gene:Sspon.01G0010660-2B transcript:Sspon.01G0010660-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein phosphatase 2C 32 [Source:Projected from Arabidopsis thaliana (AT2G46920) UniProtKB/Swiss-Prot;Acc:Q8RWN7] MGNSTSRVVGCFAPPDKAGGGVDLDFLEPLDEGLGHSFCYVRPGAVADSPAITPSNSERYTLDSSVMDSETRSGSFHHEPAADDLAAGLQRPCRSFGETTFRTISGPPANASSARTGNLTVSLAGDVQEPAAAFESTASFAAVPLQPVPRGSGPLNTFLSGPLERGFASGPLDKGSGFMSGPLDKGAFMSGPIDAGSRSNFSAPLSYGRRKARLGLLVHRISRPMKTALSRTFSRSSQNPGWVQKFLSHPMAQLPWARDAKSRSEGSQNGLEPGIPEPEYNVTRNLQWAHGKAGEDRVHVVLSEEQGWLFIGIYDGFSGPDAPDFLMSNLYKAIDKELEGLLWVYEDSSDRCDHVSNLEEGESAAASVDAPHDDSGQFQSDNGKQEQLGNFGKQNVSPGKGGDESALQVQPNCTSCEEKDLATQDSSSEKLGRDEIVEEMAGADLGNDLQRRESHNLNRGLLGTDLSTSCSCATETSAYCDQHAKFLKENRKSKRLFELLEMELLEDYNKRLSKASPEGRKIPSLDVAQAGTAEGSSRNAAEISRCSLAATGECFDDSEDLGSSRHADSVLGTDVKECTGCSISTSSSGHKQVTRRFVFGSKLRKMYKKQKMLQKKFFPWNYDWHRDQPHVDETAIKSSEVSRRCKSGPVEHDAVLRAMSRALETTEEAYMEIVEKELDRHPELALMGSCVLVMLMKDQDVYVMNLGDSRAILAQDNDQYNSSSFSKGDLRHRNRSRESLVRVELDRISEESPMHNPNSHLNSNTKAKELSICRLKMRAVQLSTDHSTSIEEIVNAVMRWADKTSIESLGS >Sspon.02G0001180-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:4190297:4198321:-1 gene:Sspon.02G0001180-1A transcript:Sspon.02G0001180-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEKGALQSAREWVVEHKLRAVGTLWLSGIVGSIAYNWSRPGMKTSVKIIHARLHAQALTLAALAGSALVEYYDHQQGSGSKVHQYAKQFLSSDSDSNSSPQK >Sspon.08G0009500-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:41597328:41603149:1 gene:Sspon.08G0009500-1A transcript:Sspon.08G0009500-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRLQTYAAFSLLATASAVYYAFSSREQFYPAMVYLSTSKICFVLLLNTGLVAMCVAWQLVKRLFLGSLREAEVERLNEQAWREVVEILFAVTIFRQDFSVSFLAMVAALLLIKALHWLAQKRVEYIETTPSDRSFMAFLLIVDCLFLSNSLRSLIQKWEASVAIFFSFEYMILATLTVSTFVKNYGVPLHLIRELYETFRNFRIRIADYVRYRKITSNMNERFPDATAEELNASDATCIICREEMTTAKKLLCGHLFHVHCLRSWLERQHTCPTCRAPIIPPDNGRSVSARHGGQPGVQPGTDTPASEGAPGDNMSRRQAKLEAAAAAASLYGRSFVYPPANTLNRSGPQSTSSTPQSEASNSNQSQKDQELQIQNSSDGLAPLPFSAHGAIGSGPSTSNLENSLQKAQENFIKSQIEMLQIQLQMIRCGAAVSVTNNENDEHKRND >Sspon.01G0039680-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1B:36093237:36093417:1 gene:Sspon.01G0039680-1B transcript:Sspon.01G0039680-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding EREIEEEKVRWNGPSVGTRGAVLEFDPDPEKAIAGSLVKLCTRAAVVGEGERDAVESET >Sspon.02G0016520-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:39916653:39925001:-1 gene:Sspon.02G0016520-3D transcript:Sspon.02G0016520-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bifunctional protein FolD 1, mitochondrial [Source:Projected from Arabidopsis thaliana (AT2G38660) UniProtKB/Swiss-Prot;Acc:A2RVV7] MGGAAVAVLAAATARRKSGPFVSLFSRAARRLHDAAETAAAEEDKAGTRSRRRRRRRRSSSSLLLGPDFPDTWDPSWRDTTPQAPPRCAQADYDRTATIIDGKSIAEDIRLHIAEEVCQMKRSVGHVPGLAVVLVGDRRDSESYVRYKVKGCEEVGIKSLLAKLPGNCSEDEVMDSVSRFNEDPSVHGILVQLPLPEDVDGFHPLNVGNLALRGRNPLFVPCAAKACIELLLQSGIELMGKHVAVIGRSKVVGLPTSLLLQRHHATVSVIHAFTTNPEAITRESDIVISAAGVANLVRGSWLKQGAVVIDVGTNPIEDPTSDYGYRLTGDVCFQEAVNVASAITPVPGGVGPVTIAMLLGNTLDSAKRVYGLSD >Sspon.08G0003210-4D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8D:6821659:6825178:-1 gene:Sspon.08G0003210-4D transcript:Sspon.08G0003210-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAHRIMERVQEEQRRWYGVKEPRQVLVVTPTYSRAFQALHLTGLLHSLRNVPYPLTWIVVEAGGTTNATASMLARSGLTFVHIPFPDRMPHEWADRHATENRMRLHALRVIRERKMDGVVVFADDSNVHSMELFDEVQKVQWMGAVSVGILAHTGTADQLRLSEEDKQNMPLPVQGPACNSSGHLAGWHTFNSLPFSGKTATVVGEAAPVLPRGLEWAGFVLNSRMLWKEADGKPDWVKDLDAVGENGEEIENPLTLLNDPSSVEPLGNCGKKVLLWWLRVEARADSKFPQGWVIEPPLEVVVPAKRTPWPETTTELPSELLDDKQEQEDRRLSRANKLSRPRGTTKRKGDPQGQDN >Sspon.07G0032600-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7C:51968751:51972238:-1 gene:Sspon.07G0032600-1C transcript:Sspon.07G0032600-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVVGYGRIILHLTSLLGIDKSSAQTSYRRDDRCRTREAPNSILCCIVYRHLSELAIKCLITRTSRYKLYVSIIWTWCNEKLQHVRLQQQKQGNDVAVCLRVHESICQRRTELTCSIWTRRWCFDHVEGLLCPPDRGQVGSVPACAPACGSSCEIDGRQGRRHGGGKSIASSRERGGSARELDGVAWHRAWSSARFADGDEAADLAGPSSARSRSCAATAATRAKAASKPRHRARRRTKSVMERRNRRDELSGGGRQQRQWRWDKARAKNGGGCENTRSVMILELI >Sspon.06G0013570-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:47887050:47896001:-1 gene:Sspon.06G0013570-2B transcript:Sspon.06G0013570-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDDGIGHSQDHEEPCQKLLEELSLERRKTVDRNDSMSISSRTRGDLKTVLLHEIIFSSIDRPKLLSRLTGLLSEVGLNIQEAHVYSTKDGFCLDVFVVDGWKTEETDELIAAIKETLTQKNASPSNSTNSSTSERIVDLQQKVGDCEIDLSMLTRDDKIASGSSADLYRGTYKGHDVAIKCLRSANLSNPSQVEFLQEIVGGVGSNAVYTVPWCPGTTVVNHVKERRNKPQQLKEHIINHKPYDYKADVFSFAVVLWELATSKGLRLDIPASVHPRLTKLIRQCWDENPDLRPTFAEIIIELQDILHYIQALKGPSRRSRAKMQKKSEG >Sspon.01G0020350-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:75511868:75513885:1 gene:Sspon.01G0020350-1A transcript:Sspon.01G0020350-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MCESIDIMATFTYNQKMPKAEDKYPNVELFFKHVLGQKDPQIRKRALEEEVAMLKHQVHREMEAEKECDELKQERDNAVRQVDELRDQNRHAILEFSLQELEQATENFSVVCKVGDTEYGRVYKGIVHKTMVAIKLSCSQSLFQREVSILKKCRHPNTVTIIGICSEASALVYEWFPNGNLEDRIVSSNNSPPLPWCKLTQIIGDVCCTLLFLHANKPSALVHGDLRPCNILIDANYRSKLCNFGMSNLFLAPGAFPSNFTVRLPYIDPEFLTTGELTPQSDIYSLGVIILRLLTGMSPLSIAKKVVSAGDWPYTQAKHLAVLGLSCLEMTREKRPDLLTKVWKVIEPMVTRPLVAYFQSASGGSSAPAHFFFPIRMEIMKDPQVASDGFTYESEAIRQWLSGGNSRSPMTNLALLNRDIIPNHALRSCIQEYLEFQRQLGQNVDP >Sspon.04G0018890-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:2573606:2575102:-1 gene:Sspon.04G0018890-2P transcript:Sspon.04G0018890-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMKQPRGRQEPRRMGNAAMVVTMLVSLCVLTYIKARYCSNPFRTSPPPLLLQFLLLPPPPTKAAEELEVVEVDEDYDSTRYKLSGPIGEEDFDPSRPTCYSTSKRSERCAAVGDIRVDGNHSKIYINPLSREWRTKPYARLQDPVAMDDVREFTLVPFGGSNHTTVPPLCTRNHSVPGFLFSSGGFAGNLYHDYADVLVPLFASTHHFGGEVQFLLADIKDWWADKFKPLFRQLSRYDVIDVNNDQEVHCFPRIVIGSTFHRAMGIDASRTPGGETVADFKRVLRRAFRLERAVASRSGAPRRKDRPRLLIISRKSSRRFVNERAMALAAAAAKFDVRIAEPDNHTDMPNFARLVNSADVMMGVHGAGLTNMVFLPSRAVLVQVVPFGGLEWLTRVTFKDPARDMDVTYMEYNVSLEESSLRDLYPKDHFYLKHPYDVHKKGWDAIKTVYLDKQNVRLNLTRFTRTLEQARDLLPSP >Sspon.07G0025660-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:40982529:40984344:-1 gene:Sspon.07G0025660-1P transcript:Sspon.07G0025660-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLAQEAKRKNATIKNNFNYHNMDLEEGNATARVKRAKATNWPRVMSKFLLDWYLDKKKGMPPKTKFKKNHHVWCTSAVNAKFRTTYSVDQVHRHFRRFKEIWIIVTRYANESGSRFNNKHKMLILPPATMASLPIAERAILAKPIPFFDHLLHLFNDGQLDAVCMRDPITTDDSDEELEAQIALNIIASGADTRDQDGADLDNMGLEGEDNHHEVAASSGEVPCEVMSDTSPPSGSFAESTMAALKPSAKKLKIISKTKLSPKPQAPLPRDGRNMDALNSTLVGIRDSGPKPVRAAPTSDPNAPLWNMLKEIPLTQPDRLSVGMYLCKPESEVHRSFFMNMGKEYLEAWARKFLTGEEPGAV >Sspon.03G0025240-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:76312957:76314623:-1 gene:Sspon.03G0025240-1P transcript:Sspon.03G0025240-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDAPAQQPAPQQKPTRVSLSYEEISKLFSLPIAEAASILGVCTSVLKRICRTHGIVRWPYRKLVSGKAGDDTKGPDRDKAKELLELSKIAKQKPSSASGPSIASSSTSQGAAKSQQGSSKAGQNSVSPPAGKQNSSPSLAHGSQAKAIPSYMDDFKYGFPSSGLSCETMKWWGTSSDTDCVPIKDGSHEAHESTTHEPSKGMTDDDELDWGADEAEGEADGAVTAEASAQLCSLRRKAVDDGRKLLNGDNRRGQEFSRLNKRQKLSLAQVFGASLPDVVFLVSSE >Sspon.02G0000080-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:580094:581505:-1 gene:Sspon.02G0000080-1A transcript:Sspon.02G0000080-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAPCCEKSGLKKGPWTPEEDEKLIAYIKKHGQGNWRTLPKNAAIAARLPGRTDNEIKNYWNTHIRKRLLRMGIDPVTHAPRLDLLDFSALLKPAAAAAASYYPTQADLDTLRALEPLANYPDFLRLASTLLSSGPPAPAIGDHQNQLLPWILQAQMAQQAATAMAQQVAPPPPQAEQFMQQQQAGAACQQMPDLVHTKPTLQQQLAAAQHQPQDMAAAACHSMQPPSGYVDSLDVPALMQMVQQADASNLQQWSSTVTSSNNNNNVGSGVSTPSSSPVARLNHSSSTTTTTYGGASASTNNDAAAAALFNMQLSELLDVSDYM >Sspon.01G0035910-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:15259175:15261533:1 gene:Sspon.01G0035910-1B transcript:Sspon.01G0035910-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSKPDRKSEHGASRLHFLEERDEETLSRRLIKLSQNNKVTSATELFDSMRASGLQPSAHACNSLLACYVRRSPLADAMRMFELMKGKGMATGHTYTLILKAVASNQGYVSALEMFNKIQEEADSKKIVDVIVYNTMISVCGRAKEWMLVERLWRRLEENSLSGTLLTYDLLVSIFVQCGQSELAIAAYQEMLQKGLDPTEDIMKAIIASCTKEGKWEFALSTFSRMLSAGMKPSLILFNSIINSLGKAGQDELAFRMYHLLKKSGLKPDQYTWSALLSGLYRSGRCWDCLELFQGIKAKHPALLNDHLYNIALMSCEKLGQWEHGLQLLWMMEKSGLEISVKVAPDSSIYNAVIHGLCLRGKIGLANRVYAKMRSIGLVPDGKTRAFMLQHIATD >Sspon.02G0007990-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:1132446:1134545:-1 gene:Sspon.02G0007990-2C transcript:Sspon.02G0007990-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPFCAACRLAVPAPSLPPHGRLGPGEAWWRARGGRRRINPAIRKEEDKVVDTVLTGELAKPLTAYCRCWRSGTFPLCDGAHVKHNKATGDNVGPLLVKK >Sspon.02G0025240-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:89044011:89045647:-1 gene:Sspon.02G0025240-2B transcript:Sspon.02G0025240-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVVSRAKQRTLEEEERHANRISSLPDGVLSDIVSLLPTKDGARTQVLSSCWRHIWRAAPLNIDLHNHPIGILASDISRVLSVHPGPGRRFVMPRRYSMDYPTTMTFDGWLRSPTLNNLQELEFCNSLLLPSPSVVHRFSSTLCIACFFGCNFTIPGGSGNDASPLQLPLLKQLTLYQARISESSLHASCSPAALSWRACCYFTAEVCLGCESCPIASEVSGYIQQLVIEDAPCLERLLYFRGTQMNISVMFAPRLAILGKLLDGFPRLQFGATVFKGSTIVSMSGGVVSSVKVLALCDVKLCLDAVINLLQCFPHLQKLHIEITRVLGKDWWTYRKLTSTLDIGLRKIVLRNYRGDKSQINLARFFVSNARVLESMRFEIEDRNVSTKWIGRQQRLLQIEKSASRDAQFDFVSPNILTVSLDDRCAEQVQDLSADPFD >Sspon.03G0017160-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:40896289:40905498:1 gene:Sspon.03G0017160-3D transcript:Sspon.03G0017160-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding HDAAASGARVGTCGGAVGVGGGADGGSPAGSGGRGRAAEAGGSGDGAAGPCGPAHRGHPAWRGPPPRGPLRRRRRATVQRAPARRQDGARYRQGGGRHAPPLPGPPRPRQQLEESGVLNGALKARGNSWGLHMPLVCPDGAVVAYAWKRQLAGQAGASAVDRTRLALKAFTDQKRRFFPHLEDEVLSHLHDGEPGVTKKPKLIASNGDLEEKSLSEILKNLENEVPNMKIFTYWHLDWSKRASSLASLMDDDFVDPSKELNLQNMGKSRSGALTTPIDQVAVIELLVPSIFRAVVSLHPAGSTDPDAVAFFSPTEGGSYLHARGTSVHHVFKHVKEHADKALQYFISVEPSKALSLLLRWIASYQTLFTKQMRTASDDGQVLGFAIASCPPPLSPDFKSWTRSSRGLSHWIGLESLECLPRVGDPLPEQLLLLDDDGDTQPLGLIHGMFQVVAGHPDKQPQSAGGTSGYGNAGLGSLEAGIMGEFIHRAELVLQLSESEAEADSGILLPGTITGTLFHPTFQGYMKGVP >Sspon.01G0018740-3D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1D:66417198:66419246:-1 gene:Sspon.01G0018740-3D transcript:Sspon.01G0018740-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MERSSVSMMWQLCSAMVARRVLPMVVVAVMVAASHGGAVEFAYNGFGGAGLSLDGTATVTSAGLLQLTNDTNMSKGHAFHPDPVKFHRPAAAAVSSFSTTFVFAIVSEFLDLSTSGFAFLVAPTTDLSTAMPQQYLGMFNGTDNGDARNHVFAVELDTVRNPEFADINNNHVGVDVNSLNSSAAAPAGYFDDGGAFRNLSLISREPMQVWLDYDAATAEVTVAMAPARRPRPRRPLISTKINLSTVITDTAYVGFSSASSVVLVKHYVLGWSFALDGAAPALDYDKLPTLPRIGPKPRSKALTIALPIATTVSVLAAVAVAFLLLRRRRRYAELREDWEVEFGPHRFAYKDLYDATGGFREKRLLGAGGFGRVYRGVLPASGTEVAVKKVSHESRQGMKEFVAEVASIGRLRHRNLVPLLGYCRREGELLLVYDYMPNGSLDKHLHCHGGDNKPVLDWAQRVHIVRGVAAGLLYMHEEWEKVVIHRDIKASNVLIDGEMNGRLGDFGLARLYDHGTDPQTTHVVGTMGYLAPELVRTGKATTLSDVFAFGAFLLEVACGRRPIEEEEQDAAAAVGVGDRFVLVDWVLGQWRKGSIAGAVDARLGLEYDATEADLVLRLGLACLHPSPPARPTMRQVTQYLDGSAPLPELPATYLTLDTLAGMEGHRSLFESWFIWRPTSTAAT >Sspon.02G0038790-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2B:44825902:44828652:-1 gene:Sspon.02G0038790-1B transcript:Sspon.02G0038790-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPTVQKVRIFCADPDATDSSDDEDDKNIAEKKMVREVLVPVTNSKTSNSLKTLVLCGTKDLEVSEKKGKSSRFRGVRKRPWGRWAAEIRDPVRKTRKWIGSYDSEEAAAAAYQAYANQIRDEVLAIKTQQSVSERAPLSSSSSVSCVSSSAPCEQIAHEPQNRVLVERDPEPVDEVFLNFSPTPKEISMDDLLGRIDEIPVCDSVRPADELPLETRLEDAFPISDFIGSRDEHPYDHYIGLADISHLPLPMNDPAFNLDAELDWSGFDFAAIERELD >Sspon.02G0057020-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2D:42629140:42629523:1 gene:Sspon.02G0057020-1D transcript:Sspon.02G0057020-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWALEACDQLSTSIPSNKETGMQQPVSWTPPEQGVLKLNTDGAFLQESCTGATGAVLRGSDGRFRAASARWMNSVGSALLAEVEALRDAVRLIPEGTQAKIVAETDSLELVSLWQKRRNQRSEVPS >Sspon.01G0056450-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1C:89492035:89492316:-1 gene:Sspon.01G0056450-1C transcript:Sspon.01G0056450-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFITEFAENLILRLMEDPDKRDQVRREHVYKMKERCERTKAAWNLPLRPYGFWTFDRFNSQLSWDPQISQAAGRRDPYDDLITRHSGSPPSS >Sspon.01G0031090-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:108052403:108053239:-1 gene:Sspon.01G0031090-2B transcript:Sspon.01G0031090-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAPSPLLPPAGEPFRVFVGYDPREHEAYEVCRRSLLRRSSIPLDVRPIRQPELRAAGLYTRARGPTESTEFSFTRFLTPYLAGYRGWALFVDCDFLYLADLAELLAAAVPPGSADAADRIAVACVKHEYQPAEATKMDGAIQTVYPRKNWSSMVLYNCAHPKNVAALTPQAVSTQTGAFLHRFAWLDDDEIGELPFAWNFLVGHNRVDPADPATRPRAIHYTSGGPWFERYRDCEFADLWLKEAEELRAEKDKLKLLKDKDDADVKQGEEAAQEKGK >Sspon.05G0004340-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:12997732:13001250:1 gene:Sspon.05G0004340-1P transcript:Sspon.05G0004340-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPSAALSVSGTVHTNTFVCRNNKLKSNRNRLHLAVPSSSESTNYCRKLTICRAQSEDSKGGGGFLAGFLIGGAIFGTLGYVFAPQISRTLDSLLDENGQDSESDETGLQRVPRRQGQYYDEGLEKTRQTLGDKISQLNLAIDKAATRLKRVTGNGENEALKDKTEIGISSLSDNEHVVEDLNEHGFVQGESAT >Sspon.01G0049430-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:96872566:96889317:1 gene:Sspon.01G0049430-2D transcript:Sspon.01G0049430-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKARVYTDVNVLRPKEYWDYEALTVQWGEQDDYEVVRKVGRGKYSEVFEGINVNNNEKCIIKILKPVKKKKIKREIKILQNLCGGPNIVKLLDIVRDQHSKTPSLIFEFVNNTDFKVLYPTLTDYDIRYYIYELLKALDYCHSQGIMHRDVKPHNVMIDHELRKLRLIDWGLAEFYHPGKEYNVRVASRYFKGPELLVDLQDYDYSLDMWSLGCMFAGMIFRKEPFFYGHDNHDQLVKIAKGSDYAFVLSDVTLCSFAIIIINGSLVVDVLHSRKPWAKFINADNQHLVSPEAIDFLDKLLRYDHQDRLTAREAMVVLECDEIHIISCDGIWNSALASSFLALPRPRAAAPPPAGSGPLMSKARVYTDVNVLRPKEYWDYEALTVQWGEQDDYEVVRKVGRGKYSEVFEGINVNNNEKCIIKILKPVKKKKIKREIKILQNLCGGPNIVKLLDIVRDQHSKTPSLIFEFVNNTDFKVLYPTLTDYDIRYYIYELLKALDYCHSQGIMHRDVKPHNVMIDHELRKLRLIDWGLAEFYHPGKEYNVRVASRYFKGPELLVDLQDYDYSLDMWSLGCMFAGMIFRKEPFFYGHDNHDQLVKIAKGSDYAFVLSDVTLCSFAIIIINGSLVVDVLHSRKPWAKFINADNQHLVSPEAIDFLDKLLRYDHQDRLTAREAMAHPYFLQVRAVENSRSRPQ >Sspon.03G0019140-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:59297845:59299719:-1 gene:Sspon.03G0019140-1A transcript:Sspon.03G0019140-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLGFEYARELWSKQKEKGRIFLQTWEFWTTRFLQLVEEKKRRILEKKEAPLKWEQKLEAAAKAKADAEAKAKKLKSRKHRRRGESSSDSDSDYDSDIDRKHRKRKDRRRNKKHEHSDSDARRHKRRSRRSTDSSDESDSEYESRSEEERPRKKCSHRRRHHRHSSRSDSEDYSSDEEEQRSAKDHSESRRHHHRSSDDDSDKDKGKVKSRHGKRLRSSDEDARSDSNSHKHLRSQSLEESSDDRDAAESGKMRNGKRSHKNGHRHHLHRHHRHHHERHSNSAEPNDKRLLLKDGQRALGSDSAN >Sspon.02G0031920-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:109652093:109657263:-1 gene:Sspon.02G0031920-2B transcript:Sspon.02G0031920-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPALEGSQPVDLSKHPSGIVPTLQNIVSTVNLDCKLDLKAIALQARNAEYNPKRFAAVIMRIREPKTTALIFASGKMYARIIQKLGFPAKFKDFKIQNIVGSCDVKFPIRLEGLAYSHGAFSSYEPELFPGLIYRMKQPKIVLLIFVSGKIVLTGAKVRDETYTAFENIYPVLTEFRKVQQWM >Sspon.06G0020960-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:11761668:11762188:-1 gene:Sspon.06G0020960-1B transcript:Sspon.06G0020960-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGKEEATTKRKGVSIRGDGGDGILAAISRSQVAAHGREAAAVAKKLLRSTGKAAWIAGTTFLVLVVPLIIEMDREQQLNDLELQQQTLLGGPPPPAAAK >Sspon.08G0003270-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:5581123:5586427:-1 gene:Sspon.08G0003270-2B transcript:Sspon.08G0003270-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASYFNHSSSSYPPPPPPPGTSPYGAYRHAYPPAPAPPAAYSAYYDRAEQALPARDELRTLFIAGLPADAKPREVYNLFRDFPGYVSSHLRTGKSSQGMVFDLEKNCSLHVDLAKSNSRSKRLRSDDTSPYSPEKRTRKPRGFPDSGAGSNIYISGMGNSSHSLGGYPSAQSYTSLESSTSLSKDPSTFAPRNNPPCPTLFVANLGPACSEQELIDVFSSCAGFVKLKMQNKLGAPVAFVDFKDAFSSTEAINRLQGVILYSSPGEGIRLEYAKSRMGLRKRDKHP >Sspon.06G0014900-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:81841366:81842640:1 gene:Sspon.06G0014900-1A transcript:Sspon.06G0014900-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSRLTKKQAMSSGSGSGSGAGGRRVTIRSISCRGVRAFVPFQKPPLYAAVSLGGRREKTQPDSDGGESPDWDDAVFAYDLDGDGAPAQAQQQQQLVVEFEVKAQVPILGNKLVGTASVPVADLAAAVGDDAGLRHVSYQVSAPDGKPNGTLSFAYAISRGPGPGAVVRPQPQLYPAPGGARPDQNSSFCDAPPPSAAYPPPAAAANFPPQSGGYPAPPQPSSPPAFASLYPSLQGLLYPRSYAPPPPPYPQFSAPSNSSYPPPAASTTAYPPPPASLVACPAEFIRYPPPLTAAAAAYPPPTPASSCAACPAPPAAQYSSYPPPPSTNYPPAPPIGYPPPPASNLVPPTGTYPPPPPESGSAYPVYPRSAPSPPPGTADRALPYYPALPGGSYYPPPGTWHPAVDCTARTPSYYPPPGSRYP >Sspon.07G0012160-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:49797471:49800774:-1 gene:Sspon.07G0012160-2C transcript:Sspon.07G0012160-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKEIAPIMTEYWEKAEFPFHVIPKLATLGLAGGTTKGYGCPGLSLTAAAISTAEVARVDASCSTFILVHASLVMPTIALCGSEAQKQQYLPSLAQFKTLGCWVPGGWHLNGQKRWIGNSTFADMLIILARNAETKQLNGFIVKKGAPGLKATKIENKIGLRMVQNGDIVLNEVFVPEEDRLPGINSFQDVNKVFAMSRVLVTWQPIGISMGVFDMCHRYLKERKQFGAPLAAFQLNQEKLVRMLGNVQAMLLVGWRLCKLYEAGKLTPGHSSLGKAWTSRMAREVVSLGRELLGGNGILADFLVAKAFCDLEPIFSYEGTYDINSLVAGREITGIASFKPAMVAKSRMEQ >Sspon.04G0009410-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:27821308:27824697:1 gene:Sspon.04G0009410-1A transcript:Sspon.04G0009410-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKRKRTDAPAEAAGAEKPDDSAPARPERTLFGFKDPAPDAEPASAGAGAAVAPFRNREKVLITCSRRITYRYRHLMQDVLSLLPHAKKDSKVESKQSKGSALNELLELRSCSSCLFFECRKQKDLYLWMVKSPGGPSVKFLVNAVHTMEELKLTGNHLKGSRPLLTFSTNFDEQPHWKLVKEMITQIFATPKDHRKAKPFHDHVFVFSIVDGHVWFRNYQISVPHNEIDKIDKGGLDKMTLIEVGPRFCLNPIKIFGGSFGGPTWYENPYYISPNQIRALEKRQKAGKYAKKVKAKVRRKMHEMENTLEPDEFAELWKGE >Sspon.04G0014980-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:64564134:64568152:1 gene:Sspon.04G0014980-4D transcript:Sspon.04G0014980-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ADVARADSEGQGRRPRRHPDLRLLERPRAGAGAVPLRRPLRPRPLRQARQAGRPLRPPPHRPLRLRRVELRVLSGLAQVCAGHQVQDRQWPLQGAAMQKFVEKIVSMMKSEGLFEWQGGPIIMAQFNLAMQLEIGAELGVSSAHYAQVENEFGPMESVVGSGAKPYAHWAAQMAVGTNTGVPWVMCKQDDAPDPVINTCNGFYCDYFTPNKKYKPTMWTEAWTGWFTKFGGAVPHRPVEDLAFAVARFIQKGGSFVNYYMAYVFKSKNGACAAFLSNYHMKTAVKIRFDGRHYDLPAWSISILPDCKTAVFNTAMVKEPTLLPKMNPVLHFAWQSYSEDTNSLDDSAFTRDGLVEQLSLTWDKSDYLWYTTHVNIGANEQFLKSGQWPQLTVYSAGHSMQVFVNGRSYGSVYGGYDNPKLTFNGHVKMWQGSNKISILSSAVGLPNNGNHFELWNVGVLGPVTLSGLNEGKRDLSHQKWTYQVGLKGESLGLHTVTGSSAVEWAGPGGKQPLTWHKALFNVPAGSDPVALDMGSMGKGQMWVNGHHAGRFWSYRAYSGSCRRCSYAGTYREDQCMSNCGALSQRWYHVPRSWLKPSGNLLVVLEEYGGGDLAGVALATRST >Sspon.05G0010710-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:30197914:30202112:-1 gene:Sspon.05G0010710-1A transcript:Sspon.05G0010710-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADRLLASCIPGGMEAAEREDGEGLELSLSLHPSPSSPPRFQAVFACCYCPRKFRSSQALGGHQNAHKLQRNLARRGREAASKPPAPLPPPPPPVADQQGSSRASGGSESAPTPRSRAEPPPGADAWGEGRHRRHQHHHLYQQRPALAGAAEASSGASSRGGKEDAAEEIIDLSQNPFYFLVVPSKSLLYYLTTRLTL >Sspon.01G0004230-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:10795464:10798948:1 gene:Sspon.01G0004230-1P transcript:Sspon.01G0004230-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASASRALLLSRAASRRVPTLLRPLAAAASLLPAGVGAAAATAPSAGVRCFATQPATSSLRDSSPNWSNRPPKETILLDGCDFEHWLVVMEPPPGDASNPDITRDEIIDSYIKILAQVVGSEEEARQKIYSVSTRHYFAFGALVSEELSYKLKEMPKVRWVLPDSYLDVKNKDYGGEPFINGEAVPYDPKYHEEWVRNNARANERSRRNDRPRNFDRSRNFERRRENMQNYQNRDGPPAQGFNGPPPPPGQNQMPPHHGQGNMPPPPPHAGGGQPNYQHQMPNPQAGYNTGGAPHYQQGGAPGYQGGPPGYQGGNQGYQGNPGPAYQGGNPGYQGGPPGYPGGNQPPPYQGGNPNTPPYPGGGNPGYPGGGPGYQGQGGNSNFQ >Sspon.06G0023500-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:45522901:45530654:1 gene:Sspon.06G0023500-2C transcript:Sspon.06G0023500-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCSSSREVGGGVVLPSAAPAERRQGLRSRSLGMCRGGHDSVHAYTALPFEEPVKGAPDPAAAEEALPGEDAAAEEKRRHDAPSMAAAVMANLDDAASSWTARWSAEPAPSSSLPPEPLPALDLDPAVLPGFRQPVQVVPSPSHPALLYPEQENPAPLEACDDDVDMGTPAARDIPEVTDFVRARVVVDEFHEKLEKKAVAAEEVPDVVDRDNVTAPPRHRLTRTGKPVVLYLTSLRSVRRTFEDCRAVRAILRCYRVRLDERDVSMHAAFRTELRGLLGDGGFENGPALPRVFVDGGRHDLGGAEDVRALHEAGELARALAGCEAARPAAGACAACGEARFVPCETCHGSCKVFVDDERLKWSGKYEAHLWDNTSQVEGRKRKGKHGSYVNEEQAAKAHDLAALKYWGTGPNTKLNFNISDYEKEIEVMKTMSQDEFVAYIRRQSSCFSRGTSSYRGVTRRKDGKWQARIGRIGESRDTKDIYLGTLKLKKRQQKLMT >Sspon.03G0025500-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:77940080:77940982:-1 gene:Sspon.03G0025500-1A transcript:Sspon.03G0025500-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DALPLHLLPPHPRRREDHHRPHPTLRRPLPGAVLDAEEGAAPGDLRGREGVLQRRAGAGDGGHQAGVHGGRVVREPPLLRRRHLGAGGDGQPDREVPQEVGPHQGVLARGPVEGDAPGRRPGVRARGGQLI >Sspon.04G0000570-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:3168346:3171184:-1 gene:Sspon.04G0000570-3D transcript:Sspon.04G0000570-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGGGAGPTAAFISGEPPPAAVEEVEENSGAEEEDEEVVVGQDDDELELGLCLGSKKQQQQPAPCRILTARDLQPGSLSPDSSVSSSSPAAGAGTAAPSKRAKADAAPNATTSPGTVASGHPQSFGVVGWPPIRTFRMNSLFNQAKENASVAGTKKPAIESDMQEDKEESKKGRVVGWVKVNMEGDIIGRKVDLNAHRSYKTLASALELMFMKPSIGLCTSSSSKSLKLLDNSSEYQLTYEDRDGDWMLVGDVPWEMFVGSVKRLKIMRTSDANGLGPRFQGAHRPTATCTRGRT >Sspon.05G0032580-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:30998725:31000633:-1 gene:Sspon.05G0032580-2D transcript:Sspon.05G0032580-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSMLSATTVPLQGAAGLSEFSGLRSSASLPMRRNATSDDFMSAVSFRTHAVGTSGGGSRRAPTEAKLKVAINGFGRIGRNFLRCWHGRGDASPLEVIAINDTGGVKQASHLLKYDSTLGIFDADVKPVGDNAISVDGKVIKVVSDRNPSNLPWGELGIDLVIEGTGVFVDREGAGKHIQAGAKKVLITAPGKGDIPTYVVGVNADQYNPDEPIISNASCTTNCLAPFVKVLDQKFGIIKGTMTTTHSYTGDQRLLDASHRDLRRARAAALNIVPTSTGAAKAVSLVLPNLKGKLNGIALRVPTPNVSVVDLVVQVSKKTLAEEVNQAFRDAAANELSGILEVCDVPLVSVDFRCSDVSSTIDASLTMVMGDDMVKVISWYDNEWGYSQRVVDLADICAIKWK >Sspon.06G0003140-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:8512056:8513427:1 gene:Sspon.06G0003140-2C transcript:Sspon.06G0003140-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding EMKTAALLVLMLLAFSSAAGAIGGAPLPSSEALDVRGGGRRRLLKSATFLSPPFFLRPGSVANKYYHDISFPRGHVAVKSFNGDVVDERGAPVPLHETYVHHWVVRPYYAAENATARPATILKRNAGVCEGDTHGQYFGLGSETRRTATWVPDPYAVEAGDPAAPREGYVEKWMLNVHAIDTRGTVDKVACTECRCDLYNVTVDEHGRGIPGDYAGGLRCCYDQTRCKVEEGLAGGGEARKLFFRYTVVWLDWSDAAAAAAAVVPVRIYIFDVTHRPMPVGCMVEYEVEECSAEKRAKSDCVHVKVTKQVLPRGGDLVYAVAHQHAGAIGSSLHGQDGRLLCESTPIYGGGVEAGDEAGYIVGMSTCYPKKGTVRVRDGEVLTVVSNYSSERRHTGVMGLFAVLVADRPEQQPAAPSSSVSSNLL >Sspon.08G0009060-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:38743626:38746811:-1 gene:Sspon.08G0009060-3C transcript:Sspon.08G0009060-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sodium/hydrogen exchanger 4 [Source:Projected from Arabidopsis thaliana (AT5G55470) UniProtKB/Swiss-Prot;Acc:Q8S397] MAAAWWEELVVTAAAADGTGGSSTTVVSICVFTAVLCLCLVAGHLLEENKWVNESITALIIGCITGAIIFLLTKGKHSHILRFDEQLFFIYVLPPIIFNAGFQVKKKQFFHNFLTIMSFGVFGVFISGAIVSAGCYWLFPKVGFGELDAVDYLVLISCVIAALGAIFSSTDTVCTLQVSYTLHSEFLAHLPNTCSTSNCLIHGAETQVINQDETPRLYSLVFGEGVVNDATAVVLFNVIKNLDVSQLKGGVVLKLISDFLYLFATSTIIGISIGLATAYVLKALYFGRHSTDREVALMALMAYLSYMLAELLELSGILTVFFCGIVMSHYAWHNVTESSRITTKHIFATLSFIAETFIFLYVGMDALDIDKWKTSKESFKTSIGIFGIVILLILLGRVAFVFPISILSNTMSGSSERTPITFKHQVVFFGFDSKAIYNLFCKNVNEMTPSKFFTHAKVVIWWAGLMRGAVSIALAYNQFTFSGVTSDPVHATIITSTIVVVFFTTLVFGFLTRPLISAMLPRHSRALSRGHSTGSNSPKDDFTLPFLSADEGASGSGIVLEQAKRSLSIMLERPVHTVHIYWRKFDDRFMRPIFGGPQSY >Sspon.04G0001290-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:1622814:1638096:-1 gene:Sspon.04G0001290-2B transcript:Sspon.04G0001290-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTVEKIVEDFASDIAMSPFSSGTSLREMIRAIRACKTAAEERAVVRRECAAIRTAISENEPELRHRNMAKLMFIHMLGYPTHFAQMECLKLIAAAGYPEKRVGYLGLMLLLDERQEVLMLVTNSLKQDLNHTNQFIVGLALCALGNICSAEMARDLSPEVERLMRSREVNTKKKAALCSIRIVRKVPDLAENFMGLAASLLKEKHHGVLISAIQLCTELCKASRDALEYLRKNCIEGLVRILRDVSNSSYAPEYDVSGIVDPFLHIRVLKLLRILGQGDADCSEYMNDILAQVATKTESNKNAGNAILYECVQTIMSIEATSGLRVLAINILGRFLSNRDNNIRYVALNMLMRAIAVDGLAVQRHRTTILECVKDADASIRKRALELVCLLVNDTNVKPLTKELIDYLNIADPDFIGDLTVKICSIVEKFSQEKLWYLDQMFKVLSLAGNHVKDDICHALIVVLSNTAELQGYSVRSLYKALQSYGKQGSLVRVAVWCIGEYGEMLVNNVGMLDREEPVKVSGQFLKDMFFIDPLKSEFTRVKQIVAQNKENVVLELQQRSIEFTSIIQRHQSIRIWFKYRSSLLERMPVLDEASYLVKRATATQATISADKLAPTVTPGSLKLPNGVAKPTSAPLADLLDLSSDGAPASTVASTTTPNDFLQDLLGIGGVSSSTTGVTSTASTDILMDLLSIGSSPSQNGTPVTDFHHPGQDTYFLIITIDELSITIAAETKPTPVVPQGMDLLDGLENTTHPSITAFQSATLKITFDFKRKPGNPRETTIHATFTNLTSSTFTDFIFQAAVPKFIQLRLDPASGNTVPANGNGSVTQGLNVTNNQQGQ >Sspon.01G0058010-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:19583633:19597897:-1 gene:Sspon.01G0058010-1D transcript:Sspon.01G0058010-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPTGLFGWASPHVQPLTPVSEVSEPPESPSPYGDGPAGDAGVGAREGEGAGAGEEEVEDDEVEPPPAAVSFWRLFEFADGVDWALMAAGALAAAAHGAALVVYLHYFGRALNLLDSERVGSSLYGRSDELLRRFKEHALYIVFIAAGVFVAGWIEVSCWILTGERQTAVIRSKYVQVLLNQDMSFFDTYGNNGDIVSQVLSDVLLIQSAISEKVGNYIHNMATFVGGLIVGLLNCWQIALLTLATGPLIVAAGGISNIFLHRLAENIQDAYAEAASIAEQAISYIRTLYAFTNETLAKYSYATSLQATLRYGILISLVQGIGLGFTYGLAICSCALQLWVGRHLIHRKKADGGEVVVALFSVILSGLGLNQAATNFYSFEQGRIAAYRLYEMISRSTSSTNQEGTTLPQVQGNIEFRNVYFSYLSRPEIPILSGFFLTVPARKTVALVGRNGSGKSSIIPLMERFYDPTLGEVLLDGENIKNLKVEWLRSQIGLVTQEPALLSLSIRENIAYGRSATFDQIEEAAKTAHAHGFISSLEKGYETQVGRAGIALTDEQKIKISIARAVLSNPSILLLDEVTGGLDFEAEKAVQEALDVLMLGRSTIIIARRLCLIKNADYIAVMEEGHLVEMGTHDELLNLDGLYAELLRCEEVTKLPKSQYFQESSSPKMAKSPSLQRTHGMLQFWRSDTNRNSHDSPKDQSPPSEQTMDNGIPMVAIETERTPSIKRQDSFEMKLPDLPKVDVHPIQRQSSKNSEPDSPISPLLTSDPKNERSHSQTFSRPQSERDDTSSEQSELDEVQHQKPPSFWRLATLSIAEWPYALLGTIGAAIFGSFNPLLAYTIALIVSAYYQIEIRDMRHEVNRWCLFIVGMGVITVLVNWLQHFYFGIMGEKMTERIRRMMFSAMLRNEVGWFDKEENNADTLSMRLANDATFVRAAFSNRLSIFIQDTAAVSVALLIGMLLGWRVALVALATLPVLVISAIAQKLWLAGFSRGIQEMHRKASLVLEDAVRNIYTVVAFCAGDKIMELYRLHLGKILKQSLVQGLAIVPFFACNALLLWYTAISVDQQRLTIATGLKEYILFSFASFALVEPFGLAPYILKRRKSLTSVFEIIDREPKIDPDDTTGLKPPNVYGSIEFKNVDFSYPARPDILVLSNFNLKVSGGQTVAVVGVSGSGKSTVISLIERFYDPVSGQVLLDGRDLKSFNLRWLRSHMGLIQQDPVIFSTTIRENIIYARHNATEAEMKEAARIANAHHFISSLPHGYDTHVGTRGVDLTPGQKQRIAIARVVLKNAPILLLDEASSAIESESSRVVQEALDLWSWAAMMKHVDSIVVLNGGRIVEQGTHDSLMDQNGLYVRLMQPHFGKGLRQHRLIRDEKPDTG >Sspon.07G0005730-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:4656696:4658968:-1 gene:Sspon.07G0005730-2B transcript:Sspon.07G0005730-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFKRRNGGRNKHGRGHVKYIRCSNCAKCCPKDKAIKRFQVRNIVEQAAIRDVQEACVHDGYVLPKLYAKVHHCVSCAIHAHIVRVRSREKRRDRKPPERFRRREDRPAGGQGGPRPGPGAAPAPAPVAARP >Sspon.01G0052540-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1C:23932345:23947617:-1 gene:Sspon.01G0052540-1C transcript:Sspon.01G0052540-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MWSLGIVITPIVMMMIALVMMRGPLGEPLQASPSPTSHPSSTLHRHALWPSLPRSDDEEDEDYSKDDLLGI >Sspon.07G0027710-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:54132529:54137279:-1 gene:Sspon.07G0027710-3D transcript:Sspon.07G0027710-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAARARAALLPSSSSSPSPLLRLPRRFLSLTATPYPLYYDLIVHRPADPNPPKSSASDAAGADRQPQPASDEQPLDRAKRRYLRKRRSRLLPDPDATTTTTKPSSSSSEFVELRPEVVDFPRLHAREEALYFHDTFAMPWEKDKHYRMLYRLEKKYFPHQSLDNAFVSADAAPASDADRSLVFFDDEKKEDEGGERVVSKKGGDSDDKGEVLERKVEDFFRSLKKGPGQADTKAKRPGAEPRQVKREVPREEERPQPYLVTRTTELPPRWDGPAGTVVLIDKPKGWTSFTVCGKLRRLVKVQKVGHAGTLDPMATGLLIVCVGKATKIVDSYQGMVKGYSGVFRLGEATSTWDADSPIIQREPWEHIKDEDIRKAAASFKGEIWQVPPMFSAIKVGGEKMYDKARRGETVELSPRRISIYQFDIERSLEDRQNLIFRVTCSKGTYIRSLCADLGKALGSCAHLTALRRDSIGEYSVNDAWNFDELEQ >Sspon.01G0053820-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1C:42379351:42384733:1 gene:Sspon.01G0053820-1C transcript:Sspon.01G0053820-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADVESNPGVGGKPPLYKDPDNGSQRFLLELEFVQCVANPTYIHYLAQNRYFEDEAFTGYLKYLKYWQRSEYIKYIIGALGATSAPAICPFATINVKLHVPMVLELKPSNFTKWSTAFRAMCGKFGLLRHLDPASPARPTDEAWQQADFCVRGWMYSTASDSVLNLAMTDTQQTACALWQAIDDVFQANKAHRAIFLNHEFHSMIQGDSSIDDYCVHMKAKADELRDVGQTVLESTLVLNLLRGVNEVYSTVADNIAGQQPLTFSSARHQLLLKELRLQNDGKVRAASALFTAASFPAGGQQQQQQDNRRPNSKKGGQQPSASGGHNPNRRLPWGFNPWTGERVSPGERVTPPGQRRRGGFGGSGGPNAQSGRQQGLLGPAPQAHTAFAPAATSGNSSSATWDAAGLVATLQAMNMQGNSPWVVDSGASTHMTSSDADNGTEFLNTTTTRFLAARGTHLRLSCPYTSSQNGKAERIIRTLNNSVRTMLLHASLPPTYWAEALLTACYLLNRRPSSSIQHDVPFTRLHKQPPTYSHMRVFGCLCYPNLQATSKHKLAPRSAACVFLGYPPSHKGYRCLDLSTRRIIISRHVIFDESTFPFTATPDAPSPTSLDFLLDDDMVLVPCPTVIAGGTPPSTPVVAPSISDVEQPHTDAAPTSPAAGSASLAPGGRRPVVPFPRVYIRRPRTAHALAPSPAAPAPPVPPAPPVPSTAPPALPPPPPPRVTRTMTGVIPRVSYEGLTATSSSTSPLPTNYRSALSDANWRAAMTDEYQALVNNNTWKLVPRPPGANIVTGKWLFRHKFHADGSLARHKARWVVRGFSQREGIDYDETFSPVVKPATIRTVLSIAASRAWPIHQLDVKNTFLHDHLEETVYCQQPPGFVDPGAPNSVCLLQKSLYGLKQAPRAWHPRFSTFVRQLGFVASASDASLFVLQEGMHLAYLLLYIDDIVLTVSSTALLQRITAQLSSEFAMTDLGKLHHFLGIAVTRSSDGLFLSQRQYAVDLLQRAGMAECHPTATPVDTQAKLSAHHGELLSEKDASEYRSLAGALQYYTLTRPDLAYAV >Sspon.05G0030120-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:87283862:87290273:1 gene:Sspon.05G0030120-1B transcript:Sspon.05G0030120-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAMGIVVRACAPPAPAAAAPSGSRDAAQAQRRSKPSRTGRVLVLGGTGRVGGSTAAALSKLRPDLGILVGGRNREKGESVAAKLGGKSEFVQVDTRDAGMLEEALQGVDLVVHTAGPFQRAEECTVLQAAISTKTPYIDVCDDTDYSWRAKGFHEQAKVAGVPAITTAGIYPGVSNVMAAELVRSARSENGTGGAGPTILATSFLLLGEDVIAYNKGEEIKLKPYSGALNIDFGKGVRKKNVYLLNLPEVKSAFKILGVPTVSARFGTAPFFWNWGMQAFANFLPVEFLRDRNKVQKLVQSVDPLVRAVDGIAGERVSMRVDLDCSNGRNTIGLFTHKKLSVSVGFATAAFALAVLEGNTQPGVWFPEEPEGIPMEVRKLLLERASQGTSNFVMNKPSWMVETDPKEVGLGIYITCLKAHLKLNCTPQT >Sspon.05G0010590-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:32654808:32660749:-1 gene:Sspon.05G0010590-3D transcript:Sspon.05G0010590-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDGAAATPAKVTPKKANLLDPYSIKHLLDETISDVVKSKGYTEDTRLGNWKLGIGAAVIAVALLAQFYPKNYTKEKDAILFTHPPAGSFNSTGLTISSKLPRFSDMYTLTIASADPQSISANKPVHFTKSVTKWFTKEGVLVEGLFWKDVEKLIDDYNSERKKKPLKGLENSNCFSKRGPKNCKDNISLHFDIMKQQKRVTTAQSSKNHYGVMGTTL >Sspon.01G0013920-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:38451511:38454214:1 gene:Sspon.01G0013920-1A transcript:Sspon.01G0013920-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKVFVVLPAEFKAGQSTLSWVLDHFGGSRTTVVITHVHVPPQMIPVMGVKFHSSKLSPEQVKLFRRIEHEKVDKQLDGYVHQCLKMKVLFWSFLMFHDHCVGPHVLQIQVKCEKLIFEKEDVVAGLVELIVLHKVTKLIISGAADRQYSRKMGKPKCKTATEIMQRADPSCKIWCVCKGQLICTRGEEEEIAPSATPFLPDFDHQALQLVPYQKEDDVKSELGLYDELKEACIAAENLMKRALNESSRRQKADGEAKEYQELYLEETRKREELEGALARAHREIARLRKTNQVPVDEQNTATDELQEAMSERSSLEGHVVDVDAVFGTAGQVTEAQKEHVQIQIDLGTGERDLELEIQALLNQSRLAAFSPSSVIESPYDEDRVPSYFLCPILQEPMRDPHVAADGFTYEGDAIRGWLDAGNDASPVTGQPLAHRELAPNLALGAVFWIQDYTAMKRRQ >Sspon.02G0030910-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:113286125:113290461:1 gene:Sspon.02G0030910-1A transcript:Sspon.02G0030910-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCSSPAELCLPLCTCDDRRCRYVEIEEETGAELFYYFAESERSPSTDPLLLWLAGGPRCSVFSALVFEIGPFKFVVEPYDGTLPRLIYNPYSWTQMASILFLDSPIGSGFSYARDPKAYEVGDISSSRQWFDDHPKYFLDRPFYIGGDSYAGKVVPLIAHYISEVGNPITGDKIDTNSKVTFSHSFGIISNQQYEAFVQNCKGEYLNPANEPCADVVQTISKLMSEVTEGDILRPICHIPSGKRRSTLEGVSSLAEKHHDYHLTGAPDEPPFDCFYEYRYYLSYFWANDNAVRAALGIKEGTMVEWIRCRRPPILPYAPDLPSSIKYFFNLSTRGYRTLVYRNDKFTQPEGLFESTTIRLCFIISYKFLFWCSGDHDLVVPFTGTQTWIGSFNFSIVDDWRAWHLDNQAAGFTITYATNLTFATIKGGSHIPPETNPKESFTMAKRWLDNEPL >Sspon.03G0005490-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:19902895:19906364:1 gene:Sspon.03G0005490-1P transcript:Sspon.03G0005490-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPPPKVSPPEPFTIVKGALDQPSGPVLRREYGDDGEEISISVARLANILPAGADSDSDGTGEGGGMSASISQLFLHVDISKPGTGKSMQFLCGLYPDALGIHSVCLRSKNAESFDGDMASKGGGEYRGRIFQELDEKVRDALHLYIEARGINERLFRFLQAWLYVKDHRNLIRWFKSVGSVISEPKP >Sspon.03G0002000-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:12815381:12817012:-1 gene:Sspon.03G0002000-2B transcript:Sspon.03G0002000-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At3g21470 [Source:Projected from Arabidopsis thaliana (AT3G21470) UniProtKB/Swiss-Prot;Acc:Q9LVF9] ARMASRARQLHAIYTTSSSHDPDKWAHLVREYASQSLLREAALVYARNVPRRTHHQPLLPVLLKAAAASSPAESGLGKSLHAEALKSAFARDLLVGTTIVSMYRKCGALADACRAFDEMPDRNVISYNALLAGYAAAGDMDGALALFGGMRSWTYVTWATLIRGFAEKGNMPEARRWFEATPSGMRTVVTRTVLVHGYVSTGDMEAARELFDRMPARNAFVWSSMVTGYFKAGDAEEARAVFDRIPTRNLVNWNALITGYAQIGCSEKALEAFHSMLQKVKPDEFTMASLLSACAQLGSLEQGKKVHDFINREHIRKNHFVVNGLIDMYAKCGDLAHARYIFDSMRWKNTECWNTMISALASHGRSDEALHLFFQMERSGQTPNTITVLAVLGACTHGGFVDEGLRIFNNLDAYGVEAGVEHYGCLVDLLGRAGKLKEAYEIVKNMPEEPNEVIWGSLLGACRVHGDAEMSRLVTDEIHRLHSVHASSNDAEYIMLSNIMAASERWEQAEQTRRKMAQHGVEKTPGCSSLELGIPEYQACAGSR >Sspon.04G0020880-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4A:73197197:73203963:1 gene:Sspon.04G0020880-1A transcript:Sspon.04G0020880-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRPTRWTRWVLRESSSPNSMMTKMLFLVAMSSWMCTTTAKTTRNKVGIDCTRGVSREQRAINRRNKLESSLVSSRSYVPSGNKRAWKRNVKWMLERRAANEAYHNFEENLSAIAVQRFGEPFPDREDSNVAFEERSFGEIVAVRLPNNTATDGFLGLYDKDIAIVTCLGFLGVRPIDLDHKAKPFPGDSLKAAGRAFNSGSLMAMRGSMYQKRPLEHLNTWVSDSQDISKAVLGGPLLGWDNKIVGMSLDIYDPGDANLRYTFLRMDLLCKRLKHFQILKLKSCGYPIPPPLVLEWYPYGHTPGGSGERVWNQLPKDVVTDIEVFLPPNQRAGGTLEFYNLDYNIAIVSLKKNFNAICPDDIFVESAQNTSKKVVAIGRDAKFGILMAASGEVKRGNKDCKLDCKDVKLSTCKIKKAGIGGPLINLDGSFVGMNFYDGSGVTPFLPRQKIVEVLSGVDSLPSECGYNHPMPVNVIGGTKKGIQKN >Sspon.08G0003060-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:5246748:5261242:1 gene:Sspon.08G0003060-2P transcript:Sspon.08G0003060-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQFLSASASASVSSPVTPSAHLLRLSRPPPFPHLRRRCSPPKPLALTPRPPLLLASRPSLLFTPRAHGGHGHGHGHHHHHHHGHDHHHHHGHGHHEVDVHGGGGGAAVMRVARAIGWAGVADALREHLQACCISLGLLLIAAACPHVPLLNSVGRLQAALIAVAFPLVGVSAALDALVNIADGRINIHVLMALAAFASIFMGNALEGGLLLAMFNLAHIAEEYFTSKSMFDVRELKENHPEFALLLETSGEESVQFSNLSYTKVPVHDLEVGSHILVRAGEAVPVDGEVYQGSSTVTIEHLTGETKPLERTVGDAIPGGARNLEGMMIVKVTKSWEDSTLNRIVQLTEEGQLNKPKLQRWLDEFGEYYSRVVVALSLVVALLGPLLFKWPFFGNSVCRGSIYRGLGLMVAASPCALAVAPLAYATAISSLASKGILLKGGHVLDALSFCQSIAFDKTGTLTTGKLMCKAIEPIHGHLGVKNGHSNPSCCTPNCESEALAVAAAMEKGTTHPIGRAVLDHSVGKELPVVAVESFECLPGRGVAATLSGVKVTLFHFEDEPRSGVCEVISTLREKAKLRIMMLTGDHESSAQRVAKAVCIEEVHFSLKPEDKLNKVKAVSRERGGGLIMVGDGINDAPALAAATVGMVLAQRASATAVAVADVLLLQDNICGVPFCIAKARQTTSLVKQSVALALTCIVFAALPSVLGFLPLWLTVLLHEGGTLLVCLNSIRALNPPTWSLVDDIRQFVDGLRNYFSSKFNSSSSNYVTNTVPL >Sspon.04G0009640-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:27725421:27731544:-1 gene:Sspon.04G0009640-3C transcript:Sspon.04G0009640-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSQVEAMKKAYAGIMLNMAQESAARVLAAERRAAALAAGLEAAKEDGVAALVRLKAIMAARIKEKELEASVHVKKIKELEEQLHGSQNAMASLKVELQRANAELDLTRKTLADERINSLPTSNNVYSNKNASPRSKMHQQGVSLKNKKAADNSDDTCIAPITEKENETVENLEDMDRRSPDLPSLMERNKKPKFYHNGCTQRIHALKQQTQSTDAFLKQNQKQAAALNSRSKARKNNAAKNPCHKRSIMEQILQTKFLGKFKRKRGRRSRPSYKHDNSSEHREAEYKFSDRSESDGNGCLLLLQALEEDLSPPKMSAEHDGDAELSRCTAFPELIDVLAVNSVQMKRRKRTKTVRVLEDDFSVTQSVPEPANTQLRTSEKRMSGNQLVSDMTENRSDTPTRNSGPFLKCATGNLMHQTDAGNGQFDPEITSSVFLQSTKSETTDYGNLVVDQQELITPNNNTVSLKEVNEDGSCSLASPKADASTLSSVDKENLKASSGLPVQALEKHDISVGSSLNKKEHTKASSGASMQAEGARHIKYTFNRRKRKGMSIDSTPQRAVPEESSDLCSPTNKQKLHPDHVEQDHLIDSPQGDSQLVQVAKQLILLSEHM >Sspon.04G0012650-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:66241736:66251204:1 gene:Sspon.04G0012650-3D transcript:Sspon.04G0012650-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAPPPPPHFVIVPLVAQGHTIPMVNLARLLAERGARASLVTTPLNGTRLRGVAEQAVRTKLSLEIVELPLPTDTDDGLPLGIENVDQVTDNSHFIPLFNALQKLAGPLEAYLRALALRPSCIISDWSNPWTAGVARSLGIPRLFFHGPSCFYSLCDLNGIDHGLHELTAAAADDQERFVVPGMPVHVEVTKATAPGFFNSPGWEALCTECVEAMRTADGAVVNTFVDLEGQFVSCYEAALGKPVWTLGPLCLSNRDVDAMASRGDTSSGGVQQSAVVAAWLDSKDTDSVVFVSFGSLVRKLPKQLFEVGHGLEDSGRPFLWVVKEAEVAAATEVQEWLGALEARTAGRGLVVRGWAPQLAILSHRAVGGFVTHCGWNSLLESVAHGVPVVTWPHFADQFLNERLVVDVLGVGVPVGVTAPVMMFDDENVAVARADIVRAVSALMGEGEEADERRRRAREYGEKAHVAMEKGGSSYENLTQLIESFRQCGGRKGPSHLSPSPQTAAFLSQIVTSARPIDDQQQWTLPIQPRRHNSHVVGSEARLCSATHSLSSGRDPAAVVGTDSAMPHFVLVPMLAAGHAGPMLDMARALAGRGALVTFVTTLLNLPRLGRGPGDDALPIRFLPLRFPCAEAGLPEGCESPDALPSIAFLKNFHDACAMLRAPLVAHLREADPPASGLVSDTCHPWTGAVGRELGVPRLALETFCAFSSFCMRQMSTHSVFEGISDDKRPVRVPGFPIHVEMSRARSPGNFSGFGKVFADEVMAENARADGLVVNSFAELEPLFVDAYEAALGKKIWTVGPLFLQHNMPSTATSDSENAAAVRCASWLESRKPRSVVLVSFGSLARSSQPQLVEIAHGLEASDRPFIWAVKPGSLAEFERWLSDDGFESRVGDRGLVVTGWAPQKAILSHPATGAFVTHCGWNSVLECVAAGLPMATWPHFAEQFMNEKLVVDVLRVGVPVGVKDAAQWGVETEAVVATREDVERAVAAVMSGGEEGAARRARAAELGRKARDAVARGGSSDRNLALLMEHVEQMKSTVCDGQEYLKIALLLVFFSGNGFLLFYCMTCWMRSATFRYDDPPCATASRAFLPSTAALARRAEPSSPQSITSATALSTSCLVATTPSVSTPHCAASLTPTGTPTRRTSTTSFSFMNCSAKCGHVTIGSPAATHSSTEFQPQCVTKAPVAGCDRIAFCGAQPLITRPVSPTRDSKPSSDSHCSNSPGLPGFTTQMNGRLVASTPCPISTSCGNDERTRLPKFTNTTDRGFLDSSQLAHRTTFASSVVVSVDGMVVGAVGPRALGHDLLAELLHAGTREVPRRPRPRYLDVDGESRDAHGPPVVVDAVEDLWTFIWRMHKEEKAPKPSNESRGTPSSLATPPVHGWHASETTQLAGGVVLPSLSSLRRARSGPRSMAQASLKLPRRPRPGSASRLSQPSGRPASAQGKRSGRKRMGSASSLGARPRRGRLRGVVTNVTSAPRRDRARARSSIGPAWPAAIIGTSTKCGGESTPATGAEVPGDMADDRASNLTE >Sspon.03G0043960-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3C:85973445:85976128:-1 gene:Sspon.03G0043960-1C transcript:Sspon.03G0043960-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPAQKNRPSHALLFYCRLVRPPALPPSLLLRDLHCYPPPSDPAPASPTRDEDNGGGSDEVGRSSSRYEPPPLPPPLNQRDTAVARVPANSGVLFHPPFGELEGMRWGKGRRGGQGRGVDGYVSGDGLPQWACGGHSSLNLPGTGNDGCVPRHAQLLTWDVQCPFMEANGGSRAKQSTAGPFPLGSGRLGVAACDLSILGQCRLTGSPNFPRFGMPRRLCPLPSVPVVPGASRASLTFYLCPWPRQLSVVMLPCSESDPFLFAGSHEPSMSW >Sspon.05G0008580-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:7307316:7311233:1 gene:Sspon.05G0008580-2B transcript:Sspon.05G0008580-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEAGGAGAGGRDERVPQWGAQETRELIVARGEMERETVAARRSAKTMWEAVAARLRERGYRRTAEQCKCKWKNLVNRYKGKETSDPENGRHCPFFEELHAVFTERARNMQRQLLESESGTSVKRKLKRPGGDRSSGESDDEDDGGEESDDEKPMHSRKRKADDKKQQYQRMSEKSRAGISSIHELLQDFLVQQQHIDVRWREMMERRAQERVVFEQQWRQTMQKLEQERLLLEHSWMEREEQRRMREEARAEKRDALLTTLLNKLLQEDL >Sspon.03G0021010-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:86680470:86683118:-1 gene:Sspon.03G0021010-2B transcript:Sspon.03G0021010-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATAKLQLALSFLGTISILSRFCTAYTPADNYLISCGSSVNTTVGRRVFVADGDSSSGSGSGSVTLTAPKSAAVKALPDTAASGSDEAAPALYRTARVFTAPSSYSFRISRPGRHFVRLHFFPFACQGYDLAASTFKVSTQDVVLMDGFAPENKTTDGTTSPVRREFLLYVTRDTLVVTFVPLVAGGLAFVNAVEVVSAPDDLIAHPVQTSSDQLLDPAVMPLQTAYRVNVGGPAVAADGDTLWREWTTDERWLVGSAVTREEAYNRSLNYLAGEATRDDAPDVVYATARELTLSSWLDRSKQMTWQFDVDAQPSSYLIRFHLCDIVSRAPHLLRVDVYVDSYTVVKDLDLSTIGNGTLAFPYYRDFILDSSNPSGKIAIYVGSSSVMNISSSTTLQAPILNGIEIMKMHFSSGSVVVVEPTAGSKTQHLAVVLGSICGAFAIVSIAAVLVIFLRKKEEKVLPTSSSSRSQSPTPWMPLLNRLSLRSRRPDASGAGSSKFAVDRDMPGAIPIAASPVPNYRFPFAMLRDATNDFDEGLVIGAGGFGKVYRATLPDGTSVAVKRASPESRQGAREFRTEIELLSGLRHRHLVSLVGYCDEGDEMILLYEYMEHGSLRSRLYGASAATAALGWAQRLEACAGAARGLLYLHTALPKPVIHRDVKSSNILLDGGLAAKVADFGLSRAGPELEETHVSTGVKGSFGYVDPEYVRTRKLTTKSDVYSLGVVLLEALCARPVVDPRLPKPMVNLVEWALHWQGRGELDKIVDRRIAAAVRPQALRKYGETAARCLAPRGADRPAMEDVVWSLQFVMRLQDDNGLEFSDVNSLSLVRELMPPLDSRRTSSDQKEAGCEEGEGVTDANFTDVDVSMRGVFWQMVNVGGR >Sspon.02G0015810-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:41533274:41535864:-1 gene:Sspon.02G0015810-2B transcript:Sspon.02G0015810-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding FNWESWNKGGPGWYDYLQSQVDDIAAAGITHVWLPPPSHSVDAQGYLPGRLYDLNVSHYGNETQLRALIAAFHGKGVKCIADIVLNHRTAESKDGRGVYCIFEGGTPDGRLDWGPHMICRNDSYSDGTGHADTGLDYKPAPDLDHLNDVVRSDLTGWLQWMKSDDVGFDGWRLDFANGYSPAVAGMYINSTTPDLAVAEIWTDLAYEQDGRPRADQDAHRQVLADWVDAVGGPAAAFDYTTKGILQAALNFSQLSWMKDAQGRAPGLVGLRPRQAVTFVDNHDTGSKTHQLWPFPPAMILQGYAYILTHPGTPCIFYDHFFDPNMKDSITTMMKIRTRNKIGPASKLRILLAENDAYVAEIDGSVLAKVGPRYDVSKYVPDGLQVSTSGSDFAIWEKSQIDMLYVHHLDHFSSVVQTNTPPPSTTTTRSRRWVAPVVATVAPLSALLACGAAVMLLFRRQKRRSANAIDHSDDEDDDDRDQADFEKEVLGPRRYHYRELAAATGNFDEENKLGSGGFGPVYRGYLAAQDRHVAVKVLSPETSTQGRRQFEAEVRIISQLRHRNLVQLVGWCESRKAGLLLVYELVPEGSLDQHLYGAAERLLAWPERYRIAVGLGAALVYLHEEWEQYVVHGDIKPSNIMLDSSHTAKLGDFGLARLLDHGVGPRTTRVVMGTMGYMDPDLVNTHKPSRASDVYSFGVVLLEVACGRPATDELTDGETLALPEWVWELYDRGAVLEAADGRLDGQFDVWEMERMLVVGLWCSHPVPRERPSIVHALNVLQSREPTLPALPTNVHRGAAAPTAGFS >Sspon.04G0003080-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:9367214:9369412:-1 gene:Sspon.04G0003080-1A transcript:Sspon.04G0003080-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MENLDHHVSLSFVAAHGLDKFLLRTILDTYSLIQDQVVLASKLETAPVTNVDVDVNASELLKEKAEADCDRALLLDRKNVKAYLRRGCAREVTSNYKEALQDFRHALALEPQNKTALAAERRLQKLLK >Sspon.01G0010210-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:17181030:17185350:1 gene:Sspon.01G0010210-2B transcript:Sspon.01G0010210-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRLSLTVIDAAAMPFALRFGGSPALRLAAGRRRPGPRPPAWLRFGGGAGAGAGARRLLCSAEAARRGGGDEDAEAEAEEGRRGGGSRVPSERRMRGGGNAAAAVGTSVELLAIPGVGPRNLRKLVDNGFEGVAQLKQLYRDKFFGKSSGQMVEFLQCSVGIVHKNHAESITSFIKESVDEELKDTDSSKPTQKKRLTFCVEGNISVGKTTFLQRIANETIELRDLVEIVPEPIDKWQDVGPDHFNILDAFYAEPHRYAYTFQNYVFVTRVMQERESQAGIKPLRLMERSVFSDRMVFVRAVHEANWMNEMEISIYDSWFDPVVSSLPGLIPDGFIYLRASPDTCHKRMMHRRRSEEGGVTLDYLQGLHEKHESWLLPSKGSGPGVLSVSQLPMHMEGSLPPEIRDRVFHLEGNHMHSSIQKVPALILDCEPDIDFSKDIEAKRQYARQVAEFFEFVKKKQEQAPSEQTSNDKDRMNPQQPLVGVPKGNPFAGSLMNLDFRRAMSSYLST >Sspon.01G0053110-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:31976063:31976953:-1 gene:Sspon.01G0053110-1C transcript:Sspon.01G0053110-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTPMLTQARINCDKAIKELGYKPIVKLMDGVKITLKLYIQLRNKDLSKSRVKCHKDSEMNDLMRHQVMEP >Sspon.01G0036930-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1B:19887536:19887967:-1 gene:Sspon.01G0036930-1B transcript:Sspon.01G0036930-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHYVLQAGKVRYDKAVVSFAVPAPRYGSMAPARQRSNHFVEHVRQRPTRGHSNGGPGCLARKKNSNLHSRPWWVWVAASSRHEPAALCLLSDRSPAPPAGVAMSTPQATSRPTAARQLCREQAVVAARVPSVDASRASSYHYR >Sspon.04G0022840-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:14747976:14751306:-1 gene:Sspon.04G0022840-2D transcript:Sspon.04G0022840-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSAAARLLAFSPLLLGSSHCHLRLRHPPLLLLPTSRSKTTSRKPPRSQPRTAPAGSGRPEPPGARASLFQEISELVASVANVEALGPRRNGGKPYDDLGAAAVGCTEGARGIASERAALASTSIGQHFGPDDGSRQSCGTITNAAEAFCQEAGDTGMAVDSDVDNISAMVDRITAVLRSEAPGPSVERKLEGLGANYTPNLVNMVLKRCFKVRQLGFWFFHWAKRLPDFHHTTETYNTMLYIVGEARSFGIMEELVGEMDREMCPKDIKTWTILLSSYGKARQIGKMLSTFEALRKSESILIDSKVYRTVLHALCNADKPELALEFYKDMPSNVEVGTDILRLLMCCLATSDNTAEGVYLIRDDMIEGMKHPEEYCYTEALRSFCIAGKLGEAWKVFQKMNDKSMANSSALENLLRGLCRAGRMDEALQVTEYMKRTSGLNSTTFSFLINGYLRKGEHIKAVDLLREMREYGCVPLASSYTQVMQHLFAIDQCEEACGLFEEMLKNSVEPDIVTFTALICGHVRSGHISKAWDVFRNINKNGQKPTLKAYTMFMRELCKVSRHLEAVALLKEMLEYDFRPSETTFCWLISALRDKSYLEEASYVERMRASFNLRNPRDGLQFEQLDGIDNVDKFRKMRKSNPQEKELALEFTSSPSDQNGKVSSFTLSDDTHQKEQQDYSDGDVEEICRILSSSDDWGSTQQALEMRSVHFSPNLVDAILQRCKRNSRAALQFFSWVGRRPYYMPTTKTYNTAMKLAGSAKDFKHMWYLYKEMLRTGCSPTVDTWNVMVCQYGNAGLSEKALKTFCDMKKCGFLPDKTTYNHLIMYLTHSKGRKIDVAITIFEEMCHAGHIPDNRILFMYLLALCECRKIADATSSVVSLCEQGFSVQAGYSIFLRSLCRADRMEEALHLFDCIEEHGCSRDQYMYGSLIHVLLRRDKFEDAVAKLTEMKNEGILQSAQIYTSFIVYYFQKRDVVKALDVLREMKENGCEPTVVTCSALIRGYMAMGMVSEAWDVFQQMKLKGPAPDFETYSMFMSCLCKAGRSEDGLHLIHDMSDCGFIPSTVNFMTVVHGLNVEGKHELAESVLRSKWLLRKQRTISY >Sspon.04G0017500-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:63408417:63410893:-1 gene:Sspon.04G0017500-1A transcript:Sspon.04G0017500-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Urease accessory protein F [Source:Projected from Arabidopsis thaliana (AT1G21840) UniProtKB/Swiss-Prot;Acc:Q9XHZ3] MNPLNCSFWFPVSSSLIIEQVNGKCVPLLNIVPSTLLMLMESGSPVSKKSRLFHSEDCEMEEVPSNAAGVNPSLHWTQWQILDSILPTGGFAHSYGLEAAMQSRMVNSQEDMKSFVIQVLDNTGSLLLPFVYCASKSPDAAAWVKLDQLLEATLTNEVARKASTAQGSALLRVAASVFTEIQVLQDLRRTFLGSKSVSFHHAPIFGLICGLVGFDSETAQRAYMFVTMRDVFSAATRLNLIGPLAASVLQHQ >Sspon.08G0011930-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:48967420:48970206:1 gene:Sspon.08G0011930-2C transcript:Sspon.08G0011930-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASVFPALLLAVAAVVLLLPAAEAVWLELPPSGTKCVSEEIQPNVVVLADYSIMYDSHPSSHPTVAVKVTSPYGNTVHHNENATMGQFAFTTTEAGNYLACFWIDSVEKGSGTSLNLDWRIGIAAKDWDTIAKKEKIEGVELELRKLEAAVESIHHNLLYLKAREAEMRTVSEKTNSRVAWFSILSLGVCVAVSVLQLWHLQGFFRKKKLI >Sspon.02G0042450-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:82928313:82930277:-1 gene:Sspon.02G0042450-1B transcript:Sspon.02G0042450-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFASVAPLPTTSSSITAWGPARGGRTPSRSLCLGVHFVDFSAHLGRDRGPIKPRSHLISTARWTPSAPGARPPKY >Sspon.01G0011650-3C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1C:31467109:31468023:-1 gene:Sspon.01G0011650-3C transcript:Sspon.01G0011650-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDKVNPWCHWHNPPWKFNTETSAGNLRPPDVGLVNANSVALPAYLSTVAAPVPFFTASIAERPLSTAPRYATTLAPSLELPALYPSRKRPLVFYQKENHAPIAAPLLSKGPLDPVPELQGSNETNVTDVGAEETEGIHENTDEINALLDSDSDEGYEKLLELDRVRRQSPAENDTLSVESVASAGAATDSAPPAKKRKLSSCTDKSVVDTASSARPDHSIEQKLLVNDCDAQSCCVGEVESDHKFSLGEVEAAEGDSPDDQKRRRERIQETVAALRNIVPGGIAKDATAVLDEAICYLQYLKLN >Sspon.03G0046760-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3D:44781103:44782284:1 gene:Sspon.03G0046760-1D transcript:Sspon.03G0046760-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VSAFLSCAGAAEKGKVVYNTKGFYSETCEVNGDVRVNSTALSVILVPASWSERREWRIWPYSRKNMPDIKMVTVTQLEDKAAAPACTETYNIPAVLFALGGLTGNFWHDFGDVLVPLFIASRHYDGKVQFLISNMKPWWPAAYKTILQRLSKYDAVNLDVDDAQLVRCFPHVTVGINMHKDLSIVPEWAPGGRLSMPDFTRFMREVYALPRDAPTSLVREPGKRPRLLLIHRGHSRRFMNEQEILRAAEAAGFEAVAVDLRRDVTVDAQARAVNSFDVLLGVHGAGLTNAVFLPPGAVLVQLVPYGKMDTIATLEFGLPAKEMGLKYLDYVVSAEESTLLEMLGPEHPAIKDPDSIHRSGWDKMTEFYLNKQDVRVDVARFAPVLAQAFEHLRQ >Sspon.03G0042180-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:52559673:52562841:-1 gene:Sspon.03G0042180-1C transcript:Sspon.03G0042180-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCCVARPSSGKRRGGNRGGGGAGGNRGGRLGGANVRSCSTLSSISDATRATATQAWTPLTVLGKGLAAEASAEELLRRYQLGDELGRGEFGVTRRCTDTSTGEVLACKSISKRKLRSSVDIEDVRREVAIMRSLPEHPNVVRLREAFEDGDTVHLVMEVCEGGELFDRIVSRGHYTERAAAGVMRTIMEVVLHCHQHGVMHRDLKPENFLYANTSESSALKVIDFGLSVCFKPVLKRNYGQEVDIWSAGVILYILLCGVPPFWAETDEGIAQAIIRSNLDFQREPWPKVSENAKDLVRKMLDPSPYSRLTAQQVLAPNIPLGEAVRSRLKQFTVMNKFKKKALLVSGGRVLPAEELEAIRELFHMLDTNKDGHLTIEELRKGLRLIGHNVHDTDVDMLMEAVRTSADMDGNGTLDCKEFVTVSIHLKKIRSEDHLPKVFSYFDKNGSGYIEIEELKEALSPRGDQKAIDDIILDVDKDKDGKISYEEFELMMKAGVDWRNTSRQYSERRKMFKDVSLKLDINKGPLAAAVKEQQAVD >Sspon.07G0035670-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:12358232:12368326:1 gene:Sspon.07G0035670-1D transcript:Sspon.07G0035670-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTSLSLSLIVLSHSLSCLSPLFTVTRFCLAHEKTTNPGRGQAGGERAGKEGRGKGKAGRQCPPLSFCSNRRRLARDPLRVPRRRAAAEIEGVVPSIGFHGDCPVPAALVTIRGLPGSRDDAIVSGDGFCEKLVPEVPAEGQIQESSGGCQPWKGSRKAPIDDAPSSATKQRVAAAKQYIENHYKTQMKSLQDRKERRWMLERKLKDAEVPVEEQNNILKHLEKKETEYMRLQRHKMGVEDFELLTIIGRGAFGEVRLCREKTSKNVYAMKKLKKSEMLRRGQVEHVKAERNLLAEVDSAYIVKLYYSFQDEEFLYLIMEYLPGGDMMTLLMRKDTLTEDEARFYIAETLSDFGLCKPLDSSNFPNLNEPDYTSGKVTKPLPDSTRLSNPSAPRRTQQEQLSHWQKNRRMLAYSTVGTPDYIAPEVLLKKGYGMECDWWSLGAIMYEMLVGYPPFYSEDPMSTCRKIVNWRSHLKFPEEAKLSPEAKDLISKLLCNVEQRLGTKGAHEIKAHPWFRGVQWEKLYQMKAAFIPEVNGELDTQNFEKFEEEIVPLNAGNVLVIEDNEPAARWLALINRALNQPVDTDADIFQHKPSPSLDSTSSQSTPGLDGSFSNRSRTASGSIIFQKSLKSIRKSYMPSQRKQLKFCNCPVEMAKKSYKDACFRCPRPQAYANEMDSSEEDELEDKLNDIFGLNDDGVTSSASASRDQLKYNLISCKQMVGIFVTVWAKKELVQHIGHLRTHLASGEKEGDELRRNLDVLEILRLTQFRRICRRAGRRIPEKILDHERVIWLGDLNYRISLSYEDTKKLLTENNWDALFEKDQLNIQRASGSVFKGWSEEKIYFAPTYKYSCNSDSYAGETATSKKKRRTPAWCDRILWHGDGIAQLSYFRGESQFSDHRPVCGTFIVEAERLDGKSKRRSSNTNIRIGAEELLPTSDKHN >Sspon.08G0011880-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8B:47326163:47326504:1 gene:Sspon.08G0011880-2B transcript:Sspon.08G0011880-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DGGRSGSGFGGRRPPGLLANAAKRKEGFVQLFLMAGVFMMSLRSLGQKHRLNDLAVDNADLRREREDLSHRMRDLQDALRREADADSSGALASHLRRIFTAHPAPAAAAPAAED >Sspon.01G0039610-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:29557561:29558724:-1 gene:Sspon.01G0039610-2C transcript:Sspon.01G0039610-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIAATPPKMSSVLAAALLSLLLLAVACQASPYYPLELGYYRYKCPQAEAIVKAIIEKAIAQNPGNGAAVIRMLFHDCFGCDASVLLDPTPFSPTPEKTSAPNNPSLRGFELIDAIKDALEAACPGVVSCADIIAFAARDASYFLSGGKVCFDMPSGRLDGTFSNASESLKFLVPPTSNLSTLVSSFFVKGMSVEDLVVLSGAHTVGRSHCSSFVSDRLNASVPSDISPGLAGFLKSRCPANPTSSNNPTVMQDAVTPNAMDNQYYKNVLSHTVLFTSDADLLTSAETAKLVLDNANIPGWWEDKFEKAMVKMASIEVKTGYQGQVRKNCRAINHY >Sspon.03G0030750-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:30918412:30921811:-1 gene:Sspon.03G0030750-2C transcript:Sspon.03G0030750-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAPEERRWLRAPEERRWRREPEEEEEAADWAWSWGAGTDGQLGNGGFDDHHLPRPLLLPTRCRGRVSFVAGGGAHAIALTSDGEYLLGAEVHMANLVMGTWTTSLIRNSGQLFMCGDGSFGQLGTGDNLSRNFPFEVAYFNARHIEKLAFGMRHSLVLLKVYGFGSTRRGQVGKCVSRNQKTYNVPRLIDDFPNCKIVNIYANGDHSAALDESGHLYIWGRALIGEDDDDQPRRILPSSSVSQVALGWHHALVLSAGELYTLGVYRHQKCDLPGLGNVSGQQLNTRATSSTRDHSSSLSMLETVPFIDGEQVVQIASGTEHSALVTDKGTIFTWGWGEHGQLGLGDTSDHVALQRVDIGDNGQRTSGSLAVYCGSGFTMAVKSPIVDV >Sspon.05G0015860-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:58468064:58481326:-1 gene:Sspon.05G0015860-2C transcript:Sspon.05G0015860-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSSADDMELKRGCEAGILAKGDRDKVVMCMRVAKSRGSGGGLAKVKLTSRHNMAKPRVLAVTAKVKGQKTKSFLRVLKYSNGGVLEPAKVYKIKHLHKIEVAQNDPSGCTFVLGFDNLRSQSVAPPQWTMRNKEDRNRLLMCILNMCKEHLGSIPKVVGMDVVEMAIWAKNTQAKVTQVSSKDGPVESLVLEAESHVVVEKDLVSQAEEEDIETLLGNYVMAIGEAEAFSERMKRELVALESANVYALMETESVVEEVLQGLEIASICVEDMDEWLGIFNIKLRHMREDIQSIEWRNNRLELQSDSNVALIDEVDKLLVLLQIPPEYEASLTGGSFDEGNMVKNIEACDWLTSAIKNLEASNIDPIYVREKRAEFVLLKCTFVRRASEFLRNYFPSLIDSMLNDKGNFSQRGHLQRPDHADMRYKCRTYARLLQHIKSLDKSCMMPLRKAYCHSLNMLIRRESRDFSNELRNSSKASKSSTPLFEGPAGANQPASITDSPADAYSKMITVFIPLLVDEVVLYFIALFLLRLNNVISALSQSDASSSNPNAESTSNNPAELGVLNECLQELLDGIQEDFYALVDWAFKLDPLSCISMHGITDRYLSGQKAEVSGYVQVLLDDLETRITILFSRFVDDACYQIEKYERNVRQVGVVPYIPRFSQLAARMEQYINGSRDLVDQAYTKIVSIMFVILEKIAQVEPKYVDIVLLENYAAFQHSLYDLANVVPTLAKYYHQASEAYEQACSRHINLVIYIHFEKLFQFARKIEELMYNMSPEEIPFQVGMSKVDFRKMLKSSLTGLDKTINAMYRKLQKNMTAEELLPSLWEKCKKEFLDKYTTFLKLISKIYPDEKVTSVNEMRDILAS >Sspon.01G0024330-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:87332396:87334728:1 gene:Sspon.01G0024330-1A transcript:Sspon.01G0024330-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable E3 ubiquitin-protein ligase BAH1-like [Source:Projected from Arabidopsis thaliana (AT2G38920) UniProtKB/Swiss-Prot;Acc:Q8GW10] MKFGATYEEYLRAEQDKFLGQCSHVEYKRLKKVLKKCRVGRSLQADGTNGDEQQEGSDESSNICECNSCTLCDEMFFTELNKEASEIAGCFRSRVQRLLHLHVPSGLQRCIWRFRQCFIDDQQIMVQEGRMLLNYVTMNAIAIRKILKKYDKIHGSVSGRDFKSKMQTEHIELLQSPWLIELGAFHLNCDDSDADEPGAGGFFKNGFFKNFFCDLSGAQPLLTMTISETLKYEYSLTCPICLDTLFNPYALSCGHLFCKACACGAASVYIFQGVKSAPPEAKCPVCRAVGVFGRAVHMTELELLLKRRDKYYFAQRLREERSVMVKQAKEYWDSQAMLSMGI >Sspon.05G0002150-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:1300462:1304447:-1 gene:Sspon.05G0002150-1P transcript:Sspon.05G0002150-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAAARRWSRVSARVASTLPPRFGVLLAFPGISSSYSYCPSPSLPFAPFPQALLLASLRVSAKRRHRGSSTNWRSPPRPASSSGDRRTGGVGTSGAQPTSFRCCRFSMDMDGVLQAADAKDWVYKGEGAANLILSYTGSSPTMLGKVLRVKKILNDKSQQAPSCMVFSSHEQLLWGHIPELVESVKQDCLAQAYAVHVMSQHLGANHVDGGVRVRVSRDFLELVEKNVLSSRPAWRVNASSIDNTADAALLIPDHSLFSGNPKGSSCIAVEIKAKCGFLPSSEYISEDNSIKKQVTRYKMHQHLKFHQGEISKTSEYNPLDLFSESKERISMAIKSFFSTPQNNFRIFVNGSLAFGGMGGGADSVHPADTDKCIEDLSKVSGLELPDFTELLSETIFRSGVLGKLLTTQKLDDHDIEGAIHLYYNIISQPCLVCKNLTDVELLRKYTLLHSLPLDKSLKIVRDFLISATAKDCSLMISFRPKENGSTDSEYDSVFLESVKRTYEYKAYFVDLDVKPLVKMEHYFKLDQKIVNFYTRNGEVVPSPKGSKSKDTSPIQLQQ >Sspon.04G0009330-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:27985437:27990068:-1 gene:Sspon.04G0009330-4D transcript:Sspon.04G0009330-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSSCACSLLGSAQLLLLLLSLALGSTAAQKGSTWKTLSGKAPVIVAKGGFSGLFPDSSDFAYQFLGSSSSPDTAIWCDVRLMKDGLGICLPSLNMDNCTMIANVFPQGKKTYNVNGVSTVGWFSVDYTSTDLLPNVTRKCSYKFVLRYGLEHFDLVNCDVKQSVLSRTDKYDGTMYITQVENVFTYNASAFWLNVQQDSFYSQFKLSMRSYILSLSKKIIVDYISSPEVNFLTSISGRVSKKTKLVFRFLDERSIEPSTNQTYGSMLKNLTFVKTFASGILVPKSYIWPITPDNYLLPYTSVVDDAHKAGLEIYAADFANDFTISYNYSYDPLAEYLSFIDNGAFSVDGVLSDFPITPSEAVGCFSNLNTSKIDHAKPLVISHNGASGDYPDCTDQAYEKAVADGADVIDCPVQVTKDGILICMSSVDLMDVTTVAKSQFASQVTTINDLKAGPGVFTFNLTWDDISKNLKPMISNPVINFQQYRNPRNKNAGNFMRLSDFLTFAKGKDLSGIMITVEHAAFMAEELGFGVVDAVIKALDDSGYNKQTAQNVMIQSTNSSVLVKFKQETKYNLVYMIDENVRDAAPSSLADIKKFANAVSVSTTSVFPETRYYLTNQTNNLVRTLQSAGLQVYVYVLMNEFGSQPNDFFADATAQINAYVQGANVDGVITDFPGTAHRYKLNSCTSMGKNAPQFMHPVQPGGLLSIMPPFAQPPAAAPMPLLTDADVAEPALPPVSNTTTPASPSRAALRMRADVSILVTLLMLCASLLI >Sspon.07G0026130-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:51876088:51879664:-1 gene:Sspon.07G0026130-1B transcript:Sspon.07G0026130-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGVDDAFLGVGDKPGLDIWCVVGSSLVPVAKPQHGKFYTGSTYIILNTTELKSGARRHDVHYWVGEEAKEEDCFMASDKAVELDAALGSSTVQYRETQGEESDKFLSYFKPCVIPVQGCFFSQLKGSGDRSCATMMFRCEGEHVARVTQVPFSRSSLDHKSVFIVDAPSKIFLFSGCNSSLQTRAKALDVVKHLKENRHLGRCEIAAIEDGKLVGDSDAGEFWNLFGGYAPIPRDLPDTVKEETLTAPSKKLFWINKRNLVPLEAHLLDREMLNSDRSYMLDCSTEIFLWMGMTTLVSERKSSVTVLEDYVHSQGRSFNVRTFIMTEGHETVDFKLHFQHWPTNVEIKLYEAGREKVAAIFKHQGYDVTEIPEDKPQQLISCNGSLKVWLVDRGCTNLLSTEDQEQLYTGDCYIIRYSYVEDGKDFHLFFAWSGKNSVKDDRMLATSLMSIMADSVKGHPVVAQVFEGREPELFFSLFKSLIIFKGGRSAAYKSAVLQKNPRNGYHQKEGVALFRIQGLKHDCVQAIQVDLVASSLNSSHCYILQDNSLFLTWLGGLSSPNDHNILDMMMSKLCVRRIQHANPSFLLSDDVESLRTIYNLF >Sspon.01G0041060-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:45682006:45683793:-1 gene:Sspon.01G0041060-1B transcript:Sspon.01G0041060-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSARSWFTKLQTREKSIGKKKELPPNGKESGDDAPSSATKQRVAAAKQYIEKHYKEQMKHLQDRKERRCSLEKKLADADVSEEEVHNILKQFEKKETEYMRLQRHKMSVEDFDLLTMIGKGAFGEVRVCREKTTGNVYAMKKLKKSEMLRRGQVEHVRAERNLLAEVDHHCIVKLYCSFQDSEYLYLIMEYLPGGDMMTLLMRKDTLTEDEARFYVGETVLAIEAIHRHNYIHRDIKPDNLLLDKYGHLRLSDFGLCKPLDYSNFPDLNEKDVTPTKSSSMHGDGKQQSMPKRSQQEQLEHWQKNRRTLAYSTVGTPDYIAPEVLLKKGYGMECDW >Sspon.05G0008460-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:17210168:17212388:-1 gene:Sspon.05G0008460-3C transcript:Sspon.05G0008460-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVASTSFAYHKPRFAVVCRKNKDGRDRERERDGKEHKHPFKVVEITPPPRCLGVRCFPTNIHCGESVTIEGQAYTVSAVTHRYQLRKGRYEPSEKRLDVLSTGRYILNLYLQNLLDQS >Sspon.03G0017630-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:76144361:76146259:1 gene:Sspon.03G0017630-3C transcript:Sspon.03G0017630-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTKLAVLATGAPPPPRPPHPSRHQRHIPRRRSFKPPRSLLDGGQPSPPPPVPSSRRRAWPPAGRLGSALRCGVPLRPAPRRDPLPRRTPGLRKRRPRRRPPPHPHPGAAPARRVLPHRRPAPPVLGPDGAPKIIQGHRDRAEDGDVAARSCSVHRAERGAVQSRGAQQRGGLHPVRRRRVRVGVP >Sspon.03G0017970-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:55438674:55440618:1 gene:Sspon.03G0017970-1A transcript:Sspon.03G0017970-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVEQQQDVVKLFNRWTFEDVQVNDISLNDYLAVSATKHATFLPHTAGRYSKKRFRKAQCPIIERLTNSLMMHGRNNGKKVMAVRIIKHTLEIIHLLTDANPIQVVVDAIINSGPREDATRIGSAGVVRRQAVDISPLRRVNQAIYLLTTGARESAFRNIKTIAECLADELINAAKGSSNSYAIKKKDEIERVAKANR >Sspon.02G0034700-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:17353021:17354891:1 gene:Sspon.02G0034700-2C transcript:Sspon.02G0034700-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLLLQLLFLLGSPVTLLTCAAAGASFSVNVWPKPTSMSWAEPHAAVPVSPSFHIVGSSGNPYLVSAAERYAKLLFKEMYRPIVRPAVNVTAGNALETLTLAVSDLAAPLQHGVDESYTLEILPTGAATVTAVTAWGAMRGLETFSQLSWRAGGRGRGRDLLLVAAGVRVEDRPLYPHRGLMLDTGRTYFPVADILRTIDAMAANKMNVFHWHITDSQSFPIVLPSEPLLAEKGAYGEDMKYTVEDVKRIVEFAMSRGVRVVPEIDSPGHTASWAGAYPEAVTCAGKFWLPDGDWNRRLAAEPGAGQLNPLAPKTYEVITNVVNDLTSLFPDGFYHAGADEVTPGCWQADPTIQADLERGGTLSQLLERYVSAVHPLVVSKNRTAVYWEDVLLDAAVNVSASLIPPATTILQSWNNGANNTKLIVQAGYRAIVSSASFYYLDCGHGDFVGNNSIYDDPNSDFKADGGSWCGPYKTWQRVYDYDIAYGLTPEEAQLVIGGEVAMWTEQVDTAVLDGRVWPRASAMAEALWSGNRDASGRKRYAEATDRLIDWRQRMVGRGVRAEPIQPLWCRTRPGMCNAVQ >Sspon.07G0034340-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7C:72409712:72410000:-1 gene:Sspon.07G0034340-1C transcript:Sspon.07G0034340-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding QQCRVHIKGPDVVGPTGPHVVGPTCMLPLSSPMAIRRRDPLTLRATHWCVLGRQARVHDAVQAARVHQLGPPPHQPPHASHKSVGGSRHQPLRTGP >Sspon.02G0040020-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:66236018:66240723:1 gene:Sspon.02G0040020-2C transcript:Sspon.02G0040020-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING/FYVE/PHD-type domain containing protein [Source: Projected from Oryza sativa (Os07g0446100)] MLDCLQWIDKEGKTPLMVACMRPDLFDVAKVLIELGANVNAYRPGSHCGTALHHAAKKGLQQTVHLLLSHGANPFIPNDDCNTALELAREKGHVNVVRAIEGRISLFCGWMRENYAPAFLDAIAPQLMTRKIWAVVLPREVRTPTRPLKLELAIYPELQASKPRAVLKLWKCQIEQPKLNQADPSIIIFDKGTKTRYKILPAYEGDKQQLQWFYNACCGMAQVFNTAPVPPANLPMPNPAPAISSVAPSELSAPNKEDVELAMAINASIQSAIAEGVPNVQPNASTPNNNGWGIPPSNSHNGWGPPVTPAPSKTSGQSQAWVDAPSSSMYNGWDVPGTSSSQSSSKPHKTETNPPVLIPQEALQALPTPTAPPFAEETFYSGPVHYPSIDSTPVDVTMPATTEGGTTVSTTAAPPKQEENEADASDSGKTPSSTCVICLDAPVEGACIPCGHMAGCMSCLKDIESKKWGCPICRATINQVVRLYAV >Sspon.05G0028680-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:66601304:66606083:-1 gene:Sspon.05G0028680-3D transcript:Sspon.05G0028680-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRCGRRAALLLAAAAALSRGRDPDTSVHASASPPQPLRQALPAAAAAERLRSGTRLFSPWLLPSPYQGFPILNTFSSASVSPANHRDQGSDRSSGDSRCCPGCLGRNSIAKAASAVGPAVVNISSMHDMHGWVQEQSIGSGTIIDPDGTILTCAHVVADFQSTKAIVRRKVSVTLQDGREFEGVVLNADRLSDIAVVKIKSMTPLPAARLGSSSRLQPGDWVVALGCPLSLQNTVTAGIVSCVDRKSSDLGLGGLRREYLQTDCAINKARPLGNSGGPLVNLDGEIIGVNVMKYQLTVIKIVENFKKNGRVVRPWLGLKMLDLNPMIIAQLKEKSSTFPDVRKGVLVPMVTPASPAEEAGFRPGDVVVEFGGKPVESIKEVTKITTGDVWSGNLALDTTKDFNGQNDQRVCLFGSNVVRSTSIIDDLLCVGTPLRIRCLVQHPKP >Sspon.07G0000600-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:186689:190262:-1 gene:Sspon.07G0000600-2C transcript:Sspon.07G0000600-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRGGAKDDDAAPDKVMGPLFPRLHVNDTLKGGPRAPPRNKMALYEQFSVPSHRYSAAAAAPAPSPAPPWRAQRPASDVPSTSARQVGGSDRPLFPSFCVPSTEPVRSSDQMNANSNGRAANGTRAESGRQSAHLKSKDTNAAGPTAECSSRQRENANKSSSGKKLANDDDFTVPSVLYSGVPPHSSQEKLILFPTTSPCKSVPAKYSSNGKRHLEGMDVSDVKSKGPSGVKDTEPVQVRIDLEDEETTPSFQILKDKMGRPDPKVSSYMGRLNKYNVADKQYSEAESYQMRTRNEDAVKTQNPPKNGTVLLSKPYADREQNGDSDILKHGLRDTGEKRKRSHHGVEQNDDLSDSSVESLPGMEISPDDVVGAIGPKHFWKARRAIVNQQRVFAVQVFELHRLIKVQKLIAASPHLLIEGDPCLGKSLAASKKQLAGDVEKQLQSAKNNDEVQPTQQLEHSKENTEANHPSPSQGDAAEVQHNNQAAKNGAVSSNPPSMPTPSDNKQNSWCIPPPPSQWLVPVMSPSEGLVYKPYTGHCPPAGSFMAPPLFASCGPVSLPSTAGDFMNSTYGIAMPHQPQHMGVPGPPPMPPMYFPPFSMPVMNPAVSASAVEQVSHVAASQRKGHIEQHTRNSCNTSHLRSEAVSAGVWRVHASRDSELQGSSASGPFDRQQGEGRGPAPPFPASSVGNGQAQAQPSSGSRENPSRVIRVVPHTARTASESAARIFQSIQMERKQNDP >Sspon.03G0031020-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:23979625:23989985:-1 gene:Sspon.03G0031020-1B transcript:Sspon.03G0031020-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LNFGTPDSAQQPVLNSWCFDVLGDLDRRGVMAAYSARQDTHTFMEVVQEVLKHGSADGVRAAIRADKKSYSLVQLIAASFDVHNILCSKNIARNGIQDSSSKGINGTGFLHGARVGIVAKPSPEFVAGVFGTWLSGGVAVPLALSYPEAELLHVMNDSDISMVLSTKEHHEVMEDLSIKCSAYCSLLPPITSIPSEINPQEPSSNEATSSVSTLITQINSSKKIKGDDPALILYTSGTTGKPKGVVHTHKGILSQVEFIPKFSVSGIWQRWRESYPSDGSKNKEAITVFTGVPTMYTRLLQGYDSMGPDQQSAASYAAKHLRLMMCGSSALPSPLMKRWEEVTGHRLLERYGMTEFVMALSNPLHGIRKEGTVGKPLHVLSIFLTQAKIIMEDGTETTTGVGELCIRSPSLFKEYWKKPEVTAESFIDGGFFKTGDTVTVDEEGYFIILGRTNADIMKFGGYKLSALEIEAVLLEHDAVLECAVLGLPDEAYGEVICAIIVPKEDAKKTAEQDSKPVLSLEALTSWSKDKLAPYKIPTKLYLWDSLPRNAMGKVNKKELKKLLGA >Sspon.01G0001110-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:2945798:2950278:-1 gene:Sspon.01G0001110-3C transcript:Sspon.01G0001110-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQDDDESIAWVVQGAAGDDAPAVLLHGSLDIWIHEARNLPNKDILSKRMRDLLCKPSEGMTSDPYVTVQVASAVVARTFVIPDDENPVWAQQFLVPVAHETAAVNFVVKDSDVVGAELIGVVAVRADRLQAGDRVEGAYPVLELNGKECAPGAVLRLSVQYVPVARLTMYHHGVTPGPDFAGVPRTYFPLRRGGKVTLYQDAHMPDGTLPEVRLGNGACYRHGQCWRDVYDAISQARRLIYITGWSVFHTIHLVRDGHGDMELGDLLRRKSQEGVRVLLLVWDDPTSRSVLGIKMEGYMGTRDEETRRFFKHSSVQILLCPRSAGKRHSWVKQQETGTIFTHHQKTVILDADAGNHKRKIVAFVGGIDLCGGRYDTPRHTLFRTLQTFHKEDYYNPNFAVGLILHVRQKTRSNLLDPHVPTIYSQVEDARGPREPWHDLHSKIDGPAAYDVLKNFEERWLKASKHSGAKKLSKLSRSHNDTLLWIEKIPDIIAIDDEIYSNDNDPERWDVQIFRSIDSNSVKGFPKDPREATSKNLVCGKNVLIDMSVHTAYVNAIRGAQHFIYIENQYFLGSSFNWDSHKDVGANNLIPIEIALKIANKIYSNERFSAYILKKTMQMMYEIIYKALKEVGLDGTYEPQDYLNFFCLGNREAEDTTCTSGGPFSASNPQDQARKNRRFMVYVHSKGMIVDDEYVIIGSANINQRSMEGTRDTEIAMAAYQPQHTWANTLSAPRGQIFGYRMSLWAEHIGSIEESFTRPESLECTRQVRHIGQQNWEKFISSHVTEMKGHLLKYPVSIDSMGKVNPLSGCATFPDLGGNICGSFLNIQENLTI >Sspon.02G0039960-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:64736099:64737619:1 gene:Sspon.02G0039960-2C transcript:Sspon.02G0039960-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISTPLVLPLLLLVLLLPPALRDYLSAGHLSKDAGVGSELHPVILLPGAMCSDLEARLTEAYRPSKPRCGAMKGKGWFGLWNNVSDLAAHEYVDCFLEQMSLVYDPAINDYRNLPGVETRVPNFGSARGFHNKDPLNPKQCFDYVREGLERVGYRDGDTLFGAPYDWRHTPPVPGQRSQVYWRYFKQLKSLVEAASKKHDRKKKVIIVGHSYGGMVALEFVRNTPPAWRDEYIKHLVLVAPVLSTGVLQQAINLASGPDMMNYVEATTMLSLRAMWRSFETGLAALPSPKVFGHKPLVVTRQRNYSAYDTVDFLCDIGFRDGVEPFRRRMVPKMNYSKAPMVPMTCINGVSVRTPKQLVYRDSNYDDASPKIVYGDGDGYVNLISMLAFDKQMSRQPGQKRQFKSIKIEGAQHCGLITEEWAVKRVVQELLEANQVSL >Sspon.08G0030520-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8D:60721673:60722154:-1 gene:Sspon.08G0030520-1D transcript:Sspon.08G0030520-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding QRRHAAIGAGARGQEATGHLGPCCWCPRVWLGMASPMRRLPTANFRRQPPKPVANRLAIACSALSRRQGWDVENKKKEKARGPFCKRSQAVLGRPARAAGPRAPPRLGQPAFHRVVVGPIRLGGPSSVGSLEPK >Sspon.01G0019770-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:73767226:73771700:1 gene:Sspon.01G0019770-1A transcript:Sspon.01G0019770-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVAMVSTRASLLILILILSLCSPYKFIQSPMDFGPLNLLPTTTTASSDFGRILFHSPAAVLRPQSSGDISMLLSFLSGSSLSKVTVAARGAGHSIHGQAQALDGIVVEMRSLPAEIEFHRGGEGQVSYADVGGGVMWIELLEQSLKLGLAPRSWTDYLYLTVGGTLSNAGISGQTFKHGPQISNVLQLEVVTGRGEIVKCSPSKDADLFNAVLGGLGQFGIITRARILLQDAPQKVTWVRAFYDDFSIFTRDQELLVSIPDLVDYVEGFIVLNEQSLHSSSIAFPASVDFNPDFGTKNSPKIYYCIEFAVHDYQHKNTNVHHVVEVISRQMSHLVSQLYSVEVSYFDFLNRVRMEEMSLRSVGLWEVHHPWLNMFVPKAGVADFRDLLMDNISPDSFEGLILIYPLLRDKWDTNTSVVMPDSGSTDRVMYVVGVLRSANPEPEDGCSHHCLQELLRRHRRIADAAGARLGAKQYLAHHPTPAGWHQHFGRRWERFAERKARFDPLRILGPGQGIFPRTQDAAASGSY >Sspon.01G0021550-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:70301597:70304539:-1 gene:Sspon.01G0021550-4D transcript:Sspon.01G0021550-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA excision repair protein ERCC-1 [Source:Projected from Arabidopsis thaliana (AT3G05210) UniProtKB/Swiss-Prot;Acc:Q9MA98] MDGGREQQAPPEAQPGKNLIKIPSYQEVFGTGAASSSSKPASYNPPLASSGAAAAASSSSSSSGSFSQAFSFLKSSEFYSPPPPSPQPTTTTPRPPQASPSAPTSQSKNAILVSHRQRGNPLLKHIRNARWTFAEVVPDYVLGQSSCALYLSIRYHLLHPDYLYYRIRELQKNFRLRVILCHVDVEDVIKPLHEITRTALLHDCTLLCGWSLEECGRYLETIKVYENKPADIIREHMDNDYLSRLTHALTSIRHVNKTDVVTLGSSFGSLSQIMNASMEELARCPGIGERKVKRLYDSFHEPFKRVSARPNLVVPDTPDREKAKGQPSSTDDSLQDAVEKPDVSKKKKGSDVRSALTAAFA >Sspon.08G0025810-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:13069735:13070693:1 gene:Sspon.08G0025810-1C transcript:Sspon.08G0025810-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LTLSCLQQPPTPNLLSHPLHLQQPLSPTLGAQIGVPSRHSSRLSSASKHQHCTCFSYSCLISTLGQGKLPIAYDFC >Sspon.01G0028660-1P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1A:100640248:100640826:1 gene:Sspon.01G0028660-1P transcript:Sspon.01G0028660-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSVQDALDLASSSCGTMDIVTCGFTLWGPESCPSLDQVMASSRLAAAASTAVVEEADPEAEAARNVDPDPDPEEEERLRRQRRKVSNRLSAQRSRARKQQRLEELRQAAARLRAEKQELEARLQALARHDLAVRCQNARLRAEAAALERRVREARRLLELRRLAYALMPQQAAGVAPAPAAPLLGPASLMT >Sspon.07G0001460-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:2901324:2907220:1 gene:Sspon.07G0001460-3D transcript:Sspon.07G0001460-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIFFSKAEPPPPMVLVPPLFDYPPIAARTRMAVPAYELMFGKLSLHNLFEDYFDQAGNMTSRIILLQLRSCAYDPKYRIGAFGTLPLLMGNRVCSEDYGVVGVRYGSENLSVGASFVPVPLSGEVPFGAWLVGRNGSLTAGVQYKPLSGSKHPMPFAELENWNCAISYGVGSTSPLSPSFIFSLELARSTRLTTSFYQHLVVQRRVKNPFEDDQVVGITNYIDFGLELAARVDKDKVSGDGNSLFQLAASWQANKNFLLKGKLGPSKSSVALAFKSWWRPSFTFSVTAVNDHSKGTTSYGFGLRVEDLRQASYQRADPNYVMLTPSKEHLAPGVLREYGKRPMFQTQIDSGNYDHLPTELKPLGRIF >Sspon.06G0021860-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6C:23381442:23381913:-1 gene:Sspon.06G0021860-2C transcript:Sspon.06G0021860-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKVKVELKLVCKLRHLHMMDCTRWWNVGKKARKERWESRANKYFQVFFPRNRMKVKYASPEELLTGQVVSPELINDEQVEDNDDTNLEA >Sspon.03G0036560-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3C:86752955:86753722:1 gene:Sspon.03G0036560-2C transcript:Sspon.03G0036560-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SSSKSGSTTSSDPTARSSSDTEPTTGSRATTKATATSKATPDASTTTDPTATAKSASSATTHPTARSSATAKAASCAPTGTKPTADTTSTSKSTTTAQSSSTSSAGTSSKSSTSTKSSSCAGSCSKTSPTTGSYSTADTTATAQSSSTPSAGTSSKSSTSAKSSSRASSCSKTSPTTSSYSTTTTETTAATSSKPTSTSEPPAATKATSTTSSCTRSASKPSATANAAAYTTTSSKATAAAHAAPYATSCTYTAS >Sspon.07G0007280-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:14705871:14707307:-1 gene:Sspon.07G0007280-3C transcript:Sspon.07G0007280-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTPPCHVVAVPYLGRGHVNAMVNLCRLLAARDGVTATVVLTEEWLGLVGAPAAALSPRVRFEAIPNVVPSEHGRAGDMVRFVEAVYTRMEAPFERLLDKLLAAPLAPAAIVADMFVPWTVAVGARRGVPVCVLCPLSATMFAVQYNFHRLPPAADDGGSTSPVNKIADGTDPCLVENYIPGTKSIRLSDLAPTNTNKTLREKTLEAYSALRNAQCVLFTSFYELESDAIDALRHELPCPVYAVGPCIPFMSLQVQEHHADTLREGYTAWLDSQPAGSVLYVSLGSFLSVSSAQLDEIAAGLAKSKARFLWALRDADARSHVRGLIGGHDAGVVVPWTDQLRVLCHPSVGGFFTHCGMNSALEAVYAGVPMLTLPIAFDQPVNSRLVVEVWKTGLGLREKARADGVIERDTIATAVDRLMRRDTVEAED >Sspon.01G0004860-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:13192610:13197736:-1 gene:Sspon.01G0004860-1A transcript:Sspon.01G0004860-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MENHGPKPIRCKAAVCRAAGEPLAIEEIVVDPPKAHEVRIRIICTSLCHSDDFPGIFPRIFGHEAFGVVESVGEHVEEFAVGDAVVPTFLGQCSECVDCRSAQSNMCSKYRFAVRPGMPRDDTTRFSDGQGRPLHHFLGVSSFTEYTVVDVNHVVKVNPAMPPALACLLSCGASTGKKFGMTHFINPKELGEKPVYQAIIEMTDGGADYCFECIGLAALMNDAFRSSRDGWGKTIILGVEMHGAPLSISSHEILHGKSVIGSMFGGVKPKQDIPILADKYLNKVLQLQTYREHSVAIIELELDKFITHEVGLKDINEAFDLLLQGKSVRCTIWMDK >Sspon.07G0036540-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:38372960:38375010:1 gene:Sspon.07G0036540-1D transcript:Sspon.07G0036540-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding FLFTLCVHGGGGGRRGCLSSAACDDDCASFARLCLDKAGTVGGPTALERRKEKEKARKDARLKKLRGRAVAESQHGRRGPPRARRWVGRAEAEGRRVGRSGTSLLRRAINGGRAREADAERTAGGPQQEAVQSPQGRLGSDPRTGSTYYSRVEHVDDIATFNHDEESSDGEKKFIASDSANLDSIDITSFSDVNSKDGNMRFVPDDSANVFSVKIVSSDVGFPIEVYGTVIARDNIDLKCIKCVGRQKYKQLSKGYMA >Sspon.04G0016610-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:61109718:61110917:1 gene:Sspon.04G0016610-1A transcript:Sspon.04G0016610-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYYDYLIFRMQYLDRKIPVTDKEARSEAPDSRKVAVEQEGNVIKKRFEEWRKKHDESYMNHRKMVMEQEYKVDEAVMKKRFEDWMKEYGRTYKDEEEKARRYEVFKVNAMEADKVNTHTRGDTRYGPNNLGDWTEDELKRMGCRQGDIDWKSYVEDMRTMYAEGRFDAHLRKVAPQELDCTDAVKKRFRELVARKAGQERQANKH >Sspon.02G0030720-1P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:107743275:107746706:-1 gene:Sspon.02G0030720-1P transcript:Sspon.02G0030720-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MELKPEAPAENQTRPSSWIPQTTTRDFPKLRRWWKGVNIFNNAYIILPIHAKYLEKEWRFLSIAEPAWPCLLSDIRKETVQVPQQNNGYDCGIFMLYYIEQFIKEAPARFTADKLDMFSRSWFKPEEASGLRQRIRELLLEEYESARLHDAISKADASVGCDSIKDGELEVDNSKMVVKVGDAAKSIKGISVAESDEASGEFGDTEKTNKCIKVLASEEANMESGYPIKCMEDIVDVAVVNKEPTSSSNKCNEKNAGVVSEAASCSNSVIKDKKGTGKTDSGRSKAEKEGKPIVTASPEKFKGTEEVIGSTPIPDAVSDSSVKIRSERNGEVTGSSMPIPDAVCEITGTKVWITRVYRRTSGTTLEPGNWCWSSGGSSRDARRKFIEHFSRK >Sspon.08G0000010-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:105159:109412:-1 gene:Sspon.08G0000010-1A transcript:Sspon.08G0000010-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFAGEGDVAERSTGGGHRWPPVDGEEISERSKLKVRPCFRNACGAHWKTCYCCVVLPQIPCYYGQQTCWENCPGAAQPLQALAPAPKALLFSGQMDHVKLRAVLFVVFLASPHPGVSEGDDSDQRSTGGEITRRSRFTVVLCLKKLCNHLKLCYCCQTLPDKPCYLDQKNCVDICPSASAPSPQPLLAPAPHVGPLMVR >Sspon.03G0033290-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:53436100:53438949:-1 gene:Sspon.03G0033290-1P transcript:Sspon.03G0033290-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPEYDYLFKLLLIGDSGVGKSCLLLRFADDSYLESYISTIGVDFKIRTVEQDGKTIKLQIWDTAGQERFRTITSSYYRGAHGIIVVYDVTDQESFNNVKQWLNEIDRYASENVNKLLVGNKCDLAENRVVSYEAGKALADEIGIPFLETSAKDATNVEKAFMTMAGEIKNRMASQPAASGASRPATVQMRGQPVSQQSSCCS >Sspon.06G0008150-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:36572346:36576075:1 gene:Sspon.06G0008150-3C transcript:Sspon.06G0008150-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MERAEMAAGGHRAERAERPAGNRMERAEMPAGGHRAERAERPVGHRMERAEMQHATHHATERAGDARRTTTTTAAAEMPAWQTAERKKSLESLLDAAGDARGRRQQQQHHHHHHHRGGGGGGHVPERPAPVPGEKVINFPGQGLEFKELSYSVIKKQKKDGVKVKKEVYLLNDISGQALRGQVTAILGPSGAGKSTFLDALAGRIAKGSLEGSVSIDGRSVTTSYMKQISSYVMQDDQLFPMLTVLETLRFAAEVRLPPSLSRAEKLNRVWELIEQLGLQTTAHTYIGDEGIRGVSGGERRRVSIGTDIIHKPSLLFLDEPTSGLDSTSAYSVVDKVKEIAKGGSIVLMTIHQPSFRIQMLLDRIVILARGRLIYLGSPITLPAHLAGFGRPVPDGENSIEYLLDVIKEYDESTLGLEPLVAYQRDGSKPDEAAKTPIPKTPRTPHQKSVQFRQMQLKSNQFSVTTGTPHANTFSNFESYNIDDDEEEFDNSLERKSHTPLHTGTSTYHPRLASQFYKDFSVWVYHGVTGTPHRKPTWSTPARTPARTPMSSYQQRSRLATPHHAPPQSPHEPVFKPEEPSYHEYQLELEPPLDAPEDGPKFANPWLREVAVLSWRTALNVVRTPELFLSREIVLTVMALILSTLFHRLSDSNFTTINRLLNFYIFAVCLVFFSSNDAVPTFIQERFIFIRERSHNAYRASSYVISSLIVYLPFFAIQGFTFAVITKYMLHLRSNLVNFWIILFASLITTNAYVMLVSALVPSYITGYAVVIATTALFFITCGFFLKRTMIPMAWRWLHYISAIKYPFEALLVNEFKGDHCYVGTQNQLSPGPLGQVNNLNATSPTCPLVGQDVLSTMDIQIDNIWIDVAILLAWGVLYRLIFYVVLRFYSKNER >Sspon.06G0002950-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:9355785:9358810:1 gene:Sspon.06G0002950-1A transcript:Sspon.06G0002950-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:O-fucosyltransferase 13 [Source:Projected from Arabidopsis thaliana (AT1G52630) UniProtKB/Swiss-Prot;Acc:Q8RY81] MSPAAAWCAQHRLRFLLPSLFLAPVLFFLLSPPSSRPVFSLPASGELPPSGSRLIWAQRRLVEWRPCGWWRTAPVQGKASQFGGGIWILDLDTVMIDACPPCAAPSRRNGYIRIDCYGGLNQLRRDLCDGIGVACLLNATMVLPKFEVAAYWNESSGFADVFDVDYFIEQTRGYVEVVKDLPAEIASKEPFKVDCSKRKGHFDYVESVLPALLEHQYISLTPAMNQRRDRNPSYAKASYCQGCYSALRLNKKVHSKAVKLLQAIPKPFLSLHLRFEPDMVAYSRCAYTGLSSKSMDSIEAARGEGRKVLTGDAARLWRNRGKCPLTPSETAFILQALDIPTNTNIYLAAGDGLMELEGFTSVYKNIYTKSSLLAHEDFENMHGNTKAALDYYVSVNSDAYIATFFGNMDKMVTAMRTMQGLHKTLVLSRRAFANYTAAGLAGEQLAKAMWDAHREEYIRGRGSALPEYCF >Sspon.01G0029250-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:88517011:88519152:1 gene:Sspon.01G0029250-2D transcript:Sspon.01G0029250-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDDWIFLGDGAGGGSDSDSGFTIVRRGRKGGDQYCSVVVSDDPLVAAVAVPQPTPPGLSFKVVSYADVFSASASSSSEDGGGRELMPGCNDVDDEATAGEAIIQGAGIDDAAGTGSTDDCTVTCKEEGCESDQVGEHDGTGSSAESPSVAVAAAPTVNCPETTAVLHGEAHSELASGMDQGGAEDSESCEEDDDDDTENSSEDEDDTDSSSEDQDEDMFISSDEEEEDTFSSSDEEYTGSFSEEEYTAEIYSEEEEDDDAESSGGEEEEDGSSEMDYVESSDEESHDADNTGEEEEEEDDAECSGEADDVESTGDEDAECTGEEEGDDDLEISGEKEEESDAECSGNEDDVESSGDESDDPESCGADSDDDAESYGEEEEEEKEDADSTYEEEEKEEEDTVSSGEEEEESSHVVRVAENGKKDIFAMLFPRATTACDANAEQAAANANGEVKERSESFPSKYDDMDTDSSGSDMEDDICLESDEEDDIVDVLAQNGNQDIFAMLFPKAKACGANMDDYEEEVEEEDDGSLFDMLLPRNCAVGDDIDSSDGEDEAATAACGYNAEPSHGTRRPEFSGRAARGYDDVDTDSDMEDGDGEVAADVNGTSLYGWPRARATTGGGVESQCWGFASKSQTERQALWEDLRRQLAAEAFESSGQQQQQLTYDDVDDTCDLPGTAAPGGGPAGSCDCARTAEAQFTARAVRDTESALAMEE >Sspon.07G0028800-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:77705238:77707872:-1 gene:Sspon.07G0028800-3D transcript:Sspon.07G0028800-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALALAGLRWAASPIVNKLLTDASAYLSMDMVRELEQLEATVLPHRKEPHRGKLEAWLRRLKEAFYDAEDLLDEHEYNLLKRKVKSGKEPLLEEGENSIVSTILKPFHAAKSRACNLLPENKRLISKMNELKAILTEAKVLRDLLGLPHGNTAECQLYQQPLFPQPHLFPLQRDRNRIVDFLLRKTTADEANSARYSGLAIVGTGGMGKSTLAQYVYNDKRIEECFDVRMWVCISRKLEVHRHTREIIESAKKGECPHLDNLDTLQCKLHDVLQGSQKFLLVLDVWFEKSDSETDWEQLLAPLVSKQLGSKVLVTSRRQTLPAAVCCEQERSVHLENMDDAEFLALFKHHAFSGAEIKDQLLCTKLEHIAKEIAKRLGHCPFAAKVLGSRLSRKKDIAEWKAALKLKDLSEPFTALLWSYEKLDPNLQRCFLYCSLFPKGHRYKPDELVHLWVAEGFVGSCNSSRKTLEDVGMEYFTDMVSGSFFQLVSETDYGDYYVMHDLLHDLAESLSREDCFRLEDDNVTQIPCTIRHLSVRVKSMQKHKEIIYKLRHLRTVICIDPLMDNASHIFYQILWNLKKLRVLYLSFYNSSKLPESVGGLKHLRYLNLTDTLVSELPRSLCTLYHLQLLQLNHMVERLPDKLCNLSKLRHLGGYKNQIPNIGKLTSLQHMHTFSLQKKQGYELQQLKHLNELGGSLTVQNLENVTRKDEALESMLYQKNHLKNLILVWSSTNGMDAADTSHLDVLEGLRPSPQLSGLSIEGYKSGTYPRWLLEPSYFENLECFELNDCGLLESLPPNSELLQHCSRLCLKNVPKLKILSCLPAGLTELSILGCPLLRFITNNELEQHDLRTNIMKTGDLASKLA >Sspon.06G0013570-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:70550849:70559738:1 gene:Sspon.06G0013570-1A transcript:Sspon.06G0013570-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPARPPHVGEDQQNLEEGTGESSPPPRSPRAFNPDLHEVQRKICERLRQTGRHDRTLADPTFSARFARYLERLPRRYLLDLDLKDKVDDVLLHWRILDECADPNKRPVFHARFLKYKTVPMEDDGIGHSQDHEEPCQKLLEELSLERRKTVDRNDSMSISSRTRGDLKTVLLHEIIFSSIDRPKLLSRLTGLLSEVGLNIQEAHVYSTKDGFCLDVFVVDGWKTEETDELIAAIKETLTQKNASPSNSTNSSTSERIVDLQQKVGDCEIDLSMLTRDDKIASGSSADLYRGTYKGHDVAIKCLRSANLSNPSQVEFLQEVLILRQAFTFHFVPTNSRYFLSRGVNHENILQFYGACTKHPNYCIVTEYMPGGNIYDFLHKQNNFLELHKILRFAIDISKGMDYLHQNNIIHRDLKSANLLLGFDQIINHKPYDYKADVFSFAIVLWELATSKVPYDNMTPLQAALGVSQGLRLDIPASVHPRLTKLIRQCWDENPDLRPTFAEIIIELQDILHYIQALKGPSRRSRAKMPKKSEG >Sspon.01G0001270-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:3835889:3841665:1 gene:Sspon.01G0001270-4D transcript:Sspon.01G0001270-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DEAD-box ATP-dependent RNA helicase 10 [Source:Projected from Arabidopsis thaliana (AT5G60990) UniProtKB/Swiss-Prot;Acc:Q8GY84] MANGDAAVEAGGNGKQEPAARQASTFAELGICAELVEACDAMGWKEPTRIQAEAIPHALQGKDLIALAQTGSGKTGAFALPILQELLSNRQAEQSFFACVLSPTRELAIQIAEQFEALGSAIGLRCSVLVGGVDRVQQVLSIGKRPHIVVGTPGRLLDHLTETKGFSLKKIKYLVLDEADKLLNVEFEKSLDDILREIPKDRRTFLFSATMTKKVNKLQRACLRNPAKVEAASKYSTVDSLKQEFYFVPADDKDCYLLHVLNERQDSMIMIFVRTCESTRLLALMLRNLGLKAMSISGQMSQDKRLGALNRFKAKDCNILICTDVASRGLDIQGVDMVINYDIPMNSKDYVHRVGRTARAGRSGYAVSLVNQYEAQWFVLIEKLLGKQIDQRKVDRDEVMILKGPISDAKRIALTKLKDSGGHKKRRKVGDDDEEVEDHSYSKRPKSFKKSNRR >Sspon.07G0031890-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7C:37272781:37273554:1 gene:Sspon.07G0031890-1C transcript:Sspon.07G0031890-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAACNPSATPMELKLKLLKEGTTPNVDTTEYRSIIGSLRYLCNSRPNLAFAVGYLSRFMEAPRQEHMAAVKRVLRYVAGTMHWGVHYHPAGKNAAAPTLLGYSDSDLAGDVNDRKSTSGLMFFLAGEPIAWQSAKQKVVALSSCEAEYIAAAGAACEGVWLARLLAELTSGKILAPKLKVDNKSAIALMKNPVHHDRSKHIDIKIHFIRECCDNKLIDVEFIGTEFQLSDILTKALGRVRFQELRHGIGMKKLALVL >Sspon.08G0010360-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:45381424:45390480:1 gene:Sspon.08G0010360-1A transcript:Sspon.08G0010360-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKAAAEGSQPVTPEGSVDAAPAATAGTKAMEALLLSLSIWPPSQRTRNAVVRCLVQMLTAPNILSQCYDAVLEPDAEHAAAAIEFQQFLASAVSSRPSPVSGLHGPLLPQPYHTTNAAMASSADPDASTPPSAPQPEPARKAVRVVVKGRVTGVGFRDWTASTAESLGLAGWVRNRRDGSVEALLSGDPAKIEDMITRRLPVGPPVATVTAVVPSPAEPVDPSAGFEIKFTV >Sspon.06G0017430-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:94756702:94760002:-1 gene:Sspon.06G0017430-1A transcript:Sspon.06G0017430-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoserine phosphatase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G18640) UniProtKB/Swiss-Prot;Acc:O82796] GMAGLISLRAGARSSPSPARSSSARPPPASQVAVRLTSPLFRCAKLCKSRNLLAAALEVSKDGSSGVLANTLPSTGAIETLQSADAVCFDVDSTVILDEGIDELADFCGAGKAVAEWTARAMTGTVPFEEALAARLSLIKPSLSQVEECLEKRPPRISPGMADLVKKLKSNNIDVFLVSGGFRQMIQPVAFELGIPPENITANQLLFGTSGEYAGFDPTEPTSRSGGKAKAVQQIKQDHGYKTVVMIGDGATDLQARQPGGADLFICYAGVQMREPVAAEADWVVFDFQELITKLP >Sspon.08G0029860-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:51854388:51864409:1 gene:Sspon.08G0029860-1D transcript:Sspon.08G0029860-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAESDHGNCNAWAARDPSGVLSPYKFNRRHEIAGVVTQVGADVKGFKVGDHIGVGTYVNSCRDCENCNSSLENHCPERVFTFNGIDTDGTVTMGGYSTHIVVHERYCFKIPNGYPLAKAAPLLCAGITVYTPMMRHNMNQPGKSLGVIGLGGLGHMAVKFGKAFGLKVTVLSTSESKRDEAINLLGADNFVISSDTQQMESLKNSLHFIVDTAAGDHPFDPYLSLLKVGGVMTLVGFPSEIKMHPASLLLGNSISVQMAAESENGNCDAWAARDPSGVLSPYSFNRRAVQSSDVALKIIYCGVCYADVVWTRNMHHDSKYPVVPGHEIAGVVIQVGADVKGFKVGDHVGVGTYVNSCRDCEHCNSSLENHCPKGVYTFNGIDTDGTVTKGGYSTHIVVHERYCFQIPDGYPLAKAAPLLCAGITVYTPMMRHNMNQPGKSLGVIGLGGLGHMAVKFGKAFGLKVTVLSTSESKRHEAISLLGADNFVISSDTQQMESLKNSLHFIVDTASGDHPFDPYLSLLKVGGVMAIVGFPSEIKMHPASLNLGARTLSGSVTGGTKDIQEMVNFCAANKIYPEIEIIKIDYINEALTRLVNRDVKYRFVIDIENSFE >Sspon.05G0015270-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:56866423:56870070:1 gene:Sspon.05G0015270-4D transcript:Sspon.05G0015270-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAICLMAASCASSATTLVSQSRGCFSGGVTQMESFLNKFFPEVVSGMKSAKRDAYCMYDNQLLTAFTSSMYIGSSLSSLVASRVTRRVGRQSVMLIGGVLFLVGSIINAGAVSVGMLIMGQMLLGFGVGFTTQAAPLYLAETSPPRWRGAFTIAYHIFVCIGSVIANMVNYLTNSMPYWGWRISLGVAAVPAIIVIVGALLVTDSPSSLVLRGEPDKARASLQRIRGSDANIEAEFKDIVCAVEEACRNEQGAFKRLCNRGYRPYAVMMVAIPVFFQFTGMIVVFVFAPVLFRTVGFSSQKAILGSAIVNLVTLCAVVTSTFVVDRYGRRSLFLIGGISMILFQVAVSWILAEHLGKHNAVTMDRSYAMAVLVLMCLYTFSLGLSWDSLKWVILSEIHPVETRSVGQAISMTVAFILYFVQAQVFTTLLCNLKFGIFLFFAGWVLAMTAFITVFLPETKGVPLEAMRAVWARH >Sspon.01G0030620-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:104876903:104879676:-1 gene:Sspon.01G0030620-1P transcript:Sspon.01G0030620-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQILRRKVLEASRGIPLFYAAHSGRAHAVATLAGAAARWAPPAASGSLAAAPWAATQRRGAKMLGSDVIKAQHLHQGRGGATIQVELRDVDTGNKITERFRTDEALERVFVEEKSFTYLYQEGDTVTLMEPETFEQIEVSKELFGKAAAYLKDEMKVNLQYFDGRPMSATVPQRVTCTVVEAQPNTKGLTAQP >Sspon.04G0013880-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:57099876:57103959:-1 gene:Sspon.04G0013880-4D transcript:Sspon.04G0013880-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAVGAANWLLGKVLRKLSDDLVAGYVASPRPQLRQDHGPTEPHARAAACGPGRDVGDNPGLQRLLDGLCKKADEAEDALDELHYFMIQDELDGTREATPELGDGLGAQFLHAGHAARNTAGKWLSCFSCCRSQDAAAAVSSGTHNTSEAKSDDKLPFDRVAMSNKIKQLLEDMRSKCSLISDLLKINPSSSLQLSMPASTKRPDTSSEITQEKLFGRDAIFGKTKNQMINAAQNGEILSVLPIVGPRGIGKTTFTQHLYNDTRLEKHFTVKVWICVSTNFDVFNLSKEILSCLLATDNEGNKIANETANLDLLQKSIAERIKSKRFLIVLDDIWECNSIHEWEKLLAPFKKGETTGNMVLATTRFPKIADMVTKVIDPIDLHGLDPDEFWRFFQVCAFGEIQEDHHDKEDLIDIGKQIASKLKCSPLAAKTVGRLLSKKPCHSHWVEVLEKKEWLNQKHDDDIMPALKISYDYLPFHLKKCFSYCALYPEDYKFQSLEMGCFWILVIRMIIEGIGSKYLEELLDNGFLMEGMINYVMHDLMHELAQMVSSKECAHINCSSFRADNIPSSIRHLSILMQNKCIENFGGEMITKERNRHGNCGILCHTTFKAYPPSVPKTEITSLLKTCPVLSLEILGLQDWEAVVICLKALAALFHVKKESVGFELRELGQLEKIGGALDIYGLENVTTREEANEAKLMAKRNLLSGFNLEWRQPSMKMIFGLGELTHSYSQGLKESVALIVPSSLHYRSQGSLICVVFTPMHARSCACLLCLTRPSYLLSKQTAFIIATPCELALHNLGEVERLRIEDAPVISFADLQKLHPLRRIEVGRCYETFLRALDDGIVLQSVQTLQLEKFRVTGKSLASLFRCFPSLSGLNLKASDEDHDERKSYCSFHPPAHSDMYGWTGDGCGFCGLLSLESVSIVNCGKLFSGWSTAGADCSSINPFPPCVKDLQFWSEPSTLSMALLSNLTSLTRLALVNCKNVTVDGFNPLIICKLEHLWVSNRKEDGETEPYSISVAGDLLAEVSRTKTMPAGSFQLVSLQVDCISAVLVAPICTCLSATLRTLWFSYDWQAENFTEEQDQALQLLTSLEYLNIDDCRALRIRSLPKEGFPDSLQDLIISNCCPELYEECQQLRGTRPDID >Sspon.04G0033140-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:44466849:44469473:-1 gene:Sspon.04G0033140-2D transcript:Sspon.04G0033140-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding KNPHDFHRRRLSNPFPGAPPPALLGWGCGWPGLAVDGPVAGFRRARDGGGWFRARGRRRRLVRGLQASAAAGSRRVGWSTVVPSWPRWALPRPAPRRVEERRFLAGGLDVVGRGAQDWFHAGSHRAELYKATGGRCVRKCVADVQFKGRGVGLNVDNEKPTTCLNAALQEANPTSPILKREDILAYFLNQVARELSYKMHMKPSQAVSSLSRG >Sspon.07G0032570-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7C:51641228:51643259:1 gene:Sspon.07G0032570-1C transcript:Sspon.07G0032570-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGEWNGLQAKFREDCPYAYYVHCFAHQLQLALVAAAKEVYEVENFFDHLSFIVNTVVSSSKRNDDLHANQVAEMERLIELGDLETESGANQVGTLRRSGDTRWSSHYDSVCSLLRAYKATYLVLKDIATTKGPGSTASVRGKAAGAIRLMMSFDFVFILHLMKELMGIMDLLCRKLQQKSQDIVNAMDDVATTKKLIQNLRDHGWSKLLEEVTTFCTKQGITIPDMNGFYADYIRSRSSSETTVEHHYRYDVFIVAVDQQLQDLNSRFSEQATELLVLCTSLDPKDSFSSSKIDDVCTLASKFYPATSQIKK >Sspon.01G0032870-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:111960025:111963883:-1 gene:Sspon.01G0032870-2P transcript:Sspon.01G0032870-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMTTPHLPVTVAVLLLFLCLPATPPAAAAAADPTPTPWPPQFHATLVMDYHGNMSVADLWYDWPGGRNLHVTRYQLAADTPFYDNEWNNGTSFFYTPARRTCRSAAVGVGILRPDWLRPGAVYLGRRDAGGFDCHVWAKADFITYYEDVKTKRPVKWVFYTGRTAYVMSFEVGAVLEDAAWQAPEYCFSKDGGLADAETTTKISDGHHGSSFIPRSV >Sspon.03G0024420-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:93147200:93149962:-1 gene:Sspon.03G0024420-1P transcript:Sspon.03G0024420-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRFAETEGKRAHDPLYSPRRAARATATGFPVGEHGEVLAGPVIVGAGPAGLAVAACLTMRGVPYVLLERHGCVASLWRHRTYHRLRLHLPKRYCQLPLMPFPPSYPAYPTREQFLAYLEDYIATFGIRPFFHQAVVSAEHDGDFWCVSTVDGGGGSGAIAREYRSKWLVVATGENAEPVVPDIDGIHAFRGLVMHSSDYRSGEDYRGKKVLVVGCGNSGMEVSLDLSNHNVQTSMVVRDSVHVLPREIMGLSTFGLSMWLLMYLSVQTVDQVLLLLTQLMLGNTARLGIPRPSIGPMELKKVSGKTPVLDVGTIAKIKSGDIKVFPAIQSFQEHGVQFIDGKTESFDIVILATGYKSNVPYWLKEKDFFSEKNGFPHNQMNGKERMGFMLLGSQDVGSL >Sspon.01G0056640-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1C:91113886:91117209:-1 gene:Sspon.01G0056640-1C transcript:Sspon.01G0056640-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSIESLLDLSTMTIEEALGRLKVVDGDEPQRLSGPVTIGGKLLLTREQWATGQGDRKKGEPSSTTGGRKRGKPRKDAQSGARGRAEGDDHGGAQGGAAGKQKPAREDPCYNRGKLGHWAKDCRQPRRGQTHVAQADEEEQPALFIAHGSIELPPAAPAATALLHLDEPKAHALLDDSSGNDKTDGWCLDTGATHHMTGRRKLFTELDSGVRGTVKFGDASAVEIKGVGSVIFVTKTGEHRLLTGVYYIPALRNSIISLGQLDESGSRMEIEHGVLRIWDHRHRLLVKVNRSNRLYVLHAQVAQPLCLVARRDDDAWRWHERFGHLNFEALRQLGSKEMVRGMPHVDHVEQFYDTCVLTKLRRLPFPRQESFCAKEKLELVHGDLYGLVTPATPRGRRYLLLLVDDVSRYMWAVLLDAKAAAADVIKRLQATAETECGHKLWVLRTDNGGEFMAAEFARGMPAIYWGEVVMTAVHLLNRSPTKALDGKTPYEAWHGRKSAVSHLRVFGCLAFVKELNHIGKLDDRSTPGVFIGYVEGAKAYCVLDPATRRVRITRDVVFDEGRSWTWGKMVDDGSTPMTNDFVVDYVHFKEAGGASSSSSPSSPTPPPRSPPAPASPSPPPPPAPASPQAPASPPPAPPATPHSPTPDPTPSGSAPAASAHDEQRTVEFATPLSNDEDRIDAYHGGEPLRYRTVDNLLGEQLMEMDAVERNKTWELADLPAGHHAISLKWVFKLKKDETGEVIKHKARLVARGFVQQEGIDFDDTFVPVARMESVRLLLALAAQEGWRVHHMDVKSAFLNGDLKEVYVHQPPGFAIPGKEGKVLRLRKALYGLRQAPRAWNTKLDSTLKGMGFEQSPHEAAIYRRGSGGKALLVGVYVDDLVITGTKDAEVAAFKEEMKATFQMSDLGSLSFYLGIEVHQDNSGITLRQTTYAKRIVELAGLTDCNPALTPMEERLKLSRDSTTEEVDATSPTHGRTWHSPSATLVGSCNDRRRSTSRL >Sspon.03G0039510-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:16883894:16890137:1 gene:Sspon.03G0039510-1P transcript:Sspon.03G0039510-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKISKGRKKMRNKQRKSSALSSDAGCGSSLSCIVWSLVGFGLVVCFLSLKHQADSGQSHVYFSPLHATRELEDIEEDNFRLPPPHKVNPRAVKRRGPRKLPKIIDDYLEESSAVHALFFPDQRTAVDPTKGGNDSMYFYPGRVWLDTDGNSIQAHGGGIMYDHKHAKFYWYGENKDGPTYQARSKGTQRVDIIGVSCYSSKDLWSWTHEGIVLPGEPTNVTHDLHRSKVLERPKVIYNDRTEKYIMWMHIDDANYTKASVGVAVSNSPTGPFTYLYSFRPHGFESRDMTVFKDDDGTAYLFYSSRDNTELHVSPLTEDYIQITAAMKRILIRRHREAPAVFKHQGTYYMITSGCSGWAPNRALAHAAESIMGPWETLGNPCVGGNRFYRLTTFLSQSTFVLPLPGLPGTFIFMADRWSPSNLRDSRYVWLPLFIGGLADEPLDYSFGFPLWSRVSIYWHKKWRLPEDWKALCLVSGPHKRHGLAVGKQLEIGVPRRVGAACALVHDGDREREASAELGCLNGCRGTGGAWGEARSARDGGDKVSVA >Sspon.03G0000430-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:1353289:1354700:-1 gene:Sspon.03G0000430-1A transcript:Sspon.03G0000430-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEHRSRTHVGSLSKRNGPGHLGCFLLGALLPTAFLLFVDSDRAGALLSSMSSLGLGNGHLLPSNLTSHGGSAPAGAGADDQVMFKGLAELLPRVAMDDRTVIITSVNEAWAQPGSLLDLYLDSFKNGEDTAHLLDHLLVVALDARGFDRCKAVHPHCYHLNATSVDMSSAKRFMSPDYLELVWTKLVFQQRDCDMVWFRNPFRHFPVYADMSCSSDDFKPSRAPLDNPLNTGLYYMKSTNRTIEMMKYWRAARERFPRKHDQAVFVNIRHELVDKLQVRIEPLDTVYYGGFCEYHDDPEKICTIHADCCVGMDNKVHDLKDFAADWKNYTSLAPEARQKGAFKWTYPTRCRDSVRW >Sspon.05G0008630-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:25849274:25852668:1 gene:Sspon.05G0008630-1A transcript:Sspon.05G0008630-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLERGSLLVGGRELLVRAPPNVTLRPAGTGVADDDARAAFLGARAAAASSRHVFSVRHLASGWRWLSLFRFKIWWMMPTTGVGAAAVPAETQMLLLESTIEAGSEAATERGSLYALMLPVLDGGFRASLQGSPEDELQFCFESAFHIFSFSHVLFTFITKYQIPSNLDWFGWCTWDAFYKAVNPSGIEEGLQRLSDLKENHKFRGETCKNLEDLIKKIKEKHGVKYVYMWHALLGYWGGILATSDAMKKYNPEFVYPVQSPGNVANLRDIAMDSLEKFGVGIVDPDKIYEFYNDQHSYLSSVGVDGVKSKHESAEFHGAARALSGGGVYVSDKPGVHDFSVLKKLVLPDGSILRARYAGRPTRDCLFTDPVMDGKSLLKIWNVNNFTGVTGVFNCQGAGQWVWPVKETAYVPININITGQLSPSDVESLEEIAGDDWNGETAVYAFSSCSLSRLQKHQSLEVSLSTMTCEIYNISPIKIFSEVVRFAPLGLIDMFNSGGALHNVSSIADSSATTIHIRCQGPG >Sspon.02G0027900-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:103947058:103950538:-1 gene:Sspon.02G0027900-2D transcript:Sspon.02G0027900-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMRSVVGKLKALTPRMPRFGAAPPKDMLGYKRPLIDPTKYDSVKELNEALPALLDKKFKAEREAFERYLKSYNRVTYMTTAAGFGAITWFALWFLDPLLEDD >Sspon.05G0020800-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:81736002:81751722:-1 gene:Sspon.05G0020800-2P transcript:Sspon.05G0020800-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable serine/threonine-protein kinase PBL8 [Source:Projected from Arabidopsis thaliana (AT5G01020) UniProtKB/Swiss-Prot;Acc:Q8GXZ3] MGNCGTREENAVVAAHAQVQQLHLLQHPAKNALADKKHTRSSSDISDPSTPRKIEDAKNISIYNDVIAFTLFELETITKSFRADYVLGEGGFGTVYKGYIDENVRVGLKSLPVAVKVLNKDGHQGHREWLTEVNFLGQLRHPNLVKLIGYCCEDDHRLLVYEFMFRGSLENHLFRKTATPLPWGTRMSIALGAAKGLACLHNAQRPVIYRDFKTSNILLDSDYTAKLSDFGLAKAGPEGDETHVSTRVMGTYGYAAPEYVLTGHLTARSDVYSFGVVLLELLTGRKSIDKSRPSREQSLVDWALPKLNDKRRLLQIIDPKLEGQYSIRAAHKACSLAFYCLSHNPKARPLMSDVVETLEPLQGSGGSDGAGQSSGLPDYRARRRLTGNNVHFRAIPNPKCSPAVPSAVMVATNGAVSVAAGIVQQLHLLQHPAKNALADKKHTRSSSDISDPSTPRKIEDAKNISIYNDVIAFTLFELETITKSFRADYVLGEGGFGTVYKGYIDENVRVGLKSLPVAVKVLNKDGHQGHREWLVSTQTEVNFLGQLRHPNLVKLIETATPLPWGTRMSIALGAAKGLACLHNAQRPVIYRDFKTSNILLDSDYTAKLSDFGLAKAGPEGDETHVSTRVMGTYGYAAPEYSQKSHLTARSDVYSFGVVLLELLTGRKSIDKSRPSREQSLVDWALPKLNDKRRLLQIIDPKLEGQYSIRAAHKACSLAFYCLSHNPKARPLMSDVVETLEPLQGGGGSDGAGQSSGLPDYRARRRLTGNNVHFRAIPNPKCSPAVPGLQSAVMVATNGAVSVAAGIGSVVQRL >Sspon.01G0009900-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1A:27959730:27960269:1 gene:Sspon.01G0009900-1A transcript:Sspon.01G0009900-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAVAVSSSLNPDAPLFIPAALLQVEDFSPQWWDLITTTAWFRDHWSREHAHLDEMAEQLDAASLLPDDEDLFYDDQLEQATAAVDPAPAAAAFKTGADLPPSRSDSRSVLKTNRSELICERWCDFPPPDEVLKALNLTSPKGGDAPRGFREKPRHSEKPTKYAGSPKGSAPRVIHQPR >Sspon.06G0007860-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:39496513:39509074:-1 gene:Sspon.06G0007860-1A transcript:Sspon.06G0007860-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEQVEPTVVEIVERSLEFCLLHLEKSSYVCDDFGLLNEVACFVESVLLNGTLNKASSLEPSIINDIIAQWTSVQAETERMSPQEKYFCYLKGFNCSKSEDDLKRFCLALLPEYLQRDYVILENTQSCSAVSPNAMVPLAQHFAVAHLRCMPRLLTLVQKLCQFPALEMVEDINIDLRLSFTQRILKLVCGLTLEFPPDASDAMMLSSVARCADSLPALFRLKFKFSNHDKVFAVDGVGTILLQILEEFLQVMQNISVCNSNICCTVKVCILSSMLEIFSPNIWRYEKCGTCLVPPLAYSPHVVQFVLKLFKDAKRWTSRVDRDKPDKDVMDYSCNKDTSDLSCHIRSEEVPLLKKYTCEEYLWLIFPSGHQWLSGLVHLTFFLHEEGLKSLTSEKQHLSCTKQAVVSELESVASHEEDALFGNLFAEVRPAGLNESVEQSTSLGSSPSNSQQGFIQLAADLMCFMKTSILSPEWSSAIYMDACRKFSTCHLDQFLSILKCQACFPDESSTGNMMSSSENKLPHINAACFELLQTFLVCDECPASLREYLVEKVFNIESGKYTYNHYTLALVVHAMSYTSNSGFSLGRKIFVQYVTYLLEKANDTSSSSLNVSDFCASLPCPFHLEIVLAAFHLTTESEKADLVKIVLSSLEKMKQPVSGVTVAGLTRWALLLSRLLLVLRHMLLYPFTHPSWLFTRLRSRLWDIQLKEGQSSSTNDCLPSLATVIVEELFGGSVKKSSVASNLLPQLIDVTPVHAEFYFDKAAVETLGLNLAYLGATMSQILGSWSGRSPEVAEDLIVERYIFLICWSTLSGICCQGNDSVPNNYYLEPDLADVNAFLTFALSISSGASSHVGVDLPVLIFQLLKLLHSDILGSSTLESWDFPRKGAWISFILSHINSVLRRQQTGGESEVDSHRIQEVHGEDLFTCGKNLSIYITENSGHCLDILSSLLETYLRTFKKAYLSFLERSSLDTCYPSLLLKHSVFDKSKHHLLFEKSGSYLEMLEPICKVSSRIDRVTTKLGEGQRKYYFQKCLLHGFPSDYISSNSALLSCILVINEIMQTLLDT >Sspon.02G0049040-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:7171550:7173992:1 gene:Sspon.02G0049040-2D transcript:Sspon.02G0049040-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Origin of replication complex subunit 6 [Source:Projected from Arabidopsis thaliana (AT1G26840) UniProtKB/Swiss-Prot;Acc:Q9ZVH3] MDMSAIAARLGLSGSRPVIRKAGELRRLCDVNFDSSVLGIGEVCKAIICLEIAASKFQVIFDRAEAVRMSGMSEKAYIRSFNALQNGLGVKTTLDVRELGIQFGCVRLIPFVQKGLSLYKERFLAALPPSRRASTDFGRPVFTAAAFYLCAKRHKLKVDKLKLIDLCGTSSTEFTTVSTSMTDLCFDVFGIAKEKKDPKTIKGNRELLDVLPSKRKHEDDSDSSASDESSDDDLDELDLPTHKRRKKMEKEAYNDWKSSVLSSNKKTKTDPAKPRRQARLNFKKPAEVPSAAN >Sspon.06G0004800-3C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6C:13406130:13408314:1 gene:Sspon.06G0004800-3C transcript:Sspon.06G0004800-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVFGGDSWARDAQQRKRRLDDLLLPTTASSSPSTPDSFRKLPSGKLACLVCPHRPVLDTPLMLSMHNKGARHIAAESRLREKELSKKHEINKRLALSSDASHSKFCNPCTGVRPTSIKEKPLIKETQRAILEAQSSSFNDFKGKKVSHDSKWTTNASSFDSNVAPSDVPMELSTGNTGSGSREWNCKGESFAGNQTQDKLFSDRQTEVRKRQEQELRFTASGWKRDGHGRWYRDENVEFDSDEDDPNICLS >Sspon.06G0006370-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6A:22616739:22624505:-1 gene:Sspon.06G0006370-1A transcript:Sspon.06G0006370-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VGSYGSEHTPVFPRQQSWDPYKLLGVDHDASEEEVRSARNFLLKQYAGYEESEEAIEGAYDKIIMSSYSHRKKSKINLKSKLKKQVEESPSWLKALLGYFEVPSLEIISRRFAFFAFFAGWSIATSAETGPPFRYHAMTGSSFCNPSADRRISALEYSSYLTGSYRRGCSPSTTSSSIARTVALSLDRQPNRTEKRSRLLGVLTRWRHAGTRPFVTTCATYANATYQSGDPDCSEKIK >Sspon.05G0005890-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:20383312:20385454:1 gene:Sspon.05G0005890-4D transcript:Sspon.05G0005890-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKAVINAVLFPALAVVVALAIFCFVRRRRRRGRGRSVLPSHGGDGGARADRFQAAGASGGYVAGGEEALLRFPGGEALTVAAILEAPGEVVAKSSHSTLYRAGLSAGEAVALLRFVRPACAAGAEDAAAAARVLGAVRHPNLVPIRALYIGPRGEKLLVHPFYAAGSLRRFLQEGINDSQRWEIICKLSIGIVKGLDHLHTASQKPIIHGNLKTNNIMLDADFQPRISDFGLYLLLNPAAAQEMLEISAVQGYKAPELIKMRDTTRESDIYSLGVIMLEMLAQKEVANDKSPNARDIHLPASFKDLVLERKMSEAFSSELIKQSKNSGKEDNLNSYFELATACCNPSPSLRPDTKKILKRLEDIAR >Sspon.03G0008450-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:22748535:22759074:-1 gene:Sspon.03G0008450-1A transcript:Sspon.03G0008450-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding KRRTSLFFALCIKRPSLLQHLFNVYGRSPKVVKQVLPIFPRLVDLPLGRFQDALARILQGTAHTGPALTPAEVLIAIHDINPEKDKLLMETHFRSRMLARLALSNARIPIPLLFMRTVIQALDAFPALVDFVMGILSRLVNKQIWKMPKLWVGFLKLSFQTQPRSFDVLLQHYTSYIYWA >Sspon.04G0010510-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4B:27658912:27659841:-1 gene:Sspon.04G0010510-2B transcript:Sspon.04G0010510-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MENMDASAGKGKNLIPDLNMEDRDSAGGSKDKPRSEAEVEVAAADADADKGKSVAVADDGEPNVNIALERERRKRMKDLFRALQDVMPHVPQKTDKVTLVGEAITYIKALKEKADMLGKQALVRQTATRGEASSSSSLSLTAMSQTAQGISALCASDVTRGWAGVPVPPAAPAMPASPLRCTTWTGPNVALTVANDNAYISVYATRRANILTMVISVLDNHGIDVITAQISSDRGRALFMIYAH >Sspon.01G0017980-3D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1D:63515931:63516401:1 gene:Sspon.01G0017980-3D transcript:Sspon.01G0017980-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Class III chitinase RCB4 (EC 3.2.1.14) [Source: Projected from Oryza sativa (Os10g0416100)] CCPCHRPPPCPNDHSSKLQPLPRLHRRHLQRCAVQRRADINPDVQFDFILSFVIDYTTTTDPPSPTNGQFGIFWQDSVLTPSAVAAIKQSNPNVRVAVSLGGATVNNSPVFFNVTSVDSWVENAVSSLTGIVQQYGLDGIDIVPGGPGHLRRVHRPP >Sspon.01G0046120-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:86927582:86934104:-1 gene:Sspon.01G0046120-2C transcript:Sspon.01G0046120-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFFEAVFEGDIPRLRGKPIVDIPTWNRSPLHAADAAAAGPPATLVSPCILRWEMTSGKDAEEKALLADVCFDGLGPLQVAARMGRLDVVGYLVEDLGFDVNHGSAGFGLTALSSAALDGRLDTVRYLLDKGADPNMQDELGEVPLHVLQNMESERHDKVTELLLSRGASVDLTYFHATPLHIVVVYGKASVMKILLEYHADPNMVSEVLGTPLVATLHATTEGLEECISLKCVKAGADVNSTDPDTPLVVATTHGLTYCIKYLLKAGANANIPNSCCGAMPIETAASCGRRKHVEMLFPFTSPIQTVSKWTVDGIISHVKLSKHLKHSKHSKPKVGPYDESSKVKLKLDGDKAVGRKNYPATSKLYGEAEQYDKSMKDELKLNGDKAVGRKDYLTASKLYGKAIELDPADATLYSNRSLCLLQIGEATEALSDASTCIKMRPEWIKGYYRKGTALMSLKLKSSSQEYKEACNAFMAGFKLDPSNAVMERMFWEAAEAMKKDHVGTKDLESID >Sspon.06G0017580-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:95392516:95396219:-1 gene:Sspon.06G0017580-1A transcript:Sspon.06G0017580-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GDEPGTVAGAAGFLHLDCLVIFLASCLPERRAGSPQAVVGAIMPFCCVRADGQAEPAVPRCIPEAGS >Sspon.07G0002520-1T-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7B:4924977:4925972:-1 gene:Sspon.07G0002520-1T transcript:Sspon.07G0002520-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSITKRTKKAGIVGKYGTRYGASLRKQIKKMEVSQHSKYFCEFCGKFAVKRKAVGIWGCKDCGKVKAGGAYTMNTASAVTVRSTIRRLREQTEA >Sspon.04G0015010-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:62468017:62469949:-1 gene:Sspon.04G0015010-3D transcript:Sspon.04G0015010-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MELPSIDFGESLELSSAMSMESLSCSGDVEAEMRRLRLELKQTMEMYNSACKEAVDAKQKAAQMHQMKVEESKKYQELRNAEEEALALVEMEKAKCRAALEAAEAAQKIAELEAQKRLRAEWKAKREAEDRKKATDALNKNDIRYRRYSIDDIEAATHKFDKALKIGEGGYGPVYKAVLDHTNVAIKILRPDASQGRKQFQQEIEVLSCMRHPNMVLLLGACPEYGCLVYEYMDYGSLEDRLCRRGKTLPIPWSIRFRIAADIATGLLFLHQAKPEPLVHRDLKPANILLDHNFVSKISDVGLARLVPQSAADVTQYRMTSTAGTFCYIDPEYQQTGMLTTKSDIYSLGIMLLQIITARSPMGLTHHVENAIERGAFQEILDPTVTDWPVEEALEFAKLALQCAELRKKDRPDLGKEILPELTRLRNLGHDYETSQVSSASTNCSSSAPYSFNNDDT >Sspon.02G0025620-4D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2D:84357774:84358160:-1 gene:Sspon.02G0025620-4D transcript:Sspon.02G0025620-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VFTGDATCRTKSVELLEEFGIPKGLLPLEDIQEFGYNHDSGFIWLVQRKKVEHTFKKVKKTVSYAAEVTAFIEKGKLRKITGVKVKELMLWISIVEMYVPEASPDKVTFKSGNGLSRTLDAAAFELGE >Sspon.02G0010090-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:22561877:22564228:1 gene:Sspon.02G0010090-1P transcript:Sspon.02G0010090-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ATAAPPPWTEIPLWEKDEWACVDKNRVGEASRREGKSDFRCDQVDRVKKFTGMAKGTPAVPVRRDEDESLVLFGELYKHEKERDMNLLEPMFSVEFEAIQGDGRMFKLPSGKRDYLLPDSEKHDYDWYKTPPATPLFPSLEMEANSSQMIFQKELPILQPIRTSRVSSKPDSTTASTTSESPTSSSTTSVTPTARPSSSSSSKKKLNREAPTPSKDQDSAYRMDKKSSYTPLTNRQHNSIPAAPTAAAAATTTATKASKKTSGYKSQPSNAVKNVAGLDKAPKKNVTATTTKPRLNDSSAGAKDQKVDAGTTRRLSCPPAGTTDNAQVTATLKGRSRAATSAVPTARKGAGATATDAVLKGRRRAGEQEQRPKLRIPRGQVVAAASIVTSRWDALIFRKECRESNIRFHPM >Sspon.03G0003600-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:9275711:9278518:1 gene:Sspon.03G0003600-1A transcript:Sspon.03G0003600-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARNATEDDIEGVPCSDAESPILAEYHITVPALHDGPMQGTARHERRLLDFLEATPSVQWLKKINLCSPLIKFRLPSTSVHGSLHVQFIRTINWSSVFTLCKKWLKHPMNIALLIWLLCVGAAGGMLVLLMLGLLNDAFPSKPLRNYWIEIDNQILNALFTLMSLYEHPNIIHHTVLLCRWLPEDAAKLRKVYCKNGICRPNERAHISFVVALLHITCLCQYADCGLYWGYPSRSRSDFADNFFFILGIAAPVFAGVYTVYSPLGRGNDALSDEETNESGMVQVESPETGTVVSNPSWAGGLFDCSEDPTACYLSFLCTFCVFGWNMERLGFGNMYVHTVIFLLLCVAPFWVFNITAMKIHNYVLGDFIGAAGIVLCFFGLLYGGFWRIQMRKTFGLPRRSWCFGSASLTDYTQWLFCWPCVLAQEVRTGNLYDAKNGSFCEKLMDGDDVESGPGSMVTAELPVSNGVEEGNGIDVKLAVDGEMIPPTQPVIECGEREEIDSEVVANDMESYQLETEINKVTVTGNVTPEEVVKALHKIGKTATSWAED >Sspon.02G0059730-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2D:99104586:99108758:-1 gene:Sspon.02G0059730-1D transcript:Sspon.02G0059730-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFLGFLRGLGRFVCFPVLRTAAYYYFLRGVDSRKKSLAWLGSFVSGTVRVVSFASTNSSEETSGAGTRGSMERVKHENFCRAVAEDNTALLLSAVGNFRKEAMCRIRKGSDASRVLDQEMSVRLLHLACKHDAVECARLLLEGGSGITAAPIDARDQLTRTPLHVAAETHSARCIELLLSKNARTDLRVVDGRPLLPLEIALMSRRVQTKWSVDNPIEDLLSFLKQRDLNAVRLLAEKTRVVGELAYREISSQVSVVIEGVRTKRSIYNSIVDEALSMGDASARDGNERRKALLCEIQLLNQFGSASWRDHNDRRTLPPLLRAAKVGDMNVIKMLLMGNVDVNEADSEGNTALHWCLSGASCTKEPRIVWLLLKNGARVFQGNKLGLTPVHSAAAKGNYKALQSLLLHAQDCVDIPTKTKETPLFFAVKNGSVDCAKLLLRFGADTKARNLSCNGQARSSI >Sspon.07G0011680-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:41633020:41636489:-1 gene:Sspon.07G0011680-1A transcript:Sspon.07G0011680-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVPPVESETESETYCHPLLMEHVIGIPRDDVASTSTPRRHNNDGMDQLPRDSESSSGTTAASNSPNIPIARRDDNRRRRQQSLNSGFWISIELVVNLSQIIAAICVLSVSRNEHPHAPLFEWVIGYTIGCIATLPHLYWRYLQRNQLPTVQGSNQNYVPDNSFESNSFTGISPPHVSEAGVVTVTNGVSRNNTVTTNPRAQAFADHFKMALDCFFAVWFVVGNVWVFGGHSSAHDAPNLYRLCIAFLTFSCIGYAMPFILCALICCCLPCIISLMGFQEDLSENRGATSDVINALGTYKFKSKKPRNGQGNEAGGGVFAPGTDKERAVSAEDAVCCICLARYVDNDDLRLLPCGHFFHKDCVDKWLKINALCPLCKTEIDVAPTTTPPAIGFGRRHSDNRVGNDIESQR >Sspon.02G0011010-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:24140749:24142444:1 gene:Sspon.02G0011010-4D transcript:Sspon.02G0011010-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPLLTQKIVKKRVKQFKRPHLDRYKCLKPSWRRPKGIDSRVRRKFKGCTLMPNIGYGSDKKTRHYLPNKFKKFVVHNVSELELLMMHNRTYCAEIAHNVSTKKRKEIVERAAQLDIVVTNKLARLRSQEDE >Sspon.07G0011230-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:38732131:38732568:-1 gene:Sspon.07G0011230-1A transcript:Sspon.07G0011230-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGGGGVSCAVAGEAPTPGRAPHHHRVGAGGRLGLTIDPAGGDDGEAPAERIGRLVRESPVVIFARRGCCMCHVMRQLLQAVGAHATVIELEEAAEEAAASAAAAAAVPALFVGGAPVGGLDGLMGLHLSGLLVPRLREVGALCG >Sspon.08G0025170-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:6757909:6763868:-1 gene:Sspon.08G0025170-2D transcript:Sspon.08G0025170-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding APVADQYLRECLQMLVNNFTPPGPLIRYIEEPRWLAKKEEIYSQLQASLKKISDTVPLAPRMLKDIIDRSMPKLFDNKAKMVSFVECMLGLDADVWDIIGPSLLEKVVYLLTELDVNITWEDILQDEHNKGIFDMELEDLDEDEDNLGQEGTKVLFGGNVCAEKLDGLMVVVCEHLKSRAEEPDRLIKEFNTLKAIFKSHVLRVHKSKFAQFIMFYACSLYPEICGDGFAVFLADIFIDKDKKEDAITRMSAVSYVGSYLSRARFISVNTVVAVLKRLVEWCGDYCGCQLDKGVTANPIKHQLFYASCQAVMYVLCFRLRSIMDYPNLKLQLFQMRIQNILAHPLEPLKVCLPSIVNEFLRQARAASLFHASVNSTHEDAVESDLSKAFGGLNRLDMFFPFDPYLLKESDRYIRPNFEFWSLVKTTYSNNNSDEDDEELADIDAPGMNVGSLDDHFEIDFNNDDDIEYSMNKMSITPHRTFYHPLATNSEFSSMPARIRPSVSPPS >Sspon.05G0009470-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:30084255:30087559:1 gene:Sspon.05G0009470-4D transcript:Sspon.05G0009470-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALAIGSAPPRPGIAALARYSHLRRPGTLTVTAAAVTAARRPLTAASMDAAGGRPSPAPIRCTSAETDAEVDEVATSSHAAEADAGATTDTEQGGNGSPVAGAAEVAGVDGIRIRRRPVTGPAVHYVGPFQFRLENEGNTPRNILEKIIWNKDVEMKERRPLYMLKGPLEAAPPARDFVGSLKASYDRTALPALIAEVKKASPSRGVIRENFDPVQIAQAYEKNGAACLSVLTDEKYFQGSFNNLEAIRNAGVQCPLLCKEFIVDAWQLYYARSKGADAVLLIAAVLPDRDIKYMLKICKILGMAALVEVHDEREMDRVLGIDGVQLIGINNRNLETFEVDITNTKKLLEGERGQTIAQKDVIAVGESGLFTPDDISFVQNAGVKAVLVGESLIKQEDPGKAIAGLFGKDISHAGAT >Sspon.05G0007230-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:22693013:22694697:-1 gene:Sspon.05G0007230-1A transcript:Sspon.05G0007230-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGATTAKQARNGDRGAAKTTDGKADAAGAKAGDVGKGDAGNKAGGAMHHHGMSAVEAKDSQTIVALQAPVTVMRPVRGDLEEHVPKPYLARALAAPDIYHPDGTTDDEHRHHHMSVLQQHVAFFDRDDNGIIYPWETYSGCRALGFNMIMSFFVAVVVNGAMSYATLPGWLPSPLFPIYVHNIHKSKHGSDSGTYDNEGRFMPVNFENMFSKYARTSPDRLTYRELWSMTEGFREVFDLFGWVAAKLEWTILYVLARDDEGYLSREAMRRVYDGSLFEYVERQRAQHAKMS >Sspon.02G0013200-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:35370642:35372347:1 gene:Sspon.02G0013200-1A transcript:Sspon.02G0013200-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRKPRPLQQPAVRRAAWPVGLLFALCFTTLPLFLALSPGRPTLFDMWQQIGIRVAVHYDDEPKPSSEPSDSPLESRDILLGGLLSPDVSASTCLSRYLSSLYRKASPHSPSPYLVSRLRKYEALHRRCGPGTPLYDKSVRQLASAHNSMGLAECTYLVWTPGNHLGDRMVSMSSAFLYALLTRRVFLVDMAKDMAGLFCEPFPGASWELPPGFPVHNLTQLRRGSEHSYGSLLGAKKISNEDPVGVRSESLPSYAYLHLVHDYQLPDQRFFCDDDQTVLGKVNWLLLRSDLYFAPGLFLVPQFEDELRWMFPATDTVFHHIGRYLFHPSNKVWKMIQGYYTSYMAKFDEKIGIQITTLAWNPVSTEAYFNQIAACTSQEKILPGVDPKVASSEHEAAATSSKAVLIISAQPEYAERLKSMYYEHATVTGEPVSVLQPPGAGNQPQNHKVLVEMFLQSYCDVSVVSGWSTVGYVGHGLAGLSPWLLLPPTNQTVAHPPCVRAMSMEPCFHAPPSYDCRAKTNGDLGAVLRYLKRCED >Sspon.02G0001400-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:5496405:5498158:1 gene:Sspon.02G0001400-1P transcript:Sspon.02G0001400-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAHVVRRRLLAVALVAFAAATLRAAPAAAEDTILGHKCGMPAAARAGAGNSSDAAYRSNLNALAATLIAGARANGSAVGAAGASPSSSSPDAAYGLALCRGDFRGDACARGLRDALSSAVNDSENAFGCGPRLRDITLFYDRYQLRLSGADFLSGTDGETVPRWAGNNTNFVTPADAARRFDALVLELVTTIAGIAAGKPGRYATGPPDAVRPERCRACLDGLISAFGATFPSGQHGGRILVPRCTVRYETDDTFFNTTDLSADLNRPLDLNKPKQAKQLARSELRRLSIAVKNVINLWRLEEGNSGFSLYDFSQMKGATNGFSIENKLGQGGFGAVYKVVLPDGLEIE >Sspon.02G0021640-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:71069084:71073410:-1 gene:Sspon.02G0021640-2B transcript:Sspon.02G0021640-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKQNSSQFKKHWPVRRVYIGHTRRLSSRRVKWNEDNLYEIESNKPVRQKITEPKTPYHPMIDDDGSLSPTRPFDKCLDETVQAEAILTALNGVASSSSNSNSKDDGWGSSDDDTDAIEQDDDPEADTTRLSFKEHRRAHYDEYRKVKELMSTGSLTVEEADEDSRAATNSEYKGVGKRAANDDIKSSPQT >Sspon.02G0036760-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:22497077:22497934:1 gene:Sspon.02G0036760-3D transcript:Sspon.02G0036760-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDLLSPCSSFSPPSPPSFFSHAGHPVIEFASCEVPEQWLLDDVVMAKNEGYDDVDDLWPVGSSLSPDSELSEQPLPPQPPPPPPPPKQKQELTSVTAPTQQRPGKRRGRKPGPRPDGPTVSHVEAERQRREKLNRRFCDLRAAVPTVSRMDKASLLADAAAYIAELRTRIARLEAESRRSSAARWEPVAAAAAACGAADDEMVEVRMLGPAAAAVRATSAAPHAPARLMSALRCLELHVQHACVTRVNGMTVQDVVVDVATPLQDDDDGLRAALLQMMQDSAAT >Sspon.02G0044570-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2B:102697117:102701113:-1 gene:Sspon.02G0044570-1B transcript:Sspon.02G0044570-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELLATMVVGPLVSMVKDKASSYLLDQYKVMEGMEEQHEILKRKLPAIMDVIADAEEQAAAHREGAKAWLEALRKVAYQANDVFDEFKYEALRREAKKKGHYKELGFDVIKLFPTHNRVVFHCRMGNKLRQILVALEVLITEMHAFRFKFRPQPPMPKDWRQTDSNIIDPQKIASKSRDKEKEEVVKKLIGDQESNAQLKVLPIVGMGGLGKTTLAQLVYNDPQVKKHFQLQLWVCVSDNFEVDLVAKSIVEAEAKDKNISSTSGNSPLDKLKEALSGNRYLLVLDDVWNRDANKWGNLKSYLQHGSSGSAVLTTTRDQEVAKLMGTNNELYNLKGLHEDSIKEIIEARAFGLKNKGDSKLVEMVGDIAKRCAGSPLAATAMGSLLHTKASVEEWKAVLSKSTICDDETEILPVLKLSYNGLPSHMRQCFAFCAVFPKDYEIDVEKLIQLWMANGFIPEQHGVRPEITSKQIFMDLTSRSFFQDVKEVPFEFHDNIRVSRVTCKIHDLMHDVAQSSMGTDSATIEEEEQGNTKVLEALKPHDGLKVLDVDGYRGGTYPTWINTLQQMVKLTLSGCKNLKELPPLWQLAALKVLSLVGLESLTCLCSGDVAVTPFKELKELSLRRMPNFETWWVNELQGEESIFPQVEKLSISYCERLTALPKASMIKEPLPPCGVINTVWHSPFPALKELGLRNLPTFQRWEAVQGEEVTFPRLEKLVIRSCPELTSLPEAPNLRELVIWQGSQQMLVQVASCIVTASSLSKLVLLINDDREATWLDGNSLIQLVDGEEKQNHNKSPSPLTVMNLRGCNAFFSQSSALALWACLVQLEDLQIQACDALVHWPEEVFQSLESLRSLKIWRCNNLTGRRRASSEQSTPERSSVLLPRLESLDIQECACLVEVPTNVLLASLKSLHIYNCPKLEAIAFSKQLDTTSAMLASAQGVAAAHDEDGKSVLIAGSCSCSDATASTPVPMLSSSTKHHFLPCLEYLCISYCDGLSEVLDLPPSIKTLTIQSCSSLQALSGQLDAVQTLSIMGCSSLQSLESFLGELALLEELYLSDCKSLVSLPSGPQAYSSLRRLTINSCPGIKLLPQSLQQRLGDLKDEDKRLDARYQ >Sspon.01G0031140-1P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:103304522:103308021:1 gene:Sspon.01G0031140-1P transcript:Sspon.01G0031140-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDESGEASVGSFRIGPSTLLGRGVALRVLLFSSLWRLRARVYAAISRVRSSALPVAASWLHLRNTHGVLLMVVLFALFLRKLSGARSRAALARRRRQYEKAMLHAGTYEVWARAAKVLDKMSDKVHEADFYDEELIRNRLEELRRRREDGSLRDVVFCMRGDLVRNLGNMCNPELHKGRLEVPKLIKEYIEEVSTQLRMVCESDTDELLLEEKLAFVQETRHAFGRTALLLSGGASLGSFHVGVVKTLVEHKLLPRIIAGSSVGSIICSIVATRTWPEIESFFTDSLQTLQFFDRIGGIFAVMRRVTTYGALHDISQMQRLLRDLTSNLTFQEAYDMTGRVLGITVCSPRKNEPPRCLNYLTAPHVVIWSAVTASCAFPGLFEAQELMAKDRFGNIVPFHAPFATDPELGPGASKRRWRDGSLEMDLPMMRLKELFNVNHFIVSQTNPHISPLLRMKELVRAYGGHFAGKLARLAEMEVKYRCNQILEIGLPMGGLAKLFAQDWEGDVTMVMPATVAQYLKIIQNPTYAELQMAANQGRRCTWEKLSAIRANCAIELALDESIAVLNHKRRLKRSIERTAAASQGHSNYVRLRTPRRVPSWSCISRENSSESLSEEISAVATSSTQQGAALIVGTPALSHHVRRNSHDGSESESETIDLNSWTRSGGPLMRTASADKFISFIHNLEIDTELTRPCTVEDYTAGTSSESTFPSDPRPNNSSSVTMQGRCTENSETKSCNVVNNRASQASTPTISAVSEGDLLQPESTTNGILLNIVKRDALQAQNDSVTELAESSCAEAYVATCDAISGSDCAEDNKDAADSSNHSLDNDDFVDSRQP >Sspon.03G0007410-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3D:25235608:25238907:1 gene:Sspon.03G0007410-2D transcript:Sspon.03G0007410-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding QEGNERLFYKLLIDNVEELLPIVYTPTVGEACQKYGSIFSRPQGLYISLKEKGKILEVLKNWPERSIQVIVVTDGERILGLGDLGCQCLPITLDVGTNNEELLNDEFYIGLRQRRATGQEYTDFLQEFMSAVKQNYGEKVLIQFEDFANHNAFDLLARYGTTHLVFNDDIQGTASVVLAGLIAAQTLLGGSLADHTYLFLGAGEAGTGIAELIALEISRQTKAPIEECRKKIWLVDSKGLIVSTRKESLQHFKKPWAHEHKPVSNLLDAVNAIKPTVLIGTSGKGQTFTKDVVEAISSFNEGQAVFATGSPFDPVEYNGKIHVPGQANNAYIFPGFGLGVVMSGAIRVHDDMLLAASEALAQQVTEENFEKGLIYPPFSNIRKISAHIAANVAAKAYELGLASRRPRPKDLVKFAESCMYSPIYRNYR >Sspon.04G0018000-3D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4D:73889535:73891643:1 gene:Sspon.04G0018000-3D transcript:Sspon.04G0018000-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFFGIVLLLAMAYPATSCNEQEKSSLLQFLAGLSYEDGIAMSWQDGTDCCKWEGITCNETGTVIEVSLASRSLEGSISPSLSELTGLLRLNLSYNSLSGSLPSGLLSSGNITVLDVSFNRLSGNLQEPLLSITEHPLQVLNISSNMFTGEFPSTIWGKTRNLIAINASNNSFQGCIPASFCISSSSFSVLDLSFNQFSGSIPAGLGKCSELRIVKAGHNRLSGSLSEELFNATSLEHLSFPNNGLHGLLNGAHIMKLRNLANLDLGGNMLNGKIPESIGQLKRLLELHLNNNNMSGALPSALSNCTNIIMIDLKSNNFSGELQIINFFNLPNLQALDLLYNNFTGTIPESIYSCSNLIALRLSSNNLHGQLSPRISNLKSLVFLSLGANNFTNITNTLHILKYCRNLTTLLIGTSFKGEAMPQDEIIDGFQNLRVLSITDCSLSGNIPLWLSKLKNLEMLFLNRNQLSGSIPAWIKNLNSLFHLDLARNNLTGELPTALTEMPMLRTEVTTAHMDSRAFKFELPLYLAHSFQYRIASTFKRTLDLGNNNLTGVIPQEIVQLKSLQKLNFSFNGLSGEIPQQLSKLTNLQVLDLSSNHLTGAIPSALSNLHFLSEFNVSHNDLEGPIPSGGQLSTFPSSSFDGNPKLCGIIVTKLCGSVEAPTVSVPSTEKMDKRIAFVIAFGPFFGVGVLYDQIVLSRYFG >Sspon.06G0024250-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:35192246:35196426:-1 gene:Sspon.06G0024250-2C transcript:Sspon.06G0024250-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPRHCQGHIAQCLGRILACRGDTTVAAAGPAGGRRLSGAEFVDGVRSLAAGLVDRGLRPGHVVAAVALNSVEYVQLFLAVTYAGAIIAPLNYRWSFEEAAQAVELVQPSSFVFDGAFTSWALRLMESDRFPSIGLYLLLGDPCSTSHAAADFESVAHIKRSLRGAPVTEPMSAPGDVALICFTSGTTGRPKGVVISHTSLIIQSLAKIAIVGYDESDVYLHTAPLCHIGGISSCMAILMAGGCHVLIPKFDAKSAFDAIQEQGVTSFITVPAIMADLLSYARKEMISDWGKTVTKILNGGGGLSLDQINGASQLFPHAAIFSAYGMTEACSSLTFMALNIPKLQEPKNQPCSHYGGVCVGKPAPHVEIRIGMDGNNTSSSPTGNILTRGLHTMVGYWTNNRVDSSDCVRNGWLDTGDTGWMDKAGNVWLMGRQKGRIKTGGENVYPEEVELVLSQHPGVARAVVVGVPDSRLGEKVIACVSIKDGWKWVDARTKHQGKGKEVSPRILHEHCRTKKLSRLTLINGKSHEIQNI >Sspon.04G0012210-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:41532482:41534640:1 gene:Sspon.04G0012210-3C transcript:Sspon.04G0012210-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPVMTGADSTPDFGFESLFEDKDCCKGLSGFLIYGTSDFVTELPLEVGRFKEELSLGLHDISRKTDLVIAVHNLAHRIPQYQQSNTSRPQPALSLLLDEAKARSIPWILAITNKFSVSAHEQNTLISSAMEAYQASPEMIKVVNSSPFLMPSARNSLQRIRSATENLGNKDPANRSAYLPVNFVLSPFQRKDIVMHVEGVSALRQLVHQVVLNNEEQAFEELAREKAASLQMKQKPPKRDGSVTAAAVGASLGAGLGIG >Sspon.03G0031760-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:28976532:28977850:1 gene:Sspon.03G0031760-2D transcript:Sspon.03G0031760-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SMGRAPCCDKTSVKRGPWSPEEDELLRSYVHNHGTGGNWIALPHKAGLNRCGKSCRLRWLNYLRPDIKHGGYTEQEDRIICSLYNSIGSRWSIIASKLPGRTDNDVKNYWNTKLKKKAIAMQQQQQQQEYYHHHHQPHSSGGGRGRRGGALARPLAAPRSQQCASSMQPSPASASSAVTTASASDACSFGAMYPSPPRTLQAAAPVLARYDGTAPAPPLPPAPQQQQASSLAEFSPAPAPPPPGTWAGGLPLDDMFLPELLGDSEFPPGGDFFGTGFAPLLLQDRAASASLQELSACYFPNAQAEMWAAADHHVHVKPPAGLCHSLT >Sspon.04G0037350-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4D:68763523:68764558:-1 gene:Sspon.04G0037350-1D transcript:Sspon.04G0037350-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ASPRPDASACPASCASCCACPPAPLLLLRLPDIRDSASSSAELLLPRQDGGKRRERTERRGED >Sspon.03G0008130-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:22103741:22108143:1 gene:Sspon.03G0008130-1P transcript:Sspon.03G0008130-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAEVVVANGSGGADTKAAFKEIYSKLKEEMLEDPAFEFTDESLQWIDRMVDYNVLGGKCNRGLSVIDSYKILKGVDVLSKEETFLACTLGWCIEWLQAYFLVLDDIMDNSQTRRGQPCWFRVPQVGLIAVNDGIILRNHISRILQRHFKGKPYYVDLIDLFNEVEFKTASGQLLDLITTHEGEKDLTKYNLTVHRRIVQYKTAYYSFYLPVACALLLAGENLDNFGDVKNILVEMGTYFQVQDDYLDVLVILNSLARLGIGTDIEDYKCSWLVVQALERADENQKSILFENYGKSDPACVAKVKDLYKELKLEEVFHEYERESYNKLIADIEAQPSKAVQTVLKSFLHKIYKRDK >Sspon.01G0011110-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:37401313:37408252:1 gene:Sspon.01G0011110-2B transcript:Sspon.01G0011110-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRRPRPSPFTHRPELRNQGRGRTENDSRTKPPPVLAGPRECGPNLTDRSQNHGTPADDDRTDSRTEVKMRIVVVLSGEQVEALHAVGGSIDSEGSSSSSYVSQSYIFPFFPSSLVRRMKAFGSRQRTLSTAASRLRAGPEAIYLTRPAGPTGTPEVLRRRLDYIKVLQLDEKASKSTVMGKTSPPARALVKGGSVEPSMEMLLKSPEFEEQARTRIPSGGGRSRLAKEESTKTEGFSIQLVFNPRVSCLPVWPPACLPDTATTAAPLAGKPTQFQWIGCKWTQKACRTHRIPPSIKISPVPVLAGNPPPTVNLTVLLLLPPIAAPKSQPFPSPPSPPANMMHMTFYWGKSATILFDGWRTSTWAGYLLSLVALLLAAAFYQYLEALRVRMKLVGEGGGGGGAKAKPASSIPPPASSDPRTPLLAPAFAGRRWPARVAVATMFGVNSALGYLLMLAVMSFNGGVFVAVVVGLALGYLAFRSGDGKDLVVVDNPCACA >Sspon.01G0008780-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:27270643:27278981:-1 gene:Sspon.01G0008780-2B transcript:Sspon.01G0008780-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSQKSRVLGAMSSSLPILPNPFKGSFPRPCNPQHIPMLRQLPDDSMPLRNDIHQSASLHPRAGVIGAPYSGYSASPLDSVSNHDSQSMVAPYISQSSSFEAFPSLSDNTPGTHTEAAWFTSSMDVSPLYTDNIAAPDDNRIQSIPAMTSDETAKQNDWWADIMNDDWKDILDATATDSHSKAMIQTSNSATSLPAVNQSASSHSMEICPVASPPNSSNASVAKQRMRWTPELHECFVDAVNQLGGSEKATPKGVLKLMKVDGLTIYHVKSHLQKYRTARYKPDLSEGTSEKRTAAEELVLDLKTSMDLTEALRLQMEVQKRLHEQLEIQRKLQLRIEEQGKYLQMMFEKQSQSSTEKVQDPSSRDTAAKPSSTLNQSANKDSGATMDPNRTGDSAKTAELGE >Sspon.04G0018680-1P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4B:76873557:76873916:1 gene:Sspon.04G0018680-1P transcript:Sspon.04G0018680-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GLVDKEKLESFNLPVYGPSVGEVKELVMQSHLFNMDLIKQFEMNWDPFDDSEGDDVEDSACSSMNIAKLLRSALQSLIVRHFGETIIDAWFTEFRRLVAEHLEKEKTKFTTIVMSLKKE >Sspon.05G0018990-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:83204125:83205347:-1 gene:Sspon.05G0018990-2B transcript:Sspon.05G0018990-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRSTGDRVVIVGGGIAGALLAKTLQNHADVVLIDPKEYFEIPWANLRAKVDPAALERTVIPHSDYLTHAKVVTASAVGVDVDDSVVLTSIGRAVAYDFLVIATGRTCSRPQKQSERLEMFQHDKERIDGARSVLIVGGGPIGVELAAEIVMKSPEKRVTLVHGAPRLLMVMGARASAKALEWLRSKNVTVLLDQTVDLASATPDTREFTTSAGETVEADCHFVCTGRPVASGWLRGTLLGEHVDEEGHLRVDDHLRVGGLRNVFAVGDITDVPEAKQGHLAQRQAMVVSRNLRLLVKGATREEKLHRYKPSPRTSMTVTLGHRDALAELPFMTLIGHIPGAVKPRDHFITRTRRMMGIKSKPYGTMPH >Sspon.02G0053990-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:109293157:109301908:-1 gene:Sspon.02G0053990-1C transcript:Sspon.02G0053990-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAHGRIKNMDGPVDDPVENNLTGSPSTLGNEEEANTYADVQVKEEPEKLYDEESKDPMQDELGNQEHPNMNNEDVGGQNKEDQATPTEHGEEAGVKQQDATVPDDKKWPGWPGESVFRILLPTTKVGAVIGRKGDFIKKMCEESRARIKVLEGPPAVPERAVMISAKDEPDAVLPPAVDGLLRVHKRIIDGLDGETDQPERATVTAGPTRLLVPASQADSLIGKQEATIKSIQDASKCVLRIVENVPPVALNDDRVVEIQGEPLDAHKAVELIASHLRKFLVDCSVLPFYVGNPQYMPPWPQESYYPPDVPPVDKEPTYGRDAPPSAPSGNQNQAHGSSQVTHSMQVPLSYADAVIGAAGASISYIRRHCGATISIQEGAPGEMTVEITGSASQVQTAQQLIKVLFLVLLSCISNLVNTYDIATIQGPPAPAPPAQPVDTGYSSYPPYGGASYGSPPCAPAPAPHNGGSYGAAPYPPSYGY >Sspon.07G0010030-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:77397297:77402537:1 gene:Sspon.07G0010030-2B transcript:Sspon.07G0010030-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SKQFARTVSTRRVEIIRPKSPKSPAGSASALKSAEGSGDAIQNSDNGYMNTNGNMEGEHKGNAIVENGAAKPLAAASLMRSKSISNGLHAVQPDPVAADILRKEPQQESFIKMLTTPEEIPTADEIEVFKILQKCLELRDSYLFREEVTPWEKEAINDPCTPKPNPNPFTYVPEPKSEGPFCKRYTWDTTIDELPIPRYHS >Sspon.01G0047600-2D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1D:102467138:102467416:-1 gene:Sspon.01G0047600-2D transcript:Sspon.01G0047600-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAGGGIKASANVWAVLADEDPATTRRPRDMTGRPRAGKPRNTGTSRRRPPRPPSATRSSAAGTYVRNEKAARQEAKEEATAGSGGQWRC >Sspon.08G0013800-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8A:57323205:57324369:-1 gene:Sspon.08G0013800-1A transcript:Sspon.08G0013800-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AAALHAVFRQWRLEGEAAAAEDPCMKRVWSGSFEINASIDCNCPAFSRCRITGLNVTGYRNITEIPLALFNLTELVSLDLSNNNLNGSIPPEVGNLSKLETW >Sspon.02G0012580-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:33295470:33296343:1 gene:Sspon.02G0012580-1A transcript:Sspon.02G0012580-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFDDHDDGDEEMTQMPVTSSYEAPPLAVAAGFGGGVAVPKPPGGGRASKAPSGGLGLHGAAGGRYRECLKNHAVGIGGHAVDGCGEFMPAGEEGTLDALRCAACNCHRNFHRKESPATEGSPAALVAYGATPQHHHFSPYYRTPAGAGYFHHHHQQPLHHMAHTPRPLALPSTSPHSGRDDGDRRRRALRWLGRVRVRQEAVPHQVHPGAEDKMLAFAERVGWRIQKHDEAAVQQFCDEVCVKRHVLKVWMHNNKHTLGKKP >Sspon.04G0021070-1P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4A:73873834:73878199:1 gene:Sspon.04G0021070-1P transcript:Sspon.04G0021070-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGREITKIELKILKAL >Sspon.07G0017260-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:66785176:66789955:1 gene:Sspon.07G0017260-2B transcript:Sspon.07G0017260-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDASALNNPRLKAMIEEERTKAMANELVAKLTFLCWDKCVTGSVGSSFSRSETSCLSNCAKRFAEVKMMTMQRFTGRS >Sspon.02G0002440-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:7042791:7046735:-1 gene:Sspon.02G0002440-2B transcript:Sspon.02G0002440-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIHPRITGGRRQPLPLPLERALLAAITVAAALSILCILSFTDTLTFTDTLSFLGFRPRDVDKRDGNRRYLYWGSRVDCPGKHCGSCAGLGHQESSLRCALEEALFLDRVLVMPAKMCLNSVHNTKGLLQSSNATSQQSWETGSCAMESLYDIDLISRTVPVILDNPRSWYEIISRSTKLGEDGLVVHVQRVSRAELKQNSNYSGALLINRTASPLAWFMECKDRTKRSSVMLPYTFLPTMATRKLRDAANKMKEILGDYDAIHVRRGDLLKNRKDRFGVERSLHPHLDRDTRPEFIKKRIAKWIRPGRTLFIASNERIPGFFSSLSDKYRLAYSSNFSSILNPIIENNYQLFMVERLIMQGARTFVKTMKEFDKDLALCDDPKKNTKDWQEPRRFPSDHEN >Sspon.02G0040820-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:75272336:75278249:1 gene:Sspon.02G0040820-2C transcript:Sspon.02G0040820-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMIFKNILLQLNDTMCVRKDEPWEMKQLIDRIIELLKDKRYLCVIDDLWKESDWDTIKLAFQDRHPGSKIIITTRNRSVAEHVGGGVYELKPLSDDDSRTLLNKRVFDTDDGCPPSLREVTGKILKKCCGVPLAIITIASLLASKPMCSEEWEKVNKSIGSGLGNNLDVDKMRKILSLSYNDLSFHLKTCLLSLSKYPEDHLIRKDVLVWSWIAEGFIKQEEEARGPAAKSLQEIAESYFNDLINRSLIQPAQIFGDHCISDGQVHCCQVHDMVLELINKLSSEEGFVTVLLSEDGQQASTLTSAMQKRKIRRLSLLNNSIESYAASPEASREQLSKVRSLDSFGKVKPVPPLSSCRVLRVLQIDDCSRLDSNYLNDLGKLRLLRFLRLHDLEIDELPESIGELESLETLDIRRHDRLLPSSMVLPVSLAKLRKLVRLLADVVKLPDGLTLKNMTSLRELVGIQISLHAVTEVGKLGELKVLGLCMPIESRSNVYELLVKCLQVCPILQIFILRSLGSTYFYPVDLMAQLPSGLRTFTGTGGYFMKAFPKWNKAQLSYLTVLHLRLYRVRVQPEHLEQLAELPSLCFLMIRSVGPHVQERLSSASGFPCLTHLELSAPVLFLKFQHGAMRKLQKLHLSFDPIRTNEHFRTNDLDYGFENLPYLRHLVIELTKDCAEAEDAIIMIIPTIHRWICPIIKDIITALLSQLLLGKSSIAAAEEREIFDPPSHLRKRGDEQSDLYKTIVPAAPRACGGSRGGRPIAGGGSRLGKVDHGAAVAEPQRGPAFDTASRAMARSAARSC >Sspon.06G0032940-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:6150221:6152303:1 gene:Sspon.06G0032940-1D transcript:Sspon.06G0032940-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGLWPSGERWHVSATLLPIRGLGQGRSPQGRLRSRAAAGRQQTPGTRRRRLGAWPSGATTPAGGEAASGRGKIAKTPGQRLGIGADQTAFSALKVSVRYSLAVQPANDWGVTTHRPYPLAPIHRFITSKIANGINLAIFNAL >Sspon.04G0022010-4P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8D:52914458:52921768:1 gene:Sspon.04G0022010-4P transcript:Sspon.04G0022010-4P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine carboxypeptidase-like 29 [Source:Projected from Arabidopsis thaliana (AT4G30810) UniProtKB/Swiss-Prot;Acc:Q949Q7] MQVGNALTDDFHDHYGIFQFMWTTGLISDQTYKLLNVFCGYESFVHSSPQCDKILDIASTEAGNIDSYSIFTPTCHASFASSKNKVMKRLRSAGKMAEQYDPCTEKHSIVYFNLAEVQKALHVNPVIGKSNWETCSGDTDAVIPVTSTRYSIDALKLPTITPWHAWYDDDGEVGGWTQGYKGLNFVTVRGAGHEVPLHRPKQALTLIKSFLAGSPMPVQPSTHSNM >Sspon.07G0031440-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7C:22791178:22791517:-1 gene:Sspon.07G0031440-1C transcript:Sspon.07G0031440-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AAMAGRSGARWHWLRAMAGQTRPPSRKRGGSCPRPWQGEALSGKDATGSSTRDVAGSTVHAWLPPGRTRLRRHEQGVPSAHGGSTSTSSCSPGATALGDGLRHRCGYNVDQT >Sspon.02G0038820-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2C:50065153:50065366:1 gene:Sspon.02G0038820-2C transcript:Sspon.02G0038820-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VRPAKVVRDGEMSAPCGAMVLHPGEGGGNIHALKAITPCAILDILTPPYSSEDGRHCSYFRRCPRSDPSG >Sspon.08G0026420-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:22505976:22513990:1 gene:Sspon.08G0026420-1C transcript:Sspon.08G0026420-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSAPGLLVGARPPPPSFSPNRVYCSREQLTRHCSAATAPIRRSRSFRPRPPISHPYNLRSQPGRIRNPINLVSALPGTMTSAPPSSSIPASTSPLPAASSDLSETSTASAQPLTLESLGAKVDMIANALTSMQRAWAGLLRAPAPPAFSAPPPPPPLLVPTAPIPTGAGVPLHLMQWSASPSPLPAWLQLSVPASAPIYSMATSPSPTAYTTVAATAHSFRGGGVDGPLFFGAPSPSGGQPQGGLDPALAAALAGAQAGPKFYKLEFPTYDGSTDPLNWLNQCEQFFRGQQTLASARTWLASYHLRGVAQTWYYALEQDEGMPSWERFRELCSLRFGPPVLGTRLAELARLPFGSSVQDYSERYNAVLCHAHNLSARQKAELYVGGLPDHLRKQVQLRAPPDLQSAMYLARAFEECEPPPAPQPRGARPPHPSVTTGATLAVPAPLPAPAPSFRRLTPEELLERRRQGLCYNCDEPYVRGHQCKRLFYLESADFLDDDCSSMTPVLVDDASTRFQLEDELFLQAGRDVIREFPNPSRHPPSALIAFTVRRRHGADPPFSLVQTSPTNLSPLQPSQQQNIPFFMEVVVIASWEIWKLRNDKVFNSGIEPGWLSYRCVLLATPNVLRLSCAVGAARAAFRPADFGRTLLGAYGLRLRHAAGRAVALRIRFTRIDGWNGPGPGPGESRRCRAGNKERVWPVWENAEEGGGEDVGWSSGLPWLLRSYVPTDLEIHEVTTDVAYR >Sspon.04G0025660-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:32092403:32096525:1 gene:Sspon.04G0025660-2C transcript:Sspon.04G0025660-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSPHLPPRCPPLGPQITRRDDSMFTQSCRFPSGDPFFGEPPCWLDDLLADSGKAPKLPPLRRACSDSDAILDALSTFQSPIYPIEEGDLNSGGEGEDLLDAGEGGESGSVVEASCVYGPNSPRQKSRLTSSESSMVNAVLENVPSNPLQYLTIDASSGVNGNVASVTADACDAFGHPDQDKSFKRRSGQRSRVRKLQYIAELERTVDSLQNMGADLAVRVSSLFQLHNALSMENKQLRMQISSLQHAKLIKDGQTQALKNEAERLKQMSARHRRSRSVTSCYDPSSFGADASAVNWQMPDMARLSLNGSSVSPRGGYGL >Sspon.08G0003790-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:7824260:7829466:1 gene:Sspon.08G0003790-2B transcript:Sspon.08G0003790-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWPPPPSTAHFFFFFLLLLLSLLAAAPWHRATAQDAGYRVVSVGRARAGGQLSARLELAGAGRKAELGPDVQWLSLTARQTDSRLHVRITDADHRRWEVPQSVIPREALRDVTLGASTGASPYSRVLSAATSDLTFTLHASPFRFTVSRRSSGDVLFDTSASLVFKDRYLELTTALPEDRASLYGLGEHTKHTFRLQRNDTFTLWNADIAAFNVDINLYGSHPFYLDVRPAAAHGVLLLNSNGMDVEYGGSYLTYKVIGGVLDFYFFAGPAPLDVVDQYTQLIGRPAPMPYWSFGFHQCRWGYKNLADLEDVVAGYAKARIPLEVMWTDIDYMDAFKDFTLDPVNFPAGPMRQFVDRLHRNGQKYVVIIDPGISVNETYGTFVRGMQQDVFLKRNGTNYLGKVWPGNVYFPDFFNPRATEFWAGEIALFRRMLPVDGLWIDMNEISNFVDPAPLNALDDPPYRINNSGVRRPINNKTTPASAVHYGGVREYDAHNLFGFLEARATHGALLADTGRRPFVLSRSTFVGSGRYTAHWTGDNAATWDDLRCSINTMLSFGLFGIPMVGADICGFGGDTTEELCSRWIQLGAFYPFARDHSAIGTIRRELYLWELVARSAKKALGLRYRLLPYLYTLMHEAHTTGAPIARPLFFSYPKDVATYGVDRQFLLGRGVLVSPVLEPGATTVDAYFPAGRWFSLFDYTLAVASATGKRVTLPAPADTVNVHVAGGNILPLQLPALTTLRARQTVFHLLVALAEDGSASGELFLDDGESPEMAGPRGEWSLVRFSCAAGRDGVTVRSHVVRNAYGPSRKLVIGKVMFLGLHSPAPPREFAVYVNGVKTANSMGSAIGYQRSGALGAAQVEGLSLVVGKEFELKVFMSS >Sspon.01G0055000-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1C:72379818:72380362:1 gene:Sspon.01G0055000-1C transcript:Sspon.01G0055000-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVILSELVGGTPTARIVWGKTLHLPLSLSFSHARPPYRAALPRSRRRQLPPQPPMPPAILPGRLQLAAAAVPSLSLGAASPRAAVPPPNPL >Sspon.02G0059050-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2D:88287930:88288206:1 gene:Sspon.02G0059050-1D transcript:Sspon.02G0059050-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding KTWAFDVPSGNCSGQIWSRTGCSFNGGCGHCDSVDCGGTLSCTSSGQPPRTLAEFDIGVSVTSGSVDFYSISVVDGYNLPMAFSCSASTGAS >Sspon.02G0005730-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:33185438:33187135:1 gene:Sspon.02G0005730-2P transcript:Sspon.02G0005730-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAPPAPPSSVDLPLAAPPPPVKAPTPRPPAPASLQPESPGVFFSAAAAAAPLGTSHRRIAIAVDLSDESAYAVRWAVANYLRPGDAVILLHVRPTSVLYGADWGAVDVSLPNPSNTAAAADDDDDEAAAVRRMEDDYDAFTASKADDFARPLKDAGIPYKIHIVRDHDMKERLCLEVERLSLSAVIMGSKGFGAARRTSKGRLGSVSDYCVHHCVCPVVVVRFPDDGSAEAGEAGGLSAAVGAEDVLHPVPEEEAEYHDAAEEHKEHLAGST >Sspon.05G0009970-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:28514179:28518844:1 gene:Sspon.05G0009970-1A transcript:Sspon.05G0009970-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tyrosine--tRNA ligase [Source:Projected from Arabidopsis thaliana (AT3G02660) UniProtKB/TrEMBL;Acc:A0A178VAQ8] MAAAVAMAAAASSRAFLRPHRCVLLSHTHPLRRRLSTNATASAPASTAASAGVVDVLMKRGLVEATTSEALAAARPGELKVYCGFDPTAESLHLGNLLGLVALSWFRRCGHTAVALIGGATGRVGDPSGKSSERPELDVAAVEANSDAIKSLVAQILGRVPEPAHHSHRGQKEQPLANSADSSQRMGSFVILDNYDWWKDITLLDFLREVGRFARVGTMIAKESVKKRLASEDGMSYTEFTYQLLQGYDFLYMFKNMGVNVQIGGSDQWGNITAGTELIRKILQVEGAHGLTFPLLLKSDGTKFGKTEDGAIWLSSKMLSPYKFYQYFFAVPDIDVIRFMKILTFLSLDEIQELENSMKKPGYVPNTVQKRLAEEVTRFVHGEEGLEEALKATEALRPGAQTQLDAQTIEGIADDLMIKCWSLLTSKSAVKRLIKQGGLYLNNIRIDSEDKLVEEGDIVDGKVLLLSAGKKNKMVESTLWVGESSRCCLETQPSTYQPEMLVRPGVQLEAPTDNLSNLVHKMDIDTDSHNVTKEPGANSASDVDDPDSLQGDGEDADERRAGR >Sspon.07G0020430-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:67699652:67706875:-1 gene:Sspon.07G0020430-2D transcript:Sspon.07G0020430-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAHAGTLVPHPLPVRLVVAHPRPVTPASCSLRPRIPRLTVARAASRGNGDGGPPAEGEKERRAPSLPALSEIRWGELLSPDPANAAAVVLTGALAWAGASLLLQLALISAAIFAAAIKYSFVAALLLFVLIALLTHPLVASSWTFPVEENMITPTSAPQSTESSLAFLSSPSRRLEKLVQ >Sspon.05G0015600-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:60347519:60358798:-1 gene:Sspon.05G0015600-1A transcript:Sspon.05G0015600-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGALTSTELNFLVFRYLQESGFIHAAFTLGYEAGIHKGGIDGNAVPPGALITIVQKGLQYIELEANNDENDDEVERDFALLEPLEIITKDVEELQQIVKKRKMERSQTEREKDKGKEKERNEEHERRPGGERERERHDKEKEQVREKDKSEKDRDHDKEKEKEKERERQHAERIDKVKHEDDSLAGGGPTPMDVSTTAQEISNTDVTVLEGHSSEVFACAWSPTGSLLASGSGDSTARIWTIPDGPCGSNMQSSPPGVHVLKHFKGRTNEKARMSPHLTGMVKVHYWLQAPTMDRQEYGVEMTLFKHKGPIFSLKWNKKGDFLLSGSVDKTAIVWDTKTWECKQQFEFHSAPTLDVDWRNNNSFATCSTDNMIYVCKIGNSVQLKHSVSEVNAIKWDPTGSFLASCSDDWTAKIWSMKQDKCVFDFKEHTKEIYTIRWSPTGPGTNNPNQQLLLASASFDSTIKLWEVEQGRLLYSLSGHRQPVYSVAFSPDGEYLASGSLDQCLHIWSVKEGRILKTYRGSGGIFEVCWNKEGSKIAACFSNNTVCVMDFRM >Sspon.01G0005880-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:13987236:13990221:-1 gene:Sspon.01G0005880-2B transcript:Sspon.01G0005880-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEERRLDTPAMRRISDWIMSQEFPSDITIQVGEATFNLHKRAVRTLLQLPLASRCGYIRKQVSGINGSRVTHIDLTGMPGGATAFELVTKFCYGESLEITEDNVAMLRCAAEHLEMTDDSMGGNLAARTEAYLEAVALTSLAGAVTVLGRSEDLLPVSEEVDLVGRCVDAIAYITCSDSQFNMSMGNTNGNGMAMSSSKAVDDWLADELTSLRIDTFQRVLVAMKARGFKGIALATLIMLYAQKSLRRLDTHGREKKKMDPKQEHEKRVVLETIVTLLPKEKNSMSVSFLSMLLRAALYLDTTLACRLDLEKRMAAQLGQAVLDDLLIPSSSPEAGTTFDVDAVQRILVGYLEHESEAARLDYNTDDDFISTASPPNDVGMVGKLMESYLAEIASDVNLPIDKFTDLAEMIPERARFNEDGMYRAIDIYLKAHPHLSEAERKKVCRVMECQKLSREACAHAAQNDRLPVQTVVQVLYHEQRRLREAPKHAPLPSGVSSFYGGESLAPSPPYRPAPTPSLLGRHARSVPDEVSRLQRENEELKMELLRLKMHMRDPSTALPQPSGGAPPSGRRPPPKKAAGGGGGFMNNVSKKLGRLNPFLRLDAVGTEPPKDRRRRRSIEW >Sspon.04G0033830-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4C:66554308:66555286:-1 gene:Sspon.04G0033830-1C transcript:Sspon.04G0033830-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding IGNGEPGSRQRRAADAPRRLGASPVAGLAPSSKLTSSTARARRRRRRFDFSLSAQPGPKPPDHVTPSNLRRHRFPSPPPLLLVAGEDDSPETEQLPAAPSTRREGNLTACTPISVVVGSFPSAGWMFVARVQRIVRVLELAGAVMEELGNSQGPRTDAVGAHCREFMIAMKEIQTTLREEIKSACEYRPFEKCDYSARIANEICCKKLEYVIEKLDTMQHNLEQSTDDF >Sspon.02G0053150-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:100317716:100318353:1 gene:Sspon.02G0053150-1C transcript:Sspon.02G0053150-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHRHVFVVSLALLLVATTHAARGHATQAAAAAAAVITQQGTDGVVGSMTRSMIGSEPPSCEGMCGWWCVGRSCEAVLVPIEPPQEQDNNKQSRRHGSGGGDGSRASAAAAQHRRPSSYDDDDHADYKPITWRCKCVGAS >Sspon.02G0001690-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:5515947:5518907:-1 gene:Sspon.02G0001690-1A transcript:Sspon.02G0001690-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRDRSLPECFKLFDLFHILTTDHDTVTRIAKENNEAKGMTKRSYMDAVIKGLKEVEAVDVVLFDSSFRTNETLNSKLLDGDTKKKKIYVRLLLSIDRRETTSAALDTVNLAMEIKDQADLYNAKHPLSLCTDDSGLFSTSLSNEYYLVATTFGLSKSELFQLSQDAVQFIFADDVVKKSLKEVFKHAEKRLLVWDEFAAPS >Sspon.02G0049370-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:38357197:38358629:-1 gene:Sspon.02G0049370-1C transcript:Sspon.02G0049370-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCGYWPRTRSLSSPLAVTSSSHRYQAKNCVSMDLIFSSELSSSDLARIISLANPFQNFQQAESLFDVRGRAPRHTCGSHRQPHLRSHGQLGRCLVACRCRHAKDCGGHQFCAWWCLVPNKVMAATTALFIKSRYNCGDENHCLTFGVVWLRVWRAIGGGEKASATSHLARQTTAANQTAPHLPKLLSTRQLAAALPRRTGDERGVCKTKTGRETVANRRTR >Sspon.04G0002350-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:8043197:8082191:1 gene:Sspon.04G0002350-2D transcript:Sspon.04G0002350-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Replication protein A 70 kDa, Meiotic and somatic DNA repai [Source: Projected from Oryza sativa (Os02g0776800)] MEERLEWLTDGVSMLTSLSLEESCENDICEGTEVTAQKQYLDSNTSDVDKSSESSMGVSSKELSDTDSSSGCRENQLDSVSKEQQQNSSYTSSGQSGAKHHELDSASGIQDESGAKNCDDSPKGNVDDEELDLFITDTILPLMRSRLVKIGESSPRQNFDTQESDSEEPSSIGDGDLVRHNNILQWAKAKKYGSLQVVCQYYQLQCPARGSSLTFHPLEHLHPLSFHRPGETVLHIAGSTIELRSRDTSLEVAEMRNSLFAEEESTALSTWAVASICGCLRLEHVMTLFAAALLEKQIVIVCSNLGLLSASVLSILPLIRPYRWHSLLTTVLPNDMMDFLDAPVPYIVGVQNKTSDLLNRLPNAVVVDANRNQVEAAKGFLAVLRDYLDTLCSNLRSHTITNVQSNNDKVSLLLKESFIGSFPIRDRPFMKPLDVELNCTYRLRKNELPSSLWQLSVCVKSWKEKPYTVAKLASSGAIESGRARAEMMMMSGRAGGGATTAGRYPFTASQWQELEHQALIYKCLASGKPIPSYLMPPLRRILDSALATSPSLAFPPQPSLGWGCFGMGFTRKPDEDPEPGRCRRTDGKKWRCSKEAYPDSKYCEKHMHRGKNRSRKPVEMSLATPAPPASAASSATSATATAATTTSSPAPSYHHRPAPAAHDAAPYHALYGGGGSPYSASSARPAGGAGAYHHHGHLSPFHPHLETTHPHPPPSYYSVDQRDYAYGHATKEVVGEHAFFSDGGAAERDRQHAAGQWQFKQLGMDTKPSPTSLFPIAGYGNNGAASPYGVDLGAKEDEEEERRRQQQQHCFVLGADLRLERPSGHDAAPAQKPLRPFFDEWPHEKGNKAGSWMGLDGETQLSMSIPMAANDLPVTSRYRNGRDKFLKVIEFLRRQLHQDTLFVYINSAFSPNPDELVIDLYNLTPNAVAAAMAGDTNLKPVVQVFDLRSIAVTGGSGPRFRAIISDGVATGHALFASQLCDLARSGVVRRGSVVQLLDYIVNDVGLGPTRNRKAIVILNMEVLAAECEIIGNPALPPDSGDSNSVRADQFNGAPQNGSMAGSALNKVARPSDNAQVIQRSMAGNSLNMVPTPSDGKVFSFDLLDSDGGEIRATCFNTLVDRFYEVVEVGKVYVVSRGNLKPAKKDYNHLNNEWEIFLEFQSTIELCLDENSSIPAQRFSFSSIDKIEDSENNAIVDVIGVVTSVNPSTTIQRKNGMEAQKRTITLKDMSGRSVELTIGKSVGTISSSQLLINPDLAEARSLRQWFDCGGRDASTQSISRDFTPSASRNEIRKTIAQIKDDGLGMGDKPDWVTVKAAVTFIKTDPFCYTACPNVVGDRQCGKKVTKSDSGNWLCDKCNQEFPECDYRYLLQLNIQDHTGTTSATAFQEAGQELLGCSARELNMFKENEDPRYTAVLIHCLYQNYLLRLKVKEEQYGDERRVKNTVAKVERVDPLAESKFLLDSIS >Sspon.02G0010670-4D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2D:23619889:23620212:1 gene:Sspon.02G0010670-4D transcript:Sspon.02G0010670-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKGRKPAGLIMKTLDRCRSARRSKPAPAPAPEGCFTVCVGAGRQRFVVRTECVNHPLFRALLEEAEEAFGYAAAGPLALPCDADAFVRVLEQIEEEDAAGQAAAVA >Sspon.04G0032730-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:31575500:31577772:1 gene:Sspon.04G0032730-1C transcript:Sspon.04G0032730-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LIVIFTKSDIPNKLQNVPRCFLSSISIQHSIYVRIIKKQVVNPLADEEVTDPKALLEERSKAKCVSQWYEYQKCVKRVENDETGHKHCTGQYFDYWKCIDKNVAEKLFEMLK >Sspon.02G0020510-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:66914466:66916206:-1 gene:Sspon.02G0020510-1A transcript:Sspon.02G0020510-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHLLDVPFAIPEGALAGGAAGVVVETALYPIDTIKTRLQAAQGGSKIQWKGLYAGLAGNIVGVLPASAIFVGVYEPAKRKLLEIFPENLSAFAHLTAGAIGGAASSLIRVPTEVVKQRMQMSQFKTAPDAVRLIVAKEGIKGLYAGYGSFLLRDLPFDAIQFCIYEQLRIDYRL >Sspon.02G0015850-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2B:41691240:41691725:-1 gene:Sspon.02G0015850-2B transcript:Sspon.02G0015850-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTSAIPMPTRSAGRMTKAAVFCRRISRCTVKAVATSPRALCPEGSKNDYYKVLSLDHSAAVGAEEIKRAYRRLALRYHPDVCPPSRRAESTELFLELRRAYETLSDPAQRLRYDAELRANGQEDPAAGAAFARDVWEAQLCALRARSEQRQSARSGGVR >Sspon.08G0007600-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:25216836:25219565:-1 gene:Sspon.08G0007600-3C transcript:Sspon.08G0007600-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MANDSLTRGHIIGDVLDPFTGSVPLTVMYDGRPVFEGMEFRASGVSVKPRVEIGGDDFRVAYTLVMVDPDAPNPSNPTLREYLHWMVTDIPASTDDSFGRELIPYENPSPTMGIHRIVLVLYQQLGRGTVFAPQVRQNFNLRNFARRFNLGKPVAAMYFNCQRQTGTGGR >Sspon.02G0001090-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:2911914:2913143:1 gene:Sspon.02G0001090-3D transcript:Sspon.02G0001090-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSREQRKKAAAALHEKLQILRSITHSRALSDASIIMDASEYIEELKQKVVRLKQEMACEEEAAAGALLKHSSSPSPTVTVETLAGAGAGQHGFLINVLSDKSCPGLLVSILEAFDELGLSVLQATASCADTFRLEAIGGENQVDNVDKHVVKQAVLQAIRTCSSQGCRNQQE >Sspon.04G0020310-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:71518732:71521007:1 gene:Sspon.04G0020310-1A transcript:Sspon.04G0020310-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Autophagy protein 5 [Source:Projected from Arabidopsis thaliana (AT5G17290) UniProtKB/Swiss-Prot;Acc:Q9FFI2] TLGPRIGYLPLLIPVIKAHFSSALPPGIDTVWFEYKGLPLKWYVPIGVLFDLLCADPERPWNLIVHFRGYPSEILSPCEGEDSVKWSYMNSLKEATFIITGNSKSVMNMSHADQVALWESVMKGNVDGYKNISTRLKLGPFEDDGLVRTASAERQRQQNSDEPESPGSSKPVPVRLYVRNVQEDLEYIEDAVPVSDWEGVSYINRPFEIRKVEGRSYITLEHALQTLLPEFFSSQPAGALDSAADSSDTTNSSRSSQEAEQALASPREAGAAKKARVKLVRVQGIELDMDIPFLWVANNLKNPEYYLHICVYVGTRKQ >Sspon.03G0030410-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:20769073:20770018:-1 gene:Sspon.03G0030410-1B transcript:Sspon.03G0030410-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVPVIDFSKLDGAERSETLAQIANGCEEWGFFQLVNHGIPLELLERVKKVCSDCYRLREAGFKASEPVRTLDALVDAERRGEEVAPWPSDPPAFKETMREYRTELRKLAERVMEAMDENLGLDRGSIKAAFSGDGRHEPFFGTKVSHYPPCPRPDLITGLRAHTDAGGVILLFQDDRVGGLEVLKDGQWTDVQPLAGAIVVNTGDQIEQRRYRSAWHRVLPMRDGNRRSIASFYNPANEATISPAAVQASGGAEAYPKYVFGDYMDVYAKQKFQAKEPRFEAVKAAAPKSSPAA >Sspon.02G0042770-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2B:86334684:86334795:-1 gene:Sspon.02G0042770-1B transcript:Sspon.02G0042770-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ILANGKLAVLYSLINHSVLVTSYHLAWTLSTLASSFG >Sspon.01G0031420-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:107985596:107986448:1 gene:Sspon.01G0031420-2D transcript:Sspon.01G0031420-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ENPSAVLPHIRPSRRARAIQQQAPPPPPPPPLPCSKQRSGSRRRRKRRREAGEPGQGGGASVAVLRQLRRVHEVGAAAVPVLGRRPPRVPPGVQGLRQVQPQRRPAGVPVHGPRPQLLPAPLHCARRRALT >Sspon.02G0022250-2T-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2D:67997161:67998146:-1 gene:Sspon.02G0022250-2T transcript:Sspon.02G0022250-2T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLSHTGVVQLSSSSLIVLFSGSSQLDDWVLCWLYNKKNEWDKMQQHKEKEMESEASHSHSDMRTPESEIDDDPFPELASLPALDDMVGDKYEISEILAAPAAGAILPKEEVEDFRDLGGDDWLAGINLDDLQMPGDADFFGNMLVSPMAAKMDAARRKLCIV >Sspon.02G0051480-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:78732308:78735247:1 gene:Sspon.02G0051480-1C transcript:Sspon.02G0051480-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFSTFLLLFWTVFAWTTRLKRVVPAAAGHGPPAQRPYAMIDRNYDNLDQVKAALQLAGLESSNLIIGVDFTKSNEWTGKRCFNGRSLHHLGGPPNPYEQAISIIGKTLSGFDEDNQIPCFGFGDITSFLIFSASTHDQHVFSFYRDGRACNGVNEALQRYREIAPHVRLSGTIFLLFPTSQVSRLALGGCNDCGILPYLGPTSLAPIIETATKVVQDHGYQYHILLIIADGQVPTSSSAPYANNSEEARSENYLEERTVQALIHASDFPLSIVLVGVGDGPWDDLIHCNDNRRRFDNFQFVDFTEIMSREMSEGDKEDEFTLEALMKIPAQHDAIISQNISELMTRAPPTTALPPPC >Sspon.04G0017160-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:76431870:76434438:-1 gene:Sspon.04G0017160-2P transcript:Sspon.04G0017160-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMWSHEPAAEQKAAAASPPTRQRSSVAKLMTASSSLANLLSVFVGANNNNSPADPRPPPRRSFDEGGGVGLGIVAAMSQACLTGAGAEPIAIGAAARRRAREDDESYTCVITHVAGAGGGSVRKRVYFGFGDGGGWLVEADDEAPAPAPDFLSRCCLCDKRLDGLDIYMYRGEKAFCSSECRCQQMLMDDRADKCGSEAFIRSGYYSYSVSPHSAPMAFSPSVAAA >Sspon.08G0006450-3C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8C:19976655:19977933:1 gene:Sspon.08G0006450-3C transcript:Sspon.08G0006450-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding YFEGAGDCYTKFAIDDGVTEPELAASTYIKLPEDVEVLESSIPHSQPFGPKYSSGCSSALSTNVTANLLDATEESHSGPKYWYVAGFLSAIFLVERATRKFTHELRRGASGVLYKGVLEDKREVAVKKLADINQGEAEFQHELSVIGRIYHMNLVRIWGFCSDGPHRILVLEFVKNGSLDKALFGTVGSPILLEWKQRFNIALGVAQGLVYLHHECLEWVIHCDVKPENILLDENLEPKITDFGLAKLLIRGGSNKSISWICGTRGYIAHEWVSNLPITAKVDVYSFGVVLLELLKGARVSEWSANADETVEMAITRLVRMLEENTKMQASTQAWIPGFIDPRLNGHFNGMQARSMIKLAVSCVQEDRNMRPTMENVVQQP >Sspon.01G0036870-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:14585214:14586736:1 gene:Sspon.01G0036870-1T transcript:Sspon.01G0036870-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKVGEQLNIDFVISTGDNFYENGLTGTDDQAFEQSFTDIYTAKSLQKPWYLVLGNHDYRGDALAQLSPVLQKIDSRFICMKSFIVNAEIVDFFFVDTTPFQLKYWTHPKDDHYDWRGVAPREKYINNLLKDLDEAMKKSTAAWKIAVGHHTMRSVSDHGDTKELLQLLLPVLQANGVDFYINGHDHCLEHISSRDSPIQYFTSGGGSKAWRGVQNTNEDDLKFFYDGQGFMSLQLDHSEAYFTFYDVDGKELYHYTRPSLRRTEGHLQASGYVNEE >Sspon.01G0034210-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:1580406:1581011:-1 gene:Sspon.01G0034210-1B transcript:Sspon.01G0034210-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMPRAPGAGSLRFLGLLKQPESAGPDAAAPFELDERDVVWPAGGGHHQQDGPALPVPEASAAASRAARRRAHGVPHSFGLSSLLADSGGSGGGGVAVPVPMPTRAVAPSAAPRQSAPVRVPAPWPGKAAGGRRAGEDGRGGRRADEDEEDEEEMVPPHVVAARRHARSSSVLEGAGRTLKGRDLRRVRNAVLRQTGFLDL >Sspon.02G0040160-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2B:65779564:65779955:-1 gene:Sspon.02G0040160-1B transcript:Sspon.02G0040160-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATHRERHGNNTGVSCHFLPPILGWEVKSVTVLTLPHTVSSSTNLEEGYDKVCTPRSRGFGLIRLTSIWPRPGLDAYHRSAGKKGQCGTGLRVISPSHHRRHHGVQGWPAIPGARRYSRLYRDRQNFTSR >Sspon.05G0011970-4D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5D:36763800:36766562:1 gene:Sspon.05G0011970-4D transcript:Sspon.05G0011970-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRREVAASLHRSLARFFVHDDPEKFHSLVAAKASHHRALGSADLACALQACRGRGNRWPLVLEIHATSVVRGLGADRLIGNLLIDLYAKNGLVWQARQVFKELSARDHVSWVAMLSGYAQNGLAKEAFRLYCQMHWSAVVPTPYVLSSVLSACTKGKLFAQGRMIHAQVYKQAFGSETFVGNALIALYLGYGSFKLAERVFCDMLFCDRVTFNTLISGHAQCGHGERALQIFDEMQLSGLRPDCVTVASLLAACASVGDLQKGKQLHSYLLKADMYSKYGYLDKARKILEMLDKKDVVSWTSMIAGYVQHDFCEEALATFKKMQDYGVWPDNIGLASAASACAGIKAMRQGLQIHARVYVSGYAADISIWNTLVNLYARCGRSEEAFSLFRAIEHKDEITWNGLISGFGQSRLYEQALMVFMQMGQAGAKYNVFTFVSSISALANLADIKQGKQVHGRAVKTGHTSETEVANALISLYGKCGSIEDAKMIFSEMSLRNEVSWNTIITSCSQHGRGLEALDLFDQMKQEGLKPNDVTFIGVLAACSHVGLVEEGLSYFKSMSNVYGLNPTPDHYACVVDILGRAGQLDRARRFVDEMPITADAMVWRTLLSACKVHKNIEIGEIAAKHLLELEPYDSASYVLLSNAYAVTGKWANRDQVRKVMKDRGIRKEPGQSWIEVNNAVHAFFVGDRLHPLSDQIYKFLSELNDRLAKIGYKQEKPNLFHEKEQEQKDPTAFVHSEKLAVAFGLMTLPPCIPLRVIKNLRVCDDCHSWMKFTSEVTRREIVLRDVYRFHHFNSGSCSCGDYW >Sspon.07G0002160-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:4801551:4805131:1 gene:Sspon.07G0002160-4D transcript:Sspon.07G0002160-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEELDMDEEVRPEFACPYCYEDHDVGSLCAHLEEEHPFEPQAAACPVCSEMVTKDMVNHITMQHGYLFKNRRRLRRFIIPGSQALSLLSRDLREAHLQVLLGGGHRSSNNNSTTNISADPLLSSFGLSFPTSDAEQTSKSTISIPDDATMVKETPAQARKLSIDSSLTSEEREQKRKQASVRATFVQDLLLSTLFGD >Sspon.03G0007860-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:7689245:7690744:1 gene:Sspon.03G0007860-2P transcript:Sspon.03G0007860-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSADAATPLGAWAWIRGYFTPATLFLVVNLVIGTIALTSRATQQRRRREHYYHDDAHGHGHHLQEEPLHAQMEQPGYGHYYHPEQTLYAAPPPPAPAPLARTSSVLDRLRSLGLYRFRSGDFPPEYGAAAAAPNYAQDVSAPAEEEETTATAHYARSRSEPAPAAGREDERRPAPPRVKKSGSEVRKSQVARAVPRTVEAVAENDDSVEEAVSGFRRGPSPLQQEYHYQEEYVPPPARARAPAPAPLQRTSSVLDRLRSFGLYGFLAPDQPAAAPVPGSDGFATPADEKRHAHYDRSRSEPAPEQGKKEKKQEAKSRMAKSGSETRKTPAPRPVDAGGECVDARAEAFIDSFKQQQQQVVHHYQEEEYVPPPAPLSRTSSVLDRLRSFGLYRFRSGDLGPDLPAATETDEKEKQEAAHYGRSRSEPAREQGKKKQEARMSKSSSSSAVEEEAAETEQCVNARADDFINKFRQQLQLQRLNSLLNYKEMLNRGGGGGKQ >Sspon.06G0002310-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:115604794:115609244:-1 gene:Sspon.06G0002310-2P transcript:Sspon.06G0002310-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DPCRPGVRNAVQLCSTAGVKVRMVTGDNVGTAKAIAVECGILDAKDAASEPNVIEGKVFREMSETAREDIAEKITVMGRSSPNDKLLLVQCLKRRGHVVAVTGDGTNDAPALHEASLSMGISGTEVAKESSDIIILDDDFTSVVKVVRWGRSVYANIQKFIQFQLTVNVAALVINVVAAVSSGDVPLNAVELLWVNLIMDTLGALALATEPPTDNLMKRHPVGRRHGITSLIAIDTFVLCTLFYFGTSCDKRYVEKPFYPGLALYQIAVLLIFNFDGKRILHLQNESREHADKIKNTFVFNAFVFCQIFNEFNARKPEEKNVFKGVTNNHLFMAIVGATTVLQILIIEFLGKFFDTAKINWRLWLLSMAIGAVSWPLAYLGKFIPVPARPFQSYFKHCSCRRRPQQDEEHGGKS >Sspon.05G0010510-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:24636887:24640758:-1 gene:Sspon.05G0010510-2B transcript:Sspon.05G0010510-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MELVGRSFLPGPAAASPGREQRRGGPCFAAVGREGSAHKRRPSLRSTAPVGALAERVLVTPAPPERAGTAPPEPHRVAARAVVTVRRRRKEDAKCRVAEQLDAYADRVGRSVLLELISTETDPRKGGPKKSRRSALVGWFEKKDVKAERVVYTADFTVDGSFGEPGAVTVLNRHQREFFIESIVVEGFPSGPAHFTCNSWVQPTRVDRNPRVFFTNKPYLPGETPPGLQELRRQELSNLRGEGADTGERRITDRVWEYDVYNDLGNPDKGAEYARPILGGEQQLPYPRRMRTGRPKTFTGKPPSAFNSLSLFNDRAESRVEYPEPIYVSRDEEFEEGKNEMLSEGALKALLHNFMPLLVSSVSPDIRDFAGFHDVDNLFKEGLRLKQALHDQLFQKIPFVRKIQENSEGLLRYDTPDIIKKDKFAWLRDDEFARQALAGINPVNIERLQAFPPMSKLDPAVYGPPESAITEEHIIGQLDGMSVQQALQDNRLYMLDYHDIFLPFLDRINAQDGRKAYGTRTLFFLTAAGTLKPIAIELCLPPMTDGCARAKRVFTPPADATSNWLWQLAKAHVCSNDAGVHQLINHWLRTHACMEPFIISAHRQLSAMHPVFKLLKPHMRYTLKINALARQILINGDGVIESGFTPGRYCMEMSSFAYRELWRLDQEGLPADLIRRGMAVEDPTQPHGLRLLIEDYPYATDGLLLWDAITRWCDAYVAMYYPSDESVQGDTELQSWYREAVQTGHADKRDAPWWPRLSTPDDLASLLTTLLWLTSAQHAALNFGQYPLGGYIPNRPPLMRRLVPAEGDPEYAHLVADPHRFFLAA >Sspon.01G0014970-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:44038416:44040593:-1 gene:Sspon.01G0014970-4D transcript:Sspon.01G0014970-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATNSGSALTKVAPLEAILFDIDGTLCDSDPIHFCAFRDLLQQVGFNDGVPITEEFYSATISGGHNDDLARALFPDMDHQKAMQFMDDKEALFRKLAPGQLKALDGLPELCRWIEGRNLKRAAVTNAPRANAELMLSLLGLTDFFPVLVIGSECDRAKPFPDPYLKALQLIDASPEHTFIFEDSASGVRAGVAAGVPVVGLTTRNPGKVLKDAGASLLAKDFQDPELLSVLQEIEPAAANAQG >Sspon.03G0008560-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:30165913:30188413:-1 gene:Sspon.03G0008560-2B transcript:Sspon.03G0008560-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGDGDRHRGPKRHKSSAPSKAALVDESAEFDYADDFDDDAHDADMEVKKRDFTKLELKVDHASRPLWACADGRIFLETFSPLYKQAYDFLIAIAEPVCRPESMHEYNLTPHSLYAAVSVGLETSTIISVLSKLSKTKLPREIIDFIHASTANYGKVKLVLKKNRYFVESPFPEVLSNLLKDEVISRARISPEDSLGAPSFTVSKTSGQIASGHEDLLNGMEIAAATEDKETHSFEIDPSQVENVKQRCLPNALNYPMLEEYDFRNDTVNPDLEMELKPQARPRPYQEKSLSKMFGNGRARSGIIVLPCGAGKSLVGVSAACRIKKSCLCLATNAVSVDQWAFQFKLWSTIKDENISRFTSDNKEKFTGMAGVVVTTYNMVAFGGKRSEDSEKIIEEIRNREWGLLLMDEVHVVPAHMFRKVISITKSHCKLGLTATLVREDERITDLNFLIGPKLYEANWLDLVKGGFIANVQCAEVWCPMTKEFFAEYLKKENSKKKQVLYVMNPNKFRACEFLIRFHEQQRGDKIIVFADNLFALTAYAMKLRKPMIFGATSHAERTRILYQFKNSPEVNTIFLSKVGDNSIDIPEANVIIQISSHAGSRRQEAQRLGRILRAKGKQQDRMAGGKEEYNAFFYSLVSTDTQEMYYSTKRQQFLIDQGYSFKVITSLPPPEEGPNLSFYTLDEQLELLSKVGAFLYPLVIVVLAITDRVQVKEILIEESNVQPVNSPVTVCGDIHGQFHDLMKLFATGGHVPETNYIFMGDFVDRGFNSLEVFTILLLLKARYPAHITLLRGNHESRQLTQVYGFYDECQRKYGNANAWRYCTDVFDYLTLSAIINGQVLCVHGGLSPDVRTIDQFNFVNGIELVCRAHQLVQEGLKYMFQEKGLVTVWSAPNYCYRCGNVASILSFDEKMERDVKFFTETEENNQMRGPRTAVPYFL >Sspon.03G0014040-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:56521257:56532433:-1 gene:Sspon.03G0014040-4D transcript:Sspon.03G0014040-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFINFSPALVSAREGEGDKENHSPVPEPPKRGEVVRCSLPWPRPPRPMLSQTQTSASSAEEERGRSSPASAPPARPPVPRPSTHMVDSTHHTIRHAPVRPRGWCCSFAGVPESPEHRRALPASSAIGTAVPKLLPPPPPPPPQVPAHAVVEAGGAHRPAKDPLAGPRVPDRLRGVPDAARSRRTVDSAAFANGAPPRRCLGSRLRLRHRLLAIPHYQQESAKLRQTISSLQNANSRTIVGDSIHTMSLRDLKQLEGRLEKGISNIRARKNELLYAEVDYMQKREMDLQTDNMYLRSKIAENNETGQPAMNMMGVPSTSEYDHMVPFDSRNFLQVNIMQQPQHYSHQLQPTTLQLG >Sspon.06G0011950-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:64497529:64500038:-1 gene:Sspon.06G0011950-1A transcript:Sspon.06G0011950-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:E3 ubiquitin-protein ligase RGLG4 [Source:Projected from Arabidopsis thaliana (AT1G79380) UniProtKB/Swiss-Prot;Acc:Q9SAL0] MGGVLGALLHWRSGGRTPAQGQQGRRHTRQPSAYDAAAPPAGGHRRAMLSKKYSYIPDTFTSLDQVAAALREQGLESSNLILGIDFTKSNEWTGLQSFGGQSLHRIGDTPNPYEQAISIIGKTLAPFDEDNLIPCFGFGDATTHDYNVFSFHPDNTPCHGFEEVLACYRKIVPHLRLSGPTSFAPIVEAAVDIVDRSGGQYHVLVIVADGQVTRSVDTGDGDLSPQEKRTVDSIVMASSYPLSIVLVGVGDGPWDDMRRFDDKLPARDFDNFQFVNFTSIMSRPVTAQQKESAFALAALMEVPIQYKATMELGILGRSTGNAKRVSPAPPPLPHAQRQSSLRRGPSNVGAAASPRDDQVCPICLTNTKDLAFGCGHMTCRECGESLTRCPICRQPIRSKLRLYSG >Sspon.02G0039190-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:44129426:44132127:1 gene:Sspon.02G0039190-3D transcript:Sspon.02G0039190-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTGSSAAGSGVVVPRNFRLLEELERGEKGIGDGTVSYGMDDADDIYMRSWTGTIIGPHNTVHEGRIYQLKLFCDKDYPEKPPSVRFHSRINLTCVNHETGVVDPKKFSVLGNWQREYTMEYILTHLKKEMASPQNRKLVQPPEGTFF >Sspon.02G0006510-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:20310683:20314809:-1 gene:Sspon.02G0006510-2C transcript:Sspon.02G0006510-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATGSLGMVRVLLKTHREAEEEEEVPGLGSSMGPGGRTVMHAAVLTSNEMIRELLQWNPSLVKEVDDSGSTPFHYIASVGNISAMKLLLRRDSSPAYSSDSNGLFPVHIAAKMGYGQFIYELCRFCPDCDELLDSRGRNFLHIAIEHKKWKVVWCFSGTADLGRMANVMDSEGNTPLHLAVKNADQMIVSLLMATKGVLPNIVNNQGLTALDLAVLATDKGISYTLNPQVIILRCLAWTGAVLSPRRLDHFIDEFGVGRTTGNELKKFTNIAQNLIVGSVLVSTVTFAAVFTLPGGYISDGHPHAGAPILSHRYTFKAFVMANTLAFVGSTLSTIWLTYAGSEHVHPLLRAIYMFLSVISMEQATRSMVIGFALGAYVVLSPVSERIAIVVCMSTFMTLLLRNPSSWQLWFLFMPIKRRLGWKGAFKTHLPPETRSRLTIGVGSNFACQFLRRMLGMLFTYSFIFLLALL >Sspon.02G0037530-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:39092812:39094670:1 gene:Sspon.02G0037530-2C transcript:Sspon.02G0037530-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRRPRKKRRIPDSTAMPVGAVDADALLSLPQEILDEVLARLDLCDAVRTSALCRAWRRRWETLPSIDIYIPYGKQALWTVDCVLPRCPGRIRRFHVSLDELSARRLDDWLLVLSRRGGVEDLYLSPEPPYEFFSLHSTVFSWRRLISVDLFACHIPPLPPNFEGFPDLKVLSLANVKFQQNGEYQLEEIIETSPLLEKLILCEVCIEGDDFIEWEIRAPNLRHITICSNIDYGWNFAELPCLHSAVIDLWEYVGDRDFANFLAGLVQVRRLRLCMFYAPVNGIKILETLPCTFDNLKSLKLFMHFCELPPILLLFCFLKSMPNLEKLKIRIYYGKVQKIELNGEFLNAQWADGMCANLQILEMTGINWLPNEMSFMKLILSKARLLHTLCISHHDDCSVSYVDPLHELVACGKASAQAQVLFKVSSAHIFIFSGSGSGCSRSPLSLLRLLRLRFW >Sspon.03G0025060-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3A:75843136:75848360:-1 gene:Sspon.03G0025060-1A transcript:Sspon.03G0025060-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTCSSVCISAALSFAAGDAMIAYAFIQKTKKLYLDSRTQRNLAKLNDELYEVHQIMTRNVQEVLGVGEKLDQVSEMSSRLTSDTRIYAEKAKDLNRQIKKKSRICSNRFRHGSHTLPSLLRLPSTFLSTVTFLSTVTMPTPPRCAHRTRLHHPLATVASTAGVCLILGVA >Sspon.04G0019530-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:71977097:71980271:-1 gene:Sspon.04G0019530-2B transcript:Sspon.04G0019530-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVISFSQQGPRAICILSANGVIANVTLRQQDSLGGTVTYEGRFELLSLSGSFTPTDSGGTRSRSGGMSVSLAAADGRVIGGGVAGLLVAASPVQVVVGSFLPSYQLDQNANKKPVIEIMTVPQPPATVGFTISSGDMDDAYSGSQQPRSVAAKGSSATALFKVENWTAPAPDQAKKTPPPPPTSEAKVPVPGG >Sspon.03G0037170-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3B:97115506:97117271:-1 gene:Sspon.03G0037170-1B transcript:Sspon.03G0037170-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LGSAQYHAV >Sspon.08G0010000-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:43733875:43734254:-1 gene:Sspon.08G0010000-1A transcript:Sspon.08G0010000-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSDMEAEKKRAPVALAPIVKPLAGKKLCKRTLKLVRRASEAKCLKRGVKEVVKSIRRGNKGF >Sspon.08G0022430-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8B:49220432:49220790:-1 gene:Sspon.08G0022430-1B transcript:Sspon.08G0022430-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding IYQEKKQGDGETGTFPPVDELIRSALRRPAHGAAGLRRGKLKGALPPAAVRALPREQGRVGSGSGRGHGGSQRRTGARCARVKDGDGGGEVEVSGESEDGAWSLWMEAKAKARRVGGSV >Sspon.03G0000260-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:10757550:10759817:1 gene:Sspon.03G0000260-2C transcript:Sspon.03G0000260-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding YGLHGVRPGGGLQGPLPGAHHHHLPARRPRLALRRRRRGRAGAGAAAHHPDGAQEGGREHQERPRLQGTAPRGQDIRRPGRQARGHHHPAARHKVYPGNNVGMGKDHTLFSLIDGLVKFEKYGPDKKKVSVYPYEKEPENPNSYRARKREYFRMRRERKKARAEGATEPQLVIAAVDENSEVSADC >Sspon.01G0043830-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:69339120:69343913:1 gene:Sspon.01G0043830-3D transcript:Sspon.01G0043830-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTPPPAHARRLLAAPLLAILALAAVAANANAATPPSPAESLLQWKSSLGDPAALSTWTNATQVSFCTTWRGVACDAAGRVVSLRLPGLGLTGGLDALDPAAFPSLTSLDLKDNNLSGAIPASLSQLRALATLDLGSNGLNGTIPPQLGDLSGLVELRLYNNNLVGDIPYQLSRLPKIVQLDLGSNYLTSAPFSPMPTVEFLSLSLNYLNGSFPEFVLKSGNVTYLDLSQNGFSGPIPDALPERLPNLQWLNLSANAFSGRIPASLARLTRLRDLHLGGNSLTGGVPDFLGSMSQLRVLELGSNPLGGPLPPDLGRLKMLQRLDVKNASLVSTLPPELGSLSNLTFVDLSTNHLSGGLPASFAGMQRMREFGISSNNLTGEIPGQLFTSWPELISFQAQTNSLTGTIPPEVGKATKLLILYLFNNTLAGGIPSELGGLVNLAELDLSVNSLSGPIPSSFGNLKQLTRLALFFNELTGKIPPEIGNMTALQILDVNTNDLEGELPSTISLLRNLQYLSVFDNNMSGTVPPDLGAGLALTDVSFANNSFSGELPQRLCDGFALNNFTANHNNFSGKLPPCLKNCSELYRVRLEGNHFTGDISEVFGVHPSMDYLDISGNKLTGRLSDDWGQCTNITRLKMDGNNISGAIPAAFGNMTSLQDLSLAANSLAGAFPPELGNLNFLFNLNLSHNSFSGPIPTSLGNNSKLQKLDLSGNMLNGTIPVGIDNLGSLTYLDLSKNELSGQIPSELGNLFQLQTLLDLSSNSLSGPIPSNLVKLANLQKLNLSHNELNGSIPASFSRMSSLEIVDFSYNQLTGEIPSGNAFQNSSAEAYIGNLGLCGNVQGIPSCDRSSTSGRHKRTVIEIVFSVVGAVLLAGIVACLILACRRRPREQKVLEASTNDPYESVIWEKEGKFTFLDIVNATDSFNEFFCIGKGGFGSVYKAELPSGQVVAVKRFHVAETGDISEVSRKSFENEIKALTEVRHRNIVKLHGFCTSGDYMYLVYEYLERGSLGKTLYGEEGKKKLDWGMRVKKCDVYSFGVVALEVMMGKHPGDLLTSLPAISSSTEEDLLLQDILDQRLEPPTGDLAEEIVFVVRIALACTRANPESRPSMRSVAQEISAHTQAYLSEPFRQITYNHRLILLNFFPTSIMLVQKVLNVVEESRLLSAGEEDQGSLGFYV >Sspon.04G0015140-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:65532574:65536828:-1 gene:Sspon.04G0015140-4D transcript:Sspon.04G0015140-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone deacetylase 19 [Source:Projected from Arabidopsis thaliana (AT4G38130) UniProtKB/Swiss-Prot;Acc:O22446] MDPSSAGSGGNSLPSVGPDGQKRRVCYFYDPDVGNYYYGQGHPMKPHRIRMTHSLLARYGLLNQMQVYRPNPARDRDLCRFHADDYINFLRSVTPETQQDQIRLLKRFNVGEDCPVFDGLYSFCQTYAGASVGGAVKLNHGHDIAINWSGGLHHAKKCEASGFCYVNDIVLAILELLKHHERVLYVDIDIHHGDGVEEAFYTTDRVMTVSFHKFGDYFPGTGDIRDIGHSKGKHYSLNVPLDDGIDDESYQSLFKPIVGKVMEVFRPGAVVLQCGADSLSGDRLGCFNLSIRGHAECVRYMRSFNVPLLLLGGGGYTIRNVARCWCYETGVALGQELEDKMPVNEYFEYFGPDYTLHVAPSNMENKNTRHQLDDIRSKLLDNLSKLRHAPSVQFQERVPDTEVPEPDEDQDDPDERHDPDSDMEVDDHKAVEESARRSVLGIKVKREFGENETKVQDGGRVASDHRGLEPMAEDIGSSKQAPQADANAMAIDEPSNVKNEPESSTKLQDQAAAYHKP >Sspon.05G0026970-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5D:44821376:44822981:-1 gene:Sspon.05G0026970-2D transcript:Sspon.05G0026970-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SHGAWQLIGSLIIPRLRRVYGYEMGGRGRNSRRRSGVVAERRSGDGPRASLQALIVIGFIDVTAKRVLGQGEQDYKSVEDVLHKCISLYKEPHTRRLVKKAVKQDYMMCLRKLTVMVQRIHPFPQMTGLQELLVEAGQIIEELAEESTRKK >Sspon.05G0029420-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:78594438:78595634:-1 gene:Sspon.05G0029420-1B transcript:Sspon.05G0029420-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDSFVADAKTFADSGTRFTGRQHPPASSFLYYDFPDSVPDEDEDDNYDGISVDVVAAHTDSVLLRLVNHRCPSATFEDRDYFVYRAGAAGPPSLSLLPDRDFLTKTESVYAHSPRLYSRRTGLLRRHGKDLLVVQLDLWYNRDTQQEMVEFCVLRPCMDVRQWELIEPVPILQDDDKVAPRLSGDVVITVGDRYLCWVDYEKGFFLCDMADEASPKVRYVPVPAEVSRCCSSGDLIPDYELNDDVFHIKYSMKMGSAGASKVRFVSISPHCCCGGPGRSTCAHSRFAFTVTTWTMDLSMDVESPLTWVKDGEIDCEEVWTLQGYEGLPRANLERPVVSLDDPNVVCFLVSNRYFAPYEDQQVWMIQLNIKTKTLLSVVLFSNDPRRAYHHLPAQLQY >Sspon.05G0032180-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:14828189:14849658:1 gene:Sspon.05G0032180-1C transcript:Sspon.05G0032180-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGDNKHAHPGSPYVFGLAEVDHDVEFPDPMPVVGISHSAKGYCLISVLETMKTYSAEEGLTEEAIVTKLRICRYHHLYLHNSLKNNSSGTSRWGEFGEGGLLWGECSGKSFEWFDGSPIQELLCKVREIYGLDEKTVFRNVTVSLEGRPQPLYLGTATQIGVIPTEGIPSLLKMALPSNCGGLPSMYIRDLLLNPPSFDVAAAVQEACRLMGSITCSVPEFTCISAAKLVKLLESKEVNHIEFCRIKNVLDEIMLMNRSAELSAILNKLLVPGSVATGLKVEADMLAITSLEYIPKEFFNDMESSWKGRVKRIHAEEEFANVDRAAEALSIAVSEDFMPIISRVKSVMTSNGGSKGEICYAKEHEAVWFKGKRFIPTVWANTPGEQQIKQLKPAIDSKGRKVGEEWFTTSKVENALARYHEACDNARNKVVELLRGLSSELQDKINILVFCSTLLIIAKALFGHVSEARRRGWMLPTISPLSKDCVVEESSSAMDLVGLFPYWLDVNQGNAILNDVHMHSLLVLTGPNGGGKSSMLRSVCAAALLGICGLMVPSTSAAIPHFDSIMLHMKAYDSPADGKSSFQIEMSEIRALVSRATARSLVLIDEICRGTETAKGTCIAGSIIERLDNAGCLGIISTHLHGIFDLPLSLSNTDFKAMGTEVVDGCIHPTWKLMDGICRESLAFQTARREGMPDLIMRRAEELYLTMSTNNKQTASMVHNEPPNGSPSVNGLVEKPESLKNRLEILPGTFEPLRREVESAVTMICKKKLLDLYNKSSIPELVEVVCVAVGAREQPPPSTVGRSSIYVIIRSDNKLYVGQTDDLLGRLHAHRSKEGMQDATTLYILVPGKSVACQLETLLINQLPSRGFKLINKADGKHRNFGISRISGEAIATQLN >Sspon.05G0033830-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:44180739:44182585:1 gene:Sspon.05G0033830-1C transcript:Sspon.05G0033830-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SSRRLQSGLPAFRVEDSPPPILSFPRCRPCWIPDLRRALLQLRSAGAHVQEQRIREPAQGRGSRLLLAPNLLLVIVLIGNLVAQVVLDLRA >Sspon.02G0013190-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:28867343:28868024:1 gene:Sspon.02G0013190-4D transcript:Sspon.02G0013190-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SCO2 [Source:Projected from Arabidopsis thaliana (AT3G19220) UniProtKB/TrEMBL;Acc:A0A178VCM9] MTTPPNPSPLLSSRPNPSLTLHRRPRFPHSPAAANTTGAASAPDWFRPRRPPDTDPSTSPGGRVVARDPGVRVKAKEGAEEEKDKQGKRRRWWERWSGDKESYLVDDVEPLPIPLTVPGTEPMSREELDRRLSCDVEIEDCKTVSYEWTGKCRSCQGTGLVSYFRKKGKETICKCVPCAGIGKM >Sspon.05G0026280-3D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:5D:41368561:41368995:1 gene:Sspon.05G0026280-3D transcript:Sspon.05G0026280-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQAIESHRVGAEVVTGGDAICRKKSIELLEELGLPKGFLPLEDIQEFGYNRETGFMWLVQGKKKVEHTFKKIKQTVSYAAEVTAFAEKGKLRKITGVKTKELMLWLSVVEVYVPEASPEKVTFKTGTGLSDTFDASAFALGE >Sspon.06G0017850-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:80016079:80021102:1 gene:Sspon.06G0017850-2B transcript:Sspon.06G0017850-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to Na+/H+ antiporter [Source: Projected from Oryza sativa (Os11g0648000)] MGLALGDPPADYASIASVGLFVALMCVCIIVGHLLEENRWMNESITALFIGLGTGAVILFASSGKHSRVLQFSEDLFFIYLLPPIIFNAGFQVKKKQFFRNFITITLFGAVGTLISFTVISLGALGLVSRLNIGALELGDYLALGAIFSATDSVCTLQVLSQDETPFLYSLVFGEGVVNDATSVVLFNAIQNFDLGDISGAKLLNFIGSFLYLFGTSTFLGVALLDLSGILTVFFCGIVMSHYTWHNVTESSRVTTKHAFATLSFIAETFLFLYVGMDALDIENPVKSIALSSTILALVLVSRAAFVFPLSFLSNLTKKTPNGKISFRQQVIVWWAGLMRGAVSIALAYNKFTRSGHTQQPSNAIMITSTISVVLFSTIVFGLLTKPLIRLLIPPRHLSREASALSEPSSPKSFFEELATNSPGLPDLENGISLRRPTSLHLLLASPARSVHYYWRKFDNGFMRPVFGGRGFVPFVPGSPTESSVPLLPGNEN >Sspon.05G0015740-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:62042821:62050709:1 gene:Sspon.05G0015740-1A transcript:Sspon.05G0015740-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALACAAVVLPAAAVALMAIALQLSAAAATAPIGQPGCNTTCGEVSVPYPFGYGPSRCYWPGLKLTCDTSHHPPRLLLGDGTRVTAIFLGNSTVRVVRTGPIIKTRGDLFTSDGWNASLDFGRGFWEQGYLLSAQNELVVMGCNVMATLSADIVGEETTKIVSGCASFCTKSDSDDDFIDKGHNRGIERYCSGTSGCCVAPLTSGGVPKAVQARWLYSGNHTLEQTFRPVTVFVTERGWIDDWPQWKDGFKEVPLLLDFGVKQGLPENRSSIDCPQDEHSSCSAMDQGYTCNCDDDALYPTVATVPTGTPGCNTTCGDVRVPYPFGFGPSRCYRPRFNLTCDTSHNPPRLLLHGNALAPSSTSWMGDIDGGPSYLLAGVRLPDIGEPYVLSTRNEFIVVSGWDVRATLYGEYINGSSSNNNNGDSIISQAVCSSGGADRPPVPTPTHRGYCAGHDGCCLAPIPAGSTPKRVEFKALNKNSSHHYGNWSWDYALAFISEVGLTDQWHKILNMNGPTNYMSSPIVLQWAVKQGLSAPAVDKSGNCPWDVVSRLCKSENSDCRQENGGYTCHCSMGYDGNPYVTDGCQDIDECNDPALHKSCLGGYCNNLPGHYECRCPRGMHGNAYEHGGCVPNKAPTGQRFQESSRRYSLEQEMIMSA >Sspon.08G0024370-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:63710573:63714429:1 gene:Sspon.08G0024370-1P transcript:Sspon.08G0024370-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNGEADRQAAPEEEEIVVEMPVKPAPPPPPVKVSPVGAGASAWETAALPLSMVVVQAFMVVMLLLSKLALNTGMRPCVLIVYRNLVATAAVAPLAFFFERVVLAMGLYYYGLQITSAAYSVVFLNLIPIVTFVIAILVRAEKVALGKWPGRLKVLGALTCVGGTMIVSLLKGHLLHLWPAHLLKTSHASAPASGGHHHDMLTGTLFLCGSCLSYALWFIVQARLGKVFPTRYWATMLTCLLGSIQAFIISVFLSHDRADWRLKWDLQLLTVVYSGVLNTGITFVLISWAVSRRGPIYPSMFNSLSLIITTVMDSLLLGTKIYVGGVVGALLIIVGLYAFLWGKGKELQAAVAEKKKLEQEQEEEEQRRGESEMT >Sspon.07G0019000-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7A:69175795:69182860:-1 gene:Sspon.07G0019000-1A transcript:Sspon.07G0019000-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQYYSDTRIQYSTAFLSLLSLINYLIKGLGTILAKSSKWFGEVELSIGRAHKVGNGFALLCPPLPRLPHDGSRQSSIHRSTAATAPAPAAQAHHRLSSHRARCERALQWPTAFTPPPNRTASRRGGQRQRQRRRRAGFVPGAQVADVPAPHLPAAGSREAPARAVLPLLVGRHPPSSTTAPVLTDAITLTVTARIPNTKMVYLYNDLTLSVATAANAVPLGTAKNSESEEGRASLPAARGDADRSAGRGRRRRASGSGRRAGSGLDLDDGVLVLAASPPRTGVVAFYTEGAGERGREGKDAWSVRRAVTPRGFTKRAEDPEFLVRLECAGDARPSWTARYLTRKGFKSSDHPAWAPAHAAGRRPVRARPLGVRSRTNWLVLRWIRVGFGPALPLAHSRADAEPVDQKNYLEERCKPQCVKSLYDYERCVKRVENDGSGQKHCTGQYFDYWSCVDKCVAPKLFDKLK >Sspon.08G0022770-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8D:52741643:52742229:-1 gene:Sspon.08G0022770-2D transcript:Sspon.08G0022770-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SRPGGAALYGKPFVNFDKLFEVYASDLAKGVKAKGPRDQFEMHEELSSGNVTEATQQFENAVDSHSQPSSHGSIPSCASRSSQCRGYECTAFTQELEAQKRAAERREQLFAVLTKFTEFTSDQIVKAAPIIGQNEERLLSRRAKAPDAHFWRVWFLVCEIPS >Sspon.01G0002680-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:7227362:7229960:-1 gene:Sspon.01G0002680-1A transcript:Sspon.01G0002680-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPGGRNGLRDEDDDMAEHAEAFGGASEDEDVPPHLRALANAAQTGDVAALVAALDNHDGSIDVPVEDGDTLLHLACLYGHLPCVQLLLERGASLECKDEEGAIPLHDACAGGFTEMVQYILNFAANKDGCVVRMLNTVDSEGIHRSIMLLEVNIWMLSSFFFEAGASPRRRTLTGRRPLIWLTKTPKFEPC >Sspon.05G0020400-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:66764617:66771039:-1 gene:Sspon.05G0020400-2B transcript:Sspon.05G0020400-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDACAYHKWKPMDLKHASSTAIFCLLLLFCVGCRCRPLQIEATQTATLKVDATPQLARKIPETLFGIFFEEINHAGAGGIWAELVNNRGFEAGGSHTPSNIAPWSIIGDDSSIFVATDRTSCFSRNIVALRMEVLCNDCPAGGVGVYNPGFWGMNIEDGKAYNLVMYVKSPETTDLTVSLTSSDGLQNLASATITVSGTSNWTKVEQKLVAKGTYRTSRLQITTNKKGVVWLDQVSLMPEDTYKGHGFRTELISMLLDLKPRFLRFPGGCFVEGEWLRNAFRWRESIGPWEERPGHFGDVWHYWTDDGLGYYEFLQLAEDLGAAPIWVFNNGISHNDEVDTVAIAPFVKDVLDSLEFARGSADSTWGSVRAAMGHPEPFPVKHVAIGNEDCGKKFYRGNYLKFYNAIREAYPDIQMISNCDGSSRPLDHPADLYDFHVYTDSKTLFNMRSTFDRTSRSGPKAFVSEYAVWRSDAGRGSLLASLAEAAFLTGLEKNSDIVQMASYAPLFVNNNDQTWNPDAIVFNSWQHYGTPSYWMQTLFRESSGAMIHPINISSPYSSSLAASAITWQDSENSFLRVVNFGSDTVSLTISTSGLQASVNSLGSTATVLTSGNVMDENSFSNPNKVAPVTSQLSNAAEQMQVTLAPHSFTTFDLALAQSKLVAEM >Sspon.07G0009810-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:25174276:25186353:1 gene:Sspon.07G0009810-2C transcript:Sspon.07G0009810-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CAAX prenyl protease 2 [Source:Projected from Arabidopsis thaliana (AT2G36305) UniProtKB/Swiss-Prot;Acc:Q8GW19] MLAVFGVRKDHLIQAVAIPLFLTSLVYAGSFVTRLWLSWGSGDAVEIGCAQRLAQWIQAAVADVMVWRNYVVAPFTEELVFRACMIPLLLCGGFKMSTIIFLSPVFFSLAHLNHLFELHQQGCNFVRSLLIVGVQLGYTIIFGWYAAFLFIRTGNLLCPIIAHVFCNMMGLPVVSSPRTKGAASVAFVAGSISFFWLLFPATSPVLYNSRSDPDFNIECRKH >Sspon.08G0002060-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:3389381:3391623:-1 gene:Sspon.08G0002060-2B transcript:Sspon.08G0002060-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIVSCGASLCSIWLLITATGGDISWRGEETTSSSASPRSGCPPPTPRRQQRSSSPKQQQPWRPPSHAQAPRLLPTLLAKPSARPLPAVEPAGDQEQHLYIGAPQHLYIRAPALARARRLHDINKKKAKTCAPAHEEAGLREALLDQPAAAAAEGGVPKGYFAVYAGEESRRFVVPTGYLREPAFRDLMERAADEFGFAQAGGLRVPCAEEDFEDLLRRLQRKNGGAAAGKGKKAVVGR >Sspon.05G0011470-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:32848690:32852356:1 gene:Sspon.05G0011470-1A transcript:Sspon.05G0011470-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRYHRVEKPREEEAPIGANEIRITAQGRPRNYITYALALLQDNATDDIVIKAMGRAINKTVVIVELLKRRIAGLHQNTSIESIDITDTWEPLEEGLVTLETIRHVSLITIKLSKKELDTSSPGYQPPIPADQVRPAAEFDQDAEAVPSGRGRGRGRRGRGRGRGRRFSNGGVDYHDEFGEPEEEAPHGYRGRGRGRGGRGSFAPGRGYGGDNYAMEEAGGYDDGYNAPPMQGYEGGRGRGRGRGRGRGRGRGSQGQGPPPQQ >Sspon.04G0011480-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:31473455:31480372:1 gene:Sspon.04G0011480-2B transcript:Sspon.04G0011480-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPARVDAEKDAQHELEMKVAKYSRGQGADLKTLGDKKLKGQLSVKEKLYGQSAKAAAKAEKPDHLEKTYRFSQRDILTEVDLLSSRKPFDMILPVLGPYNIGYTSNGRYMLVGGRKGHLAMMDMLHMDLIKEFEVRETVRDVTFLHNEQLYAVAQKKYPYIYNQHGTEIHCLKEHGKALKLQFLSKQFLLASINSFGQLHYQDVSTGEMVANYRTGLGRTDVMRANPYNAVIGLGHAGGKVTMWKPTSVKPLVTMLCHHGPVTAVAFDRGGHLLATAGVDRKIKIWDLRKYEVVHSYPQRAQSLDFSQKGLLACSNGSQVEIYRDFGGHDYKLYMKHKIMKGYQVGKVLFRPYEDILGIGHSMGFSSILVPGSGEPNFDTFVDNPMETTKQKREKEVHALLDKLPPETIMLNPNMIATVRAPKKKEKKNKKEIEEEMEDAIEAAKNIERKKKTKGRSKPSKRAKKKEEDVFKAKRPFLEQSEEINGRPDKKQRIGEEVELPKALQRFAKKPQS >Sspon.01G0049700-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:113943110:113945973:1 gene:Sspon.01G0049700-1B transcript:Sspon.01G0049700-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DSLLGWGEGLGDAGRHASSLLDRDRHGMGKGSTVLRVPPRWRPVPVHLQRPDKQRQPCLCVRDLPDLTTSSTGRCSALHERLVTLVGRAAGTSSPAHHLFVVDMEFRCATLQRKTHLGRPAYLHRRTASQPATFLPRREVTERASELAADGHGTATAAPHVMVLPFPAQGHVTPLMELSHRLVDRGFQVTFVNTEPIHALVLEALRLPAGGGSAHLDGIRLVSVPDGLADGDDRRDLSRFVDGLFRCVPGYVERLIRETKVTWLVGDVNMGCASRLPASLVPQLIEEGYFDDKGMYGCCNLAAFTWHTGVKNSHSTSIRVTNAGFPKRHGLFELAPGMPPLCPSQMPWSIDGDVAGQEVAYQLVTRNTQAARSHAEIIVCNSFCDAEAAAFELFPSIVPIGPLFADAELLKPVGQLLPEDTGCLRWLDAQPDGSVVYVAFGSFAIFNPRQFEELALGLELTGRPFLWVVRPDFTTGGGLSKAWFDEFQGRVAGRGVVVSWCPQQKVLGHRAVACFVSHCGWNSTMEGVRNGVRFLCWPYFVDQFANRSYVCDIWRTGLAVSPGEDGVVTKEEVSSKVDQVVGDEGIADRARLLKDAAC >Sspon.01G0040410-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:40591799:40594014:-1 gene:Sspon.01G0040410-1B transcript:Sspon.01G0040410-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRSFTAAPVRVLLAPPLLLLLFLLGLLRPAAANVGDSCSTSADCGAGQWCFDCEPKFSGSHCVRSAATNPFQLINNSLPFNKYAYLTTHNSYAIVGEPSHTGIPRVTFDNQEDTVTDQLNVVYLLILRLFQMSCSASFHPEWNSHSRGVCNGMQNGVRALMLDTYDFKDDVWLCHSSGGKCNDFTAFEPALDTFKEIEAFLSANLSEIVTIILEDYVHAPNGLTNVFNASGLLKYWFPVSKMPQNGQDWPLVSDMVASNQRLLVFTSISSKQSTEGIAYQWNFMVENNYGDDGMDAGKCSNRAESAPLNDNTKSLVLMNYFPSVPVKFTACLQHSQNLVDMVNTCYSAAGNRWANFIAVDYYKRSDGGGAFQATDLLNGRLLCGCQDIRACSQGSGVVCSA >Sspon.02G0008060-1T-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2B:33942464:33942670:1 gene:Sspon.02G0008060-1T transcript:Sspon.02G0008060-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPGMQAALVKAGVFVLVQALVYLILSQSSTVFSRTKSLGLRPTRSPSLSARRMLALLSDLPIAGEPSP >Sspon.07G0013310-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:47975709:47976838:1 gene:Sspon.07G0013310-1P transcript:Sspon.07G0013310-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAAGAPVVALGDDLLREVFIRLPASVDLLRAAAACKSFLRAARSAPFLRRFRRRHPSSCPRLLGYLILFSNRRGGKSHFVPISSSSSSSSAAAAADGGDFALSFLPGGGGQGASTWKHLDYRNGRLLLENPGSHELAIADPISRRCVSLPAPPAGRAVGYGLFSDDGDSSEFRVVCVSRDAASPELRALVLASGELSWADVAGIACQPDVAAGSRVMQANRSLYWRLEGGERMVAFSTASMELSVLNLPPALRELRIDAVDRGEEASTPSTGGKRRMSMCGLAERTVMVGWFHKALTEMIDPLFQSYRRNEVDVIGVAAGLVFFRQWNHLLSVDLETMKLKKLPNVEPMGR >Sspon.01G0036290-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:13350841:13363147:1 gene:Sspon.01G0036290-2C transcript:Sspon.01G0036290-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAGGIDKETSLAWMICGNELFIWSYLASVAKDCIVFDIPSSLIGYNDVKPVLGIQWTIDEHGKEFSILVATLCKDRVSGSNYTQYSLLTMLYKPNQKFSSEDNVAKVERFLEKKAPSQVIIPKARVEDDEFLFSMRLKTGGKPSGSVIILSGDGTATVAMYWRGSTRLYQFDLPWDAGKVLDASIIPSAEDRDEGAWVVLTEKAGVWAIPEKAVLVGGVEPPERSLSRKGSCNETIAEEKRRSQGFSASVVPRRVSSEAWSAGERQRPALTGIAQQSVVDEESEMLLNRAVNEALQKLRAAGAFEKEGEMNIFVRTSKSIVNTLAKHWTTTREAEFLASTIVSSLVEKQQKHEKFLQFLVLSKCHEELASKQRAAMLTVMEHGEKLSGMVHLRELQNALIQQRSSTHLSPQSKTQGIGALWNLIQLVGEKARRNTVLLMDRDNAEVFYSRAASHYRKDHKEWYPSPEGLITWNSQPVVRSGIWSLASLIMELLGESGAADMSMKSSLWSQLEGLTDILLEAYIGLLTAQFERGQEHGVLVQEYCERRDELLRSLYNLAKQIVEVKYQESKDGKDNPDLKESIFRKAISPILATAKRHEELVNRGDYSKLLRLGEEFQEELASFLKERSDLLWLHEICLNQFSSASETLHTYALRGSPDGDASFTTSRKPLSFVERRRLLYLSKIAATAGKDIGYEVKVARIEADMRILKLQLRADLGGEGVVTEEELDEEEPADEHTKFLPGHCLLSTLGFSVSRPLDQYTYCSSLVPSSPTKRSARTPWPCRRTRGVPGGARLVAEQHLALLHLEHGVVALDLQVLLGVPVLELDGRVQAQRLPHHRLGQLHLLHRRQGDLAAGLGHGAADLGDQLLVELLAGAGDVGQEAREQHLEPPVAVEGGGEEQVVAGLLARQAQALGGVQRLLRRRGEVLVQRPVVALDEEGREVERVEQRLLEHHGEAERDERVELPDEPLGRLGRPAAAEVRRRGAHAEVGLADEVEGGDVVELVELGLAALGELRLDGGEQRGEVGAEGGDDVVLAGEQEGEEHLLHLGVGGLGGVEVGADPVAAGEGGGGHVGAREQSTGKLLASSTATTRGSRAMKGPGGTFKRTTVEP >Sspon.01G0037110-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:20191683:20194477:1 gene:Sspon.01G0037110-1B transcript:Sspon.01G0037110-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AMGIATRVCFVVLLLICTFLTEGRAATFTFVNRCTGTVWPGIQSNAGSSRLDSTGFVLPPGTSRAVQAPSGWSGRAWARTGCVQDATGKVVCATGDCGSGSLECNGQNAATPATLAEFTLAGGGGDDFYDVSLVDGYNLPILVEPAGGATGATTCAAAGCTADLNERCPAELRTDGGAGCRSACDAFGKPEYCCSGAYANPNTCRPTAYSQLFKSACPKSYSYAYDDPTSTFTCAGGRDYTITFCPVATPSLKSSSGPGATTAAPTGPTPTLPGATPQMPRSAGGQDGQGVMLGDNSWLASLATGDASSAPSLPPALRASPLAPLLLGGLLLVVL >Sspon.03G0028710-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:10985835:10987206:1 gene:Sspon.03G0028710-2P transcript:Sspon.03G0028710-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding WDDRKMRGLFHHDISSCETKVLPGEHNFVATLIEGRDQKKRPTEFTMNQVLQPFVSEKFNFTKVSPLEVIFRFNETKKDSAQYFDGVPGTVSASSSAILINVSPIGYCHVLLTPKIQDCLPQRIDQESFLIAMYVAREARNPFFRVGYNSLGGFATINHLHFQAYYLKVQYPVEKATTEKLTSLGNGVSIFHLVDYPVSGFLFEGGASLEDLSDVVSKVCIFLQENNRPFNVLISESGKRVFLLPQCYAEKQLLGKASQEFLDMRINPAIWELSGHLVLKRRKDYDEASEANICRFLVEAALSEKEFQELNRCVLDFVTRSLGCN >Sspon.04G0021720-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:1425538:1427346:1 gene:Sspon.04G0021720-2C transcript:Sspon.04G0021720-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCVACLLPLFLIPVVNALPYLIDLIISKVYRLFGWEYRRPERVPPACPYKPAAQKNDEGASESKPLVNPHGAAAEDKKEE >Sspon.05G0013010-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:42446931:42452776:1 gene:Sspon.05G0013010-4D transcript:Sspon.05G0013010-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SNF1-related protein kinase regulatory subunit gamma-1 [Source:Projected from Arabidopsis thaliana (AT3G48530) UniProtKB/Swiss-Prot;Acc:Q8LBB2] MESPRSPEAEIGHRVEDLWEVAEPQLSPSEKLNSCFEDIPVASFPRTHPSQVIEIPSDASLAETVEILSKNKILSAPIRNVEAPEDASWMDKYIGIVEFAGIAMWLLSQSDAVVNGTVGSGAGSPVSNLVSRLGSFTFRRTSSGRVETATDSESDEAASVGGSFFETLTSSEFYKNTKVGDISGSFRWAPFLALQTSDTFLTMLLLLSKYRMKSLPVVEVGGDKIENIITQSSVVHMLAECVGLSWFESWGTKKLSELGLPIMKPSKLVKVNEDQPVLKAFQLMREKGVGGLPVMDTSGAKAIGNISIRDVQYLLTAPKIYKEHRSITTKDFLSAVRHHLQEQREASPLLHDVITCKRDDTIKDIIMKLDSEKIHRIYVVDDKGNTEGVITLRDIISKLVHEPRHYFGDFFDGVVPLPANSTD >Sspon.05G0013600-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:49310976:49324325:-1 gene:Sspon.05G0013600-2B transcript:Sspon.05G0013600-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSCDECSVWVHTRCARYVRSVHTSFSCHKCRRPRLPSSSPSCPPTARPRCTAAGPRSRSPPASTSTGFPAGDGALFRGAPAFSAALWRCTGYVPKRFGFRYCEFPSWADDNDGADALFALAREKPREMADDALIGIEPKKEKHYVRSLSCRGKKLDSDQQAMPLTKAKKREPDSWNDGCQQSEGCGIQDSIHDDGHTEANMASSDLQTAKTKKKMESSGEKKSCVQVLEALSKDGKKVPKKLEVSSGVQTTSSMMEQEVHSGFIGVEVTMYKQQPEGDHTMGSRSGITSSGPIKVQDMQGVQKQPNQTSNLQVVASVPDLLIGQSKPQTIKTEPSSQENKTAHSVELVSDDHESNKQGLGDAAVFSIVQKDSSKLTYGSECHEHPKSETQNPRRTVAENPNSTLGSAKVCTSFSGSISIPPELSHSLASKEPSSGNSDCSKKEELVSPTVSKHDSVKVSEDSSQEVTRYSEKVQLKGSPPSAPKSSQASRIHVSTVKHRLPVSKEQSQKIALTGGTSARSFHGEVPSLQSRNKAVASSSSQKKDKIHQRIINVTQDSSNNSASTELRASDSAAPLSDEQLALLLHQQLNSSPRVPRVPRCHQAAGAQMLHPTGVSVFSKRYSSHGGRDHAAVIKKRNRDDSLKDSEDTKRTEKRHRVSSTEHASVKDSCRSAENIASEQKTRGICSTGANTGLAKDDLMDTTVSHNLPGLIDEIISKDRNITYGELCDTIHQHFRDSRKSNGGDSVYRSYVDAINDCLRKRREWAHLVDQASKMNSNKRRKGESNSLLADVLEAENMRAGHERDSEGSADLHQDDQPRGKRKGRKRRRLELKGRRVRDTRKRSSIDSSSEDAAATLSDSSSGRNNNPMDDENKEDNSVAPEIGGYIDAKGADSSS >Sspon.04G0016420-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:16230725:16231645:1 gene:Sspon.04G0016420-1T transcript:Sspon.04G0016420-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding PEMGAEVECDRIRGPWSPEEDDALRRLVERHGARNWTAIGREIPGRSGKSCRLRWCNQLSPQVERRPFTPEEDAAIVRAHARLGNRWAAIARLLPGRTDNAVKNHWNCSLKRKLAAAVSGPGVVSGDAAADEIEAARPSKRVSLSPDSPSGSGSGSGSRSDRSDLSHGSGSGQIYRPVARSGGFEPADCAMSRPRVDDDPLTSLSLSLPGTDQQRFHHDRAHSQFQELPASPPSPSPPAAAPPAPSAYPFSPEFMAAMQELIRTEVQRYMASVGVRAGCGAAGGADLCMPQLVEGVMRAAAERVGRM >Sspon.03G0009630-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3A:26007418:26011978:-1 gene:Sspon.03G0009630-1A transcript:Sspon.03G0009630-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAVEEQQPPQVSSMSKTLDGVTKPSLGKEPILGSELWTDGLICAFELVKSHRKPVRHKSWPAIEQMQEKGPTMYTRKHSRRDGHQITVPKVDESIVLENPHQTDFSNDPSVLKDRPLYSGEILDHKWVPIGWSRIAELVLRVQSDSSWENELMEMSDSEDDYTVADLAAPYWQRPVGPTWWCHVTAGHPSIDAWLNSAHWMHPAIRTALRDESKLISDRMKYLLYEVPVRVAGGLLFELLGQSIGDPNHEEEDIPIVLRSWQAQNFLVTTMHVKGPSSNINVLGVTEVQELLLAGGSQTPRSVHEVIAHLVSRLSRWDDRLFRKYVFGEADEIELKFVNRRNHEDLSLVSIILNQEIRRLATQVIRVKWSLHAREEILLELLRHLRGNATRAILESIRKCTRDMLEEQEAVRGRLFTIQDVMQSTVRAWLQDRSLRVTHNLAIFGGCGMVLSIITGLFGINVDGIPGAQNTPYAFGLFAGLLFFIGIVLIGVGMLYLGLQNPVNSEKVKVRKLELQQLVSMFQYEAEQHGKVREGLSRHGSPPSSSAAYDEGYIRIS >Sspon.02G0031820-3D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2D:89152122:89156409:1 gene:Sspon.02G0031820-3D transcript:Sspon.02G0031820-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMIGISAARWAVGKALGPVSDGLLESWAACSELGPNINALKLELLYAQGMLDNARGREVRSPALGQLLQELSHQAYDADDVLDELEYFRIQDELDGTYETTDADAQGLVGGLVLNTHHTARAVVSKLKLPSCSCASVVCQYGKKPKLKFDRVAISKRMVKIVEHLKPLCAKVSTILDLELQGTIAFTGTAALQGIAFTQTTRTTSPQIIEPNLYGREKVKMDVIDGITSKYQANNLAVLSIVGPGGLGKTTLTQHICEKVKSHFQVLVWVCVSQNFSASRLAQEIVKQIPKLDNENGNESAEDLIEKRLQSKRFLLVLDDMWTDHENEWKQLLAPFKKMQTKGNMVIVTTRIPKVAQMVTTVGCQIRLERLSDEECMCFFQECVFGNRQTWEGHANLHDFGYKIVKRLKGFPLAVKTVGRLLKTELTVDHWRRVFESKEWEYQASEDDIMPALKLSYNYLPFHLQQCFSYCALFPEDYEFGREELIHLWIGLGLLGPNDQNKRIEDIGLGYLQGSLEIHNLEKFEATTEMEGAKLVHMYHLNRLQLHWDRNQSNRDPKKEQDVLEGLKPHNNLQEVCIRGHGGHTYPTWLCTDHSVKNLECLCLDGVAWKSLPPLLGEFLMVGEEQPSITGQIFHNLKRLELVNIATLKKWSADSPFSTLEVLTVQDCSELTELPSPHMFPILQQIYISRCEKLVSVPPIPWSSSLSKAELRRVGAIIQYIDYMKIEQKMSVEFTKDALDHKLWNVLAFSNLSEIKEFQIKRCPPVPLHHLQLLKSLKTLKINECTSVLWPAEGENDSPFEFPVEQLEISDCGATVKELTQLISYFPNLSTLGLSRCDNKQAGGAEEIEATAGGQPPLPLQLKELLQNQSSLKSLVIWYCPMLLSSNSLPSFCCPFPTTLQSLKLGGVKDGMLTLAPLTNLTKLVLSYCGGLRSEDLWYLLAQGRLKELEISGAYNLLDVPEPSRMCGQDLPQHSSRLQALETDGEAGGAVAVPIGGHFSSSLTKLELGWNDMDHFTKEQSEALQMLTSLQVLRIERYSRLQSLPEGLSGLPNLKTLQIWVCNSLRSLPKGGLPSSLVELYILDCDAIRSLPKGTLPSSLTELQISSCSAFRSLPKESLPSSLTTLEISGCPAFQSLHQGSLPRSLQRLDVRGSNKKLRRQCQKLQGTIPIVYLQY >Sspon.08G0009670-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:37215028:37219357:-1 gene:Sspon.08G0009670-4D transcript:Sspon.08G0009670-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESGGGGVEDAGSLPPRPSHTNGGDGAGSTWEIEELEPDDRPPRSVGVAASTASSGADDDVYVAVGKGGSSMAALSWALRRLTKPRTFVYLVHVFPVVTSIPTPLGMMPKSQASPEQIETYLNQERSKRRQMLQKFLDQCRKFQVTVDVYLIESDQIANAIIELVPVLHIKQLVLGVSKSNVRKLKRGTTIAGQVQKSAPLYCEVKIICDGKEVTTETTADPTPPLSPSPVNNNSRSNNPTPPSSTPNHDKTAANGDRKDSEPRERKKITKRLLIKSLAGKLTTGYSGKRKG >Sspon.01G0001130-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:3789824:3798223:-1 gene:Sspon.01G0001130-1A transcript:Sspon.01G0001130-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMYYRKALMLQSYLERIQSEDLESTLGLTSSADTHFELSPEARAQADLKFTYVVTCQIYGKQKGEGKPEAADIALLMQRNEALRVAYIDEVENAKNGKPITEYYSKLVKADIHGKDKEIYSIKLPGNPKLGEGKPENQNHAIIFTRGNAVQTIDMNQDNYFEEALKMRNLLEEFSLKRGKHYPSILGVREHVFTGSVSSLASFMSNQETSFVTLGQRVLANPLKVRMHYGHPDVFDRIFHITRGGISKASRSINISEDIYAGFNSTLRQGCITHHEYIQVGKGRDVGLNQIALFEGKVAGGNGEQVLSRDIYRLGQLFDFFRMLSFYVTTVGFYFCTMLTVLTVYIFLYGKMYLALSGVGESIQNRADILQNTALEAALNTQFLFQIGVFTAVPMILGFILESGVLTAFVQFITMQFQLCSVFFTFSLGTRTHYFGRAILHGGAKYRATGRGFVVRHIKFAENYRIYARSHFVKGMEVALLLVIFLAYGFNNGGAVGYILLSISSWFMALSWLFAPYLFNPSGFEWQKIVEDFRDWTNWLFYRGGIGVKGEESWEAWWEEEL >Sspon.03G0015280-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:43843879:43844612:1 gene:Sspon.03G0015280-4D transcript:Sspon.03G0015280-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MERVASSCASLLAQRRGYSVAAAVVKGTSGRKAVDKVAKRMVLGKEVNTAAAASVSAEKTPWVPDPVTGYYRPAGGAKEVDAAELRARLLTQRVAN >Sspon.01G0014540-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1C:36050924:36052597:1 gene:Sspon.01G0014540-2C transcript:Sspon.01G0014540-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLGSCPAAAGDATAEELLERARGLVPPALAAARAATGFGGRWKAIAARLERVPPCLSDLSSHPCFSKNSLCRELLQSVAATLAEAAELGARCHEPPKAGKLQMQSDLDALAGKLDLNLRDCSLLVKTGVLSDATVPAAPAETASAAAAQTDVRELLARLQIGHAEAKHRAVDGLLDALREDEKSVLSALGRGNVAALVQLLTATAPKVREKAATVLCLLAQSGSCEGLLMSEGALPPLIRLAESGSLVGREKAVITLQRLSMSPDIARAIVGHSGVRALIDMCQTGDSITQSAAAGALKNISAVPEVRQALAEEGVVRVMINLLDSGVVLGSKEYAAECLQNLTSSNDSLRRAVVSEGGLRSLLAYLDGPLPQESPVAALRNLITAVSPDSLVSLCVLPRLVHVLRDGSVGAQQAAAATICKISSTTDMKRLVGEHGCIPLLVRLLEAKSNGAREAAAQAVASLMGCPANARDIKKDEKSVPNLVQLLDPSPQNTAKKYAISCLLALSASKRCKKLMISHGAIGYLKKLSEKDVAGAKKLLEKLDRGRLRSLFSRK >Sspon.01G0029890-3P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:77409109:77411636:1 gene:Sspon.01G0029890-3P transcript:Sspon.01G0029890-3P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGDLPLLLLLLSLAATTTAAAALAPKQQNPPALSSASPATATGSGVSSNSVLVALLDSRYTELSELVEKALLLQALEAAVGRGTNVTILAPRNEALERDLDPEFRRFLLEPRNLRSLQSLLLFHVLPARLHAASLLSPRSRSRHHPTLAGEPLELGAVSRAVTRPDAVVRADGVIHGVDRVLVPRSVQGAFNRRRSLAAISAALPTGAPEVDPRTHRLLKKPSPGPAPLGAPPALPVWDAMAPGPAIAPAPAPGPGSGTHRFDGHGQVKDFIQTLLLYGGYNELADILVNLTSLATEMGRLVSEGYVLTVLAPNDEAMARLTTDQLSEPGSPENILYYHMIPEYQTEESMYNAVRRFGKVRYDTLRLPHKVVSREADGSVKFGAGEGSAYLFDPDIYTDGRISVQGIDAVLFPPAEDGRKRPAAGAAVPARKAPAVAGPAAKPKLRRGKLLEGACQLMGLFVRRSRLASCQ >Sspon.06G0010900-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:2217890:2219300:-1 gene:Sspon.06G0010900-1P transcript:Sspon.06G0010900-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DMPRAKRGRLVSHIRSSINMASGPRNKGWLSIRTNISKQPHRPNDDGTPLTDEIILFIFIGFLEIADLVRCAATCRRWRHLVSGDASFICRTSQQLCFIDKFIPSLIIGFFHKHDATTLSFVPMASASRRFPVLQKPSLSLNMDIDDGLLSSSRIVASRNGLLVIEVQRGKHSRTLKLCVCNPMSGEVHELPALRGKDGLGHYACTVLTAVDYQNKNNNPQPPLSSHYHLLMVYSRLHYTAFRSYSSKDGIWRPEGKVTGAQLGKNQIQLMRNGVIACGGQVAYWITKDLVFGLHLNTLEATMTRLPWSGGNTFIDTDNILLGMTPKGRLCAIQFSRHWYLSTHGKWAPTNEICVITYDNNGSTDNSMLQMSNSLWKTKINIQVDQALKVDALATEVKLQWFCEKSGVVFFTTSYYGKLRSEVYALNLGTRMIEKVASNTKDNDLWNNFYGYEMDHASYLTSLLSQK >Sspon.07G0037490-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7D:54175988:54176385:1 gene:Sspon.07G0037490-1D transcript:Sspon.07G0037490-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SASHGGQYCSPFKAWAMAHKGKATFEVDFNPEDPPEVYSNTTIHSCLSEYTSMVREIHGPEYDPTTQDLDGELIMRVGGGKKHGRYWPGDSVPDTAATPSLSQIRARSTSSSPAICPRPDTAQHRVNALQ >Sspon.08G0014720-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:54756432:54761723:-1 gene:Sspon.08G0014720-2B transcript:Sspon.08G0014720-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSAPARAAGGRRDPGENPAVGRLRELFTGDAADGWEKSWEFGVTPWDLGKPTPAIEHLVRSGTLPKGRALVPGCGMGYDVVALASPERFVVGLDISDLAVKKAKQWSSSLPNADYFTFLAEDFFKWIPSEKFDLIFDYTFFCALDPSLRVAWAETVNRLLKPDGELLTLIYLISDQEGGPPYNNTVADYQKVLEPLGLKAILMEDNELAIKPRKTALDWKLKKYPEEMEELLVDASDQSEAKGKWINLLKFGEEWNM >Sspon.07G0017580-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:79497125:79499500:1 gene:Sspon.07G0017580-4D transcript:Sspon.07G0017580-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAVAATTSSLLLVSVPRISSPITLPSPSSLRPPCKSCCSFTASTTARQQHLACGLAAPRLSSKGSGRSISWRCSSSATGGSSTAVTTEKWILDPAGDGDWRHIGYKVAQPSAIEIVSDAVTVGRVADKADIVLPVATVSGTHARLEKKGGSLLVTDLDSTNGTYINERRLNPGFPVPIDPGSFLIFGDIHLAMFRVRKMKVEVPSTEAEGAEQEIKTEVVSAAAVEDTAS >Sspon.06G0018940-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:104188375:104189933:1 gene:Sspon.06G0018940-1A transcript:Sspon.06G0018940-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFQDFPPRSSLDPSKYGDHTSTITEEHLENKLEGLTVQQALDGNRLYILDHHDNFMPFLVRINSLEGNFIYATRTLLFLRGDGTLVPVAIELSLPDVLPDGVTAAKSTVYTPPASTAGAEAWVWHLAKAYANVNDYCWHQLISHWLNTHAVMEPFVIATNRQLSVTHPVHKLLQPHYRDTMNVNSSARQLLVNAGGIFETTVFPRQYAFEISSKVYGSWNFTEQALPDDLIKRGMAVADPTRPCKVRLLVEDYPYASDGLAVWHAIEQWATEYLAIYYPNDGVLQADVELQAWWKETREVGHADIKDAPWWPEMQTVAELAKACATIIWIASALHAAVNFGQYPYAGYLPNRPSVSRKRMPAPGSDEYAELERNPEKVFVRTITCQFEALVGISLLEILSSHSSDEVYLGQRDTPEWTSDAKAQEAFKRFSTRLTEVDNRVKAANKDPRLKNRNGPAKFPYTLLYPNTSGKKGDATGITAKGIPNSISI >Sspon.08G0020260-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:19399704:19410252:1 gene:Sspon.08G0020260-1B transcript:Sspon.08G0020260-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDGARERDAEPWEGYVDWRNRPATRGRHGGMGAASFVLVVEVLENLAFLANASNLVTYLMGFMHYSPSQSATTVTNFMGTAFLLALLGGFLSDAFFTTYTIYIVSAFIEFMGLVILMVQARTPSLMPPQCAAKLAPCEPVSGAKKAMLFAGLYLTALGVGGIKGSLPSHGAEQFDEHAPRGRKGRSTFFNYFVFCLSCGALVAVTFAVWVEDNKGWQWGFGISTIAILLSIPVFAAGSRLYRNKVPTGSPLTTIAKVLVAATLARRRGGCGYAQSAISNGAVIDRAPSPTGSTDMKEYCGKPGAGDMTIPTLPRSWRPPPSRPTSSRGHHGHARRQPHRAPASLPVFPVTFIIILAPVSTTSSSRSPPRHGTEMGITHLQRIGTGLVLSIVAMAVAAVVEVKRKNVAASNGMLDSAKPLPITFFWIAFQYLFLGSADLFTLAGLLEFFFSEAPPRMRSLATSLSWASLALGYYLSSVLVSIVNSATGRGDHRPWLQGASLNHYHLERFYWVMCVLSTLNYLFFLFLAI >Sspon.02G0050160-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:50585247:50585510:1 gene:Sspon.02G0050160-1C transcript:Sspon.02G0050160-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSCFGVFRRGKEAPADAGDKKNELPTGTETKEGVAAAAAGEEDAAKPPTLETTNGGLPRVTSRPPLAPDVVVTAAAPA >Sspon.07G0013660-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:48716983:48717680:-1 gene:Sspon.07G0013660-1P transcript:Sspon.07G0013660-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DGAPRRPRVRGQLPEGGGGGGCRPRRAHRRAGQQRRRAARAAVHHGHHRVRPRPRVPHQHLLLLPGDEARGGAHGARRRVHHQHGVGERVQGPQDAAGLHVHQGRHRVVHARAGAAAGGQGHPRQRRRAGAHLDAAHPGVVREEEGGAVRVRSAHEARRAARRGGAQLRLPRQRAGLVVHHRTVPPRQRRRHRQWV >Sspon.01G0048470-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:109370489:109389338:1 gene:Sspon.01G0048470-1B transcript:Sspon.01G0048470-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRKQRGGGDGEPAAEGDAAAGGAGGGHSPSTVFVSNLPYTFKSSDLEAVFSEVGPVRRCFMVAEKGSEKSRGFGFVQFATVQDADRAIQQKNGFPVAGRKIRVKLAMNRAPLKERLQKKENMQVKDSDAKDEADKAAPAEKHKGKSHKTDPEPEQPHLLSKDAMVSKEAPIGDPEKVKSSEKQRVAKTVIFGGLRDSAMASEVFRQVREIGSVVSVNYPLPKEEMEFHGLARDGCTSDTAAVLFASVKSAWDSVVQLHRKEVKGAIVWARQLGGEGSKIRKWRAIVRNLPFKVLGFQISQLCGCHLQITEKEIMDMFSSAGFVWDVSIPHSSDEGISKGFAFVSFTRKQDAENAIKNINGKVVAKRPVAVDWAPENIPDNVSDDDTSDDNLVGEAISELDQEISNRQSEDDFKTEADISRKVFENLIKSSEKSEPSGIEGSDIDTDTETEDVASEKDKSDSPVAGKSAKSKRVTDAEISNPASKPKKNDTGLDRTIFISNLPFDISNEEVTARFSVFGKVESFFPVLHKLTKRPRGTGFMKFSTTEAADAAVSAANVAPGLGISLKSRPLNVMKAMDKESAHKKALEKAKTEEGEILAGTPAAEGVSDADMNKPRRKAEMLQSPKFHVSTTRLIIYNLPKTMTINDVKKLCREAVISRATKQNPVIRKVNILKNEKKGIQKHSRETFGSEHRPIVEFALEDVEKVRLQKIRMERHRKSAAETAEVQQTPSGDQPASEDRNADNSRTFRKGNKRKSHNRPSKPSDSVEGPAKDPLVPGDRSTRPAKRARKSNMGTVLPDRGLTDATPNTAQNQVVSSERDQAAAPKKRKNRKDSQAEQKKGKATKRTRKEPAGEGGVDKSLVEQYRSKFLQHGVSKTKR >Sspon.08G0015030-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:60317193:60320777:-1 gene:Sspon.08G0015030-1A transcript:Sspon.08G0015030-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMAAAQDSGHRSVPLQISSYILLLSIPQQLSAGFITGVEELVANPLVVEHLEMWEENGHMVAGFLELDGQKD >Sspon.07G0030710-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7C:10597468:10601220:-1 gene:Sspon.07G0030710-1C transcript:Sspon.07G0030710-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPSSDRARRPFLLSLSLFLLISAILVLLFLFLDPSPGSLAFLPSRLSASVPSLAPPLLPQQQNHAPRSRSPPHSEPDGSSATDKAEEDTGASASQPVLTKADVNSSASEPSAEEASGSPGAGDTSDAARGVGADRKTDTSVAPVEKGGDGEEVPVSVRWQTCSRLGRGVSSTDYIPCLDNVRAIKALRSRRHMEHRERHCPLAPRLRCLVPLPAGYRTPVPWPRSRDMIWYNNVPHPKLVEYKKDQNWVTRSGDYLVFPGDYANIQWGRRTRTVLDVGCGVASFGGYLLDRNVITMSFAPKDEHEAQIQFALERGIPAFLAVIGTQKLPFPDNTFDVVHCARCRVIGGKPLLELNRVLRPGGYFIWSATPVYRQEKRDQDDWNAMVTLTKSICWRTVVKSQDVNGIGVVIYQKPASNSCYAERKTNEPPLCSERGGSRFPWYAPLDSCLFTTAITTLDERYNWPVPWPERLDVRYASVPDDSASNKEKFEADTKYWKQLVSEVYFSDFPLNWSSIRNVMDMNAGFGGFAAALIDRPLWVMNVAPIGQPDTLPLIFNRGLIGAYHDWCESFNTYPRTYDLLHMSNLIGSLTNR >Sspon.01G0037020-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1B:20008383:20008802:-1 gene:Sspon.01G0037020-1B transcript:Sspon.01G0037020-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLGMTERDRNGLATVRRRRRCSGQGWARKRARQRLGCENGELRWSTRCARMRRLYWCSLRGRRRLGSGSGERRSCAAAVEIEKKKNGSQLSDHTYRPQSRQQRGKARVIALAAEGAPGRPWKPSGVSPAPMPAGGWR >Sspon.03G0026770-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:3810596:3818074:-1 gene:Sspon.03G0026770-1B transcript:Sspon.03G0026770-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPAPMQLKVRVPENEEVARCLHEKRLPMREQPAGFKEHLDRTFAKAYRNVCAANEPIRTLKEFSKINGVGPWLIRCMKGFFPESNQDSPTKGKKTRIPRCPKPKKNTAAAASSSLGSIMLAILIGSSDFNMLDKDAVSMDNCILAMPPCQSSEEFLEAYEVVLILDDRENFGYSSRKVASKKVADNIGSQFNVPVEVKRLPVGDGIWIARHKKFLTEYVLDFIVERKNVADLGSSIRDNRYKDQKTRLQKCGLKKLIYLVEGDPNTSKGSAASIKTACFTTEIFEGFDVIRTSGYTDTIRTYGYITLSIIDYYSTNFQSLAKSACICPTYDEFERQCRCLQKRTVSQIFALQLMQVPQVTEKVALTVIEFYPTLFSLARAYSMLEGDIRAQEEMLKNKSKMINAGASRNIFKLVWGDGYQDNLLGDGEGLD >Sspon.01G0026220-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:91218024:91221628:-1 gene:Sspon.01G0026220-1A transcript:Sspon.01G0026220-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLMGGVVMEAGGGGASELGLLGGGSSSRLLKHGRGNADHGWGGGGRAKQARTTASVVAGDVVEAAKAAAPFLLGSCSPGHGGEQMLSFSSAAAAASSCASTAAVAAAVVADGGAMPLYYGTPASCSGLSSVSLSTSMQGAMARVRGPFTPSQWMELEHQALIYKYLAANSPIPHSLLIPIRRSLASSPYPPSYFGTSTLGWGSFQLGYSGNADLEPGRCRRTDGKKWRCSRDAVADQKYCERHMNRGRHRSRKHVEGQPGHAAKAMSAVAAAAALAGAGATAAGLTVNQHQQPAKSYATGATEPCSLQYNRELVNKQNESENMQDSDNLSMLTSMTTGNTGSVFPLSKQNNPFEVTSSRPEFCLVSSDSLMSSPHSSLENVNLLSSQSLNEHQSSASLQHFVDWPRTPAQGGLSWPEAEDMQAQRSQLSISAPMASSELSSASTSPIHEKLMLSPLKLSREYSPTGFSIAANRDEASQLEATWATMFRDSSMGGPLGEVLTKNGNVEAKNCLSAPLNLLTDYWDSSHGMESSPVGVLQKTAFGSVSSSTGSSPRIESHGAYDGISNLRDDLGSIVVSHPSIRLV >Sspon.08G0010250-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:44996554:44997733:-1 gene:Sspon.08G0010250-1A transcript:Sspon.08G0010250-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPKFKGEWSASEIEMVKSLIAKDNANNNGASDMNKKHNQIVDELQAMFPSKEKHQSGNQHVEASSNLMNQPFGVFVGDPSMGNKEAFNGYQQVEMFGVKNVKDTPRRKPTPRKESQHTGRFWTTNEHRQFLRGLHVYGRGNWKNISRHFVTTKTLVQVSSHAQKYFLRKENSTKKQRYSINDIELHDFEPLSQTNASAWEGPTFGGGAYKTNHYSFGGHPTSMNNAQAWSPFLYHTSHGSSSNSQMVTLAIGQQQEQTRASSSLVAPTMDADGGHLDWTSDKLGDLLDTQWMMN >Sspon.05G0003710-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:15568983:15571366:1 gene:Sspon.05G0003710-2C transcript:Sspon.05G0003710-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGASVRGRLSPASGGGGDSEPRSAGGRTRSVSATRGRKPSPRPGRDAAAAAGATEEKKPATVPTLLPSLSVPAGMRRQELLLRSGLSLDASCSSDASTDSFCSRASTGRIGRPAFGARKKKTLSQTDYKPVSMLEREGVLASQTDAAGVKRRCAWVTANTDPCYAAFHDEEWGVPVHDDKKLFELLVLSGALAELTWPAILNKRDIFREVFMDFDPVLVSKLSEKKIIAPGSPSSSLLSEQKLRGVIENARQILKIIDEFGSFDKYCWSFMNHKPILSRFRYSRQVPVKTSKADAISKDLVRRGFRSVGPTVVYTFMQVSGMTNDHLISCYRFAECAASSAGAAKLTDGSLANSSDSNHATAEQKMNGTNGLDSDIELSRTIDELSIS >Sspon.03G0031830-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3B:31425224:31425384:1 gene:Sspon.03G0031830-1B transcript:Sspon.03G0031830-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding EEKRAERDGEHGQRLGVTSWDCQMRLQKGAIRWRCGHQPWVEEEEGRRKEGSP >Sspon.04G0009010-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:26211410:26212540:1 gene:Sspon.04G0009010-1A transcript:Sspon.04G0009010-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIILGEWWNADVEQVLLESQRTGGDVQISDANTINGQPGNFAPCSKNDTFRMLVEHGKTYLLRVINAGLTNEMFFAIAGHRLTVVGTDGRYLKPFTVDYIMISPGQTMDMLLEANHATNGSGDNNRYYMAASPFFTNIGLPEHPIDVPMEVDEHMLVTISVNTLPCGANETCNGPGPGNTRFAASLNNVSFMTPTVDILDAYYDSINGVYEPDFPNKPPFFFNFTAHDPPVEFQLTKRGTKVKVVEYGTVVEVVFQDTAILGAESHPMHLHGFSFYV >Sspon.04G0020010-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4B:72994688:72997294:1 gene:Sspon.04G0020010-2B transcript:Sspon.04G0020010-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGELSVVRAMEVRRIRPGADDGGRIQWCGGQIRPPRGVGKLSMVWAMEARRIRPGAGRGGVPPPPATPATADPADGGATSLLPRPDLVAAQAGSHQLGCARGCGRRWGRVEQHRGGCFDCLGKAKTPASVKIAVVVTDADEEEGNAVEPKEPPPSHLCSVETATAAHLSARNLCRQPRRRPSRTPPLTRAEASRPHQAVGSGHHITGSGPRLPDLKPEGEPTTATKHQERVRKTSPAAAVLAGHRQTRKPLRRRRGEGTEVGVPSAARRRFRPSCPRGATRGREGLEVSVLACACEFATVFLRDPCEKH >Sspon.03G0024340-1P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3B:97643946:97646574:1 gene:Sspon.03G0024340-1P transcript:Sspon.03G0024340-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LPPVRERDACWEYCDKLDGNKVRCRFCHKVLNGGISRLKFHLSQIPSKGVNPCTKVKEDVIDKVKAIVSAKEEYKEFQLLKRQRVAELSVAPKGAPEAPSLSTSPGRVSSPAVTLAAEQSRLLAPEVSAPAPKLSGAANKSRAAASELDAERCIAEFFFENKLDYSIADSVSYQQMLEALGGPGCRGPPADVLRDKWLQRLKSEILQKTKEIEKDWVTTGCTILADSWTDNKLKALINFSVASPMGTFFLKTVDASSHFKTHRGLYDLFDEVIQEVGPENVVQIIADRNINYGSIDKLIMQNYSTIFWSPCASFCINSMLDDFSKIDWVNQCICQAQTITRFIYNNKWVLDFMRKYMAGQELVCSGITKSVSDFLTLKSLLKHRSKLKQMFHSTEYSSSSYASRSIPCVEILNDDELWRAVEEIVAVSEPLLRVMRDVSGGKPAIGYIYESMTKVTDSIRTYYIMDEGKCKSFLDIVEQKWQTELHSPLHSAAAFLSPSIQYNPEIKFFSTIKEEFYLVLDKVLTTPDLRHDITAQLHAFRKAQGMFGSNIAKEARNNTSPGMWWEQYGDSAPSLQRAAVRITSQVCSTLTFQRDWGIILQNHYEKRNKLDKEALADQAYVHYNLTLHSEPKTKKKLDADPIALDTIDMTSAWVEDSDGPILTQWLDRFPSALDGGDLNTRQFGGSIFGTNDNLFGL >Sspon.03G0033420-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:6060249:6062971:-1 gene:Sspon.03G0033420-2C transcript:Sspon.03G0033420-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein phosphatase 2C 57 [Source:Projected from Arabidopsis thaliana (AT4G27800) UniProtKB/Swiss-Prot;Acc:P49599] MALLSPRVPRLPSSTFPFAAAAGAPCFCRAARCQATAAGGVAAAGPPSSELDAIQWGSAKLQGVRDEMEDEVLLRPGSLLDGFSFAAVLDGHAGFSAVQDELYKECAAALDGGAVLSTKNLDAITASIQRAFAAVDAKLSTWLEQADKDDDSGATATAMFLRNDVLVVSHIGDSCVVISRGGRPEALTSSHRPYGNNKTSLEEVRRIRAAGGWIVDGRICGDISVSRAFGDIRFKTRKNEMLVKGVKEGRWTDKFISRIKFKDDLIISSPDVSLVELGPDVEFVLLATDGLWDYIKSSEAVAFVRDQLRQHGDVQLV >Sspon.07G0016000-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:62594970:62598285:1 gene:Sspon.07G0016000-2C transcript:Sspon.07G0016000-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger transcription factor YY1 [Source:Projected from Arabidopsis thaliana (AT4G06634) UniProtKB/Swiss-Prot;Acc:Q2V3L3] MEYPAAAAGSGGHKYYYPPQHSQPQALRRPPRPAARWVKQWIPQDLASSGGKCSLFKWVREDGYRNSKENPRVLDVEAPKPEPTTEILFLCSYENCGKTFVDVSALRKHAHVHNEKQYICNEPNCGKKFVDSSKLKRHYLTHTGQKDFVCPHPGCGKAFSLDFNLRSHLKTHALENYHICPFPACGKRFTSDFKLAAHVKSHEKIGTPIAVQHAPAPAAEKPRVAPKPSTPATTSYADRPFVCPYEGCDKAYIHSYKLNLHLKTQHPEHGQEENGKVGASAGHHAANEQTYQYNYAEVGEIAPNPKRSKHKVHSSKAYNAKISRAMPSDTSGVRNQWPGKATYQDDSEETEEDGGNNLEDGWRYGNNDDDEETPDED >Sspon.07G0020500-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:76424597:76427331:-1 gene:Sspon.07G0020500-1A transcript:Sspon.07G0020500-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding TWEVNLPAEEVPPELPEPALGINFARDGMNEKDWLSLVAVHSDSWLMSVAFYFGARFGFDKESSLLESPSGGLPCRKRLFTMINNLPSIYEVVTGTAKKEPKEKTPKSNNKTNKSGSKPSRQAEPNSRVPKMPPPKDEEESEGEEGEPQEDHETALCGACGLGYDDFWICCDLCETWFHGKCVKITPAKAEHIKQYKCPSCTGSKRAKA >Sspon.03G0021710-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:52331854:52333970:-1 gene:Sspon.03G0021710-2D transcript:Sspon.03G0021710-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSAYRPFKHIPECFLRDLFRKHFADNREEKMALIKGITAREGFELTPLPQEVLIIWGEFDQIYPVEKAHKMREKLGEKVTVKVIPGTGHLPQQQDIKLFNRVLLDFLLQPSSTSNGSAAAK >Sspon.07G0036770-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7D:42067951:42069259:1 gene:Sspon.07G0036770-1D transcript:Sspon.07G0036770-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQGRERGREWEEEDSGCSGGFGAIKGKWAACSVRRSRIQPWLLTGRATTAAPATGVRRSSGGGDPSHGLDGDSGLALAAVVSLAPRGAARGATASTPRTSASPLTTQSGHQPQRREKGRDEGTSAGRRSQCLVEAVVDAKDG >Sspon.04G0022800-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:8822544:8823122:1 gene:Sspon.04G0022800-1B transcript:Sspon.04G0022800-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLLLPSCAASARPLLPTSPGAFRRAGPGAVRPAAASSSNVEIIDDATAVTSLPGAAKQWRAGGLGLELELSEEMRRGMMWRMLAPPAAAVAADVAFLGLLERVQPGDVPAWAATAGSAVLFAVGLLGVHYGFLSSRWDAAETGSVVGWDLAVRHWNVLSMAKEYSSSVDEEEDDDDEEYEDEEEYEEDED >Sspon.03G0002080-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:5015684:5023903:-1 gene:Sspon.03G0002080-1A transcript:Sspon.03G0002080-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine protein kinase, Hyperosmotic stress respons [Source: Projected from Oryza sativa (Os01g0869900)] MDKYEAVRDIGSGNFGVARLMRNRETRELVAVKCIERGHRIDENVYREIINHRSLRHPNIIRFKESSVLHSRPKSAVGTPAYIAPEVLSRREYDGKLADVWSCGVTLYVMLVGAYPFEDPDDPKNIRKTIQSLRLQQIMQVQYKIPDYVHISTECQQLLARIFVANPMRRITMKEIKSHPWFLKNLPRELTETAQGMYYRRDNRVPSYSDQTSEEIMKIVQDARTMPKSSRSGYGWSSEYSDEEEEKEEEHRPDEHEEEEDEYDRRVKEVHASGELHFEATLTPQGTASGNEINTSPNRMPSNAGHLLI >Sspon.02G0034510-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:16380854:16382450:-1 gene:Sspon.02G0034510-2C transcript:Sspon.02G0034510-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYYGQQPPVGVPPQQGYPGKDGYPPAGYPPAGYPPPAQGYPPQGYPQQGYPPQYAQPPPQQQQSSGPSFMEGCLAALCCCCLLDACF >Sspon.01G0024390-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1C:85119567:85123290:-1 gene:Sspon.01G0024390-2C transcript:Sspon.01G0024390-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPMTNPPLLSPSSCPLLRRLLHLSRASRRRNPTAGPPPPCTFRRRLPRLLPAAMSSDSTTAPDSVVADPTTLERKVADIRAAGPAKLQVIADFDGTLTRYWYDGARGQSSHGLLRQGNEEYDAKREALYQHYHPIEICPDIPLPEKAKLMEEWWEKTHGLLIEGGLTLEAITKSVSDATIAFREGVVELFEYLEERDIPVLVFSAGLADIIEEVFRQKLHKSFKNIKIVSNRMVFNEEGRLVAFKGKTIHVLNKNEHALDMVAPVHGNLGDPNGFIDDYSLVKKRTNVLLLGDHIGDLGMSDGLNYENRIAVGFLNANIEKSLKDYSSSFDIVYLNDAPMQGVVKLVSELCP >Sspon.04G0031980-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4C:19809579:19810088:-1 gene:Sspon.04G0031980-1C transcript:Sspon.04G0031980-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQARLGGAGNQSCLAIQQEASGQQAELQKPWLPSLPPLSPARSPSLLGKHSIVNGVGYRPTATCSLQANSRDVLLHWACRPGLIGQTLLTASPFYYFKKRTRRFSFIFACSANSTETNPPRAALPYALRLAPDPLRATHPSCASRRVPSALHAALPCARANEPSLGGTE >Sspon.04G0012260-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:41096098:41099776:1 gene:Sspon.04G0012260-2D transcript:Sspon.04G0012260-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRCVYTQRRWAHRRGGFVTGGTGWSKPPPPGLGPAAGVGTKKSEWWAVDGEMHEIGDGVPHRERFAIPRDNLPNRRRKQMREQFMRRTRLVLKDSEHETWCKKYMELYQELRENWERLYWDEGYSKKIAESHANYDSAEEDDLDFSPYSRRRQSNVEPNKDLGFGVNKQGETWERVTQIRDKFEYDRERRMRERAFAPMNMENNFARRDPRLRSQDDSSFVHTNLSDDFGLHGRTFGSRPDRSYQNESNFRNQRDSSFQNEPSIRNQRNLNFQTEPSFRNRQYPDFQNQGDTRSQAFDE >Sspon.02G0026330-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:91464150:91466088:1 gene:Sspon.02G0026330-1A transcript:Sspon.02G0026330-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLPCTCTNLHLAIAALCLLNLINYLLLADAAIVEHTFHVGNLSVQRLGQTQVITAVNGQFPGPKIEARDGDTVVVHVVNLSPYNLSIHWHGILQRLSSWADGPNMVSQCPIRPAGGAYTYRFNVTGQEGTLWWHAHVSFLRATVYGALIIRPAAPRGRYPFPRPHGEATIILGEWWNTSVVDVERQALLTGAGPNNSVALTINGLIGAGGGGCGAAAAENNNAAAAAHQYQLTLFFKVANHSFTVVAADACYTDPYHTDVIAIAPGQTVDALMRADAHPGRRYYMAAQVYQSLANATYSATTTALLTYLQDASDSHSQPALMPTMPAFNDSATAQSFYASLTGLLQDGAPTVPLHVDTRMLVTFGLGVTPCAPAQTLCNRTRGSVAASMNNVSFQFPTTMSLLEAHMRGAPDGVYTRDFPDRPPVMFDFTSDATTANRSLMFTSKGTKVKTLRYNETVEVVLQNTAILGAENHPARPRYNLVNPQQRNTVAVPAGGWAVIRFTADNPGVWIMHCHLDAHLPFGLAMAFEVDDGPTPDAVLPPPPPDYP >Sspon.02G0010680-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:8556758:8557459:-1 gene:Sspon.02G0010680-1P transcript:Sspon.02G0010680-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKRGGKAPVPAKKKAVVTNPLFEKRPKQFGIGGALPPKKDLHRFVKWPKVVRIQRQRRILKQRLKVPPALNQFTRTLDKNLGTIL >Sspon.04G0005900-3P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:16363503:16365920:-1 gene:Sspon.04G0005900-3P transcript:Sspon.04G0005900-3P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLEALCTTSSSDVLIYDTFNAAAACAASAGAGSFLFGNAAPVEVPAAAAAASQANGDNGVQQVRRKRRRRQRSTKSAEDAESQRMTHIAVERNRRRQMNEYLAALRELMPNSYVHRSDQASVVSGAIDFVKELEQQLQSLEAQKLALNRQLQLQRSDTAAEREAAQPAPGRDDPASAGNSGAGATGDAAARSEGLAPEPQPPPFARFFRYPQYAWRHAAAREDAVVVGAEEASRASAVADVEVGVVVDAHASLRVMAPRRPGQLLRMVAGMQALGLAVLHLNVATAPDATALYTFSLKAEEGCGLATAEDIAAAVHHVLCIIDAEATAQGLLAAGAAGQPGLEQSS >Sspon.02G0043450-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:92010796:92011400:1 gene:Sspon.02G0043450-1B transcript:Sspon.02G0043450-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKEWESIEPCLLNLGDGRFCLARIMQEVWRDEEEDEDWSDLSLRGGMLALLTGVEMVRGEEGFKMVAHKSLSYMFDKDRIRLTVCLGT >Sspon.05G0022250-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:2985367:2999502:-1 gene:Sspon.05G0022250-1B transcript:Sspon.05G0022250-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKAGRGKGRGGGAKGDKRKKEEKVVPSVVDVTVVTPYESQVTLKGISTDRVLDVRKLLGSNARGHRLEDGVEIVALKPCTLRIVEEEYATAEQAEAHVRRLLDILACTTAFAKPRDGAAKHKSSKHGRPATPPSPPAPASTGAHGVGGGEAAPPISEAHDMAAIRPPPKLGEFYDFFSFAHLTPPVHFIRRKEANGASQEGDYFELEVKVCNGKLLHIVASVKGFYLAGKRHNVSHSLVDLLQQLSNAFANAYEALMKAFVEHNKFGNLPYGFRANTWLIPPIYVDSATKCPALPVEDENWGGNGGGCGRDGKYDRRRWSREFSVLARMPCKTEEERVIRDRKAFLLHNLFVDTAIFRAASTIRRLIDQSMNSMGPRSGTHGSNVFEERIGDMNIMVKKDVADASSKVEDKVDGVAFCQTGAMDIAQRNLLKGLTSDENVVVKDSSTLGVVIVKHCGYTAIVKVSGRAKDSNDVKQSNDISDNFDGILNIDVHGHPDGGSNALNVNSLRIPLPRVIHPETAVGNQRPSPKSHASNPARKLARKILEDSLIKLDSMPSINSRIIRWELGSSWLQQLQKKDSPVSENGKGNATKADKEPAVKGLGKHFEQLRKIKKKECNIEGSSSEKEECNSNCSSMNGTQVSDITVDETNKWADISELISEDAFSRLKSLGAGLHEKSLDELTKMAHNFYDDTALPKLVELAAKLPHIQSICIHEMVIRSFKHIIRAVIAAVDDMQNMSAAIAETLNILLGSPRLENGADTDAHIDNDLRLKWVESFLSKRFCWKLKDEFAHLRKFIILRGLCSKALSKIIAVCGPYHRLTANAYSLLAVVLYHTGDFNQATVYQQKSLDINERELGLDHPETMKSYGDLSVFYYRLQHIEMALKYVNRALYLLQFSCGLSHPNSAATYINVAMMEEGMGNVHVALRYLHEALKCNKRLLGADHIQTAASYHAIAIALSMMDAYSLSVQHEQTTLQILQEKLGQDDLRTQDAAAWLEYFESKALEQQEAARRGMPKPDSSIASKGHLRIISELIMVNQLKRRRISSMTQDLLLKQAKTVFKKKNRMCILLLY >Sspon.07G0011330-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:45234086:45236473:1 gene:Sspon.07G0011330-2C transcript:Sspon.07G0011330-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRGHSNAMSSSNSTSDLSDEKHKDQRRSTPASRRCPSCDHELDFNPNMVGFPAGVKFDPSDQELIEHLESMVKEGGSRAHPLIGDFIPTLEGNDGICYTHPEYLPGVTRDGVSKHFFHRPSKAYTSGTRKRRKIQSKRGDDVGEARWHKTGKTRPVIVGGRQKGCKKILVLYSNYGKQGNKPEKTNWVMHQYHLGRDEEKDGELVVSKVFYQQTQLRSTATMMEQNDDEKVEVTSEAMKDMLPGCGAEATETADAMKQRQQHQQQRQADGHSRFTSAKMSRE >Sspon.01G0004580-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:10599095:10600262:-1 gene:Sspon.01G0004580-2B transcript:Sspon.01G0004580-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGGAILAELIPPTRRGHLWPASSKKAGIGSGSNKRHHHEYADDDDFEAAFEEFDDDFDAHEDDEDHPDHFVFSSKSAFSPAHDGRGARAAATQRTKRGHRQLRGIRQRPWGKWAAEIRDPHKGTRVWLGTFNTAEDAARAYDAEARRLRGSKAKVNFPAAVARARRGKGNPRTAPKPQQHHAAAAQPLPALLLRGEKGQEGVAVKPETMDTFDVGSFFDMTFPTFPAVLPPAMESSFAGSSATSETWSPAKKLRYDDGDLSEGSGGGSALELADELSFDPFMLLQMPYSGGYDSLDGLFAAEAVQQDVNNDMNGVSLWSFDEFPVDGSVF >Sspon.04G0011670-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:37720036:37720557:-1 gene:Sspon.04G0011670-2C transcript:Sspon.04G0011670-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GGHLKIRPPALVLPPPGGVRRPTHAGGGCASPAAADVPGAGLRRAPRAPPRAEQREAAQVHGGDLRPGPAVGAAVQGQLRGCRARHRHQRLPRRCGYHMAAQRRGRRVGRGGGALRLRLQLLLHGQGVRPLHADGVAGQQGVRLRHRPVRFRGHAHGVPLRAEGQRHGTEAVLI >Sspon.08G0022790-3D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8D:52857154:52857284:-1 gene:Sspon.08G0022790-3D transcript:Sspon.08G0022790-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding IFENRAHEVGRERQIFVDFFCNPERLKNQVRELTSRVKALQD >Sspon.02G0016550-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:46137136:46140199:-1 gene:Sspon.02G0016550-1P transcript:Sspon.02G0016550-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDATRCLLAFLLLLLQAIAGASSDTKHLIAARFALRDPTGALAGWAAATNRSSPCRWAHVSCANDSATAVAGVNLYNLTLGGAFPAALCSLRSLEHLDLSANQLMGPLPACVAALPALIHLNLAGNNFSGQVPPSWGAGFRSLAVLNLVQNMLSGEFPAFLANLTGLQQLQLAYNPFAPSPLPEKLFDLTGLRVLFIGNCSLNGTIPSSVGKLKNLVNLDLSSNNLSGEIPSSIGNLSSLEQIELFSNQLSGSIPVGIGGLEKLHSLDISMNRLTGEIPEDMFTAPRLASVHLYQNNLSGPLPVTLGTAAPSLSDLRIFSNQFSGPLPPEFGKNCPIGFLDMSDNRLSGPIPATLCALGKLNQLMLLDNEFEGPIPVELGQCRTLVRVRLQSNRLSGPVPPKFWGLPNVYLLELRENALSGTVDPAIAGAKNLSTLLLQDNRFTGTLPAELGTLDSLQEFKASNNGFTGPIPGSIAKLSLLYNLDLSNNSLSGEIPGDIGKLKKLAQLDLSDNHLTGNVPPELGEIVEINTLDLSNNELSGQLPVQLGNLKLARFNMSYNKLSGPLPSFFNGLQYQDSFLGNPGLCYGFCQSNDDSDARRAKIIKTVVPIIGVGGFILLIGIAWFGYKCRMYKMSAAELDDGKSSWVLTSFHRVDFSERAIVNSLDESNVIGEGGAGKVYKVVVWPQGEAMAVKKLWPSGVASKRIDSFEAEVATLSKVRHRNIVKLACSITNSVSRLLVYEYMTNGSLGDMLHSAKRIILDWPMRYKIAVNAAEGLSYLHHDCKPPIVHRDVKSNNILLDAEYGAKVADFGVAKAIGDGPATMSIIAGSCGYIAPEYAYTLHVTEKCDIYSFGVVILELVTGKKPMAAEIGEMDLVAWVSTNIERNGLESVLDQNLAEQFKDEMCKVLKIALLCVSKLPIQRPPMRSVVTMLLEVKEENKPKMKAAATLPI >Sspon.04G0034190-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4C:71855949:71857751:-1 gene:Sspon.04G0034190-1C transcript:Sspon.04G0034190-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVRFSTVTFDLRVWSRRPARPVSAYFAELAGTNPSSPYPTCSQSLGSSAPVHAPAQPLLGCTWRRGS >Sspon.08G0003180-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:8599355:8600916:-1 gene:Sspon.08G0003180-1A transcript:Sspon.08G0003180-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAVALAVALAAVDVSAAPRSIRSAAPWERVDEEVRQLYEAWKSKHPARPPRGKDDDDLLRLEVFRDNLRYIDAHNAEADLGLHGFRLGLTPFADLTLEEFRGRVLGFQQSRRNDTTADAAADGRSTRFDLHSAGEPQAVPAAVDWRNSGAVTSVGNQGECGGCWAFSAVAAMEGINKIVTGKLVELSEQELIDCDHKSDGCNGGRMDYAFQWVISNGGIDTEADYPYTGRDGTCDASKIKKKVVSINSYKRVLPANNEKALQAAVANQPVSVAIEAGGRSFQLYKSGVFDGECGTKLDHGVTAVGYGSENDKDYWIVKNSWGINWGEAGYIRMRRNVAAPTGKCGIAMDTYYPVKNRPKADRTAQSVLELEMVM >Sspon.01G0028630-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:99896005:99898794:1 gene:Sspon.01G0028630-1A transcript:Sspon.01G0028630-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPWSGLWGGKAGGDAYRGTPVVVKMENPNWSISEISSPEDDDEDILAAGGRRKGAAPRTPSRSGGAARRRVAAGRTDAEAGVVAATGESPVVRSRFYGFIKAFLVVSLLLLAVEVAAYFNGWNLAASALALPVIGLESLYASWLRFRATYVAPGIQFLTDACVVLFLIQSADRLIQCLGCFYIHIKRIKPKPKSLALPDAEDPMPGTTPWYLSRYQCATRRRLVYQQSIAAVCNLDWPKSNFLVQVLDDSDDPLTQTLIREEVAKWQQQGARIVYRHRVLRDGYKAGNLKSAMSCSYVKDYEFVAIFDADFQPHPDFLKRTVPHFKDNDELGLVQARWSFVNKDENLLTRLQYINLCFHFEVEQQVNGVFLNFFGFNGTAGVWRIKALEDSGGWMERTTVEDMDIAVRAHLHGWKFIFLNDVECQCELPESYEAYRKQQHRWHSGPMQLFRLCLPDIIKCKIAFWKKANLIFLFFLLRKLILPFYSFTLFCIILPMTMFVPEAELPDWVVCYIPALMSLLNILPSPKSFPFIIPYLLFENTMSVTKFNAMISGLFQLGSAYEWVVTKKSGRSSEGDLISLAPKELKHLKTGSVPNLDAVAKEQLASKKDAKKKHNRIYKKELALSMLLLTAAARSLLSKQGIHFYFLLFQGISFLLVGLDLIGEQVE >Sspon.01G0003850-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:9085013:9087537:-1 gene:Sspon.01G0003850-2B transcript:Sspon.01G0003850-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSCVNLSRAVLPGFGAAAAKGGSRRRGLLLPLLSSGRRHGGGAVVACSTSSSSTTAGSSSSPPSSSFPAHDGLGSGDPAGDAGRIGVVEFLGAKNFLITGGTGFLAKVLIEKILRTNPDVGKIYVLIKAKDSEAALRRLQNEVVDTELFKCLQEIHGEGYESFIATKLVPVVGDVREANVGIDPDIADEIADQVDVIINSAANTTFDERYDVAMDINTVGPFRIMSFAQRFRRLKLFLQVSTAYVNGQRQGLVLEKPFRMGDTIAKELGSSSGSSEEGHKIPVLDIEAEIKLAFYSRRHLDNSPSFAQEMKDLGLERAKLHGWQDTYVFTKAMGEMVINSMRGEIPVVTIRPSVIESTWRDPFPGWMEGNRMMDPVILYYGKGQLSGFLADPDGVLDVVPADMVVNATLASMAKHGGGAAGPGMHVYHVSSSTVNPLVFGDLSRFLFHHFTRCPYSDAAGQPILVPPMRLFDTMEQFASYVETDALLRSVRASSSSSPAVAQRARDLCARSVEQTVHLGSIYQPYTFYGGRFDNGNTEALFAAMSPAERARFHFDVRSVDWRDYITNVHIPGLRKHVMKGRGVAANQLLASTS >Sspon.08G0007200-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:20245744:20256193:1 gene:Sspon.08G0007200-4D transcript:Sspon.08G0007200-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPPGPGDEGEATQPQPLEWRFAQVFGERAAGEDVQEVDIISAIEFDKSGDHLATGDKGGRVVLFERTDARDFDYLKSLEIEEKINKIKWCQTANNALSLLSTNDKTIKYWKVQEKKVKRVAVMNLDSSQGVGSSTTAIASTSSSKAPLPNGGCSDKFDCLNNDLSFPPGGYPSLRLPVVTSLEANPVARCRRVFAHAHDYHINSISNNSDGETFISADDLRINLWNLEINSQSFNIVDVKPTNMEDLTEVITCAEFHPSHCNTLAYSSSKGSIRLIDLRQSALCDNHSKIFEEHEAPGSRSFFTEIIASISDIKFSRNGRYILSRDYMTLKLCDLYENDSIFDKFECCLSGDGLRVATGSYGNIFRVFGCTPGSMEATTLEASRNPMRRQIANPARPTRTLASFTRGVRRGGENQGVDANGNSFDFSTKLLHLAWHPTENSIACAAANSLYMYYA >Sspon.07G0037870-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:63791485:63807820:-1 gene:Sspon.07G0037870-1D transcript:Sspon.07G0037870-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTASAADDGSSLAPTVTEGEATAEAGAGAAVEECAEGKEMKLGASAAVEEPDEEAREEDPEEEEEEEEEEEEEEEDDAGKVETADGIEAELMEPLPVLKLGEEAEPEELEGKKVELDEEPEETEPSEEEPEEVEECKEGDGVAEVDGSVANETNEMSKLEYGKSGNTNKDKDADQLSSGSDSGDDMQNSELAGGLEIFVDDLPHDCVKEDIAMAFSQSGEVKSVRIIKNSSTEKNKDVALVCYASIEAAKKVLAEFKEGIEVKGKMVRVSACQDNNTLYLGNICKGWTRDQVLNTLKSIGIQECKIAFPTYKGGSRGKGALACVAGINKAKIVDGSFKKYQKPPNLLKAKGKLERTNMPLYTKNHGRKQEIIEPHAGFISPTIQDHGSDAYNRPRTAQYDIHPYAR >Sspon.03G0015760-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3A:50306408:50307509:1 gene:Sspon.03G0015760-1A transcript:Sspon.03G0015760-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRGSPRVAQLAALTTLLSWIVVSSVASPEAPLQVGYYSRTCPRAEDLIRNRNVVHAAIRRDPGNGPGLVRLFFHDCFVRGCDASVLLDAAPGSNASTVEKASQANNPSLRGFSVINRAKRVLERRCRRTVSCADIVAFAARDACGLMGGVDFAMPAGRRDGRVSNASEVLNNLPAPFANATVLVDGFAAKNLTADDMVTLSGAHSFGRSHCSAFSFRLYPQVAEDMNATYGRYLRTRCPAATGRRDRVVDLDPRTELRLDNQYYRNVQTREVLFTSDVTLLSRNDTAALVDLYARNRTLWASRFASAMVKMGHLDVLTGNQGEIRKFCNRVN >Sspon.07G0018380-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:66511492:66512655:-1 gene:Sspon.07G0018380-1A transcript:Sspon.07G0018380-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEVKHLCLVKFKEGVVVEDVLKGMTDLVAGMDMVKSFEWGQDVLNQEMLTQGFTHVFSLTFASADDLAAYMGHDRHAAFAATFMAALDKVVVIDFPVVVAKPPPSPTPA >Sspon.08G0000540-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:494981:510159:1 gene:Sspon.08G0000540-2B transcript:Sspon.08G0000540-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPGRRTADVSSSSPAPSPSPAAPSTGRRLLRTQTVGNLGESIFDSEVVPSSLVEIAPILRVANEVEATNPRVAYLCRFYAFEKAHRLDPTSSGRGVRQFKTALLQRLERENDPTLKGRVHQSDAREMQRFYREYYKKYIQALQNAADKADRALLTKAYQTAAVLFEVLKAVNVSQSVEVDQAILDTHNKVEEKKKLFLPYNILPLDPESTGQAIMLYPEIQAAVYALRNTRGLPWPKDQDKKPDDKNTGKDLLDWLQAMFGFQKDNVSNQREHLILLLANVHIRKIPKADQQPKLDDKALDDVMKKLFKNYKKWCKYLGRKSSLWLPTIQQEVQQRKLLYMGLYLLIWGEAANLRFMPECICYIYHHMAFELYGMLAGNVSPMTGENVKPAYGGDEEAFLMKVVTPIHKVIEKEAERSKTMKSKHSHWRNYDDLNEYFWSVDCFRLGWPMRADADFFKTPKVAYPNLLNGENRSAGNAHWMGKVNFVEIRSFWHIFRSFDRMWIFLILSLQAMIIIAWNGGTPSDIFDAGVFKKVLSIFITAAILKLGQAILDLVFGWKARRSMSFAVKLRYVLKLISAAAWIVILPVTYAYTWENPTGLARTIKSWLGDGQNQPSLYILAVVIYMAPNILASMLFLFPFMRRFLESSNVKVITIMMWWSQPRLFVGRGMHEGAFSLFKYTMFWIILLAMKLIVSFYIEIKPLVQPTKDIMREPIRTFQWHEFFPHGTNNIGVVISLWAPIILVYFMDTQIWYALFSTLIGGIYGAYRRLGEIRTLGMLRSRFESLPEAFNERLIPSDANKSKGLRAAFLSRPKASGDEREREKRAARFAQMWNVIITSFREEDLIDNREMDLLLVPYCKDRELDIFQWPPFLLASKIPIALDMAADSGGKDRDLTKRIKSDPYFSFAIRECYASFKNIINTLVFGQREKHVLAQIFAVVDQHIQEETLIKDLNMRNLPALSKKFVELLELLQKNKEEDLGQVVILFQDMLEVVTRDIMEEQELGPLLDSVHGPHSRKHEGITPLDQQDQLFAKAIKFPVEESNAWTEKIKRLHLLLTVKESAMDVPTNLDARRRISFFANSLFMDMPNAPKVRNMLPFSILTPYYKEDVLFSLQNLEEPNEDGVSILFYLQKIYPDEWKNFLERVGCKNEEELREDEELEEKLRLWASYRGQTLTRTVRGMMYYRKALELQAFLDMAKDDDLMEGYRATEGMPEDSQLMTQCKAIADM >Sspon.07G0028080-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:83241739:83243841:1 gene:Sspon.07G0028080-3D transcript:Sspon.07G0028080-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGHHEQLRGKLPVPDASREECNWSTGQWVYDNVSRPLYSRLKCTFMFPEVACDKYGRKDVMYQQCRWQPHGCDLPRFDAIRLLEKLRNKRLVFVGDSLNRNQWEASMPEYNATIDFYWSPLLLESNGDSPTIHWLEYKIIRADRIEKHASAWRDADIIIFNSYVWWRKHKADMRMKVMYGSFEDGDARLNEVEMIDGFEIALKKLTEWLGENIDKNKTRIFFAGSSPTHSWASNWGGEDRNKCLNETEPIYKVGYKAADYSMMEKAKLYFGMLEEKGIHIQILNITELSDYRKDGHPTVFRKQFHPLTKEQIMNPASYADCIHWCLPGVPDVWNEFLYGYLMYK >Sspon.04G0019150-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:68081067:68083827:-1 gene:Sspon.04G0019150-2D transcript:Sspon.04G0019150-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MCVVVGAESGLEKPRVTAFAHKTLREGHYEAEFEVPASFGPVGAVLVENEHHKEIFIREIKLVTGGDSSTAVTFDCNSWVHSKFDKPEKRIFFTLKSYLPSDTPKGLEDLRKKDLQALRGDGSGERKAFERVYDYDVYNDLGDPDKDPNHQRPILGGSKRFPYPRRCRTGRARTKRDPQTEKRDGHHYVPRDEQFSEVKQLTFGATTLRSGLHALLPAIRPLLDKKELRFPHFPAIDDLYSDGIPLPAQIGLDAIRSVVPRVVKLVGDTTDHVLRFEVPGMIERDRFSWFKDEEFARQTIAGLNPLCIQLLTEFPIRSKLDPEVYGPAESAISKEILEKQMNCAMTVEQALAAKRLFILDYHDVFLPYVHRVRELPDTTLYGSRTVFFLTDLDTLMPLAIELTRPKSPTKPQWKRVFTHGPDATDAWLWKLAKAHVLTHDTGYHQLVSHWLRTHCCVEPYIIAANRQLSRLHPVYRLLHPHFRYTMEINALAREALINADGIIEESFWPGKYAIELSSVAYGATWQFDTEALPNDLIKRGLAVRRDDGELELTIKDYPYAHDGLMVWNSIRQWASDYVSVYYKSDEAVAADPELKAFWDEVRNVGHGDKKDEPWWPVLNTRDSLVETLTTIMWVTSAHHSAVNFGQYHFGGYFPNRPTTIRKNMPVEEEGRDEEMKKFLTQPETTLLDMLPTQMQAIRVMTTLDILSSHSPDEEYMGEFAEPSWLAEPMVKAAFEKFSGRMREIEGFIDECNNNPENKNRFGAGIVPYELLKPFSKPGVTGRGIPNSISI >Sspon.01G0056710-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:91669251:91673813:-1 gene:Sspon.01G0056710-1C transcript:Sspon.01G0056710-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc transporter ZTP29 [Source:Projected from Arabidopsis thaliana (AT3G20870) UniProtKB/Swiss-Prot;Acc:Q940Q3] MDPKVAVALTLSLVGGLSTSLGALLAILNHAPNNKTLGILQGFATGLMLSMSFFDLAYDAVNAIGFLRGNLWFFAGALLFSTIADVFPEPDCSMADENDKQAGDNRAGKELMMRHRRRVIFSVVVTAIVAGVSLQNFPVGTAAFLGTTKGFRVGLNLALAIALHYIPEGIAVALPAYFATCSKWQAFKLATLSGFAEPLGVIIVAYLFPSNLNPEILEGLLGLVGGVMAFLTLYEMLPQAFEYAGRKDAVKAVFVGMAFMSMSLYFLDASLPKEMSA >Sspon.03G0032510-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:45665082:45670832:1 gene:Sspon.03G0032510-2C transcript:Sspon.03G0032510-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVHPPESPLPQPRQDRISELEKHLFPRGAANAKCTDDESEGSPDIVMGEPSLVHDSSDVPMTDENFTGSEIDRETPNVGARAAGHILDPEPCLPDHAYERQSRGSSFDLCRDTEVAKENEACRRSNISVEEDDVPIDYPTIGRSTSETETSSHHLEGSTTEELVSKPGRHAAPDGINRTLHAAEDSIQHLEVVKEGGVLQDKSSQSLEMPLEDIDPVNLPQMHGGSTKKLAPDLCNALSLTKQKKQKAAQEGKMKKQSKRGKKVADESSHALEIPQANLDSENQTHNDEVNIEQQRVLSITPSPNHAKGQKGAQRTNKTK >Sspon.07G0015560-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:53216365:53218188:1 gene:Sspon.07G0015560-3D transcript:Sspon.07G0015560-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIRKALGAVKDQATIGIARVTGAVAPDLDVAIVRATSHDDAPPDERHAREVLRLASSPGAAPACVASIARRLSKTRDYVVAAKCLALLHRLAADEPTEGAEGVGTQSFLHELLRPTLTGRRAGEPVLALLLDFRDEAHTASWDHSAFVRAYSTYLLDRVRFLVLLLPAPRFAADDRVAGPPPQASAADDMDTEALLGRARHLRHLLDRVLACRPAGGAGASRVVRAALHPLLRDSFRVYEDVALVLALLLDRFFDMDYPECVKAFETYVGTAKQIDALRAFYAWCDDAGVARSADFPDVRRVDDKLLETMEQFLRERGRAGRASPPRSARESAVDARGDDDDHVDDMNGIKALPAPDRSSRAEPARPVVVPAKEADQSVLVDLREPAATADEQGNKLALALFSAPPATNSTWVTFPSESDAAAEPAVTSAWQTPAAEPGKADWELALVETASNLSKQAASLGGGMDTLLLGGMYDQGAVRQQVAAQAASGSASSVALLPGHGAAAPVLMLPAPDGTVQAVGGDPFAASLAVPPPSYVQMAEMERKQQLLVQEQQMWAQYRQGGMQGQPAGFNGLGGGGVLASNAAMAVPYGGYGMPMAYNQVGGYY >Sspon.05G0013610-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:47637444:47652440:1 gene:Sspon.05G0013610-4D transcript:Sspon.05G0013610-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:E3 ubiquitin-protein ligase UPL3 [Source:Projected from Arabidopsis thaliana (AT4G38600) UniProtKB/Swiss-Prot;Acc:Q6WWW4] METRSRKRAEASSSSATSSSRSSKRSRPNPNPNPPAAASPAPNPVPLPPRTRRSAAVNPLPAMDSSGDNNSNPNPPPRRRGRSSNADKGKEQQQPEPSHSARVREAERLLGLGFEGIEDDDDAGFGAGAIPHSLTSASTALQGLLRKLGAGLDDILPSSALSAAAAAAAASSSSASGQLSGRLKKILAGLRADGEDGRQVEALTQLCEMLSIGTEESLGAFSVDSFVPVLVGLLNHESNPDIMLLAARALTHLCDVLPSSCSAVVHYGAVPCFCARLLTIEYMDLAEQSLQALKKISLEHPTACLRAGALMAVLSYLDFFSTGVQRVALSTAANMCRKLPSDASDFVMEAVPLLTNLLNYHDSKVLEHASVCLTRIAEAFSPFPEKLDELCNHGLVAQAASLGVIRLLSICASGSPLAAKTLLLLGTSGTLKDILSGSGLVAGTTVSPALTRPADQMNEIVKLADELLPPLPVGTISLPMYSDVHMKGSSVKKSTSSKQSEHGSTGNELSGREKLLRDQPELLQQFGMDLLPTMTQVYGSSVSGPIRHKCLSVIGKLMYFSSAEMIQSLLSTTNISSFLAGILAWKDPQVLIPALQIAEVLMEKLPEIFVKMFVREGVVHAVESLICPEFTGQVTPQVSQLDSHVDSITTSQNRRNRRRNNAVNTENSLPDGSKGSHSVIANSPPSTAEVPNNSLRALVSNHAKSFKDKYFPSEPGSSDIAVTDDLLKLRALCAKLNTTADTIKTKAKGKSKAVVGNSFDVFGNIEEQLDGIIAEMLSELSKGDGVSTFEFIGSGVVTALITYLSCGTFGREKVSEANIPNLRHQAVRRYKAFISHALPNDKNGNRTPMAFLVHKLQSALSSLERFPVVLSHSGRAPTLGGSRLTTGLGALSQPFKLRLCRAPGEKSLKDYSSNIVLIDPLASLAAVEDFLWPRVQRTEPVSKPPVSSANNSESGAASSTAPSIPPGTQSGRRASLRSQSSAATSGAVKKDYQEGSIDTSKGKGKAVLKSSLDESKGPHTRNAARRKAASEKDVELKPSHGHSTSEDEDLDASPVEIDDALMLDDDDEDVSEDEDDDHEAVLRGSLPSCVPERVHDVKLGDAEDSSVASLAANDNQAQPSSGSSTKNTSGRGLDAAEFRSPSTFGSRTATQKVRVSRNRILDSAAKVMEMFSNQKAVLEVEYFGEVGTGLGPTLEFYTLLSRELQRVDLGLWRSHSPDDSGMQIDGNADDLTDEKRESESLVESRNIVKAPLGLFPQPWPPSAAASEGSKFFKVVEYFRLVGRTMAKALQDGRLLDLPLSTAFYKLLLGQVNIYNLEEYISLVVDATVKTGIMRQVEALKAGFNQFLEIMAEFTPEQQHAFCQFVTGAPRLPPG >Sspon.02G0041470-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:79124316:79129649:1 gene:Sspon.02G0041470-2C transcript:Sspon.02G0041470-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHLVVIAQVPWLLTTLALAFSHPVPLHLPVVAADDAAAQPMPAPRPADCPSMCGDVHIPYPFGIGGNCSWSGLDEFTLICNRSFSPPRPYLDDFEVMSIALDAGEMRVVGSVSYICYNSSTTAIADGIIGWTFTFGAPFLVSQKRNTFTAIGCNTLAYLSGMDDRSYMTGCITSCKSLHAAAQDGEECTGLGCCQTSIPANISTIEVFWRDSPNFTPINPAWRYSPCSYAFVAEKNWYHFRRRDLTLVANKNFTDRVGDRGIPLVLEWAIRSNGSCRIQLEDNDRESAKPAALACVSAHSYCINATQGSGYLCRCSEGYMGNPYIIGGCTNINECELRKSNPARYEKLYPCHSGSTCYDTQGDYMCKCKFGLKGDGTFVASVLACLVVMEIKRRKQKKFFDKNGGEILKSMGINIFTEGQLKKITNRYSTPIGEDDGLSYVNMECLDRIGELAVRCLKEDVEERPTMVEVVEALKQVKSIACGCS >Sspon.02G0032720-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:121381093:121385542:1 gene:Sspon.02G0032720-1A transcript:Sspon.02G0032720-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SUF3 [Source:Projected from Arabidopsis thaliana (AT3G33520) UniProtKB/TrEMBL;Acc:A0A178U6Y1] MTVGSRVVVIDNGGGLLKAGFGGDNDPIAVVPNCMAKPPGGNANSKKWLVADQLQAEDVDVTGMTLKRPIDRGYLINTEVQKEVWERVLRNLLQVDPTSSSLLLVEPLFNPPALQHATDELVFEEFGFNSLCVADGPSLVHLYEASRQPMLFRAQCSLVVDCGFSFTHASPVLQNFTLNYGVRRMDLGGKALTNYLKELVSYRSLNVMDETLLIDDAKEKLCFVSLDVPGDLHLARLSFKDNPFRCSYILPDGITYKKGFVKDMDEALRYRSLPLDEEAKRKEHSMDINKSEDRKKPELSQNEFVLTNERFLVPEMLFHPIDLGINQAGLAECIVRAVQACHPYLQPVLFESIILTGGSTLFPRFTDRLERELRPLVPDNYQVKITRQEDPILGVWRGGSILASTPDFESMCIAKSEYEEMGSARCRRRFFH >Sspon.05G0017440-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:74274078:74274284:-1 gene:Sspon.05G0017440-2B transcript:Sspon.05G0017440-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQKEEIIRLCKFHIRHVSPILNVPRGSACCVEVRKVRDMRCIIKQMGHKEKKSYSRKRVAGLEKKCH >Sspon.02G0012260-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:31082960:31084996:-1 gene:Sspon.02G0012260-2B transcript:Sspon.02G0012260-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKPAGPTSRTRTRGGLAASAPSSRRLSSISFTAAPNQSKKVPDPPKAVRPTRATPAKKRPQVDQAQKRREEIAALQEQLSGLQRKLHEKDEALRSAENLIGRITAANEAVDGLRSQLSEKELLIESTGSELHGAKIMLAEKQAALEKLEWEANVSSTKVEELQVDVASMDAEVSALMKLFRKITENDRAPPPRDRTDDLSLECEPVQLDDTVDDIDVEKMEQEMSAYASALAAAKENPTEEFMRAVTEARLRLQAVVL >Sspon.01G0002310-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:5483511:5486920:-1 gene:Sspon.01G0002310-2B transcript:Sspon.01G0002310-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPDGFCIEEISSPIAAHILDFYGGGLGNDLFAAATTTSSPFPATDDDVSSSTTTTPPICSYSDDAPAAGATAYSPLPSFDTTLTALLEEEQHHGLDTELLPQIDGLSEVAYYPTATDEASLGQFNQMGLPETLAEQVPPMQMSSSASALMPLTSDYDECYTAALAGGFMGLEGAMYQQTGAILPGCNAEASQQGFFNSASTNSMVMIGEYQKMMEGEGLTTAYNDTDSMQGTFNTNAEMQVGGNNQHLINGCNGNSATLPPTELSGLEDSTFKVVRLSPEERKEKIHRKTLADSRPRVRGRFAKNDELCEAAQSGSQSHEHYEQTDHMKEEEMLDTSDILAQLSGLNSYNYKYKCTIESWI >Sspon.08G0022310-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:49341998:49342599:-1 gene:Sspon.08G0022310-2C transcript:Sspon.08G0022310-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AMGNVEATWSMVPPELGDALVKVAVFAVVQALVYLILRKSSDVFAPAGKTTARSFSFRPMRSMSVRRVLAAFSDVPVGVPEPEDGAGAAPSPSLVDPGAEAASCFSCHQNANGKRLRASNLQVTN >Sspon.02G0045920-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2B:112840184:112843557:-1 gene:Sspon.02G0045920-1B transcript:Sspon.02G0045920-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSANKQQALQILFNGDFDPVAMGLDMAELDVVETATLVYIQETKISWDHWLRKLHRQEVILVQEEPVMQWWLRCRKLVPKAARRGFDSLFFLIGWLIWKERNARTFGRDATSPAGLQVVIQEEIHVWTFWFTTPPAPGPDVPLRLGLIGDLGQTPDSNSTLTHYESHPGDAVLFVGDLSYADKHPLHDNNRWDTWVDFAPELGELAPFKPFTHRYPTPWKASESSEPYWYSVKLGPAHIIVLSSYSAFGKYTPQYKWLEAELQRVDRETTPWLFISTHVPWYNSNNFHYMEGEPMRAQFEKMAVDARVDAVFAGHVHAYERTHRYSNIKYNVTDGKCTPVADRRAPVYVVIGDGGNVEGLADELTWPQPAYSAFREYSFGHAVLDIKNRTHAYYAWYRNHDGNKVTADTMWFTNRYHMPNHEDSIYSIHPPAAAKPNNVAYAS >Sspon.02G0027410-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:122862699:122866320:1 gene:Sspon.02G0027410-3C transcript:Sspon.02G0027410-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVGITSPPAPHALAPAASPSASSYSTLGLHRLRLSTPRLAAAGPSFRARRCAAAADGGAAASEGASAVADPDAGTDVAGGAATSTRPPYSIISADNVQKAMRGLAITDADHYGRLGVTRLASTDEESFTILSTEEERRLYDWSLSRSGQPERYVWPFQVDPLEMAPDPPQEPEDEFPTKLVGYFLLAWFILSVGLSVTLNK >Sspon.02G0013530-4D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6D:14188814:14190145:1 gene:Sspon.02G0013530-4D transcript:Sspon.02G0013530-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLVLLLILLVPTISLPVADCNDNAGFQLKLTHVDAGTSYTKLQLLSRAIARSKARVAALQSAAVSAPPVVDPITAARVLVTSSSGEYLVDLAIGTPPLYYTAIMDTGSDLIWTQCAPCLLCADQPTPHFDAKRSATYRALPCRSSRCAALSSPSCFKKMCVYQYYYGDTASTAGVLANETFTFGAASSTKVRAANVSFGCGSLNAGDLANSSGMVGFGRGPLSLVSQLGPSRFSYCLTSYLSPTPSRLYFGVFANLNSTNTSSGSPVQVQSTPFVINPALPNMYFLSVKGISLGTKRLPIDPLVFAINDDGTGGVIIDSGTSITWLQQDAYEAVRRGLVSAIPLPATNDTDIGLDTCFQWPPPPNVTVTVPDFVFHFDGANMTLPPENYMLIASTTGYLCLAMAPTGVGTIIGNYQQQNLHLLYDIANSFLSFVPAPCDII >Sspon.08G0014540-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:59332243:59335400:-1 gene:Sspon.08G0014540-1A transcript:Sspon.08G0014540-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSGRERETLVVGRVVGDVLDPFVRTTNLRVSYGTRTVSNGCELKPSMVVNQPRVEVGGPDMRTFYTLVMVDPDAPSPSDPNLREYLHWLVTDIPGTTGAAFGQEVICYESPRPTMGIHRFVLVLFQQLVRQTVYAPGWRQNFNTRDFAELYNLGPPVAAVYFNCQREAGSGGRR >Sspon.01G0010260-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1A:28842411:28845133:-1 gene:Sspon.01G0010260-1A transcript:Sspon.01G0010260-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGKGLARRWAVELPDASSSSPAVPDPPGFTRSAPDAVTPTPPPRSSLRWFGSVSRNSRACPGSQDDAAGARQRKDSETAWKAQKAWEVAQAPFKNLMMMGFMMWMAGSTVHLFSIGITFSALWQPLNALRSVGKVFEPFKDPRVDTIAPKLLFIALNLAAMGLGVWKLNTLGLLPTNPSDWVSSLAPARPQRIFV >Sspon.07G0002300-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:5070178:5071149:1 gene:Sspon.07G0002300-2P transcript:Sspon.07G0002300-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVREMAMAAAAAAASVGGGGGGGVKLPPPNPNLPYREDCWSDGETAALVAAWGSRYVELNRGNLRQKQWQEVADAVNTRRGASARRRPPRTDVQCKNRVDTLKKKYKAELARNAPSGWSFFPELDRLVGPTLSASASKRPLPLPAPQFALPIHPPAVRRPPSPSPSSSSPPPPMALPLPNYRRGSPLPAAALIQKEAAAAAAAVSDSEDSDDAGGNNNHNSLRSPSRSVSSLSGNNKKRSRDEAGNSADKGFRELARAIEAFAEMYERVESAKQKHAVEMERQRIEFLKQLEVKRMENFVDAHVKLARAKRTKKTTGGAAEGA >Sspon.02G0040100-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2B:65085068:65086184:1 gene:Sspon.02G0040100-1B transcript:Sspon.02G0040100-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSVPSPPHISTSHARLRGSYVTRLHVGSPHSLRFSRSCTRPVTTACGYANIQKMGRGDRCPASARRRRRRHSGEVLLGRMEARQWRSTAAHGPRCAAAAWATCRWARRRMNLVPLACLLKHPSLRLAGSVEANAACQLGGSGRGEGASRGEEAARWRWARQTEPGWECGAEEAAWRRPQGMGHGATLRGTGHGEAEWGGQMDTATQGGNGTASIGAHG >Sspon.07G0028040-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:63962811:63970208:1 gene:Sspon.07G0028040-1B transcript:Sspon.07G0028040-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEWIRQAESWIRQQPPEQIYIAAVVVALTILLLIVDSEVSLCHKFEDFDKLRDGSSHQGTVTSMEENDDTFVLHSEQERKGKVKPVHVVDVPGHARLKPKLDEVLPKAAGVVFIVDAQDFLSSMQAAAEYLYDILTKATVVKKKVPVLIFCNKTDKVTAHSKEFIKKQLEKEINKLRESRNAISSADISDEVQLGVPGEVDLPTQ >Sspon.05G0001050-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:3490122:3494090:-1 gene:Sspon.05G0001050-1A transcript:Sspon.05G0001050-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MCAFSGTLRTMVKQEKIMKKAGRKEAVSIKLAGFPGGADGFELVARFCYNNGRILLCPSNLPVLHCAAVFLEMTEEVCACNLLAQAEAFVNGLCYWTWGDVLTAVRSCEPFAAAADASGLLERLISALFSKITATPDARRRRRRGGDAEPVLVVVLLTPESMRPCAGREWWFDDMTSLSPPTIEKVMRVLGCYGVENKNLILTRFLLHYLRAATRRPPALALCEGGREGAGALAGLADTAVHGVALVGGTAFSCRGLFWVLRIVSAVGLSRECRHKLERLMGLMLDQATLDDLLVSGDDGGVYDVNLVMRLVRVFVASEEEADAPSQRMRKVGRLLDKYLGEISPDHGLKMSKFLAVAEGLPDSARDCYDGVYRALDIYLEERTTLCRCLNYEKLTLEACKDLAKNRRIPPGVAVQALASQQCKLQISEPGPSAAAAKERRADPSACSQSQTPRRRVARRATRSVDLGGGRGGGRGDDDEKELLRLNLQRMQSRVVELERACKEMKGQMSKMAKGKSFSFGAGAASCHQTGSRGLPRLC >Sspon.02G0025500-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:83823538:83831367:1 gene:Sspon.02G0025500-2P transcript:Sspon.02G0025500-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding CSRADVDVARFVPTTAFCSPEADRGHWRALDARYSRVLLRRKGNDLMVWDPITDKERKLPFPPQYSALLSWTVAVLYADAAAGACDHLDCHRRPFVMVLVGFVFHGSGAALFYYGFNPSGTMHAATTGITSTQAYRNEHIPVNLFHVNADGFLPGGSSLHSYMTPHGLDWIKVPLSHYNGGVATSSEPASGADEKEMLAGLLQQPLILLMC >Sspon.04G0006550-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:14861519:14862780:-1 gene:Sspon.04G0006550-2B transcript:Sspon.04G0006550-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGKADTSKKDEGRLRAGGGAGKRKKAAASGKPKRPPSAFFVFMSEFRQEYQAQHPGNKSVAAVSKAAGEKWRAMSEQEKQPYVDQAGQKKQDYEKTKANFDKKESTSSKKAKTEDEDGSKSEVDDEDDEENDDD >Sspon.04G0010260-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:29713764:29714772:-1 gene:Sspon.04G0010260-1P transcript:Sspon.04G0010260-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MACPAQSMLSASGCIFLRSKPQAAAAASHVRGGIIGGGCSSRPFLLTCNASSPPAPTQEDPDCNEEECAPEKEVGSLSAEWLAEERTQVVGTFPPKKRKWGYVEKDTAGQTNIYSVEPMVYVAESAISSGTAGTSADGAENTAAIAAGLVLITVAAASSILIQVNKSQPPVPPEAAYNGPPLSYYVAKFQPAVAQTLEAPAPAEATSTVEASAAPEAQQLSS >Sspon.06G0014890-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:64401263:64402869:-1 gene:Sspon.06G0014890-2B transcript:Sspon.06G0014890-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable protein S-acyltransferase 4 [Source:Projected from Arabidopsis thaliana (AT3G56930) UniProtKB/Swiss-Prot;Acc:Q9M1K5] MKGRPLFKSPLPRSYLSDTSSVSSAAVATHRVYQVWRGKNVRSFLCGGRLIFGPDASSIVLTVALIMTPLALFVAFVSFRLAELIGKPLGTAVPATAMAVGVFDVVVLVLTSGRDPGIIPRNARPPEPDDAATDSSSFASPATGASWSLPPTRDVYVNGVVVKVKYCHTCMLYRPPRCSHCSVCNNCVERFDHHCPWVGQCIGRRNYRFFFMFIASTTFLCLYVFAFCWVNLLLISRKYGVSFGHAVAESPVSGCLIVYTFVTAWFVGGLTAFHSYLVCTNQTTYENFRYRYERKANPFNRGAGSNIAEIFFSPIPPSRNDFRAKVSPADPDAAALYYLGPLSSESRISFYTRASLSFDMAKASFDLNYSAKRTSVASSDFGDIYGSHGGHGHGGALDRVSTTHLQQPRHSIFGGPGRESKKAEDEADAVTAELGATMHTHYGGAAGRPRGREFEV >Sspon.06G0015880-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:69254639:69260573:1 gene:Sspon.06G0015880-4D transcript:Sspon.06G0015880-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAANPKAKPTPMPPPAAPTVAAQKPQAEPTPSVNGEASRSPLIPAPHPHAPHPQQHAPPGASPLLSPPPPSRPLLTVAAVESVMAAIPPPPRYGLEDLDRRTVALSDGTVRTYFALPHEPPPQLRQPPPPIPAHLLVPPPPPLPLLRPPIERWAPPPPMPALLPAAGLLPVPLPKRKWEDQANGGVPGESSGRKQPQKSEARAAKQVKVEETGVDLKVLKTAFLKMVKLMNENEADKKNYRSNGRLSQLKCPVCQRDSVDLHALLNHAYYAKNQEHRADHLGFHKALCVLMGWNYSVAPVHKTAYQALSTIDAEANQGDLILWPPTVVIENTYKSKHDGQKDGMSDKDMESKLREMGFAGVDVQPLPGKDGQRSMQAKFPASLDGLNNASRLVELFERQGHGRAAWARIRSNVPTSDGGNNNPMLVKVDGKGERTWVLYGYLATAWDLDILDPESKQNAT >Sspon.01G0038980-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:59621726:59624927:-1 gene:Sspon.01G0038980-1P transcript:Sspon.01G0038980-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEIDQKGRQREQGVRLCHLHDKDAAQRAIEDIQDREYKVKTIYVKNLPENVSKEKIKDLFDKHGEVTKIVLPPAKAGHKRDFGFVHFAERSSALKAVKGSEKYEIDGQVLEVSMAKPLADKKPDHSHRSGGGPNYPLPSYGGGYMGDPYGAYGGGGPAYNQPMIYGRGPAPAGMRMVPMVLPDGRLGYVLQQPGGVPPPPHRGGVATEETVAEAAKGTAGDIALTSFALDNCTEQNKLKSKVARGRQASTSPCF >Sspon.07G0019810-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:69733406:69739575:1 gene:Sspon.07G0019810-4D transcript:Sspon.07G0019810-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPSGQPNPMGGPGQQVGASLLRTSSSLLGGGGGGGVGAGGQPGMGMGMGGGVLPSQSPFSSLVSPRTQYGGGGGNGLLAGASSVASMLSRQQSYGNGGTGVMPGTGAGLPIGGMQHRGGVGDLVGTGGPDSMVFSPSGPGSLGNQLGADGLQQQQQLDAPQDSQNQQQQQQQQQMSMTYNQQHMMPQTQQQQQPQPQAAVKLENGGVLGGVKLEQQMGQPDQSVSTQMLRSSSGGVKLEPQLQAMRSLGAVKLEHQSSDPSVFLQQQQQQQQQQQHMLQLSKPNPQAAATQLSLLQQQQRFLQLQQQQQQQQQQQILKNLPLQRNQLQQQQQQQQQQQQQHQHQQLLRQQSLNMRTGKTPAYEPGTCAKRLTHYMYHQQNRPQDNNIEYWRNFVNEYFAPSAKKRWCVSLYGNGRQTTGVFPQVYLFSLTLSIGWMFGIVRYAIGSQDEVLVETTVEVLPRLCQIKYASGTLEELLYVDMPRESQNSSGQIVLDYTKAIQESVFDQLRVVREGHLRIVFNQDLKIASWEFCARRHEELIPRRSIIPQVSQLGAVVQKYQSSVQSSASLSSQDLQNNCN >Sspon.01G0044500-2P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4B:76621789:76623264:-1 gene:Sspon.01G0044500-2P transcript:Sspon.01G0044500-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATALEFLRTHHQPCKPPPPAPRASVLCRATAGTAGPASAAALRSLAPPTRPELLSLDLPRYDPARARPVDLAVVGGGPAGLAVAQRVAEAGLSVCAIDPSPAVVWPNNYGVWVDEFEAMGLAHCLDTVWPSASVFIGDGRAKSLDRPYARVARRKLKSAMMDRCVANGVVFHQGQGRQGRPLRRLLPPHLRRRRRRPGQRRARRTGFSRCLVQYDKPYNPGYQVAYGILAEVDAHPFDIDKMLFMDWRDSHLPEGSEIRERNRRIPTFLYAMPFSPTRIFLEETSLVARPGLAMDDIQERMAARLRHLGIRVRSVEEDERCVIPMGGPLPVLPQRVVGIGGTAGMVHPSTGYMVARTLATAPIVADAIVRFLDTGNGMGGLAGDALSAEVWKQLWPANRRRQREFFCFGMDILLKLDLEGTRRFFDAFFDLEPHYWHGFLSSRLFLPELLMFGLTLFGNASNTSRLEIMAKGTVPLGKMIGNLIQDRDG >Sspon.04G0023080-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:10453001:10455768:1 gene:Sspon.04G0023080-1B transcript:Sspon.04G0023080-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAPVEEPRRRPRRSGGRPVLSARLGRRPEDIIKLDANENPYGPPPEVATALGSLKFPYVYPDPESRHLRAALAEDSGLESEYILAGCGADELIDLIMRCVLEPGDKIVDCPPTFTMYEFDASVNGALVIKVPRLPDFSLDVDRIVEVVEQENPKCIFLTSPNNPDGSVINDEDLLKILDLPILVVLDEAYIEFSSLKSKMAWVKKHDNLIVLRTFSKRAGLAGLRVGYGAFPLSIIEYLWRAKQPYNVSVAAEVSACAALQNPTYLEEDLAKMGVMIRHYDKKELKGYIRISVGKPEHTDALMKGLNALQL >Sspon.08G0022910-1T-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8B:51697808:51698170:-1 gene:Sspon.08G0022910-1T transcript:Sspon.08G0022910-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEPSRDARRASPDPVLVVDVHRHAGIPRAMLKIEIAPASVQPISACRITHREGGGRGEVEGDLEEVPRDGETREAGAEDGVAQGAVAVPSCGRRRRRGRGGGGRGGGSDSSPGEQTGRS >Sspon.07G0000750-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:1187296:1189443:1 gene:Sspon.07G0000750-2B transcript:Sspon.07G0000750-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPALRLLVAAALLLLSSAGAQETCWSGPAPRRGAWMSVASFGGRGDGQALNTGAFARAVARIERRRGARGGTLLYVPPGVWLTGPFNLTSHMTLFLARGAVIRATQVRPLPFFFFFLVAAVLPCYHRAKPSLHVSLEQDTSSWPLIDPLPSYGRGRELPGGRYMSLIHGHGLQDVFITGENGTIDGQGSVWWDMWKKRTLPFTRPHLLELMYSTDVVVSNVVFQDSPFWNIHPVYCSNVLIANVTVLAPHDSPNTDGIDLDSSSNVCIQDSYISVGDDLVSIKSGWDEYGIAFGRPSSGITIRRITGSGPFAGFAVGSETSGGVENVLVEHLNFFGMGVGIHIKTNSGRGGFIRNITVSEVTLNGARYGLRIAGDVGGHPDASYNPSVLPVVNSVTIKNVWGQNIRQAGLIRGIRNSVFSNICLSNVKLYGSASIGPWKCRAVSGGAIDVQPSPCTELASTSETGIVSPFVSWQTLLKFSCQ >Sspon.01G0018580-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1A:69071790:69072735:1 gene:Sspon.01G0018580-1A transcript:Sspon.01G0018580-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DGCDLGGYDEVGDVREYLARLESAGSRTLDELLGSESSRGRPVRVVVYDAFLLWVPRVARRHGASCAAFFTQACSVNVVYDHAWRGKVKLPVDKVLAAELPGLPKGLQLEPADCSSFLTQQDSSSTSSTYLDLLLQQCQGLEVADHVLINSFYELQTEEAEYMASRWAARTVGPTLPSAYLDNRMPDDSSYSFSLHAPMATECNAWLANRSARSVVYVSFGSIAAPGPDQLAEMAQGLYNSGKAFLWVVRGPETSKLPESFLSK >Sspon.08G0013360-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8A:56138197:56138631:-1 gene:Sspon.08G0013360-1A transcript:Sspon.08G0013360-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTPTTAFLRLTPAPSPRTARPPRSATFPQPALSVSLSDCRNDRLAAASKDTASSKGQEQEQEPAPSALEEGGAEKGTEVGGASPGEKSPEAVAAELKEVLRARKEAEAAEGGGGWWAGVAQEMTEIEWPAPGKVVGTTGVVLG >Sspon.06G0012570-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:54483067:54484897:1 gene:Sspon.06G0012570-3C transcript:Sspon.06G0012570-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAQQKIRWGELEEDDGGDLDFLLPPRVVVGPDENGLKKVIEYRFDDDGNKVRVTTTTRVRKLARARLSRSAIERRQWPKFGDALKEDAGSRLTMVSTEEILLERPRAPGSKAEEPAASGDPLAMASKGGAVLMVCRTCGKKGDHWTSKCPYKDLAPPTETFTDRPPTSDGPPAPGGPVKGAYVPPTMRGGADRTGDVMRRRNDENSVRVTNLSEDTREPDLLELFRAFGPVSRVYVAVDQKTGSSRGFGFVNFVHREDAEKAISKLNGYGYDNLILRVEWATPRPN >Sspon.02G0048760-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:28254981:28257124:1 gene:Sspon.02G0048760-1C transcript:Sspon.02G0048760-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVCVAVVGHQVRTFTAPLDPRNNPLYLQSFTEADDALKLHHIVHCSLDVIDERVNNPKRSAPTLNETFLGLLYPTENYKVYGYLTNTKVKFIMVTTDLDVKDADARNFFRKFHAAYVDAVSNPFHVPGKKIASRSFGARVSTIVKSFGSGTTN >Sspon.04G0023570-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:17025032:17028859:1 gene:Sspon.04G0023570-2C transcript:Sspon.04G0023570-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial import inner membrane translocase subunit TIM13 [Source:Projected from Arabidopsis thaliana (AT1G61570) UniProtKB/Swiss-Prot;Acc:Q9XH48] MDSFSSSLPSSGPGANPDAVMEQIKAQLAQAYAQEFLETVGNKCFDKCVTKPGSSLSGSESSCISRCVDRYIEATGIVSRALFSSQR >Sspon.02G0022210-1T-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2C:75282107:75282282:1 gene:Sspon.02G0022210-1T transcript:Sspon.02G0022210-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding EDEDSSDDDDADTAGAAQRRSGRKDRRRAVRIAWEKLVRWSRSWRRRNRSDVLETTRK >Sspon.03G0003150-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:7943768:7944625:1 gene:Sspon.03G0003150-1A transcript:Sspon.03G0003150-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRERAAWELEAGAADTARLLVLLAQAQQQHAHHHGVGVTPFPAGRVFECKTCSRQFPTFQALGGHRASHKRPRVLQQQQTVVADHAGPLCLGRQPLQLQLPTTTPPPQPAKPRVHECPVCGLEFAIGQALGGHMRRHRAEAEADAEAPSKVMRPPADRACDVAGGICLDLNLTPSENCAKCRSVVVLDAAGQGVHKTLAMVVLKEIIEVNSCIFFVV >Sspon.02G0031640-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:115717379:115723112:-1 gene:Sspon.02G0031640-1A transcript:Sspon.02G0031640-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ARWWSSINPKWRREEIRERDREVEERRGAVGWSAATPRCGRRIRRGGGARSLPVRRSSSRFGCEVSRAPWNNSRACEWEWDACSCCGWAALAASRSDPWRGVWLGLLYPIRGPVREFGSHLARDFLRLARFGYPGTRLASGSSSRGRLLAFCECSERDVQLLAVERKEEKTVMGISAKWIKSLVGIKKHEKGRNAECSDARNSAVQLLQKREHSVDTESVPAAEELRVQAEPLAGDTNTETISNSAFSPSTSLQVSQTELGTKEYQAAVVIQSAFRAFLARRALRALKGLVRLQALVRGHAVRKQAAETLQCMQALVRAQARVRARRVRVSLESQGTPKKPPEQNVHEDHVRDIEEDWCGSIGSVEEMKAKALKRQEAAAKRERAMAYALTHQWQASSRKQKAASLQDQGLAGDENQWGQKWLERWMAARPWENRVLDSNAKDSVTVGDDKPAEEDKAKAPNKPKGKVPVSTTQSNGSQQKKGAGHKKSHSDVSGSSSGHSAAVEPTASSESSKIKVKPSNEITDEVSSEPSNLASRSTSNPKERPAQINAPAKKRLSLPNNAIASGGVGKRPTNSSRTTQASRLKNAKGASKSGTRDQPGPANSAAKPVETLA >Sspon.06G0032190-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:80410270:80410676:-1 gene:Sspon.06G0032190-1C transcript:Sspon.06G0032190-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFNKNLLVAGFTLALLIVASRRATAVCESRTGIMCTSDEMCVPICLQRGYTGGYCSTKHVVGDPSCVCTKPCPAQSMTAAPAEKQLAGNGDAGGMGMAN >Sspon.03G0008570-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:39890924:39895976:1 gene:Sspon.03G0008570-1P transcript:Sspon.03G0008570-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLDLWISKVKEGQHLAEHELQSLCEYVKEILIEESNVQPVNSPVTVCGDIHGQFHDLMKLFATGGHVPETNYIFMGDFVDRGFNSLEVFTILLLLKARYPAHITLLRGNHESRQLTQVYGFYDECQRKYGNANAWRYCTDVFDYLTLSAIINGQVLCVHGGLSPDVRTIDQIRTIDRNCEIPHEGPFCDLMWSDPEEIETWAFNFVNGIELVCRAHQLVQEGLKYMFQEKGLVTVWSAPNYCYRCGNVASILSFDEKMERDVKFFTETEENNQMRGPRTAVPYFL >Sspon.01G0008890-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:27930028:27933194:1 gene:Sspon.01G0008890-2B transcript:Sspon.01G0008890-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRPPAEEGKLAAHPRLPKGKTVAVVVLDGWGEAAPDPFNCIHSADTPTLDALKKAAPERWRVIKAHGTAVGLPTDDDMGNSEVGHNALGAGQIYAQGAKLVDLALDSGKIYEGEGFKYIQQSFQDGTLHLIGLLSDGGVHSRFDQLQLLLKGASERGAKRIRVHVLTDGRDVLDGSSVRFVEMLEDDLDRLRDKGVDARIASGGGRMYVTMDRYENDWQVVKRGWDAHVLGEAPHKFKNAIEVVKKLREDPKANDQYLPPLVIVDESGKPVGPIQDGDAVVTFNFRADRMVMLAKALEYEDFDKFDRVRFPKIRYAGMLQYDGELKLPSHYLVAPPEIERTSGEYLARNGIRTYACSETVKFGHVTFFWNGNRSGYFNQDLEKYEEIPSDIGIPFNVQPKMKALEIAHKARDAILSRKFDQVRVNIANGDMVGHTGDIEATIVGCKAADEAVKIILDAVEQVGGIFVLTADHGNAEDMAKRDKSGKPLRDKDGKVQTLTSHTLNPVPIAIGGPGLAPGVRFRTDLANAGLANVAATVMNLHGFEAPDHYEPTLIEVVDK >Sspon.04G0022540-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4D:11864922:11867047:1 gene:Sspon.04G0022540-2D transcript:Sspon.04G0022540-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding IYSEMIGNVMTDARSSGKYYHFVRLMGRAASHITLECAIQTHPNISLIGEEVAAKKHTLKNVTDYITDIVCKRAELGYNYGVILIPEGLIDFIPEVQKLIAELNEILAHDIVDEAGVWKNKLEQESKKLFEFLPPSIQEQLLLERDPHGNVQVGNLAAPVSEWTAGGTALTSLMDVERRHGTF >Sspon.06G0009420-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:50240266:50241162:1 gene:Sspon.06G0009420-1A transcript:Sspon.06G0009420-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heavy metal-associated isoprenylated plant protein 21 [Source:Projected from Arabidopsis thaliana (AT5G17450) UniProtKB/Swiss-Prot;Acc:Q9LF57] MGILDHLSHLCSITETKEALKLRKKRPLQTVNIKVKMDCEGCERRVKSAVKSMRGVTSVAVNPKQSKCTVTGYVEPAKVLQRVKATGKNAEMWPYVPYALTTYPYVGGAYDKKAPAGFVRSAPQAMADPSAPEVKYMNMFNDDNVNACTVM >Sspon.02G0004280-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:15050328:15051176:-1 gene:Sspon.02G0004280-2C transcript:Sspon.02G0004280-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAAVAESRADAEADATSDSADVFEFCILSSGGIVPAGKGAGTDMCVADELFCQGKLLPLRPSSAAAADGASVATLPRSESAASTVGLVSRSGSRSASSSGSSSGCVSRSQSSKSASSDQGAAAGPPRRSLSSSVFYAHPSPSPQLRSARPRRSTGSAAQPAAAWGLFRLGVVGVPDVYPPPPRPAADAKLAAAARGGGSRSARFEQVATAVDRKLGLAALFGDSLGCKCSPDVIEPVRTLEAAKRGRKKDGAKSGGHGVAVRRSRILDWLEELSIVKEKK >Sspon.06G0013770-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:71853223:71859085:1 gene:Sspon.06G0013770-1A transcript:Sspon.06G0013770-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAGPAAVLAVVVLACALGARADGSDHKYKEGDHVPLYANKVGPFHNPSETYRYYDLPFCAPEHPKDKKEALGEVLNGDRLVDAPYELDFKEDRNSKVLCKKVLSKEQVAKLRDAVAKDYYFQMYYDDLPLWGFLGKLDKDKEQGDAKYLLFKHIHFDIMYNDNRVIEINVQTDPNVAVDITEDKEVPIEFSYSVTWKKTDIPFEKRMNKYSKSSSMPQHLEIHWFSIINSCVTVLLLTGFLATILMRVLKNDFIKYSHEDESLEDQEETGWKYIHGDIFRFPKQKSVFAAIIGSGTQLLALAIFIFLLALVGVFYPYNRGALFTALVVIYALTSGIAGYTATSFYLQLEGTNWVRNLILTGCLFCGPLFLTFCFLNTVAIAYSATAALPFGTIIVIILIWALVTSPLLVLGGIAGKNSNTEFQAPCRTTKYPREIPQLPWYRSTIPQMAMAGFLPFSAIYIELYYIFASIWGHKIYTIYSILFIVFIILIIVTAFVTVALTYFQLAVEDHEWWWRSVLCGGSTGIFIFFYCIYYYHARSDMSGFMQTSFFFGYMTCVCYGFFLMLGTVGFRASLFFVRHIYRSIKCE >Sspon.06G0026120-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:72543666:72544744:-1 gene:Sspon.06G0026120-2D transcript:Sspon.06G0026120-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MWADQRMILALDSASASSSAPDLTTLSEDLPSSLQVAAWVAQKGGGQVVEGLVNCYSKKKQKKNKKIGGGSWSPAAKSWGSEMEGAEESGELIGGIGRELAISCLLRLPRSYYYDVACVNRSFYSLVRVGELYRLRREAGIVEQMIYCSCNVLEWEGFDPCRQRWFSIPSMPPIECFTLADKESLAVGTNILVFGKRVEAHVVLRYSLLTNSWMTGEMMNTPRCLFGSASFGEKAIVAGGIGENGALSSAELYDSEMRTWTTLPSMNRARQMCSGFFMDGKFYVIGGKAEKHNEVLSCAEEFDLENGTWRLIPDMAQGLNGGSGAPPLVAVVNNELYAADYATKE >Sspon.05G0027300-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:44152554:44153582:1 gene:Sspon.05G0027300-2P transcript:Sspon.05G0027300-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding WSRHVRCSRSSSCFSCSLWPPVWCRRCRRGNARRTASDLLGYARTKRTAPPCAVVKASWVAGAAPSAAAASALSPAN >Sspon.06G0022230-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:27076033:27076663:-1 gene:Sspon.06G0022230-3D transcript:Sspon.06G0022230-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SRSQEEETKGCRRRAARPRRRSRSPSNTATPSQSRASWRGSPLRRATPRPCAPPRTACRASRSRRRAAAGSAPGPSWSPPPRTTRPSAPSARDRRVTPLPSTGSTSPRTPCPVAASSPSSWQARYAASTPSLKHRRSSRMGRVTRPPETRVVQDTAMEERTVGVPVP >Sspon.04G0025170-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:30090875:30091225:1 gene:Sspon.04G0025170-3D transcript:Sspon.04G0025170-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding INNRSGSWAGPTLTASRPTTASLFPRSVPHLHHWRVRLRRPPPPPTNESIPASRPGPSPSLCLGVASASGTARMLPSQPAGWAGRNGGFPVWVKD >Sspon.04G0002830-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:4670272:4671420:-1 gene:Sspon.04G0002830-1P transcript:Sspon.04G0002830-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding CSGWRCSAPQAAASYTAAAGAGPSCPPPRPHPWHAGRPRSHSRRAATRLCPAAAPLHCSGRYWPAAAPQLARRLSAPAVSTSPSPVPYG >Sspon.03G0002260-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:20808246:20811806:-1 gene:Sspon.03G0002260-2C transcript:Sspon.03G0002260-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLPVEVVGNILSHLGVARDVMVASAVCRKWRDACRRHLRSLSFNSDDFPRDMTTRQLEIVITQTIFQTMGLQCLSIHIDNTHEFSAAPVIAWLMYTRETLRSLSYNVRTIPNVNILEKCGRQKLEVLDLDHNTITGVEPSYQRFTCLKSLSLRHVSISALDLSLLVAACPRIESLALDVLEVVTSDSQSTMELTSHTLKSLYAKSVGVDKIILDADNLEVLHLNALNLDLFELNGKGTLKHLKIDDVSVTHLDIGDNTDHLEAVDVSNFTIVWPKFYSMISRASNLRMLRFWGVVFDDEDEIVDSETIAVSFPLLRHLSLSYELRDGLLHYSLQGSSPLENVSVLELDLLLFLHHEDRVHVRSAVLKKVRADLFTGVCMAYALQ >Sspon.07G0004620-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:10715214:10716087:1 gene:Sspon.07G0004620-4D transcript:Sspon.07G0004620-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCCISKKCDETFGVAAGEASRRLSEARDPPPPPPEEETVKEVLSETPKAKPRPRPRRVAASVGQEAGETAAKPKLGTARAKDGGGGGSRARRALGPARSGPSGDEKSEVASESSAATTATGPERSPAKASRRRAAASPGEVARGARRDRGVPGGGGRPGGGRASPSVPAAGAQVAARYPRRSPSPAAKRTQEQRRAGAGAASAASGTQRKPPVPPARPCGRVSPRRGQEAPPELSPPAQPREPEHCASPPLGLPEENAGSAGDGEGKESLDNPLVAMECFIFL >Sspon.04G0009260-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:31042804:31045781:-1 gene:Sspon.04G0009260-3D transcript:Sspon.04G0009260-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDAASEISDWEVLSATSACGGSDDDEVLVVSGGGGDVVLDHFALTPDPAAACPGDGEGSWSGPGGSSEGLELLDGFDPIPESSSDLAAGVRSQQPPIGGVDDAREEGSILQATLARGAARSADGNQAEVVDAEIEQESNSAISRGELCPVLQPAHHGVGETLDSATPTGASLQTEVSESSLVQLDDGGIGAGVESSCLEDAVSSDGIHGEPEEQVQGSNANAATGCDEPDGEAKDGALPLAHTPGTEDGENQFVVWWRLPFKLLHYCAWKVKPVWSFSIAAALLGLVVLGRRMYRMKRKARGLPQIKIAFDDKRASQFTDRAARLNEAFLVARRVPMLRTSSGATFPWSMEPLLVLL >Sspon.01G0001240-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:16705622:16710157:-1 gene:Sspon.01G0001240-1A transcript:Sspon.01G0001240-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase ATG1a [Source:Projected from Arabidopsis thaliana (AT3G61960) UniProtKB/Swiss-Prot;Acc:Q94C95] MDEEEEREEGEVGEYKLQRVVGKGSFAAVHRAAHRRTGARVAVKAIDRRRVDKRVHDGILQEREILRSIDHPNILRLLDTIDTKKMMYLVLEYCDGGDLDAFLHKHGRLPEAVAKDLMRQLAEGLKVLRGRNIVHRDLKPQNLLLSTNGDAIILKIGDFGFARSLVHENLAATMCGSPYYMAPEIWQGKDYDAKSDLWSVGVILFQLVTGKLPFTGSNAFQLHQNILAADDLNFPSEIEADLCPDCIDLCRRLLCRDPKKRISFEEFFNHKFLATTRKCDESCRAVELRDNCQTITSPVVLKSKSESIESKNSKVFDSWEWIEREYVLVPVNCTSMEMSSLNINKSTKDDMDTRTASYDRSTGKGSVQNQIRDFTDTATGVHSHGCAPVLISQDSATVDCRRGKPPDYITRLHSLNQYVVVLTELAREKLSKGLYLEALSIELVLLAIWKEALDACSLLMDASDGENFSKSSQEHSLPKSVHSALNVVRGLDFTRPASVFSWVESGFMKAYDRAEKISHVLRKSDDNTEMPDAMDVVFQTALEYGKSGA >Sspon.05G0001690-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:4137210:4141464:1 gene:Sspon.05G0001690-1T transcript:Sspon.05G0001690-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GTP cyclohydrolase 1 [Source:Projected from Arabidopsis thaliana (AT3G07270) UniProtKB/Swiss-Prot;Acc:Q9SFV7] MGALEEAYLVAAACACEEEDEESDDLGLLAGDAAAAGDAMEPAVRALLLGLGEDDRREGLRRTPKRVAKAFRDGTRGYRQKVKDIVQGALFPEVGVDKRTGSAGGTGGQVVVRDIELFSYCESCLLPFSIQCHVGYVPSGGRVVGLSKLSRVSDVFAKRLQNPQRLANEVCGALHASVQPAGVAVALQCWHIPLPENLECKALQGWIRTSHSSRSGVFEGDNSTFWSDFLALLKLRGIDMEVEDHSVSIPWCPLRSHEAALSNGLCKKSSTNGMVSAVTSMLLSLGEDPLRKELLGTPQRYVQWLMKFRACNLLDVKLNGFRLSNVSLYERPGGGTTDHGAIRSELHLPFCAQCEHHLLPFYGVVHIGYFENGSGEGIDRSHFQALVHFYGCKLQVQERMTRQIAEAVYSVSHNGAMVVVEANHICMISRGIEKIRSNTATIAVLGQFLTDPSAKACILQNVLDTAGSAI >Sspon.06G0020640-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6B:10480509:10481204:1 gene:Sspon.06G0020640-1B transcript:Sspon.06G0020640-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFHLRSVSLPSKRLSNEAEVEAELQSLEAAVSSPSATIEAMCNGLRRLGDVYSHIEEIIHFPSNQACTIQQRKELDEEMESSLELIDLCNAMQENLAELKATIQDLLVVLRRGDDASIQAKIQSYIHLVKNAQKQFKKASKKTTTTTSEKEECKLVRLLLKARLVAASLLDSTLCLVSKQVAMPKRSLVSKALQKRSVVVCKEDQLQALECIVGDLELGAELLFRRMIQSR >Sspon.08G0025210-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8C:7089191:7094980:-1 gene:Sspon.08G0025210-1C transcript:Sspon.08G0025210-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFASSSSALSAALSLTTFLPPVSEKLNRTNHQSWKAQVLSALRGAQLADWLEVNVEPPAKFLPKKKPDDVDEPPVANPDYATWVAKEQTVLSYILTNLSKEILGHVNTEVTACGAWRTIEALFASQSRAKIISTRMALTSATKGTSSISEYFTKIKGLADEMAAAGRKLEDEEFVSYILSGLDLEFDSIVSAVASRVEPISVAELYTQLIAHEQRLEMRNGGANQSSANLATKGKSGGGNNQRGGRGGGRNAGGRGNRGGFGRGGGGRGSSFEPGVYCQICGKEGHPAHRCFKRFDSNYSGPPQKSVSTATTSYGVDTNWYMDTGATDHITGDLERLSFRDKYNGGEQVHAANGSGMDIMHIGHSTLCSPSTNLRLNNILHVPKAKRNLLSVHRFTKDNNVFLEFHPHHFAVKEEETRRTLLRGRCEGGLYPLRSSTNKSSMNKQVLGVARPTTSLWHNRLGHASFQVVQRVLSRQNISLVPDLNNGHEGRVYISRDVVFDESVFPFASLHPNAGAKLRAELLLLPDHLQNPSINSGVANAYDHSMNASVPTNPDLRCAGTGEAAEKNSEQNDVFFRSMGDLPNRHRMCDRDGDSSRLEDDPPGFGTESAAASALGSQSPGSSALRRADVANDQISPIGFSVAESASSSTLPSDSTATTDPRGGSSAVGSAVPHSGSGTAPNSVVSSSENARPVTRSQHGITKPKVHTDGTVRWAMLAKSIDAEPSSVKQALCDPRWTEAMNLEYDALLRNKTWKLVPRPQGKNIIGCKWVYKVKHKADGSIDRYKARLVAKGFKQRYGIDYEDTFSPVVKAATIRLILSIAVSNGWSLRQLDVQNAFLHGVLDEEVYMDQPPGFADKAHPGYVCKLERALYGLKQAPRAWYARLCGKLISLGFKPSRADTSLFYYSKGGHTLFVLVYVDDIIVASSSLGATKALLSDLQHDFALKDLGDLHYFLGIEVKRDSSGLVLSQSKYANDILARSGMDKCKTVDTPLASTQKLSVDDGEKLGDEDSTRYRSLVGALQYLTLTRPDISFSVNKVCQFLHAPTTTHFSAVKRILRYVHGTSKYGLRIRKSQCRIVSAFSDADWAGDVDDRRSTGGFAVFLGDNLISWTARKQATVSRSSTEAEYKALANATAEVMWVQKLLAELRIPHQKVARLWCDNLGATYLSANPVFHARTKHIEIDFHFVRERVAQKLLDVRFISSGAFSYAILTRSRAPQRVTRSTKFHRPKLLVVHPSSNKSPSGAGSPGAVLGARRRVCAAVFLACFACVSLATTLLSAARDPGAAGAGARAAAAASGRAAAAFAVPAGAAGAAAAAGEGLPGHVFDALVQYASAGGNSTASMPGGDVRAIAAVLKRRAPCNLLVFGLGGETPLWRALNHGGRTVFLDENQYYVSHLEGRHPGLEAYDVAYTTTVREFPDLLDAARAARAVECRPVQNLLFSDCRLAINDLPNQLYDVSWDVILVDGPRGPLANALSNADRYTATSPGRMSAIFTAGVLARTRAGEDATTDVLVHDYEREVERACSREFLCEENRVAETSTRSLAHFVVRGGSSARRDAFCSGAASGAAAAH >Sspon.08G0002130-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8B:3535327:3540851:1 gene:Sspon.08G0002130-2B transcript:Sspon.08G0002130-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VRGTIEKELGEPMSDLFADFVVDPLATASDLKNAKSLVEWIAWAEPQYDFNPMIDEWCKEAPKELDFNHEAENTRAVSRNLSRKTDCGSGSVSSAVDVLIPEVIQSTDKVLILEYMDGIRLNDNDSLEAYGVDKQKLVEEITRAYAHQIYIDGFFNGDPHPGNFLVSKEPPHKPILLDFGLTKRISKSMTQALAKMFLSCAEGDHVALLSAFSEMGLKLRVDMPQQAMDIATIFFRQSTTASEAKVDAFPGDAIIFMRVLNLLRGLSASLNVRIVYLDIMRPFAESTLLGSLTHGEIPNSQWIFDSPANSDVESKLRNYLLELGRDKILGIQVCAYKDGKVIIDTAAGMLGKYDPRPVQPDSLFPVFSVTKGITAGMVHWLVDKGKLKYEETVANIWPNFGTNGKELIKVHHLLNHTSGLHNALGDVVKNDPLLHASGKKFQEVLEEAIVRPLHIEGELYVGIPPGVESRLAALTVDTEELQKLSGIRAGADVPPALLNNIAQMASGLPALFNTLNVRRAIIPAANGHCSARALARYYAALATGGSVPPPHSSGSKPPLGSHVHTPKFPTAPLKKKKGTGKKKCGRGSTGNLQDVSSTDKNGYSQLRTSDADSEAAAVLGSGGGSSRMFSSDKILDAFMGVGEYEGMVHQDGKFGLGFRRYYDASSGKLRCFGHSGMGGSTGFCDVENNFAIAVMVNKLSLGSVTRGVIRLVLEELGLPVPDEYSATGEKGPDMVLNLTPPEQLR >Sspon.05G0011420-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:32639246:32642285:-1 gene:Sspon.05G0011420-1A transcript:Sspon.05G0011420-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSICQDKTSYSQPNCETRKWNVLGEVRVGIFAKQNIPFGMELSYDYNFEWYGGVMVRCLCGAASCSGFLGAKSRGFQEATYLWEDDDDRFSVENIPLYDSADDEPTSINKDILLSSHGMVTEYSNISTVQSTENPGNAGSNEFAPIIVDKVTASSNGLAPMNVDPLTASSNEFTAMTIEPLNAMPMVAHLAENGSTEYGVQDTHDASQNSVPKAANHVNQTGSQNNSNHSALVPVKPAPKRRGRKPKRVLHKQLDIPDICDRLTSSVACEEILYCEEVKNQAVSEIDALYDEIRPAVEEHERDSQDSVSTSLAEKWIEASCCKYKAEFDPYAAIIKNIASTSLRSKDDVAPREQNGLKYLENGS >Sspon.01G0002410-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:6466936:6470094:1 gene:Sspon.01G0002410-1T transcript:Sspon.01G0002410-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAGHGLRSRTRDLFARPFRKKGYIPLTTYLRTYKIGDYVDVKVNGAVHKGMPHKFYHGRTGRVWNVTKRAIGVEINKQVNGRIIRKRIHVRVEHVQPSRCTEEFRLRKAKNDQLKADAKARGEVISTKRQPVGPKPGFMVEGATLETVTPIPYDVVNDLKGAKTHQYAPM >Sspon.03G0028450-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:9340368:9341243:-1 gene:Sspon.03G0028450-1B transcript:Sspon.03G0028450-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVYIRLDDAVSGDAAGCTSSGSDHDASTCLSGLVQAFLETGAGAAEEGGPGPAPKEYESDEDDAPGRAAAAAASMRSLLDPPAEEDVFRIRLAAAVAAAMEAETALRAHGAAFRRAVVRRLRGAGYDAGVCRSRWEASGGITAGTYEYVDVVAPLSAATDARQRARYIVDAYFRAGLEVARATPEYSAVVAEVPASAVVAREESVGRAVRVASDAARRSLRAHGLHVPPWRKTRYMLAKWLGPYKRSTATSPPAAAAGAMPMPGGAGMDVKCRAVGFFAPPSTAPVARIK >Sspon.02G0027500-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:104601463:104603339:-1 gene:Sspon.02G0027500-2B transcript:Sspon.02G0027500-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALANKLGGLLKKATSSNPSVYQAIRCMSSKLFVGGLSYGTDDHSLRDEFAKYGQVVEAKIILDRESGRSRGFGFVTYTSSEEASAAITAMDGKTLDGRSIRVNHANERTGGFRGSGGGGYGGAGYGGAGYGSGGGGYGGGGGGGYGGGGGGYGGGYGGNRGGGGYGGGGADYGVAGGAAGNFAAGGSDSFASSNFGADSGFGGNPAGSFGTTEGSTGADEFSAGTLGGDLSGNKNDEIMEDLFKDDEPDSYADKRS >Sspon.06G0033920-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:28066189:28067028:-1 gene:Sspon.06G0033920-1D transcript:Sspon.06G0033920-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTRPAHHTRAAAQARYRHYGANHPRKPALRMTAGQPPFCFADSDHDLLAVLYGPHTGGRWHDNVYSIVRTIEGTPPILPFSWRAFIYSGGDVCHVEIGYMNNHDSLFGFDCPAWTCERHLSTSADEALAWAISAISTPYSGRTSSAVVTQRDSAAASSGRPFPPTSLAAAVVLSSLASLILAADLSPEEHIEVNHATCSVYHYDNTTGAIERTRPFAGLRVVCSRPLCIDANYDERTMWMAYAQRSHGRRDDPLRVYCTVHSLEGAASPSIFFPWRNT >Sspon.02G0003340-1P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:10970774:10974839:-1 gene:Sspon.02G0003340-1P transcript:Sspon.02G0003340-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRDIVTIKISRNNPVRSDVTAARFFHQSVEKNIVMPWGGRKEGTGKACREDPGPPHAISLQDISHQASTGSAPSRPRPGQPTWKSDATAEGSRIKSAACPFPRSGSIRVVRLDRSTAFTRSAPFPSLRSPNEGAAPRERGRVAEVGLSNPAPPLPSSSTDHSSVSSYCCGCDVCRAWARGGADAAVMHLQVTVLCLGGRGCGRPTDRSGRPEMMEEGPPDLRELVRLPQGCCAIVEGDKVKSCVKAEHVESPSCCGNQQDEKVRSMEDNASTTEPVEKATSHARAASPGQASTCYVGKHRHSPSRSAEGSDQNFDEETQGTGESRRGCNRKRLKSADGMRDHQVVLVVKAEAHQVGCLDVSDKNSDNCSASSKVHAGLLDIEGGSPSANCKDHGPKG >Sspon.07G0020500-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:67013738:67018153:1 gene:Sspon.07G0020500-1T transcript:Sspon.07G0020500-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGAAFPGTPPVPRSPEDVFRDYRARQAGLIRALTTDVEKFYVMCDPEKENLCLYGLPNETWEVNLPAEEVPPELPEPALGINFARDGMNEKDWLSLVAVHSDSWLMSVAFYFGARFGFDKESSLMESPSGGLPCRKRLFTMINNLPSIYEVVTGTAKKEPKEKTPKSNNKTNKSGSKPSRQAEPNSRVPKMPPPKDEEESEGEEGEPQEDHETALCGACGLGYDDFWICCDLCETWFHGKCVKITPAKAEHIKQYKCPSCTGSKRAKA >Sspon.08G0008200-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:31835389:31839297:1 gene:Sspon.08G0008200-3C transcript:Sspon.08G0008200-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDELRDQVQQSCDATSGPSGSAPTTTAAYSHISYGTSDRLSQLRHVAPQLHQNGSHHTPSLNVRSPSVPRVYAPLPAQQDCVGYAEPISHTRGSSYPASLYPWRNFDNYFFGQHDPDSLLSCHHDGFYHQAACSCLHCCHQEFLPIKGNHMGFNDQRAPYLLNSYGAYPVDSALVGQQRYSRRGINTTLQQNHMRVNVSKKPAQTCEPVACGAPFTICCNCYEVLQIPRKQSLSGNEYKLRCGSCSHAILVKLDGNRLNVSEIALSTHLSDGQENDMGTNGQTPDNRSIPAYRFSVGSPASQEKDLHSNLSESENTSQSRDLPPEDSAVSHVPNLPHRSHSGSSPSEHSGVGSRSTHSEPEKVILLTESSKQNSVKGTCVSNKMQSPNNEFDVPEYTDDTLNAQQDTDHPRATKASDSFLTNLIKRSFKINNGMRNGRARVYVNGFPISDRAVRKAEKLAGSICSGNYWYDYHAGFWGVMGRPCLGMIPPYIPEFNFPMPKNCAGGNTGVFVNGRELHQKDLDLLVTRGLSDSPGRSYVVENSGKVSDEVSGEELYGLGKLAPTVEKMGHGFGMRVPRFIQNKELVKDSE >Sspon.04G0027910-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4B:62454765:62456291:-1 gene:Sspon.04G0027910-1B transcript:Sspon.04G0027910-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAVVSAVVADLVGRAISLLAGKLQDRRGVEGKLRRLRHLVVKLESVVEAADARRISSRALLEWLSELVGAAHRGRYFLDAFGGKAVEVTDEDEDDGHGGGEPGRSLFSPSSFNAAKRVRVAARRTLFHGGGGGAGELDGALADMESVSSDLAEFIMLLQCCPPALHRPLATNIYADCQVFGRHLERRRVIDFLLHEGDSGGGGGELGVLPIIGRAGLGKTTLVQHVCDEPAVRRHFSLIMLLDFHCMSLTVPSEAVQLLRSLFSVASTAGTSLAAAGEQLRLLERKLRGERFLAVFDNVDPRKKPVIDAIMPALRRGRTGSRIIVTGSDKHVVELGTTEPIILRPLPPEEYWFFFKAHAFGGADDAEADPRLAAAGQAIAKRLRLRGSFFGAKAVGALLRSRPDHRLWRRVLAASHAESSWFGNGDYVAATAGSLLPPHVTVRGVAVSGSPVRGLVGLQAATLTAPADADGGGGRLELPVLLCKSVFPSYCLYYTAYCTIDAERKQ >Sspon.05G0007900-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:26093553:26095931:1 gene:Sspon.05G0007900-1P transcript:Sspon.05G0007900-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKNQAYKAMQRARVGSSSGAPGSADAPEDGMTDGSFHSPEWHAARLASLNKTHTVTWEEFKKKQKEEELKRGELEADKDKMMREYRAQLDAERAQKLANGRNHLRSNSKSSSSKKERKDKDAKKRSKKRRKHRSSSESSLSSSSDSSSSDDEDRGSRKSRSRSRSKRTKKEKKHRSRSKRRD >Sspon.08G0009010-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:28948599:28952360:1 gene:Sspon.08G0009010-3D transcript:Sspon.08G0009010-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein NRT1/ PTR FAMILY 7.1 [Source:Projected from Arabidopsis thaliana (AT5G19640) UniProtKB/Swiss-Prot;Acc:Q3E9B5] MAMYLGIVLTAMQSCSRGPLAASHNDTMDITTHMDKEVVATRTGSGEFSSSSPALSETENTTPSVYTEDGTVDFRGNPAVRATTGGRKTAAILLVSYALMNLAFYGVAVDLVVFMRRVLHQENATAASFISMWTGTVYIFSLFGAFLSDAYMGRYLTCVMFQIIFLIGLVLLSVSSLFWLVEPSRCGDNQRQRPCQPPSQLGVVMFYISTFTIAFGIGGYQPSVATFGADQFDDSNTMERRSKLAFFSYFYLALNIGSLFSDSFLAFYEDKGMWVMGFWVSTVAVALGLLLFLLGTPYYRHCKPSGNPLTRMAQVFVAAFCKRHLQPPPGEDLHEVEGEDSETQAGIRKLLHSDQLRCLDKAAIVTEEDYHAGKAKNPWRLCTVTQVEEVKCILSLIPIWMCTIIFSVEFTQMASTFVEQGTAMDTNLFGNFHMPAASMSMFDIASVILSVFAYNFFFVPMASRFTKNPAGITELQRMGTGLVIALIGMLAAAIVEVYRLRRVEAKDQPSPMSVLWQAPQYMLIGASEVFMYIGQLDFFNEQTPDSMKCFGSSLCMASISLGNYASMLMVSAVTSITNRRNKNGWITKNLNYGHLERFFLLLVVLSFIDFIFFAIFSMMYKGIQFQGREKDISPNYN >Sspon.01G0000090-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:1503309:1510463:-1 gene:Sspon.01G0000090-2D transcript:Sspon.01G0000090-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDANANANALGMDAVTNETVDLEHIPVEEVFEHLKCTRDGLTSAAAQERIDAFGYNKLEEKQESKVLKFLGFMWNPLSWVMEAAAIMAIALAHGGTDFRGKPMRIDYHDFVGIMLLLIINSTISFIEENNAGNAAAALMARLAPKSKVLRDGTWSEMDASLLVPGDIISIKLGDIIPADARLLEGDPLKIDQSALTGESLPVTKQPGDGIYSGSTCKQGEIEAVVIATGIHTFFGKAAHLVESTTHVGHFQKVLTSIGNFCICSIAAGMTIELIVMYAIHEREYRQIVDNLLVLLIGGIPIAMPTVLSVTMAIGSHKLAQQGAITKRMTAIEEMAGMDVLCSDKTGTLTLNKLNVDKNLIEVFARGMEKDDVVLMAARASRLENQDAIDFAIVSMLPDPKEARAGIQEVHFLPFNPTDKRTALTYLDAGGKMHRVSKGAPEQILNLASNKSEIERKVHHAIGNYAERGLRSLAVAYQVEVPEGTKESPGGPWQFIGLLPLFDPPRHDSAETIRRALDLGVSVKMITGDQLAIAKETGRRLGMGTNMYPSSSLLGDKKEGDIAVLPVDELIEQADGFAGVFPEHKYEIVQRLQARKHICGMTGDGVNDAPALKIADIGIAVADATDAARGASDIVLTEPGLSVIISAVLTSRAIFQRMKNYTIYAVSITIRIVLGFLLLACFWKFDFPPMLVLVIAILNDGTIMTISKDKVRPSPHPDSWKLVEIFATGVIIGAYLAVTTVLFFWAIYSTDFFVRVFHVTSLKRVEKTGDNQNQFADNMEMLASAVYLQVSTISQALIFVTRSRGWSFMERPGLLLMCAFVIAQLIASVLAARVSWELAGIKGIGWGWTGVIWLYNIVVYLLLDPIKFAVRYGLSGKAWNLVIDHKVAFTNCKDFGKEPREAAWAHQQRTLHGLVSAGAHGAGREKAASVELGQMAEDAWRRAEITRLRELHTLKGKVESVVKLKGLDLEDINNHHYT >Sspon.02G0028990-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:105192890:105194148:-1 gene:Sspon.02G0028990-1A transcript:Sspon.02G0028990-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPGGTPTPDLPPLGIWSGLPLIPCPECGCEAVEEYIPGLGGSRIGNPSRCRRNETGVCGGCSFFKTKEEYEAILRDRGLLGPAATPEQGQGTGYLIPAPTGFLIPEPVGNPEMVAAMEDLEHEMVAQKLAIAQLKTDIEDFKGKLGAGDKVQKVAKTDSLARLAKDIVKWFFVANVVVLLFGIVVAMSVK >Sspon.08G0003480-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:9407339:9407752:-1 gene:Sspon.08G0003480-1P transcript:Sspon.08G0003480-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHQQQRQQQAGAGASAASSAAAVDFEDYLPVMAERLGEDGLMRELASGFRLLMDPARGLITFDSLRRNAPLLGLGGMSDADLRGMLAEGDFDGDGALSEMEFCVLMVRLSPELMDEPRRWLDDAVDQASRFLFTS >Sspon.01G0024340-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:86128631:86134158:-1 gene:Sspon.01G0024340-3C transcript:Sspon.01G0024340-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDHDHDQDPDASSAAAAGERCPCCCCSSSSPAVPWRRSVKRKLGAEKGGEAAGDDEAGPAARVEAEDECAALREAVAAAQSAASALRAEVEEERLAAASAASEAMAMMLRLQREKAEVQMELRQFRRFADEKMALDAADIDQLRALLAQRARRLVRLRARLREYRLQFLHLGIPLPEGEGEDLVAQNAAEEEEDLLLLEGEDGYIEGDGGYYPELRCNDGEYYYEDGQEEEDAAALDLERRICRLEHDQETRLLEPPLQEEEEEGTHLYTDEALPNSSGHERGGLYADEVLSEEDVEARSNLHNDDEELPESPTAGNADGEEASEADGVDSVSGSGSDRVYTIDKVHQGATVPIARVVDKYQGEAVEPDIKKLYMRLEALEADRESMRQALVAMHTEKAQLVLLREIAQQLAKDGAPVGSGAGAGTGVHHSPRKRAVGIVERRFTEDKKAALVKTYSMVALFKWVLTLFGKKKKLSQSRYTFGLSSNNVGLLLLLDKCPRIQKTLTRTNKGDQRKHVTNGRYDGNKETNKNDVWQQVGLLTYRSAYRALRLWRCRLDTLEDVDRSSTTCTGFAEQMPTAKC >Sspon.01G0034660-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:4899920:4904683:-1 gene:Sspon.01G0034660-1B transcript:Sspon.01G0034660-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDANGRGENDDARAPLLAGPGRRRNSVASMRGEFVSRLPKKVLDAVDPEHPSHVDFSRSKGLLEGEREYYEKQFATLRSFEEVDSLEESNEINEDEELAEQIQSEFSMKISNYANIILLALKVYATIKSGSIAIAASTLDSLLDLMAGGILWFTHLSMKSINVYKYPIGKLRVQPVGIIIFAAVMATLGFQVFIQAVEKLIVNEAPDKLNQVQLLWLYSIMIFATVVKLALWFYCRTSGNKIVRAYAKDHYFDVVTNVVGLAAAVLGDRFYWWIDPIGAIALAVYTISNWSGTVWENAVSLVGESAPPEMLQKLTYLAIRHHPQIKRVDTVRAYTFGVDIELPEDLPLKEAHAIGESLQIKIEELPEVERAFVHLDFECDHKPEHSILSKLPSSQP >Sspon.03G0028480-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:9605144:9612921:-1 gene:Sspon.03G0028480-1B transcript:Sspon.03G0028480-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPYDLRFADPSSYHDRRSDLAVAPTFAPPAPVAAANPSPYAVAYPPVPVPAAPAGGGDYQRYGQGGRGRGGGGRGGGGGYGGGGRGGGRGRDGLDSLALPKPDFRSLIPFEKNFYVECPSVQAMSEADVAQYRRLRDITVEGRDVPKPVRYFQEANFPDYCMQAIAKSGFVEPTPIQSQGWPMALKGRDLIGIAQTGSGKTLSYLLPGLVHVGAQPRLEQGDGPIVLILAPTRELAVQIQEESIKFGSYSRTRSTCVYGGAPKGPQIRDLRRGVEIVIATPGRLIDMLEAGHTNLRRVTYLVLDEADRMLDMGFEPQIRKIVAQIRPDRQTLYWSATWPREVEALARQFLQNPYKVIIGSPELKANHSIQQIVEVISDHEKYPRLSKLLSDLMDGSRILIFFQTKKDCDKITRQLRMDGWPALSIHGDKAQAERDYVLAEFKSGKSPIMAATDVAARGLDVKDIKCVINYDFPTTLEDYIHRIGRTGRAGASGTAFTFFTHANAKFSRNLVKILQEAGQVVNPALESMSKSSNSGGGGNFRSRGRGGFGNRGHMSGSNSFPLGGRRPY >Sspon.03G0037350-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:98050004:98058113:1 gene:Sspon.03G0037350-1B transcript:Sspon.03G0037350-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SMR domain-containing protein At5g58720 [Source:Projected from Arabidopsis thaliana (AT5G58720) UniProtKB/Swiss-Prot;Acc:O65573] MVADVIGKEYTRPVTAPVSVPNAWKGRDGERDGGSGGRKYSVEEAEQFLCSMLGDNTELGMGVVRDVLGQYGYDVEKALDALLDISGVSSVENMETNHQNAGRNDTRHLYTFPGNGLSVDNLTAGNRRSLQQLTDEISNTRFQSELGHEFLWGEPQISYAEAVKEAPRSPTLSSRSTVAKAGPQQVLDSLFKIPETRTYEPSSMDWKKVVKKLQTFNYTATSNNQERPKNGDGYREFRGVAARHYDKMKEYYQKAALAYSKGDKSYASYLAEEGKHYRELGRLEDEKASRNIFEARNKHIMNTITIDLHGQHVQQAMNLLKLNMMICICMPSVLLRVITGCGSEGTGKGKIKRSVIELAEKEHIEWREENSGTVALRLGGPREYRFLEHENDSD >Sspon.02G0000760-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:4462283:4463397:1 gene:Sspon.02G0000760-4D transcript:Sspon.02G0000760-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARDHRELSSDEDSAAAAAGDLRRGPWTVEEDMLLVNYVAAHGEGRWNALARCAGLRRTGKSCRLRWLNYLRPDLRRGNITAQEQLLILELHSRWGNRWSKIAQHLPGRTDNEIKNYWRTRVQKHARQLNCDVNSQQFKDLMRYIWMPRLLERIGSGDGAVVIDAVAHDDATMTPTPTPPPLLTAAAWRVDDVELSCTTAVSSSSVSTDSMHHHHQQLVAVSTPPPSAVASAAFGESNNDASYYGVDNSNAAMSMWDSLCQPPHSTTAQLAGAGSCSGWSDESLLVVPSGLPGGGGVYADMGFPDLCDTDTMWTAGVSGADDLWYTQIMGL >Sspon.04G0026330-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4B:42036234:42037079:1 gene:Sspon.04G0026330-1B transcript:Sspon.04G0026330-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLGGHLLPAVRAASPLPAASSLPLHRLFLYSTTPASQFVVEDYLTTSCGLTLEKARRASRYLPSLKSPDNPDAVRAFLAGIGVSKAEVAAAISRDPRVLCSKVDITLTPCIAQLRDVGLSPPQISRLISIAPTILVFPRLIPRLAFYLSLLGSYDNLHTTLSRSMYLLTQNLERVVKPNMVFLRQSGLTDSDIAKLLLRAPRILALEIGRFKEIVACADMLGVPRSSAMFKYAIVAVYNISPGKINARSDFLKKALGCSEAELGIAIRRLPEVLNFSDGR >Sspon.04G0031570-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4C:14472879:14477403:-1 gene:Sspon.04G0031570-1C transcript:Sspon.04G0031570-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRPSPPPPPSSSPVFPSLPSDSWAYIPAPASSQHPAAAAAPAVGAAAAHPVAGAPGATAAGEAAPAGAPAGRLAPPGASSYSMSRPAVARRSAPCAAAVCAPPDPGSGWLPGPRVDAVASLRRLPVPAAPSTPPLPVAPRAAAVWAPPELGSGWLPGPRVKAVASLRRLPVPAAPSTPPLPGAVGPLGAGGTGVAGALAVPPVVSPASGPSPPASEPPKRGSASSGGWLLGPRVEAVASLRRLPVPAGASSLPPGVRPPPPAADFAVAAKDLLPVAPVRPGLGQGTLQQGLSPGRPPPTAGAGAAIGPTAAAAIAQPADAVHLPGAGLPRAATVAAMPFRAAAGAGGPGNLAYSFSTTASPPPAVPPAWIADSGATFHTTPDASLLSSLRPPHPSCPSSIMVANGTCIPVSSVGTASSHGSFHLPDVLVAPGMVHNLLSIRRFTTDNSCSVEFDPSGLTVRDLASRVPLLRCNSTGPLYTLRFPASVSPSSPSSASVSSSSPSSAAFATTTSSTWHRRLGHPGRDVLMQLSRSSDIRCSRPHDDHLCQACQLGRHVRLPFQSSSSHATRVFDLIHCDLWTSPVLSMSGYKYYLVVVDDFSHYSWTFPLRAKSEAFPTLSHLFAWASTQFGLTIKAVQCDNGREFDNSTSRAFFLSSGVHLRMSCPYTSSQNGKAERMIRTTNDIMRTLLLQASLPLASGLSVCTPPPISLTAFLLLHAQLPRLTTLSSLPLLGTTTFGSLGARVTLTPPPPLPISWLPGRPSVSFSDTLRITRVIDALTLPLAVSSSLAMSCTTPAHSPGGPASPLPASHQDLPMPDTVEAAPELPPSLPVVSLPPVVPHAAVPIAGPSPPTPPPPGRFGLVYQRRREPRPPSPPPGRFGIVYERRRELAPPLSSPAPSSPVHAPPASPRSRVDPPVYHPPLLHRDPRHTHPMVTRQASRPQALTAAACEPGISPVPSSVRAALADPHWRRAMDEEYVALLANQTWDLVPRPPGSNIVTGKWIWTHKRRADGSLERYKARWVLRGFTQRPGIDYAETFSPVVKPATVRTVLSLALERSWPVHQLDVKNAFLHGTLTETVYCSQPAGFVDSFVRLRLPTQQISLRAQAGTPGLVLSIRYLPADSWFTEAKSDTSLFIYHYGGETAYLLLYVDDIVLTASSESLLHRIIASLQKEFAMKDLGVLHHFLGVTVEPRPSGLFLHQRSSLGKLSEAGGPMLEPADSTAYRSLAGALQYLTFTRPDITYAVQQLCLYMHAPREPHMAAMKRLLRYLRGTLGYGLLLGRSSTAELVVYTDADWAGCPDTRRSTSGYAVFLGGNLVSWSSKRQPVVSRSSAEAEYRAVANGVAEAAWLRQLLAELHRPLARSTLVYCDNVSAVYLSTNPVQHQRTKHVEIDLHFVRDRVAIGDVRVLHVPTTSQFADIFTKGLPSSTFSEFRSSLNVSSG >Sspon.04G0024140-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:22163945:22167305:-1 gene:Sspon.04G0024140-2D transcript:Sspon.04G0024140-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AAEGPKEEVEAAPAAETVATAKAVAEEEVVAPAPGPPAGDSKALVVVDSVADKPCVVNNTPRNSNDRGIALAKVEIEKRNSLIKAWEENEKAKADNKYAKKQSIILSWENTKKAVIEAQLKKKEVQLEKKKAEYAEKMKNKKAIIHRQAEEKRAMVMAQRGEEVLKAEEMAAKYRAKGVAPKKLLGCFGA >Sspon.03G0032300-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:34448114:34452050:1 gene:Sspon.03G0032300-3D transcript:Sspon.03G0032300-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinesin-like protein [Source:Projected from Arabidopsis thaliana (AT3G16060) UniProtKB/TrEMBL;Acc:A0A178V575] MNGGGGGRRRYSSEQLLFDVPTNAGAAGRWAQQVRLSASSRSARGPGFPVSPGTSSSAGRFVCVQRGGVRRGDGEIFVSVEPATPARLRGGDAAAGDSPGHRQQLSPGLLDLHAFDTELIPDFQVPGMYDGAQKFGYGGGLDESDMRFTANKLMSNSTVFPDGNYLKAFAEKEKAAPVAKIKVVVRKRPLNKKEVSKKEEDIIDIEQNSLTVHETKLKVDLTEYVEKHEFVFDAVLDEDVSNDEVYRETVEPVVPAIFNRTKATCFAYGQTGSGKTYTMRPLPLKASQDILRLMHHTYRNQGFQLYVSFFEIYGGKLFDLLNDRSKLCMREDGKQKVCIVGLQEYRVSDVETIKELIEKGSATRSTGTTGANEESSRSHAILQLAIKRRVDGNDSKPPRPVGKLSFIDLAGSERGADTTDNDKQTRIEGAEINKSLLALKECIRALDNDQTHIPFRGSKLTEVLRDSFIGDSRTVMISCISPSSGSCEHTLNTLRYADRVKSLSKGGNAKKDVSLAMPLRESSPSPLPSVVPSFSASEVMNDITERSNFGWPKQQYVKEQPALTFVERMPKVKDAVEFTSSNGAYSREQRSKGFMAPNIVEIPDIMYQQGRQPARKAKDTTLGNNIRNSIAYPTRRVEPDEDEHLNNLLQEEEDLVNAHRKQVEETLDILKEEMNILGEADQPGFQLDDYLARLNTILSQKAAGIVDLQARLEQFQRLLNENNVLLYDQSP >Sspon.08G0016340-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:64793572:64795668:1 gene:Sspon.08G0016340-1A transcript:Sspon.08G0016340-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Arginine decarboxylase, Chilling stress respons [Source: Projected from Oryza sativa (Os06g0131300)] MPALAVDAATPVAHAFASCDAAARFPAPPVVGPAAAETAPWSADLSAALYNVDGWGAPYFFVNEDGDVAVRPHGAATLPGQEIDLAKVVAKAAGPRAGGGLGLPLPLLVRFPDVLRHRVETLNAAFGYAVRSTGYGSRYQGVYPVKCNQDRYVVEDIVEFGAPFGFGLEAGSKPELLLAMSCLAARGNPDALLICNGYKDDGYVSLALMARSMGLNTVIVLEQEEELDIVVDASRRLGVRPVVGMRAKLRTKHAGHFGSTSGEKGKFGLNAAQILSVVTKLKAIAMLDCLQLLHFHIGSQIPTTALLSDGVGEAAQIYCELARLGADMRVIDVGGGLGIDYDGTHSAQTDMSVAYSLEEYAAAVVAAVGRVCDRKGVQHPIICSESGRALVSHHSVLVFEAFSATAPGRLDAATAYLLDELTDDCRADYRNVMAAAVRGDYDTCALYADQLKRRSAEQFKEGVLGLEHLAAVDAFCELVARGMGAPEPPRTYHINLSVFTSLPDMWAIGQQFPIIPIQRLQERPAVDGVLSDLTCDSDGKVSEFIGGRHSLPLHDLPTHATRGYYLGMFLGGAYQEALGGLHNLFGGPSVVRVSQCDGPHCFAVTRAAAGPSCADVLRAMQHEPEVMYEVLKQRTDGATAAALARAFGAMPYLVFDPEAAVLSSGESSGMSSDSEGSAAGAAEEEDDEEWEFMRGLTV >Sspon.05G0015970-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:64251831:64257349:1 gene:Sspon.05G0015970-1A transcript:Sspon.05G0015970-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MELAMAALGSLLPKLATLLTDEYKLQKGVRGEIKFLQAEMESMQAALNRVSKLPPQQIDDLRRIWVRDLKELSYDIEDSVDSFMLRVDAPGCAKPKSFRRFFDRTIGLLTKAKARHHIADDIQDIKRRIHDVSERKDRYNSLDVVQPHTIDIDPRLPALYEDAAKLVGIEGPTQKVIDLLNRGEGVQKEKLMVVSIVGVGGLGKTTIANSVYERLGGQFQCQAFVSVSLRPNLKQILSSILRQISEDKCTNAGEKDLDELIKSIRKFLVDKRSVIAFGDAVEWMPPMSRFPVLRVLALKTESSNNIHLKDLGSLQHLRYLELGDEFETELLEGIGNLKLLKTLDLRGRFKGELPASISQLQQLENLLTGTNEVKFPDGTGNIISLHELSWLCVNESPNTLAELGNLTKLRVLKIDGLHENQSYVKTFLQALSNLVNLRRLVFFGGGTCSLDYMPDQWTGPAHLQSFDGDGLTLSQVPWWFSFLSELSSLSMRVNLLRQEDLDLLGALPVLQFLQLQVDPDGTTTEEQLVVGAEHRFRSLAEFEFRHYTRCWLEFARGAMPKLQRLHLYFEVRKREGGGFDIGLENLASLKHVTVRVDCVGVRTKEVEDVEGKVRDAVDMHPNHPTLDLSRFWEEQMIKDENKDGHEVSEERNETLCIRACVCIGANEKP >Sspon.02G0050660-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:64281234:64283349:1 gene:Sspon.02G0050660-1C transcript:Sspon.02G0050660-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSSNYTLIALLVAFAVVAPSLLHQSAAARDGGAAKAAAAPAPSANGEVVLHPTASYEIPDLPLPRIIPCPPLFPKIPFIPCYNVTPPAPPPPPPPRPKECRTTLVKSLVPPCSGFLTNNGGACESSEAPSSKCCDGVHEFFSGDRSVYDPLCLCHIMNGDVSQLLPAPVNHMRALALVQACLDGVNPQPFSDICNNDQSIKVAFINNNRTPIFLQLMMYYEI >Sspon.04G0022080-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:3760538:3772422:1 gene:Sspon.04G0022080-1B transcript:Sspon.04G0022080-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SPPVALRTTKSKTIRGPGSLRHAHVPLHFCSHPTRAPGARRRWPIDRRDETTKQAARAHAGFYDVRMPGDSGPGAADLWAMAAELERQFAGYKQRLAERTTTTGATTTASDHDDEGGGDGAEEGGDDEAAGGDGRGGGDVRGRMYEAYVRRRDERLRDGWRARMERKEAEVKALWAQLELAAGRAGGGGCAERAASAGGDPTTTATDGDDERKTERNDDDDRRRSSSDAALAPRRIAGKKHARTRSFSSSITTSRNRTDVGRRRALSQEPLPSEPDASSGEATKESPGGAAATTTTATTRPRTSLRRRNSSAKRHGSAKQAAAGPKVPRSLPRQASSGGPEDLGRGVAPAVVQPSADAVAPPVPSHSTEYAGPGGTLRASPPRMSFAGRDDDSGVGTGAANARATSPEPDRGAVDEAVPHGEPEAKNAGVEQHGEEKVDADGEITSDSEPEPSYVYVSKDAAEEQQAMAALSEPSKLAGSDAALDADVKASEEMPAAPAPAEATAAAAEIATTNTEEAPARESSDESSLSVRSSGPSARPSCSSRDQSIERLLEADAVLVQKKREERTEKSAAPAPRTPPGSAGSNRFSGTARSPRETTVRGFKRFLSFGKKHRGKEATVIDCTSPSVPSPADDDSGRWQPAGGSIKPRRGSSDAASDDTDHGYPLSPQAACSLQSLVAASPAKSELAEIVPQEKSPKVHRSFFSFRSLNC >Sspon.04G0007330-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:21762666:21763250:1 gene:Sspon.04G0007330-3D transcript:Sspon.04G0007330-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GAPDQPHLPAVHERHGSGGDGDDNDRLLAVSPAASSSFRGSVGPLRQLPRLLLQRGHHPHHPGVRLPARLLPPRRRALPPPLPRAPPRRAGAGGGGAGDGGACARAGAQAPLRRCRQRRRRPFGARRRRHRRRRVGGAGVRHLPVRAGGRRARPRAPGVRPRVPRRLRGRVARGAGVLPHLPCAVPAVAGGRAVG >Sspon.01G0028040-3D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:93652938:93656549:-1 gene:Sspon.01G0028040-3D transcript:Sspon.01G0028040-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Deoxyhypusine synthase [Source:Projected from Arabidopsis thaliana (AT5G05920) UniProtKB/Swiss-Prot;Acc:Q9FI94] MAGAGGSGGGGVVRDVEALEGVRSIVLKPSESLDESRFARIAGADFNDPGLGLEGLLASLASTGFQASNLGDAIDVVNQMLDWRLSHEKASEDCDEAELDPKYRESVKCKIFLGFTSNLVSSGIRDIIRFLAQHHMVDVIVTSAGGIEEDLIKCLAPTYRGDFSLPGALLRSKGLNRIGNLLVPNDNYCKFENWIMPLFDQMLLEQSTENVWTPSKVIARLGKEIDDESSYLYWAYKNNIPVYCPALTDGSLGDMLFCHAVRNPGLIIDIVQDYAVYINTAQEFDGSDSGAHPDEAVSWGKIKGSAKPVKVHCDASIAFPLVVAATFARKVHSSK >Sspon.03G0042750-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:72424817:72425038:1 gene:Sspon.03G0042750-1C transcript:Sspon.03G0042750-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHKGVQLPAGVPAILQGLHYAEMEKNSASFHIKDRQSAEAPDPFMIPEEPPHPAAGGAWSPTVFVHDDDGVE >Sspon.05G0008810-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:17743224:17746602:1 gene:Sspon.05G0008810-2C transcript:Sspon.05G0008810-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRSAPLLRRLVSASSPQPLPGHGSGLARRTVTYMPRPGDGAPRAVTLIPGDGIGPLVTGAVRQVMEAMHAPVYFETYDVHGDMPTVPPAVIDSIRRNKVCIKGGLATPVGGGVSSLNMQLRKELDLYASLVHCSNLPGLPTRHQGVDIVVIRENTEGEYSGLEHEVVPGVVESLKVTPNLYGNLVANTAAGIVGGTGIMPGGNVGQDYAVFEQGASAGNVGNENIVEQKKANPVALLLSSAMMLRHLQFPSFADRLETAVKRVVAEGTYRTKDLGGSSTTQEVTDAVIASLD >Sspon.03G0005710-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:31638930:31644400:-1 gene:Sspon.03G0005710-1P transcript:Sspon.03G0005710-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLKWPSGITDISGENALLLEFEAAVDAATAKFYIRHQAPPDVCSRDMNPPGHQLLVQNIDHSVPDIDLINAFSQFGIQEFQDIMQDMQHQGIDLFMVRHLQIQAELHKEFFLALLFPHTMVLWPSELDVTKRSAFQHVKTTFNNTPPNKREVCRLVPCSNGDQRGFRDFISYLVQKDCAGVIRIPAVKAMWTRILFILPPTSDTCGMGFSSVL >Sspon.07G0010900-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:34137859:34140153:1 gene:Sspon.07G0010900-3D transcript:Sspon.07G0010900-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARNVIALLGLLALASVVRTASGAGGHDYASALKKTILYFEAQRSGVLPPNQKVTWRGNSGLFDGKANGVDLVGGYYDAGDNVKFGLPMAFTVTMMSWGILEYGRQMAAAGELHNAMDAVKWGTDYFIKAHPEPEVLYGEVGDGDTDHSCWQRPEDMSTSRQAFRIDPQNPGSDLAGETAAAMAAASLVFRNTYPGYANLLLEHAKQLFQFADKYRGKYDASITVARNYYGSFSGYGDELLWAAAWLYEATEEGCYLEYLARNGDALGGTGWSINQFGWDVKYPGVQVLAAKFLLQGRAGAHAAALQRYRQNAEFFVCSCVGKGAVNVPRTPGGVMYHQRWNNLQFVTSASFLLTVYADYATALPGSGGAVRCPAGAARPFEILAFVRSQVNYILGDNPRGTSYMVGYGGSFPRQVHHRGASIVSVRTDPSFVSCQEGYSAWYPRQAGNPNVLEGAIVGGPDEYDDFADERNNYEQTEAATYNSAPLLGVLARLAGACGTGFEEYQLSPPEAAANHASSPSRRRPRRHAPLPSSPIEIEQNVTRTWARRRTTYNRYSVTVTNRSRKTVRELHLGVSELRGRLWGLDKARYGYVPPKWLPALRAGKSLRFVYVQHGTPANVWVTGYKLL >Sspon.04G0026910-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4B:53228699:53228944:-1 gene:Sspon.04G0026910-1B transcript:Sspon.04G0026910-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTPAERRRAPRGKGRRLEEIPRRRRGTFASSPSSAPRLSASRRSAGGREREGEKARGGDAMRDAMPDTRDEEKWSREMLR >Sspon.05G0015560-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:45062984:45068893:1 gene:Sspon.05G0015560-2C transcript:Sspon.05G0015560-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein PAM71-homolog, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G13590) UniProtKB/Swiss-Prot;Acc:Q9T0H9] MVVLVATAARWPGGGTAARARPPAARARGPRRVAAEPEQKAAATVRLLSWMKPIRHDTRAQMSNVNVGAGSYGEDEANSHGERLDTSSTGDPNKLAKQLSGSRYLQSFGAMLLLCALATRQPSAVVAMLAKSGFTAAFTLIFVSEIGDKTFFIAALLAMQYQRALVLLGSVAALSLMTIVSVIIGRIFQSVPAQFQTREYAAVALLAFFGFKSIRDALALPDNATGNFRGIRKVSEKLTSPLEVLWKSFSLVFFAEWGDRSMLATIALGAAQSPLGVASGAIAGHLIATALAILGGAFLANYLSEKLVGLLGGVLFLLFAAATLFGVF >Sspon.03G0012360-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:50584816:50585769:1 gene:Sspon.03G0012360-1P transcript:Sspon.03G0012360-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIQAPAAADNVDPVYEWLDDGASYLLLLNLPGEVIRDYTCFSWATAELTLKMHGASCSFYLLSSPSWLNQKMEGFKKEDFRVHVDSEARLTVIGHRKPTPGGDGKALRFHKTFQLPNTANPDTITGRFDSNVLTLTVPKLPAGAAAPGPPPPLPPPQAKEEARVAGDKKPAADQEDKAAKVGQERTEVERTSLSARSKEEDEMKAKPMAPPPPQSSEKARGDHEQQDHQDDKARADHREKVAREAARRVEAARARVAEAKAKAERERQCEHWKERAMEEGMKLAEAVSNKKEVIATAVAAFTLGVFVSSRLFSRN >Sspon.01G0045440-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:89788249:89788603:-1 gene:Sspon.01G0045440-1B transcript:Sspon.01G0045440-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARHVEEPDPATAALREASLGDAPLPLLPLSLRPLGGLGSGNAASATVTMRRAHLLLQHHRHVGLVPSSSAAADPAPA >Sspon.02G0042610-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:89215505:89217649:-1 gene:Sspon.02G0042610-2C transcript:Sspon.02G0042610-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTSCFLHQSTARLGASPRLAAPPRSAQLFVCKAQKQQDAAADQSDVSRRAALALFAGVAAVGAKVSPAAAAYGEAANVFGKVKTNTDYIAYSGDGFKLLIPSKWNPSKEREFPGQVLRYEDNFDANSNVSVIIQPTSKKTITEYGSPEEFLSQVDFLLGKQAFGGSTDSEGGFETGAVATANVLESSTPVVDGKQYYSVSVLTRTADGDEGGKHQLITATVSDGKLYICKAQAGDKREVWGFGSSSVNARLVVSLEDMEGKGGSDLLPGWA >Sspon.01G0038080-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:27944890:27947228:1 gene:Sspon.01G0038080-1B transcript:Sspon.01G0038080-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAPATLALRPSAAPAPPRAALPRARAWFAPAIRTSPSVAASYPPRRFSGVRRAVAVDADQQGSPEPPEQEKKPKTYYFLVANAKFMLDEEEHFQEQLAEKLRNYGERDKEQDFWLVVEPKFLDRFPNITKRLKRPAVALVSTDGNWITFMKLRLDRVLQDQFDAESVEEALASNPVELKFEKPEKWTAPYPKYEFGWWEPFLPPKSSNGTA >Sspon.05G0009870-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:19610550:19614615:-1 gene:Sspon.05G0009870-3C transcript:Sspon.05G0009870-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPMAVHPTTTPALSPRARVSPPWPSTSLAADSSSPCSRTVGFKSRRLPLRSLRGVVAAAAADAVGAEEEEVQLGGGVDAVDEEEAENKVVVPERQDPTLVLKFIWMEKNIGIALDQLVPGYGSIPLSPYYFWPRKDAWEELRAKLEEKEWISQKQMIILLNQATDIINLWQQGGGSLTFLGELACLIGEYL >Sspon.02G0004130-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:12645436:12650484:-1 gene:Sspon.02G0004130-1A transcript:Sspon.02G0004130-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding FMERVEAELHEPAARTGSLIVSACGFDSVPAELGFLFHSRQWEPPSALVSVEAYVYLQSTKRIVGNIGTYESAVLGVANAGQLQALRRSRPRRPRPQIPGPPPPKGSLIEGQNPLGMWAMKLPSADTVVLKRTLSTLTEHPEGLPGAEETPEYAEHRKKFWSSVKPAHFGVKIASRSLMMLVRFIFTGIFIGLLANFSFGRSILLKYPEFFSAGMFRRAGPTEEEVKSASFKMWFVGYGYSNVDSSLELGRSKPDKEVITMVSGPEVGYITTPIVLVQCALVLLSQRANLPKGGVYTPGAVFGPTDLQQRLQENGLLFKVNATRAMR >Sspon.05G0002240-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5B:2197376:2198812:1 gene:Sspon.05G0002240-2B transcript:Sspon.05G0002240-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MYPQYRCSPPVTASTKAVLTLNSFEKGKDGGGPSECDNAYHSDEEKVVALSTGGSTTWRAVGTASRLAPTATLCMRRWWTSATLCTAVMTSTTLSRRATTTSSTPRQRCGMLWDSIRTSGWWTLPGPRSERQSQARLLQINQHATNASQDASYAEPIRPYRNWSASCHALRLPNGVLPSPRRRPRHVPRQRSGNCEKSNDPDCCEDGKMYPQYRCSPPVTASTKAVLTLNSFEKGEDGGGPSECDNAYHSDEKVVALSTGWFSNMARCGHRIKISANDNSVYAKVVDECDSVHGCDDEHNFEPPCDNNIVDASPAVWDALGLDQNLGMVDITWSQE >Sspon.02G0022770-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:69972414:69981427:1 gene:Sspon.02G0022770-2D transcript:Sspon.02G0022770-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSDGRPHVLGHVPSTREYKALRSNGYCTGRDGGLFTCNVITLGGGAATTGGGGKLRWRARPCPPVDRHSCLRDRVVVGGYSYDYDHDRKYSDGIEPDAIFAFDLVIEEWKPNTLRGPLSSNNSDNELKFILLDATPLLPGRPSLARLLPSSTAPSAPLRCALVRATCKRKERSCSGQWCIPSGLTELEQQWASRRGAEAAVDFPSLAGGDQWGVATFNLVNLVWLSTPDQGVVKGSELASFGPAGNRGPRLTRALRALRPKAIRVAHGSTALARPSHNLGLGRESGPAPSPAWAGTGPTTGRRTTPRGHLRVIAALVPRMPACLILPPPSFLATESITSHCANRSSSPVLQLSSLAEPAAELVLRRGPANAELLHANMCLFAATGVTYGFGRARHDRTELKLDFALLTCLPSCPQDFTAGFCLDGVQRQSFGELAFPSCLRRSWPACRYPWFAVQRPISPPSACTAHRRPPSPSRGGKGGCLDAGRGGEHLHAVGFAGGVAGGEPDLAGAPPLPPLWMTGGPPCRRGLTSLAADWAALRAERSSSAGPFHKTYPVGLEPVRGQEAQSDGTQGDDGTVVER >Sspon.04G0011240-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:30185470:30191853:-1 gene:Sspon.04G0011240-2B transcript:Sspon.04G0011240-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEACCCSSSSAPSASTILASAPDAAALRRRTSAAAAGGRTAAAARPLRASAVMMLATAVPSRRRGPGVVRAVFERFTERAVKAVVFSQREARGMGDETVAPHHLLLGLVAEDRTLVGFLGSGLRVDRAREACRDALGKAGPAQAATGMATDVPFSAASKRVFEAAVEFSRNMGCNFISPEHIALGLFDLDDPTTNRILKSLGADPSQLAKQALNRVQGELAKDGREPVGLSSFKVREKSAAGAGKSAIVKYSNKKKEKSALAQFCVDLTMRASGGLIDPVIGRKEEIERVVQIICRRTKNNPILLGEAGVGKTAIAEGLALKIADGDVPIFLVGKRILSLDVALLMAGAKERGELEARITTLLREVRKAGDVMLFIDEVHTLIGSGIAGRGSKGAGLDIANLLKPALARGELQCIASTTLDEHRLHFEKDKALARRFQPVFVNEPSQEDAIKILLGLREKYETYHKCKYTLDGINAAVYLSARYIPDRHLPDKAIDLIDEAGSRARMESFKRKKEEQCSILSKSPDEYWQEIRAVQSMHEVALTNRLKYSLDENDKEDGVSIEVIGDNKIASPSMPPTSVDEPILVDSEEIARVTSLWSGIPVQKLTADETKLLVGLDDELRKRVIGQDDAVVAISRAVKRSRVGLNDPDRPIATLLFCGPTGVGKTELAKALAASYFGSESAMVRLDMSEYMERHTVSKLIGSPPGYMGFSEGGTLTEAVRRKPFTVVLLDEIEKAHPDIFNILLQVFEDGHLTDSQGRRVFFKNTLIVMTSNVGSTSISSGRRSIGFSTQKDTEETTYAAMKSLVMEELKAFFRPELLNRLDEVVVFRPLEKAQMMAILNLILQEVKSRLLALGIGLEISDSMKNLISQQGYDRSYGARPLRRAVTQLVEDVISEAILFGQFKPGDTILMDTDATGKPCLSRLNDQTVQLSDPAPTM >Sspon.05G0024800-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:16049285:16049863:-1 gene:Sspon.05G0024800-2C transcript:Sspon.05G0024800-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGLSVIAPPARDSASPQHCRARRAFLVSNYLILGCASGCGFLTLSLRLVPSVDGFLLILLHALTVAAAVAGCAVIAAPDPPRGRCYTVHMSATVVVSILQGAAAVLAFSRTAEFLSDGLRSYVREEDGAVILRMVGGLGVAIFCLEWVALALAFVLRYYVYVDRECGGNPMRRSAKVGGEDGGAGTWPWPFQ >Sspon.06G0015530-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:85270668:85273886:1 gene:Sspon.06G0015530-1T transcript:Sspon.06G0015530-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWLARSIANSLLATEDEPEASDPGPSASPGSTSPPRGVREDLSELTGALANRFQGLASFLAPPAPGGGGALRRPDPAEIAGRFRAGLARLPGRQAVADLAKIASSLLPPEGDADWAEAAAGVTEEVVAFARDAASRPELWLDFPLLPDDADSDDFDMTDAQQDHALAVESLAPELADLRIELCPSHMSEGCFWKIYFVLLHPKLRKDDAEILSTPQILEARDKLSHDLQYRTKLQDSYGDTVPVPFSNEDGVLGSPVEEVLGVLKGQDDSAMFTSFSNIDYGKPQPIKPGILSNDTIIETGAVSSDNISSSVPVQLLPILKNTTVVTPSRMEESTHNLSTEDAAKEEQTVQMSEIPLMDNSPPKDDQQKQPLADISEQSRVDIQKTDNVEDGDIEDDDGDEWLEEEETGDPGNTKIPIADDEDVSFSDLEEDDDAA >Sspon.08G0007590-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:25163746:25165595:1 gene:Sspon.08G0007590-1A transcript:Sspon.08G0007590-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMVEDPGTDGVIGWGKGNNSFVVADPFVFSQTLLPAHFKHNNFSSFVRQLNTYGFRKVDPDRWEFAHASFLRGQTHLLRNIVRRGSSSGAGAGGGGGGKRKDAAASGGPAEASASGDDMAMVATEVVCLKQEQRAIDDRVASMWRRVQETERRPKQMLAFLLKVVGDRDRLHRLVGDAAAPDNGFTSGAAAEPPAAAEGGEKRARLLLDGDNMVALGPEAIDFAGFYSGGGVFGDVAAGSGGGGCSFAFGGGY >Sspon.02G0033390-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2B:6692767:6698184:-1 gene:Sspon.02G0033390-1B transcript:Sspon.02G0033390-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSILDLACLSQPAIILNYESLEKTAASPTLPAGLLPPPELDGGSRPTAGTSAPPLPDLPAAPKNSAHQNPTPPGLAQPLPSSCSSAATPPGLFFADPAVAAAAAATATAGLLQDDAAAFPRVHGHGAGISLFPPAASHGFSGAAPTPLPSYRQAAGPSSALAAAIVSAQAAATEGQARVRAVALAWERDRTTADALAHQIAVAEQQRLAPGGEPRPPATAMLAAASPVFHPAAFPWATPSSASPASAGWDSAALAHSFSTMGPTTLVSPQWVADSGATYHTTSDPSILSSVHPPSSSHPSSIMVANGSCLPVTSVGAAGAHGFFRLPDVLVAPSMVHNLLSIRRFTADNSCSVEFDSTGLTVKDLTSRRPLLRCDSTGPLYTLRFPASPSSSSPTHLSAAFATSSSSTTWHQRLGHPGRDALMQLSRSSDIRCPRTPGEHLCHACQLGHHVRLPFHSSSSQAAHIFDLIHCDLWTSPVISVSGYKFYLVLLDDFSHYSWTFPLRAKFEAFPAILHFFAWVSTQFGLTIKAVQCDNSHEFDNSASCAFFLSHGVQLRMSCPYTSSQNGKVERMIHTTTETMRTLLLQASLPARFWAESLHTSTYLLNRLPSAACTAPTPHHALFGTPPRWHLVRLSVFLGYSPDHKGYRCYDLTSRRVLISRHVVFDESVFPFSTPTPTSTPDLDLFSLFPTDAVVEPPFRLSTTGTAPPCPSPGPCPRSPPAGDTPCPGLAGSPHGTATVTTPDAGPGPSPLAPPARFAQPTLVYQRRAPRGSAPVTTPDAGPGPSTPSPPARFAQPVLVYQRRARPEPPPTSPPVAPSSGCPLPPTASSPPATPTPPPRSPDARVTTPVYHPPLLHRHPRHVHPMVTRHAAGTLQPRALTAMPGDSQVSPDLVPRPPGSNVVTGKWIWTHKRRADGTLERYKARWVLRGFTQRPGVDYDETFSPVVKPATVRTVLSLALTRSWPVHQLDVKNAFLHGLLTETVYCSQPAGFVDSSRPDMVCRLNRSLYGLKQAPRAWNHRFAAFLRSLGFVEAKSDTSLFIFHHGAETAYLLLYVDDIVLTASSESLLRRIITSLQQEFAMKDLGYLTFTRPDITYAVQQPCLHMHDPREPHLTALKRLLRYLRGTVGYGLLLHRRSSSTEMVVYTDADWAGCPDTRRSTSGYAVFLGGNLVSWSSKRQQVASRSSAEAEYRAVANGVAEASWLRQLLAELHNPLLRSTLVYCDNVSAVYLSTNPVQHQRTKHVEIDLHFVRDRVAIGEVRVLHVPTTSQFADIFTKGLPSSTFTAFHSSLNITSG >Sspon.03G0017240-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:54065202:54073013:-1 gene:Sspon.03G0017240-1A transcript:Sspon.03G0017240-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPPSSAAAAAAAGGRGPVHNRTRLLLVLLVAVAASASTAGFLLRGALRDPCDGRGEPAALNTAVAAGSPLGFMRFKLVLLVSHELSLSGGPLLLMELAFLLRHVGSQVVWVTNQRSEETNDVTYSLEHRMLNHGVQQVLPARGQEAVDIALKADLVILNTAVAGKWLDPVLKDHVPKVLPKILWWIHEMRGHYFKVEYVKHLPFVAGAMIDSHTTAEYWKSRTSNRLRIQMPQTYVVHLGNSKELMEVAEDNVARRVLREHIRESLGVQSEDILFAIITGVSRGKGQDLFLQAFYQGLQLIQRQKLKVPTMHAVVVGSDMNAQTKFETQLRDFVVKNAIHDRVHFVNKTLAVAPYLAAIDVLVQNSQGTAAGGTTEIVLDGSTGLLHPAGKEGVTPLSKNIVRLASHAEQRVSMGQKGYDRVKETFMEHHMAERIAAVLKEVLRKSQEHSRS >Sspon.02G0031250-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:91451160:91457846:1 gene:Sspon.02G0031250-3D transcript:Sspon.02G0031250-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DGDGGEQGEEALPGRRRRAAGGRGQVRAVHAGAGGGAGARLQRVPQAQLAAPAAAHPGLPHPQQHRAQADQGLVPEPQCREKQRKESSRLQTVNRKLSAMNKLLMEENDRLQKQVSRLVFDNGYMKNRLHSPSVATTDTSCESVVTSGQHNQQQNPAVLHPPQRDANNPAGLLAIAEETLAEFMSKATGTAVNWVQMVGMKPGPDSVGIIAVSHNCSGVAARACGLVSLEPTKVAEILKDRASWYRDCRHVDILHVIPTGNGGTIELIYMQTYALTTLAEPRDFWTLRYTSGLDDGSLVICERSLTQSTGGPSGPNTPNFIRAEVLPSGYLIRPCDGGGSMIYIVDHVDLNASSVPEVLRPLYECPKILAQKMTAAALRHIRQIAHESNGEMPYGVGRQPAVLRTFSQRLSRGFNDAVSGFPDDGWSPLLSSDGAEDITITINSSPNKLIGSHVSPSPFFSAMGGGIMCAKASMLLQNVPPAILVRFLREHRSEWADPGVDAYSAASLRANPYGVPGLRAGGFMGNQVILPLARTLEHEECLEVIRLEGHGFSHEEVLMSRDMFLLQLCSGVDENAPGACAQLVFAPIDESFADDAPLLPSGFRVIPLDAKTDVPSATRTLDLASALEVGSGGGLRALSDGSGTCTTRSVLTIAFQFSFENHLRESVAAMARQYVRV >Sspon.02G0027270-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:107542381:107553370:-1 gene:Sspon.02G0027270-3D transcript:Sspon.02G0027270-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIMIKGGVWKNTEDEILKAAVMKYGKNQWARISSLLVRKSAKQCKARWYEWLDPSIKKTEWTREEDEKLLHLAKLMPTQWRTIAPIVGRTPSQCLERYEKLLDAACAKDENYEANDDPRKLRPGEIDPNPESKPARPDPVDMDEDEKEMLSEARARLANTRGKKAKRKAREKQLEEARRLASLQKRRELKAAGIDTRHRKRKRKGIDYNAEIPFEKRPPPGFYDTVGEDRPLEHVQFPTTIEELEGKRRADIEAQLRKQDIARNKILQRQDAPAAIMQANKLNDPEAVTKRSKLMLPPPQISDHELEEIAKMGNAGDPALADELGEGSTATKTLLASYSQTPRLGMTPLRTPQRTPAGKGDAIMMEAENLARLRESQTPLLGGDNPELHPSDFSGVTPRKKEIQTPNPMATPLASPGPGITPRIGMTPSREGHSFGLTPRGTPFRDELRINEEVEMQDSTKLELRRQAELKKGLRSGFASIPQPRNEYQIVMPPITEDETEEAEEKIEEDMSDRLARERAEEQARQETLLRKRSKVLQRSLPRPPAASVEIIRQSLIRSGESRSRSTFVPPTSLEQADELINEELLRLLEHDNAKYPLDEKTQKEKKKGSKRQQNGGALVPEIDDFDEDELKEASSMIEEEIQYLRVAMGHENESFEDFVKAHDACQEDLMFFPTNNSYGLASVAGNADKISALQNEFETVKKRMDDEAKKASRLEQKIKLLTQGYQVRAGKLWSQVQDTFKQMDTAATELECFQELQKQEHLAASYRILNLTEEVNKQKALERTLQSRYGELVSGFQKIQEQLEEHKKQLKVQAAVEAENRAQEEEVVAPNHVAEEEDESKPLSSEEKSQQTNVATDEEAAGSKGITEDQMDVDSGNVDGGFVGPIPPAPDTEDDNDEVSIQENTSNTQSSEGASMNDGADKIDQAKLKGQDKADDIMAADAGPQEEGKDELAPVGASISEENTTVSLDQAVSKEDEGRAPE >Sspon.07G0030350-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:5937122:5939914:1 gene:Sspon.07G0030350-1C transcript:Sspon.07G0030350-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSWQPFVDELLMCEVEGRHLTAAAIVGHDGTVWAQSAAFPQFRSVEIANIMKDFYEPGHLSPRGLFLGPMKYMVIRGEPLLRIIQGQPGAVIRRGKKGSGGITVMKTGLALIIGIYDQPMTPRQCNILVERLGKYLIEFG >Sspon.06G0001680-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:5347347:5348912:1 gene:Sspon.06G0001680-1A transcript:Sspon.06G0001680-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPDADEDYMGDLSRFLPPSPSSSSPPKNLGRRKQPPTQAQAQTRAKRGKGVPWQERRRQERERKQREEDARTMAGLAEAIPESNVGFRMLKQMGYDPESRGAAAEPVGIEIRRSRAGLGAEEPALAPETALAPVEKSREVAERERRQQEEMAVELRARKSTQWKGRRVVWDYRKAERALAQLENREVEPPAPEGEVEKKGAEEEEEVITEEDLQNILSKLRDQYQYCLYCGCKYESPEALAKECPGPDEDDH >Sspon.06G0004000-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:10608374:10618255:-1 gene:Sspon.06G0004000-3C transcript:Sspon.06G0004000-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEDVQMNDSEPQPAAPAPAAAAPELSTLHPALVSDLKEIATVIEAGSLSKEVRRISRAFRLTVALRRRLAARDVSAFLAFALPASSEAYGRLTALVPKEDDTEMDVDAAAPATQISIKHGLPEIEIFCYLLVLIFLIDQKKYDEAKACANVSIARLKNLNRRTVDVLASRLNLLALHRMATLHRDELGQETLLNLLLRNYLHYNLYDQAEKLRSKAPRFEAHSNQQFCRYLFYLGKIRTIQLEYTDAKESLLQAARKAPTTARGFRIQCNKWAIIVRLLLGEIPERTVFMQKGMKKALTPYFELTNAVRVGDLELFRAVADKFASTFSADRTRNLIVRLRHNVIRTGLRNISISYSRISLADIAKKLRLDSENPIADAESIVAKAIRDGAIDATIDHANGWMVSKETGDVYSTNEPQIAFNSRIAFCLNMHNEAVKAMRFPPNSHKEKESAEKRRERLQQEEELAKHMAEEDDDDF >Sspon.05G0037340-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:11924233:11928734:-1 gene:Sspon.05G0037340-1D transcript:Sspon.05G0037340-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LRWSTSAAAGGAAEAAAEEDAEVAAGTGDSTEAAATAVAEVDTGPAEADTAAAEEEGGGTGTTKEEATAGAVEAAVTTKGLAEAEAEAEGAAARQRIASSELARVEPLASTLAATSSVGTRVPMQRPDSGGSLSQAKVKLLVNHFIVNYREVTTIFHYDINIKLDEASSKASGKELSKAEFLSVKDELFRESSLRRLSSCVAYDGGRNLYTSAELPAGLFRVRVRSKTYIVSVDLKKQLPLSQLSELPVPREVLQGLDVVVREASRWRKIILGRGFYSPSSSVDIGQGAVAMKGTQQTLKYTQQGLILCVDYSVMPFYKAGPVMNIVQKLVPHLDYRTTLNKRQMGNLVDELKGRRVTVIHRRTNQKYTVQGLTPLPASQMTFVDAESGETKRLVDYYAQKHGKVIEYQMLPCLDLSRSKDKPNHVPIELCTLLEGQSGEIAQQFGISLDLRMTEVTGRILPPPNLKLGASNGQISKLSIDQSCQWNLVKKRLVEGRDLQCWGIVDFSAEPSHPRQEPLNGWMFVEKIVKKCCELGIRMNSNPCFVHTSEMAVLSDPHRLQEELNKAKQAAVSKKQRLQLLFCPMSEQHPGYKTLKLICDTQLGILTQCFLSDRANKPNGQDQYMTNLALKINGKLGGSNVQLFDPLPRVGGRVPFMFIGADVNHPSPGNVESPSIAGVVASMNSGANKYVSRIRPQPHRCEVIQQLGEICLELIGVFEKQNGMKPQKIIYFRDGVSDGQFDMVLNEELADLEKAIKVDGYAPTITVIVCKKRHHTRLFPKDQGQPQTKNGNVPPGTVVDTGVVDPSAYDFYLCSHTGILGTSRPTHYYSLVDEHGFGSDDLQKLIYNLCFVFARCTKPVSLATPVYYADLVAYRGRVYYEATVMASQRGMGSASSASSTSSAGTFDFTSFPRLHKDVEDN >Sspon.03G0002120-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:13982159:13987954:1 gene:Sspon.03G0002120-1P transcript:Sspon.03G0002120-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQLQPPRFLPIPRRRVTGRRRRARPVIAFNSQWKIPDVDTDAVRERVRSWMSLARGAVADAAHAARERGRHKEEPEGGKKKQRKEVVVEEQAFVAVPEVTVEPRVAQGWLSLDAVVSIEQFARLNGLTGRQVQRIFETLAPKHLHNDARSLVEYSCFRYLARDNSDFHPNLKELAFQKLIFMTMLAWEDPYNEDDGPLSLLDSYSVLGRLVGEDAFVRIAPAVAGVADVSTAHYLFRALVGAEKGLSFDLWTTYLAELLKQTHQMGDNFLYDEKVLCIGSSKKRPVLKWEENTAWPGSLTLTDKALYFEAIGLSGTKKPMRLDLTNQNSRVEKTKVGPFGSKLFDSAVSVSSGSVSDEWTLEFVDFSGEMRRDVWLAFISEIISVYRFIREYGPGGDDPAIHNVYGAYKGKKRAVSSAANSIARLQSLQFIRRLYEDPAKLVQFSYLSNAPFGDVVLQTLAVNFWGGPLTTKARSADQRSTQWHRSSEDPSSGHAHVYDIDGSVYLRKWMTSPSWASSHSANFWRNSSVKHGVILSKSLVVADKNLVEKAMVDCKERSKVVERTQATIVAATIEGIPSNIDLFKELMLPFAIVAENFKKLQRWENPRSTFCFLLLVHTVLFRNMLSYVFPFTLMMMALSMLAVKGLKEQGRLGRSFGKVTIRDQPPSNTIQKILALKEAMASVENYLQNLNVSLLKIRTILLAGQPEVTTQVALVLLASSAVLLVFPFKYVLAFFTFDLFTRELEFRREMVRAFMNFLKERWESIHAAPVVVLPYEGAESSPKTLPAKASGQSEPQNVQRGSGYVTSKNGISSS >Sspon.03G0002730-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:22343757:22346843:1 gene:Sspon.03G0002730-2C transcript:Sspon.03G0002730-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHRVDNEYDYLFKIVLIGDSGVGKSNILSRFTRNEFCLESKSTIGVEFATRTLQIEGKTVKAQIWDTAGQERYRAITSAYYRGAVGALLAYDITKKQTFDNVQRWLRELRDHADANIVVMLVGNKSDLNHLRSVPEEDGQAFSEKEGLSFLETSALEAINVEKAFQTILTEIHQTVSKKALAAQESASSNGRSMHGTTINVVESSTATKGSCCSS >Sspon.08G0019120-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8B:10475873:10476096:-1 gene:Sspon.08G0019120-1B transcript:Sspon.08G0019120-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AHEGEREGGAAQGRRPRPQHPSVVHAQAGAHASPPRSTPAAHCCRRGPASKPRAGTPTSPPPPRHPGPAQALA >Sspon.01G0051730-2D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1D:19087915:19088229:1 gene:Sspon.01G0051730-2D transcript:Sspon.01G0051730-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKQQHVAIFTTASLPWMTGTAVNPLFRAAYLAKAGDWEVTLVVPWLSKGDQELVYPNKMKFSLPSEQENYVRRWLEERTGPLPKFDIKFYPGKVKVKQILNI >Sspon.06G0005550-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6D:17199125:17200929:1 gene:Sspon.06G0005550-2D transcript:Sspon.06G0005550-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPYVHTGKPALDWATRKRIAVGAARGLLYLHEQCDPKIIHRDVKAANVLLDEHHEAVVGDFGLAKLLDHGDSHVTTAVRGTVGHIAPEYLSTGQSSEKTDVFGFGILLLELVTGQRALELGKASGALHTQKGVVMLDWVRKVHQEKMLDLLVDQDLGPHYDRIEVAEVVQVALLCTQFQPSHRPKMSEVVRMLEGDGLAEKWEATNRPAAVAGAPCHVDALGYDHRNDSNGSVFFNDFHDNESSLSSDEARSIDMVEEMELSGPR >Sspon.01G0020000-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1A:74310464:74310873:1 gene:Sspon.01G0020000-1A transcript:Sspon.01G0020000-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPRRQQQQSRGPPPRCCVLGSRLAAAAAALRRRVALVPRLRGKAGDGASPGQGLSRLPLVDVDVDVDVDVVVDVDVEDSNLHGIRRTLILAAKLCHCCVKNHAGFWA >Sspon.03G0029980-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:19283569:19288806:1 gene:Sspon.03G0029980-1B transcript:Sspon.03G0029980-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTEAEAAIFLPCLIEKSGHNIEKVREKMGELIKQMVNIYSLPKLLPYVLEGLRSKNNRTRIECVDIIGYFIDHHGTEVGGLMKNLPSVAALTAERDGEIRKAALNTLATAYKNLGDDVWRYVGKLSDAQRSMLDDRFKWKAREMDKRREGRPGDARAALRRSVRENGDFGYADAHMDRHMVPRQIPAATGPADWREALEIVALGLPEQSVEGMKVICHELTQAADPESTVLEDLIKEADRLVSCLAVMTFQIKRLAHAVKEGTLDNLITELLLWLLDERVPLMDDGSQLLKALNVLMLKILVLQSTIYEVDLDRILQSIHIYLQELGMEEIRRRAGADDKPLRMVKTVLHELVKLRGTAIKGHLSMVPIDAEPQPIILAYIDLNLQQELAAVFKKIGDKQTCTIGLYELYRITQLYPKVDIFAQLQNASEAFRTYIRDGLAQV >Sspon.08G0027330-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:45303471:45309376:-1 gene:Sspon.08G0027330-1C transcript:Sspon.08G0027330-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative pentatricopeptide repeat-containing protein At5g13230, mitochondrial [Source:Projected from Arabidopsis thaliana (AT5G13230) UniProtKB/Swiss-Prot;Acc:Q9LYV3] MNPSRCRLLLPTFSQPNGPLRRNLAANAALQWLDDELASLALPKLDSYACARLLQRCIAHGDARAGRAVHARVVQRGGVAQLDTFCANVLLNLYAKLGPLAAARRLFDGMPERNMVSFVTLVQGYALRGEFEEAARLFRRLQREGHEVNHFVLTTILKVLVAMDAPGLACGIHACACKLGYDRNAFIGSALIDAYSLCGAVCHARRMFDGIIRKDAVTWTVMVSCYSENDIPEDALNTFSKMRMAGAKPNPFVLTSVLKAAVCLSSTVLGKGIHGCAVKTLYDTEPHVGGALLDMYAKCGDIEDARTVFEIIPHDDVILWSFLISRYAQSYQNEQAFEMFLRMMRSSVVPNEFSLSGVLQACANIAFLDLGKQIHNLVIKLGYESELFVGNALMDLYAKCRNMENSLEIFSSLRDANEVSWNTIIVGYCQSGFAEDALSVFQEMRAAHMLSTQVTFSSVLRACANTASIKHAVQIHSLIEKSTFNNDTIPNDVTFVALLSICGSTGLVNQGLSLFNSMTMDHRIKPSMEHYTCIVRLLGRAGRLNDALKFIGDIPSTPSPMVWRALLSSCVVHKNVALGRFSAEKVLEIEPHDETTYVLLSNMYAAAGILDQVALLRKSMRNIGVKKEAGLSWVEIKGEVHAFSVGSADHPDMRLINAMLEWLNLKASREGYVPDINVVLHDVDEEEKARMLWVHSERLALAYGLSRTPPGHPIRIMKNLRSCLDCHTVFKVISKIIQREIIVRDINRFHHFEEGICSCGDYW >Sspon.06G0005730-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:17076219:17077350:-1 gene:Sspon.06G0005730-2B transcript:Sspon.06G0005730-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMRVVRNLDLERYAGRWYEIACFPSTFQPKTGTNTRATYTLNPDGTVKVLNETWTDGGRRGHIEGTAWRADPASDEAKLKVRFYVPPFLPVIPVTGDYWVLHVDADYQYALVGQPSRKYLWILCRQPHMDESVYNELVERAKEEGYDVSKLRKTAHPDPPPESEQSPGDRGVWWIKSIFGK >Sspon.05G0020790-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:90066748:90071595:1 gene:Sspon.05G0020790-2B transcript:Sspon.05G0020790-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ethanolamine-phosphate cytidylyltransferase [Source:Projected from Arabidopsis thaliana (AT2G38670) UniProtKB/Swiss-Prot;Acc:Q9ZVI9] HGRGEWLQLAGAGGVRDRRHRAGRLGARAPPRGRARRHPAPAPAAAARRAPPPPPPPAPPPRARLHGRLLRHDALRPLQRAAPGARARGRARRRRHQRRRDQGQQGTPRHAAPREMIMVRAVKWVDDIIPDAPYAITEEFMNKLFNEYNIDYIIHGDDPCLLPDGTDAYALAKKAGRYKQIKRTEGVSTTDIVGRMLLCVRERSSDAHNHSSLQRQFSSGHGQKVDDTGSGTGTRVSHFLPTSRRIVQFSNSRGPGPDSRIVYIDGAFDLFHAGHVEILRLARELGDFLLVGIHTDQTISSTRGRHRPIMNLHERSLSVLACRYVDEVIIGAPWDVSKDMVAGCSLYTALLSLNTIDNTLTITTFNISLVVHGTIAENMDFTEDDSNPYAVPKAMGIYRRWRVPWISLQVLSSGGLLLTTKLT >Sspon.06G0017650-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:96185769:96190527:-1 gene:Sspon.06G0017650-1P transcript:Sspon.06G0017650-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRVLLCLPVAYKNTVVAKRMKSLRENLRKIRNEIDIFNFIKDNSATKEQPYDGRETTSYLPEEPVIGRDGEKQEIIKLLCTNTNKDDIVIVPIYGLGGMGKSTLAQLVYNDAQFKKYDHRIWVYVSQDFNLKKIGMSIISQLPTQGGQQNMGTQQVINQCLDNQLHGKEVLIVLDDLWEENKIELDKLRRMLHIKGSKQVDVIVTTRKEEIARKVSSSEPYKLRPLGNDICWEIIKRSCRFELKSNQEKIEQIGLDIAKKCGGLALAAQAIGFMLGSIDDLPGWTKVNNSDIWNGSSEENGVLPSLLLSYERMPPQLRICFSYCAIFPKGQNIIEDDLVQQWVALDFTNQSKGKEYINKLLGMSFLQVSKLHSTSREHVVRYTMHDLVHDLARLTAADELIVFDDAPRSNTHTHKYCHYSLLRKYDRTTKLANMPSKMRALRFSDSGEPLDIQSGAFSFAKSLRTLDFSECSGILLPASIGEPKQLRCLIAPRMQNARLPECITELSKLQYLNINGSSQISALPKSIGKLGCLRYICLSGCSGISELPKSFGDLKSMVHIDMSGCSGIRELPESFGDLKSTVRLDMSGCSGITELPDSLGKLTNLQHLELSGCSRLKAIPEPLCGLRQLQYLNMSRCEQIRQLPETLMKLQNLLHLDLSRCSSMQHLGGLCGLTTLQHLDMSNLWKIGLQDWSGVLANLTNLKYLGLSNLIIRGKEEIASLEEWNTTYSIEDGVEEFMFPVLDRLEIRWCPRLRLKPCPPTFRECSIYGSDQVISCLEEQLTKLQKLENRDNPKLKKWCESEENKTKLAHINIIQ >Sspon.07G0001930-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:4680806:4684586:1 gene:Sspon.07G0001930-1A transcript:Sspon.07G0001930-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGAKELEAARRVATLTLAELDISSSTSPPCSNLPALLRRCLQLLRFLNAGDPNLAARCCDGLLVSLRAILSRDPSSSLLPAIEVFAESFVARDQLRSCLVKDTYAAPEGSRVFTEALPCEDEHIMLELVCRHFISSLLDEGAFEVFVSALSWSGKALQQTPEISFQGALALVQRTWSFSLPAVVQAHLLLLMSRCTSDQNLNSHMLAFQYAMKLYVRYLPALCVFNRTGGAEAPVNYLGKKRPYSCIKDTTEQKLRSQIDRLLSFCELHSGDDLPFGLSDIGRVIEENQHMFHEKFRQQCTVVVKAILSSILCCAKQKEVLEPDVEVSDEIICLAAALRVMGSSMQHILHHFSQMRSATDKEHNKEYKIIYEIISLLGQYETNELHRYDLAITGKSVDSESASMLMLTHFASLSTSCLRRKLGFLWKGCIIMMMRATNLIAEEQSLSTFDLPMDVSKESAVFCNTKVGISELSARTKEIILRYKSIHRFRDNGRHFYADGISLGTPEECNSRVGKANGQRFFDCHPEYSRNSWADILDCVECEEGKDYSNALKQQQKFKMFKYGKWLNQRQSKRQSTMDMFGLKSRRRS >Sspon.06G0020550-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6B:10050655:10052427:-1 gene:Sspon.06G0020550-1B transcript:Sspon.06G0020550-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MERLLLLLDWAPFKLQRVEASDEEKRRCRVTRAKMKTDGGGVAVMKNEETATTT >Sspon.02G0044960-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:106332522:106336160:-1 gene:Sspon.02G0044960-1B transcript:Sspon.02G0044960-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQYEVLEQIGKGAFGSALLVRHKVEKKKYVLKKIRLARQTDRTRRSAHQEMQLIATVRNPFIVEYKDSWVEKGCYVCIVIGYCEGGDMAEAIKRANGNHFSEEKLCKWLVQLLMALDYLHANHILHRDVKCSNIFIARDQSIRLGDFGLAKILTSDDLASSVVGTPSYMCPELLADIPYGCCIYEMTALRPAFKAFDMQALISKITKSIVSPLPTRYSGSFRGLIKSMLRKSPEHRPSAAELLKHPHLQPYVLQVQLKSSPSRNMSPIYQALTDKVKKMTFPSDITDSVRRRVARRNSLGNERTVTFCKPSPERNSVSSTRSIKEYTTTQSVKELSVDSSQIDDEVTSKAIITKTSGILRTPKSTPAKTLTTRNRLDPPKTSYTRTNHAELTSRTPLNKSARTARRASLPLPTYRAPNSRTASILDQLDSPDVSVNAPRIDRIAEFPLASSEDPLAPMNNKLSSAPGHGHGHGHGSCFTPPSINRSITKDKYTVQVLHTGDGDNVSDSSGRNATAASSRGSNDSRQQRFDTSSYQQRAEALEGLLEFSAQLLQQERYEELGILLKPFGPEKASPRETAIWLTKSFKETAS >Sspon.08G0014460-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:59151566:59154945:1 gene:Sspon.08G0014460-1A transcript:Sspon.08G0014460-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMDCLYAKCTPCITDCVMAELEKLGQKYRVALRIAKDPRFQRLACTHKGTYADDCIVERVTQHKCYIVATCDRDLKRRIRKVPGVPIMYITRHRYSIERLPEATIGGAPRI >Sspon.01G0002140-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:5865009:5868207:-1 gene:Sspon.01G0002140-3D transcript:Sspon.01G0002140-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LCLIFIAKKQTRQWAAWTHQEEENFFNALRQVGKNFDKITHRVQSKNKDQVRHYYYRLVRRMKKLLGPRFSLDAKNSKDTIAAMLRWWSLLEKFSCSASKLHLKPRRFKTFVEALQGSWNETPPVKFLSVDAQNGSRVASPKGTIFKRVAEPISNKSGTTKGDLSATRTVKQKRKAGGTVASTAYKKWERAAMAGVSLVADAAEELERNTINPGILCNVDARTLTSSSDILSTADGISTNHMKEADSQAPVKLKLQLFPINEATRKALEKDDHNPHLELTLSSRKKISSVLEHLNRKWGNSNIASGELILFPYCANQEDLATYQRWTTRDTVAVADVFLSVNSPSVFRLRYGWFSLVELGAGVSEISLTHMIPEVIQSNRHQEIRLVCKRMARTLSFQISQLMCPPQFGSQKQVQVPVTQAVE >Sspon.08G0011480-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:47951781:47952614:1 gene:Sspon.08G0011480-3C transcript:Sspon.08G0011480-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSGGASLALAALLLVSCASAAAATKYTVGDASGWTTTGDYATWASGKKFKVGDILEFKYAGGAHTVDEVSAADYAACSSSKALSSDSAGSTTVTLKTAGKHYFICGVAGHCSSGMKLVVDVAKAVAAPAPAPAPAASADTTPDAPDTTPSTPSSSGVTPKTKSPVTDLSPPGKKSTSGATGLRAAAWAVLGLAGLVAVHVGAF >Sspon.02G0014340-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:40583707:40590060:1 gene:Sspon.02G0014340-2C transcript:Sspon.02G0014340-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSRLQLQPPASTAATPLPGAEAAVLPGAGAAALPGAAAAAALLPATAGGRSSASLRPYAPSPHLPAPPAGALAAAAGQHPPGVTDPSLGMDHGGETVVATSGAPPPVVTAAITAPATTPVTTVAPLLPLGAGDTAALAAALAAATGPQQGGTGVTSQGAAFLFPAPSLPLTGHRTDSALVAALAAARAAAAEGQARVRAAALVWERERDATDALARQIAKAEHLLASPADAGAASSASPGRRTSHTAVLWHDPADPLPESPSYARWRDLVLLTLRRYALDDHVLVDASVAVQTPSWFRLDSIVLSWILGTISLDLHDLVRTSPDARRAWLALEGQFLGNAEARALRLDASFRTFVQGDLSVGEFCRRMKGMADSLGDLGWPVEDRILVLNVLRGLSDRYAHLRTWITRQRPFPTFLEVRDDLVMEELTQGLQPGSTTSTGSSSSSTALAATSPRPSAPPRSTAPPPQSLLGPPPSGPSGGGGAVALVVAVEGAAGVAGGVTPRLRHRLGLTGDGLRSRTRGLFGLTIKAVQCDNGREFDNSTSRDFFLSHGVQLRMSCPYTSSQNGKAERMIRTTNDTVRTILFQASLPARFWAEGLHTSTYLLNRLPSAACPAPTPHHALFGTPPRYDHLRVFGCACYPNTAATSSHKLDPRSTLCVFLGYSPDHKGYRCFDLTSRRVLISRHVVFDESVFPFSSTTTPPVPDPPSLFPTDPVVQPPFSWSPAGTASPRAAPAPCPGSPASPGSSSSGAAPSSPVGADPGPSSPASAPGGRVGRRRRIPSRHLPRHLRRGSPRRYGCTSADRGRRRSRSLLHRGHRHHRHSPRRLVVPRRSTTRRCFTDTRGMFTRWLSTSSPASGFGPTSAGPTALSSATRLGGSSGVSLKRPGVDYDETFSPVVKPATVRTVLSLALARSWPVHQLDVKNAFLHGLLTETVYCSQPAGFVDTTRPDMVCRLNRSLYGLKQAPRAWNHWFATFLLTLGFMEAKSDTSLFVYHHGADTAYLLLYVDDIVLTASSEPLLRRIITALQQEFAMKDLGELHHFLGVTVEHRPTGLLLHQRQYTRDILERAGMTDCNPCSTP >Sspon.02G0015150-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:39974682:39982991:-1 gene:Sspon.02G0015150-2B transcript:Sspon.02G0015150-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTPLAAALLILPASPAARLPPPRPGPSRRARPPVVRAAALRALPRRLELWPPRLAAVESNPPPPSLPPPAPHEESAGSGLDARAGGGDGGGDGGGGSDLGWLRAFPHVLTASMANFLFGYHIGVMNGPIEDIATELGFQGNPFLQGLVVSIFIVGAFFGSFGSSALVDKFGCKRTLQIDSIPLITGALLSAQADSLDEMLLGRFLVGIGIGVNTVLVPLYISEVAPTKYRGTLGTLCQIGTCLGIIAALSLGIPSESDPHWWRTMLYAACVPGVLIVAGMQFAVESPRWLAKVGRFDDARKVVESLWEPSEVEKSMEEIKAVVVNDDSRGSWSDLLVEPHNRVALIGGSLFFLQQFAGINGVLYFSSLTFRDVGITSGALASLYVGITNFGGALVASNLMDKQGRKKLLIGSYLGMAFAMFLIVYGISFPLDEGVAHSLSIAGTLLYIFTFALGAGPVTGIIIPELSSARTRSKVMGFSFTVHWICNFLVGLYFLELVNKFGVGAVYAGFGGVSLLTALFAYNFIVETKGRSLEEIEMSLSTSTPGKQELMM >Sspon.06G0013710-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:54713715:54714271:-1 gene:Sspon.06G0013710-1T transcript:Sspon.06G0013710-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRMHSRGKGISSSALPYKRTPPTWLKTATSDVEEMITKAAKKGQMPSQIGVLLRDQHGIPLVKSVTGSKILRILKAH >Sspon.01G0029080-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:97548327:97564559:1 gene:Sspon.01G0029080-2D transcript:Sspon.01G0029080-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFSRRPLAAALHLAPLSPPLLLFFASTSSSCSPAAAAASASGSRGCTAVRMDSGAVETASTGAVWSTPSAEPRSISVGKEVFCNRSLNMRNITAVGFDMDYTLAQYKPETFEALAYYGTIEKLVKDLRYPEELLTWEFDWKYMVRGLVLDKKRGNILKLALLCWYSYPLMYRDVRSAVDLCHRDGTLKRMVAKDPARYINEDLAIVPMLEMIRKSGRSTFLVTNSLWDYTDVVMNYLCGPYTSDVGSGLNHNWLQYFDIVITG >Sspon.07G0006610-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:17007412:17010008:-1 gene:Sspon.07G0006610-1A transcript:Sspon.07G0006610-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCAGAGQAGGWLLDYGLVEEEIQGSEFIYMVDDPAVSSVILGFDAPRKEDGVQDNSGAKKRQSFPAFLPFMLQNLCPRSRPESSAPPGTKACREKLRRDRLNERFNELCAILEPGKPPKADKVAILSDAARLLNQLRTEAQKLKQSNESLQDSIKSLKAEKSELRDEKTRLKAEGERLEQMLKGISHAAAVAPGPFVPHPTAAAAPSFHPAAAFAQAGKFVPYPSYPPPAAFWQWIPPTSLDTTKDPAHWPPVA >Sspon.04G0032390-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:26236835:26241598:-1 gene:Sspon.04G0032390-2D transcript:Sspon.04G0032390-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPPPALEILVREPDGFTVWSGPPYPPGTTSPPQRLPKTACSATSFSTDGARLLATVASASATVYDCRTLAVVKCFELPGLLAAALSPTGAYLQTFQKSSSPQEKNVTVWHVDTAAALYQHYQKSMSKATWPMVQFSADELVACRMMPNEIQFFDPKDFAKGILYRIRMPGIATMQLATAPGSHVAGFVPEAKGVPASVQIFSCNKDAQNQVVARRSFFRCSTVQLHWNKGSTGLLVLAQADVDKTNQSYYGETKLNYLTTDRAFEGIVPLKKDGPVHDVQWSSSGSEFAVVYGFMPAKATIFNKKCNPLVELGEGPYNTIRWNPKGRFVVLAGFGNLPGDMAFWDYSEKKLVAKTKAECSVTSEWSPDGRHFMTATTAPRLQIDNCIKIFDHNGSLQFKKMFERLYQADWKPEVPERFGDIADLTTSLSTLKIEETKKQVSAQGSKSAQTSSKAPANTAPKPTAYRPPHAKGSAELQDKLFGGLAPAGGEMSKNALRNKKRREKQKEKKAAEASGSPADEIESFDRSDAQAITNV >Sspon.03G0035220-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:79564861:79573951:-1 gene:Sspon.03G0035220-1B transcript:Sspon.03G0035220-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPRAPQLRHQPPHLVLSEAVASWHPFNKKPCLSDRSTAPPSSARLPDAETPTPAPSGGGSGGSFRWFGLRKRRRRGAGSRSVSGRSSDRRRSGTCSDFHFTCGAGGGGATDSSGEMWASDIGEMRMRDVPMATEFGPAPVGGVAAGSGGVGAAAEAAAADSGYGSEPGYRGDVELGYGDEIDEEEEDGKQQLFWGGVIGDMNKMKINGDNNFGEQKSHHRCRRKKHD >Sspon.02G0060080-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2D:108360825:108361161:1 gene:Sspon.02G0060080-1D transcript:Sspon.02G0060080-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADDGTPNEDLPLRSPVAGDAAAGEDLPLGTPLVAAAEATGLDLAPKVAASLILVWDMINCCTGY >Sspon.03G0000570-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:1800860:1805163:1 gene:Sspon.03G0000570-1A transcript:Sspon.03G0000570-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABC transporter B family member 26, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G70610) UniProtKB/Swiss-Prot;Acc:Q8RY46] MPPPAAMLLLTAAPGSPTLSLTLAARRAPLRAPRQRRLRALRPPRIRAAAAIGGEFGGLGRRRVVVGEFIERLRNVLPGGSWWRLEDGDEAGDGAGRAEGSGTTAVSALRRMWSLVAGDRWVIYAGFASLVGAALAEIAIPHLLAASIFSAQNGGAVFYRNAKLLVVLCLVSGVFRLVIFCSYCPWYKMTRILLFNSPWRTKLLFWCCKHDIGKTMSDSSCPNKVQVRKNAEIWKLKEKLLSIFSLNNTTRIFTDISFFDEETVGDLTSRLGSDCQQVSRVIGNDLNLISRNLLQGAGALIYLLVLSWPLGLCTMFVCATLSTIMLVHGRFQKRAAKFAQEFTASANNVAQEVITLVRTVRVYGTEKQEFKRQFLCFPLFFFLSLIRYAKWLDKLYDVSFRQTVAYGGWSLSLNYLYHSTQVIGVVIGGLAIMSGKLTAEQLTKFTLYAEWLILSTWWIGDNWSSLMQSVGASEKVFRLMDLLPSKQLSSEGKKMCLKLEKLKGQIQYADVSFSYPSRPTVPVLGRLNLTLNPNEVVAIVGLSGSGKSTIINLLLRLYEPTNGQLFTLPESSFDCISHTCVLMQILIDGVPLTELDIRWFRERIGFVGQEPRLFRMDISSNIKYGCPREVSHEGVVWAAKQAYAHDFIMALPDGYNTIVDDALLSGGQKQRVAIARALLRDPSILLLDEATSALDAESEHYVKSVITKVSRDSKAQRTVVIIAHRLSTIQTADRIIVMENGNIVEDGKHIDLIEKGGLYSRLARRQNDDLK >Sspon.05G0026290-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:33203366:33205942:1 gene:Sspon.05G0026290-1B transcript:Sspon.05G0026290-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVFFLAALLVTPTRSSSPSSNAAGLQPTLTFCSGHSPYLTSSPAGSAVTSGEFVSFLDAVCAGAYGVAHMSHNMSSYVVAENRTMYGVAQCRPDVSVSDCAACLAAASEFIAGMACAASAVWHDACFLRYSDRDPSRFHEDEYMATVFNASRALLHEHEDGKAVVRRLLAAVANSTTSSHMCRSVGAGTAAGGLVYGLTLCAAGISCADCRRCLGGALEVVDREYNGSAGMQVLRLSCMARYESYPFYNTKSLARRLLAEATSGRIGMGSARLIPTASGRNPPPPSPPAQARAPPPAASRNLAANTAAFPGGRTGAAHPTPAPPGGHTPQPAAAAPNEPLVLNRQADGMPEETMHDQGNNKHLSKEEEEEDDGGRTSCQLYNYQVLEAATCCFSSRNKLGSGGFGTVYKGTLENGKEVAVKRLRDSKRTIQELEREISIVVNLHHMNLVRFLGYCFQEEGRFLIYEYVPNNSLDKFWYKASFQAEKLEWATWFNIILGVARGLRFLHDKGIIHRDLKPHNILLDDNFNPKIADFDLMRMYDKEKTHESTEKVAGTL >Sspon.01G0029610-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:102775800:102779840:1 gene:Sspon.01G0029610-1A transcript:Sspon.01G0029610-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVQTVPSERTNPLLNRSPSPSGVTRLQPDGSAYSFLDTAKACTTRFSSGSATSEDSPALTPRLLSFKSSSSPDNCSSSAEWPDRAAASRSNRYLFDVNAQARCAEYLDLMRLEVDAQLGKLKGGVTGLESYALPDDGRVIGGAHLGMSLDVMLIEIDERFNALKLLMGSVFRQAREMLGSVNSSVSDLQSENELQLEVFSAVIGECVSGLQEELERKLYEQINITNTMSRNWKEAITQFAAMREDLGALCKLLLPLVPEAHISNGKNESPGNRSNRWKYNLFGKKPKEDRSPRAEDSKSFRKQKSFGAKDVISEKSDFRHLNGMTREQVISYFKSEIGKLKRMHESALQEKTEELFRFKREKGSHSLKNDIEFEPLRKKIPEIVLRMDQIISKNIKIPAICMTHDELDERCRLMSRIDALFHENQHLRGLLADRMKDVKTLSSQLSEASTELSLQLSSEEELLRQIDKVREDCEDLRIEGDVREGMYQTVTKQLLDDYKDNMDGAALNLSAKLSSLESAVSEKNKALCLYNEENHRLKVKLAELEKERSIHNHQEVPEVIKQESTEIVLRDIEVEPRTSPRGSNGNDLQYDELVKLNSSLEQTSGVLKEMDNKNMYRSSGLTRNEQEKQLECILVSVMKLSKEFVEIEKKLSAERTENRSEDLSDHCSHMVRQAVVLTKIGLWYKHMIEARRSELQKAEAKVMTLGDKITAQLSLLQKIYLTLDRYSPTLQQHPGLLESFLKTCKLVADLRSKQNEGDMT >Sspon.08G0020140-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8C:19935186:19935506:1 gene:Sspon.08G0020140-2C transcript:Sspon.08G0020140-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLQRSATTFRRSGSSGLVWDERFLTEADAEAKAGDGAAEDPQPELRHSRSVGSIGMLHRGGGDSDNKKAKEKKQKQGHKEEARSNQQQVFRTKDVAPDMDPPSPR >Sspon.07G0023420-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:14711350:14712495:1 gene:Sspon.07G0023420-2D transcript:Sspon.07G0023420-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GANDDGAKLSANGDGAKVGVLGANVNGAKLCYLGASVCVCTHLYLYRVHAHAGGEQAAAHDVQGAAAMEGHHYSPTADTAAEASGGGERRFRGVRQRPWGKWAAEIRDPHKAARVWLGTFETAEAAARAYDEAALRFRGSRAKLNFPEDARLTTPSSTAVAAAAPAAAAAGSTTTTMAAVAASTGGYYPAATSAGVSAADYLQYQMLLQGAAATSHGGGSSSHGGGYPLYYDYGGRGGGAMSSSSGSYSFPASTVTVASVPSSASSAPSYGEAAPQWTSWPESAWSYPATTGSWSGSSQYPPSTRPPQ >Sspon.07G0015730-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7A:56033893:56034090:1 gene:Sspon.07G0015730-1A transcript:Sspon.07G0015730-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTATAKPECGGAKTSWPEVVGQSVEEAKKVILKDKPDADVVVLPVGSPVTMDYRTNRVRIFVDT >Sspon.07G0005870-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:13200272:13200898:-1 gene:Sspon.07G0005870-2D transcript:Sspon.07G0005870-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPYTALRPSPQQHSRVTGASGGAKAALAAAASHGASCAAVPAEVARHHEHAARAGQCCSAVVQAIAAPVGAVWSVVRRFDRPQAYKHFIRSCRLVDGGGAVAVGSVREVRVVSGLPATSSRERLEILDDERRVLSFRVVGGEHRLANYRSVTTVHEADAGGTVVVESYVVDVPPGNTADETRVFVDTIVRCNLQSLARTAERLALALA >Sspon.02G0025830-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:89770804:89777102:-1 gene:Sspon.02G0025830-1A transcript:Sspon.02G0025830-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGDRERDPEEETRNQMMQNLFGDQSEDEDEADDDDDIDVVEVVDEDDGHQQQLLSPPQHHQELEDDADDDEEDDARSHAHALHGGYHSEEVDGEAENGGEGEGESEGQVGMEEESEGEAHRADLDQGESDGDKVQSSPERELDDQRMEPDARGMDSEDEGYQQRTVSSRRRGVVASESEGSEDNYYADGAQEDEELRQTRKQSSPMEEERDHEVVRDVFGESDEDEPAPYRARHDIDEESHRSPMEDEGQYEKDMQPDDVVADEDMRYESDDNRELKPKEKPVGPPLDLVVPFKQPPAQPDKMNVIKVSNIMGIDPKPFDPKTYVEEDVFVTDESGTKKRIRLEDNIVRWRTVRNADGTTSRESNARFVKWKDGSMQLLIGNEVLDISVHEAHHDQSHLFLRHGKGILQSQGRLLRKMRFMPSSLSSKSHRLLTALVDSQNKKTVKMQKWFETKDPEKAKMERERNQGQTIRAHSILQRKREKVSRKYTQPARPRRQLSPGFLEDALDEDEEPDYGSRRMAGRRRFEDELEAEALAERRIINAKKSNMSRNVPRKPPYPPARPPRRQADEYSESEREESEYETDGEDIEHSPPRGREDELDEEEEYEEDVEEEALLSDEEMEAPKRKRESGGGGHRREELVSEDDYDDDSPPRKQPAVQHRRKAVVFDDSDED >Sspon.07G0003450-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8C:1220265:1221725:1 gene:Sspon.07G0003450-2C transcript:Sspon.07G0003450-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding EAHEDVEHDHNKVVVEDCSTSRSSDDKNDHITRSLDKKNDNATSDSSNDSTSCTLDGEDDGYESDASTSSSTSSHGNTKIHVGGFVVDCDDPNLELLYKLSRALKREMERVSKLENENSFLKTTCEQQKHLLYVTTCSHEELKLAHEKLSVAHDNLAQEHALLTNMLSNEEPKTSESSSFGSNDQSHITNPCDVGKKHVSTSCDDLYMPCSSQLNVCSTSMSCETNLLKENNELKSEVKNLSNKLERCYNSKVTFENIMKTQRNLGDKSGLGFKKKMTKGERKQEKRIKRLLQKKLSHSMCYRCHEAGHLANGCPNIEKLKKMKEEERLKHVKCFKCRTWGHLTSMCPTKQLVKQQVKPQPKPLVEQDKTPQEQIKINHEDVSDLIIKKKKTRRGGRARHPMQIQDAKMMSKNQNEKRNLTHIKCFKCEDMGHFVSGCPTKLEKKAQATHERQGIEKQHMSKEEKVHSKRSCYLCRERGHMAHSCPL >Sspon.04G0018530-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:72152308:72156978:1 gene:Sspon.04G0018530-3C transcript:Sspon.04G0018530-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VAASMQKDIDAHVPNYPNLPSKLICLLHSVTLHADPDTDEVYAQMTLQPVNTYGKEALQLSELALKHARPQMEFFCKTLTASDTSTHGGFSVPRRAAEKILPPLDFSMQPPARELQARDIHDNVWTFRHIFRGQPKRHLLTTGWSLFVGGKRLFAGDSVIFVRASPTEFVISFAKYQKALYSNQISLGMRFRMMFETEELGMRRYMGTITGISDLDPVRWKNSQWRNLQVGWDESAAGERRNRVSMWEIEPIAAPFFICPQPFFGVKRPRQIDDESSEMENLFKRAMPWLGEEICIKDAQTQNTTMPGLSLVQWMNMNRQQSSTLANTGIQSEYLRSLSNPAMQNLGAAELARQLYVQNHLLQQNSVQLNASKLPQQMQPINELAKGSLSCNQPDAITNHQELKQEQLSSSHSLAQQRIVPQQDSKVSLQASQAPPPMKQEQQKLLQKQVALADVSDIAFPPISSTNVLSKAGSQLMIPGATQSVLTEEIPSCSTSPSTANNGNHLAHPTIGRNEHCKVNMVKVSQSSALMSIPTSGEAVTTPIMMKESPKSNHNQKENVITSKSPTVGTGHDNLLNIVPSTDNLETASSATSLWPTQTDGLLHQGFPTSNFNQQQMFKDALPDVEIQEVDPTNNAFFGINNDGPLGFPMETEGLLVSALNPVKCQPNLSTDIENNYRIQKDAQQEISTSMVSQSFGQSDIAFNSIDSAINDGAMLNRNSWPPPPPPQRMRTFTKVYKRGAVGRSIDIGRFSGYEELKHALARMFGIEGQLEDRQRIGWKLVYKDHEDDILLLGDDPW >Sspon.01G0000900-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:2971721:2973767:1 gene:Sspon.01G0000900-3D transcript:Sspon.01G0000900-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyridoxine/pyridoxamine 5'-phosphate oxidase 2 [Source:Projected from Arabidopsis thaliana (AT2G46580) UniProtKB/Swiss-Prot;Acc:Q9ZPY1] MAGAGAAASALSSPWRALLQRALDANGHLRHSTFFQLSTVGAGGRPANRTVVFRGFQEHSDKIQINTDARSNKIGEIKSCPFGEICWYFTDSWEQFRISGSIDVIDASSADPAKLQHREKAWFSSSVKSRSQYLGPQPGIPVLDDEHVKGVHLDQLAGPVDAFCLLVLDPEKVDYLDLKSNQRLMFTRQNGDGSNDWMAVK >Sspon.07G0037210-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:50960769:50965258:1 gene:Sspon.07G0037210-1D transcript:Sspon.07G0037210-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding NSNKSLYIGIWYNKVPERTVVWVANRDNPVIASSSAKLAINSKPELVLSDSQGRTHWMTMTTTNSTTAGGTGALAALLSSAADAAGTSARVSSACPSSAAPSAALHLTVICISVRGSAPHLRLRVYLCPCLHLRPRLISHNHKSATSSQLQPSAGNNYREPPWPDPSIRATARWWLAAAPPPPPLTHALPPAPSPPAREGPPAPTLPHLHRGQDDRRRRRSAALRTVPGSRHGRIRSIRSAALRSAALRTVGRPPPSPRAAAALRAPSAATALAASTGADAGAGGPGAGAPARVRAAPTRRGCGREGSGAEAGARAAGGPAAAGSPRKKGRGGEGRAGVALPFVVFIDNPEDEPAAAPFQLQRRRSWSAFTAGVAAFLGGRATRRQSSSSKLVRSPHPSPSTADDAPPPRHPCSERGTSTRAGREAATHFSPSATPDLSADLDFSATPALSAVS >Sspon.01G0036940-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:19888855:19892481:1 gene:Sspon.01G0036940-1B transcript:Sspon.01G0036940-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein OBERON 3 [Source:Projected from Arabidopsis thaliana (AT1G14740) UniProtKB/Swiss-Prot;Acc:Q94B71] MFGDSDGSKDASAAAPGSNPPEPPFPNRELTLSYLCDKAPPAAAAGPSSPPNPEAAAAAPADDAAANAKLCVERDFLHLSAPKRGDPPGDDSSVVGGKKPRLDSLQLSLSLSNDASAPPPPASQQPSSSLPPSQLASLLPVDGDLRGGGSAAVPAAAPVAPPPRRTYSANTGRTRSINSDDMSYSYSVFSHNPSCSLTHNSTDIYAAGEGTNGSVHSRFNFRPMGDGSVAFAPSQLKDGTTSFFPTELPARMVPPAAALSAGGSFDGSRGGMHSSRPVRILRDIVSDPVPAMAQVLQDFPSETLEVLRETVRSMIDAPEKRDDLSSLQRKLDRRSDLTAEVLGRANKTQLEILVAIKTGMATFVTGKGRVSSSELVEMFLLTRCRNMNCKSVVPVDDCECKICSTKKGFCSACMCPVCQKFDCAANTCSWVGCDVCSHWCHAACALEKNLIRPGPTLKGAMGTTEMQFQCLGCNHASEMFGFVKEVFNCCAENWSPETHMKELDFVRKIFAASEDFEGKGLHAKAEEVLSMLVKKIISPSDATKTMLQFFKYGVTDYSVTGSKSKGILAAQTSKSADMLHLQTPTITPPKSSFNFKPSTSILDSQMDVLKTSPKPLSIEPHFNSSSKDEDSSSLETIVKCKEAEAKLFQKLADDARKEVESYRQIVRAKTQKLEEEYATKVAKLCFQETEEKRRKKVEELKMLENSHYDYHKMKLRMQTEIQGLLERMEATKKMWV >Sspon.02G0043920-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2B:97626527:97629535:-1 gene:Sspon.02G0043920-1B transcript:Sspon.02G0043920-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AP-3 complex subunit delta [Source:Projected from Arabidopsis thaliana (AT1G48760) UniProtKB/Swiss-Prot;Acc:Q9C744] MEPCSLFFFPCQNANEASPSHASSEPSLISATPTLPSFHPQKPHGSSPSFPPQPPRMASTRPAPAVAPSLVDTLFQRSLNDLVKSLRADPSAAGEAAAVARALSEIHREIRAPDAATKSVALQKLTYLSSLHFAPVGSHPLAFPAIELLASPHLPHKRLAYLAASLSLHPASLSLLPLATHQLHKDLSPSSAGAAHQQHVSALALQLLASPAAAAAPDLPVHLAHDLVPHLARGSPRAIAAAARVIAASPSAAVPVLFKPLAACLASPDPRASAAAAAAFCDLSAPPADATPFLPLAPDLYNLLTTSRSNWALIKVLKVFARLAPLEPRLAARIVDPVCQLLTRSAAMSLTFECIRTVLTALPAHDAAVRLAIGKAKEFVAADDDPNLRYLGLLALGMLGPAYASTVNDCRDAIAKSLGDADTNIRQEALHLIMGMVNENNVMDIAGMLISHVDKSDPEFANDILGAVLAACGHNVYEMVVDFDWYVSLLADMARTLHCAQGDEIGRQLVDVGLRVQDARPELVRSARTLLIDPALLGNHFLFPVLSAAAWVSGEYVDLTKDPVELVEALLQPRTSLLPISVRAVYIHAVFKVITWCFSVYVGRLGDSGTAMDVMFDRFVADKTISSESNAALGSGEELDIGASTVRKDPFSHESILYMINLIQTTVGPLINCNEVEVQERAHNLIGFVHLVREIQELNKRKVADGDKPSRLKELVMTMRTVFCQELGPVSVNAQMKVPPPDGLILNENLVELAGMVSEDDTTPSTSIFFYPCSRHSVDTRDEPTVSIGSSSLSEHRKRHGLFYLQTGKTEDETNDYPQANDSLPSSSNNSVNDDKSKTAELVFARKKSMATKSRPKVVKLDTEDFLSSMMPSANVPKEDPLSGALRDVLLGSDAKALSSQRASDINLEGMLNKTSSNESSSQQIENLGSHPASCSSSRTSKQQNHDKEKGTNPPESDGKETRKHRNSGRSGHRQGKHKHRERSSIQPDTIPQAPVIQDFLL >Sspon.02G0006780-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:14543401:14545105:-1 gene:Sspon.02G0006780-3D transcript:Sspon.02G0006780-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNWFRYQTTASLLSRPPAASSEHHGNSNNARSVGDPAVCILGPELAAALYFGGAMPARVDMHRRSISVLSSFRFLAIAATASSPMEAVKRVCSLSLATFFFLAAAAFARDTILPGEGISGNQTLVSRNGVFELGFFSPGPDIWHFLGVRIRNMPRNAGTKRFWFGDRINIEYLPSAALQLFGDRLYITENGTNLWWSSVAGAGDGPAPASVVAVLLDSGNLVVRDQANSSRVLWQSFDYPGDALLPGARLGLDGDTGNNVSLTFTDTNSWHKSSLSVDQRRRNGFVLNTDGCDVLRGAFPAWMVSSQGNGSSLVLLNSRPAAGAGIAEHLQLHLGQVSLRSWSSSAGCWVARWTFPSDCKSSAFFCGRFGACTSNGTCGCVDGFEPSKPSEWQREYFVDGCSRSLPLSCTADNGLTAEHDDSFVLLDNLQGLPYNSQNDTAEGDEGCREACLSKCYCVAYAYGDDSGCKLWYNYLYNVSFAATPPYSKVYVRLGSNTTTVRHCKGGSKGTIRTGGPTAPPRGLLQISPL >Sspon.01G0060720-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1D:82124906:82125142:1 gene:Sspon.01G0060720-1D transcript:Sspon.01G0060720-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGRLGALAAVEATGPGQGGGAMSMAVAGGRRWCRGRGRVSPEGPRPPSSSETLARSRRDGETAATGRWDHGEEDDK >Sspon.01G0056110-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1C:86403685:86403962:-1 gene:Sspon.01G0056110-1C transcript:Sspon.01G0056110-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MWGPPSVSFLSFFLSLSPLVCTDQDRAQAKLAFIVEAAGLGGSSPRGGRAGSSPRGGRARARAPSDEAWPRDSGARAGPRGGGTELLRELIH >Sspon.01G0045480-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:89814210:89814532:1 gene:Sspon.01G0045480-1B transcript:Sspon.01G0045480-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEAPTGWILMCKKPAGRPAEWPLLPSRPATTGNPYQLSPCAAPSAAAVIHIILCDDESGGRNLGKKQVEEDTRTDGSAAGGHAAS >Sspon.04G0014220-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:54970645:54971619:-1 gene:Sspon.04G0014220-2B transcript:Sspon.04G0014220-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding WIRSQHPYTVTIFLHHSTPVTSITISSSSSSSSSITSCSSRPRTTTAAARRGCSAPAAPSRATTTRIMTRTVETATGAPAALEATSWRWFPNLVVAGQRAERAPRAAREAGRRGPRTSRSRPSSSPGTAPTRCGRTSWRWPGGCDISESITAFARRRQRGVCVLSGAGTVTNVTLRQPASQGAVVALHGRFEILSLSGSFLPPPAPPEATGLTVYLAGGQGQVVGGSVVGALTAAGPVVIMAASFANAVYERLPLEEDDMLAAQAQADSAGILAGAQQAAQLAASGVDPSLFQGLPPNLLGNVQLPPEAAYGWNPGAAGGRPAPF >Sspon.02G0010720-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:29095233:29096117:-1 gene:Sspon.02G0010720-1A transcript:Sspon.02G0010720-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLECSIEHLENIGYHYYATLIQWRDNFMANKDAILALGFDEKFIRIWEYYFIYCAAGFKSRTLGNYQIVFSRPGNDKLGDNGDPYASFPAANQAS >Sspon.01G0041360-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:48716823:48717581:-1 gene:Sspon.01G0041360-1B transcript:Sspon.01G0041360-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding PMSPTSSPRQPGAGAGAGVARHAAFKVHRDSHSIHKATSPLSSGSGSTNSSVSSSSNAIITSSSGHHRPAPAPQPPRRQQQQQPVIIYTHSPKVIRTNPRDFMSIVQKLTGLDSHGRGTPPPAGRINSGPVVVAAPQDESSSSSSESCANNTHAAGPPPYADSQLMPPPPPPPLDAHFMAPDIPLLFAPDAAASDLQQLCAPRGLYGQFPPPVDAVAAIGPVMSTNMNSAASNGGAVFSPSMVETVRTFSGYN >Sspon.04G0018590-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:76183942:76187957:-1 gene:Sspon.04G0018590-2D transcript:Sspon.04G0018590-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRSGDGAAVAAVVLVCWLCFAAAGVGAIGANWGTQASHPLPPETVVRMLKDNGFQKVKLFDAEEGTMNALKKSGLEVMVGIPNDMLATMATSMKAAEKWVDTNVSSYLNDGVSVRYVAVGNEPFLETYNGSFLQTTFPAIRNIQGALIKAGLGNQVKVTCPLNADVYTSSTSKPSDGDFRTDIHDLMLTIVKFLSDNGGAFTVNIYPFISLYIDPNFPVDYAFFEGASSPIVDGSFTYTNMFDANHDTLIWALKKNGFENLPVIVGEIGWPTDGDRNANAQLAQRFNQGFMTHIASGRGTPMRPGPVDAYLFSLIDEDEKSIQPGNFERHWGIFTYDGLPKYQLNLGTSNSGGLVRSRGVKYLEKKWCVLKPSVSLNDPKLADNVGYACSMADCTSLGYKTSCGMLDTRGNISYAFNNYFQKNDQDDVACGFQNLATTTGQDPSTGTCRFGIMIEVDSAFSWRLQGHGNNLLLILLLCDMVTQMGNGATKHRQVRDSFSLSDLGLWVGTAP >Sspon.02G0056900-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2D:38475977:38478163:-1 gene:Sspon.02G0056900-1D transcript:Sspon.02G0056900-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MERSTDRFKRILSKAASHASPWLLQLLLCFARFSSESAKPNIYRASRFSFRLLSPPLSRRSLSLVLPTVRPTALGPTGTAAAAPVLLLQLLLLLLFLLLPPPSPSSPSLPFPPEAAAATAMPRCPLRPAHLRGWNPVAPSFSPSSAWAPGCCLRWGAYAPRIN >Sspon.06G0018620-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:100442552:100447707:1 gene:Sspon.06G0018620-1A transcript:Sspon.06G0018620-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding NLRSAFYKAEDILDDVEYYHLERQVLSHHDDELDSQSMPSTSRNWVKKLNSAQPKTLLLKRQVKGTTSDDPALSMSKMELNKVLEKIENIGLNYRNQNLGNMLSLGVEMGMMRRHRSSTEMRS >Sspon.07G0031030-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7C:15817563:15817955:1 gene:Sspon.07G0031030-1C transcript:Sspon.07G0031030-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding IITSPPSLAVDSDSAGLCHLPILHQSLANPVERSTNEGTGHPIALGSQVLSRPSAVPTTPRCLAHLHLHPPPNGICCWCVSEDMVTHHHPSIDRQVATPVIVKLFSFAVGDEQTPVHVGADYLLWTNCRS >Sspon.02G0027920-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:99895604:99902321:-1 gene:Sspon.02G0027920-2B transcript:Sspon.02G0027920-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLMLQVRHWTDVKGRTPASVLTVLLKKNHPGMIEYGGKVVVASTWKHYQAATDSAGKSAADVVSEGFWSKKLGREVSDIEAWVHSHRGTNPEDISSLNTEEASSCLEKYKTKAVELNGPDFDWLHSPVDTRALYQCSCGRRHGKWALFNGVIDDNEALPELRSSHANAAAARRQRQEEEERLRKEAHDGRMAKEYAQSMLEWGATGSKMWYSERQEFPAAALGT >Sspon.03G0038970-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3C:8626594:8629308:1 gene:Sspon.03G0038970-1C transcript:Sspon.03G0038970-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAFVGLLVGKLGEALLNEASSCGTSLLGHEVSTLKGLFGQIREAKDELESMQTYLKEAERFKDTDKTTDLFVQRIRGFAIEIEDVVDEFTYKLEDKHGGFAARTVKKIKHFRTWDQLARKLQDINARLQGAKQLNQQYAVPQMDRNAGVSAFHANQALNFTRDEDLVGITENKELLTKWLARDKEQKCKITTVWGMPGVGKTTLVAHVYKTIKVDFDAAAWITVSQSYDVQELLRKIARELGIKADVGNMEMGRVAEAIYDYLQGKKYILVLDDVWTADIWSEIRKVIPSNCIGRFIITSRNHEVSLLATSNSAIHLEPLEEDKSWELFCKSAFWDDRDRKCPSHLKDLAFNFVEKCEGLPIAIACVGSLLSGRGQTYAEWKKTYDELELQLVKNVMPRVETIIKVVERNDVGRLKCCRMHDIIRLVALNKAKEECFGKVYDSSTEFSIGCMRRISIVSGSLDQISPSDASHIRSLHVFDRYINIDLLRPILASSNLLSTLDLQGACINVLPSEVFNLFNLRYLGLRYTAIESLPETIGRLQNLEVLDALNAQLSSLPNNIVKLKKLRYLYACYVNHGGEIKPKSGVKVPGGIRHLMSLQALQCVEASSEILHEVGNLTELRTLGVCNVRSEHSGNLRNDINKMSHLVHLDISALGESEVLQLEGLRLPPSFSVLHLQGQLEKTSMPQVLSSWSHLSSLTKLHISFSNIDEESFSVLLVLRGLCHLALVKAFDGKKMHFTAGCFPKLRFLSIWDAPQLNQVQIEEGAMPSLVELNLETCPELKFLPQGIEHLVNLEELHLFETSEELREKLRQKGEPNEDKDDLMSIRHIRKVIW >Sspon.01G0056490-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1C:89915275:89916246:-1 gene:Sspon.01G0056490-1C transcript:Sspon.01G0056490-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTIPRDIDLKFLQALDLAGCTNVSQLPNGITKLRRLRLLNLRGTAITSAPRGFGKLEDLVAMCGFPTHSNDSTDGWCSLEELGALSKLKALEIRGLEKAPSGSMAAKAMLSNKHHLIGLELMFTSRLGENGEVKDDISEAEHERTEEVLANLCPPTCIEELEITAYFARGLPQWMRTMSAFGKLRRLLLEDYACCTQLPNGLGQLPVLDYFWMKRAPSIQRIGHELLLPSLGDDDGDGKDMTSGLTGAQDMMKQPHRISQGSVVAFPKLAKLGFQGMLRWTEWEWEQHVAAMPALKELTIWNCILQRLPPGLSYHALGLREL >Sspon.01G0035170-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:8746945:8748880:-1 gene:Sspon.01G0035170-1B transcript:Sspon.01G0035170-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSVGHSSDDVSDHLPYEGYRELKFGYESESEIHISDSDDDESNAVPHEARERANHMSSRDVQLQPMIANASGLSMLPSDNTVMTKPMQLLNTTRDTDSQSSDTKVAKSMDRAIGHGLDDINWSQIKASDNSIDMQSEAMPEQVSAELAKEKTFLVGIEEVGDSFEGVSGSPDVEAAKDFAASTNAGTSSSADTHVSRNNSMKNASASRGYLKSPRLSEIISARDTYSKTNEEVKTFLSQLSTARGFDGSLNEMTSSPRSSTQIDEYRHYDATGMAPFLDRNNSNLDPFDVNATSEDEGESSMERLKQQAEFNRKKMSMLYKELEAERSASAVAASEAMAMINRLQEEKASMHMEALQYLRMMEEQADHDQEAIEKLNDLLTEREKELLDLEAELEGYQSKLHDQPFDVGKFGATDGAVTFGVLDGSDFMRDTIFDFEDEKAKILESLHRLEETLGMPSANRLDIGDANDTLQNSLFSDHSMSSSQYIENSELESSPLPREDLISESISSQHNDEKESVKYVLRHSHEKDENESVEKQKNVTSCSHSDDGNIHTMKSVKHDISLLNARFKALEADQDFLKQILSSLNVSSDGVQCIQEITSHLRELRRIMADQRDMTVL >Sspon.01G0008940-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:27861314:27866662:-1 gene:Sspon.01G0008940-2B transcript:Sspon.01G0008940-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABC transporter I family member 6, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G10670) UniProtKB/Swiss-Prot;Acc:Q9CAF5] MAPPLAAVSSSSPLFSPSSSRPLRRRHAPPPSISFQTRGRSPAAAAAAESSGSPLLEVRGLTASVKETGQQILAGVDLTIRQGEIHAIMGKNGSGKSTLTKVLVGHPHYEVTGGTILFKGQDLVDMEPEDRSLAGLFMSFQAPIEIPGVSNFDFLLMAVNARREKSGLPALGPLEFYSVVSPKVDALKMDPKFLDRNVNEGFSGGERKRNEILQLSVIGADLALLDEIDSGLDVDALEDVANAVNELLTPQNSVLMITHYQRLLDLIKPSYVHIMENGKIIKTGDSSIATQINEGGFKSIALSSGYQDWFP >Sspon.03G0032030-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:32100847:32107375:-1 gene:Sspon.03G0032030-3D transcript:Sspon.03G0032030-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLAAAAAAVAACPSPIGLTRPLCRIEAHPRRRRRFRLEASSSASASASAPAPAAADEGGGAGPCPVVRFDMADFTVADRVNVGLHGRSDEMIFEATVCDPSSELYGSTVVLRQLKSLQAKRRGRRALEVLKKLARRQMMYHSYALQVHGYIAPCNAVEEEDVPLVLVHGYHGSHSLRHWLQLSDWLPTLEATLALDEEQVRRVGDDSVGGPAVTRQLRLIRILMRDLLIGVNYLHSHGLAHTELRLENVHVSPIDKHVKVGILGNAADFHDNDPSSSTVASNNDRRKMMIAFDMRCVGFIMAKMVLRELMDSSTFFKFKSFLTKGNDPSCLREFLLPTLCQNSTSGNIGLQANRQWGAGWNLLALLLATKPEKRISCVDALRHPFLCGPKWRINPSANLIRWSLGSTAVRMAEDYIYGHHQRRRLAYFVELMEVLNPSLRTQSWLHLLPGRWRLLYCTGRHIGLTLRQPSPRVLISDVYLTVSSESVDPIFSLTSDTGFRIMPESNWPHDKSGTEGVLSVTTSARIAAGRIYIKEHDSEESRVTSFRSSRRYLHGKWGKVSQMKELPSSLPTVSIAAMDEIDVSMSCDSSLNVNSAQNVLQEIRTQTPPEIFDLSKIVCGTYIDARLMILRGVNGSALLFTRANPTSDP >Sspon.05G0010320-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:31826195:31831129:-1 gene:Sspon.05G0010320-4D transcript:Sspon.05G0010320-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Signal recognition particle 19 kDa protein (SRP19) [Source: Projected from Oryza sativa (Os06g0342100)] MDGGGGDLRSTIKKWNVIYPVYLNSKKTVAEGRRIAAAKACPDPTCVEIADCCSHLKIPHAVELDKAYPRDFFQVGRVRVQLKKDDGSPVNPAIKTKKQLMIQIAELVPKHHGRTKKQEAATSSSAGGSSKNTKGGKKKK >Sspon.03G0005190-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:14926114:14927335:-1 gene:Sspon.03G0005190-1A transcript:Sspon.03G0005190-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKTPKVQFPEIDGKIIGLYFAANWYPKCEAFTPVLAAAYEQLKERGAGFEVVLVSCDEDRPSFERFHRTMPWPAVPFGDLRCKKRLSERFQVEGIPRLVVLAPDGAVVHPDAADLVHRYGERAFPFTAARVAELEADDQRKYASQTLEKLFSINGKEYVNGGNEQVPISSLVGKTVGLYFAANHCAPCIKFTAKLAAIYSILKGKAEDFEIVYVPMDKEEDGYLRSCSDMPWLALPYDGAPSRALARYFDVREIPTLVVVGPDGKTVTRDGRNLVNLYFDMAFPFTDAQIRLLQEAEDEAAKEYPQSLRHRGHRHELRIVSDKSGGGPYICCECEEQGLGWAYQCIACGYEIHLRCAQNAEGGSAGTA >Sspon.01G0011550-3D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:51184899:51188914:1 gene:Sspon.01G0011550-3D transcript:Sspon.01G0011550-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRSRYHSPLALLPLHLLLCLVSFHACCAASITTGTPDGSELWGYVEVRPKAHLFWWYYKSPQRTSTPSQPWPTVLWLQGGPGASGVGLGNFLEMGPLDVDLKPRNSTWLHKADLIFVVILADRDRLSWRAQDKPVGTGYSYVEDDSLFVTSDWQQAADMTTVVKALVKEVPALATSPLFLVAESYGGKYAATLGASIARAVRAGELNITLGGVALGDSWISPEDFTLSYTPLLLSVSRLDDNAGDGANKKAETAKEQIVAGQWAASHKSWVSLLEFIDTKSGNVTPGRLIRSASVGRYPLSKRTSTPAHWEWRLVGGVEFIGVELADGMELVALVEKAATDQA >Sspon.01G0040620-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1B:42260441:42260701:-1 gene:Sspon.01G0040620-1B transcript:Sspon.01G0040620-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding QQDRHWWQCVPSGCGGGSVQETEREVEIELELPSLLAIVSDNHNHAYRRMIHIIKAMVGQLVCPWLDSLPNVDASRRINRKVVLDV >Sspon.08G0003760-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:9702024:9706385:-1 gene:Sspon.08G0003760-4D transcript:Sspon.08G0003760-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MENAEGPSPDSGDRAAPVQDGDAQTTPGHNSRRPNLSLQIPARTLDTSMPTSTRVTISSSPSSTRVGLPPRPNSTRTKSSIKNIIPQNSFRARSSAQEGDRVVLLNPGTSSEGQQDNPTTARSFSFRKVISSLSAKRTHSLPVTPVGTTDKVASPANQLDTLPTTSNEGVEAKIRRSLSVPGNRKNRSLRRADSIGVIRVIPTTPRPVPVDATTSNDGIEETIDVPEDGGEDIPEEEAVCRICFVELNEGGETLKMECSCKGELALAHQDCAVKWFSIKGNKICDVCKQEVQNLPVTLLRIPTQTANRRVANAAQQRTAQQYRFWQDIPILVMVSMLAYFCFLEQLLVTDLQSRALAISLPFSCVLGLLSAMIASTMVSKSYLWAYASFQFAIVILFAHIFYNVLRVNPVLAVLLSSFTGFGIAISTNSLLVEYLRWRARRNHRLAQQAANAAQHQDSGNDRANDNDGAQQGQDPNSGNNAVLVKPSTGNMNEFGRHTLLPAQILQDL >Sspon.05G0029680-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5B:81889159:81891656:1 gene:Sspon.05G0029680-1B transcript:Sspon.05G0029680-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MANKTIREFSAPTTDNIRTGPAAAIDKNFELKPGLINMVQANQFCGKPHEDASAHLQHFLEICSTFTLLDVPRDAILLRLFPFSLLGRAKQWFYATKDKNTTWALCSTNFLAKFFPMGKTNALRGKITSFQQQHDESVPEAWERFQDYILECPHHGMESWLLMQTFYHGLITSARETMDAAAGGAFLSLTIPQATALVEKMASNQGWNEERTQTRKKGGGMHQLKEVDMLSAKLDLLMKKLDDKAGDKREVMNVYDSHMTCEECGDTGHSGNHCPEMLEDARYINNNNNYNRPQQNQGWNQQRPNYSGNYSAAAVPATNPGIPSQPEGLESANLVDMFDAGNYWSNPAVGVHNDLLPVKRGDPGRPVIPISIGMVDFPEALCDFGSSVNIMPRLADRTLSFPKGILKNMCVRVGTSYAPADFVVIETGSDERSPVILGRPFLNTSGAVIYASAAKINFNIKGRKETFSFKNKITQIPEQPQHEPRKRTNRRNKQNKNNQGWTESAKMKALCDTGSGVNIMATVTYQLLYGTMPLKPTYTQLQMADQTSRKVEDFQVIDMGDDEYDPPIILGRPFLGTVKAIIYIGTGEVHMHFPSEKVRRYFNDPNYIVEDSKQVRTRRRRRNRNQRRQTIKDVWADYEGEVIRPEDTQQETEAPSRVWKAKTVTQEEEALPEPPSTPPKSQDN >Sspon.02G0010290-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:23102079:23106195:-1 gene:Sspon.02G0010290-4D transcript:Sspon.02G0010290-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSARLLRRVLQEREAAPQDTDVADDDQPAEEEASPPRSSARNLFDLLDDGNGDGDEEDKEEDETEIIQALSYTEQKHSVQKKANVVPETNKKSKKKKKKSKAEPSSTKSKDAQSLDSILEAIEKNTIQQRSHQSDRAAGTELETNEATHGASSVLAIDPKHLKAENEMRRIFGSKVVDSFENQRNMPSSSRQVRGARRVGHNPRKTLLVSPPSYWLPWDKSISMDLLEMKNGLNYFRYTYDPSVSHVQELFEAAKAANDLNAIAAILGKYPYHPESLLTFAELFKYSGEHQSSADAVEKCLFALECAWHPLFSPLQGNYQLKFIHDTNKPLFTALFSHMKNLDRRGCHRSALEVCKFLLSPDSDDPKGALFCIDYFALRSQQYKWLEQFAEEYQCDNSLWLFPNFSFSLAIARFYLEHDAASEGSDDADKSTSLDLMKQALMLHPMVLRKIVDKAPLKDSSWTQILRNVFFGSAKPGSPSLEHMINIYVERHYIMWRFPELQNLLKEAALLVIESLKQDNREAQDWACVRKEAFLSEKNEYSHLLVSDFSDTTPSLPPEELRPFMVGPGMVHEMPPVEHEAGPERLRAPREVAGRNPAVVFLESLLPWVDYGDNHHDANDDNDDD >Sspon.08G0005020-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8D:12744506:12747771:-1 gene:Sspon.08G0005020-2D transcript:Sspon.08G0005020-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIFEPFRAIGYITAGGVPFSVQRLGTETFVTVSVGKAFHVYNCAKLNLVLAGPQLPKKIRAIACYKDYTFAAYGSDVAVFKRTDQVATWSRHEEKVNMLYLFGEYVLSADAKDSMAMENAYYLLVKIVHFVFSQLSRINKVESFLSGMWQKEQKG >Sspon.02G0059750-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2D:99672592:99674842:1 gene:Sspon.02G0059750-1D transcript:Sspon.02G0059750-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPCISHIDFSTVCSQPWPAPAGTVDLATTEIASIMHAVSIVLQRKALPHVSFSDLIDGFIAITSSPAGQGGSRHCQEDAGDNHTTTGYTFLDSASRPRPAPSRHGSSHLKLDFLLY >Sspon.04G0033590-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:62999621:63002904:-1 gene:Sspon.04G0033590-2D transcript:Sspon.04G0033590-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGICASSRRVQQEEDSDENVVYVMDEQGGGGADGDGHGRKVASLFSQKGKKGPNQDAVILCQGFGMEDGVFCGVFDGHGRCGQFISKLVRDYLPFMILSHRNALLLGGSDDDDDPVVFSDASPVASSAASSTDGSGRSSPAPAQLLEEWRETCANACNGRVFALKDEPSVLRVWLPNEDCPGLAMARSLGDYRLKRHGVVSEPEVTHRRVAPGDLFIILATDGVWDVLSNEEVVSIVCATPRKQHASKAVAEAAAQRWRTRYPASRVDDCSAVCLFLRDQQDWASSVAAAKAKAAAASR >Sspon.05G0035070-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:68507545:68508957:-1 gene:Sspon.05G0035070-1C transcript:Sspon.05G0035070-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHKQYLGVSSIGEDPCSCIVHHDGKIVARNRYWGSYCIETRQVDHRRSETPLPSLYGKALQASYLLESRGELLLANVLANHAHSTIASFVDGLSVSVHALQEAEGRKTHWVKRDGRSLADRVMFLGRPSSFAVDAARFGEISGGCAYFVIKSELYGGIWSKLAVKRCRVFKYSFLDDRSELIEQLPAEWDNDACMIYVGNLPRNVDSYRLGQFFSKYGKVAEARVMCYIKTKRSRGFGFVTLATVVDHDHEQEHDIAKLDGH >Sspon.03G0017320-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:75657262:75664394:-1 gene:Sspon.03G0017320-1T transcript:Sspon.03G0017320-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLACLCRCPQDEDYDDDKEREQFRINRQVASVDYSKSSESSPLNTERVAHMEGAQLIGRHEEPTIFTLRQLAEATKNFREDYLLGRGGFGCVYKATLTFAAWQVVAVKQLDLNGFQGNREFLVEVLMLNLLHHPNLVNLHGYCVDGDQRLLVYEYMPLGSLEDHLHDLAPDQEPLDWRTRMKIAAGAASGLEYLHDKANPPVIYRDIKPSNILLGEGYHAKLSDFGLAKLGPVGDKTHVTTRVMGTHGYCAPEYALTGQLTVKSDIYSFGVVFLELITGRRPQDSDRPPEEQDLVAWARPLFKDQKKFPKMADPLLRGRFPSRGLYQALAIAAMCLQEKAKNRPPIREVAAALSYLASQTYDRNNSAARRNRAGPSTSRVLDDQIGQDTTLANQHGAQMSMHGQTNHVMPEVKETSWSGNGSHRGGRGRVTPNGADRERALADANVWAEAWRRQEKASKMRL >Sspon.08G0004610-3D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8D:11241205:11241420:-1 gene:Sspon.08G0004610-3D transcript:Sspon.08G0004610-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNVVVSGVQLRRRLPAVEERLTRPRRLVRELPDLDAGRLRRLIRSGDLAPCFDPAEDAGDGLTEECPICFF >Sspon.02G0034170-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:10829743:10840645:-1 gene:Sspon.02G0034170-1B transcript:Sspon.02G0034170-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding FTDHRLTRTETPLQNLQTTLTLQNEFEEVTSYILRCYQMSYLYSGFHLVCWVFTAAIVSTVDYYVHKIIRADSVDIQGDKSLRKRHPRRVGRRFFSKYTMAAILRWFLMFQQGSAFMKANAQLYRWFQDDGALEEAMGGRNRSDPIDSAPSLSLSFPHGDRGMEKLLGKNHQHQSVQPE >Sspon.02G0023720-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:83947200:83951747:-1 gene:Sspon.02G0023720-3C transcript:Sspon.02G0023720-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPELRSSTRQARLRSKKLDDLQPGEPPAKPVPPAPQRAAKRAPTRAARGRKGAAGRGAPPAPKPRRKGVEIADLEADPACEDPPKAVKGLEVAAAAPKNLPLKKVAKVGVPKMDGESPEKIVGAEDESTATPVPERPRSNSPEYITDRKLGKGGFGQVYVGRRVSGGNARTGPDAYEVALKFEHRNSKGCNYGPPYEWQVMDMLGPSLWDVWNSMGQTMSANMAACIAVEGISILEKLHSKGFVHGDVKPENFLLGQPGSPDDKKLYLIDLGLAPFKQFLEIVTNMKFDEEPNYAKLISLFDGLIEAPASRPIRIDGAVKVGQKRGRLLANLEEDEQPKKKATHEAEDWIMEQWEKSYYITAIAGSTNGSSLVVMSKGTPYTQQSYKVSESFPYKWINKKWKEGFHVTSMATAGNRWGVVMSRNSGFSTQVVELDFLYPSEGIHRRWESGYRITSTAATNDQAAFILSMPKRKPMDETQETLRTSAFPSAHVKVSLQPMEAPCVL >Sspon.07G0019190-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:72275922:72277731:1 gene:Sspon.07G0019190-3D transcript:Sspon.07G0019190-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVTSALIIWKGLIVVTGSESPVVVVLSGSMEPGFKRRDILFLHMSKDPIRTGEIVVFNIDGREIPIVHRVIKVHERQDTAEVDILTKGDNNFGDDRLLYAHGQLWLQQHHIMGRAVGFLPYVGWVTIIMTEKPFIKYLLIGALGLLVITTK >Sspon.01G0050020-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:118066467:118076176:-1 gene:Sspon.01G0050020-1B transcript:Sspon.01G0050020-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGAEVAVVEDTAAVGEPTDVAAPAGGGEEAMRMEGWLYLIRSNRFGLQYSRKRYFVLEDAALRCFKSAPSSKREDPVRSAIIDSCIRVTDNGRESLHRSDFYIFTLYNASNHYDQLKLGARSSEEAARWIRCLMESALKSPRKDEHIVACSHRRWQAFRLSRRNSRMHSIDWTLFSSAHNDPMASDVIAPSPWTIFGCKNGLRLFTEANDGGFRGKYWDDHPAIMAVGVVDANSEAVFQTLMSLGQSRSEWDFCLREGKVVEHLDGHTDIIHKKLRGDWGMRKRDLLLRRYWRREDDGTYVILYHSVFHYKCRPERGYIRACLKSGGYVISPVNQGRQSVVKHMLAIDWKFWKSYLFTSSAKYITIRMLGRVAALREFFRAKNGNCACMEFSSGELTRDMGLPQGENQRINLEIQQENENRRLEGPTEGSVGGSNRHLSSTSSFIQLNDAADEFFDVPDESEYDQREVMFPSDESTHASDQRHAKLSTAAVFVKRLHDLAVQKRGYVDLQGAADADNGPCCYGYTLPKDSSYTVPSTWAMTDPTTFLIRGETYLHDRLKIKANSTLMQMVGADWIKSDKREDDLAGRPGGLVQKCAAQGGTKFFFVVNIQVPGSTTYSLALYYMMDTPLEKVPLLERFVNGDNAFRNSRFKLIPYISKGSWIVKQSVGRKACLVGQALEINYFRGNNYLEGNTYEELPEFLLGTCRLNYLDASKAVSIDEC >Sspon.08G0009340-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:40120062:40148372:-1 gene:Sspon.08G0009340-2C transcript:Sspon.08G0009340-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRRHFQRIRTASRLTVLSASHSPVHRAPRPALPLPLPLPHPLNPRLIRGPCVSDNRTGVVVWSTGRPSPRSPSLGSSSSSPRRHPSSWRRRKKAATYKYPAPPKPDSPTKPPKPTTAHPFSPWLDPRSSELREGIHGGGGSARGGPREDWRMHLYNAWLPPPVATAARGEAAAFAGAVRTAADAWLPGDPDSAYATLKWISVFDLFIKAKSDIAPEDVQALVKLGLEIFHASHNKFVVQIKWGGLLIRLLRKHGKRLSLDVQWRPLYDTLIRTHFKRNMGPEGWKVRKQHFETVTSLVRASRNFFPEGVAAEIWSEFRPLLENPWHNSAFEGVGFLRLFLPANSKNQDHFTFDWIKNCLDIWDSVTNCNFWDIQWASIIARCIKNSRSVNWDAFLPLLFTRYLNMFEVPISSGNGSYPFPVEVPGNTRFLFSSKTRTLSKAIAKSVVYLLKPRSLAFEYFEKLINFLEQFYHPSNGGRWTYSLERFLRYLVVYFEERLQHEQFGMAVEDHDQSYLGKEERSVFVKAILKLLDRGQYSKDSSLAETVSVATSVLSYIEPTLVLPFVAKNFQLALETTTATHQLKNAVTSVAYSGRALLLCSLCSSQFDDSSVVDSFSDLVIASLSNALLGMDANDPPKTIATMQLIGSIFSNLAAVGVSDDVPIFLQSTTLSNWLDEFFSRLFSVLQNLESSSPINEGYQSSFMLGTFLVEDSPYYFCMLEILLGKLSKPLFNQSLKRIAKFVNANILPGATSEVGLLCCACVHSYPEEASVYLVKPILETIMSSFEGTPTTGYVGRVVADKASTKAALSPALETAIDYYLRVLAISISYAGPVLLNYKEELNHIITSAFQAPSWKVNGAGDHLLRSLLGNLVSYYPIDQYKPFNCQPIGNIIEPWGCSKAHQDREAEMLNFPPKWHDPSHDELSFANELLQFHFQSALEDLLTICQTKVHSETGDEKEHLKITLLRIHSALHGVMSCLPEIRPSYTDGRLLEVESTVFIAGSAGSTVGSSEMREKAAEFVHIACRYLLKERTDDSILLALVVRVIDALVNYGSLEYQEWSSHVQAWKLESASIMEPPCNFIVPFHAQGKKRPRWALVDKANLHSTWRCSQSSYHRYRMNADVSPSVLMINLMNDLLDLSLHNYETVRSYAGRSLTKLLKRWPSLISNCVLTLAGNLRDPKAPEHLFVMYNIRFSGISRSFFKNLENKADKPGFLSLISQINALGFETNGLHWRSESGVYSQMLAKIAGHFLRNLKSQLPHSRMLAISALNTLLQGSLHKASAQDLKQSVGHPEDCNIPSTEEILNEIIREEGFMNETLNSLSHVHIISDNDGSSKASYGASSFQSGSDKAITYFYFDFSASWPRTPSWISLVSGHTFYSNFARIFKRLIQQCGMPVISSLQTTLEEFLISKERSRQCVAAEAMAGMLHSDIIGNLEGENNWLMVQLHKIMLAPSVESAPEWAACIRYAVTGKERAGTRAPVLRQKVLECLCSPLPHSMATSVLAKRYSFLSVALIEISPPKMSPAEKQYHVKILDELLDSMNHSSAQVREAIGIAMCVTTSNMRLSGSFGTACSPQDLCGDVSMIEQTGNEYWSERLTDGANELAVSIQNSTQSKQLESTSDSAEDSLDDREQAAAKRMETIFHFIIASLKSGRSSVLLDIIIGLVYPILSLQETSNKDLSLLAKSAFELLKWRILHRPFLETAITSILSSVSDPNWRTRSALLSYLRTFTYRHTFILSGSEKSQIWQTIENLLVDNQVREHAAGVLASLMKGIDEDLSKDFRERSYAQAQRIFVARRRNLKSSVATIHGAVLALTASVLSVPYDMPSWLPSHVTLLARFIREPSPIRSTVTKAVLRDTSSSSSYFA >Sspon.08G0020960-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:28535766:28536682:-1 gene:Sspon.08G0020960-1B transcript:Sspon.08G0020960-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ATRPARHRHVRQLTAKPGIKISSPPHHSPFSLLLPPIFSLFFLAQEEGDVGDFGAGKPTYHFSNSGVVSCISSSVPVSARVRPAIFAVWAGRAGIRGAAAPSGLRVEGASPLVCSPQSGKRGKGCCFGFLRPGSGRKEPWISVSMAPAL >Sspon.07G0001130-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:1604533:1604745:1 gene:Sspon.07G0001130-4D transcript:Sspon.07G0001130-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQRSVLVSALGVGVGVGLGLASAKWAVQPAPNGDSFGAGAADLEAELRRLVLDGRECDVTFDKFPYYLR >Sspon.08G0006680-3C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8C:20900970:20904284:1 gene:Sspon.08G0006680-3C transcript:Sspon.08G0006680-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPRLHFLVLTFPLQGHMAPALRLARRLLAAAPDALVTFSTTEVAHRRMFPFPAKPDDGGAKDSDEDDGRLEFLPFSDGTEAGYIRSTDPGSFNAYMASFHAAGARSFGQLVDALAARGRPVSRVVYTLMLPWAADVARERGVPSALYWIQPVAVFAIYHHYFHGHAGAVAEHRHDPSFVVELPGLAPQTVADLPSFLTDSTDPSDFFHSIFTTIRDLIETLDKESPKSTVLVNTCQELEVGALAAVGAQAHDVLPVGPVLPSGDDDDAGIFKPDDDAKYMEWLDAKPANSVVYVSFGSLATMAREHLDELLRGLEESGRPYLCVVRKDNKAALLADAETKVLDEDELKNGVVVEWCDQVRVLSHAAVGCFVTHCGWNSVLESVVAGVPMVCVPRMSDQRTNAQLVVREWRVGVRAQVDDGGVLRAPEVRRCIAEVMGNLEAAAEVRRMAAEWKRVVTKAMGKGGSSDRNLMAFVDGARSALPATASSSKASDGRGSEMSSRRPHFLVLTYPLQGHIAPALRLARRLIAVAPDVLVTFSTTTAAHSRMFPAAKSTDDVERGAPEEDGRLEFDPFSDGTELGYAGGSDDVAEFNAYMASFHTAGPRSVGQLVDALAARGRPVSRVVYTLMLPWAADVARERGVASALYWIQPVLVLAIYHHYFHGYAGVIAEQYRRGDPSLLVELPGLPPLAVRDLPTFPTESTDPGDYFHTVFLTFRDLFDTLDKETSNSKATVLVNSCEELEVGALAAIAPHDVLPIGPMLPTGDETSMFKQEDARYMEWLHSKPASSVVYVSFGSLATMTREQVEELLGGLEESSRPYLLVVRKDNRAMLAEAEVDQLGEHAKNGMVVEWCDQARVLSHPAVGCFVTHCGWNSVLESVASGVPMVGVPKVSEQSTNARLVKREWRVGVRAQADGGGVLRAAELRRCVEDVMGDGTAAAEVRRMAGDWKRVVAEAMGKGGSSYCHLMAFVDGERSSTPALE >Sspon.01G0043130-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:68911385:68913003:1 gene:Sspon.01G0043130-3D transcript:Sspon.01G0043130-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding QDGISSPIAAHILDFCDDGSGGDLFAAVNTASDMFTASSEDASSSTVTTPPAPCGHGDNVSLGAAAATAAASAFSPLPSLDSTLSALLEEEDPPVPDTELLLPIDYQFAAAAAGDEPQPEQQFAQVPVVLPVAAAAEHPGLQTQMSNTASELLHVASSGYTDECFAAAMAAGGGYVGLDEALCQQQQPQPGALPPAGMMGTAAQGCYFGKDTAAAQAGGGFFGTGCSGMMMSMMGMEEIGEYQRMMESASAALAATHSPDADSAAAAAAAQQMAFGGNAAGEMQMGGGSMSPGRLQAAAAEASSLEDANFKSARITVEERREKIHRYIKKRNERNFSKKIQYACRKTLADSRPRVRGRFAKNDDYGEPSRVMQNHEEYDHQAGMKAEDMLDPDALQAHINGMNSYMYNHTVESWM >Sspon.07G0000500-2T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:726426:729033:1 gene:Sspon.07G0000500-2T transcript:Sspon.07G0000500-2T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRNQFDLLGDVDNDDPAHLLAAAEKKAAAAPKPAPAPAPAKLPTKPPPPAQAVREERSYGAPPRDGPGRGGPGRGRGGRGGRTGPRRDFSDADANGFEGGYGGGGFGDGGVARGENGEGRQAERGRGPRQPYRGGGRRGGYTDGQSGDEFGRPRRAYERHSGTGRGYEMKREGAGRGNWGTPTDEGLAQDTVDAVNPEETAAVVEDEKKPEDAPQSEVEKDKENEEEEKEAEDKEMTLEEYEKVLEEKRKALLALKAEERKVEIDKELQSMQQLSVKKDADEVFIKLGSDKDLKKKENAEREERAKKSLSINEFLKPAEGERYYNSSSRGRGRGRGRGERGGFRGGYNGGYRGPAAAPAIEDQAQFPALA >Sspon.03G0030940-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:23716762:23717091:1 gene:Sspon.03G0030940-1B transcript:Sspon.03G0030940-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding EFKYEKGKYDVVQVNETAYAACDANSPILSYSRGHNFVFRLNQTGRFYFICSRGYCWNGMKVSVLVQPPAVAPAPHASSASAGAAAGVWSAALAALLGWAVVRPLPFRV >Sspon.02G0059670-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2D:97902851:97905232:1 gene:Sspon.02G0059670-1D transcript:Sspon.02G0059670-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQMERVGNLGGMILQDPSDDDPWLGLTLGPSKSVVEEFMRMNREEAHEEHNRKPPSKSSQMTLVDSSDDETWLQLKLSRAMKVIHCMYCGKPFYNRQVLGGHTVNCKSKKSFVLLVICNLISISIICNIFSFFEYKKLDGDLRLRAKVQLVMETFASVQRAKRPPGPDTARHLGAPAGSDQVRRRHQRHTEELERCVAELLQARLPAREQRRDLGQRGHLV >Sspon.05G0009910-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:30820195:30820950:-1 gene:Sspon.05G0009910-2D transcript:Sspon.05G0009910-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKDVVIEHGESSKAPLVPAPVAAGVSRAVSIADVFLRFLSIVATIASAISMGTTNETLPFFTQFIQFEAKYSDLPSFTFFVAANAVVCTYLVLSIPLSIVHIIRPRARYSRLILVFFDAAMLALLTAGASAAAAIVYLAHKGNVRANWFAICQQFDSFCERISGSLIGSFAAMVLLIVLIFLSAFALARRH >Sspon.05G0016030-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:59966367:59967312:-1 gene:Sspon.05G0016030-3C transcript:Sspon.05G0016030-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKDEHAPTQQPTSEELSIGRIKFKAFDLGGHRIARRVWKDYYAKVDAVVYMVDAADGTRFGESKTELGALLSDDALAGVPFLVLGNKIDIPQAAPEHALAYYLGLTGCTTGKGTVDLAGTGARPIEVFMCSVVRKMGYGEGFKWMSQYIK >Sspon.07G0007780-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:21019120:21023619:-1 gene:Sspon.07G0007780-1A transcript:Sspon.07G0007780-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLGFISLLLTVFQGSIQKTCIPEGWTFDMLPCKKPDEHAGRRHATKKHFVAVGTTLGRIGRRLLSAGVGSEHCHNKGKVPLLSLEATHQLHIFIFVMAITHVIFSCTTMLLGSVQIHQWKKWEDEIQKDATENVSKSDYTTMRLGFIMTHCPSNPKFDFYGYMVRALEADFRKVVGISWYLWIFVLLLAVGTKLEHIIAELVHDVAKKHTAIDGDVIVKPSDRHFWFGKPKIILYLIHFILFQNSFEIAFFFWILTTYGFDACIMESVGFIVPRLVMGVLIQLICSYVTLPLYAIVTQMGSRYKKEIFNEHVQKGVLVWAKTSKRTSGRGLKGFGAASKKESTNNGDSAEPSVKIEMAKAGEDAEVVGNIE >Sspon.05G0000620-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:2395553:2398776:-1 gene:Sspon.05G0000620-1A transcript:Sspon.05G0000620-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKKRKSGSEKPAKHRLPLGADADAVAEASKRRRSGAAKQHQADEEASIPPSLSAKILREARKQQQEEMRADDSSDEQRPSAAEAAAGPPTSSSFPVPAADGEEEDDDVDEFDGFDALSEYDGGEVEINEEDEKALAAFMSKDKAAERTLGDVILQKIREKDAEVATEGRPRVKLDNSIIELYKEVGKFLSRYTSGKIPKAFKRIPSLECWADVVQLTEPENWSPNAERDCTLREAVIIGSIIQKVSIPFLHASVALVKLAEMEYCGTTSYFIKLFLDKKYALPYRALDAVLAHFMRFLDDERIMPVIWHQSLLAFVERYKNELEKKDKEKLVRLLDHQKHYLVTPEIRRELRGSCNRGEKDTNLQTLSPISVITKPIEEDKWDVPQVPMEED >Sspon.02G0040740-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:74538148:74538783:-1 gene:Sspon.02G0040740-2C transcript:Sspon.02G0040740-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RHGRRRRDRGWRRRRKRRGRGHEHPHHGAGRHRQRELALHPGGLPGPGVAAVLRRAGAGRRRRPAHGEPLRRGGPRRVRPRLLPRARLRLLPLLQPRRALPQAARPHLPRPPPPPLRRRRRLFLLRRRGRPRPDRADQPRRAPRRDPRVRRGLRRRVRIPDDGAHQRGAGEARPARVRRRRGRRLGRRRAARHPRPLRHAHLHRHRLLRLHT >Sspon.05G0019490-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:75282058:75288284:1 gene:Sspon.05G0019490-1T transcript:Sspon.05G0019490-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCLGGDEMRVVMRPLLHLLVGMVLYGVAEEMIVPALVDKVTTALCPLSAPTSSASTPCSEAIYLTGLQSSNYVVILMDVSALASEKLIWTIGFTLMGQLTDEYGRKPILLLSASTSIIPFALLAWKNSRTTVHVYLVMRTFSYMIGQGTIIYLAVTYTADVVEPSKRAAAFGFLTGIFSASHALGNICSRFLPVSWIFQVSVVFSILSVLYMKLYLVETVQRAPSVPCQRLALSSLIVSLPQQRWNSMKENISIIKNSEILTRISVISFFYELGMMGISDVLLYYLKSVFGFDKDQFSEILMVVDIGSIFSQILVLPLLSRIIDGKGVLCISILTSIAYAFVYGVAWAWWVPYICSSLGVICVLAKPATYAIISGEVLSTDQGKAQGFVGTMQSMATLLAPLYMSPLTSYFISPEAPFSCRGFSFLMAGFFLAISLFFAWTLNPERKDTCSEVAVSGQPDEEAEQAPLLAN >Sspon.01G0024020-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:91363764:91370622:-1 gene:Sspon.01G0024020-1T transcript:Sspon.01G0024020-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARDSRGQKHSDHGLLYKGGLPSAEKSRVPRPTICRSGASSTARAMETAAAASIQGPGAAPWADMETDCLVHVFARLDLEDLAAAAPLVCRGWRRAAADPSLWRALDLRRDHVARFITWSALADAFERRYAVHRFSLAGFLRLCVTSRSRRSSPTRPARSTTFPSSKCASLHTSCHLDHTNALHVSHASMLRRLALPQLTAGDEARLPDLVARWPLLEHLELEAKTSSSFPALAAQLALHCPGFASLKTSGDVRPEDVAALARSLPRLRSLCLDRSYLPKEHLLAILAACRGLREFSARSCVGFDDKDEEVLRCGARIQRFDVGGSKSKLVEDFGRPPVSVEVASRGIFRAKFVATRSTAFPPALHTHMRLYARRCDRTRRACGHWCWRASAIMKIPLGVLTGVDRMLAGSRLAQWRRHRPEGTRRKCGVHLLMLDPSNTAEELWRVLVIVRGRLRCL >Sspon.02G0019470-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:92776750:92782270:1 gene:Sspon.02G0019470-2B transcript:Sspon.02G0019470-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMATDQVAWNQVQLHDFLRGPGELERLYGEIHLLKSLRHRAVMRLHASWVDPTRGRAAVNFVTELFTSGTLRQYRRRHPRASAAAILEGLAYLHARGIIHRDLKCDNIFVNGSQGQVKIGDLGLAAVVRRRGTPEFMAPEVYAEDYDERADVYSFGMCVLEMVTLEYPYSECVHPVHIYKKVTSGIKPAALYKVKDPEVRRFIDKCLAPASRRPSAAELLGDPFLQLEDDGLWYGDDTDYSAMYNYLHQPACLDHHHNAGSNGSTAINGVVSNGGAGDRWEDDSEDDDDDGSMFQGIDQLFNEHEDDELHVAGVDITIKGKRMEDGSIFLRLRVADKDGTGRVRNIYFPFDADADTALSVATEMVAELDITDHEVTHIAEMIDGAVGALLPHWRPGPGMDDDDDDYDGGGVGPGASGAADRCKNCRSSASSAGSLDDYMSAAAAARRGCRCAEMHGRFEEITFQADEEQVRFQGSGLSTVPETETETVSSRTSMALSCPVSTTA >Sspon.05G0008120-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:16495002:16499041:-1 gene:Sspon.05G0008120-3C transcript:Sspon.05G0008120-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPDDYWSAVLVVLVPVVVVAVCVIFIIMWCPWRRMRLFTLGGVTTLTRTLNYTCTMCQDSMEAGEKVRTLSCDHAFHCGGNARCERDIDQWLLTAEVMACPLCRKSPYPVLPWKQPPPSSPAPSPSASEPALQQLPRTSSTQDLEKALLLPANDETLAEASSSASAPPLAQTQLPRTSTPDLAGVLPLPADDEILPEASSSQLSSVRLELLNSSLCLPICSFTNFLSVGEVSNILLVSDNQFRGRYGQRERTESQSKSEREGERQDTRKGKRSKGAMADDSGSVRMAIGTAVGGASIALLTGASCWLGMKAYRDGRFSRGWRRVRVWALGGVTTLGQTLAYDCAMCGHSLDQREEVRTLSCGHVFHLRKGPKCGSNIDDWLRENRMRCPACCKPVHPVLPWKAPPTSAPPPAPVPLPAQSEPSSSTSDLEAQEPRRMELEQGPPRRLALDQGRPRYPPSMWFQDAL >Sspon.02G0042880-2D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2D:80647401:80647650:1 gene:Sspon.02G0042880-2D transcript:Sspon.02G0042880-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GQWDTSVPRPERSEGASESGRYRLPAGRLLVATPHNRFPIGDGWNSALAARKKTRPLGGRGRAKRGVTDAEAESMEANRQIT >Sspon.08G0024730-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:1589069:1589746:1 gene:Sspon.08G0024730-1P transcript:Sspon.08G0024730-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQIRMESIDDRDDSSCSLDTQGSSDVHQATQFVVEYIRFLCSHYESVAAIVSNKAASLGNMIRETASGLHETLVNISESFLNHGLRFLFLLNNSYFIRQELSYGTYYFSPQQNLAALFGKVEGYMESYLQVSWAPVLSCLLNPTPLCFGRKYSLLPKFESEFQKTYTTQKLWKVPDPELRKTLRKAIIEKIVPGYTNYIEDNKITTPKFSPQELKEMLEELFEG >Sspon.03G0042150-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:50872609:50873516:-1 gene:Sspon.03G0042150-1C transcript:Sspon.03G0042150-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding TRGAVASRHLAFGDSSRAPPLPSPLLRAPGALPWIWNQERNLPPTVSEFPSPSKNRRHANRHRRSAKITPPSSSSVPISSRAREAALLGSCIRAQASACRTTCWCTCRTTSDWT >Sspon.02G0012550-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:49694911:49698717:1 gene:Sspon.02G0012550-3D transcript:Sspon.02G0012550-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSPRLLFLLLACLCSCVAAAQTTDTLRQGQPLSGAATLVSTPEGVFEAGFFAPDPQQPSRQYLGIWYHGISPRTVVWVANRVAPATSALPSLALTATGELRVLDGTTANGTAAAPLLWSSNTSSRATPRGGYSAVLQDSGNLEVLSEDGMLWDSFSHPTDTILSGMRITLQTPGRGPKERMLFTSWASETDPSPGRYALGLDPNNSVQAYIWKDGNVTYWRSGQWNGVNFIGIPWRPLYMSGFTPSSDPVLGKYYTYTATNTSLQRFVVLPNGTDICYMVKKSSQEWETVWYQPSNECEYYATCGPNSLCTVLQDGKAKCTCLKGFHPKLQEQWDAGNWSQGCVRSPPLGCETNQSGDGFLPMGNIKWPDFSYWVSTVSDETGCRSVCLNNCSCGAYVYTATTGCLAWGNELIDMHELQTGQYTLNLKLPASELRVHHPIWKIATIISAIVLFVLAACLLLWWKRGRNIKDAVHRSWRSRHSSSRSQQNSAMLDISQSIRFDDDVEDGKSHELKVYSLDRIKAATSNFSDSNXXXXXXXX >Sspon.05G0039540-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:5D:72266351:72270676:1 gene:Sspon.05G0039540-1D transcript:Sspon.05G0039540-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEPSNSEIMEMLKNMTVELKTLKSDMAAMKDKTASSSAAAAGHGTDDHRDSEFFPRPKKWDFPRFDGTVDPLLFLNKCEAYFRQHRTMPEERVRTASYHLDDVAQLWYVQLQDDEGTPSWGHFKDLLTLRFGPALRAAPLFELSECRRTGTVDEYANRFQALLPRAGRLDEAQRVQLFTGGLLPPLSHAVRIHSPDTLNAAISLARQVELMESDRPAPPPPRPPPRGLLPPRPALAPAPAQPLLALPAPPAAAGQPRQEGPPLKRLSPAEQAERRRLGLCFNCNEPYSRGHNRVCRRIFYLNGVEIAAADEDPAGADQQEDAPVFSLRAVAGMPICDSLQVRVSLGTTTLVALLDTGSTHNFIAEDAARRTGLPIQPRPRLTATVANGERVTCPGVIRSAPVIIEGEPFSIDLFVMPLAGYDLVLGTQWMVTLGRVVWDFVSRTVSFLHQGRQVCWSDVADRRPPLLAATTTPSSLMEELLHSFEGVFAEPSGLPPQRARDHAIVLKPGSTPVAVRPYRYPAAHKDELERQCAAMLHQGIVRRSDSAFSSPVLLVKKPDGAWRFCVDYRALNALTVKDAFPIPVVDELLDELHGAQFFTKLDLRSGYHQVRMRPADVHKTAFRTHDGFYEFLVMPFGLCNAPATFQALMNEVLLPFLRRFVLVFFDDILIYSKTWADHLRHIRAVLAELHRHVLFVKRSKCIFGSPSVAYLGHIISAQGVAMDPAKVQAIHDWPAPRSARAVRGFLGLAGYYRKFVLDYGKIAAPLTALLKKEGFSWTEEAQSAFQALKAAVASAPVLAMPDFTKTFIVECDASTHGFGAVLLQDQHPIAYFSRPAAPRHQALAAYERELIGLVHAVRHWRPYLWGRAFLVRTDHYSLKFLLDQRLSTIPQHHWVGKLLGFNFSVEYKPGATNTVADALSRRDTSEEGAVLALSAPRFDFIDRLRQAQRQDPALVTLRDALAAGTRGAPWSLLDDMVAYAARLYIPPDSPLLLEVVAATHEDGHEGVQRTLHRLRRDFYFPAMRRVVQDFVRACATCQRNKSELLHPAGLLLPLPVPQAVWSDVGLDFVEALPRVGGKSVILTVVDRFSKYAHFIPLAHPYSAESVAQAFYSEIVRLHGIPQSLVSDRDPVFTSTFWRELMRLTGTKLHMTSAFHPQSDGQTEAANKVIVMYLRCFTGDRPRQWLRCLPWAEYVYNTAYQSSLKDTPFKVVYGRDPPSIRSYEPGETRVEAVAKTMAAREELLADVRYRLEQAQAVQKKFYDRLHRPVSYAVGDWVLLRLRQRAASSLPQATKGKLKPRFVGPYQVTECINPVAVRLALPPRAKLHDVFHVSLLKKFIGTPPSAPPPLPAIHHGAVAPEPEQAVRYRLVRGVRQVLIRWKGQSTAGATWEDVEDFQAKFPQFQLEDELVVEGGRDVMYGRTYRRRRDARRAAERAAKAGAT >Sspon.04G0001590-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:2537690:2543099:1 gene:Sspon.04G0001590-2B transcript:Sspon.04G0001590-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIESFFPVQEAAWLETIGPGAFERDICINSPTGSGKTLAYALPIVQMLCKRKVKEVFDAIAPVVGLSVGSAVGQSSIADEVSRLVRKPKQEFYPTIDEEYRESTRRKTLEAFKEGKIDVLIGTDRMARGIHIDGLRYVINYDMPPYVKTYIHRAGRTARAGESGSCFTFLRKHEVKTFDKMLKKADNASCSLHSLPEESIETLRPTFSSGEIMPAHRDVRIEGREGILPLSSLSYHPTVCRRLRIDNL >Sspon.05G0000410-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:1163455:1168963:-1 gene:Sspon.05G0000410-2D transcript:Sspon.05G0000410-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRRCAEAAPAPAPSLLQVLLALHCGVVFLQCSAASGMGSDVSVLMAFKRAIIEDPHSVLSDWTDADGNACDWRGVICSAPQGSVISLKLSNSSLKGFIAPELGQLGFLQELYLDHNLLFGTIPKLIGSLRNLRVLDLSVNRLTGPIPSELGGLSSVSVINFHSNGLTGSIPSELGKLQNLVELRLDRNRLKGPIPGSNTASFSPAANIGSTTHNGLCPSPRLYVGDFSYNFLVGKIPPCLKYLPRYYYMMYLLLFRSSFQGNCFQDEYSVQQRALQIFPRSLCLQPPLSTNSLFVIGGSTSQRGGTNGFKHPGHNKHDKMQQPIWLLVLEIATSVLLVVFVITGIVTASRSCKLKPSIRISSWNRSKSWSDEITVLIVHSSKEQLMNRLMCFPIILVDVSMLSPDSDMLKSLPKLSRQELEVACEDFSNIIGSSPETVVYKGTMKDGPEVSVISLCAFEGQWTSHHELFYQNKVLDLARLNHENIAKFLGYCRESDPFSRMLVFEYAPNGTLFEHLHYGEGGQLSWLRRMKIAIGIAQGLRYLHTELQPPFAISELNSNSVYVTEDFTPKLVDFECWKMMFTRQFSRHEKATGHLNSKSPFPGHGDSAEDKQADIQANTFAFGVILLEIISGRLPYCKDKGYLVDWATKYLQQPEEIGKLVDPELSSARSEDLAVLCSVVSRCIDPDPSKRPSMQIITGVLENGIDLSAAAILKESSLAWAELALAL >Sspon.07G0031340-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:22534998:22542224:-1 gene:Sspon.07G0031340-2D transcript:Sspon.07G0031340-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQNASFPHDSCYGGGVHGDDGGGGGGGGDWEIQSEVERCIGFLKKECSLWRDNEMQLCIRIARVHEPLGALGDAHLAVQKQEGFSRMLEEADKAVTAYHNKRTLPGASSPLQVKYADGELERLGMRLFKIVSSFTMLILARFLEFSLTCVILLLPEHKLFVGMLPKNVTDAEMTDLFSKYGNIKDLQILRGSQQTSKGMEHTSIFFFLTCLSTVDVAAGCAFLKYETKEQAVAAIEALNGTHKIEGSSVPLVVKWADTEKERQARKAQKVQFQSSNMLNANAMQQNSVFGALQMGYVPQYNGFGYQPQGTYGLMQYPPLSPVQNQAAFQNMVQPVNQGSSIRGANSELSPNSVPRSFNSAQLGSPYSPLPGFQYPGVYPGGPINHRPFSNSHSTTKVQNSNANSPSSSPSSNPGPQIEGPPGANLFIYHIPQEFGDHDLANAFHSFGRVLSAKVFVDKATGVSKCFGFVSYDSPASAQAAISVMNGYQLGGKKLKVQLKRDNSKH >Sspon.07G0002040-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:3548859:3549656:-1 gene:Sspon.07G0002040-3C transcript:Sspon.07G0002040-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTMALSSTAFAGKAVNVPSSLFGEARVTMRKTAAKAKPAAASGSPWYGPDRVLYLGPLSGEPPSYLTGEFPGDYGWDTAGLSADPETFAKNRELEVIHSRWAMLGALGCVFPELLARNGVKFGEAVWFKAGSQIFSEGGLDYLGNPSLIHAQSILAIWAVQVVLMGAVEGYRIAGGPLGEVVDPLYPGGSFDPLGLADDPEAFAELKVKELKNGRLAMFSMFGFFVQAIVTGKGPLENLADHLADPVNNNAWAYATNFVPGK >Sspon.06G0007880-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:39722337:39729038:-1 gene:Sspon.06G0007880-1A transcript:Sspon.06G0007880-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein ROOT INITIATION DEFECTIVE 3 [Source:Projected from Arabidopsis thaliana (AT3G49180) UniProtKB/Swiss-Prot;Acc:Q9M3B4] MKSVDIPELLVLDASSADVGVAAWDLRTGAEDIRLRPCASRPRLLASVADRFLACTQALPAGGNSGIIHFYYWDKPQVAVKSFPVEPIRALIADQEGSYLIGGGSNGNMFLWEVSSGELLHTWHAHYRAVRCLTLYDYLLVSGSEDGSIKVWDLITVLDEQSRLEAQKPYLYSFSQHALPVTDIACFLGAIAISSSEDRTCKVLSEGRMLRSISFPTSISSVALDPISHIFYAGGRDGKIYVTAMGVDLSFHGSDESSILGTLDDHRCQQVTRKFKHSRPVTNVLIVTPKRVNLPPLQPLRKVCSANGEAERGAVILPRPENDVPIPGNKTSIFMKRYLDELQ >Sspon.01G0026200-3C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1C:95159094:95163818:1 gene:Sspon.01G0026200-3C transcript:Sspon.01G0026200-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSAAFSSSSSALLRGAPPRTRRLLLAAPARAHSGAASRARGGLPRFHAPSLPSSKGEVIRIQGDEFWHMTRVLRLGINDRVELFNGAGGLAEGFIYKVDKGGSDVELLEDARIIPPQGIQWHVFAAFGTLKGGRADWLIEKCTELGASSVTPLLTERCHTIAENRVDRLQRLVLAAVKQCQRIHEMSLKPPIQISHLLPVVSQSKLAFLASAEAPPLLSVLPKSSTEQSGLLIIGPEGDFTEEEVHSLKSAGAAPVGLGPCRLRVETATISLLSALMLWSDAKHQ >Sspon.07G0010740-3C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8C:1225873:1227669:1 gene:Sspon.07G0010740-3C transcript:Sspon.07G0010740-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAYGLDVECPHIFDGTHFARWRNWMTCNFKFISPQLWWIVDVGLSFAIDKKNATQAQSKCLHLDSQATNVFYRSMDSSILCEIMDFKSAHEIWVFLNEKYGAISNDDEPKKEAHEDVEHDHNKVVVEDCSTSRSSDDKNDHITRSLDKKNDNATSDSSNDSTSCTLDGEDDGYESDASTSSSTSSHGNTKIHVGGFVVDCDDPNLELLYKLSRALKREMERVSKLENENSFLKTTCEQQKHLLYVTTCSHEELKLAHEKLSVAHDNLAQEHALLTNKLSNEEPKTSESSSFGSNDQSHITNPCDVGKKHVSTSCDDLLMPCSSQLNACSTSMSCETNLLKENNELKSEVKNLSNKLERCYNSKVTFENIMKTQRNLGDKSGLGFKKKMTKGERKQEKRIKRLLQKKLSHSMCYRCHEAGHLANGCPNIEKLKKMKEEERLKHVKCFKCRTWGHLTSMCPTKQLVKQQVKPQPKPLVEQDKTPQEQIKINHEDVSDLIIKKKKTRRGGRARHPMQIQDAKMMSKNQNEKRNLTHIKCFKCEDMGHFVSGCPTKLEKKAQATHERQGIEKQHMSKEEKVHSKRSCYLCRERGHMAHSCPL >Sspon.04G0013320-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:47825090:47827924:1 gene:Sspon.04G0013320-1A transcript:Sspon.04G0013320-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVERDVFGISGPTYLKSIDCNYLSLSIVKRNCEHNRRSVAASLVQAVYVLERDRQLNRQSVEALAPAWWEFFHFELIRKLIDDADMSIFGAIFEFNPPSSKEASVANAPRFVIAFRGTITEKDTISRDLSLDLHLVQNGLHRTSRFNIAMQAVQNVASVFPGSTIWLAGHSLGAGMAILTGRNMVKKGLLLESFLFNPPFVAAPIERIRDERVKHGFRIARSVITAGLTIAMKAKSEGNSQRSVAEESFSILSSWTPYLFVNPGDHICSEYIGYFQHRKNMEDLGAGFIEKLATQNSIGDLFFKALGWESEPLHLLPSADLIVNVSPSSDFKYAHGISQWWQPELNLQCSKYRYS >Sspon.02G0001790-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:5928482:5934781:-1 gene:Sspon.02G0001790-3C transcript:Sspon.02G0001790-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRRRHALAPVVEELISPMNSLDDGCLMHIFSFLSPIPDRYNTALVCHRWRFLACHPRLWLRVERPIRDVMEPGVYPNLEAAVSAARPGDTILIAAGGSHVACNIQIKKPICIIGGGDLPDDTVLTCSRGFDNALEFLSTCKIANLTIRAELGCCLLHRSGKLTIQECLLQCEQNPLDYLSFPIISTAIEYNSFPSLKEQGHGVTVVRTRIEGGAKAVRTNGTLALQRVRAIYSRSSVFFWFESKNDE >Sspon.05G0000680-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:2527082:2528449:1 gene:Sspon.05G0000680-1A transcript:Sspon.05G0000680-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLSHPHPHPAASTTAPRHQRTPVRRRRRASHIVASAILLPGGGSTGGPGDRRLPFTPPPMAPPGQLYQPFHPPPSPLPPSLRNLDLTQRLQILRDRMGLWHEYAPLISSLSRDGFNPSSIQEATGISGVEQNCLVVASQVRHSLLDDSGAAFPPDLLPYFDSYGGPDLLYELRFLNARQRADAARHAIDYRLEPKGVRELARAMKGFPRWRGEEAWEAFGRDSPADCLAYARFRQSREAIHVEDRIAELERALQVVETESGRARVELELERARKKAAGEEVVDEEEDPAASRPGVTVVRLQYGEVAEATTVFMLPVVRETDGVAAMESAPRRTKTDVDLGIVEVDKAWARWAVLPGWGPVAEAADDAVVIELADGRRLPWRTADEEPVLVIANRSKKEVVEQGIYVLEKEGRLVVERGKKLAEQGIAAAAAEVVIVVRPPRDEDDMISDEEWD >Sspon.03G0043830-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3C:84303095:84303387:1 gene:Sspon.03G0043830-1C transcript:Sspon.03G0043830-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LVRWGCQSANDANLKTIGISEWLAPKRSDAGLPSGCPCRCACHACCACQPTPTAAADVACLLAATSRTACCRALAHAHGDRPTPAGPLPPALASHA >Sspon.03G0021850-1P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3B:89335438:89340796:-1 gene:Sspon.03G0021850-1P transcript:Sspon.03G0021850-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRARGRAGRVGGKALGRLQRRGVGGHQRRQRTVAAPAAGAWCWIDRWGRGGSGRGRHRHSTGCCVLPLLVGVSLPLLLPPRAPHPHHLPLRSSREPPRPLAFALRPRWHHWVSGLDEVRPIGECLLLGCRFQERCCRCFVLLIAAAAELCLGGAVDWRLVCSSSSPALFAELNLRGCGDVGAGPPDVDEVVVGGGRGSARRDPAAVQRHALPCRHQLRRYLPCSPPHLQMVALMAIKTELEDPYNVLDNWDINSVDPCSWRMVTCSSDGYVSALGLPSQSLSGKLSPGIGNLTRLQSVLLQNNGISGPIPGTIGRLGMLKTLDMSDNQLTGSIPSSLGNLKNLNYLKLNNNSLSGVLPDSLASIDGFALVIAGNPMICGNKSGGNCSSVSLDPLSYPPDDLKNQYDPEVCLGHLKRYAFKELRAATNNFNSKNILGEGGYGIVYKGYLRDGSVVAVKRLKDYNAVGGEVQFQTEVEVISLAVHRNLLRLIGFCTTESERLLVYPYMPNGSVASQLREHINGKPALDWSRRKRIALGTARGLLYLHEQCDPKIIHRDVKASNVLLDEYFEAIVGDFGLAKLLDHRESHVTTAVRGTVGHIAPEYLSTGQSSEKTDVFGFGVLLVELITGQKALDFGRVANQKGGVLDWVKKLHQEKQLSMMVDKDLGSNYDRVELEEMVQ >Sspon.06G0004420-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:13941555:13947390:1 gene:Sspon.06G0004420-1A transcript:Sspon.06G0004420-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRQDKEKAVNVQVLLRCRPFSGDELRSNAPQVITCNDFQREVAVTQSIAGKQFDRVFTFDKVFGPTAKQKDLYDQAIIPIVNEVLEGFNCTIFAYGQTGTGKTYTMEGECRRAKANAGVIPRAVKQIFDTLERQNTEYSVKVTFLELYNEEITDLLAPEEISKAAFEDRQKKTLPLMEDGKGGVLVRGLEEEIVTNASEIFSLLERGSAKRRTAETLLNKQSSRSHSLFSITIHIKEATPEGEELIKCGKLNLVDLAGSENISRSGAKEGRAREAGEINKSLLTLGRVITALVEHLGHVPYRDSKLTRLLRDSLGGRTKTCIIATVSPSVHCLEETLSTLDYAHRAKSIKNRPEVNQKMMKSTLIKDLYGEIDRLKAEVYAAREKVGVYIPKDRYQQEENERKAMADQIEQMNASLEANQKLISDLQQKYDSELQHSADLSKKLEVTEATSELKKKILASKSLYTSHMEAFQNVVLLHKASANATLEDISSLSAASCCSLDQLLACVEGEAQNIFNDIHKLLTTHRSEMTHFTQELRESFRISLDRTKEMSTYIIGLFDKYVEETSKLQSHSNNTHEAQMKSIEDFQMAYEEQSKSEEQKLLADISSLVSKHITRQRELVGVRLSSLGDSARGNKAFLDEHTSAMEFVTKDAKRKWETFAEQAESDCKAGSSFSAAKHCRMETMLQECACTVDSAVQQWKKSHAAVNDLSRKQVAEVEALVRQNNEQHEVEVASSRAVAEEDASNSSKDIAQGIENLLEEARNSSSRVVSTVEAHFAELQKLQESHSSQAAGINMHADKAFQNSYKDYEPTGETPVRSEPNVPSKGSIESLRAMPMETLMNEFRENHPYESESSKESKLTQIPRLPLATIN >Sspon.04G0012960-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:43235940:43237208:1 gene:Sspon.04G0012960-2B transcript:Sspon.04G0012960-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPTKGEVGATLSSSTDADGASRSKARDAARGAVELPLHLTEKILCCINPLASARLATVCKSWAATISERLARPVPHLFVYLPADNKSDRRGRVVSVPIAYDGDDAGGAAPPVPAVIPNRVLLADTNGLACIGAMPSGHLAFASWWSKILVLINPITGARQSVQVEEPRRSLVLAAGGGDSFISVGTDEHILWRRAGGGDEWSRWTVPAAAAHRIVNIISAAVCSGCFYILDDDGHVSVIDVAAPPPLRMEKLPVASLFDGDHAVASPAVLNPATKGHLLESDGEVLFVRPVIAFKDELFGAGAGTPFCNHDAAVFLAVLGFEVYRLDLKDRRWAKVEKLPGDRAIFVSPGSSFVVRSSETAGCRSNCIYFVGNKWYCSLCNRDAGKAWGVYSMEDREVLFEHAVTEPGPCSAATWFLPRVV >Sspon.02G0023130-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:76686944:76692710:1 gene:Sspon.02G0023130-2B transcript:Sspon.02G0023130-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DGGQGVQPGRRAQGGRRSRTRHSLLPPSPFCLPFPALAVASWASCRGCRGAAPGAAALLENIPIEEVFENLRCSPQGLSTEQAEQRLAIFGQNKLEEKQESKIFKFLGFMWNPLSWVMEAAAVMAIALANGGNKPPDWQDFVGIITLLIINSTISFIEENNAGNAAAALMARLAPKAKSALTGESLPVTKGPGDGVYSGSTCKQGEIEAVVIATGVHTFFGKAAHLVDSTNQILHLAHNKSDIEKRVRAVIDKFAERGLRALGVAYQEVPDGRKESPGGPWQFIGLLPLFDPRAMIVQKLSEGHSILLAIGKETARRLGMGTNMYPSSALLGQNKDESIASLPIDELIEQADGFAGVFPEHKYEIVKRLQARKHICGMTGDGVNDAPALKKADIGIAVADSTDAARSASDIVLTEPGLSVIISAVLTSRAIFQRMKNYTIYAVSITIRIVLGFMLLALIWKFDFPPFMVLIIAILNDGTIMTISKDRVKPSPQPDSWKLAEIFATGVVLGGYLAMMTVIFFWAAYKTDFFPRLFHVESLEKTAQDDFQKLASAVYLQVSTISQALIFVTRSRSWSFVERPGFLLVFAFLVAQLIATLIAVYANWAFAAIKGIGWGWAGVIWLYNLVFYFPLDIIKFLIRYALSGRAWNLVLEQRIAFTSKKNFGTEERERKWAHAQRTLHGLQPPEASIFENKTTFNELNQLAEEARRRAEMARLREVSTLKGKMESVVKQKGLDIETIQQSYTV >Sspon.03G0017510-4D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3D:41992207:41997187:1 gene:Sspon.03G0017510-4D transcript:Sspon.03G0017510-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLVDWLYGVLASLGLWQKEAKILFLGLDNAGKTTLLHMLKDERLVQHQPTQYPTSEELSIGRIKFKAFDLGGHQIARRVWKDYYAKCFHVLFLCGFALEFWLRQSSPPIFAGKHQILVSTLLQLEQWRLAMAEDCVNLGRNRLHIGSGGQSPRQRLCSPLHVQRVDAVVYLVDAADKERFAESKKELDALLADDSLANVPFLILGNKIDIPYAASEEELRYYLGLSNFTTGKGNVNLADSNVRPLEIFMCSVVRKMGYGEGFKWMSQYIK >Sspon.02G0033700-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:8342960:8351476:1 gene:Sspon.02G0033700-1B transcript:Sspon.02G0033700-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DGSEGLSFAFRITDAATPVPNEGQGWRRNSNVPQEGYGTASVIPDQGIFLAASVYRPVFEFMNKIGLMLPQKYSQLGSDGLLAFVNNFLKEHFLPAIFVDYRKCVQQAISSPAAFRPRVHATSAYSSSVELGRPVLQGLLAVDIIAKEVLGWVQLMPNYATELVEYVRTFLERAHERCRASYM >Sspon.02G0017410-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:53927553:53932879:-1 gene:Sspon.02G0017410-2C transcript:Sspon.02G0017410-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGASDLDRQIEQLKRCEPLTEAEVKALCLKAMEILVEESNVQRVDAPVTICGDIHGQFYDMKELFKVGGDCPKTNYLFLGDFVDRGFYSVETFLLLLALKVRYPDRITLIRGNHESRQITQVYGFYDECLRKYGSVNIRVIDRKQEVPHDGAMCDLLWSDPEDAVDGWGLSPRGAGFLFGGNVVSSFNHSNNIDYICRAHQLVMEGYKWMFDNKIVTVWSAPNYCYRCGNVAAILELDENLNKQFRVFEAAPHESRGVPAKRPAPDYFL >Sspon.08G0013400-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:38210360:38215625:1 gene:Sspon.08G0013400-1T transcript:Sspon.08G0013400-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADESWRVPSIVQELAATVHEPPSRYLIPEQDRRDDQLAGAEMPDPVPTIDLQRLLASDPADEEATKLRSALLSWGFFLVTNHGIATSVMDALMAASREFFRKPLEEKQVYSNLIEGKQWQQEGYGNDPVKTQDQIQDWSDRLHLTVEPEDERKLDRWPGHPESFRGLVHEYTLGCTRVKDGILRAMARLLDLDDDSIIDQFGDQFGDKGTTYARFNYYPACPRPDLVLGIRPHNDIRVLTLLLADEHVGGLQFQRDGTWYCVPPVHGRALLVNVGVSLEIMTNGIFKSPVHRVVTNSEKERMSLAMFYATDLEKEIEPIAELLDGKPPARYKKIKCRDLVAAHIEYFSKRERVVEYFREMPDPVPTIDLQRLLASDDSADEEATKLRSALLSWGFFWVTNHGIATSVMDALMAASREFFRKPLEEKQVYSNLLEGKQWQLEGYGNDPVKTQDQILDWCDRLHLRVQPEDERNLDRWPGHPECFRISETTDSLNKAWFTTEMKCRGLLHEYTLGCKRVKDGILRAMARLLELDDDDGITDQFGDTGSTTYARFNYYPACQRPELVLGIRPHSDVCVLTLLLADEHVGGLQFQRDGTWYCVPPVRDRALLVNVGVSLEIMSNGIFKGPVHRVVTNSEKERMSLAMFYGTDFEKEIEPIAELVDEKRPARYKKIKFRDFVAAHYEYFSKRERVIESLKI >Sspon.06G0016170-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:52997484:53002249:1 gene:Sspon.06G0016170-3C transcript:Sspon.06G0016170-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALNEEYTYAELEKEPYWPFEKLRISITGAGGFIASHIARRLKSEGHYIIASDWKKNEHMSEDMFCHEFHLVDLRVMDNCLKVTTGVDHVFNLAADMGGMGFIQSNHSVIMYNNTMISFNMLEAARINGVKRFFYASSACIYPEFKQLETVVSLKESDAWPAEPQDAYGLEKLATEELCKHYTKDFGIECRVGRFHNIYGPFGTWKGGREKAPAAFCRKALTSTGRFEMWGDGLQTRSFTFIDECVEGVLRLTKSDFREPVNIGSDEMVSMNEMAEIVLSFENKQLPIHHIPGPEGVRGRNSDNTLIKEKLGWAPTMRLKDGLRITYFWIKEQLEKEKAEGMDLSVYGSSKVVQTQAPVQLGSLRAADGKE >Sspon.07G0009280-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:26127153:26129922:1 gene:Sspon.07G0009280-1A transcript:Sspon.07G0009280-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQRRWVAAAVFMWLLVLCSGRELKTKHAPIYDPALARTLAEYTSAVSSSDLLDAVIFHIHGFEVIELIVDVKNCLQAYVGFARDMNAVIVVFRGTQENSIQNWIEDLFWKQLDLDYPGMPEAKVHSGFYSAYHNTTMRDGVVRGIKSTRELYGDVPIMVTGHSMGGAMASFCALDLVVNLGFKDVTLMTFGQPRIGNAIFASNFKRYLPNAIRVTNEHDIVPHLPPYYHYFPQKTYHHFPRE >Sspon.04G0011540-1P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6A:74417841:74421780:-1 gene:Sspon.04G0011540-1P transcript:Sspon.04G0011540-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding FRAERVALRGLEEHATFADADEGGAAVAPAPSKILRVDAVRSCEDLLVELTEQLREVDQEIQSMAAPSSVEQNGIKKHDNHQSLCNVHHLNSNIDTPRPPIASTELQPGNLTNLVNNPEKGYFKQCPIESTVDLDKPLETDRRFYNQPREYAINATSTRASEKDPSNVDSNMSGSSTSCNATLQGNIAEPLSKKSMVDETTVVQAQPCKGKSPNPPDYNNVIKRPNDDGISYQSSKDPRHESKTLQATMTPAFDRTNAPEASDLPEPIATEDTTMVQQLHSCTTHLNHLQHDSGQKVNQDLDGTFNIQPVEKYSIHEESTLRATSIIPSVSCNGAMHEDKSKTNHPSGNSTEHPAIFEEQNCDKSQLEVSCADKNKHALHDDANMLGNNKVICGGLNVQGAPESHSCNLTMHDKVLEAHCLSDQNIGKSTNDIQKRSCNISVSISCQDGYRKTAKQDSNKQTIGKTVAETSHAHSSDDSISGFAAACLLSMSGKIPLCSQDQEANDSLRVSPEQDLCRKCGKGGQLLQCSSCLLSAHDSCFGSSLTFEDHGQLYCPVCICAKATEEYKKAKKTYIEARKNLAAFLGAEQLLKQHEQQTRLLPRAIYSEGQLNGHNNSSKKQTSVSETTIDDLAHQGEESNRQWKKQKINVTSDACNEVVMEKASSAGNSDVAPMNASVLQNKSNQLQDAEQDHVENTEAHEGSSSQTDAVLLQI >Sspon.02G0010990-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:28430961:28434199:-1 gene:Sspon.02G0010990-2B transcript:Sspon.02G0010990-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPKKTMRALQYDKYGGGAEGLKHVDVPVPSPNKGEVLLKLEAASINPIDWKIQKGMVRPFLPRKFPFIPVGDISGEVVELGSGVTHFKPGDKVISISFATGGGLAEYAVAPASHTVARPPEVSAAEGASLPTAASTALQQLKAAGVSSFDGGSGSGADSNGPKNVLVTAASGGVGHYAVQLAKLAGLHVTATCGARNLGLVAGLGADEVLDYKTPEGAKLRSPSGTRYDAVAHCATGTPWSVFAPVLADRATVVDVTPGIAAAAKSFLQKVTFAKKRLVPLVLIPKKEEMEWLVDMTRQGKLKTVIDSRYPLSRAQEAWAKSMEGHATGKIVVEMGGAE >Sspon.05G0015270-3T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:52820591:52824275:1 gene:Sspon.05G0015270-3T transcript:Sspon.05G0015270-3T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAREALEAADGHSYGGSMTAAVMAICLMAASCGLVFGYHVGVAGGVTQMESFLNKFFPEVVSGMKSAKRDAYCMYDNQLLTAFTSSMYIGSSLSSLVASRVTRRVGRQSVMLIGGVLFLVGSIINAGAVSVGMLIMGQMLLGFGVGFTTQAAPLYLAETSPPRWRGAFTIAYHIFVCIGSVIANMVNYLTNSMPYWGWRISLGVAAVPAIIVIVGALLVTDSPSSLVLRGEPDKARASLQRIRGSDANIEAEFKDIVCAVEEACRNEQGAFKRLCNRGYRPYAVMMVAIPVFFQFTGMIVVFVFAPVLFRTVGFSSQKAILGSAIVNLVTLCAVVTSTFVVDRYGRRSLFLIGGISMILFQVAVSWILAEHLGKHNAVTMDRSYAMAVLVLMCLYTFSLGLSWDSLKWVILSEIHPVETRSVGQAISMTIAFILYFVQAQVFTTLLCNLKFGIFLFFAGWVLAMTAFITVFLPETKGVPLEAMRAVWARH >Sspon.05G0028860-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:68334768:68336512:-1 gene:Sspon.05G0028860-3D transcript:Sspon.05G0028860-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding FCPAYPSRCGQLKLTTCSAKCRSGAARAVRNRRPRRATTTSSVLLPDDALLHVLSLLPSEDAVRTCVLARRWRHLWRFTAALRIARGDDKRWSVWWLHRFVTNFLRLRDSLSPLDVCDIFCRPFVSFRGGHAAQALCFKVGEEWVRRVVSEYRARVLKVWTYTNENMLEPADAPLVSQHLTRVELGEVELGSGILDLSGCLALEHLELISCRIQGGRMLFPSVKHLRITHSSFCIIPRISISAPSLVSLQLSENWGYTPLLESMPSLAKAFVRFDDKCCDKCPRNYYGDCGDKDCDGCYGNLYDEDDEDNQCVLLGGLSGTTNLELIGLPEVFVCRKDFKWRPIFRKLKILLLNEWCVADDFSLLIYFLQHSPILEKITLQLSKMPEPVVKANGCHSPREGSM >Sspon.04G0005900-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:80915751:80919898:1 gene:Sspon.04G0005900-2P transcript:Sspon.04G0005900-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLEALCTTSSSDVLVYDTFNAAAACAASAGAGSFLFGNAAPVEVPAAAAAASQANGENGVQQVRRKRRRRQRSTKSAEDAESQRMTHIAVERNRRRQMNEYLAALRELMPNSYVHRSDQASVVSGAIDFVKELEQQLQSLEAQKLALNRQLQLQRSDTAAEREAAQPAPGRDDPASAGNSGAGATGDAAARSEGLAPEPQPPPFARFFRYPQYAWRHAAAREDAVVVGAEEASRASAVADVEVGVVVDAHASLRVMASRRPGQLLRMVAGMQALGLAVLHLNVATAPDATALYTFSLKVEEGCGLATAEDIAAAVHHVLCIIDAEATAQRLLAAGAAGQPGLEQSS >Sspon.01G0005910-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:14240146:14241782:1 gene:Sspon.01G0005910-1T transcript:Sspon.01G0005910-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGLRRCLPFGGGGCCCGEGVGRGGSVADGLVWDVALKAHASGDYSIAVAQANESLEDQAQVLAAPAATLVGVFDGHGGPEAARFVNRRLFSHIQGFAAENGGLSAEVLQKAFGATEEEFIGLVQKSWPSQPRIVSVGSCCLVGAIEGGTLYVANLGDSRAVLGRRGDRGNKRVVAERLSQDHNVADEDVRREVAEMHPDEPHIVLNSHGVWRIKGIIQVSRSIGDVYLKKPDICRNNPALQQSLCPFPLRRPVMSAVPSITTRELRPGDRFLIFASDGLWEQLSDEAAVGVVASSPRKGVAMRLVRAAQLEAARKKEVKYDKIRTIEKGQRRHFHDDITVVVLFLDKCRGAARSGPEDIDGTYAPLDVFSYSPAGDHEDPTKPVLRR >Sspon.02G0023470-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2B:79193496:79193952:-1 gene:Sspon.02G0023470-2B transcript:Sspon.02G0023470-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPASFLASIGPVQTPTTIRSLAKSAEACRPPIPRYPEEQTHLEKAAVAVMEAVEGAAEDHRAVRRSSRLLLLVSSSRRRSIDHFPPLFVPLFSLYGGEEGRAGMACSARRCNIDGGTDSTDISIMTRHRGFITEV >Sspon.02G0029010-2D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2D:99670861:99671127:1 gene:Sspon.02G0029010-2D transcript:Sspon.02G0029010-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPNIDLSSASATRAQPSPRCLSEESSGGPCGMRRLISGSDDHCAQLPLPAPRRRPETDGPTATGAAAAPETRNNPTPNQLYTTPPAA >Sspon.02G0007540-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:24517138:24523046:1 gene:Sspon.02G0007540-3C transcript:Sspon.02G0007540-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDAVLLAGAGAAAAAAPPAAAAGAAVMGVFKYNFAAQFLSRVIPFVFNIWFVRQLGADDGAVSAFALQLPLFMNCILFLSREGFRRACLRNDSQSDVLTDEAMLMVAWMVIPMSILITSIGSILVLSLKKVKLSDPYAKAVLIIGFACILELLAEPLYIISQKKKYYNIRVYVEPTATLFRCLTTFILIKGHIKVDKLVLVSLSQVVYGACIFFGYWSYFLMFTDTKPSDLFPLRLSNLMDYDKQLLHMCMLFTGQAFRKLILQEGEKFVLVSFDTPYNQAAYGIVDKLGSLVVRIVFLPFEESSYATFARLAGESPRNISNIEDSLLGALKLIMLIGLVIISFGPSYSYTLLKLLYGERHSDGEAPVILRYYCLYIISLAMNGTSEAFLHAVANENQLKQSNDMLLLFSVIYIILNVVLIKSSGAVGLIAANSINMLLRITYSAIFIKDYFKGSFAFRRCMPAGWGILLISGLTTVFSERVFLNKTRFKQTVPIHIAVGIMCLGIASFEIYRGEKQFLRQIIRTLKHHDKTQ >Sspon.02G0015640-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:42601699:42605380:-1 gene:Sspon.02G0015640-1A transcript:Sspon.02G0015640-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTSGTCPSHHLSSSSILTKRTIAFALYALAPLALLHYLLSLPPHLPPPPTTGAASSPSPPQQAEAPAARCDYSDGEWVRTAAGPRYNGSSCGETIKAGQNCEAHGRPDTGYIYWRWRPRGCALPPFDPAEFLSAVRGRHVAFVGDSLARNQCESLVCLLTSAFPAQLVRGAGGGDGDGDGDDLRKFRRWAFPSHNATVSVFWSPFLVNGTERPKSPAPAGGLDHNRIYFDQPDERWAAAVPGFDVVVLSAGQWYLNSAMFYDRCAVIGCHRCPERNRTETGFFGVFRLAVRNALREVITRVAATSSSSPVRPRLAVVTTFSPAHFEGDWDSPTACVRTEPYARGEREMEYMDLEMLRAGVEEAAAAGADARARGAGLAVEALQVTRLAAMRPDGHPGLYTRAFPFAEGAKDRMPNDCVHWCLPGPIDTWNEILLQVVKRWADGVGADASVGVAPIEEKLIQHRLRWFGHVQRRPPEAPVRSGVLKRADNVKSGRGRPKLTWNKSVKRDLKEWNISKDLAMDRSAWRLAINVPEP >Sspon.03G0026490-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:87958:88386:1 gene:Sspon.03G0026490-1P transcript:Sspon.03G0026490-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAERRKLSGYLRAVVSVPGGDVAAAASIPPLSPCTLSACGAVALAPLPDDVGTQPRWPRWRAPGVVRLLRTLVANRCVEVEGTLLRVVTRRAGEGDGDGDGAEVEARAVLLIDVYLPVAAWSGWQFPRSRSAAAAVFKHV >Sspon.02G0050070-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:48579068:48584269:-1 gene:Sspon.02G0050070-1C transcript:Sspon.02G0050070-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LDFSKTGDPCGGDLVCSGDVAFRNTTIIELTKPISYSRGVVWYATAVPLWNAVTGEVASFTTTFSFEIMQIRDSLCGGDGMAFFLACYTRDSVLRGTYGAGLLSLFPLRNNGSAIDLTPVTGDNRVVAVEFDTVKNDWDDSSQHVGIDVNSIKSLSYTSTSSPETNSNNLTSGIRMNATVHYDNSSKLLAADLWIGHTLYRVNAAVDLRKELPEEVAVGFSAGPIDASSRNGDSDSDGHAGCTNSTTTSRQLGALDETATQVGLLVWLWRKPRRTGEANGNADFEMGMIGPRRYLYHELAAATDNFAEANKLGEGGFGSVYKIILGLGSALRYLHLDWEQCVVHGDIKPSNIMLDSSYNTKLGDFGLARLVDHGIGPQTTSIIRGSAGYMDPEFVNMRRRSNESDVYSFGIVLLEIISGRLPVNRQEPAFMLPKWVLGLHNQNLILEAADARLRTGDGASERQIERALVVGLWCAHPDPVERPSMAQVMHVLQSEDARLPALSPHMYRQVVPPSFGVGESGVDIKTDAFGSRNHYFDRRSTGLGAFRHVRRQHSALA >Sspon.01G0031260-1P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1D:107418765:107419205:1 gene:Sspon.01G0031260-1P transcript:Sspon.01G0031260-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKHPRDSAAGAVPLSLALSLGGGVAAEHGSSKRHRRAAAGGGDGGGEFVCKTCSRAFGSFQALGGHRTSHLRGRHGLALGIPAPAPAKDAKETATKQAAPASHLCHVCGLGFEMGQALGGHMRRHREEAAATTAQAPPVLLQLFV >Sspon.06G0010750-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:58398045:58403896:-1 gene:Sspon.06G0010750-1A transcript:Sspon.06G0010750-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAGPAAVLAVVVLACALGARADGSDHKYKEGDHVPLYANKVGPFHNPSETYRYYDLPFCAPEHPKDKKEALGEVLNGDRLVDAPYELDFKEDRNSKVLCKKVLSKEQVAKLRDAVAKDYYFQMYYDDLPLWGFLGKLDKDKEQGDAKYLLFKHIHFDIMYNDNRVIEINVQTDPNVAVDITEDKEVPIEFSYSVTWKKTDIPFEKRMNKYSKSSSMPQHLEIHWFSIINSCVTVLLLTGFLATILMRVLKNDFIKYSHEDESLEDQEETGWKYIHGDIFRFPKQKSVFAAIIGSGTQLLALSVLCGGSTGIFIFFYCIYYYHARSDMSGFMQTSFFFGYMTCVCYGFFLMLGTVGFRASLFFVRHIYRSIKCE >Sspon.03G0023070-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3A:70596057:70600234:-1 gene:Sspon.03G0023070-1A transcript:Sspon.03G0023070-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MCPAGYPEKHTPRSYPRHERDQPVPLLSQVPGPRPNLDSKPPHLRPGLKVVLRPPPIPASNQSVRKEPEPMTREHGVNKVSRRLRNLLRCKDLLDHLHDLLVLLVVDGHHVRLQLVHYMHAMMHCGEPPSPLFPVSRSLFSLSLLHRRRRHPHALSSACRLDAGTSRPPSRDDSMRDGSNWRGGIHGYRVRRTEGGFPHGDDSASFGASAGLPRPLLKTTSSVEIPHGGAAEQQPLRRCEASARFPPDPGIRLAPPLLPLPIWHDAVLRPSACLSELHQTGLCTNAHIVAFVLPLSLFVVVRVTNGLDERFVREPMLKMSIRRSVGRPPVSLLSADGVCGGGCRLTPWCSLLIVTARPSAASSLNSPA >Sspon.03G0015160-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:48510807:48512701:-1 gene:Sspon.03G0015160-1A transcript:Sspon.03G0015160-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPKPEVTEEAKMDLLEDDDEFEEFEIDQEWDDKEEGNEALQQWEDDWDDDDVNDDFSLQLRKELESNASKN >Sspon.01G0022010-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:80683257:80685065:1 gene:Sspon.01G0022010-1A transcript:Sspon.01G0022010-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPSPPAFVYRISTADEWAEFQRTGATLGGDLDRSTGCIHLSDLIQVKMTLKSFFLGRNDLYLLQIDASKLADGLIYEAIDDNNYFPHFYGPGRSFVPLQLDAVVKADKIELADNDFTCGLLDG >Sspon.01G0005590-1P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1A:14786797:14787915:-1 gene:Sspon.01G0005590-1P transcript:Sspon.01G0005590-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding QERKKKVKITVECGGKPLPDAELSVEWVAKGFPLGNAMTKEILDMPEYEEWFTKRFKWATMENEMKWYSTEYNEGQEGFEVADKMLALAEKHNITVRGHNVFWDDQSHQMPWVSKLSTDKLKAAVAKHLKSVVSRYAGKVIHWDVVNENLHFSFFEDKLGKDASGEIFKEVAKLDSKPILFMNEFNTIEQPCDLAPLPTKYLAKLKQIQSYPGNEDLKYGIGLESHFDKPNIPYMRGSLDTLAAAKVPIWLTEVDVTKGPKQVEFLEEVMREGFGHPGVKGIVLWAAWHAKGCYVMCLTDNNFKNLPQGDLVDKLLDEWRKVPEKPKTDSKGVFEAELFHGEYQVTVKHESLKEPIVQTVDLDSKSEAAIKA >Sspon.02G0025580-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:93930245:93931590:-1 gene:Sspon.02G0025580-3C transcript:Sspon.02G0025580-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLGSQGLEVSAQGLGCMGMSLRYGERKPEADMVALLRHAVAAGVTFLDTSDVYGPHTNEVLIGKALQGAWEKVQLATKFGITPDLRAIRGDPAYVRAACEASLRRLGVDCIDLYYQHRIDTIVPVEVTMGELKKLVEEGKIKYIGLSEASASTIRRAHAVHPITAVQLEWSLWTRDVEQDIIPTCRELGIGIVAYSPLGRGFFSGGAKLVSELPDDDFRKNLPRFQPENMEKNALIFEHVSQMAARKGCTPSQLALAWVHHQGSDVCPIPGTTKIANFNQNLGALSMELTPEEMAELESFAAMDVVWDLGRNWEEERRLGEEEEEQ >Sspon.01G0020650-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:76649926:76652285:1 gene:Sspon.01G0020650-1A transcript:Sspon.01G0020650-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASNIGMMDGAYFVGRNEILAWINTTLQLGLSKVEEAASGAVACQLMDAAHPGAVSMHKVNFDAKNEYDMIQNYKVLQDVFNKLKITKHIEVNKLIKGRPLDNLEFMQWMKRYCDSVSGGFMSNYNASERRESSKGGKDTNRRASVPSHTPAKSSSTGHKAQASHGPKRASGQTASAPQRSAKPPPANSGGPAYDEQITELKLLVDSLEKERDFYFSKLRDIEILCQNPEVEHVSVQAIQNILYTSEEDSQQQPPALSPIMEASEERPKQETAHKRKSILDLDEFGMSSSSRQRLSDISDVQLCGSPLTSFS >Sspon.02G0008220-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:24336693:24340424:1 gene:Sspon.02G0008220-1A transcript:Sspon.02G0008220-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSFGHRANAVATFGVTILAAMCFAASFSDNFNTPTPTASVKILNINWFQKEANGNDEVSMTLNISADLSSLFTWNTKQVFVFVAAEYETPQNALNQVSLWDGIIPAKEHAKFLIHTTNKYRFIDQASTLHRLNANLINLKGKEFNLTMHWHIMPKTGKMFADKIVMTGYRLPEQYRYYKEVSLCYPVRFSSHEYVVFSMFNVFFT >Sspon.07G0003010-3C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7C:5618623:5626504:-1 gene:Sspon.07G0003010-3C transcript:Sspon.07G0003010-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding QGGMGFARDLRFDNIVMKNVSNPIIIDQYYCDQPTPCANQARPPATPHHSSFSLNFSTQAVEVRKVEFANIRGTSATAQAISIACSDTVPCRELELENVNLTVEGGGRATALCYRASGKSVGTVVPPSCLAKLTPLDVARATPPHAAQHLLAARKNRGSSAYRVHLSQRLGAMGRRWRLHDMVGRRDHVPRLAGPAAERCASEAVARRAWLGQWSSAGGSKRADRSESCTIWAVGAPDPMAEKSKISILALNIGPCDLSSLRPLILELQLLTEVRRLLVRKIRRHSNKVADSLAKRACTPIRHPYALRTGALYTHARTHPSAAHSEAVVCALQVPCPCAAHLISALTLCSTMARGAVLLLLAAAFAAALLPDAAESRILLTLHDFGAVGDGVADDTKAFADAWTAACTAADNVILNVPAGGTFQIWPLTLAGPCRSEIKLLISGDIVAPESPSDWGHGQRSQWLHFHKVKDLKITGGGIIDGRGQQWWAQSSLMRAQPAPKAVHFEDCQGISVKGITLQNSQSYHLTFTRSSDVEANYLRVTSPEDSIDTKGIHLVDSFNVHVMDNLISTGDDCVSIVGKCEDVRLRAISCGPGHGISIGTLGVNNSVDYVEKIKVDTLFISNAENGVRVRTTKSGGGGFARKVKFESIVMRNVTNPIIVDQGNSDHLPASSEAVPPVHTLIKLPSLSVFIFTAAMMGSIRVSSISELTRWKSCTAQAAATAVQVEKINYIDITGTSASKHAVTFSCSDAKPCRHLSLVNVNLSRVDGNKASSYCRKAFGRSIGTVIPESCLSKEDFVQHDVPQRSEEDREEDSDS >Sspon.05G0019240-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:15314271:15314552:1 gene:Sspon.05G0019240-2C transcript:Sspon.05G0019240-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPVARVHLAMAHAALPALLPTPPKWKMLPLLPTPPCVAAVLPKPLAKPSRADSDERWDARKTTKPASAEPSTSSSSTGPRAAGSAGHGSPR >Sspon.05G0001930-1P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:5D:5292406:5292747:-1 gene:Sspon.05G0001930-1P transcript:Sspon.05G0001930-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding TLADTASSSTAHVHADVLSLCSVPPFTINESCADPKLPAMSWKQRHGIAVGTARGLRYLHKGCARRIIHRDIKASNILLTADYEPQISDFGLARWLPSEWTHHAIAPIEGTFG >Sspon.05G0004720-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:8893883:8901416:1 gene:Sspon.05G0004720-2B transcript:Sspon.05G0004720-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLGDDGVLGCTRNGEHATPVLSQGQEGRRWHLPPPLLPPIRSKWVKRIPDTFVSFESYLDSFAGPLIEEVHADIFSSLDGYAQANFTQVIKVEKLDGKKFENPVFSFQIAEPVKDEKSRETYEPTECDIIVLSPQKPRHVSDLTQNKSSYVLGLVLKSGEEDDFPPNCCIVQLSSDTPIEVDEEKKTPKGPLFAVYIMNMTTYNRMWKFVCGNAFIWEKMTLILLGFGTKRVLSLSIRCGNTTQRSTDGLGLEKFNLNVSQLNAVADCVSSMDDHSSSIKPLWGPPGTGKTKTISTILWVMLMKGQKTLACVPTNTAVLEVAARIVEFVGKPADGSLCFLNDIILFGNRNNMKMDDDSDLSSVFLDSRAERLLPLQYLKDEYNKHSRKLCGCIEILYNDHPRNAETGRSFQCMLEVRELINIIQALINDDKDDGHDIWSDELLEIKIEDNGDPLLWPEQLACIRITSCKKLKLRLARSLCVQELSYLHTNLELPNCYNTRAIQQYLLPRAKCILCTVSSSFRLYNISESASFGRSVFQRLSSLGFSKHLLNVQYRMHPEISNFPVATFYDGKVSDGPNVSHKDYTKRFLSGKLFGPYSFINVEGGHETTEKHGRSLKTQ >Sspon.01G0041690-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:48333310:48340335:-1 gene:Sspon.01G0041690-2C transcript:Sspon.01G0041690-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRGRMLFDLNELPAEVEEAAAVVPKESAAVVPQEAAVVASQEAAVVVSQPQKSLPVPTTYGPTLFQPGEGSQLQGILNNNAFKHASIGSGFQPFVRNNKDSNYTKEPMKVEDNQNSSIASPSMVNNQITGSVAPKAETCNQVSQAVEREEGEWSDADGISENAGSSNKDEFTGTASTHLKKESQESESHLIKSGDVTKDDIAAESSDAEMVDVSKDLVHGSTGSENMQNFECKGNQPGDDLDPCNRSKDVKGVEANYALRFASNPAKRPKLNEHKEAMLGKKRARQTVFINVEDAKQAGTMKTSTPRRQSSFPAPIVTRTVKEASRGAGEKAAEKQNQQAIRDQRQPEMMGSERSNSADPSDQHTESNGDAELGTQGWSKKMNTDEPASDAYQQPVQRQASLKQSMDLKQQKSRPFSSQRTVLTGQNNADQKPASKRSIISKKQTFVNNVQYQDSSVERLIREVTNDKFWHNPEEAELECVPGSFESAEEYIRVFEPLLFEECRAQLYSSYEESLEAVGRDAHVAVRVKTVERRERAAQSGRSSRRAMGSNEDAESECGRLVGTVRRHMPIDTRDPIGAIIHFYLGDLFDSNSEANVLRKLQPRSTWYLTGLGSLATTQREYVALHAFRRLSGQYQHYYAALLKKLAPESYKQVSGSTSTSSETVAAGSIDELLQSMDQNLFRTLPKLCPKPRMLVCAPSNAATDELLARVLDRGFIDGEMKVYRPDVARVGVDSQSRAAQAVSVERRTDQLLMKGRDEVIGWLHQLKGREQQLSQEIAYFQRELNMVAAAGRSQGSVGVDPDVLAQRDRNRDILLQKLAASVESRDKVLVEMSRLLILESRFRPGSNFNMEDARASLEASFANEAEIVFTTVSSSGRKLFSRLTHGFDMVVIDEAAQASEVGVLPPLALGAARCVLVGDPQQLPATVISKAAGTLLYSRSLFERFQQAGCPTILLSVQYRMHPQIREFPSKYFYQGRLTDSESVVKLPDEAYYRDALMAPYIFYDMSHGRESHRGGSSSYQNIHEAQFALRLYEHLQKFLKANGAKKVSVGIITPYKLQLKCLQREFKDVMNTEEGKDIYINTVDAFQGQERDVIIMSCVRASNHGVGFVADIRRMNVALTRARRALWVVGNANALMQSEDWASLIADAKARKCFMDLDSIPKDFLPMKVPSNTPGRNSSNNIRNMRTGGGPRPRHLDMFSEPRAGMNMRPDEDERLNSVTRNGSYRNLDDFGRPGDRPRDNLQFGVPRRPNSSNGRREV >Sspon.07G0022810-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:10191645:10195317:1 gene:Sspon.07G0022810-2D transcript:Sspon.07G0022810-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRYDRPRGSGPLHARDPWLSSENEEMDPEQHGDNMAGKGGAGQVYWSNAMSSFVLKYLADLVASGTKTTSGFKQAHLNSCARALYEKLGVQHTGGQVGNHLRKWKRIYAKIEKLKNLNGAVWDEHNCIISLDAEHYNNHIKASNQHLLIDHREDANYLNIPIEHYHEMATICGKKLAAAAYAKGSNEPVTETYDAPTVAETAAYAKGSNEPLGVEVTETDNAPIVTETDNAPTVTGTDNAPTVTGTDNAPTVTGTDNAPKPTSSEPSEQIGADDGTHSGNNGAESSGTKQPPSKKQRIYTDDDLVVIMSRTLGELASSIKKLSEQPDLPVPKGLYEELKSIPGFDEAHLEHYYAYLCENPPLARAFYALPQLSSKVIWVA >Sspon.08G0010250-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:39641116:39643683:-1 gene:Sspon.08G0010250-1T transcript:Sspon.08G0010250-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MIILNHMDPKFKGEWSASEIEMVKSLIAKDNANNNGASDMNKKHNQIMMQTLQSGNQHVEASSNLMNQPFGVFVGDPSMGNKEAFNGYQQVEMFRVKNVKDTPRRKPTPRKESQHTGRFWTTNEHRQFLRGLHVYGRGNWKNISRHFVTTKTPVQVSSHAQKYFLRKENSTKKQRYSINDIELHDFEPLSQTNASAWEGPTIGGGVYKTNHYSFGGHPTSMNNAQAWSPFLYHTSHGSSSNSQMVTLAIGQQQEQMGASSSLVAPTMEADGGHLDWTSDKLGDLLDTQWMMN >Sspon.02G0040270-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2B:67441382:67441675:-1 gene:Sspon.02G0040270-1B transcript:Sspon.02G0040270-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGMSSPIVVPTRGREPRRRHDGGATRQAIEDNQCAACMAGAASERNVREDGLHCWEVGGEVASSQGGGGGMGPAVAEDDWRKKMRERRKKLNRGR >Sspon.03G0011890-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:32432882:32434409:-1 gene:Sspon.03G0011890-1A transcript:Sspon.03G0011890-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKCSHCGNYGHNSRTCGFGHTEIMLCEAGDNGGHGGDSGLRLFGVQVRIGGGGGAGSASMKKSYSMDCLQLAAPGCSSLVSPSSSLLLSLEEGLERGAANGYLSDGPHGRVVQERKKGVPWSEEEHRQFLAGLEKLGKGDWRGISRNYVTTRTPTQVASHAQKFFLRQSSMGKKKRRSSLFDMVPICENSASISDPLSSEGASTSLSLNVARHGAASDSERAALDLNSTEQDDSSRADASSASGAGSRPFPAAAAQTERLQPSSHGHGRGHHCSPLDLELGMSLPTPSVGT >Sspon.02G0039230-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:43860757:43864621:-1 gene:Sspon.02G0039230-3D transcript:Sspon.02G0039230-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chlorophyll a-b binding protein 7, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G76570) UniProtKB/Swiss-Prot;Acc:Q9C9K1] MLRPPSLLLLRSGAPPSALPLQRRRRRSPTPVRASWQELAGVLVFSAIPFTAVKALANSPLGARLRRRLEDRKAAAAAEADALRAAARGARNNSFWYGGDRPRWLGPVPYDYPEHLTGEYPGDYGFDIAGLGRDPVAFASYFNFEILHCRWAMLAALGVVIPELLDLFGLVHFVEPVWWKVGYAKLQGDTLDYLGIPGFRIAGGQGVVVIAICQALLMVGPEYARYCGIEALEPLGIYLPGDINYPGGALFDPLGLSKDPVAFEDLKVKEIKNGRLAMVAWLGFYIQAAVTGKGPVENLVEHLSDPLHNNILSSFY >Sspon.01G0021680-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:79618330:79630459:-1 gene:Sspon.01G0021680-1A transcript:Sspon.01G0021680-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRPSTSSSRRSSSPFSAGHRRPPTASSSSSSYFSSGRLIPRSSPSSVNSSFYGGGGGGSTRSTTPSRRSSSVAPAPAPPPALAPVPFPSADELVIEDTSRSGDSISVTIRFRPLSEREFQRGDEISWYPDGDRLVRCEYNPATAYAYGTVFAYGVTSSGKTHTMHGDQNCPGIIPLAIKDVFGMIQDSPGREFLLRVSYLEIYNEVINDLLDPTGQNLRVREDAQLICTITPASSNMEETHNTLKFASRAKRVEIYASRNRIIDEKSLIKKYQKEISSLKQELDQLRRGMIGGASHEEIMNLRQQLEEGQVKMQSRLEEEEEAKAALMSRIQRLTKLILVSTKNNIPALTDGHQRHNSVSEQDKLSTSQDSSTLVQNEGTTKDPLPDSLDEINQLRSGSGEHSSVTGSAADSMQAGFTASDHMDLLIEQIKMLAGEVAFGTSSLKRLIEQSIDDPEGTKDQVFPLHFSIVFVYLKSADNRVLQEQLQQKNAEINDLQEKIFRLEQQLSAKVDIFPEQETDCAQQEAIDLKSKLQSKEAEIEKLKFEHLKITEEHCDLINQNHKLSEEAAYAKELASSAAVELKNLAEEVTKLSVLNAKQAKELLVAQEMAHSRVHGRKGRTTSRGRDEVGTWSLDLEDMKMELQARRQREAALEAALAEKEFLEEEYKKKFDEAKKKELSLENDLAGMWVLVAKLKKGALGISDLNVDDRTVNLADITNGTKENKGEKNFALVEKQISDDSVKSLSTEGHRSPEFEPLLVRLKAKIQEMKEKDTDPLSDKDGNSHVCKVCFESATAAVLLPCRHFCCKLPTHL >Sspon.04G0031200-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:7539067:7557072:1 gene:Sspon.04G0031200-1C transcript:Sspon.04G0031200-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTIPSQLGRPLSSSPLQHRQQGLLGERSKVSMSSLNKSIVSSQPPLASSSADLTINPKTFRCSGCFHFFLEYLYSRFLFACSLHLYIFTADNCSTLFTSFTSSVYQCVNECAYWISASSKYLRQPSYTTGFGTALNIETLVAAAEQRETPIETPPPEVQDKILFMINNISISNMEAKAKEFNEVIQEQYYPWFAQYMVMKRFLNLATQYAAPVRLPTNSTVEDDKVALMMPEQVPSLTQVSPAQTQSPSPSPFSVNQLMAAIPRDEIRFKINPKLGSLGPQLQYSKIMDLALDKANREIILPVIQRSVTIASRTTKELILKDYALESDNNMITRSAHLMVATLAGSLAHVTCKEPLRVALYSNLRNLIQNLMSGTETIEQLIHMLVNDNLDLGCAIIEAVATRQAVELIDVEIAQSFSQRKQRETGGPAYHDSFAYAQGPFARVPEALRPKPGHLSTSQQRVYEDFVHVWNPHSQNVGATGSGLSGGATASSTLGVPRAYSPNSAPVSSSNLSTIHMSGLTSITQPTELGSDESVTGSAQFSRYTCFGYLLRDVWFFFFLLLVLDRLISCVFHSNPAQVGASESSVLVGGTIGAASTFSTLASNDLPASAMTVTTNEISALVPPPSTSAADRLGSILPEPLNTGDALEKYQQVAQKLEALIVNDGKDVEIQSVIAEVPDILRRCVSRDEAALAVAQKVFKSLYDNTSNSTYVSWLLATLVAIRDVCKLVVKELTSWYHFIFLFDEVDYLDVALVIYSDEEKKFNIEIIFGLIRSELLNLGEYNVHLAKLIDGGRNKVATEFAMSLVQTLITQDSVGVSELYNVVDALSKLARRPGSPESLQQLIEIARNNVSTTTGFVVGKDEKVKLPKDKKVLATRANKEESPANEITLVDPNQVAVLFSEWCQMCNHVSASDAAYSRFVTQLQHDGLLKGDDLSERFFRILTELAVTHSLVSEQIVAPSGSSQQSPQQPHISYFSIDSYAKLVVMVLKYSSVEITPNKASILSKILSVTVRTIQKDAEEKKASFNPRPYFRLFINWLYDLTTTEGHHDGSNFQVDLLYKGTMRVLLVLLHDFPEFLCDYHFSFCDVIPSSCIQMRNVILSAFPRNMRLPDPSTPNLKIDLLAEISIAPRIMSDVDGALKSKQLKTEVDEYLKRPEGSSFLSDLKQKLLLPQNETTVAGTRYNVPLINSLVLYVGIQAVQQLQLNKANASASVQQINHMPPMDIFQIETATEMFRNLITSLDTEGRYLLLNAIANQLRYPNSHTHYFSFIILYLFAEATQEIIQEQITRVLLERLIVNRPHPWGLLITFIELIKNPRYNFWNRSFTHCAPEIEKLFESVARSCGAKAVDEGISVQDGSH >Sspon.05G0031270-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:3863915:3865040:-1 gene:Sspon.05G0031270-1C transcript:Sspon.05G0031270-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTLLPLRLPFPVPVAATLPHAAFLLPAITRVSLRRIPPRASMNAAAASASAPDAAATAAGEVGGKEAVDVLVQYVVLRRDLADAWPLGSVVAQGCHAAVAAVWAHRDHPDTAAYCAPDNLDRMHKASAGVRHKLWIEQPENIPTCIATAPCPKSQVSSFFKKLKLCK >Sspon.06G0029980-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6C:39208797:39209174:-1 gene:Sspon.06G0029980-1C transcript:Sspon.06G0029980-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNLILPKFKPQPFMHWIDYFILCADVIYKGQAKIGEIKGHYLNVIADTSEEMIKHYWNQVLCRVPEALGKSHFALGKGFAECRTRQRALGKILVSKGVFAECLLSGTRQRLWRVPSWLALGKEK >Sspon.02G0057320-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:56417151:56419444:-1 gene:Sspon.02G0057320-1D transcript:Sspon.02G0057320-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTDRVIGEFLKLIDRRCEGTLSLNMMPNTTKVSGKREENLKQLQLQVQRILNIEIELVCTNIVT >Sspon.04G0021920-2T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:5674929:5681401:1 gene:Sspon.04G0021920-2T transcript:Sspon.04G0021920-2T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQTRHQQPVRWVVHAAIDRISRTARRRRRRGTLAVALAPALVPAAAPRVLAGLARPAPSPPASSSSSGSLGGSSARHMGQGAMQPWWYQCAQGRRTTASAAAISSVHTAHTVPATPAGGRTGGGASARRDPAPEEEGEEGLEGSTTGKDSSGFRPRIDERRYLAVIQSPSSSMIPIDAHPPDREDIYSHLRMSREGSNVCSRRDAASSHNNNNKKQKQKKKKKKKDRPSATRRRRSTSSTRRDRSPRRRPSSMGHPIQQNPKIRLAVAFFHFPLPPAVHRSGAMRMGWAVTSWAR >Sspon.07G0010880-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7C:40942638:40945010:-1 gene:Sspon.07G0010880-2C transcript:Sspon.07G0010880-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding TPPPPARENGGGSVRFTHKPADKVVFRSQPIPGGQPPRTGSRVARAASRVLSRDKRYDSFKTFSGKLERQLTHLAGAPEVHEEEEEDEVVAITSRTTSMPKVERFFAALEGPELDKLKSSEELVLPADKTWPFLLRFPVSAFGMCLGVSSQAILWKTIASSSPTMFLHVTHKVNLVLWCISVVLMSAITTVYALKIAFFFEAVRREYYHPIRVNFFFAPWIACLFLAIGVPQSVATEPLPQWLWYALMAPVLILELKIYGQWMSGGQRRLSKVANPSNHLSVVGNFVGALLGANMGLREGPVFFFSVGLAHYSVLFVTLYQRLPTNETLPKELHPVFFLFVAAPSVASMALAKITGEFGYGPRVAYFIAMFLYASLAVRIKFFWGFRFSLAWWAYTFPMTGAAIASIRYSTEVDNAFTKALCVALSAVAVLTVTALFVTTLVHALVLRKLFPNDICIAITDHKMKPIVELHESQGEDGSTNSNDIEAGAGGKQ >Sspon.07G0009580-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:27060716:27061584:-1 gene:Sspon.07G0009580-1A transcript:Sspon.07G0009580-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ISEKVKPHPVVVTLLTQVEEIIPKWKIVPTKDVIDSAFKDPVKREKIRKNKLIYQDKPRLKTALELLRTSMDVEDSLSEMQQLLVALLDMFPQVTMPFFILHGEADTVTDPEVSHALYERAASTDKTIKLYPGMWHGLTAGEPDENVELVFSDIVSWLDKRSRHWEPDERVRTQPEPENNHSQTATTKITRVTSSSGGTESTVPAHGRPQRRGSCLCGLGGRPHQQQCRM >Sspon.02G0020930-3C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:70998602:71001799:-1 gene:Sspon.02G0020930-3C transcript:Sspon.02G0020930-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDATASEPSSPLRSRRLQGIRPPRLRVKTESLKIKKLAVTPRPKKSHHHLQQTPARAPVIIYDASPKVINVEPADFMAAVQRLTGPVATAPDCMEMDYPARSVVSSHATAAVYARASARLRAAVTERAVRALPLPRPPTDYLVTTDADTLAAVLSLPRRPGILSPAALPPAACSGQFSAMPFDPSCLPWLKELSPFFLPAVSRCVEELGLGCLFTLNPSTLLPGMPCPATVSTPELFSNVVLEIPLLSRRMCIFSTTPLWRCSFSPPAPPSSSPAETGVHLLFLLRRRKAGAHLLFLLRDLARVTKIWPVPPLFLHRRGYGRPRSGPSLIRFSLAGVEAAPFGGRFSSFWRADGGRPHGCL >Sspon.01G0055070-2D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1D:69110913:69111155:1 gene:Sspon.01G0055070-2D transcript:Sspon.01G0055070-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASLLGLYSGGSGRCGRVHARSGSRRSAAAVKKLLSRLRRSWRRRAARPRRTAVRFGYDLHSYYQNFDDGIASSGHHRL >Sspon.08G0021130-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8B:33328733:33329012:-1 gene:Sspon.08G0021130-1B transcript:Sspon.08G0021130-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMAAASPEKLHCGEVGSGDEEKEEAERADAEVIAQQLSDQSLREKAQRLQGLLTEGTSERLPDRGRKLRATLDAIHREQDRRQAQGDGARAP >Sspon.05G0008230-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:27103654:27105525:-1 gene:Sspon.05G0008230-4D transcript:Sspon.05G0008230-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein STAY-GREEN LIKE, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G44000) UniProtKB/Swiss-Prot;Acc:Q94AQ9] MHARFRPSSELQMAAAASMAARGGALVASSRPSRRMGGCRAEPPRFLVVSCDARTADVYSSLAAKILGPPMTFNAAKLKVKFAGEDEQPPPFPRAYTLTHCDFTANLTLAVSGPMTSEQLRSWQSTLQRDDVVAEWKEVTTAANAGEREMTLQVHCFVSGANLLQELAAGFRYYVFSKELPLVLKAVVHGDAALFAERPELMEAKVWVHFHSTSRKYNRIECWGPLREATRRNHNHLLDLDGRRLDQQLQNAITKSKRRRKWATPETVFNALLALLL >Sspon.03G0012300-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3A:34005152:34007082:1 gene:Sspon.03G0012300-1A transcript:Sspon.03G0012300-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GEAAGGEAAPRGEGREAERDGEGGGGGGGDGAGGRGDSGRVLDPLPAPPRLHVVARQGRLLHQRAWRRAAAAAASGKPNQRL >Sspon.08G0002120-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8B:3525085:3525797:1 gene:Sspon.08G0002120-2B transcript:Sspon.08G0002120-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding EEGRAAARHRPDQPQQGAEDRRRSRRRRRRVAGSGAVERWRRRGSRL >Sspon.01G0015640-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:46711743:46716195:1 gene:Sspon.01G0015640-1P transcript:Sspon.01G0015640-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAPRASSFFSVLLVLLFALSVERTASTGVFQVRRKFPRHGGGGDVAKHLAALRRHDVGRHGRLLGAVDLPLGGVGLPTATGLYYTQIEIGSPPKGYYVQVDTGSDILWVNCIRCDGCPTRSGLGIELTQYDPAGSGTTVGCDQEFCVANSPDGVPPACPSTSSPCQFRIAYGDGSSTTGFYVSDSVQYNQVSGNGQTTPSNASITFGCGAQLGGDLGSSSQALDGILGFGQADSSMLSQLAAARKVRKIFAHCLDTVRGGGIFAIGNVVQPKVKTTPLVQNVTHYNVNLQGISVAGATLQLPSSTFDSGDSKGTIIDSGTTLAYLPREVYRTLLTAVFDKYQDLALHNYQDFVCFQFSGSIDDGFPVVTFSFEGDLTLNVYPHDYLFQNGNDLYCMGFLDGGVQTKDGKDMVLLGGSSSIKIEDDKTGSVYTVDAQNISAGWRFQWHKSLILLL >Sspon.07G0032970-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:56479213:56480370:-1 gene:Sspon.07G0032970-1C transcript:Sspon.07G0032970-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTSTSTCKATLLMAFVAAAALSTATTSSTSTARPARRRRRRRNVTAGIIANDPTMGPALMRLFFHDCFGCDGSILLDPTSSNTQVEKKVIALRGYAAVNTIKAAVEAVCPGVVSCADILAFATRDTAVVSGGFASFDMPSGRRDGTVSNFIEVLQNIPSPTFKLQDLINNFAKKGLDVDDLITLSGAHSFGQAHCSFCNGRLYPTVDPTMDATYASDLKTVCPAPGSNSGNPVINNNRVTDPNVLSNQYYSNLLAGKVLFVSDQQLMNTTYTAAKVANSSADAATWMTQFQTALVKMGSIQVLTGTAGQVRKFCNVVNSS >Sspon.08G0014340-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:56274599:56276188:1 gene:Sspon.08G0014340-2D transcript:Sspon.08G0014340-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASISSSPMSLPVGDRRPSMTLFQGQRPPTGHRRFLSGNAALNTSQRRRRRSCHVVASVATTDRPAPLAGDVDRSPQPPETSGRSNDDGQLAARWREIHGSDHWEGLLDPIDAVLRGELIRYGEFAQACYDSFDYDRFSPYSGSCRFPAKTFFQDVGLGGAGYEVTRYLYATCNDLKLPNFGGRKHRSAAGDKLWSEMGTFIGYVAVSTDEETARLGRRDIAVSWRGTITRLEWVADLTANQTRLSEMGVPCPDPDVKVEMGFAELYTGKDAACRFCRYSAREQALAEVRKQVKLYHGRGEQVSVTVTGHSLGSALAMLNAFDIAETGANASPDDGRKAPVCVFSFAGPRVGNLRFRERFERELGVRALRVVNVHDGVPKVPGVFFNEAAFPEAVLRAVDGLGAGGVYTHLGVPLALDHKVSPYLKETMDISCYHNLEAHLHLLDGFRGSGEGFQLRGRDPALVNKSADFLRDEHMVPPVWCQAENKGMVRTEDGRWVLPPRHRDIDEHPDDTDHHLQQLGLTLTAST >Sspon.04G0029390-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4B:72052295:72052820:-1 gene:Sspon.04G0029390-1B transcript:Sspon.04G0029390-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SDNLLSCSLASEQQRGPSGGAVLHPGLRAQVPNPRPHPTLHGGVFAIRKDGEGSSSNERVRWRQNAVAGDEAEQEQVFDAVVICNGHYTEPRTTADIPVLDAWPRGKQMHSHSYCVLDLFADQVWSSRRRHLPGNRRRGQRLPGYRNLWLSSMVERADEDGTSVVFRDGRFVTAD >Sspon.01G0016350-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1A:51529121:51529483:1 gene:Sspon.01G0016350-1A transcript:Sspon.01G0016350-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKYTAAAAEDFILLRCFDGEEFHVLSALARRSGVVAAGMDTGEHAATGAVPVPAGVTGQVLASVIAYWIGRDAVGTGDLGRYDEEYVAGLSHDVRVDIINAAFHLGERGLFELFGPPVAP >Sspon.05G0026760-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:5B:39232357:39232512:-1 gene:Sspon.05G0026760-1B transcript:Sspon.05G0026760-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MWDIDSLTGTWQAAVLAAEERPAWRMGDRLAAATNHGRETRKLQVDGGGGL >Sspon.01G0051780-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:576679:580675:-1 gene:Sspon.01G0051780-2D transcript:Sspon.01G0051780-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SDIR1 [Source:Projected from Arabidopsis thaliana (AT3G55530) UniProtKB/TrEMBL;Acc:A0A178V9G1] VHGQIRCCVGLWSLEPILRLKVAAAAPGGHHELRLPREQGDIEAGGFSGFAPERRAMRIHAGSRPLNSNSLAFLLTVLVLFMVLNSHQMSPNLLLWLVLGVFLMATSLRMYATCQQLAHAAAANSFLGHTELRVHVPPTIALATRGRLQSLRLQLALLDREFDDLDYDTLRALDADNSPHAPSMSEEEINSLPVFKYKVQAQQGNAPARKSDGPSQLSVSSTGSGNEKKQDGLKADGTSKTPEDELTCSVCLEQVAVGDLLRSLPCLHQFHVNCIDPWLRQQGTCPICKHQVSDGWHATGNGEEDASYM >Sspon.06G0011980-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:51933764:51941659:1 gene:Sspon.06G0011980-3C transcript:Sspon.06G0011980-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEELDHPESSVSDRGGERQTASLPLPAAFLEFLNENGLDPAVYSMAATIPRYIRLKPGMESQIPEIEAELKCGLKKVSWLPGFYAIPPEIQIAGSTAYQQGKIYGIDAASGAAILALDVRPGDHVIDLCAAPGAKLCMLADTLGTTGSLTGVDVAKHRLAACRTMLQKYCLGDRTRLFVADGTLFSILPVNSRMRRMEVSIGVEENGSTFPEWTSRRSWKDRQKTKKANAKGPQHLLATSEPELIYYGKHSGLVGLCKSDVFCPSVDDEACTSGYDKVLVDAECTHDGSIKHIQKFEFWGWKTLDRRLHLLTNGFKLLKTGGSLVYSTCSLTVAQNESVVQQFLSTHPSADLQKIDLADNWPCRSGGIPKTLRFDPAVSQTSGLFVAKQWCEKIPADARSHPHVVTA >Sspon.05G0001920-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:6299711:6303766:-1 gene:Sspon.05G0001920-2C transcript:Sspon.05G0001920-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTSHHYPWLNFSLAHHCDLEEEERGAAAELAAIAGAAPPPKLEDFLGGGVINGESARRGGGVPVAAPPEATAPAEMYDSDLKFIAAAGFLSGGCSSAAAAATSPVSSLDQADPKLALPAAAAAAPAPEQRKAVDSFGQRTSIYRGVTRHRWTGRYEAHLWDNSCRREGQSRKGRQGGYDKEEKAARAYDLAALKYWGSSTTTNFPVAEYEKELEEMKTMTRQEFVASLRRKSSGFSRGASIYRGVTSLLVHAIGRHHQHGRWQARIGRVAGNKDLYLGTFSTEEEAAEAYDIAAIKFRGLNAVTNFEISRYNVESIMSSNIPMGSMSVGGRSNKALESPPSGSPDAIPVEASTAPLFAALPVKYDQQQQDYLSMLALQHHQQGNLQGLGFGLYSSGVNLDFANSHGTASSMTHCYVNGGTASSHQQHQHHQQLQDQQQCENETQQSSNSCSSLPFATPIAFNGSYESSMTAGPFGYSYPNVAAFQTPIYGME >Sspon.07G0027550-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:60826465:60832917:1 gene:Sspon.07G0027550-1B transcript:Sspon.07G0027550-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable serine/threonine-protein kinase WNK3 [Source:Projected from Arabidopsis thaliana (AT3G48260) UniProtKB/Swiss-Prot;Acc:Q9STK6] MPPTPPETETEPEFAEVDPTGRYGRVMPPFPSLPDHPPHLRSIWPLIPRPPLPCSRIPHSCSTRRFSERAPSRRYKAFDQLEGLEVAWNQIKVGDLLRNNDDLERLRSEVRLLKTLKHKNIIKFYNSWLDRRNNNINFITEGEKPGSLAKIDDPEVKLFIEKCIAKATERLSAKELLMDPFLLDVSDEKIFYPLHPNINTSGSMSDSHPSDNYVHDTVDPHAAIGRSITVESQQKDLNTIFLKLRIADSTGHAQNIHFPFDIEADTSISVATEMVVQLDLTDQDVTAIAEMIDAEIRSHIPDWAAEESIDNQGDEAAHSETHSSEGDEGTSEFRNEVDTSHNGFVQEQLPSGRKYWSDSPRREGEISQLVVEPEIGDSIANGIPKRNKKDDIVSCARDKDDQSFSSSIHPVEGMFERISSSVDLSNSSVVDSMSREASVGSSPRSLDDEREHNSDWHLVADVTERLINLLAQQQEELSALQRKHKADIEDILQWQGQPLNALSPHLGINPLHIWRKERMWRLVDH >Sspon.01G0023830-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:112107536:112108837:-1 gene:Sspon.01G0023830-1P transcript:Sspon.01G0023830-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTMDSKSNGSKKSKSLLGKYELGSLLGRGTFAKVYLARPVAGGEAVAVKVLDKAEVMGTVGMAPRVLREVTAMRRLRHPNVLHLHEVLATRDKIYLVMELAPGGDLLSRLAALPRRRLPEHAARRIFVQLVAALSYCHARGVAHRDVKPQNVLLDGDGNLKVSDFGLSALPDSLRDDGRLHTACGTPAYAAPEVLRRKAYDGAKADAWSCGVILFVLLAGHLPFDDSNIADMCRKAHRREFEFPEWVSQPARRLVSRLLDPNPATRVALATLTTHPWFKRSLSLDSQLGGLLNGQQERALAFQAPAMNAFDIISMSSGLDLSGLFDQRNREIRFMTAASPERTLEELGRAGGKLGYVMVGKNGVECLTLWGLPGLAAMTVEMSEVAPPLMLVELRLKVADGDGDGEGQGFGWEELRHELGDVVRTWHSCQDF >Sspon.02G0050630-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:56506869:56510297:-1 gene:Sspon.02G0050630-2D transcript:Sspon.02G0050630-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSPPAFAAAVAMVAALLCASAAANPAGGPHMADLSVLLPPRMTKPVEYRLIGGDGCFSWSWDHHDLISVKPEYNDSSRCSTSARLASIAPYSGRRETSVYATDIISGITIHCKVFVDKISRISIFHHAVKIDLDEIATLRVHAFDDEENVFSTLVGLQFLWHLTPRLVDNSSHHLVRIPLKETHLSDCGGFCGDMNIRFELEDKNLGSDFFVVKGIEIGQEVVKAQLFEPQFDHVTDTITLTVAEAMSLEPSSPVLVTVGVLVKFKLKVFRQKVAQVVKLPSQYHRWHATNSSVAQVDSLGILHALSLGFTKVVVEDTRVSGHEQVSSLHVVIPRTLFLYLVPIMDDSAHLHGITNIPSSKVWYVYPGRKYMVLAKAFAEGFDAREIYITE >Sspon.05G0007750-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:17860335:17862169:1 gene:Sspon.05G0007750-2B transcript:Sspon.05G0007750-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MANLLHQFMRELMAPRAWFLLLLPLLLLLVRYSVTTNRARKRQQLDEGVDNHLPLPPSPPALPLFGHLHLIGSLPHVSLRNLATKHGSDLMLLRLGAMPVVVVSSPRAAEAVLRTHDHVFASRPHSVVAEVVLYGSSDIGFAPYGDHWRKARKLVTTHLLTVRRVQSFRHAREEEVSMVMTRIAEAAAAGAAVDVGELLGSFTNDLACRAVMGKSSGRIRSKLLRELIADTSPLLGGFNVEEFFPFLARFGVLSKVVRAKSERLRKRWDELLDGLIDDRESMYEMMAAAAPASELKDEDEDFIHILLSVRQEYGLTRESMKAILLDVFFGGIDTAASLLEYTIIELIQRPHVMKKLQAEVRSSLPQHQQGRQEIVREDDLHNMAYLRAVIKESLRLRTVTPLLAPHLSMTQCTIDGLVVPAGVHVLINVWAIARDARFWEDAEEFVPERFLDGGSAADVGFRGTDFQFLPFSAGRRQCPGINFGMAAVEVMLANLVHRFDWEMPAGKAARDIDMSEEFGLVVHRKEKLLLEPKLCA >Sspon.08G0015790-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:49876418:49879175:-1 gene:Sspon.08G0015790-4D transcript:Sspon.08G0015790-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ATMENLALLWGIIGPGVSGAIFGAGWWFWVDAVVCSAVQVSFLHYLAGIFASLAALMFNCVNKDEIGYDYYSPYGDDSDCRVKLWLFVAYVVSFVCLAGSVGLLVQDALTNKGLSVWTGVAGVLQCVLV >Sspon.08G0023860-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:59492456:59498940:-1 gene:Sspon.08G0023860-1B transcript:Sspon.08G0023860-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDDEEMCDAASSAPSSPGGGGGGAGEGEGEAEEAGAMDDGGGGREGLMIMDVMWFQVDLDYEFDAPRWFDLTQEEAPRDAAAAQEWFAAAPSYPPSPLITKMLAEDLGLQTIRSIADTNALHCSTASHECSSGVEQKIHRFEGRKQCNGASENERQSRFRTTMKGTSLRGSTLMKPTASHLARQNRQVEVKNLTQSKKLVGVRSEKSTISSNDCTYQSAKRQRLENGHLNKNVMNQNMDRPTGLPRMKITIPRSPNLATKLRAERSKALRSVVQPLRATGHQHASRQHEVVGSNVPACTSNHARHLKSVDNKPEDCRDDLFKFKARPLDKKMLVSKGDGVFQCAKRNTTVPKEFNLSTGRRVNPAPLSELFNKTHFNEEVYRISLLIVSAWVQTQRLSTGRKFTQKEAHILFVLINKMKPYIL >Sspon.04G0028230-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4C:80232912:80233071:-1 gene:Sspon.04G0028230-2C transcript:Sspon.04G0028230-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LALAALLFHFDWELPGGVAAEDLDMRGIWSFGVTAQRRADLVMVPVPRIPVPV >Sspon.07G0011790-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:42078409:42079956:1 gene:Sspon.07G0011790-1A transcript:Sspon.07G0011790-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVELHFVLVPIMAQGHLLPMLDLARLIAGHGARVTVVLTPVNAARNRPFLEHAVRKGLAVEFAELAFPGPALGLPDGCESVDMVTDVSLIVPFYDAMWLLAAPLEAYLRSLPRRPDCLVADSLGPWTAGVARRLGVPRLVLHGPSAFYLLAVHNLAKHGAYDRAAGDLEPFEVPDFPVRAVVNRATSLGFFQWPGMERFRRETLEAEATADGLLVNTCSALEGTFVESYAAALGRKVWAVGPLCLTDTDADTMAGRGNRAAMDAGHIVSWLDARPAASVLYVNFGSIARLFPTQVAELAAGLEASHRPFIWSTKEAAGLDAEFEARVKDYGLVIRGSAPQMTILSHPAVGGFLTHCGWNSTLEAISNGVPLLTWPQFADQFLNEALVVDVLGVGVRAGVKVPATHAMLLNPGDPLEVQVGRDDVERVAAELMDDGPAGAARRAKAKELAHSTAAAVTKGGSSDMDVKNMLRHVVELSAKDEHLVLGAAEAAIKDKEKMDMDDSDGILTVASQLA >Sspon.05G0011760-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:29003242:29005245:-1 gene:Sspon.05G0011760-2B transcript:Sspon.05G0011760-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTELLTKFLTLLFGYAMPALECFKAIEQRPGRADQLRFWCEYWIILVLLVMFDEIAGVLISKIPMYYELKLAFLVYLWYPKTRGTDIVYETFLQPLVMQYQPNIEARLQYLRANAGDILVFYLKNFTERGYDLFLRVLDYVRSQASRGSRTRRFFSFRGERAERPSFADDDYATGGDRRDGGRHRRPRSGY >Sspon.01G0056250-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:87316897:87317310:-1 gene:Sspon.01G0056250-1C transcript:Sspon.01G0056250-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHGDRRSGAHGAAARPWRGAGVAAGSGGPPPPKVYRVEPRDFRELVQRLTGAGTPAAAAAPGPAHQRATLTPAAMVADSMRAQAAAAVPVPGATEQFDYASWFSAPLLSPAAYGAPGFGGGHQHQHQHHGSSGPLL >Sspon.08G0022210-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:46467843:46469101:-1 gene:Sspon.08G0022210-1B transcript:Sspon.08G0022210-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHREQEVIPLMTPYKMGQLELSHRVVLAPMTRCRAYGGVPRPHAAVYYSQRATRGGLLITEGTSVSATAQGFPGSPGIWAHEQVAAWKPIVDAVHRKGALFFCQIAHTGRASTNDFQPDGQAPISSTDKQLPPDAESGTVFSKPRRLRTDEIPGIVDDFRRAARNAIEAGFDGVEIHGAHGFLLEQFLKDGANDRTDEYGGSVENRCRFVVEIIDALVHEVGANRVGIRLSPFADYLDCADSDPSALGDYMVRQLNKHEGFLYCHMVEPRMSIVDDRRQIPHRLLPFRSVFNGTFIVVGGYDREEGNKVVAEGYADLVAYGRHFLANPDLTKRFELDATLNKYDRSTFYTQDPVVGYTDYPFLSDDSKDLAAQD >Sspon.05G0024510-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:15804911:15807576:1 gene:Sspon.05G0024510-1B transcript:Sspon.05G0024510-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNWIGRKIHLYNVTIGLYMLDWWERYLFSILLPIASLAVVFPSPPLPSPPEISCCKVHVLPGSSDPFLASPSIFHTTQGRHRSLTSERLTALIAAFSLDHR >Sspon.07G0015160-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:58576036:58582389:1 gene:Sspon.07G0015160-1T transcript:Sspon.07G0015160-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-hexosaminidase [Source:Projected from Arabidopsis thaliana (AT3G55260) UniProtKB/TrEMBL;Acc:A0A178VFV1] MPPNLPAYLLSTLLLLLAGAAAGARRHASPAANATSSGEPVYLWPLPKSVSSGSRTLTVDPDLALDPQGPGGRSPAVAEAFQRYRGLVFAPWAHAARARGARYDVTRLTVVVNSANDTLALGVDESYAIYVGAAGGVNSIVGGAIIEANTIYGAIRGLETFSQLCVFNYDTKNVEVRNAPWHIQDEPRFAFRGLLLDTSRHYLPVDVIKQVIDSMSFAKLNVLHWHIIDEESFPLEVPTYPNLWKGSYSKWERYTVEDAHDIVNYAKKRGINVMAEIDVPGHAESWGNGYPKLWPSPNCTEPLDVSSNFTFEVISGILSDMRKIFPFGLFHLGGDEVYTGCWNTTPHVRQWLNERNMTTKDAYKYFVLKAQQLAIKLNWIPVNWEETFNSFAENLNPLTVVHNWLGPGVCPKVVARGFRCIMSNQGVWYLDHLDVPWEDVYSGEPLDGISDKDQQKLVLGGEVCMWGETADTSDVLQTIWPRAAAAAERLWSQLEAITAQDVETTVLSRLHYFRCLLNHRGIAAAPVTNYYARRPPTGPDSCFVQ >Sspon.07G0036300-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7D:32127967:32129320:1 gene:Sspon.07G0036300-1D transcript:Sspon.07G0036300-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDEERDVENNKSSRQAHVDAGATTAVARHRLPPAIDSLQDSSPSDDRLLYMAAADGMKRRLREKMTARHAGDGKGRICASGLFSQVLKV >Sspon.08G0027720-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:964217:966889:1 gene:Sspon.08G0027720-1D transcript:Sspon.08G0027720-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVQCSNSTGHVVKLDLRAPGCLDNGVSRQPLGGNVSSSVTGLRHLQFLDLSCNMFDMVPIPEFLGSLHGLRYLDLSHSNFFGRIPPQLGNLSNLHYLNLDSYTYGQDVNIYSMDITWLSRLHSLKYLNMGAVNLSTTANWVSVVNMLPSLQFLYLSHCQLSTSPDSPLHLNLTSLDTLDISYNSFYKPIQSNWFWDLTTLKELYIHYNQFYGPVPYEIGNMTSMVNLSLAMNSLVGMIPGSMKNLCNLEKLYLMENNINGKIPQEIGTLVALKNLNLSWNGFSGKIPPNIGALVQVESLDLSHNKLSGEIPTSLSALTSLSHLNLSYNNLSGTIPSGSQLQVLDDQASIYIGNPGLCGPPISRKCPETGLIPAAPRDNKDGSDSVFLFVATSSGYVTGLWTVFCIFLFKAKWRNASFTFYDGLFDWVYVHVAVGWASLTRKTGSS >Sspon.06G0001500-1P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6B:12015239:12015523:-1 gene:Sspon.06G0001500-1P transcript:Sspon.06G0001500-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRLGVEGLIQELCKGFQLLMEPRAGRGITFRSLKQNAARLGLGQLRDDELLEMMKEGDMDGDGALDQMEFCILMVRLSPELMEEEAHRMFQH >Sspon.05G0025940-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:28946837:28956223:-1 gene:Sspon.05G0025940-1B transcript:Sspon.05G0025940-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLFVVALLVAAACLAAPRGADGAGECGATPPDTMALKLAPCASAAEDPSSAPSSSCCSAVHSIGKQSPKCLCAVMLSNTAKSAGIKAEVAITIPKRCNLVDRPVGYKCGGRTRRPRRRASCCPAVRDVGRRHSPECLCALLLSDVVKHSGVDLEAVITIPKRCNIASRPVGYKCGEAFTLPLRGFNDRRVSWALDSRHGRVLFKKTGGGSRGLVVWDPISDVWTDLPPPGVSYVSYSAVVLCHVAGCDHRGCGGGRFLV >Sspon.02G0041820-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:82410735:82411712:-1 gene:Sspon.02G0041820-2C transcript:Sspon.02G0041820-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLGTSKGILEIAKFGVYVSVPVALTYLVATDSKTLKKLMGLRPYVVYPPEGPRPPPPEELRERAREIARKRQQS >Sspon.05G0001700-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:5509754:5516933:1 gene:Sspon.05G0001700-1T transcript:Sspon.05G0001700-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASAEPEKDAAAAAAAEGDEKTEAKGTGSGWELLYCGGTSFDAMGRKVVGGAQGNLVSPTRLRPLVGVDIRFVASGCTACHCVALDAEGKCYTWGRNEKGQLGHGDTLLRNLPTVVSQLSKNLQPLLINICFGCVSGCCLSVFEAVFYSLLFSTAGLPQYGQLGHGTDNEYNAKESSVKLTYEPQPRPRAIAAFSGKTVVKVACGTNHTVAVDSSGFVYTWGFGGYGRLGHREQKDEWQPRIVEVFQKHNVLPPNAIVSAGSASSACTAGGGQLYMWGKMKNTGDDWMYPKPVMDLSGWNIRCMASGNMHHVVGADDSCISWGVAQNGELGYGPNGQKSSANPKKVDILEGMHVTSVGCGFGLSLIVVDRANVGDRLDQLEIYDGDTSAEVEKVEVQVTKKASASTNSRANKRKKTKDVSESESEEDDDDDESGDDENGDIEEPKGRRGRKPSRGRGRGAKKATPEAKPSGRGRGRPKKTESPAQKAGTSGSRGGKRGGKRGRPRK >Sspon.03G0012420-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:44388261:44398829:1 gene:Sspon.03G0012420-1T transcript:Sspon.03G0012420-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding KRKPNRKTCSIFILSCVCERAPPSPISRSQFDPLACSPDPTRPPRPGNSAAAARIRADPPSDSRSPAPPNPRSAAAARPTAAAAMFWRMTGLSAASPVDTILDKENFTLEELLDEDEIIQECKALNSRLINFLRDKAQVEQLLRYVVEEVPEDAERKRSFKFPFIACEIFTCEIDVILRTLVEDEELMDLLFSFVKPDHPHSTLLSGYFSKVVICLMLRKTAPLMSYVQRHPEIVVQLVDLIGVTSIMEVLIRLIGADEAIYSNYGDTLQWLENTDVLEMIADKFSSSDSPEVHANAAEILCAVTRCAPPSLAAKICSPSFVGRLFHHALEESRPKSVLVHSLSVCISLLDPKRLASASYQAFRSNLSHGALVTASPETVDGMLESLGNLLKLLDTSAAESVLPTTYGCLRPPLGKHRLKIVEFISVLLTIGSETAEKELIRQSAIKRSIDLFFEYPYNNFLHHHVESIIVSCLEGKRPEVVEHVLHECDIVGKILVSERLSSLSTESNGPTVPSEGKTPPRIGNVGHMTRITNKLIQLGNSNNTIQTHLQENNEWVEWQTNVLVKRNEVENVYNWACGRPTSLHDRGRDSDDDDFRDRDYDVAALANNLSQAFRYGIYSNDDIEEAQGSLERDDEDVYFDDESAEVVISSLRLGDDQDGSLFTNSNWFTFDGERGIDDRTSASVPSSSPNSEEISLETEEADDGKVVGTEDEMETVYLGNGSAEEVKDVAECTEQPNCCTEDERLKNTDGMERHPDASNNDTDMCTNEAASAAAESSAPSVEKVAEKTVDEPLEAERTVDEPAESSLDSSVSVALPAPVNGSEPANSEASSEQVAHDTDVQQPVKEAPAEDVDAKKTDAAKASE >Sspon.08G0007010-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:21777306:21783134:-1 gene:Sspon.08G0007010-1A transcript:Sspon.08G0007010-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAASVAERAYEVATRSALVALERNLIPDAMPIAIETDKAKTQHYELPTAFFKLVLGRNLKYSTLEDAEVAMMDLYCERSKLQDGQSILDVGCGWGSLSLYIAKKYRNCSVTGICNSTTQKAFIEEQCRENELSNVEIIVADISKFEMERSFDRIISIEMFEHMKNYKSLLKKISRWMKEDGLLFVHFFCHKTFPYHFEDKNDDDWITRYFFSGGTMPSANLLLYFQVVSNHSFFFEE >Sspon.06G0000990-1P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6A:4000352:4001161:-1 gene:Sspon.06G0000990-1P transcript:Sspon.06G0000990-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRGSTTPLHARFLVISSRPRRQATAKRPTQAKALSFLPARRHSRGARPHRPPCTTTPTPPDGARHGRCRPGADPYTTDVVVGHLRQHPARRGARWRRRRVAGAGRAPAPARLPARLSGPTHAAKLPIRARHGPLGPAPPLRDPRRAAHPDGEQSFYFYWSYADPRYFVLDATTSFALRLPDPDPKLTIQHQALVGVLACPSGAGRYVVAELLPFMGTDKADLRCFDSDVGEWVSKCVRYPLPARVLAPLSTLAHHGRLWRFSVPPSIN >Sspon.08G0004200-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:12153308:12155717:1 gene:Sspon.08G0004200-1A transcript:Sspon.08G0004200-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MYREKHRLFLSFWCHCIGIFIFVELQDVNMGMASALSSFNKNSTLLMLTAPVKSIGRIPASWGRHAWSIGREDPRRAVHALKAGIALTLVSLLYILEPFFKGIGKNAMWAVMTVVVVLEFTAGATICKGLNRGLGTVLAGSLALLIEFVAAGTGKVLRAFIVGASVFIIGFAATYVRFFPTIKKSYDYGVLIFLLTFNLITVSSYRQQDVVTLTRDRLSTIVIGCAICLFMTLLVLPNWSGEDLHSSTVGKFEGLAASIEACVNEYFRDRDKGDNVLDKQEEARASIQSGYRVVLAHYASWEPRHSMHCYSYPWQKYVKLGSVLRHFAYTVAALHGCLESEIQTPPSVRSLFRDPCTRVAREVAKVLQELAVNIKHHRRCAPDVLSDHLHEALQDLNSAIRSQPRLFLGSKRACAANKRMLMELNSGKLSAPSRAALHSFKTDATALSETTRSARSDQPAAAAAADRNERSGMLRPTLSKIAITSLEFSEALPFAAFASLLVEMVVRLELVIEEVKNLEQAANFREFTGHDHLVVDLSSTEKTRNSNAAALNPVSAAAE >Sspon.04G0003680-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:11814994:11816054:1 gene:Sspon.04G0003680-2C transcript:Sspon.04G0003680-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSDELAQIDISKEEKDKLVAEVMRYVLFKTHQNSDCPIKREELTGIVTKNYRQRALPTLVINEAKDRLAATFGYEMRELQRTRAPATRSGRPSQPQLNVEAKSYVLVSNLDPEVYSKYVEDKGTAHLSGFAFAVVSTIHLAGGKMPEGTFDHISEDLWHQLKRVGLNENDETHPVLGNNKQKLEHLVQQRYLLKEKVAGPEGHFMMYELAERALDESISAKIKDHISQV >Sspon.02G0008400-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:18144101:18147216:1 gene:Sspon.02G0008400-4D transcript:Sspon.02G0008400-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWSGIIYATVLLLVLLLPQSVSDDRLALGKPLVPGTTIVSDSGVFALGFFFLADSTPANLYLGIWYNDIPRLTAVWVANRGNPATTGTSSAPPSLTLTNSSNLILSDASGRVLWTTNVTGISGSAATAVLLNTGNLVIRSPNGTTAWQSFEHPGDTFLPGMKIRVRYRSRDGERLVSWKGADDPSPGSFTFGMDPGTFMQVFIWNGTRPVARTAPWTGYATISGQFQVNSSSSVVMVSLAVVRTEEEMYLAYSLPDGSAHTRFVLTYSGEYQLQSWGSSDWAVVGKWPANACDLYGQCGPYGYCDGTVTSPTTPTCKCLDGFEPASQEEWSRGRFSQGCRRKVALRCGDGGFVALPRIKPPDKFVLVENRTSEECAMDCANNCSCMAYAYANLSSRGTMGGTRCLVWAGELIDTERVGDTAGGDTLYLRTAGFDAVTRPKSSTAKIVLPVVLLSGILILTGISLAWFKVNGTGSTSLRFYIVLYSPDSTSLRFYIVLYSPEGSGELVSALLFYIITRNAHDILEAGKKQKEGKNKTLSVLGNLNTSEYSGERNPTEGVAFPAVSFQDITAVTNNFDRSFIIGQGGFGQVYKAKLDGREVAIKRLSRDSAQGIAEFRNEVLLIAKLQHRNLVSFISCCIEGDEKLLYKEVRARLANTKNTYSIILRGKNTCSGYMAPEYAMRGIFSVKSDVYSFGVLMLEIVSGVKISSTDHTIDFENLIAWNLWKKGQAKDLIDSSIMESCVPAEALLCIHIGLLCVQDNPNERPLMSSVVFILENGNSTLAIPNKPAYFAHTYNNKVKQ >Sspon.06G0003210-2D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6D:7576220:7576987:1 gene:Sspon.06G0003210-2D transcript:Sspon.06G0003210-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MESTVLSVGKSVLDGALGYAKSAIAEEVALQLGVQRDHAFIRGELEMMLAFLMAAHDERDEHMVVKTWVKQVRDVAYDVEDCLQGFPVRLGMGNSPWWWCCGIPRTLLDRRRVAKQMKELRAKVEDVSQRNVRYHLIKGSAGSKQLAAASSISNAQSSLASASLPGIMEEARWQQDRAKADLIRLISSNKDDDHIRVIAVWGRTSRALVSATSIVRRAYNDLKTNKKFERQAWVTLTHPFNLTEFLQNIVRQFYAD >Sspon.08G0018880-2P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8D:8316115:8316414:-1 gene:Sspon.08G0018880-2P transcript:Sspon.08G0018880-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRELMRRLSFSDRVSDGSGGVPRGCVPVLVCGDGDGERFVVRVEALRHPSFAALLEMAAQEFGYKQEGILRVPCDVRHFKEVLAAVSVSVSVSSPRSRN >Sspon.05G0012930-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:29266923:29267473:1 gene:Sspon.05G0012930-3D transcript:Sspon.05G0012930-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSETPGAMQSGVLPLLGKPYFTCILCKSHVHQPFQVVVPRSLAPFLPATTVPAMVTWHGRSWEMRFTGGRQIQRLEAGWRGFVLDNDLKLGDGCVFELLDGKAEGVVFRVQVLRAHIPEEIRERAGGYTSSTPILID >Sspon.03G0040940-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3C:35034884:35035318:1 gene:Sspon.03G0040940-1C transcript:Sspon.03G0040940-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGDAVLSSMDSLWFYSSVFLRPSSKHKESECAEEAQQDSASETNKTTSGRGRPCQPPKCVKEAAAQTGQRAEGGMVRIAAARGCSWEWDERMVVWQKEQRRRARVAAAARARCSQVTMPPPGEGVAMKAHLRSWAHAVACSVR >Sspon.04G0017060-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:11131317:11133142:1 gene:Sspon.04G0017060-2P transcript:Sspon.04G0017060-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MELHKYWGVGGRRCGSCEAAPAAVHCRTCVGGSFLCTTCDARPAHARLGHERVWMCEVCELAPAAVTCKADAAVLCATCDADIHDANPLARRHARVPVAPIGSEAAAAAVEAMLFGTGEAAAASEADGHNAAAAEQQQHAHAHALNLNVEAKDMKLDYLFSELDPYLSVEIPRFQHADSVVPNGAGAAAVELDFTCGIGVKHSYSSYTATSLDLAHSGSSSEVGVVPEAFGGGGGSFELDFTRPKPQAYMPYTATPQSHSVSSVDVEVVPERGDLPAVRPVPLMGESREARLMRYREKRKNRRFEKTIRYASRKAYAETRPRIKGRFAKRADHDGDADADDAEAEAAVPSSYVLDFGYGVVPSFA >Sspon.01G0042720-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1B:67872629:67872844:1 gene:Sspon.01G0042720-1B transcript:Sspon.01G0042720-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAWAYCHLQEVAVWLHPAVVVGSVRLECQSRDGLYTVGAADAVVGGLQCSSSAAYNVHPRARRWAQP >Sspon.08G0017710-1P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8B:2622237:2622581:1 gene:Sspon.08G0017710-1P transcript:Sspon.08G0017710-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGKGGSGGGGKGGGGGGGKGGGGGGGGGKSGGGGGGGKGGGSYSGGGGGGGKSGGGGGGGYAGHGGAGKSGGGSGGDDMMKAPGGDGAYISRSGFESNPQGYFQGLNQGGGNK >Sspon.02G0026530-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:115800031:115804781:1 gene:Sspon.02G0026530-3C transcript:Sspon.02G0026530-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAEWDSRSEASPTGDWPPVAAASPVKGKAAMPDSDAGASASGSSEAKVDDGNIQEAESSLREGLSLNYEEARALLGRLEYQRGNVEAALRVFDGIDLQAAIQRFQPTLSEKPLSKRNNKLRSDSSNSGSQHAASLVLEAIYLKSMSLQKLGKAMEAAKQCKSVLDAVESIFQCGIPGVMVEQKLQETVSKSVELLPELWKQAGAYQEALASYRRALLSQWNLDDECCTRIQKRFAVFLLYGGVEVAPPSLASQTEGSFVPRNNLEEAILLLMILLKKWFLGKTHWDPSVMEHLTFALSLCGQTSILAKHLEEVLPGIYARTERWYSLALCYFAASHNEAALNLLRKSLNKNESPNDIMALLLAAKICKYAKRAVKDAESSDGHLKSVALHVLGSCLSKKSKVASSDHQRSLLQTEALKSLNEAISLDRHNPELIFDMGIEYAEQRNMHAALKCAKEFIDATGGSVSKGWRLLSLVLSAQQRYSEAEVVTDAALDETAKWEQGPLLRIRAKLKVAQSLPMEAVEAYRTLLALVQAQRNAYGSATNGTEDKVSEFEVWQGLANLYSSLSYWRDAEICLQKAKTLKTYSATTLHAEGNMHEVHEKIQDALAAYFNALSMEVEHVPSKVSIGALLSKQGPKFLPVARCFLSDALRLEPTNRMAWFYLGEVHKQDGRLADAADCFQAASMLEDSDPVESFRSL >Sspon.07G0005860-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:15261020:15261337:1 gene:Sspon.07G0005860-1A transcript:Sspon.07G0005860-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIPILNSGGLFVSTNHRLATTLWTRHAGRPVDLASSAKTLHVDQVSKQIVRHVIWSGCCHWSFFWPLISLHPSICSHVRTSVCLRNRRVVTLHRFWCWRWNKLH >Sspon.01G0046070-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1B:96404357:96405272:-1 gene:Sspon.01G0046070-1B transcript:Sspon.01G0046070-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RRLTILDLANNRLEGEFPQCPGTISQMTALILTNNSLSGTFPSFLQGCTQLGLLDLSGNNFTWRLPIWIGDLMELRYLRLSNNLFYGNIPFTITNLGKLYDLDLAGSGLSGAIPCHLSNLTAMAGNGKNDDSGDQGGYFDGSLSLLSLSHFKVPVTTKGQELYYYFALSSMVTIDLSSNYLIGGIPEEITSLKLLKNLNLSRNYLNGRIPHEIGFMQSLESLDLSSNKFSGEMPPSLSNISFLSYLNLSYNNLSGRIPAGSQLDTLYLEHPDMYSGNNGLCGPPLRINCSSFSCCPCVPMGFCW >Sspon.04G0028190-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:64482303:64488633:1 gene:Sspon.04G0028190-1B transcript:Sspon.04G0028190-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRLPGAAASSPLPRALLLLAALALFSISFLSLRSLLPAADPAVAVAAAKSRPLLRLQSSSSVYHSPEAFAAGYAEMERSFKVYIYPDGDPKTFYQTPRKLTGKYASEGYFFQNIRESRFRTDDPDQAHLFFVPISPHKMRGKGTTYENMTVIVKDYVEGLINKYPYWNRTLGADHFFVTCHDVGVRAFEGLPFMVKNSIRVVCSPSYNVDFIPHKDIALPQVLQPFALPGGGNDIENRTILGFWAGHRNSKIRVILARVWENDTELAISNNRISRAIGELVYQKQFYRTKFCICPGGSQVNSARISDSIHYGCVPVILSDYYDLPFNDALDWRKFAVILRERDVYKLKNILKSISQEEFVSLHKSLVQLQETQPSQSQVQTSDVSESILKRRNAFSLPLRTSRSSLSTPRPASKCSWTMWPGASTWVPECTTMRSSDTLLTDPLAMDSVSRVTAPSPSRPGNGSSG >Sspon.02G0027060-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:125204223:125208848:1 gene:Sspon.02G0027060-3C transcript:Sspon.02G0027060-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding HGRRSESHPSAIHLHRRIRGGRGRGRATPAASASTLPNAAGAVPPAAAVAAGHVPLPLQHRRSPPPPRPASLPTASAAPAPAPAVPLPGRRRRPRSRRPPAAAAGSGGGGGGGGAGPGGAGTKPRDGDGAAGRHAGLQPGRQDTLPVHRGRCQQLLPYHVVADYEAEEDDRILDSDTTGQIPSRLQQWDHNILVKIAEFTTTFDKQVLAYNIMTKKRAIGEFRSEERLMLEQALLQEEKQAMLGLRAEMESREKAGREAAEVKMRMAMEHARAEAQAHSEMMNHGPIRASAVASQGDDGPSHDMVQEHGEDEWGNSQRDDEDPSEDFLNDENEPENGNSDGQEDWRRSGELDLNS >Sspon.01G0012720-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1A:34316044:34317185:1 gene:Sspon.01G0012720-1A transcript:Sspon.01G0012720-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSRGFFALVLLACCSLMLAASASASGGGVDDDVVGGDKLMMDRFLRWQATYNRSYPTAEERQRRFQVYRRNMEHIEATNRAGNLTYTLGENQFADLREEEFLDLYTMKGMPVRRDAGKKQANFSSSAAVDAPTSVDWRSKGAVTPIKNQGPSCSSCWAFVTAATIEGITQIKTGKLVSLSEQELIDCDPYDGGCNLGYFVNGYKWVIQNGGLTTEANYPYQARRYQCNRSKAANHAARISDYVQVPQGESQLQQAVAQQPVAAAIEMGGSLQFYSGGVWSGQCGTRMNHAITVVGYGADSSSGLKYWLVKNSWGQSWGERGYLRMRRDVTRGGLCGIALDLAYPV >Sspon.05G0031110-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:13822541:13828526:-1 gene:Sspon.05G0031110-1P transcript:Sspon.05G0031110-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear pore complex protein NUP88 [Source:Projected from Arabidopsis thaliana (AT5G05680) UniProtKB/Swiss-Prot;Acc:Q9FFK6] MTRITAPPPPSSPPGSPPPIRHSPAPATPPSRRRGRHSPSPSLALTPSSASTSSRTKLRPTPKRAYSPAQWVPLNSHPVFSRRDGGGGGAAWDAAASRLYAWDPSACGAHRIGVRTRDPDAENGEVDVAVEAAVPSEVRNGKLVFSIIHGKMGKTGRGRTTFLSLLLTPESDLGYTVTHISLNTDGSSLLLVGSHNLSVLYVHERVSEDGDTIICRTAPVASQILPSNSDGIKVLQASWHPFSNNHFAVLTSDAVFRLFDLSSDLEQPEQEFYLQPILPGKCQNASAICPVAFSYGSDHLWDRFSVFILFSDGSIFVLCPVVPFGSDYSKKQIQEIYEDVNAFGLKSSNPNVVTNSHLAIAWLEATFPDLLHQSTDTSFLMSKAHAYAPVDDSLTLQGPLCRVCEESNESEGKSSSCEGKAVGFMYSSAGKDSVLVTAWGSGQLQIDALADEIQPQWNIGVPSRLNVDSHGQIKSVAMICDSNSQDPLALRSHRPSSTGSNVKSNIEAVWMGNSPPLLRLAIVDLALPKTPNDNSLSLFPDPLVPERFYCAHGGGLTWSHCTSYLFHTPKCLQHHLCASCPHYGQQRNKFSFSFWISDAYGHVQLVGITCLGECFVVEMKGWKEPTPLQLDIESKSTKDVEPPATGMISKELIAGKSTLHHYIKVFHEYYVEYGHKVFIELKEHADYLKTEMEDKQKRLEAVKKSLISIEAKDGDINKRIDRAFKVYELLEKRIDSFKMLPAANKKPLSQAEQEFKAQLDRFADVELDALRSSIAALSARMKRFAQQSMGGAASTGVTPWQAPKAGRSHISESQMSLLKSSLEKLSLLNEENNLKLRLIDHELKNQEQ >Sspon.03G0017700-4D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3D:42455959:42456375:-1 gene:Sspon.03G0017700-4D transcript:Sspon.03G0017700-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSRSQQIKIALRYVSPPGIKSMDVDAEKCTLTVVGTVDPVCIAQKLKKCFAVNIISVEDDKPPPPPPDPCKEVCEKKCDKITCCKECKDECKETCERQCKAWLESGSCCSCCPRCAVPSYPYSGCSGGGGWPWPYGY >Sspon.04G0016730-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4A:61305561:61307866:1 gene:Sspon.04G0016730-1A transcript:Sspon.04G0016730-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYDPDKAKWVTVNKKCLAVIKNIIKPILVDSILNCDMVVECLERIKSQFTGSSKTYATQQIKQLITEKYTETRHVVFLEDEMMRGSVVARKINLGEKRVYAPNPMIQKPFFELPAIAALIMQDTVVPTSVVIPPMATMNDDEKLVLQDPIEPVVTLRGSSNSLKLKMYQMWRP >Sspon.05G0009210-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:26693035:26695691:-1 gene:Sspon.05G0009210-1A transcript:Sspon.05G0009210-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDSRDGRRAALADLSGEVGGGGFFIRRVASPGALAVRGAGKPLARRYMSPSRNKENLLPVWASRATPTKRSPLPGWYPRTPLRDITAIAKAIQRSRARIAAAQQQSQRIEQSPQSVNVTTPAQAEQDAPHIAEASHAVASGSGSTERETVANPATVLADDNLNVSSSTAESSLNTPSKPMDPALADIVEEKLSSSIEKIEKLVRKNLKRTAKAAQASRRATQRRNL >Sspon.05G0031310-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:4347940:4350802:1 gene:Sspon.05G0031310-1C transcript:Sspon.05G0031310-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKVLSDLNALKKLYGLLQRLDEASRALLKKLLDDATRQALLKQAKALSTASDSVIPFSLEEAEMEQILSDLQALTRLYMLLHKGSADENLDEASRDLLMKILEDATQEAVRRQAKMLSGSLVSPALERKLSTRSDCRTRHADPRLRPVASPRPSLLNSERPRRLNLQHCTVSSRSGLHVDGHRHAAEEHPLARLASKHSSRTALPARHRPSQEQRHSSLSLHHFPAAGTSRHGTVTGGTRLADRRDSIHRSSGRGDQWPLERSSSSRSSSWRSVSRELSLGPSSRLHGRATPRHVVAESSSSVHLFERMNSGLSLSMTSHHGVEHAERGVATPERSSSSNTMATIQSRIRPSNNLLKEGSLHRSAAEGRRTSRGRQQGSCVSSADMYSSMSSGSRSSSGAASLSASTSPMASPAPRPFANPYYYSPPVMTRGIAPPVMTRGIAPPVYAPEVSRSMRRRRRQEILEKRVARLRMLKDKIATVFHHRHDHHHHHHLGGGQEAGPSSRSVVRGAGHFNSPWKYFTGMFHRVKGKDKNARSRAVVGVSEKRRGGGGNMHALFDAVRQHLKGKRRAPAGMKLRKKASRVRGKKMHWWQRGVAGVTAGSRPRRRLGHGKAGWL >Sspon.07G0004320-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:4353933:4357590:1 gene:Sspon.07G0004320-3D transcript:Sspon.07G0004320-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIDLNTVEEDEPTAGAVCAELWHACAGAGVALPRRGSAVVYLPQAHLAAGGDGGELPALAAAAARVPPHVVCRVVDVELRADAATDEVYARLALVAEHKIVGRNIHDGETEEKNGEKEDGDGEKLTSHMFCKTLTASDTSTHGGFSVPRRAAEDCFPPLDYEQLRPSQELIAMDLHGMKWRFRHIYRGQPRRHLLTTGWSSFIHKKKLVSGDAVLFLRGNDGELRLGVRRAVQLKNEALLEAVNCTDLKLLMLSAVASSLDNRSIFHICFNPRIGASEFIVPYCKFLKGLNYPFSIGTRFKVGCDSEDANERSFGLISGISEVDPICWPGSKWKSLLVKWDGDTKYSHQNRVSPWDIERLSFS >Sspon.04G0007260-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:21049907:21051829:1 gene:Sspon.04G0007260-2P transcript:Sspon.04G0007260-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Receptor-like cytoplasmic kinase, Salt tolerance, Oxidative stress toleranc [Source: Projected from Oryza sativa (Os04g0540900)] MYITREPAFGDSPLFSSSICRLLCPPCPQLIQEGTAKNASSLTSNEAIKGASTPSRGGLVLYQGCGLFACARRGRGDHLRKRGELGGASSRPVCVDDAETEDSSGAAARQLAWAEVETATGGFSSKVVGRGGFSTVYLASLSSSSSSRLGAVKVHCSSERLSRAFRRELDVLLSLRHPHVVRLLGYCNERDEGVLVFEYAPNGDLHEALHGGSGDLLPWARRVAVAFQVATALEYLHEGRDPAVIHGDIKASNVLLDASMDAKLCDFGFAHVGVSATMGGGRPSDRAVMGSPGYVDPHLLRSGVATRKSDVYSFGVLLLELLTGKEAVCCETGHRLTAAVRPKLSDGQVSDVLDQRLGGDYDAAEAAAVAELAMQCVSDSPGLRPSMADVVRVLQEKTSAAGSRLDRKMVS >Sspon.03G0014750-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3A:47169727:47175736:1 gene:Sspon.03G0014750-1A transcript:Sspon.03G0014750-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SLLCETIPKHRDYHAFKLREKAFFDKLIDVIKELETLKPVVQRQVAEHNRGGTVESNTNSLNGNYGTARRIEQRTPISYTPQPFVGSTNGALQKPFHAGRQVASLPSVQKQFMNLPYPKEETLARHSILGPNGLNGRWNGPVTEIKVQYPSNFELTQNDITSLVPSILNQDDSHGPSTAPPPPDSSSNDNDDMKSVLSLDDGRWSVPAEERTALPSASLEEELFQLNIKQPSPPPVLAEVQRPISPSRVADPTPGLLTSGTARFQNLHVCEATNEEELFEVQDTGSLFTLGWIHTHPTQSCFLSSIDLHNHYSYQVMLPEAIAIVMAPTDTTRKHGIFHLTDPGGMGVIHDCQERGFHPHKAPLDGSPIYEQCSHVYMDTDIKFDMIDLRER >Sspon.04G0003160-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:10491347:10494071:-1 gene:Sspon.04G0003160-3C transcript:Sspon.04G0003160-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSLLQGYSSAEDDDDPAAGTELSESGDSSAEESGSEGDEGSAPPKPALKPRRRPNPKVGDASGGDGDSSLPSALEAFADVSGPPEFLRHRVAEPEEGTEALGVLDRRGKEGSKHPPPGAVVVAKAQLVAIRERVTTTGAIPPGSVTSGSVDGKRIIGAANPGPEDAADLLRMCLQCGVPKTYSHGKGMVCPQCGDRPVQTKEPEKKKGSTVKDKEKIKRMRGQSSHASWKSETEMALRQQFD >Sspon.07G0029370-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7B:75790466:75791180:-1 gene:Sspon.07G0029370-1B transcript:Sspon.07G0029370-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSLSCARAANQIVAPLLELQHAKSPTSAIRHRDDHATIAKTPSRHPPRAKTSAGHITCFVKGLPNRRYGPDHGRVIAALEPATPL >Sspon.05G0011460-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:24236832:24240896:1 gene:Sspon.05G0011460-1P transcript:Sspon.05G0011460-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQEGANLPQDVEGSHDQATAPHSSIPASVGSPTPTVMPAGSNPSSVSMLASAINNAGLSEHASPAVLSAGKDSNAASKIASPAVLQAGKDSSPVSKIASLEVLLADEENKAEFKIASPTVVLAGEEKGNDAVGSKLAGPVMLHDCDNSKAESHAGVDNNAARSKLTSPAVLLASDDNNGGSKITAPAVPHAIVDNTTGTKLNSPVTLHTNEHNNAGFKLPNPAVLHASKDMDNNAERPKFASPGAVLLHAGEDNKARTKLAIQAVHHAGGNARSSRLTRSAAAALHAAKENASKLAIQVVPRPYAAKDNNAGLSKAATGPVAVDAGESNAKEGKNNVAGEQRSHEADVGGGSGKGNAPTVEDADPNLHIFTERERRKKMKNMFSTLHALLPQLPDKADKATIVGEAVTYIRTLEGTLQKLEKMKVERKRALAAQQQLAVGASSSHASSARHPTPPAPAPAMSSSREANLADMVHGLAQQAAVAAANKALVAAASAAAVGVGGSSSSGVSAQLPRGTVPFPAPAAGFQTWSGQNVVVSVANNEAYINLHCPREPGTLTKALFVLERHSIEVVTTTISAHDGFRMYGIHARANPASASARFPENLCAEDRFKLAVSEIVQLINI >Sspon.01G0016980-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1A:61275535:61277644:-1 gene:Sspon.01G0016980-1A transcript:Sspon.01G0016980-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRPLSPPPSIFSHFPPSPLAFVPLQLVDPPLLLQRRRKQHRRRRLLQRRRNQRRATPDGDAPSHAGAANSSGSFPGGGRGNARTDDCDPLGEGGRGFGHQRSARKDGCDRGSFPGGGRSLWSRPSSYCLPSSHASPRSIRYPSSGYRCFDLTSRHVLISRHVMFDESAFPYSTTSPPTPPPDPVEASFFPTDPAIPPPFNLYPAGTAPAHSPGSPASPLPDSHQDLPVPDTVEAAPELPPSLPVASLPPVVPDAAVPIAGPRTPTPPPPGRFGLVYQRRREPRPPSPPPGRFGIVYERRVSRLRRSRHQRRRRRCMLRRHRHALAPTRRQASRPQTLTAAACEPGISPVPSSVRAALADPHWRRAMDEEYAALLDNQTWDLVPRPPGSNIVTGKWIWTHKRRADGFLERYKARWVLRGFTQRPGIDYAETFSPVVKPATVRTVLSLALERSWPVHQLDVKNAFPHGTLTETVYSSQPAGFVDSSRPDFTLGFTEAKSDTSLFIYHYGGETAYLLLYVDDIVLTASSESLLHRIIASLQKEFAMKDLGVLHHFLGVTVEPRPSGLFLHQRQFTCDILERAGMTACQSCSTPVDTQGKLSEAGGPMLGPADSTAYR >Sspon.05G0010350-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:5A:29554568:29555044:-1 gene:Sspon.05G0010350-1A transcript:Sspon.05G0010350-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSHLFPPPPGRKSLLLQTPSHLPPPSFSPVARVRTPLRLTVQPPTRPLLLGGLLPTRPLLLGGPPPTRPAGGANSTSTPFVPRDDILLDCGAKGQGNDTDGRVWTGDAGSKYAPPDNLASVASASGQDPSVPLVPYLTSARRLGSQLPPSDFLVVWPL >Sspon.07G0017530-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:62991201:62993297:1 gene:Sspon.07G0017530-1A transcript:Sspon.07G0017530-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLNAMVAACYAVPVLASVLTVRFFYVLWHSGQPASRPCTTRLRCLIVLGSGGHTTEMMNIVTTLQKDRFTPRYYVAALTDNMSLQKAQSNGMKTAENAHFMQIYRSREIFCNGPGTCFPLCISAFLLKVLGLGWSSIFYIESIARVKKLSLSGLLLYKLRIADQFFVQWPQLQHEYPRACYAGRLM >Sspon.08G0025910-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:12251715:12256598:-1 gene:Sspon.08G0025910-2D transcript:Sspon.08G0025910-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGAWSSSAPPLRACFLPLLLLLVLAAFSPAPTLAAARPSSIRKPAAFPAVAPLHLQALKRQRASGGELVAAAADGSSGSGTANGTAAKKPFTAHYFAQELDHFTFTPNASMVFRQKYLLNDTFWRRPSAGGSNGAGPLFVYTGNEGDIEWFATNTGFMFDIAPKFGALLVFIEHRFYGESKPFGNDSYKSAETLGYLTSTQALADFAILIRSLKKNLSAEATPVVVFGGSYGGMLASWFRLKYPHVTIGAVASSAPILQFDYITPWSSFYDGVSQDFKSESLNCFSVIKGTWDVLDERGSTDKGLLDLSKLFRACKTVKYAYSIRNWLWTAFSYTAMVDYPTPANFLENLPAYPVKEMCKIIDGFPAGADILEKAFAAASLYYNYTGDQTCNKIEDGDDPHGLDGWQWQACTEMIMPMTVSNESMFPPSTFTYDERSDECFESWGVRPRPHWITTEYGGYFARMNHKWKQLLKIDKVLKRFGSNIIFSNGMRDPWSRGGVLKNISSSIIALVTEKGAHHLDFRSSTKGDPDWVIEQRRQEVDIIQGWIDQYYQDMAETSS >Sspon.07G0003690-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:8409463:8410931:1 gene:Sspon.07G0003690-3D transcript:Sspon.07G0003690-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKTMVLYPGLVVSHFVPMVQLADVLLEEGYAVVVAFIDPTLKGDIALAAVIDRVAASKPSVVFHMLPRVEDAPTFVHDSKFMVRYSEFVGRYSQHLHDFLLSMPPGSVHALIVDMLSIEVLDVTSKLGIPAYAFFPTNASALAVSVQLQAKASSLRSEGQPSFGELGDAPLNFHGVPPIPASHLNAEMLEDPGRATFKATMNMFRRIQEANGILVNTFASIEPRAVSALSDPRSFPKMPPVYCIGPLVAGNGSQGTEKKHECLAWLDEQPENSVVFLCFGSTGAGNHSEEQLKEIATGLERSGHRFLWVVRAPPHDDPEKPFDPRADPDLDALLPAGFLQRTGGRGHVVDVLHHPATGAFVTHCRWNSVLEGIIAGVPMLCWPLYAEQKMNKVFMVEEYGVGVEMVGWQQGLVKAEEVEAKVRLVMESEEGKLLRARVSKHKDGWRLVARFVCPLPIARRQRWARPPGQNLTHL >Sspon.01G0032520-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:109777788:109782214:1 gene:Sspon.01G0032520-1A transcript:Sspon.01G0032520-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWRVVLGWAAGLHSIIGPSSTGSTRDGPYGSSLLTRRLLRSPRIQISPLAFHSNSNFSSAGRAGRRKPQRRRHPTPPHPSDSIRFAGGARSGGDASSYSFPLFEPAEIEAALHSYGIAPSPSLCAEDIVHPQPGFVAEVLHLFLLNFVGDEPDEQLQFQALQVLDNPEHHMRALRFSRIYKRANAFLQSIQFRDLNLRDLLRADGPRVVHILSALINFLHFRQDRLSVLMPVVQEYGALENGRRSSGLRRRGLLSKAKEDHLLKEQVEAPMVQQLEKEVNALKQRLHDYNTEQLSLRHASKALDEKREETLRKINQADFELVKVMQEKEKLSAKIVRSPEKLQRNLEEKKAVRDELKNLEKMAMQKVQEKTNTLEMYTKVSEKLAKHLSKISAVLEKSAAAKASEKDVKAHKEKISDQNLEIKALRNKAAEWEMKVLENEAKLKAKEKERDQRVGENKRKMTALKSEVESEHKCLEEKQRKIKEKIDKGSELCSQADLVAEAGRKKIEEIYGKYDQVCEAAKMYMDGMDQSFDETDEDAVMLNTIAQSGA >Sspon.05G0001300-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:3082877:3086339:1 gene:Sspon.05G0001300-2D transcript:Sspon.05G0001300-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALRRGLGLLLLAALFLAVAGAASAAPGGMSIISYNEEHGARGLERTEAEVRALYELWLAEHGRVYNALGEHDRRFRVFWDNLRFVDAHNERAGEHGFRLGMNQFADLTNDEFRAAYLGARIPARRETAVGEMYRHDAAEELPESVDWREKGAVAPVKNQGQCGSCWAFSAVSSVESINQIVTGEMVTLSEQELVECSTDGGNSGCNGGLMDAAFDFIIKNGGIDTEDDYPYKAVDGKCDINRRNAKVVSIDGFEDVPENDEKSLQKAVAHQPVSVAIEAGGRQFQLYKSGVFSGSCTTNLDHGVVAVGYGTENGKDYWIVRNSWGPKWGEAGYIRMERNINATTGKCGIAMMASYPTKKGANPPKPSPTPPTPPPPVAPDHVCDENFVCSVGSTCCCAFGFRNVCLVWGCCPIEGATCCKDHASCCPPDYPVCNIRAGTCSVSKNSPLSVKALKRTLAKLNTA >Sspon.02G0050340-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:55563640:55566631:-1 gene:Sspon.02G0050340-1C transcript:Sspon.02G0050340-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLDGLHRWADAMVDTGLAKLGYDYINIDDCWAAYDRDSQGNLAANPSTFPSGIKALADYVHEKGLKLGVYSDAGSRTCSNQMPGSLGYEEQDAKTFASWGVDYLKYDNCNDQGLSPQPRGVNDPATWASGVGNSWRTTGDIQDNWGSMTAIADANDKWASYARPGGWNDPDMLEVGNGGMSTEEYRSHFSIWALVKAPLLVGCDIRSMSNDTKEILSNHNVIAVNQDVLGVQGRKVLQDGDQEVWAGPLSEGRVAVVLWNRGSDEASMTASWSSIGLNESTVVDAHDLWTGEVTSSVQGQLKEAVDTHACKMYVLTPK >Sspon.02G0038880-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:49267115:49269508:-1 gene:Sspon.02G0038880-2C transcript:Sspon.02G0038880-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sugar transport protein 14 [Source:Projected from Arabidopsis thaliana (AT1G77210) UniProtKB/Swiss-Prot;Acc:Q8GW61] MAGGFGGGGAAAGRAEFYEGKITGYFILACIVGSFGGSLFGYDLGVSSQYPFLIQFLPTALSSCQFFIRGVTSMDDFLKKFFPDVYHRKQAHLHETDYCKYDNQLLTLFTSSLYFAGLVSTFGASYVTKRRGRRASIMVGATSFFLGGAVNAAAMNIAMLIVGRVLLGVGIGFGNQAVPLYLSEIAPYRIRGAVNQLFQLTTCLGILVADIINYFTDRLHPWGWRLSLGLAMGPATAIFVGALFLPETPNSLVERGHLEEARRVLEKVRGTHKVDAEFEDLKEASDAARAVTGTFRNLLAVRNRPQLIIGALGIPAFQQLSGMNSILFYSPVIFQSLGFGSSAALYSSIITGSMLVVGALISMVTVDRLGRRFLFIEAGIQMISSMVVVAVILALKFGKGEELSKGVSTVLVVAICLFVVAYGWSWGPLGWLVPSELFPLEMRSAGQSVVVCVNLFWTAAVAQCFLAALCHLRWGVFVLFASLIVVMSIFVILLLPETKQVPIEEIWMLFDKH >Sspon.02G0059600-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:96008213:96010809:-1 gene:Sspon.02G0059600-1D transcript:Sspon.02G0059600-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKPQRRGSRMNKSARTVRKECIAHHYWHHMDDPKFFFKILISDFKNGMTIPKKFVANIREQISEQVTLEVPNGKTYTVEVAEEQHELVLRFGWAEFASAYELELADLLVFENTRNSHLKVRIFDRSGCEKELSCVLLDRVPCMQERKGSHGKQMQSPTGKRLATKRQYRKTPKMNSADSPSIQKKAEHVPSSEGIHREPTKSGHVSPTKRHGAAKPPYMLPRYTSLTDEQRSKVEEKVGAIRWEIPVYVAVMKNSNVNSKTRNLGISKAYAREYLPGGGGEKQLRMRMRLRRKDNAWEPEFQDKYGRQQILGKGWRKFVTDNKLKLDDICLFNLVKNTKMLTMDVHIIRKRSV >Sspon.01G0017070-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:57632735:57638974:-1 gene:Sspon.01G0017070-2D transcript:Sspon.01G0017070-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyridoxine/pyridoxamine 5'-phosphate oxidase 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G49970) UniProtKB/Swiss-Prot;Acc:Q9LTX3] MLAVASRTRNKSATTVTLAMPFLLSAANASATTNPRSLSRSPRSQNNSRAHRLQLPARRLPSFPAAAPGAPFAPLPQSRGMASLAASAAAAAAAEVTHLTQRDAAEIDEQLMGPLGFSVDQLMELAGLSVAAAVAEVYKLNEHARVLVICGPGNNGGDGLVAARHLYHFGYRPSICYPKRTPKRLYSGLVTQLESLSIPFIPVEDLPNDLSREFDVIVDAMFGFSFHGTPRPPFDHLIQTLVSLSVIGNSDKRPAIVSIDIPSGWHVEEGDVDGGGIKPDMLMSLTAPKLCAKKFTGPHHFLGGRENYISPELLERQVMSDPFDQFLKWFDEAVTAGLREPNAMALTTVNKEGKPSSRMVLLKGVDKQGFVWYTNYGSRKAHDLSENPNAALLFYWNEMNRQVRVEGSVEKVPEEESDKYFHSRPRGSQLGAIVSKQSTVIAGREVLQQAYKELEQKYSDGSLIPKPEYWGGYRLTPTLFEFWQGQQSRLHD >Sspon.05G0007550-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:25129989:25134117:-1 gene:Sspon.05G0007550-1P transcript:Sspon.05G0007550-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MACYSAVLQHPLGSSLTPCSASRAPPRLQRVGVATPLVASARPVARVRIAPRCVYSGGAGATPGPGELPAAALRRVLEAPGAHQAPACYDALSARLVERAGFRACFTSGFSISAARLGLPDVGLISYGEMIDQGRLITEAVSIPVIGDADNGYGNCMNVKRTVKGFINAGFAGIILEDQVSPKACGHTQGRKVVSREEAIMHIKAAVDARNESGSDIVIVARTDSRQALSLDEALWRVRAFADAGADVLFIDALASREEMKAFCAIAPGVPKMANMLEGGGKTPILSPVELEEIGYKIIAYPLSLIGVSMRAMEDALIAIKGGRIPPPSSLPSFEEIKNTLGFNHYYEEDKRYAVTPAQSFYETGYDNYTSEPKNPGDSRSRAEKPQEPVIDILPQLYDIGSSGSRGPSTGMWSRTLRLKITGRDGVLKIDARIPVSLAGANIMERLRNAPIDTDNPQNGQILLDFEDAMGDRIQS >Sspon.05G0002030-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:4888888:4892158:1 gene:Sspon.05G0002030-2D transcript:Sspon.05G0002030-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTVREEAWPVAAPQQRQQPSAPQPEQQQQNGRIDLRELKAQLEKRLGPDRSRRYFGYLNGYLSERLGRQDFEKLCLQTLGRENLQLHNRLIRAILYNSYQGKCPPPPPPLDAGRRPVGASVKKASQAAEVLNACNGDARLLQVQGLRPVATAQDHTLNDSMNNMGPNCRVTAAVNHNQVTHGASGSLENGTLNPQKKYEQHCRPVCRKIEKSCSGPSGATNEIGSNQLISINDFKVAVSLKAKEQTLLFMSMSVFSYISIRSSIAGVGLSGRFLFER >Sspon.04G0011450-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:30894012:30900549:1 gene:Sspon.04G0011450-2B transcript:Sspon.04G0011450-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSISPRERTGGHYYPRPHNPSPSPQHGSDRRRHGRRSPPAYVSPPGAAAAAGDRGVGSSSDPVVPAIGESINAPEKVVGFIISGEENHACTQEVGNISECHSSEQGNSGFPVGNFVSVGSFPERPKMAGSLQADHLASGSTGLQGNGTQITARKNQAVNANHLLNFQYDPISRPQPRGPRTYPPRRQRKIKPYNKDLFLQANYKFVVLDTGNYQIESMDPDKMLQWEDIICVRYYSPSEVQCPICLESPLCPQITSCGHIYCFPCILRYLMMGKEDYRGECWKKCPLCFMMVSTKDLCTIQITQVQNFCAGDVATFTLLSRSRNSLTPSIKISSSENSTADEDPYNVFSKFILTSDVELSVREAKLDLSNWLHMADLGLVDDLEKLPYVSAALEQLEEKMKYWIEYRNYGGSPPSKDSFSPGSSFKSRNSFDVNTSHQISGHTISSVSDRDMVSGISELSMSPELSKSSGKGTMSKVNEKCTTTVDSNEHDPYTFYQVSDGQHLILHPLNMRCLLNHFGGSDMLPPRITAKILELETVTQSEAIRKRYRFLGHFSLTTTFQFCEIDLSDIVSPSSLAPFLDEIKKREKQRKRAAKKEESERAKAEVAAAVQASAMQFEYTNFSQSHNDVMFSLDDFEALGNNAGPSTSPPVGERKLFSDVTRLGFASAQDSPPLRLGTGDANGQSENSRDKGPSALSFASIISSSRAVAAADNSEMQKANAAGKKGKKATRVLLSTGGGRRY >Sspon.01G0026710-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:94579682:94595373:1 gene:Sspon.01G0026710-1A transcript:Sspon.01G0026710-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGGAPAGAAGAWRLLSVKWLEDELELNALHNPGSRRGNGNENSAVGQRAALSAALGSRVEAAAMNTISGVKATYLLAVAFLEILRFSCNGGILSATSTLNRSNSAFSCVFEYLLTPNLTPAEDRISDIGEGADVRESVLSVHACFLIKSMSQRDEHVRDVSVKLLTQLKEKFPQVLWNSSCLDLLLISVHNELTSGPVSDPAWVATVRSLYQKIAREWLTSALSYAPCTTQGLIQIRICSGKNDWNGIRTANVPAVMDSAAAASGAKKETPDFTLEVLSTAVVSATVKCNHAGEIAGMRRLFSTMGGVNMVMSPPGMQSVHPHQSFDEVFVSKFVSLLQNFVVAAEKQPIDNSQFRETCSQATALLLDHMVSDSRANLEGFSQLIRLLCWCPAYISTPDAMETGIYIWTWLVSAAPSLGPLVLAELVDAWLWTIDTKRGLFASDMNYCGPDAKLRPHLIAGEPEAPPEKDPVEAIIAHRLWLGFFIDRFEVVRHDSIEQLLLLGRMLQGTMKSPAHFSHHPAATGTFFTAMLLGLKFCSCQSQSNLQKCNMGLQLLEDRVYRAALGWFSYAPEWYESQNKTYAQREAQSVSVFVHFLQNERTSGPVDSVSKSQGREGEPNMGDQIHPVWGCVDNYATAREKRKQLLQTLSQNEADRLEVWAQPINTKHDYWSFGEYVDESEKDAATLK >Sspon.01G0031180-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:107331587:107342686:1 gene:Sspon.01G0031180-1A transcript:Sspon.01G0031180-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ICLGVSAMAPHYQAATLIASPSYPNAIAWSSDNLVAVASGHIVTILNPAALDGPRGLVGLRRSDPFPIGVVKREDLLEPCLLPTSLARETEPCARSISWSQQGFAPNSGVSFMCLLAVCTVDGHVKLYRSPIWELCDEWVENTNTGETEVLESTCELQESLYFRGPGQRKRKPPRIDGFIYDGNEVDLDASKDADFSMEPCSKSEKKSLKKTVKPRHEVVAVHERNSTGNIKASLSSNGENKSLPLITAKQYACRAAHLSSLVVSWSPVVSSSDNTSCLLRHWCILAVGSKSGNLSFWKLCKPEYYTIDVGVVISDPMLIGVLQAHKSWVSVITWQVLSAGSSKSSLLLATGCSDGSVKIWLGNIEGLNQCASGEEVPFVLVAEVATDLSAPVSSISLAIPARSQHEVSLAIGRVSGSLEIWIWNASSNKIEISSAWHAHDQVVYNGLWMAIVYSLAVRAKGVSRCKTSRYLNLSEVSDRCYGLTLAPGEQMIAVVRSLDLNLLNQMYQVRTQKAVVEFIWIGGQFVGIPLDRSIDICSPQSADLSSSNLTWWGSNILWSLKKYENVEKRLVLWDVVTALQELKKSAPAFLETLVHSWVSALFSGDPLRVSINAPSCSMHGMVPYVSSRKLHLLNIICRKVMLSNHAQHGPGAQNGNEETTDFWNALLVRSETELRERLVGFTFAAVLKRTACLFNGTFTENCWFPVGVAQMDSWVSMNYEKVHSHLQSIRSKIKDLGSRIDGYSDVESCPYCSSPVHFESPDVAICGERHRLSRCRASMILCSVVQPVWHCVCCGGTVDKLLPESFFTMQASTIHDEGSLDLSAASVALCPFCGILLQRSMPAFLLSTSPV >Sspon.04G0000060-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:23549211:23551858:-1 gene:Sspon.04G0000060-1P transcript:Sspon.04G0000060-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHHQSPPLNHRRIKRLQPSNTAPTTQTTRTFLPSPPTRRRCPTVEMPPASPNLVHPSRVRVLHPGGGHMPGPVVYWMLRDQRLADNWALLHAAELAAASAPAAPLAIAFTLFPRPFLLGAHLRQLGFLLRGLRRLAADAHARGLPFFLLEGGPAEVPALVRRLGASALVADFSPLRPVREALDAVVQELLRDAADMAVHQVDAHNVVPVWAASGKLEYSAKTFRSKVSKVMDEYLVEYPEMPGWAPWCMEQPKGVDWDALINSIFSEAENVPEIDWCEPGEAAAMEVLLGNKDGFLTKRIKSYHIGRNDPTKPHALSCLSPYLHFGHISAQRCALEAKRCVILSVDAFLEELIIRRELADNFCYYQPHYDSLAGAWEWARKTLMDHAGDKREHIYTREQLENAKTSDPLWNASQLEMVHHGKMHGFMRMYWAKKILEWTSGPEEALSVAIYLNDK >Sspon.05G0010600-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:29978468:29980728:-1 gene:Sspon.05G0010600-1A transcript:Sspon.05G0010600-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSGSGGGVEGGVGEGPTTLDELYKINVVPAELHFKFRKELQGLRVGLNLEFYNLEVNDFEAKIVLKPLDYDRKWKFQYKPINGDIQLLSKKIPVTKFLNLQVGIGHNYHLNATGWKWKLSTCLGGDGVSQIRNKSKLSLFPGFDLRIGWKAEYVLPEIHGAVGTGEPAFSMNYGRLHASIDRVEAIVTQSDRY >Sspon.01G0023120-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:89348361:89351873:1 gene:Sspon.01G0023120-2B transcript:Sspon.01G0023120-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ADAAAAAAVPGDAPAGTTVLDSLGEDITRIVYPVSTCMLLVVLLVSLLSSPSSPSPLSASIAAATGGFPGGGDDDIPTALITALTFVVAVTAATFLLALLFYLRCTPCLRAYLGFSALAVLLVLGGQVALLLLSRLRFPLDAVSFALLLPNAAGALALAALAPASVPIALHQAALVAVAVLTAFWFTLLPEWTTWALLVAMAIYDLAAVLLPGGPLRVLLELAIQRNEEIPALVYEARPVDPRHGRNWRLWREGRQSGADLDASSTVEVIGEVLGRNLDANSGNSSSSHDNEAAGDVSNSRPRVTLVAAFSSSDSTVAQAGEVSALPEHRVAVAEMSVPLIQPRPQRSGEEAVEDEDGIGLSSSGAIKLGLGDFIFYSVLVGRAAMYDYMTVYACYLAIIAGLGITLLLLAFFRKALPALPVSIALGVVVHLSYATTCRSWRLHWYIK >Sspon.07G0015420-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:52983781:52987507:1 gene:Sspon.07G0015420-4D transcript:Sspon.07G0015420-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGSCGLEGAPVVTSGGNSPSSPGIPLLPEKHCTSFDETYGAAGGSTGPEGVPVVTSADNSPARTGIPLPCDSVDETCESAAGGTAPLEAQICTGFSKSISLDVKKGLQKCATFPLSSGQAQQEDGSCCHADDGLTVAPAYERSVSIPPTLKLIPAMKGGREKNGIASPTENRHVKWAPDVYDPPVTSVCHSVTNSYQRRSKHRKKEKNKQKKKHKGKSKKNQQNSTQNSSVPQVPDLGLKGVSTTGGQSSADDLSKHEAGIMDYSMGSQEAKCGSSFLRESAAAVHCWPSSVCTALDHVKANLGGIGGLELHLDAVQLPLEPLLGAGVDHLAPHARRIRGPSQTHIAISAMKAKHITSQPGQTAVRNDTYQAMKKILLFWQFSSVTKS >Sspon.06G0009730-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:41864777:41867872:-1 gene:Sspon.06G0009730-1T transcript:Sspon.06G0009730-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGSEASKPAEVPAETVLDWHKQDNKRMLHAVYRVGDLDRTIKYYTECFGMKLLRKRDVPEEKYTNAFLGFGPEDTNFAVELTYIHSYSTDYGVDKYDIGTGFGHFAIANEDVYKLAENIKSKGGKITREPGPVKGGSTVIAFAQDPDGYMFELIQRAETPEPLCQVMLRVGDLERSIKFYEKALGLKLLRKKDVPDYKFPSLDDVFFQFSGLNVFTLIQYTIAMLGYADEDKTTVLELTYNYGVTEYSKGNAYAQVAIGTNDVYKSAEAVELATKELGGKILRQPGPLPGINTKIASFVDPDGWKVVLVDNTDFLRELH >Sspon.07G0000820-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:10359172:10360348:1 gene:Sspon.07G0000820-2D transcript:Sspon.07G0000820-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DGISLTENAHGVKELMTYTDDSSSLAETDLDVLSRTKPKEANELISNQERQDKLEKAPDTSIPTNENSSQENKLIGSPDTLSKKLALKLAFTLPASCYATMTIRELLKTSTSVAYQKTLGC >Sspon.07G0000550-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7A:1233081:1236740:-1 gene:Sspon.07G0000550-1A transcript:Sspon.07G0000550-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSAADAEQEQKRAAAAAYDYEGDARWADYWSNVLVPPNLASRPDVVDHFKRKFYQRYIVSSASTVAAALNLPCLGFRDSLPPCRCSPTRPRALGSGTNLGSDALSDHDLVVEPRSFTGSTQPSRPDVRSSSSSSSENVRARNSGSTSRSAPPPPPPPTQTDSAANPLRLDARSIHFSLNAWKPRAWNMPAIQAWLQSVLATKDFIHLMWRRSIIQTFMYWQVLKLMYHAPVTSSYHQSAWAKIGRIVNPYIHRYAPFLQTPISAIQRWWFRAVLRSGCPGRRAFERPRLHSVLHAHVGPTPLERRLHHLIVTCAPSASLSKRLHSLGGAPAATPDLLLKHLDETFTTCF >Sspon.01G0020660-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:76657589:76659496:-1 gene:Sspon.01G0020660-1A transcript:Sspon.01G0020660-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADDDPHDAAASSSAGGDEDDEGTDTDASNSDVANPQGQDPLPFPDAASVPPHPLAPAPEPAGAIPPPQPQPQPQGPAAGASSADDSRRLFQRLWTDEEELLILRGFLDFTARRGTTFASHQYDTGPFYEEIRRRLSFDFTKSQLIEKLRRLKKKYRVCAARVAAQGAAFAFRSTHEGAIYDVARHIWRPAFRRGEGGGAADASDEDDINPVAAAAVEDGGGGGGSASTPTPTQRGRGGRRVRRRTAQELEAPALPATSALMLTDAAEDRLVVAVENLAPAIAPPPPLQVPTVSPAAATPSPMPVSAGGATEEAIRSIMSPLLKEFISSVTLAGQTGFGLGLGTGFGGIGGFDILGLGLGVAVPNPGMPSDDKWRQQQILELEVYLKRIELVREQVTAALQDLRSSEDW >Sspon.06G0008990-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:48208538:48212818:-1 gene:Sspon.06G0008990-1P transcript:Sspon.06G0008990-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPHQAQGPADSMIPLQSEPVLENNPSKGANAKDQILSGTENVSAGNARGASSLKSPKGPPEKASSVGKAGEQPFLYQQNVYAPQPQPLYPGGYMNPSGQWEEYPHYVNMEGLHSVSPGIYNDNQSLMLSPGYASNPQMMYGAYSPVSTVGDGQQYFPVHYPFSNPYYQPPASPSMGYSSSATGISQGDPMLQQEYFLPDGLLYSPTPGYHHPFNSFDRAPTQPNNAPGLFGQGNLPLASGMVNGSGQFCGVAEMIGPVDFDRSVDYWQQDKWSGQFPVKWHIIKDVPNNLLRHIILENNDNKPVTNSRDTQEVKLEQGLQMLTIFKSHEAETTILEDFDFYEQREKALQENRRQQQPSSTDPQKLVDTKVQGPVADISDAFAKAVQLKDTENSGTTPKADGTSAENGSTATAKVEGSANLSTGPVEESS >Sspon.01G0035810-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:15773624:15776701:-1 gene:Sspon.01G0035810-2P transcript:Sspon.01G0035810-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLLCQTGTNFLKLGLHSQPRELIQMLPILLFGLQLLKHLGSNGELLMHSRLHILHMELMTTAIKCLSLLLKQLPMVLILQHTLLRPIHNKATHNLQQFQQHQCQQWYQQLHRHQLTLSSLRQLNLIMAQPTTDQ >Sspon.08G0010050-1P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3C:64439315:64445545:-1 gene:Sspon.08G0010050-1P transcript:Sspon.08G0010050-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELVVSMVVGPLLSLVKEKASSYLLEQYKVMEGMEEQHKILMRKLPAILDVITDAEEKATRREGVKAWLKEVKTVAYEANEAFDEFNYEALRREAKEKGHIRKLGFEGVKLFPTHNRVAFRNRMGNKLRRIVQTIEVLVTEMNTFGFNYQNEAPAPKQWRETDSILIDSGNIATKSRDAETQKIVKILIDRANTAELTVLPIVGMGGLGKTTLAQLIYNHPDVKKHFELHKWVCVSDEFDVFKLANKICSSSGKNLEEAQKKLQDELKGKRYLIVLDDVWNEDSDKWEKLKASLKHGGNGCVVLTTTRKEGVAKLMGTVEAHDIALLDVGAIKKIIETKAFGSQGNMPTELPVALVDDIVERCAGSPLAANALGSVLRGKTSPEEWNAVLSKSIAHNKDEKILPILKLSYDDLPSHMKQCFAFCAVFPKDFEIDMEFLIQLWMANGFVPKEKGIRLETTGKHIFEELVSRSFFQDVKQVKGDREDDDVDWYCPRTTCKIHDLMHDVALSAMENEVATITDEKPKQSEFLQNTCRHIAFSCDEPEAILNSSLKTRSPAIQTLICGRIKSSLHNVEKYSSMRALLFSPSKGTFLLKPKYLRHLRYLDVSWSGIESLPEDISILYHLHTLDVSHCENLGQLPKQIKYMTALRHLYTHRCGKLKGMPPKLGQLTSLQTLTNFVVGVGSDCSSIGELQHLNNLSGSLLLSQLENVTEAIDAKMAHLGSKKELTALSLRWTTTEEEKPHCLKVLEGLEAPHGLKALKINDYTGTSFPAWMNKLPNMVELHLKGCKKLKNLPPLWQVPALQVLCLKGLENLQCLCSGDKFFNFPNLKELMLVGLPAFDRWCEVKSLQREQVIFPHLEKLSIEKCEKLTALPEAAASSGQSCSQNHTEIRSAFPALKVLRLKYLERFNGWEGVEGTQGHQIMFPCLEKLSIRSCQELIGLPEAPLLEEFCGVHYKMARSAFPALKVLKLKELDKFQKWGAADEATQGQQIIFPCLEDLLVLNCKNLIALPEGPLLLELCGVYYKMACSAFPVLKVLKLIELDKFQKWGSADEATQGQQIIFPCLQDLLVLNCKNLIALPEGPLLHELCDRDYEKARSAFPALKVLELRKLENFEGWEQVGATQGGDTMFPHLEELSIGDCPKMTALPAGTSSLAPSVGRSDIKTRSTFPKLKKLMFRDLINFKSWGVMEAINGEQWMFPDLETVCIYGNPELTTLPEGPKLSSLTIQGGHQQILLAAIPRAIDSLSELEFSAASPPAEQGAFELTDINNIKSPLTRLELGSNCNLLFHSSALALWTCFVQLQDLKFSFCDALVYWPVEVFQSLVSLRNLVIWDCNDLIGYARAAPGQPASERIQLLPNLESLNILDCESLVEIFSVPASLKAIAVGRCPKVELESIFGKQQDRPTLNQGLSTDVMASTAVPQLPSSASGDQLLPCVESLTIWSCGSLSEVLNLPPSIREIDISECDKLQLLSGQLDALRTLEIWDCPKLRSLESSSGELQMLERLDLRRCESLAPFLPNGPQAYSSLRELTITGCPGIKSLPSSLRQRLDSLDDDDKDLDARYEGTH >Sspon.02G0028730-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:97075073:97078828:-1 gene:Sspon.02G0028730-2B transcript:Sspon.02G0028730-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAARLLRATEVASRFLSASHGLVQRAAYTAGGIVDVGQPTSQSHPELLADGEITPGITNEEYISRRKKLLEVLPEKSLAIIASADQQMMTDVVPYPFRQNGDYLYITGCTQPGGVAVLSEETGLCMFMPDTNKEDVVWQGQTAGVEAAVDFFKADKAFPLSQMQKILPELIERSKGVYHNVKTASSYKNLDAFRRASLNNKVKDLTNYTDELRWVKSKSEIKLMRESASIVSQ >Sspon.05G0020200-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:88492340:88498087:-1 gene:Sspon.05G0020200-1P transcript:Sspon.05G0020200-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFNLRACRLAFQPDVACLLQQGASRPVHIQHPNWLFLSHGSHRPWLRRLWPILKTGWRVNEIVINQLLKGLCDAKRVDEAMDILFRRMPEFGCTANVVSCNTLLKGFCNEKRAEEALELLHMMADDGDGSCTPDVVSYSTIINGLFGEGQVEKAYNLFLEMLDLGISPNVVTYNTIIDGLCKAQAVNRAEGVLQQMIDKGVKPNIRTYTCLIHGYLSAGQWKEVVRRLEEMYTRGLDPDVVTYGLLLDYLCKNGKCREARKIFDSMIRKGIKPNVTIYNILIHGYASKGALADLPDLLDLMVENGISPNHYTFNTVFCAYAKKAMIDEAMHILSKMRQQGLSTDLVTYGTLIDALCKLGRVDEAVLKFNQMINEGVTPDIVVFTSLVYGLCTVDRWEKAEELFLEMLDQGIRPDVVFFNTIMCNLCKEGRVMEAQNVIDLMERVGVRPDVISYNILIDGHCLAGRIDEAAKLLDVMVSVGLKPNLVSYSTLLHGYCKAGRIDNAYCLFREMLRKGVTPGAVTYSNILQGLFQTGRFSEAKELYLNMIKSGKQWGICTYTIILNGLCKNNCVDEAFKMFQTLCSKDVRPDIIAFNTMIGSLLKNGSKKDAMDLFAAIPTHGLVPNVFTYHLMIENLIQEGLLDEFDNLFLAMEKSGCTPNSCMLNAIVRRLLGSGEIMRAGAYLSKIDEMNFSLEASTTSLLISLCSTEEYKNHAMSLPEKLVLNYSFRACHVQSPDHQMEWLAWITHQDDLTSGSMVNETWHLGERQFGLSRVHSGGGMANYSAFGMGSLWIDMDSDNAEYHRNIQNCFMSNIETMNAFGNRDLNASYMSDSDLSDALSGLRLFDVVRDQLGVVRSEFPHTFYGIAGTQ >Sspon.01G0005150-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:12081764:12086417:-1 gene:Sspon.01G0005150-3D transcript:Sspon.01G0005150-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFIESFRVESPHVRYGPTEIESEYRYDTTELVHEGKDGASRWVVRPKSVKYNFRTSTAVPKLGVMLVGWGGNNGSTLTAGVIANREGISWATKDKVQQANYFGSLTQASTIRVGSYNGEEIYAPFKSLLPMVNPDDLVFGGWDISNMNLADSMTRAKVLDIDLQKQLRPYMESMVPLPGIYDPDFIAANQGSRANNVIKGTKKEQVEQIIKDIREFKEKNKVDKIVVLWTANTERYSNVCTGLNDTMENLLASVDKNEAEISPSTLYAIACVMEGVPFINGSPQNTFVPGLIDLAIKNNCLIGGDDFKSGQTKMKSVLVDFLVGAGIKPTSIVSYNHLGNNDGMNLSAPQTFRSKEISKSNVVDDMVSSNAILYEPGEHPDHVVVIKYVPYVGDSKRAMDEYTSEIFMGGKNTIVLHNTCEDSLLAAPIILDLVLLAELSTRIQLKAEGEDKFHSFHPVATILSYLTKAPLVPPGTPVVNALAKQRAMLENIMRACVGLAPENNMILEYK >Sspon.02G0036130-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:22976722:22981004:1 gene:Sspon.02G0036130-1B transcript:Sspon.02G0036130-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRGAGGSPRSAPRRHGAAAPKDGADLLSPRFRSAAELAGWDEESVLAAMVVEDTPVRESRRKRRASTSSAGGSAGSSTRKRRPRRQFPSKIPTVVLALDDEDAKTDTAAENPLDGKSEVKAAKEEEKVVLVGDKEASGSGEKAAATGNMPCMDRLREELSCAICLEICFEPTTTPCGHSNSRSCTINTVLWNTIQLLFPSEVEARKSSTSSPSPCGKDVNRSPLRANRFSQGGTGMRTRARSSSSSSSFITEGRTRSSYRTFIEPGSTTSTDTSANFVSTHGSTRSSNSRRGFVPASQLMLPRSAVGLNQSEDAALAYRLQQEEFMNAFEEPEQERQPRNDVSTARDTLREIASRAVRLRARFWPLVTWGWGWAGDRLTDQLHLKKSERVIDRPNSQSHGIDQAFRR >Sspon.05G0031460-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5C:6006805:6009998:1 gene:Sspon.05G0031460-1C transcript:Sspon.05G0031460-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSPHVIGMYHLVYSDLNPQPAPAALRKPAAAQPPLAARRPPQHTHHTATRLPARRHHASAPQRSGRAGGGSGGGAARRRCRGLHLLSEASSPHLPPYPTSSHREGRRYSPFRSPSSGSLAHLHLRVLVSLPCAVLVLRAPTFSSEGSGVRALRSDENFWQCLRELDLLMDRDESPSDAALLVDLIFQALPIYDDRASRKAVDDMVIQALGEPTFMKPFAASMFLDLYIKTILSSKDRPPKATTEAFKPLFLEIGHEDFKNTVIPSCIKMLKRNPEIVLQSIGYLLKTIHLDLSKYSMEFMLAVLHQARHSDEERRINALSIIGTLSEKSSDPDALPSMVNAIKAVLGGIEEVKLEILSAIGSWASVSAEAVQPDVSFIAAGLKEKDTLRGI >Sspon.03G0023690-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:72497202:72498984:-1 gene:Sspon.03G0023690-1A transcript:Sspon.03G0023690-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSYVPVLLVFVMASLVPVSIASLPHKLRLSASDVAALEAVAPRPPAPDQPTVFFEVDRPHRPPAGSFGPCSTLLLSHSFAYAYAKPPATAAYSPPPCLAAVGGRASAILLAVLEWRATCRGDQCGRVFGVWLGGAELLRGCTAESPIKSAGGVEWTVSKDVTKYASLLAARDSTTLAVYLGDIVDQQSTGVLNANVTLHLYFRHPPPPPPQPGLGPADAVVPISRSLPLNDGLWFEILNDFVTVPTNTYRAVLEVYLSYQSHDEFWYGNAEYGPFREVVVQIDGDLVGVVWPFPVIYTGGINPMLWRPITGIGSFNLPSYDIELTAFLGKLLDGEKHEVRFTVTNAIDTWFVDANLHLWLDPRGTATAAGMVSYDAPPLDTATATLPDGSGYTTAFRHTPSYGKFTATWTQRLGYENTMLLRDSYSETEVNQTTDAYSAAHVVDRAGVLYSQEAQQSFTLYKFVDVGHADFDSYTAVTKVRLGFREERVAAGRSGFWARSLSNSQECAGVVDVEYGETVRESWDAHQTYRYEASDACYFRNVTSHGNDVVSDHSDEACVKGSPAGGIADRVWLQERRS >Sspon.08G0015790-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:38502967:38506584:-1 gene:Sspon.08G0015790-3C transcript:Sspon.08G0015790-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MENLALLWGIIGPGVSGAIFGAGWWFWVDAVVCSAVQVSFLHYLPGIFASLAALMFNCVNKDEIGYDYYSPYGDDSEWRVKLWLFVAYVVSFVCLAGSVGLLVQDALTNKGLSVWTGVAGVLQCVLVLISIL >Sspon.03G0044640-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3D:2158382:2159494:1 gene:Sspon.03G0044640-1D transcript:Sspon.03G0044640-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGMLDLDMSVWRKPKPSVVQLLRLPGVAKMEFADTRTVGTEWHGGLAGMWSGNERGPPCCVRRAQAWEAPSGRTLSLEQGNGAGHVLGTGGVSGRPEC >Sspon.06G0031950-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:75351958:75353054:1 gene:Sspon.06G0031950-1C transcript:Sspon.06G0031950-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ergosterol biosynthetic protein 28 [Source:Projected from Arabidopsis thaliana (AT1G10030) UniProtKB/Swiss-Prot;Acc:O80594] MASALKKRGMPALGWWLMAVGAFRSAFTCSCLFGSASLCSATFSETQMTDAHGRTVAVWTLLSSTLCFLYAFNLQSKPLYAATFLSFVYAIGYLSVECVVYHTIRAASLAPFTFIAVTSMAWMLLQWNSDGHGPSHRGATTSKQP >Sspon.01G0030750-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1B:108343136:108343486:-1 gene:Sspon.01G0030750-2B transcript:Sspon.01G0030750-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GLAHPPALPAHPAAPPPHHLGPGGRQRPSASASSPTRPVTLRGTCAPVQAQKRAASHATPGSRSSTRARGRVMRQRDGDHRRTGRPVAERAGRTHAQKRRGLSLNTGRFAKGDAVNK >Sspon.07G0022510-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:8765182:8769829:-1 gene:Sspon.07G0022510-1B transcript:Sspon.07G0022510-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIRELRDGLEVEDDEREEGLGGDGEVVAVVRLRAKRALVGAGARVLFYPTLLYNVLRNRFDGEFRWWDRVDKYVLLGAVPFSSDVPRLKQLGVRGVVTLNEPYETLVPTSLYQIKYRNMTPQGALDQARSVRPRVLLAPAQWQAVKMFSELNGRCLSIQSSNPTCSAVSYEESSELSSMRSSRCLSIQSSNEGTITSDEESSESSFGDPEFDGYVTTEFDSEHFVLPCCRSMLSRPTSPTGCSDAVLITEADLEGYETYADDGKDVVEVQVVVRHKPIMRKLTCFLGSLKLTGNCEPPAGRLTEVRAC >Sspon.05G0006120-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:11124559:11126270:-1 gene:Sspon.05G0006120-3C transcript:Sspon.05G0006120-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCLRLFKGRYKSCKRRPEAMAPAPAPAALSSTISTEARSLASAAAASCASFASSSANVSEASGARPAASGSSGSGSASSARSIPELYEERGALREFGLRELRAATRDFSPLLMVGEGGFGCVYRGVLRLSGGGPGGTPVAVKRLNPNGGQGHKEWLAEVHFLGVVEHRNLVRLIGYCASQTDRGPQRLLVYEFIPNKTLDDHLFNRAYPVLPWEVRLQIALGAAEGLLYLHEGLELQIIYRDFKASNVLLDEEFRPKLSDFGLAREGPSEGQTHVSTAVMGTFGYAAPDYVQTGHLTTKSDVWSFGVVLYEILTARRSIERNRPRNEQKLLEWVRRHPPESEQFAAIMDARLQGRYPMRGATEVARLANGCLAKHARDRPTMREVVEGLRQATRHTEMDGVVVVVGAVAECQSSPPRAPGAEDASAVAVAAEARKRRMLHLAALGVLPLTLTRGGGSCS >Sspon.08G0011690-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8B:47201473:47202944:-1 gene:Sspon.08G0011690-2B transcript:Sspon.08G0011690-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ESKKDALLGGLLLPGFDEQTCASRYQSVYYRKNMTRLPSPYLIKRLREQEALQRRCGPGTEPYMRASERLRSGETGAVDDVDGCSYLVLISYRGLGNRMLAITSVFLYALLTNRVLLVDRGYGNTLPDLFCEPFPGTTWALPLDFPLDSQFRQLDEAAPESYGNVVVNRSGSVSGMRFVYLHLDHAASPANRLVYCDNHRESFLRRMQWAIIRTDQYMAPGLFFNPAYQEELGRLFPRKDSVFYLLSRYLLHPTNEVWGMVTRYYNSYLRDADERLGIQIRVLFGGADKPAQNVLDQILACTSREHLLPGVVTTEGAAPQLPPPAGARRSKKAVLVTGLSAWYHDNIREMYWKSATVDGEVVSLFQPSHEEHQQWYHNKHDMKAAAEIYLLSLTDKIVTSAWSTFGYVGYAMGGLRPYLMFMPENQTAAPDPPCTRAMSMEPCSHGAPSFECTRKEINTIINTGVLLPHVRNCEDISWGLKLTDPDEEN >Sspon.03G0023450-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3A:71850853:71852271:1 gene:Sspon.03G0023450-1A transcript:Sspon.03G0023450-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFATGDIATKSNSTATPSGRVRRRMRHCRSSKNGPGFHPWKTLLGTRRPQQGSGAHKASPSLGFCPRYPQHIHRLRCRTPACRHRRHCQPSRRSLAGGASTRRLLKQPHSYAGNPGQPDNMPKTGHTLSRASPSLTPKWLPAVAAGRSIRRRHCRPPPSTIRRTLHHGCRPLPKGTGSGLQGTRPGFPRRRRHLHHGTMPSAGKRKGLSLAPQANTAAPRLSREPSMRLEQKPQPQPPSLAPHGSGPCLTRSGCRGEQAVPDRRRRRKLHAAPQDATSSPNARAPHGSGPYLARSGHRGEQATLDRRSRRTCHTAPQDATSSSSSTAPTTTKKGPAAALMASRTAMPATYSGGGATGGREGGEWRCGFESPPVLLAWERCGGLLIQLNPSDIPIIYTPIAKLGFDPDPRPISKY >Sspon.08G0003250-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:27341024:27341926:-1 gene:Sspon.08G0003250-2B transcript:Sspon.08G0003250-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNWPFPYAVGATTAATAATPAPSEPDRPSEPSSPTFRAAGGAGANKETDSGSSSSAADDFSFSASGQRSPSTSTSALCFGAGGAGMRLWSSSKALARASKIHDAQQAAASVAPKGYAVGRHLRRISRRLRKARAAYKEAPAAPRRGAVDDTRERAEAVASAIAHCKETLRRGTPRRRRLPPPLSSLSLDLRLRDRQDEIIASAAAHCDGLSSDSRPPPPAAVFPTALARQAPCMRLPHIQAGGRESAAAAAAGTPSSSQISGPVTSMEVETRGSFSELEFLETFDGDEELIDRHFITVQI >Sspon.02G0029720-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:105337721:105339642:-1 gene:Sspon.02G0029720-2B transcript:Sspon.02G0029720-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADKENAAPAVGARASPGPRLTRAAAKRAAAAAAVDGPGDAKRRRVALGELPALANNAALRAPSRPVKPSKPASRSGKHARPGASEARGPSAVAAAAAEVEVEAERCASSSPPRAAESNSASFSAPRATADTDSSASSSPPRAAAAAPADAQLCGSYASDIYTYLRSLEVEPQRRSRSDYIEAVQADVTAHMRSILVDWLVEVAEEYKLVADTLYLAISYVDRFLSVNALSRDKLQLLGVASMLIAAKYEEISPPHPEDFCYITDNTYTKEELLKMESDILKLLKFELGNPTIKTFLRLLTHDFRRFTRSAHEDKKRSILLMEFLGSYLAELSLLDYGCLRFLPSVVAASVMFVARLTIDPNVNPWNTKLQKMTGYKVSELKDCIVAIHDLQLNRKCPSLMAIRDKYKQHKFKCVSTLLPPVVIPASYFEDLDE >Sspon.05G0039780-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:79327633:79327893:1 gene:Sspon.05G0039780-1D transcript:Sspon.05G0039780-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RWRVLLAPTGPATVVAREEPKVREIDAGVAAAWLVLLFEEMHHGMQGRLAIEQRAQAAFDVITAVHSQVLVGSRSTAAEKERRREV >Sspon.01G0016370-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:51576037:51586028:-1 gene:Sspon.01G0016370-1A transcript:Sspon.01G0016370-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVALPQVILEHLQVSNKNLDLDLPDGGISVVATQSAIRCACKSFESAVDSLLPQADTNEILFPLLSGQVELLLTLARILFHQAKNKKSSHLCPDIVLLMKTSGASMSFFVDLMPSAHALKKPVKALLVLLLSSYEFMYSKVDIKDLPNDVNMFGELALLSVSLLPILCRLAENRECSDLAVASMDLMLKGFVPSNAVLHKCQNGDLLSTQVILNFLLTLGRTKDGAKVLLSQLSLDDSCLRNSLSAQVKDVNLWGLGLGIVASLNHCLDDDISHNNVANSTISFLSGQVPLMSSYLSAQSASTHQSKKRALSQKSQTSLSALSLTENILILLCILAKYHFPRDTGKKEVDSELREIIIHLLAFISKGSVKTGDASNWNSSFFCPAVIKEEVALNSNPPLICSKYGWIKFAASCTLSTAAVSVSPSAALSVVRRDKSAGDSDCIRQTRYTEILAVQIYRIAFLIMKFLCSQAKEAVKRAEELEFLDLAHFPELPMPDILHGLQDQVVCIVTGVFEANGSSTLNPETEKVCQLLLVTLETSLYMELCVSQSCGIRPVLGRLEDFCKGIKAMLQAIEKHSSFKPFVRSLTEITTLLYPGLLHSNLL >Sspon.01G0036590-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:19201275:19204932:-1 gene:Sspon.01G0036590-1B transcript:Sspon.01G0036590-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDPGGCAERRPPTAAEVVGRLKDDGDFDALRRAIVRKVKDNEVLRNKIISEVKQSVVLQEEGSERMKLKDLSDAIFQDIGSKIMGEISDEVWNVIQSNETDIQGTVKAVYNRILNPEKIPEPCSKKLKREGKDEQVLPTKTATSVAVEAEDDDPEEPPGFGFGNTQRKPPMNLENRNEVKPNGGEPAAISSPGDEEDDPEDSNTAQSLNEPYVPLRRLEYGDVVGKFSRSDTYVLC >Sspon.06G0024130-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:46714005:46717270:-1 gene:Sspon.06G0024130-1P transcript:Sspon.06G0024130-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTESLQVYVFEKFRDEHGNISSDDVSCLLMLYDAAYLRTRGEDILDNIITFNKRHLQSLLLANLEPKLREEVQCTLETPRFRRVKRVETRRYISIYEKKATRDATILEFAKLDYNILQAIYCDELKELTVWWKEFQTRIDLSFTRDRMVELHFWMLGVIFEPYYSYSRIMMTKIFVFATLFDDLYDNYGTTEESNIFTTAMERWDEEITEQIPAYLKALFIKIRNTTNQIVKELKLKKNKNAEFVKKLVIDTARFYHAEVKWRDEQFIPAILKSTSKFPPVAVLMTNLGFISLGDVTTREDVEWALTFPKIIRGACIVGRVGNDIMSHEREQASQHVVSTVQTCMNQYEVTVEEANKMLKVIIEEAWMDIVEECLEHKRPMALLEIAVSVARTMDFMYKREDAFTLSFSIKDVIASIYIRDTGGSAPPDKAKALTAARLGPRCIGRLHHPDPLVLHRGRREVHDALVVAAAAGDEDAATWVFDVDNVDFSFCTLKA >Sspon.03G0030790-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:30823419:30831249:1 gene:Sspon.03G0030790-2C transcript:Sspon.03G0030790-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGRAAGFFFPLVISPSTLRQSKTLPQYSLQSSTTMATPSLIRLLVLLTVGAAVSQVAAWVDCGDASPSPPPSSSPSPSPLSTPTNGSSNAPFRANLLTLLGALPRAAAPTGFASLSLGAGRDRAFVRGLCRGDFQPPRCLTDLQEAVRTLGESCPSSRRAAAWLDVYVAYADTDASTPREDDFRMVLYDTRLVDDPAAYLQAYGALMRTLVARALFFATGEARYASDDPNGTMYGMVQCMRDITAADCNRCLQASVPRLPCCEGNQGGVVLAYNCFLRIQVYTYYDLALDAPPSAAAQPPPVPSPSSPTPTGETSGTGRPRNTIILAIVVPLGTILLAFVCTTGVYLRRRRCVNERRSRPPDVPYSRAPPRGRGRAKDDRSATYVHPEKFTLPVLRVATGNFAAENKLGEGGFGEVFKGSSQGFHELKNELILAAKLTHRNLVQLLGVCLEETEKLVVYEYLPNRSLDTILFGRRAVLCTLHSAPHCSAVCTQVWEKWRRGGSVAEMVDASLGGQYARTEALACVQIGLLCVQKDPRSRPDASEVVLMLDGRSAIQQKPSRPAFCSGSISISGASARRAARGNAASYGRRCAIGPVSENSVTVSELEPR >Sspon.07G0020290-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7A:75541242:75541732:1 gene:Sspon.07G0020290-1A transcript:Sspon.07G0020290-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding KRKRKKKIFLSSFGPEARFSRVPFSFSFRPSRFPSLLAVGREVGPAQPAASRRAPLARLADIPGPHVGRRVVPFLSTCSSWTPVARPSPVPPRLLPFFLDPHAYKPALNSALAEPPLPTPPQSRITPSGRVFAAARAWRSSAVTIGAARRQIVITDPVFPLR >Sspon.07G0011460-4D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7D:53769507:53771351:1 gene:Sspon.07G0011460-4D transcript:Sspon.07G0011460-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNPEYCEINSSITLNYINQMLMEESADENISTDKHHDALQAMEKPFYDILGKAYPSSPKQTVINSGSQSDFPDDINNNYHDLECSGSSVSDILGCKGLRLIDIDGGSELCNVVLQFNRTAEEARKLVPRIEKLVVDPEATIGQHSKHTNKIRSHPHVDLELVEGRNSKHSAISTSDIIRDEIFDRVLLCHEQYHCDVAHLREMKAKEANITLQYVQNTRSAQGKEKSQGKKQEKEEVDLRALLIQCAQAVSSNNHPFASELLKKIRHHSLPYGDGSQRLASYFADALEARAAGTGSQMYQKLVMKQASCADMLKAYRLFIAACPFVRVAYYFANKTIVDVLGGRPRVHIIDFGILFGFQWPLLIQRLAKREGGPPQLRITGIDVPETGFRPCKKIEETGKRLAEYARMFNVPFQYQGVASRWEDTYISNLNIDKDEVLIVNCLHKMKNLGDETEDIDSARDRVLRIMKRMNPNVLIIGVTNGLYSSPFFLPRFREALFYYSSQFDMLNSTVAQNPEARILIERDLLGADVFNVVACDGAERIERPESYKQWQVRIHKAGFKQLPVNQTILKSSVDRKELYHEDFVIDEDSGWLLQGWKGRIMHALSSWKPKDS >Sspon.02G0030760-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:112824920:112832188:-1 gene:Sspon.02G0030760-1A transcript:Sspon.02G0030760-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDTHRSAAPAAAAPGGNVSTGGGKDDTGRGKRLAPSASALPGNKRRKAGVKELEPALWAKMLSQYSQVIVGTSSCIVRFLLWACHKSTLACNCSLIVSLNASASLKDLQDAESLDKISAFGVNEPTPHVLLSVSEISVGRGHKCHIVLDDQTVDTNLCLLRLRHLPTASIENNQGIEKTGKFTTKSNFRSPNPSATLSASGWQAFKDWLKQGILSPKDIHVTLDNFPYYLSDSTKEILLLSAFVHMDKEFNKCSQKVSSLNQRILLSGPSGSELYQETLIKALAKHFDARLLILDSLILCGMSSKLQESLKDVRSDDAPTFSSGADIVGTSRKNTFREGDRVEYIGDGSLKLTPSSYVYRGEVVLAFEKNGSSKVGVLFDDPIDAGNDLGGLCDRNRGLFCYAAELRLDSSGGEVDSLALGKFIEVISEESKSSNLFVLLKDVEKSFTKCRESLINDLPPGVLIIGSHTQAQSYKDQEAIGSKPEGSRSATESTKHLNNLFPNKISIDLPQNGAQISNLKKRLEQDTETLKDKANISNIHMFLTSREIECIGLEELSINDRLLTNEDVDKIVGYAISHHLQNFGRPKCAKMELPIESLKYGLSVVQRTHSENKSPKHVLKGVLTENVFEEKILLNVISPNDPGVTFVDIGVLDDVKETLKKLVMLPLHRPELFNEGQLRKPVKGILLFGPPGTGKTMLAKAVATEAGANIINLSVSSITSKVDSFLGKIERPGEHEAMSKFKNEFLINWDGLHTKEQEHVTVLGATNRPFDLGDAVIRRLMVSVPDVSSREKILKVILSKEMLAPDVDLKLIASMAGGYLWTDLKNLCVTAAFRPLDEIMEKEKKEKSLAIAEGRPEPPLYGTKDIRPLQMDDFKFALGQ >Sspon.01G0020810-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:74427226:74429365:-1 gene:Sspon.01G0020810-2D transcript:Sspon.01G0020810-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLFAAARRLLSLGRRGGLLPVPPSRAVATSSRSNSEEERPPPARPRSLQSTLWPLGHPGTLLVPEIELWAARPRNRLRAVELQRIVRELRKRRRHRQALEVSEWMNLKGHVKFLPRDHAIHLDLIGQIHGVGAAETYFNNLSDKDKTEKPHGALLNCYTRELLVDKALAHFQNMKELGLVFSTLPYNNLMGLYTNIGQHERVPSVMAQMKSDGIVPDNFSYRICINSYGTRADFFGLENTLEEMECEPQIVVDWNTYAVVASNYIKGDLREKAYSTLRKAEAKMDKKDADAYSHLISLYGHLGDKSEIKRLWALQMSNCKRYINKDYTKMLAVLVKLDDITEAEDLLKEWESSKNAFGFQVPNVLLTGYRQKGLLDKAETLLDGFLKKGKMPPSTSWGIVAIGYAEKGDVAKAYELTKNALCVYAPNTGWIPRPSMIEMILKYLGDEGEVKDVEAFISLLKVAVPVNSDMTEALSRARAREERKAEEATEAPREDNIA >Sspon.03G0011240-2P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1A:106148079:106150482:1 gene:Sspon.03G0011240-2P transcript:Sspon.03G0011240-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMFNRIFGKPKEQANSNALATLDKLNETLDMLEKKEKVLEKKAAAELERAKEFSKAKNKRAAIQSLKRKKLYEQQIEQLGNFQLRIHDQMIMLEAAKATTETVDALRTGAAAMKAMQKATNIDDVDKTMDEINEQTENMKQIQDALSAPLGASADFDEDELEAELEELEGAELESQLLEPVAAPPVHPVHVPANNQPARPAPQKATAEDDELAALQAEMAL >Sspon.02G0008600-2D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2D:18558444:18558875:-1 gene:Sspon.02G0008600-2D transcript:Sspon.02G0008600-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRAKRIAHLAKKWQRIAAQGRKRLTWAAAAKEADECCSSVASKGHCTVYTADGARFEVPLQCLSTAVFGELLQMSQEEFGFTGGDGRITLPCDAAVMEYAMCLLRRGASAKLEQAFLSTMAMSCCYASRVAPCVEANQQIVV >Sspon.05G0004440-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:8076483:8082412:1 gene:Sspon.05G0004440-2B transcript:Sspon.05G0004440-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSPPRVSMEITDDMLKSMEVGLAFRDYPSRCLLAPPLSSCHSSFSLVKQRSIHPETLIGWFLLLLFPDSHSVLYAATNLNPSIHGLIRISSMDFHSKATNYLVTASDDESIRLYDTQNAVCLKTINSKKYGVELVCFTDNPTIVLYSSKNGWDENFLSGSLDRTVLLWDQRAEKSQGLLRVQGRPAVSYDDQGMVFAVAYGGHIRMFDARKFEKGPFEIFSVGNNDSDAHVIKFSSDGRRILLTTKAGRVHVLDSFHGNSIASYNVKPVVTNSTLEASFSPDGNHIISGSGDGSVYAWNVRSGKVARWGSTDNEPPLVRWAPGSLMFVTGSSELSCWVPDLSKLGPFTISK >Sspon.07G0029350-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7C:72463377:72463688:1 gene:Sspon.07G0029350-2C transcript:Sspon.07G0029350-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATMATRSTAALLLLALLVLASSALPASVAVTSPYVRPPPRAILSLRRDDDADGQTPQQVRTPSSSVMLCSQARSVRFQWPGRLVAWPPLYFAELAGNLTTRF >Sspon.03G0013440-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3A:38158394:38159612:1 gene:Sspon.03G0013440-1A transcript:Sspon.03G0013440-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding IDTENKKTGISPEEAAAFLIGKLEPPSSGQKGVKADLVCIEARNDNKDQLFPAPKDIAIISYTECNASSQQPWGQPLEPVSEKSSGPSSLLHPGLMVDTSAFMPLAVPNSSNLSPVFSTTSLLLMPKNKMEVFEKLPQNPHFRKAWNCPPELRGGRAIGLMVSFADMAESIKNMRIQDEPRLYEEKMSILLDMEEENGFEAGPLKVRLHNLLCTRTCQINLKSRKVRLEKEIFEIEAINCGLEQRLKVLDMCVMGMEEKKYQEMKASLDMQKTENCSSISKLQVDLCQVEESLNYAEADFCSIATATWQSDAAPLLQ >Sspon.03G0019740-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:47531905:47539120:1 gene:Sspon.03G0019740-4D transcript:Sspon.03G0019740-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLVISAKVRAMLRRAGRVQLKVSLFKLSLSVLMETMRINTDDAEGRLMLPFGMGKPRVPGGDARAADRLPRARHANLVLLLGQDSEESAERDNGLRSETVMEQGFFANLRGGMSTSALDARPMVVPPLDHARPPFSSPSSCRCSGAAANWTRTSQSDDPSSSPPAGPTTLVLNHKSKASPISRELRCHYVWVKQRFNGFLDLREKVFFLSIVPGAVLSCGTHRRRLHPPLTCRPRRRPGSTFQQLVQPARQCRPDLVCAFFTVTQKQKHRPRREEKRHSRLWVVASSSHDVMARRSFGAALYNNFRGDFDERPIASLYKVCPPRSLSLTSPHQSPHRKTIDSKQVFPAKPNSHRSPAPWSHRPPAGHEQWPAPRYAHVQCSSVAVSTCRQCSCLTHHICIAQHDFAVHQGVVFDVNLIENSALEDGLAGWAPVGTCTTLSVGEEEPAKVPTETINDVADGYRPSGRYILASGRADEADGLRRAITAAALIKPRVTYRVAGWIGLGDGAAEGATGHAVRVNIRLDEDDGCVVEGGAVCAVVGKWTEIKGAFRLKKSPCAAEVYVQGAPAGVDVKVMDLQVFATDRKARFRKLRKKTDKGRPFTPGCLVGEMVRTTLARSIACPRNKIFAVVSERDVVLNFGSAAASGISGASIRVMQMDSSFPFGTCINTNVIQNPAFVDFFTKHFDWAVFENELKWYHTEAQQGQLNYADSDALLDFCDRYGKPVRGHCIFWAVANTVQQWVKSLDNDGLTAAVQGRLTSLLTRYAGRFPHYDVNNEMLHGSYYQDRLGDDINAFMFRETARLDPGAMLFVNDYNVEGGNDPNATPEKYIAQIAALQQKGAAVGGIGLQGHVTNPVGEVICDALDKLATTDLPVWLTELDVSESDVDLRADDLEVVLREAYAHPAVEGVMFWGFMQGHMWRQDACLINADGTVNDAGERSVPRLSIRYRPPFVDLRREWTSHARGHIDSAGLFKFRGYHGTYVVQLATATGKVHKTFSVEKGDTPLVLDMNL >Sspon.04G0027230-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4B:56889146:56889526:1 gene:Sspon.04G0027230-1B transcript:Sspon.04G0027230-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MYQQPKFNGRLPQQHTRPTLRDPASVARDRRVRRFATQRLSPVTDASDAPHPQRPSRNTRSPVRMFSPEFVRRIRRKANRRCVERLSLTLARARAVPQRQTLEQCTRPRLLPSRQRVCHARAHRPS >Sspon.01G0040180-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:11248862:11249614:-1 gene:Sspon.01G0040180-2C transcript:Sspon.01G0040180-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding HVRLAVPRGAHPGRAPGPVHGGVRLVDECVGRRGGAVAETGRGRLVPGGARRGGRRRHGLLPQQVRRRRGHHHAAERVAAVLVRRGVPRRRRRGLLPEPLGHGGGLRPGAPRVRRAAPDPARVPRVLHRRGCLRRRRLRGGALGVPRRGQPPRVGVRGRRVAAGGRDAARHVARLPRRQGGRELRRPRRPRHGLRQPQLRRRRQRLLHVRRQNQSVGGAPAARLRGRRGRGHCHGLRGRALVRAENGGRRL >Sspon.02G0007010-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:22066126:22072220:-1 gene:Sspon.02G0007010-3C transcript:Sspon.02G0007010-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AFFKRDENDIGPYSWNITGTYKGSWTFAGATNGSSRFLEFVESKGDSVLELLSTPTKISGVHYVQGSITFHDVIDNAHDGGVAQIRLEGVYIWPFRQLRMVANSGADGEPLQEEDYFLSNPYHLLRIFSSQVFQESSEEKNQRKNSLTYDMEKHCNTEIAAKVVRVSSNPNDGEHEKYRLEGLVESPAVDDDGECFSPILLNATSLNVEVYYNKAVNYTLMVTFISFLQVLLLIRQMEHSNTQSGAAKVSILMIGQQAIMDAYLCLLHLTAGILVESLFNAFATAAFFKFVVFSIFEMRYLLAIWKASRPLNSGEGWEIMRRELSVLYSRFYGILLGGILLMYELHNFLRPLLLLMYSFWVPQIITNVIRDTRKPLHPQYILGMTVTRLAIPLYIFGCPSNFMRIEPDKKWCIAVTVFMGIQAAVLLLQHYLGSRCFIPRQILPEKYCYHRKVEDSTNQPIDCVICMTTIDLTLRTSEYMVAPCEHIFHSGCLQRWMDIKMECPTCRRSLPPA >Sspon.02G0050420-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2D:52982047:52984466:1 gene:Sspon.02G0050420-2D transcript:Sspon.02G0050420-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ADPEAKRLKQMAPSENNDRRRTEAGTNSGNASKSADKKPAPKEPPKDYIHVRARRGQATDSHSLAERARREKISERMKVLQDIVPGCNKVIGKASVLDEIINYIQSLQRQVEFLSMKLEAINAHMNNATVAFPTKDNEGPTLELDDSFDDTNRRFVRRIQEAETGEALKRMKGGKAMGPDGIPIEVWRYLGARAIVWLTKLFNLIFRSNKMPEEWRRSILVPIFKNKGDVQSCTNYRGIKLMSHTMKLWKRVIEHRLRRVTHVTQNQFGFMPGRSTMEAIFLLRQLMERYREQKKDLHMVFIDLEKAYDKVPRNVMWWALEKHKVPTKYVTLIKDMYRDVVTFVRTYDGDTSDFPIKIGLHQGSALSPYLFALVMDEVTRDIQGGIPWCMLFADDVVLVDDSRAGVNRKLELWRHTLESKGFRLSRTKTEYMRCDFSATRHEEGDVSLDGQVVAKKDTFRYLGSMLQKDGDIDEDVRHRIPAGWLKWRQASGVLCDKRVPQKLKGKFYRTAIRPAMLYGAECWPTKRRHIQQLSVAEMRMLRWCCGHTRRDRVRNDDIRDRVGVAPIEEKLIQHRLRWFGHVQRRPPEAPVRSGVLKRADNVKSGRGRPKLTWDESVKRDLKEWNISKDLAMDRSAWRLAINVPEP >Sspon.03G0046840-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3D:48361480:48361827:-1 gene:Sspon.03G0046840-1D transcript:Sspon.03G0046840-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARHLRRGERHRPLGFLSSGPAARHVWLGGVARGSDGAAPSSAPVRLPSPPLAEQRAKAGGRSGTSFLSSGEACGPCPSPSSSGPSLSGAPTSSSQPRAQAPWQGLGFLGHYRYF >Sspon.02G0011770-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:29839818:29842138:1 gene:Sspon.02G0011770-2B transcript:Sspon.02G0011770-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRPGTIPSVSHTSHAMASSPLAPTRTFSPLVPKLPLPSPPSPCSSPRRSARWPRLARARATPGSSSTAAATEEQKERKRCLRCGGVYRDEENHPTACAFHGHVTGEKGLFSMSPPHQGIDGEWSDKSGIIVYRWNDEGSRPNTGRANWKKRWSCCQEREEDAPPCQRGTHATMVVSYTQEHVYRHPWHRVTAAAWRKFTDPAARAASGALSHILDVHTLSRDVDAGSGRLRAVRAIAGRTPPLPFLLRGVAGGAAGDVVVLCVERTDVDAPARDMRVASRNATLRGLVDVQERCSYEPHPARPDEWTLFRQETTIRCAPLAAVATKVAELVERRCAERFTQNADRGKE >Sspon.05G0002620-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:49604657:49610150:-1 gene:Sspon.05G0002620-1P transcript:Sspon.05G0002620-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLYLVSSPAAAKLAAGGPHAPPHRRRHLLFRAPHRHSRRAPLLSSAAEHPAGLAMAAAETGASTATDSRLKATGVKQALISLSDKTDLANLGNGLQRLGFSIISTGGTASSLEASGVNVTKVEEITHFPEMLDGRVKTLHPSIHGGILARRDQEHHLKALKEHGIGTFDVVVVNLYPFYDKVTSGAISFEDGIENIDIGGPTLIRAAAKNHKDVLVVVDHKDYPALLEYLEGKQDDPDFRRTLAWKAFQHVASYDSAVSEWLWKQSNKADTFPPSFTVPLTVKSTLRYGENPHQKAAFYGDKSLSLVNAGGIATAIQHHGKEMSYNNYLDADAAWNCVSEFESPTCVVVKHTNPCGVASRPDILEAYRLAVKGDPVSAFGGIVAFNTTIDEDLAREIREFRSPTDGETRMFYEIIVAPGYTEKGLEVLKGKSKTLRILEAKRSGKGMLSLRQVTGGWLAQESDDLIPEDITFTKMSERTPEDSELSDAKFACLCVKHVKSNAIVIAKNNCMLGMGSGQPNRRESLRIAFKKAGEEAKGAALASDAFFPFAWNDAVEEACQSGIAVIAEPGGSIRDQDAVDCCNKYGVALVFTGVRHFKH >Sspon.01G0010320-1P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3A:16406205:16408787:1 gene:Sspon.01G0010320-1P transcript:Sspon.01G0010320-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYKKNREATRLESNGDGGSQKGGGDVPPSIQLDIMEHRAAGGGGGGGLGTGGPGSASFFEPWREPTPGSGSGHGSSGRAGGREPPEKRLTLFALRLAVLEKAASGLGKLNFVWATVVLLGGFASSLSITDFWCVTVILVGEGARVFSRSHELEWQHYATQTSTAGGALRSSSRFFRHIMRAIVDPATAAATGGGGRDDDARARAALFQRQIVAFMRQRAWHAPDVSLLPYTGWVFVSRKIGRLLNWLQVLSALACVALSVMRLWKHDFGGSDNMRPALLLFYTLALVEASLFLFEKAYWTWKVSVCKLLHQVSAECELGPYGLVSLKRFFYDAYSRCIAGSIFDGIKMDLVTFAEELILSDFLDEQLIGVRILQQFANSERSASDTLRKVGTTPRSIERLVEMLNWKRPEEEEVRRCAAEIVSELAGKRQNALRVSGIPGAIESVTSLLYTGRGPPVSGMHPQLPGAPADAEHGASPASRGYDHLPFNLLGLLILKRLARDHDNCGKIGNARGLLAKVINFTQASPVLLLNPHVTDSQVSTVKRALKVVKMLVSTTGNTGKALRESVAENVFTVSNLRDILRYGQQHRELQKLATDVLTGLAMDDSGKEAIVATGGVVKLLLSIFFNSQETDLGCEAGEALAMLALESEAGCAAILKRADVMDQLVSALQDGDARRLNAARVLRNLCAYSGQQQRERLRVVTRALPAVLKTTMVDRDKILEVSVGLTTEIWKFIDGEQFAAELRRAGVEDERAYVERLASILQQYRYPEIRVPRMRRFVVQQVIWLMTNSGGRGDDGGGYVELLREVGMQRLLESIADTTSELECYHVFSGSVGISKHRESFSDIVDSALELIAGRRQR >Sspon.07G0003970-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:9010145:9012874:1 gene:Sspon.07G0003970-2D transcript:Sspon.07G0003970-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYSNLQRQAASLKKNLFDQGYLDEQFCQIEDLQDEASPNFTEEVVSLEKNPRDFSRWDVNMQQIKGSCSSIGASRMKNECTSFRNSCGDENAEGCLRSFQNLKREHGVLRQKLESYFQLLRQAGPAVTAARPRGM >Sspon.01G0017650-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:69066298:69069764:1 gene:Sspon.01G0017650-2B transcript:Sspon.01G0017650-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYAGSISSGQKYLGRFGLPTSSRLQWVGYDMKSRTYQLVARAMSVDQPQLDFSNPDWKKQFQEDFNKRFSLPHLRDVIDVEPRPTTFSLKSRTPLENVNGTMQESWNGYVNDDDRALLKVIKFASPTSAGADCIDPDCSWIEQWVHRAGPRKQIYFEPQYVKAGIVSCGGLCPGLNDVIRQIVLTLEKYGVKNIVGIQHGFRGFFEDHLSEVPLSRHVVQNINLAGGSFLGVSRGGANISDIARRLDMLFVLGGNGTHAGANAIHDECRKRKLQVSIVCVPKTIDNDILLMDKTFGFDTAVEAAQRAINSAYIEAHSAFHGIGLVKLMGRSSGFITMHASLSSGQVDICLIPEVPFTLDGPNGVLRHLEHLIETKGFALVCVAEGAGQEYFQKSNATDASGNMVLSDIGVHLQQKIKSHFKDIGVHSDVKYIDPTYMLRAVRANASDAILCTVLGQNAVHGAFAGFSGITTGVCNTHNVYLPIPEVIKSTRFVDPNSRMWHRCLTSTGQPDFH >Sspon.03G0011960-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:37092418:37093440:-1 gene:Sspon.03G0011960-4D transcript:Sspon.03G0011960-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LWDVKMNSGPVATFQVHEYLRPKLCDLYENDSIFDKFECCQSGDGLRVATGSYSNIFRVFGCGTGSSEATTLEATRNPTRRQLQNPTRATRSLSTLTRAVRRGGESTGIDANGNSYDLSTKLLHLAWHPSENLIACAAANS >Sspon.07G0002020-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7A:4957900:4959648:-1 gene:Sspon.07G0002020-1A transcript:Sspon.07G0002020-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFDVGVVPSNPDGWGPPDVPAIPQSLGGGASIPFAPFSRSDKLGRIADWTRNPAGPAAFAAASRDSVFDFTSADDSLIAGAEDSSFRLVDAKPPPRPQRFGPKWRFNQRQQLPQRQDEEVEARRRLAEKERARRDRHFQNNRSHHHTNFRFNQPLSTAKPSVDIQPDWTMREQIPFANFTKLSFSVADQPEDLLLCGAVEFYDRAYDRVNPKAARRLERFKSRNFFKVTTTDDPVIRRLAEEDKATVFATDAILAALMCTPRSIQSWDMVVQRVGNKLFLDKRDGSQLDLLTVNETAQEQLPENKDDINSAHSLAVEATYINQNFSHQVLHRNGEKVTFDEPNPFASEGEEAASVGYRYRRWKLNDEISLVARCEVHAVNVDPSGGRQFITLNALNEFDPKITGVDWRQKLETQRGAVLATELKNNANKLARWTAQALLAGADMMKLGYVSRVNPRDHYNHSVLTVMGYKPRDFAAQINLNTANMWGIVKSIVDICMKFEEGKYVFVKDPAKPQVRIYEVPSDAFENDYVEEPLPEEEQVRPLGEVDATAEEMDAVAEAEANNASSANGGEGEKSSDATVA >Sspon.08G0015700-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:62866219:62866923:1 gene:Sspon.08G0015700-1A transcript:Sspon.08G0015700-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTVSRASLDQKLALAKRCSREATLAGAKAAAVATVASAIPTLASVKMLPWAKANINPTGQALIISTVAGMAYFIAADKKILSLARQHSFENAPEHLKNTSFQGTGHPHPAFFRP >Sspon.06G0000040-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6A:343572:345422:1 gene:Sspon.06G0000040-1A transcript:Sspon.06G0000040-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLALTPELCNLLHRELAPSPDDDPFFARCLRQSQLRLEALAASLRPPSSSSSAAAAPLARLPPPPPSLPTQQELQVRLPQEPGAGGGSNPSSSKKRARAATEVVRATHLSPADHLRYRALVRRTRLTFEALRSTYQRQETSSGVRNRHDLRASSQMLSAGHWLHREVRIVGDIPGVLVGDAFYYRAEICVVGLHTAPQAGIGYIPGSLLDEGHPVATSIVSSGGYLDDEDTGDVLVYTGSGGRQRNRLDHHANQTLERGNLALHNSYLYGVEVRVIRGHDIDQGPHRKVYVYDGLYRVISSTFGPGKSGHDVCKFKLVRLPGQDDLASKTWHTAKLLKESMDARIRPPRYISLDLSKGAEVLRVPVCNKLDDDRSPLLFEYIAYPEFPMPPAHASVKQHRGCHCATGCGSKCRCERKNGGGPVYTEDDTLVMGRPVVYECGALCGCPMTCVNRVTQRGMKHRLEVFRSNETGWGVRALDLIQPGAFVCEYTGHVVVMDDQPGSALMEGRSIIDPRRFPERWREWGDASAVEPNMKRRQFTKLAGPGYVLDVSHKRNVACYISHSCTPNVFLQFVLRGNEDGSFPHLMVFAMETIPPMRELSIDYGIDGEILELMGS >Sspon.05G0029840-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:83811600:83816006:-1 gene:Sspon.05G0029840-1B transcript:Sspon.05G0029840-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MESFKNPLKPHNQEGIDLPFSTSLYNMGLEPHNQELQATSPSSSILLHIQCRQAMSNGNRSSGGRGARLELQLHLSPPPAGRMEVDGGHGSDSSSSPSSCVSSDCSPGSKSPMVIGACTRCMMYCMVAKKDFPTCINCKQPCLVDLLHGAGAGAGGSGGVAGDGGDKRHGNGNGK >Sspon.02G0017660-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:47532817:47536112:1 gene:Sspon.02G0017660-3D transcript:Sspon.02G0017660-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AMAAGGLALLPALLVAALCAAAWRAEAAIGVNWGTVSDHRAPPGVVVDLMRANRISKVKLFDADPGVLRALAGSGIQVMVGVTNGELASIAGSQAAADDWVAQNVSRYVGRGGVDIRYIAVGNEPFLTSYQGQFQSYIIPAMTNIQQSLVKANLASYIKLVVPCNADAYQSASVPSQGVFRTELTQIMTQLAAFLSSSGAPFVVNIYPFLSLYQSSDFPQDYAFFEGSTHPVVDGPNVYYNAFDGNFDTLVSALSKIGYGNLPIAIGEIGWPTEGAPSANLTAARAFNQGLINRVTSNKGTPLRPGVPPADVYLFSLLDEEGKSILPGNFERHWGIFSFDGQAKYPLNLGLGNSVLKNAKEVPYLPSRWCVANPAQNLDSVSDHMKLACSMADCTTLYYGGSCYGIGEKGNVSYAFNSYYQKQKQDPKSCDFGGLGMITYLDPSMGECRFLVGVDDSKSSAVASCGGGCCGVLCGRHRPEDLPRIQRADGGGVGGQQLSPQQACP >Sspon.07G0022610-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7B:9659732:9662113:1 gene:Sspon.07G0022610-1B transcript:Sspon.07G0022610-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALKAALDLRIPDAIHQHGGSATLPQIVTKVTLHSSKTPCLRRLMRVLTLTGVFSVHAGGDEPVYGLTPASRLLVSSGLNVTPFLTLMLGTAFVSSFFDLGEWFRHELPDPSPFKFAHGRHIWDLADHDASFGKLFDDGMVADSSFIMDIVVKECSDVFQGISSLVDVAGGLGGATQTIAKAFPHVECSVLDLPHVVANAPTDTNVKYITGDMFESIPSANAVFLKWILHDWGDAECVKILKNCKKAIASQGEGKVIILDMVVGAGSSDERHVETQFLFDLFMMFINGAERDELEWKKIIFEAGFSSYKIIPVLGVRSIIELVMETKGTTNSGPNPAHINASN >Sspon.07G0009040-1T-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7B:23544402:23547950:-1 gene:Sspon.07G0009040-1T transcript:Sspon.07G0009040-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRSKEPSKKKQPKDPLLTPPSKPRGGGFLDEGRPWNRGGAAMSPAPAPASVPSYMRGTSSSDAKAGRRGRPAASVSASASPARWRPAASVSASASPARRRPAVRVLMTKGKVLFAEEAPGSAGLGRATCSSTMKDTKFPDALDLAPGATDAEGPAALRVCPYTYCSLNGHVHAPAVPLRSFLASRRRLIKTQQSMKLKGVSAFRKKSEEKAGGGSGGGGAKIAPLIDEEAVGDFFVEVYGGPRVSSDMSCSDMSLDEMDATVRRMEFVVFDRCGADEDGEKGKDLAVCDDGEPEPRLVLKEKHGAFGDSLSDCSRGHTGSDFVEVLPWLRYHGYEYDDSLDDEILEEQRIREDGVVGAEFSAEQEEEQGTSGRSSDEYKEGAAEGQEENDEENTSNLVRDQEILADQGVACRVEACEELDGRDDDDNILEASVEQGTDDIYKSKIPDQEVTARSGATLEDGFKEDISSDQEANDDDEDECHAESDGESEVTEEQDLEDQQSMPEDGSEMEISEDTISGHGCKEDFSEEVTSTAVLAGEVSYFNSIDCRDVDINKVVIDNLNQNDCTADDVFEQYDNSADDVPEQDSSPANAQKELGNPTSKNEDAFEETAIVQQINQDSNADTQKELEIMTSILEESGITQQSNPDDDKLEPEVTVCKLKDASEESSACPESNLLNNTEYVTDDAEMGQEITRCKLEDASKETGIDQETLEDDSSTCVIVDDRINLDINNCKSEDVSKESVTTLEADHSDNSANFSNDVQGTAEDHNSFLIDDAQNDIQITGCKSEDTCKEFDTMKETDQSDSSANVRSDAEETVEDDGSVCVSDDAQNDLMFSKCNLEDASKEPSPVQEADRSDSSANVNADSEETVEDDGSVRVSDDAQNDLKFSKCNLEDASKEPSTVQEADQSVSSANVSADAQNGSELTTSELAIITISNDKENESKLFTCNSEDVFEESIIGQEADHEDSSVYLSYGAQNEYEVTTCHSEGAQVESDVIQEDEDKTAGAEKKLESTACESGGASLKPAMHQEASGDINTTDASKYVHVTEETNQSFNVQMPEEFTDAKEPSIDDICGAFSGMNLKGDVYFDPAESSTCPRNKLIISRRRRTPEEEEYLRGFNPRAPNFLPLELDPDAEKVDLKHQMMDERKNAEEWMIDYALRRALTNLAPARKKKVELLVQAFET >Sspon.01G0007880-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:21914198:21918558:-1 gene:Sspon.01G0007880-1A transcript:Sspon.01G0007880-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLIRRSNVFDPFSLDLWDPFEGFPFGSGSSSSLFPSFPRISSETAAFAGARIDWKETPEAHKEEIKVEIEDGNILQISGERNKEQEEKTDTWHRVERSSGRFLRRFRLPENAKTEQIRAAMENGVLIVTVPKEDVKKPETSVRTSGRRACVPGGNGKTETNGGHRGDRTLNRTHVSEQREGQLGFLTGASDASWDRRQLQRRANRTRWRRRRCAISASGQFKLRVRSLYDRTFVSSHPSVAISRLGAAVEVRHVLVIFFVRGCCGSPAEHRIPTLVDCSCRNFSPFGRFLGTQRGLACRQAPVLAIFGVETHDAPPQHVLMPPLEEGVRSALGSGRPLTEPPRHRLRMVGSRLDMDHCSVVFCPV >Sspon.02G0049440-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2C:38861924:38862094:1 gene:Sspon.02G0049440-1C transcript:Sspon.02G0049440-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWNLHSAESCPLLACSVLFEGREAALLQIGSCGISFQLQRVGLTTPVRGLVTSLP >Sspon.04G0015750-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:64549815:64550108:1 gene:Sspon.04G0015750-2C transcript:Sspon.04G0015750-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLGGRGVVGDRWSQRILWICALGSAMSKRPYLKTLAPAVLLLPFRRGLPLTASPRCRGLVLWCFRTGLYFVAVERQAQNRARAVAEGLKALDGAGDD >Sspon.06G0015970-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:71805020:71807071:1 gene:Sspon.06G0015970-2B transcript:Sspon.06G0015970-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding TMAPPRQLPLHRLFPILVAAAAFLATVVVPAAATAQESYCRDSLAGLKECESFMYGGAAAPSAACCAAYEAAFDADPFCLCYVADGTYGRATGYDVDVTHGLQIPARCGQAQPPIELCTVEGLVLPPYAPQDTPPAQPPAAGAAAPNAQPPSASGSSSLPVAPPTFTSPPPPSSGAPDLLPEQFDFIPWHMVDPAIVPKGVAPNRKNIILQPTTQVFEERNCTQHGMEQRFRFYGMANSAPASQALIFIPCKRDDLPGSLNLSKARAA >Sspon.01G0002880-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:7459394:7461327:1 gene:Sspon.01G0002880-4D transcript:Sspon.01G0002880-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLEVAGGLWVRYAPHVLMVLAQLCYTLMYFITEAAFNQGLNPYVYITYRHLLVAVLIWPFAYYQEKGLRPKMTFMLFMEIFVLSLLGVSLTLNMYFASLKYTSPTFVTSVVNTIASMTFVIAIILRMEIVDVKSLRGLAKIAGTVVSFAGVTTMTLYKGAAITSLWKSPVHIPGSDSGGVAHGSWVKGSILAVASCICWSIWYIMQASSLKRYPAQLSLTAWMCTVGGIQSTVFTVFMQHKPEDWRIGFGLKFWCIVYSGIACNGFTVFVQLWCTEKKGPVFVTMFNPLSTIMVAILAYFIFGENLYHHRRRGVVIVGLYMLLWGKEKDQEHDSTGKEREQLEMDCEKQAKNVYGAPKTTK >Sspon.01G0018110-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1B:72659984:72660800:1 gene:Sspon.01G0018110-2B transcript:Sspon.01G0018110-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding YFDQSGQPEPNQTETTKLHESAANGGGYGFRDIICKAWLEKSKYLKDDRLTIRCDVFVSTELRMVKRRAASSSPPSVAVPPSDLHRHLGDLLATREGADVTFQVAGETFEAHSCVLAAGSAVFKAELLGAMKESRDGAVIRVDDMDAQVFRALLAFVYTDTLPQACFDTNDDREGAAMAQHLLVAADRYGMERLKLVCEDKLCSQIDTDSAACILALAEQHRCHGLKEACFSFLSSPSTLSAVMATDGFDHLTRSSPFVLKELMMSNLAAQH >Sspon.02G0034630-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:13731076:13733378:1 gene:Sspon.02G0034630-1B transcript:Sspon.02G0034630-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNMPPATDIVSILKAKKIQHVRLLDSDHQMLTALANTGIEVMVGVPNDQLLRVGQSRSTAADWINKNVAAYIPATNITYIAVGNEVLTTIPNAALVLVPALQFLQSALLAANLNTQVKISSPHSMDVISKAFPPSAATFNSTWSSVMSQYLQFLKNTGSSFMLNAQPYYGFVGGQGVFPLEYALFRSLNPNSQISDPNTNLFYTNMFDAIIDATYNSIQSMNFTGIPVLVTASGWPWRGGPSEKAATVDNALAYNTNLIHHVLNNSGTPSQPNNQSSTYIFELFNEDNRSGPVSEQNWGIMFPNATTIYSLSFEDVATTIPESPALRGVFCVANSSAPHSALKHSLDWACGPGSANCSAIQPGQPCYASDDIVAVSSYAFNDYYHRTQSSGGTCNFNGTAMIASTDPSHGSCIFAGSTGANGSKDGTASGPVSPDSFASKSQSCWLTHLGATLLPILFVVM >Sspon.03G0039810-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:21177713:21182589:1 gene:Sspon.03G0039810-1C transcript:Sspon.03G0039810-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:nucleobase-ascorbate transporter 12 [Source:Projected from Arabidopsis thaliana (AT2G27810) TAIR;Acc:AT2G27810] MSSTSGALPQPRRGRPGPWPPAPPPQPQAQPLSWAKRTGFQSRVSGESLPSASAPNSGQVPLPRPAERPSDLESGPPARPSSTLPVPPAAAGNGERQHPPPRPPQARTRRRDSDGGRPNGQAAAPSLPQLQEEGEEEAPERPAHVKYELRDTPGLLPMVVYGFQHYISMVGSIILIPLVMVPAMGGSADDMAAVVSTVLLVTGMTTLLHMFVGTRLPLVQGPSFVYLAPALAIINSQNSLGSMIMLINPVVVSPTVAAVGLSFFSYGFAKIGTCIEMGILQLLMVVIFALYLRKIKLFGYRVFLIYAVPLGLGITWAVAFVLTATGVYSYKGCNANIPASNNVSSFCRKHVLRMKSCRVDTSHALRSSPWFRFPYPLQWGTPVFSWKMGLVMCVVSIIASVDSVGSYHASSLFVATRPPTSGVVSRGIGVEGVSTVLAGLWGTGVGSATITENVHTIAVTKMGSRRAVGFGAILLVLLSIIGKVGAFIASIPDVMVAALLCFMWAMLCALGLSNLRYSATGSSRNSIIVGLALFLSLSVPSYFQQYGVHPSANSSVPTYFQPYIVASHGPVHTESGGVNYVLNTLFSLNMVIAFLVALILDNTVPGGRQERGLYVWSEAEAAKRESAFIKDYELPFKIGRPF >Sspon.03G0009350-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:25648030:25649286:-1 gene:Sspon.03G0009350-1A transcript:Sspon.03G0009350-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DGGGVPRGGGVRLPVQGGADRGQRRREVEPAVAVRQGRVQPRDEVHHRRRVRHQDRPGRRQARQGADLGHRRAGEVPRHHERILPRRGGRSGGVRRDAPHHLRQRGALAAGAAGPHGRQHRGHAGGQQGRPAPPPRRDARGRRGLRGAARHLLHGDVGAGRHQRGPRLRRGAPPDLPRRQPERARHRDDPAAPPRGKTIDVGAAKDEVSPV >Sspon.02G0017830-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:24007725:24011528:-1 gene:Sspon.02G0017830-2B transcript:Sspon.02G0017830-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCAASRLSGGGGGGDGGDPVAVCRDRKRLIKAAVERRFALAGAHAAYAAALRSVADALDVFVARHTAPAPILITLPTPSSSPPGSPKPAQVQVQGLPSPATPPPPQQEEEGEEEAPASPAAAEDGGGGVQTPEMGCPYYYPSPATPPPPPPPAASAVGGWDFFNPFYGTEEVAAAISDEEMRAVREREGIPELEEAEEEEEGAKAVETNPPKAEASLGVLTPQEEAKDVCEMAANNGGLEVAVAPQGRELLAALKEVEELFAAAAEAGKEVSGMLEAATRVPELKENSSKIIHAITWHRSLSSVSSSYRSELGASSNSLSWTDKSETKSDIFEDYGGMKSGSHSQTLGRLYAWEKKLYEEVKAIDQIRQTYEKKCVQLRNQDAKGSELRCAEKTRTTVRDLYTRIWVSLRAAESISDRIQKLRDEELQPQLVELLQGFTKSWKIMVDSHETQRQIMFEVNSFTCPAYGKFCNDAQRHATLKLEVELRNWRYCFISYFNAQKAYIEALDGWLSKFILTDTIRYSRGISSIAPDRAGAPTLVVICHDWYTTMSKFPNKRVSVTMRNFLRSVRVLWLKQGEEQQQKRKVDSLAKELDKKVTAYKRAENRIIGTKLLEHKPEIDAKQRMEHLSEKKEMLNVLRKRIETEKAKHHACMRDTHDVTLNGFKIGLASIFESLAEFSKDSVMLYEDLLARAHDKDSEKAATDKRPCIAGPYSHIAVDAT >Sspon.04G0021160-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4A:74067220:74068394:-1 gene:Sspon.04G0021160-1A transcript:Sspon.04G0021160-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding PPRARALPCMETRSSSRKRAAAAASSSASKRPRRNSSASAPPPQQPPMEPSPSSRRRSRAAAADKGKDPDPSSSDHPSPPAPDD >Sspon.06G0027200-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:83637390:83641974:-1 gene:Sspon.06G0027200-1B transcript:Sspon.06G0027200-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVLVEEILFGVQVRFNTTCSTLISAPTQCFLHDAEQRRTEESAVNNWLGDLKDAMYEADDIIDLARLQGSKLLADRPSSSKNFASCTGFSALSCIPNIQRRHAIAVRIKKFNVELEKISKVGERYLRLKNMQPKEEILVVRQTKTCELVEPNLVGKETFLACTRLVELILAHKENKSYKIGIVGTGGVGKTTLAQKVYNHQKVKGTFSMQAWICVSKEYSEDTLLKEVLRNIGVDYKQDETAGELSRKLATAVQSRMARAIGVQDVHRVELMSDDIGWELLWKSMNINEETEVANLRAIGDEIVRMCGGLPLAIKLLNLKYLRIDGATAVTKIGPEFVGYGVGNLQSTEAVAFRKLETLVIEDMPNWEEWSFVTEEEQEATIAGTGRGEDEAAANQKGFAPPPRMQLLPRLKMLEFKFCPKLRALPRQLGQEATSLKVLYLNDVRSLKVVENICFLSVSQLESIAFYCWGTELNLH >Sspon.05G0001720-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:3972832:3975359:1 gene:Sspon.05G0001720-3D transcript:Sspon.05G0001720-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding NEMLKHCDGVPNPSLYGTKQVRLFLSEDSPSQAGLRPQDNLQAKGSWLMHAAGPSSDDSLPPGFESLQPTSDLKIDISQIPLIRWRCPPQILYNPDWLVVAGEESEEVALQNERMFGALEAIYPRPSNIPPNPLVTPDVKDSQFDDSQTQLVPLIPVEEDDASDQLEEPPVGLPSSYHQSDKYDSAIFRAPQASDAPFTQPNGSINTTRSGVPVEPDAVAAASAAYTAIMQSNQVGNMIDQDLLIKILSDPAQIERLMKEYGALKHEQSTNSSVVPMVQGPPPQMTASVPVSFPDHTTTFHNINPTLPPPPVLNRLPPAIPSVTMNPPASSSQAISFPSGPTRGLNYYQTLIHQHGGERQEPLQQHGRQFAMHHQPVASQASTTDIVSSGTMTARDTKQRPTKPCAYFNSARGCRNGANCTFLHDVSAARKEQPKGSKRIKLDSRIAGRY >Sspon.03G0020620-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3A:63936599:63937236:-1 gene:Sspon.03G0020620-1A transcript:Sspon.03G0020620-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRPGQASRPCPGLRLPCLLPPLGSCGGEEKADHVWKKWNALKASDVVESCPNATPPHFNKVTCHHKHDN >Sspon.01G0036210-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:17792499:17799189:1 gene:Sspon.01G0036210-1T transcript:Sspon.01G0036210-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAEARGGEFPVGMKVLVVDDDPTCLLVLKRMLLDCRYDVTTCPQATRALTMLRENRRGFDVIISDVHMPDMDGFRLLELVGLEMDLPVIMMSADSRTDIVMKGIKHGACDYLIKPVRMEELKNIWQHVVRKKFNENKDHEHSGSLDDTDRNRPTNNDNEYASSANDGGDGSWKSQKKKREKEDDETDLENGDPSSTSKKPRVVWSVELHQQFVNAVNHLGIDKAVPKKILELMNVPGLTRENVASHLQKFRLYLKRIAQHHAGIPHSFVAPASSAKVAPLGGLEFQALAASGQIPPQALAALQDELLGRPTSSLALPGRDQSSLRLAAIKGNKPHGEREIAFGQPIYKCQNNTYGAFPQSSPAVGGLPSFAAWPNNKLGMTDSPSTLGNVGNSQNSDMLLHELQQQPDTLLSGTLHNIDVKPSGV >Sspon.01G0045430-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1B:89778773:89778953:1 gene:Sspon.01G0045430-1B transcript:Sspon.01G0045430-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQGSDLSVDRCAIYELDGDARHNKVLSRFGRREGVIPTSCV >Sspon.04G0012950-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:44964724:44969362:-1 gene:Sspon.04G0012950-1A transcript:Sspon.04G0012950-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMGDAKPSVLIPMPSRDRDRDRDLLVPTAAVATHASPSARTVADSDDDESKPSSASAAAAAAQTGREVAALPSPPPPTSASPRMAVASRAFHKVVHSWASKKFMTGCVILFPIAVTFYVTWWFFRFVDGFFSPIYAHLGIKIFGMYEMQRLGFVTSITFIFVVGVFMSSWLGASILGLGEWFIKRMPFVRHIYDASKQISAAISPDQNKHAFKEVVIIRHPRIGEYAFGFITSEVLLQGYSSEEQMYCVYVPTNHLYIGDIFLVSSSDVIRPNMSVREGIEIVVSVGTTMPQVLSILETEPNQLNRIRSTRS >Sspon.05G0015430-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:59255378:59258888:-1 gene:Sspon.05G0015430-1A transcript:Sspon.05G0015430-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIPLPSQLQRQQEQRLQNLKERLNIPYDENRQDHQESLRALWKISFPDTELTSIVSAQWKDMGWQGMNPATDFRGCGYVSLENLLFFARTYPASFKRLMLKQQGMRATWEYPFAVAGVNISYMLIQLLELNSVRPKSLPGINFIKVLTEHEDAFDVLYCIAFEMMDAQWLAMRASYMQFKEVLEATKQQLERELSLEDLNGIHDLPACNLLYK >Sspon.01G0057440-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:7262090:7262670:-1 gene:Sspon.01G0057440-1D transcript:Sspon.01G0057440-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding CLFSRSVASAASAPAPAFLSLSLSPDIAAAPRTAARRTGTATAPPACGAARRLPTGGGRPRPRHGATGPCWWPPANCVDGRGKLPSPLCLALPLYFDGAHISQHRSWWDEGMGPSVASL >Sspon.03G0022770-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3B:91970769:91973667:-1 gene:Sspon.03G0022770-2B transcript:Sspon.03G0022770-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAALAMAEQVVADLRAKCETPPSMLREVAAEMAREMGAGLEKEGGSRVKMLLSYVDKLPTGEEGLFYGLDLGGTNFRVLKVELGGNEKHVVDRDSREVGIPPHLMSGKSSELFGFIASELAKFVNDEEKCTNISNGKKRELGFTFSFPVKQHSVASGTLVKWTKAFSINDAVGEDVVAELQTAMGKQGLDMHVAALINDAVGTLAGARYYDKDVVAGVIFGTGTNAAYVEKANAIPKWKGELPNSGDMIYEKLTSGMYLGEIVRRVLLKISLQSAIFGNIDHTKLETPFLLRTPHISAMHHDETPDLKIVAEKLEESLEYITGASLEARKLVVEICDIVATRAARLAAAGLAGILMKLGRDCSVKDQRSVIAIDGGLFEHYTKFRQCLETTLGELLGDEVSKVVAVKHADDGSGIGAALIAASQSQYKNDLVAVKHADDGSGVKHEDADDKHEDDVKDQACR >Sspon.06G0008080-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:36424434:36427910:-1 gene:Sspon.06G0008080-2C transcript:Sspon.06G0008080-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAAGARRSTRVFMPKAPKPPQSQDQADPATRVLRSGKRLAADRIRWDAKEAAAFHVDINHGHSHGQQHQKEDCPKPVLPPLTKSFGIVYSRKRRRRSNSAAEVVAEDKDGSRRFGIVYTRRKGKRSKVSPLLLPQEPEAPCDLAAAIPCSSSREFASRTGFLGAHFSALVDGAASHSGAQTLIILVDTSCPGSSHRLLGLLLPMLRWMRRSRQRGKFQNLATFILSAGVAAAFASHGVHFVKLQRRSASALLQRPLAHCGWCALHGSKKSEPLLSVDFSALPSYFWSLQYAVALDSMYLPAMIRHSRLLDGGSEEIYPHTPLYLDSGAQSSGFTTTDVGSNEPCRVVPNYMPLEQVAGLVAHGLRLKKHQRRRRTMRHPRNRRRLIARLPDNGIGMKHSMAATQTELKLRSSRQEPPVEPVQPKATLEISLDLLENMDESDVSTPMGSTRRKRSSLKSPVERMNEKLALAEVRQNIDSVHSKANLLNLQADRCWREEGAEVMLELSDTNKWCIVVKIQGVTRYSLKPSDMRPYVVNRHTQAYMWAVDDAWKLEFTDKWDWLLFKELHVVGRERNSQGKTIPIPGVHEVSDDMEGIVTDPFSRPVPDYIRMVDDEVGRAISRDSIYDMDSEDEQWLIQLNHAGSDPNSSQRNHISYEDFERIISIFEKDAYNNPQGKNDLIELLSRYPALGNDDNVHAVYEYWTSKRSKRAAPLLRVFQGAPIRGAPIRRGHLLQKSAMKRKRSFKRQKSQAGRGKPEALLLDNAEEEAALQRVAQAERAAKQAVETAIRLRNRAQSLMTNAELAAYKSIMALRIAEAARISDSSRDIVC >Sspon.06G0002530-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:8191056:8193943:1 gene:Sspon.06G0002530-1A transcript:Sspon.06G0002530-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGILGKGSGWCFCSRGAKLERIKSSLLAAKGAAVAAVSFPSGGGGGGGGGGGAGGKGGSGFLIHRGLLLTTHGTIPSAAAAGIAEVRLSHGRLLARLVPQRFFITSSILDLTIVGLDIVDDDLSSHGQQPHFLKTCLNPSLDLGSTVLLLGHNRNDLAVGEGKVVIATDNLIKFSIDEVLWHPGSAGFDMHGNLAFMVCDPMKIAPSTPNGYASASSTALCTSRKDVPAQFGIPIPAVCEWLKQHWNGSLEDVSKPMMTPARLTTSGERSGRSSLGHLRYVKTTEVEGGDVLSSSQMPPRPTWQHGACSSASAKISHGEKDSVSSHSFHGQHELTSKICKPQKEQATSLVEHSRSIRLPLPLKQMMPAENKNEANRQAPHRTQASNVQMNCGTLHNVAYHENCWSEVQSSSSPLAISELGDERNGFSSGEETMYSAETRESRNIPSPKEKKTEMVGRSQSFVNHSKWDSPKSVESSRGFPSKSQTFIPLRKPHLQAAAISQKSQDYFSPTVSSNMKKRNLSQTPMKPRQRAQ >Sspon.06G0025360-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:64359625:64363292:-1 gene:Sspon.06G0025360-3D transcript:Sspon.06G0025360-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FKF1 [Source:Projected from Arabidopsis thaliana (AT1G68050) UniProtKB/TrEMBL;Acc:A0A178W8F6] MFDDAGGRGVVAVKRMRLWEEDEVVEVEEEEEEGMEVDAEAEPGWPLWGAPPAAGLGEPRAAAIIVADAAEVDFPVIYVNAAFEAATGYRAHEVLGRNCRFLQFRDPRAQRRHPLVDPMVVSEIRRCLNEGIEFQGELLNFRKDGAPLYNRLRLIPMHGDDGYVTHLFSEANIDLSSVSYPVYKQQSNNRLSIQDLNSASHEHAPKIQSSDHCGILQLSDEVLAHNILSRLSPRDVASIGSVCTRMHELTKNDYLRKMVCQNAWGRDVTVRLEMSTKMVGWGRLARELTTLEAASWRKFTVGGRVEPSRCNFSACAVGNRLVLFGGEGVNMQPMDDTFVLNLEAARPEWRRVKVSASPPGRWGHTLSWLNGSWLVVFGGCGQQGLLNDVFVLDLDAQQPTWREVASEGPPLPRSWHSSCTLDGSKLVVSGGCTESGVLLSDTFLLDLTKEKPAWREIPTSWSPPSRLGHTLSVYGTTKLFMFGGLAKSGSLRLRSSDAYSMDVSEDSPQWRQLATTGFPNVGPPPRLDHVAVSLPCGRIIIFGGSIAGLHSPAQLFLIDPTEEKPAWRILNVPGQPPKFAWGHSTCVVGGTRVLVLGGHTGEEWILNELHELCLASRPDEDD >Sspon.07G0008260-3D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7D:19391191:19391421:1 gene:Sspon.07G0008260-3D transcript:Sspon.07G0008260-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASVSGATICLQKPGSKGRRARDATSFARRSVAAPRSPHAAKASVIRSDAGAGRGQHCSPLRAVVDAAPIATKKVY >Sspon.04G0002420-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:8327137:8329659:-1 gene:Sspon.04G0002420-3D transcript:Sspon.04G0002420-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGDDRRAPLLGSGAGTGGRLPSLRRRDSARSLRSSFLSRLPDKVRAGLDPECLADIDLSRAKGLSQGVREYYEKQFATLKTFEQVEARCMPGEFDSDVEASHSEDTEQKQSEFAMKISNYANIVLLVFKVSEFRRQVYATIRTGSMAIAASTLDSLLDFMAGGILWFTHLSMKRVNIYKYPIGKLRVQPVGIIVFAAVMATLGFQVLVQAVEQLVENKPGEKMTSEQLIWLYSIMLSATAVKLALWLYCKSSGNSIVRAYAKVYPSGPMAPPEMLQMLTYLAMKHDPRVRRVDTVRAYSFGALYFVEVDIELSEDMPLREAHTIGESLQEKIEKLPVVERAFVHIDFESTHKPEHKVRSRLPATDP >Sspon.06G0025120-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:62102712:62103920:-1 gene:Sspon.06G0025120-1B transcript:Sspon.06G0025120-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHRFFYDPYDYYYSSPYHYPYPYYQYQHPAPSRGVGGFFPAAANAAPEAVKVDPRPRVESSRPVSIPVRFVGSDTEPVRGAARMPPAAAAVPRKRALSAEAAAVRLQAAARGFMARRSVRAVRDVEREASEVAEKVAREAEALRGDARARIGVGEALMKLLLRLDAVRGAREYRRRVTKRVLALQDAVDALEPKAALDSEAVAEENESEVTSEMADDSAAATELPVDAQHSGEIEVKAMSETTADMEVDGDRIEIKTAPEAAEESEKLQDGGNIDGDKPEGSDAEGEWEMVAEEAEPTTAAASTEATLQEPVTSEVMRTTGQAGAADSALDTRKVMEMVAALCEQNAQQCAVIGALAERVDALERAVRRLEDAERRRRRGKKLKKEGKGSKSNNSKCYSD >Sspon.05G0031040-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:2999435:3007144:-1 gene:Sspon.05G0031040-1C transcript:Sspon.05G0031040-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLMAAMLLGGARVAGAAKLTGNTAVKQALPTPACFLHPRPQPASWTRLCLQAAPRSSQAYDNAPENRREIRDKYKDAAEKAKDATGDAEEHAKRMAGEAKDKAGRMAEQASDTAERAKEQTKGMAEGAAETASRAAERAKQEARDAAREAADRAGHVKERAKEAGHEAAHRAQETARAAKDRTEGTVSAGEKVVEVTKEGAGKVAETAQAIGDMAKQAARRTWDSAKEAAHSVKDSVVPDEEDVDAAMKERDRIARERNKREAREKGSGLP >Sspon.07G0017920-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:64811164:64814997:1 gene:Sspon.07G0017920-1A transcript:Sspon.07G0017920-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding EAALGAANWLLGQVLNKLSDDLVKAYVSSTELGLNLEEIEREMLYTRGLMEAAQGKDTSGNSGLQGLLEKLGKKADEAEDALDELHYFMIQDKLDGTRDATPDLGGGLGAKAQHAGHAARHTSGNWLSCFSCCRSQDDVAAVMSLDHGGHVEKLPFNRVEMSNKIKQLIEELHSNCTPVSDLLKIVSGSNPQTHLPAFTRRPDTSSEITQDKLFGRDAIFEKTINEIISVTNSEKILSVLPIVGPGGIGKTTFTQHLYNHTRIKEHFIVRAWICVSTNFDVLKITKEILSCLPATENAGNKTANETTNLDQLQKSIAERLKSKRFLLVLDDIWECSISDEWEKLLAPFKKDETSGNVILVTTRFPKIVEMVKKETNPIDLRGLDPDEFWKFFQICAFGRIQDEHGDQELIGIAKQIANKLKCSPLAAKTVGRLLIKKPFQEHWMKILDNKGWLEENHGNDIIPALKISYDYLPFHLKKCFSCFSLFPEDYKFEKLEIICFWDSIGIIDCTRKNKKTEDIGSDYLDELVDNGFLIKGDDNFYVMHDLLHDLSRIVSLEECAYISCSSFDAKKIPKTIRYLSVFMLDTHIQNFDEDMGKLKERIDIKNLRSLMIFGEYNRLHLINVLKDTFKEINGLRVLSIFMNSYSSLPKNFSKLVHLRYLKLIPPYYSEMSLPSIVPRFYHLKFLDLKQWRSGFSLPKDICRLENLRHFVALKNFHSNVPEVGKMKFLQELKEFHVKKESVGFELGELGKLEELGGELNIHGLENVTTRQEAKEAKLMAKRNLVELGLLWNEKQESTGDDILDSIQPHSYIRRLRIVNHGGVVGPGWLCSNNLYMKNLETLHLESVSWANLAPIGQMYHLRELKLKNIIGLSQIGPDFFGGTTEKSFTHLKGVEFCDMPELVEWVGGANCHLFSRLEKISCSDCPMLTTLLFSGWPISSTEDSTIWFPSLRDLHIDRCPKLCLPPLPHNSMVSCIDTESLSYDRTELNIRKPLELVIHNLGDIERVMTRDALLFSFMDLQKLHSLRHIEVNRCEETFLRGLDDGVVLHTVQRLELRQFSLTRKSLSNLFKCFPALSRLGVSASSDEDHEEVVLQFPPSSSLRDVRFRGCKNLILPMEEEEGVGFCGLSSLESVTIRNCEKLFSRWSMGGGAAQTQSIIYPLPPCLKELCLWGQQSTLPMALLANLTSLTSLELVNCKNITVDRFDPLITFNLEHLWVHNTRDGETEPCSVAADVLAAVARTKTMPASSFQLVKLQVDSISAVLVAPICSRLSATLQR >Sspon.06G0035400-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:73485649:73499895:1 gene:Sspon.06G0035400-1D transcript:Sspon.06G0035400-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSIASQLKAIKSALGAAPEPARRSVTRPSVLFDAKEAADIDLRAILPIALSGLEHLTSIDERFARYSNTLFRETSLEVNREQLTPKENDKLNKSICTYLRLLAGYLHLPSALKTLEYLIRRYLNSKWAFLGGVKSSGAPPPRSVLVQQCIRDKAVLETLCSYVTPTKEFSHSRTVVCFCTAVIVECLGAVPKLDTDVVQRVLGFVFDSLNPAVTRDQEYKSQSVHDFPKKPLMILKDNSISDFSCHTHLIETIETLPVKNFIESIVCKVLRNCVKVSQATGNPDINHTELWAKKIFSAIERKYPLELRDAIRKFLENSEINSSGGDSMSEVFGIVFDESKNLPTEISGSNIWFSLDHPKAAVRQSALSKVATSGIFNNSTLNPQFVQKFINMQDAILRSLYDDDLSVVQAALSIEGLAVVANPDSLLKAYDGVLTKCIKIINKGGSKASKASDVAVSCLEKLNMSADSIASINMKNIKAFAETFLADPNKHVEWLANSGSGSRFSKTLFLLIVLQSLVSTEVLDEQVNLCHACLPVLKDEWRNIQPKDSGVGDEINIDNLEMCSLELVKHFFNRDSEALNVRILVCIFWGILRVQSSCFKQNPVVGSGGNTMLDALFLFFLVSPAKNIFQKHVQYLVVNCIGAPFQFISKYFLDEDLSERVQVESLLVLASICSKCASSESNSLDESICMQLLLGFPSIIPPLAHDN >Sspon.04G0004620-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4C:13574141:13575458:-1 gene:Sspon.04G0004620-2C transcript:Sspon.04G0004620-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding CRRALQSRRGSPTRSSSPRAPWWPPWRCSRSPTRSLSRRLTTTTEQPCPPALLAHHPWVVVVVVVVPPARSTTTRRWRTPWTAPSRGGTRSARGGCARTRSFSGGVERVLMVSGSQPTPCRAPGGDHTL >Sspon.05G0008320-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:19504680:19505855:1 gene:Sspon.05G0008320-2B transcript:Sspon.05G0008320-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRTASKDIITLRGSAAIVSEFFGTRAPNRPPPSPKFSCRGCPAGGGGSVGADPSRIRLVRKVRDFVLLIDPVPFGFLVDCSILYNRAVYPEESFTKVKKYGLTMLLTQDEGVKNFIANLTSQLSKWLEAGKLQRIVLVIMSKATSEVLERWNFNIVTDAEVVEKGCATGSYPPSSPSSCDPSQSKVAAAHCVSHGLIVRLLLMFVRAIKEKSDKEIMREIQAIMRQIASCITYLPCLDEPCVFDVLAYTDTDVDAPGTWVESDAKLIDNPQMVKLHSFDTK >Sspon.01G0023470-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:82911692:82917517:-1 gene:Sspon.01G0023470-2C transcript:Sspon.01G0023470-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPARRRPRRGATPAGGGDDSVPSSAADLLALAATLIPAAATAALKAPPQLKQLVHSLPVSHPLLLSLPQALALALAPPSDPDAGFTSDAPPPPPPPPRPAAVLLHLLVTHPTHPPRWDDLICPLALLHARLALLANADPPVAALAASCFELAWRADAPGREALVAQTLPYLVALALTSGSSARPVLRRLFAFRDALPLLDYDDDQSISDFKMLLLRCFASPLFLKAEEGRKFLALVLGVSEGIAREGLELIRAQVVMTGGKRAAVVAYGEVIFRAWKDGGWVRGEVGEAFLQGMVEGAVHAGSKEVAKAARKILSPFVEQRAVAGVEKLVFRLAEPVLFRSLQVANSNVRHNALHLLLDLFPLEDPDVTKDVNDPLLEKQFFLIDKLLMDEYPEIRAVAVEGICRILNQYWEVVPAPTISKFLSKIVDDMSKDSCNEVRLSTLNGLIYLLDNPQSHDILKVLLPRLSDMISDTAMSIRAAAVDLLLAIRDLRSFQYNKVVGLGILLSSLANDHPRIAQKITKLLIPSYFPSKLSPKEACARCIALIKRSPTAGARFCEFALSEGSPPRSIVDLVKYSITLALSQTGLNSDQIDGLIIASVNLIKSLSNERSSLSALREFFANAKLRLVLQIAVSEGARAALLSIAPVVLPHDLSVLHEECMDIVVNAARISKQEEYQETVLEAHKLIVLGDWSDELFEGLTNTLQSKASDFAEIYGVEPPPCPVASSRRKKGKALKKIPVRDAVVGKGSSKSKVSNEELAVAAGAAWQINEIVKSKDLRDAFLQSSYSEIAFSSLKVISQVYVEQCLYLDTLDLAPILAYLSLATCNDLPDVNQTGSCFEEISLFQSSTANQSLDHLLNCFDKLLNGTVNNPPSKSNKNGKASRSKDQQKGASEVGGVLIANAVKGTFNVIMLGASILKFIVDTTMKPVNDDKIRCLKFASSYIKYAVSSIKKHQEQSSSFKGDDLKDALLLVRSSFTYAAKLLHLVLSSSPEESSPPEEAFFLANDLLDLVPVVESFAGSRFALSIVSVLKQWLPVLLLGLVCQWLIGPHNEMAPNVFHFADSVLPLWVTAVAKNELLDSKEPGQDEQSNLAAEGEDSPLCRKLAEMMTILLKKGSPRILDCVSGVLLSTFQLMLQRSEYDIVLGITRFVCDKLLGNNSLALEKLQLARDFLRENFLEIDRYVRDELVDDDDSRQQLEKAKALIRSVLTDFDGSSNGHPEEAKENADEPLELGERCGVGKISQVLDEDELEGDGASEDAHENGVCGKPLITLISSMSLELISLNTYM >Sspon.07G0010530-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:33995779:33997042:1 gene:Sspon.07G0010530-1A transcript:Sspon.07G0010530-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VMFNNSAEMFDNAVKCWRGHGPVCKASVRPQSSDKMVNAIKGLFISSDVPMAQFIVNLNASMPASERFIVHMLDPTHMFVQPHVAEMIRSKIAEFRDQNSYEKPQ >Sspon.05G0018550-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:78628184:78639964:-1 gene:Sspon.05G0018550-1A transcript:Sspon.05G0018550-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPYFLGFILPFVASLLLTKRKSEKKRGVPANVGGEPGCAIRNHRFERPIETRWEGITTLAELFEQSCKQFACMPLFGTRKLIAREMVVAADGRSFEKLHLGNYEWKSYADAFKTVCNFASGLLRIGHLKDERVAIFSDTRAEWQIALQTEVTTVVCGRKELKKLIDISGQLDTVKHVIYIDEEGVSAEVSLAQNCTSWTIKSFEEVESIGLQRPVEENLPLPSDTAVIMYTSGSTGMPKGVMMSHQNVLAVVSSVMTIVPGLGKKDVYLAYLPLAHILELAAEAIITGVGASIGYGSPLTLTDTSNKIKKGTQGDASVLKPTLMTAVPAILDRVRDGVRKNVMFSTRRLAAVNGSWLGAWGLEKLLWDMLVFQKVRAILGGRIRFILAGGAPLSGDTQRFINICLGDILNCVVTLIASVAHFVSQIWIQTFKPIVSLYLQAPISQGYGLTETCAGGTFSEYDETSVGRVGPPLPCSYIKLVDWAEGGYLTTDVPMPRGEIVIGGPNVTKGYFKNEAKTNEVYKVDERGMRWFYSGDIGRLHPDGCLEIIDRKKDIVKLQHGEYVSLGKVEAALSVCSYVDQIMIHADPFHNYCVALIVAAQSELKNWASKQGMTYSDFSDLCQKQGTVKEVLQSLVKAAKQARLEKFEIPAKIKLIPEPWTPESGLVTAALKLKREVIKKAYEMDLAQLGVPANVGGEPGCAIRNHRFERPIETRWEGITTLAELFEQSCKQFACMPLFGTRKLIAREMVVAADGRSFEKLHLGNYEWKSYADAFKTVCNFASGLLRIGHLKDERTEVTTVVCGRKELKKLIDISGQLDTVKHVIYINEEGVSAEVSLAQNCTSWTVKSFEEVESIGLQRPVEENLPLPSDTAVIMYTSGSTGMPKGVMMSHQNVLAVVSSVMTIVPGLGKKDVYLAYLPLAHILELAAEAIITGVGASIGYGSPLTLTDTSNKIKKGTQGDASVLKPTLMTAVPAILDRVRDGVRKNVDAKGGLAKRLFDIAYSRRLAAVNGSWLGAWGLEKLLWDMLVFQKVRAILGGRIRFILAGGAPLSGDTQRFINICLGAPISQGYGLTETCAGGTFSEYDETSVGRVGPPLPCSYIKLVDWAEGGYLTTDVPMPRGEIVIGGPNVTKGYFKNEAKTNEVYKVDERGMRWFYSGDIGRLHPDGCLEIIDRKKDIVKLQHGEYVSLGKVEAALSVCSYVDQIMIHADPFHNYCVALIVAAQSELKNWASKQGMTYSDFSDLCQKQGTVKEVLQSLVKAAKQARLEKFEIPAKIKLIPEPWTPESGLGHGCPQAQEGGHQEGIRDGPCPVVQLVTLPLQFLKDRGVFLGSSWQQQKFFLYFVLFESPDTCPTELQVCKEQDYLAALIQ >Sspon.01G0012010-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:39729630:39730751:-1 gene:Sspon.01G0012010-2B transcript:Sspon.01G0012010-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LQWFQKASSTIVSNVSIDAIKHIQDFEVDSEYIPDISAKYPLCVSGRYNGKLPETLIAKGHLADMSEISIELKVQHIKDIPLDKVELYWINLKWLLDPQETYSV >Sspon.08G0000930-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8D:1003289:1005063:-1 gene:Sspon.08G0000930-2D transcript:Sspon.08G0000930-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARFQLETLEGTTNEETDVHSAAKWRPPRPRLVAFTTSSSSSSSSGSLSTTCTSGSSHLQSSSDQGCSVTLSTHLVEEIANKLEHVQFPWLELEEEREKIHGHINGLVKEFCTRLQSSVSKMLPFVDAVAAPNVGPPRIQDTLSNSSNGEATTEPTTEEKLQALIGVRDALSAASEQIQLWPHCPPFLGSRDIIAEMSNLLSAKLDKLDQAILDTVDEIRTSIATLLLDSHEVDSMDSEAVQGSPNIHKVTRFIVNCIKVLSTNYALVRQIVSNAASLGKYVAEHGKASPLATNTDPFAASIMEMISCLQEKLLRVFQPFPDQGLRFLFLLNNTYFMWQQLHPSSALEPHMSALTCKIDAHIQSYLQVSWAPVLSCLHDPTPLCLGRYSPLAKFQLEFQKTSTVQKLWKVPDHELRGRLRKAIIEKVSSGFTKYLEDYNVNSPRVTSQELVETLKELFEG >Sspon.01G0061830-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1D:98069322:98069796:1 gene:Sspon.01G0061830-1D transcript:Sspon.01G0061830-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VRGADERVRPAHALLHARVPGARARSGGSVALVGLELEPRFDRPYLSSLLRDFWGRRWNLSVPALFRQRVFRARARPPLGAPVGVLAAFVISGVMHEAMFSYVTLLPPTREAAAFFTLHGACTVAEGWWAAHEGWPHTGRNTRWRSSAGIERFHLRP >Sspon.04G0008040-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:22918094:22920238:-1 gene:Sspon.04G0008040-1P transcript:Sspon.04G0008040-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MECENGRVAATNGDSLCMATPRADPLNWGKAAEELMGSHLDEVKRMVAEYRQPLVKIEGASLRIAQVAAVAAGAGEARVELDESARGRVKASSDWVMNSMMNGTDSYGVTTGFGATSHRRTKEGGALQRELIRFLNAGAFGTGTDGHVLPAEATRAAMLVRINTLLQGYSGIRFEILEAIVKLLNANVTPCLPLRGTVTASGDLVPLSYIAGLVTGRENSVAVAPDGSKVNAAEAFKIAGIQGGFFELQPKEGLAMVNGTAVGSGLASTVLFEANILAILAEVLSAVFCEVMNGKPEYTDHLTHKLKHHPGQIEAAAIMEHILEGSSYMKLAKKLGELDPLMKPKQDRYALRTSPQWLGPQIEVIRAATKSIEREINSVNDNPLIDVARSKALHGGNFQGTPIGVSMDNTRLAIAAIGKLMFAQFSELVNDYYNNGLPSNLSGGRNPSLDYGFKGAEIAMASYCSELQFLGNPVTNHVQSAEQHNQDVNSLGLISSRKTAEAIEILKLMSSTFLIALCQAVDLRHIEENVKSAVKSCVMTVAKKTLSTNSTGGLHVARFCEKDLLQEIEREAVFAYADDPCSTNYPLMKKLRNVLVERALANGAAEFDAETSVFAKVAQFEEELRAALPKAVEAARTAIENGTAAIPNRITECRSYPLYRFVREELGAVYLTGEKTRSPGEELNKVLVAINQGKHIDPLLECLKEWNGEPLPIC >Sspon.01G0013100-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:35782415:35786648:1 gene:Sspon.01G0013100-1A transcript:Sspon.01G0013100-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAATAGGSDEGASALLGLLQRVQSEALRALGPHDFDPKFYVDLPLATDERAADAALAALPRPAPTRAELDDFLSRYFGDPGSDLVPAVPPDFQAEPRGFLPRVHSSEARAWAREVHALWKQLARRVAPDVAARPDRHTLLPLPGGVVVPGSRFREVYYWDSYWVVRGLLVSKMYDTAKAIVLNLVYLLEKYGFVPNGARSYYINRSQPPLLSSMVLEVYRASRDVEFVRTVFPSLLNEHSFWMSEIHNVAVADNHGQVHNLSRYQARWNKPRPESATIDEELASKVNSMAAKEKLYREIASTAESGWDFSSRWMRNSTDMTTLATTYIIPVDLNTFIFKMELDIGALAKLASKARHIAIDSILWNSEMEQWLDYWLPADADCQGVHEWKSNSQNRNIFASNFIPLWLNAYHSGSVRFADEAKSKRVMASLKASGLLHAAGIATSLINTGQQWDFPNGWAPLQHLIAEGLLHSGSEAKILAEDIATRWVRTNYAAYKLTGAMHEKYNVTACGESGGGGEYKPQTGFGWSNGVVLSFLEEFGWPEDKEIACSGKALTTG >Sspon.01G0014430-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:40793267:40795909:-1 gene:Sspon.01G0014430-1A transcript:Sspon.01G0014430-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDAWPWLASLPPPLRDEGRPDTPSPPPWSLPLAASTDGASIVLQADTTAAAASASPAGNADDDDGEPALHVAFSVAINGAGGEARALWASERFAASSPVALRVQLLVQLLNEVVALSPYSPCLGAAGGDASEAKVDSETVSAVVEAGGANPSAPAAAFFSLALLLRLFWLRRCRPALGVFLRSVGPDVEDRFMRSLGYMLAKWCLLREIQAAAAGPAAAPHRRRALPAAACLSYATEVHGLWVLKGYAPVLAMPCVTATGPASASISASPHEVPEEPALRYGLAHQQLEAVAQLEYAVRVRDRRFVTVSVRVDNVRVRVARLSFRKDDGDGGGNDGEEEEDAGVDNDVMDGESHFPSRIRVWVGPRFGASYATGPSLGRSTGNPERDVEMTRTVKGAFAGATKPANGGGVAPRIKAKMRSSARMLNRSWRWEQEAEGSAGVFEGVLYDPVTGTEVSAWRPGIGGGGSGAADPRNGIRRRYGGPGRAFSKMRGLVVAGDELPEEMTWRVGREAEGRTLRWRLGLKAWVSYLPNEVRSRHFETRCVEWAHEVDLPLVAVDADES >Sspon.01G0045470-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1B:89805340:89805819:1 gene:Sspon.01G0045470-1B transcript:Sspon.01G0045470-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEGGAEDDGAKDLVAGVAHTSCVRLLVRRLHPVEAVAAWSQWRGGLAGRRFGQPTAWRRRSSPFGQHVAWWRRRGPFGKLAARWPHQPAVVIPYAQAIAPPHAMVCQAEDDGADSPGRGSSRIGCGMASLGSRLPARAPAMEPGRPRPVEHQPRRVAH >Sspon.06G0033580-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6D:17369829:17371975:1 gene:Sspon.06G0033580-1D transcript:Sspon.06G0033580-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPSASAMKAYDEIYSSDPSNMQALRELFLLNGDVGARKRRRCCSDIGLLAAPQFGLISDQCGEDQDEAWLDGPVARVKGNLCDGLVPKDQGNGEEQVCREVDDQI >Sspon.02G0005310-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:10656261:10657824:-1 gene:Sspon.02G0005310-3D transcript:Sspon.02G0005310-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GMAIGQGDAKKGSLATSLSFSNCRSSTRIFGRKRVAVSPTPGSRSPHSPVRTLRKQRSVRFHMDDAVNLIESLPQDVQIKILCKVNHSDLRQLLLVSKPVNEQTTVARELHFKFSTPSVKSVFRDEETGGGDEDGPGAPKQRRVARSRLRGKNLEGIAVNLSASFESLLSEV >Sspon.03G0021900-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:66759456:66763321:-1 gene:Sspon.03G0021900-1A transcript:Sspon.03G0021900-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LDLTQPPEKVYYKTRLCEKFEAGKCAYEDGCTFAHGFDELRPPLPVPTSLIRRRSPLRPRSSSPGNAADGSQGGGCYRVCFEFRDTGACHRGDRCAFAHASVAEMMPFPGGPRSVEHALRNASPYAKAYSSPGSAAAAHRSSSSSSSYAPSSTRGVELDPKDKGQRVAELQPAAGGKGRGDHGVWDWILTGACCSMSSVCAALHFGFFPQLIRDMNEVTTSDDDQWNMKGRRNLVNSTDGSSATLHFGFDSSKSKRLSWHPRVFLYEGFLSDMECDHLISMAHGKKQSSFVVVVVLEIIPRMQASKCSWLIAKTQLFQQLKIGYQYGAFFQKCSSDFGESMQILKYEVNKSDYNNYEPQSSSGHDRLVTVLMYLSDVKRGGETAFPRSELKGTKVELAAPSECAGYAVQPVKGNAILLFNLKPDGVIDKDSQYEMCSVLEGEEWLAIKHIHLRKIDTPKSSLVSEDECTDEDDRCVNWAAGGECDRNPIFMIGTPDYYGSCRKSCRAC >Sspon.08G0001190-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:1709385:1712533:-1 gene:Sspon.08G0001190-3D transcript:Sspon.08G0001190-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWSNSDESPVADASLHPPIHGEGEGPDAGGPTATPASVLLDYRAYIDGRRNATTAWSKTRAGHSISVTFWPAHPPRVSCFSVYCPDLKPGDFAEEPGILATEDDVVVLCVVLGSLRARDRFDYFVYQAGGGSGVPPSLELLKHPGPSRDFGLNSVGLLRCRTHKLELDDSQPTLRSHHGYTDDGGFYIVAALCYAPPMVPGHYDLCTYDSRTKEWATRTALLRQEQELGHHSSHCSAKVIAVGGKAGTMGWVDLRHGILFCDVLLPDHTLPLTYITFPPPLKPDRKLPPDRDVAVIKGCIKYVEFITHVRPGSVSDGDFIADDWTAVTWSRKACSAKSLENGSWQRGCMVRASQISARKKSEAQFELLPKLMDDEGTPQPILERLHTSHPTLSLHQENLVYFMTKIHYRKDTKAWTLIIDNLLLHHLKPFKLQRVEASDEEKRRCHVTRAKMKTDGGGVAVMKNEETATTT >Sspon.05G0035250-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:70299530:70300948:1 gene:Sspon.05G0035250-1C transcript:Sspon.05G0035250-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSTHALFIPYPAQGHVLPLLELAHCFADHGFAVTFVNTDHIHGQLVAASLELVAGQDDGAPPESGQVRFISISDGVPQDGDRNDLGTLTRAVMSSLPAAVEDTVQNGQFCCMVVDYALALVLGVAKKAGMRTATLWPSCAAMRAAGLDLPELIADGMLDKDSLPTGKQIPPVGDLQMNLAPLGWNAAGTEEAQRQIFRSLNNSLKALGQDTIDLLLCSTVKELEEGILPIGLLPTGLRAGKPVGNFWAEDDSCLLWLDAQPDRSVIYVAFGSMAVLDQKQFHELARGLELSGRCFLWVTVEKRGKIVTWSPQHRLLAHPVVACFVSHCGWNSVMEGMTWPHFADQFIDESYVCDVWKTGLRLTKDAGGVVTSEHIAARIENLLNDPATMSRALELQQAASRSIGEDGTSFNNLTAGINAMKG >Sspon.06G0009200-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:38381218:38383076:1 gene:Sspon.06G0009200-4D transcript:Sspon.06G0009200-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DVQTQEEQKDERSVDELLCFINGDGDSRGGKASKNKKKNKRRKDQAKNPAKANSEPVNKEGASCMGPCKADSGTISRHPCQTPNLQDDIEYPFDNAFDNADLDDGLDPAMREEIDREVADFAMKLNLAWSERMILGQDQRMESHVDTRW >Sspon.05G0009530-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:29929750:29930872:-1 gene:Sspon.05G0009530-4D transcript:Sspon.05G0009530-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKQKTVIRLGVPNAKNQSKAMQLASKFVGVNSVAITGEAKDRLEVVGESVDIPCLINCLRKKVCGANIVVVEEVKDKKKEEEEKKKKEEEAKKKKAEEDKKKAEEELKKLWAACPPPYSGGYYRGPPPPTFVCEEQPAGCHIM >Sspon.02G0054550-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:114678647:114679601:-1 gene:Sspon.02G0054550-1C transcript:Sspon.02G0054550-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKLGAPWVHLGWTPRARSFHPAAAGNDPFEGRQNTARLKWNTRHSSAPYISSVPSLLAKPLGRPNLLLLPAAPPARLRGVKPALGHTALCRGPRGGGRGGGGACRHQHAVCAIGETAGLYYGLQGRRPPWLPFSPSFSVRSTVTLTSFAAGAAARLCHGLLGRHAWLRLAACRPLQRSLQSPRTTDTQAERDGAQDILATYNDLRRIGSTHHRPDAGPDVGMDGVQEVFDGMPMR >Sspon.01G0022610-4D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:79942401:79945554:-1 gene:Sspon.01G0022610-4D transcript:Sspon.01G0022610-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKYERARILGTRALQISMNAPVMVELEGETDPLEIAMKELRARKIPFTIRRYLPDGSYEDWGVDELIVEDSWKRQVGGD >Sspon.06G0023400-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:43500852:43508935:-1 gene:Sspon.06G0023400-3D transcript:Sspon.06G0023400-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHKRSHPTQRNPFQKNFAQENRQRQATRYTETEYCSPLEGGVFDLSEEAPDKVLHRLYANLERLKMEGDILAVQIWRTLRLIGKKNPSTDQEAVKSFLGLVKHAREMKIDRKVTTHSVEDFGITLVWARMDAEVSYAFHSERKRNPEKFKNQLTNQGQYAKLGLKQGWFCASLSQPSSRNLAQLAKVKVMKRAGGLWEELHIHHSIRSIVCLNLPSFSGGLNPWGIPGTRRAADREFTAPFVDDGLIEVVGFRDAWHGLVLLAPNGHGTRIAQAHRIRFEFHEGAADHTFMRIDGEPWKQPLPKDDDTVVVEISHLRQVTMLANEPCRSKSVNDPSSPVPPHDSHGDDKDTAAEEDEDEWEDGRRKFGAADTFKLPDEIDIAHLS >Sspon.08G0016380-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:64887394:64892481:-1 gene:Sspon.08G0016380-1A transcript:Sspon.08G0016380-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAERRTVRWLAVSQALSSPSAALDEWYRWYRKQVVVRECVLAAAEDRGGGLPGEEEREGVVEAGVVDCGAVAAEHGDGVRGRAAAGPFEFEACHLVLRLSKATSVRERTAHVYSLPLTKDKATDPRAAPGADPGPRQPMAPPRNRAFGAAGKRGAEKTGSTQLHLLWMAAVKAETWGRGVTAPGRPRRSFGARRPAAEKARRRRRWPAAKARCDHVTGPGRLAAWCEAWWATGQWPVAGSDGSFSLLPYPVSTPHSPFLIPKDDVRSFSRGGGRRRLLPLSLKGHGGDYEEGDDRSTRAGRGPNHNDSFRFSHTETRSPQRHPRDAQRHAGGRWQTWPNQPPTATSPIRASPPVNQSPPRLDPPTDRVFSPARPAPSDPASHPDPLSQRSHETALVHASPAAHARPARSPVSRDYIIPPAPHISPTIRIPAPAPVPSPLSSIRPGKASQVAEERKPAEKMARTKQTARKSTGGKAPRKQLATKAARKSAPTTGGVKKPHRYRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSHAVLALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERAWARGRSIWNRRLADLRPRLVDLMNLMKNLVG >Sspon.08G0017040-1P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8D:63196290:63196620:-1 gene:Sspon.08G0017040-1P transcript:Sspon.08G0017040-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAGYIVGSLVGSFAIAYLCDTFVSDKKAFGGSTPKTVSEKEWWQATDAKFQAWPRTAGPPVVMNPISRQNFIVKSTE >Sspon.02G0044280-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2B:100490154:100492785:-1 gene:Sspon.02G0044280-1B transcript:Sspon.02G0044280-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding WAPRATLAASLLAPSADGGVGVSKSPFVTLSIDESGDTVAVVGEVPVCVNFVGNNHIVVEAAAGEDEEVEMVSGSPPEEVYAHFANRRSPGAGRRRRSKQGRRGGGMEAEHFVRIADTEPTKARPLQGLWKGICEDRTLEFYLVTYDNIGGVTCRRFRDTRSQNSGYSPVFWTTDTTFLEPPFSEQELDNYTSRDHIQGVTSNHAGTENRVISRILCINSSYDVVDPHLSTPLDDGRCVEGRIWLYEDGTFGFGFVGSNSIIDLRHVSSAGCILDT >Sspon.03G0034300-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:70487790:70489836:1 gene:Sspon.03G0034300-1B transcript:Sspon.03G0034300-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding APPLVVDDEAAAGDEHAAAAASSSSSSAIADGGRVRGAHLATVPSCRRGRKQSAALQPGAPEAAPPCEGEQEEQPRLAPSGRRRRFPPEAPGLPPEAGGAPPLPPPAEDDDCGDVFDVRAFGASGGDGGSCSDDTPAFRAAWKAACSSDSATATLLVPSDGVFTISSTIFSGPCKPQLTFQVSFELHCLLPPRKIIDPSKPARPSFHAAVTVHQIDGVLMPPDGPASWPATDSRKQWIVFYKADRLTLAGEGTIEGNGEEWWDLPCKPHRLIRFFLSNDVTVCGLRIENSPQFHLRFDDCERVRVDGLFVSSPASSPNTDGVHVENTTSVQILNSRIYNAPPVTAGDDCVSIGAGCSDVHIENITCGHGHGISIGSLGVHNTHACVSNITVRNARILDSDNGLRIKTWQGGTGAVSAVEFAGVQMQNVKSCIVIDQYYCLGHGCANQTSAVRVAGVTYRDIHGTYNPQAGAPIRLACSDAVACTDITMSGVELLPAGGDEGALLADPYCWNAYGVMETLTLPPVYCLLEGSPESLQDPL >Sspon.03G0001280-4D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3D:11065275:11067633:1 gene:Sspon.03G0001280-4D transcript:Sspon.03G0001280-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGSSSRSCSSCSCSRRAGPRRSGGSSSSSRRRGGTARRGSAPPPPDRARAAAVAIQSAFRGYMASPSRRL >Sspon.04G0030420-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:934882:937077:1 gene:Sspon.04G0030420-1C transcript:Sspon.04G0030420-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIADFSDQLFDWQDRLFDNTNGRLEFSGNTWTSLWPGTGKPGLWVTSSSRMGALYTLIIREEEIYITQRAHATGQQGSNGSAGRDEDIDLVIPPVFNGCTEVLNADDQKVARDLYWEAVCSGDDASDWLKVEELLQQSIAKNPFVGEPHLVLAQVYLNMERYGDAQMQAEEGLKLLLEWGSSWDKRMPWEGWVSWGRAMLTKASEEDWPHTSFGILSLGLVK >Sspon.07G0011680-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:46716483:46717420:-1 gene:Sspon.07G0011680-2B transcript:Sspon.07G0011680-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALDCFFAVWFVVGNVWVFGGHSSAHDAPNLYRLCIAFLTFSCIGYAMPFILCALICCCLPCIISLMGFREDLSENRGATSDVINALGTYKFKSKKPRNGQGNEAGGGVFAPGTDKERAVSAEDAVCCICLARYVDNDDLRLLPCGHFFHKDCVDKWLKINALCPLCKTEIDVAPTTTPPAIGFGRRHSDNRVGNDIESQR >Sspon.02G0013880-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:34749984:34752555:-1 gene:Sspon.02G0013880-2B transcript:Sspon.02G0013880-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Subtilisin-like protease SBT1.8 [Source:Projected from Arabidopsis thaliana (AT2G05920) UniProtKB/Swiss-Prot;Acc:Q9ZUF6] MLPMQQPLLLLLLLIGSAKYAVASGADAGAATYIVYLNPALKPSPYATHLHWHHAHLDSLSLDPARHLLYSYTTAAPSAFAARLLPSHVAALRSHPAVASVHEDVLLPLHTTRSPSFLHLPQYNAPEADGGGPDVIIGVLDTGVWPESPSFGDAGLGPVPARWRGSCETNATDFPSSMCNRKLIGARAFFRGYSSGAGGNGSRVSADLMSPRDHDGHGTHTASTAAGAVVANASLLGYASGTARGMALGARVAAYKVCWRQGCFTSDILAGMEKAIDDGVDVLSLSLGGGAFPLSRDPIAVGALAATRRGIVVSCSAGNSGPSPSSLVNTAPWIITVGAGTLDRNFPAYVELGNDETHAGMSLYSGDGLGDDKIPLVYNKGIRAGSNSSKLCLEGTLDAAEVKGKVVLCDRGSNSRVEKGQIVKQAGGVGMVLANTAQNGEEVVADSHLLPAVAVGAKSGDAIRRYVESDANPEVALTFDGTALGVRPAPVVAAVVPQLLKPDVIGPGVNILAGWTASVGPTGLIADERRSEFNILSGERTRTSMSCPHISGLAAFVKAAHPDWSPSAIKSALMTTAYTVDNTESPLLDAATNATATPWAFGAGHVDPVSALSPGLVYDASVDDYVAFLCTVGVAPRQIQAITAAGPNVTCTRKLSSPGDLNYPSFSVVFGRRSSRSTVKYRRELTNVGNAGGTYTVKVTGPSDISVSVKPARLQFRRAGDKLRYTVTFRSANARGRMDPAAFGWLTWSSDEHDVRSPISYTWGM >Sspon.03G0036140-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3B:88222032:88222868:-1 gene:Sspon.03G0036140-1B transcript:Sspon.03G0036140-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTIQMPEMCCEYRCVETPQGLVLMVDAASRSCWLWNPQTGEKTALPAMDGELPDHCRCLVSDTTSSPPDWISDDVAPPDPLVLVYDLMRPELLFCRIRGGSAWVKQSYDMGLCEVPGKVPTPRAIGEMAAVQGMFFYIDHESVDVVGTLVILPDDPEPCLELVTFKAPLPTLATDAQRKVTRHYLLESSKELFLVCLFYVGCTLDRVEEVGAYVMDFSKKEWCKVTDIGDAAFLLGPRCFAASCSAAEHGLKSGCVYLADDCLGDSNDFHIFDLKEGT >Sspon.03G0004480-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:18083449:18092111:-1 gene:Sspon.03G0004480-3D transcript:Sspon.03G0004480-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ADFSALTSPRRRSRGFLLASSGSVQHHHLVTPKPPRRSVAVAGNPPGRPFVAPGRRRGACWRPSEHRRGMGVVLPGGVRVERRRRGRRVAVPAHPEPGGGAEPEARHRLLVLHGHRRRGLERALQPRVKHGRRREADRLRHRAAVPAVPAPGSEHRGGVQRRVPGVARHPALLPVPRLLHRQDVLRGSQDLAVRERRRRGRGRARRSAPRQGAPAAAAAPRHQRRRRRGRRPRKWRGVPVGGRGAAGHGLALLLRAPYPHRRQARQGTGVIKIRPCGVAYWLITLSQLPAAVAFTGYIIYAKRKKHVVHSQDQEDGKVASWQFYLYYTIVVAKLKAIWNDDDDDMVVQADLVDTGVETLSSLTLSLAAFVTGALSGLFGIGGGLLLNPVLLQIGIPPQTAAATSSFMVLFCASMSMVQFILLGVEGIGQASIYAGICFVASVIGVAVIERAIRKSGRVSLIVFLVTAIMALSTVIVTCFGVLDTASATSPRCGVVKGAANAVVYATICFVASVVGLAAVESAVRKSGRVSFIVLAVAAIMALTAAV >Sspon.05G0001320-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:5376239:5377688:-1 gene:Sspon.05G0001320-1A transcript:Sspon.05G0001320-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MCRLTEQVVFSDPYRVSKFNRWTSPYLDKDAEAVREDDELKLEAAELKSMFIERAQALIHGDLHTASIMVTTGSTQIIDSEFGFYGPMGYDIGAFLGNLILAYYAQNGHADQANDRKAYKKWILKTIEESEWGGVPDSKLVSVAQKKYMTNLFHDSLGFGSAKMI >Sspon.01G0024090-1P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1A:86924434:86925589:1 gene:Sspon.01G0024090-1P transcript:Sspon.01G0024090-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GRSGLLVHHRNRRARVVATAEASKQRRQHHAGATVAVALSRRRGARGLQRQLCELLQQQQQRGQQPSGPLVDVLRRLVVGRDAVVVPAAPAPRERRGVGAHPRPGARPAGLHAPPPRRGRGHRHRLPVPPREPGRRGVVRVRVRHEGGGPARARQEGQAGPRRGREARPAAARPPVPAHHVRGLRRRHGLLVHRHGVLSGRRPPLPPPPHAGPPLPARVRAVLRRGGAPRARVPAHDGHRVPRPQARERADTRRRPHHAHRLRPVAGVHGVAGSRGREERRRQQGGGRGGAGSTDLPPDPGAAAPPAAAVEAPRRAAAAVRGGARGRAVQLVRGHARVRGARGGPRRRARRGRGLVGLRGVPVRAHLRAHPVRRGEQRGHAPQH >Sspon.07G0010330-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:31581346:31587348:-1 gene:Sspon.07G0010330-1A transcript:Sspon.07G0010330-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MANSWRIAAAGWVITVFGWYLSPIISLLLNKILSNIGFDASRKLRELEIHTIAEMKQVLRDIEEQRMQRKARKERSAVSTLDLLAKDVKSALYQGEDILDLIDYYQIEKNIIGDSDSELQCSSWLDWLFQCLSKAIRACVTCCKGSWLGRFLRTTLAALQFLALSLYPTVRCMQATLHRSAVLLPVSRVPSVSFMRRLHCWYKSILTWSTNVIEIACLYRDWSYDVIVGITCYQEDGIAVDSFMPTIARWHLRKRIEELENVVTDARKCPLLKQESSSGWNDIGNMNRRSITSSTTRKVFGRDRERRMIQNMLREEPDDHAPGTSSSKCYSVICIHGIAGSGKTTLAQYVCDHEKENKEKYFNPIMLIHVSETFRVNDILHDMLEAITEDRHSDISGCKGLQAKLVDKLSGKRFLLVLDDLWVNDENHQDLEEILSPFNVGKRGSRILVTARNKEAALGANNLISISDLGEEQYFSMFMHYALDSTIFDDRKYIPIGRKIAKKLHRSPIAAVTVAGQLWRNPDISFWETAAKLDLLNKTKGALWWSYQQLDVDVRRCFEYCNIFPRRYELERDTLVHMWIAQGFVKAINAREQEDVEDVGQDYFHDLHSCAFLQLKRKTSSDIDSGEYFTVHDLLHDLAESVAGSDCVRINRGVIRQIPKYVRHLCIESYNEEAFPEQILELRNLSTLIMCYSVKKISQDDFKCVLMRLKKLRVVQLDVQWLGRIPACIGELKHLRYLGISTPPPHSMTLPTEVTKLYHLQVLSVPPNTLLHCSSEDKMSNLINLRHMLTWYGLNFPNIGRLTSLQSLYHFYVKNEKGYEIQQLEHLNNLRGKLFIECLENVRSKEEALQARLADKVHLTELTLQWGGIDEISRRKQSAIEEMRKVFFSPVTPVNVSELRTHRNQRCPPELQEEVLEALHPPSQITSLCIRNYDGQRYPRWLSGEQDTRDLNSTDVSHLQYLMFWGCNGSCVPPKIGKFFVHIFRLTVTGCSWTSLPDNLDHLESLKELNIQECPNIESLPQLPRFLKTLVLSQCSRSLTDSCRTKGHPNCQKIRHIMLVGEVERHQDGEQPVKVLQPGGVHVVWQPRRGAPDDADERRAQVEPERDDHGYHACGRVLERRGKLLSKRQEQPHGRQRRHPRRVALLAARVHGGQAPALDDGGRGHADDGDHVARADALQWRRVVPYEAPPQREEDTVEEAEGDDDGDEGANDHGARRYDEAAHGAVHDERLQDGEVDLHADGHA >Sspon.07G0022460-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:8211413:8216460:1 gene:Sspon.07G0022460-1B transcript:Sspon.07G0022460-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALREAVPRGSGVAPPCCGSQHLSPVLSASCPTKAPLSSSSGGWPLQTYAQAVKVDLIQLHKSPILGNLKGKGTEQGLRSVVRQ >Sspon.04G0010340-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:30987993:30990134:1 gene:Sspon.04G0010340-1P transcript:Sspon.04G0010340-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVTAASRAEVDTSRPFQSVREAVEVFGERRHGGGNGSSNGSSAPPAAASPSSVMLECLKKLEEDLAEAKGEVVELRQRQAQMEVAVSSLSVQFSKGLAVYSSLSKGKEVAVVVGEEEDSGGHGRVRSDRWDESRAEEWVASLEYLPSLSEALAIKMVEDDLGDRRKERKVKKKNKAASASRTKKHKSGGISLQLVGGMFCSKKAKSRRYSCLPAPTSKCTYLDPYAAHQHEHRCGSHVILNDSGICDVE >Sspon.08G0009250-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:35664452:35666494:1 gene:Sspon.08G0009250-2B transcript:Sspon.08G0009250-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAISMKLLSITIHLFLIVGFIPEFSIAASDDEQFVFTGFSGSNLTLDGAARITSTGLIELTNDTARIKGHAFYPSPLHFRQSPDGTVQSFSLSFVFGILSSFGDIRGHGFAFFISPSKDFTDAYSIQFLGLFNSTNNGSLSNHIFAVELDTIQNTEFGDIDNNHVGIDINSLNSLKSYTAGFYNDKNGTFTNLSLIGSGPIQTWVEYDAKKTQIDVTIAPLGLEKPVTPLLSLAFNLSTILTEEAYIGFSSSTGLSTGHHCILGLSFGMNSPAPTIDSTKLPKLPYLGPRPPSKLLEIILPIASALLVLVIGTTVVILIRRHFRYKEVREDWEVEYGPQRFTYKDLFHATKGFNSKHLIGVGGFGRVYKGVLPKSKSEVAVKMVPYNSKQGIKQFTAEVVSLGHLQHNNIVQLHGYCRRKGEFFLVYDYTVNGSLDKYLYDEEGRGTLDWGQRFKIIKDIASGLLYLHEEWDKVVVHRDVKPNNVLLDKNMNGRLGDFGLARLYDHGTDPHTTHVVGTIGYLAPELVNRGKATTLTDVFAFGVFILEVTCGKKPINEDTRGNQLMLVDWVIQNWHKDSLLDTIDIKLQGKYDIDEACLALKLGLLCSHPSPDARPSMRQVLQYLDGEMTLPELLPAHFSFHMLALLQNETRLNTSTVSLYPSPIMMMDFGSVSFSLDGR >Sspon.04G0011470-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:38080183:38084444:-1 gene:Sspon.04G0011470-3D transcript:Sspon.04G0011470-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLVQQQALPKEYVNHGSKEVGTTPSRFTFHANPEQQENGQVLHLLLLVFVEGITGWKLQLNPLVDLDSMVSPNLILFSDEALLTISMVFAYLAGVVPSGQTSPRARNQNVFQHIPEPSSSHSGRDLKFLPERNAAFDPSDLWSEVRAKLSRALQAHVQDASLDSRGDELTGDRKNYPLSMLAIHAGPRWLQVSTTLIDGLIQPAFVKWIEEEQALENGQINEKLMKAISSKLKEDNKILRRFNRFGKSELYLDMLFFLRFGSARSDSYFDVKFLAEHGERILEDLVISLADVIASIYLELMSVDGDMSTEVVSSSLVLCSLSTRELQKLRNEVAINWWLHQYFKSVVSMYEDRFELYVLCSKKCEKTDDNQAETANWWRIAFGKPNVLTLDYVNISPFSLPARRTKELRALIGWRYYFSLFLELSDIAMPFMRAAISKVSAAVSYFWVSMIGRGKELHIFVETKW >Sspon.02G0046080-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2B:114037957:114041504:1 gene:Sspon.02G0046080-1B transcript:Sspon.02G0046080-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GGQECTQFMAYAMAPQGQGDVRRRLQPGGPA >Sspon.06G0004370-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:11385322:11393399:-1 gene:Sspon.06G0004370-4D transcript:Sspon.06G0004370-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:O-linked N-acetylglucosamine transferase, Negative regulator of gibberellin (GA) signaling, Brassinosteroid (BR) synthesi [Source: Projected from Oryza sativa (Os08g0559300)] MEQPGMDSVPGKESNGIAPNLNGGVSPAKQQLEGKEALRYANILRSRNKFADAINLYDIVLEKEGANVEALIGKGICLQAQNLPRQAIECFTEVVKIEPGNACALTHCGMIYKDEGHLVEAAEAYQKARTADPSYKPASELLAIVLTDLGTSLKLAGNTEEGIQKYCEALEVDNHYAPAYYNLGVVYSEMLQFEMALSCYEKAALERPLYAEAYCNMGVIYKNRGDLEAAIACYERCLTISPNFEIAKNNMAIALTDLGTKVKIEGDINQGVAYYKKALFYNWHYADAMYNLGVAYGEMLNFEMAIVFYELALHFNPRCAEACNNLGVIYKDRDNLDKAVECYQMALSIKPLFAQSLNNLGVVYTVQGKMDSAASMIEKAIHANPTYAEAYNNLGVLYRDAGSITLAIHAYERCLQIDPDSRNAGQNRLLAMNYIDEGSDDKLYEAHREWGKRFMKLYPQYTSWDNSKVADRPLIIGYLSPDYFTHSVSYFIEAPLTHHDYTNCKVVVYSGVVKADAKTLRFKDKVLKKGGLWRDIMRVASLVREDKVDILVELTGHTANNKLGTMACRPAPIQVTWIGYPNTTGLPTIDYRISDSLADPPITKQKLHQKYCKFGPEYYVQSLTPGLWLMQTILLGVGFRVITVDLLPLIHLNHDHMQAYSLMDISLDTFPYAGTTTTCESLYMGVPCVTMAGAVHAHNVGVSLLSKVGLGRLVAKTEDEYVSLALGLASDMNALQELRMSLRELMMKSPICDGEKFTRGLEAAYRDMWRRYCDGDVPSLRRLELLEEHPVVNKPDLHKASEKLADLKAQRASVTVEEDKQPPIMANGVRSPDSPAPAKCEANGNSSQ >Sspon.01G0003870-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:9083023:9084543:1 gene:Sspon.01G0003870-2B transcript:Sspon.01G0003870-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQRLANGDVVVESEIFCLHGGLSPSIENLDSVRSLDRVQEVPHEGPMCDLLWSDPDDRCGWGISPRGAGYTFGQDISEQFNHTNNLKLVARAHQLVMEGYNWAHEQKVVTIFSAPNYCYRCGNMASILEVDDCNSHTFIQRKLVPIGVY >Sspon.05G0005540-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:19513625:19516857:1 gene:Sspon.05G0005540-3D transcript:Sspon.05G0005540-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box/kelch-repeat protein SKIP30 [Source:Projected from Arabidopsis thaliana (AT3G63220) UniProtKB/Swiss-Prot;Acc:Q9M1W7] MPLAPLLSLLPKKTPEQERRRRLHTYCCFISAVLLCTMPSTLLDGLPNEVALQCLARVPFLFHPMLQLVCRSWRASVCSGELLKIRNQIDATEELLCVLAFEPENMWQLYDPLRDKWITLPVMPSQIRNIARFGVASVAGKLYVIGGGSDRVDPLTGDHDRIFASNEVWSYDPLHRVWSQRAPMLVARAMFACCALDGKIIVAGGFTNCRKSISKAEIYDPEAGLWEPLPDLRLAHSSACTGLVIKGKMHVLHKGLSTVQILEDGGSHWAVEDFSWLQGPMAMVGGELYVLSNSCIMKQRGENFPDKMVSCASEFQSRIGFGMIGVGDNIYLVGE >Sspon.01G0053200-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:36873008:36879207:-1 gene:Sspon.01G0053200-2D transcript:Sspon.01G0053200-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable 6-phosphogluconolactonase 1 [Source:Projected from Arabidopsis thaliana (AT1G13700) UniProtKB/Swiss-Prot;Acc:Q9LMX8] MESEIMASYETKQNCEIRVFESSDEMATDLAEFISQASEISVKERGYFAIALSGGPLVRHMRKLCDAPYNKTLDWSKWYVFWAEERAVAKNHAESNYKLTKEEFISKVPIIHGHVYSINDNATVEEAATDYEFVIRQLVKVQKVGVSVINDCPKFDLILLNIGPDGHVASLFPNHPALELKNDWVTYITDSPEPPPERITFTLPVINSASNIAIVATGEDRANAVSFSISDCQEGPDAKTIPAGMVQPTDGKLVWFLDEAAASSLEAANDAYEHQGH >Sspon.07G0013710-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7C:55632737:55634281:-1 gene:Sspon.07G0013710-2C transcript:Sspon.07G0013710-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRIHCRGGKGVAAAALAVVEGFGLTVVHANVMPFSACTITITITAEAKNFNLLISI >Sspon.01G0015550-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:46918411:46928965:1 gene:Sspon.01G0015550-3D transcript:Sspon.01G0015550-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLRKAHKDKTLGVVCLRPMLCRKLAEINSDIWSVLPLVQALLPALRPPLSSGPIEHIDDSFNQWNHPNVQNALSQVKTACVLLDLCRGPLLPWVPMITAKVDLAVELLEDLLSIIQEAGQSLARSRAALKYVVLAISGHMDDVLTEYKEVMHKLLFVLEMLDPFIDPSTSAMKDTVIFGGISAIYLEKQSSVYDIALNIIHTAVKRAAVLPSLEIEWRRGAVAPSVILSILDPHMPLPPDIDLCKSSVPEIDNVPLAVLDNRALQPCNPENIDGRDASETTVRPENFEQCSFLFAPEELNQSVLTSLDTLKGKGHDVVTKTSLNHDIPEGRTNEKLSSDPFLLDNSVAADYFDAQADYQQLENYEDCELRAQEFHRIALNLCMQQEPTLEGHNAGIDALLLAAECYANPFFLLEFQSNLEPLDNIERIHSELMQGNASFALKNLHFKDLDKKAIYNLEKKRDRSVIDLLLQAARFDSEYQGKIPEGEPYPNIAEDGKLSVEISPEALQFADAVTLVRKNQAMLCHFIMKQFQRKGHLCSEILLQSLLFLLHSATDLFCPPENVIDIILKSAESLNDQLACLYSFVNAGNKKLDTVKIHGLRRRWALLQKLVLASSGSDNTRELARNRRDGFRFRSLVPPSTWIQKISNFSMFSSPLPRFLGWMAVSRYAKEYLNEKRFLASDFSQLTSLLSIYMDELCLMDGVATPKVMSGKGEQFNCTHLFLKKETTLSDQPSMTRQFKILLPELHFFFPSMGKLFNAFGESILEAVGLQLKCLPNSAVPDVLCWFSELCLWPYLERIKEHLIVANRVSYIRGNIAANAKAVVFYLLESIVTEHLEAIIPEMPRIVHILVSLCRASYTDVAFLKSVLCLMKPLISYFLRKGTNDTKVLGHIKEGSNFELLCFEELFEIVRCGKDSVDTAEDKIQAPLLIFILGSMFPEFSFERRIDMLGSLLVWIDCISSDPPSLLCSYLQGFQTLLDGCEAVLIQNIELLGVSILSGTSQSTEPADSLGVDGTMLLEKNAQDSEEQALMKSTAYCENDGSHKGLYNLHPNSIIEFCGALEKFISHLTSYIEDSWKWHHQLASRISLSMAKCLLFAKFLKSIVQEDTISGSSEQDVAVKISCELAQKHWQSALESVGDIILVNQETQFWQVASAMLDYIMGIPNVLAWGNVLNAICPAVKHFCSQAPRISWRLQTDKWLSLLVSGGIEGFKNSEIGLIDLFCTMLNHSEPEQRSVAVQQLGRIINKTSSTEADLKYPNYDQNFLTSGSTVTSLLVTHTWDRVAALALHDSSMLLRNHAMALLTEYVPFVDRKHLQSFLSSSNGILNGLGQLSGVIEEGYFTRMSLLLFSKACLYSTPEDIALIPECVWKKLENMQTSTGGFGYMEKDLCRALCQLKSESDATTVVKEVLSGCTSQPVSPDFKSIRESILQVMSSLSSIEAYFEFFSARSAQEHEELEEAEIELELIEKEKSVHNFVGHSNDTMVLAMPSYHNDGSEVNKRLQQIRENIRSLERSRLKEEITARRQKKLLIRHAREKYLEETSSREMELMQELDRERALEMEREVERQRQLDIERAKSRELQFNIDLEKEKQAQRELQRELEQVELGRSSRREFSGNPNRSAPGRDTVKGMAAEHSRRRGA >Sspon.06G0016860-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:75731521:75736320:1 gene:Sspon.06G0016860-2B transcript:Sspon.06G0016860-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPRHRHVRRLLLVRPRPLSTSATTAFSPPDPAPSSSSAVPDPALLAPDDAIALLPSVADSEGSAAALALFRRLSARPDLRHLMRLYATAATVFVARGNLPMAHEAARAMVAAFAERGRLREAADMLLELRTHGLPLVVETANWVLRVGLRHPGCFAHARQVFDGMARAGGGGVRPDGRSFRTLVLGCCREGRFEEADALLVAMWAQGFSLDSATCTVVVRAFCRQGRFRDVPGLFGRMAEMGTPPNVVNYTVWIDGLCKRGFVKQAFRVLEEMVAKGLKPNVYTHTSLIDGLCKIGWTERAFRLFLKLVKSSSYKPNVHTYTVMIGGYCKEGKLARAEMLLGRMVQQGLAPNTNTYTTLIDGHCRGGSFDRAFELMNKMKLEGFVPNIYTYNAIIGGFCKKGRIQEAYKVLRMATSQGLHPDKVTYTMLITEHCKQGHITYALDLFNQMAENSCHPDIDTYTTIIAMYCQQRQMEQSQQLFDKCLSVGLVPTKQTYTSMIAGYCRVGKSTLALKVFERMVQHGCLPDSITYGALISGLCKESRLEEARALFETMLDKHLVPCDVTCVTLAYEYCRRDKTTIAVSFFDRLDKRQQAHTADALVRKLSTVGNLDAASLFLKNVLDKHYAVDHATYTSFINSCYESNRYALASEISEKISKRISGFKKKDVADICSLDVTVDDLGIAVLMK >Sspon.02G0023300-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:71814491:71822301:-1 gene:Sspon.02G0023300-4D transcript:Sspon.02G0023300-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:D-2-hydroxyglutarate dehydrogenase, mitochondrial [Source:Projected from Arabidopsis thaliana (AT4G36400) UniProtKB/Swiss-Prot;Acc:O23240] MARREAARLLRLLAPLAVESPTRGMPHNQYESANRIVNSCRRFHWIPSLQRPLCGPTTSGGIYEGQRSAHKACEVQKRRFGSAATHIQRNPAYSELNSDDVSYFKSVLGENGVVQDEDRVAVANVDWMGKYRGASQLLLLPKNTTEVSKILSYCNTRRLAVVPQGGNTGLVGGSVPVYDEVIVGLAGMDKIISFDNVNGILTCEAGCVLENLSNFVENEGFIMPLDLGAKGSCHIGGNISTNAGGLRFIRYGSLHGSVLGLEVVLADGTILDMLTTLRKDNTGYDLKHLFIGSEGSLGVVTKISVLTPAKLPSTNVAFLSCNDYKSCQKLLLAARRSLGEILSAFEFMDHHCIDLAMRHLEGVHNPLPASPYKFYVLIETTGSDESYDKTKLEAFLLRSMEDGLVADGVIAQDISQASNFWRIREGISEASVKVGAVYKYDLSIPVEKLYDIVEEMCCRLGDSAEVLGYGHLGDGNLHLNIVSSKYDDNTLGRIEPFVYEWTSAQRGSISAEHGLGLMKAEKIHYSKSPEAVQLMASIKKLLDPNSILNPYKVLPQSVRTGLVVVAE >Sspon.02G0003260-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:10510891:10513704:1 gene:Sspon.02G0003260-1A transcript:Sspon.02G0003260-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AMESTALMTARLPLPTSGSRSKPLSPASFTRAAHPLASVPFSSGSGSGSVVLLSCRSRDYAGVGSLVSAAAASGDTADAGSEYILLSVQGMMCDGCAASVKRILESQPEVTSATVDFKKASAVVWTTDEAKGTQDWQKQYGEKLAKHLGTCGFESRLRGMEKARIDNRSRSLRNHPVGHARQPI >Sspon.01G0032840-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:113701170:113705763:-1 gene:Sspon.01G0032840-2D transcript:Sspon.01G0032840-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVALRFPGVARESLDAPVAASKLGRERHPVCASARPGAQCWRPRRGLAVRCQTGAAPAVLRAEEAAAAGRNAQAGFTVVMKFGGSSLASAERMREVADLILSFPDETPVVVLSAMGKTTNNLLLAGEKAVSCGAPKASEIPELAVIKDLHLRTVDELGLDSSIVSGLLDELEQLLKGVAMMKELTLRTRDYLVSFGECMSTRIFAAYLHKLGKKARQYDAFDIGFITTDDFTNADILEVTYPAVAKRLHGDWMDDPAIPIVTGFLGKGCKSCAVTTLGRGGSDLTATTIGKALGLREIQVLHPQSMRPARDGDIPVRVKNSYNRHAPGTVITKSRDMSKSILTSIVLKSNVTMLDIVSTRMLGQYGFLAKVFSIFEDLGISVDVVATSEVSISLTLDPSKLWSRELIQQELDHVVEELEKFSVVHLLQRRSIISLIGNVQRSSLILEKAFNVLRRNGVNVQMISQGASKVNISLVVNDSEAKQCVQALHSAFFENGFLSEVEGADVPQNGASLNSNGAIYAN >Sspon.07G0001940-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:5570073:5579443:1 gene:Sspon.07G0001940-4D transcript:Sspon.07G0001940-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNYMSCTLAKAPGHGGGKCARVILPDGGVRQVPLPATAAELMMDAPGHFLMKRVGTPLVAADVARLAAAATREARRSSAKVANAVVVAPPVAEVVAVPEDAPRLRLEDLVDDAAAAAEICELKHRASNARSRRPTLETIEEENYMSGGTRRHAAAACGGGSLPGPSSSCQPASAAARLVPSEKNPIQPAGQPPRPHHIPRAIPIPRAKRNPHHHHERNGPDTARRHQTTRILSLSTWRLPSSITVSYSASAFAHTRFQALLPAPATTPPALYNPRPPRPGRLILIHTQPRPTILSLSECVRQPQFDPGLEPAMGNYMSCTLAKAPGHGGGKCARVILPDGGVRQVPLPATAAELMMDAPGHFLVDARGAGLGARLAALPADEELQLGAVYATFQMKRVGTPLVAADVARLAAAATREARRSSAKVANAVVVAPPVAEVVAVPEDAPRLRLEDLVDDAAAAAEICELKHRASNARSRRPTLETIEEENYMSSIY >Sspon.08G0008730-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:30601109:30612661:1 gene:Sspon.08G0008730-3D transcript:Sspon.08G0008730-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:U-box domain-containing protein 5 [Source:Projected from Arabidopsis thaliana (AT4G36550) UniProtKB/Swiss-Prot;Acc:O23225] MRAALASHLARNNDEAMGTSRHNSHPKVHSKMCNELTLMLDKVSSILPSIEAAQPGCKAGVEELCNLYNIVGKGKLIIQNCIECSSLYLAITSEATAMRCERIRNSLRRSLFLIQNMVEQLLANEVADVHNDLRDLKFIVDPAEEDAGKVILEMLRHSEVTQELELQTFLLAASKLNITSPKAVLIERRAIKKLLAKINGTDQKKEGILKYLLYLVRKYGKNTNPGTNEKNQNLNVATEVVSLDSIVNGINTTERCNSAAESANTRYDDQNSLSGAATPPPEFCCPLSLKLMRDPVIITSGQTYERENIERWFNEGYDTCPRTHMKLKNFTVTPNTCMKAVIHNWCKDHELESTYLPEQFQNCYSVSSLHNVSAPLIIEKNRDYTVDYSSSSFGLSGASYISSPMRETEQSKTSFDQFYSNANYQLYLSFCNFDKAMFLGFFHELSELPFELQKKAVRDLKTVLRGENQIWHSMVCNGFFEAFHEFLKNDSGIHTLQAQRAGIQFFLAFLSSGRARIPSVCEDVILLIASLLDSEFKQEALQIVHELLQEPSCRKSSLMASVFSPLVFGALDSGETKFLDLALQIICKISSDNDMKSYLVSSGIVSRLSPLLGEGKMTECSLKILRNLSEVKETAGFIIRTGNCLSSISDHLDTGSHSEREHAVVILLAVCSHSSEVCSLAMKEGVIPALVDLSVSGTKVARDCSVKLLQLLRNFRRCDQFSSSCSSEVAADHVSEKPPNDSVCRQPISKSARYISRKLNVFSKPRSLTLA >Sspon.01G0010170-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:30958296:30965022:-1 gene:Sspon.01G0010170-3D transcript:Sspon.01G0010170-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MWDSGLETKDARINAMRSAISETFPEPNRRLLQRILKMMHIIASHTSENRMTASAVAACMAPLLLRPLLAGECEMDEVFDMDGDDSAQLLAAANAANSAQGIVATLLEEYDGIFHDEHLRCSLSPESQIEDSGTEASTDDGNLDAKGNGFHDAENDVDQEMDDDNGVERILSGKLSESSGYAGSDLYDYKAVNAEDSDAERPVEVLGGNLDLSKVQNSCSTENGSANVNTLLSENNPSNPTVGHETLSMGEILSSFDPGISVPSQNSEYSVERQSNKINGSHPHVKRSNFWGRNNARKSQNSESVDSSGEEELAIQRLEIAKNDLQNRIAKEARGNAILQASLERRKQALHERRLALEQDVSRLQEQLQAERDLRAALEVGLSMSSGQFSSARSMDVKTRAELEEIALAEADVARLKQKVAELHLQLNQQRQHQNFVQQGFDMNLAFCNQEKQRNEESSVESSQWRNIKQHVLPYGSSRPLTRKLSFDASSSESRGTEASTSMSTENTSVSINVPKLAE >Sspon.02G0009460-4P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6D:9240037:9241146:-1 gene:Sspon.02G0009460-4P transcript:Sspon.02G0009460-4P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGFPLGGGHGRGDHPYPHVNPSSGSGSESSAAATAAYLYTAAAAASAPRGGFQLWPHHPAQEHYHHFYAPNIIRFADDPTAAAAGSSLGGRGSASGAGAGTISCQDCGNQAKKDCVHMRCRTCCKSRGFDCPTHVKSTWVPAARRRERQQQQATGAAEPSKRHRDAGAQPSSTTATTTSSGEQQQQMAVVAERFPREVSSEALFRCVRLGPVDEPDAEVAYQTSVSIAGHVFKGILHDVGPDPSVAAGGGGGFRHATEGSSPSTAAAGEGSVAGPVSSSAVVMDPYPTPGPYGGGAHFFHGHPR >Sspon.02G0011770-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:31433177:31434463:-1 gene:Sspon.02G0011770-1A transcript:Sspon.02G0011770-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPLAPTRTFSPLVPKLPLPSPPSPCSFPRRSAWWPRLARARATPGSSSTAAATEEQKERKRCLRCGAVYRDEENHPTACAFHGHVTGEKGLFSMSPPHQGIDGEWSDKSGIIVYRWNDEGSRPNTGRANWKKRWSCCQEREEDALPCQRGWHVSYDDGYTLF >Sspon.03G0004990-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:14190220:14193600:-1 gene:Sspon.03G0004990-1A transcript:Sspon.03G0004990-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVASPALRLRPRAASTSAPSSSAPPRCRFGSFSHFWFKAIPPLPSLYPKKFSFKCCLFSTSIIFCLAGNLFARPFPIQRVNGSAMQYLGTLKGQVLSSISDHDGRFHIKRTMCFTATDKQEPVVSLTSDMPVLQETESGTKVTPASENSYFAGRGAGKPGFISFHGSSFQKTTVESVPHPGKEASRLVWFIGPTILVSFLVLPSLYLRKVLSAVFEDSLLTDFLILFFTEALFYGGVAIFVFLIDKVWRPLQQVAPKSYIWSKSRFFRISSVTTMVLSLIIPLLTMGMVWPWTGPAASATLAPYLVGLVVQFAFEQYARHRKSPSWPVIPIIFKVYRLHQLNRAAQLVTALTFSVRGTETTNQTLAIMNSLGALLTVLQILGVICVWSLSSFLMRFLPSSDIPDP >Sspon.07G0004720-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:12173890:12175659:-1 gene:Sspon.07G0004720-1A transcript:Sspon.07G0004720-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRAGLTTPVMTVLLVSFLLEYLPKIYHAARLLRRMQGQSGYIFGTIWWGIALNLMAYFVAAHAVGACWYLLGVQRASKCLKEQCLQAAAGGCARSSAVACAAPLYYGGAPSSTGTVGSGDRLAWARNAQARGTCLSSGGDNYQYGAYSWTVMLVANPSRVERMLLPIFWGLMTLSTFGNLESTTEWVEIVFNIVTITGGLVLVTMLIGNIKVFLNATTSKKQAMHTRLRSVEWWMKRKNLPRSFRARVRQFERQRWAATRGVDECQIVRDLPEGLRRDIKYHLCLDLVRQVPFFQHMDDLVLENICDRVKSLIFPKGETIVREGDVVQRMLFIVRGHLQCSQVLRNGATSSCTLGPGNFSGDELLSWCLRRPFLERLPTSSATLVTLESTEVFGLDAADVKYVTQHFRYTFTSDKVRRSARYYSPGWRTWAAVAIQLAWRRYKHRKTLSSLSFIRPRRPLSRCSSLGEEKLRLYTAILTSPKPNQDDDF >Sspon.07G0009870-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:28918626:28921621:-1 gene:Sspon.07G0009870-1A transcript:Sspon.07G0009870-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPNPKTGDLDSEQPPPPPTTPPRLAGSTRCTGSSPSKLSRRRTLPSSPLNLRRCAALLQTRHASLPVATGATHAGLLLPTAPHTPYTASCCQHRRRDPRAAACRATDVSTAGHLRPQAAKMATKVVMYMALSEGLVISLTMTLLCNIWGYMYSNEKEIVTYSKILPILGISFFIDGLHSSLSESERIKPHIIPYPKKSK >Sspon.05G0029580-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:83842288:83850934:-1 gene:Sspon.05G0029580-3D transcript:Sspon.05G0029580-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFKGLPRDSRGSLEVFNPDALFPPLPPLQIGQPPPHSSSPTLASYPSLAGDDEEEKDADVGRATQRAAEWGLVLRTDEHTGRPQGVVARPSGSNRTSESGNSIDERVATGRALPRVSEELRAALSAFQQTFVVSDATRPDHPILYASAGFFNMTGYSSHEVVGRNCRFLQGSGTDPVEISKIRQALAAGSNYCGRILNYKKDGTPFWNLLTVAPIKDEDGRVLKFIGMQVEVSKYTEGSKDTALRPNGLPESLIKYDARQKDHARSSVSELLLALKDQRSLSESRNNTLKRKSQESGDVLSGEVPGKRSSESGSRRNSRSGTRNSLQKISEVPEGGNKTRKSGLRSFMGLIGMGHGNVEKNILKPREDPLLDSDDERPESFDDDFRRKEMRRGIDLATTLERIEKNFVITDPRLPDNPIIFASDSFLRLTEYSREEILGRNCRFLQGPETDRGTVKKIRDAIDNQTEVTVQLINYTKSGKKFWNLFHLQPMRDQKGDVQYFIGVQLDGTERVRDAAAKDGAMLVKKTADNIDEAAKELPDANLRPEDLWANHSKPVLPKPHMKDTASWRAIQKVLENGESIDLKHFRPVKPLGSGDTGSVHLVELLGTGEYFAMKAMDKSVMLNRNKVHRATAERQILDMLDHPFLPTLYASFQTKTHVCLITDYYAGGELFMLLDRQPMKVLKEDAVRFYAAEVVTALEYLHCQGIIYRDLKPENILLQRDGHISLTDFDLSCLTSCRPQVFLPEHDKKKKRRKSRSNPIFFAEPMRASNSFVGTEEYIAPEIITGAGHTSAVDWWALGILLYEMLYGYTPFRGKTRQRTFANILHKDIRFPASIQVSLAARQLIYRLLHRDPANRLGSYEGAMEIKQHPFFRGINWALVRAATPPELEAPLQDILLEATGETMPHTDMF >Sspon.07G0026580-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:54318655:54320608:1 gene:Sspon.07G0026580-1B transcript:Sspon.07G0026580-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding TMAPPTDGEVRIQKVDKIEVVHNILSKPKLYNPTAATGKYPTAGHQEVTGWKKIENPSRPRSVVSVEDINKRSDRMLPCTVKHASCDLD >Sspon.06G0003150-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:9864336:9868177:1 gene:Sspon.06G0003150-1A transcript:Sspon.06G0003150-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVVAYPFSNQQHILGRRMNNLICQKTRTCDDARGEDAIHEFGVPRVRFEDLPMDVVYRIMSKLPPKEFARANVLSSKLRCTWPVCPRLTFDGVQVCKCHRNDLRQHTGRFIHEVNAVLQKHHGKVVETLEVRMDLVDSLLVHHLNNWVSFAASSGTKNLTLDLKPIDFCFKPQLDRYVFPFQLLDSGSISHLQHMQLSFVSLKPPSHHRVHASKKDLGHVLSHCFNLEWLCIDRCNLNDELVVNGPLSHLLYLSIDCCELTKVEFHATNLTTFEYYGRFIPIGLSHSLKIQSANIMFYEAVFQDALISLLNGLPNVENLTFCIAWLELIKKQWLWDNPLKFSRLKHVQLFLNIPGRNEDSILYSVSFLRATPFIEDLEVHFGGTTSLWLADVGPRRQDIGQCNPYNRLKKMCITGFKEARGQVEFMLHVAENAPALEVITVDTHKRSTSAGDRWTIKSGPPPFEEAKRIAMTCLSRTIPPSVKLDVLSLLPSYEGKSLPELPLYESINGQYKNGLQNESINGSNGVRWVRWE >Sspon.08G0004690-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:13543709:13544033:-1 gene:Sspon.08G0004690-1A transcript:Sspon.08G0004690-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGGCSTCLEVIFAVVLPPLGVFFRYGCCSSEFFISLLLTVLCYVPGIVYSLYVILRAPPEPPGIDGERPYDMLA >Sspon.07G0026560-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7B:54205559:54206526:1 gene:Sspon.07G0026560-1B transcript:Sspon.07G0026560-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPKFNGNWSANEIEMVRSIIASHDANSICTNNRNAKHYGIVDELQARFPRKDKRQVIDLYVDLVVEMVNAIAMSSNRLPMMVSNDLVVDNFGVMVENPGVHGMDVSHGYLTDEMKAKRMVEEQHHMKVVVPQQDKQRARRFWTLEEHRNFLLGLRAYGRGNWKNISKDFVTTKTSVQVSSHAQKFFRRQESTTKKQRYSINDVSLYDAKPWVQDNSSSWEAFTSNAYNPYSYGFGGQLASMDNLTQVYSPFQCPASQ >Sspon.01G0032240-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:110281911:110283983:1 gene:Sspon.01G0032240-3D transcript:Sspon.01G0032240-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRATLLPLFLLLLATPALATSGTIVFTTLGRSRYAFDIFALPLAPLSPSAAAAEIRLTDGASVNYNGNFAPSSSSESPSLLFVSERNGSLNLYRNPVPSTSSAAGRREALEEVDDSPTPTPTLTPLLPWDPIALKDRPSLTPDGAHLVYVSTAEPAGAPRRSWAAVYSTSLASGATRRLTPRGVADFSPAVSPSGDWTAVASPGPRGWDGEVEDLHTDIYVFRTSDGWRRTLAVPDAGWPTWADDSTVFFHRRDSDGWYGVYRASLSFTDDDGGSVASVVDRITPPGFHAFTPAASPGAPGLVAVATRRPGSDYRHIEVIDVSGGGANAAYFEVTRPMAPRAHHFNPFVSPDGERVGYHRCRGSGNGDSPLLLESIKSPAPDAFSLFRIDGSFPSFSHDGKRIAFVGLPGLFVVNSDGSGGRRRVFSGNAFPTTWDWKRKGVIYTSVGPDFASESTEVDVVAVSLGDDNDPNVSIKKLTVGGENNAFPSPSPDGKWVVFRSGRSGHKNLYIMDAEDGEAGGIRRLTEGPWSDTMCNWSPDGEWIAFASDRHNPGGGSFAIYMVHPNGTGLRRVVHSADGGRTNHPWFSPDSKTLVFTSDYAAVSAEPISNPHHYQPYGEIFTVNIDGSGIRRLTHNSFEDGTPSWTPYYLKPEDVGETLQASGTCAFEDCHWLNIAAQPDSLMCGKHG >Sspon.01G0039600-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1B:35719268:35719463:-1 gene:Sspon.01G0039600-1B transcript:Sspon.01G0039600-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DGTALISVLYALPPMTCFVEEMAGDREKDGGVALTHAMNTSAAPHANMPRGDGRRNDVCTVVRM >Sspon.01G0018160-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:68704201:68705736:-1 gene:Sspon.01G0018160-3C transcript:Sspon.01G0018160-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPCEIPDRRFPCVRACSVFAGGSALEHRGIRVTETTSSVVAPVCSSVLDLEDVGWGRVQPCPLRPAGGAPEHEREEGEEREAATKPSRKTRTERGGIPAHGGSVAATTALGSSPSSPSWRRRRRELLCLRRRGLCDANPGTHRAAPRTTVMGMWDAWRRCCYSRVGCRQLLVRIRWVPAAAVLPVFKLFFLFDAEDCGTSAAASSLRCIGK >Sspon.02G0008030-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:16026671:16028195:-1 gene:Sspon.02G0008030-2C transcript:Sspon.02G0008030-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNKQEAEKTEALLLRVFDYAWNKLQNGACRREEILHKLEQRAANHRSLLSRVSDMKQKLFGEKAGIKIKGSGSANTSPGIIKSMLPRVRTIVRFRPQVLNSEDNEGEMTDIPWKKISDIPYANRQAHRRRSEGYKVKKIDVTKRRTVPIQDSNSVCGVVLEDGSSCLEHPVHGRKRCSLHKGKRVKGSPKSSPTSYPCQVEIAIPEFVPCLTEDLDSSVPKQEIKILEEPLRHSDSLESEKVNTGEASTEDGTREISWDACILEEKVSHAESESQEQQPSGRMWFELLKSQRKPASKHPSRDSGSQITAMTDNRPCKMVSIAGMESKATEL >Sspon.06G0014850-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:83105774:83110389:-1 gene:Sspon.06G0014850-3C transcript:Sspon.06G0014850-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPASSLPSRPTPAMVCARQGRLRQRYEGCYRLVSGCIPYMLKDKEDAGEKICQQDMIGRLQVLMISTPKRSDLIFPKGGWEDDESIDEAACREAFEEAGVKGIISVGGPILDVAMFPGHSDKNVLISM >Sspon.02G0001070-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:3860133:3861431:1 gene:Sspon.02G0001070-1A transcript:Sspon.02G0001070-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPATGAVASAAAGTVLLGRYELGGLLGRGASAKVYLARDLLTGRSVAIKSFPNPRAGAAGDRPVAIEREAGILRRLRHRHVVRLHEILATRKKVHFVLDLAAGGELFSLVDASGRMTEDLARHYFRQLVSAVRYCHARGVYHRDIKPENLLLDEAGALMVADFGLGAVATADGSSLLRHTMCGTPAYVAPEILSRKGYEPAKVDIWSCGVVLFVLAAGYLPFNDASLVNMYRKIYAGRFRCPGWFSPALRDLLRRVLDPDPSARIDADGIVAHPWFRHGASDEEMGRLMHGGGGEQEEEWFGPGESKDEAADREPTAFDILSFSPGCDLSALFVGAGRGGKERVFVGDTPAAVLARVEAAGRKGGYRVRRDGKRATAVYVEEEESVVAKVSVFRLADAVSVVEVVKGDGADASLFWTELLEPAVKPPALSC >Sspon.04G0015260-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4A:57064293:57064637:-1 gene:Sspon.04G0015260-1A transcript:Sspon.04G0015260-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRIERHGSALGAHQQACGLDLEEDSLPSKVKSGNAAQDRGIKLDPLVLKGWAALAGFCNCNVYYQHQLARAWKRNTRQMLLAPPVRHVQAEPFPAPPRVAGARSCGGPGRGTR >Sspon.01G0016500-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:59007262:59008598:1 gene:Sspon.01G0016500-2B transcript:Sspon.01G0016500-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LQVLNEFTHGKQDSVSKPEFQRVLSDILLGMAAGLKRDPIVILRINGEDLNEFVESPRYEPEAMAIFSQVGSANNATLRQCLLASLGQLTVDHGMPPASDSWVMENVVEPALQELSANQLDQPVSQEVFFQEFRKFLGIIVLRLQQHPVIVAHTENTFDGSGIRRLLSNKFEFDKLLDSVWRDVPKEHKDKTSKEYLRIALDRIADSASLPPFGAVDQVDAVVNEAFKMAKADDLKAVDEAEFKKLLTEILGAIMLQLDGNPIAVSTNTVVHEPMSTSSTLLSPTPLSPMVSSP >Sspon.05G0027220-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:50492299:50495435:-1 gene:Sspon.05G0027220-1B transcript:Sspon.05G0027220-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFISFVGRVLFASLFLLSAYQEFIEFGNDGGPAAKTLKPKFNLFVKLVSKSTGLGVPHVDIKTVIAITMFLKGFGGLLFIFSSSFGAFLLLIYLAFITPIVYDFYNYEMESAQFVQLFFKFTQNLAFIGALLFFLGMKNSIPRRRSKGRTTKTKTN >Sspon.02G0024640-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:89697102:89699126:1 gene:Sspon.02G0024640-2C transcript:Sspon.02G0024640-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLVVQYLCGDTPFPEMPDAYLNIRSISELHDNEIASSEYGAQFKVRLPISKIVKQSSIIHSSKQVNYNTEKAKPRHRKEATAVGYKQMAKESGAPAPPAAAAESNGLAAVHARLNASRGAAS >Sspon.02G0021570-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2C:73568360:73569673:-1 gene:Sspon.02G0021570-2C transcript:Sspon.02G0021570-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRQLSQLRKEMYRGYYTLDTFRCRGAHEEENRVSLSFTPSIFSPAKRIRFSSGDGSSSVQEQLRQVLGCLEAAIRDTSELVVFLSGCPRWCRQPYSMYLILDHCMFGRQMEMARIKKFLLQEEVPIDGNPGVLPIVGPRRVGKSTLIEHACNDERVRDHFSQIVCFRRCGTKDERTVETLRDCDVIKHRSRANGEERILVIIELIGDMDKDVWRKFYSDCKHHVAGGSKIIVASQSDKIARFGTTQALEVKFLTPEEYWYFFKVRTFGSTDTQDHPKLTSIAMDLAHEMQGCFFAASICSTLLKVNFDVHFWSMALASRKRFKQANPLLFRKNCVDCCQGLELINFRRVNKTSSEYLVILQHYETGFVPDNAQNEGPQMSIRDLLFGSNNIRPRGRFEVVVWTSRIPPHYSYMWQCEVRRPQKMVSRRKRIQQIQS >Sspon.04G0016350-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:60227696:60228889:-1 gene:Sspon.04G0016350-1A transcript:Sspon.04G0016350-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVLRHSLSIILLQLHILSGGGPPAAAKVPALIVFGDSTVDTGNNNYISTLVKSDFAPYGRDLRPPGSGGGGSAGQPTGRFSNGRLAIDFISEAFGLPHSSLATGACFASAGAGYDNATSDLFVRKTPSYSCTKNRFFVTTTMNAAADNMSVSFALDYFKEYAAKLRSFQGDDRAQETLSEALYVVSMGTNDFLENYYAVPSGHAAQYAAASGYAGYLLGVAESFARALHALGARKLDLNGLPPMGCLPLERHAATGACTEEYNAVARAFNAGLRDLVARLDGAGGLGGGARVVYGDVYGPVADVFADPAAYGFEDVRAGCCGTTGRFEMAYMCNEASPLTCADAGKYAFWDAIHPTEHLHRFLADRKMNTTLYVFQ >Sspon.02G0028300-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2A:103225517:103225823:1 gene:Sspon.02G0028300-1A transcript:Sspon.02G0028300-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding NRDPPTILHGDEARGRREERRRDGLPTLAAAPRLAWTRSPAALQSAPRELCPPASVVVRVHFSRTGVRCGSLEHSRSRRVCRMAQDKATPASDLPHIASHV >Sspon.05G0021480-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:90299333:90304743:-1 gene:Sspon.05G0021480-1A transcript:Sspon.05G0021480-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGAALLRSVATKMARAPPRLPSALERHGRLPASSRFSTSTGSTPQPTNLRGPQTDLKKVRSGNEWDRAKTAAIETTTTLAFVTVVGGVIVICGWVNPTLDRINANLEASNRFDMEIMDEIKRGHQRIREQCGSSES >Sspon.04G0015570-1T-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4C:64251534:64251908:1 gene:Sspon.04G0015570-1T transcript:Sspon.04G0015570-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRHLVHHLGKLQHRTPHMWEGLGNGLGRRPIPASDIDERRYPRKRPAAPACEDLRHEAAVGGHGIVEQRTELQVTACNAKEPRPMRHHERRLRRGMVDLALEPLAEALRRRKMERRSGRDREL >Sspon.03G0012940-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:36153402:36155693:-1 gene:Sspon.03G0012940-1A transcript:Sspon.03G0012940-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MANSCAAAAFLHPSASWQALLVFISVTAVRAADAGGQGPCPPFSCGDLHNISSPFRRPGDPPECGVQAYELACSRSKATIRINTGTYFVTSINYTDQFPYSDSRGSFWVVDANLDMHSSCPLPRSDQFPYFDFWGSSSRNGLLFHSDLAINHEDYWACFINCSQSITNSSWYKPVNCLSKNNSFVYVMVSNCFDGCIVSSLEPSCGYLAMIPFGTITYPDLDNASYTDIIEYVRKGFSVRFPEDYYSGRNASWILKTCRNNSTRLVLPALVVFTFLAYKYWKTRITIDAVEKFLQMQQMLGPMRFAYTDITAITSHFRDKLGQGGYGSVYKGNVHVAIKMLGNSNCNGDEFISEVSTIGRIHHINVVRLVGFCAEEMRRALVYEYMSNGSLDKYIFSAERSFSWDKLNGIALGIARGINYLHLGCDMQILHFDIKPHNILLDSNFVPKVADFGLAKLYPRDKSFISVASDMHELERKLCIVGLRCIQMKPQDRPTMSEVIEMLECGTDGLQMPSRPFFCDNDHNMPAADSYHFSSELTEISEEDE >Sspon.08G0003730-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:9687735:9688830:1 gene:Sspon.08G0003730-4D transcript:Sspon.08G0003730-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLALDSFPPTFFLQARHFGPSMAAMPRTTTPLPTRSSGPFPLSLFLSSGTADRANAAALRQVFAGDEHPPGMPDPSPPFLIVSYLYSDLTQLQHAMLIYQRGILPGTMEGGPPGPSQAIPVSGDAYCNSSTAPGDAAGSSSPAVAKLRKLLFRRMLIGVNDGRYFHGLFHCIDKQGNIILQDAVEYRSAARHCSPPTEQRCLGLILIPAACRSSCQVDCSVEEKMSLLCLE >Sspon.07G0033100-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7C:57213444:57218701:1 gene:Sspon.07G0033100-1C transcript:Sspon.07G0033100-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQMVNFPASMVLLAGLTFTIRTFTWTTGTDGPAEVVEAVQAPPAPTEPTSTRADPISGPVSGSPPPTIRSPFPTSSGWPPEAPGSQSRDHCDSRRAYGLLPTSTRNRPEVRDYDALTEHSPNTYPYGLVNAASEYAACIPHLFVDPVERDHISDLCLIDLPEPDHPEPTVNMVNIRRIGQDSLSTIPEEGTGSTDSHGADNTRTLIDSYGQEFSAFPPDFGGAVFAVSNDEPSCNGETDQERVAWEERNADRRARRVDLENAEQDAADTAAGGQRDIRHDLTDAFDMCKNQQVFKTLSANIVVTMNELKKLPESPALDAVKAYLKAATSYRQQGSWQRGGPYLYQGHDVNYEAHRPRDQGGRRNADQHDVDQGGERNQQGGRDARHGGEHATNRGGGRGRQSGADKEVEQPGGDLHDHLSTNLNLRNRIKNNREERHASEMQRMREYDETHGVPGHGYARRAGHIPGLRPFTDRLRAVIWPRNFKLHDLDTYDGRANPEQWITLYEIVVRATHGDEDVMANYLPMPDNKYDLEKVRDYPNEPLRDYIRRFSETRISIPNINSDEAIFVFIRGLCHHDALRTKLLRKRPDSVQDLLTVAKKWADADETDQQIKEDVGWTPRPDQLNRRPDDWRDDRRRDDRRNDLHNDNRDHRNDNRDRRQQDDFRGRQSRNRIMDNAVNAIKPTPKHNYEDAYSKISLREEDQIKTSFITPFGAYYYTTMSFGLKNTGATYQRAIQQCLSDQIRDQLVEAYVDDVVVKTKVASTLVDDLDQTFKALNKFQWKLNPKKCIFGVPSGILLGNIMKPPKCVKDIQKLTGCMAALSRFISWLGEKGLPFFQLLKAKEKFEWSEEANTAFAELKQFLTSPPILTAPKEGETLLLYIAVTNRVVSTAIIIEREEVRHVYKVQRPVYFISEALVDFIVEWTDMNTPVSDSSPEHWKMYFDGSLNIDGAGGVYFISPSGDRLSYVLRIHFKASNNVVEYEAALHGLCIAIELGLKRLMMFGDSALVINQVNKDWDCTSEWMDAYCAQIKKLENKFYGLEFHHVVRADNEAADKLSKLGSTRATIPHGVFVHDLVKLSTEEQEKPVIEYKPLVSGTVKKAAELLNEIIHRFGLPNSIIIDLGPTFIDNDFWNFCDDRGIIVKYVSVAHPRANGQAKRANGMILDALKKRLYKANDKHPGRWLRELPAVVWGLRTQPSRNTGVSPYFMVFGSEAVLPADIVFQSPWVENHDEERSSEARELRLIAPRNTALTPVRAAKYLEGLRRYYNRNVKDRFFVVSNLVMRRKQKTEGLYKLASHWEGPYMVKQVTRPTSYRLCDLDEVNILNSWHIDHLRRFYA >Sspon.02G0003820-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:13730583:13735279:-1 gene:Sspon.02G0003820-3C transcript:Sspon.02G0003820-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVRTLLLLVLLLLLLGDATSASVIGEREALLKFKAAVTADPGGLLRDWAPASKDHCRWPGVSCGAAGEVVALNVTSSPGRALAGALSPAVAALRELRVLALPSHALSGPLPPAIWTLRRLRVLDLSGNRLQGGIPAVLACVALQTLDLAYNQLNGSVPAALGALPVLRRLSLASNRFGGAIPDELGGAGCRNLQFLDVSGNMLVGGIPRSLGNCTELQALLLSSNNLDDIIPPEIGHLKNLRALDVSRNSLSGPVPAELGGCIQLSVLVLSNPYASPGGSDSSDYGEPDDFNYFQGGIPDAIATLPKLRMLWAPRATLEGELPGNWSSCQSLEMMNLGENLFSGGIPKGLVECENMKFLNLSMNNFTGSVDPSLPVPCMDVFDVTGNQLSGSIPVFISKKNCLSSQPPLDDLVSEYSSFFTYQALAGFMSSSSPLGVHLTSYHSFSRNNFTGAVTSLPLATEKLGMQGSYAFLADGNHLGGQLQPSLFNKCNSSRGFVMEVSNNLISGTIPADIGSLCSSLVVLGIAGNQLSGMIPSSIGELSYLISLDLSRNRLGGVIPTSVKNLPHLQRLSLAQNLLNGTIPADINQLHALKVLDLSSNLLTGVIPGALADLRNLTALLLNNNNLTGKIPSGFANSASLTTFNVSFNNLSGPVPTNGNTVRCDSVIGNPLLQSCHVYTLAVPSAAQQGRGLNSNDNNDTAPSDSQNEGANNSFNAIEIASITSATAIVSVLLALIVLFIYTRKCAPRMSARSSGRREVTLFQDIGVPITYETVVRATGSFNASNCIGSGGFGATYKAEIAPGVLVAIKRLSVGRFQGAQQFDAEIKTLGRLRHPNLVTLVGYHLGESEMFLIYNYLSGGNLERFIQERSKRPVDWKMLHKIALDVAKALAYLHDTCVPRILHRDVKPSNILEFFIDGLWDVGPHDDLVETLHLAVMCTVDSLSIRPTMKQVVQRLKQLQPPILFLLGGPLLEPAEARREVGEEAIDADVVDPVVVLGVGVLPEVEVQELVLFAGCTCSPRACAMATRSDGVASRLPLVGGTTVSFHGPMPTTYLFM >Sspon.01G0026390-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:101471960:101473072:-1 gene:Sspon.01G0026390-2P transcript:Sspon.01G0026390-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLPLYQQLQLSPPSPKPDQSSSFYCYPCSPPFAAADASFHLSYQIGSAAAATPPQAVINDSPELPVQPLMEQAPVPATELSACASGAQGAGISVSLDRAAAAAAARKDRHSKICTASGMRDRRMRLSLDVARKFFALQDMLGFDKASKTVQWLLNKSKAAIQEIMAEADDVDASSECVEDGSSSLSVDGKHNPAEQLGGGDQKPKGNGRSEGKKPAKSRKAATTPKPPRKSGNNAHPVPDKETRAKARERARERTKEKHRMRWVKLASAIDVEAVAASVARDRPSSNHLNHHSSSSMNMPRAAAAELEERERCSSALNNRGRMQEITGPSDVVLGFGNGGYGGGGSGNYYCQEQWELGGVVFQQNSRFY >Sspon.06G0006980-2D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6D:23995061:23995336:1 gene:Sspon.06G0006980-2D transcript:Sspon.06G0006980-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGTRRATRKRKGNGGGRNQRKGRGTAEVEASTCGLGEAATVAGRWREGEEAALAPSAGRRTGQGQVRDRATRCEKNQLARDGSLVVPCRR >Sspon.01G0008840-3P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:25737939:25739961:1 gene:Sspon.01G0008840-3P transcript:Sspon.01G0008840-3P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEVSVINQAEVEDAGAGQLDLPPGFRFHPTDEEIISHYLTHKALNHRFVSGVIGEVDLNKCEPWDLPGRAKMGEKEWYFFCHKDRKYPTGTRTNRATETGYWKATGKDKEIFRGRGILVGMKKTLVFYRGRAPRGEKTGWVMHEFRLEGKLPHPLPRSAKDEWAVCKVFNKELAARTEPMAAAAGAELERVGSLGFLNELLDSAELPALIGADVDEVIDFKGPASTSGHAGAPGTSYLPVKMEEYALLQMQYQQQQPPPMFYSSQYFSLPAMNSGDLPPAIRRYCKAEQQVVSSGKTASVVSPSRETGLSTDPNAAGGYAEISSAVTPSSSSHQFLPELDDSVLNLADLWKY >Sspon.01G0059560-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:60344702:60345731:1 gene:Sspon.01G0059560-1D transcript:Sspon.01G0059560-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAHLEEPLKRSHAKHPLYICEHRFGLAKPNPSPSPYVHGGSLFVMNLEELHWLELAIWDRRMNALMVEATACRALELADQYSISRIELETDSAQLEEAIRTCSRDLGGSAPAE >Sspon.05G0021850-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:3927845:3931288:1 gene:Sspon.05G0021850-2D transcript:Sspon.05G0021850-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGASSSTGNTSPEAHEQREQETLASAALSLPLLRAAFSRSAAAANANALPDALAPPPASFRLPGSPPLLPHFHDILAGLGPAIASQFFGHGAAAAPEGDARWVPFLRGFNRCCARVPASRSLALLLRVYAAACVGAGAPCGVQVQPDEGGGDDDVKVVGELTPEEIAVFLWMCWVMAWSASVSRVAGDGGEKSEPVAVLLPDVTHLVLSALVSAGAVADDAGIWGWDISSGGKGVKIQEFTSWVLSTPVGLGNCLSRYVRDRFRSLAADSVEESSVSTVDTTFDTSDVYLLTRGRAWAIALSIRNKLSEKLLSASVIGMDTEDLLYRSSVHGKGLSRFWSRVEGYKGLMLILLSAFSKSGGENVDSGRRWVIGVLTEEGFESKDTFYGSSGFLCATHPIFRMLPPSGKEKNFMYCHLHPQIRAYEANPKPVGLAFGGTIGNERVFLDEDFSRVLVRHHAVDKTYQHGSLIPNQGYLPVEASVLDVEVWGLGGEATKRQQNVYKKREDIFSEQRRKVDLKTFASWEDSPEKMMMDMMSDPNTVRREDR >Sspon.07G0005900-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:13681945:13683627:1 gene:Sspon.07G0005900-1P transcript:Sspon.07G0005900-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADDAARSSRRMDLNLYLGLPRAPRARRPDLGSDLALGTPMLSSSSPSSSAASADAPPLETDPLHPPYSPSRADLVRPPTPAHEPYNPFAPEAHPPYVPTPPLPVPGALPVLADELEFGFSDAHLTLVERLDRPSSSTASSPFRPDRAERYRRLMSLGGSRYFRPRRFRSDLPPLSSEAPSLENDAAAQPPEPEEPVHDTVEENKFHHLRPISRRLGEAHGFLSTWRRILDQHLANSMSRLEGAPEPSVSETAQHASRLETAQHASRLGRMTTRLRARRLQSEAESTTSVVSSAPDSGQPGNNTSDLARRSSSPFHSEGIDLMRHIAFAGLEDTERFATAFSELRRIARPSPYGASTSSNPPNPEPVDGTHIATALAADQASNSSTMAVIQEDAAFTESAGEPSNAGSSRSLRRRRGSDALGSLDVDGGDLHQNKRRRLN >Sspon.05G0028220-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:59866014:59873380:-1 gene:Sspon.05G0028220-2C transcript:Sspon.05G0028220-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAQGDARRLVRCRCADMWCKQRLWRIPRRKSTTGLQPTTSQSGHPSSAHQFQTPLHKTDQEAGGYKSFFFYLRHCSSLQSAEMERSNPTPTSSASTCDPAAVAPATAWLFHDDTAHGDDMLLQQLDAILLGMDDDDCCSDWLSASSPSSSSEAATTTLSSSPSTREQHRRRQWPHAANHGHDVASAVGEKRVKTAAFIGVRKRPWGKFAAEIRDSTRKGARVWLGTFDTPEAAALAYDQAAFAARGAAAVLNFPVERVTESLRALALAGTGAGGSPVLALKRRHSKRTRRRKPSAAGVTCDDRNLKTQRQQQPVARQCSGLSGVSPPCTAMAAAPEQQLRYGVVELEDLGTDYLDELLRATGLPSSVSLLPVLHRRPISATGPTSKLPLSLVQLTRGTCCYSVELILIPTPPHSMSLPAPEPLGADDDPHVRATPPHAELAAAALYPIEIRRTPDSLYLPPLESDAQFVELRSPASDFALVYTSRRTPLLSSFSIYSPHCLARTKSAAPRSPSSPSLTSRLCSADSLSSLSALPVACHLQFVSVFAFRLRAESVRAGEVSPPAMHGCCHADLLPRPR >Sspon.03G0041940-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:48192219:48192716:-1 gene:Sspon.03G0041940-1C transcript:Sspon.03G0041940-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RRRSRLRWWSPCWRPRRRCWASSPTRTASASCASATWTRTRCRRRCRRTTRRRRSRSRSPRRPRPRRPRPRHHRRRRWWSPRPTRHRRPGTTTTSRRSRTGTRGQPRTGTGRRRGRCTRGTTGMSPSPVRPGGTAAVAAGAPVWSSPSRSRPRCCLCSCALQQLE >Sspon.01G0007090-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:19212327:19215767:-1 gene:Sspon.01G0007090-1A transcript:Sspon.01G0007090-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Josephin-like protein [Source:Projected from Arabidopsis thaliana (AT2G29640) UniProtKB/Swiss-Prot;Acc:O82391] MEPGAKSEAKQGEARAGSGAGASGSGGGSIKVYHERQRLQFCLLHALNNLMQEKECFTRVGLDGIAGNLVLNDPNKGQWTPLSFIFKPHHNVITGNYDVNVLITALEARKKKVVWHDRRKGAASIDLNAETLVGLMINVPIRRFRGLWTGRHWVAVRSIDGVWFNLDSDLSEPKQFKDKENVIGFLDGMLSQGGELMVVLQGE >Sspon.01G0042730-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1C:64753182:64753702:-1 gene:Sspon.01G0042730-2C transcript:Sspon.01G0042730-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GHRLQWRRRRRRQHVQEAQETTQLRRRRPAGSQRRAARGALSQYVKAAEAERTRARAPVVRPLPLMPGADVDHEQEEPAETAAQQLTIVYGGRALVLDDVTADKAADLLRLAAAAAARGGTEQQPLSCSSVDDLPVARKASLERFMEKRKGRIAARAEPYRRPGDPRDHLTLA >Sspon.03G0016560-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:52421898:52425408:-1 gene:Sspon.03G0016560-1T transcript:Sspon.03G0016560-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ASYFLARTFSSVPWGIFADKIVFNTLFGLSTTYWMAIVTRGLLGMLWYAWTNKEVCRKEHQALGISIVTTTDAIALVVGPAIGGFLSEPAEKYPNVFSKESIFGRFPYFLPCFVISILAMGSCIACIWLPETLHFHIDEKVEATNEVEAQVGDSTIQFGKAKQSSDTSTIKNLLKNWQLMSAIILYCIFCLHDVAYAETFSLWAVSNRKFHGLGLTSQEVGTVLAISGCGLLIYQLFVYPFIVKYLGPIRPLRPAVVCEIYIIVKYLGPIPLSKKSYNQYCVQHSAEHSSGTRTERCCKWHLYDSNVIVQRFSWAQKHMNGLFLPGDQIMFLTINMVSILGLILTFKPFFSMSNALRHS >Sspon.02G0022320-3D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2D:68176955:68178550:-1 gene:Sspon.02G0022320-3D transcript:Sspon.02G0022320-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKQQEKRKQRATRAEKAKKPRPSQLINFTAHPRGSGTAAPVVVPPLHRGASNMRTALPFPMAGVNGAAPLWSTAATLGAASRAAALTLSSLPATASANGPAAAPFVIAPATGLQPPPVGAAASNAAAAALAQPAARAATGVSPPLVLAHAGAGDQLVPLPLQFVGYHPTCRASAVGLPAPAVGIPLAAALDKIENGALGRMLSALMPVCNPPLRQHQSKSGPPPPWWPTGFEDWWATELLAHLDTVAALMGAPAPVPFAPSYSLKKAQKVAVLVAIVKHLAPDFARVAAAVRHSGKLSVSETDLWHSALNNERAKCMTPMFIVLPQQQGGVAIGGGSASTDPSAAGSVVVHSGNAASDGCELPVANVNLPAGASVSAPADGSRGEVIAAAELQVEKEQRSDSDGVGVVSATDADAPAGDGRDQPVNFPAAGGDDGSTHKQGAAVAAAPTTGHVRQVPAGEPEMTMQPEQDGGVPGDDVAAAAEDEQQVHPVVAPNPNAVAAIEEAPEDRHWWCDNDELNRMFAEFESFGDD >Sspon.06G0019820-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6C:325426:328454:-1 gene:Sspon.06G0019820-2C transcript:Sspon.06G0019820-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTRGAALLLLLLATSAAAGGEKVCDKGWECSGSRFCCNETIGDFFKAYQFEELFPKRNSDLAHAAGFWDYKAFITAAALFEPRGFGTTGGKEMGMREIAAFLGHVGAKTSCGYKEAPDGETAWGLCYNHELSPSQSYCDDSNELYPCVEGVEYYGRGALPVYWNYNYGIVGKGIKQDLLNHPELLEQNATLAFEAAIWRWMTPMKRKQPSAHDVFVGNWKPTKKDTLSKRYPGFGATMNILYGDAVCGKGSTDKMNFIISHYQHYLGLMGVGRERSEDYLDCGDQSCGRLVAVTREGKPGKGMELTNIPYIASSSSSSCFYSSYSSSACT >Sspon.03G0038270-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:3793874:3795985:-1 gene:Sspon.03G0038270-1C transcript:Sspon.03G0038270-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPGKTEVVIENKQHYKVLGKPVDLTSLIRLQVENGKVVKHEDWWDKKPLKNRDTVGLPLVGRLAESSRWGAMLLTHALMGFGKDPKPTQHNNTS >Sspon.07G0003930-3D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7D:8981140:8982886:1 gene:Sspon.07G0003930-3D transcript:Sspon.07G0003930-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTCQLRCRSSRITSRYAGDQQPGASPLLHFHKPAWRRLQQEQELEPPHPKTTHNTPRLGAFPGYLGSPAKSGQNRDAGSSPRYMADTASSVARTRCQSAPRPRQGEHAGQEPAAEARASVGRSGSRMLARPQLQALDSFSFKSSEASRVEGSELSDEVTRDYYLDRLW >Sspon.01G0062300-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:102794636:102795631:1 gene:Sspon.01G0062300-1D transcript:Sspon.01G0062300-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to Homeobox protein [Source: Projected from Oryza sativa (Os03g0727000)] MEEITHHFGVAASSHSHGHGQHHHPWASSLSAVVAPPPQQPPSAGMPLTLNTVAATGNSGASGNPVLQLANGGSLLDACVNVKAKGEPSSSSPYAGDLEAIKAKIISHPHYYSLLAAYLECKKASKTFFPTSDTCASGVQNFLKRPCGCLQVGAPPEVSARLTAMAQELEARQRTALGGLGAATEPELDQFMEAYHEMLVKFREELTRPLQEAMEFMRRVESQLNSLSISGRSLRNILSS >Sspon.01G0045520-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:90047253:90049291:-1 gene:Sspon.01G0045520-1B transcript:Sspon.01G0045520-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Type IV inositol polyphosphate 5-phosphatase 11 [Source:Projected from Arabidopsis thaliana (AT1G47510) UniProtKB/Swiss-Prot;Acc:Q5EAF2] MGNCSSFTLPKCLLGQKSMQSLQMLLFGSRSSEKCIREMKVDKHAVGGCGGIIGRKKGAVAMYINFSGIRMVFVSCHLAAHEHKVEKRNSEFQHISHSLFSKYGTPYAQSADITVWLGDLNYRLEGISSIPARKMIEENRQSKLRGKDQLLQEAEKGEVFNGYCEGTLSFKPTYKYDVGSSIYDTSSKIRVPSWTDRILFKVGHSSGLDAVLSSYESLDCVWSSDHKPVKAHLCLRVRSDGDAD >Sspon.05G0007710-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5A:24021636:24022518:-1 gene:Sspon.05G0007710-1A transcript:Sspon.05G0007710-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MESTTRILAVLALGLALLCGAAGPAAAQNCGCQPGYCCSQYGYCGTTDAFCGAGCRSGPCHSGGGGGGGGGSGANVASVVTDAFFNGIKNQAPNWCEGKNFYTRSAFLNAVNAYPGFAHGGSEVEGKREIAAFFAHVTHETGHFCYINEINGASRNYCDANNRQWPCVPGKKYYGRGPLQISWNYNYGPAGKAIGFDGLGNPDRVAQDPVISFKTALWFWMNNVHGVMPQGFGATIRAINGALECNGKNPAAVNAR >Sspon.03G0007080-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:8320271:8320968:1 gene:Sspon.03G0007080-2B transcript:Sspon.03G0007080-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SCPPAAVDWASLLLPRATGLLHVGTPPPAQQATAGASEVESAGSSAVTMVAGSSASATTAGDGDKNKAGKAGKGGGGRGKKKASRPRFAFQTRSDSDVLDDGYRWRKYGQKAVKNSAFPRSYYRCTHHTCDVKKQVQRLAKDTSIVVTTYEGVHNHPCEKLMEALSPILKQLQLLSQLQ >Sspon.08G0011900-1P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4B:5201710:5201972:-1 gene:Sspon.08G0011900-1P transcript:Sspon.08G0011900-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GKLKFPDRASPNYRSCVGLLDMRVELDKEIKQGDTNYHAALSIMAAKLAYENELVIRNVVEKHWQVKPQFIASESKALQIKEKFSDQ >Sspon.02G0037800-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:40064216:40066328:1 gene:Sspon.02G0037800-2C transcript:Sspon.02G0037800-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSAFGDFGPLTERRKAENARKQRRRIMIAAGTVTIIILLIVMGSAAVMYSGKKSSSDGGHKSSSKSTSSPAKGKSSGGSDSDSEAESEAEPGSDAKPKTDLKAVSKSIKAMCSQTDYTDACEKSLGKAANASSSSPKDIVRSAVEVIGDAISQAFDRADLILSNDPRVKAAVADCKEVFADAKDDLNSTLKGVDDKDGIAKQSYQLRIWLSAVIANMETCVEGFPDDEFKAKVKESFTDGKELTSNALALIEKGSSLLSVLKGGSKRRLLEEEEEEGAAAAASQAGPALDKDGVPEWVPDGERRVLKGGGFKNTLTPNVVVAKDGSGKFKTINEALAAMPKTYDGRYVIQVKEGMYEEYVTITKTMKNVTLLGDGSKKSIITGKKSFADGITTFKTATFTAQGDGFMAIGMGFQNTAGAEKHQAVALLVQSDKAIFLNCKMDGFQDTLYAHSKAQFYRNCIISGTVDFIFGDAAAVFQNCILILRRPMDNQQNIVTAQGRADAREATGFVLQKCEFQAEAALRDAARPAIRNYLGRPWRECSRTVFMESEIPDFIDKAGYLPWNGDFGLKTLWYAEFGNTGPGANTAGRVNWTGFKKVISKADATKFTVENFLHAQPWIDPTGTPVKYDLFT >Sspon.07G0012530-3C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7C:51806351:51811241:-1 gene:Sspon.07G0012530-3C transcript:Sspon.07G0012530-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDETVVAIAGDGDDSDRRRPLLAPTEEIHPYTDPPSPQHPPLDAAAAQPDEQRKPERVASLDVFRGFTVAAWTLVACADDDTSGRRRRGVTGHQPRAVVRGYGGGLRHARLPLHHRRLRRTRLQDVGLQKMPNKTAATKKAAIRASKLFILGVILQGGYIHGRHKLTYGVNLDHIRLLGVLQRIAIGYFVAAMSEIWLVNNNLVDSPVSFVKKYFMEWFMAIAITVLYVALVFGLYVSNWEFEIQTSNSTLSIPSNSIETKMIHCGVRGSLGPPCNAVGLVDRVLLGENHLYKNPVYKRTKECSINSPDYGPLPPNAPDWCLAPFDPEGLLSKPLYTMSYTLLTGGVSGFLLLLLYYIVDVIHIKKPFVLFQWMGMNALIVYVLAACELFPTLLQGFYWRSPENNLVDVTESLLQAIFQSKQWGTLAFVLLEIVFWCLAAGFLHMKGVFLKL >Sspon.05G0004850-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:14985545:14988507:1 gene:Sspon.05G0004850-1T transcript:Sspon.05G0004850-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGSSAEHHLLSRIAAGDGHGENSSYFDGWKAYEMNRFDLRDNRDGIIQMGLAENQLSLDLIEQWIMEHPEASICTAQGASEFRRIANYQDYNGLPEFREAMAKFMGQVRGGKVTFDPDRVVMSGGATGAQDTLAFCLADPGDAYLVPTPYYPAFDRDCCWRSGVKLLPIECHSANNFTLTQEALVSAYDDARRQGIRVKGILVTNPSNPLGTIMDRATLAMLATFATEHRVHLICDEIYAGSVFAKPDFVSIAEVIEHDVPGCNRDLIHIAYSLSKDFGLPGFRVGIVYSYNDDVVACARKMSSFGLVSSQTQNFLAKMLSDAEFMARFLAESARRLAARHDRFIAGLREVGIACLPGNAGLFSWMDLRSMLRDKTHDAELELWRVIIHKVKLNVSPGTSFHCNEPGWFRVCHANMDDETMEVALDRIRRFVRQHQHQQSKAERWAAARPLRLSLPRRGGAAASHLAIPSPLALLSPQSPMVHAS >Sspon.01G0021040-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:82196783:82202942:-1 gene:Sspon.01G0021040-2B transcript:Sspon.01G0021040-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVFDCKVMQELTDPELALCRKDKVKAVELKGHGNACFSRWEFGEALGYYSQALRHVPINSDGMDVKLVSAIYVNRASTMHNSSKSMEATLFISPVSAFQAWYRKGMVKTALKNYSSAVHDLEVALSLEVTSSGKNNIEQELKLIFQKHESVNEAGTSNCDSKDEDLPLAGQPHKVVIESISTPNKGRGMASTDDIPPASLIHVEDPLAAIIMKSSREIHCHFCFSETPADVVFCPSCTIPIYCSKRCQEQSVGDISWDEDTHLGYSTSIANLSITSTSCKSPRSKLFAEHKHECGGAHWAAVLPTDVVLAGRIMARSIEKMMLSGKSFAISGPNLDLVHHYDQHSPANKLESQIYAIVLLLCLQNHYRSDLLWTEDSLSQLVLLIFQIKVNSIAIVRVRSVDGSPELTVNRGVSGAEGANMCSVEQVRVAQAVYVSGSLFNHSCQPNVHAYFLSRALMLRTTEFIKSGSPVELSYGPQVGEMQLSERQKSLQENYYFSCQCSSCSELNLSDLVMNSFCCPQSNCLGAISESTYCRSKENFVNVSLGGSYDMENVAKSLLGNIGVSLNIDHGCCTSCRSHIDVSSALATSHREESTINRLRKLTLLDKTLITEALQSLKLLKKLRHPYSKALAQAEDTIAEAFAKVGDQERARKHCEASIQILEKLYHPKHIIIAHELIKLVSFCCPWEMGQAPQPHSLKQRQYFRFTMDLMWRRL >Sspon.07G0005050-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:10726790:10728503:-1 gene:Sspon.07G0005050-1P transcript:Sspon.07G0005050-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGIGVNYGMIADNLPSPDKVIELCKAKSITDVRLFHPDTTVLAALQGSGLGVVLGTLNEDLARLASDPSFAASWVQAYVQPFAGAVRFRYVAAGNEVIPGDLAPYVLPAMQNLESALRAAGLGDVRVTTAVSTAVLGSSYPPSQGAFSEAALPSMGPIVSFLASRSTPLLANVYPYFAYSADPSSVPLDYALLQSASAAVTDGGASYGNMFDAIVDAVYAALERAGAPPGLDVVVSETGWPSGGGGAGASVGNAAAYVNNVVRHVGSGRGTPRRPGKAVEAFVFAMFNENQKPEGVEQHFGLFEPDMTEVYHVDFTAASSS >Sspon.01G0039580-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:29473533:29477713:1 gene:Sspon.01G0039580-1P transcript:Sspon.01G0039580-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEGPSSPVTTVHVTGFKKFHGVAENPTEKIVRNLPSFMETKGLPKGLVLGSCTVLEAAGQGALGPLYELLESTVSGRECGMPSQERRMPIISSDGSILHTRQTTLPVNEISKSLQQMGYDVMPSDDAGRFVCNYVYYHSLKFAEKHGIRSKCKVGV >Sspon.02G0023550-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2B:80235470:80236831:-1 gene:Sspon.02G0023550-2B transcript:Sspon.02G0023550-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:EMB3119 [Source:Projected from Arabidopsis thaliana (AT3G04790) UniProtKB/TrEMBL;Acc:A0A178V804] MAAATAISVRLHPTAARHVASSARRRARLGSVRAQSAPAAAAALTQDDLKRLAAVRAVEQVQSGMVLGLGTGSTAAFAVAEIGALLAAGKLEKIVGVPTSKRTFEQAQSLGIPLSTLDDHPSIDLAIDGADEVDPDLNLVKGRGGALLREKMVEAASAKFIVVVDETKLVDGLGGSGLAMPVEVVQFCWKYNLVRLQELFKEEGVEAKLRFEGDKPYVTDNSNYIVDLYFKTPIKDALAAGQEIAALEGVVDHGLFLNMASSVIIAGTDGVSVKTK >Sspon.03G0041860-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3C:47777793:47778836:1 gene:Sspon.03G0041860-1C transcript:Sspon.03G0041860-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEEPFFTLPVAVEPTVQDTIEPTPVASSPVATINEHEEPVLEEAVLEDPIEPNVAHEEEQQQPNVEQVPEAPRRSQRTRRSAISNDYEVYETEEFQMGDDPTSFEEAMRSDHSSKWLKAMEDEMKSMSTNKVWDLEIIPKGAKTVGCKWIYKTKYDSQGNIERFKARLVAKGFTQREGIDYNETFSPVSCKDSFRIIMALVAHYDLELHQMDVRTAFLNGDLEENVYMAQPKGFVVKGKENMGCRLKKSIYGLKQASRQWYLKFDRTIKGFGFKENVEDNCVYAKFKNGKYIFLILYVDDILLASSDVNLLMETKKFLSSNFDMKDLGEASFVLGIEIHRDRRKGY >Sspon.03G0016890-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:74382942:74386930:-1 gene:Sspon.03G0016890-2C transcript:Sspon.03G0016890-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHGRRKDEQDCTTAALLRGDGEAWKEEEWHHHQHASCDLWRRVCEESRKLWEVVGPAIFTCTATYSLNVIMQAFAGHLGDLELASVSFACTVLTGFNYGLMRSWIVLLVCALLLTPMYFFAEDLLLLTGQPPELSAMAGRVSVWFVPLHFSLAFLFPLQRFLQCQRKNLANAIAAAVVLCIHLFVSWLFVSRLKFGLAGVAITLSLSWWAITVMLFVYVTCGGCPETWHGFTAEAFAGLWEFVKLSAASGVMLWILILLTGNLKNAAVAVDALSICMNINGWEMTIPLAFFAGTGVRVANELGAGNGKGARFAAIVSSTTSLVIGLFFWVLIMGLHDKIALIFTTSAVVLGAVDKLSLLLAFTILLNSIQPILSGWQSTVAYINIGCYYIIGIPLGVLLGWLFNLGVLVRNHISRSATRPVG >Sspon.07G0003610-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:5851461:5869121:-1 gene:Sspon.07G0003610-3C transcript:Sspon.07G0003610-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATPWLLLLICLAAAGTAGVLQARAQPDSNGFISIDCGLPETASYVDATTKLSYVPDGAFTDAGWNHNISGEYMTPTLSRRLHHVRSFPDGAARSCYTLRSLVDLVRAAFLYGNYDGLGRLPVFDIYVCLVNTGSGTPFISGLDLRPFKRALYPQVDATQGLVLLDRWNFGPTDATNFVRYPDDPHDRIWYPWVDAATWAVISTTDQVLNIGDEDLFEAPSKVLQTAITPRDNGTRSIQFSWDRKPQPKDPTPEYIVIMHFAELQVLPNNAVREFYVSINGKLFYPIGVTPFHLSAGFIYNMDPLPGSAQYNVSINATANSTLPPFINAVEIYSIIVSAGVGTYSEDVSAITAIKAKYRVQKNWDGDPCAPKSFAWAGLTCSYAISNPSRITGVVFPTLNTETDDASCLALYDSGSTSNTVKPQNETPMGHAPPPPGDANAQSSLQLENRRFTYNELVVITNNFERVLGQGGFGKVYNGFLEDGTQVAVKMRSQTSNQGVREFLAEAQILTRIHHKTLVSMIGYTKDGEYMALVYEYMSEGTLQQHIAVTDTRMHGDYDVNSVWKAAEIALKCTVQVSAQRPTMTEVVAQLQECLQLEEGHTGGDATRNFYTGTSRDPNSGYNTYAVDGQSMDMSQSSTAFEMEQNLRRATRMNDTS >Sspon.07G0001060-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:2381016:2382870:1 gene:Sspon.07G0001060-1P transcript:Sspon.07G0001060-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGMGDGVAGRESPSPEPLRLPLPRARAAAFIVDGGRASPHALAAAVARTAESTDIPYSVAFSVPASPSGLHLGACASVVRSVNQARYHSHPALTIRTEELPPLQRARTVSRSDSTTRDRRFDHFKTFSGRLERQLSNLRGVAVDIEPAADSNSNKMISEETDDDGEVPTADRYFAALEGPELETLRPTEVSVLPQDETWPFLLRFPINAFGMCLGVSSQAMLWKTLQSEPSTAFLHVSPAVNNALWWVSASLMALVSFIYLLKVVFYFEAVRREFHHPIRVNFFFAPWIACLFLVKGMPHPVTDVNHVVWYILMAPILFLDLKIYGQWMSGGDRRLSKVATPTNHLAVVGNFVGALLGAKMGLREVPIFFFAVGVVHYLVLFVTLYQRLPTNAQLPKELHPVFFLFIAAPSVASVGWARLCGDFNYAAKILYFTSLFLYMSLVVRINLFRGVRFSLAWWAYTFPMTSVAIATAVYSSAVTNVLTRALAVGLSGVASVTVAGVLVTTVYHAFVRKDLFPNDVSIAVMKRPKAKFGKMLAHIRASGDGVKDLVSAISRHDGSGSSDTNSASESPSPMARGRRRAE >Sspon.01G0026330-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:95487640:95488274:1 gene:Sspon.01G0026330-3C transcript:Sspon.01G0026330-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MCMDRAAVPVKRVWLGIAARLGLRRKTGLRKLRKEVRTCEYHDVHVMWQMLRATEGPVPLAEKEAAAAAAVAAAAGARKRKNAWRRFIYYCCAF >Sspon.02G0035030-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:15822569:15822793:1 gene:Sspon.02G0035030-1B transcript:Sspon.02G0035030-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFFCFLVDQRRKVRSSKPAAGICSRCGGCASVADMETATRVCYLLTVHRRTWRAIICTFCGAMLKSYRHYRLY >Sspon.02G0037520-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:34219023:34220411:1 gene:Sspon.02G0037520-1T transcript:Sspon.02G0037520-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGACHVGRRSTPFPSFFHRFVRTYFAFAWLGFSSLVPFIINHAQLPQTPTQNPSRRRRRWLRTCIHRSETHRLCDTSGRKLLQHVLTSPSRRHGHGGEAIDRRNAPPPPDAAAEKDESSQGLQLPEDIRWERLDKARFFVVGAGLFSAVSAALYPAVVLKTRLQVAPAAAAAAAAGPAAPPPSAVAAATAILRQEGPLAFYRGFATSLAGTIPARALYMGALEATRSAVGPAALSLGAAEPAASAAAGAVAGLAAAVAAQVVWTPVDVISQRLMVQGNPCPASRYRGGLDAFRKIVASDGLRGLYRGFGMSILTYAPSNAVWWATYSLSQKIIWSGIGCYLCDYGVGVQEIDLGDGDSLLQPGCKTVMVVQGVSAAMAGGASAFVTMPLDTIKTRMQVMDGDSEPITVGRTVRRLIKEGGLAACYRGLGPRWASMSLSATTMITTYEFLKRLSDKGHESDLA >Sspon.01G0014960-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:44180131:44184645:1 gene:Sspon.01G0014960-3C transcript:Sspon.01G0014960-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAISMEGIEARARELGVDLSAVDLDSIALPAGEDFGILSDDEEVLHSEDPPELEMGLSNVIVVDNLPVVPPEKFEKLENVIRKIYSQIGVIKENGLWMPVNPETKKTYGYCFIEYNTPQEAELAREKTNGYKLDKSHIFAVNLLDDFEKYMKVPDTWTPAEIKPYTAGENLLKWLTDEKARDQFVIRAGTLTEVYWNDARKLAPELVFQKQYWTDSFIQWSPLGTYLATVHRQGSQVWGGENGFERLMRFAHPMVKLIDFSPGERYLVTYSSHEPSNPRDTHRVVLNIFDVRTGKVMRDFKGSADDYTTGGNIGVSGVSWPIFRWGGGKDDKYFARLGKNIISVYETNTFSLLDKKSLKVENVVDFSWSPTDPIISLFVPEMGGGNQPARVSLVQIPGKEELRQKNLFSVSDCKMYWQNNGEYLAVQVDRYTKTKKSTYTGFELFRIKERDIPIEVLELENKNDKIIAFAWEPKGHRFAIIHGDGPRPDVSFYTMRTANNTNRVSKLTTLKAKQANALYWSPAGRFIVLAGLKGFNGQLEFYNVDELETMATGEHFMATDIMWDPTGRYLATAVTSVHEMENGFQIWSFNGKHLYKVSKDHFYQFIWRPRPPSLLTPEKEEEISRNLKKYSKKYEQEDQDAFNQLSEQDRKRRTQLQEEWDSWVAKWKQMHEEERAYRMELRDGEASDEEEEYEAKEVEVEEVVDVHQEVLAFDLDQE >Sspon.05G0012770-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:40069863:40071349:1 gene:Sspon.05G0012770-1A transcript:Sspon.05G0012770-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDLLYSSFVLTIRIRRTISCLLGSAYSTLAAPPPVLLAPPPILEPCPAPLISHDLGGFLRPATTPESPYKLSRSPWDLLDDLSLSDPQISIFLSYHIFLYSLQLVLQSWVGRQEVDDLLDSYCVNMDSYANHLSSTNMAVTSMKNKETTAMAIASSDKAQLQTSKNKTTLKRDTMKVNKEGQTQKNANVKKEQEFALPMAEDKVMVAAPIATSKPSSSSNRQKKKPANNYDAIEGFYYYNGFGPSRGKRHCRNHPNKEEKVEVEPSKYASPPNHAKVESEDGTNNGATTGGDDVCSNNNNSGCDNVNMERNKNPRKLPGKPVRVRSLMS >Sspon.05G0023120-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:5098662:5106277:-1 gene:Sspon.05G0023120-1B transcript:Sspon.05G0023120-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPRKAKPLPPPSPPKAAAPSIAEALLLATVCMVGLPVEVRVRDGSAYAGVLHTACVDAGYGVVLKKAKKIANGKGDANLPLGSFVDTLVVQPDDLVQVIAKGLSLPCKGVCKPLDSNMVAASGSLKPQTSHANDLKMSKTENISPLMHAEKCALVGQEKNTSVKKNGQNSGSTTSLSSSTGHVRPCFSMNGDSGSATMVPKVDAVTSSAIAAPVVASDVKPSQPANNSVTKIVTSSKTAAKEFKLNPCAKVFSPSFASSRQVLAATTAPVDTYYISHSAPEVPMGVPVYESKSVSGVSPLSSKVMTGKFSPVVYVQPVPQDAMHGTTLGFQGWPRPVLLNSYQASMQKFQGYSNFLGRPRLRFTPEIDD >Sspon.01G0028110-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:50774021:50775908:-1 gene:Sspon.01G0028110-2C transcript:Sspon.01G0028110-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNSAAAQPSPPASSTATYGSGAAYVAPASSAAMYGSGAAYVAPASSAPGGGYTYPAPASSGGSSAGYAKIPTYPAPPSAYPNPNPAPTQVSTTHAAAVQDPTAPPSPLVKAGELVTRLREQGQALIAARRPWAEVFRAPAFSKPPSLGEALARMRRNTAYFRANYALAVLAVVAASLLWHPGTLFVLLFLCAAWFFLYFARPAQGGQPLRVLGMEFDDGTVLAALCGVTVIAMLFTSVGWNVVGSVMIGGALVSAHAALRTTDDLFLTEQEAAGDGLVAAGMSAAGPILPTYISL >Sspon.05G0017740-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:75717027:75720752:-1 gene:Sspon.05G0017740-2B transcript:Sspon.05G0017740-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTRTTTSMARSDAGPMPIRRKKTTKSMVVARSHSFSALIRSNPPTRYDYGTWMAPEPVSIQERRRRLLQGMGLTSSKDLLRSRNARARLPPDIPRYVPRRQQQPPAAVADAPSTTSAAPTVAAALPEIAEHQRNAVLNRSRSDSRLAVRGGAARKTSSFRRVRSLPHKLQGSPVHKALRAAARCRLPAAPSKDGGTGNAIAGDTGGGFKNRDNGKEIVANGQLNHAQRSAPLNIDELQRFIAHTPFVTQPVRRSQSQPVPAAGAAKGDEKPVQKRRTRWLRNIKLVASAAGLRERQGEAGRRRLQQTGTDAFGDHVQEVRAHEGSIWSIKFSPDGRFLASGGEDSVVRVWEVLNVDASSSAVAQELSTSLPPQPPPAPTDGSKDALPEHVVVPESVFALAEQPSCALEGHQDDVLDLSWSKSQFNPVDDGYFISGSLDCKVRIWSVPDRQVVDWSDLNDMVTAACYTPDGQFAPGNPSEILVTSADSQIRVFNGISVLQKFKGNPCFFLPGFKNTSSQISASYTADGRYVVCASEDSNVYVWRRVPGSVSGVGGSGGTGGGGGGSISVRAKTWLTSRSYEYFFCRDVSVAVPWPGSPPSFPPCDASRGGGGNGNGKSDTPRKQQSSSRRDDVVGGAGGCLPRGTKSGPMAYHGGGRQLLQPELSRRESQSSARWHGGAEGGNAWGMVLVTASHGGEIR >Sspon.03G0044770-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3D:3501016:3502058:-1 gene:Sspon.03G0044770-1D transcript:Sspon.03G0044770-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLERAGRRTAQTALLLPLASLAHTRDARPFLSPAPLVVRPTDADSLPPARLVLGIVPPIRLRVPFACCNHYRGPCLARRLAPPSTSFRGIELHVGISALTSLLQQYISRISGWPEKHGPSSVSCPPEAHEGAPSSPVGGSRGHGRSAMTESEREPPRGASRCGLHTLCFHALASGSLDPHGRRHSLPDLHGQRADGVEEGVHDERWEGERALGARA >Sspon.01G0014920-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:43852551:43858902:1 gene:Sspon.01G0014920-4D transcript:Sspon.01G0014920-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSLLAAVSASPFLLAGSGSSRRPLGAAPIRRAGLRVAALKYDPAKVAPQNDRVLVRLQQIPEKSAGGVLLPKSAVKFERYLMGEILSVGADVSEVEAGKKVLFSDINAYEVDLGTDEKHCFCRESDLLAVVE >Sspon.07G0002890-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:6527518:6532251:1 gene:Sspon.07G0002890-1P transcript:Sspon.07G0002890-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASGLGLSTSFLPGHDTLLRRRRRRPASPAAASFRPVTAELGRQLVEAVGVGLPCTVMQCGDVIYRSTLPQNDGLTITAPGVALALAAVSYLWATPGVAPGFFDMFVLAFAERLFRPTFRKDDFVLGKKLGEGAFGVVYKASLANPEAAKKQGDVVVKKATEYGAVEIWMNERVRRACASSCADFLYGFRETKAKGKRAEEYWIIWRFEGEDTLYDLMQSKEFPYNVETKILGNVQDLPKGIARENKIIQTVMRQLLFALDGLHSTGIVHRDIKPQNVIFSEGSRTFKIIDLGAAADLRVGINYIPKEFLLDPRYAAPEQYIMSTQTPSAPSVPVATTLSPVLWQLNLPDRFDIYSLGLIFLQMAFPSLRTDSSLIQFNRQLKRCDYDLQAWRNLVEPRATAELRRGFDIMDLDNGIGWELLTSMVRYKARQRTSAEGALAHPYFNREGLLGLSVMQNARLQLFRATQKDYSEAARWVIGLMARSGTEDVGGFTEAQLQELREIKPKKGSAQRNVLASVLRLQKKILKTINESMDELASQRKSIWWSRWIPREE >Sspon.04G0010580-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:32522824:32523232:1 gene:Sspon.04G0010580-3D transcript:Sspon.04G0010580-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADEEKKHHHHLFHRHKDGEEEASTGEVDYEKKEKHHKHLEQLGGLGAIAAGAYAIHEKHKAKKDPENAHGHKVKEEVAAVAALGAAGFAFHEHHQKKDAKKQGQS >Sspon.03G0035740-3D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3D:47172515:47173169:1 gene:Sspon.03G0035740-3D transcript:Sspon.03G0035740-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRSSSSTSTPGSGSKPILGRAMATILALPLTPISKAKLGLLLFKKRASSASAAARRRCYNYKLFRHYNYAYVGEAAAKRRRSRARVILASLFCGADELDVAVLDGLQARRGGDAEGRVDRDQLVLAPALEWGRERDDDAYAYNEEEEDEEEVVVDYGEEGDEEVDGRAERFIQRFYEEMRLQRQRSLVQRRL >Sspon.06G0012820-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:67596424:67598948:1 gene:Sspon.06G0012820-1A transcript:Sspon.06G0012820-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMTSSIPDLPEEILFHIHSLMPMREAARAARASRAFLHSWRCHPNLIFSKDTIGLKKNGRGENFHHKIDRILRTHSGISLKTFNLDYTGMRGFNGTSYFDSWLQIALKPGIEELTLLLIKTEKQYNFPCSLLSDGVRNSLQCLKLRFAALHPTVELGPLGSLTRLHLSHCLLCNSLALEQLELECCAEIVCLKIPCALQRLSCLSVVGCERLKVIESKAPNLSSIFLRGHRLNFSLVETLQMKKLVYFCSNFVHGARAKLPTIMPNLETLDIISMVEVVDAPMLPTKFLNLKHLNIWLSITDDSGPYDYLSLVSFLDASPSLETLVLTVRLSSCKAMWSVWVHLI >Sspon.06G0000100-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:423152:430780:1 gene:Sspon.06G0000100-1A transcript:Sspon.06G0000100-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGDDWRAQLQPAARGRIVNKIVLTLRKHLPVSVPEGLVELQRIAVRFEDKVYAAATSQSDYLRKISLKLLSMESYTNTQQNPGPGSSSKGKKQKKLRCHFCKKGGHFKKDCLKRKIWFEKKGVAYDPTHKRTKAHENKNEGTVLKGSGLAE >Sspon.08G0024670-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:960666:965920:-1 gene:Sspon.08G0024670-1C transcript:Sspon.08G0024670-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNEIQLFFLAMAYGQYLAGGGGGEDPNRPWRPMSSHEADTVPAAAQQHKKKAASRNHSRNKSGDGPHPCPVCHRRFDCPKAVHGHQRSHPERAWRGMVPPAKPPVVAVTADGRQLRYACECCGAQFETRQALGGHRASHSGRLGCYWLSRQHQDGPAQHVVMPFDLNEPPPEQQQDEKEKEKEKEDAMNEAQVFFLGMVYGQYLAGGVGAPPGGGGEDPNRPWCPMSSHEADAVPAAVQQHKKKAASRNRSKNESGDGPHPCPVCDRRFDCRKAVHGHQRSHPERAWRGMAPPVELPVVAMTADGRELRYACERCGAQFETRQALGGHRASHNGRLGCYWLSRQHQDGPAQDVVKPFDLNEPPPEQQQDEEDKEKEKEE >Sspon.01G0014340-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:40169971:40176324:-1 gene:Sspon.01G0014340-1A transcript:Sspon.01G0014340-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DVKEHEVFNQCNWSHKDKIAGDTMTWIEWILSQSLLRIAESNPQGMDGFWLQKGTALLLRLLKSLQEDVQERAATALATFVVMDDESANVDPARSEAVMQNGGIRMLLDLARCSRESAQSEAAKAIANLSVNTKVAKAVADEGGITILTNLAKSMNRLVAEEAAGGLWNLSVGEDHKAAIAVSGGIKALVDLIFRWPAGTDGVLERAAGALANLAADDKCSLEVAKAGGVHALVTLARSCKLDGVLEQEAGALEALVQLTGSQNEGVRQEAAGALWNLSFDDRNREAIAAVGGVEALVALVQQCLNASEGLQERAAGALWGLSVSEANSIAIGQGGGVAPLLTLARSEVEDVHETAAGALWNLAFYYGNALRIVEEGGVPVLVKICSSSGSKMARFMSALALAYMFDGRMDEVALVGASSDSSSKSVNVEGARRIAFKHIETFVLTFSDPQMFSMAAASSAPAALSHVAEAVFIHEAGHLRCSRSEIGRFVAMLRNPSPILRACAAFALLQ >Sspon.02G0052390-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:91834595:91835551:1 gene:Sspon.02G0052390-1C transcript:Sspon.02G0052390-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSKKVCVVGAGISGLVSARELLREGHDVTVMEQSCGVGGQWLYDPRTDGGDPLGMAGVHSGVYASLRLNVPRDSMGFSDFPFYPKNDGTGDARRYPGHAEFLRYIRDFCDAFGLMDVVRLNTKVLHVGLVPPRAADDGTKRWTVRCANANSMHGDCEGEGVTTGEVFDAVVVASGHNSQPRLPTINGMDKWRRRQLHSHSYRVPGSFRGEVVVVVGLGESGKEIALELRQVAREVHISVKSMDDATVVPGMRKALSRHHNLHLHLQVECLCEDGQVTFADGSCVVADSIIYCTGYDYSFPFLDTGGLLTVDDNRVYP >Sspon.06G0001510-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:12046276:12047868:-1 gene:Sspon.06G0001510-1P transcript:Sspon.06G0001510-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLGLGNPNLSSFLRVTSSMSRPTNLPAHSPALSRTRLVGGCRRSPSKSRGAAANRLGRRQNRAPPRRRTIAACVVPSYGRRQRESDGLSIWQHQHSRRRLPLDLKAPSRHMT >Sspon.08G0026980-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:37909863:37910228:1 gene:Sspon.08G0026980-1C transcript:Sspon.08G0026980-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAIKAKAEDAASSAKASVAKAKATADEKVVRRRSPQHAAQAVDHPYVEKAKTRDPMKKREAEEEKEDRKLQIESDERVEKAGHGPLGPERTVTHTAGER >Sspon.08G0006600-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:11705614:11707183:1 gene:Sspon.08G0006600-4D transcript:Sspon.08G0006600-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSSTNSAAASPAVSGLDYDDTALTLALPGSSSADAAAAADRKRAHADHDKPPSPKARAVGWPPVRAYRRNALRDEQAKLVKVAVDGAPYLRKVDLAAHDGYAALLRALHGMFASCLGADGAGRLVDAATGAEYVPTYEDKDGDWMLVGDVPFKMFVDSCKRIRLMKSSEAVSLSPRTSSQ >Sspon.04G0012810-3D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4D:55756747:55757517:-1 gene:Sspon.04G0012810-3D transcript:Sspon.04G0012810-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding QKGKTSLGDAIYRSITEDTFDPVEFLAGMDLSTEHKVLDLKNRIEASTVIWKRKMQTKDSKSSWSSIVSFEKREQFEERAETILHLLKIQFPGTPQSQLDISKIQYNRDVGYALLESYSRVLESLAYSVMSRIEDVLSADAAAQNLTATEAARRMMESAELPAARKLDAKEELEKLNEAPASMTLFDFMGWHFDQDELMKRREDGTLDADGEAMLLKKAPSMAPKKFSY >Sspon.02G0034400-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:15714181:15717971:1 gene:Sspon.02G0034400-2C transcript:Sspon.02G0034400-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVYDVVTSRSSYLRRLLSESSDVAIALPAGLTFDAFAQAIATCYGSDDAAAALSPASLAAAWAVTGWLELVPGPGPGRDPYGLAHTAEDYFFQEVATDHGRAAGVLRCCAAFLGGEAAGPAADLLVRCLEVLAASDSGSGRWLDDVAALPVEEFLVTVEAMRARFAHDHDLMYTVVDHYLENHKGKLTEEDKSRLCYNVNCTKLSQRLFMHLVQNPRLPLRFVVQAMLVEQLHSHHTMLLSQHHQAAAPAPTRSAAPLLKPSLSGVFRGGGVAVAGEDASMSLGDILQRDAALRQSAHIRASMQATSFRIETLERELAGLRTRLRRSEQQQAEAAAATGASSIDRAPGKSASFRIPRSRLWDGEELAPVGPRRAATRDSNARGFKSRFVHGFKNLFGRRQGAAGAPSACGEDASTRCFGDKGAAVAAPELESDDDEVVCMEERWRPHRRNHSLV >Sspon.05G0011880-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5C:26102728:26107201:-1 gene:Sspon.05G0011880-2C transcript:Sspon.05G0011880-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFSGTIAENIAYRDPVGDINMNRVEYAAKIANAEEFIKMLPEGYNSNVGQRGSSLSGGQKQRLSIARAIYQNSSILIMDEATSALDMRSELLLKEALSNLITKHSVTVIIIAHRQEMVLMADRIISLERGELQEMSKPAFLSQDGRFSSPKIRSPN >Sspon.08G0013770-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:53106388:53109760:-1 gene:Sspon.08G0013770-2B transcript:Sspon.08G0013770-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial outer membrane import complex protein METAXIN [Source:Projected from Arabidopsis thaliana (AT2G19080) UniProtKB/Swiss-Prot;Acc:O64471] MASAAAAAAAAEWEAAARKVLVARKPCFGLPTACPTCLPVFLYLRMAQVPFDIHVDTNFPDADHIPYVEFGDCVAFNNEKGGVIEYLKDEKIVDLNSNHPSVSSTSVLETKAMVSSWLADALLYELWVVSDGSIANDIYFSDLAWPIGKILHWKKTRHVKQLLGITKLNAAEKEEEIYRKASAAYDSLSLRLGDQVFLFDNSPTDVDALFLGHALFVLNALPDTSVLRGTLQKHDNLVRFAEHHKVQLLETSSSSSSGLESSPSPSSSTPRRRPAADQSYKPKPRAKKERTEEEKKFRRRAKYFLATQLVAVLVFLSLMGGVDSSELDDDDGLDYED >Sspon.08G0002160-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:3578600:3587617:-1 gene:Sspon.08G0002160-2B transcript:Sspon.08G0002160-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHGVSRKERPIQRAEKAPCVSHGPTVPARRCYRPPVDPRPSPLLWGDRGSRGAPPTLLSSPPLHRSRSDYHHRPTPPVAPPSDGAHPPPPLRPSRPTSRAVSPPPRLPPSPSSATRVRREEEPQRVDPGAAASIPREKGEGAGTNESPSLTSHLVSGGLRFHLLKPQPLHVARVSHHLALASAASQRSQIGCFIPSPIKMINLFKIKGQKKEEAASAAGKAPVKKQSAGELRLHKDISELNLPKTTSISFPNGKDDLMNFEIVIRPDEGYYMGGTFVFTFQVSPSYPHDPPKVKCKTKVYHPNIDLEGNVCLNILREDWKPVLNINTVIYGLNLLFTQPNDEDPLNHEAAVVLRDNPKMFEANVKRAMAGGYLPLTDLMPLPTDLLQ >Sspon.06G0001320-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6A:4265290:4265643:1 gene:Sspon.06G0001320-1A transcript:Sspon.06G0001320-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGWSFRKNSYGNAPIMTSVRPSDEMDPPRTVRPCASRRAPLHLAPRTFLKKLTSRTVALDPPRTVRAPAPPTLHRAPLHLAPRTVAPDPPRASSRTPRPCLASCASRYTPPHRVN >Sspon.02G0034950-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:15025017:15027548:1 gene:Sspon.02G0034950-1B transcript:Sspon.02G0034950-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAEGDEAVSLPYLVYDTNNIGSINICEVYIFYVSDMLMEGQWEKKAVYTIYLEFTGSEQEAVDPLPKSQGEE >Sspon.05G0031890-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:10536472:10537959:-1 gene:Sspon.05G0031890-1C transcript:Sspon.05G0031890-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAPGGARRRGGGSGRRDAAGGGEAVRKGHWTAEEDAVLLEHVRVHGPRDWSSIRSKGFLPRTGKSCRLRWVNKLRPDLKTGCKFSAEEERVVLELQAQFGNKWARISTYLSGRTDNDVKNFWSTRQKRLARLLGTPLRGRSSRSRSARAQAPVASSLESRPATVVPCLDQVPLEGSSSGVHPRRAAIPFMDAQKVPLEGSSSGVHLCSAATPFMDAQSAAQAPYDWAGSGLVSFDGALLPLASDSHACSSSNAAALPPLLPFDQPPYPLLDFPGLPAAGWNMAPGFANAGAMDNLAYQELLPVTQPAPMMLPFFGTEYPHGGVKAELPDAAPDNFFDDLPPD >Sspon.02G0016570-3C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2C:49024104:49025501:1 gene:Sspon.02G0016570-3C transcript:Sspon.02G0016570-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDRPARVTCRGWAEERRQRVVQGEHVDGPDAVGAHPVRLRDRAPRHAPHSRRGRGGFKTGARHHGTLSQLSLLSPWLACLRCTWRWKLPGVVWVWVAEAEAEAEAVVVNWLMSMAQVCCDSAAATTAAAPVVGAEAEARARARAERRRRAAEAGGWKHAAAAGGGTADATRKRSLETGELLVARKHGAASVAGRRREMEDAVSVREALARAEGKADGHGGRRDFYGVFDGHGCSHVAEACRDRMHELLAEELDAGAADVSCSPAASWTAAMERSFARMDAEVMSAGGRAAAAASGACRCDAHKCDHVGSTAVVAVVEDRRVVVANCGDSRALLCRGGDGAPPVPLSSDHKPDRPDELARIEAAGGRVIFWEGARVLGVLAMSRAIGDGYLKPYVSSVPEVTVTDRCDGDECLILASDGLWDVVSNEDAAEVARACLRRGRAKWCAEAAALLTKLALARRSSDNVS >Sspon.01G0016210-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:61557001:61557836:-1 gene:Sspon.01G0016210-2B transcript:Sspon.01G0016210-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GFALPDPASWLLLGCRHGRALLRSRPGWLQLLVWDPITGHRHCVRLGRLGGHVQACNATVVGDPVGLGRRAGSFAWPSCSPATATGAWGRLITADAFCHNVCMKPSALVGDMVYWLLEDDDGGILELRLGNESLALVELPPGAQSFYQWNLQLMEAEASVLGFAAVKEYSLRLWAREADCDGTANWVLRTIINLNVFAPPPGVTSWIMLMPPIKIVGVDEGSNFVFLRTIFGIFTVILDDTALLEKVSDDELMGLVHPYSSFFVAGMNN >Sspon.07G0002520-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:6173842:6177051:-1 gene:Sspon.07G0002520-1A transcript:Sspon.07G0002520-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCKHRAARTLAQNAGGRPEQHQENPSRIHITSPAPPSRGDSPALASGDAFLLSLPTKRTKKAGIVGKYGTRYGASLRKQIKKMEVSQHSKYFCEFCGKFAVKRKAVGIWGCKDCGKVKAALLVRSLSEAQSGACGSRPKHDYGQFEKEYLDFVVQLRVKTKISVRMLLQKPSRSALGSPGAVRLLEPDLHVLQVEFPEVLLLQDVADDRHAGPLALLHPDALHARFHVDDVALRRWLQPRRSGELHRQLWDDLRRSRSSCRPVVAVFERGEAHFGDPVQVPAQRGDHGLVRRGGEDREGVAGVEDHAAALGVPHLGGDVEGLAGHVDGVDLDAVEGHLGVQEQLRLGRRGPGHLPWAEGEVPGGVARGEVVDEAVGEAATEARGGAGREGDIGVPQAQHAVC >Sspon.08G0024480-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:64191497:64196107:1 gene:Sspon.08G0024480-1B transcript:Sspon.08G0024480-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MANTRSRGGGDGPPPSSAPAAASATATRKLANGRETRSTAAAAASAQTPNLRRSTRENKGKHKSRQLPATPSSHRSAKTPAKDATAIATPKSTSSPNNPKDSTKKPTRVSRNTIVSPSPSKQDSNGTSTSASTKRKTQDDAQPASTPSKKQKRLMHAKSYVALFNTGQEEPESQVLAPLREDEEKASEVQPEGDGTVLAHEESNAPEQPNQEPSNVSASEVLGGHSSDLHETEVILEGDGLKIGSHQSDVVLESCILTEMCSLNKAVESIPMLETGEQATGDSKPTSQWKMVILLGFKVHALQGILKLSNVMKQAEELKRSYEDRFKAARKASDPSKVDKVKGGIFQQLQRLPDGCPPGLDDDHLRSLNQLREFWHNSRGAVFIDDQ >Sspon.07G0015760-3D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7D:53849756:53849971:1 gene:Sspon.07G0015760-3D transcript:Sspon.07G0015760-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSIVMGATGGKNKTSWPVVVGMSIKEATEIILKDMPNAHIEILPVGSIVTQDFRLDRVRIFVDIVAQTPTV >Sspon.05G0018230-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:73955748:73963872:-1 gene:Sspon.05G0018230-3C transcript:Sspon.05G0018230-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAGSGGGAGAGAGGLKTYFKTPEGRHKLQYEKTHSPAVVHYNHSGKTVSQMTVAYLKEKPAGQGSTPSTPSAGSGMRSAAARLLGTGNGSRALSFGSNGTSRAVSGNSRMGGGIGVSTSASGSQGMANYDGKGTYIIFNTADTLFISDLNSHDKSKDGNTDWTFPTVKDQSQVLISHAKSSKGNPIARWHICQGAINGISFSPDGAYLATVGRDVSFDPYWSPPNSDETEENVMYRFGSVGQLSPLVAHRVHVDPLSGLEFTSESILTICREGLIKIWARPIHSENNQQQDSSEQVVGNATTKDKMLTSSNKAGASSSSFKQPSSVLFT >Sspon.07G0022990-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:11702717:11708268:-1 gene:Sspon.07G0022990-3D transcript:Sspon.07G0022990-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKKLDTRFPAPRIKKIMQADEDVGKIALAVPVLVSKALELFLQDLCDRTYDITIRKGVKTVSSSHLKQCIQTYNVYDFLTEVVSKVPDIGPSDVIADDKLGKRRCRIVIRKAEEDGSEEELKRTRNETESYTSNGRGRGRGRGRGCRGRGAWREVVTTHEQFAENQSSKLASLKVEVADEVPNATEAKVATTPVNNARASLRNIDLNLDPTDEEDEVTVPPQVQLSAPATSSAATTAGPGPSAGPSVPRSKEGAKLKDFLGAWELPDMNKMEMDPVQFALSTNHRLEEDEDYDNED >Sspon.08G0022720-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:52655984:52657456:1 gene:Sspon.08G0022720-2D transcript:Sspon.08G0022720-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATADAARPAAPAGSGRDHVVVFPFMAKGHTLPLLHFASALAAHHGGLRVTVVTTPGNLAFARRRLPARVGLVALPFPSHPELPEGVESTDALPSHSLFSAFLRATALLREPFAGYLASLPAPPLALVSDFFLGFTQRVAGDAGVPRVTFHGMSAFSLALCFSLATRPPPPESIQDGAPFRVPGFPESVTITADEIPHSLVQTAGVDDPVTRFLFDEVRDWDYKSWGVLVNSFDALDGDYAAILESFYLPGARAWLVGPLFLAVGESPEGGGDDDEDPEGCIAWLDERRPGSVVYVSFGTQVHVTVAQLEELAHGLADSGHAFLWAVRSSDAWSPPVDAGPEGKIVRGWVPQRRVLAHPAVGGFVSHCGWNSVLESLAAGRPLLAWPVMAEQAANAKHVADILGAGVRAGVRAGANVAPELVGRAQVAEKVRELMDGGEDGRRMRARAEHVRQAARAAVGEGGTSRLALRRLVDELQRTYDGRRSDEQCN >Sspon.08G0014050-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:53687497:53692254:1 gene:Sspon.08G0014050-2B transcript:Sspon.08G0014050-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:S1P [Source:Projected from Arabidopsis thaliana (AT5G19660) UniProtKB/TrEMBL;Acc:A0A178UNR0] MPVIFNATILNGMGVIGYVKDQPLWQPSEDIGNLLSVHFTYSDVIWPWTGYLALHLQVKDEGSQFSGIISGNVTLTIYTPAAHGESSPRSSTCVLHLKIKVVPTPVRSKRILWDQYHNIKYPSGYVPRDSLNVHNDILDWHGDHLHTNFHILFNMLRDAGYYIETLGSPLTCFDASNYGTLLMVDLEDEYFDEEIQKLRDDVMHKGLGIAVFAEWYHVDTMVKMTFFDENTRSWWTPITGGANIPAINELLAPFGIALGDKILTGDFTINGEQTHYASGTDIVQFPAGGFLHSFELQENSKMVQDHIGTLDTESTQGKSKLSSILGVMETGEGRIAVYGDSNCLDSSHMVTNCYWLLRKILEFTGNRVKDPVLFSEAAQLKFPVFENIHQPSRRMDVNFSTYSTVVGKELICHQDSRFEVWGTKGYGVQPTGTSRKLPEYQMHESSSSPNVTIRTTDSRQDKVERFQRNLSTPNAAKFDDKRDYFGFIGHEEVDIGMLMASQWMVPCFAATACQ >Sspon.01G0036770-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:19640287:19645374:1 gene:Sspon.01G0036770-1B transcript:Sspon.01G0036770-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSLEEERRQNLLVRATEPPEDLAGYTGDGSVDFRGFPILKHNTGNWRACSLILGTEVCERLAYYGISKSLVTYLSTRLHEGNVSAARNFTTWQGTCYLTPLIGATLADSYWGKYRTIAVFSTIYFLGMAALTLSASVPSFQPLQCVGSICPQPTLPQYLIYFVGLYMIALGAGGIKPCVSSFGADQFDDTDPVEKTKKGAFFNWFYFCINIGSLISGTVLIWVQENYGYSIGFGIPTFFIALAIGSFFIGSEIYRFQIPGGSPLTRACQVVVAATHKRKADLPVDSSLLYELDGKTSAIEGSRKLEHSSEFSFLDKAAVILWNEHDGSRNPWRLCTVTQVEELKILLRMFPIWATGIVFFTICAQNSSMFIEQGMALDNQIGSFKIPAATLSSLDVISIVVWVPIYERIVVPIARRFSGKERGFSELQRMGIGLFVSTVAVAVAALVEIKRLQVARAEDLVHQKVPVPMSILWQAPQYLLVGVGEVFTSIGQAEFFYNQSPDAMRSLCSAFALVTVSLGSYLSSFILTLVSYFTTRNGQLGWIPDNLNEGHLDRFFWLIAGLSSLNFLVFIYYAQQYKCKRAAVA >Sspon.02G0008380-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:24622514:24631686:1 gene:Sspon.02G0008380-1A transcript:Sspon.02G0008380-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWDWERWEDEDATRLSAWTIVFLLLFLLLPSFLLISADVCAEPLTNTSNLVLSDGEGRVVWATNVVVSGMTGSTSSPGTVATLTSAGNLVLRSPNGTTTWQSFDHPTDTVLPTMALRVNHGYRPGNRLVSWKSPVNPSPGNFTYSADPDHPVPFLQAFIWNGSRPLWRSGVWNGYKVLTDYIASISAIVYYTSWNNASMGWDTIGASPRNECSTYGYCGPFGYCDYTGGTVPSCKCLEGFAPTNRDEWSNGRFSQGCQRREALRCGRDGDGFLALPNMKAPDRFVLVRDKSFDECAAECSRNCSCVAYAPASGLEYAEGSDTLYLRLAGLDTGKRTERKAMKIVLPVLASVLLTFICLIVWVFMWKGNSPKLQNQKRLVLDDLKTPDGFGEGSPTEVFEFPVVSFRDIAVVTNNFDKSFMIGQGGFGKVYKFHGSTKLYLFINHKTLLPFVDTMRNIILDWPARFNIIRGVAKGILYLHQDSRLKIIHRDLKASNVLLDEEMRPKIGDFGMARMFGENQQNANTKHVVGTYGYMAPEYAMRGTFSVKSDVYSFGVLTLEIVSGVKISSTNQIMDFENLIAWNLWKEGKANDLVDSSIAESCIPDEALLCIHIALLCVQDNPNDRPLMSTVVFVLENGRATLPVPNKPVYFANANNEVEEMRGNNQKSNNSVTLSILEGRNRQQNEDGN >Sspon.07G0004410-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:9781752:9783046:-1 gene:Sspon.07G0004410-2D transcript:Sspon.07G0004410-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTPPSSRDPSPQPRRPASSAGPATKRGGLLLGRYELGRLLGHGTFAKVYHARHADTGETVAIKVLDKEKALRNGLVPHIKREIAILRRVRHPNIVRLFEVMATKSKIYFVMEFVRGGELFARVAKGRLKEDTARRYFQQLISAVGFCHARGVFHRDLKPENLLVDERGDLKVSDFGLSAVADQFHPDGLLHTFCGTPSYVAPEVLARRGYDGAKADIWSCGVILFVLMAGYLPFHDQNLMAMYRKIYRGEFRCPRWFSKDLSSLLNRLLDTNPETRITVAQIMESRWFQKGFRPVLLEDDQVHSLADSENEVPELGPSEPPPPPPPPPTLKEDDGDDSGWESDSSVASCPATLSSEERRRPVGSLHGQGFNLSGLFEERGSEVRFVSAHPMQTIITKLEEIAKVKSFAVRRKDWR >Sspon.02G0056010-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2D:15552060:15552230:-1 gene:Sspon.02G0056010-1D transcript:Sspon.02G0056010-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARVVCTLIAKGWIGGVLCSRVALDPVMFWRIRVQVDIWGFESRIYRVVRKPQLGK >Sspon.06G0000330-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:583031:585166:-1 gene:Sspon.06G0000330-2B transcript:Sspon.06G0000330-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAGTREEMVYMAKIAEQAERYEEMVDFMEKVVAAAASSELTVEERNLLSVAYKNVIGARRASWRIVSSIEHKEETRGAAAHAAAARGYRARVEAELSGICAGILRLLDDRLVPAAAAVDAKVFYLKMKGDYHRYLAEFKTGAERKDAADSTLAAYQAAQDIAVKELPPTHPIRLGLALNFSVFYYEILNSPDRACSLAKQAFDEAISELDTLGEESYKDSTLIMQLLRDNLTLWTSDMQEDGSDEMRDASKPDDE >Sspon.05G0011270-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:23673699:23676538:1 gene:Sspon.05G0011270-3C transcript:Sspon.05G0011270-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKLLQFGRKAWFIVRVMSGHEERRIRSYRLQLQKRLEMATRKEELRKQPEQVILSEVRQVVQQMQALNQHLDEAEAAIDEYFKPIDKNAKIIADLQLEKEEKQMKEMAKVMQEQIKMQREITMKRAEAASVESNDTKIKLADEW >Sspon.05G0021060-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:84253279:84257637:-1 gene:Sspon.05G0021060-1P transcript:Sspon.05G0021060-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATATAAALRCCFPGSSGVGSGFVKPTSSRRGWCAAAVAAPSREAEPVSSLGHRTRVDFPILHQEFDGAKLVYFDNGATSQKPYSVMRTLDEYYRSYNSNVHRGIHALSAKATHAYEDARRKVANFVNAADSREIVFTRNATEAINLVAYSWGLSNVKEGDEIILTVAEHHSAIVPWQFVSQKTGATLKYVGLTKENVPDIEQLKGLLSNKTKIVVVHHVANVLGSMLPIEEIVTWSNRVGAKVLVDACQSVPHMPVDVQKLGADFLVASSHKMCGPTGVGFLHGKFEILSSMEPFLGGGEMIADVFQDKSTYAEPPSRFEAGTPAIGEAIGLGAAIDYLSCIGMEQIHEYEKELGTYLYESLLSVPNVQIYGPAPSQTVHRAALCSFNVENVHPTDIAEILDLQHGVAIRSGHHCAQILHRTLGITSSARASLHFYNTKDEVDTFIDALKATIDFLTTRY >Sspon.06G0012420-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:66110902:66112469:-1 gene:Sspon.06G0012420-1A transcript:Sspon.06G0012420-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRCEAIRSGSQVSDFFIFLARGTMEPMLPLRVPYLSICAADPMRDATPPEMEGSTSTGGVRIVSRRLVRPEPAAASPDHSAPWSEPETMHLTPWDLRMITVDYVQKGLLLPKPPTARGAQLVDSLASSLARALARFYPLAGRLAVEEATTGGPGVVVSLRCNGEGAEFVHAEAPEVTVSDIIAPGPGDHYIPSSVVWSFFPLNGLLGADAALDDDDNNNGNRRPVLAAQVTELADGVFVAMSLNHTVADGTTFWHLFNTWSEISRTRDGCELSTPRPVLDRWFLETSPVPIALPFGKLEDIVRRPVYPPVRECFFHFSAESVKKLKEKANTEMAGTDEGDSTKVHGQRRDERRRQGLGWAAWLLNRAVAAFDEASVRDDLAFHYVEPTRKEDPATVITGSSPRFDLYGNDFGWGRPVAVRSGAGNKMDGKVTVYEGRDGGGSMALEVCLAPKALARLVADDEFMEAVSAPTA >Sspon.05G0036860-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:5376372:5377800:-1 gene:Sspon.05G0036860-1P transcript:Sspon.05G0036860-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNAAVAVTSSVAGTATVVVMIALIRRCRVVRKKIVKKVLEELDRKNRELQLACNPAEDVVVEIGPVEKFLNEILNEKPMRFSSEQLAAYTRNYSCELGSGGYGVVYKGELPNGLLVAAKVLKVSMNKKVQEAFMAEIGTIGRTYHVHLVRLYGFCFDANTKALVYEYLENGSLEKYLYGDEGSTSKRLEWGTLHSIAVGTAKGIRYLHEECQQRIVHYDIKPANIVLTADYTPKVADFGLARLGERENTHMSLTGGGRGTPGYAAPELWMALPASEKCDVYSFGMVLFEILGRRRNYDPGQGESKEWFPRWAWEKYEQGEIEDVVSCDGIVGEADREKAEMMCKVALWCVQFQPSARPTMSSVVRMLEGEMAIVPPVNPFHYVMESSGGSTSSGLWSGTYQSSRDTTARDSELSISPAAKSTGAMIED >Sspon.04G0012780-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:43540040:43550973:-1 gene:Sspon.04G0012780-2B transcript:Sspon.04G0012780-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RDLLTRKLSTASRRAVVPKIKYTDGHQRKEDEALTQMVNKHGTKNWQTIACAISGRTAHSCLARWKYILDPAINKEPWSQQEELRLIRAQQVYGNKWCKMVKHFPGRTNDALKEHWRGSMKRKLDSYLASGLLEHIPDLQDDVSFPQSNQSDIPKDCKAASDRNRFSSRLSTNPKLKQELTELVANTSARKSSDLCYAKATDTHSAKISEMTMAKSQQCAGTRKKLAFLSTPVELKNVVPHETTKHCHDMESEKTEGPLCKKNAHCIKDGNEILTKMVAKHGKNWQTVASAIPGREAKQCRIRWTRSLDPAINKEDWSEQEELKLIRAYQIYGSQWFKMVKHFPGRTNHALKEHWRGPMKGKLNFYLASGLLEQVPDLQEDISVPDSSQSEIPKDCVPDSSQSDIPKDSQGSSGRSRPPSVLRTRAKSKQELPELDKNAGTSGEETSDCMCPKGPNADSAKVSEKVMAKSKQRARARRKLDFLSTPVELTVCSAAASCERPPPKMDQVSPAADNISASDVCQDISQNVPSEHVDAIIPPAANNHPNDLHSPATLDPFFLEMHEVNASDLLDMSYCDDLIIDSPRYPHDGSFI >Sspon.01G0059630-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:63490189:63499492:1 gene:Sspon.01G0059630-1D transcript:Sspon.01G0059630-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VPVVMCPRDNCFPGLYCFGLLRDPWLTDGQTMIISSVWGSREVILSVNVVSCEVSRVSPQDSDYSWNVLAVDKNNILAVSSSLITLPQMYYAIKVPQTESNWEWQEVSTPFPKPSDKISSILAEHKFSILKIPISNPSDKLANGAKLPFEAIFVSHKDSASNPTIVVLHGGPHSVYPSSYSKSLAFLFSQGYNLLVVNYREHTPFLTLTCMCIFRFLRGSLGFGEEALQSLPGNIGSQDVNDVLTALDLVIKRGLIDPSRVAVVGGSHGGFLTTHLIGQAPDTFVAAAARNPVCNLSLMVGTSDIPDWCFVEIYGKEGKKYFSESPSVDDLCQFHQKSPISHISKCGFCIFVQEQFLMSMLERVEFARANGRYSVDAMNSPLLDESINLQVKTPTLFLLGAQDLRVPVSNGLQYARALKERGIESKTIIFPEDIHGIDKCLKSYICGLTKSPHPWCRPQSDFESFLNIGSPLRMDATPEEYASQSKLLQEFTTVPSIDSALILKTNNEDRSTAMFSISQPDLLANSNRKYILYSHITRAGTNSPDFQWSPFPAEITGVSVIVPSPSGSKLLVVRNGEKGCPTKLEIVYQSHVEKEVHVVKSMHGPLYTDEWFHGISWNLEETLIAYIAEAPTQPKPAFNHSGYRREGSSEEDCNTWKGQGDWEEDWGERYSNKGRPSLFVLDIARGEVRAANGISTSLSVGQVVWAPASSSGSQKYLVFVGWLEHNGFQNTARKLGIKYCSNRPCALYAIPCPFEGSEPDNTPASRDGKLLVFLSSKQAVDSGAHNATDSLQLAFRMENG >Sspon.05G0001550-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:3772883:3775433:1 gene:Sspon.05G0001550-3D transcript:Sspon.05G0001550-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNAKKIKLHDYHRYGSPLCDPQLFPATAASGGLSFHPGPGLVGSLPQPHGGGWVHEEHTTTTPRSVLATQGLQGSRCVGSDAAAFFAAEELMMGMERFNCPFGGTTTLPELTAFAKRPQFGRPTTEAEQLYHRRPVDPLPLRDSAAVRTYYVRPQQRDGSTEAPPSLELPFQRRQQQQERVHGLFGNASTGSLLGGTGGEPKAHSFPAHAAASTLLPAMEAPAGMQQSPMENPLSRSYSISAVATHVGSGNVAVAAAPGQGAPSKTRIRWTQDLHERFVDCVNQLGGSDKATPKGILKLMNSDGLTIYHIKSHLQKYRIAKYMPASSTGVKITEALRVQLDVQRRLYEQLEIQRNLQLKIEEQGKKLQKIFEEQMKASRTVMEPQQGAAAAERDEQEVEDDAFDDVQEQLLAAVASGDAGFQSKIS >Sspon.03G0033120-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3B:45799703:45800179:1 gene:Sspon.03G0033120-1B transcript:Sspon.03G0033120-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSAPPPLNPISQGLLPTPPVASSRRTPASLLNSVSPGLLPTPPATRRLLGTAAFPSVSGRASASQSWIRDKSGHVTVPTNIETTRKIPGRASLSENWIRDKLRTSATTVSATVSAPTTNLAIGLSTINLPVKRIGADSGVSSADSCFKKPRYEFLS >Sspon.07G0013110-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7B:53205964:53206460:1 gene:Sspon.07G0013110-2B transcript:Sspon.07G0013110-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVECIFTPFLGRERNQAVVDENVEKLKKVLEVYEARLSQSKYLAGDFLSLADLSHFTIMHCFMATEYATLVEARPHVSAWWESLAVRPAAKKVAEFMPVGTKAPKKQE >Sspon.02G0051440-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:77768196:77778228:1 gene:Sspon.02G0051440-1C transcript:Sspon.02G0051440-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MWKEAFCSLACRFDGVNEDPDDLWRRGALDRGRVTMRHRRRLGLHADDAAHVKATPLVLGLKVTDARLKLENIGSEIKNMKELVWVLN >Sspon.05G0004560-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:16867610:16873815:1 gene:Sspon.05G0004560-3D transcript:Sspon.05G0004560-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARFQARNDDQRPAADHVGHGNFMDHHLKNTLNSGDMDLPGGARAPKARKPYTISKQRQKWTEDEHKLFLEALQQHGRAWRRIQEHIGCKTAVQIRSHAQKFFSKVIRESSGDSNSIAAPPQIQIPPPRPKRRPTHPYPRKLGNSVRKDASAIKQLQKPQLQTQSLSLSEQENCSPKSVLSTAQIGSETLQTEGSGSPASSVYMEDKCLTPSTSVALSKDATTSNDAACEIPEGPVLRLFGKRVVVNNLDQQPISNIGSQQHAADMELDASAETPTSGTGKLSSQVTEEAKTWCPWLTGTQQFMYYLPQGEVFSVHSACQFLNYGNGSISYTVLNAQTVTSNKQQNQPSQVPDCKVTRAEGSWTESNTTSSSMPETTQNSDSVESTKVNNDEDKVIHVPGSRKCASTVPACLRGFVPYKKCTTQSKMLQSEVPGEEADREMTRLCLIPFWRSAVTYERQFETGKAAFHKWRRWGFRDSTTTAERRPTHPYPRKLGNSVRKDASAIKQLQKPQLQTQSLSLSEQENCSPKSVLSTAQIGSETLQTEGSGSPASSVYMEDKCLTPSTSVALSKDATTSNDAACEIPEGPVLRLFGKRVVVNNLDQQPISNIGSQQHAADMELDASAETPTSGTGKLSSQVTEEAKTWCPWLTGTQQFMYYLPQGEVFSVHSACQFLNYGNGSISYTVLNAQTVTSNKQQNQPSQVPDCKVTRAEGSWTESTQPPANSDSVESTKVNNDEDKVIHVPGSRKCASTVPACLRGFVPYKKCTTQSKMLQSEVPGEEADREMTRLCLIPFWRSAVTYERQFETGKAAFHKWRRWGFRGTLAEPTIKFLNNVQYLEGRNTGGAVESSSSNKPEENWMTFRLALGHDPSGSRASFRNLDKDYSAIQELQKI >Sspon.05G0009560-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:27475567:27478252:1 gene:Sspon.05G0009560-1A transcript:Sspon.05G0009560-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKERRPLYMLKGPLEAAPPARDFVGSLKASYDRTALPALIAEVKKASPSRGVIRENFDPVQIAQTYEKNGAACLSVLTDEKYFQGSFNNLEAIRNAGVQCPLLCKEFIVDAWQLYYARSKGADAVLLIAAVLPDRDIKYMLKICKILGMAALVEVVGESGLFTPDDISFVQNAGVKAVLVGESLIKQEDPGKAIAGLFGKDISHAGAT >Sspon.08G0001380-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:2612584:2615713:1 gene:Sspon.08G0001380-3C transcript:Sspon.08G0001380-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNKSLDDHLFNRAHPPLSWRLRLQIMIGAARGLDYLHEGVPEVQVIYRDFKASNILLDAEFRPKLSDFGLAREGPTEGKTHVSTAVVGTHGYAAPDYIETGHLTAKSDVWSFGVVLYEILTGRRSLERSRPAEEQKLLGWVRQHPPDSAGFRAIMDPRLGGRYPLAAAREVARLADRCLGKNPKERPAMRDVVEELERVLQMEPPPPPPADKKKGGDGRLPAKR >Sspon.04G0020800-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4B:75600421:75600625:-1 gene:Sspon.04G0020800-2B transcript:Sspon.04G0020800-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGRRLLLLLAASLGARACKQVYLCVSHGGFMVHALTGHGRTRAAADCCWTLAAWERACSMIPQVQV >Sspon.08G0030190-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8D:56548816:56549107:-1 gene:Sspon.08G0030190-1D transcript:Sspon.08G0030190-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRTGNTDGWLANAEEEKTGGLRLRSRPVGWGHRPGPHGVGAHEYTLYTIGIQCSLGIPSRITTARPFQFVNAIADSQFDGGRRGDLVGVLQPYSPS >Sspon.02G0041610-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:77292302:77294460:1 gene:Sspon.02G0041610-1B transcript:Sspon.02G0041610-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDCPDANRGAAATAAASLPDELILEILSRLPARSIHRFKCVSKRWRDLIADPLHQKRLPQTLEGFLCGDGARSHGRFISLPGRPAPLVDPSFFFLTKQPEMKNIKLLGSCNGLVLFEHRSDPASIPSYAVCNPATEQWVAVPSSGVTSHVHSAIGIIFNPAASPHFHLVRIWQKHCPGVGFEVRAYSSETRGWSDCVSDRLVNVGWGTARSGRIAFVNGMMYFIIWKCINQIVAMSREGKPSIIPLPDGNLPLTLFVPQSQGRLHCMSRHFGNSCPMTEGGLSIWVLEDYDAGHWVLKHSVSSSELFGKMCRFSFSVVSIHPDCGVFFIRYCDWNGDRQWKLLSYDMHSKELHAVHNFGHAYCSLTPYVSYLSGVIGACNQALKMLLLGIDTCVFLP >Sspon.07G0013040-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:47170994:47172297:1 gene:Sspon.07G0013040-1A transcript:Sspon.07G0013040-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVPVIDFSKLDGSERAETLAQIANGCEEWGFFQLVNHGIPLELLERVKKVCSDCYRLREAGFRASEPVRTLEALVDAERRGEEVAPVDDLDWEDIFYIHDGCQWPSDPPAFKETMREYRAELRKLAERVMEAMDENLGLDRASIKAAFSGDGRHEPFFSTKVSHYPPCPRPDLITGLRAHTDAGGVILLFQDDRVGGLEVLKDGQWTDVQPLAGAIVVNTGDQIEVLSNGRYRSAWHRVLPMRDGNRRSIASFYNPANEATISPAAVASGGGEAYPKYVFGDYMDVYAKQKFQAKEPRFEAVKAAEPKSSPAA >Sspon.01G0002810-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:7670714:7673003:1 gene:Sspon.01G0002810-3C transcript:Sspon.01G0002810-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIRRYAARLLSSTSPGSATTAAPSSPPRPLAASWLHTDDDDCCAFCELSRPAPQEGQDAIKHKGHIAGWVPESHVRADERVGLPQRPPAPEVKKCKIHHVPPVNKAPAGLRVFKRASEVSGAAVKPEGGTPVSEAAAGPAAKAGAFVVNDAAIEQGVKFRASLPGGDSKLEVTAENSLVNGSTTELGIFKGASLANESPDVPGVTSTMPEVTGKPVTDPGVITTVVEVSDTGSVVHETTELESAGKDYIASEAAAEPEDAGRTSCNVDDTAALDEPRPPSCDPNIGNVQVGNAIDTVASTVQPSRCDAAEDGGSVNSTTNGPVRARGPTVKGGVSKDKSVAPGVLRVLDAVTRSIGKSGRTDVICYARRTGKRKLELLEVKKENIDLEDGVICEKEETLVRSDRCESVLSTAGSIDVKLADIKKDLMDNSAASKVKKMKRNRFECNIDYCHMAFKTKAELAIHKKNMCTVNSCNKHFRSHRYLRRHQSAHNDDMPYKCPWDDCNMAFKWSWDRAEHFKVHAGVKPYKCTTPGCSKIFKFVSDFTRHRRRCKPQR >Sspon.04G0020640-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4A:72533649:72533793:1 gene:Sspon.04G0020640-1A transcript:Sspon.04G0020640-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GLTAGEAWPTGHRGTMAGGQADPARLLGRSWFGWAVGEKEESGGAWA >Sspon.07G0001410-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:2082147:2083458:1 gene:Sspon.07G0001410-2B transcript:Sspon.07G0001410-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCHCTGSHHQHQQQHRHHQSIAAAAPDTMAAASTNFSLFFPLHTNKAEFAHVAMAADGFDDDSHSSVTTSPSSPSSSSTGSVDCTLSLGTPSSRRAAAETAKQRAACLASCGTVSWDVAATADQSYCCCCCCQGGSRPSPAAEAMNKGAAVPCAGHGQQDPMLVDRRCANCGTSSTPLWRNGPRGPKSLCNACGIRFKKEERRAAATATAAAAMDQGGCGYFAQPAQYGAAAAAAAGRAAPVPYGGCEGPAFPCGGDIVTDAEAVPPQFLAWRLDVVAPAQAAAFAAVWPERTTLFQYN >Sspon.03G0024230-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:73853774:73854418:-1 gene:Sspon.03G0024230-1A transcript:Sspon.03G0024230-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AMAKQQQEVYFVFMNFDSVYERHRADRSKEGSATLDAYLSHKHDKLLAKLLQPDSYRKRSSLAIVDGFKRSEIGQGGEGGGEEPGARMT >Sspon.06G0022290-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:20318254:20330012:-1 gene:Sspon.06G0022290-2C transcript:Sspon.06G0022290-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPVLRLLAAAPPCARGGRAGARRGDGGRTRLRLRVRAAAGRPRRRLPEPSHVDDNGEDLCWRRSRGAGGAYSYNALKRLDQIWSSICEAQTDSKVPEVVTRVEGLLADSDIGSGSEIFDVIVCGGTLGIFVATALSSKGLRVGIIERNIIKGREQEWNISRKELMDIVEIGILSEADLDQIISSDFNPNRCGFEDKGEIWVENILNLGVSPAKLVENMKERFVSSGGAIFEGKSLSSIYVYNDLAAFPAGSGSNDRTTYMFTYVDPKFGGPKLEELLEVFWDLMPAYQDVVLENLDIRRVIFGIFPTYRDSPLPAAFDRILQVYVKQLKEISWMLIVCSCSTLTCQT >Sspon.04G0013100-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:50843449:50847977:-1 gene:Sspon.04G0013100-2B transcript:Sspon.04G0013100-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTTMWSETAMLVIDMQKEFVDPAMGSPALLPGGDAIIPAVTEAVAVARERGIFIVWVVREHDPTGRDVELFRRHFYSKGNGPAVKGSKGAELADGFVIKDGEYKLVKGRFSSFFATNLDSVLKTSGIKNLVVTGVQTPNCIRQTVFDAVALDYEKVTVIIDATAAANPEIHLANIRDMKNIGVETPTLEEWRH >Sspon.02G0027560-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:121656586:121659101:1 gene:Sspon.02G0027560-3C transcript:Sspon.02G0027560-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSRVLVVGGTGYIGRRIVRASLAQGHPTLVLMRPEIGLDIDKLQMLLSFKAQGARLVEASLEDHAALVAAVAQADVVISAMSGVHFRSHNLSLQHKLVEAIKEAGNIKRFVPSEFGMDPSKMGMLLNQEGLHLMRRWT >Sspon.07G0002910-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:7231199:7235664:1 gene:Sspon.07G0002910-1A transcript:Sspon.07G0002910-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ALITLKKGSNLIKYSRKGRPKIREFRLSSDETSLVWHSHSKVKHLVLSSVSRIIPGQRTVVFRRFLRPEKDYLSFSLIYKNGQRSLDLVCKDQAEVETLITSCRKSYSADGPSDRLSVSDEVSHYQDNTFHDTTLDIASSITRTFNSAGYSTTNPLNSAKTDVVSDRGNMLRASTDSSRLSISSAPSSSSQGSGQDDIESLGDVYVWDILIPKPLESDVVLDVQQIACGYRHIALTTRQGEVFAWGEELGGRLGHGTDADISRPKLVEALAVSNVEYIACGEFHTCAVTASGDLYTWGDGYYNAGLLGHGAGTSHWLPKRVSGPLEGVQVLSVSCGSWHSALTTSSGKVFTFGDGTFGALGHGNRETVAYPKEVETLSGFRTMKVACGLWHSAAIVEGSNQAGVNVMSRKLYTWGAGDKNQLGHGDKDARLVPTCIQSIIDYNFHQVACGHSMTIALSTSGHVFTMGSSSNGQLGNPKSDGKQPISVQDRLAGELVEEISCGSCHVAVLTSRSEVYTWGMGANGRLGHGGVEDKKKPTLVEALKDRHVKSIACGSNFTTCICIHKWVSGADQSVCSGCRQPFGFTRKRHNCYNCGLVHCHACSSRKVLKAALAPTPGKPHRVCDSCFMKLKAAETSSNSSHSKRNAIARRSVDSKDRSERPEIRPSRLATGSTPEPLKQAEIKAVRNEIKPDPMSTMRAPQVPSMLPFNNLAFGATFGGPASLKPMAMVPMPMAMPMSPSPLTKKPNPPAATPLCGKSDTDNLKMAKQVLNEDISKLQSQVNKLKQKCDAQEEQLQKAERRAENSASIAAEESSRRNGVLEFIRFLDNELKSIADRVPSDAADNLKTLQNHSERFLTEQGIRPLEVTGMHRKSASIGNLVMSHDGSTGNASSSVVSLASESPCHRIMENSSRANGDLAPKLGTHGEVQLIEQFEPGVYVTLIQLRDGTKVFKRVRFSKRRFAEQQAEEWWRENQERVFRKYNHPAN >Sspon.01G0022540-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:82216072:82219049:-1 gene:Sspon.01G0022540-1A transcript:Sspon.01G0022540-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRLKIGESPSNPLLRSPNGFLGRVVWEFDPAAGTREERAEVEKLRQEYTRNRFTQRQCSDLLMRMQKYTKQNLHSTNLPGIKIDKDSEATGETILVVLRRALSQYSSLQGPDGHWPGDYSGILFILPLMIFALHVTRSLNEVLSIEHIREICRYIYNIQNEDGGWGTHTLGPSSMFGTCVNYATLRILGEVLDGENDALSKGRAWILSHGSATAAPQWAKIYLSVCK >Sspon.08G0014860-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:60096714:60102951:-1 gene:Sspon.08G0014860-1A transcript:Sspon.08G0014860-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRSPSSLRSLLHLSRRRLLPTNPRLPRLPSSPLSARPRFLSSSTSPTPGGAGWATYDPLTDSLSASAALPSASDSEAPAVSDAWGVYDPVSGRIVKQGSPPPSSSTTVVEEEKDEDEKDDEEKEEEEEEEEEGEEAGELEDKDKGKRRARALGGNGQVRWSSVAAARRPAGKRGREKSSYVCSNCGEGDSQWWGICRHCSAVGTLVEYVAGSDGGGASPEGSHSHHIARSWIPKKAKEMVPQSLQEVNKGVDQAEWRIPLWGSFGMEVSRVLGGGIVPGSLILVGGDPGVGKSSLMLQLASNILEGFKAKESSPVVYVSGEESIEQIGNRADRMSITSSNLYLYSGTDIEDILDKIQPLSPKALIIDSIQTVYVRSFAGSAGNLSQIGHVTKTGDIAGPRLLEHIVDVVLYMEGERCSSHRLLRSVKNRFGSTDELGVFEMAEFGLQAVLNPSQMFLTEHDSDSEILAGLAVAVILDGSRTFALEVQVLMKQAGLKLQDS >Sspon.02G0013700-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6B:14622510:14623397:1 gene:Sspon.02G0013700-2B transcript:Sspon.02G0013700-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LFLLRAGSYVAPVWFPVRGARHLELILVIAGAVASILMELVIGPARHQPFDDDGTVPSDHLHNFEHASISLALLVYAASAILLDRARPQAPCRARDTVSQLAAAAAFAQELMLFHLHSADHVGVEGQYHLLLQGVVAVTLATTVLGVAAPRSFAVSLVRSASLVLQGVWFVAMGVMLWTPALLPKGCVLSHENGHDVARCRDEGGALARAKALVNLQFSWYLSAPSRSSSRSTTGCAASTRRSRGTCRWLGETTMGKKMMMMVTAKKTTSRQRKAAPGVCSAKRGRWRYHGHKRD >Sspon.08G0025930-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8C:15118750:15121416:-1 gene:Sspon.08G0025930-1C transcript:Sspon.08G0025930-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEILGRRFEAEGVENGVGGVEWGREWRRRVLRGGGGRRGETTEEVGSRGGGGAGFRWVAAVVGALSTTSSMFPYISVGTLYMEHKPKLPLLY >Sspon.07G0038190-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7D:72736023:72736405:1 gene:Sspon.07G0038190-1D transcript:Sspon.07G0038190-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding APVREPPEMGGELVAEVGVGRLRVAVGDEALEHLGADVAGASAAPASGAGGRWGGSVLARRGRALPDALLDGPTLLRRHHPPPCPASPPPTPRRAARHLPRIAAPRASLASPPPPASSLARCSRVF >Sspon.03G0041730-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:45609225:45621042:-1 gene:Sspon.03G0041730-1C transcript:Sspon.03G0041730-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-containing protein [Source:Projected from Arabidopsis thaliana (AT1G80410) UniProtKB/TrEMBL;Acc:F4HS68] MVGISTNALRIDPDNIEILRDLSLLQAQMRDLSGFVETRQQLLSLKPNHRMNWIGFAVAHHLNSNSSKAVEVLEAYEGTLEDDYPPENERYEHSEMLLYKVDKLSFKEQMASVLFKLGRFDESESIYRSLLFMNPDNYKYLIAVQKCLGLYSDNGQYSADDVERLSALYNSLKEKYAWSSAVKRIPLDFLEGEKFQEAADNYVRPLLTKGVPSLFSDLSPLYEHPGKGKILQHAGNFTAAAALADEARSMDLADRYLNSECVMQMLQADQVGLAEKTAVLFTKDGDQHNNLHDMQCMWYELASGESYYRQDRLHAHEYFHKAAAGAIRIVGDAAGTNCRCYMKLHDSPTKSSTEENDEMSKLPPAQRKKLRQKQKKAEARAKREAEEKQEDETALSNSSKSGKKQHARPVDLDPHGEKLIQIENPLAEATKYLKLLQNNSSDSLETHILSFELSMRKQKVLLAFQAVKQLIKLDEDNPDSHRCLIKFFHKINSLPGPVTDSEKLIWNVLEAERPDMRHSVLGPVNEWQIQDCIDVHKLLETVFGDQDVANRWKARCAEYFPYSMYFEGIKSAISAYAVDHSLESSPENGIASNPRLKSKEGEQGSLNGTLHIVDDLSSLSIRLIWPKVHSGTTMPLR >Sspon.05G0014050-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:50977946:50991578:-1 gene:Sspon.05G0014050-1A transcript:Sspon.05G0014050-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPFGDGGGGGGGGGDGAEALRGWDPLRSGSAPPTMEGAAAAAVAAERVFGGGGASFFSGIDGLGFGAGMDEVSRHRGAAGAQMIKEHFGNSASLSVGPPGLLFNGTGDLDEQQFGPSRVHSGGAMSNYSAFDMGSLWTDMDPDNAEYRRNIQNRFMSNIEKMNAYGNRDLNASYIADSDLSDALSGLRLSNSPVMDQRNHGEELLDEILKRQRDFSKIGDENRSPLVGNVFRAPRSDVHPPPMYGDGILRRQTSALDGSNVSRISRHHIKGVDDLSLAEQLAIMQSGNLPRGTNLSRNAAMTNMINPMSNRYNSNTDFDLVRSRRAFLEDLLAQHMDQYGSRFIQQKLEIASPDVREKIFPEILSNAIALTTDVFGNYVIQKFFEFATESQLIQLADLLKGHILELSLQMYGCRVVQKVLEVVDMDRKIDIVHELKNYVLKCIGDQNGNHVIQKCIECVPEDCIPFVIDPILSQILALCTHQYGCRVIQRVLEHCHDPVTQSAIMNEIVQQTFHLTDDKFGNYVVQHVLEHGKPEERSSIIQKLSGQVVILSKQKFASNVIEKCLAFGTPEERDSLIGEIISSGQTFQELMKDQFGNYVVQRVLQTCDDKYLEMILSSIKLHLNELKNYTYGKHIVARVEKLIVTGATLGDLFRMDQYGSRFIQQKLEIASPDVREKIFPEILSNAIALTTDVFGNYVIQKFFEFATESQLIQLADLLKGHILELSLQMYGCRVVQKVLEVVDMDRKIDIVHELKNYVLKCIGDQNGNHVIQKCIECVPEDCIPFVIDPILSQILALCTHQYGCRVIQRVLEHCHDPVTQSAIMNEIVQQTFHLTDDKFGNYVVQHVLEHGKPEERSSIIQKLSGQVVILSKQKFASNVIEKCLAFGTPEERDSLIGEIISSGQTFQELMKDQFGNYVVQRVLQTCDDKYLEMILSSIKLHLNELKNYTYGKHIVARVEKLIVTGATLGDLF >Sspon.03G0015790-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3A:50337094:50337570:-1 gene:Sspon.03G0015790-1A transcript:Sspon.03G0015790-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVDIMESVAVVAVPFPVQGHLNQLLHLSLLLASRASPCTTRRRRRTSAGVRVHEWDPCTLGSIEFHDLDVLAYDSLPPDLAAQSPFPNHLMPMFETFAAVARAPLAVLLIRIKKTRKKLKSMVTKRALLKKRTCGKYKTSRQQQSELEFDLFGLVST >Sspon.01G0042280-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:86514019:86515263:-1 gene:Sspon.01G0042280-2D transcript:Sspon.01G0042280-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding PMAQHRQQRGFPSSLFSGPSLTLAVMTLLCAAASTTAQQQPPPLPLPTLPLPQAQQPTTPTPGAIPSVPACPPALATLSPCVSYLMGNSSSPPTECCAQIRAMFQSQAPCLCAAMASGPVQQLGSALGQLLPTSCDLPADACSGGTSAAPTDPMTPASGTTTPAAAAPATGPNGVDDPTAAPAGGVGIKSVPDLVHSAAAAGSTSGISAAALFVSRSSTLSRRSGNYKINLKQKKCSTTVSVQLDDVED >Sspon.03G0034740-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:76440052:76443429:-1 gene:Sspon.03G0034740-1B transcript:Sspon.03G0034740-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AMAAPGGGLDQDRDRGRGQDAAIKLFGRSIPVLHSSVVAATASQVSTKLANDVRSNDVMPCLPNMSLIVKASPFPSKNNMKNDLQAISSQHGKMEADSKSEEAKTESGGSGQEKVLKKPDKILPCPRCHSTETKFCYFNNYNVNQPRHFCRNCKRYWTAGGTMRNVPVGSGRRRNKDPSHHHHVTKPCDHIITASGDVSDATQRQSLAVKPSVLQASGKQNETACKSVSPVLNIKEQNNADIISLVSGDNKEEKSCASSAVVSGSSENWMPENTVKKEDNTSAYGNGVKEPDPNTQSHHAGPVSVFSRNPAAGMVTNQCSADGIHGPENGTVSPLSLPPPPMVATPGICAPAVPFPLVPAFVSCIPGWPSAVWGAPWPGSSGPTLLSLPPNSLAFSGSNSRVLGKHTRVANLQEEHKAEKKLWVPKALRIDNPEEAAKSSIWASLGIKPDERIIFKSFRSKDLKNSETKTPESLQANPAAFSRSQTFQERT >Sspon.04G0036010-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4D:21329886:21330124:-1 gene:Sspon.04G0036010-1D transcript:Sspon.04G0036010-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSCIAGTLSCTKPARPCRNEFHFSIRGDRLWRAFCIAQARPKYKFKQPIKLSLHPRGLVMPREGNQSCPKGLFGCPDE >Sspon.05G0024130-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:10135289:10137178:-1 gene:Sspon.05G0024130-2C transcript:Sspon.05G0024130-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTPVTFRDAVFAVLPILTLLVSPVPCYGHPRSMSLRNHTTSRYTSTPARATDRWYSGGATWYGSPYGAGSDGGACGYQGTVSQRPFSSMIAAGACSGWPVTVTITDSCPGGVCLARTAHFDMSGTAFGAMANRGMADRLRAAGILKIQYRRVSCNYNGMGIAFKVDRGSNPFYLAVLIQYQNGDGDLAAVHIMQQGGAWTPMQHSWGAMWRANSNTGKPLRAPFSIRLISGSGKVFVVGNAIPAGWRAGMTYWSTVNYAT >Sspon.07G0025840-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:40815379:40818110:-1 gene:Sspon.07G0025840-2D transcript:Sspon.07G0025840-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQEQKLEVSNAMYTYKHHCSIGVDVHEIFVKRSRLRVVLSYIGIIFLLANVCKPLLTKECLSLGSVWNITFAVLVAKCLQYKPVKRGESFIVDVISSDNASFWVQLEIHFWSGRVDHRFVPIGKILKPLINECVTPVTCYWSLALLLRDEEELLLVFQRSRPPVKMLVPVWKALCTLTNCEHPSPSQMCNRDSTIT >Sspon.01G0037880-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:21424303:21425233:-1 gene:Sspon.01G0037880-2P transcript:Sspon.01G0037880-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATSLSSCSSLPRATAKPGGVSYYTQLTFRSRHPFEKAAAAATFQKLPPELLRLSYAARNNKHARTSCRRATDNDQAAPAAAQETTANTPPPPASPVPSAERSPVTPGSNGQPQQPVANANGSAPSEPPKRAPLTARERLRAARVLGKYAEPSAKGSSSSKSAKPEFGSGVLDALREADAKKGGGGGGRRGSRLPEAPGNLFDDSKRGMPKEGWTFELPFGVDVFLVLVSFTLITTIMFGTAFLVWKLGAIHFN >Sspon.02G0045850-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2B:112522064:112522978:-1 gene:Sspon.02G0045850-1B transcript:Sspon.02G0045850-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATNCCTAARRLSDLLREQQEPFLLQDHDGSGTAARTPAAASARTRNLLRGSTATVTKLTLRWVDDLLAVCFPCAATRQRFRRLPRPDRDIASTGADCYDGGCGRQQLSPVSVLDLLSDDDSSPHRDEHSEDDGNGKPSTSGTETSPSPPSIHDHDHDLPGKTPATERFQRKSVASAWDWESVAADISRISSLVLVELDLAGSPRDDRRRRLDVGGEEEVRQLAQSIEAMIFEEVRWEAVRDMLCSPQHWQFIWLLSFRVCG >Sspon.05G0034310-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:53798499:53808014:1 gene:Sspon.05G0034310-1C transcript:Sspon.05G0034310-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATAPVIRLVLPSSPSCGCPHPRSRPRSTCGRPSSSASSAPPSSPSPAARHVAAARAHACPRPRPRPDAAGAAALEDVQRCGGARGPSRSPVPGRVAAALAKSRGVASAGPLCAVRRRPHWIFVLRHLQNVWLELEWLVVEARPRDALFFHYSGHGLQLLTETEQDVGRHRLQEPHVDGPRFGSTLELQFCASCTTQHLISKGAKVILSSHLVLTQSVELRERHDPIFM >Sspon.05G0021880-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:1202088:1205955:-1 gene:Sspon.05G0021880-1B transcript:Sspon.05G0021880-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Molybdopterin biosynthesis protein CNX1 [Source:Projected from Arabidopsis thaliana (AT5G20990) UniProtKB/Swiss-Prot;Acc:Q39054] MLQVEEALAAVLSAAAGHAAPRAVPLHDALGLVLAEDVRAPDPLPPFRASIKDGYAVVASDGPGEYPVVTESRAGDDALGVVVAPGTVAYVTTGGPIPDGADAVVQVEDTEQVPGGADGAKRVRILARAAEGQDIRNVGCDIEKDLIVLNSGEHIGPAEIGLLATVGVTTVKVYPRPTIAVFSTGDELVQPATATLSRGQDPPFLKRKLQIRDSNRAMLLAAAVQQKCKVVDLGIAEDTEESLKEHMDAALRSDADIIITSGGVSMGDRDLVKPCLAKMGKIHFEKIRMKPGKPLTFAEITTQDTSKPSKTVLAFGLPGNPVSCMVCFNLFVVPAIRLLSGWSNPHLQRVHVRLSHPLRADPHRTEFHRAVIRWVLDDGSGRPGFVAESTGHQASSRLLSMKSANALLEIPSTGHILAAGTSIQAILISDIITSPSDKLPAPSKPHPSHFGPAAKSISTDISQIASPQDAEVKVAILTVSDTVSSGAGPDRSGPRAVSVVNSSSEKLGGATVVATAVVPDEVDKIKGILIQWSDIDHVNLILTLGGTGFTPRDVTPEATKSVIQKEAPGLTFVMLQESLKITPFAMLSRATAGIRGSTLIINMPGNPNAVAECLEALLPALKHALKQIKGDKREKHPRHTPHAAAAPVDQWERSFRAASAGSGRGCSCDP >Sspon.04G0003300-4P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:57475509:57478690:1 gene:Sspon.04G0003300-4P transcript:Sspon.04G0003300-4P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGKEPIEVKFRLFDGTDIGPSKYDPNTTVAALKEFVLARWPQDKEIVPKTVNDVKLINAGRILENSKTLAESRVPVGEVPGSVITMHVVVRPPQSNKSDKQQSNSPKPNRCGCTIL >Sspon.05G0025770-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:23682113:23682910:1 gene:Sspon.05G0025770-2C transcript:Sspon.05G0025770-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKEGGAAERTVDAPPPETESIVWREDKGRFETPDGEAFLQYRLLDGHGGGAAPAAAVMDMVHTYVPRSKRGQGLAARLCDAAFAHARGRGMRVLPTCSYISAESSAQLLTLFPELQDTYLPRNPALKELVYKEQEPHPKPSSM >Sspon.01G0035250-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:9129234:9134383:-1 gene:Sspon.01G0035250-1B transcript:Sspon.01G0035250-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPMNVDNGGCGGLDAQIEQLMQCRPLAEQEVKALCEKAKEILMEESNVQPVKSPVTICGDIHGQFHDLVELFRIGGKCPDTNYLFMGDYVDRGYYSVETVTLLVALKVRYPHRITILRGNHESRQITQVYGFYDECLRKYGNANVWKTFTDLFDYFPLTALVESEIFCLHGGLSPSIENLDSVRSLDRVQEVPHEGPMCDLLWSDPDDRCGWGISPRGAGYTFGQDISEQFNHTNNLKLVARAHQLVMEGYNWAHEQKVVTIFSAPNYCYRCGNMASILEVDDCNSHTFIQFEPAPRRGEPDVTRRTPDYFL >Sspon.05G0017220-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:72049810:72050626:-1 gene:Sspon.05G0017220-1A transcript:Sspon.05G0017220-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKAAAAVAVASDVEDRISELPDDALHHILSFLPSDDAVRTSVLGRRWRHLWRSTRAVRVTRRLRDAAWTPRTLNHFVNRLLLLRGGAPPLEEFEISCGEIHDAETHDYEMESNGSRIRRDEELSHYAGPWIHHALAVCQVRALTFSVRTFRRRFQISHVFVSRFLTRGTSRTRLSSSVPWISQAAPHYRIWTWYAAGSDLVPVVETPGINGCNFDEILRCRISTPRLISMRLAVNYGRTPVLDSMPTLFAAQVRLQDDCEDICQQSA >Sspon.02G0032020-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2A:117145095:117146321:1 gene:Sspon.02G0032020-1A transcript:Sspon.02G0032020-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding TGLRNNKLPIVHRQSPCSPLHGLPSLTAADVLHRDTSLVRRRFSSQSSGVAAPAPALSLAAIIIPANGSSDPSTLPGALDYIVLVGYGTPEQQFPVFLGTNVGTSLLRCKPCASGSDCNPAFDTSQSSTFAHVPCSSPDCPVNCSSSVCPFYDLYGTIGGTFAKDVLTLAPSMAVHDFRFICMDVESPSADLPEAGSLDLSRDRNSLPSQLSSSWTARAASFSYCLPQSASSQGFLSLGGDATIGDDDNLTAHAPLVWNNDPELATMYFIDLVGMSLGGEDLPIPAGTFYNASTNLDVGTTFTMLAPDAYTPLRDAFQKEMSQYNRSSPGFAGFDTCFNFTGLNELIIPLVQLKFSNGESLLIDGDQMLYYDDPAAGPFTMACLAFSSLDAGDVLSAVIGTYTLASTEV >Sspon.03G0015420-2P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3C:70218593:70221219:-1 gene:Sspon.03G0015420-2P transcript:Sspon.03G0015420-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRPALQRRADAQRGDHGVELPGHDGAAPGHAGQPRRRAGGPPDARLRGTNGGKKVNDLHVLDLRTREWTRPQCKGAPPSPRESHTVTVVGGDRLVVFGGSGEGEGNYLNDVHVLDVPTMTWSTPEVKAADRAPPAPRDSHSAVAVGARLFVFGGDCGDRYHGEVDVLDVDTMAWSRFPVKGASPGVRAGHAAMSVGSKVYIIGGVGDKQYYSDVWVLDVTNRSWSQLEVCGQQPQGRFSHTAVVMNTDIAIYGGCGEDERPLNELLILQLGSEHPNGRYNISMCKVLSNHWSQEKRKFLRSETKDAGVSNGEMVQKPREAEIEQRNPFLRGLENGRAKRRKTGEVRPNEPESEQEEHSLSLSQHSSPSQSDQEQNGAHKLSASPNTSISALQPFVRLKANGALRAPGPGGISSRPLKTDQFLRTIAPQHRQEVQFLSSDHKPQPRPPGPPLIGAEVHGTIDGAFDSGYLMTAVVNGQLFRGVLFAPGPGVTAPRPTVHHQILTSSAVPPQQQRPLLAHAIPVHARPVPQATGFVLPDCAHHARQGFPAKAVKSEPERGSSDLHDVVLTLGGPGGGK >Sspon.05G0024370-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:14783690:14798440:-1 gene:Sspon.05G0024370-1B transcript:Sspon.05G0024370-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEAAAAAKASSRLARSLAAAAPCYSGVFVFFAALVAGALVSACWMSVSARVIPITPVATPGIAHNAATGPEPAPGPPRFTAIVGGASTNQTPSAAASFAPPPREVSVPAPPAPAPAETAAPPPDCPSYFRWIHEDLRPWRAAGVTRDAVDGARRLFAPKFRVTVVAGRLYVARYGRCFQTRAAFTQWGILQLLRRYPGRVPDLDLMFDCEDLPVVGAGNRDQLAQPPPPPLFRYCGSETTLDIAFPDWSFWGWPELNIKPWEALRREISEENAMVNWTDRAPYAYWKGNPMVGAERLLLLRCNASGKRDWNARVYAQDWGKEVRHGFRGSDLSKQCTHRYKIYIEGRGWSVSEKYILACDSVALMVRPRFHDFFSRGLSPLRHYWPVRGDRGMCRSIKYAVDWGNAHTDRAQEMAGNASRFIQEELTMDRVYDYMFHLLTEYARLLRYRPAVPRGAAEVTVESMTRGRRGLERQFMMDTVVAGANGEGPCRLQPAYSAEELEALRRAREDVGPLTRLPTTAAIPAAAVLHHPETQQLPPPHPSAPPPLPPPSRPPPPSYSTSCPSLNLSNPAKAPKTSQTLARALSSPSICPASPSPPPPSSSSAAPPSSNNSCPSYFRFIHEDLRPWRAAGGITRAMLDRARLTATFRLVVLGGRAYVQRFRPAFQTRDLFTIWGVLQLLRRYPGQVPDLDLMFDTVDWPVVRAHLYRGKYAEMLPPLFRYCGDDTTLDIVFPDWSFWGWPEINIKPWDALQEDLKDGNNRVRWMDREPYAYWKGNPSVSATRKELVKCNVSSTHDWNARIYAQDWFKESKAGYKDSDLSSQCAHRYKIYIEGSAWSISEKYILACDSMTLLVTPRYYDFFSRSLMPIQHYWPVRDDNKCASIKYAVDWGNSHKQLAQRIGKQASNFIQEELSMDHVYDYMLHLLTEYAKLLKFKPTKPPEAVEVCSEFLVCQAEGLEKKFLMESMVKFACDAGPCDLPPPFDPHELKLLKQRKENSIKQIQMWEQRDLGS >Sspon.01G0008080-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:22499990:22503462:-1 gene:Sspon.01G0008080-1A transcript:Sspon.01G0008080-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPEKGGAKVIGGGGGGGGIFNLFDWKRKSRKKLFSNSPEGSKLVKRSDETLLSGRLHLGDEDEGIGVSSFKGSSDYSCASSVTDEEGREMKAPGVVARLMGLDAMPTSGVPEPYCTPFRDTRSFRDSHSLKRSPEYSLNDQFGHVPRRVDGYIRKPLDLRVPKMPSSPIERFQMEALPPRSAKHLPMSHHRLLSPIKNPGFSSARNAAQIMEEAAKILQPRAQASSREKICSFSPARIPLRVSEPRESIPPSQRAVPLKPQSSRTAPELPDVRFSRAQQMNRSWNSEEDIVIFRSSIDSYEINNPSCSNSKNNKGKSISLAVQAKNNVQKREGVTGSGRNSGLQKEHDEQRANQPCRSQSNLQRNKQQKKPSSSGTSSPVLRQNNQKQNSLVTRGKVAPNKTVSTQQGRKLMAGDSSSGKIKSGSKMSKVGGRKDIVESISGDREGSSSNNKDFPQKKRLIERNSTNEKGTFVPEKPVGKLKKQVQPNVVMDEHIKWNKESKDTTDVVSFTFTSPLVKPLTGPSRPAGKWDTRSNLDMDGGCDKDDSDNKAEGLSSVGLNFVNGGRTEECSSISNARKEQENDDLSPLSVLEPTSLSESCWSSECSGGSSGDKGYSSLFDVKNAQGNFLINPSSVDIEAKTTDSASSASVDTSDISDVTQCSKRSRHTELEYIADVLSNVNLSTDELGSLFVNQDISALDPSSLRKWRICMCIRKGRSLFAVEGTGGCCSTV >Sspon.06G0033910-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:27125682:27127502:-1 gene:Sspon.06G0033910-1D transcript:Sspon.06G0033910-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVGLCEPSVPRSSGRRLSSFPVPCSSSRFKNRHVSRGRRGATMKVGSQMKQMGRLNRSLREKRAKLYIIRRCVVMLLRWSD >Sspon.08G0001850-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:2475721:2478525:-1 gene:Sspon.08G0001850-2B transcript:Sspon.08G0001850-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSPVGDGGSAERWRAEAARAFQHYLDRAAPHTAGRWAGTLVAAAVYALRVYYVRAFYVVTYGLGIYLLNLLIGFLSPMVDPELEALEAGPGLPTRGSDEFKPFIRRLPEFKFWYAITKAFCIAFVMTFFSVFDVPVFWPILLCYWIVLFVLTMKRQIVHMIKYKYVPFSIGKQRYGGKKGPAASASKD >Sspon.02G0008050-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:7882982:7884103:-1 gene:Sspon.02G0008050-3D transcript:Sspon.02G0008050-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LKFLCAAAEEGDGVDRISGLPGDLLRRVVACLPAKDGARTAVLSTRWRGLWCSAPLVLVDTHFLPRGGAEGRPPSVSRAVRRAVSDALGAHPGPFPFVSLSCQFMGAIDADRAVLARWFQLLATKGVEELAFVNRPSPLVDLRIPAALFSCASLRRLYLGAWRFVETANLPHGASFPSLQELVLGAVTLEDRDLDFLLSASPVLEILAFIGSVKDLRARLSSHSLRCAQFCLCLVEEVSVVDAPCLERLFIWRCPSSSVRVKIGHAPQLRMLGYLEPGVHVLEIGNTVIKSTTKPSPKTTVPSVRMLALHLQFRIHNEVKMLPSFLRCFPNVETLCIQ >Sspon.05G0015880-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:57626670:57631107:1 gene:Sspon.05G0015880-3C transcript:Sspon.05G0015880-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding APPAPLRCPRKPSLLFLLFKFATVVDGEVLAMQFANLGAKLILSARNKDELERVKKNILSKNPDSRVEVLPMDLSAGEESLKEVKRGALEESEQGLKATLNVNVFGTITLSRLLAPYMLDRGMGHFVVMSSAAGKVPAPGQAIYSASKHALNGYFASLRSELCTKGIKVTVVCPGPIETPQSSAAASQKREKRVSVERCVELTIVAATHGLKEAWISYQPVLTVMYLVQYMPTVGYWLMDKVGAKRLDAAAKKGNTYSWNLIFGSKKSA >Sspon.06G0007430-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:28837859:28840086:-1 gene:Sspon.06G0007430-1A transcript:Sspon.06G0007430-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding YSFRSVVRRVVAQETIQQIVHNLEPLIRRVVREEIQNMFSHHQDHMPLRYLPLSIQEADVLPSPLKLVFAKQLKLPIFTNNKLVDIANNPIEIQLMDTRTNYMIAPPDTHLGSSSVKLEVLVLDGDFQCDDRDVWTADQFNAAIVKAREGKRPLLVGTLSVPMNNHGVAVVDDVSFTDNSSWIRCRKFRIGVRIMPGGHLGARVKEAVSGSFTVKDHRGECNKKHYPPLLTDNIWRLKNIGKDGPIDKRLESEGIRNVQDFLKLNTIDPEKLKAVSTRKVLAARIGDQTFALQELHPQQQVHVKQLATQAYQMWDQLDEVANEMTLAANKSFVPRSNSGREPSESQESMISSGSQNAKYLDFTGTATSSAAAMSTNSSSTSDSTAAAPANDAMFWSPSIAPPECDFSWQDSAG >Sspon.05G0010810-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:25204148:25208862:1 gene:Sspon.05G0010810-2B transcript:Sspon.05G0010810-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPAAVTRHPSPTVLASGPRYCRRGHPPSKVGFRSLAPRLKVNALFGWPKGDTTTRQPIPPAESYTLSGSASEVGAKPREVSISVASSIMDIPAADWDACACDPDDPENFNPFLTYAFLSSLEESGSAVKETGWLPFHVVARDENGHIIGVVPLYLKSHSRGEFVFDQSWAEAYYNYGLEYYPKLQSCVPFTPVTGQRILLRNTSYRDQVFDALVKGLMSLTTKMNVSSLHITFPSQGEFSKLKDSGLLQRIGLQYHWRNRNYKSFDEFLMDLKQPKRKNIRQERKKIPAQNLQMKRLRGDEIKSSHWDAFYKFYRNTTDNQLNDHLGKKGLEFEL >Sspon.03G0027550-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:6035506:6041080:-1 gene:Sspon.03G0027550-1B transcript:Sspon.03G0027550-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPQPHQPAAEEGAAPAAPLAAVAPGPRPYDVAVAAAELRPVDCNLAALCDHVQAEGFGSGAFSDLVVEAMGATYRLHRLILSRSAYFRNMLHGPWREAGAPTVVLHIDDANVDSEAIAIALAYLYGQPPKLNDNNAFRVLAAASFLDLQDLCTICTDFIISELWTSNFLQYQLFAESQDYGSHGERVRNACWGYLCQSATLELREVLPKLSSQTLHALLTSDELWVPNEEKRFELAVFTLLAKVAMCEIQVSGNKSNLTSADRSMRKGKTPMNGSGEELLMDSELQNLKLHDNLGNEIPHNIIAISDMNSEAPKRMENDCSTGGPSGEGTSYQFNENNWLSSEQTANNYFSRTSSSGVVPTEWGRPNAQLWGGRVVGRRQVRCIRGSSCLSTDEYNAFMNIFERGSLLYCNMSFDALLSVRKQLEEFGFPCKAVNDGLWLQMLLCHRVQAIVADTCRNCCLTNNSCACKQAYVSSHTPYRQEHDRSSASGSIGNVYLADAQGDGNGVLGPVRVNVRGAVDGLAGIGRGNSNVPGAAWAPTRYVFSRVPYGLGSRNGQQFANDESEPRIDRNGDISADGLTALVNLSQENNAAHLQAESLFETGMQTRYCSVASVSTPGGSSVQMQESKEHELGPDWETAEDATISLDMKTPLSHFPPFRFGVEFEDVHRLADGQVKHSTEVFYAGSLWKVTVQAFNDEDPHGRRTLGLFLHRRKAELLDPLRKANLYVDPREKVTARYQLICPSKREVMIFGSLKQAGTLLPKAPKGWGWRTAILFDELADLLQGGALRIAAVVQLV >Sspon.07G0003810-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:8514738:8531190:-1 gene:Sspon.07G0003810-3D transcript:Sspon.07G0003810-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVRRRQPRRPETGAAERYREMGIGAALSRPWDYPTACGELAALLRLGYADLPKTAQALVASDVLLAFRLLPDVQTGYAVSTANVLLQAVEVALPKQKKAQAVSEFKHSIIAHKRRARVQQNSGSPDIPQDILVHIFSFLDMHSLVAAGLVCWSWNSAANDNKLWKMNYSIFFGLSHLSCNNIPVSECASWKFASNRALCAHCRSVIWLSNLTCASPHHCPKNRQDEVKLRPLLPDTGRLRTSRFCLDPAAMPVRRRQPRRPETGAAERYREMGIGAALSRPWDYPTACGELAALLRLGYADLPKTAQTLVSSDVLLAFRLLPDVQTGYAVSTANVLLQAVEVALPKQKKAQAVSEFKHSIIAHKRRARVQQNSGSPDIPQDILVHIFSFLDMHSLVAAGLVCWSWNSAANDNKLWKMNYSIFFGLSHLSCNNIPVSGVQYSMNSVSDYPTLSWKESFHSKYEECASWKFASNRALCAHCRSVIWLSNLTCASPHHCPKNRQDEVKLRPLLPDTVAKYILHVEDIAASSSESDDTDDSDYENWHPRFW >Sspon.05G0008800-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:20081170:20083001:1 gene:Sspon.05G0008800-2B transcript:Sspon.05G0008800-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMARSGVALPPPLVVVIVVGACCARPAAAARLSALGRTLVVEASPKAGQVLHAGEDTITVTWRLNASAPAGADAGYKAVKVTLCYAPASQEDRGWRKANDDLSKDKACQFEIAQPQPYAGPGTRTLRYRVASDVPTASYHVRAYALDASGAPVGYGQTAPAYYFRVAGVTGVHASLRIAAALLSALSVAALAFFAVVERRRKDE >Sspon.07G0014210-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:50636981:50641941:1 gene:Sspon.07G0014210-4D transcript:Sspon.07G0014210-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEAVPALATGQASTDAVTEQPVNVYIWDMDETLILLKSLLDGSYAGAFDGLKDREKSTEIGKRWENLILELCDEHFFYEEIENYNEPYLNALNEYDDGRDLTSYDFEADCFSSPYDDANKKKLAYRHRAIGEKYAKGLEKILDQHMVKVCNDQYSLTDKYTDGWLSSAHKLLEEALGKSASALTANSSSINCIVTSGSLIPSLAKCLLYRLDDVVSSENVYSSWEVGKLQCFKWIKERFDGPNVRFCAIGDGHEECTAAQVMKWPFIKIEFRPEAHNRFPGLDMPTVQTYMDVIYESSSKDG >Sspon.01G0031520-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:108077018:108079491:1 gene:Sspon.01G0031520-1A transcript:Sspon.01G0031520-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVPLTQRPRSAEQRRVVAPYQRPRSAGDDAQPAPDPQLPPHMASWPAESRRQSSSSSRKSAELSGPGASYGHGRAASSPDAYGYGRQLSSYSTRSSQVSTSGSFSAAAQRVAGAFTSCFVPRRQVTTEEEEEERKSRRSECHVPIDSGSWQEGRALTIADVSKATSNFSEKNMIRQGRSSTMYRGKLKDGSQIAVKCVRKLNSQNLTAELWRELETLEKIEHRNLARLFGFFERTADSLVVVEYVSNGSLREHLDELAQRLNIAIDVAQGITYLHEYKDHPVIHGGIRSSGVLLTDALTAKALQRCRAGEAAVAMDPRMRRSPASVAAVERMLALAAQCVATARDDRPSMRRCSELLWAIRRDYHRQDEPRCAAVAEERSDEWVVR >Sspon.02G0003830-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:13659344:13662277:1 gene:Sspon.02G0003830-3C transcript:Sspon.02G0003830-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LGSGVQGPLPLAGRVVRRGRGGGRAQRHLLARARARGRAVPAVAALRELRVLALPSHALSGPLPPAIWTLRRLRVLDLSGNRLQGGIPAVLACVALQTLDLAYNQLNGSVPAALGALPVLRRLSLASNRFGGAIPDELGGAGCRNLQFLDVSGNMLVGGIPRSLGNCTELQALLLSSNNLDDIIPPEIGHLKNLRALDVSRNSLSGPVPAELGGCIQLSVLVLSNPYASPGGSDSSDYGEPDDFNYFQGGIPDAIATLPKLRMLWAPRATLEGELPGNWSSCQSLEMMNLGENLFSGGIPKGLVECENMKFLNLSMNNFTGSVDPSLPVPCMDVFDVTGNQLSGSIPVFISKKNCLSSQPPLDDLVSEYSSFFTYQALAGFMSSSSPLGVHLTSYHSFSRNNFTGAVTSLPLATEKLGMQGSYAFLADGNHLGGQLQPSLFNKCNSSRGFVMEVSNNLISGTIPADIGSLCSSLVVLGIAGNQLSGMIPSSIGELSYLISLDLSRNRLGGVIPTSVKNLPHLQRLSLAQNLLNGTIPADINQLHALKVLDLSSNLLTGVIPGALADLRNLTALLLNNNNLTGKIPSGFANSASLTTFNVSFNNLSGPVPTNGNTVRCDSVIGNPLLQSCHVYTLAVPSAAQQGRGLNSNDNNDTAPSDSQNEGANNSFNAIEIASITSATAIVSVLLALIVLFIYTRKCAPRMSARSSGRREVTLFQDIGVPITYETVVRATGSFNASNCIGSGGFGATYKAEIAPGVLVAIKRLSVGRFQGAQQFDAEIKTLGRLRHPNLVTLVGYHLGESEMFLIYNYLSGGNLERFIQERSKRPVDWKMLHKIALDVAKALAYLHDTCVPRILHRDVKPSNILLDTNNTAYLSDFGLARLLGNSETHATTGVAGTFGYVAPEYAMTCRVSDKADVYSYGVVLMELISDKKALDPSFSPYGNGFNIVAWACMLLRQGRAREFFIDGLWDVGPHDDL >Sspon.01G0035480-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1B:11414337:11414467:1 gene:Sspon.01G0035480-1B transcript:Sspon.01G0035480-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MYPGAARLLFGLIIAPPYPATTAPVQCVAGNPLGPNNLPASRS >Sspon.05G0020310-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:86482208:86485162:-1 gene:Sspon.05G0020310-1A transcript:Sspon.05G0020310-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MITALDLYHVLTAVVPLYVAMTLAYGSVRWWRIFTPDQCSGINRFVALFAVPLLSFHFISTNNPFAMNLRFLAADTLQKVAVLALLALASRLFPSSASRFLGLDWSITLFSLSTLPNTLVMGIPLLRGMYGGASSATDAGTLMVQVVVLQCIIWYTLMLFLFEYRAARALVLDQFPDGAAASIVSFRVDSDVVSLARGEIELEADAVPVAASSRDAGGRMRVTVRKSTSSRSEAACSHSHSHSQSMQPRVSNLSGVEIYSLQSSRNPTPRGSSFNHADFFNIVGAGAKGGGGAAAAADEEKGAGGHSPQPQAVAVAAKRKDLHMLVWSSSASPVSERAAGAGVHVFGAGAADHADVLAKGTQAYDEYGRDDFSRTKNGNGADKGGPTLSKLGSNSTAQLYPKDDVEGRPAAAMPPASVMTRLILIMVWRKLIRNPNTYSSLIGVIWSLVSYRWGIQMPAIIARSISILSDAGLGMAMFSLGLFMALQPRIIACGNKFAAIAMAVRFLAGPAVMAAASIAVGLRGVLLHIAIVQAALPQGIVPFVFAKEYNVHPDILSTAVIFGMLIALPITLVYYILLGL >Sspon.01G0011550-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:31464338:31469720:-1 gene:Sspon.01G0011550-1A transcript:Sspon.01G0011550-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRSRYHSPLALLPLHLLLCLVSFHACCAASITTGTPDGSELWGYVEVRPKAHLFWWYYKSPQRTSTPSQPWPTVLWLQGGPGASGVGLGNFLEMGPLDVDLKPRNSTWLHKADLIFVDNPVGTGYSYVEDDSLFVTSDWQQAADMTTVVKALVKEVPALATSPLFLVAESYGGKYAATLGASIARAVRAGELNITLGGVALGDSWISPEDFTLSYTPLLLSVSRLDDNAGDGANKKAETVKEQIVAGQWAASHKSWVSLLEFIDTKSGNVDVYNFMLDSGMDPVALDIPLGSSLMSNLQAMKYSTSHRGQDTQPDSNTVDGIMNGVIKQKLKIIPKNFTSVCAHLYRITVGRFPVSVVTLQFQ >Sspon.02G0051900-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:85420201:85423646:-1 gene:Sspon.02G0051900-1C transcript:Sspon.02G0051900-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ISASVDARDPRPVLPLAHGDPSVFPAFRTAAEAEDAVAAALRTGKFNCYPAGVGLPDARRSLAEHLSSDLPYKLSTDDIFLTAGGTQAIEVVVSVLAQPGTNILLPRPGYPNYEARAGLHNLEVRRFNLIPERGWEIDIDSLETIADKNTTAMVAEVARKLGILVIADEVYGNLVFGDTPFVPMGVFGHVAPVLSIGSLSKRWIVPGWRLGWVAVCDPNKILQKTKIIASITNFLNVSTDPATFIQGALPHILENTKEDFFKRIIGLLAETSEICYREIKDIKCITCPHKPEGSMFVMVKLNLYLLEGIHDDIDFCCKLAKEESVILCPGSVLGMENWIRITFAIDSSSLLDGLERIKSFCQRHKKKNLLNGH >Sspon.04G0028430-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4B:65837715:65837942:-1 gene:Sspon.04G0028430-1B transcript:Sspon.04G0028430-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPARATLRAGRRWQGLGWAGTSESSGDMMVRRVVSPRRLACCAASPRLAWPPWKREASTWYLVCGHRGNKDEKKK >Sspon.01G0003480-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:8517357:8517584:1 gene:Sspon.01G0003480-2B transcript:Sspon.01G0003480-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKAVLVAVLLVQCCDVMIVAARPLLHVTAGPDTRTTMMTMQVLDKSNGPRRPGGGNCNWKKPGHPGCPPQQPSS >Sspon.03G0029350-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:13950764:13952229:-1 gene:Sspon.03G0029350-1T transcript:Sspon.03G0029350-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPPSSKPMEVAAVACRAGLLARARPGSRARRRAWEHGVAVGPAPSAARRRLLVASLGVGEPLPAQSLDEEEAVALEVGEDDDLYSLVSAENLLPPPAAADVPEARTVRVKFVLRKQCAFGQQFLVVGDAAALGLWDPAKATALDWSEGHVWTATTDLPANRSIEFKFLLRDASGHVRWQHGPNRTLQITETPNTMVVREDWDHGNKQKVSEEEELSIGEEHVMFSEDLAGTNGTMPADNPQKHQNLETDRSAAVVADAPLQGEMVAANGTDQPQLMVDKHQTILEEVRGEQNGTPSADDYPGNGNDDDDTTLYQGGDLLPNRPTSIFENDLAWAGKAMQQLLRILGFQIGTTRT >Sspon.01G0046500-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1B:98013007:98015258:-1 gene:Sspon.01G0046500-1B transcript:Sspon.01G0046500-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKATLGTRRNGYPRTRGANDARQSSSSSVIACSTRGGGRVHRSRPSGSSSAEEPPRHGRNSEGATAATACRAMYMPTADSPTPSSRNPRNTLSIPVGSTLPCPAACRASFTSSMDATRAC >Sspon.05G0008600-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:7195415:7198793:-1 gene:Sspon.05G0008600-2B transcript:Sspon.05G0008600-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKSGYTRPLPLAGDTAPPPPSAVLYVANCGPAVGVTDADVRSAFGAFGEVAGVQAADDSGARTIVRFHEPGAAEAAMAALHGRPCDLLAGRVLHIRYSVPVKPKARSGGSVQVALAASELGIPGIYMVQEFVTAAEEQELLSAVDSRTWKRLAKRRVQHYGYEFLYETRNIDSKQFLGELPTFVSTVLEKIASFPGVKDCTIRLIDQLTVNEYPCGVGLSPHIDTHSAFEEMIFSLSLAGPCIMEFRKYTKGSWHAPSVVNGVDEDSSQEPECIRKAIFLPPRSMLLMSGEGRYAWHHYIPHHKIDAVGGQVIKRNSRRVSFTFRKFNDLKNLLALSFTRKMTPSGELCNAASWTLIQLEPAIFRNPLNLISFLKLSALLQLPSAAKICDNKML >Sspon.07G0012520-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:50751771:50756313:-1 gene:Sspon.07G0012520-2B transcript:Sspon.07G0012520-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DnaJ protein ERDJ3B [Source:Projected from Arabidopsis thaliana (AT3G62600) UniProtKB/Swiss-Prot;Acc:Q9LZK5] MAAPGKGGAARFAAALFVLLNLAVAIAGKSYYDVLQVPKGASEDQIKRSYRKLALKYHPDKNPDNEEANKRFAEINNAYEVLTDQEKRKIYDRYGEEGLKQFQGGRGGGGGMNIQDIFSSFFGGGGGGMEEEEEQILKGDEVIVELDASLEDLYMGGSLKVWREKNVIKPAPGKRRCNCRNEVYHRQIGPGMYQQMTEQVCDQCPNVKFVREGEFLTVDIEKGMKDGQEVLFFEEGEPKIDGEPGDLKVQALVGFEKTIKHLDNHLVEIGTKGITKPKEIRKFKGEGMPLYQSNKKGDLYVTFEVLFPKTLTDDQKAKLKDILV >Sspon.07G0035790-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7D:12996998:13001349:1 gene:Sspon.07G0035790-1D transcript:Sspon.07G0035790-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VEERRSGPHVTQTLAAGRSTPSLRPAASPRIPPIYATSRAHVPSLSAAYRRAPSFRM >Sspon.06G0031770-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:72906622:72910131:1 gene:Sspon.06G0031770-1C transcript:Sspon.06G0031770-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRHAMSEMPKSDEDVSKWCKDIFVAKDALLDKHLATGTFDEEIRPIGRPVKSLLVTLFWSFLLLFGAIKFFKWTQLLSTWRGVAFTAAGMALVTGVMHVFIMFSQAERSSSAKAARNRGEEILIRKDYKHSFHKEYHP >Sspon.01G0040760-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:35280509:35281277:-1 gene:Sspon.01G0040760-2C transcript:Sspon.01G0040760-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding PLHSLFRRGSTQMQLRNIIGQVVFRGRRCERACRAPPEPSSTARPAAKAVPSPRSRHPVTTPSLFAPKFRPNPKPPSMATPRHHLPLLLLVLATLAALSLALAPVPGPAVLGGGGRGPLLGGWAPIPDVGDKHIQELGGWALGQAKHQNLAADGLRFRRVVRGEQQVVSGMRYRLYVDAADPAGRPVPYVAVVYEQVWTRTRQ >Sspon.01G0010820-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:30117164:30121981:-1 gene:Sspon.01G0010820-1A transcript:Sspon.01G0010820-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding TMSGHRNSHGKRHSDYTENGGGKRRNPGDDTYAPGPDDTVYRYLCASRKIGSIIGRGGEIAKQLRTETQAKIRIGESVPGCEERVITIFSSSRKTNTIDDAEDKVCPAQDALFRVHERLATDESLGNEDGEEISPQVTVRLLVPSDQIGCILGKGGQIIQGIRSETGAQIRVLSNDHIPACAISGDELLQISGDTVVVRKALHQVSSRLHDNPSKSQHLLASSLTQPYPGSTHLGGSSTAPVVGITPVIPPYGGYKGDAAGDWSSLYQPRRDESSAKEFSLRLLCAAANVGGVIGKGGGIIKQIRQESGALIKVASSNSDPDDDCIITVSAKEFFEDPVSPTIDAAVRLQPRCSEKSDSESAEQSYTTRLLVSTSRIGCLIGKGGSIITEIRRTSRANIRILSKENVPKVAAEDEEMVQISGGLDVARHALVQIATRLKANFFEREGSLSAFPSVIPYHPLPSGASDEPKYLSRDTKPVGHYLYSSAFRTSDDMIPSDSYASYGGSQALGGGYGAYSGYSARSSSSYLVIVLFTMESVMNFIR >Sspon.07G0023600-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:15964925:15966423:1 gene:Sspon.07G0023600-2C transcript:Sspon.07G0023600-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSAALSTAANPTQLCRSRATLGKPVKGLGLGMGRERAQSITCQAASSISADRVPDMEKRKLMNLLLLGAISLPTVGMVVPYGAFFVPAGSGGAGGGTYAKDKLGNDITLDDWLKTHGPNDRTLAQGLKVSHPSPSSSPAAAGRHACCLVLMLTVKAAARACRVTPRTWWWSRTRRWPATGSTPCALTSAASCRGIAPRTSSSAPATDPSTTTRARWSVDRRLCHWPWFTSTSTTARSSSSRGRRPTSGPARTRGGRHEEDPTPAVLSAHI >Sspon.05G0030690-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:85663113:85670975:1 gene:Sspon.05G0030690-2P transcript:Sspon.05G0030690-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPQPSFAPRLLLLLTMALAADTTFVLLRSSLTVMTTTSAATYGRPLAVASISLIALQHPSRMYAADRNNSIGDHKRGELSTMAPEERMKTEASHKAIAAGGKMTVLHAPVGKRSIVTSLVAFFILASSIVFLFDKGQEERVQMAVEHGRQEVQLKLEAGLQEPAIRGTTEEGDATNEECNWSRGQWVYDNVSRPLYNGLKCAFIFPEVACDKYGRKDVMYQHWRWQPHGCDLPRFDAIKLLEKLRNKRLVFVGDSVNRNQWVSLVCMVEASIPDDRLKTRIFNGSLISFKALEYNATIDFYWSPLLVESNSDNPIIHRVEYRIIRADRIEKHASVWRDADIIVFNSYLWWRKQKDDMRMKVMYGSFEDGDAKLDEMEMVDGFEIAMKKLTEWLGENIDKNKTRIFFAGSSPTHSWASNWGGEDKNKCLNETEPIYKTGYKAATTDYSLMATAKSYFRTLEPKGIHVQILNITELSDYRKDGHPTVFRKQFAPLTKEQIAEPASYADCTHWCLPGVPDVWNEFLYGYLVHK >Sspon.06G0016940-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:92053931:92055578:-1 gene:Sspon.06G0016940-1A transcript:Sspon.06G0016940-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRHCRIARQVPRLLNVCLGRNTYCHHGEFDDPIEKSWAREIEISEERDEILEIQHGAHKEDMMKHATDRVMKLASERAVVVFTLSSCCMCHTVTSLMTEMGANAVVHELDKDPMGKEMERALLNMLGVGGPAVPAVFIGGRLVGGLNNVMSLLVSGKLLPMLQSVGECIWF >Sspon.03G0013180-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3B:46298820:46304807:-1 gene:Sspon.03G0013180-2B transcript:Sspon.03G0013180-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ME >Sspon.03G0028980-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:11466207:11468511:1 gene:Sspon.03G0028980-2D transcript:Sspon.03G0028980-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein trichome berefringence-like 7 [Source:Projected from Arabidopsis thaliana (AT1G48880) UniProtKB/Swiss-Prot;Acc:F4I037] XXXXXXXXXXXXXXXXXXSRSTSARLTARGGIGSPRVSTPGAAHRNWYWAAAPSGPSFDRVVLAFFLASVALVLSCALYLYVFRYLGRDRVVAEFAGGENLESCDVFDGVWVPDQRHPLYNSSQCPFAERGFNCLANGRKDTEYLKWRWKPRGCDLPRFSARALLQWLRGKRVVFVGDSMSRTQWESFICMLMTGVDDPQTVYEVNGNQISKTIRFLGVRFESFNLSVEFFRSVFLVQQIPALRHGRRRVRAILKLDKLDDLSQKWANSDVLIFNSGHWWTASKLFDMVATEYLSIELLDLCNRGCYFEAGGVLKLGTSINAAFKMALETWASWVKERIDLRRTRVFFRTYEPSHWSGLNQKVCEVTEQPTSEANGNDRREFGDILAGVVANMSVPVTILNVTLMGAFRSDAHIGLWSHPNTVLDCSHWCLPGVPDAWNELVFSHLLTN >Sspon.08G0007590-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:22758997:22760376:1 gene:Sspon.08G0007590-2B transcript:Sspon.08G0007590-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGAGAAAPFVWKTYMMVEDPGTDGVIGWGKGNNSFVVADPFVFSQTLLPAHFKHNNFSSFVRQLNTYGFRKVDPDRWEFAHASFLRGQTHLLRNIVRRGSSSGAGAGGGGGGKRKDAAASGSPASASASASGDDMAMVATEVVCLKQEQRAIDDRVASMWRRVQETERRPKQMLAFLLKVVGDRDRLHRLVGDAAAPDNGFTSGAAAEPPAAAEGGEKRARLLLDGDNMVALGPEAIDFAGFYSGGGVFGDVAAGSGGGGCSFAFGG >Sspon.02G0037740-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2B:35292299:35293741:1 gene:Sspon.02G0037740-1B transcript:Sspon.02G0037740-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHQSKEEAVIRDAMAPAVVEAVVGTLRTPKFVGGSKQEAVVHDAASTSADTVETGTQNAMIFWDEVDDDDYWVQQEDLDAPLDVAQVVNPWLDFLMATVAPETAALLRTIHANTNIFNVGTHVNANNQFAAQTRTEASATARPSAPVKSTIFAGSTEEEDGNEWYKSIARDAQRLEQMEEPEIQEMPSPLRLPEAPPKEEHCGQKRPSAGEDGRELTLEDYCKIWGLHPSELDPDEPAPSTKRQSRVLPLADDEVAKFDCGICLETLPILDLFHGMQCDHKFCAQCMATYIEGRIRDGGVSILCPDPACKEAAGEGSNNGGVLNPEHCKSIDFAAFCIWGDRLTEKAIPQDQRVYCPNPRCGLMLERTFGANKAPCKAPCPACNHPMCTTCGLGWIIDGRDDDHHNCDEGKGAALVKELAAQRRWKQCPSCKMVVERIMGCDTMHCRYIYWTLLAFYLLSQFLLVFNPHSDLLYSITNS >Sspon.05G0023940-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:18798283:18802043:1 gene:Sspon.05G0023940-3D transcript:Sspon.05G0023940-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVNIGLLVPLMAQYSAPIWATLVAGFFMLLALSLSMYLIFEHLSAYNNPEEQKFVLGVILMVPCYAIESYISLINPNTSVYCGILRDGYEAFAMYPYFAAVLNFSQYWALYCLVAWYTATKEELAPIKPLAKFLSFKSIVFLTWWQGVVIAIMYALGLLRSPLAQSLELKSSIQDFIICIEMGIASVVHLYVFPAKPYALLTNQSPGNISVLGDYVSSDPVDPFEIKESNRPTKMKLPQFEPDERSVTNIKESVQDFVVGSGEYVIKDFKFTVNQAVRPVEKRFDKLMKKNDKRKKSQDDNWVSAATPERPVRGIDDPLLSGSTSDSGVTKGKKHRRVVSSAAAADSWGGGDQASDGYEIRGRRWA >Sspon.02G0000720-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:2568842:2571900:-1 gene:Sspon.02G0000720-1A transcript:Sspon.02G0000720-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGLRARAAAQLPRPRPSPSPSSAPAASLSLARPLAALAPLASAQPSSLSARWAAPMRPGGTGGHSRPTTRVLCTAAGSVQREGKELLVQHLLVGEKDVRLLVDLEKSIIAGGADLSDLAVEHSLCPSKENGGMLGWVRRGQMVPEFEEAAFSAPLNKVVRCKTKFGWHLLQVLAERDQCVLQDIDPEELHTKMQDPSFLEEAQLIDVREPDEVAKASLPGFKVLPLRQFGTWGPCHHGMRSMQVAKWLQSQGFKKVYNVAGGIHAYAVKADSSIP >Sspon.07G0028510-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7B:67150976:67151354:1 gene:Sspon.07G0028510-1B transcript:Sspon.07G0028510-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPASNKPYHVSTKRSPPVVVLGVILLLLVVVCSDDGSGGGLVFLLAAASDGESLCTGSSGSMDHRSGPPTPHSDSIDPQKQQYTHVGIGPSCT >Sspon.02G0019060-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:61368030:61372441:1 gene:Sspon.02G0019060-1A transcript:Sspon.02G0019060-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding METTSTANEQTGRGAMWELERNLDQPMDAEAGRLRNMYREKTYPTLVLLQLAFQSLGVVFGDLGTSPLYVFYNIFPREIEDTEQVIGALSLIIYSLTLIPLVKYVFIVLRANDNGQGGTFALYSLLCRHAKINTIPNQHRTDEELTTYSRYTYDEKSLAAKIKRWLEGHQIRKNVILILVLFGTCMAVGDGILTPAISVLSATGGIQVEEPSMRNDVVVIVSVVILIGLFSMQHFGTDKVSWLFAPIVFIWFILIGILGAVNISKYDQSVLKAFNPIYVYRYFKRGKTSWASLGGIMLSITGTEALFADLSYFPVQAIQIAFTVVVFPCLLLQYTGQAAYIAQNKDQVSHAFYHSLPDSVLWPSLIVATAAAVVASQATISMTYSIIKQALALGCFPRVRIIHTSKKYLGQIYSPDINWILLIFCIAVTAGFKNQSQIANAYGTAVIMVMLVTTFLMIPIMLLVWRSHWTLVILFTALSLLVEIPYFTAVVRKIDQGGWVPLVFAVAFLIIMYVWHYGTLKRYEFEMHSKVSMAWILGLGPSLGLVRVPGVGLVYTELASGVPHIFSHFITNLPAIHSTLVFVCVKYLPVYTVPVDERFLVKRIGPKNFHMFRCVARYGYKDIHKKDDDFEQMLFNSLMLFVRLESMMEEYTDSDEYSTLELNQQAGNANQRINGISTGSNMDLSYTSHDSIIQVQSPNHMGNSQVVLSGQMYQTVGDEIAFLNACRDAGVVHILGNTIIRARRDSGFIKKIAINYMYAFLRKICRENSAIFNVPHESLLNVGQVFYV >Sspon.01G0043250-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:68851762:68852675:1 gene:Sspon.01G0043250-2C transcript:Sspon.01G0043250-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGRMPGPGGGGVSEQREAAFDFGRHTGTAAVPSLPPSPIVPPKLFLAAGSGVVVSGEEALFPPPAELAGAVVMMVSPTSTLQAPTGGSPTSAASTAVPFSRRGATTTSSSSGGDYIDRRRCKSRSWRPSQQRPWEARPVGVGLAGALMNGDAEALPPAATVLTTGQRIRPCRTSAPSSAPAGSPESRRRCVLSPREVMEASEDYTRVIARGPNPRTTHIFDDRVVVVEDEFLRWCHGCSKDLGQGNDIFMYRGEMAFCSHECRYREMLLFDEEEESS >Sspon.07G0004770-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7C:7464197:7464934:1 gene:Sspon.07G0004770-2C transcript:Sspon.07G0004770-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTQEDDPIYGRLRQSAARSPPAIDRHAVRLVTRVSVALAAIATLSLLHLLRHASIYCFAASAPLALTISLAPFPRTSCDAASRRVVPPDRRLAKLRASPRWRRRAASLATSAFPPLRSLGLLAAPSRVLCLAAGAGHAVDALRAAGTRDATGIDLVDFPPLARRADPHHLPFSDGAFDLVFSDDPAAISGALFPSRLASEAERAVRRGGGIALAFDREIETAAVATLFKRSRVVDVKDVTLDGSQ >Sspon.01G0031350-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:107074423:107081032:-1 gene:Sspon.01G0031350-2B transcript:Sspon.01G0031350-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCSLGGASTPEALTETLNYLKRRSTADKSFSYEGMLHSRGELLLMLDADGATKVTDLEKLEAQVHALAKKAKSSPGPSTSSSQNVSDVEIAVFGSRAHLEKQALATCGFKMFTRAAARKLFTNIRLKRWCFDVELVYLCKRLRIPMIEVSVNWTEIPGSKVRMTSIMHMLPMRTAAAPRLAAMRSAKCRRRCRRFSTAPAWRHPPSAARAAEQHCLRLLERSSAPSAVLQSLAFLLKSGLHANPLVLTRLFTSSASAAPSLLEPLVATLLGPSVPMDAFLVNTLIRAHAASPLPSARLRATAFFPLMLRSAVLPNKFTFPFLLKACAALPGSPGVGLQAHAAALKFGFATDQYVSNTLIHMYSCFGGEFLGDARNVFDRMAKSSAVTWSAMIGGYVRGGLSSDAVGLFRKMQASGVRPDEVTVIGVLAAAADLGALELARWVGRFVEKEGIGKSVTLCNALIDALAKCGDVDGAVAVFQGMEERTVVSWTSVIDALAMEGRGKEAVAVFEEMKTAGVRPDDVAFIGVLTACSHAGMVDEGYGYFDSMKMEYGIDPKIEHYGCMVDMFGRAGMVERAMEFVHTMPMKPNPIIWRTLVAACRAHGRLELGESITRNLLHEYPAHEANYVMLSNVYALTQRWKEKSEIRREMSKRGIKKVPGCSLVELDGEVHEFIAGDESHPQWKEIYMMVEEMARELRRVGHISATSEVLLDLDEEDKEGALQWHSEKLAIAFVLLRTPPGTQVRVVKNLRGVSGDKLWFA >Sspon.01G0036070-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:13750057:13751590:1 gene:Sspon.01G0036070-2D transcript:Sspon.01G0036070-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding KDEDVALHRENYAGSQAERSSKAAADAEANKVANKLKGTKLSDGGTKKEPKKQSGGSKSKTAEADITVAKLDIRVGLIRKAEKHPDADSLYVEEIDVGEDAPRTVVSGLVKFIPLEEMQNRKVCVLCNLKPVAMRGIKSHAMVLAASNEDHTKVELVEPPESAAVGERVTFAGYSGEPEASLSGKSKTWEKLAAELHSNGELVACYKDVPFTTSAGVCKVKTIANGEIR >Sspon.08G0007920-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8B:25415111:25418338:1 gene:Sspon.08G0007920-2B transcript:Sspon.08G0007920-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAHAPQRRRGVLLLLLVAALVAALPATCAAARTRSKKSYTAIFSFGDSLSDAGNLIVNGTPKALTTARPPYGMTFFGKPTGRCSNGRLVVDFLAEHFGLPLPPPSQDRGKDFKKGANFAITGATALEYSFFKAHGIDQRIWNTGSINTQIGWLQDMKPSLCKSEQDCKDYFSKSLFVVGEFGGNDYNAPLFSGVRFSEIKTYVPLVTKAIANGVEKLIELGATDLLVPGVLPIGCFPVYLTLYNTSNKADYNARTGCLRRYNRLAFHHNRELKQQLDELQKKYPKTKIMYGDYFKAAMQFVVYPGKFGFSTALQACCGAGGQGNYNFNLKKKCGEQGASVCSNPSSYVSWDGIHMTETAYRKVADGWLNGPYAEPPILKS >Sspon.08G0022640-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:50481110:50509722:-1 gene:Sspon.08G0022640-1B transcript:Sspon.08G0022640-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGSTNPFGQSSTSPFGQTSFGTPQGFGQSSTAANNPFAPKPFGSPMTTFGAQTGSSLFATTSTGAFGQQQSTPAFGTTSTGAFGQQQSTPTFGTPSSSPFGSSTPAFGASPTPAFGATSSGMYYAFSIAFLSGWFTVLIIPGSLFGQKPSFGGFGSSPSQSNPFGSTFQQTQSTFGSSTFGSSTTPAFGTTTMPAFGTTTPAFGTTTTPAFSALTTPAFGSTSTSLFGASSTPAFGSTAFGTSSTGFGTSGTTAFGVSSTTPGFGSSSTPSFGTSASTFSFGSSPSFGQTTVSSGSTPFGTTPSPFGAPAPAFGSQTAAPAFGQPQFANQAGGTRIKPYSQTPDVDSATSGTQPAAKLDSISAMPEYKDKSHEELRWEDYQRGDKGGPNTSGTPAVAPSFPSSTPQNAFVSTSNSNPFNQPATNPFSTNNLFAPKPASTITSPFSTASFNNVSVASPSPFTSSSSTTMFGQTGVSTFPASNSPSLFGNTNPAPSTSPLFGTSMTNNSSPFGSGSSLANTQSAPLFSSAFAQQTSSTPAFSSGSLFGSTNPGGLFSSSGPSLFPTSTFQPSPPAQTSNIFSFQPAIQPGQTPSQSSMVMQPAPVSNPFGTLPAMPQMSIGNGGSSPSVQYGISSLPVAEKPLPSRSLSMAVPRHLSQRRIKLLPRKYNPISDSKVMNRLTYASTESERGKSAMSPSIRPPAVENDNQHEARAMVQHGHGNVTSVERLLPKLPRADYFTEPSVEEIAAKERAEAGYCGRVRDFVVGRHGYGSIKFLGETDVRCLDLESIVEFNNREVIVYKDDNKKPPVGEGLNKAAEVTLLNIKCVNKKTGEQYCKGARVERYREMLVKKAEEQGAEFVSFDAAKGEWKFRVKHFSAYGLWRRAIMHCISTMSTFVAYCFIEEPLRDRAITPVATLVITVCGFRMGVINKDRDVVRGVLKWMILLVARVRAAGGLYCISIFSSGQSGVSQLGNAYQQHQRRTSPLTRKRPEKFRRVRDSGTRFQLIIYELWMRGEAVRVVKHRDVACTILQLTTFFVPRGIVHAICIAVSQFGPSGMNQPHNAYQQHERRDESAREKQTGEALQKVNKEHHIVEKAVLDTSSVEVFPTPTSEQSVDCSPIVQQVPAVIIHNADPVPAESVEATLAVEKTKEHQVLAKNFKLLEMELEQLHNKLGSQPSLPDTSTSELHECSVAGGDTLGSLDLVAPNVLQPQPNTVANSLLPCNAKCTQSPFNPAHHDPVCNIQNHDKVQHIDPHMDSLAVRDNQAKTFIAELLEQQQNHDQTDNTPEKNQGDTTRSPINPSEHVPTLSIQNQNEVPHVDSNVGSFLGNVEVDMAPGDNQAPNSDQNHDLLDNTADNNQVQTNNTSTLTQDSEATATMVVGGALPSLRSGRQDEGDFAEAAYLGLGWVDCIC >Sspon.01G0014860-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:43619375:43622230:1 gene:Sspon.01G0014860-4D transcript:Sspon.01G0014860-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-amylase 3, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G17090) UniProtKB/Swiss-Prot;Acc:O23553] ALTLRSSTSFLSPVDPTSKLLHKPADEAPPCCVAVPPAAPSRRPRALRASAAPSPAAAAASDRAPAAELLHGGGGAGQQHGLPRGGVPVYVMLPLDTVGPGGQLSRQRAVAASLMALRGAGVEGVMVDVWWGVVERDGPGRYDWEAYAELVRMVERAGLRLQAVMSFHQCGGNVGDTCKYPLPPWVLEEMSSNPDIVYTDRSGRRNPEYISLGCDTLPVLKGRTPIQVYTDYMRSFRERFRDYLGNVIAVIQVGMGPCGELRYPSYPEANGTWRFPGIGEFQCYDKVMRASLEAAAVASGHEEWGRGGPHDAGQYKQMPDDTGFFRRDGTWSTEYGHFFLEWYSRMLLEHGDRVLAAAEAVFGGTGATLSAKVAGIHWHYRTRSHAAELTAGYYNTRDRDGYAPIARMLAKRGAVLNFTCMEMKDEQQPQHASCSPELLVQQVKAAASKAGVELAGENALERYDEAAFSQVTSTARGAGLAAFTYLRMNKTLFDGDNWRQFVSFVRAMADGGARPALPRCDTGHSDLYVGFVDASKQRKAPEAEGAATAAAV >Sspon.02G0033080-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:3290435:3295479:-1 gene:Sspon.02G0033080-2D transcript:Sspon.02G0033080-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADEEHPERREEASELAPFDPTKKKKKKKVIIQEPSDEVDKLAEKTETLAVTEPAELNFTGMKKKKKKQVDLDSTIADLADGEDTQDDQAVEEQGEGIELGGGPTYPWEGTDRDYKYEELLDRVFNILRENNPDLAGDRRRTVMRPPQVLREGTKKTVFVNFMDLCKTMHRQPEHVMMFLLAEMGTSGSLDGQQRLVIKGRFAPKNFEAILRRYINEYVICNGCKSPDTILSKENRLFFLRCEQCGSSRSVAPIKAGFVAQPRAGCIHLLKDRRRPDVGEACEVAVPAASGGGHRRVQHGRLRPALVEVGNAGKPSYVRPKAWLDLPGLQLPPIDWLEPLVVLYLIAASRAAAQPLVGFLVQELENGGDREREIKLS >Sspon.02G0028150-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:102184255:102187645:1 gene:Sspon.02G0028150-1A transcript:Sspon.02G0028150-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQLPVLNVMLHCRDGWIRGVRSADLRRP >Sspon.02G0014990-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:40402259:40407961:1 gene:Sspon.02G0014990-1A transcript:Sspon.02G0014990-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMQWRSKSPKSAAPASSSRSREPTSPDRSGKTAASSGLSGERASSAAPDREDGVGRMRCRRIERGDSLPSSGEETAFRSKCWRKKQKLLWKFNGNVQAAYAEEEGNARAALKEAEEESIRQNDGTILKEGCLDDEELLMDQSALHASHSSSRSGDAQEEALFDREETNLLLKMDKKPKPCSGDPGKIAQDEDEDGYSDKKPLTVVEDEHSDKIGAHATDEDHKQEQGRARGNVQDLLNMDDETLSNKVLHFQKLLNYVSPVRDYTLVHNDDQLIELHEQLALYRIRAYELTVNRKLAKLDDVDLKREYPPSKLYDKGFFTHYEERLEWYFDPELYWHARFDNYQRLVLRYWIEDYLGDSTIERDKIKGVARMQSLETAAGFPNVSPLLVSHCFDEYFSSICSDYSDKRLCVLYFEIWKLVAKGKMSFKEALLEFGAHVAGIDKMASDDEARQLIREAVIRM >Sspon.06G0010110-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:55384381:55400586:-1 gene:Sspon.06G0010110-1A transcript:Sspon.06G0010110-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDIQEDDEDTSGSENPDGDSSYGTAYEAFGGGKNGKEACHAIAALCEICSIDSLISNFILPLQGDHISCAEGRIHCSLNINTETGRLSARTPNLQNQPALEKDRYKIRQAFVAAPGNSLIVADYGQLELRILAHLTNCKSMLDAFKAGGDFHSRTAMNMYQHIRDAVHEKKVLLEWHPQPGQEKPPVPLLKDAFGAERRKAKMLNFSIAYGKTAVGLSRDWKVSVKEARDTLKLWYGDRKEVLAWQKSQKKLAREKCEVYTLLGRSRHFPNLTQFGPGQRGHIERAAINAPVQGSAADVAMCAMLEIERNVHLKELGWRLLLQVHDEVILEGPSESAEVAKAIVVECMSKPFYGTNILKVDLAVDAKCAKSCFRKWASKYCPDAKYMNVNSDTQIRQLLFGGIENRHRSGETWPQCKTFKVLNEENVATEGKKTSKYRTIELCSIVEDLKTDMFTPSGWPSASGDALRSLAGKIPTEYIYTMDDIQEDDEDTSGSENPDGDSSYGTAYEAFGGGKNGKEACHAIAALCEICSIDSLISNFILPLQGDHISCAEGRIHCSLNINTETGRLSARTPNLQNQPALEKDRYKIRQAFVAAPGNSLIVADYGQLELRILAHLTNCKSMLDAFKAGGDFHSRTAMNMYQHIRDAVHEKKVLLEWHPQPGQEKPPVPLLKDAFGAERRKAKMLNFSIAYGKTAVGLSRDWKVSVKEARDTLKLWYGDRKEVLAWQKSQKKLAREKCEVYTLLGRSRHFPNLTQFGPGQRGHIERAAINAPVQGSAADVAMCAMLEIERNVHLKELGWRLLLQVHDEVILEGPSESAEVAKAIVVECMSKPFYGTNILKVDLAVDAKCAKSWYAAK >Sspon.06G0003670-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:11184320:11195605:1 gene:Sspon.06G0003670-1A transcript:Sspon.06G0003670-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MYNGEEMNYSTPQYLRAYVSQYDLHHAEMTIRETINFSSKMLGTNNEFEMIGEAIRRKKGVINKVDQDLDSFIKLFSQATTFGEGSNLTTNYIIKILGLSECADTLVGDEMRRGISGGQKKRATVGEMLVGLARCFFMDDISTGLDSSTTFEIMKFLQQMAHLMDLTMVISLLQPPPETLELFDDIILLCEGQIVYHGPRENATDFFETMGFKCPDRKNVADFLQEIAVMALVISTLFLRTKMSHNSVLDANKYMGALFMAVVIVNFNGMTEIAMTIKRLPTFYKQRELLALPGWAILCSVYLISIPISLVETGLWTGLTYYVIGYAPSPIRFIQHFLVLFAMHQMSMGLYRFLAAIGRTQVMANMLGTTALIAIYILGGFVISKDDLQPWLRWGYWTSPFTYAQNAIALNEFHDKRWATEFYYNDANTVGEAILKIRGLLTEWHRQMAENGNSSNDQVILPFRPLSLVFDHIHYFVDMPKKRIQISHQEMTKNGVTKKKLQLLQDVSGAFRPGVLTALMGITGAGKTTLLDVLAGRKTGGYIEGTIKIAGYPKKQMFIEEVMSLVELTDLKNAMVGIPGATGLSAEQRKRLTIAVELVASPSIIFMDEPTTGLDARAAAIVMRTVRKTVDTGRTVVCTIHQPSIEIFESFDELLLMKRGGQLIYSGSLGPLSSNMIKYSSILQPVVAMERVVLYREKAAGMYSTMAYAIAQVLIFSSIVYPMIGFQLTAGKFFWFFLYLMMSFMYYTLYGMMTVALTPNIEIAMGLSFLIFIFWNVFSGFIITREMMPLWWRWAYWADPAAWTVYGLMFSQLADWTEKILVPGLGKQMVREFLEGYLGLQDRYFELVTCLHLTIIGLFAFLFFLAIKHLNFQRR >Sspon.01G0030010-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:104320725:104321364:-1 gene:Sspon.01G0030010-1A transcript:Sspon.01G0030010-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSKQMLAAVVAASMVLVFLPTLATATDHVAKAGRSAFKYASSSHNVAEVSGPDFKACNKAAATSVWNSGDDQLSLDKPVRRWFICTVGSHCQLGMKLNVTILPATTPTPLPAPAPAPVPSQPQLSRRALSNW >Sspon.08G0022700-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:51884290:51884950:1 gene:Sspon.08G0022700-2C transcript:Sspon.08G0022700-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTFQQFQPTVTSVQDLLRNGHFVGYQRGSAVKYWLEEMGFHKKNLLGYGTVEEYAEALQMGSGNGGVSAIFDENPYLKIFLSKYCEGYTMVGPTYRLGGFGFAFPIGSPMVHEISKAIMTPGVQEEMARIERKWFGDPGACASSSGGINSSRLGFSNFSGLFLISGLTSGLALLISLSIFVYQKRDELRAEASRI >Sspon.06G0011200-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:43848438:43852696:1 gene:Sspon.06G0011200-3D transcript:Sspon.06G0011200-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPPLYDYLLANVREHPILRELREETAAMRGSQMQVSPAQAQLLAMLVHILGARRCIEVGVFTGYSSLAVALALPESGHLVACERDERCLEVAKKYYQRAGVAQKIDVKHALAADSLRSLLDCGEASSYDFAFVDADKRMYEEYFELLLKLVRVGGLIVMDNVLWYGRVADPLVDDPKTISIRNFNKKVLEDKRVNISMVPIGDGMTICRKLAKI >Sspon.01G0031380-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:108084921:108087424:-1 gene:Sspon.01G0031380-3D transcript:Sspon.01G0031380-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding CGMALQLQAAAPCPPLPLASSRGRLAALRPPPPFVSIAVADASSPGPSARLSCRGPAPRWRRASVRARAGAGGGGRRESPYEVLGVSPSAAPNEIKRAYRRLALKYHPDVNMQPDAQEKFLRIKHAYNTLMNSESRSKYASSSSDSSWSSSSRESKSAAAEEPFYVAADFLKDLQAEFQNWEAGLNSNQKPKSLWEENQAIGEEFVEFLENELKIDDSSPEDVTGNDPYSQFGQQAKNAKDDRTSTKSFDDGLSEIEAALEKLKKELGL >Sspon.07G0007390-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:11933080:11936822:-1 gene:Sspon.07G0007390-4D transcript:Sspon.07G0007390-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEETQPEAAAAAAAAPAAAEVVVTEAAPAEAEVPAAAETEAEAEAEKKADEAAVTADDAGEGTGSFKEESNLVEDLPDPEKKALDEFKQLIAAALAAGEFNLPPPPPPPKAKEEPKAEETKTEEAKTEEPAKEEPKAEAEAAVEEPKAEVAVDAPAEEVKTEVPPAEEAKAETVAEEAKPAESEPQEKTVVVAEEETATKAVEAIEETVVSAPAATSEETAAPEAVAETEAAAPEPVLIWGVPLVGDDERTDTVLLKFLRAREFKVKEAMAMLKSAVLWRKRFGITSLLDADLGLPELENVVFYRGADREGHPVCYNVYGEFQDKDLYEKAFGDDEKRERFLKWRIQLLERGILSKLDFSPSGICSMVQVTDLKNSPPMLGKHRTVTRQAVTLLQDNYPEFIAKKVFINVPWWYLAANKMMSPFLTQRTKSKFVFASPAKSAETLFRYIAPEQVPVQFGGLFKEDDPEFTTSDTVTELTIKPSSKETIEIPVTENSTIVWELRVLGWEVSYGAEFTPDAEGGYTVIVQKTRKVPANEEPIMKGSFKVGEPGKLVLTVNNSASKKKKLLYRSKDYATGTWGCLQKTSSKMTRLPCRPNRKGRSACAVNDGRKLSVGGTSSGATAPSKAVIVFLKRQHAKGKTPQSAKVTKGRAGTAVADKRTRKNMGLLCSSCKGRQAELLKTEEQLPCLMQCKAL >Sspon.03G0005380-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:30898758:30901871:-1 gene:Sspon.03G0005380-3C transcript:Sspon.03G0005380-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPPEVAVVAVAALLLVAAAAAAESAAPLPAALRLERALPHKGVALEELRRRDAARHRASRRRLLGAVAGVVDFPVEGSANPYMVGLYFTRVKLGNPAKEFFVQIDTGSDILWIQLESFSPDSSSTSSRITCSDDRCTAALQTGEAICQTSDSPSSPCGYTFTYGDGSGTSGCSNSQSGDLTKADRAVDGIFGFGQHQLSVVSQLNSLGVSPKVFSHCLKGSDNGGGILVLGEIVEPGLVYTPLVPSQPHYNLNLESIAVNGQKLSIDSSLFTTSNTQGTIVDSGTTLAYLADGAYDPFVSAIAAAVSPSVRSLVSKGSQCFITSSRFT >Sspon.01G0052830-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:26766601:26767793:1 gene:Sspon.01G0052830-1C transcript:Sspon.01G0052830-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSGISDVPAARAAVAANHLNEARDGVARLRAFLLHLDDQRAPWAQLEIDGVLTKLSSAMSALDVSAGSDGARPQQSGSSCGNKRKQSSSKSRSQRPSDKKITATLEDGHVWRKYGQKEIQDSPCPRSYYRCTHKSDQGCSAKRQVQRCETDTSKYVVTYYGEHTCRDPSTIPLIDHAAGALAELDHANNLISFGPSSTNNNANAAAAASNGAGAASSSQYLQAMGGSAAADQLSTSWCTSDDVFSSSAGSFMQVDELIGAVVGSAGVVTSAAAPDRGVLGGVASGGRRGTASFPPSPNSLGFVMGSLGSIGGGEDDDDMFRLDP >Sspon.02G0029930-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:105457108:105464712:1 gene:Sspon.02G0029930-2B transcript:Sspon.02G0029930-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleobase-ascorbate transporter 3 [Source:Projected from Arabidopsis thaliana (AT2G26510) UniProtKB/Swiss-Prot;Acc:Q8GZD4] MGETNEQQPPPPVAAPPPMMAMMGPPVGAGAIHPPHEQFHHLNYCVHSNPSWVQVAALAFLHYIVMLGSTVMFVSVIVPAMGGNAGDKARVIQSFLFMSGINTLLQTLIGTRLPTVMNASFAFVVPVLSIAREIESNNDLLNSHERFKRTMRTAQGALIVASILNMILGFSTIWAAYAKKFSPVIMTPVVCVVGLGLFQLGFPQVGKCVEIGLPMLILAVIVQQYVPNYFSYIHQRVTFLFERYSLLLCIGIVWAFAAILTAAGAYDHVSLKTQQHCRTDKSLLISSAPWIKVPLPFQWGPPIFTAGHSFGMMGAVLVAAFESTGAHFATARLAGATPPPAHVLSRSVGLQGIGMFLEGIFSCPAGSSVSVENIGLLGLTKVGSRRVIQISTGFMIFFSLFGKFGAFFASIPLPIFAAIYCILFGIVAAVGVSFMQFANKNSMRNIYIIGLSLFLGISIPQYFNEYTSSADGRGPARTNAGWFNDIINTVFASGPTVALIVASVLDNTLEFRGYESDRGLSWFQPFLRRHKGYSDPRNEEFYSFPIRVHDFIPSRFL >Sspon.07G0031310-1T-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7C:21475788:21476090:1 gene:Sspon.07G0031310-1T transcript:Sspon.07G0031310-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKEEGEGQQQREQPTPAEGGAGGSGGGGRGGRCSGGCCGAVRPQCAAALLLGAAVALHALPAAALRGARRPRGGAGSGRRVRRSARIAGSRAPRFNLPP >Sspon.05G0015450-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:59448646:59458817:1 gene:Sspon.05G0015450-2B transcript:Sspon.05G0015450-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding QLDNNNFSGSTIPSSYGNISTLLKLSLRNCAWKDLFLTDLSWNQLRGAIPASQFASNITTIDLSHNYLNGSIPGIFSGLPNLQRLSLDYNNLTGSVPSNIWQNIDLSGNRSLILDFQNNSLNNLSTPLSPPANVTILLHGNPVCAAQNQLNISQYCQSATVVVPEPGGSADNSTLCQPCDLPFERMPLSPIPCICVVPVYVDYRLKSPGFWNFIPYESQFQQYLSSGLSLSLYQLEVSTFMWEEGPRLRMDLKLFPNNTPYFNANEVLRLNGMFTGWQIPDSDIFGPYELLSFNRGWYNAILPQGRKSGLSTGAIVGVVIAAFAAAAILSSLVTIIILRRRSRHSSSKKRSAKRISMKITGVKDFTFDELSHCTHDFNDSTLIGQGGYGKVYRGVLADGTVVAIKRAQQGSLQGSKEFFTEIELLSRLHHRNLVSLLGYCDEEDEQMLVYEYMPNGNLRDHLSARAKVPLDFPMRLRIALGSSRGILYLHTEADPPIYHRDIKASNILLDSKFVAKVADFGLSRLAPLPETEGSAPGHVSTVVKGTPKFAALALRCCQDETDSRPSMVEVVRELETIWRMTPGTENIASSESGVLGMGSSSSNTTSTPTASGSRMASSDDHYISSMEVSGGNLLSSRSLRNEAMR >Sspon.03G0011470-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:58242702:58243335:1 gene:Sspon.03G0011470-1T transcript:Sspon.03G0011470-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAKRSLTWQLINAATATRAMHDHMQPMRGKPSYPQVAKQFTQKLKPCCESMDPSGYYRQVDEDGGAYVCSNPENHFFWDDVHPTQAGWEAVMEHGTSRTSSTSPTSWE >Sspon.03G0024350-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:74133657:74135968:1 gene:Sspon.03G0024350-1A transcript:Sspon.03G0024350-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDTSCLRFLYSSSFALLLPSLISLVFPCCKLTYALDTLLPGQFLNANHSLISKNGAFKLGYDCSIYQGYYCDWGIWFAKPSCYAELFPLWKPDDHSYNLPVSFTFSISENGVLNFTINGFSSLFRPDTRTTSISAIAVLLDNGNLVIRDRVNSSMVIWQSFHYPTNVLLSGGHLGFNKITGNNITLVESVGIWTFTLSLDTTRRRGFIIQGPDGQMFAGTFPEWMDIHEDGNYALTFNDAYTYIRLDKYDLLNKGNVLLFCGLPLKVNPLPIVGLGPLRCICPVGFNSLSTDAAWIANGCSRNDPLNCADIKVTFYPIDGIYRYPQKALTLEVTNMSECESSCLRDCTCTAFAYSTSCLLWFGELRNIIVLNSDLKNGNRLYIRIATKQQSGSRTVPWKIVVLESMIGVTAVIVISLILLLRQRRKLYKARTMHINIVRLLGFCAEDSGRFLVYEYMANGSLSNHLFSMSSSKLSWDLRYSIALGIARGLAYLHEGCKDCIVHCDIKPDNVLLDVEFCPKIADFGMAKLLGRDYSRVLTTMRGTIGYLAPEWISGLPITYKADVYSYGMMLLEIISGRRNSEKIKEGRFTYFPIYAAVKVNEGDVMCLLDSDLEGNADSEQLERACRVACWCIQDAEDHRPMMGQVVRMLEGVMDVQVPPVPRSLQNYVGMEDSASVDFHISDEVS >Sspon.05G0019790-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:81980263:81984385:1 gene:Sspon.05G0019790-3C transcript:Sspon.05G0019790-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GLDH [Source:Projected from Arabidopsis thaliana (AT3G47930) UniProtKB/TrEMBL;Acc:A0A178VN92] MRHLLLSRFLLRRRSGGGIPTADHHHHHLPLLRALSSAPSPVSSDAELRKYAGYALLLLGCGAATYYSFPLPADALHKKAVPFRYAPLPEDLHAVSNWSGTHEVHARVLLQPDALPALEGALAAAHKERRKLRPLGSGLSPNGIALSRAGMVNLALMDKVLDVDAKKKTVTVQAGIRVAELVDALREHGLTLQNFASIREQQVGGFTQVGAHGTGATLPPVDEQVISMKLVTPAKGTIELSREKDPELFYLARCGLGGLGVVAEVTLQCVERHQLVEHTFVSNADEVKKNHKKWLSENKHIKYLWIPYTDTVVVVQCNPPSKWRTPKLGSKYGKDEALQHVRELYRESLKKYRTEAESNDPEIDTLPFTELRDKLLALDPLDKDHVMKINKAEAEYWKKSEGYRMGWSDEILGFDCGGQQWVSENCFPTGTLAKPSMKDLDYIDKLLRLIEKEEIPAPGPIEQRWTAHSKSPMSPASSSEEEDIFSWVGIIMYLPTSDARQRKEITEEFFNYRSLAQSLWDDYSAYEHWAKIEVPKDKDELAEVQARLRKRFPVDAYNKARMELDPHKVLSNAKLEKLFPVLEPVHQTK >Sspon.02G0009050-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:25801339:25817996:-1 gene:Sspon.02G0009050-1A transcript:Sspon.02G0009050-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVRAAAVLVAAAALALLLPGWAAAEWTLTKKGSVITYDARSLMIDGKRDLFFSGAIHYPRSPPEVRSHARDSLADEPNEMQSRRAAAGRLVWPKLLERAKEGGLNTIETYIFWNAHEPEPGKVKDIYSDGRVGTNRSKIKEHDVLNLSSAVRYNFEGRLDLVKFLKMIQEHDMYAIVRIGPFIQAEWNHGGLPYWLREIDHIIFRANNDPYKKEMEKWTRFIVQKLKDAELFASQGGPVILTQIENEYGNIKKDHATDGDKYLEWAAQMALSTQTGVPWIMCKQSSAPGEVAQIFELPEENLCLSFLSNNNTGEDGTVIFRGDKHYVASRSVSILAGCKNVVYNTKRVFVQHSERSYHTSEVTSKNNQWEMFSETVPKYKDTKVRTKEPLEQYNQTKDASDYLWYTTSFRLESDDLPFRGDIRPVLQVKSSAHSMTGFANDAFVGSARGNKQVKGFMFEKPVDLKVGVNHVVLLSSTMGMKDSGGELAEVKGGIQECLIQGLNTGTLDLQVNGWGHKAALEGEDKEIYSEKGVGKVQWKPAENDRAATWYKRYFDEPDGDDPVVLDMSSMSKGMIFVNGEGVGRYWVSFRTLAGTPSQAVYHIPRPFLKPKDNLLVVFEEEMGKLDGILVQTVTRDDICLLISEHNPGQIKTWDTDGDKIKLIAEDHSKRGTLMCPPEKTIQEVVFASFGNPDGMCGNFTVGTCHTPNAKQIVEKECLGKPSCMLPVDHTVYNADINCQSTTATLGVQQTEEPIESTHSAISSTNQSNQTKLATAGLG >Sspon.05G0027900-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:56510833:56524425:-1 gene:Sspon.05G0027900-2D transcript:Sspon.05G0027900-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MREGGRAPFGTGFGFPSTAGPGKSGKSQLVDRPIERCPGNGTQCKLQQTRCLRNTSARQAEASRCSQGNRSAHTAGTRPVSNPMGLLRWSGVQRCMQPCTPPASNSKNVRSCQAGQSMPRACTPLASTTLNSRNADRGGSNATGRFTGSTENQSVQPVRNALFMEPPVHPKEGMHAVTNFRDDVHREDKRAHVPAEPIRACAVAARRIRACAAATPVPELDPPHQCVICRHRARSVGGEREGAAGVKGRRESAAIAEASPQSGSIARPRATSRRGSRIWAREAIDLHAAPPRRSTPPTPPSTARPAVAGPGRHRPACHRLRREEEEEREREKDKESEGARSAAWGRPRRESERALGESEEETPVRLPQRPTPPPPAPARHAHRAGSEPAASGGARASPPTAADLARPGGCTSTNGTDLGSAGWQSATDGGCASSEDGTDDMAAVRRCGGEKGWMRDRWAASWPTIGGRTDGLTQNYRYGKANRQPSVFAPFDPCTWEATLLLNRLMLVTKPLAMTDERTEQPRMMSSSRRDNHLLLLLGVLLAVVQHLLPPMAVVVDALDAGYYAATTPCRGSTTPTRPSRRPSSGCCSTTASSGLRRLRHDRAHAAPHHLRARRDPQPHAPRRALEDACPGAVSCADALALMARDAVALLGGARYGVALGRRDGTVSDPSEVDLPAPFAKLGDVLDYFAARGFSAEETVVLFGAHTVGGAHCSFFRYRLTGPGDGTMDETFRRDMLDACGATDLPLDTDPATFFDPDTPFAVDNNFYKQLMSNRTLLQVDQEAATNPGTAPHVAYYAATPDAFVQRFSEAMAKLSNVGVLEGDAGEVRKIFCGGIHMPYRHASSLSACLLPYLHSAQHPRLEHDALRDGGRRQPATRKLLALDFDPTGPASAGGDGATIVGAVVAVTDVDRRPCNTAAGDGRPCEVRGGGGRTSGASPGTQAPTSGAHRIPTPPQRDCLVVREEVVPNDPDGIDYVILGHKQPHDEQGRVFYVQADFHKADAC >Sspon.01G0034240-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:1862226:1865848:1 gene:Sspon.01G0034240-1B transcript:Sspon.01G0034240-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSARRSLATSLSHHFSRRLHPSISHLVPPHHDHSDPAPSPSPVPPPRPQSQQPAPPFPSALPWVSRSRALAPFPLPFALHLAAHRYSSASAPDTDVLSDAAAVPPVSELLSDGAASVPLPPAPYPGEVAAAAAESFPPVAALQHLLDAVQSFTGLNWYGIPSGLREFYIPAPVINRWATIALTTVMIRLVTVPLLINQMKSTMKLNDMRPEIEAINEEMRNVTTLMRFQSIAYLQQIWWFEVVGEVDFCFPAGTYSLYFRLHLGKSSTRFGRRICCSEQVHGWDKKPVRFQFSTSDGQHAVSQCYLDEPGNWILYHVGDFVASSSEESIKLKFSLAQIDCTHTKGGLCVDSVLIYPKGFEPERSKCHSMKHIYHYILEDKAEQVSQPCTSEHC >Sspon.07G0016990-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:61229235:61231381:1 gene:Sspon.07G0016990-1A transcript:Sspon.07G0016990-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKKNYYKEKMMRRKEEKKEEPETPRYRDRAKERREDQNPDYEPTELGSFHAVAPPGTDLRLADAHKISIEKSKYLGGDLEHTHLVKGLDYALLHKVRSEIEKKPDAEDGKDAKS >Sspon.05G0011420-3P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:5D:34899621:34899981:-1 gene:Sspon.05G0011420-3P transcript:Sspon.05G0011420-3P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RFSVENIPLYDSADDEPTSINKDILLSSHGMVTEYSNISTVQSTENPGNAGTNEFAPIIVDGLTASSNGLAPMNVEPLTASSNEFTPMTIEPLNAMPMVAHLVEIGSTEYSVQDTCDVS >Sspon.07G0013300-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:47166268:47167669:1 gene:Sspon.07G0013300-3D transcript:Sspon.07G0013300-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKSSEQKSRKERRKEARSEKHKLRFLSWVEHQGGKKKKPAIPVVEPSPTEEKKPKKEPVGMKKKKRKREAEGKPKPKSNFQEYLEMEMGGAVSMEEDLKMEKKLAKKLKVKKGKLGGPDDGMDELFADLGFGGDFGSDDETKAYDWNVADDTNLDKKKGKKKNKKAKKDDTEMEEQDDIGEENGRKKKKKKKKKKGGGWYGDGGTG >Sspon.01G0010550-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1B:34629565:34629793:1 gene:Sspon.01G0010550-2B transcript:Sspon.01G0010550-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DKYRKYGEGRWFFFTDRERKHVGGNRPNRTTPDNGHWNATGSIRPIRSAGGVLVGCRRTLVFYEASRSRKKKKNQA >Sspon.02G0000630-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:2418911:2419531:1 gene:Sspon.02G0000630-1A transcript:Sspon.02G0000630-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSSTSADDEGTRGKRNRGSPEACASEDGNQQGPSSKWRTPRAQQAYSSKLIQALRLVRTGGTPTCSASAPAVRDAAYRALAVAARGRSRWSRAILARSRRCRALHCLRARRPPPRPRRRQHGGGDTEERAPGGLAGRAKVKVLGRLVPGCRSLSLPALLAEVSDYIAALEMQVRAMGQLTHDLAASASASSSSAPTAAPSIAPP >Sspon.07G0025350-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:41108916:41110849:-1 gene:Sspon.07G0025350-2C transcript:Sspon.07G0025350-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSIRFIVGIIGSVVCVLLYAVPVLTFKRVVKEASVGEYSCVPYILALFSAFTWGWYGFPIVSDGWENLSLFGTCAVGVLFETSFKFVVLMVSLVVAMLCVIVSLSSFMFHTHHKRKLFVGSIGIVTSMSMYCGPLVAVKQVIRTKSVEFMPFYLSLFSLLTGFTWMLYGILGRDPYLTAPNGAGCLTGILQLVVYCIYSRCNNKPPKTVNDIELVNGLDVATSREDTNGCKP >Sspon.02G0055670-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2D:11049680:11050609:1 gene:Sspon.02G0055670-1D transcript:Sspon.02G0055670-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLLHDRAPPCLLPAFLSTAAPDHILHCSAVRVMPPSTSPLQKERQGRLHLRPHPTRPSTGRGGLHAMPGVYVGPLLSRPLDPNLLATGVPHQAPPWVTMWASEVGRRLHGTHPQVGQVTGTHVRPRDGCRMGCWSVGWARVRHANAGALTLDGMRTWVVGYSVG >Sspon.07G0026500-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7C:54724391:54725965:-1 gene:Sspon.07G0026500-2C transcript:Sspon.07G0026500-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding C >Sspon.05G0022440-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:13585323:13585676:1 gene:Sspon.05G0022440-2C transcript:Sspon.05G0022440-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPLTRQPVADRKRFGGVKFGEMERDCLLAHGSAANLHELLFMLSDFSQMHICQTCECVANVVMRSVPGGKKICGPYCGFCKSSENIVRINVPYGAKLLYQELFSMGICLKFDTEVC >Sspon.01G0007290-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1A:19815592:19816182:1 gene:Sspon.01G0007290-1A transcript:Sspon.01G0007290-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSTGAGAGGKVKKGAGGRKAGGPRKKSVSRSVKAGLQFPVGRIGRYLKKGRYAQRVGTGAPVYLAAVLEYLAAEVLELAGNAARDNKKTRIIPRHVLLAIRNDEELGKLLAGVTIAHGGVLPNIHSVLLPKKTAEKASSKEPKSPKKAAKSPKKA >Sspon.01G0026100-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:90976552:90979877:1 gene:Sspon.01G0026100-1A transcript:Sspon.01G0026100-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRGRARDPAAVLILPAILLLAAAAAAAVAMAAEEALPMPMEVYFSAAELARIAGYGEELVSSVSVSGQLTCELCLRPGSRLLTLEMPGAKVAVTCESESPTPSNQLDSLAFATTDEYGNFTIDLPPRLHATPDLEKACTVRVLQLPADSCRLRHRPGDTYRLRLSSVEDGVRAYTAGVIRLQDSDTPSGRCVGVEHMSQRR >Sspon.02G0026470-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2A:92195030:92195437:1 gene:Sspon.02G0026470-1A transcript:Sspon.02G0026470-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAYTATQRARTRCHGGDQDQCKAGYDACSCTNNPVRSYTGTVAPPPSSSPRRASATPSASYVPGHCVRRRHEARGHRRRGRGHRARAGQEQTPAPEEERRPHPGRRLPWQHRSLLPCHRPPTRCPHANGCAGRP >Sspon.08G0007160-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:20197568:20198927:1 gene:Sspon.08G0007160-4D transcript:Sspon.08G0007160-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNTIISLYQPSHLAGLVPTMQHCIERAADAILAAGVQQNGDVDFSDLSLKLATDIIGQAAFGVDFGLTASGPGGEAAEFIREHVHSTTSLKMDLSAPLSVALGLVAPALQGPVRRLLSRVPGTADWRVARTNARLRARVDEIVAARARGRGGHHGEGRKDFLSAVLDARDRSAALRELLTPDHISALTYEHLLAGSATTAFTLSSAVYLVAGHPEVEAKLLAEVDRFGPRGAVPTADDLQHRFPYLDQASDTTTQRHVIKEAMRFYTVSPLIARVTSRQTELGGHTLPKGTWLWMAPGVLSRDAANFPDPGAFRPERFDPASEEQRGRHPCAHIPFGIGPRACVGQRFALQEVKLSMVHLYRRFLFRRSPRMESPPELQFGIVLNFKNGVKLVAVERCAAMS >Sspon.04G0011520-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:38550112:38562217:-1 gene:Sspon.04G0011520-4D transcript:Sspon.04G0011520-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPGGAGIVWQTPANPPDNRSLLSSPFLEPRRTLVPSTKTLTPFCANYWSRFPLKVKNRWAGKTIVDLFTDEFKGRSRDYYVHAVKCGRLQVDGQMVHTDYIVKSSQKISHFLHRHEPPVLAGDISILQNEIDVITICKPASVPVHTCGQYRKNTVVGILQAEHGLTPLFPVHRLDRLVSGLLIFAKNADRAECFRQQIEAGLLQKEYVAKVVGVFPDGEQTVDANVNFNAREGRSTVEISGGAGEEPNGKQALTRFQRICTDGTHSIVLCKPVTGRTHQIRVHLKHAGYPIANDELYLSGNFCPRSTKGTSNSKEIAPSDPDNSAADHGSKDAETDVEFDIDPMCTNCPNLAPVGYDGDEEGLWLHCVRYTGPDWSYECPYPDWAFLGSVSTKKMNTYVLTNEALVQVKL >Sspon.03G0046970-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:50716771:50718514:1 gene:Sspon.03G0046970-1D transcript:Sspon.03G0046970-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RAGQQRPHPPTIYLYSSNDVAAADFFDVARLKEAMAKALVAFYPLVGRLGVNNDDGRMEISCNGEGALFVVAHADDLSVEDVKEFKPSPELRRLMVTMGLPCHDRTLLRARSPPTVHPDTLLTLHPKLIFSDPEGPLAFEIFTISRDQVASLKHLCGGTASTFCAVSALIWQCTCVARGLPPESEVRIVFPADLWRRMRPPLPSHYFGNAVFRLCVIGAAGEIGTAALGSIAARIKGTVEKMDDELVRSAIDYFEMAEMDKRPALRWTLPQTDLHITNWFGRPQYDADFGWGKPQFMSWAESVRGGYVYLMNDEGTTDDGGGSGDVRVLVCTEAVNIKELGRLLYAKL >Sspon.04G0004720-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:14742485:14745854:-1 gene:Sspon.04G0004720-4D transcript:Sspon.04G0004720-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEGWVFSALLVAFLAFATPCESFYLPGSYMHTYQKGEVIGAKVNSLTSIETELPFSYYSLPYCHPKDGVKKSAENLGELLMGDQIDNSPYLFHVNVNESLYLCTTNPLDEADVKLLKQRSRDLYQVNMILDNLPVRRFTEQNGMTIQWTGYPVGYIPEGTSDVYIINHLKFKVLVHKYEGGKVKVVGTGEGMEFISEADSDANSGFEIVGFEVVPCSVKRDPEAMSKFNMYDKVDPVNCPVELEKSQLVREKEKITFTYEVEFVNSDIRWPSRWDAYLKMEGSKIHWFSIMNSLMVILFLAGIVFVIFLRTVRRDLTRYEELDKEAQAQMNEELSGWKLVVGDVFREPTSSKLLCVMIGDGVQILGMAIVTIFFAAFGFMSPASRGMLLTGMIVLYMLLGIVAGYAAVRLWRTLKGTSEGWRSVSWSTACFFPGIVFIVLTVLNFMLWTRNSTGALPISLFFGLLSLWFCVSVPLTLLGGFFGTRAEPIEFPVRTNQIPREIPTKKYSLLFILGAGTLPFGTLFIELFFILSSIWLGRFYYVFGFLLVVLLLLIVVCAEVSVVLTYMHLCAEDWRWWWKAFFASGTVALYVFLYSINYLVFDLRSLSGPVSAMLYIGYSFIVSFAIMLATASTHNNQADIIMYQKPNADADRYDSAPSPAMILHRFCFLSSAIYLVYLFYGNGMEMQDSECINL >Sspon.08G0002630-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:7603977:7605719:1 gene:Sspon.08G0002630-1A transcript:Sspon.08G0002630-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRPSLRSSDGARPRSRSGTGTGTGRPPSSPRSSDPSRPSSAAAVASDKPMPSFLRPTVSSSMHSSSSSSSLASLSPSSTSAAAGGSKGTAATARRPITPKDKVLAQPVGAPRPITPKKDKAPAQPVGATRPITPKDKAKAKAPAPASTSTSRWAAVSPRQLMQRASNAFKASSRSRSKKSNEAAAASASGSKSGSGASAARAKGQTARAQTVEEQSQQPETPAEPSPAVTPVEAEEPVLLDSEAADQNEQDVATAQEAQAVSTDVTTVQVGAGQPKGKAEEEDVAVEEKIIQVEAEQVKAEKPELQEERPQSSEVVETETEAQKNTADGSPAVVVEEATAKEAAAPEGEDELATSTVEEKVVEESQQAEVTENSEANAISEEPKEETSVISEEPKDETSVICEEPKEAADPAPLQKHEEVADEPKAAAGSSASAPTTPLREGANNDEDVEAVPKQVSASEPVTPVAQAISKGKEVMETQQQSASAPTTPAGAAEKSVPSKQAAIPEGTTASSALAFKGRKVKTAMEKRSEEEQPKKKEVARSNDVIEEAKSKLMEKRKSKVKALVGAFETVMDSPRAS >Sspon.04G0034290-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4C:72329654:72332594:1 gene:Sspon.04G0034290-1C transcript:Sspon.04G0034290-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIRHDYTRFADVERHCQSVLSSAADAELKADADYISRLMTYQLSFMNGDWSQDAGQAPLLPFHGSYADPAVAAGRGARAAFNVSGVLSLTIARNRICSWSHMEMEPSPSPEFELRPGIARLHLVFQGVYTETRSRGGDDGGGERVLCMVGDALLPVRGSNSTDPWDWAKNGGGGSNLEPPVVSDGNILLVLRYPKTPTLTTRAVHGVMASTNAKSDGAYFDTIRLVSQLSAGGYGSGYQFRQEDAESSDFACQLGPFVSTPPATGRALEDMAFTRPAIVVSMGFQSKPFGAGSMDGRAAATARLAAVFRYVPPWEHQPTAAKRTGMSSMTLSAEGVWIPSMGRVCMVGCLGVGVAKEACHYRVSLSVGTTMSMTRRSIIAGQITAMDGESHPPLFFQQRVDPRSYRPLPRRMSYSYTKVQQAQELLLRLRASQPAEFRNSFVARSLLSYPSIVAGAGANDEIVSLANLADDLDLRFQCTVKPPSVPFFELQILSIGTMVGRYSCRQSQTMVGLKRVHAVKKQHILLNVSAEFMAYTNTNCLRPSPVMSLEGVYNPEDGRMYLIGCRNVDAPQRVLATIRDLEDGMDCSIEVTVEYPATTTRWLISQEAKIFIASTRDDDDPLHFNRTELHTLPVIYRDQRQNELTEPIVEGLLCVTMLSATVAATISQLRYIKSHADVAPYISLAMLGVQALGYTVTLVTDAKMLPAWPSQSYGRPYPHHLGWNILDCSVKALTLAALAVTARLAQKVWRSRARARTRAPLEPDRVPDDTVVLLYSFGVHLSALFFAVAVHWLSTYGTSATTLPPRVVYDEAQGMSSSHMRTGGTIMERYVGVVKEWFLLPQVIGNAIWRVNCKPLAAGYYAGVTAVWLLPRIYSYLRPPVVNMYPPRLTTMSWTSTTRPAPW >Sspon.06G0002670-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:6853354:6855146:1 gene:Sspon.06G0002670-3C transcript:Sspon.06G0002670-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHVSPEDAGQERVMAAAKQILKSLAVSKNAADDMMHFLSTFDPRLHPLSSPETGEEEASGADDDREELEEEIAAAEEVILRWSSSSPSSGGMIADYLYAVDDAIAASGRSARAAAAVHAAMPRLEEEARSLLSSSSSLRRLSLSSDDLGDATPDASPRHDGTLSPTAAASVGAVAARMLRAGYGPELAQVYVATRRDALAESIALLGVEAVAIEEVLRMEWSALDQRMRRWSHAVRAVVRTFLADERRLCDEVFASDEDLGNECFADVARGCVLQLLAFADAVAVSPRATEKLYRTLGMYEALADVRPELEALFPDDDAREFFAGEVSSTVQQLGSTVRHTIEEFSHAIHGEASRKPVHGGEIHPMTRYVLNYCSLLADCRGTLDAVLGDDGLDDTATTADDGAAASTPSARCIRELLTLLLRNIDDKSRLYDDAGLQNIFLMNNLYYVVQKESAPLRELVGDDWLRRHRGQIRQYETGYLRASWAAVLSQLRRDDGASARPPAGHRAPSGPSAKSFNAAFQELYRTQTAWKELRIAVSERLIPAYRAFLGQGSRHPARHVKCSLEDLEDYMLDFFEGAQKFVRW >Sspon.03G0017660-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3B:79161942:79169341:-1 gene:Sspon.03G0017660-2B transcript:Sspon.03G0017660-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVPFLVHVCVRRWRFWELWSSVPWDLPFPGGRCRPFAAGLGLFFARGAHRIITLRMALSSLAFLSHISFIFTIFAYVARHGRVTRWGTVSTGRRNVVADPALFLSSPLRQLGPTDPFTARWVPHVSFIFHLPQEQVRCGRRLLPVWQQPQPSDGAPSCSRARAVEHRQQQLRHGLAGVRASSSSANASPTRARAAAPPRPRGHAREQQLPRGLAGAREQPLRSASWARARAAAPPRPRGHAREQLLRHGLAGVSNSAAASRARARAAALPRPRRHDASSAPAASPPGKLHGEQKLRRAGREGRAEPTVGDEADEWVPPDSERSYGTRLSEREREEESRGSDDILPTRADYAPVCHAAVACHVRKYEMASAGVAPSGYKNSTSTSIGVEKLQDQMNEIKIRDDKTVSYMAERIVGQGSFGIVFQAKCLETGETVAIKKVLQDKRYKNRELQTMRLLDHPNVVALKHCFFSTTEKDELYLNLVLEYVPETVHRVVKHHNKMNQRMPLIYVKLYMYQICRALAYIHGTIGVCHRDIKPQNLLVNPHTHQLKLCDFGSAKVLVKGEPNISYICSRYYRAPELIFGATEYTTAIDIWSAGCVLAELMLGQVLGTPTREEIKCMNPNYTEFKFPQIKAHPWHKVFHKRMPPEAVDLVSRLLQYSPNLRCTAVEALVHPFFDELRDPNTRLPNGRFLPPLFNFKAHGVDYTQQDALGELLVYYCDYCDKQFQDTPAARRRHLQGVQHQRARALWYDSIRHQGQPETLLFPFPFPFFPFAVVASSALWSFRLTPLFPSSAEQHGGASSLLLPDGTLAKGICHHFVRTGACKYGDSCRYFHPKPDGVNPALAAPGPGSGPGPMVQQSDFIGNQPNFVGYQGADRNSSSVFFMKPELENNEYENLRVIYATLSVK >Sspon.01G0035630-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:12589195:12591371:-1 gene:Sspon.01G0035630-2D transcript:Sspon.01G0035630-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVATTARSWLLLLLLAVVSAAAAASGKKHEGWRMSGGAVGGQVVEKERRRAVAESEAGSVTAVDVADAAGTAYRLHFITMDPGALFLPVQLHADMVFYVHSGRGRLTFIEEESSEQSSLEVERGDVYNFEQGSILYIQSYPNASRQRLRIYAIFTSEGINADDPSQKPKAEAYSSVSNLVKGFETDVLRQGFGVKPEVVQAIKSAETPPQIIPYNPEEKRDEKPNWTEDIVDALLGVRDPEEFLNKKKKKDKHKSKDKDKKSKSKAFNFYSGKPDVENCYGWSRTMTNKDLDALHGSNIGMFMVNLTTGSMMGPHWNPKATEIAIVTDGSGIVQTVCPSSTPSGETRRGCRHHHGHKRRGGPGGRGDEDEGEGGGARWRCRNSVFRVKEGDVFVVPRFHPMAQMSFNNDSFVFVGFSTHMGQNHPQFLAGKGSVLQAIGKKVLSLALGQPNSTAVDKLLSAQRDSTILSCISCAEELEEKAAKEEKKRREQEEERREREEKERKEREEEEKREREEKERKEREEEERREEEEEEERSRRSSGGERKKRRQGESKKRKRGRGGRRKKEKGKRKNGGGRRKK >Sspon.04G0030570-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:3322199:3323494:-1 gene:Sspon.04G0030570-2D transcript:Sspon.04G0030570-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GRPQAQQAESDVQIQERHRHRQLHLLLRHGGRRGGGIHAAHDRDLLPRGVVLLHLLLHHGVLLRGVPRRAPRLPPGAAPPLHLPRPRRRHRQLLRRAPPRPRRALLLQFLPLRAPRPPSRRLPPPAPPRRAGRGRAPRRAGPLPPRRHRAPPRRRRVPRPHHAVPRLRARPRRVPAVRAAPEPQEPVLHPARVLALAPPARRRRLRRALLRPPPGRHRPQPPLRVIRPRLRRRAPPPRQDRAFRRGRPRRRAPGRAQGRRRRRVQGILLILLGRPPPHQQPGEADRGHTRVHGAGARGGRPAVAPLRRVRAWRRAARAGVRAGAGAVRAGQPGHGRVREDVADRHRGGCCRGGRRRGDAAVGGPEAQGLVPRRRGGVAHHAGAALRRQGPPGAAGHGVGGRQGVQALPGGAGVGRQVQCPHRHLRLHRPKVM >Sspon.02G0028610-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:100662374:100664549:1 gene:Sspon.02G0028610-4D transcript:Sspon.02G0028610-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGEGSGFDAAHMKKRRSSAARRPRPEGGGPAAEQRDNNASPPSPSASSRSGPRRLLLTSDENATGPDGGNRSKREFLLNAPSPERATKGSIRLRSEAAAGGSRKSEGSSSHGAHAPEGNRGSSPAGEKLGKVKLKIRNVLPKPNPDTPSAKPPRPVDSRHQQKPGHLNEGAKDSDRSTSSRDKKARKERSIEETMAQEQSGKVQREPSSDPVRKSRRLAKKSVDNEFDEDYDTSNVGTPEDWDGTHEPKSKGNSSKKSASKKGKNRNKVYEADNNFVTSRSGKKRSRESADDDNTEEEPTSDSEPDVEDTEHKTATESPVNVRGEPLTTRRRALQSWMDGSSSSTVEFPDGLPPAPSRSKKDKLSEEEMLAKKAEAAQRRRMQVEKATKESEAEAIRKILGMDSDKKKEERKQKEREEKERATRAQNIAANSVRWVMGPTGTVISFPHAVGLPSIFDSKPHSYPPPREKCAGPSCTNDYKYRHSKLNLPLCSLKCYKAVQGNA >Sspon.02G0019180-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2B:63296134:63297020:1 gene:Sspon.02G0019180-2B transcript:Sspon.02G0019180-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVHLRLHVEERVPQEGFVVFHRAAFPNLKELWFRWEAPCLAFEAGTMPGLWSLVIDCYEEGARQADGVLDGMEHLGSLVEFKVNVYRHKDYTPYILHVHDLSADVNIPQVGRWDRSSLEAALKEAINKHPGSLRVVIKSLVNVIRLMRGPQPRANGPSETQRRVMANLNWFQCTLGGPRAPGGGAPTPSYHHRPGEIRLGELLC >Sspon.04G0006680-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:19121542:19122646:1 gene:Sspon.04G0006680-1P transcript:Sspon.04G0006680-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKQGAKKMKEENKKRLDLLLRIILISNVSTRLQSPFPSPPSRLARDRSADHFFGYLHSGEDGYNAFILHLEALDWPYGNIGCIFSPI >Sspon.08G0013070-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:66000381:66005351:1 gene:Sspon.08G0013070-2D transcript:Sspon.08G0013070-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALAPLLAVAFLAAAAQAADPFAFFDWDVSYITASPLGVPQQVIAINKQFPGPIMNVTTNYNVVVNVLNSLDEPLLITWDGIQQRKNCWQDGVLGTTCPIPPGWNWTYNFQVKDQIGSFFYFPPLGMQRAAGGFGGITVNNRAVISVPFDTPDGDITLFIGDWYKMNHTHLRKMLDDGKELGMPDGVLMNGKGPYRYNDSLVPDGIEYETIKVEPGKTYRFRVHNVGVSTSLNLRIQNHNMALVETEGSYTMKQNFTNLDIHVGQSYSFLVTMDQNASSDYYIVASARFVNESLWTRVTGVAILQYSNSKGKASGPLPDPPNDEYDKTFSMNQARSIRFVEKEKTFLHVIRTSVINSTYKNFLEIVFQNNDTTVQTYHIDGYAFWVVGMDYGEWTENSRGTYNKWDGVSRCTTQVFPGAWTAVMLSLDSPGFWNVRTENLDTWYLGQETYIRVVDPNGGYNVTEMVAPDNMLYCGLLKDKQKAQKPHGSRSSASAAKLNYHVLAVLLALVALALGL >Sspon.04G0017130-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:71299762:71302825:-1 gene:Sspon.04G0017130-4D transcript:Sspon.04G0017130-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYVDHAFSISDEDDLVGGAIGGPRGAPVKEIAFAAALLAFGALGAIGGLLMAVNRVGGDRAHGIFFMMLGIVMFIPGFYYTRIAYYAYKVAKEKHACNKEQCNQNRCV >Sspon.04G0008590-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:25851772:25853698:1 gene:Sspon.04G0008590-1T transcript:Sspon.04G0008590-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIPCSMCLEVSPLDALIRSGRSAVFHLPIVPTEIATPDGADDLEAAGAGLELGPLGFLVPLRVTPLRVDLLLPARHPSASTAVALSAATGGFLPPAIGFGSPPALPCPSSLDASSPTSPYLPTGIGCQCHALLLHSWACIALVWQAIGHPVEFEGVLSEG >Sspon.08G0009810-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:42145974:42149092:-1 gene:Sspon.08G0009810-3C transcript:Sspon.08G0009810-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIAPPGAPITGAPGTLPPHHSRQYKFSITCSPFLFRSTPHRFVSSPSALIPAVPALTFLCVVSSHPQPQQREGERKGKKLSPAPPPPQPPSTQRIGTSALRNPSMAEESGRPLPKFGEWDVNDPASADGFTVIFNKARDEKKGGNGQDTDSPSKDTRTERVESYAAKPSTKKWFCCVTASPTQS >Sspon.01G0028940-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:62224221:62225347:1 gene:Sspon.01G0028940-2B transcript:Sspon.01G0028940-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MCMSNSNCEVAGSSEDEQDASCPEEIDPCAEDKELKHQLLRKYGGYLGGLRQEFSKRKKKGKLPKEARQKLLHWWELHYKWPYPSETEKMALAETTGLDQKQINNWFINQRKRHWKPASEDMPFAMMEGGFHVPQGTAALYMDSRPPPFMADGGMYRLGS >Sspon.06G0008070-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:31143806:31149388:-1 gene:Sspon.06G0008070-2D transcript:Sspon.06G0008070-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYRRVKDQPRISSLEQSLTYLLSLKEIYDDLSQKDVESLSGRTVSSTNITASGLSSAGGAKGKSSWKLKSVVTLALTLLTSSQAILIVWSKRAGKYEYSVTTANFSVEALKCLLSLAALFRTWNRHGVTDDNRLTTSFDEVGVYPIPAVLYMVKNLLQYYIFAYVDAPAYQILKNLNIISTGVLYCIILKKNFFPVPFRLSEIQWAAFVLLCAGCTTAQLNPSSDHVLQTPIQGWMMAIVMALLSGFAGVYTERLFPWLFIHHSFDDSEPCTEVYSTSVAMLLTAVVSVFLFSFRLSLAFFLGSRLCLSQCTCTQLENFNHRNKVCLVTGY >Sspon.03G0001110-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:11698065:11706740:-1 gene:Sspon.03G0001110-2B transcript:Sspon.03G0001110-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sister chromatid cohesion 1 protein 4 [Source:Projected from Arabidopsis thaliana (AT5G16270) UniProtKB/Swiss-Prot;Acc:Q8W1Y0] MFYSQFILAKKGPLGTIWIAAHLERKLRKNQVADTDIGVSVDSIIFPDVPIALRLSSHLMLGVVRIYSRKVNYLFHDCSEALLKIKQAFRSTAVDLPPEESTAPYHSITLPETFDLDDFELPEAAFQGDTDHHVSTKEQITLQDNPEKTGYSTSQFGLDERFGDGSSSHIGLDLEEELILNKDHSIHLESDDGIIIQGRPSVPSTDMDIDDNKSTDITAEGYSNMDGGPSSHGKLGLLNADGLGGNSIPNWTGYNVQTPYNVQTPDLNDILLHNEGIAGPSASYYQPSPFTCDEPASPEFISAQAPATPGLMEETVPSRVHESPVLSPQRKASPSTNDETAKADTLVPASDFLHSATGNASDVVGAEMTELEFAKPVQVESSVVQDTHALVQQHTSEGLPSQGQASHLEATADELVGSDGIAASVETVTVNATIEDVPLAVNDSERCVDGSTEPSAVENPVQINGPLIDAQGTIAPSADFQHEAQAMQQVVASNDRLNELSTSEFAEPEKMLSAPDAEFNHAIDLGQTTAEKGTAESDGSNIIGSLTSRKRHLEDSLPALESETTERLSSRPHVKRTNDFVPHDDDILASILVGRRTPGFTLDSTPLPPRESSLKRPRLGSKMGTLKRKVQIDDAMVLHADTIRQQLINTEDIRRIRKKAPCTRSEIWMIEKGLSEELNELHNRTYEAIVRPAVQSMELQGQFDMPETIPEDSNIAGFGAATINDPLHIPDGIQSDALLSGANDACDATPAFGLQIPPDNQVNGAYNDFVIGTLFPGVTEPFIDNEKEVALADREHAQVDTLYSDRLQDVPSDLQSTDANVSSQDVALDNSGQACAHPGDDMTGEFNHFVHSNANIFESNEVPASEITGVEYNQDASGFPRPTEDENAVGYNQDSSGFPRPTEDENAVSAMGDNSGFQENNMGSLMDLDMVNDYELKECNDFGSAIHGVDTDFLNYDDDGDFDDANDDEPNPSEFQSLDNSGWSSRTRGVARYLKTLFDEDSGLGRKSVAIDHLVRGKTRKEASRMFFETLVLTTKDYISVDQPNPYDYVSIKPGPKLLLSEF >Sspon.03G0007760-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:25892299:25893527:-1 gene:Sspon.03G0007760-4D transcript:Sspon.03G0007760-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSRRRTLLKVIVLGDSGYVHKKFSQQYKATIGADFVTKEVLIEDRLVTLQIWDTAGQERFQSLGVAFYRGADCCVLVYDVNSNRSFDTLNTWHDEFLNQ >Sspon.01G0040310-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:62768215:62769126:1 gene:Sspon.01G0040310-1P transcript:Sspon.01G0040310-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRTLHLPSKLTRPNSHSFSPSSSPPHQPKLPPRPDPPQTRVAIATTTKKQQLHKRSTSLLKCGANLHGCMDEVVQTPKDQTAEIPLVMYPSVVFPGATLQLQAFEFRHRIMMHTLLQQGLRFGVICSACKNGSRMADVGCVVHVVECERLTDDRFFLTCVGKDRFRVIDIVRTKPYVVARIQVLSDRHQGSDNSVPQGDLGSLMQQVEQHLKNVAMLSDKLNQKPLPYHQGDQLHRLHTAASLSFLVARLFIEDRLEQQTLLQMDDTGQRLVREGMYLERRSKYLAAIAAIKDAFQHLSCNE >Sspon.01G0021040-3C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1C:76871422:76876398:-1 gene:Sspon.01G0021040-3C transcript:Sspon.01G0021040-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSVEQDVSKVDKDMENVAKSLLGNIGVTLNIDHGCCTSCRSHIDVSSALATSHREESTINRNCKFGVSSIPGIVITTALDRLKKLTLLDKTLITEALQSLKLLKKLRHPYSKALAQAEDTIAEAFAKVGDQERARKHCEASIQILEKLYHPKHIIIAHELIKLVSILLSLGDGASAAATFAQAEAIFSVYYGSHVEKTLTYMGALKKAVSDV >Sspon.07G0004210-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:10362969:10365894:1 gene:Sspon.07G0004210-1A transcript:Sspon.07G0004210-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MELESPQLLASLLLVLLAAAAAAVPVRSSPTPEAIRLPSTAGHAQQACAAAPADPAVYDRPVIGIVTHPGDGTYEMHTHGPGSYIAASYVKFVQSAGSRVVPLLYDDPEERLLEKLGLVNGVLFTGGSQKNGSYFETIKRVFQYVLDRNDAGDPFPLHAECLGFELVSMIVSKDNNILESFHALNQPSTLQFPNYSFLEGSVFQRFNHELIRKLSTDCLVMQNHGYGISPKRLQENVALSSFFKILTTSPDENGKVYVSTVQAKNYPIICTQWHPEKAIFEWGEPMTPHSEDAVQVTQHFANYFVSQARKSLNRAPADKVLNNLIDNYTPRFGTSKSFDE >Sspon.03G0044090-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:54363692:54366255:-1 gene:Sspon.03G0044090-2D transcript:Sspon.03G0044090-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIEVKLPIPDYIRFRAVCTSWNNVCKDLSYRPRVDPWLMLPPDQNPLGAQFFCIPERKNQSIRLPNTASLFESVWAPVGSSNGWIIYFSQTYGTMQLVNPISGKHIQLPPIGRRTFSKAKLLEMNERNFIVAVLYADEKGYKVTRQGSSNWSSVESKFILDDIIKHRGRLYTCDMYGTVEMWAEPPHAWPDEEVTHRWRFRCLTETPAGDLIRVKRLCQNKFAVWILDKGTFSWVGTENIGDLALFVSHYNSFCFPANDHPNLKANCIYFIDMYNNLCAFNLEHGTKELVQTLTIGQGQGRNDYYRRPQRDQWGVCEFGTFVSSCFGQ >Sspon.08G0003440-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:7255170:7256918:1 gene:Sspon.08G0003440-4D transcript:Sspon.08G0003440-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding EEMGEAEEAPGVSNGEALELLMSMASSSLACCVSQFPAKWQSIKDKLHQLCCSLNSLCSGVGGDSDVNNDDDEEHPVLAELLRLSSATVRSIQVVASQCSDGSYKGGRLRLRSDLDNLSCKLDAHMKQLKEMASSGVASPSKAIVAVRPSAEASVGEKAFYLKDLFSRIRIGGTVQRSQALATIRELLAEDEFCAKVVALDVDDGIALLTGFLESTEACIQEEAAGAVAVVASSECYRGMLVKAGVIAPLVQLLENTDTASELGKERAAHALRELTENSDNVWAVCAHGGLTTLLHACAGAGSSSKLISSSFTVLRNLSRVEEVKMFMVEQGVVTELVKLSQKKEEVRKLGAVELLHAMALDDADVREEAVGMGVIQSLLQLIYPDLPYSYKAREVALAAIWFFCFSSANSLNDLISSDVLSWLLFYLNNGDYAVLECTLKILRHLSEVSEEYNRMMGRAGYLSALSSLLGAKSCRVREMAAQVLSSLLMLHPNRVIFIQDGDNLNRLLQLLDPAEGKLMAKDLILSAIMSLAETHSGRKKIVTSENFCCLKELADSGDFDAKKIVRKLSNNRLQTIFSKIWS >Sspon.05G0011330-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:5A:32319483:32319735:1 gene:Sspon.05G0011330-1A transcript:Sspon.05G0011330-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding KLINARTETRIDANTRKLKTLVLVDVDRGELQHSSSRCRIPRTAPIHACRRDQGRRRPPTTHLHPGVDVLPDAECGATGHAMM >Sspon.01G0001990-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:5643614:5644492:-1 gene:Sspon.01G0001990-2D transcript:Sspon.01G0001990-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGLQVFGQPASTDVARVLTCLFEKNLEFELVRTDTFKKSHKLPEFIKLRDPTGQVTFKHGDKTIVDSRAICRYLCTQFPDDGYKKLYGMGSLERASIEQWLQAEAQSFDAPSSELVFHLAFAPHLKDVRPDEARVAENEKKLHNMLGVYDDILSKNEYLAGDDFTLADLSHLPNSHYIVNSSDRGRKLFTARKHVARWYDKISTRDSWRQVIKMQREHPGAFE >Sspon.08G0007730-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:23591890:23595077:1 gene:Sspon.08G0007730-3D transcript:Sspon.08G0007730-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:O-fucosyltransferase 8 [Source:Projected from Arabidopsis thaliana (AT1G29200) UniProtKB/Swiss-Prot;Acc:F4HZX7] MEGASSSAAGGSYSSSWGREPGRVGATNIGRLRPGKQQQQHATRAAGVGISVSVTSWHLRVFAAVVGFMGCVLLAASLAMSALHQVQFRNAAISRNFRGLQELKQNIVRREQVEQIMHGKLLQMATSALTKNGSEPEDFALWEEPYKQARKWKPCAAKHSLADEEPDEINNGFILVSANGGLNQQRVAVCNAVVVAALLNATLVLPRFLYSSVWKDTSQFGDIYQEDYFVNYMKNDVRIVKELPARLQSLDLEEIGSQITDMEISKEAEPSEFVKSILPILQQNGVVHFLGFGNRLGFDSVPVHLQRLRCRCNFHALKFVPELQQAGSLLVQRLRQVSAMQTEMDKQLFGNNMLDPAFAENHAAGTRNRYLALHMRFEEDMVAYSLCEFGGGEEERRELQAYRETHFPALALRLRNTTVSPEEQRSLGRCPLTPEEAGLVLSALGYDRRTFIYVAGSQIYGGAPRLRALTRLYPNLLAALDFIACASADVFAVTDSGSQLSSLVSGYRIYHGRGRAPTLHPNRKRYAQVLSEEGSIAWGGFQRRMRQMADEYKRVSPRPRGRSVYRQPRTPGCMCRAAGDGSVDF >Sspon.02G0036120-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:22972780:22973064:1 gene:Sspon.02G0036120-1B transcript:Sspon.02G0036120-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVRSHVEGSVSVAFPAEQEGAGAALSSLSHVFRQLAAQLPPHPPPPLLFVVVLYYWGLGNNNNNTYLCYLLQLSLLFFSLYHKANLKNERSN >Sspon.01G0058100-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:21515618:21519685:1 gene:Sspon.01G0058100-1D transcript:Sspon.01G0058100-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASANSWTLEIASPVAAPRLFRAAVLDWHTLAPKLASHVVASAHPVEGDGGVGSVRQFNFTSVMPFTFTKERLDFLDLDKCECKQTLIEGGGIGVGIETATSHIKVEPAAGGGSVAKVETTFKPLPGVEVKDEITKAKESLTAIFKVMASANSWTLEIASPVAAPRLFRAAVLDWHTLAPKVASRVVASAHPVEGDGGVGSVRQFNFTSVMPFSFMKERLDFLDLDKCECKNTLIEGSGIGVAVETATSHIKVEPAADGGSVVKVESTFKLLLGVEVKDEVAKAKESVTTIFK >Sspon.01G0006090-3D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:34324510:34326017:-1 gene:Sspon.01G0006090-3D transcript:Sspon.01G0006090-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLSSSVTSSGDTAAPRMYFIPFPTPGHALPMSDLARLFASRGADATLVLTRANAARLGGPVARAAAAGLRIRIIALTLPAEAAGLTGGHESADDLPNRELAGPFAIAVDLLAPLFADLLRRQPADAVVFDGVLPWAATAASELGIPRYAFTGTGCFALSVQRALLLHSPQNGVASDTEPFLVPGLPDAVRLTRSRLAEATLPGAHSREFLNRMFDAERATTGWVVNSFADLEQRYIEHYEKDTGKPVFAVGPVCLVNGDGDDTLERGRGGEADTAAEGARVLRWLDTKLARSVVYVCFGSLTRFPREQVAELGMGLADSGANFVWVVGDKNAPPPLPDIDGAAPGRGLVAAAAGVPVLAWPVFAEQFYNEALVVGLADTGVSMGAERGYVWGGEALGGVVVGREAVAERVRSAMADEALRGRAREVGERARRAVEAGGSSYEAVGALLEDVLRPQRLRQGQDGKTRRDAVI >Sspon.02G0030850-3D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2D:92839681:92844219:-1 gene:Sspon.02G0030850-3D transcript:Sspon.02G0030850-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMPYGTAAEAEAALGRSMTWAEALWFRYSAAMPDLWLMWHIALVYLVVDALAPLPAMILQQLAPGYALRHKLQPRVAQPSLVSTYLRYIRESNRVTLAILGPFPLVYTLAFKLFGVRTGLPLPSVWETAMHLVVYTLVEDYLTYWLHRFLHTKWGYEKIHHVHHEKTAPSGFAAAYSHGTELSLLAVTIFAGPAIVPCHVTTHWLWFAIRLMEASDAHCGYNFPFSLAGLIPFVVGAEFHDYHHYAGGKTRTNFGSVFTYCDYIYGTNKSYLHYKRNLAKLKTEQAEHNMKGSSGKED >Sspon.07G0002530-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:4489940:4491010:1 gene:Sspon.07G0002530-1P transcript:Sspon.07G0002530-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding NGDLQRAPPSDSPPGGELRGAAGGFSRGEPSVPVLLRARRPEPVDRHAGAVGWVPAARPRRVHLRPSRRRAAPVPVVLPEVPRRLPGHRRPHGRQQPAVLVQLLVPARVHVVAAAVHAARVHARPRRRHRPPPDHLREPQRRSPPHAQLGAPRAPLGGLRRDPRGGGRSRYVLGYVVTLGAAGLFAAYLPVMELLYRRAVSGGFVLAVEVQVAMQAMATAVAAVGLVAAGGACDDVARWEGSTALYWVVVLTLVLTWQACFMGTAGVIYLTSSLHSGVCMAAVLTANVLGGVVVFGDPFGAEKAVATALCVWGLASYLYGEYTKKKEDDASPSAPEYESVGKSGGDVPAGRELETV >Sspon.01G0031310-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:107311526:107321133:1 gene:Sspon.01G0031310-2B transcript:Sspon.01G0031310-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MANASKHLQPSSKAGNDTKYQGTLVASPAKVISPKCVNRIVPSKQLILGGDSIGHVASFLIKVVALEAVRRVSKARCPFIWNSVQALQILVYPPFSWIQRWAPLKFLVQGIQKLSMPLLFLSVTTTVSELSSKRDDEPDNNTEHSETPSETNEVASTSGTSDAADGTKEIVQENWLVLLFRELEKQGITLPERFPMQMMRSFITVVQENYPNRLGVLFVVRLPPVVRVIAQTFLQVLKPSTKQKLRFEGDSYKKTLAEFLQVVPAFLGGKCSCPQCEKPRISSVVQAGEGSKNQPRLISVDDGSPVTDFDFDEEEIPSAYSCENAIRAAIIGLLMAAAGEHSAEIHGKQAKGRAAGAWRASTTASRPQTSSLMARGEAKGQGGDGSMRGVLSGRGTVMEKKTSRRGLAEDQITGPYQSRGIDRDLSEVRDTQTSPDRACALSLHRPPPLASHRAPWRRLGGRCCRPTPVAEVFFGPLAGDEHHQVRPSLVSPSCCASRSRSRSRSPRRRDRLRSERAPRRSRSRSRSRSRSPYRRRERRGYSHFAAECTSQTVCWNCKESGHIASECKNEALCHTCNKTGHLARDCPTSGANVKLCNKCFKPGHIAVDCTNERACNNCRQPGHIARECKNDPVCNLCNVSGHVARVCPKTTLASEIQGGPFRDILCRICGQPGHISRNCIATIICDTCGGRGHMSYECPSARIFNRGLRRF >Sspon.01G0044480-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1B:83152411:83152596:1 gene:Sspon.01G0044480-1B transcript:Sspon.01G0044480-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTAGSSSRSPKHMSTRRQNMAYRNASITGGGAWASLSSARASRARGAPTRAPARTASPAT >Sspon.01G0048910-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:110530378:110532467:1 gene:Sspon.01G0048910-1B transcript:Sspon.01G0048910-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAVFDPAVAPSPEGLRQPGAAGGDSAAGLADRFREARPDAVTVNLGGSGAMAYSSSNQSPLLPRLFGAVDGIFCMFQGTIVNVAVLKQQYGLSKGANEVNIIIEAYRTLRDRGPYPADQVVRDISGKFAFVLYDCSTKSVFMAAVSITHNNQSKANEQKWFLSLYTLFSPADAWRRRLHCIRLLLHDVRRAEELRAPHERGEAGAEGGQQGRGVRHDVHGRCKRQEGDRHPKGRQRCRLVFTIL >Sspon.04G0008780-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:30577324:30579317:-1 gene:Sspon.04G0008780-1P transcript:Sspon.04G0008780-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRDPLVVGNIVGDILDPFIKSASLRVLYNNRELTNGSELKPSQVANEPRIEIAGHDMRTLYTLVMVDPDSPSPSNPTKREYLHWLVTDIPESTNVSYGNEVVSYESPKPSAGIHRFVFVLFRQSVRQTIYAPGWRQNFNTKDFSALYNLGPPVASVFFNCQRENGCGGRRYIR >Sspon.06G0003210-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6A:9994657:10000270:1 gene:Sspon.06G0003210-1A transcript:Sspon.06G0003210-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWRKLNEHISAELDMNPELGMIKNVLMKSYDGLPYHLKSCFLYMSIFPDGHNISRRRLVHRWTAEGYSSDVRGKSPGEIADCYFMELIDRSMILPSQRSIIIDSCKVHDLMRDISISKSMEENLVFRLEESCSSNAHGTVRHLTISSNWKGDKSEFESAVDLSRIRSLTVFGEWRSFFISNKMRLLRVLDLEGTSGLVDHHLEHIGKLLHLKYLSLRGCDGIFHLPDSLGNMRQLQTLDIKGTCIIVLPKTIIKLRKLQYLRAEARSVLYNNTSDFLCDDLPKLCVACCAPACMRDVTEMEGNPNTSDVCTFYCCVMFPFLARQGKPPGLVVPSGIWKLKALHTLSLVNIARGKAVLKGIRKLTQLRKLGVTGISKKNCHEFCSTLTDLIYLESLSVHLEGEQSLYDCLDGLSSPLKNLQSLKLEGNLAKLPGWTERLHNLVKLKLEKTEILESDAAIQVLGKLPNLAILRLMRWSFKGDRHRFTFHPWAFPNLMVLELFYVDGISSFEFEERATPKLELLLVRKAHEASLLFYGLSSLPSLKEVLVDDYGEDFVEDMRAQLAMNPNKPILKNRLV >Sspon.05G0023620-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:8048685:8052961:1 gene:Sspon.05G0023620-2C transcript:Sspon.05G0023620-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGRDQHQQQAQAQAAPRVGSPPQAGSGGGVMMQHGAAATPLGMPPASAANVMHGMPLAFNNPMAPSPGASSPMNMKPADMPPGPMYRADSGAPGLQQQHPGSGGVAVSGGELVKKKRGRPRKYGPDGSIGLGLKSAAAAGTEAAGGQSGGGGSSSNPDSKRRGRPPGSGKKKQLDALGSSGTSFTPHIITVKPNEDVASKIMAFSQQGPRTTCIISANGALCTATLRQPATSGGIVTYEGHFDILSLSGSFLLAEDGDTRSRTGGLSVALAGSDGRIVGGCVAGMLMAATPVQVVVGSFIAEGKKPKEEQPKREPTSVPPHTAGFGAASTASPPSDGTSSEHSDDPGSPMGPNGSTFTNAGLPLHSTFASAGWSLSGNQSRYDPDLKMVTD >Sspon.07G0022740-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:9812435:9820385:-1 gene:Sspon.07G0022740-2D transcript:Sspon.07G0022740-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIYKTDTAQQAADRTAAHPRHSCPDPSPSGVATPLRRLPHSRSTERRRSSGRSERRRLPADGEEPPRKPAATPASSSFSTKLPLYTRRATTTTTGLGTGEKSGGTAWIRRPPGSGECSFCYKFIITDGEGKTCLNLHQAAGTGFFYVKRKNPRRITEKLEFRKYDPRVNKHVLFTEAKM >Sspon.05G0014160-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5A:51370900:51373103:1 gene:Sspon.05G0014160-1A transcript:Sspon.05G0014160-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKWTRPDGWHGVHDPLKHNISAARYNAQGCAQAVLSPTMLTWARFAKLAEVTAPATPDATTCPCRIDLPRDHDSPLRSPAARPAITAISVGLPSPLHSSCGGSNRACSNHACDPWAVREERRPHHEGVHLHPQQQELLIAAVVQEWRQVHRAVAAGVAVVLPSMAGTSSGAGGCPSSRPRAASATAAAGVTSPPPWPMRAQEQEAAQLQYQLLIFLDGGILKEDLGDLSSTQLQERDIVDAAASAQEFWIQKNKGGFCMSISSKSMWITERDDRKYWSHLSTEESSLFFRLHWADRTSGCAAEAMALKIST >Sspon.03G0003640-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:607693:608848:1 gene:Sspon.03G0003640-3C transcript:Sspon.03G0003640-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMRALPLTPSKSSSFGAHQIRQISGSPRSVRAYAKGNEEDNKQSLFGSITEALDFSQVRSEKDAELLYEARESTKGGGRMTREQYGALRRKIGGTYQDFFKSYVDVDGQYVEEGWVDKTCKICKKDTRGEPRQVDKLGRYAHVACLENPKPANFFAKIFAR >Sspon.01G0041590-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:51007982:51008286:1 gene:Sspon.01G0041590-1B transcript:Sspon.01G0041590-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPKIRQSYNPDTQSMNSPSLENLTLKLRGVHGSLHILIDPCTEVDACAIKVGHTHQRFIGELEERSFSCEHLDSVDI >Sspon.05G0026280-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:5B:33173249:33173614:1 gene:Sspon.05G0026280-1B transcript:Sspon.05G0026280-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQAIESHRAGAEVVTGGEAICRKKSIELLEELGLPKGFLPLEDIQEFGYNRETGFMWLVQGKKKVEHTFKKIKQTVSYAAEVTAFAEKGKLRKITGVKTKELMLWLSVVEVYVPEASPEK >Sspon.02G0012150-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:30583674:30587741:1 gene:Sspon.02G0012150-2B transcript:Sspon.02G0012150-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIGNGAGPTDGVPAVTAVEGEEEEEEEKWLKDYSSMHSILTVGDGDFSFSLALASKFGSGALMVATSLDTYEVLIGKYSDAESNTKKLKRLETTVLHGIDVKRMKFHTDLMNRRFDRIVFNFPHAGFKGKEDDMHMIKLHKKLLRNFFSNARHLLMPCGEIHVRHKRGGPYERWDLEHLASEFSLIMFAKESFQKADYPGYNQKRGDGARCDQPFNLGPSCTFKFQIGDLKKQKILSGNSAGSASSLGGSNAPPCNLETDTRPFHSIPLVQAWPWLHITPPANTVRMPIPLQPYIVAQRQQPGLSLNLDGIVRAPLHQLPXXXXXXX >Sspon.08G0008950-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:33623062:33625406:1 gene:Sspon.08G0008950-4D transcript:Sspon.08G0008950-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVAMDSDYGAARELSPLQKARALYRPELPPCLQGTTVTVEYGDAAIAADIADAHVISHAFPHTYGQPLAHFLTKTANVPDASIITEHPVV >Sspon.01G0061940-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:99119582:99119922:1 gene:Sspon.01G0061940-1D transcript:Sspon.01G0061940-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding STAMNRGEPSDDPSKIRGEILSSGDPFTLPLMEPGGLLREKILSFSSTPGGADTPARITGDGYKNGGEVKSTRRHSGMNVDPSGRDNHSGPGRGGDTS >Sspon.02G0007570-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:22064563:22076935:-1 gene:Sspon.02G0007570-1A transcript:Sspon.02G0007570-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MECAAKGLAAEPCFGGVADRRCGGCGAVAYCSRAHQVIHWRVHKEECKRFAEQMRRIDVLSQFPFTFLEPPALNHELSSARCLFLQSIKLHQKGLWKSECICGRDVAPVKDLSIEAEWNLQSSLCPCTEPRNPVPSVLASWEDYYEWRSLPLHSPVAVLLHWPLSLYHCIQLSRQTPRDDGQGNLCIHYLGPEKELLQLAAFGELRALFPGVQIHIELVGPEVPQSRDGEVVNISRYACCSDESCCCKSSIGSKDLSCTAVTLKLWKGFYHERCSDIMKDSTPHLIFAPNAGVAAYPSWMPTIEIIRRTGIPAIFTDFCEEAAHLASCCISSITGQPLKIPIQVNPFRQPVAADNSALYLP >Sspon.01G0034570-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:5438404:5440974:1 gene:Sspon.01G0034570-1P transcript:Sspon.01G0034570-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQQLAENPNLMREIMNMPLMQNLMNSPELIRSIIMNNPQMRELIDRNPDLAHVLNDPSIMRQTFEAVRNPELMREMMRNTDRAMSNIESSPEGFNMLRRMYETVQEPFLNATTMGSEGDRNSNPFAALLGNQGSNQARDSAANGTTTASDPTSGSPAPNTNPLPNPWGPNTGSAQGAARSPPASNTRSTTAGGLGGLGSADLGSMLGASGGGSDATFLTQVLQNPTMMQMMQNFMSNPQSMNQLLNMNPNVRNMMESNTQMREMIQNPEFLRQLTSPETLQQLISFQQSLMSQLGQQQAGQERTQSDSGAGNVNLNTLMNMFSGLGAGGGLGVPNAPNVPPEELYATQLAQLQEMGFFDTQENIRALAATAGNVHAAVERLLGNMGQ >Sspon.04G0004870-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:9675006:9696006:1 gene:Sspon.04G0004870-2B transcript:Sspon.04G0004870-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLQSWPWTPVEEGLDVCSCQETPLPGPLHRAGRPSKMATQGKDTGAASVGRGRRLEDVSISEGLRVATAGLAASWGRGEAGACAWYGEASRGARAASEGCSSLLRFTKTALAQSFPPCLVFAPPLIFREPKLPRRRRRRNMAGKSKGGKNKGKAQGAGQSASAEPEVPAADGAEVVNPENGEVNEPPATEDGVADVEKGDGDAPEAVQPARKPAEGELHLYPVPVRTQSGEKLELQLTPGDSVIDVKQFLLDAPETCFYTCYDLILHTEDGSAHQLEDYNEISEIADITSGGCSLEMVAGSEKSPIQELDGLNFMEDSAGALTNLLASAPAEIKCVESIRDWNEELQSCREFPHGNPQESFAVDSDYEHISKDHKPDCQNGSRRSTKVSSPDVITKPDTSHAESAEVADSKSEEAQLADSEQATYASANNDLKGTKAYQEADVSGLYNLAMAIIDYRGHRVVAQSIIPGILQGDKSDSLLYGSVDNGKKISWNEAFHSKVVEAAKRLHLKEHVVLDGSGNPVKLAATVECKGIVGSDDRHYILDLMRVTPRDSNYIGQEHRFCVLRPELIASFVEAESMKQSFKQKVPDAPVASTSDAKATSVEGDDKSEESSVHTHEENDSSSSDILFNPNVFTEYKLAGSPEEIAADEELVKRAGTYLIDIVIPKFVQDLCSLDISPMDGQTLTDALHLHGINIRYLGKGHENSQIQKSSKGHKLSNAAASRKSLSAYSHLTSDGIWFSIKEFAKSKYQFEVPDDARLSAKRVAVLRNLCQKVGITIAARKYDLDASTPFEASDMLNLQPVVKHSVPTCTDAKNLMEAGKVRMAEGTLNEAYALFSEAFSLLQQITGPMHKDAANCCRYGNMALFYHGLNQTELALRHMSRTLLLLSLASGPDHPDVAATLINVAMMYQDASNMNTALRYLQEALMKNERLLGPDHVQTAVCYHALAIAFSCMSLYKLSIQHEKKTYDILVKQLGENDSRTKDSENWLNTFKLREEQVNAQKQKGQGANASDNAVKFLKANPAFLQAMKAAAIQSGDGSANVNRSLNAAVVGEGVPRLRGVDERAARATAEARKKAAARGLNVRNGPAANHASDELAQILKLINAASGSSTSASAKSEESASEGQATNGSVQNGTATEAMAADANGPSASAKSTVNTPVGLGTTLELKKQKSKQKS >Sspon.03G0032210-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3B:35700403:35701173:1 gene:Sspon.03G0032210-1B transcript:Sspon.03G0032210-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PE11 [Source:Projected from Arabidopsis thaliana (AT2G21610) UniProtKB/TrEMBL;Acc:A0A178W118] QNTFGSSGPAVAMRVAGDRAAFYGCRFMSFQDTLLDDTGRHYYRGCYVQGGTDFIFGNGKALFDKCHLHSVSPAGGAFTAHKRSSESEDTGFSFVGCKLTGLGVGTSILGRPWGPYSRVVFALSYMSSTVRPQGWDDWSDADKQRQRTAFYGQYQCYGEGSKTDGRVAWSHQLTQAEAAPFITKVWVGGQEWL >Sspon.07G0017270-3C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:5C:33720038:33723636:-1 gene:Sspon.07G0017270-3C transcript:Sspon.07G0017270-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MENSQDESESDEQEQFLEMKPLRSLAPMFPLPMGYDVATQSTDPMLVFVTPFRPCTSPEQSPASFGQPLPKSPIPLKATPISATFPMPQHKGGSSQDPLKDTPMSEAFPMPRHKDEPSDDPLKTTPISAAFPMPRHKDESSDDPLKATPVSAAFRMPRHKDKSSDDPLKATPMSRSFPIPRHEDESSDEDYKPFSGQKKPTPLKTAKRTQQAECSNAANTKRRSIQRSLNKELAFSSSLSSDPNESVEEAMMMFDSLRRRILQLDEKEDAGKRADLKAGSLMMQNGLRINSSKIIGPVPGVEIGDIFFFRIEICIVGLHAPAMAGIDYISAKHAGKDEILAVSIISSGGYENDDNDTDILVYTGQGGNSRHKDKHDQKLEKGNLALMNSMKTKNLIRVVRSAQDPLYNSSKIYIYDGLYRVEDSWMDKAKNGFSVFKYKLRREPGQRDGISVWKMTEKWKANPVTRNNVIRADISSKTEKLPVCLVNDVDDQKGPSYFNYVTGVEYSRPLSKTKPLQSCKCPSVCLPSDTNCSCAQLNGGYLPYSANGVLVKHIPMLFECSSTCQCCQNCRNRVTQKGVNLNFEVFWTGDCGWGVRSWDPIRAGTFICEYAGQVIDEKNMNMGDEEDEYTFCTSWHSDKVSRWNLGAELLEEKSDNTTTENLKKLPIVISAKRSGNVARFLNHSCSPNLLWQPVQYDHGDDSYPHIMFFAMKHIPPMTELTYDYGTRGAPPGIKGKFPSICKLKPCFCGSTNCRGSF >Sspon.06G0014970-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:82925193:82930014:1 gene:Sspon.06G0014970-2C transcript:Sspon.06G0014970-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GRSIAMHNPRPGGGDIVEMSSSSAAATAAQHEGAARERVIPHSGPLSKKTGARKSARFAESVSAPLTAPPPRAASPASNDDDNDYVEITLDVRDDTVAVHSVKPAHGGGAGGDDSDVTLLARTLENRRSGSSVIRNASSRIKQVSQELRRIASINRRGAGPRFDRSKSAAAHALKGLKFISKAEGAAGWEAVEKRFDKLAENGLLHRSNLGWTTGMKELEFAGELFDALSRRRNISGDSISKAELLEFWDQISDTSFDGRLQGFTCRVDKDADGRITEFFFLQIITLSASANKLSKITEQAEEYARLIMEELDPGNLGYIELYNLEMLLLQAPSQSVRIGTTNSRNLSQMLSQSLRPTPEPNPLRRWYRRAQYFLEDNWQRVWVLLLWLSICAGLFAWKFIQYRRRYVFHVMGYCVCVAKGGAETLKFNMALILLPVCRNTITWIRNRTAVGRVVPFDDNLNFHKVVAVGITVGAALHIVSHLTCDFPRLLHATDAEYAPLGQYFGVPRPPNYWWFVKGTEGWTGLVMLVLMAVAFTLATPWFRRGRIALPGVLKRLTGFNAFWYSHHCFVVVYALLIVHGHYLYLTHKWYKKSTWMYLAVPMVLYACERLTRALRSSVRPVRILKVAVYPGNVLSLHFSKPQGFRYKSGQYIFVNCAAVCVGCRHPFSITSAPRDDYVSVHIRTLGDWTRELKGMCMQVCRPPTEGKSGLLRAEYDRDGSAQLPEGADRRPVRRAGAGLQAVRHRAAGRAGHRRHAHDLHHQGHHQQHEAAGRRPGGRLRLRRRHLGILHGVLPHAAGLLL >Sspon.03G0036490-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:46799402:46801775:-1 gene:Sspon.03G0036490-1P transcript:Sspon.03G0036490-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding WKQFLGKISRKSPKSGGGGGSPPAKSPSSHGAANGAELSASSPALAAFPAPSGAETREDVFLRKLNVCCVVFDFAAERGRDSPEVERKRQVLVSLVDCVSAAEEPLTEAMLSACVRMFAINLFRVFPPKLRSGASAATDEDEPFFDPSWYHLQVVYELLLRFVMSPVVDVKVARKYMDNSFISRLLDLFDSDDPRERECLKTVLHRIYGKFMGNRPFIRKAVSNIFYRFVFETDHHNGIAELLEVFGSVISGFAKPLKEEHKLFLWKALIPLHKPKTVGVYLPQLTYCITQFIEKEPKLTGTVIRGLLKCWPVTNSQKEMMFLGELEEVLELTEMADFQKCAVPLFRRIAQCLNSSHFQVAERALFLWNNEHLFDLISQNRQVILPIIYPALERNARWHWNQSVLNVTMNVRKMFFDMDERLLLACQTNFQEEEKKRAASEERRRLVWEHLERNAAFHPVTGDIGFAVPPTSAPLV >Sspon.02G0049350-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:28864319:28866991:1 gene:Sspon.02G0049350-2D transcript:Sspon.02G0049350-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPFSLLLLAGALVLLVGGVADALSGYQISCGATSAKVAGNVTWVPDGAFIHVGKAAELTGSPGVMPMLSSLRYFPPDDASSSAATTKYCYVVPAAMHARYLVRTTYYYGGFDGGGAPPVFDQIIDGTRWSAVDTAGGYARGLATYYEAVVEATGKELSVCLARSAATAPGRSPFINALEVVPLEGSVYSAVNFTAYALSTVARHSFGYDGGSIIGYPGDRFNRYWEPYSDDGSIPVVESQASVATEAFWNKPPEAVFRRGLTASRDKSLDLQWPPAPLPAASYYLALYFQDNRGPSALSWRVFDVAVNGQPFFTGLNVSTAGSMVYGAQWPLSGQTRITLTPAPGSPVGPLINAAELMMVVPLGGRTHPRDGKTSCPDRYTCRCHAWLVDTRTLFVQITHLVAAAVIGMQELARGFMNPPSDWRGDPCLPKGNSWTGVTCNQDPLARVMGLNLTNLRVGGSISDNIANLTAISSMHLEDNGLTGPLPESLGNLTRLEELSVQNNNLQGTIPSSIRNRATVDTRFRFQYTPGNNLS >Sspon.03G0041690-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3C:44567486:44567806:-1 gene:Sspon.03G0041690-1C transcript:Sspon.03G0041690-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQQRVEEETERITYLFITERGRFNTSERERGRYSKHHRLIITSQIHSSNYRDSTRERERERGDTQHHVPAGEAAPAWPRRHHPRSSTRLHAQPLAPLSVRPEWE >Sspon.02G0016940-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:51292564:51293455:1 gene:Sspon.02G0016940-2C transcript:Sspon.02G0016940-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGTSSGSSQGTQSPRSENDLDLQAQMERRKRRKESNRESARRSRLRKQQHLDDLTSQVNELKDQNKQLSMALSITSQNLVAVQAQNSVLQTQKMELDSRLGALTEILWYMNSSTSTSTAPTNPAMVNGFTTWSSASDILGGSAWNQQQPIDLYQCF >Sspon.02G0019750-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:66334963:66339001:1 gene:Sspon.02G0019750-2C transcript:Sspon.02G0019750-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGPENMEDVDLEEQPLPHSPPPSPRPPLHKSSRKPAGGSRRMLATPVRALSLVVLVVGLLFSVHLLVHDVRTLVLLAAEWLCIFFVMSCVAACERGGDDNDAGGSSSGLGTVAEVALWSFAMALTVTMTFWVTAGLPLPAVAVLYLLSLLAVSACFALYLASASLVKETFGKIAPSF >Sspon.08G0002350-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:5216064:5223250:1 gene:Sspon.08G0002350-2C transcript:Sspon.08G0002350-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADVLDPASDAPRARRPPPPPPDSPEDRSPQLPRLRPAGPLRRAGSGAAPRRRRLRLRSDRRGPRGTGTTAAAAGGAGAAPARRRTAVAAATRRPGGPRRRRSSGRGGTTDTTAAGAAGARRVTGTMIGGYDHERGGGRAGYEDDRNHGRYLNRAPDWPDSGYGATNDGPGVTQREGLMTYKQFMQVLEDDISPSEAERRYQEYRTEYITTQKRAYFDLHKNEDWLKDKYHPTNLLSVIERRNERCKVIAKDFFLDLQNGTLDIDGNSEDDMDSDKRRKHGKDSAKGTDSLSGAPKAHPVSSESRRVQADIEQTLALVRKLDAEKGIEGNVLSSGDHDKSDVDKSHIGSMGPIIIIRGLTTVKGLEGVELLDTILTYLWRIHGVDYYGTSESHEAKGLRHVRVDSKTSSTSDVSAADWEKKLDTFWQERLNGPLVILTAKDKIDAAAAEVLEPLVRKIRDEKYGWKYGCGAKGCTKLFHAPEFVHKHLRLKHPDLVLESTSKVREDLYFQNYMNDPNAPGGTPVMQQSAPDRGRRRPSMDNRLKFDRGSNREHDKAERDGGRYGRGDHSPSRDGPDDQMFDGFRGRPSNGPFVAEFPPPPILMPVPGAGPLGPFVPAPPEIAMHMLREQGPPPPFEPNGPPHGNTGMLGPMMGGPAPL >Sspon.03G0006370-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:22570249:22572328:1 gene:Sspon.03G0006370-3D transcript:Sspon.03G0006370-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHAPSTTPSSTPPLMHSWQSSRGPSESPMPPLNPSPAITGGTFAYDDLAAATDGFSDANLLGQGGFGHVPTLDWPRRWKIAVGSAKGLAYLHEDCHPKIIHRDIKAANILLDYNYEPKVADFGLAKYQAAEVTAVSTRARPLLTRAVEEENYDELIDPRLESNYDAYDMARLVACAAAAVRQTARSRPRMSQTPAPERLTLDAAVVASLNTRFPLPNDQIVRYLEGELSVEDLNAGVMPGQSAMQRSGGGTTDQINRLRRMAFGPGAGGSTGTGTITEYASSEFSDPTSEYGLNPSSEYTASSAGDTGEVAVGAQGQQWHGRRAAGDTERMSRRTTGRRARAQP >Sspon.08G0019750-2D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8D:15141419:15141638:-1 gene:Sspon.08G0019750-2D transcript:Sspon.08G0019750-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding QLADPSEKTKSDTDKNMSAIFDLLRRKKNARLEHLILNRTSFAQTVENIFALSFLVKDGRVQIKVNDEGHHFV >Sspon.02G0013930-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:34832326:34837343:1 gene:Sspon.02G0013930-2B transcript:Sspon.02G0013930-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDISNLALVPIVSFAHEPLKHTYANALGKRALPIDCISESNVYSKECTLHSTYSENMYAARWSPLRNEVHQKLGVGLQLVSRAIGSGLTPSAAARPPRQQRVQTCLQEVPSPATLLAPTYCLQTNFFQLRASLQSKCAASLCSKWASFARPFSAKAAGNEVIGIDLGTTNSCVSVMEGKNPKVIENAEGARTTPSVVAFNQKGERLVGTPAKRQAVTNPQNTFFGTKRLIGRRFDDPQTQKETKMVPYKIVKAPNGDAWVETTDGKQYSPSQMGAFVLTKMKETAESYLGKSVSKAVITVPAYFNDAQRQATKDAGRIAGLDVERIINEPTAAALSYGMNNKEGLIAVFDLGGGTFDISILEISNGVFEVKATNGDTFLGGEDFDNTLLEFLVSDFNRTEGIDLSKDRLALQRLREAAEKAKVELSSTTQTETNLPFITADASGAKHLNITLTRSKFEALVHQLIERTRDPCKNCLKDAGISTKDVDEVLLVGGMTRVPKVQEVVSEIFGKSPSKGVNPDEAVAMGAAIQGGILRGDVKELLLLDVTPLSLGLETLGGIFTRLINRNTTIPTKKSQVFSTAADNQTQVGIRVLQGEREMAADNKLLGEFDLVGIPPAPRGMPQIEVTFDIDANGIVTVSAKDKATGKETNITIRSSGGLSEADIQKMVQEAELHAQKDQERKALIDIRNNADTTIYSIEKSLGEYRDKIPAEAVSGIEAAVADLRKEMASDDIEQIKAKLDAANKAVSKIGEHMSGGGAGRSQSARCQGGGDQAPEAEYEEVK >Sspon.04G0011370-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:37682470:37682661:1 gene:Sspon.04G0011370-2D transcript:Sspon.04G0011370-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAMLSPAGWHVSRDASAAVHKKEGKSYRQGKAKPFFQVSLSPSKSYVFGGGGGNRYLLVSCP >Sspon.02G0000740-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:2582618:2587127:-1 gene:Sspon.02G0000740-1A transcript:Sspon.02G0000740-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADVLFMRVMGSKTRIYIVLEFVMGGELHDIILENLLLDVAGNLKISDFGLSALSDQVKVIDDKGYDGALADLWSCGISEAQFTCPSWFSAGAKRLINRILDPNPSTRITIPQVQKDPWFKKGYKQPVFDETFQASLDDVDAAFGDSEEHHVIEEIEGQPASMNAFELISMNKGLNLDNFFESDKKYKRETRFTSQCPPKEIINRIEEAAKPLGFDVQKKNYKMLLENPTAGRKGNLNVETEVFQVAPSLHVVELKKAKGDTLEFQNDA >Sspon.01G0016700-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:57570447:57574232:1 gene:Sspon.01G0016700-1A transcript:Sspon.01G0016700-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heme oxygenase 2 [Source:Projected from Arabidopsis thaliana (AT2G26550) UniProtKB/TrEMBL;Acc:F4IUM0] MPLIAAAASAVAPPPPRRVAPLRSLGTRRPGILALTATSCSPSPPPPVVAAEAPAPPQEAKPKPRRYPKQYPGESVGVAEEMRFVAMRLRNPKRTTIKDKAGTADADAGAGASENEDEEDGGGMKEEHEKEEEGELESGEWMPSMEGFVRYLVDSKLVFGTIERVVAESTDVAYVYFRKSGLERSASISKDLEWFRKQGIAIPEPSTSGSTYAAYLTELAESNAPAFLSHYYNIYFAHITGGVAIGNKICKKILEGRELEFYKWDTDVELLLKDAREKLNELSKHWTRKDRNLCLREAAKCFQYLGKMVRLIIL >Sspon.06G0031110-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:54301356:54310099:-1 gene:Sspon.06G0031110-2D transcript:Sspon.06G0031110-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPGGRRGAKQRPKWTREPQLGDLVLAKIKGYPPWPAKISRPEDWQHKPTPKKFFVYFYGTQEIAFVSLADLEEFTEKTKNDLLDRAPNIKVQRKYVQVFNDAVEQICKAYDELPKTSEAANGALPDQSEKTTEHLVRSPDVGEIPGLGQMEGDSPTDYSNTSGQGSGTEEDVKDGGHEVGDHSLAVSQKKTSLLQDPKHPKTKKSAASKSALDMYTEQEHSPTSVHTERETEEVKIEKESRSLEGFDLEPNLEVVCALEVPKKSKSNKLLRDAERKENKRAGIGSPTGRIAAEAASDVVLNMSTGKESREFKKSKIMTKLSLTTDSEKRDYNKTVHGKPDKQLTGKSSAGFSSNKKSLPGSGQHKMSSSADTRPAKKPRLMDRAGETDKTVAKSETKNINYEKHNAMKHERSTAMETGKNTILKTGTSDGARRSGGVVSPISRLHSEVMEPASGSVTQSGVADSVKKGSSMKEDASRVDRQLVKPKRRACRFDDDQNEGQRTPLHRTSAKSIGEIHRTSAKSIEHVPSEKAGTRGHVGNASGKKSGPAREEKSRSVRMSPVKHEPVFSSPSQDKVHARHQVTGRRLITGSVETPAVIGNKMNLVDCKSSAQVKMPASSEVKKLHSSSSKPSHLTSGNSHSRNYPASEKIALLPKSEETKAKSKPTASVEHKVGTNLSTEWTGKRDHLKEERSSSVDKAASSEPNPDSAKSIKHLIAAAQARRNLMASAHAKFDGIAFPESPGQRILKSPMELDNGHGKSPKSRQASGSPSGGTDAAIARDALEGMIETLSRTKDSIGRATRHAIECSKYGIAGEIVELLIQKLESEPNLHRRIDLLFLVDSITQCSHSQRGVAGASYVPTVQAALPRLLGAAAPPGAGARENRRQCLKVLRLWLERKIMPEDILRKYMGDIEVPNDGTSTALMLKRPSRAERSVDDPIREMDDMLVDEYGSNATFELSGILSSKVFEDDEDFPRNNGSSPFVSRPVESDVIQETEETIAPASVEEHIIRVENVTTDAAMEDALEILGNNQQTDGAVLIEHEHDSSQDPCSEQALIDQDELPPLPDGPPPLPSDSPPPPPPLPPSPPPATPPPPPPPLSPASPPPPPPPPLPSGPPPQPAPPPPQPTPPPQPAPPPLPTQAPPLPSIPPPVPSSPSSLGYQPPAPEYFMTPNGNQLTQMTGNTSIQAIGNTTTFIPGGPVNGQAAVNFVTSMPAEYGNNNFRPTGVPFQQGNFSAFPSAQTPPVHPHSCMAHMNPMGQQAVPPPRNPYAVQSFPNSQSHYPPEEHWRMASGNFSPGGRALSCSEGPFMQDGFDAESSGHWRQFELSATVGGFSNDKYHKEMPI >Sspon.07G0003670-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:24359409:24360854:1 gene:Sspon.07G0003670-2D transcript:Sspon.07G0003670-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPSESQDTKAQKLVVIYAPPVMISHLFSLVDLGELLAAHNLEVAIVLGGRTDDMAAGGDAAAGSFAQGLAAAHPQLSFHCLPYITRPREPAHDYVVQTFELARASNSDLREFLRAATPSPAALVLDFFCGSAVDVGAELGIPTYFFFTSSISGLAELLYHPLIHEQTSISLRHLGGELLHVLGIAPIPVDDLPAAYQDRDSLGNRLFLALSEQMCNSHGLIVNSFRSLEPRATDAIVAGLCTPPGRRTPPLHCIGPVIKPLEEVGAKGHECLVWLDAQPEASVVFLCFGSMGRFSAEQTRHVARGLEMSGQRFLWVVRRPPGGEEDGQKPDDGHDALLPEGFLERTKGKGLVVEAWAPQRKVLAHGAVGGFVTHCGWNSVLEAIMGGVLMLAWPMYAEQRMNKVFLVEDLRLAVAVEGYDKEIVKDEEVAAKVKWLMESDGGRELRERTRAAMRKAMEALSDSGESSTALLELVRQWKM >Sspon.01G0020180-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:74766105:74769282:-1 gene:Sspon.01G0020180-1A transcript:Sspon.01G0020180-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPHLPAAVASPSSSASTTTTTSRAAAYHHHHLLDAAAAPPSSSPHQHRRRRRRRVPGCFLRPRPPRAASVRCCAAAAPAPQAALPAAARAEAATTRVFVVSDLHTDYPENMDWVRRLPAEVGAGKGQGVDALVVAGDVAETRDNFARTMEVLRERFAAVFYVPGNHDLWLRREGGRYMDSLEKLTALLDACSELGVDTGPRTIGDLGIIPLFSWYHKSFDKEKDVNSVRVPSLEMACKDFHACQWPSDLTNDDEALALYFDKLNDKNHDAIEEVKSSSKQILTFSHFVPRQELCPEKRMLYYPYLPKVIGSDFLERRLRDIHSNRKDGAACHVFGHTHFCWDSVVDEIRYVQAPLAYPRERKRRMNSEGWLPFCVYRDGFNPEIYPALWSDYYNKNKREPENTQLAPWVASHFAKYH >Sspon.06G0013840-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:72525302:72528042:-1 gene:Sspon.06G0013840-1A transcript:Sspon.06G0013840-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSVEKTSSGREYKVKDLSQADFGRLEIELAEVEMPGLMACRAEFGPSKPFFGARISGSLHMTIQTAVLIETLTALGAEVRWCSCNIFSTQDHAAAAIARDSAAVFAWKGETLEEYWWCTERCLDWGEGGGPDLIVDDGGDATLLIHEGVKAEEEYEKTGKIPDPESTDNAEFKIVLTIIRDGLKADPKKYRKMKERLVGVSEETTTGVKRLYQMQETGALLFPAINVNDSVTKSKFDNLYGCRHSLPDGLMRATDVMIAGKVAVVCGYGDVGKGCAAALKQAGARVIVTEIDPICALQALMEGLQVLTLEDVVSEADIFVTTTGNKDIIMVDHMRKMKNNAIVCNIGHFDNEIDMLGLETYPGVKRITIKPQTDRWVFPETNTGIIVLAEGRLMNLGCATGHPSFVMSCSFTNQVIAQLELWKEKSSGKYEKKVYVLPKHLDEKVAALHLGKLGAKLTKLTKSQADYISVPIEGPYKPAHYRY >Sspon.08G0007350-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6C:84192741:84193292:1 gene:Sspon.08G0007350-2C transcript:Sspon.08G0007350-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNFAPNTFNHTQFPTPPTPFNHSHYLQHSQPTPTHAMHNLNHFGGVGNLQQYAQFSPGYQGFQQLPNFGFPSGMFVGAAGGASSHSSDSATPQSQIREPEKDEEKEDSSASSPDEGRRTVRINYSEDENLRLVSLWIKHSVDPIRGTDQSREAYWNKIAEAFNSGQAEGARRRSKGQLKSHW >Sspon.01G0042870-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1B:68720146:68720490:-1 gene:Sspon.01G0042870-1B transcript:Sspon.01G0042870-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLAAVLVAFLALFAVAATAQAPAASPKRAPAPAPPKMAPLPPPPTRAPMASPPSPPMTAPVSAPSADAPATSPIGAGVGDATAPAGASALTPAAAPVTEKSAAASASAASFFV >Sspon.01G0014920-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:42763072:42769223:1 gene:Sspon.01G0014920-1A transcript:Sspon.01G0014920-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSILAAVSASPFLLAGSGSSRRPLGAAPIRRAGLRVAALKYDPAKVAPQNDRVLVRLQQIPEKSAGGVLLPKSAVKFERYLMGEILSVGADVSEVEAGKKVLFSDINAYEVDLGTDEKHCFCRESDLLAVVE >Sspon.06G0032440-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:67569811:67575826:-1 gene:Sspon.06G0032440-1P transcript:Sspon.06G0032440-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRATRRCPPLLRWLSSDAAASKAPRRQRVAALWGNGDYGRLGLGALESRWSPTVCPFFLARAADPPASLACGGAHTLFLTQSGRVFATGLNDFGQLGIGSSVTHTLEPVEVSGFHERVVEVSAGNHHSCAVTADGKLFVWGRNSGGQLGLGKGKVVSTPTKVDCLTDFRIKMVALGSEHSIAVTEEGKVLSWGAAGSGRLGHGRQSSILGFSLTSSEYTPRLIKNFDGIKIKKIAAGMLHSACIDEKGTLFIFGQKTEKVGFGRSNEAFRPNIVEEIPFSEEVACGGYHTCVVTDSGDLYSWGSNENGCLGLGGTDMVRSPEILKSSLFKLPVSKVSCGWKHTAVISGDDIYTWGWGGANGTFFEEGHSSGGQLGHGNDVDYFEPMMVPFGKNARAVHVSCGFNHTGAIYEYSEG >Sspon.04G0032710-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:32170854:32175354:-1 gene:Sspon.04G0032710-2D transcript:Sspon.04G0032710-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPGKETAATPPPLPQETVPHAPAAMAEPRLEADTPISASQDTETAGDGTATLDSAQPKAEPAHQPPPPPQETVDMAAVGAPEKTTTEADGQPPVATTPQKFAALPPPAETPAQESAAAAAPTPSPPQKQEGGDPKLASSVHEEEKGASKARVEEGEEKPARRRWRCLRAAVCLLFLRPKSGETKPATAATPPGDKQPVSGLEEKKPTPGEMPTPQKDSGSAVRVPSKPEAGKGEGETKPAALDGKRPEPGQEQVATLPPPAETSSQETMAMAAEAEAEKQLAAPTPQQPPRKQEGGAGALEQAPSVQEEKGAAPAPAAARRQEGEKKPARRRWRWLQAAVGLLFLRPEGRESKGRRDETQAGKTTPQLEGEHPSLKKFRKAGRLVQFLMSWYNKHRSHRRNNWPREEVRLEHILEEAFTRLLAMEYTKELSGVRQKCLLTFSVFELASEVKKQTMIYWWVAEFNLRHRSDPPAHAARAPPPPAAAGGGRTSDSPAVAPQGKGADADRRDDDAEGIFFMLSDHGFLVPIKNWCSKVIHGCQVNPLVHWMLKRQARGDRFAELDDKGSPADLQLNSSILCLTAGNRPVLQKMRMEDESQSQPQQQAQARKKDESQAGRKRKVTKEPSLQRKGSRVQDQDQQQPTAKDEAQARSSKSMAAADKAPSHKDEDSTQAKEDQLIIKGAQNIKPSSQLEYVDDIPPLFEGKRVILNVNAHVYPISKFAFLNLAECLVVLQLGRWNNLDDKTYMEVDGLDSRNAIGLLKNLRYLGLRGLSRLTELPKGIETLKKLVILDMRGCQNLVKVTASVITQLKQLTHLDLTECYMLEHIGRGITSLTELQVFKGFVFATGTQGKKACRIQDLKRLKKLQKLTVSITTDANVGKSEMEDLKYLTSLRKLTITWSEIPSILEGDTEKVKKKRKDLVERWTSFQLPKDLMKLDIRCYPKEKLELEWHEKLEKLYLRGGDMERFSTKKSTSIKTLRLRYLQNFKMGWDEIRSELEKIEYVEIVVNKDATQEKDKDDVVKHIDEEVVKSLMKIMKIPDFTLDRHGVWTKDEKEEHNQKFHATNKDVAGIDKGQDDAIGKNK >Sspon.04G0007420-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4B:17799365:17799949:1 gene:Sspon.04G0007420-2B transcript:Sspon.04G0007420-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding EEGWVVCRVFKKRVATVRRMADGAPWFDDHVAGGFMPDLIGSPQLMHHPNAAAAVYSGGQQQLYHCKPELEYHHLLPSQDAFLQQLPQLESPKPPPAYIAQGSCSLQSPDEASGYAAQQPPLMEAAYMDDSVTDWRVLDKFVASQLFSHGDGTAKEAGYPNPAPAFQAENKQPEGALDYASTSASGGGEASMWK >Sspon.02G0057490-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2D:60271415:60271711:-1 gene:Sspon.02G0057490-1D transcript:Sspon.02G0057490-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AAGRANWQEAEAVELRVDSKSSFCSSSGQELVFHERSKLLRIRYHFIRSCLEDGSVKTNHINTTHQLANILIPHQVTWKSKVPGDEGKDWADSDQLRD >Sspon.07G0029980-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7C:150367:150594:1 gene:Sspon.07G0029980-1C transcript:Sspon.07G0029980-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGLPKEGAPAVDVSVEGTLVWLRRPNGSWWPSIVISPQDVPEGCPPPPRCPATPIMLLGRRPDGPTYVYAAPSFL >Sspon.05G0035940-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:82524671:82528080:1 gene:Sspon.05G0035940-1C transcript:Sspon.05G0035940-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPYGDGKGPSLKSQSQNQQPRPGPRLKPAIEMEDLINLLHGSDPVRVELTRLENELQFKEKELGDAQAEIKALRLSDRAREKAVQDLTEELAKVDEKLKLTESLLESKNLEAKKINDEKKAALAAQFAAEATLRRVHAAQKDDDMPPIEAILAPLEAELKLARQEIAKLQDDNRALDRLTKSKEAALLEAERTVQMALAKASLEENKILDRMHRQKVAEVEKLTQTVRELEESVLAGGAAANAVRDYQRKVQEMNEEMKTLDRELARAKVSANRVAVVVANEWKDGNDKVMPVKQWLEERRILQGEMQQLRDKLAIAERAARSEAQLKEKFQLRLKVLEEGLRMSTSRTNVSGTRRQSIGGADGLSKANGFLSKRPSFQMRSSVSTTTTLVNHAKGASKSFDGGCRSLERYKGLVNGNGMNVSTDSSEDKESNNSDEKCNEFASAESEDSVSGVLYDMLQKEVIALRKACHEKDQSLKDKDDAVEMLAKKVDTLTKAMESDAKKSRRELAAMEKELAAVRMEKEQDSRAKRFGSSSGSGSSSQLPPGRTLPRSGSARNM >Sspon.06G0012700-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:66835507:66839211:-1 gene:Sspon.06G0012700-1A transcript:Sspon.06G0012700-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRSSLLPLLLLCTAAAAAAAASFSSSRNAELDSLMELKAALDPAGRALASWARGGDPCGRGDYFEGVACDTRGRVATISLQGKGLAGTVPPAVAMLPALTGLYLHYNDLGGEIPRELGGLPDLAELYLGVNNLSGTIPVELGRLGSLQVLQLGYNQLSGSIPTQLSQLNKLTVLALQSNQLTGLKKLNEGFLYENNSELCGAQFGSLKACPNDGNDDGKMSRKPESTSVKPQQIQKTIDLNRNCDNGGCSKPSTLSTGAVIAGTVIIVAGAAACGLSVFSWHRRQKQKVGSSVEHLEGRPSLDQSKETCQRSASSLINVEYSSGWDTSSEGSQHGVRLSSEGSPSVRFNLEEVECATQYFSDVNLLGKSNFAATYKGIMRDGSAVAVKSINKSSCKSEEADFLKGLRMLTSLRHENLVGLRGFCRSRARGECFLVYEFMANGSLSRYLDAKEGDADAAVLDWPTRVSIIKGIAKGIEYLHSNKPNKPSLVHQSISADKVLIDHLYTPRLSGAGLHKLLADDVVFSTLKDSAAMGYLAPEYTTTGRFTDKSDVYAFGVVVLQVLSGRRAVSPHLRQGCGGTAAAESSSGGGRLDDLVDPRLCGRFSRPEAAKLAGVALLCTADAPAQRPAMAAVLQQLGTSQ >Sspon.05G0009420-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:27110345:27111097:1 gene:Sspon.05G0009420-1A transcript:Sspon.05G0009420-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQKIVIKVSMSSEKSRSKAMALVARADGVSSMGITGDGKDRLEVVGVDVDTVCLVTCLRKKLGHADILQVEEVKDKKPEEKPKPKAVELPPYYCPCYYGYYCHYHRH >Sspon.03G0028330-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:9079429:9080412:1 gene:Sspon.03G0028330-1B transcript:Sspon.03G0028330-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSVCLLGCSKRDIRKGGLVWGGCKLDNVPLETIQHQPLKATNITNIIFFATLDFMPLLRRLHLRFPPWKIQNPRLHGATLLICPSVHDQGFCSFHLLNTEWNGKSAGTLGVTLTVSLPALEPPICIQTSQVGHCNSPATLQLGVLYVSLGLLTIGAGAIQP >Sspon.05G0017910-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:72070571:72073533:1 gene:Sspon.05G0017910-1P transcript:Sspon.05G0017910-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRWAPPAGLVLVALLALLLAPAAVRGDKPVRGGASSSVAGVETEASSAVFPLYGDVYPHGLYYVAMRIGNPPKPYFLDVDTGSDLTWLQCDAPCRSCNKVPHPLYRPTKNKLVPCVDQLCASLHNELTGEHKCDSPYQQCDYVIKYADQGSSTGVLVNDSFALRLANGSVVRPSLAFGCGYDQQVSSGEMSPTDGVLGLGTGSVSLLSQFKQHGVTKNVVGHCLSLRGGGFLFFGDDLVPNQRVTWTPMARSALRNYYSPGSASLHFGDQSLRVKLTEVVFDSGSSFTYFAAQPYQALVTALKGDLSRTLKEVSDPSLPLCWKGKKPFKSVLDVKKEFKSLVLNFANGKKTLMEIPPENYLIVTKYGNACLGILNGSKSVGSSDKTNQPHLRNITMQDQMVIYDNEKGQIGWIRAPCDRIPKFGSSALLDNTIHGFEEGYCWPQFPGIIGLPNEDCPAYFRSNKE >Sspon.02G0002760-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:9364831:9368075:1 gene:Sspon.02G0002760-1A transcript:Sspon.02G0002760-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVDGEAQPFRLFSKRGKSKPKLKLEPEVQPQPEPDPTSPDPAAAAAAEADHPPSPTIVTETGQADDAEAAAGAAPATFADLGLSQWLVDACDALGMRRPTAVQRRCIPRALAGEDVLGIAETGSGKTAAFALPILHRLGEDPYGVAALALAPTRELAAQLAEQFRALGAPLGLRCLAAIGGFDSLAQAKGLSRRPHVVVATPGRIATLVKYDPDLAKVFARTKFLVLDEADRVLDVNFEEELRVIFGCLPKKRQTFLFSATMSDNLRSLLELSGNKSYFFEAYEGFKTVETLKQQYIHVPPEGKELHLWYLLSVMKGKKEDPIRSAIVFVSTCKVCQYLDLLLEELGYAAVALNSHKSQAQRLLALNRFKSGQVPVLLATDVGSRGLDIQTVDLVINYDMPMSPRDYIHRVGRTARASRGGLAISFVTQKDICLLHEIEDIVGKQLEAYECSDKEVTKDITKVFKARRLAKMRTRDEGHDEKVEARKEQKKRDRARKRKHED >Sspon.02G0027070-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2C:124694723:124695067:1 gene:Sspon.02G0027070-2C transcript:Sspon.02G0027070-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEGCAGAEDIAIYQGHASSLPGGVPAYKVDVINQCMGDLDGGDCAIAGIHVRCGWFSSVSLVDPRKFRRLSHDDCLLNDGRPLLGGETISFEYANSFPYELSVRVATCVDPTTT >Sspon.06G0004810-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6B:12268142:12269215:-1 gene:Sspon.06G0004810-2B transcript:Sspon.06G0004810-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHVKVGAKKRGRPDGSKNKKVVIEIMTFASVVNYIVFAHLPICRLHAGTGTAVAPLPNMLFQSRMWPESLLRHMALVMDEQVVGAGTLLGLSEFSERNMGKTLLSRTQGTKYSVAIPVI >Sspon.02G0058220-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2D:71459045:71464424:1 gene:Sspon.02G0058220-1D transcript:Sspon.02G0058220-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPGGPLPLPTLCAGSSMAPPLGNSSAPPPRRNPRCLCAGCGGAEARLLDLTVTHPLLLLLRPMAASLSRSLSLPLDGAQSGGWPRGTLAGDHWHGFPRCCLLLVLHETLDASLLPAAVAATVSRRPPRRSPPSISTYRTGHPGPAGAAPALPPRHCLLQVPVFLVPPDLRFGYSRVEIKLPCLLVLCLQAQFDWCTAAREEMPEIELQGASQHDPQHPHFFLHGRAKAHALTLVLNTEKI >Sspon.04G0008750-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:25393776:25394990:-1 gene:Sspon.04G0008750-1A transcript:Sspon.04G0008750-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARILLAALVAMLLVVCPCHAARPAPEQAAKSSTVKEKAVVDGITAIYNFGDSLSDTGNLLREGATGMLQYTTGLPYGSAIGGATGRCSDGYLMIDYLAKDLGLPLLNPYLDEGADFSHGVNFAVAGATALDAAALARRGVAVPHTNSSLGVQLQRFKDFMNANTHSPQEIRKKLAHSLVMVGEIGGNDYNYAFSANKPAAGGARNIYNFGRMATGVAEAMALVPDVVRSVTSAARELLDMGATRVVIPGNFPLGCVPSYMAAVNETDPAAYDANGCLSALNLFAQMHNVLLQQGIRELRRSYPSATISYADYFYAYVRMLRDAGKAGFDEAARTEACCGAGGGAYNFDMDRMCGAPGASVCARPDERISWDGVHLTQHANSVMTDLLYHKGFASPAPVEFPRT >Sspon.02G0052360-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2C:91124510:91124654:-1 gene:Sspon.02G0052360-1C transcript:Sspon.02G0052360-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLLSASTSALIGSASVLGLALHLGGADRSPWLLRICTDCPMPVSGCP >Sspon.01G0061500-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:94680101:94688742:1 gene:Sspon.01G0061500-1D transcript:Sspon.01G0061500-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDATGLRMGNKGSTKGAPMPGMEGSVAKDLKKAPASLEENKSSGDEHLGGGPALTGKKRKDRRGSADEDRSGVTKRVLRSDAMKLRAEAEAACGVAVEVSKTDSLERKHYEAIVEAEACKSRVLMEVTCNGEEANGLVNLDVSIVSEESARCSENNMGMPGVPATDFSQGDGDGLGTQGSNAESDDKTVKSDEKVSAVTHSEQNDSKAGINSLSVDEAQDNIVRHGPCQGEVIDSAIANDVDTSTYLTKISPTSGSESVKQEDSKQENNVVHTEEVILHSSDPKVEKHSHIDDVCTETEISLTQNGRCAVDNHTDLTGCTKQEERGSPVNEANDVSSHDIVFTRRGRKTCEAKQGTCEESWFEKRVTRSATVRQREVSGSSRKTTTNEAALGSRGRKGGIVAHYTRKVSSAVSPKGHHAELGERNTSMEKQTVKEKVVDRRDSGVTENDNHVNATEIKESENETEINLKTQPPVGSVRIVKKTTGAAVSAVDQNISGSAITERNDMEHTDSDGVKSENKTPVQKPLLSVGAKIVASKKRILEAGLDKITGRSPIALPSMKKTRNSSSDPEIDQPDKSSGEKLIGNNSDLGNKRVLRERQHRNQTNLSSRSSNHSNQNAIKQTQDQSDDDEISSDTSYRRTRSGRRRGAARLVVPKQEDSSDSEEVVVKQNRRKRKKSVHKQRAGSKLKHTSGPSKAGRGRPPLIKSESSSLSLQPGKGKMNVPEGTGTLREEKQKISDQIKAMLLDAGWTIDLRPRNGRDYMDSVYIPPSGKGSYWSVTKAYYAFRASMESEQKESTKDQTLSKKSVGSPGKRQVSSSPGCTLTEDILSKLKRVVVNKRTTKVEIQRLRQKRFKKEKKKNTANSRRLHLGNERKKRGGCALLVRGSNKESGRGTDGFVPYEWKRTIFSWLIDLDVLSVNTKLKCMDESHSKVLLEGIVTRDGIDCSCCSEVLSVLEFVAHSGSEVNKPYRNILVDGQDIDLLHCLINAWNMQSDAERQDFFPVSIEGDDPNDDTCGICGDGGNLICCDGCPSTFHMSCLGLELFEELQNLLAVKKDLEPEYSCRVVQRIHEDVPEEVLPLDTRVECNSKIAVALSLMDECFLPIVDQRTGINLIRNVVYSCGIHGTKLAEMPFIGTRNMYRRQGMCRRLVDGIEMILSSLNVEKLIIPAITELVDTWTSRFGFSPLEDSEKEEVKSISMLVFPGTGLLQKPLLKALPKEDQCSQGDKTEKSPVVANEDSLCSDASADPLGLGVKKHGDNSRNADGICNGDVSQQSPHSCFLGPKLYMDEASISFCTS >Sspon.01G0005440-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1A:14579902:14580870:-1 gene:Sspon.01G0005440-1A transcript:Sspon.01G0005440-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTKRTNPTQPDRRWINHPLRDGDLRFVGNRSGAERAGTERSTSLSLPYYAVAPMLTLQELAQRLGTGSYTTGAPGYLFFRDAVLGFLEEQWERPSFTGDGGKGEDDGSCR >Sspon.06G0009160-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:49053947:49057499:1 gene:Sspon.06G0009160-1A transcript:Sspon.06G0009160-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGETPEPAAPATFLRGEEGASPESARARFERMIRRVQADVCAELEAVEGGTSNGGGALFREDAWTRPGGGGGISRVLQGGRVFEKAAVNVSVVYGVMPPEAYRAARPEAAAAAAGGEKAGPVPFFAAGVSSVIHPVNPFAPTVHFNYRYFETEAPKDAPGAPRQWWFGGGTDLTPSYIIEEDVKHFHSVQKQVCDNYDPSFYPRFKKWCDDYFYIKHRGERRGVGGIFFDDLNDYDQETLLRFATDCADSVLPAYIPIIERRKDTLFTEEHKAWQQLRRGRYVEFNLVYDRGTTFGLKTGGGLRVSLFLFLLPHGGSMIINQK >Sspon.06G0004460-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:14072217:14073862:-1 gene:Sspon.06G0004460-1T transcript:Sspon.06G0004460-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MITAISENPANFKGKVVVIRGEGPKGGPGMPEMLTPTSAIMGAGLGKECALLTDGRFSGGSHGFVVGHICPEAQEGGPIGLVQSGDVITIDVSKRVIDVDLTEQQLEERRRKWTPPQYKSTRGALWKYIKLVAPASRGCVTDE >Sspon.03G0011350-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:35390950:35394589:-1 gene:Sspon.03G0011350-3D transcript:Sspon.03G0011350-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGALLSSPHTLPSPTSQFSLRPRSRGPLGAGAARVLPPRRRARVLASCSREPAARNRCLEVERRRLLMSGLVSSFTIVLPISEAYAIMETDEDVKMNTQVDEINAYSFLYPVELPGKKVSFKWVESRKPERYSSAAPLSRLYAADARQRIVSERVDMIHNVVISVSIGPPNSRFLPSKDKSSWDPKDVADCILSDRSTLKVTTGQRMTESSVLDAHATKAPEPNLFRHNVACTAERDGYLYSLNASTLSKQWESMGPFLQQTVASFRLLPLQKTMFLPTRILGDFGEWRFFL >Sspon.01G0004550-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:56369156:56376637:-1 gene:Sspon.01G0004550-2B transcript:Sspon.01G0004550-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAMTGGKFLQNFRLYETRSKFYLIGRDKTRIHWRVLKIDRLESTELGVEEDPTIYTENECQELLCRIHEGNKLTGGLKFVTKCYGIVGFVKFLGPYYMVIITRRRKIGTICGHEIYSVAKSEMIAIPSVIVWPNVAYSRDENRYKRLLCSVDLSKDFFFSYSYNIMRSLQKNITEKNTGQVVYETMCVWNEFLTRAVRNHLKNTCWTVALVHGFFKQSKLSVSGKDFWLTLIARRSRHFAGTRFLKRGVNEKGRVANDVETEQIVFEDTSDGIPTQIASVVQHRGSIPLTREKKPRESLLRAEFAKAIHYINKSLPDDKRLKFLHMDLSKLSRRKGTNVLALLNKVASDVLDLTEFLHCEISTSTKPDDTSSGEETVAKPRDDRSSRDQTECAAKLAPRLLQKGVLRTNCIDCLDRTNVAQFAYGLVALGRQLHVLGLTEAQKIELHDPLADDLMDFYERMGDTLAIQYGGSAAHNKGPMEGSNPISGVPSNLQRYYNNAYTDHEKQDAINMFLGHFHPQQGKPALWKLDSDQHYNIGRQGTLKEEIGRSFIKRSLSDGNIMLENSLPITNCNNETNNTELLPMQQLDDIREPSDSAPEISICEPNPCSSMNYGTVPGRHSMSEERQSYLKRLGFPELHSSNFLDLDLLSSSGNSCDEEVFERSSLINSPLDVISVESSTSYSEQGHIDEGRDDTDLSRSSSQLSDVREYSDRFAHWVANGGMLCY >Sspon.07G0009410-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7A:26527276:26527680:-1 gene:Sspon.07G0009410-1A transcript:Sspon.07G0009410-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RSSGPDEANEVVEEEQEVYLIEEQRSSGPSEANEVLEEEEDDATSDDNNFCASCNSCYKANAFWISCNQCGKWYHGKCVNITSSEAEHKEHYECPDCYYERVGWS >Sspon.05G0007070-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:22175978:22178345:1 gene:Sspon.05G0007070-1A transcript:Sspon.05G0007070-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFKRFVEIGRVALVNYGKEYGRLVVIVDVVDQNRALVDAPDMVRCQMNFKRLSLTDIKIDIKRVPKKTTLIKAMEEADVKSKWENSSWGKKLIVQKRRASLNDFDRFKIMLAKIKRGGAIRQELAKLKKVAAAA >Sspon.07G0018790-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:73161081:73161773:-1 gene:Sspon.07G0018790-2B transcript:Sspon.07G0018790-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLRQPSSRRQSGLRQRRPRRPGSSLADGVICRYAYAACFTSGCRIMCMSPQLPHPPPPMPPQAPGEPPAAAYSGDDRMSRRLVYPCPPPPLLLLLSSPSLPAPFGISITGGGAHRGAASDGAAPRFLGVRQCRSSKSPAAEPLASGERGRRRHCCDVGDGESFCGSGRTAAGAGGIAEAVGGSNQTAAKVPRAAGDVDDEDSLVWWTGGGADEGVEGEGLEEPSRGGGEN >Sspon.05G0015390-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:59043922:59046390:-1 gene:Sspon.05G0015390-1A transcript:Sspon.05G0015390-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVRHAQGVHNVEGETDHSAYMKPEFFDARVTPLGWNQVDRLREHVKKCGLAEKIELTAVGVFGGESYSSGMSVPPLMVENAADSGRPAISSLNCPPFLAVEACRERFMESDEDVLWVPDVRETSESLAERGMKFIDCFANCELRSLVLVDRSMLGSDRPSYNYPGKIPSGIDLPSDDVAADKKQPCIEEAQDRT >Sspon.06G0003430-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:8563882:8567900:-1 gene:Sspon.06G0003430-2B transcript:Sspon.06G0003430-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLVPGVLLKLLQHMNSDVKVAGEHRSSLLQIVSIVPALAGSDLFTNQGFYLKVSDSSHATYVSLPDEQLDLILSDTIQLGQFVHVDRLEAATPVPILRGVRPVPGRHACVGNPEDLVVTSSSSFLGSGGKAQPSVNGGGSKDAVGALSLEKEQSKLEKINASVKSNGTESKKPQLTESNSNLSKQALNGLLDKKDGLSSKAKSTSARSMPSSPTSVHSLPASFDKFSNDMKQRVKTKGAEKSSPSRLSLLEKAASVLKATTAGRKSSVGNSISNTLLSFESGPKALRRSWEGKGDAKDKGNSDSKPAKAEKKSENRSSSTPRRKPVAEEKPLHKDDSKILNPPRKSSASAPADDSDKVVNKLSSPIRRTSGVLNNPNITNLVKLAASSRKLTDASTSWTTLPPSLAKLGKELLKYRDAAQMAAVEAMQEASAAESLLRCLSSYAEVSSSAEEQNPQQAVEQFLALHGAISRATIVTESLTKATATASTTTSPDQSAAGDASTADEETLAVAAERRRRATSWVGAGLATDLSAFSLYNLKPAPASAISPLAVVLVDELAKPAAAAAVKASSPAKSRLSPAKGRLRAGSAAAAAAAAAAAAAVPPPEWERGGGAEERGELARRLGEEARGWFLAFVERFLDADVAAAAPWDRDRAARMLPQLKRVNDWLSEIGKPAEMAPHPPPEADGEAAAPTANGGAASGVPEETIERLRKKIYEYLLTNVDSAAAVLGGGEVAPAPVANGKKG >Sspon.04G0004780-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:9383505:9385471:1 gene:Sspon.04G0004780-2B transcript:Sspon.04G0004780-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGEEERKKAVGKRRHERKNQKRRRHALGLISVVPIPPPVLIAKPGRLRDVVASCNSLHLSLGSKKHSTPWHLFRSFCLSCCAAISLSHKVLSVSSLKSAAACSEAKVTPSSSGGVTVPLHHRHGPCSPVPSKKMPTLEERLRRDQLRAAYIKRKFSGAKGGAAGDVEQSDAATVPTTLGTSLSTLEYVITVGIGSPAVTQTMSMDTGSDVSWVQCKPCSQCHSQADSLFDPSASSTYSPFSCSSAACAQLSQSQQGNGCSSSQCQYIVNYGDGSSTTGTYSSDTLTLGSNAIKGFQFGCSQSESGGFNDQTDGLMGLGGGAQSLASQTAGTFGKAFSYCLPPTPASSGFLTLGAGSSGFVKTPMLRSTQIPTYYGVLLEAIRVGGQQLNIPTSVFSAGSVMDSGTIITRLPPTAYSALSSAFKAGMKQYPPAQPSGILDTCFDFSGQSSVSIPTVALVFSGGAVVDLASDGIMLQTGSSILCLAFAANSDDSSLGIIGNVQQRTFEVLYDVGGGAVGFRAGAC >Sspon.04G0002370-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:7190154:7191239:1 gene:Sspon.04G0002370-1A transcript:Sspon.04G0002370-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AAITTTQPSFRPRGVTSRSRPASPHGRRRLQFHHAPARRRRPRPAAPAQPGPPAVLLRRAPRRHLPLPGGRRRSALVHGGPPDPDPVVVVVVPGAADAASEPTSPDARAVAVPFFGPVTAAAADAAAVARPPGPAAQPQQLPPPAASAPSATPTRAVDRGPPRCGRGEDEEQEEEQEDDGEGGAVRVPPERSALQQEAGQGQVGRPHAAGNGRGAGAGVGEVDPLVARLSDGVRGDGSDPDPGEAGGAVLASGDGGGRRARARSGRDGADGAAGRGGGDGAGQGVRGGGGEEGGGAAARARRGGRAGEGQGEQRVQLRPVRARGPAGVSRQRHRDAAFQNRCRRRRRAAGTAAAPRSRVCVT >Sspon.05G0011740-3D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:5D:36083976:36084722:-1 gene:Sspon.05G0011740-3D transcript:Sspon.05G0011740-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding PVVIGAVDEIAAKHPLELLGGKASARTSSCGKASAGNDRDLHAVLIQPLPTGVGYRHSQFRSLRCSQRTRPSLLFRSKDPAAAMKGMGPMEMAPAPPTTGKAAAASSPHMPMMHMSFFWGDRAVVLFPGWPGARGAGAYLLCLLFVLALAALTEALAAASRCVARRGAGGGAAGRVPASSAALLTAAHAARMGTAYLVMLAVMSFNGGVLLAAVAGHALGFLLARSRVHPGGGGAAAAAASGHGLGGMG >Sspon.05G0032560-2D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:5D:30963403:30966723:-1 gene:Sspon.05G0032560-2D transcript:Sspon.05G0032560-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ERHNSSLVDASGGFGEMLASTQRYYVFGGKGGVGKTSMAASLAVKFANHG >Sspon.01G0020280-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1A:74947774:74948268:-1 gene:Sspon.01G0020280-1A transcript:Sspon.01G0020280-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RRGGRGCCCRFLCCVVITAVVLAVLAAAAAGALYLALDPKAPRYSVDRLSVSAFQVDPSTLTARAGFDVTVTAANPNSRIGIQYEPGSSLGVWYQSYRLARGALPAFYQGHRNTTVLALAMAGEVQLGTAVVSGLQNAQRTGAVPLVFRADVPVRVEIGSFKLWK >Sspon.03G0036560-3D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3D:53989938:53990948:1 gene:Sspon.03G0036560-3D transcript:Sspon.03G0036560-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSALRDAAPAVMVPAALVPTATTAGSAADPGPTSNASASSSADATSAACAKTRATSCTKPTSRAATESTAHPATKTTATPYSDTEPTTGSRATTKATATSKATPNASTTTDPTATAKSASCATTHPTARSSATAKAASCAPTGTKPTADTTSTSKSTTTTQSSSTSSAGTSSKSSTSTKSSSCAGSCSKTSPTTGSYSTADTTATAQSSSTPSAGTSSKSSTSSKSSSRASSCSKTSPTTSSYSTTTTETAAATSSKPTSTSEPPAATKATSTTSSCTRSSSKPSATANAAAYTTTSSKATTTAHAAPYATSCTYTAS >Sspon.08G0019480-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8B:12202851:12205070:-1 gene:Sspon.08G0019480-1B transcript:Sspon.08G0019480-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDLVHELARLVADEEVISFDANDANSQQNVTGNTDNNCRYMLISNLRDSSRPDYLSIPSTARALHFNKCSIGQSSLNSLMRAEFLRVLDLSACTISSLPDSIGHLRLLKFLNISGMQTGQLPESLSSLHGLQALNLSENTFLVQLPSYISEFVNLQYLDLHGCSNLKELPHGIHKLKELLHLNVSRCGSLQSLPEEFGELRKLAFLDLSHCSQLQTLPQYFGGLQSLSFLNLLHCSELHGLPDSFNCLTNMIHLNMSFCHQLKELPSGLFKRMKKLLVLNLSGCTSLEVLPEVCENDASCQMLEILDFSDCTNLAALPDTCTGLFELRCLNLSGCSRIQNFLNLIPRWKLGNKLEYLNLTGVGAKAYSEAPGTSAGNAESSEDHNKQLQLCMLREHIITQHLVHLRYLSVGGFTLFSEQGIARARLVDLLTLPNFDVRTQPGDNHSNIMLLQHILDLTQHQLNIKCLENVVSPEEAKQVELGRKQQLHFLSLEWSYTGSSVEGERRAQANAVLEHLRPHQNLRRLSIKGYNGDGFPKWINEISDTLPYLVKIVFSDLNGCDHIPTLGHLPNLQELEINYMPLLHHVEIVSCKRLRKLTLIKLRVNATIHIFYDDNTQAQVNEEEIETGKEIDKLPPSLPVGKPVKRKGKGFLKALWHGMSRETKTMQDIGSGNGSSAGTSRPAQPPGPSNEERREQQAVPVLDYLKIESCKQLKLFPYVPLCKEYFIKDSSPSPGQDVH >Sspon.03G0011910-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:32461240:32465119:-1 gene:Sspon.03G0011910-1A transcript:Sspon.03G0011910-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMVGSSQQQLLQRKGKSVAGKGAAAATVAAEKVVVAVRAATREISKNAIVWALTHVVQPGGSIILLVVIPAQSSGRKFWGFPLFAGDCASGHKSMLDQKCDLPELCSQMLKKLDEYDIDKINVRYKLVSGSPSGVVAAECKQAQASWVVLDKDLKHEEKRCVEELQCNIVVMKRSQPKVLRLNLMGSPDKESKAKCAVPPVLDGSTGKTAADVKEARSSIRGPAVTPNSSPDLETPFGSTEAGTSSVSSSDPATSPFSASETNGSLKKEVQATKDKIQHSDVNISDSDSETLSPPANFSLQPWMSDILQGASSRSLGKVPRKTRTATADVLLEKISKLDLLNEISAMRSRSDLNFRGDVRDAVSLARNAPPGPPPLCSICQHKAPVFGKPPRWFSYAELELATGGFSQANFLAEGGFGSVHRGVLPDGQAIAVKQHKLASSQGDVEFCSEVEVLSCAQHRNVVMLIGFCVEDRRRLLVYEYICNRSLDSHLYGRNRETLEWTARQKIAVGAARGLRYLHEECRVGCIIHRDMRPNNILVTHDFEPLVGDFGLARWQPDGDMGVETRVIGTFGYMAPEYAQSGQITEKADVYSFGVVLVELVTGRKAVDINRPKGQQLLTEWARPFLEEYAIDELIDPRLGERYSENEVYCMLHAANLCIRRDPHSRPRMSHVLRILEGDMVVESGSVTGSSDSGSRSWRTLNEQQRYQEHSSSPAQQESQRAGEGKRSYSALRASWDRDKQSISNRY >Sspon.01G0027090-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:93286515:93290801:1 gene:Sspon.01G0027090-2B transcript:Sspon.01G0027090-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histidine kinase, Cytokinin signalin [Source: Projected from Oryza sativa (Os03g0717700)] MGVGVGGGGEAAAMSAPAEEAAGKDGEEGSRWTLKEKLMLVWVLVAATFGVYLHWNSRHAALRKAEEGLVSMCEERARMLQDQFAVSVNHVHALAILVATFHYEKHPPALDQDTFAEYTARTLFERPLLSGVAYAQRVEHADKEDFERQQGWIIKTMKHEPSPVQDEYAPVVYSQETVSYIEGLDMMSGEEDRENILRSRASGKAVLTRPFRLMSNHLGVVLTFPVYHVDLPPDANEEERVAATAGYLGGSFDVESLVENLLKQLAGNQELVVNVYDVTNSSDPLVMYGSEVPLGIPSPSHICMLDFGDPFRKHHMVCRYRNKPHLPWSAISTPSGVFVICVLVGYIIFAAWSRYDNVKEDCRKMEELKKQAEAADVAKSQFLATVSHEIRTPMNGVLGMLDMLLDTGLTSTQRDFAQTAQVCGKALISLINEVLDRAKIEAGKLDLESVPFDLRSILDDVIALFSSKSRDKGIELAVYVSERVPEILLGDPGRFRQIITNLVGNSIKFTERGHIFVQVHLGDHSNLATESEVEPVTNGMNGHKDEKTAVATSVSLNTLSGFEAADSRNSWENFKALLSYETNEMPYESVSDKVTLVVSVEDTGIGIPLDAQAKVFTPFMQADSSTSRTYGGTGIGLSISKCLVELMHGQINFVSRPQVGSTFTFTAVLQRCDRSAIGDSKPVMLHPLPSSFKGLSALLVDRRPVRATVTKYHLQRLGIACDVVATIELALGVLSGRNGSSLT >Sspon.03G0001460-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:3527271:3529720:1 gene:Sspon.03G0001460-1A transcript:Sspon.03G0001460-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAPWPGFAAAAPRLIKMTLLAVSALLSRSSVPTAASELVELTLLANAREKGAVCLDGSPPAYQLRRGFGSGSRSWLVNLEGGAWCNTTEDCSSRRLTDLGSSKFMKPIEFEGMLSNNRSENPYFNNWNIVDIRYCDGGSFAGDAEGEDRNGTKLFYRGLRIWEAVVDELMAKGMDTAKQLSFCHRKDSSGERFMWSIFSGVVHLQNVRNAMPKDCLAKKEPTECFFPPELIKSISTPTFIRNSGYDSYQVGNVVAPGGSDPGQSWASCKADIRNCTATQIEALNGFRRKIVEDLKVAQHKRGWGLFIDSCFNHCQTPFRITWHSPISLRLGNKTIAEAVAEWYVSKDHGVKEIDCADPCINPTCTSQLDL >Sspon.07G0036070-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:21933728:21934088:1 gene:Sspon.07G0036070-1D transcript:Sspon.07G0036070-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SSSWFFFTHVRPKSSTTAASRDRLPVGGVGSGTWHSERTPRRVLDDEGNCAGHSQYFSYKLKIGKNCSERTEWYMLEFMRRWPRTRSRARPRRRPSQLVLCNIYKAHTHSRRSNGSTSTP >Sspon.07G0011800-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:42089239:42093219:1 gene:Sspon.07G0011800-1A transcript:Sspon.07G0011800-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGPNKAPFSGVAEDLKGRAACYKQDWTHGFRSGLRILAPTLYIFFASAVPVIAFGEQLSKDTGWQRSLIADYGVPLMVILWTALSYSLPSNIPSGVPRRLFTPLPWEPKSLQHWTVAKDLFSVPPAHIFLAIVPAAMVAGLYFFDHSVASQMAQQKEFNLKNPSAYHYDILILSLTRFSTFVASVVMLLSEIIPLLIVSENCSRNHLDNTYSVSAHKDLKELKDAIIPEGNGAGTVPEVFDPEKHVDAYLPVRVNEQRVSNLLQSLLIAGCIGITPLIQRIPTSVLWGYFAYMSIDSLPGNQFWERIQVLFITPQRRYKVLEGAHASFMESVPFNTICAFTLFQLIYLLIVFGMTWIPVAGILFPLLFFFLIVIRQHLIPKYFDSSHLRELDAAEYEELKGFTPDPSVCEDESVRSRDAQPGYASEILEEFTTHRGELKRRNSSFRDGRLLQLNSVKMTRELSRAPSRRELSRAPSRIPRIMEQ >Sspon.02G0059970-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:104742336:104747509:1 gene:Sspon.02G0059970-1D transcript:Sspon.02G0059970-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTSPLPRWAPTPGRPRLLWWRWGGGTADAAHHPPAGGQGRWWSLGCGEDAAHHEPAGGRGRWWSLGSGIFVWATAAGGRRQRGAPSSNGTACGGVPGSGCELVAPPADAGDPRAFLTWEDVHVTVAGGGPRGAPDVKILDGISGHARPGEAYVTQDNVLMSTLSVREAVYYSAQLQLPDTMPLPEKRSHAERVIREMGLADAMDTRIGGRITKGISGGQRKRLSICIEMLTRPRLLFLDEPTSGLDSAASYHVMSHIARVAARDGMTVVAAVHQPSGDVFELFHGLCLLAAGKAIFFGTISDATEETVDSSKANRKTAAEAIDILVTAYRSSSYFEKTTDQIVEMKNMEGASFRRREQASFTTKLLVLTRRSLLNMLRDIGYYWMRLAVYMGIGACLGTIFYQVGYSYSYTSIQDRCAVIMYTTALLTFMAIGGFPSFVEDVKVFRKERLSGHYGVSEFVISNTLSATPYLSVIAVLPGAMLYYLTGLTKGIDHFTYFVVVLGICCILVESMMMIIAAIVPDFLMGIIIGAGVQGVMMLNGGFFRLPSDLPKPVWKYPCYYISFHKYAVQGLYKNEFLGLSFPSDQLIKTNVTISGIQVLKYKWRWRWGTRNG >Sspon.04G0007490-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:21883447:21888400:-1 gene:Sspon.04G0007490-2P transcript:Sspon.04G0007490-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSRALALFLLCALLPALPISAALLFGGGKSAAAAKAGVDMEWRPATATWYGEAEGDGSDGGACGYGTLVDVVPMKARVGSVSPVLFKDGEGCGACYKVKCLDRGICSRRAVTVIVTDECPGGLCAFGRTHFDLSGAAYSRMAVAGAGGRLRDRGQLNVVYRRTACKYGGKNIAFRVNEGSTNFWLSLLVEFEDGEGDIGSMQIKQANSVEWLDMRHVWGATWCLVRGPLVGPFSVRLTTLSARKTLTARDVIPRNWTPKATYTSRLNFQPSL >Sspon.03G0025050-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3B:99244197:99245174:-1 gene:Sspon.03G0025050-2B transcript:Sspon.03G0025050-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPPTGRRREPPGAARGARQQPPPAGPGRLFFMPPPPPPPPGHHRRPPPADRDRPFSMPPPAPPGRSHSNQPPPSDPPQPSASSSPPPLPAHAYGDYDPAGATDELPRRPHHHAPLPPPHPLRRASSTPCSSALASCLVATAFLLLSAGGAGAALFLLFRPRPPDISVAAVRLPSFSASNGTVAFTFEQTAAVRNPNRSPLAHFDSSLRVAYAGGDLGAVYIPAGLIDGGRTKHVSAVFDVPAIPVAGAQPTTVVAGAGAGVDAASAAQQQQQQSQPPAVIEVHSLLVVKGRVNVLRILTHRVQAAKLCRVGVSPLDGTVLGFRC >Sspon.01G0011080-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:30496092:30497678:-1 gene:Sspon.01G0011080-1A transcript:Sspon.01G0011080-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding METRRMVTQFDQSIPTKLFLTCSPISFRSSHSRKAKAVDLDQSLDDTLDRLETAVAHMAEFVVLLGGCERLSRRPYDAHLYIDNFMFGRHAEKQRLFNFLLHQNGSPPPVLSVIGDRDLFRVEEEHERLAKGKILIVVEFDSGVVDEEDWVKFYTSVTNMKKGSKVVIISKHRIEKIATVKPIFLNTLPYEEFRYLFKILAFGSTNPMEHPQLLRIGDELSKELQLGWSLVTANVLGEALRTNLDIRFWLCMLNKTRRITERNMSVFGAYPKALAERGHHVDMADLDTKAHDDSVKKELPKVTIRELMVDPTARPKGEFIMIIWQSRIPPHTCFGHFVPNCDQGMRQHVLLSGRKRRGVPL >Sspon.02G0045860-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2D:87004626:87005527:1 gene:Sspon.02G0045860-2D transcript:Sspon.02G0045860-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATNCCSTAARHLSDLLREQQEPFLLHHLLLLDHDDDSATPARTPAAARRSLLRGSTAATVTKLPLRWPDDLLAGCFSFPCAAKRQRNRRLPRPDRDISSAASADCYGGGGAVDCGRQQLSPVAVLDLHSDDESSAVPSQRDEHSDDDGGNGKPSTSGTGTSPSPPSIHDHDHDLPGKIPATEERFQRKNVAFSSAWDWEWERVAADISRIPSLVGLDLSASPRDDWRRRLVVGGEEEARKVAQSIEAMIFEEVRWEAVRDMLCSPQH >Sspon.08G0005370-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8C:16776634:16776837:1 gene:Sspon.08G0005370-2C transcript:Sspon.08G0005370-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGASLCCFGSGGGYCADDEPGYEQPRRSSRKVRPSDEDGLWYVGERDVDMKASEFIAKFHATASTSS >Sspon.01G0049470-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1B:113088838:113089278:1 gene:Sspon.01G0049470-1B transcript:Sspon.01G0049470-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAGPPLGSASGSNHGHLPKIHDSCSNMIVVSRGFRRRIPHTNIPARCGLALPLEIKQMRSFDFNDVTQVVSSQCERGRRAYRGMESSGMGRQVTLTAWCRLAGEPSSWQSGGLLCTPTGGRPLTRGWPGELCHVWVATWSPWRG >Sspon.03G0020070-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3D:48293390:48298244:1 gene:Sspon.03G0020070-2D transcript:Sspon.03G0020070-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTPAPTASRRHAGTSPFSYLFWLGNNTNHPPSTCGHPGFEIWCSDDGRVASLKDSFIHVLGIDYTTNSLIASNAKVAGDEGVCQTDFNVSSSIALSLFTISPRNRALCFLYNCNGTEPSGPEYANATSNCSAPIYAYLAGPYYWDKPPAIATGGCKYSYMPVLGSEAALLAGKRTCIVSRVDADRTTAGCSRTGSSWTGRWPASATAGPATPAEGSAGSVTTTSPRSFGASAPTAGARGRHAPRFPQSTVAGVMPPSAIGDIDEFDKNLWTRTGHGAAPEYENQAFRSGHHANDLGQSSQYCLVHRAPRKLPSADEWPANVVQLSSQRFQSVKSTVYTGHADSLYRMVDHCACILLERFTLLLVQLLPSPRGENISHAPMAPSLLLLLVSSVWVALSLPLLMPAVAADGQGGDDCPPALCGNVTISFLFGLIPDGAAQTNCGGGFGFQNLIFYNCTKSPLQGAGLVETVCHNNTFVRAADGRSDESGSYFFKGCTATKVPVLGVPGKVNVTNYEQLVRDGFLATWQPPPPGGDTDELHAGGVVRALATQQSLTNCCDGGIGYKVRCYSNRSLYLEYSQSDISMQILSIFYDNRSLHILPHRYWHPYFNRSSHESYRIPAADTSPVLAPMLSVSSVNQNLIFYNCTKPPLQDAGLVETVCHNNTFVRAVNGRSDELAGSYFFEGCNFTMVPVLGVSGKINVGNLIEMAVGAESCVRLLPSSKLSMALAPSSLLLFLVSSSVWVALPLPLMILRVVAADGPGREHCSPVLCGNVIISFPFGLILDGAVQTNCGAGGIGSKVRCCSNRFLYLEYSQSDISMQILSIFYDNRSLHITEHRHQHPYFDTSSHEGCQIPAADTSPVLAPPLSSVSPVNHKLIFYDCTKPPQPSVDLVEMVCHNNTFVRAADGCSDESGGYFLEVCTATMVLVLRVSGKVNATNYEQLVRDGFLATWQPPLPLPSLP >Sspon.08G0016630-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:65420193:65457140:-1 gene:Sspon.08G0016630-1A transcript:Sspon.08G0016630-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAMENGGGTMRRLAATEWVMCSTCSGFVFRKRRTAEVVEVVKEVEKMRDLMKAVLEHGPLQEIEPAEATKEQWKGGMERKKIATEDEAVVADARSEQKLKQSREEGKRSSSRLKEKMERKKIATEDEAMVADARSEQKLKQSREEGKRSSSRLKEKKKAKSEDKDGSGSKKKKAKRSSEMEGSGSKEVKMKGSGLKEAAKIEDIIKSDSVDRKTKIEEEAKMEDSESAVGNSKTKMVTKRLDKKSIDIFKNQPPPKPLISNSLYVNQLLDVVTYHDEVQRVFLEYLEYHSSIKGYAEVQEEVTMTKAMTTKRRLSPLCPRLSAHVDLPARSHVFRMDHWRTTDLGERLGQQFDLGENPRVRGLNVQLESMYATVAISYDFLEEAKEDYEYDGTNDYALATLNRAAVTRAQDALDALLPHAEALIAEMRAIGGKYFILLKLEGKISIKDFVPEGSMKHLLEAEHFFQEELADIVDENYKSSCLGRLRLASIKVNRLNFLEQWCARHRRPSEAAEPLAPIDQISSSAGRLIMLPSLRYLAGTAGPSGFGSRTTAEEATAAGRDLGHITAIITGATSGIGAETARVLARRGARLVLPARSLKAAEEARARVRAECPGADVAVLPLDLSSLASVRRFVKRFLDLGLPLNLLVNNAGKYADRFAVSEDGVEMTFATNYLGHFLLTRLLLDKMAETARDTGIEGRIVNVSSTIHSWFPGDDDALGYLDRVTRRKIPYDPTRAYALSKLANVLHTRALADRLREMGANVTANCVHPGIVRTRLIRDRDGLITNTVFFLASKLLKTIPQAAATTCYVAVHPAVAGVSGKYFADCNEASPSRLGDSSEEASRLWTFSENITEKVQKTSSVHAVLCCFFTSRTPAIATVKASHASTSAVPLSDSAAHPMNSWSTDIPVRFREVPRCSRQPPTPAAAMTEAAAEEDLHLR >Sspon.04G0007150-3C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4C:19817264:19818254:-1 gene:Sspon.04G0007150-3C transcript:Sspon.04G0007150-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKPPAPAVPSPELPPRLAGGRGPRGLRSLPALSYNTHRALVLGFTFLAYALYHASRKPPSIVKRELARSWPPFADPALLGATDVAFLTSYSLGMFVAGHLGDCLDLRRFLAFGMVTSGAAVALFGAGYFLGLHSLAFYVVAQVIAGLLQSTGWPSVVAIVGNWFCGRRRGLIMGIWNAHTSVGNITGSLVAAAMLGYGWGWSFVVPGGLIALGGVLVLFFLAPYPQYVGFGPSPIEPVTDESTDGEDITAAGGAGKDRRDAVGIFKALAIPGVVIFALCLFFAKLVAYTFLYWLPFYLSQTELATATVIILD >Sspon.01G0041580-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:46808352:46811580:1 gene:Sspon.01G0041580-1P transcript:Sspon.01G0041580-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHRTSSSWYTAVSGCVRCGLESTAFTLLRVMRERDVPLSGFALASLVTACERRGWQEGAACGAAIHALTHRAGLMGNVYIGTALLHLYGSRGLVSNAQRLFWEMPQRNVVSWTALMVALSSNGCMEEALVAYRRMRKEGVMCNANALATVVSLCGSLEDEAAGLQVTAHVVVSGLLTHVSVANSLITMFGNLRRVQDAEKLFDQMEERDHISWNAMISMYSHEEVCSKCFMVLSDMRHGGVRPDVTTLCSLVSVCASSDLVALGSGIHSLCVTSGLHSSVPLINALVNMYSAAGKLDEAESVFWNMSRRDVISWNTMISSYVQSNSCVEALETLDQLLQTDEGPPNYMTFSSALGACSSPEALMNGRTIHAMILQRSLHNILLIGNSLLTMYSKCTSMEDAERVFQSMPCYDVVSCNVLTGGYATLEDVANAMRVFSWMRSTGIKPNYITMINLQGTFKSSGDLHSYGMPLHAYITQTGLLSDEYITNSLITMYATCGDLESSTDIFCRINNKSAISWNAIIAANVRHGRGEEALKLFMDSQHAGNKLDRFCLAECLSSSASLASLEEGMQLHGLSVKSGLDYDSHVVNAAMDMYGKCGKMDCMLKMLPDPACRPTQCWNTLISGYARYGYFKEAEDTFKHMVSMGQKPDYVTFVALLSACSHAGLIDKGMDYYNSMVPTFGVSPGIKHCVCIVDLLGRLGRFVEAEKFIDEMPVLPNDLIWRSLLSSSRTHKNLDIGRKAAKNLLELDPFDDSAYVLLSNLYATNARWLDVDKLRSHMKSIKLNKRPACSWLKLKNEVSTFGIGDRSHMCAEQIYAKLDEILLKLREGGYVADTSSALHDTDEEQKEQNLWNHSEKLALAYGLLVVPEGSTIRIFKNLRVCADCHLVFKLVSIIMCNFFWLSPANQGDLSDVVRASPPSTASHSCPNRLRPWVMDSWCPHHLSEEEELLLL >Sspon.04G0007880-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:18872621:18876851:1 gene:Sspon.04G0007880-2B transcript:Sspon.04G0007880-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPALVFSCLKACLDDFSHHNIDVACNLLETCGRFLYRSPETTIRMANMLEILMRLKNVKNLDPRHSTLVENAYYLCKPPERSARISKVRPPLHQYIRKLLFSDLDKSSVEHVLRQLRKLPWAECQQYLLKCFLKVHKGKYSQVHLIALLTASLSRYHDDFAVAVVDEVLEEIRVGLELNDYGMQQRRLAHMRFLGELYSYKHIDSSVVFDTLYLIIVFGHGTPEDLFGELRPNMSRYSSIEELVAALVELEENERSAPVEKIENERHSDTESQKRQPRDAGPSVNGESAANGIEENGKDHEVADSESYSDSGSIDGREEEEDILSEDKSNDGSDNEGDDDDDGIPVGSDEDENVEVRQKVMKVDPKEQEDFDRELKALLQESLESRKSEARSRLPLNMMVPMNVLEGSKDSRATESESGEETVDEEGGNAGSSSKVRVKVLMKKGHKQQTRQMLIPADSSIVQSTKQQEAAELEEKQSIKRRILEYNEREEEELNGASQIGNWGQGATNTSSIRSGGRGSWDGSTRGGGRQRHHIAGSGGFYHSYGRRR >Sspon.03G0001320-4D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3D:11088628:11093127:1 gene:Sspon.03G0001320-4D transcript:Sspon.03G0001320-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRREVEDEADISHPLARQEKPPPLLPRPQAPQATEMGGFVKTQKTSAYSKRFQVKFKRRRAYCTGLLLARRVLKIRGLDQEYEGNVEATGEDFSVEPADERRPFRALLDVGLVRTTTGNRVFGALKGALDGGLDIPHSEKRFAGFKKDDKQLDADIHRKYIYGGHVADYMKNLAEEEPEKYQAHFSEYIKKGIEAEDMEALYKKVHAAIRADPSVVKSTKQPPKEHKRYNPKKLTYEQRKASLVERLNQLNSGAADDDDEDDE >Sspon.08G0008480-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:31842716:31845934:-1 gene:Sspon.08G0008480-1A transcript:Sspon.08G0008480-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cellulose synthase-like protein D1 [Source:Projected from Arabidopsis thaliana (AT2G33100) UniProtKB/Swiss-Prot;Acc:O49323] MSGGGSDYANYTVLMPPTPDNQPYSVGGGGGGAPSSASAGGTKPDDLPLPPYGPSASSKLVNRRGGAGADDGVGGGSGKMDRRLSTARVPAPSKSLLVRSQTGDFDHNRWLFETKGTYGIGNAYWPQDSNAYADDEDGGVGSGPVKMEDLVDKPWKPLSRKVPIPPGILSPYRLLVLVRFISLFLFLIWRVTNPNLDALWLWGISIVCEFWFAFSWLLDQMPKLNPINRAVDLSALREKFESYPVEKLFVYISDDGGALLTFEAMAEACEFAKVWVPFCRKHSIEPRNPDAYFNQKGDPTKGKKRPDFVKDRRWIKREYDEFKVRINGLADLIRRRANAMNARERKIARDKAAAASSDAPVADAPTVKATWMADGTHWPGTWLDSAPDHGKGDHASIVQVMIKNPHYDVLHGDAGSHPYLDFTGVDVRIPMFVYLSREKRPGYDHNKKAGAMNAMVRASAILSNGPFMLNFDCDHYIYNCMAIREAMCYMLDRGGDRICYIQFPQRFEGIDPSDRYANHNTVFFDGNMRALDGLQGPMYVGTGCLFRRYAVYGFNPPRTNEYRGIYGQVKVPIDPHGHSGPGAAEELRPLSEHPDHEAPQRFGKSKMFIETIAVAEYQGRPLQDHPSVQNGRPPGALLMPRPPLDAATVAESVAVISCWYEDGTEWGLRVGWIYGSVTEDVVTGYRMHNRGWRSVYCITRRDAFRGTAPINLTDRLHQVLRWATGSVEIFFSKNNALLASQRLKFLQRLSYLNVGIYPFTSLFLIMYCLLPALSLFSGQFIVKWSGIGLEEWWRNEQFWVIGGTSAHLAAVLQGLLKVIAGIEISFTLTAKAAAEDDDDPFAELYLVKWTSLFIPPLAVIGINIIALVVGVSRTVYAEIPQYSKLLGGGFFSFWVLAHYYPFAKGLMGRRGRTPTLVYVWAGLISITVSLLWITISPPDDRITQGGVD >Sspon.05G0026800-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:39964428:39967987:1 gene:Sspon.05G0026800-1B transcript:Sspon.05G0026800-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative indole-3-acetic acid-amido synthetase GH3.9 [Source:Projected from Arabidopsis thaliana (AT2G47750) UniProtKB/Swiss-Prot;Acc:O82243] MDEHKLGYKGNDVLQELEILTINAKAAQEVILSKILEKNQATEYLNKFMNGSIDISAFKSRVPVVTYDVVQPYISRIAAGERSSILCGEQIVELLRSSGTSRGEPRLMPSISEDLYRRTYIRGLGEGKAMYLLFVKAETLTNAGIPVRSVLTSYYKSPQFLQRTHDLYNSYTSPDEVILCPDSQQSMYCQLLCGLVERQNVVRLGAVFASAFLRSISFLEKHWCDLVTDIRTGQINSNITNATCRLAMESFLALPNPELADEIEAICCSGSWKGVLGRLWPNVKYIEAVLTGTMAQYIPMLEFYSDGRIPLVCTMYASSESYFGVNLMPLCSPKDVSYTILPNMAYFEFVPLEDGLKLAKSDEVVEKDKLVNLVDVKVGCYYELVVTTFAGLYRYRVGDVLQVTGFYNRAPQFKFICRRNVILSVDSDKTNEEDLHGSVSRAKKILENRNHLLLEYTSCTDTSTVPGHYVLFWEIKSTSEGTVPCTPLDAQLLESCCIAVEESLDYVYRRCRAHDKSVGPLEIRLVEAGAFDALMDLLVSQGSSINQYKTPRCIESGPALKLLNSKAIASFFSPRDPEWSV >Sspon.01G0005770-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:15099495:15105791:-1 gene:Sspon.01G0005770-1A transcript:Sspon.01G0005770-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAPPAHQSKPSHNRRQHHNPGPRPPPQQRYVPKSASPAAPKPSPPSQPSLTTALRSSAASSSASGSGRSSSGSVGGGAADAFVAYLPHDEAVAAGLGGLDAHESQVVVDLLNDALAALLRAKPREFWLQVAQNTSLHEFLDSYLQFRHRWYDLPHRGPRGTVAGLVVGELELCRRVFMVLYRISSNKDPGAGRGESLSMKEHAALLLEKKMLDLPKLLDICAIYEHDNSKLTSSLVMNVINVQPSVLDGINIVIPQFLNIFHTMHDRCMTSLQVLTSTGSIDNGYVQLQKDFLEVLDFINDAIVTLDSFVGAYQPAALLFCTNFEMSPCLVPDCSYGVEELLNALARLYDSLLPSLLEGFKSNAEASLDSTLSDIPLGIRMLSKRTVRFGWRLLHYCYLNDQVREHDAQTSTKMFPAKVEDPMIRGDILVQTLKDINREATYSSQVKHGNTFLQALESEFQLMSQIGDIRNKGWIYMDDEQFQFLSRLCGSTHTSWNGIPDLPVSSHHGGELQQKDEETAMVESKISQIRDLFPDYGKGFLSACLEAYNLNPEEVIQRILEGTLHQDLLALDTSLEEMPQKKLAPTAVKDKGKGILVETALKLQRNPIKLLRHITLFKMVHLQQLHRHHKGSSSAISPAPLGPSSAISSASLGPSSSVSSVPKGRFTRKANDDLPDTAILDSKNAKDAARSVILDSQYEYEDEYDDSFDDLGFSVVESSYEETDGANDAEASSDGPRWSSQKKPQFYVKDGKNYSYKVAGSVAVSSAQEAAVMRQTQKDTIYGLGRGGNVPFGISNRQHIDVVEEEVGDADNSGRGSNSRGRGRKGGREGNRPEENESSNGRGSGFGGRRGGWNQGNPAEENWNPNGQQGFGRGSRRGGSNQGGPAKEDGNSSGRQEGFGRGARRGSMNHDHSAEDNEVHHDPAQGFTRGPAPRGGGHGRGGGRNHHRRDRAMKKHMQGLTGL >Sspon.08G0017060-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:66675958:66679334:1 gene:Sspon.08G0017060-1A transcript:Sspon.08G0017060-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSASSAGAAMGMGGGYPHQPPPLPLPQRGAAAAVFTAAQWAELEQQALIYKYLMAGVPVPPDLLIPVRPSPHSAAFSFASPAASPFYHHHHHPSLSYYAYYGKKLDPEPWRCRRTDGKKWRCSKEAHPDSKYCERHMHRGRNRSRKPVESKTASPAHSSQPQLSTVTTTTREAAAPLESLATAGGKTHGLSLGGGAGSSHLNVDASNAHYRYGSKYPLGAKSDAGELSFFSGASGNSRGFTIDSPSDNSWHSLPSNVPPFTLSKGRDSGLLPGAYSYSHIEPPQELSQVTIASLSQEQERQPFSSGGAGAGGGLLGNVKQENQPLRPFFDEWPGTRDSWSEMDDARSNRTSFSTTQLSISIPMPRCEFPTDPVIENEARAATRWCCRMTLTSIALAASEPSPGD >Sspon.05G0038550-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:48498390:48500618:1 gene:Sspon.05G0038550-1D transcript:Sspon.05G0038550-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQFTAFPWSPRMATSPTPFLWSPNHRTNSKEDISKPVKRRDKRFLSEIELRLAEAQAGVKFVGAITQDIPMVIVSELHQEIFGKQSLYTANAYGKKKCGAIAGAALTAVYH >Sspon.06G0019430-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:1874534:1877211:1 gene:Sspon.06G0019430-1B transcript:Sspon.06G0019430-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPATADAAPAPTLPPPPTSPWVILGSIPRVVELEGGADVSLALAAPPRVSRLAVSPRVFPVRPTPRNFPFLLAADPSGLLLLSAILDAPLTRVDVDRPGEPSVRLRYSDPRYFVLDAATASALRLPDPDPKLPIQHQALVGVLACPSGAGRYVVAELLPFMGTDMADLRCFDSDVGEWVNKQVRYPLPARVLAPLRTLAHHGRLWWADYSWGVLTADPFADHPVLGFVPLPRPCVLKSREAWGVLDQFRYVGVSAGSLCFVDTYRRGGAPDKVTVWTLRDPDATEWTLEHQATFADIWADDTYKATGLPKTAPVLALIHPNNPAVVYFFLEDHLFAVDVPARKVVDCERYHLVAPPREYGIANRFVRDWKLPRAVSSGPGNWSGDIGLTEPTEAAPPSRPIRGGRSGTLPDHHVVAFVSRSDSGDLKRML >Sspon.05G0002100-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:4724494:4725111:-1 gene:Sspon.05G0002100-3D transcript:Sspon.05G0002100-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKRTTTARNHQHQPPPSPPNPNPNRRRRAAASDPASDERPSKRMLAFHFLRALARIHSTTPAPRRPRTIRRAAYSSMARAASPRRAWTQALLRQARARRVVARSSRRAVLLRRRVSAAAPPLMLHASSPGETSSAPMPPAPAARGPPPRQAGEPARADVLRLLVPGGAEMEYCSLLDETADYVRCLRAQVQLMQSLVDLFSTQ >Sspon.02G0030920-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2A:113292510:113293151:1 gene:Sspon.02G0030920-1A transcript:Sspon.02G0030920-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AHHTIKPTPRQANGRSLEEKVVSCYKRGARGLPHTISSSSSSCWATYYEHVPCGEYRVLCFCAKESPAVYGVLKVTTVQLRVIGRPISPAAPADHGLLGVDMSYLSPSIQFSYNRHLHWRQGYHILVFDTGREEFSWKRPPPVRDEDMMLLEFPSGHLGLSVSGVNKAALELWRLQGYRRSPCTGFSWRSNRCRFCRRKVFGFQAFCQKKGVC >Sspon.04G0019380-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:71210648:71214852:1 gene:Sspon.04G0019380-2B transcript:Sspon.04G0019380-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFITGGGGGGGGGGGSASRSYEPMATVDTTDLRYWLHWRVGLCGLWVLACMAVAGYLIWRHEGAGADRRPGGASSSSAASSGPAADGELQGSGKGRRPGVLYDDEAWRPCLRDIHPAWLLAYRLISFFVLLSLLVVIVISDGGSIFYYYTQWTFILVMIYFGLGTALSIYGCSKFNDENIAAVAADIEHGTNYMPHGLAAKPTFDEHDGAREIAGFWGYLLQIIYQTNAGAVMLTDCVFWFIIFPFLTVKDYNLNFLLIGMHSVNAVFLLGEAALNSLRFPWFRIAYFFLWTALYVIFQWIVHAATPIWWPYPFLDLTSNLAPLWYLAVAVLQLPCYVVFRLVIKLKHHLLAKWFPVSYVRG >Sspon.04G0017190-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:71397281:71400300:1 gene:Sspon.04G0017190-4D transcript:Sspon.04G0017190-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATCPPSTRPRLPDSFRPSRRLPHSCSTEPQTQQRKERAPPLAGRRRRASAEASGHASECFLLHQTAAPREKSHHGVRDSGQRRKSAAQRGSRDLEPTLRFHRPSGFGTAMEEQFILRVPPSVAERIERLMNESAASSSNPDEASLDLSFSELKFGTFVTLWVDEIFVPTEDGRNGTFMIGNESFPSSLLDLPAVVESYKTYDDSVLIKTADIGQNASVIGGEEGGDRKKPPVARAAKQPGVQEPAANGEEAEPERSDTDESEN >Sspon.06G0013470-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:58717102:58721469:1 gene:Sspon.06G0013470-2B transcript:Sspon.06G0013470-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDDAAAAGSSVLGRAVEEVRSALNEHADVVADLFGRVSSELRTGFAPAVDSFIGFFHAVDWKEPWLISILTFHAILLLVTIISRRNVNFQLILSIPTSRPTAEERDLERAREMGDDAAAAGSSVLGRAVEEVRSALNEHADVVADLFGRVSSELRTGFAPAVDSFIGFFHAVDWKEPWLISILTFHAILLLVTIISRRNVNFQLILSALTFSGVFLAERLNTFLGQNWKSFSTQNYFDPQGLFISVIWSGPLLLITILILVNTLVTLCMLIVRWKRAEIRHRARQARNKQD >Sspon.03G0004500-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:11489147:11490823:-1 gene:Sspon.03G0004500-1A transcript:Sspon.03G0004500-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRLPLLLVVVVAAVASCRPGRADPAMSVLPGLPVAGLAVGFYNESCPQVEDLVLAEMRSLVGKDKTIGPALLRFMFHDCLVRGCDASIMLISRNKTGERDAIPSYGLRGYEEIEHIKAKVEDACPLTVSCADIIVMAARDAVYLSNGPRYAVETGRRDGKVSADRDANNDLPPPSSKIVDLKTYFSFKGLGWKDLVVLSGSHTIGRAQCSTFASDRLYNYSGHVGQDPSLNKTYAAQLREQCEPGVADDTAMVEMDTGSPYTFDLSYYRDVRSNRGLFSSDQALLDDPWTRAYVERMAAASASPDEFFADYAAAMTNMGRIQVLTGDNGEIRKLCAAHVD >Sspon.02G0024050-3C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:86946888:86947699:1 gene:Sspon.02G0024050-3C transcript:Sspon.02G0024050-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATADEADQVTVVDFWANGFGMRARIALRELGVAFRYVEEDLRVRERSELVRRMNPVHRSVPILIHDGRPVCGSVNILEYVDEVWGNNPTRACFLPHDPLQRAQARFWADFVDQKVFSTQTRFLKSKGDEKATAKAELLEHLKRLEEALGDKTFFAGDEFGFLDAVVIPFSSMFHGYEQHGGFDLDVECPGLMRWVRRCKERESVKGVLPDEDE >Sspon.01G0020080-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:74528947:74533433:-1 gene:Sspon.01G0020080-1A transcript:Sspon.01G0020080-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAAALPRLLGFVSPVPALFPALTSENYPLQIRCPRYSDNTSPPLPYWRGARLFRAARRLAPASSTTAPHDLCLLSTPPTACASFMRRWPRLDPARPHGHQRRLGRSIPAVGVKASGERRRTSTTNTKRHQYRAWLGGDGGGWGRGAPWAPRWGELTPLRWGGRRAGVEESRPLPCNTVAPPPWISSEASGSHSCSMDLARVGEMAVEFASDVGRWCAATGDEERGRGKGGSGAKRDWSETSGLLGDPDTDLLLRSKASALLPTRLLQQLKQETTSHHAGHGATHDPIAAIASSRLFPFPPLPPAPGPPRRYALSLSLSLSLSLLLWFLTPSGVSLSCAMQLRCACLGEAGLLVPGRARALAVPCAAQTLGIFPDSVTAVRFRSDVHNPPPRAGISIDSGCRGKRKEAKGSRVKMQLFVKSLTRTITLEVEPSDTVQDIEGIPPDEQRLIFAGKELKDGPTLADYSIRKESMLNLCLRLLGGGKKRKKKTFTTPRRASTSTRTPGWMPCSGGTGLRKECPNAECGAGVFMAAHADRLACGRCGLTYVVENQSAADS >Sspon.06G0020230-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6B:8325388:8326696:-1 gene:Sspon.06G0020230-1B transcript:Sspon.06G0020230-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLISASYNTQNVTPPSPRRPHPLRPFDENLPRGRKKPLHSHDPNALLVAGCACGDSGRCSQRPYTRTQRTGSSGLIRPRLHDELGSFAMTNWAAAGRKRDSDNDIVDHHDEDMMTDVELWQQLESELYRRREGEDDEMEEQDHKRHGGTNTNYLTADIDACIQNI >Sspon.07G0028810-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:77608356:77608718:1 gene:Sspon.07G0028810-3D transcript:Sspon.07G0028810-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSSYLLAAVALVALFAIGSCGTELTLTIGKDSSSTKLSLITNVAISEVSVKPKGATDFSDDLKESPAKTFTLDSKEPIKGPISFRFAAKAGGYRVVDDVIPADFKAGAVYKTGEQV >Sspon.01G0004430-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:11063599:11067919:-1 gene:Sspon.01G0004430-2C transcript:Sspon.01G0004430-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEATERRKRAAVVVLGDIGRSPRMQYHSLSLANQASMEVDIVANGGSDPHLSLRENPSIHIHEMKTVQLTGISKISGALALLLKAAVQFILLVWFLCFKIPRPDVFVVQNPPSVPTLAAVKLASWLRGAKFIVDWHNFGYTLLGLSHGRSHIIVKIYFWFEKHFGQMADGAFCVTKAMQHELAQNWGIRLGNSICSAMGNADCISVEEVVEDMNTTVFSSKIDGEVYLKPNRPALVVSSTSWTPDEDFSILLEAALMYDRRVAAALGEDDSMDEGQLWIDIKNGKQFVYPRLLFIITGKGPDRKKYEDQIKRLKLRRVALRTMWLASEDYPLLLGSADLGVSLHTSSSGLDLPMKVVDMFGCGLPVCAASFSCIEELVKVNKNGLLFSTSSELADELMMLFKGFPEECNALKSLKEGAMKSASSSKWSLDEMYANIYRIELFYSRESETGVLERPDVH >Sspon.01G0039980-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:31282783:31287065:1 gene:Sspon.01G0039980-2C transcript:Sspon.01G0039980-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALANTAAAAAAISGGSASASQPRRAPPSLLPLRRRCAVRAVHAAEPSKNPGVVVPAAAKTSSPTTVAPENDAAPARAPKAAPAAAKWAVDSWRTKKALQLPEYPSPAELEAVLKTIEAFPPIVFAGEARHLEERLADAAMGRAFLLQGGDCAESFKEFNSNNIRDTFRVLLQMSAVLMFGAQMPVVKVGRMAGQFAKPRSEAFEVRDGVKLPSYRGDNINGEAFDEKSRVPDPQRMIRAYAQSAATLNLLRAFATGGYAAMQRVTQWNLDFTEHSEQGDRYRELAHRVDEALGFMSAAGLTPDHPLTTTTEFWTSHECLLLPYEQALTRQDSTSGLFYDCSAHMLWVGERTRQLDGAHVEFLRGIANPLGIKVSDKMNPSDLVKLIDILNPTNKPGRITVITRMGAENMRVKLPHLIRAVRQAGQIVTWITDPMHGNTIKAPCGLKTRPFDNILAEVRAFFDVHEQEGSHPGGVHLEMTGQNVTECIGGSRTVTFDDLSDRYHTHCDPRLNASQSLELAFIIAERLRKRRIRSSSGLNNILPLPPFGF >Sspon.01G0057150-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:110050:113113:1 gene:Sspon.01G0057150-1D transcript:Sspon.01G0057150-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTPPPCPLEFPTCASLIDMLQTAHLWKSGWGAVALKSLEKASLPHDFEKTKAPIEECRKKVCLVDSKPLKTMNSALMNNAEAMDTEYMH >Sspon.01G0036550-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1B:18939673:18940089:-1 gene:Sspon.01G0036550-1B transcript:Sspon.01G0036550-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPTLGHGRRWSLALSRRYPGPQMDPCHAEPRSLSQVLRSPSAMEAGGSLSLAGAQATVDEPRHRSWTPKRCDSGRGSLSHHMLRAAPRFNCSPCPRLRLHGSTCARLHAPPAYRGRWTGAFGFLDAAQPGSSRAASA >Sspon.07G0001960-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:11226502:11227701:1 gene:Sspon.07G0001960-3C transcript:Sspon.07G0001960-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRREEGDGQVQRQRQQEEEEEEDYIDMDLSSASAAAAGAPHVAREFEFMSAPLDRWGEPLASPADELFYKGKLLPLHLPPRIQMVEELLDGRGHGGCRAGREFLHLHGISSTAPATPYESCTASPANSCYVSGELNVEEYFQEYAAAAGLALADGAAAAPGGERKPWSRKLRFVRQLNLGLRLKASKAYLKTIFAAKPGNPDDLGAATTARGAREELAHAHAHAHGHPRAWRKNPFAQIRSNRCIASHGGVVGGSSRAAPAGGRCKEREHGHRRSFSSVIIRYSSSNKTSPVPALPLPPPSYSSSCSSSSSSSSASSSVRTSSESDGAGPALRRSSSASSEVENPIQGLIAYCKKSQQLASVRKSASDAGFRFLSSAASKIAADSDGLDELTEICRG >Sspon.01G0029220-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:83899647:83901591:-1 gene:Sspon.01G0029220-1P transcript:Sspon.01G0029220-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RIMPEEEGRDAIMSSNGPTPSHAARRLSTSHGGGGSHGHGAAASSQIAVAAAGAALLLVVLVVACCCCRRRRKRDATQQQHQRSPPHGGMRFYADSSSFKGETPRRPRAPLPGLAWPWISVRAVCAGPYLTMAPAMQRNNRWHACARREHLGYYLSAVAERAGGSGATDGGGHAGQTTGHHGGPLLPPPAPPPPLPMPADTAAFSYEELALATGGFSETNLLGQGGFGYVHRGVLSDGKEVAVKQLKAGSGQGEREFQAEVDTISRVHHRHLVALVGYCIDGARRLLVYEFVPNHTLEHHLHGKGLPVMEWTTRLRIALGAAKGLAYLHEECDPRIIHRDIKSANILLDDDFEAMVADFGLAKLTSVNHTHVSTRVMGTFGYLAPEYASSGKLTEKSDVFSYGVMLLELLTGRRPGDRSSYGQDGLVDSSDRPVTRRRRRRAFMQARQALPRALADGNYDEIVDPRLRGDYDPTEAARLVASAAASVRHAARRRPKMSQIVLALQGSMPLEDLSDGVRPGHGAAFGSGSGSGSAGSSGSDYGGSGSSYTAQMERIRRAALPSPEYSVDYPGSIPEFGASSVEREDRRHPAGTTAFVQRARLTRI >Sspon.07G0017540-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:82460377:82463488:-1 gene:Sspon.07G0017540-3C transcript:Sspon.07G0017540-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SSSIALKWRRACPSISPAGRPFSDPPHSRRPSAWPPRTRWRRCSSASSSWPRSSLPRCSGGAPRRTTRSRATSSATSAAPSACACRRAPTATRTPAPATTTGRPRKEGPSAHDG >Sspon.02G0048400-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:24568284:24572265:1 gene:Sspon.02G0048400-1C transcript:Sspon.02G0048400-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRKVVSLVAAAAPTSGQWESGTRVSVETCRQAMRLRSGRRLLAARQGGGVRQRRGCPRRCTADLISGLPEDMLLEILVRFRDTRQAARTSVLSRSWRRLWTRLPKMFFDVAAGPADPVDGARFMGTDLSINDDAGGNGKAHIAPTKLYLKVRHLGLGVPFELPCFEATTSIVVFCGDLNFTLPPAGVFASLQHLDIWNCVCDPCHLLDRCPRLHRLKLSGRPPSARGARSAELQKRGAQEAAR >Sspon.06G0016040-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:71982604:71985170:-1 gene:Sspon.06G0016040-2B transcript:Sspon.06G0016040-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding NEDAPGGPRPGGGRARGPCCCCCGGGGGAEGGPRGEEGGGGGPAPALGVRAEGLRDPLPAVHPHRGRRRHGLPRAPHPALLRLRTSRRRLAHVHRHPRLPAHRHIPHAQVQGEAPQEPRPARAVHALLQPQHRRVRVHHSRHCGSAGYHPHSCCCSGADRLHLLGCQEGLRLQLHLPIPVHQPPGTSGVPHHPALLPAGKGGHDHLRVPGHPGVLGLHRLRHPHAAQAPHLQRVRGRRHLPLPGRHQPLHGPDVALLPV >Sspon.04G0023480-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4B:13240586:13241679:1 gene:Sspon.04G0023480-1B transcript:Sspon.04G0023480-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPARTLPRSCGGPSASLAGRAPCVTVLALSFLLSAASSAAICALLHSSLQNAVSPLFAGTHACMAGEGAVSKTTGEGRKEGRGNSGAVLIPVHEDVAVAGQRLPAEEARVQEMHAVPRSSHGCRHRLASNPDPAPRATLGEAIGASLLDLVYAGFLRFCRHRCLLPRASASNAWQQPGAQDEGTAWRSLWAHLSALRGGAEAGALVTAGDRVADPRVPRSRFVHLLRSSFLGRSHGVAWALFSCWPHFQRDLDGSSAVGEVTTTRRTRHESSRQVAYHMPRVVVSVRGITAAVQCTHTKMKSRILFGLRISRPAEDLKSSIAQLVQFIPLFSFPNSPPNSTM >Sspon.05G0026470-1P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5B:35809018:35823610:-1 gene:Sspon.05G0026470-1P transcript:Sspon.05G0026470-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vacuolar protein-sorting-associated protein 11 homolog [Source:Projected from Arabidopsis thaliana (AT2G05170) UniProtKB/Swiss-Prot;Acc:Q9SJ40] MYQWRKFEFFEEKSAGRGGGGGGSAAAVPAEIAGRVTCCSGGRGRVAVGCDDGTVGLLDRGFRLSYGFQAYASSVLFLQQLKQRNVLVTVGDDDQPSSQSSAICLKVFDLDKVQEEGSSTATPFCVQILRVFTDHFPQAKITSFMVLEEAPPILLIAIGLDNGFIYCIKGDIARERITRFKLQVEAASDGSTSLPITGLGFRVEGQAHQLFSVTPGSVTLFSLHVQPPRRQTLDQIGCQTNAVAMSDRMDLIIGRPEAVYFYEVDGRGPCWAFDGEKKFVGWFRGYLLCIIEDQRTQKSTLNVYDLKNRLIAHSMPVGDVSHLVCEWGYIILIMADKKILCIGEKDMESKLDMLFKKNLYTVAINLVQTQQADPASTAEVLRKYGDHLYGKQEYDEAMSQYIHTIGHLEPSYVIQKFLDAKRIYNLTNYLEKLHDRGLASKDHTTLLLNCYTKLKDVEKLNHFIKDEDGVGEIKFDVETAIRVCRAAGYHEHAMFVAKKAGRHELYLKILLEDLARYDEALQYISGLEANQAGLTVKEYGKILVEHRPAETVEILLRLCTDVGDPTTRRGSNNMHLLMIPSPMDFVNIFVHSPQYLMEFLENYIKAVTDSPAQTEIHNTLLELYISNNLSFPSISQENEYENHYIKETKGKETANGYRPGVKEKADLGKEDPKIAKNIVDRRRKGLALLKSAWTSEMEDPLYDVDLALILCNTNAFKDGLLFLYEKLKLYKEVISCYKQAHDHQGLIACCKKLGDSSQGGDPSLWGDLLKYFGELGEDCSKEVKEVLTYIEKDDVVPPIVVLQTLSKNPCLTLSVVKDYIARKLEQESKLIEDDRKSIDKYQGETESMKREIEDLKTNAKVFQLSKCSACTFTLDLPAVHFMCMHSFHLRCLGDNEKECPECAPEYRSVMEAKQKLEQNARDHDLFFRQLRGSKDGFSVIADYFSKGIVSKTTVPPENGR >Sspon.03G0003100-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:7892556:7893932:-1 gene:Sspon.03G0003100-1A transcript:Sspon.03G0003100-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At5g42450, mitochondrial [Source:Projected from Arabidopsis thaliana (AT5G42450) UniProtKB/Swiss-Prot;Acc:Q9FIH2] MPHRDVVSATAAIGALTRRGRHRDALALFSQVLADGVAPNEFTFGTVLRSATALRAPRVGVQLHACTAKLGLCSNVFVGSALLDHYAKMGAMREAQGALDDTREPNVVSYTALIAGLLKNGMFDEADRLFRRMPERNVVSWNAMIGGCSQAGLSEEAVNLFLEMCREGVTPNESTFPCVLTSVANAGALGVGRSVHASAIKFFGKLDVYIGNSLVSFYARCGSLEDSVLAFKKMNRKNVVSWNALICGYAQNGKGGEALDAYRMMRATGLKPDNVTLLGLLFGCNHAGLVDEGYALFKTAEMEQPGILKPEHYACVVDLLSRAKRFDDAKRFLEELPFEPGIGFWKALVGGCQIHWNRELAESVAKRIHALDPKDTSSYILLSNVYSASGSWQSVSMIRREIKEKGLKRITGCSWIEVQDKVHVFSNGDCRHHQSDEIYSMLEACIYSMEDEHEHSIV >Sspon.02G0009390-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:26519349:26523737:1 gene:Sspon.02G0009390-1A transcript:Sspon.02G0009390-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTPKQRLPYSTSGGGGGGRRAASGSAALPPVVVLVFLFVVAPSLFFVVRNGGRGHVHVASGPICIPSFRAPLLFVSPRDPKGKNGDQEAAAMKNLKSILPKEVFDAITASQQESGTLSLDFFRNHASPSWKTDDLVTEKSMDVDDKAKAENSLPEHDLPTNRSPKDPDEHQVDKAAKVARRKLREKRREKRAMDLVHKDDEARVKLENAAIERSKAVDSAVLGKYSIWRKENENENSDSTVRLMRDQVIMARVYSALAKSKDKSDLYQKLQIRIKESQRTVGEASADADLHHSAPEKIRAMGQVLSKAREELYDCMAITQRLRAMLQSADEQVRSLKKQSTFLSQLAAKTIPNSIHCLSMRLTIDFYLLPLEERKFPRSENLENPNLYHYALFSDNVLAASVVVNSTIMNAKEPEKHVFHLVTDKLNFGAMNMWFLLNPPGKATIHVENVDEFKWLNSSYCPVLRQLESAAMKEYYFKADRPTTLSAGSSNLKYRNPKYLSMLNHLRFYLPEVYPKLDKILFLDDDIVVQKDLTGLWDIDLNGKVNGAVETCGESFHRFDKYLNFSNPHIARNFDPNACGWAYGMNIFDLREWKKKDITGIYHKWQTMNEDRVLWKLGTLPPGLLTFYKLTHPLDKSWHVLGLGYNPSIDRSEIDSAAVVHYNGNMKPWLELAMTKYRPYWTKYIKYDHPYIRGCNLSE >Sspon.05G0031920-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:20589214:20592366:-1 gene:Sspon.05G0031920-2D transcript:Sspon.05G0031920-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin carboxyl-terminal hydrolase 3 [Source:Projected from Arabidopsis thaliana (AT4G17510) UniProtKB/Swiss-Prot;Acc:Q8GWE1] MVKRWLPLEANPDVMNQFMWGLGVPEDVGFCDVYGLDDELLAMVPQPVLAVILLYPQDRSKESQASANSAVETKECSKNVYFTKQTVGNACGTVGIIHALGNATSRIKLAEGSYFDRFYKRTSDMNPTQRATFLEEDEEMENAHSIAATAGDTEAKDGVIEHYICFSCVDGELYELDGGTSQPIPHGPSTPATLLQDAAKVIKARLALYSQSNNFNVMALSGKVI >Sspon.06G0009770-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:40263981:40275259:-1 gene:Sspon.06G0009770-4D transcript:Sspon.06G0009770-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MYKAANARLLLRSLSSNSASVPVNPRLASARLALSRPCGAWVGRSSGLVRAAGWSGTRPRFAGARAQIGAAAVPAVERFQRRMATQATEHAFKDILTSLPKPGGGEYGKFYSLPALNDPRIDKLPYSIRILLESAIRNCDNFQVTKNDVEKIIDWENTSPKLAEIPFKPARVLLQDFTGVPAVVDLAAMRDAMAKLGSDANKINPLVPVDLVIDHSVQVDVARSQNAVQANMELEFSRNKERFGFLKWGSSAFQNMLVVPPGSGIVHQVNLEYLGRVVFNTDGILYPDSVVGTDSHTTMIDGLGVAGWGVGGIEAEATMLGQPMSMVLPGVVGFKLTGKLRSGVTATDLVLTVTQMLRKHGVVGKFVEFYGEGMGKLSLADRATIANMSPEYGATMGFFPVDHVTLDYLKLTGRSDETVSMIEAYLRANKMFVDYNEPPTERIYSSYLELDLDEVEPSMSGPKRPHDRVPLKEMKSDWHACLDNKVGFKGFAVPKEQQDKVVKFDFHGQPAEIKHGSVVIAAITSCTNTSNPSVMLGAGLVAKKACELGLEVKPWVKTSLAPGSGVVTKYLLQSGLQEYLNQQGFHIVGYGCTTCIGNSGDLDESVSAAITENDVVAAAVLSGNRNFEGRVHPLTRANYLASPPLVVAYALAGTVDIDFEKEPIGLGKDGKEVYFRDIWPSTEEIAQVVQSSVLPDMFKGTYEAITKGNPMWNQLTVPEASLYSWDSKSTYIHEPPYFKDMTMSPPGPSAVKDAYCLLNFGDSITTDHISPAGSIHKDSPAAKYLMERGVDRKDFNSYGSRRGNDEVMARGTFANIRIVNKFLNGEVGPKTIHVPTGEKLYVFDAAMRYKSEGHATIILAGAEYGSGSSRDWAAKGPMLLASSIQSFLYGKTILLNDEGVKAVIAKSFERIHRSNLVGMGIIPLCFKAGEDADSLGLTGHERYSIDLPANLSEIRPGQDVTVTTDNGKSFACTLRFDTEVELAYFNHGGILPYVIRNLAGEKKLGKVIVPDKWKEGASNTNESGGRKINENKLLSKKNRSVRNVWEASVGHEALQAKQR >Sspon.06G0018800-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:85985683:85993265:1 gene:Sspon.06G0018800-4D transcript:Sspon.06G0018800-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKKIREYDSKRLLKEHLKRLAGIDLTILSAQITQSTDFAELLSQQPWLSTMKLVVKPDMLFGKRGKSGLVALNLDFDQVKEFVKERLGVEVEMGGCKAPITTFIVEPFVPHDQEYYLSIVSERLGSTISFSECGGIEIEENWDKVKTIFLPTEKPMTPDSCAPLIATLPLEARGKIGDFIKGVFAVFQDLDFSFLEMNPFTMVNGEPYPLDMRGELDDTAAFKNFKKWGGIEFPLPFGRVLSPTESFIHELDEKTSASLKFTVLNPKGRIWTMVAGGGASVIYADTVGDLGYASELGNYAEYSGAPNEEEVLNYARVVLDCATADPDGRKRALLIGGGIANFTDVAATFNGIIRALREKESKLKASRMHIYVRRGGPNYQSGLAKMRKLGAELGVPIEVYGPEATMTGICKQAIECIMAAA >Sspon.02G0015610-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:42575044:42577227:1 gene:Sspon.02G0015610-1T transcript:Sspon.02G0015610-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MELGGFKMFSIVSSWNKRRRSRSLDQLNPWVYKPAELWQAKEHHPPPKKRSCTMVFTLKEMEEATNMFSDRNLVGKGGFGRVYRGVLKDGQVRMDWPLRLRIALGAARALAYLHSSTAVGVPVVHRDFKSSNILLTEHYEAKISDFGLAKLLQQDQDLHTTTRVLGTFGYFDPEYALTGKLTLQSDVYAFGVVLLELLTGRRAIDLSQGPQEQNLIVGIHQVVGDRKKLRKVVDRDMPKGSYTVESVSMFAALAARCVCFDSAGRPAMQDCVKELQFIMYANMKI >Sspon.05G0017530-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:73929066:73931500:-1 gene:Sspon.05G0017530-2B transcript:Sspon.05G0017530-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MCARQAQKRWRHMWESTTVLRFVCGGLKEPGSVEEIQEFVDHLLRLRIRGGTALDTCEFRLLGNGPHTAALPRISLWIRIALQCKVRVLELMCWGIPPLDDHPIFISQYLKKLQLAGLSDVMPSRLLDFSCCPNLEDLEIFQCELTDVLLISSQSLKHLTIQNCSFAYDRYMPICAPNLVSLWLEIIFRRDLKFFSSFNKLKSLLLDEHWCEPPDFSALACLLKLSPVLEKLTLQLYSEAYTFKCNCCNGPKHKVEIKLSCKPLEMLAAISQHLKTIEVKCEVYDERINNVLTCLVKWLLILSQERKGLKMY >Sspon.03G0045890-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:27488409:27490667:1 gene:Sspon.03G0045890-1D transcript:Sspon.03G0045890-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKEKKGASHSPAEVVNLEDDPNIRTMGHKKARDELHGKKKIPQAYSAIGYKVDKFIEVSTLTRKDREKMAETQQIMAHNKVEATRLNDKAAEKQLKCKMLDTYRELLLAPTTDMNAQALAERDKALESMSTPLCLLLMSQNPPPSDAEPHDEDDSIEPEDLYTLEEFEAEQEVLEDLQDAMFAELKNDIEALEEGRRRNSGPRRYVARPREEAHERLMEDYFTENPMMVSGDLRSRDGFWRTEL >Sspon.04G0019100-3C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3C:6884695:6885024:1 gene:Sspon.04G0019100-3C transcript:Sspon.04G0019100-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMLRWCCGHTRRDRVRNDDIRDRVGVAPIEEKLIQHRLRWFGHVQRRPPEAPVRSGVLKRADNVKSGRGRPKLTWDESVKRDLKEWNIPKDLAMDRSAWRLAINVPEP >Sspon.08G0020190-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8B:18428673:18428918:1 gene:Sspon.08G0020190-1B transcript:Sspon.08G0020190-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASVRCRARSISAVKTVSSVQLERDADAYARIVYNYETAFHGVAAKLDEDEAEQMAEADGVLTVFNMGGDRHKKIYSRKF >Sspon.02G0002850-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:9737035:9738367:-1 gene:Sspon.02G0002850-1A transcript:Sspon.02G0002850-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MCYKTIGKLSVKNLNPGMAMSWTRGLKHGVVPRHAAQLVESRSLAIASKAKKGGKGGGADAAKTPALSQELKSTTVFGANILKEGSDPKIQPDSEYPDWLWHLLDKRPVLSELRRKDPKTLPYEDLKRFVKLDNRSRIKEKNALTAKN >Sspon.03G0026080-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:1877498:1892210:-1 gene:Sspon.03G0026080-1B transcript:Sspon.03G0026080-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGPIASVSTGVMNSLLHKLTALIEGEYRHLKSVKGGILFLRDELSSMNALLVKLSNSEERLDEQWRNKVRELSYDIEDCIDLFLHEVRHGGADASSIVQNTARKIRNVWSRHKIAGVIQGLKASVVEESDRRLRYKVDDSVVAAGMSATTQIDPRLPALYVEAGRLVGIDGPREKIIQWLMKDDSSTEKLKVVSIVGFGGLGKTTLANQVFCKIRAQFVSTAFVPISRSPNMKKILADMLKELGGGVDTSDDERQLIDKLRAFLQNKRYLIIVDDIWSIAAWESLKSALPENNMCSRIITTTRQTDVAQSCCSSLDGYIHSIQPLSYEDSQKLFYKRAVKRGKREGFDVVLCDTSGRLHTNYGLMEELVSCKKVLAKALPGAPNEILLVLDGTTGLNMLQQAREFNDVVGVTGFILTKLDGTARGGCVVSVVDELGIPVKFIGVGEGMEDLQPFDAEAFEAQGQGVMNSLLHKLTALIEGEYWHLKSVKGGILFLRDELSSMNALLVKLSNSEERLDEQWRNKVRELSYDIEDCIDLFLHEVRRGGADASSVVQNTARKIRNLWSRHKIAGVIQGLKASVVEESDRRLRYKVDDSVVAAGMSATTQIDPRLPALYVEAGRLVGIDGPREKIIQWLMKDDSSTEKLKVVSIVGFGGLGKTTLANQVFCKIRAQFVSTAFVPISRSPNMKKILADMLKELGGGVDTSDDERQLIDKLRAFLQNKRYLIIVDDIWSIAAWESLKSALPENNMCSRIITTTRQTDVAQSCCSSLDGYIHSIQPLSYEDSQKLFYKREELCILGGMPTLLYVSLISSEAPGDRLTIGRQLFCCLKEFVFRTKGIGGLRMVCEREAMPMLKRFNLEFNAEESESDMGFEFSFEHLASLEHLSVDIYCYGATRSRVEAAEAAIKNTTSIHPGRPTLQIKRWSEDLMVEDKDEKETWLKDYKTDCKEVQQMHA >Sspon.01G0030330-1P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:77229758:77231370:1 gene:Sspon.01G0030330-1P transcript:Sspon.01G0030330-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYRGGVPDDAGRTYRLVFHQRHRDLVVESYLPHVCREGCAIMAANRRRKLFTNSGDRWYLLLLSGRATQWWWRHVVFEHPSTFDTLAMDPAKKREIMDDLDTFRNGKEYYARIGKAWKRGYLLYGPPGTGKSTMIAAMANYLDYNIYDIELTSVATNTDLRRMFIETKGKSIIVIEDIDCSLDLTGKRSKKKPKAPVLPGPTPGAAAADVTKAPTTEGERSSSRDGTASKVTLSGLLNFIDGLWSACGGERIIVFTTNHVERLDPALIRRGRMDKHVEMSYCCFEAFKFLARNYLAVDAHPLFDDVRALLQEVNITPADVAELLTPKCAADSAVDSCLAGLVKALQTAKKATTAEAGGVSCSI >Sspon.03G0005380-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:22139765:22144254:1 gene:Sspon.03G0005380-1P transcript:Sspon.03G0005380-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPPEVAVVAVAALLLVAAAAAAESAAPLPAALRLERALPHKGVALEELRRRDAARHRASRRRLLGAVAGVVDFPVEGSANPYMVGLYFTRVKLGNPAKEFFVQIDTGSDILWVTCSPCSGCPTSSGLNIQLESFSPDSSSTSSRITCSDDRCTAALQTGEAICQTSDSPSSPCGYTFTYGDGSGTSGYYVSDTMFFETVMGNEQTANSSASIVFGCSNSQSGDLTKADRAVDGIFGFGQHQLSVVSQLNSLGVSPKVFSHCLKGSDNGGGILVLGEIVEPGLVYTPLVPSQPHYNLNLESIAVNGQKLSIDSSLFTTSNTQGTIVDSGTTLAYLADGAYDPFVSAIAAAVSPSVRSLVSKGSQCFITSSSVDSSFPTVTLYFMGGVAMSVKPENYLLQQASVDNNVLWCIGWQRNQGQEITILGDLVLKDKIFVYDLANMRMGWADYDCSMSVNVTTSSGKNQYVNTGQFDVNGSARRASYKSLIPAGIVTMLVHMLIFGSGSRR >Sspon.07G0011560-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:38591800:38593997:1 gene:Sspon.07G0011560-3D transcript:Sspon.07G0011560-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding TPTESVLSSIVSTSRTLLFVLVAGLLSLSGVRSLPALACAPAPAQRLQEIEEQDEQQESKGSKQQVEVDETKEGVLQQLDEGEEEDEEVRMYSAILSRNPGDVDALKCALYAKIRRADWGGALQYARRLRDAEPSEVEWWLMGAQLHELKGDLAEAEHQFRELLAEEPLLVRALHGLALCMQKKLEGPSVFEMLENALQLAISEKRVPEERNIKLLIAQMHVVMGQLDVASEKLQNLINEDPRDFRSHLCQGIVYALLDRKEDANKQFDTYRSLVPDEFPDKSFINDVILAARMESHDRLQKEFESEFQMKK >Sspon.01G0055350-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:78122925:78126080:1 gene:Sspon.01G0055350-1C transcript:Sspon.01G0055350-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSTKVLAAVLLVDLVAFGLAIGAVQSRPSARLETDARQEWTYCVYRTDAATALGGVALALLLVGQAVAAIASRCFCCGSALRPGGARACALVLFLSSWVTFVIAEACLLAGLLQSAYHTGYRTVFFQNPPDCETVRRGTFGAGAAFALFTGVLTSAYYYYFSKARIHYHRPEAAIGMTPL >Sspon.08G0013420-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:52293911:52298978:-1 gene:Sspon.08G0013420-2B transcript:Sspon.08G0013420-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding PMADESWRVPSIVQELAATVHEPPSRYLIPEQDRRDDQLAGAEMPDPVPTIDFQRLLASDPADEEATKLRSALLSWGFFQVTNHGIATSVMDALMAASREFFRKPLEEKQVYSNLIEGKQWQQEGYGNDPVKTQDQIQDWSDRLHLTVEPEDERKLDRWPGHPESFRGLLHEYTLGCTRVKDGILRAMARLLDLDDDSIIDQFGDKGTTYARFNYYPACPRPDLVLGIRPHNDIRVLTLLLADEHVGGLQFQRDGTWYCVPPAHGRALLVNVGVSLQIMTNGIFKSPVHRVVTNSEKERMSLAMFYATDLEKEIEPIAELLDGKPPARYKKIKCRDLVVAHIEYFSKREQELAATVPEPLSRYLIPEQDRRHDQLAGAEMPDPVPTIDLQRLLESDDSADEEATKLRSALLSWGFFQVTNHGIATSVMDALMAASREFFRKPLEEKQVYSNLIEGKQWQLEGYGNDPVKTQDQILDWCDRLHLRVEPEDERKLDRWPGHPECFRGLLHEYTLGCKRVKDGILQAMARLLELDDDDGITDQFGDTGSTTYARFNYYPACPRPELVLGIRPHSDVCVLTLLLADEHVGGLQFQRDGTWYCVPPVRDRALLVNVGVSLQIMSNGIFKGPVHRVVTNSEKERMSLAMFYGTDFEKEIEPIAEVVDEKRPPRYKKIKFRDFVAAHYEYFSKRERVIESLKI >Sspon.08G0005170-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:15350824:15365369:1 gene:Sspon.08G0005170-1A transcript:Sspon.08G0005170-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSSSSSGAGAGARRKAPSPPKHRHDGTSPLPLGMDWSPPPKRWEGRNTIWPHNPQTGWSYCVMMPSWITQTPETGVTADSFLKSVVFYRIHVGIQSPEGFSSSHGILRRFSDFLKLSSDLKSAFPRKDVPSAPPKHAFLRINSSRLLLEERRHALEEWMQKLLSDIDLSRSAPVAAFLELEAAAHFQERNGRPSEVGSSAKSSSIDSSPHSDGHASGSLAESNQINQALTRGSSLTGATGNGVLGEAILDHSDEHVSSALNHRKGNLVLEHDGRNGSVASYRGVVSEEDRDSNPGHARKDSAESIGSDLSSLRGSELSVPGASSSLWDGAVVDGHISQTEHLTGLDMHLLYDMDAQVILPNDQKQKLTRLLVTMQRRIGTAKTDMEDLIARLNQEVAVKEYLTTKVKDLEVELEATKQKGRETLQQAIQAEKERITQMQWDMDELRRKYSEMESNLKTEQNEKTRAESEKTTASGENETLLEELEIKQKEVESLKQRLVEAEAKSKADKKVLVKEVKSLRNSQTEMKKVLNQYLEEKTDLERVINREKQRSARTKLSQLKILHECRLLRERLQECSAKFLAEEQDNFTIDPSSLPDALDLLATSDNRIRLLVAEAQLLARDDEQGSSDDGDNSDSRSSLTMSSEDTKVTDEDATKMLSDLLIDNAQLRLRLNAVIRNAVNTAVKPEKEGSGDVLPKKTVLNWLLDR >Sspon.01G0040940-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:44208875:44211031:-1 gene:Sspon.01G0040940-1B transcript:Sspon.01G0040940-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEVVLHVYDVTNSDSEKTNNTILQINRIFKDRIGLGGIFHSAVQVYGEDEWSFGFCETGSGVFSCPVGKNPMYTYRESIVLGETECGIAAVNRILRELSREWPGHSYDLLSRNCNHFCDVLCERLGVPKLPGWVNRFANAGDTAVVVAESTAVKFRQAKTEIVNASRVAYRFMAGLASKNQASPESPGNIQNRGTLLSRELGSRTSSQLVQSLHRVNQPPLIRRMLTMAPLCGGKVQQNIQHSCS >Sspon.04G0026490-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:51392272:51395057:1 gene:Sspon.04G0026490-1B transcript:Sspon.04G0026490-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to Rf2 protein [Source: Projected from Oryza sativa (Os02g0274000)] MRYREVLAVRLHALILVAHLRQPPGPRVHGHVQVGQKAPTLIEGLKLQQPKVNHISFGQKVSAKATLRIVKCNATQTQSVQKKSSSATMQRDKKGKVQGPKLDDGSGGFPPFRFGKGGGGGGGGGGGSNYFGGFLLFSCVLLLDYLKEFEKYLLAQRHRAGDDGGNGLLQA >Sspon.08G0011250-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:48983108:48988587:-1 gene:Sspon.08G0011250-1A transcript:Sspon.08G0011250-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGSFLKVLANNFDVLAGPLISLAYPLYASVRAIETKNPVDDQQWLTYWVLYSFITLFELTFAPIIEWLPFWSYAKLFFNCWLVLPWFNGAAYVYDHFVRPMFVNRQIVNIWYVPRNEKLGKSDDVLSAAERYIEQNGPEAFEKLISKSTKSSKSRNTKRSILEEAEAENRAKAERESWGENPFYDKSYRY >Sspon.02G0010430-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2A:28803750:28804334:1 gene:Sspon.02G0010430-1A transcript:Sspon.02G0010430-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAADATVRRGSASSASVSLVIVLAAVAITCSSFPPSSAAAKAAPCSSQTFSGTGGGGQRPYASCADLPRLGATLHYNYTAATNTVAVAFRAPQAKGDDGWVAWGINPSGRTGMVGTQAVVAFQHSNGSLVAYPTVLDSYAPSMAPAAPKDLAFPVSDVAAEYADGKEMVVYATLALPAGKGSKFTHVWQQGTAV >Sspon.04G0034360-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:73390849:73391175:-1 gene:Sspon.04G0034360-1C transcript:Sspon.04G0034360-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQTGEMGEIGREARHEGGKDEEFRVQPKWRKFLAHVGPGALVAIGFLDPSNCKLSYLFFLRLAYCFLFRYSVVGKK >Sspon.02G0042440-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:111963043:111967763:-1 gene:Sspon.02G0042440-1P transcript:Sspon.02G0042440-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLGPIFAEIVRRTRPAQPSPTRRESRAEQAPSQAQPAAAASASSSGSGSPASRTPPGPGDARQGKRMAFRRSAGSLALRAAAAYLRRHHPHPPPPLALAAPTPTPIRRTLDPQCRHFAAPPGTQVNKKRGKEDDEGHRMNNAITAPFVRLVTDEGHNVVPRHEALQLASRMDMDLVEVDRKSDPPVCKIMDFHKEKYKKETKEKERLKTKSAIVLRGGENKEVRFKGKTELKDLMVKADGITRLMERGYRVKCMAMPSGNEGEDLGTPLSRLLGLVSTLPLIVSLLLINQMPSIPLRLVKIMIQDVCIVESGPHLDSKHAYVIVRHVKFATKKGGKKASQAMEESGKGTCSAVPESHVDGSNSEDKTVGDGLGKSDDRTISDHVKKTATHLSGDSAAQKEGKDREFKREFNWSKSNPGAGENRINPGQWGPQASEHRLGYKDVQPGMEKRESNVQDQGPVETNRYAARRQPIRGDNNRGFNQGRPPQDDRRNENGRRYENQRPLEQQHNRPLPRFNQGGLPQDPRNERRGPFSMNDNQRQPAGGGDPNRASKSFGIFSSTQKSGSSEQRKSDGTSKPGNTDSPKSFGIFSSRK >Sspon.08G0010500-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:46352754:46356608:1 gene:Sspon.08G0010500-1A transcript:Sspon.08G0010500-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Signal recognition particle 9 kDa protein [Source:Projected from Arabidopsis thaliana (AT3G49100) UniProtKB/Swiss-Prot;Acc:Q9SMU7] MVYVDSWDEFVERSVQLFRADPNATRYVMKYRHCEGKLVLKVTDDRECLKFKTDQAQDAKKMEKLNNIFFALMTRGPDADISEVSGKEQAEQQQSKKGRGRRQ >Sspon.01G0037090-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:17110831:17113511:-1 gene:Sspon.01G0037090-3D transcript:Sspon.01G0037090-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAYKMATEGMDVKEECQRWFMEMKWKKVHRFVVFKIDERSRAVLVDKVGGPGEGYEELVAALPGDDCRYAVFDFDFVTVDNCQKSKIFFIAWSPAASRIRAKILYATSKQGLRRLLDGVHYEVQATDPSEMGFDVIRGRAQ >Sspon.05G0035190-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5C:69744320:69744994:-1 gene:Sspon.05G0035190-1C transcript:Sspon.05G0035190-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding PYPILSFNSTTSTFLVSIEPSCNRSVPEAKASLTGAGYAISSRTGLFLRGDCRAPGAANCTAPSDDMNSWLLPTTPCASTDDTGQFMAWEKVEGTGCGDALTASVYDGDAPRAAAAAPLQEFGVAELGWWLNGTCANATSGGAQRCAKNATCHDVETPRGTWGHRCRCTDGMVGDGFAAGNGCYHGENVFQSVALRTTCGCQH >Sspon.01G0035230-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:9038072:9039162:1 gene:Sspon.01G0035230-1P transcript:Sspon.01G0035230-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARWAAAALVLAAATAIAMASVAGGDMSADKTECADQLVGLAPCLQYVQGQARAPPPDCCGGLRQVLGKSPKCLCVLVKDKDDPNLGIKINATLALALPNACGATRANVSHCAQLLHIPPGSKDAAVFSPGGDKGSTAAPAKDNSTATTDPRALQATNGSGVSSSAATAGAALTVLLAGYLLLLVPE >Sspon.01G0010200-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:78765968:78780265:1 gene:Sspon.01G0010200-2B transcript:Sspon.01G0010200-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMQNVAIRRYGESRSLMADIEHLVGSNEELGRLKLMVVYRNSEKLLIDAIGAAEGIKVVYYQRSMQFKYQGKLRAHDILSAVRYIMSLKHEEALLRFCIHKKMWRLSLNLPINLLAHGGSNQSYEAASSNNHTENVDISGKTMTRESDGPLELVENEEQTFGVGGQLTGSPWKGGFALANESVSEQIGNTNDGYRKCTMQKFHQFESFYAKLTAIAREYLLPPEIAKFGLITERSLLPSLDAVNEGNQETWFITTHHMGCKTCSVIAKDGDDLRSLVQSHHILGIKEVSADESGREAIFPTNRPSVILFIDRLSHSSKVRDESKLVIKLLRQYVQNNYPFINGVLSSSTSKTRSKAVPSLRNTGISDAYSQTARLSAWASKLMALGDKMSVMVVNDGDSISYRSSSQGSGANPLYDVLTKLLHKARPGHRSKKTRISLVSRDVGLKMLSDDSEIKVVKSLSVEESEYKRTDDASATTDNSNDDITEVSVDETTAKETEYIDDGQAPSILDKSLATYPDEHDSARESNNTEMENQSKSEASDMSVDLMEDASNNADSSSEVGGMLHKHIVEKTVTEAFQILQHDERNLYADQEESVSSNEQVDVSSVLSKKISKTEDAIYENTFDLSEGSEESDTRCSHHVTCSSSRVPLRDDTDFTDQATSSISDDRFAGAFYFSDGGYRLLRTLTGGSRIPSLVIIDPVEQKHYIFPEESEYSYDSLQNYLDSFMNQSLPSYYRVTSSAISSKELPRPPFVNHDFHEANSIPIFGPRGCDSKSEASFSNTESIASGWNKDVMVLFSNSWCGFCQRAELVVRELHRSFKSFSSYSDSVSANAQDVHNEEKTEEYVMKGFPAIYMIDCTSNECHHLLKSAGMEELYPTLLLFPAENKSAIAYEGGMSVPHLIEFLESHVSNSRHLLEYKGFMWKKRMTTQHDAPQAIQFQVSDKGSGNVGSELSSHPDVVTGSILTASEKLGTAVPFDNTKVLIVSSDSHEGFHGLIINKRLSWGVFKDLDSSMEPIKHAPLFYGGPVVVQGYHLVSLSRVAWEGYMQVIPGVYYGNIVATSRVVTRIKLGEHSVEDLWFFLGYSGWGYSQLFDELSEGAWLVSGKPIEHLDWPKS >Sspon.04G0003060-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:9362104:9364588:1 gene:Sspon.04G0003060-1A transcript:Sspon.04G0003060-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRLPCWTALLLPFLLAGVGTSASAAAASPPHDVCPVPTIVESVLGTPDTCSSLDRRLGDPVGVIEGDEVTLAKAVNLLHENKDDYIAVLFYASWCPFSQECKPNFETLASLFPTIRHFAFEESAIRPSIISRYGIHGINASLKSTAGEAMVHPLDDIDHKKDAEQENCPFWWARSPEKILQQDTYLALATAFVILRLLYRLFPKIDSFVRWAWRRHNLFANLMGAHEYFLTYLEQARQKFHRLYPSSSKRGNLQEGAMNATAWASKSLASVSIGEPSAIGRTNSTITRYASSLRDSAYHRV >Sspon.08G0014580-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:59495390:59502772:-1 gene:Sspon.08G0014580-1A transcript:Sspon.08G0014580-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRNGTPGSSGSKRTSSSWQSTGTSPGKKARNTAVRNMNTNMTRFNSSYDRRTEVIENIYAQKNQSVQMEVSTKKEAEKKREHEQKQVVESATELGVHKMEPKLWSGVVKICMNDSHRHIYLCTPLEARLPMIQSYADMEIHAEVDGPPHRSHSRRRAPPPLAAFLLTGRRQVNPRGVAVARRDHRVRRRRLRAGLLRPSNATPGRQYVGIWYNNIPVQTVVWVANRDAPVTVDERPGNSSSSAPPPSLALANDSSNLVLSDAGGRVVWTTNVTAPTSSSGTAVLLNSGNLVLRSPNGTTLWQSFDHPTDTFIPDMKVGLRYRTHDGARIVSWKGPDDPSPGTFSYGMDPHTSLQMLMWNGTRTYWRSSAWTGYMTASRYHATTGTVIYVAVVDSEEEIYMTFYVNDGAPPTRYVVTDAGRFQLLSWNRNASVWTTLESWPSRSCSPYGSCGAYGYCDNTLPVATCKCLDGFEPASQAEWSGGVFSAGCRRSQALAPCGGEGDAFLAMPNMKVPDKFVLLGNMSSGDECAAECRRNCSCVAYAYANLRSSSAKGDIARCLVWTGDLVDTQMIGALWGITAETLHLRVPAGFTDTAQGRKTRTKIVKVLLPVLASIFMLSCIFIVWFCKTRDKRGSNESAKKLVPGSVQTSSELGEGNPTEDLEFPSMQSFEKEFSSIQFSDIVVATNNFSRACMIGRGGFGKVYKVTLHSKRKSLLDWPTRLGIIKGVARGLLYLHQDSRLTVIHRDLKASNVLLDAEMRPKIADFGMAKIFGDNQQKANTRRAWKVWNEGNASDLVDPSIAESCALDEALLCVHVGLLCVQDDPNGRPLMSSVVSILENGSVSLPAPEQPAYFAERNCNTAVEGDDVQTSRNSMTMTVLQGR >Sspon.04G0000690-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4A:3090556:3091685:1 gene:Sspon.04G0000690-1A transcript:Sspon.04G0000690-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding TSTSTRRTPSTASWTRTRSWPSASPWVAARRRRPRPRWTPPRLISDIDDRRMMRHAARIVALADARVIRFAAPFVVSDGVRNAWTLDLPPAARELEVFTRGHLAPAIAGPGAAVLQKLGLENAVIREWPRLPSLVSLDLNNVTVEAPFAPGAWCPLLDELNLFCCKIEQARVDIRLPRLRFLDLDSVDIAPRDQTSATEAPYGVITIDAPELTEFEIDCEAGGTTDFKSFTLRAPKQHLLGWCNQYAERMVIDVGRPGNVRFGGIKLMSIYSRGMEYYREQMMRMLQGLLPNIPPENLDDVAKPYLTLGDWSDSDDDDEPRHETEKQLTCDINGLIMSH >Sspon.03G0002050-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:13798663:13800183:-1 gene:Sspon.03G0002050-2B transcript:Sspon.03G0002050-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKASSSMASNMARNADPDQQTLRPNSVEQFYFPRPGQSLPGIPPFFGPPSSSLYLPNDNEAKFGNQFESNPSQNTDWDPQAIVSNLTFLEQKIKQVKDIVQSMNNRENQVAGGSSELAAKQQLITADLTSIIIQLISTAGSLLPSMKNPLLSSNPAVRQLGNTLGSPMGFGMNANQRPSVDSKTDIPDTGKTSDYDELMNSLNTAQDERDELIKCPNPCGGEGSEPTPMEDHDVKESDDGGEGENLPPGSYVVLQLEKEEILAPHTHFCLICGKGFKRDANLRMHMRGHGDEYKTPAALAKPTKDSGADHAPVTRYSCPFVGCKRNKEHKKFQPLKTILCVKNHYKRSHCDKSYTCSRCNTKKFSVIADLKTHEKHCGRDKWLCSCGTTFSRKDKLFGHVALFQGHTPALSMEDVKVSEASEQPQDSEPLNEMARSMVYNFPSSDGISNLDMKMADDVRGYFSPLNFDPCFGALDDFTRPGFDISENPFSFLPSGSCSYGQQNGDS >Sspon.07G0007260-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:19067750:19071602:1 gene:Sspon.07G0007260-1A transcript:Sspon.07G0007260-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGPLNSNISGATTNLRDSTGRPFTSSFSGQSGSLPGFHHSGSHNIHGNLNLANISGSLAPRNNSMAGIPSPGVQQPGGGISSGRFPSNNLQASMSQIPHGHSGISNRGGMNVGGNPGFSSSMNAIGGSIQGPRLTNSGNIMGGSNIGRSISSGGLSMPSIASRMNLSGNSGSGAINIQGSNRMGSMLQQASPQFMNLLGSSYPSPGGSLSQNQVQSGSSSLASSGMLYDGSSGDNAPFDINDFPQLTGRPNSAGGGQGQYGSLRKHGVSVNAIVQQNQEFSIQNEDFPALPGNKGSSSDYPMDIHHKDHLHENVNIMQAQHYPMARPSGFNLGSSYPPRQHQQSATSVQNAGLETIGLRPSNSPSPSTNSGFMSNSCRSTINHRLKIHLALALGIDLTSLGLNLNSQDNLYKTFGSPWSNEPAIAAFLTLHIHKNFHPLQPLHFQKFHPLTLFYIFYSMPKDVAQLYAANELYNKGWFYHKDYRVWLTRAPNSAPLVKTPLHERGSYICFDPSIWDTVHK >Sspon.07G0026490-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:53925623:53928913:-1 gene:Sspon.07G0026490-1P transcript:Sspon.07G0026490-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSAHDEVTDSGAFDPSPSTFRSFVSKDSSARFPAAPGRYQYHLYVSYSCPWACRCLTYLKLKGLDRVIGFTVRIHQSSNYSSQPIFERMNKIHSVKPIFERTKETDDHMGWVFPATGDEEPGAKPDPLNGAKSIRQLYEMASANYAGKPSVPVLWDKQLKTIVNNESSEIIRMLNTEFSEFAENPGLDLYPAHLQASIDEINELVYEAINIGVYKCGFAKQQGPYDEAVTKLYEALDKCEDILSRQRFLCGNQLTEADVRLFTTLIRFDEVYSVYFKCNKKLIREYPNLFNYTKDIYQISGISSTVNMEHIRKSYYGGKI >Sspon.04G0003100-3P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:47592844:47596853:-1 gene:Sspon.04G0003100-3P transcript:Sspon.04G0003100-3P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYLPALGGKAAHLVSDLATVILNPVSERERQRHHPSHLPEITEGKETLFGDDDSDQNSETPDGPDTSSFRAFLMSFVPSSTASKDSMETTTDHNLDVEYPTLTPVGKTCSGRKGLLSRGKHSIGRIISKAGLGNLRQKQTRIDGEIIGQTESVAPRFEMKGSKESTLHDIKLPAMSEPSVLLTEMMRAVLYTSLPVLVQGRNWMLVYSTWRHGISLSTLYRRSRLCAGYSILIVGDRRGAVFGGLVEAPLQPIIKRKYQGTNDCFVFTNVDGRPVICHPTGANNYFTFCSTDYLAMGGGGHFALYLDGDLMTGSSSTSETFNNPCLSHTQEFKIKDVELWGFVNASKYEEMLTICRTEKQGIWNL >Sspon.01G0015020-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:44129549:44132918:1 gene:Sspon.01G0015020-3D transcript:Sspon.01G0015020-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAACRGFFECLLRLLNFILTVAGLAMVGYGIYLLVEWMKISEDGSGGGLTAEVLVSGRPLLGAVPLGDSFLDELPKAWFIYLFIGVGAIVFLVSLFGCIGAGTRNTCCLCFYAFLVILLILAEAGAAAFIFFDQSWKDVIPVDKTHNFDVMYDFLKENWEIARWVALGVVVFEAVLFLLALVVRAMNKPAEYDSDDEIIAIGRSPTMRQPLIHAQNVPATGVPVPTLDQRASRNDAWSQRMREKYGLDTSQFTYNPSDPSRYQQNGTPQAEERSRCTIM >Sspon.06G0025720-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:71012979:71014607:1 gene:Sspon.06G0025720-1B transcript:Sspon.06G0025720-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSKEGRRRSSEDDRISGLPDELLHDILIRLRCARAAACTSVLSRRWRHVWADMPELTTASFVNSVDAALAAHAAPTLDRLLIALSDSAISANAIPAARAVPWLRFAAERVAGALLLLVPPRPSSLLPVTLQELELPKCARAKTVTLKLQDTWLLRPADAAAGGVGVFTALTTLTILYGRMDGGELSALVYTRRCPCLRDLKLRLTLLDDAARFSVPKLSELVWTGDYADDSHQFVNVSRSLQLLDIAPDRRSTVALTSLLQKFDEVDELRLIIHISRVRSYQQNLLKTNRISYDPVGTAGYESFLNETSKLPKCKTLYINLERNGHGLAPSMFHLLRNCTGAKKVSVRLLDLAHDSQKYSCPLSCPCHLAESCRVNDITVSSLEEVEISNCTSSHEELEFVEVLSKCNATRLKHLVIYYYKRKFQAPLRSKEICKKICSMYGSNVEVEFYVVGKGRCFNWREDLQHVSTKC >Sspon.05G0009610-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:19096427:19104839:-1 gene:Sspon.05G0009610-3C transcript:Sspon.05G0009610-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGPGAHLLYALTGGAALSRLTGPDRRFGPHHCAVYAANAFLGPDLGSFAEWLCSFLPSASAAGDLAMAAVHHPFYYPLLLGLPLAWAYAWLSRRLLRAGVLDSPSGVPLRKRQCFLLISAGSLSHFFLDHLFEENGHSTMYTWILSTGWWKGRAPINPDAVLVVGLLCTCLMGGFVYINRVKHGRSAAEKSNQSFFLILMIATLYCMWCASQIYLRQPPQPAIGEEADLGELQEHHAEAVYIPCSIHPVVVAVVSSYSRDATATSARKNNMCDFPDVDGFVGYVDVMMSRYFACRVMLTLTLSS >Sspon.07G0027260-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:53026667:53029405:-1 gene:Sspon.07G0027260-2D transcript:Sspon.07G0027260-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPRRLEGSRTAAEVWARARARARAEAKAKAQEMAKTKRPTWAEYQAMQKRLDSMDDKINDLTWRLNLEKIRGCFGDQALRSIFPTLILPSETRAFSQKSRVDLALMGTCMDLVYEFEKRRRLASYEQQCLEDLSKRLRREDTDEDESQKPRHIKDMEEERSCLEREYLRLSSDMRGADFSGMTEADRAAEAERLRAEEFHEASRLLVEEGDIVGALRQQAEACILDFDPKQEGIYYNSASGFADLATFDLWEESPIGPMRFTDKVFQVGKEIELNEAVNILSVKIACSDVGFPIQVYGTVIARDCTDYKRVYLFHRDREHCQLINSKDEPLILTGPKRGLLLLDANYVETDLMIKDHQGQDRELSKGVLTISGIAGRSLEKCEVDRLSLATRLSTVKVAYAFVISAVEATISIEVEEGEFCGIVTAQTTSIKNRLVLYDSQLADHMPGNGRGAIQMMRPVISVYVEDTLIIIARVHGGKGVALKITPRISKGDEVEFTLGTAKMRLRVAWSIL >Sspon.08G0000070-1P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8B:15725604:15726935:1 gene:Sspon.08G0000070-1P transcript:Sspon.08G0000070-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRYYARIRHLDTTNFRVQFTWLDHYAVNDDEDNWTYNELPVACGNFKLGNTQESQHHLMFSHIVSWAEGRTRGSYVIHPSKGEVWALYKGWSMQWISDADNHRSYEYEVVEVLSNFTMKAGVTVIPLVRVESFVSLFAPAKDKSSFVIPSSDLLRFSHSIPFFRTRNEKVGVPSGFLELDTVSLPSNLDVAFPPVTLDSFVPGSSALQGFCSPIILTYPKTVFYNFEEGRLNTKFARDQIWAVYSDFDKLPKYYGWVSRVDRDPFGVHLTWLEACPRSEQENLWLEHDVPVSCGTFKIRYWSIKYDTNGAFSHVVGIRSKRHFEIHPQVGEIWAIYCNWSPGWVPSSKDVCEYAIGVITARTEAITKVLFLTQVDGYRTIFRPDNERGILEVPTKDDLRFSHRIPSFQLTKEKGGTLCGFYELDPAAVPDPFLAESPLTRRG >Sspon.03G0026540-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:3036888:3037807:1 gene:Sspon.03G0026540-2D transcript:Sspon.03G0026540-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MANKLATSLLATCFAAAVLLALAAPAVLAGDPDMLQDICVADYKSLKGPLRLNGFPCKRPENVTANDFFSNVLATPGNTGNAVGSAVTSANVERLPGLNTLGVSVSRIDNAPWGVNPPHVHPRATEVIFVLQGSLDVGFVTTANRLYARTVCAGEVFVFPRGLVHYQRNNGGGPAAVLSAFDSQLPGTQPVAETLFGASPPVPTDVLARSFQVDGGLVEAIKSKFPPK >Sspon.05G0020800-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:68567828:68572831:1 gene:Sspon.05G0020800-1P transcript:Sspon.05G0020800-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCGTREENAVVAAHAQVQQLHLLQHPAKNALADKKHTRSSSDIKTITKSFRADYVLGEGGFGTVYKGYIDENVRVGLKSLPVAVKVLNKDGHQGHREWLTEVNFLGQLRHPNLVKLIGYCCEDDHRLLVYEFMFRGSLENHLFRKTATPLPWGTRMSIALGAAKGLACLHNAQRPDYTAKLSDFGLAKAGPEGDETHVSTRVMGTYGYAAPEYVMTGHLTARSDVYSFGVVLLELLTGRKSIDKSRPSREQSLVDWALPKLNDKRRLLQIIDPKLEGQYSIRAAHKACSLAFYCLSHNPKARPLMSDVVETLEPLQGGGGSDGAGQSSGLPDYRARRRLTGNNVHFRAIPNPKCSPAVPVWSAVMVATNGAVSVAAGIGSVVY >Sspon.01G0012010-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:32493413:32494966:-1 gene:Sspon.01G0012010-3C transcript:Sspon.01G0012010-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AEVTVGKRSYNTHVIDIEDNCAVKIEIPESGGLLKQELFSLTIPQVGGGEDIFATIRWSQKLLYDNGQFSVEVPFRFPQYVNPLPKVFMKKEKIQLTVNSGVSKEVLLQGTSHPLKEKSRQGEKLSFLHEAVVENWSAKDFTFTYTVYSGDLSGGVLVQPSTLRDYDDRDMFCLFLLPGNNEKRKVFRKAVVYIIDTSGSMQGKPLQSVKNAMSTTLSDLMQGDYFNIITFNDELHSFSSHLEQVNERTIGSAIEWMNLNFIAQGGTDFMHPLS >Sspon.06G0029560-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6C:25517538:25518732:-1 gene:Sspon.06G0029560-1C transcript:Sspon.06G0029560-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GNAEKSRIIMVGDTLKKAGGDGEGLVTIKDLGDTEIALRDKAGPCSLVLERPFAPFPIHQLHQNEDYHILFNRGRAAVASWNSAVLSTKLNGSFTGDGKSGFAVFSPRLLSSQGWALLSNEKGGLNQSSTNLANRVSEIVGLYSDEDDANAEWAHGSFPLEEYIKALDRAKGELYYNHSLGMQYSKVLEDCFC >Sspon.04G0023540-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:13620262:13621273:-1 gene:Sspon.04G0023540-1B transcript:Sspon.04G0023540-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPAWATENDRTRAKNLIHYLEPAGDTTLFFPPHVEAFPANRYPSPEQYLFVAHRRIYPRPTDGRSLFGIRELHAIGVALVQLTVSIGLLSANRGSIYTLRPCAPPPPMPIEPDRRLCSTVPEKCCAFGGRRGAPPRRTRLNRFVIVNEKEDGIVSVASVDVRFACVFCGQDRGDERAHGLRRLREARVSSVEIDMDRQKVTVTGYVDRREVLRAARRTGRAAEFWPWPYDGEYYPFAIQYLEDNTYMATDRYYRHGYNDPMIGSYPCHAFTHVLDDDALAVFHDDNVHACAVM >Sspon.06G0015630-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:69458832:69461123:-1 gene:Sspon.06G0015630-2B transcript:Sspon.06G0015630-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPPCCDNGVGVKKGPWTPEEDIVLVSYIQQHGPGNWRSVPENTGLMRCSKSCRLRWTNYLRPGIKRGNFTPHEEGIIIHLQALLGNKTDNDIKNYWNTHLKKKVKRLQQAAADSFQTASSSNAVTCSSNYYSPSSSSSHHSLQGMQQPMNSYPNTACNGGAPSNNETTTTGGISNLFQTWMMRPSPAAAATAANCKIAMQEFQEEQDAAAASIVRQEQMGMTGGGDVNRSSALEMVVAPVMGAASTATFSLLEDWLLDDMPGQAMDGLMGISAGCCADPIMF >Sspon.01G0029750-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:99565524:99570127:1 gene:Sspon.01G0029750-2P transcript:Sspon.01G0029750-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAVGDGAAGAGDAAASAPAGRSQQGAKRGRGGAVRGGEADAATAAWGQPPPPALPPPPAPGAGSRIYRVRASGGKDRHSKVYTAKGIRDRRVRLSVPTAIQFYDLQDRLGFDQPSKAIEWLINAASTAIEKLPELDPAAFAALPAPGDADAAVKDKQQQQQGSKSAGSSTSETSKGSELSLSRSDGRGAAAARDREVTVASTSAQAASFTELLTGVASAGSISAAEHKQSWHQQQPNVSAAAADCVGIAHHGKGAHGLSAHGFSAPAAAKFGNAPPFGLVPAQPFNFTSPIEMPHFSLGQDTLAASSAAAGDYSLNFSMSSVQLLRPSSPAAPEAGWPDLVWSRSRCGGGASRIREPADGIRGVTAVGRAPALRHEGEEQELIAHSSTVVDVTKRAPAHTIMSIRSNKKGKKRRRSSDGPCKQTRPRRSHFGPNNHCLYSRTSWYTFSGAYTLAATLSRRAHRAAHAASPGHSSATANSPWRSYVRNHAANGAAAASTNPNASPPKNSRPPSSASSAWSTASNSARASGSGSASSSPSRLSHSRFPQWCARPAYSAQNRARARA >Sspon.01G0003130-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:7164899:7167445:1 gene:Sspon.01G0003130-2B transcript:Sspon.01G0003130-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVVIVGAGLAGLAAALGLHRKGVRSLVLASGFAFATWKNAFRALDALGVGDKIRRQHLQAQTLRVMASSTGEIAQELDLTQQGKLGPNEMRCVRRDLLLQALEEELPRGTVRYSSRIVSIEDDGGVKVLHLADGSVIRAKHLRAACSASMRSSASIYLQALVGCDGINSVVAKWLGLATPSYSGRSAARGFAHYPDGHGFEPKFLQFSGNGFRSGMLPCNENDIYWFFTWTPSENDKGVDESATKMKQFVLSKLRGSKVPAEALAVIDRSEMSDVLAAPLRFRSPLSLATASFARGNGGCSALEDGVVLARCLGDALLLPAAKGKEERIEAALREYAWIRRWRSVELVATAYAVGFFHQSDSAVVSFLRDRFLSGVLARRLLKMADYDCGTLIRSSTHCCRFLSCLGDALLLPVAKDKEERIKAALHEYAWIRRWRSVELVATAYAVGFI >Sspon.02G0047940-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2C:20523997:20524281:1 gene:Sspon.02G0047940-1C transcript:Sspon.02G0047940-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding TDEIFGTYVYNHCNMCNISIYFCNILIYFCNIDIKHLQHPLKHLKHTLATCSFSANISLLLRRMEAHRYVEVTGVLVDDVSWAATHHYWKAEIS >Sspon.03G0012220-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3A:33801697:33802167:-1 gene:Sspon.03G0012220-1A transcript:Sspon.03G0012220-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLGPSLPSTKLVRRDSTFFVKVKRLFSTTPEAKIFSKSSWIEMETNEGHWGDRTRPVSGSGSQARVARVLHQCVRSLAGPARLVRRQRDSEREGSIGRGGASGHNRPDASGRVWMLTGIDRTLALWHPVNLNSASGRVVSNVNRGDRTLRRVRSL >Sspon.08G0007300-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:23339973:23342272:-1 gene:Sspon.08G0007300-1A transcript:Sspon.08G0007300-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLVYLLTIISLLVAAAAATSCHAGTGAAAAPAAACSRSRGGNKAGKQKQQPQVEGMFVFGSSLVDNGNNNFLNGSGVRADYLPYGVDFPLGPSGRFSNGRNVIDALGELLGLRGLVPPFADPRTRRARAALLRGVNFASGGSGILDHTGQGEVVSLRQQISNFESVTLPDLRAQLRRPAAAANHGRVKGQDSFHQCYLSKCLFVIGTGGNDYLLNYFNPRKNGTESGPPLSEFTTSLITKLSDHLQRLYGLGARKFVIFSIQPTGCTPVVRAFLNISGTACIEPVNDAVALFNSELRRLVDGARPPRMPAARFAYIDSYKIIRDMLDHPAKHGIRETSRACCEMSRRSSGVLCKKQGPVCRDRTEYVFFDGLHPTDAVNARIARKGYGSSSPDHVYPINVKKLVML >Sspon.03G0041760-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3D:34276824:34277452:-1 gene:Sspon.03G0041760-2D transcript:Sspon.03G0041760-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFRLLGYDEYRGVHGILFVNAQLEWKRRDEHTLWVPVDGEGSDGLVTWCRTAAAVTQLSDERQSARTRRVP >Sspon.05G0020590-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:87466712:87468743:1 gene:Sspon.05G0020590-1A transcript:Sspon.05G0020590-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding TMTRKLRRGAQGRDHVGSRCATLERCINRHTRMANPGLGSLEWGQLISSLQSITSQQKIPSTLITSRQDIGSIPHCATQIQRSSTLVRTLQRRKRKTTFGDGTATAANPLAPGEINI >Sspon.01G0039840-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:37242028:37245650:1 gene:Sspon.01G0039840-1B transcript:Sspon.01G0039840-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNNYRTSLGRGAFGEVYEGVLEDGSMVAVKRLIGSVKEEFAKELIIHREINHKNVVRLIGHCVEENALVLVMEYIANGNLNAVLHDDNHPIPLDIRLRIAIECAEALAYMHSHMYTQVIHGDIKPGNILLDSNFHAKLSDFGISRVLNTDKTLYTKNVIGSIGYMDPLFSLDGRLTVKYDVYSFGAVLVELITKKKAVVENVNNVYAFSNALTRGVRGVREMFDVDIASKNNLKVLEGVAKLAGECLRMDRDKRPGMIDVAERLRVLQKSSYQDQERQRVDLFSWVRKSIPAPPSFVNTRANILPSDVCRQFSFAEIKAATNNFDVSLLVRKGRFGRVYCGQINGRTTNVAIKRYDHQLDREVAEFYTEIDMMSKHQHRHLVPLIGYCVEEDVMVLVYNYMARGSLDDHLHGAQKPLTWKQRIEICIGAARGLRYLHEQQIIHCDLKPKNILLDEEWVAKITDCSLSWTGTSVETRMVGTVGYLDPEYMMTARLTEKSDVYSFGVVLLVILSAQPPLYLIRPEEQEGILSLWALRCKQEGNLDQIVDPYLMGRINLWSLNKFVEIALKCVAPRGIDRPSMGDVISDLEHALQWQERADASECAKILPSRLCRQFWLAEMEAATNNFDKSLLIDSGSFDRVYRGKIDGVAITLVDVTPVYSVCAFHSLIEITSKLGHSHLVPLIGYCDEQEMTLLVYEYVAGGNLSEHLYGTRKPPLNWIQRMEICIGVARGLCYLHGLQLTHGAVRTSNILLDEECLAKITNLALPPNLLDTHATEVCVADGYIDPEYLRTGLRTEKSDVYCFGLVLLEVLFGRPVIKCQRLEEQVAGLLIWEVQQRTLDYLDQNVDPFLRGEINPLCLKKFFQTAVKCLAERGIHRPSMRDVLSDLVYALELNEGLESFEDGGSISDDAMDEGRLPFSGEPGDGRLARRSIARNGVVTGGWWLPSDRRPATHSRLGVPEQITCSTDCLIGLFPLSDPLTVKTVPMTKAYNV >Sspon.02G0033750-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:8978312:8982653:-1 gene:Sspon.02G0033750-2C transcript:Sspon.02G0033750-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEGFAAAAAACPSAAEAFLKYYGIVSGGTSGETRQGLVELSLAIDGIEGMRDVIFADIPKLIPFIDLEDMGLFSCFYDFVFFICREKGQKSITIQRAVAAWKIVLNGRFRLLDRWCNFVEKYQRHNISEDTWQQLLAFSRCVNEDLEGYDPRGAWPVLIDDFVEQMHRIYHSTDCSSAMESQCSISSTFKGLDPLPGSKRKCPHFKSNEEDVELSDSFTRSVHLTPIKRLKESHDARYGVGESHKITHFSNSSSDYHEDMHLHNSRGCLQNSPCNVEDALSKGFEGCISMKCPF >Sspon.07G0019060-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:75835399:75836329:1 gene:Sspon.07G0019060-3C transcript:Sspon.07G0019060-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAWTRKAMSSSKAFSASCVSAGVPGDDDKQRKIRVPKGYLPIVLVRDDDEGETRVLVRVSDLKEPCMAVLLEMAEEQFGYGQPGVLKVPCDAKRFDHVLGFHFLPPRHPSEGDTCGSPTLAAPAHPAATPFAAPSLELAQASSKEGGGVAISPLLPLNLVLPSFLSVSPASSVSLWHGWRPSPVLVDQI >Sspon.03G0010060-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:32200839:32207654:1 gene:Sspon.03G0010060-2D transcript:Sspon.03G0010060-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding WAGSLLTLLATPSSHLQPLQPPSSHLQPLQPSLVPPSAPRPSPQLLDLPLGGVPRPVRRPGPPMVWHNVMVMDIPEISPMSEYLSSHDVILSVDGLKITRTDEWIKILNQGSTAKSSDPEFLEGSHRYVATSSAKGYCVPDSWIDASKNLWQIRDKLPCPDELIAFEKVICNSSTILTDKTSIGSDQKEVEGKYCLIAKDVVKLRRCGNGWHRTEGDESSCACFEV >Sspon.07G0007080-6P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:12878908:12880170:-1 gene:Sspon.07G0007080-6P transcript:Sspon.07G0007080-6P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEADGHAGDEGASRPAPRLNERILSSLSQRSVAAHPWHDLDIGEKSLPCCARGTSSSFHNQYHRAFCFQRCLAALSANSGGSSSSLYVRAGPDAPAAFNIVVEISKGSKVKYELDKKTGLIKVDRVLYSSVVYPHNYGFIPRTLCEDNDPMDVLVLMQEPVLPGCFLRARAIGLMPMIDQGEKDDKIIAVCTDDPEYRHYNDLNELSPHRVQEIRRFFEDYKKNESKEVASTRCSLRAPLAMPSSTPC >Sspon.06G0012930-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:55773007:55776157:-1 gene:Sspon.06G0012930-3C transcript:Sspon.06G0012930-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLGDGGAAPAAGNNLVVSFGEMLIDFVPDVAGLSLAESGGFVKAPGGAPANVACAIAKLGGSSAFLGKFGDDEFGHMLVNILKQNNVNSEGCLFDQHARTALAFVTLKHDGEREFMFYRNPSADMLLTEAELNLDLIRRAKIFHYGSISLIADPVRSAHLAAMRAAKAAGILCSYDPNVRLPLWPSPDAAREGILSIWKEADFIKVSDDEVAFLTKGDANDEKNVLSLWFEGLKLLIVTDGEKGCRYFTKDFKGSVPGFKVDTIDTTGAGDAFVGSLLVNVAKDDSLFHNEEKLREALKFSNACGAICTTKKGAIPALPTVATAQELIAKAN >Sspon.01G0021870-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:97535778:97537166:1 gene:Sspon.01G0021870-2C transcript:Sspon.01G0021870-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESTPRFLFLVSLVAAALAMATGPAAAAAHAAGGRSRLIHLHFYMHDITGGPGQTAVQVVKGPGPAHPAMPGYHFGDTTVIDDALTDGPSASSSRLVGRAQGTYTLASLTEPVLAVSMTVALTGGAYNGSTIAVVGRDDVSAGVRELAVVGGTGAFRRATGHVLWRTARMESRDHMVLELDVYATVPAASPAPPPRAGVVRQPRQPGNVFASTNFIETTTQQQRLQEDNFTMQTCHVPRTTRGPRTQPNH >Sspon.02G0018530-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:59540763:59546257:-1 gene:Sspon.02G0018530-1A transcript:Sspon.02G0018530-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMTSWLLGRRGPSGFSWSSTADQVTQGISAAGLTAIVTGASSGIGAETARTLALRGAHVVMAVRSLPAAQAVRDAVLAQAPKAKLDVMELDLSSMASVRAFVSQFIDSGLPLNILVNNAGVMAIPFALSKDGIEMQFATNHVGHFLLTHLLLDTMKKTSRESNVEGRIVNVSSEGHRFAYQEGIRFDKINDESVYSSFGAYGQSKLANILHANELARRFQEEHVNITANSLHPGSIITNLLRYHSILDVLHRTLGKLVLKNAEQGAATTCYVALHPQVKGVSGKYFCDSNLYEPSAKAKDMELAKRLWDFSVELVT >Sspon.02G0054410-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:97733443:97735705:-1 gene:Sspon.02G0054410-1P transcript:Sspon.02G0054410-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEQVIKEAVTSTPASTEPKCEDLLINCPVVMDGTSMTPDVKRKEKPVPHYLRASTSSCHDNCKFGIKHSSEPKKYWPVSRKQLRRASTGNQELDRVQIVLPQKARARPIKEDQKLEISHVKGGSGTSPAKPEFITLKAPLEKIPDHSESIPHVEDSSAEASELVVAGTLPIDAECFVVSHDDVTDCGDGESLDGAESIELEMPLAIQDIDESDEHIEDSILPAENVCGVEGQSPVRPVPDQSENECASSDNRDPQTVIASEKHEQALLGSKSESLPKGSVKPKAKATLIMTRDKGSSQKSGRASNLTSTRTAVDSSSGPKTTRKPADVTATTKFSNPERKIRPTVTSAVQKVKEIKVPSASNAKDSSAKPSRLAKLKASTTKTAPSPSLASGKQTDRKMTGNNVGKNAQILPKKREDKVKTGPLKLSRSINMSGKSLSGVKLRTVRKEKIAPPINSSKKVSGTENSSTDAKEAKQRILKTASPKVRKLETNNKEIGPRKEKIDTARTATARRPKAAPATPSSTVVPAQPPRKLTFRRGKVLNPDESSSGTTPRRLRFRPAIAAADATARSRGGRIASRRIGGGGGAAARDAGAEVVVLRRRQDGKDTKKQEPGLLNNVIEETASRLVAEARKSKVKALVGAFETVISLQETSKAAAPAMAAGAVP >Sspon.05G0005250-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:9355088:9356244:-1 gene:Sspon.05G0005250-3C transcript:Sspon.05G0005250-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCTRDGKLAFLTNVREPSSLIGAKTRGQLPVRFLQGSHGPLEYATEIANEADQYNGFNLILADVNSGIMVYISNRPGGDPVIQTVAPGLHVLSNAAIDSPWPKLLEYMRK >Sspon.02G0033340-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:7103614:7105669:1 gene:Sspon.02G0033340-2C transcript:Sspon.02G0033340-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MACVVEIFSFIPRRSLVHRGGFKVDSVDLYYGYALEKCMERNVLSPKIGICSFAIDYLIELRLNQRAAPWDPDCTHPSTEGSNQDTAPCEAQCIDRGDDHTNEDVAFDRPTKVIDEVAKSLLVDNSPGIVQNVSLLLDCGNQHKIVNPLLDTDEEEQQQNDLSVNATSHKTEMGDEVLDTGRLLETQEHSTQQIGNSNEHNFWIVKRWRQVSEFWSVPQEGPLTEQDLLPVIGMSIIQSLATYDQSNCAEIGKAADLIRKITRFTSFCRADTNYTDAEKKVLVHSSLKVFYRLTSVDGEIGITLRHKISKHPFLLRNLSEVLGDITSNYETRKVAAGIIRNLAIDASMRLANTSASVSRSREALRKVGQALAMLAIGNVNNCLAMLRQAGYSFIEELTNMIHVERYRCVAASLLRSVCMHARPELKETDLRQLSYISRMVLERTLHVEGEELEIFIALSSHIYEAIPEEFAQDFEYGQIKATFVKRLVDALNANMEPA >Sspon.08G0019440-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:15511481:15518523:-1 gene:Sspon.08G0019440-2C transcript:Sspon.08G0019440-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AIYLADFHLLHQNSDFGIITELCLTNLQLVKKASEVDPGELGRFDQLCRLSLRWSRQPDDQADTGDSAVLQRLQPHPNLSALEIRGYQGATFCAWISNANLYVPNLVKIKLVDILACEHLPSLGQLANLEELHISNMHNIQEVATSFYGGKHPFRKLRKLHIDGMGNLEVWSTNLEPSAGQMSWNDCEQQLLGDEIFPSLEHLIVKGCPRLTLDSGFQGHIKRIIGSCSEIELSARIFIGSSDPSRIEVETNILSLSDGSELLQYSNNLSNLTIRSNSDLITLPGIIQKCRTLRSLQILDCWNFTVLPDWLEDLTSLEEVEVHAPKLQCLPHAIKGLTSLNTLTLKQCNYKLREHIDNCPMVYIKAVFAPRDGFTFYAPQLDHDLEVSISSSSDIALLEKTTSHQLIELNIKDLQHLSSSEAEKINLVGKEELVLLSLEWSSQCAMINNVALEKLQPHQNLRRLCIKNYPIDAGFPHWMQLLPNLVSMELFNVKTGHLHLDHLQNLEDLHVSSFSSLDDGKRLGVLAIEERYDRSLLRISSMQQLKRLRRVTLARVGKLVWETSTSPCRANFSQKKKRRANSNSWESSCQPSENIFPGLEHLEIDCCLDVTFQPSIPRSARYIISGIKQYPFLFKWPSFEQVMGPPTSASSSKMEIKHSKSISSGPLDSLGQLDIEELTVDSCIDHIPLPECIRGWKSLQKIEILNCEDIKELPDWLGDMPSLRELKVETYWMQTLPPCIEKLTSLQTLALLKCTKRFKQRCSEGGHDWSKIKHIDNLIVEERKR >Sspon.06G0013080-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:51950669:51955967:-1 gene:Sspon.06G0013080-4D transcript:Sspon.06G0013080-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADADAAPPTPPREVVAPEELPAVAESQEEEEAATAEVDNKRKLEEVGADAEANGTGEDAKRPRVDGEPDAATGVEQQNDGSSVNVEEPAASEDKVAPTDGAAMDGDNGTAAPAPDSQAGSDEKPLEAAAEAPQQEGDAEAAAQEISRKIEVPNSKVGVLIGKNGETIRNLQTSSGAQIQITKDADVDSNALTRSVELVGSLGSVDKAEQLIKSVIAEAEAGGSPALIARGFGSGQPGSEQFEMTVPDNKVGLIIGKGGETIKGMQTKSGARIQLIPQHPPEGVTLTERIVRVTGNKKQIEVVKDLIKQAMNQTFSKHTNQSGGYGPQGYRPQGHGAGSQWGPRSQNHGYGYPPRGMPPPQNYNAPYGGYPPQGPPRGGMGWDQRQGPPPHPSYQGGGGSDYYKQGSQPYDSQPPSYPPGPGNYNSYGQSQVPNYGQPQYPQHAPQQNYSHGYGDPRYNAPPPNQQYYGQPPMGPQQGYPQQPDPYARPPYGGPGQWPPRGAPAADGSYQAPPASYGPPSQQPPAYGQTYGAATGSDGYAQQGYPQQGGPAPAPYGQSAPAAPGYPQQGTQQGGYAQYPQTQPAYGDQAAQANANYGYQGAPADPNYGSAYPQPGYGPPGQATGQAGYASSAPAAGQPAYGQAGYTQPPTNPPSYDQSAAPAATQSGYAAPPANPQQPAAAKGVSPQSAAAGYGAGGQWTA >Sspon.06G0011560-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:63115600:63119396:1 gene:Sspon.06G0011560-1A transcript:Sspon.06G0011560-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASAAEVRYGIVGVGMMGREHLHNLAHLAAEVEREQSVRVRVTGLADPHQESLRLGLQLAAELSLPAPQTFSGHRELLDSGLCDAIIVSSPNMTHYEILMDIISHREPHHILVEKPLCTTVQDCKKVIEAAKQRPDILVQVGLEYRYMPPVAKLIDIVKSGTLGQVRMVAIREHRFPFLVKVNNWNRFNCNSGGTLVEKCCHFFDLMRLFAAANPVRVMASGAIDVNHKDEVYDGKVPDIIDNAYVIVEFDNGSRGMLDLCMFAEGSRNEQEICVVGDIGKGETFVPESIVRFGKRAEGRDGVVTIMAEDERIKYQGLHHGSSYLEHLNFLSAVRAQGASGPSVNLSDGLLSVAIGVAGQLSIEKGRFVTMEE >Sspon.05G0017720-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:71022014:71023448:1 gene:Sspon.05G0017720-1T transcript:Sspon.05G0017720-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPVIDFSKLDGPERAETMAAIAAGFEHVGFFQLVNTGIPDELLERVKKVCSDCYKLRDEAFKDSNPAVKALAELVVKETEGVPVRKIQDMDWEDVFTLQDDLPWPSNPPAFKETMMEYRKELKKLAEKMLGVMEELLGLEEGHIRKAFTNDGEFEPFYGTKVSHYPPCPRPDLVDGLRAHTDAGGLILLFQDDRFGGLQAQLPDGSWVDVQPLENAIVINTGDQIEVLSNGQYKSAWHRILATRDGNRRSIACFYNPARLATIAPAIPAAGGGNDYPSFVFGDYMEVYVKQKFQPKAPRFTAMSTTT >Sspon.07G0012060-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7A:43039212:43039550:-1 gene:Sspon.07G0012060-1A transcript:Sspon.07G0012060-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAILTLQQQVQALEAELATVRAEIVRHRCRPAAAAVLPSSHASQLLAASAASRGLHAGSRSSVGARAATLAAAAAMGPAASSSSSSAVYAAAASSSTDYSSITNENAAYFG >Sspon.06G0030460-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:47912214:47913965:-1 gene:Sspon.06G0030460-1C transcript:Sspon.06G0030460-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHQEGLNESLIQDCEQIVLSEFSEFSSYRKQLKRRYEDYLSLFGSCRHQFEEGKDGSITQEALTRNDFSSSRHGNFSEYSTTESDEHEFEKQDGGELICEDESTFFDSVDYFTESDNRSSTMLTGQEVVDSQTHDSSNNRLPQITRRSRLPEPTEKEKGISLWSIIKDSVGKDLTRVCLPVYFNEPLSSLQKCFEDLEYSYLLDQAYQHGKVGDSLMRILKVAAFAVSGYASSVARPCKPFNPLLGETYEADYPDKGVRFFAEK >Sspon.04G0032420-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:26168870:26169136:-1 gene:Sspon.04G0032420-1C transcript:Sspon.04G0032420-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARNEAKAQWMLNHFIRMKREEQALREIGARVANIQIEDQGEHRASASASASVTSTARSTKEASATSTAVASSSSATQITLIAPTHSS >Sspon.01G0019650-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:79093279:79094896:1 gene:Sspon.01G0019650-2B transcript:Sspon.01G0019650-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFRVADASEYLAITGWGIDDVKLAKKAWVFVGQQCKKFDITPVNYEFEVHAMSSEKLPFILPAVFTIGPKISEDGTHRASLLLYAKLIAPHDKNSSHVRELVRGVIEGETRVLAASMTMEQIFQGTKSFKQAVFENVQLELNQFGLYIYNANVKQLVDVPGQEYFSYLGQKTQQGAANQAKVDVAEARMLGAVGAKAREGTTLQKAAEVDAQTKVFRVRQEAIGIKEQAKVEAEVKVFENEREAVVAAAKADLATKKAAWDRQTKVAEVEASKAVAIREAELQMEVEQKNALRLTEKLKAEQLSKATVQYDMQVQDSNAALYSRQKSAEAKLYEQQKAAEARKAQADAQFFEQKLAEDAKLYAKQKEAESLATVGKAKADYVAAMLQALGGNYHALRDYLMIDGGLYQEMARINAGAVSGMQPKISIWTGADGAGAGGDVGASSGGGAAMQQVAGVYKMLPPLLSTVHEQTGMLPPAWMGALPKDEAN >Sspon.02G0041730-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2D:72213343:72217697:1 gene:Sspon.02G0041730-2D transcript:Sspon.02G0041730-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Actin-related protein 2/3 complex subunit 2A [Source:Projected from Arabidopsis thaliana (AT1G30825) UniProtKB/Swiss-Prot;Acc:Q8LGI3] MILLQSPSRFVLQILQDRVLSGEKGMDIDCHTVEFDDVRYHIQFTMRNPKVMVLSVALPLPPPEAILYDGLPLGAIEAIKAAYGPVVQILDPPKDGFDLTMKINLTKLPPDEGSRVPFSRVIAVLLNNMFKPADEQRNAILTQIASIREVVLGAPLKLLLKHLASRTAAPNVDKLVALVHRPNESFFLAPQADKVTIMYPMRFQDSIDIVLATSFLQQWYFCRYVVRTVIDEGAAWCTAEAVDLRNL >Sspon.07G0018130-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:77121046:77121611:1 gene:Sspon.07G0018130-3D transcript:Sspon.07G0018130-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHICASLAGAHAARRRPRSRLASAAWQVELAATSEAAISCGQVSSAIAPCLSYARGQGSAPSAGCCSGVRSLNSAASTTADRRTACNCLKSAARGISGLNAGNAASIPSKCGVSVPYTISTSTDCSRVS >Sspon.07G0032690-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7C:53853872:53854421:1 gene:Sspon.07G0032690-1C transcript:Sspon.07G0032690-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQGSSSNIERRLNRRVFCPNCRVLVNRFTARTKNNNTRVFHKCAFFSAGGCQFWRWEDELDVTPAQAGYAAPLPLETMAPVAMQGVAAAPTVPTAVPAQVANRNEGHQAAGRGGVQDREDAVMQQLKWIEKLVYLALFLAVYAIMNK >Sspon.04G0036810-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:49310213:49314972:-1 gene:Sspon.04G0036810-1D transcript:Sspon.04G0036810-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding EIYRIKLPGPPIIGEGKPENQNHAIVFTRGEALQTIDMNQDNYLEEAYKMRNVLQEFVRRPRDQSPTILGLREHIFTGSVSSLAGFMSYQETSFVTIGQRFLAEPLRVRFHYGHPDIFDRIFHLTRGGISKASKTINLSEDVFAGYNSILRRGNIIYNEYIQVGKGRDVGLNQISKFEAKISVVGVYVFLYGQLYLVLSGLQRALLLEAQTQNIKSLETALASQSFLQLGLLTGLPMVMELGLEKGFRAALSDFILMQLQLASVFFTFSLGTKAHYYGRTILHGGAKYRPTGRKFVVFHASFTENYQLYSRSHFVKGFELIFLLIVYHIFRRSHVSNVVHVMITYSTWFMAVAWLFTPFLFNPAGFAWQKIVDDWADWNRWMKNQGGIGVQPEKSWESWWNGENAHLRHSVLSSRILEVLLSLRFFIYQYGLVYHLNISQDNKNFLVYLLSWVVIIAIIGFVKIVQVLRPKIEYYAIWEPIQVIAHAYDYGMGSLLFFPIAVLAWMPVISAIQTRLQRYYLISNIFYGNIRDYIAIHFTYNKLDKEFMWLNCCLTVEIQRLSAGVPFWHSNASRTNRKIVELMDSQRNCIVFLHVWLLLNGPSI >Sspon.04G0010010-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:24253636:24255967:-1 gene:Sspon.04G0010010-2B transcript:Sspon.04G0010010-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTKQTARKSTGGKAPRKQLATKAARKSAPTTGGVKKPHRYRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSHAVLALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA >Sspon.02G0022160-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2B:71999098:71999496:-1 gene:Sspon.02G0022160-2B transcript:Sspon.02G0022160-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHFTPSSSSSRLHALESRPSLLANSGMYLGFGVDRRCCQQAFSLYREWEAFRGDGHGHNGGELLFTAKKSTIVQVRTHMDIFLASNRAQEVCDFKIKCSSVYEGSADIYLGNSSTVIAQVNNGKSVCSWFL >Sspon.08G0023890-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8B:59765622:59766029:1 gene:Sspon.08G0023890-1B transcript:Sspon.08G0023890-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MIHFAHSCRCILDAGCRCHCRHQSAGVGGHPDSIQRPAQPHPTSTHSSPTPEHRAAETGRSARGPYSDVTPPSPPSRRRHHRTPKPRPTRTYSSDWRERSGLRHLPVARRPTEARETVGSAGRDSDSSFRPLLQL >Sspon.05G0029390-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:78526404:78532533:-1 gene:Sspon.05G0029390-1B transcript:Sspon.05G0029390-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNLCTRRMDDSFVADAKTVADSGTRFTGRQRLRVSLGCAPPPASSFLYYDFPDSDEDDNYDGISVDVVAAHTDSVLLRLGNHRCPSATFEDSDYFVYRAGAAGPPSLSLLPDRDFLTKTESVYAHSPRLYSRRTGLLRRHGKDLLVVQLDLWYNRDARQNMVEFCVLRPCMDVRQWELIEPDDDKVAPWLSGNAVITVGDRYLCWVDYVSRCCSSGDLIPDYELNDDVFHIKYSMKMGSAGASKVRFVSIGPHCCCGGPGRSTCAHSRFAFTVTTWTMDLSMDVESPLTWVKDGEIDCEEVWTLPGYEGLPQANLECPVVSLDDPNVVCFLVSNRYFATYEDQQVWMIQLNIKTKTLLSVVLFSNDPRRAYHHLPAQIQY >Sspon.07G0009400-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7A:26521675:26522936:-1 gene:Sspon.07G0009400-1A transcript:Sspon.07G0009400-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRSFKNVIQPLQLYGGTDGSWEVKPPEVELPAPEPEPAPGINIVRDTMERHKWLQGVAVHCDAWLMKIAGFAASYMTATERSFCQ >Sspon.05G0020400-3P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:82939024:82944267:1 gene:Sspon.05G0020400-3P transcript:Sspon.05G0020400-3P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLKHASSTAIFCLLLLFCVGCRCRPLEIEATQTATLKVDATPQLARKIPETLFGIFFEEINHAGAGGIWAELVSNRGFEAGGPHTPSNIDPWSIIGDDSSIFVATDRTSCFSRNIVALRMEVLCNDCPAGGVGVYNPGFWGMNIEDGKAYNLVMYVKSPETTDLTVSLTSSDGLQNLASATIIVSGTSNWTKVEQKLVAKGTNRTSRLQITTNKKGVVWLDQVSLMPEDTYKGHGFRTELISMLLDLKPRFLRFPGGCFVEGEWLRNAFRWRESIGPWEERPGHFGDVWHYWTDDGLGYYEFLQLAEDLGAAPIWVFNNGISHNDEVDTVAIAPFVKDVLDSLEFARGSADSTWGSVRAAMGHPEPFPVKHVAIGNEDCGKKFYRGNYLKFYNAIREAYPDIQMISNCDGSSRPLDHPADLYDFHVYTDSKTLFNMRSQFDRTSRSGPKAFVSEYAVWRSDAGRGSLLASLAEAAFLTGLEKNSDIVQMASYAPLFVNNNDQTWNPDAIVFNSWQHYGTPSYWMQTLFRESSGAMIHPINISSRYSSSLAASAITWQDSENSFLRVKAKASVQVVNFGSDTVSLTISTSGLQTSVNSLGSTATVLTSGNVMDENSFSNPNKVAPVTSQLSNAAEQMQVTLAPHSFTTFDLALAQSKLVAE >Sspon.08G0011790-1P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4B:4994090:4996179:1 gene:Sspon.08G0011790-1P transcript:Sspon.08G0011790-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQRKAKVLWGGAESAVAQEIPAASQMAMARNGSWPEAEGAPEHSPVPRKKRLALDEKRWNTVVNVMLVAFVMAVPPVIVVYAGGGIAPAVWIAAAKAQLRRGSGDGSFPYARSPPDKLLGGLLPDGLNERSCRSRYESSMYSRNTARRPSPQLIAKLRRHEELQRRCGPNTDAYSRAVQQLRAGKSVDSPECKYVVSISHRGLGNRILAAASAFLYAVLTDRALLVDPSNEMDELFCEPFPGTTWLLPRRDFPLASYTNFSIDTAESYGNMLKNKVLSTDVLPPTATQLPAFVYLHLDHDYGDEDKMFFCDDDQRLLSNVQWLVMRTDMYTVTGLFLVTAFQVELDMLFPERDAVFHHLGRYLFHPPNHVWGLVTRYYRAYLARAELRLGVQLRHFDSWEQQSPVVLRQITSCLWREKLLPEVLDTEEDSMPMPGGGARSTAVLVTSLGSWYYERIKGMYWDHATATGEDVSVHQPSHEGQQQYGEKSHDERAWAEMYLLSLCDVLVTSGWSTFGYVAQGLGGMTPWVLHRPMNVTSPPDPPCFRDMSMEPCFHAPHAYDCKLKRGADTGKMVPHVRNCED >Sspon.03G0034010-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3B:67713035:67715439:1 gene:Sspon.03G0034010-1B transcript:Sspon.03G0034010-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DGPIFDEEVQEEENEIQPDEGEHNCFISLRVLSVTAEKEENGQRYNLFHTRGMIKDKLCQIIVDNGSCNNIASQELVDKLGLKPRRHPKPYKMQWLNDCGTLCDFEDVFPDEVPPGLPPKRGIEHQIDLVPGASLPNRAAYHTNPEETKEIQRVVEDLIKKGYVQESLSPCVVPVLLVPKKDGSWRMCVDCRAINNITVRYRHPIPRLDDMLDELSGAIIFTKIDLRSGYHQIRIKEGDEWKTAFKTKFGLYEWLMMPFGLTNAPSTFMRLMNHVLRAFIGKFVVVYFDDILIYSKSLDDHVEHIQCVLAVLRDQKLYANLEKCTFCTDKVVFLGFVVSGQGVEVDEEKTKAVQEWTPPENVSQVRSFLGLAGFYRRFVKDFSTIAAPMNELTKKEVPFKWEDAHQKAFDELKQKLTTAPVLALLDFGKTFEIECDASGVGIGGGLMGHFGAKKTEQVLAD >Sspon.03G0031680-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:27982102:27983547:-1 gene:Sspon.03G0031680-3D transcript:Sspon.03G0031680-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding NNFTGTVPSWLVTLPNLTIIYLSTNDITGKIPIELCNHTKLVGLDLSENKPEGRIPAELGQLTNLEYLSFASNQITGDIPAPIGNLSSLTVIDLFQNGIYLNMNQLSGTLVHFLTALSKCRRLNTINIANNTFTGRLPQYIGNLSTVLQYFIADYNRITGSIPISCLYMLVKIKINRKGNTPLSSGTDLLSY >Sspon.01G0022250-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:81589926:81592807:-1 gene:Sspon.01G0022250-1A transcript:Sspon.01G0022250-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQQAADSRGSREEIVFVDLESDEAGVDDDVPATIQRQDSLYMAATRAGGANHHGQDSWAKTLRLAFQCVGILYGDIGTSPLFVYSSTFRDGVGHPDDLLGALSLIIYSFLLFTVIKYVYIALRANDDGDGGTFALYTLISRHAKVSLIPNQQVEDELVSKYNHDKPPATLQRAEWMKELLETNKTVKISLFLITMLATAMVISDAILTPAISVLSAVGGLKEKASFLTTDEIVWITVGILVVLFAIQRFGTDKVGYLFAPIILLWLLLIAGVGLYNLIKYDTGALRAFNMKYIIDYFRRNKKKGWVSLGGILLCFTGTEALFADLGYFSIKSIQLSFGFGLVPSVLLAYIGQAAYLRVHP >Sspon.02G0023750-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:80904880:80907235:1 gene:Sspon.02G0023750-2B transcript:Sspon.02G0023750-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKVASPLAFRRDVRGPLGRPPPGGCWSGSGSGLPGVLYWSGGAGGSRLLAARARGRNRFGGGGRGTTKDEPAEENEEEVTDVVIVDAGDEEEYASDELSGYRGLVLDLSYRPVNVVCWKRAICLEFIGKADVLEYYDQTVSSPSGSFYIPAVLRVPQLLQVVKRRRVKQSLSRKNILYRDDFTCQYCSSGDNLTIDHVIPISRGGKWEWENLVTACARCNSRKGQKTLEQANMKLRKIPRAPKEYDIMAVPLTKSAFRTLKRNHGLPEVWLQYLSRPSP >Sspon.06G0011740-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:64084559:64090666:-1 gene:Sspon.06G0011740-1A transcript:Sspon.06G0011740-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKMGAAILVCIVVLALDVTAGILGIEAQAAQNKVKKVTILFVQCQKPVYKAYQLGLAAAVLLVVAHAIANFLGGCACICSQLEFIKASINRKLAATTIILSWIALIAGFSLLLAGAMSNSKSQTSCRFTQGHTLGLGGIMCFVHGGITVAYYVTATAAAHEHNSQPNSPDSCGAIAQEVRPEVKNESNTKHVKFLVRTGSKSNNTGPSIHRELTRSSSSLGDSCPVVEFESMAQVLTPLVRMGTVDALLELLLLICVWERRYLKHSSVPRASSTPITTPTVIPMIRPSETPFILPTQ >Sspon.01G0009950-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1A:27979420:27981457:1 gene:Sspon.01G0009950-1A transcript:Sspon.01G0009950-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVWEEEAVEEEEYGNEMAASESEEEEDVVVGQMPTVMVPKHINKRALRNKALSVTLDKKALRDFVTGFHKRKKKRRKEAQKILQEKERKKKIQDRKRRKEEKEIALYGRVLSSDNNDGAGLENEDIGDDGEDMENDEPLSEIKMYEDDGTRIIVTTSEITPEDDDIGPKTVGPVATSYINKNPSSFAKKNNSLGVKKKPQKRTFKSKSKAKKGDKKRGAAKGKRKGKGRK >Sspon.03G0006420-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:25098805:25101142:1 gene:Sspon.03G0006420-2B transcript:Sspon.03G0006420-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSTIRSISITVSDDDGAAAAPTRRPRARAVRLVARWWPVLLLLPAVALLLFEASRLRASSPGPAPPVSSLGRLDPTTHLVHGVREPCLKLLSPKSLANLAFPEGTRLGSVVNRITYKSDDNDYDTYHPKQTLLIYCNMRKQQGFQTLAEREDSFKVVNETVSVHCGFYSDNGGFKISEEDRRYMRACKVVVSTCAFGGGDDLYQPIGMDNSSIGRVCYVAFWDEVTLSTQEAEGKIIGDDGMIGRWRIIVVRSLPFVDQRLNGKIPKMLTHRLFPEARYSIWVDSKYQFRRDPIGVLEALLWRTNSTFAISEHGARSNIYDEGKAIVQKHKATPEEVEVQLTQYRQDGMPDTKRLHGLKALAEASVIVRELTPAPNHFMCAWFNEVVRFTSRDQLSFPYVLWRLNMHGMSMFPVCTRRDLVNSLGHTRKVKPLTQTNPESSTS >Sspon.08G0027230-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:43870124:43873242:1 gene:Sspon.08G0027230-1C transcript:Sspon.08G0027230-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTTDPRSHTPSEANLGIRVMPAGLRHPQEHSKIRDQRTEQYLECERKEWRRPPPQVGAEVRRGHLALEGGRTRLLGRTARGRATERSDGIRTEDERRGEGATCGKWQLAKSLCRLPGRMASAKVTVWMASTMACLCRLQFFANCLAVGKARHRRLGGDVVWTPAGEDSAVSGDDGVAVQFLRQIYLQKLGAGPSCGTNSSNGGCPRRP >Sspon.02G0035480-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:19404956:19406727:1 gene:Sspon.02G0035480-1B transcript:Sspon.02G0035480-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MISTIGKEELQKTVSMSLKPPTKIDLHKSFDENDTINKSISRKLSLSSITIPAYTVADLQVATGSFSPDSLIGEGSLGRVYKAKFGDHAFPSHPSDLFVELVANISRLNHPNLAELAGYCSEHGQCLLAYEFYRNNSLHDFLHLKDERSKPLSWNNRVKIALGSARALEYLHETCSPSVVHKNFKSSNILLDGELNPHLSDSGFAGLLSNQEFQESDENSGYRAPEVTLSGQYSLKSDVYSFGVVILELLTGRKPFDRSRPRPEQSLVRWATPQLHDIDALDQMVDPALQGLYPSKSLSRFADAIALCVQVKNYLQCIQLSLPPYVSNISDTAEMWTLVPGFLFLQPEPEFRPPMSEVVQSLVRLVQRANMTRMHESQSRRHGESGGDYEF >Sspon.04G0010380-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4B:26727721:26728194:-1 gene:Sspon.04G0010380-2B transcript:Sspon.04G0010380-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSQLLHYSRFGGGVLGRLLGVWKEASYAAVPVGGLAYYVTPPSSVAADPLHALIYTVLLLASCAVLSQFWVITSGSSARDVARQLADQRLAMPGRRDGATYAQLKRHIPTAAAVGGLCVGALSIFADMTGAIGSGTGIMLATTVVYNLVNSFQKED >Sspon.01G0021850-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:80384702:80386428:-1 gene:Sspon.01G0021850-1A transcript:Sspon.01G0021850-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADFLQEVLHQKDQRKYWSGTDESYRYVSPHQLSSMFKKYQKMKKLENPSVAQKIKLGNESLSFDNYSLSTLELFKACGARETLLIKRNKPFYAFKTVQLSIVAVITMSVFYRSHMTTDLTHANYYMGALFYSILIIMLNGTPEISMQIARLPSFYKQRRYHFYPSWAYAIPASILKVPFSLSDSLVWICITYYGIGYTWTASSNIIIPIYCFSCSNTYFVFSLPFHVVALLQLFGGFILAKPSMPGWLSWGFWVSPLSYAQISIAINEFLAPRWQKETMQNKAVGNQILTNHGLDYSWDFYWISVGVLLGYTIFFYIAFGLALAYRKQTNHAAIISAIQFQAYHGNMPRKCSTNGQEEETNIIKEYDDHANVPQKGNPINQPPVCPHSVMI >Sspon.08G0023590-2D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8D:58765683:58766087:-1 gene:Sspon.08G0023590-2D transcript:Sspon.08G0023590-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYYMIYAPANASNISITPREVIDISLERGEVSIYAPIGYNCFKADTNTLDNYTAEFSLEGTPLILSSTQNRFMAIGYSALGLIGASDPDPSVAGCYSYCEGINQTSDGASCSGKGCCETTISPNLTAFQALVAN >Sspon.06G0005780-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:17594688:17596349:1 gene:Sspon.06G0005780-2D transcript:Sspon.06G0005780-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding PPRRLPFAPLQPPPPRPRVRSRRRHARGRRLRAPPGARGPRPIPLEHRHPSPCPRAPRAALLYFARMRRCGVRPDAYTFPAVLKACGCAPGCRAGLLVHAEAVRRGLGADLFTVNALISFYCRILDCRSGRKVFDEAGGVSRDLVSWNSMVAGYVGCGEMWPAQELFDEMPQRDAFSWGALIDGYGKQGGAGVDRARELFDQMPERDLVCWNSMLDGYARHGRMDEARSLFEEMPERNVISWSIVIDGHVRCGEAKEALEHFQSMLRCGVRPDRVAAVGAVSACAQLGALEQGRWLHSYLEKKKVLSDVVVQTALIDMYMKCGRLDLAMLIFESMAERSVVTWNVMIVGLGTHGYGLDAVMLFHRMEAERVAVDDLSLLAILTACTHAGLVSEGLEIFHRMKKDFGIDPKIEHYGALVDLLGRAGRLDQARHAIETMPMEPTPELWGSLLAACRSHSCVELAELSVEHLANLGADDSGVYVLLSNIYADEGMWGDVLRIRKLMSDEGMRKDIGRSVIEVGGEIHEFVNGGGSHLCTDQIYLMLWNLSKMVASI >Sspon.08G0004400-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8B:10376525:10376770:1 gene:Sspon.08G0004400-2B transcript:Sspon.08G0004400-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAYDEVEIEDMEWNAELKAYTYPCPCGDLFQITLDDLRLGEEIARCPSCSLFLTVVYNAEDFADAKEPTQKPSPSPVAVA >Sspon.01G0002010-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1A:5875878:5876893:-1 gene:Sspon.01G0002010-1A transcript:Sspon.01G0002010-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPVTVYGPVISPAVARVAACLLEKDVPFQIEPVDMSKGEHKSPSFLKLQPFGQVPAFKDHLTTVFGTSLSRFYYSCCISSKSRAICRYICDQYADRGNQVLFGKKEGGAVGRAAIEQWIESEGQSFNPPSLAIIFQLAFAPMMGRATDLAVVEQNEAKLAKVLDVYDQRLGESQYFAGDDFSLADLVHLPNADFLVNRTNKAGLITERKNLARWWDDVSARPAWKKVTEMQSAPRPS >Sspon.07G0029610-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:1891414:1894294:1 gene:Sspon.07G0029610-3D transcript:Sspon.07G0029610-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:At1g71190 [Source:Projected from Arabidopsis thaliana (AT1G71190) UniProtKB/TrEMBL;Acc:Q9C989] MDGSRKKKWVAWAVAAAIFVILMLVTPAIPQNEAYHDFADQRTLFLGIPNTLNVISNIPFLFVGVTGLILCHYKNYFRLSSQGELWSWTLFFAGVTAVGFGSSYYHLNPNDATLVWDRLPMTIAFTSVMAIFIIERVDERAGAKSLAPLVITGALSIIHFDDLRPYAVVQFVPCIALPVMAIVIPPMYTHSSYWLWAAGFYLLAKVEEAADKPIYNWTHWIVSGHTLKHLCAAMVPVFLALMLAKRTIEPERVSLLQKWKISWVTVRERRSKDRTAVDVDCNYAVVSNASEQ >Sspon.08G0003720-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8A:10493022:10494109:-1 gene:Sspon.08G0003720-1A transcript:Sspon.08G0003720-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDGDGGLSFDFEGGLDSVPAAGGGGPVPSSTDPGAGGGGGGDGPGMHGRGRGRGSYRQTVCRHWLRGLCMKGEACGFLHQFDKARMPVCRFFRDFGECREPDCAYKHSYDDVKECNMYKMGFCPNGPNCRYKHIKLPGPPPSVEEVLQKILQMRSFNRYGQNRNNNYNQQGRDLNIHRVLGCLTKTRQKMLLLRLHQLVDNKHKR >Sspon.08G0013660-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:55227216:55228623:1 gene:Sspon.08G0013660-2D transcript:Sspon.08G0013660-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding YEDAIRILKILLSKVACDRRRGYWALRLSIDLEHMGRANESLSTAEGGAIDPWVRAGSKFALQRRVLRLSKPPRRWKVPSYADYVKRNIREVSIEGRPLNCETGAKNIFYGYDGELCGVEQLALQYYADEGGGWQGTHSEGGIWMTIFGLLMWDVMFSNIQDVFQSKFQTAPLDLETDDFYKSRKDLAESQLKKIQDGMAEEMLISSWELHQGTSCQGVNWVRYSLTDLRAVVACIGGHRLASLLRHLAVDYRSWSSGMPDLLLWRFLDERGGGEAKLVEVKGPRDQLSEQQRAWILVLMDFGFDVEVCKVSPVTKRR >Sspon.07G0036550-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:38380946:38382732:-1 gene:Sspon.07G0036550-1D transcript:Sspon.07G0036550-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQRAASNAYSWWWASHVRTKQSKWLDNNLRDMEDRVNCILFLLGEEADSFAKRAEMYYKRRPEVMSSVEEAYRAYRALAERYDHMSRELHKANHTIATAFPDQIQYSLLEEDDDNLPKAFTTVDRHKIHKSTVEGLMKKKHGQKSGLKDSGKKSAAPISKTEKEFIKSSYESGIAKYWDLEKQINEMQEEVCYFQDEFNESAAIEDHEAQAFDDSHCS >Sspon.04G0006100-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:17269197:17280567:-1 gene:Sspon.04G0006100-1A transcript:Sspon.04G0006100-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RSFRHFTAIFCSIPTVDQRRFGLGLRPSLKLWLPAMAGGFEWRSSEATTRGVEQAIITLKKGAHLLKCGKRGKPKFCTVRLSYDERALIWYSKEREKRLSLNSVSSVVLGQKTVHSLYGSPRLIQNKYLHSNLDCSEPFFSPRQKTWSELDSYLEKISPELVNRVKNNLRDIKSAEKIKDQRITQMPKLKQSEGSIAATDSLKDIFVWGDVLGRMIDHGHVSATNISLPRLLKSSQILDVQSIACGEKHAAIVTKQGQVFSWGEENGGRLGHKTSDSVSDPKIIDSLASTPVKTIAFGAKFTCAVSVSGELYEWGEGIHNLGFGNNQCQRSPWFPHRLISTSDGISVSKIACGQWHTAIVSSTGKLFTYGHGTFGVLGHDDTSSVVQPKEVESLRGLRAKSVACGPWHTAAIVETTGTLKSNAPGGKLFTWGDAGGGKLGHTDKKSKLVPTRVSCGMSLTAVLTITGVVFTIGSKEHGQLGNPRSDNSSICKVEGPLKTEFVKDISCGSSHVTVLTMNGKVFTWGKGTEGQLGLGDYVDRSCPTLVEALEDKQVDSIACSSNFTAVICVHREISSKDQSVCSSCRLAFRFTRKKHNCYNCGSMFCNSCSTNKVGRAALAPDKSKKCRVCDACFNELNKTAEHGKMSCGSKIRKEESSLTEIRTYTPKLSRMLKENFIMEKMSSAQSPNQRNQESATLNQMQKQRWGQVECPDQFKCARDNIPHWLTSKQTIDVCCIGRMTDPVSQKTTTPVPQATNDRRKEQALMAKILMEEVKQLQAQVTTLAEECRHRSLKVQLYKRKLEETWLIARDEATKCKAAKEIIKILTNQRNALSNKLLDGLELDDSSIVPDPPDKTLVTGKIPPLKSIRDQHNIEEVDMQSTASSKTFVVDDSAVHQNGRRASNSSRGYDGGTDSTVAPTDSNGVIEQIERGVYITVVTSPSGKKGIKRIRFSRKHFGEAEAQKWWEENESRVFAKYNSMEYLAV >Sspon.07G0023810-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7B:19448058:19448462:-1 gene:Sspon.07G0023810-1B transcript:Sspon.07G0023810-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKKKAAVSASANAVVLLVELGSGQALVTYHQPAMLFLHAYTIAVAAALFIKYEKERISTWPGPWCCRARPAARRAHLAAALCCFSPRCPSYVRRNFSERVNLPIWRSDCIKINQATKHALGLARLRQCKQPNNP >Sspon.03G0040770-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:32855974:32857888:-1 gene:Sspon.03G0040770-1C transcript:Sspon.03G0040770-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MWTPRPSRRVVSSAPATLLLRLLLLAGAADAAPPLVGVSPQGERDARSRAYLRTSFLDLLTEPFPPDEAYFATQVIACRDGSGSFPRSRLNDGYCDCVDGTDEPGTSACPEGKFYCRNIGATPRLLFSSFVNDKICDCCDGSDEYESGIHCQNTCRNIKDIAEAADGGSDLSVTHLDATTNEFMGKHAIAMEDHIKNNNSKDLVQKIRGRLESLEGQAGTT >Sspon.01G0053050-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:29965237:29965494:1 gene:Sspon.01G0053050-1C transcript:Sspon.01G0053050-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLPLEQWRGGGEADGGKKQAVDASSSSVAGCRTPDGANGQAASRDCPAAPRKRRAPAGVVAQQQHRRDFYTGDDVEAFFAAHNL >Sspon.03G0018530-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:57311143:57311706:-1 gene:Sspon.03G0018530-1T transcript:Sspon.03G0018530-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSVVQSLRQLRRFAQLHAERHSQATRLFRQQNALIMCGLTSRSLSMLRHNGEISRFASPGVELMRSMFSTVAADSIKDVGRGGPMVEYERRIASGELVDGDSFQVDTIQQLQRLYEELIENEEDCQLDRYKSSEKSGRSRWLWSRLITQPSTYAPVKGLYLYGGVGTGKTMLMDLFYEQLRIRAPL >Sspon.03G0005530-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:31199560:31203353:-1 gene:Sspon.03G0005530-2P transcript:Sspon.03G0005530-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSSLARPLRPPVLAGCGGRRGHGTPRGSVSVARCRAEAAPTVGTASRAPAGPYTGRDPEVKKPAWLRQRAAQGEKYARLRESIGELKLNTVCVEAQCPNIGECWNGGGGAGGEGDGIATATIMVLGDTCTRGCRFCAVKTSNKPPPPDPLEPLNTALAVASWGVDYVVLTSVDRDDLPDGGSSHFAQTVRALKELKPGILVECLTSDFRGDLEAVSSLANSGLDVYAHNIETVRSLQRIVRDPRAGYDQSLAVLKHAKDCREGMITKSSIMLGLGETDEEVKQAMMDLRAIGVDILTLGQYLQPTERHLTVREYVTPEKFQFWKEHGESVGFRYVASGPLVRSSYRAGELFVQNLVRK >Sspon.01G0001900-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:5646056:5647093:1 gene:Sspon.01G0001900-3C transcript:Sspon.01G0001900-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGFRRRLTALSVPKASSLLRRTRHKKLSYTRVRCNSLPGRFHPVVASLHESANALIVWTEEPAQAFSPAWVADGAARLGRLLSGLTDLLHHPQARDPLRHRHRGNSKPAAPWAERLLDDLLLLADAHGCVREKLLARRQLLAEAHAALRRRDAARLAAALRSRRRADRDLSRLASTLRCLSHRSSSASTAAAAATDHGEAAVADAVAAATCAAAAASAAIFAELASASASSSASRAMPSPLASVVSPAKVAAAPVWWVADLLRWRRRTVAVAAASDSSGPGAKEQVPLEAECCSEEEEEWQAAMERLRRLEDCVVAAENGCEQVYRVLVNARVSLLNVLTPCF >Sspon.05G0002620-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:7833320:7836954:-1 gene:Sspon.05G0002620-1A transcript:Sspon.05G0002620-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLHLLSSSAAAAKLAAGLRLAPLRRCHSFAPAPRHTDRVALASSFSVAARSASPAVAAAQTELSVSGKKQVLISLSDKTDLAYLGNGLQGLGYSIVSTGGTASSLEAAGVSVTKVEEITHFPEMLDGRVKTLHPSVHGGILARRDQEHHLKALKDHGIGTFDVVVVNLYPFYDKVTSGNISFEDGIETIDIGGPTMIRAAAKNHKDVLIVVDHNDYPALLEYLKGNQEDQQFRRMLAWKAFQHVASYDSAVSEWFWKQSNKGEMFPPSFTVPLELKSTLRYGENPHQSAAFYTDKSLSRVGAGGIGNLIQHHGKEMSYNNYLDADAAWNCVSEFDSPTCVVVKHTNPCGVASRQDILEAYRLAVKGDAVSAFGGIVAFNTIIDEDLAKEIREFRSPTDGQTRMFYEIVVAPGYTDKGLEILRGKSKTLRILEAKRSGKGMLSLRQVSGGWLAQESDDLTPEEITFTTKSERSPQENELADAKFAWLCAKHVKSNAIVIAKSLGEAIWFLVFWRVTWPSIPAAWNDAVEEACQNGIGIIAQPGGSKKDEDAIACCNKYGVSLVLTGVRHFKH >Sspon.08G0005830-3C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8C:18489297:18490877:1 gene:Sspon.08G0005830-3C transcript:Sspon.08G0005830-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGKLVDSEQLAARHEAEKKQLLGRLEDEMRKGEGVRQLQKEIEEKADEMVREREAHQRLQQQVEQLAARHEAEKKQLLGRLEDEMRKGEVVRQLQREIEEKATEVVREREAHQRLLQQVDLKDKDLLLEQSKRTDLIEDYTQLKTNYKHLKSQYTFLLGKIDQHEGSKPPGDIPVERRNTESPPSKRKLKDLEHTNKERIQVLSNTRDLKNDSAPGAKAQVAQHASSVRGPFRSSHHALPSCPPNPLPNHAANNSKLHASTSVAGPSLNWRETRARKEPGVADPHDDFLDTPLEAVKNTIRNPTTPEEAQALAASPPQDMEFNNSDDETQDMNIATQALRNIPNIPVPKQQNTISVQPPKKDFKYRESVRKKADRENLKGVECKQCKKFYDAVLPGGHANGDGAGSTSLRCEHHDGVQGIGTGTHL >Sspon.01G0016300-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:51902856:51906548:-1 gene:Sspon.01G0016300-4D transcript:Sspon.01G0016300-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEPKILELSNGKITARISNWGATITSLLVPDAQGSIADVVLGFDDLDPYVKGMSPYFGCIVGRVANRIKDGKFRLNEVEYSLPVNNGPNSLHGGLKGFDKVVWDVIEHKDGECPSITFQHHSKDGEEGYPGDVTIWATYSLPEATTLRLDMEATPENKATPISLAQHTYWNLAGHASGDILNHSIQIWGKHITPVDENTIPTGEVMPINGTPFDFTTEHKIGERINDVPGGYDHNYVLDCGDEKNGLKHAAKLRDPSSLRTLDLWTDAPGMQFYTANYVNGISGKGGAIYEKHAGVCLETQGFPNAINQPNFPSVVVRPGEKYKHTMLFEFSN >Sspon.05G0019390-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:80598092:80598997:1 gene:Sspon.05G0019390-3C transcript:Sspon.05G0019390-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AAPLAAADGGLRRLFEKPLPENPTLLEALSAWNRNVHHHHHPPAASPSTRRPSRRSSASSTSRRSRSSWTTEATSSSLPPTSPPPPPRSPPSRMPSWLDIAAEAENKSKDDSSLDALLRPKPAATVATVKRSASFCAKKGSSSASLLLCTEGLGSESTVDADDMFKDGDAEAEAEAAALKGTDETADGANAGAAEAVKEEVERQPKTFPPPIRSIGRGGKPYVCFMSFREDGRFVLLERVIPGKELLQATREGGRLRLQFAAAAAAAAAAGVSVDEVVHGGDDDDHRGKNSCIDDDGDGER >Sspon.01G0010750-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:30129173:30132980:1 gene:Sspon.01G0010750-3C transcript:Sspon.01G0010750-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVSLTPQAVFSTESGGALASATILRFPPNFVRQLSTKARRNCSNIGVAQIVAAAWSDCPAARPHSGGGGGRARGVASSHAAAASAAAAAASAAAEVSAIPNAKVAQPSAVVLAERNLLGSDASLSVHAGERLGRRIATDAITTPVVNTSAYWFNNSQELIDFKEGRHASFEYGRYGNPTTEALEKKMSALERAESTVFVASGMYAAVAMLSALVPAGGHIVTTTDCYRKTRIYMETELPKRGISMTVIRPADMDALQNALDNNNVSLFFTETPTNPFLRCIDIEHVSNMCHSKGALLCIDSTFASPINQKALTLGADLVIHSATKYIAGHNDVIGGCVSGRDELVSKVRTYHHVVGGVLNPNAAYLILRGMKTLHLRVQCQNNTALRMAQFLEEHPKIARVYYPGLPSHPEHHIAKSQMTGFGGVVSFEVAGDFDGTRRFIDSVKIPYHAPSFGGCESIIDQPAIMSYWDSKEQRDIYGIKDNLIRFSIGVEDFEDLKNDLVQALEKI >Sspon.01G0056850-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:93556687:93563559:-1 gene:Sspon.01G0056850-1C transcript:Sspon.01G0056850-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATMGSLIGLVNRIQRACTVLGDHGGGGGGEGSLWEALPSVAVVGGQSSGKSSVLESIVGRDFLPRGSGIVTRRPLVLQLHKTDGGHEYAEFLHAPRKRFTDFAAVRKEIADETDRITGKTKAISNVPIHLSIYSPHVVNLTLIDLPGLTKVAVEGQAESIVQDIENMVRSYVDKPNCIILAISPANQDIATSDAIKLARDVDPSGDRTFGVLTKLDLMDKGTNAVDVLEGRQYRLQHPWVGIVNRSQADINKNVDMLSARRKEKEYFESSPEYGHLAHKMGAEYLAKLLSQHLEAVIRAKIPSIIAMINKTIDEIEAQLDRLGRPIGGDAGAQLYTILDMCRAFDRVFKEHLDGGRPGGDRIYGVFDNQLPAALKKLPFDRHLSMQNVRKVISEADGYQPHLIAPEQGYRRLIDSSLSYFKGPAEASVDAVHLVLKELVRRSIAATEELKRFPTLQSDIAAAANDSLERFREDGRKTVLRLVEMESSYLTVEFFRKLPTEPEKAADKNTPVSDRYQDNHLRRIGSNVSSYINMVCETLRNTIPKAIVHCQVKEAKKNLLNRFYAHVGSKEKKQLSAMLDEDPALMEKRDALVKRLELYKSARNEIDSVAWK >Sspon.03G0000100-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3A:241428:242680:1 gene:Sspon.03G0000100-1A transcript:Sspon.03G0000100-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding IFQKAISSSSAYDDQFKQLISSGKDAESAYWELVIKDIQDACKLFEPIYNETDGADGYVSVEVSPRLANDTQGTVEAAKWLHKVVNRPNVYIKIPATAECVPSIQEVIANGISVNVTLIFSIARYEAVIDAYLDGLEASGLSDLSRVTSVASFFVSRVDSLIDKMLEKIGTPEALALRGKAAVAQAKLANQLYQKKFSGPRWEALAKKGAKKQRLLWASTGVKNPAYPDTLYVDSLIGPDTVNTMPDQALQAFIDHGTVSRTVDANVSEAEGVYSALEKLGIDWSEVGKQLELEGVDSFKKSFDSLLVSLQEKGNSLKTASV >Sspon.02G0002630-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:8562041:8570153:-1 gene:Sspon.02G0002630-1A transcript:Sspon.02G0002630-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MANLGGGAEAHARFKQYEYRANSSLVLTTDSRPRDTHEPTGEPETLWGRIDPRSFGDRAVQNKPPELQEKLSKSRTNKSKRDAAAAVDPDLPRRDAKRRRRAASAQEVSVLSLTDDAVYKPQTKETRAAYEALLSLIQQQLGGQPLDVLAGAADEVLATLKNDKVKNPDKKKDIEQLLNPISSQLFDQLVSIGKLITDFHDAAAGDAAGAPSADGMDTTLDDDVGVAVEFEEDEDEESDFDQVQDELDEDDEDDMAELNGPGGMQMGGELDDDDMQNANQGLAVNVQDIDAYWLQRKISQAYGDGDIDAQQSQKLAEDILKIIAEGDDRDVENRLVMLLDYEKFDLIKLLLRNRLKIVWCTRLARAEDQEQRKKIEEEMASDPSLAPILEQLHATRASAKERQKNLEKSIRDEAKRLLNNDAAAGADGARDRRAAERDMESGWLKGQRQLLDLESLSFQQGGLFMANKKCELPTGSFRTPHKGYEEVHVPALKAKPYETGEKIVKISDMPEFARSAFDGMTQLNRVQSRVYDTALFKPDNILLCAPTGAGKTNVAVLTILQQIGLHMQDDGQFDNTKYKIVYVAPMKALVAEVVGNLSKRLAGYNVTVRELSGDQNLTKQQIDETQIIVTTPEKWDIVTRKSGDRTYTQMVKLLIIDEIHLLHDNRGPVLESIVARTVRQIETTKEHIRLVGLSATLPNYEDVALFLRVRKESLFYFDNSYRPCPLAQQYIGITVRKPLQRMQLMNEICYEKVMAALHQVLIFVHSRKETAKTAKAIRDTALANDTVSRFLKNESASQEILGTHAELVKNNDLKDLLPYGFAIHHAGMARVDRELVEELFADKHIQVLVSTATLAWGVNLPAHTVIIKGTQIYNPEKGAWTELSPLDVMQMLGRAGRPQYDTHGEGIILTGHSELQFYLSLMNQQLPIESQFISKLADQLNAEIVLGTIQNAREACSWLGYTYLYIRMLRNPTLYGLPADILESDKTLDERRADLIHSAANLLDRNNLIKYDRKTGYFQVTDLGRIASYYYISHGTISTYNEYLKPTMGDIELCRLFSLSEEFKYVGVRLDEKMELAKLLDRVPIPVKESLEEPSAKINVLLQAYISRLKLEGLSLSSDMVYIRQSAGRLLRALFEIVLKRGWAQLAEKALNLCKMVDKQMWSVQTPLRQFTGIPKEILMKLEKKELAWERYYDLSSQEIGELIRYPKMGRQLHKCIHQLPKLNLSAHVQPITRTVLGFELTITPDFQWDDKVHGYVEPFWVIVEDNDGEYILHHEYFMLKKQYCRYMSHCLLSISFVLSDKWLGSQTILPVCFRHLILPEKYAPPTELLDLQPLPVSALRNARYEGLYSAFKHFNPIQTQVFTVLYNSDDSVLVAAPTGSGKTICAEFAILRNHQRAVSGESNMRVVYIAPIEALAKERYRDWERKFGEFAKVVELTGETGADLKLLDKGEIIISTPEKWDALSRRWKQRKHIQQVSLFIVDELHLLGSDKGHVLEVIVSRMRRISSHIGSNIRIVALSASLANAKDLGEWIGATSHGLFNFPPAVRPVPLEIHIQGVDIANFEARMQAMTKPTYTAITQHAKNSKPALVYVPTRKHARLTALDLCAYSSVEGAGIPFLLGSEDEMDTFTRGVEEETLKNTLKCGVGYLHEGLSELDQELVTQLFLGGRIQVCVASSTMCWGRPLPAHLVVVMGTQYYDGRENAHTDYPITDLLQMMGHASRPLQDNSGKCVILCHAPRKEYYKKFLFEAFPVESNLHHFLHDHMNAEVVVGVVENKQDAVDYLTWTFMYRRLTKNPNFYNLQGVSHRHLSDHLSELVETVLNDLESSKCVAIEEDMYLKPLNLGLIASYYYISYTTIERFSSMLTQKTKVKGLLEILASASEYAELPGRPGEEEFIERLVRHQRFSIEKPKYGDPHVKANALLQAHFSRHTVVGNLAADQREILLSAHRLLQAMVDVISSNGWLSLALSAMELSQMVTQGMWDRDSVLLQVPHFTKDLARRCQENEGKPIESIFDLAEMGVDEMRDLLQLSNSQLQDIIEFFKRFPNVDMTYEVREGDDITAGDNVTVQVTLERDMTNVSSEVGPVHAPRFPKPKEEGWWLVIGDSSTNQLLAIKRVALQKRARVKLEFSAPAEAGRKDYMIYLMSDSYLGCDQEYEFTVDVKDAGAD >Sspon.02G0028580-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:103993417:103995556:1 gene:Sspon.02G0028580-1A transcript:Sspon.02G0028580-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSSKVVRPEEVLESLKNDGSIDALRMKVIAQLKANEDMKKNTMMMVQQSKVLNTPGAEKKTKRELFDALRQELETPVLEKASKAVWELILDNGGLGKEITKTVEKVFCRLSGIDMMPPPRSATGAHQEKDDMAVDEVVKSKEVDAFEPSSSRKRPFSDINRKGAGALPNGSATDQHDESEDILRDGMGINHNIIHQKHLFSVNVNVD >Sspon.02G0035170-1P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2B:17280703:17283076:1 gene:Sspon.02G0035170-1P transcript:Sspon.02G0035170-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLWWITVVGDFWFAVSWLLNQASKLNPIRRVPNLALLNQHVDPPSPSGGGSSSQLPGVDVFINTVDPVDEPVLCTMNSVLSILATDYPVDRHATYFSDDGGSLVHYEALLETARFAALWTPFCRKHRVEPRAPDSYFAATADGTYAGDAPGEFVGDRRHVRQEYEELKARVDALFTVIPLRSEANHRGDAKQGGDHATYMADGTHWAGTWIEPAENHQKGHHAAIVQVILNHPGDEPQLGTPASSSSPLDFSAVDVRLPMLVYIAREKRPGYDHQKKAGAMNVQLRVSALLSNAPFIINFDGDHYINNSGAFRAAMCFMLDPRHGDDTAFVQFPQRFDDVDPTDRYCNHNRVFFDATSLGLNGIQGPSYVGTGCMFRRVALYGADPPRWQPDGASKLLDNPRRQFGNSMPFINSVTLAAHQERPLTPLASLDDERLVEELADVATCAYEDGTEWGNGVGWVYNIATEDVVTGFRVHRKGWRSMYCAMEPDAFRGTAPINLTERLHQILRWSGGSLDMFFSRNCPLLAGRRLHPMQRVAYANMTVYPISAAFIFAYDLLPLMWLSGHGEFYIQKPFQTYALYLFVGIAMMEVSGMVEIKWAGLTLLDWCRNEQFYMIGATGVYPAAVLHSLLRLVGLKGLPFKLTSKPVSASGGAAARERFAELYQVQWAPLLMPTVLVIAVNVAAIGAAVGRAAAFGWSFPQIAGAASGLLFNVWVLLLLYPFALGVMGRWSKRPYLLFVLLVACSLSSRP >Sspon.06G0017580-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:77633132:77636835:-1 gene:Sspon.06G0017580-4D transcript:Sspon.06G0017580-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRGRSPEPLDFFIWTVQSYFSPVACLKGEQEVRRPWWAPSCLSVVFVRMAKRNRQSRVVSLKLEV >Sspon.01G0019510-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:70851875:70852949:-1 gene:Sspon.01G0019510-1P transcript:Sspon.01G0019510-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSAPGKPTWVIDDFLTLKGQCYSPEFELGGRKWAGASSKRQRTAMAGDGQSSWRRSLSRT >Sspon.02G0036510-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:29689069:29690663:-1 gene:Sspon.02G0036510-2C transcript:Sspon.02G0036510-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPFVLAAAGVLIVFLYAVKNRRGSKLPPSPPSLPLIGHLHLIGRLAHRSLRDLQIRYGGSGGLLYLQLGRRRTLVVSTAAAAADLFRNHDLAFASRPHSVSGDKMMYGCNNVSFAPYGGNWRRGKKIATVHLLSQRRVESFAPVRAAEVAALVARTRRAAEAGEAVELRELLYGYTNAVITRAATGAAGATAERLKQLLGNSAALMVGFQPEDVLPDAPARFVRWATGLDKKLDDMAEAWDEFLSEIVAAHKEKRGGDAGEEDEDFLDVLLRLREEGVDGLELTDDRIKGTLEDMIGGGTETTIQTLEWTMAELIANPRVMAKLKDEIARVVTADQPTISESDLNRMEYLKAVFKEVLRLHAPLPLLVPHESTAPAVVQGYEIPTKTGLYINVWEIGRDPAAWDAPEEFRPERFVGSSSPVDFRGTDYQFIPFGAGRRICPGISFALPVLELAVASLMRHFDWELPAGMHLADLDMSEVPGLMTPRRVPLVLVPKCKTL >Sspon.02G0032020-3C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:102080365:102081647:1 gene:Sspon.02G0032020-3C transcript:Sspon.02G0032020-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIDVQHVVRRDTSLIRRRFSSQSDVVAAPAPSPAVTIIPTNGSSNPATPPGTLDYSVLVSYGTPEQQFPVFLDNSFGTSMLRCKPCASGSGDCDPAFDTSRSSTFAHVLCGSPDCPTNCSDGSVCPFDDLYTTTKGTFAEDVLSLAPSMAVHNFRFVCVDVDEPSHGLPEAGILDLSRDRNSLPSRLSSSWLAPAATFSYCLPQSPSSQGFLALGGDATVGHDDYNLSSHAPLVSSAYPELSSMYFIDVVGMRLGGQNLPIPAGTFGGNASTTLDMGTTFTMLAPDAYAPLRDAFQKEMSQYNRSVPGFAGFDTCFNFTDLNDLVIPNVQFKFSNGDILVIHADQMLYYDDPAAGPFGMTCLAFSSLDAGDDVFSAVIGTYTLTSTEVVYDVAGGK >Sspon.01G0018690-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:71074038:71076412:1 gene:Sspon.01G0018690-3C transcript:Sspon.01G0018690-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHCFRFASWEREADERRGAAAAATTTTTTGRSLSARSNSSTTSTDRDVRRSASECSLNASELSSAGSLQGRCRQLSLSSQRPPNALRIFTFQELKSATRGVALDTARGLKYLHEESEVKIIFRDLKPSNILLDDNWNAKLSDFGLARLGPQEGSHVSTAVVGTIGYAAPEYIHTGRLSTKNDIWSFGVVLLELLTGRRPLDRNRPRGEQNLVDWMKPYTSGAKKLETVIDPRLQGNYSIKSAAQLASVANKCLVRHARYRPKMSEVLEMVQKIVESSEIGTPEHPLISNLKELASDEKKRKGLDLKRRIADIKAREGRCFAWQRWTPKL >Sspon.07G0009120-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:22001358:22004612:1 gene:Sspon.07G0009120-4D transcript:Sspon.07G0009120-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAAARRALLAHLRVPAAHPVAAAGTIPAAQRLLSSTTEETKGSFLDKGEVADRVLSVVKNFQKVEPSKVTPTAHFQKDLGLDSLDTVEVVMAFEEEFSFEIPDNEAEKIDSIKTAVDFIASHPQAK >Sspon.08G0011880-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8A:51124624:51124950:1 gene:Sspon.08G0011880-1A transcript:Sspon.08G0011880-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADVSGSGFGGRRPPGLLANAAKRKEGFVQLFLMAGVFMMSLRSLGQKHRLNDLAVDNADLRREREDLSHRMRDLQDALHREADADSSGALASHLRRIFTAHPAPAAED >Sspon.08G0020280-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4D:64053887:64054193:1 gene:Sspon.08G0020280-2D transcript:Sspon.08G0020280-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPACPPRTGSRAEATGSGPGGPGSGSDGRRWPAMLRRNSNLGPAGRRHRSPEQRQRRPEKVWGGGFWAAAACIVPPAKPRLARLGKAWNGNSAFSQYCR >Sspon.01G0024970-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1A:88771310:88771714:-1 gene:Sspon.01G0024970-1A transcript:Sspon.01G0024970-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAARRLSELLQEQQEPFLVEAARARRPRRGRGASGAAAAGGGLTGCCPAAACRRLLRLCNHGFKKRRAGGGTGGGSGGVASGLRSALSKVLCSRAMRRVLRWDDLGGGGCFSGASACGSREFRRLRRRSLGDSG >Sspon.07G0024630-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:26904196:26907589:1 gene:Sspon.07G0024630-1B transcript:Sspon.07G0024630-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CAAX prenyl protease 2 [Source:Projected from Arabidopsis thaliana (AT2G36305) UniProtKB/Swiss-Prot;Acc:Q8GW19] MAPLAGLHPASPPAISGAVAVAACAVMAVSYVAVLYAPTVILRFPPPTSLRTFLHRRFACAAVASAASALATASLLRVWSLSDFADMLAVFGVRKDHLIQAVAIPLFLTSLVYAGSFVTRLWLLASSWGSGDAVEIGCAQRLAQWIQAAVADVMVWRNYVVAPFTEELVFRACMIPLLLCGGFRMSTIIFLSPVFFSLGNLLCPIIAHIFCNMMGLPVFSSPRTKGAASVAFVAGSISFFWLLFPATIPELYSSSFDRCNCWHGFCYWK >Sspon.04G0034180-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4D:75561514:75562263:-1 gene:Sspon.04G0034180-2D transcript:Sspon.04G0034180-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEQPSKQGNNKIRPTNVSPKSNPHTPGPIKPLTETFLAPPRREHRLLARTGPDPDPTPPGRSERPQNKTNPAARSRSGGPDETRLTGSDETNERQIWGGAPGHGALPDETSGGSDEDDGGRGYGDGSESEIGVSDSIRRWRLRGQKG >Sspon.07G0012640-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:45691401:45697977:1 gene:Sspon.07G0012640-4D transcript:Sspon.07G0012640-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRWNPAIRFRGYGPESIEEKQRLYTLLRGLNFNGDSAPISMSEPYTPTAQSFGGGNPVEGFYSPELRGELGAGLLDLHAMDDTELLSEDVASEPFEPSPFMPKDIDDDDEDVISGSQQVPVDNYGVVTSEKESTARENNVAKIKVVVRKRPLNRKELSRKEEDIITVHDSSCLTVYEPKLKVDLTAYVEKHEFCFDAVLDEHVSNDEVYRETVEPIIPIIFQRTKATCFAYGQTGSGKTYTMQPLPLRAAQDMVRLLHQPVYRNQNFKLWLSYFEIYGGKLFDLLSDRRQLLMREDGKKQVCIVGLQEFEVSDVQIVKEYIERGNAARSTGSTGANEESSRSHAILQLAVKKHIIVNDTRRQRDRDANEAKNTKAVGKISFIDLAGSERGADTTDNDRQTRIEGAEINKSLLALKECIRALDNDQIHIPFRGSKLTEVLRDSFVGNSRTVMISCISPNAGSCEHTLNTLRYADRVKSLSKGGNTRKEQSTGPTTTSSRESSSAPSYPLPAEAEEVPNQIQDKRPVDTYRKGIENFVSNASAEPDRNSFSMIPSYSNRGREENGAASGNDRERYDLKSSQTAYTSKAQLVQNSANTQEEEKVTKVSPPRRKAYREDKSDRPSNYTKKDNGPDTGRVGYKMQQAKQQQQQQRPASASASSRQSEKESSCDDVEIDAILEEEEALIAAHRKEIENTMEIVREEMNLLAEVDQPGSLIDNYVTQLSFLLSRKAAGLVSLQARLARFQHRLKEQEILSRKKPSR >Sspon.04G0022790-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:8809805:8834660:-1 gene:Sspon.04G0022790-1B transcript:Sspon.04G0022790-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LKKKKRENSLSPAVRIEQLESDLQKRENSLSPAVRIEQLESDLLLPAAACISAADPEHFLASQRTVQCFKMTDQERDDVPMLLRNVELPRFPLRSTSMCIPVRDDEYEEDTFVPHTGPLFVQPPTQTAPGIPFTSRDTPDRLPRPSQGKQVSKPHAIMPEEIRGNRWSYSGQVPKNEHLMMSGPLGQCDNPDCVNCPPACRNKRHFQRGSNALDNKIHNILYGHSGGWKKKIEQIMAYIPIMNPHAKPVQQWNQFFVISCLIAIFIDPLFFFLLSVRQDGNCIVLNWNFATGLAVVRSVTDAIYFLHMLLQFRLAYVAPESRVVGAGDLVDEPKKVAIHYLCGYFFLDFFVVLPLPQVMILLVVPKVGLSAANYAKNLLRATVLLQYVPRIIRFVPLLDGQSANGFIFESAWANFVINLLILWTWHGSGLYRQQWFNDLGAEACFNTGNNATFQYGIYEQAVLLTTEDSAVKRYIYSLFWGFQQISTLAGNLVPSYFVWEVLFTMAIIGLGLLLFALLIGNMQNFLQALGRRRLEMQLRRRDVEKWMSHRRLPEDLRRRVRRAERFTWAATQGVNEEELLSNLPEDIQRDIRRHFFRFLNKVRLFTLMDWPILDAICDKLRQNLYISGSDILYQGGTVEKMVFIVRGKLESISADGSKAPLHDGDVCGEELLTWYLEHSSANRDGGKIKFQGMRLVAIRTVRCLTNVEAFVLRASDLEEVTSQFARFLRNPRVQGAIRYESPYWRTIAATRIQVAWRYRKRRLKRAEKSSSASRAQKFSEHVYLVEPNRMGQIFLALCFKMTDQERDDVPMLLRNVELPRFPLRSTSMCIPVRDDEYEEDTFVPHTGPLFVQPPTQTAPGIPFTSRDTPDRLPRPSQENKSASHMQSCLKKLEEIGGLTVDRNKRHFQRGSNALDNKIHNILYGHSGGWKKKIEQIMAYIPIMNPHAKPVQQWNQFFVISCLIAIFIDPLFFFLLSVRQDGNCIVLNWNFATGLAVVRSVTDAIYFLHMLLQFRLAYVAPESRVVGAGDLVDEPKKVAIHYLCGYFFLDFFVVLPLPQVMILLVVPKVGLSAANYAKNLLRATVLLQYVPRIIRFVPLLDGQSANGFIFESAWANFVINLLILWTWHGSGLYRQQWFNDLGAEACFNTGNNATFQYGIYEQAVLLTTEDSAVKRYIYSLFWGFQQISTLAGNLVPSYFVWEVLFTMAIIGLGLLLFALLIGNMQNFLQALGRRRLEMQLRRRDVEKWMSHRRLPEDLRRRVRRAERFTWAATQGVNEEELLSNLPEDIQRDIRRHFFRFLNKVRLFTLMDWPILDAICDKLRQNLYISGSDILYQGGTVEKMVFIVRGKLESISADGSKAPLHDGDVCGEELLTWYLEHSSANRDGGKIKFQGMRLVAIRTVRCLTNVEAFVLRASDLEEVTSQFARFLRNPRVQGAIRYESPYWRTIAATRIQVAWRYRKRRLKRAEKSRLSEETYTSHGISTHDSFQRGQKG >Sspon.02G0004600-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:13991510:13996331:1 gene:Sspon.02G0004600-2B transcript:Sspon.02G0004600-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKGLFTNDGSFMERFKQMQQEAQEKEKAAAAAASSAPKQANPKQGFAVAANKRPFELKKAGPVASAGKLAFSLKKAKVAVAPVFSADDEDEDAADVEREEPAKRQKSVQADAPAAAAPAGAVAPPPPNDMTVRQVADKLASFVAKNGRQFENITRQRNPGDTPFKFLFDKHCPDYKYYEYQLAEEEKALAQSKEAEASKTNSGIASFKAPGGTHRSSFEQKSNYQTPASALYGAYEGSSSQGDHMTPPSDPVALMEFYAKKAAQEERKRPPRQSKDEMPPPPSLQGPPKKGHHMGDFIPPEELEKFMARCNDAAAQKATKEAAEKAKIQADNIGHKLLSKMGWREGEGLGSERRGRADPIMAGDVKKDHLGVGAVQPGEVTSEDDIYEQYKKRMMLGYRYRPNPLNNPRKSYY >Sspon.06G0006170-1T-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8B:32130740:32131075:1 gene:Sspon.06G0006170-1T transcript:Sspon.06G0006170-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGKTTILQQTSSTVLQSISFHGLRVIAFQVFIQLICSLLRLPPSATALELTTAPAPALELATAPATGLELATASTSLETTTVVKPVAGITGMAICKVMGQYNIKQLFCTL >Sspon.06G0014780-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:81309681:81316147:-1 gene:Sspon.06G0014780-1A transcript:Sspon.06G0014780-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATHGPASNPQDQESRPVPAQRPNGASAAVLGIGTANPANCLRQDEYADWYFRVTKSDHHTTLKNKMKRICEKSGIKKRHFHYTAQTIDDHPEFLDRAAPSLDARLGITQDAVAELAAAAAAGAIAEWGRPATDITHLVVTTNSSAHAPGADLRLAALLGLRPTVQRTLLYLHGCFGGCSALRVAKDLAENNRGARVLVASSEVTTLLTFRAPEEAHLEALVAMALCGDGAGAVIATLAGTEHALSMQLGTSGMELGISAEVPALLRGTIEGCLADMLAPLGVPVVPGAGWNGLFWAVHPGGRAILDSCEAALTLDAGKLAASRHVLSEYGNMIGATVFFILDEIRCRRQDGDDAAAIATTKHR >Sspon.01G0004550-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:12159485:12166390:1 gene:Sspon.01G0004550-1A transcript:Sspon.01G0004550-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAMTGGKFLQNFRLYETRSKFYLIGRDKTRIHWRVLKIDRLESTELGVEEDPTIYTENECQELLCRIHEGNKLTGGLKFVTKCYGIVGFVKFLGPYYMVIITRRRKIGTICGHEIYSVAKSEMIAIPSVIVWPNVAYSRDENRYKRLLCSVDLSKDFFFSYSYNIMRSLQKNITEKNTGQVVYETMCVWNEFLTRAVRNHLKNTCWTVALVHGFFKQSKLSVSGKDFWLTLIARRSRHFAGTRFLKRGVNEKGRVANDVETEQIVFEDTSDGIPTQIASVVQHRGSIPLTREKKPRESLLRAEFAKAIHYINKSLPDDKRLKFLHMDLSKLSRRKGTNVLALLNKVASDVLDLTEFLHCEISTSTKPDDTSSGEETVAKPRDDRSSRDQTECAAKLAPRLLQKGVLRTNCIDCLDRTNVAQFAYGLVALGRQLHVLGLTEAQKIELHDPLADDLMDFYERMGDTLAIQYGGSAAHNKGPMEGSNPISGVPSNLQRYYNNAYTDHEKQDAINMFLGHFHPQQGKPALWKLDSDQHYNIGRQGTLKEEIGRSFIKRSLSDGNIMLENSLPITNCNNETNNTELLPMQQLDDIREPSDSAPEISICEPNPCSSMNYGTVPGRHSMSEERQSYLKRLGFPELHSSNFLDLDLLSSSGNSCDEEVFERSSLINSPLDVISVESSTSYSEQGHIDEGRDDTDLSRSSSQLSDVRDYSDRFAHWVANGGMLCY >Sspon.05G0012220-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:5A:36443917:36444394:-1 gene:Sspon.05G0012220-1A transcript:Sspon.05G0012220-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ANGGVNFKALKHLVIEYCPRLEGVVPRDCELPCLETLDILCCYNLKAIFYDNGPQSSSGGYKLPCLRRIRLHELPLLEHLHFSKPMLTAPTWKELHVRGCWSLRCLPRFSQQPDKAVEVSGERVWWDKLSWDQDDDAPPHHDSYEPRLPPAFASHHEWI >Sspon.04G0008650-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:26083869:26088920:1 gene:Sspon.04G0008650-3D transcript:Sspon.04G0008650-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSSSSMDCVASKDKETPPLKAAAWWTGETVAVVTGANRGIGHALAARLAEQGLCVVVTARDEARGEAAAAALRARGLRGAVRFRRLDVADPASVAAFASWVRDELGGLDILVNNAGVSFNEMDTNSVEHAETVLRTNFYGAKMLTESLLPLFRRSPTTSRILNISSQLGLLNKVKDPQLRRMLLDEAALTEGDIEGMASRFLAQVADGTWRGRGWPEVWTDYAVSKLALNAYSRLLASRLAGRGVSVNCFCPGFTRTDMTRGLGKRTAEETGRVAAGLALLPPQHLPTGKFFKWRTPQLYSK >Sspon.02G0008900-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:28105956:28108936:1 gene:Sspon.02G0008900-1P transcript:Sspon.02G0008900-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAAAEVVAPRPPSAKRRSTTLKFLFELEKPDGLLPAGTAKLPPPSPEPEADSLIDKIASCNRVFTFADDASEREEERDAKREPLVEVLGAVRSSGSGGNKQQPLDHRVMVALVKMVGANLFRAMPPSAYPSLLPPDGVDEEMNVMVLAPSWPHLQVVYDILLSVVTVSDAKTLRHHVDRAFLSSLLALFRSEDPRERDRLKTVYHQLYSKLTCERAFMRRSMAAAFLRFVYEAPAAERHCGAAELLEICGSIINGFAEEHRAFLARVLLPLHRTRWVHTYHRQLAYCVLQFVHKEPGLADAVVTDILRHWPVTNCQKEVLLIEELEEILQVLEPKHFQKLAVPICSRIARCVSSCSSQVAERALYVWNNERFVELATASPGVMEKILPAFVASVESNLELHWSKCVQQVTASVRSLLQQVAPDLYARCADDLATRRSRPRSPPPCGTPGGESSRRPPPPPPPTPPNSPRWCDDVSVWMRRDWWRRRSVPFWLATAQRL >Sspon.05G0008640-3T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:17578042:17582839:1 gene:Sspon.05G0008640-3T transcript:Sspon.05G0008640-3T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASTSPLLLLALLLLPALTAAASLPALPLSTASRWIVGADGRRVKLACANWASHLEPAATEGLARRGVSDIAARVAAMGFNCVRLTWPTYLATNATLASLPLRWSLERLGMLESVAGVRVNNPALLDLPLVDVFREVVSSLASKGIMVILDNQMTTPGWCCSRTDGNGFFGDVYFDPDEWLKGLSAMATMFNNTKYVVGMSLRNELRGPKQNVSLWYRYMQMGAEAVHAANPNVLVILSGLDFDNTLSFLFKEKVHLSFSGKLVYEQHWYGFSDGGNWETQNQNDACGMVVDFIWAKGLFLLQQGWPLFFSEFGFDMSGTHIGDNRYLTCFLSVAAEMDLDWSIWALQGSYYIREGILAYDESYGLLSWDWCTVRNPSFIKRINSLQSPFQGPGLPNSQEPYNIIFHPQSGLCVLARSSKLLELGPCDESNAWNYTSAYELVVKSTGQCLQAKSVGNNAKLGTDCSKASSKWHRISNSKMHVSAELTKNGTRVCLDTSPGGAIITNQCKCLSVDPACDPESQWFKVILSSRDIPGGDPILQLPSLGPWPPTSLSS >Sspon.08G0022450-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:51657679:51659808:-1 gene:Sspon.08G0022450-2D transcript:Sspon.08G0022450-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RHGPVSPPPPLPRRRRHHCRSAPRCAVTAENTMTDVEALMQLKKSFANSSSLSSWLVTDKEGSKSPCAPGSHHWHGVVCSGGVVTGLRLNGLELGGTIEVNSLSSFPRLRSISFARNNFSGPLPAFHQIKALKSMYLSDNQFSGSIPDDFFANLSHLKKLWLNGNQLSGSIPASITQATSLLELHLDRNAFTGELPAVPPPALKSLNVSDNDLEGVVPEAFRKFNATRFAGNEYLCFVPTRVKQCKREQAVTSSSRRAIMVLATLLLSAVVMVIALRLCSSLPSSRSRKLDMEGLEEKPPEYVAVKQASSAPQKRSSSWLGKRPGSSLGGLGHRRAASAAKVDDLSTKSAGDLVMVNESKGVFGLTDLMKAAAEVIGSGGGLGSAYKAVMANGVAVVVKRSRDMNRATKDAFEAEMKRLGAMRHANLLPPLAYHYRKDEKLLVYEYIPKGSLLYVLHGDRGMDYAALDWPTRLKVAIGVARATAFLHTALAGHEAPHGNLKSANILLAPDFEPLLVDFGFSGLINHMQSPNSLFAYRAPECVAGHPVSAMADVYCLGVVLLELLTGKFPSQYLQNAKGGTDLVMWATSAMADGYERDLFDPALMAAWKFALPDMTRLMQVAVDCVETDLEKRPEMKEALARVEEVAATDLATVRERQDESGSGGSAPSADSASRSSHASYVREGSMQRIASVGERSLRRGSNDPSYGYGI >Sspon.03G0029380-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:15413599:15415126:-1 gene:Sspon.03G0029380-1B transcript:Sspon.03G0029380-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MWVLGSLLVLVQQGKWEWKTTRSSHHALQAQFIPCCPHARGEMMMISLQPSMDWDICEIMHAMWKPAKFKYIYLLATLYVFTLTLPSAAAMYWAFGDELLSHSNAFSLLPKTGWRDAAVILMLIHQFITFGFACTPLYFVWEKVIGMHDTKSIFKRALARLPIVVPIWFLAIIFPFFGPINSAVGALLVSFTVYIIPALAHILTYRTASARMVSALDPRPIHSFISYVHTYRHLHPSFRFFKMIHP >Sspon.05G0010040-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:28709785:28710354:-1 gene:Sspon.05G0010040-1A transcript:Sspon.05G0010040-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VCEEMECNKDEAQRAKGIAKKKFEARDLKFTLKAQTLFPGLEDVDQMITTFDIYLASEGKVAGEKDWYSILYVPTNADDEKIKKQYMKLVLQFHPNKNKSVGAEGAFQMVQEAYTVLSDRTKRARTVQSSKASTVPGASNGFYNFAANAATASKLIVSKQIAGLAPHAPHAP >Sspon.07G0037140-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7D:49143343:49143678:-1 gene:Sspon.07G0037140-1D transcript:Sspon.07G0037140-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVGCHGRGAGGRGWRAHWRGDSRIIPWRSPSILALRHGPRKPEREVRSMFQSSVMVAIGDGLSTKFWTDSWLPDGPICRFAPHLFAAIGKRRRQKSVREAITNRSWVRDI >Sspon.08G0014470-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:59176432:59181910:1 gene:Sspon.08G0014470-1A transcript:Sspon.08G0014470-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRGPDMEATIFSLTEGAVRSLLCKFGCLLSQERWLVQGVHGDIQFIKDELESMNAFLRTLTMSEGHDDQVRIWMKQVREIAYDAEDCIDEFIHHSSDMLGVGFLRRVMCIVGTFGCHRRIAIQLQELKARARDVGERRSRYGVHMIKELIQRPHEAMAIAGGKYDHFTGETLEGIERLGIAVLAEKLRRYLQDKRYIMILDDIWTISSWESIRCALPDNMKGSRLVQETSPSVSHVRSFTMSASVEDIPVFFPQLRLLRVLDIEGCRCLNNSTLDCVSSFFQLKYLSLRKTNICKLPRRLGNLKHLETLDIRATLIKRLPSSANNLSCMKHLLAGHKELLTRTGSVKFFKHCSGLEISPGMVRNMAALQSLTYIVVKDEPLVLREIGLLQKLRKLKVLLRNVKVNWKAFVGSLGKLACSLHSLSIQIIDEKEHDPSLDILAFVDSPPLLVTNFSLVGKLDSLPPWISSLRSVSRFTLRETGLHAEAIQVLGDLPNLLCLKLYHKSYADDCIVFPLGKFGKLSMLVIDNLDNINRVHFEEGSVPNLERLTLSFLQEPKDGISGLIYLKKLKEIEFFGNIILSVVNKVVSCVKTHPNHPRVVGDKWNIVTEYA >Sspon.02G0009270-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:24689307:24694458:-1 gene:Sspon.02G0009270-2B transcript:Sspon.02G0009270-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCLGWFKRRRSRSGGSSSSSGKARGASVPVSVSGPATTMTTTTATTASAVSTSRSDDSGVVRPVSKSAGSAGSSQMSQRSISSLYEERGHGQLRVFDYEELQGATAEFSRAQKLGEGGFGSVYKGFIRAADGKGDRVPVAVKKLNQRGMQGHKQWLAEVQFLGVLEHPNLVKLLGYCAVDSERGAQRLLVYEFMPNKSLEDHLFRRANPPLSWNRRLQVILGAAEGLAYLHEGVEVQVIYRDFKTSNILLDKDFRARLSDFGLAREGPTGANTHVSTAVVGTHGYAAPEYIDSGHLTAKSDVWSFGVVLYEILTGRRSLDRNKPAAEQKLLEWVVHRRTAATSG >Sspon.04G0015980-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:58943066:58945517:-1 gene:Sspon.04G0015980-1A transcript:Sspon.04G0015980-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGRKRGKGDGAKGTSAGGKRSRESESFQSGVGSKSKPCTKFFSTSGCPFGEGCHFLHYFPGGYQAVSKMTNLGGATIAPPGRMTMDGPPTPTVKTRLCNKYNTAEGCKWGGKCHFAHGERELGKPMLMDSYMPPPMGPRPTGHFAPPPMANPGLATPASFGASATAKISVDASLAGGIIGRGGVHTKQISRVTGAKLAIRDHESDSSLKNIELEGTFDQIRNASAMVSELIVSISGNAPPQAKNPAGGTHRGGGGGTGSNFKTKMCENFAKGSCSFGNKCHFAHGDNELRKPAAA >Sspon.06G0014680-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:81029421:81032973:1 gene:Sspon.06G0014680-1A transcript:Sspon.06G0014680-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEERVIPQGRTLPAEEPAVAAVLPVPPLGDVFDDDPASFDDYAILGLPASSYGSVLGDDEEDGEAYDNMSDSDSETEDHDNQVRFWDGDAAGSETDLVAGPLMFLGQMTRFASFQGAAGFMRMSATQASTGGEDNCKGGVIVVHYRLTRFSGTQSGGLGVVLDFGTDLHHVRYLVPFPVAATNPASSLRLVGAALAADFYPYRYYVQLQALWSSLIVALPVHVPPRATCVVVTVDVGVLRSEDRTPERMEHMRTVLAALARKNDAASPMAFGLEQHLPVPVCCDEPSSGGEVAWPAKRRFNVEGEVCAICHETLEHGLAAWPRCSHVFHGKCLEQLLVTMEVEVELFLVLDLKMEVKFELFLPGAEGGGEAGA >Sspon.05G0039480-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:5D:71148294:71148605:1 gene:Sspon.05G0039480-1D transcript:Sspon.05G0039480-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQLPRVEPKSAFHFWSAIVGAELARGQLDVEAPQLERSSSEDDWWETSATGAGSGSERRETATAAGEDLAEREDGPGDAADQDARDVLERAVPVAGAWCGGEP >Sspon.01G0014690-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1C:22463385:22464403:-1 gene:Sspon.01G0014690-2C transcript:Sspon.01G0014690-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding KQSKEIARRKEQRQQQRDRRPGPLACLERGRQQEMDEIMNKVGAYWLGQRANKEISSAGDDLESLSTSVGDGAKWLVNKLKGKMQKPLAELLKEHGLPVGLFPREATNYEFAPETRRLTVYIPSPCEVGYRDGSELRFDATVSGTLGDGRLTEVEGIKTKVLVWARVTAVKADAAKVHFTAGIKRSRSRDAYEVVRGGITVDEF >Sspon.01G0048020-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:106954865:106958881:1 gene:Sspon.01G0048020-1B transcript:Sspon.01G0048020-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCCCWGARIKDGSLHPGASGVFSKSSGKDGSRLSGCSSRASSASMPPSAKTECEILQSANVKVFTFNNLKAATRNFRPDSVLGEGGFGSVYKGWIDENTLSPCRPGTGIAVAVKKLNHEGLQGHREWLAEVNYLGQFCHPNLVKLIGYCVEDEHRLLVYEFMPRGSLENHLFRRGSYFQPLSWNLRMKVALGAAKGLAYLHSAEAKVIYRDFKTSNILLDTDYSAKLSDFGLAKDGPVGEKSHVSTRVMGTYGYAAPEYLSTGHLTTKSDIYSFGVVLLEMLSGRRAIDKNRPQGEHNLVEWARPYLTHKRKIFRILDTRLEGQYNLNSAQTIAALALECLSYEAKMRPTMDAVVTILQEIQDSGEAEKHQEPKAGTKQAPSAVSASMSSRKPRRRSLAGTKETVGPNPKPLAHSR >Sspon.05G0030280-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5B:88552733:88553902:-1 gene:Sspon.05G0030280-1B transcript:Sspon.05G0030280-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MCNILIYFCNIDTKQLQHTSKTPETCACNVRFQRNVTWLLGRMKTHRYAELDAGAEIDANAKLGGGAAGGFSVLTEAQTNTANKKKCYSFGLAISMKIELASLQYRGRGAAGTCLPSTSLARGTTSRLLIGVAGPGAPPARPAAPR >Sspon.03G0027570-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:6187375:6188244:-1 gene:Sspon.03G0027570-1B transcript:Sspon.03G0027570-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPLAFTQPEEARKRINSWVAAATNNLVDSILPRNSVHGGTSLVVTTAIYFKGIWETTFDKSLTKKGKFHLLDGTAVDAQFMRSKEDQFIAEHDGFKVLVMPYAAAATGTAGTTAVVPSAVPSPPQYSMCVLLPNARDGLPSLVDKMTYPSFLQEHMPERSVEVGDFRVPKFKISFYSGMKRQLQDLGIKAVFDPARADLPDILVHDGRAPPLVLEDVFHRAVIKVNEEGTEAAASTALIFAKTCAMPAPSRRPKAVDFVADHPFAFFVVEEVSGAILFAGQVLDPTKP >Sspon.05G0023350-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:30048841:30050454:1 gene:Sspon.05G0023350-2D transcript:Sspon.05G0023350-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein SLOW WALKER 1 [Source:Projected from Arabidopsis thaliana (AT2G47990) UniProtKB/Swiss-Prot;Acc:O82266] MATDTSKPFFPAAPHLALVPSRNAASRASPEGSYWRAFRSSELVSDAGFPVTDLTFAPAVVAGASPTLAASWSTSLHVFSGDPLEKLRRISVAGDLAFSPSFRCDGALLAAGDKKGVVRVFRADKAAAGALRTLRAHAAETRVVRYPVAGGDKLHLLTAGDDALLAYWDVPSETPVFSVPAAHRDYIRGAAASPADHNIFATGSYDRSVKLWDARTGNTGPSLSFSHGELVESVLFLPSGGLLATAGGNVVKIWDVIGGGRLVHSVESHVKTVMDLALGRMANTGETRLLSAGIDGYVKSFDFGKLKMTHSLRYPQPLLSVACSPCGSVVVAGSAKGKIYLGNRRKKAVDEEEEGRKSVGGEIDWVSPEPEKSVLRPNYFRYFRRGQNEKAKEGDFVIAKPKKVKIAEHDKLLRKFRHKDALVSALAKNNPRSVVAVMEELVARRKLMRCVGNLDNEELGLLLEFLHRNATLPRYAKLLLCLANKVVEMREADIRSDEKLRVHMRNLKRMVAEEIQIQHTLQGIQGMISPMLALATR >Sspon.02G0010010-3C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:31322821:31323392:-1 gene:Sspon.02G0010010-3C transcript:Sspon.02G0010010-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYQRSSEQDICYICGDGVDNHMEHFCPFNRRIPCRRIQSNLQGSSQVPAAFHTREQPAAFHTREQPAVPPGFRVWELENLFSPFGPLLMWDVPRFRNDICGCKSEVRMNFGVVIFKRREDGERAIHELNGAEAGGLKLRVDWVYPSCV >Sspon.08G0004590-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8B:11226390:11227292:1 gene:Sspon.08G0004590-2B transcript:Sspon.08G0004590-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGPNNAATSSTSKGGSGAMVAFKQDLLRNLLLGLRARTAEAACPFDAMSLQERKRAVKCSADVALAAARCGAAGGAVGGRARWPKAILAAAAASSSHSPGGTCKVRKSACKWKRVARRRVDAKRIIRGAYAAASGAAAAASCVDVARRLVRRRTKALRKVIPGGNAAAMDDEAALLRETMDYVVHLRAQVDVLRRVSAAVQRSTFLRYIYTFCFIWMLLKVWENRSVVIPGSQDREGNASETKIMGDQTAIRERISELVW >Sspon.02G0048380-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:24511467:24516811:1 gene:Sspon.02G0048380-1C transcript:Sspon.02G0048380-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGVACRFCREDQLDMECCRGGLLPHSTGLGGILPDGEEDRISALTDDILLKILARLGCARAAAHTGLLARRWRGLWAHLPAFTFHRIAPGPLDAALAQVARPAPSLLNIHFFNHHEMEPARVSSLDLENGRIDLSDLLPRCPRLRKLFLPFWNSDSIVVRSPSLEEFSVQANFNIAQLEIVAPALKRLYLDAHRGIHKDCNMVFAEPAVEDLTWKCECKALTYRFGVIWRMWSLTFENTQLANETSTAKAFSQHCPRVGVLSLSLETNVLSGDVSKTFEQEIYRFQFTDYSVLELDLIQQGHVYGAIVLHLLGLCTSIQRLKVTLNEFIINMIILNKFLYQNARGRALNSCYANCRCDQPDNWRSQSISLIDLKEVEIYRFRGQDHEVDLLKVLLRCATVLERVTLGFSRKVLSSDSACMKIVGILEDYPSVKCNIYQYGKLIFMHSRPAVRIGELARPSAPARARATGEELPCARASTPGRSSLARAPPGRSSLALGRRRKTE >Sspon.04G0020740-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4C:79133623:79140922:1 gene:Sspon.04G0020740-2C transcript:Sspon.04G0020740-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ferrochelatase-1, chloroplastic/mitochondrial [Source:Projected from Arabidopsis thaliana (AT5G26030) UniProtKB/Swiss-Prot;Acc:P42043] MLVKDDVVRDIHASQVWIRHFALPRLTQLYRRKCFSSPESRLPSRSRFTSPRVIDPSFTSPDAQQPMSSPGPFPATGTHASPPSGPLPATGTHHTRPCGKTTSTSFTGSTKHEQSFHGNVKPLQLAANGSSRLACRSSVLKKQWSLSASSSSANVFTTFNDDKGASSSVIEEKVGVLLLNLGGPETLDDVQPFLFNLFADPDIIRLPRLFRFLQRPLAKLISTFRAPKSKEGYASIGGGSPLRKITDEQANALKIALEKKNLNANIYVGMRYWYPFTEKAIDQIKKDKITKLVVLPLYPQYSISTSGSSIRVLQNIVKEDSYFSGLPISIIESWYQRDGYVKSMADLIEKELSAFSNPEEVMIFFSAHGVPLTYVEDAGDPYRDQMEDCIALIMGELRSRGILNSHTLAYQSRVGPVQWLKPYTDEVLVELGQKGVKSLLAVPVSFVSEHIETLEEIDMEYKELALESGIKNWGRVPALGCTSTFISDLADAVVEALPSASALATRKPEDTDSSMDLMHYLTKMFFGSILAFVLLLSPRLVSAFRNTML >Sspon.04G0020490-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4A:72142336:72145714:-1 gene:Sspon.04G0020490-1A transcript:Sspon.04G0020490-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGFTILFNALFTLALTYLKPYGNSRPSVSEEELNEKHAIIKGEVVLDGSNHLVSSSSHRSTRVKTETDSAIMEDDSSLTKKGIIIPFVPLSLTFDNIKYSVDMPQVKYRKFILTLATKIFIEEVMELVELKPLRNALVGLPGVNGLSTEQRKRLTIAVELVANPSIIFMNKPTSGLDARAVAIVMRAVRKTVDTGRTVVCTIHQPGIDIFEAFDEGMQGVSKIKDGYNPTSWMLEATASSQEQILGVDFSDILQGIRTLPEPPYNTVRFFVTTIITLLLGSIFWDLGGKVKTSQDLLNAMGSMYSAVMFIGVMNCSSVQPMVAVKRSVFYRERAAGMYLAFPYAFGQWTAAKFFWYLFFGYFAMLYFTFYGMMSVRLTRSYNIAATVSSGLCSIWNLFSGLIIPRPWRWYCWICPVAWTLYGLVVSQFGDVMTQMDDTDKTVKMFLEEYFDFKHSWLGWVAAVV >Sspon.06G0028950-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6C:12612390:12613242:-1 gene:Sspon.06G0028950-1C transcript:Sspon.06G0028950-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GRQLALLPHRVGRGRRPTHHAHDDDGDDGYLLTELYRHTDRDDFPTNKATLFMWCSPGSGSSSGGGPRAGADQWIRKEVVLPLPDPDEDEYCFNFNAGTVFAVSSTSLCWVDLWAGILVCNNISSSSTDAAAAAAGLVFKFIQLPEGCACAPASFGATEYRSVCCVDSETIKLLCMDDGGGKVTVALTTWTLKFPLSTDSWRWKKDEDASCSSLCVADLLDDAILVDGGGSGNKRRLLRRVVRWPVVRRARAGVAHVCVHDLEFKRKEASQGRISRWQRVPET >Sspon.01G0022180-4D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6D:62093162:62095134:1 gene:Sspon.01G0022180-4D transcript:Sspon.01G0022180-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPSLRGAQVRDAGDLPATLHDPPHGTGVPLAVIYAFSRPILVLLGESPAIASAAAVFVYGLIPQIFAYAANFPIQKFMQAQSIMAPSAYISAATLVVHVGLSYLAVYQWGLGLLGASLILSLSWWVIVAAQFVYIVTSERCRLTWTGFSWEAFSGLPSFFKLSLASAVMLCLETWYFQILVLIAGLLKDPELALSSLSVCVRVSNELGAGNPKAASFSVVVVTLLSFVLSVLISIVILLCRDYISYIFTEGEDVSRAVSKLTPLLAVTLILNGIQPVLSGVAVGCGWQAFVAYVNVGCYYIVGIPLGCLLGFYFDLGAAGIWSGMIGGTFMQTLILVWVTYRTNWTKEVEEAQKRLNKWNDSKAPLLSAQE >Sspon.03G0018470-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:76964080:76965215:1 gene:Sspon.03G0018470-2B transcript:Sspon.03G0018470-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding PGMAAFFGAAPPPPGLASFHHEEQQHHHDAAEEVYHVDDVDEGGAGHGHGVGAGAGGQGKLCARGHWRPAEDAKLKELVAQYGPQNWNLIAERLDGISGKSCRLRWFNQLDPRINRRAFSEEEEERLLVAHRAYGNKWALIARLFPGRTDNAVKNHWHVLMARKQREHTGALRRRKPSSSSSSSPGPAPTPTPPHFAPVVVLHHHHYGGSPPVPLHAISGAQYTAAAAKHPRAQRRRVRRDRVHLHHRPLPRLRRALLLRPQATTWSRAPRRSRRARAPPSPRRRRRRATARHAP >Sspon.04G0023560-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:13725360:13726188:1 gene:Sspon.04G0023560-1B transcript:Sspon.04G0023560-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDTFVARPTDIIRHDALAYCVVHRGHHAPADERHPLLASSPHDVVPFLHSIYENHRSALPGPLLEEMPSPRVLAVHVPFTALPASVRESACRVVYLCRDPKDAFVSLRHYLDEIKPEGSAMTPFAEAFGLFCDGVSPFGPVWDNMAEYWKESVARPEEVMFLRYDDLKEDAVGSVRRLAAFLGCPFTEEEAERGVPVAIVELCSMDKMRSVEANRDGMHRNDHLSIKNSAFFRKGEVGDWKAHMTLEMARRLDDIVEEKLRGSGLSVIGQP >Sspon.07G0005500-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:9498560:9499818:1 gene:Sspon.07G0005500-1T transcript:Sspon.07G0005500-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding KPTYLQKGQEPLFGRGVLKANGAEWHRQRKLIAPEFYMAKVKVTVELMVDAAQPLLTSWEDKVAAAPGGVAELDVDEDIRSFSFDVISRACFGGDYSRGREIFLRLRALSGLMSETSVIFTIPSLRHLPTKKNRRIWKLTHEIRSLILQLASERKAAPGRDFLGSIIDSSRDQPRADDFVVDNCKNIYFAGHETSAVTATWCLMLLAAHPEWQDRARAEALDVCGASDGDGSAAKAPDFDAVARMRTLHAVVLETLRLFPAVVVRGAGDVPRHAARQQAARAQGHLPLRAGLHHAPRRRRLGAPPRAGSTRAGSATAWRPRATTRRRSCPSASARAPASARTSRSSRSRRSWRSSSPASRSRCRRTTGTPPPSGSSSSRSLGCASSSAASATDD >Sspon.01G0020770-3C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1C:77354204:77354380:1 gene:Sspon.01G0020770-3C transcript:Sspon.01G0020770-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGGAVREVRSPAELEAAVAGARAAAVHFWASWCEASKQMDEVFAHLAVDFPHAVFLR >Sspon.05G0013760-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5B:49971841:49976360:-1 gene:Sspon.05G0013760-2B transcript:Sspon.05G0013760-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHALIFLLVAHLLIFMLSNPIAILAADSTNNSEIELQALLNFKQGITNDPSGVLSSWNISGSFCTWRGVVCGKALPPSRVVSLELNSLQLAGQLSPSLANLTSITRLDLGSNSLEGPIPKELGTLSKLQDLILANNSLSGIIPASLFKDSSQLVVINLQRNFLNGPIPDFHTMATLQILNLAKNNLSGSIPPSLGNVSSLTEIHLDLNMLDGPVPESLSQIRNLTVLTLGHNQFGHFPAELYNITSLRILDLGNNDLSGYYIPASLGNLLPNLEKLIMSGDNITGLIPPSLANASKLQEIDLSYNALAGPVPLLGSLPHLRVLNLGSNSLKSDNWAFITSLTNCSNLTMLIMDDNRLDGSLPISVGNLSSNLQRLDLGNNQISGKLPEQIGNLPQLQLLAMDQNSISGEIPLSIWNLSVLVVLRLSHNRLSGQIAPAVGNLLQLTQLSIDSNSLSGNIPASLGQCQRLTILKLSFNNLDGYIPVGLANITTLFSLDLSKNHLIGSIPQSIGLLEQLVLLNISHNNLSGQIPPSLGICLSIQQIDLSQNNLTGQIPDFFNNFNALELLDLSYNNFGGPIPTGGVFQNTTKVILNGNIGLCVNATTSASVFPVCPGIAAGGIKKNAHFLLIVIPSITIALFLCLCLCIIVALLKRRAHIEAAPCYKQTMKKLSYFDILKATNWFSPVNKISSSGTSSVYIGRFEFDTDFIAIKVFHLDEHGSLNSFLMECEVLRNTHHRNLMKAVTLCSTVDMENKEFKAIVFDFMANGSLDMWLHPKLHKNSPKRVLSLGQRIRIAMDVVSALDYMHNQLTPPLVHCDLKPANVLLDYDITARVGDFGSAKFLSSSLGSPEGFVGVEGTIGYIAPASEKLTKSNHALWQAQVLTAIRGARMEGHINGKTVAPDVEISKKAADGKTVKIANLAYDEWFTRDQQILGFLFASVSKEMRALSDEMTAAGKPLGDEELTAYSLNGLDLDFNPLVTSLVARVEPVSLNELYSQMLSFEAVDKHLVVVMEVNNANNLTSSASTPATSSTPASVCWHRFDENYVPDPKLVAAAQHSYTINTNWYTDTGATDHITGELEKLSVRDKYHGND >Sspon.01G0036600-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:15878196:15880116:-1 gene:Sspon.01G0036600-2D transcript:Sspon.01G0036600-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFRHMLYPAYKSNRTPTPDTVVQGMQYLKASIKAMSIKVIEVPGVEADDVIGTLAVNSVSAGYKVRIVSPDKDFFQILSPSLRLLRIAPRGSGMVSFGVEDFVKRYGALKPSQFVDVVALSGDKADNIPGPVWDHGLRPHGAIAEPWFPGCGVEGIGDINAIKLITKFGMLL >Sspon.07G0004200-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:9559031:9563083:-1 gene:Sspon.07G0004200-3D transcript:Sspon.07G0004200-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRGRQAKTFTADQRVPLLAGGVPNLGLDDLAVDLETAGGELDADGGLGLEAELVLGEAGEEVGLADAGVADEHHLEEVVVIVLRPVPASVGSHCFPSLPFPSPPQAKK >Sspon.01G0011540-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1A:31448173:31450706:1 gene:Sspon.01G0011540-1A transcript:Sspon.01G0011540-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding QSDFDEEEGVLMDQEANLLQRPGFSHPRFFIYGTWCYACHPWDRRYMIRMHAFWAQQQLENAIGLGTDGKLYATVDIDKARVGRTRMVPPVHSPNWDESFHIYCAHDASNIIFTVKADNAIGATLIGRAYLPTEGVVAGQKVDLWLPIRDEKRQPLEGGDQIRVQLQFTNVAADPTAGWGTGVGSAAYGGVPYTFFKQRRGCRVRLYEDAHVAGDFAPRIRLADRSFYEPRRCWVDVFDAINRARRMVYIAGWSVNTDVVLVRDPREPSASSENLGELLIRKANEGLTVLMLVWDDRTSVGLGPIKRDGLMATHDQDTASYFRDTRVQCVLCPRNPDKDRSYVQDIETATMFTHHQKTVIVDGGGRTAPESPPGLVSFLGGIDLCDGRYDTQDHPLFRTLGTTHSKDFHQPNFPGASIRKGGPREPWHDIHCRVEGPAAWDVLENFEQRWKKQGKGDNMLVALNKAWAEREAAPQGDAESWNVQVFRSIDGGAAAGFPEGSADAPREAAALGLVSGKDHVIERSIQDAYIHAIRRARDFIYIENQYFLGSSYAWRQNDGVTVEDINALHLIPKELSLKIVSKIEAGERFAVYVVVPLWPEGVPESGSVQAILDWQRRTMEMMYRDVLLAIRAKGLQADPRDYLTFFCLGNREAPSPGEYVPPEHPDPNTDYERAQQARRFMIYVHAKTMIVDDEYIIVGSANINQRSMDGGRDTEIAMGAYQPGYLATRNRPARGQVHGFRVSLWQEHLGQEAAAAAAGGDLLRPSSLACVRRMNQVAKQHWDMFASDTFQGDLPGHLMAYPVSVLDDGS >Sspon.07G0027160-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7B:58918807:58919028:-1 gene:Sspon.07G0027160-1B transcript:Sspon.07G0027160-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RGRQAGQAVGMVAGRFRGVGVGSCGWARSGRGRLAGIREDGDGGGWGGGRGRPSRSSSETLLCRGGGGRREEK >Sspon.01G0050860-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:8447839:8448066:-1 gene:Sspon.01G0050860-2P transcript:Sspon.01G0050860-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding TMVKAVLVAVLLTQCCDVMIVAARPLLHVTAGPDTRATMMTMQVQDKSNGPRRPGGGNCNWKKPGHPGCPPQQPSS >Sspon.08G0028050-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8D:5484550:5484732:1 gene:Sspon.08G0028050-1D transcript:Sspon.08G0028050-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MACTTPSSQPLVRAFFEILWPGLATAHLSEEHTEPGAAVDRKCYYVASDQLPVTEVLSRAM >Sspon.03G0000480-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:1452262:1454597:-1 gene:Sspon.03G0000480-1A transcript:Sspon.03G0000480-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGACASVHKDPEYPKKQFLDSPTKAKAANGKDGGVAPVGGGFGDLRAKVDAEQQRAEFNPKSPDSGSKDEVFFESRAWLDSDCEDDFYSVNGDFTPSRGSTPIYQPRAQTVMSNIFHPDTHSSKSPEPSPTGRRKLAELLQEAMQDGPEESTDEEQQLQSVAADGKPVSESTSSSPCSTVPTPTAVTKSRKEKAWYTGRCCLPSFVHSLTLDESERGQKMSSRPCAV >Sspon.03G0006820-4D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3D:24157394:24158790:-1 gene:Sspon.03G0006820-4D transcript:Sspon.03G0006820-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMEKQMKTVVLYPSLGVGHLNPMVELGKVFLRRGVAVTIAVVDSPDAMGRLATANPDITFRHLPVPPTGKDKYSHPIMRTIDVLRVANPALRSFLRTLPAIDAVVVDMFCTDALDVAAELDIPAYFFFTSPLGHLAVNLHLPYNFPAVSLKDMPETVLRFPGVPPIRAMDMVTTVQDRESDIARARLHQCARMPEARGFLVNSFDWLEARALKALRCGLCTPGRSTPPAVYCIGPLVPPGSTGGSWERHACLEWLDTQPNRSVVLLSFGSMGIFSEPQLREMARGLESCGHRFLWVVRNPPEHQSTSIEPDLEALLPDGFLERTREKGLVVKNWAPQMEVLRHDAVGAFITHCGWNSALEGIVSGVPMICWPLYAEQRMNKVHMVEEMKAKVRLVMESDEGKKLRERLAMAKKMAADGLKEGGSSHMAFDEFLKGLKKSSPELKA >Sspon.01G0022970-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:83548905:83557186:-1 gene:Sspon.01G0022970-1A transcript:Sspon.01G0022970-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVDDVLSNLRVVGVPTKSAIYIWGYNHSGQTARKGKECHLRIPKSLPPKLFKSGNGKNLRWTDIACGREHTAAVASDGSLFTWGANEFGQLGDGTEESAKEPKKVKSLESEFVKSVSCGAHCTAAVAEPRENDGTVSKSRLWVWGQNQGSDYPRLFWGAFTPNTVIKQVSCGAVHVMALSEDGLLQAWGSYNEYGQLGRGRTSQGLQGARVLNAYARFLDEAPEQVKIVRVSCGEYHTAAISENGEVYTWGLGSMGQLGHCSLQSGDNELIPRRIVALDGIVVADVSCGGVHSCAVTEGGALYAWGGGHVGQLGVGPQGFFSCSLNGSDMLLRNIPVLVIPSGVRLATCGHSHTLVSMKDGRIYGWGYNSYGQAANEKSTYAWFPSPVDWCVGEVRRLAAGGGHSAVLTDACSLKELCEFKLAETVNLSNAELIEDVASRTGADALARLCGKVREHLDKEGECEFLEKQVAEEVKTAAS >Sspon.05G0008920-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:5A:26272516:26273141:-1 gene:Sspon.05G0008920-1A transcript:Sspon.05G0008920-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding YEFLKHYKKSGNHFSFYLKTSRTHKHWVHPVQCTRTRCHAGLSGQRGPPVCRTRAEAVVDRRFLADGEVAGDKVTTTTLSSPARTRRGNQVKNWQRGASSAVGMVDAAMLLDAGEPSQAPTSREKGRRRSTEAKGSCCSRKGRRRGGAERLARSRTPVSSGGHWRDMHGQNGPHLGATVVQKVHCVKAEPVRELAELRQEQECGRTS >Sspon.04G0005510-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:11853495:11856827:-1 gene:Sspon.04G0005510-2B transcript:Sspon.04G0005510-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADRRWCCALACALALVVAADGLLVDITYVESAVAKGAVCLDGSAPAYHLARGSGSGENSWLVHFEGGGWCNNVTTCLQRKRTRLGSSKEMVTQIAFSGILSDTPDGNPDFYNWNKVKVRYCDGSSFTGDVEEVDPTTKLHYRGARIWQAVMEDLLAKGMGKAENALISGCSAGGLTSILHCDRFHDLLPPAARVKCLSDAGFFINEKDVAGVGYIAAFFNDVVATHGSAKNLPPSCTSMLPPGMCFFPQNEVKQIQTPLFILNAAYDSWQVIIRGSSGDFLKEVSEQWISDSRGLFINSCFVHCQSESQELWLSSDSPMLGNTTIAKAVGDWFFDRSTFQKIDCPYPCDSTCHNRIYEDSSQA >Sspon.08G0013440-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:38145967:38150800:-1 gene:Sspon.08G0013440-2C transcript:Sspon.08G0013440-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAILSALATEVFIPVAAVIGIAFAVVQWVLVSRVKLSPAAAAASGGGKNGYGDYLIEEEEGLNDHNVVVKCAEIQNAISEGATSFLFTEYQYVGIFMSIFAVVIFLFLGSVEGFSTKSQPCTYSKDKYCKPALFTALFSTVSFLLGAITSLVSGFLGMKIATYANARTTLEARKGVGKAFITAFRSGAVMGFLLASSGLVVLYITINVFKLYYGDDWEGLFESITGYGLGGSSMALFGRVGGGIYTKAADVGADLVGKVERNIPEDDPRNPAVIADNVGDNVGDIAGMGSDLFGSYAESSCAALVVASISSFGIDHDFTGMCYPLLVSSVGIIVCLITTLFATDFFEVKAVKEIEPALKKQLIISTVLMTVGIAVISWLALPAKFTIYNFGTQKAVSNWGLFFCVAIGLWAGLIIGFVTEYYTSNAYSPVQDVADSCRTGAATNVIFGLALGYKSVIIPIFAIAVSIYVSFSIAAMYGIAVAALGMLSTIATGLAIDAYGPISDNAGGIAEMAGMSHRIRERTDALDAAGNTTAAIGKGFAIGSAALVSLALFGAFVSRAGVTVVDVLSPKVFIGLIVGAMLPYWFSAMTMKSVGSAALKMVEEVRRQFNTIPGLMEGTAKPDYATCVKISTDASIKEMIPPGALVMLTPLIVGTLFGVETLSGVLAGALVSGVQIAISASNTGGAWDNAKKYIEAGASEHARTLGPKGSDCHKAAVIGDTIGDPLKDTSGPSLNILIKLMAVESLVFAPFFATQGGILFKYL >Sspon.02G0014880-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:42576524:42585728:-1 gene:Sspon.02G0014880-3C transcript:Sspon.02G0014880-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKARKQKGDGGGAGGGGGATVLHQKLCLSIDMENQLIYGYTEIKVLLAENDTFALHADNMTIRSIMVDGETVEFDYSPHWKNESDQPNWSSISCLKTAADAACSTYTSSLNREATPNLIVSSERSVKSMTEQQLDENSEKHEENSGRLEEHGGKPVQTSYDQIVNGCNGSAVEEAIENSAVEEGKEKEKEKEEENGNEKSKENGNESENEKVKNIKLVHIDYILEKAETGIHFVGNLAQISSLSAMVTCFTRCYEDYLAAPFPLGLYKQIFLPSEMTVLPASLGASMCIFSSDILHDKKVIDQIIGTRIKLAYALAKQWFGIYTSAEEPNDEWLLDGLAGFLTELFIKRYLGNNEARYRRFKHLDQIVLEANCTVCESDVSGATALSSTAASSDLYGTQTIGSYGKVRSLKAVAVLQMLEKQMGPDSFRKVIVAPNRASRTLSTKEFRHLANKVGNLERPFLKEFFPRGCTAKATPDPDSHTNGDPREGDAGWPGMMSVRVHETDGAYDHPVLPMAGEALQVVEIQCHSRLAAKRVWKSKKNTKLDGSDDNIDASTQENRTRVDPEMEYLAEIHFHQPVQMWVGNEAHSRGKASLKPSQREGRDQGEEID >Sspon.08G0022500-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:51037028:51051646:-1 gene:Sspon.08G0022500-2C transcript:Sspon.08G0022500-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to Auxin response factor 1 [Source: Projected from Oryza sativa (Os06g0196700)] MKDQGSDGVTPSPAEGEKKPINSELWHACAGPLVSLPPVGSLVVYFPQGHSEQVAASMHKELDTVPSYPSLPSKLICKLLSLTLHADSETDEVYAQMTLQPVNKYDRDAMLASELGLKQNKQPTEFFCKTLTASDTSTHGGFSVPRRAAEKIFPPLDFTMQPPAQELIAKDLHDISWKFRHIYRGQPKRYLLTTGWSVFVSTKRLLAGDSVLFIRDEKSQLLLGIRRASRPQPALSSSVLSSDSMHIGILAAAAHAAANSSPFTIFYNPRASPSEFVIPLAKYNKALYTQVSLGMRFRMLFETEDSGVRRYMGTITGIGDLDPLRWKNSHWRNLQVGWDESTASERRTRVSIWEIEPVATPFYICPPPFFRPKLPKQPGMPDDENEVESAFKRAMPWLADDFALKDVQNALFPGLSLVQWMAMQQNPQMLATAAPAVQSQYLTSNALGMQDGIGSVSEDPTKRLSMQAQNIGLPNLQAGSKVDHPAITSLAPHQQQPHHVLQQQQVQPLQQSSAILQQQQAQLLQQNAIHLQQQQEQLQRQQSQPQQQLKATACLQSSDQHKLKEQQPSGGQAVSQAQLLNQILQPSSSQLQQLGLPKSPTQCPGLPGLTTMGSLQQSQLTQTPQLQQTAEYQQALLQSQQPQLQQLSQSELQLQLLQKIQQQNLLSQLNPQHQSQLIQQLSQKSQEILQQQVLQHQLGGADAMGQLKHLQQTPLNHTTGSLTPQQLVRSHSALAESEEPSSSTAPSGSRISPINSLSRAQQGSRNLPEMPATPHIEHLLQEIQSKSDNRIKNDIRGSKETVHAPNRHLASDQLDASSATSFCLDESPREGFSFPPVCLDNNVQVDPRENFLIAENVDTLMPDALLSRGMSSGKGICNLPSGQRDHRDVENELSSAAFSSQSFGVPHMSFKPGCSSDVAVADGGMASQGLWNSQTQRMRTFTKDQGSDGVTPSPAEGEKKPINSELWHACAGPLVSLPPVGSLVVYFPQGHSEQVAASMHKELDTVPSYPSLPSKLICKLLSLTLHADSETDEVYAQMTLQPVNKYDRDAMLASELGLKQNKQPTEFFCKTLTASDTSTHGGFSVPRRAAEKIFPPLDFTMQPPAQELIAKDLHDISWKFRHIYRGQPKRYLLTTGWSVFVSTKRLLAGDSVLFIRDEKSQLLLGIRRASRPQPALSSSVLSSDSMHIGILAAAAHAAANSSPFTIFYNPRASPSEFVIPLAKYNKALYTQVSLGMRFRMLFETEDSGVRRYMGTITGIGDLDPLRWKNSHWRNLQVGWDESTASERRTRVSIWEIEPVATPFYICPPPFFRPKLPKQPGMPDDENEVESAFKRAMPWLADDFALKDVQNALFPGLSLVQWMAMQQNPQMLATAAPAVQSQYLTSNALGMQDGIGSVSEDPTKRLSMQAQNTGLPNLQAGSKVDHPAITSLAQHQQQPHHVLQQQQVQPPQQSSAILQQQQSQLLQQNAIHLQQQQEQLQWQQSQPQQQLKATACLQSSDQHKLKVQQPSGGQAVSQAQLLNQILQPSSSQLQQQLGLPKSPTQRPGLPGLTTMGSLQQSQLTQTPQLQQTAEYQQALLQSQQPQLQQLSQSELQLQLLQKIQQQNLLSQLNPQHQSQLIQQLSQKSQEILQQQVLQHQLGGADAMGQLKHLQQTPLNHTTGSLTPQQLVRSHSALAESEEPSSSTAPSGSRISPINSLSRAQQGSRNLPEMPATPHIEHLLQEIQSKSDNRIKNDIQGSKETVHAPNRHLASDQLDASSATSFCLDESPREGFSFPPVCLDNNVQVDPRENFLIAENVDTLMPDALLSRGMSSGKGICNLPSGQRDHRDVENELSSAAFSSQSFGVPDMSFKPGCSSDVAVADGGMASQGLWNSQTQRMRTFTKVQKRGSVGRSIDITRYRGYEDLRHDLACMFGIQGQLEDPYRTDWKLVYVDHENDILLSGMTPGSKFLLLFPSLFSLVHPYHGVSLTCSISDDLKILTCREFVSCVKSIKIFHLLKCSK >Sspon.03G0012090-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:43523049:43556458:-1 gene:Sspon.03G0012090-2B transcript:Sspon.03G0012090-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDFRSSPKVGPTYWDQIQELATISVIKRVLQRLHDILDLEALPSLQVFFTEMSISSSTESSRVGQKQRPLGLLHQMIDDAFKGKRQFLSGKLHNVARAIVDEDFDSVYSKEGVNLDKKDVLSSEKGVLLGHGLRILKQASRTDLASSNVVESSPEHKGSANRYLGPVSTKPSTYLSNFIIYIATIGDIVDGTDTTHDFNYFSLVYEWPKDLLTRLVFEHGSTDAAAKVSDTMGVDFVHEIISACVPPVLPPRTGQGWACIPVIPTICNISSETRLCAIPKSFPAAQGWTAHDSSLSSRQEPLYPLQLNLVKHLAQLSSVRAVLACVFGSSILSGDNESSPTNVKDSTQAPETERSFFEFALEQSERYPTLNRWIQMQSNLHRVSESSVTDKSKVSLHPSKGKFCMKRTREPDSDGESELEEAVISGNTTSSSLESTKHEDTRLEPTTFISFDWENETPYEKAVERLVSEGKLSDALAVSDRCLRNGASDNLLQLLIEQKEDKGQGTGQIHAYGSHNLGNDTWQYCLRLRDKKVAAQLALKYLRSWDLDAATNVLTMCMCHLPENDPMRSEVLHMKQSLQRYGHIMSADDHYTRWQEVEADCEDDPEGLSLRLAAKGAVSAALEVAESASLSIDLRRELQGRQLVKLLTTDPLNGGGPAAASRFLSTLRDSNDALPVAIGAMKLLPDLRSKQLLVHFFLKRTVGNLSDAEIARLNLWALGLRILKEFPSLRDDRLIIAYAKKAISINVSSAPRERRLNISASRAKQKKATTPAKTNFVQSFGNFQREARKAFSWVPRDSGTKTPPKDILRKRKSSGSGGDRSSWEAMPGLQEERTPVYPSEGQERIPFVSAPDEWVLTGDPDKDDATRSSHRYETSPDITLFKALISLCTNESVAAKGALELCMTQMKVVLSSQKLPLDASMDNVARAYHATETYVQALSYAKSLLKKLVGSSDLSSGSERSKEVDDVSVDTGSSSTGSQYLDELSDLLAQADMWLGRAELLQSLLGSGIIASLDDIADKESSSRLRDRLVSGERYSMAVYTCKKCKIDALPVWVAWGHALVRMEHYAQARVKFKQALQQYKGDATSIVTEIINTIEGGPPVDVSSVRSMYEHLAKSAATIFDDSLSADAYLNVLYMPSTFPRSERSRQSRDPIDNQFTSASSYLEDGPRSNLDSVRYAECIHYLQDYARPQMLAFMFRHGHYTEACSLFFPFSQPTTDGETSLSSIPSDPLTTDYGTIDDLCDLCLGYGAMAVLENTIRTITQSPTYHEAHMIQYMNTVLTRICNYCETHRHFNYLYNFLVLKDDHVASGLCCIQLFMNSMSQEEALRHLGHAKTHFEEALSVRDRTIEATKLVLRSARNKSAPGKMTRETVMKYSTRVSYQMDVVKALNSIDGPQWKTSLFGNPTDPETLRRRCMVVETLAEKHFDLAFRMLHEFDLPAVDIYAGVAASLAERKKGGQLTEFLKNIRGTIDDDEWDQVLGAAINVYANRHKERPDRLIDMLISNHRKVLACVVCGRLKSAFQIASRSGSVADVQYVAHQALHANALSALISLCTNESVAAKGALELCMTQMKVVLSSQKLPLDASMDNVARAYHATETYVQALSYAKSLLKKLVGSSDLSSGSERSKEVDDVSVDTGSSSTGSQYLDELSDLLAQADMWLGRAELLQSLLGSGIIASLDDIADKESSSRLRDRLVSGERYSMAVYTCKKCKIDALPVWVAWGHALVRMEHYAQARVKFKQALQQYKGDATSIVTEIINTIEGGPPVDVSSVRSMYEHLAKSAATIFDDSLSADAYLNVLYMPSTFPRSERSRQSRDPIDNQFTSASSYLEDGPRSNLDSVRYAECIHYLQDYARPQMLAFMFRHGHYTEACSLFFPFSQPTTDGETSLSSIPSDPLTTDYGTIDDLCDLCLGYGAMAVLENTIRTITQSPTYHEAHMIQYMNTVLTRICNYCETHRHFNYLYNFLVLKDDHVASGLCCIQLFMNSMSQEEALRHLGHAKTHFEEALSVRDRTIEATKLVLRSARNKSAPGKMTRETVMKYSTRVSYQMDVVKALNSIDGPQWKTSLFGNPTDPETLRRRCMVVETLAEKHFDLAFRMLHEFDLPAVDIYAGVAASLAERKKGGQLTEFLKNIRGTIDDDEWDQVLGAAINVYANRHKERPDRLIDMLISNHRKVLACVVCGRLKSAFQIASRSGSVADVQYVAHQALHANALSVLDMCKQWLAQYM >Sspon.04G0027360-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4C:60899196:60900486:1 gene:Sspon.04G0027360-2C transcript:Sspon.04G0027360-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASNGGAPLVVFDFDKTIVDCDSDNWVVDALGATSRFDELLRHLPWNHAIDAMMGELHAEGKTAEDIRGTLRTAPLSPHVVAAIKTAYALGCELRILSDANGFFIDAILAHHGLAAYFSGTDTNPAHVDAAGRLRIRPYHEFGAGAHGHGCALPTCPPNMCKGKVMERILRQEEEEAAAAAAAAGTAKTRRRRAVVYLGDGRGDYCPSLKLREGDYVMPRAGHPVCDLIAASPPAAAVRGWAGFEDLARVLLGIVDGEIARAAAAAEEDAGAAGAGVGVGVVVVPADCRAPLPLPARQEALMPQA >Sspon.05G0001900-3C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:5C:6316900:6317283:1 gene:Sspon.05G0001900-3C transcript:Sspon.05G0001900-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin-responsive protein IAA33 [Source:Projected from Arabidopsis thaliana (AT5G57420) UniProtKB/Swiss-Prot;Acc:Q9FKM7] MSSGGAASASASGSFEQHAKRRPPAGPPPRKLLTLSVQDGDVAAGVVPPVTVVLDGRCICHRVHLNRHTGYRSLAGTLRRMFVDADDHDRQRGGEDDDDLDLANAVPGHVVAYEDLEDDLLLAGDLKW >Sspon.03G0024530-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3A:74667417:74675585:-1 gene:Sspon.03G0024530-1A transcript:Sspon.03G0024530-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MESFSFLKYLRGGVVAGAQRAPAAAAATTTIAASASASACEDGGGGDGNDDDASFFDLEFAEPGDESAASDAEEERVEFNFAVAAAGGEDVVAPGAAEAVAVDAPPADAEAETEAEAAVVPPPATLLRPATRFRVLLL >Sspon.01G0058080-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:20673158:20689181:-1 gene:Sspon.01G0058080-1D transcript:Sspon.01G0058080-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSGDQLFVSVKLESPRLAELDLAPHLFGSHPVAGSWDPCKALPLERAATAVWELSYVMPSQHKSLDFKFVLKRKDDSSQYIIEEGLNRPLGCQSNEFEMRTAVFKLNEGKEVLECKVQVETEMLSPFDLAASWRAHQEYFQPSRVRGTHDVTINPGLEGRAKNGFASGLELDLEKYVVPTPNMGSGVSAIGGHAPSLEEQRAMFVDRGVGSPNFARPTKETFSMSNFKLDSESKGMPAAEGAVAAAAVADQMYGPKEDRKLAIVLVGLPARGKTFTAAKLTRYLRWLGHETKHFNVGKYRRLKHGTNQTADFFRGDNREGVEARNEVAALAMEDMLSWMQEGGQVGIFDATNSTRIRRNMLMKMAEGKCKIIFLETLCNDQDVLERNIRLKVQQSPDYAEQTDFEAGVQDFKERLTYYEKVYEPVEEGSYIKMIDMVSGKGGQLKINDISGYLPGRIVFFLAGELYSRKLASFVEKRLKSERTASIWTSTLQRTILTAHPIIGFPKIQWRALDEINAGICDGMTYDEIKKRKPEEYESRRKDKLRYRYPRGESYLDVIQRLEPVIIELERQRAPVVVIAHQIPLHTIIEIQMGVSGVQEKRYKLMDAIHPTAGL >Sspon.01G0011350-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1A:31079617:31080036:1 gene:Sspon.01G0011350-1A transcript:Sspon.01G0011350-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAPGASKDGGVPRPARRLSRAELVKRWEDVFDNVGDEEAMELFMTQLLRDARAEYAQQERKEEEERRARVFEPWQPPPPGSAPPPVAPAPRVERTVVLALRAPPHLLPRRKHERTTTPPSAPAADLGRPAKNARGE >Sspon.01G0002570-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:7872735:7878729:1 gene:Sspon.01G0002570-3D transcript:Sspon.01G0002570-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Xyloglucan galactosyltransferase MUR3 [Source:Projected from Arabidopsis thaliana (AT2G20370) UniProtKB/Swiss-Prot;Acc:Q7XJ98] MDKGGGKPASSRLCFLATLCAMFWVLIFYFHFAVVSNDPAASAAQATGVRIDRSRIHIPERDGASRVDVPLAPPTRASEPPPPPAAVARPKEAEAEAEAEDELAAPVRREEAPPKMAASYPFERALKTAENKSDPCGGRYIYVHDLPPRFNEDMLRDCEKLSVWTNMCRFMSNAGLGPPLGNEEGVFSNTGWYGTNQFSVDVIFGNRMKQYECLTNDSSIAAAVFVPFYAGFDVARYLWGYNITTRDAASLDLVEWLMKKPEWSVMGGRDHFLVAGRITWDFRRLTEEESDWGSKLLFLPAAKNMSMLVVESSPWNSNDFGIPYPTYFHPAKDADVFLWQDRMRSLERPWLFSFAGAPRPGDPMSIRGQLIDQCRSSSVCKLLECDLGESKCHSPSTIMKMFQSSLFCLQPQGDSYTRRSAFDSMLAGCIPVFFHPGSAYVQYTWHLPKNYTRYSVFIPEDDIRSRNASIEERLKSIHPDVVKQMREEVINLIPKVIYADPRSKLETLKDAFDFSVEAIINKVTKLRRDIIAGQEDKDFIEENSWKYSLLEDGQRTIGPHEWDPFFSKPKDKGGDSSGSSAEAAKNSWRSSCSPAEVGFMKAEHCSVVWDSKFWPHASKAVVSSPSIGSRHPAEN >Sspon.02G0025260-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2C:93167592:93168344:1 gene:Sspon.02G0025260-2C transcript:Sspon.02G0025260-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPQARTGSAHSPTTSSSSSSSSSSSAPAPPPRQASSPTAGAASGLSSRCSASLPSLSPTGCATPSTPMRCLSAALFVGADGATPESLAVWLPAAARRVSGDLTLYSHRPAKDEEEDQAAQRGAFEFPCFKKATSISLVLAFQGLAVPPTGVFTRLTQLHLSHVWFHGPGDLGDAVSLPRCPCLQRLTVDDSRGLCDLALHSDSMLLMELRFLRALSQLTIVAPVLMELTVALCFSKVDLSPTSRPLRW >Sspon.01G0052850-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:27081325:27086839:-1 gene:Sspon.01G0052850-1C transcript:Sspon.01G0052850-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPPTNSAISLAYAPSMMLGAGALTNPPLLPFDGFTDEDFLAADAGLLGGAGGADQTLLLLPSCPGTNGGGGGGGGSSSALACGEVTTAVAFGSFSLAGQPAPGQQVSWEVTTVVAEDFSPSPPSPALPLVQTTSQRTSIYRGVTRHRWTGRYEAHLWDNTCRKEGQKRKGRQATEQEAAEAYDIAALKFRGENAVTNFEPSRYNLLAIAQREIPILGKKLIQKPAPEAEDQATLSAPSFSQSQQSSNSLPPYFLTNLLQPLPSQPSLAQPLPSYNNFGFGEPSFYRPCPCPCPWGNPEQK >Sspon.05G0028960-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:74226340:74231031:-1 gene:Sspon.05G0028960-1B transcript:Sspon.05G0028960-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMACIFVATVVSREEHAYQGFFVVSSTIVSCVTAWLTFGRENWQGSLWNMTGVEAALASGLLKVAGNKLGSLISSEFAAITGVQKDLSDLQDIHTEITNWLSAVRDRAIERQPSLRWVMKLRNLGNDIYDLLDEVWLEDEKHKIHNDRGKHAFADLCAKPKLFMFRRKVAHKIKAIKVTFDEIVKQRTNANTILHSLQVDQPVQNRNYRAAGEQVKIPSRDHLKTEIISKLLESNNGEYGHIISIVGLGGSGKTTLARHICQDDQIKGHFKESIFWVHVSQEFCGEKLIGKLFEAIIEEKSNPHAQQHMLRAISNKLSGKKFLLVLDDAWHENRHDWENFTVHVNNGAPRSKILLTTRNQNVAKAVESKLVFNLPFLSEDESWSFLLKSSGWIEDDLDSDFITVGKDIIKKCGGVPLAIKTLGSVLQEKRRINTWRAIKESNLWNNENLEDRVFASLKLSYIHLEDHLKQCFTFCSMFPKGYQFSRDYLIEQWIALGFIKSMKEEQPEDIGSDYYNSLVKGGFLQEHPEIEAYEIRRECWMHDLVNDLAQYILQNEVVTSIPESITKDCARQCRYLSLTSLNEDVERGFEKVRALYVAEGNPSFPNLVKKSGHIRSVILDYKSSTPFPSFVLKLHYLGYLEIHNASFTKFPEAISECWNLQSLHFIKCNGFVTLPESIGKLRKLRTLELKGITDLESLPQSIGEFNNLQTINLSICGEFQALPSKSLCHMLRTLDLSFTNIVMLPQWVTTTSTLECIDLQYCYELVELPKGIGNLKRLRVLNIEGCDKLRSLPSGLGQLTCLRKLGLFVVGKDDDARISELQNLDKLSGYLEIRNLTCLKDLEDTGKSYLKHMSNIQSMLLDWSLSETEEELLCDMEKEQGILRALEPPPQIKDLKINGYQGSCLPRWMMAQKDPCFCEAIMLKQTGPCQFLSLTQLRLENCPNLKQIRGLWVLPSLKSLFLYVMANLEEVWTVTSDFENSEEEIRGQCCFPALSYMDISGCPRLNVKPYFPPSLKKLQLRNSNMQVLSPVSFSQMLPPGVNESSSYSMHSAVSHLKELELTNMMMGSSSSWELLQQHTQLETFSIDGCDGMTQLPESIRSLTSLQELSTRRCSTFGLLPDWLGELCSLRSLYVGATPMMPSLPQSTKHLRSLVTLEIGGSDNNLKQLPDVIQHLTSLEYLNLMEFKALTELPEWIGQLTALHELWIQLCPALECLPQSIQRLTALQSLYIIHCPALERRLKRDVGPDWHLVSHIPHVHTPRYEWSVC >Sspon.01G0044450-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:82727093:82728573:1 gene:Sspon.01G0044450-1P transcript:Sspon.01G0044450-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MIHATAAETLRGSFEISLTANLPRRKIWWTPHRNPYTWQAAASPHPETIQINGPDRTCRSAHQPTTRQWLALAVSQGHGSGRARGPAGAGRADAGVPMPLPLRVPAARLLLARGKTTAAQHVAARHLDHTFERLAAAHLPLVAASPLIDALRASPEPLALPNLARRLPLRLHRRGPLHFLRLFPRVFHLRAPLPLSLSLTPAAAGLLAVASSPADAARTLHRLLAMSVTRSLPLRAVFRVWRELALPDDFEDSVVEGHPHLFRLAPNPDEPNTHILHLVADPVTEDFTPAVEKTRPDRYAFKLQFPPGFRLTKEYRKKVKEWQLLPYTGPYQAVSPRAGGSKRVSKLARRKMEKRAVGIAHEFLSLTVEKMVEVEKFSQFRKWFGIEVNVRDVFLDHPGIFYLSAKGKRHMVFLREMYDRGKLVESNDVSEARTKVVELMLLRRRGLGNANSSANMASGATADARESGDDFVEHEDCLLDELEK >Sspon.04G0019590-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:69163330:69164184:1 gene:Sspon.04G0019590-1A transcript:Sspon.04G0019590-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRQADEAWHGDVDLDGVALEADEGQCICATVVAKWKGFNYSRGYGEIPASLFTLPMLGYLDLSENQLSGPIHEFDGACSCLRSVYLEMNNLTGQIPQSLLVLPNLTELDIEGNNLMGSVDLASLWGEKLTSLCLSYNKLTVIEREDITILHLPIPISLWS >Sspon.02G0015180-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:42779849:42791387:-1 gene:Sspon.02G0015180-3C transcript:Sspon.02G0015180-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AMSRGRARRPVPGSVDIRAGALLLLLASAVLQAAASSSEAVDYGAALSKSLLYFEAQRSGRLPHNQRVPWRGHSGLTDGLQQGVDLVGGYYDAGDHVKFGLPMAFTVTMLAWGAIDFADDVAAAGEWGHTLEAIKWGTDYFVKAHTEPFVYWAQVGDGDTDHYCWQRPEDMTTSRQAYRIDKDNPGSDLAGETAAALAAASIVFRRSNPHYSHLLLHHAQQLFEFGDRYRGTYDSSIAEVRSYYASVSGYQDELLWAALWLHRATGRDDYLRYAVDKAESFGGVGWAMTEFSWDVKYAGVQVLAAQLLLEGDPGALKHRSVLEQYKAKAEHYLCACLGRNGGNGSDNVERSPGGMLYVRQWNNLQYVSSAAFLLTAYSRYLSDSDASSGGGLLRCPAGGAPAAPSDLLALARSQADYILGRNPLRLSYMVGYGRRYPVRVHHRGASIVAHKANSHFIGCMQGFDDWFGRGRPNPNVLAGAIVGGPNCRDEFRDDRGNYMQTEACTYNTAP >Sspon.05G0008270-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:25180478:25181284:1 gene:Sspon.05G0008270-1A transcript:Sspon.05G0008270-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ASSDEETSRLFRIRRTVYEMLRDRGYTVSEEEINLPRNVFIDRYGNPVRRDDLAMNAMKLNDGSSDQVYVFYPNEAKPGVKTIRSYVEKMKQENVFAGILVVQQALSAFARSAVQEVSQKYHLEVFQVAELLVNIKNHVLVPEHVVLTPADKKTLLERYTVKETQV >Sspon.02G0052430-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:92066237:92070896:1 gene:Sspon.02G0052430-1C transcript:Sspon.02G0052430-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRARSTSSPSDPRRPMIIFYHRVVPSNEMVKSEFAFLAKGPKALPCITIRNSSIGNPPIALSIVSVSLLAVQSLSDISPLFLTGLLEAVVAALFMNIYIVGLNQLFDIEIDKVNKPTLPLASGEYTPVTGVAIVSVFVAMVTMLSVWKKLVLCLMFYFDFTFLDIFDIDMPKIVAQSKCSCHYLNYLKKLQFMSLVLQLPYLRWKRFAVVAALCILATFVFRRPAAFSRPLIFATGFMTFFSVVIALFKDIPDIEGDRIFGIRSFSVRLGQKKVFWICVGLLEMAYGVAILMGATSSSLWSKTVTIAGHSILAAILWSCARSVDLTSKAAITSFYMFIWKLFYVEYLLIPLVR >Sspon.02G0038270-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2B:40721561:40721842:1 gene:Sspon.02G0038270-1B transcript:Sspon.02G0038270-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSWWSGCVVVWWLRMLMISRMLSRIRSRIRVECRRRASAFKEREEPSGESSRLSSGVLHAYQLDCLRGEGFWMIKILRLQSSSSTVAWTAGR >Sspon.07G0033930-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:58192547:58214395:1 gene:Sspon.07G0033930-2D transcript:Sspon.07G0033930-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAAMAAHRASFPLRLQQILAGSRAVSPAIKVESEPPANVKAFIDRVINIPLHDIAIPLSGFRWEFNKTYISSRKDLLLSDDMTEADPMPKNAILKILRVMQIVLENCQNRSSFTGLEHLKLLLASSDPEIVVAALETLVALVKINPSKLHMNGKLISCGSINTHLLSLAQGWGSKEEGLGIYSCVVANEGNQQGGLSLFPVDLENKYGGTQHRLGSTLHFEYNLGPAQYPDQTNDKGKSSNLCVIHIPDMHLQKEDDLSILKQCVDKFNVPPEHSDAHDELTYFFTNEPEYINELIRLVRSEDSVPGPIRALAMLALGAQLAAYASSHERARILSGSSIISAGGNLKSEEDHLYSQKRLIKALLKALGITQSSGGMPIALHSTMVFKGFTQHHSTPLARAFCSSLREHLKSALGELDKVSNPFEMTKIEKVAIPSLFIVEFLLFLAASKDNRWMNALLSEFGDASREVLEDIGRVHREVLWKISLFEENKIDAETSSSSSTSEAQQPDLSASNIVMSIMRHVCSGVEVKNTVGNGGARLAGPPPDESAISLIVEMGFSRARAEEALRQVGTNSVEIATDWLFSHPEEPQEEDDELARALAMSLGNSDTPAQEGDNTAAREVASKAGFVKVALDLLCRWELEPRESGMNEMLQLEPKLPDVTELDVLKRDNSNTKTSLDREKERSKEKDKDKSVDKDKATGAVTKVVSGDTAAGSPANGQGKQSDLNSRNVKSHRKPPQNMDIDCSSAKGKGKAVSVPPEESKHAIQDIFLDFTDSSAAYKGPVPRMNVYVDLLNDILSARSPTGSSLSAESTVTFVEVGLVHSLSTMLQVLDLDHPDSAKIVTAIVKALELVSKEHVHSADNAKGENSSKIASDSNNVNSSSNRFQALDMTSQHTEMVTDHRQTFNAVQTSQSSDSVADEMDHDRDMDENLVEMAFSDRNHESSSSRLDAIFRSLRSGRNGHRFNMWLDDGPQRNGSAAPAVPEGIEELLISHLRRPAQQPDGQRTPVGGTQENDQPNRGSDAEAREVAPAQQNENCENTVNPVDLSESAGPAPPDSDALQRDVSNASEHATEMQYERSDAIARDVEAVSQASSGSGATLGESLRSLEVEIGSVEGHDDGDRHGTSGASERLPLGDIQAAARSRRLSGNAVPVSSREMSLESVSEVPQNPDQEPDQNVSEGNQEPIRAVGADSIDPTFLEALPEDLRAEVLSSRQNQVTQTSNDQPQNDGDIDPEFLAALPPDIREEVLAQQRTQRIQQQSQELEGQPVEMDAVSIIATFPSEIREEVLLTSPDTLLATLTPALVAEANMLRERFAHRYHSSSLFGMNSRNRRGESSRRDIMAAGLDRNTGDPSRSTSKPIETEGAPLVDEDALKALIRLLRVVQPLYKGQLQRLLVNLCTHRDSRQALVQILVDMLMLDLQGFSKKSIDAPEPPFRLYGCHANITYSRPQSSDGVPPLVSRRVLETLTNLARSHPSVAKLLLFLEFPCPSRCRPEAHDHRRGKAVLLEDGEERKAFALVLLLTLLNQPLYMRSVAHLEQLEASSEKPSAPENAVQDGKDNSISESYGSKSNPEDGSKAPAVDNKSNLQAVLQSLPQPELRLLCSLLAHDGLSDSAYLLVGEVLKKIVALAPFFCCHFINELARSMQNLTLCAMKELRLYENSEKALLSSSSANGTAILRVVQALSSLVTTLQERKDPEQPAEKDHSDAVSQISEINTALDALWLELSNCISKIESSSEYASNLSPASASAATLTTGVAPPLPAGTQNILPYIESFFVTCEKLRPGQPDAVQEASTSDMEDASTSSGGQRSSACQASLDEKQNAFVKFSEKHRRLLNAFIRQNPGLLEKSFSLMLKIPRLIDFDNKRAYFRSKIKHQYDHHHHSPVRISVRRAYILEDSYNQLRMRSPQELKGRLTVHFQGEEGIDAGGLTREWYQSLSRVIFDKGALLFTTVGNDLTFQPNPNSVYQTEHLSYFKFVGRVVGKALFDGQLLDAHFTRSFYKHILGVKVTYHDIEAIDPAYYKNLKWMLENDISDVLDLTFSVDADEEKLILYEKAEVTDCELIPGGRNIRVTEENKHEYVDRVAEHRLTTAIRPQINAFLEGFNELIPRELISIFNDKELELLISGLPDIDLDDLKANTEYSGYSIASPVIQWFWEIVQGFSKEDKARFLQFVTGTSKVPLEGFSALQGISGPQRFQIHKAYGSTNHLPSAHTCFNQLDLPEYTSKEQLQERLLLAIHEANEGFGFG >Sspon.03G0016880-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3C:74430917:74436101:1 gene:Sspon.03G0016880-2C transcript:Sspon.03G0016880-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GYLVGNPVTGERIDDDSKVPYAHGVGIISDQLYELIDECSKPHILYKKCIYVSPGKNDEPARRILNEIEGLKRPPPRPPMDCQSYVNYLSYFWANSIDTRDALGIKKANYVLRVYTAQGTKDEWVRCHDGDLPYSKDIRSSIKYHRNVTLKGYRALVYSGDHDIVVPFLGTQAWVRSLSFSIVDDWRAWHVDGQSAGFSISYSNNLTFTTVKMDTSRDHLSVFVNDQEAASEQKSASIRGGGHTAPEYQPERCLAMFTRWISNKPI >Sspon.02G0044820-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:104394865:104397394:1 gene:Sspon.02G0044820-1B transcript:Sspon.02G0044820-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDSTAGSLHLGFVEEVEQPMEIEGTGSRSAEAIDAGRGKKRPHSACCDEDSSKSSAIDADDEWLVSSSEEGDDYGNQGMCSPFTVDNFPRASWDHDNQSELVFANPETKRRGPSPIMLFPPFKTGRHLFDSEYNLNDKSEMSLTNVEDCLKECCCLPMDLIQFIDVKIAGYQHSHPGRAKIFGFVAARDRINPLRNYIYRRAIDNCEAVPVNRKTGMARLSLTSPTRVISMSSYALIEFELHAQSEDETNGDDGPIIEGCTELDNMYESRSFIEHQRLYGE >Sspon.03G0004010-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:10196018:10200082:-1 gene:Sspon.03G0004010-1A transcript:Sspon.03G0004010-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGELIKQMVNIYSLPKLLPYVLEGLRSKNNRTRIECVDIIGYFIDHHGTEVGGLMKNLPSVAALTAERDGEIRKAALNTLATAYKNLGDDVWRYVGKLSDAQRSMLDDRFKWKAREMDKRREGRPGDARAALRRSVRENGDFGYADAHMDRHMVTRQIPAATGPADWREALEIVALGLPEQSVEGMKVICHELTQAADPESMVLEDLIKEADRLVSCLAVMVPKTFNFSLSGASSRSCKYVLNTLMQTFQIKRLAHAVKEGTLDNLITELLLWLLDERVPLMDDGSQLLKALNVLMLKILDNAERTSSFVVLINLLRPLDPSRWPSPTPAESLAVKNQKFSDLVVKCLIKLTKVLQSTIYEVDLDRILQSIHIYLQELGMEEIRRRAGADDKPLRMVKTVLHELVKLRGTAIKGHLSMVELAKPPLPTSFFVQPFFFFLLSNITLAAARMLTPSGPMGQTHWGDAASNNPNPSSHSADVQLKQELAAVFKKIGDKQTCTIGLYELYRITQLYPKVDIFAQLQNASEAFRTYIRDGLAQVEKNAAAGRTPSSLPLSTPPPIAAIPSPKFAPSPVHTKSIGSKTDYNEDNASGETQPFRGQGDATDQQTDRYQTSGPHPTLPQCSCMHSYICYQCLCDLIIGIIISLPAGTLDALRERMKSIQAAAIGHFDGAQARPLASMNGSNMLHGGTRLDGEPQQQSNIPPMDERALSGLQARMERLKSGSMESL >Sspon.02G0023990-3C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2C:86875267:86875620:-1 gene:Sspon.02G0023990-3C transcript:Sspon.02G0023990-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGQERRRWRGAGSGDEEESSHGSSGGGGGVELSLRLRTGAADDDDDGAASAPLPPPPAVEARKNMTIFYNGRVCAVDVTEVQVINLIITTASCLAQSIHSFIEARHRISVGDPTT >Sspon.08G0000450-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:1706396:1711813:1 gene:Sspon.08G0000450-1P transcript:Sspon.08G0000450-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGLSEGEKHFIRGGIAQDLRTDGRRRLQFRALSVQTGVIPQANGSARVRLGATEIIASVKGRGSEELSAELSVSLQRCLLGGKSGAGAAIDLSSLIVVEGKVCWDLYIDGLVVSSDGNLLDALAAAIKVALSDTGIPKVNVSVSAASDEEPEVDVSDEEFLQFDTSSVPVIITLTKVGRHYIVDATSEEESQMSSAVSVSVNRHGQICGLTKRGGAGLDPSVIFDMISVAKHVSQQFISLLDSEIAAAEAEEAE >Sspon.07G0006050-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:15689973:15690167:1 gene:Sspon.07G0006050-1A transcript:Sspon.07G0006050-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYYAYQHSNSSGNLSSKEKRPPLKRGQLKRQIVRTISNLVVPRNAAAGGAASREKFSRGPSYN >Sspon.05G0000700-2T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:1900299:1903387:-1 gene:Sspon.05G0000700-2T transcript:Sspon.05G0000700-2T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFFGDDGADDLPRTTSHPFDSDDFGAAAADGDGAGGYASFAEGGVEEVDEEITVESDGVPIRHVSGGYSPSPFSPELEPNGGDGPILPPPTEMREEGILLREWRRKNAIELEKKEQKEKELRAQIIAEAEEFKKAFFEKRIQNCASNMVNNREREKIFVASQEKFHANADKQYWKSISDLIPHEIATIEKRGKKDKDKKPSITVIQGPKPGKPTDLSRMRHILVKLKHAPPPHMLQPPPAPAAKEGAKDGAKEGAKDVAKEAAAAPANGAKQPAESQKNPANGPSEAEKEQPATSE >Sspon.02G0052160-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2C:88535094:88535486:1 gene:Sspon.02G0052160-1C transcript:Sspon.02G0052160-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDCKELFKSCDGIPEIYSKIKSLNGTPEEEDDPRKMFQQGVKLGKQLEQMGEGYRRWEVLKDFWAKKIIHVAASHYTSKQHMQHLENGGEFLTHIWALLSHAGILNLKRGKDQEGQANNPGSGTSAATG >Sspon.05G0005970-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:10823548:10826186:-1 gene:Sspon.05G0005970-3C transcript:Sspon.05G0005970-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVDKIAEPKDPFALTAQKVQSLEPPIPIKASWKGKDSQQQEEKDLPADGEESFRSLDSDDEGGRSSFSGSSHPPEPTDIDIMKTVYVAIDEEKSEPPVCLVRGVSVKGPFIDDLSIRVTSTKANLVVGAGSAEGMAEERKVSASAVASVATARSSQATSLPQDSEEKECVWDASLPPSGNVSPHSSIDSMGVVTAMSTLHSCASTYKSEAIAGEATLSVERNCESVKGVRGDLLESAKTSMSRASDSSGVSDDSSWSHITGGASKPHKGNDPRWKAIHAVRTRDSVLGMSHFRLLKRLGCGDIGSVYLSELSGTRCYFAMKVMDKASLASRKKLNRAQTEREILQLLDHPFLPTLYTHFETDRFSCLVMEFCPGGDLHTLRQRQPGKHFSEYAASNMECPDSVQVLCRRSTSSSGVYTHVGSVYRDLKPENVLVRDDGHIMLSDFDLSLRCAVSPTLIRTSAFDSDPRRAGGSFCVQPACMEPTSACIQPACFLPKFFGQKNKKKTRKARSELGQNAGTLPELVAEPTSARSMSFVGTHEYLAPEIIKGEGHGSAVDWWTFGIFLHELLYGKTPFKGSGNRATLFNVVGQQLKFPESPSTSYSSRDLIRGLLVKEPQSRLGVKRGATEIKQHAFFEGVNWALIRCSTPPEVPRPVEAELPVKYGVAEAIGSNSKRIVGADVKSGGKYLDFEFF >Sspon.03G0001930-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:4754987:4758483:1 gene:Sspon.03G0001930-1A transcript:Sspon.03G0001930-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLEDERSKDGEGAAVMEEWQLEPGVVSKLYDVFTVAGLRVDAIEPGRALCSFTVPPRLTRMHGGALASLVDLVGSAVFYAGGSPTTGVSLEITISYVNAARANEEIEIEGTVLGIGEKTGCVVVEVRKKSTGEVIAHGRHTKYLALAISSKL >Sspon.01G0045190-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:86312425:86317634:-1 gene:Sspon.01G0045190-2C transcript:Sspon.01G0045190-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPGLEGSQPVDLSKHPSGIVPTLQNIVSTVNLDCKLELKAIALQARNAEYNPKRFAAVIMRIREPKTTALIFASGKMVCTGAKSEQQSKLAARKYARIIQKLGFPAKFKDFKIQNIVGSCDVKFPIRLEGLAYSHGAFSSYEPELFPGLIYRMKQPKIVLLIFVSGKIVLTGAKVREETYTAFENIYPVLTEFRKVQQ >Sspon.03G0029230-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:12884203:12886787:-1 gene:Sspon.03G0029230-2P transcript:Sspon.03G0029230-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAEEEIAVKEPLDLIRLSLDERIYVKLRSDRELRGKLHAYDQHLNMILGDVEEVVTTVEIDDETYEEIVRTTKRTIPFLFVRGDGVILVSPPLRTA >Sspon.02G0004150-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:12742338:12745290:1 gene:Sspon.02G0004150-1A transcript:Sspon.02G0004150-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTRGAGGGVRLPPMNAMEILRETVRVLRGDTHAFTSILFLLLCPASGCLLLSAAALDGTVVVPLARRLLVAAATSGLPLTHFVRQLAHHLAATLVSAVVSLPALLTLLLAARAAVAYTVAAVYAGKPLAAGDITLLARRAWPRLAATYALGCAAVAAGLVAFLALLVTACSTLRAMLYPPDIVVCAGLFTVLAYSVVYAHTIIICNLGGVIAVLEEVAGVNALRRSVQLMRGQTHVGLLIFLGSTIGLAFVEGLFEHRVKTLSYGDGSSRLWEGPLLVLMYSFVMLIDSMMSAVFYFTCRSSNLDFLDEESGSVEELEMMRAGNLDAISIFGFGWSALSGEDWPSDT >Sspon.01G0055390-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:73504235:73504784:1 gene:Sspon.01G0055390-2D transcript:Sspon.01G0055390-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DGLFPFSLGMRCDACRSAGRAREEGSDGGQQRDLGGAALRVPDGGGAPEPARQGRGHHHRALGRHRPPRRHARRGQGPVAARDGGRHVHGQDELRRRAALVQDVRPQAQHPHPV >Sspon.02G0052080-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:87568375:87569250:1 gene:Sspon.02G0052080-1C transcript:Sspon.02G0052080-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding QPRAGAAVRRGYFLRRQGIRGRGNPCHRLHSYPPRRLRRSQLRVSDCLPAGGLWKDFPFAGFGAMVGAIDTLVVDTIATGYFRRFIGAEASASSSLPTPTVAVEHHHNASNTHERGHHLRAPMLVDAHAGGDHATCLLTHYTLASLVAHVGTAMPALRLLMLRHKSTSATYV >Sspon.06G0005960-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:18435933:18437187:-1 gene:Sspon.06G0005960-3D transcript:Sspon.06G0005960-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVTARAPVAALRPSASSAFLGHSSRLGRTASPTRRSLKAEAKGEWLPGLPSPAYLDGRSVVFLFLFLLWPCMATLASRSAGSRLTDMPMPTCTARRLPGDNGFDPLGLAEDPENLRWFVQAELVNGRWAMLGVAGMLIPEVLTKAGLINAPQWYDAGKSEYFASSSTLFVIEFILFHYVEIRRWQDIKNPGSVNQDPIFKSYSLPPHECGYPGSVFNPLNFAPTLEAKEKELANGRLAMLAFLGFLIQHNVTGKGPFDNLLQHLSDPWHNTIIQTLSS >Sspon.07G0021520-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:3332707:3337641:1 gene:Sspon.07G0021520-1B transcript:Sspon.07G0021520-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEALVGWLVCPIIKIVMDKAKSCASDRIKWLGDGVPKALKRMEHLLDQLRAVARAVQRRGSPDRCGDPDLRAWLQQLMDAVYEAQDVVDDFDDSVPPPESSVARVSKRIFGTDERVNRLNDVVDKLEAIFKASSTLILTAEASASASREQSGHLPPLGRFTASLRHPEHVVFGRDRELQNMVSWLVGTGSDAQAAPVPIAAIMGHGGMGKTTLAQLLLEDQNVISTFQIKIWVQPAPTDNELELAKKILLGADVGVDALDGLTSFDLLVKKIKEKVSLRKFLLVIDDVWNKENMNQHEYREMWSKVLSPLRHGERESRIVVTTRQKMVANLLSASKEVWLGDLPADDIWSLFKRWAFGGEDIDSQSSALLDIGRKIAQKLKGSPMAAKAVGQMLEGNRSVSHWRKVLEMDSFDNVSKTLELCYQSLPGHLQPCFAICSLFPKNWRFKRDKLVKIWMALGFIQAADGETQLEDLGSDYFDQLVARSFFHRQKVGRRRYYYIHDLMHDLAEKVSRFDCVRVEDAKKEIPKTIRHLSVSSDTVARLKSRCELKRLHTLLILKNPSSSLDQLPGDLFTELKGLRVLGLEGCNIIRLPERIGNLKYLRYLALCKSITKLPQALTKLYRLQTFSSPKGSGLEVPEDIVNLTRLRHLDMDTSKINGIGKLVHLQGSVKFHVKNEKGHTLGDLDGMSSLRKELHIKNLDVVTKQEEACKAGLNRKENVKVLELEWNSTGKSVPSVEAEVLDGLEPHQYVKRLIIRRYHGNRSPNWLSTSLKERNFFKYLHLINCRKWEVLPPLGQLPCLKVLHLKEMCSVKKIGREFYGTNPIAFPHLEELEFDDMPQWVEWTQEEKSTDMFPKLRKLKLLNCPELIKVPQLPLFVRKVSVKNTGFVSQLKLSSSSSPSKARKFALDTCSATVLTNGLMYKQQLESIAILTLRNCQDVKFEELHSKVQVTAQEVDALVPKECVAFIE >Sspon.02G0026130-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:90793561:90799099:-1 gene:Sspon.02G0026130-1A transcript:Sspon.02G0026130-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDASTCDTKVVISEDRVADKSLAATTMADTKTVVCEEAIGSSKVNEGRYDQAISIFDQILRETPTYPEALIGRGTAYAFQRELDSAISDFTKAIQSNPSAGEAWKRRGQARAALGEFKEAIEDLTKALEFEPNSPDILHERGIVNFKFKDYNSALEDLSTCVKRDKKNSSAHTYLGLTLSSLGEYKRAEDEHLIALKYDESFLDCWAHLAQLYLDLAYPEKLLNCLEKAIQVDSRSAIKELSIALTYEGSSIECLYLRASCHHAIGEYKAAIKDYDDVLDLELDSMDKFVLQCLSFYQKEMALYIASKANLEFSQFNIDDDVDPLFKVKIIPCACCNSFVVHQT >Sspon.02G0047110-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:12317446:12319639:-1 gene:Sspon.02G0047110-1P transcript:Sspon.02G0047110-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRGVASTREDMTLEEFKEWLKQFDTDGDGRISRNELREALRRRGGWFTTWRSGRALRQADKNNSGFLDDSEIENLVAFAQKDLGMKISTCGKANMGKGQKNLFVKQGI >Sspon.02G0044670-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2B:103590262:103591722:1 gene:Sspon.02G0044670-1B transcript:Sspon.02G0044670-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSCIAGLLLLAACSHAAAVGLATPVEYQHHSYAVTPLSPHAYSALAPADDDGAHAQEDDVLAVSSSALHVRLLHRDSFAENATPAQLLARRLQRDELRAAWIISKAAANGTRVVGLSSGRGLVAPVVSRAPTSGEYIAKIAVGSPGVEALLAMDTGSDLTWLQCQPCRRCYPQSGPVFDPRHSTSYGEMNYDAPDCQALGRSGGGDAQRGTCIYTVGYGDGSRTVGDLVEETLTFAGGVRQAYLSIGCGHDNKGLFGAPAAGILGLGRGRISIPHQIAFLGYNASFSYCLVDFLSSPATSSLSSTLTFGDGAAGGSPPPSFTPTVQNPNMVTFYYVRLVGVSVGGARVPGVTERDLQLDPYTGRGGVILDSGTTVTRLARPAYIAFRDAFRAAAADLGQVSIGGPSGFFDTCYTVGGRGVKVPTVSMHFAGGLEVKLPPKNYLIPVDSRGTVCFAFAGAGDRSVSVTGNIQQQGFRVVYDLAGQR >Sspon.08G0019430-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8B:12082850:12083127:-1 gene:Sspon.08G0019430-1B transcript:Sspon.08G0019430-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RVERAVGAGVEGPAAAAELLGARGEPSLERAEVVGQLLGLRVSSVQEDVGREGKGVGGGGKRLAMACSAGGGVWPTGGNSCGGWEGWRKRT >Sspon.03G0011700-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3B:39234888:39247630:-1 gene:Sspon.03G0011700-2B transcript:Sspon.03G0011700-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQTNWEADKMLDVYIYDYFVKRNLQATAKAFQAEGKVSSDPVAIDAPGGFLFEWWSVFWDIFIARTNEKHSDVAASYIEICPNFHRLLHVDGTAFAAVDPSSGIGSTPSSSVGAMSSLQISDLQQQPCYGEDLCASIRDIMAKIQVEIDKLQQIEKMEIAREQLSKKSACQVSAVVRMQAATRGLRVRRCASPREGLDLVRCVGDLGHAVSPADGVCGGSGWGGASLLAILHRKPSSLPCVLRTSSRPAGRRHGVTGRRALHSAAAFRPPRGRLCWLLLWHGHLAAFLVMRMLTAQTGGAPFSSARLAAVAVPSSSVRMGLGLGSCWMWWPSCTQLIKAKEQHQQPPQQQQQQQQQQIQMQQMLLQRAAQQQQQQQQQQRRDGSLLNGTASGFSGNDPLIRQNPANANSMAAKMYEERLKLPPQRDSLDEASIKLQQRYGENVGQVIDPNQALMKAAATGQSSGQILHGTASGLSGTPQQVQARSPQPPTAEQNIRTEINPVLTPRAAGTEGSLIGLQGHGSVAVAGAAEGSRARHSILFPTRGCKEGLDHFRSGILQQKPFMQSPQQFQQLQFLTPQQQQQLLLHAQQNLSSSMSNDVDTRRLRMLLNNRSVVLGQDGQTNSGGDIIPNIGSPGQSGGSRNDIDILIKQQQSHSQQQQLQQPALSGQQSQSSNQLLHQHGKSGVGSLPVDGNLPNSFGFPEQGSKKRKKPVSSSGRANSSGTANTAGPSPSSAPSTPSTHMPGDAMSMPQLQYNGGPSKPLMMFGSDGNGSLTSPANPLGWVLLRLQKPVQVVLWFTDVLKRKSALEEHSSLITDVRFSPGMTRLATSSFDKTVRVWDADNGGTTQLRFQPRQGRYLAAASEKGISILDAETLQVCRTPLQVGN >Sspon.02G0016740-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:46501587:46503953:-1 gene:Sspon.02G0016740-1T transcript:Sspon.02G0016740-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALGAKMERLSSIDAQLRMLVPGKVSEDDKLIEYDALLLDRFLDILQDLHGDDLKEMVQECYEVAAEYETKHDLQKLDELGKMITSLDPGDSIVIAKSFSHMLNLANLAEEVQIAYRRRIKLKKGDFADENSAITESDIEETLKRLVVDLKKSPAEVFDALKSQTVDLVLTAHPTQSVRRSLLQKHSRIRNCLVQLYSKDITPDDKQELDEALQREIQAAFRTDEIRRTQPTPQDEMRAGMSYFHETIWKGVPKFLRRVDTALKNIGINERVPYNAPLIQFSSWMGGDRD >Sspon.07G0004130-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:9210365:9218958:-1 gene:Sspon.07G0004130-2B transcript:Sspon.07G0004130-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSDAAGGQRSISNCTRGDAAAAAAAMPTSSPFVGGAGSPIRVDRMVREHGRRYDIFASDAMDTDGAEAASASAGAFAVDGVQSPGRASPANMEDAGGAAAGHAARPPIAGSRSGFRRLGLRGMKQRLLVVANRLPVSANRRGEDQWSLEISAGGLVSALLGVKDVDAKWIGWAGVNVPDEVGQRALTKALAEKRCIPVFLDEEIVHQYYNGYCNNILWPLFHYLGLPQEDRLATTRNFESQFDAYKRANQMFADVVYQHYQEGDVIWCHDYHLMFLPKCLKDHDINMKVGWFLHTPFPSSEIYRTLPSRLELLRSVLCADLVGFHTYDYARHFVSACTRILGLEGTPEGVEDQGRLTRVAAFPIGIDSDRFKRALELPAVKRHISELTERFAGRKVMLGVDRLDMIKGIPQKILAFEKFLEENPYWNDKVVLLQIAVPTRTDVPEYQKLTSQVHEIVGRINGRFGTLTAVPIHHLDRSLDFHALCALYAVTDVALVTSLRDGMNLVSYEYVACQGSKKGVLILSEFAGAAQSLGAGAILVNPWNITEVADSIRHALTMPSDEREKRHRHNYAHVTTHTAQDWAETFVFELNDTVAEALLRTRQVPPGLPRQTAIQQYLRSKNRLLILGFNSTLTEPVESSGRRGGDQIKEMELKLHPDLKGPLRALCEDEHTTVIVLSGSDRSVLDENFGEFKMWLAAEHGMFLRPTYGEWMTTMPEHLNMDWVDSVKHVFEYFTERTPRSHFEHRETSFVWNYKYADVEFGRLQARDMLQHLWTGPISNAAVDVVQGSRSVEVRSVGVTKGAAIDRILGEIVHSENMVTPIDYVLCIGHFLGKDEDIYVFFDPEYPSESKIKPEGGSASLDRRPNGRSPSNGRSSSRNPQSRTQKAQQAASERSSSSSHSSASSNHDWREGSSVLDLKGENYFSCAVGRKRSNARYLLSSSEEVVSFLKELASATAGFQSSCADYMFMDR >Sspon.05G0014060-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:51935030:51942197:-1 gene:Sspon.05G0014060-2B transcript:Sspon.05G0014060-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKNSVSERSFLIESDEEENDAAVEDGKRRGHGGAESGDDDDDGSDSSSPCDSPRVVAARCSQPSSYTQQWPQSYRQSIDMYSSVHSPNLSFLGTPSLSRLSNSFLTNSFRGKPPEIISSLIKPLLPTSIASASDDHQQQHEDVRKSSHYLPPSRKASSLQRIPEDQRPMVGGHEVGPYRQCSYTQGVMNGVNVLCGVGILSTPYAVKQGGWLGLVILAVLGALAWYTGILLRCCLDSKDGLETYPDIGHAAFGTAGRIIISACCIEYLILESDNLSKLFPNAHLTIGSLTLDSHVLFAILTALIVMPTTWLRDLSCLSFISAGGVVASIVIVSCLFWVGLVDHVGTVKSEGTALNLPGIPIAIGLYGYCYSGHGVFPNIYSSLKKSNQFSAVLFTCIALSTVLFAGAAIMGYIMFGESTESQFTLNLPPNLVASKIAVWTTELLPPNQQTYPNIMMLRSALVVSSLIIALSVPFFGLVMSLVGSFLTMFVAYILPCACFLSILRSKVTWYQVVLCVFIIAVGLCCAGVGTYSSLSKIIQQYH >Sspon.03G0024310-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:92393549:92401241:-1 gene:Sspon.03G0024310-3C transcript:Sspon.03G0024310-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDATDVQQSMGFMESRGGMPKFFHALGPALLISMGYIDLGKWVAAVEAGSCFGFDLVLLALLFNFTAIVCQYLAACIGTVTGKNLAEICHQEYNQPTCIFLGVQAGLSLLTSELTMIFGIALGFNLLFEYDDLITGICFATVVPNLLPYAISHLAKKMEGTVNACIAGFALLSYVLGLLVSQPQIPLTMNVIFPKISGESAYSLMALLGANIMAHNFYIHSSVVQGQKKSSTVGLGALFHDHLFSILFIFTGIFMVNYVLMNSAAAESTNTFCSSGTMFQDVVELMNQIFVNPLAQTICLVVLLFSSHIISLTSAIGSQVISQHLFGINLPLSGHRLLLKVFAIVPTLYWAKVAGAEGIYQLLIICQIIQAMLLPSSVIPLFRVASSRSIMGAHRVSLHLEILVFLAFLLMLFSNIIFVAEMLFGDSGWINNLKGYTGSPVVLPYTFFVLVACVSVAFSLYLAVTPLRSGSHEAESHEWSVHSQRELLNTQEREDVKVDNVTYEEDQRSDVGPSPRDVPDSHPELAMDYIDTSDTAVESDHDSQQSTAYASTAPETCPSPSFTREESKSVVAVNWPEPLEKVPASTVIEESTVESVVSRITTERDVLVETDVFSGKDKEDMHALESDKSIVDSTPCVSDDGPPSLTFSRGKGSDAGNGNGSLSRLSGLGRAARRQLAATLDEFWGHLFDYHGKLTQEASTKKFGILLGIDLRTPSTA >Sspon.01G0032960-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:111913573:111916939:-1 gene:Sspon.01G0032960-1P transcript:Sspon.01G0032960-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASATAARPLAGAAAVQLPLSARPRTARVALLPLAPVPGQRLALGRTGQDRLPARGRSVRCLAALSPEMRATLDKVVGSSKVVLFMKGTKDFPQCGFSHTVVQILRSLDVPFDTLDVLSNEALRQGLKEYSSWPTFPQLYIDGEFFGGCDITVGLEVSLKAMENANAWPGRFSVRHTRVENCRKL >Sspon.06G0002830-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:6606435:6614416:1 gene:Sspon.06G0002830-3D transcript:Sspon.06G0002830-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEAGPISKVLIVVAMQTEAMPLVSKFKLVEAPAHESTFPKGAPWVRYHGNYKDLHIDLVLPGKDAVFGVDSVGTVSAALVTSFSIQTLKPDLIINAGTAGGFKAKGASIGDVFLASDVAFHDRRIPIPVFDMYGTGARKTFAAPNILKELNLKVGKLSTGDSLDMSPHDEEVIRSNDATIKDMEGAAVAYVADMFSTPAIFVKAVTDIVDGEKPTSEEFLQNLIAVTAALDLAVTRVVDFISGKSISDL >Sspon.02G0006730-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2A:20284303:20285238:1 gene:Sspon.02G0006730-1A transcript:Sspon.02G0006730-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding HLYLILDDWERGYSVYKVDVDAFDPSDAGPESLPEHPVVRFEGRHGRSWYFASHGTKILAMQPSPYPAFPVFDVQTTALAVCPWPGRGGNKLSSKPLFASVAGSLYLLRGGHFDMLSAVAPPPDSTYPEDAGWSWSRVGSPPPFDHCDRIWSFVLHPNGRTFFVSHDRSTFSFDTESQEWVSHGNWFLPFKGEAYFDAELDAWVGLCCHEGGLGYLSCCDVAPAPADLRSLPAWKLGKDQLFDAGSRRHLGATLVCMGSSRYCLVESLARDDEEDLRRLHDDGYYPHRRVLVVTTFGLEYDGQGNLVTTQRK >Sspon.06G0002670-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:5715925:5717715:-1 gene:Sspon.06G0002670-4D transcript:Sspon.06G0002670-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHVSPEDAGQERVMAAAKQILKSLAVSKNAADDMMHFLSTFDPRLHPLSSPETGEEEASGADDDREELEEEIAAAEEVILRWSSSSPSSGGMIADYLYAVDDAIAASGRSARAAAAVHAAMPRLEEEARSLLSSSSSLRRFSLSSDDLGDATPDASPRHDGTLSPTAAASVGAVAARMLRAGYGPELAQVYVATRRDALAESIALLGVEAVAIEEVLRMEWSALDQRMRRWSHAVRAVVRTFLADERRLCDEVFASDEDLGNECFADVARGCVLQLLAFADAVAVSPRATEKLYRTLGMYEALADVRPELEALFADDDAREFFAGEVSSTVQQLGSTVRHTIEEFSHAIHGEASRKPVHGGEIHPMTRYVLNYCSLLADCRGTLDAVLGDDGLDDTATAAADGAAASTPSARCIRELLTLLLRNIDDKSRLYDDAGLQNIFLMNNLYYVVQKVRESAPLRELVGDDWLRRHRGQIRQYETGYLRASWTAVLSQLRRDDGASARPPAGHRAPSGPSAKSFNAAFQELYRTQTAWKVADAQLREELRIAVSERLIPAYRAFLGQGSRHPARHVKCSLEDLEDYMLDFFEGAQKFVRW >Sspon.01G0026170-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:92166368:92176674:1 gene:Sspon.01G0026170-3D transcript:Sspon.01G0026170-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MERSSRPLVSEAAGLSLPHPDNDEGRGRGRDPADDDDSGAAGMGIAAPQYQQTTTYGSQPTKSSAAISASARAATATSMSHSQGFHQGSSGVYGYSSDGFDRPGSSHHQQQQHEHVAQQSRRDKLRVQGFDPAAAAAAGHGLLPLDGDEHGGPGSMYEHAAAAAGASNMLSEMFNFPAPPSGPSATELLASQMNANYRFGLRQQQGPGGVAGLSGGDGGWFGAGAAGRAGLVLGGASMGSLGETSSPKQQQAGSMAGLATDPAAAMQLFLMNPQQQQQQSRSSPTSPPPSDMQHHEAFQAFAPPPGPRQGLSLSLSPSLQQLEMAKQAEELRVRDGVLYFNRQQQQGPSVQQVPMVLHGQVGALGQQLHVGYGPAGVAGVLRNSKYTRAAQELLEEFCSVGRGQIKGGARGGRGASASNPNASNKGGAASSGAAQSPSSASKEPLNCPPPTGGPSMVVNFFDSVMGFGAATPYTALAQKAMSRHFRCLKDAIAAQLRTTCELLGEKDAGTSSGLTKGETPRLRAIDQSLRQQRAFHHMGMMEQEAWRPQRGLPERSVNILRSWLFEHFLHPYPSDADKHLLARQTGLSRNQVSNWFINARVRLWKPMIEEMYQQECRELEGSSAGGGGGGPESGNDPSGADDSHSPTTTGAAQLSQQQQQHGTVPGVMMPHKPDPGAAGPSAADAAFVGIDPVELLGGDAHVGGGADDLYGRFEPGVRVRYGPAAAAGAAAGDVSLTLGLQHAGTGNAGPDGTGRFSLRDYSGC >Sspon.02G0046810-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:8140600:8141877:1 gene:Sspon.02G0046810-1C transcript:Sspon.02G0046810-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSKLAVLTLFALLGSVSCQGGGYYYPSPQPPPSPPSPSPPAPMAAPPPSPGTQLKVGYYRNKCGAYVDVEAIVKKHVKATDAGMQAGLVRLFFHDCFVRGCDASVLIDTFSNDTSLTPEKFGPPNFPSLRGFEVIDAAKAELEAACPGKRRQHRFAMPAGRYDGNVSLANETLPNLPPPFGGFDLLVKMFAAKGLDVSTWDRLPPSNTSDMDPAFAATLQASCASPNGTDNTVMQDAVTPTSWTTSTTRTWWPTRCSSRRTRAHHKLDIEQLVRAYADFVPFLWQNKFAKAMVKMGGVEVKTAANGEIRTNCRK >Sspon.01G0002280-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:71600404:71605143:-1 gene:Sspon.01G0002280-1P transcript:Sspon.01G0002280-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAQLPELTQLERPGAVPVIPIHLKATATHDPPMAEERLEAAAADEYTQDGTVDLHGHPVLRSKRGGWKACGFVVVYEIFERMAYYGISSNLVLYLTTKLHQGVVPSANNVTNWVGTIWMTPIIGAYVADAHLGRYRTFMIASVIYLCVSRRRRRLVGVLFVSASSGMILLTLAVSLPALRPPKCGAGTADPNCVQQATTGQLGVFFLSLYILAVGTGGTKPNISTIGADQFDDTHPRERSHKLSFFNWWMFSIFFGTLFANTVLVYIQDNVGWTVGYALPTLGLAVSIAIFTAGTPFYRHKPTSESPFAKMARVIVAAARKLAVSVPLDPRDLHELDDEYYAKKKTVPLPHTRNLNVLSKAAVKTGTGGEEDRWSLSTVTQVEETKQMLKMLPVLAITFVPSAMMAQINTLFVKQGTTLDRHIGPRFEIPPASLQGFVTISMLVAVVLYDRVFMPFARRITGNPRGISLLQR >Sspon.04G0005570-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:15965042:15971724:-1 gene:Sspon.04G0005570-1A transcript:Sspon.04G0005570-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGETWTVVLAASVVSKSGKATHVPEYSPSLDEEGVCRAAFDLTSAFDEAISLGNKENVTVAQVKQYCEMESHEEKLYKLVMQSKINETRDHMRQRVTEIEKSKIGRGKTENAFGPQRTTNVVNDMNTRGSGLGGDPIFGELFSQKAKAHSSAPTATSKVAGGMKLGKAQKTNQFLESLKAEGELISEDTQQSGIQSRLPSAPPTDPITVAIEEKINATVKRDGGIHNFDIQGTLALQVLNDTNGFIQLQIENQDLPGLSFKTHPYINKELFNSQQIVAAKDPNRPFPSGQNETPLVKWRIQELDESSLPLSVNCWPSVSGNETHVNIEYEASEMFDLHNIAISIPLPALREAPSVRQIDGEWKYDSRNSVLEWSIILIDQSNRSGSMEFAVPAADPSTFFPISVGFSASSTFSDLKVGSVFEIEMGE >Sspon.07G0004020-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:9926970:9929348:1 gene:Sspon.07G0004020-1A transcript:Sspon.07G0004020-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPRRNPQQNPTRRKGEEPWLAASLRPANFLPGLAIGFLLGLLLDLSSSWRPKSSSAPAPRAAAARGSSSKRASGSSFASGGEELKMVLVVRQDLKMGLGKLLSQCAPKIVLTCKNQQEMNRLKETAEHRGIPTFIVADAGRTQVLAGSKTVLAIGP >Sspon.01G0038480-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:22315393:22315914:1 gene:Sspon.01G0038480-3D transcript:Sspon.01G0038480-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GSTGGRPGSAPRRGDHGGGAAAQPHDLEPAVGAQVPHEAAAVRRRPDRGERAPAARQRGDARQRRRRPAAEQRAGAGEPGARRARAPAVRRAPTSQLPAPPARRRGRRTAGRDGRARPPRAALRRRADASHAAVAVAVAVAATTATATAVAARDPADAAPARRHGRRRYAPVLR >Sspon.05G0036440-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:87150919:87151221:-1 gene:Sspon.05G0036440-1C transcript:Sspon.05G0036440-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding PTAPSAVWWSSLDSSRSQPARGRGGQVVPVHGGGGQQARSQQNWRPRSLVTAGQISVVSAMERSCPAEKGAAKAGEDAAKRRGAWGRVERCNGGGEEEKTG >Sspon.01G0029630-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:103177451:103181488:1 gene:Sspon.01G0029630-1P transcript:Sspon.01G0029630-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSEAEKPLRRIAASFEELAAVAKQQPAVSMDAGAFSHACSNVSVLFGCLGIAFKFAEMDYVAKARLLVDDLVEASKSISTLPSMVELDIQTDTVRKPGSHTRNLLRVKRGIDMVKVLFEQILVTEGNSLRDAASVAYAQVFAPHHGWAIRKAVAAGMYALPSKSQLLKKLNEDEESAKVQMQNFVRSSAPVICYVEELFTSRNLGIDW >Sspon.08G0006480-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:20331244:20336836:-1 gene:Sspon.08G0006480-1A transcript:Sspon.08G0006480-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SMVMYLPPRSSSSSGMRSIHRELQRWKSKPLAPTRSVAKKPSAPLRHGSSDAVQDPCPCPTHREVPSSTISHCRGSTVTGASRQPRPRASSRCTPATPPPPRTSPSSAGSVCRASPGVPAHLKPRTVVRVRTRTATLKTGQVLVLWLKATIVSSSTNGSGYEVVYDANWPPGDPKGTVHVAPHHVKVINPSPSPTNPPQSLHPPAATVAATPKKEMPRPTTAGKSLRLIPGTSKAFLHTVANENQLK >Sspon.04G0022770-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:8721240:8721942:1 gene:Sspon.04G0022770-1B transcript:Sspon.04G0022770-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAVCQSEHPIQFQQSLNSCPSRHHQCAGRLVNWAISPPAQQQAADPGPQEQEGRASSAVRRVSRRIPQTPHAAPSPLRLHPSSIDSSTKRRHGGWRRGVAIGVVISAKTTLGEEFEGQIVAFDRPSNLLVIHILPKSLMLLTRISMSFTYRSQEGVGRAERGERRNVRVLKANYIREFSVVSKGDDPLDPAGCVLDLNAIYAREDAAL >Sspon.01G0051110-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:11741059:11742922:1 gene:Sspon.01G0051110-1C transcript:Sspon.01G0051110-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding METSFRPIYSPTNVSSHHHPFSCPAGQIEADPCSPRRPRLKPSPPSSGSPPLVHASASNQFIPRLAKRWWTRGGLCWARRSRRWWRVSSSASSAAATRSSASRRRAGGRRAPTRSSSASACAPPSVCSRRWGPSPRTPYRRPASPSAASLNSTPAPTPARAPSASTSTRCPTGTTSASRGARASASNSPQPSWLVRLWTYLDLDSIPILS >Sspon.01G0042010-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:27124239:27126336:-1 gene:Sspon.01G0042010-1P transcript:Sspon.01G0042010-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLRRILTGGGGLAALRAARAVKETTGIVGLEVVPNAREVLIGLYTRTLKEIEAVPKDEGYRKAVESFTNHRLQICQEEDDWNRIEDRIGCGQVEELIEEAEDELKLIAKMIEWDPWGVPDDYECEVIEDDTPIPKHVPQHRPVALPEEFFKTLDAVKSDPALQGDAPPQVKA >Sspon.02G0038630-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2B:43704294:43705148:-1 gene:Sspon.02G0038630-1B transcript:Sspon.02G0038630-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLPSSALFSRGQQKERDRERGREKEEEREGEKSKAEGAEQAARAAAPSACWPEGCAGGSRARWLQGNARARNSSGGELLGFSGSVLRRQRPGGLGATNSAARSERRFGPVRLRHRRKYLRRPCRERISSAVRRPELDDVFRGEDDTRRGRFVEISSPIAMFTCSRHLGNDGGQGRFALDISGRRQRREAVRGGNIFVSVLHVPEEDDGRPRRTRCASWAWPSWAQSMEGGRTQ >Sspon.05G0005630-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:12367361:12371311:-1 gene:Sspon.05G0005630-1P transcript:Sspon.05G0005630-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MANLADSFLADLDELSDNEGYPEEDNAEEAGREEEDDDDMPDLESLNYDDLDSVSKLQKTQRYNDIMQKVEDALQKGTDFSSQGFILEEDPEYQLIVDCNALSVDIENEIIIIHNFIRDKYRLKFPELESLVHHPIDYARVVKKIGNEMDLTLVDLEGLLPSAIIMVVSVTASTTSGKPLSEDNLEKTTEACDRALTLDAAKKKVLDFVESRMGYIAPNLSAIVGSAVASKLMGTAGGLGALAKMPACNVQLLGAKRKNLAGFSTATSQFRVGYLEQTEVFQSTPPALRTRACRLIAAKSTLAARIDSIRGDPTGKAGRNLLEEIRKKIEKWQEPPPAKLPKPLPVPDSEPKKKRGGRRLRKMKERYAQTDMMKLANRMQFGIPEESSLGDGLGEGYGMLGQAGSGKLRVSAGQSKLAAKVAKKFKEKSYGSSGATSGLTSSLAFTPVQGIELSNPQAQGNLLGGGTQSTYFSETGTFSKIRRTQ >Sspon.02G0028750-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:104596873:104598207:-1 gene:Sspon.02G0028750-1A transcript:Sspon.02G0028750-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQNCHRPPPSGVALVAITLLLPCFVSVASSSPPPSSGGTNNNIKLPTDGGGAAGSFTEFVAENVELYNNVSTEQHKYGAGGKVWDPELLAAQGMVVRYVVSPDGHGKFRSITEAIKAVPDGNKKRIILDIRTATYKEKVLVPYTKPFITFSGNPKNPPVIMWNDRSATHGKDGKPVGTYGSATVAVDADYFVASGIHFKNNAPMAAPGSEGGQAVALRVYGNKAAFYDCTIDGGQDTLYDHRGLHYFKSCHILGTVDFIFGFGRSLYEDCAIMSVTKDVAIVTAQQRSKSIADALETGFSFLRCRIGSTTGAGQIYLGRAWGDSSRVVYSYTTMGKEVVPVGWDSWTIQKPEKSGIYYGEYQCSGPGALPHKRVGWSLVLNDAQAKPFTGTHFVYGDSWILPPPHLA >Sspon.01G0052990-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:73004536:73018761:-1 gene:Sspon.01G0052990-1P transcript:Sspon.01G0052990-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNAKVVLDPAFQGAGHKPGTEIWRIEDFKPVALPKSDYGKFYSGDSYIVLQTTCKGGAYIFDIHFWIGKDSSQDEAGTAAIKTVELDSMLGGRAVQHREPQCYESDKFLSYFKPCIIPMEGGFASGFKTPEVDKFEIRLYICKGKRAIRVKEVPFARSSLNHDDVFILDTENKIFQFNGANSNIQERAKALEVIQHLKEKYHDGVCAVAIVDDGKLQAESDSGEFWVLFGGFAPIGKKTISDDDVVLETTPPKLFSIANGQLKLEDTALTKSILENTKCFLLDCGAELFVWVGRVTQVEDRKAASAAVEKFIIKENRPKTTRITQVIQGYENHTFKSKFESWPASSTAGSASTEEGRGKVTALLKQKGVDVKGITKTSVPVNDEVPPLLDGGGKLEVWCINGSVKTALPKEELGKFYSGDCYVVLYTYHSADKKEEFYLTYWIGKNSVQEDQEAAFQIANTTWNSLKGRPVLGRIYQGKEPPQFVALFQPMVILKGGTSSGYKKFVEEKGLKDETYCADGVALIRISGTAVHNNKTLQVDAVPTSLSSTDCFVLQTKNSMFLWNGNSSSFEQQQWAAKVAEFLKTGVAVKHCKEGTESSIFWSSIGGKQSYTSKNAAPDALIREPHLYTFSLRNGKLECVETKEKQKAFDIGQGGNKGSSGDGGPTQRASALAALSSALNPSSQGKQSDERPTSSSGDSEHTQRASAMAALSSTLNTSSKPSSTQSHSGQGSQRAAAVAALSNVLTAEGSHSPRHSRSSPTADAEKTELAPASPHQLETGPEDVRTEPDVSQEQTANENGGQTTFSYERLISKSTDPVSGIDYKRRETYLVDSEFETVFGMTKEEFYEQPRWKQELQKKKADLF >Sspon.06G0020870-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:11295645:11300819:1 gene:Sspon.06G0020870-1B transcript:Sspon.06G0020870-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Superoxide dismutase [Cu-Zn] 2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G28190) UniProtKB/Swiss-Prot;Acc:O78310] MAAQSFLLAATATATTAALFAAPYSSARPFHSAHFVAGPGGAAAARALVVADASKKAVAVLKGTSEVEGVVTLTQDDDGPTTVNVRITGLTPGLHGFHLHEFGDTTNGCISTGPHFNPNNLTHGAPEDEVRHAGDLGNIVANAEGVAEATIVDTQIPLSGPNSVVGRAFVVHELEDDLGKGGHELSLSTGNAGGRLACAGRTCHEVALRWSLVITRNVSDSQVRSSPVCHTIPQLRFSSVHLTRLLPFTDTAATSPAPPTLVTVTMLKYLFPVMVYRMPPCFAHATLR >Sspon.03G0008340-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:22516940:22520051:-1 gene:Sspon.03G0008340-1A transcript:Sspon.03G0008340-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFAVTPTTLRAPAALAGWRRRPGATPPRFAVVLATSSGVGGERTPPTFARLREELLQLHAEADLTQSKANSARVRLVRLTEAAENLKKRAATSVRMGKENEAVDLLVQKKKLTKALENIKERIEVLDRLSAKISEVISMKQNMLIEYALRPGTSNGDNSDEKIRVFSSTVNDGTNGAESSDSHPKSVENESFELRKEAHAIASMVGHPEQNALQMSDSFSFINNPDPANNIKNHSAYDGLIEHINLQMKSLEYEIEQFISSQSVEEVGSEKQRSAKWQRLSDIQMLVKETREKNKKAFATAKVLTNRHTVAVSLPAVVEKLLSPYPTV >Sspon.02G0015470-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:42214530:42216067:-1 gene:Sspon.02G0015470-1A transcript:Sspon.02G0015470-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPPVSCQALHGPHVAIFPLMAKGHTMPLVDLACLLRGRGLAAVTFVTTPGNASFVRAALRRGGAGDGDDAAVLELAYPAGGHAPPGGEAAEGVASVSSFAAFAEATSALQPRFEEALAALRPPVSLLVADGFLYWAHASAAALGVPSVSFLGTSAFAHVVREACVRDKPGASVVSQQEGGAGFDDDASTSAATTYYTVPEFAHLRFSLRDLVPPPLPMIDLDTKMAAAVAASRGLIINTFHDLEGRYIEHWNQHIGPKSTTPREAILDAVAGRHGGRRKVCTVLYISLGTLAAISQAQLKEVADGLDRAGVNFLWAVRPDNADLGTGYEERVVGRGKVVREWVDQRQILRHPCVRGFLSHCGWNSVLESVAAGVPLVAWPCEFEQPINAKFVVDELRIGVRVHASDGAIGGLVKSEEITRAVKELMFGEAGMAMALRATEIAAQAQLAVSDGGSSWKEVEEMICELCVVDMHGWEANGSRPNLCTHILP >Sspon.06G0006730-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6A:24552364:24553127:-1 gene:Sspon.06G0006730-1A transcript:Sspon.06G0006730-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPFPASFRTPHVGAHAVLNVTSIEKRERGRRMSAMPGGGDTEAKQQKNLSAYGVHQRKRPGARSGEDGAYIEFKEVTDRSRKMGCRWSSAPTPSSRSR >Sspon.06G0004230-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:11195813:11201480:-1 gene:Sspon.06G0004230-2D transcript:Sspon.06G0004230-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQDGQQIRRDKLIIDTDPGIDDSMTILMAFGAPSLEIIGLTTIFGNVDTEGATRNALLLCERAGHPEVPVAEGSHEPLKGGKPVLLISFMDGIGNLFLPAPSAKKVEESAADFLVNKVSEFPGEVSVLALGPLTNVALAIKRDPSFASKVKKIVVLGGAFFAAGNVNPAAEANIHGDPEAADIVFTSGADIVVVGINITTQVCLTDEDLLELRNSKGNHAPLLSEMCKFYRDWHVKSDDFHGIFLHDPVSFTAVLHPEYFTFKKGVVRVETQGICTGHTLMDQGLKKWNSENPWSGYKPISVAWTVDVPKAEISSSEFR >Sspon.01G0026930-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:95159301:95167633:-1 gene:Sspon.01G0026930-1A transcript:Sspon.01G0026930-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSEQTLLFFSRILAKGFVLSKDALNLERSFDERHNILSNATATVASLDRQYGLSEKINLGRAIVGSKVKEVDERYQVSELTKSALAAAEQKASVAGSAILSNQCLSWCLVANKCIRYGDMALMAKDKVERAEEERKAIMWEERNGLVSEYAKIHLDEPS >Sspon.05G0008050-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:16586560:16592009:1 gene:Sspon.05G0008050-3C transcript:Sspon.05G0008050-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHSNANALLWKKGPVRTVWKLSACKESELGRAKVARTDIVAAVVCARWEKRGEGKGLCRSLGLKAAMQGEFGLENMGTRGGRLLTVLASARFGDEIILDVHSEHGLRLEVLATLLFGIVRIFSKKIDFILHDSYELRRSFQLSQLAEPTVPSGRSTPRVLKQVNKDVRVGRSVVGQQNTSKVTKTVHAVRTTEVSSAISSEGHSVMVETEVTVGISVVIKEARVPNGLPTFTRPTRFELDSFDLGIDEDTDDEGDDHHQSAHQDFTEGDKPEIEDKDADSAWFTPLKDVLPPELMDMVAEAKDLAKESKTGDNSVREVNTDENNGGSDCTVISIPLQENQELQYSDNALESMACGSRSANYTTEASENDSLLGKLNTAAPAAGFPGLGSDTGEESLEPLVIRCETRADNKLSPSTPEPLQEGIPGPSSSSRFGVRTPAKTEKSQATRKRRRSLLYNKQDYIQTERESQRRVRRKLTWSLFDDEGTVLSNEMLRGAIEDASDLVQQRRKAPHTHLDIWRVAKLGSLPYTFMDPLIPYQTSIPLARSTAPEAPESSCEESFKARRRLSYEHSESIHACKDTGSIERESILDASRKRKLEEPIDSEVPVDCQTESWPVQDEFCACNEDTIKEKSTQVKGDEPSSKAPPKNGLHESENQIPLHIEALNAAVDNIDEDILVDEEHSRDEGLQNSTRTRKIASLLHQLFLDQKRKEGTTTLSLSQVLEGRKRKTAASFFYETLVLKNRGLVEVKQEQHYDDIILSATPKLEAELQRCGN >Sspon.08G0011140-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:44404963:44410874:-1 gene:Sspon.08G0011140-2B transcript:Sspon.08G0011140-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding PPHTAFDFSPPALSPVLPSLNLFVARSRSRWLPQALLSLAPSLRRLLRGCAGEVRQDSGVPRRARARLFAAAQRPGGDGGGAFLPTAEKSLQYVFQQNRTAELHWLDVPNPPAIQNPQNSSEVISTRLLASNLSITRNLSDRELQSLHSWNHLRHLLSHAHILPDGVEAIKEAGVAWRELNTALAYDDSVVSVNGSIQQKDKGKQCPYSIRRMNATRLGDRFALKLPCGLIQGSSITIIGTPGGLLGNFKIELTGAAVPGEPDPPIVLHYNVRLLGDKLTEDPVIVQNTWTIADDWGSENRCPSPDSDAKDSAKVDDLEKCSSMVGEDQKEILPSRLRSNVSTMIAARKKKAEPRKYFPFRQGYLAVAILRIGAHGIHMTVDGKHITSFAFREDLEPGFVGEVRIAGDIKLLSVITSGLPTTEDFEHVTDLETLKAPPVPMNKSVDLFIGVFSTANNFKRRMAVRRTWMQYDDVRSGKAAVRFFVGLHKNEVVNEELWNEARTYGDIQLMPFVDYYSLILWKTIAICIYGTNVLSAKYVMKTDDDAFVRVDEILSSLDRTNISHGLLYGRVNSDSQPHRDPYSKWYITPEEWPEESYPPWAHGPGYIVSKDIAKEVYRKHKSGELKMFKLEDVAMGIWINEMKKDGLDVKYENDGRILVEGCEDGYVVAHYQEPRDMMCLWDKFQKTKRGTCCKE >Sspon.08G0014640-1P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8D:56758804:56759073:-1 gene:Sspon.08G0014640-1P transcript:Sspon.08G0014640-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASITNLNTLMKVLLCAALLLSTTVVAARSHSEPDCRRLAVAPRSHSEPDCRRRLAVTVVAITGRRMLGAAAYFESKRESPSGSDPQHH >Sspon.07G0031840-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:34403651:34404205:-1 gene:Sspon.07G0031840-1C transcript:Sspon.07G0031840-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKYGTIPTTSSTPPPGASSSSSPLDFISRAKARGASALATRRPWRELADPRALSVPRGFSDAYRRARANLAHFAANYALVVLLVVFVSLLWRPVSMLVFLACFAAWLVLYFLRDRDADGTLVLCGRGVGDGVVIAVLSAATLVLLLLTGATGFILTSLLVGLLLVLLHALLHRPADSIDDEAGR >Sspon.04G0008630-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:25096918:25101534:-1 gene:Sspon.04G0008630-1A transcript:Sspon.04G0008630-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEEPLPASKTPRRARRRDLNALDPNMEESDGEDIGVPEVGMVFNNHTEVNRFYRKYARRVGFGVSVRRSSFSQEGTCLYLELMCCKGGRPRYEPKFRKRASSTTNCPAKIRVKLWGDKLLHVELAILDHNHPVSPAMARFLNSYKQLSGPAKKRLRMGGPGAMPVEESSKMPMDKLGELEQLLFGESKHHSFVYTHNMFKKFQDEIEAIMYCHVSLIGVDGPVSTFNVKECIFLEDGKRTMSKIFVVTYKADEKNISCICGGFQFSGILCRHSLSMLKFQLVREIPQHYILDRWKKDFRQLHVKRRPPSDLVPNNRMDRYDYISMRCLQLVDSAVLSDKYRLALRLVRETEKFLLNSNTHDDTQPRIKSRVPKVNKPNTVTGQTVVGAATGNRNDSLKGPEAPAVMQVPQIQKGGAEKGIVPTGYIGVPANIQQFVGNQAAFQPSIVYMVPWIRASSPVMQQLELGGDWEAQRRSQGSRPMASMLVGGVRKMIGGAGCSGVDPHAFGNVLMPVMYQQMFQVPPKPNETVQDTSANGKRKRPRGQKLTETSQQANGTPASASG >Sspon.04G0025890-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4B:33022996:33026888:1 gene:Sspon.04G0025890-1B transcript:Sspon.04G0025890-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSPTDFNECVSKGELTTFMTEQRNLMTELTRNVNNLVTRIEQLEQRPPPHRAADDDAGDFGDDDADADGYARRRLNFNRRDMGGNNHGNNDHFAKIKFSLPPFAGNVDPEAYLDWELAVQQKFDSHNVPAEHRVRLATSEFTNFALFWWSDLCNANNVAAVPQTWNALKRRMKSRFVPPYYQRDLRLKLQTLKQGDKGVEAYYQELLIGLARCGINEDDNDASARFFGGLNDIQNILDYKEWRNFSQLYHLAIKAEREVQGRKQHQPFRSNNGRNFQQHSTPDTPKIPVASQPSTPPLSSGVSQLSNVQKKGATPGASTGSSSSSAKIICHRCKGMVHVMKDCPSRRAFIATEDGYVSTSDVEDDLALAANIDADSTEGDQDKEAIIIDYVAAAADDPSLLVQRVLSTCVGHEDEMKIQRNNLFHMYFIVQGCRVLTIIDSGSCNNLVSSDLVEKLGLTTRQYSHPYKLQWFNNSGKTKEFADDGMKSRTTPILGGGDDDEDIAKMESRTTPIQEGEDDEDIATLDKPTLCIHVVIVSAITLSYDIETGRSWMRWKGDDDAVVLDLVPAPEGKAFLARSIKLCLVDNQRSSGVTVAGFESCLILKPDHQRRDLHKSNLPVTSRKIGPVSHQSQSKAPVASKPPYRPPAANIRYRPPVRKTQTKTGFCKRYTIALPKNTSGQGSSNPPSNNRPCWNCNHPGHWARDCPHPPKKTNQNQGYVRQGRVHFTTVEEILAGEEGIRSADSHAPEEEDDGVRGSGKKMSTDDMERSSRIVAPQKSNRLHTLGRVLRRTGVPEAPALPQSRAREVTGRRSPSAEWWCQCFCCLSQKKKDRSEDSYSHSGEEKGPAGDQEMVTHEINANLHSP >Sspon.04G0014640-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:60808036:60809840:-1 gene:Sspon.04G0014640-1T transcript:Sspon.04G0014640-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MESDNAEPRTGCLGHLPETVSLLPPSLDPIPSHLGQSAFPLQATQEQIGDAHPVKRFHPPPFVRSISPPPSHQPATTTSSHILISHRPSKSTPSDLDPHRRAGNCITDTSSKSAMAAALQAQRLFLTASTSSSSSLTTRPRRTTAAPCRAGLRAPSGVQTRTASSIPADGGLGGIKLDWIDHRLLLPRRPQHGGRQAPRDARHHRAAAGQLEPPAAPLHQLPHAGGSVMAALAPAAPATVGALKASAGVLLATAAVTMAAVNRVQPSQLAEEQRNATRLWRQLERDVRATLELRRAAELTQADVQDAMDRVLALDAAYPLPLLPGMLEKFPKAVEPARWWPRRRPHQQQPPRSSRSRSFGRRGVTNTTTTTGNGWSQELEEEMRGLLRVLRAKDEHQYLTVGKLVQSINRGLAVAGPALAGTAAVAAAFIGTGDGASSAWASGAAVACGALAAAVNTVEHGGQLGMLFELLRNCAGFYRKVQEDIEAVLGEADVERRENGEVFRTKVALLLGRDEAELRQFRRMASVSVKDEDIKDYAGKLF >Sspon.05G0012290-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:38562588:38573475:1 gene:Sspon.05G0012290-3D transcript:Sspon.05G0012290-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKAPTQGENPREAKKLGAKFQRHDSLYGDAERVSGTGYHVSERHDSLYGDAERVSGTGYHVSEIFMLTTYIHYMRQDSWARTLHLAFQCIGVIYGDIGTSPLYVYASTFTSGISNVDDLYGVLSLILYSIILLPMIKYVFIVLYANDNGDGGTFALYSLISRYAKVSLIPNQQADDAMVSNYGLETVSAPMKRAQWTKKMLESSMVAKVAIFLLTILGTSMVISDGVLTPAISVLSAVSGLQEKAPQLKQEQMVWISVAILVVLFAVQRFGTDKVGYSFAPIILMWFLFIGGIGIYNLIKYDIGVLRAFYPKYIVDYFKTNGKDAWISLGGILLCFTGTEAMFADLGHFNIRSIQISFSFILFPSVSLAYIGQAAFLRKHPEHVLDTFYKSIPGPMFWPTFIIAVSAAIIASQAMISGSFAIISQSQTLGCFPRVKVLHTSKLYEGQVYIPEINFVLGLLCVIVTFGFKTTTNIGNAYACLSFFSSIQIQTRGYLPIVTAAVLLTIMGVWHYVHVKKYWYELEHIVTNKDMRELIQAHDVKRTPGVGFLYTELVQGVPPIFPHLIEKVPFVHSILVFVSIKHLPIPHVEVSERFCFRKVESRTSRMFRCVARYGYSDTIERAKEFAASLVEGLQSYIEEGHFITNMQIQETEAEEATSITDSNTRTRKASGSTVYIEEALMQSETTDLTQPPISSYSAHSSGRISEDQSRTIAEEKQFIQRELQKGVVYILGETEIRAGSNSSFFKKIVVNYMYSFLRKNFRQGEKVFAIPRQQVLKVGMLIYPTGSPTTRII >Sspon.05G0029000-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:74464846:74465958:1 gene:Sspon.05G0029000-1B transcript:Sspon.05G0029000-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RARTRLPLPQSAAATTSATSRTACCSTCSPSYRRRTPCRHVCSPSVGATCGSVGATWDILSLDGHPFFISQYLKKLELNGIYFSMDSRFLDFSCCPVLEDLEIVDCYLGYALLISSQSLKCLTIQIGSFGDDDRHMPICAPNLVSLWLEVSDGRIPSLERMPSLLAAYVKINSDFDCVFNSDSEDDDDDDGDDDNQSILLQGLSEVQKLVLISCYPEMVIFRRDLRFCSSFNKLKSLLVDEYWCQPADFSALACLLKLSPVLERLTLQLYSEVPEHKVEIKLSCKPLEMSAAISQHLKTLEVKCEVYDERINNV >Sspon.01G0016320-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:61003702:61005370:1 gene:Sspon.01G0016320-2B transcript:Sspon.01G0016320-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding NMAAALGGLLPGVCAVLMVIAVASAASSETSSLVVGLAKCADCTRKNMKAEAAFIGQKKLALLVLTVLFDPCISGLEVAIKCKNSKGEYETKAMGKLDGSGAFSIPLSTDLHAADCVARLHSAAGTPCPGQEPSRIVPQSSEGNFVVVPGKTDYPSAECASATLLGPIKKHLLDHFHKKTVPPKPKPEPKPQPEYHHPTPTYGSPTPIYHPPARQLVDKKHILDHFHKDHDYHHFFDHFHKKPVPPKPKPEPKPKPEPKPQPEYHSPTPTYGSPTPIYHPPARHLFDKKPLLDHFHKDHDYHHFFDHFHKKPVPPKPKPEPKPQPEPDHFHKGHDYHHFFDHFHKKPVPPKPKPEPEYHSPPTYGSPTPIYHPPARHLFDKKPLLDHLHKDHDYHHFFDHFHKKPMPPKPKPEPKPRPEPEYHHPTPTYGSPTPIYHPPSLDKKPLLDHFHKDHDYHHFFDTFHKKPVPPKPKPEPKPQPQPEYHPPTPTYGSPTPIYHPPVKH >Sspon.01G0019310-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:73387314:73397975:-1 gene:Sspon.01G0019310-3C transcript:Sspon.01G0019310-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQEEAKASATRRRRRGHPLLRGCRRERYTHGLHPAQMEALRAMCGAFIPSLPVTAERLHGDSDGGGRKDLERFYLASAADGTIPEEVAELVTRCVWEAVVLVNVVLWILSTKVGTLALCGRLCISGKFPYVRKYADMPVERREQALTRWNKARWWFPLKITFVIIKILSHYAFYTTINENSDDNPSWKAIGYIVPHVEETGEDQTEAAPSPRPLDNGIVETKALDDTALLTSLMDRGLEVKPHAPANAYHTVRCDVVIVGSGCGGGVAAAVLASEGHKVVVIEKGDYFTAEDYSSVEGPSMEQLYEKGGIFCTTNVTTILFTGTTVGGGSAINWSASIRTPEEVRQEWAREHGLPVFASPGYVQAMDAVCARLAVTDGCRDEGFQNKVVRRGCEALGLRADAVPRNSSEDHFCGSCYIGCPTGEKRGTDTTWLVDAVARGAVILTGCKAERFILESNSNSGRKGRSRKCVGVVARCMSNGITKELRIEAKVSIAACGALMTPPLLRNSGLKNRHIGRNLHLHPVSMAWGYFPENKQQPQLTGKCFEGGIITTMHHVSERTIIETPALGPGCFASLIPWESGRDMKERMRRYARTAHAFALVRDRGAGTMDGEGRVCYTPNRADVEELRNGLRRALRILVAAGAAEVGTHRSDGFRLGCNGGVRDDELEAFLDEVTVAEGPMRPGPDKWALHCSAHQMGSCRMGSSPQEGAVDGRGESWEAEGLYVCDGSLLPTAVGVNPMITIQSIAFCLSKGIAETLAHGKAKR >Sspon.06G0007350-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:27695934:27703948:1 gene:Sspon.06G0007350-1A transcript:Sspon.06G0007350-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDSSPSAAPPAAAEAGRYTYSPRLRWQPEVEEYFAAAYGRDHFARISEALAHPSCYSCIRVNTLKSSTDAVMHKLMDLISKNELSAVINGLEVVELNGGEQQHERGSLGSGPHVLHYGSQPDQAVKEVVVSRKCAESVLRGAQVYVPGVLACSSHVEKGDKVAVSVAIEQPVKEDGWAVGITRGTGLYIGQGTAAMSRSAIFRVPHGIAVEMTERVYKLPSFNDVLEGEIFLQNLPSVVTARVLDPQSGERILDMCAAPGGKTTAIAILMRDKGEVVALDRSHNKVMDILKLASEMDLSCIKAYKLDALKSVWKTDEARNLGMADNHSEAIETPTEDPCHATVDGRSTSVDEDNSTTTVVHSDDNLNAKRYISKAELRKNLRRMKNGPGRNNCSGGRVEKSKGFCPNSFDRVLLDAPCSALGLRPRLFAGEETLESLKNHARYQRRMFDQAVKLVRPGGVIVFQ >Sspon.05G0026490-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:42336050:42341089:1 gene:Sspon.05G0026490-3D transcript:Sspon.05G0026490-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLPSIAVALPRLRLRLRLPLPLPLYHRPISLPLPLRHHHSSPHPRLLPLAASLPPPPPEELLRTQATGLVAASQANFMRVIVDATAPGLDHHRGSDLLCVVRALLKKIRRRVLVGDRVLVGAVDWTDRRGMIEDVFERRSEVADPPVANVDRLVVLFSLDQPKPEPATLTRFLVEAESTGIPFVLVFNKVELVDEQTVAYWRDRLKSWGYDPLFLSVDKQSGFTDLEEMLEGQTTVVVGPSGVGKSSLINALRGNQDISEEDPIHKLVEQNSKWFGEQRVGTVSKKSGKGKHTTRHVSLLPIAGGGFLADTPGFNQPSLMKVTKKSLAETFPEIRKALKENEPTKCLFNDCVHLGEHGCVVKGEWERYPYYLQMLDEIKIREEIQLRTFGTKREGDVRYKTGVMGVKQAEPRLELKKHRRVSRKKINQSILDEIEDDIDDLDDDYWFD >Sspon.01G0035370-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:9774309:9778122:1 gene:Sspon.01G0035370-1B transcript:Sspon.01G0035370-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear pore complex protein NUP96 [Source:Projected from Arabidopsis thaliana (AT1G80680) UniProtKB/Swiss-Prot;Acc:Q8LLD0] MAASTLFPVLRSSEYFTRPSIDELVEREVADPGYCSRVPNFIIGRAGYGQVRFLGDTDVRGIDLNEIVRFEKHYVVVYEDETCKPPVGHGLNKAAEVSLLLSLKDLPEPSILVEVLRCRARKQGSRFLSFNPVSGKWKFEVDHFSRFGLVDEEEEDAVMDEAAVRQPITEVRERDPPSNGHEIELSHSLPAHLGLDPAKMQEMRMVMFSNEEEDEYMEDGFPSDERYVSRERVNMDSPTSSGKGLRLRSLSPLHVSSQKAGRRSGMLVRKEPQALLEYSMNSSEFGPSTQGILMSGQNRGFPVSMTKVDGFKLPADQETPVAGNMYTNCVVDAALFMGRSFRVGWGPNGLLVHSGSLVNNPGTGLSSVIHIEKVAIDKVVRDDKNKIKDDLTELCFSDPMDLHKSLGHEFLETESDSFRLKLQNVKANRLVLPDICRSYIDVIEKQLEVSDLSMSTRVLLMHQVTVWELIRVLFSERATGNQLEPVGDDDQEGMVLDKKEGYVDIDPDALPLFRRADFSNWLQDSVCHRVQGEVGSLNDARYLEHILLLLTGRQLDTATEIAVSRGDVRLAILLSQAGGSMSNRSDLAQTLDQWKMNGLDFDYIEEDWLKVYRLLAGNVQAAFLDSPIDWKRYLGLIMWYQLSPETPLDIIIRSYDQLLGEGKVPYPVPVYIDEGPFDEAPQWSPGGHFDISFYLMLLHANQGEKFGLLKTMFSAFSSSFDPLDYHMIWHQRSILEAVGAFSSNDLHLLDLSFVYQLLCLGKCHWAIYVILHMPHLDDAPYIHEKLIREVLSQYCESWSRDDAQRQYIVELGIPEEWMHEALALYHEYYGDKQVALENFIQCGNWKKAHTIFMTSVAHSMFLSSNHQEVWKITSALENHKSEIADWDLGAGIYIDFYILNNSMQERNAMDDSDSLEEISESCRSFFGRLNDSLLVWGSKLPVESRACYSKMAEELCTLLVDTPSETLNLPMGCLQTMLNAPVPDEIRSSYLQDALSVFTEILCSDS >Sspon.08G0017200-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:257801:264662:1 gene:Sspon.08G0017200-1B transcript:Sspon.08G0017200-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGLSEGEKHFIRGGIAQDLRTDGRRRLQFRALSVQTGVIPQANGSARVRLGATEIIASVKAELGKPSILHPDKGKVSIFVDCSPTAEPMFEGRGSEELSAELSVSLQRCLLGGKSGAGAAIDLSSLIVVEGKVCWDLYIDGLVVSSDGNLLDALAAAIKVALSDTGIPEVNVSVSAASDEEPEVDVSDEEFLQFDTSSVPVIITLTKVGRHYIVDATSEEESQMSSAVSVSVNRHGQICGLTKRGGAGLDPSVIFDMISVAKHVSQQFISLLDSEIAAAEAEEAE >Sspon.06G0008010-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:41870599:41872364:1 gene:Sspon.06G0008010-1A transcript:Sspon.06G0008010-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGVEEEGEVAALREALRQEAHAVEELRAELEEERQAAASGANEALAMIVRLQAEKAAERMEADQFRRVAEERIQHDEDTLAFLKAVVFHQEMEISSLNRRLLAVHATGDDDPLSPAVDLPWLRKLAKNGTVASRRNASLPAANLEELCSELDVVVDTDAGKKSTVGDRRPARTVSDIGEVIGREKEWATRPNLAAPTPRLHRSASHCLLRRAPRYSAQAQCGVRSAGRASAEIIAEEDEKSCKSNAALEADIEQIKATVQCLQTELTKLRESTLSVGDAHSQILAEIHAKLDGVTPRRQRSFEGPSPELLKKKATREGGGSSRSSSSKEQSYQAQAERELLMNHFIEVRGLTFSGSTIVRPLIKAAASMSLLRCLLVLVLAVAIRKPSAMTHETKDRMYLCPMYNAGTVTEK >Sspon.01G0027560-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:96435698:96438192:1 gene:Sspon.01G0027560-1A transcript:Sspon.01G0027560-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRETAGSPLEFDRMSSPLSMVEHHWEWKKGIMFRRKHASHFNSNDAEQQEAKINELKSALGPLSAHGEKYCSDTCLRRYLEARNWNVTKSRKMLEESLKWRATYKPEDIRWPNVSVEAETGKMYKASFCDREGRTVIIMRPTKEIISLSYFHKNSRNSTSHDGKIRFLVYVLENAILGQHEGQEKMVWLIDFTGWTMAHATPIKTARECTSILQNHYPERLAIAFLFNPPKVFEAFYKAVKYFLDPSSIEKLNFVYLKDEESMKVLYKCIDPEVLPVEFGGKNSVVYNHEEYSKLMLQEDIETSSFWEDDAKTVDHTVNGTLVPDVAPQSPLLAAKAS >Sspon.02G0038530-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:42751672:42759715:-1 gene:Sspon.02G0038530-1B transcript:Sspon.02G0038530-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding HHGFPRDSGEEARARRLHRRANRPRRRRSQLRLRRRRRLLLGPVAARQGEGRRGRGLPRHPLCGAGGEGGRGVPAGDPLGDAPAVAARGCHCGGPGRAGRPRAPLLLALLLRRPRGDRSNHERENKREKREDEREE >Sspon.03G0036190-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3C:84597730:84598772:1 gene:Sspon.03G0036190-2C transcript:Sspon.03G0036190-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVHPCCSEEKKVRKGLWSPEEDERLASHIARFGVSCWSSIPELAGLQRCGKSCRLRWMNYLRPDLKRGRFSQQEEDLIIVLHKALGNSWSQIAARLPGRSDNEIKNFWNARLRKKLRQKEASTSSSTAGSKEAAARRSRNSAEDDHRTKPAPSVFTPFVPDHVAATAGASGASSCDDSSAAGGFVADPAAATCAATAVRLADAADRNAAAAESGVTPTPSLTTSTSVCTDDAWGSCDDGFLRAMVDDPSFLFGDFYIDGDD >Sspon.02G0057420-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2D:58246611:58252467:-1 gene:Sspon.02G0057420-1D transcript:Sspon.02G0057420-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGVWTSSYCLGVEGFPKLLYATMQRLGIKDRPEYEGREYEEHETERCEVTVYIGKSEDFPDIAEAWNMTTTGFRFVDTYQAVAHKALRCLCQIYEKPIARTPMRFFPPQEKDRPVWRTRMDILQGRYSLEDDPTVVFMTTYLLAMDEQYDKQALELRKCMHRAKEAELMVRKFHVQLAEAQAQAAAAESRETAIAEALKEAEDRHAQELKDAYLVTRAKRRMQALEDREPMILEGIPIMSLNTERRLDVEGPSAPPPTEISHEALELEPSKEENIPLTQPPPKDDACTLSLSSSYFQAVRTPSGRQQRAARWCPFPAAALPGPAPSPSPPAWAMAGSGMGYGGGGGEIPGLGDGRRWWQWWDPGMGDGGGGLSVCGTRSSYWVSLETRLDAFMYKCLDKAPVVLEDQEMKDRLDNYCRWLTQEASPSRASSLPPSRAAANRRHSPSCSSLLAALSREAPPAAHCRCRHLEREGRAAVTKEMKFCSSTLLMKCVCAIASTFLDSERRQFSEIFYKSDQGSGLEQYASKAWRWSADNSSKLKLHDVLGAKLFGLRDLAASRDGRRHHCVS >Sspon.02G0014830-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:39738058:39740840:1 gene:Sspon.02G0014830-1P transcript:Sspon.02G0014830-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFLLAATSLMLLKLCGDAVTLGWWDLLINFGISQCFAFLACTRWSNPMDIGGPVLIIPIVVFQVLLCMRLEGTPSNARFIPIRAIFLPIILLQVAAVSFAVWRFFNRLLTKLKDGTISQAHISVSSKVDELFMMIQYGSRLLHWWSIDEDSKEEQARLCYANNIGIRIEHHGLMGEGDRSFTSREKKEKEDKIILSTRGGKRDAKGSSCQRGTETSTGLGRAN >Sspon.04G0013670-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4B:59568757:59569593:1 gene:Sspon.04G0013670-2B transcript:Sspon.04G0013670-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTLRCTPSVVTYNTIIKALFESKSRASEVPSWFERMKESGISPSSFTYSILIDGFCKTNRMEKAMMLLEEMDEKGFPPCPAAYCSLIDALGKAKRYDLACELFQELKENCGSSSARVYAVMIKHLGKAGRLDDAINMFDEMNKLGCAPDVYAYNALMSGLARTGMLDEALSTMRRMQEHGCIPDINSYNIILNGLAKTGGPHRAMEMLSNMKQSKVRPDVVSYNTVLGALSHAGMFEEAAKLMKEMNTLGFEYDLITYSSILEAIGKVDHEYTGQGC >Sspon.04G0036330-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4D:28336465:28338330:1 gene:Sspon.04G0036330-1D transcript:Sspon.04G0036330-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPYDYKELQSSSTEKGKKKNDTNCYAHTFEYQELLLLEDDMKAIKEMYTQGEQPEATWAFTVLGYIGKLIFGVVGWGGTLMNSLFNVGLILLCFISVIQFCATAFAYYAHATAAQEIFGHTLQSRRGIEYLYK >Sspon.04G0014780-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4A:55306777:55308309:1 gene:Sspon.04G0014780-1A transcript:Sspon.04G0014780-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADTKVLPAVTLRTRKFMTNRLLSRKQFVLEVLHPGRANVSKAELKEKLAKMYEVKDPNCIFVFKFRTHFGGGKSTGFGLIYDNLDSAKKFEPKYRLIRNGLATKVEKSRKQMKERKNRAKKIRGVKKTKAGDAKKK >Sspon.03G0025800-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:5606287:5607288:-1 gene:Sspon.03G0025800-1P transcript:Sspon.03G0025800-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKTAVVLLLASLLRLVYQRLIRPPPPRICGAPGGPPVTAPRVKLRDGRHLAYLETGVPRAAATHKIVFVHGFDSCRHDVLPASQDLLRRLGACVVSYDRPGYGESDPDPSQTERSSALDVQDLADQLGLGDRFHVVGFSRGGQVVWSCLAHIPHRLAGAALVSPVANFWWCGFGGGGVSRRAYAAQLPQDRWARWFPPSSLVARNRRVYSPPNLDVISNLAAAGPFEALHRDMIVAFGEWDCSPLELGNPPPGEKEVAVHLWHGADDRVVTPIMSRHIARQLPWIRYHELPDAGHLFMLADGIADRIVKTLVLSD >Sspon.08G0000550-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:1880153:1883359:-1 gene:Sspon.08G0000550-1T transcript:Sspon.08G0000550-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMAQVEERGGLDSPGLPIDKRLPPDAVKSPLMDDALQPKEGRMGTLSRLGSLTPSRNSERSGQRKSMGSSWRH >Sspon.04G0009380-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:27773986:27785153:1 gene:Sspon.04G0009380-1A transcript:Sspon.04G0009380-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA mismatch repair protein PMS1 [Source:Projected from Arabidopsis thaliana (AT4G02460) UniProtKB/Swiss-Prot;Acc:Q941I6] MAGGGGGAGRGESPPAIKPISKAVVHRICSGQVIFDLSSAVKELVENSLDAGATSVEVSLKAYGEEWFKVIDNGCGISPSNFQVLALKHHTSKISDFSDLGSVVTFGFRGEALSSLCVLGKLTVETRSKDEPVGTHLEFEHSGVVVSERKTARQVGTTVTVEKLFSTLPVRSKEFSRNIRKEYGKVISLLNAYALIAKGVRLLCTNTVGKNSKMVVLRTQGSSSMKDNIITVFGPNTFKCLEPFSVTTSDGCQIEGFLSKPGPGTGRSSGDRQFFFVNGRPIDMPKVTKLVNELYKSSNAKQYPVVILDFRIPTTSYDVNVAPDKRKVFFSSESMILQSLREAVENLYSPLQCSFSVNHIKDPEKVGDAVTDGHNEDTNAITMANVSASDNIDEDEETDSEDHVSPENQELPSSVAKVAIEATSRDASPLSRGTATQADRSAWLPSFAYDQPKRSPKEGKSSASGTNRFRTGLAAKSTHSSTIQSSLMNFVSLNKRKHEDDCTLISETPVLRRGTCSEQVRRSSLEANFVTPEKQNHEDDCIISEAPVLRSGTCSELDRRTSLEVNFASPNKQKHDGKCTLTSETPVLRRGTNSEQDEHRGRCFSASGAPNKYSESRHQNASADSPLPDAQDYDDGAVVCYAPVQYPIIQFTVAELRRRRKNGYMVSHTNKSCCLEKTTRCYKAATLDINVPLADEAKSNSLAAATNELDRLFSKDDFGEMEIVGQFNLGFIIGKLDQDLFIVDQHAADEKYNFECLSQSTTLNVQPLLQPLRLDLSPEEEVIVSMNMSTIRKNGFVLAEDLHASPGNHYLLKAVPFSKNITFGVQDVKELISMLADSQGDCSIISSYKLDTTDSVCPSRVRAMLASRACRMSTMIGDPLTKAEMKKILKNLTGLRSPWNCPHGRPTMRHLADLRTMKNKGRM >Sspon.02G0023000-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:80145391:80148324:-1 gene:Sspon.02G0023000-2C transcript:Sspon.02G0023000-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGARVYGIGLNDSAGLSPLDKGGHGSHTASIVAGREVCNVSLGGLAAGTARGAVPGARLAIYKVCHHGGCHDADILAAFDDAIADGVDVISYSIGDVVPSQYFMDPGAIGSFHAMRRGVLTSAAAGNSGLDGGHITNVAPWMLSVGASSIDRGFVDKIVLGNGRTIVGASINTFPPLQNATLALPINGSCEPQGLAGGSYKGKIVLCPADNISLNDGTGPFKAGAAGAIMVGYYPNLALTVILPALMVTPDQFVEILAYMKSSSNPVGTIDTTETMFDPQAPIAASFSSPGPNLITPEILKPDLAAPGIDIIAAWTLLSSPTGEPVDNRRVLYNIESGTSMACPHASGAAAYVKSYHRDWSPAMIMSALITTATPMNTPANSGYSELKYGAGQLNPSKARDPGLVYDASEGDYVAMLCAQGYNATQLAIITGSNATSACDGAAVPRASDLNYPTMAAHVVPGANFTVSFTRTVTNVGASPDAAYVANILLLSKRPSVSVIVSPDRLEFSGQNEKAKFGVSMSGEGLAADEVVSVSIVWSDGKHEVKSPLVVYTPETVRRLATATANMFDSCCALRSSTQAVRRTEVVAAYVVIHLEDGPVGALHLADH >Sspon.08G0003060-4D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8D:6620747:6624583:1 gene:Sspon.08G0003060-4D transcript:Sspon.08G0003060-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable cadmium/zinc-transporting ATPase HMA1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G37270) UniProtKB/Swiss-Prot;Acc:Q9M3H5] MQFLSASASASASASASSPVTPSAHLLRLSRPPPFPHLRRRCSPPRPLALTPRPPLLLASRPSLLFTPRAHGGHGHGHGHHHNHHHGHDHHHHHGHDHGHGHHEVDVHGGGGGAAVMRVARAIGWADVADTLREHLQACCISLGLLLIAAACPHVPLLNSFGHLQATLIAIAFPLVGVSAALDALVNIADGRINIHVLMALAAFASIFMGNALEGGLLLAMFNLAHIGKSPEFALLLETSGEESVQFSNLSYTKVPVHDLEVGSHILVRAGEAVPVDGEVYQGSSTVTIEHLTGETKPLERTVGDAIPGGARNLEGMMIVKVTKSWEDSTLNRIVQLTEEGQLNKPKLQRWLDEFGEGSIYRGLGLMVAASPCALAVAPLAYATAISSLASKGILLKGGHVLDALSSCQSIAFDKTGTLTTGKLMCKAIEPIHGHLGVKNGHSNPSCCTPNCESEALAVAAAMEKGTTHPIGSLHDHRAVLDHSAGKELPVVAVESFECLPGRGVAATLSGVK >Sspon.02G0026070-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:90648004:90651069:-1 gene:Sspon.02G0026070-1A transcript:Sspon.02G0026070-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat receptor-like protein kinase (Fragment) [Source:Projected from Arabidopsis thaliana (AT5G53890) UniProtKB/TrEMBL;Acc:C0LGV8] MAPKRAPPLLLLPLLLAFLLPLLVPRARAAADPNPAPCHPDDLRALLAFAGNLTSAADALLWPSSPGCCAWDGVACDAAGRVSALRLQARGLAGPLPSPSLTALPFLRDLDLSRNALTGAVAAVLAALPGTLRAANLSSNLLLGAFRGIPLPEHLDALDASNNSISGVLAPDLCAGAPKLRVLDLSANRLAGALPSSSASPPPCAATLLELSLANNAFTGDLPTALFDLTALRKLSLAGNRLTGHLTPRLGELKNLTFLDLSGNRFSGDLPDTFGGLTSLENLAAHSNALTGPLPPSLSRLSSLRVLDLRNNSLSGPVATVNFSGMPSLASVDLATNQLNGTLPVSLAGCRELKSLSLARNSLTGQVPQDYGRLVSLSMLSLSNNSLHNISGALGVLGACKNLTTLILTKNFVGEELPDDGVAGFGGLEVLALGDCALRGRLPKWLTQCKKLEVLDLSWNQLVGTIPSWIGECEYLSYLDLSNNTLVGEIPKSLTQLKSLVAVTQSPGMAFTSMPLYVKHNRSISGRQYNQLSNFPPSLILNNNHLNGTIWPEFGNLRELHVLDVSTNFISGSIPDSLSRMENLEVLDLSSNNLSGVIPSSLTELTFLSKFSVAHNHLTGQIPNGGQFLTFSNLSFEGNPALCRSSSCNPILSRETPSDTDVKPAPSIRNRKNKILGVAICIGLALVFLAVILVNMSKREVTAIDYEDTEGSCHELYDSYSKPVLFFQNSAVKELSVSDLVRSTNNFDQANIIGCGGFGLVYKAYLPDGTKAAVKRLSGDCWQMEREFRAEVEALSQAQHKNLVTLKGYCRYGNDRLLIYSYMENGSLDYWLHERSDGGYMLKWESRLRIAQGSARGLAYLHKVCEPNIIHRDVKSSNILLNENFEACLADFGLARLIQPYDTHVTTDLVGTLGYIPPEYSQAVIATPKGDVFSFGVVLLELLTGRRPVDVSKSKGSRDLISWVLQMKSEKKEEQIFDSLIWSKVHEKQLLSVLETACKCISTDPRQRPSIEQVVSCLDSV >Sspon.08G0005970-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:18855203:18867292:1 gene:Sspon.08G0005970-1A transcript:Sspon.08G0005970-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:MLO-like protein 13 [Source:Projected from Arabidopsis thaliana (AT4G24250) UniProtKB/Swiss-Prot;Acc:Q94KB2] MGEEAKLAFTPTWVVAIVCLVIVSISLAAERFLHYLGKGDVLLSAIDSQARAGHVWPPYLKHKQQKALFSALQRLKEELMLLGFISFVVSLSQGFIVSICIPETSTGFMLPCKRDNHRVAEEGAKICKKKVRVLSYFHISNDSTLLDNKVFYHFKQCKVVKNVFKNEHGESNRLALFQGDVPLLSLEALHQLHIFIFVLGLVHVVFCATTILLGGAKQAKSEGQGTPLSIVVLHRDHQDEFVRERAKGFWMKLAVVSWITSFLKQFHDSVSKSDYEALRSAFVVHLSVFLLKLIGHISVLFIQIHYPKKPDFDFHKYMIRAVEHEFKRVVGIRLLLLIVGAKLEHIITRLAQEAAASLSNVTAAEPPKIKPSKDHFWFHKPELVLHLIHFILFQNSFEIGFFFWVLVSEGFGSCMMEREPYAISRLVIGYITLPLYSIVTHMTGEIKLHGLGSGVHESVHGWLAERKKPFSKRTGGDPDIDSGGEADKERSGSSHNMLMAPAPPVLDEIVTVDDIAVAATAVVGQGP >Sspon.02G0035200-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:19254931:19259668:1 gene:Sspon.02G0035200-2C transcript:Sspon.02G0035200-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHCWSAWLLALCLVSVQLFVAAQNLSPPALTPPLVKEVDDLVEHIWTSCGLDRGSLEDVRKHFNYNHVFDILRTLSGKDTKDSSPETEDVSKALSPEIKNTLLNCLSKHPLVVAAQESAKNLPIDYIKMLFAMLRRDVAQGSPGAAATPAPPAVVKSNPSHSLGEPSSTKPDKNPDPPSETTPKEKTVPQTEKTVAKKDDNSGMPTIAVIGLAVSAIALLALLCLCCCVCRANQAFSSDVRDNKPPLILNLSNLSAASLKLSQGNPIDINKLGALPLKSEAGQNGNVKLSSSEISKTEVTPAVHNSLAEPMAASTGSVPGSRPTAPPPMPPPAPAPPKAPPSSTPQAPAPLKAPPSSNPQAPAPLKSPLSSTPQAPAPHSKPTPVLHPESSSPSAPKAAPPPKDAPQPKAAPPPPPKSTGPPPPAMPGSSKTRPPPLMKKSGNKVDDGANSHEAKTKLKPFFWDKVTANANQSMVWDHLKSGSFQFNEGKMESLFGYNSVDKTGGDGKKDLLSKDVPQFVRILDPKKAQNLAISLRALSVSPEEVCSAVKEGNELPPDLIDTLLKWTPNNDEELRLRLYTGELSQLGPAEQFLKAIIDIPYIFQRLDALLFMSNLPEEASNVKHSFATLEVACQELKNSRLFLKLLEAVLKTGNRMNVGTFRGGAQAFKLDTLLKLSDVKGTDGKTTLLHFVVQEIIRSEGIRATRAAKKHDCSVSSVDANDTDGNNMQTEDDYKQLGLKVVSNLGDELQNVRKAAILDVDQLTMSVASLGHKLAKTKEFLNTSMKSLDEDSGFHHKLKHFAGQSQTDVALLLEEEKKIRSLVRGFVDYFHGSTGKDEGLRLFVVVRDFLAMLDKVCKEVKEASKVAPKKTKTPQPSQASFNDPRRHLFPAIQDQRAYTSSSSSDEDD >Sspon.07G0036610-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7D:39882445:39884503:-1 gene:Sspon.07G0036610-1D transcript:Sspon.07G0036610-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVFVEAIHEEVIQKDMVGFGARREIRDEEDKGNKRQGMQDGVELLSRARDTRIEGYMYTKGGDDERVHGDAMAVRSMYVCTHAPWSSAPRLAQQPNTLTSTLAFGSMPSGCIAEKSAVEHGTHLVDAPGPRERHDHAVARHRVELRALPEQRQRLVYAAARVSVEPELQRHHLGIPWSAAPLFRSSWVAVFVFGKGEETVINIV >Sspon.03G0008510-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:17371760:17375174:-1 gene:Sspon.03G0008510-2C transcript:Sspon.03G0008510-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLSGASASRLPSPLFSHPRARSRCVPASSFACRAASSSAAGTGDGGARKPWLFVGLGNPGKVYQGTRHNVGFEMIDVIAEAEGISLSSMQFKAMVGKGRIGDAPIMLAKPQTFMNASGESVGQLVSYFKIPLNQVVVMYDDLDLPFAKLRLLPKGGHGGHNGMRSIVNHLKQSRDFPRLRIGIGRPPGKMDPANFVLQPFNKKEQEELDFALHRGLEAVRIMVLEGFNKSATYVNTAQSSEMLNR >Sspon.07G0028020-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7B:63958421:63959242:1 gene:Sspon.07G0028020-1B transcript:Sspon.07G0028020-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGHRPDDVFGISTCGKAWRRWQNGGAQPMSKVDARGMGANHVGMEAAGELEDGGINHPLKMYPLVCSPFA >Sspon.01G0016110-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:49379950:49387688:-1 gene:Sspon.01G0016110-1A transcript:Sspon.01G0016110-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLYIVATFIHVVTISLIGKWKEIKLKAFIWIFTSALVGFTIPGLYYIGLGAFELEEPGRKHQGDRNPSLCWWDTGDQPLQRQSASSLAYQHHRYHPKQSGSAFGHHHMRGTVLLIISCLSLAVWYTVQAQMLKVFPYKYWSTVATCFVGCIQMAVVGVAMNREKATWQLKWNMSLLTIVYSVNLAILNIKAILNTAAKFVMISWVVTQRGPTYPSMFCAVSVLFTTVLDSLLLGHDLSVGSILGMFMILAGLYLFLWGKRKELVPDNEEKPKDELQSQSEDKIKDSTGSN >Sspon.03G0005940-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:16622559:16624578:1 gene:Sspon.03G0005940-1A transcript:Sspon.03G0005940-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVSAMEEALPKATARRKGGIRTIPFIISNEIFEKVATFGLTANMILYLTEHYLMSSAVATVVLYFWNAISNFLPIFGAVLADARLGRFRVIALGSCVSLFGMCLLCLTAILPVYKKTPECAAGSGCVVLPWQLPLLFTSFALMSLGSGGIRPCTLAFGADQLDKRDNSAKNVRTLQTFFNWYYTVLGLSIVFAATVIVYIQQARGWVVGFAVPVVLMVTALTLFLLGSPFYVKAASDRSVIIGFVQVLVASYKNRHEPLPPEIADSSSFYNKADCKPRTPTNKLRYLNRACVLRNPGKEVSPDGAACDPWRLCTVQQVEDTKAVIRVLPIWSTGIMPGVIVGQQMFPTLQAKTMERKVGKLDIPAASFGVFAILTLTVWVAVYDRVLVRPLSRLTGHARGLSLRQRMGAGLALFAVAMAVAAHTESVRRAAGIAQELRDPVHPDEPVHMSAMKLVPQHCLTGLAEGLNLIGQIEFYYSEFPKTMSSIGVSLLALGLGFGAVLGSAIVGIIHAGTGWLPSNLNRGHYDYYYLVLAVLCAANVVYFVVCGWAYGEEGQNRVVAADAAAGEDDQDEEHKAVII >Sspon.04G0021180-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:82035227:82040973:1 gene:Sspon.04G0021180-2D transcript:Sspon.04G0021180-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSVSERSLIIESDDEDEEPSAAARSTRRHRHEDEERSGSGSDSDSGSSSSCATPREQDEFTPQIRDPNELNFRIYRLLIHSYWIINMHGNMSQSINILSSVQSPNLSFLGTPTLSRLSNSFITNSFRGKTPEIISNLVKPLLHPTTSDDLQQQQHEERKSSQYLLPSRKPSLQQIPEDQKPVPVAHEVSPYHKCSYTQAVVNGINVLCGVGILSTPYAIKQGGWLGLVILCLFAILAWYTGVLLRRCLDSKEGLETYPDIGHAAFGTTGRIAISIILYVELYACSIEYLILEGDNLSKLFPNAHLTIGSLTVNSHVLFAILTTIIVMPTTWLRDLSCLSYLSAGGVIASILGVFCLFWVGVVDNVGFENKGTALNLPGIPIAIGLYGYCYSGHGVFPNIYSSLKNPVMGYKMFGEATQSQFTLNLPENLVVSKIAVWTTTYILPCACFLAILRRKVSWHQVAACSFIIVVGVCCACVGTYSSLSKIIQNYT >Sspon.01G0008220-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:22349569:22351224:-1 gene:Sspon.01G0008220-2D transcript:Sspon.01G0008220-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bifunctional TENA-E protein [Source:Projected from Arabidopsis thaliana (AT3G16990) UniProtKB/Swiss-Prot;Acc:Q9ASY9] MDSGGVETATTGAWMEKHRQMYEGATRHPFTVSIREGTVDMSAFKRWLSQDYLFVREFVAFIASVLLKCCKQKDSSDIEIILAGVASISDEISWFKNEATRWSIDLASVSPLKANLEYHRFLQSFTEPEVSYIVAVTTFWIIETIYQDSFGFCIQDGNKTPPEFLGTCQRWGSAGFRQYCQSLRSIVDCCLANAPADAVKSAEEAFIRVLELEIGFWEMSSSQS >Sspon.03G0019400-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:82730289:82732222:1 gene:Sspon.03G0019400-2P transcript:Sspon.03G0019400-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHRVAAALVVLVAVAGVAAVSAQVPPPPVTTALLQQVAGSLQMYVDPLPQMAKIRGYGFQQGRVVPVNLTIGMFQKKWKFHRDLPETPVFVYGQCADSATFPGPTIVARHDVPLSVTWENHLPDSHILPWDPTVPTAIPKNGGVPTVVHLHGSAHPPQDDGSAFAWFTAGFRETGPAWTQATYRYPNVQPPGNLWYHDHALGLTRANLLAGLLGAYVIEKPEVDVPMDLPCDDDDLHLVVADRSFNVDGSLYMNSTGVAPSVHPQWQPEYFGEAVTVNGKAWPFLAVHRRRYRFRILNASNARYFNVSLSNGMPFHVVGSDASYLAAPVTVSSLLISPAEIFDVVVDFSVSPTAEVEMLNSAPYPFPNGTAPGLLTGKVMKFVVTPNGPRDPPDNSTVPDREVPYANVASLGPTSETRYIVMYEYQTPSGQSTHLYINGLRLEDPVTETPRSGTTELWHVINLTGDNHPLHIHLGMFQAVKMQQLVDLQAFTDCMTQLNDAVKCGVDQHAVGPVVPVPDHEKTWKNVVKVPPGFVTTVVVAFKLVDTNQPFPFDATAEPGYVYHCHILDHEDNAMIRPLKLLP >Sspon.02G0028820-3D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2D:100390826:100391188:-1 gene:Sspon.02G0028820-3D transcript:Sspon.02G0028820-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIGYVVGVLGGAILAHAAYATIQCTLHPSSIPILLPSPRRRAPTKFRRGSPFSEGAFGFPSDSGSPFRGGFSVLRDPPRSRIEPAVEPLVNWGG >Sspon.01G0001950-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:5510536:5514187:1 gene:Sspon.01G0001950-1P transcript:Sspon.01G0001950-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPFAARLAAPAALAALLLFLLAAPASATNFTCETAGKTCQSAIGYAVPNATTYGELVTRFNTTTTLAELLGANGLPASTPASTPLAAKTTVRVPFRCRCGSNGVGQSEGGPIYVVYPLDGLDHIARDVFDAFVTYQEIATANNITDVNLIQVGQKLRIPLPCTCDQVDGADVMHFAYSVAKGDDPPGIAAKFGVTESTLLSVNKITDPKSLIQGQILDVPLPVCQSSISTTSADYNLLVPNGTYVLTADDCIKCSCSASNYEHLDCSPVQGRRCPAVPPCSGGLTLGQANGTDCASRMCAYSGYTNTTSLTLHTSLVSANETACQKGGAARSEFAGSMWRTSVIAFHMALIMICFL >Sspon.02G0037520-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2B:34211488:34213129:1 gene:Sspon.02G0037520-1B transcript:Sspon.02G0037520-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTSWYVLVWFRIVDYMVRFVRTYFAFAWLGFSSLVPFIINHAQLPQTPTQNP >Sspon.02G0015740-4D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2D:37142343:37144188:1 gene:Sspon.02G0015740-4D transcript:Sspon.02G0015740-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSAAWREGRRTLLLVNLASIMERADEALLPAVYREVGAALHASPAGLGALSLCRSIVQAACYPLAAYAAARHNRAHVIAVGAFLWAAATFLVGVSDTFLQVAISRGLNGIGLALVVPSIQSLVADSTDDGTRGSAFGWLQLASSLGLISGGFVGLLLAQTTVLGIDGWRIAFHLVAAISVAVGILNWFFAVDPHFPRRDGKQAATAREVVAEMIEEAKFVVRIPTFQIFVAQGVSGSFPWSALSFASMWLELKGFSHSDTAVLMTIFWVASSLGGLLGGKMGDLLAVRYPDAGRIVLSQISPLSAVPLAAVLLLGLPDDPPKGVSYGAVLFIMGVFMSWNGPATNFPIFAEIVPEKSRTSIYALDRSFESVLSSFAPPIVGLLAERVYGYRPNDKGESVEQDRGNAASLAKALYTSIAIPFIVCTAIYSFLYCSYPRDRERARMQSLIESELQQMEHESSCLELEDGGDGVPKVLGSENDGERATIGVTYDHKEDPEAEKDT >Sspon.05G0024830-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:18428512:18430325:1 gene:Sspon.05G0024830-1B transcript:Sspon.05G0024830-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GMELMRREPQSSMAGGTRSRCPVLLLLLVSVAARVASGVVTAGLLPNGNFELGPAKSDLNGTRVTGQNSIPNWEISGFVEYIGSGQKLDDMILPVPEGAYAVRLGNDATIRQRLSVTRKATYSITFCAARTCAQAEQLNVSVAAESGVLPIQTVYTSTGWDSYSYAFRARHSTAWLTIHNPGHEDDPACGPLIDSIAIKALSPPHVTRGKANGLTQRSMQCNAGNMLRNGDFEEGPFIFPGTAWGVLVPPMDEDDVSPLPGWTVMSDTKVVKYVDAAHHAVPRGARAVELVAGREAALVQEARTVPGRSYRLSFSVGDAANGCEGSMAVDAYAARATVRATYESRGNGAGRSERAAVEFTAIANLTRVAFQSYNHHMKPDGTLCGPVVDDVSLVGLRKHAARRLFLL >Sspon.08G0021780-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:45167652:45172703:1 gene:Sspon.08G0021780-2D transcript:Sspon.08G0021780-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GSHGGRGEVREQGGGVEPGDVPHRRGGDEHGGGGQERHRLERRQLLAVARQRHPGRLLLGPLLHDRRLLLALQGMVALTTWALLRTRMPRSTLFFPLYLMSIGQGGYQPSLQTFGADQLSIGDDDDDDDDTEPSATPEEKAKVKSMFFQWWYFGMCSGSLLGNSTMSYVQDNFGWGLGFAIPSAVMALSVAAFFCCTPLFKQQTKAKGASNKPSPNSVFKVLKSILASRKISLPSRDDNGDATSELRLQEKPLKAEAADTKESSLDEAAPGVAKVILGLLPIWAILLVFAVIFQQPTTFFTKQGMLMDHTVGVGSGSFVIPPAMLQSSITVSIILLVPMYDRMIIPLINAVTGGSDGITVLQRIGVGMVLSVVAMVTAALVESWRLRVAPVRLSIFWLLPQYVLLGISDVFTVVGMQEFFYTQVPASMRTTGIGLYLSVFGVGGFLGAFLITALEMATARPGNARGWFSDDPREARLDNFYWFLALLCFISFVIFTHLCKYYNGKSASGRMISGVVVQVCNVFRMGVQDFRRVL >Sspon.07G0015150-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:54239853:54241168:-1 gene:Sspon.07G0015150-1A transcript:Sspon.07G0015150-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MCHHFTFKQIQDASNNFDETFLLGKGGFGNVYRGKIDGGVQVAIRRGNPLSQQGLHEFRNEIGTLSMLRHRHLVSLIGYCEQNNEMILVYDYMAHGSLQEQLYSTKRSPLPWKQRLEICIGAARGLQYLNTGAKQTIIHRDVKTANILLDDKFVAKQLTRKSDVYAFGVVLFEVLCARPVINIQLPEEQVSLRDWALSCQKNGVLSEIIDPHLQGEITPECFRKFTETAEQCVAERSVDRPSMGDVLLNLQVALQLQERTGVNNSNGESTLSLAKSQVDSVA >Sspon.02G0023360-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:82436020:82438504:1 gene:Sspon.02G0023360-3C transcript:Sspon.02G0023360-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ASATRALAKGTTFEDVISMVKEVIPELSCPVALFTYYNPILKRGVPKFMSIVKEAGVHGLVVPDVPLEETDVLRSEAAKNNLELVLLTTPTTPNERMEKIAQASEGFIYLVSTVGVTGTRANVSGKVQSLLQDIKKVTEKPVAVGFGVSTPEHVQQIAGWGADGVIIGSAMVRLLGEAASPEEGLKKLEELAKNLKAALP >Sspon.07G0008110-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:22081406:22083794:1 gene:Sspon.07G0008110-1A transcript:Sspon.07G0008110-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding QSAADQQKRRGASQSLPCSQKPPGPGRRDVSAGPHGQPTSAAPARAPEAAQTPINTRGALATPHLRRPGDERVSTALSLPGRTRAEQSRACTVAAMAVVKDAGESLMQRCKPYVAMISLQFGYAGMNVITKVSLNHGMSHYVLVVYRHAFATLSIAPFALVLERKVRPRITPWVFLQIFVLALLGPVIDQNFYYAGLKFTSPTFSCAMSNMLPAMTFIMAVLFRMEMVNLKKARCVAKVVGTLVTVAGAMLMTLYKGRVVEMVWTKHMHLHGAHPDAAAAAAADKDWFTGSIFLIIATLAWASLFILQAATLKRYDAPLSLTTLICFVGTLQAIVVTFIMERQTSVWRIGFDMNLLAAAYAGIVTSSIAYYVQGLVIQSRGPVFASAFSPLMMIIVAIMGSFILAENIYLGGIIGSVLIVAGLYSVLWGKHKENLEKEAQAAMEIPVAIKAVDDNGRIMDIVELDEVQLEKAQANASANMAVAVTVPAEEARM >Sspon.05G0008860-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:28149493:28152066:1 gene:Sspon.05G0008860-4D transcript:Sspon.05G0008860-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AAMPEAGHYGYKKTDGICDGVCLQPVSKAALTMSRLKCALRGFDLRALMVLLIGVPILILMIYAHGQKVTYFLRPIWESPPKPFKTIPHYYHENVTMENLCKLHGWKVRDIPRRVFDAVLFSNELDILEIRWNELSPYVSEFVLLESNSTFTGIKKPLHFKENKHRFGFAKSRLTYGNIGGRFVKGENPFVEESYQRVALDRLLKLARIEDDDLLIMSDVDEIPSGHTIDLLRWCDDIPDILHLQLRNYLYSFEFFLDDKSWRASIHKYRSGKTRYAHFRQTDELLADSGWHCSFCFRYISDFAFKMQAYSHVDRIRFKYFLNPERIQDVICRGADLFDMLPEEYTFQEIIAKLGPIPSTYSGVHLPSYLLKNVERFRYLLPGNCRRES >Sspon.02G0033560-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:7635517:7638820:1 gene:Sspon.02G0033560-1B transcript:Sspon.02G0033560-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Anaphase-promoting complex subunit 13 [Source:Projected from Arabidopsis thaliana (AT1G73177) UniProtKB/Swiss-Prot;Acc:Q8L981] MSGLEQELGLSLGVLIDVVDEQWMRDTLPADDIPVPPAMAVKTEDAEDPAPASMLVAPEALQWSPTQQLVCSSIAFFS >Sspon.07G0023260-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:14219723:14222911:1 gene:Sspon.07G0023260-1B transcript:Sspon.07G0023260-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLDALTNLTSESDAFKEVSDNNCVYRNEVHHTAGEQTQVLQDVASDPTLPRTKTVRCTVCGHGEAVFFQATARGEEGMTLFFVCCSPDCEYDGRNFDMGSTSRTNKGVEPHCLSPFIDEILP >Sspon.05G0030340-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:89252591:89253968:1 gene:Sspon.05G0030340-1B transcript:Sspon.05G0030340-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPASASACVRAGPPPPRRALSTSTTGTATAAAFLLRRHQRLPPLHLHGRANSDLRCRRRLLTARGERPSPDEEEDDHEEQAAGGGSFDAAVALFNRGEYHACHDVVEELWYGAEDPARTLLHGVLQCAVGFHHLFNQNHRGAMMELGEGLCKLRKLQLDSDGDGDSGRPFSRFRDEVAAVLQFLYRTQKELAACTDEMCLTMDGSASSYQLLGNFAAGQQLYRLELEAEEDGACSSILFSVPKGDGAPQGAHHPQRVKLPTLRATEQHLAALQCAYEYT >Sspon.03G0013980-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:61641684:61643474:1 gene:Sspon.03G0013980-2C transcript:Sspon.03G0013980-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATAAQLGPLPRSAIRFLRLRSTQTSNLAIPTRTAAPAPARLRCHLSHSSDSTSTPTPALTTSDPERDPDHESESEDTLRFAFACGGAGAGGRVYSAIALADELHASLPSSRSLILGAPAPSLESSAAAAASYPFAPVPLHCLPCGILAAALHLRRFRPHVLVATGGAPALPACLAALMLRLPFVIQDQDAYPAPATRLLAPFARRIFLAFNAPVRLLPKRRCAVYGNPVRMSILKCSASKTEALAHFFPRAGLLGEHEAQVVLVLGGAEGSPEINVAVLNVYYEILRSKDRYIIWQTGTETFCEMESLVRGHRRLFLTPFLHELEMAYAASDVVISRAGAMTCTEILATGKPSILVS >Sspon.01G0005730-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:13296712:13298290:-1 gene:Sspon.01G0005730-2P transcript:Sspon.01G0005730-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGGGAAAAAGSGGAPSGAKLLQILNVRVVGNGDRFVVLSHGFGTDQSAWSRVLPYLTRDHRVVLYDLVCAGSVNPEHFDFRRYDTLDSYVDDLLAILDALRIPRCAFFTLRRDGREQIPFLNDNDYHGGFELPEIQKVFDAMAANYSAWAVGYAPLAVGADVPAAVQEFSRTLFNMRPDISLHVCRTVFNTDLRGVLGMVRSPCVVVQTTRDVSVPASVAAYLRAHLGGRTTVEFLQTEGHLPHLSAPGLLAQVLRRALARY >Sspon.06G0012640-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:54620337:54626480:1 gene:Sspon.06G0012640-3C transcript:Sspon.06G0012640-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHGHAGAPAIACCLINFHLFFIPRARFPVFRLAFRWDELGQEIMKIAVPGALALMADPVASLVDTAFIGHIGPVELGAVGVSIAVFNQVSRIAVFPLVSVTTSFVAEEDALSNGRDNDKIHQENESNVSLSEMEELISPEGASATTSISSFETDSCEVSVEQKRKNIPSVSTALLLGGVLGLLETLLLVLSAKPILGYMGVTPDSAMMKPALQYLVLRSLGAPAVLLSLATQGVFRGFKDTKTPLYATVAGDAINIVLDPIFIFVFQYGVSGAAIAHVISQYLIASILLWRLRLHVDLLPPSFKHLQFGRFLKNGFLLLARVIAATFCVTLSASMAARLGSTPMAAFQICLQTWLACSLLADGLAFAGQAILASAFARKDYPKATATASRILQVCYLS >Sspon.03G0024760-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3A:75199700:75201535:1 gene:Sspon.03G0024760-1A transcript:Sspon.03G0024760-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGLFLMGTSEPQILAPSSEPQILAPSSAPRSVAPSSAPRSVAPRYRPRQRHLGCHAVRGQAPRSQDLWRRDVLPRSHEFWRRPPGSKDEFMSSRGPNCDLLRRLQQSGAAV >Sspon.08G0001010-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:3909353:3911253:-1 gene:Sspon.08G0001010-1A transcript:Sspon.08G0001010-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVLKMVLLRLFTTGGVIIFLLCPASCLARPPHSSNNYYCDWCPRHSTASPDLDALLRGTGTSTDGDGACGAAAAELGGGAPHVAAAGADFFFRDGAGCGACYQLRCRDRRVCSDGGVKVVVVTGAANRTGFLLTREAFSAMARRPAVSSDDQLLPGLGASSNAVQVDFRRIPCEYKNKNLSVRVEEEGSRHPAHLAISFLYQGGQTDIAAVEVAHAHAQAAAGAPPPSWRPMARLRRRDVVTWRTSRAPAGPLQLRLVVTAGVGGKWLRAGEAVPVLPADWRPGQVHDTGLRVRDVALSTCARSCRARRRPVIAGSEELR >Sspon.01G0044270-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:81311002:81312341:-1 gene:Sspon.01G0044270-1B transcript:Sspon.01G0044270-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RSWARRLCATTAADSGPFACATADCGLGTECSRCGAAPLATLACRSTCEVFAAAEYCCSGVYVSPETCAPTPYSRFFKAACRGRRGRGEGLPLAQSPNSCPMTAFECQP >Sspon.01G0058230-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:23027238:23038151:-1 gene:Sspon.01G0058230-1D transcript:Sspon.01G0058230-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAISHLRRGAQRHAALCISLSHRRFSSSSAVAAASPLAAAARRLLSTTVDSTTSTSGEHYKPPPFDPFRAATLSPSALPLESPPIEDELPSGPPPQDEAAASVAAHEQATLACQEVELEGLKAGVEAVKSREESPEEKEAWWLLSRAVVNYCGSAVGTVAANDPSTSQMLNYDQVFIRDFVPSAIAFLLKGEGDIVKNFLLHTLQLQSWEKTVDCYSPGQGLMPASFKVRSVPLDGNSEAFEEVLDPDFGESAIGRVAPVDSGLWWIILLRAYGKITGDYALQERVDVQTGIRLILNLCLSDGFDMFPTLLALFYSALRCAREMIGVTDGSKNLIRAINNRLSALSFHIREYYWVDMKKINEIYRYKTEEYSHDAINKFNIYPEQIPSWLADWIPVKGGYLIGNLQPAHMDFRFFSLGNLWAIVSSLATQRQAEGILNLIEAKWDDIVANMPLKICYPALEYEEWRIITGSDPKNTPWSYHNGGSWPTLLWQFTLACIKMGRRDLARRAVEVAEKRLSDDKWPEYYDTRTGRFIGKQSRLYQTWTIAGYLSSKMLLDCPEMASILICDEDFELLEGCACSLNKNARTKCSRRAAKSQVLV >Sspon.01G0013260-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:36003754:36005632:1 gene:Sspon.01G0013260-2C transcript:Sspon.01G0013260-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGGLASSVALGVLAVVLGSSCLVALSEDEPLENLRFVRHAQDAPLVSQYNYIVIGGGTAGCPLAATLSEHSRVLLLERGGLPYRNMSNQQHFTDALADTSPASPAQRFVSEDGVVNARARVLGGGSCLNAGFYTRASNDYVRAAGWDTRLVNSSYRWVERALVFRPDVPPWQAALRDALLEAGVTPDNGFTFDHVPGTKIGGTIFDNSGQRHTAADFLRHARPRGLTVFLYATVSRILFRQQEGVPYPVAYGVVFTDPLGVQHRVYLRDGAKNEVILSAGTLGSPQLLMLSGVGPQAHLEAHGIQVLVDQPMVGQGVADNPMNSVFIPSPVPVTLSLVQVVGITRSGSFIEGVSGSEFGIPVSEGARRLARNFGLFSPQTGQLGTLPPKQRTPEALERAAEAMRRLDRRAFRGGFILEKILGPVSSGHIELRSADPRANPAVTFNYFQESEDLERCVHGIQTIERVIQSRAFANFTYANASVESIFTDSANFPVNLLPRHVNDSRTPEQYCRDTVMTIWHYHGGCQVGAVVDDDYRVFGVRRLRVIDSSTFKYSPGTNPQATVMMLG >Sspon.08G0012990-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:55185535:55189475:-1 gene:Sspon.08G0012990-1A transcript:Sspon.08G0012990-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:U-box domain-containing protein 14 [Source:Projected from Arabidopsis thaliana (AT3G54850) UniProtKB/Swiss-Prot;Acc:Q8VZ40] MPALVAAEIAALPEPRGPMRRLCGDLARRIRLLAPLLQHLQDRDRDDALPLADALGAARDLLRAVHDGSKIYQAMQGDALLQRFATVNRHIHLALDALPYQTFDMPEEVLEQFKRAATTAAPPDAQLSKDICSALADKAFDPRVLTRISDKLQLHTMADIKKESLALHEMVISSGGEPDGCVEEMSSLLKKLKDCVVTEAPTTETPSTRSASIKHTSPIIPDEFRCPISLELMQDPVIVSSGQTYERSCIQKWLDSGHKTCPKTQQLLSHMSLTPNFVLKSLIAQWCEANGIELPKNKANSRDKKAAKSSDYDHAGLVSLMNRLRSGSQDEQRAAAGEIRLLAKRNVNNRICIAEAGAIPLLVNLLSSSDPRTQEHAVTALLNLSIHENNKASIVSSHAIPKIVEVLKTGSMEARENAAATLFSLSVVDENKVTIGGAGAIPPLINLLCDGSPRGKKDAATAIFNLCIYQGNKIRAVKAGIVIHLMNFLVDPTGGMIDEALTLLAILAGNPEAKAVIAQSDPIPPLVEVIKTGSPRNKENAAAVLWSLCCTDVEQTRAAKAAGAEDALKELSDSGTERAKRKASSILELMRQAEEALEIK >Sspon.01G0050690-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:6416594:6419818:-1 gene:Sspon.01G0050690-2D transcript:Sspon.01G0050690-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAGAASLRASASAVAEAGGDPAVLHAVLVKTAWSSRAAYNLLLSRYPPSLSLPLLSRLPFRPTAASLSSLSASSPASALPLLRRVLGMSSALLADGPLSSLLRSMPPSLAPLVHALAFKLALSSSPYSASCLITLYSRARSPASARHLFDEIPVANRDPVCYSSTIVGLAQNGRYEESLSVFAVMRANAVVSTMYALSGALRAAAGLAVLEQTCGIHAHAVVVGLDGNVAVGTALVDAYGKAGVVDDAAKVFEGLGGDRNLITWNAVLSAHAQQGDVQAVIGLFNQMMELGFAPDGLTFLAVLTACSNAGAATEAEFWLETMQSKYSLKPGLEHYTCVVGAMARVGRLEDAESVACTMPCKPDAAVWRTLLMGCVVHRKVDMAESMGQRLLEINPKDDSTYVMLANVYSAAGKKNEEAKAWTAMRDRGVRKEGGRSWIEVRGLVHVFVANERRHEQLLEIYDKLNELIQEVEKLGYKEADEGFWHHSERLALAYGLISGAAPSGKVLRIVKNLRICAHCHEFFKYASMVIDRVIVVRDVNRYHTIKKGINDHRQDSDWSMP >Sspon.03G0027110-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:4575874:4587903:-1 gene:Sspon.03G0027110-1B transcript:Sspon.03G0027110-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADKSVQEKLMPPRSLQVLHLVGYMSIDFPRWMLDIPSYLPHLTSIVLYELKGCSWLPPLGRLPNLRVLALFDMPDLKCVDREFYGDYGSCQQLRMIVLNKMDNLELWWTTRPSTEEGELLIPNLHLNLPDAIRCFKSLPKLEIESCVDLETLPEWLGDLTSLREIGIEECPKLNSLPESIRGLTELKKLQIIDCPALLEKCQGEDKYKIAHIPEEYYELWCEEIVSKGQDTPLLVRRHRKGQDRSPFPTSTACKDQYARMRGEAKANSY >Sspon.03G0003940-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:26434981:26437094:1 gene:Sspon.03G0003940-3C transcript:Sspon.03G0003940-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPRPGRAVMSSPPAPLPSHAKLLATGASACLPRPRDRHLLVRGWSVTAATATPLVATSSSSGPACCHQYSVATAPSLRASSSASPRRGGGAGLDALTVTASAVCKRNAEFLEKYFDSAREKLPETMASVRLVGREIGDLAADLSDLSQELTKGVKSSMSIVHTAEAQLRQSPPSALPGELQFDATNLRDNPSNYNLILLGPARRMSNQNVAEEPLLASTVRDLRQLIADIRLGFGAAAGIAGLYMWALKFGSKGRKNRAHRVQER >Sspon.07G0000880-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:1922640:1926028:-1 gene:Sspon.07G0000880-1A transcript:Sspon.07G0000880-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEAKYLETARADRSVWLMKCPPVVSRAWQAAASASSSDPANANPVVAKVVLSLDLLRPEERPEEPTLQFKMELAQTNTGNTPKSYSLNMFKDFVPMCVFSESNQGKLSCEGKVEHKFDMEPHSDNLANYGKLCRERTQKYMVKSRQVQVLDNDHGMSMRPMPGMVGLIPSGSKEKKKQAPAKPSDVKRTRRDRTEMENIIFKLFEKQPNWALKALVQETDQPEQFLKEILNDLCVYNKRGPNQGTHELKPEYKKSTGDTDAA >Sspon.03G0025910-1P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3C:3193169:3194428:1 gene:Sspon.03G0025910-1P transcript:Sspon.03G0025910-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMADGPWNPGQATGHSTDHSPQLPPLLCFSSLQQERLSRPGAFATTQVTALIASLPFNTSARNKRREEGMVEKPHGGGGGGGGGGFTVLRLLGAAVLAFLLPRSAGAADDGKWHAHAHPVAGAVGHGAPAPGLPPLSAPPPIAGADDLPPPPVPVSVSSPPTRAPGQRQQAAPHFGFPLQPGPGTASAAAPGAGPGGEGYPFIGSNPTVPLPTGMTDTSTVLPLPDTGDATGAATKVVGLATSVPAPVSMIGLGVFLATLFLSKIYS >Sspon.05G0010900-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:31155450:31157891:1 gene:Sspon.05G0010900-1A transcript:Sspon.05G0010900-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein trichome birefringence-like 2 [Source:Projected from Arabidopsis thaliana (AT1G60790) UniProtKB/Swiss-Prot;Acc:Q8VYR3] MAGWRKAWLSALDRAAAAGGTGSLQAHLQDLLHLPSFLASYKRGGKYGNGHMSGKAVAACFAVALALAFFYASVTSGPADDGSFPSPAAASSSSLLLLPWLSWNSSSSTSPKKSLPTHPPPVPPAVTADHQTDARNRNATVVSSLQDAGNATVGSDAEPTSNSNRTREDEPQVETATPMLQWGRTGGDGRPSHDAVVVGAATGQHATSTDDVATGNSRYTGTSSREETAKNAAAGYVQNLARRAALPVPSRPERKAERHRRRRAVRHRHPRRRKEIMLSAQDLAVAAERSHEEMAGVKTSFAVGPGNDVVGVNTSIAVGPGNDLAAGVNASPGMVGAGNNRVVWTSGVQDLVSFAKCDVFSGRWMRDESYGFYPPKSCALIDDDFNCHKNGRPDSDFLRWRWQPHGCDIPRQMIREGRNGTEDAKLKLDELDATTPAYQTADIVVFNTGHWWTHYKTSRGLNYYQEGNHVYPSLEVLDAYKRALVTWARWVDKNIDPRRTQVVFRGYSLSHFRGGQWNSGGRCHRETEPIFNQTYLSEYPEKMADENSVIYLNISALTDYRKDGHPSVYRIRYDTEEERMAMVKRQDCSHWCLPGVPDTWNELLYASLLQAGKGSWKL >Sspon.02G0015750-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2B:41315649:41317049:1 gene:Sspon.02G0015750-2B transcript:Sspon.02G0015750-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQQGWRQRRSRRTTLLLSFAALAMERADAALLPAVYREIGTALQASPTALGSIALSRSVVQTACYPLAAYLAARHDRLTVIALGAFVWAAATFLIGFSTTFPQMAVTAALNGVGLALQIPAIFAFVADSVDGASRGMAFGWLAVAGKAGTVAGTSLGLLMAPTTFLGLPGWRLAFLLLGVLGAAVGVSIRAFAASDGATGRVVTPATVRPVRQELQEFAREAKAVMRIPSFQVIIAQGLTGSFPWSALLFTAMWLELVGFSHGETAALMTLFKFATSLGSLFGGRMGDALARRFKNSGRIVLSQISSGSAIPLSGVLLLALPNDPSSTVKHGAALFILGIMASWNSTATNSPILAEIVPPRAMTTVFALDRTFEAVLASFAPPVVGLLAERLYGYKLARSTTGDGVDERTAVDVEMERHNATSLARAIYTSIA >Sspon.07G0015910-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7A:56514697:56517480:-1 gene:Sspon.07G0015910-1A transcript:Sspon.07G0015910-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKPTSKRSFHLEKSLSATAATINDCLYKAGLKEKVMGGATINSTGSYPDDAGSAPTPSVAPYCS >Sspon.02G0054910-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:122222295:122227219:1 gene:Sspon.02G0054910-1C transcript:Sspon.02G0054910-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRKHAPAFTPEAATASASGGAGQPHNLPVLQAKMKRDPEGYEEELRQLHRHFESSVFLFQQQAALATTSSSGGGGEVAKELGDLALFLAHVAPFYPDDLADLPDQIGGLLDTNARGLPPGLRAHLVQALILLVNRKIVDLEDTMELFMELQVIGDRAVKKLAFSHIVHSIRRMNQKHKNEPTNRKLQNILFKFLQAEEESRAKRAFTILCDLHRRRVWFDERTTNAICDACFHPSSRIMIAAISFLLGYENAPQEDDSDASSSEDEADQNPQILLSKQDVYKANHKGTAASKKKKKAKLQRVIRSMKRQQRKSVEETGSSFYSPLTYLKDAQGFAEKLFSRNAMSDLRLYSFFLHTIHNVDNAFFDFLFSKDDDAKSNCEDYWAASLGFVELLPISSKIRSTFETPTSLVALTQPHQRDVTTLLAAAVQACHDMVPPDAVEPLFKQIVNQFVHDRSRPEAIAVGLNVVREICMRMPLMMNEDLLQDLVLYKKSHEKAVSIAARSLITLFREICPSLLVKKDRGRPVDPKAQPKAFGEVTVASNVPGAELLDENISSEGEGSDDESDAFDSDDEMDLASAPPGTEENMEGLSVANKHGADGDTKEEDEASDDEDGTGQDDSNNDSDELDDDSDMDADTDISDEDEDEDEDDDDELKESINGSEDEASDQDEDSDEEDESKGSGSKVQKRKLSDYIGEVNAADASLRALKRLATAKKAEVSSDETGKILSDEDFKRIKELKAKKEAKLALAQHGLIKGGDTRSVTFKMPSSDQLSRKRVDPLELEAHVRRKMSKEERLALVKAGREDRGPYMARTAVKQKKTGGLSNKQKQHKKRMPLAATRAKAARSRQEKKQQRKRSGNQFRGRKAWK >Sspon.01G0007210-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:19501838:19507380:1 gene:Sspon.01G0007210-1A transcript:Sspon.01G0007210-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAHASRGRRTLEEIRQKRAAERMQHALPIAASHVDSHGNQRAGAELLARVQELENGNTELERENKMLLSKIAEKEVEKDALVNRLNDLERNVVPSLKKTLNDISLEKDAAVVAKEDALAQLRSMKKRLKEAEEEQYRAEEDSASLRAQLNTLQQQVMGHSYSGYTMGTSNEEFIAMEKEIQDLQAQLKQESLLRQQEQQKLAEESQLRQQEQEKLAKEQTRIASLEAEKQQLEDQITTLTKKATDREKLENQLHDMALMIERLEGSRQKLLMEIDSQSSEIEKLFEENSALSTSYQEAMAITVQWENQVNDCLKQNEELRSNLEKLRLEQASLLKVSNIATQSDGQIESSISNPPEMVTENVSLKDQLIKEQSRSEGLSAEIMKLSAELRKAVQAQNNLARLYRPVLRDIESNLMKMKQETYATIQ >Sspon.07G0027460-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7C:61292741:61300345:-1 gene:Sspon.07G0027460-2C transcript:Sspon.07G0027460-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASLRRERTPRAVKGRGGRSVAAGLGEDEGERPALGLRERRLGGDHGVRALPDAWRTSAARVGGRLGKIRAATAGRGAELGPGYSPAICFGQLGGCVRIATSGRSGTGVAARLVLCMTLASGQREWKGTEEKWFGLALATGCRGIRGIARRLRRWCPGAAAADGSGTAGSQGRRRRTGKETEENGVRATGLEIDRFPFLLSLICGSWVMGAAHLPETWGCRESGGGLLPCVAAPRPLGDVVEAHSRIGTTRAHPHGGEAGARPHDGGGASHLRGGGGALARRRGDRTGERLHLTNTSLTRDSSTLPPPVLPAPQSPLRDRAVVRRPLQLRDIDMGGGLEADLSRYAVPAVLCSESPTTAGSRPVLQVADVTHVPDPDGTGLRPAPSRYRLLLSDGVYSQHATLVPALHHLARGDGLRRGSVVRLLRFVRVSDPDHLRTIVILELKILQTECALIGSLKLYKPRNSGVFSTRRSMSYGLRSDCKPSFGVQHIRYGPSCGSYPSGGDRLSSVALSEKPPVWKLVGQIKDENLGYSDKPDLITVKATISSVNPVLLYYVACPLVVNDKQCNAKATRVDGIWRCKSCEYNFLQPSEYGQLVRIQIQDHTGTTSATVCEEAVEEIFSCRGRDLNSLKYKEQGFAQLHDILLAAVSKQYVFQLKVEGRSPQPAFSKVGIMECIVFKAEKVNPSAECHRLLGRIDTLLEGSGAGLELHSPTMPTYTGSPGSQVCSSVRRSSNSINSDCAGSPQLRLMIQQQLRGCAVSEQQLGGCFISADEP >Sspon.07G0004300-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7A:10559371:10560786:1 gene:Sspon.07G0004300-1A transcript:Sspon.07G0004300-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALKSALDLGLAEAIHHHGGSATLPQIVARVTLHPSKTLHLRRLMRVLATTGVFSVQHSSPSGDSSSEPVYKLTPMSALLVGPRCNLVPLTALVVDPAIVTPFFELGKWFQRGLPGPCIFEQTHGQTLWEHANGDAAFDALINDGMLSDSHFIMDIAIKECAHLFQGISSLVDVGGGLGAVVQAISMAFPSVKCSVLELDHVVSKAPSDTQVCYVGGDMFESVPPANAMFLKEAAYITNLANIVLVIQVDQVLRTVIDALRVLIAFTIVITTFFSATADHVQQYFFVFSRVIHFCKNN >Sspon.06G0016080-3P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:77502277:77506568:1 gene:Sspon.06G0016080-3P transcript:Sspon.06G0016080-3P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKLFFSESACKETKLHSAPHSWLPLERGKLSKFSGHAAASSSIDSLMKMPEPAVLPHFKPADYVDILAQIHEELESCPPDEKSCLYLLQFQAKLSRRSLQSAWEKASTIHEKLIFGAWLKYEKKGEEAIADLLSSCGKCSQEFRLLDFVSQVFTGSHVMSYDDDESDEFRGSAVVHFRIRDDMIACDRRKLAALSTPLYAMLNGGFRESYLEVIDMSRNGISPIGMRAISKFSLSGRLPYLSADAILEMLDFANKFCCKGLKDACEQKLASFVSSRQDAIDFMECALELGCSILAASCLQVLLNELPECLNDEQVVRIFSSANKAQRLTMVGNASFSLYCLLSEVSMSTNPTSDVTVSFLEKLVESASDSRQKQLALHQLACTRFLRKDYPESERLFNAAFSAGHLYSLAGLARLASLRGNKHFALKLLDSVMSSRWPLGWMYQERALYLEGDNKIENLNKATELDPTLTYPYMFRAASLMKRQSVEAALMEINRILGFKLVLECLELRFCCYLALEDYRAALCDVQAILTLAPDYRMIGGRVAAKQLRMLVLENVEQWTAADCWMQLYDRWSSVDDIGSLSVIYQMLESDNAKGVLYFRQSLLLLRLNCPEAAMRSLQLAREHAASDHERLVYEGWILYDTGHCEEGLQKAEASIAIQSLCFGRFKFDPSTSATVVSLLEDALRCPSDRLRKGQALNNLGSVYVDCGKLDLAAECYINALKIGHTRAHQGLARVHFLRNNRAGAYDEMTKLIEKARNNASAYEKRSEYCDRELTKTDLQMVTKLDPLRVYPYRYRAAVLMDNHKEKEAIAELTKAIAFKADLNLLHLRAAFHEHIGDISSALRDCRAALSVDPNHQEMLELHHRVNSQEP >Sspon.04G0020900-1T-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4C:79398966:79401445:-1 gene:Sspon.04G0020900-1T transcript:Sspon.04G0020900-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKASSLSELGFDAGDASSGFFRPVSDSSSADGLVTPSAHRRRLTKVSVIGAGNVGMAIAQTILTRDLADEIALVDALPDKLRGEMLDLQHAAAFLPRTRLVSDTDMSVTRGSDLAIVTAGARQIPGETRLNLLQRNVALFRKIVPPLAEHSPDALLLVVSNPVDVLTYVAWKLSGFPPSRVIGSGTNLDSSRFRFLLAEHLDVNAQDVQAYMVGEHGDSSVAVWSSVSVAGMPVLKSLQESHRCFDEEALEGIRRAVVDSAYEVISLKGYTSWAIGYSVASLAASLLRDQRRIHPVSVLARGFHGIPDETDVFLSLPARLGRAGVQGVAEMELTEEEAKRLRRSAKTLWDNCQLLGL >Sspon.06G0021600-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:18565248:18571525:-1 gene:Sspon.06G0021600-2C transcript:Sspon.06G0021600-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLVTMSSMPILQQLHLEEQLLRCTPDNWRVSELVEIQPVLRDQVPVVRRFSGGGTVIVDQGTVFVTFICNKNAIAGLQPYPRDIMSWSGQLYGKVFDRFGEFHLRENDYAFSHRKFGGNAQSITKNRWVHHTSFLWDYDVKNMDYLKIPKRAPEYRLARNHTDFLCRMKEYIPSRSVFTDGVIAALGEHFSVQPMDLQAILSSDEEFVPSTKLLSQQDLQDIVSSKESLRIKLINCPPIFHFRLRHCS >Sspon.02G0027280-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:96786156:96788263:-1 gene:Sspon.02G0027280-2B transcript:Sspon.02G0027280-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVDREMEILLNEIPLLHHGGLLGGSEAAGADDDADLSFLIHELAAMGVVDGDDEPPSAPGAANGFGFGFSGMIYPKKGGENLVALHPFSMASHCAHMPSLFDPVTFDAAAAAAIDGWDIRCSPPPSVSVSPPPATPRARCKNVRRKNGGYGAVTTTSPKKCGAAAAANKSHGESLTGLRGVMSHIARDQHGCRLLQQRLDDGKREVDHIFAGVSRHAAQLMVDPFGNYLMQKLLAVCDAGQRMALVLTLTAEAFVLVRISLNVHGTRAVQKLIESLRTREEISLVIDALRPGFLELIKDPNGNHVVQKCLQSFEADDNKAIFDAAALHCLDIGMQCHGCCVLQRCIARSRGEHRDKLVAAIACNGFKLAQDAYGNYVVQYVIDLKIPNANSSLAQQFEGKYIHLSMQKFSSNVVEKCLKVFKEVDKAKIILEILATPHLEQLLQHPYANYVIYSALQNSKGSLHSALTNAIRPHVELLRTSPYCKRIYSRALLKK >Sspon.08G0001270-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:2112085:2116650:-1 gene:Sspon.08G0001270-1P transcript:Sspon.08G0001270-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLFPFSFTAKKLQVNAEESSDDLANPPKVEEKLGAVPHGLSTDSDVAQREAESISRKTLRSSAEKFEFQAEVSRLMDIIINSLYSNKDIYLRELISNASDALDKIRFLSLTDKEVLGEGDTAKLEIQIKLDKEKKILSIRDRGIGMTKEDLIKNLGTIAKSGTSAFMEKMQSGGDLNLIGQFGVGFYSVYLVADYVEVVSKHNDDKQYVWESKADGSFAISEDTWNEPLGRGTEIRLHLRDEAKEYLEEDKLKDLVKKYSEFINFPIYLWSTKEVDVEVPADDGETSDEEDSTPETTEEEETEDGEEKEKKPKTKTIKETTSEWELLNDVKAVWLRSPKEVTDEEYSKFYHSLAKDFSDDKPMGWSHFTAEGDVEFKALLFIPPKAPHDLYESYYNSNKSNLKLYVRRVFISDEFDDLLPKYLSFLRGIVDSDTLPLNVSREMLQQHSSLKTIKKKLIRKALDMIRKLAEEDPDEYSNKDKTDEEKSELEEKKGQYAKFWNEFGKSIKLGIIEDATNRNRLAKLLRFESTKSDGKLASLDEYISRMKPGQKDIFYITGSSKEQLEKSPFLERLTKKNYEVIFFTDPVDEYLMQYLMDYEDKKFQNVSKEGLKLGKDSKLKDLKESFKELTDWWKKALESENVDSVKISNRLHNTPCVVVTSKYGWSANMEKIMQAQTLSDSSKQAYMRGKRVLEINPRHPIIKELRDKVAQDNERGAEAHGEAGVPDSPDGERVQPPRPQGVRVQHLQVGAEEPGPEPDATVEEEDEAEEQPEVEEKEPAKEDSEPSYDKDEL >Sspon.05G0010650-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:32729000:32735970:1 gene:Sspon.05G0010650-4D transcript:Sspon.05G0010650-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWRMLRRKDFHTGLVNLAFRKDHGVKKNFSSGTIRNLAQVYHGDRPKRSSSCTPSDHFTIRFHMSGVYMLAWSRKREDVVGLKAPKKEKRVKKENRTQPPVEAPYIAPKPKISIKSLPDKTVEIFDGMTLLDLSKRTGAYISTLQGILADLGEKVESEFDSISIDLAELVAMELGVNTRRMHTGEGTNEPRPAVVTVMGHVDHGKTSLLDALRQTSVAAKEAGGITQHIGAFVVEMPSGASITFLDTPGHAAFSAMRARGAAVTDIVVLVVAADDDTGSYVSCKTANVPIVVAINKCDKSGADPERVRIQLGSEGLLLEDMGGDVQVVEISAVAKSGLDKLEEALLLQAEMMDLKARIDGPAQAFVVEARVDRGRGPLATAIVKYGTLVSGQHIVVGAEWGRIRSLRDTAGNITQSAKPAMPIEIEGLRGLPMAGDDVVVVDSEERARMLSHGRKKKQEKDRLRKIDEGMAEELEIKEETPERVEMPIIVKADVQGSVQAVTDALRSLNSAQVFVNVVHVGVGPISQHDIDLAQACGAYIVGFNIRSPPSTITQAAARANIKVLLHKVIYHLLEEMGRAIVEKAPGTAETQVSGEAEVLNIFELKGRSKSKGPDIKIAGCRITDGHFSKSGTMRLLRSGDVVFEGPCASLKREKQDAETIEKGNDCGLVGDIIQCLEQVIRKPKFISTQSGSNVCSEVYEHAGDEVQALGSGDVKRRPLIVVLAVGVDAEPEQPLEQVAQDLTAILEVNLQDAGQGLLVDSQEATKLTDPDCVWLWNVADYGDIADDVVGQEL >Sspon.07G0008280-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:20767826:20777874:-1 gene:Sspon.07G0008280-2B transcript:Sspon.07G0008280-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable manganese-transporting ATPase PDR2 [Source:Projected from Arabidopsis thaliana (AT5G23630) UniProtKB/Swiss-Prot;Acc:Q9LT02] MARFEVNGKSVQGVDLLRRRHWASRLDFWPFLALYALWLLLAVPALDFTDALIVLAALSAAHILAFLFTAWSVDFRAFVGYSKASCPSILNPSNFRAERLELGDIVKDIRAANACKVTPAKFSGSKEIVPLHIQRVVSSKSFFFPESLLCLQLSLGVASTSAAGETEEIYFDFRKQRFIYSVEKDNFFKLRYPTKELIGHYAKGTGYGTEAKIGTAVDKWGRNVFEYPQPTFQKLMKEQIMEPFFVFQVFCVALWCLDEYWYYSLFTLFMLFLFESTMAKNRLKTLTELRRVKVDSQIVLTYRCGKWVKIPGTELLPGDIVSIGRSTSGEDRSVPADMLLLAGSAIVNEAILTGESTPQWKVSIAGRGPEDMLSIKRDKNHILFGGTKVLQHTADKSVNLRAPDGGCLAFVLRTGFETSQGKLMRTILFSTERVTANNKESGLFILFFSSLQLLRPVICSLIITSVIPPELPMELSIAVNTSLIALARRGIFCTEPFRIPFAGKVDICCFDKTGTLTSDDMEFQGIVTLEGDDELISDANKLPLRTQEVLSSCHALVFVDNKLVGDPLEKAAIKGIDWIYTSDEKAMSKKPGGQPVQIVHRYHFASHLKRMSVIGAPETIQERLVDLPAAYVETYKKYTRQGSRVLALAYKLLPEMPVSEARSLERDQVESDLTFAGFAVFNCPIRSDSGAVLQELGQSSHDLVMITGDQALTACHVASQVHISSKPVLILTRIKTGGFEWVSPDETDRAPYSAVEVAVLSESHDFCINGDCFEMLQSTEAVLQVIPYVKVFSRVAPEQKELVLTTFKSVGRVTLMCGDGTNDVGALKQAHVGIALLNAQPVQKVDSKSKAENKSGKLKKQKPANEASSQVTPAANSSAKASSSRPMTAAERQREKLQKMLDEMNDESDGRSAPIVKLGDASMASPFTAKHASVAPTLDIIRQGRSTLVTTLQMFKILGLNCLATAYVLSVMYLDGVKLGDVQATISGVFTAAFFLFISHARPLQTLSAERPHPNIFCAYVLLSILGQFAMHIFFLVTAVNEASKHMPEECIEPDSNFHPNLVNTVSYMVNMMIQVATFAVNYMGHPFNQSISENKPFKYALYGAVAFFTVITSDMFRDLNDYMKLEPLPEGMRGKLMLWAILMFCGCYGWERLLRWMFPGKMPAWEKRQKQAVANLEKKRD >Sspon.05G0001340-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:4407074:4412188:-1 gene:Sspon.05G0001340-1A transcript:Sspon.05G0001340-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSTPSLHAPPAAVIAALEAAMAPADDCCHAYLQDSEETPNAWPWRQVTPCGHRFHVACMDRWLRVKLSCPVCRCSAAPVAVCYRDVAPEPSPLRPDPGHRRVVRSCMAWTVSCSRVFVVRCSASSGGGGGGGGHGQQHRSGGNAVRVNGAAHRAPLQVGAALETSINRSLAELSVPVLPLLPATRGDGDVGGEERSRQNIPTEKQTVDPFRQALIVEGGVRYQQTLVVRSYEVGPDKTATLETVLNLLQETALNHVWMSGLLGDGFGATHGMIRNNLIWVVSRMHVQVDQYPIWGEVLDIDTWVGSSGKNGMRRDWLIRGRNSGDIMRQRFSLISDDPCSTWVMMNKVTRRLSKMPEEVRGEIAPWFIDGHAIQDEATEKIVKLDSNAMYIDSDLKTLPDHFLQQNQLSSITLEYRKECGSSDVVQSICQPDEDSIPPQENVSMVIGPSLSPEIISGHHSLA >Sspon.08G0008390-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:31237574:31241762:1 gene:Sspon.08G0008390-1A transcript:Sspon.08G0008390-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aminopropyl transferase [Source: Projected from Oryza sativa (Os06g0528600)] MEVGDARNGSAAVAQTKGSADDAARKPLPPCCVKAKAGVPESEAKCHDTVVSGWFTEPRSRFGKTSKVQYFNNPMWPGEAHSLKVEKILFQGKSPYQEILVFESSTYGNVLVLDGIVQLTDKDECAYQEMVTHLPLCSIPAPKNVLVVGGGDGGVLREIARHDSVDTIDICEIDQLVIDVCKEFFPNLSIGFKDPRVRLHVGDAVDFLRNSPEGKYDAIIVDSSDPIGPAQALVEKPFIQTIARALKPGGVLCNLAESMWLHTHLIQDMLAICRQTFKGAVHYAWTSVPTYPSLVIGFLLCAKEGRAVNFLTPVNPIEKIGAAKAGRELRFYNSEIHRAAFVLPTFARRGLESDATTSTSAENEKLKESVSEPQKMKILSNNAILTAS >Sspon.02G0007720-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:45146101:45146964:-1 gene:Sspon.02G0007720-1P transcript:Sspon.02G0007720-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAVANEEALAHDEDIMDDVDSDVEESDSEDDSGEEAQDKPSDKAIYNKEAILEKLEDIAWPKNVDWMHKLTIEHDQGEKVDVNDDLARELAFYTQALDGTRQAFEKLQSMKVRFLRPTDYYAEMVKTDAHMHKIKGRLLSEKKRIEEAEERRKARESRKKAKEVQAEKKKERAKQKKEQIESVKKWRKQRQQGGFTKGNDDVPDLNFEGEEGFKQSKKKRPGVSPGDRSGGLAKRGKEGKNRRARDSKFGHGGRKGLKKQNTAETTNDFRSFNQGGESQNKKRKRF >Sspon.03G0011490-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:38630621:38634329:1 gene:Sspon.03G0011490-2B transcript:Sspon.03G0011490-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAGAAALPRLWALLLPFLAVATCLDVPSHGIPKVTNKCGHCKSKLDFGKEFICCSDCSDPTIMDKNSKLGYCKSGADLTMQLKPQETFHWVAGPWMTCSSPCDGGIRYRDVACYGNLDDNTIKHYPVDDASCSADEMPARQEACNQQSCSDPEMTQSVNPKKSGMSGWLVALVVILGLSAAAGIAFTSYTYYVRYA >Sspon.03G0012130-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:33569494:33569694:1 gene:Sspon.03G0012130-1A transcript:Sspon.03G0012130-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALKAYVLLFTAFFFSGLMQLSMAAQEKPGTAVATARVVVDAKAIDQAVAYLLMFAALFVTYFAH >Sspon.07G0032860-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:55872600:55880435:1 gene:Sspon.07G0032860-1C transcript:Sspon.07G0032860-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MWKGEQCNANGACQSEAQPVYINPPLDITQYKGFSKLYIHCFHKPAATASYVWEVQIWQIDPSTSERKDLVSTARVTLLANLSTPDKMKSFEQGLKKFSSKFHNPILSNNPSKSKANPKARQGEA >Sspon.05G0013170-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:36743398:36746822:-1 gene:Sspon.05G0013170-2B transcript:Sspon.05G0013170-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFLLGVELGSSLSSIGLFIFLHLAALQLDRKVVDLAELRRLACQGVPDAASIRPIVWKLLVGYLPTDRALWPYELEKKRSQYCAFKDELLEHNAEGTGVLPRAEIVRDEHPLSLGKTSVWNQYFQESEIVEQIDRDVKRTHPEMQFFNGTLQIHCLISFPNAICFESLKRILTIFAKLNPGIRYVQGMNEVLAPLYYVFKNDPDQSHAALAEPDAFFCFVELLSGFRDNFCKQLDNSVVGIRSTITRLSQLLRRHDEELWRHLEVVTKVNPQFYAFRWITLLLTQEFKFRDCLSLWDTLLGDPEGPQATLLRICCAMLILVRRRLLAGDFTANLKLLQNYPPTNIDHLLHIVNKLRGPLPF >Sspon.02G0016170-3D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2D:38162183:38167472:1 gene:Sspon.02G0016170-3D transcript:Sspon.02G0016170-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DFLSIDCGHDADYSGYTDRETGIFYVSDGSYIDVGENHRIAPDLESLWPDRHQTLRSFPSGQRNCYALPTVAGTRYLVRATFAYGNYDGKNSSSLEFDLHLGANYWDTTNPNAWSSYVLEAIFLAWAGSAPLCLVNTGHGTPFVSVLELRPLEGALYPLVTPGLIISTFTRINMGGGVSTVRYPDDPYDRFWWPMDIASPRWENLSTTRPIQSGISDHYAVPSRILQTAVAASGNDTALTAFTWQYQTKYSYSFMIFQHFADFQDTQLRQFDILINEKDGSGPRLMSYNDTSYLTPSHVYTESYRATDGSYNITLAKTNASVLPPMINALEIYVRVPYENPTTLPQDLQPVPINGPTRYPEPDNASGSKKGHWYNLNKNENRQFTYKDLEKFTNNFKKFIGQGGFGPVYYGRLEDSTEVAVKMRSESSSHGLDEFLAEVQSLTKVHHRNLVSLIGYCWEKDHLALVYEYMSQGSLFDHLRGKNGVSEALNWRKRVQVVLEAAQGLDYLHKGCSLPIVHRDVKTNNILLGQSLQLCNRRDGGGVAAAMGVAARV >Sspon.05G0009170-1P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:5A:26674207:26678148:1 gene:Sspon.05G0009170-1P transcript:Sspon.05G0009170-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VRAAQKLSDFLGIPVGAI >Sspon.08G0008610-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8B:10513906:10514232:1 gene:Sspon.08G0008610-2B transcript:Sspon.08G0008610-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LVSYLHTERERERQGEVRPGLMAGMAAAGGSSSLSSGAATMLCGKEEKVQGVQKAPGSCPYCGGGVAATDVEAKWVLCCLPLCRRTKRRFACTACARLLVTYPAILHD >Sspon.06G0010730-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:58282137:58286092:-1 gene:Sspon.06G0010730-1A transcript:Sspon.06G0010730-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGRAVHRGHFSSFIFSTAIDGRHDCMIAWAQELAMMLLDIGVLEDKPPIVALAPVSNVSSPIAVNAERPDRILPAVSQAPMDASRTSDVKPRITDESEKVKTWKLADIIKPRITWQKDDGGKRKKNVGFQFL >Sspon.01G0006860-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:18351158:18359609:-1 gene:Sspon.01G0006860-1A transcript:Sspon.01G0006860-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQSLELLLIQFLMPDNDARRQAEEQIRRLARDPQVVPALVHHLRTAKTPNVRQLAAVLLRKKITSHWPKLHPDSKASLKQALIDSITLDHSHPVRRASANVVSIIAKNAIPAGEWAVGSFIEYVNDGGDILIRVENACLANGEEDVASIAFEIFDELIESPAPLLGDSVRSIVQFSLEVSANHDLEINIRQQAIQIISWLVKFKASFLKKHKLVVPILQVMCPLLTETANEDEDSDLAADRSAAEVIDTMAINLPRHVLAPVLEFASVSFHHINPKYREAAVTSLGVISEGCCEHLKDKLEDCLKIVLEALKDQEQMVRGAASFALGQFAEHLQPEILSHYASVLPCILNALDDPSDEVKEKSYYALAAFCEDMGEDILPYLEPLICRLVMSLQSSPRNLQETCMSAIGSVAAAAEQAFTPYAEKVLEMMKGFMVLINDEDLCARARATEVVGIVAMAVGRARIEAILPPFIEAAISGFGLDYSELREYTHGFFSNVAEILGDSFTQYLPHVVPLVFSSCNLDDGSAVDIDDADSIENGFGGVSSDDDVNDEPRVRNISVRTGDVLEKQKDVLDTILNIYIKTMTEDDDKEVVAQACMSVADIVKDCGFAAIEPYMLRLAEATLVLLRQESSCQQVESDGEDDGDIDHDEVLMDAVSDLLPAFAKVMGSYFDPIFAKLFDPLMKFAKSPHPPQDKTMVVATLAEVAQEMGAPIAAYVDKIMPLVLKELASSDATNRRNAAFCVGEICKNGGAAALKYYGDILRSLHNLFGNSESDDAVRDNAAGAIARMIMVQPQSIPLNQVLPVFIKALPLKEDHEESMTVYGCICSLLLSSHPQILPLVPDVIHVFAQVVVSPDESDEVKTNIGKAVSHLISVYGQQMQPILSALPPAHASALASFASRR >Sspon.03G0025760-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:5574207:5575652:1 gene:Sspon.03G0025760-2P transcript:Sspon.03G0025760-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MYVLVPMPCLFFGGGSTHFLTSREGGGWMNAAKFLTGASAMGSLAIPAILRHAGLIETGAMFIEFTSFFILVCTVLCFHRATLDEDW >Sspon.02G0023610-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:80517101:80519291:1 gene:Sspon.02G0023610-1A transcript:Sspon.02G0023610-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKRGFTPMEVAESYLEELVSRNMIQIQVGFGYYWTLETCRVHDMLLEVMVSKSLECNFVSLIGGQYAGMSYDRIRRLSAQGDDSRRQSSVERAKKNMAGRRRQDNGFEGMNVQHVRSLSIFHQEGQQLLDGLDKFTLMRVLDLEGCVGLTKDHLQCICRLYLLRFLSFKGTDIKGVPRQIENLEHLQTLDVRDTGCSLPETVQKLYQLERLQTSYNNDANFMWRLPQGLKKMTKLREVGFSVLANDIEVAKEVAELEHLQELVVYVDKKIHYEEELNFLHTMPTPPRLLRYLMIAGGIDRLPFWIGEVTYLDQFNISWAKLVGDQLFDGLCNCPSLKTIIIHNQGYNGPELVARTTRHKFPALANLRVTCGYRYPYLIRFEEGSMENLQTLLVNMTDNEDKRIEGIEHLANIKEVELWGNKDNTAIDRALEELRKESLRRDANQQFQIVAAPKSSSVPTSKCSRVSAVIIVVTIVIVISPVANNAGRNLISPANNAFGGISPMISSGLQFNSLGALCATQHRQSLRRRAPLASQTVKIPVTQGMDISTTEPLSIIAESTKVTTEPTNQEMADTPIDNALGQISSE >Sspon.04G0002460-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:8497945:8513230:-1 gene:Sspon.04G0002460-2C transcript:Sspon.04G0002460-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding PHRSGRFRELKGGNNWSTRKEGSYINKSLLTLGTVISKLTDGKATHIPFRDSKLTRLLQSSLSGQGRVSLICTVTPASSNSEETHNTLKFAHRAKRIEIQASQNKIIDEKSLIKKYQTEIRRLKEELEQLKMGIITGTPSKDAEEDNIILWKQKLEDGNVKLQSRLEQEEEAKAALLARIQRLTKLILVSTKATPTSRFSPHPGPRRRHSFGEEELAYLPYRRRDIMMDNESNELLLPVEGFGVSLEDSSKEEKKNRKGLLNWFKLRKRDGASILTSSEGDKSSLTKSTAPSTPIGESVNFPAEPRISNSLAGENVSADLFSIGHGEFPSGSIHGEETPLASGKSMDHVDLLREQLKILSGEVAFNTSALKRLTEEAGRSPKNEKIQMEMKKKTDEIKGKQQQIASLEREIAHATLGTQGKVDKLELSPSYHELLEQLNEKSFELEVKAADNRVIQDQLNEKIGECMELQAEVTHLKEQLSQALEAKDLLSNSMTQNDRVNHEVEHHADQDVPREISSEPQQKPQQSVEICELKQKVSELIEIKAQLEDRNQKLLEESTYAKGLASAAGVELKALSEEVTKLMNQNEKLATELSSLRSPTPAPRRVSNGPRGTRRESMSRRHEPASRRDTNASHEREKALETMLMEKEQKEAELQRKVEESKQKEAFLESELANMWVLVAKLKKSQGYDHEDPEAKHDG >Sspon.03G0005480-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:15752615:15753924:1 gene:Sspon.03G0005480-1A transcript:Sspon.03G0005480-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSLCLLARQVARRRLLSNVPESTVYGGPRPQESSAARRVTVTTLRGKHRRGEPITVVTAYDYPSAVHVDSAGIDVCLVGDSAAMVVHGHDTTLPITLELMLEHCRAVARGAPRPLLVGDLPFGCYESSAAQAVDSAVRVLKEGGMDAIKLEGGAPSRITAAKAIVEAGIAVMGHVGLTPQAISVLGGFRPQGKTVDSAVKVVETALALQEAGCFSVVLECVPAPVAAAATSALKIPTIGIGAGPFCSGQ >Sspon.02G0022810-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:104442657:104446385:-1 gene:Sspon.02G0022810-2D transcript:Sspon.02G0022810-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRPSGAGALCRRRTIQVLVAVSLAYALAVLLLESPLVSTSLPGAGASAAASRKLHLDGAWEGAGRAAPARPAKHPHRETLSADAGRGRARRLAGIVSRLELRHLNSTRSGSLRKVAAEAAESGARVFSDLEALATALTSSRDSSGEEEKSKCPHSIVLSGDEFQERGRTVELPCGLTLGSYITVAATPHEAHPERDPKITLLREGEEPIMVSQFMMELQGLKTVDGEDPPRILHFNPRLRGDWSGKPVIEQNTCYRMQWGTPLRCEGWRSRADEETVDGLVKCEKWIRDDEGRSEESKTSWWLNRLIGRTKTVSVDWPYPFVEDRLFVLTLTAGLEGYHVNVDGRHVTSFPYRTGFVLEDATGLSLNGDLDVQSVFAGTLPTTHPSFSPQKHLEMLPSWQAPPLPDEPVEIFIGILSAGNHFAERMAARKTWMSAAQKSSNVVARFFVALHGRNEVNVELKKEAEFFGDIVIVPFMDSYDLVVLKTIAICEYGVHVVSARYIMKCDDDTFVRLDSVMAEVKKVQNGESLYIGNMNYHHKPLRDGKWAVTYEEWPEEDYPIYANGPGYVISSDIADSILSEFLNHKLRLFKMEDVSMGMWVERFNNTRFVKYIHSVKFCQFGCIDDYYTAHYQSPRQMLCLWDKLQTGKAQCCNMR >Sspon.07G0020410-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:67746800:67759949:1 gene:Sspon.07G0020410-2D transcript:Sspon.07G0020410-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPMHVRKAVHFASLRAKLAQQGKAGLALRLLLAAALAGFLLVFAARTLLVSSPAAPTTSSSSSFSTSRAQQDVDAAAECAKGTGVPLAVMEALVHYTTSNVTPQQTADEIGVSLRVLQRRAPCNFLVFGLGHDSPMWAALNHGGRTVFLEEDATWIAAVRSTHPGLESYHVAYDTVLTDADALLELRDHPACVAQPDLAAAAEASCRLALKGLPQVFHELEWDLIMVDAPTGWTPQAPGRMGAIYTAGMAARARRPGDGPTDVFVHDVDRPVEDAFSKAFLCEGYLAEQQSWHHLLHRRCSRVPMASSPAAARRLLLLRHRHGHLLPKRHFSSSSAADGLDDGGGGGRVKIFDRDLKRRHRDRAAWAMRETDGLVDAVAENLLDRLEDCRKAFPSALCLGGSAGAVRRLLRGRGGIERLIMMDMSADMVKKWRESENSSGDEPETHFVVGDEEFLPIKESSQDLIISCLGLHWTNDLPGAMIQCRLALQPDGLFLAAILGGETLKELRIACTVAQMEREGGISPRMSPLAQVRDAGNLLTRAGFTLPGVDVDQYTIKYNNGVEKGYSLGNCSNLPVNVIYMTGWREHPSQQKAKRRGSATISFSDIQKQFGPSDPTYELTDLCDVIHSKSAARYGSFADECYFGIPSAKT >Sspon.01G0024700-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:115403189:115403500:1 gene:Sspon.01G0024700-1T transcript:Sspon.01G0024700-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWKRKNGSGSPGRGSGGAYEEEKVPRGHVPMVAGGGGGDGEEGERVLVPVRLLSDPSVAELLDMAAQRYGYGQPGVLRVPCDAGHFRQVLDGAMQRCGISFA >Sspon.03G0031820-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:31394907:31399198:-1 gene:Sspon.03G0031820-1B transcript:Sspon.03G0031820-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRARMPAPARRQRSTSSSSPPATVLADVAPGRYPSMPQKNLPRRLAASASSLPYSFDRVDGGSGDLAAVLFSSVPPSILSSPQMDMVAAWLTSQYHVVAFLPLLQRLPPVSISERGQGCSRLAQIRMATTVAVPRSQQGGTNSLRTVVLDFVLATNLIPTLPVEPQPNPTDATSNSTDSSTSSGAIELPYDVEGDSQEVNNAAKRRDDQANDELNEYKINSSSQKSRTAV >Sspon.07G0023610-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:15975916:15977484:1 gene:Sspon.07G0023610-2C transcript:Sspon.07G0023610-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MELCSLLLLLPFLLGFLYLAKVWAAGRNARRLPPSPPGLPLIGNLHQVGALPHRALRALAATHGAPDLMRLRLGQVPAVVASSPTAAAALMREHDGAFGTRPYFRTAEILTYGFQDLVFAPHGEHWRHVRRLCSAHVLSAVRSHSFDGMREREVAALVRTIRERAASSSSSPAGVVDVSKALYGFANGVICRAVSGTGRLSREEEGRRSELFRALIEENTALLGGFCVGDYFPSLAWADALSGAGARAWRNFKRWDDVLEKVVQEHEARRRRGDGGDGEEDFVDVLLALQAEEKQDDDGFELTRDAIKSLLADMFAAGTETSFITLEWAMSELIRNPAAMERLKSEVRASAAGSSTVAAGRDALGATTTPPYLRAVVKETLRLHPPVPLLLPRECMRDTTVLGFHVARGTRVFVNAWAVGRDPASWSAPDEFRPERFLGSGDSEAVDFRGAHFQFVPFGAGRRVCPGMQFGLATVELALASLVRLFDWALPGGAAPGDLDMSDAPGLATPRWVPLRLVAKPL >Sspon.07G0012010-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:42930022:42933419:1 gene:Sspon.07G0012010-1A transcript:Sspon.07G0012010-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MACAVLVLCVGCAVAVDEQGAGLLAWKATLRGGDALADWKPTDASPCRWTGVTCNADGGVTELSLQYVDLFGGVPANLTALGSTLTRLVLTGANLTGPIPPELGELPALAHLDLSNNALTGPIPAGLCRPGSKLETLYLNSNRLEGALPDAIGNLTSLRELIIYDNQLAGKITAAIGRMASLEVLRGGGNKNLQGALPTEIGNCSQLTMIGLAETSITGPLPASLGRLKNLTTLAIYTALLSGPIPPELGQCTSLENIYLYENALSGSIPAQLGRLKRLTNLLLWQNQLVGIIPPELGSCPGLTVVDLSLNGLTGHMPASFGNLPSLQQLQLSVNKLSGTVPPELARCSNLTDLELDNNQLTGSIPAVLGGLPSLRMLYLWANQLTGTIPPELGRCTSLEALDLSNNALTGPIPRSLFALPRLSKLLLINNNLSGELPPEIGNCTSLVRFRASGNHIAGAIPTEIGKLGNLSFLDLGSNRLSGSLPAEISGCRNLTFVDLHDNAISGELPPGLFQDLLSLQYLDLSYNVIGGTLPSDIGMLTSLTKLILSGNRLSGSVPPEIGSCSRLQLLNVGGNSLSGKIPGSIGKIPGLEIALNLSCNSFTGTVPAEFAGLVRLGVLDVSHNQLSGDLQTLSGLQNLVALNISFNGFTGRLPETAFFAKLPTSDVEGNPALCLSRCAGDAGDHERDARHAARVAMAVLLSALVVLLVSAALVLVGRHRRAARAGGEKDGEMSPPWNVTLYQKLEIGVADVARSLTPANVIGQGWSGSVYRASLPSSGVTVAVKKFRSCDEASAEAFACEVSVLPRVRHRNVVRLLGWAANRRTRLLFYDYLPNGTLGDLLHGGGAAGAAVVEWEVRLAIAVGVAEGLAYLHHDCVPGIIHRDVKAENILLGERYEACVADFGLARFADEGASSSPPPFAGSYGYIAPEYGCMTKITTKSDVYSFGVVLLEMITGRRPLDHSFGEGQSVVQWVRDHLCRKREPMEIIDARLQGRPDTQVQEMLQALGIALLCASPRPEDRPMMKDVAALLRGIQHDDSGIEARKAGGGGGAEAEAGARKWADPKQPISPTKLMALAQPAQARASSGSQSLLKNRE >Sspon.07G0016350-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7A:58107600:58108743:1 gene:Sspon.07G0016350-1A transcript:Sspon.07G0016350-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein NEN4 [Source:Projected from Arabidopsis thaliana (AT4G39810) UniProtKB/Swiss-Prot;Acc:F4JJ23] MTTGAMREIVFFDVETTAPTPAGRWWLLEFGAILVCPRKLVEVGSYDTLIRPGDLSVAVTRRFTDVEAIASAPPFKDVADKIFDILDGRVWAGHNIQRFDCPRIREAFADIGRPAPEPAGVIDSLNVLAADFGRRAGDLKMATLATYFGIGKQKHRSLDDVRMNLEVLKHCATVLLLESSLPHVLGTQQARQADGAAVTRRMAAQAQTITAAPATTITSSSSSTTPPPKHAVHHHRPGVLTQTKLPFTPVRHQAPPRPAAPAPAPAPTATPTPCGKRNSLGKVVPRAVAQQAAAPLSTSTSRRPVTATAATTPFHMILRHSRAILR >Sspon.08G0020140-3D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8D:17434332:17434652:1 gene:Sspon.08G0020140-3D transcript:Sspon.08G0020140-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLQRSATTFRRSGSSGLVWDERFLTEADAEAKAGDGAAEDPQPELRHSRSVGSIGMLRCGGGDSDNKKAKEKKQKQGHKEEARSNQQQLFRTKDVAPDMDPPSPR >Sspon.08G0002560-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:5500581:5502991:1 gene:Sspon.08G0002560-3C transcript:Sspon.08G0002560-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEHLFKDKFTVIRLDPDGKKFDKVTRIEAHSENEMHMQLDVATEAGRKTLADDYQYIMHGKLYKISEDSTKDSNGDSSTKVEIYASFGGLLMMLRGDPSCAASFQLDQRLFLLIKKVKFIGFSKG >Sspon.01G0063370-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:114912046:114912906:1 gene:Sspon.01G0063370-1D transcript:Sspon.01G0063370-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LAADIIRPRDLEFRTVHQRLTSARFAPFFDNCIGAIDGTHVPVTVPTEKVVQYTGRKGITTHNVLAICDFDMRFTFVVARWPGSVHDMRVFNDALEKYRGKFPFPPEGKYYLIDSRYPNRPGYLAPYKSTKYHVPEWREGPGPVGQKEVFNFNHSSLRNIIERSFGVLKMKWRILLDLPSFPVEKQSKIIMACTALYNFIRQSGMMDDLFHLCDEDENYDPNDKETAGTSIRTETQPRDEDECMNEFRDWIANGLMSRS >Sspon.06G0004760-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:12233526:12241308:1 gene:Sspon.06G0004760-1P transcript:Sspon.06G0004760-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEAESSSALALALVALVVVPAVALLVRARWRRAAARREEVRRLARLAAEEAELAERESVLAYYSELFPGVVHAAEVPLAPEWGPPPVVAAAVPGPAVQEEFDAQPQPPVGAKGVCAVCFKPTTFRCKQCKAVKYCSFKCQIAHWRQGHKDECHPPSVNTRPDDEGKAEQGRAAEENVSVGVKQVAEMNKPVVGSGTSDANHNLKSSNGKSKHMPSEAVCTSAEVPGGHQSNSTVEISQNVPVSVDNSKMGSSIKHTNFVEDGSSTVDLNGASPCKSQASPPNINGHSSFVSEEFCNHSKKHQRAKDASVVEDCSQTNNNREVEDSSIPQAAASVVLEPKSSRTPIHVEPERSKTKPVGNDSIQSTKPVPSALTVDKATSVRGSSSITPNPSKVADNLPDRSFKKPSDSSGSTANNLATSLKKMVRQQTAPKVVRHYPSESTLFPYELFVKLYGKVELHPFGLHNVGNSCYANAVLQCLMFTRPLTTYLLEGLHSKNCSQKGWCFMCEFEKLIVEGKRHKTALSPTGILSHLHDIGSSLGPGKQEDAHEFLRYAIDAMQSVCMKEARKGGGLRSAEETTLVQLIFGGYLQSKIKCSRCHVSSEQCEPMLDLTVEIDGDISSLDEALVRFTSTEVLDGENKYHCSRCKSYERAKKKLTIEEAPNILTIALKRYQSGKFGKISKAIRFPETLNMLRYMNPDTDDISPIYSLYAVVVHHDVMNAAFSGHYVCYVKDTQGKWFKADDSQVKPVSLDNVMSKCAYMLLYARCSPRAPSSVRQAIGDPTHPKKAKQKVVLGGTTWRGGSLNRHQAGQPHKDHTADDLTHAFDEYRDAPYSPSDSPSPSESSSLFSNSDAGSHSTVSTDSSESTRNSTSTEEYEYVFGAADQMYPGGAPAESDYPTYSRSRSSLNTSSSGREAYSAYSSAEHKLQGGSGGLWVEGNDSPSLLYTDRSNQQLSSKLTDQYRQLDRSRHDPGETRGGVLLRRPSRDRTAQMMSRVLGCREIM >Sspon.01G0033620-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:113126614:113130283:-1 gene:Sspon.01G0033620-1A transcript:Sspon.01G0033620-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGGIHNARSSSWLAAVPATASAHAVLLTSGHLSSRASANSLLRAPPPPPPAPHPPPPAAPPPPTRPHLPLLLPPLLRPRRRRPHHHHPQPAHRPLPLARLRLGHARDVYVANAAVSSYFAASDVASADRLFAEVSADDVADVVTWTTMVTGHASSGALDRARRFFDAMPERNVVSWNAMLGAYASAGMLSEARDLFDAMPERNAATWSSMLTGLVLSGRCGEALTVFADMVRGGAVPNEPALVSVVSACAQLRSLEHGAWVHTYAEQELQGAMSVILASAIIDMYGKCGGIRSAVRVFAAMPVRNVYSWNAMISGLAMNGGERQALSLLWKMQMAGVRPNDITFIGLLSACAHSGLVSEGRRLFDSMIEDFGIQPVQQHYGLMVDLIGRSGRVREAMFFVKSMPVEPHPGLWGALASACKMHGEVELGEEVAKKLIELEPRHGSRYILLSNLYGSANRWDDMAFVRKILKRRKVPKGTGNAVVGMTYNIDFVLRSSELATLAAIFHFRNYNPKDGRRGKPITKEEAMKELIEVVTKTKPDNFTPRIVDKTDDYIRVEYESPIFGFVDDVEFWFPPGNKPLVQYRSASRSGFIDFNANKKRVKELRLALEKKGWASESNF >Sspon.02G0008830-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:25152739:25153758:-1 gene:Sspon.02G0008830-1A transcript:Sspon.02G0008830-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRTALLLLGHVLLAVASIAAAAAGDAGKIGICHGRVGSNLPPPSAAAALLKQNGITKARLFLPDPAVLPAFAAAGIDLMVGVPNENLTFLAESGPEGAARWLRSAVLAHAPAERLRYLAVGNEVLYNNQFYAPHLVPAMRNLHAALAALGLDGKVKVSSAHASSVLAASYPPSAGAFDAASLPVLRPMLQFLADTGAPFMVNTYPFISYVNDPANVQLAYALFGAGAAPVRDGVLVYTNLFDATVDALVAALEKEGFGAVPVAVTETGWPTAGHPAATPQNAAAYNAKIVERAVRGVGTPKRPGVPVEVFLFDLYDEDGKPGPEFERHFGIFRADGGK >Sspon.04G0028740-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4C:65808277:65811000:-1 gene:Sspon.04G0028740-2C transcript:Sspon.04G0028740-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding QASPARVYLSQQASPARVYQGQLRNGTIIAVKVLSTESRQGVREFLNELVAISGISHDNLVKLYGYCVEGDQRILVYNHLENNSLAQTLLGSRHSNIQFNWETRVNICLGIARGLEYLHHGVSPHIVHRDIKASNILLDRDLTPKISDFGLAKLLPPNATHVSTRVAGTLGYLAPEYAIRGQVTRKSDVYSFGVLLLEIVSGRSNSDTRLAYEDQILLEKFPEITNGVLLLQTWMYYEQGILEKIIDRSLDSDLDVAQACRFLKVGLLCTQDVTRHRPDISKVIALLTGETDVELERISKPAIISDFMDLKIRSMRKANDIATSSTFLSSLMAHSSPMLSNETTQASMTSTGISDRE >Sspon.05G0013810-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:48508473:48517037:1 gene:Sspon.05G0013810-3D transcript:Sspon.05G0013810-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATWRLRRAGAALAASSALAAALAASSASASDPSAAALDAARQRVAQPGAAPPPRDAQRAALAGSTPAEPLDVLVVGGGATGCGVALDAATRGLRVGLVEREDFSSGTSSRSTKLIHGGVRYLEKAVFNLDYGQLKLVFHALKERKQVIENAPHLCHALPCMTPCFNWFEVVYYWFGLKFYDLVAGRRLLHLSRYYSVDESVELFPTLAKNGHDRSLRGTVVYYDGQMNDSRLNVGLACTAAVVGAAVLNYAEVVSLIKDESGERIIGARIRDTLSAGPFCDSVRKMANSNVAPMICPSSGVHIVLPDYYSPEGMGLIVPKTKDGRVVRRSDVLSAWSGIRPLATDPSAKNTENISRDHVVFEDYPGLITITDAVNAAVRSGNLKPANGCVTDNLHIVGGYGWDPASFTVLAQNYKRMKKTNGGKVIPGAMDSAVSKHLSHAYGTLAEQVATIAQ >Sspon.05G0025990-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:36652733:36653152:1 gene:Sspon.05G0025990-2D transcript:Sspon.05G0025990-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADDAVAGAAVHCALRPAPAPLPASRRQQQQLDDAGCGSSDDHYQQDVLMLRRTRSGRAFPPPISVIGKGGRPWLSLRAHREGGRLVLREMRLPSQELPLQPCKEDGRFKLLIHPEARARPCGAGAAPQARQGKDEQCS >Sspon.02G0040850-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:72689265:72693583:1 gene:Sspon.02G0040850-1B transcript:Sspon.02G0040850-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQVNAHVHQDTPWSLSPPQEVFEGCCWWLVAALRCTAEEFIGGGGGVCYNYVAMLVQWWRRRGDYWQRMVYHDWSIKQMQSYPGSVRLAQHSPAWAFLSVGNVAKQHRRAMRRRACCMWASPAMAGKGRMG >Sspon.03G0013100-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3A:36535607:36536757:1 gene:Sspon.03G0013100-1A transcript:Sspon.03G0013100-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTAASSLLKSSFAGSRLPAATRAPSSVVVSTGAPRTAAAGPICASFSSSNPPYDLTSFRFSPIKESVVSREMTRRYMTDMITHADTDVVIVGAGSAGLSCAYELSKDPTVSIAIVEQSVSPGGGAWLGGQLFSAMVVRKPAHLFLDELGVAYDEAEDYVVIKHAALFTSTIMSAVLARPNVKLFNAVAVEDLIVKQGRVGGVVTNWALVSMNHDTQSCMDPNVMEAKVVVSSCGHDGPFGATGVKRLQDIGMISAVPGMKALDMNTAEDEIVRLTREVVPGMIVTGMEVAEIDGAPRMGPTFGAMMISGQKAAHLALQALGRPNAVDGTIPKVSPALREEFVIASKDDE >Sspon.08G0000610-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:2066171:2066719:-1 gene:Sspon.08G0000610-1A transcript:Sspon.08G0000610-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SELGSLDCLEQMVVHERTITRWIPQQCRAVAELTQNFRWGTGAAWGSCGERRAARRQAAGGISSLERGSSWGSRPTRRDGEVRHWCREHQDTAYHVLEDSEEQQRQRLRGESSRGAGGKVRGGLWKKLWRLSCSPKIKQFIWRVAHNSLAMKLNIKRRNIKLDTACPVCKRFDEDGAHCFLES >Sspon.02G0039730-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:60666961:60668613:-1 gene:Sspon.02G0039730-1B transcript:Sspon.02G0039730-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VIRKGSFAKYDYGWWGNLRRYGQLHPPSFDLSSISESLPIWMGYGGLDALADVTDVERTIKELRSTPELLYIGDYGHIDFIMSVKAKDDVYVDLIRK >Sspon.04G0011380-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:35157759:35161412:-1 gene:Sspon.04G0011380-1A transcript:Sspon.04G0011380-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAARAVWRPAAVVLLALASLSCVLSPPPVSAAAEAEVAGGVAHRNTERIAGSAGDVLEDNPIGRLKVFIYDLPSKYNKRIVTKDPRCLHHMFAAEIFMHRFLLSSAVRTLNPEEADWFYTPVYTTCDLTPAGLPLPFKSPRMMRSAIQFISNKWPFWNRTDGADHFFVVPHDFGACFHYQEEKAVERGILPMLRRATLVQTFGQKNHVCLKEGSIIIPPYAPPQKMQAHLVPPDTPRSIFVYFRGLFYDNGNDPEGGYYARGARASLWENFKNNPLFDISTDHPVTYYEDMQRAVFCLCPLGWAPWSPRLVEAVVFGCIPVIIADDIVLPFADAIPWEEIGVFVDEEDVPKLDSILTSIPIENILRKQRLLANPSMKKAMLFPQPAQPRDAFHQILNGLARKLPHMQSVYLQPGEKHLNWTAGPVGDLKPWLGSYVAGAEVPGLLQPDPLHMQFSGFTSELSDVLHSCICTDDDTGIKMTARAPGPGSDIARMVAIDESEVIHSFK >Sspon.07G0007350-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:19364097:19367729:1 gene:Sspon.07G0007350-1A transcript:Sspon.07G0007350-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAVEIAERFAFYGVSSNLINYLTGPLGEGTAAAAAAINAWNGVAQLLPLLGGALADKWLGRYRTIVIASLLYVLGLGMLAFSTLLSSGSGHQCTTAGGQGQTCAPSTLKVTFFYVSLYIVAMAQGGHKPCVQAFGADQFDQSDPKETVSRSSFFNWWYFGMCAGTAVTLVFLSYVQDNIGWGLGFGIPCAVMAAALAVFLLGTRTYRYYVTAGDRGLFARASEAFAEWRSRLKALQHADRPAAEGAPGFSAGVDEEEQAAVSNNAGLVKEAKAIIRLFPIWATCLLYAVAFSQSSTFFTKQAATLDRRVGRHGLQVPPAALQSFISITIVVFIPIYDRVLVPVSRRYSGKPSGITMLQRIGTGMFLSLLSMVIAALVEKHRLGVARDAGLVDKPKVPLPMSLWWMVPQYVLFGAADVFTMVGLQEFFYDQVPDKLRSLGLALYLSIFGVGSFISSALVSVIDRVTTARGGSWFNNNLNRGHVDYFYWLLAALSAVELLAYVFFAVTYKYKNKGAVHTVEG >Sspon.01G0032750-1P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:104126036:104128349:1 gene:Sspon.01G0032750-1P transcript:Sspon.01G0032750-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding YTTWLSSGLSARKASDGSPNSPADAEWALSIPIEDFIYIMHDVHAVIGELSESGSFIGHVNQLLGSCPIEVLNLVKQSILQAVEPLKELLPAIMNVMIGIIVKKSNEDLKHLKGITATYRMTSKLPVRHSPYVSGILHPLKARKTESSLQRLRQGAQRRVGASTDASDNIISDTDKICMQLFLDIQEYARNLRAIGIDAREIDSYRALWQCVAPKDKQENLQF >Sspon.04G0009850-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:29250060:29256388:1 gene:Sspon.04G0009850-3D transcript:Sspon.04G0009850-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAGRWWAVVLAVAVLLGPGRVVANTEGDALYSLRQSLKDTNNVLQSWDPTLVNPCTWFHVTCNNDNSVIRVDLGNAQLSGVLVPQLGQLKNLQYLELYSNNISGTIPPELGNLTNLVSLDLYMNNFSGIIPDSLGNLLKLRFLRLNNNSLVGQIPVSLTNISTLQVLDLSNNNLSGQVPSTGSFSLFTPISFANNPFLCGPGTTKSCPGAPPLSPPPPFNPPSPPTQSTGASSTGAIAGGVAAGAALVFAVPAIAFAMWRRRKPEEHFFDVPAEEDPEVHLGQLKKFSLRELQVATDNFSNKNILGRGGFGKVYKGRLADGSLVAVKRLKEERTPGGELQFQTEVEMISMAVHRNLLRLRGFCMTPTERLLVYPYMANGSVASRLRERQPSEPPLQWETRRRIALGSARGLSYLHDHCDPKIIHRDVKAANILLDEDFEAVVGDFGLAKLMDYKDTHVTTAVRGTIGHIAPEYLSTGKSSEKTDVFGYGIMLLELITGQRAFDLARLANDDDVMLLDWQVKGLLKEKKVEMLVDPDLQNAYEEIEVENLIQVALLCTQGSPLDRPKMSEVVRMLEGDGLAERWDEWQKVEVVRQEAESAPLRNDWIVDSTYNLRAVELSGPR >Sspon.03G0029020-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3B:12929264:12931005:1 gene:Sspon.03G0029020-1B transcript:Sspon.03G0029020-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDDRCDLPVSPSRPAKCQVPTLISRLQRAPRLQCHAQTPSSSPRAISPPPRCASPTSSSPRRRARGHRLRASPSARRRDPAATANGRHAGAVAACADPGAARRSHPPAEEPARSCPLVLGRASPLPTLLLPPQRRRPLHSPRRGGRLPALPSLLRRVLPSSPSADSLLAASIPNLSPAAAVSIFRSSLPSSPSPSWSLSFSALLRRLVSQALLPEAARLFADLAGRPEVLVASADLTLLIVSLCRVRRPDLALQVLDEMPNLCLAPERDAYRAIVPALCDAGMLDEAIHVVYSMLWRVSQRGCDADVVVYRALLVALCAAGRGEQAELVLDKVLRKGLRSPGSRPSLRVPMLAVLNLEDARESIDQALAVRGGRTDMAKKNFKPTICMYEAKIAALCREGNVDDAVEVMEKELPKNDLVPTVTTYNLLMKGLCDTMQSMRALEYLKKMDKQLGCVAQKDTFSILVHGLCSETKFIDASKLMERMVKGHHRPDRSAFNSVIEGLCSAGRTYDALLWLEEMIHHGETPNIRVWSSLVSAVCMSQFEALGAGLLEKA >Sspon.03G0002310-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:15052105:15055719:-1 gene:Sspon.03G0002310-2B transcript:Sspon.03G0002310-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-1,6-galactosyltransferase GALT29A [Source:Projected from Arabidopsis thaliana (AT1G08280) UniProtKB/Swiss-Prot;Acc:Q9SGD2] MKRPLRRSFAVLIFVVLVGAASFSTALRRAVAPAPAREPPAPLPLDPARLNATLLRLAAADPSEAPLRRDVDELLEGRLPASAARARAWRRDRLLHPLHLHRHHSFQLPRRGRYPDDDHDVLLHPLPRHEQLYIDPALRRDLRSWHRLRRYDPAVLASLPSLLSIPGRIPSCAVVGNSGILLRAHHGALIDSHAAVFRLNNARISGYAAHVGSKTNFSFINSNILHLCARRPGCFCHPYGHGVPILLYICQAAHFLDVAACNATSSSRHGSPISVTDARLDVLCARIVKYYSLRRFVAETGRAPEEWDRAHDAAMFHYSSGMQAIMVAVGVCDRVSVFGFGKSSDAKHHYHSNQKAELDLHDYEAEYAFYHDLSEHPQGFAQSLW >Sspon.04G0003610-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:11169862:11179207:1 gene:Sspon.04G0003610-1A transcript:Sspon.04G0003610-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNFLRKLHIGEGSGDGASSPPPPPSRKGGTGTGGGGGGVHHHQPHHDQRQQTSAVSSWLDSVPTRPPPPIPVEAEVPTSSSSPSSVGVGAEDRKARQSGAVERRRSQQEERERRRSQEEETLRELRRSREEEMERERRRSQQEDEVEERVIRESSEAEERKREREKEEDDLEAYQIQLVLEMSARDNPEEMEIEVAKQLSLGFCPPQRSPAEVLAVRYWNFNALGYDDKISDGFYDLFYVGNGPASVTMPSFAELRAQPFSHKVDWEAVLVHRGEDPELMKLQQEALMMNLELQSRTSESVGNALVKRLANLVARHMGGVFDPESMSVKYQDMLSYLRSGIGSVIVPLGQLKIGLARHRALLFKVLADGLGVPCRLLKGKQYTGSDDGALNIVKFKDGREFIVDLVADPGTLIPSDVTVLSTELDKNFVSDNHHFGQDDTNNLLGSSLSGVSSSAYGSFEYELLDRRSTSSNVGPDTDGPTTNRTSNQQNMLSNSFEKLSVSTFTSENRPVSNESTNTDYIIVGKNKEKYTAAIDSSSTSPSTPDMGSTPAVRRMKVKDISEYMINAAKENPQLVQKIHEVLLENGVMAPPDLFSEDSMEEPKDLIVYDTTLFQSKDEMKRRMNELGSREYTDRGHGPLLPHHPGHELQPKVAPHRAPLGSLKPVEGLGIYHPHDIRDIASPFVSQYEPSAPPQEAPSQLTKQLPVTAAAVATAAVVASSMVAVATKSNSDVNFDVPVAAAATVTAAAVVATTAAVSKQYEHSEPGNQLLSLSSTSQGNESVEKAGDDLWDKHHLETDHGQDNSLDQEVPQEAERTSDKSNKSSGTESAKSDLLEDVAEFEIQWEEIAIGERIGLGSFGEVYRGEWHGTEVAVKKFLQQDISSDALEEFRTEVRIMKRLRHPNVVLFMGAITRVPNLSIVTEFLPRGSLFRLIHRPNNQLDERKRLRMALDVARGMNYLHNCSPVIVHRDLKSPNLLVDKNWVVKVCDFGLSRMKNNTFLSSRSTAGTAEWMAPEVLRNEPSDEKCDVFSYGVILWELCTLLQPWEGMNAMQVVGAVGFQNRRLDIPDNIDPAIAEIIVQSWH >Sspon.02G0031530-1P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2A:115202699:115203301:-1 gene:Sspon.02G0031530-1P transcript:Sspon.02G0031530-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DDGGSRRVAAGGLATGGGSWRRSRPWSRSSSIGRSGSSSGGSGSIEYTSLRDVLEEEEQCGGGGECGAGGGGCGEQHHNHQQPWRWGSSWGEYSCHDIHDFDASNIGIRNQLLKHAASAYLQSAVVVAAGRDQGCCLARLWRRAQLRGGCAGGRRGRGGRGRVLMRACSWQGCVDDPPRSWRPAHAASPRSSPTASAPSGR >Sspon.02G0049470-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:29758022:29758426:1 gene:Sspon.02G0049470-1P transcript:Sspon.02G0049470-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding PWGTHTAAVARRLRRRRRHWKCRCTCASSCWCCSSSWASRGTRATSRPRSRSRPRRASCSWRRRSRCCWPCGCCPASPATAWGGAWATCLRCRCPSGTPSTAPAGRRGASASCSCCSSSWSPTSPTSGRNGSRSY >Sspon.05G0029400-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:75018507:75019234:-1 gene:Sspon.05G0029400-2C transcript:Sspon.05G0029400-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIDRTWPTSVRVLRLGRSEWEHKRAVPILHEEGVELMGLLFLCWVSYSIGLVLCDMAEEASREPQLRHVRLPVYYDPSYYTNDLPPLSDTKGMGAAGPSAVRFVAIEPRCCCGRLGRTSCTRSRFAFTVTTWTLTLTMDEPMAWVKDGVLDCEELWAMPGYDGLPRVHLQSPVVSLDNPDVICFKVVASLRDQNAWMIQVDTRRKALLVAVKLTCTDPWRTHLHLPAKI >Sspon.03G0003590-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:9248900:9254620:1 gene:Sspon.03G0003590-1T transcript:Sspon.03G0003590-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MIHLRCSTQVVRTVQAEGIRGLSKAQRKLYAPPAGSGFISGFTSISGRSLIDKISSTRGSPFGPSSYLSAQARVVDDYTMSQTIMKEITNGDPSGMLVVVTGASHVMYGPRGIGVPARISKKMQKKKQVVVLLDPERQSIRREGEIPVADFLWYSAAKPCSRNCFDRAEIARVMNAAGRRREALPQDLQKGIDLGVVSPEILQNFFDLEKYPVMAELIHRFQGFRERLLADPKFLHRLAIEEGISITTTLLAQYEKRKGRFFEEIDYVLTDTIRGSVVDFFTVWLPAPTISLLSFADDGSGESVELLKGILGSVPDNAFQKGIVGQNWNINQRFASVLMGGLKLAGVGFISSIGAGVASDVLYGARQILKPSASMEVGRKRTPIWKAATVYSCFLGTSANLRYQVIAGLIEHRLGEDLMAYYNQPLLASLVSFVSRTVNSYWGTQQWIDLARATGVQSTKKELPSPEVSSASEIPLLECGTTEVQNVDDSSNNQSNDLT >Sspon.01G0045530-2D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1D:84072976:84074448:1 gene:Sspon.01G0045530-2D transcript:Sspon.01G0045530-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRRKLMHFLRVDPAAVSALSSPRSFSSNSSFSDDDGYSSSSFQGSASSSPSRLYSPPKSPWARLPGLGGDDATATGLIASLVKEDGKVYSLAAAGDVLYTGTDSETVRVWRDRRELAGFRTGSGLVKAIVVAADGRIFTGHQDGKVRVWRADADDARDPAVVHRRVGSLPPLGDLLVSSVNPSSYVRSPRGGDGRGRGRQRRAVWLRHSDAVSSLSLDEGAGVLYSASWDRTFKVWRVSDYRCLESVPAHDDAVNTVAAAGFGGLVLTGSADGTVKVWRREAEAAGDRTRHVLERVLREGGDGAVTAIAACPEARAVYVGSSDGLVTCWRWGLEDVDDGEPRLAGVLAGHETGVLCLAVSGRVVVSGSADGTLCVWRRDDDDDDERAGHARLAVLAGHTGPVKCVAVAADDDGGYDADGERRFVVYSGSLDGSVKVWRLSEERALEPPPAVEATPAPLMAALRESQAWMPRPRTAQLPSPVQAWAPELK >Sspon.07G0025130-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7B:37777213:37777403:-1 gene:Sspon.07G0025130-1B transcript:Sspon.07G0025130-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DHEFDEDASVGGAHEVSRDRRGRRWPAVELPEMTCVIGGRWFRIVAAVFGVKRCSDRQLEGV >Sspon.01G0005960-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:14918792:14919235:1 gene:Sspon.01G0005960-1T transcript:Sspon.01G0005960-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATDELAPAPAPAAAAQAPAGADQSPAFSFSIWPPTQRTRDAVVRRLVDTLAGDTILCKRYGAVPAADADPAARAIEAEAFDAAAATGGAAATVEEGIEALQFYSKEVSRRLLEFVKSRSADAKADPPSEEAQAPAASEAEAEAAEPA >Sspon.01G0054540-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:58215762:58218548:-1 gene:Sspon.01G0054540-1C transcript:Sspon.01G0054540-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPQPNGGKVTPNLAMDAEATRVLNLTSRKDVEGSLFVVKRNSQPRLQFIVMNRRNTVPSSWSMHNSTPLLVYNDSMLTLHRF >Sspon.02G0025330-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:93229247:93230935:-1 gene:Sspon.02G0025330-2C transcript:Sspon.02G0025330-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MELTFHDLPPFPSAARALLPSGTQDTTVDRFIATMGLDVVEIGMGADLSLDLRHFASKAVRQSKDDAPAPDMDACIRRLEEERGKIEMFKRELPLCARLLADGEPLFSPPVIHFPIASFPFLGGGFFTRRCGGVCAVIDVMKEEAGKKTTRRSDRSLAAAAAVAEDEEDGAAGDKSKWMSTAQLWTGDSGRQDAESEKQDKGRSSPSRGGAGGGILPFKAAVGSGAPAFAPVCLRMDDKAARVGMPDLSLMSPPATKSAGEDSRRQVVGFAQAAARAAAMATAAPALGLQSQSQQQTAQQQQSRKVRRCWSTELHRQFVAALNQLGGPEVATPKQIRELMKVDGLTNDEVKSHLQGDEDSLPKFVVMVADMDSLVLMFLQKYRLHNRRAPGSGMVSQPIVLVGGLWIPQEQSSSQSGSPHGPLHFSTSGIAVSSAATISCEEEDGRSESYGWK >Sspon.05G0039390-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:69942793:69945817:1 gene:Sspon.05G0039390-1D transcript:Sspon.05G0039390-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding METLTNPRGPSDPTAAAPRWVLLNRNILKDSGSSAADAKTMAASCTSSGIPFSVSFSIAAPPERSSFLYDLVAGVGSTPSNGDDKDAGKNLHLEVVAAHDDSVLIEAREPVPRRGFRLCVRLDYFLYEAGAGRTPPTLSLLPGCYVSKQFQRDKDAHQVPTRRDQARNLSCSKDTGILRHCQGEVLVAQLEVVGYDTPYRTAELCVLRGPGHDWVLKGGLPIVHHEGEGKLQHRPEIDATVPVGSRYMCWVDYHRGFILCDVAEENPRLWYVPLPLLPAKTDHRSSDRLDMQYCRNLAAAGPSAVRFVSIAPRCCCGRPGKTFCERSNSAFNVTAWTLTLRTEEPMTWVKDGVFDCDELWELPNYGCLPRVAPHGPIVSSDDPDVVYFRVCENNYYMNPENNTVEKKKNGTEVNLPRLNPSSSTPSTDG >Sspon.05G0026030-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:30419858:30424006:-1 gene:Sspon.05G0026030-1B transcript:Sspon.05G0026030-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQMVSDDKFEEQAARDGGIIKNGREILFQAFNWESNKHRWWSNLEEKVTDLAESGFTSVWLPPPTQSLSREGYLPQNLYCLDSCYGSLHELKLLLHKMSEHNVRAMADVVINHRIGTTQGSNGMYNRYDGIPMSWDEHAVTSCSGGKGNKSTGDNFDGVPNIDHTQPFVRKDIIEWLIWLRKSIGFQDFRFDFTKGYAAKFVKEYIEESKPLFAVGEYWNSCEYSPPDYRLNYNQDSHRQKIINWIDSTGGLCAAFDFTTKGILQEAVKGELWRLRDPEGKPPGVMGWWPSRSVTFIENHDTGSTQGYAYILTHPGIPTVFYDHFYDQGVPLHDEIAKLMQIRKCQDIHSRSSIKILEVRSDLYSAIIDDKLCMKIGDGSWCPGDPEWRLAVSGNRYAVWHR >Sspon.01G0049330-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:112417818:112450148:1 gene:Sspon.01G0049330-1B transcript:Sspon.01G0049330-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGGRPAVTCCAAVLLAVALLMISTPGATGVISTFSQDPANAASSFQLSVGLAGTTAKTVKVPKNFTLRTPGPGYTCGRAIVGKPSRFFSADGQNKLQAKIIVLFSMPLFLNHVFKIDMECDLHVFPISCSEDSILLSLSSSYNDTVVDCPTCSCGCQNPSGSNCVNKGSPRQRSAIGGPGKWSGQPLVECTSHMCPVKINWHVKQNSKDYWRVKITITNLNFRMNYTEWNLGIQHPNFDNITQLFGLNYKPWGDDIAMFWGVKSYNDVLMQDGKLGMVQSELLLRKDSRTFTFEKGWAFPRRVYFNVTWNVTCTYSQFLAQKTPSCCVSLSSSYNDTVMDCPTCSCGCQNKNGKKCVNKGSPRLRSEIDGPGKWSGQPLVECTSHMCPVRINWHVKQNSKDYWRVKITITNLNFRMNYTEWNLVVQHPNFDNITQLFGLNYKPLTPYGGGINDTAMFWGVKSYNDVLMQDGKLGTVQSELLLRKDSQTFIFEKGWAFPRRVYFNGDNCVMPAPENYPSLPMQAYPAPTSSGIVGQTHHKLAAAAERSEALGSIEREEGEHLGSSPTPLPPLSDKRSEMPLYDCMLLVKPTVTREVIADLVTRVARRACQRNGVVTDVKSFGKVNLGYDIKKLDGRHYQAVVTMYNFQQFRHIGAPGWQLGWTWAKKEVIWSMVGAQTTEQGDCSKFKGNTPHCCKKDPTIVDLLPGTPYNMQIANCCKAGVINTFNQDPANAASSFQISVGLAGTTNKTVKVPKNFTLKTPGPGYTCGRAIVGRPTKFWSADGRRATQALSYLTCQKTPSCCVSLSSFYNDTIVNCPTCSCGCQNPSGSNCVNEDSPNLQAAIDGPGKWTGQPLVQCTSHMCPIRIHWHVKLNYKEYWRVKITITNFNFRMNYTQWNLVAQHPNFDNITQLFSFNYKPLTPYGGGINDTAMFWGVKFYNDLLMQAGKLGNVQSELLLRKDSRTFTFEKGWAFPRRVYFNGDNCVMPSPENYPWLPNASPLTKQPLTLPLLVFWVALAALLAYA >Sspon.02G0034110-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:12974542:12976839:-1 gene:Sspon.02G0034110-2P transcript:Sspon.02G0034110-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAYLLHGPAAAVAVAAALSAFPLRSLPTAKTPFLPSLPRPVSPRRAAAAAFAFNPAAAAAPIAASLLEGPVLVWAGRLCLYYALLHVGLAGSPRNPFLSHEIGGEDGAGDSDLGFSKWAEKLRGGASGEKDAQDKRKLTSKWKPTTRGTLKRTYRVRSTDEGRWILKEIASVLSQDDHFVDASSHKGCQIRRESAHGESVCCYNVRALFDELPTPHLLLEITPFPAGQLTDNDYRKAERLEMVLRLSASI >Sspon.06G0008190-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:31607288:31611697:1 gene:Sspon.06G0008190-3D transcript:Sspon.06G0008190-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ankyrin domain containing protein [Source: Projected from Oryza sativa (Os08g0258200)] MPALVVVPFLLGQMGPTAVGLLPERDRGDSDAPLLLFLPPPLLRPPASSLYKKCGSYICVLIGSSTMGLLGMMGDSFGCSATGERLVSAARDGDIQEARALLELNPRLARYSTFGIRNSPLHYSAAKGHHEIVSLLIESGVNINLRNCRGQTALMQACLYGHWKVVQILVLFKANIHRRDCFSGATAIHFAALKGHTRCIRLLVADYVPSLSEFWNIMRGKSTDEMKKDAFDAVALQRLVNGKSDGGVTPLHLAALHGHAESVQLLLDLGASVSEVTVNDGSTIDLIGSGSTPLHYAACGGSAVCCQLLIAAGANMGAQNANGLTPLLVARSWHKTSIEGILSKQPENRMRILPSPYLCLPLMSIVKIARECGWRKTSASSTCQDPCVICLEVECTVAAEGTEKFDSHGCGHEFCTKCALYLCSTTSSSTSIRGVPGSISCPLCRHAIVSFMRLTSTTPIKELPWTSTSLALCAAGASTGPDHGRSLHRRPDMHRLRSSSVQLGCSSFRSIGSGKLSSIKLNCRGAEEAVPCLVSCLRPDVQRSSSYRERIRRYSEF >Sspon.06G0008970-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:48190193:48193346:1 gene:Sspon.06G0008970-1A transcript:Sspon.06G0008970-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSGHSLSLAVAALVLAVALCTAARRTCAIGVNWGTQLSHQLPASTVVRLLQDNGFDRVKLFDAEDTILGALKGSGIQVMVGIPNDLLADLAGGGKAADNWVAKNVSGHVRDGVDIRYVAVGNEPFLETFNGTYLNTTFPAMQNIQAALMKAGLADKVKVTVPLNADVYQSPTGKPSDGDFRADIHGLMLTIVQFLASTGAPFVANVYPFISLYADPNFPLDYAFFQGSSSPVVDGGVTYQNTFDANHDTLVAALRRNGFGNVSVVVGEVGWPSDGDANANLDYARRFNQGFLTHIASGQGTPLRPGPVDAYLFSLIDEDRKSIQPGNFERHWGIFYYDGTPKYPLSLAGGNGSTLKPARGVKYLEKKWCVLKPSANLADQKVGDSVSYACGLADCTSLGYKTSCAGLDAKGNVSYAYNIYYQTMDQDDRACDFNGLATTTSVDPSAGTCRFIIEIDVGAAAPRAATGVAAAVLTALVLSVLL >Sspon.03G0030490-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:21205167:21206785:-1 gene:Sspon.03G0030490-1B transcript:Sspon.03G0030490-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVANPSRVERILLPIFWGLMTLSTFGNLESTTEWLEIVFNIVTITGGLILVTMLIGNIKVFLNATTSKKQAMHTRLRGVELWMKRKNLPRSYRHRVRQYERQRWAATRGVDECRIVRDLPEASAETSSTTSASASCAREGDPVKRMMFIVRGHLQSSQVLRNGAESCCMLGPGNFSGDELLSWCLRRPFLERLPASSSTLTTLESTEAFGLDAADVKYVTQHFRYTFTNDKVRRSARYYSPGWRTWAAVAVQLAWRRYKHRKTLASLSFIRPRRAAVAVLVARRGEAPPLYRAAHVAQAQPGRP >Sspon.02G0016000-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2C:87040208:87040477:1 gene:Sspon.02G0016000-2C transcript:Sspon.02G0016000-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKSKNPRRAGGASSSSSTSVAARGDRAAPWIRLTALAVLTVHSAFSAYLARDDARLVALVAVGYLLMLLLLFYHYGGLPLPGVKKTD >Sspon.07G0018220-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:79624327:79626692:1 gene:Sspon.07G0018220-3C transcript:Sspon.07G0018220-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MCTLCAVQRWSRRVATMLPWLVVPLIVIWAATQLLLPAAYRFEVTSPRLACVSVLLLTLFWYEILLPRLSLWRARRSARLREERRAHALELHKLRKTATRRCRNCSNPYKDQNPGGGKFMCSYCGHVSKRPVLDLNSAGKAPTGWPCAQDCGYWLDLRCSSGNNSSFLGFSWRLLSSFCSTAMRWLLRNIFRFTSSGDGEGLSIDGKRLAKRGENGGKAEESRTEKARRKAEEKRLARLEREMLEEEERKQREEMAKLVEERRKLRDEKAEAEERSKSATPVGEKDARKEAEKRRQERKKKEDKGSSKSNSDCEDIDRRLGREGDRKRDFDRKSDLDRREGYKPHYIDSNNHSNKTGESRSKYFGRMTGGFLSSSRGFGSGSFFGRSAQTPAPQASKVSRPVVPATDQGNAIKRDVQHAATQATGKSATAGETRNAWTNFNRPVSPNVQPHSTGLKKSWHQLFSRSASVSPCPDVATSAHDMIRKPEPNGAQINNAHTFLSQYPPLDYKPSSSQSMQFPGFPPLNGAPPSNPLPHFPAGHMPFYDDVESTLLEEPERFEDPCYDPDAIALLGPVSESLDNFPQDLDCGFLSSDVIKESHGRPSPIESPLSRSRTAEEKPIKPPHSSVARGPGGSILPETSSEQGTWQMWSTPLVQESLGLQGPQSQWLRQNTNQFNHSANLFSSGGGAISSLGTGLNDSDPWLQKAPFQQLPPDTPSLFLSHEMPGKLHNDLVFGSPNKSAREHPFGPPGSLWP >Sspon.03G0032160-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:43383572:43387370:1 gene:Sspon.03G0032160-2C transcript:Sspon.03G0032160-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AAPAQAQVLPLLRWSRSASFLCASPRRLFSALRRPAAAARCPLRGRLQGHAQGDGLLGTRGINKDFRKMITKHADLKALTVKNIHTASDGTCKVLFSLQDGSVIETVIIPCARGRTTVCVSSQVGCAMNCQFCFTGRLGLRKHLSTAEIVEQAVFARRLFSDEFGSINNVVFMVMGEPFHNIDNVIKASAIMVDEQGLHFSPRKVTVSTSGLVPQLKRFLQESNCSLAVSLNATTDEVVRNWIMPINRKYNLNLLLGTLREEIKLRKKQIVLFEYVMLSGVNDRSDDAKRLIELVQGIPCKINLISFNPHGGSQFKPTPDDKIIEFRNVLIQGGLTVFVRLSRGDDQMAACGQLGEPGDYQLPLLRVPEKFQVAWNSAISCKSEPGYVAAHYDTVLLLLMR >Sspon.02G0006540-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:19780394:19782666:-1 gene:Sspon.02G0006540-1A transcript:Sspon.02G0006540-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSGMHVMSKLALDQGMNPLVFLFYRHTTAALVLIPVTFVLERRKAKPVTFKIGWKMFIHALYGVTACGDLFNLGLNYASATSSSALYNVQPVVTFILAVIFGMETLKLTRFHGKVKFAGILFCIAGVTILAFYQGPMFRSFNHHHLFQNGSASGEAGDAQPKKQWVLGIFLMTLSNVLAGLWTVLQGPLIEDTSKLMNTTLQISWASLQAFLVAVAVERDFSKWKLGWNVGLAAIIYSGVMVTALSYYMQMWTIAKRGPVFLAMSMPLTFVFTILISSFIIGDAVSLGSIFAGALLVGGLYNVFWGKSIEERDDLNKISAAAGKPGGLELPQQLDKADEASQEVRDDDAEAKV >Sspon.06G0023870-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6B:48777594:48777752:-1 gene:Sspon.06G0023870-1B transcript:Sspon.06G0023870-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALRAEMAVTVALRDGTALEAMRGGTAAGRSSASGGGSHACSTHILCTYCT >Sspon.01G0012690-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:34325885:34329630:-1 gene:Sspon.01G0012690-1P transcript:Sspon.01G0012690-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPNSDSNMQQLVPIAPPGKASGGDSGKELVVVDPAGKSSGGVKLREDEEDLEVKLRRIMENVPVRVSNTSGSSAGSGSGDFHQYRQMRRREQDRLARMDADYQKRKEMAEFELRREERMKAAEERTAKKRLKRQKKKQRKKDKRAKTGNNGGEEPNRVESSDDE >Sspon.01G0032450-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:112936862:112942495:-1 gene:Sspon.01G0032450-3D transcript:Sspon.01G0032450-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKGAKATAAKSADKDKGKKAGGPVSRSSRAAPQEKSAPKKDVYQLFAEKVRDNKQLESRWAIMQETLREILGPDKDLEVEDIVNTLLTKNLVIRCDRVMKTVRPGKKKLSSWPAHLEIHNEQVFTENDGFFAWMFLKRRTLWQTVLSFVWPLFALAVCLFPVYPYQCKIVVLYSCAGALLFIVSILLLRATIFGILWVLLGKRVWFFPNINAEETTFRELVRFWPEKDEGERPKYQKKVSNIIDDVLEWSPKLAISGMIEKHTGANITEESNYTSRAASSHVPPPSTKGPDMDADGDTEANLDETQDNEYADDTRSSEA >Sspon.04G0033790-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:65884960:65885592:-1 gene:Sspon.04G0033790-1C transcript:Sspon.04G0033790-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLKLSCIHHGSLSRQAHLPASPAPARVIAADGSLKELPASSSSSSSGDAASSLSFFVCNSNALYFNERPPALVPGEVLRPGHMYFVLPAAMLEKPLSTADMAALAVRATTALAFSSNSKPRRRHGQRRGRGGAKKKAVRVMPVREEMEDGGEDVFFNEKLNQQTLGEFGMLLSPAKKDEKLAAAAATSRLKRVLSIIQEDTE >Sspon.06G0008490-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:36004113:36008880:1 gene:Sspon.06G0008490-1T transcript:Sspon.06G0008490-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPAPAGAASAAASPSPFAELVKGSSGLEKIVLRGARNCCAEIYLYGGQVTSWKNDNGEELLFLSSKAIFKPPKAIRGGIPVCFPQFGTHGNLEQHGFARNRFWTIDNNPPPLPVNPAIKAFVDLILKPSEEDLKIWPHSFEFRLRVALGPSGDLSLTSRIRNTNTDGRPFSYTFAYHTYFSVSDISEVRVEGLETMDYLDNLKAKERFTEQGDAIVFESEVDKVYLAAPSKIAIIDHEKKRTFVVTKEGLPDAVVWNPWDKKAKAMQDFGDAEYKSMLCVEPAAVERPITLKPGEEWKGRLVLSAVPSSYCSGQLDPLKVLQG >Sspon.03G0017910-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3A:55373218:55376470:1 gene:Sspon.03G0017910-1A transcript:Sspon.03G0017910-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASWSSPSAAAAAAAASGARFGPFPSRAQRLAPYPSLARGAPASTLVLRPQPHPDGRGHSLLAHTGSSSSSRCRAVAAEVEGLNIADNVTQLIGKTPMVYLNNVVKGSVANVAAKLEIMEPCCSVKDRIGYSMINDAEQKGLITPGKSVLVEATSGNTGIGLAFIAASKGYKLILTMPSSMSMERRVLLRAFGAELVLTDAAKGMKGAVDKATEILNKTPNSYMLQQFDNPANPKVHYETTGPEIWEDSKGKVDIFIGGIGTGGTISGAGRFLKEKNPGIKVIGIEPSESNILSGGKPGPHKIQGIGAGFVPRNLDSDILDEVIEISSDEAVETAKQLAVQEGLLVGISSGAAAAAAIKVAKRPENAGKLIVVVFPSFGERYLSTVLYQSIREECENMQPEP >Sspon.02G0008190-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:22492566:22510898:-1 gene:Sspon.02G0008190-2B transcript:Sspon.02G0008190-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQMDGVTLRHLLRPFAAQEAAAAGRAAAAPEQLVANHSTSQSGLPTRAGPSNELLAQVPGSYSTFGLAPDLTRANASNAVADIIDLTRAAPPSSAPTLPKYPRHGLSASSSNEQSSVGALFLNKIANGMGTFTGESSANEGIANGGVQFQDSSVHALQKLPFKSMTHQHPTLPGDRIRVTCMNHAGGTLENAGEIVRVENGMSIAQWFKFCIGVGGSIANTRWDWPEWACMNSSPEEHILKSLASRNSGTGKMGLFGRYGKITGSMNKPAYSGDLSIEGGGRPNVEKLVNGSDETYYRKNVDVREAFTKNSALLQNSATMNLGLAKNHPVHALDLNPLTAPSGSTHFEASMGRHYNGNHLAHNPCPKQATKINKSLSEHNEPSISTGNKKQPLEVVNGTKHSEGDELTDDTSKNSFISLFLSHLERNNTPEPIDDILNSNEHYLPKALDVACGSDHPESATRQIETRAANDNHSKLTSAIDHMKRRSEGISLSAASSGYNPQDASHPKRCEEPLNSFAKSELGPSRVKRKCNQISEGRRLEEKDKVEHCFELPKKTRTLRVLRTKRKHPIMHLNKPVKRLHSQNKFFEGDEQPDAKGNFLGGLNSSDRKRQVEDMSTPDRTKHRQEGSRAFVRKLPKYVSLNCIVNEPNTNSEGACSGSGGIDSSLIATGITNDNRKSPKIVPLSLVLKKVKRRHAVKLCKTEGTHLYEEKSSDCSVNSSDYSIYKYSVDDENCSPQAEYELQDSKRSRYSGRLNSDAPCCVCAISDLEPCNRLIECSKCYIKVHQACYGVLKVPRGQWFCRPCKTNTMNTVCVLCGYGGGAMTRALKTKNILKSLLKGLMTTERSENYEMQAVSVQVSIYMGKVSNITYFELPNQQALNILSATGLRNAVDSAHGDSIMNAENITSNSWTSVKHNSSLLGPRTMQWVHMVCGLWTPGTKCPNATTMSAFDNWGLIYQVPRCKLFGTLSCLVCTSEGMGLLQSEPEGEHNENVGFYGDAWITPLIFLVIILEKQQLDMCTYRAMCYLKQGFKGRKGEGFSDSSHKKYEEYSGEFSVSQEQINAWLRINGSKPCGRGQKEYVHYKQLKGWKHLVVYKSGIHGLEYVGEIVGQRVADKREIEYQSGKRQQYKSACYFFKIDREHIIDATRKGGIARFVNHSCQTPLGT >Sspon.02G0024430-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:83603891:83605134:1 gene:Sspon.02G0024430-1A transcript:Sspon.02G0024430-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAALPRMAMRVCIENERSCCVERAALPSSGPLLVAKEDTNKCKINCLKLVERRAAAFPTPPPSSWVRCEAWRIRVAGHARGRRAVAPRAIARAQQSTRPALPIPAKGRMASDDMAALESGLERRWLVLRDHGRCWLGPCGLEAAVASADSVFAKLFVVERGSGYGYRKIPILPEKMAYLLFHRRFLLRCVVNGGRDGKETAVREEKRNDGVKKGLPSGLDMPETSRSLGYRTLYRPESRPRRNARWSFVCDLTAEVESRDVAQ >Sspon.02G0030090-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:96155960:96160002:-1 gene:Sspon.02G0030090-1T transcript:Sspon.02G0030090-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:L-galactose dehydrogenase [Source:Projected from Arabidopsis thaliana (AT4G33670) UniProtKB/Swiss-Prot;Acc:O81884] MELRELGGTGLRVSAVGFGASPLGNVFGDVPRDAARAAVRRALDLGINFFDTSPYYGGTISESVLGDCLRHAAVPRDRVVVATKCGRYKDEGFDFSADRVTRSIDESLARLGLDYVDILHAHDIEFTHLDQIVNETIPALQKIKESGKARIYPYVLDRVAPGSVDVILSYCHYGINDTSLVDLLPYLKSKGVGVISASPLSMGLLTDNGPPEWHPSPEELKHAGLQQTTVERREKHYKLAMQYSLMNNEISTVGENVAAALELSTSGIDEELLREVEAILKPVKNLTWPSGIQQA >Sspon.02G0021300-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2B:70439559:70443602:-1 gene:Sspon.02G0021300-2B transcript:Sspon.02G0021300-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding WPRHRGVSLRLPSSPSSSSSSYCTTTRLARWRRWFRRLSVSVRTSWARPRAGSTAPASSSAPSSTRSACRTRGPSRRRASWRPSPVATAAAAMGRSSRSGRTWTRYPCRIQDNVEVAAARPLAACPSTASRVPLGVSQGWVLGFCRRSRPDELV >Sspon.04G0018240-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:75139046:75146340:1 gene:Sspon.04G0018240-4D transcript:Sspon.04G0018240-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRLIPTSRSLLSMASAPPRWWRLPLMAASNPPVRRVSRFCHGSQNKASSSGVRGEEKSGKPENRQLIPSARDIMKNVENSDTTKEFIARHGSVKCICSTGRRSSVDIIFGDDEARRTTTDVTDGEQETWGEFGEVLPEADADKRAANVCQAAAAHQSSVDLVVPLNRLPESSHRDGSIYRDTYEWKKDYRIADRTETRLEAMMLSNPTDCDMVNGICLRHYPRHMFQIFSLKLAKIPVGAGKVELYGYIVAWDELEPFLNYVFNISRDDPFTVEQGSLINMAPKRGISLDYGTLIEYDMKIKTGEQEKDDLQLIDGASVIGLMGTVDRSVFTSRIIGDYGAIDISASCLDRAVEVTVEVTVSEVQDIFHLCLGCFISGLHEEIRLFDGIIGEPRGLKRYVVAVVMGTQMDLKFKSPPVTQNIVAPSRHTNMGMPFN >Sspon.06G0019100-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:70275861:70278126:1 gene:Sspon.06G0019100-3C transcript:Sspon.06G0019100-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGDGGESHCRDALREAFGDSSGSDSDTPAVVSPGAGRGHWRWEAVAGVRGLWLCAAFLSADEQARLLNAIQQEGWFVDANNQAMRFGDLPSWADELSALIREAICVGDAGVGLDAEVTNEDEDACPLPSDLLWREPFFDQMIANRYRPGEGICAHVDLMRFDDGIAIVSLESACVMHFSQAEATRGSAASDTLKQGDGESTKVPILLNPGSLVLMSGDARYLWKHEIDRKPGRQLWDGRELEQHRRTSVRNIKLLMDEHHAACANQGQAYEDIHIRTGTIFGGPSRGRY >Sspon.03G0021510-1P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3B:88150758:88151375:1 gene:Sspon.03G0021510-1P transcript:Sspon.03G0021510-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ARHGPKAKNQTKTSDPIAIPTRALPPPKAKATKRLRCSIRPPAPWPIGGEPSPSNGWRHPSRPVTRIAPPSDASQLLSRLYIIPFSTGPSPSKSQARSPHQPASKKNSPIPSSPLEKRPTMSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDAVTYTEHARRKTVTAMD >Sspon.04G0024790-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:21825719:21831011:1 gene:Sspon.04G0024790-1B transcript:Sspon.04G0024790-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSYFQATTCKPHSRIIVNKPIAGLGSTSQYPLYSHALGFHKLKQKVYPRLVLIAASHKRLTPVCALSGKGNPGTDDDPLMESLKKAMADAKKPRPIQDLLKEQVAKLREQASGGGRGNGNRRGGSGGSGGPDDESFKETLDEVVQVILATVAFILVYIHIIRGEELYRLARDYTRYLVTGKRTARLKRAMQKWHSFSESFMQKEGSEEDQYERSAVSKPTWWQQPQKFVHLMQELCRGNWRPHAQESYLSKN >Sspon.08G0005490-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8B:13548790:13550931:1 gene:Sspon.08G0005490-2B transcript:Sspon.08G0005490-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVLLGPPAIGGARPPPSAVADAEAPASHPFLDLLDAGFNAPNDPDAKAEAGAAPRKARTENNSATYASSGNPCLDLFFQVVPDTPPDRVRALLAAAAWDRDALTALKLVANLRGVRGTGKSDKDGFYAAALWMHERHPRTLACNIPALAEFGYLKDFPELLYRLIHGPDVRQVAKAKADADKARRAMKVRVAKLAERRSRARENYAAVVAATTIPSKPTLADYFTATLARTKSKSKRSRKAAAVAPVDTEEPDQAMEVEQEPEPEAMEVEQKREAPQEAAAPPQPQEEVAAKKKAKKKVPKAARLAVNALETYYSDRAYRFLFDCVAEFFADLLASDLKQLAPGGKKKKIGLAAKWCPTPGSSFDRTTLLCEAIARRLFPRDSSTDYTDLSEEHYAYSVLHRLRREVLVPLRKVLELPEVYMSAQRWSELPYTRVASVAMRRYKSLFKKHDEVRFGKYLEDVEAGKAKIAAGALLPHEIAAAAYRGEADNVSELQWRRMVDDLRKKGSLSNCIAVCDVSGSMNGTPMEVCIALGLLISELSEKPWAGRVITFSEHPEIHMIKGKTLKDKLSFVRTMHWGFNTNFQSVFDRILRTAVDARLAQEKMIRTVFVFSDMEFDQASANRWETDYEAINRKFRDAGYGDVVPQIVFWNLRDSRSTPVTSTQPGVAMVSGFSKNLVKLFLENDGVVNPEAVMVQAIAGKEYQKLAVFD >Sspon.01G0022380-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:81986154:81987275:-1 gene:Sspon.01G0022380-1A transcript:Sspon.01G0022380-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSCVSKKAARAGAGAKGKVAAPLPVAEKESANAQLPPVLEVEEEEVKEVVLSETPVPRPPRPPPPPEPVKRRKEQQEADDEAAASETCSAASVASAAKEKAKLHEAGGWERERVVEKRALDAPEKAKTTARRTTAPEERERDRESRKPKGGAAGGVNGHGRARSPSPSSAHRRQQQSGGGGGQHHPPAPRPRREQPAVVSGIGCRSGRFSPSAARRAAESAAAVRRTHSAREADMALPPSSSKRSLLSLNAAAAINGNGNVAAGHGGGGASALSRRDPGERSGRRSDSPTAGSRRAPASPSPSAAIHRPASPVPKAAAKEHGTPERARPPRVVRDGGGLDAAGGEQKKKLAEGEENALGQNPSVAMECFIFL >Sspon.04G0022060-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:37832223:37834808:1 gene:Sspon.04G0022060-2C transcript:Sspon.04G0022060-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMQKQQHTERWGDLLDDDGGDFDLGVLLPPPVVVGPDANGLKKVIEYHIDEEGNKVKVTTTTRVRKVRRSRRAIERRSWPKFGDAAKEADGSRLTMVSTEEIFFDRTRASGNNADGAGPSSSADSLAKGNDKPGGGLLMVCRTCGKKGDHWTSKCPYKDLALQQGESSGDRPPSADGFGGAAGKGGSGAYVPVFKRLGGDRSGADVMRRRNDENSVRVSNLSEDARDPDLAELFGQFGQLSRVYVAIDRVTGESRGFGFVNFVHREDGERAINKLNGYGYDNLILHVEWAAPRPN >Sspon.05G0021790-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:91268256:91273315:1 gene:Sspon.05G0021790-1A transcript:Sspon.05G0021790-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:At5g16260 [Source:Projected from Arabidopsis thaliana (AT5G16260) UniProtKB/TrEMBL;Acc:Q9LF02] METSGAAAAATEVGWYVLGPNQEGVGPYALAELREHFAKGYLNESTMLWAEGRKEWMPLSSIPELQSAVTSKDQSKQDAPDVDDDFEKFQKEVTEAEAEVDQQDDERPATPPDGEEEFTDDDGTIYKWDRALRAWVPQNDASGSKENYAVEEMTFALEEEVFQAPDILGPSALEEINTLSESKNKGSGKAETRGDKKRKSSEKPAEKKEANKPPDSWFDLKVNTHVYINGLPDDVTVEEIVEVFSKCGIIKEDPETKKPRVKIYTDKETGRKKGDALVTYFKEPSVALAVQLLDGTSFRPGGKTPMSVSPAKFEQKGDVFVSKKTDKQKRRKIKKVEDKMLGWGGHDDKKLMIPTTVILRHMFTPAELRADEELLSELETDVREECIKFGPVDNVKVCENHPQGVILVKFKDRKDGAKCIEKMNGRWFAGRQIHASEDDGSVNHTLIRDYDAEVSRLDRFGEELEEST >Sspon.03G0011220-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:30457473:30463448:-1 gene:Sspon.03G0011220-1A transcript:Sspon.03G0011220-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent zinc metalloprotease FTSH 11, chloroplastic/mitochondrial [Source:Projected from Arabidopsis thaliana (AT5G53170) UniProtKB/Swiss-Prot;Acc:Q9FGM0] ARSRRPSSSARSPRHCPRAAGFRSPPPPPPPPPLSPAAPTRFRRAPLRLRALVPGAPEPDAPEPPAAASSAATAAAAAAEAEPEPEAEAAAAQPAAMSGKEELEDLVDKARAWAMAVAAAVVAAARRFVDWLASRDWMSWWPFWRPDRRLQQLIDEADANPNDAAKQSALLHELNKFSPEDVIKRFEQRSHAVDSRGAAEYLRALILTNTIADYLPDEQSGRSASLPALLQELKQRVSGNEDKPFMNPGISEKQPLHVVMVDPKSTGRSTRFAQEIFSTILFTIAVGVMWVMGAAALQKYIGSLGGIGASGVGSSSSYSPKEINKDIMPEKNVKTFKDVKGCDDAKKELEEVVEYLKNPSKFTRLGGKLPKGILLTGAPGTGKTLLAKAIAGEAGVPFFYRAGSEFEEMFVGVGARRVRSLFQAAKKKAPCIVFIDEIDAVGSTRKQWEGHTKKTLHQLLVEMDGFEQNEGIIVMAATNLPDILDPALTRPGRFDRHIVVPSPDVRGRQEILELYLQDKPVANDVDINAIARSTPGFNGADLANLVNIAAIKAAVEGADKLSATQLEFAKDRIIMGTERKSMFISDESRKASTKGFQNLTAYHESGHAIVALNTQGAHPYTRQLSFLVGLPSEWSHNSPHRMRLLLARNNSLHVLMVAEELIFGEDNVTTGARNDLHTATELAQYM >Sspon.02G0033100-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:4320366:4324397:-1 gene:Sspon.02G0033100-1T transcript:Sspon.02G0033100-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRTGFSIRKRKHLRECRKMCLHVEELPCEGLGRVAHHILSLPALDDLAAQEYTHLLLLVLPHNGVRRGGSTVFLDHHLGGDG >Sspon.05G0018940-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:83063538:83077072:1 gene:Sspon.05G0018940-2B transcript:Sspon.05G0018940-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MWTNVPMSILILAFLRYLSFKVEFRWREQPVRKQTYLSQASKRQLSANDHRLSTVPPVSRWRRKVGSPSVEAAFESFIENILRDFVLDLWYSDITPDREAPELIRGLVLHALGEVSGRVKEMNLVDMLTRDMVDLIGNHLDIFRKNQTLIGVDVMRTLSSEERDERLKQHLIVSQELHPALLSSEHEYKVLQEIVGGIMALVLRPQDAQSPLVRCFSRELMTCLVLQPVMNFASPVYINELIVYLLNSQDTGNVGGNTNMANKELVPYKGGSQGCQMESRNLTVEPSSLIPPNNSGMRSLVTSECGKSKMSEDDNDSTIQPRQPDWAVVLDAATKRRSEVLAPENLENMWAIGRNYQKKMIKVDQPSRLKGYGGSDNSPSAGAVAKELSSNINKRIASVDDKYMVNLMQSKNRNAQSTFVTGSHPLALQNTNEVKPKEGSQVHFSSKEKPHETSNSVKAQLKRSNSTPDIEKRYLAKSNQPMVPSERLNVRKNQDERGAGPASHVEVLMHVPKIRCRVVGAYFEKLGSKSFAVYSIAVTDADNKAWFLRERNIYRNFERLHRQLKEIPNYSLHLPPKSFLSSSVDDYLVHQRCILLDKYLQDLLSIANIAEQHEVWDFLSASSKNYSAGKSTSVMKTLAVNVDDAMDDIVRQFKGVSDGLKRAVGTSPSSATAHFADNRMPLSWNQEEKDNHNLHQRNLESAHSLSDGDSNCEDHTSSMNSGCHSDNEVNNRGHTSNDVKHIETYSSLDKQASDQIGKPTRAYSDSSNMSSLNTFEDPTGIPPEWMPTNVSVPLLNLVDKAFQLKRRGWIRRQVLWISKQILQLVMEDAIDEWIIRQINWLRREDVIVQGIRWIQDTLWPNGIFFTKLDGYKGNAGTSQFDKQSFGTPNQAVGNKKSTSSFELQLEASRNANEVKKLLLDGTPSTLVSIIGYKQYRRSARDMYYFLQSNVCIKQLAYAMLEQVLVTVFPELRQLIDDIHEKGRKEQASFTYQL >Sspon.08G0000310-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:1383497:1387570:1 gene:Sspon.08G0000310-1A transcript:Sspon.08G0000310-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEDFFTLTEMKDGISTVARIAELVSEMHKLEDSADINTSDVIRQCSTAANTLASTKNEECLQHFVQLNGVSFLNRWLQDAQKCVGDVSSSAEDLIVAILTALECLPISNEQSASCRVMPTVDHLLAHGNAVINQKARALRHKWSAVPKHGTNGEHFHAEEACRTDQLKSPEASHKTETKKQSVADQDGEPAEESKPEATTCSDAPLSDPSLTNDNADATKQPPGLTSPNSSNGNAGLGDAKNLVTSPTSAGHVGSEDGQSTTKETPSNDIELPTNGILRSSIKESEPFAAGRLHLEKDTAETLNHLAAVTRDLQDLSEESTGKEDEPTSSSSTDDMDMSSDYILQRCMSSFGDSSKATDTKSTALKGEKSTRLTDYDDTDALEVARLVAIEVEREVIDYRGPFCSSPDTNSRNADSPDLEAPRQPVAVASELNDNKSSSTEAKSGSSSSHKEDGSGITDGSGPLSRKHTRSVDLGNLDLNENQCAEETDCNPKSVLSNSVNLSMPIAVAASRGSSVFPARLHFEGGLGWKGSAATSAFRPASPRRTPDAEKSLSASSHKTSNMLFDLNVADSDSATSGDPLSTAILPTSSDLPSKGASKAVGVSGGLKLDLNFSCGDEEDAITASNVPPLWNRQQFNGNWSQPSSSSSSRQPAVRNFDLNDNMSIADGSVRGMDGSSVKTPSRDMSDHSAVTIMGKRIVVGQKDHGQQYQHNFLGPSAESRVPPRPTQSFAHTPDYNLSISTRYAFSPSVLCTTTAVSMDFNYGVPSEGTRREAGSYWPVSYQGQTMFVDERMRNVSQGGSSGLVLKRKEPESGNNTEYKQQ >Sspon.01G0000910-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:2514785:2516901:-1 gene:Sspon.01G0000910-3C transcript:Sspon.01G0000910-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding PGMLRAVRYLLGSPGASGFGSTSTAEEVTPDLGATTAIITGATSGIGAETARVLAKRGARVVIPARSAKAAEDVRARIVAECPAADVLVLPLDLSSLASVRAFADRFLELGLPLHLCRNNAGKFSHGQLALSEDGVEMTFATNYLGHFLLTKLLAGRMAETAAATGVQGRIVNVSSSVHGWFAGDWAEYLHLVTRRGRPYDATQAYAVSKLANVLHTRELAARHQEMGANVTVNCVHPGIVRTRLNRDREGVLADLVFLLLSKLLKTIMQAAATTCYVAAHPRVAGVSGRYFADCNEALPSPAATDRHEAARLWRVSEAIIDGCTSTSTSTSTGHPQQDCTTAPLLRFLPVQTQTQTGSQELLRRLVVEPAE >Sspon.06G0025760-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:71267612:71269018:1 gene:Sspon.06G0025760-1B transcript:Sspon.06G0025760-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding HMGSISNGTANCATVPQPPPSTGKLITILSIDGGGIRGLIPATIIAYLEAKLQELDGPDARIADYFDVIAGTSTGALLTSMLAAPDENNRPLFAAKDLTTFYLENGPKIFPQNRAGLLTPVRNLLGLVRGPKYDGVFLHDKIKSLTHDVKVADTVTNVIVPAFDVKYLQPIIFSTYEAKNDALKNAHLSDICISRSREYHLVDGGVAANNPTMVAMSMLTKEVHRRNLDFNAGRPTEYTNYLVIKQAEKYTAEQCAKWGLIQWLYNGGFTPIIDIFSHAVDIATKENMESLIGIGQELLKKPVARVNIDTGVYESCSGEGTNAEALAHFAKQLSDERKLRKSNLNSY >Sspon.07G0018030-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:77581327:77589723:1 gene:Sspon.07G0018030-2D transcript:Sspon.07G0018030-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAGGALPCWAAGGGAARWPGVDRAGTTARPRGGRRREGEWATALGWLRGCAWGWMGWAGNGSVSKDHSCGLSYEDLLLENLDRAPGCSTSRQPTKAGGYRVVDDVIPADFKAGTVYEIGEQCSQEV >Sspon.06G0007460-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:25626788:25629396:1 gene:Sspon.06G0007460-3D transcript:Sspon.06G0007460-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSFSPLQGPRELSGAVDLISRYKLLNHHSFFCKKPLPLAISDTNYLNNVVGDTEIRKGEGMELDQLFQNSYSSEKIAYIQPFDMETLGQAFQPRETAPVDLPSDEKGTPTISGKSKVRSKDKVKKHKKHKEKDRDKEKEQKKHKHRHKDRSKDKDKDKDKDKEKKKDKSGNHDS >Sspon.01G0023350-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:89357522:89359829:1 gene:Sspon.01G0023350-2C transcript:Sspon.01G0023350-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAADIRLSIAGQTRFALRLTAALSSNTAAPARNTAFSPLSLHVVLSLLAAGAGHATRDQLLTALGGGDEPVAAEILHALSEQMVQLVLADGSGAGGPRVAFADAVFVDASLKLKSAFEDVAVGKYKAETHSVDFREKAAQVAGEVNSWVEKVTSGLIKELLPPGSVDQTTRLVLGNALYFKGAWTEKFDASQTKDGEFHLLDGGSVQASFMSSRKDQYIASYDNFKVLKLPYQRGEDKRQFSMYILLPEARDGLWSLAEKLSSEPEFMEEHVPTRKVPVGQFKVPKFNNRFAKRIGVELPFSSEADLSELVHLPAGQKQNLCVSSIFHKSFVEVNEEGTEAAAASAVVVMFCSFSMPTDFIADHPFLFLIREDTTGVLLFVGHVVTGVSKIQKSKDTKFEKDLQR >Sspon.08G0001980-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:3094286:3095645:-1 gene:Sspon.08G0001980-2B transcript:Sspon.08G0001980-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHADEAPRPSAAPSKLRKVGSEGMLVLAVPKDVEEFRTMSAYGRLKLFTYDELRKATGDFNPGQIVGEGGFGVVYRGVIDGAVRKGYPPTEVAVKVLNPEGLQGDREWLTEVSYLGQYSHPNLVELIGYCCEDDHRLLVYEFMAKGSLEHHLFRRACSLSWTMRVAIALHVARGLAFLHGPDRPIIYRDFKTSNILLDAEFNAKLSDFGLAKEGPMGGETHVSTRVMGTYGYAAPEYIATGRYRCNNAGHLTVMSDVYGFGVVLLEMLVGRRALEPSRAGARDGSLVDWARPILIRPKKLERILDRRMGEVGPRWGWSAWPASPTTASARTPRSGPPWPGSSPRSRPSSPPTTPRR >Sspon.04G0032260-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:23411763:23412209:-1 gene:Sspon.04G0032260-1C transcript:Sspon.04G0032260-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAPRGGGFLGKRKERDYYYPYPSVSTPSEQAVLLQRQPPPPLARPDPRGVKPDRPAVRFGAKPPLPQPGVQAGGNKLLAGYLAHEFLRCGTLLGERRLEPPRLKEKDPAPEPSRRYAEVSRLLMAGGARIPGVVNPSQLGHWLRIKE >Sspon.03G0006610-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:18239311:18240780:1 gene:Sspon.03G0006610-1A transcript:Sspon.03G0006610-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AAASAEYGYYGGGAGPRERKTAGCGDHFVVDDLLALPYDDDEEGGGEAAAGDGEAPPCLQPVDAHGVVGLVKEERGGLGNFSADSSTVTALDSCSNSFSGLADGDFPGEFCEPVTQLAELEWLSNYMGEGEETFAAEDLEKLKLISGGFSPALPPAPVAPAAAASAAAAAASAAQPGMFLPEAPVPAKARSKRSRAAPGNWSSRLLVLPPTPASPPSPASMAAISPAESGISAPQAFPAKKPSKKKDAAPAAPPSSVSAAAQPGGVSAASAEGRRCLHCETDRTPQWRTGPMGPKTLCNACGVRYKSGRLVPEYRPAASPTFVMSKHSNSHRKVL >Sspon.04G0009000-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:26141124:26151297:-1 gene:Sspon.04G0009000-1A transcript:Sspon.04G0009000-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDALSRPAVVIDNGTGYTKMGFAGNVEPCFITPTVVAVNDSFSSSGQPAARGAPARGNWLAQHSAGVMADLDFYIGEEALARSRASSSHSLSYPIRNGQVENWDTMERFWQQCIFNYLRCDPEDHYFLLTESPLTAPETREYTGEIMFETFNVPGLYIAVQPVLALAAGYTTTKCEMTGVVVDVGDGATHIVPVADGYVIGSSIRSIPITGKDVTQFVHQLLKERGENIPPEESFDVARRIKEMYCYTSSDIVKEFNKHDREPSKYVKHWTGIKPKTGAKYTCDIGYERFLGPEIFFNPEIYNNDFTTPLQVVIDKCIQSSPIDTRRALYKNIVLSGGSTMFKDFHRRLQRDLKKIVDARVRASNSRLISGDAKAQPIEVNVVSHPIQRYAVWFGGSVLASTAEFYEACHTKAEYEEYGASICRSNPVFKGMYTKMGFAGNVEPCFITPTVVAVNDSFSSSGQPAARGAPARGNWLAQHSAGVMADLDFYIGEEALARSRASSSHSLSYPIRNGQVENWDTMERFWQQCIFNYLRCDPEDHYFLLTESPLTAPETRSILGRSCSRRSMCPASILLSNLSLRSPLGTLPPRLCEMTGVVVDVGDGATHIVPVADGYVIGSSIRSIPITGKDVTQFVHQLLKERGENIPPEESFDVARRIKEMYCYTSSDIVKEFNKHDREPSKYVKHWTGIKPKTGAKYTCDIGYERFLGPEIFFNPEIYNNDFTTPLQVVIDKCIQSSPIDTRRALYKNIVLSGGSTMFKDFHRRLQRDLKKIVDARVRASNSRLISGDAKAQPIEVNVVSHPIQRYAVWFGGSVLASTAEFYEACHTKAEYEEYGASICRSNPVFKGMY >Sspon.02G0018560-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:59703652:59709266:-1 gene:Sspon.02G0018560-1A transcript:Sspon.02G0018560-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVSARGSPRSLPSPPLPPSPQPQPDAERMFMRGGSGRSSTGTSDSLRSASLRDIDEEAAVVVADDDGGGGKLYVAVGKDLKDGKSNLRAAQSLGLVGGDLKLVLLHVHQPADRIMNGLGCKVLASQLEEKELKAFRQIEQGEMDTLLNTYVNHCRSYLKVQAEKLIIEKNNVANGIVELINQHRITKLVMGMSSFSTKRKVPKSKVAAIVHQQAKPFCQIFFICKGSVGCTRDANLDSTKADSPRSSSASTLSDETELPTRSVSLPPGRGPPDEPFLPRRSQSVSYPSSGLMGNNVERMSPIAQQSIHVKTTNWSPNSSLPSNEGSSSSSLKDSDSLDGSPVPASIISYEEQPMSMVENGMHNEVFEHLQQARTELERSRKEACEGRQKAERDLFEASMKSKARENSLRKEKKEVEEKLTKEKSILEKEKLQIYNKLQKANEQRAQLENKLLQTNSLLEELQQLQGELQREKEDSLREVEEMRKLYSNRNFASAGEVSLTEFSYSEIEEATNNFDGSREIGQGGCASVYRGFLRQTTVAIKKFNREGAVGEKEFNDEVEILCRMRHPNLVTLIGVCRYPKVLVYEFMPNGSLEDRLQCKLHTDPLPWRMRVRIAADICTALIFLHSNKPKSIAHGDLKPDNVLLDANFVGKLGDFGISRSLDLTNTTVTPYHRTDHIKGTLGYMDPGYMLSGELTAQYDVYSFGVVLLRLLTGKSPLGLQSEVEASMSSGVLHEILDASAGEWPLEHAEELAGLALKCCRLNRKDRPDLAKEAWGILQAMMNEPPPSSTHPPEADAPSYFVCPMTQEIMRDPHIAADGFTYEGDAIKDWIQRGHTMSPMTYLNLTHHELIPNNALRFAIEEWQMGQQQ >Sspon.08G0019260-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:13572046:13574934:1 gene:Sspon.08G0019260-2C transcript:Sspon.08G0019260-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAGWLCSWLLRLIKVKLLCLFCSVLAGLARRIIENDLFKHDWRSRSNVEVLQYIFLKWAMAFLVGLLTGVIASLINLAIENISGLKMLHMVNLVREKRYWAGFLYFAGVNFALTFVAALLCVVFAPTAAGPGIPEIKAYLNGVDTPNMFGAPQLIVKIIGSIGAVSSGLDLGKEGPLVHIGACLANLLSQGGEGRWRLRWRWLRYFNNDRDRRDLITCGASSGVCAAFRAPVGGVLFALEEVATWWRSALLWRTFFSTATVVVVLRGFIEVCRNGRCGMFGEGGLILFDVSDVTVRYHVGDLLPVTLVGVLGGVLGALYNHVLHQVLRLYNLINAKGRLAKLALALAVSVFTSAGLYLLPFAVPCTPCDPAFGDACPTVGNSGNFKQFNCPAGYYNDLASLLHATNTDATRNIFSTGTAGEFRLDSLLIFFAIYCVLGLFTFGIAVPSGLFLPIILMGSAYGRIVALVLARFVRIDHGLYAVLGAAALMSGSMRMTVSLCVIFLELTNNLLLLPITMFVLLIAKTVGDAFNPSIYEIILDLKGLPFLEPKPETWMKDLAVGELAAAKPRIVTLQVIEKVSTVVEVLRSTPHNGFPVLDRPRPGVSELHGLVLRSHLMAVLKKRWFLTEKRRTEEWEARERFSSTELAEKSGSIDEVAVQLTTEELDMYIDLHPFTNTTPYTVVETMSVAKAVVLFRTCALRHMLIIPKFQGPEIAPIVGILTRQDLRAHNILGAFPHLANKRKAVNLVGQPER >Sspon.06G0019870-3D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6D:3929995:3934822:-1 gene:Sspon.06G0019870-3D transcript:Sspon.06G0019870-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RKKNITSSSCVPPCSIPDEEFAPESIDLLSPVRRSVDRSVRGLGPPRGSDLVLASPEEEMNGAGGSHHQQQQQRLRQQQQQQALLMQQALQQQQQYQSGVLAAAAAAAMTQVVPPDPRLLSICWYVGNVNPNVTESLLIEVFQSAGLVERCKLIRKEKSSFGFVDYYDRRSAALAIMTLHGRHIYGQAIKVNWAYASTQREDTSVMLESCGTTKLDAPGVMEAETAITEMTGKWLGSRQIRCNWATKNNSEEKPETDNHNAVVLTNGSSSSSAMDASQDAGSKENPENNPDCTTVYVGNLGHEVNRDELHRHFYNLGVGAIEEIRVQQDKGFGFVRYSTHGEAALAIQMGNGLVVRGKPIKCSWGNKPTPPGTTSKPLPPPVAPYQPAVAMPGVPQGFTAAELLAYQRQLALSQAAAGQIAGQHGLAGQVSAGLLAAAGSQALYDGYPNQSSAQQLMYYN >Sspon.02G0025530-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:93650058:93653343:1 gene:Sspon.02G0025530-3C transcript:Sspon.02G0025530-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPLERLQSSGTINLASSKQQMHGSSQEELEQNRLSNMSIPAIEPDTGRSKNLQGPSNMNIINIPKTIGVISNLNECANLFQWVTSAIPSLKSQLNQKQKEKLDGDVSQLQGDLQCLIDTLPAMCNLIDRAEWRIHDHYVADLLSRLKDAVYDAEDLLDEFRWYETKASVERNTSSVEPIIDFVHSVTQGSFNRVYDIQKRLNNLSGQLEKMGLLQAIPRFDKSFRPETTSFPTEAKIFGRNKEKKKLIRLLGVPTNNSMGPSGCKRTRSGVPVLPIVGIGGVGKTTLAQDICNHSKVKCHFDPIIWICVSDDFDVKRLTKEAIEQSSGKVPKNDNLNFLQVALANSINTRRFLIVLDDMWDENEQHWKHFCAPFQNILQGSMMLVTTRSPKVANVVRTMDPFPLDGLNEDVFREFFKLCVFGSNSSNNVPELERIGEVILPKLRGSPLAAKTLGRLLGMSLDPAHWDRILKSQLWELQQEDTDILPALRLSYMYLPLYLKRCFSFCAVYPKDYNFKKEDLAEIWAAEGFVEEQPNIPLQCTSGQYFEELAHLSFFQKHPWLNEKYVIHDLMHDMAQLVSKDECFAIKETNDLPKIPQNVRHLSVLKGGDVKCSDLLNLCKHRKLRTLLCAMSLKSETGNTVMEKWCTELLCMRVMVCSSLSKWGLPNSISNMKHLRYLQILNSCLCKRLPAAFCCLYNIQILYAKRWEIDDIPCGFNMLINLQKFESVKYQFHRLHSVDICEVATDKGQIRQLKFPKNNGEHLPRGFHPQNLTNITSLWFEYWENIRSITYSMSLMQIHSYDSTFSSLTDVQIRNCQNLSSLEQFLQPAYVPIIKKIEISYCRSLKSIPTDRFGDLCFLEVLRVSHCPMIKSQSLFVPSLKKLYLMNSGHHVP >Sspon.05G0028110-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:59119610:59120120:1 gene:Sspon.05G0028110-2D transcript:Sspon.05G0028110-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRTFSFHNATSTAMVLQLALFFSSAVARSDPLFSCGPSSTSRAYPFCDRSLPAARRAADLVSRLTVAEKVSQLGDEAAGVPRLGVPPYKWWSEGLHGLAFWGHGMRYNGTVTRVTSFPQVLLTTASFDDGLWFRIGQHGRALHYNIDS >Sspon.04G0035270-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:6155179:6161647:-1 gene:Sspon.04G0035270-1D transcript:Sspon.04G0035270-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADDPVDIVTEEVDKISGLPDDVLLDILGRLAMAGDVRTVARTSVLSRRSRSLPWPQIPTVSLDVGEFFRSDDDEWRHQATAGLTDALARFLAAPPSARLIETLSLKLNLTRRDYVRRVGELVGAAADDGAVKTVELELVTEMEMAMAMASVAFDPDARTKLGYGERFRHFVQDCPGAFRTCGSTNPAEVNNLVRSCHALQHLSLSSCGLCPKALLLAMDDDEPLPRLPMLTIDAPQSRLQTLVCQFCILGGVELVQAPELVTLEYNAGGLFLQDYHPVSIGCAPSLQRLGLHQRQHEDSFFKLKLRELLVDCKLESLCLAFHDGKIWVQPERHSKQLRAALGGLKGLHLSNIYPECNYLSWTRFLLEAAPLLEPLEIHICSRICQPRKKRRNDTNLAWEPSPGFKHHHLKQLCFHRAFHAEKGLPFARRLMLLAPNLQGRHLGRQCPGCLAAQRKHPDLAASRLRFAEGSKHVDAFVRALEDGIPTSAQITFSNSSPQQSAKPGASFGQNNQGTQRYQDAKAPNKQQMHLNIRKQLLSCITINKRMRLKIGDRDPRRQLHMGKTKRKNQSITELCIRVAQAADQNIPQTYFGENLKTAACGGGVSRAVTDA >Sspon.05G0011410-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:34699931:34703061:1 gene:Sspon.05G0011410-3D transcript:Sspon.05G0011410-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VRIMGKFMHDNRLLPQSEVNRRHYSLAAVAVGLGLGVAGLCKALHSGLSISWVSRRNLFLGSGRVYYVGGLRNLGNNCFLNVILQALASCDGFISFLDNLLVTDGLLPEEKAVRMPLLLALSSLLEDLSIVRDERTVLNPHGVMHALSFYSLQFDQTADITLSHSKVYKQREGNLPECKRWKQNLFGPFDGTIGSILSCRNCSSVLSLDFENFHCLPLSPVLNANGDITSGCSLVDCLKYFTVVEHLDNYRCDQCWHIIAAKYLSLKSEVDEEKVSKLHTCVDYGTCSCRDMFPLEEMPCSSSSRATKQLIISQCPKILCIHLLRASVGLDGELIKHQGHISFPLLLNLSPFVGGALSAGPLAMNVQRDGQQALHLYRQLNMQMSLNVLPTGGYLSSQPCKEEATNNSGRSIHVVNVDVFSSSSSSSSPQPSMSKLYGLSAVVEHYGKCGGGHYAVYRRVASNPDPDDPGKPLAGLGRRWFYISDGSVSEVSEEDVLCAEATLLFYERL >Sspon.06G0005070-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:16044804:16045262:1 gene:Sspon.06G0005070-3C transcript:Sspon.06G0005070-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKAEKKPAAKKPAEEEPAAAEKAPAGKKPKAEKRLPAGKSAGKEGGGEAKRGRKKGKKSVETYKIYIFKVLKQVHPDIGISSKAMSIMNSFINDIFEKLAAEAAKLARYNKKPTITSREIQTSVRLVLPGELAKHAVSEGTKAVTKFTSS >Sspon.01G0007210-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:16416053:16419662:-1 gene:Sspon.01G0007210-2C transcript:Sspon.01G0007210-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAHASRGRRTLEEIRQKRAAERMQHALPIAASHVDSHGNQRAGAELLARVQELENGNTELERENKMLLSKIAEKEVEKDALVNRLNDLKRNVVPSLKKTLNDISLEKDAAVVAKEDALAQLRSMKKQLKEAEEEQYRAEEDSASLRAQLNTLQQQVMGHSYSGYTMRTSNEEFVAMEKEIQDLQAQLKQESLLRQQEQQKLAEESQLRQQEQEKLAKEQTRIASLEAEKQQLEDQITTLTKKATEDASEFAARKAFSMQDREKLENQLHDMALMIERLEGSRQKLLMEIDSQSSEIEKLFEENSALSTSYQEAMAIAVQWENQVNDCLKQNEELRSNLEKLRLEQASLLKVSNITTQSDGQIENSISNPPEMVTENISLKDQLIKEQSRSEGLSAEIMKLSAELRKAVQAQNNLARLYRPVLRDIESNLMKMKQETYATIQ >Sspon.08G0010520-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:46494671:46495546:1 gene:Sspon.08G0010520-1A transcript:Sspon.08G0010520-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding NGPRRRHDPDLPLRRLLAFLLRPRHRVDGVLLPGPEHDAGDADGRVGVRRAAGSEGAGCGGRGNGRRSAARGAGQGGGAERGRGRVAAPSESPAAAWARAQQPGRELVAAVPGPRRRRRAADVAGRVPGHGAAACGRGLPLRRRRHRHRRLGARDGRGRGRHGAVRGRQSTASTAAAAARGGGEGEVAAAAGVGRVVVVVPGAAAGAAHRHLQRRSGV >Sspon.01G0021490-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:83453269:83453675:1 gene:Sspon.01G0021490-2B transcript:Sspon.01G0021490-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARVLVDVVLVAALTAILLLAAAPPPARAAWVDSDYPSSVPCGVTIPVEQCDPAVAAANAACQDMCHYGGRRGGRCVSPGRLALVQGCHCRC >Sspon.06G0012700-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:54864464:54868237:-1 gene:Sspon.06G0012700-2B transcript:Sspon.06G0012700-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRSSLLPLLLLCTAAAAPAASFSSSRNAELDALMELKAALDPAGRALASWARGGDPCGRGDYFEGVACDARGRVATISLQGKGLAGTVPPAVAMLPALTGLYLHYNDLGGEIPRELGGLPDLAELYLGVNNLSGTIPVELGRLGSLQVLQLGYNQLSGSIPTQLGQLNKLTVLALQSNQLTGAIPASLGDLPALTRLDLSSNQLFGSIPAKLAEIPHLETLDLRNNTLSGSVPSGLKKLNEGFLYENNSELCGAQFGSLKACPNDGNDDGKMPRKPESTSVKPQQIQKTIDLNRNCENGGCSKPSMLSTGAVIAGTVIIVAGAAACGLSVFSWHRRQKQKVGSSVEHLEGQPSLDQSKETCQRSASSLINVEYSSGWDTSSEGSQHGVRLSSEGSPSVRFNLEEVECATQYFSDVNLLGKSNFAATYKGIMRDGSAVAVKSINKSSCKSEEADFLKGLRMLTSLRHENLVGLRGFCRSRARGECFLVYEFMANGSLSRYLDAKEGDADAAVLDWPTRVSIIKDIAKGIEYLHSNKPNKPSLVHQSISADKVLIDHLYTPRLSGAGLHKLLADDVVFSTLKDSAAMGYLAPEYTTTGRFTDKSDVYAFGVVVLQVLSGRRAVSPHLRQGCGGTAAAESSSGGGRLDDLVDPRLCGRFSRPEAAKLAGVALLCTADAPAQRPAMAAVLQQLGTSQ >Sspon.07G0006310-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:14624059:14629709:-1 gene:Sspon.07G0006310-1T transcript:Sspon.07G0006310-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exosome complex component RRP41 homolog [Source:Projected from Arabidopsis thaliana (AT3G61620) UniProtKB/Swiss-Prot;Acc:Q9SP08] MEYVNPLTGFRVDGRRPNEMRQLKGEVGVVARADGSALFEMGNTRVIAAVYGPREVQNKGQQVNSNEALVRCEYRMAEFSTGDRRRKPKGDRRSTEISLVIRQTMEASILTHLMPRSQIDIYVQVLQADGGTRSACINAATLALADAGIPMRDIVTSCSAGYLCSTPLLDLNYLEDSAGGSDVTVGILAKMDKVTLLQMDAKLPMDTFENVMGLAIEGCKAIATYIREVLLENTKKLECQRVTHFKP >Sspon.02G0025720-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:89247022:89252457:1 gene:Sspon.02G0025720-1A transcript:Sspon.02G0025720-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKARVYADVNVLRPKEYWDYEALTVQWGYVLSSPPSLARVLSLRVTLAQASVSPWYERVRVANRVVRSEQDDYEVVRKVGRGKYSEVFEGINVNNNEKCIIKILKPVKKKKIKREIKILQNLCGGPNIVKLLDIVRDQHSKTPSLIFEYVNNTDFKVLYPTLTDYDIRYYIYELLKALDYCHSQGIMHRDVKPHNVMIDHELRKLRLIDWGLAEFYHPGKEYNVRVASRYFKGPELLVDLQDYDYSLDMWSLGCMFAGMIFRKEPFFYGHDNHDQLVKIAKVLGTDGLNAYLNKYRIELDPQLEALVGRHSRKPWSKFINADNQHLVSPEAIDFLDKLLRYDHQDRLTAREAMAHPYFQQVRAAENSRTRA >Sspon.01G0018240-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:68136452:68138839:1 gene:Sspon.01G0018240-1A transcript:Sspon.01G0018240-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDTTSQNAAAAAEQERAPSALALRRLADYATGEIPTVFYVPDFISHSEQSQLLHNIYQAPAPKWKTLKNRRLQNWVPSWLTRITDRICQWTGLFPSAINHVLINEYHPNQGIMPHQDGPAYYPVVAIISLASPVVIDFTPHQRLKEQQDTDPQDLQDHELLALAETESNGAGSHEPGATNESDPASSSLMLMPCSLLVFKDQAYTDYLHGIQDNELHNLDKVENVSQCPELKHLHLDSILGSMSREEQRGTFRRTATRVSLTCRLVLKVHKKLFKL >Sspon.01G0003050-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:6897373:6902484:-1 gene:Sspon.01G0003050-2B transcript:Sspon.01G0003050-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVATAAVSLHPFLTRPASVLRIGRRLPPLLLRATSSTSTSASPDFNITFAEPAPSKKAPSAQPLVPWIVRGEDGKPRLSTSPPPDVLQTIALAEAEAKKETLKGQKGAVAAAAASNASLKAKERKAAPTAPPKFSKAARRFYNENIKEREPQRLAKMLTKRLFPVASRRSSEELIFQGKVTVNGSVCTSPQTKVDVSKDSIYVNGNRISKKLPPKLYFAVNKPKGYICSCGEDSKSVVSLFNDYLKGWNKIQPGLPKPRLFTVGRLDVATYVVTIDGAVHKKHLIDISEGTKIDGVMCIPDLVEPLDAQSDTRKTRLRIVVHEGRNHEVRELVQNAGLQVYALKRVRIGRFRLPADLGIGKFVELKQADIKALEGNK >Sspon.02G0031700-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:115779654:115780531:1 gene:Sspon.02G0031700-1A transcript:Sspon.02G0031700-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVKDLVLQPRQASPSRLPVPGHATHPIHTSNPLSSATSASVTPITRTQRGAEHRRAGSQPSAKLTHSLDRSPSPSPPRMVELEAAFAVASVLAVVTVAALLRACSRRAAPAPPLARRRPSSSSSAAVFVGGVEDVEAGLDDAALRALPKVVYGDEEEDGAVAATKAPPACCAVCLGEYATGDVLRVLPQCAHAFHQRCVDRWLRLHPTCPVCRSPPVTTPVVATAKPLPPPS >Sspon.02G0002860-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:8922725:8926566:1 gene:Sspon.02G0002860-2C transcript:Sspon.02G0002860-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVDGEAQPFRLFSKRGKSKPKLKLEPEVQPQPEPDPTSPDPAAAAAAEADHPPSPTIVTETGQADDAEAAAGAAPATFADLGLSQWLVDACDALGMRRPTAVQRRCIPRALAGEDVLGIAETGSGKTAAFALPILHRLGEDPYGVAALALAPTRELAAQLAEQFRALGAPLGLRCLAAIGGFDSLAQAKGLSRRPHVVVATPGRIATLVKYDPDLAKVFARTKFLVLDEADRVLDVNFEEELRVIFGCLPKKRQTFLFSATMSDNLRSLLELSGNKSYFFEAYEGFKTVETLKQQYIHVPPEGKELHLWYLLSVMKGKKEDPIRSAIVFVSTCKVCQYLDLLLEELGYAAVALNSHKSQAQRLLALNRFKSGQVPVLLATDVGSRGLDIQTVDLVINYDMPMSPRDYIHRVGRTARASRGGLAISFVTQKDICLLHEIEDIVGKQLEAYECSDKEVTKDITKVFKARRLAKMRTRDEGHDEKVEARKEQKKRDRARKRKHED >Sspon.07G0024640-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:27226853:27228296:1 gene:Sspon.07G0024640-1B transcript:Sspon.07G0024640-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AMSDNSKKSWPEVEGLPSEAAKKKILADRPDVQVVVLPDGSVVTTDFNDKRVRVFVDKAGNVAKMQLYNRDNTKITESRTDLFDEK >Sspon.07G0014830-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:53416469:53419617:-1 gene:Sspon.07G0014830-1T transcript:Sspon.07G0014830-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding HMAATGHAVVDIDFPEEADAAKPPLAPVPYVLNFADLSYSVRSRSKGGGLLPQSSRRHPVASADTPPPTSSGSSRRTKTLLDGVSGAARAGELLAVMGASGSGKSTLLDALAGRIARESLRGAVTLNGEPLQGRRLRAISAHVMQDDLLYAMLTVRETLRFAAEFRLPRALSAERKQARVDALIDQLGLSRAADTIIGDEGHRGVSGGERRRVSIGTDIVHDPILLFLDEPTSGLDSASAFMVVQVLRRIAQSGSVVVMTIHQPSTRILGILDSLLLLSRGRTVYAGTPAGLKPFFAEFGAPVPDNENPAEFALDTIREYERQPGGAAPLADFNVKWQHSSSSSAAVENNNNNNNNKMMPLELAIAQSVSRGKLVAGSGPGGGSAVTGSSVPTFANPLWTEVWVLIKRSFTNTGRMPELFVMRLGTIMVTGLILATIFWRLDDTPKGVQERLGFFAMAMSTMFYVCADALPVFVQERHIYLRETAHNAYRRISYVLANAVVAFPPLVLLSLAFAVTTFWAVGLAGGASSFLFSGFVTFLSAVVPHVMLGYTVVVAILAYFLLFSGFFITRDRIPDYWIWFHYLSLVKYPYQAVLQNEFGGASRCFSRGVQMFDGTPIGRMPKAVKMKVLDAISATLGTNVTADTCVATGADVLAQQAVMDISKWKCLQLNRRPRNETKPINGQSEALLRDTGDRRQEFSYKHPIQEEERWHWQQQQRLRSCPTFCTQRGIRNTVH >Sspon.05G0002480-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:2842281:2847766:-1 gene:Sspon.05G0002480-2C transcript:Sspon.05G0002480-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGDQVPDLRIRFVHGGFAGPDAQRYGRRPGWLLTLRGDSGAIEQRQSISFRAYAERRLVAENISLPNKHKEELETRIRNQLRRPQLPPSSYDTAWVSMVPMRGSHQTPCFPQCVEWILQNQQDDGSWGVNQSDSSISKDVLLSTLACVLALRRWNVGRENMWRGLHFIGRNFSVAMDEHVTAPIGFNITFPGLLSLAIDMGLEFPIRQTDVRGILHLREMELKRQVVDSSSGRKAYMAYIAEGLGNMLDWDEVMKFQRKNGSLFSSPSTTAVTLIHKYNDQALQYLNLLVSEFGSAVPAMYPLKIHCQLSMVDALEKMGISQHFVSDIESILDMAYRMNGYDVSSDELSHVAGPSNFHDSLHGYLNDTKSLLELYKASKVSLSENDLILDGIGSWSGNLLKDKLCSSRVQKDLIFGEMEYAVKFPFYATLERLEHKRNIEHFDAWGPLMLTTKSSSFCINQEFVALAVEDFSSSQYVYQDELQHLDSWVKENKLDQLQFARQKLKYCYLSAAATIFSSELSDARISWAKNGVLTTVVDDFFDVGGSKEELENLIALVEKWHAHHAVEFYSEQVKIVFSAIYTTVNHLGAMASAAQGRGVTNHLVEIWLDLLRSMMVETEWQRSQHVPTVEEYMTNAVVSFALGPIVLPALYFVGQEVLEQAVKDEEYDKLFRLMSTCGRLLNDCQSFEREGNQGKLNSVSLLVLHSSGSMSIEAAKKAMQKSIDVSRRELLRLVLRKESPVPRPCKELFWKMCKIVHLFYSQTDGFSSPKEMVSAVNAVINEPLGVQNIHEESEDL >Sspon.03G0001120-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:11516179:11559790:-1 gene:Sspon.03G0001120-2B transcript:Sspon.03G0001120-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKLMEKSGQHGHLLLSFTIKHIDHKSIAKNSVKQINIVKVASHLARHAKLKASVTIASAISDLIKHLRKCMHFAIEASNAHADDDKWHSALYVALEECLVQLTEKVGDVGPILDMVGVMLENLSHTATIARTTISSVYRTSQIAASVYKSSYHQKASAWHANYCMRSNFCFKNINGYSLCISQAFPEALFHQLLLAMLHPDNKTRIGSHRVLSTIVAPSLLCPWSGMSFPIPMKGDDSQNLHLLVLSAFSSEAIINETQTKNKIQASLQENNKSEAIVDAENGYAQTEPDKRKYPGSPCLNEHYAFNDENLKFMKLNNHQIVLLLSSIWSQASLNDNSPANFEAMGLAYSIALLCSKSKSSSHVALVRCFQLALSLRRKSLSHESDLQPSRRRCLYTMASAMLIFSAKIADLHQIIPLVKATAPEKMVDPHLCLMDDCQLVNTSAESSNSEMVYGSEEDESDALAFLSAINKHDTELIETKFNGIEEQLLQEFSLDDSFPLGAPLFMETPHSCLVYAEKDEQCFDEDTVPSELDDDDIIFEHSGSQSDRKTSGSMASSDVLTVNQLIESVHETARQVANVPVSANPVPYDQMKSQCEALVMEKQQKMSVLLSFKHSRTDSHGSAGVDGLETNESSLRSEPELRKGRMRRCDSASSESDCSFRLPPASPYDKFLKAAGRSYFFAIAMENNYACPPPSKGNLITVLSIDGGVVKGIIPATFLAFLESKLQELDGSNARIANYFDVIAGTSTGGPITAMLATPSLSNAKQPCYEAKDIVPFYLKHGPRIFPCRTGIFGWFFKILQIIKMIIGPKYDGKYLHKMTSDLLGDTRVKEALTNVVILLSMLSVPSQQYSAHSSFNDIDGVLAANNPEIYTSLVIVCGWVFLPKSCVNLITLVAMGEITEQIRQKSKEFPETKPLDYHRYLAASRPLNGEDLQGLVKIGENLLHKPLSKDDYKINYIETMPKDSHNLTYAAMLTRPDAQLEPDYLSGIRETKPSREMAAVSSSTSTAYFSSQPQLPTSTADPTAAAASSSLVRQPRRSSSFVMVEASSASSSDGGVARTKSLTEDDLEELKGCLDLGFGFAYSEIPELCGTLPALELCYSMTRRFLDEQKAPGQEQEEEPASPPLPNWRISGPVYMSKVDGKFKFSPISVNFLTEITKIIFAIVMLFIQARGLKVGDKPLFTVSIFMQAARNNVLLAVPAFLYAINNYLKFIMQWEALALLLIGISVNQLKSLPEGSSALGLPVAAGAYLYTLFFVSSDFLINMVTVPALASVYNERLLKASLIPVFTFSYHLIAIFISWFQNLFLYGYGAIFNFLGLVITAIIQEYQNSVETVGHIFSHVIKYFDGS >Sspon.02G0022450-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2A:75449933:75450454:-1 gene:Sspon.02G0022450-1A transcript:Sspon.02G0022450-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTKEMLAAILVKLEEVNALLGIRAEPPTPSSPPSVSTHIAGSGEDQERGVCASSGFPNSTPSTASAMDADPGFQSGVTELPDPPVSIDGHLGILFDANGGGVDRLSRLPDSLLRDIVSRLPIKDAARTAVLSRRWSPIWRAAPLLAFDDFHRFEGPVPSETRRLGPLRLLLC >Sspon.03G0016310-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:72099133:72102432:1 gene:Sspon.03G0016310-3C transcript:Sspon.03G0016310-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMIVPCLAAAVVAALAVTAPRGADAYKNYTVGDDKGWYDGLTLPGVDYQAWADGKNFSLGDFLIFNTDKNHSVVQTRNGTLYKSCDYNDSGPDDTVEWSAAAPEFSKDAVTIAVPLLKEGRAYFFSGNYDGEQCESGQRFAIDVAHGQGLPPDLRPPVADAPAPSSSTGTADGAAALDFSHPKNVTTPSATDDDDGEPSGGGTSGSSTARTLASQLRSALPVTVTLLITLLFAMANKISIAMVASDNELVRYFMLNYSVSN >Sspon.01G0038680-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:31239052:31245029:1 gene:Sspon.01G0038680-1B transcript:Sspon.01G0038680-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLSRHTVRNEFALGGRDLYRAADQHDPEAVLDGVAMAGLVGVLRQLGDLAEFAAQVFHGLYDEVMSTSARGHGLMLRVQQLEAELPLLEKDSCQRDYLYVASNRGVDWHSNPRVDHGVVTRGDTPRFIMDSIKQCRGPPKLFTLDKYDIGGEGACLKRYTDPSFFKTDSACSTLLQEGIQNERRPLKAMEIRPNLQNAEIFRPPNAADYVSKLETDLSGEAMDEVPTNRRRLKYRQLNGSVFQSFRPLMQNLYEKPSSEEKLVTGDHSKVHISMNDSPESDTEERDIMVDTSVNMEKGKVTVRKNRSISEEALSRSSDTRSAGSSKGYNSEVDIYMDALTTMDSEVETDSEHRGHGQYTLDRMDSDNRSSNAQNNMASRTTSFERKDKSDVASANRDMSNKYEEAIVSTQHTKPVFGEHERTSSLEELLDKEKPASWDHERTSSLEELLTEDFNAPESGVREQATEEQSCNGSVTSAVSNGTQDITKTKEVKEHSNIATISFKKIASKRSKYVGSMELIASKVGILPRKLSKKHDPFSDSLRYMAKQLLELKYDGTQDRDLYDFEANGVGCDVKYQEIYDPPVEIKESDVHKIPSDSPYDDVDSRKCLQEEVNHELEHDVPPTDSPHDSVPDEGNGFQDSNMVYLAGIITSCSSQEEEGCASTALDENSSTGVINHILEHAQENFEEHLDKEVNEDIHIEVISENASDKCEDLKEVGIYTEQVNVEDIEESNKSDVYVLDDETAEYIEEQLVSDGMISSPVSSKQSDDPCRITPLTVADEDYTVACKIFDGPEVEHITLSETFTDIDLPNVVTESVINSEHAMPDNEQYYLCPKTTFGQDPILGSYEIVGKNGQAPLCSSTPAAITPELTVNTEEKHEFHQAVCQEASNSLNSSTEVIGDPLAPDSRDVPPSVISSFDWMLNGAMQQSFNILPSHPTNGNAQENGSSEDAPPPLPPLPPMQWRTNKLQTGSSPLSAKIGRPPRPKPPVKQQESEAACALQEKSLQNEVVQSTISSDHEINQILNMDCHENHHHEGEEYDAQDFNPFPSSEVECLSEVASVKSENLHTLQLPELIVVPEEAWSVFGNIKFIPEQEGKHQLSNGLSVCNGMCTSDLSAQKTSQKPEIFADYKEKEFSAAGSDKVADSEENRPNGAIKQDVMLNPDLPVQQKEDKHDCDDKAREFSSALEEESSKSPTHTVPKPPRYPLLPVTSHDRSMLRKAPTLVQPSSKLSDEKDTLLEQIKNKSFNLKPVLAKRPNVSDSESDNGRL >Sspon.04G0015140-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:21246741:21256785:1 gene:Sspon.04G0015140-1P transcript:Sspon.04G0015140-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Class-I type histone deacetylase, Seedling root growt [Source: Projected from Oryza sativa (Os06g0583400)] MDASAGGGGNSLPTTGADGSKRRVCYFYDAEVGNYYYGQGHPMKPHRIRMTHALLGRYGLLDQMQVLRPHPARDRDLCRFHADDYVAFLRSVTPETQQDQIRALKRFNVGEDCPVFDGLYSFCQTYAGGSVGGAVKLNHGHDIAINWAGGLHHAKKCEASGFCYVNDIVLAILELLKYHQRVLYVDIDIHHGDGVEEAFYTTDRVMTVSFHKFGDYFPGTGDIRDVGHSKGKYYSLNVPLDDGIDDESYQSLFKPIMGKVMEVFNPGAVVLQCGADSLSGDRLGCFNLSIKGHAECVRFMRSFNVPLLLLGGGGYTIRNVARCWCYETGVALGHELTDKMPPNEYYEYFGPDYTLHVAPSNMENKNTRHQLDDIKSKLLDNLSKLRHAPSVQFQERPPEAELPEQDEDKENPDERRDADSDVEMNDAKPLDDSGRRGGIQNLRMKKESAETQAIDQDVNSAAAEHSRGTGPVADGVGSSKQTFPNDTSPMAIDEPNALKVEQESSNKLQDQPTVHQKP >Sspon.02G0029940-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:111433009:111434287:-1 gene:Sspon.02G0029940-1T transcript:Sspon.02G0029940-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MIAAAALLIGALASIPTGVHSIGVCYGTQGDGLPSAADVVQLYQSKGINAMRITPRTPPSCRPCAAPASASSSTKTNLDALISDAAGWVQTNVQPYRGDVKFKYIAVGKRGGRQRHAEDPPSHAEPRRRALRGRVWDIKVSTAVKMNVLAMSSPPSSGAFADPSVMGLWSGSWQVVERRCWQRLPLLRVRDAGGSIDLGFSLFQQSSTTVNDNGKVYTNFFDAMADAIYSAMEREGESGVPIVVSESGWPSDGGGLGASVDNARTYNQKPDQPCRQRHAQEAWGARDLHICHVQREREARGRDGEALWALQRAGQVPRVPY >Sspon.02G0043500-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2B:92370535:92371243:-1 gene:Sspon.02G0043500-1B transcript:Sspon.02G0043500-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRYMARTRSARRVSPRLYDFAGHKGASDPALDGSYTATLRGQCKLGDNATLVDLDPPTPTTFDADYYALVAGNKGLLSTDAALLGYPATRDFA >Sspon.05G0035200-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:69778631:69781089:-1 gene:Sspon.05G0035200-1C transcript:Sspon.05G0035200-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHVTESATAARVASVAFVGLAVLPFLVLCYVPAFLYVYGGPVACIALAIWRTVQRDYGGGGDDKANLAPALDMFYSLVLLQGGLLLVWLYHESWWVDHLITLRQHCKLPETEWCRLALVEFLCDTRARCWRHLSSIRGRKLIDYAVSLLDSGSWDDNLSGARLLDEFIRQGYDVRSLLLPSRPKIQKLIDSLGWRDGRQPEDAEMRKLAARIVAHLAGDIHLAQFPGAIRCISYLLQDEATLAYSDQQGLTHRPQRRLASEKQAMILRLQKREARFQKKLMNRRQRDGARLQQQEQEVAEADADGGSSGGGGGACNELILQGLAILERLASDHHNCGEICSSPGLLAKITAPLYSGTFVHDNDIHVVTDWMHIVNATLKVLYQLIHAPGETSTRLRAEIAENKQAMSNLDAIVRQEAQQELKMRGMEILTELALDSSINLPRETKETLMKKQMHIFLHTAEGDLRASAGRTLALTKCGDENSFDPLVQILDDKNIKIKYRMIAAEILENMCAQCDKQRVKDVLLPKVLSNIMSSKIENTQSQNSALRIPARGQDIENPNISIQRVNTLIQGTPSSRDQNKSSDEGNEGQTATKALQAKLLSLTLVMYVNKLENADFGNALQNNGSTFLDKLKTMVQENCEATVASLNIVKLCGQIAVSMMKRNQYTEYFKNPEFVNSLSKARKIMSNLESCVLFAGRDHGLRKMARPLLLDLEKEARKMV >Sspon.05G0023830-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:10242881:10260102:1 gene:Sspon.05G0023830-1B transcript:Sspon.05G0023830-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAASMLEVYRRDRRRLLGFLLSAGGGGGRALDLSRVDLDAVSADYALECVASGAHFNASEATRRYFDERRYPIMIGSPSGNSYFLLSRPRPSDSPPKEAAPSIGPQAPVQDNSSSAGQPTEPRDFFRDAINTSGIGYGTNDDNLADISPQQVKKVDILSLDLSDDDIRETAYEVLLASLFVSGKVHFSEEKREKKHKFLKGRRTKTEGSNPSPQVEDGFAHILDLIRANVLEELLLFSASLEYDMSKTLRIDWVVSVPEGRVEVLTIIERYNTKLCALTKKFDIKDETYHWTHNYHFNFRLYEKLLCSVFDVLEDGQLVEEADEILETAKLTWPILGVTEKLHHIFYAWVLFQKFSQTGEILLLKHASLQIQKLQVHHDVKEIERILPNNYSIFEATLNLVLLLVTNSTEDNFEEIRFIESPVGSTPESKLVHLLIVRSIHAAYKQHPLTILANELKAVAEKECTDFSPILHKYYPEAQRVALIFLHMLYGKQLELFLERTDHLENSKEILAASNNFELFIAQKLYTVYGEAVGSSFSNYLKPYMVGRFSSPLILQWLHAQHENVLEWTKRTIEIEDWTPLSAHEKQARSVVEVFRIVEEFFNASLPLEIVHLRSLLIGITSSLEVYLLHMENQQVSGSTLLPSAPVLTRYAESINPFAKRKLIEPSVPEEKVAMKLNNLTVPKLCV >Sspon.01G0036910-1P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:20287115:20292231:1 gene:Sspon.01G0036910-1P transcript:Sspon.01G0036910-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAAAAVITSPVPGAAAAAAEPAAWLMDERDGFITWLRGEFAAANAIVDLLILHLRSIGEPGEYDHVFSVVQQRRHHWTHVIHMQQFFPVNDIAFALQHAGWRRRAHPAQALGPAASPAAPPPPPPRRPAFSQSHHSHQHHRHGGHYRPDPARGGSAFGATGSEKDVLGREVHNNKEGRGLKEAGNVVNTKSLRLDSPILDEGEKKSKLQAVSEGSSKVVANPVEYSTNEIIDGSMVNTVEGLKVYEGLVNMTEANKILSLVNETKASYRRGGLEAGQTVIIAKRPMKGHGREIVQLGVPIIEGPPDDENQRETRVEAIPGLLHDLFDRLSRQEIIPFKPDYCVIDIFSEGDYSHPHQSPPWYGRPLCTLCLTDCDMVFGRSISVGEQGDHRGPLKLSLATGSLLLMHGKSVECAKRAIPATRKQRVILNFGKSIARKHIPAESPRFTPPLTPPPMPWGQSSRPANISRHPQSPKHFGYAPASGVLPAAAVGPHHVPPPDGMQPLFVAPAPVSAAAIPFTPAVPLPNTTATWIQEVAPRPAPPRFPGPGTGVFLPPGSGHPLPHQMMPASHGHGEPNSPQGSSAYLHNKITGKEMSNGNVSPKNSPTKRSYTAEEKPECNGCSNGAGSSADEKSAVGIEQQNGSLKNVGSSKVQPHGHATK >Sspon.06G0007530-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:30516947:30519065:1 gene:Sspon.06G0007530-2P transcript:Sspon.06G0007530-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDGKNLRCVHNNSQGRNIPESAPQPAIVLRIEDGSGTLLPIIMPSVLLMAAIRNVHIVIPSIARPTIYQVVKEMIDKMGYEVKLVRVNKRIQEAYCAELYLTKIDDPTDSITFDLRPSDAINIAVRCKVPVQVHRSLAYSDGIRPVEPARMAVAAGLSDGLLFTELDRPDGQPCVEAQEFGLVRNMLIAAVEERYKDAASWKDKLMRL >Sspon.03G0021930-1P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7D:39877393:39880974:-1 gene:Sspon.03G0021930-1P transcript:Sspon.03G0021930-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GIGLIRLPRVPAAPAGRDGSSRADARGSRPRPLPSSSSPTRRAARGRGCHGRRRAVRLQTVGARGRVPSRPRLSERTGPGTAWARRSSPASQPPPPRPSPAWPRSFCAPRSPLTFPMHSRDRHRKRNSSHLAPLPIPMLPLPLPTHLRRGHPRRSRRCLCAACVPTAASPSLPTWWCCGRPRARPPPHASRGRAGGAQVARRSPPPRGRHAAAGSREA >Sspon.07G0007070-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:18575417:18578310:1 gene:Sspon.07G0007070-1A transcript:Sspon.07G0007070-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fructose-1,6-bisphosphatase, cytosolic [Source:Projected from Arabidopsis thaliana (AT1G43670) UniProtKB/Swiss-Prot;Acc:Q9MA79] MDHAAEAQRTDLMTITRHVLNEQSRHPESRGDFTILLSHIVLGCKFVASAVNKAGLAKLIGLAGETNGEEQKKLDVLSNEVFVKALVSSGRTCVLVSEENEEAIFVDPALRGKYCVCFDPLDGSSNIDCGVSIGTIFGIYMIKDKDNVTLEDVLQPGTNMLAAGYCMYGSSCTLVLSTGNGVNGFTLDPSLGEFILTHPDIKIPKKGKIYSVNEGNAKNWDGPTAKFVEKCKYPQDGSPPKSLRYIGSMVADVHRTLLYGGIFLYPADQKSPNGKLRLPDVIPDGTSWRSVFHRKQRALELAPTKLHDRSPIFLGSYDDVEEIKALYASESNNA >Sspon.01G0000370-2T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:1915554:1916354:1 gene:Sspon.01G0000370-2T transcript:Sspon.01G0000370-2T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVNMMSWSMQVAVALALAFLVGGAWCGPPKVPPGKNITATYGSDWLEAKATWYGKPTGAGPDDNGGGCGYKDVNKAPFNSMGACGNVPIFKDGLGCGSCFEIKCDKPAECSGEPVVVYITDMNYEPIAAYHFDLAGTAFGAMAKKGEEEKLRKAGIIDMQFRRVKCKYGEKVTFHVEKGCNPNYLALLVKYVDGDGDIVAVDIKEKGGDAYEPLKHSWGAIWRKDSDKPLKFPVTVQITTEGGTKSVYNDVIPEDWKPDTAYTAK >Sspon.07G0016390-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7B:62459525:62461161:1 gene:Sspon.07G0016390-2B transcript:Sspon.07G0016390-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding QPVHMHCYPAMPPHVRRTAAHPMDQHHLGSAPNSVGGFANAHSFYPGSLESVGFPGSPQLYPSDLSVFASARGNYRETMFSPVSAGFPSIQQFFHAANGRNPMVHVSTSYDATNDRIRSRRHDGNAAQSENKKQFELDLDRIAKGEDSRTTLMIKNIPNKLIVNAINRYNCKLLLAVIDENHRGTYDFIYLPIDFKTFNGKKWEKFNSEKVASLAYARIQGRNALIAHFQNSSLMNEEKWCRPMLFHKDGPHAGDQIHPKSCLGFALPLSELYVNGYRYVEELKW >Sspon.04G0016130-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:59278330:59280383:-1 gene:Sspon.04G0016130-1A transcript:Sspon.04G0016130-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein LYK5 [Source:Projected from Arabidopsis thaliana (AT2G33580) UniProtKB/Swiss-Prot;Acc:O22808] MAPPPQPELPAAALALLVLLLLAAAMPARAQQEYEANKQNACYATNASSVLGYTCNATTASTPACDSYLIFRSSPTYYDTPVSISYLLNSSVSATAAANAVPTVSPLAASSLVLVPVPCACTPGGYYQHNSSYTIQFLGETYFIIANITYQGLTTCQALIDQNPLHDSRGLVAGNKLTVPLRCACPSPAQAARGFRYLLSYLVMWGDDVTSIAARFRADTQDVLDANSLTADDIIFPFTTLLIPLKAAPHAGHARVPGAAAGPDPAAARARAVGRRLADGESVRQRSKVVIDVSSSAEYGALASGKQTTNTTTSSTSSGTRSLVASDVRGAVESLTVYKYSELEKATAGFAEERQVPGTSVYRAVINGDAAAVKRVAGDVSGEVGILMRVNHSCLVRLSGLCVHRGDTYLVFEFAENGALSDWDPRRRRRKHTPNLKSSNVLLDADLRAKVSSFGLARAVTATDGGAGAQLTRHVVGTQGYLAPEYLEHGLITPKLDVFAFGVVLLELLSGKEAAFADAGTGEETLLWESAEEALVADGGEDVDRAKVRAFMDPRLHGDFPMDLALAMAALALRCVATEPRARPAMDEVFVSLSAVYNSTLDWDPSDYGTSGASMVG >Sspon.02G0013920-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:39471138:39473187:1 gene:Sspon.02G0013920-2C transcript:Sspon.02G0013920-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding KMIGDFESASSFHVLLQYSILLFMIYNSIYMLLGTGGNVSECRNDEFSDWPQSLLAIGTFGNKQLEEEAVENSSANVQATQDPAKFTEEEVDNIQREFEVLLQGNGQAEAQGSCEDEQVASKEHDGEDNNEKQRREQLMNREMIISKAREIVGKKRSAKLKPRSMASLLRLLACKGGFTTPVLEQRNSLPQTRMEQLLKAILQKKIHPQNSNTVATRRHLDWKLDEKEINECLEDVLRGLDDDDDGAKWVKTDSAGSEGLGGGLAHSRCDINDI >Sspon.03G0029770-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:24937571:24939826:-1 gene:Sspon.03G0029770-2C transcript:Sspon.03G0029770-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSSEAATATSRTTTGGSALPGPPPPTPSNHHAAPSSAGGGGTTDDSLSAFLHRLLLSSPAPQLRSPLAARSQASPSLPPLVSLESPDHDQRALRAAADVGYFHLSGHGLPSELPSSALAELSQIDASTRLESNLRTLGFSEEEEGGVQDVDGGADDPAVVFDACEGDMDIDALPAATEYARRMRDVGMRVVALLSGCPDTGFREDPFAEGRRKPRCLLWASRVSTADTAPPAVGKAKAYPYVVALHCQWEASGQEEAPPSWVMNDGGEWTAVGARDGAILVWSNAKLKKVRGMARPTSAAPMEAQHGETDRLSLTVLITLSLDNIISPLVPLSNAAGEGREDEANNAGADDEDGWRFHSFLLEDYAWRVYNQRLQFKDPLIRYRI >Sspon.03G0019250-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3A:59603561:59604274:1 gene:Sspon.03G0019250-1A transcript:Sspon.03G0019250-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GALPDAAITLVSTPRNVAALRITACSNSNSSFLGFHVLPFTPAEHGLPPDCESSDAIQPVAIFDLLEAFEALEAAFDDYLSAAVAAAGGSSRDVCVVSDPLTAWTVTVSRRRGCAHAFFASCGAYGTAVLHSLWSHPPVRSDPATGRVHLPEYPEVMIHRSQLISFGPPAVRDRGARFYGRQIPLGYETDAVLINTVEEFEPTGLAMLRRTLKIPVCPVGPLVRATSLPASPEAEAAV >Sspon.03G0016580-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:72793131:72795791:1 gene:Sspon.03G0016580-3C transcript:Sspon.03G0016580-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGGGGLGGFRWTAEEASTIGGIATVSLLHSFIPTHWLPFSIVARAQRWPLSRTLLVTAFGGVLHVVSTALLGITAVTMANTIAGEETVHKLASLLLIFLGASYILLFALGKGGHSHAHNHPMEKMAVAGLVLVPALSPCATTLPVFLAVGNSSSMMILAIIVLLFSTITVMTSLVALSFYGASQIKFHWVERYDKILVGTVLCLVGVLTYVFHHHDGDEHSLHEHVHRKLL >Sspon.01G0027380-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:90601814:90602311:1 gene:Sspon.01G0027380-2D transcript:Sspon.01G0027380-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAHTNNHDSASYDALKSSRIDEDLAHTRNPYGSSKWKVPAYHKLYPLHIDYLKTPDGRWISDFYEFSGEDDKTAVEHISTYLSQLGFAGKEDYMRVRNFPLSLTSIVFVWFTYLLRCTVGSWSQLEGKFYEYFGKTNEKRPITIESSAKIELLVGMKERFDSNV >Sspon.06G0008230-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:43284063:43287188:-1 gene:Sspon.06G0008230-1A transcript:Sspon.06G0008230-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aspartate carbamoyltransferase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G20330) UniProtKB/Swiss-Prot;Acc:P49077] MAVAARAALPRPHLSLPSSSRRSPRLSLTLSSAPRLRGGAATSVAAGTSAAPQQHQVTARLGDVIEAQQFDRDALNEIFEVAREMEAVERGSHGAPSRVLEGYLMATLFYEPSTRTRLSFEAAMRRLGGEVLTTENAREFSSAAKGETLEDTIRTVEGYSDIIVLRHFESGAARRAADTANIPVINAGDGPGQHPTQALLDVYTIKREIGTLDGIKLGLVGDLANGRTVRSLAYLIAKYQNIKIYFVSPDVVKMKDDIKDYLNSKGVEWEESSDLLEVASKCDVIYQTRIQKERFGERIDLYEAARGKYIVDKKVLDVLPKHSVIMHPLPRLDELGIATPQSGMVAPQPPAVDLQVAKTGHSHPLAAS >Sspon.03G0042080-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3C:50294566:50294817:1 gene:Sspon.03G0042080-1C transcript:Sspon.03G0042080-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQHKPAWRRQRPGVRRHPLRTAHKESAASSFPLLSAWSTCSSTALRRAGDWEAEVSVARAGGNRSLTAAGCNWVKPKQQQGAPP >Sspon.03G0012750-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:52010182:52012642:1 gene:Sspon.03G0012750-1P transcript:Sspon.03G0012750-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPSQVATNQEAAPLCYNQLTGSIPTQLGNLTRLTVLALQSNRLNGAIPASLGDLPLLARLDLSFNRLFGSIPVRLAQLPSLVALDVRNNSLTGSVPAGNASLQAGFQYGNNSDLCGAGLPALRPCTPVDLIDPDRPQPFSAGIAPQVTPSGGNGRAPSTRALAAVVVAAVVLLAATGVGLFALSVTGGSPSTISGGRCSTEAAPSAAKAASSALASLEYSNAWDPLADARGGLGFLSQDVLAQSLRISTEEVESATRYFSELNLLGKRGKKAGGLAATYRGTLRDGTSVAVKRLGKTCCRQEEADFLRGLRLLAELRHDNVVALRGFCCSRAREECFLVYDFVPNGSLSQFLDVDADADNAGGGSGCVLEWSTRISIIKGIAKGWYPRPALVHQNISADKVLLDYTYRPLISGCGLHKLLVDDLVFSTLKASAAMGYLAPEYTTVGRFSEKSDVYAFGVIVLQVLTGKRKVTTTLLPDNVDELVDGNLQGNYTAAEATKLAKIGSACTSENPDQRPTMAELLQELGTI >Sspon.02G0020670-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:67262583:67266775:1 gene:Sspon.02G0020670-1A transcript:Sspon.02G0020670-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFFLVCMSTLLFMFISSYVFQLLADRRHRLPPGPIPLPVIGNLLDVASELPHRSLARLAGRHGPLITVRLGTLVTIVASSPSTAREILQTHNGSLTGRSPPDAWLALGHAANSVFVLPPDRRWRALRRIGTEHLLSARQLDGARVRPLLRDGVLDLVRRVSELAAAAETAPVEVVHAAFAAMMDQQWRAMFSAGLDDAAARALHDAAREAVALSLKPNVSDFFPALAAADLQGVRRGFARRVALVYRLVDEQIERRMRDRREAAAAGGGVSSGEKDLLDVMLDMLEEGKDDSVMMSVNRDVMRTFLTWAMAELLQHPDTLQKLQKELRSVLGSKPYVEHSDVGRLPYLRAAIRETLRLHPVVPLVPNEAEQAVEIQGHAVPKGCTVLVNLWAVHRDAGAWPEPDRFVPERFLPRLEETGFVGTAEFEFIPFSAGRRACLGLPLATRMLHAMLGSLLLRFDWSLQPEAMENGVDMSESLGLTMTMTTPLKAIAK >Sspon.06G0017210-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:74468378:74471523:1 gene:Sspon.06G0017210-2C transcript:Sspon.06G0017210-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding NSFHGVGAAATATGGGRRGGGSSVFEPTFSDPLCSHNLEETSELGRSSFPMATLARSSSSNGHGRHHEASSTTTTSSSSSSSAAASAQRRRGESQQQAVAVPVTPGRPLQFFTSPAHHHHHQLVAPRRSVPSKWEDAEKWLRQSSDSDHGNGNGKAAFSRQRSSGLGHRGGAGGEDEKRAAVTVRRSVDALRDAHSLALYTPPTEVLLKDKFTDNEEPSKESFVFRSSYCEPVPAAKGSAVGADDDHQRRDIGTEMTPLGSSCHTPLKSTSPVRHNTPASRSGPLVPYTGGGMDISELADCHLAKLDLGVRFDAMLVNWSSKEEEEEEVSKSLRHFEATVGTGAGGGPACDKRGGGDCRWDDDDRAKSCIRYQREEAKIQAWINLESAKAEAQSRKLEVKIQKMRSNLEEKLMRRMTTVHRRAEEWRATAQSQHLQQLRRAAADNTRRLRVTSHHRHLPGSDAPSCACFPCSSSNNVVSGNLLNYY >Sspon.01G0030600-1P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:77719295:77730062:1 gene:Sspon.01G0030600-1P transcript:Sspon.01G0030600-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LEQAGLPLVQQYLSQFEKLVMELMPKLGQHFVEEMINPSINFPEEATDPDVLLPLAFSFKVSTRLEELQKGIRIQGQAPVNLQQKYGYNKRLVAAPIRDRKGAPTATSNEDQTAQRYIYQRQKMSSYSDIQRRSEDEDAPLLSIIGAGLIEYCKGI >Sspon.02G0011640-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:31099063:31108097:1 gene:Sspon.02G0011640-1A transcript:Sspon.02G0011640-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAETLNIFPSQPMHVEPSPKVQQTCISDLFKHSMSFQMRTTAAAMDDWLQLGEISLVLSPAPVGSKQPARSPDHHHHQHQQAAMEELAGSRRQQEHHHLQHQPFAAAAEPAAPGMIKDVKPLAKVSVFFLDKRHRNFISSTRLQVEYKKDHRRGTSTSERDPKTLRRLAQNREAARKSRLRKKGVFFPNSGILADQGVAGKGVPIGGIDGLSSEAAMFDVEYGRWQEEHYRLMYELRAALQQHLPEGELQMYVESCLAHHDEMVGIKEGAIKGDVFHLISGVWRSPAERCFLWLGGFRPSEVIKMLLSHAEPLTEQQIVGVYGLQQSALETEEALSQGLEALYQSLSDTVVSDALSCPSNVANYMGQMAAAMNKLSTLEGFVRQLHSDEHHGCMTQAENLRQQTLHRLHQILTTRQMARSLLAVSDYFHRLRTLSSLWVTRPRAPQEQQQGHS >Sspon.02G0027300-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:107503529:107509760:1 gene:Sspon.02G0027300-4D transcript:Sspon.02G0027300-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding KQWPGRRAMTTTTARRRPTPRSAGPARPAAQGPGHLLHAQHRGGRAAGRHPAPPGPVLVPPAGRRRRRGGHVRGAHQLAQGPPWAPLPAPARRVALLRPVHVPHPVPGRGAERGGPAGGHGRGAVLRAQDPPHRRVRRVLPGRARRGPRHPHRAHQQPDRAHLRRRRRGGRAAARAPGARRAPADPRRAAALGQLRVHGRQHLLPDRAARRRQPRQRAPPAHGAPLHARDPAGRLCAAAGHPRRRRRRRPVARLQRWLRRALHGGHLQLPLLPAGERQRHGYNPGWPGGLHLRGGCDALLPRARQLRRRAWRRGHRKAPQAPAPHPGRPLLPPDSLRHRIQPSRPLHDLQYCSQPVPLLARFLKLQLEAFFMFVLLRVCGGGNGPQLQEVAVEGLISFCRQPTFVIEMYVNYDCDPLLHNVFEEVGKLLCKAAFPVSGPMTTIQLQAFEGLVNMITTIADNVEIDKTPEHDAYAVDVSEFRLFWTERWDSAAAGGGGGGDGGHRETWVDFVRKRKLRKKKVAVAANHYNRDQKKGVEFLKLCHLVPTPPDARSMAYFLRYSPGLDKIKIGEFLGDPDEFNLKVLKEFTETFDFTGAILDTALRTYLETFRLPGESQKIQRVLEAFSERFFEQQTTGVFATKDAAFILCYSLIMLNTDLHNPQVKKKMSEEDFIRNNRAINDKKDLPREYLSELFHSISTNAITVFSTSSAAVEMTRSRWADLVKRSRALEPFTPCDFKHKLSRELFIAVSGPTVATLAAIFDCADDEETLNQCVEGLVSVARIARYGLEDVLDELLCCLCKFTTLLNPYATTEETLFTFSNELKPRMATLALFTIANRFGESVRGAWKNVVDCLLKLKRLKLLPPSLIDPDGSGAEQRPGHRHRSSASDAGVIFPPTHRGAGTSRHVSGMIGRFSQFLSLDGGESLLSVGSEFENNLKIIKQCQAGSIFTESAKLPDEALQNLGRALIFAAGGKGQKFSTPIEEEDTVGFCWDLLALLATANLQRFPTFWPPLLECFSAVSQLPLFSPSPFAEKAIVALFRVAVRLLSTPPPQRPSDSRVPEELVFKSINMMWKLDKEILDTCCEGISENIVKLLTEHADGVQTPLGWKTLLHLLTVTGRHPETFDQSVVAMIKLMTDGSANVTRFNYAAVIEAAFGFAALKISPLDVSTKILELMAESVNWLIQWHKSGYSDPGNSSGFSGSSSSSSSVDEASRMGNLAANMFIKLAEALRKTSLVRREEIRSQAVFELGRAFNLAATGDLDFGPAGCLACFNLVIFAMVDDLHEKTLEYSRREGAERETRSMEGTLAAAAELLADVFVLLLPTVAQAPGFRTFWLGVLRRMDTCMKCDLAAGGGAGLMQELVPRMLKRMIMEMKAKEVLVPREGDELWEITHIQIQWIAPAAMDELFP >Sspon.07G0003730-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:8013620:8013877:1 gene:Sspon.07G0003730-2B transcript:Sspon.07G0003730-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RTRCARGWWCWAPWPSATSPSASASSPTLTRPRRPWTPPATPTPPPPPRQGTRPTTPALLRETSRRPRIRPSCSATEATDRERRMS >Sspon.05G0023570-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:16055151:16057903:-1 gene:Sspon.05G0023570-2D transcript:Sspon.05G0023570-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding FQCAYHRSNERLSPIQVPEVSYGLKWAIAGKGVIVKDKVFHNLETSELQKGGATYPDWLSGIPLHVRGDVTFHLSSISCLYVQDGAVGSSAECDAKVRVISDNPSAVMLLSNVLWKISGRAISHDTSPLTIYATSSISNNVKTVLGSGTQYANGFAAVDIERSSLILCGKAFADSAIVKDALTAMTAPILSARGGLPVPGCTGAIPSVSKLSPGQAAYHFLAGYHDGKFVPAYSRAPSPADPLALASSLFSHLKEDDTPAYLINAKHSGKYIDVGELKGKYRNFLSSKFGKCLPKEFSF >Sspon.07G0012380-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:44180415:44183069:-1 gene:Sspon.07G0012380-1A transcript:Sspon.07G0012380-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At5g16860 [Source:Projected from Arabidopsis thaliana (AT5G16860) UniProtKB/Swiss-Prot;Acc:Q9LFL5] MLFNLPKAAKRIGVQFLSVASAECSVRDVSPTHFASLLKECRSVKTVRQIHQKIIAYGLLLYPASLLSVSLPPLPSHSYVSPKSLGTGVVASYLACGATSDALSVLERVTPSPAVWWNLLVREHIKEGCLDRAIGVSCRMLRAGTKPDHFTLPYALKACGELPSYRCGSAFHGLISCNGFESNVFVCNALVAMYSRCGSLEDASLVFDEITHKGIDDVISWNSIVAAHVKGSNPRTALDLFSEMTAIVHEKATNERSDIISIVNILPACASLKALPQTKEIHGYAIRNGTFPDAFVCNALIDTYAKCGSMKDAVKVFDVMEFKDVVSWNAMVTGYTQSGNFGAAFELFKNMRKENIPLDVITWSAVIAGYAQRGCGQEALDAFQQMILYGSEPNSVTIISLLSACASLGALSQGMETHAYSLKKCLLSLDYDFGGDGEDLMVHNALIDMYSKCRSFKAARSIFDSIPRRERNVVTWTVMIGGYAQYGDSNDALKLFSEMISKPYAVAPNAYTISCILMASAHLSALRMGKQIHAYVTRRHEYEASVYFVSNCLIDMYSKCGDVDTARNVFDSMPKRNEVSWTSMMSGYGMHGRGKEALDIFEKMQKAGFVPDDISFLVLLYACSHSGMVDQGLDYFDIMRRDYGVVASAEHYACVIDLLARSGQLDKAWKTIQEMPMEPSAVIWVALLSACRVHSNVELAEYALNKLVSIKAENDGSYTLISNIYATARRWKDVARIRQLMKRSGIKKRPGCSWVQGKKGTASFFVGDRSHPLSPEIYSLLERLIGRIKVMGYVPETNFALHDVDDEEKNNLLTEHSEKLALAYGLLTTSPGCPIRITKNLRVCGDCHSAFTYISKIVDHEIIVRDSSRFHHFKNGSCSCGGYW >Sspon.06G0002280-4D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6D:4505019:4507864:1 gene:Sspon.06G0002280-4D transcript:Sspon.06G0002280-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGGGPATAAASQAYGEAWYWDERYRKEAGPFDWYQKYPALAPLLRLYVAPHHRLLLVGCGNSVFGENMIDDGYQDVVNIDISSVVIDQMKKKYHDKPQLKYMKMDVKNMSDFESGSFDAVIDKVWPKFPRECNEDARGGQQITYGDPSYRLRLLKDMENWTVKLHVIERWEKSSNQNKWELTKPLPLDDDSTSVVALLGPKPDVHYIYVCVKGTGGASAGSKVGEAAN >Sspon.03G0022540-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3B:91324157:91327844:-1 gene:Sspon.03G0022540-2B transcript:Sspon.03G0022540-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFVLTNLVDLCLLEDAAEQCVAVDFIMLEAEAAFMYGGAPENANSFVNRICDLENCVVRYGDTILRLAGSEEILPVPDVTRDCSSKITIEMMNSVKTSLSKVPTCQNLEESMFLNQPEDAAGGFNDHLHSFGEPQTSTFITPSKNKLSIQSKKGKVSPSISEEWEKLIVIDDLNDNFASPAHPRPAVDKSPRPKPPSPVKPLDEKTSRILERLELPRAKKQRANISKASPGAALAPASKQIKMPLLPREPSASQPLRPSFNRLRRAESSLPDLTSAHALATANCADQEHTFAPGIVN >Sspon.01G0022860-3C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1C:81838841:81840931:-1 gene:Sspon.01G0022860-3C transcript:Sspon.01G0022860-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLEKDIASSLEQPLQIVPYMPKTPKDEEIFVWPWMGIVVNLQCELKGEEFSRESEERLTAQLSRFRPLQATILGDEKDQPFCAIIKFAKDWSGFKDAVAFENHFVLEHYRKMEILKVLLTWNEGLKETGERVAHYALQIADTNTHMLELEEKKNVNAMKLARMLEENDRLVEEHNERILNMQKAARRNSWRIINDNIRLHEELETKKKEIARRHEELEKSVINSTDREKLEATKEEIAKENRLLDLATLKQKEEDEKFLKLVKEQEQEKEDVMKMLYDLEMQLASKQKLELEREQLRGNLEVRKHMAEEDAKSKEMLDKLHEELKEKDEEMEGIDSMNQALIIQQRRTNDELEEAKKELITDLVNMSSARSIVGVKRMGELDEKAFLAACKEKTADEEELAILCSKWEDEIRHPEWHPFK >Sspon.02G0025870-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:95594910:95601822:1 gene:Sspon.02G0025870-2C transcript:Sspon.02G0025870-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein KTI12 homolog [Source:Projected from Arabidopsis thaliana (AT1G13870) UniProtKB/Swiss-Prot;Acc:Q9LMH0] MALVVMCGQPCSGKSAAAACLAAALRSSSSDLTVRIIDESSLHLGRNDSYKDMVVEKNLRGVLRSEVDRSVSRDSIIIVDSLNNIKLFCDTEVDHCREWNSNHQEKGEPAYDSNIFEDLVRRFEKPDRHEIVETAPVIAEAVSYLTKKVDSKTRDVKVLQPTIATQTVRTTEANSLYEMDKATQRSVGLPELRSLRRTFIKLAGQYSLSGPPPPTDADSTKRMFVDYLNREVGA >Sspon.08G0014100-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:55912690:55917287:1 gene:Sspon.08G0014100-3D transcript:Sspon.08G0014100-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEADERAEEARCAKEAGNDAYRKSFLETAVEHYTRGALLDPRDISFLTNRAAAYFSLGKYKECARDCDEAVKRGRDLSADNKLVAKALSRKASALLELATCSGDYTPAIRALEQSLTEHYSEETHEKLNEAVIGKKELEEQERLDQETADQHREKGNELFKQKQYHEAAIHYTRAMKMNPKDPKAFSNRAQCHIYLGALPQGLEDAQKCVELDPTFLKGYVRKAKVQFLMENYENAMTTYLEGLRCDPNSLEVLDGLRRCAACIKSANGGDVELEDLKEMLGNFQSENDQLKFRKATEEATILKKEASDERLKRIESERMARTMEEYLSGVQQESERLKKQYDEVMEKLLKANMDNEHLQGQLSESRGQYERILSEHDRLLHERNHAVREVQELRQKRGQMLSVLVTAMHCEFSSSELEHATDNFSSSLKIGEGGFGCVYKGTLRNMMVAIKVLKPDGLQGQSQFEQEVAILSRVRHPHLVTLLGACSEISTLVYEFLPNGSLEDFLMCAEKRQTLPWQIRVRIISEICSALTFLHKNKPHPVVHGDLKPANILLDVNLVSKLSDFGISRHLVQSSSNNTTMYRTMHPMGTLQYMDPEFFATGELTCQSDIYSFGIVVLRLLTGKPPDGIKKIVEDAMEKGDLNSVVDTLAGDWPFVHVQQLALLALSCTELSRKRRPDLSAVVWAVVEAMRDAATIPSASSSRSVSDENSTPSYFICPISQDVMDDPHMAADGFTYEAEAIRNWLDSGHDTSPMTNMRLEHDELIPNRALRSAIQEWLQQQNMAL >Sspon.03G0014710-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:46905337:46907565:1 gene:Sspon.03G0014710-1A transcript:Sspon.03G0014710-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVSIGSVLGALLAVLVSTLLIRVLFLLVWKPYAVSRWFRGQGVGGPSYRFFVGSLPEIKQMKAAGSKIVLDVGSHDFIPIVQPQYRKWVAEYGKTFLYWFGAVPTICVAEVDLVKQVLAERTGLFPKDYLNANLEALLGKGLVLTNGEDWKRHRKVVHPAFNLDKLKIQQATNHEAEIELSSEFSELTSDVIAHTAFGSSYKEGKEVFVAQKELQELAFSASFDIPAPGRTEIVNSKSSRRVEKLDQKEHAYGDNEGRLAEKDTHGYGNDLLSLMLEARALEQEGHQMLTTQEIVDECKTFFFAGQDTTSHLLTWTMFLLSRYPEWQHKLREEVLRECGNAVPNPDMVTKLKLVNMVLLESLRLYSPVVLIRRGTGSDIQLGSLRVPKGTMLSIPIALLHRDKDVWGQDADQFNPARFEHGVSKAAANHPNALLSFSQGPRACIGQNFAMLEARIGIAMILQRFSFELSPKYVHAPKEAITLMPRFGLPMFLRNLHD >Sspon.04G0028380-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4B:65217485:65217957:1 gene:Sspon.04G0028380-1B transcript:Sspon.04G0028380-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPINLPSVATADVVVTPVTGDAPPPASSASVPGYLATMLVVLVLAATAYSRAAFSRFPHPPPTRRCKQIPLRLHPLLLPPLRTWSTGGGAAWLVTNMVVTYANVVEAGFPSSFVANPFLLSKFRALIARLG >Sspon.02G0021170-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:70449059:70451739:-1 gene:Sspon.02G0021170-1A transcript:Sspon.02G0021170-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVFRSKRRRKLEEEEERIDYISRLPDSILEDIISLLPTKDGARTQVLSSHWRQIWRSAPLNLDLNPDLDEPPFLVTVSASPESAAALVVPLTRAPTRSCLRSEPELEVANAWKSPSSLSSGASHHRLFSLSITVGAACRHRLNRHRCRAPFQFRESTAPPCSRVPPKLTKASPSHPQPPRAAFFLAADHSTTACPPPWLDHSGGSRTEMPCALASPCRPGTPRPVNFRRRATDVPGHRSPLPPSRHACRRDCRRSGCVAVLCVAEEFTGVELRPVGAIAVMLHRNRGEEKAWAKSAWCLGYELGQLGLSRRKGSWAGLGLVKEMGRGRRDRAACTAGLGQVE >Sspon.02G0028120-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:119222764:119226389:1 gene:Sspon.02G0028120-3C transcript:Sspon.02G0028120-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAEQGVDAARKEKEEEEEQVVNPWEVSAGKGGIDYDKLVDQFGCQRIDDALVGRIARLTGRPPHCFLRRGLFFAHRDLNELLDLYEKGEKFYLYTGRGPSSEALHLGHLIPFMFTKYLQEAFKVPLVIQLTDDEKFLWKNLTIQESKRLARENAKDIIACGFDIERTFIFSDFSFVGGAFYENMMQVARCVTMNKAIGIFGFNLEDHIGKVSFPPVQAVPSFPSSFPHLFAGNDQLRCLIPCAIDQDPYFRMTRDVAPRIGYQKPSLIESRFFPALQGENTKMSASDANSAIYVTDSAKEIKTKVNKYAFSGGQDSIELHRKLGANLDVDVPIKYLNFFLEDDDELAHIKRSTRREGC >Sspon.08G0016190-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:63893663:63896308:1 gene:Sspon.08G0016190-1A transcript:Sspon.08G0016190-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAVAAAASPPFRTLLLLFSPHLPAPTPRPRSRFAMNPSSSSSSSGSYHSRPAAFASPQPRGGGGRRRGGGGRGGGDGSDRIDALGRLLTRVLRHMAAELHLDMRTDGYVRVCDLLKLNLQTFAKVPLKSHTVDEIREFDEKICDILAGVQAVRRDNKQRFSLLEEDGELLIRANQGHTVTTVTSESLLKPILSPDEVSVCVHGTYRKNLDSILQSGLKRMARLHVHFSSGLPSDGEVVSGIRQNVNILIHLDVSKALKDGMKLYISDNKVILTEGFDGVVPVKYFERIETWPGRAPIPFQR >Sspon.05G0007420-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:39902821:39905297:1 gene:Sspon.05G0007420-1P transcript:Sspon.05G0007420-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLGSCYLGGNADAVEFCPHRPFRHVLAAATYTLQEQERDRAGTISLFSVDAASEDASRRLQLLHTVETAGIFDMKWSPKSPLLAQADAHGRLALRRLEQEDGSDSGIVFTDVSDEDISSSMCLYVDWNQTAESLSVGLSDGSLSVVSVREDRLEISEQWTAHQFEVWTCYFDRTRPHLLYSGSDDCCFSCWDLRESLPNIVFQNKKSHKMGVCCIAQNPLEGNMLLTGSYDEFLRVWDMRFMAKPVNEKLINLGGGVWRMKYHPNIADVVLAACMHNGFAIVKVGSGDATILASEMKEN >Sspon.02G0042160-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2B:81410891:81411594:-1 gene:Sspon.02G0042160-1B transcript:Sspon.02G0042160-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSNQVLLLAVVAAVACLASLASATQWVVGDECGWRAKFNQTGWADGKTFVVGDTLLFKYPKGKHTVVQVCEEDFETCNHDDDDDNQLGAWCSGNDVVRLDKPGKMWFICTKCNHCLKGMKLAIDVVDDVDVAPPPPPVITFPFPGTAPPPPPFSWPGSPPPPPFGWPFPETAPPPPPPPPRSAAVRNLVGGVVAAATAAMVAAALVF >Sspon.03G0006530-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:23157559:23161673:1 gene:Sspon.03G0006530-2B transcript:Sspon.03G0006530-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSLSSPAASRTPNLKSAPGPSPSPSTRRTAADAASAAAAADSKARFEAYNRLQAAAVAFGEKLPIPEIVAIGGQSDGKSSLLEALLGFRFNVREVEMGTRRPLVLQMVHDPTALEPRCRFQEEDSEEYGNPMVLATAIADLIKQRTESHLRKIQAAVSSKPIVMRAEYAHCPNLTIIDTPGFVLKAKRGEPESTPDEIRSMVKSLATPPHRLVLFLQQSSVEWCSSIWLDTLKEIDPTFRRTMIVISKFDNRLKEFTERWEVDTFLSASGYLGDNIHPFFVALPKDRGTISNEEFRRQICQVDIDVLRHLRDNVKGGFNEEKYGSYIGFSCLRRYLESELQKRYKEAAPATLALLEQRCTEVSMDLTRLDSKLQATSDVSQLRRLAMLHAASICTHLHALLDGAADPAPEIWGKTTEEEQMHSGIGSWPGINMPVKPANSSLKLYGGAAFERVMHEFRCATYSMECPQVSREKVANILLAHAGRGGSSRLTEAAAEIARAAARSWLAPLIDTACDRLAFVLQSLFDLAMERNRSKDSQYHQNVEDMDSYVGFLAALRCSYYKFVKELSKQCKQIVRHHLDSVTSPYSHICYDNDFLSGVGSVANSMSRFNHFTGVTSFDLADSGSALEEAQENLPPRDQQHMTPPNKGNESKEVLRESQLTVPETPSPDLPSDIHGGKKKDNGIPNDGGPRKRQARMAAYTNRNHHNSMIGADDMGSKSGSSYSTICAISAQYFAKMREVLIERNVPSALNSGFLTPCRERLFLALGFELFAVSDDKFMDMFVAPGAVDAIQNERQSLLKRQKILLSCLNEFKNISRA >Sspon.02G0004600-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:17409245:17413702:-1 gene:Sspon.02G0004600-3C transcript:Sspon.02G0004600-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKGIFTNDGSFMERFKQMQQEAQEKEKAAAAAASSAPKQANPKQGFAVAANKRPFELKKSGPVASAGKLAFSLKKAKVAVAPVFSADDEDEDAADVEREEPAKRQKSVQADAPAAAAPAGAVAPPPPNDMTVRQVADKLANFVAKNGRQFENITRQRNPGDTPFKFLFDKHCPDYKYYEYQLAEEEKALAQSKEAEASKTNSGIASFKAPGDHMTPPSDPVALMEFYAKKAAQEERKRPPRQSKDEMPPPPSLQGPPKKGHHMGDFIPPEELEKFMARCNDAAAQKATKEAAEKAKIQADNIGHKLLSKMGWREGEGLGSERRGRADPIMAGDVKKDHLGVGAVQPGEVTSEDDIYEQYKKRMMLGYRYRPNPLNNPRKSYY >Sspon.04G0037190-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4D:62730586:62731479:-1 gene:Sspon.04G0037190-1D transcript:Sspon.04G0037190-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPLREYASHLLTPNRRLDHNSLCVHRIGRLGGDRTGAEHPPSSRLPPAAVAAIRHAAAAASGCPSMAPSAPPLPSPDPLHLRRQRRQAVANVQIRAPVGRIWRLVAPFLPSSSSERPGWAQIRASVLWCPGRRRPAGSSVRPPAAAISSFPLLMTWRSHRGRPWRLAGAWPPPPGGGLAAMASMAGSGVWWRPVPTSSAAADVPHWRLGSVWAPTWRLSGDHGIPATGWWAGLSMDAGGAAVGAQCLGCLLPAAGLLPALGAGARRCL >Sspon.08G0008920-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8A:39029819:39030133:1 gene:Sspon.08G0008920-1A transcript:Sspon.08G0008920-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAASGSTCTSPGCRSSSSVATGAAPKGSWGGKKGKGGKKQGGWRGQGNGAGWQQGSGSGHQGGNRPMGPWFCYSPWAFPGGLPPPGSGWQQGNSPGGWPPHGDQ >Sspon.02G0040830-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:72427875:72429380:-1 gene:Sspon.02G0040830-1B transcript:Sspon.02G0040830-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKGEIKPIENEETRQICFTKRRQSLFNKASELSILCGAMVGSVVFSTAGTSFSFGHPSIDDVANRFLNYSVTSDGPASSGASNDYSWAVPDTIQPLNMEYSELQQALVSEKKKNEMLQEATKKEMGEPMMQLLNTNISELSLEELQEFQKYLDAIHGVVEEKDTKMPETSQPQGLVPQPPMEIAPDLQYQFGDEHISANPMAFTAPSSSDIEFVDGLFEVNDPCLSGDFADVCGLGNFPDNQNHGYCHGILH >Sspon.03G0010810-4D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3D:34264898:34265834:-1 gene:Sspon.03G0010810-4D transcript:Sspon.03G0010810-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LLPTENNRRMKAIDRETKTILRGIIEKRHEAMKNGEPTRDDLLGLLLESNMNYTDSDGKSSRGITLEEVIEECKLFYFAGTETTAVLLTWTIVVLSMHPEWQDQARDEVLEVFGQNNPDLSGVSRLKVVTMVLYEVLRLYPPALFINRRTHKQTELGGVTYPPDVMVAVPIMFIHRDPALWGHDAGEFNPRRFAEGVSKACSDPGAFIPFSWGPRICIGQNFALLEAKLAICMILQRFAFELSPAYVHAPYNVLTLHPQHG >Sspon.01G0018960-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:67731953:67735937:1 gene:Sspon.01G0018960-4D transcript:Sspon.01G0018960-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKYFCEICKFFDDDVSKGQYHCDGCGICRTGGVDNFFHCDTCGCCYSNVLKDSHRCVERAMHHNCPVCFEYLFDSTKDISVLQCGHTIHLECMNEMRAHHQFSCPVCSRSAYDMSATWRKLDEEVAATPMPDIYQKKMMDPVQRLQRDLERAVPRAGAEVPRVQLIQHPGDEGRVPQDVRRTRPSCKRQGEWEMPSNDWW >Sspon.04G0001670-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:3029026:3029835:1 gene:Sspon.04G0001670-2B transcript:Sspon.04G0001670-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding KMSSTNLVGAGAEPFRVFVGYDSREDMAYRVCRRSLLRRSSIPLEITPIVQQELRDAGLYWRERGPTESTEFSFTRFLTPYLAGYHGWALFVDCDFLFVADVAALARLAADADPRHAVLCVHHDYTPTEATKMDGAVQTAYPRKNWSSMVLFDCGHPKNRAALTPEAVSTRSGAYLHRFMWLDDDEVGEVPFVWNFLVGHNRVDPADEAGTAPRAIHYTSGGPWFERYRDCEFADLWVQERDAYEAEEADKDVDGSIQAPPTVVSVEVDA >Sspon.03G0002220-1P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7A:18099954:18102291:1 gene:Sspon.03G0002220-1P transcript:Sspon.03G0002220-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAELRTVTMRSSPLPLPLPRGVLLLLPLLLLSLLSAIDVASAATAAAPQKFGINYGQIANNLPHPAQVSALLQSLNVNRVKLYDADPAVLTAFAGTGVEFIVGNEDLFNLTDARKARAWVAQHVQPFLPSTRITCITVGNEVLSGEDTAAMQSLLPAMQAVYQAVVALGLSGQVNVSTAHSVNILASSYPPSSGAFREELAQYVQPILNFHAEVGSPFLINAYPFFAYMASPGTVSLPYVLFEPNPGVVDPNTNLTYDNMLYAQIDAVYAAMKAMGHTDLTVRISETGWPSKGDDDEVGATVANAAAYNGNLMKRIAMGQGTPLKPHVPVDVFVFALFNEDMKPGPTSERNYGLFYPNGTPVYNLGFDGASFNPSPTYSSSSKLAAITFQMAVVVLLAGFFLL >Sspon.07G0017100-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:61613642:61618144:1 gene:Sspon.07G0017100-1A transcript:Sspon.07G0017100-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGNNTILCATCNADIRDANPLAGRHEHMPLRLRCSAPPRREDVMDPFLGQDFSRFLHADSVLPNNGSNGDVVDLLWWRHRRTIVAKVSSRPTAPRRVTDGVIELDFAQSKEMVVAATTPAATESKEARLMHYWPWPPLASEFPEALCFSLRCNKSATARVFGSVVVSRFAKRTDDNDADLDMAVVPSYPYVLDLVVGYGVTPVRLPCLHARVRALVGNLHAFVVRKQVWYTRDDWVALARRLDPAVVPPASAVSGCYYCPSMSLALDRCPSTSASPNPRAPIYTLELTRNRLDQSSTGSVGRAKYMQAVRLFTTTFSFRIIPDARTYPGDGMAFFLGHFWSDTPRYSGGGSLGLFQGGSNGTGDGTIVAVEFDTYLNPEYADVSYSHVAIDVNSINSTAVEDTTSPTKNLTSAGYVMKATVRYVNVTRLLDVRLTINGTSYKVNATVDLRSYLPQHVAVGFSAATGTGGELHQILSWSFTSTLQEPPAPAPAPAPAPPRPPPLTPDNTPHPRNRSVGTLIIAVLVPLLFLLACAGVLALFVRHKRNRRRRLGGMTPKETSDDDNDYQEQDNSRAELERGVAASGPRRYAYRDLAAATNNFAEDGKLGRGGFGSVYRGTLTVAGEERPVAIKMLSSDSSAQGRKEFEAEVRIISRLKHRNLVQLLGWCDSRHGLLLVYELVAQGSLDRCLHSSDGENFLTWPERYQIIVGLGSALRYLHQEWEQRVVHGDIKPSNIMLDDSLSAKLGDFGLARLGDHGGRWQTTKAVLGTAGYIDPEFVNTRHPSTHADIYSFGIVLLEVVSGRSPVILQQGEPPFVLLKWVWSLYGRNAILDAADERLRTGDELHDECMERVLVVGLWCAHPDQSERPSIAQAMHVLQSEDARLPALPPQMYKTASDLAVTGRSYGALSVESYSGGDSSTTTTTTTTTGDSKVSSGSSTTALLRDAKERA >Sspon.02G0038540-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:42799033:42804419:-1 gene:Sspon.02G0038540-1B transcript:Sspon.02G0038540-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKVGNSDVVRIPYYEAIQAIMSRDKLNIVEWMATRIVECRLDRRVWDTRRYTPILFEEQGWGQYHLTVTLYRNLSGNHLSGPLPESFCKNLTRQLVFSYDQLVVGPLCTQRTNPSRKGTIVKAVSIGVSVLVVAILFLGYFIWRKKIHPNVRPESTHDDLARDPELENNVPRSAKGHEGNLQNSESRQFTYKELEKITNNFEGFIGHGGFGRVYYGRLENSTEVAVKMRSESSLHGLDEFLAEVQSLTKVHHRNLVSLVGYCWEGDHLALVYEYLSQGSLFDHLRGKNGVAETLNWGTRVRVVLEAAQGLDYLHKGCNPPIIHSDVKTSNILLGRNLEAKLADFGLSKTYLSDSQTHISATAAGTSGYIDPEYYHTGRLTESSDVYSFGVVLLEAATGKAPILPGHGHIVQRVNQMITAGEDISRIADARMEGAYDVNSMWKVVDTAHQCTSDAAAQRPTMATVVAQLKESLALEEARESDSSVRATLGGDISALLSAGGPSAR >Sspon.08G0009370-3P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8D:64448516:64449769:1 gene:Sspon.08G0009370-3P transcript:Sspon.08G0009370-3P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKLRDWAGLPDGLLHSIVELLGSFPDCLAFAATCPAWRSAFLSYPSKSTLYALFPPLLLDPNVSFCSPRPFPNVTRNTSVPKCPCYVVDLASQDTLLCSQIPLLSIDYRNNRLPSALDELDFDFGFKGASFGHMIFCSNKTCFLFDVFTGIEVSSPPLPVYENTRFCYGIDLMAPPPLPGLPLPVFGKFCYGAALTGPLASPNPHLIVYTESSNFFWPIGSNSWSKCSPRNGPLTKFVVFKDQVFGMGSDRRLFMVHLTPQIHLQEIPVSWGGRNSMTEWHPRFAWLVVCGDMLLMVGCRSDSSGTGPSFEAYHLDTSTEPAKWVKVERLEKWAIFISNYLGVEALSCMNPERWGGRSNCIYCYDPRSGHLVAFELGKPLLGDDATRLRAFIYVTRFYNDHMGMVQPTWVVPSMFS >Sspon.01G0047080-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:93279673:93281609:1 gene:Sspon.01G0047080-2C transcript:Sspon.01G0047080-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLASPTPFGAAAIRRRVAPLPLLPGGGRAALHVALARRGGVSSRTQRRLEERGGKKRRGGVAAPDVDEDATEAGAAEWEGEPLGFEVSTEPMPQLPDPETPDFWEGPQWEALGFFVQYMWAFGVVFGLIACGVAVATYNDGATDFRDTPAYKESQSQEFPEESESSSADVFEGNPTEVAPALE >Sspon.02G0045830-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2B:112496497:112497362:-1 gene:Sspon.02G0045830-1B transcript:Sspon.02G0045830-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATNCCTAARRLSDLLREQQEPFLLQDHDGSGTPARTPAAASARTRNLLRGSTATVTKLTLRWADDLLAGCFPCAATRQRFRRLPRPDRDIASAGADCYDGGGAVDCGRQQLSPVSVLDLHSDDESSPHPTTTGTETSPSPPSIHDHDHDLPGKTPATERFQRKSVASAWDWESVAADISRISSLVDLDLAGSPRDDWRRRLDVGGEEEARQLAQSIEAMIFEEVRWEAVRDMLCSPQH >Sspon.03G0041450-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:31381314:31381847:-1 gene:Sspon.03G0041450-1T transcript:Sspon.03G0041450-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AHPRLVPQLAPPPPQAAARQGERGLDERQAKQADQRARAAAERDGVRQRHRHAGPARLRRAVRLVHHRDGRRSGPVVVVEPPAPARLHRAATVTAAAAATNTNPGAPAAGQVFMPMPIAHRIRSGVSRGAGASSGRRAGE >Sspon.04G0021690-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:848991:853759:1 gene:Sspon.04G0021690-1B transcript:Sspon.04G0021690-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGPRTFPGGLSKWQYKRMHEKLARQKQRGLLRHEKQLYLARLRSEIRASRLPEAPPGEGPTSSRAHIRALADRFRRPGAEDLWNEDDGPLRRAKRPPTGIASGVRHQQQQLDSGKPRGGPSWEDWEDLAALGQPRPRREPLDRGKGPTLAAFNPRREYLTVVAPCFNLRPLIARGLTEVGNGRRETPLALLNQERLYSVAVRRFGRKWRPDSSDDDDESTSARKRNLRFGKFGASSEEDSEIDESGDTGAIRRRWSSAALRNCDMKKERRVLKSYEEESNDLAGRIRELREEIRNREVLGTERRRYESRGESLLTSKRFDECGVSPLTVKSLTDAGYVHTTVVQEAVLPICLEGKDVLVKAKTGTGKSAAFLLPAIESVLNGMKSNTNQRVSPIFVLVLCPTRELAIQLTAEANVLLKYHEGIGVQSLIGGTRFKLDQRRLESDPCQILVATPGRLLDHIENKSSFSVRLMRLKLLVLDEADHLLDLGFRKDIEKIVDSLPRQRQTFLFSATIPKEVRRVSQLVLKRDHVFVDTVGLGAVETPTKVQQSCLVVPHELHFHMVHHLLREHIDREVDYKVIVFCTTAMVTEFMYIMLRDLKLNVREIHSRKPQLYRTRISEEFRGSNRLILVTSDVSTRGVNYPDVTLVIQVGVPPDREHYIHRLGRTGREGKSGKGILLLAPWEQYFLNEIGDLPIEKCPAPDIGQEMKQKVDDSIKIVDMSIKEAAYHAWLGYYNSIADVGRDKTMLADLANRFGASIGLEKPPAIYRKTALKMGLKDVPGIRIRK >Sspon.02G0024720-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:86974119:86979844:-1 gene:Sspon.02G0024720-2B transcript:Sspon.02G0024720-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MELMTNYYYPVARLLLSIRSLLLCIPAICMLAAAAAAAVPPAHWPAGCRSRCGDVDIPYPFGIGDRCAINHGFNLSCLLVNGTERPFSGAFEVTNISVPRGKAWMKVYISWRCYDVNVAGQMKQYTSEGNFTDTPFRFSYEDNKVFVIGCNTLAYMRSKPVSDSFTCLLSYYLANKKCSLHYITGCYTTCNGDDDIAKNGSCSAGAGCCALDVPEGLGYFDTFFNEYYNISGGCGYIVLMEEKAFSYSTTYRTYSSFWYAYNGTVPVVMDWTITNDTCEEAKLNISSSSYACVSDKSECVNTINGRGYRCKCLDGYQGNPYVDHGCTGTSVGLVVLVVTITCAYLIQQRRKLQHIKQRYFQQHGEDRLEEILDDQLKNDENMEFLEEIAELAKQCLEISGTNRPSMREVSERLDRLRKVMQHPWEQQQNPEEMELLLGESSLASSEIVNTGILSIEKKAARNLESG >Sspon.02G0048230-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:22575503:22576998:1 gene:Sspon.02G0048230-1C transcript:Sspon.02G0048230-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCDPSINGTPTHLKPFQEDLVALLHPQARLVPMASEETNSKKKKEEECTINCLLGDLVGQIFLRLSVSTLLRCTEVCKQWHKVIRDPQFNTTHLEQAPRCALLFFPQESVHGKPYPSDAIVFDEGCAVPVIGPDDFLCGSCNGLLCLYTKASTINIANLATVYTLGSDKWKDVRSSEDLSLSCVKNSGVVNVDGAMFWLTEDAGASWKHAVISFDLSEESFARIQLPDSTLGGYHRYWVTEINGKVCIATAEVHQHRPRMLSDKLQILTLCSKLESRWSQMYSLPHTHNYLPGPHFVH >Sspon.04G0016830-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4A:61503824:61512475:1 gene:Sspon.04G0016830-1A transcript:Sspon.04G0016830-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VVGDTTGPIIGSSFVSILDNAKKHKKEYGDEFVSVEHILQAFTSDKRFGQQLFKDLKIVENDLKEAISAVRGSQRVTDQNPEGKYQALEKYGIDLTESARRGKLDPVIGRDDELISLDMGALLAGAKYRGEFEERLKAVLKEVTASNGQIILFIDEIHTVVGAGAAGGAMDAGNLLKPMLGRGELRCIGATTLDEYRKYIEKDAALERRFQQVYCGEPAVEDTISILRGLRERYELHHGVKISDGALVAAAVLSDRYITGRFLPDKAIDLVDEAAAKLKMEITSKPIELDEVDREIIRLEMEKLSLKNDTDKASKERLSKLEAELESLKQKQKNLSEHWEYEKSLMTRIRSIKEEIDRVNLEIEAAEREYDLNRAAELKYGTLLSLQKQLEEAENKLVEFQQSGKSMLREEVTDVDIAEIVSKWTGIPVANLQQSEREKLLLLEDVLHKRVIGQDIAVKSVANAIRRSRAGLSDPNRPIASFMFMGPTGVGKTELGKTLAEFLFNTENALIRIDMSEYMEKHAVSRLVGAPPGYVGYEEGGQLTESVRRRPYSVVLLDEIEKAHQDVFNILLQLLDDGRITDSQGRTVSFTNCVIIMTSNIGSPLILDTLRNTTDSKEVVYEIMKKQVIEMARQTFRPEFLNRIDEYIVFQPLDTSEINHIVEIQLNRVKNRLKQQKIHLQYTAEAVELPGSLGFDPNYGARPVKRVIQQMVENEIALGVLKGDFKEDDTVLVDGQSVHGSRCHLFRPAHYWFNRPACFLRLSHLYIKNISPLHACSSCASPRRLATTAIPRCAPILCRTTLALACHGHPPAAPHFAHPRHHHPAPLGEATNCWGAAERAQTSGRETEEVRNIARTRPPRSVADGHASIHATQTATHAVRPPWRSCEPNLTSSVVGYRTSLPADGVPGRRRPSPRVCLLQRRQRFLPQRIAHLCGTTTRPTRSALSLAGSPDYLGHRKRCGVGADSISVQWWQAQVVSWTSQSSTTAPGFHLPPEEILILILNRDSCTSRRDSWCCRHPATVRRSMPLLPPSCYVTLHAVATVRRLSAPQVTPGHHRALVLLSYVADGFIVTPRML >Sspon.02G0014660-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:42046048:42050547:1 gene:Sspon.02G0014660-3C transcript:Sspon.02G0014660-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MELDNEHARSPSESTANAPSHSVEYYMKKFLSDSFSSSIRYDSDDVEQESMDRCSSEHEMVSLPIERTSSRLGERGTPKCGKALVNLGITDAFELPTGGMTSKFGAIGGSEDSTEMVSLPIERTSSRLGERGTPKCGKALVNLGITDAFELPTGGMTSKFGAIGGSEDSTVRRSRKRSGKVFGIPSGGPMKSGHKQKKIQREASSKQMGFSSADIVVDEKLELHGSRRSRKDLLAHKGAVLVINHLRVPPHTQSKAVHLGQDVNIIPYYDGTFRPQDAASDETPGIKPLAILGGTALSLAGNEYKRTHD >Sspon.03G0010610-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:35822804:35826224:-1 gene:Sspon.03G0010610-2B transcript:Sspon.03G0010610-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHSSDEDTEISDSEIDDYEGKIYARLMSGDLKVKKNGENYSCLFCSSKKKNNYSKSSLVQHASGVSAAPNRKAKEKAAHRALFKYLKNDLAKSPEPRPHVIPVEPQPLQNRDEKFVWPWMGILVNVPTEWKDGRQIGESGNRLKEQLSHFCPLKVIPLWTFRGHTGNAIVEFGKDWNGFRNARTFESHFAAGGYGKKDWTGKKNQGSELYGWLARAEDYNSPGIIADHLRKNGDLKSVNDLAKEGARKTDRLVANLANQIEVKNRYLQELESKYSETTASLEKMMGQREQLLQSYNKEISKMQQLARRHSQKIIDENQKLRSELEAKMNDLDVRSKQLDELAAKSDYDRRNLEQEKQKNAIKSSHLKLATLEQQKADENVLKLVEEQKREKHAALKKILMLEQQLDAKQKLELEIQQLKGKLKVMEHMPGDEDSESKNKINELSEALQEKIDELDGMESLNQTLVIKESKSNIELQEARKELENGLLDLSGGQAHIGIKRMGELDLKAFSKACQKEHTEDTEVTAAFLCSKWEAEIKNPDWHPFRVVTVDGKEMEIIEDDAKLRALKEEHGEEIYALVTKALLEINEYKSKGSYPVGELWNFKENRKVTLKEAVQFVLRQWRTNRRKR >Sspon.05G0018890-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:78694673:78695936:1 gene:Sspon.05G0018890-1T transcript:Sspon.05G0018890-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPDPDLSGGEQAEPPDEVDDPDVDEVDPTGRYFRYKEVVGSGAFKTLQRLRCGGRHRGGMGQSGDKRPDHGIPKELQRLKTEIQLLRSLQHKHILKLYASWVDNKKRTVNLITELFTSGNLREYVPYQAQES >Sspon.01G0035880-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:15179268:15179739:1 gene:Sspon.01G0035880-1B transcript:Sspon.01G0035880-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLHAGASTVACAPVPPLPHQLAPRRTARGRRLWPCSAKKTGTEDDRRAGGGVLSRTVLLRAGVAIFALGFVDAGYSGDWSRIGAISKDTEELLKLGAYVVVPLSLALVFSLSEDSDRKS >Sspon.02G0027260-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:109214693:109217429:1 gene:Sspon.02G0027260-1T transcript:Sspon.02G0027260-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHVSFYRNYGKTFKKPRRPYEKERLDAELKLVGEYGLRCKRELWRVQYALSRIRNAARHLLTLDEKNPRRIFEGEALLRRMNRYGLLAEGQNKLDYVLALTAENFLARRLQTLVFKAGMAKSIHHARVLIKQRHIRVGRQIVNVPSFMVRVESEKHIDFSLSSPFGGGPPGRVKRKNQKKASGGGGDAGDEDEE >Sspon.07G0016630-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:59339217:59343294:1 gene:Sspon.07G0016630-1A transcript:Sspon.07G0016630-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSAKSIVLPLVVVPVSAKLGFLSLLLTLEARLLMASPQESNSLCLKRKLVDDCLSKDCKSRRVKSENGPSFDSLAKRCNCCCTRPNLANDCVNFLKSGTPSRVMYYKKGSWLNFPEQIMKSLIDEFKGNKSSVVSVMDDEPILVDFLSMTLVNLKTRKQRSVAWFDDTGKRFFPSLFFDEESDEMDKRDSSNVDSTAQGIMLDKVANSPPEVVKQVVLESSPPVPQKPSTVDVLRKKITSVERGSESFLFVQKLFLSGMGPFAAPNNILHVHRYSPNDITAQCRFEAFERQMKSTKEARGDANVKYGWLGSRKSDIVRILINGLGTTANTVEKAAPTSLEHPPLPPLLPGRPSLARISMAPAWRLPLVLPQSFLTHNCASHLTSPSSFYRPTWSTRPCPDPHLGGSGASGGC >Sspon.05G0007070-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:16862066:16864661:-1 gene:Sspon.05G0007070-2B transcript:Sspon.05G0007070-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFKRFVEIGRVALVNYGKEYGRLVVIVDVVDQNRALVDAPDMVRCQMNFKRLSLTDIKIDIKRVPKKTTLIKAMEEADVKSKWENSSWGKKLIVQKRRASLNDFDRFKVMLAKIKRGGAIRQELAKLKKVAAAA >Sspon.08G0005880-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8A:18611798:18617557:1 gene:Sspon.08G0005880-1A transcript:Sspon.08G0005880-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPWRAAAAVLVAVAVAVAALTTTTAKECTNIPTELSSHTVRARLQASPGAGAAEWRWRELFHEHLNPTDEAAWMDLMPPPKAAAGHHQHRQEEELDWVMLYRSLKGQLVGGAAAPASASDAAAGAGPPFLEEVSLHDVRLDPDGDAVYGRAQRTNLEYLLLLDVDRLVWSFRSQAGLPAPGNPYGGWEKPDSELRGHFVGHYLSATAKMWASTHNGTLAGKMAAVVDALDECQRAAGTGYLSAFPVEFFDRFEAVKPVWAPYYTIHKARRRSPLDSIYLCRHTCIAGRAGERQLICMLRFLQIMQGLLDQHVVAGNGKALGMVVAMADYFAGRVRNVIRRYSIERHWTSLNEETGGMNDVLYQLYTITHDQRHLVLAHLFDKPCFLGLLAVQADSLSNFHANTHIPVVIGGQMRYEVTGDPLYKEIATFFMDTVNSSHAYATGGTSVSEFWSDPKRLAEALTTETEESCTTYNMLKVSRHLFRWTKEIAYADYYERALINGVLSIQRGRDPGVMIYMLPQGPGRSKAKSYHGWGTQYESFWCCYGTGIESFSKLGDSIYFEEKGETPALYIIQFIPSTFNWRTAGLTVTQQLMPLSSSDQYLQVSFSISPKTNGQFATLNVRIPSWTSLNGAKATLNDKDLELASPDDRPEYASIQAVLFGPFLLAGLTTGDWEAKTGGSTAAATDWITPVPPESNSQLVTLVQESGGKAFVLSAVNGSLTMQERPKDSSGTDAAVHATFRLVPQGGAGAATNSTAAALLEPLDMPGMVVTDKLTVSAEKSSGAPFNVMPGLARKLLL >Sspon.08G0005330-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:16131421:16134359:1 gene:Sspon.08G0005330-1A transcript:Sspon.08G0005330-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHQIGSGMYVSGPVPDRKRERRLSSGSAATPPYTGGDVARSGELGRMFDISASQSQAPSPASSRRSSGPLHRPSPSPASGPLSQLSHQPGLLVGPSPSPAPLPSPAVGSSSRKGSSSRRKEAGTAVAPARGRARLGVPFACYVLVAVAAVAALGAGAYCLVSWRRWEVLTAAGGAVAAVAAVFASNAWRTAAEAERFLRRFPDTVFDDHGGDMPVGELVKITGQVTCGRHPLGANFHDAARCVFTSVQLYERRRWGRWCCCRRRRQLRHSEARVMNFYISDTNSGKRFYVRAGEGAMITPMIKYKTISFNSDKKAASQNLKNWMATNELSCNGDLRVKEGFEMREVETFKAPLFTKIATKFDRLIREGDTASVIGILKKHHACDIVDAPAGVVTTGCQLTRCMFPVFIEGLILIGDEDPDEAVYMV >Sspon.05G0018750-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:78360317:78369512:-1 gene:Sspon.05G0018750-3C transcript:Sspon.05G0018750-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRRPRLPAAASPLPAVLRRAFRSEAALEAIRSQSHSHSPPSKTASPHSDADEDDGQAGPASLALYSYPTFAGAYAALAARLFHQRVRRRLLVLPFSSVVPFRAEDFKDAGFQTCYLLDFIGPKTFAFDLARFVPSVISFDHRQSTLARIPKLGQCPSNVELHIDMSKGSARSVFDYFSKELAGTKSDSRTCEKLLDQEDEERVSNVLEYIEDADLRRYQLPNTKEFQTALRDERAKLNCVTNPHVFGQLLQLDVGDLLSREKSHAHDRLQAAGEFIQKPFRIQLGRGLYGECLAIRADGHTELSHEIGLELSQRSAAAGLRYELYSDCFPFHQRPIGAVVFMQRGVLKVCLRTTDSTVNTSEIAKAYGGGGKQSSSSFTLRMDEFNIWTSVNS >Sspon.01G0031150-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:107104750:107106537:1 gene:Sspon.01G0031150-2D transcript:Sspon.01G0031150-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIEVTVASDYFNATLMLEMLRGKRMLFVGDSLNRGQYVSLVCLLHRIIPESSKSMETFDSLTVFKAKDYNATIEFYWAPFLAESNSDDAVVHRIADRIVRGTSIEKHAKFWKGADILVFNTYLWWMTGQKMKILQNSFEDKNKDILEMETEEAYGMVLNAVLKWVESNMNPKTSRVFFVTMSPTHTRSKDWGDDTDGNCYNQTTPVKDLSYWGPGTSKGLMRVIGEVFGASKIPVGVVNITQLSEYRKDAHTQIYKKQWNPLTPEQIANPKSYADCTHWCLPGLQDTWNELLYSKLFFP >Sspon.01G0061100-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:89988686:89990945:-1 gene:Sspon.01G0061100-1D transcript:Sspon.01G0061100-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRVANSRVAIRVCDVAPRLLSAWERKLAPIAAPKPCTSASTTSVARHGEFRLSDFERLTILGRGNGGTVYKVSHRGTSALYALKILHSAEPAAVTEVDIACRVDSPYIVRCHSVLPTASGDVSLLLELMGGGSLDSLVVGHGALPEGAVTEVAAQALSALAYLRSRRDVKQANLLVSRAGQTPSWPALMWAICFGEPPALPYGVASPELRDFVAACLQKDHRKRATAVELLAHPFVAGRDVATSRRAFREVIEL >Sspon.01G0002090-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:5942388:5943098:1 gene:Sspon.01G0002090-3D transcript:Sspon.01G0002090-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLAAAAANCRCSRVVYVGNIAFHASEKEVRDACELIGPVRSLRLAADPGTGKRRGYAFVEYPDDETARSACRNLHGHQLRGRELRVGLAGRASRRGAGSRGEHEPVGLEDAVHAASLVAGTPPPDSVTRFLAARSARELREIVGLLEGHGPDALRLLQEQVPGLAATVELARHLIDMAAADAAAEAARNKKRAGVEECGSDDGDHGAKLRKVDLEEGGGFKGNNVAASAAGVMCF >Sspon.06G0011950-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:47202969:47204479:1 gene:Sspon.06G0011950-4D transcript:Sspon.06G0011950-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:E3 ubiquitin-protein ligase RGLG4 [Source:Projected from Arabidopsis thaliana (AT1G79380) UniProtKB/Swiss-Prot;Acc:Q9SAL0] MGGVLGALLHWRSGGRTPAQGQQGRRHTRQPSAYDAAAPPAGGHRRAMLSKKYSYIPDTFTSLDQVAAALREQGLESSNLILGIDFTKSNEWTGLQSFGGQSLHRIGDTPNPYEQAISIIGKTLAPFDEDNLIPCFGFGDGDNLIIFSVFHPDNTPCHGFEEVLACYRKIVPHLRLSGPTSFAPIVEAAVDIVDRSGGQYHVLVIVADGQVTRSVDTGDGDLSPQEKRTVDSIVMASSYPLSIVLVGVGDGPWDDMRRFDDKLPARDFDNFQFVNFTSIMSRPVTAQQKESAFALAALMEVPIQYKATMELGILGRSTGNAKRVSPAPPPLPHAQRQSSLRRGPSNVGAAASPRDDQ >Sspon.03G0024980-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3A:75715713:75716138:-1 gene:Sspon.03G0024980-1A transcript:Sspon.03G0024980-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFKRKHWTNDRRARHGLQAHEECFLCDQAPESIDHMLSCCPFTRELWFHICTALGKHLPQPNHSVLSAWRQLRREWHGCQRKGFDSLFALVSWQVWKERNARRFRSATTSVTDLLLVIRAEADRWIRAGAKNLGVLAGSSP >Sspon.01G0002350-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:6424265:6427043:1 gene:Sspon.01G0002350-2C transcript:Sspon.01G0002350-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIRRARRFLFLAVAVLLLHAPPPASCADIYALIYKGCANQSFPGGVAPASIAALSATLSAQSASAKFYKTSSSSASTASSTSVFGLFQCRGDLSATDCAACVSRAMSSWPGVCGASVAARVQLAGCLALYEVSGFPQVSGIQMLFKTCGTGGGGGDFEMRRDTAFAALEGGVATSSGGFVATSYQAVYAMAQCEGDLSTGDCSQCVTQAVQHVEVECGGASSGQVYLDKCYISYSYYPHGVPHGGGAGGSRQQRL >Sspon.05G0022410-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:7445393:7445823:1 gene:Sspon.05G0022410-2D transcript:Sspon.05G0022410-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSRRSSRTRRAGSSLSSSTSRSISEDQISELLSKLQALLPESQTRNGAHRGSAARVLQDTCSYIRSLHQEVDNLSETLAELLASADVTSEQAAVIRSLLM >Sspon.01G0051710-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:18396451:18400882:-1 gene:Sspon.01G0051710-1P transcript:Sspon.01G0051710-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAKAERECAVPPPAANAEEAASTNHSNNMEKVYAVNSPHKKRKSQYELSDPKLLPLKCKFRKRLSCHDDESATTESLGYDCIFMNKTSRTDMVSIPEELDSCENTISLFGGCIEVDSKNGIQEQSLRKMFEVRTSASSSSSNNFSSEAFSSSHSSGTRETDSWVMHDIEHHHPDVMLKPHNDDLARIYNVLEQYDDLMEDELMAGDVFGSAAQIMDEKLYSNGVDDFQILPTGQTGYHTCPSNVGIM >Sspon.01G0005410-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:12841709:12852801:1 gene:Sspon.01G0005410-2B transcript:Sspon.01G0005410-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDINLFRTDKGGNPDLIRESQRSRFASVELVDEVIALDKSWRERQFELDKIRQELNATSKKIGKLKASKQEEEAKKLMENTDEIKKRLVAKEVEVQEAKSTLDAKVTTIGNIVHESVPVSDDEANNKIVRTFGEKRVEENLKNHVDLCRMLDIVALEKGADVAGGRGFYLKGDGVFLNQALINFGLAFLRKRGFEPMQTPFFMRKETMAKCAQLAQFDEELYKIGLPYQVVSIVSGALNDAAAKKYDLEAWFPASKTFRELVSCSNCTDFQSRRLGIGYGQKKNDEQSKQFVHMLNSTLTATERTLCCILENFQKEDGVEVPKALQPYMGGIDFLPFKQPLDVKQAADSKSNKSKTKGGNPDLIRESQRSRFASVELVDEVIALDKSWRERQFELDKIRQELNATSKKIGKLKASKQEEEAKKLMENTDEIKKRLVAKEVEVQEAKSTLDAKVTTIGNIVHESVPVSDDEANNKIVRTFGEKRVEENLKNHVDLCRMLDIVALEKGADVAGGRGFYLKGDGVFLNQALINFGLAFLRKRGFEPMQTPFFMRKETMAKCAQLAQFDEELYKIGLPYQVVSIVSGALNDAAAKKYDLEAWFPASKTFRELVSCSNCTDFQSRRLGIGYGQKKNDEQSKQFVHMLNSTLTATERTLCCILENFQKEDGVEVPKALQPYMGGIDFLPFKQPLDVKQAADSKSNKSKTKGKAP >Sspon.05G0029280-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:72938532:72942182:-1 gene:Sspon.05G0029280-2C transcript:Sspon.05G0029280-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVQESASTGVMNSVIEKLGALMGEQYEKHRPVRRDVAFLKDELSSMHAVLNKLANMEELDPQTVEWRNQVMGMAFDIEDSIDDFVHQVGEDATSTGDFGFIAKIRQYVNELRLRHHFTKQIQELKSRVIEVSERRKRYKLDEAAASSSSFVTVDPRMAALYTEAGSLVGIEGPVDGIVKLLDKKEGDASTSPQGLRVVAIVGFGGLGKTTVANEVYRKTRGQYDCEMFVSVSQRPDLSKLLGRIIHKAGIMTQLNHVVEVEDLIESIRGYLKDLRVGSLLATDLSAQPLKEISDEILRKCGGLPLAIISIGSLLASQPARSREQWKFSKVRRLSLHLGFREDHDTAAATSLSMSHMRSFAVFGNTCFIPPISKFKYIRVLNLKDWRTDGHDSIDLTPICKLFQLRYLNVGRKARLPAQISNLQCLETLELNKLDGDVPSDIVHLPCLLHFVVPCGKRLPDGICVMRSLRTLRCFDVGLNSVDNFKGIAELLNVRDLVISCSGTAPQQGIMDTLWSSIAKLICCKLRALTFPSFPANLPPPIVGLDRLAISHAEHHLEVLEVSSTLFPQVPSWIGRHCSRLSVLSLTVNILRQDDVDLLVQLPNLLDLKLNIRKCPKERILIDSSRVAFPALKLFTFSCFAPCLFFAAGALPNLHTLTLNHHGRGLKNVKVLEGIQHLLNLKEVHVVFTNGYCGGKAMPGMTEADAEATYRDAISMHPGKSGIKILVNVFWLVMPPSAFYYDSDQ >Sspon.02G0022660-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2D:69837035:69837692:-1 gene:Sspon.02G0022660-2D transcript:Sspon.02G0022660-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESRQESSPMHIVVFPWLAFGHIIPFLELSEQLAKRGHFVTFVSAPRNLAKLRPVVADVRPRIRLVPLPLPLVDGLPDGAESTADVPPEKVVLLKVAFDGLAAPFAAFLADACCAGGDAREGHSKKPDWIVLDFAHHWLPPIADEHKARAVRGVPDLPCGQHRVLGPKGAQRRAPAIRGGGLHRSAALDPVG >Sspon.08G0019810-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:18348411:18348941:1 gene:Sspon.08G0019810-2C transcript:Sspon.08G0019810-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKHTLHNDVLVFLSVLLLGCFSIHAECRAVMDEMDNQNVYAYGPCIQSDIRRCRGPVILCYCCVNDRTRCSGMLRQCERMCSLQKMN >Sspon.01G0021260-1P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1A:79425665:79425884:1 gene:Sspon.01G0021260-1P transcript:Sspon.01G0021260-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding WRSRSRRPRTDRRRRRPVRRRRCSPAGRGWTRCRTSASRCRGASACRRWRGGALRRTPASSRSPRRTSRSPAR >Sspon.03G0019560-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:60956224:60958319:-1 gene:Sspon.03G0019560-1P transcript:Sspon.03G0019560-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GKSTGSVGNSDSLLRAVDVLVTYSDVNAVAVVARFPDDDPEDSDCYREGKGVDLLAGVEAIISHLIVKEFKIPCAHAPAVLPPPLSPLVCPRSAAEEIGYTFLPCVLAGLSNAPQYVMRKGSLDNGCIVAGDVDSVILPKDSCGGDGTLAFARAARKDKSLIITVQENETVLDDTPDKFGIEALNVRNYWEAIGVIAAHKAGVNPNALRRQGIDHLKSSRRLYSAHSSSPKPSARPPVHDKVHIQQLVRQI >Sspon.04G0017370-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:69199519:69215864:1 gene:Sspon.04G0017370-2C transcript:Sspon.04G0017370-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSGGHAADVLVVSLFGVCVTLKRDGYGRVMLSLGVRSIRTSKQRTTDKYKMAISFKYWDDCLDPEDMKLMWQDPIVSKEWNDAGEEQGQKVHLSRDPDGEAYLTQTEMMAVAAITVHRHFKSQLDPYMISALAEIASGRRLFVDTYDRKTKETKVGIMQVAPEVAQWLGRELGYKSYDIEDNTNLLYWPLVNVYFGAAYAKWLFSCDDKQRTEEFVVRAYKGGKKKAAHKSTSPIFQRYLYVKENLLSMRQPEICHELTPDLENLSSAEAQLIYWDSKVSEADMDAMWKHPDVYKEWIKSGERRGNVRFSHDAKHRPYLSRVEVKSEAQLIYWDSKVSEADMDAMWKHPDVYKEWIKSGERRGNVRFSHDAKHRPYLSRVEVKAVAEIIISRHLSTRGVKPEALAALAEVCSMRFVHGVSTRTGLMGIDYPTAAWLSRQVDCRYRAYTVISVDDLYNPFASMYFGASYLGWLSQYEGREQSYEFIVQAYLGGPENVSLQETGPFWNQFLEALTHYQDPK >Sspon.07G0014110-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:51135749:51141108:1 gene:Sspon.07G0014110-1A transcript:Sspon.07G0014110-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPPRTPGRRDAASPQTPKGFNPGDPVEVVPDEAGLRGAHFAAVVVGPSAKPRGGYTVEYDSLLESEDSDRRLREALPARSLRPRPPPPASGSGGPLPAEHAAVDALIDDAWWLGVALGRAAGAPGGKVRVCFPETREVMEFDAADVRPHLEWVAGEWRSPDSMEIPKTMPYAKGMQIEVSKLEDNSVVAWSPAVVAKTIWKNNLLVDYTISKCCSTALSEEIVDVKHVRPCPPQASEISFCINDEVEAFQGDRWWVGVITNVHPEFKYTFKSAHLGTEVEVNQKSLRLRYDWVDDQWEQVSKNVAKLKFTQGVKVEVCSDDEGFRGAWFEATIVKPVGSKFLVEYATLKDDDDTKPLKETVEARHIRPCPPDIPVTDGFKLLDEVDAFCNDGWWVGVVSKVLGEKRCMVYFRPWKEEMEFEHAQLRLHYDWMGGRWMRASPDEEPEAGNCNADVRGVK >Sspon.05G0013660-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:5B:49452145:49452852:1 gene:Sspon.05G0013660-2B transcript:Sspon.05G0013660-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLSKLEHPHIVRLVGVCQESCTLVYEYLPNGTLLDRLSKGLPWEDRVRILVELRSALAYLHSHRPNAIIHADLKLTNVLLDAGDVSRLGDFGTARMVHVKPLEEETIIRRTNPMGTMGYMDPVFFSTGELTTESESDVYAFGVVILQLVTGLDGLNIAEKVRGAAKLHSLLDVSAGPWPEVESERLLKLALRCCSLERKQRPLMTCDAEWKSLLILRGKAAPAKKARKWNCFSF >Sspon.02G0043980-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:44400029:44404964:1 gene:Sspon.02G0043980-2C transcript:Sspon.02G0043980-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGWRGAEGCDVRRRRLVRLMWPAARVEAEAPPPPAQGPATSPSTSSSVPPSRTTSYPPEPAAQKQECIDLPRPVSPDCFVKDGREIRVGDCALFRAVDVPPFIGLIRWIEKKEEGYPKLRVSWLYRPTDVKLNKGIQLNAAPNEIFYSFHQDEASAVSLLHPCKVAFLRKGVELPAGISSFVCWRVYDIDNKCLWWLTDQDYINERQEEVNRLLYRTRLEMRAALQSGGRSPKRLNGPSASQQLKTASDGTQNGGLSKGKKRDRSEQGVDPAKRDRDRLLKVDDSEPGSFNLDDIKSEIAKITEKGGLPNAEAVEKLVHLMQLDRTEQKIDLGGRVILADVIAATESPDCLGRFVQSRGLPVLDSWLQEAHKGKSGDGSSPKEADKPIDELLLALLRALAKLPINLSALQSCSIGKSVNHLRSHKNLEIQKKAKCLVENWKKRVDAEMKSNDVKPLVSGQSVSWSGKTGFQEISNAGTKRGGSSENSPKNPLPTLSSSKVPTDKPGGTDAAAKLNPGVSASSNLQHVQPTNVTTNLKDQPCKSTGGAGCPELPTVKEEKSSSSSQSPNNSQSISSEPSKDARSSTAASGGASKTSGSSSRSHRRANNGIVSGNLKEASVGRSVSLDRSLLQDKSSQTGTASEKGVDMSSDHGNNHRLIVRFPNPGRSPARSASAGSFDDPSVTGGRASSPVVADRHDQTERRVKVKTENSRPHLASDANAESWHSNDIKGATGSEEGDKSPCAILDDDNSRTPDDSVKDAHASRAACSSYVNEKGVSETKVGTSFSPMNALIEIKYSEASHSLQAGDDAAMNLLASVAGEISKSELVSPASSPRSSSVKKLVRESDNTGKVKVESDMGPSHPGQADAKKVAMGKEVKNDACLVAKEEQRQTTPSPELADSKAVVSSAKIEIHEGRANKCNSQHASIDSKGENRDACTAHGKVEDGSTDKDGAMESALGSQCSLVSSRNSRLVLAGESSLSAADKQAQGLLKSTNNKQLLSVSDNPGAFDRRDSMAGKLDLMAAEVEKADAVGSSSIVQNEAEKKEHTFSSLTDVPKLVVAAASPVGVANVNKEMKESKDSSSESNSHVKSEGVNSQQSGQSAKQSSKKSSE >Sspon.02G0012800-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2D:27910079:27910767:-1 gene:Sspon.02G0012800-2D transcript:Sspon.02G0012800-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNSITRPRSLLDNPGNKIQIKQTTQLLSEVSDSTEVIGARVDCSDNGNRIRFDDHMPHSHINCRLDAVNAGKAHDNELLRETDWPAPRRRGTASGVGRRRTAGHELYYAIKNVSARDTRAPLRPDQPGPGCGYAARTPAIPPFPRCESVSAGV >Sspon.08G0005040-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8B:12248775:12250837:-1 gene:Sspon.08G0005040-2B transcript:Sspon.08G0005040-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEENETQGEEHPLATVSATNCSNNFSLLYQYHDYRIEQITIQNLEHVERAEEVSGQGQLSQYRQLQSLSLMWSSSSLPQDSSIVNDFLVLEKLQPHGALKTLRIQGYRSRTLCSWVLDISFSLPNLVKVELSDMIFCEHIPLLGKLANLEELCISNMPCVTKVDAAIYGDKTPLFRKLREFTIKKMNGLEKWELLQYCVNLEQLSIRSCINLTTLPDSIRSCLFLSKLEVLECWNFSALPEWLGELVSLRELCVHAAKLERLPQSIQDLRALEKLVLKKCNYRLRKRCTSGEDKDKIKHIGSVDITQSPVLLVRSNDMFMERICSPQLIEIHIVCSKYDKVSSLDVKKLHPYDSLEILSLKGYDGAYNPLWMSSLPNLVKLELTSVVLEHIRLDQLPNLVKLELSSVGFEHLRLDQLHSLQELHISRVQSIGSKVCIWCTEPLRKLRRITMSELTNQELQISMERQGRSDENLFPGLQDLEIHCCSMLRFEPSIPRSARYILSGRKGQPGQDMCPSFPRIMRPSIPASLYKMEIRYSRGFSSTSWNGLRHFDIGELIIDDCYDDIPLPESIRGWRSLQKLEILNCDEITTLPEWLGEITSLRELKVETYFMKTLPACIQQLTGLQTLTLLNCGPVLEKRCRYGEDKNKLAHIPN >Sspon.01G0010040-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:25279068:25291358:-1 gene:Sspon.01G0010040-1P transcript:Sspon.01G0010040-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DEFVKSEIAGDNNGYISNSFRLPGLPTTLLDEICCLFQSANVSASKVIDQGTQLHAKLGDLKFLVSGKHQQSMKESASGTLLHISQSTLDLEQKDPGKDNDEDHAKSSISVNISGIRMHFCFYYLESLCATTMSYKVFLKSILPPKKRSVQENASQKSTKKSKGAQLLKINVTQCSIVYDGDMRLEDMSIADPKRVNFGSQGGRVVIINEANGSPRMAYVNSTGLPDHKNVHFSTSLEIYQFGVSLNKAKHSMQVELENFRLTHKEDQLDNKPVEETKLFDVRKAKFVQRSGGLNDIAACSLINVTDIAVRWEPDPYLELLEVATRLKSVLHRMKLRYAVTEVKDETLNVDILSKKESPTDHGQQEKAQKKRESVIAIDLESLKISGELADGVEAMITVGYIFSENAKIGVLVEGISVSFCGAWILKSSRMQLSRIPISVSDSNSDKKLQSAAACDWVIQCRDVNICLPFRLQLRAIDDAVEDTLRAFKLISAAKTSVLFPEKKSSTTSSKKSKPKMAFRYVRVIVRDLIAEIEEEPMQGWLDEHITLMKNIFYESTVRLNLLDELSSGKNKDSPKAKLDTSSVKNSGCPDVDADVPGTHSIEKLREEIYRQAFQSYYQACQKLPVSEGSGACSSGFQSGFKMSTRRASVMSVCAKDVDVSLSKIDGGDEGMISFIKSLDPVCAKDDIPFSRLYGSNFSLKTRSLSVYLRDYTFPLFSGTNGKCDGRLVLGQQATCFQPQVRQDVYVGKWWRVNLLRSATGYTPPMKTYADIPLYFKKGEVSFGVGYEPVFADVSYAFTCALRRANLAKRWFFERPEPPRRERSLPWWDDMRNYIHGKFKLCFNETKWHLPASTSPYEKLDEMLIKTDFMEIHYVDGYVSLSSKYLRVYLTSLESLAKKSSLEIPHHPAIPFLETPFFFMDISIQWGCDSGNPMDHFIFALPAEGKPRDKVFDAFRSTSLSLKWSFSLKPSTTEPIEHQQKSNSYTTDSPTVNVGVHDLVWLMKWWNLLFLPPHKLRLFSRFPRFGVPRFIRSGNLPLDRVMTEQCIRFDAMQLQINNMPLQADDPAKGLTLHFTKFRYEIAFSRGKQIFTFDCKREPLDLVYQGIDLHLLKVFINRIPESSTSKDSKIENKSLLTKDKDSLGCEKGKKKTSPTEKSRDDGFFLYSDYFTIRKQTPKADAARLSAWQEDGRKKTEMPLIKSEFDGGDESDHDQSGSDDEGFNVVVADSCQRVFVYGLKILWNLENRAAVLSWVGGLTQAFQPPKPSPSRQYTQRKILEKKQLIKEAEMSKDGALSSVSSTSQPSEPQQIKSSESPPSNGSGKPDLTSSSENALKPSNNSDSEEEGTRHFMVNVVQPQFNLHSEEANKRCSNYLLDIRSTYQGRFLLAAGSGRVMVRSFHSIVQVGQEMFEKATGSSNGATGGTGPEMTWSRVELSVMLEHVQAHVAPTDVDPGAGIQWLPKIHRRSSEVKRTGALLERVFMPCQMYFRYTRHKGGTPELKVKPLKELTFNSPDITAGMTSRQFQVMMDVLTNLLFARTPKKPKSNLSYPLDNDDDDIEEASDAVVPDGVEEVELAKIGVEVKERARKLLLDDIRALSTCGESSHDHSQSPKADDAAWIVTGSRLMLVKQLKKRLVNVRNGRKEAYSMLRTAMQKAAQLRLMEKEKNKSPSFAMRISVRIKKIVWSMLADGKSFSEAEINDMIFDFDRDYKDIGIAQLTTKLFVLKNGLANAKSDTVVSPWNPPSEWGKNAMLRVNARQGAPTGGNSVIESFLVDIYPLKIYLTEAMYRMMWGYFFPGDEQQPQKRQELFKVSTTAGTRRKKNTFVAETNSPNNQSSKETTFSQKPELRRTSSFDRTWEETVAESVANELVSQMEGQSNTQYEPQDAAKDSKLLRPVRSTREDKKIVEPNEVKQSRPQKMMDFRNIKISQVELQLTYEGLPFAVSDVRLLMDTFHREDFTGTWPRLFSRVKKHIGKKFKAKSSSQKEPSTASINATDFNLSDSDGDEAGSSDQLPAFLKKPSDGAGDGFATSVKGLFSTQKKKAMAFVLKTMKGDADHDFHGERSENEIEFSPFARQLTITKTKKLIRRHTKKLNKSKVHKVT >Sspon.01G0008610-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:24952808:24955992:1 gene:Sspon.01G0008610-3D transcript:Sspon.01G0008610-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMGLPGLRCCRLPARGLLPPLGPALPRRPLPRTSALRYSSLQAQAGDSIGEEVLRMFLEERQLHGDFVTKISDMVWRRNGANVDAAEATTGQGSAVDVAQPEDVREDAVDEGMLRLVATRDWVSGDSSPPLSKRLSAKDRQNESDKRKELNLLKYEALKDELLLLTTGIGAACSLYCLLVFSLETAISYAFGVGFSCLYLQLLYRHADNLSKEDIPEVFLRKKVKKIGITSEDLKNTIEKTLGGAGVALSSPRLVIPAVIFGLSALSDHFQNSFFSFEVLPGMMGFLAYKAAALVQVYRDNEDLRLILPEEEDADSDST >Sspon.03G0012540-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:44731775:44747078:1 gene:Sspon.03G0012540-2B transcript:Sspon.03G0012540-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RAVDQSRSNHAEEKRKKIGLVEVESRSNTKQQLGGGTPVNIIVGSHVWVEDPNLAWIDGEVVSIKNNEVHVQTSSGKKVTTDKSKVFPKDMEAPPGGVDDMTRLSYLHEPGVLQNLATRYELNEIYTYTGSILIAVNPFQRLPHLYDTHMMEQYKGADFGELSPHVFAIADVAYRAMINEGKSNSILVSGESGAGKTETTKMLMRYLAHLGGRSGVEGRTVEQQSNPVLEAFGNAKTVRNNNSSRFGKFVEIQFDKTGRISGAAIRTYLLERSRVCQINSPERNYHCFYFLCAAPPEETQRYKLSDPRSFHYLNQSSCIEVDGINDAEEYLATRRAMDIVGINEEEQEAIFRVVAAVLHLGNINFAKGTEIDSSVIKDDKSRFHLNTAAELLKCDCQNLEKALITRVIVTPEEVITRTLDPASAVASRDALAKIIYSRLFDWIVEKINVSIGQDPNSKQLIGVLDIYGFESFKVNSFEQLCINYTNEKLQQHFNQHVFKMEQEEYTREEINWSYIEFVDNQDVLELIEKKGGLIALLDEACMFPRSTHETFAQKLYTTFKNNKRFAKPKLSRTDFTVVHYAGDVTYQADYFLDKNKDYVVAEHQDLLNASSCPFVASLFPPLPQETAKSSKFSSIGSRFKLQLQSLMETLSSTEPHYIRCVKPNNLLKPAIFENANVIQQLRCGGVLEAIRISCAGYPTRKTFYEFVNRFGVLAPEVLEGSNDDKIACQKILEKVGMENYQIGKTKVFLRAGQMADLDARRAEVLGRAARIIQRQICTYIARKQFAELKRSAMQLQSFVRGTLARKLYECMRKEAAAVKIQKNMRRHKARESYLQLQAAAITLQTGLRAMSARKEFRFRKETKAAVHIQAQWRRHRDYSHYKNLQGSALTYQCAWRQRLARRELRKLKMAARETGALKEAKDKLEKRVEELTWRLGLEKRLRTDLEEAKAQEIAKLQETLHDMQLQVEESKAMVVKEREAARKAIEEAPPVIKETPVLVEDTEKINSLTAEVEQLRALLLTERQATEAAKREHAESARRNEELIKKLEEKATNMESENKVLRQQAVAISPTAKSLAAYPKSPFQLKTPENGNALNGEVKPSPDVTPISPNPKVLEAEEKPQKSLNEKQQENQDLLIKCVSQDLGFSSGKPIAACLIYRCLLHWRSFEVERTGVFDRIIQTIGSAIESQDNNDKLAYWLSNSSTLLLLLQRTLKTTGAAGLTPQRRRSSAASFGRVFSGMRASPQSAGRAFLGSRLIGGLGDLRQVEAKYPALLFKQQLTAFLEKIYGMIRDNLKKEISPLLGLCIQAPRTSRASLIKGSRSQANALAQQTLIAHWQSIVKILTNYLNVLKANYLFNSLLLRRECCSFSNGEYVKAGLAELEQWCIYATEEVIHQKPKKTLKEITNDLCPVLSIQQLYRISTMYWDDKYGTHTVSSDVISSMRVMMTEDSNNAVSSSFLLDDDSSIPFSVDDISKSMTEIEVTDVDMPPLIRENSGFTFLHQRKD >Sspon.04G0007580-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:21554965:21563207:-1 gene:Sspon.04G0007580-1A transcript:Sspon.04G0007580-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRPPSPMLSVPEKKTAAAELFRDRHFFGAASFSDIRDARAAVAVPNPQAQPPASRRALLFRYHRLLFSARDDPCAFDETLCFAWHDAFRPHLKHAAASLRFEKAALVFNVGAAASRIAAAVSRATEEGVRAACGEFQRAAGAFRAVGEMMEEEEATTVDMSSQASAMMERLMLAQAQECCFERALAAGKSPPVCSKVARQAALYYEEAYAALVAPPLQNHFERSWVSHIQLKAALFNAEACYRYTIELHGKTEIGEEISRLQVGIKALVDAKRTARGAPGPLYDYAFRLEQDMNKSMETAKEENYRIYLFRIPAAESLASLPAASLVRSASLSEILDPKTDNSTQSP >Sspon.01G0034030-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:16183177:16189837:1 gene:Sspon.01G0034030-1P transcript:Sspon.01G0034030-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable mitochondrial import inner membrane translocase subunit TIM21 [Source:Projected from Arabidopsis thaliana (AT4G00026) UniProtKB/Swiss-Prot;Acc:Q1G3L1] FSLGKHPASSLKNSRWYVTRSNTSGPLTTRYECRKVFPCSVRPCASYSTQASDQKAKQEGKDLSTVEHPFDDITYNIPEKPVTFTEGASYSLVILAGLGIAGVAGYAVFKELIFEPKEYKIFGKALARIQSDSQVTSRIGHPITGYGQETRNRAARQRIPNKIWTDEDGVEHVEVNFLIRGPHGAGKVYSEMFKDNSDRTWKFTYLVVDIVSPPHAKTQLMLESYLPA >Sspon.01G0027430-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:99124082:99125246:1 gene:Sspon.01G0027430-2B transcript:Sspon.01G0027430-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DASAVGQLLLGRRRPRGRARPRGTGAGGLLLRPPPPVLAPGGHGIRAGEDTHRRRGAGARRGHRVPEDLHPVVAAAWRRQRHLLPAGRRPSGVLPPGLLLPAKLPPAPRPRPRCQSGGAPAGRLASAAAACKAAGLCAHLGIPCRWQPCQCRPRVRPKRRLLLGPRAAGGVLGDRVPRDDGTAESTARGGGMRPRGPHGRVRAARVAAPPAAAGAVVVLHRAGRAPARHGVPGQRRARPVGDADAGAGARCDPALRTHVVLPPQGGLPAVVRLLVLQERSRIVQARGRHGGRGDRRRGVQPSWRGLQRREYWIDVPSGERGRAVCRGNIDSAELYAHV >Sspon.01G0030410-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:105570067:105571843:1 gene:Sspon.01G0030410-1A transcript:Sspon.01G0030410-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SMETATVLVAPAPVAITQPPQHKLMELKLSFAPSSPSRKAKPTKKKLTGGCGGYVLEDVPHLTDYLPELQSYPNPLQDHPAYSVVRQYFVKPDETVAKKIVVHKSSARGTHFRRAGPRRRVYFQSDEVRAAIVTCGGLCPGLNTVIRELVCGLHDMYGVTAIYGIDGGYKGFYARDTVELTPRSVNGIHKRGGTVLGTSCGGQDTAKIVDSIQDRGFNQVYIIGGDGSQKGAASIYEEVQRRGLKCAVIGVPKTIDNDIAVIDKSFGFDTAVEEAQRAINAAHVEAECAENGIGVVKLMGRNSGFIAMYATLASRDVDCCLIPESPFYLEGEGGLLEFVEKRLRDNGHMVIVVAEGAGQDLIAKSMHFADTHDASGNKGLLDVGLWLSQKINEHFKKKPNFPITLKYIDPTYMIRAVPSNASDNVYCTLLAHSALHGAMAGYTGFTVGPVNGRHAYIPFQRITEKQNKVVITDRMWAQVLCSTNQPCFLTQEDVEGAGLD >Sspon.07G0006080-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:13963673:13968100:1 gene:Sspon.07G0006080-2B transcript:Sspon.07G0006080-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCCRSPAAAAREDVKSSHFPASAGGGKKKPHQARNGAGEKKRLSVLGEEGCDVGAGIEEKYALDRELGRGEFGVTYLCMDRGTRELLACKSISKRKLRTPVDVEDVRREVAIMRHLPKSASIVSLREACEDEGAVHLVMELCEGGELFDRIIARGHYTERAAAAVTRTIVEVVQLCHRHGVIHRDLKPENFLFANKKENSPLKAIDFGLSIFFKPGEKFSEIVGSPYYMAPEVLKRNYGPEIDIWSAGVILYILLCGVPPFWAETEQGVAQAILRGNIDFKREPWPNVSDNAKDLVRQMLQPDPKLRLTAKQVLEHPWLQNAKKAPNVPLGDIVKSRLKQFSRMNRFKRRALRVIADHLSAEEVEDIKEMFKVMDTDNDGIVSYEELKSGIAKFGSHLAESEVQMLIEAVDTNGRGALDYGEFLAVSLHLQRMANDEHLRRAFLFFDKDGNGFIEPEELQEALMEDGGADTMDVVNDILQEVDTDKDGKISYEEFVAMMKTGTDWRKASRHYSRGRFNSLSIKLIKDGSVKLGSE >Sspon.06G0002310-3P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:24323782:24328235:-1 gene:Sspon.06G0002310-3P transcript:Sspon.06G0002310-3P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DPCRPGVRNAVQLCSTAGVKVRMVTGDNVGTAKAIAVECGILDAKDAASEPNVIEGKVFREMSETAREDIAEKITVMGRSSPNDKLLLVQCLKRRGHVVAVTGDGTNDAPALHEASLSMGISGTEVAKESSDIIILDDDFTSVVKVVRWGRSVYANIQKFIQFQLTVNVAALVINVVAAVSSGDVPLNAVELLWVNLIMDTLGALALATEPPTDNLMKRHPVGRRHGITSLIAIDTFVLCTLFYFGTSCDKRYVEKPFYPGLALYQIAVLLIFNFDGKRILHLQNESREHADKIKNTFVFNAFVFCQIFNEFNARKPEEKNVFKGVTNNHLFMAIVGATTVLQILIIEFLGKFFDTAKINWRLWLLSMAIGAVSWPLAYLGKFIPVPARPFQSYLKHCSCRRGPQQDEEHGGKS >Sspon.06G0023910-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:45005006:45006207:1 gene:Sspon.06G0023910-3D transcript:Sspon.06G0023910-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEQKRSMGDVAAVAVPPMQTSESNKISIIISPRAPTSKIMPFELIHAGSVSSRPHADVAESSVAHAIHHHRWNQGLPKINAVPLIKKVKVAMALQMIAEFLGTFILIFTVLSTIIMNEQHDGAESLLGIATSAGLAVTVLVLSLIHISGCHLNPAVSIAMAVFGHLPLAHILPYMAAQILGSIAASFTVKGIYHPVNPGIATIPKVGTTEAFFLEFITTFVLLFIITALATDPHAVKELIAVAVGATIMMNALVAGPSTGASMNPARTLGPAIATCRYTQIWIYMVATPLGAIAGTGAYVAIKL >Sspon.04G0035300-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:7518196:7519186:-1 gene:Sspon.04G0035300-1D transcript:Sspon.04G0035300-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSCSPRARFTGAAVVGIALLVLAGCAGNAGAYYGGGDTRYQFLAQQNAARASMGLPLLVWDERVASYARWYAQSRRGDCALVHSQVYEMRKVDASCGCFGAMDGWMRKKSPFLAWLRLPGWVAAALGWRLCERRRNDQTNGEQGAACMWVVETGDWVGEEDD >Sspon.01G0001380-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:4314154:4320093:1 gene:Sspon.01G0001380-2D transcript:Sspon.01G0001380-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeobox protein knotted-1-like 7 [Source:Projected from Arabidopsis thaliana (AT1G62990) UniProtKB/Swiss-Prot;Acc:Q9FPQ8] HGHGRGVRRRRRRVLVVDFGGGSGSGCRGRRSRSRGGGGGAAAAQGGDRGAPALRAAGGGARGVPARGDAHRPPPPHRRAARAVQRPPPLLRRPPPPLPLPARQARPRLLPGAVPDAAVLVPGAAAAARPGARRGGRHGVPRDRAVPAGPHRATLEEGTGATMSEDEDEPPMLEAGLDMGSDGHDMMGFGPLLPLTPSAHSWRGQELKIELKQGFKSRIEDVREEILRKRRAGKLPGDTTSILKQWWQQHSKWPYPTEDDKAKLVEETGLQLKQINNWFINQRKRNWHNNSQTSTLKSKRKRNLVLEGRSLVLGKNQAEDMHHPGGNLEQVEGIHQPVGNLEQEGMHRPGGIQLADNLDQEEEVDSHHKAAHMLVVVHIQQEAA >Sspon.01G0031930-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:105575267:105575762:-1 gene:Sspon.01G0031930-2B transcript:Sspon.01G0031930-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLPLNLKVARFQDVDQHICYFLHTASQAAVKSPSSLSFFSQVMKVPSLKTSKKLDVSAMAVYKVKLVTPEGQEHEFDAPDDTYILDAAETAGVELPYSCRAGACSTCAGKIESGAVDQSDGSFLDDGQQEEGYVLTCVSYPKSDCVIHTHKEGDLY >Sspon.03G0020520-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:63853042:63856666:1 gene:Sspon.03G0020520-1A transcript:Sspon.03G0020520-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAHRRIMDSILTCSAAPALISNSQRLQILQQLFADIALKVDDRARDAIMSENDDGIAPVDEREDGWLCFYEILANHFVKVPESGRRMLELIVQLWSQSFASNIFALLFHKWLFEAPLDEKEISLRYSSALVQGATNYLLEDVALVPDRLTKISLQLQKLKIEPVLLHYLCRMSILQGMELRLSTSTRLKACLYSFTSPGGPMYPTRAVRHAAWNTLDLLFPIGRYPRHVISLFFRLLYPWYWPSSCWNFIMTCAMTVYYYILNLLVSSWEKLRRPSHRRTH >Sspon.08G0012890-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:52126245:52128095:-1 gene:Sspon.08G0012890-2B transcript:Sspon.08G0012890-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRYYPNKRLNQDKILRSTTNQCQWEVNVDVTVATDLGTQYAIKGNSRVLATVSATVDGTGSVGVALGPLLTGYISTRGWNSVFFMLIVSISFAILFLIRLAKDEI >Sspon.07G0015820-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7A:56186947:56188598:-1 gene:Sspon.07G0015820-1A transcript:Sspon.07G0015820-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIFLLVNLQQGKLQIYTARLVLEKMGVSQHGSSYRRARGKRGAGRTLSQEASGSRYLPSLEDSAWPPPPPPFDCCACACARDCFADDLALAIPRPRCRSLRVGGVERSRWSGGGIPEARWGRWEMGSRAVAAAHVGEKIEIEANRKSSWLKTQKPPPQLLQIG >Sspon.07G0036110-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7D:22887923:22888105:-1 gene:Sspon.07G0036110-1D transcript:Sspon.07G0036110-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHNPHPTITDEDLFLSPPPLFRHSSWNLSMDDGIFGQPKLFLTQHQVTIAIRHLNSRWPT >Sspon.07G0033800-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:59567313:59575067:1 gene:Sspon.07G0033800-2D transcript:Sspon.07G0033800-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSWNSVGLAVLYQVLGWVAFVAWSFSFYPQVLLNYRRKSVVGLNFDFLVLNLTKHSSYLIYNAALFFSPFIQQQYHDKYGDKEMIPVAANDVAFSLHAVALTAFTVFKYSYMSSIQVGMTAIKYIPQAIMNFRRKSTIGWSVGNILLDLTGGVLNFCQMGVQSIDQHTLVNFYGNIGKTLLSLETVFFDVLFIIQHYVLYPVKRDEHGKAIISERVAPLIRPSDKPEEDNV >Sspon.02G0004300-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2B:36652129:36654660:1 gene:Sspon.02G0004300-2B transcript:Sspon.02G0004300-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ILCTVTNGATCSVDGQATEKIQESLGVHSLQHSRLYVDVDVGAARVARTREVEFHPTSPAWNQSFRLHCAYPAAAVTFTVKNQHLIGAGVLGAGSVSAARVASGQPLECWLDLRGGEHAHETHTPSLRVRLHFFDVERDPFWSAGVRLPEFVGVKPAFFPERTNCSVTLYQNAHLSDAFDPGVRLDGGLAYRPARLWEDLYAAIRDARRFVYVAGWSVNTEITLVRDAGRRAVVPGAEGVTLGELLKRKADEGVAVLVMPWQDNTSVSFLGNAGLMKTHDEETRRFFEGTNVRCFLCPRNADASLTMVQHVETSVEFTHHQKTVTLDAATPGTDERHVVGFIGGIDLCDGRYDDEKHTLFRDLDTTYLHDFMQNNYKHSSLRRGGPREPWHDVHCRLEGPAAWDVLANFEQRWRKQAPENMRGCLLDLSPATFPDPISNDPWNVQVFRSIDDASVVGFPSDPAEAAAMGLTSGKDVTVDRSIQIGYVEAIRRARRFIYIENQYFLGGCASWAEDRDAGCLNLVPVEIALKVAAKIRRGERFAVYVVTPMWPEGAPAGEAMQAILLWNRRTVEMMYGIVAKAIDDAGLRGQAHPCDYLNFFCLGNREAPLPGEYSPPETPEEDTDYWRAQVNRRGPIYVHAKLMIVDDEYVMVGSANLNERSLAGNRDSEIAQGSYQPAHLNEPCGRARGQVHGFRMSLWNEHFIMGRHASEDADDGALFLEPESLECIRAVRRAAERLWDSYTQDRVEDLPGHLLPFPITVSEFGEVADLPADGCFPDTRAP >Sspon.04G0008130-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:23493724:23494563:1 gene:Sspon.04G0008130-1A transcript:Sspon.04G0008130-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLAVAVFLALLAATASADSWLYEKFTTDGNVRADYNAEGQQVTSLILNQQSGGAFSSRQKYLYGEFSIQMKLIPGNSAGTVTSFYLSSGDGPGHDEIDMEFMGNSSGQPVVLNTNVWANGDGKKEHQFYLWFDPAADFHTYTIIWNDKNVIFKVDDLFIRSFKRYRDLPYPGRNPMSVHATLWDGSYWATQQGKVKVDWSAAPFVVSYRGYSADACVPNGDGAPLSCPAGTDRWMNRQLDAAEWGTVAWAKKNYMHYNYCDDGWRFPQGFPAECSRN >Sspon.04G0010510-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4A:31923802:31924856:-1 gene:Sspon.04G0010510-1A transcript:Sspon.04G0010510-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQNGPHGHQADAAASDDGHTAPPTTVAFSLTSAGPYGIGGSSSSGGNMETMDASSGKGKNLIPDLNMEERDDSADGSKDKPHSEAEVEVADADADADKGKSVAVADDGEPNVNIALERERRKRMKDLFRSLQDLMPHVPQKTDKVTLVGEAIQYIRALEEKAAMLGKQTLARQAAARGEASSSSLSLRAMPQTAQGMAALCSSDAPRGWGGVPVPPAAPAVPASPMRYKTWTGPNVVLTVANDNAYISVCAPPRANTLTMVMSVLDNQGIDVITAQISSDRVRALFMIYAH >Sspon.06G0023080-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:42274888:42277054:-1 gene:Sspon.06G0023080-2C transcript:Sspon.06G0023080-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLTTTNLVLVVLVIAGVWTAAEAVRHRKGRPDPYEDVFDRQEADRVEALPGQPSEVGFQQFAGYVTANESHGRALFYWFFEATHDVESKPLVLWLNGGPGCSSVGYGALEELGPFLVQKGKPEVSLNPYSWNRDANLLFVESPAGVGFSYTNTTKDLSQFGDELTATDAHAFLMNWFKRFPQFKGHDFYLAGESYAGHYIPQLGVKILEGNKKAHRKDRINLKGIMIGNAAIDSSSDDRGLADYAWDHAVISDEVYGAIKKECTFPDDGNESDKCQQAWSDFFSVMRDIDLYSLYTPACTNAMANASRTNSSSSSSAARRRSWKLADTPLAKVHRGMPYNTYDPCVDYHVFDYLNRADVQKALHANVTGIPYSWEPCSDALSGWTDSPPSTLPAIKQLVDAKLRVWVFSGDTDDRVPVTSTRYALRKLGLATVKEWREWFTTDQVGGYTLVYDGLTLVTVRGAGHMVPMITPVQASQVFAHFLTGNEMPAKPVV >Sspon.04G0006690-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:19122660:19124238:1 gene:Sspon.04G0006690-1A transcript:Sspon.04G0006690-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPVYSDNGELLDGGFDLSTGGIPAFAGYKIFGLLRGTFFSGGSEGEVEDEKTRKKREKMEKKASRGKMIKTRTR >Sspon.08G0015910-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:57908660:57913682:-1 gene:Sspon.08G0015910-2B transcript:Sspon.08G0015910-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAPSSSSSGGGGGDGAGAADPMALVQGYTPEELAIAGEFLTTWLPFLSAGLCPSCTSSLRGRVDSLLPRGNRRLAAVCRLCGRTLVLLGFRAEESPPLPQPVVHQIEPSGWDSDPAHPQNLPFEPSGWDSDPPPPPHPPPAEKPRMSWADMAQEDELAAAAEDDAAAAAADDGDEGAEAGRPKVQLTREQREQRRLGSVVRNKDFRCYERVHGQLVNILAGLELHAGVFSAAEQRRIAQCVYDLQARGHRGELGERTYTEPQKWMRGKGRVTIQFGCCYNYATDKNGNPPGIIRTIVSDPMPDLFKTMIKRLVRWQVMPTTCVPDSCIVNIYEPGDCIPPHIDSHDFVRPFCTVSFLSECNILFGSNLKASAPGEFTGSIAIPLPVGSVLVLNGNGADVAKHCVPAVPTKRISITFRKMDPAKRPFDFKDDPELLNLTPLVQETGISSDEGKGKLPDVQITNLSKVSRGKRSKGRTTAGKYGSGILGEQPSGHERAPAVEVLSLQSLHGQRPVSASSAERERILLGGQGN >Sspon.07G0033160-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7C:57302063:57305396:-1 gene:Sspon.07G0033160-1C transcript:Sspon.07G0033160-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRFTRVALRGSRKVSTMPLTKLFSGAPHKLLAGFDGDHATKLSRRWQPPRVTSITGLQLDHLVPLDLTDQILCYPEGVLEDAIIRVGQSNVPIDFVVVDTGKDEKASIILGRPFLCTTKMVDQSIWFLEGIAKDVIVQIQDLYVSADFMVLDMEIKEEETPIILGRPFLNTTNGIIYIESGQVHFQFSEGKPIKDGWADYPEEVSRYEDRWNEWDAQDKKFEEPINEEATQPDSATQITQVWRKKIASTSTLQEEQASDSSAGSDDEPE >Sspon.02G0007650-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:39762558:39763280:1 gene:Sspon.02G0007650-2B transcript:Sspon.02G0007650-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKVKNMLKPRPTPQQQLREWQRRLRNECRVLDRQIRDVQREEKNVEKAIREAAKRNDMGSAKVG >Sspon.04G0007140-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4A:20373012:20375060:-1 gene:Sspon.04G0007140-1A transcript:Sspon.04G0007140-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQPRTEPASCRAPLLCLTPRAVRASRCAPPRPRQRAFIGRHRIDEHMLQSYVSCVSDVRVTRRVKFCGCGYGYGWALPVRFWGRGVVFTGTPTILPKPSAKRYVGGISSPHQCFDHARGWQPPPRPPDIAVPAADRGQPAKSCHAVQEQREAGGFDSADLQQRIRLTAPHRTSCRRARSNLTNNPRAGETNLLRSGQPTPLKPRSM >Sspon.02G0053190-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:100896910:100897889:1 gene:Sspon.02G0053190-1C transcript:Sspon.02G0053190-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAVTVKCIMCHSKQPAAKLSIPMLILLLPLLLLCYGIGNVHCSTIHESSTDMLALLDFKLGVSDPSGALSNWNTSSHFCRWNGVMCTSTPPFRVLWLNLTSQSLSGQMSSSLGNLTFLNYLDLSNNNFVGPLPLLGRQQQLQYLWLNNNNFCGIIPESLTNCSNLTSLDLSSNNLVGSIPPKLGLLSNLTYLNLGSNKLKGSIPGVLGQLVNLESLILRDNSLSGEVPHAIFNLSSLQYLHLQNNSIGKTLPPNIGELLPNVIELNLQDNEFEGRIPASLGNLLGLQQLYLSDNNLQGYIP >Sspon.01G0060600-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:81118553:81119494:1 gene:Sspon.01G0060600-1D transcript:Sspon.01G0060600-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MCVSGSHPRRHQGIAKNHTRTLADFFGPLPVSLVVASSHLFAPIDGAAIVGEVVQVQLPGVLHPNILKDVHVRLTWADIKDIANNHLGTLLDVFNPLSVILKEARVDRTRADIINNHTHTLVDFFSPLPFSRASSTSRHMLHRNILKDDRVGHTRTNIKDIANITPAPYSTSSVQHLSAWCATPPASSRMCVSCLAAPTSRTSLRIMPAPW >Sspon.02G0018680-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:55927927:55928580:1 gene:Sspon.02G0018680-3D transcript:Sspon.02G0018680-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALKLNNCMTTSSSLVLVLLALWAAPLAVVAGDPDILTDYIIPATTNPANITGAFFSYSGLRGALALQAPENFTAAKASMAEFPALNGQSVSYAVLSYGPGSVNPTHTHPRASELLLVLDGALSVGFVDTAGKLFTQELAAGDLFVFPKGTVHWQFNSGTQPAKALSAFGSAAAGLVSLPATLFGASDIDDVVLAKSFKTDVATIQKLKAGLAPKP >Sspon.01G0022280-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:84930535:84933474:-1 gene:Sspon.01G0022280-1P transcript:Sspon.01G0022280-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAATVTSSLPCSAMAVAVAFMAAAASLLAVAAASPVPAIYVFGDSLADVGNNNHLVTLLKADFPHNGIDYPGKKATGRFSNGKNSVDFLAENLGMATSPPYLALSSSSNPNYANGVNFASGGAGVSNATNKDQCISFDKQLDYFVSVHASLVQSLGQAQATAHLAKSLFAITIGSNDIIHYAKANSATSATADPSQQLVDALIQTLTGQLQRLYGLGARKVLFLGTGPVGCTPSLRELSPTKDCSALANGISVRYNAAAASLLSGMAARYADMHYALFDSSAALLQYINQPAAYGFTEAKAACCGLGDMNAKIGCTPLSFYCDNRTSHVFWDFYHPTETTARKLTSTAFDGSAPLIFPMNIRQLSAI >Sspon.08G0010840-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:43207835:43216442:-1 gene:Sspon.08G0010840-2B transcript:Sspon.08G0010840-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSPSPHATVKREIDVAEPSAYTPAPPPRKKRRRGGGRLPVTPTQLPLSPPLLTPQTIPSVASGDASLAGLTPTPACSAVKVEPGADAGVGGHRRVAGKPNESRDSRSVARPAAAEPPTLWLNRRRLGQILHELAGAHRWRDAARVVSTLLSGNRRPDSYEDTRRMFVVAMEIHRRLAEHSSVQHGGRSSYYLRTQKLFNVWMRKLIWFPSCPQKNLVTLELALFYLSQGNIDNAHIATRTLIAKGKLQTEPILNLVHGLISFDKWYSGLPKDMQVEDFDVYDESCGISMKSNGSEGTDLLDNSDEDSMDIDESSLASCSSESSINNENIDRKMNNKPGFVHPKEEMDPLESQANKNLRSIFLDTSDGPTCGLEQSLLPLKLKFATGASNDCFDKYMKYKSVPNTLYEDAEKCLRLALYSNPPVMAALLPLIQILLLGDKLKDALNELEKVCLSSTTALPFRLRGRLLEEYDQNQVSTISSCYEEALRRDPTCSYSMQRLIKMHRKGYYNAIQLLEAIALHLDSVNGKPCIWEELASCFLWLFSDNTADYKDCISCTNTHGDEALEVSSKLSSVFFERFTRESWKARCRWWAHHHFSQNAYTSETRSGDCKLLAAKAACAAHLFGPEFPYVKAVGSYIAKQEALDEISVLVRNKQNSVRLLETLEKLTS >Sspon.05G0014800-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:5A:56595070:56595506:1 gene:Sspon.05G0014800-1A transcript:Sspon.05G0014800-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LHCPNGNSPSRASTFRTDLTRPSIEVKRRRPTRPYPFDAHPVPVTSRARSLPLARFPSPLLASSALLLSGADAKLASSSLPPRLFALPPSLRSATSMPPERRAAAVASDEVGGSISRSKLSSSSPPPMDLSFLLLLLLLLWIWI >Sspon.04G0015650-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:58346266:58352596:-1 gene:Sspon.04G0015650-1A transcript:Sspon.04G0015650-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVTVMTVDEQILTLDVDPDESVENLKALLEVETRVPLQQQLLHFNGKEILNAEKLSAIGVRDGDLVMMLPTSERSSQDNLRINPADGTAVNPQAFQQHIRDDTNELQNILRSRHQQKTELKRKQEEELALLYADPFDVEAQKKIEAAIRQKGIDENWEAALEHNPESFAEWCVLLSGSSSYFVNSPERVMLYVDMEVNGVPLKAFVDSGAQSTIISKSCAERCGLLRLLDQRFRGVAVGVGQSEILGRIHVAPIKGGDFEAKVTKLVELGFDRASVIQALKLFNGNEEQAAAFLFGGQVPSLSFNKSN >Sspon.01G0047080-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:93349500:93351454:-1 gene:Sspon.01G0047080-1P transcript:Sspon.01G0047080-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLASPTPFGAAAIRRRVAPLPLLPGGGRAALHVALARRGGVSSRTQRRLEERGGKKRRGGVAAPDVDEDATEAGAAEWEGEPLGFEVSTEPMPQLPDPETPDFWEGPQWEALGFFVQYMWAFGVVFGLIACGVAVATYNDGATDFRDTPAYKESQSQEFPEESESSSADVFEGNPTEVAPALE >Sspon.04G0012200-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4A:39394053:39394170:1 gene:Sspon.04G0012200-1A transcript:Sspon.04G0012200-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSKTGSSRRDNNSPRRFTKDCDSLQRIDAASGLLATE >Sspon.02G0035360-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:19488397:19489818:1 gene:Sspon.02G0035360-2C transcript:Sspon.02G0035360-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGDLAAPAEFGPEQMTLGTELLRVLTTGDVSRLKELLSGECLLTQRADGHVAIKVNGTSSPGAVSPGAGTGTGTGSLLGVTSNGNTALHLVASRGHAELAAFVCESAPSLVATRNKGLDTPLHCAAKAGSRGVAACLLSRMRTAAGGADEAAAAALRARNRLGATALHEAVRLGRAAVVDLLMAEAPELATVATDDGVSPLYLAAETRSEQMVGLLLRPAPDGTPSPASFAGRHGRTALHAAATFSKYFHSFFLFQTISSPNIVEIPISDPSIDMAQEILNWEPVGPTLLAIADSSGRTPLHFAILHGHLDVVELFIHARTSIDQARISDSHGSFPVHAAAMAGSTRILDELVKKCPDYYELVDNQGRNFLHCAVEHNQDRVVQHICQNDAFAMLLNATDYEGNTPLHLAVKYGFPRIVSTLLRNMTVEIGIANRMA >Sspon.01G0022590-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:74049176:74060671:-1 gene:Sspon.01G0022590-2C transcript:Sspon.01G0022590-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:XEG113 [Source:Projected from Arabidopsis thaliana (AT2G35610) UniProtKB/TrEMBL;Acc:A0A178W347] MAAWCSAESTKPVFVGIYGAVLGGFAVSALFFLLSSFSSLSAPTLPLPAAAIAGANLSAPPTLVQPETMYNRPIWKPPPQRARMPSPRAFRLTRDMVAARARDGVIVVTFGNYAFLDFILTWVRHLTDLGVDSLLVGAMDTKLLRELYFRGVPVFDMGSRMATEDAGWGSPTFHKMGREKVLLINALLPFGYELLMCDTDMVWLKNPLPYLARYPDADLLTSSDQVIPTVTDDSLENWREVTGAFNIGIFHWRPTEPAKRLAKDWKDLVTSDDKLWDQNAFNDLVRKKFGQPVQGGDLVYSYDGKLKLGVLPASIFCSGHTYFVQGMYKQLHLEPYAVHTTFQYAGTEGKRHRLREAMLFFDQPSYYDSPGGFLSFKPNIPKSLLLDGAHTVESHFELVNYQLKQIRTALAVASLLKRTLVMPPLWCRLDRMWFGHPGILEGTMTRQPFLCPMDHVFEVHVMLKDLPKEEFGPHIDFREYSFLENPSLPKEVKNSLLDVQLCDEHSSRCSAVDETDKHRPFLLPRNSTEEKLLDLLSPYKDVKIIQFSSMVDAFGGFADAAVEKKFRNRVKRSVGLWCCVEFREIGHIYYDMYWDEKPGWKPHPPETREQDHPP >Sspon.06G0012700-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:54774749:54778579:1 gene:Sspon.06G0012700-3C transcript:Sspon.06G0012700-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRSPLLPLLLLCTAAAAAAASFSSSRNAELDALMELKAALDPAGRALASWARGGDPCGRGDYFEGVACDARGRVATISLQGKGLAGTVPPAVAMLPALTGLYLHYNDLGGEIPRELGGLPDLAELYLGVNNLSGTIPVELGRLGSLQVLQLGYNQLSGSIPTQLGQLNKLTVLALQSNQLTGAIPASLGDLPALTRLDLSSNQLFGSIPAKLAEIPHLETLDLRNNTLSGSVPSGLKKLNEGFLYENNSELCGAQFGSLKACPNDGNDDGKMPRKPESTSVKPQQIQKTIDLNRNCDNGGCSKPSTLSTGAVIAGTVIIVAGAAACGLSVFSWHRRQKQKVGSSVEHLEGQPSDQSKETCQRSASSLINVEYSSGWDTSSEGSQHGVRLSSEGSPSVRFNLEEVECATQYFSDVNLLGKSNFAATYKGIMRDGSAVAVKSINKSSCKSEEADFLKGLRMLTSLRHENLVGLRGFCRSRARGECFLVYEFMANGSLSRYLDAKEGDADAAVLDWPTRVSIIKGIAKGIEYLHSNKPNKPSLVHQSISADKVLIDHLYTPRLSGAGLHKLLADDVVFSTLKDSAAMGYLAPEYTTTGRFTDKSDVYAFGVVVLQVLSGRRAVSPHLRQGCGGTAAAESSSGGGRLDDLVDPRLCGRFSRPEAAKLAGVALLCTADAPAQRPAMAAVLQQLGTSQ >Sspon.01G0000980-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:1868685:1872419:1 gene:Sspon.01G0000980-2B transcript:Sspon.01G0000980-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPMETEEEDSGGWDFLDWLGPDTSTTVFHLLDDPADLARVGAVSRSWRRFLIENEFSKRLCRRICPEAASFTRAVVVTRSPPPPAASESSQDAECRAREGEHAVYSYLLGALVSAKPTMDLIMRCVGASSTDFFPDESMENTLVPHEWANHRHSYWSSGGKDDPDAPESLTYRLSSDLCLIDEIRVRPYKAAFQHGHPIYSSKAVRIRLGHSNLDPGTETFVSAENENLTAIADENYTWTYTSPEFPMLQAILNRNLNLPLPRPALCIGGIVKIELLGRLQKQSTDDSVCHVQVMGRSLSPDLMIDISDPADYSNLKYLPGASNLRPEDLLSSDAEEDSSDWRLLVSRYRQMRELAMVYMLLGPVQFVDEQDEAEADLLHML >Sspon.07G0003120-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:7647678:7650083:-1 gene:Sspon.07G0003120-1A transcript:Sspon.07G0003120-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to Lysine decarboxylase-like protein [Source: Projected from Oryza sativa (Os05g0541200)] MGDGAAAVSSRFRTICVFCGSNAGRRKVYADAALELGHELVRRGINLVYGGGSIGLMGVIARTVRDGGCHVLGVIPKALMPIEISGESVGEVKVVDDMHQRKAEMARQSEAFIALPGGYGTMEELLEMITWCQLGIHDKPVGLLNVDGYYNPLLALFEKGAAEGFINPDCSQIFVSAPTASELLTKMEQYTRLHLEVAPATSWEVSELGYGRGGDAPSEEEEGS >Sspon.03G0034920-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3B:77412019:77414137:-1 gene:Sspon.03G0034920-1B transcript:Sspon.03G0034920-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MITSALGGRTEQAMFPSDTGIPGGLRDDDGRRRSFVVVQSGTPAREGRTRSRPVVSCGFTAARRCALHAQKRNTQTDRGHIRETRAHVATARTNVGHRDERGVFSFSRHGNGRSGAAHPGWALGKRGSWLTLPGGRGAGPDRGQTPHRPARARGRGRSPAWLARGTVRHREAHPIADATRFIIRAN >Sspon.01G0000520-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:1205958:1213093:-1 gene:Sspon.01G0000520-3C transcript:Sspon.01G0000520-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to cDNA clone:J033091P14, full insert sequence [Source: Projected from Oryza sativa (Os03g0109400)] MAAAVAMRGGSSDSGGFDKVPGMDSGKYVRYTPEQVEVLERLYIDCPKPTSSRRQQLLRECPILSNIEPKQIKVWFQNRRLLAIAEETFTEFLSKATGTAIDWVQMPGMKPGPDSVGIVAISHGCRGVAARACGLVNLEPTKVIEILKDRPSWFRDCRSLEVFTMFPAGNGGTVELIYMQMYAPTTLVPARDFWTLRYTTTMEDGSLVAWSVPEVLRPLYESSRVVAQKMTTVALRHLRQIAQETSGEVVYALGRQPAVLRTFSQRLSRGFNDAISGFNDDGWSVMGGDGIEDVVVACNSTKKIRNNSNAGITFGAPGGIICAKASMLLQSVPPAVLVRFLREHRSEWADYNIDAYLASSLKTSACSLPGLRPMRFSGGQMIMPLAHTVENEEILEVVRLEGQPLTHDEALLSRDIHLLQLCTGIDEKSVGSSFQLVFAPIDEHFPDDAPLISSGFRVIPLDMKTDGVSSGRTLDLASSLDVGSAAPQASGDASPDDCNLRSVLTIAFQFPYEMHLQDSVATMARQYVRSVVSAVQRVSMAISPSQSGLNAQRTLSGFPEAATLARWEKPMFTFANKAGLDMLETSLIALQDLTLDKIFDESGRKAIFSDISKLMEQGYAYLPSGVCMSGMGRHVSFDQAVAWKVLGEDSNVHCLAFCFVNWSFV >Sspon.05G0035520-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:74969513:74971553:-1 gene:Sspon.05G0035520-1C transcript:Sspon.05G0035520-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPVDENNHEVAVIAAHGDSMVLRMMPRRRHTVVDGRVVPPTLDHFVYRTGTATRPPSLALLPGLRFPRKYRSPCFLLDEDTGVLRRGEDDLLGANLDKNFGRHQY >Sspon.02G0042100-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2B:81242795:81245673:-1 gene:Sspon.02G0042100-1B transcript:Sspon.02G0042100-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGSCRHPLLLVLLPLLLLLAMVPESTQLQSSQTWSLLKIQQLLNYPPVLRTWGNSTDFCYGGDYKTASAFVECYGDSVTQLHIMGPGPGGAPLPKTFSVDAFFTTLTRLPDLRVLTLTGLGLWGPLPGKMSRLASLEIVNVSGNYLYGQLPEGLSRLSSLQTFIADDNMLSGELPSWLGKLPSLAVLSLRNNSLQGALPESVRDMGSLRSLTLACNNLSGAVPDLSALTNLQVVDLANNSLGPAFPRLGRKVASVVLSGNRFGNGLPAEEVASFYLLERLDVSRNRFVGPFPPALLALPSIEYLSVAGNRLTGLLAANMSCGENLRFVDVSSNLLTGTLPSCLTTQAAAAAASGAGKNNDDESSSKVTLLASSNCLSGASAAGTQHPALFCQNQALAVGIVPVQARGGGKGKAKAGVVGGAIAAAVAAAALAGVAAFLATRKAAVRRAKARPPRRLVEHASSSVSMSISGAYPSQLFADARYISQTVKLQGALGIPAYRSFSLVELEAATNNFEVSRLMGQDAHGQMYRGTLSNGTAVTIRSLRVARRGSQAAAFNRHVEMISRLRHRHLVSALGHCFEYNLDDATVTQLYLVFEYVHSGNLRSRISQGTEGRKLPWVQRISAAIGVAKGIQFLHGGIMPGLFANNLKITNILLDQNLVAKIGSYNIPILAETAKSEGGGSKYPSDRVPNGDKIDIYDFGVILLEVVTGRPITSIHEVEIMKEQLQSALTSENPGRWRVLVDQAASRTCSDESARTVMEICLRCLAKEPAQRPSVEDVLWNLQFAAQVQDDWRGTDSRSTTSEESPLSPSQIPRDPIITRTDA >Sspon.02G0031320-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2C:106121556:106122980:-1 gene:Sspon.02G0031320-2C transcript:Sspon.02G0031320-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MERQHKKRKVVASGEEPRCVVHDVPADLLRLILLRLDSPLWLFRAACVCKRWRHIVDGADRGRAFLHLASSLHPPAVVGHYQNNVGGSRRFVPSSSLPAPINGSRFSLDFIPHNFVTGTTPIDNWEVADCHGGLVLLREGSGFLSDLVICDPLTARCRRIHHPPGQGIHRRRSPGVAAGYYLANVFLIDGDDGNTSISNFRVLYRSDNDDEYIGSQLYVFSMADGGGFGNWRFLRRSQLDDRYRDGFGRVAGRVDGSLYLGLHTGVMTVLDKDKLEFSKVDLPPIHRNVRSTFAVVHGAAAGTDPTSPSPPVVCIVHVHGQTLELFRQVRGSGEWVLQHRIPELSRATRGLPGYPDHKRFWWQMVEVIAGGTGFAILSAWVSDRGTSMTWLFSFNVDTMELQAVTNEAAYCAKKTVTSTYTLPWPRFLRSGRSLLKPKSISLIKANPSIFQYLHASYPRVTYSTKCHAITSNKC >Sspon.01G0007560-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:100251251:100254565:1 gene:Sspon.01G0007560-2B transcript:Sspon.01G0007560-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLFLMHLDGNVYSCKHCKTHLGVASDIISKAFHCKHGKAYLFHKEAAHEKSQRYKEGKFILERYKVSGPDGSQYWIAPDVNLGGSDADDV >Sspon.06G0005120-3D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6D:15609351:15611454:1 gene:Sspon.06G0005120-3D transcript:Sspon.06G0005120-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTRDISKGEYFPRFKDTPIPQNQGMRSSLRGTVLLHLFSYPRPRVRRRAAMAARVAHQRSEELQLRESSTAGEHAPTTVVNWRASSGLGLPGQEHIPKHPWPRLGGNFRFSQARLARAPMPAQHKHPPAQQGLFPQDGQRHPPLHLEQEHTQPQEHSGHDGAAGAGALRHEPRQHAPVPVPRQDDPASQHDDWHLQQEGRIPLQHDDRQPRHRFRAPRQPAEHPAQEKQLQHGGQEQEHGQSAQLQPLGQQLLQWHWGRQWPQPAGGLQQLLHEAVFGQLQVGGVQHCVSRQVQQEQLKQHRHEKHVRNFDLHKISVFMNF >Sspon.03G0019750-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:47382344:47386263:1 gene:Sspon.03G0019750-4D transcript:Sspon.03G0019750-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAHGGDYLQRFVAETEWYNEVVLSAVARGDWWRGLPHPVQSWMRNCVGGYLLYFISGFLWCFFIYYWKRHAYIPKDAVPTNEAMKKQIVVASKAMPFYCALPTLSEYMIESGWTRCYFNISEVGFPMYLCYMAMYLTFVEFGIYWMHRELHDIKPLYKYLHATHHIYNKENTLSPFAGLAFHPLDGILQAIPHVFALFLFPTHFRTHIALLFLEAVWTTNIHDCIHGKIWPVMGAGYHTIHHTTYRHNYGHYTIWMDWMFSTLREPEDILKKD >Sspon.04G0016870-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:61654882:61656162:1 gene:Sspon.04G0016870-1A transcript:Sspon.04G0016870-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSAGDGDIGEAAEATPARNRRTIYDYLGEGEDGEQASPPSPELRLPRFTCARIRFGRKRGGRGAQKEAAAAEKSEDASVDSSGARARGHSLVLTSTSSSSSSCVVNLSSIPDCSRPSYSYVAGWKQAAAGSSSGGGSVAAGQTGMGLSMLFLLARTCVELNRMAEVRAQMETLLKEIRDEASRVKGGAADDHVVLVTPKACSNLQPSSTTTTTAAASSSSCVSDTSTTNCLEIRRGEDDGERASAEAEVETEPAARRHQPPECRRDTEQDTPDQSSSDDDGEFIELEGGRFGTGGGNPQRGAVVDESDDDGEQRHEGGVSATELERRLHELQHRRDRERIEVLESALRRAQRKLTEKEMEARLWQDTATLALGQPQPVPRDGQGQ >Sspon.03G0029940-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:18957753:18958364:1 gene:Sspon.03G0029940-1B transcript:Sspon.03G0029940-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVHARSMSWYMSPPGSPAPGSATEAQHALSSSPGAGSDASFDTNMVIILAALLFALLFALGLNSLARCLIRWARRAPAAAAGEAGGAGGLKKRALRSIPGSEAAAAAAIAVVIG >Sspon.01G0050860-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:9211416:9215047:-1 gene:Sspon.01G0050860-1P transcript:Sspon.01G0050860-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding TMVKAVVVAVLLMQCCDVILSARPLLNAAAGADGGWQLGQVLDKGGNGSPSDPGNGNCDYTKPGNPGCPRPLLHVTAGPDTRMTMKVLDKSNGLRRPGGGNCNWKKPGHPGCPPQQPSS >Sspon.08G0011620-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:50472070:50483680:-1 gene:Sspon.08G0011620-1A transcript:Sspon.08G0011620-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MIAPRSTPKILLLEAIPLLTTYKMGQFELSHRVVLAPLTRCRSYGNPHNVVYYSQRATKGGLLITEATGVSVTAQSYPETPEQIEAWKPIVDATHRKGALLFYQMWHVGRVSTNGKHGSISDYCIFSLTDKRLSRAQTSRSPWTPSPAWCTPSCGGCGRTRSPGSLMTSRAAPNAIEAGFDGVEIHGANGYLVEQFTKDSANSRGDEYGGSLENRCRFAVEVIDAVVREVGAHRVGIRLSPFLDYMDCVDSDPAVLADYMVRQLNKHEGFLYCHMVEPRMAVVDDNPDLPKRFELGAPLNKYDRSTFYTQDTI >Sspon.02G0011120-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:28519692:28521773:-1 gene:Sspon.02G0011120-2B transcript:Sspon.02G0011120-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDYVASLLMGSSAPALDFAALDTGFLDTLCGGAGLFGVPGIAAGAGCGGGGGGSPEGSSVSDPAWARARDDGNVRKRKAPPTGSAGGKEACLGKAAEVKGPDGKRCRVSASDSPVKPKVEEAAASDASVEVKGQKKGKGKSPKPAVEPPKDYVHVRARRGQATDSHSLAERVRREKISQRMKFLQDLVPGCNKVVGKALMLDEIINYVQSLQQQVEFLSMKLATVNPQLDFSNLSTLLHKDMYQPCGPSANSVFPLESAGAAFPFCDQADLFQNFGSGGMEDQCSLSLLDTALPHTANPQFAFQKQQRDFWEDGLQNALPTGSEQRQEDGLLVPNFDGACQLQADQAKIEF >Sspon.01G0039520-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:35173987:35177019:1 gene:Sspon.01G0039520-1B transcript:Sspon.01G0039520-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MYHRDDRGASNRQAKPDANNGDDEGAHVAALALAEVYQRGGSPQVSHTPRRSGDHMFLSPAKSSDKKNADSEMGSSKLHGFQLDADYPEGSLGSREAETGDYTKGASYLIANKGSPSSKPQKKVKRPQKRRKKAVRKTGDQFEDDREACSDERSALDALHTLADLSVNILQPSPVVESESSAQIKDENKDNDSDGKPGIPAAAVSVYEQKDNSKSTSKKLKRQSEMASTDMVTRKKGKLAKDTNHDGSTTSEVKQQACTCGVKTEKKKKSSMGKVLC >Sspon.04G0024570-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4B:20368847:20369161:1 gene:Sspon.04G0024570-1B transcript:Sspon.04G0024570-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAPSLLKEDGRHHQILPPEAAAIGDEGDGPCIGSARGSGGVTAVAAMGPPELKPAWGRRTMGLSIRRSWRRWAGGALLRTRGRWRKGRGGHEGGGLREDGSD >Sspon.02G0045190-2D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2D:91043685:91044011:1 gene:Sspon.02G0045190-2D transcript:Sspon.02G0045190-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRLRELFLLSTSPCSQKQCQPASPPLQSNPSSAAAAAAAAAAAASSPFPRSSSQIRLKNPLPEPRTVAANARHRPPHRPESSAAHPRQATTPQSSNLSPKNRRKAPK >Sspon.02G0006170-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:12367471:12370288:-1 gene:Sspon.02G0006170-1T transcript:Sspon.02G0006170-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTLPMAPHLMAVAVAATIAAVAVLAPGAAGYPWPICGTSNDFKPNSTYQANLNLLAATLPKNVSASPTLYATSVVGAVPEQVWAMGLCRGDTNASSCLACLTQAFQDLPNDCSYNKDATIYYDPCVLHYSDVHTLPDDDTGPTTLSYTINNNANVTSDPARFERLLAALVNATAEHAAYNSTRRFATGEADFDQEFPKVYTLAQCTPDQTPAQCRKCLAGLISQSLDGFQNNIGGRVLWVNCTWRYEIAPFFNGPAMVRLASPSPPAPAPATATAGTTAATGGGELKRAFNNYHGHMDPVHIDWEKKYSVFIVVLAVVLPTLAALNLVFCFCFWRRRRPIAQAKQPQPMYSTKAEDTETVDSMMIDISTLRAATGNFDETNKLGEGGFGAVYKGVLPDGDEIAVKRLSKSSTQGVEELKNELALVAKLKHRNLVRLIGVCLEQQERLLVYEFVPNRSLDLILFGTDKREQQLSWEQRYKI >Sspon.04G0030280-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4B:76551218:76551703:1 gene:Sspon.04G0030280-1B transcript:Sspon.04G0030280-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFMTMPCLLIRRDGDWWVLALAFVANLHRPAAMQGQVAGDDAGSGSSKRRESSAAACLVRGPIIVGAGPSVLAVAATLSQHAVPFTMLERSDGIADLWTNRTYDRLRLHLDGVESSAARESGRRAASVLCGRWSACIQRAALITHGAGAEAEGYHRPILR >Sspon.08G0000990-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:3864889:3873135:-1 gene:Sspon.08G0000990-1A transcript:Sspon.08G0000990-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAFGRSISFPLSPARSSSSSSSSTTKKQARHVRSISLPTCRAHPLLAHLHATTRAVRAWAATAGAAADPCTTSTPSAGLAHLDALHAALAELLVLPEPRAALATATATAFSDRLLDGLLALADAHGAFREALVDLRRHAAEAQAALRRRDAARLASAVRAQRHAEKDLARLASSARAAARLPLLPVAPAATTVAEVEVSGVLAEALAAAASASAAVFSALEAVSSAATAAAASASSKKPAATATLMSLVTRSSKAAVASDEDRELAALEKMEQLDECIAEMEAGSDKVFRGILHARLSPARATRPRAAAARHVRSISLPCRSHSHPLLAHLQAQTAAARAWAANPTAPASGLALIDALHAALAELLLLPEPQALVRRACTASSDRLLDAFLLLADAHRGFQETLLALRRDAADAQLALRRRDAARLASASRAQRRGDKELARLAAAVSFSVASSARLAALGSATATAEEAEMAAALMDAAAASAAASAAVFSAVASVSAATSSSKKTATTFAAAFAKKPETTADVLPEKLEELERCIDECESGSEAVFRSIVRTRVSLLNIRTPAI >Sspon.03G0019910-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:84586277:84587657:1 gene:Sspon.03G0019910-2B transcript:Sspon.03G0019910-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SPTRHPPSPSPEPPTRRVVVGSPRSLSAWRPDRLWPPGSLWSLLAKSLLVGLRSWLLPAVRQLAVLGRGLGRVGGRQPTDLGGASVLAAVWVRREPVRPPAARLELDSSHLYPSWLPACDRWY >Sspon.03G0000710-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:2143262:2145527:1 gene:Sspon.03G0000710-1A transcript:Sspon.03G0000710-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding IDFYNHIGKEVKPGATVSCKVDDGLVIHLSQAALGEPKKASENVIVSVKIDDKKMVLGTLSVEKHPQISCDLIFDKDFEISHNSKTASVFFCGYKSPVPLFEYPFFKIKIDLYSSDEELETDQIPTNEISAAKVPVKGGMKVESSSSDDDDDFTDSESDSEMSDEDDSSDEDEVSSGADPSDDSGSEEQTPTPKKTDVVVGKKRAIEVEAPSGKKAKSEQSAQKTGDKVSTHSAKQSSKTPADKSTKTPTADKKSPKSGSHACKSCNKSFGSASALESHQKAKKHEA >Sspon.06G0020170-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6B:8219528:8224602:-1 gene:Sspon.06G0020170-1B transcript:Sspon.06G0020170-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATVVSVGKSVLSGALGYAKSALAEDVALQLGVQRDHAFIRDELEMMLAFLMAAHDERDEHKVVKTWVKQVRDVAYDVEDSLEDFAVCLGKTSWWQVFRTLLDRRRVAKQMKELRAKVEDVSQRNVRYRLIKGSDNKPATGTDQSNMTGETMSGTEEARREKDKAKGDLLRLINKMDENLRVIAVWGPSGVMLETSIIKFAYDDLKRSKKFECHALVQIMHPFNLTQFLKNIISQLYVDSLEETVMTSQQDSTPGAQDLRRMGMMTEGNLVGVFKKYLQRMGMMTEGDLVSAFKKHLNNKRYLVVLTDLSNIQEWDQIKAVFPSNKKGSRLIVCAEQVQVASLCVEPETALPEHKKLSSNQVLYAFYEKGSQGGTDSTREGSSASTMDIIDSKSFLEGKRLTRMETMLAAFKESQLIGRENEKKDIINMISNYCHSQDFEVISIYGMGGLGKTTLVKHVYQSQELNTMFEKRACVTIKRPFNPSELITSLARQLLDKQDATQMGEKQEADGDKLASLLHGKRYLIILDDMSSTTEWDAVVFGTVTHMDEQYPELADETTLILKKCKGLPLAIVTMGGFLAKQPKTLMEWRKFNEHISARLEMNMELQRIPNVLIKSYEGLPYHLKSCFLYLSIFPEDYNISRRRLVQRWIAEGYTSEARGKSLEEIADSYFMELIDRSVILPVRKTFHSRKGIDSCQLHDLMREISISKSMDENLVFRLEADSWGNLRQLQTLDMKGTCISKLPKAITELTKLQYLFVGDFSPVCVNPDERLPDNLAKLCGACCAPKLLKGVERLNGDPNWHDVCTFWCHVVFPTLASRRLNPFGVVVPRGVRKLKALRTLGVVNIRGSGKAVLRDIRKLTQLSKLAVTGINEKNCRELWSALAELSHLESLSMKSTDESGMHGCLDGVSSPLKNMQSLKLEGTLLKIPEWIGGLQSLVKLVLLRTMLKEGDATMQVLGKLPNLAILRLTWRSFYVDGWLRLTFHREAFPSLVVLDLEEIRNLQSVEFEEAGATPKLELLRLEFGIHNTRRSISGLSSLPSLKEVVIIGYGCSGELMGSMRDQEYHLLLVGSLFAALRYGAKWNWMKEERRALDALHYGVKMSAHPK >Sspon.04G0003160-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:6346526:6349531:1 gene:Sspon.04G0003160-2B transcript:Sspon.04G0003160-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding TMSLSLLQGYSSAEDDDDPAAGTELSESGDSSAEESGSEGDEGSAPPKPALKPRRRPNPKVGDASGGDGDSSLPSALEAFADVSGPPEFLRHRVAEPEEGTEALGVLDRRGKEGSKHPPPGAVVVAKAQLVAIRERVTTTGAIPPGSVTSGSVDGKRIIGAANPGPEDAADLLRMCLQCGVPKTYSHGKGMVCPQCGDRPVQTKEPEKKKGSTVKDKEKIKRMRGQSSHASWKSETEMALRQQFD >Sspon.05G0031550-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:6416051:6418821:-1 gene:Sspon.05G0031550-1P transcript:Sspon.05G0031550-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVLLLLHVLLVAVATRAPAADAWGKEGHYMVCKIAESFLTEEASTAVKDLLPGWAGGDLAETCSWADTQRFRYRWSSPLHFADTPGDCEFDYARDCHNTKGEKDMCVVGAINNYTAALKDSSSPFDPTESLMFLAHFVGDVHQPLHCGHTDDLGGNTIKVHWYRRQSNLHKVWDVNVIETAMKDFYGNDQSTMIQAIQQNITEEWANEEKQWETCRSRTKTCAEKYAAESAKLACTAYEGVEQDSTLEDDYFFAALPVVHKRIAQGGVRLAAILNKIFGGKSRLQIQSS >Sspon.04G0029990-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:75501346:75502647:1 gene:Sspon.04G0029990-1B transcript:Sspon.04G0029990-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding KHHGRRRAAAALRDGLLRHAQLPPQRHRRRVLRPGAGRRTPRARRPPVPRRRPPQRALRRARHPGRPAPLPPVPLQAPRRRRLLRRRLPRRARADPRRRRNRQAPRRPPRRRPPRPRRQDARRPQRGVPHARLAPGRAPRRARRRVLPPPRAVAPHRAPRPRAQGHRQALPRRRRLRQRQGLRRLRRLRGLALLAHHARALLQRLQELVRGATHERDGCAPRAAAAVVARRGRRGGGVRTRPGALHHELRPRLRHVGAGGCGAVPGPGVRGQQPPRRAAQAGAVGGAHVRAPGADRGRVEAQGKEGVLLRLRAHGGAPRGDAGRGARRARAQQRARGDRRGRRGGGGRGAAAAGDRGRGARAGRDGARRGAGGGVPGARGRARAAGAAGARRVPPRRRLPRGGRPLYGPQRARRHRQCRRRRVCLRRAAAAPAL >Sspon.03G0018170-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:77118672:77121051:-1 gene:Sspon.03G0018170-3C transcript:Sspon.03G0018170-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGDGHPYAPADLHLPGFVPLQLSQGQILAPYLGTSVFVVLAVWLVSGRCRGLSKTDRLLMCWWAFTGLTHIVIEGTFVFNPGFFRKENPNYFDEEYSKGDSRYVARDPATVTVEGITAVLEGPASLLAVYAIASHKSYSHILQFTVCLGQLYGCLVYFITAYLDGFNFWISPFYFWAYFILANSFWVWIPTLIAMRSWKTICAAFRTEKAKKTK >Sspon.01G0063480-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:115872607:115875051:1 gene:Sspon.01G0063480-1D transcript:Sspon.01G0063480-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ASEAADPDSRSVEEGAVAADVPLRRVGLDADAAEPGDGVDERLLEVGGVIRPRHHGGLRPGVGVEHLVGGEEPAAVHQVDEACGVTGSSCSAMLGSTPDGHAACRSSAYVAFIVGSTDCGWIRSENVLQCPNPTVLLTANMLVSWSRLNDGGGSLPSTLVDMDTSPSSLPSSTAYDGPPACANPGSLLPEPVAVVLEGNPPKFGSFRLGPKGAILCGIDLRRVLGGLERTRAMTSRVARARMSAHDTWLVHAGTASTAALAWMTVSKPSPARERLLGWSFSAVLFPVDTRITDASQPCASSFISSQSQSESHSHSHGKYLSAHAMGPSARRPTGSPA >Sspon.03G0019150-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:81847889:81851351:-1 gene:Sspon.03G0019150-2B transcript:Sspon.03G0019150-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATFAKPENALKRAEELIHVGQKQAALQALHDLITSRRYRSWQKPLEKIMMKYVELCVDLRKGRYAKDGLIQYRIVCQQVNVSSLEDVIKHFMQLSNERAEQARSQAEALEDALDVEDLEADKRPEDLMLSFVSGEKGKDRSDKEVVTPWFKFLWETYRTVLEILRNNSKLEALYAMTAHRAFQFCKQYKRTTEFRRLCEIIRNHLANLNKYRDQRDRPDLTAPESLQLYLDTRVEQLKVATEVSLWQEAFRSVEDIHGLMSMVKKMPKPSVLVVYYAKLTEIFWISDSHLYHAYAWLKLFNLQKSYNKNLSQKDLQLIASSVLLAALSVAPYDQKYGASHFETENEKERNMRMANLVNFSLDSKRENREMPSRASLLSELVSKGVLSCASQEVRDLYNLLEHEFLPLDLASKVQPLLSKISKIGGKLSSASSVPEVKLSQYISALEKLTTLRVLQQASFIFQSMKIDMLSRMIPFFDFSVVEKISVDAVKHNFVAIKVNHLSGAVHFGTVVCGPDSVHYLFTVASW >Sspon.02G0039360-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:51447789:51453423:-1 gene:Sspon.02G0039360-1B transcript:Sspon.02G0039360-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARVGSSSSVPGVKLTEEIENVFEQLISKIEPADFDPRPFLKQLNVLGRYEPIKRNVYCTKRRIEDYGISCRCKPSPGSSVVCGRDCHCGMLFSCCSSQCECDNACANKSFQHRPLKKTKLIKKISISCVKWDALCAKRTVDGETRVGIFALRDIKIGEELTYDYKFVQFGAAQVCHCGSSNCRKILGTAKYSGSSQNHHAKKKKRKTNCEIAYSSFFVCG >Sspon.07G0038340-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:77740754:77748078:1 gene:Sspon.07G0038340-1D transcript:Sspon.07G0038340-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSITRICSSIHRSNSVKAAGCPVCRAPCLAVFELQIADLESNTLNSNCDAYASARLKDKALRDNSSDPTKSRPPLRPCSRSLSTPAPATSAPRALDSLGVTSSRNTVILHTVVNQVITACLVGSYYQCQFRTAVKAP >Sspon.02G0029230-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:98931146:98933335:-1 gene:Sspon.02G0029230-3D transcript:Sspon.02G0029230-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSDDPSPSPGADDLFLYLSDLGPTSPFAYLDPSSPSDYLDLPPTPQPQPQQGNIGPTQDMLLPYISSMLMEDDIDGTFFYDYPDNPALLQAQQSLLDVLSDDASSPTTTTTTATHSSTSASLAPGFNAAAAPTVPVAVAVAVKEEEEVVVAAPGNAAAAVLGRGRRNRFDDDDEEDLELQRRSSKQSALQGDGDERDVFEKYIITDPDKCTEMMQNLRIAMQEAAAKQEAVAAAAGNGKAKGRRGGRDVVDLRTLLVHCAQAVASDDRRSATELLRQIKQHASPQGDATQRLAHCFAEGLQARLAGTGSMVYQSLMAKRTSAVDILQAYQLYMAAICFKKVAFVFSNNTIYNAALGKKKIHIVEYGINYGFQWPCFLRWIADREGGPPEVRITGIDLPQPGFRPTQRIEETGRRLSKYAQQFGVPFEYRAIAASKMESIRAEDLNLDPEEVLIVNCLYQFKNLMDESVVIESPRDIVLNNIRKMRPHTFIHGIVNGSFSAPFFVTRFREALFFYSALFDALDATTPRDSNQRMLIEENLFGRAALNVIACEGTDRVERPETYKQWQVRNQRAGLKQQPLNPDVVQIVRSKVKDGYHKDFVIDIDHHWLLQGWKGRILYAISTWVANDAPSYF >Sspon.05G0015260-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:56245144:56248119:1 gene:Sspon.05G0015260-2B transcript:Sspon.05G0015260-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLARWVYIYICTCTHSCVQKSFTVPIQTRPIQRSRDGRTAQGAVDSLLGRLSSVLLEEAQLLRGVRGDVEFIKDEMESMNGFLLDVAAADRPNHQVRAWAKQVKELAYDSQNCIDRYVQCVSDVPGAEASSGAGVLATLRRAPRLLSTMPARHRTAVRIRELKARARDLGERRRRYDVTVPHVAAAVPVAATATEDEQDDARRRALANATEFLDEDVREVISWLTKDLPRGHPQCWLRVIAIVRRQYQEDEYPLTRKVYEHPSLSSCFHLKAWINGIEKYMKRKETLQCILDQLPALDDDHDHADKLAAGDGDMDEEEHQLVKKLKDRLKGKRFLIVAANDPYGKVRAEIESVAASHGDPFSAGSAIIVTTWREPLSVPYKVKNYLNIDTLFHEKAVALVGDHCDSDLQEIIRKILTKRGGNFFSMEMFLRALYVNPKRPKEQLQILLDSLTFGSIIATHMILFSYNDLPSHYKSCLLYLSILFERMYLTSSSPQDFRVKRTSIVRRWAAENLITKRDGLVATVEAERCFDALVIHGLVHPVDIGAAGKVKTCTVHHRILSFITKMARDEGLVDTDLPPDLASRLSIRNGIRLQQLQHHKMKNVKGAEQSTGCCWRNIHERPIPIEDPEDSKYTWKWITRKHFLRLVEVLDLEGFKGLKKHHLKDICNKVYQLKYLSIRNTDITELPKDIEKLRYLETLDIRQTKIRTLSSKAVVLPKLMHLLAGNVEHQRKDAASGSGGRSFSTVQMPHGIGSMTDLQILCHAEVFNSADELMEVGRLQQLRKLGVVLRGKEARLGHFLRVIERLNECLCSLSLRIELTSSSETPDLNMEKTAFSPPKLLESLTIDGAQGASSTFQDNSMWYFLDGQCHPESCLQCLRLWHKSYIEMRLTFKDDEFQNLKYLVVEGSDITTIHFENGATPKLENIVWDFTQLVSLSGVENLPGLKEIELNGDCNPYSIIQHMTAHPNHPVVIHNANP >Sspon.04G0016690-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:64868043:64879777:1 gene:Sspon.04G0016690-2B transcript:Sspon.04G0016690-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein S-acyltransferase 24 [Source:Projected from Arabidopsis thaliana (AT5G20350) UniProtKB/Swiss-Prot;Acc:Q52T38] MASSEIEVIEDAAPAATTGGAGPAVAEGAGQEGEVEALKDDVYTGAAYGDLEKLHRLVEREGRSVTVPDALGYHALQWAALNNRVAAAQYILEHGANVNAIDHTGQTALHWSAVRGHVQVAELLLKEGAKVNAADLYGYQTTHVAAQYGQTAFLCHIVTKWNADHDVPDNDGRSPLHWAAYKGFADTIRLLLFLGAYRARQDKEGCTPLHWAAIRGNLESCTVLVQAGKKEDLTVQDNTGLTPAQLAADKNHRQVAFFLGNARRVHERGCVGNGYFGKLLKIGLAPLLWCIIIVLIFVYIHSIILGDYNTKMTVFLGLFSWLGVLLATAGLVMFYRCSRKDPGYISKNIRDSQNQRDDEPLLKMDLNNPELLDGNWSQLCITCKYNRLSGLYAQNTALHATAVLSNSIITALGYRIALERNKWEFFMFLILEVSAMIITGVTAIIRSVADPASPASFGGWLGYTAINHSWVVSFVIMDLLLFFGVITLTVIQASQISRNITTNEMANAMRYSYLRGPSGRFRNPYDHGVRKNCSEFLMKGYHEDIENTVQTLQSDEEMGPIQMRSPVSQNGESIPPHVNGTDYGSTNSHAYSKSNRQSPSKCCNQSKKSERTPSGLGLGLWA >Sspon.04G0023030-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4B:9889021:9889347:-1 gene:Sspon.04G0023030-1B transcript:Sspon.04G0023030-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGGGGQREGSALKTVVIVAGGLALAWVTVETAFRPFMDRLRAAVSRSTDTARDPDQEETPAPAAAAEAEEEKAPAPAEPSAPPAPAEVEEKVAELEEKVEEAAADKA >Sspon.05G0028480-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:68048624:68049784:-1 gene:Sspon.05G0028480-1B transcript:Sspon.05G0028480-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQFWTVWQQQPTTQERALQHPDMLNRLTIPNVISEVNEIHVAHDNELRATSDQVSLTVQVIYA >Sspon.06G0002870-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:8870606:8871594:1 gene:Sspon.06G0002870-1A transcript:Sspon.06G0002870-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GRQSGTQHKQTPQNPPGHRTSAAAAAANAGAAGHPPAPRTPAGRAAALPRPRAVPPPNPRPRRDRPGHAPEPDPSATANPRRAAFVLFDAAAAPMGDRRASLARILPRRTAAHRARGRRLHRRAPARAPLPPPLPATPAPPRSPRRPGSSEL >Sspon.07G0000730-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:1054287:1059200:-1 gene:Sspon.07G0000730-4D transcript:Sspon.07G0000730-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein PLASTID TRANSCRIPTIONALLY ACTIVE 14 [Source:Projected from Arabidopsis thaliana (AT4G20130) UniProtKB/Swiss-Prot;Acc:Q84JF5] MAPPTPTATACALLYSSPLPPASLRGTPRRTVGRRLPRPLRAGRPRLHAPPPAPPTVAEAAEERDDTPPLRLIEPPQEDDPFPPEMEPADPDFYRIGYARMMRAYGIEFLEGPDGMGVYASRDVEPLRRARVIMEIPLELMLTITQKKPWMFFPDIIPLGHPIFDIIESTDPETDWDLRLACLLLYAFDIEDNFWQLYSDFLPSADECTSLLLAPKDKPIPLKLKRLARDHERFLWALSIVQSRSVNLKLRMGAFIQDANVLAPYADMLNHSPNANCFLHWRFKDRMLEVMIKAGHAIKKGDEMTIDYMSGVNSKFMERYGFSSPTNPWELINFSSPATIHMDSFLSVFNIAGLHDELYHNSALPSVETDFVDGAVVAAARALPTWSDGDVPAIPSVERKSAQALQEECRQMLDSFSTTIEQDQQILDSDVHISKTREIAIKYRLHRKMLLQKIMDALDIYQDKILF >Sspon.07G0017180-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:61802472:61807544:-1 gene:Sspon.07G0017180-1A transcript:Sspon.07G0017180-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFGWWSSKTAPGAAPGAIPNGRYIQVHLASMAIQTHKPFLGPPKHVQLAFHTPTSARLLATRSPGLNQPAAAAAMAETDANKLRFIEEVTADVDAVQQRVLAEIFGRNADAEYLATRCGLAGGATDRATFRAKVPMVTYEDLQPYILRIAHGDRSPILSGSGHPVSEFLTSSGTSSGDRKLIPIVEDDHGRHHRLHSLVGAVVNQYVPGLDKGSGLYFLFVKSETTPGGLPARTILTRIFTSDGFWKLPYNPQRGLTSPAAAVVCEDTFQSMYAQMLCGLCHRHAVLRVGAAFASGVLRAIRFFQRNWAQLAADIDAGTLTDRVTDPSLRQALAGVLRPDPELARFVRSEGSKGDAAGIIARLWPNTKYVHAVATGSMAHYVSALNHYSGGLPIVSTAYFSSECSIGINLRPMCDPSEVSYTVMPNMAYFEFLPTDAAAATASQLVELARVEAGREYELVVTTYSGLCRYRVGDVLRVTGFHNTAPQFRFVRRGDALLSVESDKTDEVELQRSVDRASAALLRPLGAAVADYTARTCAETVPGHYVIYWELQLLATAGAEGSSSADVVVDGEVLNRCCLEMEEALSSVYRQNRVADGSVGPLEIRVVRPGTFEELADHAVARGASVGQYKVPRCVTAPPDIELLDSRVVSIHFSPALPHWVLGQQFQ >Sspon.05G0001010-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:2335120:2337178:1 gene:Sspon.05G0001010-2D transcript:Sspon.05G0001010-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endoglucanase 5 [Source:Projected from Arabidopsis thaliana (AT1G48930) UniProtKB/Swiss-Prot;Acc:Q9M995] MARLMKTATKTPATLAVATSFLLLAAAAASASSAPFDYGGAFDKCLQFFEAQRSGKLPADRRVHWRGDSALKDGYLQGVDLVGGYYDSGDHVKFGFPMAYAVTMLAWGVLEFEKEMVAANNHQRALDAIRWGTNYFVKAHTEPNVLWVQVGDGDSDHLCWERAEDMSTPRTAFKIDTNHPGSEVAAETAAALAAASKAFRPYDSMYADLLLLHAKQLFTFADTFRGRYDDSLLSAKKFYPSGSGYEDELLWAAAWLHDATGDEKYLQYVSQNAEDFGGIGMSMLEFSWDNKYAGLQVLLSKAVLAGGGGEYADTLRQYQAKAEFFLCACLQKNGGHNMKLTPAGLLHVDEWNNMQYVSSATFLLTVYADYLAASHGGALRCPDGEVKPGEMVRFARSQADYILGKNPRGMSYMVGYGSYFPTHVHHRGASIPSVYAMESPVGCMDGFDRYFNSKGADPNVLHGAVVGGPDANDGFVDDRCNYQQAEPTLAGNAPICGVFARLASEPADASGKQHA >Sspon.05G0006020-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:19084834:19100478:-1 gene:Sspon.05G0006020-1A transcript:Sspon.05G0006020-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dol-P-Man:Man(7)GlcNAc(2)-PP-Dol alpha-1,6-mannosyltransferase [Source:Projected from Arabidopsis thaliana (AT1G02145) UniProtKB/Swiss-Prot;Acc:A8MR93] AMHDILYHTYHIEKYDHLEFPGVVPRTFIGAFVISILSSPAVFILRLLHVPKFYSLLTVRLTLSCVTLMSLRLLRVQVKKKFGHQAEAFFVVLTAIQFHLLFYSSRPLPNIFALALVNLAYSFWFKGSYVCTLQALIVAAVVFRCDMILLLGPIGLALLLVGALLDRRIVPYMLPVFLFVVLYSKLPHKELRFIIGSIPMFNVSASLAASRLYNNRKKAGWNLLYILMLGAFLVSLGYSIVTFMASYNNYPGGYALKALHEADSSVKEKMVHIDAFTAMSGVSRFCENEYPWRYSKEEEIPIEEFEKRNFTYLLNEHRSIGGYQCLFAVDGFSRVKLKPQIPPLSLVKEPLVFVHGNMRDPDVLSLNWPGCP >Sspon.01G0042460-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:66019643:66026257:1 gene:Sspon.01G0042460-1B transcript:Sspon.01G0042460-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLFVEVLPRDATGGAAVLQCRRCHVDAASVAAILSRDFHGRLGRAYLFDRVVNISLGPNEDRYFRTGRHTVNDIYCICCQEILGWRYEKAYEETEKYKEGKFILERAMMCKQAP >Sspon.01G0026850-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:95005796:95008054:-1 gene:Sspon.01G0026850-1A transcript:Sspon.01G0026850-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSCAAECALSLACARWVARRLSLSGADDSASWPAASPASFEPVPRACRAALAAYNDDEQPGPPSSSPHCPPYRLLHDRARGEVVLAVRGLGLARPEDYRLLLDAGGPEPFAGGHAHRGLLRAAVWLLDREGPALRRMVAEAGCRRLVFVGHSLGAGVAALAAVVAVRCWLGRLGLRREDVTCYAMAPPRMISCPELRHPCSTFSDLSSGNLPCLLCFICMRDTFVSEGKLTDPAKLYAPGTVFHIVERKNCRCGRFPPEVRTAVPTEGRFEHVVLSCNAAADHGIIWIEKEAQKALDLMEQEELTSPPAQQKMFKVQEALSLNVEEGTVGLHDIEHLVSLDDEGTSQGNSSSSLDFDSPRTSATSCNTSSSSTTTSGPPEWDKLVEAFLGDHDQHNDLRYVNCENFCNIVMNHLPFRCK >Sspon.02G0054020-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:109389966:109393067:-1 gene:Sspon.02G0054020-1C transcript:Sspon.02G0054020-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding PSGKPRTIKFSFLFSFTVVCSAPLETETACRRLPTVRPRARGEDGAAGQDTPAAPAAPPGFWCRAATWSLGRPPPPSPASRQVGGTEKSSQGTALEETPHLRGSASAHHLRLRLTPPVLRPLIQARLAMPVNIDIAPFKLDIDELIADYAKENYTSLAEFKQVWTAKKFSYIYEGRPKTNSGHLTSQSSLPQRLAGLYCLYCLYECQPYKPQFKIYLSLEECRQLKDFVVTAKQNGLNLVAALVKRMLNKGMFLFGYMNLIDDNGDKQVEELTALQNKRVKFACDKLFANTQAESYMHMDLGAEFELDSIKKLSTEYAEAKELALAEASQIVEVEDAKHLLQSDRLLGDKIDEVVKEWDAQKEGFYERTGLSRGDQLMVVMDNDESGVQYHRDGSRGNQLMVVDNDESGVQNHEDDDFDELDQLLE >Sspon.03G0014580-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:46466891:46473021:-1 gene:Sspon.03G0014580-1A transcript:Sspon.03G0014580-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSKKVYVWSLPLTPEVILSFVSSQVASLMQSYKEHELYVTTVIIPLVILYMNARSFVDLGLPLPPLNFLPAKNVWIYIETAIVVVISYVSLLIVSWSYIWLVVFPVIAVGFIVALCNKLSHQDQAGGSHSQEQSNKGEGEATEPAGKKGEGLEAVALVPYWALCVMGHFHADKFAVSQFLLFFSFMLGALTMMMTRLVGLATVHRGIAPASELLRKASLLMLLVAVHAVAAELLGENALLFCLPEIVPVLLWLSVRLDCHDGATVTADKIKLDLDSRMLILLGAAPAAVLAILVDYMDESVFYWCGKALVSCVVSGLLTYYVVFMLCQWPGRCDGTLVTAASSQEAVELLKFWANVLLKAVVILLWPGGKPSLQEAVNVLNVGANVLLKAVVTLLIYLRFKMEFTIW >Sspon.07G0002630-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:5219153:5221370:-1 gene:Sspon.07G0002630-2B transcript:Sspon.07G0002630-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKKGGRAKKAAGGGELSRFLESHLLTISDTFQMMAESAPGSLERTEWSEVVKLGDQVSRQATVAGMLWSGDLPDVETLKENIVAYFNILQGFLLVCHGSMVGAGPTLHKSICGSAKNVVDSSFSLFKHAVSAYESRSPDRNTTIPQVTGTVWEACAALKKVPTSNCIAIGRAMTKIGVYLKDVLREMNELPIGDSGVSTAEKSSNCVVDTASCSDRDEISDLDLDDDDDFTEEEAAVAKLVITVASDSLVVVKEAIRFITGLLKSSVNKGGANEDKVEPMEKLLSHCKEIADQVNDLGASVYPPQDSSEMKLAIKRLYNGINGMRKEVGNLGGSPESTFAALEGFEKCLGSLQAKLADDVVNEMENLNISH >Sspon.05G0011240-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:23589903:23593641:1 gene:Sspon.05G0011240-3C transcript:Sspon.05G0011240-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LVIAYDKWSRILLQNGAKSARQFCHSFYLNNTVMHMIRLIFVYRDMRLQFGTLLADIGLIDLPKDSLSIMCAGLYPNVAASLEGVDPGALGGRKPSDVLFSKDRPRWYDGRREVHIHPSSVNHSLRAVQYPFLVFLEKVETTKVFLRDTSVVSPYSLLLFGGSMVIQHQTGVVVIDGWLRLSAAAQTAVLFKQLRITLDAVLKELTRKPE >Sspon.01G0046040-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:96105300:96111547:1 gene:Sspon.01G0046040-1B transcript:Sspon.01G0046040-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAGADVGGGGSSNGSGVVEIDEDLHSRQLAVYGRETMRQLFASNVLISGLNGLGAEIAKNLALAGVKSVTLHDIGNVEMWDLSGNFFLSEDDIGKNKAVACVAKLQELNSAVLIYTLTEELTTEHLSKFQVVVFTDISLDKAFEFDDYCRNHQPPISFIKTEVRGLFGSVFCDFGPEFTVLDVDGEDPRTGIIASITISTDNHTIVSCVDDERLDFQDGDLVVFSEVQGMTELNDGKPRTVMCAGPFSFCIEDTSKFGTYTKGGIVTQVKEQKILKFKSLRDSIREPGDFPLCDFSKFTRPPLLHFAFIALDKFRKEFGRFPGVACRLDARRFLEFTASINEATIDYKIEGELDQNLLRLFASGSKAVLNPMATMFGGIVGQEVVKACSGKFHPLYQFFYFDSVESLPTHQLDPKDLKPLNSRYDAQISVFGSKLQKKLRDANVFVVGSGALGCEFLKNLALMGVSCSRKGKITITDDDVIEKSNLSRQFLFRDWNIGQPKSTVAATAASAINSCLHIDALQNRACLETEHVFHDAFWEGLDVVINALDNVNARMYMDMRCLYFQKPLLESGTLGTKCNTQVVIPHLTENYGASRDPPEKQAPMCTVHSFPHNIDHCLTWARSEFEGLLEKTPKEVNSFLSNPAQYAASMKKAGDAQARELLERVCECLEKECCETFDDCITWARLKFEDYFSNRVKQLTFTFPEDAATSVGAPFWSAPKRFPHPLEFSAADSSHIHFIMSASVLRAVSFGISIPDWAKDTDNLADAVSKVAVPEFKPKSGVKIETDEKTKNISSASVDDAAVIEDLLTKLEACAKKLPPKFQMKPIQFEKDDDTNFHMDLIAGLANMRARNYGIPEVDKLKAKIIAGRIIPAIATSTAMATGLVCLELYKVLARGHPIEDYHNTFANLALPMLTISEPLPPTVIKHRDMRWTVWDRWSIKGDITVAELLKWLSDKGLSAYSVSCGTSLLYNTMFPRHKDRLSRKIADVAKEVAKVDTPEYRKHLDVVVACEDDNGNDVDIPLISIYFR >Sspon.02G0007600-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:22123653:22126606:1 gene:Sspon.02G0007600-1A transcript:Sspon.02G0007600-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVTPCARQPPSWADLPADLAGRVLRLLPAFVDRACFAAVCPQWRAAARQLPLPPPLPLLALPDGTFYSLPYGKSFRFPGFGCAGYKTAACGSWLVFSRDDGCFLVDPFVGATVTLPPLSRVRLRPPNAVAKYVKVAAPGQDVVSVFYPYATWMHIKESKKMPTLNKLILCSPNLYTRWLWTHQSDSSVPARVFLMNLLIINISQDPSTGNPQISRIGQVIKGDPWDSASMPDIWYSAAMVEDTMGKKKLYLVESCRTLLMVRRKVCCSVAGEAAVSGQCEFEVFKADLERSRWVNVTSLGDDQMIFLGRSCSRAMTASQYGMPGDQIFFLDDVLENCKYSFDEETTSNWRGLLPSADDLEARDDSCHMASRQASLQATNTFQVWILTSEHHSVTVFPKVPAAQQDEGGEELIGQGGRLVELPKLG >Sspon.01G0034750-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:6704723:6709839:1 gene:Sspon.01G0034750-2C transcript:Sspon.01G0034750-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding TDGCRSQGRGGCCRCRWPPWELGHGEAAAWRGGVRAGRVGGPARQPRAPLQARRLDRLLLHRSVRAVRADGLLRDRVEPHHLPDGQAPPGHRGGLQQRHQLVRHRLPHAAPRRPRRRRLPRTLLDLRPRLRHLLTGNGVAGAVRLPAGAETDTVQRRRRVPEGVGAAARGLLRRTLHHSLRQWRHQAQHLHHRGGPVRRLRPAGEDAQALLLQLVDVHHLPGHPLLLHRPRLPAGQRQLVHRLRHPHAGPHGLHLHLPRRHQAVPPQGAAGQRIHEHGHGHRRRALEMERPRTGRRQGAARAGPRGVHEEAQVQDGLHQRHEVPQQGGRARERQGRGIAGDDVEPVHGDAGGGDEADPQADAPPGGDVRAVHADRADQHPVREAGHDDGPAHGPALRDPAGEPGRVRDAGHARVRRRVRPGVREGRPAVHREPARDHAPEADGHGHGAAVRADGPRRRVPGGGQARVLLRPGAGGHEEPGHRHVPHGVRHRQHSQQLPAVHGDAHHAGARQRLGHQQPQRLQPRLLLRLPHRARRRQLRRLPRAQ >Sspon.02G0016560-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:40392411:40403217:1 gene:Sspon.02G0016560-4D transcript:Sspon.02G0016560-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATITAAARWSSPTRLKLLTSRRLCFSAFSAASPTSAAGFCWSDALRVAGDDGRGDESDLSGYFRKVKTCNRGMDKKGQFVEFAVEDQVVGYIHKGFIEYLRDFHDVFTIVLDNNGSNTVEHVSLHSSLRTPEDRTHAIGSVIKSLGEMIPGIRNELYPVTSSYGMPVYFSLERAAAPYFGIKPYGISCKENIIKECEEEAGIARSISTNAASVGAVSYMDIEGFRYKRDVLFCYDLKLPADFVPNNEDGEVDSFRLIPVPHAANIIRRTEFFKPNCNLVIIDFLFRHGYINPDCRGYLGLLQSLRSGDCS >Sspon.03G0015680-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3C:70453167:70455318:-1 gene:Sspon.03G0015680-2C transcript:Sspon.03G0015680-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative pentatricopeptide repeat-containing protein At1g56570 [Source:Projected from Arabidopsis thaliana (AT1G56570) UniProtKB/Swiss-Prot;Acc:Q9FXA9] MPNTLKPMSQKHATTLIKSLCARGAVRHARALFDEMPDRDVVAWTAMLSGYASSGRHREALDLFRTMAAVGVIPNEFTLSSVLTACRAAGGGGAASIHALALRRGVDHTPYVVNALINAYGSRGGGDGLVDARWLFDALGEGRTAASWTSMIAGYARWGQENTGLQLFQNMIQDDVELSPFTCSIAIHACSLVSNLYFGQQIHVLSIRKALGVNLAVANSLVDLYSTCASILDARRLFDEMPERNLVTWNTIIAGYSRCDPLMAMQLLVNMDIEPNYLTLTSITSACAGLSALRCGQQVHGAVLRRNYGDDLRVSNALVDMYSKCGNILNAKKVFNMMACKDILSWTSMIDGYGMNGYVNEAIKLFNSMVHAGVHPDHVVFLGLISACSHAGLVDEGWNLFRSMLFKYNIQPNKEIYGCVINLLARAGRLREAFILIDTMPLTPDESIWGALLGACKMHRNLELGRLAARKIIEINPDAVKTYILFANICAADSKWGEYAVTRMLLRGTGSSKEVGMSRIELTDKMYSFSTADSSSPQVSLADE >Sspon.07G0034680-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:78546821:78551648:-1 gene:Sspon.07G0034680-1C transcript:Sspon.07G0034680-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEIVTFLSTSDNLNKFWMLGYWASPLMYAQNAIATNEFTAHQWIKILPGSTESLGTTVLKSRGLFFEPNWYWIGLGSLVGYIFLFNGLYTAVSAHFKSLARTFSYVPRNARDIKLEKLRNDTPPTRFHNKIVTDDASSCSVKNMRVTLPFVPLSLTFENIRYSVDVPKVNKAHGEMNDRLEILKGVSGSFRSGVLTALMGISGAGKTTLMDVLAGRKTGGYTEGIITVSGYPKKQETFSRVFGYCEQSDTHSQHLTVLESLLFSAWLRLPSEIDSKTMKMFVEYLMELLELTSLHGAHVGLPGVNGLSSEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNLVDTGKTVVCTIHQPSIDIFETFDEGIEGINRIEDRYNPATWMLEVTTTVQEQMLAIDFSEIYKHSELYQTKQQDLFNSMGSMYAAVLMLGIQNATGIHPVIAMERIVFYKERAAGITSTSKGDEKAITGARLSPHEKSNALEAYDLGSKEHLEGNGIQILQPPTFTNE >Sspon.05G0019140-5P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:77055165:77060170:1 gene:Sspon.05G0019140-5P transcript:Sspon.05G0019140-5P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKGAALASSDAVHHHHPAGVTGIGIGTGSSSSFASWRAYGRALAQTPRRVARRALSATAPREEMSRVRARSGADMARALRWWDLVGLGLGGMVGAGVFVTTGRAARLYAGPGVVVSYAIAGLCALLSAFCYTEFAVDLPVAGGAFSYLRVTFGELAAFLTGANLIMEYVFSNAAVARSFTAYLGTAVGVDAPSQWRITVRGLPGGFNQVDLVAVAVILLITVCICYSTKESSVVNMVLTAVHVAFILFIIGMGFRHGDARNLTRPADPSRSPGGFFPHGAVGVFNGAAMVYLSYIGYDAVSTMAEEVERPARDIPVGVSGSVVLVTVLYCLMAASILTGGAVLGGVQGPGAVRVGVHVIGAGASLGILTSLMVAMLGQARYLCVIGRSGVMPAWLAGSTPAPPPPSTHPLPRCEWFRRFQLQFDILTRGGRRLTCLHRIARRRLHGGAGAVHGAGHPAEPRLHRHALRLLHGGQRRRVPRYVGSASEPGGGARWPTLAFLVLAPPEPRGVRPGLLAACAALAWPRRRVPGAGAAGARPLAVGVLGCRGCPPRPCSSTSSCWLAGPPSYVRFAIFSAAALLVYVLYSVHASYDAEESGRLAVDGGGKVQDEACTV >Sspon.06G0002270-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:7276654:7279914:1 gene:Sspon.06G0002270-1A transcript:Sspon.06G0002270-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein DOT4, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G18750) UniProtKB/Swiss-Prot;Acc:Q9SN39] MATPPLSSVSTHHRAPPPWPPPKTATSPRTNFRCGVLAPTAGQVLEAATAAPSSRSYKNPPSPVLSSDVNLQIQRLCQAGDLAAALRLLGSDGGVDVRSYCAVVQLCGEERSLEAATRAHALVRAGTGGIGSVLGKRLVLAYLKCGDLSGARTVFDEMPPRVADVRVWTSLMSAYAKAGDFQEGVSLFRQMQCCGVSPDAHAVSCVLKCIASLGSIEEGEVIHGLLEKLGLGEACAVANALIALYSRCGCMEDAMQIFDSMHPRDAISWNSMISGCFSNGWHDRAVELFSKMWSEGTEISSVTVLSVLPACAELGYDLVGKAVHGYSIKSGLLWDLESVQSGIDDALGSKLVFTYVKCGDMGSARTVFDAMSSKDWHSTNQIFRSMAQKNVVSWTAMITSYTRAGLFDKVAGLLQEMVLDGIKPDVFAVTSALHAFAGDESLKQGKSVHGYAIRNGMEKLLPFANALMEIPNAVTMTCILPAVASISSLERGREIHAYALRRGFMEDSYTSNALVDMYVKCGALLVARVLFDQLTKKNLISWTIMIAGYGMHGCGKDAVALFEQMRGSGVEPDAASFSAILYACCHSGLTAEGWKFFNAMRKEYKIEPKLKHYTCIVDLLSRTGNLKEAFEFIESMPIEPDSSIWVSLLHGCKIHRDVKLAEKVADRVFKLEPENTGYYVLLANIYAEAERWEAVKKLKNKIGGRGLRENTGCSWIEVRGKVHVFIADNRNHPQWDRIAEFLDDVATRMRGEGHDPKKKYALMGANDAVHDEALCGHSSKLAVAFSVLNLPEGRPIRVTKNSKVCSHCHEAAKFISKMCNRDIILRDSSRFHHFEGGRCSCRGYF >Sspon.01G0027570-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:99017525:99019293:1 gene:Sspon.01G0027570-2B transcript:Sspon.01G0027570-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKEDGVKKVAVAAPEEATPTFTAPLPAPEKEPETQEKCYKKTVGEEATFLETAKDYFNQFKEIPAQKHWICLKNYFNQKCASVFGKQKVEPVVKDDETPEVVAKPAATTVESH >Sspon.02G0051990-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:86838373:86840766:-1 gene:Sspon.02G0051990-1C transcript:Sspon.02G0051990-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding HVEELDNCLDGQIGVGRRLEAVLGDMPFFGSNEFGFLDVELLPFSAMFYGYEQHRGVEMEAEVPDATALGAEVRARRGRACECFRRGRTFLSIYPPDATRLSLWTGQPNKPRIHLLPLLLPPASCCSYFSSPAHAPSVAPAANARVAPAPPPLPAPLLLPLLPAPAPLLLPLLLLLLCTPDSLLLPRRPRPLRLLLHSPGLTMLTSVASARNQGLARKLSSLACCYGCGVSMQTAEEATPIHDACCKLTFQMFLNVLEQHNGGSYRATGHTLRERVTCLQPPAATFSRPSPPDLEFSFPCSSLTPPLPPFLLLLPLRRGHGCGAGAVGGREAAKEGEAHGEDASVRRAMGRAVP >Sspon.01G0018430-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:74015271:74018036:-1 gene:Sspon.01G0018430-2B transcript:Sspon.01G0018430-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable serine/threonine-protein kinase PBL16 [Source:Projected from Arabidopsis thaliana (AT5G56460) UniProtKB/Swiss-Prot;Acc:Q9FM85] MGNCLGGSPSYVNKVSSTAKPETPKVQSPSEVDRSDDRKLPSNPGEVEALLRGASAAARNPLVAFSFSELRKVANDFRKEALIGGGGFGRVYKGSFAPPAGADADLSGGTTLPVAIKVHDGDNSFQGHREWLAEVIFLGQLFHPNLVKLVGYCCEDEHRVLVYEYMALGSVESHLFSRTSPPLPWATRMKIALGAARGLAFLHDAEPRPVIYRDFKTSNILLDADFNAKLSDFGLAKDGPVGEQSHVSTRVMGTYGYAAPEYMLTGHLTASSDVYSYGVVLLELLTGRRSLDRSRPPREQALTDWALPALPHKKRVQGIVDPRLTGGAGGWDDPPPARAVQKTAMLAYHCLNRNPKARPLMRDVVASLEPLQQPPEDPAGAHAAV >Sspon.08G0021630-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:41725169:41725609:1 gene:Sspon.08G0021630-1P transcript:Sspon.08G0021630-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GGLFLRESVPPPFPRAPLPRLRVVLRRGGHGLGGDLGLPRAPHQRAGPRQGRRQGPGRGGQRAHHQGRPARGQGEGEGGRGGGGDGVARGGARQAGVRAGCGAAGERAGGWDQGRRGERGAHRRGAQGGRPGPAQAQAHRRLQQALM >Sspon.03G0006120-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:24176001:24180380:-1 gene:Sspon.03G0006120-2B transcript:Sspon.03G0006120-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDGGGSNHNQRIDLGAPLRSARLADAPPPQYKEGLNSGPLRHPGAVPFAWEQRPGQPKSVRTRRAAPPTPPRQTSSWTRPGEEIGSGSPYHDALGELDLRALHGVADRGSRTVAASRKVVLVVEDEAAVAAESTEVVKKHEALPVAAVLRKQHGDGGVEDEEEEERFSDALDTLSRTESFAMNCSVSGLSGAPDPGQGAEPGSRGFMMDRFLPAAQAVAVGSPQYTFRKAGAAVGTGNDGREHARAAAVKAGAANGEDRMRRAPVQLPYQHLPPNYLSCAYPRHEEHEEEDEGDDDDYDVHSTRGFSAKGCGLLPGLCVKTSLLLLNPMPAMKRGKKVRGWQLPCKGRGQMAQSPLARSSQNKHLGCDSNGQSWEDVYKHKLEQKYLGQGGDGRSKLTSESNQLTFWSDSPTGDGSSPYYHSIAGGMSPYRSYPVMSPSSKPNGSSGTGDKDDKASRSNGSGSFGKDHDRTSLVGSDRSSFKGSSSMSSGPDGAVHEDSMDHHGDTDSDTCHLGVLVDTKAALNANIHDSQPGRQKIVGRNSIVKDQVNGPLTEKISERREPTFPLDDGKDLQHDASHELPQHLEDNNVAKKETIPLQSLLPLPVPKSPSESWLSRTLPSVTNRPPLPSFLGIQVHSKKQALWATMQPKENDHKPSRLRQIRFADGKEGMRITGNEGKEGMRITGNEQHVQLQCCQRT >Sspon.03G0010070-3C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3C:41981314:41994634:1 gene:Sspon.03G0010070-3C transcript:Sspon.03G0010070-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLRAFRPTTDKVVKIQLHPTHPWLVTADANDRVSVWDWEHRQVIYELKAGGVDERRLVGAKLEKLAEGDDSKGKPTEAIRGGSMEFLSRSSSSDAPLVAFGSSDGVIRVLSMLTWKLVRRYTGGHKGAIACLMTYMSAAGEVHLVSGGSDGLLILWSADHIHDSRELVPKISMKAHDGGVVAVELSRVMGSAPQLITIGADKTLAIWDTVTFSWNRLHAVPCKEKNLTIDTVYCMVAHPLQPHLVATGTNIGIILSEFDPRALPAIAPLPTPTGNKEHSAVYIVERELKLLNFQLSNTANPSLGNAGVASDAGRSRNDSIEQLIVKQTKKHISTPAPHDSYSVLSVSSSGKYVATVWPDIPSFAVYKASDWSVVDSGTGKLFAWDTCRDRYALVESALAPRMPLVVKGGSSKKAKEAAAAAAQAAAAAASAASAATVQVRILLDDGTAHVLQRSIDGRSEPVIGLHGGALLGVTYRTSRRISPLTATAISTVQSMPLSGFGGSGSSFASDDPFSSKEGPPQNFQLYSWETYQPVSGLLAQPEWTVWDQTVEYCAFAYQQCVFVDAGVAAIDIETKRRKEEMKAREAQSRAVEEHGDLALITVEAPQVTVSEKVSLRPPMLQACACSTAAAAARKRGRAAGTEGGAEKGEEERDLQQYNNGGGWLLQLDGRASFQHSPSIPPFIVPKQSKFDGDDSVFQKELDDRRYAEVAVAGGGVSVAVTRFPPEQKRPIGPLVVVGVRDGVLWLVDRYMCAHALSLSHPGIRCRCLAAYGDPVSAVKWATRLGREHHDDLAQFMLGMGYATEALHLPGISKRLEFDLAMQSNDLKRALACLLTMSNSRDVGQETAAADATDVTQILNLAVAKQAKQESLADAVQGIVKFVKEFFDLIDAADATGQSDIAREVLKRLAAAASVKGALHGQMLRGLALRLANHGELTRLSGLVSNLITAGHGREAAFAAAVLGDNALMEKAWQDTGMLAEAVLHAQAHGRPSLRNLVITWNKMLQKELDHTPTVKTDAAAAFLASLEDPKLTSLGETEKKPPIEILPPGMPPLSAPPIVIKKAGAKPGLPNAAKASNGPIGAPMVQGAPMAQGTPGAQGVPMNQVTPSQGSAEAKPSDATVADAAAATTSEGTAAPPAAEGTAAPSSEEAKAAPDNEGGTSHPVNEEATAAAVSDAAGSPDAPALAPAPAPAPAAPAPAADTSSSDAPAVTPSEVTVSAPSTEAPELTDKPSSTEASLPPPPSVP >Sspon.03G0009080-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:14317426:14318631:1 gene:Sspon.03G0009080-2B transcript:Sspon.03G0009080-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTAQGTVLKSAPAPMGAGRRARRGRPVAAPYRSGWQAYGGVSLEGSGFLGGVQRTEERVAPRAPRAAARDAEVVRPLSKLPNSSIGLYNPSFERDACGVGFVAELSGDYKRETVNDAIEMLERMAHRGACGCEKNTGDGAGIMVALPHDFFKEVAKDAGFELPPPGEYAVGMFFMPTDEKRREKGKVEFKKVAESLGHVVLGWRPVPTDNSDLGESALETEPVIEQVFVTKSSRSEAEFEQQETLNHSVRAALNIKRGGERDFYMCSLSS >Sspon.08G0012680-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:52619677:52624716:-1 gene:Sspon.08G0012680-2D transcript:Sspon.08G0012680-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGSTNPFGQSSTSPFGQTSFGTPQGFGQSSTAANNPFATKPFGSPMTTFGAQTGSSLFATTSTGAFGQQQSTPAFGTTSTGAFGQQQSTPTFGTPSSSPFGSSTPAFGASPTPAFGATSSGSLFGQKPSFGGFGSSPSQSNPFGSTFQQTQSTFGSSTFGSSTTPAFGTTTMPAFGTTTPAFGTTTTPAFSALTTPAFGSTSTSLFGASSTPAFGSTAFGTSSTGFGTSGTTAFGVSSTTPGFGSSSTPSFGTSASTFSFGSSPSFGQTTVSSGSTPFGTTPSPFGAPAPAFGSQTAAPAFGQPQFANQAGGTRIKPYSQTPDVDSATSGTQPAAKLDSISAMPEYKDKSHEELRWEDYQRGDKGGPNTSGTPAVAPSFPSSTPQNAFVSTSNSNPFNQPATNPFSTNNLFAPKPASTITSPFSTASFNNVSVASPSPFTSSSSTTMFGQTGVSTFPASNSPSLFGNTNPAPSTSPLFGTSMTNNSSPFGSGSSLANTQSAPLFSSAFAQQTSSTPAFSSGSLFGSTNPGGLFSSSGPSLFPTSTFQPSPPAQTSNIFSFQPAIQPAASTGGFPGFSNTMNQALMGQPTPSQSSMVMQPAPVSNPFGTLPAMPQMSIGNGGSSPSVQYGISSLPVAEKPLPSRSLSMAVPRHLSQRRIKLLPRKYNPISDSKVPFFADDEESPATPKADAFFIPRENPRNLVIRPIEQWPSRRETDRQSTLKNATDLNKYEDASTESERGKSAMSPSIRPPAVENDNQHEARAMVQHGHGNVTSVERLLXXXXXXXXX >Sspon.08G0000140-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:32734:34853:1 gene:Sspon.08G0000140-2C transcript:Sspon.08G0000140-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAFESPISSPAAAPFHDDPFLHFDGSAPAPAPADGFPASPDAYAPSPFGMPHSNGDPFAAPADSNGGPILPPPTEMGRDEGFLLREWRRQNAIHLEEKEKKEKELRSQIIVDAEEFKKAFVEKRKLNAETSKGQNRDREKLFLANQEKFHAGADKQYWKAISELIPHEIANIEKRGARKDKEKEKMPGIVVIQGPKPGKPTDMARMRQILLKLKHTPPSHMKPPPPPAAATGKDGAPAAAGKDGAKPAAPANGSVPEMEKAAAAAPAPAAAPPTATEPIAAA >Sspon.01G0025510-1P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1A:90083894:90084629:-1 gene:Sspon.01G0025510-1P transcript:Sspon.01G0025510-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding EWTVGGNRGKKGPDDVADNAPHPHGGCKSPWKGKGKGKNASSCHTVGDPGNLAKEAAPAASNGGKPENKSEIKAMAKASAAPLDPGKAAVASSPDHLTRKGRKGAGGRSAETSPGDAPAKAAGPSPPPGVKSGNTENPKPAAPRLADAGTGRDSPEGKDAAPAQAPPTSVADGRSKLKGGGPLRKTVEAKPEDLVEGQRRQPARFGRRSAEPWRGRGNGAAEPHGRVWVPKAAAAGSSAGTGDK >Sspon.04G0024760-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:25826207:25831544:-1 gene:Sspon.04G0024760-3D transcript:Sspon.04G0024760-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHKRQKTSSLLNFKLEDFTSRLRRLYLLRGYPMPSESQPGMHLVNTFEERFDDLDGYSEEHARVKLLASSMSNSVVSLASFEGNVRRFTCTGTIFRHTPYEMTILTSASLVRCLGDEAKFVNKLKIKVCLPNGKLAVGKLWKYDCNYNIAVVKTKSFPEFRGAHIHGVQFNSELFQTNLVAIGRCYESGQLMASSGMLLHKNSILDCQELMVSTCKITKDETPFLPVSILLKCFKHFEISGYVFMRVVKPSLGLRVGSLGTQKLSICEEIHRSFPHAHGIYVEM >Sspon.01G0012780-4D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1D:37897047:37898294:-1 gene:Sspon.01G0012780-4D transcript:Sspon.01G0012780-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQIKLEAALETSDKWQISDASLLRWRKKLKRAAQECDDTLRRCKQRAVAVDDDEEKHQRVAVRRSSSLPTRLARATKSFVSSILSGRDRTDDADDDGWSGGAVRRFEWFADGAAEFLRFVELGGTPWPRYTFFDPLIARLLAGEEARYRLVRGSQYYLFCVRPIVLEGRGTEAKLIFFYEDDDAPEKNLCIGSMLRLSESTDIVGVTIKRLQLLVTPHFKSTAEAATRELANLPTQDFVWVPYGGSTHREHWDSIHRDTSQWFRPDPLCCNQHEPCRRPCESNAASTVRPSHSQVSLESVIEVYWQCQVPLSEYNMLQRNAVVEGPAASSEDTPYLKLGLLFTPHGSLGDLTPKAESSALEVIDGEEQRGMHTNLSLQQLDETMLPKALQCLYQKPEAMAYQMLWKSKHGAAYLQ >Sspon.03G0001690-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:4239148:4242428:1 gene:Sspon.03G0001690-1A transcript:Sspon.03G0001690-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARALLLLRVARYPPLPTSPALLQPRLLPQPLLRRHAPSQSQSQLRFLSSLSSSSVSTSSDAPSDGGGGRDGEEDGAKSGGHVDYLGMTDEELMEQCDMGTFKASGPGGQHRNKRESAVRLKHLPTGIIAQNRASALSRLRTLIALKVRRPINLEDYTPPVELLKILPPKSTVRGKDIGPQIGPNNSKFSPGMQALLDLLFAVEGSVSDAAKILGLSTGALSRLILSDDSLRTAANELRASKIFYNGYVISDFKAIPAMAKLNQLEN >Sspon.07G0020240-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:75182430:75185401:-1 gene:Sspon.07G0020240-1A transcript:Sspon.07G0020240-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNApolymerase 14 kDa subunit [Source:Projected from Arabidopsis thaliana (AT2G29540) UniProtKB/TrEMBL;Acc:A8MRK9] ELLPYFRTPRSAAASRRPTRLRPLRLVPSLLPHQEYKGAFVVEGGERWSTGRWTIRARRRSLSWRRTIPSPTLSDSPRVAFCGYSIPHPAENKVNIRVQTTGDPAKDVLKDALQDLMVMCQHIRGTLDTAVADFRGNKTAEAMDIDLNKK >Sspon.03G0011800-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:32104002:32108530:-1 gene:Sspon.03G0011800-1A transcript:Sspon.03G0011800-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGGGGGGDISADSERRLKKAMDKLYHFPKPKSSSTGGSKASSSASAPSSGRAVGKAAAEAARRLGVVRGSRLPPQVSAMSAISPPPPCRPWDRADLMRRLGSFKAMTWFAKPKVISPVNCARRGWTNIEPDVITCEACGARLLFSTPSSWATQQVEKAAAVFSLKLDTGHKLLCPWIDNICDESLALFPPTPPPVLVGNYYELLSSLLRLLALPRISCSSLETMKKRSPQLEQFLSEPSSSSVVLKGGFMLTEDSTIKDLDGAFQDADTYYQALKIISLCGWEPRLLPYAIDCGTEFHSDTNSTSKLAQPEQSSKTMEDRVILYSPDDANGARPSADVNQEDQHYDPLSVVLDCQFCGACVALWPFSLVQRPLQLFKLISDSNRQDDQDNEHANVVGGVGHSKDANIGFNFTIAGGPPPTRQSFRPKVSFPVVSRHLKADLNSRVNLLSSGSDGHMVPWDASGSMKRKRSTDQPHLLEGDTDDVDTSTIGAKHDQRGENSEKSIPNLVVSTEQKQGGSHSNTDKDTKLDEASNVEQPETGSPSRKSITGTDAAVDQHGLEPRFCSVQGTSEEPSNGVTLTETHVNNSRPTEVAITESLVNREKGVYRPPEKQGLYDRMNEFDPIKQHRTFCPWISPDYGEPLPGWRLTLSALLTQDKRSDGDLQVEVQTSLLDEEDDPLTSVRKLFMTPPPKRRRIHQSEKS >Sspon.03G0012600-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:34853270:34863524:1 gene:Sspon.03G0012600-1A transcript:Sspon.03G0012600-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPKRKSPATAAPAAGSPRKTRSMAAAGKRGTEPAPAKAVPAKKENEAAVAEPKARKRAMKEEAVTVATEPMGRKTGKKEAEAAAPAAEEEDGGDAVAGGKRVVVEACTQCRQFKIRAQKVKEDLESSVPGVSVIINPQKPHRGCLEIREEGGENMPRPFAPMKKLDMDKVIKDIAKKIS >Sspon.01G0008680-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:26126376:26135195:1 gene:Sspon.01G0008680-1P transcript:Sspon.01G0008680-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPLFILAVIVYVVSATAVKALVFDVPSGSSKCLTEELHRRAVSHASYRVVAESTSAADRGILVRVTGPRGEELYMAEGGERGEFRFEAAEDGEHTACFWSPRYERGAVVSVDVHWATTSVGAHAGGSGSPPAVAVANEGRIATIAGELKKLEDSARLIHQEMVVPMENRVSLGQEIISSDSRDESLSTQEGSLLPGQKHPNRLGC >Sspon.08G0021280-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:36289451:36292192:-1 gene:Sspon.08G0021280-1B transcript:Sspon.08G0021280-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLAAEAIFIDRKFIRKMRIGRSVDRLVHDIQTLKLHSDTSLEASRLNKPSASVPTRLPEPNNLSKPSSEAEPQDQKPNDLLNESVDHTSLDKISADPIQDKLISECPVDEQKKDVNQGNMCRSLKPSLILDKECGLAKANESGGLIKRSDTGERGISSRYRPSNGSDISDESSCSSITKPHKANDSRWEAIQMIRTRDGIFGLSHFKLLKKLGCGDIGSVYLSELSGTKSYFAMKVMDKASLASRKKLLRSQTEKDILQCLDHPFLPTLYTHFETDKFSCLVMEFCPGGDMHTLRQRQRGKYFPEQAVKFYVAEILLALEYLHMLGIIYRDLKPENVLIREDGHIMLTDFDLSLRCAVSPMLIRLSNPDPESLKKYNQAHSTQQACVQPSCVMQPSCTAPTTCFGPRLFSKSKKVRKPKLEVVNQVSPWPELIAEPSDARSMSFVGTHEYLAPEIIKGEGHGSAVDWWTFGIFLYELLFGKTPFKGTGNRATLFNVIGQPLRFPEYPVVSFPARDLIRSLLVKEPQQRLGFKRGATEIKQHPFFEGVNWALIRCASPPEVPRHFDIEKPPKQPVSTSESAAQK >Sspon.03G0009430-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:25787825:25789243:1 gene:Sspon.03G0009430-1A transcript:Sspon.03G0009430-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding PMAHRIPRRISVRAATRPVILCRGVKVRSLWYFFHWLLGIAICATGIVNVYIGLRTYHERTTKSVRLWTGLLTVEVIFLAFFYLMIDRWSYMMKQGHATVEQLRPTDNRRTYPTTLRKELALVQE >Sspon.01G0059960-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:70930796:70932438:-1 gene:Sspon.01G0059960-1D transcript:Sspon.01G0059960-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding APPGTWHAFSLACAADYNLTGSHHRTRRLLRFSPAFIGSRILPSPPSSVEDSQFPLLRSVVPLPSLPHLAAPIQQGQEKYSSIRAGQMEFVLIISLPLLILIIIVAVVLCIICR >Sspon.08G0005580-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:16894360:16895007:1 gene:Sspon.08G0005580-1A transcript:Sspon.08G0005580-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGLSSSHRSSASLHQPPATSSPAAPPATSSPAAPPARIIAADGSLREFAPPAVSVSDALGAANADGRFFFVCSADALYFDADVPALGADELLRPGQIYFVLPAAMLGRPLSAADMAALAVRASQALAAGAGSPRSGHGRRPRGCCGGFTKARVVPAQAHGDEEVNEKLNQRTLGGFETTASSRPASNARKSAVAARPAPPVKRALSTIEEDAE >Sspon.03G0026840-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:8723006:8724441:-1 gene:Sspon.03G0026840-1P transcript:Sspon.03G0026840-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RWRLCKGLLLWLLGQLLLEFASLLLSGVHSYGVCYGTVADDLPPPSEVVQLYKSNGISNMRVYFPDSKVMEALRGSGIGLVLGVANEDIANLATCGPCAASWVQTNVRPYHPDVNVLYIAVGNEVDAAAAAQSILPAMRNLQAALAAAALDGDIKVSTCVRMDVVTNTFPPSSGVFAQPYMADVARFLAAAGAPLLANVYPYFAYRGSNPGDVSLSYALFQPGTTVRDGGSGLVYTNLFDAMLDSVHAALEKAGAPTVRVVVSESGWPSAGGAAATVQNAQTYVQNLIDHAGQGTPKRPGPLETYVFAMFNEDQKPGELTERNFGLFYPSKAPVYPIVFRR >Sspon.04G0004050-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:12483259:12499166:1 gene:Sspon.04G0004050-1A transcript:Sspon.04G0004050-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLTDLVNLSLSDTTEKIIAEYIWIGGSGMDLRSKAKTLSGPVTDPSKLPKWNYDGSSTGQAPGEDSEVILYPQAIFKDPFRRGNNILVMCDCYTPAGEPIPTNKRHNAAKIFSNPEVAAEEPWYGIEQEYTLLQKDTNWPLGWPIGGFPGPQGPYYCGIGADKSFGRDIVDAHYKACLYAGINISGINGEVMPGQWEFQVGPSVGISSGDQVWVARYILERITEIAGVVLTFDPKPIPGDWNGAGAHTNYSTKSTRNEGGYEVIKAAIEKLKLRHKEHIAAYGEGNERRLTGRHETADINTFSWGVANRGASVRVGRETEQNGKGYFEDRRPASNMDPYVPPPAMASLTDLVNLSLSDTTEKIIAEYIWIGGSGMDLRSKAKTLSGPVTDPSKLPKWNYDGSSTGQAPGEDSEVILYPQAIFKDPFRRGNNILVMCDCYTPAGEPIPTNKRHNAAKIFSNPEVAAEEPWYGIEQEYTLLQKDTNWPLGWPIGGFPGPQGPYYCGIGADKSFGRDIVDAHYKACLYAGINISGINGEVMPGQWEFQVGPSVGISSGDQVWVARYILERITEIAGVVLTFDPKPIPGDWNGAGAHTNYSTKSTRNEGGYEVIKAAIEKLKLRHKEHIAAYGEGNERRLTGRHETADINTFSWGVANRGASVRVGRETEQNGKGYFEDRRPASNMDPYVVTSMIADTTILWKP >Sspon.06G0013950-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:73038702:73045434:1 gene:Sspon.06G0013950-1A transcript:Sspon.06G0013950-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ER lumen protein-retaining receptor B [Source:Projected from Arabidopsis thaliana (AT3G25040) UniProtKB/Swiss-Prot;Acc:Q8VWI1] MNVFRLAGDMTHLLSVVVLLLKIHTIKSCAGISLKTQELYALVFAARYLDLFIHFVSLYNTVMKLVFLASSFSIVWYMKRHKIVRRTYDKDHDTFRHYVLVLPCLLLALLINEKFTFREVMWAFSIYLEAVAIFPQLVLLQRTRNIDNLTGQYVFFLGLGGRNTRYLDLFVHFVSLYNTVMKLVFLASSFSIVWYMKRHKIVRRTYDKDHDTFRHYVLVLPCLLLALLINEKFTFREVMWAFSIYLEAVAIFPQLVLLQRTRNIDNLTGQYVFFLGLGGRNSANSVLEEQCEARAASLREFRADVTLSRRD >Sspon.07G0021660-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:4718695:4724238:1 gene:Sspon.07G0021660-3D transcript:Sspon.07G0021660-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVEAGRVMSEQSHAYNGQARKREDKARSSEHEEVKTINGIINSARSLDDDDDDMLSEIESLLSGDIDIPIPHDRFDVNGRSRYNAYMANEASEIERLHSLVREMEEREAKLESKLAYMANEISEIERLRSLVREMEEREAKLEGELAYMANEASEIERLQSLVREMEEREAKLEGKLAYMANEASETERLRSLVREMEEREAKLDGELLEYYGMKEMETDVTELQKQLKVKTVEINMLNDTINSLQEGQKNKTMEINMLNDTINSLQEERKKLQDDVAHGEVAKKELEVARSKIKELQRQIQLEAGQTKGQLMLLKQQVIGLKAKEEEAAKKEAEVERKLKKLKELEVEVLELRRKNKELLYEKRDLIVKLDAAEGTITESDVVANAREEINKLRHTNEDLTKQVEGLQMNRFSEVEELVYLRWVNACLRFELRNYQTPSGKVSARDLNRTLSPKSQERAKQLMLEYAGSERGHGDTDIESVSSMPSSPGSEDFDNISIDSSSSRYSFLSKRSNLMQKIKKWGRSKDDSSSLASPISGSPLRKPKGPLEALMLKNAGDGTAITTYGKRDPNDALDDENVASSFQLMSKTVEGFAEEKYPAYKDRHILATEREKAIKEKAGQARAQRFGGGHSSALISSPKGALPPKLAQIKERSPAANAESSEQSSDNQNNTLVVSQMKLANIEKRATRVPRPPPPRSTTTLGATNTASGVQMPRAPGAPPPPPPPPLGKVGGPPPPPPPPGALPRNLGGGDKVHRAPEIVEFYQSLMKREAKRETSLGSMSSNVSDARSNMIGEIENRSTFLLAVKADVETQGEFVESLANEVRAASFVNIDDVVAFVNWLDEELSFLVDERAVLKHFDWPESKTDAIREAAFEYQDLIKLQNKVSSFTDDPQLACEETLKKMYSLLEKVEQSVYALLRTRDMTVSRYKEYGIPFDWLSDSGVVGKIKLASVQLANKYMKRVASELDGLEGTEKEPNREFLLLQGVRFAFRVHQFAGGFDAESMKAFEELRSKMTTQTSAPQISEG >Sspon.06G0009100-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:4671392:4672179:-1 gene:Sspon.06G0009100-3C transcript:Sspon.06G0009100-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPHEKVVVLKPVASRPFSRFRPFPMVLQDFNATCSPTITIPEETELIRPKATRLASLPGNLPTQIAATIDAGAGSDAISEEVEVNAEHFRCCDHVTACQAARRNGVRSRLSLDGYNWRKYGQKKVKGSEFPRSYYKCTHPSCPVKRKVETTIDGRIAEIVYSGEHNHLKPGKPCPPRKPLSSTSTEVVMCDMHGIDDM >Sspon.03G0033760-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3B:57215936:57219019:1 gene:Sspon.03G0033760-1B transcript:Sspon.03G0033760-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MITPGQSGCQGQVSPWRTPRCLRWLAAPRIHDADAANHHRVDPSLQPPIQFVTSTAAAEAAATATATTEAGGRGHHHKRRRCGCRCRHRRGHRCCSRHLCRRRSRRKMAPRVPPRVPRRIVAEMDREFDELASALSKDPRDQLTSGEITTRLRSRGSRIDNAHDQLDSDVAMDENNQINAATHNTEGPAKSGRKVTINNQLRKRRAKGKHIDIKFPKEFAKVCGEHASLFKSEITVLVRTIPLQVKKWRDMDKFHAGTTAAVWRKLKQKFPELSEDDKDCAMRQVESQYNNRRYRLLQAYRNNKPRPQHVSPECWQWLIRNLWTDDDFQKRSNQNSINRGKQEMGSKVGTRSIAQIAYDLRDPETGEWPTAMQVWRATYQKADGTWSISTGEEIMTKLQEVAGTHQEKISSAPVPIVEHFALVLGRKPNHSRGVGIRAVNRVAEERIRLQALIEASEQREAVARARADAAEQRAEAAEQRAQALEGQVSTVVETNAQLQEEQQLQRDELSSLRQIQSGEVARL >Sspon.08G0004480-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:13331525:13334456:-1 gene:Sspon.08G0004480-3C transcript:Sspon.08G0004480-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutaredoxin-C4 [Source:Projected from Arabidopsis thaliana (AT5G20500) UniProtKB/Swiss-Prot;Acc:Q8LFQ6] MVASLGRRIGVAAAAAAFIALAAFGSASSSPKSFVKSTVSAHDVVIFSKSYCPYCKRAKAVFKELELKKEPYVVELDQRDDGSDIQDALRDIVGRRTVPQVFVHGKHLGGSDDTVDAYESGKLAKLLNIGVKDDL >Sspon.08G0027100-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:37103818:37105363:-1 gene:Sspon.08G0027100-2D transcript:Sspon.08G0027100-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LPGNSALEQQTPHQGQTDNNPDADEVELLWKLRKFLILMAILPAAITYQAGLAPPGGFWQDNQDGHTAGDIVLRVSYPKRYHIFFYCNTTAFAASLIVLILLLVRELNRNAVWLRALQFAMVLGLPGLMGAYAAGSCREVRTSVYIWVLLVDIFAYVTLHVIFFRHMAPKWLWDMFMGIRSLWKDFLGKIFKNAQSRSDEQEPSDKLEELERNRSFLLVLATLAASVTYVAGLSPPGGFWPDNKKPQYLAGDPLLEHHPRRFKAFLVCNATSFAGSLVIIIMLLSNTAVDHVVKSNALRLCVLVSLFGLMGAYGAGSCREVRTSLYVFCLVGAVLLYLFLQWIEPFVTKPACVEKSIGWMREKKNEVLFWPTTQHPSNKSMPSISGDAKDDLQKLRTYLLLLGIVAATVTYQAGLNPPGGFWADSVDGHIAGDPILEAMHPRRYRAFFYCNATAFVASLVIITLLQSKLITIGAMKCHILQTPPHASTSFVRPRALRE >Sspon.06G0024530-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:55618961:55619784:1 gene:Sspon.06G0024530-1B transcript:Sspon.06G0024530-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTLEVSKFDGSGDFWLWQVKIQSVLVDKNLDTALEKIDSDLSSATKHEMKNIDKRALAVLRLALADNVLRQKKLEALYLDKSLSSRFYLMMRLFRMRMQEGTPIKQYIKEFNKAVLDYQNVAKYMDNDHIAILFLCSLPYSYDSIKDQILYGFAQEVSHGEWSSEGPVVNHGRSRERGHSDGDASGNGRGKSKGRSKSRSGNKIKCIYCKDYGHMNWDCPKLKKKRDKQDDGNKGDNSSAATVVVAD >Sspon.05G0003350-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:10507214:10508983:-1 gene:Sspon.05G0003350-2D transcript:Sspon.05G0003350-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DGRGAGAAHGWRRRPLPDGARGRATAPAAASGTGHGGARRAAARGAERRGGGRERRGRDAGVRGGQGHAGAAHRRARVPGARTPLRRAGPRVLRRGVRLRGHGRAPPARRRRRVQERAPPPPRVPPRRLQGSRRLVPQQRLLRARGRREQRGDEQAGAGAAGRAPLRRRGRPPRLQQVPRAPGEGDVAQRLPRLDGRARQRGTQAENGGAVHRQQTAPAAAADGGSSSSGRSWRRRARGARPEAAQRRVGDQHDDVASRAVGLRLLA >Sspon.07G0002050-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:3617606:3618556:1 gene:Sspon.07G0002050-2C transcript:Sspon.07G0002050-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGRKPEAAAFGFPAPVQPGATRVGWIGIGVMGGAMAKRLLAAGYAVTAYARTPSKADSLVAAGASLAGSPAAVAAASDVVFTMVGNPGDVRAVVLDPATGALAGLRRGGVLVDCTSSSPSLAREVAAAAAAAGCHAVDAPVSGGDVGARDGTLAVFAGGDEAVIAWLDPLFAHLGKATHMGGPGSGQSSKIANQITVAGAVVGLGESVAFARAAGLDAPLFLGAVSKGAAGSRIMDIFGDRLLRREFASGGSVRYIVKDLGMALEVGDGGEDANVLPGAALYRQMFSAMVANGDGDLSLQGLITVVERLNGIGK >Sspon.02G0011450-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:17930460:17931015:1 gene:Sspon.02G0011450-2C transcript:Sspon.02G0011450-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPRRVCVTGGGGFIASWLVKLLLSRGYAVHATLRDPSDPKNAHLKELEKAPENLHLFKADVLDYDTLTSAVEGCEGIFHLATPVPEDKIVDPEASPCLLDTYAYHQIM >Sspon.01G0038160-1P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1D:27863145:27863378:-1 gene:Sspon.01G0038160-1P transcript:Sspon.01G0038160-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLEAEELKTVQKADVIAWYNSYIRSSAPKRRRLAIHVYGCNSDIAEAAKLKEQSWTIIDDVELLKASSQFYSSLC >Sspon.07G0032980-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:50426129:50430999:1 gene:Sspon.07G0032980-2D transcript:Sspon.07G0032980-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVSVPAAVLALCAAAAALLLLAPAEASHHLKLGYYKETCPGVEKIVKYHVAKAIKANRGDGAALVRLIFHDCFVRRDLPDSTFTIAELIRNFRRKNFTVEELVILSGAHAVGVGHCSSFRARLSSPPAQIVPAYRNLLSAKCAAGPDPVVPNNVRDEDPRVVAASFPSFLKKLRKAKDFLDNSYYHNNLARIVTFNSDWQLLTEKEALGHVKEYAENGTLWDEDFSDALVKLSKLPMPPHSKGEIRKTCRWVVAGREQSSYLQPADSSSNSLTTCKQHLHSTEN >Sspon.08G0009980-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:37759694:37762242:-1 gene:Sspon.08G0009980-4D transcript:Sspon.08G0009980-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALADVDSSALPPSESSKGKGKMDDEAEAEGAEKMCGICYVDGRRAIRGELDCCAHFFCFVCIMAWGRVESRCPFCKARFRTIRRPPVAGRFPDERIVSVPERNQVYNPQGNGSSTVGGDPYVNTICTVCSSSSDDELLLLCELCDSAAHTYCVGLGTTVPEGDWFCKDCGTIRDDQLRWQAENQGEFEIGIDVPEAEPVPDPLVSGVVDEEHDLERTDARSSGGSMGDPVPSIYDIVDDDFVTIAGIFRRRITEDIRPQGTSAEDIRPQGTSAGSQCPRSTQGRDNGLAAYHARIRLEVERARTLRNSRNLDKRVRELRENWAALRDGSVGFAPHMPGRRRKDMAGSASVATEHQRYAEPVTPSSRNGVAAASVPLRTLLSEETSTSLGHSKKVLHKDTRDARKAWKRLEMAKSSGGRKISNTPASLNCSPPFSMGNRSTSYSPIDTIVGHKNGNLPNKSCQQNYSICGHGTKVEHTPPANNSRGCHSLPENSRASVHERMVSFQSRINQESLNDKVASSIHNQHVDQSLVSSCSICRPEKLKSDMLHPQKYSSSSGQSAVTSSLQLGPSVGSGSQSTMMVNPEECSAVCDEGIAATIEVRKSSSPDRHEHKRKHSSERCHDQGSKRSRSTCKIAKSEISSLAIRELKLLKIDKTHGSDRFKEVARTATHSILAACRFEHSPSQSLALSRPVCKHSPKVKQLNSSAITDFCRECLRNFVKEAVSLALSGRQMDQT >Sspon.07G0009260-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:22842052:22845249:1 gene:Sspon.07G0009260-4D transcript:Sspon.07G0009260-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MELSPAGRWADLPEDIALAVASRLQEADVCALGGCSRSWHSACDADCIWERLFRCRWPAARRRRRRRRPVCRDGKLSTSPNTEEWLLQYLMWLNLWKQLK >Sspon.01G0000090-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:775309:782463:1 gene:Sspon.01G0000090-1P transcript:Sspon.01G0000090-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDANANALGMDAVTNETVDLEHIPVEEVFEHLKCTRDGLTSAAAQERIDAFGYNKLEEKQESKVLKFLGFMWNPLSWVMEAAAIMAIALAHGGTDFRGKPMSIDYHDFVGIMLLLIINSTISFIEENNAGNAAAALMARLAPKSKVLRDGTWSEMDASLLVPGDIISIKLGDIIPADARLLEGDPLKIDQSALTGESLPVTKQPGDGIYSGSTCKQGEIEAVVIATGIHTFFGKAAHLVESTTHVGHFQKVLTSIGNFCICSIAAGMTIELIVMYAIHEREYRQIVDNLLVLLIGGIPIAMPTVLSVTMAIGSHKLAQQGAITKRMTAIEEMAGMDVLCSDKTGTLTLNKLNVDKNLIEVFARGMEKDDVVLMAARASRLENQDAIDFAIVSMLPDPKEARAGIQEVHFLPFNPTDKRTALTYLDAGGKMHRVSKGAPEQILNLASNKSEIERKVHHSIGNYAERGLRSLAVAYQVEVPEGTKESPGGPWQFIGLLPLFDPPRHDSAETIRRALDLGVSVKMITGDQLAIAKETGRRLGMGTNMYPSSSLLGDKKGDIAVLPVDELIEQADGFAGVFPEHKYEIVQRLQARKHICGMTGDGVNDAPALKIADIGIAVADATDAARGASDIVLTEPGLSVIISAVLTSRAIFQRMKNYTIYAVSITIRIVLGFLLLACFWKFDFPPMLVLVIAILNDGTIMTISKDKVRPSPHPDSWKLAEIFATGVIIGAYLAVTTVLFFWAIYSTDFFVRVFHVRSLKRVEKTGDNQNQFADNMEMLASAVYLQVSTISQALIFVTRSRGWSFMERPGLLLMCAFVIAQLIASVLAARVSWELAGIKGIGWGWTGVIWLYNIVVYLLLDPIKLAVRYGLSGKAWNLVIDHKVAFTNRKDFGKEARKAAWAHQQRTLHGLESAGVHGAGREKAALVELGQMAEDARWRAEITRLRELHTLKGKVESVVKLKGLDLEDINNQHYTV >Sspon.02G0011450-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:30574018:30574574:1 gene:Sspon.02G0011450-1A transcript:Sspon.02G0011450-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPRRVCVTGGGGFIASWLVKLLLSRGYAVHATLRDPSDPKNAHLKELEKAPENLHLFKADVLDYDTLTSAVEGCEGIFHLATPVPEDKIVDPEASPCLLDTYAYHQIM >Sspon.08G0029640-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8D:45952131:45952313:1 gene:Sspon.08G0029640-1D transcript:Sspon.08G0029640-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTQITRVPTKSGARRHAKSEMKQQAVAKHTSTEHANPKFRRKSRHDSVILRTTAPTSRNV >Sspon.02G0020900-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:63547570:63553560:-1 gene:Sspon.02G0020900-2D transcript:Sspon.02G0020900-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPRRRAATPSLRDLLLLVALPLLLSGCGPSPARGGDLRRVVEVPGEPRSVVWAVQLSDLHLSAFHPERAADFRRHVGDALAMVNPALVLITGDLTDAKSKDLLSSRQEEFEWILYGQVIDDVANRSGLSKEVFYDLRGNHDSYGVPEVGGMFDFYKKHSINARLGRTGAVQSITLQNSGMKYLFVGFDSAMGVGLRSPTNIFGQPTDRLLSDLDAALSQWDNQSTSSLVTKIVFGHFPISFSALTTSGRSIRDVFLKHSLSAYLCGHLHTNFGRNLKRLHTSDQQRFSSKQYFQLDIHEGMSTTVSNVNCSARITESVAEFWEWEMGDWRSARSMRILAIDSGYVSYTDMDFRFGSRDVIIVPTFPLDSRFMHRSSYPYDFACQAMSTTHVGTVRALVFSRYKIKSVTVKIYESHSGSFQLVLEQEMEKTRGKGARGAMYTVPWNWRDFLDELPDRYWLQIEVVDMTGEIYHSEPRPFSVSGLTAKVSWTWKEFRVMGCQWNQLYYPILWTTLAFLFSLVLVPRTSLTFYENRFMSKFIRPKMTGRSLGGFSPVAVELSKMYIIWSGMLFYLLYLVFFPWFTGYAVTENYNKMYLHYRGWSTRYLANTTALPYVGLPDVMVIVLLHLLSVVLPAFLLIAAIAAERALYLGHHFSQRTKKDDDHYKKAWHMKNVYIFYWLRKILILLCLPIVWKHWKHCRAIVRAYEVNPFMDAPIYCFGVPALLWMAIYRSSSVGVYQAASLGVASPKPFPLCRWLTTPAATQRR >Sspon.01G0040240-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1C:31838455:31840110:1 gene:Sspon.01G0040240-2C transcript:Sspon.01G0040240-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLKCTSAVIKLTEKKNEVDGEYNEPSSVVKLGTWGGDGGSACDLTVAPQRLESITIRWGKVIDWISFSYRDRSGKPHTAGPWGGNGKGEGTETITLEASEYVTGVAWSVGPFTLKNVESCITSIKVVSNLRSYGPFGHGVDSTHHYLPVLNGSVVGMFARAGDFLDAIGFYILPAALPAIKPTDLDQEEKEDSSQEENQEEKEKDRNQDEKEEKSDQEGKREGL >Sspon.04G0012060-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:39982498:39989870:1 gene:Sspon.04G0012060-3C transcript:Sspon.04G0012060-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEVKLGECGLSYAVVSIMGPQSSGKSTLLNHLFGTNFREMDAFKGRHELPGFCTIYSCLQLWSQTTKGIWLAKAQNIEPCTLVMDLEGTDGRERGEDDTAFEKQSALFALAVSDIVLINMTYCSIVQLRNFPPSSLRWCHDIGREQAANKPLLKTVFQVMMRLFSPRKTTLLFVIRDKSKTPLENLEPILREDIQKIWDAVPKPHAHKETPLSEFFNVEVVALSSYEEKEELFKEQVSHLRDRFQHSIAPGGLAGDRRGVVPASGFSFSSQQFWKVIKENKDLDLPAHKVMVATVRCEEIGNEKLASFTADEEWQQVEEAVQHDYVPGYDMEAIYFDEGVRTSKRQQLESKLLQLVNPAYQLLLGHLRTRIVEEFKESFDKALTKEGFAVAARDCTQIFLEKFDKGSEDAAIQQVKWDPSKVKDKLMRDIEAHVASVRAAKLSELCAKYEAQLTKALAEPVEALLDSASEDTWPAIRKLLQRETKAAISGLEFALLAFELDEATEKELLAKLENHGRSVVESKAKEEAGRVLIRMKDRFSTLFSRDADSMPRVWTGKEDIKAITKTARSASMKLLATLAAIRLDEDGDNIENTLSLALVDTSRPGTTDRSIQSFDPLASSSWEKVPEEKTLISPVQCKSLWRQFKAETEFTVTQAIAAQEANKRNNNWLPPPWALAAMAILGFNEFMTLLRNPMYLAIIFVVFLVGKAIWVQLDIATEFQNGFLPAILSLSTKFVPTIMNILKRLADEGQRPAASDRQRELELQPTNRSSYSSVTSAGSSSITATENGPEYSSPVAK >Sspon.02G0001920-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:6414458:6415199:1 gene:Sspon.02G0001920-1A transcript:Sspon.02G0001920-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEACRGAAAAGRQLRQDEAGGGGGHHHAENELVGDPAHDSAVSEKLDEDVPAMPMMALNHISRLCESVDASVRFYVKALGFVLIHRPPALDFSGAWLFNYGVGIHLVQRDDARKARDVRPAGSEEEPELDPMDNHVSFQCEDMGAMERRLQEMRIRYVKRTINEEEGSPIDQLFFRDPDGFMIEICNCENLEVVPAGALGRLRLPRDRHNPPVRMATGGAE >Sspon.02G0035360-3D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2D:12733717:12736812:-1 gene:Sspon.02G0035360-3D transcript:Sspon.02G0035360-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGDLAAPAEFGPEQMTLGTELLRVLTTGDVSRLKELLSGEGLLTQRADGHVAIKVNGTSSPGAVSPGAGTGTGTGSLLGVTSNGNTALHLVASRGHAELAAFVCESAPSLVATRNKGLDTPLHCAAKAGSRGVAACLLPRMRTAAGGADEAAAAALRARNRLGATALHEAVRLGRAAVVDLLMTEAPELASVATDDGVSPLYLAAETRSEQMVRLLLRPAPDGTPSPASFAGRHGRTALHAAATFSKDMAQEILNWEPVGPTLLAIADSSGRTPLHFAILHGHLDVVELFIHARTSIDQARISDSHGSFPVLAAAMAGSTRILDELVKKCPDYYELVDNQGRIFLHCAVEHNQDRVVQHICQNDAFAMLLNATDYEGNTPLHLAVKYGFPRIVSTLLRNMTVEIGIANKDGLSVQDLANRAITPARWCYFLDPHFIVLMNCLCWLGLGTCITPDRRIHPLHQQADPNPTEEEASDDEEHVMLRNGAIGSVLIATVAFAAAFTVPGGFVADDRPSAGTAILARRFAFRAFVVSDTMAFLCSIVATSFLIYGGARENPLSHRIWYRLLASRFMPIAVRCMIAAFAFGFHLVLGDAANLGLIVFVYVASLAPVLFCFPDVWIPLQLGLAKTVWRRAGWRGLVNIHKRPSSLIQLAQLFMASFLFQYLGGTLLVVLIAAAFAVAIAL >Sspon.04G0008470-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:25194312:25197268:-1 gene:Sspon.04G0008470-3C transcript:Sspon.04G0008470-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARNGNGKKEDPAASACTRSTASVATAAPNPRTERSSGPGVAASTRPGSDSGPINTRPGPSLHSHRSPTAFEKNREEGISWFPAFGLGNLLTDEQQSRISRGRWLQRGPGLRGISKGGKKKSSSSRSSLMYEAPLGYSIEDLRPAGGIKKFSAAYSNLLSSDQKISRNHFLSSSPGCLSSCSETMAVLQVAAAAPPPVSAIGFEGYEKRLEISFSEAPVFADPNGRGLRALSRAQIDSVLDLARCTIVSELSNEDFDSYVLSESSLFVYPYKMVIKTCGTTKLLLAIPRILELAEELSLPLAAVKYSRGTFIFPDAQPSPHKNFADEVAFLNRYFGGLRSGGNAYVIGDSAKPGQKWHVYYATEHPEEPVVTLEMCMTGLDKKKASVFFKTSADGYTSCAKEMTKLSGISDIIPEMEICDFDFEPCGYSMNAVHGRAFSTIHVTPEDGFSYASYEIMGFNPGSLSYGDLVKRVLRCFGPAEFSVAVTIFDERDNAKTWGKKLDAEAYACSNTVEQVLPSGGLLIYQSFTATGETTPGSPRSVLHNFAGDIVKNGSENGEPDAPCWEADAVDKIEEREVKKMKC >Sspon.02G0059810-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2D:101180730:101187343:-1 gene:Sspon.02G0059810-1D transcript:Sspon.02G0059810-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIVNTPSPRHHRLITPPGNEPARPPHSPNPQQSQTSHYDFQTPHHLLSETENQLPHRNSLNTAQPGAPSGRHHLARPTPPGASSGRLTPGCPSRPAAASQGHAATPILPSHREFASPSASWTPCTRSMAPSPKQQLRPRLYGPSPLLGGYFLFKGLEKVSRQTTTRDVSKLFDEQRVMLMKSLLPAASSVSLTSDIWSGNAKEDYISVVAHYVSVDWELQKKIIGLRLIDVSHSGENIADRIASVVEEFGLIDKVFAVSLDNASANSRAFDILQSMFFGYLGSYPAPTNDDPNKKFGAVRSQRVSVPSAHTGKTKQAWGRIFGGPGESPVSSPSSSSTPSAVSELKAYLDSDPVTCYEESFDILLWWRDHKLTYPILSIMARDIMSVPVSTVSSESCFSCTSRILEDQQRRLLPEHVEMLTCIKDWEQGARREQHTPEDLELEEAFNNLYLDEQGEGSGSGDTAVGGG >Sspon.06G0014930-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:82934873:82939538:-1 gene:Sspon.06G0014930-3C transcript:Sspon.06G0014930-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARRSRGWARGAAAFAAVALAVGAGRRYGWDGASAVAAFREARGALGPWAVPAYVAAHALTLALCPPYAIFFEGGAALIFGFLPGVACVFSAKVLGASLSFWIGRAIFRYFTSAMEWLQRNKYFHVVVKGVERDGWKFVLLARFSPLPSYIINYALSATDVGFFRDFLLPTVIGCLPMILQNVSIVSLAGAAVASTTGSNKSHIYSYLFPAMGIVSSVLISWRIKQYSSALAVPEELQSSPTNGNDNGDAKLASTPSKNTSSGKTRKR >Sspon.01G0006180-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:13640820:13643447:-1 gene:Sspon.01G0006180-2P transcript:Sspon.01G0006180-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RMAGGFRVLHLVRPFLAFLPEVQSADRKIPFREKVIYTVISLFIFLVCSQLPLYGIHSTTGADPFYWMRVILASNRGTVMELGITPIVTSGMVMQLLVGSKIIEVDNSVREDRALRNGAQKLLGILIAIGEAVAYVLSGMYGSVSQLGTGNAILIILQLFFAGIIVICLDELLQKGYGLGSGISLFIATNISENIIWKAFSPTTINSGRGAEFEGAVIALFHLLITRTDKVRALREAFYRQNLPNVTNLLATVLVFLIVIYFQGFRVVLPVRSKNARGQQGSYPIKLFYTSNMPIILHSALITNLYFISQLLYRKYSGNFLVNLLGKWKESEYSGHSVPVGGLAYYVTAPSSLADVLANPFHALFYVVFMLSACALFSKTWIEVSGSSAKDVAKQLQEQQMVMPGHRESNLQKELNRYIPTAAAFGGVCIGALTVLADFMGAIGSGTGILLAVTIIYQYFETFEKERATELGFFGF >Sspon.07G0005310-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7A:13518179:13520212:1 gene:Sspon.07G0005310-1A transcript:Sspon.07G0005310-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRASNFVPDPNQVLLDARPLRSLAPMFPAPMGVNVNQSSTPPLVCVTPVGQFPAGFGAGNLPAFGSFTTFSATANGVAYTGTSANGAIDATPISAYKTRSNILLDGDDEPYSGNQTSASERKPRRGRPPGSGRGGSNGKVKRPKPTYKNFVAGKELAFLPSSSNPREIVEAVHMVFEALRRRHLQMDETQDASRRADLKAGAIMMASNIRANTGKRVGTVPGVEIGDIFYFRMELCIIGLHAPSMGGIDYMTTKFGNDEDSVAICIVSAGGYENEDDDTDVLVYSGQGGNSKNTEERHDQKLERGNLALERSLHRKNVIRVVRGFKDPFCLTGKIYIYDGLYKIHESWKERTRSGIHCFKYKLLREPGQRDGAALWKMTQRWIDNPATRGSVLLADLSSKAETVPVCLVNEVDHEKGPGHFTYTNQVKYLRPLSSMKKLQGCGCQSVCLPGDANCACGQHNGGDLPYSSLGLLSCRKPMIYECGESCNCSTNCRNRVTQKGARLHFEVFRTTNRGWGLRCWEPVRAGSFICEYAGEVIDELKVNLNDSEDDYIFQTVCPGEKTLKWNCGPELIGEDSTYVSADEFEPLPIKISAKNMGNVSRFMNHSCSPNVFWQPVQYDHGDDGHPHIMFFALKHIPPMTELTYDYGVAGAESSGSGSRRTKNCMCGSQNCRGLF >Sspon.01G0056700-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:98797835:98800686:-1 gene:Sspon.01G0056700-2D transcript:Sspon.01G0056700-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MINFVLLISRQGKVRLTKWYSPYTQKERTKVIRELSGLILTRGPKLCNFVEWRGYKVVYRRYASLYFCMCIDADDNELEVLEIIHHFVEILDRYFGSVCELDLIFNFHKAYYILDEILIAGELQESSKKNDSLVEAAKEEASSISNIIAQATK >Sspon.02G0046530-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:4901978:4907349:1 gene:Sspon.02G0046530-1C transcript:Sspon.02G0046530-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDEGGAGEPLSDRQKREIALWFLSNAPAGEIHYAAAAEAFPEYNKARLVSLELPDRSGDIIISTYGEIDKNNYLDPRTAQVATIDHMKQTCTKLRPAEDEELPSAYIEEFRCALDVELSKYVVEAYPKGACAIYCTSGKDIEGPGADFSFTAVISAAKRSPQNFCNGSWRSIWTMDFNYELQFVDIKGNIQVDAHYFEEGNVQLDTNIDRKDSTIMQSPDDCAVSITNIIRHHESEYLSSLEESYLNLSDATFKDLRRKLPVTRTLFPWHNTLALSLTRDLAKELALGK >Sspon.04G0012610-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:42319658:42322685:-1 gene:Sspon.04G0012610-1A transcript:Sspon.04G0012610-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SCLAILQGPDLVISQSKDCLDNMGDWCKTHHAAIGLTERVLQSELSEHDAEAQKYMPQLAAVFSHVIVDVSSIMALCIRWYPTERKQTPRKQKTHRAMDDIKESIAELKYYKDNIFKPQKSKR >Sspon.01G0011150-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1A:30730665:30739153:-1 gene:Sspon.01G0011150-1A transcript:Sspon.01G0011150-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G38770) UniProtKB/TrEMBL;Acc:Q8L5Y4] LHYQAGGVQNIRLLVPVVLEPESPSYARWRDLVLLTLRRYALDDHVLLDASVAAQTPSWLRLDSIVLSWILGTISLDLHDLVRTSPDARRAWLALEGQFLGNAEARALRLDASFRTFVQGDLSVGEFCRRMKGMADSLGDLGWPVEDRILVLNVLRGLSDRYAHLRTWITRQRPFPTFLEVRDDLVMEELTQGLQPGSTTSRGPRPPRRPWLLLRRVLLLHRGPPLRAPSSLLGPPPSGPSGGGGVVALVVAVEGAAGVAGAVTQAPAPSGTHGGHHGLRSRTRGRSHLHVALPRPGADTRPPVAMLTGAPPAGPPPGAFPATPWVPPSGTPPGVTGWDQAALARSFSTMGLTPPVGPEWIADSGPPTTLLLTLVYFRRFTLPLPLFLRPLWSQMVLVFQSRLWVPPVLSAAFVASTSSTTWHRRLGHPGRDALIQLSRSSGLPYTFTTLIHFFTWVSTQFGLTIKAVQCDNGREFDNSTSRDFFLSHGVQLRMSCPYTSSQNGKVERMIRTTNDTVRTLLFQASLPLASGLRACTPPPTFLTAFLPRPAPLPLLTTPSSVPRLAGPSLHALCLPRVLPDHKGYRCFDLTSRRVLISRRVVFDESVFPFSSTTTSPVPDPPSLFPTDPVVQPPFSWSPAGTASPRAGPAPVPDPQRSGPSSSGAAPSSPVGADPGPSSPASAPGGRVGRRPDPVPAPAPAPPSRFAAPVRVYQRRPRPRRSRSLLHRGHRHHRRSPAGSWCPAGLPPAAASPTPAACSPDGDTTRGWHLPPRALEASTGDAVVSPVPSSVRDALLDPHWRRAMEEEYAALLANQTWELVPRPPGSNVVTGKWIWTHKRRADGSLERYKARWVLRGFTQRPGVDYDETFSPVVKPATVRTVLSLALARSWPVHQLDVKNAFLHGLLTETVYCSQPAGFVDTTRPDMVCRLNRSLYGLKQAPRAWNHRFAAFLLTLGFMEAKSDTSLFVYHHEPDTAYLLLYVDDIVLTASSEPLLRRIITALQQEFAMKDLGELHHFLGGKLSEAEGPPVADPTAYRSLAGALQYLTFTRPDITYAVQQRLLRYLRGTLDYGLLLHRASSTDLVVYTDADWAGCPDTRRSTSGYAVFLGGNLVSWSSKRQPVVSRSSAEADTLIYCDNVSAVYLSTNPIQHQRTKHVEIDLHVPTVLHVRRVAMGEVRVLHVPTTSQFADIFTKGLPSSTFAEFRSSLNITDIQEAVPHLHAYINNEGETAFRGWSRMAVPIKEFKITEVKQPNIGEVKPSAVTADVTFSISSYRHQIKSEWDALKEHDVLFLLSIRPSFEPLSPEEAAKSTVPERLGLQYVRGCEVIEIRDEEGTLMNDFTGRIKREEWKPPKGEIRTVRVALDTAQYHIDVTETAEKGAENVYGTFNILMRRKPKENNFKAILESIRDLMNETCVVPEWLHNIFLGYGNPSAAQWMNMPDLLEVIDFKDTFLDANHVQQSFPDYQVTFINSDGTENLHPSPPFKIRLSKKMRESSHALPGNKEKLIVESYIPADPGPYPQDKPKQNSVRFTPTQRDVPARYLLRLGQGEQELATDLDFSRQGRVNAMLVRRLELLGEVSKLARSLRLPEDVGYTCETAAYFWLLHVYARWEQFLAACSQNQDKPSFVKDRFPFSEFFADTPQPIFTGESFEMDMHAAKGCFKHLSTIFQELEECRAFELLKSTVERANYLMTKQAKIVAMTCTHAALKRRDFLQLGFKFDNLLMEESAQILEIETFIPMLLQRQEDGHARLKRCILIGDHHQLPPVVKNMAFQKYSHMDQSLFTRFVRLGVPYIELNAQGRARPSIAELYNWRYRELGDLPYVREQAIFHKANAGFSFEYQLVDVPDYKGKGESAPSPWFYQNEGEAEYIVSVYIYMRLIGYPANKISILTTYNGQKLLIRDVINKRCKPWNIEPPNKVICNKYGVC >Sspon.03G0012730-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:35247128:35251824:1 gene:Sspon.03G0012730-1A transcript:Sspon.03G0012730-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RAS associated with diabetes protein 51C [Source:Projected from Arabidopsis thaliana (AT2G45280) UniProtKB/TrEMBL;Acc:F4IW45] MGQKTVYRAAQIASSEPCSPDTLLSHSTVSHSLRLVPGAPKAAVDRRRRRGAALPKRQWLRRLQRGGRWRRRRLQGEGSCEAPPTAATSGGGGRYERWRRLRRVGGWVQNAWDMLSDEQSQKHITTGSGVLNDILGGGIHCKEVTEIGGVPGVGKTQLGIQLAINVQIPVEYGGLGGKAVYIDTEGSFMVERVYQIAEGCIRDILEHFPHSHEKSSSGQKQLQPEHFLADIYYFRICSYTEQIAVINYLEKFLGEHKDVRIVVIDSVTFHFRQDFEDLALRTRVLSGLSLKLMKIAKTYNLASGKIREL >Sspon.07G0001250-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:1725235:1730638:-1 gene:Sspon.07G0001250-3C transcript:Sspon.07G0001250-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALLALPITSCRLVLNQSRTMVGHQSANAEHGLVSALAITGCRLVLNQPGATALDDSLSQEKFWTAEEKYFDAITGLGAEFGVVLVYFYICDRKTYFQKARSYNRDLFLFLYILLIIASALTSLKKHHEKSAFSGKSILYLNRHQTEEWKGWMQVLFLMYHYFAASEIYNAIRVFIACYVWMTGFGNFSYYYIKKDFSIARFAQMMWRLNFFVAFCCIVLDNDLMLYYICPMHTLFTLMVYGSLGLFNKYNEIPSIMAIKIACCFLSVILIWEIPGVFELLWAPFTFLLGYKDPSPSKAHLPLLHEWHFRSGLDRYIWIVGMIYAYFHPNVERWMEKLEESETKVRLSIKGTIVTLSLTAGFLWYEYIYKLDKVTYNKYHPYTSWIPITWLGKITLETYISQIHIWLRSNMPNGQPKWLLCFIPDYPMLNFMLTTAIYLLLSYRVFEITGVLKVAFIPSRDNSRLYQNFIAGIAISVCLYLCSLVLLKIPVGRRYTKQS >Sspon.07G0022230-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7B:6910430:6912382:-1 gene:Sspon.07G0022230-1B transcript:Sspon.07G0022230-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHWTCKLNSDGQLRSLLRASQLVKFMMIVGNRVEGDEPPAVNMDGEGMPNEVPVVEEKMEFEGFEWADVPQYGETIAGPPMPEEEEKEHFMTVGCDPDGDEPTGADEEWRYFKPVDAAINDAQPAENIEVEVQKRKRARSVLDFDSECVHDDESTMIDDYIAPFTTHDKENPVINEGDTFGDKEEFIMTLRTYAIKNEFETRVEHSDKERYRARCADANCDWRIFAKKLHGGDTFMVVKLSKLDDHTCPSSATMKGHQASTAWISQRAKQIMEEDPILSAKKLQRRLEKHYNIELSYFKVWSGKKSAMDDIHGTWEESFTMLRRFKAALEETCPGSIVEIDCKKIKGQMHFSRMFVCIRACVDGFLSGCRPYIGVDSTHLTGKYNGQLAAATAIDGHNWMYPVAYGILGRKQMPTGHECKVDYVNNNISECFNNWIKDYKDLPVVDLMDTIRVKIMEKIYTRQEIASRLQGCILPSVIHELNMKSRGLHYDINKSGPMSAEILETTKEGKT >Sspon.07G0030610-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7C:9640900:9641919:-1 gene:Sspon.07G0030610-1C transcript:Sspon.07G0030610-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEREEGERDGGGAGEKVRERKTKCVHRWEPVNAALSHKRFQRGNEQAPTTTAAPQSTTTLYKTRVATAWLLFATVIRLQYSSTSRLAARRWSRSCGSPSSCGSGR >Sspon.02G0033180-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:6182145:6182882:-1 gene:Sspon.02G0033180-2C transcript:Sspon.02G0033180-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGNGLLRRWLVNCVRPARREARLVVWGGGTWGADPGREAGEVMAEHAGHVVCRADGFRVGRPAPVLGVGDRLEAGRTYVVVPVDRLPCGGTDGVVTAASLAALSSSSHSRGVGGGKAAAPSLALAAGRKSPFEYAKDGDGRTVIRVAEEFIVRAVAGDGGSGGKSVKSRGGDDRGDGDGSAALCSTPELRKHYEQLVGATRGRPWSPRLDTIKERKGRRLADVVSPGRLSPVRLLGMDKGLSIS >Sspon.02G0027290-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:107527621:107530505:1 gene:Sspon.02G0027290-3D transcript:Sspon.02G0027290-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding EMPPHTAGRLSALIRRCAAGGALSPGAQLHAQGLVSGLLPDATLDTDFVLLYSRCGALHRARQVFDGMSFPSMHAYNVLLAASPPGAAVELISRLLASGLRPDRYSIPAVVRACAELRDTLLGAVFHGFALRLGLLANVVVSGALLDMYAKTGMLGDAARVFDEMPERDAVVWNCMVTGYARAGSSEETVKLFRKAQIESVDMARDLRAVPNVLNVCANEGQLMKGREIHGRMVRCFAFDSDIAVGNALVDMYAKCGQVDGAQAVFASMKERNVVSWSTLISCYGVHGMGKEALRIYEEMVSRGVKPNCITFISILSSCSHSGLVSDGRRIFESMSKVHAVEPTADHYACMVDLLGRAGAIEEAVGIIRNMPMEPGASLWGALLSACAIHNNVDVGEVAAYKLFELEEGNASNYVTLCGIYDAIGRHKVKDERTWHGEDTWLQLGSCEGKSPCLLPRVHTQQGSLCVVLPSSRGLGTYYDQIWSTVLKHEVPLCKGVRKKGGSLKARNVFSNPTATEVALVSDAIELYNRCLKPTAAFVLHSSYYQLFTSLEQSDIQLPTGRLSCCVLSPDKPLIIFLQMQIYFLDHVVLHSDRAEFVQEKEP >Sspon.01G0018820-3D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4D:6040960:6042508:-1 gene:Sspon.01G0018820-3D transcript:Sspon.01G0018820-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVIFPSLLQLPSGITELDDRKQRILCLKKFRSRDEQLSEVDTERELECGICLEVSRKIVLPDCAHSLCMRCFEDWNEKSKSCPFCRACLEEVKPGSLWMYTDDSDIVDMDTLTRENIRRLFMYINKLPLVVLHVVDLDIYEYRIK >Sspon.01G0022090-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:85485195:85487491:-1 gene:Sspon.01G0022090-2B transcript:Sspon.01G0022090-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAPPAAPPAPPTAPWRRHAPAAASSTPRTVLLLLPILLLLLFVLSKAPDLTFSTTSSSSTADTAAASSSSRHLPGDLRSFDCYASQQAFPVVANLVEGVPYPFLYSLADMGTLPDHPHKNIARLLKGKRFRKPDISETIQELLGGEVGRGEPSGGLVVDVGANVGMASFAAAVMGFRVLAFEPVFENLQRICDGVYLNRVQDRVVVYHAAASDRVGTITMHKVIGRLDNSAISAIGAKLAFKSNAEIAVEVSTIPLDEVVPDTERVLMIKVDVQGWEYHVLRGASKLLSRRKGEAPYLIYEEDEHLLQASNSSAQEIRAFLTSVGYNHCTRHGNDAHCTKE >Sspon.08G0017660-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8B:2479273:2480063:1 gene:Sspon.08G0017660-1B transcript:Sspon.08G0017660-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAEVANMSSVAVIAENEAGAKRWEGSVAGGDDAHHSECRLPQHHVPTSSALDTTSPAAESSVSASAVGCSSGDNIIVWFGLDGECQIQQRQQVRRGKTRRQRRLTRGRHWWRSCLSREVSVGPESEEQMGGRRRDREIGSTAALLLKVTETEELGIRQDEHLIRP >Sspon.01G0019450-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1A:72854269:72854820:-1 gene:Sspon.01G0019450-1A transcript:Sspon.01G0019450-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcriptional regulator, Regulator of meristem activity, Regulation of inflorescence developmen [Source: Projected from Oryza sativa (Os10g0478000)] MDLVPHTDSPHSDNGGGGGGSASGALSLPGASSAGAVSALASPSRYESQKRRDWNTFGQYLRNHRPPLSLARCSGAHVLEFLRYLDQFGKTKVHTPACPFFGHPAPPAPCPCPLRQAWGSLDALVGRLRAAYEENGGRPENNPFGARAVRLYLREVRDHQSRARGVSYEKKKRKKAPAHPVPAT >Sspon.01G0049530-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:113356694:113358825:1 gene:Sspon.01G0049530-1B transcript:Sspon.01G0049530-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:WRKY DNA-binding protein 58 [Source:Projected from Arabidopsis thaliana (AT3G01080) UniProtKB/TrEMBL;Acc:A0A1I9LLS8] MDDRRGHGDAMGQRPFASAAQGQERVFDGGGGPGPAFGGEFDQGSSLMALLGAGAVSSQPLPPPWEVEEVTAAPAINLVPQSFSMANYAPPPPSYQQPTSFCRQPNSFTPSPLGGRVDHYPPYLLVDQPPQWPPTRATAAVSPLPHSNFTVFFPRNPYDHDMELRATALFGGSGGLHAHALPPPPPPPPAIEQPAKDGYSWRKYGQKQLKDAESPRSYYKCTRDRCPVKKVVERSFDGFIKEITYKGRHNHPRPQDRGLAGGGNDALAAAEEDVDGPSDDDEDDALMHEDDVGGAPGMGADGVAGQRVVKKPKIILQTPSEVDLLDDGYRWRKYGQKVVKGNPRPRSYYKCIADNCNVRKQIERASTDPRCVLTTYTGRHNHDPPGRGKEAAATVAAGGSSADPPSSANTASGSGAFQENLG >Sspon.03G0029720-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:17895669:17900351:-1 gene:Sspon.03G0029720-1B transcript:Sspon.03G0029720-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAEVYSPTAAAAAQQQQRGKAASQAWRAVVGWIGFLLQVLLQILRGTPSCAQLLSFVGFRYPLISGPAASEPSPEVAFMPLRSEIPADAAPAPTPAPEPLGRLTVVLDLDETLVSAYESSSLPAIVRTQAVEAGLHCFDMECISSDKDVEGKQKVNHVTVFERPGLHEFLQKTSEFADLILFTAGLEGYARPLVDRIDVHNRFRLRLYRPSTVTTEYREHVKDLSCVSKDFGRIVIVDNNPFSFILQPLNGIPCVPFSAGQHSDDQLMTVIFPLLKHLSLQKDVRPVLHERFHMPEWFQKHGIPQTNQAVRRQPQDKAPSCRCLGHGLARQPALQRLEVLLSTKEANHLKVHPHWVDLRASFPRLLKQLGSAVELPGLHPYGQDSGVSDGIGLDANGLHVLHQPPGFLVLQRLAVDGNHGVVRHHVRFDAATSATISIGSAAHEVEQVNRLAEQPGIAVHTEHGVVGHHAWRHACPAHGPEQPVRLAREVQLPVRVEDDVEHREVRLDARHGAHVREQRHGREVPPPSRQRGEDGGVGLRVGRDAVGRHVVEQQPLGVADKVGLAVGGDGGVVRLEVGPDPRGAQPGEERQRLGRVATAEGEVDEVGEEDQVRSHGVILHERQEGERVVEVARAGERGEEGGVGERVGGHAPLPHLEEEAGGEAELASAAGRAEE >Sspon.02G0009490-3D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2D:21306696:21309719:-1 gene:Sspon.02G0009490-3D transcript:Sspon.02G0009490-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RTAAIAQVKDITALPSWTSKAETKEVLAITISSHHSADCSAEQDKMFPFVLAAAGVLIVFLYAVKNRRGSKLPPSPPSLPLIGHLHLIGRLAHRSLRDLQIRYGGSGGLLYLQLGRRRTLVVSTAAAAADLFRNHDLAFASRPHSVSGDKMMYGCNSRWEWVGPALALDPALWPQGQFARPWVNPNLQKPLALLAQWVPVEIGLNNGGGAPESKKMAAAALRPAILRLLPIGPRTTMFSHDARAARNGKKHGFAWSDGKSSYAEADPSYPMGQQLPNGPTRPVPILSCNNVSFAPYGGNWRRGKKIATVHLLSQRRVESFAPVRAAEVAALVARTRRAAEAGEAVELRELLYGYTNAVITRAATGAAGATAERLKQLLGNSAALMVGFQPEDVLPDAPARFVRWATGLNKKLDDMAEAWDEFLSEIVAAHKEKRGGDAGEEDEDFLDVLLRLREEGVDGLELTDDRIKGTLEDMIGGGTETTIQTLEWTMAELIANPRVMAKLKDEIARVVTADQPTISESDLNRMEYLKAVFKEVLRLHAPLPLLVPHESTAPAVVQGYEIPTKTGLYINVWAIGRDPAAWDAPEEFRPERFVGSSSPVDFRGTDYQFIPFGAGRRICPGISFALPVLELAVASLMRHFDWELPAGMHLADLDMSEAPGLMTPRRVPLVLVPKCKTLVQAALQ >Sspon.03G0000260-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:614594:616876:-1 gene:Sspon.03G0000260-1A transcript:Sspon.03G0000260-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMAFALAGAFKGLSLAPTTTTFLRGDRASLSVGGAVGVLVPARRLTIQMAHKKGAGSTKNGRDSKGQRLGVKIYGDQVAKPGAIIIRQRGTRVYPGNNVGMGKDHTLFSLIDGLVKFEKYGPDKKKVSVYPYEKEPENPNSYRARKREYFRMRRERKKARAEGATEPQLVIAAVDENSEVSADC >Sspon.08G0005170-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:12411507:12424358:-1 gene:Sspon.08G0005170-2B transcript:Sspon.08G0005170-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSSSSSGRGRGRAGRPPAPRSTATTAPRRCLSAWTGALPRRDGREGTPYGHITLKQFYRIHVGIQSPEGFSSSHGILRRFSDFLKLSSDLKSAFPRKDVPSAPPKHAFLRINSSRLLLEERRHALEEWMQKLLSDIDLSRSAPVAAFLELEAAAHFQERNGRPSEVGSSAKSSSIDSSPHSDGPASGSLAESNQINQALTRGSSLTGATGNGVLGEAILDHSDEHVSSALNHRKGNLVLEHDGRNGSVASYRGVVSEEDRDSNPGHARKDSAESIGSDLSSLRGSELSVPGASSSLWDGAVVDGHISQTEHLTGLDMHLLYDMDAQVILPNDQKQKLTRLLVTMQRRIGTAKTDMEDLIARLNQEAAVKEYLTTKVKDLEVELEATKQKGRETLQQAIQAEKERITQMQWDMDELRRKYSEMESNLKTEQNEKTRAESEKTTASGENETLLEELEIKQKEVESLKQRLVETEAKSKADKKVLVKEVKSLRNSQTEMKKVLNQYLEEKTDLESYYIEKQRSARTKLSRLKILHECRLLRERLLECSAKFLAEEQDNFTIDPSSLPDALDLLATSDNRIRLLVAEPKLRGTAPTLINLEFEQLSCFGNRYLSIRWGIELPDAVVIVGETGDSDYEELFGGLHKTVILKGGFNTPANRIHTVRRYPLQDVVALDSSNIIGIEGFSTGDIRSAMQQQLGIPTQ >Sspon.05G0018950-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:76085907:76089307:1 gene:Sspon.05G0018950-3D transcript:Sspon.05G0018950-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAYYHGGAGTDIQPSTDGLQTLYLMNPSYAGYADDGGASTPGATNMMFLNSAVTTMTPASFAHHHHHHQSPSSAAQQQQHFVGIPLQAPPSGYNLWTPTTAADMSSPPSQAQTPGAAAAGVSAVLSLSSREAAPPPVTVAAVAGPGCTDDGKYHLGVSATSQGQMVMSSKYLKAAQELLDEVVSVSKGVEDANKTTKNLAAVKKKEDSEGVSGGGTEDGSGAKSGGGAAEMSTAERQELQMKKSKLINMLDEVEQRYRQYHGQMQAVSSSFEAAAGAGSARTYTALALRTISRQFRCLRDAIASQVRAASRALGEDADAAVAAGGRTVGSRLRYIDHQLRQQRALQQLGMMQGGAWRPQRGLPERSVSILRAWLFEHFLHPYPKDSDKIMLAKQTGLTRSQVSNWFINARVRLWKPMVEEMYLEETKDQDGGGGGGGNDEGKSGGGGSKSGDTVDGVTPRADAMSKSAVRVGGGGAAESASTNKGIHGSSLLELGGGGDHQHSHAGFYDDDEDDGDDAM >Sspon.07G0012540-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:72587497:72592552:1 gene:Sspon.07G0012540-4D transcript:Sspon.07G0012540-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRPSEREQMRKGNYKQTVDAEESRRRREGQMVDIRKAKREESLQKKRRDGFPASAAGAAPPMGHSTALQQKLDGLPAMVQAVLSNDPAVQLEATTQFRKLLSIERSPPIEEVISTGVVPRFIEFLTREDHPQLQFEAAWALTNIASGTSENTKVVVESGAVPIFVKLLNSHSEDAVWALGNVAGDSPKCRDLVLGHGGLFPLLQQLNEHAKLSMLRNATWTLSNFCRGKPQPNFDQVKPALPALQRLIHSQDEEVLTDACWALSYLSDGTNDKIQAVIESGVFPRLVELLMHPSASVLIPALRTVGNIVTGDDMQTQCVIDNQALPCLLNLLTTNHKKSIKKEACWTISNITAGNREQIQAVINANIIAPLVQLLQTAEFDIKKEAAWAISNATSGGTHDQIKYLVAQGCIKPLCDLLVCPDPRIVTVCLEGLENILKVGEAEKNLGGGDVNVYAQMIDDAEGLDKIENLQSHDNTEIYEKAVKMLESYWLEEEDDAMPSGDNPQNGFNFGNQPSVPSGGFNFG >Sspon.03G0021940-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:66828435:66834626:-1 gene:Sspon.03G0021940-1A transcript:Sspon.03G0021940-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVEEITEGVKNLAVAGDAAAVSGGEGQRRGGGGSSNRIQVSNTKKPLFFYVNLAKVRLPLSPLRDASFRDIPAPRFRFLTDLVGCFGPCRAIATVVTVAEILKNNGFAVEKSETLGPPCLSFVSNPDQFMSCLRLGCATWKPKAHQYRVVLLTPSPLSPLRESSRHLLASVLFSSSALRRGGEDDVNRRAVLLLLLYVTHPAAPAPPTARSPAAAAAPSLTKSPRLQVAAAAGGGSIKAATDAEFFQPSDTRPIMLFDGAHPKTLLPFRSRLGKSFNLPTAVLCVCNLCNGGVRFVREHDPNRSIRYMPLQSESGRKLLQRSGRSPDDISSVVLVEKDRSFIKSEAVLRIMEYLNLPFPQLAVFLNFFPLFLRDFVYDNVANNRYTVFGRSETEAFKYIAMVYLYTKCFSTALDRTVGDDGAVRRCHSNAVCVGAISWRSDGDTVHERLVALEQGHVLVCTVLQTYPSDP >Sspon.03G0011450-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3A:31099982:31101070:-1 gene:Sspon.03G0011450-1A transcript:Sspon.03G0011450-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRSPSAAIKPPCRAFQSHPSDLELVDSYLRPWVETGVKTSAFIHDADVYAADPADLTRQFAPAVAGDGERAWYFYTPLRHKSVHGKRKTRTVATGGGCWHNEAKSKPVYMVSNGKQHQIGHRQSFSFVKKDTGVRVRTGWLMIELRLLKDGEKPEEEDSALGNRVLCKVYRSPRNPEPSGSGAAAAASPGHKAEADDDESSDATLDDDYDDDDSSNASLEAASGPKRRRPDDMESSEATVAAPSRHSKANDEIPGGAAAAPGRSEKAEIGEDSVETSAAAPPRKRKALDDESSGAAAPARKKKADGSSSPGAPVSATEMQCPQCGTHLVVTLKRAESKSETEFAKNESAPGASGAPQRGETR >Sspon.05G0006120-2P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4D:21334344:21339416:1 gene:Sspon.05G0006120-2P transcript:Sspon.05G0006120-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPAASKSSGSVSVSSARSIPELYEERGASTLREFGFRELRAATSDFSRLLKVGEGGFGSVYKGVVRLPGGPAGGTVVAIKKLNPNGHQGHKQWLTEVHFLGVVEHPNLVKLIGYCAARSERGPQRLLVYEFMTNKTLDDHLFNKAYPVLPWDIRLEIVLDAAEGLLYLHEGLEVQVIYRDFKASNVLLDEEFRAKLSDFGLAREGPSAGDTHVSTAVMGTLGYAAPDYVETGHLTTKSDVWSFGVVLYEILTGRRSMERNRPKNEQKLLEWVRLYPVESKQFSKIIDKRLEGHYSKQGARKIAKLANSCLAKQRRDRPTMREVVESLKQAMQHKEIDGKTGSLGETSPPEEVSGKPTTEDVAVASARRRMLHLAAL >Sspon.06G0022210-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:24756844:24759381:1 gene:Sspon.06G0022210-1B transcript:Sspon.06G0022210-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ETLESLKNHARYQRRMFDQAVKLVRPGGVIVYSTCTLNPGENEALVRYALDTYKYLSLVPQFPKVGGPGIYIVHYGEMTRQLRFKHLDTINGGTLGCNSNDRSGRQEEGFVL >Sspon.05G0002300-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:2373908:2374897:1 gene:Sspon.05G0002300-2B transcript:Sspon.05G0002300-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSMGTRDKLAAASGHRRVLFVVFAFCFAFATFLTFLYTTTHFATAPGSASASGSAAASTTITTTSGSAGGGGGQAGSGSGLPLPVFEALVHFASISNATHRMSDTDIRAISAVLRARAPCNLLVFGLGAESPLWLALNHGGRTVFLEENEFYVKYLEPRHPGMEAYDVSYTTKVRDFRDLLAAARASRGKECRPVQNLLFSECRLAINDLPNDLYDVPWDVVLIDGPSGWNPNSPGRMPSIFTTAVLARSGATAAKGRPTDVLVHDFQFEVEQVLSREFLCDENRVAGSGTPSLGHFVIQGGGAGDAFCSGQEDDGSSGEKTRRRRRK >Sspon.02G0016530-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:45810184:45819789:1 gene:Sspon.02G0016530-1A transcript:Sspon.02G0016530-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPSRHLRAAARQRSHRRPAAPADACITGKPDAEVIRRNKAITAHMRAGRVPDAERLFAAMPRRSTSTYNAMLAGYAANGRLPLALSFFRSIPRPDSFSYNTLLHALGVSSSLADARALFDEMPVKDSVSYNVMISSHANHGLVSLARHYFDLAPEKDAVSWNGMLAAYIRNGRIQEARELFDSRTEWDAISWNALMAGYVQCGQIEEAQKMFNRMPQRDVVSWNTMVSGYARRGDMAEARRLFDVAPIRDVFTWTAVVSGYAQNGMLEEAKRVFDAMPEKNAVSWNAMMAAYVQRRMMEEAKELFDAMPCRNVASWNTMLTGYAQAGMLDEARAIFGMMPQKDAVSWAAMLAAYSQGGFSEETLQLFKEMGRCGEWVNRSAFACVLSTCADIAALECGMQLHSRLIKAGYGVGCFVGNALLAMYFKCGNMEEAHSAFEEMEERDIVSWNTMIAGYARHGFGKEALEVFDAMRKTSTKPDDITLVGVLSACSHSGLVEKGISYFYSMHRDFGVTAKPEHYTCMIDLLGRAGRLDEAVNLMKDMPFEPDSTMWGALLGASRIHRNSELGRNAAEKIFELEPENAGMYVLLSNIYASSGKWRDVDKMRLMMHERGVKKVPGFSWIEVQNKVHTFSVGDSVHPEREDIYAFLEDLDIRMKKAGYVSATDMVLHDVEEEEKEHMLKYHSEKLAVAYGILKIPPGRPIRVIKNLRVCRDCHTAFKYISAIEGRLIILRDSNRFHHFRDGSCSCGDYWWKAPFI >Sspon.01G0051860-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:18351628:18360133:1 gene:Sspon.01G0051860-1P transcript:Sspon.01G0051860-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MCTATRALDKNRPPGQHNLVEWARPYITNKRRVIHVLDSRLGSQYSLPAAQKMAALALQCLPGMDQAVTVLEELQEAKGSVKRLAGKCPPPRWSLEHPPHDHQGIDHGAQNHDHDLCPHHGTLGNLDDRLRPRHLSRAAAAAAVAKERGRPGETEESARGLKAVAQQGYSAQAERIQVSRFWVWKENAGVMTRATQQQPARGMKHPFQHPRTRSYPQQRRANAMGESKSSCSVRRSTTMRARGGSKNCVPLASPPRAARQPSVKDHGLRLLHVFHRMHGGLPARHYVSSVPQLWKRFPFRRSCVLSFRNEPRNERNMLLLTSSLLLFLGMHDQLTRWCARNSNPQATSWTAAIQMSIRTNAAVDNVPSSPTTATNYYALD >Sspon.01G0002670-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:7476818:7482309:-1 gene:Sspon.01G0002670-3C transcript:Sspon.01G0002670-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPASSYDCSFKVLLIGDSAVGKSSLLVSFVSAAHIDDDIAPTIGVDFKIKFLNVGGKKLKLTIWDTAGQERFRTITSSYYRGAHGIILVYDVTKRESFTNLSDVWTKEIELHSTNKECVKMLVGNKVDKDEDRMVTKEEGLAFAQECGCLFVESSAKTRENVEKCFEELALKILEVPSLLEEGSSVVKRNSLKQKQENTNQGGGCCQ >Sspon.03G0021760-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:66368332:66369466:1 gene:Sspon.03G0021760-1A transcript:Sspon.03G0021760-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DGYSLCSHGEPGDEEQPGALEAEGLVRRCAHQAAPVQRQGHPAGEGNVPGGAAHQRRPVHREPGDAGDLEPARGVVPLQPAGVPHRREPAAAGHRGGAGARLPPRGALRAHGPAPQHARARPGGRARRRGARRHPQRLPHHVRRRILQRGGTLHGAHAHAHGAEEGGRQAADRRRVVQVHRRVLLRWHLRRALGILPPLRARPPLLLQV >Sspon.01G0034390-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:3429953:3432542:1 gene:Sspon.01G0034390-1B transcript:Sspon.01G0034390-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSMESCVPPGFRFHPTDEELVGYYLRKKVASQKIDLDVIRDIDLYRIEPWDLQEHCGIGYEEQNEWYFFSYKDRKYPTGTRTNRATMAGFWKATGRDKAVHDKSRLIGMRKTLVFYKGRAPNGQKTDWIMHEYRLETDENAPPQASSLTMILEEGWVVCRAFKKRTAYPARSMAMAWDPSYAYRDVSAMGAAAAAEVAAFVDPNAAYAQIRRQSNKSARFKQEAELDGAAAALLQYSSSHLFELPQLESPSAPLAPANQSQASGADEVVDGTDSGRRPGKKARADKVATDWRALDKFVASQLSPAAECGGSLEAAAAAASTVAASNVACSQLDHGEDDDMAALLFLNSDGREEAERWTGLLGPAGGDGDFGLCVFEK >Sspon.01G0011580-1T-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1A:31572799:31574199:1 gene:Sspon.01G0011580-1T transcript:Sspon.01G0011580-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRFFSLLVLLSLLVGIGLGSLRSGSAATTTTGTPDGSELWGYVEVRPKAHLFWWYYKSPQRTSTPTKPWPTVLWLQGGPGASGVGLGNFQEIGPLDVNLQPRNSTWLQKADLIFVDNPVGVGYSYVENSSLLVTTDWQQAADMTTLLKALVNEVPTLQSSPLFLVAESYGGKYAATLGVSVARAVRAGELNITLGGVALGDSFISPEDFTLSYTPLLLSVSRLDDNAAGEANNHTFTRKTVRNLRMAETVKEQIAVGNFSDAVDSWNDLVVYFIPSRSGFV >Sspon.05G0025790-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5B:26960790:26961790:-1 gene:Sspon.05G0025790-1B transcript:Sspon.05G0025790-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRVIVAGMFVINKAAMDHGLNGFVFVFYRQAAASLLLVPIAVVLERMEELKVRSPSGIAKLTGVALCLAGVLVIALYAGELLSPVNHHRAFAAPTHGHASATAAKTLMGAAWIKGTFVAVLAVLAWSMSLVLQVSSTYLQIRTI >Sspon.03G0009890-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3A:26510901:26512130:-1 gene:Sspon.03G0009890-1A transcript:Sspon.03G0009890-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQMAPVVELPVDGLAYHGFATILYDVLDSLGIPTEKIEYVCWGEPRPDGFKGHIMVHLKVPASEYVPMLRAFKTLEVENSIASCVQSVSRTALRSNRRYRDLLFHCDEFLKVERKEEMVGKLEVERMGYDKMKTFYETREKSLREEIANLKDKVGEAELRHDYLDSKVLECEDRIERQRLTLREFHEMEKHRIFRKLALEARCNTMEKLAVEAVVTTTYNMHHLVHYIKCTEYLQDKIEKVQDVLLPGMRKKPRRQPFHIEATKLNFKACPTEGYSEVSRTEMLDYALTYVTRIHRSNDKLCAMEGTPEASTSGPSTPPVTLGQE >Sspon.07G0009060-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:25180207:25180836:1 gene:Sspon.07G0009060-1A transcript:Sspon.07G0009060-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKVRSFFSRSRSGKRAAGSARAGSASPLSSAPPSPSPSPSPFARRSSSARRSLPVPAGNDETERVFRKFDANGDGQISRSELAALFASVGHAATDDEVSRMMEEADADGDGYISLPEFAALMDSASADAAAVEEDLRHAFRVFDADGNGLITPAELARVLRGLGEAATVAQCRRMIQGVDRNGDGLVSFDEFKLMMATGGGGFGRIGA >Sspon.05G0002430-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:7518658:7522251:-1 gene:Sspon.05G0002430-1A transcript:Sspon.05G0002430-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGLKYRAGLCLIVAVVLIWVLSAEVTQGIFTKYKHPFAITYLGASLLVIYLPLSFLKDYIYNSMRRHSGNTSALKIASKSSFGGSAPLKNGEFQKVLEMESQKTIVINCTEVSIPVVEETKPLIYGITEMNDGVLKDKQLSTKEIATYGLYLCPLCTTVLSSTSGLFTLFIGVLLGQDSINAAKVIAVFISMAGVVMTTMGQTWASDESEVGKSGATQRTLLGDMFGLMSAIAYGLFTVLLKKFCGEEGEKVDVQKLFGYLGLFTLVALWWIVWPLTALGIEPKFSMPHSAKVDEVVVANSLIGSVLSDYFWYVLKKANIFLYYYYCILGVILTVEILVRALSVVWTTPLVATLGMSLTIPLAMVADMIIHGRHYSA >Sspon.03G0011340-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:47040469:47041764:1 gene:Sspon.03G0011340-3C transcript:Sspon.03G0011340-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:UBP1-associated protein 2C [Source:Projected from Arabidopsis thaliana (AT3G15010) UniProtKB/Swiss-Prot;Acc:Q9LKA4] MDPFSKKRKPDENGAVTASPAGGAATLGLTRDDVLRLLEPLSRDQLADIAAAAALVSAHALDAVRAAADRDPALRKLFVRGLGWETTSDSLRAIFSAYGDLEEAVVITDKATGRSKGYGFVTFRHADSAVLALKEPSKKIDGRMTVTQLAAAGSAGGPSGGAAGSGGAPVADVSLRKIFVGNVPADMPSERLLAHFAAYGEIEEGPLGFDKQTGKFRGFALFVYKTPEGAQASLVDTVKVIDGHQLVCKLAIEGKKGKQGQSQQSGPGNQQQQQMLPGGPQDIQGGLGLGSQMGAQYGGPGSGMPSFGFGGVGGGFGGPNPYGNMPSSMGGGGAGGLGSMGGQVPTGLAGAGAGAFGPGGLGGGSFGGSSQFAAAGMGAYGGLGMGGGSMYRMQQGAGGLPAGAFGEGGNYPLPGSGFRGQDPQGGMSPPGP >Sspon.01G0034750-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:69170022:69173204:1 gene:Sspon.01G0034750-3D transcript:Sspon.01G0034750-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MENGEGGGGEYTKDGSVDLRGNPVLRSKRGGWTACTFIVVYELFERMAYYGVAANLVVYLTERLHQGTVQAANNVTNWSGTVFLTPLLGAFLADAYLGRYWTFVAGSAVYLLGMLLLTLAVSVPALKPPPCDPGAGATCPRASALQLGVYFGGLYTIALGHGGTKPNISTIGADQFDDFHPAERLRKLSFFNWWMFTVFTGILFSTTVLVYLQDSVSWSWGYGVPTLALAASVAVFLAGTPLYRHKLPQGSPITKMGKVVCAAVWKCRVAVPGDLTELHELELEHYASRKRFRVNPTSSMGFLNKAAVKVEEEDASPSGWTPLCTVTEVEETKQIAKLVPLLATMFVPCALMAQVGTLFVKQGVTLDRRVGRGSTFRVPPASLGAAVTLTMLICVAIYDRALVPAVRRRTKNPRGITLLQRIGAGLVLQVLTMATTAAVESRRLSFARSHSHSHGATTATPGEPLPLTIFILLPQFVLMGAADAFLVVGQIEFFYDQAPESMKSLGTAMSLTAYGVGSMLSSAVLSAVARVTAAGRSTPWVTDDLNASRLDCYYAFLAALAAANLAAFVALSCRYSYRAESTEAIGVTARVHSEPVPAVAP >Sspon.08G0010730-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:39975659:39981041:-1 gene:Sspon.08G0010730-4D transcript:Sspon.08G0010730-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGQGGSFNPHYRHGAPPPPQQQAGVPGSFPQRPVPPPPAPYPQHPGLRPPPGPYQHGVLPPQNQPYPFAQHGQMHQMPMLPQQRGYAPMPMPGMLPPQQAMHQAPPQYPPLPPPPPRPSAPPPSPPPPPPPPPPPPPPPASQSPHTPVVAQSWDAEAEAKIGASDGGDEDSPSRQPLTPENSSLVTAECTGNVNVSKSISDVSSPGKDLPPGSGENAKTAHATEVGGSAFRLIQGYASDDSANEADAGPEGTSTLVILPKDNMHSHSSDRNTEVGYQKHVDAKGNVNTPHGTEQNGKAENYHLKDESNPVKHGTDVLGHLAKEDTSDSEFEGSQSSKRHGRRQKKRTRSKSPQGRSGSPVGANKCSPSQSSSPGKQSRPPFAKRVHPAGDGNDSGGKVAQQEGLALTSKLDSSSNDLSGKVGDNAAFDVALGQHCHSDNLISEHSQPVAASAGTHKMQRPCPPSESRSDLNVSSSAGDPILMSQPAAGVPYMSVQTTKSSMASDHLQPHPQSLCPPEHMSSSNMIQPPGQPTFATSEFPQMQFQHKVIAPANEFLQNQMRSYPPQDVSHPRPFNFHHHTLPPAIPSNQQPSDVGLSYSSHQPPYGQHQPPGNLDSGSNLVYPSFQRFPSNLPGSNNLGPVSDVDLTKSSIKPHYNPFASTFDKTDPSLDIGDPVSPNAIGSVSTTAEHMNTLSPFGRSRTHAQESSVAPVPNKQKLFCQEFASGAPYDPLLDSIEPSSSSINKVNLRKEKNWSAADSRDASKLMNLEVDSENMYGLGVVAESEVEGLGEVAADTEAGVVENASPEFLGAKDWNSDIPGDVDNDQTLDKNKKGKDSRSMKLFKIAIADFVKEVLKPSWRQGNMSKEAFKTIVRKTVDKVSNSVPSSHIPKTPAKMKHYVQSSQKKVTKLVMGYVDKFIEAADINPHVPESRTHQNLRALLPAFLRIRKLKQNLDDASVYLHQALSGLEVTLCAFGAVPKTLQPDEHLCKPPWFGSLKL >Sspon.02G0019870-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2B:64849093:64851225:-1 gene:Sspon.02G0019870-2B transcript:Sspon.02G0019870-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRREGLGNFGAEEEAREGGQGSLVMEMDVEESSPSSSPMSSPAGSSDSIDLNFLPFLKREPKSEPASPELGPLLLPGPPTQTPPQQHAAAPAPAPEASVPLPPATPDLSSAPVMAPLQSLPPNQDVDALLQEYYRLASLYVSSTGSGAIVPAPAPEAAAPAVVQSASGSVVKKRRPRSADLVRVSSLGVQDQIYFRDLVRRARITFECLRGLLLRDDERAESLGLAGVAGFGVARDRRRVRADLRAAALMADHDLWLNRDRRIVGPMPGISVGDAFFFRMELCVLGLHGQVQAGIDYVSAGRSASGEPIATSIIVSGGYEDDDDHGDVLVYTGHGGRDPNLHKHCVDQKLEGGNLALERSMAYGIEIRVIRAVKSRRSPVGKVYFYDGLYKVVDYWLDRGKSGFGVYKYRMLRIEGQEPMGTVNYRVAEQLKVDVLAIRPTGYLSFDISMGRETLPVALFNDVDDDQDPLLFEYLARPIFPTSAIQGKFAEGGGGGCDCAGICSIGCNCAGRNGGEFAYDKTGTLLRGKPLVYECGPYCRCPPSCLNRVSQKGLQHRLEVFRSRETGWGVRSLDLIKAGTFICEFSGIVLTHMQSEIVEANGDCLVRPSRFPPRWLDWGDISDVNPDYVAPDYPAIPELNFAIDVSRARNVACYFSHSCSPNVFVQFVLFDHYNASYPHLMIFAMENIPPLRELSIDYGMVDEWVGQLTM >Sspon.07G0012780-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7A:46367225:46368225:-1 gene:Sspon.07G0012780-1A transcript:Sspon.07G0012780-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRRRQRATPAPAGGQDPKRMRPGLVGAGGSEPLCTQGAGPTEVLPSGGVDKGSSSTPAMVDDEDVLDCGVCFHPLKPPIFQCAVGHALCSSCSAKLKDARKCHLCRVAMPGGYQRCHALERVVDSVVCGPCPHVPYGCDDERLVYHARLEHILQCPHAPCYCPGNACGFVGSVTTLVEHLAAAHSWPCTAEPSADGSFGVDLRNGFNFLTAVRGGAKYLLLLDMASTRFVHMISAVWIRSVPAMASNSSAPATVDTTCDLELRLWDMRSQFQLQGTTPSNRLPDPNASFHRFFFPNYLGGSDKATLH >Sspon.08G0020540-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8B:23109375:23109746:1 gene:Sspon.08G0020540-1B transcript:Sspon.08G0020540-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPEEIQFQGPRTNIKITDEDQQITSKDLLEEERMKAIQNLEKYQKETKSWYNKKVKPRQLTPGDFVLKRKRNEDTVGKFQQKWEGPYLIIRTNKPGAFHLADMNGKQMDHTFNIQDLRRYYP >Sspon.03G0028740-2P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3D:8707979:8709127:-1 gene:Sspon.03G0028740-2P transcript:Sspon.03G0028740-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRQVQGSHDWDGLLQPLHPLVRDEVARYGELVGACYKVLDVDPSSARYMCCNHPKERVLEEAGVAGAGYEVTRYIYATPDVAVAGGPSTSGRGRPSWVGYVAVSTDEMTRRLGRRDVLVSLRGTVTQAEWAANLMSALEPARLDARPDVKVEAGFLNLYTSSPGGGGMGSCRDQLLREVSRVIKSFSVDRPREDMSVTLAGHSMGTSLAMLLGYDLSQLGLNRDASGRRVPVTVFSFGGPRVGNAAFKDRCDELGVKVLRVANVRDPVTMLPGALFNEGTRGFLASWAAGDRYTHVGVELALDFLSLRDLGSVHDLGAYVSSIKAEAGGKVSESDNAVADSRGATILAKAMEFVASQRAAAFAWPEAALGIGGVVQSLGLI >Sspon.01G0010680-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:9753031:9755541:1 gene:Sspon.01G0010680-1P transcript:Sspon.01G0010680-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVLFSCPVEEEEDVPAPGCGGNAGVEPTALKPSLGSDKLRFQGSLGSLIRREQQSPSARLQVDATKIPATSPRATVAPVPPVMPRELARTRFADAAPESPKHEAAAVTVQKVYKSFRTRRRLADCAVVVEQSWWELLDFALLRRSSVSFFDIERQESAVSKWARARTRAAKVGKGLSKDEKAQKLALQHWLEAIDPRHRYGHNLHYYYDCWLRCESKEPFFYWLDVGEGKEINLERCPRLKLLSQCIKYLGPKEREEYEVVIEDGKFMFKKNRQILDTSGGPRDAKWIFVLSTSKNLYVGQKKKGTFQHSSFLAGGATSAAGRLVVEDGILKAIWPHSGHYRPTEENFQEFQSFLKDNNVDLTDVKMSPDEDDEEFWSRLRSIPSDCCAAADKPGEYESTTPDEVSPSQQAETSKSLSPTATVTRQDSEDAVEDTETSTTNHRVLSGDSQEENNAAAAADDNTAVPREKILQRISSKKETKSYQLGKQVSFKWTTGAGPRIVCVRDYPSELQLRALEQVRLSPRSGTAADAAGAGRAASSRFASPQRCSSPTVARGRRELLAPGDA >Sspon.03G0022170-3C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3C:86550430:86556618:1 gene:Sspon.03G0022170-3C transcript:Sspon.03G0022170-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSLQARPNPGSGDLSSLLSNRDRTARFPAPPAPFTSSLSSPVSCTPPFPALNRQKQKSNPEEKREKTREEQTLGSRGTDEILIRRIGSPRQGAFRTDRRSPVLGFDFSSQFVADPWRDRLAARRLSLPPFFFSRSVCETLSASPPVAPADATGTRRLVAHSGPPPTLDASRAPKETLSERESVGARHASALPNGGGKEAPSSSLSAGNKRKCDNLGSNQMELNEPGTPSSSSGDSTWSIDSLDGRRQLSLSRNKNEHSEHSVTSAGVAVIRQPRGVLRLRKLAQNVNTESWAGGHNIPQANGVPRSSQLPRRNKKGESVAFQENRIGGDELVSCLRTGNSTCDQDTGTKFCSETEFSVEKQSHLSGEPSKAVHVDKGGCDHVKDDDGVSLEENAARMLCSLSDNRCAGSPRKGMKSPDRSSKRSFPQHSNHFKNSYKKIKDVPGPARSLRKRDGKVPFRKRRARRHFYEVSPSDVDPFCIVKERIRVFWPLDETWYFGLVKEYNPVTRLHHVRYDDKDEEWINLQNERIKLLFLPAEARNRSKCTNFRSVFEPKNEQGDREDMDGSNTESSESGPISSWLTRSNQAKSATLCAISKQDHNHSNTVAISFDQKQCRGSDAKESNDSIPCSSLANGDAECKFTQSVLDMTSAPSLLLWHQQLTGSNSTNGSQQSMSCTLDEDQQQLVMEHESITVRHAPPQVCAFHLGSSPDSPLDMASASCANPSSSASRESKIVERNMIPECNVGSIGDANIMHRKFQDQNGPCIGADKLCSSNPSVICSSQKSAESRLSINVPQDKAIDAPNDKPLDKDEKEKQPISNLVQELNEHPIGRVTPTAPRTTYHKNRFTSISRAFGDGSKLLPEDHVLTSFAGGSKKPRSQVSYSVSPRSEELGLKNKGHFHKIQSHAKKLPDSSRSGHSSPESLTCVANVLVTVGDRGWREYDTQITMDSDGQSERRICVKLAEGTKYAHKVCQVLQPGATNRYTHAMMWKGGAEWCLEFPDRSQWLIFKQMHDECYSHNIRAASVRNIPIPGVHLVEIHDDNDAVSFVQSEDYLVHIGTDVEIALDQSRVLYDMDSDDEEWISSWRKFLVRDNTTLELAEDLFERVMDKLEKFAYSHNCNDLSIDQMKELDIDD >Sspon.03G0031070-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:24213728:24216634:-1 gene:Sspon.03G0031070-1B transcript:Sspon.03G0031070-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VSLEISTEQDDEGEEVHVGRWSALVPELLDDILRRVDADAERWPQRRDVVVFASVCRRWRETAVALVRPPLRCGGITFLSSLKQPGPKEAPIQCFIKRNKKKSTFHLYLSLTQALTGQGKFLLAARKNRCAYRMEYIISIRKDLSHGSHLQYEGAKVPISRYRPWLARKQISPLVSTGNVETGEVTYEYNLLKSRRPRRIHCSIQCPVNEDTAIDPHEAKQLCTPSSLVLYNKAPRWHEDLQCWCLDFHGRVMVASVKNFQLIARAGTGQPWGIQDDETVILQCGKIEDDVFTMDYRQPLSAFQAFAICLTSFGSKVGT >Sspon.01G0030530-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:105896192:105900380:1 gene:Sspon.01G0030530-1A transcript:Sspon.01G0030530-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RSLVATSSKTFCKIMRSSWADSVANAEESAPATVTATAPAVNHQNSRPTLSAYVPPHLRGRSGGSLPENKALPAAAPAPADVRPAAVQPSGYAAVVGGGSRWAGPTAGGSGGGSAIVGPRQGGGGRGGGWNSRPGWDRRDREPNPFANAEAADVDFESQENTGINFDAYEDIPVETSGHDVPPPVNTFAEIDLGDAVNENIRRCKYVKPTPVQRHAIPIANAGRDLMACAQTGSGKTAAFCFPIISGILKSRDSRPPPRQRGSRTACPLALILSPTRELSMQIHEETRKFSYQTGVRVVVAYGGAPIHQQLRELERGVEILVATPGRLMDLLERARVSLQMIKYLTLDEADRMLDMGFEPQIRKIVEQMDMPPRGVRQTMLFSATFPKEIQRLASDFLADYIFLAVGRVGSSTDLIAQRVEFQSLTLVFVETKRGADALEDWLFKNGFPATSIHGDRTQQEREYALRSFKSGATPILVATDVAARGLDIPHVAHVINFDLPNDIDDYVHRIGRTGRAGKSGLATAFFNEGSLSLARPLCDLMQEANQEVPTWLEHYAARSSYGGGGGRNRRSGGARFGGRDFRRDRDFRGGGGGGGGYSGGGGYGYGGGGGGYGGGYGG >Sspon.06G0011380-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:48663511:48666703:1 gene:Sspon.06G0011380-1P transcript:Sspon.06G0011380-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVFTVPQCGHQGFHQPEKVHQVCDFLASESWLRPLDSFRLDANGPGIFLENFRDTAYLWICHALRSNVHTLSIVDHDLKDKYDYIEEGEEDGEGEGESEERPDAFWLGHCPFMSLYLKKLHLHSVCMDKCFVKNLFSGCTALEDLDMINCVILATEFSSATLKRLSIDYHCFWRRKVYGYGDIVINMPSLVSLHIGALCAMLSLVDVQSLITASVCLDDGKATFAGACNILGALSSVKNLELLFPACVFFGSESFALSHNIAAVHMSIADEFAVAGDVYRGGLTASKGMTENARRSSASSKTPWLLLRFTNVAILTDDEGDFATSSLDASLWSTGDILLKP >Sspon.01G0040160-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:39094162:39094414:-1 gene:Sspon.01G0040160-1B transcript:Sspon.01G0040160-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding PRPGHPGPLVGLPRYLPLRPKGPVQRKSLPLRGRRRGFGSKASRSASTRGQRRRTRGTEEAPLLHGGEVEATPYAPTSTHSDP >Sspon.05G0009420-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:22339167:22340145:1 gene:Sspon.05G0009420-2B transcript:Sspon.05G0009420-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQKIVIKVSMSSEKSRSKAMALVARADGVSSMGITGDGKDRLEVVGVDVDTVCLVTCLRKKLGHADILQVEEVKDKKPEEKPKPKVEELPPYYCPCYYGYYCHYHRH >Sspon.02G0032410-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:86816303:86819051:-1 gene:Sspon.02G0032410-3D transcript:Sspon.02G0032410-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRDVSLPDAFNLVLGKDWDNWPLEAGLLVAAYYGDIRRLKEIAKIIDTEGKGLQDTLANTHFLGTYALHAACDSGCMPVLQYLVKDLKIDVNKADTLRGFTPVMHAVLYGNLPALRFLVDHGADVHQQHKGMSLFHSAAEGGRSEIAKFLLSKGVRVDGESSLLTPLLIATYRRYPSIVEILLEHGADPNERMNDEVTPLSMALKHSSMPCLKLLVQAGADVNGFGSYNPLAKAAEKGLTEAIKCLLEAGANPNVPDT >Sspon.05G0003550-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:9386568:9388978:-1 gene:Sspon.05G0003550-3D transcript:Sspon.05G0003550-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDHAEMLHAAPAMYNGVGAGAAPHGGWWNTAVPATTCSTELAGFTTWSSALAASYDLAAEGAKAKSAATTASSESPGNNSSVTFQEPAGVTDPAGGGAAVVQQPLACYTDWVAHPYMSSGATTLHGFLQDGHQQDMSSTTEQSAMTASSLMNASSNNLALALQGHHHQEQQDHQQMLSSFGSELLLSPTSPYGFQSSLLRSLMEPTAAAAAKPQALPGYQQYDQYQQMGMGQARFAPAGATREALQFTNDAPFWNPSAAGFGVPPAPAAANQASSVRSVKPSPTARTAATLALKTALEGVGESASSIITKKKANGEPAFKKSRLETPSPLPTFKVRKEKLGDRVTALQQLVAPFGKTDTASVLHETIEYIKFLHDQVGVLSAPYLKNNGHHQVPHLKSSSPDKSKDDSHAGEVSLKGRGLCLVPISSTFAVASEVPVDFVWSTPFAANF >Sspon.05G0006310-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:21358642:21364158:-1 gene:Sspon.05G0006310-4D transcript:Sspon.05G0006310-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPAVADPATLDGGARTPLLPETDPRGRAAPAGAGRKRPPPPATTTVLPAVVSAVLLLVLVAVTVLASQHVDVDGQGGGVALVPAGGGGHAVVVEVAASRGVAEGVSEKSTAPLLGGAGEVEDFSWTNAMLAWQRTAFHFQPPKNWMNGPLYHKGWYHLFYQWNPDSAVWGNITWGHAVSRDLLHWLHLPLAMTTPYDANGVWSGSATRLPDGRIVMLYTGSTAESVQVQNLAEPADPSDPLLRDRTRTRCWRRRRGIGATDFRDPTTAWRAPNDTTAWRVAIGSKDRDHAGLALVYRTEDFVRYHPAPALMHVVPGTGMWECVDFYPVAAGGSAAAANGDGLETSVAPGPGVKHVVKASLDDDKHDYYAIGTYDPATDTWTPDDAENDVGIGLRYDYGKYYASKTFYDPVLRRRVLWGWVGETDSERADILKGWASVQSIPRTVLLDTKTGSNLLQWPVVEVENLRMSGKRFDDVALDRGSVVPLDVGKATQLDIEAVFEMDASAVEGVTEADVTFNCSTSAGAAGRGLLGPFGLLVLADENLSEQTAVYFYLVKGMDGTLQTFFCQDELRASMANDLVKRVYGSLVPVLDGENLSVRILVDHSIVESFAQGGRTCITSRVYPTRAIYDSTRVFLFNNATNVHVKAKSVKIWQLNSAYIRPYPARSGAGLVVMVTFLDRAS >Sspon.06G0023570-3P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:34951378:34954641:1 gene:Sspon.06G0023570-3P transcript:Sspon.06G0023570-3P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARCYVCKGRGSIPIIQMWGSKRSRGSTDGDVQIGSHSTLSLSQGFMQGKAAGFCRLKAAGICEAFPSSVIG >Sspon.06G0003510-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:10361766:10365853:1 gene:Sspon.06G0003510-1A transcript:Sspon.06G0003510-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPDEGAAASGARRWVRRETLLGLVLGQFVSLLITATGFASSELARRGINAPTSQSLLNYILLAVAYGGVLLYRRQPLTIKWYYYLILGIIDVEANYIGMYLTQIKALFQFLLVPLMFLFACAVVKSYQYTSLTSVMLLDCWSIPCVIVLTWVFLKTKYGLRKFLGVGVCVVGLILVVFSDVHASDRAKGPNPLKGDLFVILGSMLYACSNVTEEFVIKKSNRVELMAMLGLFGAIVSGIQISILERKELHSITWTTGAVLPFVGFAVAMFLFYSTVPIILKICGATMLNLSLLTSDMWAVLIRIFAYHEKTKRTLVARRGSNEVETAQVAGASDEHGKDRDEEAGTQNPV >Sspon.02G0001500-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2D:1941173:1942298:-1 gene:Sspon.02G0001500-2D transcript:Sspon.02G0001500-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGASGGRPPPPPGPRWRAPAPRHARPGAALGGGPLMLLCLGELHVVVASSADAAREVMRTHDLTFATRPVSNTARALLGDGWRQLCRICTTELLSARRVRSFRAVREDEVRRLLRSVARRRRRSTRQQRRRSLAATDDDEDLLDVLLRIQREDELDPPLTTENIKAVIIDIFGGSSETSATTLQWTMAELMRNPRVMRKAQDEVRQVLAGQETITEDSLSGLRYLPLVIKEALRLHPPAPLLIPRVCWKPCRVLGFDVPAGAKVLINAWAIGRDPRHWDSGTCRRSSRRSGSRPAAPSTSKGRTSSSSRLGAGGGCAPA >Sspon.04G0024240-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:18252953:18254964:1 gene:Sspon.04G0024240-1T transcript:Sspon.04G0024240-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVRRCLCGSEEDFRALLSMENLRLFTYGEIRAATNNFDQSNKLGRGGFGTVYKGVLRDGTEFAAKVLSSESEQGIKEFLAEIESISEVKHANLVRLLGCCVQRKNRILVYEYLANNSLDHALKGNGAAANLPWSRRSDICVGTAKGLSYLHEEHEPNIVHRDIKASNVLLDRDYMPKIGDFGLAKLFPDNVTHISTGVAWVLYQQGRLLDIVDASMEGYPEKEVLRYIKVGLACTQATPSSRPTMRQVLDLLSRPVALPETEMRPPSFAEQRGHHTAPGGLPAGPLVRASPRARWPAAAAASAATAPKSSASFTYSEVAPR >Sspon.04G0018190-3C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4C:70931204:70933507:1 gene:Sspon.04G0018190-3C transcript:Sspon.04G0018190-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MENLVALNASNNSITGQIPSHFCDISPSFAVLELCFNQFSGRVPPGLGNCSTLRVLKACHNHLSGTLPDELFNATALEYLSFCNNDLHGILDGARIIKLRNLEILDLGGNNFTGRLPYAIDQLKRLKELHLDHNNMTGELPSTLSRCTDLITINLQSNNFSGVLHNVNFSSLQNLKTLDLLYNSFTGTIPESVYSCTNLSALRLSGNNFHGQISPRIGHLKYLTFLSIAVNNFTNIRNTLQILKSSRNLTTLLMGSNFMGDAMPDDAKIDGFENLQVLSIEDCLLSGEIPLWISKLVNLEMLFLSGNRLTGSIPTWINTLNYLFYLDISNNSLTGEIPKELMNISMLTSDMTEAHLDLRIFDLPVYNGPSRQYRIPIAFPKVLNLSSNKFTGMIPPEIGQLKALLSLDISYNNLTGEIPPSISNLTNLLVLDLSNNNLTGKIPAALENLHFLSTFNISNNNLEGSIPTGGQFSTFQNSSFAGNPKLCGSMLSRRCSSADAPLVSTKGRDKKATFAIAFGVFFAVIVVLLLLWRLLVLIRVNSLTAQSTRKDNEDLETTFNSSSEHEVIMMPQGKGDVNMLTFTDIMKATNNFGKENIIGCGGYGVVYKAELPDGSKLAIKKLNGEMCLMEREFTAEVDALSMAQHENLVPLWGYCIQGNLRFLIYSLMENGSLDDWLHNSDDDTSTSLDWLTRLRIAQGASRGLSYIHNVCKPHIVHRDIKSSNILLDKEFKAYVADFGLSRLILPTKLMSQPSWLALLVTFHLSMAK >Sspon.02G0029740-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:108276113:108281629:-1 gene:Sspon.02G0029740-1A transcript:Sspon.02G0029740-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AEECFTARDAALAGRPRLLVGDRLNYGYTTVGWSSHGDHWRALRRFLAVELFNASRLAASAADRRAEVATLVGSLLREAAGPEPSRAAAVTLRPRLFELVLNVILRALTGAPRHGGDVRSLQEIIEETFEVTGIMNVGDYYPALRWIDRLRGVDAALIRLQARRDAFVAGLVHDKRQSRRAGGPDTENNGTIDELLSLQEVDPEYYTDTVIKGIVSILLSTGTETSALTIEWAMAQLLTHPEAMLKARAEIDANVGRSRLVEESDMTNLPYLHCVVKETLRLCPVGPVIPAHEAMEDCTVGGFHVRRGTMILVNAWVIHRDPKLWEAPEEFRPERFLDTAMVTMVTAPLLPFGLGRRRCPGEGMAMRLMGLTLAALVQCFDWDVGECGAVDMTEGVGLSMPMAKPLAAICRPREFVKISGARHCHYKIWLSMWEPGRKRNPRCLSFSILIKLTQYQMQALSIPKPKHPDSDVIAVTLAQTKESKMARTRLPSHLILTMKDYLKSTGGQLPVHL >Sspon.01G0028160-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:64504930:64507143:1 gene:Sspon.01G0028160-2B transcript:Sspon.01G0028160-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGADVDVGTELRLGLPGGGAEAAKAAKRGFEDTIDLKLKLPTAGMEEAAAGKPEPAAEKAKRPAEAPAADAEKPPAPKAQAVGWPPVRSYRRNVMTVQSVKSKKEEEPEKQQSAATAGCNSSAFVKVSMDGAPYLRKVDLKMYNSYKDLSIALKKMFNTFTTSGNNMNEGKLVDPVSGADVVTTYEDKDGDWMLVGDVPWEYVLHSSHQERRTSPRTRAEEDAALKMLSIVSKEWCPCSVLRFF >Sspon.07G0012880-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:52460777:52464679:-1 gene:Sspon.07G0012880-2B transcript:Sspon.07G0012880-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVSLSDILGPIGIPRTRNRYLFAVTVNWFNFCLASTKDVAIAYGFNNVPKSKPKCMTIGGRQPLNRFSDKIRAEVARAGYMEVLTFILSSHEENFDMLNRTDDKSKAVIIANPRTSEFEVVRTSLMSCLLKTLKHNIDHPRPIKIFEVGDVVMLDSSRDVGASNNRRLAALYCNRVSGFEEIMGLVDSIVKVVRAPHVNFGENYYVPTDEPEFFPKRQCKIVTSDGKQVGYLGIVHAEVLRKFGIPDPCTFVEMDLEALL >Sspon.08G0013230-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:56002538:56003931:-1 gene:Sspon.08G0013230-1P transcript:Sspon.08G0013230-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGDHWGNWAFLLSAAAFGTWAEENTSWGAALSGALVSIMAGLTATAVGLVTPGAPAHDAVMEYLLPAAVPLLLLGADLRRVVRTTGDLLKAFLLGSVATVIGTTVAYLLIPMRSLGQDSWKIAAALMGSYIGGAVNYVAISEALGLTPSVLAAGVAADNLISALYFMALFSLASNIPAEPKTATASPQKDGEPDEGGGGRLFVLNGGAAVALSFIICKVGSGMAAWLGVQCGTLPCVTALVVFMATAFPGPLGRLAPAGESLALILMQLFFAVVGANGNVVDAVTRAPSVFAFALVQVSVHLAVVLAAGRIMGMDRKPLLIASNANVGGPTTAAAMATAKGWTSLVVPGILVGIFGISIATFLGIGYGMFVLRRICG >Sspon.06G0001570-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:2585060:2588060:-1 gene:Sspon.06G0001570-3D transcript:Sspon.06G0001570-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQMQSKLCKRDDGSSLSLPEDIVLEVLLRLPAKALRQSQCVCKAWRALISDPAFFAARRSRGRTGPLIVAMFGSAPELELCLLDDVGNVHRVFDVLWLVTSWYYSEECALGGLPGDGLAAALSEEPSRFSAAAAGGGKQKQAARNVLILMSDTGGGHRASAEALHDAFRIEFGDAYKVFVTDLGKEYGGWPLNDMERSYKFMIRHVRLWKVAFHGTSPRWVHGMYLAALAYYYANEVVAGIMKYEPDIIISVHPLMQHIPLWVLKWQSLHPKVPFVTVITDLNTCHPTWFHHGVTRCYCPSAEVANRALLRGLGPSQVRVFGLPIRPSFCRAVLDKDEVRKELGLDPQLPAVLLMGGGEGMGPVEQTARALGEELYDHRRRRRVGQVVVICGRNQALRSTLQSLRWKVPVKIRGFETQMEKWMAACDCIITKAGPGTIAEALIRGLPIILNDFIPGQEVGNVPYVVDNVAGVFSKDPREAARQVARWFSTDEDELKRYSRNALKLAQPEAVFHIVKDIHKLQQQPYA >Sspon.06G0007030-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6A:26478625:26479224:1 gene:Sspon.06G0007030-1A transcript:Sspon.06G0007030-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding IKEFPGKVILFCEVPPPSGGETPFVPSFRVTERALEEFPELVEELDEKGLRYTFTALSKNDTKSMRGRGWEDAFATSDRAEAEKRARALGMDVEWLPDGGVRTILGPRKLTRVFPGRKGRRMWFNTVVGMHGKELSSATLADGSEIPADFVRRCGEIIEEESIQFRWEKGDILILDNLATLHGRRPSLPPRRVLVATCK >Sspon.07G0008110-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:18059243:18061469:1 gene:Sspon.07G0008110-1P transcript:Sspon.07G0008110-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AAVQAVRGDDLAAVRVRRHERHHQGVAQPRHEPLRARRLPPRLRHALHRALRARARAQGPPQDHALGLPPDLRPRAARVGDRPELLLRGAQVHLADLLLRHEQHAPAMT >Sspon.06G0012010-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:64621316:64627930:1 gene:Sspon.06G0012010-1A transcript:Sspon.06G0012010-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGGGGDALTPTSTPSSPSSSSEPLPPEFAEYVAVSPVSDDDESDECCVCDDDEVDAIRYRQLREAKELYFNRQLREAKEVRFNLQLREVKEIIRRYKPGDWVEGVGGAKAGHFLLPEITTLLLVGPRGSGKSTLVNRITRVFDKDDDPFAPARALVSCNSKSNGTIFLREYPIPRNSSAIYAASMDDKRNKPWGDNNVDNDEGNKTGDIKPLGRQYSFLRYITRKVNFVIFVVDGVAVLESIDSNNKGYTEILHQTFMYPFLSIGDDKPVVVVTHGDRLSIQQRAHVQKELAELLNIPAQQIYDIPVQLSMGLMGLS >Sspon.06G0015310-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:66938117:66939169:-1 gene:Sspon.06G0015310-3D transcript:Sspon.06G0015310-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSTFIHLRQLLQLRCYGVILPDGFGKLISLQEVRIITIESPSMLCDLGCLTELRTLAIDFCDWDESYEESFIQCLSNLVNLKSMEIKGTMMSSLCSEHDNLYPGPQQLCSIDMESVGSVITAVPRWMSSLCFLSTINIRLLTLGEQDIQVLGSIPSLSDLSIYVKKTTQDRDGRLVIGKSYPFQCLTKMSIVYPSMAVVFAPGGMQNLKELHLEFLVKEVLLKFGDCNLGLEHLLSLEHVSLKIFVDHTTPKEVEAVEDEIRKALDINPGKPTWTIGHTTTPLQVALNVQQAAKDFIGLHTFCGYMAD >Sspon.02G0014580-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:32494510:32502871:1 gene:Sspon.02G0014580-3D transcript:Sspon.02G0014580-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALERVSPVGDALAEELQLQEVILFSAFQEMVIQDTSVDSLLDDLFMQDHMTEASERPSTSADAGQSSSSIPPPGDEFYCPICMESLPSSRKFVISSCGHAFCVTCIGQYIAAKIGENVVHVKCPEPSCGDGTIELADCCGVIPSELFSRWDVALCELTLGEQRLYCPFRDCSAGLVAEDGNGNGAIVEAECPHCHRLFCARCMVPWHDGIGCEEFQGLGEDERGREDVMVRRLAGEQRWQRCPQCRMYVEKVLAGGRETGRDDDGLTDDDKRRRRKI >Sspon.03G0015220-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:72281625:72282863:1 gene:Sspon.03G0015220-2B transcript:Sspon.03G0015220-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAPAEAHDAAAAAAAGLRLDYDVIVVGAGIMGSCAAHAAASRGAHTLLLERFDLLHQLGSSHGDSRIIRDAYVKAQYPPMVRLARRLWADAEAESGYRVLTPAPHLSMGPRGNAALLAAVKSAGAEEVDLSQMWGGAFQAPAEGWVTAVSERGGGVLNATKAVAMFQALAVKMGAVVRDNAEVVDISKGPGPEGGVVVTTSAGEVFRGAKCVVTVGAWTSKLLRSVAGVEIPIQPLHTLTLYWRIKPGRERGLTAKAGFPTFSSYGDTPVYGTPSLELPGLIKISCDGGPPCDPDNRDWASGDREITDRVARWIQEFMPGHVDSAGGPVIRQSCICSMTPDKDFVIDWLGGEFGEDVVVGAGFSGHGFKMGPAVGRILAEMAIDGEARTAAEAGVELGHFKINRFNGNKDN >Sspon.04G0004570-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4B:8963506:8968250:-1 gene:Sspon.04G0004570-2B transcript:Sspon.04G0004570-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPTAGDAPLAAPAPAADATDAALAAAAAVPDPDAEFGFQRPELGKEKLVGTVGFHERHVFLCYKGPDVWPSHLEAAESDRLPRLLAAAIKARKPNLKKTIKLTICEGEDGTESSNGDVLIFPDMIRYKGLTHFDVDNFVEEVLVKDIDWLPGSPEPMSGSYVFVCSHGSRDKRCGVCGPALIKRFKEEINGLGLDGQVSVSACSHVGGHKYAGNVIIFSSDAKGDVTGHWYGYVVPDDAPVLLHKHIEQGEIVDHLWRGQMGLSEEQQKQALELRSLLNGGKESLEETGTDGASCNPAAAGGCCQGNGGFTCCQTDLPKEKQDKSIAAEQNPKSSEKEDDKEGGAGSKKGNTKTCPMPT >Sspon.01G0012780-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1B:41712193:41713560:1 gene:Sspon.01G0012780-2B transcript:Sspon.01G0012780-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEIVRSAVAEEIAKQIVSGILDKQGRKPNEEKERLERLEMAQIKLEAALETSDKWQISDASLLRWRKKLKRAAQECDDTLRRCKQRAVAVDDDEEKHQRVAVRRSSSLPTRLARATKSFVSSILSGRNRTDDADDDGWSGGAVRRFEWFADGATEFLRFVELGGTPWPRYTFFDPLITRLLAGEEARYRLVRGSQYYLFCVRPIVLEGRGTEAKLIFFYEDDDAPEKNLCIGSMLRLSESTDIVGVTIKCLQLLVTPHFKSTAEAATRELANLPTQDFSWVPYGGSTHREHWDSIHRDMSQWFRPDPLCCNHHEPCHPRLCESNAAGTVRPSHSQVSLESVIEVYWQCQVPLSEYNMLQRNAIVEGPTTSEDTPYLKLGLLFTPHGSLGDLTPKAESSALEVIDGEEQRGMHTNLSLQQLDETMLPKALQCLYQKTEAMAYQMLWKSKHGAAYLQ >Sspon.02G0043360-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2B:91261918:91262151:-1 gene:Sspon.02G0043360-1B transcript:Sspon.02G0043360-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKEASKILHLLLSATHHPPKAPRARRLPNIRRPVQTCLMLLRLPLLFFAASPLLDGCAPAIASHRRDATTCSVGNR >Sspon.05G0038600-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5D:50185866:50188107:-1 gene:Sspon.05G0038600-1D transcript:Sspon.05G0038600-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMATPEEQLGQILALLSENSKGINELKSSMFEMRTLRTKILTWKPDVDHRVHELEHAVLDLGERIDQALGALHPPAQLTAQSKGELPNAVITSPTQLTEAIREGHIASLSIKVPSSAHLELLPPRAASGSLDHGKRSTHRGPGFGWYTPSPRTQPWSQEEAMKDQVTKIIELGSYSKKTSSEAGKSSVSSITNPTRVTKDKKGTEPAWSKTSDEKLSSLKQYRMAKGLCSKCGEKWSPQHKCPTAISLHAMEELWNCFSDGNESESQLHHQEDSDSREDLMAISVQAIHGIEGSKTIRLKGHLSGKDVFMLIDLGNSHGFVNEETAKCISGWQALSTPAQVQVANGEVLHCTHQQLWGIQGHSFSTTSKILPLQGYDIILGIDWLGSHSPMEIHWVDRGVKFTQGSHHIKLQGISPIMQLGPPVSCAQLQAMDKADSILYMVQVKTEVVSSPTPKPPPLPPELLKVIHQYPDVFKPPEELPPFRRGDHTIPLLEGTQPFCLRSYRYNPAQKTEIKNQIKDMLAKGWIQPSLSPFSSPALLVCKKTGDWHLCVDYKRLNA >Sspon.06G0018160-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:80588145:80593767:-1 gene:Sspon.06G0018160-3D transcript:Sspon.06G0018160-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNMYGTTVACRMCYKDQYGTPAPAPRDFTCAAKKSEARRWGNGYHLISRLCQWKPRGSKSDGSLLGHGGCDARCHSCGSHNSCECKTEECEAAEEGGASPYRDFNQHSRANPQFSDDQVPLKKKSVYASQGLAEACKFVYNDAKFVNERAQSDILLLSRGITRLNERACQDAAVLGLGFLKLDARARKDTQKIDHTVKERAARLNHFARVFKERAQSDLKKAAEKHWSDGALEADLRRADLVVKRRAMEDAFMALKFVQDIHDMMVNRLYEQLPKDGSSSRTNSTGFITLEKNGKTLELFPGEVSADQIYAIEEAYQSMASAFSEADGIDYTDPEELELLVATLIDLDAMDGKRSVSLIAECSSSPDVNTRKALANALATAPSMWTLGNAGMGALQRLAQDPNYAVARAASSAIDELKKQWELEEGDSLRFVMNQNLASEDTVGDDNSAADDDT >Sspon.03G0012570-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3A:34823631:34825374:-1 gene:Sspon.03G0012570-1A transcript:Sspon.03G0012570-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding EKYGPKGQNKPSNGVQGKADKPKPNADVDINVGLSTRPPWFCSLCKTTTTSKQTLLLHADGKKHRAKAKAFHSSQKLKDGAEQTSDAKEAGAVPTKESAQVNGVVSGDRERNEDKDAGKRKRMDDMTIEEPDNTKRQYLTSSSVGELTQSGDDKPENKAKSKADELASGADCKSVQKQKIKWKKIITKTLKTNADGVMKLKKLQKLLSKELQECGVSKDKEGLRATLMDK >Sspon.04G0011970-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:40096710:40111858:1 gene:Sspon.04G0011970-4D transcript:Sspon.04G0011970-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MILAPPAAAGTAGKDSLPVDLPKPIENEKTVEVVHSNVKPFSVHPLPLAKTSDVLTKSSNGSDDSKEEKTQYYPGKEIKRRKRHRRKQYVDQEPCIMRGVYFKNMKWQAAIKVDKKQIHLGTVGTQDEAARLYDRAAFMCGREPNFELSEEEKRELRKYTWEGFLAVTRNTITSKKQRKVGLPRRSKADLFMGQSDGDTEMANGVDDYTIHPHEV >Sspon.03G0046220-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3D:33641902:33642306:1 gene:Sspon.03G0046220-1D transcript:Sspon.03G0046220-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNRNPLKSLVVERFLRPTRTCVFRGQGRKAPGARDRESHLQRILALHQGKAESVCACARYRIFGIPGAGYVRGHLTDVAGKAKVPACPQPGGPPEIPRGFSNAPERRTVSVSTFAPNLHITWNGGSASCQSCL >Sspon.01G0025670-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:90082063:90092040:1 gene:Sspon.01G0025670-1T transcript:Sspon.01G0025670-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVFSKLPRDLNFIDHTSNISWKAYVCEGDAGDHRPSAVHEDEGRSVLDAGEAKLADGIQAIHWCECNPFSAELFNSSSKIQMVPLLCNYTSSASSAQSKDSTQDYCKLVWETCKNVTIVNSPFQPPLQGSAKLPSSSSKLTDVWQSEDEFCTSFGGSSGDQSLCFNGNAVFFNSTEPSPTPKGICLERIGNGSFLNMAPHPDGSNRVFLSSQAGKIWLATIPEQGSGGTLQYDEENPFLDITDEVHHDSQFGLMGIAFHPKFATNGRFFVSYNCDRTQSPKCAGRCSCNSDANCDPSKLGPDNGAQPCQYQVVVSEYSAKISSSNVSMATSANPSEIRRIFTMGLPYTAHHGGQILFGPTDGYLYLMMGDGGSEGDPFNFSQNKKSLLGKIMRLDVDSTQSKLAMMDNPFADDSDFQPEIWALGFSNPWRCSFDSERPRYFYCGDVGKDAYEEVDLITKGGNYGWRVYEGSYIYHPQKSPGGNTSLESINAIFPVMGYDHSTVNKEIGSASITGGYVYRGSTDPCLYGRYLYTDLYSSLMWTGTETPEGSGNYTSAVKPVSCSKTSPIACESTAGSTDPLLGYIFSFGEDKSRDIFVLASKGVYRVVRPSLCGYTCPLEKLATDNGTTPGGPSSFAPARRVGRSVAVALALMIVCVLNRYVLHLDAQAPDADRAGLAAFVAAHPVLAAAGNVKVVEKANLVTYRGPTMVTTTARRRAPPVGRRQGPRRRLGLVHQPLRLRLPAHLMHVFSKLPRDLNFIDHTSNISWKAYVCEGDAGDHRPSAVHEDEGRSVLDAGEAKLADGIQAIHCRIETFRNIFKRNSRIAGRPLLIHNQHDKINKDKKSSKTKR >Sspon.06G0012100-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:47791922:47796611:1 gene:Sspon.06G0012100-4D transcript:Sspon.06G0012100-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDVKPAAAATTVTKAAAGDSPSPAATPAPTPAPAPVVANSNGTPQKPPPIPAAAFDMPKPNLRGLNKPKCIQCGNVARSRCPFQCCKSCCYKAQNPCHIHASRLAALQKLPQHFLKSLQTKKSLTKKDVVGINKWRFMKLKEHMQGDIDAENEAYERYTQNVGLLEETFCPMEDADVEPEAEATSSEEERMDLLVSEAKVRLKSDNETADSFKERVATILDQKLKKLLDSQSANEDDKPSDPNQDDHPSPMKFSTKQKMERTVKLNELL >Sspon.08G0002360-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:3206496:3210806:1 gene:Sspon.08G0002360-2B transcript:Sspon.08G0002360-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHHDGSKPYQPRRGPERHPQPADGIAAPPPAAVAPSVEHLVAAAAEAEALNRFAAEPQVHEQEVGEEEEEDEEEDEMEEEEDEQEGQHGGIGGEHVPMDADAAAAAAAAAGAQMDPHSAMVPGTVPPMATNQLTLSFQGEVYVFDSVSPDKVQAVLLLLGGRELSSLGGASSSAPYSKRLNFPHRVASLMRFREKRKERNFDKKIRYTVRKEVALRMQRNRGQFTSSKPKPDEIAAASEMAAVDGSPNWALVEGRPPSAAECHHCGTNATATPMMRRGPDGPRTLCNACGLMWANKGLLRDLSKSPVPLQVMQSAPLLDAGNGSAMSAPGSELENAAAAMANGHESSSSGV >Sspon.01G0012100-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:25213949:25214923:-1 gene:Sspon.01G0012100-1P transcript:Sspon.01G0012100-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LICDYCFQGMQLRKHIDATLGSGNLREAVRLPIGEDLNEWLAVNTVDFFNHINVLYSTLMEFCTPHTCPVMAAGPKYEYRWADGVKVKVPIKVSAPKYVDYLMDWIEIQLDDEAIFPQQLGAPFPPNFRDVVKTIFKRLFRVYAHIYHSHFQMIVKLKEDAHLNTCFKHFVFFTL >Sspon.07G0026450-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:53521277:53526356:1 gene:Sspon.07G0026450-1B transcript:Sspon.07G0026450-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLKNSLMLQGRLRHAAAAALTARRGAATATVTEEYARRNYADNTSEYNTVIGPYLLRDAYDDMMLDGVKPERDTFHTLIVGTMKGSRLQDALYFRDQMKEMGLLPDVNLYNFLISTCGKCKNSDAAIMLLEEMKAHGVKLKGETYICLLNALASTGQTDQVYAIVSDMSAAGLGLNKFCYAGLITAFKNKTPTTEETMAKILDFVRQSKGWQYVERVSKDSAENIMMNVSEEELYNLPTAEYVNRRGGFVFKQHTVYHVAIHACAELRSKETLEALLEMFNKDNRDGSTYDAYMVMQAMRCYLRCGDIDSAVKMFEEYSSSRSPPAELYATLAEGAMIGYTPRGMQLAQETIEKMISRNFFLNARLGTDLLLAAAGETTGGYTTANYVWDLLQSRNITPNLPAVEAYHKGLTAREIPSDDPRLLNVARVLDNLQLRFGPRRNTQ >Sspon.08G0006550-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8A:20390760:20392762:-1 gene:Sspon.08G0006550-1A transcript:Sspon.08G0006550-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding EDEELVGDFIDAEDNTGTENIDQGTGVMASQIHGDDPSMGSMPPVIGNELLMAADIVGKIDEPRMGMEFESDAAARAFYNAYALRFGFGIRVARSRSERRKGVEVLVMKRFVCLKEGHHKKKPVEPSNKKKRKRLSIRDGCPAMMEVVRRGPDRWVITKLVLEHTHVIVSADRAREVQLRRLSGKFQEHENQLQELRRNVFGDTNAQGLFNYFKKMQSENSSFFFSIQVDSKNCVSNAVWVDARARMAYTYFGDAVYFDTTYSQNENMLPFAAFTGVNHHGDTVVFGCALILDRTESSYGWIFETWLTAMDNRLPFSFTTDEGKGIAAAVAKVFPQCFHRLCRWRILSRCKKRLTDVCTRFPELHDELKRCVNGCDTVAVFDMFWGSILDKYGLRDDNWLQSLYEIRDKWVPAYLTSSFFAELSLTHRVETFLKTDTDLEKQARSIYTRAAFETFQVELVEAMQYYAVKVQDGSYMKYFVERDGDPPTRHTVFYNVAEKKAWCECCRFAFSAILCRHVLRVFLLAGVTMLPEPCITKRWTKKAKTGPELFGLNVGNGSGSADSVASRYTDLVRDAMKCAEKGAVSAGSFRIAKEVLHKAFMEIRGLGEKLNKDALHSASSR >Sspon.03G0005250-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:15135554:15137196:1 gene:Sspon.03G0005250-1A transcript:Sspon.03G0005250-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDPLIRDGDMSAGFLIDQQAQLAPSPYGTDPSQAQALFDDGTAAVHNISMYGDSESSVSYDATAASMQFSSQLLKTSVPAASTPMQATAASMQFSSQLLKTSVPAASTPMQGVGVGAPMQYLSAGSYLPFGGPLPSHLLLQALQAAKPNSRSSNNANSLATVKVRKEKLGDRITALQQLVSPFGKTDTASVLHEAIEYIKFLHDQVASLSSPYLKNGIPMKQFQHKFPNENNNQPLVLYSRLAYGSEDSKDNGDTKQDLRSRGLCLVPVASTYTVAADTPEFWHPTFGGTFR >Sspon.05G0004470-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:16627787:16630029:1 gene:Sspon.05G0004470-3D transcript:Sspon.05G0004470-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHAQEIQLQIRGIPDEESVHDDARDEPKAGTLRSTRVSFRWWMTVVVDMLMVLCGTTVATLLGRLYYNSGGNSKWMATLTQSGGSPLLVVPLLMTPAPSADERRPAASKMLAVYAGVGVMIGFDNLMYSYALQYLPVSTFSLVAATQLGFNAITSRLINAQRFTALIANSVVVLTFSASLLGVGSSSDETSSDVPRGKYPVGFVLVLAASAVFALILSLFELTFEKVIRVRTARWVLRMQMYTNLVASAVSVVGLLASGDWRTIPGEMASFKDGRARYVLTLVGTAVSWQAAAVGVVRLIMRVSSLFANVTCTMALPLVPVFAVVLFGDKMTGIKVVAMLMAVWGFLSYMYQHYIDGRRAGNAECHVCATRERSDAILPA >Sspon.03G0002240-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3B:15074517:15075410:-1 gene:Sspon.03G0002240-2B transcript:Sspon.03G0002240-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding NDGEASLSDTCASGNYKFVILAFVYKFGKGQTPELDLASHCDPSSGGCTGLSDDIRSCQSSGIKVLLSIGGGDGSYGLSSQGDARDVAAYLWNNYLGGSSSSRPLGDAVLDGIDFDIELGGSKYWDSLARDLKNMGKNKVGGKGVLLSAAPQCPFPDEWDNGAINTGLFDYVWVQFYNNPPCQVNAGRGAFMDAWKQWESVPAGQIFLGLPASKDAAGSGFVPADDLNSNVLPLIKGSSKYGGVMLWSKYYDDRAGYSDAIKSHV >Sspon.05G0027620-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5B:55482275:55483904:-1 gene:Sspon.05G0027620-1B transcript:Sspon.05G0027620-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALPQGALDRRLQSTPVTDVGSSPPQPHSAAGGQHSPDPPDTHTFSSPARPLTCSVKMTAISQSEQEVGASSKKSTLVSSSITKRKRPSSKDQQTYSAVAKKSKAPTLPQPHSVGGEQQSPDPPGSRTIRSSSLLHVLPPRPSEKNQRKQQAAKRFKPPMATRSPMVTRSPIVTRSPILRFMVSPVVIGQRSSRSPRTPPVLPSSPRVASPPSG >Sspon.03G0035580-3D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3D:46152927:46158266:-1 gene:Sspon.03G0035580-3D transcript:Sspon.03G0035580-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Actin-interacting, WD40-repeat protein, Actin turnove [Source: Projected from Oryza sativa (Os01g0125800)] MAQLVETYACSPATERGRGILLGGDPKTDTIAYCTGRSVIIRRLDAPLDAWAYPDHAYPTTVARFSPNGEWVASADASGCVRVWGRYGDRALKAEFRPLSGRVDDLRWSPDGLRIVVSGDGKGKSFVRAFVWDSGSTVGEFDGHSKRVLSCDFKPTRPFRIVTCGEDFLANFYEGPPFKFKHSISKFITVSSDKKGLIYDGKTGEKIGELSTEGGHTGSIYAVSWSPDSKQVLTVSADKSAKVWDIMEDASGKLNRTLACPGIGGVDDMLVGCLWQNDHLVTVSLGGTFIVFSASNPDQEPVTFAGHLKTVSSLVFFPKSSPRTILSTSYDGVIMRWIQGVGFGGRLTRKNNTQIKCFAAVEEELVTSGYDNKVFRIPLNGDQCGDAESVDVGGQPNALNLAIQKPEFALITTDSGIVLLHNSKVISTTKVNYTITSSSVSPDGTEAVVGAQDGKLRIYSITGDTLTEEALLEKHRGAITSIHYSPDVSMFASADANREAVVWDRASREVKLKNMLYHTARINSLAWSPNSRLVATGSLDTCAIVYEIDKPAASRVTIKGAHLGGVHGLTFLENDTLVTAGEDACIRVWKVVQQ >Sspon.02G0040960-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2B:73323945:73324385:1 gene:Sspon.02G0040960-1B transcript:Sspon.02G0040960-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHGAGKNSEVNNLLSLTPCPAARSKPPPRPASRGGDLFRVAQPPRTPACQCRPHVRTGTAQPVQPWRSRIDLVATLVLNQFGNFAAPCRCRPWIDLGARLGFGRGQWQCGQMRVLTAEETRTATQMRRGEGWVVNCLLGAGPWHC >Sspon.01G0057500-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:9048081:9052972:1 gene:Sspon.01G0057500-1D transcript:Sspon.01G0057500-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQVVPMILASQFTVKFAPARCLVRSGDMISLLDKQCVNRTAASVVAAYDVLYLQMDLREAMHYAVNSIAEYIPETSVMKPTYILTYESTTELLCMNPEEKEDLRILQEAASIRAQWRRSQQEKKLRPCPFGLPSPKIIFWAVTRHDLMAKRRALGRTVL >Sspon.04G0020600-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4A:72509838:72511081:-1 gene:Sspon.04G0020600-1A transcript:Sspon.04G0020600-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AAAAAEDKKEGDDGNGSGQGKMTCDENGVDEGFPYARPTVCELSGDVRVSPKQKTVYLVNPSGAGGFDESGEKRLRPYARKDDFLMPGVTEVTVKSVPSAAVAPKCTKHHTVPAVVFSIAGYTDNFFHDMVDAMVPLFLTTAHLKGEVQLLITNYKPWWVQKYTPLLRKMSLHDVINFDAEDADDVHCFPAGAFVGLYRDRDLILSPHPTRNPRNLTMVDFSLFMRGALALPRDRPAALGEKPGMRPRMLIISRAGTRRLLNLDEVAAAADKLGFNVTSAEAGADVPAFAAQVNAADVLVGVHGAGLANVVFLPTEAVVVQIVPWGKMDWMATNFYARPAAGMGLRYLEYYVGEEETSLKDKYPREHAVFRDPMSLHKQGWQALAQTVMKQDVAVNLTRFRPVLLQALDKLQQ >Sspon.08G0002390-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:5447580:5456333:-1 gene:Sspon.08G0002390-4D transcript:Sspon.08G0002390-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGRSLAAVYSARATRPAPLRAGARPPRAAAAAAADPASEHVRRLVAEFDPAVPLDSAVTPPTGWYTDPGFLQLELDRVFLRGWQAVGHIWQVKNPNDFFTGRLGNVEFVICRDANGKLHAFHNVCRHHASLLACGSGQKTCFQCPYHGWTYGLDGTLLKATRISGIKSFNKNYFGLIPIKVATWGPFVLVRFDDESTEDNVYDAVGNEWLGSASDLLGTNGIDTSLPHICRREYIINCNWKVFCDNYLDGGYHVPYAHGALASGLQLQSYETLTYERVSVQRCESAPAEPNDFDRLGTKALYAFVYPNFMINRYGPWMDTNLAVPLDSTRCKVVFDYFLDKSLLDDQSFIEKSLKDSEQVQIEDIALCEGVQRGLESPAYSVGRYAPSVEMAMHHFHCLIHAQENREDSESEYRQWGLLNCTRAKLLGKPLNPCFYLTDLARELLPCCNVLDLVELSLEEAACINGLRSK >Sspon.05G0005590-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:12005242:12006600:1 gene:Sspon.05G0005590-2B transcript:Sspon.05G0005590-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFPAQGHLNQLLHLSLLLAARGLRVHYAAPAEHMRQARVRVHGWGDAAARGVEFHELAISEYVSPLPDPAADSPFPSHLMLLWEAFAASAPAALAALLGEISASHRRVVVLYDLMNAFAAEEAARLPNGEGYTLHCTAVSSILGRMEGGSDLLRECGLEYLPIVPYVTEEFLEFAGKRARAAQTIPSSAGIVMNSCHALEGEFIEFVAEKLAAGGKKVFSIGPLNPLLDSSAHEQGATRHECLGWLDRQPPASVLYVSFGSTSSLRGEQIAELAAALRGSNQRFIWVLRDADRGNIFADNGESRHAKFLSEFTKETEGTGLVITGWAPQLEILAHGATAAFMSHCGWNSTVESMSHGKPILAWPMHSDQPWDAELVCKYLKAGFLVRPCEKHTEVIPAATIQAVIERMMVSDDGLPVRQRATAIGEAVRASAADGGSSRKDLEKFIAHITR >Sspon.01G0021150-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:77794091:77795154:-1 gene:Sspon.01G0021150-1A transcript:Sspon.01G0021150-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGHSGHLAKSTDPINQAPSSFPAVAAGAAWVAFHGRRERESEEGEGEKGVKPYASSPAVSSHPFPAWASTFNLAESSDLRN >Sspon.04G0017130-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:62262842:62264632:1 gene:Sspon.04G0017130-1A transcript:Sspon.04G0017130-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LFPTTPHSFLTPPHPPPSLSNLAAKVRNLLAPPRVWVGAGHGVRGPRLLHLRRGRPRRRRHRGPARGARQGDRLRRRAARLRGARRHRWPANGRQPRRRGPRARNFLHDVGHCNVHPWVLLHKNRLLCLQRLQGLLFFEHPTDLKEYAVCWL >Sspon.01G0050710-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1C:7015917:7019107:1 gene:Sspon.01G0050710-1C transcript:Sspon.01G0050710-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPPPPPPPSQPQLKLFNSMTKTKEPFQPRVEGKVGMYVCGVTPYDFSHVGHARAYVAFDVLYRYLKFLGYEVEYVRNFTDIDDKIIRCANEAGETHTSLSSRFINEFLLDMAALQCLPPTHEPRVTEHIEHIKELITKIMENGYGYAIEGDVYFSVDSFPEYLLLSGRKLDQNRAGERVTVDTRKRNPADFALWKSAKEGEPSWESPWGRGRPGWHIECSAMSAHYLGHVFDIHGGGRDLIFPHHENELAQSRAAYPESEVMCWMHNGFVNKDDQKMSKSENNFFTIRDTLYDCEEMFSKYREEGISAPVPAEEQNLVNRHHKAFLDNMSDDLKTTDVLDGVDGFADLLKTINSNLNDLKKLQQKLEQQQKKQQQKKQQKKQQQKQQQTQKQPEDYVQGLIALETEIKDKLSVLGLMPPSSLAEVLKLCLSTYAVQL >Sspon.03G0036140-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3C:84259209:84260180:1 gene:Sspon.03G0036140-2C transcript:Sspon.03G0036140-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MESKLTEDVGPLAAAASSFPLLVYDYKRGVPPEIFQTVLSVADGSMRTIQVPEMCCEYRCVETPQGLVLMVDAASRSCWLWNPQTGEKTALPAMDGELPDHCRCLVSDTTSSPPDWISDDVAPPDPLVLVYDLTRPELLFCRIRGGSAWVKQSYDMGLCEVPGKVPTPRAIGEMAAVQGMFFYIDHESVDVVGTLVILPDDPEPCLELVTFKAPLPTLATEAQRKVTRHYLLESSKELFLVCLFYIGCTLDRVEEVGAYVMDFSKKEWCKVTDIGDAAFLLGPRCFAASCSAAEHGLKSGCVYLADDWLGDNNDFHIFDLKEGT >Sspon.06G0001980-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:5246220:5246929:-1 gene:Sspon.06G0001980-2B transcript:Sspon.06G0001980-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AMTATAPSSSSGAARRGRSQSQQGRGGRSVAAAGARRPHKDLGWTGVRERLWGGWAAEIRIPRTRSRLWVGRFQHALQAALAYDAAMFCFYGERLPSQRKFNFPGAPRPAIPEHIRVQLSSSPSPTSRRSLPTQLYCPTPAAAPPAPPTPPLMVPSAPAPVTHGAAAASAEATSRTTDVHAAGNARNRVDGDFMETADCLLSCNPDYFVGVLDMDDLFIGQKN >Sspon.01G0005240-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:12237531:12242208:-1 gene:Sspon.01G0005240-2B transcript:Sspon.01G0005240-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASAAVESSATVSVFEVSSNKQRPDTAKLMLNSPSPPSLREELVSVVGKAFRPRPPGDGGRAPRCPWILTALQCVFPVLQWGRTYTLKSFRSDVMAGLTLASLGIPQSIGYANLAKLDPQYGLYTSVVPPLIYAVMGTSREIAIGTVAVVSLLLSSMIQKVVDPAADPATYRSLVFTVTFLAGVFQVCFGLFRRLVLRLLQSYLCDFVGSSRPRLGFLVDFLSHAAIVGFMGGAAIVIGLQQLKGLLGLSHFTNSTDWHPGNFLIGCSFLMFILTTRFIGRRYKKLFWMSAISPLLSVILSTAAVYATRADKHGVKIIQKVQAGLNPSSAKQIHLNGPHTTECAKIAVICAVIALTEAIAVGRSFASVRGYKLDGNKEMLAMGFSNVAGSLSSCYVATGSFSRTAVNFSAGARSTVSNIVMSITVVIALELFMKLLYYTPMAVLASIILSALPGLIDIKEVCNIWKIDKMDFLICLGAFAGVLFGSVEIGLAVALAISFAKIIIQSVRPQVEVLGRLQGTNIFCSIRQYPVACQTPTVLPIRMDASFLCFINATFIKERIIEWVREEVENSNGKARERIQAVVLDMSSVVNIDTSGLTALEEIHKELVSLGIQMAIASPGWKAVQKMKVSRVVDRVGEDWIFMTVGEAVEACLAAHKGTALEC >Sspon.05G0017880-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:71634505:71641521:1 gene:Sspon.05G0017880-4D transcript:Sspon.05G0017880-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPHRLRRRRSRRLRGQESGEECSRSSCREDKEREAAQTQSEALVQVSELSSPPVSCIWERLWRDWGRRFCIRLDRQGCFHTYPDVGGPFQSLPETYEAIDRYLEDLRDPKMCAEEDKAIIECLYWPDGTIKRRTRGPVTEKSEMCQMVQALVDKYNEDHNLLEGLAHELKDVLHYKSICEKQKWYYHLNFTTKIKGAGPNECNLDNLFFVEVKCQCYGCTRDGDVGMKHPSSSVGYTAGHLNVGLPSGYYGKWKRDYEDEEDDDKYVKAREAELRQMFKELSLLVHVVKTLKKKAYLSGTEKPFESRGYREAVKRRKLVTA >Sspon.03G0029630-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3B:17580904:17584438:1 gene:Sspon.03G0029630-1B transcript:Sspon.03G0029630-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQAYRQAIVNANKTGAAQEAITGIRRASKAMTEQEARQILGISEQSTWEEIVQKYDTMFERNAKNGSFYLQSKPSWCTARHHRCCPLGSPLVAASSKLPQAHSHHSGALSQSPLTLLSSSCARGLYLHAPPPLTTPAAATSAHHTLTCLYLWIEDLIDGTMMGVWGKRQLQLPIPNSQASDSGPVGSSA >Sspon.08G0017440-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:3122186:3123341:-1 gene:Sspon.08G0017440-2C transcript:Sspon.08G0017440-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSRRFLNLIVESNEAATRSLRRIDLKRLQLFYPTPPPPSPAPIRRPTTTMERIRLPGPILNIKAHSLRGAGDPCDLACYPFGQRKVLSTDQAGRNFLYDANTCDVAAMPEFHKPKLRHCLSLFVPSGDDGEDDREGGGGGSLYLMERDLREVKRYDIEVGQPSMDFEVLFYGNGRTTSHCKRLPLPPFVLEPIHPNEYPEIRSYAVVGEAPTPCLDTASHTWDKVGDWTLPFHGKVEYVPELKLWFGLSGDAQHLAAADLSAMGAHSQPQLAAGHGWEEFLPPEQWAEQELDIDQEDVQLMNLGSGRFCIARFFINWRESEDSCLYFAVLTGVEVVPRVFANGEVKLEMIHHKSMCHHMSPADGAYIEQLF >Sspon.02G0023460-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:82871085:82876271:1 gene:Sspon.02G0023460-3C transcript:Sspon.02G0023460-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKLKSRRLPFYKDVKPEDCRSGSGLPHLAAQAKLAAMNMQTEDVKPRRPVQVRRSRWVLGDVTEVLDHNSWRIGKITEVLKNDCFVIRLAGCIQPRAFHVSCLRIPHDSKQLTVGDMVIELNKPIRFADCSSHHSKIVMEQDHQAYEEVDHYAKRKAANICASTGARVVKRKLEASRIPPNDLVRRTGKERKVAAYESRQLTKNELPLKVSARNAIDGDHFHRPLSSRYNDLTKNISEIKPVCKVLPLSEKPLRIREENECSVASCSANYSEYSTSDNQQSVGIGSCFPDDVMSACQSGQEIKNAYGSGLFLNVHELELQAYQSIVRAFHAAGPLTWEQESLLTNLRLSLNISNEEHLLQAYLLAVDDGGASSISSAGMTCLSATVATSTAAVALVAASSASLAALAVASLASANLVLGVSSPLG >Sspon.01G0009750-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:30041602:30049544:-1 gene:Sspon.01G0009750-3D transcript:Sspon.01G0009750-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVGGSGSGGGGGGDAEMGGWTGLLHSSTKLLEQAAPTPHFPPLQRNLDQLEALSTKLKAKTIRAEAPSQSLSATRLLAREGINAEQLARDLKSFELKTTFEDVFPSEATTVEEYLQQLHEMAIVSSIQEAQKDNLRSFNNYMMQVLEDDWQKEKRDFLQSLSRLSTLPKRNTNLSTSGLAHPALMPPSTSSLHAPSGLPSAEVMPIPNKTIIEKKSSVYAGAVRDLNDARGRSLPFNPATAFRAAYESLSVDAVGTKSVTMQKVWHLIQAALGGSVGNLQKIRAFLRVRLRDQGVLDFDATDLRRQPPVDTTWQQIYFCLRTGYYDEARQVAQSSRTAYNFTPLLADWISSNGAVSPETALAASEECDKMLRMGDRPGRPGYDRKKLLLYAIICGCRRQIERLLKDLPTLFNTIEDFLWFKLSALREYTNASSSNLMNEGLVPYTLDDLQSYLNKFEPSYYTKSGKDPLVYPYILFLSIQLLPAILYLSKEVGEDGYHVDAVHISIALADHSVLPDGIGSGQKIGVMDACAEAASIIRQYGSIYLRNGNIDLALEYYAQAAAAMGGGEVSWMGEGQADKQRQRSLMLKQLLTEILLRDGGIQLLLGPNGMGEEGELKKYMMDWRSRQQFLLEAAHRCQEAGLYDKAVEIHKRVGAFAMALQTINKCLSDAVCAMARSMLDGESRAAALIHSGNEILETARYSSEASIQEKDLISEQQTVLRQLEAILHIYRLARAGQTVDALRETIRLPFLHLDTQAPNVTVDIFRNLSPHVQACVPDLLKVALNCIDNVRDTDGTLRAVKSKIANLVASNMSRNWPQDLYQK >Sspon.06G0018170-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:98281484:98286048:1 gene:Sspon.06G0018170-2P transcript:Sspon.06G0018170-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SKPILPSPPGRLPVIGHLYLVGDLPHVSLHDLAVKHDRGGGLMLLQLGTVPNLVVSSPRVAQAVLRTHDHVFASRPTTKVLHNFLYGSLTIAFGPYGEHWRKVRKLVTTHLFSVKKVNSFRHARQEEVRLVMAKLKKAMATGMAVDMSETMNTFANDIICCVVSGKLFREDGRNKTFRELIEMNSALYAGFSLENYFPRLVNSLGIFTRFVSRKVDKTHERWDEVLENIISDHERQSFNYRCGDGAEQKEGTDFVDVMLSVQQEYGVTRDHIKALLMFILAAATPLAQQPLWFVVVPLTLVLLPVLCLRLHHHPNAGAGDDERKQSKPILPSPPGRLPVIGHLYLVGDLPHVSLHDLAVKHDRGGGLMLLQLGTVPNLVVSSPRAAQAVLRTHDHVFASRPTTKVLHNFLYGSSTIAFGPYGEHWRKVRKLVTTHLFSVKKVNSFRHARQEEVRLVMAKLKKAMATGMTVDMSETMNTFANDIICCVVSGKLFREDGRNKTFQELIEMNSALYAGFSLANYFPGLVNSLGIFTRFVSKKADKTHERWDEVLENIMSEHERRSFNYRGGDRAEQEEGTDFVDVMLSVQQEYGITRDHIKAVLMDMFDAGTVTSSLVLEFAMAELMRNPHLMTKLHAEVRSKTPNGQEMVKEENLPSMAYLSAVVKETLRLHPPGPLLLPHQSTVDCDIDGYTIPSGTRVIINAWAVCRHLESWEKAEEFMPERFMDGGSAATIDLKGNDFQFIPFGAGRRMCPGINFGLATVEIMLANLMYCFDWGLPAGMDKEDIDMTEVFGLTVHRKEKLMLIPILPGSASCA >Sspon.02G0023740-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:81043215:81046385:-1 gene:Sspon.02G0023740-1A transcript:Sspon.02G0023740-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKALREVARRRGSWAAEVGRRCLSSGSTGPAPEPKEGGAGGKAVNLFTAVNQALHIALDTDPRAYVFGEDVGFGGVFRCTTGLADRFGKNRVFNTPLCEQGIAGFAIGLAAMIVNEAAKFRYRSGNEFNCGGLTIRTPYGAVGHGGHYHSQSPEAFFCHVPGLKWLYRLAVEEVPEEDYMLPLSEAEVIRKGSDVTLVGWGAQLAVLKEACEDAAKDGVSCELIDLKTLIPWDKETIEASVKKTGKLL >Sspon.02G0038940-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2B:47208672:47209477:1 gene:Sspon.02G0038940-1B transcript:Sspon.02G0038940-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLGMAGHGDGRHGAQLHTSPRFCRALLGSIQPQAGPKDVKEGGADNLKSRRSPHGEHGLGGAPAEARLRRTQAPFWLCCTGWLRPCMLIATICRRLARWDGPACPAPRAPHPRLVPCAARRLCLASRAALRHTSRLPPELVLRTAEVRPSRSGIELRAGVELRVNERPLGCSK >Sspon.02G0003420-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:11225762:11228391:1 gene:Sspon.02G0003420-1A transcript:Sspon.02G0003420-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVVQADEERNKLRELVAEERRRRGVRGNRQREEGGAEEREVVGEHYAAAEERVVEGLEAPEVDLRVRGGGDAGEAQAEERRRRGLVAGAVAEGESLRADGRGRRGGGGRVGGQQDGLLEVVHGAHDVMFLPI >Sspon.03G0027690-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:6563709:6567950:-1 gene:Sspon.03G0027690-1B transcript:Sspon.03G0027690-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPRKGTATPLGSVFSPEETKRAVARVSESIAGRRAELGRLQGFVADNAALISLVNRLPDELSHEIMVLLGEGYYAERSAKQTTDILHRRGQELEAQVEAMKATIADLEAEAKFFESTATEASEGLVEIREEYDEDTETDATKSEASIATGLKSALKKPGGDEMLRGISHTPSSHPITNSDVRVRTKDDKYVVSSSKSPPLLLESIQSDPGLKNSSDPTPSHERKIISSGRKAFTGSIIEHDDNLSTIQPSVAWYFCFFKAHVKIQDAERRAVAKFSAEKVSKDYIL >Sspon.08G0002420-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:5391584:5392954:-1 gene:Sspon.08G0002420-3C transcript:Sspon.08G0002420-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASRDDEGHQEEARRMASLLAARRALRAGLDKSRALSHALAPRLEAIQARLPAMEASVRPIRAPWEALATAGPNIDRAVGPAAAVLKVFDAVHGLEPPLLDRAAVAADLPGYLAVLAQLEAALRLLADNCGLATQWLSDIVAYLGERRLADQRFVAGLATALDRLRDAAASADLDAGLLAAALDLLEAEFRRLLKDHSAPLAMKDPAGGSDAPAVVPSRIPPSVVYKLSLILDRLAANGRLDHCSSAYADARGDTVSASLRALGLDYLEETSGDAQALSPSVERWGRHLEFAVHHLLEAERKLCVAVFERRPKAAPLCFAEIAARAGILDFLNFGRALAGVKKDPIKLLRLLDVFDCLNKLRLDFNLLFGGKACVEIQSRTRELVKTVVDGAVEIFEELLVQVELQRNLPPPVDGGVPRIVSFVAKYCNQLLDQPYRSVLTQVITSTALAQRGVQ >Sspon.02G0001820-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:5527037:5528634:-1 gene:Sspon.02G0001820-2B transcript:Sspon.02G0001820-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DAERTSAAPLYDLVSWRRRRARIPRGQQARAAAVDLARAGFFRAKFISTFIYPRILTFPKSISISRSRLRFGAPEMSMAAAARKKKGSSPSSLAGAAASAPAHTLSRSGCLAPTALSMGRGGGSVPTARSLGRGGGSAAATTRPINGGFGKGVATAPSSIDGSSGGGFPISSSSMDGFPFPPSPSQAWWDAAGGDPSSPGFGHSAAL >Sspon.02G0019370-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:63787597:63797572:1 gene:Sspon.02G0019370-2B transcript:Sspon.02G0019370-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AQGGTVAPSSEKVEFLKLQNGSDIRGVAVAGVEGEPVNLTEPVTEAIAAAFAAWLLNKKKADGLRRLRISVGHDSRISAHKLQNAVTHGITSAGHDVLQFGLASTPAMFNSTLTEDERSHLPVDGAIMITASHLPYNRNGLKFFTSDGGLNKTDIKDILECASKIFEESAHGNLKEQGEASRGVVANVDYMSIYASDLVQAVRKSAGDK >Sspon.03G0042190-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3C:52615197:52615424:1 gene:Sspon.03G0042190-1C transcript:Sspon.03G0042190-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RRAVEARERPQQQSWPPAEEDGHGERAGGDGGGGPEEGGRGTVSAPPQRQRSKGEEGEWHRNRPELYLSAGRCSL >Sspon.04G0023230-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:162904:166458:-1 gene:Sspon.04G0023230-2D transcript:Sspon.04G0023230-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMAVGAGNPANDLVALINGKRAASKLPALRNSRGLGCMALQYISECMAMATGAACSSGNTVACQPPEAHITEVYAANCGVELPTVDVISGRLVGCHRDRDGPEDALQAVLASATGNGSTNGTEAAALAVIRGKEHTQVRAAPPRALLLAGGKGIHQTHGCFSAPDRPSCNAAPPRPGQLRFTAIAAAAALLFHTKKDVNAKGRDLLYPTDPS >Sspon.03G0036960-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:90712710:90713968:1 gene:Sspon.03G0036960-2C transcript:Sspon.03G0036960-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPRAAMGCSSSPSPRALWLTTAVVAALAAAAGAQAQLSLSPGFYDATCPGLQPIVRRIVARAVQMEPRMGASLLRLFFHDCFVNLGGPRWTVPLGRRDARNTSAGAANANLPPPDASLPTLLSMFRAKGLDARDLTALSGAHTVGRARCVVFRSHIYNDTATNATFAAELRRTVCPYTGGDANLAPLKPQAPDVFDNGYFRDLMDRRVLLRSDQALYDGGNGTTDALVRAYATNGTAFATDFAAAMVRMGNLGPPAGSSAVNAEVRLNCRRAN >Sspon.01G0010710-1T-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:36504402:36506398:-1 gene:Sspon.01G0010710-1T transcript:Sspon.01G0010710-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRDAHLGVGVGVEGDAKEKNAAADALAADGGGGHEGAGGAGSGSGSGGGRKLSLVPLIFLIFFEVAGGPYGAEPAVQAAGPLYALLGFLIFPFIWAIPEALVTAELSTAMPGNGGYVLWADRAFGPLPGSLMGTWKYVSAAINGAAFPALCADYLARVAPAVSEGRARVAAIVSFNVALTFVNYTGLTVVGWSAVGLGVASLSPFLVMSGAALPKIRPRRWRVVAGERDWKLFFNTLFWNLNYWDSVSTMAGEVDRPGKTLPKALVSAVSMTSLGYLLPLLAATGALDVAPDSWGNGFFADAAAHFTRCRNVTNAGMIAGNWLKYWIEVGAVLSSIGLYSATLSSAAFQLLGMADLGLLPRVFAARAPVFRTPWLSIVATAAITLGMSFLSFDSIVAAANFLYSLGMLLEFAAFIWLRVKQPGMARPYRVPARLPAAVVLCLVPSAFLVFVMAIAGWKVYAISAAFTAAGVAVYYLM >Sspon.06G0027990-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6C:640894:642217:-1 gene:Sspon.06G0027990-1C transcript:Sspon.06G0027990-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVERDLHMSRGDGEDSYASNSRLQVHCVPVLLLHHACRLSRSAHPPLLLNTRLYMHTCSSGEVHTEDEAGLHKAVAAAHASSLSSGGGAMVVADLGCSSGPNTLLVVSEVLGAVADRRDELTTMAGAQPPATHSLELFKKLAAKDKGDALPPYYVAGLPGSFYTRLFPDRCVHLFHSSYCLMWRSKTTPPAVVALYRRQFQEDFSLFLRLRHRELVSGGQMVLAFLGRKNKDVLRGEVSYMWGLLAQALQSLVKEGRVEKEKLDSFNLPFYAPSVDEVRDVIRQSQAFDVTHIQLFESNWDPHDDTEDDDGDLVLDGVQSGVNVAKSIRAVIGPLIAHHFGEHVLDDLFELYAKNVAVHLQK >Sspon.08G0021850-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:45477967:45479140:1 gene:Sspon.08G0021850-3D transcript:Sspon.08G0021850-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKACPWALLVVCLLVAVVAGSAPMATAAEAAFDENYVVQWGEDGYHLVIRGTEANITMDQSSGAGFRSKSMYGSGFFHMRMKLPSGYTAGVVTTFYPEDGTRDEVDFEFLGDKAGVPITLQTNVFVNGRGDREQRLHLWFDPAADFHDYKILWNPYQLVMFVDDTPVRVLRNLTGTVPGFPFPAKQTMLIRASMWDGSDWATDGGRTKVDWSQGPFTAGYQGFDVSGCANGSATPCQSPDLWWNGGEYRNITAEQRAAYEDVKRNYFNYDYCADKARFNNTVPIECNYA >Sspon.03G0009380-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:40935379:40937344:1 gene:Sspon.03G0009380-2B transcript:Sspon.03G0009380-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding PWVARCRTPTRRPARRTTPRPLTDTRRRRRRSTSTSTSTAPSEPHPRPLGSFRSRRSGSPSRRRRPGCSTTRSRRRRRMRSTRPRSNPTRPPRRTTPKATRSLKVIFLLLKEGRLWLLQLLCCLVPQKERRCG >Sspon.08G0004260-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:10170473:10173291:1 gene:Sspon.08G0004260-2B transcript:Sspon.08G0004260-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding FVIFSGGFRTTVQELTVLTDSYILLVVWPYRTQDMLKSKLILEDEFAWSLPSVGSGSDEHESCKLKYIGGTDISFLKEDPATACAAVVVLNANTLEVVHEEFNVVRLQVPYIPGFLAFREAPILLGLLEKVKINAPHFYPQLLMVDGNGLLHPRGFGLACHLGVLADVPTIGVGKNLHHVDGLNQSEVRRLLESKENCNKELILLTGQSETTWGMAMRSCPGSSKPIYISVGHRISLDSATAIVKSCCKYHVPEPTRQADIRSKAFLQKLQKPRQ >Sspon.07G0005650-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7A:14497787:14498707:1 gene:Sspon.07G0005650-1A transcript:Sspon.07G0005650-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEIVGSAVANEAVSRLVSSFLSGDKTSQQQESVEDKAERLEMAVLKIRSVVAVSEHVHISHLPLLQWKAKLKRVAQEGDDILHVHKKQQTLRCNRVSDSSTGNSVSQSLIQAAKRFVPFCHKEDEELMSDNTLRRFERLADGADSFFRLVESGGRPNKSVFLPPPLTRALLAGDSMEFLIQTKTGSDHIMVWPWLDHPDAKSGRGLEACLTVTREDEVVWQKGFKMSVLFRLSEASNILAIAMSCLELLPPQFDAARVAISRLLTETIGQSGDHSSLSERSKWCCRQIVSYHRNDSESSMGDDKHK >Sspon.03G0011020-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:30005915:30007378:-1 gene:Sspon.03G0011020-1A transcript:Sspon.03G0011020-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDHLVGLVKVRVLRGVNLAIRDLRSSDPYVVVRIGKQKLKTRVVRKSTNPEWNEELTLCIEDPAVPVRLEVYDKDTFVDDTMGNAEVDIRPLVEVVKMKLQDVADKTIVKKLVPNRQNCLAEESSIYVAEGKVEQDLVLRLRNVESGEIELQLQWVDLPGSRGV >Sspon.08G0000830-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:2124:6578:1 gene:Sspon.08G0000830-1P transcript:Sspon.08G0000830-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPYKHRPSSGSNSSFWTTNSGAPVWNNNSALTVGQRGPILLEDYHLIEKLAQFDRERIPERVVHARGASAKGFFEVTHDVSHLTCADFLRAPGVQTPVIVRFSTVVHERGSPETLRDPRGFAVKFYTREGNFDLVGNNMPVFFIRDGMKFPDMVHAFKPNPKTNLQENWRIVDFFSHHPESLHMFTFLFDDVGIPLNYRHMEGFGVNTYTLINRDGKSHLVKFHWKPTCGVKCLLDDEAVTVGGTCHSHATKDLYDSIAAGNYPEWKLYIQTIDLEHEDKFDFDLLDVTKTWPEDIIPLQPVGRMVLNKNIDNFFAENEQIAFCPAIIVPGIHYSDDKLLQTRIFSYADTQRHRLGPNYLMLPVNAPKCAHHNNHHEGFMNFMHRDEEVNYFPSRFDPARHAEKVPIPTRVLTGCREKCIIQKENNFKQAGERYRSFDPARQDRFIQRWVDALSDPRVTHEHHTIWMSYWSQCDASLGQKLASRLNLKPNM >Sspon.07G0007410-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:17295690:17301885:1 gene:Sspon.07G0007410-2B transcript:Sspon.07G0007410-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MIAAVNRVPAGWGEDEMSVLPRHTKVVVTGNNRTKSVLVGLHGVVKKAVGLGGWHWLGIWIELKKAVGLRGWHWLVLTNGIEVKLQRNALSVIEPPTGNEDDDKFDCENMQWNSSDMASDDAQSPKPQRSRSRQHRGFHRKSLSRSMSCDSHSKTSVSSSSRAHTKVDLSKLELTALWRYWRHFNLDAYPNPSREQLVDAVQRHFTSQGKAHFTPLKPLLNYNIDIQQPSTTETGQIALLIPFKDVFQCCGTDNESV >Sspon.04G0032180-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:22265173:22276801:1 gene:Sspon.04G0032180-1C transcript:Sspon.04G0032180-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ALGIPAPVFPSPSAEFREISSASRPPRAVTAAARLSLVTTTHISERRLAFGVGGAAAFWLRSPLLSPLPCLSIGAHKAGRHHHLKKAKRTAEKRPPPHTPFTPGSIDHAALYSCCTYACLLFFCCSAAPGSGADPNNYGVFPHSFCNQHVVSFQTSSVTSGSGAIPVCLDTSSGMNGNMAMLNTTSSTIVSTGSPNMIADSSCQNLKYSAPLAVEWSYLELQMLNDGLNKYAHEPGIMKYIKIAAMLPDKTVRDVAMRCQWMAARKEATRRRKPEEHYPGKKTKDRKTEMRSSSFIPQNAKNNGFISGDSQIDRGMINILEENARLLNQIEVNILTSQAYNNIDLFHHARRNINGLLQSMSQIPGIMSKMPPLPVSVDERLASYILPRAPMAQVLGSNHLKEEPRVW >Sspon.08G0003980-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:12904942:12911235:-1 gene:Sspon.08G0003980-3C transcript:Sspon.08G0003980-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRRAPWLAGGLVAVAVQLLMVAVRGRFVVEKSSVRVLAPEHIRGHHDAAIGNFGVPDYGGTLTGVVIYPDKKATGCDEFDAKFKAKSRRPVILLLDRGECYFALKAWNAQRAGAAAVLIADSVDEQLLTMDSPEASAGTEYVDKINIPSALVNRAFGESLKKMAQKVASGDGAGEEVIVKLDWRESMPHPDERVEYELWTNSNDECGPRCDEQMAFVRGFRGHAQLLERGGYARFTPHYITWYCPEAFRLTQQCRSQCINHGRYCAPDPEGDFGAGYEGKDVVVENLRQLCVHRVANDTGRPWAWWDYVMDYKIRCSMKEKKYTKTCAEDVVTALGLDLKKVLECMGDPEADADNAVLSKEQEDQIGSGSRGDVTILPTLVINNVQYRGKLERTAVLRAVCAGFKEGTEPRVCLSHDIETNECLHRNGGCWRDEATNVTACQDTYRGRVCECPVVNGVRYEGDGYTDCKPIGPGRCALNNGGCWSETRGHQIFSACTKTALTGCRCPSGFQGDGHKCEDLDECREKLACTCPGCQCKNTWGNYECKCKGNQLYIRGEDVCIANNMSKLGWFITIAAVACVVGIGVAGYVFYKYRLRSYMDSEIMSIMSQYMPLDSQNNENQPLRQHDSEALRH >Sspon.08G0017320-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:2121278:2125765:-1 gene:Sspon.08G0017320-2D transcript:Sspon.08G0017320-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDGDLDFSNPDTYLCPALGTDPPSSCSMDSYFDEILKDTEHHACTHTHTCNPPVHDLSHTHTCVHVHTKIVAASPGDGADTAESPSENNTATGNSNAASKKRPSGNRAAVRKYREKKKAHTASLEEEVVHLRALNQQLMKKLQSHAALEAEVARLRCLLVDIRGRIEGEIGAFPYQRPPRRSRTSTSCLVLTREASSVVLRPRLPTPAISDATIRCIATLGCRALSVVRCWDKAPVMSRVSNAWEATSLDPLSYLSAGEWIQYLLSACQMLKRSDGLPTDRTGSNCYVCEFVAHDASMVPEAIQVASSKHTSMNQRDVAATDHQEYSLLITI >Sspon.01G0062270-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1D:102108352:102108808:1 gene:Sspon.01G0062270-1D transcript:Sspon.01G0062270-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFTYLGLPMGTTKPHIEDFTPMMDRIERRLSACSTWLSYSGRLEMVNSVISPITTYAMCTIKLSKGVIDNIDRARKQCLWRGNDPEKKGGNLVAWPTVMKPKEKGGLGVINLHLQNDALLLKQLSKFYNKEDIPWVQLVWSKYYDIKVPHA >Sspon.01G0003520-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:9670408:9691461:-1 gene:Sspon.01G0003520-1A transcript:Sspon.01G0003520-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTPCDSFLHVPAQPRTPLPDLTAPASWKENVLNFQIRAVSAPARTAEICPKLVVPCSVPKQTVGGKKKGNWVHYGGSLPEMLEALQHVRDVGEALWAWKDTLSNRERTIILKEQKDWRRAVEIFDWFRRERGHELNVIHYNVMLYTVGKARRWDLVLRLWHEMHSFGVVPDNSTYGTLINVCCQGGSEWAALLWLGDMCKRGLMPDEVTMNIVMQAHKKAGEYETAELFFERWSLDSIRRMKGRSHCSLYTYNTLIDTYGKAGHLEKVADTFNQMLTEGVAPSVVTFNSMIHAWGKHHRMEQVASLVRMMEEFQCFPDTRTYNILIALYRESNDIDIAESYYWKMKTENLVPDAVSCHTLLYGFSIRGMVTKVEALLKEMDERGFVVDEYTQSALTRMYVNVGMLEQAWRWFDRFHHHMSSECFSANIDAFGEKGYIVLAEKAFICCLKKKMLSVSACNVMIKAYGLVEKLDEACEIADGMERYGILPDYVTYASLIQLLSAAKLPKKAIYYLEKMKVVKLLTDCIPYSVVISSFAKNSDLRMAEYLFREMIMSGVRPDVFVYSILIDAYAEVGNVQQASAYFGLMKKDGLYENVTIYNSLIKLYTKVGTEEALKIFKKMLASNTPPNDATFQALKFSGFSSMAVLAHIDQHSDSATT >Sspon.07G0011080-3C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7C:38690733:38691302:-1 gene:Sspon.07G0011080-3C transcript:Sspon.07G0011080-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SAALPPPPSPGRRTLFLDLDETLIHSQTDPPPSRFDFTVRPVIGGQAVTFYVVKRPGVEAFLRAAAAIFEVVVFTAGLQEYASLVLDRLDPDGEVFAHRLYRDACRDAGDGRLVKDLAATGRALDRAVIVDDNPNAYALQPENAVPVAPFVDDDNDQELQRVMAFLDVASGYEDTREAIRYYKDLVTAN >Sspon.03G0005380-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:21983334:21988012:-1 gene:Sspon.03G0005380-2B transcript:Sspon.03G0005380-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPPEVAVVAVAALLLVAAAAAAESAAPLPAALRLERALPHKGVALEELRRRDAARHRASRRRLLGAVAGVVDFPVEGSANPYMVGLYFTRVKLGNPAKEFFVQIDTGSDILWVTCSPCSGCPTSSGLNIQLESFSPDSSSTSSRITCSDDRCTAALQTGEAICQTSDSPSSPCGYTFTYGDGSGTSGYYVSDTMFFETVMGNEQTANSSASIVFGCSNSQSGDLTKADRAVDGIFGFGQHQLSVVSQLNSLGVSPKVFSHCLKGSDNGGGILVLGEIVEPGLVYTPLVPSQPHYNLNLESIAVNGQKLSIDSSLFTTSNTQGTIVDSGTTLAYLADGAYDPFVSAIAAAVSPSVRSLVSKGSQCFITSSSVDSSFPTVTLYFMGGVAMSVKPENYLLQQASVDNNVLWCIGWQRNQGQEITILGDLVLKDKIFVYDLANMRMGWADYDCSMSVNVTTSSGKNQYVNTGQFDVNGSARRASYKSLIPAGIVTMLVHMLIFGSGSRR >Sspon.01G0026510-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:92586493:92587984:-1 gene:Sspon.01G0026510-1A transcript:Sspon.01G0026510-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAKMTMPTMRLALTFCNLNYYVDTPPEMLKQGCTTRRLQLLNNVTGAFRPGVLSALMGVSGAGKTTLLDVLAGRKTGGYIEGDIRIGGYPKNLGLCEQVDIHSPHLTVEESVTYSAWLRLPSKEFVDEVLKTVELDEIKDTLVGRPGMDGLSLEQRKRLTVAVELVSNPSVILMDEPTTGLDARSAAIVIRAVKNISETGRAVVCTIHQPSTEIFEAFDE >Sspon.02G0040320-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2B:67509708:67510833:1 gene:Sspon.02G0040320-1B transcript:Sspon.02G0040320-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKGSMVAREISLQEKRVHVPTPMVEEPFFMLPVAVAPTVQDTIVPTPVASSPVATMNEYEEPVLEEPVLEEPIEPNVAHEEEQQQPNVEQVPEAPRRSQRTKRSPISNDYEVYETKEFQMGDDPTSFEEAMRSDHWSKWLKAMEDEMKSMSTNKVWDLEIIPKGAKTPTMIWSYIKMDVRTAFLNGDLEENVYMAQPKGFVVKGKENMGCRLKKSIYGLKQASRQWYLKFDRTIKGFGFKENVEDNCVYAKFKNGKYIFLILYVDDILLASSDVNLLMETKKFLSSNFDMKDLGEASFVLGIEIHRDRRKGVLGLS >Sspon.02G0014290-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:35897290:35898330:1 gene:Sspon.02G0014290-2B transcript:Sspon.02G0014290-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AFPKRSPMVNDFSRRILSITEGDAIIQIEKKWIGDQHVCQNDGAIASPSSLNFRSFSGLFLVTGVASTSALFIALVMFLYKNKHKIRNSISRVQTQGRYGSAHANSPNQERELDCNQAQSMQVTVPNDLDDDACQQEIEISIEVTSPDSGFPSSPGFASCETPSNSVDKLPHYTIRDASIKTIQLGMYPYGNRNLA >Sspon.07G0009820-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:28629663:28634294:1 gene:Sspon.07G0009820-1A transcript:Sspon.07G0009820-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPNEAANITTPSEPESVGGSEMSSEDTTAARPLLSSSSPSPSAASAAPVLESIEELDRRYAPYARRDAYGPMGLGPVSAAEAARLAFAAVVLVPLRVVAGVLVLVVYYLVCRVCTLRVEEEREGGEGDGYARLDGWRRAGVVRCGRALARAMLFVFGFYWIREYDCRFPNAEDDDVDQSKEIERPGAIVSNHVSYVDILYHMSASFPSFVAKRSVARLPLVGLISKCLGCIFVQRESKTSDFKGVSEGTTTNGDYLLPFKTGAFLAKAPVKPVILRYPYKRFNPAWESMSGARHVFLLLCQFVNYLEVVHLPVYYPSEQEKDDPKLYANNVRKLMAVEGNLILSDLGLAEKRVYHDALNGNSLPRALHQKDD >Sspon.05G0023230-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:1807567:1808835:1 gene:Sspon.05G0023230-2C transcript:Sspon.05G0023230-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAADVSSVARLLRGEAGKKGGPEIVTMDLLGGCGGGGAAEDEVVDLEVTVPAGWERRLDLLSGKTFLTPRHPSVQGGHQDLNLPPPAAPTTNSAAVCTLDMVRSALERAAAGRTTASPATSSTSSASTSSSSSSAGKRNRSPQPAMRAAACPSCLTYVLISEADPRCPRCSARVPPLRGKKSAAAEGSGKKPRIDLNAVADETE >Sspon.01G0005130-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:12043433:12049573:1 gene:Sspon.01G0005130-3D transcript:Sspon.01G0005130-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MYITTVNGSTKFKINHLSCFNISANINYRQQAKPSVSNPWAHSTQVTRYSGRQNRAKYKLLGGPLVLIKRHEEIKILRKWPENPTPPRSIEYDAVRANRAAAAHNAARPPPHSLPINPPLLRFPFPFPFPISLAAISPSLLLLLPQTQAFDSTARPPGLSSQPSAPSWLPCLAQLAMDEVPATAAVLDFRPGSSPPRVSAVPRRAAECSPDTGGAEEAAGGPGFGNTAAVSAELTGSNSAGSDIQALDCDTSGGLAGGDAGGVEVLCLENAAELESVEPGVLDVRLGAPVEKRHDRTLDSTGLGSDEAGETNGISLVEVSQSGATSSLDTTGSIGGYSLVEGSLPEASGARGREPEVREVPTGTPTTVGFPNGDGGSGFGIELNDDVDGRNGLARGELEVSTDGDDAEDITEIAGILCGEKVEGMETNSGQHEASNGSTVPSEEGVDRMETSLDDSEASDGSTTQDSDTDVETESSVSSIEEQEAGYGAHIPQSDQAICKVAKENNTAGVKSSDRMTSVSESTLVLASGASMLPHPSKVLTGGEDAYFIACDGWFGVADGVGQWSFEGINAGLYARELMDGCKKIVTETQGAPGMRTEDVLAKAADEARCPGSSTVLVAHFDGQVLHASNIGDSGFLVIRNGEVHKKSKPMTYGFNFPLQIEKGDDPLKIVQKYTIDLQEGDVIVTASDGLFDNVYEEEVAGIVSKSLEADLKPTEIADLLVARAKEVGRCGFGRSPFSDSALAAGYLGYSGGKLDDVTVVVSIVRKSEV >Sspon.04G0012210-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4A:39549456:39555476:-1 gene:Sspon.04G0012210-1A transcript:Sspon.04G0012210-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SNTIASTVHFSSYRDIIADVNILQGTLFHEDTAQDLAPDVDPEQNDTQKGEENHGKSYRETSKKLRKSKPAVHRGFLARANGIPALDLYNLAQKRNRKLVLCGHSLGGAVAALATLAILRVIATTPSKEDNRLHVKCITFSQPPVGNAALRDYVHKRGWQDYFKSYCIPEDLVPRILSPAYFHHYNAQTPEASFINKNGVKSEETMEASAERPKGNNGEQLVLGVGPVQKSLWRLSKLVPLEGVRKSLSVIQKQTNVFGKAPSQLDSYLQSKVDESEEPPQSLEIQESSQGIALTPLSDKDGGNAEDNNSTEKINASEAGGSKRWSRVPSLPSYVPFGELYLLGDSSVNTLSDSEYSKMTSVQSVISELRERLQSHSMKSYRARFQKIYDSCMCANAPIFTGIEQLPQFSHLQELIGLTATDSVELGDIVDPPVIQTATSILPLGWNGLPGGKNAEPLKVDIIGHDLQMCTLFQAQINGIWYSTVIETLPSVTSFSPNGELQPTLQKMRILVGQPLKQPPNYISEAFMVPVMTDADSTPDFGFESLFEDKDCCKGLSGFLIYGTSDFVTELPLEVGRFKEELSLGLHDISRKTDLVIAVHNLAHRIPQYQQSNTSRPQPALSLLLDEAMALSIPWILAITNKFSVSAHEQNTLISSAMEAYQASPEMTKVVNSSPFLMPSARNSLQRIRSATGNLANKDPANRSAYLPVNFVLSPFQRKDIVMHVEGVSALRQLVHQIVLNNEEQAFEELAREKAASLQMKQKPPKRDGSVTAAAVGASLGAGLGIVMAVIMGAASALRKP >Sspon.06G0017950-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:97453557:97459162:-1 gene:Sspon.06G0017950-1A transcript:Sspon.06G0017950-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAGPERWSLASATALVTGGSKGIGHAIVEELAAFGVRVHTCSRNAAELEECRRRWAEEKGIRVTVSVCDVAVRAEREALMDTVKDVFAGQLDILVNNAGLFLFKPATECSAEDYSRMMATNLESCFHLSQLAHPLLRKSAIAGGGSVVHVSSIASCLGSPNVAIYSTTKGGMNQLTRSLAVEWASDKIRVNCVAPGIVVTDMTRQVPIILEKETLSRIPLQRSGEPAEIASVVAFLSMPAASYVTGQRSLGGCVLKHY >Sspon.07G0002540-2D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7D:5298044:5298169:-1 gene:Sspon.07G0002540-2D transcript:Sspon.07G0002540-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DSSSHVAPLRSRPHGLVPHCRDRASPHPTGEPVTGVTCKYL >Sspon.07G0016340-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:62406617:62411134:1 gene:Sspon.07G0016340-2B transcript:Sspon.07G0016340-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADATAALSGTYLLLDPIKQSPLLALSVWLTPHIAWNWQLGARCRLSWRLRAPATSNPSRVRADPIVLLHQINSLLTTTAHPAGLVAALDEDGTGAASVAAAVRDANKRTALHFAAREGRTDVCEFLIGELGLPVDPKDDDEKTELMKLLLSKGVDVESESDAGTPLVWAAGHGNPDAVKLLLQHNAKPNTENDDGVTALLSAVAAGSLPCLEVLIEDELKPVQVAAWRNNREAVELLLPLTSPIPGVSNWNVDGIIEHMLPKEYGEKSQLKEATSLKSGRTQTVEVSPEAKKRSLEAKSRGDDAFRRKDYLVAVDAYTQATELDPTDATVLSNRSLCWLRAGQAERALEDAKACRALRPDWAKACYREGAAHHLLQRFEDAANAFYEGVQLDPENKELVSAFRVKCMAGS >Sspon.01G0045900-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:95193643:95195046:1 gene:Sspon.01G0045900-1P transcript:Sspon.01G0045900-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding NGGAPPRPPPHASPLLRRDYCRLRPQEEEHQPATASARRPRPLRRPPHPHPAPPRELQHAPPPLLRHGVPAGGPRLKVSPGVRLPRQPRPWPAHPQRHPLLVPHGRPAAGALLPPPPPGARLPRAPPRPGRRRVPPLLRGAGRAALRPPPGPPQLVRAPRAAARALPGAPPAAGPGAPPRPRPLLPPRRHRLGLLAAARRRPPLVRHHVAAPPPRARQLHRPHARVARLALAGARHPAPDLLPPVLAAAPPVLDRPRAPLPSHRAHALRRRRLQAVQAQHPGRHPRRVRQPHVGCVHRRRLLRRRVRAQPRGVHAPHAQGQLLPAAPRGLAVPPLHVRRHRGRLHPGLLRARRRQGALRLAPSPREVRPVLRHHTQGVGGDGGRQDRGRAGGGARGQGRQDAGARAGDGAQGGVPAARERGGPEGGHQGRRRPRRGGRPEEDTEAGERAGGRSAGRHLRAGGRRRRRL >Sspon.04G0012440-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:42185757:42213039:-1 gene:Sspon.04G0012440-2D transcript:Sspon.04G0012440-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTAVDPGPTDHLLAPAPAPVAVAGAGVGGDGQLEVVINLSSSDTDSDVPGAGGGKRSRPVAGRGGGRDREEKKARILAASATVPAGFLEPLPRPPVKLLPPPAPGRSVTKQFWKAGDYDGKSDHLLAVEAAQHSDSGMDHVRVHPKFLHSNATSHKWALGAFAELLDNSLDEVVNGATYVQIDMMESKKDGTRMLLIEDKMRHCMSLGYSAKSKVKNTIGQYGNGFKTSTMRLGADVLVFSRSRGIEGTRPTQSVGMLSYTFLRSTNKEDIIVPMIDYEKEKEWKRKARTTLTDWCMSLETIIQWSPYSTETELIQEFGSIGEQGTRIIIYNLWEDDEGELELDFDADANDIQIRGVNRDQNQIQKANQFPNSKHFFTYRHSLRSYASILYLLLPENFEMILRGKKIEHHNIINDLMLKKQLTYKPTVGDGLPKDMHMAAKVTIGFVKDARQHIDIQGFNVYHKNRLIKPFWRVWTAAGSGGRGIIGVLEVNFVEPAHDKQDFERTNCLARLEARLNRMQKKYWSDNRHQIGYGINDASTDSGKGERGLSPPPFGKGSWKSGYLQRIRSADRSSSRTISQQRAEKSANTIRPERSVLHGLSDTGGGGNTEIAGAPSRSTSPILRTPEKSFCKVNTSLPPSPNGVKRSERIAAKCQSKEVNVTTNGDRESIMDPEAVIKKLKEENSSLEERILMLQESLSRELQIERDKNKSLTERFEDVERQLELAIKEQEATVELFAEERKRHDQEEENLKKKLRAKKQMDERLMDLEMTMQVHIKEIVPALGLEVWAVSWKMRLRRRISDSFARRRQLTPALASNVVADVVEPRLMKNQSTAYDARGRKKAAFVVLSSSRWRVIKCKEDCTARRYMERWRRAAEWRLIRSETGVEDRPLIETACSAWPEADGCEPAAHGGAAQMREEGGLHRYGSG >Sspon.02G0003550-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:11360390:11361395:-1 gene:Sspon.02G0003550-1A transcript:Sspon.02G0003550-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPNNGSWERWRFVGGTGLLGITPSADGTMLVCDADKGLLRVGEEGVTLLASEVEGSPHQVRIASQPKFRMKSHPDFSHHARDRAPPAGPSAARFADAAIEASDGTVYFSDASTRFGFDRWYHDFIEASATGRLLRYDPRSGETSVVLDRLGFANGVALPRDEAFVVVCESTRTNQLWAIKTRRFRCMKVWLKGEKAGTAETFVDLPGNPDNIRLGSDGHFWIALIQVTGSRFTSHIIHCAVLIPTASIIT >Sspon.05G0039040-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:59927953:59946852:-1 gene:Sspon.05G0039040-1D transcript:Sspon.05G0039040-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPRRALLALAALLLLSLSLAAAAFQSNELLLNDDEEFEGVGARPSAPSPPAAPAVSSSRRRSVDAPLPGAGESNAVQFTLEHDLGDGKGFVPAGTFSARLKTFAHGTQTLTKLRFIRNDLTEDDKVAFKKLLQEDGFYTIRLLSNVLDTTKKHNVVSSIKAKLLQEDGFYTIRLPSNVLDTTKKHNVVSSIKARCIPRDSLDEHIVIHMDGVNILAVNYGSVGGCQYPRPMKLPSKWTFSSYTILKTAEQAPRTPSFADQLIEADNGLGEVMKPPEKSFWAKYWMYIIPLGLIVMNALPTYQTKLQGRANLEHNGHLLLPGGEDDGCLYVFPYKGTQNRALTGNKIREVKKCVD >Sspon.07G0006150-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:14205561:14218833:1 gene:Sspon.07G0006150-2B transcript:Sspon.07G0006150-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SAC3 family protein A [Source:Projected from Arabidopsis thaliana (AT2G39340) UniProtKB/Swiss-Prot;Acc:F4IUY8] MASHGAGAAAGSDAPHAEVVSMGQTNPSPYPPLSSSHHSWSSATGSATAMVSWNNTVDKLSQDTVYYDPQRDVSVSGGNQNVGSSVPHVAQSSMGMTDAAHSHVPYSSSAQHVYNPVEYANYYYSYPQATNDSSVQQGANQHPGAAYQPLTSFQNSGSYIDPTSNTYYNAGGHQTVPGYGSNSYYYQNNTWNGGSTGNNFAQSYQNYSSSDTNAQQSSTSVPANSLPYQQQYNQWPYYYNQSVPSASSNPVAGNSTTDNLAVNTPSGYSYPSSQPPPPGTTSWKSNSVASAAPPMQVPGHQNQYANQAEGHYNQGPGVQWSQNHYAYQTQPYPQKTNSNHPQLSNPEDQQKTVDSNGPSTNLSSNHVSENFKPNLQGSVTMDNSSESKIQVPINPRIAPGFSMVMPKNEKKNSGLDLSKKPAYVSVSMPTNDAKATQVGPDARSIPFSLRNYAMRNLNRCKNDAQRAVCRSVMEEVTKKAIAEGTLLTKNWDTEPLFPLPESVVGMTGTSSVNNLSPFSSVSTPRKRVKSRWEPVVDENVTNKVEQIAKGLISSNVHSTLDPKNRMTQPYPQKTNSNHPQLSNPEDQQKTVDSNGPSTNLSSNHVSENFKPNLQGSVTMDNSSESKIQVPINPRIAPGFSMVMPKNEKKNSGLDLSKKPAYVSVSMPTNDAKATQVGPDARSIPFSLRNYAMRNLNRCKNDAQRAACRSVMEEVTKKAIAEGTLLTKNWDTEPLFPLPESVVGMTGTSSVNNLSPFSSVSTPRKRVKSRWEPVVDENVTNKVEQIAKGLISSNVHSTLDPKNRMGSSWDHGKFLQSREAPSNKVNQRPAKKQKMGSNLSQIQNGSASSDSEKEHDLTKYYASATALANSPEEKKRREHRSKRFEKSKDSSLKSRNTSANSDAMANLRVRTAISSLRTRTYEEGTLAVEDMDWDALTVKGTCQEIEKRYLRLTGAPEPDKVRPEDVLEKALAMVETSQKNYLYKCDQLKSIRQDLTVQRIQNELTVKVYETHARLAMQAGDLPEFNQCQSQLKRLYAQGIKGCYFEFSAYNLLCVMLHSNNKRDLLSSMASLSKEAKQDAAVKHALAVHASVLSGNYVLFFKLYKKAPNLNSCLMDLYVERMRFEAMKCMSKSYRPTVPAGYVAQILGFLRTDTEGCATNEDDGLEECEKWLKAHGTVLSEDHSGELQIDMKASSSTLYMPEPEDAVAHGDASLAVDDFLARTDGHGHEMELPIEARGARESEQLNKDASCTAMTFVEKADKKAALPDLS >Sspon.07G0002730-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:5779348:5784163:1 gene:Sspon.07G0002730-2D transcript:Sspon.07G0002730-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial ribosomal protein S11 (Nuclear encoded) [Source: Projected from Oryza sativa (Os03g0385900)] MAMFSSARLALHRAASLGAGLCRAAEDPFSRRLLHGQLLPRSFASDAFGHNRPFPPSGSGIADMDPRQNFNSFNFVRQDIRANAQVNFNNTDNGAAMSRTATGEKPFSSREGFPFSSLRAFTKDHEQYSKKKRDFVHVLLKRKKTFVTVTDSSGNKKTGASAGCLEDRKGRSRLSRYASEAVAEHVGRSARKMGLSSVVMKVKGASFFKKKKKVILSFREGFRGERVRDRSPIMYIHDVTQLPHNGCRLRKQRRVRPWRSRKGWRYLLTERVAVHRPQVPEW >Sspon.02G0008050-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:23318333:23322841:1 gene:Sspon.02G0008050-1A transcript:Sspon.02G0008050-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGGGRIPIPAYMCWPPSGALSTDDTAPALMRHGLDPRTLDVYEDTVLNFIYGFLPKPSVSVAATLSCAAAEEGDGVDRISGLPDDLLRRVVACLPAKDGARTAVLSTRWRGLWCSAPLVLVDTHFLPRGGAEGRPPSPGVVSRAVRRAVSDALGAHPGPFPFVSLSCQFMGAIDADRAVLARWFQLLATKGVEELAFVNRPSPLVDLRIPAALFSCASLRRLYLGAWRFVETANLPHGASFPSLQELVLGAVTLEDRDLDFLLSVSPVLEILAFIGSVKDLRARLSSHSLRCAQFCLCLVEEVSVVDAPCLERLFIWRCPSSSVRVKIGHAPQFRMLGYLEPGVHVLEIGNTVIKSTTKPSPKTTVPSVRMLALHLQFRIHNEVKMLPSFLRCFPNVETLCIQSEKLVEPTGCLNVKVWEEAGPIKCVQSHLKRLMLWEFHGDDNEFAFLMYIAENAKVLESMVLVMKLRSRSEPEKLAAKMKALDNARWACGSSKLRYMIYRLGEEEGSVWNFTVASDFMCNDPFFCGV >Sspon.08G0006130-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:62308736:62315278:-1 gene:Sspon.08G0006130-1P transcript:Sspon.08G0006130-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRVHVIEARGLPAIYLNGSSDPYVRLQLGRRRPRATTVVKRSLSPVWDEEFGFLVGDVAEELVVSVLNEDRFFGAEFLGRVRVPLTAIMETDDLSLGTRWYQLQPRSGAAKFRKKRRGEIYLRVYLSVRATLCEDAHQAPPQLIDDISCSSHRSIETNDSSLSATASSLDLSACTSIDRACLKSLDGFNQSIMEARGPRSTGPPSCVSTEQSILLEPEEDDGSAIADTSSVVEVMSRYFRKTADVTHSVALDPVSTDQFRNTQMNSECRENGEGCTLPEVSLDELMKNMESKDQACEMPANLPGGVLVDQSYIIAPAELNSLLFSGTSDFWPEVSELQGTSGFQIEPWKHDNSENCLKRTITYTKAASKLVKSVKATEEQKYLKATGSSFAVLSSVSTPDVPCGNCFKVEILYRIISGSQLPLEEQITQLTVSWRLNFVQSTMLKGMIENGAKQGLAEGYSHFSEVLSRKIKVAELDDANSKDKILASLQTQKESNWKLVARFLGSFAFICSLSTALYIITHLHLAKPNVVHGGLEYFGIDLPDSIGEIVFCLILIIQGHNIIKVGRRFLQAWKQRGSDHGVKAHGDGWLLTIALIEGSGVVSAGTPGFPDPYVVFTCNGKRKTSSVKYQTSEPKWNEIFEFDAMDDPPARLDVVVHDSDGPSNETPIGQTEVNFVKNKLSDLGDMWLPLDGRFPQGHQPKLHLRIFLNNSRGTEVVMNYLEKMGKEVGKKMQLRSSQTNSAFRKLFSLPPEEFLIDDFTCHLKRKMPLQGRLFLSPRITGFYSNIFGRKTKFFFLWEDIDDIQVVPPKLATVGSPSLMIILCKDRGLEARHGAKALDPQGRLKFHFQTFVSFNDAHRIIMAIWKMRSPGLEQKGEMIDKEPELKENPYEEGSLLANEDVKMSEVYSAVLSVDVSALMEMFSGGPLEHKVMERAGCVDYSATEWELLNRNVYQRRISFRFDKSLSRYGGEATTTQQKYNLPNQNDWIVEEVMTLQGVQNEDYSSIQLKYHMTSTPLRPNSCSIKVLLGIAWLKGTKHQKKAAKNVMMNSANRLREIFSEVEKEVTSRKGAKMKESMYRVTA >Sspon.03G0000630-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3B:9714004:9714966:1 gene:Sspon.03G0000630-2B transcript:Sspon.03G0000630-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMQDLFSVPSCFSAGEKLPDVPASSAAATRSGQSAATLVYRAGIAGQDRLVTVTWCRNLLTHGLSVSIEGSAGGGKDKSGSSGGSSRDREWGDADGGSGASSKQGCSTACKVEMQPWHFWRKYGAKQFHVDGRAVDVVWDLRSARYSDEPEPLSDYYVAVVSDDEVVLLLGNLKKEAFRRTGSRPSLRDAVLVCKKEHVFSKKRFLTKARFHDRGKLHDISIECSSSNLSGVDVDMVIKIDGSVNVLVRHLQWKFRGNECISINHLKVQVYWDAHDWLFGTGMRNALFIFKPEPLSTTAADIHTDEYSDFCLFLYAWKLE >Sspon.01G0034640-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:5761786:5763808:-1 gene:Sspon.01G0034640-2C transcript:Sspon.01G0034640-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSVESWWVLPMTLILAISGEQHENMATIATSFAYLAIFACLAWAGASLLYWAHPGGPAWGKYWRPRGKPSSTIPGPKGLPVVGSLGLMSGLAHCSLANEASRRPGAKRLMALSLGPVRAVVTSHPDVAKEILDNPAFADRPLNHAAYGLMFHRSIGFAEHGPYWRALRRVAAGHLFGPRQVEAFAPYRAAVGEGIVAALRGGSGGVVQVRGLLRRASLYYIMRFVFGKEYDVSRAVPASGKEEVEELLEMVHEGYELLGMENWCDYFPGLAALDPQGVGARCAELMPRVNRFVHGIIQGHRAKAIAGEDARDFVDILLSLQESEGLADADIAAVLWEMIFRGTDAMAVLMEWTLARLVLHRDVQAKAHRELDEVFSGNSQAVTESAAPSLPYLQALLKEALRMHPPGPLLSWRHRAISDTYVDGHLVPAGTTAMVNQWAISRDPEVWDAPLEFRPERFLPGGEGQDVSVLGADGRLVPFGSGRRSCPGKSLAMTTVTAWMATLLHEFEWLPASDTGAGVDLSEVLRLSCEMAVPLEVRVRPRSGV >Sspon.05G0024520-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:15978928:15979707:1 gene:Sspon.05G0024520-1B transcript:Sspon.05G0024520-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVRCLQLGLACVLLLLAAQDAPALAVPIPQCQRQCGGVDIHYPFGIGDNCSLSPSFNVSCDEVRDGIRKPFIGDVELLNISLIHGTIRVLNAISTSCYNSSSGLMEGNQWVLNCTASPYLFSDVHNKFTVIGCNTLAYISGSNSTGYQSGCVSTCGNLSDLVDGSCSGMGCCQTAIPRGMAYYEVGFDSRFNTSQIWSFSRCSYDVLMEAEAFNFSTLYITTTKFNDTNVGRAPVVIDWAIRDGTTSCEVAKRNETGT >Sspon.02G0027770-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:101448180:101453666:-1 gene:Sspon.02G0027770-2B transcript:Sspon.02G0027770-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQAKSAPGRREMGRGRSPEPLDFFIWTVEDVGLWLEEINLGGYRQVFEENGVNGEYLESLSMFTTEQILRFIRRCHMKWGDFITLCKELRRIKVACLKGEQEVRRPWWAPACLSVVFVRAAKQNRKSRVVSLKLEP >Sspon.02G0024120-3C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2C:87629731:87634193:-1 gene:Sspon.02G0024120-3C transcript:Sspon.02G0024120-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFAAAAGTWALGFSLINNHCSKHAAPPYDFPHWRFAGGHLQILILRNVGLTVAPQRNLEGLAQLTYLNLNRVRVDDDVLANILSTCGVLTALYLQECQQLVHMNMSHARLRVLDVDECGNLKSITIQSSTLIQLVYKGHKVDIKYSHTPAILKLKILLCMANECPLDCIGGAGALPNLKQLFLEFPSPLHAATCTQLQGVHHNRWFGGLNQIVLLLKTPWKEHISSVAYLLVAAPSVKELRVEAYSNLPTSPLNNLIIQWPERCSMKKLQSIFIGGFSGEPELMELLFFLLQRSPALQTLAIDTHRRHLQLSDGGWKREEAEDHVRCYYAKGVAWTHLLPKIPSTVKFTIL >Sspon.02G0003470-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:11248141:11253261:1 gene:Sspon.02G0003470-1A transcript:Sspon.02G0003470-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTLGIAPLLDAYFRRRFAAAGLLEATVPLDGGATTVHCWRFPPGPGAAADGEDDARPVLVLLHGFGPPATWQWRRQVGPLSRRFRLIVPDLLFFGGSSTSSAPGAGRVSEAQQAEAVAKLVVAVVAPAPARVSVAGTSYGGFVAYHVARLLGPGAVERVVIASSDLLKAAADDRALLRRGGAERVEDVMLPRSTEQMRRLLQLAYHRPRRFTPGFVLRDLVQYLYSDKVEEKEELIKGITLGNKDKFQLTPLPQEVLVLWGEHDQIFPVEKAFEVARKLGANARLEVLKDTGHMPQEEDPQRFNEAILNFLLPAPKSSL >Sspon.01G0037850-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:20892782:20896819:1 gene:Sspon.01G0037850-3D transcript:Sspon.01G0037850-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQRRKSVFASAPFAMKQAALGAGVAARKNGAPLSLAAVVFALFVFATFLYNEDIKSITDFQFSSGAIRAKSPDLHLLQEAEAAAHAAVNTLAKRGEEVIVRVLEAPAAAGAGAGALVSQAAAVNTTSVVVAATAAAAAAKANANANAVVDVGREKERDEVAEKAASAKAAAATAALRTVVSVPETCDLYRGSWVYDEVNAPVYKEGECEFLTEQVTCMRNGRRDDSYQKWRWQPTDCDLPRFDARLLLERLRNKRLMFVGDSLNRNQWESMVCLVQSVIPRGQKTLTKFVNGGSSNVFYAHEYNATVEFYWAPFLVESNSDNPKVHSVPDRVIQWHAIAKHARNWIGVDYLVFNTYIWWLNTLDMKVLKGSFDQGSTEYVEVDRPVAYKEVLKTWAKWVDRNIDPNRTTVFFMGMSPNHITYVVHCRPEAWGNQGGIKCAMETLPITNRSASLDVGTDWRLYAGAQEVLPTLRRVPVHFVDITALSELRKDAHTSVHTLRQGKLLTPEQQADPRTYADCIHWCLPGLPDTWNQFLYARIASSPWPAAQ >Sspon.06G0007310-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:26385700:26390627:1 gene:Sspon.06G0007310-3C transcript:Sspon.06G0007310-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKLEKMTSIDAQLRMLAPAKLSEDDKLVEYDALLLDRFLDILQDLHGEDLRELVQECYEIAADYERKHDSEKLDELGNMLTSLDPGDSIVMAKAFSHMLNLANLAEEVQIAYRRRIKLKKGDFADENSALTESDIEETFKRLVVDLKKSPAEVFDALKSQTVDLVLTAHPTQSVRRSLLQKHSRIRNCLVQLCSKDITPDDKQELDEALQREIQAAFRTDEIRRTQPTPQDEMRAGMSYFHETIWKGVPKFLRRVDTALKNIGIDERVPYNAPLIQFSSWMGGDRDGNPRVTPEVTRDVCLLARMMAANLYCSQIEDLMFELSMWRCNDELRARADELHRSSKKDAKHYIEFWKKVPPSEPYRVILGDLRDKLYNTRERARQLLSSGYSDIPEESTVTNVEQFLEPLELCYRSLCACGDRVIADGSLLDFLRQVSTFGLCLVRLDIRQESDRHTDVLDAITTYLGIGSYREWSEERRQEWLLSELNGKRPLFGPDLPTTDEIADVLDTFRVIAELPADNFGAYIISMATAPSDVLAVELLQRECHVKTPLRVVPLFEKLADLEGAPAALADCSQWIERINGKQEVMIGYSDSGKDAGRLSAAWQLYKAQEELIKVAKQFGVKLTMFHGRGGTVGRGGGPTHLAILSQPPDTIHGSLRVTVQGEVIEQSFGEEHLCFRTLQRFTAATLEHGMHPPISPKPEWRALLDEMAVVATKEYRSIVFHEPRFVEYFRLATPEMEYGRMNIGSRPSKRKPSGGIESLRAIPWIFAWTQTRFHLPVWLGFRAAFKHILEKDIRNLHMLQEMYNEWPFFRVTIDLIEMVFAKGDPGIAALYDKLLVSSELWPLGEKLRANYEETKRLLLQVAGHKDLLEGDLYLKQRLRLRDAYITTLNVCQAYTMKRIRDPDYHVTLRPHLSKEIMDWNKPAAELVKLNPTSEYAPGLEDTLILTMKGIAAGMQNT >Sspon.02G0012900-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:32548628:32549713:-1 gene:Sspon.02G0012900-2B transcript:Sspon.02G0012900-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LMSLGKRDMSQERLYQDRKDVPPIHFTTPPPPPPSHHRHQQRQQQQHGGHGEQQQLECFSDEVDSRGSAEQKEPASGGAGALVVSGGGGGDGASIELSKKRRGRPPGSKNKPKPPVVITREAEPAAAMRPHVIEIPCGCDVADALARFAARRNLGICVLAGTGAVANVSLRHPMPGVVAGGGAPTTTAIVFHGQYEILSISATFLPPAMSAVAPQAAAAAACLSISLAGPHGQVVGGAVVGPLYAASTVVLVAAAFTNPTFHRLPADDDASVSVSVSLSAGSGDPPADEQRGGHQRQHPGEQPPQDRHRPLAVRRQPPPHLASASAAQPVEPCGGPPAVPIYAAACHPQPQDVIWPPPPPQY >Sspon.05G0024600-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:14207494:14211129:1 gene:Sspon.05G0024600-2C transcript:Sspon.05G0024600-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ROW1 [Source:Projected from Arabidopsis thaliana (AT1G04020) UniProtKB/TrEMBL;Acc:A0A384KH31] MENLRRLLNPLVLNLQKMELELTCPVCLKLLTSPTTLPCYHTSCSKCALTPTSKGYSCAICKSGYCSQDLRPASHLESIVSIHRSLSSTVNTMIEQRNAQINIPVAKTAFHGTPESGNRSGVVEKSDQMKSYNPVASKLVYNQSTGPAFGNTDGVQTKDPAFENKAGAAAVAPTALVQKGHSGSQSSDGPGDLDCDSNDLEGELITSRSPLETALKRETNVMDDHTRELKRQKSNDQVQRQTTMASAWKCEFCHSSQVTECTGPLSHYLNGEPIEADQAWKSSVQHVHEKCVEWAPQAFFTGDIANNLEPELARASKIKCSVCGLKGAALGCLVKSCRKSFHVPCAYNIKGCKWDQEILDQFECHTGITVTNTWSSDVTHVIANTDERGACARTLKVLMAILAGKWVLNVNWLKACIEARKPIPEEPYEIRCDVHGSVDGPRSGRLRAMQQAPSLFAGLTFYFSGHFMLGYKANLEELIAAAGGSILEKTELSTTSLILYSMEPPQGNNTDTLETINKRIAEAQELAATVGCKAIPHTWLLDSIASCTVELNV >Sspon.06G0029060-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6C:14774221:14774517:-1 gene:Sspon.06G0029060-1C transcript:Sspon.06G0029060-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVLTCEAHPRCACLVWMRPARPALLRCRCRLLATDRLCPALPRPGAGALLAPLFPGAGAACSPRSGSTPPRPAPVPAPCSPRLAPVPTPPARRSPSA >Sspon.08G0017940-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8B:3808672:3810249:-1 gene:Sspon.08G0017940-1B transcript:Sspon.08G0017940-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARQDSSSRFETSATFSPSSKLLVALPWWRDCRRQKVGGREDVDEVEGDSCVGKIR >Sspon.02G0043250-1T-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2B:90459716:90460075:1 gene:Sspon.02G0043250-1T transcript:Sspon.02G0043250-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQAIECHRAGAEVFTGDATCRKKSVELMEEFGLPNGILPLEDIQEFGYNRHSGFIWLVQGKKVEHTFKKIKKTSSYATEVTTFIEKGKLGKTTGVKIKELMLWISIVEVYIPEASPEK >Sspon.02G0008370-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2B:23276415:23277624:-1 gene:Sspon.02G0008370-2B transcript:Sspon.02G0008370-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RLSVRKTKAEKDPNKPKRPPTAFFVFMEEFRKDYKEKHPNVKQVSVIGKAGGDKWKSMSDAEKAPYVSKAEKLKAEYTKKMDAYNNKQSGGPTASGDSDKSKSEVNDEDEEVRESKAS >Sspon.07G0005510-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7A:14148007:14150335:1 gene:Sspon.07G0005510-1A transcript:Sspon.07G0005510-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYRRKQGIQRSATFVEDHRQTSSGGSASPAIASPRATRFADDSRRPDRSSRLAAQALVASSAARPDLTLPSFGDRFPAAAAAASQCDAEPSSPVQDPVTHLYTSTTSLNDEGPKYDIELSKKDHTKHGFWALVAQKAKVMLDENGTPRAQTQTSESRWSYDRVGSSESQSPTSRRGSSLEGKLDIGGKIKDVLEEGLAVADDTTSGGTGTHGGVVAARKLQIRRKACSMDFRAANLTPASPDMSPMLADTESPQIKASRDVANAMAAKVKLLQRELKTLKADLAFSKERCAQLEEENRLLRDGNHDADADEDLIRQQLETLLAEKARLAHENTVYARENRFLREIVEYHQLNMQDVVNLDDDDDIEEEDDYDVDADDDEDAELEAEQHQDRRKSSPSQIVLEEEEEHQAADPGTEPQSPSRHTASPRMLSTNSGGGGGTPDHESPRILNTNSGGGGGTPDHESPRILNTNSGGTPDHESPRMLNTDSGGTPGHESPRMLNTNSGVGIVASESPRMLNTNRGSNTNESPRSFKDDGSSPETTRDG >Sspon.02G0003710-3C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:13352237:13354439:-1 gene:Sspon.02G0003710-3C transcript:Sspon.02G0003710-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-arabinopyranose mutase, Pollen wall morphogenesi [Source: Projected from Oryza sativa (Os07g0604800)] RYVDAILTIPKGTLFPMCGMNLAFDRELIGPAMYFGLMGDGQPIGRYDDMWAGWCTKVITDHLGLGVKTGLPYIWHSKASNPFVNLKKEYNGIFWQEELIPFFQSVSLPKDATTVQKCYLELAKQVRAKLGKVDGYFNKLADSMVTWIEAWDELNPPKGGAPTPNGPPKKFSLPGNRRVGFRAFTRFSLFRVMQQTEVEVSSDAGKALIWVAPAVLLPRRRRCESVIVSEASIWGGFITDEASIWGGFVNVASIRGRGRAAEADSSGPRAEGPDQSAAYRDPTAAVRTGRKDPNPTVTRLLAVPLRGRRRHCR >Sspon.01G0005890-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:14158040:14160004:-1 gene:Sspon.01G0005890-2P transcript:Sspon.01G0005890-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSASELFTARRAARGGRLSDPDPDPDPHADALRDQHGLGGRRQRRGCRPRRQLDAAGDVRQHLHTGAPPPRRRGSYTDQILSYIDNNNIGDSAARRNRLDRLMFRTNERLPGAVLQAQARVLERLRGISLGSSASRPSILLDEFSVSDVFRIIDFRNRETRHEANRPHSSSFHPAVNQMKKDQPSVPLTPTGQLDLARRLSCVYRLRFLRLAKMIRERHHQNVLFALMDFTMEMS >Sspon.03G0007630-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:25662777:25666077:1 gene:Sspon.03G0007630-3D transcript:Sspon.03G0007630-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MESPGLVAVVALFVVVAAAAAASAADDAQLLEQFKEAVPSQAAAGLHGWSTSDGACKFPGAVCRGGRLTSLSLAAVPLNADFRAVAATLLQLGSLETLSLRGANVSGALAAAPRCGAKLQSLDLSGNAGLRGSVADVEALAAACAGLSALNLSGDSVGGPRSAGVVASGFARLDALDLSDNKISGEGDLRWMVGAGVGAVGRLDLSGNKISALPEFSNCSGLEYLDLSGNLIAGEVAGGILAECRGLRTLNLSGNRLVGPFPPDVAALTSLAALNLSNNNFSSELPTDAFTELKQLKVLSLSFNHFNGTIPDSLAALPELDVLDLSSNSFSGTIPSSICQGPNSSLRMLYLQNNYLSGAIPESISNCTRLQSLDLSLNNIDGTLPASLGMLGELRDLILWQNLLEGEIPASLENLDKLEHLILDYNGLTGGIPPELSKCKELNWISLASNQLSGPIPTWLGQLSNLAILKLSNNSFSGPIPAELGNCQSLVWLDLNSNQLNGSIPAELAKQSGKMKVGLVIGRPYVYLRNDELSSECHGKGSLLEFTSIRPEELSRMPSKKLCNFTRVYMGSTEYTFNKNGSMIFLDLSFNQLDSEIPKELGNMFYLMIMNLGHNLLSGVIPPELAGAKKLAVLDLSHNQLEGPIPNSFSTLSLSEINLSNNQLNGSIPELGSLFTFPKISYENNSGLCGFPLLPCGRNAGSSSSDDHRSHRNQASLAGSVAMGLLFSLFCIVGIVIIAIECKKRKQINEEASTSRDIYIDSRSHSGTTNWRLSGTNALSVNLATFEKRLQKLTFNDLIVATNGFHNDSLIGSGGFGDVYKAQLKDERLLQSRSLYILEDVLHDRKKSGIKLNWAARKKIAIGAARGLAYLHHNCIPHIIHRDMKSSNVLIDEQLEARVSDFGMARMMSVVDTHLSVSTLAGTPDSTDFGEDNNLVGWVKQHSKSKLTDVFDPELVKEDPALELELLEHLKIASQCLHDMPSKRPTMLKVMAMFKELQASSVVDSKTSECTGAMDDA >Sspon.02G0039080-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2B:48619475:48624046:1 gene:Sspon.02G0039080-1B transcript:Sspon.02G0039080-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MELGVEAARWMIGKALGPVSGGLLEAWAASTELGGNIETLRMELLYAEGMLNNARGHHGHGPGIKNPALSELLHKLRDLAYRADDALDEVDYFRIQDELEGTYHAAEEHDGGCLRNHALNARHAARAIAKMLGFSKCSGCFANATHAEPDEDTTSTRVPPCGGHWPCSGVKTSEDDEEEDPRQGVCWPCPCGTDQLFNNSCMGRITSAACSTIRTVGKHLPLPCYSSVSSAQSATNSNATSTERRFLCCAQTNKAPQRERVLQTPELKFDRVEMSRKMKDIIEQLKPLCDKVSTILNLELLAANLNNNNGQYMATGRQITTSESIEPEFYGRKDVTSTLIHEITEGKYRHMDNLAVLPIVGPGGIGKTTFTQHIYKKLHDHFEVKLWVCVSVNFSVSRLLQDIADKIKKEGNASPENRIEEGLKSKRFLLVLDDMWSCSNEDEWNRFLVPFKKAQTKGSVILVTTRFPALAQMVKTTDHWIDLKGIDKKSFKELFFAYVFGNKQPPEGRSELLGIGDEIIKKLKGSPLAAKTVGRLLRNHLELVHWTRVLESREWESQSGDHDIMPALKLSFDYLPFHLQQCFTYCSLFPEDYKFSEEEIIHFWIGLDVLHSRGENKKIEDIGLSYLVELINHGFFKKEEDDDDNGRTYYVIHDLMHELGLKVSADECLSLYSLNVRSIQILPSIRHLSINIDDSSVNDRKAFDTCKEDFSILGERLRVENLHSLMLFGKHQGSFTKTFHGLFSKAKALRVILISGDFYSMEDLLHNFSELIHLRYLRVHDNRLYEDNFEMVASNNISRFYHMRVLDLRGCQDCHNLQRCMSNLVKLRHFHGGVHSEISEVGKLKSLQELNRFEVGKESQGFELSQIGHLLELCGSLSIDNLENVEGREGADEAKLMHKKNLNELILNWNAKRFNKDPAREEQVLEGLKPHVNLKKLGITGHGGGACPSWLGLNLSIQNLESLCLDGVDWETFPPIGVLIIKHCPELVELAFSNSQQDRKAWPPNLRELVIQDCPKMLSLPPVPWRSTPCSVEISGVGLGFGLRYGKLIFGSTSLVLEITGRVTLDSSASIWRCLDFDNLTEVKKFMMYFEFWRHTGYQFTDESMKISSPRAPGGKELTQVLSYMPKLSVLRIQGCDKITGLGVVEQQQEEATASSSSRSREEEEIEAAEEGLLLLPPQLHELSIRSCPELRRLRLQTLCSLHTLWISNRLALSSSSFPFPSSLQSLHLYDMETLPPLSNLSSLATLYIFNCGGDVRGLSSGLQVPTHGCLQELTILRTTNFFSICPDSILSSLSSLKTDDVAGALAAPICRLLSSSLTKLFLRSDEMERFTDEQDEALQLLTSLQHLQFAFCEKLQSLPAGLHRLTNLKTLEIKSCRSICLRLLPKDALPNSLQNLTISFNSAIRTLPKDGLPNSLQELHIIYCPSIRALPKAGLPTSLKLIDVSDSSSEELKRQCRNLIGSIPIVRA >Sspon.04G0017290-3D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4D:71606124:71606858:-1 gene:Sspon.04G0017290-3D transcript:Sspon.04G0017290-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDTTGANMAPWGGFYGGGGAPATTEATVVTTAAAVAAAGGSVSSPTSGGSGGGSPTRAQQQPGVGVEGGRVGKSARRRSRASRRAPVTLLNTDTSNFRAMVQQFTGIPAGPYGPGGGAGGGPVISFGGGPGEYGPQLVRPSPTSAVMSFDHLAAAHAHHHQHRPTATSLQSQLFRPHQQQYGGDVGYGMHGGGDGMAPSFLHGGFESSAEDRLLLQSMMQAAQTTMPTGRPASTNNGNGYNFG >Sspon.03G0009560-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:30804672:30813538:-1 gene:Sspon.03G0009560-4D transcript:Sspon.03G0009560-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAPEQQQRRKVRGRALVSSSSSGSSEMDFFSEYGDANRYKIQEVIGKGSYGVVCSAIDQHTGDKVAIKKIHNIFEHLSDAARILREIKLLRLLRHPDIVEIKHIMLPPSRRDFKDIYVVFELMDTDLHQVIKANDDLTREHHQFFLYQMLRALKYIHTANVYHRDLKPKNILANANCKLKICDFGLARVAFNDTPTTVFWTDYVATRWYRAPELCGSFFSKYSPAIDIWSIGCIFAEILTGKPLFPGKNVVHQLDLMTDLLGLAKVEREPSCQPITKMEFEFERKKVTKEDVKELIFREILEYHPLLLKDYMNGTEKTNFLYPSAVDNFRRQFANLEENGGKGGAHTASSDRKHVSLPRTTTVHSNPIPPNGTSQVPQKIPTGARPGRVIGPVIPFENATAVDPYSQRRVARNPVLPPTTSNLSAYAYHRKSDNSDTELQQELEKDRMQYQPAQRFMDAKVVSQMSPDLRSSYYIPKGVPKADGADRAALHSSMIHGIAPFSGIAAVGGGGGYNKEQHLNLTQQNQQDLVNPPPIDEG >Sspon.03G0036110-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3C:84081158:84081868:1 gene:Sspon.03G0036110-2C transcript:Sspon.03G0036110-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor, Dehydration and salt stress toleranc [Source: Projected from Oryza sativa (Os01g0165000)] MRRKSTGPDSIAETIKWWKEQNQKLQDESGSRKAPAKGSKKGCMTGKGGPENVNCVYRGVRQRTWGKWVAEIREPNRGRRLWLGSFPTAVEAAHAYDEAAKAMYGPKARVNFSENSADANSGCTSALSLLASSVPAATLQRSDEKVETEVESVETEVHEVKTEGNDDLGSVHIACKTVDVLQSEKSVLHKEGEVSFDYFNVEEVVEMIIIELNADKKIEAHEEYHDGDDGFSLFAY >Sspon.07G0002330-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:4225143:4225928:-1 gene:Sspon.07G0002330-2B transcript:Sspon.07G0002330-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding HGLPRRRRLRAARGREGPIRQLHLRQEARRRQAVGPRRLPLHPQGRRGGQVRRQERLLRPRGHRQHHRAVGPLRLRRALALQPAPEQVLRDVRGSVHQERPAAQVPAAGRRLPAGLRQRVGVAGPPADQEGTPAATAAGPARQDL >Sspon.01G0061170-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:91473970:91474559:1 gene:Sspon.01G0061170-1D transcript:Sspon.01G0061170-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPTRHTLHCFSPPPRLSLLPSPSLPVLSLPRPTRAAPPAAAAPPPRPLPAPRPLHARPPELRRSLRWLELRLWRSGGGRGPLPAPFGAVEIGGRAARRSSGRGRASSARRGGRGGRATSAQRDGAERRSGELGAAGARRRSGDLSATGRGGGRATRSRRRPASSTRRGGSQARERRAGRRFGARGGVGGGAGEGH >Sspon.03G0007140-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:19499117:19500484:1 gene:Sspon.03G0007140-1A transcript:Sspon.03G0007140-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQDAVAAAPTAAGASPPIPLPMDAVVIDIEGAPLPAEAPGLGCRICHLGPEDGEPAVPGSEVIRLGCGCKDELGAAHRQCAEAWFRIKGDRRCEICGSDAKNITGLEVKKFMEQWHGRRVAHTQTTEERESHCCWRQQPFCNFLLASLLIVFMLP >Sspon.01G0016660-1P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:5807309:5808822:1 gene:Sspon.01G0016660-1P transcript:Sspon.01G0016660-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding PAQSARKAGGNARSRRAVQSKGPCPCSLRTLAILAVVAWTFFLYLDFSVITAEVSNSGDPCRGRYIYVYDLPPRFNTDIIRDCRKAGGRWGDMCAFLSNAGLGRPLTDDATDGGEAGWYDTHELALDAIFHNRMKQYECLTNRSAAASAVFVPFYAGVDFLRYQVGYDKATRDAASADLSFWLTVQPQWRRMAGRDHFLVAGRTGWDFRRSGGGGDANPDRSNGLLLTPAGRNMSLLVLESTLEHGSDFSVPYPTYFHPRSDADVLRWQDRVRGQHRTWLMAFVGAPRPDVPRRTQRRQHPVPQPPSIMRLFQKATFCLQPPGGDDDYSRTRRSVFDSMVAGCIPVFFHAASAYKQYTWHLPKDDHLKYSVFIPDADVRRRNVSIEAVLRAIPPATVERMREEVIRLIPTLLYADPRSKLETIKDAVDVAIDGILDTVARIKNGEQVNCGGAVDMDPPNLFAATASRIPPKGAMRAVDQHR >Sspon.02G0053610-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2C:107219160:107220389:1 gene:Sspon.02G0053610-1C transcript:Sspon.02G0053610-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAADLPVELVEEIFLRLDDAADLARASAASAAFHRVVSDARFLRRFTSLHRPPVLGLLRRGLRFHPAEPPRRSAQAARAVARAAGFCSSFLPGNPTEHYWRIYDARDGRVLLSPSRMNSDAAAICCLSFSYLVVYDPLHHKHVRIPPIPNDLVACTCTEQHDCSCCCDCDCKFDPFLVPAAADEDDLSFRVMCTVLPRGSNVLETFVYSSVTGKWRCVASVSDAYYKHVHYLSYIERHYADGCFYWADSCKENMLVLDTNEMKFSIVRVPPGPTDDAKVLVKAEEGKIGWLWLVLRENTLHLYSEASGSANDCGLWCHDAVVTLRYSYQWFYGGAADEGYALLQGIPKGEYVAWVRSKQSNEKTTPKTNAHYFTVELQTLVAEQLCVTEFDTEPAFLYASFPPPFALP >Sspon.05G0002390-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:6679439:6684709:-1 gene:Sspon.05G0002390-4D transcript:Sspon.05G0002390-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSVPVVDILDDDDDDDDTGLAVASPPSRRRSLGSSGISSRDFLDAFSPSPPRHKRSLPAAEAPINLDDTPSPPKRRRSSVSIDLDDTPSPPKRQLSSVPKVPVLVVDEDVAPSAPGDAVTDRPDSIFDCAAFSESPETVVPSSAGLSSVDVETPGFASPRSVRPPAAPGMSSAPPAQKGSGVTSLISLDSDDESDDDIMNREPSINLPSNTEAMMCQKDDNAQHVQAKQKRQPAGKKLTKEEKDKLMQERKQKQQVQQEDKLRKQALKDKLAKKKKMEKAIQKWESGKLALECITVEIDNSVIQRGSIGGLFEYGPLLSSLTENGLSYEPTKNKISGSILWKLDNQNPLSEVKYVAIVLEAEEFCNLISNGLFFDHVQRVCDGYPGFTICYIINKLMNYVNKCEQSQYKNPSNTWRRPPVEEVLCKLATHYINVHSRQCIDEAEVVEHLVGLTSSLAKCKFRQETTNMNLAKNDTWLKALIAIPDIQPRYAIAIWKKYPCMRSLLNEYMDASKTVAEKERLLSDLKGEDRLGDECKRLGDKCSRRVYRMLMAQNGGLDTDDPEAGEKHKEMFGIEIYMCLYIIYMGPFIHRPVLHLSVSAVSYKVPSGAAVHCMITRIDPVKVAIAPFVEYSSQ >Sspon.08G0006710-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:20801593:20805121:1 gene:Sspon.08G0006710-1A transcript:Sspon.08G0006710-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTHGSVSLENTLNYGLERVWALGYMKGSRRVVIGYDEGTIMIKIGREEPVASMDSSGKIIWAKHNEIQTVNIKAVGADAEIADGERLPLAVKELGSCDLYPQSLRHNPNGRFVVVCGDGEYIIYTALAWRNRSFGSALEIVWSTEGEYAVRESTSKIKIYSKNFQERKSVRPAFSAERIYGGVLLAMCTNDFICFYDWAECRLIRRIDVNEIAIEARSESKWKQLGELAMSTGK >Sspon.01G0017850-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:72331916:72332891:1 gene:Sspon.01G0017850-2B transcript:Sspon.01G0017850-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding PMTAGTMRVLGGEVSPFTARARLALELRGVAYELLDEPLGPKKSDRLLAANPVYGKIPVLLLPDGRAICESAVIVQYVEDVARGSGGGLLLPDDPYERAMHRFWTVFIDDQFWPALDAVSLAPTADARAQAVVDTRAALNRLEEAFKDRSDGAAFFSGRDAAPGLLDLALGCFLPALRACERLHGLSFVDASTTPLLDGWSRRFAAHPAAKRILPDTDKVVQFTRFLQAKFGVHVS >Sspon.08G0016330-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:64758214:64760311:-1 gene:Sspon.08G0016330-1A transcript:Sspon.08G0016330-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSTSLRDDDAPVLVCQIDCVHGMVDALSSVRWKRHQDAVMELSEHGIVLSVEESGCLQAKVFLKREVSRDAPAPACACLRLCLCAPGPLKCSGISQLFVEYDYAGDGRQRFGLSLGLFVDCLNIFSSPGHASAVEIRYPGPDMQLLLKSVGSPDACLYAEIRTRIPDTVSWDYHFEHDGNTPVTFTVKSAVLKETIDDLEWPGSSIQIRMQPDPPTVILKGEGHGDLQIEFPYYANTDLLIMFQCDHEVSYRYKYKFLRATTSNIPSSVMKDNRRTKASIGRGGMLKIQHLVSLARPGMPYFRNIGGGTEQTSRIAHIEFFVKPEEDDIDA >Sspon.02G0014000-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:30609983:30620189:1 gene:Sspon.02G0014000-4D transcript:Sspon.02G0014000-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MWTPSRGSNARRSGHRRIEDYLADDQTTTTATDASDNESYTTAYGEEFFAAAGAGGGGMLPAFLADQGDLVEVMLELDEESMVVRSVTPTSAALYGAASLPAPPPPAGFGVSPSPRRAPSEGGAGRLSRCSSTSSRIRKKFAWLRSPSPSPSPYRPTPAELQREAAMAARERRREQAQLNRSRAGARRALKGLRFISRTTGSVEAAELWRRVEERFNNLAREGLLSRDDFGECIGMVDSKEFAVGIFDALARRRRQNLERITKEELYDFWLQISDQSFDARLQIFFDMVDTNVDGRITREEVQELIVLSASANKLAKLKEQAQEYAALIMEELDPENLGYIEVRVASGGVLGRIPYGLRRLLPSLEHVCCRRTDTCASSSLACRQLWQLEALLLQRDTYMTYSRPLSTASGAQWSQNLGVGGGTLTRRRMSWGVRKAAARVRVAAEENWRRAWVLALWFAAMAALFVWKFVQYRRTAAFQVMGYCLPTAKGAAETLKLNMALVLLPVCRNTLTWLRSSWARFFVPFDDNITFHKMIATAIVVGITLHAGNHLACDFPRLIAASPEEYSLVAGAFGADKPTYAGLLSGTEGVTGVAMVVLMTVSFTLATHPFRKGEPKAGAGAGNAVTSRLPAPLNRLTGFNAFWYSHHLLGIVYALLLVHGYFLFLVRRWYEKTTWMYISVPLVLYVGERMLRALRSNAYTVKILKVCLLPGNVLTITMSKPYGFRYRSGQYIFLQCPMISPFEWHPFSITSAPGDDYLSVHIRTNGDWTQELKRIFVENYFSPHLNRRASFSELGAAEPRSLPKLLVDGPYGAPAQDFRNYDVLLLVGLGIGATPFISILRDLLNNIKIADELMDLAMETSRSEDSANSFSVSTASSNRKRAYRTSRAHFYWVTREAGSFEWFKGVMNEVAEMDKKGVIELHNYLTSVYEERDARTTLLSMVQALNHAKHGVDIVSGTRVRTHFARPNWKEVFTRIASKHPNSTVGVFYCGAPMLAKELKTLSHEMNHKTGTRFHFHKEYF >Sspon.02G0024050-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:78898830:78899806:1 gene:Sspon.02G0024050-4D transcript:Sspon.02G0024050-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATADEADQVTVVDFWANGFGMRARIALRELGVAFRYVEEDLRVRERSELVFSTQTRFLKSKGDEKAAAKAELLEQLKRLEEVLGDKTFFAGDEFGFLDAVVIPFSSMFHGYEQHGGFDLDVECPGLMRWVRRCKERESVKGVLPDEDEMYELHKKWYGIE >Sspon.03G0014080-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:43696633:43697974:1 gene:Sspon.03G0014080-1A transcript:Sspon.03G0014080-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPVVTVFGTANFANEARVMACLEEVGVEYEVVEVDYMAKEHKGAEHLARNPFGQIPAFQDGDIKLFESEQSQSTCSANTPSASDLLREGNPEEAAMVDVWTEVEAHTYFPAIAPIFYECVVYPAKHGIPTNQKVVDESLEKLKKVLDVYEAHLSRSKYLYLAGDFFSFADLNHFPFTFHVMTTPHASLFDSYPHVKAWWERVMARPSLKKISPDMVIRA >Sspon.06G0015900-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:86885121:86887929:-1 gene:Sspon.06G0015900-1A transcript:Sspon.06G0015900-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGESQSSQDASRFRALGNGVSGSGQGSAGDRELHNGSIASQAARAYPNGGRSLVSEFGLYDTSVGSNQHFGFSHSGNLYDEQSLAFAFEGMSFSSRTTDVTTSHRNVPLTNGHYPSGRVDSTLNQHNAPATLQDDSLHLQFSGQHAIQHTDVEHQEQSHRFPPQLGKFSRSSGLHSFDSNLGVPCHPSTASASPVQPMWQSQMYTPHDQDVGSNFTWQQNMGAQPYSIMQPNYVCPQLQQVSRFGASGHRSNEQSAVSPPANGPSSSYIGTPNVHWLENGYSYLNGAAFQKRRNSGGLNYSFADSFPSTSYTGSSCGSGDFRHFQLAEKVFNPYGPHHQQNLAHPYGLGFSHHQISDKLNTASYPERILMRPDVGNSVKDFELSPYAHLLNSLLLSSKSDTYKSIDEVMGRVCILSKDQDACRFLQKVLTEGTQEDIDKIFSEIIDNVGDLMVDPTAHYLVQKILEVCTNDQRTHLIREITKAPVTLHKASCNMHGTRVVQKVIDTMNTSDQVSMVVSTLNTGIMRLMTDSYGSHVALHCLQKLLPDHKAFLLEAAASRYLQLARDRHGCCVLQKCIEHSSDEQRNNLLCKITSSALALSEDQYGNYVIQFILALNIEWATTRIVDELAGHFGNLSIQKCGSHVVEHCLKLAPRLICDRIINELMHDPKLLHIILDQYGNFVIQTALKQCQGEQHAAFVETIRPHTAVLQSNMYGKRVLSRTCLKNKHCRSGFY >Sspon.03G0008950-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:24358163:24360553:1 gene:Sspon.03G0008950-1A transcript:Sspon.03G0008950-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATASTSPLFSLSSLCASLPSPTRIPTSLSLRALSPRARLSVSLPFASPFGGYGTWAATSTSSAGRLRWRGLEVVCEATTGRRPDSVKKRERQNDKHRIRNHARKAEMRTRMKKVLRALEKLRKKPDAQPEEIIEIEKLISEAYKAIDKTVKVGAMHRNTGNHRKSRLARRKKAIEILRGWYVPTAEPVAAT >Sspon.08G0009020-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:38060010:38065968:1 gene:Sspon.08G0009020-2C transcript:Sspon.08G0009020-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPQFPTARLLLTRPHRLLPLLSPLPSASLSPAPRALRLPPPLLHRGARRRPLLRGMASAAAAAPGPHAAAEAPPVEGAGAPRRLALEELPWDHSFVRELPGDPRSDAIPRELAHLPAVLLQVLHACYSKVSPSAKVDNPKLVAWSDSVADLLDLDHKEFERPDFPQFFSGATPLVGSLPYAQCYGGHQFGVWAGQLGDGRAITLGEVVNSRGERWELQLKGCGKTPYSRFADGLAVLRSSIREFLCGEAMHGLGIPTTRALCLVETGKSVVRDMFYDGNAKEEPGAIIHASRGKEDIEIVRRLADYVIRLHFPHLENMKKSEVEVAERTAYLIARWQGVGFTHGVLNTDNMSVLGLTIDYGPFGFLDAFDPSYTPNTTDLPGKRYCFANQPDVGLWNIAQFTGPLSSAELISKDEANYVMERYGTKFMDEYQSIMTKKLGLTKYNKQLISKLLNNLAVDKVDYTNFFRLLSNVKADPGIPENELLVPLKAALLDIGKERKEAWISWVQTYIEELVESGIPDEERKAAMNSVNPKYILRNYLCQSAIDTAEQGDYEEVRRLLKVMQNPYDEQPGMEKYARLPPAWAYRPGVCMLSCSS >Sspon.06G0010780-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:58450636:58453051:-1 gene:Sspon.06G0010780-1A transcript:Sspon.06G0010780-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRNDNPFDEENVNPTAKAAATTTTASVPSRKSWLPAGFGGSGKHGATIDIPLGDPKKKERELLSWEQDLKRREQCVQFRQSTEDSFVCAAGVTVEVRNWPQFYPIIHHDIASEIPIHAQKLQYTAFASWLGLIACLLWNLFAVLVESIHTDGDQCFNVQSILFDIVIFLLAVIYAISGCPLSYILWYRPLYRAMRTDSVVTFGQFFVFYSVHVGFCVIAAIAPPIIFRGKTLTGVLVAIEVLDGDIFAGVLYLFGFALFTLESLISIWVLERVY >Sspon.02G0013090-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:32656007:32660806:1 gene:Sspon.02G0013090-2B transcript:Sspon.02G0013090-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPELSRRVLCAALLIASLAALLVRFPIHRLLSPHAAMDPDSELEFEMPGVLRMYKTGRVERFDGTETVPPSPDGDPANGVASKDVVLDPAAGISARLYLPAGVDPGKKLPVVVFFHGGAFMVHTAASPLYHIYAASLAAAAPAVVVSVDYRLAPEHRVPAAYDDAFAALKAVIAACRADGAEAEAEPWLAAHGDASRIVLAGDSAGGNMAHNVAIRLRKEGGIEGYGDMVSGIVLLHPYFWGKEPLGAEPRDPGYRAMFDPTWEFICGGKFDLDHPYVNPMASPEEWRQLGSHRVLVTTAEQCWFVERARAYAEGIKKCGWEGELEFYETKGEAHVFFLPKHGSDNAVKELAVVAEFCLLDLDAGRAMDSGSTEIVVDCGFFRIYRDGRVERFAGMETVPAGFDADTGVTSKDVVIDAATGVATRLYLPAIHTSPSSPHQSDDNDDSATAKLPILVVFHGGFFVMGSPADSAFHGYMNSLVASARVVAVSVGYRLAPEQPLPAAYEDAWTALKWAVSGAADPWLSAHGDPARVFVAGGSAGANIAHNMAIAAGVSGLLRTAEQEPPPRIEGVLLLHPSFAGEQRMEEEEDGFWQTNKRRWGAIFPGARDGLDDPRINPMAAGAPSLAKVVGERMLVCTASEDPRAPRGRAYCEAVRASGWRGKVEWFESQDEGHAFFVSGHGSPEAVAMMDGVASHMDWYMGC >Sspon.08G0006580-4D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8D:17765548:17771337:1 gene:Sspon.08G0006580-4D transcript:Sspon.08G0006580-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LPPAGFGTVGARCVVKANHFLAELPDKDLTQYDVKITPEVSSRTLNRVIIAELVRLYRASDLGMRLPAYDGRKNLYTAGTLPFDAREFVVRLTDEDNGTGVPPRLRPSREREYRVAIKFAARADLHHLRQFIAGRQADAPQEALQVLDIVLRELANQRYVSIGRSFYSPDIRKPQRLGDGLQSWCGFYQSIRPTQMGLSLNIGKDVISRPLSDANRIKIKKALRGVKVEVTHRGNVRRKYRISGLTTQPTHELIFPIDEQMNMKSVVVEYFKEMYGFTIQHPHLPCLQVGNQKKANYLPMEACKIVEGQRYTKRLNEKQITSLLKTVHQNGYEQDPYAKEFGINISEKLTSVEARVLPAPWLKYHDTGKEKECLPQVGQWNMVNKEFNSEPVMPIYSARPEQVVKALKNVYNIALNKLKGKELELLLAILPDNNGPLYDVTHPETGEDSSPSIAAVVASQDWPEVTKYAGLVCAQAHRQELIQDLYKTWHDPQRGTVTGGMIRELLISFRKATGQKPLRIIFYRDGVSEGQFYQVLLYELDAIRKEPLLILRYATQRNLISTSVVMLEFSYARCTRSVSVVPPAYYAHLAAFRARFYMEPEMSENQTSKSSNGTNGVSVKPLPAVKEK >Sspon.02G0049260-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:35845753:35849034:1 gene:Sspon.02G0049260-1C transcript:Sspon.02G0049260-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AGMPASGHRRPGLAVLRRALALARLAGWTTALAAGHRPRRAGWLRRCALAGPTRLRFYLQVLTDVCYSFFAEGHDWVPQPVQLVHLKGVALYSSHAGSESGEKGKGTDLQMHIHVQIVASSSMAFQK >Sspon.02G0005370-1P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2B:15759033:15760145:1 gene:Sspon.02G0005370-1P transcript:Sspon.02G0005370-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVSGAKRRRGLEVEQEEDEDRISRLPDGILGDIVTLLPTNDGARTQVLSSRWRHIWRSAPLNIDLADLPVTSLDGWLESPALDRLQELEFHYRRPQSTNLAPLPPPPASVHRFSSTLRAVSFGCCGFTDGNNASGLHFPVLKQLSISDVKFSESSLRALLSACPVLQRLLLKGTIGLSRVQIASPTLRSIGVRSNHGRVNLRQLIIEDAPCLERLLCFDYLGITISVISAPKLLVLGPLSDQSPRLEFGTTVIQGGSGFFSLKMVVHSVKVLSLFEERLSLDVVIEFMKCFPNVERLYIEVTSLTP >Sspon.01G0023190-1P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:88575324:88577249:-1 gene:Sspon.01G0023190-1P transcript:Sspon.01G0023190-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMERRHGFFAALREEVARGLSPARARRKSEAADLAAAALRFAGVVGCGEMLAPLMEGPDPEPSDGDGGGCRGAARGRKEGWGHWVRGQFARAPSSAVAAAAPAPARCATTSGCSSASWERRSRRSTSAPPSRSRTSASRTLPSDYSAVKELLLILNVCMFGLGIFDCSLGLETSSAQYILQQYLAASGGHKLLASLRNSYAMGKVRMVATEFETAGRLTKNRNAGRGGEPGRFVLWQMAPEMWYIELVVGGSKVRAGCNGKLVWRHTPWLGAHSAKGPVRPLRRSLQGLDPLMTASMFARARCIGERKVNGEDCFILKLSTDTETLKARSEGHAEIIRHVTFGYFSQRTGLLVHIEDSHLTRIQSAAGGDAVYWETTISSFMEDYRPVDGIMVAHSGRSAVTLFRFGEVAMSHTKTRMEEVWSIEEVAFNVPGLSMDCFIPPTDIKSGSVGETMELTNGERSRAGPPPGYCAKVAALEKAEEDKVAWGGGTILESHN >Sspon.03G0000710-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:13342769:13346716:1 gene:Sspon.03G0000710-2C transcript:Sspon.03G0000710-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFWGKEVKPGATVSCKVDDGLVIHLSQAALGEPKKASENVIVSVKIDDKKMVLGTLSVEKHPQISCDLIFDKDFEISHNSKTASVFFCGYKSPVPLFEYPFFKIKIDLYSSDEELETDQIPTNEISAAKVPVKGGMKVESSSSDDDDDFTDSESDSEMSDEDDSSDEDEVSSGADPSDDSGSEEQTPTPKKTDVVVGKKRAIEVEAPSGKKAKSEQSAQKTGDKVSTHSAKQSSKTPADKSTKTPTADKKSPKSGSHACKSCNKSFGSASALESHQKAKKHEA >Sspon.05G0008620-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:25843080:25846640:1 gene:Sspon.05G0008620-1A transcript:Sspon.05G0008620-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RWDPSSGRFAAPWRRVCVPSPLGLAACRLCSLWGLAASGAVAGGRWRHTSQLRHRSRPLCSPGSLITFFFSDTAAIAMCLAAACASASSPARRLSPSASTALPRRFLHSLLGAPTRPSPPPSPLLRRCFKFYRMAVRTLPTTPCPPSFHLRFVSELPCRAVGSTDMNEYLLAYLCLCPMSSSDWPRAQERALGTATHQSLSHGRLGFPDAAPYDAIHVGAAAPEIPQPLLDQLKPGGRMVIPVGTYFQDLQVVDKNTDGSISIQNDASVRYVPLTSRSAQLQDP >Sspon.08G0017650-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8C:3953418:3953804:1 gene:Sspon.08G0017650-2C transcript:Sspon.08G0017650-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNLQHKPCRKAGLVPRWTLAAALFHTDSEWTAWISACCGATTRASRRHWPTSHHLDALIGPPYLRMHHARQASASSRSSSSMRASRWREGKVLSELELWASRWREGKGGEGRDRRGEGDARRVRKEP >Sspon.01G0011660-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:74188708:74192552:1 gene:Sspon.01G0011660-3C transcript:Sspon.01G0011660-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLQSSYAPDDASSPEDSAAASSPESSPLRLPSKSAAPAIDDTALALSSAASAARPLDPSLHLVAFNPTADQLWAPILGPQHPHAPISSASGNRNHKLGHVEDAAVLPFLFDEQYNTFHRFGYAADPSGLHIVGDAQPQAPEPDTVYNLAPSEHKRRRLLAKADNQEEPAPPEAKNPASEEWILHNKQSPWAGKKEAPPAELTDEQRQYAEAHAAKKAEKEARGEGKTERTEVVVKTTFHGKEEKDYQGRSWITPPKDAKATNDHCYIPKRCVHEWVGHTKGVSAIRFFPKYGHLLLSASMDCKIKIWDVLGSRTCMRTYMGHSKAVRDISFSNDGTKFLSAGYDRNIQYWDTETGQVISTFSTGKVPYVVKLNPDEDKQHILLAGMSDKKIVQWDMKSGQITQEYDQHLGAVNTITFVDNNRRFVTSSDDKSLRVWEFGIPVVIKYISEPHMHSMPSIALHPNSNWLAAQSLDNQILIYSTKERFQLNKKKRFAGHIVAGYACQVNFSPDGRFVMSGDGEGSCWFWDWKSCRRFKTLKCHNGVCIGCEWHPLETSKVATCGWDGVIKYWD >Sspon.06G0032660-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:54866458:54868351:-1 gene:Sspon.06G0032660-2D transcript:Sspon.06G0032660-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHDGFLGGFHDLSWPFDHAAAAGAAPFDPLRVVVHVPHALMGAGVGWEHDAAVGALVPSQSQSPSPSSLAAAAAGGDFVEAALMEQLASRLGVSVPSPPSSRYASCHSTPVGSPSKPAAPCALAGDAVLAERAARLSSCFPVSGGKLSRVASSQSLLGEQAPAPAPTPGAVKQHASDGSCSDGPCRKRKASGGKSKAKDVVTTATPKSRETETRAKKCKLSTHATHGEEQKQAATGEGWHGNGKGKEVAAEPPKDYIHVRARRGQATDSHSLAERVRREKISERMKLLQDLVPGCSKVTGKAVMLDEIINYVQSLQRQVEVRMISSPSDITEFLLKEHTNSSEFEQNGLLSQQFLSMKLSTVNPRLELDVDSFIPEDANKLCAPATSSMAQPLPAVYAHEGSSSAFCYTSSPGTAAQSVVTNAKGFEMPSSFVNHGGTDRSLEGPQSPNPQMGSLWEEDDLQSLVLMGFRGNT >Sspon.02G0046970-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:6611247:6612388:-1 gene:Sspon.02G0046970-2D transcript:Sspon.02G0046970-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQGKEVKTRPDPKVEIQEKGEIFFFYRPKVDKDEVHSPDDVQRMYIVLRPESTGGGRAVEEKQAPDSGKEGRKRHQQGDGGQGGGEEKGAEGGHGKQEVNIEEQPLLRLIVMGKKSLPDPAKHSRPYWGYVELVTTKVQDIKDALKEEEYSTATRGKRHRPAARALGEGVYRILKHESSGGRGRSPHTHLVYKLELPTRGAGEPQEAMNVEPEASFLVQVKNPDPPSGGGFRGLQNKRRAAFPAHLQGPADPPDLLNYEGCELLLIAASDDVEDELGLQLEGEVEVEDGEGENQQAAAGCSDLVKMFGEVADVKPLLSGSWD >Sspon.05G0011940-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:26299586:26301031:1 gene:Sspon.05G0011940-2C transcript:Sspon.05G0011940-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding TARKLILALFICLSALLYKQIQPPPPKIAGSPGGPPVTATRTRLSDGRYLAYLETGVPKETAKYKIIFVHGFDSCRNDALPISKELAQELGVYLLSFDRPGYAESDPHPGRTEKSIALDIAELADNLQLGPKFHLIGFSMGGEIMWSCLKYIPHRLSGVAILGPVGNYWWSGLPANVSRDAWYQQLPQDQWAVWVAHHLPWLTYWWNSQKLFPASSVIAYNPALLSEEDKLLMAKFGYRTYMPQIRQQGEHECLHRDMMVGFGKWSWSPLQLENPFADADDDGQEGAGKVHLWHGAEDLIVPVSLSRYISEKLPWVVYHELPKAGHLFPIGDGMADAIVKSLLLGDDYPSSASASQQPPDSP >Sspon.03G0004380-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:11322098:11326837:1 gene:Sspon.03G0004380-1A transcript:Sspon.03G0004380-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCAVTQRAVTSWADDGEWDVPSAAEEEEAAAAGTSGRKDQDHAAAEVTIRITRKRLQELMEKRAGGLHGLKSRRGAAQLLADVMNAGQVYHHLHHCKAAHWKPALQSIPEAVEPQRAATWADGDEWEDEAEVCTAAVEEKKVEVKIRVTRRQLQELLEKAGCSGGLGDGGKAKRRQVEEVLAELMTSGRVCYQQEMRRHWRPALYSIPEAVDES >Sspon.08G0001940-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:2704641:2708801:1 gene:Sspon.08G0001940-2B transcript:Sspon.08G0001940-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIVAARASAPGGAAVSTIAAFHSHASPSHALPLASSSIGGARRYHHASACCFATKPTAPAAAELVDQDPATATQEAAKPRRKRRSRKAKKSATAAKEEDGTGDETVAAAEDEAKRKKKEAPGAEESARALVAGLDDVIVNPVGLGRRSRQVFDEVWRKFSRLGQISSASSTALAEEEQAVLIRGGPMCEFTVPGAQDTTVLVVGATSRIGRIVVRKLMLRGYNVKALVRRNDPEVIDMLPRSVDIVVGDVGDPATVKAAVSGCSKIIYCATARSTITGDLNRVDNQGVRNASKAFQDYYNELAQLRAGKSSKSKLLIAKFKSAKSLKGWEVRQGSYFPNTFVSRFDEGIDASLDFSEDQQAVFSGFVFTRGGYVEISKRLSLPLGSTLDRYDGLLFSVGGNGRSYVVILETGPLADTTQSKKYFARMTTKVGFCRVRVPFSSFRPVNPQDPPLDPFLVHTLTIRFEPKRQRPGDGSQNASDPRNFELKLEYIKALPTGQETDFILVSCSGSGIESSRREQVLKAKKAGEDALRRSGLGYTIVRPGPLQEEPGGQRALIFDQGNRISQGISCADVADICVKALHDSTARNKSFDVCYEYVADQGNELYELVAHLPDKANNYLTPALSVLEKNT >Sspon.01G0005010-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1A:13345317:13350410:-1 gene:Sspon.01G0005010-1A transcript:Sspon.01G0005010-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSATTQGL >Sspon.01G0025800-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:90698781:90727849:1 gene:Sspon.01G0025800-3C transcript:Sspon.01G0025800-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to Nuclear transcription factor Y subunit A-1 [Source: Projected from Oryza sativa (Os03g0696300)] MESRPGGTNLVEPRGQGAALPSGGGPAVQPWWTSSGAVLGAVSPAVVAPGSGTGISLSSSSAGGSGGGGAAKGAPSDESSEDSRRSGEPKDGSAGQEKNHATSQIPALVPEYLAPYSQLELNQSIASAAYQYPDPYYAGMVAPYGSQAVAHFQLPGLTQSRMPLPLEVSEEPVYVNAKQYHGILRRRQSRAKAELEKKGGQRQKGYDGRGLSGAAGRAASVSSMESRPGGTNLVEPRGQGAALPSGGGPAVQPWWTSSGAVLGAVSPAVVAPGSGTGISLSSSSAGGSGGGGAAKGAPSDESSEDSRRSGEPKDGSAGQEKNHATSQIPALVPEYLAPYSQLELNQSIASAAYQYPDPYYAGMVAPYGSQAVAHFQLPGLTQSRMPLPLEVSEEPVYVNAKQYHGILRRRQSRAKAELEKKVVKDRKASAAYQYPDPYYAGMVAPYGSQAVAHFQLPGLTQSRMPLPLEVSEEPVYVNAKQYHGILRRRQSRAKAELEKKVVKDRKPYLHESRHQHAMRRARGNGGRFLNTKKSDNSTPNGKAEPKKGDENSERLHVPPDLLQLRQNEA >Sspon.05G0023210-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:5974642:5977039:1 gene:Sspon.05G0023210-1B transcript:Sspon.05G0023210-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLISMMEARLPPGFRFHPRDDELVLDYLCRKLSGGGGGGAAYSGIAMVDVDLNKCEPWDLPDEACVGGREWYFFSLHDRKYATGQRTNRATRSGYWKATGKDRPISISGRRGGGTGAGALVGMRKTLVFYQGRAPRGTKTEWVMHEFRVDGPAVADRPGSPLLQEDWVLCRVFYKSQTTTTRPAAGPDEAGSLSTSSELIGLPMPQMAPADDAYLSFDNTPAAGGYYDYHQDPGLADAHHLPLPAATQPFSQSSSLSSFRDLLSSMVEGSDAAVRETTELHLQGWTEAAYAQQQGGVMSSRSQLTWN >Sspon.06G0002290-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:4509398:4518105:1 gene:Sspon.06G0002290-4D transcript:Sspon.06G0002290-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALAGAASSSTLPVRHPPSRVAAQSLALRPSRCGPLRAAGAGGGGGSGKDDAQAAPAANGSPVLKLKSDSSQNGVLPITVDKSQKASSTSVSTDSSGSRAGLFRTPISGGVQSATFAHGLPPPALAVRNLMEQQSIRGTYSLTQDARLLSSDIYFIGGFGTVAWIDVKEYETIQPDKIAVDGGDLQSLKELNAIFSKPLREVLSSEGEVDDAALISVDSKGIDIRVRQGAQFNIQRLAFDVPHKVETLEEAKKALHKIIKDKQQIK >Sspon.06G0023260-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:40223793:40233145:1 gene:Sspon.06G0023260-3D transcript:Sspon.06G0023260-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MYKAANARLLLRSLSSNSASVPVNPRLASARLALSRPCGAWVGRSSGLVRAAGWSGTRPRFAGARAQIGAAAVPAVERFQRRMATQATEHAFKDILTSLPKPGGGEYGKFYSLPALNDPRIDKLPYSIRILLESAIRNCDNFQVTKNDVEKIIDWENTSPKLAEIPFKPARVLLQDFTGVPAVVDLAAMRDAMAKLGSDANKINPLVPVDLVIDHSVQVDVARSQNAVQANMELEFSRNKERFGFLKWGSSAFQNMLVVPPGSGIVHQVNLEYLGRVVFNTDGILYPDSVVGTDSHTTMIDGLGVAGWGVGGIEAEATMLGQPMSMVLPGVVGFKLTGKLRSGVTATDLVLTVTQMLRKHGVVGKFVEFYGEGMGKLSLADRATIANMSPEYGATMGFFPVDHVTLDYLKLTGRSDETVSMIEAYLRANKMFVDYNEPPTERIYSSYLELDLDEVEPSMSGPKRPHDRVPLKEMKSDWHACLDNKVGFKGFAVPKEQQDKVVKFDFHGQPAEIKHGSVVIAAITSCTNTSNPSVMLGAGLVAKKACELGLEVKPWVKTSLAPGSGVVTKYLLQSGLQEYLNQQGFHIVGYGCTTCIGNSGDLDESVSAAITENDVVAAAVLSGNRNFEGRVHPLTRANYLASPPLVVAYALAGTVDIDFEKEPIGLGKDGKEVYFRDIWPSTEEIAQVVQSSVLPDMFKGTYEAITKGNPMWNQLTVPEASLYSWDSKSTYIHEPPYFKDMTMSPPGPSAVKDAYCLLNFGDSITTDHISPAGSIHKDSPAAKYLMERGVDRKDFNSYGSRRGNDEVMARGTFANIRIVNKFLNGEVGPKTIHVPTGEKLYVFDAAMRYKSEGHATIILAGAEYGSGSSRDWAAKGPMLLASSIQSFLYGKTILLNDEGVKAVIAKSFERIHRSNLVGMGIIPLCFKAGEDADSLGLTGHERYSIDLPANLSEIRPGQDVTVTTDNGKSFACTLRFDTEVELAYFNHGGILPYVIRNLAGAQN >Sspon.03G0038620-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:6645300:6647009:-1 gene:Sspon.03G0038620-1C transcript:Sspon.03G0038620-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTAVERERKLGRLVAEMQAQMEDLAWENTALEDRLRAALREQEAVEAVLDEMEDEHEDALARIHVLETQLKALRQENMRLNEHKGKSKWDKPAGPAARHGSGGNRASEPDKKPAKRGWGEEDAAMREEAAVKRADPASVLALTTEAASPVSSSAAADEALATAVARRRSLFSLGMSLAVGAVAWSADAPCLPLLAGLLAVVAVSMRSVSRLRRAGDRAGPVGDAVALLGLNWFLLGLLTSPMLPGVAHAVVRAPAEPSAPRSRGSPPPRPCEPTQANWQSGSVPCRAAMRPCRPVYRVRRIRVSVRYMHVTSHVL >Sspon.06G0030810-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:54442826:54446898:-1 gene:Sspon.06G0030810-1C transcript:Sspon.06G0030810-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLEALVAHIQGLSGSPDEVAHLHSLLKQADGDSLRAHAAALVPFLAHLSPETHSLGYLYLLEACATSGSNLSDFGGGDFLVTIAGFLTACSADQIRLAPDKFLNVCRVLKDQVMQLNMPIRGIAPLRAAVRKIQSSPEQLTPVHADYLLLCLLAKQYKAGLSVLEDDIFEVDQPKDLFLYCYYGGMIYIGLKKFPKALELLHNAVTAPMSMLNAIAVEAYKKYVLVSLIVNGQSLFVILFQIYVELSTSYSNGRYTDLETFVQANSATFQSDNNLGLVKQVLSSMYKRNIQRLTQTYLTLSLEDIARSVQLETPRDAEMHVLRMIEDGEIHATINQKDGMVSFHEDPEQYKSVEMVEHIDSSIQRLTALSKKLASIDENMSCDPAYLMKTGRDRGRFDGRFDYDDYDSVPHKFF >Sspon.02G0020600-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:19300988:19302564:1 gene:Sspon.02G0020600-2B transcript:Sspon.02G0020600-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVAAAEGSGRRDAEAELNLPPGFRFHPTDEELVVYYLCRKVARQQLPVPIIAEVDLYKFDPWDLPEKALFGRKEWYFFTPRDRKYPNGSRPNRAAGRGYWKATGADKPIAPKGSGRVAGIKKALVFYSGKAPRGVKTDWIMHEYRLADADRAPSKKGSQKLDEWVLCRLYNKKNNWEKVKVEKQEPEAAAHHHRQSAAEDSMSDSFQTHDSDIDNASGGMQQSCFGNMVQGQAMAMRNGIGTVTVKEDNDWFTDLNLDDLQASYNMAHMVNPINPVQTVNLVAGQGHGYLQSMSSPSMKMWQTILPPF >Sspon.01G0013410-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:36705533:36706426:-1 gene:Sspon.01G0013410-2P transcript:Sspon.01G0013410-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKEDLKLLGLPLSPFVVSVRMALNMKGVSYEYVDEDLNNKSELLLKSNPVHKKVPVLIHNGKPICESLVILQYVDELFAGRSILPTDPYERATARFWAAFAGDKLFPAWYGVVTAQEEEERAEKVKETLAAIEHMEVAFAKCSGGNAFFGGDSIGYVDVVLGSFLFWFEAVRRVDGLEIINASKTPLLAAWAERFGGSVEAKEAVPVTKADLAVQYISKFRAPAAATAKLASSE >Sspon.01G0006780-3C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1C:24488170:24488886:1 gene:Sspon.01G0006780-3C transcript:Sspon.01G0006780-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGHGDHHHHHQEVGVLVDDEEEELIEHHARAACGGATSGVVEQGVGDGGGQDAAGMVFEATSSVGSVSAATMAPPQILCWPPQPPSAPPQQQLHQDHHHHHNLGGGGGQHQSPFFPLLPPLPPQPPPPPPFFADFYARRALQFAYDHHSGGGPSTSSDPLGLGGLYMGHHHGGPGMMMPPPFASSPFGDHFGRMTAQEIMDAKALAASKSHSEAERRRRERINAHLARLRSLLPNTTK >Sspon.01G0018780-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:69710348:69711785:1 gene:Sspon.01G0018780-1A transcript:Sspon.01G0018780-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEKKAGKDGGGDKKKDAGAGAAPQPIVLKVDLHCAGCASKVRKAIKRAPGVESVTPDMAAGKVVVTGPADAVELKERIEARAKKSVQIVSAGAGPPKKEKEKEKKADGGEKKADKEKGGDGGEKKADKEKGGGGEKKAEKEKGGGGGGEKKGDKEKGADKPKEEKKPKEETVTLKIRLHCDGCIDRIKRRISKIKGVKDVAFDAAKDLVKVTGTMDAAALPAYLREKLSRDVEIVAPGKKDGGGGDKKDKGAGDGGDKKKDGGGEEKKDKAVAASASVAPMPLADAGMYQMPPHYGYGAYPPAPGGYYGGAPPHNHAGGFYPNAGVHYPPAPAYGYGPSHLHAPQMFSDENPNACSV >Sspon.02G0043260-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:90503581:90506607:-1 gene:Sspon.02G0043260-1B transcript:Sspon.02G0043260-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPAPACVVSPRPRVMKLGSQGLQVSSQGLGCMGMSAAYSERKPEADMVALLRHAIAAGVTFLDTSDVYGPHTNEVLIGKALHGGAVREQVQVATKFGGTPDFKGVHGDPAYVRAACEGSLRRLGIDCIDLYYQHRIDIKVPVEVTMGELKKLVEEGKIKYIGLSEASASTIRRAHAVHPITAVQLEWSLWTRDAEQDIIPTCRELGIGIVAYSPLGRGFFSSGAKLVTELPDDDFRKILPRFQPENMEKNAVIFERVSQMAARKGCTSSQLALAWVHHQGSDVCPIPGTTKIANFDQNLGALSVKLTPEEMAELESYAAMDDVHGERYQSTLFTWRDSETPPLSVGVNDQKSPRSPSDHRRPARVNLPKFQPENMEKNALIFERVSQMAARKGCTPSQLALAWVHHQGSDVCPIPGTTKIANLNQNLGALSVKLTPEEMAELESYAAGVQGERYHGTVIKTWRDSETPPLSSWKGN >Sspon.01G0030520-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:105950236:105953736:-1 gene:Sspon.01G0030520-1P transcript:Sspon.01G0030520-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQVRALCEKAKEILMEESNVQPVKSPVTICGDIHGQFHDLAELFRIGGKCPDTNYLFMGDYVDRGYYSVETVTLLVALKVRYPQRITILRGNHESRQITQVYGFYDECLRKYGNANVWKTFTDLFDYFPLTALVESEIFCLHGGLSPSIETLDNIRNFDRVQEVPHEGPMCDLLWSDPDDRCGWGISPRGAGYTFGQDISEQFNHTNSLRLIARAHQLVMEGFNWAHEQKVVTIFSAPNYCYRCGNMASILEVDDCREHTFIQFEPAPRRGEPDVTRRTPDYFL >Sspon.04G0007150-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:16496418:16499152:-1 gene:Sspon.04G0007150-2B transcript:Sspon.04G0007150-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKPPAPAVPSPEPLPRLAGGCGPRGLRSLPALSYNTHRALVLGLTFLAYALYHASRKPPSIVKRELARSWPPFADPALLGATDVAFLTSYSLGMFVAGHLGDCLDLRRFLAFGMVTSGAAVALFGAGYFLGLHSLAFYVVAQVIAGLLQSTGWPSVVAIVGNWFCGRRRGLIMGIWNAHTSVGNITGSLVAAAMLGYGWGWSFVVPGGLIALGGVLVLFFLAPYPQYVGFGPSPIEPVTDESTDGEDITAAGGAGKDRRDAVGIFKALAIPGVVIFALCLFFAKLVAYTFLYWLPFYLSQTAIGGQHMSAASAGYLSVLFDVGGIVGGVLAGFISDQLNARATTAAVFMYLAIPSLFLFHAYGSTSKATNIGLMMISGLFVNGPYALITTAVSADLGTHKSLKGDSRALATVTAIIDGTGSLGAALGPFITGFISRKGWDSVFIMLALCALVAAVSLSSHVKTEIPQIIQKWRNRSTNTRNGNA >Sspon.01G0030790-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1A:106209861:106210790:-1 gene:Sspon.01G0030790-1A transcript:Sspon.01G0030790-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding CFALAEWCLTDRFDPNNTKHLTIKAEIELGDGLPDIRTTRQCVQAMKDAGFEIIFTRDLAEDFPCPWYQMIAPNDFSWKSFRCTRLGRMVTRAIVSTLEFFCIAPAGSMGVYNFLMRASEGLLKGGQEGIFTAAFFVLGRKPLKETEIVKGNL >Sspon.02G0047990-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:20547120:20555019:-1 gene:Sspon.02G0047990-1C transcript:Sspon.02G0047990-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding HVSLKARYAAAQHVGERTQYQQQRHDESLHCTVRAETQSNATFAECRRINAATRGVTLGPLGVGRKAEGTGIDRRHANVYEAHGRRPCDGRRRYRISSLPPLPEDQAGRNGWTALAAGVLDLPDAEERKTNRHGSIEHETGTSATRERKRERQHPAVAAARGDRATSLPLASPVVNQPPRPRRPPSTTHARTRAAAIPIPGSIPTQQRHRQRDIHHGTSTTHAAPPRRLSSHRGEASARPAKSIAGPRPRPYFGPPASSAYTPRRRGRSLLPPAHLPFPSYLSPVPPAPPPLPPAPQPRPSAVCTAPVVHGRRARRDDADADDDRAAMTTTTTAPAATMNVATVAQLCAGPRRRKPAAGPRVDLRWARLLRLAVVTRVLRLVRDQLLACSSCGGGGRYRRLGPPNAGPVLSPVDRDDDCAADADVEAAAPRDAADDVDNVVSLKVSLLGDCQIGKTSFMVKYVGDDGEEQNGLQMTGLNLMDKTMAVRGARIAYSIWDVAGDHQSVDHIPIACKDAVAILYMFDLTSRCTLNNIVDWYERARKWNKTAIPILIGTKFDDFAQLPLEMQWAIVNQARAYARAMKATLFFSSATHNINVNKIFKFITAKLFNLPWTTIVHRRAKPAGTPARCSQQSFLQKATLPRLRFALSAFAPSGFVWPPSRKRSATAALRAVRPYIAPSGCCPPPPRLPPRRPAAPLQRLPPSRRAAPKPRRICSLPSPSNAAPLNYPDPVAGGFKPVVRVPICRGRAPIRRLPLKLPSLVRPRLPEAGHALCLSPHPLPPRRRYNGICGLSTIMKVVPHQTLMEKTPHIYLTLDGAEALAKKQEHREFLHQVLKLFGTCYPSFR >Sspon.06G0016020-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6B:71908711:71917519:1 gene:Sspon.06G0016020-2B transcript:Sspon.06G0016020-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding T >Sspon.07G0029720-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:77870037:77871259:1 gene:Sspon.07G0029720-1B transcript:Sspon.07G0029720-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AASRDAAGSHRSSSTFSATSHPLLPVAAVTTTIINRIDKDREAAAAGVVVPCCSRPAPPPARGLQEMKGLWQRYVGPPPVVTEAERAASAAVSRRVGEAVGLLELGRELQARGEFPEALASFTRVVREYADLALSEYARVGRALVLYEIGDRDESIAEMEDVSIALKGYPEIHAALAAALYADKHAALLAENQFAIATLLDPHYTDLAYVRDTKHWPPSLVASLHDFITLS >Sspon.06G0010700-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:60356953:60404945:1 gene:Sspon.06G0010700-3C transcript:Sspon.06G0010700-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLQEEFEEYAEKAKTLPENTSNVNKLVLYGLYKQATVGIVNTDRPGIFYQKDRAKWDAWKAVQGKSKEEAMSDYITKV >Sspon.03G0019180-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3A:59324144:59328776:-1 gene:Sspon.03G0019180-1A transcript:Sspon.03G0019180-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSGHFIRNIRFNLSDAPTVEIESSLGIFTSCPASFLLPARPRSRLFLEPMGDHLALIVDRLLTESTLEAAIGGGKRMVDLHQETVAIEYCHRALGGGSATKVVECRICQEEDWDTCMEAPCACCGSLKYAHRKCIQRWCNEKGDTMCEICLQQFRPGYTSPQQLFHYGSIPMNFSSLNEWLNGLTFLYRGNWEIARQDLNDSQIITMMPTERDFMDNYDDYFPIRTRSSTLCCRTIAIIFMALLVLRHTLPLMIGDNGEYSLALFLDCGNPIPNPGDGESIGKFPLSTAAAAEHSWAWVSVFSNNGHSTPTLEPRSALPAASTRLQPCARRWHAPSAARSTRLGVAVPRPGRRSPLLPPRARGRGPRRPARAPSPAQYAAAVACGAGVAVAASAASVSCRKDFRSVRYVLVGIEIPMRMRTQPPLTPPGHPASSARTHSDALFPRLKMHGGTTALLGVAGVVETLPHSRASKRPAASIVYTGSR >Sspon.05G0001280-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:5423422:5427043:1 gene:Sspon.05G0001280-1A transcript:Sspon.05G0001280-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MIILPHVVIPLGGMPSDIWKAHAGSSQSEGSGLDMERNGCNHNCCPSPLQPIASAGQHSESSAAYFSWPTSTLMHGSAEGRANYFGNLQKGVLPGHLGRLPKGQQATTLLDLMIIRAFHSKILRRFSLGTAIGFRIRKGTLTDTPAILVFVARKVHRKWLSPTQCLPAALEGPGGVWCDVDVVEFSYYGAPAPTPKEQLYDELVDGLRGSDPIVGSGSQVASLETYGTLGAIVKSRTGNKQVGFLTNRHVAVDLDYPNQKMFHPLPPNLGPGVYLGAVERATSFITDDVWYGIYAGTNPETFVRADGAFIPFADDFDTTSVSTSVKGVGVIGDVKAIDLQSPIGSLIGRQVVKVGRSSGLTTGTVVAYALEYNDEKGICFFTDFLVVGENQQTFDLEGDSGSLIILTGQDGEKPQPIGIIWGGTANRGRLKLKSGQGPENWTSGVDLGRLLDLLELDLITTSEGLQVALDEQKIIVAAAAAAATNSTATESSPVAGPQENDKIDKIYEPLGINIISRDGSAISTDQPNENMEELNLMSPMRNGEEGNGDLNNLVDMELENSPDGISIALNLGEREPKRLRTDSDSTQDIDLQK >Sspon.01G0034110-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:1084678:1088362:-1 gene:Sspon.01G0034110-2C transcript:Sspon.01G0034110-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DEAD-box ATP-dependent RNA helicase 50 [Source:Projected from Arabidopsis thaliana (AT3G06980) UniProtKB/Swiss-Prot;Acc:Q8GUG7] MEVAGAQARAAPLLLRHPASLRTSVSCTGSRRSWAAAATAEGDETRGFDKVPMDTPGAYRLVDRTTGRSVIVWGGTDDGDEAAIPSPAVLSRTTDRRRRSQDVGGGTGIGNFGRLKAQKIKSLVTRSAHRKRESSNRSSTNRSDGSDDEEESYFERRKPERRTKLSSDGRTRSAHSLTSVLGQYRGDDDTGFPASEATSGSKGWGNVADVTYGRQNQKQREPLNFPQRKGPLDSGFFSRTTFKEIGCSHEILGALRNFDFPRPSHIQAMAYGPILEGRSCVIADQSGSGKTLAYLCPIIQNLRSEEVQGLHKSSPRNPRVIVLTPTAELASQLESLDQELDVIIATPGRFLYLLQEGFVQLANLRCVVLDEVDILFGEEGFEQVLHQLITVAPVTTQYLFVTATLPLDIYNKVVETFPDCEVIMGPSVHRTSSRLEEILVDCSGDDNEEKNPETAFSNKKSALLKIIEESPVRKTIIFCNKIETCRKVENALRRVDRKASQIKVLPFHAALDQAQRITNIKEFLNKQTADSMFLIGQRRLDRIPAIYSFSFPATVFSVLMLPFDGRRRASRGIDFANVNHVVLFDYPRDPSEYVRRVGRTARGASGNGKAFVFAVGKQVSLARRVMERNMKGHPLHDVPCV >Sspon.02G0042970-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2B:87517725:87530774:-1 gene:Sspon.02G0042970-1B transcript:Sspon.02G0042970-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MERFQLLNHYHYNWPVNHASVSPDKKLLAVVGDDRDALLVDPRNGKVTSTLVGHLDYSFATAWHPDSRTFATGNQDKTCRIWDTRNLSTSLAVLRGNIGAIRCIRYSSDGRFLVFSEPADFVHVYSVAADYKKRQEIDFFSEVSGITLSPDDESLFVGVSDRVYASLLQYRMLPGDALHSCL >Sspon.05G0027370-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5B:52385194:52386687:1 gene:Sspon.05G0027370-1B transcript:Sspon.05G0027370-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPVAMILLLLVVVVVVVSAAVSPAAPTPASGSTGMAAGERRPPSLVPFRPRQHGGGRQPVFHGRAAGGCMPRGFRVPPSAPSRYVNYHTLDAGVCVHQRPASFPTGEIHGGGAAVLRGSGRLSSTPARAPSPPPAAPSLTPVIEFPPPRQPWRSSPPNTYRRRRTKSGTGGLGGGGARALPLAASSNPDSWNQPAQAFPPLCCKCMFQ >Sspon.03G0009560-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:3603576:3607934:1 gene:Sspon.03G0009560-1P transcript:Sspon.03G0009560-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLALYKYSVPTVGEVIISLGKGFEISGVLWPVAKNSPEMEFFSEYGDANRYKIQEIIGKGSYGVVCSAIDRHTGEKVAIKKIQNIFEHLSDAARILREIKLLRLLRHPDIVEIKHVMLPPSRRDYRDIYVVFELMDTDLHQVIKANDDLTKEHHQFFLYQMLRALKYIHTANVYHRDLKPKNILANANCKLKICDFGLARVAFNDSPTTVFWTDYVATRWYRAPELCGSFFSKYSPAIDMWSIGCIFAEILTGKPLFPGKNVVHQLDLMTDLLGTPSADTISQIRNEKARRYLSSMRRKQPIPFSEKFPNADPSALKLLQRLLAFDPKDRPTAEEALADSYFKGIAKVEREPSCQPISKMEFEFEQKFTKEDVKELIFQEILQYHPQLLKDYKNGSEKTSFLYPSAVDRFRRQFASLEENGGRNATLDRKHESLPRTTTVHSTSIPAKEGPAATSQVAQRIPAARPGRVVGPVLPFESVSVTDQHIARRVARNQAVPPATNTSSVYCYHLKSDSSDGQEHQLERGKDRRQYRTGQHLMDAKVAPEMARDIRPSQYYVSRGMPKADLTDRATLHRSTLHGVAPFNGIAAVAGGYSQAGVLHYGVTSLY >Sspon.01G0043610-1T-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:68610158:68612089:1 gene:Sspon.01G0043610-1T transcript:Sspon.01G0043610-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGPPRPPPPSARGEGDDDDGDGMIGPPRPPPADDEDVDDDDDDDDDDDEDDEEEMEDDGEGGFNRIPLSNEIVLRGHTKVVSALAVDHTGSRVLSGSYDYTVRMYDFQGMNSKLQSFRQLEPFEGHQVRSLSWSPTSDRFLCVTGSAQAKIYDRDGLTLGEFVKGDMYIRDLKNTKGHISGLTCGEWNPKSKETILTSSEDGSIRLWDVSDFKSQKQVIKPKLVRPMRIPVTSCAWDHEGKRIVGGIGDGSIQSNCFL >Sspon.01G0046590-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:99356784:99358795:1 gene:Sspon.01G0046590-1B transcript:Sspon.01G0046590-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRRKHASHFNSNDAEQQEAKINELKSALGPLSAHGEKYCSDTCLRRYLEARNWNITKSRKMLEESLKWRATYKPEDIRWPNVSVEAETGKMYKASFCDREGRTVIIMRPTKENSTSHDGKIRFLVYVLENAILGQHEGQEKMVWLIDFTGWTMAHATPIKTARECTSILQNHYPERLAIAFLFNPPKVFEAFYKAVKYFLDPSSIEKLNFVYLKDEESMKVLYKCIDPEVLPVEFGGKNSVVYNHEEYSKLMLQEDIETSSFWEDDAKTVDHTVNGTLVPDVAPQSPLLAAKAS >Sspon.01G0035660-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:12563354:12574567:-1 gene:Sspon.01G0035660-2P transcript:Sspon.01G0035660-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRARTVAEEDGVHGSENGAGEGVLVKGRALCRPLGGGDAAVVGGVAEETHWWSGERDVALGATCCARCHCPFSRTTYGRPAGRAVETGFSLTEGLSSELCPLQAFNFNGAASPASSKATRRGNGHGKTFACLRSLAIVFTSIPHCDCRLVFSELQSLANTPVFLSSCVRDWTRTYPRIGIVYGVVYTYPEVLKPCTYVRTSDLPTQLSRMKPIMARKEGPSLVFMLAIPLCSWRVKPKADWETEQDRHRAKKDTSPGSLQPPVQTDSDLVTPALQAAATLNGDGRARSSSLIGTECSKDDGLSFAVWDVYRLLSLRYVPGFRLDGSRDKDVVPTGCSSSVRSLLSKRTDACHMSTFKTQPFDLNVKVMTERADAEHLTPLNVAATPFSVRELRWRHHMSPHDLTQEGRIRSPSDRTHQCETQQRPVLNPREQLSARHQPAVQSVFLNKKHFRDYATFSTLARIPRCVGLHLVVRRLIGNPKPSSPRVPQEPTASTTHLTNHREVAKNKHHSCHNSSAASKPSRWNRPDAAPTFAAVPRDRLTARNQASSALGLTLRTRLVINALGKLLALLLGLLHLLCWNLLLVNPGLLIGHRYWNFLLRSYLAPAAAAGPREELRRPELIGGEPSGSMAAPIGAPRCSGGSPEQACWRAWCTAALLLGGHATMSHGEALEVRTPYLRKEGGRKERKGQPQRAMLAGHGSEREHEEEGEAEPEPRGIRCGGSNAVAALGGELTSTLELERRKRNEEGSVARLQRGPPERHPAGVADRGQDGRRPRVGAGWRRARPASAVGRDRRGAGQY >Sspon.05G0018360-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:55484469:55486043:-1 gene:Sspon.05G0018360-2C transcript:Sspon.05G0018360-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLSNLLVLHVEGTQITSFPEHMFQAMQCLHTFKLINNVLLMSLPMSLSEAKCLKELHISNCAGLSLEYLWELVSCLEDLHIQTWKSLENIKIHDHPTLRTFSLSGPWIRRLSLRGCSRLKIFNFSDDLTALEDVDLSRTDLEEVHHNLPNLPRLRMLLLLGVPYSMRFPWHQLVRFPKILYLDHCVNDDNQAFKMFCQKKLCADGNHQGGEKPTNTAQININDPRMFHSFNEDAAEKLVKEGQFLKSFNVQVKPSSVTGKKPRNIEVELCTRIQRHSTYHDVQYSKAASIVSMMKLQPKQRHVEISAVNQYPNGLRHILSVTNTIFITDDNFIRCLTDLNYSLMTLEECQLLNCNQMTVALRMKSQGPEVLHSLRIMQASCLKNLLCIVEPVKTYYETAYKLITLKLLKHIHLEHCPRLERLFPCCLSLPALETMVIVFCSNLKTIYYKQPNYEVAPSPLPSIKRIYLQELPQLQHIHDDVLFRFEMPNLEKLFVRGCRSFHPLPLLKKEYPKVTGGSQRRA >Sspon.01G0035890-1P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1B:15745970:15746797:-1 gene:Sspon.01G0035890-1P transcript:Sspon.01G0035890-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKIVFSGLFGEDIMWSFASNAIAGSLKKKAQPSKCSISNPNCSDDEVSSCTSREEGLDCPICWESFNLVENVPYVLWCGHTMCKNCILGLHWAVVKFPSLPIQLPLFISCPWCNLLSFRLVYKGNLRFPRKNYFLLWMVESMNGERAKFHSTNHGEHHSSWHSSAGTSSSHQHRRNATVRSESSSARDTSIVHNIFHTDNISASLQKFMVCFMQLTAKFPLVIIFLLIVLYAVPASAAVLVLYALITFLFALPSFLILYFAYPSLDWLVSEIFA >Sspon.01G0018900-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1A:70814404:70818574:1 gene:Sspon.01G0018900-1A transcript:Sspon.01G0018900-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAPTTITVQVKFAGRTIPVEVPAAASGAELKRLLQPLTNVLPRGQRLICKGTDPQTLDGPITKNSSSSATTVRRPSNVKENQTQKPEAVVTKSRSERWKLTGVVALNDCDLKVVPEEVWDCGPSIRILDISNNSIKEVPHKISALKSLSVIILAHRKLLLTANDIADDSICWDGLSCLQKLLNLSLSENRLVSLPSTLGSLTSLRELRVANNRLDNLPIEIGLLKHLQILIASNNRITSLPSSIGDCESLTEVDLSSNLLTELPEAFGNLCNLKVLHIRNNGLTSLPATLFKKCSQLITLDLHGTEITNDVLRQVPFP >Sspon.06G0017400-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:78285280:78297488:1 gene:Sspon.06G0017400-2B transcript:Sspon.06G0017400-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AGMCGSGSKKSVAYFAHDPAHRLLPAAGNNNDGGDEFTCGGCLVAGAGPRYRCALRCPRTLRSAVHPRHRLRRQRVAAAAAAAGADGDGGGGEGVKGACYACAACRVAVHPLCARIPGSACGPVHPGGGHEAWLVRVASSPPVPTPALEPDGDGKKKQQAAAGCEACGQPLGAWRYRCVTCAAELHPRVQCRTVASSLAFWFLLLPSVRREQSKQKQRKRGMEGDSYSGAMANGGGQAVDGKLIQTFHKSFVQVQSLLDQNRMLISEINQNHESRAPDNLTRNVGLIRELNNNIRRVVGLYADLSSSFARTMDASSDGDSSGTAIRSSAAAAGHKRVRP >Sspon.02G0006750-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:20348431:20362143:1 gene:Sspon.02G0006750-1A transcript:Sspon.02G0006750-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGAATLRSRAAVAAAACLAVLAAAALLHRRHRRNRAPSSSRRLEVGGRPRRACEEEEKPQARFKRVLADNSYSPFKHLRRQSAQLGSAEGEAPLPPPQGARTPGILLLLVGISALPSYSYESSQKVHPFEEEITSLLKNPPGFHIFMLGDRCPEMSATYNWVDTEAQLEHLARLLDTIALHDVMGILRPVFANSSICKIFHGADNDVLWLQRDFHIYVVNMFDTAKACEILLKPQKSLAYLLEVYCEVTTDKTMQREDWRLRPLTPEMIEYARTDAHYLLYIANCLASELHAKTFDTSSDKINFFFEASHRSNMVCMQLYAKEIESPPGASSATSILSRNLQTHGFDSKKYSEVKDLVWKFCAWRDLMARMHDESLRYVLSDQAIAALAVSLPKGPTEVFAVIAETDRSISNCHEIAHSAAEKYKRRIAEEFGIPLFVQKIMNSGDISLITNTSVSEDKLNGTGVSPLQLRTAAMALLRHGSTMPLKRCGELMQIVKSYYGGRDVTPENLEMALLVGMSPHERRRLEKKKGYSFRAQAQNIIRKSSSNTISEDSGHGSENCHALSERFSEDGTESNGQPEFDETEERNQLENLTLSQGSSSLPVGMEDTTFDHDTATLETDTTQQARGACTPGNSHLDRELSIRDNSSLVISKNAEKKISLLGHGHHGKQVVELLLSNGGEEAINQFCQRWRQIFVEAVHPRYLPSGWNINHSVERQRHAAKRVQPARRSHMILPISPSLPLHHSARLEYPTVLTSPPKPNPSKPISLPPVPSRPVPPCLFRFHPPRAAAGDPRRRGPAAAMSCSHLSTAWSSSALASSASTTQRRSAPRSGLVVRCSLRELRTRIDSVKNTQKITEAMKLVAAAKVRRAQEAVVSSRPFSEALVEVLYNMNQEIQTEDIDLPLTRTRPVKKVALVVLTGERGLCGSFNNNVLKKAETRIEEFKQLGLQYSVISVGKKGNAYFQRRPYIPLERDLEVNGVPTVKDSQAICDLVYSLFVSEEVDKVELLYSKFVSLVRSDPIIQTLLPMSPKGEICDINGVCVDATEDELFRLTTKEGKLTVEREKVKIETQPFSPVVQFEQDPVQILDALLPLYLNSQILRALQESLASELAARMSAMSSATDNAIELAKTLSISYNRQRQAKIT >Sspon.01G0009890-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1A:27954421:27954759:-1 gene:Sspon.01G0009890-1A transcript:Sspon.01G0009890-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SILYEIIDFESAHEIWVFLNEKYGAISNDDEPKEKAHEDVEHDHNKVVVEDCSTSRSSDDKNDHITRSLDKNDDNATSDASNDSTSCTLDGEDDGYESDASTSSSISSHGDT >Sspon.01G0048800-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:100666108:100669016:1 gene:Sspon.01G0048800-2D transcript:Sspon.01G0048800-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding FAQGVAKLPFIDEMRLLAETRKLEDTLTEEEKFRNRTMFDIIYVRDTHPLTAQIMFLYQNYYHLSRTDPYVIPIQPAVSGGMNGFLCLSERNWYSVTVTSPVKGFNGIAQNRVLNATYLNPQYHKHIPEPPAGVIIPAKILKPSDFKPFPVLWHEDNSRRQARERPQVSGALSGSLLGAAAHRLVKNSLQIKSGNAAGLIDMPYRGAPYGPGNRPRPAGPLGYERGFVDNPYHAHMSRSVPNPHPQFFGDSQANKQPMRILERPNSRSHDAGIRASMSKLTIQEGPRPHQNNRMQNSGYWPNQPHPNHFAGPPAQRPMQNISFVPQRPFQTGGFPQLRPVNGVPPPLPPSNWIGKQPSGGHMGVPAKHDPRTAPDRQPKQDNSRLQQDKRQQATTKVYRVKTQATNDNGLSES >Sspon.02G0036830-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:27205205:27207958:-1 gene:Sspon.02G0036830-1B transcript:Sspon.02G0036830-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGPIFESGSYPTTTSVVECYHLLPYKEVFLNILNRDWPLGSSLSAPKERVFQNGKSSSLSEIDESLKEQEANSNSKSKMKKITTDISTPKTNKQTPGVDPVISDHALKSQNEKVTEKSGGITGNMNVQKYATLQLLQKMRDDTLREHFVLGDQSAEYEMDIQTILTETEMTPKVTSILKKYENSWKMTEAANPISSGEGCQTVNIKRKKLKEAILVRNRCQELDDICRESNWILPRYRVLPSVTGDMYQASVHLTGPDFNLSADGDMKVTPHEARDSAASNMLSQLQQKARED >Sspon.03G0026510-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:3142548:3146747:-1 gene:Sspon.03G0026510-1P transcript:Sspon.03G0026510-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFVLAILSSACFLYLYSYGFFMLDDGKLQAESDSGEFWVLFGGFAPIGKKTVSDDDVVLETTAPKLYSINDGQLKLEETALTKAVLENTKCFLLDCGAEIYVWVGRVTQMEDRKSATKAVEEFLINQKRPKTTRVTQVIQGYESHAFKSKFESWPVGNAAGSPGAEEGRGKVAALLKQQGVDVKGAAKSTTPVNEEVPPLLEGGGKLEDDQMSASQITNTVWNSLKGRPVLGRIYQGKEPPQFVALFQPMVILKGGIGSGYKKLIEEKGATGETYTTEGIALIRVSGTSIHNNKTLQVDAVATSLSSTECFVLQSGNAMFTWFGNSSTYEQQQWAAKIAEFLKPGVAVKHCKEGTESSAFWFALGGKQSYTNKNAPQDIITREPHLYAFSFKNGLIQVTEIFNFSQDDLLTEDMMILDTHGEVFIWIGQCVESKEKQKAFDIGQKYVEHANSIEDLSPYVPLYKVMEGNEPCFFKTYFSWDNTKSLVHGNSFQKKLSLLFGLR >Sspon.02G0031110-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:113654383:113658864:1 gene:Sspon.02G0031110-1A transcript:Sspon.02G0031110-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEAELPPGFRFHPRDDELICDYLAPKLGGKVGFSGRRPPMVDVDLNKVEPWDLPGEEWYFFSLKDRKYATGQRTNRATVSGYWKATGKDRVVARRGAMVGMRKTLVFYQGRAPKGRKTEWVMHEYRMEPALDQSSSSNFSSNSKDEDWVLCRVICKKKLAGGGGSSKASRSLASNGGRETAPTTSPPLPPLMDTTLAQLQAAMNTTATAGALEQVPCFSSFNNNIASNSNGNAAAAQPCYLPMATGGSHGTSYLDHGLLPELGGCFDPLNSDKKLLKAVLSQFGDDVVPSLQHEMAAATATSTWMYHF >Sspon.02G0025130-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2C:91846489:91849488:-1 gene:Sspon.02G0025130-2C transcript:Sspon.02G0025130-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMQLPPPPLVHAIDCWEPWLGVMAGGHDDGVEHLPRGDPLALAVTMHGVGVGAPHCPALGAIIRGARRHQADVQDLGVEPDDVHEPKRVTEVPDVPQELGVPRVPPGVAGAVVLGVEREVGEAHAIPGHVEAERGVHAAVHAGHAEGVAAVGPRVVQPLPADAAALLH >Sspon.02G0011850-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:35505729:35510739:-1 gene:Sspon.02G0011850-3C transcript:Sspon.02G0011850-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAMLTAQFTVLPLSQSPSPQASFRLGLRPSPAARARSVAPVAAASATAVSAKPAAASSPLVADRTVVRIGLPSKGRMAEQTLSLLKSCQLSVRQLNPRQYTADIPLIPNLEVWFQRPKDIVRKLQSGDLDLGIGTEDLVVFMTLLTLDIVVPKEGIFENIITLEDLLKMPEWTEERPLRVVTGFEYLGDKFLREKGFKHVHFLSADGALESYPPMGMADAIVDLVSSGTTLRENNLKEIDGGVVLESQATLVASRKSLHKRKGVLEVTHELLERLEAHLRATAELMVTANMRGNSAEEVAERVLSQTSICGLQGPTISPVYCRRDGKVDVEYYAINVVVPQKLLYKSIQQLRSVGGSGVLVTKLTYIFDEETPRWRNLLSELGL >Sspon.02G0018850-3C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2C:63505412:63508652:-1 gene:Sspon.02G0018850-3C transcript:Sspon.02G0018850-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGSGIQALSCPPVHARTHSFSHSCASCCPERQQPSQFSPAGRSNPSSPKPQTQFEALGPAAPYPFASVSVARAHGSHERKSHVVHRSARRPSGGRGAASPPTPPEAREVHGRSRRGGDGHAGPT >Sspon.04G0000590-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:2854399:2855876:-1 gene:Sspon.04G0000590-1A transcript:Sspon.04G0000590-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LCFLQAAQASIAVGSQVWVEDPDVAWIDGEVVKVNGDTVTVKCSNEKTVTAKASNVHAKDPEEAPCGVDDMTKLAYLHEPGVLQNLKSRYDMNEIYTYTGNILIAVNPFRRLPHLYDTQMMQQYKGAEFGELSPHPFAVADVAYRLMRNEGISQSILVSGESGAGKTESTKMIMRYLAYMGGKAASEGRTVEKQVLQSNPVLEAFGNAKTVRNNNSSRFGKFVEIQFDQKGKISGAAVRTYLLERSRVCQISDPERNYHCFYMICAAPPEVLSLPCPCAFPIRLIYINCTP >Sspon.07G0011820-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:47070444:47072979:1 gene:Sspon.07G0011820-2B transcript:Sspon.07G0011820-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPVAAEEGDDPRWRRSNTDCVSFLASRFACTKGANCEFRHCEGARFNQSCWYWFRGNCVNPSCTFRHPTMESLNRTKPLADPLLSYASASIKASSPCYFYYNSYCKKGDNCPFLHEPITRKDVVGISSEALTSNLAKNSNPAGNEMIESSKDALANPCQGSPDRTKDHQSGIPASSSPKHNGLILNAPQTTVDTVGYMKSSTLSGQSSGDSAIEHAEQDISRDSSPGFDVLVDDGLSNMIDLEHQSTQERDTEVLHVKHRVGDSIVYGLDYHDAEYNEQGLHGFEHGSCLDYFEGVQGHDCLTTSGHILHNRINLVNPSCEEHVPRFFNPRSLMGSHAGFDHQNSQIGRISKRPPERRGAKGNNGRNKRCCIHEARNGSEEIDTRPTHDMQNSLIRDCSPPLACATLRGQKKKSKRKQRHVRSARPSKYSTAKVKHLDSEDFMGPKTLVQIKEEKCRSKSSASHPTVHMPHGRSSSNDFEGPKSLSELLKVKGRTSVDRESCCS >Sspon.04G0014890-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:61827294:61829353:1 gene:Sspon.04G0014890-1T transcript:Sspon.04G0014890-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGQPEGMHLVLERTEGCISSQAVQSRGIQKAMPEELCVTLSDKKGCFACNERSHNLDQCKIKYKLVSATHQFGYATKFPFTVIQPSDEMVEKKKFYHHCILIKSDVTNLDKGILKDELKMFWKLSGDWELRRECNMTFLASFSLEGDVISCLKNPEIETLLDNKEVKLTVTRWKEGDDGSIDMVEEWLLVSGVPRIYRNWKELYQVASAFGVLIEVDEESLEAGDKEPVRLKIAFRSFDGAPFSSYFAFGWSSKLVMVTAVQDKTDGMKHKNKELLDKEHKKELHVAKRILPEEPKGIEESRSNGKAKENKISAPAATITNSKITITESSKPIAPTTKSIVLEEPKGIEESRSDGKAKENKMSALAATITNSEKTATESSKPEGVQSIGPSPRSMIGEEHFRGIQKPPIKHVFKRRCKKQQVTEAINKSPSNKMDKEMAPHSCSNATRTGASTGCDMLDQKDCSKMEPKRKLNLEEITPVGKSKKAEKLGACRDTEYNNVAAPTINSKKTQILGDTSRNARDELITKHTK >Sspon.03G0031720-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:30359702:30362589:-1 gene:Sspon.03G0031720-1B transcript:Sspon.03G0031720-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSESTWCGVVEIRCPFYLASATEATAEYRGNFSCGYTDLSISCKLEGQTWTPTIRLGDDDYTIKNISYQYNHQTILLADSDVLGGGDCPIVRHNVTFDEVWLYNTSTFDNFTFFFGSRWGARDTPPVFADYQSSCAGFSNPAIRRGGSFVFKSEDIEEHEEQELASHCDEALVETNFNLTRDGYGKVLRQGFELAWISVTCGSDRTPADGSKGKTAIIVGIVAGTLFLCLVILIFFSARKYAWLPPKSKDKPRIESFLQKNGNLHPKRYTYANVKRMTKSFAVKLGQGGFGAVYKGNLHDGSQVAVKMLKDTKGDGEEFMNEVASISRTSHVNVVILLGFCLQGSKRALIYEYMPNGSLERYTFNSNMNSENLLSWEKLFDIAIGTARGLEYLHRGCNTRIVHFDIKPHNILLDQDFCPKISDFGLAKLCLNKESAISIAGARGTIGYIAPEVYSKQFGLISSKSDVYSYGMMVLEMVGARDKNNTSASVESSSQYFPQWLYEHLDEYCISASEINGETTELVRKMIVVGLWCIQVIPTDRPTMTRVVEMLEGSTSNLELPPKVLLS >Sspon.01G0018040-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:56144095:56147112:1 gene:Sspon.01G0018040-2C transcript:Sspon.01G0018040-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MECADYLIEVGRMATAADPQRWTKQWRKATNVIRTCHRLARLTLSRAILRRTGSYVEIKIHDETDAAPAEFSVAADDEGFRRLVKDKRHDCFRRLGGGAGIASALASGAEAGIRGDDRDVRRRREAFGGNTYPRKKPKGFWTHVWDALSDVFLLVLLVCAAVSLGFGIKEHGLKDGWYDGVSIFLAVFLVAAVSAVSNHGQARRFDRLANESDNIAVNVVRGGRRQEVSIFDVVVGDVVVLNIGDVVPADGVFLQGHALQVDESSMTGEPHPVDVDAEKSPFLASGVKVIDGYGHMLVTAVGTDTAWGEMMGSITREKTEPTPLQERLEGLTSSIGKVGIAVAVLVFAVLTARHFTGSTRDEQGKPTFDRQHVTFNSVFTALVGIFQQAITIIVVAIPEGLPLAVTLTLAFSMKRMVKEHALVRTLSACETMGSVTAICTDKTGTLTLNQMKVTEFWVGTDRPQAAVTGAVASLLRQGAGLNTTGSVYKPDNASLPEISGSPTEKALLSWAVTDLGMDADALKRSCKVLHVEAFNSDKKRSGVMTRDNATGAVIAHWKGAAEMVLASCSAYVGSDGAARVLDAGKRRKLEEIISEMAAASLRCIAFAYKHVDGEHSKIDDEGLTLLGFVGLKDPCRPEVRTAIEACTKAGVAVKMVTGDNVLTARAIAMECGIISNSDRDAIVIEGQEFRAMSPEEQLDIVDRIRVMARSLPMDKLVLVQRLKQKGHVVAVTGDGTNDAPALKEADVGLSMGIQGTEVAKESSDIVIMNDNFDTVVTATRWGRCVFNNIQKFIQFQLTVNVAALIINFVSAVTSGKMPLSTVQLLWVNLIMDTMGALALATDTPTKALMRRPPIGRTAPLISNAMWRNLAAQAAFQVAVLLALQYRGQEIFGISEKANGTMIFNAFVLCQVFNEFNAREIERRNVFAGVLRNKMFLGIIAVTIAMQVLMVELLTRFAGTQRLDLAQWGVCVAIASVSWPIGWAVKFIPVPDRPLRQILATRRKLF >Sspon.04G0016500-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:68422403:68424601:-1 gene:Sspon.04G0016500-3C transcript:Sspon.04G0016500-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:rRNA adenine N(6)-methyltransferase [Source:Projected from Arabidopsis thaliana (AT2G47420) UniProtKB/TrEMBL;Acc:A0A178VWJ8] TMAGGKIQKKRHGAAGGAGGGGARLQGGIPFEKSKGQHILKNPALVDSIVAKAGLKPTDTVLEIGPGTGNLTKRLLEAGVKAVVAVELDPRMVLELNRRFQGHPLSSRLQVIQGDVLKCDLPYFDICVANIPYQISSPLTFKLLSHRPIFRCAVIMFQREFAMRLVAQPGDTLYCRLSVNVQLLSRVSHLLKVGRNNFRPPPKVDSSVVRIEPRKPLPPVSFKEWDGLVRICFNRKNKTLGSLFKQKRVLELLEKNYKTMQSLQLTQDADMGEEKMSADDVALLANMVEDLSVETGDEKDDDEMEMDDGDMAGDGASFKEKIMGILQQGDFAEKRGSKLSQVDFLYLLSLFNKAGIHFS >Sspon.03G0037450-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:99068102:99069700:-1 gene:Sspon.03G0037450-1B transcript:Sspon.03G0037450-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ALLCSGPANRHGFRPAQELQLLRGGPQVVVAAGSAHARAPVLRARRRGREVAGAGGGGARAPEHVVGGARARGGGAAAAHAADAGRRRQLLPVGAVAAARPPPVPSLARRHLPLPGGAAPAGGRRARAGRGAGRAVHGVRQRPRPRVGGARLLQPGLPRRRPRPRPGAGRLRGHARHVPRPRPPRPGLDRLRRRRMRPRPRQEGGHAPRQVVAPPPQPVRQTAAEPAPGHRLLPRAPRRGGAALHRLPRPHRQGVAALRRDLRRLLRGARRARQRHGGERGGRLRLHGIRRRHRQDVAPRVRRHGARAHHRAPLRAVPRQRAGAVPRPGRRRRKAVLPLRGVLGRIRERVGEGGHGGAAGARRVPQGPPPGGVLPGVRVRRAGRGERVGGRHDARVEEGGDQQGRRRRRRGPHVPGRDRGAPGPRAVPGRGRRRGRGGGGQHGGVQRRAGQERQGVEDTGGGEGGGGGRRRRARRRRRRGRRPRGGGGDINGGREGRRRGCACPCDPCGQGRRGGHRGARVRGAHAGAVAGVG >Sspon.07G0028190-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7B:64664612:64666012:-1 gene:Sspon.07G0028190-1B transcript:Sspon.07G0028190-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNGEGPPPTFEGDNFLYWKIRMEAYLEAIDVGCLRAATQGLPAIKDPANPIGDEEKYDRWNAKAKNALYRGLGKDIFNRVRNAKNAHELWENLCALHEGTKSEREERYHIALKKINSFEMLPKESANNMYTHLNVLVEDLNALRLTQMSQSDVARKILSVLPVEKSPKDKYKNKGKKNDSSDEEEEKKKNKPYKKKDGKKKEFHKKKKGGKAYIVGDWLTDIESSCESSEDESNDEKEKVTAFVMGPSSSPTSSSPPSPPPSPSSSTTHLCLMAKGERKIQNHDSDSDDEYDAPSYDELVKLLNKYTKIIRRTRNENDEFQNKMNHSHQS >Sspon.05G0001330-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:4395506:4403535:1 gene:Sspon.05G0001330-1A transcript:Sspon.05G0001330-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDERTPAAGSGGFVVWLHGLGDCGRANEFIADHFSAAAFSDARWAFPTAPTAPVTCNRRCASACRRLRLRFVAPVCFSLLAADRNSVCYELAGGMRMPSWFDIHDTPITSKSVRDEEDVVRAVQIVHTMIDREIAAGTDPEDVFVFGLSQGGRAKLHRPHTAMHATHTPVLWIHGGADSLIPVQEGRDGVKFLRGLGMSCEFKEYDRLGHTLAPYELEHCERWASEIALGDGEHGRQGPVEKMGGSPGTATAERPSAGGFVLWLHGSGGSGDESRAQVAPYFSAPDTASSVRLSFPTAPTASIPCYGDAVITAWFGISEVPITAKTVRDEKEVLKAVEYVHELIDKELAAGTSPSDIFVCGMSQGGALAIASVLLYPKTLGGCAVFSGSVPLNKSFAEKVSSEARKTPVLWFHGMADGLVLFEAGHAGCAFLEKLGMSCEFKAYPTLGHSMVDEELQYFQQWILNRLGMAVSRATETARPSSLVRFLFTLAAAIAAASLLVASLRRRAPPPGLPAKLVPSSHMAGRNRSFVLWLHGLGDSGPANEPIRNLFSAPEFRLAKWSFPSAPRAPVSCNNGFVMPSWFDIHELPMSAGSPQDEAGVLKAVEKVHALIDREVADGIHPENIFVCGFSQGGALTLASVLLYPKKLGGGAVFSGWVPFSSSVTEKISPEARKTPFLWSHGMADKVVLFEAGQAGPPFLQSAGVNCEFKAYPDLGHSLSKEELLYLESWIKNRLNASQEKDG >Sspon.01G0033920-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:113973517:113975094:1 gene:Sspon.01G0033920-1A transcript:Sspon.01G0033920-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARQQLEVLSALDAAKTQWYHFTAIVIAGMGFFTDAYDLFCISLVTKLLGRIYYYREGSPDPGSLPPHVAAAVNGVAFCGTLSGQLFFGWLGDKMGRKRVYGMTLMCMVACSLASGVSLGRSPAAVMGTLCFFRFWLGFGIGGDYPLSATIMSEYANKKTRGAFIAAVFAMQGFGILTGGVVTLVVSAAFRAAFPSPAYQDGAVASTPAEADYVWRVILMFGAIPALLTYYWRMKMPETARYTALVAKNAKQAASDMSKVLQVDIVSGGDRQHDEFGLLSSEFWRRHGLHLVGTTSCWFLLDIAFYSQNLFQKDMFSAIHWIPKARTMSALDEVYRIARAQTLIALCGTVPGYWFTVALIDVLGRFKIQMMGFAMMTVFMLGLAIPYHHWTTPGNHTGFLVMYAFTFFFANFGPNSTTFIVPAEIFPARLRSTCHGISAAAGKAGAIVGSFGFLYAAQSRDESKADHGYPAGIGVRYSLFVLALCSLLGLACTPLVPESKGKSLEELSGDNHDRRTQEPCPSSSN >Sspon.02G0015210-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:41415137:41422068:1 gene:Sspon.02G0015210-1A transcript:Sspon.02G0015210-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAVELRIGPLDWAMDEEVEDESPGVGDEDGTDEDWVLDMERKGRRKRNRSSPRRRPRPKRRRSAAAVAPAPASPAQSPSESEPCPVTAEHSAEQGFNAAATATAAAAAVAEGVKEEEDGLRKEEGENVAPSTSGRGGGGRKPRRSCHQCKTVRSPEETLMIRCQRCVKRIYCVRCVTNTYTMMSVDDVREQCPFCRGLCTCTPCLNKDKQLRPESLRKCNSNVSSKREKRSTSAGVKSPQARSAAPCTEATGLSFVTTNGVNNVSAMSAEADTSDVRAEEVDPETKRKYASYLLHYLLPCLTQLNKDQMEEREAEAKIQGLQLSELIVEKAVSWNDEREGLVPKDKSRGVDYMHGGDPSDSENDKETANHASSRKAATRENSTDNYIYCPISDNGKPDGLKHFQKHWVKGEPVIVQGVHKKMSDFCVQKNKMSKLSWKPEEMWAEVHGANTSSEMKNVKTVDCMSCCEVVICAKDFFNGYYHGRMYHNLWPEMLKLKDWPTSDHFENILPSHGKTYINSLPFQPYTNLKSGLLNVSALLPGDILKLDMGPKSYIAYGYAQELIRGDSVTKLHCDLSDAVISCKDDKAGALWDIFRREDVGKLKEYLTKHSKEFRHMYCRPVEKIFNPVHEEKFYLTNKHKRELKKEYGIEPWTFVQRLGDAVFIPAGCPHQVRNLKSCTKIALDFVSPENIQQCLSLTEDFRRLPVGHRAKEDKLELLKMPLQPRT >Sspon.03G0011790-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:39415669:39418351:-1 gene:Sspon.03G0011790-2B transcript:Sspon.03G0011790-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MISPDAARNVVGIIGMLLASSIGAAWNSLWTGLLGFWLVRFRWVSASRAMSSPSASSSPQRKHTHRSLRVQLGGFNLGFVRTDGEAPPPAFVFGCMYRPTFWRIWKARDVEEFKPDPYLATLLNCMLWVFYGIPVVHPNSILVVTINGIGLVIEAIYLTIFFIYSDGKKRKKAFAILAVEILFVAAVVIGVILGAHTHEKRSMIVGILCVIFGSMMYASPLTIMVRDPSSIRSLPSAVPAQRYGKVIKTKSVEYMPFLLSLVSFLNGCCWTAYALIRFDLYVTIPNALGAFFGLIQLILYFWYYKSTPKKEKNVELPTVSRNVGGGNVTVSVER >Sspon.05G0021110-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:86456925:86458111:1 gene:Sspon.05G0021110-3C transcript:Sspon.05G0021110-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding HMMNILESSNLGGYKEVINEVEHQRDLMMDLHDLVLPILDPYSGQANLIQQLFEEVFSCSGKIISSLELGDNREKQDILTKYKRKGGENNVENHILEENKGCGNKSRKNAKHISSVVTQAPYFDGYQWRKYGQKWISKAKHSRSYYRCAYSKEQGCPATKTVQQKESDGNGTVRLFDVDYYDQHICSRDGIVHPYVVEATHDNVPIASQNQSSSSMVINTDAHRVQDESFESLFMVPDMPQYLTEFTDVEMASAFEITSMNSPLIPEDIW >Sspon.06G0001910-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:6244352:6248508:1 gene:Sspon.06G0001910-1A transcript:Sspon.06G0001910-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQPDADFIAAAATDPSPAPAPAPAPAPTPPVVEWPEGGALTRDWVAGLESTLDWCSRHLPADRLPSVLPPALVQRLVLAAAAILHREPNLVRVDPRPGQAVVVVGDVHGQLHDVLFLLRDAGFPSEDRIFVFNGDYVDRGAWGVETFLLLLAWKVLLPNCVFLLRGNHESKYCTSVYGFEKEVMTKYKDQGPQVYRKFLRCFEDLPLATIIAGSVYTAHGGVFRGTIVVPSKRSKKGKKGHKFKEDFTVDPTIMKLGSLDELLKARRTVLDPPWEGSNLIPGDVLWSDPSLQMGLSPNKERGIGLLWGPDITQQFLRTNHLKLIIRSHEGPDARDKRHDLLGMDNGYTIDHEVECGKLITLFSAPDYPQFQASEKRHNNRGAYIVLNPPDFATPVFHNFEAVKPRPAAHPFYDFEEVIDSDEELNLDAMDSGTSSLS >Sspon.01G0009860-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1A:27897770:27898027:1 gene:Sspon.01G0009860-1A transcript:Sspon.01G0009860-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAERAGPREHLDAMTEPFDWAAARHRPAGPSGIRLGLAPQAELRLGRLHWPGRRGRWALRARIEEEERNFFFFQKPFDMNLNEFK >Sspon.01G0048730-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:100355143:100364433:1 gene:Sspon.01G0048730-2D transcript:Sspon.01G0048730-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Casein kinase I, Photoperiodic control of flowering time, Long-day repressio [Source: Projected from Oryza sativa (Os03g0793500)] MPELRSGARRACLRSNKADNVKAADPIGSPAVPPPQGRARRRGGAVAGSGRGNKAAARGRGRSASKHRGKGLEAIDLKTDLPCNNLPEPVAGEAVIGTAQKDLCLSKAAARAANLRMAGDFADKFAVAEDDATTSPVPERVQVGNSPEYLTGRKLGKGGFGQVYVGRRVSGGSSRMGPDAYEVSSFISFNRMLKFYLLHHSNIWMNVALKFEHRSSKGCNYAPPCEWHVYHTLNGCYGIPSVHYKGRQGEYYILVMDMLGSSLWDVWNSVGQAMAPQMAACIAVEAISILEKLHSKGFVHGDVKPENFLLGQPGSPDEKKLFLIDLGLGRTGSRRDDLESLAYTLIFLIRGRLPWQGYQGDNKSFLVCKKKMATSPEMLCCFCPAPFKYFLEMVTNLKFDEEPNYPKLISVFDGLIEEPASRPIRIDGALKVGQKRGRAHVNLEVEEQPKKKVRLGSPATQWISVYNARWPMKQRYHYNVADSRLHQHIEKGNRDGLYISSVASSANFWALIMDAGTGFCSQVYELSQLFLHKEWITEQWEKNYYITAIAGATNGSSLIVMSKGTPYTQQSYKVSESFPYKWINKKWKEGFHVTSMATAGNRWGVIMSRNAGYSTQVVELDFLYPSEGIHRRWETGYRITSTAATPDQAAFILSIPKRKPMDETQETLRTSAFPSTHVKVYVSIFASIIHAVYYLGTDFLVPCSCLSSNLTGDKKIQEKWVKNLYISSVCYGRTVC >Sspon.02G0001540-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:21621879:21625123:1 gene:Sspon.02G0001540-2B transcript:Sspon.02G0001540-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKPVASVASLLPQLWHRPFRRAPILPRARGLSSSSSILTAHSAPRRRSVLPRSTHLAAVSTAAAVEAPAATPYPVYDRLLPCPLQDDPPRIEHLVAREDEVAADFISRSLGLPPLYAADLIKFGAVYYALVAPQPPPYASPEHFKIFREVTEPSILRRRASIKGKTVREAQKTFRVTDPNHRLEAGTYVRVHVHPKRFPRCYEIDWKSRVIAVADEYVVLDKPAATSVGGATDNIEESCAVFTSRALGLETPLLTTHQIDNCSEGCVVLSKTKEFCSVFHGLIREKQVKKLYLALTTAPVSTGTITHYMRPVNRAPRLVSEDCIERWHLCQMEVLDCRKVPWPSSSIRKVHNVNGCGWPQQEAAYECKINLLTGKTHQIRAQLAAIGAPIIGDSAYMTAAMAAMANPSVNPFGRERLSYNSEEEKEGAIEAWIASHGKEPKSVIGLQASEISWDHEGEHHSYKARVPWWRQDYE >Sspon.07G0016690-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:59496135:59499394:-1 gene:Sspon.07G0016690-1A transcript:Sspon.07G0016690-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGILAVLGCADEASHGKNKRARVLELSRRLKHRGPDWSGLRQVGDCYLSHQRLAIIDPASGDQPLYNEDQSVVVAVNGEIYNHQDLRTRLAGAGHSFRTGSDCEVIAHLYEEHGEEFVDMLDGVFSFVLLDTRHGSGSSSFLAARDAIGVTPLYIGWGIDGSVWISSEMKALNDECEHFEIFPPGHLYSSNTGGFSRWYSPPWYDEAIIPSVPYNPVALRKAFEKAVVKRLMTDVPFGVLLSGGLDSSLVAAVAVRHLTGTEAAKRWGTKLHSFCVGLEGSPDLKAAREVADYLGTLHHEFHFTVQDGIDAIEDVIYHTETYDVTTIRASTPMFLMSRKIKSLGVKMVISGEGSDELFGGYLYFHKAPDKEEFHRETCRKIKALHQYDCLRANKATSAWGLEARVPFLDKEFINEAMSIDPEWKMVRPDLGRIEKWVLRKAFDDEEQPFLPKHILYRQKEQFSDGVGYSWIDGLKSHAASNVTHKMLSNAKFIFPHNTPTTKEAYYYRMIFERFFPQKSAILTVPGGPSVACSTAKAIEWDAQWSANLDPSGRAALGVHVAAYEHEHELEQDPKHVPDTIAAGGSKKPRTIRVAAAAPPPGVAIEG >Sspon.03G0025250-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:100170269:100172666:1 gene:Sspon.03G0025250-2B transcript:Sspon.03G0025250-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPVAKLGTLALRTLSKPIASRLKSQAAVHPKFRNFIIAIAQVAVAALIFEVQRSARSEARKEEARKQELEELKQRGESLAKELEDLKVKLSEIERLAKGRGLTGILNLKGVHGAEGGKAATPV >Sspon.07G0006960-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:13378971:13380498:-1 gene:Sspon.07G0006960-4D transcript:Sspon.07G0006960-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFSHRHHSSIIHAAGPPALAAAAARPWSKAEDKVFETALVMWPEHAPDRWALVAAQLPGRTPREAWEHYEALVADVDLIERGAVDVPSCWDDDDAQVRGGEEGGGPGRRAGAADRVRREGRRPGIPWSEEEHRLFLQGLEKYGRGDWRNISRFSVRTRTPTQVASHAQKYFNRQLNPASRDSKRKSIHDITTP >Sspon.05G0027820-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:55851920:55853041:-1 gene:Sspon.05G0027820-2D transcript:Sspon.05G0027820-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SMLQLSTFTRVPSIPRDQSYRDFPVTVRVTVALPEIVQRERALVDVVAVINLRWSMGFDDKYGHQPNDRLVRVKEAMAMVIENLGVDTQNRLAVVGFNQEVATSTELLEMTTEGQQSATRTVNALQALGRQSTFSVGLNEAKQSLQAEINGRPDFGSYTPIVNDLETKLDQLSVRLTSVLAVDTTIDLTTLHLGVSVSKLESSWAYNDALVFYKSEIGGGKSSGKVVVSDVSAGEMKFTVYLDVPAAEGQQDSTGTDGDSVMELLKVGGVYKQSWDKDK >Sspon.01G0031480-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1A:108001207:108002804:-1 gene:Sspon.01G0031480-1A transcript:Sspon.01G0031480-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDELSLSGLAARRKGQQAKGWVQLRGVHRVTLTPIAAMASSPEEAKGWVHQQRDWWPEHADLLVHESGTKMTRLKLKPHTGRSVRTIGPAMDFTPRKTIQTTASCPCPTACPARRPTIEDTFAQIISIGDACEVGFRDRLAVVLLEYSRDAIACLVADAHLLPVFEVATRLAVPTLALRPSSAACHACFLAYPMLCKKGYLPVQGRSCCFWVRQHAFPLLFLNPTTTCSRFVAADSQLDLPVTELPPNRVRDLLHIGEAGHHLACQLVARAVAATNISSGVILNRRDTAVPVFDIGPLQKFSPDGDSSLLLQDRSCLEWLGAFPPESVLYVSFGSLALMSPQDLVETAWGIADSGLPFLWVVRPGLVRGCTPESSQLPEGFEAATRGRGMVVPWAPQEEVLRHRAIGGFWTHGGWNSATESIFEGVPMLCRPYFADQMGITRYVEHVWKVGLELRGELERVSIEAAIHRLMPDKDGAEMRARAGELKKAA >Sspon.04G0024620-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:20864096:20866524:1 gene:Sspon.04G0024620-1B transcript:Sspon.04G0024620-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding HTMFPCHGVATANPHLPGALAANRYGSPTRPSFYPSAAFLRLQGPAFRHEKKSLAFSAGADFHRYAIPSVKGIKPLLSSPKLKPRTQVGCQASLSSFSYPELSSKPKWWWRTLACVPYLLPLHNMWGHADAIYQLHPYLQRFSLPYAFIDTMALLPGWLFLAIFMTIYFFVVRRKWSPHFLRFHIILAILLDTGSQALATACNWNPSIVFQGKQMAYFWMTMAFIQIFTVVECMRCALSGVYANIPFISHAAFIHSDLNLF >Sspon.03G0015160-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:48523021:48524858:1 gene:Sspon.03G0015160-1T transcript:Sspon.03G0015160-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPAKPEVTEEAKMDLLEDDDEFEEFEIDQEWDDKEEGNEALQQWEDDWDDDDVNDDFSLQLRKELESNASKN >Sspon.02G0028430-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:103459547:103463397:1 gene:Sspon.02G0028430-1A transcript:Sspon.02G0028430-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cellulose synthase-like protein D5 [Source:Projected from Arabidopsis thaliana (AT1G02730) UniProtKB/Swiss-Prot;Acc:Q9SRW9] MSRRLSLPAGSQVTVTVSPTRGGKAESPGDGVIKRSGGGIGLTSPAPRHSLGGAGSSTVATLQLSPVRRSGGGGSRYASLEGGAVGDSSEFVHYTVHIPPTPERTVAASAESIDAPAPTAYDEDGGAAEVRPPQRSYISGTIFTGGLNQATRGHVLNTSAASSAAASANMSCKMRGCDMLAFLASGAGGGPCDCGFMICRECYADCVAAAGNCPGCKEPYSAGSDTDDDDGDGEDDEAVSSSEERDQLPLTSMAKRFSIMHSMKIPSNNGGGGKPAEFDHARWLFETKGTYGYGNALWPKDGHGGGGGAGFAGFEEPPNFGSRCRRPLTRKTSVSQAILSPYSLTLLVALLRCRLLIAIRLVALGFFLTWRIRHPNPEAVWLWALSVTSAPSIRAADLDVLAERFELPTARNPKGHSDLPGIDVFVSTADPEKEPPLVTANTILSILAADYPVEKLACYLSDDGGALLTFEALAETASFARTWVPFCRKHGVEPRSPEAYFGQKRDFLRNKVRVDFVRERRKVKREYDEFKVRVNSLPEAIQRRSDAYNAGEELRARRRQQEEAIAAAGTLPGVLPEATAVVKATWMSDGSQWPGTWLTSAPDHSRGDHAGIIQLLIQDADMRLKLQAMLAPPTSEAVLGAEPAESGGLIDTTGVDIRLPMLVYVSREKRPGYDHNKKAGAMNALVRTSAIMSNGPFILNLDCDHYVHNSAALREGMCFMLDRGGDRICYVQFPQRFEGIDPNDRYANHNLVFFDVAMRAMDGLQGPMYVGTGCVFRRTALYGFSPPRATEHHGWLGRKKIKLFLRKPTMGKKTDRENNSDREMMLPPIEDDAFQQLDDIESSALLPRRFGSSATFVASIPVAEYQGRLLQDTPGAHQGRPAGALAVPREPLDAATVEEAISVISCFYEDKTEWGRRIGWIYGSVTEDVVTGYRMHNRGWRSVYCVTRRDAFRGTAPINLTDRLHQVLRWATGSVEIFFSRNNALFASPRMKFLQRVAYFNVGMYPFTSVFLLVYCMLPAVSLFSGKFIVQSLNATFLALLLIITITLCLLALLEIKWSGITLHEWWRNEQFWVIGGTSAHPAAVLQGLLKVIAGVDISFTLTSKPGGAGDDGDEDAFAELYEVRWSFLMVPPVTIMMVNAVAVAVASARTLYSEFPQWSKLLGGAFFSFWVLCHLYPFAKGLLGRRGRVPTIVFVWSGLVSMTISLLWVYISPPAGARELIGGGGFSFP >Sspon.05G0017250-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:67712486:67716300:1 gene:Sspon.05G0017250-2D transcript:Sspon.05G0017250-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLVTALVRLGPTTALKELDGSQTCVLLENLSNATDLELVAESRVTIFKQDLKWCPAFNKLKTLILSDWVLGHDVHALKVILQRAPILEKLSSVLQFSRSSFFNFLTYFYLIQDHNYEIEMEESCSLVQNFFHLSTSKYLKLDVERVMKWFAIYRSPTVDSHIGEELVEQMLAAAAMQRLHGVFIPW >Sspon.03G0033240-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:53777526:53784393:1 gene:Sspon.03G0033240-2C transcript:Sspon.03G0033240-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPLIRTARCWLLRARVRAMATLPMPPPAAAISFTTRPLYSPSSRSHRLLLARFFSVAPASAGPRGLRAAASAVEVGGVKIAREDVVKEDDPTNNVPDTIFSKIGLQLHRRDNHPLGILKNTIYNYFDKNFTGQFDKFDDLCPLVSVKQGKWYVVVFGLWLTYKCSLLQNFDDVLVPSDHVSRSYNDTYYVDGQTVLRCHTSAHQAELLRDGHTHFLVTGDVYRRDSIDSTHYPVFHQMEGFRVFSPDEWSCSGMDGTAYAAAELKKILEGLARHLFGAVEMRWVDTYFPFTNPSFELEIYFQDDWLEVLGCGVTEQEILKRNGRRDHVAWAFGLGLERLAMVLFDIPDIRLFWSNDKRFTSQFSEGKLGVKFKPFSKFPPCYKDMSFWINDAFTENNLCEVVRGIAGDLVEEVKLIDNFTNKKGMTSHCYRIAYRSMERSLTDEEINNLQLNVREAVKDKLKVELR >Sspon.01G0025650-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1A:90152237:90152470:1 gene:Sspon.01G0025650-1A transcript:Sspon.01G0025650-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRLALLICVALLSAAMANGTRPAAGTVGGGGAAPASPVVATTPTTAGVVRTPPATAAADSKRKVPNGPDPIHNRY >Sspon.08G0028400-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8D:10658741:10659343:1 gene:Sspon.08G0028400-1D transcript:Sspon.08G0028400-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHDGTIELNPAMYGDGVQVPRDIQRVINADVARKRGKAYPATHHGGDTALAGRRSRRKPMPVWPHM >Sspon.03G0044650-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3D:2244734:2245108:-1 gene:Sspon.03G0044650-1D transcript:Sspon.03G0044650-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPHTGDLKNATRVSTCPCARALLPCGCSFPAASSLPSLLTADGLLLPVAGGLHRAPRRQHAPPGFGLLPELSPKVGSRGGSVRPLPSHLSQGPADLYQVAKIIFIAKRRVYSDIKFSKLPLFR >Sspon.04G0037570-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:74211056:74215153:-1 gene:Sspon.04G0037570-1D transcript:Sspon.04G0037570-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLWNLGHGKQPYTSLNQQQGPRITKQPVRRRIGHQPTGKLRLAVCYVNVATAMSDQEAMQSLHFSYKKYSSRLPVPVLAVALVLLISLASPASSCTEQEKKSLLQFLAGLSQDSGLAKSWQEEGTDCCKWQGITCNGNKTVIEVSLPSRGLEGSITSLGNLTGLQHLNLSYNSLSGDLPLELVSSSSIIVLDISYNQLSGDFHKLSSSTTGQTLQELHLGYNSMYGELPSTLSNCTNLTTIDLKNNNFSGELTKVNFSNLPNLKILDLMQNNFSGEIPESIYSCRNLTALRLAYNKFHGQLSEGLGNLKLLSFLSLAGNSFMNLTNALQILKSSKNLTTLLIGANFMNETMPDDDIIDGFENLQMLLLDNNQLTGPIPDWISSLNFLFYLDLSNNSLNGEIPTALTEMSMLKSEKTAALLDPRVFELPVYADTSLQYRKVNAFPKVLDLGNNNFTGVIPPEIGLLKELLSLNLSFNKLYGDIPDSICNLTNLLVLDLSSNYLTGAIPRALNNLHFLTEFNVSFNDLEGPVPTTGQFSTFTNSSFGGNPKLCGPMLIQQCSSAGAPSTSKKKVHNKTAIFAIAFGVFFGGVAILLGLAHLLVLFRGKTSTTKNRSNNNCDIEAVSFNSNSGHLLVMVPGSKGDENKLTFTDIVKATNNFGKENIIGCGGHGLVFKAELPDGSKLAIKKLNGEMCLTEREFTAEVEALSMAQHENLVPLWGYCIHGNSRFLLYSFMENGSLDDWLHNRDDDASTFLDWPTRLRIAQGASCGLSYIHDVCEPHIVHRDIKCSNILLDKEFKAYVADFGLARLILPHKTHVTTELVGTLGYIPPEYGHGWVATLRGDIYSFGVVLLELLTGLRPVPVLSTSKELVPWVLEMRSQEKQIEVLDPTLRGTGHEEQMLMMLEVACKCVNHNASMRPPIMEVVSCLESINAGMQRHESAKT >Sspon.03G0012260-3C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3C:50260339:50260839:1 gene:Sspon.03G0012260-3C transcript:Sspon.03G0012260-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLAPYIPSIKVKVGAVSVAPPHRACRSFAVIRSSNAEGPIRRPAAPPLSPPPPMPPKTPALSTPPTLSQPPTPVKPAAPPTSSQPTPPSPEPKPAEATAPAAALQRPVAGAVTLEYQRKVAKDLQEYFKKKKLEEADQGPFFGFLPKNEISNGRYVCPCKMLSNL >Sspon.08G0014380-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:56295994:56304378:-1 gene:Sspon.08G0014380-1P transcript:Sspon.08G0014380-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RVRCLKTGRVRSSLDLTGLAPDSPIKSRTASGGQGRNLYRLLLYSSLYPRFVLHKSLRSGAMVEAAMVHKAAAAAAAAAAVMRSQRKIKQEPAETTTMRKLVRVFCDDRDATDSSGDEAAAGDGAGARGVRKFLKEIVLEEHRPFCHVTATPAPAGRIAGGGGGKRKAPAVLAPGAAAEQRYRGVRRRPWGKYAAEIRDPHKGERVWLGTFDTAEEAARKYDSEARRLRGPSATTNFPAAPTTPDRVPTSPHAVPAVGELSSAEESSDESQLVVGSPVSVLRAMPGETVRLTTLKPTDAADSTAKKAAPGGGMLSPFSADALLPDQLGEDVFSFTPFGDPAFGGVPFDDLSTPQLIDYLADDPALDLGSLPMWPGGDVCRFSDIGDDDLFSLPAL >Sspon.05G0023060-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:4899662:4899907:-1 gene:Sspon.05G0023060-1B transcript:Sspon.05G0023060-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAKASWMVAMSVGAVEALKDQAGLCRWNYALRSIHRAAKSNAPSFVQAKKMAPAEKRRADKAEEGMRTVMYLSCWGPN >Sspon.05G0039190-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5D:65023479:65028548:-1 gene:Sspon.05G0039190-1D transcript:Sspon.05G0039190-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSERSGSGGGGSGDRIHYPALTATNYTSWSIRVQAIMEDQGVWEIMEPSGEASGQDATAVAAAKAKDRKAKAHLLQCIPDDLLMQVAGQKTGKEVWDTLKARFVGEERVKEARLQTLKSKFDALRMKEGDSIDHYAGQLTGMSVRYSNLGGSLDDQALVKKLFDTVPERFIQVVAGIEQFYDLKKLSFAEAVGRLKAFEERIRRGGSVVKSEPGQALLTQAEWEARQKRASGEGSGKNRSHDRGGGSRGRGRGRGSSAGGRGGHGDGSVKRDKSHIKCFKCHQYGHYANRCPSEEKKDEKKKEEAHHARAVEFEPTVLLAETGVMGIQKQTGGGSLLSENRQTELHLNEVKIFPELHYTSNGGSCGEVWYLDNGASNHMTGDLHKFKDIDSNVSGKVTFGDGSTVEIQGRGTILFQGLSGSQWALYDVYYIPKLKSNLVSLGQLTEIGYRILMDDDLIEVTEKYSERMIMKVQRSLNRLYKIELNCVEPVCLKSSVDDESWLWHGRLGHVNFNSMRMLVEKEMAGGLPLIEHPDQLCQSCLAAKQTRSPFPKMSSWRADEPLELVHVDLCGPITPETAGGNKYFMLLVDDCTRWMTVSILKTKDQASEAFAKFKAEAENSLGYKVKCVRSDRGGEFLAAAFKNICEEAGIRRQLTAPYTPQQNGVVERRNRTVMEMARSLLKSMNVPGMFWGEAVRHSVHLLNRLPTKPMGYRTPFEAWNGRKPQLGHFRVFGCKAHVRPASPHLKKLDDRSVPMVYLGVEEGSKAHRLYNPQTKRIVVSRDVVFEESVAWEWNAEFGQNSDFDVEKTVEATIQPFVVGNNDADLDGDSQANQPQYDSGGVSDGLHDAGFGGDSVQQGGNAMSDNDHGQASEGENSVNHGAGSDQVELDDNMDIDHDDAPVRFRSLAEVYEDAAEVELASDAEIEVNALLVVMEEPTCFQEAAGNSDWMAAMDNGEVVKHKARLVAKGYVQKQGVDYEEVFAPVARLDTVRLLLAMAANWGWEVHHLDVKTAFLNGELVEDVYVSQPDGYKVKGNEQMVYKLSKALYGLKQAPRAWNVKLDSSLKRLGFRKCKSEPAIYTRGVGKSKLILGVYVDDLFVTRGDPGEIAVFKKQMTSEFEMSDLGLLSFYLGIEVDQQKDCIAIKQTSYAKKVLSQFGMADCNPTKVPMNPGYKLYDDKEGLASLINSAVIVFLILTVMRRPAPPPPKLPVYRPPPPPRPELPSSSAAGNALLPSSSDTGFCVLNMQADPPSPDEVTMVEEGSESDGGGGSGDDVQMLDLNFPPVSNNEEN >Sspon.02G0045060-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2B:107091506:107092675:-1 gene:Sspon.02G0045060-1B transcript:Sspon.02G0045060-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPTSPAPPPPALPSSVSSPTPVSSAASRPSTAHPSSDCSEGDSVSTQPNRRAAPHRQLAPSHEPLASAPPFLPGNPTEHYWRIYDARDGRVLLSPSRINSDAAAICCLSFSYLAVYDPLHHKHVRIPPIPNDLVACTCTEQHDCSCCCDCDCKFDPFLVPAAADEDDLSFRVMCTVLPRGSNVLETFVYTSVTGKWRCVASVSDAYYKHVHYLSYIERHYANGCFYWADSCKENMLVLDTNEMKFSIVRVPPGPTDDAKVLVKAEEGKIGWLWLVLRENTLHLYSEASGSANDCGLWCHDAVVSLRDSYQWFYGGAADQGYALLQGIPKGEYVAWVRSKQSNEKTTPKTNAHYFMVELQTLVAEQLCVTEFDTEPAFLYASFPPPFALP >Sspon.04G0010250-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:29715141:29719564:-1 gene:Sspon.04G0010250-3C transcript:Sspon.04G0010250-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MWVTKQQVSTCCFDASGRILGQMPLFDKKPFSLLEPPKDLDSKEKLQIICLETGNHMGSIASTLGLHELINRIYASLLEEVFEGIELYANKDGAVAPCKILKILDSGGTKMCEVGWIRQGKVVTNTSVIKAADLFYRRAPVSRNTLKIFIRDATSQSNPWVIHENLAKILAYLWTPRQRNGEYPTLIYFVSHKKGRKRQENGTIEDGRKKLKTDEEQAYVMIKYPIDDLLVRPNADDPALFKRPPLATDFRVPRCSVGDLLMDEGDYFTVLQNRKRKSKVSSVTWVEYLCDFLEMTKNEELSTNIATVRRGYYGLIDTDIKLKILRELVEEAIQTSAIREILSDRVDQKQVLNATKRENTRKDKQEQNLNTEIAMKKEENQTDAVQGGHEGVDELVRGKENDKSNISRSRTEGKQHLVRHLETEIEKLSIRSSPLGKDRQYNRYWFFKREGRLFVETADSREWGYYSTKEELDALMGSLNVKGIRERALKRQLEKFYSKISNALEKRSKDIANKMLLEEGVLRRSTRVRANPKDSPSMAFLKYVNKWKDN >Sspon.03G0018720-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:57820655:57828946:-1 gene:Sspon.03G0018720-1A transcript:Sspon.03G0018720-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VALLFTEHKDLLEEFQHFLPDTSVAPQAVASRGGLVKREDRGSLVPPANRTLHNDKRDRAYLSHADRDFSVDRPDVEHDRQRRRLDKEKERKVERDRRDYEREDKDGEHDSRDLEIGQRKRKPFPRKMEDNAGAEAHQGGPSENHGIHSVSASSYDDKDALKSVYTHEFHFCEKVKEKLEHEAYQEFLKCLHIYSQEIITRSELKNLVNDILQHYPDLMEGFNEFLEHCENIDGFLAGVFNKRPTARVVKTEDKEKDRDRDREDRDRDREKEREKERERLDKGSTFNSKEGSSHKPPMFSGKEKYNLSKPISELDLSNCQRCTPSYRLLPKNYPMPPASNRTDLGASVLNDHWVSVTSGSEDYSFKHMRKNQYEESLFRCEDDRFELDMLLESVNAATKRVEELIEKMQDNSVKPESPIRIDEHLTPLNLRCIERLYGDHGLDVMDVLRKNASVALPVILTRLKQKQEEWSRCRSDFNKVWAEIYAKNYHKSLDHPLLTEIKEINEKKRKEDDVLLAIAAGNRRPIVPNMSFEYVDSEIHEDLHKIIKYSCGEVCNSSDQLDKVMRIWTTFLEPILGVQRKHGSEDPDLVKPKSRTTKSGLANVGESNTGAGIVSKQSNGDESEQGPSSRARLANGVAADTQNGFHDADRTARRGEEPSNAILNGRVHGAISADETPSLSTQNIASTERSAENAAVVRTEQHKANSELTPGVNASRSSHDAVEAAGEGKTGNETLLSAEGGETGRLGPSLNGTSEGIKGRLNNDGSAPHTSKVEREEGELSPNGDFEEDHFVPFEDGTSKGKEGSTSRSFQGRPGEVVPSSTEAAGENDADADDEGEESAQRSTEDSENASEAGEDASGSESGDGEECSREDHDEEEEDMDHDDQDAKAESEGEAEGTTETHDVEGGISLPLSERFLYSVKPLAKHVPTALHDREEKFSRIFYGNDSFYVLFRLHQILYERLLSAKTNSFTAEKKWRNSKDTSPPDLYAKFMSALYNLLDGSSDNTKFEDDCRAIIGTQSYVLFTLDKLIYKVVKQLQAIATDEMDNKLLQLYLYEKSRSPGRFFDLVYHENARVLLHDESIYRFECCSSPTRLSIQLMEYGHEKPEVTAVSIDPNFSSYLFSEYLCSTSDKKLSEGVYLGRNKRKYSNNDEPSDSLKAMDGIKVVNGLECKISCKTSKVCNLVSAGHSISGFIVVENKAYLAMPWMQVSYVLDTEDFLFRLQKRRKILRCGNVPDSSQISKIYAAKVQRFNRRCLLYVVSDRYSVVMRACGVAFGLVAWLRGCTS >Sspon.04G0025140-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:28762623:28763675:-1 gene:Sspon.04G0025140-2C transcript:Sspon.04G0025140-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSRKAWIAAGLGARLLMLAFLAMTVQLTRANHTRFNYKDDYNKLQSYTYMVAAAVIGMAAGVLQLPVAFYLLCKSRRVATSSSSSALIFDISLCA >Sspon.03G0026070-1P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3B:1964937:1972767:1 gene:Sspon.03G0026070-1P transcript:Sspon.03G0026070-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding PDLPPLEVVYSDLYLNKLSCELVERCANNWYERQSILGITMSSLDCKLILDTLAKLFADSDARWERRFSDAQAAHVTSQVASAPTQAVAVGATVVADNWGGLFDGDDVSIAADQIRNDDGVLGTDLASSVPDALLHGNQGRALTAALEQHDIQEGCVSALDSTVEPNTDPEAGHATLVAVESPHLDDIVGLDRLSILPDALLRDIVSRLPIKEAARTAVLSCRWRPLCAAPSVSLVRSNPVIPMRFPKFPKGVISDNDGVCVADELFRFTNLAGKPTIEREKVPIEATLVFLAVQIKQATIGSTHAPSTRCRGRGPRRRRRPPRLLVGGRRPRLRSRALLPQPGAVHHRVRAPPHRRRGRVGGFGRDALRQSRRVVRLRHRQQRAAHTREGGVRSRLQATQRAQGKHVELHTVAVGRGCAVGRFCDDSIRYATHPADMLATVADFNPNDQDNPIYGHMVATLAMACDAFGGVADLTREGPSFLWFLLCNPCLKFHLVRTDAVPEALCQFLDDNSELPSPSPTTCSTSSRSHDARVVTRVPRRITISNHGRTAVDRLERVCTVRLCLFSSLFGDPLCHVRHSLVHEYDEKVPPSTGNVHEGLKAVIAQFYTDQLLTVRSHVSALIHAEQFAAGAGKSELCFNQVVMFARHDDATVLWWVRAKDASSAATTAYIGRGLMVTTGETAERIFPEFEGAQSFTGPVSHIAVYTCCATPRGKHVLAVGYGNPGMKVCLDYLEDDISGGDVPLYVNHYLTCSDPNSTFGLLPHCGRNLLRLVGSCVPSGKRHIVYMPSGSVVAWQGLHTVHRNAVVCVLWLEWQALGMFQATTEGMEVQALCMSFGIHGSHCKLVDEQCCGLRASRNSRRGECQDAACHVGLLDRLAGTARKEAVDYGRKRLAAGSCSCPSLPIRLQMDRGRRGGGAGAVGDSRVRRPAATSHSQVGQEIVPTRIREAPTPTPKPKPTSPQPSVQRSRFLGLRASTAAMDVDDPSPRVGGGSVADPPRIRRLEESVVNRIAAGEVIQRPSSAVKELVENSLDAGASTVSVTVKDGGLKLIQVSDDGHGIRVENLFYNMVARRKTLQNSNDDYPKIVDFISRFAVHHINVNFSCRKHGANRADVHSSSTSSRLDAIRNIYGASVVRDLIEIEVSDEDAGDAVFKMDDLQLFLYNVNDHVDNPPLQFWSELVSLLNQERIIETIKNTIEEKLRNSNTTRIFQTQLQAVNSSALTQVCTQKDKGTDVKMASGMKSQKTPVSQMVRTDPRNPSGRLHTYWHGQSSNLEKKSDLVSLSKELMYQQALCRFGNFNAIQLSEPAPLQELLLMALNDDELIGDENDEEKLEIAEVNSKILKENSEMINEYFSIHVDQDGNLTRLPVVLDQYTPDMDRLPEFVLTMGND >Sspon.02G0003340-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2B:19298799:19300247:-1 gene:Sspon.02G0003340-2B transcript:Sspon.02G0003340-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GTIDAKELNVAMRALGFEMTEEQIRQMIADVDKDGSGAIDYEEFEHMMTAKIGERDSKEELSKAFRIIDQDGNGKISNIDIQRIAKELGVNLTLDEIQDMVQEADRNGDGEIDFDEFIRMMRRTSYGY >Sspon.01G0003240-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:6196260:6198743:1 gene:Sspon.01G0003240-3C transcript:Sspon.01G0003240-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGGEGGAGGSGGGAGGAAAGGGGESAAGTGARTLPRVPTWRERENNRRRERRRRAIASKIFTGLRAHGNYALRRHCDNNEVLKALCEEAGWTVEPDGTTYRKVLIRRSVPCLCLLGCKPPGSSDAYMAGFIPGCSPVSPGMSCPVSPGMVSCPVSPRAYNGLSSPSSPTHFGGRGSSFFYGGASSSRGTGTGGGLLPWFNNISHSDDAYADGYSFSAPVTPQNGSPPRRKMARWAPDNATGAAAAGSNVQSPWATSPGPSRYASLPVTMPHTPVRGKAVAADPVSLLTGLQISAAAANKSPAYSMFDFDAGSYSSRPGQSSSAPWAAAAARGAGDGDTQMAPHGFSFGWSGGAFNAWEGEKASGAFSAWEGEK >Sspon.08G0006050-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:18887844:18890600:1 gene:Sspon.08G0006050-3C transcript:Sspon.08G0006050-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAVLGRHDTHKRSFHGSKLEAKMVDAMQQRASHGTSLKSFDSIIMKFPKIDESFRKCKTIFEQFDEDSNGEIDKEELKHCFQKLEISFTEEEICDLFEACDINEDMGMKFNEFIVFLCLVYLLNEPAVSEAKIKMGLGNLEATFETLVDAFVFLDKNKDGYVSKDEMIQAINETTTGERSSGRIAMKRFEEMDWDKNGMVTFKEFLFAFTRWGLMKMRMTMNRDCEG >Sspon.03G0001340-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:12031506:12034119:1 gene:Sspon.03G0001340-2B transcript:Sspon.03G0001340-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFYGSSFSDFSLSSAASPTTAGWNCTGTGQRSGTAGITSMASAATLPKLQVRPRCNSYLQVTDQVHAHIKTCGACKCQTRIHGLLVSAGGRRWRHTFLPVSAVGTGRGSSVTEADRKSDLSLENVKTSVVSRDDEKINVRVQLPGKATHKVFDEALTFLARDAPPVPGFRKSKGGKTSNIPSSILLQMLGKSRVTKFVLQEILSITIEEFIKKENLKVKPEIKTTQSEGEMELAFAPGSAFGFNVILQLEKSDSDEEDSEEKPDSDEDSEEKPDSDDDLKEQKSGSSE >Sspon.08G0024420-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:65391410:65394338:-1 gene:Sspon.08G0024420-2D transcript:Sspon.08G0024420-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTVMAAAVSFPSSSAASAVVKASPTSPCTAPHFLSYRPRAARAAIRAQASATDTAVEAPAKSKKESKKQEEGVVTNLYKPKEPYVGKCLLNTKITGDDAPGETWHMVFSTEGKILYREGQSIGIIADGVDKSGKPHKLRLYSIASSALGDFGDSKTVSSPLLSSALFEPTILHHFFVSLCVKRLVYTNEAGEIVKGVCSNFLCDLKPGADVQITGPVGKEMLMPKDPNATIIMLATGTGIAPFRSFLWKIFFEKHDDYKFNGLGWLFLGVPTSSSLLYKEEFEKMKEKAPENFRIDYAVSREQTNAAGERMYIQTRMAEYKEELWELLKKDNTYVYMCGLKGMEKGIDDIMVSLAEKDGIDWFDYKKQLKKGEQWNVEVY >Sspon.03G0000500-4D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3D:7413819:7415437:-1 gene:Sspon.03G0000500-4D transcript:Sspon.03G0000500-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLIVPSDCPPQGPISKDAWQKRFFSVAPPAALVFFFVLIFVAGAIVTLDHKQSLSILQLQPKGVIAAVEMRPPATSELRPSATSELRAEPAEEPDICEHKCIPPGSEPLPRGIVQDKSNFEMESLGGNPEGKENGNGRQSKSLLAIPVGIKQKAIVDKLVTKFPDTRFTVMLFHYDGEVDGWRDLEWSGRAIHVAVRDQTKWWFAKRFLHPDLVAEYDYVFLWDEDIEVESFDPLRYLRIVRKEGLEISQPALDRRSQIHHRLTARARSGDVHRRYYKTNGHGRCYGNSTGPPCTGWVEMMVPVFSRAAWRCAWHMIQNDLVYGWGMDYKLGYCAQGDRSRNVGVVDSQYVLHRGIPTLGDGGKATVSASVSSALGTDRLAVRQRSYTELQVFNRRWKKAVAEDGCWTDPYLNSAATTG >Sspon.03G0046470-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3D:38438323:38444206:-1 gene:Sspon.03G0046470-1D transcript:Sspon.03G0046470-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding YGDLVRFFLSPFYHLSESHLFYNMTSLLWKGIQLETSMGSVEFASMVAVLLGLSQGITLLLSKGLLLLGDYTAYYNQYAVGFSGVLFAMKVVLNAWSEDFVYLHGMVIPAKYAAWAELILIQVFIPGTSFLGHLSGILAGLVYLWLKRALNGPDPVTLLMSSIAKVVTWPVGAGLGAGNQQEILLEGYGDAQPAPMTIQFPQIYVRCAALHKRTMLFRRDRIIKLEVMENSQLMRYAEGGCKDSTDDETVTDIILGCSKSFGTHPLVHLHHSYPLTCHLLAPTSGEPSRHTASSVSCSCPRSTSYATSPPSSCSSTWLWWRSRRFRRRRAQLSGVAALPAMQGCMLNSVWVVAHSATTTPSEHTLDDAVGFALHTALLCYITLIVFLQCRLGAGVGNESSYRRRPRGFVLDPSKGMLPLLGLQVLLEYGRAGSARPPATAALLAVNVLVFLRPGALDAILPKKAYVALNPNIFFKFRDLKAFFLSPWYHVNEVHLFCNMTTLLWTGVELETSMGTAEFASMVAALLGLSQGAMLLLSKGLSLLGEGAPYYDEFCIGFSGVLFGMKAVTMSARPGDFLHLAGMVIPAKYAVWAQLFLTQALIPDSSFVGHLGGILAGHVYLWLKGSLNRPGPGSFNRLGRPGSFHRPGPGPLSRLILCGTRAMALPVSAELGAVHLQQEDA >Sspon.01G0051930-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:18829384:18832263:-1 gene:Sspon.01G0051930-1C transcript:Sspon.01G0051930-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding PPRLPRLLPSSPWTASSTSASTAAERGQLFRRRRERERGRERGRDGRVPDLSRAALSARSPPTDHRPTPRSESKLQLRLVVVGRYIRGGGSSGRAGGLRRCRRARSGRPWAR >Sspon.06G0018660-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6A:100529615:100531494:1 gene:Sspon.06G0018660-1A transcript:Sspon.06G0018660-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRDGPDADPASSSTSKPYSVIGIYGITGSGKSTLAQFVCDYEKGVGHFNLIMFIHVGKTFSVGEIFRDMLEQITQQRPSDTNGPKSLKAELKDLTSLKAELEKELQDKCFLLVLDDLWVNADNMKERQILLDVLSAGQSGSRILVTAQKKDAAAALGAQEQMQIPIPDLEEEQYLSMFMHYALEVSQVTSTDYGRYKAIGRKITKKLRGSPIAARTVAARLKSNNNIGFWETTADLDVLYETMGALWWSYQQLGVDIGRCFTYCSIFPKGYEIKRDELVRIWIAQGFVNTRCNTTEELEDIGQSYFDQLLTFSFLQVPRTIFGEEMGGFTIHDLLHELAERVSGSEFFRIGLNGSPKDIPRGVCHLFIKTNNVANIVDKILGLGDLRTLIINAESPEKIYHRNHLEKLLRIYNRNHDLGKLLGRLFMRLKKLRVLIIVLNFSILELSVPASIVHMKHLRYLGYHFRDVSSLRASIIDIPCFGAFWPEDMANLINLRHVPDCLPYYPILNIGRLTSLQTLPRLVVMVGQGYGLKQLMQLNKLRGTLSIVGLEFVGSKEEALEAHLTHKQRLRELRLYFGKDSQRSFGPDVEAEVLEGLCPPGFTV >Sspon.07G0007460-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:15372896:15375747:1 gene:Sspon.07G0007460-2C transcript:Sspon.07G0007460-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNELDLVSPKLQLKALKHELPCAAPVFTVSDLELQFLDMEASLNGCSHAMRRGGFEAEEERLSI >Sspon.01G0058910-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1D:36604191:36605201:1 gene:Sspon.01G0058910-1D transcript:Sspon.01G0058910-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVICLVQEAVVSSPGNTKKPRAAQQAGSSAEPKPRPQLDAALSCPRCFSKNTKFCYYNNYNLNQPRYFCKACRRYWTQGGSLRLVRVGGGCRRNKRASGSSSSSAASSTAATSAEMDKTVTTRLMLTAATTSTVSMPSPTTGLLFPNDMLPPFTPTGGSGGLDLAMDEDQQGFLPFTPLSLSDNQAPELSPGGSDTGFLPFAPLSLSDDQAPELELALGGSDTTPTLLDMLSGAYFDAGGSSSSYDAGLAVNGGSNGMDDMSFLLPEMGASATDPMETQLMDGMNDDVAGELQWQSYINDDGGYAAEPAAGVEQQQQQQHVVGDQQINNTNNSDGAE >Sspon.03G0017470-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:78698958:78705248:1 gene:Sspon.03G0017470-2B transcript:Sspon.03G0017470-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFQDLEAGHVRGAPLGGGRRNGRGPAGAAGAGASQAVASGVFQINTAVATFQRLVNTLGTPKDTPDLRDRIHKTRQHITQLVKDTSDKLKQASEADHRVEVSATKKIADAKLAKDFQAVLKDFQKAQRLAAEREAAYAPFISQAGLPQSYNSSEVNNGADKLAEQRTQLLESRRQELVFLDNEIVFNEAIIEERDQGIQEIQHQITEVNEIFKDLAVLVHDQGAMIDDIDSHIENAVVSTSQAKGQLSKAAKTQKSNSSLICLLLVIFGVVLLIVIIVLAA >Sspon.07G0009260-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:24331460:24334366:-1 gene:Sspon.07G0009260-2B transcript:Sspon.07G0009260-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MELSPAGRWADLPEDIALAVASRLQGSLADSAGSNRAQEADVCALGGCSRSWRSACDADCIWERLFRCRWPAAAAEASASSSRVQGWKALYITQHRRMAVAISNVVKFVGSSLNNGSLESEYYLKAIADLTLIPDIGFVDVQFFLFSRNHSVIINLIGLHYSIASLHVLPTEVSKVLQAHRVSERIVCVNSFKLGRWFYGFRLPDEYESRKISLGELTMAEGAEILAILNRGAVHEVFRLRISLVNVDK >Sspon.08G0020710-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8B:25433508:25436427:1 gene:Sspon.08G0020710-1B transcript:Sspon.08G0020710-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPRICFTLGSHVRFGSLNFLCTGVEYDLVLLPPSVDIDAISEALSNLYLPTHHTEAIAEVLTTTETASSTSSDEDDRSWAGADFSRLDDPGALRHFVGICDYLLDGGDSDDIGYELTEGRGPKLGLQVLVEDLVYGDKGSDDVRRWLETLEEVLVVSQPLPHREAFNVLKLHVGGRLGHCQRNGRSSMDTVLDRLPDQCPRTKEALLPTKARRRGPLTGTLTVGLCWLRAGKHRLLLQQCHHCLDASQLFPGFLQWGRDTPARRVLGLPIPGCGDDARIKATAWREGAPSPSPSAPTHEPLEELPCSITMAEVGKKDRKQGRRKGDARVGHHGSGYKGPQGGFSTPMAFNTSPIRQLPHSSPEGATSVSRHTLGKRTVLTDGTSPPPHLPTHRDTKRHSNCYPTRPLVIPRRVHPLDSKPLKGELIPPRLQGLHPAGVLTHTHQIAFSKTKTTTHPNGITRAHTYPSVLLKNTKRNDTVAEGPLGQSLAMRGGSGASPAF >Sspon.01G0001370-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:4290178:4291394:-1 gene:Sspon.01G0001370-1A transcript:Sspon.01G0001370-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding NSVASTRFLLQDEFNIQTTQCDNCIIGFMFCLQQVACIFSIVAAIVGSEELSEASQILNCLSDLVYWTVCACMQTQHKVEMDKRDGKFGPQPMAVPPVQQMSRIDQPMPPPAGYAPQPAYGQPYGGYPPPPPGQGYPPAGYPQGGAYPPPAQGYPQGGAYPPPGSYPPQGYPPAQGSYPPAQGSYPSTGLPCQV >Sspon.04G0000160-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:554225:556190:1 gene:Sspon.04G0000160-2B transcript:Sspon.04G0000160-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPHATKDLVAAPATAATAAAPPSRSLPDALAAARPFLRGEEADVDPALPGLAAVLRAAGAGECWHKHGTFLAHLLDVHRILRLWGAPDAVARCGLYHSAYSNSYVNLAIFQPDTGRAHVAAVVGADAERLVHLFCVVPRQQLVHDDLLFHYSDQDLVDDLASSEASLREARRGVFDDAQPWRRKIQRLLPPDGITVKHIRTGEDVALSRRIAATFLLMTIADFSDQLFDWQDRLFDNTNGRLEFSGNTWTSLWPGTGKPGLWVTSSSRMGALYTLIIREEEIYITQRAHATGQQGSNGSAGRDEDIDLVTPPVFNGCTEVLNADDQKVARDLYWEAVCSGDDASDWLKVEELLQQSIAKNPFVGEPHLVLAQVYLNMERYGDAQMQAEEGLKLLLEWGSSWDKRMPWEGWVSWGRAMLTKASEKDWPHTSFGMINLGLVK >Sspon.01G0000170-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:804965:808943:-1 gene:Sspon.01G0000170-1A transcript:Sspon.01G0000170-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPGAAASSLAAAVLDAATPPAAAAATSRVIDYLAHHADDQPRAFFADAFPSLLYRLFVSAPSSPSFIDLAAADPALADLLLSLLSPTGPLLAAAAAADRLALIRYVFPSERLPGWLRLALGSPPSTDLASPLLSGRVASELHLSVFEYYLFWFAYYPVSSASSAAPAASASNPRLTSRARLETWVSTLATTAIRKPGQKPESSLYLRLLYAYLRELVPTRTPLGKTPGGGTLLHRPPSDGADAIDSFARAEFFLHTLVQFWLVGDDFSPLPIQTCHALGLRLPSRARADLSERPPSPGLGDAVKLLVMYLNCSDGRALVDARMPSPQGMPVSNGLCDAQVGFWNPLIQRPLYRFVLRTFLFCPIGGSVIKNATQVFFVWLAYMEPWKVTQQELDGYGKQQAGDEKEPQTTKMVYNSSWRTYVLSNYLFYNSMIVHFLGFAHKFIHSDVASVLLMVLKVLEVLSSSPELLDLLHKVDAACHSRLVASSPASDEVLKYVPSIREQLKDWEDGLSESDADGSLLHEHWNSDLRLFSYDENGAYNLLQLLLIRAESEILRLPGDTQQALQTLDSIKSQMKTAFQGHIERINGNTSLEKLHNQHHEVRGEVFTPKHPSLRKGSWADVKYKGEWMKRPISETEVAWLARILIRLSDWLNDALGLDCADAEGSPPGATYVQFDGNELNTVGGPKDAARMALGAVCSLMVLVGQAVLKLMRSRRVKISLRVFASKKLLSAAVLLYAVVAVTRNVSR >Sspon.02G0014660-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:39102918:39105667:1 gene:Sspon.02G0014660-1A transcript:Sspon.02G0014660-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALKASSGDNRTRSTVFLCIFIGMCCFFYILGAWQKSGFGKGDSIALEVTKRTDCTVLPNLSFDTHNSKASGSSSSDLVSPVKKFKPCADHYTDYTPCQDQNRAMKFPRENMNYRERHCPAQKEKLHCLIPPPKGYVAPFPWPKSRDYVPFANCPYKSLTVEKAIQNWVQYEGNVFRFPGGGTQFPQGADKYIDQLASVIPIANGTVRTALDTGCGVASWGAYLLKRNVLAMSFAPRDSHEAQVQFALERGVPAVIGVLGTIKLPYPSRAFDMAHCSRCLIPWGANDGMYMMEVDRVLRPGGYWVLSGPPINWKVNYKGWQRTKKDLEAEQNRIEEIADLLCWEKVSEKGEMAIWRKRVNTESCPSRQEESTVQMCESTNPDDVWYKKMKACVTPLPDVKDESKVAGGAIKPFPARLNAVPPRIANGLIPGVSSEAFQKDNKMWKKHVKAYSSVNKYLLTGRYRNIMDMNAGLGGFAAAIESPKSWVMNVVPTIAKMPTLGAVYERGLIGIYHDWCEAFSTYPRTYDLIHASGLFTLYKNKCSMEDILLEMDRILRPEGAVIIQDDVDVLTKVNSLALGMRWNTKMVDHEDGPLVREKILYAVKQYWVGGNQTA >Sspon.01G0023980-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:83161394:83166315:-1 gene:Sspon.01G0023980-3D transcript:Sspon.01G0023980-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSKIKLMIKIIEEDAESFAKRAEMYYRRRPELMTLLEELYRAYRALAERYDHAAGELRQAQRKIAEAFPDQVLMDLDDDLPAEISSIGTDMDNPDMAPYFLSFINASDLKRHAKGKPTKIFCRECNIKYNPCHTKCALADDQDYERLHKELASLSQENHDLKDRISSMLEQGNKAECEILRLKESLAQQEAEKEAAVSLCQQSTARLQNLKSEIMHTQEKFNRLKEEMQTEPQPLRKADEHFFLLERANQDLHLELDNLKLLLKQKHDELNEKQAELEKLHISTEEEHLKRMQAEMAQLSLEKQLSLAQDKLRHLALEKQVEVHKIKEIETSKVVLQKELEKILEENQKLNDQSHSSSAVIIRLQDEIISMKNVQRRLEGEIFQHVEEKKTLKHELSYLKEDRSHLDRKHCTIKEQIESMNLNVESLQALAQELRDGNVELKEIVKNHESIELVHIDNLRKLERMSETNAHLEKSLSAATAELEGLRENKVALEESCMHFRSKISTHQSERAVLVAQIEVVSQTMEELLAKNVFLENSLSDANAELESLRLKLKELKESSEALQNQNSMLQSEKRTLVHQVEGITVTLLNLERQYKELGSRHSDLQKEKDLVLDEVIKIQEQIKLERKEHEDCTQSSNSRFDALQKKISLLLEEGRNREVQLGEEELKIVKAQIEIFVLQQCLNDMVEVNSEIAAQLQKNKEICKVQEGKMYSLSQHNQKLTEGIDSVVRVLHLDQKYESLDQMKLEIIVQLILNEISCLLNNISDAQDVKQNELVEKSLVVTLLEHFGQEVADLRSERNVLRQDQQIKNEELLQLQREKEELMKISDEFLEEVEARNHKVDELKAEAKFLVVRLSELQESRRSLQSEITKLLQSNSFLSNELNDSIEKQKMFEHDFSNLVTEAVSKDILSVIFRSLHEERTLQLKSLHNNFGCLQTAGSELYQEIKMMNKRLGDIEIENKYLGKELSRTMSIYGGSIVQTATGKGNPGRRDANLLNSSRKTQQDCHVNMEVEQQEEVGNADFQESNEMLQDEVRKLRSEVEMLRSKEKAVFNIKSCDDEIMKLLANMQMAIMNAALFKEKVLELIITCESFEISAMVQKEVLKEEIIQRNSYVDELKDKLNAVEIENRRLKVDLNGDFTILGSLQSEVSALEEQTLSLANDRLQTNKLSMEENALSPYLVKTTTQSGGEENALRMVKSMELQKLHGTIKALQKVVTDTGVLLEQERLDFSANLQEAKKQIEMLKLKEILDDDIIEMNYEQMLKDIQLDLIQTSSGRKTSPFGQERKNVAQVDDKMVNSRGTIGPSHGHVADDLRPPQSESFGTENNLMVVKELSIDKQELPGSLATEPHEEWRNKVVERLSSDAQRLSTLQSSIQELKTNAETSEELELESVRYQIREAEGTIMQLIDTNSKLSKKAEEFTSPDGLDAENSDLRSRHQRKILERARKMSEKIGRLEVEMQKVQQALLKYEEEQSSRKTSKALQRRSKVQLVEYLYGRRRDSRKQQRKSPCGCMRAKTIDD >Sspon.03G0020350-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3A:63427836:63428162:1 gene:Sspon.03G0020350-1A transcript:Sspon.03G0020350-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPADLALQRQLQAPEAGAASSKVVTALWVLGLFTASVAVTLAMREPLPVPGVHKNAYLLALSVAFFAGVAGVMAAVCIGWPMTPTAARRRATGRKKLVYSAAAVAVG >Sspon.02G0010260-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:27214995:27218885:-1 gene:Sspon.02G0010260-2B transcript:Sspon.02G0010260-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:At3g59380 [Source:Projected from Arabidopsis thaliana (AT3G59380) UniProtKB/TrEMBL;Acc:B3LF91] LVSLAADLFPPLAAEARRAATRRRDRARSSTTWGPIVLATSSGAASALCSPGVPATGSGAAGTQLHSWPELADVVPVPQDDGPSPVVPIAYRDDFREVMDYFRALYFIGERSPRALRLTAEAIELNPGNYTVWHFRRLILEALDFDLLEEMKFVGKIAECNPKNYQIWHHKRWLAEKLGPDIANKENEFTMKILAIDAKNYHAWSHRQWVLQALGGWETELEYCNQLLKEDIFNNSAWNQRYFVITRSPLLGGLTVVRDSEVDYTIEAILANPQNESPWRYLKGLYKGENNLLVDDERISGVCFKVLKNDWTCVFALSLLLDLLCTGLQPSDELKSTLEPIRSSHPETADADPATVVCCILQKCDPLRVNYWSWFKATLSQIS >Sspon.03G0023280-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3A:71514731:71516914:1 gene:Sspon.03G0023280-1A transcript:Sspon.03G0023280-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAIHIEWNFAMRGDDGARAVSFFSSCELMASVKAYLLLFTAFFFSGLVQLSMAQDKQPAAVRVIDAKGVDQAIAYLLMFAALF >Sspon.04G0006800-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:18764097:18787626:-1 gene:Sspon.04G0006800-3C transcript:Sspon.04G0006800-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MWASLHKPVAHANLHLLIAVSACSGPLHFGTASAVAAALTTRRSSPSRGVAATAMPSSSPSPPPPAEETTASAAAPAPAGGFRLTEPSFLESLMPKKEIGVDRFLAAHPEYDGRGALIAIFDSGVDPAAAGLQTTSDGKPKILDVLDCTGSGDVDTSKVVKADADGAIVGASGARLVINPSWKNPSQEWHVGCKLIYELFTDTLISRLKKERKKKWDEENQEAISDALKQLNEFEKKHPKPDDTVLKKAHEDLQSRLDYLRKQAEGYDDKGPVIDIVTWNDGDVWRVAVDTQTLEGNNDGGKLADFVPLTNYRLERKYAIFSKLDACSFVANVYNDGNLVSIVTDCSPHATHVAGIAAAFHPDEPLLNGVAPGAQLISCKIGDTRLGSMETGTGLVRALIAAVEHKCDLINMSYGEPTLLPDYGRFIDLSNEVVDKHRIIFISSAGNSGPALNTVGAPGGTSTSIIGVGAYVSPAMAAGAHCVVQPPAKGMEYTWSSRGPTADGDLGVSISAPGGAVAPVPTWTLQSRMLMNGTSMASPSACGGVALLVSGMKAEGIPSSPYSVRKAIENTAASISNAPEEKLTTGNGLLQVDRAFEYAQQAKKLPLVSYRISINQVGKSSPKLRGIYLRGGNACRQTSEWTVQLDPKFHEGASNLEQLVPFEECLQLHSTDTSVVQIPEYILVTNNGRSFNIVVNPANISSGLHYFEVYGIDYKAPWRGPIFRVPITVIKPITLLGEPPLLSISNLSFQSGHIERRFINVPFGASWAEVTMRTSAFDTPRRFFLDTVQICPLKRPVKWEAVVTFSSPSSKNFSFPVEGGLTLELSIAQFWSSGIASHEPTCVDFEIVFHGISIDQKVTTLDGESPLLIVARSLLASEKLVPVATLNKIRMPYRPVECNLSSLPTDRDRLPSGKQIIALTLTYKFKLEDSAEIKPHVPLLNNRVYDNKFESQFYRISDSNKRIYSSGDVYPSYVKLSKGEYTLHLYIRHENVQFLEKLKELVLFIERKLDKKDFVPLSFYSEPDGPIVGSGTFKSTVLVPGEPEAFYVGPPSREKLPKNAPPGAVLVGSITYGTVSTFNKKDKQNHHAPVSYSISYTILPSKVDDDKEKGVSVGTKSVSEQLDEEVRDTKIKFLSSVKQQTEEDKSAWSELVASLKSEYPKYTPLLSKILECVLQKGTDDDKISHEKEVIAAADEVVGSIDKEELAKYLSLNSDPEDEEAQLVDALYQKCLALAEIESLKSDESIEASGKDIFEENYKELIKWVDAKSAKYGTLTVLREKRCGRPGTALKILNDLIQNESEPKKKLYDLKIQLIEEMGWRHVSTYEKQWMQVRFPPSLPPF >Sspon.04G0002440-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:50717615:50724162:1 gene:Sspon.04G0002440-4D transcript:Sspon.04G0002440-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding EEPVEAERRPGVRGHLAAQPARRAAPGAARGSRCTRSRPPSPRSPPTSPSPRLPPPRAPAPAPRGSAVCTRPRRRTARRFPASSRPSCPPTRRAPPPSPEPPRVTSGGSGGGGGQVTRDASTVQVGSAAGSNGSAAAGSSKQLGSGGAAPIGNAGAGSVPAAADLAGTGIGGGGAPTNSSASTDGAPNDAVDLNKRSHGGVSTGGGSGSPASSSALHGTAAKVGENSVDGSNKQSGSGSGALSNAAAGHGSPVRAEAKVASDVSTNSSAGTGSLGKVNLSTGSSNNLAGSGSGVSGSGSAAGNSSTVKPHAGDAVRAGSRGSSGNGTDTKVDLNKGSDAQPGNPNGGASHTSDVSSSLGKSKDTMAVISESSDAQPDSGSGDASHQSPGSSSLVKSNAGDGEVEGSKGNVSVVPTSNQTGSLASVGQKDVGSPRNNNIVVASPPVKNQEQTGSPVAPSGSSGADYNCSVEFFRSPFLVQEWEMQVSSGKKKETLRLDLVEQSSLKYKDADFLIFNTGHWWTHEKTALGKDYYQEGNHVYNELNVMDAFHKALLTWSKWIDANVNPRKTAVLFRGYSASHFSGGQWNSGGSCDKESEPITNEQYLSPYPPKMSILEDVIHKMKTPVVYLNITRMTDYRKDAHPSIYRKQNLTDEERRSPERYQDCSHWCLPGVPDSWNELIATSNAKGINTLVR >Sspon.02G0013350-4P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:28998008:28999837:-1 gene:Sspon.02G0013350-4P transcript:Sspon.02G0013350-4P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGGGGASGLAFRGVVVVEEEEEEAVVSASERPMQRRRRRWGAEVDDGYSPSSTGGGGSSCCDSFGCDSVGPGLLPLAGFVRPDGDPDTDLETDGLATSSSSAFTERQDDEAEEVLCGLKEEEWAQVQEPAKNPAGRATPECHNQRYRTEAAVLLHGRKGSKQRPASLDLGSPGFHGATFSPSFVIGGVGLMNKGLGASLIRPDVFHSPGTPNYPRHRASALGCQKGWSSERVPHPSKGSRRYPGSSMAFPYSNGRTLPSKWEDAESLG >Sspon.04G0011840-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:37308749:37317244:1 gene:Sspon.04G0011840-1A transcript:Sspon.04G0011840-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VMSSKSALALHYEIHPLAVLELFIIQLTRMIERANHLTRNVSKTISASDVFAKFKDEQGNFAANNPLEVLSLYNAAYLRTNGEIILDEAVSFTKRSLESVLTNLQGPLAREVKCALEIPFPRRVRIYEAKYYISMHGQANEVIMELAKLNYNMVQLQYQRELRIITSWDRMMADGLPENMKFIFGKIMETYQSIEDELSPEEKYRMPYLKNQIIDLVRAYNKEVKWREEGYVPATVEEHLQVSARSGACHLLSCASFVGMTDIATKEAFDWVSNVPKLVKMLCIILRLSDDLKSYQREKMTHHVASTIESCMKEHKVPVHVAREIIQDMIEETWKDFNEEWFNTNNHMPKELLERIFNLTRTMEFMYKQDDAYTNSHVIKDTISKLFVEQLKICPSPPPSLPSGSPQSTSTLSVSLSLMMGRCAGINSLRLTVSREASTMFMAYPASTLLPIKRDSAPFLSHDMAPHRPDPTAVASSRCARPSTPAVGASRHGNTRRGAGEVSARARVPACLDPGGRLATVGAGGPGRAPHKRQGVWGHRTVHPCLPGTGVAGPAGAATTARRRRRRTRAQRTRHRCPRWAPATMPTRWPVASETLRGSSTGGGPPARTGVAVPVRAGVDGVIGSSPAPMSLRLGCADAPPRLRTGSAGAQTVRHDTGAEEEARRWRAMPGQGRPPLGHTATRSTRRTPRSGRAQRHHLRRDRDKRAGARSSASISLSRHNEEDDNDVVCRLLSRA >Sspon.05G0034460-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5C:57663433:57671429:1 gene:Sspon.05G0034460-1C transcript:Sspon.05G0034460-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKAMVRCRRLSLFLALALVVYCGHGATVEHTFDVVNLSISQLCQPARVITAVNGWLPRLTIHVHEGDMVVVHVINNSPYNITIHWHSPFQRGMQWADGPEMVTQCPIRPTSRYSATPAATTPPGRRWWNGNAFDLQRKAFLTGNLVPPANAYTINGKLGDLHSERSSINSIKVQSNSTYLLGIINAGLNTPLFFKVTGHNFTVVGADTSYTTPYKTDIVVVTPGQTVDAHGHGRRAIMVAEQHSHRRSGVCRREERRGAAAAVACSHAARVNDTATAHQFFMSLAALVSPGRPSVPLAVDTRMFVTIGLGFADAVQAAGRCFRWQHEQRLLRAPRRMSLLEAHFRNVMGVYTRDFPDKKRDPT >Sspon.02G0051770-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:82875628:82879251:-1 gene:Sspon.02G0051770-1C transcript:Sspon.02G0051770-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPSTSSIDPGNTFRLVVKVGNYVADVEYGIEIAEQEHELWLDITKQYTIENAEGTGDTCTSPPSFVPSNIPEPVDWANLIIHQDEKDDGDATAAVDEDKVYEAMGFWVADQSADDAAREAIPIPAMQQEMNEAAVPVDDNVPEEPLFHWDKDNPDLSVGISYPSMQDLRLAVKRHAIVRKIELGTAHSDKKRYRVHCAALGCPWVLRARTQHDGSVRAKTDPTPSGEDTPRTRLALAREATAKAAREAEDAATKATAAVEVATVAERQVIPALEIEDAPPSSTARRSLCWDLQVVDGVDETATTSLVPPTKKMTPKRKLIATKKKKTPAKNGKK >Sspon.08G0029530-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:40169678:40179849:1 gene:Sspon.08G0029530-1D transcript:Sspon.08G0029530-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKPAETTPGSNKVEESSSSSSSMADVYKGELTPLQRHVAFFDRNKDGIIYPSETYQGFRAIGAGVPLSAFSSVFINGLLGPKTVPENEKAPAFKLPIYVKNIQKGKHGSDSGVYDANGREASGSEEFLMSFCPLSRAPLNNFSPNMIMFVPEKFEEIFKKHAHTRPDALTGKELKELLKANREPNDFKGWLGGFTEWKVLYSLCKDEKGFLHKDTGETEREREGESMRVQRSMARHVLRGASLALVTTVLISVLLGPGSNKVEESSSSSMADVYKGELTPLQRHVAFFDRNKDGIIYPSETYQGFRAIGCGVALSAAAAVFVNGGLGPKTVPENEKTAPFKLPIYVKNIHKGKHGSDSGVYDSNGRFVPEKFEEIFKKYAHTRPDALTGKELQEMLQANREPKDLKGWLGGFTEWKVLYSLCKDKDGFLHKDTVRAVYDGSLFERLEQERNSKKESSKKK >Sspon.01G0000210-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:94959900:94961062:1 gene:Sspon.01G0000210-2B transcript:Sspon.01G0000210-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMAGSLPVPSVQAMVAAAGHAPVPPRYLRPEVATDVVVADDGDAATTIPTIDFQRLLLLDPEESARLHAACQDWGFFQLINHGVPEDVMEAIKGSTQAFFALPAEAKQQFRQEAGQLEGYGQLFVVSEDQKLDWADVLYLNTQPPERRNLRFWPHQPDSFNRTLDTYSAAVKHVAGRLLGAMSRNLGLMDPERLGGGGISLSSMVQSVRMNYYPPCAEAADKVLGFSPHSDSDLLTLVLQVNQIQGLQIRRPGDGAWLPITPLQGAFVVNVGDVLEIFTNGRYRSVEHRAVVNAHTERLSVAAFHSPSIHATIGPLPELLGDQEAPKYKTLDHQSFITLFFSAKLQGKSFL >Sspon.05G0010640-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:30041183:30044001:-1 gene:Sspon.05G0010640-1A transcript:Sspon.05G0010640-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGKVELKKIENPTNRQVTFSKRRMGLFKKANELAILCDAQIGVIIFSGSGRMYEYSSPPWRIESIFDRYLKAPSTRFEEMDIQQKIVQEMTRMKDERNRLRMIMAQYMGEDLASFSVQDLSNLEQQIEFSLYKVRLRKQELLDQQLLEIRQREMHMPGEQSGYLCLMVRRLKTAGPSPDLLKGQQQQAQAGEMVGNPRPFPWWDVGASGSGSGSQSLQLLPGRDAAESSMTALQLSPQLHEYRLQPRQPNLQDANVHGWLW >Sspon.04G0001820-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:5930301:5937130:-1 gene:Sspon.04G0001820-1P transcript:Sspon.04G0001820-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAITATTPDILGERQSGQDVRTQNGNRRHPFLATRFRRGVRVMACGAVANIVKSSLGPVGLDKVLVELAELQDREVGDGTTSVVIIAAELLKRGNDLVKNKIHPTSIISGYRLAMREACKYVEEKLAVKVDKLGKDSLINSAKTSMSSKLINSDSDFFATLVVEAVQAVKTTNAKGEVKYPIKSINILKAHGKSAKDSYLLNGYALNTGRAAQGMPTRVTPARIACLDFNLQKTKMQLGVQVLVTDPRELEKIRQRESDIMKERIEKILKAGANVVLTTKGIDDMSLKYFVEAGAIAVRRVRKEDLRHVAKATGATMVSTFADMEGEETFDSSFLGHADEVVEERIADDDVILVKGTKNTSAVSIILRGANDFMLDEIDRSLHDALCIVKRTLESNMVVAGGGAVEAALSVYLENLATTLGSREQLAIAEFAESLLIIPKVLSVNAAKDATELVAKLRAYHHTAQTKADKQHYSSMGLDLSKGIIRNNLEYGVIEPSMSKVKIIQFATEAAITILRIDDMIKLTKEEEGNEEA >Sspon.08G0019030-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:12588887:12589438:-1 gene:Sspon.08G0019030-2C transcript:Sspon.08G0019030-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFHMSNCSNITAAAAPEAPRAPPSPSSSLDYDVVVILAAMLCALVCALGLNSMLQCVVRCTRRAVSDPVGWVAHRRANAGLKREEVVALPVATYVASPSPAAAGAGRSPAQQQHAPAGCAICLSDFADGERIRVLPVCGHRFHVVCIDRWLVSHCSCPTCRRRLSSDSAVGGHDRLQVLTAV >Sspon.01G0045660-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1B:90704523:90705263:1 gene:Sspon.01G0045660-1B transcript:Sspon.01G0045660-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding QGTFEQAQAFRESAPVGAVIITKMDGHAKGGGALSAVAATKSPVIFIGTGEHIPDLEAFDAKSFVSRLLGMGDLSGFMDKMHVIPADDNRSPYQILEELTKENFTLRALRKLFRAVQSMGPLGQLVSMIPGLISDKFNEKEGQAKIKRYKTMMDSMIDAELDGTSPKLMNQSRINRVARGSGRPVREVVDMLEEHKRMAKMMSKLPNVKRPNDINHLVNAIPQSLLNQFGGKFGLQNLIRQMGAQS >Sspon.01G0009630-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:27284618:27286344:1 gene:Sspon.01G0009630-1P transcript:Sspon.01G0009630-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNEEGKMVDLYVPRKCSATNRIITAKDHASVQINIGHLDANGMYDGRFTTFALSGFVRAQGDADGSLDRLWQKKKAEIKQ >Sspon.02G0016990-3C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2C:52505732:52505912:-1 gene:Sspon.02G0016990-3C transcript:Sspon.02G0016990-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding CRSACSAGAASRRARSGPWWSCRAWCSRRPPSPATSGDSTSAASAPPLPQPPPLPRQESS >Sspon.01G0013690-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:44558081:44558737:1 gene:Sspon.01G0013690-2B transcript:Sspon.01G0013690-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GLQLLQGEGKNFLQRLRVVTRGPDARGDALAPALGQGRCELPDQPHGPRGARARALGASPADDSSRDALKAHLLGRLPRNIVHATERRHPVAHLVGAACRCHLLEHVEPCRRHGARQHEGPGYLHGELRGAISARQRQVERVHGHQEPAVTRLHGRADAPPQVRHHGRSRRLPWRRRLASWRHGQLELPLLLTDTVHLELPKKLHRHHLFIRVETLLLI >Sspon.03G0012830-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:45604984:45607374:-1 gene:Sspon.03G0012830-2B transcript:Sspon.03G0012830-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent zinc metalloprotease FTSH 4, mitochondrial [Source:Projected from Arabidopsis thaliana (AT2G26140) UniProtKB/Swiss-Prot;Acc:O80983] VASSTRGEESFSSIPALIGAGQVTKDGALGTANAPIHMVTAETGQFKDQLWRTFRSIALTFLLISGIGALIEDRGISKGLGLNEEVQPSMESSTKFSDVKGVDEAKSELEEIVHYLRDPKRFTRLGGKLPKGVLLVGPPGTGKTMLARAIAGEAGVPFFSCSGSEFEEMFVGVGARRVRDLFAAAKKRSPCIIFIDEIDAIGGSRNPKDQQYMKMTLNQLLVELDGFKQNEGIIVIAATNFPESLDKALVRPGRFDRHIVVPNPDVEGRRQIIESHMSKILKSDDVDLMIIARGTPGFSGADLANLVNVAALKAAMDGAKAVTMNDLEYAKDRIMMGSERKSAVISDECRKLTAYHEGGHALVAIHTEGAHPVHKATIVPRGMALGMVAQLPDKDQTSVSRKQMLAKLDVCMGGRVAEELIFGDTEVTSGASSDFQQATAMARAMVTKYGMSKQVGLVSYNYEDDGKSLSSETRLVIEQEVKNFLEN >Sspon.02G0006500-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:19626366:19627452:1 gene:Sspon.02G0006500-1A transcript:Sspon.02G0006500-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MESDEYMNRMHTGEALAPAWWESFSFRRLKTLRYECECLLCETKTRLLSSSSGAHRPCSIYGAILEHVPPAAGALRDHPSAPRYIVAFRGTILRRHQQHQQHQHEQQHQHTVFCDMHINLRILVNKQHGCGRFRDARKEVGRLLDSVADGSHAAPAAVWLVGHSLGASIALNLGRDMATKGCYLPTFLFNPPQVGLYPTSYAVKAALGSTVLKRQERDMEALFQTLAPWVPELYVHERDIVCQGFIDYFEQRQKMLDRLRPVAEVAMKLSLRDMLISFHSTEPAESGDGERVRPHLLPSARLWKNSSYHYAHGLEQWWKPDSELRLSSSRYSDRGAEAELWLTVP >Sspon.02G0030910-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:106901715:106905042:1 gene:Sspon.02G0030910-2B transcript:Sspon.02G0030910-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALHAALWMHAGHYRRRRLSSPPLLLVACLAVLVLPQPSRVAAATSTVVTHLPGFDGPLPFYLETGPVAGFPSTSTLCSSLAELCLPLCTCDDRRCRYVEIEEETGAELFYYFAESERSPSTDPLLLWLAGGPRCSVFSALVFEIGPLKFVVEPYDGTLPRLIYNPYSWTQMASILFLDSPIGSGFSYAHDPKAYEVGDISSSRQWFDDHPKYFLDRPFYIGGDSYAGKVVPLIAHYISEGVKEMQQPLINLKAFGNPITGDKIDTNSKVTFSHSFGIISNQQYEAFVQNCKGEYLNPANEPCADVVQTINKLMSEVIEGDILRPICHIPSGKRRSTLEGVSSLTEKHHDYQLIGAPDEPPFDCFYEYRYYLSYFWANDNAVRAALGIKEVAKQNLVLLKLCGLDLK >Sspon.07G0014140-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:56516246:56517448:-1 gene:Sspon.07G0014140-2B transcript:Sspon.07G0014140-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCSPPTSDAVARSGDDRKSEGEEKLGLLDSSGGEKTKKKRSKKPPRPPRPLTPTPLDVSDQKLLNELSELAVLKRARIERMKALKKMKNAKQGTSGGNLCPLIITIIFCIVILWQENIYSKVVHLEPAGFFSGHGSAVSFHGSPESSIRAHSSLISIRFYKKNHSNVRPPSENTAAPENVETVLRLGNRNQARRLAR >Sspon.08G0000490-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:1754041:1756514:1 gene:Sspon.08G0000490-1A transcript:Sspon.08G0000490-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGGGGGNTRELDQTPTWAVASVCGVIVIISILLEKGLHHVGEFFSHRKKKAMVEALEKVKAELMVLGFISLLLVFGQNYIIKICINNHAANTMLPCKLKAVEAAAAGHGKEPAEAGGNKGHGKEAATVPGRKKAAAAAEHLGGVLDWPPPYYAHNARMLGEANMKTKCPEGKVSLISINGLHQLHIFIFFLAVFHVSYSAITMALGRAKIRAWKVWEKEAAGQDYEFSNDPTRFRFTHETSFVRNHMNVLNKFPASFYISNFFRQFFRSVRQADYSALRHSFVNVHLAPGSKFDFQKYIKRSLEDDFKVIVGISPPLWASALIFLFLNVNGWHTMLWISIMPVVIILSVGTKLQGIICRMAIDITERHAVVQGIPLVQVSDSYFWFAKPTFVLFLIHFTLFQNGFQIIYFLWILYEYGMDSCFNDSKKFVFARLCLGVVVQVLCSYVTLPLYALVSQMGSTMKQSIFDEQTSKALKNWRAGAKKKHPTSSKHEQEHGGGGGSPTAGGSPTKADGDA >Sspon.01G0042450-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:102011994:102017290:1 gene:Sspon.01G0042450-1B transcript:Sspon.01G0042450-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LLLDSSSGRPDPDPRVTAAAVALSERRDPAAASPRGRRRPPAAGPRGPRVPHRGAGRRSPSPLRPPAPRDLSQFHPREICPLRSPCPNPHRAPTHPLKSGLRNPPAMVVCKCRKATRVYCFVHQVPVCGVCICFPEHQLCVVKNYAEWVVNSEYDWPQHCSSCNSVLEVGTEETTRLGCLHVLHTKCLISHIQNFPSQTAPAGYVCPSCSAPIWPPSSIKDTGSRLHSKLKEAIVQTGLETNVFGNHYVTIAKSDTRTPAFASDPLKNLSSTDDRESNSANSAKDAALPSTLHSGIYSSAVGSGTTIHVEPEIVEIEGPSPVLTQISEQESNFIRSPSPHGPGAMTRKGATTVDRQNSEISYYADDEDGNRKKYTKRGKHAIGRMIF >Sspon.02G0043160-2D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2D:83763607:83763861:1 gene:Sspon.02G0043160-2D transcript:Sspon.02G0043160-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPRSLPEELVEEILLRFPPDDPARLVHAALVCKPWFAIVSGAAFRRRFHRTPPLLGFVSNIRIEGDCGVAEFVSTSSLPVSQ >Sspon.01G0043540-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1B:75490719:75491195:1 gene:Sspon.01G0043540-1B transcript:Sspon.01G0043540-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPADSSCFMHAAMDPGRTPAGPGHQLRNAMDPARRQHDRPRNRARTPLMFEEGSHRLTVDLLGGENVDARLRGSGRCARLRVRRGARCRPASAARGVVRGWREDAVACLGARSRPGLAAARGAVLRGGGGGDKKLGFEFYADLIHPIVDQRTENRGPN >Sspon.01G0002610-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:5966862:5968362:1 gene:Sspon.01G0002610-2B transcript:Sspon.01G0002610-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHEQQERGSGHLLLFPFLAQGHLIPFLNLAKRLESLGQRGGSGQRRLAITIVSTPRNVAGLRRALPAGSSIGFAELPFSPSDRGLPPDAESADAVPLHAFPTFSIATELLRPSFEKLLTELAGREGRGNVCVLADMFLGWTAEIARALGVQHRMFLTNGAYASAVIFSIWLRPPSFPRSADPDDELALADFPDVRVRYVDFLNVGVVVRGWARQVRILAHASTGAFLSHCGWNSVLESLWHGVPVVGWPLLGDQLFDSRVLVELGVGVEVPSGRFFGGLGSKGWERVRDVVETVLGDGDKAKDMRRKAAEMKKLAHAAVGAADGDGKGKGSSVLAMERLVDSAFD >Sspon.06G0003060-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6A:9616112:9622249:-1 gene:Sspon.06G0003060-1A transcript:Sspon.06G0003060-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MYFNGIGEFFMSNKWDTAFLLRDGKQTITASGTTSPLFLASARARARASAGKGIRPSRSARSVQRERETIAGPRGRIRGRSRRWIEAAAASARRSRRRFRWRRFPRKEDSPAAGCSPPRRLLSSPVFTMSSSDDDVDRRAAAVEVYMPPPDLGGEGGVPSSVGSDPAATESSSAADIPSLIERALAKLPPELREQAVDTKRKAKSKDPGWKYGWWPDPTKKDFVQCIFCKKVVPSGICRFKQHLAGGRGDAMGCPNPPEIVKREMNDYLKKNSRTVLVEVPAAAGGEDEEEQEQDAAAEPVKIPSSGTKLKQAKRKIAQAAITSFIVSAAAKPETQKQSRSVSSLLCKSPEQVVTERHKSKQSQPTLEHCTKKGKEAKLIVDDHVGDFLYENRIPLNVINSRSWEILLESIGQYGPGYRSPTYREVRTSLLERAVNRTTDLRKKHEEAWKEYGCTIMSDGWTDTSHRHLINFLANSPAGTFFLGSVNASGQVASAPMLADLLEKQIDKVGKEHVVQLVTDNGANFKAAGRILMQRIPHLFWTPCAAHCLDLLLEDIGKIKEFSTCINMGQKVTTFMYKHGRVLDLMRSKLGGDLVRPAKTRFATSFLTLASLYKNKSGLRSLVVSDEWHAISFTSSQAGRRAENIILSIAFWNKVELCLRATQPLLVALRIADGDETPAAPEIMAAMDVAKAAIKDSLKDKPEFLSEVLKYYDERWENQMEQQLYGAALYLNPGKFFAIREKDRRQAGKLRNMFNQVMWKMVSDDDEQNKISRQADDYERAEGESFSQPGAIRDRDRKNPMLWWGAYGGLTYELQCLAKRIVSLCCSASGCERNWSDFSSVHTKKRNRLEHERLNKLVYVSYNRKMENRFKKIRELGSQGKRSNPLLLEEFNWENEWVHESCEPVDPVQEGGAGGGASGNATPWAAVDEAIGATQALHGRNLPRAAARNASAAVKHTYNRKRPRTTVTQDIEEIEDGDHDHHAESDSAAMEADEVSAAAPTETGDGGFNLDASSSKSAKECCLGQEYRVTDIWTVQKREENNSHKSISNTHALYPPIRALYLSIPTTYPLLRHHRSKKKTNASPRDSQSAVPPLDQFAAVGRLGPERDAGHKGASHWPSATTAGRRPHYSFPGVGNEDEAAEFFQQRYLHLPAPRVTVDGPVLTLQKPRPCLLGPTRGSCNRRLPPPNFAARLPHPHVLMKQHNRDSAASHDRDCSVS >Sspon.01G0005860-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:14690637:14694410:-1 gene:Sspon.01G0005860-1P transcript:Sspon.01G0005860-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRDLAESLLPAGGGGASTYEYEERAYDSDDKVSIAISDSDGEDDGAPASRPPFSWRKLWRFTGPGFLMCIAFLDPGNLEGDLQAGAAAGYQLLWLLMWATVMGALMQLLSARLGVATGKHLAELCREEYPTWATRALWAMTELALVGADIQEVIGSAIAIKILSGGTVPLWGGVVITALDCFVFLFLENYGVRKLEAFFAVLIATMAVSFAVMFGETKPSGKELLIGLVVPKLSSKTIKQAVGIVGCIIMPHNVFLHSALVQSRKIDTNKKSRVQEAVYYYNIESILALIVSFFINICVTTVFAKGFYGSKQADNIGLENAGQYLQEKYGTAFFPILYIWAIGLLASGQSSTITGTYAGQFVMGGFLNLRLKKWLRAMITRSFAIIPTMIVALFFDTEDPTMDILNEALNVLQSIQIPFALIPLITLVSKEQIMGSFVIGPITKVISWIVTVFLMLINGYLILSFYIADVRGALLRSSFIVIYRRDGLEQFGLYRKKTVHPCKACAAAYLLATEKYIYAYPLSSMHMLLQLEWNVVQDMNELYFVIYLK >Sspon.07G0012130-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:43223602:43230582:-1 gene:Sspon.07G0012130-1A transcript:Sspon.07G0012130-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTVLHKIVFEQDEIGSSLWLKKAAQVLEGGTHNLAMQTNHQNSKYGELTRNIRENQLGGVIFGCKHDTIEECFRKQLFGLPSVHYSYVRNVKPGMPLFLFNYSDRKLHGIFEAASPGEMYIDPYAWSNDGSLRTAFPAQVRICTKTQYPPLLESQFKTLLGDNYYNHHHFYFELDHAQTRALISLFKSLAPANQFPAVSSKRNIAVSSPPTRMKLSSVPDPKKVTENSKDTNPFSVLSNTAAPFNWADDVESASNTDEKKSDDSVSDYDNLGDNLLQDQFSPHSNPDVVSQTSSGKTLGQGLELTECNHPVVNPVNGERIITDESMLLNSHNEHNGAVEVDEIEIEAHNNPGGGGGLQPERQTILEKLKELSSLRQQAAISSQDCTDSGSDQCVPDENQTNPNLSCGPFDATMEDKTSFDECHGNAEVLQIITHLQMRTEALEKKLIGSDKEILSLREVVKDSGRKVQQLEYLVDELQFKFDSSLSHLGSMCNTLAKPSIFLIGGYNGVTWLSSLDSFTPEKDAVLGLTPMSSPRSYASAAVLDGHIFAFGGGDGMSWYNTVECYSSRNNEWTECPSLNRKKGSLAGICLNEKIYAIGGGDGNETYSEVEMFDPYLGKWICSPSMLLSRFALAASELNGVIYTSGGYDGDISAERYDPREGFWVRLPSMSTRRGCHTLTVLGDTLYAVGGYDGDKMVSSVEIYDPRLNAWRMGDPMNTPRGYAAAVYLDDSLFLIGGMQSNVQILDTVEVYNASSGWSVLGFSSIGKRSFTSAVVMLIYMAVLKTLKMVVEQTGFSV >Sspon.01G0048140-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1B:107310464:107311021:1 gene:Sspon.01G0048140-1B transcript:Sspon.01G0048140-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVSSDVWYSPLGPRRSGRGRTTTPTRNTRRTRAHTSQQFSAQPRPVSVCSCAPTTHGSLSLLLLFTRGRGSVRVPHSSRFLPRHSLRSAPVSRSTRLAPRGGIPALKRPVSRPPSADADHLEGIYPGAVFLLASSRGAARRPESLRSPAVFGLISLFVGKEARLDRSDGGLFYLRVFFLGSIGS >Sspon.06G0022660-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6B:33979610:33984047:-1 gene:Sspon.06G0022660-1B transcript:Sspon.06G0022660-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPREGRRTKLHGASDYPTPYRGHSRAAARPCLNKMTVAAQRPSVTRSTASSDPDRRIYRRTLQGSDVHAPAPPALGQTVGPDQAGFDAPLSQDCRGAMRVSEGVRQGAAAEMRRGITARTRAHAVPFRYAGRESGHVSFWNVRMEATAYPGSGLRVENQLELRGGGQCVTAGRDPGDSCVRSAGLVPRRMMTSAKRTEIIPLFG >Sspon.01G0058850-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:36031102:36032330:1 gene:Sspon.01G0058850-1D transcript:Sspon.01G0058850-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPANRGFMNLLNQGSSSQHSGSSRKDFPPQIPSTFPQAHPAHSSQSLSPNFHNFHPFGLLANYQLYGNSPPGFHGFQQQESWGYSPTQAVGCASSHGSESASPCPGTSEEKNFVSVEDSSRGKEEKRIEEAGGGEEGGRRELKKLPKWQRIIEEDNNSKRTKISESGAYTSSSNQDTEEESRQKEKRPEGQKKAKAKLKGKGKKLSTSPLGDQPSQDFVLFNEAIKVKAAAMLKWTEATTESTKAKQEQTRRDLYQTYAKLLDKDTSNFTEKQLKRHEDVLEKLAKEIAKE >Sspon.02G0017520-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1B:6275991:6277052:-1 gene:Sspon.02G0017520-2B transcript:Sspon.02G0017520-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSSSFDFREEYTSAFRTESYNDFWARVLDITLAHGAGLVPRPGGSGGGVTASKRLPSYRLFAEQLLEPDQRAVRAALASPRSGQLHPGVRDLLATYYNETASASFLCSHLLRDIEQIRLRYRPLKTTLRKLARDVGVSNLADVSAALGQPFAALAVSQGKLRQVQLSSADLLRSLDASRKKARLRIKSLARLRQLLSASFITVVAAVAVVGAFIGVHILAAFAVFPMMSPAWLGLFSGRSVRRVLVQLEAAAKGTYILNRDMDTISRLVARLRDEGEHMLALLQLCVEHRAAAGEKGRLVQEVLKQLCKNEESFRQQLDDLEEHLFLCFMTINKARSMVMNFIAGAEHNSS >Sspon.05G0010680-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:24934624:24937063:-1 gene:Sspon.05G0010680-2B transcript:Sspon.05G0010680-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQGVTMSSSMESSYLPATTESLAKAQEAKDASESISILYRVIQDPSSSADALRTKEVAITNLTNYLTKENRAEELRNLLTQLRPFFALIPKAKTAKIVRGIIDAVAKIPGTSSLQISLCKEMVEWTRSEKRTFLRQRVEARLAALLLENQEYTEALTLLTSLIKEVRRLDDKLLLVDIDLLESKLHFSLRNLPKAKASLTAARTAANAIYVPPAQQGTIDLQSGILHAEEKDYKTAYSYFFEAFEAFSALEDPKAIFSLKYMLLCKIMVNQADDVAGIISSKAGLKYLGPDVDAMKAVADAYSKRSLKYFETALRDYKSQLEEDPIVHRHLSSLYDTLLEQNLCRLIEPYSRVEIAHIAEMIELPVDHVEKKLSQMILDKKFAGTLDQGAGCLIIFEDPKTEEIFPATLETISNVGKVVDSLYMRSAKIMA >Sspon.04G0001310-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:1837605:1840346:-1 gene:Sspon.04G0001310-2B transcript:Sspon.04G0001310-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSRSMRTCLHSGRLAFLAILVSSGIVLQILACALYNNWWPMLTVLMYLILPMPLIFFLGSDTSSIMSNEGDSWVNFTKFLTGASIVGSIAIPSILKHAGVIGWGALTMELSSFVVFGVAILLFLQMNSEDEYSGVF >Sspon.07G0005690-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7C:9869436:9871080:-1 gene:Sspon.07G0005690-2C transcript:Sspon.07G0005690-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATFAEGVRDQLELQCWDTAGLLSMKLRGPGGRPWRRGQGMGGRLGEFAPFSFPAPPTISLHSNSSYICRRFAFFLLPAFLMPSWLLVASPAAAVRPWHRPQPSGVALAIERNDDASVA >Sspon.02G0031000-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:107265107:107271344:-1 gene:Sspon.02G0031000-2B transcript:Sspon.02G0031000-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MYAVLRRAAPLRRRAVSALAAALLQQQPAALGAAVAPRPAPAAAAWFHSSPAWLGFRETGAAGAAARAEFAAEEGSFYEEDKRAPAGGGAAAEEGLEIAKLGISSKIVERLASKGITKLFPIQRAVLEPAMQGRDMVGRAKTGTGKTLAFGIPILDAIMRHNEKYKAGKFPLAIVLAPTRELAKQVEREFLDSSPLETLCVYGGTPIMQQIRQLNYGVDVVIGTPGRVIDLLKRGALSLAEIRFVVLDEADQMLSVGFDQDVETILERVPPQRQTLMFSATMPTWIRKLTQKYLNNPVTVDLVGENDQKLAEGISLLSISSENRQKPAVLGELIKEHAKGGKCIVFTQTKRDADRLSHNMSRSFQCEALHGDISQSQRERTLAGFRDGRFNILIATDVAARGLDIPNVDLVIHYELPNSSEIFVHRSGRTGRAGKKGTAIAMYNYEQSRAVRVIERDVGSKFTELPKINVEGSVLLDGGFDSFGGGGGGYGGSNYGRSRGFGGRGGGGFGRSGGGGGFGRSSGGFGDSGFGRSGGGGFGDSGFGRSGGGGGFGDSGFGRSGGGGGFGESGFGRSSGGGSGFGRSGGFGDSGSGRFGGGFGSSGSGSYGEESHRTLLRATKKKITRTIGFGVKTEQ >Sspon.01G0038440-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:23147126:23149326:-1 gene:Sspon.01G0038440-1P transcript:Sspon.01G0038440-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMAHERDASSEEEVMAGELRRGPWTVEEDLLLVNYVAAHGEGRWNSLARSAGLKRTGKSCRLRWLNYLRPDLRRGNITPQEQLLILELHSRWGNRWSKIAQHLPGRTDNEIKNYWRTRVQKHAKQLKCDVNSQQFKDVMRYLWMPRLVERIQAAAANANNAAGAPQQQQQPGAAADTPLSSSWQHGGADDGLYASPDELPTDACWPPADYYPAPVGDHQLLNNPAAAVPELSSTTAGSSSPSSDSGAGAQPCWLAPVGGAEWFTTACDASSAAAVLAGQQQQQQQSSCLLGETTWASSELPELGVADFEIGSFDVESIWSMDDNLWFTQAQGV >Sspon.05G0036950-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:5D:6198540:6198926:-1 gene:Sspon.05G0036950-1D transcript:Sspon.05G0036950-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGRSAAPPHSIDGNAPIRRGGTLIVVVAPRSGRADANPAGIGEIRSSGKGICGLSIGSDVNATRRCHYRPHRRSHIHEAHYVCQPHGAFGIVLDATTVGINHACLVMACAAACMCACAGVLGRVFE >Sspon.01G0041480-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:45727078:45727567:1 gene:Sspon.01G0041480-2C transcript:Sspon.01G0041480-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTKCTARKSTGGKAPRKQLVRSIFVRIYVKFVAARKTAPMTGGVKKPRRYRPGTVALREIRKYQKGAELLIRKMSFQRVVREIAQLHKA >Sspon.06G0030490-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:48569498:48583134:1 gene:Sspon.06G0030490-1C transcript:Sspon.06G0030490-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding FEVDCLPSSPGRNSELRPLTEKCHELLERANYLSINVLQDQSNTKQGAIRKVLVILNPNSGFRSSRDVFYKKVQSTLKLSGFKMEVIETSYAGHAKVLSSTVDLKNSLMVLYVLVVLNGLLSRDDFEVAIRFPIGIIPAGSDNSLVWTVLGIRDPVSAAIALAKGGFTPIDVLAVKWIQAGVTHFGLTASYYGFVADVLQLSENFRVHLGPFRYVVAGLLKFLSLPQYRFEVDYLPSSSGTNSELRPRTEKCHEQLSDDGKVRRGTQINGRIEDNWVTRNGEFLGIFVCNHFCKPAQGLLSPVIAPKAQHDDGSLDLILVHGSGRLRLFCFFVAYQLCWHLLLPYVEYVKIKEVKIRPVGNTHNGCGVDGELLHGEDKAEWQCSLLPAQGRLLGQDPYRFEVDCLPSSPGRNSELRPLTEKCHELLERANYLSINVLQDQSNTKQGAIRKVLVILNPNSGFRSSRDVFYKKVQSTLKLSGFKMEVIETSYAGHAKVLSSTVDLKKFPDGIICVGGDGIVNEVLNGLLSRDDFEVAIRFPIGIIPAGSDNSLVWTVLGIRDPGGFTPIDVLAVKWIQAGVTHFGLTASYYGFVADVLQLSENFRVHLGPFRYVVAGLLKFLSLPQYRFEVDYLPSSSGTNSELRPRTEKCHEQLSDDGKVRRGTQINGRIEDNWVTRNGEFLGIFVCNHFCKPAQGLLSPVIAPKAQHDDGSLDLILVHGSGRLRLFCFFVAYQLCWHLLLPYVEYVKIKEVKIRPVGNTHNGCGVDGELLHGEDKAEWQCSLLPAQGRLLGQDPECTSKEEIHA >Sspon.01G0027620-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:96692063:96701724:-1 gene:Sspon.01G0027620-1A transcript:Sspon.01G0027620-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:WDR5a [Source:Projected from Arabidopsis thaliana (AT3G49660) UniProtKB/TrEMBL;Acc:A0A178VK59] MAAPAADDASASPGYVLRSTLEGHRRAVSTVKFSPDGRLLASASADKLLRVWSSSDLTPVAELAGHGEGVSDLSFSPDGRLLASASDDRTVRIWDLAVGGGARLIKTLTGHTNYAFCVSFSPHGNVLASGSFDETVRVWEVRSGKCLRVLPAHSEPVTAVDFDREGDMIVSGSYDGLCRVWDSATGHCVKTLIDDESPPVSFAKFSPNGKFILAATLDSTLRLWNFSAGKFLKTYTGHVNTKYCIPAAFSITNGKYIVSGSEDKCVYLWDLQSRRIVQKLEGHTDTVIAVSCHPRENMIASGALDNDKTVK >Sspon.02G0026450-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2B:66127897:66129734:-1 gene:Sspon.02G0026450-2B transcript:Sspon.02G0026450-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLNPAAGLEL >Sspon.08G0016070-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:58230395:58254083:-1 gene:Sspon.08G0016070-2B transcript:Sspon.08G0016070-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARQPPPSSASAPAAGLSMKEYLKKYQSGPGADGDQKKAKKKTKKKPKPAAGGGGVLIVDEDPVWQKPVQVDEEPASSGDEKPLVDEDIEVKRMRRLEAIRAARPYNAIAEDGSGWVTVAAPEEQGGGSTRQRRNDSPSPERGGAVREDLSPVRRRQRRDTPSPVQGDATGKDLSPPRQRRRRQDTPSPKRSGAGDQDDMSPPRKSRRKKDPSPPRKGARHISEEPQDTSPPRRRMRHDSEEPQDMPSRRRVRHDSMESEDISPPRRRTRHDSEEPEDISPPRRRKHQDQHQDPDDDLSPPRRNNLGQSRKFASDELSPRKKRDISPSRKSKKEGASKQVRKAGLMTAEEVKEDIRKIKEAEMVKFASQDPSLVGKGAKAVFRDKEGRRISEEEMRKAKEPEKPKEIHIEWGKGLVQKRQAEARLKEIEAEKEKPFARTRDDPELDNMLKERIRWGDPMAHLVKRKDPEFILEDLGDDEKMKESGFIVPQNVPPHSWLKRGVDAPPNRYGIKPGRHWDGVDRSNGFEKDMFKLKNEKQAMEQEAYLWSVSDIWAPNIVCVYKLIRAVAWIAAMRDVDWIAAMKEAKREGDLAPGLPEMGPRYPDWIVLARRAFISDRQNAAVAVAGRTSDGNPIEVSLFAAYPPAVSHLRVHCPGREAEFSHNPAVIFSRDDLILFDVCLDRGAVSDYFIYRAHSKNPSLLLIPDPAEPCSLGLGNTGIVRCGADHFAVAALYWDKGMFMLTVFSSRTGAWTTRVAPVEPSEWVTKNHLNVLGFKPTKASVAVVEKWGRFLRLADPGLHFFNPFAGECVAGALTTRVQSLDVRVETKTKDNVFVQLICTIQYRVVKENADDAFYELQNPQQQIQSYVFDVVRAIVPRMNLDDLFEQKNDVAKAVLEELEKVMAAYGYSIEHILMVDIIPDAAVRKAMNEINAAQRLQLASVYKGEAEKILMVKKAEAEAEAKYLSGVGIAKQRQAITDGLRENILNFSHSVSGTSAKEVMDLIMVTQYFDTIKELGDGSKNTTIFIPHGPGHVKDISEQIRDGMMQASRILKDLLKPDKDKETKSSWKVLIMDKFTVKIMAYACKMAEITDAGISLVEDLFKRREPMPSMDAIYFLQPLKENYYLVHMTSAFAGSPMINVIMLLSDMSGRCPLYRKAYIFFSSPIPKELVTYIKNDSSMNLEFFAIDMQEFPCVRYRAPKGDASTTTKFDMVPKWLATAVWDIVSKYKSTIPEFPQKETCELLIVDRPIDQIAPVIHEWTYDAMCHDLLEMDGNKYIYEVSKMDSEPEKKESLLEDHDPLWLELRHAHIADASERDGGEVSTRDLQKIVQALPQYSEQVEKLTLHIEIAGKINRCIREYGLRDIGQLEQDLVFGDAGAKEVISILRSKQDMSPENKMRLLIIYAIVYPEKFEGDKGEKLMQLAKLPHDD >Sspon.07G0006400-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:14221100:14222616:-1 gene:Sspon.07G0006400-4D transcript:Sspon.07G0006400-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LAREGDHVEVNVVNHSPYNMSIHWHGVRQLLSGWADGPSYITQCPIQPGQSYVYRYQIVGQRGTLWWHAHISWLRATVYGPIVILPPAGVPYPFPAPDEEVPLMFGEWWRNDTEAVIAQALQTGGGPNVSDAYTINGLTGPLYNCSAQDTFKLKVKPGKTYMLRLINAALNDELFFSVANHTLTVVDVDALYVKPFTVDTLGTFDNTTVAGVLEYDEDPSSPSQNNNNLPVFTPTLPPINDTSFVANYTAKLRSLASAEYPAAVPQDIDRRFFFTVGLGTHPCAGVVNGTSCQGPNGSRFAASINNVSFVLPTTALLQAHFAGRSNGVYATDFPAFPLTPFNYTGTPPPNNTNVMNGTKVAVLPFGATVELVLQDTSILGAESHPLHLHGFNFFVVGQGFGNFDPANDPAKFNLVDPVERNTVGVPAGGWVAIRFRADNP >Sspon.03G0008210-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:22250547:22251858:1 gene:Sspon.03G0008210-1A transcript:Sspon.03G0008210-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMTTTKQLRRVRTLGRGASGAVVWLASDEASGELLAVKSACAAGGAAAQLQREGRVLQGLSSPHIVPCLGSRAAAGGEYQLFLEFAPGGDMDDLLAAIHRIGYTDAVPEVPAWLSAEAKDFLAGCFKRHASARSTAAQLVAHPFVASAARDLPDAQPAKQEFPSFPSSPKSTLHDAFWDSDTEDDEADEMSTGAAERIGALACAASALPDWDSEEGWIDLQDDRSETVDAPPPAATEATAAAVADYFVWAEPSDAELEHQFVAAAAADVSVHLHLPSRIAGAVASTKRKFHARSIMMTG >Sspon.07G0017580-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:63236297:63238419:-1 gene:Sspon.07G0017580-1A transcript:Sspon.07G0017580-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATVASTTSSLLLVSGPRRSSPTTSLPPPSLLRPPCKSCCSFTTSTARQQQHLVCCLAAPRLSNKGRSSRRSTGWRCSSSATGGSSTAVSTEKWILDPAGDGDWRHIGYKVARPGAIEIVSDAVTVGRVADKADIVLPVATVSGTHARLEKKGGSLLVTDLDSTNGTYINERRLNPGFPVPIDPGSLLIFGDIHLAMFRVRKMRVEVPSTEAEGAEQETKTEVVSAAAVEDTAS >Sspon.01G0003090-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:7967681:7985115:1 gene:Sspon.01G0003090-4D transcript:Sspon.01G0003090-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLGEISDSCRVRVAVRLRPKNSEDLAHGADFDSCVELQPESKRLKLKKNNWSCESYKFDEVFSENVSQKRVYEAVVKPVVEASYNGTVMAYGQTGTGKTYTVGRLGKDDPSERGLMVRALEHILSSLSFETDWVAISYLQLYLESVHDLLAPEKTNIPIVEDAKTGEVSLPGAAIVEVKDLEHVFQLLQIGEANRHAANTKMNTESSRSHAILIIHLQRSTRREEENSSSLCNDRHDTFPDDLPLVLKSKLLIVDLAGSERIDKSGSEGHMIEEAKFINLSLTSLGKCINALAENSPHIPTRDSKLTRILRDSFGGTARTSLVVTIGPSARHYSETSSTIMFGQRAMKVVNTMKLKEEVDYEILYKKMEREVDQLTSEMERQQKVIRSEKMQMDKRLKESERSFHDLRMTSNMQIENLEKEKRQLESAVKKLTQDLDKERGQNNLLSKQVTELEKLLDENKQQQLENFSKTKFLTDTTKEHEKEMGELLRKLEEERCCSSSMKDRMSVLQQQLCDAQSSAQESMARELEKKLTKATEEFTIQVQSLKEKVSGLISEKELIYDELKSTQEKVQQEMSQRQGLEDQIVRLKQSVSDNCAEESKTSCSMVRSGSGLGNTAFVSKSGKLREALSGQRGTISKIFEEVGLPNVLALLKSEDLDVQIHAVKVVANLAAEDVNQERIVEEGGLDALLSLLETSENTTIHRVTAGAVANLAMNGSNQGVIMNKGGARLLANVASKTDDPQTLRMVAGAIANLCGNALLGMFRSGHTDVIAQIARGISNFAKCESRMISQGHRKGRSLLIEDGVLTWMVAHSTMFSASTRRHIELAFCHLAQNGKYIELMNLVHNRHVQYLTKYHPQLAEDNTSDIIASGGIKELLRITRESPREDTRNLAKKALDSNPAFLREIQ >Sspon.08G0025120-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:6057153:6060332:-1 gene:Sspon.08G0025120-1P transcript:Sspon.08G0025120-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHAAAAAVPHAALLTISAPLRDSLAAAPYEPPRAHPPPSRPSSPPSSLRLPLPAQAQAPAGKEAGDLLLFCAAVLAASPEYPALHWVPAGLAGAAAAAVKEMAAAGMVVAVAPEVVPPLKAVVKDSCVDADSDEIGAVKPPKEHAAVAAHQFRWLVSQVSYPKLGDLCWLVIPCALTTLDHWSPEVKVQGMVSFVHIAKNVKVTELSLYEDAILDACCQNIPADDELWYQVIEVSVLLLTCTQRSNPRSPWYDRMLSEMFGHLERQPLNKERRVAWLSLIGPVFYAMGLFLLAHFRRLFSLFFQWMHTDDDKTVLLTLKQIHAIIKLTWIRKSPYTLRLVDELVLLYKESATRSNREVMRDHILEILVLLQNCKGQQFEEAWKKHELDPDLTMLLSTFNELCIKNSSP >Sspon.07G0033990-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7C:67947072:67947301:1 gene:Sspon.07G0033990-1C transcript:Sspon.07G0033990-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLALHLFQAIPKRIIKEHKVAKALVARRWVTDIRGALCPDSYRISPLMGFGGRFLAATECHQHVGGLLGPVLTL >Sspon.05G0014110-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5A:51260620:51262156:1 gene:Sspon.05G0014110-1A transcript:Sspon.05G0014110-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLSLYADDAVIFTNPKQEDINCIMMIMEAFGDATGLRINMEKSTVAPIRCDSIDLDEVLSGFAGQRVTFPVKYLGLPLTLGRIKMVHLQYIQDRAKGKVEGWQGRLVTLAGRRELVRSVLSSLPVYLMTALKPPKRFFKELDKVRKKFLWAGSGELTGGKCKVAWPVVCKPIEFGGLGIKDLEAFSRSLRLRWMWYSWDSRERSWKDLEIPVDSHDLELFNAATIVQVGDGRKARFWTSPWLQGQVPASLFLVLYKHNRRKNRMVFEAITDNKWIRDVDYSMTHQIIAEFIELWERLDVFNLHEDQEDKIIWRFTSDGQYTARSAYALQLEGSTRCRTATLTWTSRAPPKCRFFLWLLLQNRIWTAARLLQRQWPNEYFYQLCIRNLETTAHLFVECNVARNIWKRVADWVGAASLAPENWNPTDNLKDWILGIVDGLPRHSKEALTSLVLLVIWEIWRERNNRIFRHVTKGYIDCCFHLSPKSPLDS >Sspon.05G0029340-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:73793725:73798901:1 gene:Sspon.05G0029340-2C transcript:Sspon.05G0029340-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LLYFSILIAVGNIPYDATEEQLVQICEEVGPVVSFRLVIDKETGKPKGYGFCEYKDEETALSARRNLQGYEINGRQLRVDFAENGRNTDRNREKVDDPQIFTVEEPFLPPLEFSVNMRTAGLYIHTLAIPSNPSLNYIVLGRGGPGMASNVDSQKQLAGTSVVGETNLHQPVGLPPAIHAASVMAGVLGGAQTANVQNGLPVQYGLGNDPLTHYLARMSRHQLHEIMAELKFLTTQNKEHSKTLLQGIPQLPKALFQAQIMLGMVTPQMMQMAKSQRPLGSLAQSSSHLNEPYPQPDPMIPVVSRPSSLPTNIPPNPTILPEQTAALHSFPQHQHASQPQVKMFPHGQQSGIAAQSPMLHQPLGGSSSVPTQSLVASVGLISQVQPPFVPQHPGPPVMPTSVQQLPLTHPHLAQVAAATETLPNEIRVADQATPLQAVGPSGPSGGYGAGAVSLQQPGNEGQLTPDVESALLQQVLQLTPEQLSSLPPEQQQQVIELQKMLSAGK >Sspon.02G0012140-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:35777685:35778212:-1 gene:Sspon.02G0012140-2C transcript:Sspon.02G0012140-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DADDALLERGHDTTGRSLLQQKKGACIFTSFLGALLSVLDLCRRCRPSDLVCVWDRLPGELPGRQLHGDHKPVQGPAVPADAVLRRAQGLRVPLLQLHQRREHQLRRHHVQLHQPLRQVPAGPLRQHLPRGRQGPRVPRGHPADRARAEGLRRGRRRRAGRGPGGRVGRLVARVLL >Sspon.04G0028610-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4B:66991792:66994700:1 gene:Sspon.04G0028610-1B transcript:Sspon.04G0028610-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAVVGAPPAELEEEEGGAPGPRRPRLAPGARAPSAGERRGRAVLASRLEPELRPPGSAGAAPSSPRAGSRRRRPSACPRAARPRPDLDPPAPPSGREGRGGRGGEGGPGREGRPGKRRGGRAGEGGEGRGDHRGGRAGEGAALGREEGRERMRGEAADAAGFGDLHSTRRCFCPQLLLATTEARQIQVPGRPPPFRSSQPSISRPFLVGAPARAPKGPGLRSTLLSFSSPVHPSSDNWATAADRLSQVPRAGAWLQAKIVDPVLQVIRRGAEPKQLAFSAALGVTIGIFPICGTTVILGAVAVAMLGSRCNAVTVMVLNLAATPIELSLIIPFLRLGETITGSGHFPLTTDALKNVLTGHASKDVLLSIVRAMLGWLIAAPFVLAVLYAVSIPCFKVLVNRFGSIPSSPRTPIKAV >Sspon.05G0013630-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:47993531:47999122:-1 gene:Sspon.05G0013630-1A transcript:Sspon.05G0013630-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLRAAQTLVSRSLLSARHLNGAASPATAAGARWCATPVPVPRSPLPPSRVMPAGVAGAVSFSLTFATVAAAEAKAKERPQPDLLPQNVVLYQYQACPFCNKVRAFLDYHDIPYKVVEVNPLSKKEIKWSDYKKVPILTVDGEELVDSSDIINILQRRINPDEPTNDEEAKWRRWVDEHLVHVLSPNIYRTTSEALESFDYIAKHGNFSFTERFAVKYAGAAAMYMVSKKLKKKYNITDERASLYDAANTWIEALNGRDFLGGSEPNLADLAVFGVLRPIRYLRAGKDMVENTEIGDWYQRMEVAVGEPSRIQDAEGN >Sspon.01G0031670-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:108935838:108941260:1 gene:Sspon.01G0031670-3D transcript:Sspon.01G0031670-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GGDEASAALCAPMKATSEGLWQGDNPLHFSLPLIILQVCLVLVLTRGLALALRPLRQPRVIAEIIVSCLLGPSALGRNKAFLNHVFPTESLTVLDTLANIGLLLFLFLVGLELDPASLRRTGSRALAIAVAGISLPFALGVGSSLALRAAIAPDAPRGPLIVFMGVALSITAFPVLARILAELKLLTTDLGRMAMSAAAVNDVTAWILLALAIALSGSGSPFVSVYVLLCGVGFVAAATFLVRPVLVYMARLSPAGEPVKESFVCATLGIVLAAGFVTDAIGIHALFGAFVIGVLIPKEGAYAGALTEKMEDLVSSLFLPLYFVSSGLKTNVATISGAKSWGFLVLVITTACAGKIGGTVLASLLMRVPPREALTLGLLMNTKGLVELIVLNIGRDRKVLNDEAFAILVLMALTTTFMTTPAVTAVYKPARRGASSYKHRTVERGGSGEADSELRVLACFHASRGIPTLINLVEASRGTRRSKLTMYAMHLVELSERSSAISMVQRARRNGLPFSGRRGRDGGGEVVVAFEAFRRLSAVAVKPMTAISDLGTIHEDIVASAVNKRAALVVLPFHKMLCHDGTMEPVDRAYHHANVRVLQSAPCSVAVLVDRVLGGAAQVSAPDVSYAVLVLFFGGPDDREALAYAARMGEHPGIELTVARFITAAAPKPDAASGDLELELAKDEEALQRYVTRALKSGDGSVRYEEVTAAAEREELTPAIRTLGRGKNLVVAGRSAPAPPLVEKSDCPELGPVGSYLATPEFSTTASVLVVQRYNPRSDPSRERPEVEGDVEDAVVPFPSSS >Sspon.02G0023710-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2A:80935826:80936266:-1 gene:Sspon.02G0023710-1A transcript:Sspon.02G0023710-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LRRLPHIFAKVLELPFAADADVSVEEDAEALRFVVAAVDVDGLFSPAGARAHAVEIHPGVTKVVVRGLSSSGGGDDDDDGAAAAFELDRWRFRLPPCTRPAMATATYAQGELVVTVPKGAGPDDGAGDGDAAAAALSGTERVLVLV >Sspon.04G0014460-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4B:56833567:56834417:-1 gene:Sspon.04G0014460-2B transcript:Sspon.04G0014460-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LSNVRASLHQPLSPGPRLARRMLPHLIDFFARFVVKRSAAHVATLSGPTWTVQLGRRDTRTASQSAANSNLPSPSSSAATLVSAFASKGLDSRDLVALSGAHTIGAARCATFRSRIYNDTNINAGFATKRKQICGPQAGGTDGNLAPLDAMSSVKFDNGYFRNLVSQFGLLHSDQELFGAGVVDSVTARYARNGAAFSTDFVTAVVKMGNISPLTGSSGEIRANCRKPN >Sspon.02G0052140-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:88198939:88201618:-1 gene:Sspon.02G0052140-1C transcript:Sspon.02G0052140-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AARLQVRGWKNNYFCGLCIRNLETVLHLFVECPLSHTIWKHVATWSNCENLHPAQWGEAMDVEDWFLKMIESGSRKAHTLAILTLWCIWSQQNAAVFNNKTSTAAQVFARIKDESLLWATAGAKNIDWISEMADDILVKILSLVTIREAAMTDCLSSRWRQLWENVHRLSLNAHALGMQVLAKSNYHENPDLWNAEATKFVHKLINLRVSHAQLLPMGVNDCKCLISISIHAEKLEDFPYKGHKVDVQYKYAPVVHRLGAHFVKKNECPLECIGAHSRLRSLTLQFPSRLQVPCVLQKGERFAGLKEIVLCLLTSWKEHIHSVAYLLKLPLLLKHLSLR >Sspon.02G0002320-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:7551335:7555696:-1 gene:Sspon.02G0002320-1P transcript:Sspon.02G0002320-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSIGTGYDLSVTTFSPDGRVFQVEYATKAVDNSGTVVGIKCKDGIVLGVEKLVTSKMMLEGSNRRIHSVHRHSGLAVAGLAADGRQIVSRTKSEAASYEKVYGEAISVKELADRVASYVHLCTLYWWLSEIEKLKLSELTCREGIVEVAKIIYGVHDEAKDKAFELELSWICDESNRQHQKVPNELLEQAKAAAQAALEEMDAD >Sspon.08G0003090-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:8496120:8498122:1 gene:Sspon.08G0003090-1A transcript:Sspon.08G0003090-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding HRVILVLVISGKEEQDDRQDKEHVGHKRRWFWRRRTTNCYGNHTAANERPDISLEQWVQDDRNLVVRLQITEMEHQAYAQENVPLPDESAFVAAHREDIPPPTMHTTTRVGRAATRGSRGRKGGRGHGRENQESGFPSNGDGRQGQEEEVAEDVEDQGDEVAEYMRTTLISI >Sspon.02G0032530-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:113304929:113321090:1 gene:Sspon.02G0032530-2B transcript:Sspon.02G0032530-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKEGNLEAVLKEAVDLENIPLEEVFENLRCSREGLSTQQAQQRLEIFGPNKLEEKEEVPEGRKESPGGPWHFVGLMPLFDPPRHDSAETIRRALNLGVNVKMITGDQLAIGKETGRRLGMGTNMYPSSALLGQNKDESIAALPVDDLIEKADGFAGVFPEHKYEIVKRLQARKHICGMTGDGVNDAPALKKADIGIAVADATDAARSASDIVLTEPGLSVIISAVLTSRAIFQRMKNYTIYAVSITIRIVIATLIAVYADWAFTSIKGIGWGWAGIVWLYNIIFYFPLDIIKFLIRYALSGKAWDLVIEQRIAFTRKKDFGREERELKWAHAQRTLHGLQAPDAKMFPEKAGYNELNQMAEEAKRRAEIARLRELHTLKGHVESVVKLKGLDIETIQQSYTENIPLEEVFENLRCSREGLSTQQAQQRLEIFGPNKLEEKEEVPEGRKESPGGPWHFVGLMPLFDPPRHDSAETIRRALNLGVNVKMITGDQLAIGKETGRRLGMGTNMYPSSALLGQNKDESIAALPVDDLIEKADGFAGVFPEHKYEIVKRLQARKHICGMTGDGVNDAPALKKADIGIAVADATDAARSASDIVLTEPGLSVIISAVLTSRAIFQRMKNYTIYAVSITIRIVKIFHVESLEKTAQDDFQKLASAVYLQVSTISQALIFVTRSRSWSFVERPGFLLVFAFLVAQLIATLIAVYADWAFTSIKGIGWGWAGIVWLYNIIFYFPLDIIKFLIRYALSGKAWDLVIEQRIAFTRKKDFGREERELKWAHAQRTLHGLQAPDAKMFPEKAGYNELNQMAEEAKRRAEIARLRELHTLKGHVESVVKLKGLDIETIQQSYTV >Sspon.05G0011390-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:34594464:34597405:-1 gene:Sspon.05G0011390-2D transcript:Sspon.05G0011390-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIASEGVLYAKKDYNLAKHPQIDVPNLQVIKLMQSFKSKEYVRETFSWQYYYWYLTNDGIEHLRNYLNLPSEIVPATLKKSARPPGRPFGSGPPGDRPPRGPGRFEGDRPRFGDRDGYRGGPRGAPGDFGGDKGGAPAEFQPSFRSSGGRPGFGRGGGSGFGAGPTSSSME >Sspon.01G0061350-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:92971077:92974725:-1 gene:Sspon.01G0061350-1D transcript:Sspon.01G0061350-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPTAPSAGAWSEATTTQGTPSRAKYEAIGELDHIKELIKWGTDYILKTFNSSADTIDRIVAQVGVGDTSKGSSQPNDHYCWMRPEDIDYKRPVTECHSCSDLASEMAAALAAASIVFKDSKTYSDKLVKGAKALYKFGRLQRGRYSPNGSDQAIFYNSTSYWDEFVWGGAWMYFATGNNSYLTVATAPGMAKHAGAYWFGSPNYGVFTWDDKLPGAQVLLSRLRLFLSPGYPYEEILRTFHNQTDNVMCSYLPLFNSFNFTKGGLIQLNHGRPQPLQYAVNAAFLASLYSDYLEAADTPGWYCGPNFYTTEVLRKFARSQLDYILGKNPLKMSYVVGFGNKYPKRPHHRGASIPHNGVKYGCKGGYKWRDTKKANPNILVGAMVAGPDRHDGYKDVRTNYNYTEPTLAANAGLVAALISISDIKTGRFGIDKNTIFSAIPPMFPTPPPPPSAWKP >Sspon.04G0014380-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:59185482:59192678:1 gene:Sspon.04G0014380-3D transcript:Sspon.04G0014380-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPERHQSIDAQLRLLAPGKVSEDDKLVEYDALLVDRFLDILQDLHGPHLREFVQECYELSAEYENDRDEARLGELGSKLTSLPPGDSIVVASSFSHMLNLANLAEEVQVAQRRRIKLKRGDFADEASAPTESDIEETLKRLVSQLGKSREEVFDALKNQTVDLVFTAHPTQSVRRSLLQKHGRIRNCLRQLYAKDITADDKQELDEALQREIQAAFRTDEIRRTPPTPQDEMRAGMSYFHETIWKGVPKFLRRIDTALKNIGINERLPYNAPLIQFSSWMGGDRDGNPRVTPEVTRDVCLLARMMAANLYFSQIEDLMFELSMWRCSDELRIRADELHRSSRKAAKHYIEFWKQVPPNEPYRVILGDVRDKLYYTRERSRHLLSSGISEIPEEATFTNVEQFLEPLELCYRSLCACGDKPIADGSLLDFLRQVSTFGLALVKLDIRQESDRHTDVLDSITRHLGIGSYAEWSEEKRQDWLLSELRGKRPLFGSDLPQTEETADVLGTFHVLAELPADCFGAYIISMATAPSDVLAVELLQRECHVKQPLRVVPLFEKLADLEAAPAAVARLFSIDWYMNRINGKQEVMIGYSDSGKDAGRLSAAWQMYKAQEELIKVAKHYGVKLTMFHGRGGTVGRGGGPTHLAILSQPPDTIHGSLRVTVQGEVIEHSFGEELLCFRTLQRYTAATLEHGMHPPISPKPEWRALMDEMAVVATKEYRSIVFQEPRFVEYFRSATPETEYGRMNIGSRPSKRKPSGGIESLRAIPWIFAWTQTRFHLPVWLGFGAAIKHIMQKDIRNIHVLKEMYNEWPFFRVTLDLLEMVFAKGDPGIAAVYDKLLVAEDLQSFGEQLRKNYEETKELLLQVAGHKDVLEGDPYLKQRLRLRESYITTLNVCQAYTLKRIRDPSFQVSPQPPLSKEFTDESQPAELVQLNQQSEYAPGLEDTLILTMKGIAAGMQNTG >Sspon.01G0060610-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:81167229:81167642:1 gene:Sspon.01G0060610-1D transcript:Sspon.01G0060610-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding KKCDVLLPASSLPSPHAPCRSSPSSAAAPTCPPRRVLAAAVPNPAQSGPAPPRPPPPSAAPRRVLASTHRPPLRAVDSGAAAVPSAVPAPTQRPRVHARRTAAALACTVLLSSPEKLDIAPLDATADLAGQARCSPL >Sspon.01G0013390-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1A:36582919:36585073:-1 gene:Sspon.01G0013390-1A transcript:Sspon.01G0013390-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MESCVPPGFRFHPTDEELVGYYLRKKVASQKIDLDVIRDVDLYRIEPWDLQEHCKIGYEEQSDWYFFSYKDRKYPTGTRTNRATLTGFWKATGRDKAVRGSKQGAGLIGMRKTLVFYTGRAPNGRKTDWIMHEYRLETDENAAPQARPLVICVLLLSRLPSLGRPHLHCPPEPTGYQDGATGSAGKFQCLFGMALISKIDRISNRTIGPENEEGWVVCRAFKKRTMHPPRSVAGAGSWDPSYSYYHDPIVVASAARFKQESPEDGAAAAASAALLQYSSRLAELPQLESPPLMPHQGSHRAVADGEGDSAATTDWRALDRFVASQLSPDEERSGQGLHPEYCGGKQPLGTHAGDNEDATDMAALLLLDGVWHGEAGLLGS >Sspon.06G0005960-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:18580397:18581587:-1 gene:Sspon.06G0005960-2C transcript:Sspon.06G0005960-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVTARAPVAALRPSASLKSSSSAFLGHSSRLGRTASPTRRSLKAEAKGEWLPGLPSPAYLDGSAGSRLTDMPMPTCAARRLPGDNGFDPLGLAEDPENLRWFVQAELVNGRWAMLGVAGMLIPEVLTKAGLINAPQWYDAGKSEYFASSSTLFVIEFILFHYVEIRRWQDIKNPGSVNQDPIFKSYSLPPHECGYPGSVFNPLNFAPTLEAKEKELANGRLAMLAFLGFLIQHNVTGKGPFDNLLQHLSDPWHNTIIQTLSS >Sspon.02G0021570-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2A:72398702:72400189:1 gene:Sspon.02G0021570-1A transcript:Sspon.02G0021570-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMILSSILSEFTIRSISFLIDKCLRQTSPPTVEEMLSNLQRLLLRVHVIVQEADERHISNQAMLRQLSQIKKEMYRGYHTLDTFICQAAHEEENRVSRSIFSPAKRIRFSSGDGSSSVQEQLRQVLGCLEAAIRGTSELVVFLSGCPRRCRQPYSMYLILDHCMFGRQMEMARIKEFLLQEEVPIDGNPGVLPIVGPVRVGKSTLIEHACNDDRVRGHFSQIMCFSQHSIRDNRTVAALSDCDVIKHRTRAEGEDRILVIIELTGDINEPAWRKFYSDCKRHVAGGSKIIVASRSDKIARLGTTQPLKVEFFTPEVCWYFFKVRTFGSTDTQDHVKLASIAMDLAQEMNGCFFGASIFSGLLKANFDAHFWSMALAIVKDFKRVNLSLYGDKCADLWQSFEPVFLRRVNTTSSEYFVIVHDYETGFVQDSTQSEGPQMSFRDLLFGNNFRRRGRFGVLAWRSHIPPHYSYMMNCEVRRPDRVVSRKKPIQQTAN >Sspon.06G0021620-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6B:17853279:17854710:-1 gene:Sspon.06G0021620-1B transcript:Sspon.06G0021620-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VKRNPCAHKCSSRRLSKKVKNATNYWICEKGSSKEEGKEHQMCPKFHFAFGGCTIDVHEIHLVCHSLEVSSKKKGNRTNSDSRRSKLSRSGSNQPEALSIAQIKDQPIQVKKRQTTINKKLGLPKKKAMNNPKVPPDSPSMGTRSKKGVPSSPAMSMRSKRRLSL >Sspon.08G0029180-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:28409239:28419679:-1 gene:Sspon.08G0029180-1D transcript:Sspon.08G0029180-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLDSSQGVGSSTTAIASTSSSKAPLPNGGCSDKFDCLNNDLSFPPGGYPSLRLPVVTSLEANPVARCRRVFAHAHDYHINSISNNSDGETFISADDLRINLWNLEINSQSFNIVDVKPTNMEDLTVFYELTKGLNRFEEHEAPGSRSFFTEIIASISDIKFSRNGRYILSRDYMTLKLWDLNMNSGPVSTFQVHEHLRPKLCDLYENDSIFDKFECCLSGDGLRVATGSYGYFFHPLLTACVILQKSCLLTLPFPGVFNCSNIFRVFGCTPGSMEATTLEASRNPMRRQIANPARPTRTLASFTRGVRRGGENQGVDANGNSFDFSTKLLHLAWHPTENSIACAAANSLYMYYA >Sspon.07G0010600-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:23355491:23356273:-1 gene:Sspon.07G0010600-3C transcript:Sspon.07G0010600-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding TMAEHHLPPEHADHHHHHKGGRKGVHADDLKPGSRGRPRYSYYYSGDGSGVGGAARALCFAVLVLLLAAGITLLVLYVVYRPSHLTFTVTSAAVLALYNATASNAAGATPTALAASFQFTLVLGNPSGRCAARYDRLTAYVAYRGEALTAPAAMPPLAQDAGTAVAAAPVLGAGVAGPPVPVSPDAAAALATDVANAVLPLRVVLLGRVRFVNGPFHRGWHSLYARCDLLLGVRKIGGNGGAGGAPSQTPILGDPNCNVDM >Sspon.02G0015070-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:39191568:39193446:1 gene:Sspon.02G0015070-2B transcript:Sspon.02G0015070-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GMKGGCLHRLIVHKLCFGLVVLLTVPIVVLLLEGAPVLTIFSTTPGQLKVISPGFLQQQEQEHLGDDGATLAGSPGPASMSRSHKSKGKVMIDCNYAKGKWIEDDKRPLYSGNECKQWLSKMWACRMMRRAHFSYENYRWQPHGCEMPEFTGPNFLNRMRNRTLAFVGDSLGRQQFQSMMCIATGGKYSPEVEDVGWKYGLVKAPGALRPDGWAYRFPSTNTTILFYWSATLSELEALNTEDTVTRYALHLDRPVTFLKKYLNYFDVLVLNTGHHWNRGKFNGNHWELHADGKPVGNGSRLADLTRAKNLTLHSIARWMDSELERHPKMKVFLRTISPRHFVNGDWNTGGTCGNTIPLSNGSEVLQDHSIDIPAENAVKGTRVKLLDITAISKLRDEGHISNSSFKKASTGINDCLHWCLPGIPDMWNELLFVQ >Sspon.03G0046070-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3D:32001844:32002338:1 gene:Sspon.03G0046070-1D transcript:Sspon.03G0046070-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTDPALAVPAGEAGVNEIAMPGSPTRLSTQLTSSVPEVVIELSDEDEPEGSTSPVGVAPAASVISTAPTTSIAPTVPNFLGPSTPCSVGRPDTRRDEEIARKLFVELNREAIGIPGDGGLVILSSDDEEAIEEEEEEEEVKDEPAGGGSPSRSSAAPILSAAL >Sspon.01G0026010-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:90991193:90991978:1 gene:Sspon.01G0026010-1A transcript:Sspon.01G0026010-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHVHAYHVTVTIYSPYGLDAAAVHRHSQRGSCSSLPINRLGLAQHDVARSELLRQQASGRCERGEAWTMAAASLLSRVRWLLVEHPAVVSFRWQPGRTVGATTSFAAAVICGYLAAVLVLRRLVLPRLPPLPPRALRAASAAHNAVLLALSAAMAAGCALSTAAAAPRWAWPFCFPPRGATEASGPVFFWAHVFYLSKVYELGDTLLILLARRPLTLLHVYHHAVVVAMCYLWLATRQS >Sspon.01G0033250-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:114101713:114102079:-1 gene:Sspon.01G0033250-2D transcript:Sspon.01G0033250-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSAAAPSGVPPAGKRSASSGREGDQMVITPLGAGSEVGRSCVHMTFKGRTVLFDCGIHPAYSGMAALPYFDEIDPSTIDVLLITQ >Sspon.03G0040760-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:32703464:32705682:1 gene:Sspon.03G0040760-1C transcript:Sspon.03G0040760-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTTMKASDPGSAWFGSGAGRTPSAAGTGHNARLITTAVAAFVSVLGLALFLHLYVCHVRRRNRRRAAARAAVLPTTAAAAPTNSTPERGIGACASLMKMLSRERPAPRRPQGAYADAGDLDDLERQQYAVASEE >Sspon.02G0009650-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:27013419:27015156:-1 gene:Sspon.02G0009650-1A transcript:Sspon.02G0009650-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATSDDCGSWLLYLSLAVKCAGGDQPYRLAGFLAVCAVAFVVTCLLHWCFPGGPAWGRWWWTQTRRRVAGVPGPRGLPVIGSMWLMTGLAHRKLAAAADSLRARRLMAFSLGGTRVVVAAHPDVAREILNSPAFADRPIKESAYGLLFHRAIGFAPYGAYWRALRRVASTHLFSPWQVAASAAQRAVIARQMVAAMKELSAAASASGRDGFEVRRVLRRGSLHNVMWSVFGRRYDLELDPAKESPETRELRSLVDEGYDLLGQLNWSDHLPWLARFDLQSTRSRCDRLVPLVNRFVGGIIDEHRARNDLRSAPPAVMDFTDVLLSLPADDRLTDPDMIAVLWEMVFRGTDTVAVLIEWVLARLVLHPDVQARVHDELDRVVGPDRAVTESDSASLVYLHAVIKEVLRMHPPGPLLSWARLATSDVHVDGHLIPAGTTAMVNMWAITHDPDVWAEPTEFQPERFMGSTTAEFPIMGSDLRLAPFGAGRRSCPGKSLAMATVAFWLATLLHEFELLPARGVDLSEVLKLSCEMAVPLAVTARPREA >Sspon.04G0034340-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4C:73144503:73146242:1 gene:Sspon.04G0034340-1C transcript:Sspon.04G0034340-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVLLGPPVIRGARPPPPPATAAEAPAASHPFLDLLDACFNNEDDPPAGSDAAKGPRMARTENNSATYASSGNPCLDLFFQVVPDTPAQRVRELVTVAWAHDPLTALKLVANLRGVRGTGKSDREGFYAAALWMHDRHPKTLACNLPALAEFGYLKDFPELLYRLVHGADTRKLYKAKAETQKIRRKVAEVRAARLAGKKRAHGETAERSSESGAVVPAAAAPMETEEEAAVENKPEATDVAAAKEIPMTKEVRKAAKLAVQSLETYYGNGAYRFLLDCVAQFFADLLASDLEQLAPGGRKTKIGLAAKWCPTPGSSFDRTTLLCEAIARRLFPRDSSPDYSDLSEEHYAYRVLHRLRREVLVPLRKVLELPEVYMSAQRWSELPYTRVASVAMRRYKALFKKYDEARFDKYLEDVEAGKAKIAAGALLPHEIAAAAFRGQADDVSELQWRRMVEDLRKKGSLSNCIAVCDVSGSMDGTPMEVCVALGLLISELSEKPWTGRVITFSMIPQIHKIEGKTLVEKMSFIERMQWNVNTNFQAVFDRILRTAVDGRLPKEKMKDDQDRLRVQRHGVRPGVC >Sspon.08G0007730-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:25868338:25872263:1 gene:Sspon.08G0007730-2C transcript:Sspon.08G0007730-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:O-fucosyltransferase 8 [Source:Projected from Arabidopsis thaliana (AT1G29200) UniProtKB/Swiss-Prot;Acc:F4HZX7] MEAASSSAAGGSYSSSWGREPGRVGATNIGRLRPGKQQQQQHATRAAGVGISVSVTSWHLRVFAAVVAFMGCVLLAASLAMSALHQVQFRNAAISRNFRGLQITYFCVQNGSEAEDFALWEEPYKQARKWKPCAAKHSLADEEPDEINNGFILVSANGGLNQQRVAVCNAVVVAALLNATLVLPRFLYSSVWKDTSQFGDIYQEDYFVNYMKNDVRIVKELPARLQSLDLEEIGSQITDMEISKEAEPSEFVKSILPILQQNGVVHFLGFGNRLGFDSVPVHLQRLRCRCNFHALKFVPELQQAGSLLVQRLRQVSAMQTEMDKQLFGNNMLDPAFAENHAAGTPNRYLALHMRFEEDMVAYSLCEFGGGEEERRELQAYRETHFPALALRLRNTYSELTPSLVACRSTVSPEEQRSLGRCPLTPEEAGLVLSALGYDRRTFIYVAGSQIYGGAPRLRALTRLYPNLVTKEDILTADELAPFKNFSSRLAALDFIACASADVFAVTDSGSQLSSLVSGYRIYHGRGRAPTLHPNRKRYAQVLSELGSIAWGGFQRRVRQMVDEYKRVSPRPRGRSVYRQPRTPGCTCRAAGDGSVDF >Sspon.07G0026810-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:49267769:49278434:-1 gene:Sspon.07G0026810-3D transcript:Sspon.07G0026810-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDSSLFMQWAMDTLLQEEEPAVDDVHWAMDTLLQEEEPAAVDDGEAVVFPSLQALRDASHAAEMVRELMSAAETHDGANSWSSGSGDSGEVTDGGSSGATDHIAPAATDYYGGSWPPQSPNSSFARAPPPPPPSSNSNSNTSLPTVSWNFVTGSAQPGSEGVLEEAAVPVPARSLPPKLAQRRRICSPPPGCICAVAWCGNAEGVVDGVEDPAGVHSTGEVVEAACKPPDESAHAGHQVNTRQQTERSAKKRKPGSGASTTHTSTLFQMLQLIQTEGWGGLYSGLKPSLIGTAASQGIYYYFYQILKNKVEDIAVARSNKGLGDGTVGMLSWLGIAAVAGSINVLLTNPIWVLVTRMQTHTQAKRKILESKTELLLKEMSRANSLEVAVLKDRLVKLESEKPRPYGTLQAIREVYHESGIRGFWKGLVPTLIMVCNPSIQFMIYETLAKRLQSKRSGKQLPKRNLTAMEVFLLGAIAKLGATVVTYPLLVVKSRLQAKQEIGSNVMSRYTGTLDAIIKMVRYEGLHGFYKGMGTKIVQSVFAASVLFMVKEELVKLAVLLVARSRTMLITTSKKQ >Sspon.02G0017490-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:50939329:50947616:1 gene:Sspon.02G0017490-2B transcript:Sspon.02G0017490-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor TGA10 [Source:Projected from Arabidopsis thaliana (AT5G06839) UniProtKB/Swiss-Prot;Acc:E3VNM4] MMAASSTMSKESAASYDMAEFDQSAIFLYLEDGHDQEQQRQTLNIFPSQPMHVAEPIPAKGVSMGMVTASMLPNGNSSSPKRQEQGGQQRSVAAPAGPVVPLPNNNLAKDNRNSLTKKEETSSGKGAASAGGVQERVKDPKTLRRLAQNREAARKSRLRKKAYIQQLETSRIRLSQLEQQVQVARVQGVFLGTGDQPGFPSAPSPAAVFDVEYGRWVEEHSKLMFQLRAALSEHLGDEQLQSFVNGGMAQHEELLHLKGAMARADVFHLLSGVWVSPAERCFLWLGGFRPSEVIKVMLKHVEPLSEGQILGIYQLQQLVQEREEALNHNMEATQQNISDIIAAPDVAPATFMGHMSLAMNKVAAMESFVMQTLHKLHHILTTRQAARCLLAIADYFHRLRALSTLWVARPRQDDGPGLQ >Sspon.07G0020460-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:79858302:79864445:-1 gene:Sspon.07G0020460-2B transcript:Sspon.07G0020460-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDACAARRLLLFSLPTPKLPPEHPLLMRRRRGRGRPGIARCAAEAGAGWGGIVEDDLSELLQILPRDLRDNLQNEPRKDQLLEVILDLGRRPEARFLGDSGGQYLRESEISQKELEDAQKAVGEFGGDNRAGIEGTLHRISAIRSRKGMVVGLTCRVGRAVTGHVDMVRDLLNYKESILFLGRPGVGKTTVMREIARVLADEFQKRVVIVDTSNEIGGDGDVPHAAIGGARRMQVPEPSMQHRVMIEAVENHMPEVVIVDEIGTEAEAQACRSIAERGVMLIGTAHGERLANIIKNPTLSDLIGGVETVTLGDDEARARRSQKSILERKAPPTFPFLIEMRERHYWVTHRTERSVDMLLHGKKPLVERDEIEGEDWAGLGWAGLGCGACMGCVASWAVDIRE >Sspon.03G0042120-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3C:50620547:50620895:1 gene:Sspon.03G0042120-1C transcript:Sspon.03G0042120-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPTDLVAAAADPAAAVADPCQSFFELPLSSPPTPAGLEGAGQAVGMVGGRFRGAGDGRARLGWGSSAMVAGGEGKNRGRGAPTAAGARAPESRKKSY >Sspon.06G0009810-9P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6D:40530365:40530870:-1 gene:Sspon.06G0009810-9P transcript:Sspon.06G0009810-9P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VKVNGFVCKDPMAVNADDFFKAANLDKPRDTNKVGSNVTLINVMQLPGLNTLGISLARIDYAPLGQNPPHTHPRATEILTVLEGTLYVGFVTSNTDNGNKLFAKVLNKGDVFVFPQGLIHFQFNPIHDKPAVAIAALSSQNPGAITIANAVFGSKPPISDDVLAKAFQ >Sspon.01G0039110-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:20242240:20247852:-1 gene:Sspon.01G0039110-1P transcript:Sspon.01G0039110-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LRRHIRSGSGTEVSMASIARGRSRRRQGDGSSDRNAAHFTVPNPDHQGFVSSRKQATYPGMLSDSVVADVNRQSKSRKASAVPMKILIDEEFSNDVNARHISPGAVGRLMGLDSLPSSGIHNQHRHTQSPAPKTSPGSFHARNGLHEDIPHRSSADTIDVFEVMEAAKTKMHRSPRSKIGNTTPRSDKVDSADIDFIRQKFMDAKRLSTDESLQMSEEFNETLDALVSNRDLLLEFLQKFDPAIRRNLHNHGSPSSAANCITILKPSRRNHFIDMDNIYPQEKGTESIFNEQKEVKHSLRKPYSNVPLQSRKEDSCSLRQKLSRSSHQENTGKRGCPTRIVVLKPNFEKPHDIEEALPLHHKIPHSDYRSHKECPEIGRWTPYTEDYMSQVPLGDSETLSRMGKGSREIAREITKQMRAARGGSRKHAVKPEIRTPASDERSQFLPSVTKLKTPEAIHRYSEPCDAWASSSLNSSPTYLTETSVSKEAKKHLSNRWKKTHQCQHQETDSDSFSTLGDMLALSDQNASMVANHKMTSRKCPKAGVQSDKMQNSCIYPLGISSNDGWRDTATSKLTRSKSLPSSFIRGVQKSNNRKRTGSVTYNEFSMLKDVLKVGPHYSEHACRSRQRQSLSRDSTIHGDESDLMSTDNEEQMAVEREIHVNYEEPINGTAVTETSGQSQHPANLDHELDAVGILDTSSAIPVSNKRPLSPAGQNQQMLKVTTTALDNCLLVPSLDDLMAKVEQVEYHEGDDYLATYDPQIESDSPEEINHHLGDDNQTLCIPPNESESPANSNKDDQQSPVSVLESSMDAEDVYSGDFEKISVRLQLRLLKRETTDTGDDNELFILSDDEAARQSLPEMEESHSFRNMEERDFSYVFDMLVALGIHAPNEDELIDNCYLLECPAGLDFFDDLEKKYSSLILWPQHERKLLFDITNAVLGDIITSVMNSCSKRLMVRCSPGWNEEEFAELVWQRVVQVQQEIEFNQEALLWSVEWVGSEDGTNLVGCDIGSMLQDDLLEEIVADFLGV >Sspon.03G0030900-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:20301726:20312512:1 gene:Sspon.03G0030900-3D transcript:Sspon.03G0030900-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MENCSKNFDAGQYAFFGKDPLDGFELGGLEEPGGDGNGGGFGGPDEGLYRLNSVGDETDDLSNLSDIDDLASTFAKLNRSISGIRNPGVIGDRRSISRGSSLTVDWAEDVEFSNWGDQDIFENEEFHETRDSNSRPLSRTSSYPQQPLQHRSSEPIVLPKTSSSTSFPPPVAGGGRSPYPTQGLTRHGSIPSIGAGLQMGSPSMSLSASPYHMVGPSHGLPYTGGMPYGALNLPVNNPVQNDWSNHASAEIGTGPITPSELPQYNSTPAISPSLCRDYRQFSFIKRQEIKVGRESIAFASLNHHLILVARMVTAEDEIHERDRFSDFMLTILSLKTRVQLEARALKEVKTFYLEDVLSILQSAGGNHLNTTSDKKESNVLTDDFKSSMDDSINLALVNDEFDPLLELISAEQNTEVYNYQHSETGVTPLIVFAAKGQLGDDHDGKSALDWAQQENQQEVCEVIKKHMECSSEKSTEDNELLNKYLATINPEHIDTLLIERLLGKICMDSNDGAILVFLPGWEDINQTRERLFASPFFRDSSRFLVLSLHSMIPSSEQKKVFKRPPAGVRKIILSTNIAETAVTIDDVVFVIDSGRMKEKSYDPYNNVSTLHASWVSKASARQREGRAGRCQPGICYHLYSRFRASSLPDYQIPEIKRMPIEELCLQVKLLDSNCRIADFLKKTLDPPIPETVRNAIAVLQDLGALTQDEQLTELGEKLGSLPVHPSTTKMLLFAILMNCLDPALTLACAADYRDPFVLPIAPDERKRAAAARVELASLYGGFSDQLAVVAAFDCWRRAKDRGQESQFCAKYFVSSNIMYMLSNMRKQLQNELSQRGFIPADASACSLNSKDPGIMRAVLMAGAYPMVGKLLPPRKNARKAVVETASGAKVRLHPHSCNFNLSFNKSSGKPLLIYDEITRGDGGMYIKNSSVVGSYPLLLIATEMVVAPPDDGSDEEEEDSSEDEAEENTSVQQKEEIMSSPDSTVSVVVDRWLRFDATALDVAQIYCLRERLASAILFKVKHPQDVLPPPLGASMYAIACILSYDGLPAMVPLNDLSANGGSGQNLAEASRFSQGRRAGYIPPSGFLMSLLADKTHPGGSSALTRPSRAPVGRFDRSQRPFRNSGPGSSASRSFKRQRDAAR >Sspon.01G0054810-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:69071047:69072361:1 gene:Sspon.01G0054810-1C transcript:Sspon.01G0054810-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMHAECFAVNCGLGGDCEKGAGVSYQCRCKPDCAKLGLFNTPAAPAPAPAAPPSLVCRQPPPTVCLFIVFRVIVADRSQGGDETDRTHRK >Sspon.01G0027740-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:91220611:91224424:1 gene:Sspon.01G0027740-4D transcript:Sspon.01G0027740-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGEGGDEKKQRRRRRRLWAACLPRPGCFTVSAADEDPSAPGAGEGESKPKPTHLVVTVNGIVGSGCNSAARTFDGVDVMGRRLAEEVTSVVDSRPELRKISFVAHSLGGLIARYAIALLYESEAQKDSHEKCENHAVDYSSNQRSIGGKIAGLEPINFITFATPHLGTRSHKQIPLLRGSNKLEKMAYRLSWIAGKSGKHLFLKDIEDEKPPLLLQMVTDYEVMLKGLNRLSWERVDVSFKKSRQRIFAHSTIQVKTYFLNSDGADVIFHMIDHFIY >Sspon.01G0020280-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:73422509:73423339:-1 gene:Sspon.01G0020280-1P transcript:Sspon.01G0020280-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADHQRIHPVDLEAGSNRPTAPLVPGGSFRSDKGDPARSANHHHQQQKQQRAYVPGGPLPPPPRRLAPAAPLPPPRKRRGGRGCCCRFLCCVVITAVVLAVLAAAAAGALYLALDPKAPRYSVDRLSVSAFQVDPSTLTARAGFDVTVTAANPNSRIGIQYEPGSSLGVWYQSYRLARGALPAFYQGHRNTTVLALAMAGEVQLGTAVVSGLQDAQRTGAVPLVFRADVPVRVEIGSFKLWKVTSRVRCDLVVDRLMDVSSPIKIKASNCKFGLKL >Sspon.08G0005290-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:22902155:22907200:-1 gene:Sspon.08G0005290-3C transcript:Sspon.08G0005290-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLIIYILFTVLVLISIISSVGFAVRIKLDLPNWWYLQPQKSNKLDDPSRPALSGIFHLITALILYGYLIPISLYVSIELVKVLQAHFINQDIHMFDEETGNTAQARTSNLNEELGQVHTILSDKTGTLTCNQMDFLKCSIAGVSYGVGSSEVELAAAKQMASGADDHDIPLQDIWEENNEDEIELVEGVTFSVGNNRKPSIKGFSFVDDRLMQGNWTKEPNSSTILLFFRILALCHTAIPEINEATGSIAYEAESPDEGAFLVAAREFGFEFFKRTQSSVFVREKHTSSKGTIEREFKILNLLEFNSKRKRMTVILQDEDGQILLFCKGADSIIFDRLAKNGRMYEVDTTRHLNEYGEAGLRTLALSYRVLDESEYSSWNAEFLKAKTSIGPDRELQLERVSELIERELILVGATAVEDKLQKGVPQCIDRLAQAGLKIWVLTGDKMETAINIGYACSLLRQGMKQICLSIPTGEQVAQDAKKVAKESLLSQIANGSQMVKLEKDPDAAFALVIDGKALAFVLEDDMKHMFLNLAIECASVICCRVSPKQKALVTRLVKEGIGQTTLAVGDGANDVGMIQEADIGVGISGVEGMQAVMASDFSISQFRFLERLLVVHGHWCYKRIAQMVLLEALLLFILLHLILLCLCFNFTKSFVELQICYFFYKNIAFGLTIFYFEAFAGFSGQSVYDDWFMLLFNVVLTSLPVISLGVFEQDVSSEICLQFPALYQQGPKNLFFDWYRILGWMGNGLYSSLAIFFLNLCIFYDQAIRAGGQTADMAAVGTAMFTCIIWAVNMQIALTMSHFTWIQHLFVWGSITTWYLFILAYGMTLRSRDNYQILLEVLGPAPIYWAATLLVTAACNIPYLIHISYQRSCKPLDHHVIQEIKYLKKDVEDQTMWKRERSKARQKTKIGFTARVDAKIKQIKGKLHKKGPSLTIHT >Sspon.03G0005510-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:15776666:15778515:-1 gene:Sspon.03G0005510-1A transcript:Sspon.03G0005510-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLCPASSCRSASLRRTAASPAAPSFPSSRHYGGASTAPLASPVASQPPRALAAASYDYGGDLLRPIDTQTIIIAAAVVSAVALSLVLGLKGDPVPCDRCAGNGGTKCVFCNDGKMKVENGVVECRVCRGAGLILCKKCAGSGYSKRL >Sspon.05G0009340-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:18427351:18430702:1 gene:Sspon.05G0009340-1P transcript:Sspon.05G0009340-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVHDSLEVLDGRCDDDGHPRRTGTAWTCAAHIITAVIGSGVLSLAWSVAQLGWVVGPVCMFCFALVTYVSAALLADCYRRGDPEKGPRNRSYLDAVRVYLGKKHTWACGSLQFVSLYGCGVAYTITTATSIRAILKANCYHEHGHDAPCDYGGSYYMLIFGAAQLLLSFIPDFHDMAWLSVVAAVMSFSYAFIGFGLGLATTIANGTIKGSITGVQMRTPMQKVWRVSQAIGDIAFAYPYSLILLEIQDTLKSPPAENKTMKRASMISILVTTFFYLCCGCFGYAAFGSDAPGNLLTGFGFYEPYWLIDFANACIILHLLGGYQVYSQPIFQFADRFFAERFPDSGFVNDFHTVRFACLPACRVNLLRVCFRTLYVASTTAVAVAFPYFNEVLALLGALNFWPLAIYFPVEMYFIQRNVPRWSARWVVLQTFSAVCLLVSAFALVGSIEGLITQKLG >Sspon.05G0009740-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:22938748:22943313:1 gene:Sspon.05G0009740-2B transcript:Sspon.05G0009740-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MACAHLAAAGASSPAAAAVCSPAHSRAAAFARLRSTPCFASSPLSIKGNRAAAFPLVAAAGPAAAAPVADLDGRPAAEKQSIIVIDNYDSFTYNLCQYMGELGLNFEVYRNDELTIEDVKRKNPRGILISPGPGEPQDSGISLQTVLELGPTIPIFGVCMGLQCIGEAFGGKIIRAPSGVMHGKSSPVYYDEELGKALFNGLPNPFTAARYHSLVIEEETFPHDALEATAWTEDGLIMAARHKKYKHIQGVQFHPESIITPEGKKIILNFVRFIEELEKQRS >Sspon.08G0006550-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8B:18073067:18075085:-1 gene:Sspon.08G0006550-2B transcript:Sspon.08G0006550-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYSSSEDEELVGDFIDAEDNTGTENIDQGTGVMASQIHGDDPSVGSMPPVIGNELLMAADIVGKIDEPRMGMEFESDAAARAFYNAYALRFGFGIRVARSRSERRKGVEVLVMKRFVCLKEGHHKKKPVEPSNKKKRKRLSIRDGCPAMMEVVRRGPDRWVITKLVLEHTHVIVSADRAREVQLRRLSGKFQEHENQLQELRRNVFGDTNAQGLFNYFKKMQSESSSFFFSIQVDSKNCVSNAVWVDARARMAYTYFGDAVYFDTTYSQNENMLPFAAFTGVNHHGDTVVFGCALILDRTESSYGWIFETWLTAMDNRLPFSFTTDEGKGIAAAVAKVFPQCFHRLCRWRILSRCKKRLTDVCTRFPELHDELKRCVNGCDTVAVFDLFWGSILDKYGLRDDNWLQSLYEIRDKWVPAYLTSSFFAELSLTHRVETFLKTDTVLEKQARSIYTRAAFETFQVELVEAMQYYAVKVQDGSYMKYFVERDGDPPTRHTVFYNVAEKKAWCECYRFSFSAILCRHVLRVFLLAGVTMLPEPCITKRWTKKAKTGPELFGLNVGNGSGSADSVASRYTDLVRDAMKCAEKGAVSAGSFRVAKEVLCKAFMEIRGLGEKLNKDALHSASSR >Sspon.02G0019850-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:64599673:64600228:1 gene:Sspon.02G0019850-1A transcript:Sspon.02G0019850-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding HDGCLGEQLRRLLLPGRRAAGATRGLGVSVSGEGDAPAPDGGAGGGGRDDQRLRHELRRGLRHGRPAHRGARPGGGVDQGRGPRAGHVRLRREGQPIAAHGHDLRVPGRQRLQRQQRRRRRAQPGGHGRQGDGRGRRDRRVPVRARLLPGPDLLVRRQDRRCNGRVQRLPPAQL >Sspon.03G0001220-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:14894338:14897809:-1 gene:Sspon.03G0001220-3C transcript:Sspon.03G0001220-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPRSSSSPSISRAVLVLLLCCLCSYVARSQTADSCTGTAGLAAVSRLIPFDTSNLTCFDAWTSEGFIVRYGKSGQNNTWSFVLSAPDGGGYISVGFSSDGAMVGSSAVAGWTTASGAGVAKQYRLGGTSPGSCPPDQGSLALVPGTTLLVAQSSRLYLAFQFTAAQPPPPYLIYAVGPSGAQLSNNYLVRHRSYASAAVDYATGVASSASGSGAFNTKKWHGAMAGLGWGVLMPVGVALARYFKRHDPFWFYAHVSVQGVGFVLGTVGVVAGFKLDDDVPGADSHQALGVAVLVFGCLQVLAFLARPGKGSKVRRYWNWYHHYVGRAAVACAIANVFIGLSIAHEAAALSAFYGIFLAVWVLASVVLEALEDRQIQPLMVRWRARVWVREAPIHFSPSLVRKHAPSILSLPPEAKQQNPKFPARRV >Sspon.06G0020100-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6B:7482669:7485839:1 gene:Sspon.06G0020100-1B transcript:Sspon.06G0020100-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLPQPSAVKDDAPTALRTSISCPPHFGGVSACDLDGLPAGSSSSRVLSYPLIGDFNRKTTLDGPNESNGFSKDNHEFEHADLRGLNIDSRDGNSRSFPKLVPSVHMPARRVVGFDSGCGGSDGTETNMVDSSLINSNCHLPFDQHEFQARKRLLSPLKNVLPKQFNGDVLNLSSDDSRFRHSDSADKLYSTGSQDNKKANTGCLNSFETRDTPTSRCSSWSPEWDVTRSNSNSFTDGPLLGSKDSVSYYDHLAASAKLAHSPLSLSPLSPKYMNKIKVTGSQRSIMKDLENDFLDLKETGGSDGARMQDVSKGTNLLHDELEVMTPKWSSLRRYRNWGPESSPTSPRPTSPRIGYGRSLSLHTIDGFLAVLNVTGGSFFPTTQKLPFSVTSIDEDSSLLYYSSIDLAGRLPSNNSKSPKLQRSYSNNDSRSAKSRLRIPVKGRIQLVVSNPEKTPLHTFFCNYDLSDMPSGTKAVAVDRTATQMTNQKKEIQKKMSIIG >Sspon.08G0026380-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8D:18341674:18346206:-1 gene:Sspon.08G0026380-2D transcript:Sspon.08G0026380-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RHRGLLPPPKPTTPPSPSIRVAPPWPVLQPQRRREDALPLRGAAAAPSRQPLGTSARRLRSSPAKQRAPTSATVLMVLTRCRSPLAPTPMPGIDRPRISLPYVANSWDAVSDGRMDGAGCACGASNGAGCADDERGRLLESPVASYERGSRSMLAPRNDVRELARIEVVCMSSDVCLAILKTGKRALKDTSPESCDPSKRKENDQATTRHGSVPAAAGRRGRAAASGVAVGHGRRRHCGSRRELGLAALAPASPDSVVKMLKKNGIARVKMFDADPWPVGALVDSGIEVMLGIPNDMLETMSSSYGNAQDWVKENVTAYGDKLKVKRYVAVGNEPFLKAYNGSFMKTTFPALKNIQKALDEAGVGDTVKAVVPLNADVYVSPDDKPSSGAFRPDINDLMTDMVKFLHDHGAPFVVNIYPFLSLYQSDNFPFEFAFFDGGKNINDKGGINYDNVFDANYDTLVHALKKAGVPNLKVIVGEAGWPTDGNKNANLKLARRFYDGLLKKLAKNEGTPVRKGKMEVYLFGLFDEDMKSIAPGNFERHWGIFTYDGKPKFPIDLTGQGHDKLLSPVTDVKYLPSQWCVFDDGAKDKSKLPGNIQYACASGDCTALGYGCSCNGLDEKSNISYAFNMYFQMQDQDVRACDFDGLAKITDKNASTRGCLFPVQIISASGRAAPSALLLAPLLALVVMLLV >Sspon.06G0019800-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:3717786:3721001:1 gene:Sspon.06G0019800-3D transcript:Sspon.06G0019800-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPVVGIVVSNKMQKSVVVAVDRLFHHKVYNRYVKRTSKFMAHDEAGDCNVGDRVRLDPSRPLSRHKHWVVAEVLRRAKVYVPPSATASGEHGIKSQQAGVGTKSST >Sspon.01G0001260-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:2802362:2803304:1 gene:Sspon.01G0001260-2B transcript:Sspon.01G0001260-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQWADKQQQQQQAASTSAAVAAQMPFLALLQGAGVVMEAEEEQRHDEHKRQAFARAVSDLDLLESCVTQAVAPAAAAASRTERRRKRPRARARAAPPPEKRRKPEEAESQRMTHIAVERNRRRLMNDHLASLRSLIPSSYIPRGDQATVVGGAIDYVKQLEQQLVALQAAAAARRGGAAGAGAAVATAASDGVFVCPQYASYSDSPRCGLGAGVDVEATAAVGGHVRVRVAGRRWPGRLVRAVTALEDLRLAVFHLAVTSVGHDAVVYCFNLKVSEKCHVDFYF >Sspon.03G0025690-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:4443818:4446606:-1 gene:Sspon.03G0025690-2C transcript:Sspon.03G0025690-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MELDGKYSKDQSLKCDCLLFDLDDTLYPFNSGIAADIMKNIQDYMVHKLGVEESISLELCVLLYKQYGTTMAGLRAVGYQFDYDDYHSFVHGRLSYDKIKPDPLLRNILLSLPIRKLVFTNGDRAHASRALKRLGIEDCFEGVVCFETLNPTSPAPADDLQIFDIMKHLAHPQPGVELPKSPILCKPSREAMLQALKVASINPQTTVSTYLLIIILFDDSFRNIEAAKQIGMCTVLVGTSERKKGADHALESLHNMKEALPELWEEAEKDEDVRNSSKAGIETSISAAHCYWAEQTKSRDGESHVYQV >Sspon.03G0009480-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:40817580:40821110:1 gene:Sspon.03G0009480-3C transcript:Sspon.03G0009480-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQATHGAAPACSTLPTLPKVARSHAWDWVALLLLVAVDVLLNVIEPFHRFVGAGMMTDLRYPMKSNTVPVWAVPIVAVIGPMIIFVIIYIRRRNVFDLHHAILGNFTTAIVLFRPIVNCVLDSQGYITSHMVTFRQSAGILFAVLITGVLTDAIKDAVGRPRPNFFWRCFPDGKAVYNNITTGVICHGDPSVIKEGHKSFPSGHTSWSFAGLGFLSWYLAGKITVFDRRGHVAKLCVVLLPLLVAALIAISRVDDYWHHWQDVCTGGLLGLVVASVCYLQFFPAPSDEKGLWPHAHLRFLSEREREEEESRTTQRSTETAGARTSHELDALEAGRREQL >Sspon.01G0052640-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:24967966:24969413:-1 gene:Sspon.01G0052640-1C transcript:Sspon.01G0052640-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLPQSLIAGEDFQHILRLLNTNVDGKQKIMFAMTSIKGIGRRFSNIVCKKADIDMNKRQAPCFLSEDLYQISLVRMGLIRCDVAGELTSEELERLMTVVANPRQFKVPDWFLNRKKDYKDGRFSQVVSNALDMKLRDDLERLKKIRNHRGLRHYWGLRVRGQHTKTTGRRGKTVGVSKKR >Sspon.01G0019000-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:76291532:76295304:-1 gene:Sspon.01G0019000-2B transcript:Sspon.01G0019000-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKAIDRQRVLLRHLSPAASAAPPAISASACAAGDSAAYHRTPAFADDVVIVAAYRTAICKAKRGGFKDTFAEDLLVPVFKALVDKTKLDPGEVGDIVVGTVLAPGSQRAIECRMAALYAGFPGIAAGLESMTVNKVGLDGQVNPKVELFSQARDCLLPMGLTSENVARRFGITRLEQDQAALESHRKAAAAAAAGKFKEEIVPVHTKIVDPKTGEEKKIVVSADDGIRADTSLAVLSKLKPAFSKDGTTTAGNASQVSDGAGAVLLMRRDVAMKKGLPILGVFRTFAAVGVDPAVMGIGPAVAIPAAVKAAGLQMDDIDLFEINEAFASQYVYCCKKLELDPAKVNVNGGAMALGHPLGCTGARCVSTLLNEMKRRGKDCRFGVISMCIGSGMGAAAVFERGDGVDELTNARGIPTHNWLSKDVM >Sspon.02G0045870-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:112571352:112575279:1 gene:Sspon.02G0045870-1B transcript:Sspon.02G0045870-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTHTDFFDWYCNWDNHCPLLFCREKANAGVLTNFEVLDFLRSRGAKTDPMGCLGAVTASECKVYEYLLKTPACNQTRESIFEFVKRSEGFRLADADKLNVINWRPSSAADAYAMIEECGRRFSRDERGDARDEDERVQEFLEIVKEALPLPPPKAEAVQE >Sspon.08G0027750-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:1410432:1447644:-1 gene:Sspon.08G0027750-1D transcript:Sspon.08G0027750-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGYEVGMKVLVLSLVVGAVAAIRPSKLLPAVPAVYVFGDSTLDVGNNNYLPGKDVPRANKPYYGIDLPGSGRPTGRFSNGYNTADFVAKALGFKKSPLAYLELKAQNKLIPSAITRGVSYASAGAGILDSTNAGNNIPLSQQVRLFESTKVEMEAKVGPRAVSKLLSKSFFLVGAGSNDFFAFATAQAKQNRTATQSDVTAFYGSLLSNYSATITELYKLGARKIGIINVGPVGCVPRVRVLNATGACADGLNQLAAGFDAALKSAMAALAPKLPGLAYSIADSFGLTQATFANPLALGFTAACRLPTTTTMWRHQAINGGVGAAVTLAMATTISIQLAALAAAGALRPTTTAVPAVYVFGDSLLDVGNNNYLPGADVPRANMPYYGVDFPGGARPTGRFSNGYNVADLLAKAMGFERSPPAYLSLSRRSGRRHRLVARGIGGVNYASGGAGILDSTHASCTRLRWFAGKNIPLSKQVRNFDATKAQMVLKLGATTAKHLLSKSLFLIAIGTNDMAAFATSSANNGQMQSHAVAAFYSDLISNYSATITGLYGMGARRFAVINVGRIGCAPIERLQTSTGACDDGADALAAGFDDALCTHSMMGYEVGMKVLVLSLVVGAVAAIRPSKLLPAVPAVYVFGDSTLDVGNNNYLPGKDVPRANKPYYGIDLPGSGRPTGRFSNGYNTADFVAKALGFKKSPLAYLELKAQNKLIPSAITRGVSYASAGAGILDSTNAGNNIPLSQQVRLFESTKVEMEAKVGPRAVSKLLSKSFFLVGAGSNDFFAFATAQAKQNRTATQSDVTAFYGSLLSNYSATITELYKLGARKIGIINVGPVGCVPRVRVLNASGACADGLNQLAAGFDAALKSAMAALVPKLPGLAYSIADSFGLTQATFANPMGLGFVSSDSACCGSGRLGAQGDCTPTATLCPSRDRYIFWDSVHPSQRAAMLGAQAYFDGPAQYTSPTSFKQLAKMMNSLLDVGNNNYLPGADVPRANMPYYGVDFPGVARPTGRFSNGYNVADLVAKAMGFKRSPPAYLSLSRGSGRPHRLVARGIGGVNYASGGAGILDSTFAGKNIPLSKQVRNFDATKARMVLKLGATTAKHLLSKSLFLIAIGTNDMAAFATSSANNGQMQSHAVVAAFYSDLISNYSATITGLYGMGARRFAVINVGRIGCAPIERLQSPTDACDDGADALAAGFDDALRSLLSSLAAGDHRLDGLTYSLGDLYGLMQAIIADPPAAGFADVDSACCGGGRLGAQSVCGQPNSTLCGDRRRHLFWDYGHHTQRGAEVIVSAFYDGPEQFTAPVNFEQLSEEEEMWPPQTENRVFVAVPEDFRHGKSTLLWTLNNLVKNGDGVVTVVHVHRPEPEPTIPRMGENGSIEDCRKKLRAEAEKKMDEYVALCDKMKVHCEKLIIVKDDVAKGLQELIDRHSIAKLVMGDAVDERYLESPAVKSPVAGSMADSNRALLVIQPQGLLECSGDSSAVLENGSTGVQDGDQTDGDSSLNVQDMVYLQRETYMHQGDDRDSSDDDTRTETAPQIRNLEWNTTRNREDLSEAKESLPMAKGQERRYHRNVHDVSEKKSPLTNTASQNLMEVRNEAEESSQHVQKPRETEKWYQGGLHNVNVQNFTLEKKITEIQREETAVTEDRSSLHEKNNEITAMALPPETPNTEFPSSELMEAMERSNNTLMGEGRFGKVYKGFLRNTDVAIKRLHEETVQGESDFNQK >Sspon.08G0002960-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:8247436:8258158:1 gene:Sspon.08G0002960-1A transcript:Sspon.08G0002960-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSLNLPRSNSSTSKLHIPFFGLSFVLLSCASLASSCTEQEKSSLIDFRDGLSQEGNGGLNMSWANSTDCCQWEGITCSIDGAVTEVLLPSKGLKGRIPTSFSNLTGLLHLNLSCNSLYGSLPAELVFSSSIIILDVSFNHLSGPLQEHQSPISGLPLKVLNISSNFFTGQLPSTTLQVMNNLVALNASNNSFTGPLPSSICINAPSLAMLNLCLNDFSGTISPEFGNCSKLTVLKAGHNNLTGGLPNELFNATSLEHLAFPNNNLQGTLDGSSVVKLSNLIFLDLGSNGLEGEMLDSIGQLGRLEELHLDNNLMIGELPSALSNCRSLKYITLRNNSFVGDLSRVNFTQMDLRTADFSLNKFSGTIPESIYACSNLIALRLEYNNFHGQLSPRIGNLKSLSFLSMTNNSLTDIAGVIRSLKWCKNLTTLAMGTNFKGFENLRILTIDACPLVGEIPLWLSKLTRLKILDLSYNHLTGTIPSWINRLPLLFYLDISSNRLTGNIPTELMGMPMLQSEKNAAKLHPKFLELPVFWTQSRQYRWLNAFPNVLNLCNNSLTGIIPQGIGQLKMLNVLNFSTNSLSGEIPPQICNLTNLQTLDLSNNQLTGELPSALRNLHFLSWFNVSNNDLEGPVPTGGQFNTFTNSSYIGNSKLCGPMLSVHCGSLEAPSASMERRHKKNLLAVVFGVIFGGLAALSLLACFLIARLEREALLSLLADLSPQPGDGLNASWRGGSPDCCTWDGVGCGGDGAVTRVWLPRRGLGGTISPAVANLSALTHLNLSGNSLGGAFPAALLSLPNAAVVDVSYNRLSGSLPDLPPAVGAGGTLPLQALDVSSNYLEGQFPSAIWAHTPSLVSLNASNNSFQGVIPSFCASSPGLAVLDLSMNQLGGGIPAGFGNCSRLRVLSVGRNNLTGELPDDIFDVKPLQQLWIPSNKIQGRLDPGRIAKLSNLVSLDLSYNAFTGELPESISQLPKLDELRLAHNNLTGTLPPALSNWTAFRYLDLRANNFVGDLDAVDFSGLGNLTIFDVATNNFTGTIPQSIYSCTSLKALRMATNHIGGQVAPEIGNLRQLQFLSLTANSFTNISGMFWNLQGCENLTALLASYNFYGEALPDAGWVGDHVRGLRLLVMEKCKLTGQIPTWLSKLQDLSILDLADNRLTGPIPRWIGSMKKLYYLDLSGNQLSGGIPPSLAELPLLTVAYNDLEGPIPTGGQFDAFPPVFFRENPKLCGKMIAVPCTKPHAGGESASSKLVSKRILVAIVLGVCSGVIVIVVLGGCMVIAIRRAKSKVSVSDDGKFAEESMFDSTTDLYGDDSKDTVLIMSEAGGDAAKNVTFSDILKATNNFGPASIIGSGGYGLVYLAELEDGTRLAVKKLNGDMCLMEREFRAEVETLSSASARHENLVPLQGFCVRGRLRLLLYPYMANGSLHDWLHDRPGGAEALRFGVVLLELLTGRRPVEVVPAQRQQWELVGWVAQMRSQGRHAEVLDHRIRGGGDDEVQMLYVLDLACLCVDAAPFSRPVIQEVVSWLENVDTIAASTSSEDVKISDGHGQISQTVT >Sspon.08G0002950-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:6345682:6346014:1 gene:Sspon.08G0002950-3D transcript:Sspon.08G0002950-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAPATLAAAVAVVLAVVASVPVLLRLLSASAGGKKTNLKAPLPPGSFGLPFIGQTLSLVRALRANTADDWLRRCVATYGPVSRLRLFGCRRPSLSAPPPTSSSSPAPG >Sspon.02G0056090-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:17153950:17156298:1 gene:Sspon.02G0056090-1D transcript:Sspon.02G0056090-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEGEGKNPAGGGGGDNPQHQQAVQAAPVPSRRGRRRIERWRAAAGEKDDAACRDLVLVEDPEVVAVEDPEEAAATAALQEEMKALVASIPDGAGAAFTAMQLQELEQQSRVYQYMAARVPVPTHLVFPVWKSVTGASSEGAQKYPTSKQCSDQVQFDAHVTKGVMGLATLCLDFGKNPEPEPGRCRRTDGKKWRCWRSTIPNEKYCERHMHRGRKRPVQVVVEDDEPDSASGSKSTPGKVTEGAKKADDKSPSSKKLAVAAPAAVQST >Sspon.05G0012270-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5C:29343875:29344625:1 gene:Sspon.05G0012270-2C transcript:Sspon.05G0012270-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLEVENPPGIETTKQLKRQDSLYGDAEKVSSFKHHGSEGGWSRLLHLAFQSVGIIYGDVGTSPLYAISSTFPDGIKNHDDLLGVLSLILYTLILIPMVKYVFIVLYADDNGDGGTFALYSLISRHAKVRLIPNQQAEDAMVSNYGIEAPSSQLRRAQWLKQKLESSKAAKIGLFTITILGTSM >Sspon.02G0037520-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:17098317:17099696:1 gene:Sspon.02G0037520-1P transcript:Sspon.02G0037520-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGACHVGRRSTPFPSFFHRFVRTYFAFASLGFSSLDTFIINHAQLPQTPTQNPSRRRRWLRTCIHRSETHRLCDTSGRKLLQHVLTSPSRRHGHGGEAIDRRNAPPPPRPAAEKDESSQGLQLPEDIRWERLDKARFFVVGAGLFSAVSAALYPAVVLKTRLQVAPAAAAAAGPAAPPPSAVAAATAILRQEGPLAFYRGFATSLAGTIPARALYMGALEATRSAIGPAALSLGAAEPAASAAAGAVAGLAAAVAAQVVWTPVDVISQRLMVQGNPCPASRYRGGLDAFRKIVASDGLRGLYRGFGMSILTYAPSNAVWWATYSLSQKIIWSGIGCYLCDYGVGVQEIDLGDGDSLLQPGCKTVMVVQGVSAAMAGGASAFVTMPLDTIKTRMQVMDGDSEPITVGRTVRRLIKEGGLAACYRGLGPRWASMSLSATTMITTYEFLKRLSDKGQESDLA >Sspon.07G0026030-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7B:50014414:50014588:-1 gene:Sspon.07G0026030-1B transcript:Sspon.07G0026030-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGWLDNQTEGLVVVEAVLLREASNNPMSLVAGKRAIGVELVFEYPLARHNVGAGGR >Sspon.01G0022220-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:79506243:79508777:1 gene:Sspon.01G0022220-2D transcript:Sspon.01G0022220-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RMWTMPEPKEIIRRSTLEVEKMNLVDGDCAPKSIGDAKDVPGEVPRTQDVIQTLDKTISNLEMELASAKASQESMLNGAPVSESTGKRKYFMVIGINTAFSSRKEETQSVLHGCLKKRRKMEEEKGIIIRFVIGHSATPGGILDRAIDAEDRKHEDFMRLDHVEGYLELAAKTKAYFVAAVSMWDAEYYIKVDDDVHVNIATLGNVLARHRSKPRAYVGCMKSGPVLAQKGVRYHEPEYWKFGEWGNKYFRHATGQLYAISKDLASYIALNQHVLHKYANEDVSLGSWFIGLDVEHVDDRRLCCGTPPDCEWKVQAGNVCAASFDWSCSGICKSADRIKEVHQRC >Sspon.01G0009700-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:27605151:27612573:-1 gene:Sspon.01G0009700-1A transcript:Sspon.01G0009700-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DSKSLKFQTKVCLNNDLPRENAVIYSGLGLDISSPSSMEESPDGLVPYESPRTILQVMTCFPVPGGFLLSPLQGNLLQLRNKVVPVLKDWETHLDMENVSRALEGHSQFFHPPGPIRGNVAKQMKPCSKKKVSMDTKTRKYKGDASAIMNKEVNIQVPASQNEKMMNTNNENGFEVGFARKEIPASVKHGQLYMQPTSSVATMNADPVPAPVVIKDHWVRCDICQKLRLLPYGTNLSMLPKKWKCTMLHWLPRMNRCDISEDETTEALNALFVIPATARTFSSGSPQTAGTGTATSSAYNISRQFERSRKRKNAPSNGKDLAESSYHTQSLTPSMSNQQDPIRDERTTDDTRYSSERDSVSKHGPKPVSKSADLISEKLKPKHKNHCSYSDEGGIMERTKAHPKVKTKIGIDQDEQKTYKKTKIEDWHQIDRGQDHMCDSDGVEVPDECKVLLAKAKTMKGSHETSDMSLRKENIASGYLLENVKNVNALDVPFHSEKKEHPSCDKKDFNMEGRSPLPDQHSFDRMATALNTESGPVQHESKNIEVRQGSGTAQRLGMSFKKEKLHLRIDNQDIQNPVNQVVNFPLKEGKQKVCPTSVKSDSLKMKAKLVRFNVETGVQHGTVKQATSSILDTGPTRKDGSMITFALKEARDLKHKANDLKNKGQGLESTGLYFEAALKFLHVAFLLETPTFDISRPGDGARSMKMYSETAKLCNFCAYEYERCKKMAAAALAYKCVEVAYLKAAYYKHRSASKDRQELQAAVQIARGESPSSSAPDIDNLNSHGLSKASLKGGNSPHVTGNHLPLAVHNQAHLLRLLAYVSWASQFSSIQDSLARLYIAKL >Sspon.02G0024060-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:84067904:84068931:-1 gene:Sspon.02G0024060-2B transcript:Sspon.02G0024060-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSDELAQIDISKEVRTSFRAHPPRCGTPAREVFGGLSDPPVLQEKDKLVAEVMRYVLFKTHQNSDCPIKREELTRIVTKNYCQRALPTLVINEAKDRLAATFGYEMRELQRTRAPATRSGRPSQPQLNVEAKSYVLISNLDPEVYSKYVEDKGATHLSGFAFVVISTIHLAGGKMPEEDLWHQLKRVGLNENDETHPVLGNNKQVLEHLVQQRYLLKEKVAGPEGHFMMYELAERALDESISGKIKDHISQ >Sspon.02G0059090-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2D:88963534:88964109:-1 gene:Sspon.02G0059090-1D transcript:Sspon.02G0059090-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNITSKKIFLSVKSRRLNSRRFVDWRAAGQRLEGTGHQDQGVPVASGIGVRAAASSHQDQGVLVASGVDVRRQRQGGGIQPPGPGRPCRVRCRRQASASGRRHVLIDG >Sspon.02G0031750-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:116254319:116254561:-1 gene:Sspon.02G0031750-1A transcript:Sspon.02G0031750-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MWYSSFDPRLTVFCAYLGLFYGALYTWRRFRARHQLAVLRRGLGYVAVGLGVFLSAIFFTASSVSFRCRRPWVSGSSRSS >Sspon.05G0018770-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:65417065:65422068:-1 gene:Sspon.05G0018770-2B transcript:Sspon.05G0018770-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding METAHEVAVYIDRFHNLDLFQQGWYRMKISALWEDDGNNRAPISPARVTQYEAIDIGVKSSFGFWKIDDVDNSFYTQPFLVKYSRQDIYLSVMVSFYIPNSEDEGPATSSVILKFELIYIPTLGNGWCVCYCVKLHVHPKFYSPHSSTLMSCFLLHHRTEVQDSSDDTDLIPVHEFRIPHRALLGLHSYCPVHFDALHSALVDLTIHIVYLKAAVTKSSSLKPLEQSFGSKSYDIVKASLISREILLEEVKKISNAIGNTLEDLDHTDLTIGKYETIQQSKSGSPNYNNGQGTPTKCSPQMTVLRDFLEPIQNSMSQAELHRKSIAQMKINTPSVQDMHIYADPSCIPVVRIEQHVMVIPQHGSSKDFLTDASEPACSIVPPLLQGQSLEEKTCGFKSGHILRAVIFVHGFQGHHLDLRLVKNQWLLLDPGAECLLSQINEDRTTGDFKEMGRRLANEVVGFLKRKVDKYSKNGGCKEIKLSFVGHSIGNIILRSALTEPKLQPFLKNLYTYMSISGPHLGYWYSPNSLFNSGLWLMKRLKGMQCMHQLTFSDDHDPQNTFFYKLCELKTLENFKNIILVSSPQDGYVPYHSARIDLCHASSSDNSKRGQVFTEMLNNCLDQIRAPTSETRVFMRCDVIFDQSAQGRNLNTMIGRAAHIEFLENDIYARFIMWSFPELFR >Sspon.02G0029870-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:105511516:105519061:-1 gene:Sspon.02G0029870-2B transcript:Sspon.02G0029870-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MILSALATSVGLNLALTVLLAAAYSLLRRRPSYVEVYAPRRPYAPLEPWLAAAWRRSEEDVHAAAGLDGVVFVRIFVFRFVGPSRLANPHYSSVLPRLGPFPCSLECAYATLLGCCSIRVFAAAAVLGVGVLLPVNFLGHQLQEIDFTDLPNKSIDLFSVSNVQDGSSILWLHFSAVYIITGITCYLLYHEYKYISGKRLEYFMISKPLPQHFTVLVRAIPLSDGVSVGDAVDKFFKEYHASTYLSHTVVRQTGKLRRLLNDAESICTKLSNLKYVRRSTGDPPRKFLGLFSRNDLVGKYQKRLEDLEENVRMEQSDATRRQEIAAAFVSFRSRYGAANAVYIRQSDNPTEWQTELAPDPHDVYWPFFSTSFMERWIAKFVVFVASVLLILVFLLVVAFVQGLTYLEQLEQWLPFLRNILEIAVVSQLVTGYLPSVILHFLSSYVPSIMKSFSTMQGFVSVSGIERSACNKMLRFTIWSVFFANVLTGSVLRQYEIFLDPKELPTKLAVLVPAQASFFIAYVVTSWTSITSELTQITALSVICGKVCKVLQAGWLQSSINALS >Sspon.04G0021710-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:1413872:1416510:-1 gene:Sspon.04G0021710-1P transcript:Sspon.04G0021710-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPPPPPAPATHSAPVRVLSRTLPPSSPPPSSADPAPSPASRDGVVAVGFVGGAGSARLADRILDAHVFSPGGSAGTLTGSVRYHRDAGRRMVFLHLTPPPAPLEAAVGGCRDGDLPEMLFMFSVCHVIIFLQEGFRFNTQTLKKFRLLQSSKHALAPFVKTLVAAAMPAKAVASDTPTRATHRASSISPPARRGGHAGRQSSAISLMSGTSSNAFVLPGQCIPVLLFVFEDDALDVSSAAASSDDMGDASSSNQGSTSDGLSKQSLASKSSGSVVMLARAANKSEGNSGKKLHSSLEGQIRVLLKKCRVLAGMEPGHIGPRGVSNMSHHLPLFSLDTSRVVALLERYINKKREPLDIIAGLFEDSLCSKSPLDILSLENNYHPTNHDDFQLIKDFIFRQSDALRGRGGYSSNASAGSVAGVGMVAAAAAAAAASAAAGKPANAPDLPTFDKWLSMSTSILTALLSGQSESKTNTSPKKNGQLPAAGSNAIEIALSCLESYNGLNMKFSSSWCQRVLPAAKEIYLKGLPAFYPTSMHEVQLQKALQSFGSMVKGPAVRVFSKKLEDECRAIWESGRQQCDAVSLTGRPCKHRRHGDFSSSDAMKQHSSGYVFLHACACGRSRRLRDDPFDFETANVSFNCFQNCDDLLPSLALPRGSDACSFSASSWRLVRLGGARYYKPTKGLLQSGFTPRRGIF >Sspon.02G0025580-1T-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2B:90222861:90223228:1 gene:Sspon.02G0025580-1T transcript:Sspon.02G0025580-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GLGCMGMSAFYGERKPEADMVALLRAPARRRGWGHLPRHLRRLRPSHQRGPHRQGAPRWGGAGEGAAGHQVRYHAGPQSNPRRPGICAGGVRGQPPAARRRLHRPLLPAPHRHHCARRAHGK >Sspon.06G0005960-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:19999037:20000519:1 gene:Sspon.06G0005960-1A transcript:Sspon.06G0005960-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVTARAPVAALRPSASLKSSSSAFLGHSSRLGRTASPTRRSLKAEAKGEWLPGLPSPAYLDGRLPGDNGFDPLGLAEDPENLRWFVQAELVNGRWAMLGVAGMLIPEVLTKAGLINAPQWYDAGKSEYFASSSTLFVIEFILFHYVEIRRWQDIKNPGSVNQDPIFKSYSLPPHECGYPGSVFNPLNFAPTLEAKEKELANGRLAMLAFLGFLIQHNVTGKGPFDNLLQHLSDPWHNTIIQTLSS >Sspon.02G0027780-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:104626808:104630666:-1 gene:Sspon.02G0027780-4D transcript:Sspon.02G0027780-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGGGGDPRPEDDPFSDADTTGSDSDESPQQGMGARRPGATSNPILTRLAVSRNPSPLAAATAAPGVCLLRFAWESAAGSLVGAVVGYGKGLVMMKGFKGSFPDAASSAKIFAVLAGVQSLVACSLRKLRGKDDGINAGVAGCCTGLALSFPGAPQTLIQSCLTFGTFSYIIEKLNKQQPALALPPATGVMDLKAGQSVLPPFTLPLPDAMDEFSKFQSFLSSKFRGN >Sspon.03G0017040-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:53499650:53503706:1 gene:Sspon.03G0017040-1A transcript:Sspon.03G0017040-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VAMGKKRKLEPKSAAAVKPVKASPTTTKASSAAANSSATAKAAGLSEVRAPVEAAMEKLPQGAGGEEEVEEEIEEEVEVEEEVEVEEEEGEGESDPASIQALLDSFPKDQLVELLRDAAVAHGDVLSAIRHVADADPAQRKIFVHGLGWDATTDTLSEAFGPYGEIEDLRVVTDRNTGKCKGYGFILFRHRSGARAALREPQKKIGNRSTACQLASVGPVPGGAANSAVPAPAQLQLPPVSEYTQRKIFVSNVGADIDPQKLFQFFARYGEIEEGPLGLDKVTGKPKGFALFVYKTIESAKKALEEPHKHFDGVVLHCQKAIDGPKPNKLGGAGGFFGAGASSAGKGFAGYGPTSHSLPGTVGIVQPMSPLAPGLASLPGGISAAPGVDPALGQALTALLATQQGAGLNLNSILGVGANGSGLPPHGATGALGGSSVPGMQGGYMGGYGGSGGYGGAASGGPGRNSAGRLRRQVSRKCIHSQRQKAVFCKLYNGGNLFNSQRRECVARKEGVA >Sspon.03G0022710-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:8729637:8732462:-1 gene:Sspon.03G0022710-3C transcript:Sspon.03G0022710-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eukaryotic translation initiation factor 3 subunit A [Source:Projected from Arabidopsis thaliana (AT4G11420) UniProtKB/Swiss-Prot;Acc:Q9LD55] MCMLIASSAFISELIHVGQKQAALQALHDLITSKRYRSWQKPLEKIMMKYVELCVDLRKGRYAKDGLIQYRIVCQQVNVSSLEDVIKHFMQLSNEKAEQAKSQAEALEDALDVEDLEADKRPEDLMLSFVSGEKGKDRSDKEVVTPWFKFLWETYRTVLEILRNNSKLEALYAMTAHRAFQFCKQYKRTTEFRRLCEIIRNHLANLNKYRDQRDRPDLTAPESLQLYLDTRVEQLKVATELSLWQEAFRSVEDIHGLMSMVKKMPKPSILVVYYAKLTEIFWISDSHLYHAYAWLKLFNLQKSYNKNLSQKDLQLIASSVLLAALSVAPYDQKYGASHLETENEKERNMRMANLVNFSLDSKRENREMPSRASLLSELASKGVLSCASQEVRDLYNLLEHEFLPLDLASKVQPLLSKISKIGGKLSSASSVPEVKLSQYISALEKLTTLRVLQQASCIFQSMKIDMLSRMIPFFDFSVVEKISVDAVKRNFVAIKVNHLSGAVHFGTVVCGPDSVHQ >Sspon.03G0027480-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:5146038:5147609:-1 gene:Sspon.03G0027480-2D transcript:Sspon.03G0027480-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDWLFYSLTTLLCLLCSLLLRARAPGSKARHADSSSALPPLPPGPTPLPVLGPLLFLARRDFDIEPVLRRIAREHGKVFTFAPLGRARPGIFVADRAAAHRALVQRGAAFASRPPSTASSAVLTSGGRNVSSSPYGATWRALRRNLASGVLNPARLRAFSPARRWVLGVLAARVRADGRHGEAPIAVMEPFQYAMFCLLVYMCFGGDRLGDDALVRDIEAAQRELLANFLSFQVFSFLPSVTKFVFRRRWEKLVSLRRRQEELFVPLIRARREAGADGDCYVDSMVKLTIPEDGGRPLTDGEIVSLCSEFLSAGTDTTATALQWILANLVKNPAMQDRLRDEVSSVVGAGDGEVREEDLQAMPYLKAVVLEALRRHPPGHFVLPHAVHEDTTLDGYRVPAGAPVNFAVGEIGMDEEVWKAPVEFQPERFLPGGEGEDVDLTGSKEIKMMPFGAGRRVCPGMALALLHLEYFVANLVREFEWREADGEEVDLTEKLEFTVVMKRPLRARAVPLRSPPPAVAAA >Sspon.06G0007180-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:25604704:25609970:1 gene:Sspon.06G0007180-3C transcript:Sspon.06G0007180-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding PLGSRRTAALVRCACVIPSARRDGASPNLPSRLPFLRSKPSVRSRRRRRRTGSSPLGLALAAATPRTCGLRPAAGRSAAGAAPQQPLRPAAAPRLEAGAAHAAVRHRATVPPRGGQIAEQSCITRSKRDCDAARKKHPEKLAAKKCQDSPPVHGSIVHEDVPIMTGDKLPHSSAVPVAKTEWTKDHAVQELFHQEIGKATSFFGSMGKPRMPLISSPVASKIIRAQLLERQVAGFGGLRNWLFGCGLGCQEVKLVLKVLEVETYKC >Sspon.03G0043250-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:44465813:44472659:1 gene:Sspon.03G0043250-1P transcript:Sspon.03G0043250-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MIAKATVLEEVTSGIAGSRNGALLLMKAELQVLSPLVPIREVTFLRFCKQLAEGAWAVVDVSIDGLVRDQNSATASNAGNIRCRRLPSGCVMQDTPNGYCKVTWVEHTEYDEASVHQLYRPLLRSGLAFGARRWLAMLQRQCECLAILMSPDTVSANDSSVITQEGKRSMLKLARRMTENFCAGVSASSAREWSKLDGAAGSIGEDVRVMARKSVDEPGEPPGVVLSAATSVWVPVAPEKLFNFLRDEQLRAEWDILSNGGPMQEMANIAKGQEHGNSVSLLRASSSVDRNVVRCHVPPPGNMAMSANQSSMLILQETCTDASGSMVVYAPVDIPAMQLVMNGGDSTYVALLPSGFAILPDGPSSVGAEHKTGGSLLTVAFQILVNSQPTAKLTVESVETVNNLISCTIKKIKTSLQCDA >Sspon.08G0000800-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:811703:814433:1 gene:Sspon.08G0000800-2B transcript:Sspon.08G0000800-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MENLRSENHHQGVAMEGVKFAPEMANTNRRALGDIKNIIGGPHQHLAVSKRGLSGKPAAAVNTKDQAGFVGHRPVTRKFAATLANQPTVAHLAPIGSERLKRNADTAFHTPADMESTKMTDDIPLPMLSEMDEMMSSELKEIEMEDIEEAAPDIDSCDAGNSLAVVDYVDEIYRFYRKTEGASCVPTNYMSSQTDINEKMRGILIDWLIEVHYKLELLEETLFLTVNIIDRFLARETVVRKKLQLVGVTAMLLACKYEEVSVPVVEDLILICDRAYTRADILEMERRIVNTLNFNMSVPTPYCFMRRFLKAAQSEKKLELLSFFMIELSLVEYEMLQFCPSMLAASAIYTAQCTINGFKSWNKCCELHTKYSEEQLMVCSRMMVELHQRAAHGKLTGVHRKYSTFRYGCAAKSEPATFLLDART >Sspon.02G0029220-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:98905112:98910434:1 gene:Sspon.02G0029220-4D transcript:Sspon.02G0029220-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFPDERVQVDALERHLLTGLSSNDYNGSFEDEVLYDASFAEMEDNFVKYQIAQWTLLSLLLIVAWGVGLLMLLYIPIRVYVCRSDFRSRKLYLTPHAVIYKVFECGMVNKPFAFPCFGVFKKEEYCILPSISDVVVEQMRTMFFQQFKYLSDVHMISVDSLQSFFGVYSIRIENISVRKPRSYDVKITGVAHPHDFRKAVLVHLLNTRKLKFSQKASSDDQQSTSLSSTASSSEAPLGDLMLEKLDEVVISVKKMQALLQGAETSKIKTTSS >Sspon.02G0004440-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:16916174:16919848:1 gene:Sspon.02G0004440-3C transcript:Sspon.02G0004440-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEKKTPAVSDVGAWAMNVVSSVSLIMANKQLMSSSGYAFAFATTLTGFHFTVTALVGWISNATGYSVSKHVPLWELVWFSLVANTSITGMNLSLMLNSVGFYQISKLSMIPVVCLMEWVLNSKHYTTKVISAVIVVAAGVGICTVTDVEVNAKGFICACVAVFCTSLQQITIGSFQKKYNIGSFELLSKTAPIQALSLIILGPFVDYYLNGRSLLNYPFSGGATFFILLSCSLAVFCNMSQYLCIGRFSATSFQVLGHMKTVCVLILGWILFDSALTVKNILGMLLAVMGMVVYSWAVEAEKKAAAPIPRNKSDMLDGEDVPLKARVSGVPAGDLEDGEMKS >Sspon.01G0032810-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:112491878:112493664:-1 gene:Sspon.01G0032810-1T transcript:Sspon.01G0032810-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKEVEAAAATVLAAPLLSSSAILKLLLFVVTVSYLARALNRPRKTTTKCSGATCASPGVGNPPLPPGPVPWPVIGNLPEMLLNKPAFRWIHQMMSEMGTDIACVKLGGVHVVSITCPEIAREVLRKQDANFISRPLTFASETFSGGYRNAVLSPYGDQWKKMRRVLTSEIICPSRHAWLHDKRVDEADNLTRYVYNLATAAASSGAVVDVRYVARHYCGNVIRRLMFNRRYFGEPQPDGGPGPMEVLHMDAVFTSLGLLYAFCVSDYLPWLRGLDLDGHEKIVKEANETVNRLHDTVIDDRWRQWKSGERQEMEDFLDVLITLKDAQGNPPLTIEEVKAQSQDITFAAVDNPSNAVEWALAEMVNNPEVMAKVVEELDRVVGRERLVQESDIPKLNYVKACIREAFRLHPVAPFNVPHVALADTTIAGYRVPKGSHVILSRTGLGRNPRVWDEPLRFYPDRHLAAASDVALTENDLRFISFSTGRRGCIAASLGTAMSIMLFGRLLQGFTWSKPAGVESVDLSESKNDTFMASPLVLHAEPRLPAHLYPAISI >Sspon.07G0024340-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:21966841:21967920:1 gene:Sspon.07G0024340-3D transcript:Sspon.07G0024340-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding HTAQPTHTVAAAGLYCPQVERTHIFPSLVASLRSHSQAPSFHQWRTTPGVTLSLLQATRQWDRPSRVARQAARRRGLVAAQRRASGGSPALSKDALLLSAAAGSASSAATEQRLLLREQRYIIPCIETREIGAILHAWSSL >Sspon.02G0003570-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:10032808:10033266:1 gene:Sspon.02G0003570-2B transcript:Sspon.02G0003570-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MERGAEEEEVACECCGFTEECTAPYIAGVRARYGGRWICGLCGDAVGEELGRASPPISPAEALDRHACVCRGASAPPSPAGSPDDLIAALRLLLRRRLGSPPPPAPRKARSTPSSPRRDAAPGGISVVAAVAKGSAGSSLARTGSCFAALVE >Sspon.07G0029640-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:77252993:77257848:1 gene:Sspon.07G0029640-1P transcript:Sspon.07G0029640-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMVFIPPADAVLLKLIFHDWGDEDCVRILKRCKEAISTREPKGKVIIIDTVIGSASKPIFEETQLLMDLNMMVLVPGKERAEEKWNKMFMDAGFTRYKISPILEPRSLIEVYP >Sspon.03G0000870-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:8364275:8375725:1 gene:Sspon.03G0000870-3D transcript:Sspon.03G0000870-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLALAQLQDLRDRISDRLRPWSRSAQFWVRAADIYTSYKACQLRAGFVKDEDEREAMWEQQHELGAQKMYSLCSELGGLFLKAAQILGKPDLAPMAWVKRLVTLCDQAPATPFDVVRDVVEKQFGKNFDDIFEFFDVEPVGSASIAQVHRARLKLSKTDVAVKVQHPGAEHLMMVDIRNMQAMALFLQKYDINFDLFSATKEMEKQICYEFDFVREARAMERIREFLRVTNKKPPVMVPRVIPGMVSREVLVMEFIKGTPIMNLGNEMAKRGIDPGGKVAAMAKQELGIRTWAISDNKLEELFQLSLRMFDTRLPPGVTVMSPFADDSSLNKIGVESFPEELFSVLRTIQLLRGLTVGMGLTFSSAKYWRPIAEEALLKAGRQSASKSRKKNRSFLRRLFW >Sspon.03G0021630-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:88469130:88471841:1 gene:Sspon.03G0021630-2B transcript:Sspon.03G0021630-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLKRLMRCCCCCCIAKQHADDEDKIDFGGGNVHVVTNKEDWDQKIAEANKDEKIVVANFSASWCGPCRVISPVYAEMSQTYPQLMFLTIDVDELMEFSSSWDIRATPTFFFLKNGQQVDKLVGANKPELEKKVAAVAGASSSQADAAGSKTV >Sspon.05G0008260-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:19282578:19296005:-1 gene:Sspon.05G0008260-2B transcript:Sspon.05G0008260-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADHRASLLPFAAALLVLLLAGGAAADDASSDDDAGTSRTPGCSNKFQLVKVKNWVNGTEGTTVVGLSAKFGAPLPRDIHEAKKSFAVLANPVDCCSNLTSKLTSSVAIATRGECAFTEKANTAQAGGATGLLVINDNEELYKMVDERYNQLTRKDGPDTGTNYREDKEIFEISAKGAIGICLMITVLQMARLPNIKVASALLSAAFVYDIFWVFISPLIFNESVMITVARGDNTGESIPMLLRIPRFFDPWGGYDMIGFGDIIFPGLLVAFSYRFDRATRKGLFITYLALFLMDGQGVIVILGWLRGELHELWNYGKSQAENLDVYEEA >Sspon.02G0057260-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2D:54635126:54637137:1 gene:Sspon.02G0057260-1D transcript:Sspon.02G0057260-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGNCSKNKTSTDVIKAPPPATLAAAAASQPWPAARHLPLPPPIPMSSPLSLLPPGWRLPQPARSLPHRSPPSLARVAYLCSNRRGAGWPSVPPLLSPPRPQPEVRPLLQDVAPSMARLQWQAACLCSSRRGADWPSVPPLLSPPRPQPEVRPLPHAPKVRPLLQEVAPSMARLGPPPPPAAARVVRPGPAPLLRPSTRPLPPGPICLLLLLSTMARLVPALPMGPRLGLTPLLPLLLPPFLQQSQQPRVWAPDLASSSPVLGSADPPLTLRPWQPLPLLPGTQPHLPRRVVLQPARALLPLLLMRVVLLLQARARPSARPPLLLSSSPPTPSTSPAPVSHGPPPSRPCLSVRPRARGAQATWPTPSAPPPALRPQFLLLGSPTRAPLSMHAPREPHLAAMKRLLRYLRGTLGYGLLLGRSSTAELVVYTDADWAGCPDTRRSTSGYAVFLGGNLVSWSSKRQPVVSRSSAEAEYRAVANGVAEAAWLRQLLAELHHPLARSTLVYCDNVNAVYLSTNPVQHQRTKHVEIDLHFVRDRVAIGDVRVLHVPTTSQFADIFTKGLPSSTFSEFRSSLNVSSG >Sspon.08G0014110-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:53475167:53483149:1 gene:Sspon.08G0014110-2B transcript:Sspon.08G0014110-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGKVELKRIENKISRQVTFAKRRNGLLKKAYELSVLCDAEVALIIFSSRGRLFEFSTSSCMYKTLERYRSCNFASEASAPLEAELNNYQEYLKLKTRVEFLQTTQRNLLGEDLGPLNVKELEQLENQIEISLKHIRSSKNQQMLDQLFDLKRKEQQLQDANKDLRRKIQETSEENVLRLSCQDIACGGSSGHGDEANQERLQLALDPSLHI >Sspon.02G0024750-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:85355115:85356931:-1 gene:Sspon.02G0024750-1A transcript:Sspon.02G0024750-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LQAFDWVAWPLLFEVLNHLGFPQRWIEWISILLSSASTRVLVNGRPGRHIAHARGLRQGDPISPMLFVLVMEVLNSLIKQADRLDALQPLPAQVRAHQASLYTDDLVLLLTPSTEDMMCLVHILEFFVGASGLVTNIDKCVVTPICCDDEDIAVVQSAFPCVVSHFPCKYLGIPLSLGRLRQAEEQPLIDAVSARNPTWKAGLLTNAGRVLLTKVTLSAIPVHLSIACCLSQWAVQQIDKRRRAFLWSGVDSYTGGKCRVSWPGVCWPIDLGGLGVIDLRFFGFALRLRWEWLARTEPERCWVSLPHRKEKCVAAMCAASMSMIVGDGASARLWTNNWLPVGPLCAHAPVLYKAISRAGKKRSVRDALVHNQWVRDITAATTTSVLCDYLRVWRLLRSVTLQPLVPDRSTKLLGTPELWRARAPPWVKMFFWLVLHRRLWTSERRKRHGLQDDDVCAMCDQAPETVAHLFVRCVFSREVWYKLLEPLGLSSLMPMDEPDLGQWWISQRGRLDRASRPLFDSLVVRAVVHEGEIWALAGYAPLAALHYIWSQHCDVM >Sspon.07G0010970-1T-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7A:36720490:36721791:-1 gene:Sspon.07G0010970-1T transcript:Sspon.07G0010970-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVRGYVTKVVTKLIRGLETIIRSGAVDMVVPGVLPIGCFPTYLTLYGTSNAADYDRDGCLKSYNDLSYYHNALLKHSLASLRRTYPHARIMYADFYTQVTHMIRAPHNFGLKYGLKVCCGAGGQGKYNYNNKARCGMSGASACADPGNYLIWDGIHLTEAAYRSIADGWLKGPYCNPPIQH >Sspon.06G0021860-3D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6D:23122206:23124446:-1 gene:Sspon.06G0021860-3D transcript:Sspon.06G0021860-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDEGQNKAQNRVQVRDDSLGTKQDGNQRNVVAKAALSVSNWKGKGKRSQCKAKQVAKAVVNDQMKNRLKGSLQRSVSDWKIKGKRSTREGKQVPRAVVDDITPSANSGSHHKQPGDHIDARSKVRKLLQLYQAMYRKLKQVKEQGIPIVGRIYLEAAKAVKKDPIYTKLGAIVGNVPGVEVGDEFYYRIELAIVGLHRLYQGGIDTSKVNGVPVAISVVASGGYSDELSSSGELIYTGSGGKAGGNIDGSDQKLERGNLALKNCIETKTPVRVIHGFEGESRIEVGKQTSTFTYDGLYEVVECWQEGPKGEMVFKYKLQRIAGQPELTLHAAKAIRKSKIREGLCLPDISQGSERIPICVINTIDDMRLAPLKYITKVTYPTCCEKEPQKGCDCTDHCSDPIRCACAWKNGGEIPFNRDNAIVKAKRLVYECGPWCRCPPTCYNRVSQHGVKIPLEIFKTGKTGWGVRSLGSISSGSFICEYTGELLNGEEAENRQNDEYLFDIGRNYYDEELWEGIKPVVDVQSSTSSSGTMKCFTIDGAECSNVGRFINHSCSPNLYAQNVLWDHGDMRMPHIMLFAVENIPPLQELTYHYNYKVGSVHDENGNEKVKHCYCGASDCRGRLY >Sspon.06G0030550-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6C:49159734:49160677:1 gene:Sspon.06G0030550-1C transcript:Sspon.06G0030550-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGLSHWGSRGPAPEPVSDAATPAPEPASDAAQHAAVALAVLLARSCGGSDERSAAWAGNGMGADCRPAHAQMHRAMVAAAGTEF >Sspon.03G0033220-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3B:46859001:46863425:-1 gene:Sspon.03G0033220-1B transcript:Sspon.03G0033220-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEREMTNAASYSSYFKYLGLSRDPAKALQVYGAIKDRMMRVHVSVCNSVLGCLVKNGRLDSSFKLYDEMIREGLSPDPFTYSTLLSGCMKLKQGYTKAMGLINDMKSRGLQMDIVIYGTLLAICASHNYCEEAEVYFQKMKDEGHSPNLFHYSSLLNSYSENSDYGKAELLMKDLRSSGLTPNKVILTTLLKVYSKGGLFKKANELLTELEASGFAQDEMPYCILIDGLVKGGKIQEAKILFNEMKEKGVKSDGYAFSIMISALHRGGDHEESKQLAKEFESENASYDLVMLNTSLRTYCSTNDMESVMRLLKKMDELNISPDNITFNTLIRARHTSVRAAAALSHEHARAGPHNDISAQLCQTIKFLGCAWLKVHLGP >Sspon.01G0025140-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:97687116:97688652:-1 gene:Sspon.01G0025140-2P transcript:Sspon.01G0025140-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRDQDRPAGAAARRLASGRAPPRGLAVSKCVCKSWLAIVDARRLLRADLLPLSLGGLFINFHNYYLSEFFAPHSDRPCISGKHDYLPQAGSDSWGYVDDHCNGLVLIHENDDNGDQIGYVLNPATRWVASLPSCPPPPIEIKDTFQVEYLAYDPIESPYFEVVSITRFHWVNRPGDYLYDSSKDLVDPEIEQSEWPPSVCILHVFSSRTGQWEERSFAREGDSMGTVSGMRHRPGKQRNAVYWRGVLYVHCQTDCVMRISLSSHKYHVIRPPPGLEGKNYPQFYLGKSSKGVCCASIQGRCRVQVWNLDESGCQMEWHKLEYTGPWALQNINYYYDNRNRDHDMEAPAEEKIEWTSQASEDEKFTWSSDDECGHAGYVDFLGFHPCKEIIFLSESITRGLAYHLNSSKVEVLGNIYPAGYDKELSNERLLESSFPYTPCWITQTAD >Sspon.02G0040220-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2B:66295168:66297524:1 gene:Sspon.02G0040220-1B transcript:Sspon.02G0040220-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GPSVAIAC >Sspon.08G0012170-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:52182687:52183789:-1 gene:Sspon.08G0012170-1A transcript:Sspon.08G0012170-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Duplicated homeodomain-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G35550) TAIR;Acc:AT5G35550] MGRRACCAKEGVKRGAWTAEEDDILAAYVKAHGEGKWREVPQKAGLRRCGKSCRLRWLNYLRPNIKRGNISYDEEDLIIRLHKLLGNRWSLIAGRLPGRTDNEIKNYWNSTLGRRAGTGAVGSSRVVVIAPDTGSHATPAASGSCETGQKQGAAAPRADPDSAGSAAATGTAAAVWAPKAVRCTGGLLFFHRDTPAPHAGDETTTPVAGGGGGEAGSSPDDCSSSAASVSPLVGLGSQQDEPCFSGGGGDWMDDVRALASFLESDEEWLRCQTTAEHEQLA >Sspon.07G0010550-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7A:34017385:34017936:-1 gene:Sspon.07G0010550-1A transcript:Sspon.07G0010550-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGALTPKAGSGGKAVLPVPAGIDAGAATSSARVARKATLPAAAAGAAEADAPAAGTAAPGGESNGGEEDDDEQVERFYELLDNIRAMRGAYGSSPGSGDGTGALDDGVDTGGGGARVKRLRGSEPPWRPAFRLEDFEEPTPTSSSSDVAPCAKRTRGQEAEGSGGARPDVTAVVRVRLDGGRK >Sspon.05G0016520-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:67980132:67982687:-1 gene:Sspon.05G0016520-1A transcript:Sspon.05G0016520-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDASISEPQPPSGFLPDGGVVAVKRLAENAPVAPGTTFDNEVANLMALKHDNIVQLVGFCHEAQKKVIQHSGRYVIVDMIESCLCYEYLANGSLDKHIYDISSVDWDTRFRIIKGICQGLRFLHKELDGPLIHMNLVPNSIWLDDKYVPKIVDFGLSRLFGQEQTRIYTVNVKGHNGYMAPEYLYRGEISTMSDIYSLGMIIIEITTREKNYSASEDRSARQFVDNVHQNWKTDEQIIRKYPSLDPNGLQQVKACIAIGLKCVEADRKKRPSVVDIVDRLNGKRVPIFNQM >Sspon.03G0020640-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3A:63942021:63943669:1 gene:Sspon.03G0020640-1A transcript:Sspon.03G0020640-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFEVQVVESSFVTPTEPAPRKGLWLSSLDLVQANKGHTPTIYLYSSNDVAAADFFNVARLKKAMAKALAAFYPLAGRIGVNDDDGRMEISCNGEGALFVVAHADDLSVEDVKEFKPSPELRRLFVPRIEPSSIILAIQVTFLKCGGVALGTALHHVAIDASSAFHFFKTWSAISKHGDRAAVELPCHDRTLLRARFPPTVHPDALLTLHPKLIFSDPEGPLAFEVFTISRDQVASLKHLCSGTSTFCALSALIWQCTCVARKLPPESEVRIVFPADLRRRMRPPLPDHYFGNAVFRLCVTGAAGEIGTVALGSIAARIKGTVERMDDELVRSAIDYFEMAEMDKRPALRGTLPLTDLHITSWFGRPQYDADFGWGKPQFMSRAESVRGGYVYLMNDEGTTDDGGGSGDVRVLVCMEAVNIKELGRLLYAKL >Sspon.03G0034630-3D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3D:38909749:38910338:1 gene:Sspon.03G0034630-3D transcript:Sspon.03G0034630-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVNNEAPLGCLKPNISQYNSPEQRGGVEGFPENNEKRNDIVAAKKIWEASPIPNQGLSRPFYRQEFYAWPYIYSDYQMVRQPLPYGFDNQFYQINRDHGFPIENRVQYLPFKMLPQGHPHDAQLQEFQYFVVIDFEATCDKVNNPFPQEIIEFPSVLVNSATGKLEECFQTYVRPTYHQFLTDFCKELTGIQQIQ >Sspon.03G0015500-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:69697933:69699935:-1 gene:Sspon.03G0015500-3C transcript:Sspon.03G0015500-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MANLFLKQAKQYVAMRPVYPPELFDFIASKTPRRDMAWDVGTGNGQAAASLATLYKAVVGTDTSAQQLAYATRLPNTRYVHTSRGSTPPAVDAVFWRLYHGSEKYWALNRRMVDDEYRSADFPFDPVEGETHTGPFEFSTQRRMDLDDYLMYITSWSAYQTAKDKGVELLDEVTVQEFTAAWGGDVKEVKTVTYPIFLRIGKVSLQNPHIQILAQKL >Sspon.01G0025120-1P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1C:89002722:89005299:-1 gene:Sspon.01G0025120-1P transcript:Sspon.01G0025120-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPERISLRHIARVRAGAGAQPYIGVNYGEVADNLPSPDETAKLLKSTSISKVRLYGVDAGLIRALAGSGISVMVGVANGDIPSLAADPAAASRWLAANVLPFVPATTISAVAVGNEVLESGDASLAAALLPAMQNLRAAALAAGDGAAGIRFSTVNTMGVMAQSDPPSTGAFHPDVAPQLQQILAFLSRTGAPFMINPYPWFAYQSDPRPETLAFCLFQPNAGRIDGGSKIRYTNMFDAQLDAVKSALVRAGYGSVDILVAETGWPTKGDAGEPGATAENARAYVSNLVAHLRSGAGTPLMPGKSVETYLFALYDEDLKPGPTSERSFGLYHTDLSMAYDAGLTSSTATGGAGSPSAGAGKAGGWCVARDGASDAELQADLDYACSQLGVDCGAIQPGGACFEPNTVRAHAAYAMNQLYQAAGRHPWNCDFRASATLTSENPKTGGARLLL >Sspon.05G0005580-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:17950035:17955575:1 gene:Sspon.05G0005580-1A transcript:Sspon.05G0005580-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGYEYETNGYHREVEDEYGDEYYDQDEYEEEGSGAGDEYEEEEPTEGQKEILELRERLKEQIRRKAKAAAACTAGRSSSSQIPQARDKFGSFFGPSKPVISRRVIEERKSLKELHSTIAREPRPSGVHKDIPSSSKVQSKGNGHQHKQKIVNQVKRKVEALKDNRDYSFLLSDDADLSPSPKEKPAARSSLTQRADREVMQSTVKSKAPPSQPARLSNGYGPKNTMSTQRHSEGRVDSMRKEAFLNRERVVSRDNERMHSIARNGSNQASTSKTTIQKLPSRGPIANKQPSKDLNDATLRKSSVASKHHLSEIDRPKSSQSQRVQSAGQRPQHSSHGQRPHQSMQHRPQQSLQSRRPQQMTQGQKPQQSLQTVRTQQSLQNQRPQQSSHIQKSQSSQTHRPQSLSNRSQPSQGQRPLSSKGQYSEQRRVQANDRVKQAERQIRPPSKSMPSRPVSSNGIRDDHAKRKQVAKRRFDEDEDEEDPFAMIRNMFGYDPSRYAGRDEDVSDMEADFATIEMEEKRSARIARQEDEEQLRLIEEEERREQERKRRKMARGR >Sspon.01G0058690-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:31815747:31817936:1 gene:Sspon.01G0058690-1D transcript:Sspon.01G0058690-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPELVWTPDANGHRTDGVSDDTFNDGLGGRRELGRLRRGEVLLLASCCSRIGDDSVFCDPGTSSKIVGQGQAGLTPDSSQITAPALHIEEHGR >Sspon.05G0023600-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:17416039:17416635:1 gene:Sspon.05G0023600-1P transcript:Sspon.05G0023600-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAEKPAVAPAGAGVGGDEWRCRKHPAARSGGGVCPYCLRDRLLRLCPNCAHVRPCACAASPSSSSSASGDAVGRVHSLIEREHRIARSRSVATGSSAAFAAAVTARSAAPASTGGRRKARVWGWPPFWKPAAREGDAEMDDDEDEGLGLPRSSSVSATAVEAKTAAARERARWGWHFPSPLKAFRHRRSSASVAGRA >Sspon.01G0002160-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:5261398:5267628:1 gene:Sspon.01G0002160-1P transcript:Sspon.01G0002160-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CSC1-like protein At4g35870 [Source:Projected from Arabidopsis thaliana (AT4G35870) UniProtKB/Swiss-Prot;Acc:Q9SZT4] MGPAAQPPDAGGGGDPEAWYGSIQYLINISAVGAASCVLLFLLVKLRFDHRRIPGPSALAAKLLAVYHATAAQIALHCGADAAQFLLFERASFLILAAVAAAAVAAALPLNLLAGDATVVDQFAATTISHIPKSSPLLWLHLLLTAAVVAIAHLGISRMEDALRITRFRDGNGNPSDPNSSSVAVFTIMIQGIPKALAADKTPLKDYFDHKYPGKVYRVIVPFDLCTLEYLVEQLGRSATRSLGWRQGERLGFTDEERLRKLQTKKLVLASRLSDYKEGRAPGAGVAFVVFKDVYTANKAVRDFKMERKKTPIGRFFPVMELQLERSRWKVERAPPASDIYWNHLGLSKISSRLRRIAVNTCLILMLLFFSSPLAIISGMQNAARIINVEAMDHAKSWLAWLEGSSWFWTIIFQFLPNVLIFVSMYIIIPSVLSYFSKFECHLTVSGEQRAALLKMVCFFLVNLILLRALVESSLESWILSMGRCYLDGPDCKQIEHYLSPSFLSRSSLSSLAFLITCTFLGISFDLLAPVPWIKHIMKKFRKNDMVQLVPEENEDYLPMQNGEETNNLTAPLMPEREDSGLLDSIEGHDLSLYPLNRSFHMPKQKFDFAQYYAFDITIFALTMIYSLFAPLVVPVGATYFGYRYLVDKYNFLFVYRVRGFPAGNDGKLMDRVLCIMQFCVIFFLAAMLLFFAVQGDSMKLQAICTLGMLVFYKLLPSRSDRFQPSLLEGMQTVNSFVDGPTDYEVFSQPDLDWNLLHLCCRCDSINRRRPSIPSAVSRTNASAPGVGTAHHGPRRGLGPGLHLPAVGAGADDRAAWSVLVGFISSLGAASVFRLHRFRVERSGRVLGRSNDALEVLRDVDFRTNEEEAASPQICAALATPGPDGRSLCLFSSQIGAAASGTAVRFRPLLLHLGADAEEGITVSPLPAVPLGRLMRIRPISAAGDLLAPCFSEHDGPPRLVMQRLDKDAGRWVEVATTNLPSWPSAPADGPIGRVLHGYAVVGHIILLSLQPSAFFFTFDCSTCAWAPVVTTKAKKHRYIAIHERGVYSYVQEDDTIYFLSGTVVYAYKLCQYQGGYRMAPPTMVTVFALSPKKAMGSSGISAAGSCAPSGPAWRFAAVVIPD >Sspon.01G0033440-3P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:114212905:114216561:-1 gene:Sspon.01G0033440-3P transcript:Sspon.01G0033440-3P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKATLKGRYEGDKATAATTLAAPAGDLRLRVSATEAAFANGPSLRGLTLTLEKPGAFLVDLKPHNQDVRFQFMNSALVLDKRVSLTYTQSTSFATAPAPPAAAPPSRTALDCSVTFDPANKVTLSHSLGSGGCRLKYTYAHGVDRLTTIEPLFDTNKNAWEFAVTKKFTGGDTVKGTYAASTKLFGLEWSRDSIAGGSFKVGTTFDLSDQSKAPKLIAESTWNYEI >Sspon.06G0023520-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:46033714:46044675:1 gene:Sspon.06G0023520-2C transcript:Sspon.06G0023520-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPAQHSISSEAEELRKATTFHPSLWGDFFLTYQPPTAPQQAYMEERAEVLREDVRKILIGSTELPETLNLILTLQRLGLDYYYEDDIDKLLHRIYNSDYSDKDLNLVSLRFYLLRKNGYDVSSEEGGFAYADTASLLSLYNTAYLRRHGEKVLDEAISFTRRWLRRVGILEARNYIPIYEKEATMNEAILELAKLNFNLQQLVFCEELKHCTMWWKEFLAKSKMTFVRDRIVETYFWMNGACYHPPYSRSRIIQTKITSFITIIDDMFDTYGTAEECTKFVEAIGSAVPLLPEYMKGFYFFLLDTFHSFEDELGPEKGYRVLYLKQAMERLVQQYYNEIKWRDEDYVPETMSEHLQVSMESIACIPITCAAFVGMGLLVYIRLSNDVVSTMREQTKDHSASTVHCYMKEHGTTMDDACEKIKELAEDKWKDMLEQCLALTEIPKVMPRTVFDFARTIVNMYKNDHDGYTSSEALKEMIELLFVKPISE >Sspon.08G0016220-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:64003373:64009456:1 gene:Sspon.08G0016220-1T transcript:Sspon.08G0016220-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAHSVAAAHATIAAARAAPGAGASAPAERLGFRLSSLAGRGLRSPLPARRAPSAAASRRQRVVRAAAVETLEGKAATGELLEKSVNTIRFLAIDAVEKANSGHPGLPMGCAPMGHILYDEVMRYNPKNPYWFNRDRFVLSAGHGCMLQYALLHLAGYDSVKEEDLKQFRQWGSKTPGHPENFETPGVEVTTGPLGQGIANAVGLALAEKHLAARFNKPDISCILYRYAILGDGCQMEGIANEACSLAGHWGLGKLIAFYDDNHISIDGDTEIAFTEDVSTRFEALGWHTIWVKNGNNGYDDIRAAIKEAKAVTDKPTLIKVTTTIGFGSPNKANSYSVHGSALGAKEVEATRQNLGWPYEPFFVPEDVKSHWSRHTPEGAALEADWNAKFAEYEKKYADDAATLKSIITGEFPTGWADALPKYTPESPGDATRNLSQQCLNALANVVPGLIGGSADLASSNMTLLKMFGDFQKDTPEERNVRFGVREHGMGAICNGIALHSPGIVPYCATFFVFTDYMRGAMRISALSEAGVIYVMTHDSIGLGEDGPTHQPIEHLVSFRAMPNILMLRPADGNETAGAYKVAVLNRKRPSILALSRQKLPHLPGTSIEGVEKGGYTISDNSTGNKPDLIVLGTGSELEIAEKAADELRKEGKTVRVVSFVSWELFDEQSDEYKESVLPSAVTARISIEAGSTLGWQKYIGAQGKAIGIDKFGASAPAGTIYKEYGITVESVIAAAKSF >Sspon.04G0021220-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:74631990:74641061:1 gene:Sspon.04G0021220-1A transcript:Sspon.04G0021220-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKKRYVMKDLKSMFAKACITGNSNPAPVDGVAVAGQQEEERHEDVGIEVPVGIQETENEEEIHNKKKYGLESPAPELEYDFLDAMFQDPEDACDPIFCTQQQDVPTVSEIRLSLEEARIEDVPSNGDEQVVAGNGAVQTIPDEVGEEVMSTPQMIPFNGQTFGTKEEAREYYNAYAKRVGFSIRTGTSRRAAVTRKMCKVQFVCNKEGSGKKGKDEKPIEGPADGTENIDSSEEDTEEEIDKDEEDPEKKKKLDGGKKRKREKMQHTNCKARMVVKLIGSMWRVIEFIPDHNHELILKPSLKKFLRFSKEFEKTAEYDVKPDGQHQFWLLPNNNSVYGYGKRTYLVTAVEEHQSYICECNKFERDGMLCCHIMRIMTRLGVKTIPEQYILKRWTQQAVPGDGNPNSSTHVAPDFVARGMPMSSKKTMRYANTSSAFAELAIESSVSDENHAVVQKHINMMRSELLEIKKRKMPVETPATAASTHHDVTNHAPAPIGESSGVAGVSNETNLTTMKDISNPPRSKTKGRKKEKRQKKGKETKAKRKNKCSICGLTSHNSATCPDKIKPNEDIPK >Sspon.05G0020500-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:55917094:55919272:-1 gene:Sspon.05G0020500-3C transcript:Sspon.05G0020500-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASALETLCGQSYGAKQYHMLGIYLQRSWIILFACAIVMLPIYLFTAPLLVALGQDPDISRAGTISLWYIPVMFSYVWSFTLQMYLQAQSKNIIITYLALLNLGLHLLLSWLMTVKFQLGLAGSWGPWSSPCGSLCSGSLPSSSSAAALTRGPGSPRLRLLISVPSSSSHCLLFGIVVQHHIGAAHRVHEGRRGCTRRPFNMPQHQWLGDDDFFRFLAATGVRVANELGAGSARRAKFAIYNVVITSFSIGFVLFVLFLFFRGGLAYIFTDSQAVAEAVADLSPLLAFSILLNSVQPVLSGVAVGAGWQSVVAYVNVTSYYLIGIPLGAVLGYVVGFQVKGIWIGMLLGTLVQTIVLLFITLKTDWDKQVAVAQERLKRWYMEENRRLQGLRGNS >Sspon.03G0002710-3C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3C:22047346:22050253:1 gene:Sspon.03G0002710-3C transcript:Sspon.03G0002710-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPKKSSPPHQPHAMEPKKSSPRGAGAAAATEAESPLSSLFYPPAPAANGKDQDLYNILYKGQSGSAQAGVTDGKPQWAPSKSRTAYAKDGKNSPPYDSVDTSCFGSSVHYGGREYFYGSSTTKKATESSTDGTRKIQPQILMATGGKEQKGEAVKLDFLDC >Sspon.01G0009850-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:27894015:27908306:-1 gene:Sspon.01G0009850-1P transcript:Sspon.01G0009850-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRGEASHRGRSSSRGRGWRGRGRGGGRPSRPPPSSTASPAALNPTSTPAVSVDDAAPIMGTCPDMCPARERAQRERLRDLAVLERVGGDPTRTSPSLAVKKMYVHCRFYKKQWHPFETIHDFIFDRTRSIRQDLSMQNIVNDQAVQIYEDVVTFHIRSHQRLSTSCQDSDASSLCYLNMEQLTKCLLSLYDMYHVLHKRDSHSKNEGEYYSFYVLLHLGCKIQKMINSLSLWYGQLASPVRRSKEMIFARTLLRCYRLGNFKRFFCMVAAEATDLQLRLVEPFLNEESELETLCGICGLEIIESEGMKAFVPKQTSFTLPTSMPQSNGIYISRENVSEKSLSRMLCVKHFSVPYTNTYRYKKRLGFSISSVGGLVHIHCITIRL >Sspon.03G0015650-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3B:73991567:73994970:1 gene:Sspon.03G0015650-2B transcript:Sspon.03G0015650-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHAKTAGGHLQVPNVQALSQTWNQSGELVPARYVRTEETSADVVVAGCALPVVDLGRLLDPSSSQEELANLGSACQQGFFQLVNHGVPDDVILDVKRDIAEFFRLPLEAKKVYAQLPDGLEGYGHVFVFSEAQKLDWSDMMYLMLRPVESRDMRFWPVHPPSFRTSVDRYSVEAAKVVSCLLRFMAADMGVEPERLQEMFAGQPQTMKMTYYPPCRQVDKVIGLSPHTDACAVTLLLHVNDVQGLQIRRDDGKWHAVEPLHGALIVSVGDIIEASIVLILSNGKYRSVEHRAVVHPDKERISAAMFHQPRGSITVEPLPELVKKDSGGARYKSVSYAEFMKRFFSAKLDGRKGHLDHFRIY >Sspon.03G0013850-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:66140809:66144072:-1 gene:Sspon.03G0013850-1A transcript:Sspon.03G0013850-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding TFDAYVVGKENAPGIVVLQEWWGVDYEIKNHAIHISQLDGGYRALIPDLYRGKVALDVAEAHHLMEGLDWQGAVKDIQASVKWLKSNGSPKVGVTGYCMGGALSIASGVLVPEVDAVVAFYGTPSSELADPSKAKAPIQAHFGEHDSFVGFSDVTAAKSLEEKLKSSGIPYEVHIYPGCSHAFMNTSPEALKRKKGMGLTDENQEAVDLAWSRFSSWMGRFLGSA >Sspon.03G0021820-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:66479243:66481769:1 gene:Sspon.03G0021820-1A transcript:Sspon.03G0021820-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRNIGVAVDFSSCSKAALRWASTNLARNGDKLILIHVNNSYQNEQGAVHLWEQSGSPLIPLAEFSDVTRTYGVSPDKETIEILTQVANQRGIEVFAKIFYGDPAKKLYEAVDLVSLSCMVIGSRGLSTLKRALLGSVSTYIVNHAACPVTVVKENM >Sspon.01G0049460-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:113062482:113065169:-1 gene:Sspon.01G0049460-1B transcript:Sspon.01G0049460-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPAYVAIFSFLSLFLLRGLLGRHRRRINGKNKRTQLPPSPPAIPVLGHLHLLGKKPIHAAFARLAERYGPVFSLRLGSQEAVVVSSAACATECFTENDVCFANRPSFPMLLLVSFGGATLPMCRYGPYWRNIRRVATVQLLSAHRLHAPVISAEMRAMARRMYRSAAPTGTARVELKRRLFELSLSALMETIARTKTSRAVADDDTDMSPEAQEFMKALDVFLPLLSAANKWDYLPVLRWFDVFGVRNKILAAVSARGEGGDNDEKKSMIDWRSALIAEVGAGGVHGYHDHGSSMFAGGSETTAEWAMSLLLSHPDVLKKARAEIDASVGHSRLLGADDVPRLGYLQCIVSETLRLYPVVPTLVPHGRWPPRAERDDAARQRVRHPQGPSRVLAGADGRAEGLFMMPFGMGRRKCPGEALALRTLGLVLGTLIQCFDWDTVGGAEVDMAEGVGVTLPRAVPLEAICKPRHAMLEVLKAL >Sspon.03G0030970-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:31797700:31798696:-1 gene:Sspon.03G0030970-2C transcript:Sspon.03G0030970-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSADGAWCVCRPDVADAALQKTLDYACGHGADCAAVLPTGPCYSPTTVRAHFSYAANSYFQRNSQANGATCDFGGTANLTDTDPSSGTCKYPATPSEAGTSGNATGTGTSSPGSASNPATTPSTGGSFTTPVGAFGPTPSTVSAGTATAAVFVGRHALLVAVVSIVMCFLVR >Sspon.08G0007920-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:28529720:28533019:1 gene:Sspon.08G0007920-1A transcript:Sspon.08G0007920-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVGPGSCLLPTPATTMAVAHAPQRRRGVLLLLLVAALVAALPATCAAARTRSKKSYTAIFSFGDSLSDAGNLIVNGTPKALTTARPPYGMTFFGKPTGRCSNGRLVVDFLAEHFGLPLPPPSQDRGKDFKKGANFAITGATALEYSFFKAHGIDQRIWNTGSINTQIGWLQDMKPSLCKSEQDCKDYFSKSLFVVGEFGGNDYNAPLFSGVRFSEIKTYVPLVTKAIANGVEKLIELGATDLLVPGVLPIGCFPVYLTLYNTSNKADYNARTGCLRRYNRLAFHHNRELKQQLDELQKKYPKTKIMYGDYFKAAMQFVVYPGKFGFSTALQACCGAGGQGNYNFNLKKKCGEQGASVCSNPSSYVSWDGIHMTEAAYRKVADGWLNGPYAEPPILKS >Sspon.01G0003010-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:7647521:7655474:1 gene:Sspon.01G0003010-4D transcript:Sspon.01G0003010-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSGAAHNPDGGGGAQATQRPPPPPPQAAARTALTTPPPVSGGAAHSASTSGGSAGSPPSSRSEQHAPDGAGKGPALEAAPAAAAASTPASDSTFLRLNNLDINGDDAPSSQAPTSKKKRRGTRAVGPDKGNRGLRQFSMKVCEKVESKGRTTYNEVADELVAEFTDPNNNFEAPDPDNPNAQQYDEKNIRRRVYDALNVLMAMDIISKDKKEIQWKGLPRTSISDIEELKKELVGLKGRVEKKSAYLQELQDQYVGLQNLIQRNEQLYGSGNTPSGGVALPFILVQTRPHATVEVEISEDMQLVHFDFNSTPFELHDDSYVLKEMRFCGREQHDGAQESTSNGAESSSMSNIYWQQVQHLERPNNGTVRLPSSPPIPGILKGRVKHEH >Sspon.05G0000240-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:5614745:5615593:1 gene:Sspon.05G0000240-2C transcript:Sspon.05G0000240-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPKQLLTIVIIIFSTLSFIKLLFLTSSSFSSAGSISRSSPAWDAVGGGNGTAWSALAAKELALLRSVVAARAPCRLLVFGLSPQLLALAKLNSGAGAGAATAFVTDSADDADAARQVLLSGRGAGAGSAAAVTVHRARYRDAAEEAWPLLRRARGSPACRRPTGTVRKSGCPLALTSLPREVLDARWDVVVVDGPSGAAPEEPGRMGTIYTAAALARSVAGGEAVDVAVHDVDRTVERWYAWEYLCEDNLVAAKGRLWHFRIAAGAGPADAFCSIGPVQIL >Sspon.02G0038820-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2B:45316698:45316911:-1 gene:Sspon.02G0038820-1B transcript:Sspon.02G0038820-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VRPAKVVRDGEMSAPCGATVLHPGEGGGNIHALKAITPCAILDILTPPYSSEDGRHCSYFRRCPRSDPSG >Sspon.06G0026900-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:81395903:81401528:1 gene:Sspon.06G0026900-1B transcript:Sspon.06G0026900-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALWNGLSQAATVAQLAGVDAGGLISMIVQAAQTVHRNREDCQHLMHHVMMIGDLLQTLHQSETMQRPEIRRPLHGLEDTLRQAYTLVVSCQQSNIMYRFLMAGTQAQKFRDIRDRIDSYLRLYPLVSHIDTREFITRLYSRAHPLVTQPQASEEAPESSGSRANLDSRYSYGNAEVLPKRRHWFRWPFRWARREIATPEYLQTLLGHKQAGGLTIFKFSELATSTNNFSSNNIIGSGGFGNVYKAWDLWSSGRAMELIDASLHDEPQISYILRCIEIALLCVQQNWADRPTMADILLMLKCETMTLPVPRPPADTALLVLLGGSANTSEIASSIFVNAR >Sspon.08G0022590-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:51563438:51567741:1 gene:Sspon.08G0022590-2C transcript:Sspon.08G0022590-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKGCLKRLQKEYHALCKEPPPQIVARPLPNDILEWHYVLEGSKGTPFEGGYYYGKLKFPPDYPFKPPSISMTTPSGRFAPHKRICLSMSDFHPESWNPMWSVASILTGLLSFMMDDALTTGSIKTSDAEKRRLAKASLAYNCESKNCPHFRKLFPEYVEKYNQQQQLENTAAEPVPQENPAPAPSPAVQQAPVVANRAQPLAEARLDKNQKKAVPFWMVLVMFSVFGAVMALPLMQL >Sspon.07G0001620-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:3197656:3199218:1 gene:Sspon.07G0001620-2B transcript:Sspon.07G0001620-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGGAAPPSAASAATAAATPPHRRLPDFLQSVNLKYVKLGYHYLITHLLTLMLLPLMAVILLEAGRTDPDDLRQLWLHLQYNLVSVLVLSAVLVFGATVYVLTRPRPVYLVEFACYKPPAHLQVRFEEFMRHSKLCGFSEDALEFQRKILERSGLSEETYVPEAMHAIPPQPTMANARAEAETVMFGALDKLFRSTGVKPKEVGVLVVNCSLFNPTPSLSAMIVNKYKLRGNIRSFNLGGMGCSAGVIAVDLARDMLQVHRGTYAVVVSTENITQNWYFGNRKSMLIPNCLFRVGGAAVLLSNRGADRRRAKYSLRHVVRTHKGADDKAFNCVYQEQDGEGKTGVSLSKDLMAIAGGALKTNITTLGPLVLPISEQLLFFATLVAKKLLSAKMKPYIPDFKLAFEHFCIHAGGRAVIDELEKNLQLSPAHVEASRMTLHRFGNTSSSSIWYELAYMEAKGRVRRGHRIWQIAFGSGFKCNSAVWHALRNVKPSPDNPWEDCIDRYPVELVDGFPTHKPQQ >Sspon.03G0004680-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:18357636:18359271:-1 gene:Sspon.03G0004680-3C transcript:Sspon.03G0004680-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPFYFGPDQQLDAAVDDEDYLTSLGLVLSPAPPPAALPGSAFEAYQRRVPALLEHYSLTTVSRRYSGEQNLHRRMFSYLRRIAHGAAASTGAAVVAPAFPAPADETTTVGVGSSQQAPRSSRFRHIMRERLRRERLSQGFADLHALLPPGASSKGGKNDIVGAAAGYIRELGARKEWLSARNEALLERAAATRWRGGGTRSSSVGRRGMVVKVRAESQDHSMVIDAFERVLQRLKAMEELQVTAIRSRFSAGGMWMHVGVEGQVSTGEVDRAITNALMELEGNDPRSSKPSFSCQVESGQMG >Sspon.03G0024640-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3B:98365383:98367257:1 gene:Sspon.03G0024640-2B transcript:Sspon.03G0024640-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTSALLALLLLVALLSLLLFFSAGGGRNNTSPSHGDGQSLPPSSRRPALALLGHLPLLGSQHHGHRRLSSARKRADDGQRLLPPSPPGLPLLGHLHLLGSLPHRSLRSLAAAHGPVMLLRLGRVPTVVASSAAAAEEAMKTRDLAFSGRPRLLMAERLLYGRDVGFAPYGEYWRQARRVCAVHLLSPRRTASFRRAREQEVAALVARVRASDDGAGAVNLSDALICYSKAIISRAAFGDGDYGLHGDEGGEKLRRVLADFQELLLATPMREVSPWLGWVDTLTGLEAKTRRTFEALDGLLERVIADHRHRRREGGGGRPAVVGVAADEDDHRDFVDVLLDVNEMDSDAGLRLDTDSIKAIIMDVFAAGTDTSSTVLGWAMAELMNHPEEMRRLQAEVRGAIAGRCDVTEDHLEGMPYLRAVISETMRLHAPAPLLIPRETTEDTELLGHRVPARTRVVINAWAIGRDPAAWERAEEFVPDRFVGGPGAPPVEYSYSKVGVGQDFRSVPFGAGRRGCPGAGFAAPTVELALANLVYHFDWAAPTAHGGGVGTPPVDVSEVYGLSVRLKTPLILVAKPWLG >Sspon.01G0030230-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:92851671:92852587:-1 gene:Sspon.01G0030230-2B transcript:Sspon.01G0030230-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding CARGGGRRTRAGRGGRRRRSCGGGRRRPTRSWRRRGRAWRRRWRSWSGRGRAPPSCSGASSRPTASAADSSRRPKAGSTRSARTSATTRTGIGSRRSSSLPPAALPPRPRR >Sspon.06G0005220-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:16091406:16092416:-1 gene:Sspon.06G0005220-4D transcript:Sspon.06G0005220-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRGGGSKSSSLYAVLGVASDCSDAELRSAYRKLAMKWHPDKCAGAESSAGSADAAKARFQKIQGAYAVLSDPNKRILYDVGAYDGEGDDDGAGEILGDILEAMSQAGPADNGGKGESLEDLQRQFEELFLRPSPSSFSPSLCASLGPFGFLGI >Sspon.01G0012810-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1B:41673418:41674455:1 gene:Sspon.01G0012810-2B transcript:Sspon.01G0012810-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:3-oxo-Delta(4,5)-steroid 5-beta-reductase [Source:Projected from Arabidopsis thaliana (AT4G24220) UniProtKB/Swiss-Prot;Acc:Q9STX2] MEGIRPLPPSTPALVPAAILLADTRTRRSHRLRRRRRGPHAARRHHVITHVFYVAWSPRATEAENREANSAMLRNVLSVVVPNCAALAHVSLQTGTKHYLGPFELIGKIPTPDPPYTEDVPRRDCPNFYYDQEDILFDAVSRRGGAVSWSVHRPNLILGFSPRSVFNVVCSLCVYDAICRKEGVALRWPGCLGSWESFSNASDADLIAEQHIWAAVDPMAKNQAFNCNNGDLYNWKMLWPILAARFGLEWTGYVGEEKQFKVSEAMAGKEAVWAEIVRENELVETRLHDVADWWLIDVVVYEHGANWKLLDSMNKSKEHGFLGFRDTVKSFNTWIDKMKAYKIVP >Sspon.07G0009550-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:23694229:23698636:1 gene:Sspon.07G0009550-3C transcript:Sspon.07G0009550-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRRNGCTIYVGNLPGDIREREVDDLFYKYGHIVEIDLKIPPRPPGFAFVEFEDPRDAEDAIYGRDGYNFDGHKLRVELAHGGRGPSSFDRSSSYSSAGQRGASKRSDYRVMVTGLPSSASWQDLKDHMRRAGDVCFTDVYREAGATIGIADYTNYEDMKHAIRKLDDSEFRNAFSRTYIRVREYDARRSRSRSRGRSRSRSKSRSRSRSRSYSRSRSRSYSKSRSPRSRSASQSKSPVKARLGQCEDLGIALVG >Sspon.01G0045490-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:89886631:89890138:-1 gene:Sspon.01G0045490-1B transcript:Sspon.01G0045490-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDFLVFKYDGMSRMKVLIFDPSGCEKVPCVVAKNAINGGRKREEPIDISSSCAHLPMKTPETKKKAWKQRGRRGMTSSEDDEAHSVPSYMLPKGTNLDSMQKKKLKKRLRAICTEMPIYMCVMKKTHVYGRSQAVEFSRKYSDVCLPLKSRVLILQCHGKTWEVMCSIQAPKAQRKFKRLSKGWAWFARDNNLQLGDICLFEPLKTKKYTMNVHIIRKE >Sspon.03G0023470-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:56734450:56739440:-1 gene:Sspon.03G0023470-4D transcript:Sspon.03G0023470-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGAVDQSLLDLLPQIHALFSDPLRVISYKWLSRNFSVSSNDAKSIQACIPKDTAVLWNPEFVQAEELFNQPFDDENCLRDNRFCGVLNSFVKRTSNGKHVSSLPPKPLNSAAAVAQSKPSVTPKEQFVTARQQDLPVSSKQGAGNKSEKDNCTVLDKAGNAPVVKEQSIDAHASKSKAQNGKAMPSNGGSLANMWGRASAKPKPPSTTNSTAVASVAATADAQICAKEEADGDSSDDEQGIKYKRGSTNANNKKRRAVFDFSDDEEDDNIVSIASPELPKQHTPDPVIGTAEDAEVNQKNLENKDDVPNSEKGSSMGMDSDFTAECKIKTVNTMNHSGITLKEKSSDPPINDKKQDSAAEPASTSPKRRKVLKTCIDERGREVTEVVWEGEGSTDDKTEKNVNTTAATASGATLPSKPKPAANTDRSKAPSKTAAGNKKPAKAGTKQGSIMSFFKKV >Sspon.06G0001350-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6C:2577948:2579323:-1 gene:Sspon.06G0001350-2C transcript:Sspon.06G0001350-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVQPDELPTGCRLVMGLNPPFGVKASLANQFINKALTFKPKLIILIVPKETERLDKKYPPYELIWQDSDQLSGKSFYLPGSLGVDNKVMEQWNMSPPPLSLWSRSDWAKRHSEIAKSMKHIPNEIASSGDLQMDMAASVPTTEHVDIDDAEVAGIPPCFLDQLMSDTFHDTTTSPGDCWNDTNGRSRQPCNYETAGPGRSDPTYDHTETCSDMSISLSESDFQRKDQALSMPEHGGTNSEASDAVGSASAEKPTVDADHDEVTSAPGPYHLPGNASEAGRQAAGVHYWMREDSPLLEDGELSDAPPVGRPAAGTHHQPTEHRPAAATPGAASWCGQPDHSPPVARHNARTLPPRNTFPGLRLRQGCNTS >Sspon.01G0022670-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:79994723:79997513:1 gene:Sspon.01G0022670-4D transcript:Sspon.01G0022670-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Inositol-phosphate phosphatase [Source:Projected from Arabidopsis thaliana (AT3G02870) UniProtKB/Swiss-Prot;Acc:Q9M8S8] MSEEQFLAVAVEAAKSAGEVIRKGFYQTKNVEHKGQVDLVTETDKACEDLVFNHLRKHFPDHKFIGEETSAALGATADLTDDPTWIVDPLDGTTNFVHGFPFVCVSIGLTIGKVPTVGVVFNPIMNELFTAVRGKGAFLNGSPIKASSQDELVKALLVTEVGTKRDKATLDDTTNRINKLLYKIRSIRMCGSLALNMCGVACGRLDLCYEMGFGGPWDVAAGAVILQEAGGLVFDPSGGEFDLMSRRMAGSNSLLKDKFVKELGDTN >Sspon.03G0038820-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:7892382:7902781:1 gene:Sspon.03G0038820-1C transcript:Sspon.03G0038820-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding WLLLPLSPFPPVRPASPTAVSDPLISGLASRRSAPPFLIPRSAGRPPLSLSPPRAQQIRRRRRLYAGCPPARRSRSSGTEIWRIEDFKPVALPKSDYGKFYCGDSYIVLQDEAGTAAIKTVELDAILGGRAIQHRELQGYESDKFLSYFKPCIIPLEGGFASGFKKPEEEKFETRLYICRGKRAIRVKEVPFARSSLNHDDVFVLDTENKIYQFNGANSNIQERAKALEVIQHLKEKYHGDDGKLQAESDSGEFWVLFGGFAPIGKKTVSDDDVVLETTAPKLYSINDGQLKLEETALTKAVLENTKCFLLDCGAEIYVWVGRVTQMEDRKSATKAVEEFLINQKRPKTTRVTQVIQGYESHAFKSKFESWPVGNAAGSPGAEEGRGKVAALLKQQGVDVKGAAKSTTPVNEEVPPLLEGGGKLEVWCVDGNAKTALPKEDIGKFYSGDCYIILYTYHSGDKKEEYYLSYWIGKDSLVGRIYQGKEPPQFVALFQPMVILKGGIGSGYKKLIEEKGATGETYTTEGIALIRVSGTSIHNNKTLQVDAVATSLSSTECFVLQSGNAMFTWFGNSSTYEQQQWAAKVAEFLKVTEIFNFSQDDLLTEDMMILDTHGEVFIWIGQCVESKEKQKAFDIGQKYAEHANSIEDLSPYVPLYKVMEGNEPCFFKTYFSWDNTKSLVHGNSFQKKLSLLFGLRSEGAPRSSGNGGPTQRASALAALSSAFNPSSQQRLSNERPKSTGDGPTQRASALAALSNAFNASLKPNKTPPPSRSGQGSQRAAAVAALSSVLTAEQSGSSENLRAKASSTADKTDVDRVVITPAGPSGPSSPQSEAGESDVFHQEKDAAVDGAPSGTDGAVAEAPLEETTENVGEATFSYDRLISKSTDPVRGIDYKRREAYLSDSEFQTVFGITKDAFYQQPNWKQELQKRKADLF >Sspon.01G0015910-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1A:47456754:47460055:1 gene:Sspon.01G0015910-1A transcript:Sspon.01G0015910-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAKFLYGKKFSAEEMLDLKLMIQINVYKYLSTLLEWRECFEDEAFKEDREFCMSDKDSGEPDLAQIKSSLYVLNERLMQFADWLLEIVALGDLDAFFPAATREYAPIVLEVWKDPAIQATYKRNNELQFLPDVASYFLDRVVEISSNEYEPTELDILYAEGINQWNGLSLLEFSLDDQYPFPDSYVGKPDDPSMQTKYHLIHISSKGLNGGLRCLEMLEGRAIVFCISLTDYDQMWVQSSGELCNKMIASRNLFKDVISYPSFEDTPCVLLLNKYDAFEVKINRVPLTVCDWFTDFSPVKPHHTHQSLASHAYYYIALKFKDLYSSISDRKLFVFQTKALERKTVDNAFRYIREVLRWDDVKNSDAFGSVEESFCSMDMSLSS >Sspon.03G0007550-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:7983120:7987129:1 gene:Sspon.03G0007550-2B transcript:Sspon.03G0007550-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAGQPHAHEAGGGAANHSNHHRAAHSPPPLPVEVVPAYPPPESEDDETWVWTQIKAEARRDADAEPALASFLYATVLSHPSLPRSLSFHLANKLCSSTLLSTLLYDLFLATLTAHPSLRAAVVADLLAARSRDPACVGFSHCLLNYKGFLAIQAHRVAHVLWEQQRRPLALALQSRVADVFAVDIHPAAVVGKGILLDHATGVVIGETAVVGDNVSILHHVTLGGTGKAVGDRHPKIGDGVLIGAGATILGNVKIGAGAKIGAGSVVLIDVPARSTAVGNPARLIGGKKAEGENDEDMPGESMDHTSFIPTVWCLLRFAAGQHAKVLKVEILVTASCRADFSSCGSHF >Sspon.07G0012070-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:40853543:40858598:-1 gene:Sspon.07G0012070-3D transcript:Sspon.07G0012070-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAGGKEEPGLFTKQGSKLHAKMLSKEAAAQLAVPSFRVYYPLASAGAVPFLWESQPGTPKNDSPSAAALPPLTPPPSYYSSAGRGGSGGRSSRTRRPGGGLLGAILPRITLLRRPGCGGSRTTPAACSSWSSSSWSSSSSNTSATMSPVFTVQGASRGHHRRAFSAGAGGDGDDDEGEATPRCFWTERECCKKRVVKGCGVAVAVRNALATSLSSL >Sspon.03G0010740-3C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3C:44546159:44546725:-1 gene:Sspon.03G0010740-3C transcript:Sspon.03G0010740-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ADRKPKRNEAKRSQEQLNPDETDSDAPQIGSEVIAKRTDPSPPSCADRAASRRPPDLARNLLRKRRTGKEGQRARLALAGWPPPSGINPKANGQAHGIGSRSRRAVEAERTQTRRWAPPRVGRADRPEREDGGQGRRGPRETLTLAGDAGAQGRARAPSLSLLLLLLVVRTSAPGRGGIEREEDRGRVV >Sspon.02G0020200-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:61582069:61586455:-1 gene:Sspon.02G0020200-4D transcript:Sspon.02G0020200-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLRVATCNLNQWAMDFDTNLRNVKESIARAKAAGAAIRVGPELELTGYGCEDHFLEQDTTAHAWECLKDILIGDYTDNILCSIGMPVIFNSVRYNCQVFCLNRKIIMIRPKMSLANDGNYREFRWFSAWTFKDEIVDFQLPIEVSEAISQDTVPFGYGYMRSLAAETCEELFTANAPRIDLALNGVEVFMNASGSHHQLRKLNLRIDCIRNATQTCGGVYMYANQQGCDGGRLYYDGCCCIAVNGDLVAQGSQFSLRDVEVLDALVDLDAVSSYRASVSSFREQASHRKNVPFVKVPYKLCQSFQSGMVPTSPVEVMYHCPEEEIAFGPSCWLWDYLRRSQASGFLLPLSGGADSSSVAAIVGCMCQLVIK >Sspon.04G0029330-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4C:74315824:74316420:1 gene:Sspon.04G0029330-2C transcript:Sspon.04G0029330-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding IHKKLLDKPLEAAVEIHKKKLQISPPKMLPTAAAAAVEVHKKKLYILPAKKMPPTATAIVTEEHKKLYVPPTKMIPTTATVCGRQNTSEAKKPVVAQSEKMEAKKSIVAQSKKSEAKKPIVAQSEKMETTKRKLREGYQEAERIKRQHTIKKINDKEAAKMFEQKQRKIHPIIRGRGPATCRTYSSVARSLLPSLQMI >Sspon.04G0021880-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:5781451:5786167:1 gene:Sspon.04G0021880-2C transcript:Sspon.04G0021880-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MACWSKTIFDFLINLPLLDECFLNSLSTFSMEDLPEPLLAEIIKRVTRTTDLNSLSLVSKRLYTVDAEERGTIRVGCGLHPATESFSSLCSRFPNLWKVEINYSGWISAQAQGKQLDNQGLSVLSSHCSSLTDLSLSFCSDIDDNGLGSLKLCTKLKALRLSFTPAITSNGLFLVAVGCKSLSTFHLVDCIKVDSVEWLEYLGRAGSVVELVVKDCKGISQFDLLKFGPGWMKLEKFEFEINGNYWLSGPPPDPAFDAHYPYKYDICCENLKDLRLAHIITMHTDNEGLPAPTAQEIGLRFLLRKCKALEKLCLDYVVGLDEDEMIALFQNCSNLRSLSLRLMPLRQLDWDFRTPLTDESLKALGLSCPMLEVVELTFTCCSSMYPTEIGFTQKGIVALIQTCPIRAFMLNGANMFYDSGLEGISSAPFLERLELLDCKRITDAGMSFIARAPRLSSLTLRKCKNVTDNGIAELAHSAKLESLTVVGCHQISREGL >Sspon.03G0001030-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:2791963:2793377:1 gene:Sspon.03G0001030-1A transcript:Sspon.03G0001030-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDKTEPRMILKATPRLTEDKVKQCVDPRLMGEYPAKGLAKLAAVAALCVQYEAEFRPNMGIVVKALSPLLITKQTPPPVVDS >Sspon.02G0047610-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2C:18354361:18354633:1 gene:Sspon.02G0047610-1C transcript:Sspon.02G0047610-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGKHRKCHVVGLKKAYDLEKAYDKVPRNVMWWALEKHRVPTKYVTLIKDMYRDVVTFVRTCDGDTSDFPIKIGLHQGSALSPYLFALVMD >Sspon.03G0031610-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:29178245:29182768:1 gene:Sspon.03G0031610-1B transcript:Sspon.03G0031610-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWGEVVSSQLLIESLSLPAASLPAVLFTAAALAAGAFAVYFYIPSWRVRRVPGPVALPLVGHLPLFVKHGPGLFRMLAKEYGPIYRFHMGRQPLVMVADAELCKEVGIKKDARWQSMRNVILSIYQPSHVASLIPAIQPYVERAGRLLHPGEEITFSDLSLKLFSDTIGQVAFGVDFGLTKDDTATSPAQQHDAKSVDPGADFIRKHFHATTSLKMDLSGPLSIVLGQFVPFLQEPVRQLLLRVPGSADRRLEEANSDMSGLLDEIVAERAAQADRGQKNFLSVLLNARESTEAMKKLLTPDYVSALTYEHLLAGSVTMSFTLSSLVYLVAMHPEVEEKLLREIDAFGPKDVVPSSDDLQTKFPYVEQVVKETMRFYTASPLVARQASEDVEVGGYLLPKGTWVWLAPGVLAKDPKDFPDPDVFRPERFDPESEECKRRHPYAFIPFGIGPRACIGQKFAMQQLKLVVIHLYRKYIFRHSPRMEFPLQFQYSILVNFKYGVKVQVIERKN >Sspon.07G0005540-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:14308503:14312214:-1 gene:Sspon.07G0005540-1P transcript:Sspon.07G0005540-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPAFAAAPSSSICVRIPTVCRLEAQGTVSTPRFLRNRVAGEAPLPALQRTPLSEVLTSNNSTETVEPPPALLEVEEPLPVEIVLLERTLLDGRTEQILFSSAGDVDVYDLQALCDKVGWPRRPLSKIAASLRNSYLVATLHSIIRSSETEGEEKKQLIGMARATSDHAFNATIWDVLVDPSYQGQGLGKALMEKPLNDGVDVSSSVGSISPCIVDYKLLVEVSGSAHAFLTRSQAVRQ >Sspon.07G0030360-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7C:6150896:6151679:-1 gene:Sspon.07G0030360-1C transcript:Sspon.07G0030360-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SSASQCEFGLARANFQPRNSQGTERPNKGEQLQLQPGEGIRAPQRRSTLVGHLPTLPAFPRRPRFPLQQPAGPAGGKGRTNSEAQTPTPAAPPRPHGLLLPLPRRLAARPQRAAGALLPRPRHPDPVPPRRLPLRLGGFPLGGPLRHAAAAAHLHPRRPLRRRGLLPGPHRLRPPPRRVVPLPPRRRQPLAAMGPVPGQGVALATRIPHGWGRRAGPERGARFAAAREPWRPTSCHDTEAQSPVPPRRHRLGRSRWRTEG >Sspon.03G0009650-3P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3D:30896506:30897317:1 gene:Sspon.03G0009650-3P transcript:Sspon.03G0009650-3P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding PTLPLGPFTSLVGYPYLPQNYYLPSAAFQQAYSSNGPFHQSAAPAVPGAGMKYSMPQYKSSPPASSLPQPSSLSGYGGFGNANNIPGNFSLNQGAPSAPTTLGFDEALGTQFKDPNHYAALQQSDNSAMWLHGGAGSRTVSAVPPGNFYGFQGQSQQGGFRQTHQPSQYGGVGYPSFYQSQASLPQEHPQNPTEGGLNNPQGVPSQPSHQLWQHIY >Sspon.02G0019520-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:53830055:53833442:1 gene:Sspon.02G0019520-2B transcript:Sspon.02G0019520-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTPLRTVEGHDGVDPDPIWRALKGVSSVLGRVACALAPAAGSFGTAGSCRRGASGNLGGIAEIVDGGVGGAAGICPAGRTLDRTGSVLVRVGDGRPVSIGKGNNLTCRSAQSTHGTRRPNIKLEDLPEDVLDRVFSKLQLNEVVRTSVLSIEWRHMWAISSKLRLDGITICGRPRYFCNKPSYTKEFIDGVNTVLQQLRGKVVEELEVKFEFESILVDHLNNWISFAVSSLVKNLVLDLAPAEFVGVKDRYMFPIELFDGASISRIQHIKLSCVSFRPRSLFRGFPNLRKLDLHLFDASEMDLDDMLSGCANLEWLSFIRCHVNDELKVKQPLSRLLYLRIAHCSIKKVELHAKNLKTFVYHGVQLPIDLGEVKKLETAELHLYGITFEYVLSVLPSVLPGVQNFTLQTNYLPLEFDASCLYGVGWGTLWSLPPHPYNYLRKVHITGFNRIMGQLEFLAHIVENAPALRILNIDPRKKLGLCKCTASDFLASRASVRSKLNGKILPGTEVNIL >Sspon.08G0008320-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8B:27982862:27986617:1 gene:Sspon.08G0008320-2B transcript:Sspon.08G0008320-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPAEENVASPPPTPAAPAEGALDPPLQPAADGASTEKVSAPAPEVRSRGFRLLGEDTSVHKALGGAADVLLWKDKKTSAVVIGGATVIWVLFEVLDYHLLTLISHVLIGVLAVLFLWSKATTFIKKSPPDIPVVQIPEDLVVNVSRALCNDINRALHLFREIAMGHDLKKFLFVIVGLWVNSVFGSSCDLLTLIYIAVLLLHTVPILYDKYQDKVDHFAGRAHTEALKQYEVLDAKVLSKIPRGPVKSKKQN >Sspon.01G0059900-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:70145732:70146787:-1 gene:Sspon.01G0059900-1D transcript:Sspon.01G0059900-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPKNGNRWEELNSPTKRPKTYETNRILTDEKKTPNGKQSQHGIRPPPRPLRRHRAGIAQASARIHGGCSLGRANPGGSEGTPSSANGGNRSSKAALPPRGPRRGPALRRGSRGGEGWRDGATHRSAGSQRHRGARSPPVAGQGQGQGRGGEAAEGLDLRGRASECEQRVIGLLGKSWAP >Sspon.03G0033400-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3B:49268675:49270336:1 gene:Sspon.03G0033400-1B transcript:Sspon.03G0033400-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DLKTLRSQLYSAAEYFELAYMQEDKKQAVTKNLKEYAVQALVNTVDHLGSISFKVSSLIDQRFNEVADTNLRISGIQQRTQVSQACMDREGLSQQSLVITAPKYHKRYILPAGDQSMPSAVPNFREMNKVTNRASQMHQVFSAAETKAKEKQPSFRKVQSIARVPSQRARSASPAQRAHSMAPSKTAIPTNRDKRSESPIPLTTPLTRSASLSKKASLLKTSSVRVQATSQPKKLAPLRSQADRSNDSKDGEHTPKKSKKFLKSILSRRKSRKDEPLPSYFDDY >Sspon.04G0008420-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:24492771:24494135:-1 gene:Sspon.04G0008420-1A transcript:Sspon.04G0008420-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MCTPAACRGRVRLNVGGRVFETTAATLASAGRDTMLGAMLDASWNASHDDAAEYFIDRDPACFAVLLDLLRTGGLHVPPGVPEATLYREALYYGLLDRVRAARLGDFDGDRLRLAASVPGRAPGDGTAVRAAPDGGCCVAHGGAVRVYNWMLQERRPVYYPGHAPVNDAAYLDAATLLVAARERPGRRDDDGGVAAFSALTGGLRHRFRVAHDRQPRSFTAGALAFDDGGGCSVFASCKGRFNEYGIGVWDANTGEQADFFYEPPGCALGDADRLQWLDGTNTLMVATMFPRTDSSFISLLDFRDKSVVWSWSDVGTPASLEDKHAVHAVVMEDGRSVCVINQYDDLGFLDLRSSAGGVRWRSRSKLATGGKTKALINEEVCYPKLATYGGQLFASTGDTVSVFSGPDHVLTSTLRGGQGGAICDFSIGGDRLFALHSEENVFDVWETPPPAII >Sspon.07G0009120-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:20984101:20987793:1 gene:Sspon.07G0009120-3C transcript:Sspon.07G0009120-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAAARRALLAHLRVPAAHPVAAAGTIPAAQRLLSSTTEETKGSFLDKGEVADRVLSVVKNFQKVEPSKGRARVLPLTGLRWKGSRIPSLGGTGGMLLSGPRRKEETGAAVSKHGGRGDAGAWAGQWRGDLRKPLAPSFLFLEETGAAVTPTAHFQKDLGLDSLDTVEVVMAFEEEFSFEIPDNEAEKIDSIKTAVDFIASHPQAK >Sspon.02G0022730-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:76797970:76804872:1 gene:Sspon.02G0022730-1A transcript:Sspon.02G0022730-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTLRCGTEAETGVGVLPIDAIYEILIRLPAEELCRLRVVCRPWRSLLSDPQFIAAHATRHRRPLFVAGGDKSYRDDGILCRIIDLSGRVIKYIRWTSREDERLMLISTQHNLACFAKGSSMRCQLLNLVTGERFALPQELSQEHATETRLLETLYYRVCIEFGQVASTGEFKLIRLIDDNSVDHYRFPSGHQLCEVFTLGGSRDARWRGKKGPSQYRVDMRPLRRAAVDGVVYFLLDEYVSNQDVRPKGIASSDLLTEEWRSIRRGPISIPAYYSKNLSLAALNGSLVLVDCTSHVSMDLWFLMDFENGLWVKQHSVQVNLSVRDGFRAHPLVILKNGSVVIYIGSRRLLRIYNPRTNTYTDVAEMVPRDGIGGPLPASAMASSTLRSRTEAGGGVLPMDTLYEILIRLPVKDLCRLRAVCRPWRSLLSDPQFIAAHATRHRRPLIVAGHDKSYRDDGILCDIIDLSGRVIKQIIRSTEDEWLISTQRNLACVAKGTSKSCQLLNLVTGDRFSLPEGLSQEHTPRKLDFMNYRASVALGQVASTGEFKLLRVIDNAFFGSRYMQLCEVFTLGGSGDARWRGKKAAQDRVDMSPLSRVVVDGVVYFLSDEDLINRHVRPKGIASFDLLTEEWRSILRGPVSIPAYYSNLSLAALNGSLVLVHCMLHVSMDLWLLVDFKKSLWVKKHAVEINLSVRDEFLAHPLVILKNGSIVTYIGSRGLLRIYNPRTNTYTDVAEMGSRVGI >Sspon.01G0022280-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:75028794:75031298:-1 gene:Sspon.01G0022280-3D transcript:Sspon.01G0022280-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAATVTSSLPCSAMAVAVAFMAAAASLLAVAAASPVPAIYVFGDSLADVGNNNHLVTLLKADFPHNGIDYPGKKATGRFSNGKNSVDFLAENLGLATSPPYLALSSSSNPNYANGVNFASGGAGVSNATNKDQCISFDKQMDYFVSVHASLVQSLGQAQATAHLAKSLFAITIGSNDIIHYAKANSATSATADPSQQFVDALIQTLTGQLQRLYGLGARKVLFLGTGPVGCTPSLRELSPTKDCSALANGISVRYNAAAASLLSGMAARYADMHYALFDSSAALLQYINQPAAYGFTEAKAACCGLGDMNAKIGCTPLSFYCDNRTSHVFWDFYHPTETTARKLTSTAFDGSAPLIFPMNIRQLSAI >Sspon.02G0001560-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:5526771:5529060:-1 gene:Sspon.02G0001560-1A transcript:Sspon.02G0001560-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDGRRPGGATISPALVKQLREATGAGMMDCKKALAETAGDIDKAQEFLRKKGLAAADKRAGRATAEGRIGSYIHDSRIGVLIEVNCETDFVSRGDIFKELVDDLAMQVAACPQVQYISIDDVPEEFVKKETELEMQREDLLSKPEQIRAKIVEGRVKKRLGEFALFEQPFIKNDKVTISEWLKQTIATTGENMKVKRFARYNLGEGLEKKSQDFAAEVAAQTVVKAPPSAPPKDDKPAETTEPAEKKPAVAVSASLVKQLRDETGAGMMDCKKALAESDGDLQKAQEFLRKKGLSSADKKSSRLAAEGLVGSYIHDNRIGCMIEVNSETDFVARNEKFKELVNDLAMQVVACPQVDYVSVEDIPESIISKEKEIEMQRDDLQSKPENIREKIVEGRIAKRLGVMALLEQPYIKDDSKTVKDLVKEMIASLGENIKVRRFVRYTLGEN >Sspon.05G0021970-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:1586078:1591018:1 gene:Sspon.05G0021970-1B transcript:Sspon.05G0021970-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYLSSVIGHPTDGSSVSGGGLSQNGKFSYGYASSPGKRASMEDFYETKIDCVDGQIIGLFGVFDGHGGAKVAEYVKENLFNNLVSHPKFISDTKVAIDDAYKSTDSEFLESDSSQNQCGSTASTAVLVGDRLFVANVGDSRAIICREGNAIAVSKDHKPDQTDERQRIEEAGGFVMWAGTWRVGGVLAVSRAFGDKLLKQYVVVDPEIREEVVDDTLEFLILASDGLWDVVSNEEAVAMTRSIQDPEEAAKKLLQEAYKRESSDNITCVVVRFLRGQGSSGYA >Sspon.03G0017390-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:75753866:75758997:-1 gene:Sspon.03G0017390-3C transcript:Sspon.03G0017390-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPLSLLKTAQGHPMLVELKNGETYNGHLVNCDTWMNIHLREVICTSKDGDKFWRMPECYIRGNTIKYLRVPDEVIDKVQEETSKSRSDRKPPGVGRGRGRGDVGAKPGGRGIGRGQDDGGRGSGGRGRGGVGAKGGNKGGGRGRG >Sspon.01G0017140-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:58787917:58789513:-1 gene:Sspon.01G0017140-4D transcript:Sspon.01G0017140-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSPTDVAGSAADFSDALPSPTSPAAVPCHSSPGRHYYLAVDRTQFKMRTLLELLGVVADRRGGLPIATCVSSRDELDAVCAAVANLSFVSMSPLYSDQAEAERASVLEKFRQETIQWNQTTKATNIAKSSRLESTGSKLSIVVATDACLPQAAMAEAPLMARVLINYELPTKKEAYLRRMSTCLAADGIVINMVVGGEVATLKALEENSGLLIAEMPIHVSEIL >Sspon.01G0010370-3C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1C:28884826:28886853:1 gene:Sspon.01G0010370-3C transcript:Sspon.01G0010370-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTRSSSSLHSLDAIVLVPTLTRRRQTWTWRHDTGHGGAVVLVPDPWRARRGGRRGGGWIHGELVGEEGGGRIHGELIGAEGEVEGGSMASSPGRKARWRADLWRPRRGGRRGGGRIYGGRWLEASTSGVETAGCKWSLGQTRWWRSAVRPITEAPLQDWLATREWCEGVIVLALARKQSGDKRRREKPKMLLLRGARAASAWAASTAVAAGASGCRYISIRLAPVAALSSGGRKRKGQRRGEAKPPSPPPPPPPQPLPRQSETPSSKKKSGARPSGEAKKSRPVELGEARGPRRLEGGEARKGNTQQQLQEKAKVKRLVRWKCASGCGACCKLDKGPDFPTPDEIFADHQDDLQLYRSMIGSDGWCINYDKATRTCNIYQGWNQRFSMSSLAYHTAGLTGKLAGLLFCPGLRIFMSSFEFKMVIRFI >Sspon.05G0016880-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:70066661:70072645:1 gene:Sspon.05G0016880-1A transcript:Sspon.05G0016880-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRLWSLCLNTPRPSHQRVYHAIHEVYVSSQYAEEFWHMNSPKHNGPFYKFIVLLEDQVILQEMGHGGAGGIWAELVSNRGFEAGGPNTPSNIDPWLIVGDDSIFVETDRSSCFSRNIVALRMEVLCNDCPAGGVGIYNPGFWGMNIEDGKAYHLVIVAGKSKWIKVEKKLVAKGTNRTSRLQITSKKKGVVWFDQVSLMPEDTYKGHGFRKGLVSMLLDLKPRFLRFPGGCFVEGGWLRNAFRWRQSIGPWEERPGHFGDCWQYWTDDGLGYYEFLQLSEDIGAAPIWVFNNGISYNDEVNTATIAPFVKDILDSLEFARGSANSTWGSVRAEMGHPKPFPVKYVAIGNEDCERKFYKGNYLKFYNAIREAYPDIQLISNCDGSSGPLDHPADIYDFHVYADAKTLFSMKNTFDKSPRSGPK >Sspon.05G0019590-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:85769475:85770599:1 gene:Sspon.05G0019590-2B transcript:Sspon.05G0019590-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNTSWLLLVLLQLSCFRCYSAATHHGRKHGRPPPLTTPAPATTTATVVGSVVVVNSTSSSEAATAVSGTLVGVRCHDGNGRPVIHKDVVTDRDGKFHVHLAQHEPSSSSQKLRSITSCSVAVHLPQAPPCVATSSARGLHLIAHHHKHHHGAGARVFSAGAFSVRPELCSQKGLFFPPVPLVPEPPNIGGVPIPPNPVTPAPPSLVPPVLPAPSPPSVLPPLVPQPPPSSIVPPLLPPLVNPPPPPPPPQLLPPVPVPLLPPVVPGIPPAASASKNHRPGNP >Sspon.06G0009790-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:52153900:52161668:1 gene:Sspon.06G0009790-1A transcript:Sspon.06G0009790-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATQATEHAFKDILTSLPKPGGGQYGKFYSLPALNDPRIDKLPYSIRILLESAIRNCDNFQVTKNDVEKIIDWENTSPKLAEIPFKPARVLLQDFTGVPAVVDLAAMRDAMAKLGSDANKINPLVPVDLVIDHSVQVDVARSQNAVQANMELEFSRNKERFGFLKWGSSAFQNMLVVPPGSGIVHQVNLEYLGRVVFNTDGILYPDSVVGTDSHTTMIDGLGVAGWGVGGIEAEATMLGQPMSMVLPGVVGFKLTGKLRSGVTATDLVLTVTQMLRKHGVVGKFVEFYGEGMGKLSLADRATIANMSPEYGATMGFFPVDHVTLDYLKLTGRSDETVSMIEAYLRANKMFVDYNEPPTERIYSSYLELDLDEVEPSMSGPKRPHDRVPLKEMKSDWHACLDNKVGFKGFAVPKEQQDKVVKFDFHGQPAEIKHGSVVIAAITSCTNTSNPSVMLGAGLVAKKACELGLEVKPWVKTSLAPGSGVVTKYLLQSGLQEYLNQQGFHIVGYGCTTCIGNSGDLDESVSAAITENDVVAAAVLSGNRNFEGRVHPLTRANYLASPPLVVAYALAGTVDIDFEKEPIGLGKDGKEVYFRDIWPSTEEIAQVVQSSVLPDMFKGTYEAITKGNPMWNQLTVPEASLYSWDSKSTYIHEPPYFKDMTMSPPGPSAVKDAYCLLNFGDSITTDHISPAGSIHKDSPAAKYLMERGVDRKDFNSYGSRRGNDERYKSEGHATIILAGAEYGSGSSRDWAAKGPMLLAIKAVIAKSFERIHRSNLVGMGIIPLCFKAGEDADSLGLTGHERYSIDLPANLSEIRPGQDVTVTTDNGKSFACTLRFDTEVELAYFNHGGILPYVIRNLAGAQN >Sspon.07G0036440-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7D:35828864:35833026:1 gene:Sspon.07G0036440-1D transcript:Sspon.07G0036440-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAALKEFLDDKAERECFRHLMENMKKYYHGEVYAKNMWPAARAYSPHKFKYFFDKVVAASPGITRWLDDHHGLLWARSKFSADIKCDYINNNLAESWNSWVKNLKDLPPHCLVDALREKLVVLFAKRRRISRALSPGILPAVIHQLNAASKGLGHLKVTKGHPEQTEVTEMYKDEEVRRHVVYINQRACTCREWQVIGKPYPHALTVITTTRHPNMGSYVDNYYSVERFQAAYDGIVANITDKSQWPKVDKGFHLHPPIGKKRGPGRQVKNRKKPATERSGKATRQAICKGCGELGHRQGSWRCSLTGTKKRKRTKKTSVRPGRKKSKTGDIPAPEQATPRTRLAAAKEATLRARLQAEEAQLRASEAMEAAEAAARAANREPPVEPLPIEFQLVAPPTPSDPSTRSVQVLHANLTPPHLVTSHSIPPTSATSPAPTTVHDRPQPAMSKYGTIPTTSSTAPPGGPSSSSSPLDFISRAKARGASALATRRPWRELADPRALSVPRGFSDAYRRARANLAHFAANYALVVLLVVFVSLLWRPVSMLVFLACFAAWLVLYFLRDRDADGTLVLCGRGVGDGVVIAVLSAATLVLLLLTGATGFILTSLLVGLLLVLLHALLHRPADSIDDEAGR >Sspon.05G0004320-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:7928123:7930642:-1 gene:Sspon.05G0004320-2C transcript:Sspon.05G0004320-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGSKTKSGSAEAKSNGKPKPDKEKKGGGGGTPPTPKDSRPRKPAVPKASAAGHGTPRSADKSPGSGSADRKAPTPKAATAASRLATPPEVRQSATTTVPPPPAVCGRGNPQARGKPAKPPQELQAQLAAVREELVKAKEQLVENEKEKGRVLAELELAKKAADEANAKLQEAQETDKLPAGESEQASMHGQQEADDNAALRSTVEQLEKARYELADAIDAKNEALSQVDDAVRASEVKAQEVELLTAEVKRLKGLIDSKMDGKGKKTAERIQNLEAENSALKLKLEKAKAAEEKAVQLERMVNELKSDADDARKSGSKSEQLADEWQKKAELLEVRLEEADQSNILKGESLNSAMEELDSTSSLLRDRESEVAALRDKVRFLEDELAKLKSDIVVSDQRANAAEKEAADLWTEVEGLRLKLQTAEEKMEALSSDKNASSEIETLNEQKNQLADELESTKDELEKVKKAMEGLASALQEMSAESREAQEKYLLKQDEIEHAQAQVEELNLSLKNTKENYELMLDEANYEKVCLTKSVERLQAEVKSAHEEWQSKELSFVSSIKNSEEEIGAIRVQMDRTLEVVKEKENENTELQEKLQQLESQLVEANRIREEANAETIQWKEKVLDQENELQNIKQENDDLQAKESAASEKIKQLSTQLANAKDGMINGNTKEGDNEKGDTEDEDEPVVVVSKMWENSKFADYDSSKEKENDDGDSQVDLESNKGDAGLDSNGLHSAKENSGRASPTKQHQQHKKKPLLKRFSGLLKKKSEN >Sspon.02G0000950-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:4061827:4067211:1 gene:Sspon.02G0000950-2C transcript:Sspon.02G0000950-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAGGGAVAPAKRCMNPACGGPASSVLGAGGDWRKGWPLRSGGFALLCDKCGLAYEQFVFCDIFHQKESGWRDCSFCGKRLHCGCVASKNSYDLLDSGGVQCVTCMKNSAVQSASGQVVPKPFPCPSNLRFFGKSDELLSSRKFEQPPSLMLDSRNVDIAIVNKSNHLFMVKGIEAGQSSNILRQREIENGSRQIKWEQPTLSIGDMGRPFLTRSQSALESLQCTRRDDNKDPTTDSPTSESFSEACLSMSLGIASNGNRMEATSTAERPMLSPTTAIAEGRELATTLSPFQQAQRARHFLTRPPRVGEGAAFDPTRDMFPHLRVARPPAEGRGRNQLLPRYWPRITDQELQQISGDSNSTIVPLFEKVLSASDAGRIGRLVLPKACAEAYFPPISQPEGRPLTIQDARGKEWHFQFRFWPNNNSRMYVLEGVTPCIQSLQLQAGDTVTFSRIDPGGKLVMGFRKATNTVSLPDSQISAIANGSLLSETLFSTANENIGVVSGYPGFLHSIKGAADLHPSSLYDHHMNSADGDVSWNKTDKFGSRPDEGSLQFLQKRSRNIGSKSRRFLIDAEDAMELKLTWEEAQELLRPAPTAKPTVVMIEDYEFEEYDEPPVFAKRSIFTIRATGEQDQWIQCDECSKWRRLPLNVIVASKWTCTDNSWDPKW >Sspon.01G0024830-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:86447033:86451127:-1 gene:Sspon.01G0024830-4D transcript:Sspon.01G0024830-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRVSQLALRRLLSPPSATAAARRAAPVAAEAVSGGGGVLLPRGGVAGVAANGWSGSGPGLRLARRLCTYDERDDRALEEEAEKKFGWILKIFFLGTAGLVGYQFFPYMGYFIWPQNVTLPDKFSGDNLLQQSISLLHVKDPLFKRMGASRLARFAVDDQRRMKVVEMGGAQELLNVLEGAKDDKTRKETLKALAALSKSEEAAGFLDKAGAYAIVSSTPNSGEYAEIQTYKTSLLTAFDQLKS >Sspon.02G0025540-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2B:89991262:89993121:1 gene:Sspon.02G0025540-2B transcript:Sspon.02G0025540-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At3g06430, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G06430) UniProtKB/Swiss-Prot;Acc:Q9SQU6] MGPPAYTASPCTGSALFSNSRAPPPPPRLLLLRRGAGVPSAAGRYGGRVGPSQERRRAAPAQEKRRPSEPSSQSQLPERKRHWKAGEFPGTATADGGGSGRFPAQGKRHSKAGEFPGRDGGGCLAPAPSQGKRHWKAGEFPGTAAVPGSGTPRTPLKNVKKRLDARADAKAWACTVTEALADRVNSKNWQEALQVFEMLKEQPFYHPKEGTYMKLIVLLGRSGQPARAHQLFDEMLQQGCQPTPELYTALIGAYCCSSLLDEALQLLNDMKGSPLCQPDIYTYSTIIKACVDATRFDLIDVMYKDMAERSIAPNTVTQNIVLSGYGKAGRLDDMEKVLSDMLDSTTCKPDVWTMNIILSLFGNRGQVELMEKWYEKFRSYGIEPETRTLNILIGAYGKKRMYDKMSTVMEYMRKLAFPWTTATYNNVIEAFAEVGDAKNMEHTFNQMRSEDDLMEMERVFRHMKHTQCDPDAVTYSILVEAYRKEGMTDKIYALHQENPTLVPTDFVMV >Sspon.04G0027950-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4B:62584688:62585671:1 gene:Sspon.04G0027950-1B transcript:Sspon.04G0027950-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGDGEGEIDCRRPDSTLEEVEMETAVPPYVRKRKMEDEPMEDELEEEEEEEVIRACVIEGSKHADGSIYRQDTHFFHRLYCLDDTRETLVKPTILVDPVTNKIVTEGRSLNGCALMQIFSPKLTNHSAVAPAAAPIMLYGFVAARDLLQPLRNYVFNRTRDDPLVLHRNPDDPSSPLPMQMAGPKRGIFLQTRAMIEYDLRIKRAMEDEDLQLIDGAATFSERTPFHGTYTQRIRGDGDHGAAVDITLCSSATRWKPGYR >Sspon.08G0026430-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8C:22556271:22556490:-1 gene:Sspon.08G0026430-1C transcript:Sspon.08G0026430-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding EFEIPGWSRKGNGFVPQPQPSPQAKHRHTAMRQGIRDRENEPKLPLDVSISQAVPGRLSWSGRAGPSSTMKY >Sspon.02G0003340-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:19301716:19303076:-1 gene:Sspon.02G0003340-1T transcript:Sspon.02G0003340-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMQQGCCAMVEGDKVKSCVKAEHVESPSCCGNQQDEKVCSMEDNASTTEPVEKATSHARAASPGQASTCYVGKHRHSPSRSAEGSDQNFDEETQGTGESRRGCNRKRLKSADGTRDHQVVLVVKAEAHQVGCLDVSDKNSDYCSASSKVHAGLLDIEAGSPSANCKDHGPKG >Sspon.02G0026610-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2B:81853727:81859352:1 gene:Sspon.02G0026610-2B transcript:Sspon.02G0026610-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding WPPPSSASPAPAARCSPSPPSSNRSPPSPTPHPHPLPPSSARRLPHFLSFLAAAAAAAAAGGGTVCDSGLDHQRCPPHL >Sspon.07G0020680-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:68815553:68820269:1 gene:Sspon.07G0020680-3C transcript:Sspon.07G0020680-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVKNLYVSCDPYMRGRMTKHDRPSYVPDFVVGEALVNYGVCKVVASGHPDFKVGDLVWGMTGWEEYTFVPKPESFFKINHPELPLSYYTGVLGMAGLTAWAGFFEVGKPNKGDYVFVSAASGAVGQLVGQFAKLTGCYVNLLKSKFGFDEAFNYKKEQDLDAALRRYFPEGIDIYFENVGGGTLEAVLSNMRNHGRIPTCGMISQYNLEEPEGVHNLVEIIGKRVRMEGFLVFDYYGQYHKFEQEVAGYLKEGKITYVEDIADGLEKAPAALIGLFTGRNVGKQLVAVARE >Sspon.07G0006280-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:16539354:16541226:-1 gene:Sspon.07G0006280-1A transcript:Sspon.07G0006280-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPQPKSGLFVGINKGHVVTKRELPPRPSHRKGKATKRVSMVRGLIREVAGFAPYEKRITELLKVGKDKRALKVAKRKLGTHKRAKKKREEMAGVLRKMRSAGTHTDKKK >Sspon.02G0029500-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:107255149:107259949:-1 gene:Sspon.02G0029500-1A transcript:Sspon.02G0029500-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGACVSSSKKRRSQRLSCICRRYRGKVLTNTPIVRASNVENFASSGEAVHLGTAAATRRRSDGSNVTFHLTQLQWHHSELDTENGNVVCQEEAWFDSVSILGSDSDEDFSSVNGDLPAMSNSTGTQLMQCEDASSIVDAIQKFERIFDGSSVAQAVGQYLKRDANKLEAERPKIASPEACDVSSGKVEDAKTRNEGIKILTKLRRGEDACNTLKSFKDGEKPHEIIFKSSTPVCTPCHANKVQPLAVASPRGQKKKSAVVRLSFKRQSFDGEQTTEICSSRRYLIRPRAGLLVPQAGEKISVGCWSVLEPSTFKLRGESFFKDKKKSPAPSCSPYTPFGVDIFMSPRKIHHIAQHIELPSVKPNEKIPSLLIVNIQMPTYPAAMFLGDSDGEGINLVLYFKLNDNFEKEISPQFHDSIKRLVNDEIEKVKGFPLDSTVPFRERLKILAGLVNPDDMNLSSAERKLVQAYNEKPVLSRPQHNFYVGSNYLEIDLDVHRFSFISRKGLEAFRERLKHG >Sspon.04G0018860-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4B:70580567:70583731:1 gene:Sspon.04G0018860-2B transcript:Sspon.04G0018860-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RYVTALLSLLSVLLVGGDVVSVVSVLAAINNIATSPLKAWCMSGDSERRAASMTHTPSPISMSGDLHSLLLQPLHAIDMGVQPQHQELQESESELDSPPRITILPVPEEEPDSPTYPTGPTSPGSPRATSVRVNNASESPKDSPNDDEDEYFNYLVDKFVSVAANVCSSTLSDDEPDYKEEIHKKYALCAKRALEHYNNDDKNKVASGQQI >Sspon.02G0005610-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:25935522:25938327:-1 gene:Sspon.02G0005610-1T transcript:Sspon.02G0005610-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIVQSELLTLLRLIAIILFFIWRIRHPYADGMWLWWISIVGDFWFGVTWLLNQVAKLNPIKRVPDLSLLSQQFDLPDGNSNLPRLDVFINTVDPINEPMIYTMNSILSILAVDYPIDRTATYLSDDGGSIIHYEGLLETANFATLWVPFCRKHSIEPRAPESYFAVKSRPYTGNVPDEFVDDHRRMSKEYDEFKVRLDALFTKIPERSDAYNAEAKEGVKATWMADGTQWPGTWFDPTENHKKGQHAGIVKVMLNHPGDEPRFGGPASAETPLDFSAVDVRLPMLVYISREKNPSYDHQKKAGAMNVQLRISALLTNAPFIINFDGDHYVNNSQAFRAAMCFMLDRRDGDNTAFVQFPQRFDDVDPTDRYCNHNRVFFDATLLGLNGIQGPSYVGTGCMFRRIAVYGIDPPRWRTDAFKLVDNPNKFGNSMPFINSIPSAANQEWSMTSPPAHEESVMEELNNVMKCAYEDSTEFGKEIGWVYNIATEDVVTGFRVHRTGWRSMYCRIEPDAFRGTAPINLTERLYQILRWSGGSLEMFFSHCPLLASRRLNFMQRIAYTNMTAYPISSVFLVFYLLFPVIWIFRGEFYIQKPFPTYVLYLVIIIAMTELIGMVEIKWAGLTLLDWIRNEQFYIIGATAVYPLATLHIVLKLVLRGNGVSFKLTAKQATSTVNEKYAELYVVQWAPLLIPTIVVIAVNVGAIGAAIGKAIVGGWSLLQMADASLGLVFNAWILVLIYPFALGIMGRWSKRPYILFILFMIGFVVVAAVVVAIHAARTGSVRFHFRHSGGASFPTSWGF >Sspon.04G0012840-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4A:44743374:44744090:1 gene:Sspon.04G0012840-1A transcript:Sspon.04G0012840-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Branched-chain amino acid aminotransferase like protein [Source:Projected from Arabidopsis thaliana (AT5G57850) UniProtKB/TrEMBL;Acc:Q0WW83] MAKIPLPFDRSTIRSILIQTVSASNCTQGSLRYWLSVGPGDFQLSSSGCANPALYAVVIESPSLQVPSCCKVVTSSIPIKSPQFAVMKSVNYLPNALTKVEGEENGAFTGIWLDDEGFVAEGSNMNVGFVTKSKELLLPCFDKILSGCTARRVLTLAEHLVAHGKLSGVISGNVSVQEGKMADEMMLIGSGILVKPVVQWDDQIIGS >Sspon.07G0008610-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:20363609:20368549:-1 gene:Sspon.07G0008610-3D transcript:Sspon.07G0008610-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPARPHLVAAAAAAVLLLLPVHLALAAAQGFRGFSYLLNCGAASPTTDGRGLRWDPDGDYVSAGTPGAVSLPGLIDPTLATLRTFPLRHGAKFCYELPVDRNRRYLIRPTFFYGALFANSSAPPPPVFDLIVDGTFWTAVNTTDDARAGAASSYEGVFPASGRNMSFCLGVNPDYTDGSPFISALQVIQLEDSVYNATDFPTSAMGLIARTKFGSTGGMERYPNDSFDRYWQPFPDNKHAVSSTQNVTSADFWNLPPPDVFNTAFVAEKDAPLVLQWPPVALQNDSYYVSLYFADTLPDNSRTFDVYINDYLFFKDLNVTSAGLSVFATQWILSGLTTIILKPASPSALPPLINAGEVFGLFPVGRLTHARDVLALESIKKNLQNIPEDWNGDPCMPSGYSWTGVTCDEGSKIRVISLNFSSMGLSGFLSPDIANLTALTNISFAHNRLGGSIPNLSNLRNLQRLHLQENQLSGSVPETLGTINTLREIFLQYNNLNGTVPENLLNKTGLTYAILGSDSDVELKFMGTLQ >Sspon.01G0032320-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:110333239:110337231:1 gene:Sspon.01G0032320-3D transcript:Sspon.01G0032320-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGSAPAEPEPAVLLHGDLDIRIVEAKCLPNMDIMSERVRRCFASCSCGGGGGGGAGSGRPSTKKRIITSDPYVSVCLAGATVAQTRVIPNTENPRWEEHFRVDVAHAAARVEFHVKDNDVFGAQLIGVAAVPVAALAAGAPVAGWFPVEAGQCGGGSHSPSPRRPAPELHLSIRYEPIDGNPLYSASAGAGPRFSGVPNAYFPLRRGGAVTLYQDAHVAGGNLPAIEIDGGRVYEHGRCWEDICHAIAEAHHLVYVVGWSIYHPVRLVRETTRPLPVGTPPTLGELLKGKVHEGVRVVMLIWDDKTSHDKFLLKTVVGTLFTHHQKCVIVDSQAAGNNRKITAFIGGLDLCDGRYDTPEHRLFKDLDTVFKKDFHNPTFPANSYGPRQPWHDLHCKIEGPAAYDILTNFEQRWRKATKWKVNLKKVASWHYDTLIKLNRMSWIVSPATGEANAHVCDEQDPENWHVQVFRSIDSGSIKGFPKLVQEAESQNLVCAKNLKIDRSIHSAYVKAIRSAQRFIYIENQYFIGSSFCWPSCKNAGADNLIPIELALKIASKIKEKERFAVYIVIPMWPEGIPTTAPMQQILFWQGQTMSMMYKIVADALQSQGLIDAHPQDYLNFYCLGKRELASDDDLSPRTLCNDTSALHAAQKQRRFMIYVHSKGMVVDDEYVIIGSANINQRSMEGSRDTEIAMGAYQPHYTSCWATGDAAGHPPRGQVHGYRMSLWAEHLGTVEERFRRPEAEECVRRVNEMAEENWRAYVSPDMEETRGHLLKYPVHVGGDGQVGPLPGHECFPDVGGKVLGTQSSLPNALT >Sspon.02G0033680-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:32368306:32368769:-1 gene:Sspon.02G0033680-2C transcript:Sspon.02G0033680-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVVKLPRKMNCVMDSIPVSAIKEGGTAPMQTEFRSINSNSFASVPFFRKSGRLDSSGVQLATQNEAKMMLKNKSPRWHEPLQCWSLNFHGRVTVASVKNFQLVASGESDPNNQDDDDVILQFGKIGKDLFTMDYRYPISTFQAFAIC >Sspon.05G0002780-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:6596845:6605549:-1 gene:Sspon.05G0002780-2B transcript:Sspon.05G0002780-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat receptor-like protein kinase (Fragment) [Source:Projected from Arabidopsis thaliana (AT5G46330) UniProtKB/TrEMBL;Acc:C0LGU8] MPSQTALFCRALTPLGNASTSLHSRWRWHGLRARLYKLVTRQTPHSITWYLGESKIASGMASCKNTCSYTLVSNVAAVLAIAVLVLAAPAAASVPDASVSVHLEALLAFKKAVTADPNSTLSSWTMGAGNGRGGGGFPPHCNWTGVACDGAGHVTSIELAETGLRGTLTPFLGNITTLQLLDLTSNRFGGAIPRQLGRLDELEGLGLGVNNFTGEIPPELGDLRSLQVLDLSNNTLRGGIPSRLCNCSAMIQFSVFNNDLTGAVPDCIGDLLSKNQLTGSIPTELGKLRSLRKLMLHANKLSGTVPPSLMDLVNLTYLSFSENSLSGPLPANIGSLQNLQVLVINTNSLSGPIPASIANCTSLYNASMAFNEFSGPLPAGLGRLQNLEFLSLGDNKLSGDIPEDLFDCDNLRTLDLAGNSLTGSLSPRVGRLSELSLLQLQGNALSGEIPEEIGNLTKLIALQLGGNRFAGRVPASVSNMSSLQKLTLQQNRLDGALPDEIFGLRQLTILSVASNRFVGPIPDAVSNLRSLSFLDMSNNGLNGTVPAAVGNLDQLLTLDLSHNRLAGAIPGAVIAKFSTLQMYLNLSNNMFMGPIPAEMGGLKMVQSIDLSNNRLSGGVPATLAGCKNLYSLDLSANNLTGALPAGLFPQLDGFSRTGLVVLVVLLVLAVLLLLLFVAILFLGYRRYKKKKGDSTRATSLSSDFVVPELRKFTYSELEAATGSFGEGNVIGSSNLSTVYKGMLVEPDGKVVAVKRLNLAQFPAKSDKGLDGVLDVLDPDMKVVTEGDLSTAAYVLSLALSCAAFEPADRPDMDSVHHPRQLNRRSRGGHVNRRGQRLQERQVRAQQGGDESRRFAMRGTPNKGDIITSIVRPGATSIQDPATYLQTQKNGS >Sspon.08G0004430-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:10365022:10368225:1 gene:Sspon.08G0004430-1P transcript:Sspon.08G0004430-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMLGCLEQIEDKKTTIGNWIQCREVLVTGVVCGKWRRAPLFVVQSSDWDCSCSVVWDPIHADCAVPQELETAEVREQLKYINKLKSRLGNSCCPALVLSQIGVDTMGQFFSDEVRSSRDEIHVYAWHLDT >Sspon.02G0015120-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:35741984:35745897:1 gene:Sspon.02G0015120-1P transcript:Sspon.02G0015120-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MWAPPAASPTGAIPSLRPSRAVAVRVLVTLPTAARPQDQPRRRLCLAAPPPAPEMASAAAEGEGEEEEDVVVVEGDTERKKAVEMDAAMRRELAIRRLREEAEAEANEAGTRKGRSRRDFAVFENARGDALFTQSWTPAAADRLKGVVVLLHGLNEHSGRYNHFAKLLNDQGLKVYAMDWIGHGGSDGVHGYVSSLDHAVGDLKEFLEDVVLEENRGLPCFLFGHSTGGAIVLKAVLDPFVELHVEGVVLTSPAIHVQPSHPIIKVVAPIFSMLAPKYRVSALHKRGPPVSRDPEALKMKYSDPLVYTGPIRVRTGNEILRISSFLQRNLSRVTVPFLVLHGTADTITDPRASERLYQASMSTNKSIKLYDGYLHDLLFEPERDDIANDIINWLSTRLDVLQGW >Sspon.03G0021920-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:53072746:53074026:1 gene:Sspon.03G0021920-4D transcript:Sspon.03G0021920-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase 25 [Source:Projected from Arabidopsis thaliana (AT2G41480) UniProtKB/Swiss-Prot;Acc:O80822] MAALFLLFAALLLRSSSVHSQGLEIGFYDSYCPDAEDILRSTVEQYYDRDATIAPGLLRLHFHDCFATTLEFHHPVTGGPSWSVPLGRRDGRLSSASGATALPSPADPVSVQRKKFTDHGLTDHDLVTLVGAHTIGQTDCQFFSYRLYNFTATGNADPTISQASLAQLRALCPPNGDPGRRVALDQGSPGAFDVSFFKNVRDGGAVLESDQRLWSDAATQGVVQKYAGNVRGLFGLRFGFEFPKAMVRMSSIGVKTGDQGEIRRRCSRIN >Sspon.01G0010860-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:30552955:30556380:1 gene:Sspon.01G0010860-3C transcript:Sspon.01G0010860-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDPTTKPPSPRPRRRRRLCGLCLGTALLALLVSALVHVVAPPPRPAPASTSARFSVIIDGGSTGTRAHVFATGHDGRPDLARSTVMRVSPGLSSFATDPSRAGESLKPLIDFARDEIGGAGGAAGEAEVRLMATAGLRLLEERAQEAILASCRDVLRASGFRFEDTWAKVIPGSDEGIYAWVAANYALGRLGGDPNKTVGIIELGGASAQLTFVSDEVLPPKLSYNYTFGERTYTLYTNSFLNFGQNAAQDSFHEMLRSRGSSKNGTLPDPCAPRGYSRNEEVMVRMSSASRSTLENQYVDNGTANFTECISYSLLLLQKGKEKCQYQQCHLGSTFVPELRGYFLATENFYFTSKNNFAIRICPLLEKSILIGPMKIFHVLVYHWMIRGKHIEHAVVKFTPKIEYSNQVGDVQVEWALGAFIALMQNTSLKPLHIAAESTHSNKPLFAVLGMFLLCGVLFVSRWRKPKTKIIYDLEKGRYIITRIS >Sspon.08G0002730-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:7902394:7910804:1 gene:Sspon.08G0002730-1A transcript:Sspon.08G0002730-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MILVEEFFSLSTSQVKKACSEVTQFWKDLRQICKVDLLLPFARLTLWRNILKQMSTEAQNSSREITAADMEILFNMHPEIPIADVEEKPKISAFTNDNNELKQDQRAMKISDPSLKEQQLNINVKGRLGKALILDKKLKSLQNYLNESSGDDHIMLIILQVKQAYASEAVALILKRLHISIPSTLVLDWSTGSTSPSTLNELMICWSKGLYVFFGREKKRWIIFDNNTTKTLSWSEVIDWENSIHVVKLGNSRETRKFRCLASRTKKNVTQQICLRLLNMPVNMGLVAAAAWV >Sspon.06G0019000-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:88946858:88948039:1 gene:Sspon.06G0019000-2B transcript:Sspon.06G0019000-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GCLAAADQAATAAQAASAARSTLTWRRRAPPRRPPSSWASPRRRQLLPSSRPRRSPARPPTAAAAVTAASATPATA >Sspon.08G0000800-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:2684624:2687365:-1 gene:Sspon.08G0000800-1A transcript:Sspon.08G0000800-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MENLRSENGHQGVAMEGVKFAPEMANTNRRALSDIKNIIGGPHQHLAVSKRGLSEKFAATLANQPTVAHLAPIGSERLKRNADTAFHTPADMESTKMTDDIPLPMLSEMDEMMSSELKEIEMEDIEEAAPDIDSCDAGNSLAVVDYVDEIYRFYRKTEGASCVPTNYMSSQTDINEKMRGILIDWLIEVHYKLELLEETLFLTVNIIDRFLARETVVRKKLQLVGVTAMLLACKYEEVSVPVVEDLILICDRAYTRADILEMERRIVNTLNFNMSVPTPYCFMRRFLKAAQSEKKLELLSFFMIELSLVEYEMLQFCPSMLAASAIYTAQCTINGFKSWNKCCELHTKYSEEQLMVCSRMMVELHQRAAHGKLTGVHRKYITFRYGCAAKSEPATFLLDART >Sspon.06G0006900-3C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6C:24686324:24689231:-1 gene:Sspon.06G0006900-3C transcript:Sspon.06G0006900-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRITIQFAVGSIKLASMAVKVWVFIVALMCIGVVGLGADGSAGSSRDGGSLSRCHLSSPNYHGALAKAILFFEGQRSGRLPANQRAKWRGDSALTDGQPENVNLTGGYYDAGDNVKFGFPMAFTVTLLSWGAVEYRDEVAAAGQLRYLRSAIQWGADFLLRAHTSPTTLYTQVGDGNADHQCWERPEDMDTPRTLYKITQSSPGSEAAGEAAAALAAAYLVFRDDRDKTLATQLLAASRSLFDFANDYRGSYQLSCPFYCSYSGYQDELQWASAWLYRATKDSKYLDFLQNNQGGSATEFSWDNKYPGAQLLATQEYLGGRTELEGYKRGLDSFVCAVMPNSGNTQIRTTPGGLLFTRDSVNLQYTTTAALLLSIYSKALSSVGGQVVQCSAASFSPDQISSFATSQVDYILGDNPKGMSYMVGFSSKYPRRIHHRGSSIPSIKALPRKVTCNEGFSSWFPTSNPNPNTHVGAIVGGPDGNDQFSDNREDSTHSEPATYINAAFVGACAAALGQQNQHKEPVDDIASAL >Sspon.07G0006630-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:12457327:12460153:-1 gene:Sspon.07G0006630-3C transcript:Sspon.07G0006630-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKKKSAPAAAGAAAPPPANGYFSTVFSASPAGSANDAKQSDLYTMLNKQSSRGQNGSSIAGIKGVELSLLCCSVGTVGASRQAWIESNSCNCWKLASGLHPSSRWRQYLDASSHLDRCGRIGCVLLYSCFPLCVLVV >Sspon.02G0019180-4D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2D:58276994:58277395:1 gene:Sspon.02G0019180-4D transcript:Sspon.02G0019180-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPASNTRQGASHQNHNSRRFGNAMRWKTTKAFSGDMLLDVKLEVYQQGEPGENDNAIAWQRPDYNFQAAEVMKVGDPISRDGREDAYAFCCADRKRPRVEEQRRWDETSLKAALKEAINKHPGSLRVVIGTM >Sspon.07G0002990-3C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7C:5617118:5617841:-1 gene:Sspon.07G0002990-3C transcript:Sspon.07G0002990-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSRIIVASLLLLLAFAATAEARVVRELIGEDACQQTCNQVRFKKMCQSLTKLPKVTTPRELLLASMRVAAEKAKEAKSRVDVYAARSHGGRPMESILGACSTGYDNVVQTLEETQKIVAKQGTQVDMNTQLSDAVTSAGDCDNAFQDFPEMKDPFLAMQRNVWRLVDNVLNIAVVVKQSGDAHAHGH >Sspon.06G0011670-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:51396902:51398443:-1 gene:Sspon.06G0011670-1T transcript:Sspon.06G0011670-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLDTTPAAAADDINGSGFQPLNADDVRSYLHKSVDFIYDYYKSVESLPVLPGVEPGYLRRLLQSVPPTSSAPFDIALKEVRDAVVPGMTHWASPNFFAFFPSTNSAAAIAGELVASAMNTVGFTWQASPAATEMEVLALDWLAQLLRLPSTFMNRTAEAGRGSGGGVILGTTSEAMLVTLVSARDAALRRAGSVGVAGITRLVVYAADQTHSTFFKACRLAGFDPANIRSIPTGPETDYALDPAKLLEIMQADVDAGLVPTYICATVGTTSSNAVDPVRAIADVAAVFNAWVHIDAAYAGSACICPEFRHHLDGVERVDSISMSPHKWLMTCLDCTCLWVRDTHRLTDSLETNPEYLKNDASESGNVTDLKDMQVGVGRRFRGLKLWMVMRTYGSAKLQEHIRSDVAMAKMFEDAVRADDRFEVVVPRNFSLVCFRIRPQGAMTEEDAEEVNRELMERLNRTGKAYLAHTAVGGKFVLRFAVGSSLQEERHVRSAWELIKKTTTEIMEEEI >Sspon.02G0013240-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:15607109:15611470:-1 gene:Sspon.02G0013240-1P transcript:Sspon.02G0013240-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAFLLAVACIFIVSLLTLMVLLVEASRRRKTTGAGKTGSGTTEQDQKLPPGSLGLPLIGETLQLYTQNPKDFFASRLKRYGEVFKTHVLGCPCVILASPEAARMVLVSQAHLFKPTYPPSKERMIGAQALFFHQGDYHLRLRRLVQGWVGPDALRALVPDVEAAVASTLRGWEGRVTSTFHTMKTLTFDVGVVTIFGRRLADHVKEELREHYLIVEKGYNSFPIPVPFTSYSQAIKARRRLSAILSGILAERRARRSDDQGDDDGLLNTLMRYRDDSGAALSDDQVADNVIGVLFAAQDTTASVLTWILKFLHDNPKLLEAVKAEQMAIYEENDGGRLPLTWAQTRRMPITHLVILESLRMASIISFTFREAVEDVHYQGFLIPKGWKVMPLFRNLHYSPEYFQDPHKFDPSRFKVAPRPGTFLPFGSGVHACPGNDLAKLEMLVLIHRLVTTYSPFPVPKRGLQARLTRAAAA >Sspon.01G0014280-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:40204114:40206832:1 gene:Sspon.01G0014280-1A transcript:Sspon.01G0014280-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASASSAACRVCGGGVGECACHGHGIGARCGVAVADLNRGFPGMWHQPAEEEPSGVVGSGAAAAGLHEFQFFGHDEDHDSVTWLFNDPAPHLHRGPAPAAAAVANGVAAKSEQRRAPPPLFDNGYAHAHAQYGQLPGHGLTFDVPLSRGGDVASAAVLEAGLGLGGGGGGSNPATTSSATIMSFCGSTFTDAASAVPGDAAAAAANGSASGGADPAVDREAKVMRYKEKRKRRRYEKQIRYASRKAYAEMRPRVKGRFAKVPDGEAPAPPAPAAAAGYEPGRLDLGWFRS >Sspon.07G0009970-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7A:29160965:29166882:-1 gene:Sspon.07G0009970-1A transcript:Sspon.07G0009970-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLWSGSTHMQASVPTLWGLKSSSIGARCQNWAGSEIAAPLALLDREKKKKHSGKQVGRERRRRMQQQMAATVEEQMMVKAIREESPWEALPKRIQAAVVSKEEWHRRIVDYCIRKRLPWSSCFARKVCKEGDYYEDLMRYLRKNLALYPYHLADFICRVMRISPFRYYCDVLFEAMKNEQPYDSIPNFSAADALRITGVGRNEFIDIMNKCRSKKIMWKLNKSIAKELLPAEPADLAIEPWWGVRFVNFTLEEFKKLSEDETSAIDKICKEEVNSYVLFDPEVVRGLYKRGMVYFDVPVYPDDRFRVSRLEGFVSNKDQSYEDPIEELLYAVFVVSSENATVAELATTLQADLYQLQAAASFACRLGWAVKLLDTDSVLRDSSTPALSNNILSDEVESSCTSIASEKSSHELLSNDSDGHKKHSGMAYVGFIVDANVTSYLMMGSLSPGLKSHAVTLYEAGKLGDSCIADLCNDLASLEGKRFEGVLQEFANHAFSLRCFLECLLSGGTSNETSDLTSEANNQESSMQDDLDTTSTKENKENDIVDNAIVNSIQISKSSDGGQQDELSPQDNKIGDSDAADVNASPSSSIVSEGKESILKHDFDNIQATKLVDSTGNSPLSKRKRRYRVNILRCESLASLGPSTLERLLARDYDIVVSMIPLPSSSVLPCPSGLVHFGPPSYSSMTPWMKLALYTSGTCGPISAIFMKGQRLRLLPEPLASCEKALIWSWDQSVVGGLGGNFEGNLVKGSLLLHYLNSMTKHSAVIVQPLSIDDLDETGNIVTMDVPLPLKNADGSIPSTIVGTDLPEKQVSNLILLLEDLSSKVELSTVGYLRLLRLHKVNESHETPEDESFEWVPLTIEFGIPLFSPQLCEKICERVVESQMLQKDDITEHYETMQNVRKRLRELCTEYQATGPTARLFNQRGGSKNNSPRKLVQIVSGRWTPFHDPSTPTHSSPPAEHDRAKPARRQRCFTEVLSFDGSILRYFLRTQGHH >Sspon.07G0006950-3C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7C:13775017:13780446:1 gene:Sspon.07G0006950-3C transcript:Sspon.07G0006950-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVRATVSRFPATPEALESCAVQWGVAVTPFAAADERGQPPTTGAGGDRVPRCEHCWAYLSSHCDLERWGWTCALCGTLNGFDDETERRFQRPGACPELNSSFVDLEIPVDEAEGGGDGVQALPVYVAAVDLACSEEFLELIKSALLAALEALIPGSLFGLMTFSHKIGLYDVQGPIPLVKNVFIPPDLEEDGLPVALEDAMPLHSFLAPVDTCKDRIAAALETLRPTSSWERGAASGQEEDTVLLGERGFGTAMSALIEYLSSEYGSTFALGRTARVFAFLSGAPDYGAGLLDTRRYGEQYASKGVDADLALLPEQIPFYRDLAAVAVQSGVCIDIFAVTDEYTDLASLKFLSIESGGSLFLYANTDDSTLPQDMHTDPAVVQIAFQYSVIEPVKETSGNGSQSPASYKFCLKRRLRIRTLQYRPASNISEIYDSVDPEVVLHILVHKVILESLDKGVREGRHQVHAWLSLLAARALGPSSLAKAIYPVLVSYSSPDKQAFPRHTLSRAALIMSESPIFLLDTFTNLIVYYSSTADPSIPFPPPRDCLLRTTINRLKQDRCITPKLTFIHGGNDDSTLFESYLIEEQDVDGSGFTTGSGFVAFRESVRNVAGEIIQEEIGS >Sspon.01G0031740-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:108440098:108441001:-1 gene:Sspon.01G0031740-1A transcript:Sspon.01G0031740-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AMSKPSLQCTDTAAFDPIVGDCRLDGKVAIVTGGASGIGEAAARLFASSGATVVIADVQDALGEAVAASVGSDRCAYARCDVTDEAQVEATVARVVAAHGRLDVMLSNAGVLLPTGSVMDMDLAELDRVMAVNFRGAAACVKHAARAMVASGSGGGAIVCTASVASLQGGFGPASYTASKHALLGLVRAAAGELGRHGVRVNCVSPGGVATPLSCALMGVGPRELEAMTVPHNVLQGKVLRAEDVAEAALFLASDQAAFISGHNLVVDGATTAVNPAVLHTVGL >Sspon.02G0025280-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2C:93172591:93173632:1 gene:Sspon.02G0025280-2C transcript:Sspon.02G0025280-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPCDGGGGAGGEVSTKRAKLPTGASAGAGSEDRISALPDDILVLILRRFTTCGAVRTSILSRRWRRVWALLPALHFRYTPDRPRPIGPALEAHEAALLRLTVLTRDAAPDSVSAWLPIAARRLSGSLFFHNDLVRDRSAQEGGDEEAAQRGAFELPCLERATEVTLHLGFLGLAVPPAGVFARLTELYLSSVRFHGPGLLGDAVSWPRCPCLQKLTIHDARGLDNLAIHSDSLRQVALTRLRGLQQLNIVAPALEDLEVTRCFFYDRSQPVATITTPLLATLRWTDPYDPSSVHLGEMRHLRLLRPFFFMVYGDGDASSTPNQSCLSLLRRFKVIESLILTLTYLS >Sspon.08G0022680-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:50522712:50527802:-1 gene:Sspon.08G0022680-1B transcript:Sspon.08G0022680-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMGARSLFAGAAAAALLWSLVFIGIGTAVARAATLPVDVGGRTPAAAGISKAPEAKNSSYGGTRVETTAHAAAEDLINNAQVQAIVAPQKSVVKAVKFSQFGNLMSIWMEETYLGIHGGLNNSGTGTMELEPVFWQEDPVMTSKGSDKILDPEPSLNPESKSTNARKLLVERNLGKVCSDNTTKPLRIGVPLKHGFENFVKVSDPCSYCNDTNICSSTKKQNITGYSIDVFEDAMKELQDTPRYEYCIFDGSYDDLVGNVSSGNLDGAAGDVTITSDRINTVDFTMPYTQSGVALLVRRDRSDPIQWRFLTPLSKELWFATVGFFCFTGFVIWMIERPKNTEYQGSAMGQFSTAAYFAFSTLTFSHGQNVRSPLSRFAVVIWCFLVLVLVQSYTASLSSLLTADRLQPSVKDLNQLLKAGDSVGYQKGSFVLSLLRHRNFPAENLTAYSSADEYAKALRNGSKNGGVSAIVDEVPYLKAFLSDSRYEEEFEIQDQIFRTPGFGFVSCSISCLLTLEEKTACFQYSIMSFRLVNNLSSAILGITGGEEISTIEKEWLGTSTAAAAADASLTITKADYTPLTLRNFSGLFLVSGLVSSLMLLISIAKLAYARLTGAEDADAVQTAGSTNPGDQQYHPLENTTDNISVLIDHPHPEATNGDHQGGHGSDCSVPEGLLNEAISHERGHSANAVHDGSAPEQSLMMIEMNI >Sspon.02G0008940-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:28172937:28176443:-1 gene:Sspon.02G0008940-2C transcript:Sspon.02G0008940-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFASSLLPVPASRVCASPAPELAAFSPAKKSVSLAAACRRQGPRHGVRAEVNESGSALAVDALSQVKHVLLPVLDRNPYLSEGTRQAAATTASLAKKYGADITVVVIDDKPKESVPEHDTQMSSIRWHLSEGGFSEFRLMERLGEGQKPTAIIGEVADEWNLDLVVLSMEAIHSKHVDGNLLAEFIPWQ >Sspon.06G0011690-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:109098396:109099445:1 gene:Sspon.06G0011690-2C transcript:Sspon.06G0011690-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAWPDDFLCPITLEVMTDPVILPSGHTFERRSIQQWLDGGHLTCPVTNLPLPPCPPLIPNHALRRLIAAVSPSAAAAAAPVPAVGGTHARQEAVPAAPARSASPVPALLRLAKSGPAGRREVLESGNAAVLLRHAEAGDEAAARALLHLSLDGDDTRVGLVADGAVDALSAAVSRGGAAAASAATALTSLATVDVNKCTIGAHPSAIPALAGLLRRGGPRERREAATALYELCKLPENRRRAVREGAAPALADFAADGSARAVEVLGLLARCREGRQELCRIPGVVSVLTGVAKSGNARAIEQALLVLNWICSESNELALEAIKLQAFDLCEALVNDDNCKIAKNAVEL >Sspon.02G0037350-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:32784536:32785497:1 gene:Sspon.02G0037350-1B transcript:Sspon.02G0037350-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPDSELEFEMPGVLRMYKTGRVERFDGTETVPPSPDGDPANGVASKDVVLDPAAGISARLYLPAGVDPGKKLPVVVFFHGGAFMVHTAASPLYHIYAASLAAAAPAVVVSVDYRLAPEHRVPAAYDDAFAALKAVIAACRADGAEAEAEPWLAAHGDASRIVLAGDSAGGNMAHNVAIRLRKEGGIEGYGDMEPLGAEPRDPGYRAMFDPTWEFICGGKFDLDHPYVNPMASPEEWRQLGSHRVLVTTAEQCWFVERARAYAEGIKKCGWEGELEFYETKGEAHVFFLPKHGSDNAVKELAVVAEF >Sspon.01G0055840-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1C:84479686:84480106:1 gene:Sspon.01G0055840-1C transcript:Sspon.01G0055840-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding PLPADAWPRCRVGQASASSRPQPVSARAFSCCPLSFPLLSRAVTRPWSGLQCRAHALPLPAPLPVIRQLLARVPPASLACARREPLRVCRLVLVDPISPLRGDPRVDLPSPPRAVAMPRTRCPLSSPSGHRSSIHLKHGF >Sspon.06G0027050-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:81759786:81760001:-1 gene:Sspon.06G0027050-2D transcript:Sspon.06G0027050-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding HACPAARARAPAARDRCSRSWPVLEAIRRTAAAREGGRPQPRPRARELFGELLPCQALPRLCECLYTAFNQL >Sspon.02G0014500-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:36356071:36362267:-1 gene:Sspon.02G0014500-2B transcript:Sspon.02G0014500-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEDDAAVDADSLVDQDPRSGARPSGGERDWAAAVLEPVRWMRMLCRELGATFVAGVVLVYGLSQGFAGSFFRVASDYYWKDVQRVQPATVQFLSVFFYIPWVLKPLWGIMTDVFPVCGYRRRPYFLFSGILGTVSAAIVAITIGLPVSSAVLCLVGISTAVAIADVTIDACIAKNSIDKPALAPDMQSLCAFSSSLGALVGYATSGMFVHHLGAQGALGVMAIPPATVVFLGFFIYELKARQHNVKEKVLNKVSGAVKGMVRTIRYPVVWKPSLYMFLSLALSISTHEGQFYWYTNKTPPNPGFSQEFVGLVHAIGAVASMLGVLVYHKCLKDYPFRSILLYAQLLYGVSGFLDLTFVLRWNLALGVPDAAFVTLEECVSRVVGRVRLMPMMVLSTKLCPPGVEGTFFALLMCIDSLGMLAAKAGGAAVLRALRVTRTDFGHLWLAVLLRSVLRLATLGAIGLVPTADQTDVLVPRELLVSSSSRAVFPFSPQVVCPMDTIFLLMFHR >Sspon.01G0025550-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:90557258:90557937:-1 gene:Sspon.01G0025550-1T transcript:Sspon.01G0025550-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYSKALASLFTMLVLAPLPLLVKATDPDPLQDFCVADLSGKLSVNGFPCQPSSSAGDEFLFSDKIATGGDPLANPNGSNVTELDVSEWPGVNTLGVSMNRVDFAPGAPTRRTSTRAPPSLDSGNRYYSKVVRAGETFVIPRGLMHFQFNVGKEDATMVVSFNSQNPGIIFVPLTLFGSSPPIPTPVLSKALRVDASVVDLIKSKFAGGY >Sspon.03G0002560-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:6306075:6311530:1 gene:Sspon.03G0002560-1A transcript:Sspon.03G0002560-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAASNGGLLYHEVQEGKLCAVHCVNTALQGPFFSEFDLAALAADLDQRERLVMLEGSRSPGEANPAAGDFFAEGEGSHNVSLGGDFSIQVLQKALEVWDLQVIPLDSPAAGSSLFDPEQEVAFICHLQDHWFCIRKVNGEWYNFNSLYPAPEHLSKFYLSAFIDTLKGSGWSIFAVRGNFPKECPMATEGSNGFGQWLTPDDARRITASCNQVQTPTQQVQPSLLGEQSEGVSEMDMIAAQQEEADLNAAIAASLMDTGGPFASYNAAQGSSNSQDAPATEAAPSKDNNQEEAGKSEPSGPPCEDTQELASGSDTKREVSSVEEKGSAKEE >Sspon.06G0035330-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:71775408:71780377:-1 gene:Sspon.06G0035330-1D transcript:Sspon.06G0035330-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVVSLLLLSFTPLAASYDVDRLMDTLCSSTSGNYTTSTYHANLELLSTSLSTKVPSAPLLFAKDSVGAAPDIAYGLALCRGDTNSSSCHACVAAAFQDALDLCALNKDATMFHNFCLLRFSDKDFLSPSSGGGGVADTPMIMWSTSNITASLLPGWDPSNPYSVTTISSMIRGLLQDTAHHAAYNSTRRYATGRMDVSSTFPTLYSLAQCTPDLTPADCSECFHNISKLTTKYFAGQQGGRILRLRCNFRYEVYQFYLGEPMRRIGSPPAVAVPPYRVAPSPATVDDHEPRPSQPQKMLQLQLERSYKTLSAGKGSQEAARVAWGNDEQLGWELEGRSSDCKVYDFSQILDATSNFSDENKLGQGGFGPVYKGRFPDGMEIAVKRLASDSGQGFIEFKNEILLIARLQHTNLVRLLGCCTQGEEKILIYEYLPNKSLDFFIFDETRRALLDWNRRLAIIEGIAQGLLYLHKHSRLRVIHRDLKASNILLDCEMNPKISDFGLAKICTKNDSEGSTQRISWQLWKEGRWPQLMDTSLGTDSQMSEIMRCINIALLCVQENAADRPTMSDVVAMISNESLNLSEPKHPAYFHVRVTAEEVSIAVEPCSLNEVTVSVLDVQSPRSSLTLGTVTLSTPSFISARAWFTLKESAEKSMRQLYRAGSWTSSGSLTPSPLMNRPLGSVLTLTCSAASPGIFTFTCTAFSSCFDTHHPHAACI >Sspon.01G0005050-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:10500207:10503108:1 gene:Sspon.01G0005050-3C transcript:Sspon.01G0005050-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVSALAKYKLVFLGDQAVGKTAIITRFMYDKFDDTYQATIGIDFLSKTMYLEDRTVRLQLWDTAGQERFRSLIPSYIRDSSVAVIVYDVTDSQSFLNTSKWIEEVNTQRGGDVLIFLVGNKTDLIDKRKVSTGEGEAKAQEHGAMFIETSAKAGFNVKPLFRKIAGSLPGLDALSSAKHEDMVDINLRPASGSSASSAAAQLEQKSGGCSC >Sspon.07G0030310-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:5457959:5458744:1 gene:Sspon.07G0030310-1C transcript:Sspon.07G0030310-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVAAAPAAAAATSTGGTTPSSLGVPALAATESHSPLLRPCHRQRRNPSGAAFSWYAPAPLRISSSWLAFSAARRPWRRSTSARSVAASLSLVAAACSAAATSSRPGTRGPRGGGTRRTGTGSRGPRHRASPPGPRSRPPRCGAWACRPRRWWRTRSRRRRRGGGERLLAVLHRKPSSSFSLAAARWMWMRKQDMRRLTEGEKRFGRSNRTAWRRGFRSDG >Sspon.06G0008080-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:42301294:42304770:-1 gene:Sspon.06G0008080-1A transcript:Sspon.06G0008080-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAAGARRSTRVFMPKAPKPPQSQDQADPATRVLRSGKRLAADRIRWDAKEAAAFHVDINHGHSHSQQHQKEDCPKPVLPPLTKSFGIVYSRKRRRRSNSAAEVVAEDKDGSRRFGIVYTRRKGKRSKVSPLLLPQEPEAPCDLAAAIPCSSSREFASRTGFLGAHFSALVDGAASHSGAQTLIILVDTSCPGSSHRLLGLLLPMLRWMRRSRQRGKFQNLATFILSAGVAAAFASHGVHFVKLQRRSASALLHRPLAHCGWCALHGSKKSEPLLSVDFSALPSYFWSLQYAVALDSMYLPAMIRHSRLLDGGSEEIYPHTPLYLDSGAQSSGFTTTDVGSNEPCRVVPNYMPLEQVAGLVAHGLRLKKHQRRRRTMRHPRNRRRLIARLPDNGIGMKHSMAATQTELKLRSSRQEPPVEPVQPKATLEISLDLLENMDESDVSTPMGSTRRKRSSLKSPVERMNEKLALAEVRQNIDSVHSKANLLNLQADRCWREEGAEVMLELSDTNKWCIVVKIQGVTRYSLKPSDMRPYVVNRHTQAYMWAVDDAWKLEFTDKWDWLLFKELHVVGRERNSQGKTIPIPGVHEVSDDMEGIVTDPFSRPVPDYIRMVDDEVGRAISRDSIYDMDSEDEQWLIQLNHAGSDPNSSQRNHISYEDFERIISIFEKDAYNNPQGKNDLIELLSRYPALGNDDNVHAVYEYWTSKRSKRAAPLLRVFQGAPIRGAPIRRGHLLQKSAMKRKRSFKRQKSQAGRGKPEALLLDNAEEEAALQRVAQAERAAKQAVETAIRLRNRAQSLMTNAELAAYKSIMALRIAEAARISDSSRDIVC >Sspon.01G0028270-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:94105381:94108182:-1 gene:Sspon.01G0028270-2P transcript:Sspon.01G0028270-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAVFDPTVAKCPEGLRSPPVAGAAAAAGGAGAGALMKGFSDSHDGAVTVSLGPSGALAYSAANQNPLVPRLFGAVNDIFCLFQGHIENIANLKQHYGLSKTANEVTILIEAYRTLRDRGPVPASQVVRDLGGKFAFILYDTLSKSTFVAAVSSPTDADGSIPFFWGVDSENHLVFSDDAGLLKTGCGNSHAPFPKGCFYTTSGGLQSFEHPLHEVKAVPRVDSQGQMCGSTFKVDSESKKKQDASIPRVGSAADWSNQF >Sspon.03G0042560-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3C:70953535:70953765:-1 gene:Sspon.03G0042560-1C transcript:Sspon.03G0042560-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MWLAPVISLTSTPGLCATMQRWNSAGMPWSSSTMTNTRLADPYLSFVSNFSSLIATLKESLDHSWCRSVGFHLGFS >Sspon.03G0004130-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:16992451:16993739:-1 gene:Sspon.03G0004130-2B transcript:Sspon.03G0004130-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHILAAISSARNAQSLLFTTMYELEASVIDSLRSVLSCPVYPIGPCVPYMTLEDQHTMSNGQVASQRDYFTWLDSQPVNSVLYVSLGSFVSVSASQLEEIALGLVASEVRFLWILREQSPQLQELFTGINNGMILPWCEQLKVLCHRSVGGFLTHCGMNSTLEAVFAGVPMLALPLFFDQPIDGRLIVEEWKVGLNFRDWASKDGLIGREDIARAVKKLMSSDETETKALRKRALELKEASRRAVDKGGSSYCNLSSLMETLKILILKT >Sspon.01G0058120-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:21694790:21699909:1 gene:Sspon.01G0058120-1D transcript:Sspon.01G0058120-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTGEGSPPARRAISGRRRRRWLDGEEEDDDDEYVLEEEEEEEDCAEELSASSAGEEGEDSDAEYQEEAEEEDEIETPRPKRPAKGSDRGRKGKVDLAAARSQRRKYEDDEDYEEEVDEDDEVEEYHDELEEEEEVAPPRPKSIAKCGARGRNKKKKNRGSKVSRQKGASAKVKKSAPVRRRRKRSVIDDYEDEDVDEDEDDDDDFIVDDEVIVSRHPRKKARTGSGRETEVDPQVSIEEETWPDVESDTSDFEFATSDEEPNNVETPVVEQITVRKGRKKRTSGSESSSDSEFVVSDKELEELRVPEPPKAAPILPAPLRRICITRHKARERRSRNWRKLGSQYGICLSEEQRATIQGVLNCCSHYFCFACIMEWSRVESRCPLCKQRFTTITKSSKVDLGLGVRKAVIKVEERDQVYQPTEEEIRRWLDPYENVVCIECNQGGDDSLMLLCDICDSSAHTYCVGLGREVPEGNWYCGGCRLGDEGPSYTGIQRTVAYERQNYRNHVDSSSVSFGMAAPSGTFERPPSINPRHSFQGIDLNLSPREFPGESHPAESQVSTDSISTPTGRRTVLGRRQIHRYIRILLSRPRPPSRPDAYQNIAHDSGVPRTEPNRRNLPSSSEANTSQNLPDGIQNHHSGLPFVQAHSNFAPFMSLEGDDFQQTEGVKSNLRNMREDDFCEKRCY >Sspon.05G0016630-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:78845994:78849948:-1 gene:Sspon.05G0016630-2D transcript:Sspon.05G0016630-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSNAGGAPARRNSDESVSPRCVLDDGYTTACSNAGTCITTATMGTSSSSSTPATTTMATASTLFPAITAATYTDPSALLKAATAGRRDGPAAPPWKAVAAAWRSRAKRQLSGRIPSLGPTMSSTLRRLSIRRPENVEVHEFCVLKPTLRTFSLAELKKATRNFSKENVVGRGGFAKVYRGSLPGGELVAVKKLTAAQGPDRMEGFLSELGHVVNVSHPNIARLVGVGVDGGEHLVFPFSRLGCLSGMLHGSGGAEPMPWEARYRVAVGTARGLEYLHERCARRIVHRDIKPANILLMDNYEPLVGVTVFEGTFGYVPPEYTTHGVFSEKTDVFALGVVLLELLTGRRAIDAAKLSLVAWVKQYLDVEDGEEDGDETLKMADPALGGRYDAEQLRNMAWAAKLCVHSSPHHRPQMSE >Sspon.01G0014190-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:42387479:42391136:-1 gene:Sspon.01G0014190-2C transcript:Sspon.01G0014190-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding KH domain-containing protein PEPPER [Source:Projected from Arabidopsis thaliana (AT4G26000) UniProtKB/Swiss-Prot;Acc:Q9SZH4] MAEPSAAAVTAAEVGAPASLPPPVAEEADSAAMEEASAAAKRWPGWPGDSVFRLVVPVLKVGSIIGRKGELIKRLVEETKARVRILEGPVGATERIVLVSGKEDPGLELPSAMDALMRVFKRVIGITDGAAEGTQATATPGVCAARLLVPGAQAINLIGKQGATIKAIQESTGATIRVISVDERERPFYVTDDERIVDIQGDTEKVLKALQAVSNHLRKFLVDHSVLPLFEKTNTTVSQDRNGDGWSDMSHPSIGSAQVNQPPSVVDEYILPVKRDSLYLEREPLVDHNIHRSGVSLYGRDPALSTLRPSGIHGAGPLLTQITQTMQIPLTYAEDIIGVKGANIAYIRANSGAVVTIQESLGSPDDITVEIKGTSSQVQAAQQLIQDSLAAHREPVRSSYVGAGLDSVYRSSYSQYGSSTYPSSSLPSYSSIDDVGRYPSSGLGGYGSSYRY >Sspon.02G0040430-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2B:68679819:68680012:1 gene:Sspon.02G0040430-1B transcript:Sspon.02G0040430-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSALLPPGLQPQLRPLEVVADLRQDRQDVERAPCSLQAGSAGEHLPGFPQMRVAFSLCVEGR >Sspon.07G0025010-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:30328204:30329960:-1 gene:Sspon.07G0025010-2D transcript:Sspon.07G0025010-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKMCANLDREDGLDTVLEVPVPELHQEPSSGHGRRRRRTVKAWVRSCMDQRHPRYGAPPSQADVQLMLGVIGAPLVPQPVEARKAMVGEDIKEEPLEVSKAKYIVEQYVAASGGEPALSAAASMYAVGKVLMRTTKGQKLAKTGMGVVHGVGEIAGGFVVWQKRPEMWCVEMVVAGGTKMSAGSDGKVAWRQTPWQQAHASRGPPRPLRRCVQGLDPKSTANLFSTATWVAEKSIDGDDCFVLRVDADPLALRARSSADVEVVRHAVWGYFSQRTGLLVRLEDSHLLRIRMQGGSSAETAYWETSMESAIGDYRAIDGINVAHAGRTVVSLSRFGSSANDQDDGGSEAHVLGKRTCTCMEETWSIEEVDFNIMGLSTECFLPPRDMVPCSSKPVEKEHCARLDQSCKKDDAVGTVPAAKCAVVGTCDPAALDVKNKNSDGRVRPATARKALVPAGTGLAWFGTAKVVAVETVDTAAA >Sspon.04G0027760-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4B:61342992:61343715:1 gene:Sspon.04G0027760-1B transcript:Sspon.04G0027760-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHYHRLAASEILPHGARCLRAAASRMRIDIPARPSHPPTSKWKNKARPAHIANPKPPNRPPPDPHRRRRRHEAYRLVPPSAWLAAGRRRRPAPRLPLRYGESPSYQTNPAHDRLLADFGSFVDWERRFSVFAMLEMF >Sspon.02G0003220-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:10455549:10462101:1 gene:Sspon.02G0003220-1A transcript:Sspon.02G0003220-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRFGRSGPPPIRDTYSLLVLNITFRIAGGGSIICTQPRKLAAISLAHRVDEENEAHERSLNTDLLLAMIKKKLLVRLDLRLIIMSATADADRLAEYFYGCQTFHVKGRTFPVEIKYVPDISAEASLNSVPSISSVASATASYVTDVVQMVNIIHKNEEEGAILAFLTSQLEVEWACETFSDPNAVVLPMHGKLSSVEQNLVFKSYPGKRKIIFCTNIAETSLTIREVKYVVDCGLAKEYRFVPSSGLNVLKVNWISQSSANQRAGRAGRTGAGKCYRLYPESEFSMMEAHQEPEIRKVHLGTAVLRILALGVTDVKYFEFIDAPDPEAINMAVHNLEQLGAIEYKCSGFELTETGRDLVKLGIEPRLGKIMLDCFSYGLMKEGLVLASVMANASSIFCRVGTNEEKYKADRLKVPFCHPDGDLFTSLAVYKKWEAGHDNKNMWCWQNSINAKTLRRCQETISELEKCLKHELNIIVPSYWSWNPEKPTMHDTSLKKIILSSLRGNLAMFSGHEKFGYQMISADQPVQLHPSCSLLTYGSKPEWVVFSEILSVPNQYLVCVTAVDRDDVCTVHPFIKPLEESKLQRKVITGIGNKSLRRFCGKCGQNLQKIISLLREDCRDDRIMVDLDFSSSEVLLFAKEHDMETVFCMVNDALELEAKMLRDECDERRSGGSTIALFGSGAEIKHLELGKRHLTVEIQHQNARDIDEKELIGLVYSHVPGIANFHRLGNFQTNADETKWGRFTFLKPDYADHAISKLNGIEFHGSSLKVGPVSAYNHSGLPFPAVRAKVSWPRKPSRGLALVTCASGEAEFIVKDCFALGVGGRYINCEVSKKYANCVFVTGFHCITTTRRILDIHLLRGPPTASSSDSECAEALMRAISLFMPNRNFPGQNFRVHVFPPEEKDSMMRATISFDGSFHREAARALDHLQGSVLPCCLPWQIIQCQHVFHSTVSCPMRIYNVISQEVGVLLESFRSQKGVSYNLEKNENGNFRVKLTANATKTIADLRRPLELLMKGKIINHPDLMLSTVQLLWSRDGMEHLKSVEQETGTYILYDRLSLNIKVFGSSDKVAAAEEKLVRALVQLHEKKPLEVCLGGRNLPPNLMKEVIKKFGADLEGLKNEVPAVDLQLNTRRQALYVRGSKEDKQRVEEMISELIASSDHNAPLPSKNACPICLCELEDPFKLESCGHMFCLACLVDQCESAIKSQDGFPLCCLKNGCKKLLLLTDLRSLLPDKLDELFRASLNAFVASSAGLYRFCPTPDCTSIYQVAAAGAEDKPFVCGACSVETCTKCHVEYHPFISCEAYKEYKADPDARTLLEWRKGKENVKNCPSCGYTIEKAEGCNHVECRCGSHICWNCLENFKSSEECYGHL >Sspon.03G0020480-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3A:63777469:63779754:1 gene:Sspon.03G0020480-1A transcript:Sspon.03G0020480-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVGSALRRLYLSVYNWVVFFGWAQVLYYAVLTLRESGHKAVYAAVEKPLQFAQTAAVMETQSHLLVTTLVISWSITEIIRYSFFGMKEAFGFAPSWLLWLRYSTFMLLYPTGISSEVGLIYIALPYMKASEKYCLRMPNKWNFSYDYFYTSILALLIYVPGSPHMYRYMLSQRKKALSKAKAA >Sspon.01G0057030-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:95528908:95529594:-1 gene:Sspon.01G0057030-1T transcript:Sspon.01G0057030-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATCRKMARVDVAELKQRLVKRLGRERAAKYFAHLTRLLNLKLTKVEFDRLCLATIGKENIALHNALIRGIIGNALSGVPPPSRQAVTGQSGTTTAPSGQCVGVALPVVGNVGAVLDSGDGELARERGAPVGKVVSVEDGEEVEQVRSAPCVQSRSPITTPLGISVAGGSGMSVRRRLDDPVASCYDSGHLLDTSSLCEGLQRRLHSNGTGVTVQAVDALNRGLDEFLR >Sspon.06G0014520-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:85690681:85692423:1 gene:Sspon.06G0014520-3C transcript:Sspon.06G0014520-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMPPSPPAEDESDHPGVAECLRLLDAVPAAAASSPAFRRHWPSISASLAALSASLASPAFPPAAPLLAPLAAALRALLSVSAGDAPRLGHLHTVSLLSSTAAELSQLAADARLLASAPAPAGPSSSAAADPSSDPSSALVSRLRLGSAASRAAALEELATTAAALPAPSAAAAVSAVAALLDSAAAGCVGDLRERAVAALAALASSDAARPSLAQEAGAVVPHLCRALESGSAAEHACAALLPLTASSRDAAAAVAARGGVAALLSACAGGTPAAQAAAAGVLRNLAAFPDLLPAFHDEGGAVPLLLLQLVSLGTPRAQEQALGCLQRLTAGDGDEAQRLKVEVFQAGALACVRDFLDGIGSGGDEPPGLAPALGLLRNMASFRYIAEIAAASSGSGGGGSGFVAHVAAAVGSDRSATRTEAALALAELCGNAGGGSIGKAARRQQQQHYEAVVADAAVPRLVWMLEAKAAGERDAAARALAAVLAASSACRKAFRKDERGVVNAVQLLGDPSGSRVEERRFPVWVLLAVAQSRRCRKQMVAAGACGFLQGLVTAEVEGAKRLAECLGKGKMLGVFPRT >Sspon.05G0039250-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:66900734:66902063:-1 gene:Sspon.05G0039250-1D transcript:Sspon.05G0039250-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKRSRPIWRSLGPGGTEGPTRQYSAQSAAGHHEFVRGSIYGPPSLEAATSGDFPSMEQLLALDDPGVLLGTTAQGNTCLHISSIQGHEEFCKDVQTLDQCFSRCPMVGLRVKQNKI >Sspon.08G0004180-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:9937195:9940579:-1 gene:Sspon.08G0004180-2B transcript:Sspon.08G0004180-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVAAAGSQVYPASAYPPAATVAAPAVASAGLQSVQPFPANPAHMSSQHQIVYQQAQQFHQQLQQQQQQQLQQFWAERMAEIEATTDFKNHNLPLARIKKIMKADEDVRMISAEAPVVFAKACEIFILELTLRSWMHTEENKRRTLQKNDIAAAITRTDIYDFLVDIVPRDEMKEDGIGLPRAGLPPMGAPADAYPYYYMQQQQLLKSSSLCMKVAENVAQKLSPDSDLMGRGKVEMKRIENKVSRQVTFSKRRKGLLKKAEELAVLCDVDVGVIVFSERGKLFDYSSPARRSPTRNSSIRRHTALTIRYADPQQMAAEISKLQHECEQLEASLKTYTGEDLSSLTSVVELGELEQQLESAVGKDELFINQTDELQLKINEHGRHDGAAGAAGVEAEETTTMAEPPLPQSPSFAYLLAVEEKSAASTMLRLWPQPDDDADADADADGGTVVTNRVRVRPKLA >Sspon.02G0015990-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:46893200:46896461:-1 gene:Sspon.02G0015990-3C transcript:Sspon.02G0015990-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding HSKAHNRVQVRDDFAGTKQDGDQRNAVPKATPRSVSDGKMKGKLSAHKGKQVAQEVVGDKMKNKLDGSLQRSNLRTPLSNSIDAKTKVKRLDSDKMNVGLLGNAGASAGGKMESKTLSAKKEVACSNMNTKQKKFAPKLKGDDIGKDNLHSSARESKLGKHVATDQIEEPNQIIVQALMAPDNCPWTRGRKSIASASKSLVPRNKLKGKDASSSKPLVPRNKIKGKDATPKDIPTRKVDFSDSINDETMDDNETRGRKSIVSTSKSLVPMNKLDATPNDIPIGKVASFEVSNDETMDDNDDINLEDDDNSRALVAYGEKREICVTVLPSVPSRSHHKQPRDHDIDARSKVRKLLQLFQATYRKLTQVEEQGKRKVGRIDLEAAKALKNDPIYKKIGAVVGNIPGVEVGDEFHFRVELSIVGLHRPFQGGIDDAKLGELIYTGSGGKAGGNKGRDDQKLARGNLALKNCIKTKSPVRVIHGFKGQSRSEVGHSKGKQTSTFTYDGLYKVLECWQEGPKGEMVFKYKLQRIAGQPELALHVVKATRKSKVREGLCLPDISQGSERIPICVINTIDDMRPSPFKYITKVIYPALYEKEPPKGCNCTNGCSDSISCACAVKNGGEIPFNFNGAIVEARPLIYECGPSCRCPPTCHNRVSQHGIKIPLEIFKTGKTGWGVRSLSSISSGSFICEYTGELLKDEEAEKRQNDEYLFDIGNNYHDEELWEGLKSVVGVGSSTSSSETMEGFTIDAAECGNVGRFINHSCSPNLYAQNVLWDHDDMRMPHVMFFAVENIPPLQELTYHYNYNVGEVYDKNHKEKVKHCYCGASDCCGRLY >Sspon.02G0007170-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:21402053:21403609:1 gene:Sspon.02G0007170-1A transcript:Sspon.02G0007170-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPLRHLPALLHLLMLISRATVDGNFTVSKAVYYPNSDTRGTETGACEYGAFGATLNNGDVSASANLCRNGAVVLATSDYCSQNGVTIVITDSEASDGTDFILSQHAFAGMNQNAGSILMNLGYVGIEYKRVPCVYPGKNIVFKITQSSHFPYYLEFEIWYQQGNQDIIAVQLCERPEMASSNKHNTTELDGRGHVV >Sspon.02G0028160-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:119202205:119205820:-1 gene:Sspon.02G0028160-3C transcript:Sspon.02G0028160-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDSEASPPPAAATATPAAPAEASPSSARKEELLPVEEKISELSESQSELLGRLRGLKEDLLSWRSNLDSQVTKYKVELSDIKSVLNNEIEQLRSDFQELRTTLKKQQEDVSLSLKNLGLQDATENDANKGNGEDTNEVLSANLGNLKENTDTADFVIVDKATKEESSTDEAGN >Sspon.01G0032030-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:105627722:105630567:1 gene:Sspon.01G0032030-2B transcript:Sspon.01G0032030-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MIANPKVADVFRTRAKVVSEIRKTMESFGFIEVETPVLQVGGLEKVYEIGRIFRNEGISTRHNPEFTTIEMYEAYSDYESMMNMAEEIVTRCAMATHGKLKVDYEGTEISLERPWWRETMHSLVKEATGIDFNSFGDVESAKRAVRGLPGFEVGNNESTSLQACSSVGHVLNEVT >Sspon.02G0014980-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:44987105:44989912:-1 gene:Sspon.02G0014980-2P transcript:Sspon.02G0014980-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSAHSFKSNIDILELNRIRRSLLLDAHTWDCRLCGIESLEAVGHISRTDPFNQGKTQGTNEGRSDLLQIGRKHGGTYEEPCPQHSSESPMKSLLSTKGHVNDKQSVMVETDLPVGLVDGVAGGAGGLDLIFNKFDTCEEGRRLSKYPSKTEPVERLPSLASILADKIDMAWSGFGEIDYNLPHGLTKANENRSLNLLGNPSYEKATAPFRIHSFDTVMRLHQREQTGVIPASLHSALKSVDSFRDLTSLVKDPMANMRRAFSQISPRTRGNLNTVLTRAPKYITSAAHMVNDGARLLLPNISCEGSVFVTVYDDEPTSAVSYAMTSQEYSDHVTDKMNAYTSFSDFTSSNGLHRSWSSHEDLSNFKGTHFRLSFDDDASPTDSTKFSVTCYFARQFAALRKKCCPSDIDYIRSISRCKRWSAQGGKSNVYFAKTMDERFIIKQVTKTELDSFVEFAPHYFRHLTESLTSRSPTCLAKIVGLYQVSIKNLKGGREVKMDLMVMENIFFQRSISRVYDLKGSVRSRYNSDASGHNKVLLDSNLIEALHTKPMFLGSKAKQRLERAVWNDTSFLAVMSLDVMDYSLLVGVDEEKKELVVGIIDFLRQYTWDKQLETWVKASGILGGPKNESPTVISPIQYKKRFRKAMSRYFLAVPDQWTS >Sspon.05G0006850-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:12416609:12421872:1 gene:Sspon.05G0006850-3C transcript:Sspon.05G0006850-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine carboxypeptidase-like 35 [Source:Projected from Arabidopsis thaliana (AT5G08260) UniProtKB/Swiss-Prot;Acc:Q9LEY1] TMAVAAMATALLMLALATASASAAVSGAPWRSRSPRPEADLVTGLPGQPAVGFSHYAGYVDVASGGGGGKALFYWFFEAEREPDKKPLLLWLNAAVNLLFLESPVGVGFSYTNRTSDLRRLGDRVTAQDSYSFLLTWLDKFPEFKGRDFYIAGESYVGHYVPQLAELIYEGNKGASRDRAISIKGFQIGNAVLNDATDQLGMVEYAWSHAIISDELYSAVRRECDSFKEVADGGRPGKGCSPVLRAFLGAYDDIDIYSIYTPTCLLLNNVSAAGATPRRRRRPARLVAAPRLLSKQEEWHRLMKRVPAGYDPCTEAYVTKYFNRGDVQRALHANRTGLPYPYSPCSGDTDGRVPVTSTRYSINTMGLRPRRQRAAASASAGGVAAPEWGGWRAWYYRQQVAGWAVEYEEGLTLVTVRGAGHQVPLFAPDRSLAMLYHFLRGQALPAARSSG >Sspon.01G0023130-3D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:81155047:81163004:-1 gene:Sspon.01G0023130-3D transcript:Sspon.01G0023130-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPRPKRGERRIDAAIDHLAQHGFAKPQIRKIIVSNPAPTAPSHGDNGIGDGHAQVHGVAKEQRSPPPLPPPPPPHPHYTRFARRHGAMDPNSKMLLDEMHRLFTESDRKWDARIAESDRKWDARFADSDRQHATRFGEIEDSITKRVTEAEDSFTKRFADSDLNWERRITDSEVRQSELITATERRQEHRLDAVVTAIGSLESWRQESEGAVDDLKLKMTKLTKYMDRSVLDNTFESLGVIASDPSSVEQAAARSPADTSAARPSGHGVFTTTRADGAGGNFSHFHSPGNGMHVFPHPTLPAPPVHELHSHTVISDEDNPHLHRLPKFTFPAYDGDTTKLWISQAEDYFEMYGVPPRLWVKVAGMHFNGAAKRWIQSLDRPRHLIPWSEFCQLLLDRFARDKHETLLRQMFHISQTTTVIDYVECFSSLVDQLKAYTTTPDMHTYTTRFVDGLRTDIRVVVAMQRPQTLDTAYSLALLQEEVSEPAKKHEYPKFGNTSGFKNNLRNALPLPRPPQAQVDKHPEQAAVSVKAATTTTDKLSELRQYRRAQGLCDRCAEKWFRGHKCPATVQLHVMQELWDLFGIADESEAIAEPPEQLLALSSDARMGSRGPRSIQFHGSVQGKPIVILVDSGSSASFLAASVADQLPQLHRSPMTASVKVANGQIMTCDAAILGCQFTLDGHQFQHDLRILHLDSYDLILGMDWLELYSPMQIHWQAKCITLPHHGTSVMLQGLSATSDADLVFQLFAADLPESSQSEVCLPPDIATLLSAFPSVFSTPSALPPPRSCDHIIPLVSGATPVNIRAYRYPPTLKDEIERQVRDMLDKGFIQPSSSPFSSPVLLVRKKDGSWRFCVDYRYLNAMTVKSVYPIPVFDQLVDELGSAKWFSILDLHSGYHQIRLQSGEEFKTAFSTHAGHYEFTVVPFGLSGAPETFQGAMNTTLSPLLRKCVVVFFDDILVYSNSYEEHLQHLHDVLSLLAKDQWIVKLKKCKFAQQEIHYLGHILSAQGVHTDPAKVAAVMNWPPPANVRELRGFLGLAGFYRKFVQHFAILARPLIDLLKKHSLFVWTPEHQASFQSSAAGSVLGTFPHFSLMDGLLRFKGRIWVGNNTVLQRQLIEHMHSSPVGGHSGIPATVKRLRALFAWPGLRTHVTDFIKSCPICQQAKPERVRYPGLLQPLQTPTAAWQVISLDFVEGLPQSHGYNCILVVVDLFSKYSHFVALKHPFTALSVAKLFMLHIYRLHGLPTAMVSDRDRIFTSQLWRELFRLSGVQLRMSSAYHPQSDGQTERVNQCMETFLRCFANAAPSKWFEWLHLAEFWYNTTWHSSLQQSPFQVLYGHSPRQLGIDSSAACSVSSIAEWMQQKTSMQELIQHHLARARNRMKTQADKHRTERSFKVGDWVYVKLQPYIQTSVAPQANQKLSYRFFGPYKVLEQIGSVAYKLQLPEQSTIHPVFHVSQLKGAIPVSHSPSPLPVSFTGLQVPQKILQKRVATVGSDVRLQALIQWSGMPAALATWEDVEGLRQRFPRAPAWGQAGSYRGGDVSNHAPTAPSHGDNGIGDGHAQVHGVAKELYGKDGWVFLEEGSYRVVLDKLLEEQEQQDQKQEAAAAEEASPQNGMEFSRVHGEAPNESQSALELQASPNSSPPLECVLPLPPAKGPPRARPPCYGWISEDSETESEPEDREILSDAPKKDIPNPVETLAAKRKRPSRWDVHPNW >Sspon.05G0010560-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:24750318:24750671:1 gene:Sspon.05G0010560-2B transcript:Sspon.05G0010560-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEAPPPCVVIVPRRAAAHSFRLPRHGRRKVHVVRLGGGGPGASGSGRRHGRGLRLRRWLRRAAWRLAELCVAALSGQGHPGAPPSAAHHPPWTGVEPYFAAPFVPVARMKRAGAQG >Sspon.02G0054870-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2C:121569157:121569612:-1 gene:Sspon.02G0054870-1C transcript:Sspon.02G0054870-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHLPNHDPFEEVKFISPFVSPRFSSETERPSSPSLEPKPCPSGHSNVILDSDRESTLILHNRFCAMDMLKEPTLETEEKDSTIEHESFSFETPHISCSRLESLEIVVLSATCCYEEDNHPSLLVSKLFRRMVVDVFVITNTANLVVALWY >Sspon.07G0024410-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:22968345:22974289:-1 gene:Sspon.07G0024410-3D transcript:Sspon.07G0024410-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRILARSKALNLAAALTRAAADAAPPIAGARALSSLPRYPSAPSPHGLGKVLGYEPTSHLSGAQVLPRWFSSLASNGSQVQKSQISESYKSSEEATPKVVAFSPLEAAIAKPRSSPLTSESSKVRRSEILTQVTFYMIPALLLVSKNSISTSLLVGAVFHQVYMFHKEILLDYVHHDITRKWALIYFKLLLLVMAKDTIVHFNLF >Sspon.01G0052000-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:19588889:19589132:1 gene:Sspon.01G0052000-1C transcript:Sspon.01G0052000-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNHSGLLLETKHTYLFNVHAETQQTVVQGYHREIKASQIGCSLDWFHLRKAFETNRIFCNRD >Sspon.06G0003920-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6A:11977351:11977686:-1 gene:Sspon.06G0003920-1A transcript:Sspon.06G0003920-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTAVALARRGTGGAELLLTRTAHAAAGELPWETKPCLWLRSAHARAPSVAALADMDWYTLWVWSPAALTTTLGTDHDVRTSDENGRKNLISTSISIFFWRKRDRVRNENV >Sspon.07G0005030-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:11902864:11904738:-1 gene:Sspon.07G0005030-2B transcript:Sspon.07G0005030-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTAIVTSSDQGTSSNGIPARPTTLPFGWNSGGLSSHGIQRAPAPQPLTSAMASLAGDSRPIIPLVACTNDYGLNFKGSSTSIAPRAFRASAFTQPKRGGMGSNGIMRAPAPHLAACYMPATAGERHQSTLVTQDAMVNTIGGLAPSHSGPARAMVALHDLHIKMEPVDDNPLTYGNNAIPLAVGVMGTAAATSSATSIREPDVMGIGDCKASAFYPWCPPGFKPDDGSPSSSRQTNKATCGSKYPSSQAPILRRLAEMDLLIAGLEKNVWVQGKGVEGGRDKRVGGWLSRLTGANAAEAASRSPSSVGKARALTEIAAGRKDR >Sspon.07G0005250-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:12341295:12344718:1 gene:Sspon.07G0005250-2B transcript:Sspon.07G0005250-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMYHTKNFSVPFAPQRAQNNEHASNIGGIGGPNVSNPANPVGSGKQRLRWTSDLHNRFVDAIAQLGGPDRATPKGVLTVMGVPGITIYHVKSHLQKYRLAKYIPESPAEGSKDEKKDSSDSLSNTDSAPGLQINEALKMQMEVQKRLHEQLEVQRQLQLRIEAQGRYLQMIIEEQQKLGASIKASEDQKLSDSPPSLDDYPESMQPSPKKPRIDALSLDSERDRTQPEFESHLIGPWDQEICGKNICGVAFPVEEFKADPGMSKS >Sspon.08G0019690-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8B:14232473:14235454:-1 gene:Sspon.08G0019690-1B transcript:Sspon.08G0019690-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSALKVGDQVVVSQEGKQQAVFDYFDGILGKAEEREYSIDLNMLGVQQHDLSVLEAPFSEEEVWATIKDMPLDKAPSPDGFTGRKKEAHILLKLDISKAFDSVSWSFLLEVLRHVGFGQRWCDLLCLILSTSSTQALVNAIDKIRRGFLWKGQEQANGGHCLVAWEKVQRPIEYGGLAIHNLELLGCALRIRWLWAQKTDRDRSWAGLPVSVPRKAQALFDAAVDAIVGNGEQILFWTDRWLDGHTMAEIAPNLVKRVTKGTAKRRTVAQAIQSRRWVGDIKGARTIDVLIEFFHIWDIVEGLVLQPDVQDRYTWKLSQDGTYSSKSAYGAFFMGTIKFGPWRKIWKTWAPPRCRFFIWLVFHNKVWTADRLAKRGLPHPDACPFCDQAEETIHHLLVGCVFTRQVWALIFQKLDLLFLAPDPSVTRFSGWWRRTIAAAPKEMRKGLNTLIILVAWEAWKHRNDCVFQNVRPNVQEVISAVCTEGGLWCMAGASQLAQLLSRSLPSGV >Sspon.01G0032520-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:110082906:110087933:1 gene:Sspon.01G0032520-1P transcript:Sspon.01G0032520-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSYSFPKYKPAEIVDALYSYGIAPSPNLCAEDVENPRPGFVAEVLQLFLANFVWYAPATTCPVSPGSGLISAFCVGGHFACCSDDPDEQLQFQALQALDNPEHHMRALRFSRIFKRANAFLQSIQFRDLNLRDLLRADGPRVVHILSALINFLHFRQDRLSVLLPVVQEYGALDERHKELRAKIAELSKAKEDHLLKEQVEAPMVQQLEKEVNALKQRLHDYNTEQLSLRHASKALDEKREETLRKINQADFELVKVMQEKQKLSAKIVHSPEKLQRNLEEKKAVRDELKNLEKMALQKVQEKTNTLEMYTKVSEKLAKHLSKISAVHEKSAAAKASEKDVKAHKEKISDQNLEIKALRNKAAEWEMKVLENEAKLKAKEKERDQRVGENNRKMTALKSEVESEHKCLDEKQRQIKEKIDKGSELCSQADSVAEAGRKKIEEIYGKFDQVCEAAKMYMDGLDQSFDETDEAVVMLNTTAQG >Sspon.03G0031360-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:25418973:25420123:-1 gene:Sspon.03G0031360-2D transcript:Sspon.03G0031360-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSPCCDKTRVKRGPWSQEEDAILRSFVQRFGNAGNWIALPQKAGLKRCGKSCRLRWLNYLRPELRHGGFTDEEDNLILSLYGEIGSKWSVITSRLPGRTDNDVKNYWNTKLKKRYLASTRDESATAADSHQVQQDEPLLPLTPAALTDLDTAAFVDTGAAVVDDDMLLFKSEQLYAELVGLVEKQSHTTAGPSSTGGDEASKAATTPSSTSSSGTTSSSPTVSSS >Sspon.04G0024940-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:27881124:27883028:1 gene:Sspon.04G0024940-3D transcript:Sspon.04G0024940-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTSKLSMGIKRASRSHAYHRRGLWAIKAKHGGAFPKAEKPAAAAEPKFYPADDVKPRVPSTRKPKPTKLRSSITPGTVLILLAGRFMGKRVVFLKQLKSGLLLISGPFKINGVPIRRVNQTYVIATSTKVDISGVDVAKFDDKYFAREKQQKAKKTEGELFETEKEASKSLPDFKKDDQKAVDAALIKAIEAVPELKTYLGARFSLRDGDKPHEMVF >Sspon.01G0026780-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1A:94780407:94780668:-1 gene:Sspon.01G0026780-1A transcript:Sspon.01G0026780-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFWQARDFLFCGVCGTLLTFDSVRSASCPLCGFKRDAKGLFPPPPHSPLPVSLRRCLRLIDNDAECFFSRLAEIEGKQIQYTMTAE >Sspon.01G0013380-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:36560446:36576173:-1 gene:Sspon.01G0013380-1A transcript:Sspon.01G0013380-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lysine-specific histone demethylase 1 homolog 3 [Source:Projected from Arabidopsis thaliana (AT4G16310) UniProtKB/Swiss-Prot;Acc:F4JLS1] AECGPEVPTLVQQEDVQGLVDIGDGVADEKSNLDVLNLEGSDVYGNCAQGLDDLGLKDSLSVLFTRSGRKSLQVSKEAEGMEVSCSHDEDGLNKGSGLAPDTSSKGTKRRRRRTKEEMKNACVQDRKASLPRKAKAKANGSKSTRHYKVGAGPGQALGGLSSVSPELEKPVGEENAADDGLFHRSSAEELLRDVEASKVLQDGSINSFNGVTDHFEISAWASNHPGLESYSGNLDEKTSCTAANTTNVGVSDAHTCSQTLGKESSDDVDCSQGKSPTSTIKRKTGLKPKQVPGKSVRRKEAVLSVDADNKPTETTEIIEASTTMVIEENLDQLPVMGPKDSCSSHDIVGNNPMCLGADMAAQPVKDVDIVDVAAPLDYEDKENASKVKLKRVTRGSKKRKHGDMAYEGDVDWETLMQEQGLFSNPSAGFPDQSIKTKDKVRASEVYKGGGDTGVAAVRAGLKAKTITPIEKIKFKEVLKRKGGLQEYLECRNMILSRWSKDVKHLLDLADCGVSDVPLKDELPHQALTRDVFLFLDQYGYINAGIASAKVAKGHDDTPYEVVEVPKLNESHQMESVSIQNSIVSISQKNKDFECGTSIECCRTVSVKNTECALVEASNEKNCPTVHCGALELLPHLKSEEHLTEKNNLDMSTEVRNASLPSSNLDIQSTLYLDSSVGKAEVPHQQEAREIENSGNSCRSDRVDFAVHGKRIIIVGAGPAGLTAARHLQRQGFSVTVLEARERIGGRVYTDRTSLSVPVDLGASIITGVEADIATERRADPSSLICSQLGLELTTLNSACPLYDVVTGDKVPDSLDEDLEAEYNGLLEELALLFAQNGDSAIGLSLEDGLEYALRKHRATQPMDSVEQDGHLRFMTNSGAVDISVSASTGKEIDHCGKNDKIDVLSPLERRLMNWHFAHLEYGCAATLKSVSLPYWNQDDVYGGFGGAHCMIKGGYDTVLRNLAKGLDIRLNHVVTEVLYGPEELGASRKDGKYVKVSTSTGSEFTGDAVLITVPLGCLKAETIKFSPSLPDWKVSSINRLGFGVLNKIVLEFPEVFWDDNVDYFGATAEETDLRGQCFMFWNLRKTVGAPVLIALLVGKAAIDGQSISSGDHVNNAMVVLRKLFRNASVPDPVASVVTNWGLDPFSRGAYSYVAVGASGRDYDILGRPVENCLFFAGEATCKEHPDTVGGAILSGLREAVRIIDLLNTGNDYIAEVEALQTYQMQSDSERNEVRDMSNRLEACELSTALSKNSSDAMYPIVSKESLLQEMFFSAKTTSGRLHLAKELLKLPTDVLKSFAGSKEGLNTLNSWILDSLGKNATQLLRHCVRLLVLVSTDLVAVRLSGIGKTVKEKVCVHTSRDIRAIARQLVSVWIEVFRREKDRNGGLKLLRRIPSIELSKTKSKDLQSGKPALRVPNETLDNNKVVSQRQRTRFASSQSPPKTNKYENKEMKLDTVTAAMSNGKLLSQKQQHGIESKVEFAIPMSEEEAAAFAAAEAARVAAIAAAQAYASVEAEISVPRELPEIPSFESFVIRDHHLDESNTRKRALKDNFGRLECISENDSKNVKAKDLPDNTNCADADSLKMSRDNCTQQNHSNETACLANTDTGVVYGRFTRAWVDTDTICIDGVKDPLAIERWQAQAMEADKEFYSRIRIPDEDDSSSQKQTCRSSASQGADSKPASERQSRGVEHIKQGLVNFIASLLMPLYRGKKIDREGYKSIMRKSVNKIIDTCSEGEKSMTTLEFLDAKRKIKKPAWLISVAARSARAPITQTISVVQKSIDQINTMQAWAAVINLHKYSKLWPEVGDRPSVVDAETLHYLRGQI >Sspon.01G0000060-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:336318:350007:1 gene:Sspon.01G0000060-3C transcript:Sspon.01G0000060-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LVAHLALGIALRGVLDALRKSVDSKMFMFGTTALEQFMDRVIEWPQYCNHILQISHLRGTHFEMVSAIERALAKISSSQNEPNVGNLLSAEQHVSGSSSMEGIEVSESSWLMGTIPSQLGRPLSSSPLQHRQQGLLGERSKVSMSSLNKSIVSSQPPLASSSADLTINPKYAAPVRLPTNSTVEDDKVALMMPEQVPSLTQVSPAQTQSPSPSPFSVNQLMAAIPRDEIRFKINPKLGSLGPQLQYSKIMDLALDKANREIILPVIQRSVTIASRTTKELILKDYALESDNNMITRSAHLMVATLAGSLAHVTCKEPLRVALYSNLRNLIQNLMSGTETIEQLIHMLVNDNLDLGCAIIEAVATRQDFVHVWNPHSQNVGATGSGLSGGATASSTLGVPRAYSPNSAPEISALVPPPSTSAADRLGSILPEPLNTGDALEKYQQVAQKLEALIVNDGKDVEIQSVIAEVPDILRRCVSRDEAALAVAQKVFKSLYDNTSNSTYVSWLLATLVAIRDVCKLVVKELTSWVIYSDEEKKFNIEIIFGLIRSELLNLGEYNVHLAKLIDGGRNIFIQGPGSRVGNVGLQLARRPGSPESLQQLIEIARNNVSTTTGFVVGKDEKVKLPKDKKVLATRANKEESTANEITLVDPNQVAVLFSEWCQMCNHVSASDAAYSRFVTQLQHDGLLKGDDLSERFFRILTELAVTHSLVSEQIVAPSGSSQQSPQQPHISYFSIDSYAKLVVMVLKYSSVEIMPNKASILSKILSVTVRTIQKDAEEKKASFNPRPYFRLFINWLYDLTTTEGHHDGSNFQVLTAFANAFHMLQPLRVPAWSFCDVIPSSCIQMRNVILSAFPRNMRLPDPSTPNLKIDLLAEISIAPRIMSDVDGALKSKQLKTEVDEYLKRPEGSSFLSDLKQKLLLPQNETTVAGTRYNVPLINSLVLYVGIQAVQQLQLNKANASASVQQINHMPPMDIFQIETATEMFRNLITSLDTEGRYLLLNAIANQLRYPNSHTHYFSFIILYLFAEATQEIIQEQITRVLLERLIVNRPHPWGLLITFIELIKNPRYNFWNRSFTHCAPEIEKLFESVARSCGAKAVDEGISVQDGSH >Sspon.05G0011050-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:5A:31607390:31609105:-1 gene:Sspon.05G0011050-1A transcript:Sspon.05G0011050-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At3g26540 [Source:Projected from Arabidopsis thaliana (AT3G26540) UniProtKB/Swiss-Prot;Acc:Q9LRV2] MNSLGIRPKDVTLASVLACCAECLDLRGAQQLHGHIAKRDFQSNVILGTALVDVYGKCLLLTDARRAFDGILQPNDISWNVIIRRYLLAGMGDMAVHMFFRMVWAGVRPLVYTVTHAMLACRDNGALKEGRCIHTFVLRHGYEHHVHVRSSVVDMYAKCGDIDAAQRLFNLAPKKDVVMSTSVVSGLAACGRIAGAKRVFDGMEQHTLVSWNAMLTGYVKSMDLTGALDLFQQMRHETKELDVVTLGSVLNACTGLLDLGKGEELHALALKCGLFGYPFLMNALVRLYSKCGCLRNAERLLFEMESERDRFSWNSLISGYERHSMSEAALHALREMQSEAKPSQSTFSSALAACANIFLLNHGKQIHAYMIRNGYDIDDILRSALVDMYSKCRLFDYSSRIFELGLSNDAILWNSMIFACAYNSKGDYGLELFDEMRKQGIRPHSVTFLGALVSCICEGHVGLGRSYFTLMTDEYSIVPRIEHYECMIELLGKHGYMVELEDFVDHMPFEPTTAMWLRIFDCCREYGNRKLGERAAQRINDSKPLTPVIFVESTRDYECSGSDDAEPMSFC >Sspon.03G0005080-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:14533316:14536127:1 gene:Sspon.03G0005080-1A transcript:Sspon.03G0005080-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RAD52-1B.2 [Source:Projected from Arabidopsis thaliana (AT1G71310) UniProtKB/TrEMBL;Acc:A0A178W7H6] MAPGALARLLRLAPAPRLARPFAAKARASRRPQEPELPSEDDNDFAGGEVAAPTEGIGRPLAEVLKELGKRVPDSLVKTRVEDNGFAIKYIPWHIVNKILNIHAPEWSGEVRSIVYSSDGKSVSVVYRVTLHGIDAEIYREATGTASVDDTSYGDPVQKAEAMAFRRACARLGLGLHLYHEDMS >Sspon.08G0003210-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:35823380:35823898:-1 gene:Sspon.08G0003210-2P transcript:Sspon.08G0003210-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding YDEVAAAAEPAAALVGGGRAVVGRRRSGGRRGYGRRRRGGAAGARLLHLLVPAPRALLPHLALPRLPLLAVPLLPALLHHRALPLHHLLLLGRGPARHHDYHTTTTTTTTTTNTFTLSFAAANTPPSGPANRTALEAADNKGARRPGTRRATSWWGGTGFGSGRGRTRTLSR >Sspon.06G0006440-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:22107439:22110133:-1 gene:Sspon.06G0006440-4D transcript:Sspon.06G0006440-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AMASSPRHLFLLLPLRLLFLLPLLAAAKPVLEDGYTVTTFADLNPLPASGPHPYAILPRPRAGDLLLLDSAGSALYTLSLSSSPGEPRRLAGGKRGSGFDDGDAAFDRPRSVAVDAADNVYVADQRHGAVRKVAPLGYTTTIAGGLSSGPGHRDGLAQNATFSADFELVYVPKICALLVADRGNRMVRQINLKPEDCAHEKQSGLGTTSVSAIAILCALLGLIIGFLVRHFYPVQEVSINHFFSRIQKQLLQTQRKATLISFCDIKSAVASSMDYTLLDRLIRLGRGYLAMVFPSVRLQQEVPLRPSRRRPELRKTSTAPSIGLNNKAPLPPTGQLGDLISFAGDAGDKEGSGNANSREGKVPSYEGDLMGLLYIPPGSDKKIDHMIETNLSSFSSHVNRRRLTVSGCSVSRRVHGDK >Sspon.01G0007280-1P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1C:54612837:54614995:-1 gene:Sspon.01G0007280-1P transcript:Sspon.01G0007280-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VLTTDAQVSIDVWREMVRTSVDGDTSTNDCVIAMASGLSGLSGIQSLDSTEAQQFQACLDAVMQGLAKSIAWDGEGATCLIEVTVSGANSEAEAAKIARSVASSSLVKGMEEEMERHGAVI >Sspon.07G0021190-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:1745691:1749123:-1 gene:Sspon.07G0021190-2D transcript:Sspon.07G0021190-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGKLPWRTVVLMEVQWGFAFFDVATNIFCMPVAVYFLDLIKTGDKSALWCSDNGCGESFVDLVKEYSSRARRFIVEDEKLRTLIKSKLGIDSFKEKEDVVREVVWGLRAGLPFIISEFKYSVTISPQCHLPLCQGFKVLMKKYQIVIPTEEAVDRDFLTCAGTLQRLEELRLCCCVEMRKACAKLELVPGISGMIKDYFRYFEVMAKILSPGLVDEEWDSSKWTTAGEPWFTPDQMQKIDAAAGDARKKVEGDHKLAAMIKESLLDYRCFSKSKEECLQKLRSYEAKKLRSYEAKAKRGQKGATQRWLKRR >Sspon.04G0019190-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:72508747:72515346:1 gene:Sspon.04G0019190-2C transcript:Sspon.04G0019190-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein STRUBBELIG-RECEPTOR FAMILY 2 [Source:Projected from Arabidopsis thaliana (AT5G06820) UniProtKB/Swiss-Prot;Acc:Q9FG24] MAAPRHLLAVAMLCALLASAASFTDPSDALGLWGLYRTLESPWQLSGWTFRAVIHAVRVEGVNTGVASFARDRPLSQSDQLALLFALLLLTCRNISGLGVGGWLGPDMLKFQSLKKLDMSFNNIAGEIPPTLPPNVEYLNLAANKFEGNIPSSLPWLHSLKYLNFSYNKLSGVIGDVFVNMDSLETILSPTLVFRDLSFNAFNGDLPRSFSSLTNLRYLYLHHNEFTGSVILLAGLPLSSLNIENNHFSGYVPGPFQSIPELRIDGNQFQPGFKHASSSFTRRTPPPPPQSLTPPPPPTQSPLQSPPPPPPAAKQKPKQGPKSPKPSFGYSSLQNNSHHRKSHSRVTAAAIASATCTVFVLLIVGLVLKSRKSCSCNPKSTSNHAKTLPANMETVPKANEVLYSWSSLLIGSGTSSSNGITSERVPKIKSWFKTSKNLLTAKQFPATDILAATRDFNEECFIGEGLTGRVYRGDFSDGQLLAIKRIDMVDLSLSEQDELMDMLWNISRLKHPNISALVGYCVEFGHCALLYEYAENGSLDDILFSAATRSRALSWKARMKIALGVAYALEYMHLTCSPPVAHGNIKARNILLDAQLMPYLCDSGLTKLSHFVSTTRMKDSEAITSGKGYAAPELSDPGADGIKADIYSFGVTLLVLLTGQKAFDSSRRQNEQFLVDWAAPHLDDLDSLERITDPRIRGSMPPKAISSLGIIILLCVKQSPDLRPPMTIIADKLVKLVESTGLQKISTTQHLEVDAQDPSFITTRPYFEPSSTGISMDSAYFCSISSCYYSYQSLSLIIFSSVSQGGTESCISR >Sspon.03G0007580-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:20404168:20406861:1 gene:Sspon.03G0007580-1A transcript:Sspon.03G0007580-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALGSKLAQLQSKACEATRIVAKHGCTYHKTLMEKNKHYVVDPPTIEKCQELSKQLFYTRLASLPGRYESFWKELDGAKLLWKNRSNLKVEDAGVAAMFGIELYAWFCLGEIVGRGFTVTGYHV >Sspon.01G0004310-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:10325881:10328875:-1 gene:Sspon.01G0004310-3D transcript:Sspon.01G0004310-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VEDAQAREAPVQRLADSIAGPFVYTIMTLAAATFSFWYYIGTHVFPEVLFNDIAGPDGDSLLLSIKLAVDVLVVSCPCALGLATPTAILIGTSLGAKRGLLIRGGDVLERLAGINALVLDKTGTLTEGKPVVTSIASLVYEETEILRLAAAVEKTALHPIANAIMNKAELLKLDIPITSGQLTEPGFGCLAEVDGSLVAVGTLDWVHDRFETKASPIELRDLRNQLESMLSSDASSSNQSKSIAYVGREGEGIIGAIAISDILREDANFTVERLQQESITTFLLSGDREEAVTSIGRTIGIRDENIKSSLTPQDKASIISTLQGKGHRVAMVGDGINDAPSLAAADVGIAMRTHSKENAASDAASVVLLGNKLSQVVDVLSLSKATMAKVHQNLAWAVAYNIVAIPIAAGVLLPQYDFAMTPSLSGGLMALSSIFVVSNSLLLQLHGSFQNTEKRQGDLSSRLN >Sspon.04G0022280-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:4918863:4919829:1 gene:Sspon.04G0022280-1B transcript:Sspon.04G0022280-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRATRGAAQTARGWRILPCFSQILPNYAFRRAPDVVPLVNFGKFRRETTPSSRAHGELSDLESDLAGHKTTGRSLPAATSARQPIVPPAQEIEEFFAAAEAAQAKRFASKYNFDFVHGVPLDAGRFEWTPVVSI >Sspon.01G0043230-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:64248793:64249917:-1 gene:Sspon.01G0043230-2D transcript:Sspon.01G0043230-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSLSMARASSSTTSSSIIANSTFGSHMLKIDGYTRTKGLAIGIHLRSCSFYVGGHSWHLAYLPNGDTAQNADFISFFLVLEDPPANGAPVLTQFCIALLDRSGKPVPSHTQTRPVTRFTTPAAYWGFNTFIRREVLEKSRYLNLKDDSFCVRCEVNVITGFHAEDAASAAAAKAAATTCVHVPPPDLSRNFGELLQSKLGADVHFRVDGEDFAAHRCVLAVRSPVFQAQLFGAMKEAEHCWVEIDDMRADVFRNLLHFIYTDALPKPDEPQEEALMAQHLLVSADRYGMERLKLICEDILCKHIDVSTVATTLALADQHRCQGLKETCFQFLKKSPGSLNAVMATDGFDHLANSCPTIIKELMSRLAATHSN >Sspon.05G0009510-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:27378777:27384664:-1 gene:Sspon.05G0009510-1A transcript:Sspon.05G0009510-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQRIVIKVQMTCDKCRKNALALAGSTYVSASDPSDEYLNLTDLLLMPEDEYCRATDRINMYCAGVQSVGIEGEEKDQLVVVGDGVDATCLTSCLRTKVKVGRADIVKVEAVVDEEAVTKPAAETAASTTDPNPVVGWPPQWYPGYYCPAGPGVVSPYAGHCYPFGSS >Sspon.05G0020430-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:62529815:62536053:-1 gene:Sspon.05G0020430-1P transcript:Sspon.05G0020430-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTWKRGTAGSGSGGGGGGSFSEERLVDKLNKLNNTAASIQTLSQWCIFHRKRARRVVDTWEKQFSSATEDKKISFLFLSNDILQNSKRKGGDYVHEFWRVLPRSLKHVYENGGEEGMKLVARLIGIWDERKVFGTRIESLKDSIFGDNPPIFDNNGNKNNSNPSSKPLNSKVARKDSSTVLKKLTVGGMPEKIVTAYQAVLDQHFDEDAALNKCKSTCLEKIKKNIDDASTKGDQPASTMISDLEEQETILKQCISQLESVDVARISLINQLKEVLIEQESKSEILRNQLQVARAEAEHAIQLRQRFGGAVVANGTGSSSSLLMIAPTEQTTAMIQGSGVRLMSPQLQSLNPATSLAPTVSAVGDEPKRTAAAMADKLASLSAPVQVLSSILSSFVAEHGASLSSMNSGSPSGELSAGPPGFQIEKRPRLEKTAQGSDMGAPPFSVQVPQMQQQIGAVPTQLPAQINQAPGPFAPPPPPFLPPLLQQFGQSTGGMMGMGPFGMTAGSMPPPPPMSNIMPAGFPGPSGPPPPPPLPLAQSQPQQQQQSPQAPQQSPTSAGFFQSSAGMGFFPPVQVQQSPSAQ >Sspon.06G0008340-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:32633711:32640173:1 gene:Sspon.06G0008340-3D transcript:Sspon.06G0008340-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SCY1 [Source:Projected from Arabidopsis thaliana (AT2G18710) UniProtKB/TrEMBL;Acc:A0A178VZD7] MATATATPPQCWRGLPASARAPSPLSSPLRPCPVAAPFYPLRRRIATATARGRRAALACSPRCALETAGPGFDPLGLYEEGSDSPSRSPLSTFFGILAPVFGSSSGGGDRREKASYGRGAAAAIEDSSIDIGDFFKGPLPGKVLKLLGFLALSRLGVYIPLGGVNREAFAGNLDQNSLLGTLDSFSGGGIGRLGICSLGIVPFINAQIVFQLLAQLYPKLQDLQRKEGEAGRKKVLQYTRYASVGFAIVQAIGQVLYLRPYVNDFSTEWVLTSVTLLTLGSVFTTFIGERISDLKLGNGTSLLIFTSIISYLPASFGRTVAQAFQDGNYVGLLTIILSFFLLVLGIVYVQEAERKIRLNYASRYSSRTGGLQRSAYLPFKVNSSGVMPIIFSTSSLALPGTLARFAGLDFLKKAAIALNPGGALYLPTNVLLIAFFNYYYTFLQLDPDDLSEQLKRQGASIPLVRPGKSTAAYIKTVSSYTSIKVLSRISVLGSAFLAVLAAGPSVVEQISHLTAFRGFAGTSVLILVGCATDTARKVQAEIISQKYKNIEFYDVNHQLKNWLNGHDFYEA >Sspon.02G0044090-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:103460927:103464186:-1 gene:Sspon.02G0044090-2D transcript:Sspon.02G0044090-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEARRNAWQVVTENVNNGMFHQMGRYRIIHDICDALLYMQKECSPVVVHGNIRPSNVMLDSSFNARLGDFGCTAIIWDSASRHCLTRILGTSRFTTETDDVYCFGVLLLEIASGGWRPAILPEEDDGDSSFHLVRWVWELYGRGALLDAADPGLQGEFDADQMERALIPPGGEESKRPCSRRGRRKQAAGKREEEAASSKQQRAVWIGTGREGKGSESMRCSSSADARAASSVADDWSWE >Sspon.06G0013030-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:68014917:68018674:1 gene:Sspon.06G0013030-1A transcript:Sspon.06G0013030-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRGPPPRGALSKQHLLRIRRCLPPVWLNAAPAQDPSPPQPPPPGSYFSPASLSSLIRSCTSQRARLPGEQAHARAVALGLGAHPSVLPRLVTFYVDLGDLPAARATVERAAGKARAFPWNLLIWGYADRGLWDDVVLAYSRMLALGVAADRFTYPSVLRACGELRDASVGREIEQRVWSWGYGLDMYVWNALVGMYAKCGEMEDARRVFDGMPARDVVSWNAMVSGYASAGMWGEAFDLLQWVPGANIVTWNAVAAGNLKAGNNSEVMRLVSQMRSCRGPGLDSVTVVIGLRACARGGYLRIGKELHAASVRLCFDRLERVESSLIIMYSRCQMMSSAYRLFRTCSTQSVVIWNSLLAGFGFMDQVEEAILLFREMICSSVFPNDVTVLTMLSLSARFGHLYHGREMHCYIFKHGLGASNILLNSLVDMYSKTRQMAAAQRVFDRMQCQDRHAYTSLILGYGMQREGHLSLKLFDEMIANNIKVDHVTMVAVLSACSHSGLVTQGQLRFAEMFDVFCIGPRVEHFSCMVDLYCREGLLSMAEEIINKMPFQPTAAMLATLIEACRIHGKTEVGDRAAKRLLAMRTNNPGHYKLIANMYISAKRWPELAKLRSLMSVMELNMIPTHSLLESEYDICPVEQDCCLNGSMHGGLSDDMTDTDFSSSEEVKCNEAFASILRIMEEEK >Sspon.05G0017070-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:67055251:67056477:-1 gene:Sspon.05G0017070-3D transcript:Sspon.05G0017070-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSGEVMAAAVAVVQNKKVVLRRHVTGFPTEDDMEITVDTVGLRVPAGLTAVLIKNLYLSCDPWMCGRMSKHEDGGMLPDFVIGEAMVNFTVAKVVDSTHPEFNAGDLVWGMSGWEEYTLVTQPESLHKINHTDLRPSYYTGVLGMPGLTAYAGFFEVGKPKKGDFVFVSAASGAVGQVVGQLAKIAGCYVVGSAGSDEKVSLLKTKFAYDDAFNYKSETDLGAALRRCLPDGIDIYFDNVGGAMLDAALLHMRHGGRVAVCGMISQYNLQEPEGLRNLFCIVPKAIRVEGFIVGGWFHVYARFEEEMAGYIKEGKVAVVEDVAEGIESAPAALI >Sspon.02G0037870-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:36602865:36607336:1 gene:Sspon.02G0037870-1B transcript:Sspon.02G0037870-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AYPCVIDLLHCFLLWECPERTSPDTPEFAYLQLQMTSKDSAECFCIVAHTSAERTHPERTILKVNLEDLPVDQKVLTEQATEEFREKCLLSYSRTRDSVVQKTPLPSVLLHGQSEDVEVRTIAHLVHKIVHESFTNHNKVLANMIGNIMKEVFFGAPVNQVGPAYSNGLNPSVVGSNIPGTSQQPNGEQFQQPPVQ >Sspon.05G0002320-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:6351530:6351793:1 gene:Sspon.05G0002320-4D transcript:Sspon.05G0002320-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding WMGIHLLAFVAARGFLQVFQVSAPLLWPLNLWLPLARHLPEACAAFYDALVSHAGRLRATVRRHRRDGGSRSALDEYLRNATMLTLSD >Sspon.05G0038750-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:5D:53711806:53712153:-1 gene:Sspon.05G0038750-1D transcript:Sspon.05G0038750-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFFDHYDCSGKSLKIYVDELCGGSSNVDELCTVSCDLNHTCILDMKHGDLTAGEELQPSTLDHIQPFSTWELKVVKVLDIVRRRALTEFNTKQIPVHTRFCDFNIAFFDHDKECE >Sspon.03G0038550-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:5642681:5647094:1 gene:Sspon.03G0038550-1C transcript:Sspon.03G0038550-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GMVSRSSMVRLEHPCRQWRLQRREGHRSRDLLRGIPHRAKAGGRGQGAGGHGAQGGSRAWREGPSLWSGSGWSGRNGWGSGGWRGGMRLWRLEGRTPIRNYKEPSSFQIERDSSASHSFQESSSPKMSKSPSLQKTHGFLTFRTSDANHNSHESPNIQSPPSEQMAEARLPMVASERAPSIKRQDSFEMKLPDLPKLMSRCTADPESPISPLLTSDPKNERSHSKTFSRPLDIFDSFHAEDFKQQHTKAPSFWRLAELSLAEYFYALLGSAGAACFGSFNPLLAYTISLIVVAYYKIGVRDVHAEVNKYCSFIVGMGIITVLANFLQHFYFGIMGEKMTERVRRMMFLDYSKTDVEISDFSHPVYCIPVILRNEVGWFDDEENSADILSMRLANDATFVRAAFSNRLSIFIQDTSAILVALLLGMLLQWRVALVALATLPILIVSAVAQKMWLSGFSRGIQEMHRKASLVLEDAVRNIYTVVAFCAGNKIMELYRLQLGNILKKSFIHGMGIGFAFGFSQFLLFACNALLLWYTATAVKDGHLSLVTAVKEYIVFSFATFALVEPFGLAPYILKRRKSLTSVFEIIDRVPKIDPDDASGLKPPNVYGSIEFRSVDFCYPTRPEMMVLSNFSLRVNGGQTVAVVGVSGSGKSTIVSLIERFYDPTAGQVLLDGRDLKLFNLRWLRSHMGLVPQDPVIFSTTIRENIIYARHNATESEMKEAARIANAHHFISSLPHGYDTHVGMRGVDLTPGQKQRIAIARVVLKNAPILLLDEASSAIESESSRVVQEALDTLIMGNKTTILIAHRAAMMKHVDSIVVLNGGRIVEQGSHDSLVQLNGLYVKLMQPHFSKGFRQRRLI >Sspon.02G0031540-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:91004982:91010609:1 gene:Sspon.02G0031540-3D transcript:Sspon.02G0031540-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDEGSGGGRSPGQDGDRATAVVALAPFASPRRAKTVRMSEARDFIIPCAADKGRSSGFDVAEERSPAGSAGAARALGPASGGGDGDGDGSSSLPGGVPSEGEMQDTPDYIRRSAARHRVAPLELFSASVSPPPPAGLGAAAEGVASSEKCRAAGGLSESEAVGGGRTVSVSLQQEEIEEDKSDCCGLLKQQYGVLLREKEECRRLLEDLMGENELKTRQCREAQESLHDLQMELMRKSMHVGSLASAVEGQVKEKSRLCQLLKELGEKFMVLKSEHQNLRQESLEYKKCVLDASQMSAIIQQYVNQYVSLECEFKDLKEKFNEEAKERKDLYNKIIELKGNIRVFCRCRPLNAEEIAAGASSAIDFDSAKDGELIVRGHVSSKKVYKFDSVFSPEEDQEKVFEKTAPYAISVLDGFNVCIFAYGQTGTGKTFTMEGIEGARGVNYRTLEELFRIIKEREGTFQYEITVSVLEVYNEQIHDLLLTGSQPGATTKRLEVRQVAEGVHHVPGLVEARVTNMNEAWEVLQTGSKARVVGSTNANEHSSRSHCIHCVMVKGENLMNGECTKSKLWLIDLAGSERVAKTDAQGERLKEAQNINKSLSALGDVISALATKTPHIPFRNSKLTHLLQDSLSGDSKTLMFVQISPNENDVGETLCSLNFASRVRGIELGQAKKQVDVGELSRYKLMVGRAKQDSKNKDAQIKSMEERIQTLEAKNKTKDLLTLNLQEKIKELESQLLVERKIARQHVDNKIAQDHLQKQHGMKEENSYLRSPMAERNLNSTAEKAATPKDFGISKQSMSLGDEKENNPKAVQLPPTMKARRLSLATPLNQASHRKSLLPLPRRSSLQPMPTAKPAAAAVPSPLDKITENLSSPRCALLRLSPSTRAAGRINSILRRSMQKKVVIRPSLAAQAGKKAGAAAAQGTDNARRVARRVPVGGGGGGGQKVQQKKDKARGWNTGTTLRNNF >Sspon.02G0000980-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:4273073:4273888:-1 gene:Sspon.02G0000980-2B transcript:Sspon.02G0000980-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSWFHRLRRKTAGAGAIASGGTEPPAPPPCSPNRASYYVPSRDRALALPRRPAAAGEDNPKLRDTRFPPRSPQPSDIVFDVVAARRDDRFSAAAAPELKLRPILTRPPPSADAAGGGGSSSAAASPTGRARPPRFHFDAAKGGPAPDNHSRKAEEACKRSQSQGRGRGRSRRRRLRRAGRLRWVYESLVVVKDSADPEEDFLESMAEMIAANGVRSPRGLEELLACYLALNAADHHRAIVAAFRRAWLHLHLHCAPTPTPPSEKRCMHEHD >Sspon.02G0042310-1P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:85382138:85389293:1 gene:Sspon.02G0042310-1P transcript:Sspon.02G0042310-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFRYMGVACV >Sspon.02G0021650-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:71037603:71041664:1 gene:Sspon.02G0021650-2B transcript:Sspon.02G0021650-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tim10/DDP family zinc finger protein [Source:Projected from Arabidopsis thaliana (AT2G29530) UniProtKB/TrEMBL;Acc:F4IKQ3] MASGAAPTNMQKEQMFGLAEKEMEYRVDLFNRLTRTCFDKCIEKRHKDAELNMGENSCIDRCVSKYWQVTNLVGQLLGNQPKM >Sspon.02G0050920-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2C:68532478:68533585:-1 gene:Sspon.02G0050920-1C transcript:Sspon.02G0050920-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPPQLMDELVEEILLRLPPDSPASLVRAGLICKRWCHLVSDPGFQRRFREHHRSPPILGFLNTHIDDDGSTTVFVPEPASGLRRADHPRLHPRECRHGRVLLYSYHYDTTIVVWDPITGERVQLPKPPPNLLLPSTWTVAVLCAAAATPGGTCDHLDCHGGAFIVVLLMLEIDTPRISSYVYSSESGAWSEPMISSPHSGGKMGGWARGALLVGNALCFMPYLCTTVLQYDLGTREIVAIHLPNATQMDTTQLIATQDGRLGFASVAETTLFLWSREVVGGGIWALRQVIDLKKLLLAMDFPRATIVPYLVGSAEGTGVIFLRVNGLRLVAIDLWSSGMVTTEVYEREPRSYISMMVVPYMVFFTP >Sspon.01G0002550-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:6964832:6984495:1 gene:Sspon.01G0002550-2D transcript:Sspon.01G0002550-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:transcription activators [Source:Projected from Arabidopsis thaliana (AT5G18410) TAIR;Acc:AT5G18410] MAIPVEEAIAALSTFSLEDEQPDVQGLAVLLSSERYATNSPIEYSDVAAYRLSLGEDTKAINQLNTLIQEGKEMASLLYTYRSCVKALPQLPDSMKHNQADLYLETYQVLDLEMSRLREIQRWQASAASKLAADMQRFSRPERLVNGPTITHFWSMLKLLDVLLQLDHLKNAKASIPNDFSWYKRTFTQVSTQWQDTDTMREELDDLQIFLSTRWAILLNLHAEMFRTNTVEDILQVLIVFCVESLELDFALLFPERHTLLRVLPVLVVLATSSEKESESLYKRVKINRLLNVFKNDPVIPAFPDLHLSPAAILKELHYLILNHMGTIRADHDDFSIRFASAMDQMIRLKSSDGVDNDWSRDTKGNMYDIVVEGFQLLSRWTGRIWEQCAWKFSRPIPDSQQDPTTCFDYEKVVRYNYTAEERRALLELIGYIKSIGLMMQHCDTLVSEALWETIHMEVQDFVQDKLDTMLRTTFRKKKDLSRILSDMRTLSADWMANTSKADPEQHSLHQETEEMRQNTFYPRPVAPTAAQIHCLQFLICELVSGGNLRKPGGLFGNSGSGIPVEDLKQLETFFYKLSFFLHILDYTATIGTLTDLGFLWFREFYLESSRVIQFPIECSLPWMLVDHVIESQDAGLLESILIPFDLYNDSAQHALTSLKQRFLYDEIEAEVDLCFDLLAQKLNEIIFTYYKSCAASTLLDSSFTYACDDGEKYFVKPLRFDAIFKLRRVMVLGRTIDLRSIITQRMNKIFRENIDFLLERFENGDLCGVVELQQLLDILELTHQSISRFLELDSYSLMLSEMQENLSLVSYSSRISSQIWSEMQTDFLPNFILCNTTQRFVRSIKGTHHSSHRSNASTGKPYFYCGSHDLTMAYQGLAGLYRDFFGVPHMFAVVKLLGSRSLPAIIRALLDHISSKITGLVPKINALQEALPKSIGLLSFDGGIAGCQKIVHEILTWEAKSEVKTEVLHDLKEIGSALYWMSILDIVLRQIDTTQFMQSAPWLGLVPGNDGQVKHAYSDNTPFTTLLSAATNAVTSSPACPNPSTFLVMSKQAEAASLLYKSNLNSGSVLEYALAFTSAALDRHYSKWSATPKTGFIDITTSKDFYRIFSGLQYSYLEDSINNPSKKQEMLGDSVAWAGCTIMYLLGQQQHFELFDFSYQFLNVAEVESATVSHYQSSERTKSSNFLQGYEGILEAMRKARRLNNHVFSMLRARCPLEDKVACAIKPSGAPLHRMKFMNTMRPANISHFRLVGSGRPTSVPHSSTASLFLQTSLVRTHMTTTSLIHSCLEMHT >Sspon.08G0019830-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:15402479:15405142:1 gene:Sspon.08G0019830-1B transcript:Sspon.08G0019830-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GKKNERGKKKKAGGNPTAGTSAPAPGIENRGGADEGGSVGSPERNRKEGRLIRVKEAMEHKETGCQAPEGPILCINNCGFFGSAATMNMCSKCHKEMIMKQEQAKLAASSIDSIVNGNDAVMEPVVSGNTVVAVAQVELQTMNVQPTDVAGPSEGAAVISKGKVGPNRCSTCRKRVGLTGFNCRCGNLYCALHRYSDKHDCKFDYRTAARDAIAKANPVVKADKLDKI >Sspon.07G0029880-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7B:80423427:80424283:1 gene:Sspon.07G0029880-1B transcript:Sspon.07G0029880-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRPPSPFPRVPVCYSPSFLRAHFCFDGELAHHGPRQEAHIKAANPNHPGLSAPFSVVYWILIHGDGDPTGTKSPHGDGDREKVSPASIHGDGDGDFSAPWGREWGSIPRRGIPR >Sspon.06G0024710-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:53100257:53100946:1 gene:Sspon.06G0024710-1P transcript:Sspon.06G0024710-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATTTKNFLVLLLLAALPLATLSSRAGPSSAHKSHGQKSSPSAKHPPPSPPSSPPSVPTPAPAAAALVRATCNSTAYYDLCVSALGADPSSATADVRGLSAIAVSVAAANASGGAATAAALAANGTTPAGTATSADGTVQTLLHACAAKYASARDALAAAGDSIALEDYDFASVHVSAAAEYPQVCRTLFRRQRPGQYPAELAAREETLKQLCSVALDIIALLSNSS >Sspon.05G0034250-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5D:56837068:56842579:1 gene:Sspon.05G0034250-2D transcript:Sspon.05G0034250-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEPGLVLNEQGPDRHPRDASCHDLGTVSSEQGSGRRILSGSPHRCPVMVKNEQGSSHQSRWVRRCTFTYRALCHLVAKSSAGIAGSGPGGTDLARCLRPYSPLAVLAANVPEFDMGKGEAMRVEVESAAREALLDAAAGRRPASRGATAMAEEHARCEVPAMVAMLPPSRRTM >Sspon.01G0009310-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:29716868:29724635:-1 gene:Sspon.01G0009310-3D transcript:Sspon.01G0009310-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLAYEAHSGQKRRSGEPFIIHPVEELDWESIAAGLLHDTVEDTDVVTFERIENEFGPTVRRIVEGETKVSKLGKLQCKSEGSSKQDLKADDLRQMFLAMTEEVRVIIVKLADRLHNMRTLTHMPQHKQYAIAMETLQVFAPLAKLLGMYHIKSELEYLSFMYVNPTGFAELRKRVEDLYKAHEQELEEANRILRQKIVEDQFLDLVSVETEVRSVYKELYSIYKTTLKSKSSINEVNQVAQLRIIIKPKSCNGVGPLCTAQQICYHVLGLVHGIWTPIPQAVKDYIATPKPNGYQSLHTTVIPFLNESMFHLEVQIRTEDMDLIAERGIAAHYSGRGVVSGPVRPGISSGRNAKGKVICLNNTGFALRIGWLNAIREWQEEFVGNMSSREFVDTITRDLLGSRVFVFTPKGEIKNLPEGATVVDYAYLIHTEIGNKMIAAKVNGNLVSPIHVLANAEVVEIITYDKLSSKYAFQRHQQWLQHAKTRSARHKIMKFLREQAALSAAEITADAVNNFVADLEDESDSELSLPSTKNEDSKFNWEKILSSDKLFFVNKSSADFLPVNNVHPKLNGKQNKTVKELGIKINGHSTIRGDSFNELMHPGNSTCKEVFPGLDHWKSGKISGWHSTEGNSVQWLCIACVDRKGMMAEVTSALTACGITICSCVAEVNKRRGMGVMLFHFEGSYENVVSACSSVDMILGVLGWSVGCSWCPLGVLEC >Sspon.02G0013490-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:49969506:49973715:-1 gene:Sspon.02G0013490-3D transcript:Sspon.02G0013490-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear pore complex protein NUP58 [Source:Projected from Arabidopsis thaliana (AT4G37130) UniProtKB/Swiss-Prot;Acc:Q8RWH9] MAFTFASPTASNPFQTPAASNPFQMPAASNPFQTPAALNPDKIRDYRDESERLDQCSRLYDSSISNVNFELDASRIAQELGGTTTVMEREKASIQELMTVVNEMMWNTEFAIRSYLMLRPRFTHPGAGVANGGSSNPSSGAPPNQPVVDFYSGVPKRPSIFMQRTVNRFECYLAECCKWICELEQLVQMETNKRSSDSLESLPKVMSNVHDYFIYVASKVENLHQYVESMKTEYLNEQRRIGNGSNPFLEANRREAAKQEAAARRVHPTLHLPTPAQPMAQIAAPATSQPQQSSFPSAATSSSALSTFSTPASAPSSSSLFATPTTPAPSGNLFGASGSAQLTTPFGTASTPTLTSTPAPGFGTSTTSLGGTSLFSTPFGERKVEAPESTLDVNEPM >Sspon.07G0001200-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:2790985:2796136:1 gene:Sspon.07G0001200-1P transcript:Sspon.07G0001200-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGGWGVSDGYEGSKRTRMMMESNPYFAVNAGSPLDVSKRVRMMEPGAPYFGAVGSNTGGASGSFYQPFSSNLAGAGVSTGIQSFPGVRLRGLPFDCNDIDIFKFFVGLDIVDCLLVNKNGRFTGEAFVVFPTAMQAEFALHRNKQNMGRRYVEVFRCKKQEYYCAIANEVNQGGYFELEHRRSPPPPRPKKQFEDKGSMEYTEVLKLRGLPYSATTEDIIKFFEEYELTEENVHIAYRSDGKATGEAFVEFPTAEVAKTAMCKDKMTMWTRAMMGGWGVSDGYEGSKRPRMMMESNPYFAVNAGSPLDVSKRSRMVEPGPPYFGAMGSNAGGASGGFYQPFNSNLTGAGAGTGIQNFPGVRLRGLPFDCNDIDICKFFVGLDIVDCLLVNKNGRFTGEAFVVFPTAMQAEFALHRNRQNMGRRYVEVFRCKKQEYYCAIANEVSQGGYFESEYRRSPPPPRPKKPAEDKGSMEYTEVLKLRGLPYSATTEDIINFFLEYELTEENVHIAYRSDGKATGEAFVEFPTAEVAKTAMCKDKMTIGT >Sspon.06G0033090-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6D:9204567:9205979:-1 gene:Sspon.06G0033090-1D transcript:Sspon.06G0033090-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMMLLSLVHGLPARPVEGRVSARQRLGARRRVSAPDDDGWCEVLPRQGDHASGLVELRHGPSSRAQARRIPEHFCDRCFRCLSLSHHVASCRLPQRCLRCRGLGHLAKDCTQSKAMPSGGGRPRHTSGGHRPPPLGAPLGGPPTPDGTATGVVGGAHRHRRRRRRHGGRSAVVAEPADSTVDATASGTSLRFLEPDPLADALFERADPPTLLASIDPMLDELAALQATGDLSQEDQASAPGHPSTLPTAPLVAKARLVDARSPSPMVHLNFADAKVSGVEAEAPSSHVNDTGRLDVEAGDTALAVSEAALAVDLCLSSPDVCGSGETSSAAVLAESSPSAMPAVAAAALSIEESLEERLCLPLQTPLIHGPPRLRRSRTAAPVASRSERIAVQPREADTTKQAQRVLMNKLGLEAPSPNVGSDTVRKYKAVFQEPLSDSTYDALQLLLGGEFDPVAMELNMIGLDDEDN >Sspon.01G0030830-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:74264890:74270755:1 gene:Sspon.01G0030830-2P transcript:Sspon.01G0030830-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGDADGVKSGRRGGGQVCQICGDGVGTTAEGDVFTACDVCGFPVCRPCYEYERKDGTQACPQCKTKYKRHKGSPAIRGEEGDETDADDASDFNYPASGNEDQKQKIADRMRSWRMNAAGSGDVGLPKYDSGEIGLTKYDSGEIPRGYIPSVTNSQISGEIPGASPDHHMMSPTGNIGKRAPFPYVNHSPNPSREFSGSIGNVAWKERVDGWKMKQDKGTIPMTNGTSIAPSEGRGVGDIDASTDYNMEDALLNDETRQPLSRKVPLPSSRINPYRMVIVLRLIVLSIFLHYRITNPVPNAYPLWLLSVICEIWFALSWILDQFPKWFPINRETYLDRLALRYDREGEPSQLAAVDIFVSTVDPMKEPPLVTANTVLSILAVDYPVDKVSCYVSDDGAAMLTFDALAETSEFARKWVPFVKRYNIEPRAPEWYFSQKIDYLKDKVHPSFVKDRRAMKREYEEFKVRINGLVAKAQKVPEEGWIMQDGTPWPGNNTRDHPGMIQVFLGHSGGLDTEGNELPRLVYVSREKRPGFQHHKKAGAMNALVRVSAVLTNGQYMLNLDCDHYINNSKALREAMCFLMDPNLGRSVCYVQFPQRFDGIDRNDRYANRNTVFFDINLRGLDGIQGPVYVGTGCVFNRTALYGYEPPIKQKKKGGFLSSLCGGRKKASKSKKKGSDKKKSQKHVDSSVPVFNLEDIEEGVEGAGFDDEKSLLMSQMSLEKRFGQSAAFVASTLMEYGGVPQSATPESLLKEAIHVISCGYEDKTEWGTEIGWIYGSVTEDILTGFKMHARGWRSIYCMPKRPAFKGSAPINLSDRLNQVLRWALGSVEILFSRHCPLWYGYGGRLKFLERFAYINTTIYPLTSIPLLVYCILPAICLLTGKFIMPEISNFVSIWFISLFISIFATGILEMRWSGVGIDEWWRNEQFWVIGGISAHLFAVFQGLLKVLAGIDTNFTVTSKASDEDGDFAELYMFKWTTLLIPPTTILIINLVGVVAGISYAINSGYQSWGPLFGKLFFAFWVIVHLYPFLKGLMGRQNRTPTIVVVWAILLASIFSLLWVRIDPFTTRVTGPDTQTCGINC >Sspon.04G0002130-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:4081773:4083634:1 gene:Sspon.04G0002130-2B transcript:Sspon.04G0002130-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDWSIFSKLDHNGAYLPKFPIDSPVSHQIGLGLISQVGNLVECSFQHPRHICATGNGAVQEAFSSFNKFAGAFYFWFSRASNPKLFQRLSAAAGSSSRACRSHIKQVTSRLQHLPGLQFGSQLREEHAIQMLLARLASATFGRLWTEVEERHACNILMLAAATVIPPFENISPKMLAESMALRKDGGHTREPAEQSYSEENRPGCACVAVPRVLLTEDLTEPKTGIKFPTLLEDNSNLTTEVLVGMGFRSMRIMRVKNIQPDSVCKKLGPKYACIPDAELKDHPDFYEDLLRENIDMTVRLVVSYNGLSIGTVRDAFEKSLGFRLQK >Sspon.05G0029890-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5C:80996857:80998046:1 gene:Sspon.05G0029890-2C transcript:Sspon.05G0029890-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFNIPHCKSQAPVTAAAAVAAFSSPRFSSASLPLPLPALVPPPPPPPTPTPLPEESPFAALLASDPAPPEPLRQVLATGDVHAALRGLPGLARQLFRSRQNAGARDATPAACSTLCLAAFSVVAGQGVAPDVRDCNRVLRVLRDAARWDDVRAVYGEMLQLGVEPTIVTYNTLLDSFLKEGRKDEASMLLKEMETQGGGCLLNDVTYNVVISFLAREGHLENAAKLVDSMRLSKKASSFTYNPLITALLERGFVQKVEALQMEMENEGIMPTVVTYNAIIHGLLKSEQVEAAQLKFAEMRAMGLLPDLITYNSLLNGYCKA >Sspon.05G0013200-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:37061920:37063557:1 gene:Sspon.05G0013200-2B transcript:Sspon.05G0013200-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSATLRLAIAVAVAVSHSLAATAPGPRDARETPPASRTVRAGFYLAADAHLRPLDRLDASLYTHLYYSALAVHPTTHKLVLPTDPAQAGLLATFSPTLKSKNRALETLLSVGTAGIAAGADSQTDPAFAAMAADPASRAAFVAAAVALAQDSGFDGLDVAWRFPASAVEMANFGFLVSEWRAAAPPGFLLTATVYFSNHVFDAPLPGVDYPSEAVARCLDWVNVVAFGLHPLAAGATNATAFDAPLYDRASHFSASYGVVSWIDAGVPASKVVMGLPLYARSWFLRNKANSGIGAPVVAAGPKQHGSNATGVMSYAEVQKIAAAGGGGRRAVTTTFDNVSIASYLSMGDVWVAFDGAAVVAEKLAFAGRRGLLGYFLWPVNYDDANLSVSRSALDVWMKNEISSNSKNDTGVRQTQGPVRLPPALQSPAGTPGPGPAPTSGSRLWLPWTKLDAFLHFVVLILVLAAFSIRKRYYATVALQVTT >Sspon.01G0016670-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:57472017:57476518:1 gene:Sspon.01G0016670-1A transcript:Sspon.01G0016670-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGHVGKLIDSVGSIFRGSDILPWCDRDIIAVSPSSPLLFSPRKLGLGWRLAPRERPADDEVAEAKNEEQKNESLMRLSWALVHSRQPEDVNRGIGMLEASLDRSSSPEQAREKLYLLAVGRYRTGDYTRSRQLLERCLEGFESEVAEAKNEEQKNESLMRLSWALVHSRQPEDVNRGIGMLEASLDRSSSPEQAREKLYLLAVGRYRTGDYTRSRQLLERCLEIQHDWRQAMTLQRLVEEKTRR >Sspon.06G0014370-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:76076254:76076642:1 gene:Sspon.06G0014370-1A transcript:Sspon.06G0014370-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLQKVGETQSRVVKNIVNHKGVDKVDGGSSLMNWMKNTYNIDIQLLLVLGIWARKRLYLLTMPSMGIKTHRITTWSKICRMWITCAKMNQKLETGGSQMENQ >Sspon.01G0030800-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:106228606:106231045:1 gene:Sspon.01G0030800-1A transcript:Sspon.01G0030800-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHPWGMLLLLVLSVSSSAAATLAVSAPPPVPAPRHAQDAEGLLINGNFETAPRKLNKTLIVGRHSLPGWTVQGHVEYVSGGPQPGGMFFAVPHGVHALRLGSRASASQNVTVRPGALYALTFAATRTCAQDESLRVAVSPSLSAPADVAVRTLYSGASADTWAWGFRASSPVAQVTFVAVNKPTDGKHFLRALSKERQELAERVMITRLHLYGKWIKKCDHANTYEKISDENLTLMRERLMETVIWPTDDTNTEKIG >Sspon.03G0014190-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:63822407:63830969:-1 gene:Sspon.03G0014190-3C transcript:Sspon.03G0014190-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCVFGRAAASSPAAPRKKRGKERSSPQPEAGSPSAVAAADGDGRPRRRLGGRRAAGPRQGCVPAAAAAEQLAAGWPPWLVAVAGEALRGWAPRRADTFEKLNKIGSGTYSNVYRARDTVSGRIVALKKVRFDNLEPESVKFMAREILILRTLDHPNVIKLEGLVTSRMSCSLYLVFEYMDHDLAGLASSPDVKFTLPQIKCYMQQLLSGLEHCHDNNVLHRDIKGSNLLLDNNGILKIADFGLATFFDPRHKRPMTSRVVTLWYRPPELLLGATDYSVGVDLWSAGCILAELLYGKPIMPGRTEVEQLHKIFKLCGSPSEEYWKKSKLPHATIFKPQQPYKRCIRETFKDFPTSALPLVESLLAIDPAERQTATAALHSDFFSTEPYACDPSSLPTYPPSKEMDAKLRDEEARRLRAAAKAKGEAKRTRPRDRSRRAGPAPEANAEIQANLDQRRRIITHANAKSKSDKFPPPHQDGGTGNPLGSCRHMEPMFEHQDASFSTVVPIEKGTSQTWSGPLFDPAALGQSRRKKQTTLDAKAAAYSKQLQKEKGGIRAR >Sspon.02G0047380-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:49253602:49255550:1 gene:Sspon.02G0047380-2D transcript:Sspon.02G0047380-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQESVVRLEYVPTTNINSAPSPPANYITGSRAEERPSNVYIYKASSVVQQLLAHTRSVTVRVLTDLMARTKCWARKSTGGKTPRKQLVRTIFAATRKTAPVTGGVKKPRRYRPGTVALREIRKYQKGAELLIRKMPFQRLVRKIAQLHKSDLRFQSHAILALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDVHLATRIRGQRH >Sspon.04G0018840-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:72860919:72868437:1 gene:Sspon.04G0018840-3C transcript:Sspon.04G0018840-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKKRTQTDLAVPEAVPASDNTRNEAAAAEAPAKKKKLAMERKKERKELDKERHRQSAESTKPQPPAAEAAAPMNPPSAPAAVGPGLHMNVFRDLASPEASVREAAAEALLVELRQVQKAYEKSARKGESEPGDGDSASQMEAEKDDGLDNCAPSVRYAIRRLIRGISSSREYARQGFALGLAAVLESIRAIKVESIMKLIPNLLEYSSSMKGPEAKDNLLGRLFGFGAIVRSGRVSRQWTRDKSSPIVKEFVSVVVELGGKKRYLTEPAVAVILDLVRKLPDEAILSEVLEAPGVQDWFNKAADVGDPDALFLALKLQERTIVQKEIFGKLLPHPFSSDNFFAEQHLKSIAACFKESAFCLPRIHSLWLAITEMLVREAASQHDINTSSGKKHKKNKKASSCEDNKRNLRNFCEVVIEGSLLLSSHDRKHLAFSILLSLLPKLSPSAIEVVLSSKVVHGLMDILSNESSWLYNAGKHFLKELVSVASHDNDRCAAVIINLQKYSGGRFDSMTKTKIVKELAGKFHSVEDCLYLVQNLMALFVDEESVTDEPSDQSQTTDENSEIGSTEEQELLGQGNTDLLKSWVVNTISCVLKNLKLTSKGNSDSEMAKCIEEKFQVQTEILKFLAVQGLFSASLGTEVTSFELQEKFKWPKNPISTSLRKECIEQLQFLLEDAQKDEALHVPSEVKSNDLGYYFMRFINTVCNIPSVSLFRTLSGNDDNAFKKLMAVESMLFHEERKTGPGLESAKMHAMRYLLIQLLLQVLLHPDEYWEAAVDVTICCKKSFPVIAQGDNSSAQESAEHGSQESDEDGSEESDEDGSEDPNEEVSLEFMDVLVQTFLSVLPHASGPVFRVFCDDITETGLLDMLRVVKIDLKGHRQTDSDDEDDGRVDIEDDDETVMEDEEVGEIDDVTDGEDNSSDEGDMDQDDFNKAVPNETKGGDKAEATKDGDDSDDSDGMDDDAMFRIDPYIARIFKERNLPGSETKQSQLMRFKLRVLTLLDIYLQRNPGKVLVLEVYSFLMQAFVKSHGADGTEQFRQRIAGILQRRVFKGNEYPEGDVVEFGKLESLLEKALRLASRSRYNTVASVAQNATFWILKIINSMNCSDQELASVVDKFRSILNDYDRKKSRLKLGFVKEVAKRNPWIGQELFGFVLQRTENTKAQYRRNQMLELVDYILKSWAGDASEVFLNHLAQLCGLIQEALSAVPENKSRRKEVRNFCTGILQTVLKLDLKEQFQNALSPEAYSLCEAKLGAAFTTFKK >Sspon.02G0007490-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2A:21907401:21910421:-1 gene:Sspon.02G0007490-1A transcript:Sspon.02G0007490-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNNLKLGVEVTSAHDLLPKEQATANPFVEVEFDGQKFRTAIKDRDINPVWNEQFYFNISDPSRLPELHLEAYVYHLDRASNSKSCLGKVRISGTSFVSQPDATPLHYPLEKRTILSRARGELGLRVFLTDDPSVRVSAPGHQEFDMLSTPTTAQEQAAASSIPNPFQETRANPARQFQHLPREQQRPAQPYYAEGSYGDQQQRSFSAVGNKAAAPQPQVQVSRMYAPGPQQPIDFQLKETSPTLGGGRVIGGRVYPGEKAGAYDLVEKMQYLFVRVVKARDLPNMDITGSLDPYVEVHLGNYKMKTKYFEKNQRPEWDEVFAFPKEVMQSTMLEVVVKDKDVLRDDYVGRVSIDLNEVPLRVPPDSPLAPEWYRLMGKDGMRDRGELMLAVWYGTQADECFPSAIHAGSTPVESHLHNYIRGKVYPAPRMWYVRVNVIEAHDIYPMENHIPDVLVKVRLGHQLLKTRQVRSPTRNFMWNEELMFVAAEPFEDDLIISVEDRVAQNKDEVIGEAIIPLARLPRRADHKPVRPAWFDLRRPGIIDVNQLKEDKFYAKVNLRVCLEGGYHVLDESTQYCSDLRPTMKQLWKPPIGMLEVGILSANGLNPTKTRNDRGSCDAYCVAKYGSKWVRTRTIVDNLSPRFNEQYTWEVFDHGTVLTIGLFDNCHISGDNNHGSSGHMDKPIGKVRIRLSTLETSRVYTHSYPLLVLSPSGVKKMGELHLAIRFTTSSLINVLFTYSRPLLPKMHYAQPLSIVQQEILRHQAVQLVAQRLGRMEPPVRREVVEFMSDARSHLWSMRRSKANFFRLMQVFSGVIAAGKWFGDVCQWKNPVTTVLVHVLFIMLVFYPELILPTIFLYMFLIGLWNYRFRPRFPPHMNTRISYADVAHPDELDEEFDTFPTSRSPDLIRMRYDRLRHVAGRIQTVVGDIATQGERLQSLLSWRDPRATAMFLIFCLITAIILYVTPFQVIALCLGFFWMRHPRFRHKVPSAPANFFRRLPAKTDSLL >Sspon.02G0032730-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:121395706:121396871:-1 gene:Sspon.02G0032730-1A transcript:Sspon.02G0032730-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ELLVLKSERGGEEVAIWTVKHHHSSSETKNKKEDDRLTRARSRGSRAASTQIRNEGTEKKPREGNRCAATELTTAAGEELREGQGGSYGQRILAGKERAETSRSFRRRQDSPARAPPKPGQVRTKPRSVRPEPRERGPKISKTSSCIWGARPRPFGPKQTRPIYLLDGDFALTILPTSKERSSSHSCKQTKLANLTVPSLSPPHPHPPATAANVDDDYVVCLSLTLGPGSPPRSSGSNARSGSVVVGARLFPCAFCSKKFVTSQALGGHQNAHRKERRGVGQNIGAHLYYDPQEATATSIDTGFRLRLDGHPRRAHHMDDDDDAAVAFGDSHGDEKKIGQVDLDLKL >Sspon.07G0007090-3P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:14872419:14875762:-1 gene:Sspon.07G0007090-3P transcript:Sspon.07G0007090-3P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFRSIVRDVRDSFGSLSRRSFEVTIAGLSGLTVHHRGKSQSTVHELRDTDLIIQESRWANLPPELLRDVIRRLEASESTWPNRKHVVSCAAVCRAWREMCREIVLSPEFSGKLTFPVSLKQPGPRDGMIQCFIKRDKSKSTYHLYLCLSTAVLMENGKFLLSAKRNRKTTCTEYIISMDADNISRSSSTYIGKLRSNFLGTKFMISDTQPPYSGAVVPHAGRTSRRFNSKKVSPKVPTGSYNIAQVTYELNVLGTRGPRRMHCVMHSIPASAVEPGGIVPGQPEQILPRALEESFRSTTSFSKSSIMDRSMDFSSSRDFSSARFSDIAGGAMAGDEEGQNKERPLVLRNKAPRWHEQLQCWCLNFRGRVTIASVKNFQLIAAPSQAPAPAAAGAPTPSQPAPQDQDKIILQFGKVAKDMFTMDYRYPLSAFQAFAICLSSFDTKLACE >Sspon.01G0011130-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1A:30629858:30631282:1 gene:Sspon.01G0011130-1A transcript:Sspon.01G0011130-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding METREWSGWNREAAWGRKEGEGGVRSRGKEEVANMLFLESPAGVGFSYSNRTSDYNDTGDRSTAADAYTFLINWLERFQEYKGHSFFLTGESYGGHYIPQLANTILSNNKIMNTTMINLKGVAIGNAYLDDDTNTRATIDYYWTHAMISKETHTAVQENCGFNGTYTGLCRTAIEAANNEKGLIDESNIYASFCWDASDPQKQHALV >Sspon.06G0023220-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:41827729:41829258:-1 gene:Sspon.06G0023220-1B transcript:Sspon.06G0023220-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGSEAVKPAEAVLEWHKQDSKRMLHAVYRVGDLDRTIKYYTECFGMKLLRKRDVPDEKYTNAFLGFGPEDTNFALELTYSMFTELPKVYKLAEHIKSKGGNVTREPGPVKGGSTVIAFAQDPDGYRFALIQRAEIHDPLCQVMLRVGDLERSIKFYEKALGMKLLTKKDVPDYKVPAVPSNFLDVVFFHLSRLNIFGIIQYTIAQLGYAEVDKATVLELIYNYGVTEYSKGNAYAQVAIGTNDVYKSAEAVDMATKELGGKILLQPGPLPGINTKITSFVDPDGWKVVCLPSTCTVS >Sspon.01G0002960-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:7936054:7936951:1 gene:Sspon.01G0002960-1A transcript:Sspon.01G0002960-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPPAVATGGSGEPTVPAWLRGLPRAPEYRPTESEFADPIAFLSRVEREAAAYGICKVIPPYPRPSRRFVFAHLNRSLVSSSEAAANPTTASGSSTTAPSLPESAAVFTTRHQELGTPRRGRPPPQVLKQVWQSGEQYTLDQFEAKSRAFSKIHLAGLREPTPLEVESLFWKASADRPIYIEYANDVPGSGFAAPKQSRRHKKRRRESDQVEEGEKGSGWRLSGSPWNLQAIARAPGSLTRFMPDDVPGVTSPMVYIGMLFSWFAWHVEDHELHSLNFLHTGAPKTWYAS >Sspon.04G0026090-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:31467706:31468554:1 gene:Sspon.04G0026090-2D transcript:Sspon.04G0026090-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQAQPRRQDDPLQAQQGNKAQQDEDLQPEEAAIRYGHVFAVSGDLAGQPIAPRDAAAMRSVEDSVAGVQVPQGAGGGFSAATAMETAAAYNQAVGAVHPGQASDTAAMHGITVTQTAVPGGRIVTEFVAGQVVGQYSVADPPPPVEEDATKITIGEALEATARAGGGRPIDRADAEAIRAAEMSAHGADVAMPGGLGDQARAAARANAQATRDGDKIKLGDVLSDATAKLAGDKAAGTEDATRVVQAETFNDDEARAKAGGVGAALTTAARLNEDNDLCDT >Sspon.06G0014260-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:88146868:88148451:-1 gene:Sspon.06G0014260-2C transcript:Sspon.06G0014260-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASTRKAVVVLSVCLLCCNTLLLPSSASSDSFLQCLSAMMPSELVYQQSSSSFTSVLQSSVQNPKFVTNTTVRPLCIITASDVSHVQAAVRCGRWNSVRLRVRSGGHDYEGLSYRSVQPEVFAVLDLARLRGVQVRPGDDSAWVDAGTTLGELYYAVGTTNPGFLFPGGACPTVGVSGFISGGGIGLMMRKYGVGSDNVVDARIVNANGDVLDRAAMGEDLFWAIRGGGGETFGVVVAWRLKLSKVPPTVTVVNILRTMEQGAADLVAKWETTILQPVLPDLTIRVVLQHRHAFFQTLFLGGCSDLLNTMRGLFPELGMTAADCNEMPWLRAMAFIYFGNTNTPVEALLNRTNNVGNYYFKCKSDYVRRAVGKAGWDSLYQQWLSQNGNGQMILEPHGAAVGGANTMTTSPYPHRRGVLFNIQYGSNWCCGANGTEAAAALGWLNGLYGFMTQFVTSNPREAFANYRDLDMGQNVIGGDGLSSYWRARAWAQRYFMGNYRRLAAVKAAVDPTDYFRNEQSIPPLPK >Sspon.04G0008720-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:25356698:25357948:1 gene:Sspon.04G0008720-1A transcript:Sspon.04G0008720-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGALAAAVRPLAGGVPRRAAPAPCDASECASGKKPQRVAPARDSSVCVAGVEELYVPSQKRKMTCNRNNVETAAANTKVLTVGGEMSVRELLQKRLASELDVLRGLLKKAELISRRGACKGGAPATGKKERFLASKQRSEPMVDGGGNAPSVKRRKISTLVEQKQKQTRAPRMSQEERNQLAGRLSSLSLELPGHIVEFLLKQFGDADTHGEIEIDFDSAEDSILFELKTQLDKFAQERPIVVDVLPEEKDEKLAEESKSKGILVKQEEEDVHICGVSTIVQTGSRPSSRSSSGSDTGSYSDRKSGSDDFPALRGALPEQIIVTSAQPPSEPPASGAAQSGEAKKVKDVQRAAPKTVSLAGLIYRAKVRRELMEMEKAALPDESIHPRDLQRLGIAEYGHPSLMRQLGLVLKADDA >Sspon.03G0044230-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3C:90839371:90841167:1 gene:Sspon.03G0044230-1C transcript:Sspon.03G0044230-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSFVHLAILLALIPATVVALPRRLRVSPADTAELDAGTPPSSPSDASRPTTFFEVDRPLRPPPGSSGRCSTLLLSSSFGFTFTKPPATAAYSPPPCLVAAGGSASTISLAVLEWRATCQGVQFDRIFGVWLGGAELLRSCTAEPRPNGIVWSVSKDITKYASLLAAGNSTLAVYLGNLVNSQYTGVYYANVTLHLYFRRAPTRTTPPPATAPADLIVPLSRGLPLNDGLWYQIQNATDVQSTSVTLPPNTYRAVLEVYASFHGDDEFWYTNTPVANGPFREVTVRVDGVLAGAAWPFPVIYTGGISPLLWRPITAIGSFNLPTYDIELTPLLGKLLNGKAHEFGFAVTTALDMWYVDANLHLWLDPGSAATTAGLIAYVAPELVVNTTTSVQSGGDTTYHTTASRQISATGWVRSSYGNLTTNATRTFTFENTNAISDGTAETVNQTTVTHDGVFATDPRTGVLYYAVRARQDFPLYLDSETDRVTVTHGLHETTVSDGRWSSVPRYRSLRTTQSSVVEEVERRGGNGVSWGTRQTYKYEAADGCYFRNVTSSGYSIASDQSNEVCAKGGAPAGVGGVTAALRAASAADEAGLVRN >Sspon.04G0033280-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:54905600:54912968:1 gene:Sspon.04G0033280-2D transcript:Sspon.04G0033280-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRGVVHKSSAALVREMGEAGHGHGWWSMNNLIRPPFEQQHHPSLFMPSTTTTTTTGTAAAAVPSSSSSALHSFSSLLLSNHYPLPTTTSTSPPWQHDSTTTSSHGQQQDSWIQLLHKESQMGFPTTICSEAGGSSGSYVVYGAAAASGHGSSTSDVEIQFPWGSSVLHQNKQQASSQQQKASSPRSSSITTTTTTSLGSNMLEFSNNSSSSPRECISTASGSAFKKARTQEPSPAQSTVKVRKEKLGDRITALHQLVSPFGKTDTASVLLEAIGYIRFLHGQIEALSSPYVGGSNGGGGGSCSSKHQQQLHEASVHGGERHSIFPEDPGQLLRDSAIKKRGLPDEQDESCEEAKKDLRSRGLCLVPVSCTLDVGDVIAGPGDYWAAAAPAFGMGFGG >Sspon.07G0025350-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:40989514:40990969:1 gene:Sspon.07G0025350-1B transcript:Sspon.07G0025350-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LTFKRVVKEASVGEYSCVPYILALFSAFTWGWYGFPIVSDGWENLSLFGTCAVGVLFETSFKFVVLMVSLVVAMLCVIVSLSSFMFHTHHKRKLFVGSIGIVTSMSMYCGPLVAVKQVIRTKSVEFMPFYLSLFSLLTGFTWMLYGILGRDPYLTAPNGAGCLTGILQLVVYCIYSRCNNKPPKTVNDIELVNDLDVATSREDTNGCKP >Sspon.01G0005660-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:13035189:13036743:-1 gene:Sspon.01G0005660-2B transcript:Sspon.01G0005660-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GMDEEELAMAMAAASSECSSGCQSGWTTYLDDHSSYSCGTARFHHGKARQPYYCDYSEEDDLSMISDASSGPRQQSSAGNDVEGGAAVAAHANVERRGRREETTAAARRQSKRAAAASLLEDTASSPAFFGYSQAMSSAEDNGYGGADAQMTEIGNAADFSYASSTTTGFQPPLNGAALSGYMQMQYSPVPVKPMPRRQVCRDASEKKRW >Sspon.01G0011250-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1A:30911341:30911630:1 gene:Sspon.01G0011250-1A transcript:Sspon.01G0011250-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGDRRAAAALQRYSWPWSVSGRRLLMPSGVDGDDNNNKAWRWRAHAGMVFVMLAYSGYHVLTKSVLNVGINQVVFCVYRDLLAFAVLAPVAFLHE >Sspon.04G0020020-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4A:70477697:70478181:1 gene:Sspon.04G0020020-1A transcript:Sspon.04G0020020-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding APIPSLFSQAPDAPIPSPPLPSSLGGRLPHPLRHGRCPLSTTAAAAAWASSRWCGRWRPGGSGRARAVEAGSGGAEAGSGHPVAWASSRWCGRWRPGGSGLARAAAAFLLLYQHRRRRIWPVGEPPPSSPDQIWWRRGRGATSSAAHGDAGGGGVAWCRWV >Sspon.07G0032090-2D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7D:36094909:36095541:-1 gene:Sspon.07G0032090-2D transcript:Sspon.07G0032090-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVADLSYWLAIAGRAVLIFLFVVVAVVVCVVFIFVCTIEVKAWWQSPWMRLFRLGGVTTLKRKLNYPCTLCQNSMEAGDKVRMLSCDHAFHCGGSVKCEKDIDKWLRTGPMTSCPICRQIPHPVRPWKRPTPSSAAPSPKTSAPTLPQLSRTSSTPDLEEAFLPPVHDETLPEASSLASAPPLAQLLPTSTPDLEEALLLPAHDETLPEAS >Sspon.01G0026340-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:43150355:43152123:-1 gene:Sspon.01G0026340-2C transcript:Sspon.01G0026340-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding FAYYALPGYLLPILTFFSWACWVWPHSITAQQVGSGYHGLGVGAFTLDWAGISAYHGSPLVAPWASIANTAVGFVMFIYVIVPLCYWQFNTFDARRFPIFSNQLFTASGQKYDTTKVLTKDFDLNVAAYDSYGKLYLSPLFAISIGSGFLRFTATIVHVLLFHGGDMWKQSKSAMNAVKLDVHAKLMQRYRQVPQWWFLMLLLGSVVVSLLMSFVWKEEVQLPWWGMLFAFALAFVVTLPIGQPGYDIIAQFMIGYALPGKPIANLLFKIYGRISTVHALSFLADLKLGHYMKIPPRCMYTAQLVGTVVAGVVNLAVAWWMLDNIENICDVEALHPDSPWTCPKYRVTFDASVIWGLIGPGRLFGRHGLYRNLVWLFLVGAVLPVPVWLLSRAFPEKKWIALINVPVISYGFAGMPPATPTNIATWLVTGTIFNFFVFRYRKAWWQKYNYVLSAALDAGTAFMGVLIFFALQNAHHDLKWWGTEVDHCPLASCPTRRASPSRAARCSESSKLSA >Sspon.01G0036400-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:18752751:18757065:1 gene:Sspon.01G0036400-1P transcript:Sspon.01G0036400-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAAGVGFQLIGAAAATFLAAVLVAAVLGRRRRPRPRPQAPLVEGKPAPEAGCAVGDGGTDVIIVGAGVAGSALAYTLGKDGRRVHVIERDLTEPDRIVGELLQPGGYLKLIELGLEDCVEEIDAQRVLGYALFKDGRNTKLAYPLEKFHSDVAGRSFHNGSVQLEQGTVTSLLEENGTVKGVQYKTKSGEELKAYAPLTIIPPEIYDSFIAAIDKGSIRTMPNRSMPAAPHPTPGALLMGDAFNMRHPLTGGGMTVALSDIVVLRNLLKPLRNLHDASSLCKYLESFYTLRKPVASTINTLAGALYKVFSASPDQARNEMRQACFDYLSLGGVFSNGPIALLSGLNPRPLSLVAHFFAVAIYGVGRLMLPLPSPKRMWIGARLISISHCRVHVASSSLSLKLKA >Sspon.01G0008310-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:23152760:23162811:-1 gene:Sspon.01G0008310-1A transcript:Sspon.01G0008310-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSLDAAAAFAVSTNDNNVDDVEDLYADLDEQVAAALAAAGESGGSNARDSDPVTDGEGEVPEPDANVAVDLGDGTEGYSSSDEESDDGLRIVLNEDTSAPLPPLPVGRGEGCVDKDEEGGDTGNRVKGSSVNDGGWATKQLRLGARSLVNETSGLEQESYKLKSVKAMSKVANDSGSEGRNGLGKVNMTLSPSNQSTSDDSSKLNHNGVATERHLRGSCFLVDRVVDKEVHDGGSSEGVGSKLDRRDSYFARDQSRSPDYFDTLSGESKENLYFKRSNRHSDFRDFFEDTKLQDEHVKSDFNCYSSKSGRENSESCSRGYTPSVDDRKVASKLLWRGEAPFAGRGKSGDLFVGCNSDRDLKSQHKTRKGQRRQSLDGGRNAIFVEKEKPTDSYPSRYGREYGKRRSSSSSLMTNYHNSVCNQSYEQRYSPLERIALKNDEHYFSDESNYHHRQSLSCDISEGEDDECFSSANEWQRDHDHIYHSMVNTDMPDADDGRIYRERYSQEKRRAIHDRSVDVEFSCYPDYRFCERQSPEVRGRYRDKGRFAKSNDGHFRHANHLELYPGLNNSEGDRPATGFPSMSSRNRCINNKKVRNAKMAQNNCHGYHQKNKQHDSSFCIGNIPRSALQTDTYAETGHFVLPIKRKLHSDLGPVDQKTLADLPLLKGRRLMHGQSIVSDMRIYALKLHKSTEKISTEAICCSSDMRNSNTVSNFFVGRRHELDNADNIHLNDRKIKFERQGNELRRVIENNQKGPHPVDKDLHASRHKHVHQKLRKQNMGHRHSGNQNLDKSADQKWLNEDVEVEEGELIEEEHHDIISKSKLKPRNAVLKSVNETSSAEQLQVNNTTLKDAACNNRATRECDEKHILEVMEKMQKRRERFKEAIAPKKEDGDKEDLSALACSTDYIQNQRPARKRQWGVSHIYKLRSAFLDALSTLSEGICPRQKANTRAAPLPKRT >Sspon.05G0003860-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:11222507:11232919:1 gene:Sspon.05G0003860-3D transcript:Sspon.05G0003860-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHRKFEHPRHGSLGFLPRKRSSRHRGKVKSFPRDDPKKPCHLTAFVGYKAGMTHIVREVEKPGSKLHKKETCEAVTIIETPPLVIVGLVAYVKTPRGLRTLNSVWAQHLSEEVRRRFYKNWCKSKKKAFTKYALKYDSDAGKKEIQLQLEKMKKYASVIRVIAHTQIKKMKGLKQKKAHLMEIQVNGGTIADKVDYGYKFFEKEVPVDAVFQKDEMIDIIGVTKGKGYEGVVTRWGVTRLPRKTHRGLRKVACIGAWHPARVSYTVARAGQNGYHHRTEMNKKVYKIGKAGQESHDASTEFDRTEKDITPMGGFPHYGIVKGDYLMIKGCCVGPKKRVVTLRQSLLKQTSRLALEDIKLKFIDTSSKFGHGRFQTTDEKQRPARMSHRKFEHPRHGSLGFLPRKRSSRHRGKVKSFPRDDPKKPCHLTAFVGYKAGMTHIVREVEKPGSKLHKKETCEAVTIIETPPLVIVGLVAYVKTPRGLRTLNSVWAQHLSEEVRRRFYKNWCKSKKKAFTKYALKYDSDAGKKEIQLQLEKMKKYASVIRVIAHTQIKKMKGLKQKKAHLMEIQVNGGTIADKVDYGYKFFEKEVPVDAVFQKDEMIDIIGVTKGKGYEGVVTRWGVTRLPRKTHRGLRKVACIGAWHPARVSYTVARAGQNGYHHRTEMNKKVYKIGKAGQESHDASTEFDRTEKDITPMGGFPHYGIVKGDYLMIKGCCVGPKKRVVTLRQSLLKQTSRLALEDIKLKFIDTSSKFGHGRFQTTDEKQRFYGKLKA >Sspon.07G0025580-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:36504673:36505794:1 gene:Sspon.07G0025580-2D transcript:Sspon.07G0025580-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKSVVLYPGLAVSHFVPMMQLADVLLDAGYAVVVALIDPADKQDVAIAAVVHRVAASKPLVAFHKLPRIEDPPTVIHDAQFLLRYLDLVSRYDQLLHGFLCAMPLGSVQAVVVDALSSGALDVAKKLGIPAYSFYATNASTVAVFHQLPTIRREDQPSFRELGDTPLNFHGVPPMPASHLVEQVLQDPESEVYKVMMDRFRRIPEAVDGILVNTFAWLETRAVEALGDLRRLPAAPPVYCVGPLLAVAGKEKEKHKCLSWLDEQPERSVVYLCFGSIGTGNHSREQLKEIALGLENSGHRFLWVVRAPPSDDPERPSDPRADPDLDKLLPDGFLDRTNGRGLILKLWAPQDVLRHKATGAFVTHCACNHPIL >Sspon.05G0004450-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:13342534:13351255:1 gene:Sspon.05G0004450-1A transcript:Sspon.05G0004450-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SARGKTGITFTDSSVTEPLLNPSVGQQAEAKRPCPYGRANILELVTFSWMNPNEVPDVDGKDAAEFLSDSFKKIIDDVERRHGLSTSSIYRAMFLLIRRKAMINAGFAVLSASASYVGPSLINDLVKFLGGERQYGLKRGYILAAAFLSAKVVETIAQRQWVFGARQLGMRLRAALISHIYQKGLRLSCSSRQKHTSGEIINYMSVDIQRITDVIWYTNYIWMLPIQLSLAVYVLHTNLGVGAWAGLAATLAIMACNIPLTRMQKRLQAKIMVAKDNRMKATTEVLRSMKILKLQAWDMKYLQKLETLRGEEYNWLWRSVRLSALTTFIFWGSPAFISSITFGSCILMGIPLTAGTVLSALATFRMLQDPIFTLPDLLSVFAQGKVSADRVVKYLEEEELNCDAVTQRGMKVAICGMVGSGKSSLLSCILGEMPKLDGTVMVSGRKAYVPQTAWILSGNIRENILFGNTHDKEKYEKIIQACALTKDFELFANGDLTEIGERGINMSGGQKQRIQIARSVYEDADIYLFDDPFSAVDAHTGSQLFKDCVMGILKDKTVLYVTHQVEFLPAADLILVMQDGKIVQKGKFNELLQQNIGFEAIVGAHSQALESVINAESSSRIPSDNQKSADSEDEFDTENETDDQLQGITKQESAHDVSQDISDKGRITQEEEREKGGIGKKVYWAYLRAVHGGALVPVASNYWMAWASPPTTATTPTVGLDLLFSVYIALSIGSALCVLSRSLLVSLIGLLTSEKFFKNMLHCILRAPMSFFDSTPTGRILNRASNDQSVLDLEIANKLGWCVFSIIQILGTIGVMSQVAWPVFAIFVPVTVVCFLCQIPLFDALLHTNSERVGSFIANSKAPILHHFAESLAGASSIRAYGQKEHFRKANLGLVDNHSRPWFHNISAMEWLSFRLNMLSNFVFAFSLTLLVSLPEGFINPSIAGLAVTYALNLNSQLASIIWNICNTENKMISVERIMQYSRIPSEAPLVVDHYRPPNSWPDAGTINIRSLEVRYAEHLPSVLRNISCTIPGRKKVGIVGRTGGGKSTFIQALFRIVEPRGGTIEIDNVDILKIGLHDLRGRLSIIPQDPTMFEGTVRGNLDPLNEYPDHRVWEILDKCQLGDIVRQNPKKLDSTVVENGENWSVGQRQLFCLGRVLLKRSNVLVLDEATASVDSSTDAIIQETIREEFGKCTVLTIAHRIHTVIDNPQATNLSYLVNKKPQQ >Sspon.07G0000920-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:7157623:7159472:-1 gene:Sspon.07G0000920-3C transcript:Sspon.07G0000920-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLVVILDLTWFSLYAVYASNQPKQLYTNPSPDYNDGALWNKDHGVGREAGRGTMQIYIMRGAFIGSIQIEYDRTGHSIWSSRHGNSGHITHRVKLDFPHEVLTCVYGYYNTNREDGPRVLRSLTFITNRGKYGPFGDEFGAYFSSATTEGKVVGFHGRSGQHLDAIGVHMQHWLGDRRPAPKYVLSKYLF >Sspon.02G0031210-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2B:107644267:107645951:-1 gene:Sspon.02G0031210-2B transcript:Sspon.02G0031210-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VIGGGRNFVGQLNNKWNPISLKEYDKFFESFACLKPNSMKSNDGLLLGIAQGENDRPEVAPSASPPKDGLHVIANPYPVEYGHIFLVPSAINQLSCYWDTRMIGLATKISSEVNNEAFRVFFDSGTSVVSGHMIFQACYFAIPLPVESASTFTVYDRKVRSDIIVSETVDYPLKALVFTSSNLKTLVSVLSEISFSLHDNATAYSLLISNNGTKAFLFPQVFA >Sspon.06G0029230-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:16420008:16420646:1 gene:Sspon.06G0029230-1C transcript:Sspon.06G0029230-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKLISVKLNDNNLTGNLLGVIGSMTNLVGLDLSKNNLNGSLPPELGNLNMLGSLNLSHNRFSRILPSSIERTKRLVILDVSYNNLEGLVPKGFHNASVNWFIHNMGLCGDYIGLPPYYSPPTHNSRKKHQKIVIAIGILLLASRKLEDTSTTIGRDVFSIWNFDGRIVFDDIINATENFDEKYCVRAGGCGSIYRAQI >Sspon.05G0005270-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:9463911:9467318:1 gene:Sspon.05G0005270-3C transcript:Sspon.05G0005270-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARAADENRRPAAGKPAPGVREMGSRRALTDIKNLVGAAPYPCAVAKKPTLQKSRRDEKKTALPSSRPMTRKFAASLASNQGQPECKPILTEYQPILTDPEPGVDQQKESIGDGTVDIDVELYEPVDDSDSDIDMGETENKEMNQDESLMDIDSADSGNPLAATEYVEELYKFYRENEAKSCVRPDYMSSQQDINSKMRAILIDWLIEVHYKFNLMDETLFLTVNIIDRFLEKEVVRRKKLQLVGVTAMLLACKYEEVSVPVVEDLVLISDRAYTKGQILEMEKLILNTLQFNMSVPTPYVFMKRECSRMMVDFHQKAGTGKLTGVHRKYSTYKFGCAAKTLPAQFLLESGGTPPPSGAI >Sspon.05G0023450-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:7399111:7403055:1 gene:Sspon.05G0023450-1B transcript:Sspon.05G0023450-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMPFASLSPAADHRPSSLLPFCRAAPLSAVGEDAAQHTMSSRWAARPALFTAAQYEELEHQALIYKYLVAGVPVPPDLLAPPTPGLPLPPTRPKDETRFIFVGYGTYFGKKVDPEPGRCRRTDGKKWRCSKEAAPDSKYCERHMHRGRNRSRKPVEAQLVPPPHAPQQQQQQQQQPAPAAGFQNHALYPSVLAGNGGGGVVGGGGGTFGMGPTSQLHMDSAAAYATAAGGGSKDLRYSAYGMKSLSDDHSHLLPGGMDTSMDNSWRLLPSQTTTFQATSYPLFGTLSGLDESTIASLPKTQREPLSFFGSDFVTAKQENQTLRPFFDEWPKSRDSWPELAEDNSLGFSATQLSISIPMATSDFSNTSSRSPNGIPSR >Sspon.03G0021310-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:87909384:87914587:1 gene:Sspon.03G0021310-2B transcript:Sspon.03G0021310-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAPKQRWTSEEEAALRAGVARHGVGNWRMILNDPELSSTLRYRSNVDLKDKWRNMNVIVTSSSARDRGRTSTRRTRAAPKNNDHSLALSTVTSDVDDEIVDVNPIPIASVPVEVWNTSNSKKSHSRLDNIIMEAIKNLNEPTGSHRTTIANYIEEQYWPPSDFDHLLSAKLKDLATSGKLLKLHVLKLKNLELFSMTSGSLQVNRKYRLAPSSPPLEGRSPKMMLLEDVQEPLKLGSDASRTLTRSQVDAELVRMATMTAEAAAAAAAHAVAEAEAIMAEAEAAAREAEAAEAEARAAQAFAEAAVLTLKNRNAAKL >Sspon.02G0015010-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:34701462:34702496:-1 gene:Sspon.02G0015010-4D transcript:Sspon.02G0015010-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRQPCCDKVGLKKGPWTAEEDQKLVTFLLTNGHCCWRAVPKLAGLLRCGKSCRLRWTNYLRPDLKRGLLSEEEERTVIDLHEQLGNRWSKIASQLPGRTDNEIKNHWNTHIKKKLRKMGIDPVTHKPLQPAPPPSQEPASPPPLTQQEQDTGGSPEEKEKEKAAVVAATPSIGHETFCTDEVLMAHLLDDIVLPPAAASNSGLTMASSPDSSSSSASPSSYSASVPASSSGGSSIADGEWPEWPPQMMEWPESMWLDDVVTGPATAWEFEDPFVTYQRIALLEQDHQAETWNNDGRVELF >Sspon.04G0003300-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:44974006:44977845:-1 gene:Sspon.04G0003300-1P transcript:Sspon.04G0003300-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGKEPIEVKFRLFDGTDIGPSKYDPNTTVAALKEFVLARWPQDKEIVPKTVNDVKLINAGRILENSKTLAESRVPVGEVPGSTSNSQTPRSRTDADARYCDVDASSNVYIGDHRDHNTHPSRIVSHAALEQGVSLSPGSLLQARSSTAAA >Sspon.01G0004540-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:12150207:12157118:1 gene:Sspon.01G0004540-1A transcript:Sspon.01G0004540-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAMTGGKFLQNFRLYETRSKFYLIGRDKTRIHWRVLKIDRLESTELGVEEDPTIYTENECQELLCRIHEGNKLTGFVKFLGPYYMVIITRRRKIGTICGHEIYSVAKSEMIAIPSVIVWPNVAYSRDENRYKRLLCSVDLSKDFFFSYSYNIMRSLQKNITEKNTGQVVYETMCVWNEFLTRAVRNHLKNTCWTVALVHGFFKQSKLSVSGKDFWLTLIARRSRHFAGTRFLKRGVNEKGRVANDVETEQIVFEDTSDGIPTQIASVVQHRGSIPLVWKLQGLILDQILYVMQHQCSSYFDVNVFTYAYITREKKPRESLLRAEFAKAIHYINKSLPDDKRLKFLHMDLSKLSRRKGTNVLALLNKVASDVLDLTEFLHCEISTSTKPDDTSSGEETVAKPRDDRSSRDQTECAAKLAPRLLQKGVLRTNCIDCLDRTNVAQFAYGLVLGLTEAQKIELHDPLADDLMDFYERMGDTLAIQYGGSAAHNKIFCEQRGQWKAATQSQEFLRTLQRYYNNAYTDHEKQDAINMFLGHFHPQQGKPALWKLDSDQHYNIGRQGTLKEEIGRSFIKRSLSDGNIMLENSLPITNCNNETNNTELLPMQQLDDIREPSDSAPEISICEPNPCSSMNYGTVPGRHSMSEERQSYLKRLGFPELHSSNFLDLDLLSSSGNSCDEEVFERSSLINSPLDVISVESSTSYSEQGHIDEGRDDTDLSRSSSQLSDVRDYSDRFAHWVANGGMLCY >Sspon.06G0019550-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6B:3307873:3308218:1 gene:Sspon.06G0019550-1B transcript:Sspon.06G0019550-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVEILDGSTVWSFVEDEGAFNSSVDGRFTALDANHDGLLTYAEMAGELMSLRVLQKHFGVDEAMVVEEGSFLKVAVDRELGQLAKAA >Sspon.05G0005390-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:11500886:11506196:-1 gene:Sspon.05G0005390-2B transcript:Sspon.05G0005390-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGCAALVAGGRLPPPALPRRLRRRRGSSVRAEVSPGGESQQRKVAVAGAGWAGLAAAHHLVKQGYDVTLLAAESGPTEEVGLRGFGHPYRNIFALVDELGISPFTGWNKAAYYSTEGLAFPNLPLIDRLTSIPVIAAVIDFDNTDTVWRKYDAMTAKELFKTYGCSQRLYKEVFEPAIQAALLTTDADTGCISAIVCGDDVYEADAFVSAMGLSSLQSIVKNSPFLRSDREFRNLLHLSTVDVISIKLWFDKKISQYALRLITITIPKVANVCSGFDDSSGWAFFDLTSIHDDYYEESTTIVEAESVCSGHLYQFENIQHFQPGCTYSCNLFSQYNAIHLVLVNDEDIVSEASSHLIKCIQDFEGATVIRYSIRRSPNSVINFLPGSYKYTLRGSTSFPNLFIAGDWIVNRHGSFSKEKAYVTGLEAANRVVDYFDTGDFAKIIAVEGDEPHIETLRSLNRRVNELNKELRVINAAAPVHVNLHRSMISEAFHTLNLVKSFLQFFDCDRATPVCIHVVEHLLQSLDLVFCEVMYEKAVET >Sspon.01G0017560-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:61948566:61950637:-1 gene:Sspon.01G0017560-4D transcript:Sspon.01G0017560-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSAAPVQPPPPRPVRFGILGCADIARKISRAMRMLPPGAATIAAVGSRSEDKARRFVAEIGFPAARVHGSYESLLGDPDVEAVYLPLPTSLHVPWATAVAARGKHLLLEKPTALCAAELDAILGACDAAGIQFMDTTMWIHNPRTAKMREIVSDKNAFGDVRVINSMCGFRASEEFLKNDIRVKPDLDALGVLGDVGWYCIRSILWAVDYEMPETVIAHRHPVKNQAGVLLACGATLYWADGKTANFNCSFLTNLAFDVTLYGTDGTLHVTDLVIPYEENSGEFSVASKSNFVKPTIGWDPLPRNHVVTTDLPQEALMVQEFTRLVQNIRDAGGRPEGKWPAITRKTQVVLDAVKASIDKGYEPIEVAS >Sspon.07G0033760-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:65548053:65563801:1 gene:Sspon.07G0033760-1C transcript:Sspon.07G0033760-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPPRAAGCPTNWRKEADERLRRLHSLLFGADAALEHGDAMVAQALALRLLGFLDSQALAAGAGPENAAFVAPIRAAASARLAAASRARVPDSDRAAFELARTDVGCVFAKQGDNNVERIQCSKYFQALLQKAKANASEQLNATFQEFSVQWASCVDESQADMENEKLSIRASKLMMQTKLTSLYSSKSLKANGIPNRNMFESDNSSKECAGVENRTSQNGNRHPTYLDADGNEKSCGQLQNAKWKHTGFRSPVCEVANSPSSNDEADAPANEFTTAKRMMGMDVVQKSARSGPQGASLSPQCDNNHSTRNYGVRPSWNSRRGPRGSFIPPIRNNGGSGTTISRVTGKNDESMEVSTRKCARLALPAPEEKRTVGQLKWSANYPKHAVSDNHQPIIEEPLSPEPEPENVEAKEGAIEDFFCEDPNEIPTINLNIEEFTQNLKNYMQANNVEIEYADMSKALVAITPDAASIPTPKLKNTHACEGAGRGKNLYDSLTYK >Sspon.08G0028260-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8D:8120918:8121328:-1 gene:Sspon.08G0028260-1D transcript:Sspon.08G0028260-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFRRLRLAPGSPGRRRNPGGARGPARHSTTNTSKVRAHEGCRVVSWRRDVPRPVMGSITWRFTHPGPQRVTRPRFTDLECHIRACNAPGFEIETHNTWPTALLSKPPKRVLLLLQRIKGHTLEKVRCRSSRMRDS >Sspon.06G0026510-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:79475975:79477759:-1 gene:Sspon.06G0026510-1B transcript:Sspon.06G0026510-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVTHLCNRTKIYVVNGQFPGPQIDVTDGDTVVVHVVNRLDHGLTIHWHGVRQIRSCWSDGAGFVTECPIPPGANHTYRFNLTGQVGTLWWHAHVTCLRATINGAFVIRPKDGRYPFRTPPAKDVPIIIGEWWQLDLVELDRRMADGNFDDNPLSATINGKLGDLSNCSGVPEESFVLDVERGETYLLRIVNTALFSEYYFKVAGHTFTVVGADGNYLTPFATDMVTVAPGETIDMLMTADAPPAHYHMVALANQPPEPDPQIPVFVSRGLVRYSGVRGDNNGLPVPVPLMPDQHNTLPSYYFHGNLTGLAYPGRHRVPMHVDERLFVTLGLGSLCRGGKKVCKRRRSDETIVVATMNNVSFHHPNTTSLLERYYDYGNGTDVYTEDFPEKPPHPYNYTNRELIPPGPLEEALEPTFKATKLRRFKYNTTVEIVFQSTALLQSDSNPMHLHGYDFFVLAQGLGNFDPKRDVAKFNYHNPQLRNTVQVPRTGWAAIRFVTDNPGMWYLHCHFEFHIVMGMATAFIVENGPTPETSLPPPPPEYKRCGPNGLSQP >Sspon.07G0000960-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:10420528:10423503:1 gene:Sspon.07G0000960-4D transcript:Sspon.07G0000960-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAIRVPKTQRARRELLKHAPKLVETSKKTLILHGTKTSAVLNSVLSDIYHLKRDNAVKYTKKNDNIRPFESGGESSLEFFSLKTDCSLIVYGSHSKKRPNNLILGRTYDHHIYDLVEVGVENYKSMESYVYDKKLAPKLGSKPFFAFIGEHFESAEELKHLKEVLLDLFRGEVVENLNLAGVDRIYVCTAISPTTVYMMHCALRLKRSGTSIPRMELVEVGPSMDLVVRRHRLPVESLKKEAMKTAEHAKKMKNVTKDPVQGRLGKVYMPDQQVGKLTLSNDIKGLKRERREAKKNKEASKKQKVNPE >Sspon.07G0002470-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:6126842:6130328:1 gene:Sspon.07G0002470-1A transcript:Sspon.07G0002470-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQVNRSMSLAGSKIPSGAFNAMFDYRGCWHRDAAATRSLCFDARLVELYSVEAVRANLALREDVKRDLPPFWDPPALAEFIDKYGTHVIVGVKMGGKDVVCVKQLKGSDLTQSDVQARLKKLADDRLSSSSQEDSTAGSGSGSDAGDGRLSQGLNDIVCIHIRRGGVDSGQCHSKWLSTITGFPDVISMSFVPITSLLTGVRGSGFLNHALNLYLRYKPPIEELQQFLEFQVPRHWAPEFGELPLCLQRRKNSLPSLQFTLMGPKLHVNTAKVDSCNRPVTGIRLFLEGKKNDRLGVHLQHLSATPGAVTVLGEAASAEDVAVNERDYIEPVRSPLLSHICTAPVQYKGARIDDCAAIVTSAWLEVRDSCCLRKVLFLRLGFSGVAAMKIRRSEWDGPFVVPRKSGSLSARLSAALSGGLAPVPVPPAEKVEVNSAIFPKGPPVPLPVQKMARHIDTTEVSRGPDDLPGYWVVTGAKLCMEGGKVALKVKYSLLIAVQEDTDHV >Sspon.07G0027110-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7B:58856796:58856968:-1 gene:Sspon.07G0027110-1B transcript:Sspon.07G0027110-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AAAALRSGAPWHLLSVWSDPGPSSDKRAAVAAALSAGLPHLATCGLRRSLDPRRKAH >Sspon.04G0017020-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:65904339:65904893:1 gene:Sspon.04G0017020-1T transcript:Sspon.04G0017020-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPAPAGAAAVPELALAPGPGEAKQGRAGEPRHRQCVGARLLSLAVQAAVTAAALAIFVLFAAASALLLLVLVLAARAFRHHRGSRYRVPSLDPPPPLRAGLSPADISLLPSFAFPGGSGGAGDESASASSSYSCAVCLEAARAGERWRAMPACTHAFHAACVDRWLARTPACPVCAPPSPSR >Sspon.03G0038410-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:4665647:4666720:-1 gene:Sspon.03G0038410-1P transcript:Sspon.03G0038410-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRMSTSRRASTLLCTLLLLSLLCFQLASAHGGIDDGDASTPPADADPSSVHRHLRSKGLIAVKVWCLVILLVFTFLGGVSPYFYRWNEAFLLLGTQFAAGVFLGTALMHFLADSTSTFHGLTKNQYPFSYMLACVGFLLTMLADCVVAAVTKRSGGGGGAQRVVNEAAGEEGDAHRYQTQTKEEDAAHAHRPTLVTVRTASFEDAVLLIFALCFHSIFEGIAIGVSATKSDTWRNLWTIGLHKVFAAVAMGIALLRMIPKRPFLMTVAYSLAFAVSSPVGVGIGIGIDATAEGRAADWTFAISMGFATGVFLYVAINHLIAKGYRPQKPTRVDSPSFKFVAVLLGVAVMAVVMIWG >Sspon.03G0031200-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:33105470:33109950:-1 gene:Sspon.03G0031200-2C transcript:Sspon.03G0031200-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSRSYTNLLDLAEGNFAALGPAGGSGRQRKGSFGMRRMSRVMTVPGTLSELDGEDESEPAATNSVASDAPSSLAADRVIVVSNQLPIVARRRPDGRGWSFSWDDDSLLLQLRDGIPDEMEVLFVGSLRADVPVAEQDEVSQALLDRFRCAPVFLPDHLNDRFYHGFCKRQLWPLFHYMLPFSSSASAATTSSSIATSSPGNGRFDRSAWEAYVLANKFFFEKVVEIINPEDDYVWVHDYHLMALPTFLRRRFNRLRIGFFLHSPFPSSEIYRTLPVREEILKALLNCDLIGFHTFDYARHFLSCCSRMLGIEYQSKRGYIGLDYFGRTVGIKIMPVGIHMGQLQSGLRLPDREWRLSELQQQFQGKTVLLGVDDMDIFKGINLKLLAFENMLRTHPKWQGRAVLVQIANPARGRGKDLEAIQAEIEESCQRINGDFGQSGYSPVVFIDRDVSSVEKIAYYTIAECVVVTAVRDGMNLTPYEYIVCRQGAPGSESMSEVSGPKKSMLVVSEFIGCSPSLSGAIRVNPWNIEATAEAMNEAISMSEQEKQLRHEKHYRYVSSHDVAFWSKSFIQDLQRACKEHFTRTCWGIGLGFGFRVVALDPHFTKLNMDLIVNAYEISESRAILLDYDGTLVPQTSINKEPSPEVLSIINILCSDSRNIVFLVSGRDKDTLGKWFSSCPKLGIAAEHGYFLRWSREEEWQTCTQALDFGWMQMATPVMKLYTEATDGSYIETKESALVWHHQDADPGFGSSQAKEMLDHLESVLANEPVSVKSGQFIVEVKPQGVSKGMVAERILASVKERGKQADFVLCIGDDRSDEDMFENIADIIERNVVDPRTSLFACTVGQKPSKAKFYLDDTFEVLTMLSALANATGPELETDSADELAASISSLDIGDDNQNPVIDQLEGLSQFSLAFSEYDDCFNSQFYTIF >Sspon.02G0027260-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:107574183:107576998:-1 gene:Sspon.02G0027260-4D transcript:Sspon.02G0027260-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHVSFYRNYGKTFKKPRRPYEKERLDAELKLVGEYGLRCKRELWRVQYALSRIRNAARHLLTLDEKNPRRIFEGEALLRRMNRYGLLAEGQNKLDYVLALTAENFLARRLQTLVFKAGMAKSIHHARVLIKQRHIRVGRQIVNVPSFMVRVESEKHIDFSLSSPFGGGPPGRVKRKNQKKASGGGGDGGDEDEE >Sspon.01G0008060-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:25982607:25983133:1 gene:Sspon.01G0008060-3C transcript:Sspon.01G0008060-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSAQSGRSLRGAAVVLREAGDVQQDHHDEHVRSVVRARGTVLAVHLPDLLAVAVGAPPEEQHQVVEDLGVLVQAHDGEERVEDLVDHLRPLLAPLVVGDGVVEHAGHEHEEQQQPGGHERQPDDHREVLGLPAPAHRVGPQLAPLDLPHERHAEPPPRGAAPAAVAVV >Sspon.01G0023260-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:82634003:82637939:-1 gene:Sspon.01G0023260-3C transcript:Sspon.01G0023260-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGISVMRSDYKYCKRTLKVYLFDGASYDATIAACDYHWNLLVLSVSFDRVVKPMKLVEISENINLKDPRLEKCSLLPHSSCENLYPGDTIIGLGRWPEEPFELKENRGLYSTDRWTAFRNLCQEMQIATFLNTYGAIGGPTINRNGRYCHPTIRVLGVNMYNAQSSPWVKVPVSLHEGLDSILVELLFEILAENIGKMVELTIVKAENGSIESVCLPVAEAEEENFHRLLPYHHLPTHTPV >Sspon.03G0041810-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:46790367:46796203:1 gene:Sspon.03G0041810-1C transcript:Sspon.03G0041810-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding EMGLLEIKHGLLQVAETLDFLHNNAHLAHRAISPEMVFITSNGSWKLGGFGFALSVDQATGGLTSSQLFHYSDTALPLQPSLNYTAPELVRSGDSKVGSACDMFSFGCLAYHLVARRPLLDCHNNVKMYMNALTYLTSEAFSNIPSDLVSDLQRMLSMDAVSRPSAMAFTGSSFFRDDTRLRALRFLDHLLERDNMQKTEFLKALSDMWKDFDSRVLRYKVLPPLCAELRNMVMQPMILPMVLTIAESQDKGDFELATLPALVPVFTSASGETLLLLVKHADLIINKATQEHLISHVLPMLVRAYDDNDPRLQEEVLRRTVPLSRQLDTKLVKQAVLPRVHGLALKTTVAAVRVNALRCLGDLVPSLDKEGILGVLETVRRCTAVDHTAPTLMCTLGVANAIYKQCGVEFAAEYVIPLIFPLLTAHQLNVQQFAKYMLFVKDITSKIEEKRGVTVTDNGNTEVKASPPLTNGIHSEPMSGQIPAAKSSPAWDEDWGPTKKTGVPSLSVDSSAQTKQPSVDPFDFSTQTNQSTTLPFDLSTRAKQPSLVSQVTAATIPPAQPQPSLQSLVPSSGPQTSGSCVPVDIEWPPRRSSSSDFNAPLSISKENDSGRLSNDGLDDIDPFADWPPKASNVTSISATEHRPSINQNISGFSSGNIGFGGSGNSMGQTKSNQMSWSNTSNLMGMNSTGSYLNQGNAALGFGNPIGGLSTGLSNPSSSSTGLSMMQTKSDFGSLSMSANNAAHGPPRLAPPPSTSVGRGRGRNQGQSALSRASRPPHSNSSSGQQPILDLL >Sspon.04G0019200-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4A:68416689:68417192:1 gene:Sspon.04G0019200-1A transcript:Sspon.04G0019200-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding TAPSHEPHRRRRPPHPPGQVHHGPLREPLRRRQPRRQLGKQEHRDLAREAVRKSLVLLKNGKPGGKSLLPLPKKPSYGGSVLVAGSHADDLGSQCGGWTITWQGLTGNNLTAGTTILDGIKRAVAPGTDVVYSENPDAGFVQQNKALFDYAIVVVGEPPMPRRSATT >Sspon.05G0039460-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:70718846:70720049:1 gene:Sspon.05G0039460-1D transcript:Sspon.05G0039460-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ALSPTVATVPTGTPGCNTTCGDVRVPYPFGFGPSRCYRPRFNLTCDTSHNPPRLLLHDNSTDTLQVVHISLRNSTVHVVHHCSSTRWMDEIDDYLDADVHLPDIGEPYVLSTRNEFIVLSGWDVRATLYGEYRNGSSSNSNSNNGDRIISRAVCNPVGDRGAGGPPVPTPTHRGYCTGHDGCCHAPIPAGSTPKRVELKALNGYHGIHHYGNWPSFLALAFISEVGLTDQWHKIVLHDDYSYKMSSPIVLQWSVKQGLSAPAANNSGKCPRDVLSNLCKSEHSDCRQENGGFTCHCTKGYDGNPYVANGCQDIDECSDPALHKSCLGGYCNNLPGHYECRCLQGMHGNAYEHGGCVANKAPT >Sspon.03G0028970-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:19697160:19699925:1 gene:Sspon.03G0028970-2P transcript:Sspon.03G0028970-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPAIRLLPLLVLVLFHLPVSLSSRHHRHRTPSPSPSPAPASSDSAPLAVLLACNATRFQPACVSTLSGAASDASTADLLAATLSALRARLPPAVSTAKSVLASSSNVNLTNAATNCLTFLALSSHRLSPSSPSPSLLSASTALLHLYDCWSAYKYVNFSRTISDAMAFLDATITVNSNYISMLAARQRYGDDTSLWRPPQTERDGYWPPAQTSVSDVDALGVPKGLPPNATVCGAGCDHRTVREAVAAAPDYGDGAFVVHVKEGVYRETVSVPWEKTNVVLVGDGMGKTVITGDLNADTSGVSTFNTATVGVLADGFMARDLTIANTAGPDAHQAVAFRSTGDRTVLDGVELLGHQDTLYAHAMRQFYTRCRVAGTVDFVFGNSAAVLHDTALVVLPRQLRPEKGENDAVTAQGRTDPAQPTGIVLSRCAVNGSDEYLALYRENPGVHHVYLGRPWKEYSRTVYLGCTLAEIVQPQGWMPWSGDFALKTLYYGEYDSAGPGGGGAASRRVAWSSQVPKDHVDAYSVANFIQGHEWMPKV >Sspon.04G0016880-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:67616669:67621118:1 gene:Sspon.04G0016880-2C transcript:Sspon.04G0016880-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVVCVTGAGGFIGSWIVKMLLARGYAVRGTSRRADDPKNAHLWALDGAAERLTMLQVDLLDRDSLRTAFHGCHGVIHTASPMHDNPEEIIEPIIAGTRNVVEAAADAGVRRLVLSSTIGTMYMDPRRDPDAPLGDSSWSDLEYCKSTQNWYCYAKTIAEQGAWEAARARGLDLAVVIPVVVLGELLQPSMNTSTLHILKYLTGQTKEYVNESHAYVHVKDAAEAHVRVLEAPGAGGRRYVCAERTLHRGELCRNLARLFPEYPIPTRCKDLVNPPKKGYKFTNQPLKDLGIKFTPVHEYLYEAVKSLQEKGFLQKTSNTKVPEQSQPPVLISRL >Sspon.06G0023150-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:42670456:42677606:1 gene:Sspon.06G0023150-2C transcript:Sspon.06G0023150-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQQTGKRPRQHREYEREERKDQHKRPFPHAQESSNNDGLVLYRILCPDSLIGSVIGKNGNVINAIRQQTSAKVKVVDPYPGADKRVILVYCYIKHRDLDAEGHDNEPVCAAQDALLRVHNAIVDALHTLQKNRRDSDKKNTEEANILVPASQASNVIGKSGAVIKHLRSTSRAFIKVSPKDPSDATHSCAMSFDNFVQITGGAEAVKKALFGVSTIIYKHPSKENIPLETSIPEPTPSIIIPSELPVYPASNFYSAPDASIPSVHPSMSILGSTRHVPELALPANDHGRLPIYQSILPVIPTYSAPKCSGELEFRVLCPGGKIGLVIGRGGATIKNIRQESGARIDVDDTKNDKEESIITITSTEATDDVKSAAVEAVLLLQAKINDYEDDRMNLRLLVPNKVIGCLIGRGGSIVNDMRKKTKANILISKGDKPRRASSSDELVEVSGEADKLRDALVQIILRLREDVLKESVESQNSDRDGKLTVATSDSLYGSSLSLPALLPHNPQIAPLSYDRRGESESSGSIPSYQFVWVTDDGYGGLPSYTSKSYEEHVPRVEMPVPASSLSKVMGKRGTNLDNIRKISGAHIEIIESKSSRHDHIAYISGTSEQRQSAENLIKAFIMST >Sspon.07G0028420-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:66391934:66400375:1 gene:Sspon.07G0028420-1B transcript:Sspon.07G0028420-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRSEAPTLLLEGRPRVYFEGCPGCANDRRKAENPGIPYGQFFHIWIIILVSCLPISLLFPFIYFMIRDLHIAKRVEDIGFYAGFVGASYMLGRALTSTAWGMIADRIGRKPVIILAIISTLAYAVEVCRTEHQAIGLTLFSTSWAIGLIIGPAIGGYLAQVPIFLTMFVCIVFLFGYPHKLHLAPGVHVYTMLYTHMLTSVILIQI >Sspon.02G0036150-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:23090212:23090868:-1 gene:Sspon.02G0036150-1B transcript:Sspon.02G0036150-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DMAMTTTPKSTTTSPGAYVAKPPPPPPGYVVHNKAAAAAYDSYNGRHGGAAATATSRASAARHDYDDRHQQAGARALDGLLLLLRAAASVMSFVAVALVASCRHGDWMEFARYPEYRCLLGASVLACVYSAAQALRGFRRSGGGLAGFAGDQVVAYLLITASAAALPITLRMRSAVLNVFTDAMTAAISLGFIAFAALALSAMLSGFTLSAAAAAAQPY >Sspon.08G0012270-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:51153393:51157422:1 gene:Sspon.08G0012270-4D transcript:Sspon.08G0012270-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLRKHLLGCFGAGGGEQEQEQEPAAAGRRPGGKPTLRRLSTANLRSLSLQDLSRKLETTKLHAFTLDELKAATKNFSTSNFLGEGGFGPVYKGFVDGRLRPGLQPQHVAVKYLDSDGVQGHREWLAEVVYLGMLSHPHLVKLVGFCNQDDHRMLVYEYMPRQSLENHLFKNLLASLPWSTRLKIAVGAAKGLAFLHEAETPVIYRDFKASNILLDSDYTAKLSDFGLAKEGPQGDATHVTTRVMGTHGYAAPEYILTGHLTAKSDVYSFGVVLLELLTGRRSVDKRRGRREQNLVDWARPYLRRADRLHRFMDPSLEMQYSARAAEKAAKVAHQCLQSVPKARPSMRDVVDALEPLLALDDDVPMGPFVFTVGGAAAEAEAKPAAPAQVVEACVDGVTVTNDEQAEADAEAEAGSRQGKRHVMSAVHAESPLRSRELLISEEMNCDVVVRSLGLIFLYGAPTFGCTNASAAECAREQVKRYDQLNNSHEKIISKCCRDYQGEKLSKFLPGESVVRTTMSMACSLEGRSMALPGAATPPELSP >Sspon.02G0009230-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:11894732:11898896:1 gene:Sspon.02G0009230-1P transcript:Sspon.02G0009230-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGSSRRPVAVVMAWVRRQPPKVKAFLAVVAGMAALVFIRFIVHDHDNLFVAAEAAHALGIAVLIYKLTKEKTCAGLSLKSQDLTALFLAVRLYCSFVMEYDIHTILDTATLAATLFVIYMIRFKLRSTYMLDKDNFGLYYVVLPCAVLALLVHPSTSHNIVNRICWAFCVYLEAVSVLPQLRLMQNTKIVEPFTAHYVFALGVARFLSCAHWVLQVLDTRGRLLTALGYGLWPSMVLLSEIVQTFILADFCYYYVKSVFGGQLVLRLPSGVV >Sspon.08G0002490-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:5470305:5473417:1 gene:Sspon.08G0002490-2C transcript:Sspon.08G0002490-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEGFGKSWLGLGIGGGGGGGGCDLMKRSRPPVQFDLMLFPQSVKEEAAVTASKKAEKGARKRLKVTADDDGQSSHGGPSPRDGGGDDDGAGAGTTKKLRLTKEQSTLLEDTFRAHNILSHAQKQELARQVNLSARQVEVWFQNRRARTKLKQTEVDCEILKRYCESLTGENQRLRLELAQLQRSAAPAGPGLYVQSFLSPATAMAASVACPSCDKKLRLTKEQSTLLEDTFRAHNILSHAQKQELARQVNLSARQVEVWFQNRRARTKLKQTEVDCEILKRYCESLTGENQRLRLELAQLQRSAAPAGPGLYVQSFLSPATAMAASVACPSCDKVTVTSGGGETSGKSSTSYSS >Sspon.07G0026930-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:57433638:57435056:1 gene:Sspon.07G0026930-1B transcript:Sspon.07G0026930-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLRALRRALPALSSPAAVLPRRAPHPLPPPPAPLRFLDPIGLRPFSAAAAAVTASQAPSMGATLFGGLMDTRFPKRRPGFANRRKRASLRPKGPYYWVKCKPDEPIPASQPNQGSVRGRKEKKRIKQRKDFIMAEKKKRRAQYSAAVKRKEAERTERKMAAVARERAWAERLIELKQLEEEKKAAMA >Sspon.06G0016180-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:53003288:53005823:1 gene:Sspon.06G0016180-1P transcript:Sspon.06G0016180-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKGGKGLIAAKIAAGMDKEKKAPITRSARAGLQFPVGRIHRQLKERSQASGRVGATAAVYAAAILEYLTAEVLELAGNASKDLKMKRISPRHLQLAIRGDEELDTLIKGTIAGGGVIPHIHKSLINKGVKE >Sspon.02G0040060-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2B:64650237:64650746:-1 gene:Sspon.02G0040060-1B transcript:Sspon.02G0040060-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SAGRELPRRPLRGRCSAHPLRGASSLAGRYSAVRARVRRAGRELLRQLRLHCAGASPPGRLRAPRVHKFAECVSSLAGRDSAARARVRRAGRELPACASPPRARASPPATTPPRARVRRAGRSSSGHARSSSGRRLLRRMRRQQVLRLLATAFTGTVGRNRETGGTKKD >Sspon.04G0004260-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:12894747:12896994:-1 gene:Sspon.04G0004260-1A transcript:Sspon.04G0004260-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQASGKVAKRLMRGSNVHNDQLITLLGRTPRDRGELFAAGGHEPDHTPSSASTQTPHDLSDEPVHPRSVGQSSKRFTRDHSVDSPRKKSSKTHSIDETLDDMSDVIRKVSKCHGREDSYDEEIAQVKQILEEDGYSEEDMSSTEESSSSDSSVKGMEDLLNLVSIAVLVRRLKVLLANQSPPVNPPCPIPKLTGAQWMKLTLDNPTACVDNLRMSHDAFMHLHNMLLPYGLPCTDKCDSVEAL >Sspon.04G0019140-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:68189460:68189621:-1 gene:Sspon.04G0019140-1A transcript:Sspon.04G0019140-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding EGCLADDVDGHPERELAEGDAVLVRAAGDVGHQYVHIRLHHWNQPGKPRWLVER >Sspon.06G0009810-1P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6A:52447269:52448118:-1 gene:Sspon.06G0009810-1P transcript:Sspon.06G0009810-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSTYFLLVAFVALVISQATASDPSPLQDFCVADKHSPVKVNGFVCKDPMAVNADDFFKAAKLDQPRDTMKSKVGSNVTLINVMQLPGLNTLGISMARIDYAPLGQNPPHTHPRATEILTVLEGTLYVGFVTSNTDNGNKLFTKVLKKGDVFVFPEGLIHFQFNPIHDKPAVAIAALSSQNPGAITIANAVFGSKPPISDDVLAKAFQVQKGTIDWLQAQFWENNHN >Sspon.04G0003090-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:10283375:10287987:-1 gene:Sspon.04G0003090-4D transcript:Sspon.04G0003090-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRPLYRGVSGIGGKLAAADEAYYDPKEPSENGIGGGGDFGRGGARKRHLAAAAVKIGVLLLAAAALVGSVAWAETLYAGRGAAARAAAAAAHRGYRRLQEQLVTDLLDIGELAGGGVRAKEAEVCPPEYENYVPCYYNVTDAVDVSDLGAGVVISYDRQCTRDGRVTCLVAPPRSYRIPVRWPSGKGFIWKDNVRISGQEFSSGSLFKRMMVEEDQISFPSDAHMADGVEDYAHQIAEMIGLRNEFNFNEAGVRTVLDIECGFGTFGAHLFERDLLTMCIANYEASGSQVQITLERGIPAMIGSFATKQLPYPYLSFDMVHCAKCNIEWYKNDGIFLVEVNRLLRPDGYFVWTSNLNTHRALRDKENQKKWTAIRDFAEGLCWEMLSQQDETIVWKKTNKRECYNSRKSGPELCGHDPESPYYQPLSPCISGTRSQRWIPIELRSTWPSQARQNSTELDIHGVHSEVFADDTSSWDSMVRNYWSLLSPLIFSDHPKRPGDEDPQPPFNMLRNVLDMNAHFGGFNAALLKAGKSVWVMNVVPTNAPNYLPLIFDRGFIGVQHDWCDAFPTYPRTYDMVHADGFLSLEKNHKHRCSTLDIFLEVDRILRPEGWVIIRDTAPLIEVARSVVTQLRWDARILDLDIASDEKLLVCQKPFIRK >Sspon.02G0011110-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:5278149:5281874:-1 gene:Sspon.02G0011110-4D transcript:Sspon.02G0011110-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFPRPLLAVLFATVLASSSLSTPAHAACAAEKISGNRVFATCADLPRLGASLHWSYEAATSSLTVAFLASPPSGGWVAWGLNPQAQSMVGTQALVAVPKGSGGGYEVQTYSISGYSLGNPSPLPNYQTSDLAAEVGADGRVQMFGTLKLQNGTGAEVNQVWQVGPYSGGIGAHDTQPGSDSMNAKGTLNLLTGATTAASGGGSILRKKNIHGILNAVSWGLLLPMGAIFARYLKTFKSADPAWFYLHVACQLIGYGVGVSGWATGIHLGNLSKGITYSLHRNIGIAVFALGTLQIFALFLRPKKDHKYRLYWNIYHHSVGYTIIILGIVNIFKGMSILQVEQKWKTGYIIAIAILGAIAVILEVVTWGIVLKRRKEDSKTYNGTSNGGRLPLSM >Sspon.03G0016650-1P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3A:52734281:52736242:1 gene:Sspon.03G0016650-1P transcript:Sspon.03G0016650-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MISMSYKKLVDLKPGSVILCADGTITLTVLHSDKEQGLVRCRCENTWMLGERKNVNLPGVIVDLPTLTDKDKEDILKWGVPNKIDMIALSFVRKGSDLVEVRKVLGEHAKSIMLMSKVENQEGVANFDDILANSDAFMVARGDLGMEIPIEKIFFAQKVMIFKCNIQGKPVVTATQMLESMIKSPRPTRAEATDVANAVLDGTDCVMLSGETAAGAYPELAVQTMAKICLQAESCVDHAAVFKSIMASAPIPMSPLESLASSAVRTANSAKAALILVLTRGGTTARLVAKYRPSMPILSVVVPELKTDSFDWTCSDEGPARHSLIVTGVIPMLSAGTAKAFDSEATEEALGFAIENAKAMGLCNTGESIVALHRIGTASVIKLLTVN >Sspon.03G0026520-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:8385899:8387140:-1 gene:Sspon.03G0026520-2C transcript:Sspon.03G0026520-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRATSCSGAKAGASGAPAAPQPQPQQGRANVVLTPAAAAVPRAGRATCSSAMKGPGADGPAHACPYAYCSFKGHAHAPPLGSFVAERRRLIKTQQSMKLKGASPFRKPSTGGGGGRGYFVEIRAGSAAPTVTSDVSCSDLSAEDAPEGLGAASVDDGSCGSSDVISVGSVELLGTTEHRGREEKETLVVMVDHEGRDFGGCKSDISEELLDANHGVNVPEGEFPCNASSIPTARAHVGIEKQLLMPDERHGDFAGGVGDAPKESSVDSISSSLSGISFEDVSSACVYAASSHKKNRSSIARRRRTSEQGVKQIRPFKPKPPNFLPAETGPEAEKVDLKHQAVDDRRAAEEWMVDYALRKEVKKLARAQKRKVEMLVQAFESVLPTVANEKKPEQHDNGDKRTFTLTWPSQACS >Sspon.04G0011980-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:37171171:37174460:-1 gene:Sspon.04G0011980-3C transcript:Sspon.04G0011980-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hexosyltransferase (Fragment) [Source:Projected from Arabidopsis thaliana (AT3G25140) UniProtKB/TrEMBL;Acc:W8PVC6] LIKSLPVLFFPKAPPPAPVHHCTAHHHSSALHPPSPSCNARGSGGVRALKPSHGSRRREPRAGCRRPRSPPLPPRRRRGARCLRGRGRVRGRERGPPPGGADPEAGLGRGRIRRRARRGVAPPPPRPRAAPPPALLPPPQPHQHAPRPLHSRRLRLGPYLRLRLRLPPANNVSSSRQLDLQAKDLIRAARAAIADSKPLFDPQLKIQRLKDTIFAQNELLARAKKRGAFASLIAAKSIPKPLHCLAVRLTAERIARPDHYADPVPPPPALEDPALFHYAIFSDNVLAASCVVRSAVANSNDPSKHVFHVVTDRMNLGAMQVIIRRMDLKGAHYEVKAFEDYKFLNSSYVPVLRQLESANLQKFYFENKLENATKDASNMKFRNPKYLSMLNHLRFYLPEMYPKLHRILFLDDDVVVQRDLTGLWKIDMDGKVNGAVETCFGSFHRYAQYMNFSHPLIKAKFNPNACGWAYGMNFFDLDSWRREKCTEQYHYWQNQNENRTLWKLGTLPPGLITFYSTTKPLEKSWHVLGLGYNPSISMEEIRNAAVVHFNGNMKPWLDIGMNQFRHLWTKYVDYDDSYIRQCNFAPP >Sspon.02G0014940-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2B:38290185:38291179:1 gene:Sspon.02G0014940-2B transcript:Sspon.02G0014940-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTLNRGEAARHRLVLPEQVQEGDQAAEWRAGGRADGVHRAEQGDRRRGPHDVGLRPGAAGHAALRDGEEEAGRAAAGQQAEQQFSYDLQLVIDECKTFFFAGHDTSALLLTWALMLLATHPEWQDRARAEVARVCGDDPPSYDDLSKLTVLQMIIHETLRLYPPATLLPRMVFEDIRLTGGLHLPRGLSVWIPVLAIHHDESIWGPDAHEFRPERFAAGRRPAFLPFASGPRNCVGQAYALVEAKVVLAMLLSTSASPSPTATATR >Sspon.03G0026760-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3B:3798684:3805841:-1 gene:Sspon.03G0026760-1B transcript:Sspon.03G0026760-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRPPKKPKEAQPVPKQLKVHNPENEPLSRFFLEKWRAMMQEPDRLSPNNYLAFAKANCSLCSSKEPIRTLKDFSNVKAKAIGKDFMMKQELIDAAEASGLSRDAIGPNKSKAKQSYGKDWYTGWSCMKTLLSNRLVTKWSNPAKYMLTQEGEGTARECLSRSGLDDSHDTSAMNPSVARHSPELVGGSSLTKKKSSCYRQVQTTARSLLLVVDCQIFLFHSKEHPNCNLLQWSRSRKVADNIRSQSHFGVEVRQLPVGDGIWIARHKEDHTEYVLDFIVERKEVMDLDGSIEDNRYKDQKFRMQKCGLRKLIYLVEGDPNRAPQRVKTACFTTEILDGFDVQRTTGFADTQKRYIDLTHSVIAYYDANFSIVGKTSHVCPTFDEFKRKCCELKKKTVSDIFALQLMQVPKVTEEAALAVVELYPTPFLLAKAYLILDGDILAQEKMLKNKNEMVNAGASRNIFHFVWGDGGNTLDPVPN >Sspon.04G0019290-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:74756344:74757410:-1 gene:Sspon.04G0019290-3D transcript:Sspon.04G0019290-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSSFKPNPLSLSVPDPALDRWLRDSGYLDLLDSTTGGPSSASAPSTSASAAASPVAGPVSSGVAADVLAFARTLASLLALNPFARLSTADLAAPTPSWSLAFVGLPGAASYSWPPTPTQARLRVQENVRRYARNYAALTILVFACCLYRMPMALLGMLASLAVWEGVRYCRDHWGLTTRAPGIAQALLHCAQIGEYDVPANTATLA >Sspon.08G0027610-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8C:52693119:52693502:1 gene:Sspon.08G0027610-1C transcript:Sspon.08G0027610-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPHGTFLHADADEISERRPVISPRGRGWDFSLPPVRAAPPPRRRALQPPPLTGAQSTATSSLTLLPAQSTATSSLTLLPLPARALLAGAPAPTSTTLGMLALPRRMCLPTVKGRSGLHTLGSTGGR >Sspon.05G0021170-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:89294422:89297917:1 gene:Sspon.05G0021170-1P transcript:Sspon.05G0021170-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSVYRGFDEERGVEVAWNQASLADVLRSPDAVQRMYSEVQLLSSLRHDGIIGFHASWVDVPGRTFNFITELFSSGTLRSYRLRYPRVSLRAVRSWARQLLGGLAYLHARDPPVIHRDLKCDNIFVNGHQGQVKIGDLGLAAVLGRRGGAAHSVIGTPEFMAPEMYDEEYDERVDVYAFGMCMLEMLTVEYPYSECSNPAQIYKKVTAGRLPNAFYRVDDDDARRFIGRCLVPAANRPSAAELLLDPFLIDDHGHRHHHQVAATGTVVPVPPSLPAAVAAAGAPPPSTCSSSVDDVVSASSSYDEVEHQQPQHPPPRNDMTITGKLNAEEDTIFLKVQIADEASGHARNIYFPFDMANDTAAEVAQEMVKELDITDRDASEIAAMIQQEIGRLLPGRAQQQHEYTYAERDDDDDDENNEERPPPFCCYLSSSPASSHGSHCGVGPYASGGFSGPCGSGWSKDHHHWYALSDDDDMSSVHSGKYSPLHYASGADEAEPMPSCCTGSGSSKTRFGGGSGGGGGSSAAVQLARQLQRQCSMSPQHQHAGRPRRREDDDGTSRRRRMTRNRSMVDMRSQLLHRTLVDELNRRLFFNTVGAVENIGFRAPTTTSPSASSSSASAAARGGLDRGIRRSGGGKQPLDDKQQYFML >Sspon.06G0003450-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6B:8603824:8607199:-1 gene:Sspon.06G0003450-2B transcript:Sspon.06G0003450-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVDTATKEMEALHVGQNEETKADLIKEGKTANSNGVVPAAQSSPPEDDDEAQADDPSQDGAPGGNSALQLPLKWISSEAAKKKKKKNKSKKKKGPLQQTDPPSIPVDELFPSGEFPEGEIQQYKDGNLWRTTSEEKRELERLQKPMYNSVRRAAEVHRQVRKYMRSIIKPGMLMIDLCETLENMVRKLIKENGLQAGIAFPTGCSLNWVAAHWTPNAGDKTVLQYDDVMKLDFGTHIDGYIVDCAFTVAFNPMYDPLLQATRDATNTGIKEAGIDARLCDVGAAIQEVMESYEVEINGKVFQVKSIRNLNGHSIGPYQIHGGKSVPIVKGGEQTKMEEGEFYAIETFGSTGRGFVQEDLECSHYMKNFDVGHVPLRVAKAKQLLGTINNNFGTLAFCRRYLDRLGETKYLLALKNLCDSGIIQPYPPLCDVKGSYVSQFEHTILLRPTCKEVISRGDDY >Sspon.04G0028980-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:75207506:75210879:1 gene:Sspon.04G0028980-2D transcript:Sspon.04G0028980-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAGEKARVVVVGGGPAGSLFAKTMQGHADVVLLDPNITWCALNHNWNVPSYMLSNDRKEYLEIPYAELRSMVEPSFAERSLIYHKDYLNDATIVTSSAVNITKHVVLTADGQSLPYDYLVIATGHALTSPASRAERIKEFQRDNEKIESSESVLIIGGGPTGVELAAEIVVDYPGKKVTLIHRGPRLLEFMGEKASKKCLDWLTSKKVDVLLHQSVDVASLSDTKKVYRTSGGETVIADCHFVCIGKSLSSSWLHDTILKESLDDKGRVMVEMDLRVKGYNNIFAIGDITDIPEIKQGYNAHKHALLVARNLKLLIKGLPSSKLATYSTGYPLALVSLGRKEGLAQIPLLTLCGCLPGKIKSGDLFIGKTRKEMGLNG >Sspon.07G0009200-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:25662909:25690477:1 gene:Sspon.07G0009200-1A transcript:Sspon.07G0009200-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein unc-13 homolog [Source:Projected from Arabidopsis thaliana (AT5G06970) UniProtKB/Swiss-Prot;Acc:Q8RX56] MPLRRTVWYPPASTRLENVVELLQRYRRDRQVLLNYILSGNLIKKVVMPPDIDQVSVDYVLNCAKKGEPLDLGDAIRLFHDSLDYPYVNNTGAVEEFYLLTKPEYSGPAPTREPPPIPATAPSPVVIPPPDVEPAPVIVSSPVAATNLTKSQSFDSPTEKELTIDDIEDLRMMKMNLIVEGLLEDIKLMPVIYRCGITDDDLRETAYEILVAAAGASGGLIVPQKEKKKEKRHRLMRKLGRSKSESVDTSTQRQPGLVGLLETMRAQLEITESMDIRTRQGLLNAMVGKVGKRMDNLLIPLELLCCISRAEFSDMKAYLRWQKRQLNMLEEGLINHPVVGFGELGRKVNELRSLFRKIEESESLPPSAAEVQRTECLRSLREVATSLSERPARGDLTGEVCHWADGYHLNVALYEKMLGSVFDILDEGKLTEEAEEILELLKSTWRILGITETVHDTCYAWVLFRQIPLKEQRGPQERLHLKSLRSSVDAEGSYQDFTFFQSFLSPIQKWVDKKLNDYHLHFSEGPSLMADVVTVAMLTRRILYEENDKALESPDRDQIDRYISSSVKSAFLKMAHSVEFKADATHEHVLASLAEETKKLLKKDTTIFTPVLTKWHPQAAVVSASLIHKLYGNKLRPFLEHSEHLTEDVVSVFPAADALEQYIMSVIASVTGDDGLDSICRNKLAPYQIESKSGTLVLRWVNGQLERIETWVKRAADQEVWDPISPQQRHGNSIVEVYRIIEETADQFFAFKVPMRDGELNNLCRGLDKAFQVYTQLVTAPLVDKEDLAPPVPVLTRYKKELGIKAFVKKEVQEVRTVDERKASEITQLTMPKLCVRLNSLYYGISQLSKLEDSINERWARKKSEKTNIRRTTSEKSKIAVHNQKNQFDGSRKEINTAIDRLCEFTGTKVIFWDLQQPFIENLYRNGVAQARLDTITEVLDLVLNQLCDVIVEQLRDRVVTGLLQASLDGLLRVILDGGSTRVFSPNDAAFLEEDLETLKEFFISGGDGLPRGTVENLVSRVRPVINLIKQETRVLIDDLREVTQGGKSKFGADSKTLLRVLCHRHDSEASHYVKKQFKIPSSAPSA >Sspon.02G0026050-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:90527247:90531960:1 gene:Sspon.02G0026050-1A transcript:Sspon.02G0026050-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ARKPLDYEELNENVKKVRYAVRGELYLRASELQKEGKKIIFTNVGNPHALGQKPLTFGRQVVALCQAPFLLDDPHVGLMFPADAIARAKHYLAMAQGGLGAYSDSRGIPGIRKEVADFIYKRDGYPTFPELIYLTDGASKGVMQILNTIIRNERDGVLVPVPQYPLYSASIALYGGSLVPYYLEEEANWSLDFVNIRKTVAEARSKGITVRAMVIINPGNPTGQCLSEANIKEVLQFCYHENLVLLADEVYQQNVFQDERPFISARKVMFDMGPPLSRELQLVSFHTVSKGNWGECGQRGGYFEMTNLPPKVGVMVNPPKPGDISYAKFAAERLSVHESLRRRARMMTDGFNSCRNVVCNFTEGTMYSFPKYGCHQERLRRQKEPAKQQTFSTASSSWRQQEFPLFRALVLGKRKGNSTFGQLSFQRRKTSLPSCRASRSSTTRSWSNTKATPGCEQ >Sspon.08G0009060-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:34667704:34671509:1 gene:Sspon.08G0009060-4D transcript:Sspon.08G0009060-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sodium/hydrogen exchanger 4 [Source:Projected from Arabidopsis thaliana (AT5G55470) UniProtKB/Swiss-Prot;Acc:Q8S397] MAAAWWEEELVVTAAAADGTGGSSTTVVSICVFTAVLCLCLVTGHLLEENKWVNESITALIIGCITGAIIFLLTKGKHLHILRFDKQLFFIYVKKKQFFHNFMTIMSFGVFGVFISGAIVSAGCYWLFPKVGFGELDAVDYLELISCVIAALGAIFSSTDTVCTLQVSYTLHSEFLAHLPNTCSTSNCLIHGAETQVINQDETPRLYSLVFGEGVVNDATAVVLFNVIKNLDVSQLKGGVVLKLISDFLYLFATSTIIGISIGLATAYVLKALYFGRHSTDREVALMALMAYLSYMLAELLELSGILTVFFCGIVMSHYAWHNVTESSRITTKHIFATLSFIAETFIFLYVGMDALDIDNFKTSIGIFGIVILLILLGRVAFVFPISILSNTMSGSSERTPITFKHQFTFSGVTSDPVHATIITSTIVVVFFTTLVFGFLTRPLISAMLPRHSRALSRGHSTGSNSPKDDFTLPFLSADEGASGSGIVLEQAKRSLSIMLERPVHTVHIYWRKFDDRFMRPIFGGLQSY >Sspon.02G0006030-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:19261780:19262890:-1 gene:Sspon.02G0006030-3C transcript:Sspon.02G0006030-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRGKAIGSGAAKKAMSRSSKAGLQFPVGRIARFLKAGKYAERVGAGAPVYLAAVLEYLAAEVLELAGNAARDNKKTRIVPRHIQLAVRNDEELSRLLGTVTIASGGVMPNIHNLLLPKKSGGGSAKAAAGDEN >Sspon.07G0012790-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7A:46379147:46379832:-1 gene:Sspon.07G0012790-1A transcript:Sspon.07G0012790-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MELAGVTPTGAIVHGRSEIETLQRSNGRRFSSSAEPGGSLHCVSVMWFCVLGRGNCERESKRGAGTGLRAPIWSPSRGAPTRKDRGRGVTVPPERVRRGMAGGWGRRR >Sspon.01G0027630-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:96714100:96728762:1 gene:Sspon.01G0027630-1A transcript:Sspon.01G0027630-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRECISIHIGQAGIQVGNACWELYCLEHGIQADGQMPGDKTIGGGDDAFNTFFSETGAGKHVPRAVFVDLEPTVIDEVRTGTYRQLFHPEQLISGKEDAANNFARGHYTIGKEIVDLCLDRIRKLADNCTGLQGFLVFNAVISSLTASLRFDGALNVDVNEFQTNLVPYPRIHFMLSSYAPVISAEKAYHEQLSRAVCMISNSTSVVEVFSRIDHKFDLMYAKRAFVHWYVGEGMEEGEFSEAREDLAALEKDYEEVGAEFDEGVFVLAYLRDSKLFPFSHFLPPPPLHPSDDTMRECISIHIGQAGIQVGNACWELYCLEHGIQTVGGGDDAFNTFFSETGAGKHVPRAVFVDLEPTVIDEVRTGTYRQLFHPEQLISGKEDAANNFARGHYTIGKEIVDLCLDRIRKLADNCTGLQGFLVFNAVGGGTGSGLGSLLLERLSVDYGKKSKLGFTVYPSPQVSTSVVEPYNSVLSTHSLLEHTDVAVLLDNEAIYDICRRPLTLSAQPTPTSTGLCPRYFLVISSLTASLRFDGALNVDVNEFQTNLVPYPRIHFMLSSYAPVISAEKAYHEQLSVAEITNSAFEPSSMMAKCDPRHGKYMACCLMYRGDVVPKDVNAAVATIKTKRTIQFVDWCPTGFKCGINYQPPSVVPGGDLAKVQRAVCMISNSTSVVEVFSRIDHKFDSCTPSVPSCTGTWVRVWRRASSRGP >Sspon.03G0003520-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:25252925:25253939:-1 gene:Sspon.03G0003520-2C transcript:Sspon.03G0003520-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKSAMLPNPAWPADKVIVEDDCWADLELLKKRQLWYHVSKTLAEKAAWEFAVKEGLQLVVLNPGTTLGPFFTPSVNTSLNILLQLLRGQELELDAVYTGWVDVRDVAQSAIVLYEDPSAQGRHLCLASMERLVDFADKIADMYPEFPVHRIKEDKQGWLMRVKEPSKKLIDLGVRFVPFDVTIRETVDCFRNKGLI >Sspon.03G0019770-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:47474192:47477378:-1 gene:Sspon.03G0019770-4D transcript:Sspon.03G0019770-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AMSLVRRSNVFDPFSMDLWDPFDNMFRSIVPSASSTDSETAAFANARIDWKETPEAHVFKADLPGVKKEEVKVEVEDGNVLVISGQRSREKEDKNDKWHRVERSSGQFTRRFRLPENAKTEEVKAALENGVLTVTVPKAEVKKPEVKSIQISEYFFSSNLFFMDRYAICSAFSNSEILSETYKKES >Sspon.05G0019430-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:84932370:84938610:-1 gene:Sspon.05G0019430-2B transcript:Sspon.05G0019430-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAKQDMKFVMLMPWAAIILLFSCGAGTINCMTLNGNDTDFVSLLDFKRAIINDPKRALSSWNTTTHFCSWEGVVCSQTHPERVEKLNLSGQALDGHISPSLGNMSYLIYLDLSRNMFSGYIPPHLGYLHKLKLLDLGKNSLQRNIPDAVTNCSSLLGLYLDRNLLTGEIPKKLVLLSKLLDLWLQSNNLSGVIPPNIGNITTLEYIFLNSNQFHGSIPEELGKLSNMSNLLLGANMLSGRIPEALFNLSLLQRLAMPVNLLHGPLPSNIGDFLPNLQYLLLGTNMLGDHVPDSLGNASELQLIDLGYNFGFTGKIPPSLGKLQKLRTLYLFHNNLKAEDSQGWEFLDALTNCTLLERLRLDGNQLQGVLPNSVGNLSSNLEYLMLGSNMLYASVPSSLGNLHKVTLLDLSLNSFTGPIDGWIGNMVNLEGLFLHRNTFSGHIPDSIGNFSKLSQLFLTANQFQGPIPSSLGKLQQLSILDLGYNNLQGNIPKDLIAATVVQCSLSHNNLEGQIPYVGNLLQLSSLDLSSNKLTGEIPPSLGTCKQLQTVIMDSNFLSGSIPAFFGQLGSLTMLNLSYNNFSGSIPISLSKLQLLAQLDLSHNHLDGKVPTEGVFKNTTAVSLEGNWGLCGEEHQECARGANPEDENRVLRCLVALVKVALSCTCEAPADRMNMREAAAELHKIKMAHW >Sspon.06G0014730-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:61391532:61398742:-1 gene:Sspon.06G0014730-3D transcript:Sspon.06G0014730-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSSGSASRHGRLLISPSLSTPTFSTRSPSPSPSPAASPAPHHHQERRNSTSSPKPLVPFPASSSSSRPRSSFGGAGPRGPAAAAASASGPAFAHNARLAAALVPAAAFLLDLGGLPVFAVLAIGLAAAYLLDALQLRQGAFFTVWAALLAADVAFFFSASLSSAAAASLPLTVLALLLCAETSFLIGVWASLQFRWIQLENPTIVAALERLLFACVPIAAPALFTWALVSAVGMANASYYFAAFCMVFYWLFSIPRPSSFNSRKQDAPMLDSDGILGPLESCVHSLYVLFVPVLFHAASHHATLFTSWSSVCELLLLFFIPFLFQLYASTRGALWWITRDAHAMDQIRIANGFVALVVVVLCLEVRVVFHSFGRYIHAPPPLNYLLVTVTMLGGALGLAAHAAGKVGDAASSVAFTGLAVLVSGAGAVVIGFPMMFLPLPMISGYYVARFFTKKSLSSYFTFVALASLMVLWFVVHNYWDLNIWLAGMPLKSFTKYVVAAVIMAMAVPGLALLPTKLRFLLELGLIGHTLLLCYIENRLFNYASMYYYGFEDDIIYPNYMRFLPGSVGKQFLKLYSGGMEDLLQMSAKRFLVLVVATGLLFIVMQPPIKLSWVYRSDFITAAHLSDDDISIYGFVASKPTWPSWLLIATVVLTLAAVTSIIPVKYVVELRALYAVAVGITLGIYISVQYFFQAVVLYPLLVATIVSAAVFVVFTHLPSESSTRVLPWVFSFLAADRGMHGPSSRSSAFPPKARLLQQRRAHAAPTFTIKRLAAEAAWMPAIGNVSAVLCFGICLVLNITLTGGSNRAIFFLAPILLLLNQDSDIFAGFGDRQRYFPVTVSISGYLLLTALYRIWEETWPGNGGWALDIGGPGWLFAVKNFALLVLTLPNHILFNRFMWDYVRQTDAKLLLTLPLNLPSIIMTDILTIRVLGLLGAMYSLAQYMISRRIRIAGMRYI >Sspon.03G0023760-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:90994365:91001900:-1 gene:Sspon.03G0023760-2C transcript:Sspon.03G0023760-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MWDVLAGDFFWAAAELTKFWNLMNRVGDSVRGKICLWCWHRIIDESGGRCPGCRSVYNKDKILETSARNQMHELCADKSNYQKEQTKSHKQTSVKVQLGQSEPKDPNSVRVIQRKLVYIVGMPTEFASEKVLRQKSFLGQYGKIENIIIDNVGANQQIPDSGRVITVRFYGVFLAIMSRMRSYSEATPWKWSGRVQADVNDRMGSKLEVTFSKEEEAIRCIRAVDGYTLDGRPLKATFGVTRYCHIWLNNKDCYKPNCSYVHYKASAEDICTKDDVSVVCASQCESSFDGEKAENNLIISHWKHDRKRVRLQHSMGMSTKCLHHRSGHTLPPPCDCSSRNTTGSGISKDICINDDRLLPNGANKNTSLLPVTTPRDSSLSSASPPSMANIVLHQRNDHERLHNNQQNLSDLKSQRYIPPGGRNRSSEMTTSVKHMQPIEGASLQSSSNEHLGSNNDESQASSQLGNDNSNPKQITSAENGTSDTLQQKPQYADVVSQGQVAPARRLTVLSRPSIASSDPRPKATGQVDNGTSTSSTKLTLVQKAQGSCIMVPRSHAVSQNPEEPAHLLVSATASVKSHAGVEIKNECSDISEKLVLGDHKQLPESTVSHRLTAAQSMSGRTLPGNLSASYAKTQGSAGPHNLSDLNIKLVAQNQSQLVNQQEQVSSTGIARASFCRSTLDKNASLTDGESLHNRDTIRSGHIVSSHCSDSTMLSRPVSAVSSTDVASLHRRERRQACPPGFEKPHPYSDSDKACSGHCSASDALVQDCGIPDQQDFTGWATDCLKDDGDVTQNLSMSISSPPSLTDTNRNRSQSHRQFPGTLFGWSNDPHYSFYPSGLLHAHHKAENRDGTTSSYMATGGYNVFSQGTASGMRGGMAGTLRQQPIMSSHDGWTVGSRDSGSNCPQVDISYRMYSLF >Sspon.07G0024160-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7C:22533728:22535215:1 gene:Sspon.07G0024160-2C transcript:Sspon.07G0024160-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKSHPGEGVAAPSSDSERDQDDDVLQNAAATSTSTAANQLPNPSGRSRPRFGVNFGLSRAVSLGSSVACSILSSGLPTSANPGEGRGDVDGSSDTGISQQGSAPTAGIDSTLDMLRDSVTAQARAARQARRNLLESEDASLRSSYRRMGSQEPFEGSVRFSRTLSVGRLRDRVLRRPPFSDGLFTPALLYDRAVWPSGNASARQDSAVMQRTNSDRSSEPRSDPSTNDLYNLSSERQASNSDLLEHRSAFLERRRRIRSQVRALQRLGSRFENLSGHERSCILSGQHRTGNCNCRTGSRQGNPDEETSTRASISRIVMLAEALFEVLDEIHHQSAALSSSRPSFSSIGSVPAPREIVERLPAKVYRKPLKYQSEEAAQ >Sspon.08G0011600-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:50100456:50107081:1 gene:Sspon.08G0011600-3D transcript:Sspon.08G0011600-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPCAASGATGKSTAPYGSWESPISAAVVSAAGRTAEGFAIAGDGRLVWVETRPEDGGRAVLVKEAAEPGGKALDVTPQGFAVRSLAQEYGGGAFAVQGDTVVFSNYTDQRLYKQTIGDNSPLPLTPDYAGSVVRYADGVFDPHFHRFVTIMEDHRHNSSNPITTIVAVRISDQDVKESTMLVSGNDFYAFPRIDPTKKRMAWIEWSNPNMSWDKSQLWVGYFNEKGEIKEKTCIAGGDPTIVESPTEPKWSSKVQGDKDMSRCKPYFLTRLTLLCSRGAVLHNRSKEWDEQSNAVTPLYSLDAEFSKPMWIFGVSSYDFLGIDDTSHKVVCCYRQNGKSYVGVLDHDSESFSKIDIPFSSVTNIVVSGDGSFYIEGASATLPVSIAKVTLDKKRTMATDFSIVWSSSEDVALYESYFSLPEFVEFPTVVNGQHAYAYFYAPYNNVFQGSSDEKPPLLVRTHGGPTDEARGILDLSVQYWTSRGWAFVDVNYGGSSGYGREFRERLLAQWGIVDVNDCCSCAAFLVETGRVDGQRLCVTGESAGGFTTLACLAFRQTFKAGSLTPAKNFYMRILIADLASLRAGMHKFEAYYIDNLVGNKQAYFERSPINFVENFSCPVILFQGLEDMVVSPDQATKIYKAIKDKGLPVALVEYEGEQHGFRKAENIKFTLEQEMVFLARLVGKFKVADDITPIKIENFD >Sspon.01G0063380-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1D:114949373:114949646:1 gene:Sspon.01G0063380-1D transcript:Sspon.01G0063380-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DANGEIMLRKKASKQSIHEMGRWHLLLVAPSSLVTRNGDVGRSSGGYLQAGQGLAYRTVAPPPPPTARSRHQHRRQLPPSSLLCALLIYR >Sspon.02G0047380-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:16304461:16304966:-1 gene:Sspon.02G0047380-1C transcript:Sspon.02G0047380-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AAVRKPAPVTGGVKKPRRYRPGTVALREIRKYQKGAELLIRKMPFQRLVREIAQLHKSDLRFQSHAILALQEAAEAYLVGLFEDTN >Sspon.07G0010270-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:27061568:27071434:-1 gene:Sspon.07G0010270-4D transcript:Sspon.07G0010270-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGANGVGGSESERKPLSEVVGDCVQRWFQDAYKEARKGDIANQVLVAQMFFSGYGTPKNEYKGKQWMDRASRFRSSALRVGMKRPGYNASDSDSDDENDDANQ >Sspon.06G0016560-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:74371251:74374119:-1 gene:Sspon.06G0016560-2B transcript:Sspon.06G0016560-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRALMQQEQRSGRPRLAGVRVGRSPAAASPSRRRRRKSGSAAAVRPAPQLGPSRSRTRTRREGKRRVLARSASEPALWLGDARVHAVPPHGVEHELDCPPSPPAPPLERPHTCFDVFAPEEAPFGRSPSAASLTKLGSRDREEAKVVVSVTVEGSVGPVKAMVRLGASVGEAIAAVVERYAREGRSPRLDPAAAESFQLHHSHFSLQSLNKNDKIGDVGGRNFYLHKNDSSNGLYLQGQEPDANSSRSDISQNSSLGQPSGGATNQYQVLTIVISKLDKIGRRTRRIWRFITCNNCT >Sspon.03G0027960-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:7255217:7256822:1 gene:Sspon.03G0027960-1B transcript:Sspon.03G0027960-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding TGGVAYPQLVRLWDRRTGRRASFATDFSFAIGVSQTHDRGDGMAFFIGASRLALPPDATGAFLGLLSNTTDGGSPPLPTVGVEFDTCYSEGLEPPPPSPPPPPPPGVIDHIGIDVNNITSVVYKSLGNDFPNPLSGTMSASVKYDGSSMVLSVSLQLANGAVHDLETPVDLKAEGVPQDATVGFSAATGDLIHLRSNFGW >Sspon.01G0019470-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:70662190:70669843:-1 gene:Sspon.01G0019470-3D transcript:Sspon.01G0019470-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADRPPSSPSSFFPSHSTPSWAQVAAAPPGAAPADGHLPVAPLAAGINFRRPSTPVLSRMKRRAQPLQFPLERTEWLIRWLMSLAALLQFYWMSPKKRLAEPARGGLQKNKHSNALKRSSRDNLRMRLSHVEPRP >Sspon.01G0020450-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:73733385:73739885:1 gene:Sspon.01G0020450-4D transcript:Sspon.01G0020450-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLDQFSGVGCNALMRRTARLILMDYGKSRRSNSKKRTHSNSEDGKRKRLNSRHDDTSMSSEPIETIYRILCPVKKIGSVLGRGGDVVKALREETKAKIRVADSIPGADERVIIIFNYQNQPELTEEAAENKFSDGLGNMKPHCFAQDALLKIHDKIVTDEIHDGVAHDEKSESADDVTARILVQGNQVGCLLGKGGSIIQQLRSDTGAGIRVLPSENLPQCALKSDELVQISGAPSLVRKALYEISTRLHQHPRKENKPLDEIIDASTQRKQESPTPLQHENPMLLHLHSDHPPPIPLLDPYRSGPQYHHVTETEEFYLRILCASELIGSVIGKNGANVRRVEQQTGARIKVQEIDKDASGERLIIVSSKEIPAEPISPAIEALILLHDKVSAPSEKRHSSTRLVVPSSKVGCILGEGGKVITEMRRRTGAEIRVYSKADKPKYLSFDDELVQVAGPPAIARGALTEIASRLRTRTLRDTSTANNPPPFAPFDDPPVDMPSRKLTLYGGPANDPPYGRPANDPLYGRPANDPPFGRPTNDRPYGRPAVVPPYGRPAVVPPYGRPANDPYGRPTNDPPYGRPSSTIPYGRPNESAPRDPSDAYPVDYFSKREYPSGSPMFTSNAPSAAYERYAAPTRFPTRELPSAFSPGADHMSHRSYRDHVPADSYSSRGTQQLGITRDGKSDAYDYTEAAGQIHGREDYRGLTSATGRFSSTIELRIPNSSLESIVGVGGVNLAEIHQISGARLRLHEAHAGSSESVVEIQGTLDQAKAAQSLLQGFISANSRSMQQQPHSSRMPLYPSWG >Sspon.03G0006850-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:34121267:34124592:1 gene:Sspon.03G0006850-2C transcript:Sspon.03G0006850-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRLMLALPILLLLLVGQCHGGKIGVCYGRNADDLPAPDKVAQLIQQQSIKYVRIYDTNIDVIKAFANTGVELMVGVPNSDLLAFAQYQSNVDTWLKNSILPYYPATMITYITVGAEVTESPTNVSALVVPAMRNVHTALKKAGLHKKITISSTHSLGILSRSFPPSAGAFNSSYAYFLKPMLEFLVENQAPFMVDLYPYYAYQNSPSNVSLNYALFSPQSQDVIDPNTGLVYTNMFDAQVDSIFFALMALNFKTLKIMITESGWPNKGAAKETGATPDNAQTYNTNLIRHVVNDSGTPAKPGEEIDVYIFSLFNENRKPGIESERNWGLFFPDKSSIYSLDWTGRGNVDVMTGANITSANGTWCIASTNASETDLQNALNWACGPGNVDCSAIQPSQPCYQPDTLASHASYAFNSYYQQNGANVVACDFGGAGIRTTKDPSYDTCVYLAAGNKMSTMNSTSLPARSDSGPVPCAKCFTTLLPMLVAVMAAVML >Sspon.01G0002810-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:6346244:6347736:-1 gene:Sspon.01G0002810-2B transcript:Sspon.01G0002810-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding KKCKIDHVPPVNKAPVGLRVFKRASEVSGAAVKPEGATPVSEAAAGPAAKAGAFVVNDAAIEQGVKFRASLPGGDSKLEVTAENSLVNGSTTELGIFKGASLANESPDAPGVTSTMPEVTGKPVTDPGVITTVVEVSDTGSVVHETTELESEGKDYIASEAAAEPEDAGRTSCNVDDTAALDEPRPPSCDPNIGNVQVGNAIDTVASTVQASRCDAAEDGGSVNSTTNGPVRARGPTVKGGVSKDKSVAPGVLRVLDAVTRSIGKSGRTDVICYARRTGKRKLELLEVKKENIDLEDGVICEKEETLVRSDRCESVLSTAGSINVKLADIKKDLMDNSAASKVKKMKRNRFECNIDYCHMAFKTKAELAVHKKNMCTVNSCNKHFRSHRYLRRHRVHTTMICLTSAHGMIVIWLSSGHGIGLSISRSMLGSSLTNAQCLGAAKYLSLFQISPGIGGGANLRGDPSCNCS >Sspon.01G0047230-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:92431313:92434876:-1 gene:Sspon.01G0047230-2C transcript:Sspon.01G0047230-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRVAPAMIAAGSTGGEETAEGAVVEKTLLNGDVYRGGFSGGSPHGKGKYVWADGCMYEGEWRRGKASGKGRFSWPSGATFEGEFRSGRIEGQGVFVGPDGATYRGAWVADRRHGVGSKSYANGDYYEGQWRRNFQDGHGRYVWANGNQYVGEWRAGVLSGRGVLIWANGSRYDGVWENGVPRGTGVFTWPDGSRYVGSWPGSCVDLPAISGTFFAPVGAGVAGLVRKRSSVEGMGEKATPRICIWESDGEAGDITCDIVDALEASVLYKEAAAVTGGTTYMRALPQRNTRRAASGVPRWASSAATTPESKRPGQTISKGHKNYELMLQLQLGIRHSVGKSSAVPMRALEQGDFDPREKFWTRFPPEGSKVTLPHSTAEFRWKDYCPMVFRHLRKLFAVDTADYMLAICGNDALRELSSPGKSGSFFYLTQDDRFMIKTVKKSEVKLLIRMLNSYYNHVNQYKNSLITRFYGVHCVKPLNGQKVRFIVMGNLFCSEYQIHRRFDLKGSSYGRTADKFEDEIDETTTLKDLDLNFVFRLQRSWYTDLHEQLRQDCDFLESEGIMDYSFLVGVHFCDDISASKMGSSTFTASPKLLTKSESFQGGGTPELCFSDDDFDMIPDCRRKPLIRLGAHMPARAEQASRRSEFDPLLLTGGGFLFPNQTGEVDDVILFFGIIDILQDYSLRKRAEHAYKSLQTDPNSISAVDPKLYSKRFQDFIGRIFVEDG >Sspon.01G0013240-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:35967440:35968188:-1 gene:Sspon.01G0013240-3C transcript:Sspon.01G0013240-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVSFRRKPHKIRRFGSRDDELAPLDLEARLATTSDPAAADPPQQQMIQCRTEDAATPTCAISVHDVPDEDDLISLLMGTVSAGLDGVSERLLLDFFVEMKRRRSEVHDAEAELPLPGPAAGGVLRRKAERVVHGEAVAAAAARGWLEGTGTERWGLVDVLSGGAAIVAEMERGRRWMQVAEEQREVGAVVAGMLVDQLVSEVVRWELGLLM >Sspon.02G0038490-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:37611512:37614541:-1 gene:Sspon.02G0038490-3D transcript:Sspon.02G0038490-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATPPAPAPPRILLAGDAHGRLHQLFKRVKSVNQSTGPFHALLCVGQFFSPEGDAEGAPGDVTDYIEGRNSVPIPTYFTGDYGPSAARLLSKAAAGARGFAPGGIEICPNLFWLRGSNRFTLHGLSVVYLSGRKGLGGPGCYSQDDVDALRALAEEPGIVDFGADTSNVPNQVLDPNGYDPIVAELVAEIMPRYHIAGTKGVFYSREPYVNDSAAHVTRFIGLANVGNKEKQKFIHAISPTPASVMSSADIHAKPPNATLSPYVGPSKSVPTEEAPKRPAENIDSQYWRYDVKRQRHGEADQGGLCFKFTSSGSCQRGSKCNYRHDEEALEHYQRNVCFDFLNKGKCERGPECKFVHSLSGETALRDARPRSERRRVESSCWFCLSSPDVESHLVISIGEGYYCTLAKGPLVPNHVLMIPVEHCPNTLMMPPEAEAELGRYKIALGKYFEKQGKTAVYFEWVSPRSHHANLQVVPVPLPKADAVNKIFHLAAKKLGFEFSMVNP >Sspon.07G0003470-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:8078699:8081144:1 gene:Sspon.07G0003470-2D transcript:Sspon.07G0003470-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKSLGAKSTARRRPLTVLSGSKARPALKSLIGKPPPPAAPATPAARTTAAEDDAALYRNRTDLAGIVSQVDPTSTRNPSSPISSPLKSVGGNSTAPRRPLSVLSGNKARPALESLIGKPPPPAAPAAPAAQATVAEVDAALDRLLSARTDLAGIISQVDELISDALKCETVSETVSKRATQEIESFNGFLTNTKASFKQWSSRLKQALETGPVKTEAISKNTPGTCLNTAAKGNDKLISGSSKLPDTDLVDSPCSNFTKADMIVSPSPLVSWHTGSCMVESGKQLFHLTPLPKTKACSSRCTTSKTQTKTASNIDQLNNLVRPVSKLTIF >Sspon.06G0007930-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:34513628:34525621:1 gene:Sspon.06G0007930-3C transcript:Sspon.06G0007930-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGDPEPAPAPANEKLPAPAAADVDETRPPPLLEPSGGPDEEKRPPEEVKAEVETHPPLEPTGAPPVDRPGMEVVAEADMKANEVEKERGDRAKGKREKDKGKGKEEKDKVEDEAKGKVTAVVKVEGTEKEVKATRRPAGASAETPILAVPVVAVPCFIAPPGFAGQFAMTHQAALASVTAQAQMHLQSPTSSACSEVPSSPFYMTPRSLVPLQQSPSVTEGNICKPIADKSFSSDSKSHHVVVNMVADGFNWRKYGQKQVKSSDNSRSYYRCTNSGCLAKKKVEHFPDGRVVEIIYRGAHNHEPPQKTRFAKERVTPISVPSGGETLRLVNTEIVESSTPTCKLEQSAISETSEQHLFCSSDCEGDAGNKSEDEHPSAEPLPKRRTLETTAPNLTPVLRTVREQKIIVQAGKMSDGYRWRKYGQKIVKGNPNPRSYYRCTHGGCPVRKHNHDEPFRSSSIPVSAISPSATTTGQPNTATTSDEKPPTITQKDANSESDKETTLEFGGEKALESAQTLLSIKTNSDDMKNSVLKETSAAVPLHHCLVSSVGAHINCLELRTKQWNDVGSRYFCVNAKLGAGSKSFTLFFTALMSMSISFPVADEMTVQLLLSSTYGRNSLAFSADENKATLAKRRKVAEPKAWHCN >Sspon.03G0018130-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:77142146:77143273:1 gene:Sspon.03G0018130-3C transcript:Sspon.03G0018130-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAAATAVGFSAVLPAKARPAARSTVVARVPATRRSVRAAAAAVVVAEPTQVDYSSRFSVFPMEACDLLGGDACIGEMYPEAKLAAAAPEASRRVDGVERDYLSYDDPKTVFPGEACDDLGGEFCEAPYLDGVSRELAHA >Sspon.02G0016580-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2A:46159447:46160769:-1 gene:Sspon.02G0016580-1A transcript:Sspon.02G0016580-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGKRRNRNKVKAKAKPRSKLLPAGPTSVNDLPDELLDAVLLNLGSLLHLIRAAATCRRWRRAIADAGFLARSRALHGAPPVAGRYYVTGNRPAFVPSSPAVVDAGHFSLDFLYVPPAEDDRPRTMSYYNRSSRIRHSRCREIIDSRGSLLLLTSRPARPWWDSIHSRRRSPDFIVCEPVSRRYQGIARPADLSHLAFLGAFLLDGNGAADDAMSNFKVLSVLYERSWSRYQFCKPRACVFTPGSDGGWRIGWHTMDDDVEVPDMQTIHFAGRTAGMVYWGMESSSVLVLDESTLKFSLLAFLAPMQWPNRRTSFRVIGGVDGGDTVRVVRMDGEDLEVFGQLPDSGEWVIERSINLRDATAKLPGWEYWFFRLPASIVTADNTFVVLTPGEKTWLFSVDLETMEVENEDARNRDVGPSYPCALPWPPVLQACVNHGDAT >Sspon.03G0036830-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:89463191:89472712:1 gene:Sspon.03G0036830-2C transcript:Sspon.03G0036830-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FRIGIDA-like protein 3 [Source:Projected from Arabidopsis thaliana (AT5G48385) UniProtKB/Swiss-Prot;Acc:Q67ZB3] HHPSLAGEDSGIPRLAHEHRELSTPPSHRCRSDYHHRPTPPVAPPSDGAHPPPPPPPLRLSRPASRAVSPPIAAPPHSVVPGRRASSPSLATRVRREEEPQRVDPGAAASIPREKGEGAGAFAMPDMESVAALMDSTSSKIQQLQEAFAELESQSAVSMNLKWKQLEDHFRGLEQSLKKKFDELKEQEEEFQETVAKSDLMLEQREAAVVAKELTSLERLQEKRDAALAMIFSKSRLSLPVPAINPMNKALDNLGVKWPKPASEESVHLQDGNAAVRPRSELASLCEEMNVKGLHKFISDNRKNLAAIREEIPSALKKTSHPYSLVLDSLEDFYSGDNLVLDGKKDGDLLGVRRTCLMLMESLGQLQTNDITCFSSEGHMLTTNIIERAKKIAFEWKSKLDNLDIDASNGNCLEAHAFLQLLATFGISAEFNEDDLCKLLPYVSRRRQTPELCRLLGLSQKMPGVIEVLVESGRPIDAINLAYVFELTEQFEPVQLLKAYLRDVKKVSHARNVKVSPGAQNEMNERELAALKSVIKCIEEHKLEEQYPVDPLQKRVLQLEKAKADKRRAVEAAKPQSKRPRANGSAFAHRATGFADKSFYPVNPERHPSNPYERQFVYGAEAHLPPMVSSASYTMQPAHGPYYGNGYPVQYQVPYIH >Sspon.05G0016880-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:65831894:65833870:1 gene:Sspon.05G0016880-3D transcript:Sspon.05G0016880-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKEGLPRTVVHFLLLIVCIANKCLAASGLNSTQMVTLKVDASPKLARKIPDTFLGVFFEVILQEMGHGGAGGIWAELVSNRGFEAGGPNTPSNIDPWLIVGDDSSIFVETDRSSCFSRNIVALRMEVLCNDCPAGGVGIYNPGFWGMNIEDGKAYHLVMYVKSRETTCLTVSLTSSDGLQNLASVTITVAGKSKWIKVEKKLVAKGTNRTSRLQITSKKKGVVWFDQVSLMPEDTYKGHGFRKGLVSMLLDLKPRFLRFPGGCFVEGGWLRNAFRWRQSIGPWEERPGHFGDCWQYWTDDGLGYYEFLQLSEDIGAAPIWVFNNGISYNDEVNTATIAPFV >Sspon.05G0010580-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:30035757:30036248:1 gene:Sspon.05G0010580-1P transcript:Sspon.05G0010580-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRPRPSPPARAATLTLLLPLLLLASPSLPSSAAAPSPPTVPATQRQAASASASDAPSPPPPPAQRHRHRHRHRRHRPPPRPRQRRPGGGGSTSGSGSGSPSPAWPSQCRSSSAPSSRCARGSCGGSTRPRCPPPRPSPDPRPPARPKLAVSVSQVRGLFVI >Sspon.04G0015470-4D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4D:66817255:66818981:-1 gene:Sspon.04G0015470-4D transcript:Sspon.04G0015470-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDSVGLVKILKKYDKRTGALIRLPFIQNVMQEPFCATDVLYKLVKECEEMLDQLLPRNQRSVPSEDDGKEDSDSDDKPAKPSASLANGNGTGDMELEEIEDMESMYMKSTVAALRALREIRSGSSTLKESGNSLVRVFIGRLLSRTLLCRPVDRSNRHAAVYLCSFTAHWMSQRSQRASPLRCPLAPLLYLASQSTVTPCRSCHYSCRLPHLTAWAPSRPRCGRQPATEVVVDKRHQHKEKHWALARKPSDLASCYDAASRCKWRRRPYHNRLHVSSIECCKEMHVESGRGRERSPCGRTARVVWALMWARSTWARLSDIRVTRSHTWMSKIIVQSRASVRTFGR >Sspon.01G0051850-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:18523905:18529695:1 gene:Sspon.01G0051850-2P transcript:Sspon.01G0051850-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSHEQRNHQLLTNAPVNFKQPKRRAEMVFQKRSSSEMESCGGGQVAEMPRVPKSARGKRSVRKKEAQSPAQMSAFDLLATVAGKLLDEGVGSLGNMSAGAPALAACAKDVRVKQEQCDEEMKQFKHEVTDQDSCNESAILPHIAFQRAVNNARIEDPKAKSEAQDKESSMISCTKAELGCNFGVIADRWSPESVESGAFTGDAVASLMPTAPAGFHKNAPEMYNLLDSMDVDAKPPPLVSSDSTGEMPLYGDKIHRSTSLPRGPKGVGGFAVDRDDDDDKSSGCTHPSTTTNRDFRSNCTAEYSRVRKLLTSKYRKVAPARIHKSDLSYSDVERKPSFRNKKMHYTRQRTQRSTFKRRKLFDRHSVLASEFGAANGKGNTKVTGRDSHAEANKGTTSMPFQKSCVSNDCHVKLRIKSFKVPELLVEIPESATIGSLKKTVLEAVTAILGGGLRVGVLHHGKKVRDDSKTLIQAGIGQDDMLDNLGFSLEPNCTQNPQVQAPEDISFLETIDTTEPLARIAPADSCSKHGEVDVSQELALTPLAMNYQGSDHDSVHSPGGVSSPDKVSTNSRALVPVAAADPNAGAIVPANKSKRSPEQGQRRIRRPFSVAEVEALVLAVEKLGTGRWRDVKLRAFDNAKHRTYVDLKDKWKTLVHTASISPQQRRGEPVPQELLDRVLAAQAYWSQQQAKLQPKTPPLAEARLLT >Sspon.03G0022250-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:67863269:67867689:-1 gene:Sspon.03G0022250-1A transcript:Sspon.03G0022250-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPAKRAKASSPAKPPSAPAPEEAAAAASEDPVVLLRRRWELASVLHFLRVFEPVIEADLRLSADEIEMALASNNRDLARIHIALLKVAEGANPFRSNPGKEVETYKQQNPIKRLLILKALCEVRSELSQSLLVIPFFLTLSYEILLLVRYDGDSTIGHRLYTEDVTVDFKQNWKGKGGRLTKPVTNIYWETVATNLDEFLEISEKLSRKGHAESAIAEHLKTEIVPAVEKFQKKKERLLKRQEKKDKVLAFANTFQTRSLREPDYDRSIEEAIRAVQKGKEQDSHEAGTKEKHASHHGDKDANGRSDISSENNKNGGQEDAKYLSDLSSGDEEDRDYNDRDVSSADSDGENNASDSYKSDMEEEDVFVPCKRTRLASRLANDKPRAGLRRSQRNMKNDEGTMHPGQITPPPMTKKTLRQRPTPVSKQPDTTFSGSEDDLAQVVADLEDETE >Sspon.03G0017940-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:76765074:76767149:1 gene:Sspon.03G0017940-3C transcript:Sspon.03G0017940-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTTSVPVGLLGESPSPWKAHQLPLLLPLCAAVLAVVAWCAARAAEWAWLRPRRLERALRAQGIRGTAYRPLAGDAPLSDRLAREVRSRPPLPPGCHAVVPRAMPLVHHAMNEHGKNSVTWFGPTPRVIITEPELVRQVLSNKFGHFEKVGFGQLRRLLHYGVSTHQGSKWAKHRRIINPAFHLDKLKRMLPAFAACCADMVSRWEGLVVAADGEPCEVDVWPEMQRLTGDVISRVAFGSSYLEGRRIFELQEEQVHLAMLVANKIHIPGYMMLPTRVNRRMKRIAAEIEGILRGMIATRESSLRAGKATSDDLLGLLLESNMEQFRGEGEDGTSSGRGMSVDDIIGECKLFYFAGMETTSVLLTWTMLVLSMHQEWQDRAREEVLRVFGGACTVPDYDGLSRLKILTPSLIFA >Sspon.03G0004320-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:29192231:29194876:-1 gene:Sspon.03G0004320-1P transcript:Sspon.03G0004320-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MCNSNVKSAGVAQIDGRPVLQPAGNRVAAAPDAARPLKKSLQKSLSMPASYDNNSNATAAAARPAPPENTRAAARRLLCCLLRRRPRAAKAVGAAEKSRSTKASRKPGAVLPVVTFAALEAFEPAAAGSIAAAQREHAAQAQAQRKMRIAHYGRTASFSRVEGRVGATATATAAEPAVPASPTGHDEKRCSFITPYSDPLYVAYHDEEWGVPVHDDELLFEMLTLSGVQVGADWTSILKKRHVYWEAFSGFNVDAVAKYTEKQMASLSADYGLDLGTVRGTVNNACRILEVRRDFGSLDRYVWAFVNNKPLSPSYKYSRKIPVKTSKSESISKDMVRRGFRFVGPTVIHSFMQAVGLTNDHLVSCPRHRVCSSAAARVN >Sspon.05G0035900-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:82385614:82386147:-1 gene:Sspon.05G0035900-1C transcript:Sspon.05G0035900-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DGAGRRRQRGQPRGAVRGGAAPLRGGVGGTGRRGGGARGAGGGGARPALGGGEGRAGVGRVRAAGAARAAAPVGRAHGGRRVRRRAAQLRGQDGGGRGAAEVRAEGIRDQRSGRRLRPPVPQRVASLLHLRVAAMAFPTPKALLLLGVGAAGSHRSRRRRGRWHRRGRHGRCNCLLRL >Sspon.03G0016600-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:39326027:39326470:-1 gene:Sspon.03G0016600-2D transcript:Sspon.03G0016600-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding HGDDDEEGVRLRPRHHASAGRALLPPRPRGSGAAGNRRALLPRELPAADTYGGGGGQVLPTTAEEEAAAVGGEPRQLAADEEMMETAAARRAGLLQTQDYPGSGPNGRHDPRNPH >Sspon.01G0008460-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:24242282:24253492:-1 gene:Sspon.01G0008460-1P transcript:Sspon.01G0008460-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLPSNSSDRSDRSDKPMDQKVLRRLAQNREAARKSRLRKKAYVQQLESSKLKLASLEQELQKARQQGIFISSSGDQTHAMSGNGAMTFDLEYSRWHEEQNKQINELRTAVNAHASDSDLRLIVDGIMAHYDEIFRLKGIAAKADVFHILSGMWKTPAERCFLWLGGFRSSELLKLLVNQLEPLTEQQLMGLSNLQQSSQQAEDALSQGMEALQQSLAETLAGSLGPSGSSGNVANYMGQMAMAMGKLGTLENFLRQADNLRQQTLHQMQRILTIRQAARALLAIHDYFSRLRALSSLWLARPRE >Sspon.06G0007500-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:26308868:26312628:1 gene:Sspon.06G0007500-3D transcript:Sspon.06G0007500-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLQPIPTATLAAQRSSGEALFPSRCRRRRRRHVLLPIRADSTPISLSASAPSRPAKPAACTADELHYAPVDGAGWRLALWRYRPPRNAPVRNHPLMLLSGVGTNAVGFDLSPGASFARHMSSQGFDTWIVEVRGAGLSMRDCDDSAASSSVTFEDVSGGIEPFNKHSTFEATSFQSSGGYASDCDDLGIVALDEPPLLAELSNFFDRISKLMEEAVVNGNFHEITEKVSVLSEMVESSTIIGPVKEESLRLLKNFQDQLDSWERFVATQMDLTSEYNWDFDHYLEEDIPAAVEYIRQHSKAKDGKLLAIGHSMGGILLYAMLSRSGFEGVSSNLAAIVTLASSVDYTTSNSSLKLLLPLAHPAQALNVPAVPLGMLLAAAYPWASGPPYLFSWLNPQISAQDMMHPELLSKLVSNNFCTVPAKVVLQLTTAFKEGGLCNRNGTFSYKDHLQECQTPVLALAGDKDLICPPEAVYETVKLIPKHKVNYRVFGKPQGPHYAHYDLVGGRLATDDVYPCIIEFLSRHDGGYFVDMQ >Sspon.03G0027520-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3C:9836084:9837301:1 gene:Sspon.03G0027520-2C transcript:Sspon.03G0027520-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRALDNTMPAAVEERPKKVAKVGVPAAAVKAASPGSGGKKKGNDENSAPRATAAAAEQAVEYIPSEELAAAAIPKAKAAGLVADLDSKDWVRTCEALNDARRLAIHHPALLNPILEKVVLAVVKTMKSPRSAVLKTSIMACADIFSSFGNLLSSVSDDAFDKLLLQLLLKASQDKRFLCEEAEKAMRAMAASMPPLPLLKKLKAYVHHANLRVRAKAAVAISHCAARMDIEAMKEFGMSALLQVAAELLNDRLPEAREAARGVVASMHAAFAKDAAASGQEDDAAASWESLCSLSLPPISAQAVAKIAAASSS >Sspon.03G0005920-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:16561468:16568972:1 gene:Sspon.03G0005920-1A transcript:Sspon.03G0005920-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRNGIQDSSSEGINGTGFLHGARVGIVAKPSPEFVAGVFGTWLSGGVAVPLALSYPEAELLHVMNDSDISMVLSTKEHHEVMENLSIKCSAYCSLLPPITSIPSEINPQEPSSNEATSSVSTLITQINSSKKIKGDDPALILYTSGTTGKPKGVVHTHKGILSQVEFIPKFSVSGIWQRWRESYPSDGSKNKEAITVFTGVPTMYTRLLQGYDSMGPDQQSAASYAAKHLRLMMCGSSALPSPLMKRWEEVTGHRLLERYGMTEFVMALSNPLHGIRKEGTVGKPLPRVEAKIIMEDGTETTTGVGELCIRSPSLFKEYWKRPEVTTESFIDGGFFKTGDTVTVDEEGYFIILGRTNADIMKFGGYKLSALEIEAVLLEHDAVLECAVLGLPDEAYGEVICAIIVPKEDAKKTAEQDSKPVLSLEALTSWSKDKLAPYKIPTKLYLWDSLPRNAMGKVRNDLENTLILR >Sspon.01G0012100-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:32692867:32693841:1 gene:Sspon.01G0012100-2C transcript:Sspon.01G0012100-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LICDYCFQGMQLRKHIDATLGSGNLREAVRLPIGEDLNEWLAVNTVDFFNHINVLYSTLMEFCTPHTCPVMAAGPKYEYRWADGVKVKVPIKVSAPKYVDYLMDWIEIQLDDEAIFPQQLGAPFPPNFRDVVKTIFKRLFRVYAHIYHSHFQMIVKLKEDAHLNTCFKHFVFFTL >Sspon.04G0015070-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4B:60203293:60203539:-1 gene:Sspon.04G0015070-2B transcript:Sspon.04G0015070-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RRDRVRNDDIRDRVGVAPIEEKLIQHRLRWFGHVQRRPPEAPVRSGVLKRADNVKSGRGRPKLTWDESVKRDLRSGISLRI >Sspon.06G0001940-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:6350481:6354002:1 gene:Sspon.06G0001940-1A transcript:Sspon.06G0001940-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MENAGRLEALKERGFRDAETPNGGHSSSYLQHRHSRSKSDHIFLLQNAILVAVNRSKMSDRRYSLNLREQLPEHRIITTANRAERSISKSVADLAWEIAVLEEEVVRKELHLLSLYRAAFDQYLGVSPRASAQVEQESHRQHSKRTTDEGTLRLRNIKESASYNLPTLSDSKRHTQELSRSSSGRSSLANFLSASIAEYVPKISCKLSEDILRCISAVYCKLASRPLQEANSETASTPSFSSASSSFSLKYPVDGWSPRCYYNVDATSDIYASSDGNNGQYSGMIIFPKIHIDEDKFDYASKMLDTIRSLIKRLEKIDPTKMAHEEQLCFWINIHNALVMHAFMAYGLQEKRMKSTDLILKAAYNVGGHSVNSQIIQNSILGCQSHRPSLWVRTLFTPMKKSGSSIHPYALHYSEPIAHFALSTGAFSDPPVRLYTAKKLYHQLEQARTEFIQANVMVRKQTIFLPKVLHFYAKDASLELPDLIDIVCESMPELQRKEIRQYLRRSIDKCVEWLPYKSSFRYTVHRSLAE >Sspon.03G0007650-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3A:20541827:20543682:-1 gene:Sspon.03G0007650-1A transcript:Sspon.03G0007650-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LESAEERRPRRAAGLGVVALCSSLLLNVLFLAHYSFLSPSQLLGDGGSCGLSWALQAAKEAEALAAADCSGHGKVFLDGVVGEDGRPGCECNRCFDGPDCSIRTPICTADANSGDPLVLEPYWKRHAAASAVLVPGWHRLSYATTDGLFESVELENRIRPLHRAVGNAVVDDKRLVFGAGSTQLINALVHALSPDAAAAASPPARVVATEPYYPPYRTQTAMFDGREYRWEGTTAAWTNASRSSSDDSRFVEFVTSPNKPDALLRTAVLRGSAVIADHAYYWPHFTHIAAPADEDVMLFTMSKPSGHAGSRLGWALIRDEKVAKRAYEYVQHSIMGATRVTQLRMLGIVRVMLANLHGKEDIFGFGHDVMRSRWRRLSAAVSRSRRISLQRIPPSQYCTYFNRVREPSPGRKTTTEDCYEALLKARIITRSGAGYDASSRYMRVSLLKSNDDFEVLMERVTDLANADNYDDDALLLPRVPD >Sspon.04G0025280-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:25875062:25875659:-1 gene:Sspon.04G0025280-1P transcript:Sspon.04G0025280-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNALSEGLCLADKSGLSPQTLLDVLDLGAIANPMFKLKGPTMMQGSYNPAFPLKHQQKDMRLALALGDENAVAMPVSAAANEAFKKARSLGLGDQDFSAVYEVVKGAGGSGSDQA >Sspon.06G0024500-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:59630487:59632084:1 gene:Sspon.06G0024500-1P transcript:Sspon.06G0024500-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQPRSRLLGSCAILLLLLLAPGLAAAFNYADALTKSIIYFEGQRSGKLPPGNRMPWRGDSGLTDGAQHNVDLVGGYYDAGDNMKFGLPMAFTTTMLAWSVADFGKYMGSNLPHARAAVRWGADYLLKAATSTPGTLYVQVGDPGQDHRCWERPEDMDTPRAVYAVTASSPGSDVAAETAAALAASAVAFRRADPGYAARLLRAALVAFELADRHRGSYSEGTLSAAVCPFYCSYSGYEDELLWAAAWLHRASGNASFMAYVRANGAQDGAGNDDYSFSWDDKRIGTKVLLARGVLRRDRRRNVPGLQLYKAHSDSYICSLVPGAAGFQAGQYTPGGLIYREGGSNMQYVTTTTFLMLAYAKYLRSAGATAACGGGRDEVAPAELVALAKRQVDYILGKNPAGTSFMVGFGDRYPRRLHHRGASMPSVRAHPARIGCDQGFAYLHSAAPDANLLVGAVVGGPDARDGFVDDRDSYGQTEPATYINAPLVGVLAYFAGTAKY >Sspon.07G0029560-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:77060254:77062203:-1 gene:Sspon.07G0029560-1B transcript:Sspon.07G0029560-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GTGTQKVRSQERGDPSPTPPSWNWPLLRQRRRQEEEEGSEGMAQPSKEPCKKEACDIQACLSKNMFDSRKCVRVIQLLQSCCEQCEYKSTHCGSLSGLLKNISK >Sspon.02G0041080-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2B:73873315:73874230:1 gene:Sspon.02G0041080-1B transcript:Sspon.02G0041080-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRKCMNYRYYRSEITGTTGPRRFGADINYRYYRSETGTTDGWRKEARFGAKMNYRYYRSLTGTTDGDRYYQWSMTGLVRPLGRPRPSLVCWLGAIFNVNILIGANEKLQHLALLSAQAAVGCPVPVQQVADVERHANQELFLLANNARTLFKSS >Sspon.08G0009610-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:37034488:37039001:-1 gene:Sspon.08G0009610-3D transcript:Sspon.08G0009610-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVQVLQSAFCVYINCAWIHQRTCANTSILAVLDICHRGASHGRRYVLGLVVTVIHLMLMDYGTTTILEDIPGYNGYLTGLSIIGGMTLFPNALEVAFCWASWKGPVTNCLEELFISTRDPGRQLSRVGSRRGLEVADCNYFDTLSRE >Sspon.06G0000540-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:997293:1002429:-1 gene:Sspon.06G0000540-2B transcript:Sspon.06G0000540-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFFPPDPPSYGVVDEEDEPAATAAAAEDASSCKVATRRVAITGVRWSVGVEARRVRTRRGSEIIAVYVRHPGASLTLLFSHGNAADLGKMYGIFVELSARLHVNLMGYDYSGYGQSSGKPSEANTFADIEAAYKCLVDVYGTREEDIVLYGQSVGSGPTLDLAVRFDRVRAVVLHSPILSGLRVMYSVKKTYWFDIYKNIDKIPHVKCPVLVIHGTKDDVVDCSHGKRLYELCQHKYEPLWIEGGDHGNLEKFPVYIRHLKKFLSSIKKLPSEKDAAAEREPSAAENRTQPDIEAISEAPSRMISRRLEPSKRSTIHEEPTLSTEHVDKRRRSTGHREKARSSTDRRSRRSVDCFDSILEHEQPEKPRKSFDRIGEKIRAMGLCNVDCFKEPHDSGELSR >Sspon.05G0013620-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:49241461:49242387:-1 gene:Sspon.05G0013620-2B transcript:Sspon.05G0013620-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIVDVVSEYCSLPRTRRHLKKRKQFQTVEMKVRIDCEGCERKVKKALEDMKGVSSVEVTAKQNKVTVTGYVDAAKVMRRVAYKTGKRVEPWPYVPYEMVAHPYAPGAYDKKAPAGYVRNVVADPTAAPLARASSTEVRYTAAFSDENPNACSVM >Sspon.04G0014330-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4A:53331513:53331795:1 gene:Sspon.04G0014330-1A transcript:Sspon.04G0014330-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DDEVGPSSGACGFGLVAGRAGNRRLRNSASPRRRSSRMRAGARSAARFWMFQRRARAASPQRRRSRTLVIDRREGEEGPSERGGGSTQTMDDS >Sspon.02G0014670-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:37268173:37273930:-1 gene:Sspon.02G0014670-2B transcript:Sspon.02G0014670-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGMAALGVKTERAAQYKGRMTLAVAMTCLVAAVGGAIFGYDIGISGGVTSMDPFLEKFFPVVFHRKNSGGKNNYCKYDNQGLAAFTSSLYLAGLVASLVASPVTRNYGRKASIVCGGVSFLIGAALNVAAVNLAMLILGRIMLGVGIGFGNQAVPLYLSEMAPAHLRGGLNMMFQLATTLGIFTANLINYGTQNIKPWGWRLSLGLAAAPALLMTLAGLFLPETPNSLIERGRVEEGRRVLERIRGTADVDAEFTDMVEASELANTIEHPFRNILEPRNRPQLVMAVCMPAFQILTGINSILFYAPVLFQSMGFGGNASLYSSVLTGAVLFSSTLISIGTVDRLGRRKLLISGGIQMIVCQVIVAVILGAKFGADKQLSRSYSIAVVVVICLFVLAFGWSWGPLGWTVPSEIFPLETRSAGQSITVAVNLLFTFAIAQAFLSLLCAFKFGIFLFFAGWITVMTVFVCVFLPETKGVPIEEMVLLWRKHWFWKKVMPADMPLKDGWGAAPASNNHK >Sspon.02G0055660-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2D:10624848:10625880:1 gene:Sspon.02G0055660-1D transcript:Sspon.02G0055660-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPYLVKEGSSCSSSCLHLLWTTMGTDQLAVKPYQSGFKHRAESPFSIRTHNSPPDLHISLSPGSTVVPVLLWAVWHKLSSSLVLCRQARVVLCMWVWPWCFCASSYHNHTMDIVFPVQEKCRTSNPVRTEAPAAATVFLHEEELRNRQSRQVAGLLGLGP >Sspon.07G0000990-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:2102640:2108186:-1 gene:Sspon.07G0000990-1A transcript:Sspon.07G0000990-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEAKAMKYRRRGRVPEPPSEYGQCSDRSGALDWAALKHDPAELLRKLDEIRDQITRSCELVGQPPERHRVMSRRTVSLRPSYAEPPSPHAADRGPPDHYRSRYAGRYRTGLAPSSYDQLQRSVSDETYARQPSGRFRRQYHEGQRESYGFAHGRRHHSACQCAQCLQSQRAVAAPPEEHILMARYFAGQQGQGSFRFDRSQPISSELDRRSVASSLYSHLSMSKRRGEYFRKKAEKFCRPMRGAAPFVVCTSCSHLLQLPQGKFTGRKKNQVQCGSCSEIITFKPNKEVKVHPVIPPSSFPVSKSVRTRGSNHLDAKSSGWYQHQDGDNFNFYKLQAHDIHRQKKDFADSMSPSSTASYDRTDSEHGSNRSIQLKSVPASRSRFSNDPKDILCQGDTGSQVEASGQCTVSPQGPILVDKQIDPFSSQRKDYSGGTQIKRKEYDINTKSDYEANARDESLGRKCIQKSKVGHKVGLGDEFSNGRTHEQKGRHGNIDGPEDGIVGNKYKHKTSNVVTSSPEDEDMSIKYEHKSSFRVEELSKRDDKCNKKDGSNILEVESIARGCEQDSIKDDSGKLLHSASRNGNRTAKNDSSIKEQTNSSSCVSSEAELHEIQSSVGKNGDSSFFTGFLKKGLKDLSLFNQSVDSAKVSINGHPISERALRKAEKKAGPVGPGSYWYDYRAGFWGVFGQECRGIIPPFIKEFNYPMPKNCAGGNTGVFVNGRELHQKDFDLLVGRGLPRIPGKSYSVEISGNVIDDTTGMKLRGLGKLAPTIEKMKRGFGMHIPEET >Sspon.01G0036820-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:16073616:16080515:-1 gene:Sspon.01G0036820-2D transcript:Sspon.01G0036820-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MERNSSCRDTMSCLLALLAVLCLGAAAVARGQLTDNFYDGCCPQAEDIVKARVSAAMKAEPRMGASLLRLHFHDCFVNGCDGSILLDGNNTEKLAAPNLNSARGFEVVDAIKADLEKACPGVVSCADILAIAAKYGVLLSGGPDYDVLLGRRDGLVANQSGASSSLPTPFDPINNITKKFSDVGLNTTDVVVLSERRVTQMPRRTPVELPVAGSATLEIGLRLGRWGVAAAVVNLISMPWPPPPLYIALRDGGPPAIYRAGLPRSGSEHEANILISILVIYIWIGLLPKQPGYLVRRPVRKRRHAAQLHVSGPRAQPQAAGRQPNKRAAVAATAAAAPPEDGDRGGGAEASARQQPAPFPGVTCTAASGCFIFLFFHGGVGDPEQRHGRPLRRSLLPPSLPRAVQQQVKMARLPVPSPARAFAKAERVAVERPGDRALDRDPSCRSFERDWQRESPRVLYGSGQVNPSPGHRPFPLRTMAVVTEEDNRDRCAVPPVVTRTPGDADAAANTGGASRCWQRNPRDRIKVRTVGSGGCSSEPHLHALAPTSSLYSATRRGPTSHISGWAPPIRERGGHTIGRARCALFSNRLSNFSATSSVDPTLNSSLASSLQTLCQGGDGNQTAALDAGSADTFDNHYYQNLLSQRGLLSSDQGLFSSADTKALVQAYSANSERFFCDFGLSMVKMGNIRPLTGSAGQIRKNCRAVN >Sspon.02G0045330-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:90897899:90900593:-1 gene:Sspon.02G0045330-2D transcript:Sspon.02G0045330-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTHQVDSMEIDDQKQQQLEAPAAVPEGFNTDYLRVYYGKLFPYGDFFKWLCYGNDGKHPGCDQSYVGRREFSFTLENDIYLRFQSFDSAAELESSIKEKCPFKIDIGPVYSVDPSKRHAYAQSGNNVFVPVERELIFDIDISDYDDVRYCCSGADVCSDCWPLMTIAIKILDTSLRDDFGFSHILWVYSGRRGVHCWVCDSRARKNLFNPMNLSQGGENALKKVSLAGPVLHPFLARSYMDVLKTFFEDRLLLSQQLFSSEERCQKILDLIPDESKHNLLLQIPILFSGCQHNSSVETVLLSSCL >Sspon.04G0001620-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:67614682:67619260:1 gene:Sspon.04G0001620-1P transcript:Sspon.04G0001620-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNMEAKRQRQHPSVATKQEKVHLIDLQRSKNCEIMLRNIKMPLPDLMGSVLALDDSIVDGDQVDYLIKFCPTKEEMELLKGYTGKKENLGNCEQFFLEMMKVPRVESKLRILSFKIKFVTQVADLKSNLNTINSLLKRSSLKLKRVMQTILSLGNALNQGTARGAAVGFRLDSLLKLSDIRARNNRMTLMHYLCKILSDKLPEVLDFSRDLANLEPASKIQLKELAEEMQAITKGLEKVEQELATSEKDPPETEIFYRKLKLFLADAQAEGRSLALLYSTAGKSADSLAHYFGEDPVRCPFE >Sspon.04G0000720-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:17884176:17896437:1 gene:Sspon.04G0000720-1P transcript:Sspon.04G0000720-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTRFLTTDYFAPSPSAAASSDLAIALASLPFPSLPVPTLPPDPHLLNPFPFSADFLPDPSVAGDDLDSLPFDSALTEFLAAVVPQPLPVPDIPAADEGLLDDYLYGRGVYGNGFSSMDPVAFRIPKASEGLDDSHTKGDKEEGLSLEASEVTKKKIASLHDEECVNYSFGVPDVKIHPDFIDIDTETRIPYPAELAESIYQVEVPVKHDDDMNCPYAKDSSCMEITGLENGQIIPQLEASMISWELDECPAKTAVSNIFHNIVEHLYDGAQVRLPSFGSTEFLRSCDMDIFALVCKDAPLVEYQADKPITAKDVAEMDFVRINHDILLDKKSALYPLKPDGTCSDFPCSILLEEVETINFPSEDAFKTLVQSVKAEMNTCEEIFKDDFDQVKSFYESVVSSEWALVDDTFKSLPTPILPDDKAMRSMLPPIEVLCSLKPLPLSAADGIYLDWHLLSEGPCNQESCSTYVSMVEEVKPCSLSPELQISCQQMPALDINFLEDFPRSAKLQHEGKKNEIYVPGPIPHDPSANLETTQKNMLESDVRGHNHMDKLSPEKESSLFKSTSQSNGLSFYLNVRNDTNKVRKNEDISTFIPSSKQAAPFSTRPRVNKLIEIHPVNLSDLIQGLIKDIHVSYTSALQESAYFRHSFSDGQGLSISKQKLLELMTGEGSEGLYSYCKYEDKMELIVLYALKQVAYYLCFFGLHAAYLYVGNLTGTFEKIPERLRNLQRCIGEARLKAEKQLLESHPSLSVIETILRSNTQIGRKILIVSDRAFWLPLGQKLTAMKITSAEFETYHSTTYSDPVIKTNSKTCMPEELWKSDCILLDNKNIPASFPFSEFCMILEYGGPNKSSILLSLAPKLDDLPPLHFLYVTVDGEGLPNALVEDNHTDQDLKSTLDAVLHTIQKDLQEKMNKMRIVDSLNFIPATNQQQHLQTNHLTADSSKKITADVQLHNQGNLNEKNIVDPHNFVTAAEQLNMFNQITIANSQNFVPAVEKSSSTSSVSANVIKAPQDNQSAVVLPLSEKMDSTKAGIPGPEAVIVVNTGNHGKNMLFSRRSSYQQILALERGGMQVVERDVDLPVDLILTAAVCLLWYDTRTSGSSELTISSDTSGITNFVEDIATNILMALSFCFCGCIMVFEGENHLLSAVMEASDSLYASAASLDMNLQLFFSQTPKSTDQIILDCIQNVVRINQAPCPQIPESESLVESFVTAFPSVSPLSAHMILSSGTLLDFLRWSHVQRTQAVEKYRLPPQSISLFSALCKFGELGESKSVMTECSSVDSDISSALLQSARKKKRCATQDFSAAVSHPAHPNPCTQLHGDCVENDKVFSPPKLRKFSHIDDMMLTSLKLNMGSEGVSCQPRKYDVDAVTGIHIIDDDFINELTPNFRTYNERASSMVDTCNFSRQSKLGAKQPIRSSFRASMPSACITSSHPAFPSALEISNDPGNWDVSCGINQTWTDNVLGILPQAQVEMIWVADIMNQDRK >Sspon.06G0026220-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:77682733:77692436:-1 gene:Sspon.06G0026220-1B transcript:Sspon.06G0026220-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSNLDGSLLRTSSSWWASRGSNAFRSSAREEDDEEVLRWAAIEKLPTYDRMRKGILTAVGGGIQEVDIQGLSMQERKCLIQRLIRIPEEDNERFLLKLRERMERVGIQNPTIEVRFEHLTINMEVYVGKQGVPTFTNFFSNKVMVSGRVTYNGHDMDEFVPQSTSAYIRQHDVHIGEMTVRETLAFAARCQGVGTRYDMLTELSRREKHAKIKPDPDIDVYMKAISQEGQENFVTDYILKILGLDICADIMVGDSMIRGISGGQKKRVTIGEMLVGPANTLFMDEISTGLDSSTTYQIVNSLRQSVHILGGTALISLLQPAPETYELFDDIVLLAEGQIVYQGPRENVIEFFEAMGFRCPDRKGVADFLQEVTSRKDQYQYWCRRDEPYRYVSVNDFVEAFKAFHVGHALGLELEVPFDRTKNHPAALTTSKFGISKMELLKACFSREWLLMKRNSFVYIIKVVQIRMVGTYLYQLMILGTFAMTVFLRTKMHRDSIEDGVIFLGAMFLGLVTHLFNGFAEVAMSIAKLPIFYKQRDNLFYPSWAYALPTWLLKIPISFLECAVWTGMTYYVIGFDPSIERFFRHYLLLVLISQMASGLFRLLAAVGRDMVVADTFGSFAQIVLLILGGFLIARTLRKGQTIVSEEELREKHVNRTGENVELLPLGTDCQNSRSDGSGEIARADTKKRGMVLPFTPLSITFENIKYSVDTPQEMKDKGITEDRLLLLKGVSGAFRPGSLTALMGVSGAGRKTSGYIEGDIYISGYPKKQETFARIAGYCEQSDIHSPHVTVYESLLFSAWLRLPPEVDLEARKMFVEEVAELVELMPLRGALVGLPGVDGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFEAFDELFLLKRGGEEIYVGPLGNKSCDLIKYFEAVRGVKKIKDGYNPATWMLEVTTLAQEDILGCNFAEVYRNSDLYRGKRQDLFDALGSMYATVLLIGVQNGLTVQPIVEVERTVFYREKAAGMYSALPYAFAQVVIEIPHIFLQTVVYGLIIYTLIGFDWTVQKIRMHIYHMDGRPENDNILRVD >Sspon.03G0032130-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:43424284:43428543:-1 gene:Sspon.03G0032130-2C transcript:Sspon.03G0032130-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DMADAVQRLKSGFNKFKTEVYSKKPELFEPLKAGQSPQYMVFACADSRVCPSVTLGLEPGEAFTVRNIAAMVPAYDQTKYTGIGSAIEYAVCALKVEVLVVIGHSCCGGIRALLSLQDGAPDNSHFVEDWVRIGFPAKVKVKKEHASVPFDDQCSILEQEAVNVSLENLKTYPFVKEGLANGTLRLVGGHYNFVSGEFHTWEIKS >Sspon.02G0042960-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:90735988:90736404:-1 gene:Sspon.02G0042960-2C transcript:Sspon.02G0042960-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATTKPGRAAAALLLLALLAALQVATAAGHNGGHPDNNNNDPGAFFAGMPWFGGAGQPGPGGRFFGGWGGGGGLGYRRGAVVPPSTVCPQDGPCRGKRLTCPPRCFRTFSYGGKNGGGGGGGGGCSFDCATRCAATC >Sspon.01G0048720-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:100581733:100585901:-1 gene:Sspon.01G0048720-2D transcript:Sspon.01G0048720-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIATPPKQLCSNGLKKMASSFASAAFCLFGLNLLSDSGRDGHGGEWRISCSEREAGAAKKSRTDRSSKRSSMDRIRGGKNDVVDSARVTEVQNYRIFASTWNVGGKSPPRGLNLDDWLHSSPPADIYVLGFQEIVPLNAGNVLGTEDNLPAKKWVSLVRRTLNKNPGSCCYGGYRTPSPVPDPVVEQDADFEGSSRRQDSLSYLHRRSFNLSRSLRVEGNHMLPHPRLDRRFSVCDPVSLGGRPSDFDGNFPFMGSPDDHCIEEDTSNGAYFSPFPHGYGASAPMEENDYQTNASRYCLVASKQMVGIFLTIWVRSEIRNDVRNLKVSCVGRGLMGYLGNKGSISISMSLHHTTFCFVCCHLTSGEKEGDELRRNSDVMEILRKTRFPQVRGAADVKSPETILEHDRIIWLGDLNYRIALSYCSAKALVEMHNWKQLLEKDQLRIERRCGRVFQGWKEGRIYFPPTYKYSFNSDRYSGVRPKEKRRTPAWCDRILWYGNGLMQLSYVRGESRFSDHRPVYSIFMAEVEGIRHRRRNMGYFSSRIEVEELLPYPYNSGEMKFY >Sspon.05G0005510-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:19294847:19318931:-1 gene:Sspon.05G0005510-3D transcript:Sspon.05G0005510-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding PLAHVHQSRNNRRVKPCRCSDLAPEDVPLAIDSTLNDLQLEYLDLYLSWMRTAQDPTLVTEFLGQVPLLQCLPGSSIRRIAEAVQVKNYEPGDYVAREGEIVDGLYIILDGQAEVSAPANAEEANHPDYVLNKYDYFGYGTNSSVHQGTVVALSKLTCFILSNQYGHLLQPKTIWNSEETPEHSLLEQILHLEPLEVDIFRGFTLPEAPTFGPALAAASKTVDCLKMVHSLHAIFHVAGDNNIPIIYQVHRARDGSSFATRKVEAKQKGLAVFTLIASFQKEEVGFEHQAAIMPDVPPPEQARISLFPLTPVEEKNRYLIRNQMQLLNLEELRERRLTDPRFPPQYRNLAANKKFTPWPIEMRLCDDSASQHKPSLNYWFRARGKLSDDQALHRCVVAYASDLLFSPVSRHPHLAKCLEIHVLSLNHSIWFHKPVKADEWLLYVIESPSAHGGRGFVTGRMFNRQGELIMSLTQEALIRREKPRGPNPRPKI >Sspon.07G0009240-1P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7A:32912964:32914939:-1 gene:Sspon.07G0009240-1P transcript:Sspon.07G0009240-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKEKSHINIVVIGHVDSGKSTTTGHLIYKLGGIDKRVIERFEKEAAEMNKRSFKYAWVLDKLKAERERGITIDIALWKFETTKYYCTVIDAPGHRDFIKNMITGTSQADCAVLIIDSTTGGFEAGISKDGQTREHALLAFTLGVKQMICCCNKMDATTPKYSKARYDEIVKEVSSYLKKVGYNPDKIAFVPISGFEGDNMIERSTNLDWYKGPTLLEALDQITEPKRPSDKPLRLPLQDVYKIGGIGTVPVGRVETGVIKPGMVVTFGPTGLTTEVKSVEMHHEALQEALPGDNVGFNVKNVAVKDLKRGYVASNSKDDPAKEAASFTSQVIIMNHPGQIGNGYAPVLDCHTSHIAVKFAELITKIDRRSGKELEKEPKFLKNGDAGMVKMIPTKPMVVETFSEYPPLGRFAVRDMRQTVAVGVIKS >Sspon.01G0024420-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:87532383:87537439:-1 gene:Sspon.01G0024420-1P transcript:Sspon.01G0024420-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSASEATSSSATSATSSSDADAPASPSPSPSRRAAPTLLLVSFLAALLILSPGDDATAQPLNGVSLENPEVSFVPSPLDGQFCERVLLSGVPRLHLDSYASQIRVKMNVSQSIPEKFHWKIEVCFHRNASMDLCQCEAGEWQGFQDGMWTAVNSPYGNKYVDVKLADKKPARFTLSIQEEFQKWRLACLGIGFVLLFLSPIVSKWAPFYYSSSMALGILLVVLIVLFQGMKLLPMGRKSLFYLAIYGSVLGVGSYVVHYFSTLVSSILENFGLSEELYNPVSIFLLVAIVFTGAGFGYWMVRRFILSKDGSVDAGIAQFVKWAMRVVATLFICRKQKQSMVLSHQMLTQGLPKSPKIQFLSPSKIGFGRTTSRSSATQYGRSNLAMETLGFAGLVCSALTKHVVPNEDDEDHYSTFHNISHGNIQEEWEDFTQKSTRKALAECTATPEFAQWVADNAHRLQVEKEEDNFSEEETIESSNSSEETGDEADGAP >Sspon.05G0031610-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:7104989:7109820:1 gene:Sspon.05G0031610-1C transcript:Sspon.05G0031610-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGQRNSMEHYADVFGFDIASSSGNPVMDQQSYWNNVLGSVESQNLQGYQMNHSDATMPYGNEAQQDGTFLGFWESGEASSSGSALNYGSSSDVKTEHLNIGGGLRIGERQLVADNGISLDVDINLNANVNDLCGQSSNVNCTSQGPEQYGGSDRSGINSQPTDLRLHPYRTFLLGAEQADSFSLNPSENPLGDFSLMQESIDQRPATSFSSAFDDPQEVIPVVSSLNLQYQHPVNVPGVPQPANRFTSHGASSSRAGSLENRILGIDLRRLVPEPSNWSSDVRGTAISGSIPPVSRANNSSTVNPPAGFNHQNLTRRHPRNLSEEIGRLSGALRGHQPPRLRSGFLLERQGDGVWGVPLSTRGREGRRLMEIRNALEMIHRGENVRLESIFYGGVDIHDRHRDMRLDIDNMSYEELLALEERIGNVSTGLSEEAVIKLLKQRKFSSWRLKASLDPEPCCICQEEYVDGDDLGRLDCGHDFHAGCIKQWLVVKNVCPICKNTALKT >Sspon.08G0003300-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:7184023:7187117:1 gene:Sspon.08G0003300-3C transcript:Sspon.08G0003300-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKQGGKAKPLKAPKADKKEYDESDLAYLQKKKEEEKALKELKAKAQKGAIGGSGTNGGAMLWP >Sspon.05G0015790-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:62749057:62750630:1 gene:Sspon.05G0015790-1A transcript:Sspon.05G0015790-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFNMSRDPLVVGHVVGDIVDPFITTASLRVFYNNKEMTNGSELKPSQVMNEPRVHISGRDMRTLYTLVMVDPDAPSPSNPTKRENLHWLVTDIPETTDASFGNEIVPYESPRPTAGIHRFAFVLFRQSVRQTTYAPGWRSNFNTRDFAAIYNLGSPVAAVYFNCQRENGCGGRRYI >Sspon.08G0005630-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:13960156:13978992:-1 gene:Sspon.08G0005630-2B transcript:Sspon.08G0005630-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGAAGRTRTAVCVTGAGGFVASWLVERLLAGGRYVVHGTVRDPGDAKNAHLAAMDGAADRLRLFRADLLDYGSVAAAIAGCDGVFHVASPVPSTYPIELLAPAVTGTMNVLKACSEAKVKRVVVVSSVAAVMVNPGWPQNEVMDESCWSDVEFCRTTQNWYCLSKTLAETEAFDYAKKTGQDVVSVCPSLVIGPLLQSTVNASSSIIVDCLKDESCNRSSAGDHEVKLKLRNFVDVRDVADALLLVYEAPEASGRYICDANARQVSDVIALLKNWYPAYNHATKLVQVSDEPLFSSKKLEALGWKFRTFEETLRDSVESFKAAGTVCVTGAGGFVASWLVQLLLSRGDYLVRGTVRDPSDPKNAHLMALDGAGERLRLFKADLLDRASVAAAIAGCDGVFHVASPVPTVEPTNPDCWSDEDYCRATKVEHSWYCVSKTVAEREALVYGERTGMDVVTVCPPWVVGPLLQPTVNATSMRFVAYLKGQFLDLRTFRRNMVDVRDVADALVLTYETPEASGRRYICSAHAMKVSETVGLVCSDGRREGSQLQEAAGAGVEVQGGGGTTTTKTVCVTGAGGFVASWLVVVVERLLAGGRYMVHGTVRDPGDAKNAHLLGLDSAAERLRLFKADLLDYGSLAAAIAGCDGVFHVACPVPDYALSGPEAPPLARPSSPLPLQCCLFNSCDLHQRPTVTVRLRILLQVELLAPAVMGTMNVLRACSEAEVKRVVVVSSLSAVMIIPECDECKVMDEGCWSDVDLCRTTENWYCLSKTLAELEVFEYAKRTGVDVVSVCPSLVIGPFLQPTLNASRDRLVKMKLRHFVDVRDVADALLLVYETPEASGRYICNSHARLVSDVIKLLKSWYPTYQYATKPFEETLRDSVESFKAAGVLD >Sspon.01G0018810-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:69835288:69839355:-1 gene:Sspon.01G0018810-1A transcript:Sspon.01G0018810-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVLHDAGRRIMPPSSSHRLSLLRATATTTEESIRQMQRGTRAAFTTLSARSFLPLKMGSQSTCYFKIYGHQVDQANATISRIGKARSTQVCSPFLFVPIPLFQTLL >Sspon.04G0007010-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:20005381:20010290:1 gene:Sspon.04G0007010-1A transcript:Sspon.04G0007010-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDLGGGDWREAVADKGPAEAAAGPDHLVVMVHGIVGSTADWKFGAEQFDKQLSDKVIVHRSNRNMHKLTLDGVDVMGERLAQEVIEEINRRPYIKKISFVAHSVGGLVARYAIGRLYKPPKRTSKNTLQTLDDNNRGTIHGLEAVNFVTVATPHLGSRGNKQVPFLFGVTAIENFACHIIHLIFGRTGKHLFLADNDDGKPPLLQRMVDDWGDLQFMSALQAFKRRVAYSNWVDSTNKIYPHIVYEELSKAEVPSQCADTDNCTLEERLLQGLKSVSWEKVDVSFHNSKVKDPAMHSDGADVIQHMIDQFIL >Sspon.04G0032500-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:27684806:27692984:-1 gene:Sspon.04G0032500-1C transcript:Sspon.04G0032500-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGLAPLRSAPLPIRASATPRARASAAACGRNTSQQLANGGQLSLVVPEAAEGDTVLPENMHKWRMVIAYDGTMFKGWQYQPSIPTIQYFLEDALICITKLDRKKLCLVGAGRTDTGVHAWGQVAHFTTPFSYHCLNSIHSAMNGLLPPEIRVREISAARPEFHARTSTKSKVYRYKIYNEAIMDPFHNRYVYHSAYKLNPHAMQEAANYFVGTHDFTSFANAAHNDRERRPTKKITRFDVTEMGAVLQLEVEGTGFLYRQVRNMVALLLQVGKEALPPDIVPAIIAVRDRKELAKVALSAPPHGLCLMSVNYDEEILKPPEGSPPISFGRTHHLILMK >Sspon.04G0032850-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:35147536:35149733:-1 gene:Sspon.04G0032850-1C transcript:Sspon.04G0032850-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VGTTQSRRPATATARERKTFHHAWRLPRYRRPSAVAWELGLVGPPPFPPDASCETSPFVSFVPCHTGRVRSAPTAGLPPSLWCWCGFVMAPARVDAEKDAQHELERKVAKYSRGQGADLKALGDKKLKGQLSVKEKLYGQSAKAAAKAEKWLMPNDGGILEPDHLEKTYRFSQRDILTEVDLLSSRKPFDMILPVLGPYNIGYTSNGRYMLVGGRKGHLAMMDMLHMDLIKEFE >Sspon.02G0009140-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:9407035:9408992:1 gene:Sspon.02G0009140-4D transcript:Sspon.02G0009140-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRARLPGSPASIAVLILSFFQGSVCGITFTFTNRCGDTVWPGLLSGSGTPPLETTGFALSPGQSRSLYAPQGWSGRFWGRSGCAFDGSGKGSCATGDCGSGEVECRGAGASPPATLAEFTLDGAGGKDFYDVSLVDGYNLPMLVQAAAPDCPDTGCLVDLNERCPDELRADDGRACRSACEAFGSPEYCCNGAYGNPNTCHPSQYSQLFKSACPKSYSYAYDDATSTFTCNHTDYTITFCPKSTPTSDKSKHSPRRPSHEQLEDSVWLASLKASDASALKITSWWSASIVLRSALAIAVVTLLVAQLAPYHPMVSLL >Sspon.02G0007700-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:21981337:21986445:1 gene:Sspon.02G0007700-2B transcript:Sspon.02G0007700-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARIKPKQLLIQSKTKKAPSRISYSTIITWNLIVILVVLSLYATYSHWHQRSAQDFEMELHEAELAVRPVDPKMISRPRYAVMNTAKGPITIEIYKDASAGVVDRFIDLCKSNHFKGMPFRHIIKNFVIQGGDFDFDGAAQEWITKAKASGKNDLRPDFYNSNVLDEIEEVDTDEHYQPKAAIGIINIMLKQEP >Sspon.01G0008690-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:24289773:24291764:1 gene:Sspon.01G0008690-1A transcript:Sspon.01G0008690-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASCRSPLAWLFALAAALFFFSWYLLLDSAAGPAAARRPNQWLRPGPGTKCDPAEALLRVFMYDLPPEFHFGLLDWKPPGFGGGVWPDVRDGVPDYPGGLNLQHSIEYWLTLDLLASEQGAPTPCAAARVRHAADADVVFVPFFASLSFNRHSRVVPPARDSEDRALQRRLLEFLAARPEWQRTGGRDHVVLAHHPNGMLDARYRFWPCVFVLCDFGRYPPSVANLDKDVIAPYRHLVANFANDTAGYDDRPTLLYFQGAIYRKDGGSIRQELYYLLKDEKDVHFSFGSVAGNGIEQSTQGMRSSKFCLNIAGDTPSSNHLFDSIVSHCVPVIISDEIELPFEDVLDYSKFSVIVRGTDAVKKGFLMSLITRISREEWTRMWNRLKEVEKHFEYQYPSQTDDAVQMIWKAIARKVPSIRLKINRLRRFSRFDTNKTDETLPQSSWLQNETP >Sspon.08G0002240-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:6871798:6875646:-1 gene:Sspon.08G0002240-1A transcript:Sspon.08G0002240-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVSVQKRWRKACGAIKDSATVGIAKVNSGGGRERKDLDVAVVKATTHVEQPPKERHVAGETTCPLRPSLSSPLQRSTSPVKILTNWSAVAAAIFAATSSSRPLTDVSYCVHALAKRLSKTRNWVVALKTLIVIHRTLREGDAAFREELLGYRRNGHALRMSNFKDDSSPQAWDCSAWVRTYALYLEERLECFRVLRYDIESERLRPAEGDPKGQSRTRTLGQDDLLEQLPALQQLLFRLVGCQPEGAAFGNYLIQYALALVLKESFKIYCALNDGIINLVDLFFDMTKLDAIKALDIYRRTGNLAKFLSDFYELCRGLELARSFQFPILRELDFVIRYEKEQTPELTFEAFEEPVAEEAPPEHEEPAQFADDDSDDEPETPTATADLLGLHEVNPAAAALEESNALALAIITGSPGWELALVTAQPSSSNQLAESKLAGGFDRLLLESLYEDAARRQQHSTMDASYGNGHASDQQKDPFAMSNGVAPPTGVQMSMMARQQQVMFGMPQQFQPQYGTAASQFNPFGDAYSVALSSQGAPLHGTGGSLI >Sspon.03G0039580-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3C:17608023:17608571:-1 gene:Sspon.03G0039580-1C transcript:Sspon.03G0039580-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGVSPKRVSAYVEVGRHVLFGSTDLYGAVSHPVTLSSVRYVTGDLSASGEPIGLNRLSSSRQGWREACTELPIGPYRSPSSRRVLHWITDIESQLSGWIQNSGNGGRRRSSRAAARRQVPTPTKTEAKRNTSLPFNYSRLQRCEGPHGLPTQRACSLAPFWAAVLSIGLPLPFLLGLLLDR >Sspon.01G0023940-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:88628147:88633495:-1 gene:Sspon.01G0023940-1P transcript:Sspon.01G0023940-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AMSATAVAPIPPAAAFPVAAPPSYPAAFSPTAAPTDGHDGDLYCHDKSLQRHLEFVEIMEDYVKDELRNLRREELRGAEEVKRCRATPLEIGQFMEMVDADHGIVAPTTTGGSFYVRVLSTIGRELLKPSASVALHRHSHALVDVLPPEADSSISLLGSSEKPNVTYQDIGGCDIQKQEIREAVELPLTHHELYKQIGIDPPRGVLLYGPPGTGKTMLAKAVAHHTTAAFIRVVGSEFVQKYLGQGPRMVRDVFRLAKENAPAIIFIDEVDAIATARFDAQTGADREVQRILMELLNQMDGFDQTVNVKVIMATNRADTLDPALLRPGRLDRKIEFPLPDRRQKRLVFQVCTAKMNLSDEVDLEDYVGRPDKISAAQIAAICQEAGMHAVRKNRYVILPKDFEKGYRTNVKKPETDFDFYK >Sspon.05G0005350-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:18955800:18957362:1 gene:Sspon.05G0005350-2D transcript:Sspon.05G0005350-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVPVYSITRTEIDEFWRRKEVEAEERRLAAEKEAARIKAKTLKMEDYVLFEQMIREILKEGNKGDGATMAPAATSGTEARIVGIKHWWTRSAYAYLNTPALSMDGTGRSKHVAPYVPQERCIMFCSSTPCQPNVNALAIF >Sspon.05G0021260-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:89605458:89608931:1 gene:Sspon.05G0021260-1A transcript:Sspon.05G0021260-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMNAGLNYRLGSISRLVSPLSTTIDYLRLPWVKSNTILSWLLASLPQLEQGETPEGSTLTSYSQEEDLEHDGEAGGMGWRHSSHRYDHHQIQPEKYSTEEKEASCGDWPYHEGNKNDQEQHGEDGQGIASVEWENEKAHRQF >Sspon.03G0018550-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:48277234:48278416:-1 gene:Sspon.03G0018550-2B transcript:Sspon.03G0018550-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:High-affinity nitrate transporter, Nitrate transport, Auxin signalin [Source: Projected from Oryza sativa (Os01g0547600)] VTMGKKKQLADDEENCYGVGGAEAEGGVDAEGRATDLRPLALSSPHTQAFHLAWLSLFACFFAAFAAPPILPALRPALVLAPSDASAAAVGSLSATLVGRLAMGPACDLLGPRRASGFASLLGALAVAVTAVTASSPAGFVALRFVAGLSLANFVANQHWMSGIFAPSAVGLANAVAAGWANVGSAAAQLVMPFAYELVLRLGVPIIVAWRVTYLLPCAPHHHGPRRLGDYRAWVLALTYGYCYGVELIMENVAADFFRKRFHLPMEAAGAAAACFGAMNAVSRPAGGVASDAVARLFGMRGRLWLLWAVQTAGAALCVLVGRMGAAEAPSLAATMAVMVACAAFVQAASGLTFGIVPFVSKR >Sspon.06G0009600-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:42745737:42749739:-1 gene:Sspon.06G0009600-3C transcript:Sspon.06G0009600-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTAPSPLPTLKGGGARLAGGVQELREKKNFSYVYIYVLAVSGGSKVKIFSYREMRKATHDFSGANKIGEGGFGSVFRGKLKDGTIVAVKVLSASSRQGIREFVTELTAISDIVHENLITLVGCCAEGGYLAPEYAVRGQVTKKSDIYSFGVVLLEIVTGRCNHNSRLPHGDQFLLERTWTYYEQRKLEEIIDAEVEEDLNVEEACRFLK >Sspon.05G0002280-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:6253745:6255161:-1 gene:Sspon.05G0002280-1P transcript:Sspon.05G0002280-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding METAAAPTTAPHVLVVPFPAQGHTLPLLDFAAVLAARGLRLTVVTSPANLPLLSPLLAAHPGAVSPLTLPFPSNSSRPSSDDGPIVAVVADFFCGWAQPLARELGAAGIVFSPSGVIGAAVPHSLFRRLVRRPAAAEGGAEESSVTFPAIPGPRSVRRNILWNVEESWGFVFNSFMALEGRYLEQPLEDLGFRRVWAVGPVAPDADAAGSRGGEAAVAAASLGAWLDAFPEGSVVYVSFGSQAVLTPAVAAALAEALERSAVPFVWVVGAGSSGVVPEAFEARAAEAHRGMVVRGWAPQLATLRHPAVGWFMTHCGWNSVLEAAAAGVPMLAWPMTADQFVNARLLVDEARVAVRACAGGFRAAPDPGELATVLADAVGDKGRDVRARAKELAAEAARAVKPGGSSYADLD >Sspon.01G0045680-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:84738936:84746874:1 gene:Sspon.01G0045680-2C transcript:Sspon.01G0045680-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKAGGGAADEEEFRAEVEERLINEEYKIWKKNTPFLYDLVITHALEWPSLTVQWLPDRTEPPGKDHSVQKMILGTHTSDNEPNYLMLAQVQLPLDDAEADARHYDDDHADIGGFGAASGKCLINEEYKIWKKNTPFLYDLVLTHALEWPSLTVQWLPDRIEPPGKDHSIQKMILGTHTIDNEPNYLMLAQVQLPLDDAEADAPHYDDDHADIWGFDAASGKIMKDYNMWIENKPCLDNLVITHTLEWPSLTVEWLPDRAEYPGQGQSVPKIVLGTHARGDFPNYLVIAEVQIVQQINHDGEVNRARYMPQNSFIIATKTVSAEVYVFDYSKHPSKPPLDGACNPDLRLKGHNSEGYGLSWSIFKEGHLLSGSDDAQICLWDIKANSKNKSLDALQIFKHHDGVVEDVAWHLRHEYLFGSVGDDHHLLIWDLRSPAPTKPVQSVVAHQGEVNCLAFNPFNEWVVATGSTDKTVKLFDLRKIDTSLHTFDCHKYVSAVFVLFIATFNINVSTPCVIREEVFQVGWSPKNETILASCCLGRRLMIWDLSRIDQEQTPEDAEDGPPELMFIHGGHTSKISDFSWNPCEDWVIASVAEDNILQIWQMAENIYHDEDDLPISDEPAKTS >Sspon.01G0017710-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:65586056:65591314:-1 gene:Sspon.01G0017710-1A transcript:Sspon.01G0017710-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQQQQEVRRVLKVAPPGKGDGESFPTVQAAVDAVPLGNRARVVIRLAPGVYREPVHVAKTKNFITIAGASPEATVVSWDNTATRIKHSQSSRVIGTGTFGCGTFIVEGEDFIAENITFENSAPQGSGQAVAVRVTADRCAFYNCRFLGWQDTLYLHYGKQYLRDCYIEGHCDFIFGNSIALMEHCHIHCKAAGFITAHSRKSTSESTGYVFLRCTITGNGDGGYMFLGRPWGPFGRVVFAYTFMDRCIKPSGWHNWDKSENERTACFYEYSVLGMDDVEHRLQWYLLTLVSAELEKVSSAAASFSSPVVTSLPMAATFAVPRFKLGGRPRPAATRPWLLPSPETESPSAALCILSSLYQSIPFAAAGSAAASGASLAAAQPSTRTDRFPEHVRMSTPTWTQHLLSRELRRRRRPPRKSAVWLLALDAELAVKLTVDARVEDGLAITTASGSAASLAAAVSTKGCCTCTAATAG >Sspon.07G0030550-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:8767578:8770934:1 gene:Sspon.07G0030550-1C transcript:Sspon.07G0030550-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to Glutamate-1-semialdehyde 2,1-aminomutase, chloroplast precursor (EC 5.4.3.8) (GSA) (Glutamate-1-semialdehyde aminotransferase) (GSA- AT) [Source: Projected from Oryza sativa (Os08g0532200)] METGGWERVWNGADIVSLEMMPGGVNSPVRAFKSVGGQPVVFDSVKGSRMWDVDGNEYIDYVGSWGPAIIGHADDKVNAALIETLKKGTSFGAPCLLENVLAEMVISAVPSIEMVRFVNSGTEACMGALRLVRAFTGREKIVKFEGCYHGHADSFLVKAGSGVATLGLPDSPGVPKGATYETLTAPYNDVEAVKKLFEDNKGEIAAVFLEPVVGNAGFIAPQPGFLNALRDLTKQDGALLVFDEVMTGFRLAYGGAQEYFGITPDVTTLGKIIGGGLPVGAYGGRRDIMEMVAPAGPMYQAGTLSGNPLAMTAGIHTLKRLTEPGTYEYLDKITGELIRGILDVGAKTGHEMCGGHIRGMFGFFFTGGPVHNFGDAKKSDTEKFGRFYRGMLEEGVYLAPSQFEAGFTSLAHTSQDIEKTIEAAEKVLKRI >Sspon.07G0009730-3C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7C:24790696:24791480:-1 gene:Sspon.07G0009730-3C transcript:Sspon.07G0009730-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding QGFGCQEDTILCGIFDGHGPWGHYVAKAVRDSLPPSLLCHWQEALALASLIDGEKRLGDCQFDLWKQSYVATCAAVDDELRRSRRFDAVQSGCTALSIVKQGDLMVVANVGDSRAVLGTTSDDGAIAAVQLTVDFKPNLPRKSLLTGHAFLCTGAAAVADVVSWNTMCAEEKERIRRCNGQVYCLADEPGVHRVWQPNRESPGLAMSRAFGDYCVKDYGVISVPEVTQRRISSNDQFVILATDGVPFVVAFFANTLAFFIS >Sspon.02G0022940-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:77521307:77523277:-1 gene:Sspon.02G0022940-1A transcript:Sspon.02G0022940-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RMTLRVSSRQAALGLRKPRTELLQARATRTRCSRRCDKVAREAERASGVRLAARKVSSAARKAEAPVWDPFTDELLQLPAMPWMPSIKHNYKGAVLCAADGCNHLDCHGKPFLVVFVGVAADCNNMSSMSIHYLIRCTPMSTRQKIMRGVDQLQSVCSTLVEECTILCGRNPHLKYNLGTREVTAIRRPPMTNAHIALMTAEGGGLGCASLTWSELCLWSAEVGLDGDIEWVLGRTIELTRPVPAVGGFDVVGFADSSGIVHVEHIRDFSEVRGVNGSLDIFVPYMSFYT >Sspon.02G0011540-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:24800457:24802933:1 gene:Sspon.02G0011540-4D transcript:Sspon.02G0011540-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVRIKAVVDRFVKELQEALDADIQDRIMKEREMQSYIEEREREVAEREAAWKAELSRREAEIARQEARLKMEKENLEKEKSVLMGTASSQDNQDGALEITVSGEKYRKSWNALNRIADTYAMTVLFIKNWATRTAAMGVDDD >Sspon.01G0029980-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2C:84903239:84904198:1 gene:Sspon.01G0029980-2C transcript:Sspon.01G0029980-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEAAPQVVDECRGVLFVYSDGSVVRRAQPGFSMPVRDDGTVEWKDVTFDEAHGLGLRLYLPRERAGRLPVFFYYHGGGFCIGSRTWPNVQNYCLRLASDLGALVVAPDYRLAPEHRLPAALDDGAAAVLWLAAQAKEAGANGDPWVTEAADLRRVFVSGDSAGGTIAHHLAVRFGSPAARAELAPVAVRGYVQLMPFFGGVERTRSEAECPDDAFLNRPLNDRYWRLSLPEGATADHPVSNPFGPGAPALDAVEFAPTMVVVGGRDILHDRAVDYAARLKAAGKPVEVRDFDGQQHGFFTIDPWSDASAELMRVIKRF >Sspon.01G0038380-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1B:29764165:29764719:-1 gene:Sspon.01G0038380-1B transcript:Sspon.01G0038380-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRASVPSGRTHTRNTNLTSPHLPEPNRAAGSMPPLPSAHRPHLDSENSPLSQLTSHNSSRPFQSPSASARPPLPPYLTARRCRTSRPFICGPIASHPLGLNRLVPHRASTKWLPQAATSTGDEDDGSGFDEVGQCFSGSESSSFSSRSERSLSSSSGSEPFSSSYSFKSEGRCRYGIHRVPPMM >Sspon.02G0053540-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:91408858:91410728:1 gene:Sspon.02G0053540-2D transcript:Sspon.02G0053540-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CAT1 [Source:Projected from Arabidopsis thaliana (AT4G21120) UniProtKB/TrEMBL;Acc:A0A178UYU0] MAASGGGKGGEGGCCGGTLFPEESFRSWSAYGRALLETGPRLRDRLTARSLDATEVNEVRGRSGADMRRNLTWWDLIWFGIGAVVGAGIFVLTGQEAKEAAGPAVVVSYAISGVSAMLAVFCYTEFAIEIPVAGGSFAYLRVELGDFMAFIAAGNILFEYCIGGAAVARSWTSYFATLLNHHPDDFRVHAAALAEGYSQLDPIAVAVTAAICALAVLSTKGSSRFNYVLSILHLVVIAFIVVAGLTKANAANLTADFAPFGVRGIFAASAVLFFAYIGFDAVSTMAEETRNPARDIPVGLVGAMTLTTAIYCLLALVLCLMQPYTEIDADAPFSVAFTAVGMDWAKYIVAFGALKGMTTVLLVGAVGQARYLTHIARTHMAPPCLAQVHPRFGTPVYATVVMMVATAVIALFTNLGILSNLLSISTLFIFTLVAMALLVRRYYVAGETAASDRNRLVACLAVIVASSIATAAYWGVTSSGGGGWVAYVVTVAAWLAATAYLQWGVPKARAPKMWGVPLVPWLPSASIFINIFLLGSIDGASFMRFLIWTAALLAYYFFVGLHASYDTAKAVAAEAEAARVEEGVSK >Sspon.06G0009730-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:41761735:41765495:1 gene:Sspon.06G0009730-1P transcript:Sspon.06G0009730-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGSEASKPAEVPAETVLDWHKQDNKRMLHAVYRVGDLDRTIKYYTECFGMKLLRKRDVPEEKYTNAFLGFGPEDTNFAVELTYIHSYSTDYGVDKYDIGTGFGHFAIANEDVYKLAENIKSKGGKITREPGPVKGGSTVIAFAQDPDGYMFELIQRAETPEPLCQVMLRVGDLERSIKFYEKALGLKLLRKKDVPDYKFPSLDDVFFQFSGLNVFTLIQYTIAMLGYADEDKTTVLELTYNYGVTEYSKGNAYAQVAIGTNDVYKSAEAVELATKELGGKILRQPGPLPGINTKIASFVDPDGWKVVLVDNTDFLRELH >Sspon.07G0017570-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:67796576:67800329:1 gene:Sspon.07G0017570-2B transcript:Sspon.07G0017570-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:V-type proton ATPase subunit C [Source:Projected from Arabidopsis thaliana (AT1G12840) UniProtKB/Swiss-Prot;Acc:Q9SDS7] MATRYWIVSLPVQTPGATATSLWSRLQDGISRHSFDTPLYRFNVPDLRVGTLDSLLALSDDLVKSNVFVEGVSHKIRRQIEDLERAGGVDSGALTVDGVPVDTYLTRSGLLLPAYCPVQPADRIGLGGLLPQRVCSSKASLSARACRFVWDEGKYPTMSPLKEIVGSIQSQVAKIEDDMKVRAAEYNNVRSQLSAINRKQSGSLAVRDLSNLVKPEDMISSEHLVTLLAIVPKYSQKDWLSSYESLDTFVVPRSSKQLYEDNEYALYTVTLFAKVVDNFKVRAREKGFQVRDFEYSPEAQESRKQEMEKLLQDQEAMRTSLLQWCYASYSEVFSSWMHFCAVRIFVESILRYGLPPSFLSTVLAPSTKGEKKVRSILEELCGNAHSIYWKVEDDAAVAGLGGESEVHPYVSFTVNFVGV >Sspon.05G0029010-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:69862391:69875044:1 gene:Sspon.05G0029010-2C transcript:Sspon.05G0029010-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding APDFGLTKIDDTGKKPKGDKLKAMQVDTTGKEDSEEMLLQLNKSAQSRDHGALGMEYGT >Sspon.01G0005910-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:15328624:15329876:1 gene:Sspon.01G0005910-1A transcript:Sspon.01G0005910-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGLRRCLPFGGGGCCCGEGVGRGGSVADGLVWDVALKAHASGDYSIAVAQANESLEDQAQVLAAPAATLVGVFDGHGGPEAARFVNRRLFSHIQGFAAENGGLSAEVLQKAFGATEEEFIGLVQKSWPSQPRIVSVGSCCLVGAIEGGTLYVANLGDSRAVLGRRGDRGNKRVVAERLSQDHNVADEDVRREVAEMHPDEPHIVLNSHGVWRIKGIIQVSRSIGDVYLKKPDICRNNPALQQSLCPFPLRRPVMSAVPSITTRELRPGDRFLIFASDGLWEQLSDEAAVGVVASSPRKGVAMRLVRAAQLEAARKKEVKYDKIRTIEKGQRRHFHDDITVVVLFLDKCRGAERSGPEDIDGTYAPLDVFSYSPAGDHEDPTKPVLR >Sspon.02G0041110-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2B:73906631:73906999:-1 gene:Sspon.02G0041110-1B transcript:Sspon.02G0041110-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKMDVGDIRPREVIEVDDDKDQVLSNSNVQPSGSHDQNQASSSFQVQDQQMASTSSQPSASNQVQVLQPTSVARHHPLDSIIGDISRGVQTRSRLASFCEHFSFVSSIEPKKIDEALKDVD >Sspon.01G0037810-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:26233830:26236645:1 gene:Sspon.01G0037810-1B transcript:Sspon.01G0037810-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVAESGGWDFLNWLGPDTSACVFHRLDDPTDLARAAAVSRSWRQFVIANEFCKSLCLRICPEVASFTRAVEVSESPPVPALAAPASGSSHDAEKDHRIYSNLGGALVSSKPFVDCVLDLDCIGASSTDRFPAERMENTLDSREVVGHRPSYWSSGGQYDPDVPESLTYRLRSNLCIVDEIRIQPYKVFFYDGQPIFSSKMVRVRIGRFKPSGGLAEVDNQTVIADENYVWTYTSPDFPMRQENVLQSFKLPRPVLCIGGVVRIELLGRTHKAFNDIVCHAQVIGRSLSPVFKAHISDSAGYTILKYLPDAKK >Sspon.04G0024250-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:21122625:21136883:-1 gene:Sspon.04G0024250-2C transcript:Sspon.04G0024250-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFKSMVYYGNTSIGEVEVWPKGEASAGLAVAAWVREIRVDRLSPPSERCPPLAVMHTVAVGARCLVMESRPPVAADVAPMPLVAMHAACLRDNKTAVVPLGDGELHLVAMTSRRNLTNHACFWGYKLPFGLYNSCLTMLNLRCLGIVFDLDETLIVANTSRSFEDRIDGLQRKLSNETDPQRRNGMLSEIKRYQDDKSILKQYIEGDQVYDDGKVYKAQPEIVPPLSENQQPMTRPVIRLQDKNIILTRINPLIRDTSVLVRLRPAWEDLRSYLIARGRKRFEVYVCTMAERDYALEMWRLLDPDSRLINSVQLHDRMVCVKSGLKKSLLNVFHDGSCHPGMALVIDDRLKVWDEKDQLRVHVVPAFTPYYAPQAEANCSIPVLCVARNVACNVRGGFFKDFDEGLLPRISNVHYEDEVNDISSAPDVGNYLITEDENAALVNGTGDSLPFDGMADAEVERRMKEANAQAFHQTAGNFVMPVAPAQNFVSSSVAPLAPPLGMMPPPFSQPVVQPGFSDSLQGSPAREEGEVPESELDPDTRRRLLILQHGQDTRDPTPPLPAIPPVQIPVPPVQPHGNWFPTEDGLNPSNLNRGSAGFTVESDSMLYEKKQPPHPSFFHGGDSPMSSDRFGYQNQRFPSQLPHTEDHHMLQNHAPPKYRSFSGEELAARHVPSSQRNNQIESGRHFAQYAGTSAGILEGIALKCGSKVEYRSTLCDTAELQFSIEVWIVGEKVGEGIGRTRREAQRQAAEMSLRNLANKYLSSDPNKLTDMKENGFSSNRNVFGYSGNTRDDMLPLSSTSEESRFMKMEENNSRKTGGSVAALKELCTVEGYNLVFQERPSPADGLVGKESYAQVEVGGQILGKGVGLTWEEAKLQAADEALGTLRSMLGQLGHKRSGSPRSLAPNFNKRFKPDFPRTVQRVPYGTYSRIEGLHTLNASPRFLASGGLPRLSQAATGHESTDEGP >Sspon.06G0020710-1P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6B:10749164:10749886:-1 gene:Sspon.06G0020710-1P transcript:Sspon.06G0020710-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIIRSTSLPSSLRSEEIDIEEQLQSLKETISSATIETIVDGIKRLGEVYKTIEQTICSPSSQASLCRLQQRTMVEQEVELSLVLLDLCNTMQENFSEIKINIQEMQLAIKRRNDSALQARIQSYIRLAKKAHKQFKKISKKPTSVDQDSCRVVKHLAEAREIAISMLESLSYLLSKQIGISSSSKWSLVSKAIKKRRVVCEEKLQETELVIVDIESGVETLFRKLIQSRVSLLNTLSL >Sspon.02G0004790-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:10328125:10330866:1 gene:Sspon.02G0004790-2P transcript:Sspon.02G0004790-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTPEFPDLGKHCSVGDCNQIDFLPFTCDRCDHVFCLHHRSYTSHQCPNANMKDVTVLICPLCAKGVRLNPSEDPNITWDTHVNTDCDPSNYQKVTKKKKCPVPGCRETLTFSNTIRCKDCTKEHCLKHRFGPDHKCPGPRKVDSGFPFHAKEKSESRTRSNSSSNNGSSWWSSSLVNAATNFKSSAEAGMQKLSTVTSQAFQKAKDGMSPIAAAAVVTLWSNVFTAQQNFPPWGP >Sspon.02G0047790-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2C:19720054:19720278:-1 gene:Sspon.02G0047790-1C transcript:Sspon.02G0047790-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLVNFVMDSLFALVSWQIWKERNTRCFRNGSTAIGDFLPLIKAEADRWIEAGATGLRRLAEWYSLFVSLVYCG >Sspon.01G0041160-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:42289189:42290282:1 gene:Sspon.01G0041160-3D transcript:Sspon.01G0041160-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVISMSSKVAALAALFVVLTMYADAASPNGGWQAAKATWYGAPNGAGPDDNGGACGFKNTNKYPYMSMTSCGNEPLFKDGKGCGACYQIRCLNSTHDACSGRAKRVIITDMNYYPVSKYHFDLSGTAFGAMAKTGLNDKLRHAGILDIQFRRVPCNYKGLNVNFRVQVGSNPNYFAVLVQYAGTDGAVVQLDLMETDKATGKPTGVWTPMRVSWGAVWRLDTKRPLQPPFSLRVRNGSGKTLVASNVIPADWKPLTDYPSSVQFP >Sspon.01G0001510-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:4031538:4035557:1 gene:Sspon.01G0001510-3C transcript:Sspon.01G0001510-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVARRRLLADAPPGHHHRQGAPPSDWSAGYLNGWLSKPTPIFGLRLWVLIGIAVGAAIVLVLLLILVCLSRRRRRRDDLLAANLYPAADTKLLKQHLQQQATPTPTKDIQEIVRRQQAQTPSAPPAAPQPAVQLAKAVAEPQTPAPPPQQQHRPPARKTPGSGMSATTSGGSERDGATPRSTGSAGMPEVSHLGWGHWFTLRELEEATDGLAEENVIGEGGYGIVYKGTLHDSTLIAVKNLLNNRGQAEKEFKVEVEAIGRVRHKNLVRLLGYCVEGAYRMLVYEYVDNGNLDQWLHGDVGEVSPLTWDIRMNIMLATAKGLAYLHEGLEPKVVHRDIKASNILLDQQWNAKVSDFGLAKLLCSEKSYVTTRVMGTFGYVAPEYASTGMLNERSDVYSFGVLIMEIITGRSPVDYTRAAGEVNLVEWLKTMVAERKAEEVVDPKMTGKPSPKTLKRALLVALRCVDPDANKRPKMGHVIHMLEMDDLLFRDDKKPGRDAHPQGSDRYSSKEEGSFSKPYSHLAIAFGSVLVASVCLGLRFVYMDKRDETLSN >Sspon.05G0020070-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:82636051:82641969:1 gene:Sspon.05G0020070-2C transcript:Sspon.05G0020070-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Noc2p family [Source:Projected from Arabidopsis thaliana (AT3G55510) UniProtKB/TrEMBL;Acc:Q0WVH0] WRRSWGRRRASSRARTCSPPPSVTARSATSSTTAEDPAEVSLCPPTLLTSASLFALPVRARLQGGLIEILLELVSGGSAGREDGDEDVPQRVHDSTMVTNDDDTTLIHGLEFPEDDAELDADLSDSDGYLSEDPGCLYYSDSEDEKADKDCIMEDGLDRQNDDMNRDIKKQKTKLKKLLDKDPEFANFLEKWQSELESYRSKEDSDEDGMDSMDDDDDSNDGNSPNAKVLTSKTISEWCQLVSKDPKSPALRNLLNAFRDACRYGVHSDGPSMQRFQNARVFYQIITFVLSESDNVFRSLLEIQDDANKGKIMNLRNSKKWQTVDPLIKSYLRNSLDLLSQLTDNKILALVLTRLRASVVLFSAYPSTSSRLVKILFRLWASGDQSLSLSAFLMIREVASLLPDCLDLCLTKSYNTYLASTKLVNDRNTKHIYFLIDCLVELYSLDVQKSYERAVTSVEQLNAILRQASKTKEKEDLRKIDNWQYINCVNLWVRFLCCHYKDYNLHPLFSEVLQVIRGVAHLFRGTRYLPLRLRLVQMLNELSSCSQMFYPIPSLLFDCLEFREVSQKEQTQRTKINFSSLLKVPKNLLKSRDFQEECVLSAIQVLSAHFAQWSYHVSFPEVATIPLFLLKKLHEQTTIESLHRPIKRLIDQVTENKDFIERKREVVSFSPNDKASVDSFLQEEKVSRNASFTRFYASVAENCQPKGRNTL >Sspon.08G0008780-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:89299185:89310782:-1 gene:Sspon.08G0008780-3C transcript:Sspon.08G0008780-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMWRAAARQLVDRALGSRAAHTSAGSKKIVGVFYKAGEYADKNPNFVGCVEGALGIRGWLESQGHQYIVTDDKEGPNCELEKHIEDMHVLITTPFHPAYVTAERIKKAKNLELLLTAGIGSDHIDLPAAAAAGLTVAEVTGSNTVSVAEDELLRILILLRNFLPGYQQVVQGEWNVAGIAHRAYDLEGKTVGTVGAGRIGRLLLQRLKPFNCNLLYHDRLQIDPELEKEIGAKFEEDLDVMLPKCDVIVINTPLTEKTRGMFNKERIAKMKKGVIVVNNARGAIMDTQAVADACSSGHIAGYGGDVWFPQPAPKDHPWRYMPNHAMTPHISGTTIDAQLRYAEGVRDMLDRYFKGEDFPVHNYIVKEGQLASQYQ >Sspon.03G0004120-4D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3D:17446837:17447510:1 gene:Sspon.03G0004120-4D transcript:Sspon.03G0004120-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LDVNDELAVVEYIEDIYTFYKIAQHERRPCDYIEAQVEINAKMRAILVDWIIEVHHKFELMPETLYLTMYIIDQYLSLQPVLRRELQLVGVSAMLIACKYEEIWAPEVNDFILISDSAYSREQILSMEKGILNRLEWNLTVPTVYMFLVRFLKAATLGNKVEKE >Sspon.02G0049550-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:31583987:31591218:-1 gene:Sspon.02G0049550-2D transcript:Sspon.02G0049550-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPGPGLGLGPVASRPICRAMGQPKSEKTFGLAGPMGALCIFGDIIKLAGKNKDLRPKPHLYLSIMRAFATRGDVDMVMRLNKRMWPDSVGSISRAAKEEAHELLMEAAINNNQIDLARGLLRQIVSEKECFSWTSRVGLVAVKVESLSGFTNSLLTPHIFPQVIILNDPVEKYMVPFQESQPLPADLILRKAVMRFLKDRAVPLVDDWGGCVGIVHRDDCTKLDAPLLSMSRGPPLCVPTSTTVEQVIDLLLREKSEMVVVVKSGNMYEGSYTSSSRPLGVFSLAILWSFTGDYDSSESDIPDTGISRATKPKQDA >Sspon.05G0022950-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:4386997:4387356:-1 gene:Sspon.05G0022950-1B transcript:Sspon.05G0022950-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLLCLTALIFPIILCLTASAAGASDTLDSGSNITDGATLVSAGGSFTLGFFSPTGVPTKRYLGIWFTASPEAICWVANRETFLSNTSGVLVISSTGSLRLLDGSGHTAWSSNSHDDE >Sspon.07G0021350-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7C:2328532:2328943:1 gene:Sspon.07G0021350-2C transcript:Sspon.07G0021350-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDETPDGLSSEQWVLLARPTLSWSRLSMGLWNSGQDPLLLFSKTCIGSITPSSRPQLEESDDPWNWNLEMEVDRSVPKRSKSKRRRT >Sspon.05G0028220-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:5B:63575054:63576121:1 gene:Sspon.05G0028220-1B transcript:Sspon.05G0028220-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MWCKQRLLWRIPRRKSTTGLQPTTSQSGHPSSAHQFQTPLHKTDQDAGGYKSFFYLRHCSSLQSAEMEQSNPTPTSSASTCDPAAAAPATAWLFHDDTAHGDDMLLQQLDAILLGMDDDDCCSDWLAASSPSSSSEAATTTLSSSPSTREQHRRPQWPHAANHGHDVASAVGEKRVTTAAFIGVRKRPWGKFAAEIRDSTRRGARVWLGTFDTPEAAALAYDQAAFAARGAAAVLNFPVERVTESLRALALAGTGAGGSPVLALKRRHSKRTRRRKPSAAGVTCDDRNLKTQRRQQPVARQCSGLSGVSSSCTAMAAPPQQQVTSRGQSQLRYGVVELEDLGTDYLDELLRVSSEL >Sspon.02G0009480-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:26708693:26713185:-1 gene:Sspon.02G0009480-1A transcript:Sspon.02G0009480-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEASPESGAAAVGGASGTAPPRKGKSCKGCLYYSSVLKSRGYNPICVGIPRSIPQVPNYVVDEPKEEATAQGHDLRRFRYGCAGYSMFVDNRDGQGGESEGKTLLPYCRGLELLVDSRLVERKPSTAEQAPAHVAKDAAATTRSHQQGQQRPANLSRQEFLERFKRSAGLVASGVAKNLNKTAH >Sspon.01G0003140-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:7135077:7136499:-1 gene:Sspon.01G0003140-2B transcript:Sspon.01G0003140-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTASLSAPVVIPTVSVLGAAPAAAPLLHPTVPGLGSIPGATLPITTPSIDLAPPSECLLLKNMFDPALETDPDFDLDIRDDVQEECSKFGQLKHIFVDKNTAGFVYLRFDSITAAMSAQKALHGRWFAGKMITATFMTPRQYEMKFPS >Sspon.01G0055780-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1C:83108130:83109509:1 gene:Sspon.01G0055780-1C transcript:Sspon.01G0055780-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding YCLDKVVFDASLIKWFCYECLQRRGEVICISSLEKVSSERPPSHAHFRSPVHQLVTKSVESVRDAGPWRNGKNESFVTKYTSLNRVYSSVKKHNKKKSLMKPMGNCTSRKVRIAKVTTHTSAEASRSCETIGTESAQSNHSKNQQVDHENPVALNTEQPCPLIVNSLGKLGDNHQSHLLETTEGLVSKLKKVPQSLTAPFKGLEKRENVVLGSNNLGSSCSTADLGNSVLGKSGDKSNRMKDSGANFSSKRTSDGCKNMEGKSIKAKKTVVDAHKNTFWTVDSLRSDKSEAHGDSNRDILNGFTPGGDKEEVTLQLDHRGSNELLPRSMATNVPQLPSLQNDVVDTVMPYSPNDGCEELFSCPGIKNISSVRERSVDPINISSSSHDTIEASESSERFRECQKASSCHRRKTVKMAMASSSSEESGYSIIEVPCIFTSNCTSLVIKLCCEIWYRRRHYV >Sspon.06G0006450-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:23109198:23112089:-1 gene:Sspon.06G0006450-1A transcript:Sspon.06G0006450-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPRHLFLLLPLRLLFLLPLLAAAKPVLEDGYTVTTFADLNPLPASGPHPYAILPRPRAGDLLLLDSAGSALYTLSLSSFPRRAAQASGGQAGLRVRRRRRRLRPPAQRRRRCRRQRLRRRPTPRRRPQGRASPPEDCAHEKQSGLGTTSVSVIAILCALLGSIIGFLVRHFYPVNEVSINHFFSRIQKQFLRTQRKATLISFCDIKSAVASSTAYTLLHRLIRLGCGYITVVFPSVRLQQEVPLRPSRRRPELRKTSTAPSIGLNNKAPLPPTGQLGDLISFAGDAGDKEGSDNANSQEGKVPSYEGDLMGLLYIPPVSVKKIDHMIETNLSGFSSHVNRRRLTVSGCSVSRRVHGDK >Sspon.03G0019020-3D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3D:44753689:44756505:-1 gene:Sspon.03G0019020-3D transcript:Sspon.03G0019020-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGDVRVSPESSSVALITPMQQQGEEVRRVTPYARKDESLLPLVREVVIRAVASENDAPKCSISHDVPAVIFSVGGYTGNFFHDMSDVLIPLYLTSFQYKGRVKFFITDYKQWWVQKYKPVLRRLSHHDITDFDSNKDVHCFQHVILGLTRDRDLILRPHPTRNPKRYSMLDFTRFLRHSYGLKRDRPLVLGEQPGKKPRMLIISRRGTRKLLNLRQVAATSRALGFDVIVSEARGNLKRFATMVNSCDVLVAVHGAGLTNQVFLPPQGVVVQIVPWGKMDWMATNFYGQPARGMNLKYLEYYVSKQESSLAQRYPRDHLVFRDPMAVHGQGWNALADIFMAQDLKLNIRRFRPTLLQVLDLLQV >Sspon.01G0001170-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:2590079:2592563:1 gene:Sspon.01G0001170-2B transcript:Sspon.01G0001170-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MYFLAFITQKLALLQWIPHCGMLAKGRKVAGRGEEMSAHYAFGPQDDDAIIKHRLLTRTTTTRGEPPLKKLQKKFMSFATEIEKDTDNVSDCERLHKAFLQEINTFELPLLKSKAVVDANIREKESFNELQVEIERQILHAKTDIEDLKKQLEQSKIERQHKEECEAIRKLISLQPPRSETEKLIADLEKEIADLEAENVACIRTLELRKKQFALLLHVVEELQISVEDEQKSIADELRAIAGEQKMSIEEGSVVASDSMLLAMSQGARDKERATGSPWLCLNSTVPAA >Sspon.01G0052670-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:25092907:25095964:1 gene:Sspon.01G0052670-1C transcript:Sspon.01G0052670-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPWRSRGSVAGACTYAGIPCELLPELTFRRCTPAGAPAFGSPAAGAGTGIRRRGAEASGWVGDYGSSGFLIHAYQEFRTDPTRPTRITRRLLHSALSFLDRSLPQRLRPPHYGSWPAVAPSPTHGIHLPHPARFLPLTRRRPPHPDSLLPHPGTRCGSHGRTTTTRGPSPSTVMAVLVPLPLPYVPRPSVAPFPTPASSSLPWRRPSPHTGVLPHREPPMLADPAAMALSSGGHPYERGMGDGYDGRHCLRSGMSARRRPAYVAAHGDAGASGALSYCVAGLISFACTRPRLAQFNSSLPSHIMAAARILTTVFQLPLPNLPRSSFAVMAACVQAAATAVCDPSAARPTRNNRAAIAIGTTDDYEERCCLGMGAFGAVVKARHRATGQTVAIKRLAAADFCSQMALLREACLLEESGRDNPFVVGFHSVARNPATMDLCCLVMECVGPSLNDLLHQSRCAGMPPPPEAIVRAIMWQLLTGAKKMHNAHVVHRDIKPENILVGGEGSTVKICDFGLAMRMDEPPPYDPVGTLWYMAPEMLLGKPHYDALVDTWSLGCVMAELINGSPLFVHSNAAGQLAAIFDVLGVPDETTWPWFSSTPFATQVQVMMYAKQRNLLREVFPKTKLSEEGFQVLSGLLTCNPDKRLTAAAALKLPWFTKM >Sspon.03G0009090-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:29100834:29102327:-1 gene:Sspon.03G0009090-4D transcript:Sspon.03G0009090-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding EEVQADTESDDEEQQIYNPLKLPMGWDGKPIPYWLYKLHGLGQEFKCEICGNHSYWGRRAYERHFKEWRHQHGMRCLGIPNTKNFNEITSIDEAKALWEKIQARQGVNKWRPDLEEEYEDREGNIYNKKTYTDLQRQGLI >Sspon.01G0053740-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1C:41214653:41214905:-1 gene:Sspon.01G0053740-1C transcript:Sspon.01G0053740-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MCVQEEERIKAANGGTLSFVKDNKRKNFNANANSPSKPKGKGPMQHQPQQNRFAVNKDQCLYCKKEGHYKKDCPEFLKMIMAKK >Sspon.07G0022340-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:7252597:7256562:1 gene:Sspon.07G0022340-1B transcript:Sspon.07G0022340-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVKGLTKLLADNAPKAMKEQKFESYFGRKIAVDASMSIYQFLSFARNVQPDNKVTGSRNQASVNMTSFHLLPLSSKREDATKDLKEAVEEGDKDAIDKLSKRTVKAPSEAEAECAALCKNDKVFAVASEDMDSLTFGAPRFLRHLMDPSSKKIPVMEFDIAKVLEELELTMDQFIDLCILCGCDYCDSIKGIGGQTALKLIRQHGSIESILENLNKDKYQIPEDWPYQEARRMFKEPDVTLDFPELKWTPPDEEGLISFLVKDNGFNEDRVTKAIEKIKSAKNKSSQGRLESFFKPVATTSAPLKRKVLPKQCHPIFHAY >Sspon.01G0035320-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:9430446:9433818:-1 gene:Sspon.01G0035320-1B transcript:Sspon.01G0035320-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDPTTKPPSPRPLRRRRLCGLCLGTALLALLVSALVHVVAPPPRPAPASARFSVIIDGGSTGTRAHVFATGHDGRPDLARSTVMRVSPGLSSFAADPARAGESLKPLIDFARDKIGGAGGAAGEAEVRLMATAGLRLLEERAQEAILASCRDVLRASGFRFEDAWAKVIPGSDEGIYVWVATNYALGRLGGDPNKTVGIIELGGASAQLTFVSDEVLPPELSYNYTFGETIYTLYTSSFLNFGQINRLTNHLLPQNAAQDLFHKMLRSRGSSKNGTLADPCAPRGYSPNEEVMVRISGASRSTLENQYVDNGTGNFTECISSSLLLLQKGKEKCQYQQCHLGSTFVPELRGYFLATENFYFTSKFFGLKKSSSLSDFMFAGEQFCNQDLSTLRKKYPNRSDEDFSRIEYSNQVGDIQVEWALGAFITLMRNTSLKPLHTAAESTHSNRPLFAVLGMFLLCGVLFVSRWRKPKTKIIYDLEKGRYIITRIS >Sspon.02G0025100-1P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:86558557:86560035:-1 gene:Sspon.02G0025100-1P transcript:Sspon.02G0025100-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAHADHQQMCRNANGDQNDDYVVDSHIVELSEIIDREIRSNTWKSRSSQAETIFRVPKPKPNNEKLHSAADKMMSTYQPAFLCIGPYHVNATEEMRRNEQGKLFALDMCIPQGGRPSVLEYTQAVKSMEAEARRCYEGDVGMDPNAFCRMLLLDAVQLILLLELFGNFAEDDATAAAAAGGTTEAASPSQGCRIRTLDAIMAVHDLMMLENQIPFFVVEKIYELRNRNGNGNAAKMKPVRTLAWESIRNMVGGVPSSAPNDDDLHLLEFQHLVHVCHVYLKPTCLNIDASTEILNKKTGKYGRFRRATEYYEAGVTFRRWCSEAGSQRRRPVLDVAFNKGVLSIALQDIHENTGYILRNVLAYEQKYNRTAMSPDTSYVTAYVVFMSQLLGSAEDVALLSSRGVVEHLLGDDGEVCALFRELADGLAFDPGSDHYLSPVEVALQAHCRHRRY >Sspon.06G0018080-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:97776671:97781983:1 gene:Sspon.06G0018080-1A transcript:Sspon.06G0018080-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAGGTHSRGERWSLAGVTALVTGGSKGIGHAIVEELARFGVRVHTCSRSTADLEKCRRRWSDKGLHVTVSTCDLAVRADRERLVETVKATFDGKLDILVNNAAQLFPKQAVQCTAEDFSRCMATNLESCFHLCQLAHPLLQNASIAGGGSVVNVSSIGSFLAYRELTLYGTAKETVEQSLSRVPMRRGGEPAEVASMVSFLCMPAASYVTGQVIIVDGGRSITA >Sspon.06G0001830-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:3340871:3343052:-1 gene:Sspon.06G0001830-4D transcript:Sspon.06G0001830-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQAQPAGKGRERRVSIEEVAKKLSLWHTATFRPILTHAELEPILCAAGFVALPPAPAPAPPKQQQQDDRDRERERPGVAWREYAFLGGGNASPAAAAPRRWLGPRPRLPYPRVDGLHLKTYEAFLGAVEAYLGAHRVSNLFHVRLMPVTSPHDRAFDKVFRPMRNCSPEEDGLIVYREGTLDDLTVETCSHHAATGDDFGGHVIPGISCSDLGCLRKVDGNCHEEGCRRAGAGAGSHDFFAVHLKDLFPSY >Sspon.01G0044920-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:86082747:86085126:1 gene:Sspon.01G0044920-1B transcript:Sspon.01G0044920-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRRTGCLLGISTSIDLYLIEIEMVLIFNEIISNLGLLEIPLKGRNFTWSNMQSAPLLEQIDWVFTTSNWTSDFPNTLLLPMARPISDHIPCKIQIGTSIPKAHVFRFENFWVEHPGFFDLVNSVWNSNVSATNSATRITAKFKLLRAALKKWSKGLSNLNCLIKNCNSTLEILDSLEEQRPLFLQEFNFRKILKNHILKLLKHQKDYWKKRYTIRWTKFGDESTKFFHAAATERYRINTITSLETEDGRSVCDHSEKAALLLEEYKQRMGYTTRPDMLYNLSQLVQPNSNLRQLSKPFTIEEINNIVKQMPADKAPGPDGFNGYFIKSCWETIKGDFYSLCFDFFNGTLDLQSINNSFITLIPKTNNPVSVNDFRPISLLNCVLKIITKLLANRLQGKITSLIHTNQYGFIKSRTIQDCLAWTYEYIHQCQQSKKQIIILKLDFTKAFDTIEHNVIIQMMKQLGFDDTWCDWIQRILDSGTSSILLNGVPGKHFHCRRGVRQGDPLSPLLFVLAADLLQCIINKGHSEGLFDLPIPSYETAQYPIIQYADDTILIMKASQMELFTLKGLLESFTQSTGLRVNYKKSCLVPLNLSPEKAHQLAGVFGCKLESLPFTYLGLPMGTTKPRVEHFGFIMNKVERRLTATSNFLSHAGRLELQLSVAEMRMLRWCCGHTRRDRVRNDDIRDRVGVAPIEEKLIQHRLRWFGHVQRRPPEAPVRSGVLKRADNVKSGRGRPKLTWDESVKRDLKEWNIPKDLAMDRSAWRLAINVPEP >Sspon.01G0049750-2P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:114905095:114906539:1 gene:Sspon.01G0049750-2P transcript:Sspon.01G0049750-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPPRPRPRVMVLPFPAQGHIMPLMELSHRLVDHGFEVDFVNTDFNHARILTALAAGGDTGAAVHAGIHLVSFPDGMGPDGDRADIVRLAQGLPAAMLGRLEELVRAQKTRWVVADVSMSWVLDLAATVAVRVALFSTFAAATFAVRMRLPKMVEDGIIDENANVKRNERIKLSPNTPAIDAADIPWVRLRSPMIKGMIKTNQTFVLADTIVCNTFHAIESEALALLPKAALAIGPLEAPPSNSASQLWPEDPACLDWLDAQAPGSVVYVAFGSFTVFDTARLQELADGLALTGCPFLWVVRPNFANGVHEGWLDQFRRRVGDKGLVVGWAPQQRVLSHPSVACFISHCGWNSTMEGVRHGVPFLCWPYFADQFLNQNYICDVWGTGLRIDADERGIVTKEEIRDKVDQLLGDDGIRARVLSLKRAACESTADGGSSHQDLLKFVNLLREQ >Sspon.01G0003990-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:9490332:9495625:-1 gene:Sspon.01G0003990-2B transcript:Sspon.01G0003990-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AAMWRGGATAASAARALRSRLLLDPIHHPVTSLAPIASARTSSSSSAPSTATANTIVEEAAAAAAAAAAVSVSQHARSISDALRQYGKCYWELSKARFSALVVATSGAGYVLGSGNIVDIAGLCCTCTGTMMVAASANTLFQVFEIKNDAKMKRTMRRPLPSGRISPGHAAMWATSVGVAGTALLAVQANGLAAGLAASNLVLYAFVYTPLKQIHPVNTWVGAVIGAIPPLCRYRMFSFADPTGKRTAWVSLRNCLYMLPLGLFAYVGGLTSEWFSFEASLLTLGLTVGALSFVLEPSPKTARRMFYGSLLYLPAFMAGLLLHRQPNEQKAHNLAEKSELDGVLYGADMQDKERAQQKRQDRKPSRVQSRPLVAYASVAPFPFLPVPIYE >Sspon.04G0001950-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:37796896:37807077:-1 gene:Sspon.04G0001950-3C transcript:Sspon.04G0001950-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEREGEAPAATAVVRRAPSTASSARVSIWESVRACGVWSKEVDKAELRRQVVMPLYLRRAVAAAVKAKDEAAGVAAATAEDQAGEDAEGPAVAPVVVFVNSRSGGRHGPELKVRLHELITEEQVFDLSVVKPSDFVHYGLSCLEKLADQGDNRAKAVREKMRIVVAGGDGTVGWVLGCLSDLYKLKREPVPPTGIIPLGTGNDLARSFGWGGSFPFGWRSAVKRYLSKASTAPICRLDSWQTVIQMPEGEIKELPYALKKVEPGDRLEISQENGTELSEKASFYKGVFYNYLSIGMDAQVAYGFHHLRDEKPYLAQGPVANKGWFCTPCTASPQLRGLKNILRLSIKKANCSEWEQVQMPSSVRSLVVLNLYNYGSGRHPWGDLKPEYLEKRGFVEAHSDDGLLEIFGLKEGWHASFAAAIKFEMRGGEWDRAYIQMDGEPWKQPLLQEHSTIVEINKVPYHSLMINGEQ >Sspon.02G0040760-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2B:71809184:71809666:1 gene:Sspon.02G0040760-1B transcript:Sspon.02G0040760-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPARRGALLGWRGTCFRPTARRWNTAAPRGIRADLPPPRASADGAAATSGPAVAVPEAGTLLSRWPRLPNLLCSRRGLVSTMGLVGMASSRPPAVVAVGTVRMAAVAAVGDGDDGEDEFGPILSFDQVVQEAEKRGVSLPSLPADMVEAAKSVGIQNCCC >Sspon.02G0020800-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:70521428:70523297:1 gene:Sspon.02G0020800-3C transcript:Sspon.02G0020800-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQRGELGRQLPLRGPLKALEADIHHANAMADAVQRNYGGACVQMRLSFSSLAPFFLYFIQWLDCGCCYALPSYLGLFHILICKVYADGDSSVSTYERRASLREFYAIIYPILQQLESSLIERDLKGKGRCKDIVSRRRTEDWKKLSGKDLEREDECGICMEACTKMVLPNCSHAMCIKCYRDWYKRSESCPFCRGSLKRIRSRDLWVLTNYNDVIDPAHLERENVRHFYSYIDSLPLILPDSIFFFYYDYLL >Sspon.01G0021730-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:79692677:79693225:1 gene:Sspon.01G0021730-1A transcript:Sspon.01G0021730-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLTVSTATAAAGGNNNKQREGAEIITGAEACFAHSKEMLKSLGFPGGVMPLRGLEECGWVRETGFVWMRQKAPYEHYFRGTGTRVRYDAEVTAYVEDGRMKRMTGVRSKQVMLWVPIVEMSLDGEKRDKIYFKSNVGIGRSFPASAFPDEDEEAEPKKKEEEEKKENGDDKAAADDAASK >Sspon.02G0028080-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:119546535:119546780:-1 gene:Sspon.02G0028080-2C transcript:Sspon.02G0028080-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DVPHSVEPLLRAQRVRGRARARQGPARPRDGARARPPPRTRTACPRRLHRRQARWLHRQDHVPAPRRQARALAQGCRRHMAL >Sspon.03G0016000-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:51106945:51107298:-1 gene:Sspon.03G0016000-1P transcript:Sspon.03G0016000-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFASGSGSASARDADAGASALEFRRKEAEADCGHISASQTDLLARAHFLGGGGGGTVEEEEAEVFSTPPLTQQDPQRQGQSQRGQAGEGEDGMPCAPCPSPRPAFSFSLFLPSLG >Sspon.02G0010080-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:22740662:22745152:1 gene:Sspon.02G0010080-2D transcript:Sspon.02G0010080-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding PPAALHICSPSSAPGASHAARGVPRLPPAPGPTAQELSLSRAGLQVEASSPASANAEQKAKPETEPRRWQLASPSLSHGAGRSVRLVLDASLLLNPSSTREAAAVALRPGVEELLRRLRYSNLSVAICYAEGMPTNESGFLEKVASSHLFGSILLLAKSRNLSPTELMLEWSRTSFCFYVTSRVDKGLISELQNQNWRVLSVGNECNIDVPGVLNVQRLQELLLTLASLIKREICGSSVLVIGYIMKKSREEDFAKASFLYSRGAFPIYPSKDSLIFVPLSFELPLSLQLQEVDMVLHKITDEIVKIDPNCSINFPKGISFSAGMFEIIRFVEEHPDFCIMDPFKNIYPLLDRLQIQKILVRLQELGAEGKPKLRAPYSLKVDNFHDGELDKHLAEANLSFPLIVKPQVACGVADAHNMALVFQIEEFSNLSVPLPAVLQVSVTHQLKNILQEYVDHGSKIFKFYVIGDKVFYAVRDSMPNARFLKSSSGGEALTFNSLKTLPVATKEQQLQTGVQDSKLLDANLVEEAAKFLKGLLGLTIFGFDVVVQEGTGDHVIVDLNYLPSFKEVPDSEAVPAFWDAVRQAYESRRGNAQG >Sspon.03G0019430-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:83449153:83452543:-1 gene:Sspon.03G0019430-1P transcript:Sspon.03G0019430-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNASGSSWCSRVAVLQQVLGPQASPHGSGFGFGLHQQRLGDASSSPGGMEPAGAVMPPLLFRALASLNLLLLIGYLLLLLLAKLFACLHHRATAKDRTTSNSNWYDGCCDYRTEAMATDEAEYAAAADVVEGQQADMLFWFDEAVFEDSTLLLGDEGKDHHLYAATETARCLQVESNFPVEESARISPRDLNHHIVHAEAEPVQQMQEEAKGIAAVDVPTTVLEPEQRTAPAPVVVPPENVSIEGNQSPRYTLSLLLVILIFIRFISRIVCHRHLVSGNKQQESKRAGEGARRDVDADRAEHEEEGPPQAKSFSDEGQRDIKLFVNNRALADTRKLLLEGGVVAGGGGGAKAAQLQDENDDKDKNGDSSRFGASTLTSESTSKSSVEWQSSTVTKDSETEYPFSSSSRRSSARWESYTLFRKYDEDMVYFHRVGAQKLTETESFRSIKYQPRSMSERIVHKLTPKPSTPKPIGLRDPYPELERAYVAQVCLTWEALNWNYTSFRRHNGSDGNIAARCCPARVAQEFQQFQVLLHRFIENEPYECGRRPEVYARMKNSTPKLLIVPEFRDEDDEKDDLISAVQFLLILEESIRTFMTFLRADKRSHYEMFREMVKRRVSAVDQPLVVTLKKANKKKKSRLKDLTRPRRCLKRTKLREEEELSILLGLIDLKVVARVLRMPEITDQQLHWCEEKMNRVRIDLEGKMQRDPTPLFYPAH >Sspon.02G0020480-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:66844714:66847214:-1 gene:Sspon.02G0020480-1A transcript:Sspon.02G0020480-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MWPMYCTPPPISLTAFLPLPAKLPRHTTLFSVPLLATAPHKLAPRSTLCVFLGYSPDHKGYRCYDLTSRRVLISRHVVFDESVFPFSSTTTPTSPPDLDLFSLFPTDAVVEPLFRLSPTGTAPPCPSPGPCPSSPPASDTPCPGLERSPHGPAPITTPDAGPGPSPPAPPARFAQPALVYRHRAPYESAPVTTLDAGPGPSTPAPPARFAQPVLVYQRRARPEPPPTYPPVAPSSPGYPLPPTASSPPATPTPPPRPPDARVATPVYHPPLLHRHPRHVHPMVTRHAAGTLPPRALAAMPGDSQISPVPSSVREALLDPHWRRTMEEEYAALLANQTWDLVPRPPGSNIVTGKWIWTHKRRADGTLERYKARWVLRGFTQRPGVDYDETFSPVVKPATIRTVLSLALTRGWAVHQLDVKNAFLHGILTETVYCSQPAGFVDSSRPDMVCRLNKSLYSLKQAPRAWNHRFAAFLLTLGFVEAKSDTSLFIYHHGTDTAYLLLYVDDIVLTASGESLLRRIITALQQEFAMKDLGVLHHFLGVTVEPHPAGLLLHLRQYTLDILERAGMTDCNPCSTPVDTQGKLSEAEGNPVTDPTAYRSLAGALQYLTFTWPDITYAVQQICLHMHDPREPHLIALKRILRYLHGTVDFGLLLHRRSSSTELVVYTEADWGGCPDTRRSTSGYAVFLGGNLVSWSSKRQQVASRSSAEAEYRAVANGVAEASWLRQLLGELHSPLLRSMLVYCDNVSAVYLSTNPVQHQRTKHVEIDLHFVRDRVAIGEVRVLHVPTTSQFADIFTKGLPSSTLTEFRSSLNITSG >Sspon.01G0024960-3C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1C:87427240:87429767:1 gene:Sspon.01G0024960-3C transcript:Sspon.01G0024960-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MELGVGDVMGLQQGIAITAPSPRGSGDLGLLKRAALTQAAAGPYPSPFLDEQKMLRFSKAAHTLPSGLDFGGPSEQAFLLSRTKRPFTPSQWMELEHQALIYKYLNAKAPIPSSLLISISKSFRSSNRVSWRPLYQGYTNADSDPEPGRCRRTDGKKWRCSKEAMADHKYCERHINRNRHRSRKPVENQPKKTTKEVPAAASSLPCAGPQGSLKKAKVNDSKPGTVSCWTDSLNRTMLSREKANKPTEDNSLLLNSTNSQPTLSLLSQLKQQNKPDKLGPTLENESNSDTILKAWGGNQPSHKSISSTQHHDAESLQSVLQNFSLAQNEKMESEKNKYSDSMLVSSTFYSADGPRSTCLTPNMTQVQQDCISSSWEMPQGGPLGEILTNSKNSEDLSKCESRSYGWLLNLDHAP >Sspon.01G0012460-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:41364406:41368458:-1 gene:Sspon.01G0012460-2B transcript:Sspon.01G0012460-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTRMVKAFFSQEATEFGGQMGCMATMFQIFDRRRLLTGRRHGDCPGTMDELPPAAAGHTLPVSSTQAPVQSTANPNITVEKSFSKSKSVTENSTHSTESSRASSASSSCSSLSSLNSGKPAQQKLPCINEKPVVGRSRTMRSSRSFKSSDTEVKSKQPKTEFRDVVKDSINQDSRVLAIKTKMMEQRDRLHKDSPRPLLISKSTDGTYVIAIDRSNVPPAYVNESSRRPRLSCDDRQLMLQAEAQDSKMPSSKLRDLPRLSLDSRVESVKSSLHLKNFGYARTDDSLIDNLKYQESPSHRRASGVIAKLMGLEETLDAPGSARSHRPVHHTQNGHLSHTSRSICHDLSPLQRKIQPTILKVKPSPRIVPETAPWKQQKTSTTRYYAKEGSSSTSINDSIERRLSNLTSPECNKDLRAIRILGELHAKRSDYRARLLTTQKAAAGQTNSAQDFQSPVVIMKPARGIMKQNASVATLAGTKVHRKLRHEERPFTTKTENSDRTKTHSHNQKACSRREEDVGSTSSPKPPRILSPKLVQKSDCGRRRINQLTVPLMSPTSKEVSPRGKLRPRASQSNSICGHDKAMIPESKISLSKQETRILCTDKNIHTLENIRSPVSVLDATFYQDVMSPSLRSISNSFKNVAALTLHDCWNSISLPDTPTLKKSSESNHIIPQNMKALIQKLELLQLLSDEAPRTNDNSLILTANKDRHYIYEILSASGLLHSELNSRMMPCLFQQPSYPINPGLFLILEQAKPTAGKLHRKLIFDIANELIAKKIYSGSSVRQPLQFIQCKKSSGWHLFKELCSEIEMLRSEASTIRLSEEEDEESKLAKNAVREMGKWKSFGSELQGMVLDIERSVFKNLIDEVISGEGMGKV >Sspon.01G0024230-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1A:87094660:87094884:-1 gene:Sspon.01G0024230-1A transcript:Sspon.01G0024230-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPLPHRSNAHASLPAADGTMPAKRSTNPANGEAPAALSAPAADLGLRVPAARGRGACSCSDEAAGRRRWGRG >Sspon.05G0000900-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:6434667:6435584:1 gene:Sspon.05G0000900-2P transcript:Sspon.05G0000900-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIASSSPPRYTRRDADTSSARARSAASASSSSPAALPAPTMSYAHASRHRGGGMPATTVLAAKVALASAALAAAASLARLAVPRLVSVAGAVLPRAWAVARLWLVPPYLFVTVHLIILVIWKLSDHRHFQQAAQQHKDPWPVAQHTPPPAAVHGHAPAVKAKEDFGSPAGYGEPLEAEFSPDSAGGESCVTTESDEDASSSPSPSSYVADVRRSLAPAQERTVLLEREPSLPSQAVDADGDDDLDATWNAIMQKTRPAAPPPAQTSPKQGPPPPRARDPSVGAEEMNRRFDDFIKKNRTSFGRQ >Sspon.03G0001020-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:2780444:2781642:1 gene:Sspon.03G0001020-1A transcript:Sspon.03G0001020-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPMSVKMVISRSQPTTSMVCSFQCTWIICSYILNLLPVTENVNHLHAAVFEIPYSTIYREFDVIVSFSSGVTKGLKDSATGKVEPHNSAPPIDIPVLSLDELIEKTDDFGSTALIGEGSYGRVYYAILDNGTKMAVKKLDSTENEPTTEFLTQVSLVSRLKHENFVDMLGYCTERNLRIVAYEFATMGSLHDVLHGRKGVQGAQPGPALDWMQRVQIAVGAAKGLEYLHEKVQPSIVHRDIRSSNILLFEDFKAKIADFNLSNQAPDMAARLHSTRVLGTFGYHAPE >Sspon.04G0011510-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:35221529:35226330:-1 gene:Sspon.04G0011510-3C transcript:Sspon.04G0011510-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCFSCFDSPADEQLNPKFGGAGGYGGASSAAAACGAGIGRHGDRGYPDLQQAPMAAPRVEKFSAAAEKARVKSNVLTKEASVPKDANGNIISAQTFTFRELATATRNFRPECFLGEGGFGRVYKGRLESTGQVVAIKQLNRDGLQGNREFLVEVLMLSLLHHQNLVNLIGYCADGDQRLLVYEYMPSGSLEDHLHGTNAVPEALDWNTRMKIAAGAAKGLEYLHDKANPPVIYRDFKSSNILLDESFHPKLSDFGLAKLGPVGDKSHVSTRVMGTYGYCAPEYAMTGQLTVKSDVYSFGVVLLELITGRRAIDSTRPHGEQNLVSWARPLFNDRRKLPKMADPRLEGRYPMRGLYQALAVASMCIQSEAASRPLIADVVTALSYLASQPYDPNAALASRKPGGDQRSRPGENGRVVSRNDETGSSGHKSPGKDREDSPRDLPAILNKDLERERMVAEAKMWGDRERMVAEAKMWGDRERMVAEAKMWGENWRDKRRAENGQGSLD >Sspon.08G0004260-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:12934812:12938381:1 gene:Sspon.08G0004260-3C transcript:Sspon.08G0004260-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVGEDEENAETESDESTKGDCDYGGSVIEATVSSEALNDRHGGDSNCQCAESDGACNQVPETGSESSNVECADEVPQIRSKSSNDEDSGCADEMPGTLSKSSSNDSSECADRSSPRAVLDISVSGSMDSDDSVSVEQSAESNHNVQWRNLISSLILRRKKSMGRAVTFPQRSKSRGLRGYLERMRSGKNQMDCSAIAPEILPEIGKWRPSWRSFDYNELCAATDSFSSEKMIGKGGHAEVYKGQLADGQFVAVKRLTKGTNKEDRVSDFLSELGIIAHVNHPNAAQLLGFSVEGGLHLVLQFSPHGSLASVLHGTKEPLKWKVSCSWLMEMDYSIREAMRSCPGSSKPIYISVGHRISLDSATAIVKSCCKYRVPEPTRQADIRSKAFLQKLQKPRQ >Sspon.01G0015120-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:44378123:44380048:-1 gene:Sspon.01G0015120-1P transcript:Sspon.01G0015120-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVATEEMAVYCFDTLVAHYTGEQPPPPAFEDGNHPLFVTWKKVANGSEPRLRGCIGTLEPRQIVSGFKDYALTSALRDRRFPPIQSKELPTLECTVSILTDYEIAEDYLDWVVGKHGLIIDFTDPDYNIRRSATYLPEVAGHEGWTHAETIDSLMRKAGYQGTITESLRKKIKVTRYQSTLYTMHYGEYVAYLKKNRGTAPSISGVPAVNGFKLGH >Sspon.06G0012920-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:56050293:56057724:1 gene:Sspon.06G0012920-2B transcript:Sspon.06G0012920-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:EMBRYO DEFECTIVE 140 [Source:Projected from Arabidopsis thaliana (AT4G24270) UniProtKB/TrEMBL;Acc:F4JQ75] MATEEELPEAAAPAPAGGGGGDDAEMPDAAAPSGSDSDSSDSDSDDEGAAAADELRIQALEKTIQALEKTLQEQPLDYETHVQYIQCLRKSGNIEKLRAAREEMNKYFPLTPKMWQEWAKDEISLSSSEESFGDIEKLYERGVQEYLSIKLWRDYLDYVEEHDQSVSQCTPSGLSKMRNLFESAITAGGLHVTEGSKLWAAYREYEMAILITIADANDEEREKQVQRIRTLFHRQLSVPLADMESTLSEYKSWEAEQGNANDPGADFDGVPSNVASAYKKANAMYNERKQYEDQLSNASTSEADKLQEFVKYIKFEESSGDPARVQVLYERAVSELPVSSDIWMGYTSYLDRTLKVPSVLRSVYYRATRNCTWVGELWVHYLLSLERIRASEEELQHYLNIYLTRVHGLRRRISDGLDFQLIRQTLTDAAEFLSSQLDTKELLRLYAYWAKLERSLGKDLSAARGVWENAIKKSGSVFEVWEQYISMEIEMGHVHEARSLYKRCYSKRFAGSGSEEICHSWIRFEEENGTLDDYDLAVKKVTPRLKELMTFKSQEEAKVEAYSNPNDNSNANDSSQKRKPSKMANKQQPPAKKRKENPPKSTMPSDDQGSNVQSGHSGAVTAVEVGEASREKVVASMEMKVDGDSQTGKSSSNEPKPSFYNDKCTVFVSNIDLKANEDDLRRFFSDIGGATAIRLLRDRFTKKSRGLAYVDFSDNKHLEAAIKKNKQKLLGKKVSIARSDPSKGKKSREAGQASQDNLPQSGGDDAKATGTSGPDNEVPKGDAKPTGKNPRFAPRAVIKLVGWTNEDEKKPEGAGELKSNEEFRNLLLKK >Sspon.06G0023090-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:42410433:42422383:1 gene:Sspon.06G0023090-2C transcript:Sspon.06G0023090-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRSPPGRRRREEVDAPPAPDRLSVLPDALLHHVMSSLKAWEVASAPCVDLRLRPGRPDGDTATEEFARFARRLFRRRDASAPVDTLHLRSSDVDVAFDEDDAKSWIRTAVKRKARVVHLIGHRNGLAPLEHAAFVSSHLKVLKLSYAHLDDNLLTQLSSRCPSLEEMDLKDCLISGHEISSSSLKTLAMVKCNLHIFCGLTINAPNLVLLRCVKPIGQAPSLKNMGSLVTGTIILDDYCFSDDFEDFSKDEFDETTDDEDDTRYASDDYDKNRKCKRKPVMMSDDDELDGDTDDDELDETIGDDSDDGKKWGCKTGAGYGFGLPQKRHMPGGYKDADDYGSDIESDDNTYEYSEIASDFVLSGYDGNLQAYGGNSGCSDSKISGGHNIIHSLSNATSLELLADAGERTEELPKFSNLKTLSLGEWSMAADFDPLVFFLQHSPNLEKLFLELKLNFNVKKPMEIGVKPNGRSFACKHLRMVKIKCSKDDVRVHKQGCSRLMVYQLRRFLSVELVAHNIERNIFRNTFSFKVAVELSQSLMYRFASLFVFFFTPNAHIMMNWDVKMAVAAAPDLLQVVQDRTAGMNPHPYA >Sspon.03G0028110-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:11937538:11945283:-1 gene:Sspon.03G0028110-2C transcript:Sspon.03G0028110-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSREDALHHQTEEHTRRSAVGEAARKLKKGASKPNRSMRASINRPPTPSAEEDRDKEPSLGEIINIKLVESGEKEKLMELLRERLVECGWRDEMKALCRAYARKKGRNNVTVDDLIHVITPKGRASVPDSVKAVLLQRIRSFLMSTTLR >Sspon.07G0009790-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:25305269:25310453:-1 gene:Sspon.07G0009790-2D transcript:Sspon.07G0009790-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAADVWGRSSSSSSSSATAAARRLQARYDLYMGFDDADAGGDEVTDPRGGAEPYNCPFCGEDFDFVSLCCHIDDEHAVEAKSGVRMPHLCYKGWNGLDRTFDYAAWKRRVRKVSTGSHSLLSLLRKDLRNGSLQSFLGGSSYVSNPPAAAPDPFLSSLICNLPVAEPSKDLHSDSSDNNFLLNKFPDEKTVESDGLGLQGGVALGILRLSVGAMVAASRG >Sspon.03G0011890-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:36928997:36930959:1 gene:Sspon.03G0011890-3D transcript:Sspon.03G0011890-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKCSHCGNYGHNSRTCGFGHREIMLCEAGDNGGGHGGGSGLRLFGVQVRIGGGGGAGSSASMKKSYSMDCLQLAAPAGCSLVSPSSSSSSSSLLLSIEEGLERGTANGYLSDGPHGRVVQERKKGVPWSEEEHRQFLAGLEKLGKGDWRGISRNYVTTRTPTQVASHAQKFFLRQSSMGKKKRRSSLFDMVPICENSASISDPLSSQGASTSLSLNVARHGAASDSERAALDLNSTEQDDSSRAAASSASGAGSRPFPAAAAQTELLQPSSHGHGRGHHCSPLDLELGMSLPTPSVGT >Sspon.02G0015640-3C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:43865773:43870395:1 gene:Sspon.02G0015640-3C transcript:Sspon.02G0015640-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGASTPRHHSSSPFQRSLLSRRIITFALYALVPLALLHYLLSFPPSPAPTTPSPSPPQGPKVASAAGAAGGGAARKIAAPRCDYSDGAWERSEAGPLYNGTSCGETVKAAQNCEAHGRPDTGYLHWRWRPRGCALPPFDPAEFLRIVRGRHVAFVGDSLARNQCESLVCLLSSAFPAQLVRGAGGGDGDGDGDELRKFRRWAFPSHNATVSVFWSPFLVNGTEKAKGAAVGKGLDHNRLYLDQPNERWAAEVPGIDVVVLSAGHWFLHSALFYDRGAVVGCHHCPEPNRTETGFFGAFRLAVRGALREVVLRGARAQRQQGGRGGPKLAVLTTFSPAHFEGDWDSPTACARTEPYAPGARAMEYMDGEMLRAGAEEVAAAAADARARGAGVTVEALQVTRMAGLRADGHPGAYMHPFPFAGGARERVPNDCVHWCLPGPIDAWNEILLQIVKRWADGVDADASSSSSPPTRGAATCPSLALPVSHSPEIPIRNEPRRLDLRRQVRIAASEVPSSFPVSVAVNTNDMRSSVGIGEKSKRCVGAREGEGGITGRQRCGYAYATSPAQCPAHGCSRPSVADLVQSYVSDLQHLQFSCYNLVQVEVPWSLMIDSLWHRHLWQTSHIHKEVTKDLLCPPLAHKPQLISKRVRDLQILGFTGALSISTTVNLQATPLLVLPLAPRKDWTCSADHRLRALRPRPSRPPPLPPLSSSPSPPPPTTGATSSPSPPQQAEAPAARCDYSDGEWVRTAAGPRYNGSSCGETIKAGQNCEAHGRPDTGYIYWRWRPRGCALPPFDPAEFLSAVRGRHVAFVGDSLARNQCESLVCLLTSAFPAQLVRGAGGGDGDGDGDELRKFRRWAFPSHNATVSVFWSPFLVNGTERPKSPPAAGGLDHNRIYFDQLDERWAAAVPGFDVVVLSAGQWYLNSAMFYDRGAVIGCHRCPERNRTETGFFGVFRLAVRNALREVITRVAATSSSSPVLPRLAVVTTFSSAHFEGDWDSPTACVRTEPYARGEREMEYMDLEMLRAGVEEAAAAGADARARGAGLAVEALQVTRLAAMRPDGHPGLYTRAFPFVDGAKDRMPNDCVHWCLPGPIDTWNEILLQ >Sspon.02G0029800-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2A:108311473:108312306:1 gene:Sspon.02G0029800-1A transcript:Sspon.02G0029800-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKIFVLLALLALSASAATATIFPQCSLAPITPAIPQFLPPVAVAGYENPIVQSYSIIGDILHWIINKQHHAALLQQPSLAHLSVQSITAQQLQQQLLPVVNRAAYLQQQQFLRFNQLAGVNPVAYLQPQQLLPFNQLALGSPATFLQQQQLLGLYPQAMANIATFLQQQQLLPFYPQAMANIAPFLQQQQLLPFYPQAVANIAALLQQQLLPFSQLALTNPAALLQTQQLLPFYPQVVANIAAFLQQQQLLPFSQLALTNPATLLQQPIIGGAIF >Sspon.06G0003220-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:10005872:10009014:1 gene:Sspon.06G0003220-1A transcript:Sspon.06G0003220-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSREENVYMAKLAEQAERYEEMVEYMEKVAKTVDVEELTVEERNLLSVAYKNVIGARRASWRIVSSIEQKEESRKNEEHVAQIKEYRGKIEDELSNICDGILKLLDSHLVPSSTAAESKVFYLKMKGDYHRYLAEFKTGAERKESAESTMVAYKAAQDIALAELAPTHPIRLGLALNFSVFYYEILNSPDKACNLAKQAFDEAISELDTLGEESYKDSTLIMQLLRDNLTLWTSDITEEGIEEGKEATKGDAGEGQ >Sspon.02G0048580-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2C:26818756:26819388:-1 gene:Sspon.02G0048580-1C transcript:Sspon.02G0048580-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATQHGVLTTLPLTAARWRTSMYADDAAIFINPLREDLEAITAILHEFGIVSGLHINMQKSSVHPIRCQDIDLDHVLASFTGTRASFPCRYLGLQLHMRSLQKVHVQPLIERIGQRLPGWKGKMLNRVSRHTLVTIVLSSMPTYHLTVFPLAVWVRKKIDKIRRSFLWKGEENANGGHCLVNGPTICMPKDLGGMGVSDLERFGRALCLR >Sspon.03G0037220-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:97374716:97376084:1 gene:Sspon.03G0037220-1B transcript:Sspon.03G0037220-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSDAFPVPEEEVAAALEAMAWGTSPPLYLSYGMVAMKGMRPVLTDAVEAAPSFTALSPPMGLDFFAVLDGRGLGAAAAAGDSEHLLALLRDALAGQVYLELCSDSPRFLDGTSRDDVVGWWATTLREAFRAFHEEFAACSQGEHGVDAPAATAMVALLHQRYLVIGNCGASKAVLSRDGELVELSSDSEHMANRRGDENKSVDNAGGVRVAEATSKLATALRTTTGSSVSSALAPAAAAGVVDVVAVEREARDEFLILGSAALWDKVTPAAACAHIRRRLGRTSRVTMPWETRITDAEGSPTLLAEELAKKAVHAGSRDNVSVGLVVFRDFWAAGCTKAASKCVSTLNPQASLNDEGPSAIQGPVAETATEEAAGASQEKVRRSTRQTRPCG >Sspon.07G0011470-1T-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7C:54485493:54485987:1 gene:Sspon.07G0011470-1T transcript:Sspon.07G0011470-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDACTHQPISNKELASPVLAPAPAAAAPQEATGDSESDAPVSAPTVGDRRLSVAAAYAEDDAESCSGAGGDNSHVDAASIDDDAAGRGGDDVAVAGDENEVDSRMCVPWWRRMVQDAAGGASGGGCARRQAAAERGAPAVVAGPSGHTAESNRLFWEACIAHGY >Sspon.01G0007250-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:54666123:54667758:-1 gene:Sspon.01G0007250-2C transcript:Sspon.01G0007250-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nitric oxide synthase-interacting protein homolog [Source:Projected from Arabidopsis thaliana (AT1G61620) UniProtKB/TrEMBL;Acc:A0A178WN49] MPQRHSKNNNDLAFFTYEEKRKLGYGTQRERLGKDSIKPFDACCLCLKPLIDPLCCPKGHTFCKECILECLLAQKKDIKRKLAAHEAQKKQEKEEEEEKLILQKAKELDAFDQQNHGAVPQYHDRSGSQDKNGFHGANSVKRKPSASMKAFWLPSATPEATVKVDAPSTDTVCPEGQEKLKLKLLFPISFTEENADQKSKKAVEKSYMCPSCKSTLTNTMSLVAVSTCGHVFCKKCSDKFLVKDKACLECSKPFKERNLVPLEKGGTGFAAHDERLEARDFKHLGSGSGLGLVKPAPKAY >Sspon.01G0058120-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:21937494:21940843:1 gene:Sspon.01G0058120-1P transcript:Sspon.01G0058120-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTGEGSPPARRAISGRRRRRWLDGEEEDDDDEYVLEEEEEEDCAEELSASSAGEEGEDSDAEYQEEAEEEDEIETPRPKRPAKGSDRGRKGKVDLAAARSQRRKYEDDEDYEEEVDEDDEVEEYHDELEEEEEVAPPRPKSIAKCGARGRNVKLSPGAKRSHKRKQEDDDDMDFDPELDDEGDELDEDIDFDPDVDADEEDEYQDEEEEELVSTRARKVTVKNPAKRKSISNRQTLKKKKKNRGSKVSRQKGASAKVKKSAPVRRRRKRSVIDDYEDEDVDEDEDDDDDFIVDDEVIVSRHPRKKARTGSGRETEVDPQVSIEEETWPDVESDTSDFEFATSDEEPNNVETPVVEQITVRKGRKKRTSGSESSSDSEFVVSDKELEELRVPEPPKAAPILPAPLRRICITRHVEGKGKEKQELEEAGKPICGICLSEEQRATIQGVLNCCSHYFCFACIMEWSRVESRCPLCKQRFTTITKSSKVDLGLGVRKAVIKVEERDQVYQPTEEEIRRWLDPYENVVCIECNQGGDDSLMLLCDICDSSAHTYCVGLGREVPEGNWYCGGCRLGDEGPSYTGIQRTVAYERQNYRNHVDSSSVSFGMAAPSGTFERPPSINPRHSFQGIDLNLSPREFPGESHPAESQVSTDSISTPTGRRTVLGRRQIHRYIRILLSRPRPPSRPDAYQNIAHDSGVPRTEPNRRNLPSSSEANTSQNLPDGIQNHHSGLPFVQAHSNFAPFMSLEGDDFQQTEGVKSNLRNM >Sspon.06G0004170-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:12960879:12964819:-1 gene:Sspon.06G0004170-1A transcript:Sspon.06G0004170-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVGSVAVESSSNLVLRPPIAADVFLVVLGLRLKFPFLLFSLFKAMEKEQRRPPSSKTAPVAMKASSANATPQGMKNRSQSRRDRKIALQQDVDKLRKKLRHEENVHRALERAFTRPLGALPRLPPFLPSQTLELLAEVAVLEEEVVRLEEQVVNFRQGLYREAIITSMAKNAYFPDSDRCTPARHKPTTAQVQSSELSTSTRQGSSNQDAADWPSLKPATNVKQTPRRPGRSLSQGDCPGKENQSFGSGTNSCRDSGLAPLSNVPGCRTTSTMQDHKAIDGGNDIDPDKASTAANKVSEELLTCLLAIFSQKSTSSSQEEERVSPPSVSGSCENSSDPYGVLEFGWRDIGRYKQFRSVDATSFDTNISAGAASALGRRLMALLRKLSLVDLAGLSHQQRLAFWINTYNSCMMNAFLEHGGPTNPHILVAMMPKATINVGGRVLSAMTIEHFILRLPYDAKHVHTEGVKGGDGPAVFGLEWPEPLVTFALSCGSWSSPAVRVYTAARVEEELEAAKREYLQAAVGVSPSPAGGGLAIPKLLHWYLPDFAKDVASLVDWVCLQLPRDLQRDAVRAVEAASRHASAAAAGTRSPVRVLPYEFRFRYLLALAP >Sspon.01G0003710-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:9179445:9185028:1 gene:Sspon.01G0003710-2C transcript:Sspon.01G0003710-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVPSDSSHHGVVDNSPNGCTQARCDEARKLGPSWPQVTIATAMIIATVCLFLAGKVEETPKPLRDVILVSYGMIHKNDPKASQRIKQQKFQPHHIAAGAIRLAAKFLKVKLPSDGDKHWWQDFDVTCRQLEEISSQLLEMYEQNRTTQAQSSQGSEAEGSSAGVCNQRSSVKSEANSKEPSAHGYLQASRSQNLQHSSSTSASGHHDDGHSNSDKHISGHKMLQNDNANHGGSKEKNKSGIKSDTGMDRLHHDKKFSPGHRYPVEEHQRHRSDDNSNETRDGVGGIEAPVVSTSRMDAMNKIDKDKVKAALEKRRKSKGGFATKVNLIDDDDLLERELEQGVELAVEVEKIKQDKKQNLSDGSKHPPDLQNTDQVMENGHHVKQSLPTTAEDMGYSRVFIES >Sspon.07G0020600-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:69910522:69918939:-1 gene:Sspon.07G0020600-3C transcript:Sspon.07G0020600-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEAKKLFAASEVALHASRKDCWVVIGGKASEKEIFLAVLLLVYDVTKFLEDHPGGEDVLLHASASGDATEAFEEVGHSTSAVSMMDSYLIGSIEGYVRPSASKATDPWSADVPPNSRTMQGNKGPPNPNTFLDFLLPLFVLGLAFAAWVISEKTLNEGKPCIMEAWEELATVKAVVTSLGEEAALARSQQTESDRRCTELLAEVESLRSAADVLANFICAPAGRREERLLSARALIRAAIDRGVRRGAAVALTTAQAATDMELQYVEGFPMGEGLGYHEDLLEGFKPAANVVVALVPADRVLNEDL >Sspon.01G0054770-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:68459808:68460029:-1 gene:Sspon.01G0054770-1C transcript:Sspon.01G0054770-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLHNLMSELGLLPRCHRRHRKNLELQRRLMIRAGIRDKVKFLVDPYISERRYVNFIDYSFQLLSKFYLCYMV >Sspon.04G0018500-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:75808106:75812703:-1 gene:Sspon.04G0018500-4D transcript:Sspon.04G0018500-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVHDGDGGGEVTAPPQHLVFAYYITGHGFGHATRALEVRPSLPTPPPGCPRVVRHLVAAGHDVHVVTAAPEFVFTTEIASPSLHIRKVLLDCGAVQADALTVDRLASLEKYHQTAVLPRESILKTEAEWLNSIKADLVVSDVVPVACRAAADSGIRSVCVTNFSWDFIYAEYVVAAGHHHRSIVWQIAEDYSHCEFLLRLPGYCPMPAFRDVIDVPLVVRRLHKSRSEVRKELGIADDVKLVIFNFGGQPAGWELKKGWLPDGWLCLVCGASDTQDLPPNFIKLAKDAYTPDLMAASDCMLGKIGYGTVSEALAYKLPFVFVRRDYFNEEPFLRNMLEHYQCGIEMIRRDLLTGHWKPYLQRAITLQPCYDGPINGGEVAAHILQDTAVGKKYISGKLSGARRLRDAIVLGYQLQRAPGRDVGIPDWYSLSEKEISARPMPTSHDMNGSAESSFEDFEILHGDMQGLTDTMSFLRVYQGLLEMT >Sspon.03G0016760-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:52990673:52991440:-1 gene:Sspon.03G0016760-1A transcript:Sspon.03G0016760-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AMARGENQESQTGNVPAASAAAAPGAKPASAGAGKGAEGQSVVRRLQSELMALMMGGDPGVSAFPEGDNMLHWVGTIAGSAGTAYEGTSYRLALAFTAEYPYKPPKVRFDTPCFHPNVDVHGNICLDILQDKWSSAYDVRTILLSIQSLLAEPNNESPLNTQAAALWANQAEFRKMVEKLYKPAA >Sspon.01G0047390-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:103389367:103390647:1 gene:Sspon.01G0047390-1B transcript:Sspon.01G0047390-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding FALCLGDIIPVGLGKYLQVLTAAIHDPEIRRASGDASVEQLLEKMFTVFIDHANMWADISTIPEVNSPELSETNLYSYIHQYIHLLESDVRLDVLEGLNEKIRKRFKTPKLSNSNFAKICKHASLAWCRCIIIKLASITPLPESTDATIQPAPLSNGLLLYVDLQPDELLISSPDGPAQFKGLDMNWFETLNRIKNIPVKQTSEDNLETAVTLMKSTYNFYRESSCGTFPSGINLYTVTPSQAPIEGLPQTAAVVETLDLSIPRKLLLWVYTLVHGRYSNISSVVKYCDEMKLRSKRGTSAASASSQVVQPVSHSTVSSKLYLKKRAPKLNLLKQHKILLI >Sspon.07G0036740-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7D:42047066:42047731:-1 gene:Sspon.07G0036740-1D transcript:Sspon.07G0036740-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLGSAALENGQVGKASHRHAIEVLDEMATWRGSLKGRPAGRSQDNIHSHPWAQRRGHFRMPEVPQAVDAQEPKSRGHGKRSLFLIQCRSFQELDGWYVSLQEGYF >Sspon.07G0036730-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7D:41406605:41408457:-1 gene:Sspon.07G0036730-1D transcript:Sspon.07G0036730-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VPTRAPVTGNRPLRCYYILPVVVVVRGRKELRVGKAYSCNMFFKLQVFHEQTPKAGAGGGGPNVRAGTREAQILQASVSSATAGGRGVVAGKRMLVGREEPEQRHLFHREEEGRGFAC >Sspon.01G0051360-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:15048815:15053381:1 gene:Sspon.01G0051360-1P transcript:Sspon.01G0051360-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFWKDSGSRSSSGSGRDQNGVGPFGQVRVLIVGDSGVGKSSLAHLILKSSAIARPAQTVGCTVGIKHVTYGSAGASSNNISDAERNFFVELWDVSGHERYKACRSIFYTQINGVIFVYDLSQRKTKTNLNKWAVEVAETGTFSAPLGSGGPGGLPVPYLVIANKVDIVPRDGTRASRGNLVDLARQWAEKQGLLRCSEELPLTESFPGNSGLVSAAKQARYDKEAVIKFFRLLIRRRYFSNEPPAPSPWSLTPREDTILPVESLGGGIDSFQRKSLSGEGFLYNGVVPLPAQRNLTPPPTLNPQQPVSSLDNYRYHRFSSSSLPDVSSNRTSREDIIDV >Sspon.08G0023350-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8B:55112337:55113242:1 gene:Sspon.08G0023350-1B transcript:Sspon.08G0023350-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCHMSLPSSEILYYTTPPQSHGSSPSRSLRSASFATSSPTRPPPLPRLPPRSNRPQPYHGDCSLHLGMGGTVATSNMAHAARRRPPRHHTACGGAGRLHVPDLVPLPVDLLWPGIDLLGQESYWPYHPVLTESPGGVHRRPVGSPGP >Sspon.08G0000250-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:1318637:1324301:-1 gene:Sspon.08G0000250-1P transcript:Sspon.08G0000250-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHQPPPPTRRWGVVAAVVALVLLACLQIQYQHLKVDLGKAGFASATQENNAIPSRNRIRWGTARIRKAANGADSLPRGIVQRHSDMSLRPLWEDDAASTHKNKNGDHSALLAMAVGISQIKNVDTMARKFLKENYAVMLFHYDGNVDGWRHLEWSEKAIHILAHNQTKWWFAKRFLHPDVMAIYDFIFLWDEDLGVENFNPRRYLDIMVSEGLEITQPALDPDLSTDIHHRITIRNKMSKVHRRIYDNRPSMNCSDESKGPPCTGWVEGMAPVFSRAAWKCVWHLIQNDLIHGWGLDMKLGYCAQGDRTEKVGVIDSEYVVHQGIPSLGGPSLSSKIRRQSSAELERFKERWNRAVREDEGWRDPFDS >Sspon.02G0034200-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:11103000:11107009:-1 gene:Sspon.02G0034200-1B transcript:Sspon.02G0034200-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLMSCLSDSCPSGNRSPPLQAKRRSSTSSRGRGGGGGGRDSAKASVSIDEEALAAAAALVLGQRGAAGGLGAFERSASVRYAAKRQSQGPPLPRSCSTRPRSLADPELQPQQLLAKDLNAKDLETNVIVLVHGGGFGAWCWYKTISLLEDSGFKVNAIDLTGSGIHSYNTNKISSLSEYAEPLTSYLKGLGGAEKVILVAHDLGGVCVSYAMEMFPSKVAKAVFLCAAMLTNGNSALDMFQQQMDTNGTLQKAQEFVYSNGKDRPPTAINIDRALLRDLLFNQSPSKDVSLASVSMRPIPFAPVLEKLVLTAENYGSVRRFYVETTEDNAIPLPLQQRLCGANPPEKVLRLKGADHAPFFSKPQALHKTLVEIATMPPPVQAS >Sspon.05G0004140-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:15544668:15548192:1 gene:Sspon.05G0004140-2D transcript:Sspon.05G0004140-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSSYTKKRDIKDPEHPYSLEQLNVVTEDSIELNDESNHVRVTFTPTVEHCSMATIIGLCIRVKLVRSLPPRYKVDIRVAPGSHATEAAVNKQLNDKERVAAALENPNLLDMVEECLSPTFD >Sspon.07G0003420-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:8221196:8226975:-1 gene:Sspon.07G0003420-1A transcript:Sspon.07G0003420-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AMEVLSSSRFARALLPSLLDPASRPLSNSTPPCRRPRGAVHTLASSAHGPAADAAAPSSSSPPSLARLLAAALRGGRAGGELPDLAAAATATGGAGIGTLLMSTTAAAVTKARESPYLLALAANPTFVSGLVAWAVAQAAKALLTSVVERRWDLRMLFSSGGMPSSHTALCTALTASVALCHGVSDALFPVCLGFTLIVMYDATGVRRHAGMQAQVLNKIVEDLFEGHPISERKLKELLGHTPSQVFAGAILGILVARWNLSVL >Sspon.02G0031760-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:116422611:116424595:1 gene:Sspon.02G0031760-1T transcript:Sspon.02G0031760-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQSIRALCESLSSLLNHAESSSRELADAVSQRPIHLDMARTSFLHKLEYRAEAAAADLQHIESIALGTVSFEELLGHCGEALNVYARHASALQSHLASFGYEPAVEDGNVGKVEDLGDGCLSVSRSVLRSGKRRFDDDNDAIFEGSLKDLGFSDACLATLSSEGANYGVSPKKLYKNPESTDYGEKIMNEAEIMTPQNERNGQGNSFKEVIRASKEEYEQLPSYMKSLASWEELQEGISKLNSYFGGDKAQGSVALNQDHVGEIGLGRKGRACLLMLLRLNQLTMETVDGSTFYTLCKNN >Sspon.07G0032780-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7C:54264642:54265187:-1 gene:Sspon.07G0032780-1C transcript:Sspon.07G0032780-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding TRCYVDASTEPDQLNSTPSPAGLGVFILTFQEHMTRAIYIKVKLQNCSSVIMAEATRLALASAISYNLNLLGVNFLSDCEQLVHFLNKNNISDPPDWRIKYFTQSIANYTRSRSSRILKTHRRFNTTADALAQEALQLQNFQNTNLEFSYSSEHQMPRSNVLQVLQSIGLTDVSILAARCY >Sspon.08G0009190-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:39013429:39014275:-1 gene:Sspon.08G0009190-3C transcript:Sspon.08G0009190-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALQSRLVLLLPMALLLLVGSSPAVAQLEVGYYSNTCPNVEAIVRNETEKILAAAPSLAGPLLRLHFHDCFVRGCDASVLLDSTGGNTAEKDAKPNKSLRGFGSVERVKAKLEAACPNTVSCADVLTLMARDAVVLAKGPSWPVALGRRDGRVSSATEAADHLPPAFGDVPLLTKIFAAIGLDVKDVVVLSGGHTLGTAHCGSYAGRLYNFSSAYSADPSLDSEYADRLRTRCKSVDDKARWTPAATRPSTPATTA >Sspon.07G0032020-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7C:42361651:42362352:-1 gene:Sspon.07G0032020-1C transcript:Sspon.07G0032020-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYGGGTVLAMAALWEWRLFTNSSAQHGLVVDVVAVVLVLVSSWRSHDVNVRGEWLSIRLMLEAVEGTTWCVVERWEVEGATVSWAVTSWAIVGNSYDRGYPVYITPTPI >Sspon.01G0002200-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:5332300:5335756:1 gene:Sspon.01G0002200-2B transcript:Sspon.01G0002200-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKYMRKGKVSGEVAVMEVPGGALLGVRTRSRTLALQRAQRPLDKGDAEDAAGEYLELRSRRLEKPHKEQHPPPPAPATKRGAGRKAAAAAAAAPHALAEDDVEVSFGENVLDFDSMERSTRETTPCSLIRNPEMITTPGSTTKSKTSNSMTSRRRMETSVCRFIPSSLEMEEFFSAAEKQEQHSFREKYNFCPVNDCPLPGRYEWARLDC >Sspon.03G0029780-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:18189399:18194995:1 gene:Sspon.03G0029780-1B transcript:Sspon.03G0029780-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLAGRRKSSLAGAAAGAGADAFGAKHAVTSLRKGGRLPVYVASVFFVLCVIVMYGEDIRSLTIEPLTRVPALTVPATTGGGGGGGGGRQVVVPRRDISASEKPAAALHHSDQEKPKHAVTTTATTTTEPAPVVVEKPPQKEVGTTKSQKKSSKKKVKKSRRQRAAKKTVVPPALGVPETCDLSKGRWVFDNTSYPLYREEECQFLTSQVTCMKNGRRDDTYQKWRWQPKDCSMPRFDAKLFMERLRGKRFMFVGDSLNRNQWESMVCLVQSAVSPGKKYVSWEGQRVVFHAWEFNATVEFYWAPFLVESNSDDPKIHSIQHRIIKADTIGAHAENWRGVDYLVFNTYIWWMNTLDMKVMRPGAKSWEEHDEVVRIEAYRKVLTTWANWVNDNIDPARTSVFFMSMSPLHISPQVWGNPDGIRCAKETMPLLEWHGPLWLGMDWDMFHQAKNVSRRASPRVPITFVDITTMSERRKDGHTSVHTIRQGKVLGPEEQADPGTYADCIHWCLPGVPDIWNLVLYTRILSRPALQFDS >Sspon.08G0019250-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:10845571:10847667:-1 gene:Sspon.08G0019250-3D transcript:Sspon.08G0019250-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSTFLFVFFFLLPATAVAAPPATGGNAVAAFNVTEILSRYPEFKLFNFLLCKTGVARKVNSRSSVTVLVPDNSAVDWLLRRSARLPRAALVELISVHVALDYIDAAKLAALPRGGQPTVVTTLFQTTGTALNRTGFLNVTATGRGGAVFVSAAPGSLINATFKRAVTARPYNISVLQISNFVVPPGIITRPRLPLPPPSPSLPAPRMRQMAIAPSPAPAPTSTLPWLSPKTIPTSEGDTAEAPDTAEAPAPSRGHVARVMSWWIGAAAVGMACMLGT >Sspon.07G0005600-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7A:14360093:14363158:-1 gene:Sspon.07G0005600-1A transcript:Sspon.07G0005600-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MWHLKLIAFLYGSLFFMHLPYARGSDLNTDKQALLAFAASLPHGRKVNWTSTTQVCTSWVGITCTPDRTRVREVRLPAIGLFGPIPSGTLGKLDALEVLSLRSNRLTINLPPDVPSIPSLRSLYLQHNNLSGIIPSSLSSSLTFLDLSYNSFNGEIPSEVQAITELTALLLQNNSLSGPIPDLRLPKLRHLDLSNNNLSGPIPPSLQKFPATSFLGNAFLCGFPLEPCPGTPPPSPSSPSPQSGKRSFWKKLSLGVKIAIAAGGGAVLLILILILLVCIFKRKRDAEPGTASSSSKGKAIAGGRGEKSKGEFSSGIQEAERNKLFFFEGCSYNFDLEDLLRASAEVLGKGSYGTTYKAALEDGTTVVVKRLKEVVAGKREFEQQMELIGKVCQHQNTVPLRAYYYSKDEKLLVYDYVPLGSLCAALHGNKAAGRTPLDWETRVKLALGAARGMAYLHAEGGGKFIHGNIKSSNILISQELSACVTEFGLAQLMSTPHVHPRLIGYRSPEVLETRKPTQKSDVYSFGVLLLEMLTGKAPLRSSGRDDSIEHLPRWVQSVVREEWTSEVFDVDLLRHPNVEDEMVQMLHVAMACVAVVPDERPRMEEV >Sspon.06G0006790-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:19750194:19754659:-1 gene:Sspon.06G0006790-3D transcript:Sspon.06G0006790-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding STIATVFKFEKIYMKAKLRTIYPKMVHHEPDEATADETSISTQPPSYNPSQAPTVYKVGYPQKKNLATEFTNALRETFFHDNPLKQYKDQPGSTKLKMGLQFLFPVFDWGRTYNLNKFKDSSFIPPLIYAAMGSSRDIAIGPVAVVSLLLGSLLQNEVDHEKNKEEYLRLAFTATFFAGITQAALGFLRLGFLIDFLSHAAIVGFMGGAAITIALQQLKYVLGIRNFTKETDIVSVMESVWGSVHHGWNWQTVVIGFAFLAFLLFAKYIGKRNKKYFWVPAIAPITSVILATLFVYLFRADKQGVQIVNKIKKGVNPSSLHKIYFTGPFVAKGFKIGVVCGMIGLTEAVAIGRTFAAMKDYQIDGNKEMVALGTMNIVGSMTSCYIATGSFSRSAVNFMAGCRTPVSNVIMSMVVLLTLLVITPLFKYTPNAILGSIIISAVIGLVDYEAVILIWKVDKMDFIACMGAFFGVVFKSVEIGLLIAVSISFAKILVQVTRPRTALLGNLPGTTIYRNTEQYPHGRHVPGVVIVRVDSAIYFSNSNYVRERWLTDEEDKVNADGLPKINFLVVEMSPVIDIDTSGIHALEDLYKNLQRRGIQLLLSNPGSAVIEKLHSSKLTEHIGNNHIFLTVADAVRFCTSKSMQEP >Sspon.01G0007760-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:17663343:17665721:-1 gene:Sspon.01G0007760-2B transcript:Sspon.01G0007760-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding QTVKGPITDPSQLPKWNYDGSSTGQAPGEDSEVILYPQAIFKDPFRKGDNILVMCDCYTPQGEPIPTNKRYNAAKVFSHPDVAAEVPWTTICGKFQLFIYYYLSILSGSSSGPPLSEFKQGPYYCAAGADKAFGRDVVDAHYKACLYAGINISGINGEVMPGQWEFQVGPSVGISAGDEIWVARYILERITEIAGIVLSLDPKPIQGDWNGAGAHTNYSTKSMREPGGYEVIKKAIEKLGKRHKEHIAAYGEGNERRLTGRHETADINTFKWGVANRGASIRVGRDTEREGKGYFEDRRPASNMDPYV >Sspon.04G0033470-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4C:58407881:58408282:1 gene:Sspon.04G0033470-1C transcript:Sspon.04G0033470-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRRWGEGDRSALTRGLARSTGGDGTAAAQQEEQFIFFPRWRRRRPDGRVSHHATIIGRTETGPVRRNRGTANANRRDDGEATRGRMPLERRGREARTTQIPAVSCVCVHVVVRPRGHDSQIVPADDLEIRAA >Sspon.01G0029870-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:103888799:103892755:1 gene:Sspon.01G0029870-1T transcript:Sspon.01G0029870-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEKAKMESKLSSAAAFVEGGVQDACDDACSICLDAFCDSNPSTMTDCRHDYHLQCILECQELLEAVEQERNIRANRSHNTAVFHHPMLGDFEIPVGADDAELEERIIQHLAAAAAVRRSHRHHRRDGHQSRSGASSHPQFLVLSADEHTTSGEEGDYEQAPAVVSGRPLRTLVEQERTRGLVDASSPSLRFSTPADVTGRSYNRISEIQSTPVDQDGAGPSDLLSISDNLRSRLQSASMRYKDSITKSASGWRERWFSRSNSLSDLGSEVRREVNAGIAAVSRMMERHDARDGTGPSATSASGSGSQ >Sspon.08G0013290-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:56078514:56082889:-1 gene:Sspon.08G0013290-1A transcript:Sspon.08G0013290-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPWSPFLCRDVLHEFTTKCTRVKDCLLPEMAKLLKLGDGYFIDQFGDKADTYARFSYYPPCTRPDLVFGLKPHSDGSFVTILMVDNSVGGLQVLRDGVWYDVPTRPHTLLINLGDQIEIMSNGVFKSPVHRVVTNAEKERLSVALFYSIDPEREIQPADKLIDENHPALYKKDCLLPEMAKMLELDDDYFINHSAPTPDNSVSRLQVFRDRVWYDVLTRPHTLLINLGDQIE >Sspon.02G0010480-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:32990362:32992287:1 gene:Sspon.02G0010480-2C transcript:Sspon.02G0010480-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GIQPHATIYHFDLPQVLQDEYGGLLSPRFIEDYLAFAEVCFRSFGDRVKHWVTVNEPNIEPIGAFDTGTEPPRRCSYPFGENCTGGNSSTEPYIAAHHLLLAHAAAVSLYRDKYKASQGGQIGITLLGWWHEPATNTPQDAAAAARMNDFHIGWFMHPLVYGDYPPVMRSRVGDRLPALSAEDSARLRGSFDFVGFNHYLILRVRSSSEKKDSGQRLGDYYDDAAIHLWPLRRYGTMQRKLAAAEAEAHPLGRIETPPWALGKLLEHLRLNYGNPPVMIHENGLGDAPGTPSAIEYDDGDRSKFLQDYLEVLYLSTRNGSDARGYFVWSFLDVFEFLFAYKVRFGLCGVDMNAGARTRYVRSSARWYASFLRGGELRPPPAAAPDDDVRPYAVRRDGHPQATTTTAHYDGRWEII >Sspon.04G0019280-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:87449698:87451485:-1 gene:Sspon.04G0019280-2B transcript:Sspon.04G0019280-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPWAATASPPSTAAAVSFAPPLRVAAPRPPPPLCLPLPRLLCAGLNPLPRAAASDGDVFWEEPDDGSGSDYEDDGPEQRRASRFPSSSPSSRLEAARQQEQDLRREIELLLTPEEKAILDQHETPDVTRISSVKLPPAPKWHPLHSYALALQIPLMDKLLDSGVDINLLDQDGFTPLHKAVIGKKEAVISHLLRKGANPHVRDRDGATPLHYAVQAGALQTVKLLIKYKVDVNVADNDGWTPLHLAIQSRNRDIAKVLLVNGADKTRRTKDGRTALDLSLCFGRDFKSYDLAKLVKLIPASRGV >Sspon.02G0006200-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:18658658:18662128:-1 gene:Sspon.02G0006200-1A transcript:Sspon.02G0006200-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATATLVRGVSPRLATGHLLFLAVASLLLLLFSPRAAAQPWQVCGNTGNYTANSTYQSNLESLAKALPANASRSGNLFAEGSVGAVPDVVYALALCRGDTNATACGSCVATGFQDAQQLCAYDKDAAVFYDACYLRFSNQNFIASTTNNGDPIILMNSQNVSSPVRAFDSAVSVLLNATGDYAAANSSRFGTGEEGFDASDPTIYGLTQCTPDMSSADCRSCLGSIISAMPQYLSGRQGGRIVGMRCNFRYEVYSFFSGAPSLRLPAASPPAPSPTPFNVTPTAIPPPPGATGNKTGRVLAIALPIVAVILATIVLCSCLWRRKRKREKSTLPDTTNPEDIQSIDSLIIDISTLRAATENFDEANKLGEGGFGSVYKGILPDDQEIAVKRLSQTSRQGMEELKNELVLVAKLQHKNLVRLVGVCLEDHEKLLVYEYMPNKSLDTILFNHERCGELDWGKRFKTVNGIARGLQYLHEDSQVKIIHRDLKASNVLLDYDFNPKISDFGLARLFGSDQSQDVTNRVVWEHWTTGTLMEIMDSSLSILAPRDQMLKCIHIGLLCVQDDPADRPMMSTVNVMLSSSTVTLQAPSRPAFCIPKSGFNSEMNLELYPGASQSASRSPMSLNDVSITELEPR >Sspon.06G0008210-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:43259381:43282974:-1 gene:Sspon.06G0008210-1A transcript:Sspon.06G0008210-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAQVMAMVGQITVEVDSDPRAAYFRQAKNGLYIRMALLKLLLLRSSQLCQIRSLDVINRGVVQEHRVGFRGEVPATHRRLSCCLVIAPPVHIHLLHTAVDHTGRVPLPVVVSTVEQFFKLGLHADHVGVLRARRRGEAVVYGSSTLQLSIHHQVLLAQRAGRLPLFGYCHAAVVAVEAVVALGTGPAAAAGPHQGVVVGAQQRVLQLHAELDPFHGRRAQRCRFTVVHVVAGGRGRLGRDGRALAVVVLAPPQEPLLQLGVPVVLHVVVSPPRQLCGNDGPPAHDMLTHMLSTTVEQKAADDAVLLWGEVPVLYVGPQFLSIASSSGDHGPFFTVALSQQGALPISLFLFSGSLSLNLSRQDSDGDLINRQTMRCQVTADMHGKLHFRDALHANLSSLNLFVLQSRMQYQDGKHNNFPKFSTMCRKASSQEMRPPAVGCGLQGSRQSPEEAPSTATRHANAADALLLASRSWSRKEQGRGAIALCSWPHGRSRDGAGKEEGGRRRACAVRHAARHACARRPDAAACWGVLVAVRRKEWGGPLGFGEVLTFRHAFRADRRHSEQQPGGEGGETLRAANIQSI >Sspon.03G0043820-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:84197923:84200073:-1 gene:Sspon.03G0043820-1C transcript:Sspon.03G0043820-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSERTPEPPRLPFGHLRALYFQRPHAHEAACLFPSAFSRPQLPFHAPRIVRTTSLPPSPSAFHAHARRPSSCSRWLASLGQGDIAPRARACRRAPSLLLPFSNACSVAPLLLGCSHLAATGDGVQRSAGKGTTRRGRDEVGKEVRRGGLEPQHRREYKWGKISEAEEKEASIPVCCASSKAAICERMKCMPGSPVPTFVKMPILIYSRKAHALLDPPSRCSANRRRAIPIRRLAAIPRSPHADRHPIRRLPAPPPASILSPTLYQSVPIRPIHQLTPPTASDRKIPKSPRLRGEDGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDAVTYTEHARRKTVTAMDVVYALKRQGRTLYGFGG >Sspon.08G0008860-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:33852306:33855658:1 gene:Sspon.08G0008860-2B transcript:Sspon.08G0008860-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGIHRYTAVLVLLTISTRLLLLAAAWPALPDPASLEPSLLFPSAGATTSPTQPQSAGGASTIPAFPEQSEAAAAAATSVCQLTPSPPLLPAVLTSCNANAGGRKGALPPRLRCCPALAAWLYAAYAPTALSGRGVGEASVAASAEAAAVVDMPVLPDDAEECAGAADRALRAAGAALPRPHGGGSTSTGNGTAACDVAFCYCGVRLRRPACTAPQGRMARRLERDCALPGLAGCSRCLRALNKAKQEQREECHLMGLTWLLNRNATRHREAATAVIQALMAADEAGAGHPPTCSLPADDELPVAVGSSQINAATSASISSAVGSLPLVLLGAAVVF >Sspon.02G0055350-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:4025901:4026095:1 gene:Sspon.02G0055350-1D transcript:Sspon.02G0055350-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding EHDGVHQWRGDGGAAGPIDLRSMFGQDVMLVHSTAGLLPVKSLQMGESYFLVSPATTQ >Sspon.08G0016210-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:58663326:58667183:1 gene:Sspon.08G0016210-2B transcript:Sspon.08G0016210-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMATKAAAATVSLDLAAGPRRHHRRPSSAARPFARPAAIRGLWARGRREGAVVVPLAAAAAPAVQAGAEEIVLQPIKEISGTVKLPGSKSLSNRILLLAALSEGTTVVDNLLNSEDVHYMLGALNTLGLSVEADKVAKRAVVVGCGGKFPVEDTKEEVQLFLGNAGTAMRPLTAAVTAAGGNATYVLDGVPRMRERPIGDLVVGLKQLGADVDCFLGTNCPPVRINGIGGLPGGKVKLSGSISSQYLSALLMAAPLALGDVEIEIIDKLISIPYVEMTLRLMERFGVKAEHSDSWDRFYIKGGQKYKSPGNAYVEGDASSASYFLAGAAITGGTVTVEGCGTTSLQGDVKFAEVLEMMGAKVTWTETSVTVTGPPRQPFGRKHLKAIDVNMNKMPDVAMTLAVVALFADGPTAIRDVASWRVKETERMVAIRTELTKLGASVEEGPDYCIITPPEKLNVTAIDTYDDHRMAMAFSLAACADVPVTIRDPGCTRKTFPDYFDVLSTFVKN >Sspon.02G0043460-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:92038821:92039597:1 gene:Sspon.02G0043460-1B transcript:Sspon.02G0043460-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGLVGLLKVRVVRGINLAYRDARGSDPYVVIRLGKKKLKTSVKKRSVNPIWHEELTLTVTNPSQPLKLEVFDKDTFSRDDPMGDAEIDVAPLMEVVNMNPEEIKNGAIIRSVRPSTKNCLADESHVCWRNGKFVQDMILRLKNVESGEIQLQLQWVNIPPVAT >Sspon.01G0053120-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:32308664:32316195:1 gene:Sspon.01G0053120-1C transcript:Sspon.01G0053120-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTVCDSKKKEADENMDNDMSDMIVINKENKMASVMEERGEKLSEGVQSATAYEKNEDGESKPVSCQYQQGRNQCTNVFELNYRPSIKIPVSVMMPVAHVVEWTYQKFAKYGMKVPQLTPSRIRLLSCNRTFSCSRAKDQLGYEPLVSLKDGLKRTVESYPHLQAQNQRSISKASIFLGNGNLAKTILWEDAKQTVTVLLLLAVIYYHLFTCGYTFITAMAKLLSLTALFLFIHGMLPSNVFGHKVEKLEASNFHITQAHAHHIAHSITSCWNSLVSLSLLVVSILSSMSSQAAFKIGFKAYEKWEDSIDSMVGDACTILLNIGSAKKSSSQKQTPLGRCHLLVIFLDPVAPVLWLELHFPCASTSAAIKTL >Sspon.02G0027280-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:107538663:107541153:-1 gene:Sspon.02G0027280-3D transcript:Sspon.02G0027280-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVDREMEILLNEIPLLHHGGLLGGSEAAGADDDADLSFLIHELAAMGVVDGDDEPPSAPGAANGFGFGFSGMIYPKKGGENLVALHPFSMASHCAHMPSLFDPVTFDAAAAAAAAIDGWDIRCSPPPSVSVSPPPATPRARCKNVRRKNGGYGAVTTTSPKKCGAAAANKSHGESLTGLRGVMSHIARDQHGCRLLQQRLDDGKREVDHIFAGVSRHAAQLMVDPFGNYLMQKLLAVCDAGQRMALVLTLTADAFVLVRISLNVHGTRAVQKMIESLKTREEISLVVDALRPGFLELIKDPNGNHVVQKCLQSFEADDNKAIFDAAALHCLDIGMQCHGCCVLQRCIARSRGEHRDKLVAAIACNGFKLAQDAYGNYVVQYVIDLKIPNANSSLAQQFEGKYIHLSMQKFSSNVVEKCLKVFKEVDKAKIILEILATPHLEQLLQHPYANYVIYSALQNSKGSLHSALTNAIRPHVELLRTSPYCKRIYSRALLKK >Sspon.07G0013950-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7A:50169629:50170446:-1 gene:Sspon.07G0013950-1A transcript:Sspon.07G0013950-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHFHDCFVRGCDGSILINSTPGNKAEKDSVANNPSMRGFDVVDDAKAVLEAHCPRTVSCADVVAFAARDGAYLAGGIDYKVPSGRRDGRVSREASFKRKGLSADDMVTLSGAHTIGRSHCSSFTQRLYNFSGQLGRTDPSLDPAYAEHLKMRCPWPSSDEQMDPTVVPQDPVTPATFDNQYFKNVLAHKGLFISDKTLLDNPWTAGIVHFNAAVEKAWQVKFAKAMVKMGKVQVLTGDEGEIREKCFLVNPHY >Sspon.03G0021130-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:65118468:65123517:1 gene:Sspon.03G0021130-1A transcript:Sspon.03G0021130-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARNNQGHLQLPEMDHIQPKNIPHNESLSLGQKLLLHHGSDATLRIGHSSTGNMGLRSNDLPSSSRTVQTLGYRVGNPGTSHAPFVHCHAGSSSSHLPEPAVNYPHRSEEGFAPVGSHMDNRRAAMKRKDPSVHPAGISATGYYVGSSSNTQPSNSVQPNPAPLAEPFLRQIPLSIDRSGWDGQHLIHQEGFQRNVRARHSHNISLEPRSASTYPLNSVHVPSFGSTASASSSTSVERNQAPVSVPTRTVPSGPPGFTSRALTGRAYYPVVGSSSSSVGAVPTIHGSSGAAIFANSGYAPSSVHAGTAPIYPNPAPATSSGSRAMPHQVVTQSHPPATSAATSASMRIAQPLPARTAVASRHARHVSAAIANNGRYRRARSSYYSLHPLMVEAERFMMDQLVFYESRAAAADPHRDMRLDIDNMSYEELLALGESMGNVNTGLADEKISKCVKEVVCCSSDQMQIDQDDQDDGSCVICLEGYKDKDMLGILKCRHDFHADCIKKWLQTKNSCPVCKAAAA >Sspon.02G0046910-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:9070465:9074516:1 gene:Sspon.02G0046910-1P transcript:Sspon.02G0046910-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LLRSAAGAPLDFQGAVSWLIGILDGTSSAAADVYGAWVAVRAGVIAPVLQVAVWACMVMSVMLVVEAVYNSVVSLGVKATGWRPEWRFKWKALDGADEEKGSAHFPMVLVQIPMYNELEVYKLSIAAACELQWPKDRIIVQVLDDSTDPFIKDYFLLQNLVELECEHWANKGVNIKYATRTSRKGFKAGALKKGMECDYARQSEYIAIFDADFQPEPDFLLQTVPFLLHNPEVALVQARWSFVNDTTSLLTRVQKMFYDYHFKVEQEAGSATFAFFSFNGTAGVWRTVAIRDAGGWKDRTTVEDMDLAVRATLKGWKFVYVGDVRVKSELPSTYKAYCRQQFRWSSGGANLFRKMAKDVLVAKDISLVKKFYMLYSFFFVRRVVAPTAACILFNVIIPISVTVPELYLPVWGVAYIPMVLTIVTAIRHPKNLHIMPFWILFESVMTLHRMRAALTGLLELEGFNQWIVTKKVGNDLEDTDVPLLQKTRKRLRD >Sspon.05G0020710-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:87807263:87817871:1 gene:Sspon.05G0020710-1A transcript:Sspon.05G0020710-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGKDDSGGEEEAAQRGAFEFPCFEQASKISLFLGFQGLAVPLTGVFARLTGLHLSRVWFHGSGELGDAVSSPRCPCLQRLTVEDARGLRDLAIHSESMLLMELRGLQALSQLTVVGPALEELTVESFLKSPPIANISAPLLTKLDWIDEYDPSSVHLGKMAHLQWLGTRYYLVYGNGGFLYNQSCLSLLQRFEGIKSLFLTLVYLRVIMNCDYMMEDMAVLPDITFLSLMVFPSRFATASPDPSSQTRVAQTVCTSDCICLQLVEWETEELLLNHLEEVVIGGWRGTKHEVAFVKRLFDWGTKIKEMTVNFCRLISEVKAKELYQIFQSFSRPGLCMKFYRYEKSSKVLYAPKD >Sspon.01G0017150-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1A:62098606:62099186:-1 gene:Sspon.01G0017150-1A transcript:Sspon.01G0017150-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADESWRVPTPVQELAAGVVEPPSQFVLQEQDRPESLLLATNLPEPIPVIDLSRLAAAEEASKLRSALQTWGLFLVTNHGIEASLMDHVMAASRDFFHQPLHEKQKFSNLIGGKRFQMEGYGNDMVTSQDQILDWQDRLQLRVEPEDERNLAYWPKHPDSF >Sspon.06G0026420-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:72973927:72975590:1 gene:Sspon.06G0026420-2C transcript:Sspon.06G0026420-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADETAAPLLHSSATLIAEAPRRNRFAFVCATLASMTTILMGYNLALMSGAQLFIRQDLGLSDVQIEVLTGSMNLFMLVSILGAGWAADLLGRRGTLVLANAFLMAGALAMSAGGSYAALVAARFVTSVGVGFARVVAPVYNAEISPASTRGVLSSLLDMFINVGILLSYVSNYAFAGLPVHIGWRVMFAVGALPPVFLAAGVLAMPESPRWLMMRGRYGEARTVLVRTSDTAAEADLRLQEIKQAVEAPQAAGSSGGGGVWKEMLLRPTKSVRRILVCVIGVLFFQQATGIDAIVLYSPLVFQKSGMSSNSAILGATIAVGVVKTCFILVATLFTDRIGRRPLLLASAAGIAVTLTCLALTLCVGATSTASTAACVASVLAFVAAFSVGFGPLAPAYSSEIIPLRLRAQGTSLGTAVNRVTCALVTMTFISLADWITMPGCFFLYAGVAAAAFVFVYLQLPETSGRSLEDMDELFAA >Sspon.02G0037370-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:28642389:28644192:-1 gene:Sspon.02G0037370-2D transcript:Sspon.02G0037370-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCLAQEFHPKLPAANHYCKSLSSLIRETYAHCHVPCARIPAGAGWSSGEDSDDDSVLDEAQDTKQVILNEMRNRQMKKRSRCSVDSPTLPLSSSSAFAWSYTPLDPRTVLDTVSSPKTCVGVEGAAAKEKEEEEEAADDGDDCDADDESEAFFSVKSFFTRSTSRAATVASSACMMMIDPPPATLMRSPEAWERFRDCEGWPFGLCRRPAVLPLPPLPSTPADSWQWRKSVSSLAASPTPAYRHKIAAAASSK >Sspon.07G0008230-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:19880638:19881916:1 gene:Sspon.07G0008230-2B transcript:Sspon.07G0008230-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding YTAENSVKYGAPRNTDHPELRQPSAFEVWQSIKEQLLPSEKITILTSGPLTNLANIMLSDRNTSSVIEKVYVVGGHIRGENDSKGNVFTVPSNRYAEFNMFLDPLAAKTVLESSLDITLIPLRSQRKAASFKSILHALKHTDHTPESSFVHRLLFLLHELQQKHRLYHHMDIFLGEVLGAVYLVEGFSMRPFIQSMPISVVANSSRSTNGQIVVNKQSANSVKVLVDFSSGKYYSRVGKSLGNKEQSAVVGSFAEQNTIWSRPPEILRN >Sspon.05G0014300-4D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5D:51326371:51327080:1 gene:Sspon.05G0014300-4D transcript:Sspon.05G0014300-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGNQGSAPRALVVEDIKVDCVILLRMLHKLNCQVTAVENGKEAIDLFVEGKTFDIVFLDKDMPIMSGPEAVAKIRAIGATEVKIIGVSADFGGREAFMQAGADVFVPKPMKLETLEAMLEV >Sspon.01G0011550-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:31539797:31541259:-1 gene:Sspon.01G0011550-2C transcript:Sspon.01G0011550-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LHLLLCLVSFHACCAASITTGTPDGSELWGYVEVRPKAHLFWWYYKSPQRTSTPSQPWPTVLWLQGGPVGSCCPLFPRHTTISASAQDPVLWSASREHGLMSKCCDQPWYLLSQGASGVGLGNFLEMGPLDVDLKPRNSTWLHKADLIFVDNPVGAGYSYVEDDSLFVTSDWQQAADMTTVVKALVKEVPALATSPLFLVAESYGGKYAATLGASIARAVRAGELNITLGGVALGDSWISPEDFTLSYTPLLLSVSRLDDNAGDGANK >Sspon.05G0017030-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:66687807:66707641:-1 gene:Sspon.05G0017030-3D transcript:Sspon.05G0017030-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQQEAADPPSATDERPGGLPRSGSASRLNAQAPEFVPRAAAVPPPPPPQTVVRLFAPPPPPTAFFVAAPPPPPPPFEYYAAVATGGGARFGPPAEQDAEAEQPPRDGSFDDPVPKIRKQVEYYFSDINLATTEHLMRFISKDPEGYVPISVVAGFKKIKALVQSNSMLASALRTSSKLVVSDDGTRVKREQPFTESDLEELQNLMRLFSAVGSVKTIRTCYPQTPNGTGPATNRSAKLDMLFANKLHAFVEYDTIEDAARAIVELNDERNWRSGLRTKGCKGKKGGHESDGYGEENVSTSDQPNDKHLEGTPQMSDVPGEHMTEDSTGDMGRGRGRGRGRGGRGRGRGYHQQNNNQHHQNHHPHYQNSSHHSNSSNTHPVGTPPSGHSVKIEQPSGHPVKIEQQQEEATQSQTLTAANKQPPGPCMPNGTRGFTMGRGRPQTLTPRVSDSEP >Sspon.07G0005990-4D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:5D:52852511:52853209:1 gene:Sspon.07G0005990-4D transcript:Sspon.07G0005990-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LACRTPGVSLLLVPGHLVDHRPFPDADSDIIPILAGVATSTSGDGLLLVRFFDGRATAPIVDTHGATLGGRKVIGIDLDPDIMHFICNPLSGQLLRLPDIDGTKKTADCRNFGLLTRSTHGHGPPDRYAVAELSEDRGVEKRSFVMRRLLSQTGEWEKLVGLPSPLPLARPLDIHYEVSAFAGRLWWVDLSWGVVSADPFSDRPALRFVELPSASVLPASSTNAERLAARARN >Sspon.02G0054760-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:102794756:102799728:-1 gene:Sspon.02G0054760-2D transcript:Sspon.02G0054760-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding NMFGFSRRRGKLGRSKGDPSDPLNSSRSPVGPSKHLSLRQGYDAVMTSVSGRADDLSYRCSSDTFDPDGRTFNISENWTVLSTEGDKPIPRFQHAAAIVSSKMVVFGGDSGQRLLDDTQVPWGNSVILVGGKTEPASDRLSVWTFNTETELWSLIEAKGDIQMVWSRVKTHGPHPSPRAGCSGTLCGTKWYIAGGASKKKRMSQALNLEDEFQGFSMVPFYHRDKITLIAFGGNKKEPSNKVKQQPKGHRSKRTGPGIQNDIAENMVTGGSQMLSQSHLYNTKIADLIRRNTTLEDKLSTALASKDQLEKSLSSVIHSREQLEKMLANKDKEAEMLKEKIACLELAQEESNSLSNTVHAD >Sspon.06G0003760-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:9396135:9397563:1 gene:Sspon.06G0003760-2B transcript:Sspon.06G0003760-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPITYYTSGPRSGRRQHGTGGGEAARPSKKARGTAASGLTAFGLRLAKHLADAAEGADGVGRQNVVFSPLSIYAALALLSAGACGTTLDELLTVLGAASRDEIAEFVSAVVGRALADCSESGAPLVAFACALWHEKTMALKPAYRTAAVESYKAETHAADFVNKPEEAREKINRWVSKATKDLITSILPEGSVRSDTALVLANAIYFKGSWSMPFDKEDTETRHFQRLDGSHVRTPFMRGRGDQAVAEYDGFKVLKLAYHPYRLPHWQDKYGRGRNRNAKQQDGQGSDERSRFSMCVFVPDAHDGLPSLMDKMASCPSFLWDHMPSERVMVGELRLPKFKLSFSSRINGVLKAMGIMAAFEEGTADLSDMLEGGAELVLEHVFHKAVIEVNEQGTEATASTACVVTLECCCYPVDFVADHPFAFSVVE >Sspon.01G0044900-3D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:80205086:80208018:1 gene:Sspon.01G0044900-3D transcript:Sspon.01G0044900-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAAPYTSATSLLLGRGRLPRFQLQSLRAPAKPHFRRAASSPSTPLPRCRASASPRPLAPVSASALALPVSVTAAPPPQVEDGWVGGAAAAVRRVAVAVACGALAAAWCRRAMAVGAAAAAGAGAGGPGAVEAAAGIGGMALRGSWPRVLQVLQLLREQGLVLAALLGLSAFFSMAETSITTLWPWKVRELAEKEPENGVFKMLRNDVTRFLTTILIGTTVVNIGATAIVTEAATAMFGEAGVSAATGVMTTSRMAFADPLSSWADCYFSVYGNAKDSRPEREKSIWLALRSGYNQGSTRIEFLGVRGFCSGGQL >Sspon.02G0016510-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:45783773:45789485:1 gene:Sspon.02G0016510-1A transcript:Sspon.02G0016510-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAKEAGPSSRAVLVTGGAGYIGSHAVLQLLTAGFRVVVLDSLANSSELVIRRLRSLAGADNAKNLAFHKVDIRDKDGLEKVFASTRFDAVIHFAGLKAVGESVQKPLLYYDHNVVGTINLLEVMAAHGCKKLVFSSSAAVYGSPKNSPCTEDFPLTPHNPYGRTKLMAEEICRDIYHSDPEWNIILLRYFNPVGAHPSGYLGEDPCGTPNNLMPFVQQIAVGRRPSLTIFGNNYATKDGTGVRDYIHVVDLAEGHLFALRKLFESSSNTGCEAYNLGTGKGTSVLEIVNAFEKASGKKIPLVMGQRRPGDAEILFSSPAKAERELHWKAKYGITEMCRDLWNWASKSPYGYAASESPKLNGSSR >Sspon.01G0029270-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:102190957:102196834:-1 gene:Sspon.01G0029270-1P transcript:Sspon.01G0029270-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MITEKPSWIRHEGLQIFSIDIQPGGLRFATGGGDQKVRIWSMKSVDKNNANNDSSQGLLATMRDHFGSVNCVRWARHGRYLASGSDDQVILIHERKAGSGTSEFGSGEPPDVENWKVVMTLRGHTADVVDLNWSPDDSTLASGSLDNTVHIWNMTNGMCTAVLRGHSSLVKGVTWDPIGSFIASQSDDKTVIIWRTSDWSLAHKTEGHWEKSLGSTFFRRLAWSPCGHFITTTHGFQKPRHSAPVLERGEWSATFDFLGHNAPIVVVKFNNSMFRKNFSNGQDTKAAPAGWANGTSKASSKEQQPYNVIAIGSQDRTITVWTTASARPLSPDGYSLFACSLDGSVANFHFEAKELGYKLSDSELDELKRSRYGDVRGRQSNLAESPAQLLLEEASAKQSASKKGTSIVQQFQAPQKVSADVPNPASVVQSKKAPEALPEAEKKTSGPAADDMNTVTRLSSPVKQREYRRPDGRKRIIPEAVGFPSNQDNIPSRSQNQVVDFSSLDQRMNGIRPSYGSNSNCNNSGVKDRAGVTARANITESLVIQKASTSAGSDGMLSVERIGSVVSGSLTCSSLSIHVLDKKDNEDSLPVCLEAKPVERAAGDMIGVGGAFSTKETEIRCTRGTETLWLDRISAKVTVLAGNANFWAVGCEDGYLQVYTKCGRRAMPAVMMGSAAVFIDCDDCWKLLLVTRRGLMYIWDLYNRTCILQDSLASLVASPDESSANHAGAVKVISAKFSRCGFPLVVLASRHAFLFDMSMKCWLRIADDCFPASNFASSFSYPQGGELGKLQIDLGKFMARKPIWSRVTDDGLQTRAHLETQLAASLALKSPQEYRQCLLSYIRFLAREADESRLREVCENFLGPPMGMLGSASSTEPKNPSWDPDVLGMKKHKLLREDILPLMASNRKVQRLLNEFMDLLSEYEAAKSKVDPMDVTPAPQPAPEANDNVVTS >Sspon.06G0002440-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:6633640:6637829:1 gene:Sspon.06G0002440-2B transcript:Sspon.06G0002440-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAAVLCRLGLGLRRLSTLPEFPAAAAGAPQPHHQTSKDAYFAAVHHLSTIVRRDFYLERTLNRLRLPSPFPPDLALRIIRAAAPTAPLHATRFLAWLRAKPSFTASAEHFDALLLPLARARLFPHLWSLASDMLGLGLPLSPTTFSAVISSYGHSRLPDQAVEVNTEVYNALLDALCANGNFTGAYKLLRRMARKGVAPDRATFSTLVDSWCAAGKLKEAQAFLDDMATRGFRPPVRGRDLLVDGLVRAGHLEEAKAFALRMTKEGILPDVATFNSLAEALCNAGDVDFAVALLADASSRGLCPDISTYKVMLPAVAKVAKLRRHSGWSSSIPESLCSNHQALCKAGRFADAFAFFGDMRTKGHPPNRPVYVMLVKMCVRGGRFVEAANYLVEMSEAGFTPRAPTFNAVVDGLRHCGKHDLARRLEQLEARVKKEML >Sspon.03G0020140-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:62487168:62487902:1 gene:Sspon.03G0020140-1A transcript:Sspon.03G0020140-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIGRTSHINIVSLFGFCLERSKQALMYEYMPNGSLDQYIYSENPKEILGWQTLYIIAIGIARGLEYLHCSCNTRIVHFDIKPQNILLDKDFCPKIADFGLAKLCHTKESKFSMTGTRGTIGFIAPEVHSRTFGVVSTKSDVYSYGMMLLEMVGGRKNVKSVVEKSSQKYFPDWIYDHFAHDDGLEACEVTCEVEEIARKMILIGLWCVQVVPISRPTITKVLEMFERGLDELEMPPKQNFNQP >Sspon.03G0012770-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:35410671:35411183:1 gene:Sspon.03G0012770-1A transcript:Sspon.03G0012770-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATHMAGLGLPDIDSWNMIEKIAERNNLGYKQLIKLRALLTHLQQLRIGYWGVATGKSQPLQSYGMASPHAVDVSDESQQPSEASGLGRSWVQKTEV >Sspon.06G0012020-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:64625734:64626757:-1 gene:Sspon.06G0012020-1A transcript:Sspon.06G0012020-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSYTQMDRGRAHWDDHTTRMFLDLCIAEKEKENYNSKGLTKIGWHNLYRNFKEHTRRVYDTKQLQNKFNSLKRMYNLWRQQNDKTRGGWDKNSSTVTQDADACDNQIPENSAAEDFRGKALAHEDALTILFGSMDGKDSTKLCVGGTGDRTPSGGGEDNRARVSEDNISCPEENLGRYRVGHVSPWSSQEHMVDSRPTKRYKNTGYYGELISESMLESRNESNAIWREQEEVIELLQLVEKDGVSQGSELFFIATELFRSPIRRAAFRCITTPKNRIAWLRWTWDNAKM >Sspon.03G0002550-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:399584:400375:-1 gene:Sspon.03G0002550-2C transcript:Sspon.03G0002550-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RCPTRRRLPPRATRCSSGACSRCTSSARSLCWRSASSPRRTASSPARAGAPFSRPRSRGSSWRAPPSGSRRSSSSARRRRRFSPARSPPSPPRSTRSTTSTARWSTRSASPACAAPRPPCRSSSPPAPSDSISSTPTYRPAPSRSTPAARRPPPAASPGWPSSRGGCGRTSLRTRSCCGSRRPGAGTRSRGAGGSTWSPAPITSARRWSGWASPWWRGRPRRGRSSSTPAPTSGRGPGTTAAGTCRSSAASTRRRARRSSPTST >Sspon.05G0026430-2P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5C:34694350:34696108:-1 gene:Sspon.05G0026430-2P transcript:Sspon.05G0026430-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALNADLVWQKEALWQAERKSMNKINAFASSSHNIRSALSAIAGLVDMSRSESQSLPGIMENLDQMGVCTNKLFDILNSILDTSKVQSAGKMQLEEAEFSMADVLQESVDMANVTGVRRGVERLKQILDNLLGNALKFTDEGHVVLRGWATRQIAGSSVSAPSRFLRTHVVDTGIGIPKEKRMSVFENYVQVNNGHCGTGLGLGVVQSFVGLMGGEISIKDKGPGERGTRILQTWMESIGVKVWLVPQAEFIGSTLEKVQSNSMATTAADCGGADWCFNSKEM >Sspon.06G0021920-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6C:21446706:21446918:-1 gene:Sspon.06G0021920-2C transcript:Sspon.06G0021920-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGSLLEELKFLVRVNFLEFECSFLSRVGNRAARALAALRYVCVEGEELITRSILEDIHVIVSDDLSNQ >Sspon.02G0004860-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:9837245:9839278:-1 gene:Sspon.02G0004860-3D transcript:Sspon.02G0004860-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDLADLAGAGEAARSHLAAGGGGQRDRLAALLELAAADDAAGFRVALAGGGAQGDSAELADGVGLWYGRSKAYEPRTPLMVAATYGSVEVVSLLLGLGCVDVNRRPGVDGATPLHCAASGGSRNAVAVVKLLLAAGADSVTPDSAGRLPADVILSPPASPDALGDLEMLLGRRRGLAVATSVPSRSSSPPLSSSPDEGNRSPSSRSSSLSPITVDRAKKEYPVDPTLPDIKSSVYASDEFRMFAFKVRPCSRAYSHDWTECPFVHPGENARRRDPRKHPYTAVPCPNFRRPGGCPSGDSCEFSHGVFESWLHPSQYRTRLCKEGAACARRICFFAHDEDELRHVPHNSGAGLLSPRASSSIDMTAAAAALGLLPASPTRHFVPPPLSPSAANNGGGAAAHWLQGSRLRSSFNARDAQVDDLGALLEWESQYLGALSLPQSSRSQARLSTGLSIRPTAIAPSNLEEMYAPDMAMSPRFTNDQGHSVYSPAHKSALLNKFHQQQKGLLSPVNTNRMYSPRGLDPSILHSPFGGMSPRSPRNMEPTSPLSVRVGATVTQRDMLDQFSSLNKHQVPTVGSPRNLNASWGNIGTPKSKVDWGVDDDELVRLRHPVQPGNTAEEPDVSWVQSLVNHAELNGKRGEMAGMASRSINRPDLSSQGDSLDQSVIASWLEQQMHLEP >Sspon.05G0001090-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:2424614:2427366:1 gene:Sspon.05G0001090-3D transcript:Sspon.05G0001090-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRRAQEEEEDDDEAEESVERVFEGREVPGWREQLTARALAVSALLGAMFSVIVMKLNLTTGIIPSLNVSAGLLGFFLLTSWTKLLAQAGFTGVMPFTRQENTVVQTCVVACSGIAFSGMQCTPCPSTVSILDRDLPITKACQTASIAWPRIRDATVRRLSKYVADGCVLNPAGGFGSYMFAMSERISEQSGETWDPHNIKNPGLGWMIGFLFIVSFLGLFSVVPLRKIMIIDYKLIYPSGTATAHLINSFHTPQGAKLAKRQVKTLGKFFAGSFAWGFFQWFYTAGEGCGFMSFPTLGLEAYRQKFFFDFSATYVGVGMICPYLVNTSVLLGGVVSWGIMWPLIEQKKGDWYPADLKPSSLRGIVGYRVFVSIALILGDGLYNFLKVMTRTVTALVVQVRGMMSEPTLPISGGESLPAAEETFDDRRRTELFLKDQIPNTLALSAYLVVAVVSIATVPHIFHQLRWYHVAASYVIAPVLAFCNAYGCGLTDWSLATTYGKLAIFTVGAWAAASDPDGSGSGGIIAGLAACGVMIGIVSTASDLTQDFKTGYMTLASPRSMFVSQVIGTAMGCVVAPSVFWLFYNAFRDIGLPGSEYPSPNALVYRNMAILGVQGLGSLPRHCLDLCIAFFAAAIAINLARDLAGARAAAYIPLPMAMAIPFYLGPYFGIDMCIGSLVRLVWDRLDPARAKAFAPPVASGLICGDGIWTVPQSVLALAGVKPPICMKFLSRTANAR >Sspon.05G0010470-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:29765511:29767876:-1 gene:Sspon.05G0010470-1T transcript:Sspon.05G0010470-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPTRMVGTSNSEIPEFLVGPAGRPVPAVGLGTASFPFVEEDVRAAVLAALELGYRHLDTASLYRSERAVGDAVAEAARRGIVASREEVFVTTKMWCSQCHPELVLPSLKESLRNLQMDYVDLYLVHWPVAAKPGEPQFPIKREDIMAIDLSGVWRAMEECHRLGLARMIGVSNFTTKKLQELLAIAEIPPAVNQVEMNPIWQQKRLTEFCKDKGIHLTAYSPLGGQSMSKANPVLQSEVLQEVAKARGKSVAQISLRWIYEQGTSMVVKSLKRDRLKVNMEIFDWELTNEDRQKISQISQHKRVTVLGILSPDGVSSVDLAELDIVEM >Sspon.01G0027830-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:97209038:97212874:-1 gene:Sspon.01G0027830-1A transcript:Sspon.01G0027830-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VMMRARARELWALLLVALAAAPGAVLAQGNLTSRSDLVGLYALRGSLGLRARDWPRHADPCTAWAGVGCRAGRVVSLNLVGLRRTRLGRLSPRFDVDGLRNLTRLEAFNAAGFGLPGSIPAWLGAGLAPTFQSLDISDCAVSGEIPASALVGLGNLTTLNFAGNQLSGQLPATAFSGLTRLRTLNLSDNAFSGALPDAVWSLPGLTVLDVSRNNLTGALPTAGLALPANAQVLDLSANFFYSVVPETFRRLFAQVLLANISGNYFDGKLGVSDGGGGNVSFQLNCFLDVLGQRTQADCQQFYARRGLPYNGPVMPPAPQPAPSPAREKHKNLKYILIGAIGGGLLLIAVVAAIVFCFVCSQRTGRRNDQRESGASPSAPSGVSATGTAAATGGTQPSALSANTAKVGDSFAYDQLANATSGFGEERLIRHGHSGDLYHGVLQDGTARITSRVARKDAYLAELDLFTKGLHERLVPFLGHCLDKEEEKVLVYRFVRNGDLSSALHRKSREEDEGMQSLDWIKRLKIATGVAEALCYLHHECSPPMVHRDVQASSVLLDDKFDVRLGSLSEVCPQEGEGHQNVITKLLRFSRTADQGSSGSPSATCPYDVYCFGKVLLELVTGRLGISASNDAATSDWLDATLRYVNIYEKELMSRIIDPTLIIDEDHLEEVWAMAIVAKSCLNPRSSKRPPMKYILKALENPLKVVREDNGNSSARLRATSSRGSWNAALFGSWRHSSSDIGPSRDDNILKRSETIKSSGGSNGDHSSSRRRQSKEIFPEPSGSRDTED >Sspon.08G0024010-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:62200866:62202746:1 gene:Sspon.08G0024010-2D transcript:Sspon.08G0024010-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAGCSFSSSRHQMSTAQRFDILPYGFSKRASNRGDGPGSGAAPRVAAADARTGGGGGATCSFRGHPAPPVTQAVSWGAKPEPGGNGATAWERSRAVKRAHEEDAGEEYGAPVVRAKRTRMGGDRDEVWFHRSIAGTVQAAGSGDGEETEEEKVFLVPSAAAFPHGMSAAAAAGPSLAAAKKEEFSKSPSNSPASSGGTDGGSSAVPRPEQLHAYNGAPAQRVEAMELVVALTACADSLAACNHDAANYYLARLGEMASPAGPTPMHRMAAYFAEALALRVVRMWPHVFDVAPPRELTDGAVADDDDATALRVLNAITPIPRFLHFTLNERVLRAFDGHDRVHVIDFDIKQGLQWPGLLQSLATRASGPPAHVRITGVGESRQELQETGARLGRVAAALGLAFEFHAVVDRLEDVRLWMLHVKRGECVAVNCVLAAHRLLRDETGAAIADFLGLARSTGAAILLLGEHEDALNSGRWEARFARALRYYAAAFDAVDAAGLADTSPARAKAEEMFAREIRNAVAFEAGDRFERHETFAGWRRRMQEGGFQNAGIGDREAMQGRMIARMFAPVNYSVQAQGDGEGLTLRWMDQAMYTVSAWTPVSDGGGGGSTVSASVSTTASHSQQS >Sspon.02G0024540-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:84588382:84592334:1 gene:Sspon.02G0024540-1A transcript:Sspon.02G0024540-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSERQQQQAAAAGKTAAFNLAEAGFGDQADLLDDDGRERRTGTLVTASAHIITAVIGSGVLSLAWAIAQLGWVIGPVVLLAFSGITWFCSSLLADCYRAPDPVHGKRNYTYGQAVRAYLGVSKYRLCSLAQYINLVGVTIGYTITTAISMGAIKRSNCFHSKGHGADCEASNTTNMIIFAGIQILLSQLPNFHKLWWLSIVAAVMSLAYSSIGLGLSIAKIAGKLAIFSSSYSICGVHVKTSLTGAAVGVDVTASEKIWKTSIFALPCTHDFDELTRMPALPCPQDTLRSSPPENVVMKKASFIGVSTTTMFYMLCGVLGYAAFGNDAPGNFLTGFGFYDPFWLIDVGNVCIAVHLIGAYQVFCQPIYQFVEAWARSRWPDAAFLNAERAITVGGGRSFSVSPFCLVWRTAYVVVTALVAMMFPFFNDFLGLIGAVSFWPLTVYFPIQMYMAQAKTRRFSPAWTSMNVLSYACLFVSLLAAAGSVQGLVKDLKGYKPFK >Sspon.03G0043970-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3C:85978776:85979250:1 gene:Sspon.03G0043970-1C transcript:Sspon.03G0043970-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPRNATPPRMIGSWRDRVSGAYGGSVRDVVRCGSREVNDDKRKRAATRSTILGGADSCPNHMAGSRPRPRVAVSSSFLTVAAPCSGARCSRRVKGEWGYRFLQKLGPTLRRTELMVPRSKDAL >Sspon.02G0015080-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:40906823:40907266:-1 gene:Sspon.02G0015080-1T transcript:Sspon.02G0015080-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSGSVVPDVVHSGGCHCRRVRWQVEAPASLVAWICNCSNCSMRGNTHFVVPAAKFKLQAGADEFITTYTFGTHTAKHTFCKVCGITSFYTPRSNPDGVAVTVACVDPGTLEHVEYRKADGKNWEEWFKRSDITGFSEGKAEAAAE >Sspon.01G0027060-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:93878938:93882744:-1 gene:Sspon.01G0027060-2C transcript:Sspon.01G0027060-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRNQELEEVVPNDSDPLLGRENRESESSVELSPPQPASVSLPEIEDEETDGSSAACCRICLEAESEIGDGPNELPQCIFIMPVSSLYVNSGMLLSGVNLLCGCSKMKMKNTHDILLTLSIGDELISPCMCKGTQQFVHRSCLDHWRSVKEGFAFSHCTTCKAQFHLRVETWEDNSWRKMKFRIFVARDVLLVFLAVQLTIAIIGAIAYFLDRDGSFRNSFSDGWDRFLSKHPIPFYYCIGVVVFFVLLGFFGLIVHCSSFNDNQDPCLAGCRNCCYGWGILDCLPASLEACFALVLVFIVVFAILGIAYGFLAATMAVQRIWQRHYHILTKRELTKEYVVEDLHGNYTAPKLDPEHEERLKMLKLL >Sspon.02G0034860-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:14479923:14484874:1 gene:Sspon.02G0034860-1P transcript:Sspon.02G0034860-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MCPLPSRDQGMPNPKARVSSQALSPAHARARQLAALSPIAFLFGRRHHLRARSSSLLTSRYSQLHSRAAPPASAARINIPAGMAGGWSGTEEYTTDEALSLLGFGRFQVLLLGFLGTGFVAEAMEVMLLSFVGPSVKEEWGISGGAEGLITSVVYAGMLLGACVGGLSSDRYGRRAGFWFTAIVSGIPGFLCAFSPNYATLLVLRFVVGFGIGGNHVLPTWFLEFVPAEHRAIMPILGWRWLLALSSSPCFILLIFSNVIPESPRYLCSRGKISEAILVLERIARMNNKALPPGTVTSEPSRGVDNNHDSSVTRLLPMPEDSLISGEDTSSKSNLLSDLIRSTLLLWLVQFTNHLVYYGLVYLISELSSHGSQQKDSDVYTNVLVTSFAEIPGLLSVVLLIDWIGRRMTLGGMSLLCCTFIAPLATQLGEGSAITLLFCARACVMGTFAVLHVFSTEIYPTSCRNTGVGLSYFFGRIGSIVAPVMITALLENHRQKEAVLLMDLLLFLAGLACTLFPYETKGREIR >Sspon.02G0035140-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2B:16723548:16724932:1 gene:Sspon.02G0035140-1B transcript:Sspon.02G0035140-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRQNHRSELPDADLHPAAAEKEPSFGGSDAGIRHRHGSQSASRRGRETPREGMESARATLLVELLARALVSYSSVSNPDPAELKHRSFSSCLIKQGLPLEVAISSSSLILCNHTIFEDATPELGRDFFWDGDFPLNWDPMLAYSKTLDEIRQNGATVPLDKKKFLLFLEEVRI >Sspon.01G0039820-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:37052524:37052676:1 gene:Sspon.01G0039820-1B transcript:Sspon.01G0039820-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLLLMYVYKLVVFHASIVLTNDISLVPRLLVSTILACLVTLNITLPRVK >Sspon.01G0024490-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:85597239:85599053:1 gene:Sspon.01G0024490-3D transcript:Sspon.01G0024490-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RSEKIYLTISLPDAKDVVLKTDAKGLFTFSAVAHGEPFSFTLELFGSVLPEGSKTKTKMGLRNIICSIQKEKKGWWKRLLKSEEKHPYIKVDWNKWCDEDEESVTNVAFFVYPFVPDKQFLAEVSGDSDDGFEANEGDESDDDDGMLYLPDLEKLRG >Sspon.05G0014940-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5B:54938944:54941381:-1 gene:Sspon.05G0014940-2B transcript:Sspon.05G0014940-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSALLDLLMGIFRQQTLGGAALELAALAAPLWLAALVGLLIGWAWRPRWAGVVVGDDNAQQQAAAQLPAPPPPARPTATAVVSRNESAAVVPRTVVAPAAAPEDEQLAVNTGDLMHLWRLVEEKDGGPSWIHMMDRTLPTMRYQAWRRDPPNGPPQYRSSTIFEDASPEVVRDFFWDDEFRIKNSWDDMLLQHETLEECTKTGTLVVRWVRKFPFFCSDREYIIGRRIWASGKTFYCVTKGVPRPSVPRQNKPRRVDLYYSSWCIRPVESRKGDGSMTACEVLLFHHEDMGIPWEIAKLGVRQGMWGCVKRIEPGLRAYQVARTAGEPISKCAAMAHVTTRFNADELITEENTEASSSNNAAEVEKPKHWTNNIPKVLMIGGAVALACTFDGGLLTKAVIFGTARRF >Sspon.03G0029110-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:13425073:13426760:-1 gene:Sspon.03G0029110-1B transcript:Sspon.03G0029110-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWVLGPIALIGCAYITYYTAILLSDCYRTPDPVHGKRNHTYMDVVRSCLGPRNVVVCGLAQYAILWGTMVGYTITTATSIMAVARTDCHHYRGHDAACVSSGTMYMVAFGLVEVVLSQFPSLEKLTIISVVAAVMSCTYSFVGLFLSAAKLASNHGARGTLLGVKIGAATGVSASTKTWHALQALGNIAFAYTYSMLLIEIQVYAQPIFACYEKWLGARWPDSAFFHHEYAVRLPLLGGGRAVRFTMCKLVLRTAFVAATTLVSVMLPFFNAVLGLLGAIAFWPLTVYLPVTMYIAQAKVAPGSRKWVALQALNVGALVVSLLAAVGSVADMVQRLGHVTIFQTQL >Sspon.01G0049410-1P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:112663468:112666575:1 gene:Sspon.01G0049410-1P transcript:Sspon.01G0049410-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIVPKETIEVIAQSVGIPSLGADVAVALAPDVEYRLREIMQESIKCMRHAKRAVLTADDVDSALGLRNVEPVYGFASGDTLRFKRAVGHKDLFYLDDREVDFKEIIEAPLPKAPLDTSVVAHWLAIEGVQPAIPENPAIDAIVPPTKNKRSEHGKDDGLPVDVKLPVKHVLSRELQMYFDKIAELTMSRSDTSLFKEALVTRSLGDLPVLFALMRVVQSLLRNPHIHIEPYLHQLMPSMITCIVAKRLGHRLSDNHWELRDFSANLVASMKAKSIIV >Sspon.01G0022550-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:82222141:82223419:-1 gene:Sspon.01G0022550-1A transcript:Sspon.01G0022550-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRLKIGERPSNPLLRSPNGFLGREVWEFDPAAGTPEERAEVERLRQEYTRNRFTQRECGDLLMRMQEIRDKL >Sspon.05G0012450-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:38566042:38583618:1 gene:Sspon.05G0012450-1A transcript:Sspon.05G0012450-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRSPELRWLGSLTRPGRLAPSPLAALASPRRRRRAPSPSQPPSSPSPSDSSTPSTAPASAGVPGAEGLDGPEWKKVSAKRFGIKESMIPAEAWNVLHRLRSRGYDVYLVGGCVRDLIMKKTPKDFDIITTADLRQVKDTFSGSAVIVGRRFPICHVHENNSIVEGRILMEMNYMLAYGSAEASLRLLWRFGLLEHLLPFQAAYFSSTRFKRKDKGTNMLLVLFSKLDNFLAPNRPCHNSLWISLLAFHEALARKPCDPLVVATFALAFYLGGDMSLAVDIGKSINRQHDNGFRELLEPKVWTDKHLAGEVRSFAALMKRALTEMTDECHVANAMAKIPQAPSSDLVFIPLQAYLKVLKFIESVQYGKKERGYEPKRDGMINYHNLSNGTHAEITNLFTLVVFDTLYPTDMEDEDGCSS >Sspon.07G0017540-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:63147479:63147839:1 gene:Sspon.07G0017540-1A transcript:Sspon.07G0017540-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VQGHNKDGKGNLKLAECGGECSGGAPRRTTRSRASCSATSAAPSACACRAGTYGNKDTCPCYDNWKTKKGGPKC >Sspon.05G0004230-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:15954427:15955797:1 gene:Sspon.05G0004230-2C transcript:Sspon.05G0004230-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGRAPCCAKVGLNRGSWTPQEDMRLISYIQKHGHSNWRALPKQAGLLRCGKSCRLRWINYLRPDLKRGNFTAEEEETIIRLHGLLGNKWSKIAACLPGRTDNEIKNVWNTHLKKKVAPREKQKAGAADKNDGAASGDAGTPATAASSSASSSTTTHNSSGGSDNSGDQCGTSREPDDAIDVSLLRLEDIDVSDMLVDAPPAAQPCQAPMLSPCSSSSLTTCVGGVEELIELPVIEIEPEIWSIIDGESVDAPDASGGDATAPCTGTAVVSTSEAEEAANDWWLENLEKELGLWGPAEDTQAAHPDLLDHIAGFSPLGALELERDPVSTYFQTAPAVAEPEFLVVDEPSAVLL >Sspon.01G0056040-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:85840752:85841103:1 gene:Sspon.01G0056040-1C transcript:Sspon.01G0056040-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VNMVPVAEPSGTPSPSSASSRRSPQHSYRFHFGGHSGFIFVQIVVTNWAFALVNTKGLGTRPHI >Sspon.02G0007790-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:25412113:25416753:-1 gene:Sspon.02G0007790-2C transcript:Sspon.02G0007790-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAVHDAHDDSENFLELLNSRTLAPELFAEPPACDYLKKMEYGSSHGGGGGGGGGGGWPDHQFTTAALEKHLSSGYGGGALAHHHHAAPGGGAPERLTANLSDLVSNWSIAPPNPCLGDNNAAAVAALGHGAKAGLFLDSGGGFCKHEIGGHGHGTMMEEAASGSSGGQEFLRPAEYSSMLGLSSNRMYMDVPWGNNAGAARSLSDLISFGGAPLGKPEQPAATSTKAQAEYKKQGQEISSPAKTSSGGGSKGSSEGKKKRSEEQQGSEGNAKKSKNEASSPTSSLKASQVPKVKLGDKITALQQIVSPFGKTDTASVLYEAINYIKWLHEQVQLLSDPYMKTSSSKDYNAWGGLDRKEKSETEIDLRSKGLCLVPVSCTPQVYRDNNGPDYWTPPYRSCLYR >Sspon.04G0005030-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:14613336:14623224:1 gene:Sspon.04G0005030-1P transcript:Sspon.04G0005030-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSRASVPRHAIIKKLAGEDIAHLDDLIAVLSKLSRGSRVPLEYVKYTDRHRNKSVLVTIDQHEWYAPPQLYTRNDATGLWTGKPAISPESPFLAPAHHANHVDTNSNSVSSLSESSPMDLKCQYDSENLADGCIKMQTDDEIVVDGSHSNEDSLVEKKRRRVDEEIAAEGTLPSYGDLEDVKGGSLRHPSNAEGSELARTISSNASLAEQATSRKSIITNPCTAVNIGSADCPRYRAINMEVIELDTDFGSTFSGILTDEQGRVQALWASFSTQLKYGCSSSEDHQFVRGIPIYAISQVLEKIISGTQGPFRLINGIKRPMPFVRLLEVELYPTLLSKARSYGLSDNWVQALAKKDPVRRQVLRVKGCLAGSKAENLLEQGDMILAINKEPITCFLDIEKACQELDQSIGSDGVLNMTIFRQGKEIDLIVGTDVRDGNGTTRMDPHSAVRALGFLPEEGHGVYVARWCHGSPVHRYGLYALQWIIEVNGQPTTDLETFIQVVKGLEDGEFVRVRTVHLNGKPRVLTLKQDLHYWPTWELSFEPETATWRRRIIKALQSTKA >Sspon.02G0009250-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:24722717:24726304:-1 gene:Sspon.02G0009250-2B transcript:Sspon.02G0009250-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKYTRGCCGWLIVALIAALVATAAMFAIMKRKPGGRHIKPLPVPGPPGAIDSKYGDALGVALQFFQVQKAGKLENNQIPWRGDSALTDGKEAGLDLSKGMYDAGDHMKFTFPMAFTATVLAWSVLEYGDQMSAAKQLDPALDALKWITDFLIAAHPSDNVLYIQVGDPDLDHNCWERPETMTEKRPLTQINKKSPGSDVAAEAAAAMAAASMVFKSRDTTYSDVLLQHAQKLFTFADTYRGLSSESYPKLQDFYNSTSYVDELLWAASWLYHATGDQTYLSYVTVQNGKTYADWGRPTWFSWDDKNPGTQVLLSRLNFFGSKQISNAENEGLKSYRDTAEAVICGLIPDSPQATASRTGGGLVWISGWNSLQHATNAAFLAVVYSDYMLSTRTAAVQCSGKYYSPTDIRNFAASQANYILGDNPMKLSYLYITGASIPADAKTGCKGFQYLHSPDPNPNVAMGALVGGPFQNDTFVDSRDNAVQTESSTYNSGTLVGLLSGLVTTSSVVQSFT >Sspon.06G0009240-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:40009112:40013212:-1 gene:Sspon.06G0009240-2B transcript:Sspon.06G0009240-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIGAFHLSTTQYNMALISEESKDLLQAQAELYNQVFSYMKSVALAVALDLRIADAIHHGGAATVSQIAREIGVNPCKIPELRRLMRVLIVAGTFTIQPSEQTAEASSAGHEPAAVYKLTTASRLLISESSPSLFPTLSHQLNSFRDSVLSMGLSAWFRHDEQPGPSPFTLRHGITFWEKSERDHAANTSFNNSMAADSHFLMQIVLKEFSEIFHGMDSLVDVGGGVGGAAISIAAAFPCMKCSVLDLPHVVAKAPAFVSNVQFVAGDMFESIPPANVVFLKWILHDWSDDECIKILKNCKQAIPSRDIGGKVIIVDIVVGSKPSDTKLLETQVLCDLNMMKIGGAERDEQEWKKLFLEAGFKDYNIMSVLGLWSIIELHCEHISHPGTLLKSMTNLLCHHHLFSTMESLLLG >Sspon.01G0041410-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:49446486:49449035:1 gene:Sspon.01G0041410-1B transcript:Sspon.01G0041410-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GMAATAGGGGGGGAKAAVAEQIAQAVRSTSNLLQLMEQSSPAQVSRLLSPSRPGSLGKTTQEPVGKSILCKEHRVGAAPATSSNFILGCLYGQEFAKVSFPCMHIYSLSSQHSASQIKTVTQLLSNMENTQLRSMLPSSQMKKDQKSTEP >Sspon.06G0000360-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6A:2080115:2080791:-1 gene:Sspon.06G0000360-1A transcript:Sspon.06G0000360-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AHRGRQLPGLQRRGRRGRRRLRAPRPGARPQRHPALVLQPHLAVHGRQQPVVDGPVRLAVPALRRGQPLRRRGLQLAGVRAVRQHRHRLHNRLHGDVPGRRDPGERILRRHGLLPGGHPPAYQHLRGGVRRPLQHLRRAHGGRRVRLPDHLRHHRRLHDVHRRQGAGAPGLGSRYGDVPGGEAERHGVHVRQRRQRVRRLQERRRLPLQLLHRLPRKPLHPCRLP >Sspon.06G0025020-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:60511095:60517496:-1 gene:Sspon.06G0025020-1B transcript:Sspon.06G0025020-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SGHAGAIEAIVRLARRDADADKLREELLGRRNRGGDTALHVAARHGHGEAVEALMKLAPELAAGVNGAAVSPLYLAVMSRSVRAVEAILGYRDASAAGPMSQNALHAAVLQSSEMVPLLLRWQPGLATDLDCYKSSPLHFASSYGDCDIIKEILTYAPPSTAYMQDREGLSALHAAALMGNGPAVKLLLQFCPASADIRDNQGRSFLHAAALRGHSSIVSHVIKNRMLENLLNVQDQEGNTALHLAVQAGEYRVVSKLLSSGKVQVHIMNNEGCTPSDLIENSTSFYSMVRLVVKLNVYQAQFRPQRQDHVKEWAGQDLVKWRLATSKNLAIVSTLVATVAFSAAFNVPGSYGSDGKATLNGNRMYNAFLVLDTIAVTTAVVATILLVFGRASRSHHSWLEFTISMHFLWLSLLSMMLGFFTAIAATSDKHSTLIALVRLIYSGLYVLILLLTILGSPGSLTGVLRLLFGRKQHLKRRINRQYPFVVIYAFNMLLFIVISNIAIAAVNIIGDVSTKMVSLLLRWQPILATDLDIHKSSPLHFASSDGDCAVIKEILTYAPPSTAYLQDREGLSALHAAALMGNGPAVKLVLQFCPASADIRDNQGRSFLHAAALRGHSSIVSHVIKNRMLENLLNVQDQEGNTALHLAVQAGGYRVVSKLLSGGKLQVHIMNNEGCTPSDLIENSTSFYSMVRLVVKLNVYQAQFRPQRQDHVKEWAGQDLVKWRLVTSKNLAIVSTLVATVAFSAAFNVPGSYGSDGKATLNGNRMYNAFLVLDTIAVTTAVVATILLVYGRASRSHHSWLDFIISMHFLWLSLLSMMLGFFTAIAATSDKNSTLIALVRLIYSGLYVLILLLTILGSPGSLRGVLRLLFGRKQHLKRRINRQYPFVVIYAFNMLLFVVISNIAISAVDTIGNVSTSSRNHV >Sspon.01G0000220-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:937277:939287:1 gene:Sspon.01G0000220-1A transcript:Sspon.01G0000220-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVPASAQVPHTIRPVGSCFRLHQLSAQNLDRCRRWWGTGKKMILSTRGVLESSNGAHSGGLMKKRKIVEHIILLRAKPNVSDAEEKDMLDYLYTSQYQMRGILAVSLGRIEDPNSENFTHAVFMRFQQKEDIAKFQSSAYYSKVLDEHGSVSVDFESEVEDDIIPLFRRGEVTQATLSWRKLIPFFSYVKNVPLSIFALKQKSWFLWQDFNYGVEFMLLISFFKTASREATEDALASLQKLISQCSSFIVQATCGCCLDHPDNEYNHAAVIRFPSFDDFKLFRESMEYKDMWASKFHPIVEKSLHLHFTVDPVGNQLM >Sspon.06G0034830-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:56732501:56742270:1 gene:Sspon.06G0034830-1D transcript:Sspon.06G0034830-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SMPRSRGTREIPAGERLRSPGRPFSRYFPGRPHRSPTVDLIRPVEKLWEQESHLRTELLEHKNLKETIAIKSFLETDIAALGRESAPLTSQQRMVELEKEVAESFSFLEAGSKLRIGLRGSTRDASGASDTLRAPSPIYGWWAPVAQHYIEEVGEPGLNPNRSRGTTGVHRRHCISPSSSSNFTATSSPTVVARAQPPSTIAMATDSSSSAQLLQPRMVYVTSPHPILLTALSLLDPNPNPNPNPKDRGPTWGFLTASGIATARKEDRGAAHGRRAGQNTEPRYTSHAWARQQGTTMRPLDGGEHARRREGAGRRHLFSFGHRGRLLLRLVLYAALMRENGEKRNGIRVGDAAADGGFCCVDWVVTTLCPTEPVAPVREANESDADWQKRERDDAPEQMAYDLQMQKSGYQRSEMARLHHLSLVAISLLLLLLPVLAAAAAAAEEPDIRISVQYPTEEEARWLDRWSEKYQAQGSSSGFSVHPAADEEREQQVVATPTTDGHIEFDDDDHPFGRIVVDTVHSRASSSKPNDDLQNHERVRPGQGYMQARIARDDRRWRRTTWRPRLPHIYVHGDELGRASNGTPYSQLVISRAEAETPCRPTPYLLPRHRTPPTPPAADDRAPPTRRHYPRPRDALLPSLAAHMMPMKPSQAAVLPSQYFIKIKDDKGCLIAGKCKFVNRLDRALASVDWLDIHLNYSLRSLSSDCSDQASLLLVLNSEPWAPLRFRFHSFWTKMDGFLDLIKIAWTGGLADADACRSLDHKLRYVARALRSRNASNAAARVVIHELDIAQEVKQLSHDEVHLRRELKASTLGLASLNRTMARQRARTRFLREGDASTGFFHLQACHRCRKNYLAAVHHNGQLFTEEEAKADLVHDYYQNILGTPFICEHTIDLSQLQLPTLDLGDQALPFSAEEVTRIVKDSPSDRAPGADGFSGRFFKATWDIIATDVIKVFRSLWELDCRSFHLLDEASMVLLKKTDVPSGLKDYRLISLIHSVGKLFSKGFAMRLAPRMHVLVRTNQSAFIRGRQIHENFRTVQLTCKWLHANRCPSMLLKVDLAKVFDSVAWPFLLEVFEHAGFPSHWREWITIMLCTASTKVIINGRPGRRIRHACGIRHELIVEADPCRCSLAGVPLTDSTIPMHLPRCPAVTQQLVDKVPSRIPTWKASMLNAAGRTTLTQTTLSAIPAWAIAEINKRRKAFLWTGNQSTSGGKCKIAWPMVCSPKVHGGLGIPDLKLLGFVLRLRWEWLRRTNASSAWALLPSMAEKNTDAMFRASISVRLGDGASARFWTDSWLPDGPISSFAPSLFQAVGRRHRNRMIKEAPANRSWVRDISGAPTAPVLCDYVLLWEKLERVHLQPTYSASSAYRSFFIGRTLLVGAEHLWHAYAPPKVKFFFWAETSWTTSRCDDETTDHLLCSCVFAWEVWHRLLLAVGWQSLTPDQATKLDDWWQLARKRLPSELRWSFDSAVLLATWNLWKECNRWTFDGVSKTPLQFLHLIADEADTWITADFTSLTSLVVARAS >Sspon.04G0023670-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:17791974:17797517:-1 gene:Sspon.04G0023670-2C transcript:Sspon.04G0023670-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKLYVQAFPPADLNKNTEWFMYPGVWTTYILIVFFSWLLVLSVFGCTPGTAWTVVNLFHFAITYHFFHWKKGTPFADDQGMYNGLTWWEQMDNGKQLTRNRKFLIVVPVVLYLIALHTTDYQQPMLFLNTLAVSVLVVAKLPNMHKVRIFGINAEN >Sspon.07G0012670-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:45066660:45072153:1 gene:Sspon.07G0012670-1A transcript:Sspon.07G0012670-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gamma-tubulin complex component 4 [Source:Projected from Arabidopsis thaliana (AT3G53760) UniProtKB/Swiss-Prot;Acc:Q9M350] MLHELLLALLGFTGDFVLDASPPRRRSASQEAGRDGDGDRDRDGEVGPAFRLAPDLTFLQPSERTAIERLISLGFYYRELNRFATESRDLSWIQSSVDVSSPHSDKSQKGKVRKGSVYRRAIANGITEILSVYRSAVLQVEQNLLSDPLPILATVTHGLNKFEVLLPPLYELVMEIEQKDIKGGQLLNLLHKRCHCGVPELQSCIQRLLWHGHQVMFNQLTSWMVYGILQDQYSEFFIRRQDDRDGENELSQRVVSDKFKQKLAKDTSLSSWHTGFHVSLDMLPEYIHMRVAESILFAGKAIRVLRNPSPGATLQEPVNQSQNSKGSHGMQSFVGGSGAPKELPSFSNISAEELLPQAEADKIDVMLKELKHSSEFYKRPFESAVGSIRTIAANHLWQLVVVRADLNGHLKALKDYFLLAKGDFFQESRQLMRLPPRQSTAEADLMIPFQLAALKTIGEEDKYFTRVSLRMLSYERSPKTQCFELSSQGKATSELALDGWDSIALEYSVDWPLQLFFTPDVLSKYRKVFQYLIRLKRTQMELEKSWAAVMHKDHADFSDYCKDRKNNSATQLRRQRSKPLWRVREHMAFLIRNLQFYIQVDVIESQWNVLQSHVQDSHDFTELVSFHQEYLSALISQSFLDIGSVSRILDSIMKLCLQFCWSIEQYETRRNISEIDHITEEFNKKSNSLYTILRSSRLADHRKGSDEFRKAAPKHCRFSAVTVTVDV >Sspon.03G0014880-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:47700622:47704997:-1 gene:Sspon.03G0014880-1A transcript:Sspon.03G0014880-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPTAVRHLPFTILLVALSLLSVSAMVAQDRQEGDRVGFLPGQPRSPAVSQFAGYVTVNEHNGRALFYWFFEAQTSPAQKPLLLWLNGGPGCSSVGYGAASELGPLLVNSNGTGVEFNKFAWNKEANLLFLESPVGVGFSYTNTSSDLDNLDDRFVAKDTYTFLVNWFNRFPQYKSHDFYISGESYAGHYVPQLAEVVYEHNKHLEANQQIHLKGFIVGNAETDDYYDYTGIVEFSWSHSVISDQFYERVKNVCDFKLSPTSTECGHVMDLLYHTYNEIDIYNVYAPKCNTDGSALSSSSSSSDSNATYFNRMDVQKSLHANTSGRIKDRRWSLCSDPIFDFYDMEVFSVLPIYSKLVKAGLRIWVYSGDVDGRVPFIGSRYWVDAIGLPIKSQWQPWYLKNQVAGRYVEYEGLTMATVRGAGHTVPQDKPAEALVLIKSFLSDTQLPTKNN >Sspon.07G0022280-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:5729147:5731695:1 gene:Sspon.07G0022280-2C transcript:Sspon.07G0022280-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFAVAKRTSVFDDPTVEIQELTAVIKKDITALNTAVVDLQALCNSQNESGSLSKDTTNHSTTVVDNLKNRLMSATKEFKEVLTMRTENLKVHENRRQMFSSSAAKDASNPFIRQRPLVARDPSESSVPPAPWASDSASTPLFQRKKTNGDHGASSSSSSQPFAQQQQLAVQQDSYMQSRAEALQNVESTIHELSNIFTQLATMVSQQGELAIRIDENMDDTLTNVEGAQGQLLKYLNSISSNRWLMMKIFFVLMVFLMIFIFFVA >Sspon.07G0032350-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7C:48499912:48504273:1 gene:Sspon.07G0032350-1C transcript:Sspon.07G0032350-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLTVSDGSRGGGDGHRQLFPTLTATNYTSWSIRVAAIMEEQGWWEVVEPPEGDVAGAQTAAQTAKDKKVRTHLFQCLSDELLMQVAKKKTGREVWEALKARFVGAERVRDARLQTLKSEFDALGMKETESIDEFAGKLTAMSVKYGNLGGTLEDTVMVKKLLDTVPERFIQCVAGIEQFCDLKTLPLEDAIGRLRTFEERTKRSAGGVRSENGQVLLTQAEWDARQKKSGGEGSRGGKPQGSGGRGRGGYDRGGGRGGRGGRGDAGGRGDAGSTEKHDKSTVKCFKCKQYGHYANRCPNHKKEDEAHHVKAEMEPSVLFAELEVINSGKSSQPKIQGKMCLNGGPMQPELHFTGNGEPTGDTWYLDSGASNHMTGDLKKFKEIDTTFSGKVRFGDGSSVDIQGIGSIVFAGNDGNQWLLRDVYFIPKLRANLISLGQLTENGHMVVMDEDIITVTEKYPRRMIMCVQKNANRLYKIGLSVGDPVCLLASMEDQGWLWHGRLGHVNFHALKQLVDKEMVGGVPLIQKPDQVCQSCLVAKQARSSFPRSALWRADEPLGLVHVDLCGPITPASVSGNKYFMLCVDDCTRWCSVFMLKSKDEAVNAFVKFKAQVENNCDDKIKVLRSDRGGEFLNGVLQSICDQAGIRRQFTAPYTPQQNGVVERKNRTVMEMTRALMKSMKIPGRFWAEAVRHAIYLINRLPTKAMGSRTPYEAWNGKRPHLGHLRVFGCKGHVKIVKPYLKKLDDRSMPMIYLGIEEGSKAHRMYDPQTNRIVVSRDVVFEETMMWDWGAVEASEISDEMEMGQWNSQIDLNNDDDVLQDDHLNDQNARNSTGQRFAAGGDAATAHDDYDETPRRFRSLDEVYQHSHVVDLSSDSDGGVNAEAAGQTDAVEVAALLTVMEEPSCFREAVDDPNWVQAMDNEMQSICKNGTWELANLPPGQKPIGLKWVFKLKKNADGEVVKHKARLVAKGYVQQQGVDFDEVFAPVARLDTIRLLLALAANRGWQVHHLDVKTAFLNGELEEEVYVAQPEGYVEKGKEKMVLKLSKALYGLRQAPRAWNIKLDKSLRLLGFSKCASEPAVYKRGVGMSAVILGVYVDDLIVTGEDATEIEKFKKQMTSQFEMSDLGLLSYYLGIEVEQSSDHITIRQTSYAKKILIQFGMGDCNPTKVPMDPCTKLDADKEGERVDATEYRRIIGCLRYLLHTRPDLSFSVGMASRFMEKPTVKHRNAVKQILRYLKGTLLLGLVYTQGKSEEKLIGYSDSDVGGDLIGRKSTGGMCFYLNESLITWNSHKEKTVALSSCEAEFMAATEAAKQALWLRTLLGELTATRAEKVTLFVDNNSAIALMKNPVFHGRSKHIDIKYHFIRECVDRGQILMKRICTNEQKADVMTKPLTAVKLAVMRHLLGVRELSPHQA >Sspon.04G0018400-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4B:69681155:69682578:1 gene:Sspon.04G0018400-2B transcript:Sspon.04G0018400-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSRRWRRVWVHTPVISLDYRCEFEPESPGGRVPDRVDAALAAHAAGTRTGATTSTVSLSRLEITLPFESSTHLRTDRIAAWLHVAAQRLARAIAPCGSRCPPAGGVFKALATLTIRFACLHGRELEAAVSSSRCPCLKKLVIEWITMQQDDGDGDGDGACDLSILEISYAQRLDGRLRVHASNLQTFHPCIRCDLCVLAPKLSEVRWSSGRAYDSSRHHLAHADHQLRRLEVEANSSGFALMRQFNTVDELDLTVNLTRGAEEYERFLKCTDTLAKCKVLL >Sspon.01G0004570-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:10565362:10569656:1 gene:Sspon.01G0004570-2B transcript:Sspon.01G0004570-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSEAPLLLAHPREGKEDPGADVGDRRCCWWAEATAEAGRLAALAAPMIAVALLQLMMQLISTIMVGHLGEVPLAGAAIANSLTNVSGFSVLMGLASGLETICGQAFGAEQYHKVALYTYRSIIVLLIASVPMAIIWVFIPDVLPLIGQDPQIASEAGRYALWLIPGLFAFSVAQCLSKFLQSQSLIFPMVLSSLTTLAVFIPLCWFMVYKVGMGNAGAAFAVSICDWVEVTVLGLYIKFSPSCEKTRAPFTWEAFRGIGNFMRLAVPSALMICLEWWSYELLVLLSGILPNAALETSVLSICISTVVLVYNLPYGIGTAASVRVSNELGAGNPDGARLVVIVALSIIICTAVLLSVTLLSLRHFVGIAFSNEEEVVNYVTRMVPLLSISVLTDNLQGVLSGISRGCGWQHLGAYVNLGAFYLVGIPVGLVAGFALHLGGAGFWIGMIAGGATQVTLLSVITAMTNWRKMADKARDRVYEGSLPTQAD >Sspon.02G0023230-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:81452696:81457835:-1 gene:Sspon.02G0023230-3C transcript:Sspon.02G0023230-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SEC12-like protein 1 [Source:Projected from Arabidopsis thaliana (AT3G52190) UniProtKB/Swiss-Prot;Acc:Q8GYE0] MAGGEASAGGGGKVACAAWIRRREEKAAAAAAAARVFAAYGRAGAAGSPPALEVLGFDAKECSLSPEPLARAVLGEGGAGDAPRGIAVHPAGDELVCATATGCRLFKLIFEEFTVRIIPRDAPPLESVGPQKCLAFSTDGAKFAIGGEDGHLRIFHWPSMNVLLDEPKAHKSFRDMDISLDSEFLVSTSTDGSARIWKIDEGAPLVNLTRSSDEKIECCRFSRDGMKPFLFCTVAKGSKVITAVWNISDWKRIGYKRLLGKPISTLSVSLDGKYLALGSHDGDFCAVDVKKMEVSHWSKKVHLGSLVTSIEFCPTERVVISTSHQWGAELTKLNVPADWKEWQVWLVLLALFLVSAILFYMFYERSDTFWNFPMGRHQPAKPWNVLKESPPVPEDQNPW >Sspon.01G0044380-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:82062562:82065512:-1 gene:Sspon.01G0044380-1B transcript:Sspon.01G0044380-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ACYB-2 [Source:Projected from Arabidopsis thaliana (AT4G25570) UniProtKB/TrEMBL;Acc:A0A178UZ09] MAVPAPAKVARMLAASAEVLVLLWCVHFRGGLSLGSPTNKGLIFNVHPVFMLIGFIILGSEAIMGYKIWPWSHDANKMVHMLLHAVALFLGSVGIYAAFKFHNESGIDNLYSLHSWVGLGTICLYGIQWLLGVTTFFFPGASPTIRRRMLPWHIRAGLVVYILALLAAELGFLEKLTFLQAAGLGRYSSEALLVNFTALLILLLGASVVLYVTAPMHNEPTQGYSAVHKP >Sspon.02G0041070-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2B:73869467:73871931:1 gene:Sspon.02G0041070-1B transcript:Sspon.02G0041070-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRYEHHRARIYRSLLIVSI >Sspon.06G0024730-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:57103443:57105218:-1 gene:Sspon.06G0024730-2C transcript:Sspon.06G0024730-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLFEGPFGAVLHTGDCRLTPDCLSALTPPHLARRIDYLFLDCTFARCALRFPTKEDSIRQVINCVWKHPSAPVVYLVCDMLGQEDVLIGVSRAFGSKIYVDKEKNSDCHQTLTHVAPEILAAHPAAASTRFHVIPFPRLSERATEILALARAAHQPEPLIIRPSSQWYAYYDPPPPEEAASTQEQQQQKPLLTEAMRDEFGVWHVCLSMHSSRDELEQALGILKPKWVVSTTPPCMAVDLSYVRKHCSLSRFGPDDPLWKLLGISDGMSTVTGSPQAVLLTAQAVEKSNQLFSSCVDESASDDGSQVVEEAVEAAVVDFEIRIEPPVTLFGSARFGLPQHEPELWNYEYESVEIIGDVELEANDSAAEPGFCSNNKPIEVVELTEDATKEQNSVSEFGFCSNSKPVEVVGLTETATKEQSSVYESELLKDSKSDDRVEVLDLTEHGRKYMTLRAESEQSKDDKRNGESESGAQEQNLNVHARLMEVCRHKVTGEGKDRMEVTKEISAVHVTVSATEEDTIITSSGIGKNSDQYSERASDSSTVVGSSKGLNANLRRLYRSMNVPVPRPLPSLVQLMAASKRPRVSQTVQL >Sspon.02G0026090-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:96176001:96187823:-1 gene:Sspon.02G0026090-3C transcript:Sspon.02G0026090-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPQTLSAPPPAAAAAPNPGKRKRQPKGKKKKNKLARSDQDDSVHRRRNKPSAKFLKLLRKRARDYNSDDEEEEDDDQQQEHPPNPRRRRGHDDGDDDDDDEALSHSEPEEDEDEEDEEEGASSSAVTRFEQGCRAFRVAFLKIMAKKLPDNPLGPIMSAHKTLVAAKLAEEVEEHKPKGEARKEKRVAAEKGHVIPKDHLDSKEKELIKVSKAQKPRKDLNPSRTKDAKVLEKERKNTFLAELDMPSRQDKKSKASSNFSKHTGKDEDEPAWAPLRDSYMLGSKLKDWDKMKDSSATSEEKKVPLSDSSDEE >Sspon.07G0014750-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7C:58662615:58666688:1 gene:Sspon.07G0014750-2C transcript:Sspon.07G0014750-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIVRTGAGVRLPRLDGGEVASPDATEDDEEEESLATPSQESEADGFSAGGGEDDDDEDNGGGGGGEEPEEVEEEDEDEPEEEEEEEDEGDSGMGSDELDVAELGEPGAEMCQVGEQSVAVPLELYDLASLGDVLSLDAWNTLLSEDERLHLAALLPDMDQETFARTLVELLAGQNFHFGSPLAALFERLKGGLCDPRVNLYRRGTRFAELRKHYYWLQSYHNSMVRGLREIKDCWKGRAGYSLDERLRMLDTLKAQKQQQQQQQQRKALDSARRAGSETDSESRGSGEQVLARLKHDKSGQKKAGKLVKEKSKGLLRVGTPKGVDEEYMGGSGHDPVVALSELSRQDNNVYGYDSGAHRGKHHRSVGGLYSEDLGYERDSSRSRFPRLLPKPVKKKELATSYDGNLYGNNYSDNHTASPYYYGRNPSANQGVTLAAAYDPPYFDTRRNARYSERDWVQGGKGVHANKAEMGDEMNWPSGTHSGNLDDWQMGQSAGDYRSRKDQAGYGLKVKSYKSIEQQVNDARVGSDPRSKISQAKMAGKSSAQLDRTGQKHSRSNTVYAQSEETESDSSEKFEDSGEVHYLERKTERHHSGYHRPAHGAKKSNKLAKVVKMNYPTADADLEPSQSKGFKGKVSETGYLRDVDVMMTEQISDDMKPPAASGERKRKGVANLDMHVYDNSDLHEVDENANDSSRLAENERLASRSGHAVQDSNGDYGGTERVSSSSKKAKGRVEVPSLDEHGEHLSSSGPKVVENIGGSKKKSKKKPESSTTDAVVIAEPAATVPENNVVTAEPEKPEKPEKVEKPKKKYVPITPTIHTGFSFSVVHLLTAVKKAMVTPAEGTPATAKQPDGEEGKKWLNSEECSKTPHQEQSTTDQAEQVLEGANTSAAEHEGANATAAEQTVPINAPALTVQEIVNRIRANPGDPRILETQEPLQDLVRGVLKVLSSRTAPLGAKGWKALVAYEKSNKSWFWVGPVPSVSSYDDPDEETSAEAWSIPHKMLVKLVDAFSNWLKSGQETLKQIGSLPPPPPPNPANLDLKERFKELKAQKSLNTISPSSDEARAYFQREEFLRYSIPDRAFCYTAADGEKSIVAPLRRGGGKPTAKARGHPMLLPDRPPHVTILCLVRDAASRLPARTGTRADVCTLLRDSQYLNHEEANKEAAINQVVSGALDRLHYERDPCVLYDNDKKLWTYLHRGREEEDFEDDGTSSTKKWKRPRRDISDLAEPGAANDDIDDDGTGTPSASNAKKQKTDHGDTLSGEANDEGNHPTQNPSSGGLEGDPDLNAVPSSKNYEESGGVVYIDATPDDGGSKSIDAKPGSRADDNTASWQSLPVQNKTNTALPENTSMDATPP >Sspon.01G0012240-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:32754591:32757107:-1 gene:Sspon.01G0012240-3C transcript:Sspon.01G0012240-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTVVMRVDLECEKCYKKIRKVLCKVQDKVSIRTISYDEKNSTVTVSGPFDAEEVADRLTSDAGKVITDIHVVGGFGVALGGGKQKHGAAAAAPGKAPKPGKANGNGHGQGQGHGHGGHGGGGHGGHGGGGHGGHGGGGGKPEKKHVKFDDMDLDMDDDDFDLDDMDEPAGRHAHSGHGHGHGHGNGGGKPNIIRTTNTPIAARLEAPRTGPAMSMAAAAPVRMPMPMPMAQQQQAQGMGVPSIWPAAPEWGYSTQPYGSYRGPPAGGYYGGGGPAAAAYGPAGHWPYGYGRNPYAQQQYYEEEPSAGCSVM >Sspon.02G0051280-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2D:67884783:67885270:-1 gene:Sspon.02G0051280-2D transcript:Sspon.02G0051280-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANLEDLGSWKVHSCGWPYATDVGRQTVYRREDSLSWVNVSYATRKWRLCNTFSLHVCLRAISGSRSWLHWTSYHVSLDVMITLSRLGGRRPANVLGKAKRKGEQPNVIALVRQFSEEQHLWCLAGARSLRALGHGDT >Sspon.01G0002290-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:6712877:6716371:1 gene:Sspon.01G0002290-3C transcript:Sspon.01G0002290-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLQHHHRSRSASSFARSSETTAADLDARSLGSTATAAAAETVEGGGGGGGLNYYPSGGDGGGGDGGSPTIGAGPRGGTGMNVVSSRVKRALGLKARRSSQPTVRSSMNSSSAPGSPGRMRSVRDQAPGSPGKSRRPMTSAEIMRQQMRVPEQSDARLRKTLTRTLVGQVGKKADTIILPLELLRQLKLADFADSGEHHQWQRRQLKLLEAGLIHHPSLPLDRLNAPVLRFREIMQAADARPIDTGKASDTMRALCDAVLALAWRSAPGTGPPGEACHWADGYPLNVILYVSLLQAIFDLKEETVVLDEVDELLELMRRTWTTLGIDKMIHNVCFAWVLFQQYVATGQIEPDLAGAALTVLGDVAADARQEDRDPVYARVLSSVLGAIHDWSEKRLLDYHEWYGKSVAATGAGAMVSALSLALSTSKIIAESVPGMGTALADSEHEGDGIGSFAGNRVDHYVRCSMRNVFTKTLENELGQGNSMIIQRDDDPSEIVARLAQDTEQLAQFELENFCPVLKRWHPFPGAAAVVTLHSCYGVVLKQYVAKATCLTNELVHVLHAAGRLEKALVPMMVEDVADSDDGGRSLVREVVPYEVESLVARFLRTWIEERLRIARECLLRAKDTESWIPKSKGEPYARSAVELMKLAKATVDEFFGIPVSATDDMVQNVADGLGAIFQEYITFLASCGTKQSYIPSLPPLTRCNQDSKIIRLWKRAATPCRDPGTSPRGRVHHSQSASLSGGNNPRQSTSRGTQRLYIRLNTLHYLLSHIQALDKSLSFFSHCGSTSPPAANRHLAPSSSHFDRARAAAQSAIVHVAEVAAYRLIFLDSHHSFYGGLYVGGVADARIRPALRALKQNLSLLVSILVDRAQPVAVREVMKASFQGFLIVLLAGGSDRSFTMEDHAMIEEDFRSLKRAFCTRGEGLVSEDVVEAEARAAEGVVALMAQTAEQLVEEFGIAAYECTEAVSERQRLPMPPTTRRWSRSDPNTILRVVCHRDDEVANHFLKRTFQLPKR >Sspon.01G0009970-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1A:28006173:28008427:1 gene:Sspon.01G0009970-1A transcript:Sspon.01G0009970-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding FAHESVGEGEDRHLVLQRCPETAIPSVLVSDMLWKFDCSDDLTSVVLTRNDTDFQKPQKADVVQEAVSVKNSHLKDNTDLKPLKQPAVLPAASLKEREAAYRAARERIFSGDDAKGNDRSHMKCRQVPVVAQRMIAHALGQKVQNTTETVASTESRGKQLSNGKNIPTHSRNNFCPVTPDNREAVRNGKPNSAGSNSYQTPSNQRCHTTNTRAVTAESLKKEQLEQLKECLHMHWGCLQLEEVMVHCPNRSKDLELRMRMKRIIGWMLIIGPREDMWPWFERQAQLGKEFAGYGWHAKPSESASPEWKKNGLRCSCCTVVISL >Sspon.02G0023830-3D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2D:75222814:75224054:-1 gene:Sspon.02G0023830-3D transcript:Sspon.02G0023830-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GA 20-oxidase3, GA metabolis [Source: Projected from Oryza sativa (Os07g0169700)] MAAAGVVFDAEVLSREEHIPAQFVWPAEDRAPASAGGGGVEEIAIPVVDLRGFLRRGDGAELPRGVAEACERHGFFQVVNHGVRAALLADAYRCLDAFYARPLADKQRAQRRPGESHGYASSFTGRFRCCLPWKETLSFNCPAGAERAVVDYFVDVLGEDYRHMGEVYQEYCDEMARLALDVTEVLAAALGLRRGALSGFFDGGDSIMRLNHYPACRQPHLTLGTGPHRDPTSLTLLHQDDVGGLQVRAGGGGNGAWRAVRPRADAFVVNIGDTFAALTDGRHASCLHRAVVSGDRARRSLAFFLNPPLDRVVRPPDALLQLQEEKGRCPRAFPDFTWREFLEFTQKHYRSDASTMDAFVSWIAGGRGDDGHAGQEG >Sspon.07G0027890-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:63201667:63206693:-1 gene:Sspon.07G0027890-1B transcript:Sspon.07G0027890-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYGGPHAAFLATSQEYKRLMPGRIIGVSVDSTGKPALRMAMQTREQHIRRDKATSNICTAQALLANMAAMYAVYHGPAGLKAIADRVHGLAGTFAHGLKKLGTVTVQDLPFFDTVKVTCSDARAVAKEAVKNEMNLRVVDANTASFTAESLAPEVSSSIPSSLARDSPYLTHPIFNMYHTEHELLRYLHKLQSKDLSLCHSMIPLGSCTMKLNATVEMMPVTYPNFANLHPFAPTDQAAGYHEMFDDLGNLLCTITGFDSFSLQPNAGAAGEYAGLMVIRAYHNVTYPSTHGVYEEGVDEICRIIHENGGQVYMDGANMNAQVGLTSPGFIGADVCHLNLHKTFCIPHGGGGPGMGPIGVKKHLAPFLPSHPVIPTGGFPLPEKTDPLGTISGAPWGSALILPISYAYIAMMGSQGLTDASKIAILNANYMAKRLEKHYPVLFRGVNGTVAHEFIIDLRGFKTTAGIEPEDVAKRLMDYGFHSPTMSWPVPGTLMIEPTESESKAELDRFCDALISIREEIAEIENGKADVLNNVLKGAPHPPQLLMGDTWSKPYSREYAAFPAAWLRGAKFWPTTGRVDNVYGDRNLICTLQQASQVAEEAAAAATA >Sspon.04G0029540-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4B:72671451:72671657:1 gene:Sspon.04G0029540-1B transcript:Sspon.04G0029540-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DMPRNKDCRRGRWLTACAAPIPMPSLQNSCADAEHLGAAALPLRITTRCKPFESRRHSSYTFVSDPIE >Sspon.03G0032030-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:41858452:41864896:-1 gene:Sspon.03G0032030-2C transcript:Sspon.03G0032030-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable plastid-lipid-associated protein 14, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G53450) UniProtKB/Swiss-Prot;Acc:Q9LV04] MPLAAAAAAVAACPSPIGLTRPLCRTEAHPRRRRRFRLEASSSASASASAPAPAAADEGGGAGPCPVVRFDMADFTVADRVNVGLHGRSDEMIFEATVCDPSSELYGSTVVLRQLKSLQAKRRGRRALEVLKKLARRQMMYHSYALQVHGYIAPCNAVEEEDVPLVLVHGYHGSHSLRHWLQLSDWLPTLEATLALDEEQVRRVGDDSVGGPAVTRQLRLIRILMRDLLIGVNYLHSHGLAHTELRLENVHVSPIDKHVKVGILGNAADFHDNDPSSSTVASNNDRRKMMIAFDMRCVGFIMAKMVLRELMDSSTFFKFKSFLTKGNDPSCLREFLLPTLCQNSTSGNIDRQWGAGWNLLALLLATKPEKRISCVDALRHPFLCGPKWRINPSANLIRWSLGSTAVHMAEDYIYGHHQRRRLAYFVELMEVLNPSLRTQSWLHLLPGRWRLLYCTGRHIGLTLRQASPRVLISDVYLTVSSESVDPISSLTSDTGFRIMPESNWPHDKSGTEGVLSVTTSARIAAGRIYIKEHDSEESRVTSFRSSRRYLHGKWGKVSQMKELPSSLPTVSIAAMDEIDVSMSCDSSLNVNSAQNVLQEIRTQTPPEIFDLSKIVCGTYIDARLMILRGVNGSALLFTRANPTSDP >Sspon.01G0020920-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:81998392:81999862:-1 gene:Sspon.01G0020920-2B transcript:Sspon.01G0020920-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLKFTVRRRPAVLVAPASATPRELKRLSDIDDQDGLRFHIPVIQFYRRNALMGGRDPAPVIRDAVARALVHYYPFAGRLRELEGRKLAVECTGEGVLFIEADADVRLDHFGDALQPPFPCLDELIFDVPGSSEVLGSPLLLFQVTRLACGGFILGVRLHHTMADAQGLVQFLGAVAELARGAAAPTVRPVWGRELLEARDPPRPAFAHREYDEVPDTKGTLIPLDDMVHRSFFFGRQEIAAIRANLPPALRSRASTFDLLTGLLWKCRTAALAPDADEVMRMICIVNARGGKSGIAIPEGYYGNAFAFPVAVATAGELAAKPLGYAVELVKRAKGEVNVEYMRSVADLMVLRGRPHFTAVRAYLASDVTKAGFGDLDFGWGKPVYGGPAKGGVGAIPGVASFFIPFKNAKGEDGIVVPMCLPGPAMETFVQEMGKLLSPPADAEQQQDAFSVIRSAL >Sspon.05G0030500-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:90853103:90856983:1 gene:Sspon.05G0030500-1B transcript:Sspon.05G0030500-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLWLLVCAALLLGCCHGRFVVEKNSLKVTAPDDLKGTYECAIGNFGVPQYGGTMVGFVAYPKANRKACKSFDDFDISYKAKPGAFPTFLLVDRGDCYFAKKAWNAQKAGAAAILVADDKDEPLITMDNPEESGKTDYLENITIPLALITKSFGDRLKKAIDKGDMVNVNLDWREALPHPDERVEYEFWTNSNDECGPKCDSQIDFVKSFKGAAQVLEKKGYTEFTPHYITWYCPEAFILSKQCKSQCINHGRYCAPDPEQDFSKGYDGKDVVVQNLRQVCVFKVAKEHKKPWLWWDYVTDFAIRCPMKEKKYTKVCAEGVIKSLGLDHKAIDKCIGDPDADEENPVLKAEQDAQIGKGSRGDVTILPTLVINNRQYRDIQTNECLENNGGCWQDKAANITACKDTFRGRVCECPVVKGVKFVGDGYTHCEASGSGRCEINNGGCWKDTKNGRTYSACTDDGCKCPDGFKGDGKHKCEGKNGGSEAGWGFLWVVFFGLVAAGIAGYAVYKYRIRRYMDSEIRAIMAQYMPLDNQGDVQSHSHHIEM >Sspon.01G0029230-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1A:101626202:101628041:1 gene:Sspon.01G0029230-1A transcript:Sspon.01G0029230-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGPSNEALQPQNINLLSMLLPTEKGQAPAANSGLSLWSNNADSGNLQAVMCGIDLAQEVLNMRQNLHNFQQIGIDVQQHYSLTQNQPTLACLNSQITQPEKFLSEISHDPQLLNNNQQQYLPSELHLQPLMPGVPQPQYSLLNNMLQLRQQEQQQLQKQQQHMSQALPHDCSMQQLYDPSHGTRHTSLSSSDCMKLCLQRTQQILELAQKLPGHGMHDLQLPKHANVKLRDMGIIGLSESWAPALALPLPHEMMGHAAWKECSASLTQGSAVVDAPSRKESIVDLPSEKTLSSGSNEYSKVTVFEAKGFPQSCQGLAKSESVASHISNEVHEMEISSTHPHSWKPAPGVRTKSLLEIQAEEQLETQREIALENTEVTTTAASALSIPWGGLAETSGLQFGDETKPMRDKKNVNISKSKRSKLHDLLAGEELVKSNDKDAVIINSADDTSFPPLAPSVIQSDAHLSSHSTPNSEEDLFWELCEHAKQDNNMHDVSLHLY >Sspon.03G0040380-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3C:28979122:28979924:1 gene:Sspon.03G0040380-1C transcript:Sspon.03G0040380-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVVPASPHVLLLRAHLLLLLHMLHAGVCPCMHVGTTHASRDHLRLSSLPAPDTEPPLLAPGLGATEDGARHGMPGTEAPLQSSSTHMELECLGRGTGDWV >Sspon.01G0036050-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:13778473:13783338:1 gene:Sspon.01G0036050-3D transcript:Sspon.01G0036050-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor CRC [Source:Projected from Arabidopsis thaliana (AT1G69180) UniProtKB/TrEMBL;Acc:Q1PFF1] MDMVSQSEHLCYVRCTYCNTVLAVGVPCKRLMDTVTVKCGHCNNLSYLSPRPPMVQPLSPTDHPLGPFQCQGPCNECRRNQPLPLASPTSTELSPRMPFVVKPPEKKHRLPSAYNRFMREEIQRIKAAKPDIPHREAFSMAAKNWAKCDPRCSTTTASAATSNSAPEPRVVPTPQVTEARFDLEVDRAKEQVIESFDIFKQIERSI >Sspon.04G0037820-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:78416020:78416193:1 gene:Sspon.04G0037820-1D transcript:Sspon.04G0037820-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGKHMLEAIPIIMSQRQDVIFKLLHAGDPQPPDFDDHRVGLFLDENLIVVRTPVVG >Sspon.05G0004250-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:7625408:7630711:-1 gene:Sspon.05G0004250-2B transcript:Sspon.05G0004250-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRKSHKARGVAAHAPVGLTAGPRPPLKAAAPNPSPAAELPQAGVPEAEGLKAPDAGATAAAAEALERLHVAPASDGDRPSPPPSEPVHEDPAPPVEASSSGRSAAGGSWEEAVRKLHELAEIGGDEVELTEEEVRANDQRQEDEICALEAIFGDAVVILDRKGGQRSFQVLVHIEIPDSIDVSTKLNYGDGKLNYGATRDDDDDLFYKFRVEHLPPILLTCLLPTSYPSHRPPLFTISTYWLDKGMISLLSRMLDMLWEEQQGMEVTYQWVQWLQNSSLSHLGFGNEVILSKSDVTCDADGEDKRACPGVDFIKLPCHHFFCWKCMQTYCKMNVKEGNVVKLLCPDTKCEGAVPPNVLKRLLGEDEYERWEGLLLQRTLDAMKDVVYCPRCQTACLEDVAFAPFVEIAVILESIKRQESGNVQGDQMKILEELRSLKEIMKDSKQCPKCRMAISKTEGCNKMHCGNCGEYFCYQCNRAITGYEHFRGSCVLFPQEELDRWEMQMNQRVQRQVVAHVHAEMHGQNGHAHPCPTCRQPSPKIGNNNHLFCWACQKHFCALCHKTVQKPTQHYGPKGCKQHSADP >Sspon.08G0003190-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8A:8614541:8615895:-1 gene:Sspon.08G0003190-1A transcript:Sspon.08G0003190-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHQGIAMSSPRSPTATAAAVLLALTVALAATTVVAARDLRSAAPLERADEEVRRLYEAWKSEHGRPRDGGSDRLEVFRDNLRYIDRHNAEADAGLHTFRLGLTPFTDLTLEEFRARAFGFLNSTLPRVASDRYLPRAGDDLPDTVDWRQQGAVTGVKNQLDCGGCWAFSAVAAMEGINKIVTNNLVSLSEQELIDCDSEDYGCHGGEMQKAFQFVIDNGGIDTEADYPFIGTNGTCDAIREKRKVVSIDSYENVPTNDENALQKAVANQPVSVAIDANGPAFQHYSSGIFNGLCGYILDHGVTAVGYGSEDGEDFWIVKNSWGPEWGEAGYIRMKRNVLLPMG >Sspon.07G0010560-1P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7A:34026303:34026758:-1 gene:Sspon.07G0010560-1P transcript:Sspon.07G0010560-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRKQGCTTRAPATDATQQQPAAQAAVLETPALSDDAAAAQQVDDDQPDVASVDESRGGEEDNRDDDEQVERFYAVLANIRAMRGLAPHNMACSSSCGGRGDNDDTDTDTAVAGDSTRNKRLRRSAEPPWRPAFRMEDFEEPPPPTAASCKM >Sspon.02G0016900-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:42858589:42862224:1 gene:Sspon.02G0016900-4D transcript:Sspon.02G0016900-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAREMEMARKATSSPNKRSPRRHEQALSYNRLHTEERAIRRAGYNYQVASKINTQEIIRRFEEKLAQLMEEREIKLMRKEMVDEASDGAKGAKFSEAEMLHRWRVPSPFLLQWRQ >Sspon.02G0020060-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:69599180:69600340:1 gene:Sspon.02G0020060-2C transcript:Sspon.02G0020060-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGTPSTTPVFGNPSTTPVFGTPSTTPAFGAASSTPAFGTPSSTPAFGTPSSTPAFGTASTTPAFGASSSTPVFGTPSTTSAFGSLNFGTPSSTSAFGTPSSAPAFGGLNFGTPSSTSAFGAPSSAAAFGATPSPSPFGFQQQATPSPSPFGLLGGGGAQITTQMAPVAPLPLSPSDRDIQAIVDAYKEDPGNPRYAFRHLLFSVTEPSQRVKPVAASDIMWAEAMGKLECMDSADRERLWPQLVQGFKDLCHRLK >Sspon.05G0034680-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:62531430:62537915:1 gene:Sspon.05G0034680-1C transcript:Sspon.05G0034680-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAALLALSKIGSYLGGEAATFIATKFSNLIELPNTVRRIRRELLMMNIFIRKTGASYLSDELLKAWITEVRILAYRVEDIMDNFSYHSLQFKQDPKGKKLANGLSYGLVFSGIADDLAQIEKEIEQVSKLKNLWLNSVHELLPTQVSSPEQQFPQYSLPQLVKDENLVGLKEEREQLKEWLIPNAPVNMKNIPALKVVSLLGMGGMGKTTLLTSVYDKLKDIFEIHAWLAVSQTYRSVDALLKELLKIANASEHTTTAHVNNVNQKEVTIPVDIDVGHKKQLKPDDIDKMGILDLKSNLKAVLKHKKYIVVLDDVWDRRVHDGISDVFEDCGKESRIVITTRKQDVAALATPGYQLNLNPLDIKDALLLFCTKAFPNKTHFDWISELLECANDMMKTSEGLPLEKRPLELIELANHIVRKCEDSSVAKCPSELQDLATYTVNKFKGLSLSACPLELQNLATLIVKQCEDLPLVKCPLELQEIAIDIVKKCRGLPLAIVSVGSRLSSRKQIVPVWRQMCNELPCELEKDDQVRGILNLSYYDLPSDLRNCFLYCSLFPEDYHFSKDDLVRLWVAEGFVEKKGDSTPEEVAEGYLTELIHRNMLQLVENDELGRVNTCKMHDILRELALCISKAEMFGTVNDFGAMVQMDTDVRRISSYGWKKMKKNKSKMKFPHLRTLMASDTIVDYVPSILTESKYLTVLELQNSDFQELPTSIGNLFNLKYIGLRNTRITSLPDSIKNLCNLQTLDVKSTSIKALPPGIVKLTKLRHLLADKFADKNQSEFRYFVGVEAPGGLSNLEDLQTLETVQASMDLPEQLDKLLQLRSLWIDNITSAHCAGLFATLSTMPLLSSLLLSAADENETLLLNLFNPTCMKLHKLIVRGSWSLTDCPILQNHGRYLKYLALSRCHFVGDPLVVLASTVPNITYLRLNNIRSPLTLDLPKGSFPHLKILVLKNMNDVSLLKISNGALPVIECLYITSLPKLETVPRGIKSLGSLKKLWLLDLHSNFKAQWDMAGMQKDLQHVLEILIYGVKLAPELTREAYPVSTWYSSLNLCIQFQSLSSAMASLGSESSLSSRSKDVTCALVSLWPDEKTTHSQLLEVIKGYND >Sspon.06G0007850-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:32590264:32592395:-1 gene:Sspon.06G0007850-2B transcript:Sspon.06G0007850-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIKKPKVVLAQPAVGAPASRPPFFSRAPGPVRGAGDEAAYRASLRYRALLQDYQELIKETQAKKKRLHMERLRKQRLLAEVKFLRKRYKSMSENPSQTIVCRLRNPAMSSASWTAASAGDAQHQSVHAAGSSSRSQLVHRRHGGSPRASPVIDLNEAQPGYEEMEIEEHYGYRAPLGVNKSKRYPMEGDAAAGPSQVRMPVFWDVQNPAGRSGKRKISWQDQLALRHELQNFEQLSKSSNNFRSLGCTSL >Sspon.03G0011940-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:39736772:39738344:-1 gene:Sspon.03G0011940-1P transcript:Sspon.03G0011940-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAPAPRPRRRGGVRLRPDHHRLGQRRLGHHQARRRRRLPAPAPNHALEPTHGNVKDRMMAELHARGTTPEDIRDCLRSAPLDAHVVSAVKTAAALGCDLKVVSDANTFFIETVLAHHGVLGCFSEIVTNPASVDADGRLRISPFHDSAAAPHGCSLCPDNMCKGKIIERIQATASDTKQHFIYIGDGKGDYCPSLKLGEGDYVMPKENYPLWNLICNNKQLVKAEVQPWNSGEELEKTLLKLTSKMIAAPAQASQFDYSKCEMSNAASTEVAHHQALRVPH >Sspon.04G0014950-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4B:59311848:59312201:1 gene:Sspon.04G0014950-2B transcript:Sspon.04G0014950-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MYPMNKDMWDDRMTAMTPTTNDDVFYAVSMLWSALSMDDVPQLERGNKAVLDFCDKEGIECKQYLPHYTSQDGWQQHFGTKWSKIAELKARYDPQALLSPGQRIFPKLVEASGITSV >Sspon.03G0034960-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:40553644:40557353:1 gene:Sspon.03G0034960-2D transcript:Sspon.03G0034960-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein PLANT CADMIUM RESISTANCE 10 [Source:Projected from Arabidopsis thaliana (AT2G40935) UniProtKB/Swiss-Prot;Acc:Q8S8T8] MAGKGYVPPQYIPLYGLDTEEDHVPAVEENHAARHKLNRDLTQWSSGICACFDDPQSCCIGATCPCFLFGKNAQFLGSGTLAGSCTTHCMLWGLLTSLCCVFTGGLVLAVPGSVVACYACGYRSALRTKYNLPEAPCGDLTTHLFCHLCAICQEYREIRERTGNGSSTAPNVTPPPVQ >Sspon.04G0008800-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:30498303:30499397:1 gene:Sspon.04G0008800-1P transcript:Sspon.04G0008800-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGRKLPRKVQPPAERVDREPVGGEVDDDSTTMSPPKAEISAAGECEEVSEADEEDIGRLLEPFTRDELLDLLTDASLRDPALLARVAASAASDAAHRRLFVHGLGRGATSAALAAAFVPFGALDECYGFVTFRRRSDACRALADSSKRVDGRPVACQLASLGPVAPASSFSDRKLFVDNVPERAGRDDLREFFSKFGEIEEGPLGSDRTTGLFRGYAIFVYKTPEGLRKALEEPTKVFDGCELHCRLAHRARKRKQAPAAPADNGDQSNGAAATLPTVQLQSEDTALTPKLPLLCSNPQIRLTTKGSSSTTASATVAFRRNAAASGGAGILGAGPVATAVPSLPVQSTTSTPPSEELFGKTAS >Sspon.06G0010920-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:46611919:46613535:1 gene:Sspon.06G0010920-2P transcript:Sspon.06G0010920-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMNTMVAAAALALLHLFAVSATRASAQSSSSPPPPAASAAGISSCLLSNGVTNFSLPSSPSYTPLLDSSIRNLRFELPSVGKPAAVVLPATKRDLQRAVLCARNTSLAIRVRSGGHSYEGLSYTTENHVPFVVIDLANLNHVDVDPGSATAWVDPGEVYHAVGLSNRTLAFSAGSCATVGMGGHTAGGGFGLLSRKFGLSADNVLDAVLIDASGATLTRETMHDDVFWAIRGGGGGSWGVVYAWKVRLVPVPDNITVFSVRRTGPAELIAGLMHRWQYVAPSLPDEFYLSTYIPTGSSPDGNHSMSFTGQVLGSKRLAMSVLSQTFPELGLAESELSEVSWLESAVKFAGLRTVADLTSRQPGVGQYSKSKSDYVQAPISMRDAVKILRFMATAGPPEGSIQLDPYGGAMARIGSSVTPFPHRAGYLYSIQYGVSWKASDVDRADEYIGWLRSFYAFMAPYVTKNPRAAYVNYLDLDLGTNGWMNATGGTSYGSVGHAVSWGERYFFMNFGRLVRAKTKVDPGNVFNNAQSIPPLYS >Sspon.01G0029060-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:101108344:101110680:1 gene:Sspon.01G0029060-1A transcript:Sspon.01G0029060-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGISTIIIFFSSVCCYYLAAAPVAALFFNYDSFSPEDLKDIRVEGDAYISSGWIEVTKNRLSGIGHSTGRASYSARPMRLWDKDTGEVASFTTRFAFVIDPPGDRGIDNKGTGMAFFLAAAYPSSLPSGSYAYNIGLTNQSADAVAAGDARFVAVEFDTFNDTIAHDPNDTYDHVGIDVNSIRSVATQTLPSFTLIGNMSAEIRYHNVSSVLEMTLWLGDGRDTPPSYNISHKVDLKSALPENVSVGFSASTSTSIELHQLHSWYFSSSLEPKAATPILLAPPPAAQQRQPPPPPPLMDVSGSGRRAGVIAGASVGAALLLGLLVALAALILQRRRRRKQAQELELEDILGGSDDDEPIMEIELGTGPRRFPYHELVAATKGFAPEEKLGQGGFGSVIKIVLGLGSALLYLHEEWEQCVVHRDVKPSNVMLDESFNAKLGDFGLARFIDHAVGMQTMTAVSGTPGYVDPECFVTGAASAESDVFSFGVVVLEVACGRRPMSLLGGQKNAVFRLVEWVWDLYGRGAALAAADERLKGEYDAAEVERVVAVGLWCAHPDPRARPSIRVAMAALQSNHGPVPALPAKMPVATYAVPLASPEGGGLFSYNASSSGTSSSLTQSSSTTVTSHTSCSSDTPAATGSKDSSSLLKHQ >Sspon.02G0047220-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:12690939:12693337:1 gene:Sspon.02G0047220-1C transcript:Sspon.02G0047220-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSGQPPDLKKYMDKKLQIKLNANRVVIGTLRGFDQFMNLVIDNTVEVNGNEKNDIGMVVIRETVLS >Sspon.02G0048630-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:27418550:27421227:-1 gene:Sspon.02G0048630-1C transcript:Sspon.02G0048630-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGILLAPLSCRSRRRLQLHLSRPLLAILSNTFSASASSSPPRAPPLPPLSPLLPPRPEDARLVAAAKSAIAASFHDWFLESRSPASAPAPPRALDAIYGALASDDTAALEALPLSEKLVLAVLRHRPLGLHDADALLLLRLRFFDWSGRRPRYSHTRVVYHAVFRLLACARRNAVLLDWLRLFSDTPATGGHLRFHDTLVVGYAVAGDPQRGLNVLGRMRFRGLDLNAVSSRILLNSLVDASLHDLAESFARNLAPSPFATCILIKSHCRRARLDDAVALLDTMPFADASRGPAAGSIVTEFCRRGRFAEAAQLVDKFPSGDVYGAWIHGLSEAGKLDATMKFLSDKKESEGYILDGKRCDQLVYRLLVRNRLGEVYDLLVQMMEEGIAPGISTMNAALCFFCKAGLVEVAMHLYRSRMELGIDPNKDVYNNLIRALCHGGETEEACLLLEEAMAGGYFPARQTFSMLANVLCQEGKLDRVRELLDRALKQEVWTIDSVLAKYLAAVCKSGNVEAACELPQIASSKRHVGLYRYESTYKSLIRALILIKRVDVLPRLILQMQDMGHIPTRNLYQSVVCALCELNRYTEVLDLLDSQLKRSELQPRVCYNTLFLVLVMLRGLIWPRRCMTKWRFQGLNHQLLYNVFISGLCEAKKPEQAMVFWREARDNGVIPSINCYEHLVLLLCSVRDYGTAVKVIDDFRETGRPVSAFLCNVLLLHTLMGNDLLKAFLRSRDKSKPLEVKGEEIQGREAGRLLIGDLVSAFASGIRNMSDLEHLGEEMEKYFPVDIYTYNMLLRGLSMAGTMDSAINLYERICRQGYQPNRWTFDIIVHGFCKNGDRNEAERWMDAMYRNGFYPT >Sspon.06G0005390-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:15977850:15978377:-1 gene:Sspon.06G0005390-2B transcript:Sspon.06G0005390-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEETPKAKKRSSSSVLGSLREAIAKVRFLLSFSATRWMLLRSLARGGAPARRLSFDSRPGLLDVEDSIASPASSSSRTSRSASLGTATTRSLSRTSSAAAASPEALRRASSASSSGGASPAAGGRRHRPARGAVHRELLQAAADGAAGVAAAAVRQGEQLGQDSCRFSFSFQGC >Sspon.05G0007660-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:17769422:17776076:1 gene:Sspon.05G0007660-1T transcript:Sspon.05G0007660-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDAPPAAPVMTPEEVQAEAELRMRDVSQRFGAIPEDHDELLRLLEEAEMWLSRVDQSPPESMYNALRPTMAVLITNELLEHPDPNVKVALTSCLTEVTRITAPEAPYDDDVMKAVFKRIVDTFADLDDMNSPSFSRRVSILDSVARVRCCVLMLDLDLDHMILDMFRHFFKTASTRHSEQVTHCMEIIMLFVIQESDDVHAELASCLLQNLTKEAQETLPASFGLAERGQAQTVLHELLKGTPLDGYSNVVTTLFQDAGENNVDASGKDMAAEGKLSEKSVSDESPQETSKVDQNVNCLGQEGTSPSSTPTTAIGNGAAPVDNVKSPDGPDSSKQKQELTSGEEQTPDSSKQKQELTSGDEQTPDSSKQKPELTSLDKQTKSSKQLISCDEEVQEPVTVETEKLSDVNSKKDHKLGSSIGSEMSEQSKVVKDNETLVASELSPETNDGDKKQLSETGNITAEESSKPTDTKPAVVKPKRGRPPAAKSQEKKPVGKKHASDLKSAKLDPVTDSGGRATRQLNKDAAKSSSTKAAEGESGKKQHKTSMKLQKEDAVSDKDTDEDISLKEMVSPTRIDKSKGQQEDGGASSKRKRLQEAQETPLSKKNKMLDENLVGSRIKVWWPDDKMFYVGVVKSFDASSKKHKVSYDDGDVEVLVLKKERWEFIAEEQDTDPDAPSNIRRGRKAKGSSGQQMKEGKTGTPQSGSDVKNPPKKRGRPKGSVTPARLKGKSAEKDSQETPKTGINSKKEGARPSRSTGKAKDAVVKASSKDEPDSTDNSKDDAGSEDKNSQDEVKSSEAIDGSKTNGLSTKRKPEENEGESSEEEKGSAKTTSRKKRRRKSRN >Sspon.06G0003090-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6A:9647990:9649189:-1 gene:Sspon.06G0003090-1A transcript:Sspon.06G0003090-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLDAPPWRQVKRVPKQTTLRPDASRRRPDSPPRGVRSLLVRFPGGYNMTKRVRSTLTRRNRASASADSAPLVAPFLVEEARCAIRTMDADSAPGPNNIGPGFYVAA >Sspon.03G0023830-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:96265950:96267751:-1 gene:Sspon.03G0023830-2B transcript:Sspon.03G0023830-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLPPKIPTMAPAWPEFGGGHHHHHHHHQRSPSVGTFLAAPMPPPPLHPQPQQPSWVDEFLDFSAAKRGAHRRSVSDSVTFLEPGPDDGNAGVGAHDFDRLDDDQLLSMFSDDLQAPPPPPQQTAPAPVASSSSPSDHNSINDEKTDRGEAEEAQSKCHGDAADPAPASAAAVNPKRVKRILANRQSAQRSRVRKLQYISELERSVTSLQTEVSALSPRVAFLDHQRSLLTLGNSHLKQRIAALAQDKIFKDGDRHCHPTLLRLRSSPVAIAIQFALAACMQCNAVMQDAATAAAELCVCVVCAAHQEALRKEIERLRQIYHQQSLKSGGEPPAPDAAPVRGDKDDMIGSSEGTAVPAPGPPS >Sspon.05G0019480-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:78161689:78162843:-1 gene:Sspon.05G0019480-3D transcript:Sspon.05G0019480-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-1,6-galactosyltransferase GALT29A [Source:Projected from Arabidopsis thaliana (AT1G08280) UniProtKB/Swiss-Prot;Acc:Q9SGD2] MKRRRLPPVLFLLALALLSLAFRRHLSLPLGAPRFAGGGGDALLRRLAATDVGGDQILAEAAALLANASVSTFPSLGNHRRFLYLRVPYRSNATSAPRQRTVSRLRVPFHGVPSDDALLAAFRASLRGFLLARHHHRHRHDVAGAMADLPALLLGRRRAATCAVVGNSGILLGSRRGAQIDAHDLVIRLNNARVAGFARDVGARTGVSFVNSNILHHCAVRSAVTTPGCGCHPYGRAVPLAMYVCQPAHLLDALICNATATPESPFPLLVTDARLDALCARIAKYYSMRGFVAATGQPPSNWTRSHDERYFHYSSGLQAVVMALGACEEVSLFGFGKAPGAKHHYHTNQKKELDLHDYEAEYQFYRDLQERPEAVPFLDEAPGFK >Sspon.04G0000840-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:2987557:2988375:1 gene:Sspon.04G0000840-2C transcript:Sspon.04G0000840-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPPGNVVDESLSSPARPPPPSPLHLLEVTVISAQDLHRRRLGRRVRAYAVAWADAGHKLRTGVDLAGGAVPTWNDRFLFRVDGAFLRSDTASVTVEVRGAGGLGADPVLGVTRIVVSTFVRPGGGRAGPQVAALQLRRPRSLRPQGIVNVAVALLDAARAPPLYGVPGSPDAVAVKDLAMKRPASLCKVGEVSEEPGVDDGQQARSNPELVGQSGHVDPRGAAVEQKKLELTLERWKAELWPGLKEGRRSGRRRRRRAASCFRGSGDWDR >Sspon.07G0011470-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7A:40243020:40243514:1 gene:Sspon.07G0011470-1A transcript:Sspon.07G0011470-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDCTRQPIPNPQPAPPAPAPAPPAAAPQEATGDSESDTPVSASTVGDRPSVAAAYAEDDAESCSGAGGDNSRVDAASIDDDAAGRGGDDVAVEGDESEVDSRMSVPWWRRMIQDAAGGASGGGCWRPQAAATDGGAAAVVAGPGGHTAESNRLFWEACIAHGY >Sspon.03G0009750-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:41236253:41238190:1 gene:Sspon.03G0009750-3C transcript:Sspon.03G0009750-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAPARSSAPSSPLVSSAPPLLPGPQRVAVPSLHRRDLLLLPAALTLPMAPAPLPASARGLFRMPPPRLANRYFLVRAGESVYEGQGLLRTNPVAKTSVDSGLSPVGLRQTARAALELQRLGACEDDCWIWPSITQRAYQAAEIIAAANGINRSRIVPEYSFLDARGLGAFEGKSLDTLPEVYASDNISPDMKPPAISDGTPNESVADVFVRVTQLMSILETQYSGETVVIVSPDSDNLSILQAGLIGLDLRRHSSLFF >Sspon.01G0023640-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:89637930:89639688:-1 gene:Sspon.01G0023640-2B transcript:Sspon.01G0023640-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGLPPGFRFHPTDEELVTYYLTRKVSDFAFATRAIADVDLNKCEPWDLPSKASMGEKEWYFFSMRDRKYPTGIRTNRATDSGYWKTTGKDKEIFHCGMLVGMKKTLVFYRGRAPKGQKTSWVMHEYRLQNKFPYKPNKEEWVVCRVFKKCQVIKMRPPQDSPTMGSPCHDAANASLGELGELDVSSILGGLASSGSPPGALHHQGSAGAESFGAHRPVDMSAYMSWMAAAANQGAAAAAAMLPWATTPPPGLFGNVFAPNNHQLLQKPLPFAGCSQPRELGGVVANVIGSGEHTMFGSSVVKVGMECDQQQPEQQLGMDESTWRTF >Sspon.01G0003520-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:8036507:8040613:1 gene:Sspon.01G0003520-2B transcript:Sspon.01G0003520-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTPCDSFLHVPAQPRTPLPDLTAPASWKENVLNFQIRAVSAPARTAEICPKLVVPCSVPKQTVGGKKKGNWVHYGGSLPAMLEALEHVRDVGEALWAWKDTLSNRERTIILKEQKDWRRAVEIFDWFRREQGHEINVIHYNVMLYTVGKARRWDLVLRLWHEMHSFGVVPDNSTYGTLINVCCQGGSEWAALLWLGDMCKHGLMPDEVTMNIVMQAHKKAGEYETAELFFERWSLDSIRRMDGCSHYSLYTYNTLIDTYGKAGHLEKVADTFNQMLTEGVAPSVVTFNSMIHAWGKHHRMEQVASLVRMMEEFQCFPDTRTYNILIALYRESNDIDIAESYYWKMKTENLVPDAVSCRTLLYGFSIRGMVSKAEALLKEMDERGFVVDEYTQSALTRMYVNVGMLEQAWRWFDRFHHHMSSECFSANIDAFGEKGYIVLAEKAFICCLKKKMLSVSACNVMIKAYGLAEKLDEACEIAEGMERYSILPDYVTYASLIQLLSAAKLPKKAIYYLEKMKVVKLLTDCIPYSVVISSFAKNGDLRMAEYLFREMIMSGVRPDVFVYSILIDAYAEVGNVQQASAYFGLMKKDGLYENVTIYNSLIKLYTKVGYVAEARETYKLLKSLDNNAILYASNCMIDLYSDHCMVKEACEVFEGLKVRGIANEFSHGMMVCMYKKVGRYDVAHRICKEMQALGLLTQLLSYNSVIQMYVSGGRTEEALKLFKKMLASNTPPNDATFQALKLDERSRKSIRNDFLAVQKPAAHLSNVEIQLVIVLLAARSLGVEDNSPKMNATPSTT >Sspon.07G0018540-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:67094378:67097543:-1 gene:Sspon.07G0018540-1A transcript:Sspon.07G0018540-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VDIFRDAAVLMVNYVDEIRAQGFKLEYLNIGGGLGIDYHHTDAVLPTPMDLINTVRELVLSQDLTLIIEPGRSLIANTCCFVNRVTGVKSNGTKNFIVVDGSMAELIRPSLYGAYQHIELVSPPTPGAEVATFDIVGPVCESADFLGKDRELPTPDEGAGLVVHDAETRSSRVATPTAPDPPLPPRLLAWLACPVGNGAIRANAGASQVEEDGSIVKIRHEEKLDDYMKFFDGLPA >Sspon.04G0014930-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:21912397:21916089:1 gene:Sspon.04G0014930-1P transcript:Sspon.04G0014930-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVLHTGAGNKNAFKALIAAEYSGVKVEVTKNFEMGVSNKTPEFLKMNPLGKVPVLETPDGPVFESNAIARYVARLKDDNPLLGSSRIEQAHVEQWVDFAATEVDPGVAWYLYPRLGYIPYAHTTEETAITSLKRSLGALNTHLASNTYLVGHSVTLADIVLTCNLYHGIARILTKSFTSDFPHVERYFWTMVNQPNFKKVIGEVKQAESVPPVQKKAAPPKELKAKDVKKEAPKEAPKPKVVEAPAEEEAPKPKPKNPLDLLPPSKMVLDDWKRLYSNTKTNFREIAIKGFWDMYDPEGYSLWFCDYKYNDENTVSFVTLNKVGGFLQRMDLARKYAFGKMLVIGSEPPFKLKGLWLFRGQEVPKFVMDEVYDMELYEWTKVDISDEAQKERVNAMIEDQEPFEGEALLDAKCFK >Sspon.08G0013430-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:38179662:38181583:1 gene:Sspon.08G0013430-3C transcript:Sspon.08G0013430-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIMTQRAFYETSAPGLGFPPSRHRFASPSEVQTAARRAAPAKKADAKAQALKVAKAVKSGAGKKKTKKIRTSVTFHRPKTLKKARDPKYPRISTTGRNKLDQYQILKYPLTTESAMKKIEDNNTLVFIVDLKADKKKIKAAVKKMYDIQAKKVNTLIRPDGKKKAYVKLTPDYDALDVANKIGII >Sspon.04G0003530-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:33669693:33672021:1 gene:Sspon.04G0003530-2C transcript:Sspon.04G0003530-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNCKPCGGAIPLCMVSEFDLPLDLVDRKVRKMKMISPSNVAVDIGRTLAPHEYIGMVRREVLDAYLRSRAQSAGAEVVNGLFLRYEAPKEPNGSYVVHYNHYDSSSSNGKIGGEKRSFEVDAIVGADGANSRVAKDMGAGDYEYAIAFQERVKIPDDKMVYYEERAEMYVGDDVSPDFYGWVFPKCDHVAVGTGTVTHKADIKKFQAATRLRAKDKIEGGKIIRVEAHPIPEHPRPKRVSGRVTLVGDAAGYVTKCSGEGIYFAAKSGRMCAEAIVAGSANGTRMVEESDLRKYLAEFDRLYWPTYKVLDILQKVFYRSNAAREAFVEMCADDYVQKMTFDSYLYKRVVPGNPLDDIKLAVNTIGSLVRATALRREMEKVTL >Sspon.07G0007540-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:17831202:17833212:-1 gene:Sspon.07G0007540-2B transcript:Sspon.07G0007540-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADQETPVAVEAPTPVLGEPMDLMTALQLVMKKSGAHDGLVKGLREAAKAIEKHAAQLCVLAEDCDQPDYVKLVKALCSEHNVHLVTVSSAKTLGEWAGLCKIDSEGKARKVVGCSCVVVKDYGEESEGLNIVQEYVKSH >Sspon.03G0033530-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3B:51216227:51216596:1 gene:Sspon.03G0033530-1B transcript:Sspon.03G0033530-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSQANGDKHGRGDRPLALVRRNLTASLTRLLLRAWLAFRRPDRHARTPAQPASISHSDLFVHPVLNVHLLLLASGCTARPRPRLARAPCPHCAPLLPLTPSPLRLGRTLEPAPSSLHPCPL >Sspon.07G0004700-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:11321357:11324894:-1 gene:Sspon.07G0004700-2B transcript:Sspon.07G0004700-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVFQPPLLTPPPLCCCSHACLYPRFLKLPPKFSNGACSTAARPSDRSELLVGKSGALAWRAAGRQRRRRLGLGVTGAGRGPFFGGGGRRMDKGTTRVVGNLAFAAVVTYLAVTGQLRWVIDAIVSLWLLTILLPVLALGAFFFFAGQDILQGDCPNCGKSFQILKSALKDGPQLCPYCTQPFSVQGNKFVRESARFSSGRGATATNGQVFNEFLNRNMREAAPSGTIVDVEAEVKDVE >Sspon.07G0009850-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:25246774:25252789:-1 gene:Sspon.07G0009850-3C transcript:Sspon.07G0009850-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDYHRPYRGDLRSPPSSVPDPAFTHANGYFSSSASPHSNNGYFSPVFAKNDAFPGTGAGAGGDRRIEIYTTAPPPHLPPPPGHTLALPPPPGWKEGRLGGGGGGAGAGAGRKGGGGGGGASMWCLSDPEMKRRRRVASYKAYSVEGKVKASLRRGLRWFKGKCSEIFHHGWNRDRFRIRWQAARGTKCNPQEQR >Sspon.01G0025080-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:88054272:88056632:1 gene:Sspon.01G0025080-2C transcript:Sspon.01G0025080-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVGEDDAAWERAISAATKATSAPKTLALDGIVKSSTGRLPSAALLERVAASLEELSVAGARLSSLEGLPRLPGLRRLSLPDNRLSGAAALAAVAEACGATLRHLDLGNNRIADVQELAPLAGVGVESLDLYQCPVTKVKGYREKVFALIPSLKHLDGADAEGNERLETDDDEDDEEDDEEGEEGEEGEGVEGEEEGEEDDGEEGDEEDGENGEGDDEEEEGDEEAEDEDGEAEDDELDADAEDKENIESKAGSSLLNKRKRDNEDDANGDK >Sspon.03G0004210-4P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:11627891:11631277:-1 gene:Sspon.03G0004210-4P transcript:Sspon.03G0004210-4P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDASLLLSVALAVVLIPLSLALLNRLRLGRLPPGPRPWPVLGNLRQIKPIRCRCFQEWADRYGPVISVWFGSGLTVVVSTSELAKEVLKENDQQLADRPRNRSTQRFSRNGQDLIWADYGPHYIKVRKLCNLELFTPKRLEALRPIREDEVTAMVESVYRAATAPGNEGKPLVVRNHLSMVAFNNITRLAFGKRFMNANGDIDEQGREFKTIVNNGIKIGASLSVAEFIWYLRWLCPLNEELYKTHNERRDHLTMKIIEEHAKSLKESGAKQHFVDALFTLKEQYDLSEDTVIGLLWDMITAGMDTTVISVEWAMAELVRNPRVQKKLQEELDRVVGRDRVMLETDFQNLPYLQAVVKESLRLHPPTPLMLPHKASTNVKIGGYDIPKGANVMVNVWAVARDPKVWSNPLEYRPERFLEENIDIKGSDFRVLPFGAGRRVCPGAQLGINLVASMIGHLLHHFEWSLPEGTRPEDINMMESPGLVTFMGAPLQAVAKPRLEKEELYNRVPVE >Sspon.07G0025680-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7C:46559028:46560320:1 gene:Sspon.07G0025680-2C transcript:Sspon.07G0025680-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSITVAKSSLALVGPSTAPAAAETTAHIINLSSFDKALAFFPVTSFHVFDHAIHRPAETVRSALSRALVHYYPVAGRAVIDVGELRIACTGEGVGFVAASANCSLADVKFFDPPFGALLKELAVGLGAEGFRPSDPLLLVQVTEFSCGGFVVGVTRNHVVADGTGFAQFLQAVGELARGLPRPSVFPVSCGDDSLPELPPFVDAMEKAQVMLEPRDFAYLDITVPSRCINRIKAGFARHAAAADGGGPCTVFEAVMAVLWQCRTRAVMSDPETPAPLIFAVNVRKHAGAKSGYYGNCITSAVVVPTSGEVANGDINDVLRLIKRAKQPIPYQFCKNNAADAGDEGRRHVRSLEPEGGLSLSMVQLDVTLGYNAFDVTSWRNLGADAVDFGGGRPARVMCWLDRMAVPHCVACLPCGKDGGNVLARCVREEH >Sspon.05G0024740-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:15126000:15127046:-1 gene:Sspon.05G0024740-2C transcript:Sspon.05G0024740-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDITRSWSGTDLCGGYKGFFCERPPNVTDRTIASVDLNGYMLRADSLQDFVNSLPDLALFHANSNDFGGAVPALAGLQYFYELDLSNNKLAPATFPTDVLGLTNATFIDIRFNSFYGELPAGVFCRFPKVQAIFVNNNQFSGSLPDNIGQSPVNYLSLANNRFTGEIPKSIARNAGTLLEVLFLNNSLSGCLPYELGLLEKATVIDAGTNRLTGTIPASFACLRKVEQLNLADNLLYGEVPDALCRLAYSHLKNLTLSGNYFTSLGSCCWDLIKEGRLNVDRNCIQWAPNQRSHEECAKFLHKPQTCPVNNYVPCRSKSHSSSEPADAVTQEDAAAEYKYRTYSALHP >Sspon.01G0005720-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:14984167:14985618:1 gene:Sspon.01G0005720-1A transcript:Sspon.01G0005720-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAAAAAASTSAPDTPTSILICREDGSDLLADADADDGADADIVVARDERLLVVDPDEEYVALLLSEESASGSGGGGAQAEEMEEWMKVARSGCVSWIIKTTATFRCGGKTAYVAVTYLDRFLTQRRVNRGQEWALQLLAVACLSLAIKMEGQHAPRLSEFRVDAYEFDSASIQRMELLVLSTLEWRMIAVTPFSYISCFAARFREDERRAILVRAVECVFAAIKAMSSVEHRPSTIAVASILIARGKEETPAGNLDALKAILGSSCPHLDTGHVYSCYSAMIQEDDKSPTRSTSTGVASSGVSVAHAGSGSPGPGASVSRTPAAAPENHNNKRRRLRSPQRQ >Sspon.02G0057250-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2D:52987156:52988166:-1 gene:Sspon.02G0057250-1D transcript:Sspon.02G0057250-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIKRRKQKKFFDKNGGEILKSMGINIFTEGQLKKITNRYSTPIGEGAFGKVFMGIIDATQRVAVKRSHVKGEALRQEDFVNEITIQFRISHANLVRLVGCCLETDIPMLVFEFVPNGSLYNVLHGASKLHMLPLLVRLDIALGSAQALAYMHSHGSHNHVHGDVKSGNILLDENLTPKVSDFGSSKLVSIAMHANWSVAGDMNYIDPVYIKTGCFTEKSDVYSFGVVLLELITRKTAKYDGCRSLPIDFVMSCKDEGQRRAMYDRDILCEDDGLSYVNMECLDRIGELAVRCLKEDVEERPTMVEVVEALKQVKSIACGCSCSHTTYETYTVKFN >Sspon.05G0014570-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:54537091:54542796:-1 gene:Sspon.05G0014570-1A transcript:Sspon.05G0014570-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMASAGVNVPATGAGGDGVGNPTAASGATQECRESGEAGRGWVRRLRPAASRFSPSSSSSQSASQGSSQEEADPDVQEMELAPLTSAEPEPEPVAAQQVWPVAFGSVTMAGRMRIMEDTVSLHPNLCTWAADGSPVHFFAVFDGHGGTHVSALCRERMHELVAEELEREGAAFLRRRQASRDAARSVVAAFLGGPGPAADQPWSEQAEEERAWRAALMRSFRRVDAMAPLACACGRVTRPACCCPLSASASGAAAAARGAGIVGSTAVVAILVRGRLIVANCGDSRAVLCRGPEGTPPVPLSFDHKPNRPDEMARIAAAGGRVLFINGYRVRGILAMSRAIGDRLLRPEVIADPEITITERTVEDQCLILASDGMWDVIDNDIACNVARQCLEDGIPPPAYAAAAAAAGRAPPAAGGVVGHQEDPRCVCAASLLGRLALGRETEDNISVIVVDLKHRG >Sspon.04G0026780-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:50555285:50562409:-1 gene:Sspon.04G0026780-1B transcript:Sspon.04G0026780-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEAGHGHGWWSMNNLIRPPFEQQHHPSLFMPSTTTTTTTGTAAAAVPSSSSSALHSFSSLLLSNHYPLPTTTSTSPPWQHDSTTTSSHGQQQDSWIQLLHKESQMGFPTTICSEAGGSSGSYVVYGAAAASGHGRSTSDVEIQFPWGSSVLQQNKQQASSQQQKASSPRSSSITTTTTTSLGSNMLEFSNNSSSSPRECISTASGSAFKKARTQEPSPTQSTVKVRKEKLGDRITALHQLVSPFGKTDTASVLLEAIGYIRFLHGQIEALSSPYVGGSNGGGGGSCSSKHQQQLHEASVHGGERHSLFSEDPGQDESCEEAKKDLRSRGLCLVPVSCTLDVGDVIAGPGDYWAAAAPAFGMGFGG >Sspon.01G0013410-3C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1C:36855780:36856516:-1 gene:Sspon.01G0013410-3C transcript:Sspon.01G0013410-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKEDLKLLGLPLSPFVVSVRMALNMKGVSYEYVDEDLNNKSELLLKSNPVHKKVPVLIHNGKPICESLVILQYVDELFAGRSFLPTDPYERATARFWAAFAGDKLFPAWYGVVMAQAEEERAEKVKETLAAIEHMEVAFAKCSGGNAFFGGDSIGYVDVVLGSFLFWFEALRRVDGLEIINASKTPLLAAWAERFGGSVEAKEAVPVTKADLA >Sspon.05G0027690-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:55967302:55968966:-1 gene:Sspon.05G0027690-1B transcript:Sspon.05G0027690-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MWLGIDNEPSPYRDPKIIEFVVNNSSVDEENDLLPGLCKLLETWLLSEILDGNMLKVWTDRAAEIERHGWFWEYTLSDVTIDSITVSMDGRRATVEATIEEVGQLTDVADPKNNDTYDTKYTARYEMTYSKSGGWRITEGADLKS >Sspon.01G0059860-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:69410980:69414509:-1 gene:Sspon.01G0059860-1D transcript:Sspon.01G0059860-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATNPGLFTEWPWKKLGNFKVAPPHIAISSLRFTYSRPVASSSKHPRLMCAWMAQSHRAAAQAKLARIQLTKIEIEQCHACWLTLVCASFSLLTLLCMQYLLLAPWVAHGVYLAATKGWKAADLGYTAIFPSLLLRMLHNQVWITVSRLQNARSRRQIVDRGIEFEQVDRERNWDDQILLSGILLYLGAMYLPGGQHLPLWRTDGAVLIALLHAGPVELIYYWLHRALHHHFLYTRYHSHHHASIVTEPITSVIHPFAELVAYELLFGIPLIVCALNGTASILAFEIYVLYIDFMNNMGHCNFELVPNWLFKWFPPLKYLMYTPS >Sspon.08G0023090-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:55103851:55104453:-1 gene:Sspon.08G0023090-2D transcript:Sspon.08G0023090-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKVHGSLARAGKVRGQTPKVAKQDKKKKPRGRAHKRMQYNRRFVTAVVGFGKKRGPNSSEK >Sspon.01G0033230-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:112089559:112091094:1 gene:Sspon.01G0033230-1A transcript:Sspon.01G0033230-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MESQMLGHYPAAAHVSSLLVRWNCQLAMLVGVLRIEACCCAPCWEGILPLEKARDPLLAEGKISFLAQALESSIIKAAAVFEILKVQWAIKTMSDNSGDQSGNSTRLFSAIRSFWSMLSAKLKKARKGLPVKILFFLIGFYCATAFATVIGQTGDWDILSAGLAVAIVEVIGALMYRASFAVLGRMRNMITIFNYWKAGLTLGLFLDSFNSLPL >Sspon.06G0021590-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:18278526:18279707:-1 gene:Sspon.06G0021590-3D transcript:Sspon.06G0021590-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTVTFSSVSAQAGLIQKPRNLGAASYAGLKVPSFGSESSFLGRNASLRAAVTPRIVPKAKSGSQISPQASYKVAVLGAAGGIGQPLGLLIKMSPLVSELHLYDIANVKGVAADLSHCNTPAQVLDFTGPSELANCLKGVDVVVIPAGVPRKPGMTRDDLFNINASIVKSLVEAVADNCPEAFIHIISNPVNSTVPIAAEVLKQKGVYNPKKLFGVTTLDVVRANTFVAEKKNLKLIDVDVPVVGGHAGITILPLLSKTRPSVTFTDEETEDLTKRIQNAGTEVVEAKAGAGSATLSMAYAAARFVESSLRALAGDPDVYECTFIQSEITDLPFFASRVKLGKNGVESVISADLEGVTEYEAKALEALKAELKGSIEKGIAFANKQQEAAAV >Sspon.01G0030540-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:105900520:105900938:1 gene:Sspon.01G0030540-1A transcript:Sspon.01G0030540-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VPIYLTEADYSSEGRIVCFVGHCRCLYVLVLEMAPFLYLSYSFTSNNIPSSLIHDGIEVQWSAWPGR >Sspon.02G0019620-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2A:63592998:63593237:1 gene:Sspon.02G0019620-1A transcript:Sspon.02G0019620-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRIASRRRAVRDPCGGSGVPLSGNLTSRGSVKSRLIHSSADGWQQLPPPRRAGCRAAVGSLDVLPALRSVGLDWGLV >Sspon.01G0023020-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:81100970:81101419:1 gene:Sspon.01G0023020-2D transcript:Sspon.01G0023020-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQGVSDRVQIQDARRGGIVELARKAAALARTEWAARASGHVAGAELPRHAAAQEQPLRIHPVGASDRLLIQDARHGGIVELARKVATSARTE >Sspon.01G0059030-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:38588792:38589094:1 gene:Sspon.01G0059030-1D transcript:Sspon.01G0059030-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSLRRRAASLSSLPASPAISSASAPRPPSVSSSRPAPSSSTTGASRSTSGTSPVRRGTSSHRTRLLRRSAPDPLRCFTHRSLAQIMLLDPFLLRIRSL >Sspon.05G0013290-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:5A:43626746:43627003:1 gene:Sspon.05G0013290-1A transcript:Sspon.05G0013290-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DARGQTNGDCTGAGPPILPRWRGQDPAHDVSVGDHARTGGLLWPVLTRCARGRWGVDMMLRVDARSGGTNNLRFWLGLSIAVGKP >Sspon.05G0025560-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:31955577:31960297:-1 gene:Sspon.05G0025560-3D transcript:Sspon.05G0025560-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding YVSRRRGRRRPPRDPCQGLPLVGLGRHGGGLHLPLRRSQDAPPAPPQPRRRGRARRSPGRGRARPRWRGLPGLLPRRPPPPDVHPASHRGVRASRSTLASEGREVGLFEKALAGGLSGVAAQVVSSPADLMKVRMQADSRMLSQGIQPRYTGIADAFTKIIRAEGFRGLWKGVVPNAQRAFLVNMGELTCYDQAKRLIIRKQICDDNLYAHTLASVASGLSATTLSCPADVIKTRMMNQGKEGKSMYRSSYDCLVKTVRHEGVTALWKGFLPTWARLGPWQFVFWVSYEKLRQASVTELCDNILALMTTRTPADTHVWASLDGVHRVPKRTAAVRRTAATLAAATSIVGILPPSCHRATMLPRLDLWSTRRNVWLRQFRAYCRGERPSHQLA >Sspon.04G0029570-1T-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4B:72776211:72780724:-1 gene:Sspon.04G0029570-1T transcript:Sspon.04G0029570-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAGRDSYLPDKSISSILPCGPFVSVDPQVPSAPVVGYPRPAILLATSSGPPTPNPTLDPLPIHRPLPLGRLVFAILRKGEMAASALLTGSPWLRMRLLPDAPARPFRHLHLRRALSVRASAGADGSPGPVRVRFAPSPTGNLHVGGARTALFNYLFARSKGGRFVLRVEDTDLERSTRKSEEAVLADLAWLGLEWDEGPDVGGEFGPYRQSERNSLYKQYAEKLLDSGAVYRCFCSSEELEQMKEVAKQRQLPPVYMGKWASASDAEVHQELEKGTPYTYRFRVPKEGSLKINDLIRGEALGFSMPSFAHVSLILAPDRSKLSKRHGATSVGQYKEMGYLPQAMVNYLALLGWGDGTENEFFTIDDLVQKFTINRVNKSGAVFDATKLKWMNGQHLRSFPHDELIKVLKNRWKNTGILQESESGFAQEAAELLKDGIDLITDADAALANLLSYPLHTTLSSEEAKPVVQDKISEVALSLISAYDSGELTQALAEGRDGWQKWVKGFGKSIKRKGKGLFMPLRVLLTGKLHGPDMGGSIALIHKAGICGAVTPQSNFVTLDERFRILKEVDWESLAKEQETPAESAVPAAS >Sspon.04G0010500-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:31193382:31196043:-1 gene:Sspon.04G0010500-3C transcript:Sspon.04G0010500-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDTELSQNTLVFGLRMWVLVGIGVGAAFVLLLVLISVLCLLAFRRRRRQRPPNPAQQLPTTAPPKSPANVKALKDIQEVPSHAATAAAAPAKTPLAQVLQLPTPPVSEQIVAGKEHHVTYPEQQEHPSHRSDGPSPRGNGESRCGRGGAEDHAPPAVPEVSHLGWGHSYALKELETATGMFADGNVIGEGGYGIVYRGVLENGTQVAVKNLLNNRGQAEKEFKVEVEAIGRVQHKNLVRLLGYCAEGNQRMLVYEYVDNGNLEQWLHGDVGPVSPLTWDDRMKIILGIAKGLMYLHEGLEPKVVHRDVKSSNILLDKHWNAKLSDFGLAKLLGSERSYVTTRVMGTFGYVAPEYAGTGMLNETSDIYSFGILIMEIISGRVPVDYNRPPGEVNLVDWLKTMVSTRNSDGVVDPKIPKKPSSRALKKALLVALRCVDPDALKRPRIGHVIHMLEVDDFPYRDDHRGSRAPGQARLLETPAGGSGEPETDNRGNGGSTQAEPFRWRNPEA >Sspon.05G0012400-1P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5B:31851429:31854012:-1 gene:Sspon.05G0012400-1P transcript:Sspon.05G0012400-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEGGGGQETFEARVKRLFGSRLFDAVPDSSFPTASWSVAAGDVERHRWAKPSEARDAEEEAAGAAARGDTPCASDFYDSNGCLRGRRRRSRQKEFEGDLDDLDEDDEEEDGEREGKATEQDEEEGVRVNIGLDPTLDREEEEDKYDREAFGREEAADRVYMHDIMDDGINMSINSIVPDLLDDSIEEVYRFKKDPRADMRAASARLREDDGSAKDGGSHYAAQAKEFPNVGVQTKKTVEEVNVKPILKRKEEQADLKPRKRVRFDANVKDREPDMFEHDDDSPMVPQSMDVVTEKEESTSTLSASPGVPDYVRNPSKYTRYTLDVPESNDDSNRTALADLHELLGRSDPNRMHSETPVEIPSSVTFIPRKKSVDAMAVDEGPRAIDSNSSVIGMVVGASDEPDQCEMDEDDSKSSSTPEMHTNSKASSRRYRSSRTDDDE >Sspon.08G0013560-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:52616245:52618103:-1 gene:Sspon.08G0013560-2B transcript:Sspon.08G0013560-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRAPDVGRPEETRIEELTRLNPAEMEKAARFDGEQPRYAWSRSAELLLGEGTPPDYVAAGRSLPSCQGCSERSNRAPGAGRIAAGRSLSCRRPPFDTRHSRRGGIGHAWEVKLGCFSFMGHIQYGLRPCLIESDGRPGTVKSPSLGEAEVFFLRKPERLSSPSPYSTLPVFGSPAIPRRLRRQERRARYPMARTRCGCSCSCELLRSATSIVTDLKIDGYSLAKGTPTGDSFNSDPLIVGGHRWRIRLFPNGAKPGYEGFVSFFLHLDESVADSVKAQFQFCFVDNVEKKPVTLDPVYSFASNEGWGYPKFIKRKELEKSEHLKDDSLTVRCNIVVINEFGAKLETAVGAPPTPTRFVSVPPSDLHRNLGDLLLSEKGADVVFDVGGQTFAAHRCVLAARSPVFNAELLGTMKESNTTCVVRVDDMEAQVFKALLSFVYTDSLPRQRKEDKEKKLKGRTTASSDRYNLERLKLICEDKLCGYIEVGTAATILTLAEQHNCHGLKKACFDFLSVPANLRTAVATDGFKHLSRSCPAVMVELIAMLAT >Sspon.06G0000130-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:12645655:12656755:-1 gene:Sspon.06G0000130-2C transcript:Sspon.06G0000130-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGAANWRPTQGADPSAVAAAGGVDPNAAAPAGSDWRTQLQPEARHRIVNKIMETLKKHLPVSVPEGLTELHKIAVRFEEKIYTAATNQSDYLRKISLKMLSMESQTKTQQNPGNAQVIPNQNPPGPAPGLPPQGSNPAQSSAIPLMSQQQTRQPHASTSVQGSLPSLGQNLSSVSQTSTLQNLSGMPQNTMNNGLAQGTPQDMYAAQRQMAGRQQQQQQQQQAQNQLIYQQQKLVMNQKLQQNSLMQPLIQQQQTLLQSSQMQSSQPIMQMSSVLQPGQTTIPQTQSMAMQSATQSGIQQNPLNSVQQSVQSLLQQPTQSVVRQQQHAQSMHQQPSLQQTQPTQQANIPLQQQPQQLMSQQSNLQQNQLMNQQSGAVEMQQQQRLPVQSNNLLNMQQTQQMMTQQSMPLHQPQQLANQGNMSSLHQQQQQNQQQQQQLLGTAPNVRMHMLQQQKPIQLPQQQQHAQQTSMGLMQPQSQQNQLQQSQQHMMSQFQSQPNQVQQQLGMQQRLQTSAGMLLQQNNIVDQQKQYVQPQRGLQEAPSTSVDSTAQTGHPGAGDLQEELYQMIKSLKDQYFVELNDLYNKISMKIQHIDNHMPAQKSAEQYEKMKGFKVVLERTLHFLQVNKSSIQPAFREKIPVYERQILGILSSQRRKPMQAPGQQTFQQSGGQAPSSNISQQHQTSQGLQQHDSHTNQMPQASLPSMNTGVQTSGAPGIQHVPAPQATNFGVPTTQQNVTNAPQAGSNLENAQGNNFNPVQQGSMGTVLQQGSTGPMQGALNAQQQSSSNMISNNAMSAMQTNTNAMQANANSLQQLKQQHQEHQMMQSQQMKQRQQMMQQIQQKQMLQQQLPIQQLQKQQQQGQMQVPQLHSGNDVNELKVRQGAAIKSGMYQQLSQRNYYQQIKQGGVFPISSPQNHQASSPQISHHSPQVDQHSLLQSQVKTGTPLHSANSPFVPSPSPLLPHHRCQWIRINHSPTYLRSLAGHQQTSLAPQTQSIAVNTPGISASPLLAEFTSADGSQVNLPTQVPTKSAAERPLDRLLKALRTTKPESLSAAVSDIGSVVSMIDRIAGSAPGNGSRAAVGEDLVAMTKCRLQARNFITHDGSGASKKMKRDTSAMPLNVSSAGSVNDSLKQSYSVGTPELQSTATSRVKWQRAEVNHALMEEIQEINQQLIDTELHVSEDDAESFTTSEGGEGTVIRCTFTAVAVSPSLKSMFASAQMSPILPLRLLVPASYPKCSPVLLDKFPDEQCRNSDDLSTKAKSKFSVLLRGLAEPMSLREIARTWDACARKVIAEYAQQTGGGSFSSSYGCWESCVGAS >Sspon.01G0042690-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:67859860:67861804:1 gene:Sspon.01G0042690-1B transcript:Sspon.01G0042690-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGDGKKHGRYWMGDGVIDTSSTPTLSQIRARSTSASPAIPAALAYSPQARLQEFDAIQALALEHTLGTTATTVLRDGQLRWQPGTRGHGTGSVCYGTSIWRSRLQLRRAKDDVLCGISED >Sspon.05G0035280-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:70442899:70443174:1 gene:Sspon.05G0035280-1C transcript:Sspon.05G0035280-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKKIEVFLAVALLMALLAAVASAGRGSFQLEPQAQHGCTRVGGCTDSACTDLCDTHSAGSCIAKGQFVYCCCDPVPIASAPDAHRPLPH >Sspon.05G0008620-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:19942396:19945497:1 gene:Sspon.05G0008620-2B transcript:Sspon.05G0008620-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLAAACASASSPARRLSPSVSTALPRRFLHSLLGAAPTRPSPPPPLLRRCFKFYHMAFDEIVESSQNYSSREASYKLVVPLRNIQPGTVSSRAVGSTDMNEYLLAYLCLCPMSSSDWPRAQERALGTATRQSLSRACLGEQKVELARHVMSSHTYKVESHRFLINFQQYWTQPSLDRNKALVEYLKQYGAVRTDKVAEVMETIDRALFVPEGTPYVDSPMPIGFNATISAPHMHATCLELLKDHLQPGMHALDVGSGSGYLTACFAMMVGPEGRAVGVEHIPEIVASSIENVQRSAAAPLLRDGSLSFHVTDGRLGFPDAAPYDAIHVGAAAPEVPQPLLDQLKPGGRMVIPVGTYFQDLQVVDKNTDGSISIQNDASVRYVPLTSRSVQLQDP >Sspon.03G0029290-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:21016242:21019467:-1 gene:Sspon.03G0029290-2C transcript:Sspon.03G0029290-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGAGTRRRRLVERGSDRLAFITGQTRSLSCDPIPDSPLRSEFEGGTDDGKFSERNQSQKSEPSDLVPEFQRPGIRQGVKARTLSYDDLVPEFQRADGRRDIKASTLSYEDELFHKFKTGSAVPEIQPVNQTPLPTHEQETVSKNTSHDGVSKNASHDGVSKSTSHDGVSKNTGHDGVASVQPSREVEMRPRSAPPSQSSQADNSGWSVETLKELLDFTPQEITKAMSATESNRFLASVAIALLVVLSNWGLDIGGAITRVLVGTRPLLFLIITNITIVFTLLMENKDPNVRSRPVGANLGSADSLGQMLEIGLLLQKALGALLIDCSLIRGCLNQVNGIQTTE >Sspon.07G0010250-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:27293753:27295528:1 gene:Sspon.07G0010250-3C transcript:Sspon.07G0010250-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADVEKAAPVAAPAEGAGGDAAGGRTGAVGAVLRRWRTQDLLDRSGSALRAGAWALSLLAFLVMACNEHGDWRQFDRYEEYRYIVAIGLLAFVYTTLQLLRHGVRLTGGQDLQPKTGLLVDFAGDQVTAYLMMSALSAAIPITNRMREGADNVFTDSSAASISMAFFAFVCLALSALISGFKL >Sspon.06G0012310-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:65556955:65563288:1 gene:Sspon.06G0012310-1A transcript:Sspon.06G0012310-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MENHHSSAAPNDQGSSGCPENKDVAMPNLSSSAEVAATVATSNDDVSKGKNVAADDKELKPHIVAERKRRSRMRDYFGELQALIPEIPEKSDKATIVGHTINYIRSLEKTKAMLEKRKQELALARQAAAEAVASSSSAPPPPQTAQGMALAAMSSDVPDACSGVPPLQPTVPMPAPQLLPATMSSDVPQPLQQPLAAAEPAPPQLPIITARQIGFQTWSWPNLVLSVSNDTANINVCAPRHRGMWTMVMVLSVLNKYGIDVVTAQVDSDAVRSVFNIYARNLNKAKLTAMGGENPSALEVYQLAVSEILMGVAVLVVCTAQQLDGCDSVDPVIPVMKSDAEKELLPTFAALTKITVARFWWDRQR >Sspon.03G0010630-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3C:44445548:44446384:1 gene:Sspon.03G0010630-2C transcript:Sspon.03G0010630-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAADARAALSRCRVVARALVHAAQVVGLVVLLRALAEFPWAAAAAPTILRFAASFLAPPRLCFLVANAIVIFLATFFPRDAASLSPSFADLSGSPSSNGDAPQQHPFLAFLEDPRLQAQPPITESEPSGTGEQAPPPPPEEVPVFEDKQAVHVKTVRAQPPRRTMSEKTTRGGAADRSSTTSAASPELRRAKSENGRRRQQRRSAAAAAAAPAAVELGTDDAEAFRQAVEAFIAKQQTWFHREESMVIARAAAAGGAGGEDGPGKIAGAAAAAVVVK >Sspon.01G0003400-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:9288828:9289451:1 gene:Sspon.01G0003400-1A transcript:Sspon.01G0003400-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGCFSRLRRPTTTGSSAAPEPMPPAASDEASTSVASTTSAAGSTSPCSSSSSARCNKDDDHAGNDSNSIVAVGKNPSALSESGLSSAIASRRFFLSSPGRSNSIVDSSAHGAAAAAALGVGVGAAGVAVPTYSPDPHADFLRSMEEMAAALRLDARRRGDRARLHELLLCYLALNDRRAHKYVVSAFTDLLLRLTAAANLDDDHHD >Sspon.04G0016150-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:59299389:59306859:1 gene:Sspon.04G0016150-1A transcript:Sspon.04G0016150-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AMDAAAAGAAALPEAWSQVRAPVIVPLLRLAVAVCLTMSVLLFLERMYMAIVIAGVRLLRFRPDRRYRCDPLPEDDPELGSSAFPVVLVQIPMFNERQVYQLSIGAVCGLSWPADRLVVQVLDDSTDEMVQELVRLECERWGRKGINITYQIREDRKGYKAGALRAGMKHAYVRECEYVVIFDADFQPDPDFLKRTIPYLVHNPEIALVQARWRSVNADECLMTRMQEMSLDYHFTVEQEVSSSVCAFFGFTGTAGVWRISAINEAGGWKDRTTVEDMDLAIRASLKGWKFVYLGDVQVKSELPSTFKAFRFQQHRWSCGPANLFRKMLMEIVTNQKVTIWKKIHVIYNFFLIRKIIAHIITFSFYCLIIPATIFVPEVRIPKWGCVYIPSAITLMNSVGTPRSFHLLFFWVAFENVMSLHRTKATLIGLLEAGRANEWVVTAKLGSAMKMKAANKAGLRKQFMRIWDRLHVTELGVAAFLFSCGWYDFAYGRDHFFIYLFFQSVAFFIVGIGYVGTIVPQS >Sspon.04G0032140-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:21723667:21739756:-1 gene:Sspon.04G0032140-1C transcript:Sspon.04G0032140-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDELVSAALEEVCARLAPGIPVVDLWPALRGALDAAGLPLGPSVKRALWARILALRVVSLVEGNGDGVPVSAGDPAEKDFEEAERRGMRLVASAGIRDNFLGLYERRFAKSEFSAVQKATLECVAASRTSGVAQSELCKKFKMKGNNFYFIAKSLESQRLVVRQSTIIKVKDHGAEREDASQNKHVINTNSLYLSRYAKDLNMTSQQRIEITKPELLGSNEETNVDAFQEDGTFDVNSKNDISIHDYLPAMKAVCDKLEEASGKALVVSDIKVDLDYRMAYGHRAWRNVLHRLRDAQLVETFDAEVDDKVVRCLRLLKKFDPNEFQPKSIASNFKFVKKGQATDQILELPLENCIYDMISAQGTKGITLVEIGKRLGLNNSKKLHKRVSSMLKKFDLTWEAEVPDKTSQYRIWTSKNFLLYKAGTALQTFEALSEESDDCSDLWSLVPPKGLDTLESSSSHGKLLLLEEENHDEPVGHHIQNNLDASAVVSQLVEEDKVALGQRRRHRCRPLTSDDQRHRRILHMLKKKKFVLKVELHKWLERLEKKDGKIMDRKTLTRTLNKLQQEGSCKCIKVSVPLVTNYTRSRLIDVILHSSVGDLSPELVDQFRIRQRNFDTQSRAGAAAKVKQNQHMTAIPGLRISRRVKVYKPLLLEAMYANGFIGAKMIRAKLLHKFLWAYVNSLPNWCNAFGCAKEGHYDKSLNQSCLLFSMEEAMKEMPLELFLQVVGSAKKIDNMITCCRLGKTLSEIPTKEYNQLMDTHAYGRLSRLVNILDKLKLVQFAKEFLEDAGVPSNAMSTHSMELRPYIEEPIPKILPSSQLNNHRKIRHDFVLSKHEFVDSYWETLECCYLTAGLADPLSAFPGSSVPEVSHPRSWSTLRVMTTEQRLELQQRIMNVSEKGKIPLKDCVRIARELNLSVEQVLRLSYERQSRLREQPSVTAKQKQQRVSSGLTPERRKRRADGTSLKLLKRKVQASGSAEQILGQPTLDEEVPETMSSSSIDHANKSDQPVSRTDSTSMYQADEDKETSPMISRYAILRKSCMRSKRFFWTYESDRKLLMIYIRVRAILGARCYRVAWRSLSDLPAPPDTCRRRMAVLLKTNEKIRGAVMCICNLLVKRYARYLEKERRFKRRRLFPQISESSHENSLDSDCEQFNWDDFEVPEIKSALNEVLELIQTEKVDQTKRIGPVNQKNINNDNDDHRNKIIERGIWKSLAVANALELLKLVFLSKSLGSNVQASLAATLQLYSESEIFTAVSFLKERRFLVTGSGGKPYTLSSQFLTNACCSPFPFGSGKKASAFSNWIAQQKNTTDSGVYLYPDIQCGELVHLFSLVLSGNLLISPFLPSEGVGEADEPNSSGPSVIDTSGLGDNSQKRKADTVKLKSGKAKKQKPLPKIESDFCYRREKGFPAIQIGLNLHRIQTSNFPQELHGKESSIFTSSWAMSRKNVDLHAERHIMPSFSNCLSSYRHLLSESQLENSYSGWPWDAMKKFAEEYSVFEHQSELFTLSPELFRNAFCIIHKAGGQGVTLRELSQALHPLAMQLVLIIVDTLKRFQLAVKVNAYDGVHIVDSLHSAKYHITTLAECDNCCCTDPPTSQVVDNENTKNILKEKHTRPINFDGPIKMLGDGHTVTVINVQSKLSSPHVCNKDPGNEERLSTPEENNKESSCYHNCERHCYQPILPWINGDGSTNSTLYEGLSRRVIGYVMHYPGLMEEDVIRRMDVLNPQTCRTLLEKLTLDGNLHVRVFEEPVPMAPTILQGLFKQHSSTEPSKCVKRYFANPMSTLQL >Sspon.01G0033060-1P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:111840914:111842761:1 gene:Sspon.01G0033060-1P transcript:Sspon.01G0033060-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSACPAPAVATFLLVALLSCCCQSARAIRTHGGGGYVSAVGDPGMRRDGLRVAWEAWNFCNEVGQEAPGMGSPRGADCFDLETSSDEHGQPVYSVAHLVSDADNRLGAGDPFPGSPPSAAPIADADLYAPAKELYLGDRCQVADTPVPWQFWMVMLKNGNLDTTAAICPENGRPAPPFPQTSRFPCPGGAGCMNQPLVFHNRTALDDAGRWLRGGMFGTYDLDAGNSLGAGDDVSFYSVTWEKEVAASGGGGWAFHHMLRTSTKYPWLMLYLRSDATKGFSGGYRYDTRGMTKRHVENKTEHVTEHLAVGGRRSMQVPESPDFKVRLTLEVKQGGGRNSQFYLMDMGSCWKNDGRACDGDTATDVTRYSEMIINPSTPAWCSPSRIDQCPPWHTFRNGSRVHRTDGARFPYGAYHVYCSPGNAARAEKPTTYCDPYSNPQAQEILQLLPHPVWGEFGYPTAKGQGWVGDPRAWELDVGAMSHALYFYQDPGTPPARRRWTSLDVGTEIYVSDKAEEAEWTLSGFDVLVPDKCIKSQQRATNSCW >Sspon.02G0023800-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:75358426:75361541:1 gene:Sspon.02G0023800-4D transcript:Sspon.02G0023800-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHRGPLPTPTNALHCTRPPLARGSRHHPDPGPHTQPHGATGHGCRADRLRPSRRVHTRTTQFNIRVKLFKFNLIFRVIAAARPAKRAVAFISARQPRNNPPSFAYLSLSSLDARTEKKKGKRTKKRKRNSPRGEKVACRLAHSLLIAMAQESWKQESEETGVHTPEAPILCINNCGFFGSSMTNNMCSKCYRDFIKLMENPLVEKKVIIGPSSSAVPLEAAKQVDATATAAAEAAAVDDKQAAQEEPPKPPSNRCLTCRKKVGLTGFQCRCGGTFCSMHRYTDSHQCTFDYKTAAREQIAKQNPVVMAEKINKI >Sspon.04G0019670-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:74683085:74683297:1 gene:Sspon.04G0019670-3C transcript:Sspon.04G0019670-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MESCSRAWCHGEGRGASDFRGRAGPDGPGTLCNACGIRYTRQQCNAGVPAVGEPEQEGSVVKLREKAKIR >Sspon.05G0002600-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:12841207:12845448:1 gene:Sspon.05G0002600-2D transcript:Sspon.05G0002600-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPPPPPPPPPRSTSSYGIPGADKSRGLAAASGHWPQVKESCCLSSCSFLLVRGGEAVGRYSSYLAVCSSSSSSPPVVLSVAVSAETELHPWPSKPGSSAAWV >Sspon.05G0019940-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:61506493:61508967:-1 gene:Sspon.05G0019940-1P transcript:Sspon.05G0019940-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSEDSLKSLSLDYLNLLINGQAFSDVAFSVEGRLVHAHRCVLAARSLFFRKLFCGLDPNHPPPPPPPPATPTGCARTPELVIPVSSIRYEVLVLVLQFLYSGQASVAAPKSGPLPGCGARGCWHTQCGAAVDLALDTLAAARSFGVEQLALLVQKQLESMVKEASVDDVMKVLMASRKFEMQELWATCSHLVARSGLSADLLAKHLPIDVVAKIEEIRAKSPVSGAGSASTPRSPFLTHHYLPINAASSAADRDHKIRRMRRALDAADIELVKLMVMGEGLDLDDALAVHYAVQHCGRDVVKALLELGAADVNSRAGPTGKTALHLAAEMVSPDMVSVLLDHHADPSARTLDGVTPLDVLRGLTSEFLFKGAVPGLTHIEPNKLRLCLELVQSAVMVTTRDEAGGGGGDAGGSDGGGNFPRSDADDSLVSLTMNSTLMYQGQEMAAAAVAAGEARKGNGGRGSPSN >Sspon.08G0006160-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:19408094:19410433:-1 gene:Sspon.08G0006160-1A transcript:Sspon.08G0006160-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRPSLTAAHDPAVAAATTTTRRRKDHVAKVHPALGGGGAGAKEMGAAAEGWARRPEWCSAAGVAAVLRRHPAPALFGCGLLLFMAVEYTIPMVRPDAPPLDLGFLATKDMHAAVAATPWLNSLLAALNTVFVAMQAAYILWAILAEQRPRAAVAALMMFTCRGVLGCATQLPLPEEFLGSGMDFPVGNVSFFLFFSGHVAGAVIAASDMRREGRMALARLYDALNVLQAVRLLACRGHYTIDLAVGVGAGILFDTLSGWYFEAKNGDGKNAPEKHCRSCQCHKALLSH >Sspon.06G0004550-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:11690661:11693646:-1 gene:Sspon.06G0004550-2B transcript:Sspon.06G0004550-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin carboxyl-terminal hydrolase [Source:Projected from Arabidopsis thaliana (AT5G16310) UniProtKB/Swiss-Prot;Acc:Q9FFF2] MSWAAIENDPELLQQMQLKGLQVDELYSLDLDALNDLQYVILHLALMPIYGLIVLYKWRPPEKDERPVIKDAIPNLFFANQIINNACATQAILSVLLNSPGITLSDELKKLKEFAKDLPPELKGLAIVNCASIRMLNNSFARSEVSEEQKPPSKDDDVYHFISYVPVDGVLYELDGLKEGPISLGKCPGGVGDAGWLRLAQPVIKEHIDMFSQNEIRFSVMAIIKNRKEMYTAELKDLQRKRESLLQQMGDPSAIRHVPSVEQSLAEVAAQIESVTEKIIMEEEKSKKWKTENLRRKHNYVPFLFNFLKILEEKQQLKPLIEKAKAKQKSHGPSAR >Sspon.03G0000110-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:10636075:10638829:-1 gene:Sspon.03G0000110-3C transcript:Sspon.03G0000110-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFSASPKRKGITEDIEEYVYPNNPIYRQCISLRHFFHNIFGGYTATFQRLESRPNNPAQGVAPLGFTNPSVNINDNSLAETYHLVSRPPPYDTDPRYARVQREGLVSRREKSINLAQEESLALRRNGSSSGIEHLASQKKRSSTENEGEYKVHRSESTKSLSAKAYSSSYAVVASEDEDVCPTCLEEYTPDNPKIITKCCHHFHLGCIYEWMERSDTCPICGKRSKGKGLQTIQYGMLENFFVIFANGGSRMEAQI >Sspon.06G0000870-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6B:1551043:1551360:-1 gene:Sspon.06G0000870-2B transcript:Sspon.06G0000870-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSDVAQNLASPATGGGRSQVRSVSAMAAALDEPGGTPKSARTAGSAAVVNGMESATPRGRRPSAAGAAARKWSGRRSPTRTASSWKNTAVGAVGVGVAAPPPRV >Sspon.03G0020930-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:51231820:51234909:-1 gene:Sspon.03G0020930-1P transcript:Sspon.03G0020930-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGITAPHVVEDFVGVVQLLSDGSVVRADESVLTPPGATFPDVPGVQWRDVVYDPAHGLRVRLYRSPEAEAAPKGGRRLPVLVWFHGGGYCIGAYDQPGFHAFCQHVVAKIPAIVLSVQYRLAPEHRLPAAIDDAATFFSWLRAQAAPGAAADPWLAESADFCRTFVSGVSAGANLAHHVVVRIASGQIVPGPVRVAGYVLFSAFFGSDERVASESHPPAGVSLTVESLDTAWRMALPLGATRDHPLANPFGPDSPSLEPLPLPLPPALVVAPGRDVLYDHVLRYAARLKEMGKAVELAEFAGERHGFSVGQWSEATEELMRILKRDAAPHVVEDFFGVVRLFSDGSVVRGDESVLMPEGPFPDVPGVQWKDVAYDAAAASRSACTGRRRLAEAPRARLLPRRRYCIGAYDQPMFHSCCQRFAAELPAVVLSVQYRLAPEHRLPAAIDDGVTFFSWLRRQTAGGAQGTEPWLEESADFAQTFVSGVSAGANLAHHVCAERTAAESESAANVSLTAAFDQIWRLVLPAGATRDHPLANPFARDSPGLEPLPLPPVLVVVPGLDTLRDHMLRYAARLEEMGKAVELAEFAGERHGFS >Sspon.02G0058390-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2D:73051217:73051714:1 gene:Sspon.02G0058390-1D transcript:Sspon.02G0058390-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARVYRRRRLRPHIFSFTLSGERATTLPPLRPQWLPSSHPSITTMVMGAPALTWPDRLLRRRNPNAALLPSPPPCRPASPKPLLSPPKWKKRRGREKARGRAVVIQHSLLPLRRRRQQAVLPHPRASDNPNPEPGEHRMCEEVCVPHDVSARVRKEALPKIYTCW >Sspon.04G0001210-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:16352260:16355121:-1 gene:Sspon.04G0001210-2C transcript:Sspon.04G0001210-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAVVITRGGGGPEVLVAQEVEDPAPLGDGEVLLQVAAAGVNRADTLQRHGRHPPPPGAPPYPGLECSGTILALGHNVPPRWAVGDKVCAPLSGGGYAEKVVVPAGQLLPVPEGVSLTDAAGLPEVACTVWSTVFMTSHLSPGESFLIHGGSSGIGTFAIQIAKHLGIKVFVTAGSEEKLAACKDLGADVCINYKTEDFVERVKQETDGKGVDVILDNIGGPYLQRNLNSLGVDGRLFIIGFQGGAVTEVNLQAVFARRLTIQAAGLRGRSLANKAQIVSEVEKNVWPAVAAGKVKPVIYRTFPLSEAAEAHRLIESSTHIGKILLLR >Sspon.04G0019920-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:78521381:78527881:1 gene:Sspon.04G0019920-1P transcript:Sspon.04G0019920-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGVHNLLARPNSAPFVFSQPPLRRRHRPPPPGPSACRAASRWADRLFADFHLLPAATAATDPPAAAPSSSPFVPLFPDAADRALPLPVDFYKILGAEPHFLGDGIRRAFESRIAKPPQYGYSTEALVGRRQMLQIAHDTLTNQSSRTEYDRALSEDRDAALTMDVAWDKVPGVLCVLQEAGEAQLVLATGEQLLQDRPPKRFKQDVVLAMALAYVDLSRDAMAASPPDVISCCEVLERALKLLQEDGASNLAPELLAQIDETLEEITPRCVLELLALPTDEKHKNKRQEGLQGARNILWSVGRGGIATVGGGFSREAFMNEAFLQMTSAEQMDFFSKTPNSIPPEWFEIYSVALAHIAQAIASKRPQFIMMADDLFEQLQKFNIGSQYPYENEMNLALERALCSLLVGDISNCRMWLGIDNEPSPYRDPKIIEFVILGAEPHFLGDGIRRAFESRIAKPPQYGYSTEALVGRRQMLQIAHDTLTNQSSRTEYDRALSEDRDAALTMDVAWDKVPGVLCVLQEAGEAQLVLATGEQLLQDRPPKRFKQDVVLAMALAYVDLSRDAMAASPPDVISCCEVLERALKLLQEDGASNLAPELLAQIDETLEEITPRCVLELLALPTDEKHKNKRQEGLQGARNILWSVGRGGIATVGGGFSREAFMNEAFLQMTSAEQMDFFSKTPNSIPPEWFEIYSVALAHIAQAIASKRPQFIMMADDLFEQLQKFNIGSQYPYENEMNLALERALCSLLVGDISNCRMWLGIDNEPSPYRDPKIIEFVVNNSSIDEENDLLPGLCKLLETWLLSEVFPRSRDTRGMQFRLGDYYDDPKVLSYLERMEGGGASHLAAAAAIAKLGAQATAALGTVKSSALQAFSKVFPLIEQLDRSGKDTPSDDLEKSLEKLAQESVAGDAIHDSRNAALKIISAGALFALFAVIGLKCLPRKKSLPALRSEYATVAVADSVDGPAADEEPLDIPRMDAKLAEDIVRKWQSIKSKALGPEHTVTALQEILDGNMLKVWTDRAAEIERHGWFWEYTLSDVTIDSITVSMDGRRATVEATIEEVGQLTDVADPKNNDAYDTKYTARYEMTYSKSGGWRITEGAVLKS >Sspon.05G0019400-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:84587483:84591450:1 gene:Sspon.05G0019400-2B transcript:Sspon.05G0019400-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATAPALALHSSPAAARRSAGKPIFAYLHRGGPSTASSVHLRAARTPARSPVWRRGRRGSGLVVRAEMFGQLTTGLESAWNKLRGVDVLTKENIAEPMRDIRRALLEADVSLPVVRRFVSSVSDKALGANAIRGVRPDQQLVKIVHDELVQLMGGEVSDLVFSKSGPTVILLAGLQGVGKTTVCAKLAFYLKKLGKSCMLVAADVYRPAAIDQLTILGKQVGVPVYSEGTEAKPTQITKNAMEEAKSKNIDAIVVDTAGRLQIDKSMMDELKEVKKAVNPTEVLLVVDAMTGQEAAALVTTFNIEIGITGAILTKLDGDSRGGAALSVKEVSGKPIKFVGRGERLEDLELFYPDRMAQRVLGMGDVLSFVEKTQEVMRQEEALELQKKIMSAKFDFNDFLKQSQNVAKMGSMSRIIGMMPGMNKITPAQIREAEKRLAFVESMINAMTAEEREKPELLAESRERRIRVAEESGKTEQEVSQLVAQLFQMRAQMQKLMSMVQGQEAIAGMGDLMDSLKAEEKAPPGTARRRRRNSKPEQRELDAVLS >Sspon.03G0028230-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:8489542:8490004:1 gene:Sspon.03G0028230-1B transcript:Sspon.03G0028230-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAASIGLLMMVSLEAALLLLVAGTSAAAAPRSVFPAMPELGLVDCMLDQSALAQPDNRFARVLQHKSSLLILSAGRWRGARAKLVGDEKRPWECCDLTICTRSYPPICQCLDEVERCGV >Sspon.07G0031460-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7C:22910688:22911251:1 gene:Sspon.07G0031460-1C transcript:Sspon.07G0031460-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MACRTLELTLVSARDLRAVNLVSKMEVYAVAYLAGDPRSRHRIPTDRAGGREPATPPGTPPSSSPSRRRAPGAAPSASCCARSARSGATATSARCSSRSPTSLLGPGTRPRTPRSPASRSAGSAQASPRACLTSLTSSAGSSTLTTLPPGPRGDPRRCRQASRVRRWRTWLPRRRHTRLRGRHSACRL >Sspon.08G0000100-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:11427498:11428761:-1 gene:Sspon.08G0000100-3C transcript:Sspon.08G0000100-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVKGVSISHIKSHLQMYRSSSSNSSSTHHQSSLQKLTSTAASNSKRVLLSREDHCAYYASQDGNTPASSDKNIYTTMLRGCSHSSPYQIPSLEEVFRSCWEQRRGRVPWNSNVLTTEKAIRPSHTKKPEKQHTGCDLTLSIGLWEDASSDDADGSSSISEEQLPARPAAGGARRVAAVKEEESKPAALNLDLTISSSWLA >Sspon.02G0005180-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:16567715:16573681:1 gene:Sspon.02G0005180-1P transcript:Sspon.02G0005180-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding TRNKRWVPRNGTSRPSSGVRDEKSQESPASANRSGHGHRSSFEQNRGSPALPSKVAPVASRIPSQPQKSPAKVEATPPKVEKPSVAPPPKVDYATDLFNMLSMDGTTEKESESSSNDDNAWDGFQSAQPVPSSEKKDSAKPAESKTQSTSGIEDLFKDSPAVSISSAPAVSQVNAKNDIMSLFEKSNMVSPFAAHQQQLAFMSQQQALLMAALKAGNAAQMIPGNANQLNANGSNPPLGTLPFQNWTNLGYQNPGLTPAAAQNGATKVANNNQDFSSGTFGFGTPGVYNTSSAVPANGATATDQDHHQMRKHNHHLRILIWHPSSTFSRWLTSAAATSTIASCPSRSSIRTVCNKALFLT >Sspon.03G0021610-1P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3A:77901162:77901740:1 gene:Sspon.03G0021610-1P transcript:Sspon.03G0021610-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPSAAAPTSTNREQATMKLKSQVDEEKRNKQIIIPRALQWIMAASSSSPPTLTIEEANRKSGMRRAGFWFCAVYILPLCIGVASFLAYDFHRNDHIFPRSAPWRPTAVVAWGVHMALVMELFFFMSLHLPRAPVALRDAVVNVGVGCVGVPLGWIVILAACLGGHTWMCVVLAFVFAVVFTAVLALWVWLVR >Sspon.02G0049180-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:34841090:34843660:1 gene:Sspon.02G0049180-1C transcript:Sspon.02G0049180-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RRPRSELPAARPAPAPPLLLPLSLRRRPHPTRPAPGSGRGFSLPSGGGGGSSPTRVRSPPPPPPPPPPPPGSRVPASSPLSLPTPAGLEKGGALGMVEGGLGALAAVEAAGPEEAAGSAETVCVTGAGGYIASWLVKLLLSRGYTVHGTVRDLGDKKTAHLKRLENASENLRLFKADLLDYDAMAAAIAGCQGVFHVATQREMLGPAVTGTINVLKAASAANARRVVVVSSMVAVEINPKDWPKDKIKDENCWSDKEFCRNDENWYSVAKISSEEAALEYGKQTGLDVVTVNPALVLGPLLQPTLNTSCQFIVYFLKGGPDQMRNKLWHIVDVRDTADALLLVYETPEASGRHICAPHFISARGLLDLLKTMYPDDYPFISE >Sspon.08G0012270-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:50197167:50199266:1 gene:Sspon.08G0012270-3C transcript:Sspon.08G0012270-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSKRLLGCFGAGGGEQEQEQEPAAAGRRPGGKPTLRRLSTANLRSLSLQDLSRKLETTKLHAFTLDELKAATKNFSTSNFLGEGGFGPVYKGFVDGRLRPGLQPQHVAVKYLDSDGVQGHREWLAEVVYLGMLSHPHLVKLVGFCNQDDHRMLVYEYMPRQSLENHLFKNLLASLPWSTRLKIAVGAAKGLAFLHEAETPVIYRDFKASNILLDSDYTAKLSDFGLAKEGPQGDATHVTTRVMGTHGYAAPEYILTGHLTAKSDVYSFGVVLLELLTGRRSVDKRRGRREQNLVDWARPYLRRADRLHRFMDPSLEMQYSARAAEKAAKVAHQCLQSVPKARPSMRDVVDALEPLLALDDDVPMGPFVFTVGGAAAEAEAKPAAPAQVVEACADGVTVTNDEQVEVEAEAEAGSRQGKRHVMSAVHAESPLRS >Sspon.06G0031880-1P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6D:74913247:74916134:-1 gene:Sspon.06G0031880-1P transcript:Sspon.06G0031880-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGQSQQDNNPSTEYKLKKYLLLLATLVVAVTYVAGLNLPGGVWQEDTKDGHLAGDLILLDTHYGRYLTFYYCNATAFAASVVVCLLLLILEGKNGVWETVLRVVMVLDLLGLMGAYAAGSCRDAFTTIYSTLVMSVVLAYVVLAFSLYIISKCKDGKQQTKEDHESQSWEEQHEVASSEVLMLLATFVVTITYGAGLSPPGGFWSNTQDSHRVSLPILQDHSSARYQAFFVCNTTAFVASLLIIMLLLDKRLKKKFSVRFVALHGLIVLALFGLVGAYAAGSCRETDNTIYVVSLIGAVLAYIFLHVAITKASQPLLQNKMTRTNRHRGQDDDIGLEKAHDLVILLATLVASVTYQAGLDPPGGLWPDNRDGHKVGDPVLLTTHPQRYKVFFYSNSAAFVASLVVILMVKCKFLVMRRTLEAAMLADLFGLIGAYAAGCCRDVSTSIHVIALAGAVLVYVVIHIIFFTLDKDKHGDADKLDNRREVLLLLAILAATVTYQAGLTPPGGFWSADDEFGHRAGFPVLLDNYPGHYRAFFYCNATSFMASVALIVLLVNPNLYRPGIRCYAFFVCMVVGLFGLMGAYAAGSSRQLRTSIYVLALFALVFTCMTSWVLILLIRRLMEHHNKGDGPSVGDAGVGRTDADASPEQDDDKEKRKKLREYLMLLGVLAASVAYQSGLKPPGGLWQDNNDGHSASNSILHDIDKSRYHAFFYSNSTSFMASIVVIVLLLPYGHDELPLRPLHMAILLDLVGLLVAYAAGSTRDWEMSRNVIALVIPLLVYIASYALYTWYRRTKCSDTE >Sspon.02G0011080-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:33969203:33969475:1 gene:Sspon.02G0011080-2C transcript:Sspon.02G0011080-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRYLHLMEEGRKRGRAHLRLLGEGGGPRGGGGGRRALAAEDHVRQWEHHAGHHDRCPHQVPRRRRRPHLQLHPSLSLAGFGSGEVETR >Sspon.01G0018830-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1A:69983043:69987744:-1 gene:Sspon.01G0018830-1A transcript:Sspon.01G0018830-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Actin-related protein 7 [Source:Projected from Arabidopsis thaliana (AT3G60830) UniProtKB/Swiss-Prot;Acc:Q8L4Y5] MEAVVVDAGSKLLKAGIAAPDQAPPLVMPSKMKVDVEDQELADGAVVEEVVQPVVRGFVKDWDAMEDLLNYVLYRNIGWEIGDEGQILFTEPLFTPKTLREQLVQLMFEKFNVSGFYASEQAVLSLYAVGRISGCTVDIGHGKIDIAPVCEGAVQHIASKRLEIGGVDLTNLFAQELKKSNPSVNLDVSDVERLKEQYACCTEDQLAFEAIGSSCQPERHTLPDGQVITIEKEGYIVGEALFQPHILGLEDYGIVHQLVTSVSNVSSEYHRQLLENTMLCGGTASMTGFEDRFQREANLSASAICPSLVK >Sspon.02G0000750-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:2545085:2547147:1 gene:Sspon.02G0000750-1P transcript:Sspon.02G0000750-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKEAPARERKRPREGDTATSAAAAAVEPQYTYRPIADALKEDPGVRVCLFAVVAQIGPARAQTQPWHGSASRSSLDPSPPLLSFRAPAVGQDESWRFVLKLLQFLLQSDSPRIQHHGEFCVTFNKKFSSFALFESKVSAECSPYQTSVKYHGSKHYKERLTQMRSWLPLGPKDFSLKDLELQLRSLKSDSTFDLVCKVLHVLEDNGKRIFYVWDGTDSPAAELQA >Sspon.03G0011470-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3A:31205764:31206952:1 gene:Sspon.03G0011470-1A transcript:Sspon.03G0011470-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHHRLGRRVIFDPQPRMWSLVRRPPSTAKILGRSEAPLAYRRTKAGYPDKFGVNFAVGGAGVFEVPRKAPTLAKQIDNFKKMLDDRTIGKWQFRHDGDIIDFIGNVTDEIARGVDRLRKLGVTKVLVNTLHPLACTPWQSRPSNYTKCVGRGNMAADFHNDDLRDKLQNATASDSVYLLDLNWAFTAIINPSDTDTVPQVAKRFKEKLKPCCNSFDPSGYCGQVDEDGSAKYSVCSNPEEHFFWDDVHPTQEGWEA >Sspon.01G0017330-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:65160213:65161641:1 gene:Sspon.01G0017330-2C transcript:Sspon.01G0017330-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPFSRRLPAAPLLVVVLVAAEAFFAYADTDDCGRYSRVFAFGNSLTDTGNSAIFPATAGGPFTRPPYGETYFGHPSGRASNGRLILDFLVEELKVPQPTPYLAGKTAADFLNGTNFALGGATVLDPAFLASKGITSFVPISLGNETTWFQNVVQLLNSSHGYEQRKIMASSVFYVGEIGVNDYFFALSNNSVDVAASLVPHIIGAVRSALTAMIAAGARTVVITGMLPIGCEPQQLALFPGDQGDYDPITRCIARFNEVAKQHNRALRTMLSELRRDYSCHGRSLSLLYADIYNPVVKAVAFPAFYGFGDRPLSACCGGGGGPYNFNFTTFCGTPGSTTCADPSKFVSWDGIHFTEAANRLITRTMLKELKLLL >Sspon.04G0002950-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:4329180:4330924:1 gene:Sspon.04G0002950-2B transcript:Sspon.04G0002950-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALEAVVFPKEHLACTVKARSASLGCGFHTEELEDKGGVVLQGDAADALPPGAGAATTWAWDAVLCPCSTAPGGAVEECWDVQHQLSVSPPPPVPSAVAASSSARGKAAAASAAARRRRRRPKAVKNTEEMESQRRNHIAVERNRRRQMNEYLSVLRSAMPPSYAQRGDQASIVAGAINFVKELEQLLQSLEAQKQRQGGCTEPPASPFAGFFTFPQYSTAATDVVGSSDSAGSGCLGARRGVADIEVALAESHANVKVLAPRRPRQLLRMVVALQCLGLTVLHLNVTTTADHLAFYSLSLKMEDECRLSSVDDIAAAVNEILAKISDDCCASHLLGA >Sspon.01G0013190-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:70464540:70466204:-1 gene:Sspon.01G0013190-4D transcript:Sspon.01G0013190-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSCPTLPFDEARWIIRIRRIFDEEIEVSEDQPICVFDVPKPLLSTKPEAYIPQLVALGPYHHCREELCDMERYKLSAAKRAQSHLPGMDFQRLVDVFTKLEHLVRAHYHRHLNLSNEALGWMMAIDVSFLLEFLQTFSKNNGNQQRALQRIPSRMSHLVDPSRRTSSHSMLLRDVVMLENQVPLFLLLKAIESRCPTAAAQSVLSSMLVGFFQEVSTFRGIGRPCTDANRHVHLLDFLYSNMVPRCAEESHGEAGDESCHGHDDHGKSTLNSVMDLLVSRGSKIVSVIVDFLLRVLLKFIASLPCLSVLGEPIEQLTQHAPEPSGGAPGVPDKNRSPLLEEIAVPSVAELAYTGVRFYPTVGDLSAVDFCPATATLHLPVIGVDVNSEVVLRNLVAYEAAAGLGPLVLARYVELMNGIIDTEEDARLLRECGVVLNHLKSDREVAELWNGMTRSVRLTRVPALDRVIDDLNRHYGGCWKVRVRTFVKARVLGSRELLACVAVVLLFLFVGLQAFCVLRGRVPV >Sspon.01G0029740-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:99647803:99650347:-1 gene:Sspon.01G0029740-2B transcript:Sspon.01G0029740-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxisomal membrane protein 13 [Source:Projected from Arabidopsis thaliana (AT3G07560) UniProtKB/Swiss-Prot;Acc:Q9SRR0] MAAAGNPPPKPWERAGASSGPVPFKSPSGGSTSDVVEASGTAKHGEVVSAAGSNVASNVNSNISMPVPPRPWQQQGYGNSYGGYGSSMYSSYGGYSGPYGNNMYSGYGGGYGSMYGGSGMYGGSMYGGGMGGPYGGYGMGVNPYNQGPNSFGPPAPPPGFWVSFLRVMHGVVNFCGRVSFLISQNTQAFHMFITAFLQLCDRAGMLYGELARLEKV >Sspon.07G0001820-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:3210928:3212626:-1 gene:Sspon.07G0001820-3C transcript:Sspon.07G0001820-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding NGEAQQRHPQRALQEALAELCQDMVQPACPQAEAPHSRQKKAVKIFPRPTAGPLRPIVQCQTLKYNMKSRAGRGFTLEELKAAGIPKKLAPTIGISVDHRRKNKSLEGLQANVQRLKTYEAKLVIFPRRARKVKAGDSTPEELATATQVQGDYMPITRGEKRSVEVVKVTEEMKSFAAYGKLRLERMNKKHLGARQKKVAEAEKEEKK >Sspon.02G0028470-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:116613314:116614315:-1 gene:Sspon.02G0028470-2C transcript:Sspon.02G0028470-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LRWPPRPSPRLRPPRTTSSSTSRCPASASTRTAWSATSAPSSSPPPRTPPPPGTASPPGTSSSPPTSPRASTSRASATPRTPRSPSSSTTTAAASASAPPSTPSSTPTSTPSPASPAPSSSPSSTASPPSTPSPPPTLIPGTPSPGSSPSPPTSPPPPPTRGSRATPTSRACTWAGRARAPTSRTTWRCAPLPRRGWRTAPGSGASSWCTLTSWAPTGCPPTSSARRRARAWRPCGASCARRPPRGTTTRSSTPWWTAPRPWRPWRAPACSCASPRATCSATGAARTSTGSGPAGGPARRRFGRRPTRDTRSTSWTRAATRPSRRTRSSATSST >Sspon.07G0024930-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:33422045:33423470:1 gene:Sspon.07G0024930-1B transcript:Sspon.07G0024930-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGRFPVALVAVAVLVAWAQLRPPPTVADTADQQGGHEVDRIVRLPGQPDDVDFPMYSGYVTVDHHAGRALFYWLQEVPLAAQPAPLVLWLNGGPGCSSLAYGASEELGAFRIRPDGATLFLNRYRWNRAANILFLDSPAGVGFSYTNTTSDLYDSGDRRTGHYVPQLSQIVYWKNKGVEKPIINFKGFMVGNAVTDDYHDQVGTFESWWNHGLISDATYRLLDATCVHDEIEHASPPCNAAYDRATAEQGGSIYTPTCNEASSSSPSTPTRIPRLKGRYVSI >Sspon.08G0008580-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:32136237:32151959:-1 gene:Sspon.08G0008580-1A transcript:Sspon.08G0008580-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFTFVDGHNRLQIHPKFLHSNATSHKWPFGDNGGGMSPKSLRHCMSFGFSQKCTTSSIGQYGNGFKTSTMRLGADAIVFTCTKDDRRLTRSIGLLSYTFLMRSNCSDIFVPAVDYELDASSSTFKRKMNCGEKHFLSNLSTLLKWCPFSTEDELLNQFSDMECHGTKIFVFNLWLNDALEMELDFITDKEDILISGAPEIRAGRNTVESLTQMHVANRFRYSLRVYASMLYLHVPENFQIILCGRAVEPHYVVNDLIYRECIKYRPQVEVTTEVDVITTIGFLKGAPRLDIYGFSVYHKNRLILPYWCAGSCSGRRRGIAGVLEANFIRPTHDKQDFERTGLFQRLETRLKDMAKETYHCHMVGYNPVMKRPPPAHYVSTTAENRDDNLAAQSTTKTHGYNSRARNYANKESLLQRFTGDLKKGGTPMFILIDLKAIMAQRCSELEEAGKQLASKLFRLLVT >Sspon.01G0001090-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:3502757:3505928:1 gene:Sspon.01G0001090-1A transcript:Sspon.01G0001090-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKPSRIALLFHHVYRKSVKLSPQSIKVNMSDLLENICKLEYDRRKKNIEELETHDGVSKGKYTIGLGQESMAFCTEVEDVISMSLTVVKSLLKNYNIDPKSIGRLEVGSETVIDKSKSIKTWLMQIFEESGNTDIEGVDSSNACYGGTAALFNCVNWVESNSWDGRYGLVVCTDSAVYAEGPARPTGGAAAIAMLIGPNAPISFESKYRGSYMAHVYDFYKPDLASEYPVVDGKLSQTCYLMALDSCYNVFCKKYEKHEGKQFSIFDADYVVFHSPYNKLVQKSFARLYYNDFLRNCSTVDEESRGKLVPYAGLSSEESYQSRDLEKASQQVAKNLYESKVQPTTLIPKQVGNMYTASLYAALASIIHNRHETLAGQRIVMFSYGSGLTSTMFSFKINEGQHPFSLLNIANIMDVSKKLKARHVVPPKKFVEALKLMEHRYGAKDFVTSQDTSLLSAGTYYLTHVDSMYRRFYDVKGDAVTAAMSNGH >Sspon.07G0009290-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:26192146:26195428:1 gene:Sspon.07G0009290-1A transcript:Sspon.07G0009290-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLPIALVVGIAAGGATLLAAAAVLLALWCAARRRARRNRNSDTGSSDPSTLVEWGKGGRSSLAPEPEHQVARQFSLEDLVQATKNFSDANIVGAGSFGLVYMGLLLDGTIVAIKRRVGAATQVFADEVRWLSEIRHRNIVTLIGYCQEGGLQMLVYEYLPNGSVCGHLYDTRKGSATRLEFKQRLSIAIGAAKGLNHLHSLDPPLIHKDFKTNNVLVDENFIAKVADAGLVRLIRGSDDAGPSHGFSNSIYQDPEVQSMTQFSESSDVYSFGVFLLELITGREAASLMPTDSREYLAHWMEAHFSSNELIDPRLAGNFTAEGMKELVGLAFQCLNPSSRRRPRMRIVATELDRILETEMSMTTFMGDGTAIVTLGSQLFTTRTE >Sspon.01G0058500-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1D:29537311:29537739:1 gene:Sspon.01G0058500-1D transcript:Sspon.01G0058500-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPFLHARPPSSLLQREHSHRPPQPWPSPPLVRELEGATCPLDLEGAACHPEMRPHAVTVSMAAAVSNERTWLAAAGPPSPAPLCLSSSRRCSSSISQRPTPWTEVWTAAAAMAQCAARRRRKYSYLWAPLYWGRCNSPSI >Sspon.01G0014410-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:41656851:41675696:1 gene:Sspon.01G0014410-2C transcript:Sspon.01G0014410-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQQQAASVLQSFPFRAAVLALCVALLPLLPAQAPDVGAGGGAGQAFLAKAWELLHLLFVGIAVSYGLFSRKNSADDDGRAGAAAAEKDAAAGPEPTKADARYAWRMFRDSIAPFDDDDDDGDVLVPDSPPDGGGGGGGRASSWSALHRPAEPVVVVSTGGGGVRIGQAADAQAPLSLPVRTLKPQPAQDATAGDVETRRSSRDSAAGCACDETVLPSPIPWRSLSGRLDARRPASPSPSPSPKRLSPVSSLSRETLAKASEEEYYAKAKRRSPYRSSSITSSPPAPPPPPPPFLVHGYHPATERRSAAAKSFKEELEHHSMRGRGKDHYSPKTSSVSISAYNSSNSSPAKPRSSFDGGSSSSSVSVGKSVRTFRAREPAAFQDQSQELPDDAAMRIEELPGDPGGGRGGEGGEDELLLPRREDGDAAEVESGVVMRVAVDAKRAAVGVGARMLFYPTLVYNVVRNRFEEHFHWWDQIDEHVLLGAVPFPSDVLRLKALGVCGVVTLNESYERLVPASLYEAHGIENLVLPTRDYLYAPSFVNLCEAADFIHTAGRLRAAELPVQGGRARALRRAAAAAPRAGARRRGRGRSRPGVPRQGVELLHLLFVGIAVSYGLFSRKNSADDDGRAGAAAAEKDAAAGPEPTKADARYAWRMFRDSIAPFDDDDDDGDVLVPDSPPDGGGGGAADAQAPLSLPVRTLKPQPAQDATAGDVETRRSSRDSAAGCACDETVLPSPIPWRSLSGRLDARRPASPSPSPSPKRLSPVSSLSRETLAKASEEEYYAKAKRRSPYRSSSITSSPPAPPPPPPPFLVHGYHPATERRSAAAKSFKEELEHHSMRGRGKDHYSPKTSSVSISAYNSSNSSPAKPRSSFDGGSSSSSVSVGNSTNGVTDVTTAVAVHHISPDPLPRLREEAPAVSSLDGECGHEAYHGMARKAIDVLGSATTKHQRIPQPGTCRLRLGVASVAAMVVGEGDREEVVAVVELPGDPGGGRGGEGGEDELLLPRREDGDAAEVESGVVMRVAVDAKRAAVGVGARMLFYPTLVYNVVRNRFEEHFHWWDQIDEHVLLGAVPFPSDVLRLKALGVCGVVTLNESYERLVPASLYEAHGIENLVLPTRDYLYAPSFVNLCEAADFIHRNASCGKLTYVHCKAGRGRSTTVVICYLVCQTVFVVLNNNKDKDMLASVI >Sspon.04G0014050-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:51784335:51789288:-1 gene:Sspon.04G0014050-1A transcript:Sspon.04G0014050-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCIGSLLRALVLRAAASAGRRRGPRILCGRAADARVTPRHGHGWRGFRAVTGRMMLDSSDSASAAAAAAAEAGAGAVAGQVQPQRRAVGAVPQAHDGGYASGGWEREDGKLSCGYSSFRGKRATMEDFYDVKLTEIDGQAVSLFGVFDGHGGSRAAEYLREHLFENLLKHPDFLTDTKLAISETYQKTDTDFLESEASAFRDDGSTASTAVLVGDHLYVANVGDSRAVISKAGKAMALSEDHKPNRIDERKRIENAGGIVIWAGTWRVGGVLAMSRAFGNRLLKPYVVAEPEIQEEQVNGELECLVLASDGLWDVVENEEAVSLGKSEDAPESAARKLTEIAYSRGSADNITCIVVQFHHDKTG >Sspon.02G0025890-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:89977859:89982665:-1 gene:Sspon.02G0025890-1A transcript:Sspon.02G0025890-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRLPTPAAPAPAAPAAAATTAGGAAAAAAAALTAQPADAVYLLGAGLLPAGVQPLFQQHPPLVPGATSLPATAADASPAAVFQAPPRAGPGVLWPDLAHAAALAGGGPPTPVPTTWQAIAGAAGAGGPRVANPRVNATSLCPTPPLAYSFGTTANPNWIADSGATFHTTPDASLLSSLRPPHPSCPSSIMVANGTCIPVSSVGTASSHGSFHISDVLVAPGMVHNLLSIRRFTTDNSCSVEFDPSGLTVRDLASRAPLLRCNSTGPLYTLQFPASASPSSPSSAAFAATPSSTTWHRRLGHPGRDALMQLRRSSDIRCSQAHDDHLCHACQLGRHVRLPFQSSSSHASRAFDLIHCDLWTSPVLSMSGYKYYLVVVDDFSHYSWTFPLRAKSEAFPTLSNLFAWVSTQFGLTIKAVQCDNGREFDNSTSRAFFLSSGVHLRMSCPYTSSQNGKAERMIRTTNDIMRTLLLQASLPARFWAECLHTSTYLLNRLPSTACPAPTPHHALFGTPPRYDHLRVFGCACYPNTAATAPHKLAPRSTLCVFLGYSPDHKGYRCFDLTSRRVLISRHVVFDESAFPYPPPLHPHLPLTLRRLPSFPLTRRFRHPSSHTLQVLLRRALLVVPRRPRTFQCLTRSRQPRSFHPRSLWLRCLPLSRMLPFRSRDRAHRHHLRPGASDSSTSAGGSRVRPHLLPAFRDRLRAPAQASRPQALTAAACEPEISPVPSSVRAALADPHWRRAMDEEYAALLANQTWDLVPRPPGANIVTGKWIWTHKRRADGSLERYKARWVLRGFTQRPGIDYAETFSPVVKPATVRTVLSLALERSWPVHQLDVKNAFLHGTLTETVYCSQPAGFVDGSRPDFVCRLNKSLYGLKQAPRAWYSRFATFLQTLGFTEAKSDTSLFIYHSGGETAYLLLYVDDIVLTASSESLLHRIIASLQKEFAMKDLGVLHHFLGVTVEPRPSGLFLHQRQFTCDILERAGMTACQSCSTPVDTQGKLSEAGGPMLGPADSTAYRSLAGALQYLTFTRPDITYAVQQLCLYMHAPREPHLAAMKRLLRYLRGTLGYGLLLGRSSTAELVVYTDADWAGCPDTRRSTSGYAVFLGGNLVSWSSKRQPVVSRSSAEAEYRAVANGVAEAAWLRQLLAELHRPLARSTLVYCDNVSAVYLSTNPVQHQRTKHVEIDLHFVRDRVAIGDVRVLHVPTTSQFADIFTKGLPSSTFSEFRSSLNVSSG >Sspon.06G0027550-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:85956040:85971784:-1 gene:Sspon.06G0027550-2D transcript:Sspon.06G0027550-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFDPPAAALAGDELRRLLAATLSPDKASVDAAAAGLDALAADPRFPSPSSPSPQFRQVVEKDFVKDNLWPELTPQLKLVIQSSNLVSPGQHPEWNTINALKVLQSVVRPFQYFLNPKVAKEPVPQQLEQIAAEILVPLQVTFHHFSDKVLSSPDGLTWTMSSSYSSHANACISLIAILGLSLPFWFLNPLVASLCIDTCGAALQLINFVACMLLSANFLSWVSNCYTPADGPTMRLKIAKRCLIIFCALVTRHRKHADNQMQHVVNCAIKISKQSIHLSGWRLVSPHFSSLLDSAIFPALALNEKDIAEWEEDTDEYMQKNLPSELDDISGWTEDLFTARKSAINLLGVIALSKGPPVASAASKRKKGDKSKGKSERSSIGELLVIPFLSKFPIPSHGEDASSMAVRNYFGVLMAYGGLQDFLTEKKDLTITLIRNRILPLYSLDQCSPYLISTANWVIGQLAICLPEDMSTSIYQSLMKALTMEDVEDITCYPVCASASGAIAELIENSYAPSDWLILLQVVVKRISTGDENESALLFKLLGTIVEGGQEKVLSHIPEIVSNIGNTVMELLPPVPDPWPQVVEQGFAALVAMVQAWESSAPDENRTHEKRVWQSGQSAIAQTISLLLQKAWLLQVDNMENIGSALPPPSCVNDASVLLEFVMSSVTCMEETASMKVFELVAIWADTIANWDSWEEMEDQGVFNAIKEAVNFHQRFDLDGFFLKMLPSQTENASQSSVIGRVSNFVTRAIAAYPSATWRACSCIHTLLHAPNFSLGTQDARKTIAESFALAAFSRFKSISDSPAGLWKPLLLAISSCYICYPDAIEQVLNNFDGNGFAIWTSALAQVSSSSFNPGLSSESEIKLADVLSLVVFSYRRTGKDSGCILPVPWSTLYRLPLGTNSKTSMGTSVSRQHPTRRTSGALDGNLLGITSDPYVDLSKGTFSNGVFVAVCDFLQLTLREDVDAHAL >Sspon.07G0017070-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:64689469:64694271:-1 gene:Sspon.07G0017070-2B transcript:Sspon.07G0017070-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHLVHLGPVLYQCAAHVSEGSIGKTNDSLSEIKRLSSIVDGPLQRLSQIMADSLARRLLLSCEGLTGSLIHPSDYFEQSSIQSARYNFASLSPYLNTGFATINRAILESMEVEKVIFYQDYIHTYELLMTIVFQVVRIIDLSCSASHPRQWLKLLHGFHGRPGGPPEVRLTVVHDDNDFLANMKALLSKEADMLKIPFQFNDVNGRLETLDFSNLRDVLGIKYGEAIAVSCSLQMHRLLVVDDNVSCSAIDQLQKMANAAQLKQMASSVYSPASILNYPRTPSPLLLARFLNAIYTLKPNIMLVMEQDANHNALLFSDRFVEALNYYAALFDSFNAMAAANSQWANERTQVERMILGEEIKNILLCEGVNRHERHERLSQWEMYMDASGFHHVPLSFDAIREGELKLLSFGLNGCQYHVESDSLLLGWSSTRFRSLSGLGMEMFQEDTLSSATSSPASSLYTPSPHGHGGLGSSWVQELSHDQQGLRLINLLYQCAAEVAAGAFDRANFYLEQITQLASLDAPHTLQRLAAVFADALARKLLNLVPGLSRALLSTGGNSAEAHLVPAARRHLFDVLPFMKLAYLTTNHAILEAMEGERFVHVVDLSGPAANPVQWIALFHAFRGRRGGPPHLRVTAVHDGREFLANMAAVLAKEAEAFDIPFQFSAVEARLEELDTDALRHVLCVRSGEALAVSVVGQLHRLLAADDAGGRNSRHVPGSSCLTPVQIIARSSPSSFGELLEREINTRLQLSPDSSAVLSMSPQSSSLSPAQQQQQREKAKLGSFLRAVRALSPKIMVVAEPEANHNAATFLERFEEALNYYASLFDCLERASAAQAHRCAGERARAERLVLGEEIRGVVAREGAERKERHERLAQWARRMEAAGMERVGLSYAGMMEARKLLQSCGWGGGDYAYQVAHDARGEAFFFCWHRKPLYSVSAWRPAAPSCRHT >Sspon.06G0018280-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:81942780:81945034:1 gene:Sspon.06G0018280-2B transcript:Sspon.06G0018280-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMNQQKFEKELSHFQLGNSKKGVLSNGVHVAIKTRRGEDTSVATMDPEYFCTNIISTKSDVYAFGVTLLEIITALPATYASERQASLVGYFMTFAGMEIMVTGQSSGAY >Sspon.04G0017330-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:62821050:62826109:1 gene:Sspon.04G0017330-1A transcript:Sspon.04G0017330-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHLQLTALAGGADDEMEEVALLGSYDEEAGVRPEGEDQAEAGMRRVQVRVTGMTCSACTGAVEAALSARRGVRRAAVSLLQNRAHVVFDPALAKDDDIVQAIEDAGFEAEILPDSTVSQPKSHKTLSGVKRAVVALATSLGEVEYDPSAISKDEIVQAIEDAGFDAALLQSSEQDKVLLSVTGLHFAGDVDVLHDILKKMEGLRQFGVNFANSEVDIVFDPEVVGLRQIVDTIEMESNNRLKAHVQNPYIRAASNDAQEASKTLHLLRFSLFLSYLEVLAKGKTSDAIKKLVELAPATALLLLKDKEGKYSGEKEIDASLVQPGDALKVLPGSKVPADGIVIWGTSHVNESMMSKAPIQKFADYVASIFVPIVITLSFLTFLAWFLCGWLGAYPNSWSAESSNCFVFSLMFSISVVVIACPCALGLATPTAVMVATGVGASHGVLVKGGDALERAQNVKYVIFDKTGTLTQGKATVTTAKIFSGMDLGDFLTLVASAEASSEHPLAKAILDYAFHFHFFGKLPSAKDSINRRKEEILSQWLLEVADFSALPGKGIQCWINGKKILVGNRAFITENGVNIPVEAECFLVDMELNAKTGILVAYDGDFIGLIGITDPLKREAAVVIQGLKKMGVHPVMVTGDNWRTARAVAKEVGIDDVRAEVMPAGKTDVIRSLQKDGSVVAMVGDGINDSPALAAADVGMAIGAGTDIAIEAADYVLVRNNLEDVITAIDLSRKTFSRIRWNYFFAMAYNVIAIPVAAGALFPFTGLQMPPWLAGACMAFSSVSVVCSSLLLRRYRKPRLTTVLQITVE >Sspon.01G0015760-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:46752493:46753622:1 gene:Sspon.01G0015760-1A transcript:Sspon.01G0015760-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTAAQMTAQVAVQAAAVWAALRVAAAAAVWATAAAAQQQAAAVAQAAGGTAGSRRPEGERERKRRREKRREARRRDKAEYGKYIMVPKIRQSYNPDTQSMNSPSLENLTLKLRGVGHTHQRFIGELEERSFSCEHLDSVDIVCWGVQEHDPVLDNLVELLTENGIEPDEIHISISL >Sspon.02G0000150-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:4898265:4900296:1 gene:Sspon.02G0000150-1P transcript:Sspon.02G0000150-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAADAASGKEAPAPACESCTSLPAVVYFRADSAMLCLPCDRHVHGANGVSTRHVRAPLCAGCRAAAAAAATACGSFLCENCHFGSEKDERHPDGGDPQPLHHDRGAVEGYAGCPSIAELAAILGVAGYDEKAAAAAGDGGWWPASAWEEPQVLRLEDVIVPTTSCHSLQPLLTPPSPKNLSSGGEMADEVVRQLGELAKLEEAVAAAFAEMEPADGEQLPPWTSPEVGIGHADFGALDADAAWHDAATIAAVPSTEEQEAWIATGCDVDACRTEVEEAREHAALAPAPAPADPCLSSFVEMSEICTPSVTALNHGGIGGTADVDNSGKTDAGTAPRPQLATTAPTPLVPEPVMEKKGGYDVAYPDRGTVISRYKEKRKNRRFDKQIRYESRKARADGRMRIKGRFAKSGE >Sspon.06G0030390-2D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6D:41863701:41864276:1 gene:Sspon.06G0030390-2D transcript:Sspon.06G0030390-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MESHLDVDARQTEESRTRTGRAHEQLRGQRPYNFRRRHRSGALEWAAAVLFTVLAVVVLVGAVSILVVVLLLQPRAPYVAVRAASLDRLVYDQLGALDDVQLSLRVEARNDNAHSAATFSRLECRLAFAGATLAVLRADTFRVPARGALPLAYVARAQGAPLGAAGSAAMEAALRDGVVPFGVEGEARTSWK >Sspon.02G0009540-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:25206802:25213242:-1 gene:Sspon.02G0009540-2B transcript:Sspon.02G0009540-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MENAAANPSAAAAAAAAAAAGNGVQASGAGGERPEDASKQNLAQVTSSIQKTLGLLHQLNLTVSSFNSASQLPLLQRLNALVAELDTMQKLAEGCNIQVPMEVVNLIDDGKNPDEFTRDVLNSCIAKNQITKGKTDAFKSLRKHLLEELEQAFPEDVEAYREIRATSAAEAKRLAQSQGTLPNGDVKVKAEH >Sspon.07G0000420-4D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7D:572576:573661:1 gene:Sspon.07G0000420-4D transcript:Sspon.07G0000420-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLCREGRVKDAVELLAKGAHADPPAFYELATACSNPKLLEELRKVHDFFLRSPFRGDLRVNNKLLEMYAKCAAMPHARRTFDNMPDRDMDSWHIMIDGYSVNGLGDEALRLFELMKECMAPTSQTYLLVLNACANSEAIEEALLYFDAMSRDHGIEPGVEHYVGIIEVLGKSGHLNEALEFIEKLPFEPNAMVWESLLNLARMNGDIDLEDRAEELLVSLDPSKANPKKLPTPPPKRRLGINMLDGRNKLVEYRLPPKIEKKVVNEQRYVPDTRYVLHDIDQEAKEQALLYHSERLAIAYGLISTPARTPLRIIKNLRICGDCHNAIKIMSRIVGRELIVRDNKRFHHFKDGKCSCGDYW >Sspon.07G0031860-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:34527878:34531964:1 gene:Sspon.07G0031860-1C transcript:Sspon.07G0031860-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSATGSCMHAPWAKSWMPAWFVCGWVRGSVCGRLMAMAANGSVVVGGGGATVGEAKMGPTEGCGEGDNVGIKTAKAKRCCEKSHEMKEGLRLREAPVNNSQGNFLASDPWQSIPENGARESSLHTTISPPHAEREILPSCAHALYRCSARREPSMDTYKAPNKAKPDHADADDSDELGVFAAERYFYGDDALWPWPCERSSSSLSSATTGPSRRRRPSLAPAPLCCPMSQQRSCALSLSPPPPRSSKRGLRPASSSDLRRWLLGVAAFRGVSERRRSGNERAVSGNRDPGGHRSEIEWLVGGDKTTLAGMDGHRRATNKGEVSTPILHPQPQAAATSDSDERRRIKSLEMFRPVQDPTQRSAFTIVAGNTALGGDTPRAASSGGGSPGEDDAAPSELGCAYPPSEASVVWSVVTADGAASGNFSSAASGYYYHYCFNDVDEGAMRRAAARNTHKRRSGIPSGSSLLMCMSEKAVDAVGPARSVHRQEVQPAAVATLGASGGSRNSHGAYKPQDVIRRRALELCSVDRAASHQARLPLFRVSSPLKHSFTPMHTA >Sspon.01G0017780-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:65842614:65853925:1 gene:Sspon.01G0017780-1A transcript:Sspon.01G0017780-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VEYIFSDKTGTLTRNLMEFFKCSIGGEMYGTGITEIEKGGAERAGIKIDDDEGKRSANAVHEKGFNFDDARIMRGAWRNEPNPEACKEFFRCLAICHTVLPEGEETPERISYQAASPDEAALVAAAKNFGFFFYRRTPTTVMVRESHVERMGSIQDVPYEILNVLEFNSTRKRQSVGADNVVYERLADGNHDMKKISREHLEQFGSAGLRTLCLAYRDLSREQYESWNEKFVQAKSSLRDRDKKLDEVAELIEKDLVLVGCTAIEDKLQEGVPTCIETLSAAGIKIWVLTGDKMETAINIAYACSLVNNDTKQFIISSETDAIREAEDRGDPVEIARVIKDSVKQSLKSFHEEAQHSLTSTPERKLALIIDGRCLMYALDPTLRVDLLGLSLSCHSVICCRVSPLQKAQFIISSETDAIREAEDRGDPVEIARVIKDSVKQSLKSFHEEAQHSLTSTPERKLALIIDGRCLMYALDPTLRVDLLGLSLSCHSVICCRVSPLQKAQVTSLVRKGAQKITLSIGDGANDVSMIQAAHVGIGISGQEGMQAVMASDFAIAQFRFLTDLLLVHGRWSYLRLCKVITYFFYKNLTFTLTQFWFTFQTGFSGQRFYDDWFQSLYNVIFTALPVIIVGLFDKDVSASLSKKYPQLYKEGIRNSFFTWRVIAVWGFFAFYQSIVFFYFTAAASRHGHGSSGKILGLWDVSTMAFTCVVVTVNLRLLMACNSITRWHYISVAGSIVAWFMFIFIYSAIMTSFDRQENVYFVIYVLMSTFFFYLTILLVPIIALFGDFLYLSIQRWLFPYDYQIIQEQHKDEPHEYSRVQLPETSHLSPEEARSYMISMLPRESSKHTGFAFDSPGYESFFASQQGVGVPHKPWDVARRASMKQQRAGKS >Sspon.01G0045760-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:92882835:92883628:1 gene:Sspon.01G0045760-1B transcript:Sspon.01G0045760-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding YDDLFKLVRDLESVVADHWMEEEWTIEFKRSISVREFDRWVEIKGELGNVIMDGETPDCIVWGLEKKGHFSTKSLYNFITDGGVASRVAGYLWKCFTWAIWRNRNKMAIEHKFPKSPSDIIYIALSFMQKWSVLQRILQVKDEIICWMKSFEPSLLMTTDIGEI >Sspon.03G0042880-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:40105859:40106106:-1 gene:Sspon.03G0042880-2D transcript:Sspon.03G0042880-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTHLCFEKNLFPRLKQLIIDNAPNLDELRFDGGAPNLESLTLAFEREPANGIFGIENLPKLMEVEFFGNDS >Sspon.06G0002930-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:7688613:7697749:-1 gene:Sspon.06G0002930-2B transcript:Sspon.06G0002930-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VPPAAASVGRTDGGSHSRRRRERRGVPADGLVGGGGEFPEAVAVTVPAAAAAMYFAKLDDSPMFRTQIQSLEESAELLRERCLKFHKGCRKYTEGLGEAYDGDIAFASSLETFGGGHNDPISVAFGGPVMTKFTIALREIGTYKEVLRSQVEHMLNDRLLNFVDIDLHDVKDAHKRFDKASLSYDQIREKYLSLKKGTRPDITTAIEDELHSARSSFEQARFNLVTSLSHVEAKKRFEFLEAVSATMDSHLRYFKQGYELLHQMEPYINQVLAYAQQSRERANKEQASLVERMHEYKRQIDRESRSSINGLNDSYNGDGIQTIGRSSHKQIEAVMQSTSKGKVQTIRQGYLSKRSSNLRADWKRRFFVLDSRGMLYYYRKQITRPPAGCSMQRSVNPPEHGSGLLSRLFSSHYHGIMHDEKSVARHTVNLLTSTIKVDAEQSDLRFCFRIISPTKIYTLQAESAVDQMDWIEKITGVIASLLSSQSPEQCFMSSPKGSGHDRSTSDASSFTSSVEFEPSVIDDLVLEKNSGNGQHDVRGTHHHRTSMKPEKPIDLLRKVDGNNMCADCGASEPDWASLNLGALLCIECSGVHRNLGVHISKVRSLTLDVRVWEPSVINLFQSLGNMFVNNIWEEMLSDDNSSADGSDTSQYLSVSKPKHKDVFSAKEKFIHAKYVDKEFIRNHGMDENQLAQQMWNSVAANDKKAAYSLIVRSRANVNLVYGEMPSSPFLTLGKALQQEQPASPHGGSPRFFDCNSHDKISPREPLSPASTSSRTDDMEDSCEGLSLLHLACRVADVGMVELLLQYGASVNMNDSRGRTPLHHCILKGRHRHAKLLLSRGADSQAMDRDGRTALQYAIDGGTSDEDILVLLEDHSR >Sspon.08G0018460-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:7714306:7715144:-1 gene:Sspon.08G0018460-2C transcript:Sspon.08G0018460-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLCFSVQSTISGGQTIVSKGGSFELGFFRTAGATATNKSSHGNYYVGIWYKKLVSRCTLVWVANRPPPRSPTPRRPGSLVWTATSCSSTKPGSSSSPRTTVAVIFDTAHRQPRPLSWRRRRRGPVAKHGQNKATGEVQTLAAWTPPPPATSPTRSRTQRSSPGSSWTCLARCGSSCGCRRNAPLRCGIGNNVSANGDGDDGDAFLLMPSPPRNPSCGGCALWYGDLLNLQRLADDTTDGNDLYVCLSAAVPWTTTYYLQMAARKQSP >Sspon.06G0003690-3P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:22274832:22275836:1 gene:Sspon.06G0003690-3P transcript:Sspon.06G0003690-3P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MESRAPGRGDEGTKALYLAPGNGSAAGTVAVGAMLSIQAGGSGLPKPEPVPVEFLGGGGGLQVSNPRPPPRYRDRHTKVEGRGRRIRMAAACADRVARLTRDLGHKSDGETIRWLLQQSEPAIIAATGTGTVPAIAVTGADGVLRLPAEPAPADAGGEQQQQQQQQQEPAAKRRRKLQPTRGAGAGPGPGPVAPAPAAVYYPVVADPLLQANGGGSISVSSGLVPASAPAAPAGAIPFIAIPAPSPGATGEGKQMIPPAAAMWMVPQQAGTANQPTHYWAFPTNPEFLNFQQQAVYDGEQLVGDGESQGQQTGGYQPREGEDEEIAVTDSSSEE >Sspon.05G0003780-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:5C:3883675:3884628:1 gene:Sspon.05G0003780-2C transcript:Sspon.05G0003780-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SNLARQIPELNKGLVVNDWNRGYSIYRAGEDSFRDADADADADPDAPRPAASLLVRIVAQHAYSRSFASHGTKILAMYPAESSPGIPAFDTEALAMTVCPSPMSRGDCGTKHLYASAGGRLWAFVYPFLEVLGPEPPATEQSWSWASVESMPPFDSSRVSGYAVHPDGRTIFVSVRGYKLNPGLILPNRGDLSSTFTFDVESLEWTHVGDWILPFRGQAYYDHELDAWVGLCIHKEGTGHVCCTDVPPATGCATMPAWKLGVEVFFDKSSERHLGATLVYMDNSRFCLVESRMAKDDDFYPRLRVVKMTSFLLKYGKE >Sspon.03G0004570-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:11658839:11661213:-1 gene:Sspon.03G0004570-1A transcript:Sspon.03G0004570-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGRRQELHSPPTHAAPPLSFSLPRALPRKTTKGKGDSLSPTPLLHKTARIPIRVLLVGDDATVPRGEPATQRRGLGSPEGWTPRSAATSSVSFRFAFERKTPPPCEATRAGICSTSRVRHPELENFVAHDAEVRSLSIGKKSSRVFITGGNDRKVNLWAIGKQTPLLTLSGHTSAVEAVQFDSAEVLVLAGSSNGSIKLWDLEEAKVVRSLAGHRSSCTAVEFHPFGEFFASGSSDTDLKIWDIKKKGCIHTYKGHRGAIKKIRFTPDGRWVVTGGEDNIVKVWDLTAGKLLHDFKFHSGQINCIDFHPQEFLLATGSADRTVKFWDLETFELIGSSGPE >Sspon.05G0001400-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:3432221:3434490:1 gene:Sspon.05G0001400-2D transcript:Sspon.05G0001400-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKRILKELKDLQKDPPTSCSAGPVGEDMFHWQATIMGPSDSPFAGGVFLVNIHFPPDYPFKPPKVSFRTKVFHPNINSNGSICLDILKEQWSPALTISKVLLSICSLLTDPNPDDPLVPEIAHMYKTDRAKYESTARSWTQKYAMG >Sspon.08G0008250-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:30241131:30243072:-1 gene:Sspon.08G0008250-1A transcript:Sspon.08G0008250-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELFGPCSPMWRSPTPVILPSLAEACTSIGSLVREETCATSADSITCEKIIEQAIAVPLEPSTVQLIERTLLDAVTPVEDVLVEDTSDDKEVVSHVTVDPVFLITIKDTPTLSTAKVNTEAPQQMEDPPIKATSSSSATVRMEKDVACVLKDFALPTCPSCHDNKTTTVHLAQRSVLKDLGIVGKDGKLDDVAVQDVVDCLKELLPLDLLKSLMGYRSLVFEITSQRLLPLQSSYLLFHEDFKF >Sspon.01G0052510-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:23666748:23675058:1 gene:Sspon.01G0052510-1C transcript:Sspon.01G0052510-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMESPTTAAGDELESYLSLGLTVSHTQKDDAEFPKVLLLLSAYLDKKVQQNEELLDSSKIKESTTIFHGQRVPELSIKLYAERIFKYAKCSPSCFVLGLIYMERYLQQPNIYMTSFSVHRLLIASVVVAAKFIDDAFFNNAYYGRVGGITTREMNMLELDLLFSLDFRLKVDIETFGSYCLQLEKEALVLVLERPIQVQAAKVTKHLICNSSADETCKHELVRERYSGQAVQGCSW >Sspon.01G0056810-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:93424706:93424978:-1 gene:Sspon.01G0056810-1C transcript:Sspon.01G0056810-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGEEERTAGRKRRAPERHGNMLPFAPKAPQHEQKKARCSRTVVLPHRLALQYAKLTTHPIFLSSYPMEMESPPWSPVPSAAQLFARRVI >Sspon.03G0023430-3D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3D:56364789:56366392:1 gene:Sspon.03G0023430-3D transcript:Sspon.03G0023430-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLH >Sspon.02G0036510-3D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2D:21296709:21305291:-1 gene:Sspon.02G0036510-3D transcript:Sspon.02G0036510-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGECFSFRALFVWASCQLLYLKSSKLKPTASFSNHLSQNLAILSAGNPTWGNYTLTIPFSSTTSNYSFHLTNVYAPSNHNETSAFLAELRNIQPPTSCPWLAVGDYNLTRSPTDKNTAGFNWALANRFNRIIDELALIELPLLDRLYIWSNKRDSPTLARLDRDFINTEFCYRFPNSSLSSRLGTPSDHIPLLVTVLTSLPKPTSFCFENAWLQKNNFLPTVLPAWSHCWVGVVDASGVVVTRIKAVRHAAKAWARKNRSPPAIYHNYSFLILLFDMFEEWRNLSVDNGAHKLLHRHPRERGHHVLGFDLQALYSGAEQAEGDPLIAPFTEGEAKKAVRGMNAASVPGPDSLGPSFYAAAWDTTKGAVMEFLQAFHAKSADMERINRALIVLIPKTTPALTPNAFRPVSLQNCLIKILAKILTFRLQQQVPRRIDIDQTGFIKGRSISENFVYATELVQSCYKRKTPTLVIKLNFAKAFDSVNWDSLNTVLQARGFPELWCRWMSQLLTTSRSVVLINGIPGPWICCRRGLRQGDALSPYLFLLVADVLQKLIKADDGIRHPLMEGPCPVLQYADDTIILVRGDSGDATRLKQKLDMFSAATGLVINFNKSTVTPMHVDSEAFQNMAQILQCREGSFPQVYLSLPLSNVKLRLSAFAPLIAKADRYLAGWKATLLSIAGHVVLINSVLDGLPTYAVGALMLPPGIKEALDARRRVFLWTAADKVSGAQCLVAWEKVCQPKEEGGLGAIWARSQTCLDSLHGNLAGTHWDTLRDLLLAHRKITRVDIGDGRDTSFWDDVWIGDCPLVEQYPALHSHWSMQGASVREAFASPLATQTQRRLSKQALEELQQLELLQDVSLSDTNDSRSCFFVDNNQRLLSGNITEVRCLWESSAPVVMPKVAHSSLLLLICWELWKHRHDVVFKGMPLDHRRLATACRDSARQWRCRLPKAKDELTTGKAEQNPISSPAGCNILVIRAEKMSPYLLAAGALVVFLYVTKNRRSSKLPPSPPSLPLIGHLQLIGRLAHRSLHELQLRYGGGGGLLFLQLGRRPTLVVSTAAAAADLFKNHDLAFASRPRSVGGDKLMYGCSNVSFAPYGDNWRRGKKIAVVHLLSPRRVESFAPVRAAEVAALVARTRLAAEAGEAVEMRELLYGYTNAVVTRAATGAAGATAEKLKQLMGNSAALMAGFQPEDVLPDAPARFVRWATGLDKKLEDMAAVWDKFLSEIVATHKEKGGGDAGEEDEDFLDVLLRLRKEGADGLELTDDRIKATIEDMIAAATETTSQTLEWTMAELIANPRVMDKLQDEIARVVNADQPAISEPDLNRMEYLKAVFKEVLRLHAPAPLLVPHESTTPAVVQGYEIPAKTALFVNVWAIGRDPAVWDAPDEFRPERFMSGSPSVDFRGTDYQLIPFGAGRRICPGINFALPVLELALVSLLRHFEWELPAGMRLADLDMGEAPGLTTPRRVPLVLVPKCKTLVQAALQ >Sspon.07G0013940-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7A:50168648:50168857:1 gene:Sspon.07G0013940-1A transcript:Sspon.07G0013940-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRLVLGCSCFLCFLGWAGLAAAGGSKNIWPLRGAAAPAGPLVPPVCGWRRTGTKIADGAKRGRSAARS >Sspon.01G0023740-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:84121151:84122995:-1 gene:Sspon.01G0023740-3C transcript:Sspon.01G0023740-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVEEANREAVQSCHRVLALLSLPHDPAQVRSIALGTDEACAKFRKVVSLLSNGGVGVGEAGPSDASGSGSHPRAKLVSRRQNPGFLTQKGFLDTNTSVVVLNSAHPSPTSAQVYPRTAVALDAQGMHPLGGPPKLVQPLSAHFQFGNVPSRYQFPNQQQQQQQKLQAEMFKRSNSGINLKFESTSGTGTMSSARSFLSSLSMDGSVASLDGKSSSFHLIGGPAMSDPVNAQQAPRRRCTGRGEDGTGKCAVTGRCHCSKRSRKLRVKRSIKVPAISNKIADIPPDEYSWRKYGQKPIKGSPHPRGYYKCSSVRGCPARKHVERCVDDSSMLIVTYEGEHNHTRMPTQSAQV >Sspon.02G0023660-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:74594462:74596083:1 gene:Sspon.02G0023660-4D transcript:Sspon.02G0023660-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LQPWTTMCMKADYTTPVDSVTTSEQTGGETEATSEQTGGEAEEPAIVAPANEYTVQEAAPQQKCAKIHDFCLGIPFGGFLFSMGLIGFLFWRSPASLTFGVAPGLAILALAVLSLKVWRSGKSSLPFILAQAGIAAAVAWKHCQAYTTTRKLLPWGFYVALSAAMICFYSYVVLAGGNPPPKKAKAAA >Sspon.03G0015360-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:82936548:82937215:1 gene:Sspon.03G0015360-3C transcript:Sspon.03G0015360-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASETSYAAVGVILSVFVVAAASADPTAAPTAAPSRKYSLEEACKQTAGHQDLCVATLSADPSSKTADTAGLARLAIQAAQRNASETATYLSSIYDDDSLENKTAQLQQCLEDCGERYESAVEQLSDATSAVDTGAYSESEALVVASQAEVKLCQRGCQGVPDHRNVLTARNRDVDQLCSIALTITKLIGGPP >Sspon.01G0045080-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:86900206:86900983:-1 gene:Sspon.01G0045080-1B transcript:Sspon.01G0045080-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RRGTLASLSHHALSLTISSLTPPCREANSLPPEPVDAGTGPSPSHLPDPAVPLERPSLTSPSGGSHPPPPELPSSCGDAALTFRRRSAPSLLVDVRAQIRWRAAGSGGGRLDPTNPDRIRWRSCRWRRCRSGADSSLTLPPSQIRDNMGAAAAWVLWRAPIPLSSAAWVRQQAPIPVSSGSGGLTTRRRSAQIWRMRQQQARLGSLGFFNLFKSINGGGQLHATASIHRLTEAGKNNCL >Sspon.03G0001670-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:20881692:20884243:1 gene:Sspon.03G0001670-1P transcript:Sspon.03G0001670-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRDLEDDGHGAVGGVIAAGGEAPAPEVAVGGGGGEAGDAHDNDVVMPGFRFHPTEEELIEFYLRRKVEGKRFNVELIAFLDLYRFEPWELPAMAVMGGKEWFFYVPRDRKYRNGDRPNRVTASGYWKATGADRMIRGENNRPIGLKKTLVFYSGKAPKGVRSSWIMNEYRLPPPATDADPLIPKSEISLCRVYKRSGIDDGHGQSSSSTQASSARRTSSRTGVPTTTVRHGSLPSSTPLSPTQHLGSFHLLQGEFSSASPPAPIMDHQVVTVHSAPPQLLPHPMPCTYAPVTTMSTAEAAPQSAQAGAATALASTYSLFNMSAGAAPMAGSSRSVDELSTLVGPTPTQAYASLSAATGGHSSQY >Sspon.06G0005870-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:18418224:18424035:-1 gene:Sspon.06G0005870-3C transcript:Sspon.06G0005870-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPPCLLFRPRPPLCSRRRSRSPSLLLSKQSLHRLPSPRTCRRPAVAAMAEQLVPRPPGTGRRMGTTGFACHCSYDTENGLPTPSPDKGKGLDEWPVLRRWDVPWEWPTISLTMVACAVSFLLTGMVGQSILEQLGFQAGETTLDEKAEILFLGQLSTTVVVLGVIFGITSTFRPFSDDIFRYEFKEPLKLQNGWLLWSGIGLFVAIVAIALAGAVMTFLNGETPQRETDSLVLLLPLIGSSNISTACLLAITGILAPILEETVFRGFLMTRNLLTPIAIHAVWNSGVILLLTFLQRTVNDSDIKIATQEGRAFFNTY >Sspon.05G0015710-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:61727132:61730266:-1 gene:Sspon.05G0015710-1A transcript:Sspon.05G0015710-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQRIFWSLLLQRQHSRFTVMMSADSELLKKCRCDFRRAEQVDPDAFGVGITSRWFGPFNAVWNHNDGTCRRLRFRMFVWMLQRQPRPFTGAVRCPLEFGALHFLFVWLELANGRKVWRRDQGLGHKADGGTTGRHHGEFSGEGYGYDLCYLH >Sspon.04G0021390-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:69321631:69325586:1 gene:Sspon.04G0021390-2B transcript:Sspon.04G0021390-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding NERRVRPLRGGGRGGGRHLARRRGVRRVGRARLRRCTPQEPALLRGAAVGAAAVQPAGAVAGPLGADGRAGARRGPGGRLLRRRRPREVRPAHGVHGDASLLGHPRVRRRRGGRGGAGARAAGHQVGHRLLHEGAHGAHRAVGAGGGRRLRPLLLAAARGHDHVAAGLQGGRRPPGVRGRRRDRRRHGRRVRRVPRRRGRALRAPAAPPRAAAVRVRRHLPGPLRRQRRRGQELLPVLQRVPGRAAVGGALAAPRHRPPRLPPLRSRQRRGVRRHRLGRLRVQLGHQVRRPPGPRIPAAGGGEGAAAAAERGGGGGGGAAAVQGGVLRVLVHEPEPRRREAQRRPHPGGAALHPPLEQPPVRLQRGLPPHRLLGRPLLPRPAPPLRRLWRRRWRASRQVRRRRRRAGVRQVPGRLHPGHEPDAHQLPGRVRRGVPAAGAPPLGVERVVPARPRLHRLPAGVRLVVQRAGGEPARPRRRRRRRAQRRGRLQRPPRRVHADRGLHVQHGAHGRGLLQAHAAGGAVAAAGGDRGAGGGSL >Sspon.01G0027460-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:94784589:94785479:1 gene:Sspon.01G0027460-3C transcript:Sspon.01G0027460-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ERRAAAHPQREGRLGRPRGHPRGRAPELRRRGRRPRGRAPQRPRRGQRRDGTPPRIRVGRRERHPLPLALRRVPVQRPRAPACGAAVRADAGRGVPVPRRVPEAAGRIRGRAPRPVRARRGAAWRRGGRDRVAPEPGEPEPVPRRQGAAQGQASRPRCRRALPAAGAARHRARHPTRPHRRRGPAALPREARAHAVVVQDGPLRVLRRLGGDAQQAGRRRQAAPPARPRRRRGEMAAGAAAVGALPAGAADRGALPAGPHRVGRAEGAGRPRRGVPVLEGHREGGRARGAGHQEPRE >Sspon.08G0015730-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:57531873:57533663:1 gene:Sspon.08G0015730-2P transcript:Sspon.08G0015730-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSNRNLSRAEGRRLGNVALIAFMVGSLLLLSLIRAKFSPIGKTGDAIKAEEQQAMAKEMATAHEAAAAAEEEEEETRSKPTDSNSGTSVSGAAIVVGDGHAERASKPVCYESSRRSDTCEAAGDVRVVGRAQTVLVSPLDREWKVKPYCRKHDAFALSHVKEWTLRPVGGDAPPPRCTVNSSATAFVLSTGGFTGNLFHDYTDVLIPAFITARRYAGDVQLLVSSYKPWWTTKYLQVLQQLSRHEVVDADADAEVRCYPRIVVGPTFHRELGVDASLSPSSSMPEFRAMLRDAFGLERAAAAPSGDRWDIRRRPRLLIISRRTSRRLLNERAMVDMATSLGFDVRTGDPEVSTDVGRFARLVNSADVMVGVHGDGLTNMVFLPAGAVLVQVVPYGGLEWLARGTFREPAEGMEVHYLEYVVQKDETTLSEEYGEDDPVIKDPAAIHKQGWDALKAVYLDKQNVRPHLGRLKNTFVQALKLLPHGRQTTDD >Sspon.02G0054300-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:111884019:111884671:-1 gene:Sspon.02G0054300-1C transcript:Sspon.02G0054300-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTPVGQGGGGRSHREAAAVRRVAKLRRWIVKMQSGGRCAASLPLDPRRQSKQMSSNQRLSGTKRFNTCMSPSPPRTPPPIAKAESSTGWPPPQPPRRHQRQQVEPHRRS >Sspon.01G0005180-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:13886624:13889099:1 gene:Sspon.01G0005180-1A transcript:Sspon.01G0005180-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARRDNELDGEELGAEGSNPVSGGATPPPLAATPVVCVLRSAGDFAGGAFIGSVFGYVLSGVQSLVVCLLRRLRGKDDTVNAGIAGCCTGVALSFPGAPQALLQSCATFAAFSCIMEGLNKQQAAMARTLGGTAVTVVREKSGVLPPFTLPPILDASDALASCCLALVKPKH >Sspon.02G0038050-2D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2D:34558855:34559766:-1 gene:Sspon.02G0038050-2D transcript:Sspon.02G0038050-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVEAIRALGLENGSNKTAISDYIKGRYGSSLPVQHNAVLTGHLARMKATGELAFLRNNYLLPDDDDDEEEEASPLPTDGHKDPAAAADAEDDSAGMLDPTSFFLDFDDDELLAPPIVLDADDIAVPASAPVITADVNPVPTKRGRGRPPKPKDPVPEGSSGEPATAPVAGADAAAVPVKRGRGRPPKPKNPVAEDSAPTAASVVTADANAVPVPVKRGRGRPPKPKDPIAVATDWATSGMLSPRGRGRGRPPKKAKVAVEDPSGEPAAAPGVVADASAVPVKHGRGRPPKVRPAVVGKPSES >Sspon.02G0022570-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2B:75087032:75092995:1 gene:Sspon.02G0022570-2B transcript:Sspon.02G0022570-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKCNGLPLAIVTIGGFLAKQPKTPMQWRKLSEHISAELEMNPKMGIIRAILMKSYDGLPYHLKSCFLYLSIFPEDYNISRRRLVHRWNVEGYSSDVRGMSMREIADSYFTELIERSMVLPSVESVWSIGSRKGISPCKLHDLMREISISKAMEEILVFRMEEGCSLNTQGTIRHLAISSNWEGDQSEFESTMELSRIRSLTVFGKWKPFYISDKMKLLRVLDMESTSGLVDHHLEPIGKLLHLKYLSLRECYDIFHLPESLGNLKQLETLDVTNTRIIKLPQAITKLGKLQHIRARRSYNEVFGASSYEELMKNFPKLIRNKLCLWTLILICFCFSSCNLKVGKSAFEIKDDDPINSRDVCTFFCCAMFPFLARLTDPIEVAVPRGLRKLKALHTLGVVNIARGGKAILQEIKRLTRLRKLAVTVINKKNCQEFCSTLAHLSSLESLSVHSEEEEGLRDCLDSLRTPPENLQSLKLYGSLGKLPEWVAGLQNLVKLKLESTY >Sspon.04G0002130-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:6642141:6645119:1 gene:Sspon.04G0002130-1A transcript:Sspon.04G0002130-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLARLASATFGRLWTEVEERHACNILMLAAATVIPPFENISKMLAESMALRKDGGHTREPAEQSYSEENRPDIQPDSVCKKLGSKYACIPDAELKDHPDFYEDLLSAFEKSLGFRLQKHAKKGSTAALTITIQQQRSPGSGNTFLIPQPHHTTKTHHFHHSMTG >Sspon.07G0010090-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7C:26687973:26688938:1 gene:Sspon.07G0010090-2C transcript:Sspon.07G0010090-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MWSAASAAVASAVSSVAHSAEVPVKGSELVASVPVSAEMPKGGMDTLVPSAPYLPEVERLILELLSLPAVPMKPGSDCAMCKEFLPTDVLLILPVCSHMFHQSCIISWLRRTTPSCCPSCHASITIPGSNKTKVAPTFCSVEYDIESQMLMPTSPGEAVAEAVGGSHGWLRSSLDRLSGSWRGCSSNRATAAVVPVSSWRTTGSWSQGSSGRLDNDSDCAKVQKPLPVPDGKEVPEAVRGSIGWLRSLATLSGSWSGCSSSCSSEMGLPVTSRHVTETLASSVHSETDTWSRRWDLEAATLTPERPSLYGYARWVFRRSGK >Sspon.04G0017680-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:64436801:64440585:-1 gene:Sspon.04G0017680-1A transcript:Sspon.04G0017680-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWRRGGGGDGGVSRRWAVLLCVGSFCLGLLFTNRMWTLPEASEIARPNANVEEGNVPVAAECGSKKVQEKQDYRDILQVQDSHHDVQTLDKTIAGLEAELSAARSLQESLLNGSPVAEEFKVSESIGRRKYLMVIGEKRKKLEEEKGIIIRFVIGHSAISGGIVDRAIEAEDRKHGDFMRIDHVEGYLALSGKTKTYFATAVSLWDADFYVKVDDDVHVNIATLGQILSKHALKPRVYIGCMKSGPVLSEKGVRYYEPEHWKFGESGNKYFRHATDCEWKAQAGNICAASFDWRCSGICNSEGRIWEVHNKCAEGEKALWNATF >Sspon.01G0018130-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:68768187:68781090:1 gene:Sspon.01G0018130-3C transcript:Sspon.01G0018130-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Short integuments 2, mitochondrial [Source:Projected from Arabidopsis thaliana (AT2G41670) UniProtKB/Swiss-Prot;Acc:Q8L607] MRGLTRAAKRAGEMAFNAGGGAVNWFPGHMAAASRAIRDRLKLADLVIEVRDARIPLSSANEDLQPVLSAKRRILALNKKDLANPNIMNRWLNHFESCKQDCISVNAHSCSSVNQLLGFAELKLKEAISKEPTLLIMLVGVPNVGKSALINSIHRIATSRFPVKDKNKRATVGPLPGVTQDIAGYKIATQPSIYVLDTPGVLVPSIPDMETGAVKDSVVGEERISKYLLSLLNIRKTPLHWERLLHREEELCEEICSSNKKDNSLRRRRLNNSDAVYVQDLVTEVQRTLCRTFLNFTGNTEEESELETLIDMQLVALRKVFRIPHKPFDETQGPTSKKLLTLFRSGKLGPFILDDLPDGSEN >Sspon.03G0013650-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3A:39103423:39103779:1 gene:Sspon.03G0013650-1A transcript:Sspon.03G0013650-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHKPCNLEHAISLDCMSSSYRGGHGRAPTQHRATPWPYRLPCRCQQQPMLRPAPSTGSPPEMAELRHQGLCFNYDEKFVRGHRYARLFFVDHKDADPDDTTTPLEDDKPVEPQVSLYC >Sspon.03G0026060-1P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3B:1841026:1841741:-1 gene:Sspon.03G0026060-1P transcript:Sspon.03G0026060-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VNLPRGYGYIEFKKRADAEKALLYMDGGQIDGNVVKLRFTLQPRQRAASPMKAPPPPPKRDAPQIEKGVSSAEKDAQQRPRESSPRRKPASPPRKRSPNRRAESPRRPPDPSPRRRPDSPPIRRRPDPSPVRRGDTPPRRRPLSPVRRRSPSPPPRRHRSPMR >Sspon.07G0015160-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:54256143:54258442:-1 gene:Sspon.07G0015160-1A transcript:Sspon.07G0015160-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPNLPAYLLSALLLLLAGAAAGARRHASPAANATSSGEPVYLWPLPKSVSSGSRTLTVDPDLALDPQGPGGRSPAVAEAFQRYRGLVFAPWAHAARARGARYDVTRLTVVVNSANDTLALGVDESYAIYVGAAGGVNSIVGGAIIETFSQLCVFNYDTKNVEVRNAPWHIQDEPRFAFRGLLLGINVMAEIDVPGHAES >Sspon.03G0026090-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:7105261:7107050:1 gene:Sspon.03G0026090-2C transcript:Sspon.03G0026090-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LDGVGYEVTRYLYATSNARLPNFVGSRKHRSDDPDARLWSETASFIGFVAVSTDEETARIGRRDVAVAWRGTVTRLEWVADLTAAPRPVADFGIPCPDPGAKVESGFAELYTGKDASCRWCRYSAREQVLAETGANVSPDGRTAPVCVFSFSGPRVGNTRFKARLDRELGVKVLRVVNVHDMVPTVPGVFYVLDEKSFPEAVLRLMDDLGLGAVYVHVGVELALDHRVSPYLKADTLDLACFRNLEALLHLLDGYQGRAREFRLCGRDPALVNKAADFLRDEHMVPPTWRQDENKGMVRAEDGRWVLPP >Sspon.03G0008730-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:23350182:23353697:1 gene:Sspon.03G0008730-1A transcript:Sspon.03G0008730-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIWSVYSLYSHLSNSGDSIVGFIFSCLVPTSIIFLVLQKPWKGRPLPNSQVVPTVVNGGILAFYFVLWGKGLLACGPLVALLAEYAGAVLGVLSAALYGRKIGGLAAMLVAYYLLSNGWSTRTHSPLYSFGSEPVEKARQTIGVKEMVVPITAGILSALRRVLARRVSLKVAQLLLSSLSKTSFKANQLKRRLHAITIASATCFLFPFAMWDTILVSTLCLCSNYSGVGRTEDLQGSASDSIVKLQLPSWAYLSTVLFGMVLIFYVDNVAEEKLHLVFSSPRHLMVSTGCIIVLEIVYQMDFSLLGFLVCSVILGFGIFEATSLDRSKKSPLETHELSNGVEIAVEVNTK >Sspon.06G0009810-11P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6D:40413683:40414188:-1 gene:Sspon.06G0009810-11P transcript:Sspon.06G0009810-11P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VKVNGFVCKDPMAVNADDFFKAANLDKPRDTNKVGSNVTLINVMQLPGLNTLGISLARIDYAPLGQNPPHTHPRATEILTVLEGTLYVGFVTSNTDNGNKLFAKVLNKGDVFVFPQGLIHFQFNPIHDKPAVAIAALSSQNPGAITIANAVFGSKPPISDDVLAKAFQ >Sspon.01G0027290-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:95750961:95755523:1 gene:Sspon.01G0027290-1A transcript:Sspon.01G0027290-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEAVTAAELLYGMPLIRSVTGDGGGGVETTGALLVELKQLWGEIGKSREERERMVRELEAECMRVYRRKVDEATGERAMLHQSLAAGEAEIAALTAALGSDNSPQLKVNKWTMSLTERVSSATTLLEELRAVKAERSRQFADIRSEIEKITAEIGYGYEGSPRASEVVEEHDLTIRRLNEYKVRLTSLQKEKSDRLHRVLEHVTEVHSLCDVLGEDFIAIVNEVHPGLHLHETSDPGKPTSISDSTLSSLAQVVAMLASEKAKRAAMLREAVVPLVELWELMDSSEEERRGFRKVTAVLNPDRVDALSSGVLSVATIKKTEEEVERLTRLKAGRMKELVLKRRLELENICRSMHVEPDASTVPEKSIALIDSGLVNPSELMASIDEQIAKAREELQSRREIMEKINKWLLACEEEKWLEEYNMDENRFSTGRIARLNLKRAEKARLIITKIPAVVDNLISRTLAWESERKKPFLYDGARLVAVLEEHKQARIRQEEERRRLREQKKLRTLLSEKEAMPHLKRPGSSFGRAAEPCNVNRKRVDAGRFASSAAPSVRSGASSSSSSGGASATELIRPRSSAAGAGLGQCGEFFKGARRLSAPPFNYVGVSKGGSMSSSLALS >Sspon.08G0001460-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:2519325:2523666:1 gene:Sspon.08G0001460-4D transcript:Sspon.08G0001460-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVAAPRRRRRGRRLGCRDGAVRRRCEGSHGSITLDLRPGLGVGPFTLGMPISDAFAQIERQPNIYDVVHVKYFDEEPLKLDFVISFPDHGFHLRFDPWSQRLRLVEIYDVKRLQLRYATALIGGPSTLATFAAVYALFGPTFPGIYDKERGIYTLFYPGLSFAFPIPSQYTNLFTNGEVADLPLEFPDGTTPVTCRVCIYDSSTDSKVGVGSLMDKAVVPALPAGSLYMEEVHAKLGEELWFTIGASIFLLVHHHRCISYLFAEDVWTDLGRPCGIHQKQVDQMVIHSASEPRPRTTLCGDYFYNYFSRGIDILFDGQTHRIKKFVLHTNFPGHSDFNSYKKCNFVIYDAEAEGTVQPGNVSTNCITPSTKWEQVKEILGDCGRAAIQTQGSMNNPFGSTFVYGYQNIAFEVMKNGYIATVTLFQS >Sspon.08G0003290-3D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8D:7081829:7082687:-1 gene:Sspon.08G0003290-3D transcript:Sspon.08G0003290-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding CFSGTWEPGRRALLFGSPKGSTTSARHAPHAS >Sspon.08G0015310-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:61561320:61569995:-1 gene:Sspon.08G0015310-1A transcript:Sspon.08G0015310-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding METFGAAVDGENDDFLDQQPDQGGDDFMSDDDDFLHQQPGQGEEEVYFMSDDDDDFWSNDDDDDYWSTQGDDDDFMSDDDEDEDEDEEYPFPVHAQPAAASEAAITALEATEAPADDCCPVCLQDGEAPVPAPVPAATPWSRVTPCGHRFHTACVDKWLRVKLSCPVCRCRAAPAAACRRDAVPEPPRPIPDIVEEFLAMGYEESLVFDMSPRWMEYMADFHQEFFPSAGASAAAILQSHDEPIMAFISNDHPGPSASRGTTSMGSGVRVLNATHVRPPETSNPLPDNDDHTIKVSLFDTMFLAYQPMQRLFFYEGDDLPPFPALLRTLQSSLAATLAVFTPLAGNFAVSKSGDVVIDCSPGAVSQGVRFVEAEYAGSTDDMRRLASDAEHDAAAYAQLVPTLVVSALPARALAVQVTRPADADDGGGFGAVVVGVSMCHGVGDGQALWEFIRVWAAAARGGSPALPGLLPSVFDRAVINRHPKAEAVSRTFLRIFAPALPMVSCSVNSACLTASVAVNAFPKPDTTLQGRRTYLLSASQILSLKQRISPQSSGSLADGDSAPPAARSPRRPTPPWRPWSGRPASAYLLFAADCRARLRPPMPAAFFGNCVKLCYARATVGELRDGGAGALARAASAVREAVREQLEDPLGDVDRWLERYQAVPPDRFVQIGSSNRFAAYETDFGWGKPSRVELAAVFVREFVAVVGAPDGAVQVSVVLDRGRMEDFEANFLSHTMRSRVRILAVTHVLPAPDHQTAIRSPEGALPDDGHVKLSFMDALFVDRVPMQRLFFYEGPDVPPFQSLVRSLKSSLAAVLPVFQPLAGKLTHCASTGDVVVDCSPAAVSPGVRFVEAEYAGSIDDMRRLAVGDEHHTEALTLLGPELNAGRLPAPVLAVQVTRPAIGAGRAGVVVGVSIHHAVADGHSVWQFMRAWSAASRRDPASDMVTPPPTWDRTAIPYPKAEEVARKFLRTVAPALPVARSPSLYTPLEQRKRSFLLRADDIMSLKQSILTQSQAIGGHLGTFPSTYVAVSSLVWTSIVRAKSLNDPDGGDAYFLVPVDIRRRLGPAPAVDERYFGNCVAPCFARAAVRHLRDGGAGLGHAAAAISDAVRAQLKDPLGGADHWLEDFLAVPKERLTFTGSSNRFMVYETDFGWGAPSRVELVSLFTRELVLLLGAEDGGVQVTVALDHAHMEGFAANFMQVSRREDGAKN >Sspon.06G0026270-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:77929130:77936242:1 gene:Sspon.06G0026270-1B transcript:Sspon.06G0026270-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPQQQRMKQAAAAAQQQLMQQALLLQQQQQAQPPLFPGQHHPHPGLLAPPQMEPIVSGNLPPGFDSSTCRSVYVGNINLQVTDAVLQEVFQSIGPVEGCKLIRKEKPIKVNWAYTSTQREDTSGHFNIFVGDLCPEVTDATLFAFFSGYSTCSDARVMWDQKTGRSRGFGFVSFRNQQDAQSAINDLNGKWLGNRQIRCNWATKGASAGEEKQIVDSKVDLTNGTSESGKENLNEDGPESNPQFTTVYVGNLPHEATNNDVHLFFHSLGAGSIEEVRVTRDKGFGFVRYSTHERLHWQFRWCSWGSKPTPPGTASFPLPPPAPAPFSTGVSATDFMAYQRLALSKMATNPALMGQHALKQVAALGMGGGASQSIYDGGFQGINTATATTSAQQQQQQLMYY >Sspon.01G0037050-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:20075436:20078657:1 gene:Sspon.01G0037050-1B transcript:Sspon.01G0037050-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASASSNAKQSLYPDVDQSHPDLNTPFFSAPTTTGNSLYPTVDPNELAENLFPETAEEDAAPPPPTTEETIVAVPGAQLHLVDPDRSLDLGVGTLSIARLRQGDHSVAVLARLIPEKPHHRRGLFRLGRSDGGAEQEPVEWPLTRDVAAVKLDPAHYFFSLHVPHTDHLDDKDDAEDAEADAEAALSYGLTVVGKGQEKVLEELDRVLEEYTTFSVKQVEAAAKEKSEGSGRDYAGGSCRDKKEVVEEKSAAFWTTIAPNVDDYSSSVARLIARGSGQLVRGIIWCGDITAEGLRRGEEVVKKSVGPSEADAGEAQHSQKDEELG >Sspon.02G0047250-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:13313824:13328203:1 gene:Sspon.02G0047250-1C transcript:Sspon.02G0047250-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLVAAACLRPRRCLVPPVRVCYCSPLRKRHPRRVGRRFFSKYTMAAILRWFLMFQQGSAFMKANAQLYRWFQDDGALEEAMGGRNWSDPIDSAPSLSLSFPHGDRGMEKLLGKNHQHQSVQPE >Sspon.02G0048570-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:17496249:17501384:1 gene:Sspon.02G0048570-2D transcript:Sspon.02G0048570-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVYLSTPKTDKLSADGENSRLRFGLSSMQGWRTTMEDAHAALPDLDDCTSFFGVYDGHGGKGVSKFCARHLHKQVLINDANSSGDLAASVHKAFLRMDEMMKGQRGWRELTELGDKGNKISGMLEGIIWPPKGGDSDKIGEDWDTEEGPNSNFPGPTSGSTACVAVIRNDQLIVANAGDSRCVISRKGQTYNLSTDHKPDLEEEKERILGAGGFIVAGRVNASLNLSRAIGIYSSSGGNCCVITSSLIYLFIYFYFPLGDMELKQNELLPAERQIVTAEPELKTVQLSEDDEFIVLACDGIWDCMSSQEVVDFVHKQLKTEDKLSSVCEKLLNRCVAPTSGGEGCDNMTVIVVQFKKPASAVATSSTEQSAATAEEMRPNELDGPDYPPK >Sspon.04G0009640-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:28683385:28694324:-1 gene:Sspon.04G0009640-4D transcript:Sspon.04G0009640-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKAYAGIMLNMAQESAARVLAAERRAAALAAGLEAAKEDGVAALVRLKAIMAARIKEKELEASVHVKKIKELEEQLHGSQNAMASLKVELQRANAELDLTRKTLADERINSLPTSNNVYSNKNASPRSKMHQQGVSLKNKKATDNSDDTCIVPITEKENEAVENLEDMDRRSPDLPSLMERNKKPKFYHNGCTQRIHALKQQTQSTDAFLKQNQKQATALNSRSKARKNNAAKNPCHKRSIMEQILQTKFLGKFKRKRGRRSRPSYKHDNSSEHGEAEYKFSDRSESDGNGCLLLLQALEEDLSPPKMSAEHDGDAELSRCTAFPELIDVLAVNSVQMKRRKRTKTVRVLEDDFSVTQSVPEPTNTQLRTSEKRMSGNQLVSDMTENRSDTPTRNSGPFLKCATGNLMHQTDAGNGQFDPEITSSVFLQSTKSETTDYGNLVVDQQELITPNNNTVSLKEVNEDGSCSLASPKADASTLSSVDKENLKASSGLPVQALEKHDISVGSSLNKKEHTKASSGASMQAEGARHIKYTFNRRKRKGMSIDSTPQRAVPEESSELCSPTNKQKLHPDHVEQDHLIDSPQGDSQLVQVAKQLILLSEHM >Sspon.05G0007610-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:15499320:15503356:1 gene:Sspon.05G0007610-3C transcript:Sspon.05G0007610-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium uptake protein, mitochondrial [Source:Projected from Arabidopsis thaliana (AT4G32060) UniProtKB/Swiss-Prot;Acc:Q9SZ45] MAPLPRAARLLRSAVGRLRSPPPARAFSSAAATETGAGNGREAVIAAAAVAVAGSGLALWLKPPSLADSGEAPGGQISVAGATEAREEEKGWFLFADSFRRRVFFNYEKRIRLLSPPEKIFEYFASVRNPEGEVYMLPADLMRAVVPVFPPSESTIVREGRLRGERSPGELHCAPSEFFMLFDTNSDGLISFAEYIFFVTLLSIPESNFTATFKMFDVDHSGVIDREEFKKVMALMRSFNRQGSTHKDGLRIGLKVGQPVENGGVVEYFFGSDGNEPLHCDKFSKFLKELHDEIIRLEFSHYDVKSSKTIPAKDFALSMVASADMNHINKLLDRVDSLVEEPDLKDIRITFEEFKAFADLRRRLKPLSMAIFAYGKVNGLLTKEDLKRAAQHVCGVGLTDRVVDIIFHVFDTNQDGNLSSEEFLRALQRRETDIRQPTIPGPLGFLSCWFSGSAAVQKVL >Sspon.06G0002600-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:6906576:6909059:-1 gene:Sspon.06G0002600-2B transcript:Sspon.06G0002600-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARFDPYENNGGTCVAVAGADYCVVAADTRLSVGYSILSRDHSKIAQLADKCVLASSGFQGDIKALQKALTAKELIYEHNNNKKMSCPAMAQLLSNTLYYKRFFPYYAFNVLAGLDTQGKGCVFTYDAVGSYERTGYSAQGTGSALMMPVLDNQLKSPSPLLLPARDAVTPLSESDAVDLVKDVFASATERDIYTGDRLEIVVINSSGTHRECIELRKD >Sspon.07G0026580-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:50980678:50985123:1 gene:Sspon.07G0026580-2C transcript:Sspon.07G0026580-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding TMAPPTDGEVRIQKVDKIEVVHNILSKPKLYNPTAATGKYPTAGHQEVTGWKKIENPSRPRSAVSVEDINKRSDRGLIR >Sspon.02G0038540-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:48685451:48690999:1 gene:Sspon.02G0038540-2C transcript:Sspon.02G0038540-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTTSPRWANLSTTRPIQPDSSYAVPSRILQTAVAASGNDTALTAITGQYQTKYSFMIFQHFADFQDTQLRQFDILINEKDGSGPKLKSYSPPYLASQTLYTESYKATDGRYNITLVRTNASVLLPPMINALEIYVRVPYENPTTLPQDFDAIMAIKTEYGVKKNWMGDPCFPIQYAWDGVKCSNASGNTSRITSLVEQLVAVGGEVAVASPVAQWTSRRGAEAAVDLVAELPAAGCASSRSTDREEAQPAAGSSATKSTVAFAPHRDVHCATATSLPTATSCSTLKPEVFVDSHTRNVQVETGPRSRTNQGDHLQDNDNRRFTYKDMEKFTDNFKQSIGQGGFGVVYFGNLEDGTEVAVKMRSESSSHGLDEFLAEVQSLTKVHHRNIVSLVGYCWEKDHLALVYEYMSQGNLYDHLRGKIAAAEALNWGTRVRIVLEAAQGLDYLHKGCSPPIIHRDVKSSNILLGQNLQAKIADLGLSKTYISDGHTHISTTAAGTAGYMDPEYYFTGRLTESSDVYSFGVVLLEAATGEPPLVPGQGHIVQRVKQRVSATGDIGSVADPRLGAAYDVNSMWKVVDTAMACTAEVGAGGPRWAKWWRSSRTVSRWSMLVTTTTTAASRRASPPAIARL >Sspon.01G0030370-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:104211109:104212397:1 gene:Sspon.01G0030370-2D transcript:Sspon.01G0030370-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVKVLSTDHLCCFDLNARFRQQNDTSTLDLVIRQDLYWPDGTSQPCKACNNPDKFDIKHPDSSVELAAGHLDPRTQFSGFMREIDFHDSEDEDRYLRDAEAELRRVYKGLDDPSVIERLFTLPPGVTIVQD >Sspon.07G0031580-2D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7D:24982089:24982361:-1 gene:Sspon.07G0031580-2D transcript:Sspon.07G0031580-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVKRREEEPGRGEGTQRRPRAGARREATSRASMTWGQLATRGDEDRVVTCMRLRSEEQYRWRVACARGVNGSSTGGPDCSWGKLEAGRA >Sspon.02G0023380-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:79671403:79674457:1 gene:Sspon.02G0023380-1A transcript:Sspon.02G0023380-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAFNRPLVSVKALEGDMVTDAPGIALPPVFGAPIRPDVVRFAHKLLSCNKRQPYAVSRRAGHQTSAESWGTGRAVSRIPRVPGGGTHRAGQGAFGNMCRGGRMFAPTKIWRKWHHRVNINLRRVAVASALAATAVPALVQARGHRIETVPEMPLVISDSAESIEKTSQALKILKQIGAYADAEKAKDSVAIRPGKGKMRNRRYVNRKGPLIVYGTEGSKVVKAFRNLPGVDVANVERLNLLDLAPGGHLGRFVIWTESAFKKLEEVYGSFDAPSQKKKGFVLPRPKMTNADLGRIINSDEVQSVVKPLNKEVKRREKRKNPLKNMAAVLKLNPYLGTARKMATLAEAARVKARKEKLDSKRSKLSPEEAAKVKAAGKAWYKTMISDILKWPTAAGASVRAAGPAG >Sspon.05G0011180-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:31943178:31947505:1 gene:Sspon.05G0011180-1A transcript:Sspon.05G0011180-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MECNGCYALKSRRFVAIADAGFEDAADGASDFGDTMSLVHKQKAVEETVEMEEEEQGFVWKSNLLPYKDEDDFVLPGSSKQHASTSGERETTLSGKMDPRIDSQHGWIWQGSGDSRHIRLLDDDLELRWWLCFQVQDQRCKISILAFEVANTILKGATIMQSLSEDTVKYLKQVVLPSEGVQNLVSSDMSELMRIVANDKREELKIFSQEIVRFGNRCKDPQWHNLDRYFVKLESESAPQKQLKETAIAEMQKLMNLVQRTTDLYHELHALDRFEQEYRSRLNGKGNTDRFEKGDNIQIVRLELKTQSSYVKSLKKRSLWSKTLEEVVEKLVDIVHYLHVEINNAFGSSDGGVVNAESTVSCQRLGPAGLALHYANIIIQIYSIVSRSGYVPANSRDALYQGLPPRIKSALPNKLRTTSVPQELTVDQIRARMEKTLKWLVPMAINTTCARGFLRFSEWAKSGTERVGKRPGQADPIETLYHADKARTEDCILELVVWLHHLVSQSNRPAIQKATDQCI >Sspon.03G0023460-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3A:71853842:71862617:-1 gene:Sspon.03G0023460-1A transcript:Sspon.03G0023460-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVGEGAAAAPGRAGGAASAGSREEDRCNILHVFSTVPRNVNFIGHTGNLGWKFGQRAQPMIVDPGLYGSKKQDLFWVTPKRELPTAFKLFTGSAWVALTRDFVEYTVWGWDNLPRTLLMYYANFVSSPEGYFQTLLCNAPRFVPTVANHDLHHIRWDVPPRQHPRALALADMPAMLASGAPFARKFPRDDPVLDAIDDGLLARPRPANGTGNGTAGEVAFVPGGWCGADAACRAVDNDWVLRPGPGAERFGRLIDRIEKIVALKKVRFDNLEPESVKFMAREILILRRLDHPNVIKLEGLVTSRMSCSLYLVFEYMEHDLAGLASFPGVKFTESQNMPTINTTVVQVKCYMQQLLRGLEHCHNRHILHRDIKGSNLLIDNQGILKIADFGLACFFDPEQRHPLTSRVVTLWNRPPELLLGATNYGVSVDLWSAGCILAELYAGKPIMPGRTEFFTTKPYACNPSSLPRYPPSKEFDAKRREEEARRQGVTGGKQHKHDPERRTRESRAVPAPDGNAELVSSLQKRQAQANTRSRSEMFNPCKEDSASGFRIEPPRPTPVTESSEDPQRAYPTRIFHSGPLVNQSQPSKAGGGKNGELQVPGVANHPVVVSTRSGLRTDDSSRTMVAQAEAFAHGRRLSESINEHFSNNGKYDQVFPKKDDRNIRADGAIGYGSKGNKIHHSGPLTCPSGNVVDEMLKENDRQIQEVFRRTRVEKSRARRDHGHHQGGIRPGDFGAIPVFPSSRSSYQAMQQEEESNTRVVGMCIGETLKERGEPPAPTTTRRRPAASASALGVAGVASGSATGGDQATPATSLPLAEQSFNSSLDPTPGRRRPDHEPADAMLTHTSLPAKSFARKGQRRSWPGPGAVRLSV >Sspon.06G0002330-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:5872303:5873055:-1 gene:Sspon.06G0002330-2B transcript:Sspon.06G0002330-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMDHHHPDSGGSSATAARRCAACKYLRRRCAPDCVLAPYFPASQPRRYADVHAVFGTSNVTRVLQSLPVLERGRAADTMATEAHWRVQDPVYGCTGIIHRLQQEIRDVQHQLATTRAQLAMHVHGGTAPPSSTPLPPQPPLAAAAAAAATVTVNSGGGHRVSVHEDDEEQQQEEEEAPLMDPDEFLDLDGRL >Sspon.01G0036390-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:18704679:18715199:1 gene:Sspon.01G0036390-1P transcript:Sspon.01G0036390-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTSLLPLLVPAPASRHRFHTSTSASPPPPAPLPCSSPPPRCPASTLRRRRCRPRCPPWVGDLSGAAASYRDGSEEDENDADEEEDEEEDRSLDLLARFLHSVFRKASRRARRAARSVLPPSVPAELVKFSVNGVLVLTSLWILKGLLEVVCTFGSMVFASILLVRGIWSGVTYIRENRYSYIHRIDNDENRWSRVQTAG >Sspon.04G0016930-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:70582818:70591613:-1 gene:Sspon.04G0016930-4D transcript:Sspon.04G0016930-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLRVSSGAKFVRGGVIDPNAKLKIDSEGGKSKDGGSVPKKGSRYVPSFLPPSFAKEPEKKKEEERPKEKEKGKPRVIDKFLEELKFEQEQRKKRSQDRDHRHEGRHSDSSMPSSRFDELPDEFDPTGRFPGSFDDGDPQTTNLYVGNLSPKVDENFLLRTFGRFGPIASVKIMWPRTEEERRRQRNCGFVAFMNRTDGQAAKDEMQGVVVYDYELKIGWGKSVALPSQALPAPPPGHMAIRNKEGGTVILSGPGGPAVASVTPQTSELVLTPNVPDIVVALPDDGRLRHVIDTMALHVLDGGCAFEQAVMERGRGNPIFDFLFDLKSKEHTYYVWRLYSFAQGDTLQRWRTEPYIMITGSGRWVPPPLSSNRSPEREKESTFAAGRSRRVEVERTLTDSQRDEFEDMLRALTLERSQIKDAMGFALDNADAAGEIVEVLTESLTLKETSIPTKVARLMLVSDILHNSSAPVKNASAFRTKFEASLPDVMESFNDLYRSITGRMTAEALKERVMKVLQVWADWFLFSDAFLNGLRATFLRSGNSGVVPFHSLCGDAPEIEKKGSSEDGNDGFKLNEDGALATGKAAATKELLGLPLAELERRCRHNGLSLCGGKEMMVARLLNLEEAEKERVYEKDVDMKYVQGEQHTIVSGVNAHSTSKFGESPNGDELDVSWNNMRAGKGPSGESASAELEPFPSKKPKYDPVLPASKWSREDDISDDEDRKGGRGLGLSYSSGSDIADDLGKVDTTEASTDHTSHHHDTIVDEEHRKNIIGAEREA >Sspon.08G0022920-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:52694739:52695439:-1 gene:Sspon.08G0022920-2C transcript:Sspon.08G0022920-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSHKTFRIKKKLAKKMRQNRPIPYWIRMRTDNTIRYNAKRRHWRRTKLGF >Sspon.05G0005240-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:9341443:9344307:-1 gene:Sspon.05G0005240-3C transcript:Sspon.05G0005240-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDTSFKASGAKRKKAGGAKRGLTPFFVFLAEFRPQYLEKYPELKGVKEVSKAAGEKWRSMSDEEKAKYGSSKKQDDKASKKESTSSKKAKTDGREGEKAAKSEVEDDDEQDGNEDEE >Sspon.01G0019220-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:73060593:73076726:-1 gene:Sspon.01G0019220-3C transcript:Sspon.01G0019220-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLHSSACRGSSQFLLSRFASTPHKTLGALRTLVVMSYRGGRGGGGPNSHRGRGRGGGGGRGGRGGGGGGRGEQRWWDPEWRAERLRQMHREVEKVDENEWWNKIGQLREGSQQELVVKRNFGRDGQNILANMAQRQGLYFNAYNRGKTLVFSKVPLPDYRADLDDRHGSTQKEIKMSNQTEARVEDLLSRSKWNTNNSASTSTVSMRQFLPSASSSVVEPAAPIDKEKLSSQLRDLQNSRKTTASARSMQSFREKLPAFSMREEFLKAVAANQVLVISGETGCGKTTQLPQFILEEEINNLRGSDCSIICTQPRRISAVSVAARVAAERGEELGEAVGYQIRLESKRSAQTRLLFCTTGVLLRRLVQEPDLIGVSHLLVDEIHERGMNEDFLIIILRDLLPRRPDLRLVLMSATINAELFSKYFGDAPVMHIPGFTFPVAELFLEDVLEKTRYRINSERSSRRKRFSSVKSDPLSDVFENIDINKEYGNYNITTRQSLEAWSAAELDLSLVESTIEYICRYEAEGAILVFLTGWDEISKLLDKIKGNNFLGNPNRFLVLPLHGSMPTVNQREIFDRPPASMRKIVLATNIAESSITIDDVVYVIDCGKAKETSYDALNKLACLLPSWISKASAHQRRGRAGRVQPGFCYRLYPKIIHDAMQQFQLPEILRTPLQELCLTIKSLQLGAVSSFLAKSLQPPDPLSVKNAIELLKTIGALDDTEELTSLGRHLCTLPLDPNIGKMLLMGSVFQCLDPVLTIAAALAYRNPFVLPIDRKEEADAVKRSFAGDSCSDHIALLKAFVAWKEAKRSGRERTFCWENFLSPMTLKMMDDMRNQFFDLLSDIGFVSKTTGVKAYNHYGNDLEMICAVLCAGLYPNVVQCKRRGKRTAFYTKDVGKVDIHPSSVNAFVNEFPLPFLVYSEKVKTASIYVRDSTNISDYALLLFGGSLSPSKTGEGIEMLGGYLHFSAPKRTIELIQKLRGELDRLLQKKIEEPGLDIFSQGKGVVAAAVELLHSQNFNH >Sspon.05G0014830-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:56819022:56820408:-1 gene:Sspon.05G0014830-1A transcript:Sspon.05G0014830-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLPTDRMDSLFSPRCVWVNGPIIVGAGPSGLAVAACLREQGVPYVILERADCIASLWQKRTYDRLKLHLPKQFCELPRMPFPDHYPEYPTRRQFIDYLEDYAAKFEIKPEFSSTVLSARYDETSGLWRVVTSAPNNGGDMEYIGRWLVVATGENAETVVPDIPGLDAFDGKVTHVSDYKSGEAYAGKRVLVVGCGNSGMEVSLDLSDHGARPAMVVRDAVHVLPREVLGKSTFELAVLLMRWLPLWIVDKIMVLLAWLVLGNLAKLGLRRPAAGPLELKDKYGRTPVLDYGALARIRAGDIAVVPAVTRFGKGGQVELADGRTLNFDAVILATGYRSNVPQWLQGNDFFNKDGYPKTAFPHGWKGQSGLYAVGFTRRGLSGASADAMRIAKDLGNVWREETKPTKRAGACHRRCISVVF >Sspon.08G0017230-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:591322:592548:1 gene:Sspon.08G0017230-1B transcript:Sspon.08G0017230-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPPPSDLNTHLPPRKRLLAGLRTAVATAGDAAAEPLPSPPASAGDLAARLREMALAANASASSPEEMIEAARAAAQAAADAAVAARSVAAEKAAVAAKARAAARAAMEFLDSFSSRGAGASRNGGLQFKVKSRKKHVQVKMLYRPNGTLGPGEAPKPRRRKQSDEEVARNLHRVMNSSPRISHTGPPKRPRTITAGDAKDGVVAPGGGNGEGGADACNGSSIHVPIEAGSGLANGCSEGKSSERTVPLLNHEGPVVDGENSSRHAAKSSGDIAENGVWVGNLSAGQKVKIKRKELLLNQNSGSKETEEPKESEQPRETEPFVHSIALDESKSNGKGRSKPNGNEAEKLAGPADTKAPGDGVTPMKITSVWKFKKLKTSHCSSDSKVLHKVCPPPPAAAETSASVKAD >Sspon.02G0006520-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2C:20431261:20431770:1 gene:Sspon.02G0006520-2C transcript:Sspon.02G0006520-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MACCVALGVLVILGALALAFYLVYRPRQPRVVATPVDISIDEFSLLPHPVLKLSVGVHVVVTNPSHSPFRYGPTPGAVTYHGAPVGATLVPAGEIGGKSTARIEPATVVDGVKVVENPHFASDAVAGVLPFVAVVRVVGKALVLRTFEVPVSVETVCFVRLYVFHGEASS >Sspon.02G0047800-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:19721984:19723399:1 gene:Sspon.02G0047800-1C transcript:Sspon.02G0047800-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALTHFLGAALPLLLLLCAAEAGGEVGVNYGRVANDLPDPASVVQLLKQNGITMVRLYDANPKVLTSLANTGIKVLVMLPNEELAAAASDPSYALRWARSNVAAYYPATRIHGVAVGNEVFDSRPDLNADLVVAMANVHDALAQLGLADAVKVSTPVAFSAVTDSYPPSAGRFRDDVAQSVMKPMLGFLQRTGSYLTINIYPYLAYAEHPDQISLDYALGNSNPGVRVDDDDTASLTLDDNDDDGVTYYSLLDAQLDATYYAMDDLGFTSLKAHVGETGHPSGGRPKPGRRPPRGGRRHLMAGDDDGYPVASVANAHAYVNNVINRVLSGNTGTPHRPDADMDVYIFALFNENQKGDGPDDIEQNFGLFYPNEQKVYEFDFHHGGGGGAKASWCVANAAVGDSRLQAALDYACGHGADCSSIQPGAACYEPNTKLAHASYAFNDYYQRKGRASGTCDFAGAASVVYQEPAGK >Sspon.02G0040650-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:71076156:71082348:1 gene:Sspon.02G0040650-1B transcript:Sspon.02G0040650-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding METEAPNSTGSRWSRVASSLPVRNVQDLAACPEELTAETLERYIRPDIQNLEVLVENSSEVPVIDLGKLFNPRFVEEEAARLRFACEDWGFFQLVNHGIPDEIITNIRSDIQSFFQLPLEVKCAYAQVPGSLQGYGQSFVASEGQKLDWCDRFAIMAQPPQARDMKYWPTQPHTFRKSINDYSSELMKIVGSIVHFIAKTLNIDLKLMDDKYGSQVLRMNYYPPCMTMAEKVLGFSPHSDASFLTLLLEINSVEGLQIKRHNAWTIVKPNPKALLVNVGDFLEIMSNGNYKSVEHRVTINANQERLTISAFHIPSLEGVVAPVTSIAEERILYKTMGVEEYLKIFMSNKLEGKRALDHAKHLIESPKEN >Sspon.05G0018830-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:74258434:74261384:1 gene:Sspon.05G0018830-2P transcript:Sspon.05G0018830-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGEDIQPLVCDNGTGMVKAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQSKRGILTLKYPIEHGIVSNWDDMEKIWHHTFYNELRVAPEEHPVLLTEAPLNPKANREKMTQIMFETFNVPAMYVAIQAVLSLYASGRTTGIVLDSGDGVSHTVPIYEGYALPHAILRLDLAGRDLTDSLMKILTERGYSFTTTAEREIVRDIKEKLAYVALDYEQELETANTSSSVEKSYELPDGQVITIGAERFRCPEVLFQPSFIGMESPGIHETTYNSIMKCDVDIRKDLYGNIVLSGGSTMFPGIADRMSKEITALAPSSMKIKVVAPPERKYSVWIGGSILASLSTFQQMWISKGEYDESGPAIVHRKCF >Sspon.07G0017530-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:82584622:82594255:-1 gene:Sspon.07G0017530-3C transcript:Sspon.07G0017530-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRQPCCDKVGIKKGPWTLEEDIVLVSYIQEHGPGNWRSVPINTGLMRCSKSCRLRWTNYLRPGIRRGNFTPHEEGIIVHLQSLLGNRWAAIASYLPHRTDNDIKNYWNTHLKKKLQKQQAIGAIFAPPPPSEPSIIPVAVPTAATGHADCHYDGMTLSKDSYARPASSTPAPADEVTQFIGQCSPPFAPTNGDTFSSPYASSMDNISKLLNGFMKSSPTQDDAATNIKPSSVIDINPYDHKSGGALPPISDDVPLLMPPPQQQQALTGQSDYHKPKLQQLSSIEKWLFDEAPEQMEISNGCCSTRSVMAFLVTVQGHLHTYRVCDDVWTFILTDATFKSAEIQETLSKMGLNAVVAACYAVPVLASVLTVRFFYVLWHSGQPASRPCTTRLRCLIVLGSGGHTTEMMNIVTTIQKDRFTPRYYVAALTDNMSLQKAQSNGMKTAENAHFMQIYRSREIFCNGPGTCFPLCISAFLLKVLGLGWSSIFYIESIARVKKLPLSGLLLYKLRIADQFFVQWPQLQHEYPRACYAGRL >Sspon.01G0002590-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:7186310:7189492:1 gene:Sspon.01G0002590-3C transcript:Sspon.01G0002590-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSATPRLSSTLHLFFPLSFSLALLCCVAVCNAAAAAEAAALLAIKASLVDPLGKLGGWNSASASSHCTWDGVRCNARGVVTGLNLAGMNLSGTIPDDILGLTGLTSIILQSNAFEHELPLVLLSIPTLQELDVSDNNFAGHFPAGVGALASLTSLNASGNNFAGPLPADIGNATALETLDFRGGYFSGTIPKSYGKLKKLKFLGLSGNNLGGALPAELFEMSALEQLIIGYNEFTGAIPAAIGNLANLQYLDLAIGKLEGPIPPELGRLSYLNTVYLYKNNIGGPIPKEIGNLTSLVMLDISDNALTGTIPVELGKLANLQLINLMCNRLKGGIPAAIGDLPKLEVLELWNNSLTGPLPPSLGSAQPLQWLDVSTNALSGPVPAGLCDSGNLTKLILFNNVFTGPIPAGLTTCSSLVRVRAHNNRLNGTVPEGLGRLPRLQRLELAGNELSGEIPDDLALSTSLSFIDLSHNQLRSALPSNILSIRTLQTFAASDNELTGGVPDEIGDCPSLSALDLSSNRLSGAIPASLASCQRLVSLNLRSNRFTGQIPGAIAMMSTLSVLDLSSNFFSGVIPSNFGSSPALEMLNLAYNNLTGPVPTTGLLRTINPDDLAGNPGLCGGVLPPCGATSLRASSSEASGLRRSHMKHIAAGWAIGISVLIAACGVVFLGKQVYQRWYVNGGCCDEAMEEDGSGAWPWRLTAFQRLSFTSAEVLACIKEDNIVGMGGTGVVYRADMPRHHAVVAVKKLWRAAGCPEETATVDGRQDVEAGGEFATEVKLLGRLRHRNVVRMLGYVSNNLDTMVLYEYMVNGSLWEALHGRGKGKMLVDWVSRYNVAAGVAAGLAYLHHDCRPPVIHRDVKSSNVLLDTDMDAKIADFGLARVMARAHETVSVVAGSYGYIAPEYGYTLKVDQKSDIYSFGVVLMELLTGRRPVEPEYGESQDIVGWIRERLRSNSGVEELLDASVGGRVDHVREEMLLVLRIAVLCTAKSPKDRPTMRDVVTMLGEAKPRRKSSSATVAATVVDKDK >Sspon.06G0014500-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:80129691:80135197:1 gene:Sspon.06G0014500-1A transcript:Sspon.06G0014500-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polycomb group protein EMBRYONIC FLOWER 2 [Source:Projected from Arabidopsis thaliana (AT5G51230) UniProtKB/Swiss-Prot;Acc:Q8L6Y4] PKFLEQDSCLTFCSHKVDATGSYQLQVCISAEEAGARELLLSPYYCNYSYDNVPPSLLPEIIRLRAGNVLFNYKYYNNTMQKTEVTEDFSCPFCLVRCGSFKGLGCHLNSTHDLFHYEFWISEECQAVNVSLKADAWKTEFVAEGVDPRHQTFSYCSRFKKRRRLETTAKKIRHVHPHIMESGSHEDAQAASEDDYVQRENGLSVAHTSVDPSQPVHGSNLSSPTVLQFGKSRKLSVERSDPRNRQLLQKRQFFHSHRAQPMSLEQVFSDHDSEDEVDDDIADFEDRRMLDDFVDVTKDEKLIMHMWNSFVRKQRVLADGHIPWACEAFSRCHGEQLVQNPALLWGWRFFMIKLWNHSLLDARAMNTCNTILQGYQDGSSDPK >Sspon.01G0013720-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:37845519:37847791:-1 gene:Sspon.01G0013720-1T transcript:Sspon.01G0013720-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMSIEPALLKNHPNRTSLSEKGLCLSCSSWRGHHILHRSMSIAAVSWGLGLGAWVLERRGTRGLTVGKASSTTGVDETVREQQADLGNWRHRLCFSIWRLQGVSSESGTTVQGAVELEL >Sspon.05G0027870-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5B:57680634:57684366:1 gene:Sspon.05G0027870-1B transcript:Sspon.05G0027870-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MACKSDDYQIDLPQPRHIRAASGHKLGCRHPESTISGCHLSRQGQERRIEASAKSKERRRLLNMPVRLLCLCRSRHDEDHLSLLLCSSFFTTRVLCLSSFVGTPRGYMTLLSFVPEAAALPEPIPWGLFAWTELASKDKLPALIDLYIHPLYVLRFPHFATPPMLTPEGLPQGDFKMAAYTIFLPYQKGRKAEPVDSGRRVGVGGELQHMRTTTTAHARGCSWLGRGRPDEPRHVCPNGYGEVSGAGSEGARRNRQREKETARQPDERALEKGLDGSAVTLFWD >Sspon.07G0024860-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:31254339:31258128:1 gene:Sspon.07G0024860-1B transcript:Sspon.07G0024860-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAARLFLCLVSALALPTFSSSSQSLASDQRDADRVARLPGQPESPSVSQFSGYVTVNERNGRALFYWFFEAQTTPEEKPLLLWLNGGPGCSSIGYGAASELGPLRVVGRGAALAFNEYAWNKEANLLFLESPVGVGFSYTNTSSDLDKLNDDFVAEDAYSFLVNWLERFPEYRDREFYIAGESYAGHYVPQLAELVYDRNKDKKGKAYINLKGFIVGNPITNYYYDSKGLAEYAWSHSVVSDEIYDRIKKYCDFKNFNWSDDCDAVMDIVYSQYDEIDIYNIYAPKCLLNQSSASSENHAFFMNDQEKLRRRIRMFSGYDPCYSSYAKDYFNKKEVQKAFHAIVSGSLPVKWHVCSDPILNSYNFSVFSVLPIYSKLIKAGLRVWLYSGDADGRVPVIGSRYCVEALKLPMKTQWQAWYLDKQVAGRFVEYYGMSMVTVRGAGHLVPLNKPAEGLTLINTFVR >Sspon.03G0023980-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:96701842:96702563:1 gene:Sspon.03G0023980-2B transcript:Sspon.03G0023980-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MCCCGDGECRPLGWLLGLPFALLAVLVSFVGAIIWIIGLPISCICPCCLCVTVLLEVAVELVKAPLHVMTWFTSKIPC >Sspon.04G0010250-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:30716140:30719877:1 gene:Sspon.04G0010250-1A transcript:Sspon.04G0010250-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLFDKKPFSLLEPPKDLDSKEKVFQIRFTKEIFRDYEMLLYFMRTFVSGTLGLHELINRIYASLLEEVFEGIELYANKDGAVAPCKILKILDSGGTKMCEVGWIRQGKVVTNTSVIKAADLFYRRAPVSRNTLKIFIRDATSQSNPWVIHENLAKIFGISMDPPDSVMKKGRKRQENGTIEDGRKKLKTDEEQAYVMIKYPIDDLLVRPNADDPALFKRPPLATDFRVPRCSVGDLLMVWDFCSSFGRALNLSPFPLTDLENAICHKESNVLLVEIHAAMFHLLMKDEGDYFTVLQNKKRKSKVSSVTWVEYLCDFLEMTKNEELSTNIATVRRGYYGLIDTDIKLKILRELVEEAIQTSAIREILSDRVDQKQVLNATKRENTRKDKQEQNLNTEIAMKKEENQTDAVQGGHEGVDELVRGKENDKSNISRSRTEGKQHLVRHLETEIEKLSIRSSPLGKDRQYNRYWFFKREGRLFVETADSREWGYYSTKEELDALMGSLNVKGIRREL >Sspon.07G0001630-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:2378735:2381332:1 gene:Sspon.07G0001630-2B transcript:Sspon.07G0001630-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LTRDVLWFFLQALPNQGTVEYPSFKLVIVGDGGTGKTTFVKRHLTGEFEKKYEPTIGVEVHPLDFTTNCGKIRFYCWDTAGQEKFGGLRDGYYIHGQCAIIMVCENIPIVLCGNKVDVKNRQVKAKQVTFHRKKNLQYYEISAKSNYNFEKPFLYLARKLAGDPNLHFVEAVALKPPEVTIDMAMQQQHEAELAAAAAQPLPDDDDDLIE >Sspon.01G0037150-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:20159765:20162744:-1 gene:Sspon.01G0037150-1P transcript:Sspon.01G0037150-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MICIDNSEWMRNGDYAPSRFQAQADAVNLICGAKTQSNPENTVGVMTMAGKGVRVLVTPTSDLGKILAWLEVGAEANLAAAIQVAQLALKHRQNKRQQQRIIAFIGSPVKYDKKVLETIGKKLKKNNVALDIVDFGESDDEKPEKLEALIAAVNSSDSSHIVHVPPGDNALSDVLLSTPIFTGEEGGSGFAASAAAAAATGASGFEFGVDPNVDPELALALRLSMEEERARQEAIAKKAAEDTSNTENNNASSSNSDSVMAEAEPASTAAAGDKKEQPKDDDDLLQQALAMSMEGGASGSTAVTDSAMAEAGAVDPDLALALQMSVQDANMSSDTDMSKVFEDRTFVSSILNS >Sspon.04G0014770-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:55286300:55291355:1 gene:Sspon.04G0014770-1A transcript:Sspon.04G0014770-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGAVLVAIAASIGNLLQGWDNATIAGAVLYIKKEFQLQSEPTVEGLIVAMSLIGATIITTFSGPVSDWIGRRPMLILSSVLYFLSSLIMLWSPNVYVLLLARLVDGFGIGLAVTLVPLYISETAPPEIRGLLNTLPQFSGSGGMFLSYCMVFGMSLLPSPDWRIMLGVLAIPSLFFFGLTIFYLPESPRWLVSKGRMAEAKKVLQKLRSKEDVSGELSLLVEGLEVGGDTSIEEYIIGPATEAADDHVTDGDKEQITLYGPEEGQSWIARPSKGPSMLGSVLSLASRHGSMVNQSVPLMDPIVTLFGSVHENMPQAGGSMRSTLFPNFGSMFSVTDQHAKNEQWDEENLHRDDEEYASDGAGGDYEDNLHSPLLSRQTTSVEGKDIVHHGHRGSALSMRRQSLLGEAGEGVSSTDIGGGWQLAWKWSEKEGEDGKKEGGFKRVYLHQEGVPGSRMGSIVSLPGGGDVPEGGEFVHAAALVSQSALFSKDLTEPRMSGAAMVHPSEVAAKGSSWKDLFEPGVRRALLVGVGIQILQQFAGINGVLYYTPQILEQAGVAVLLSNLGLSSASASILISSLTTLLMLPSIGLAMRLMDLSGRRFLLLGTIPILIASLVILVVSNVIDLGTVAHAALSTVSVIIYFCCFVMGFGPIPNILCAEIFPTRVRGLCIAICALTFWIGDIIVTYSLPVMLNAIGLAGVFGIYAVVCLIAFVFVFLKVPETKGMPLEVITEFFAVGAKQAAAKA >Sspon.08G0018590-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:75731425:75733155:-1 gene:Sspon.08G0018590-2D transcript:Sspon.08G0018590-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHRCATKRKARPCRGPQPTTSDLVYPTTLPAHRRRWRRQEQDGAGLRGFSRGGGGGGAAGVAAYAAGQESRRMFMEWKARYKKTYKYAGEEECRYAVFKESRCRVAWARADGVTTSGLNGLSARANEEIQRGYGVRKGEGSYEQETRRMFVGWKAKYGKTYRDVGEEECRYWLFKGNRRVVVRLNAAAAGQNAYGINQFGDLTNEEVRESCYPEMVDQELSARCQAAAPDPDPDHGRRIWYQRRSWR >Sspon.06G0014420-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:86531178:86538384:1 gene:Sspon.06G0014420-3C transcript:Sspon.06G0014420-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CBBY-like protein [Source:Projected from Arabidopsis thaliana (AT3G48420) UniProtKB/Swiss-Prot;Acc:Q94K71] MSMAAAAAAAAAAASSTLLRASNISVARPVPVTAGQQLVPSGVACCIRTVSGRRAAVVRAAAAADGAAPAGTLPAALLFDCDGVLVDTEKDGHRISFNETFAERELGVSWDVELYGELLKIGGGKERMTAYFNQTGWPAKAPKTDEERKEFIASLHKRKTELFMALIEKKLLPLRPGVQRRTPRRRRRWGWRSVRRRLLVAEELRTCADGGESGRAQAAAGAKRRQRCRRGRGPAAAPVGCGGASVRADGGERGRARAAAGAQRREMPERERNRRWQWRMREKATAADAGEGGAMRMGELIDEALGKAVKVAVCSTSNEKAVSAIVSYLLGPDRAEKITIFAGDVVPRKKPDPAIYILAATTLGVDPQSCVVVEDSTIGLAAAKAAGMKCIMYHKYVFSYTAEEDFETADAVFDCIGDPPEMRFDLDFCANLLQKH >Sspon.07G0007830-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:21118053:21122553:1 gene:Sspon.07G0007830-1A transcript:Sspon.07G0007830-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAAARSLRRLSSSSSSSVRALRRVLQHHPPPPPSLPPPLPPLRTLTRALLPHLAAAHWFSTASFSTSAPSRLGECVGVRGAPAISEEEEGEETGALVRHDTDAYAAVELALDSVVKVFTVSSSPNYFLPWQNKAQRESMGSGFVIPGRRIVTNAHVVADHTFVLVRKHGSPTKYKAEVQAVGHECDLALLTVESEEFWDGVNSLELGDIPFLQEAVAVVGYPQGGDNISVTKGVVSRVEPTQYAHGATQLMAIQIDAAINPGNSGGPAIMGDKVAGVAFQNLSGAENIGYIIPVPVIKRFISGVEESGKYSGFCTLGVSCQATENIQLRECFGMRPEMTGVLVSRINPLSDAYKILKKDDILLEFDGVPIANDGTVPFRNRERITFDHLVSMKKPEETAVLKVLRDGKEQELKVTLRPLQPLVPVHQFDKLPSYYIFAGFVFIPLTQPYLHEFGEDWYNASPRRLCERALRELPKKAGEQLVILSQVLMDDINVGYERLAEVQVKKVNGVEVENLKHLCSLVEGCTEENLRFDLDDERVIVLKYQNARLATSRVLKRHRIPSAISSDLVEDEATNGEVETSCTS >Sspon.07G0032670-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7C:53788565:53788897:-1 gene:Sspon.07G0032670-1C transcript:Sspon.07G0032670-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MWLQPRVSMQHPELTEEGLKFLRSSQSEEPLYAYPDAAMLLAMNEPRPFSDFSEWGRGWADPGIRRQRLAGKKAGRRKRKWRSRSRQQQPAGFTTAKERLAAILAKKRKR >Sspon.06G0001900-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:4914910:4920062:-1 gene:Sspon.06G0001900-2B transcript:Sspon.06G0001900-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQREVGPQVAPPLFLHQIQPMPPHAAAAAAAKKRGHPWPAAGAAVAPTEAAAGNWNPRLWDWDSRALTARPSSDALRLAGGQQQLPASMAAEAQRQGAGGSGALNLQLGLREDAATPMDAGPTAPVASSSPSSPPTSAAAGQEPVVRPSKRVRSGSPGSAGGGSAGGGGAANGGASYPMCQVDDCRADLTSAKDYHRRHKVCETHSKTTKAVVANQAQRFCQQCSSNPLDERSPSSSPPITHKFFPIHSVDEEVRHPHITDYGEDATMGEVSTSQAWCAPPLDLFKDSERPIENGSPPNPGYQSCYASTSCSDHSPSTSNSDGQDRTGRIIFKLFGKEPSTIPGNLRDDIVNWLKHSPTEMEGYIRPGCLVLSMYLSMPAIAWDELEENLLQRVNSLVQSSDLDFWRKGRFLVRTDSQLVSYKAGMTRLSKSWRTWNTPELTFVSPIAVVGGRKTSLVLKGRNLSIPGTQIHCTSIGKYISKEVLCSAYPGTIYDDSGVETFDLPGQPDLILGRCFIEVENRFRGNSFPVIVASSSVCLELRNLEVELEDSQVLDVSSDGQIHDSPQSKTRNQVLHFLNELGWLFQRASSCTLSSRSDVSDLDLIQFSIARFKYLLLFSNERDWCSLTKTLLEILAKRSLASEELSKETMEMLAEIHLLNRAVKRKSRSMVQLLVQFVVICPDNSKVYPFLPNLPGPGGLTPLHLAASIENAEDIVDALTDDPQQIGLTCWQSVLDDDGQSPETYAKLRNHNSYNELVAQKLVDMKNNQVTITVNGDEIHMDQLGNVGDHKKSGVQALQIRSCSQCAILESGVLRQPVRSRGLLARPYIHSMLAIAAVCVCVCVFMRALLRINSGKSFKWERLDFVAIVM >Sspon.06G0013960-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:55846177:55854059:-1 gene:Sspon.06G0013960-3D transcript:Sspon.06G0013960-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:UBP5 [Source:Projected from Arabidopsis thaliana (AT2G40930) UniProtKB/TrEMBL;Acc:A0A178VRF5] MEMVLAAPALPAEVERALIRDITVAADAHAKEGDTFFLITTRWWQSWIDYLIQDLTGATSNGYHHHEFGSKTPRRPGAIDNTDLIDDASFEISNTEIEIHDNLVEGRDYILLPQQVWEKLHGWYGGGPTLPRKAINTGFSQTDLAIEVYPLRLQLILMSRGERTFIRISKKDTVGQLHKRACKVFDLVPDEVCLWDYYGRTKHSLMDSLDKTLDDSNIQSIRDQILVEVTTDANGSLDGLVKRNNFFERESTSLITDAPKSGLPNENFAANSYASRSYNSNLTPSLYLRSTNGDLDNVHGSSGMSTRGSPLGLTGLLNLGNTCYMNSSIQCLVHTPQFTRYFCEDYHREINRQNPLGNVGELALAFGELLRKLWAPGGRAPVSPRPFKTKLSRFASQFNLNRVKHRPYINSGDADGRSDEEVADEYWANHIARNNSIIVDGQYKSTLVCPVCGKVSVTFDPFMYLSLPLQFASTRSVTAVVFSCDGSVPPTPFTVNVPKQGRCRDLLQALGTACSLKNGEKLLIAEIRNHKIYRFIDDPVLRLSTISDDDHLAVYKLPKLEKRVNYIQFVHRHEDLDHGNNNTLTSWKPYGVPLLAQISRNEIVTGSDIHELESGLSASGCSKFCFHKNS >Sspon.08G0010910-3P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8C:45481442:45482866:1 gene:Sspon.08G0010910-3P transcript:Sspon.08G0010910-3P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGAHEPLHPHKQMKTSSSSSKGGSHGYFVPRPVCAWLACGFVALALLHVLCCTPPGTQEAVLSPLLQYVDDTYNFVSSGPESCNYTEGRWVYAPGHARRYNGTECDVKDSHNCIRNGRPDTGYLDWRWQPAGCRLPSFSARAFLSAVRGKHVAFVGDSMSRNQAQSLVCLLGAAAPHRVVYRDADPHKFNLWRWAFPTHDVTVSFYWAPFIARATGKALNDSLPQNMNHVHLDALDDRWAADADGMDVVVLSIAHWPLNGAIYYNGSARIGHHGHQELSPEEDIGYAWPMKVAYRMALDRLMTTSAGSGTGGGRAAPRTVVIATFSPGHFEGNTLTTMCPRKEPYKEGEKEPRHLDMELVGLVYEEVEAARTRNGGGGAAGGGAGARVEVLDVTKLTVMRPDGHPGLYMHRDPFAHGGPQPWMSSDCVHFCLPGPVDTFNEILQQILRTK >Sspon.06G0014990-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6C:82798901:82799687:-1 gene:Sspon.06G0014990-2C transcript:Sspon.06G0014990-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGVLSSTVSSNRLPLEKPLVALASGLVSDSPIGTDPAHAFSSGCSPARTAAPTASYPLRNLSPDPTEPTPSPKTVGPNAPSRHGRMPNHTSPAATEPRAEQILTFHSHEHVPRTPTMSVEILDGSTVRSFVEDEGAFNSSVDGRFAALDADHDGLLTYAEMAGELMSLRVLEKHFGVDEAVAAPEELGALYRGLFARFDRDGSGKVDRDEFRSEMKEVMLAVANGLGFLPVQMVVEEGSFLK >Sspon.05G0016400-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:61679853:61685979:-1 gene:Sspon.05G0016400-3D transcript:Sspon.05G0016400-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LNLVSFETPPIGRQERSANTTITATATAAIRGKEESPHNTCFHGHLDLSLGISLSHGGGSSCDASSCGGYKQEGYGGRQGSRDDKDGILCMTTAGTSTANVVTTGHGHVGDLTASGSGGGGWAAAFMPSPTGFMHPWSLAARQQKAAVEQDRTPPTTYMARCALYLTYYMCFAKLIIFAPVMSTSDDRVATSPSAVGWPPVHTSRRNIVTAMQVTKTDATAADGPESTTTTTHAAAGVEKSVAAPLPPTDSTVVATLRPPPANMFAKVHMEGYAIGRKINLRAQGGYDSLSRVLANMTTNFFCPADCSGAGTGEKEAPNSDKFIFLYEDFEGDRMLVGDVPWELFLASAKRLYIVRNPAPSDK >Sspon.04G0006470-3C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4C:18035109:18036250:-1 gene:Sspon.04G0006470-3C transcript:Sspon.04G0006470-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RDSFITHRAFCDALAQESARLPPPGLTASHLYGATTAANMRLSLSQVGSHLASTLGADAHGHHQDLLRLGGGSAASRLGHLLGPSNASAFRPLPPPPSSAFLMGAPQEFGDGDGTGSHGFLQGKPFHGLMHLPDLQGNGAGGSSASSAPGLFNLGYIANSANSSGTSSHGHASQGHLTSDQFSEGGGGGGGGGSESSAAMLFSGGGNFAGGDHQVAPGGMYNNDPAVMLPQMSATALLQKASQMGSSASAHGGGVSVFGGLVGSSAPSATHARAPMLDQSQMHLQSLMNSLAAGGMFGGANSGSMIDPRMYDMDQDVKFNQQGRGGTEMTRDFLGVSGGGVMRGMTVPRGGHQDGAGDMSSLEAEMNSASSPFTGGRMQ >Sspon.07G0004690-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:12146729:12147183:1 gene:Sspon.07G0004690-1A transcript:Sspon.07G0004690-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DELFGPVKNPYYLVRYNSEEERNPEAHAAKEPGYINARSSATASFSPLRYGSCRC >Sspon.06G0006350-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6A:22608566:22608922:1 gene:Sspon.06G0006350-1A transcript:Sspon.06G0006350-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMEIAKVDLRGVVPRGAGWEAARAAVTASMVAHGCVVVAHDALGADLRRDLFSRALPELFALPIEVKQRTVSDKGQFRGYIGQRPGMAWESLRVGEPTDAASVLGFAEILWPEGNPEF >Sspon.03G0005000-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:14199335:14201303:-1 gene:Sspon.03G0005000-1A transcript:Sspon.03G0005000-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRPATIRLSPAAAGVTSRPTTRRSAASALRFVPDGSCRQARKLRLCCAPEDDEWWATPVRPEDLVEPTGQGAEEVIAIGDALVGDPLRPVWLAFQEIAATGGNIFRCRCFHAGVMSGTLLLVAGICQLCKVAPNLFMDIVLAYMFYKLSVLAAELKRNGKANNICARIQCVLMVILFYKYNNPTKDSYYHFTEFIWGITILVYSCTVFYECIGLKHPRHHLEAMFKTILTTKGGLIK >Sspon.06G0022580-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:33484699:33487826:1 gene:Sspon.06G0022580-1B transcript:Sspon.06G0022580-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHSMAATQTELKLRSSRQEPPVEPVQPKATLEISLDLLENMDESDVSTPMGSTRRKRSSLKSPVERMNEKLALAEVRQNIDSVHSKANLLNLQADRCWREEGAEVMLELSDTNKWCIVVKIQGVTRYSLKPSDMRPYVVNRHTQAYMWAVDDAWKLEFTDKWDWLLFKELHVVGRERNSQGKTIPIPGVHEVSDDMEGIVTDPFSRPVPDYIRMVDDEVGRAISRDSIYDMDSEDEQWLIQLNHAGSDPNSSQRNHISYEDFERIISIFEKDAYNNPQGKNDLIELLSRYPALGNDDNVHAVYEYWTSKRSKRAAPLLRVFQGAPIRGAPIRRGHLLQKSAMKRKRSFKRQKSQAGRGKPEALLLDNAEEEAAWQRVAQAERAAKQAVETAIRLRNRAQSLMTNAELAAYKSIMALRIAEAARISDSSRDIILGAPFVPNCNRVSRLRVELGYRFDPDGVLFG >Sspon.06G0016180-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:70197003:70199674:-1 gene:Sspon.06G0016180-3D transcript:Sspon.06G0016180-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GREGREGADRREDSGRHGQGEEAADHPLRASRPPGRPRSPLTRSCSACVALLRHLFLFRSSLCFVPCAFRVPRRTYPPPAERKVTGERPCGSNGGRVRGSHPGVPDSRGPGAGWEREQGSQDEAHQPPAPAAGDPRGRGAGHPDQGHHRRRRRHPPHPQVPHQQGCQGM >Sspon.08G0013670-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:56962082:56963753:-1 gene:Sspon.08G0013670-1A transcript:Sspon.08G0013670-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMVSGGGGNAWAKEMTIRRRIASMCAPHSEAISLFSDYYDYLEEVEDMSELFSLFVILLVLNYTNWGKLSRFDFSFQAFNLIEGIDVEAIEAKIARYQQENAEQIYLSRAKRAEDLAAALKASRMNPVKAEANDTAAGSSQGISGGAGVQGQYAPAAVLGGVAQPRPTGMAPQLMGSRSDPLQGDDEETRRLRAERAARAGGWTAELSKRRALEEAFSAIFI >Sspon.01G0011420-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:33127797:33132287:-1 gene:Sspon.01G0011420-3D transcript:Sspon.01G0011420-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MERAAPVRSSHTSTADLLAWPQPQSPAPAATPSPPRRPGQPSEAIRKVVFGGQVTEEEADSLTKRKPCSAPKWKEMTGSGIFAAGSNGEAGEAAAASKPARSASRQVISTVSHISFAEDGTDPPKKPTSVAEVAKQRELSGTLQSEADSKMKKQISNAKSKELNGHDIFADTPDSRSNRARNTSNGSTASHTPVKNTNVSTFSFGEANADSAPKTAKKITGKKVNDLTGNDIFKGDAPPASAEKHLSTAKLKEITGSNIFADGKEPIRERVGGNRKPPGGESSIALI >Sspon.05G0012040-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:39936709:39940711:1 gene:Sspon.05G0012040-2B transcript:Sspon.05G0012040-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPAAPPRTVICVGDVHGYITKLESLWSNLEAALPADAFATALVIFLGDYNDRGPHTRRVLDFLLALPTRHPAQRHVFLCGNHDLAFAAFVGALPPPPDGSPFSATWDEYIHNEEHEGWFRGPGYEGMHVQGRRWGGVIKERWNPKKGLPYKGSIYDAQPTFESYGVAHGSPDLAKAVPEEHKRFLHDLVWIHEEENVPIDTDEGQIICNLIAVHAGLERTIDLNEQLRVLRTRDTRVPKVQMLSGRQDVWNTPKDLTGKQTIIVSGHHGKLHIDGLRFIIDEGGGYADKPIAAIVFPSKTLIRSTEEAGTTSQS >Sspon.07G0030960-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:14361848:14368125:1 gene:Sspon.07G0030960-2D transcript:Sspon.07G0030960-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGLCSKVSAVDKSPSDTALGRNQVADHEPGASLELEKPPAAAQAKRLDEQQQQQQSFSFLESVVPGVAFHAGANAGGETGSRTSPQLTRPLSQRAGVGKAKAGAAKVSEVSSILGRASTVGLEKAVEVLDTLGSSMTGLNSSSGFVSTSAAKGNKIAMLAFEVANTIVKGSNLMRSLSEPSIKHLKEVVLHSEGVQHLISKDFDELLKMAAADKREELEVFTKEVVRFGNHCKDPQWHNLDRYFEKLASERTPQNHLKGKAESVMQKLVTSELYHELHALDRFEHDYRLKQKEQDGLSSRGDSLDILKQEVKVQSKHVKSLKKKSLWCKNLEEVDSEEPQETAKHHNRLGPAGLALHYANIINHIDNIVSRSCAMPPNARDTLYHSLPPTVKSALRSKLQSFEVKEELTASRIKAEMEKILRWLVPFASNTNKAHHGFGWVGEWANTGSELNCKLSGQMDMSRIETLYHADKEKTEALILELVVWLHHLISKSRNASGGVRSPIKSPVSSPTQKGGAIKPFPGKTNNSPPILTQEDQDMLRDVKYKKFVPGISKSQEFDTKSSHSKQSRLSKSNSHSPASGNRKDLLPVRRPSMLPVIDFEIDRTKALDLIDRLDNLKIQ >Sspon.05G0027950-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5B:57740446:57742178:1 gene:Sspon.05G0027950-1B transcript:Sspon.05G0027950-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVAYTLPSSSNEFIPEPTPLIEPSINPSGIEEDDSVIAPRRSKRQRVAKSFGDDFTVYLIDDTPTTIAEAYASPDAEYWKDVVHSEMDSITANGTWEVVDKLVGCKPIGCKWVFKKKLRPDGAIEKYKARLVAKVNEADTCVYYRYGGGKGVILCLYVDDILIFGTSIDEINDVKSFLSQNFDMKDLGEADVILNIKLNKGENGITLLQSHYVEKVLNRFGYMDCKPSPTPYDPSLILRKNKRLGKDHLRYSQIIGSLMYLASATSPDISFAVSKLSRFSSNPGTDHWRGIDRVMRYLKGTMSYAIHYSGYPGVLEGYSDSNWIIEVDELKATSGYIFTLGGGAISWRSCKQTILTKSTMEAKLTALDTASTEAEWLREMLMDLSMVEKPIPAILINCDNQTVITKVKNSQDNGKKSSKHIKHRPKSVRKLRSSGVIAVEYIQTAKNLADPFTNGLSRTVIDIASKEMGLRPM >Sspon.01G0017760-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:62631839:62638043:-1 gene:Sspon.01G0017760-1P transcript:Sspon.01G0017760-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLAGSRGGGGCGGLDAQIEQLMECRPLSETEVKTLCEKAKEILMEESNVQPVKSPVTICGDIHGQFHDLVELFQIGGKCPDTNYLFMGDYVDRGYYSVETVTLLVALKVRYPQRITILRGNHESRQITQVYGFYDECLRKYGSANVWKIFTDLFDYFPLTALVESEIFCLHGGLSPSIENLESVRSLDRVQEVPHEGPMCDLLWSDPDDRCGWGISPRGAGYTFGQDISEQFNHTNNLKLVARAHQLVMEGYNWAHEQKVVTIFSAPNYCYRCGNMASILEVDDCRNHTFIQFEPAPRRGEPDVTRRTPDYFL >Sspon.02G0011800-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:25614296:25616625:1 gene:Sspon.02G0011800-1P transcript:Sspon.02G0011800-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKPRQVVRKFLARPQHEGAGAVVRRSIGRFELRYFDPFLVLDEFSVSAPAGFPDHPHRGFETVTYMLEGAVTHEDFEGHRGTIKAGDVQWMTAGRGIVHSEMPAGPGTSKGLQLWVNLSSGNKMIEPGYQEIQSKDIACTSADGVTVRVIAGHAMGVRSPVCTRTPTMYLDFTVRPRGVVRQPVLASWNAFAYVLEGEGVFGAERCAPVGAHHLLLLGQGDGIEVWNKSDDRPLRFLLIAGEPIGEPVAQLGPFVMNTEEEIDTTVNDFECYANGFEKARHWKSQAMVALGVE >Sspon.03G0010510-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:28672915:28680345:-1 gene:Sspon.03G0010510-1A transcript:Sspon.03G0010510-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFGTAGASAANQNPNKSVEVTPAPGDSVSSLSFSPKANHLVSTSWDNQVLCWEVMAGGACQAKASISHDQPVLCSAWKDDGMTVFTGGCDKQVKMWPVLSGGQPTSFSGHEAPIKELAWVPQMNLLVSGSWDKTLRYWDIRQPQPVHVQQLPERCYALSLSYPLMVVGTADRNIIVFNLQNPQAEFKRITSPLKLQTRCLAAFPDQQGFLVGSIEGRVGVHHVDESQQGKNFTFKCHRDGNDIYSVNSLNFHPVHGTFATTGSDGGFNFWDKDSKQRLKVAFNKCPAPITCSTFNQDGSIFAYAVCYDWSKGADKHNPSTAKTNIFLHSVQESDVKGKPRGKKFVKVMRNNVVPV >Sspon.02G0041330-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:77939045:77944573:-1 gene:Sspon.02G0041330-2C transcript:Sspon.02G0041330-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRPLLRRGAAAAAAAAVSSGGARATALPDPPAALASLLLASRSYAKAKGGGKPASSTSNRGKVRAKDPRGVASADDAAGADFSAGGGGDGIDTEFELPTDPLPPTYDPALDVGPGGRPLFAFTDTFGSFAHRDANVYVDFTLDEWNAVLPEGLPAGMMKEFQETRRCAVMVRKSFLDLRDNFRRIVDPAVTTKLKDTKKQIVLDGPRSCGKSIALAMLVHWARTEGWLVFYVPQGKDWTHGGFFYRNTYSDFFDTPLQAATILQDFLKYNETRLQQLPCQIFEPIPLGEGAGVGMMKGADTMEMPEGSTLYDLIQTGITHTHASVGVVVRLRKELSLVKDVPVLFAIDQYNSWFTFSDFQEPVTVRSCRSIHAKELTMVNAYRSMLHNDMMVGAFSHSTAVGKLRQELPDVPSNARLIFPRYTLDEAETVCHYYMRQKIIRRENFSEEKWKKIYYLSNGNGAEMRWLAAFYEWNNYLSWEQYSSLRNSKIFLLK >Sspon.08G0001460-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:2751594:2756161:-1 gene:Sspon.08G0001460-3C transcript:Sspon.08G0001460-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSQGTVPVRRRCEGTAMGAITLDLRPGLGVGPFTLGMPISDAFAQIERQPNIYDVVHVKYFDEEPLKLDFVISFPDHGFHLRFDPWSQRLRLVEIYDVKRLQLRYATALIGGPSTLATFAAVYALFGPTFPGIYDKERGIYTLFYPGLSFAFPIPSQYTNLFTNGEVADLPLEFPDGTTPVTCRVCIYDSSTDSKVGVGSLMDKAVVPALPAGSLYMEEVHAKLGEELWFTIGASIFLLVHHHRCISYLFAEDVWTDLGRPCGIHQKQVDQMVIHSASEPRPRTTLCGDYFYNYFSRGIDILFDGQTHRIKKFVLHTNFPGHSDFNSYKKCNFVIYDAEAEGTVQPGNVSTNCITPSTKWEQVKEILGDCGRAAIQTQGSMNNPFGSTFVYGYQNIAFEVMKNGYIATVTLFQS >Sspon.04G0034540-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:75887451:75889204:1 gene:Sspon.04G0034540-1C transcript:Sspon.04G0034540-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGGGGSGDRAAATPMSTVSRHYFGGSASERHHDLRVDIIENIEEDYGMFVWPCSVILAEYVWQQRSRFSASRVVELGAGTSLPGLVAAKVGADVTLTDIAQNTEVLNNIRSICALNNASCTVSGLTWGDWDETLFDLRPDIILGADVLYDSANFDDLFATVTFLLENSSGAVFITTYHNRSGHHLIEFLMVKWGLKCLKLLDGFSFLPPCKAASLQGNIQLVEI >Sspon.02G0033450-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2B:7311764:7312294:-1 gene:Sspon.02G0033450-1B transcript:Sspon.02G0033450-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSVRRQGRVGIAPKEPAEEVARRRPEPPLMEVCKADDVAGGRVQLVFIAGTIHFGYVAFVTGRKSPSSTSRNMIFSVKLE >Sspon.01G0031160-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:107605041:107607429:-1 gene:Sspon.01G0031160-2B transcript:Sspon.01G0031160-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRQAARARDGGGGRPGPAAPALLQLHLRRPGREVLRPDRQALHRGHHHPVADGRHRGVPRVHRPERVVRVRRRGRTPPAVAGHRGARAAAARPGGAVAGPLAVVARAVQHPRRRVHRAGRGNRGEAGPPAPGRARRAAVPGPGRGRGALGRRVRRRLRRLLLRGLLHDAGARGVHGRPQQVPLRAPPGHRRRHRRVRLLRRLRLPRLRRRHQGHHHAQPPDHLVHRRRQGGAVHRAGPDVPGDDAPDPRDRGGAASGAGRVAAEALPRRRRPGAGSPAREPRGGAGGAVGDRVLRAGVRVVRVLRGEHGVRAALLRAARAVPPPRRGPRRGRRAARRRLGHPPLRPRVRRARPVRRRPVAALTAGGGGGLVHVPIPIHEFLFHAHEHLC >Sspon.07G0010570-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:23520207:23524885:1 gene:Sspon.07G0010570-1P transcript:Sspon.07G0010570-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLGGAVERPPPLPIPSLALVTAAAASSRLCPSSPDPTFLEEQMARSMPPSLDPEHRRAVGRGRCAGMRACGEDEERSCGEGTAGAVGSAVRGGGDARLPAAPTNTKLSVPHTPVHFPSQFHPPTKLARGLSSGGHEKGRPLAMGGGAGVEATKKALRATASDGDGASVPPVEQQQKQQPVPLPAAGVTGSNAADGSASAAVQSCGEEDGQDDEQVERFYALLANIRALRGLYSAGTGPAASGRGSRKRAREAEAPWTPAFRLEDFEEEVNQGAAAADARCAVMNQGASGGAARRPAVARARVAAADDGHEEDGGISSVAASQRADDRFPVVSLFTTWFGRHKPLTITGGGQEQSPTLANASKLLQAV >Sspon.05G0007090-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:22199418:22200218:-1 gene:Sspon.05G0007090-1A transcript:Sspon.05G0007090-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAKDETPLAVAAGTPASLMKPQTGCRTAHIMLGSAMEWQEGWYREEFKKGEGAGSETPESQAQNGVRRQAQLRLENRCVPNSCNSYIREGKLDLVVAGEIGGGLRLELAGGNLAHRSS >Sspon.05G0004010-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:12313357:12315898:1 gene:Sspon.05G0004010-1A transcript:Sspon.05G0004010-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGPNSISSRKSRSRRAAAPRPALQEAGSRPYMPPLSTGSRNPLAKCYVSSRPQSPLFLGTRTQAVGRNASTVNGSLCLQGDRFIPERSAMDMDLAHYLLTEPRKGKENPAARVSPSKEAYRKLLAEKLLNNRTRILAFRNKPPEPESMLTDLRADATQAKPAKQRRQVPQSAEKVLDAPELVDDYYRTRILAFRNKPPEPESMLTDLRADATQAKPAKQRRQVPQSAEKVLDAPELVDDYYLNLLDWGSSNVLSIALGCKVYLWDASSQSATELVTIDEDSGPVTSVSWALDGRHIAIGLNSSDVQLWDSTSNRLLRTLRGVHESRVGSLAWNNSILTTGGMDGKIVNNDVRIRNNVVQTYHGHEQEVCGLRWSGSGQQLASGGNDNLLHIWDVSMSSSVQTAGHTQWLHRLQGHLSAVKALAWCPFQSNLLASGGGGGDRCIKFWNTHTGACLNSVNTGSQVCALLWNKNERAAELARIHSESAHLYPSMVKLAELNGHTSRVLFMAQSPDGCNVASAAADETLRIWNVFGTPEALSRDLPEPADLLIGT >Sspon.02G0024760-3C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:90844872:90850739:-1 gene:Sspon.02G0024760-3C transcript:Sspon.02G0024760-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPLPELFDDAIAEILIRLPPDDPACLVRASLVCKLWRRILSDSTFPHRYREFHRTPPLLGYVCSGYRKAGRFVTITTGVPFLQPPFGCRALDCRHGRVLLDSVGGRRENLAVWDPFTGDHKLFADPDPGSFRRSGVVLCAAAGCNHRACHGGPFVVVLVNSPLEGTKAISALVYSSNRESDAWSAPASVQLPEKSHVWEKRGALVGDQIFLLTDTGILKYDLGKHCLTMISLPSCIYISRPVLMTMEDGSLGLADVKDSTLHLWARKVNLDGAMGWVQDRVVLLNNLVPIIPRTGYLHMNVIGFAEGMDFLLLGDGGSGFMFELKSGRFKKLGNPEYHYYDVFPYSSFYIPVRTTSEPETIAVFIFSTLWRVDVQSERAMRMEAAQAGLFREPMTRAGFPHTQNPQFPIRTFLIQTLAPPELIPMASPPPMPELIPDAVAEILLRLPRDDPAGLVRASAVCKPWLRTLTDPAFLHRYRAFHGTSSVLGFLHNPTDSGLARFVPTTAFRPHAAAAAHRTRTCVVLDCRHGHALLYDYGSTEFVVWDPVTGRERRIPVEVPNMYTNHAVLCAAGASCDHSTCSGGPFLLASVVVYQECEGVNCLAYGCFFSSETGETSARTDLYLEGEHNLCGLEDRPAVLVGGALYFVSRSGTLLRYDVLGGRGLSVMELPPANFLGSSTIVMTAANGGLGLATFGYGALALWSTETGPNGDAKWAHIKIIQLEKLLPVDIRMNSAWLSGFAEDANVIFLSTDDNGIFTIELKSLLTRK >Sspon.02G0010940-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2B:28377524:28378449:-1 gene:Sspon.02G0010940-2B transcript:Sspon.02G0010940-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MIARSSTSTPSSTLRRPPPQGSSSGACFVLLADALPFSVCVGSSWDCDLAGFCGSGHRNMASRARWVKETGAAEVVESRGNLWLTTGVTRAGKLYYNVEEIGFLAERGALILLNDKDETIGIEDIYEKLAGGNYGCSWDTFQAYKHLKSLGYIVGRFGVPWTMKHSGTCDTVAPRMSIVEPDQSLNRVDGASNGITKLLKEMQIDGISPSFEVYLPNSKFKKSSPGSPSFLLCLL >Sspon.07G0009630-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7B:25909866:25910372:1 gene:Sspon.07G0009630-2B transcript:Sspon.07G0009630-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAHRRLLLLLAVAAAAAASGTAIAKPTAYEVLADYDFPPGILPKGVVSYTLDNATGAFTATLDGSSSCEFSIQGSYTLRYKTEISGTIATDHLTDLEGVSVKVLLFWLNIVEVTRSGDNLEFSIGIVSADFGVDNFLESPTCGCGFDCDDLLMLQKQPGAATAKLRLR >Sspon.01G0051190-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:12151225:12154131:1 gene:Sspon.01G0051190-1C transcript:Sspon.01G0051190-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASEAAAAAVMEAEDVSGGKGSWYVLGERALMVPYMREHVPRYHDWMQDPALLEATASEPLSLSQEFEVHRSWTLDPLKHTFIVLDKELIEGEFVPGNPHTEAMVGDVNIYMNDSDDMQIAEIEIMIAEHKSRGKGIGQEAIILMMAFAVEKYGIHTFRAKISESNMASLKLFRKLGFKDASYSMVFKEVTLEAPADSLPLRFPLTIGDW >Sspon.06G0014860-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:83095763:83101419:1 gene:Sspon.06G0014860-3C transcript:Sspon.06G0014860-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPDPSPSTSTQQKQLDSLANLLAEASRFYRRAYNELFSGVTTEQEPESSTNTPEYMLFGWHLFLMLRSRSPELFKDLVSCIHGLVAVLSNKLRKAWILLLRYAIIIMPLKNV >Sspon.02G0008850-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:25185789:25191244:-1 gene:Sspon.02G0008850-1A transcript:Sspon.02G0008850-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDASGAGGKAKKGAAGRKAGGPRKKSVSRSVKAGLQFPVGRIGRYLKKGRYAQRVGTGAPVYLAAVLEYLAAEVLELAGNAARDNKKTRIIPRHVLLAIRNDEELGKLLAGVTIAHGGVLPNINPVLLPKKVAEKAASGGSKAAKSPKKAANAAGGSGKETGHGHPKFPREPIAQQGSRYSLRVVLCAYMILAHPSAVLSGQRDGETLLMESAASFVEEFELLTKTVLDGPSVSAAQRKFRDQLAAFDKAWAACKLELSMMQTCKVTADGRSPSSLTHDMKAIQRQVADDQKLLRDKVQLLSGDPGVARMDSALSDTRSKFFEGKKKDAKSSTETCRRRRIYPCLEHQFIVSAAVPAAENAFQEKVRETMEKVFWDLITDSMRGDKPDYSQLVSLVKEVRDSLHELSPKGWKEEILEKIDVEILSQVLGSGSQDAQYLGQILQYSLGMVRRLSAAAKEDQMKKSHDKMLTELAASSQADDADGTSSFVVGAVKGLRFALEEIKELQAEVSKARIQMAMQRIIQGSTGVDYLQNAFADRYGAPASAEASLPLTVRWISTSKNVARQEWREHLGSLSVSVVPSAALVPVLRAGHGAAVRQPSSSSPAAAGGVSGQPECKGDELDKLIRISLLQLVSSVEALQMQSTPESFQINLMSMHILRQVLMGESSKATAQELDNATAELFKALVKMLDASPDAGAEEIVEAMMSASAAVGSPLEEKIQGRRQTTVRVLLKSLQPGDVVFKMVSRAVFCAFRGVVLGGSGHKGQKLADAARPGSLIG >Sspon.01G0002390-2D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1D:6462411:6462824:1 gene:Sspon.01G0002390-2D transcript:Sspon.01G0002390-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSAAEVPVVISSDEEDAAQTPAAGKRKSPDAAALAWAEAILDQEDSDDAVLERVGYPATMLEILDSLKAETGIVVGDEGVVGAKNTSTVPVARGNDDDDDCVILDGDPDKPVAVAKEGEPSRDAAEDELQIVAEKGE >Sspon.02G0034090-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:10553780:10557586:-1 gene:Sspon.02G0034090-1P transcript:Sspon.02G0034090-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTHAISKKRKFVADGVFFAELNEMLTRELAEDGYSGVEVRVTPMRTEIIIRATRTQNVLGEKGRRIRELTSVVQKRFNFRRLYAEKVNNRGLCAIAQAESLRYKLLGGLAVRRACYGVLRFVMESGAKGCEVIVSGKLRAQRAKSMKFKDGYMISSGHPVNLYIDSAVRHVLLRQGVLGIKVKIMLDWDPKGKQGPTMPLPDLVTIHEPKEEDEFLRPLAAEIPIA >Sspon.07G0019490-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7C:74139196:74151200:-1 gene:Sspon.07G0019490-2C transcript:Sspon.07G0019490-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding CSCWGCSRPRQVKDVAHQEEVIRVLTNTLQTADLPHMLFYGPPGTGKTTTALAIAYQLYGPELYKSRVLELNASDDRGINVVRTKIKDFAAVAVGTARKAIIEPLASRCAKFRFKPLSEEVMSSRIMHICNEEGLNLDAQALSTLSTISQGDLRRAITYLQVIPEDVVKSLLAACRSGDFDVANKEVSNIIADGYPVSQLMAQFLDVIVGADDIPDDQKARICKKLGETDKCLVDGADEYLQLLDVASETIRALFNMPQTLDLNKPRKERAPNLKELKAFGRLTAIARGSRPTAVVPSLADTLSGRPPPPPLPLPPPRAPATMPRKASSNSDARTKWRKRKRVAASASPSKQPADHSDDSDTAAAANGDDEASRAASANGGGGTLAGGGDDDPVLDLRAAEVLSSSAEPVSAFPAAVRRAVGRPHPSVLAVIAAERGAASSDGAPATPAPVPVLENISNGQLQVVSAILPDHPSLSYDPDKPSTYVCTPPPLMEGCGVHKQFYGKLHIVPRHSDWFVPTTVHRLERQVVPQYFSGKSQGQTPEKYMMLRNKVIAKYLERPGKRLVFAECQGLVTSTPELYDLSRIVRFLESWGIINYLATGSVHRGLRMAASLIKEETTGELQLVSAPMKSIDGLILFDRPKCSIRADDISSSMSTSSAPFVANGDADSANLDEKIWERLSESSCSFCSQPLPSLHYESQKEADIALCSDCFHNAKFVTGHSSLDFQRVDGMKDGSDTDGDRWTDQETLLLLEGIEKFNDNWNHITGHVGTKSKAQCIHHFIRLPVEDGLLENIEVPEACLPSRMHSNGILHSDSNGSTSGSQPGNQIPFINSANPVMSLVAFLAAEVGPRVAASCASAALSVLTRDDSRMHAEGIDAMGHATHLNYGPSSSISSETVKNAAICGLSAAATKSKLFADQEEREIQRLSATIINHQGVLSGDFFTEYLALWDLISEVMLQPEVEDSRLKFSGDGQYSAKSSYESLFHGTLKRLELKLKQFAEVETMLLKESERLEAMRQQLVTQRVRLLSTRFTSTGGTIPGGSSSMVSNPMNQATGRRPLMMPGSVSQSSMPAMYANNMQGHPQMALLQQRQQMLSFGPRLPLSAINPGSSSSTPNMMFNPGMPNSAAPNHHPLLRSPSGNNSNVG >Sspon.06G0024440-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:54880397:54880843:1 gene:Sspon.06G0024440-1B transcript:Sspon.06G0024440-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GDGRQGPDGGPDRLDAGGLLAVRHGRGRQNRALGAGRPHALPRREPHAGAAPGHRRAGEAHGALRLPALPRAHARPPQARALRPPAPRRLPRPRQGRLRHRLRRRPPPRPHLHRREARGARVRRVDPRGRRRARRHHPLRRLHPPHRRQ >Sspon.02G0031200-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:114119100:114119532:1 gene:Sspon.02G0031200-1A transcript:Sspon.02G0031200-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLKMTLALCLSLLVMTLLLDPSCGNKEACDEWSSDTYRMLLLCSSKTCSKHCIGEGATRGKCGFLIFRSFCFCTKECD >Sspon.05G0033740-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:45944606:45946108:-1 gene:Sspon.05G0033740-2D transcript:Sspon.05G0033740-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVKNLCSCSGSRALQLQLLFFSLALSLHVPYHANSLSFSYIFFNPDDFRLEDDARVFDGRIELLGDEFAGRARGRAWHKQPVQLWDGTTGEAASFTAIFSFSIQSVTGKGPASAGHGMTFFLAPYTPDLPQESYDGCLGLFDESEVPNYSRFNASGDSRFVAVEFDIHKDIWDPSSQHIGIDINNVDSRGDYTVLPDGSLVDAGVVFATVVYDNRTRGLDVSLMVGSATYTSVATVDLRSLLPERVAVGFSAATGDEYAANHTVLSFSFLSTLATKNSTAIPVTSSTKKTTLKFSAGAAAAGLLVLLFVATVAVLLVRRSSRRGKQPYDEEKLSTDGDDSLDVVDDSDFESSTGPRPIPYAQLAAATKDFAAEGKLGQGGSGSVYRGHLKESGLDVAIKVFSRGASMEGRKEYRSEVTVISRLRHRNLVQLIGWCHGRRRLLLVYELVSNGSLDGHLYSTEVALTWPTRYAHVAILWSDSYLVLAALLLFSLPIGDYKS >Sspon.03G0018170-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:55770941:55773082:-1 gene:Sspon.03G0018170-1T transcript:Sspon.03G0018170-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGDGHPYAPADLHLPGFVPLQLSQGQILAPYLGTSVFVVLAVWLVSGRCRGLSKTDRLLMCWWAFTGLTHIVIEGTFVFNPGFFRKENPNYFDEVWKEYSKGDSRYVARDPATVTVEGITAVLEGPASLLAVYAIASHRSYSHILQFTVCLGQLYGCLVYFTTAYLDGFNFWISPFYFWAYFILANSFWVWIPTLIAMRSWKTICAAFRTEKAKKTK >Sspon.02G0037960-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:33526253:33528882:-1 gene:Sspon.02G0037960-2D transcript:Sspon.02G0037960-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNRGEDEHEEEMDFHLGTADDNSSNDENTSSNSSLSSMRKEIGGDAQRDAADIFTRIAAGAEMNNTLDEYWDIVQKTFATEGEAYIFYNKYARDKGFSVRKQKVLAPNNFEDCIAGLEKLTCNKIVAQNSKGK >Sspon.01G0061010-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:86579243:86581345:1 gene:Sspon.01G0061010-1D transcript:Sspon.01G0061010-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHLITNCSFSTSPAVQTFSSSPNYCRSVGQLQNSKSSYLSLKSCSRRQKKSYVTCASAAVQGQTHLSSKPKKVMVIGGDGYCGWATALHLSNKGYEVAIVDNLVRRLFDHQLGLDSLTPITSIQNRVRRWKSLTGKTIQLFIGDICDFEFLSEAFKSFEPDAAVHFGEQRSAPYSMIDRSRAVYTQHNNVIGTLNVLFAMKEYSEECHLVKLGTMGEYGTPNIDIEEGFITVTHNGRTDILPYPKQASSFYHLSKVHDSHNIAFTCKAWGIRATDLNQGVVYGVRTDETAMHEELSNRFDYDGVFGTALNRFCVQAAVGHPLTVYGKGGQTRGYLDIRDTVQCVELAIANPAKPGEFRVFNQFTEQFSVNALAKLVTAAGAKLGLEVQTKSVPNPRVEAEEHYYNAKHTKLIELGLVPHLLSDSLLDSLLNFAIQYKDRVDTAQIMPSVLWKKM >Sspon.02G0022250-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:74353599:74354860:-1 gene:Sspon.02G0022250-1A transcript:Sspon.02G0022250-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding QESPPLHSTTSRRPLQQPANNHTAARSNQQRGTVKSRASQPANGDGDGGGAATAAAAAPGRGGGAEPAAGVPVPPDGRGAGGALPLRAGRRPPPPGVHHRRDRPLPLRPLGPPERALFGRREWYFFTPRDRKYPNGSRPNRAAGSGYWKATGADKPVEHRGRTVGIKKALVFYHGKPPRGVKTEWIMHEYRLADAGARTKKSGTGTLRLDDWVLCRLYNKKNEWEKMQQQKEKKEMESEASHSHSDTRTPESEIDDDPFPELASLPALDDMVGPAPAASAAGAILPKEEVEDFGDLGGDDWLAGINLDDLQMPGDADFFGNMLVSPMAAKMEQDAGFPFF >Sspon.03G0033880-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:65453960:65457988:-1 gene:Sspon.03G0033880-1B transcript:Sspon.03G0033880-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADTAAAAMAAASLCDDLEPATARTRIRDVLAAGAARAGDRVVVGGWVRTGREQGKGSFAFLELSDGSCAATLQVIVDAAVHPLARLTATGTSVLVEGEIKEPPEGTKQNVELKVSRVLEVGEVDAAVYPLPKGKVKLTLEKLRDVIHLRSRTNTIGAVARIRHQLAYASHTFFDENGFLYVHTPIITTSDCEGAGEMFQVTTLFSQAEKTEKELRENPAPSDSEIEAAKFLVKERGDAVAQLKAAKASKQEISAAVDDLNRAKEIVSKLEERSKLKPGIPRRDDGSIAFENDFFKRQAFLTVSGQLQVETYACSLSSVYTFGPTFRAENSHTSRHLAEFWMVEPEIAFANLQDDMNCAEKYVQYLCKWLLDHCREDMEFMVKNYDKSAIERLELVSSTPFVRISYTKAVELLKNVTDKKFDNKVEWGIDLASEHERYLTEDIFKKPVIVYNYPKGIKAFYMRLNDDDKTVAAMDVLVPKVGELIGGSQREERLDVLKHRILDAGLPLEPYEWYLDLRRFGSVKHSGFGLGFERMILFATGMENIRDVIPFPRYPGRADL >Sspon.05G0000420-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:1223205:1227854:-1 gene:Sspon.05G0000420-2D transcript:Sspon.05G0000420-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pre-mRNA cleavage factor Im 25 kDa subunit 2 [Source:Projected from Arabidopsis thaliana (AT4G25550) UniProtKB/Swiss-Prot;Acc:Q8GXS3] MVSSSSPVVNVYPLANYTFGTKEPKMEKDTSVADRLARMKVNYMKEGMRTSVEAILLVQEHNHPHILLLQIGNTFCKLPGGRLKPGENEIEGLKRKLCSKLAVNSPSFPPNWQVGECVAVWWRPNFETVMYPYCPPHITKPKECKKLFIVHLSEREYFAVPRNLKLLAVPLFELYDNVQRYGPVISTIPQQLSRFQFNMVSS >Sspon.03G0041120-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:36514749:36519127:1 gene:Sspon.03G0041120-1C transcript:Sspon.03G0041120-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAEGEEGAVEEATGFEVGVVVPKLSRAAAAGAAEDCVARLVRELEGAGLLVERVRGVPAEFIKLAAPMGTLGRAAAEMQMKKLTYIGMELQFEWDQVAAFVRQPDGSLFSWRERYCCFRYLIYGIVNKTNSEIVLKFDDKEFHWKQSESLLTRLEAEGVVKLVFPLHDEIKRKQLLRNWALNWLEFTWQPIDEIYSYFGTKIATYFAFLGMYARWLFFPAVFGLATQLIDFGSLQWLVLPGFFIFVISWAVLFLQFWKRKNSALLARLYMIHIDVPITHIDLEISGSFPHNRFITSMTPSNRWGINCSLSEYKNLGNELSFLSDSLTVEEKEFGDVSAEKRKLQRNEWFGVLLRIRNNAIIVLAIICLQLPFELAYAHLYEITETEVMRYLLTAVYLVAIQYYTRIGGKVSVNLIKYENNQGEESSSASLVYKVFGLYFMQSYIGLFYHASLYRDILALRQVLIQRLIVLENVIENSIPYLKYSYKKYIAVHKKKHEKESPVGRSVRLSTRVEKEYLKPSYTASIGAELEDGLFDDFLELTLQFGMIMMFACAFPLIFCFAALNNVTEIRADALKLLVMLKRPVPRAAATIGAWLNIFQFLVVMAICTNCLLLVCLYDEEGKWRIEPGLAAILIMEHALLLIKFGFSHFVPEEPAWVKANRVRYVAQAQNVCSKQLLRSISKFDRKLD >Sspon.07G0000660-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:895359:896041:1 gene:Sspon.07G0000660-3D transcript:Sspon.07G0000660-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSTSWRDKQRPDLVNFIATFLATNLYRLNFLSLSPDFLFNNGGLSVAFIFDTDWLPEREAAVFSRVSTLKRQFKYIYVVVVVRSPEQNESFSQSYFKYGMELGCPTFVPVCDPEMGFEKIVRIAHARG >Sspon.01G0049460-1P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:113705155:113706868:-1 gene:Sspon.01G0049460-1P transcript:Sspon.01G0049460-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKAYVAVLSGAFLFLLHYLVGRANGGGKGKGQQLPPSPPAIPFLGHLHLIKTPFHAALTRLAARHGPVFSMRMGSRRAVVVSSPECAKECFTEHDVNFANRPMFPSMRLVSFDGALLSMSSYGPYWRNLRRVATVQLLSAHRVACMTPVIAAEVRAMVRRMDHAAAAAPGGAARIQMKRRLFELSLSVLMETIAQTKTSRTEANADTDMSPEAHEFKQIIDELVPYLGTANRWDYLPVLRWFDVYGVRNRILDAVSRRDAFLKRLIDGERRRLDDGKESENKSMIAVLLTLKKSEPEVYTDTMIIALCANLFGAGTETTSTTTEWAMSLLLNHPEALKKAQVEIDAAVGTSRLVTADDVSHLAYLQCIMNETLRLYPAAPLLLPHESSADCKVGGYDVPRGTMLLVNAYAIHRDPAVWEDPAEFRPERFEDDGKAEGRLLMPFGMGRRKCPGEALALRTIGLVLGTLIQCFDWDRVDGVEVDMAESGGLTISRAVPLEAMCKPRAAMRDVLQEL >Sspon.03G0018570-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:87167453:87168721:-1 gene:Sspon.03G0018570-2B transcript:Sspon.03G0018570-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQAASKRSALLRPMMYEDEPSSMSLELFGYHGVVVDGDEADGGSAAAALSLQLAFDDDNFKGGCDGASADYYSSWAAYGGSGATSSSSSSVLSFEQAGSGGQHHLAYGEDGCALWMDPAAGMVEHPAQQQHGSSCNFGLVVSPGSSPDDAGLYIQEPGTVQLPAKAPHKRARRQNSNMILNLKQDGEVQAAAAKKQCGGGARKSKAKAAPAPTKDPQSVAAKVRREKIAEKLKVLQDLVPNGTKVDLVTMLEKAITYVKFLQLQVKVLAADEFWPAQGGKAPELSQVKDALDAILSSQ >Sspon.08G0010740-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:41968010:41974470:1 gene:Sspon.08G0010740-2B transcript:Sspon.08G0010740-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPGPLGSKRRVAEGGTETEPEEEEYEVEEVRDHIASSRGSRLALFGSDLRLGRFRPRRRSRRRPLAGEGAVEGFFHGLVIHPDNKWYRLWSKFILVWAVYSSFFTPLEFGFFRGLPRNLFFLDIAGQVAFLIDIVVKFFVAYRDPDTYRIVYNPTAIALRYCKSSFIFDLLGCFPWDIIYKACGSKEEVRYLLWIRLTRVTKITEFFWRLEKDIRINYLFTRIVKLIVVELYCTHTAACIFYYLATTLPESMEGYTWIGSLQLGDYKFSHFREIDLTTRYTTSLYFAIVTMATVGYGDIHAVNIREMIFIMIYVSFDMILGAYLIGNMTALIVKGSRTERFRDKMKEVIRYMNRNKLGKEIREQIKGHLRLQYESSYTEASVLQDIPISIRAKISQTLYKPYIESIPLFKGCSAEFIQQIVIRLQEEFFLPGEVILEQGSAVDQLYFVCHGALEGVGIGEDGQEETLLMLEPENSFGEISILCNIPQPYTVRVCELCRLLRLDKQSFTNILEIYFVDGRRILSNLSESEYGGRVKQLESDITFHIGKQEAELTLRVNSAAFYGDLNQLKNLIRAGADPKNTDYDGRSPLHLAASRGYEDVVQFLINEGVDIDLTDQFGNTPLLEAVKQGHERVAALLFAKGAKLNLKNAGSHLCTAVAKGDSDFIRRTLAYGADPNCRDYDHRTPLHIAAAEGLYLIAKMLVEAGASVFATDRWGTTPLDEARKCGGRTLGALLEEARANELAMFPERGEEVRDKMHPRRCSVFPYHPWRAATGEERRMEGVVLWIPHTIESLVASAQEKLDLPGPASRLRLLCEDGARVLEVDMVNDGQKLYLVGGEDDDQKDVKENGIKSLILAGHCTELQIDNYVRCKMKMQCEEATNQTDYPSPNR >Sspon.07G0015320-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:40151204:40158227:1 gene:Sspon.07G0015320-3C transcript:Sspon.07G0015320-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSSGAYGGNGEAWRGAVSPAARYAESGGASLTWENLTAVLPGGGGRATKKLVQGLYGYAVPGRIVAIMGPSGSGKSTLLDSLSGTYYYQIAYVTQENVMLGTLTVRETVTYSALLRLPSSMRKSEVRRIVDDTLDEMGLRECADRHIGTWHLRGISGGEKKRLSIALEILTRPRLLFLDEPTSGLDSAAAFSVVQTLRHLAVDGGRTIISSVHQPSSEVFALFDDLCLLSSGECVYFGDAKLATQFFAETGFPCPSRRNPSDHFLRCVNSDFDDVAATMKGSMKLRPEAELDPLLKYSTSEIRERLVDKYRISDYAMMVRSTIHEITKIEGVMVEVIRGSQASWFKQLRTLTSRSFTNMSRDLNYYWLRIIIYIVMAICLGTIYYDVGTSYSAIQARASCGGFVSGFMTFMSIGGFPSFIEEMKVFTLERQNGHYGVAAYIISNFISSMPFLLTVSWASASITYWMVKYRSGFSYFAFFALNLYGGVSVIESLMMIISALVPNFLMGLILGAGVIGIMMLTSGFFRLLPELPKIFWRYPVSYIVYGSWGLKGGYKNDMIGLEFEPMVPGEPKLTGEYIITEMMGLSLDHSKWLDLAMIFVLLFAYRLTFFIVLKVKEAAAPYIRVAYTRFTVKRLERRASFRKTLAMTSMSKRHNPPHPMAIQEGLNSPMPY >Sspon.02G0054410-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:113184447:113187716:-1 gene:Sspon.02G0054410-1C transcript:Sspon.02G0054410-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGLFLPSGCLAACSCVFLIIVAASALLMTEEVIKEAVTSTPASTEPKCEDLLIDSPVVMDGSSTTPDVKRKEKPVPHYLRASTSSCHDNCKFGIKHSSEPKKYWPVSRKQLRRASTGNMEHDRIQIILPQKNRPRKEDHKLNISHVKGGSATAPAKPEFITLKAPLEKVPDHSESIPHVEDSTAEAPELVAGTPSIDAECYVVSHDDATDCGDGESLDGAESIVLEMPLAIQDIDESDEHIEDAILPADNVCGVEEQSPVGSVPGQSENESASSDIRTPQTVIASENHEQSKLGTKSGSRPKESVKPKAKATLSMARDKGSSQKSVRTSHLTSTRTTVDSSSGPKTARKPADVTAATKFRNPERKIRPTVTSAVQKVKEIKVHSASNAKDSSAKPSRLAKLKDSTTKTAPSPSLPSEKRTDRKMSGNNVGKNAQILPKKREDKVKTGPLKLSQSMNMSGKSLSGVKLRTVRKEKIAPPVNSSKKVSGTENSSTDAKEAKQRILKTASPKVRKLETDNKEKGPRKEKIDTARTTTARRAKPASAAASSTVVPAQPPRKLTFRRGKVVNPDDSSGRTPRRLRFRPAIAAAADATARSRGCRIASRRIGGGGAAARDAGAEVVVLRRRQDGKDTKKQEPGLFNNVIEETASRLVAEARKSKVKALVGAFETVISLQETSKAAAPAMAAGAVP >Sspon.02G0005430-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:17011303:17012849:1 gene:Sspon.02G0005430-1A transcript:Sspon.02G0005430-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SVPDIADNVIGAPPINGRYSKLSRKDIKARCPACRGLCNCRQCSLGHTRGATHKELPSGERKILSIKISNHQFYKLLPVKLDQEQLDELELEAKIQGTKISNVRVQVAENGQNESLYWYALISYVAISYI >Sspon.03G0018200-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:77305784:77310378:-1 gene:Sspon.03G0018200-2C transcript:Sspon.03G0018200-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRAVCTLQSSSDFFFFYFFPSQVSSVAASAYAAATDHSFLPSPVEAAGLASGLLLLRRRRRRGRAMAAPALFFPMFLAASLLSVAAPALGRSPSCPDGWQADPVQNKCFMYISTSLSWDRSEALCHNYSGHLAALSSVQELNFVKSLCGSSSSGCWVGGHHYSTSTGNGWKWSDDSSVWNVTVFLGEPLRANCSYPACKVATSSDLCTLVTNGRASIIITEKRCSESHGMICMMNHVDRCYHDHCHKEYFIAIIAVSGFILATTLAVVVWLLVYRRSKKRRRSREVLSASAAALVAPQWKVFTSEELRSITKNFSEGNRLPGNAKTGGTYSGILPDGSKVAIKRLKRSSLQRKKDFYSEIRRVSKLYHPNLVAVKGCCYDHGDRFIVYEFVANGPLDVWLHHIPRGGRSLDWAMRMRAATTLAQGIAFLHDKVKPQVVHRDIRASNVLLDEEFGSHLMGVGLSKFVPWEVMHERTVKAASYGYLAPEFIYRNELTTKSDVYSFGVLLLEIISGRRPAQSVESVGWQTIFEWATPLVQSHRYLDLLDPHIQELPDTGVIQKVVDLVYSCTQHVPSVRPRMSHVVHQLQQLELKSAASEQHLRSGTSTSATSPMLPLEVRTPR >Sspon.08G0006260-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:16541192:16547826:-1 gene:Sspon.08G0006260-2B transcript:Sspon.08G0006260-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SOS2 [Source:Projected from Arabidopsis thaliana (AT5G35410) UniProtKB/TrEMBL;Acc:A0A384KKJ4] MAGAAGRKKRVGRYEVGRTIGQGTFAKVKFAVDADTGAAVAMKVLDKETIFTHRMLHQIKREISIMKIVRHPNIVGLNEVLAGKTKIYIILELARHGKLRENEARKYFQQLIDAIDYCHRKGVYHRDLKPENLLLDSRGNLKVSDFGLSTLSQNGVGLLHTTCGTPNYVAPEVLGSNGYDGSAADIWSCGVILYVLMAGYLPFEENDLPSLYEKITAAQYSCPYWFSPRARSLIQRILDPNPRTRITIEEIRADPWFEKNYVAIRRGEDENVSLDDVQAVFDNIEDKYVSEEVTHKDGGPLMMNAFEMITLSQGLDLSALFDRQQEFVKRQTRFVSRKPAKTIVATIEVVAESMGLKVHSQNYKLRLEGAALNRMSQFAVVLEVFEVAPSLFMVDVRKVAGDTLEYHRFYKNLCSKLDSIIWRPTEVSAKSMLLRTTTC >Sspon.01G0029120-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:101231344:101235890:-1 gene:Sspon.01G0029120-1A transcript:Sspon.01G0029120-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRARRPGPRAVARAYIVCDGSQQTPSTSQPEPAAMSSAQRRRRVGTCRHGTTTGVDSEPPHIPDDILIEIFARSDAKTIVRAAATSKPIRWAILSPDFRRRRHAVSATGGGSSGFDPDLLLGASCMFCSWPRNAFFQEQRLPFTFETECLGWLEPMVSRGCLVVLHRRSTISAELRVINTLTGRVTRFRSPNISAMYPRTLLAVGDAGRSFELLVADTNLVTRIFSSERGDWGDVVHTRLPPNFISTIPNRCSPPLVLGGTTVHWLCKEQCIVALDVSTASATVIELPPRCFSQVARCPKHTDKGLLASSADGRLSLLVARSGGISMWSLWTLQVVVQRHAIARQRPGCAVRLLRFGERSGAVMLQIDLGSREARVLSDEFKEIGKYPHSGLQLCLHETDQPTLFESTFGRTDTSVRSFSHSLIPLVPFPHRVISTPAAPQRSSPPYTTPPRASHEAARLAASVSLLVLRRVLIHAANPGDPLPLLRAATPGLNSGERTGATLAVSPARARGPCSGTGCIAPAWATGAASALPYVPPSPTPASSSPTPAVPLPHREPPMPADLATMALPADPGSGDPGHLPGLSTVRKQSQCSTAALENAASWLAPACVEQARELRTDGRCLRSGIGTSLGLCGSAQLIWYSRRETAAASCLKAHGTPTHGDWETCLALRACSHADYVYVLKRSASGEGDCVRHNLGGGGTDVGVADSPVGARRVRRTRARTSGR >Sspon.07G0004600-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:11840330:11842629:-1 gene:Sspon.07G0004600-1A transcript:Sspon.07G0004600-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGEHEAEESSSQRRERLLALRSAANASPAGDPPPAPAGSLLPTLTSRGTRPHLCARRRPSGSTTTPTPPPPSLPPTPAAPQIPHGPTSARAHPPATPRLRRLTQLSPSTPAAYTITSSLSIPDATRCTREQSMAKSYAVPGSYVRIPRSSSRSTSLGLTFWSSRSRFLFKSPNFGFRHPNPSRGGSMNYGPRGGPYSSYGRGREPNYFGSPGSRGRGGRGGVGFQNHPGRQGRSYFNKSMLDDPWLTCNLLITAQKETPAQGQIKSTSGLSLAEYLDLSFNEVSDKE >Sspon.04G0007280-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:17401472:17405717:-1 gene:Sspon.04G0007280-1P transcript:Sspon.04G0007280-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVAEEKAALGFDGLVSDLREVYESGRTQDLEWRQSQLRGLIRLLEEKEEEIFDALHEDLGKHRGEAFRDEVGVLKKSVVDKLQNLKNWTAPEKAHTPLVAFPATALVVPEPLGVVLIFSCWNLPIGLALEPLSGALAAGNAAVVKPSELAPATSAFLAANIPKYLDSKAVKVVEGGPEVGDKLMDHPWDKVLFTGTQQPCWAPHHDQGRQAPDPVALELGSKCPCIVDWLDSNRDSQVAVNRIIGAKWSTCSGQACIAIDYLLVEEEFAPILIEMLKSTLERFFTRPEYMARILNEKHFQRLSGFLGDRRVASSVVHGGHFNPKTLSIEPTLLLNPPLDSDIMTEEIFGPLLPIITVKKIEDSIKFLKSKPKPLAIYAFTRNEKLKQRIIDEIVGSVTFNDAIVQYGLDSIPFGGVGFGQYHGKYSFEMFSHKKAVLKRSFLVEFMFRYPPWDETKIGMLRHVYRFDYVSLFLAIIGLRR >Sspon.07G0010910-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7A:36464640:36465468:1 gene:Sspon.07G0010910-1A transcript:Sspon.07G0010910-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLFFSPDLETGLPASSDAVPPDSGGRRSRGLAGAHGEPSTRPHPPPRVRLSLPLRLPMWALQCPLSGATAFLVLLPHARRTSGAAATTTRPCVDTAPVSALAVLVPGHGVAAAVVTGACWHGVWPARFNWIFRAENYCAIYLSM >Sspon.02G0015130-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:41243253:41247155:-1 gene:Sspon.02G0015130-1A transcript:Sspon.02G0015130-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Annexin D5 [Source:Projected from Arabidopsis thaliana (AT1G68090) UniProtKB/Swiss-Prot;Acc:Q9C9X3] MASLTLPPAPPNPRQDAIDLHKAFKGFGCDSTVCNVDSFNRNTGLCIMRNSFIKAMSLWILDPAGRDATVLREALSGETMDLRTATEIICSRTPSQLQIMKQTYYARFGTYLEHDIGHRTSGDHQKLLLAYVGIPRYEGPEVDPTIVTHDAKDLYKAGEKRLGTDEKTFIRVFTERSWAHLASVSSAYHHMYDRKLEKVIKSETSGNFEFALLTILRCAENPAKYFAKLLRKAMKGLGTDDKTLIRVVVTRTEIDMQYIKAEYFKKYKKPLAEAINSETSGSYRTFLLSLVGHGH >Sspon.01G0018950-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1A:70892121:70892279:-1 gene:Sspon.01G0018950-1A transcript:Sspon.01G0018950-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VIELRAGGACYSWRLSPPRRLGGVISVEAHKKLMQCSEEEIVRGIVLALWEP >Sspon.01G0016960-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:57551983:57561784:-1 gene:Sspon.01G0016960-3D transcript:Sspon.01G0016960-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSVETHILCRVRLPLLLLFVCSWWLLPQSDARRTLAAPGLPIRAVNLGGWLLTEGWILPSLFDGIPNKDLLDGTQLQFKSVTQNRYLVADQGGGAAILADRVQASGWETFKLWRINETTFNLRVFGNQFVGVNSTGGVVATATTPGPSETFQLVRWDSDKSRVRIRAPNGLFLQAKTMESVTADHNEDTDWGDDDPSVFLTNNVGGLQGEYQICNGYGITKATQNHWSTYIKESDFSFIASSGLNAVRIPVGWWIASDPYPPLPFVGGSLQALDKAFSWAEKYKLGVIVDLHAAPGSQNPYEHSATRDGSQEWGTTDANIAQTVQVIDFLAARYASSPSLLAVELLNEPLAPGATLSSLTKYYQDGYNAVRRHTSAAYVIMSNRLSADATELLQFAGGFSGAVLDVHYYNLFSSVFNNLTVEQNIDFVRNNRSSDLAAVTNQNGRPLTFVGEWVAEWDVQGANKTDYQRFAQVQQDVYGRATFGWAYWTLKNVNNHWSMQWMIQNGYISLKT >Sspon.05G0025030-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:17473595:17474670:1 gene:Sspon.05G0025030-1P transcript:Sspon.05G0025030-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPTPNSRSGSRRAPAEMSRHRRGRGDRVHGLRPLRRAPAPPGPAPLAAFLPVIAVLPFLPLAFRALHPRAISGFFLAWAAEFKLLLLACGQGPLQPTLPLPTFVAIATFPVTLQDPKSSASRPGLGLVESAVMAALLAAIVSLYQCEERMNGYILLTLYSVHVYLALELVLAAAAAASRALVGLELEPQFDRPYLSASLRDFWGRRWNLSVPALLRQCVFRPVRARLGAPAGVLAAFVVSGVMHEAMFSYITLLPPTGEAAAFFTLHGACAVAEGWWAAHEGWPRPPRALATPLTLAFVGVTGFWLFFPPITRPGADKQAIAESEAMVAFLRNAAGLAVDSAWSILSSRS >Sspon.07G0021310-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:2061710:2068829:1 gene:Sspon.07G0021310-1B transcript:Sspon.07G0021310-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFRDQESGGEDAGRTSSSSDLRKPLLNTGSWYRMPPAGGMMGSRQSSLMERLGSSAFSLRDVAISATFCTLIVALGPIQFGFTSGYSSPTQDAIIADLGLSLSEFSLFGSLSNVGAMVGAISSGQLAEYIGRKGSLMIAAIPNIIGWLAISFAKVPVYIAEIAPQDQRGVLGSVNQAKMGKMEDFEYSLQVLRGFQTDITAEVNEIKYNRSVASSRRRTTIRFADIKQKRYSVPLAIGIGLLVLQQLSGVNGILFYAGSIFKAAGITNSNLATFGLGVVQVIATGVTTWLTDKAGRRLLLIISTTGMVITLVIVSVSFFVKAFVIAFSLGLGAIPWVIMSEILPVNIKSLAGSVATLANWLTAWAITMTASLMNLCYLCCCVYHGPHFRVLVGTGDQRKDTRGNRILIPLTRHDLSEIGIAYLLKCGLKLASDSRYHPLLKWEMQDVCKVEVIFVDLSHLGGGDGGRQGLLHP >Sspon.01G0049870-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:116484065:116486554:1 gene:Sspon.01G0049870-1B transcript:Sspon.01G0049870-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGRAHLRNPDPLARSHPPPPTASASFALLFLRRLGFRSPILLRRKIPRGGARLVRCLSSPFAFACMGLDVGEIGMGLDLGLDLRLFAARSAGGMAAAAAKGAPAGIESCIRSLEEERKKIEVFRRELPLCARLLADVIDELKEEAAKRGRDAEAKADDGDKRKWMSTAQLWVDSDAKSDESDKEQRSEITSPSPKLLGGAPMPIRAVAAVPPLPPPFFRREDSSAGTGLSLVSPATKAPISPVAASDNASGRFCATMPPSGSGVNLHSQAQQQASRKARRCWSPELHRQFVAALHQLGGPQVATPKQIREVMQVDGLTNDEVKSHLQKYRLHNRRSPGAAPVSQPIMMVGGLWAPQEQSSSGSPQGPLQFSGSGVAISTATVGGGGDSSSSDEDNKSEGYSRK >Sspon.02G0014140-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:30849804:30855536:1 gene:Sspon.02G0014140-3D transcript:Sspon.02G0014140-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:E3 ubiquitin-protein ligase AIP2 [Source:Projected from Arabidopsis thaliana (AT5G20910) UniProtKB/Swiss-Prot;Acc:Q8RXD3] MSATATDEAAVEARLQALRQRLGKKQHFEEAVNELAAAVRDRYAGASPTLRKSMYSTVCRVATVLQTRYTAPGFWHAGLNLFMGTEKLVSNPAEKEHLKTCISRAREHLDEKENEDSMPSNREADTRFLFEGHLTVGQEPPPPAWLVAQNLTRELSILTESSGDQDGNSNRTVPRAEEITPAIMNLLESISGDRDLETALEESLQGIIEHPPRAPPASKEVVANLPVIAVTEEVIARLGSETECAVCRENLVVDDKMQELPCKHLFHPPCLKPWLDENNSCPICRHELRTDDHVYESRKEREKEEEEDRRGAANAVRGGEFMYI >Sspon.03G0031220-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:25297397:25299491:-1 gene:Sspon.03G0031220-1B transcript:Sspon.03G0031220-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAVATGQCGYDSDADAFACICDDGSSHLARCVCSFSKLKILETSAARLTHFGRWKMPAAGEENLTTTKNEKKARFIIPSKEEGEEQAVRNLT >Sspon.06G0019000-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:104686576:104687588:1 gene:Sspon.06G0019000-1A transcript:Sspon.06G0019000-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GCLAAADQAATAARAASAARSTLTWRRRAPPRRPPSSWASPRRRQLLPSSRPRRSPARPPTAAAAVTAASATPATA >Sspon.03G0023840-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:96281948:96283285:-1 gene:Sspon.03G0023840-2B transcript:Sspon.03G0023840-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGTVPPDFVRSAHDQPGPNAFRGDSGPEAPPPPVIDMSRPECGALMADAATEWGLFQVVNHGVPAAVLLELQRVGRAFFALPREKEQHCTGSGYPATGSIEGYGIGITGPRGSSRDLEGKKNWEDYLFHYVAPPTVVNHDIWPKNPAGYREANEEYCRHLQRLTRELFGHLSLGLGLEKDAMSEAFGGDQLVLLQKISYYPPCPQPEVTLGIAPHTDMCAVTVLLPNDVEGLQIFKDGRWHDVKHILSYGRYKAAKHRVTVNKEKTRMSWPTFVEPPGELVVGPHQQLAAADSPAKYKPKKYKDYQFCKSNLLPQ >Sspon.08G0007670-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:25514765:25517512:-1 gene:Sspon.08G0007670-3C transcript:Sspon.08G0007670-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MITVLEQLSGQSPVFSKARYTVRSFGIRRNEKIACYVTVRGEKAMQLLESGLKVKEYELLRRNFSETGCFGFGIQEHIDLGIKYDPSTGIYGMDFYVVLERAGYRVARRRRCKSRVGIQHRVTKEDAMKWFQVKYEGVILNKAQANTS >Sspon.02G0047330-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2C:14888122:14888538:1 gene:Sspon.02G0047330-1C transcript:Sspon.02G0047330-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTAARGRKKLSRFATGSHTAAAKIRMELMTLTLVASSMHGVELHDIVADSTSSSARGGSRGRAAVPILQQVTGINVIQFISTRRCCCAPSAWAGARASLPSAVVTETDRRCVRGIHLRVHARRGPLRALLAVPGRWQ >Sspon.07G0001320-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:2013255:2022793:-1 gene:Sspon.07G0001320-3C transcript:Sspon.07G0001320-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVEEYKGYGENRWFFFTVREQSSSNKEKEPSRKVRVKGVTATWKATGSVQLIRRARSKVVVGSKRVLIYNSSDTAENGKWSMHEYILKDHAAVCFSYAVQQCVSPRGKSMYEASEERREGSWSERMRIGNSCSIRRNKALRRMCFGARGAVSSAQCVLTSDAGPDTLVPIGGCYRLIDIPMSNCFNSGINKIFVMTQFNSASLNRHIHRTYLGGGINFTDGSVEVLAATQMPGEAAGWFQGTADAVRKFIWVLEDYYKHKAIEHILILSGDQLYRMDYMELVQKHVDDNADITLSCAPVGESRASDYGLVKFDSSGRVIQFSEKPKGAALEEMKVDTSFLNFAIDDPTKYPYIASMGVYVFKRDVLLDLLKSRYAELHDFGSEILPKALHEHNVQAYVFTDYWEDIGTIRSFFDANMALCEQIKDAIISHGCFLRECAIEHSIVGVRSRLNSGCELKNTMMMGADLYETEDEISRLLSEGKVPIGVGENTKISNCIIDMNARVGRNVSITNTEVSKWGETSFRAEIFFVKKRHLSFFEKRTTNNTAPR >Sspon.06G0032240-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:81473950:81476368:1 gene:Sspon.06G0032240-1C transcript:Sspon.06G0032240-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LAPAASAATFSRFIPEVKARFDYGVSIFILSFSLVAVSSYRVEELRPLALQRISTIFVGVAICVATTVLVFPVWAGEDLHKLAAGNLNKLADFLQGLESECFGENAGSENLEGKDFLRAYKSVLSSKDKEDSLRTLAQWEPPHGRFRFRHPWSQYKKLGTLCRQCASSMEAIASYVVIVRRYQQYPEAEPELCLKVQTVCGQKTQHSARALRELASALQSMTTPSTTDGSDLSEAMKAASACRSELVEDAVQLQVMHTAVIASLLSDLVMQINKITESLDNLARLARFKNPEKTRTDVVIN >Sspon.02G0038760-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:44492994:44495789:1 gene:Sspon.02G0038760-1B transcript:Sspon.02G0038760-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTSSRCLLSTLCCLFYLLSSIHVPLAASLSFHFNFSDPDSTCTAQNAELACSGDAYFHSTENAIELTKNVMGDRNNHSVGRLTYTQPMPLWDGTTGELASFTTSFTFRIKPARQDSPEPSADGMAFFLALHPSGLPPPGSFGRNLGLFNDSTNRNARGDDRVVAVEFDTFQNAEWGEVDGNHVGIDVNSIFSADSISPNKSIKSGETLAADVAYDNSTETLSVTLRMNGARYRVSANVDMRRSLPQMVAVGFSAASGRNVEAHQLLSWSFNSSLAMSPGEAPAPVPSQAITSRRHSKAHSSTIAVSAAAAVFVVICALIGFVLRRKLRIWKKSKEASAGGDELDDEHDGEAEFEKGVGPKRYHYSELAAATSNFSEEMKLGRGGFGHVYRGCLKIDGQDRRVAIKKFSESSVQGRKEFEAEVKIISRLRHRNLVQLIGWCDSCKGLLIVYELIIIGLGSALRYLHAEWEQCVVHGDIKPSNIMLDSSLDAKLGDFGLARLIDHGVRPATTKVVLGTAGYIDPELVNTRRPSAMSDVYSFGVVLLELVTGRRPVEEPDDSDELFVLVRWVWRLYSRNAIAEAVDERLLSLMREDDDGEAEERRQQMERALVVGLCERPSIARAMHFLQSTADVVKLPVLRPQMYSGDTFHTVTMGGDGGYSDLSFGETSTGSHTSTTSGCTSR >Sspon.01G0043240-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:68703586:68704161:-1 gene:Sspon.01G0043240-2C transcript:Sspon.01G0043240-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQALVIIPEQRNHHHRHPGPRSKSSGPRFSSPPTSHGFRGMNCRSFHSGGCVGVLPSPPPPPARTYSSPEPKTPKQQPRHGGKRSRPVSISPSTSPPTHSELWAGPAFSNSPPPSSLPIPKFSLRQKRSISLELPPVERSDDVEVRLHAKSAPSSPVEGSGYDFFFNDDAIASAIATENLRRILQLDIADH >Sspon.03G0023650-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:72455005:72458884:-1 gene:Sspon.03G0023650-1A transcript:Sspon.03G0023650-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVASLYRRVLPSPPASEPAFCGLASLAVVLNALAIDPGRRWKGPWRWFDESMLDCCEPLDKVKAEGITFGKVACLAHCSGADENVLQQVRDTRLFAIVHDQQYANKPCCNCSSPSEEDSLTRIAAVVCCQGAAMLSGNLVPRDAFCCKETCLKCVQANGDGLKTVISGSVVSEGSEQGVDMLLPMSSPGASSCNSNLKNNVIKYPSSVDVLTVLLLALHPNTWLGIKDEKLKAEFQTLISTDSLPDDLKREEY >Sspon.03G0002230-3C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3C:20829504:20829974:1 gene:Sspon.03G0002230-3C transcript:Sspon.03G0002230-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAAATMYSVPAPSAIRCCRTFSPSIKTDAPRVASRARPPNLAWGADARVVTRSRFRASAAVHKVKLVGPDGSESELEVAEDTYILDAAEEAGLELPFSCRAGSCSACAGKLASGEVDQSEGSFLDEAQMAEGYVLTCVSYPRADCVIYTHKEEE >Sspon.01G0036800-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:57716568:57717775:-1 gene:Sspon.01G0036800-1T transcript:Sspon.01G0036800-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MYAKCGRVDDARSVFDRMPVRDVVSWTAMVERYFDAGRDGEGFRLFVRMLRSGIQPNEFTYAGVLRACAEFTSEKLGKQVHGRMTKSRAGDSCFAESALVHMYSKYGDMGTAVRVFRGIPKPDLVSWTVMISGYAQNGQPDEALHYFDMLLRAGFRPDHVTFVGVLSACVHAGLVDKGLGIFHSIKDEYGIEHTADHYACVIDLLSRSGLFERAEEMINTISIKPNKFLWASLLGGCRIHKNVRLARWAAEALFEIEPENPATYVTLANIYASVGLFDEVENTRRIMELKRITKMPASSWIEVGTRVHLHPQAEEIYVLLKKLYLKMREEGYVADAGFVLHDVEDEQKQQDIGYHSERLAVAFGIIATPKGAPIKVFKNLRICEDSYVKHHLLLQS >Sspon.07G0000610-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7A:1348744:1350257:1 gene:Sspon.07G0000610-1A transcript:Sspon.07G0000610-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASYDKAFESYKKALTTAASVAASMMLVRSVVNDVVPPELRELLFSGFGYLRSRTTSDHTIIVEKKNDGFANNHVFFAVKTYLATRMNTDIQQRLRVSSMDENDKMMVSMDDGDEMLDVYEGTEFKWCLVCKDNNSSDSMNGSQNESQFFELTFNKKHKDKALKSYLPFILATAKAIKAQERTLMIYMTEYDNWSAIELHHPSTFDTLAMDHKLKQSIIDDLNRFLKRKDYYKKIGKAWKRGYLLYGPPGTGKSSLIAAMANHLRFDIYDLELTAVTSNSDLRRLLVGMGNRSILVIEDIDCTIELKQREEGEGHEESNSTEQNKREGKVTLSGLLNFVDGLWSTSGEERIIIFTTNYKERLDPALLRPGRMDMHIHMGYCTPESFQILANNYHSIEYHDTYPEIEKLIKEVTVTPAEVAEVLMRNDDTDVVLHDLVEFLKSKMKDANEIKTEHKEANSQLDEKRDDKDNDKN >Sspon.01G0004710-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:12626168:12631736:1 gene:Sspon.01G0004710-1A transcript:Sspon.01G0004710-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRALLLRRFHHHRHRLRLLSTTPTPTPHASPAPSTPSTSASSLPIAATPAPPHHLAPHRGGARRLAPLLAFSTLSLAAAGAVYLTTDNLEETLQRSRDSAGRVVERMQHTWTAARVLWKSLLSVLSSANHEVRSGFELRVAALLADIAAASAARRAAIVSAGGGAVLDWLLESVVRGATQAEAARALAHLVADPWVAPAVLGRPHAVPCLLQFIFSYQPSRGKKKSSFDGSDHSKGRSMLVASLMDIITSNCDNADYSLFKPLLPADADTRDIATAIEVIEQGGMHFDDHEDNSSNDGDTGLKGIGIKVLGGTTILGFSRGNNSLELDNSDDDIIDVSYDSRRFVVEQTAAEPPLLGKSSSSAVPGLWDDLQREHVAVPFATWALANWAIASDLNRTRIQELDSDGHAVATALKAAERTVKWHGTLVARALLEDQNLALAPSVPDWCSTSQATANNDMPLGQLSLSTFLLSMMRCNESKFVIRQKGLHPLRSIAKKIENQNGQNSMKESIASALSLLYAGEVPLSLEESQRWSGILLRWLFDKSVSDKTHLTAVKILSCILEDYGPASVPISQGWLALVLSEILGDNKAQSSKGTTQPEPEKVKNPVDYHNASTATQVLNQLGSAVVKLASAQLNCEPGSVDKDNGPLSDFLSLEPFATALKNMNKKNSPKFDAADSASAMLKGIKALAELCSEDGACQKRIADLGALPLLRHILLGDDYEKLAAIEAYDASRIREVQDKNVASNVSSTDATTDPSSVRVPPAAHIRRHAGRLLTILSLLPNSKKEIISDDVWCKWLEDCASGRISCNDIKLKSYCRLTLLNIFCSESPNTRKPSDEYPDSESEYKRNCPQFGDALFLLNPELPLEVHVDNSGFGILRVSRDNCKEDGSIEDAGSETANSVDDAEAASKSVPLMDVVFVHGLRGGPFNSWRIADDKSSTTKAGLVESIDEDAGKEGTCWPREWLAADFPQARFFTVKYKTNLTQWTGASLPLQEVSSMLLRKLVAAGIGSRPVIFVTHSMGGLVVKQLLYQAKLNNYDKFLNNTIGLLSAFWQ >Sspon.08G0012860-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:54691816:54695401:1 gene:Sspon.08G0012860-1A transcript:Sspon.08G0012860-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPSGREMAGGEGGGGTQQADDFFDQMLSPLPSAWADLGAGGKSPWELTPDTGAGAAPEDPAAQTHFGDESALLASRLRQHQIGGGDIKSSASPVMLQLSDLHRHGGLGGEESGGSGFSPLPLFTDRSAAPAREEMEGGFKSPNSAGGDHSLFNGFGMHGAAAVQPQFGQSGSVSPQSLGGPAASGGSPPAGGAASSAGGGAAPPRQQRQRARRGQATDPHSIAERLRRERIAERMKSLQELVPNANKTDKASMLDEIIDYLKFLQLQVKVLSMSRLGGAAGMAPLVASMASSEQWKRRRQQQRWKSRRGSGSGGGVRVAEHQVAKMMEEDMGTAMQYLQGKGLCLMPISLASAISSATSSASLLSRPPAVARHAAAAALTGGQMHDANGGAAAAATTTSPASAANSAGGGGGGDSRSAKDGGGKQ >Sspon.07G0016090-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:57496996:57509488:-1 gene:Sspon.07G0016090-1A transcript:Sspon.07G0016090-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLQLLQLTDRGRGLLASRRRTLAVVSGAVLAGGALAYARSSQSRRRRRPEANRGGEGGALAANGDGAGGGLAAARQKSSGLKSLHFLAAILLKKIGPRGTRYLLGLVLTAVLRTAVGHRLARVQGFLFKAAFLRRVPTFTRLIIENLMLCFLQSTLYQTSKYLTGSLSLRFKKVLTDIAHADYFENMVYYKISHVDHRISNPEQRIASDIPKFSSELSELVQDDLAAVAEGLIYTWRLCSYASPKYVFWIMAYVLVAGGVIRNFSPAFGKLKSTEQQLEGDYRQLHSRLRTHAESVAFYGGENREASHIMQRFEALVQHLNLVRHENWWFGMIQDFFLKYFGATVAVVLIIEPFFSGNLRPDSSTLGRAEMLSNLRYHTSVIISLFQSLGILSISTRRLNILSGYADRIHELLDVSRELSGVRDRSLNHNSPGNYVSEASHIEFSDVKVVTPAGNVLVDDLTLRVETGSNLLITDLNKEIFYVPQRPYTAVGTLREQLIYPLTADQEIEPLTYDGMVDLLKNVDLEYLLERYPLDKEVNWGDELSLGEQQRLGMARLFYHKPKFAILDECTSAVTTDMEERFCKKVRAMGTSCITISHRPALVAFHDIVLSLDGEGGWNVQHRRDDSSFSTEESDFSSSETDRKSDALTVQRAFMSRAKSNASLGSKDHSYCTEVIATSPKVEIEHAARTSRIPHLRCHPRPLPLRVAAMLKILVPRLFDKQGGQLLAVAVLVFSRTWISDRIASLNGTTVKFVLEQDKAAFIRLIGVSILQSGANSFVAPSLRTLTAKLALGWRIRMTNHLLRYYLKRNAFYKVFNMSGKSIDADQRLTLDVDKLTTDLAGLVTGMVKPLVDILWFTWRMKLLSGRRGVAILYAYMLLGLGFLRAISPDFGRLSGQEQELEGTFRFMHSRLRTHAESIAFFGGGSREKAMVEAKFVKLINHSKILLRKQWLYGIVDDFVTKQLPHNVTWGLSLLYALEHKGDRSLTSTQGELAHALRFLASVVSQSFIAFGDILELHKKFLELSGGINRIFELEEFTRFAQRTSEEIISFHEVDIVTPSQKLLARKLSCNVVQGKSLLLTGPNGSGKSSIFRVLRDLWPTFSGRVTKPSEGMFHVPQRPYTSLGTLRDQIIYPLSREEAKIKVLSLHRSGNNSSASDLLDNHLETILENVRLLYLLEREGWDSTPNWEDVLSLGEQQRLGMARLFFHHPKFGILDECTKYVFCHNALLIFAFCFLYADQLLATSVDVEEHLYRLATSMGITVITSSQVSIWTIPAIKFPMVRPALIPFHALELKLIDGEGNWELCAIQQ >Sspon.07G0029110-2D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7D:72708122:72709465:-1 gene:Sspon.07G0029110-2D transcript:Sspon.07G0029110-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGNNPPSGSLMQGTPYGNLDLHHHSHMQMPAPSSGNQAFNHSQMPANFPICLNQVTDSDQLPEFQFGEHGKVSHHHHHHHHQQHAKNSLSDDEEHGVHEDATDSQTGKGKKGSAWHRMKWTDSMVRLLITAASYTGEDPGADSGGGRRSCAMMQKKGKWKAISKVMGERGCLVSPQQCEDKFNDLNKRYKRLTDILGRGTTCRVVANPELLDGMTNLSDKMKDDARKILSSKHLFYEEMCSYHNNNWISLPEDPALQRSLQLALKCKDEHDARKRASGDADEDDQSADTDYEEENDDEHPMVHVNKGTLPMHKRMRYMAADMEDAGFGNSSSSQDCSRRSDPHSITVGINKAFPDGTNLALVHKDLATQSAEIEKQRMEIEVEALELAKQRLKWEIFSKKKDRELEKMRLENEQMKIENRRLELEVRDKELELERKLQGHGSHAMT >Sspon.06G0003740-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:9472077:9479378:-1 gene:Sspon.06G0003740-2B transcript:Sspon.06G0003740-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSKGGAAKGGGGKKKEVKKETKLGMAYKKDDNFGEWYSEVVVNSEMIEYYDISGCYILRPWAMEIWELLKEFFDAEIKKLKLKPYYFPLFVTENVLQKEKDHIEGFAPEVAWVTKSGKSELEAPIAIRPTSETVMYPYFSKWIRSHRDLPLRCNQWCNVVRWEFSNPTPFIRSREFLWQEGHTAFATKEEADEEVLQILELYRRIYEEFLAVPVSKGRKSEMEKFAGGLYTTSVEAFIPNTGRGIQGATSHCLGQNFAKMFDITFENEKGVREMVWQNSWAYTTRSIGVMVMTHGDDKGLVLPPKVAPIQVIVIAVPYKDADTTAIKGACESTVYTLNQSGIRADQDTRENYSPGWKYSHWEMKGVPLRIEIGPKDLANKQVRIVRRDNGAKVDIPVTNLVEEVKVLLDEIQKNLFKTAQEKRDACVQVIKTWDEFTTALNNKRLILAPWCDEEEVEKDVKARTKGELGAAKTLCTPFEQPELPEGTLCFASGKPAKKWSFWGRSY >Sspon.06G0005660-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:17570703:17574539:1 gene:Sspon.06G0005660-4D transcript:Sspon.06G0005660-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SFD4 [Source:Projected from Arabidopsis thaliana (AT4G30950) UniProtKB/TrEMBL;Acc:A0A178V0M7] MATASGVSAGSVLQLPSLRVGGRSLRCLAYMCRPRDRCLGEQPLELPQQRDVVYNRRSYHQFLTLKQRGGLQAAVLPVTPPLVDDEGERKQMAEDYGFTQIGDELPDNITLKDVMDTLPKEVPTVNTSHDCAHKSFSRNKLVEDVVGTLAFMPLMSTFTMVHHTAPHIPFKSSEEWNAAQAQLNGTVHCNYPQWIEILCHDINVHVPHHISPRIPSYNLRAAYDSIKQNWGKYVNEANWSWRLMKTILTRCHVYDKERYYVPFDELVPEESQPIKFLRKFMPDYA >Sspon.01G0019740-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1C:75367643:75372608:1 gene:Sspon.01G0019740-2C transcript:Sspon.01G0019740-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHHRMGGFLLLPALALVVASAWAPVTFGDPQATLLNLGCSQYNATPASAFIAALNSTFASLRANLSAASSGGGFATAAEPRAAAPAFAMAQCRPYVAGRDCVACFDAAAARLRAACSAAANGGRAILDGCMLRYESAAFFDQSTLPGNTQVCNGSAVEAAGFADAARALVADLAAAVPRVPGLAAAAASGGVYAAAQCVETVGEGGCAQCLNVAVANIDGCPPNSDGRAVDAGCFMRYSDKSFFPANSTVDLAVYLRSGKSSQKGAIIGGIVGGVAFLFLVGLLTFLLVRRSRKTKPRRGDILGATELQGPTSFYYHDLKAATNNFNEKSKLGEGGFGDVYKGLLKNGKTVAVKRLIVMETSRAKADFESEVRLISNVHHRNLVRLLGCSRKGSEFLLVYEYMANGSLDKFLFGDRRGTLNWRQRFNIIVGMARGLAYLHQEFHVCIIHRDIKSSNVLLDDDFQPKIADFGLARLLPDDQSHLSTKFAGTLGYTAPEYAIHGQLSEKVDTYSFGVVVLEILSGRKSNDTRLEPETQYLLEWAWKLYESDNLMALLDESLDPEEYRPDEVKRIMEIALLCTQSAVAARPMMSEVVVLLLTKNDPEIQPTRPTFIDATSRVRGETSSSSSSSASKATISVSQFSAVEYLQEAPGD >Sspon.01G0005160-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:12015379:12019614:1 gene:Sspon.01G0005160-2B transcript:Sspon.01G0005160-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MACCRDPRLQPRIHSRRPPSRLLRARADLLGDGRRRPPRVPHRAQGRSQGARARPQRRPPGGARPGASGPLCGRDFLPHAAGGAKNDGSVKASCRLLMALLDRLRAIGFKPSPEAREEARAVAADWKRGKRIGTEVMFKQETFAFLHLVGVFGLVEDVGGTGEVLDLVVSISGRERAVEAFAVLGLDLDQHMPILIQKMVNKSKQLEAVKFIQALNIAHKYPLLPIMRSYIDHAAVAGKMIRIRGDDLATQNAANAKERTLLGTLQKFIKEQKLEELPIFEEANKRMAHLDQQSAERKRTAAAAAVAAQKVSKNIEEQEKKIQELMQPAKRPRPENVVQSSLGQNVYSAGTSTQQFKPQQSILKVGVSNPYQAALTQNVLPAITKISQLVAGSHRPVGIQNQALAVPPQYGSGSLANYYGVASTGPYRSNTLAPGPGALNGPSAQASSRSKLYSGDPLAAVSRSSDKKGSSYKYSLSSMSTYDHK >Sspon.07G0027360-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7B:60156716:60157366:1 gene:Sspon.07G0027360-1B transcript:Sspon.07G0027360-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPKLNGSNYKTWREQYELALVMTDNDLALNEPCPQEPEEPVIAQNETDVAFATRKREHASVRMSYNLAKKKWDNSNKKCLTLAKATISEPIRGSLPECTTITEYLEKVKTQFHGTTKTQASVLIREFINKKYTGGGVREHILRMNTLASKLASMKMVLPDPFVVHVIIASLPKEFEAFVVHYNMAPEDWNIEKLLGQATQRRKDLRNHGETQLFS >Sspon.01G0057890-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:16669788:16670603:1 gene:Sspon.01G0057890-1D transcript:Sspon.01G0057890-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPLVGSRANRLSRVEPSAGAVQPHTRWGSSKPRAPLEYPICELSWGRIKNPLQHLRLTGRAAPGVRSESRELPPRPDALRVRSALRPALPLWVPDRTLRFREGPDAPVANPSTRAPDCCEN >Sspon.06G0007590-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:30772637:30777959:-1 gene:Sspon.06G0007590-1A transcript:Sspon.06G0007590-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMTAIASPSSRTLIPLRHHGAAPSPSTSGDSSLRLLRAHPRHGRRSRGVSVSTPAARSRPFVFSPRAVSDSKSSQTCLDPDASTSPPPASIQKDSFTAPSIQAQAAALPGTLPQAALLGHRALLSGSIVATRGGRVASLGGRRVGPNAAAGGKRHADGSPADLSVLGIILGGGAGTRLYPLTKKRAKPAVPLGANYRLIDIPVSNCLNSNISKIYVLTQFNSASLNRHLSRAYGSNIGGYKNEGFVEVLAAQQSPDNPNWFQGTADAVRQYLWLFEEHNVMEFLILAGDHLYRMDYEKFIQAHRETDADITVAALPMDEARATAFGLMKIDEEGRIIEFAEKPKGEQLKAMMVDTTILGLDDVRAKEMPYIASMGIYVFSKDVMLQLLREQFPGANDFGSEVIPGATSIGKRVQAYLYDGYWEDIGTIEAFYNANLGITKKPVPDFSFYDRSAPIYTQPRHLPPSKVLDADVTDSVIGEGCVIKNCKIHHSVVGLRSCISEGAIIEDTLLMGADYYEVNIYKCSLLSMQQESSHLGILFFQTEADKKLLAENGGIPIGIGKNSHIRRAIIDKNARIGDNVKILNADNVQEAARETDGYFIKGGIVTVIKDALLPSGTV >Sspon.01G0008340-4D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1D:22552180:22552638:-1 gene:Sspon.01G0008340-4D transcript:Sspon.01G0008340-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATATTKTAAASSTQANTTSGTTAKPSSCTTSATQASTTTCSTTSAKPATTTSTKTSAKTTATSKATTTIETATTTSTKTSAKTTATSKATTTTETAAATGTATKAAASTKTTSNSTSQSTTCTATKAAAFAKTTSNSTTYTATKSTSSTTT >Sspon.08G0019590-3D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8D:13916144:13917043:1 gene:Sspon.08G0019590-3D transcript:Sspon.08G0019590-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRLSASAAASAASAEPLLPRALKRGVLLERCASHADDELQWFRSCLRWVCMDHSGPGQAALSWLLFLVLAIIVPAAAHFLLAFRASRRPFSAVVQLSLSAASAAGFLCLSSSFRRVGLRRLLHLDKLRGKSDRVRLNYTARLAFSFRLLASLVAPCFAAEAAYKAWWYATSADRVPFFANDVLSDVLACSLEMASWMYRSAVYLLTCVLFRLICHLQGLRLEDFAGSLLEEVEEGRAGVDAVLREHLDIRKQLKVISHRFRKFIVAALLIATASQFASVLLTTRRDSVDDLLNTGELA >Sspon.05G0008000-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:16612466:16623134:-1 gene:Sspon.05G0008000-3C transcript:Sspon.05G0008000-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRKPLLPTRGSTTPPPLLLLRRLLPRRRATSSPPPPPARRRTAAMAPRAGDSAAAAAAASFRVGMVRVVSFLVGGLNLAVLLLGLYLIDAVLPSGCRGMLAFAAAPAMSGVRVLAMIGAARAQHATADAIAKRHLHEGDASVAADAVARHEIRVRALLSALVRLDLVGASWVRYKRWLWWTRFGMAVGALQLVAATYLMFVIVRDLSKERSTSCFFGQDEADQVSGRALIALFLILSWVVVIVQCFTGSDILRWRSFYATHDMAWKAHYREVFDHGIREALCCLGRAKYLTVLEEDEVYSVARLLGDLVAYRASGTGHLELLAGLALLQKHGNLPESQTDLMEASHELMQEAAFLHPFAEACYTGPLLDVGRNPILFPCAWVYRQGVLTPWARRRRPALDGDNWWRGHAAAFLRFVNIPPKALLRGRVCQSKREAAYFVVVLHDKRTVVIGVRGTETPEDLITDGLCRECAFTMEDLDGLVNSEQLPATTRERVISTFPHYGHGGIVESARELFMQLNECTGENTSSGRLGFLSTLLGEGSECHGYKVRLVGHSLGGAVATVLGMMLFGKYPDVHVYAYGPLPCVDFVIAEACSHFVTTIVCNDEFSSRLSINSILRLRSAAISALSDNSPADTAMIQKLARRILHVNRYHDNGPDDGIIQGYADHTRTSGTAVPNERQISHQVPLCNTEPDLQNTQNGFVGYNGSNASIDEHLSCEGINSGHDVQIIPLDGTDSGFEEHPTSYREIPVEPPEMFLAGLIVHIVRQRRSIFPLWKCWSIQETEPPYKAVLAKRENFRDIAVTPSMFTDHLPWRCHFAMQKILEAQTPMRRANSDSPIQHLV >Sspon.07G0034160-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:70188876:70193196:-1 gene:Sspon.07G0034160-1C transcript:Sspon.07G0034160-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPVESPQNDLWRLRRGGGVERKNSTTKQRPDGDDLCAICDDGGDRSFHLADGNSERSMCREILRLSAEQAKMILAADKDFICKNCKYQEHQCFACGKLGSSDLSSEAEVFQCEVDDCGHFYHPKCVAKLLYPDSEDKATLFEVQVAVAREKFTCPMHECIVCKGGENKNDRNMQFAVCRRCPTTYHRMCLPRYSFFLEMPVLPFLLCSTVKPGFSFLDSFNIPFETKEGPNGYMQRAWDTFEGPDGQVIHRDRILIYCMKHPIVKKLKTPKWDHIIFPDVKKIRVPKMVVGTHNEDDIPEEEEPLEPDDDPLELEPSQSPPSESPPSDASDQNQCSCSSPIDSFAPASLFMHPHPGICGWLGD >Sspon.06G0026260-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:75656320:75657430:1 gene:Sspon.06G0026260-2D transcript:Sspon.06G0026260-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNHPESAICDSGATSTVSAEVVTGWHVLKVEGYSYLKGHGVSNYIKTAAFSVEGYSSCILLYPDGLTFQFRDRVAVDLFHLDEIPKPTNNAVKARFKFSLLDLAGEPVRKHTITSGPIWYSFSSADMVSRVYDRSLINMTDLESSFLTNDSFQVRCDVTVLQKIPTKVITSESPRLKLICEGMLRSSVDVSNVSTTLVLAEQHACQGLKAACYEFLKSPGNLKAVMASNGFQHLKNSCISRSCLSWMRHDLFYIFPQK >Sspon.04G0018230-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:75173470:75176770:-1 gene:Sspon.04G0018230-4D transcript:Sspon.04G0018230-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDQSALLVSEGAIKSIKLSLSTGEEICTYSVNECPVTHPSQLGNPFLGLPLEAGKCESCGASENDKCEGHFGYIELPVPIYHPCHISELRQLLSLICLKCLRIKKGKVKQSNAKGNVSATLCYHCRDIPALSLKEIKREDDGAIRLQLRAPHKKHMTERSWNFLDKYGFHHGGCSQERTLLPEEALNILKKVSDDTRRKLAARGYIVQTGYVMKYLPVPPNCLYIPEFTDGQSIMSYDISIALLKKVLQKIEQIKRSRSGSPNFEAHDDESCDLQLAIGQYIRLRGTTRGPQDNTKRFSVGSADSAALSTKQWTHSQIWCPPSFMRRVQERLCNF >Sspon.08G0021700-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8C:44110939:44114570:1 gene:Sspon.08G0021700-2C transcript:Sspon.08G0021700-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQSVLLTLASFRCRRSKRREGYVRNLLESNGMTTGNAWSNRHLGAVGCSDLLSDEVVSEQLTRNIQFFGMDSQKKVTESYVVVIGLGGVGSHAASMLLRSGVGRLLLVDFDQVSLSSLNRHAVATRDDVGTSKALCLKKHFSMIYPECQIDAKVQLYDASSEAEILSGQPDFVLDCIDNIDTKVALLAACVRRGLKVLSAMGAGARADPTRIRVADLRESSNDPLSRSVRYRLKKEHGIEGGIPVVFSLEKPKAKLLPFQASKEEETPSDYQIVPGFRVRIIPVLGTIPAIFGQVMASYVITQLAGLDFQTEPVVNLDLDHYRILHQRLIEHEELMYGTAEQVLVDAEEVMYIVKELWRGRSARDQSQKDTGRKMWRSVNELMLVRYASFY >Sspon.02G0046910-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:8973321:8982173:1 gene:Sspon.02G0046910-1C transcript:Sspon.02G0046910-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGEIGGALLFVLAAAAAVAVAVSVGAVDLSRPLTAGAPLDFQGAVSWLIGILDGTSSAAADVYGAWVAVRAGVIAPVLQVAVWACMVMSVMLVVEAVYNSVVSLGVKATGWRPEWRFKWKALDGADEEKGSAHFPMVLVQIPMYNELEVYKLSIAAACELQWPKDRIIVQVLDDSTDPFIKNLVELECEHWANKGVNIKYATRTSRKGFKAGALKKGMECDYARQSEYIAIFDADFQPEPDFLLQTVPFLLHNPEVALVQARWSFVNDTTSLLTRVQKMFYDYHFKVEQEAGSATFAFFSFNGTAGVWRTVAIRDAGGWKDRTTVEDMDLAVRATLKGWKFVYVGDVRVKSELPSTYKAYCRQQFRWSSGGANLFRKMAKDVLVAKDISLVKKFYMLYSFFFVRRVVAPTAACILFNVIIPISVTVPELYLPVWGVAYIPMVLTIVTAIRHPKNLHIMPFWILFESVMTLHRMRAALTGLLELEGFNQWIVTKKVGNDLEDTDVPLLQKTRKRLRDRVNFLEIGFSVFLFLCASYNLVFHGTTSYYLYMYFQGLAFLLLGLNFTGTWKSKGYCSERKQVPKKDGMIELHKNYQVYGLEV >Sspon.06G0004410-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6B:10930910:10934004:-1 gene:Sspon.06G0004410-2B transcript:Sspon.06G0004410-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vesicle-associated membrane protein 727 [Source:Projected from Arabidopsis thaliana (AT3G54300) UniProtKB/Swiss-Prot;Acc:Q9M376] MNSSKQALIYSFVAKGSVVLAEHTAFSGNFSTVAVQCLQKLPPNSTRSTYSCDGHTFNFLVDRGFVFLVVADEAAGRSVPFVFLERVREDFMQRYGSSIDEEGQHPLADDADEDDFLFEDRFSIAYNLDREFGPRLKDHMQYCVNHPEEINKLSKVKAHLSDVKGIMMDNIEKILDRGEKIELLVGKTETLQSQADSFHRHGRELRRKMWLQNLRFKLMSEIPKPGQSKTNNGRNYGSVGWVS >Sspon.02G0037510-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:34312879:34313763:-1 gene:Sspon.02G0037510-3D transcript:Sspon.02G0037510-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHGEHAKTKLEHLTAVPDYVPFPTTVAYRGHEVRAMFKPGMIPEDGEVSEGHRIAKSIEESQIVGVRSSRELDPEWLQLVGELYQKPVIPLGLFPPPPTQDVAGHEATLQWLDRQAPRSVVYVAFGSEAKLTGAQLQAVALGLEAFGMPFLWAYRAPADSDARDGAAGLPEGFEERVNGWGLVCRGWVPQVRLLAHRSVGGFVTHAGWNSVTEGLARGVRLVLLPLLFDQGLNARHLVEKKMGVEVARDEDDGSFTAEDVAAALRRVMVGDEGQEFGAKAQELAEVVGNDEVND >Sspon.06G0001750-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:3944665:3949875:1 gene:Sspon.06G0001750-2B transcript:Sspon.06G0001750-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYRAFDSSVTGDELPPTYQIKGPRVHFSGNGSSLAGALSQPRPHIKLDSDIHQIEQQAYTGVLRAFKMQSDALTWSRQGGGMQYSLPRNSVVDHNHGPLKRQKKSHPIYSLPVDPHSPIMPLQAIVGNKTYRGGWLASDGVMKGMEGRSGRYHANEYYASPNDMALLNFNHIDIPNTETLVKKVERVLSDPDVYTIERAKKLLIDQEQSLLDAIAKLDEASDRENGICYRYGLETLCPQLLELYGCRSSKHFAELQERDLDKQLPVDPKLSVILRGMKSPFEVDMGKVVQRGIS >Sspon.05G0038240-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:5D:35960146:35960448:-1 gene:Sspon.05G0038240-1D transcript:Sspon.05G0038240-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLTRCPILTLECFPSQLGSQRQWKPLLTSSTMGTMGGEWRRLANSWVKVHVASCICILKRHPGRPFDEGRPLRSQLRGEEMDDRKLWSLPPELPTTSRE >Sspon.03G0013310-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:37440999:37455365:-1 gene:Sspon.03G0013310-1A transcript:Sspon.03G0013310-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLGAEVIELSSDEDEEPVPSTTALARHAPSSPPDVKPHLLADVDVKPLLLPPPLHPPGYGALVPVKTEEPVPVPVATLTPPPRALPPPRLCRQFWKSGDYVVARRNPDADVPGGRNRLRINPKFLHSNATSHKWAFGAIAELLDNAIDEVNSGATFVRVNKFKNPRDGNPSLLVQDDGGGMDPEALRRCMSFGFSDKQSDAFIGQYGNGFKTSTMRLGADVIVFTQNQNNWVPTRSIGLLSYTFLMETGCDDVLVPTVDYQYDPTTTSYVQMLRHDQKLFSSNLAILLKWSPFASEAELLKQFDDMGDHGTKIIDILITGAHKKVKTNNPEKIAAQNYVSTRLRYSLRAYASILYLHVPDTFRIILRGRDVEPHNIVNDLMYRECVLYKPQIAGLTESSVITTIGFVKGAPDIDVQGFNPFWKVANNSYGKGRGVVGILEANFIKPTHDKQDFEKSVLYQRLEIRLKEMTYEYWDIHCHRVGYDNKKLPKATRAINRANHMNGGSSPISAPPRLLAADIPTSSCGIPRLSASAAREKINSLDSLSKSPMGLKRKFNPFSAMAGSADQDGLDHVDKVDVSQRKRFNEYKTLTLENDKLRNECLQYEESEKQLVLKEQKLRSEIAEAEKKYQELLVELRSLDVKKEK >Sspon.06G0005170-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:15684140:15685245:-1 gene:Sspon.06G0005170-4D transcript:Sspon.06G0005170-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGTVEELIRRLLDGKKHKVTGKKVQLTEAEIRHLCVTAKEIFLSQPNLLELEAPINVCGEHACVALAGDIHGQFSDLLRLFEYGGLPPTANYLFLGDYVDRGKQSIETICLLLAYKIRYPDNFFLLRGNHECASINRIYGFYDECKRRFSVRLWKLFTDCFNCLPVAAVIDDKILCMHGGLSPDLDNLNRIREIQRPVDVPDQGLLCDLLWSDPDRDSSGWGDNDRGVSFTFGADKVTEFLNKHDLDLVCRAHQAKPPDGYEFFADRQLVTIFSAPNYCGEFNNAGALMNVDASLLCSFQILK >Sspon.07G0013450-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:47987424:47989012:1 gene:Sspon.07G0013450-4D transcript:Sspon.07G0013450-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPNFNGVWSASEIDMVKSFIASHNTNNTYTNDTNKNHKDIVDELQARLPGKEKHQVIQLYVHLVDEMNTMQSNNQQVVVSNALVNDNFGAPMEDTDMDNMDMFHGYILDDVEAMKMVEEPPHKLNTIPKKKRQYPVAWTQKSTKVYGRGSWKNISRYFVPTRTPIQICSHAQKYFHRKECTTRKQRFTINDVGLYDTEPWVQKNSSSSEALAFGHNAYNTNYFDFEGQHTVLNKLAHASQESSRQVATWTRGQHIIGSSSIDPTMVQTNSLGWEALAFTSGANNTNWYEFDGQYDAMNNLACANQASNNQVATWTRGQQTTTSPLVAPMVVQNTSPSWEVLSFAGSAYNTNYYDFDGQQGALNNITRGQPW >Sspon.06G0011940-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:52143462:52145380:1 gene:Sspon.06G0011940-2B transcript:Sspon.06G0011940-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AMDIITQLQDQLDEMAVLAVNTFGTLQRDAPPDRLSNSYPDPLNPNPKPDDDSKPPQVQGQPGAPPPPPPPAQAQPPAPDLSEQPKAMSHALVLAAKQFDALVAALPLSSEEDQVKRIQELQAENEVVGLELQKQLEAAERELKQVEVLFNEATDNCINFKKLD >Sspon.05G0027760-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:56473624:56477447:1 gene:Sspon.05G0027760-1B transcript:Sspon.05G0027760-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQAHPTVPLHASSSTARCRIHASSHQRPRDPSMDEAHERGSRSMTRTNENVAGRYKREQEVPFLLGLSCVLAAWVYSEFLGYRVSSSHEKVHSDAPVGNKTIKEDDTTVLLEEGESKPPWTKSPNMSAKAKLIRFITLDESFLLKNRAILRAIAEFGIILVYFYTCDRTNIFAESKKVRLKTLLILNLDIDTLSRISSEIDVTKSYNRDMFLFMYILLIITSTITSLKKHPEKSAISGKSIMYLNRNQTEEWRGWMQVLFLMYHYFAASEIYNAIRVFIACYVWMTGFGNFSYYYKKKDFSIARFAQMMWRVNFFAIFCCIVLDNDYMLYYIAPMHTLFTLMVYGSLFVFNKCNEIPSVMAIKITSCFLTVILIWEIPGVFEIFWAPLTFLIGYKNPDPSKVNLPLLHEWHFRSGLDRYIWIIGMIYAYFHPNVERWMEKLEESETKVRVLIKGTIVTISLMVGYLWYECIYKLDKHTYNKYHPYTSWIPITVYVCLRNCTQQLRSTHLGLFVWLGKITMESYISQFHIWLR >Sspon.08G0030220-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8D:56553295:56554429:1 gene:Sspon.08G0030220-1D transcript:Sspon.08G0030220-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding HPNNGRSHQTWTSSTCTPETARGTHVLRIVGYSLHRGLGVDRSIRSTTFAVGGYHWSIHCYPDGISSPLAPPGRLVLFLQLVSKKAEVRARFTFRLVDQATGKATLFHRPWATPTASFSTMTMMRRERSDDAWGVFCVKKSELEAYLRDDCLVIECDVTVIKEPRVNAMVRVPPSDLSGNLAKLLEGKKGADVTFRVGDELLPAHKIVLAMRSPVFDAEFYGPMREDDDDDAASQCSIAIEDMQPAVFRALLHFIYTDSMPSMEGFDAGDRKEMVKHLLVAADRYAVERLKLICEGILCKSLEAKDVETTLALADAHHCHGLRNACAAFLASSNKVGSAVSQEVFLKLNRPRPAFLSDTVSKLKNMHKWMLSCRPTR >Sspon.03G0014600-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:46583378:46587395:-1 gene:Sspon.03G0014600-1A transcript:Sspon.03G0014600-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATQPETSSSVSEEQSTKSSQDAMRTRVRLFAEGVVKMVSPVLLALALRKVDLKGEGTLFVFVQRSISPIAAVTMAFGILLMVLLWLCLSKIFARVEWLQDAASKLLVHICAILLMCLAYLILLLISMDYKAYIFIVLPLVGFVTYFYICSLRGDDDGGGVVGDRASDDGHAQADYERELESSVDFFAAFTVLLFLGLERLALELHLNSNHEGHDHLESTLVVSFFTCVLGVAIMFVWTVPPSHSVSNDERSDQMRRRIEASNVVLALLIFAIVLCITWAPLDAYALLVIVPPLVSFLFGIYEHFDFPGPDEAQDKLNRINPTTSPGSHDVVIEMQEQQQSKQPEGESQSQSPSSSQTEDQPMNRTSSLPPAPDDDSEPMNRTSSLPPDADSEPMNRTSSSPPDDSEVKPPPPLELMKFAFAGFLTVSIPSIRDCSLTSGWTQAFILFTAISVVSALLWRLLSHRAETPPAVVLAANVASFFAHAFAVAALVPFAVMADMNARLVVPDCHG >Sspon.06G0024450-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:54714330:54716185:1 gene:Sspon.06G0024450-2C transcript:Sspon.06G0024450-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding NAPIIAKTASGPWVVFFHHPHSPRVSGYLQTVRKVVLCCSVMAAKIRVVMKSFMSQSNQVSGLIPFTKKIGLPESRALYTVLRSPHIDKKSREQFSMHVKKQFVEQTAKPHELQKKLFWLKRLRIPGAQYEVQISFKTRLDMGRLRSQAP >Sspon.08G0021500-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:43565935:43567454:1 gene:Sspon.08G0021500-2C transcript:Sspon.08G0021500-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MIATTGSSAKAAAAAVGGKAARACDACLRRRARWYCAADDAFLCQGCDTSVHSANPLARRHERLRLQPASPLRTPPRTGAAAAKRERHDEVVPAWFRRKARTPRGGHAKSVGGQALSRRLVVPHAAAGGDSPDDGRNGEGEFEVVEEEQLLYRVPIFDPALAEFCSPPPPEDAAALASSCNEDGAVEDRAKPDPATPAAAPVVQFFPDGGHANFEPTDAELREFAADMEALLGHGLDDGNEEGSSFYMETLGLLDDPAEVGDDATRVKVETDGGTACEASGTLACALELLDPEGSDEMLLDIDFNYGSPLDTTTDEKAASSDTGAADAQFLQTSLSLTLNYEAIIQSWGTSPWTGGGERPHVKLDDSWPHDYTNMWVVGGVVGHGGEDLLGTARLGMDGGREARVSRYREKRRTRLFSKKIRYEVRKLNAEKRPRMKGRFVKRATAGGSLAIAGLA >Sspon.01G0009760-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:30241951:30244436:1 gene:Sspon.01G0009760-4D transcript:Sspon.01G0009760-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAQTAEELATQIEQQKLEEQKTEDKKGMPVASQSKAGKSRKAMLKLGMKSITGVSRVTVKKSKNILFVISKPDVFKSPNSDTYVIFGEAKIEDLSSQLQTQAAEQFKAPDLSQMISSPETSGPGQEDNEEEVDDTGVEAKDIELVMTQASVSRPKAIKALKASNGDIVTAIMDLTN >Sspon.05G0029780-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:79102864:79104466:1 gene:Sspon.05G0029780-2C transcript:Sspon.05G0029780-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGRRRPEFRLLARQLRGGLEPEEAKQKSRASRSSTSLDLDAAVRGGLEPEPVGPHRAAVPATGAGVDEVRRAGDEDVVVPRHPAPDLPPDPSIDPDLQDEVPRGDVLPQRVGEERREGDVRPSQHRRPDVDVAVALVQRGRLQPGAQRDLLVLVGRGHVEAVVEDADARVRVAGGERHLRRRGEQRRRAVGEVELPERGVLQVEARVGGAQDEVDDERNHAGEEGEGEEDQEQAAAGLAEVVVGVVAAVLAHGWLVAGGDEHGAGWLTGWCGVCVLVEWRSLEG >Sspon.07G0022900-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:11447439:11455834:-1 gene:Sspon.07G0022900-1B transcript:Sspon.07G0022900-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPNHQLRLRIRLAAALPLLLLLPAASRARDTIAPDQPLRGNDTLVSSGAGSFVLGFFSPPGSNNTYVGIWYAKVPIRTVVWVANRAAPVRGPAGDNAGATLSVSSEECALAVADANGTVVWSSASPRPAGSVASGSGGPCTARIRDDGNLVVSDQRGRVVWQGFDHPTDTLLPGMRLGVDFATGTNMTLTAWASPSDPSPSPVVAAMDTSGDPEVFVWNGPTKVWRSGPWDGVQFTGVPDTVTYKGMGFSFRFVNDGGEATYSFQVRDASIVSRLVVNSTAGGGVIGGGLLQRWTWLEAAGAWGLYWYAPKDQCDAVSPCGPNGVCDTNKVPACSCLPGFTPRSPASWAMRDGRDGCVRATPLDCAANRSSTDGFSVLPHAKAPDTTRAVVDYGSSLDQCRLRCLRNCSCTAYASANLTSAPGHRGCVMWTGGLEDLRVYPSFGQELYFRLAAADLASIGKSKKKVSMVFAVVVSITAALAVLLAITGFFIWRAKKTKARKPGSAIWTAGSRNKDGSEGKDHGDDLELPVYDFETIAAATEGFSTENKLGEGGFGPVYKGKLEDGQEIAVKTLSRTSTQGLEEFKNEVLLIAKLQHRNLVRLIGCSISGPEKILIYEYMENKSLDFFMFDTTKSKLLDWQTRYRIIEGIARGLLYLHQDSRYRIIHRDLKTSNILLDKDMTPKISDFGMARMFGSDDTQINTLRVVGTYGYMAPEYAMDGVFSVKSDVFSFGVIVLEIIAGKRNRGVYSYSGYLNLLAHAWSLLNDGNGLDLVDENLNGTFNSGEVLKCLKLGLLCVQENPDDRPLMSQVLMMLASTDTASLPTPKQPGFAARRAAAEDTSWSKPDCSIVDSMTITMVEGR >Sspon.01G0041830-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:56134551:56137887:1 gene:Sspon.01G0041830-1B transcript:Sspon.01G0041830-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VPLTIDHSLATGSVPILKFGSGESLHGVKPILHYIARSASFSSFSGKSNMEFGHVCCDQALIRKLPYFHDFFIILNSELMLSINLQVVEWLDYAPTFFSGSEFENACSFVDGYLASRTFLVGHGLTVADIAVWSNLAGIGQRWESLRKSKKYQNLVRWFNSIDAEYRDTLNEIVAAYVGKRGIGKSPAPSLKEKVHDSKDPSAPEVDLPGAKVGQVCVRFAQSLAESNEFVENLLKDIETLGIKYDAVTYTSDYFPKLMEMAESLIKQGKAYIDDTPKEQMRKERWMVLNQGAEIILLKKICHYGKRWLMELKGCCVRGKLDMQDPNKSLRDPVYYRCNTDPHHRVGSKYKVYPTYDFACPFVDAFEGVTHALRSSEYHDRNAQYYRILQDMGLRRVEIYEFSRLNMVYTLLSKRKLLWFVQNKKVEDWTDPRFPTVQGIVRRGLKVEALIQFILQQGASKNLNLMEWDKLWTINKKIIDPVCARHTAVLTDQRVIFTLTNGPEKPFVRILPRHKKCEGAGKKATTSSNRIWLDYADASAISKGEEVTLMDWGNAIINEIKMEGGVITELVGELHLEGSVKTTRMKITWLADMEELVPLSLVEFDYLICKKKVNPSIIPQREDITTKITGSMVHHLYLYRS >Sspon.04G0016590-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:61051832:61054888:1 gene:Sspon.04G0016590-1A transcript:Sspon.04G0016590-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLSSTSSPAAGAAAAAAVRTASPPLRAATHVLFRQKLGFLAAFQAQRAKCSPHLIRYIVKSSRLDINDGDNGTTEPARELLERLFAKTKSLDPSASQDRELSMSIEVLKTEFEAAISILKKKERDLRDAEKKVSVDRSRLNQTKQDLDQREEDIIKAYSRQHEMEKALMKASRDLTLQVRQINNLKVLVEEQDKKIVSSQDALSKKVIEVDKLKQEMLKKNDEGALLRSEIESKEQVLLVANQAIAHQEATTREVQSEIKRKETEIERLNELTKANEEKLKVAEQELEKQNSGWIAAQQELKELAQMASKDKDNIKNTISDFKRVRSLLDAVRSELIASKEAFTFSRKQVEDQAAQLSNQVQELTDQKALIISYTRNLEAAQLEIQGKTNELTAVQSRCSELESQLLEETKKVESLEAMLTKERESLERKTKEVDLLQEELVQKEKDYFNSQKLVETKETELLEARHEVEDMKLKVDSIQFAVQEKDLELLETQRKLDEVNSEVIELQQLINSKEDQLVQVRTELQDKEQCIQLMQDELDKMRLGRSQAESVVQKIVELTGNLIGSVEGEEVDIYSLLDDEILSTSTALESNLHKHSQLKADIDMLKESLRQKDMDLSAAYKALDAKDRELKAVVGRLDIRDKELDKLEELSIDPYDIRRLSSVADEATKDNIVGEVELQKHELESVEAEALAASTLLKKLANVTKEFLRSGRTDSGTNLVASQNSNISEGASKMEPQRKINVILEAKKDIVGLFSLTEELVAGAQMKDAEGP >Sspon.03G0014340-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:45203267:45217489:1 gene:Sspon.03G0014340-1A transcript:Sspon.03G0014340-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALASPPPWWLTTEACDLPSAPAAGPGEWAAFLFLSTCSQRMLLSAASAVFLVALLCLGAAKLISTRRRRRGALNGEDKRPLLDRAVAVRVGAGFVVALASSGALAAFYAVLLVLSLVSRGGEAPEAVFLALQCAAHLAAAAVVAHEKRFRAPAHPLTLRLYWLAAPALTALLAGTSVARLASGAARLPDDALAVAALVLSLPLPLLSILGSTGVVAVPVVAANDAATGAAAAANVTPYATASWVSRATWAWMNPLVQRGYRSALELSDVPTLAPSHRPERMHELFTLHWPSSWASKDNHPVRHTLLRTFWAQFLVNASLALLRLTVMYVGPTLIQSFVDLTSAGGGQRPLGEGARLVATLLAAKCAEALCSHQYNFHCQKLGMQIRGALIVALYRKGLRLSCSARQKHGLGMIVNYMAVDAQQLSDMMLQIHYLWLMPLQVGVALGLLYTYLGPPVTSALIGVTGVMVFVLLGTRRNNRYQFSLMKERDQRMKATNEMLNYMRVIKFQAWEEHFNARIGRFRRREFGWLSRFMYSISGNIIALWSAPVVVSALVFATCVLAGGVQLDAGLVFTATSFFKILQEPMRNFPQAMIQASQAMISLQRLDSYMTSAELDEGAVDREPAAASGCDGMAVQVKDGVFAWDDEVDAGQEVLRGIDLDIRTGALAAVVGMVGSGKSSLLGCILGEMRKFSGKVKVCGSTAYVAQTAWIQNGTIEENILFGKPMHRERYKEVIRVCCLEKDLEMMEFGDQTEIGERGINLSGGQKQRIQLARAVYQDCDIYLLDDVFSAVDAHTGTEIFKECVRGALKNKTIVLVTHQVDFLHNADIIYVMKDGMIVQSGKYDELLQAGTDFAALVAAHDSSMELVESAAPASERELPLSRQPSNKTAAGRASNGDSSSSSIVAPKAEKASARLIKDEERASGHVSFTVYKQYITEAWGWWGPLVVLAVSVVWQGSLMASDYWLADQTSEENATSFQPSLFINVYAIIAAVSVVLVAARSFLVAFIGLQTADRFFKQILDSILHAPMSFFDTTPSGRILSRASSDQTNVDLFLPFFVWMSVSMYITVISVLIVTCQVAWPSVIAIIPLVILNIWYRGYYLSTSRELTRLESITKAPVIHHFSETVQGVMTIRCFRKEDSFLQENLNRVNSSLRMDFHNNGANEWLGFRLELIGSFVLCFTAVLMVTLPSSIVKPEYVGLSLSYGLSLNQVLFWAIWISCFIENKMVSVERIKQFTNIPSEAAWRIKDCLPDSNWPTKGDIDVIDLKFRYRHNTPLVLKGITISIHGGEKIGVVGRTGSGKSTLIQALFRIVEPSEGTIIVDSVDICTLGLHDLRSRFGIIPQEPVLFEGTIRSNIDPLEQYSDDEIWQALDRFVDNGENWSVGQRQLLCLGRVMLKRSRILFMDEATASVDSQTDAVIQKIIREDFAACTIISIAHRIPTVMDCDRVLVIDAGLAKEFDRPANLIERPSLFGALVQEYANRSSDV >Sspon.07G0002850-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:5230930:5233365:-1 gene:Sspon.07G0002850-3C transcript:Sspon.07G0002850-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATTHAASMSFLLSHPQSRSATPSRHLQLRPAARRVRCCATDAAAPAATTKHRRAADENIREEAARHPAPKQGLSAWYEPFPPAPNGDPNERYSLDEIVYRSSSGGLLDVRHDMEALARFSGAYWRDLFDSRVGRTTWPYGSGVWSKKEFVLPEIDPDHIVSLFEGNSNLFWAERLGRDHLGGMNDLWVKHCGISHTGSFKDLGMTVLVSQVNRLRRAPLSRPIAGVGCASTGDTSAALSAYCAAAGIPAIVFLPANRISLEQLIQPIANGATVLSLDTDFDGCMRLIREVTAELPIYLANSLNSLRLEGQKTAAIEILQQFDWEVPDWVIVPGGNLGNIYAFYKGFEMCRVLGLVDRVPRLVCAQAANANPLYGYYKSGWTEFQPQVARPTFASAIQIGDPVSVDRAVVALKATNGIVEEATEEELMNAMSLADRTGMFACPHTGVALAALFKLRDQRIIGPNDCTVVVSTAHGLKFSQSKIDYHDSKIEDMACKYSNPPVSVKADFGAVMDVLKKRLKAT >Sspon.03G0017200-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:78004675:78009516:-1 gene:Sspon.03G0017200-2B transcript:Sspon.03G0017200-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSSTTAHSATSPLPGPASANPKPRSRLLRLRAASPVPSAALTARGRPPMCTVRASSAAGAGGWGAAAVGELATERLVEVAQRAADAAGEVLRKYFRQRVEIIDKEDHSKPLFGTLIALLHNGKPVIGVIDQPILRERWIGVDGKQTTLNGQEISVRSCNLLAQAYLYTTSPHLFEGDAEDAFIRVRNKVKVPLYGCDCYAYALLASGFVDIVVESGLKPYDFLSLVPVIEGAGGSITDWRGDKLLWPVTAESRPTSFNVVAAGDARVHKQALDALQWR >Sspon.03G0014330-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:45070639:45071080:1 gene:Sspon.03G0014330-1A transcript:Sspon.03G0014330-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MACSAFPVLKVLKLKILNCKNLIALPEGPLLHELCDRDYEKARSAFPVLKLGQLKEETRCFPRLEELSIGDCLKMTALPAGTSYLAPSVGRSDIKTRSHFQN >Sspon.01G0049750-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:117311668:117313361:-1 gene:Sspon.01G0049750-1P transcript:Sspon.01G0049750-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ASMAAAPPRPRPRVMVLPFPAQGHIMPLMELSHRLVDHGFEVDFVNTDFNHARILTALAAGGDTGAAVHAGIHLVSFPDGMGPDGDRADIVRLAQGLPAAMLGRLEELVRAQKTRWVVADVSMSWVLDLAATVAVRVALFSTFAAATFAVRMRLPKMVEDGIIDGAANVKRNERIKLSPNTPAIDAADIPWVRLRSPMIKGMIKTNQTFVLADTIVCNTFHAIESEALALLPKAALAIGPLEAPPSNSASQLWPEDPACLDWLDAQAPGSVVYVAFGSFTVFDTARLQELADGLALTGCPFLWVVRPNFANGVHEGWLDQFRRRVGDKGLVVGWAPQQRVLSHPSVACFISHCGWNSTMEGVRHGVPFLCWPYFADQFLNQNYICDVWGTGLRIDADERGIVTKEEIRDKVDQLLGDDGIRARVLSLKRAACESTADGGSSHQDLLKFVNLLRE >Sspon.05G0005990-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:13263460:13268205:1 gene:Sspon.05G0005990-2B transcript:Sspon.05G0005990-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSCLGACSGGGLALSAPRCRAPSYCSVAPHRASVSCSAGGGKASPRGKDNVWSVDNDRAAKEAVRGTKHRRRRRPSGRRLPPPKRKGKDAGSRVLVSGAMLVEVETVLQTQEPVIKPSWDTFASSLSGNWKGVGAVFSPITAEMEPVGVGSKEEYLYDCYTLSHIERSFDGGHGSEIRRKTNWVPINPFGEAEKQITSYDGGSQSTSSGKGTANLPSYESFDLNRSAVLDEETFSMEPGIVFFEDGSYSKGPVDIAIGEYDESKYFLSPTYKFEQCLVKGCHKRLRIVHTIEFNEGGANIQIVRVAVYEEKWASPANIHVEDDTLVDLKPFSQRCRTKPSELTGSWKVYEVSATPIFSDEMQELEGGSPFVYLCMETVKKRTLPESSVFFGEEEMLDMQDVTVLWLPGGVTAYVDINEDGILCIGVGWYSEEGINLVMERDYGTDGRLREVRSKTEVKRWCEIQISLFFWGSNTALQPEDIHLDAPSFSIHLA >Sspon.02G0042110-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2B:81250961:81251783:1 gene:Sspon.02G0042110-1B transcript:Sspon.02G0042110-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MYMHVPAALDLVYSASFGFQQCNHSPGGLDMHGMYSNSAGLVFLLFFPLTVIGERLRPDSHSGKLFFQHLTFFSSIPSSSSRCVTVAYYPPPRRGAVATELRRYPTVRGDLLFSLPLPPPP >Sspon.03G0002520-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:15744012:15746691:1 gene:Sspon.03G0002520-2B transcript:Sspon.03G0002520-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVIKRKLYWDKQKKKGCLNMPTRKSERLAKRMKLMASLLLTQRKKIGVGEHFQAEVPDWTGQPSGKELSRYRSDPETSKMLGTRMWPPEGEVYKTDIVAVGQGRPGSCSCPFPGSFFCRQLHTNEARDQLRSELGRVFTMWQFDSMGEEVSKLWSRDEQLKFDALEQLVPVMDQKTYWAVVSKNFASKPRIDSIKYYLNVFLMRRVLSQCRLSLLEIDSDEDEVEEEEDEDQPEGSSSLQRTQDVQDLKKMGKPISISDNENTKHSGDYRQKKEFLEAVECIQIAKKHLTRV >Sspon.07G0004010-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:9890441:9890932:-1 gene:Sspon.07G0004010-1A transcript:Sspon.07G0004010-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEECTPTYIGRVRERFQGKWVCGLCSEAVKERQAREPALTVGGAVAAHAAMCERFNSTVRLNPKLSLASSMRDIARKSSMHRSPEERRRRRRHRHAAVRLRRRQAQPRQQLRAPLRLTPESTSAFARSTSTAPCCMHACAAPCYFGFAWPLFIHAWRMHIYI >Sspon.05G0039240-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:66826625:66828850:-1 gene:Sspon.05G0039240-1D transcript:Sspon.05G0039240-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGSGNSLASVATREEDKRYGVIDEVASSHTVIPATNHADIRNPALMRSGHLDQKTDFPHPSENLADEEDGGGVAHKKGEGDNNERREEDMVHECMVLHKGAAFFPCGHTFCRLCSCEL >Sspon.05G0023050-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:8404293:8404502:1 gene:Sspon.05G0023050-1P transcript:Sspon.05G0023050-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GRCSEGVVDGGDERRGSGGAQGPSGPLPLELRAAVRPPGRQGQRAQQPRAGQEAGAGGEEADGQAEEGMR >Sspon.01G0035590-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:12220623:12221844:-1 gene:Sspon.01G0035590-1B transcript:Sspon.01G0035590-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding WCCGHTRRN >Sspon.04G0014190-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:52298968:52313968:-1 gene:Sspon.04G0014190-1A transcript:Sspon.04G0014190-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIGDLVQDQEPPNSSPGPSLHPARSALPARRSRMPMPAAACRHLMAGVVKWKPASYEQMERTRVAPERLSLRSNSAKFHDTGHLGHFGKDDNLICRSLKSISRPKARQYVIVKVHNKDVDEGCTSKDDEIISGPFQRKEGNQLRALESYFSKLYPTQQLYSLPQKKHKSGPSSSNEVDVIIADEDANFKNRVDSLHVQIERGNTGIKSYQSVLDMHTDDQASGFCLTNLLAAINIAVLLFEIASPVKNSENEYLSLPLLYGAKINNLILSGEWWRLLTPMCLHSGFLHIALGCWALLIFGPRVCRAYGQMTFFLIYILGGICGNLSSFVHTPEITVCGTSQNKQVIDKDVSENSSPSQSQAMFATKIVAGSILIVYSYKPDLH >Sspon.01G0046560-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:91068129:91069229:-1 gene:Sspon.01G0046560-3D transcript:Sspon.01G0046560-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LSWADLPADILGLVVGRLPRVDDRARLRSVCRAWRAAARVHGRPPPPLPLLVLSDFSFSAFCADGAMVGVRRIPLPSREMWAGVRCVGSFHGWLVGVQLNKGRYFGDGRCFLMNAFYQDVVRLPPPSVNTHSLDAYSKSLPIANGSGAVQCTVNGAQYVMSFCKVVLSSSPDHDGKCIVAAVSVHRSTASLALWRPGMTSWCVCQGGCISKFSDIALYQGKVYMFSKVTTNLFVFDISEDESGLMVSGVERCVTELPEVKDSYGQRWNIVEWHGKLLLVVIYLGLEGWHNICKIGVFEVGLSTNPFRFTEINSLDGDCIFISPCSSNSFRACLYDGVEDDLIYFIDGGLNHSRNASPFDKFVYNMRD >Sspon.05G0014290-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:51888796:51889429:-1 gene:Sspon.05G0014290-1A transcript:Sspon.05G0014290-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LQSPHGENWSVGQKQLLCFGRVILKRSRILFMDEATASVDSQTDAAIQRIIREEFTECTVISIAHRIPTVMDSDRVLVLDAGLVAEFDAPAKLMGRPSLFGAMVQEYASRSSSLKET >Sspon.06G0012050-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:52674164:52676621:1 gene:Sspon.06G0012050-1T transcript:Sspon.06G0012050-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSQLVCSGCKRLLQYRRGATGVCCPTCSTFTTANPSGPEMSELVCGGCFTMLVHSRSATNIRCPHCGRLNSTRSGNQMGHLSCGQCRTTLAYPPGATTVGCPTCRNINPVRNNNAGGSARPAPSDARPQTVLVENPKTLDEKGKLVSNVAVGVTSWKR >Sspon.04G0005030-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:10215653:10228926:-1 gene:Sspon.04G0005030-2B transcript:Sspon.04G0005030-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MESPAKEEAGGELAMEIESSVTAEDWRRALSRVVPSVVVLRTTAPRAFDTEVAGASYATGFVVDKSRGIILTNRHVVKPGAIKFLKYDEIPLAPEAASVGLEIRVVGNDSGEKVSILAGTLARLDREAPYYKKDGYNDFNTFYMQAASGTKGGSSGSPVVDCQGRAVALNAGSKSSSASAFFLPLERVVRALNLIRDSWDAFGTKPESVYIPRGTLQIVRVVSPAGETGMLVVDSVVPEGPAHKHLEPGDVLVRINEEVVTQFLRLETLLDDSVGKEIDLQIERGGVPLTVKLQVEDLHSITPNHFLEVSGAVIHPLSYQQARNFRFKCGLVYVAEAGPMDLKCQYDSENLADGCIKMQTDDEIVVDGSHSNEDSLVEKKRRRVDEEIAAEGTLPSYGDLEDVKGGSLRHPSNAEGSELARTISSNASLAEQLKYGCSSSEDHQFVRGIPIYAISQVLEKIISGTQGPFRLINGIKRPMPFVRLLEVELYPTLLSKARSYGLSDNWVQALAKKDPVRRQVLRVKGCLAGSKAENLLEQGDMILAINKEPITCFLDIEKACQELDQSIGSDGVLNMTIFRQGKEIDLIVGTDVRDGNGTTRMDPHSAVRALGFLPEEGHGVYVARWCHGSPVHRYGLYALQWIIEVNGQPTTDLETFIQVVKGLEDGEFVRVRTVHLNGKPRVLTLKQDLHYWPTWELSFEPETATWRRRIIKALQSTKA >Sspon.02G0026530-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:100344299:100349026:-1 gene:Sspon.02G0026530-4D transcript:Sspon.02G0026530-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAEWDSRSEASPTGDWPPVAAASPVKGKAAMPDSDAGASASGSSEAKVDDGNIQEAESSLREGLSLNYEEARALLGRLEYQRGNVEAALRVFDGIDLQAAIQRFQPTLSEKPLSKRNNKLRSDSSNSGSQHAASLVLEAIYLKSMSLQKLGKAMEAAKQCKSVLDAVESIFQCGIPGVMVEQKLQETVSKSVELLPELWKQAGAYQEALASYRRALLSQWNLDDECCTRIQKRFAVFLLYSGVEVAPPSLASQTEGSFVPRNNLEEAILLLMILLKKWFLGKTHWDPSVMEHLTFALSLCGQTSILAKHLEEVLPGIYPRTERWYSLALCYFAASHNEAALNLLRKSLNKNESPNDIMALLLAAKICSSSYLLSSEGVEYAKRAVKDAESSDGHLKSVALHVLGSCLSKKSKVALSDHQRSLLQTEALKSLNEAISLDRHNPELIFDMGIEYAEQRNMHAALKCAKEFIDATGGSVSKGWRLLSLVLSAQQRYSEAEVVTDAALDETAKWEQGPLLRIRAKLKVAQSLPMEAVEAYRTLLALVQAQRKAYGSAKNGTEDNEDKVSEFEVWQGLANLYSSLSYWRDAEICLQKAKTFKTYSATTLRAEGNMHEVHEKIQDALAAYFNALSMEVEHVPSKVSIGALLSKQGPKFLPVARCFLSDALRLEPTNRMAWFYLGEVHKQDGRLADAADCFQAASMLEDSDPVESFRSL >Sspon.06G0028930-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:12239383:12240627:-1 gene:Sspon.06G0028930-1C transcript:Sspon.06G0028930-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGGGGNPYYLQNHHHQHQQQLFHHGHALDATMDGGGGFMAEPPAAGSGSAADAQCHHALLYNLSVLRDKVQQLQPLVGLALAHDGPGPVAAAPGAGAVIQEIMAAASSMMYAFQQLCGHGGAAPNAAQAQPQPQAGSSSSVIAAGHGNNGRMADAAAATCGDHHGQQLQQATVIDHVMQQQWRQQQQQQHRTRYGGTTPVAAAAAMPSSQPQATTAVMMAEEEVVAGTIIELEAAELLAKYTHYCQVCGKGFKRDANLRMHMRAHGDEYKSSAALANPAKATAVGGDAATTSRSFYSCPQEGCRWNRKHAKFQPLKSVICAKNHYKRSHCPKMYVCNRCNRKHFSVLSDLRTHEKHCGDHRWLCSCGTSFSRKDKLVGHLALFTGHQPAVPLDRQANGGRRSSSMSTSTQLGT >Sspon.07G0018390-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:71945498:71946919:-1 gene:Sspon.07G0018390-2B transcript:Sspon.07G0018390-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAGGGGNRDAAAPMHVVMLPWLAFGHIVPFAQLARRLLASSSSIRVTFLTAAGNVPRVEVMLSSAGGVAVVPLRLPRVPGLPEDAASTADLSSDGAELLKVALDGARPQVAALLAELRPDAVLLDFATPWASEDAAVLGVKSLHFSVFSAVAGAYLVVPARCPDGAGSPPSARDLLSGPAGFPGSSPLAATGVPPYQAADFAYVFTSFGGQPSVYDRAVASIRPCDGIVLKTCAEMEGAYVDYLAAQFRKTVLVAGPLVPDPPRGDELLDERWATWLSAFPDGAVIFASFGSETFLPPAAATELLLGLEATGRPFLAVLNSPDGAAVVPPPGFAERVSGRGLVHTGWVQQQHILRHRSVGCYVTHAGFSSVVEGLVAGCRLVMLPMKGDQYLNAALFARELRVGVEVARRDEDGWFGRQDVCDAVAAAAVADGGGGDAWKKWADFFKDDAVQGRFADEFVRQLREVVSAAPS >Sspon.02G0024820-1P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:85439876:85441624:-1 gene:Sspon.02G0024820-1P transcript:Sspon.02G0024820-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPRPPPSLIDDVMAEIFLRLPPDEPEHLFRAALVCKPWLRILCDPGFLRRYRAFHGAPPLLGLLHRLQVLEGDPPPRFASTTSMPDFPHPGSDGRRSRPLDCRHGRLLIFMSSENAPFHVWDPVTGDRHAVPAVDMGPLLIESAAVLCATDGCDHLDCHGGPFRVVFLATHDFEDTILASVYSSETGAWGVPVSFDNSCESFVQHMREGRAASVISPLYTPYLQPRRGTLVGDGIYFTIRFANAIVKYDWGNNRLSIINSPSQDVYHISLMAMDNSTLGFACVLGSSLHTWSRKVDTEEAAYWVPYRVIDLKKMIPVANPDDKPVVVGFAEGEGVVFVSTDAGLFTIKLSSGKAKKVDDTGVYFSVLPYMSFYTPGLSSIVRLLGYTIGDSGIQDVI >Sspon.01G0025330-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:89777622:89784380:-1 gene:Sspon.01G0025330-1A transcript:Sspon.01G0025330-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAGGGRDDEEVSRKLKSMDVDKLENGGGEESPRPPRPAVKYHGWKAMPFIIGNETFEKLGTLGTSANLLVYLTQVFHMRSVDAATLLNGLNGTTSLAPIIGAFLSDAYLGRYLALAIASVASLIGMFLLTLTAGADSLHPPECGVGETCEKATSYQFAVLFIAFAFLVLGSAGIRPCSMPFGADQFDPNTESGKRGINSFFNWYYFTFTAAMMISATVIIYVQSNVSWPIGLGIPTALMFLACVLFFMGTRLYVRVTPEGSPFTSVVQVLAAALKKRSLKQPKDPKQDLFDPPHTSAIVTKLAHTDQFRCLTRRPSWRPRTSGGAPADPWRLCSVQQVEEVKCLIRIVPVWSTGIIYYVAVVQQSTYVVLSALQSDRHLGRGGFQIPAASFTVFAMLAQTLWIPFYDRLLLPKLRKITGKEEGFTLLQRQGIGIALSTVAMVISAIVEDRRRAIALSQPTLGTTITGGAISAMSSLWMVPQLMILGLSEAFNLISQIEFYYKEIPEHMRSVAGALAFCNLALGNYLSGFLVTIVHRTTAQDLNKGRLDLFYWTIAGIGVFNLIYFVICARWYRFKGASN >Sspon.07G0015320-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:40430954:40436449:-1 gene:Sspon.07G0015320-2B transcript:Sspon.07G0015320-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSSGAYGGNGEAWRGAVSPAARYAESGGASLTWENLTAVLPGGGGRATKKLVQGLYGYAVPGRVVAIMGPSGSGKSTLLDSLSGRLARNVVLTGKVLLNGKKRRLDYGVVAYVTQENVMLGTLTVRETVTYSALLRLPSSMRKSEVRRIVDDTLDEMGLRECADRHIGTWHLRGISGGEKKRLSIALEILTRPRLLFLDEPTSGLDSAAAFSVVQTLRHLAVDGGRTIISSVHQPSSEVFALFDDLCLLSSGECVYFGDAKLATQFFAETGFPCPSRRNPSDHFLRCVNSDFDDVAATMKGSMKLRPEAELDPLLKYSTSEIRERLVDKYRISDYAMMVRSTIHEITKIVSISLHSKFCRHLNCYFSILNVFVAVNLMKIMQEGVMVEVIRGSQASWFKQLRTLTSRSFTNMSRDLNYYWLRIIIYIVMAICLGTIYYDVGTSYSAIQARASCGGFVSGFMTFMSIGGFPSFIEEMKVFTLERQNGHYGVAAYIISNFISSMPFLLAVSWASASITYWMVKYRSGFSYFAFFALNLYGGVSVIESLMMIISALVPNFLMGLILGAGVIVFHDFAGDHDVDVWIFPTASGTSEDLLAVSSVLRSLWVMGIEGMSSLLILLQVPGSRNTNSDTNVKGCCRTQGGYKNDMIGLEFEPMVPGEPKLTGEYIITEMMGLSLDHSKWLDLAMIFVLLFAYRLTFFIVLKVKEAAAPYIRVAYTRFTVKRLERRASFRKTLAMTSMSKRHNPPHPMAIQEGLNSPMPY >Sspon.08G0009750-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:42705492:42706605:1 gene:Sspon.08G0009750-1A transcript:Sspon.08G0009750-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKQIGVVVLSCFIAITALAFRPCAGWSDAGATWYGPANGAGTDGGACGYQGAVDQPPFSSMITAGSDSIYQDGKGCGTCYQVKCTGHASCSGSPVAVVLTDLCPGACQSEPVHFDLSGTAFGAMAKPGQADQLRNAGRLPVQYTRVPCNWNGVDVAFRVDAGSNANYLAMAIEYESGDGDLGAVELQMQSGAAWAPMERSWGAVWRYQSGSSLQGPLSVRLTSGSGKTLVASN >Sspon.01G0029040-2P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2D:66942934:66946253:-1 gene:Sspon.01G0029040-2P transcript:Sspon.01G0029040-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLLHLLLVLLATAAAVAGAAGGAATADADALLAAKAALSDPAGALASWTNATTASPCAWSGVTCNARAAVIGLDLSGRNLSGSVPAALSRLAHLARLDLAANALSGPIPAPLSRLQSLTHLNLSNNVLNGTFPPPLARLRALRVLDLYNNNLTGPLPLDVVALPMLRHLHLGGNFFSGEIPPEYGRWRRLQYLAVSGNELSGKIPPELGGLTSLRELYIGYYNSYSGGIPPELGNMTDLVRLDAANCGLSGEIPPELGNLANLDTLFLQVNGLAGAIPPELGRLKSLSSLDLSNNALTGEIPASFAALKNLTLLNLFRNKLRGSIPELVGDLPSLEVLQLWENNFTGGIPRRLGRNGRLQLVDLSSNRLTGTLPPELCAGGKLETLIALGNFLFGSIPESLGKCEALSRIRLGENYLNGSIPKGLFELPNLTQVELQDNLLSGGFPAVAVTGAPNLGAITLSNNQLTGALPASIGNFSGLQKLLLDQNAFTGAVPPEIGLLQQLSKADLSGNALDGGVPPEIGKCRLLTYLDLSRNNLSREIPPAISGMRILNYLNLSRNHLDGEIPATIAAMQSLTAVDFSYNNLSGLVPATGQFSYFNATSFVGNPGLCGPYLGPCHSGGAGTGHGAHTHGGMSSTFKLLIVLGLLVCSIAFAAMAILKARSLKKASEARAWRLTAFQRLEFTCDDVLDSLKEENIIGKGGAGIVYKGTMPDGEHVAVKRLSSMSRGSSHDHGFSAEIQTLGRIRHRYIVRLLGFCSNNETNLLVYEFMPNGSLGELLHGKKGGHLHWDTRYKIAVEAAKGLSYLHHDCSPPILHRDVKSNNILLDSDFEAHVADFGLAKFLQDSGASQCMSAIAGSYGYIAPEYAYTLKVDEKSDVYSFGVVLLELVTGKKPVGEFGDGVDIVQWVKTMTDANKEQVIKIMDPRLSTVPVHEVIHVFYVGLLCVEEQSVQRPTMREVVQMLSELPKPAARQGDEPPS >Sspon.04G0018660-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:3310387:3312055:1 gene:Sspon.04G0018660-1P transcript:Sspon.04G0018660-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCATSTEARRDMVWVGVDARARRSFSLPSVDRQRLRLRAVSMLGTLGLAGSARHSGSCRHATLSVEEMMKGDNDHAADAVLLADDAAKRPLKLRTPTLTPPNEPEVINAWELMAGLEDDVPTPRATYKSLPLDESPQEFVLEAQPLPQWMQADMDMPVALDFDPEVLSGFREALEGTPPSSEPAVVSTAEDETPREQERKDRNDADACDMPMSPATGDMPELSGLVRARIIAFQEKIERRRSKGRDAKVSPLWPPGGERKAVVYFTSLRGVRKTFVDCCAVRSILRSYGVRLDERDVSMHAVFKAELAELLGPGFAGAALPRVFVDGQYLGGAEDVHFLHEAGELGRALEGCEAAPLRKLGYMEACAACGDVRFVPCETCYGSCKIFVEDDDADDRYHDVGEFRRCPDCNENGLVRCPI >Sspon.01G0026040-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:89197073:89200290:1 gene:Sspon.01G0026040-4D transcript:Sspon.01G0026040-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLASAAHKIPLEVAHTLVEIAEVARYAYHHRPGHPADHDGDPTALPAGADGGGGASEEAARLREENAMLRARLADDLALLRELHGAPCVSKECPPDLYNRLMAAVNNASFLAHLEKLQDESAREHNELSSGNMTEVEVADIPDKMGNGKKGSWVLVACDTAGANLEEISGIDDENYVIINEDDIVDGIATFVARCILEDPKSKSLSPVQLQKAVAKALDSMKARWRWSTFWEAGQIIYILATWGITLAGLYKSRHVLKVAAKGAAASARFVMKAL >Sspon.02G0016470-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:45658292:45663159:-1 gene:Sspon.02G0016470-1A transcript:Sspon.02G0016470-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEILPEIEQRDFRKGAQEMSPSMSADEPLFYALSLLHIFAEHETRELKAQPSESASKARSSGRASKSASYTFIHPCHPSPSPPLEAARQATASPPDLTSEGASMPDLRGCRGTSFLSLDARPPLSRLLAALSSPAAAAQETWALRNWSSKNERKKRDTLEIEVGN >Sspon.02G0016750-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:50313497:50317254:-1 gene:Sspon.02G0016750-2C transcript:Sspon.02G0016750-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MANSNLPAASSRRRSGCSASQGCWLAFFAAPGISASPSEENMRYFNVMILGPSQSPYEGGVFKLELFLPEEYPMAPPKLGRICLDILKDKWSPALQIRTVLLSTTLGAAFLEASVGSWGSNPGPAAPPLETLPAALGTLPDPLIQALLSAPNPDDPLADNVAKHWKANEAEAVETEISFGGAVEVILTDDWLVKLEIERIEE >Sspon.06G0013620-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:70760622:70762407:-1 gene:Sspon.06G0013620-1A transcript:Sspon.06G0013620-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHVHGHHEPLLQAKSPPQAALVVSVLLVLCPLLVLLLVRRRFGTRLSNATSRAREQLLSKLPSPPSRLPIIGHLHLIGSLPHVSLRDLAARHGRDGLMLLHLGAVPTLIVSSPSAAQAVLRTQDHIFVSRAYSPATDILFYGSTGIAVSPYSEHWRQVKKIVTTHLLTNKKVRSYRHAREHEVRLVVAKIHEAATTGTAIDLSELLNSFANNICVTPEDGRNKLFRELLEANSSLIGGFNLEDYFPMLVKLDIIKRMVCAKAQKVNKMWDNLLDMLIDDHASKLTSDRDGEESDFIDLQAEVRSTVAKGKEIVIEDERNSLAYLKAVIKETLRLHMPAPLLLPHLSMADCNIEGYMIPSGTRAIVNSWALARDPSYWEKADEFMPERFMEGGSAAAMDNKGNNFQYLPFGAGRRICPGINFASSTIEVMLANLVYHFNWELLEELAKKGIDMTELFGVTVRRKEKLLLVPIVPQD >Sspon.07G0000050-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:160147:166932:-1 gene:Sspon.07G0000050-1P transcript:Sspon.07G0000050-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGLCSKVSAVDKSPSDTALGRNQVADHEPGASLELEKPPVSAEAAAQAKRLDEQQQQQSFSFLESVVPGVAFHAGANAGGETGSRTSPQLTRSLSQRAGVGKAKAGAAKVSEVSSILGRASTVGLEKAVEVLDTLGSSMTGLNSSSGFVSTSAAKGNKIAMLAFEVANTIVKGSNLMRSLSEPSIKHLKEVVLHSEGVQHLISKDFDELLKMAAADKREELEVFTKEVVRFGNHCKDPQWHNLDRYFEKYSFFSVPEFVNELYHELHALDRFEHDYRLKQKEQDGLSSRGDSLDILKQEVKVQSKHVKSLKKKSLWCKNLEETVKSHKKLPNTIIDWDQQASHCITLISSIILTIFLQLLGSKQKWRRFCDGLSLLLVTQIRHTTASVGLESGQIQDLN >Sspon.04G0028560-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:10312141:10317666:1 gene:Sspon.04G0028560-1P transcript:Sspon.04G0028560-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVMMPQRHRPTAKKPMWIIVLLSMVCIVLIGAYVFPPRRFSKCYLSASSVCTNFKDWLPSMGHRERTDEEIISSVVIRDILSMPMPVSKNPKIALMFLTPGSLPFEKLWEKFLQGHEGRYSIYVHASREKPVHTSSLFAGRDIHSDAVVWGLISMIDAEKRLLANALEDVDNQVFVLLSDSCVPLHSFDYVYNYLMGTNMFISTHFDFLSCLCFQLISFKDPGPHGSGRYSPEMYPEIDERDFRKGAQPAEGRNCIADEHYLPTLFNMVDPGGISNWSVTNVDWSEGKWHPRSYSADDVTYDLLKNITAIDENFHVTSDDKKLVMQKPCLWNGSKRPCYLFARKFNPEALDNLLKLFTSYTSV >Sspon.03G0012100-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:39851901:39853704:-1 gene:Sspon.03G0012100-2B transcript:Sspon.03G0012100-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAELYEDHHGRRESYCKVRPLEAYVLPYHNDSSTTCQSYSQPPQEAPPSSAPAPPGLPPQYNATTGGGNASSPEAAFVGLDEFRSRIMQGKAENDTGRPRPTDGGAAHRLEPNGAEYNYAAASKGAKVLAHNKEAKGDANILGGDKDKYLRNPCSADDKFVVVELSEETLVDTVALANLEHYSSNFRDFEVYGSMSYPTEAWELLGRFTAENAKHAQRFVLPEPRWTRYLRLRLVSHYGSGFYCILSYLEVYGVDAVERMLQDFIAGAGAGADAVADASSRDRASIDLASRDADGNDTTAQQARQVHAKLDGNGGAGIGRNDSTAGDAKNNGSRSGDAKLPPQGKEAKPPQVAAPGSSSTGRIHSDGVLKILMQKMRSLELSLSTLEEYTRELNQRYGAKLPDLQNGLSQTAMALEKMKADVHDLVDWKDSVAKDLDELKAWKSTVSGKLDDLIKENQEMRQVPFPGGGQWSVEEMRSVQETLQNKEMAVLSISLFFACLALSKLACDRLLCLFAGKGSREEPDATEEHTRSSRAWMLVLASSSFTTLIVLLYN >Sspon.01G0023770-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:84177021:84178531:-1 gene:Sspon.01G0023770-3C transcript:Sspon.01G0023770-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNAVSSSPAPLRFVIFPWLGFGHLLPYLELAERLALRGHRVSFVSTPGNIARLPALRPAAALRVDLVALPLPRVDGLPDGAESTNSVPHDKFELLFKAFDGLAAPFAEFLGASCADEGKRPDWVIVDCFHCWAATAAVDHKVPCAMLLPSAASMAAGRERQSSRAKPAAAEEPPAVAAPRYELEQQKQYSVKDGASGMSIAERYYLTRERCTVMAMRSSLEWEPEFLPLVAPLVGKPVVPLGLLPPSHDGGRGANANGEHAAVRWLDAQPTSSVLYVALGSEVPLRVEQVHELALGLELAGTRFLWALRKPGGGVLDADILPAGFQERTSGHGLVTTGWVPQTSILAHGAVGGFLTHCGQNSLIEGLLYGRPLVMLPIFGDQGPNARFMEGKRVGLQVPRDEHDGLFDRHGVASAVRAVMLDEETRGVFVANALKMQGIVADKELQDRYIDEFIQQLRSYSGSAALPPAETSVQGM >Sspon.02G0013360-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:35594369:35595726:-1 gene:Sspon.02G0013360-1A transcript:Sspon.02G0013360-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAIPGSKPGDRADAGVTREAVTGRRRGQRLSAGVHRSRPHVVSMCGLAVAQEVLGHGGAGAGPGAAGPPGRARAGDRARVGLCLPPTPPLGRRRLAALSSDYDHRLSMLVQGGNYLPNRSRIKRMRHLSCR >Sspon.05G0020040-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:80875725:80884237:1 gene:Sspon.05G0020040-2D transcript:Sspon.05G0020040-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAPPRWPNVNAPPGYRFKPTPRELIQCYLEPWVATEPGHQSPGEFYGIMAAADVYGEDPGALASRFQHIAHDDGNWYFLSVARWKDGNASSKRMNRAVGALGTWHGSGKRIPVRGAGYRQSFEFRPAGGGKTAWLMEEFGTVRNDATGEDGVRVLCRLHLRPKAAAAAADGDERQQQLEANDVPAPCNKRQRQRAAARQVEYHFDADYWTAAPDVGLSSSYATATAPAPPDVGCSSYATTSSHAPAVPVTELTAEALATWQHQPMMEQAGDGGYQYHCAGVHGGVYIRVDDEPQRLEMVTEDVEFTAQDLKLEDSDFVFTVEHLLQLDDGWIMDSNSNAFSVLHPMCDGVQENNDPKPEPSDGVQENDDDDPKGDTDANQKHSNRNMPVNSKSKQQ >Sspon.04G0033070-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:44526960:44528083:-1 gene:Sspon.04G0033070-1C transcript:Sspon.04G0033070-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MITIDDLMRSCGGDSGGIPVPSGGDGRQMLATGDHHQLTVSRIRTAVSMLNRRTGHARFRRGPVAEQHASSDQLHPPAESAAGGVALDFIKACEARFSASASGTSSSLPSTTLTSLTVTAGEGSVSNGRAQGRYLFQPVSGGSGSGHSAGKPLPLAVSMQQHASPDYTPGTALKNGKCHDRGRSENDAGGKTHGDRCHCSKKRKSRVKQTVRVPAISPRNADIPPDDYSWRKYGQKPIKGSPYPRGYYKCSTVRGCPARKHVERDPGEPAMLIVTYEGDHRHNYQQNRATGGAPQTEHTTTTS >Sspon.05G0007010-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:23089612:23092054:1 gene:Sspon.05G0007010-4D transcript:Sspon.05G0007010-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNTAILESDPLNWGKAAAELTGSHLDEVKRMVAQFRDPVVKIEGSTLRVGQVAAVAAAKDASGVAVELDEEARPRVKASSEWILDCIAHGGDIYGVTTGFGGTSHRRTKDGPALQVELLRHLNAGIFGNGSDGHTLPSEVSRAAMLVRINTLLQGYSGIRFEILEAITKLLNTGVSPCLPLRGTITASGDLVPLSYIAGLITGRPNAQAVTVDGRKVDAAEAFKVAGIEGGFFKLNPKEGLAIVNGTSVGSALAAVVCFDANVLAVLSSVLSAVFCEVMNGKPEYTDHLTHKLKHHPGSIESAAIMEHILDGSSFMKHAKEVNAMDPLLKPKQDRYALRTSPQWLGPQIEVIRAATKSIEREVNSVNDNPVIDVHRGKALHGGNFQGTPIGVSMDNARLAIANIGKLMFAQFSELVNEFYNNGLTSNLAGSRNPSLDYGFKGTEIAMASYCSELQYLANPITNHVQSAEQHNQDVNSLGLVSARKTSEAVDILKLMSSTYMVALCQAVDLRHLEENLKSAVKNSVMAVARKVLTTSLDGDLHSARFSEKALLTAIDREAVYGYYDDPCSANSPLMKKIRAVLVDHALANGEADKDASASVFSKINRFEETLREALPREMEAARVAFETGTAPIANRIKESRSYPLYRFIRQDLGAVYLTGEKLKSPGEECNKVFLALSEGKLIDPMLECLKEWDGKPLPIC >Sspon.02G0055390-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:5268584:5270281:-1 gene:Sspon.02G0055390-1D transcript:Sspon.02G0055390-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RRPRRTRLDCSFNWVRERVRGYSIMPPVTTQPVSGECGAHVAVEAFESRARILNAKENQPFPTLSILHLTDQLRDAMAAATTTAARQRVRHEETPFELLTHTGVVTKEDYDAAPAGQGFTRNVQVLYQQGNGDPVKDTLNEIASHGPVYGWFILNVTSFSAARHGIYRAPQAKHKSDTHAVLLYGFGARGRRTSAMCYQNNWGRHYHVNGRGLIETNSIVA >Sspon.01G0021880-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:80401646:80408621:-1 gene:Sspon.01G0021880-1A transcript:Sspon.01G0021880-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSATNLRLLSWDCADDPLDFGAFAGAAFLPLQRREAKRERGVSPEAVQARPVDELGGGAAEGEEKARAAKKGNSNNHSDKKTVKIMTYNVWFREELELIRRMNAIGDLIQHHSPDLICFQEVTPNIYLLFEKSDWWQAYKCSLPHEMAMQRPYYSMQMSKLPVKSFDRKPFYNSKMGRELCIADVTVGGVIKLVVATSHLESPSPGPPTWDQMFSKERVGQANESVRTLGAFRNVIFCGDTNWDDKGDGTFPLPDGWIDAWDELKPGENGWTYDTKANIMLSGNRKLQKRLDRFVCKLSDFKVDSIEMIGEEAIPGATYIKEKKVCQEIRQLVLPVLPSDHFGLVLTISSQSEI >Sspon.02G0049940-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2C:46564227:46564412:1 gene:Sspon.02G0049940-1C transcript:Sspon.02G0049940-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding QVLEKEHPNDRYKIARNKKGKDESHRAAVGIKGVNWKAYEMCKTCHRILLVLRVDQVKCWF >Sspon.04G0022450-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:6120475:6121954:1 gene:Sspon.04G0022450-1B transcript:Sspon.04G0022450-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AT5G65220 protein [Source:Projected from Arabidopsis thaliana (AT5G65220) UniProtKB/TrEMBL;Acc:B9DH43] MATMSFAAASPLTSTPRGIAAPAPRTAFLSLRLGGVTAMRFAGLAAASQPAERRAAAAVAMAKREQELEEIRAMTTEQLEEEVVDLKGELFLLRLKRSARQEFKNSEFGRMRKRIARMLTVKREREIEQGINKRLSRKLDRKWKQSIVVRPPPSLRENKE >Sspon.03G0021990-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:90065308:90067176:-1 gene:Sspon.03G0021990-2B transcript:Sspon.03G0021990-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPSDLTLDYKPNGNGAAYAVTIPKPQQQEPLVVDGHHHHHHHLTTAEQATQKLREFLARLEEERLKIDAFKRELPLCMQLLNHAMESYRQQLEAYQMGSLQGALARPLVLEEFMPLKNIGIDAAADKMGNPPSEKASWMQSAQLWNGPAAATVVAAKGPQTPKESSEHPLPIDTLCAHDAAAGQRNGGGGAFLPFAKDKIASAAEGAALPELALAPADKDAADGDRKSYLDAGSNNGVLGARRDVVQNGVKPAPNAPEGQQAAAAPPPQTHRKARRCWSPELHRRFVNALQILGGAQVATPKQIRELMKVDGLTNDEVKSHLQKYRLHTRRPMPAPPAPATAAPQLVVLGGIWVPPEYATQAAGQAIYGAHPATQPHYTAAVAAAQDYYPSPAAVHHLQHHPAAAAAAAAMVHRAAAAAPPPQQAAYKAVAAMAGSPPGSEGRGSAGGGSVGGGGGGRERSESIEEEGEGEEREEDDDDDDDDMAAAKADGEEAAAGAGVVA >Sspon.02G0028520-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:100990678:100993910:1 gene:Sspon.02G0028520-3D transcript:Sspon.02G0028520-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative pentatricopeptide repeat-containing protein At3g16890, mitochondrial [Source:Projected from Arabidopsis thaliana (AT3G16890) UniProtKB/Swiss-Prot;Acc:Q9LSQ2] HPNRRQMRSRRLLRDLLPRLPKPTDTPAQIRQKLQLSTKSGQDAAQSAAADPARAVSLLSEREWFARINSEFAAPLQQLGPRFVVRALHHAAAEPLLCVRLYVWASRFGQRFARDRSVRRALGDALWRRGPVVLSAALVADVRGCGCEVSEELLCALVESWGRLGLAHYAHEVFVQMPRLGLRPTTAVYNAVIAASVRAGAVDAAYLRFQQMPADGCRPDCFTYNTLVHGVCRRGIVDEALRLVKQMEGVGIRPNVFTYTMLVDGFCNAGRIKEAAGVLERMKEKGVVATEATYRTLVHGAFRCLGKERACRMLSEWIESDPTVHSSAYHTLLYCLSKNDMAKEAVELVKKMGKRGHLLDNTMFSIVIPCAVKCLESSDLCELVDDFIRKGGNLGFDMYITIIKSLLRCKDISNAKRYLSQMVSDGLLSSVASYNMVIDCLAKAGEVERALETIKVMQESGFSPNLVTFNTLINGYLKLGNVHDAKAVLKMLMEHGFMPDVITFTSLIDGLCHTHQLDDAFTCFSEMSEWGVRPNVQTYNVLIHGFCSAGHVNKAIEVLNKMKMDGITPDAYSFNAPILSFCRMRKIEKAQKLFNAMSRYGVSPDSYTYNALIKALCDERRVDEAKEILLSMESSPGIASNQHTYWPIVGALTKMGHFSEAGMFMNKLHRRNAHLGSRSSCFY >Sspon.06G0018770-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:85867546:85868297:1 gene:Sspon.06G0018770-2B transcript:Sspon.06G0018770-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GENVPAPFMTFESTGFPPEILREIHAAGFSNPTPIQAQTWPVALQNRDIVAIAKTGLERRWVPNSCFYTSEEMPQ >Sspon.06G0032110-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:68161929:68163953:-1 gene:Sspon.06G0032110-2D transcript:Sspon.06G0032110-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGNRHYVIGLPSASARCGGDAPSVEDHQQQRSPVEAAARAVRPNQKRTKNFSDKEDEMLALAWLNVSADGPAHGGERAPYWKRMHDYFHSRRDFESERSENSLLHRWSTIQDNVKRFDRCVADVVDGQQDDNSLTPQDTVVQALALFKSEDKNNKSFQFLHCWNLLRSHQKWIERSSQISSQKLAWINRSSSCSQTQVVASSSQKKQKTSPGSSPSSSTPPFALDDSLEAAAQECEVLIQPVDVSDKEKESLQQGGAGLYYLEASDDLWGKRKAADEGRELDNGNAERGKQADVERNEQTDVERRLSNGKSEKHALEQKKVALEQQKVALEQKRGLH >Sspon.07G0033740-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:54898630:54900498:-1 gene:Sspon.07G0033740-2D transcript:Sspon.07G0033740-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MELNLVSSVSLLLLALSAAYVYYTTRRRSPQRLPPAPPGWPVIGHLHLLSEMPHHTMAELARTMKAPLLRLRLGSVPAVVISKPELARAALTTNDPALASRPHLLSGQFLSFGCSDVTFAPAGPYHRMARRVVVSELLSARRVATYGAVRVKELRRLLAHLTKNTSPVRPVDLSECFLNLANDVLCRVAFGRRFPHGKGDKLGDVLAEAQDLFAGFTIGDFFPELEPFASTVTGLRRRLKSCLADLRAVCDEIVDEHISGKRQRIPGDRDEDFVDVLLRVQKSPELEVPLTDDNLKALVLVGTYRACVFNSVTNSESDIVTLTRHFDAVRGTDMFVAGTDTTFATLEWVMTELVRHPRILKKAQDEVRRVVGGKGRVEESDVGELHYMRAIIKETFRLHPAVPLLVPRESVAPCTLGGYDIPAKTRVFINTFAMGRDPEIWDSPLEYLPERFENGGGEIDLKDPDYKLLPFGGGRRGCPGYTFALATVQVSLASLLYHFEWALPPGVAAEDVNLDECFGLATRKKEPLFVVVRKSEAYEFKGEELNEV >Sspon.01G0049510-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:113282126:113283789:-1 gene:Sspon.01G0049510-1B transcript:Sspon.01G0049510-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAYVVVLSFFFIFAIHRLLNRGHSKNTSMQQLPPGPLAVPVLGHLHLLEKPFHHAFMRLAARYGPVFSLRLGSRDAVVVSSADCARECLTEHDVTFANRPTFPTLHLMTYGSTTVGTCAYGPYWRHMRRVITVHLLSAHRVRSMLPAIEAEVRAMARSMYRAAAAAPGGIGGAARVELRGRLFELALSALMETVAQTKTSRAVDDADTGMSPEAQEFKESMDVMVPLLGTANMWDFLPILQRFDVSGVKNKIAASVSSRDAFFRRLIDAERRRLYDGVKSENKSMMAVLLTLQKSEPENYNDDMIMSLCFSMFSAGTETSATTAEWAMSLLLNHPEAMKKAQGEIDAYVGNSRLLGAGDMPGLNYLQCILTETLRLYPVVPTLIPHESTADSTVGGHHVPSGTMLFVNVYAIHRDPAAWTDPAVFRPERFEDGSAEGRLLMPFGMGRRKCPGEALALRTLGLVLGTLIQCFDWDTVGGVPKVDMAEGAGLTLPKAVPLEAMCKPRQVMLDVLQK >Sspon.04G0021820-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:37999641:38003937:1 gene:Sspon.04G0021820-1P transcript:Sspon.04G0021820-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAGEGNGDEGWRRSGIEVSALQFAYDGQPPLFARFNLRITPGSRCLLVGANGSGKTTLLKILAGKHMVGGRDVVRVLNGSAFHDTQLVCNGDLSYLGGSWSRTIGSAGDVPLQGDFSAEHMIFGVDGVDPVRREKLVDLLDIDLQWRMHKVSDGQRRRVQICMGLLHPYKVLLLDEITVDLDVVTRMDLLDFFKEECEQREATIVYATHIFDGLETWATDFAYIQEGELRRSGRYSDIEELKSAKNLLSVVESWLRSETKLPKKELPRPETQTRRSSPLDASPFRSSRHMAYYR >Sspon.02G0053560-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:106441771:106446792:-1 gene:Sspon.02G0053560-1C transcript:Sspon.02G0053560-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHQQLLLALSRPDPPLCHLLVQDDTIHSSITEVGKLKSLQELRRFVVEQDDQGFELRQIGHLEELYGSLCIDSLENVEAPEEADEAKLMLKSHLHELILRWNIHWSTNDFALEEHVLERLKPSRNLQKLSIIGHRGGTCPSWLGMNLSLSSLKSLCLADVAWKTFPPIRDLWLVNVPREKISINIPEKRFGNLRRLELVHLSGLKTWAVHAPCQLFPYLEVLIIKDCPQLVELSFQHFGCCQQGKEVNVNLFPTRLLELEIRNCPQLSSFPPVPWTETPCFINIEGTGSSCLDKLVCGKTDNSEYGLTIEGNMDTNDSMFWNVLAFHNLTRLKELSIQSSESPPLHYLQMLSSLRTLVISCLSNAFPFVEADSHAFPVESLQIDKWNASGKDLTQLFTYLPKLSDLLLCECEKVTGLAVNVKGQRATGTPGPSSSANEVEQQQDTRAEDDGLLILPPQLQELEISDCTEFLSLHIVLFFFPFPQLRGAPLYLEGGLQALTSLRRLEISDCPELLSYLSSSLSSSFPFPNSLKHLRIFGAVGMDTLVPVSNLTALTSLSLSSCRDLRCEGLFSLLTHGHLTELSVIETPNFSVDSDPSQVHEQEIPSCSSKLQKLEIDDVAGFTAAAIRHSLLFSSLTKLGIYWYNKTMVCTLTKASSAINGSSLPMSLGSEKKMIGSTSSPLDKVSSRYGNSEDDISIVPQPAGHDTPLGS >Sspon.03G0019450-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:60619670:60633419:-1 gene:Sspon.03G0019450-1A transcript:Sspon.03G0019450-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AT3g18370/MYF24_8 [Source:Projected from Arabidopsis thaliana (AT3G18370) UniProtKB/TrEMBL;Acc:Q93ZM0] MVKKKLKKLYGRDAREFFNQVMVEQPLLPFLIPLGLFAWFVERWVVPFSNWVPLAAAVWATIQYGRFKRRTTVEDLNKRWKHLILNTTPTTPIEPCEWLNKLLLEVWPNYMEPKLSKRFQSTVEDKIELQEFSLGSCPPTLGDQGMRWITSGDQVSHIYSELQLLLLPILDGEAILYSFESTPEVRIGVAFGSGGSQAIPGMELPGVSTWLTLHRMIATSNYLMISSVYIMNRADLRKRAVGGVLSVTVVSASNLCKSTANDIGNRQSSNGGATHGIADNKVSQTFVEVEVGNLVRKTSTSKGLNPTWNSTFNMVLHGETGIVKFLLYELDSGGVKFNYLTSCEIKVKYVNDGSTIFWAIGHNSGVVAKHTEHCGQEVGMVVPFEDINGELTVSLVLKEWQFSDGSVTLSNSLGHGLQCSFDGLTKLQSTTGRRLRVRVVEGRALTANSKSGKCDPNVKLQYGKALYRTKTLSHTVRPVWNDKFEFDEISGGEYLKIKCYNADMFGDESIGSARVNLEGLLDGASRDVWVPLEKVDSGEIKIEIEPIKNDHNNSMQSSSSKAGAGWIELVVIEARDLVAADLRGTSDPYVRVQYGNKKKRTKVIYKTLSPQWNQTFEFPETGEPLILHVKDHNAVLPTASIGNCTVEYSMLSPNQSAEKWIPLQGVKSGEIHVKIARRVSVPDSEKKNMLGTDPSGKGHKISTQMRDSLKKFTGLIDDGGDPEALSLAVTEMEGIQGEQEEYIETLEREKAMLLHKIHELGSEIVRTSSGPPRTRY >Sspon.04G0003180-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:47420328:47421413:-1 gene:Sspon.04G0003180-3C transcript:Sspon.04G0003180-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding NGSHRFVYKPAELLLVVLLGPGAHESTRTFYPECFFTHLLHLHHHLSLLLFLLIPLLLCFASRFVLPPRHILLHRAPAATSCSHHRHLLLAAPASAAVLLVVHLSRGVVPDVVGGRRVGAGGAEPPGPGANPPDPGAALGAASAAAEGPAGGGVPRPAGAAHEARRGTAAVGVGREREAVPRRPAAALGQVGGGDPPAQEPDTAVARHLRLRRGRGARLRQGGLPPPRRRGAPQLPIPPPGRRAPRGPARRLRRRQAHRHLPEPHHRRARVLQGRRRQHHRRGSPGLAQGLGVHDHHGGRRVGTLRRLASFLPPGVPAAAAAESSSRDGEPGLHGGAVGRVRRPAPQQVPVLGDRLGLHPLV >Sspon.01G0016630-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:56824852:56829761:-1 gene:Sspon.01G0016630-1A transcript:Sspon.01G0016630-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LWRINETTFNLRVNGGQFWGVNSTGALVATVTTPGQSETFQLVRRDSDKSCASGVLLAGEETTASVTADYGQNTNWSDNDPSVFVTNNVGGLQGEYQLCNGYGIANATQVLMNHWNIFITERDFNFMASSGLNAVRVPVGWWIASGDNPPPPFVGGSLQFLDKAFSWGQKYNISVIVTLHAAPGSQNPYEHSATRDGSQEWGNTDANIAQTVQVIDFLAKRYANNTALLAIELLNEPLAPGANLSVLMKYYQDGYNAVRRYTPASYVIMSNRLNIANQTEILQFVGSFDGAVLDVHYYNLFDSKFDNLTVEKNIDFVRNNRSSDLKAITNQNGRPLTFVGEWSAAWGVQGANKTDYQRFAKVQQDVYGNATFGWAYWTLQNPFLPWNMTYMIQNGIITLKS >Sspon.02G0038470-1P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2D:25768117:25768755:1 gene:Sspon.02G0038470-1P transcript:Sspon.02G0038470-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTAWWWFVAMNAVVVAIAVLSSSCTRRPSLLPTPRRHRSSGVTRRTSSASAVLQSLLSFSLFSFPSACLSSSYLQPDAAAPATTDQETETEELVARSPPPTKPLPSASPRALPLAPPAPAPAADEADEEEEEEDPNAMSMDEAYALVLASQQRPEREREEEARRSEVDAKAEEFIRRFKEELRQQRLDSISNYTRMLRRRAPASSGRRQRPD >Sspon.06G0000740-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:1291072:1300471:1 gene:Sspon.06G0000740-2B transcript:Sspon.06G0000740-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLTVRVIEARNLRAMDSNGFSDPYVKLQLGKQRFKTKVIKMNLNPTWDQEFSFLVGDVKDVLKLDVYDEDILQMDDFLGQLRVPLEDVLAADDLSLGTRWYQLLPKGKTNKTVDCGEICVSILLESSGASRSWSEDLGAEVTDIQRDYSLSSQSTAPSMAFAYQETETCKEDDECSVRSEIPAEDRSSEVADRNQAAAEDKPNGNSSAALNGIETSSGKTDKSDKLSFVDRVCQIFAKKNGDTAPTSSGSSEALEEVQEEASGCELSVSQTDNVCPETPFSELLKSLESRHEGVEMPVNLQGILVNQSYLASPSDLNNLLFSPDSDFKQTMVELQGCTDFKTEPWRLDNDGESLKRVVTYTTAPSKLVKAVRATEEQSYLKADGKEYAVLLSVSTPDVPCGTYFRTEILFRIMPGPELDSQQQTSHLVVSWRMNFLQSTMMKSIIENGARQGLEQNYAQFSDLLSQKIKPIDVEGSGSDKEQVLASLQGGEESDWKIAFLYFCNFGVLSSLFVSLYIILHVLRVNPSAVQGLEFPGLDLPDSFSEIIMGGLLFLQVQRILKNITCFVQARGQKAGDHGVKAKGDGWLLTVALIEGIKLAPVDATGFSDPYVVFTCNGKTRTSSIKFQALEPQWNEIFEFDAMDDPPSVMSVHVYDFDGPFDEVTSLGHAEINFVKSNLSELADVWIPLKGSLAQSWQSKLHLRIFLNNSKGTGMVTEYLSKMEKEVGKKMTLRSPRTNTAFQELFSLPAEEFLISSFTCYLKRKLPTQGHLFLSPRTIGFYSSMFGRKTKFYFLWEDIEDIQGIPQSISSWSPSIIITLHKGRGMDAKHGAKSMDNGKLKFCLQSFASFSVANRTIMALWKARSLSTELKVQLAEEQSQINTLQSEDSGIFVGIEDAKSLQMTEVYSSTISTNMASLMEVFAGGSLEMKVMEKVGCQKYSATQWESDKPNEYQRQIHYKFSKKLSPVGGEVTGTQQKSPMPNKKGWIIEEVMELQGVLLGDFFTLHIKYQIEDLAPKQRASSVQVSLGIEWSKSTRHQKRIEKNVFSSSSARLKEMFNLASRELSHTR >Sspon.05G0026030-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:30410940:30414909:-1 gene:Sspon.05G0026030-1T transcript:Sspon.05G0026030-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQMVSDDKFEEQAARDGGIIKNGREILFQAFNWESNKHRWWSNLEEKVTDLAESGFTSVWLPPPTQSLSREGYLPQNLYCLDSCYGSLHELKLLLHKMSEHNVRAMADVVINHRIGTTQGSNGMYNRYDGIPMSWDEHAVTSCSGGKGNKSTGDNFDGVPNIDHTQPFVRKDIIEWLIWLRKSIGFQDFRFDFTKGYAAKFVKEYIEESKPLFAVGEYWNSCEYSPPDYRLNYNQDSHRQKIINWIDSTGGLCAAFDFTTKGILQEAVKGELWRLRDPEGKPPGVMGWWPSRSVTFIENHDTGSTQGYAYILTHPGIPTVFYDHFYDQGVPLHDEIAKLMQIRKCQDIHSRSSIKILEVRSDLYSAIIDDKLCMKIGDGSWCPGDPEWRLAVSGNRYAVWHR >Sspon.08G0017600-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8B:2414684:2415545:1 gene:Sspon.08G0017600-1B transcript:Sspon.08G0017600-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ITRPSPTPLPLTSLALARQHPRMAHALTPPPRHVDPTTSPRRLRRGTHLALPPPFSMYSSSTSTLTSKQVPAAQATHACMQNEQAELPPSSLSAAPAICSHNPITVYANRICEANRARQSTRICHSPYASKPSTRATLVLIASWRAEPPLPMATRRTTRARLVPLLLCTARSRLYQLPCRQSTVLRYAPHRPPVALSRRSVQSWRNTKMPLMASCQTLAQRPILLQPIKADAKQHSLTTPSRSAPQSPTPRSSSSSSVLAQRGGRPSISLPALQSAEVPSLCESPT >Sspon.01G0033650-1P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:114856283:114861223:-1 gene:Sspon.01G0033650-1P transcript:Sspon.01G0033650-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTPTPLLLLLLVAAVARCCHGSSSYSYQQQQHYKMMNASSSSQQEEEDRRPVVTAVIVFGDSIVDPGNNNDLHTLIKANHPPYGKDFFNHEATGRYSNGLIPSDLIAQQLGVKQLLPAYLGVDLSPEDLLTGVSFASGATGFDPLTPVVVSVISLDQQLAYFDEYRGKLVDIAGEEETARIIDGALFVVCAGTDDVANTYFTTPFRSVEYDIPSYVELLVSGAEEFLRKVSSRGARKIGFVGMPPVGCVPSQRTLGGGLARACEPKRNEAAQLYNARIQEMIAGLNAEAGLVVFLDIYRILDDLMERGDRYGFSETTRGCCGTGTIEVTGLCDSRFVSVCDDVSQHVFFDSYHPTERAYRIIVKDIFDNYGQVLF >Sspon.07G0026300-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:46236774:46237358:1 gene:Sspon.07G0026300-2D transcript:Sspon.07G0026300-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNCMQCEVGHVVCSVYRDKLEATGNGLCHVCRAAMRSGYRQCHAMECLVDCIRVPCPYAAHGCDAMPAYHGHESHHQVCPHAPCHCLGESCGFIGSTTALLDHFAGEHNWPCTTKVRAREAFSIRLHDCFNFLLLADHDRGDGEQAAATCCSILCRLFLLNVTKEQLGHAISVLCIHPHASAAPLQTVLNSCH >Sspon.05G0029870-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:84527081:84532128:-1 gene:Sspon.05G0029870-1B transcript:Sspon.05G0029870-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLGALASAAASMQWSLLIYGLLGALLLWKAARLLERLWWEPRRLERALRAQGLRGTSYRFLTGDLKEYRRLDKEAASKPLPLRCHDIAGHVLPFVHGAVLEHGKTCFSWFGPIPRVTVTDPDLVRDVMLGHLEKPKLQAFTKLFADGVANYDGEKWVKHRRILNPAFHLEKLKLMLPAFSACCEELVGRWAQSLGSDGSCELDVYPEFQILAGDVISRTAFGSSYLEGRKIFQLQAEQAERLMSIIEKFAIPGYMSLPTKTNRRIHQIKNEIESILRGLIGKRMQAVKEGESAKDDLLGLLLESNLRETSENGQSSQGMTIEDVMEECKLFYFAGMETTSVLLTWTMVLLSMHPEWQDRAREEVLDLFGKNKPGYDDLSRLKIEMVIGDVKYPAGVIVELHVMFIHHDPDIWGSDAHEFRPERFADGIAKASKDRLAFLPFGWGPRICIGQNFALLEAKMALSMILQRFQFELAPTYTHAPHKVILLRPMHGAQMKLRAI >Sspon.01G0015510-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:46672364:46675487:1 gene:Sspon.01G0015510-3D transcript:Sspon.01G0015510-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQAFRKLFDAFFGNKEMRVVMLGLDAAGKTTILYKLHIGEVLSTVPTIGFNVEKVQYKNVVFTVWDVGGQEKLRPLWRHYFNNTDALIYVVDSLDRERIGRARAEFQAIINDPFMLNSVLLVFANKQDMRGAMTPMEVCEGLGLYDLTNRIWHIQGTCALKGDGLYEGLDWLATTLDEMRASGRITSASSSAS >Sspon.04G0015920-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:18022498:18030028:-1 gene:Sspon.04G0015920-3C transcript:Sspon.04G0015920-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADDPLDSSPSASAAAAAAGGVSSAPAPALRPRREPFEYGLLPIPKLVFPEGTLTQTLSQLKERLAPGGPRVGAAALAEALQIPAEQAALALGTLAAVLPAEDPELGEDGAGNADLRDLLLFLYIQSYKRLVPRAHKDSPAVADVWPSTSAFDGYLSALSPIQVLTMETFEHLGFLLQLSEGTPLSEAATFFANSDPDMPAAPVPAALVHDWILQHVASALEFMAEKSAAKENSQHNAFDPDVTMSDAVTSTRIHSSSPTGTSAPNYPGYYRNASFVEGCSKTSVVKQASDLKGHSIKVLNCHDSVIYILAPLKYATVYGCSDTTIILGAIGKVAPFNTYYPQLGEHLAQVGVDPNVNKWDQPFVLGVVDPHDSLSHPAGVSDVQAESATCLDPDLFTNFLIPSWSEVEGPTKYNPFTLPEVYWASQRKKHASLEDIQKNIRELEIDDNRKKELACALHAQFKDWLYASGNIRQLYCLQGE >Sspon.03G0026720-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:4120515:4122955:1 gene:Sspon.03G0026720-3D transcript:Sspon.03G0026720-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyrroline-5-carboxylate reductase [Source:Projected from Arabidopsis thaliana (AT5G14800) UniProtKB/Swiss-Prot;Acc:P54904] MAAPPVQPMPPAAVNGDAFRLGFVGAGNLAESIARGVAASGLLPASAIRTAPHRRPERGEAFASFGAWLLQTNAQVVDDSDVIVISVKPQIVRQVLLELRPRLSEEKLLVSIAAGIKMQDLQDWSGQRRIIRVMPNTPSAVGQAASVMCLGEMATQDDENRVRKLFSAIGKVWTAEEKYFDAVTGLSGSGPAYIFLAIEAMADGGVAAGLPRDLALGLASQTVLGAATMVSQTGKHPGQLKDQVTSPAGTTIAGIQELEKGAFRGTLISAVVAAAKRCREL >Sspon.07G0011900-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:48433449:48436689:1 gene:Sspon.07G0011900-3C transcript:Sspon.07G0011900-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding PRHLRLQTHALSHHTMAAHSVAAAHATISARAGPAPGAGASARGERLGFRRLSSVAGRGLRSPLPARRQRVVRAAAADTLEGKAATGELLEKSVNTIRFLAIDAVEKANSGHPGLPMGCAPVGHVLYDEVMRYNPKNPYWFNRDRFVLSAGHGCMLQYALLHLAGYDSVKEEDLKQFRQWGSSTPGHPENFETPGVEVTTGPLGQGVANAVGLALAEKHLAARFNKPDNEIVDHYTYVILGDGCQMEGVANEACSLAGHWGLGKLIAFYDDNHISIDGDTEIAFTEDVTTRFEALGWHTIWVKSGNTGYDDIRAAIKEAKAVTDKPTLIKVTTTIGFGSPNKANSYSVHGSALGAKEVEATRQNLGWPYEPFFVPEDVKSHWSRHMPQGAALEADWNAKFAEYEKKYAEDAATLKSLITGEFPTGWADALPCLNALANVVPGLIGGSADLASSNMTLLKMFGDFQKGTPEERNVRFGVREHGMGAIANGIALHSPGFVPYCATFFVFTDYMRGAMRISALSEAGVIYVMTHDSIGLGEDGPTHQPIEHLVSFRAMPNILMLRPADGNETAGAYKVAVLNRKRPSILALSRQKLPHLPGTSIEGVEKGGYTISDNSTGNKPDLIVLSTGSELEIAAKAADELRKEGKTVRVVSFVSWELFEEQSDEYKESVLPEAVTARISIEAGSTLGWQKYVGAQGKAIGIDKFGASAPAGKIYK >Sspon.02G0023560-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:74385006:74388586:-1 gene:Sspon.02G0023560-3D transcript:Sspon.02G0023560-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQYEVLEQIGKGSFGSALLVRHKVERKRYVLKKIRLARQTNRCRRSAHQEMELIAKVRNPYIVEYKEAWVEKGCYVCIVIGYCEGGDMSEAIKKANSNHFSEEKLCMWLVQLLMALDYLHINHILHRDVKCSNIFLTKDQSIRLGDFGLAKVLTSDDLACSVVGTPSYMCPELLADIPYGSKSDIWSLGCCIYEMAAFKPAFKAFDMQALINKINKSAVPPLPTMYSGALGLVKSMLRKSPDHRPSASELLKHPHLQHYVFELQLKSTPRNLFSAKLPTKYVTNKAAFSDAEDNCPPKYSKSHSFKLERTAKLDQDTSRHGRPGCTTGKDCPELSEQMEGLSVQVTKNVTDELVHEKYSKVTRSPAPTPRRASSTPRRRLEPSKTFHARTAHKEPPSSRSSANQMGQARRRESLPMRMIKTPDKRQATDILTRLKSPDVSVNSPRIDRIAEFPLASFEKPLHRITNLTSPSIIDQSITKDKCTFQVLRSDSENYTDSPDINLLGADNSPRSSSDWRQRRFNTRSYQQRAKALEGLLEFSAQLLQQERFEELAILLKPFGP >Sspon.07G0029470-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:76293022:76296313:-1 gene:Sspon.07G0029470-1B transcript:Sspon.07G0029470-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGGDGGDKEAFFHCLDRVPSGLHLDADFPSDDDDDDDEDDVRVSFTSAAGDRNFKSFRRYQSPVLVDDDDGDEEEEDEDTSKYDMWMSDEPMSIQERRRRLHQGLGMASSRDLALRRHSMKKRPADVPRTMSRSVSRPKPPPSPIPPTAASAAANVPSTTAAAPAVSAAPRPAKEAITRRRSDSNLVVVRDGASVSGKPPSSSQQPLRRVRSLPARHDAAARDSTTVVRKAQAVASRELPAVPPAEAAPADKGSKGNGDEGSSKNNRDSEKEQVAVVAATTPKDVSTSTQTGVLGLEEIEKFIGNTPIMKLMRRGTSQHQPAPLPAGVPPKADKAAGSKKKGGWLKNIKSVAIGFMQDKDTNAKSGVASTAAAVPKSVSTNNASAGAAPPASASERLKVHQYGKSSKELTGLYMCQEIQAHEGSIWSIKFSADGRRLASAGEDSVVCVWQVVETSAPPCSLAAMDGKSGPLAPLPAPGAADGSSALASTMSKKSTNKGKSGGRDALPEHLVVPDKVFALAEQPVCVLEGHQDDVLDLTWSKSDQLLSSSMDKTVRLWDTESKACLKTFAHSDYVTCIQFNPVDDRYFISGSLDAKVRLWSIPDRQVVDWTDLNEMVTAVSYTPDGQGAIIGSHKGSCRLYKTAGCKLSAEAQIDIQAKKRKAQAKKITGFQFAPGNPAEVLVTSADSQIRVFDGVTMVQKFRGFKNTSSQITAAYTSDGRYAVCASEDSNVYLWRTTRVPPAAAIGIGMKPKTWCTIRSYENFYCKDVSAAVPWTQSPPPPGAGDGSPTGGSPVQGASCNDESCSVATKPEGSDPSNSAGGGGKGDSGNAWGLVVVTASLGGEIRVYQNFGMPFRIKGQGNLFY >Sspon.01G0031810-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:114711805:114713489:1 gene:Sspon.01G0031810-2B transcript:Sspon.01G0031810-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGVSAGRDADAAAAARAQVAREVCAASAAFASCTHRRRRSSPRGPHFVDWYLVLAVSTSSSLPPIRSNHVEWPLISACTQAHACLTDQARRRAFDSERRGSFCAACHDRHAARWSQPAAGHQAPAPSRTRSKAVREMQSRLRDECRVIDGCLQANDAAARARRRQSFPLFDPSDSRRFPDYPHVRPPPFGLGGAELRRSDERLGRPAVDQQALHRRWCRDGGESPVYQIRTAATECSERRA >Sspon.02G0057280-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:55939529:55940180:1 gene:Sspon.02G0057280-1D transcript:Sspon.02G0057280-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALKLDNCMTTSSSLVLVLLALWAAPLAVVAGDPDILTDYIIPATTNPANITGAFFSYSGLRGALALQAPENFTAAKASMAEFPALNGQSVSYAVLSYGPGSINPTHTHPRASELLLVLDGALSSASSTPPGSSSPRTSPPATCSCSPRAPGTQPAKALSAFGSAAAGLHIDDTVLAKSFKTDVATIQKLKAGLAPKP >Sspon.08G0026970-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:36790756:36792031:-1 gene:Sspon.08G0026970-1C transcript:Sspon.08G0026970-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRGLGSGGQQQPPDVAPRSVKEDNIPVVLCLILCGHGRGSRLDPAVQEDLIRSRRSVVGRCCEKNAIVENRDEKMHGLYPVMQLPTPDLPTNDLLSRATAVNAVFSILG >Sspon.02G0020680-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:70176780:70178798:1 gene:Sspon.02G0020680-3C transcript:Sspon.02G0020680-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNTKPAVSMLLQLLFLSLNLLAAFTTGDSQQFVYSGFSNNDLVVDGATTITSNGLLELTNGTDQQIGHAFYPTPLRFTRSPNGTVQSFSTSFVFAILSVYTDLSAHGMAFIVAPSQNFSGALPGQFLGLTDIQNNGNTSNHFFTVELDTIQNKEFSDINANHAGANVNGLTSLNSSSAGYYADEDGKFRNLSLISREAMQVWMDYDDTVSSITVTMAPLKVAQPKKPLFTTTYNLTSVVTDVAYIGFSSATGTINTRHYVLGWSFNMNGPAPAIDISRLPKLPRVGPKPRSKVLQIVLPLASAAFVLAVGTAVFLLVRRHLKYAELREDWEVEFGPHRFSYKDLFYATEGFKDKHLLGIGGFGRITCAKRPISEDSQDSQCMLVDWVLERWISGSLLETVDSRLQGNYNTAEACLALKLGLLCSHPFSNSRPTTRQVMQYLDGEMPLPEMTPTDMSFHMMAIMQNEGFDDYVTGSTASIGTTSVVSSGR >Sspon.01G0053690-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:40783758:40788474:-1 gene:Sspon.01G0053690-1C transcript:Sspon.01G0053690-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQCVPSWDLDDPAVVAGGGGGLNHHQVSTTTAGGAHRGLVSAAGAGGAFAPVVVPMSDQYYEVAELTWKKGNISSHGLGLLNRPVQHKYPPAAPPPSQLQAIGVGGGGSSGDRETLEAVVGEAAARSHFLSQAQPVQHPAPWVVGVGGGADAVQARSAADALVPCTAARVDEAAAEGGDAGAGSRRKRARVVGEDGGLVCASQGSTAAAPGPGRRGESAMLTLDACCGTGADDVCGFTTTTTNNSTSLDRDDKGSPDTENTSIGGGVSDSRCFSRRSQASSSYLYRDGLCDEGENVVINGDGAMRSSISTKRSRAAAIHNESERKRRDRINQKMKTLQKLVPNSNKTDKASMLDEVIDYLKQLQAQVQAMSRMSSLMMPMGMAMPQLHQMSVMAQMAQMAQMAQTMAQGMMNMGSLAQPGYAGLTPPMMHPPPPFVPMPWDPAAAAAAATTSSAAGPGPAAAATSLAQQPGGGTAVPDAFSAFLACQAQQNGQQQQPGSMEAYNRMVALYQKMNQLHQSEPSNPSKQ >Sspon.05G0037390-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:13022033:13024173:1 gene:Sspon.05G0037390-1D transcript:Sspon.05G0037390-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAVKHTLKVFPWLLLFAQLAVATTSKCTNTTNGTETDSLGAMKLKLIAIASILTAGAAGVLVPVLGRSMAVLHPDGDIFFAVKAFAAGVILATGMVHILPAAFDGLTSPCLYKGGSGGNIFPFAGLIAMSAAMATMVIDSLAAGYYRRSHFKKARPIDNLEIHEQPGDERTGHAQHVHVHTHATHGHSHGEADVISSPEEASIADTIRHRVVLELGILVHSVIIGVSLGASVRPTTIRPLVGALSFHQFFEGIGLGGCIVQANFKLRATVMMAVFFSLTAPIGIALGIAISSSYNGHSTTAIIVEGVFNSASAGILIYMSLVDLLATDFNKPKLQTNTKLQLMTYLALFLGAGMMSMLAIWA >Sspon.04G0013030-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4A:45237154:45238020:1 gene:Sspon.04G0013030-1A transcript:Sspon.04G0013030-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding FLKSSQHSRSQARVKEEGVLRSGEPTVKNTATLMDLKPTRDSLGRNPLSDALHRNPGVVLNGQGPGRHPLSGASYRDPGTMKNEQGSGRRNLSGALHLRPGVVKNEQGSSHFPRWVRRVRKLAEPTRIRLGSWNVGSLTGKLRELVDAAIRRRVNILCVQETKWKGQKAKEVEDTGFKLWYTGTTSGRNGVGILIDRSLKDGVVDVRRQGDRIILVKLVVGDSALNVISAYAPQVGLSEGTKRQFWEDLDSMVSTVPISEKLFIGGDLNGHVGATNVGFERVHGGSGM >Sspon.03G0000970-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:2706219:2708030:-1 gene:Sspon.03G0000970-1A transcript:Sspon.03G0000970-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTRSSARGEPRRLGNAALLALMLCSVVALSLIRGRFAPIVTTAGDAIKSEDDAAAAAVAVSKVAINVDTGDGAAEAAADLTLNWDFAGPPAEEKQKEEVQPKPADGSGGGAAKPVCYETSRHSDTCEAAGDVRVVGSSQTVYVDSLDREWKTKPYCRKHDNFALVHVKEWSLKPLPSGAAPQCTVNSSATAFVLSTGGFTGNPFHDYTDVLIPVFITAHPLRGEVQFLVSSYKSWWMNRYIQIFQQMSRHEVVDIDADDEVRCYRSVVVGPTFHRELGVDASKSPSGYSTADFRKMLRDAFGLERATATPSGDRWDIRRRPRLLIISRRPSRGRAFMNERAMADMAASLGFDVRIGEPDSSTDTSKFARLVNSCDVMVGVHGAGLTNMVFLPAGAVVVQVVPYGRLEWLARNTFAEPSAGMEVHYLEYVVQMDETTLSEQYPSDHPVLRDPMAIHKQGWNALKTTYLDKQNVRPHLGRLKNTFLQALKMLPHGRDD >Sspon.04G0009940-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:28365281:28375352:1 gene:Sspon.04G0009940-2C transcript:Sspon.04G0009940-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSSTPAAAAAPSRRKVALYLALLTLQYGAQPLISKRFVRQDTIVTSLVLATEAAKVICAIILLIAEGSLKKQFSNWTLTGSLTAQKQSSRQILALALLIGAAVLLSVGESSSKGSKGGGSDYILLYGIIPVTVASMLSGLASSLCQWASQVGLSYLVTFQDAVKKHTSYMMTIEMSFIGSMCLLASTYRSPDGEAIRKYGFFHEWTFWTVVPVLMNAVGGILVGLVTTYAGGVRKAISALLVTALLQFVFDGKPPSLYCLMALPLVATSIFIYQKYPYVDRKKKD >Sspon.05G0003830-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:11476268:11491432:1 gene:Sspon.05G0003830-1A transcript:Sspon.05G0003830-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAWALIPGRPIAAAPSDARGAGTSLRVSAAVNPVFAKLGQTASSAWNISGDPCTGTATDGTVIDDNNNFNPAIKCDCSVQNNVTVCHVTKLKIYALNAVGPIPQELQNLTRLTNLDLRQNYLTGPLPSFLGNLTTMQYMSLGINALSGSVPKELGNLANLVSLGFGSNNLNGSLPSELGNLAKLEQLWASDNDFTGQIPDYIGSWTNLTDLRFQGNSFQGPLPATLSNLVQLTSLRIGDIVNGSSSLTFISNMTSLNTLISDSLASVNFSQFANLNLLDLSFNNITGQVPEALVNLNSLNFLDFSYNQLSGNFPSWTTQNNLQLNLVANNFETNSGPLGLSCLQRDTPCFLGSPQSASLAVDCGSSRSISGSDNSMYQPDDAKLGAASYNVTGAQTWGVSNVGKFMDATNGSYIIYSSHQFQNTLDPELFQTARMSPSSLRYYGIGLENGNYTVTLQFAEFDFEDSQTWKSVGRRVFDIYLQGERKEKNFDIRKAVGGKSYTAVKKQYIVPVTRNFLEVHLFWAGKGTCCIPSQGYYGPAISALSATPNFIPTVRNSAQKKSSSKTGVIVGVVVGAAVLGVLALAGLCMWRQKRRKLLLEQQELYSIVGRPNVFGYGELRTATENFSSNNLLGEGGYGSVYKGKLADGRVVAVKHGKLNLDWPTRFEICLGIARGLAYLHEESSIRVVHRDIKASNVLLDANLNPKISDFGLAKLYDDKKTHVSTKVAGTFGYLAPEYAMRGHMTEKVDVFAFGVVILETLAGRPNFDNTLDEDKVWQLYEEKHPLDMVDPKLAEFNSDEVLRAIHVALLCTQGSPHQRPSMSRAVSMLTGDVEVGEVVNKPSYITEWQIKGGDTSSFMSSNVSGQSSVAPRSSAAHTSSPFLSSVIEEGR >Sspon.03G0016040-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:51238903:51240241:-1 gene:Sspon.03G0016040-1A transcript:Sspon.03G0016040-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEIRKLKRGISTKPSDHLVQNVSVMMRLRLPRGGHSFAGQHPSYEWEEQQRYWPSPRAPPASPTESPRTPGGSQKKAVLGKVKSKAKKWMHMLHHKKKPAQEEMMWTPRAGPGPSAEDNRPRRSDGMPSTVEPPGNCSNMHLPVSGDPERASDVFLEASPRQNSPAPSPTAHQEQPYFKVSSRFESEMKEANEMLMESKKLRVNTTKPKTVTFAPTIERELGNEKSGWKDRELPEAASEVFRNTFAIVYQIYLMLKLEPGEDDTLLSEVITDAILNLFDTWSESVERPLVRRAKEISSWFLQERREETPPVPLSTHPCAIE >Sspon.03G0013230-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:47104052:47111921:1 gene:Sspon.03G0013230-2B transcript:Sspon.03G0013230-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAQQGEEGPTTKKPRDEDEEEELLTILNRFRNNWLETMSPFVGSLDATTTAVSVPAGTEIGPKHYTESGPPRYGGIDYDAYEIFSIKVTEIKEGLEWPLHVFGLVSVRDSMDYKRNMLFHRSKENCLVLTAEDPYLELTGPSRAIALIDPPEFEVELRVIGSNPSEDKILSAAIFRYNNNSYGESLAGLVRTRIVSTKRSTIEVKYSHLKVPLEATIEIHHSEGSSDFHGIFFAHMFYMGEDKIVLLNSSDRNVTVESDGSIPLSRCVVLVDEDAELTLGVKAWQGENEQDGVVRHAKFPAKFHSKSDGEFNVHCEDMLTQTIHGKYISKFQMLPTPLKQMVKETVSADER >Sspon.05G0020500-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:67275157:67284565:-1 gene:Sspon.05G0020500-2P transcript:Sspon.05G0020500-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSTGGASGGEAAEEAKVPLLQPRAAAAVPEEHHHHNGGVGVGVGEGDSKAAEEEREAVAAAEADWSSLPLRRRAWEENKKLWVVAGPSIFTRFASFGVTVISQAFIGHIGATELAAYALVSTVLMRFSNGILLGMASALETLCGQSYGAKQYHMLGIYLQRSWIILFACAVVLLPVYLFTEPLLVALGQDPEISAVAGTISLWYIPVMFSYVWAFTLQMYLQAQSKNMIITYLAVLNLGLHLVLSWLMTVRFHLGLAGVMGSMVIAMWIPVFGQLAFVFFGGCPLTWTGFSSTAFADLGAIIKLSLSSGVMLCLELWYNTILVLLTGYMKNAEIALDALSICLNINGWEMMVSIGFLAAAGVRVANELGAGSARRAKFAIYNVVIISSSIGFVLFVLFLFFRGNLAYIFTESQAVAKAVADLSPLLAFSILLNSVQPVLSGVAVGAGWQSVVAYVNVTSYYLIGIPLGAVLGYVVGLHVKGIWIGMLLGTLVQTIVLLFITLRTDWEKQTTAGGGGGFRAGSCRIQRPVEVPRRWFQPSLDRPAFPGRIQVAQMPTPVKSVLPVVLLGCGGVGRYLLRHIVSCRPLHANQGVAIRVVGVADSSSLLVAEDVHSTGLDDALLTQLCAAKSAGSPLSSLLVQGHCQLFKNPEARGKVIDAATTLGRTTGLVLVDCSATYDTVSLLKDAVDHGCCIVLANKKPLTGAYEDFQKLVSNFRRIRFESTEDFQKLVSNFRRIRFESTALILARLLGQQISMENINVESLYPSELGPDAVSTKDFIESGLVQLDKSMEERIKAASSRGNVLRYVCEIESTGCQVGLKELPKDSALGRLRGSDNVVEIYSRCYRSSPLVIQGAGAGNDTTAAGVLADIIDLQDLFQTTTA >Sspon.05G0029690-2D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3D:34222896:34224409:-1 gene:Sspon.05G0029690-2D transcript:Sspon.05G0029690-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFHGVIEHGLHAADDVVGAGRQRLRAGVSVQLGALRDVAGEEAVSGALEALCPEGSLEPPRRPPRPLQRPGRQPQQRRGHRCSGHGPRGGLTQDQRKSSGRH >Sspon.07G0035450-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7D:7797171:7799303:1 gene:Sspon.07G0035450-1D transcript:Sspon.07G0035450-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMHQKMDRTAETCFTALLFSCREEENYRSISIQQRTDFSRLTTRLAAGRRRGERSKMFFHIVLERNMQLHPRHFGPHLRDKLVSKLIKDVEGTCSGRHGFVVAITGVEDIGKGLIREGTGYVTFPVKYQCVVFRPFKGEILEAVVTMVNKVGAYLPARSGCACFVRIGCELGVLMGFFAEAGPVQIFVSNHLIPDDMEFQSGDVPNYTTSDGSVKIQKESEVRLKIIGTRVDATEIFCIGTIKDDFLGVISDPGAAV >Sspon.03G0037000-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3B:96394297:96394976:-1 gene:Sspon.03G0037000-1B transcript:Sspon.03G0037000-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRDEKSFRSFRSIPTSQDDDALSGSRARGRELEVNPAKWACRTKGIGADAASARHMIRQLLLLHPWPMRSVWLGWDPIRERYVKLTDRSLLYACMLFGCDNAMTRTLRRFLDVADNDWLRRPHAPPLHSPHPMPLLTILSPLPSRVPPAPSLAISGALTHLGVLLPYRGILLPHPSGSFTHTGVLLSPP >Sspon.05G0013850-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:49386894:49403178:-1 gene:Sspon.05G0013850-1A transcript:Sspon.05G0013850-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Actin-related protein 9 [Source:Projected from Arabidopsis thaliana (AT5G43500) UniProtKB/Swiss-Prot;Acc:Q9LSW2] MQLQLKMGRVDGFSSQQNRDDIKFTWTDVTERIIKPSTSIDRSVYKDAGEDTLPSTSGDDNGHDFKENKYKEMIFGEDALKIPPSESYCLSRPIRRGHFNVSHNYSLHQVLEDLRTIWNWVLTEKLHINPRDRGLYSAILVLGETFDNREIKEMLSIVLNDLGFSTAVVHQEALAAAFGNGLSTACVVNIGAQVTQVVCVEDGVALPHTALVLPYGGDDISRCLLWVQRRHRTWPNFQTDPVSKPIDLLMLNKIKESYSQIKTGSIDAVALVHSYDNERSGGHQKTRLSALNVPPMGLLYPRVLVPEEYPPPPRSWFQDYDDMLEDTWQTSDGLYPSGNGGFGMWDSYPMFPTRLKKFENIGLVEAIISSVLSTGRVDLQRKLFCSIQLVGGAASTAGLAQVLEQRVRTKISANQSIEKVEVLQSRTYPLFVPWKGGVILGVLDIGRDAWIHREDWIENGVHIGSGRKYKDSYFLQAQVMCYYNI >Sspon.01G0036090-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:13865821:13870473:-1 gene:Sspon.01G0036090-1P transcript:Sspon.01G0036090-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Microtubule-associated protein 70-5 [Source:Projected from Arabidopsis thaliana (AT4G17220) UniProtKB/Swiss-Prot;Acc:Q8GYX3] MGSLGEVDHGKEMFHGHGHSDPVVDELNRLQNLLREKERELGHAYSEIKGLKVTEALKDKAIAELSKELKKQDEKMRGLEKQLEQKNLEVKRLNNERKEALSAQFAAEATLRRIHSSQKDEESVPFDAIIAPLESDIRKYRHEIAVLQDDKKALERHLKLKEVALVEAGNILRSALERALIVEDVQNQNIELKKQMEIYHEENKLLEKANRQKVLEVEKLTHTIGELEESILATGEVANAVHFYQNQVTKLNEEKRTLERELARAKVYVNRVASTAVNEWKDDSNKLMPVKRWLEERRLLQGEIQRLRDKITIAEKSSKIEAQLNDKLKRRLKSLEDDMRNETSNPSTKEINKQATPRRSTSQPKQCKTARVLPQPYSHEAIDRRRPISQPRTSVAGKVLKQPNSETKPIDKTSVVKRFDSPRARTVYSKGECPIKNQPWASKGKLDVVAGKENKVQNPNSKTCFDVSHLQGHADTKAFDGNDEYGIQ >Sspon.08G0009190-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:40420382:40421604:-1 gene:Sspon.08G0009190-1T transcript:Sspon.08G0009190-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSMTIQCCAVLLLPVALLVLAGSSPVVAQLELGYYSKTCPNVEAIVRKEMEKIISAAPSLAGPLLRLHFHDCFVRGCDASVLLNSTATNLSEMDAIPNRSLRGFGSVERVKAKLEAACPNTVSCADVLTLMARDAVVLAKGPFWPVALGRRDGRVSSATEAADQLPPANGDIPLLTKIFASKGLDTKDLVVLSGGHTLGTAHCRSYAARLYNFSSAYSSDPSLDSEYADRLRTRCSSVDDKTTLTEMDPGSYKTFDTSYFRQVAKRRGLFQSDAALLADAATRDYVQRIATGKFDDVFFKDFSESMIKMGNVGVITGADGEIRKKCYIVN >Sspon.05G0033650-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:5C:36168137:36168358:1 gene:Sspon.05G0033650-1C transcript:Sspon.05G0033650-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLLVLGFVAFYLCGVQLVSLIVLSIGSPNQCFVNKVDELNESVPMDVDKVVVVKARDDMEIDNDPIEFDNDL >Sspon.02G0048470-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:25541719:25547036:-1 gene:Sspon.02G0048470-1C transcript:Sspon.02G0048470-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable protein S-acyltransferase 12 [Source:Projected from Arabidopsis thaliana (AT4G00840) UniProtKB/Swiss-Prot;Acc:Q5M757] MDCCRHVNPFRACAGLRGLGYFMVALVAAIVALSYYAVVVYAWGPMLLAGGAAAAGAAAVIAAFHILLAMILWCYLMVVFTDPGAVPENWGHDAEDSGNPSFSSSEEQGSAPRYCSRCQNGKPPRCHHCSVCNRCVLKMDHHCIWVVNCVGARNYKYFLLFLVYTFIETVLDTLVLLPDFIEFFQDESRRSSSPGDIAILFLAFVLNLAFALSLLCFIGMHTSLVTRNTTSIEVYERKKSVSWKYDLGWKRNLEQVFGTKKLLWFVPLYSTEDLHNIPALQGLEFPTRSDAIV >Sspon.02G0043070-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2B:89240931:89241212:1 gene:Sspon.02G0043070-1B transcript:Sspon.02G0043070-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSGAGETAAAKRAKPPWDSATGVDLLSALHDDLLAKILCSVSSPDAVRTSVLSKRWRYVWKLLPDLHFPFLPEPAGSFSYSLDCHQVPPVA >Sspon.03G0012720-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:35182578:35187628:-1 gene:Sspon.03G0012720-1A transcript:Sspon.03G0012720-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFHHQQELLQAEAAAADRESSMSNLTSSASGGLNAPPAPAPPPPASAGNNKRKRNLPGNPDPEAEVVALSPATLMATNRFVCEICGKGFQRDQNLQLHRRGHNLPWKLKQRGTGKEAQRKKVYVCPESSCVHHDPARALGDLTGIKKHFFRKHGEKKWKCDKCSKKYAVHSDWKAHSKICGTREYKCDCGTIFSRRDSFITHRAFCDALTEESAKAIGLNAMAAPAHHHHPLLFSPPPAAHVMQQDVALLQEHPHHQQQEVMHPPPPLQQHCNYAMKTEMPPWPPAMAYDHPLLQQPLCNAAAAQSSATSAPPPPQVPAASAHLSATALLQKAAQMGATIGGAGAGYTQMAGPATSAPGSAATFGLGLPGLQQQDGGVMAGLARTASHGRGGEEGADGMTRDFLGLRAFSHRDILGLAGLDSSCMGALTANASMSCYEPQQQHAQAQAQAQAQAQHQQQHQQSSNEPWHGMGSHS >Sspon.07G0007190-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:7581604:7586927:-1 gene:Sspon.07G0007190-2B transcript:Sspon.07G0007190-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VFAALGPSYLQKRKAPNFRDQLTVVYASFLLTMYMRYLVETLQHKFSIWEQRKEDAGKHRPRKQMYHQHQGPSELFTTRTSFPMEQHLFLRGGNAQGDSGLVLSTDAKPRLKWTPELHQRFVDAVNQLGGAEKATPKTVMRLMGIPGLTLYHLKSHLQKYRLSKNLQAQANVSTSKNAIGCTSVADRVPGTSAATMSSTNVVPQAEKTIQIGEALQMQIEVQRQLNEQLEVQRHLQLRIEAQGKYLQAVLEQAQETLGKQNLGPANLEDAKIKISELVSQVSTECFSNAITDVKGSSSVHRLEPRQIQFVESSTNSYLSVAEGFIKEHRLQHHGVLKAYDDSSLFCRKRSHEHEAQFALNRSLSERRMAHLQNEAGYSKAEFGYESDTEMAHEYTAPQKNDGGSTTSSASGSKVDAEKLYLEEQNCARQAVEYPRESKLVDFEHPCSGKKLDLNTHNVDDTDQAYRHFDLNGFSWS >Sspon.07G0009430-1P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7A:26600141:26600291:-1 gene:Sspon.07G0009430-1P transcript:Sspon.07G0009430-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGFVQEEIDIEAKVLRAGKAIGVAVVELKKKSGKIIAQARYSKYLGVAS >Sspon.07G0006420-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:14079371:14087170:-1 gene:Sspon.07G0006420-1P transcript:Sspon.07G0006420-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-acyltransferase [Source:Projected from Arabidopsis thaliana (AT3G09320) UniProtKB/TrEMBL;Acc:A0A178VGE0] MGGRPGYLTLPIFSVVAAIGYVYYTTVFVAMPRWLGLSTAAGVANAAAFTALTAACLATYAVAVRRDPGRVPPGFVPDVEDAESTVHEIKRKGGDLRYCQKCCHYKPPRAHHCRVCKRCVLKMDHHCIWINNCVGHENYKIFLVFVLYAVVASFYALILIIGSVLHSVPKDEQPGSDSSRTSIIICGIILSPLALALAVLLGWHIYLILQNKTTIEYHEGVRAMWLAEKGGDLYHHPYDLGVYENLISVLGPNIFFWLCPVFNTVGNGLRYRTSYDIPISTPPIQEQGYNIKHSSQQVTKQFEDAPT >Sspon.07G0013380-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:48158171:48162209:-1 gene:Sspon.07G0013380-1A transcript:Sspon.07G0013380-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTAGKGAWVVPAPAYREVEGWEGVGEDAPGFRCGHSLTTVAPTKCHGPRLILFGGATAIEAGASSGLPGIRLAGVTNSVHSYDVEKRRWTRLHPAGEPPSPRAAHAAAAVGTMVVFQGGIGPAGHSTDDLYVLDLTNDKFKWHRVVVQGAGPGPRYGHCMDLVAQRYLVTVSGNDGKRVLSDAWALDTAQKPYRWQKLNPEGDKPSARMYATASARSDGMLLLCGGRDASGTVFVGARLHVTGGVLRGGRAIEGEGAIA >Sspon.05G0005590-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:9679006:9680400:-1 gene:Sspon.05G0005590-3C transcript:Sspon.05G0005590-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MESVAVVTVPFPAQGHLNQLLHLSLQLASRGVPVHYAAPAAQVRQARARVHGWDEATLRSVEFHKLGISEYVSPPPDPTAPSPFPTHLMPLFEAYTSGARAPLAALLAGLSASHRRVVVVHDRINVYAGEEAARLPNGEAFGLHCLAASTLAGRTDAGLRLLRDRGLAFLDVAHFASREFAEYVAKRAMPTKEISPGAGILVNSCRALEGEFIDVVAGDLAADGKKYFSVGPLNPLLDLHADARRQSKPRHECLDWLDKQPPASVLYVSFGTTSSLRTEQIAELAAALRDSDQRFIWVLRDADRGNESVNDDESQNRHAELLSKFTDQTEGRGRVITGWAPQLEILAHGATAAFMSHCGWNSTMESLSNGKPILAWPMHSDQPWDAELVCKYLNAGILVRPWEKHGEVIPAEVIRQVIEDAMLSHQGVAVRQRAKVLGEAVRACLADGGSSRKDLDDFIAHITK >Sspon.02G0005420-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2A:17008691:17009785:1 gene:Sspon.02G0005420-1A transcript:Sspon.02G0005420-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRRGRGKGRGRAKPRAKATEPEPELEEAVESEIEAGDAKAEEESGAESEDAKEDESESDAESMDAEANEEAGENGADEMDAEPEAKAGEAKAEAAATEAEAKPDASDAEDEEGGETGSDREADAKGADPKAKAEASDGEDEEGAAAGTDGESEEEAAETGGENEEDGGDSDTEGDAAESPPSPPSRTRRRKRAATPDDEPEETPTPSRRRRRRKSGERGDSPPPLPDHLRCRRSDGKKWRCSGRALPTVSFCEYHYARANKGKKLPADGEVLAVALQRQKNKRKGRRSINPPMSPQAATTDRQTRDLPNGLMTISPGSSGPAALSSPVTTKVGVEIPAPIQRCYRSKNAEPLPVGPVKVIPS >Sspon.01G0001290-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:3873528:3875538:-1 gene:Sspon.01G0001290-4D transcript:Sspon.01G0001290-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTAMATTSLSLQGRPSHAPTRKLSSPFLGAPASFLRPLAPASAAGPSSRRTLAVRAMAPPKPGGKAKKVVGLIKLALEAGKATPAPPVGPALGAKGVNIMAFCKEYNAKTADKPGYIIPVEITVFDDKSFTFVLKTPPASVLLLKAAGVEKGSKEPQRTKVGKVTADQVRAIAQEKLPDLNCKSIDSAMRIIAGTAANMGIDIDPPILVKKEKVLL >Sspon.01G0009050-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:26729665:26736751:-1 gene:Sspon.01G0009050-2C transcript:Sspon.01G0009050-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRGQAWLALLVVVALALADAAAGRFVVEKNSIQVTSPDELKGKYECAIGNFGVPQYGGTLHGWVEYPKSNRKACQSFDQFDISFKPKQAGGRPIFVLVDRGECFFTTKAWNAQNAGAAAVLVVDDKPEPLITMDNPEEGKEHLENITIPSVLITKKLGESLKKSAENGDMLSVLLDWRESLPHPDERVEYEFWTNSNDECGPKCDMQMDFVRSFRGTAQILEKKGYTQFTPHYITWYCPEAFVDSKQCKSQCINHGRYCAPDPEQDFSQGYDGKDVVVQNLHQICVFKIANETGKPWLWWDYVHDFALRCPMKDKKYTRDCASDVIKSLGLDIEKINKCVGDPEANEENEILKAEQDAQIGHDQRGDVTILPTLVINNRQYRGKLDKVAVLKAICSGFEETTEPAVCLSEEVQTNECLENNGGCWLDKANNVTACKDTFRGRVCECPIVRGVKFVGDGYTHCEASGIGRCEINNGGCWQETKDGKTISACSNEVSEGCKCPVGFKGDGGNSCEDIDECKEKLYCQCKGCSCKNTWGSYECSCGDDNMLYMREHDTCISKEGTTTTVGWSFLWVIFFGLVFAGVGAYAVYKYRLRSYMDSEIRAIMAQYMPLDNQEGANQHQVVHANDI >Sspon.01G0030170-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1A:104829634:104832587:1 gene:Sspon.01G0030170-1A transcript:Sspon.01G0030170-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDQFGIKPKVNTYSYRTPYPPAYDLIPLPNRYKVPDFTKFSGQDDTSTMEHVNRFIIQCGEAARLLPHLKDRYASQEFESLSHLVQRISDQDTRVFEPKRNWNKKVSFVGEVEDSDSDEEPVIGLAEWVKNKKPISCPFGQKEPEKFTFDITKADKIFDLLLQEGQIKLSPNHVIPSAEELKKILYCKWHNATSHSTNECKVFRQQLQLAIESGRIKFGNSKIQKPMKIDQHPFPANMLDAEGKTKVLTSEAAEKNASVDPQHRITTDDAKGKGLLGESSNSGKPPRSGIVITHRRQQEGWRQRRDRYRQQQEERRREEWNRHKDHWRLSVHERLGKRAAYFPRNQEELEEMANARVPDEEIFYRDPNIRRVESTRTYYQPVWKTKLPQWCPEGLTKTQKRRMQRERQEDLYREENSSNERSGNQQWQIKHKNKGPSADVNMVFMLPMEFLALPDNEEEAVFSDQIAQLTLDPMMAVFEKPADDERQHLKALFVKGRVDGQPVSKILIDGGAAINIMPYVMYRKLGKGDQDLTKTDMMLKDFEGNVSPAKGAVCVELTIGSKTLPTTFFVINGKGAYNLLLGRDWIHANCCVPSTMHQCLVQWIGDKIEVVPGDSSYIIASAESDTYERTKCISGEAWEKEFLKVADYEIPPIQAVGSEEEF >Sspon.03G0037600-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:60712149:60712891:-1 gene:Sspon.03G0037600-3D transcript:Sspon.03G0037600-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARSLLRSSASLLRAAPAPATARSSVSSATTRPSLRRALAAPPRLLRSPVESSFCVESLLPLHTATAGARMTSMLAAPGRGLGWLTQGSDETR >Sspon.05G0031690-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:26501677:26523872:-1 gene:Sspon.05G0031690-2D transcript:Sspon.05G0031690-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPEEKLRCTKEPFIEDVGTRRIKSIRFSVLSGSEIRKSAEVQVWNNRIYGHDMKPVPNGLLDPRMGIPNKRDKCSTCHGEFSDCPGHFGYLKLALPVFNVGFFNCILDVLKCICKSCSRVLLMEKDRREFLKKMRNPRADALQKSATMKKVRDKCKLSCCPRCDYRNGVVKKGRAGLIVVHDCSKVLDGHTEELKNALQNKKEKVATNSVRVLDPATVLSLFRRMTDEDCELLNLGDRPEKLIVTEIAVPPVPIRPSVVVGNSRTSNEDSITVILKSIVNTNSILKETLHTGGPFTKCFDCWQYLQLQVVEYVNSDAPCLPDSQHRGLVQRLKGKTGRFRGNLSGKRTEYTGRTVISPDPNLKITEVAVPVLMARVLTYPERVSNYNIEKLRQCIRNGPFKYPGANFVTQPDGTKQSLKYGDRRITARDLKCGCIVERHLEDGDVVLFNRQPSLHRMSIMCHRARIMPWRTLRFNESVCNPYNADFDGDEMNLHVPQTEEARTEALMLMGVQNNLCTPKNGEILVASTQDFLTSSFLVTRKDTFYDRSSFTLLCSYLGDAMENIDLPTPALIKPVELWTGKQLFSVLVRPNAHTRVFLNLAVQEKIYSKKKGKKEGGEETMCGRETMCPNDGYVYFRNSELLSGQVGKATLGNGNKDGLYSVLLRDYNSHAAASCMNRLAKFSARFIGNHGFSIGVDDVQPGEHLNRQKKKEIDGGYKKCHDLISLFAKGALALHPGCNAAQTLEHNITGVLNEIRSIAGNVCMDTLHWRNSPLIMSQCGSKGSPINISQMVACVGQQSVGGRRAPDGFINRTLPHFPINSKTPAAKGFVANSFYTGLTATEFFFHTMGGREGLVDTAVKTAETGYMSRRLMKGLEDLSVFYDQTVRNASGGIVQFLYGDDGMDPAKMEGKDGMPLNLDQLFMKVMATCPQRGSDTLSPEAIKQMLENKLLQHDTSSDGGCSEEFKKNLTEFLDKRIELMKCTRRALHLHEDHVEKKDSCVEESVAAIISGISAKQLQVFLDTCLSRYQSKKIEAGASIGAIGAQSIGEPGTQMTLKTFHFAGVASMNVTLGVPRIKEIINAAKKISTPIITAQLLSRKDVLSARIVKGSMEKAVLGEVAAAIQIVLKSSQPNLVVKLDMQLIETLHMGISADSVQLSILNHPKIKLKSEHVRVIDRAKLRIYPAGTDKRKLQLELHNLKSILPKVIVKGIPTVERVVIDEVKVNNETERYQLTNLLAVMGTPGVDASKTKSNHIMETNQRLGIEAARRSIIDEIQYTMKSHGMNIDRRHMMLLADLMTYKGEILGITRYGIAKMKSSVLMLASFEKTSEHLFNASYSGREDQIEGVSECIIMGIPMQLGTGILKVRQRLDHVPEFKYQPDPILS >Sspon.08G0005690-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:17611408:17614057:1 gene:Sspon.08G0005690-3C transcript:Sspon.08G0005690-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLIVIFTTQSRQAARKLPPPGRHQAAIMAAAAALCFASLTRVPFAAVLLLMLLQQARFLALAVARTTNLTAGATLTPPDYITSPSGTFSFGFLALDSDPTKFLLSTWFRFAADGNASQSQPQPQSVVWFAKQSPSGTTPNATAQSVLSITADGQLMLTDGDGGSNPNQVLWTPTAERGSVLALRDSGNLQFLSDSGNQVLWESFSYPTDTLLPGQSLAYESTGSEGKLFAKRADAEFTTGRFSMGIQSDGNVVLYVDLLTGNNPENAYWQAYTNSPDGNTTVTFDGQGRLNYTLHNGTVYSLVKPAASFTAGEYLKFARMDPDGIVRTYIRAKNGGGNTTWTVSGAFPDYGCIKRTSGLQGMCGPGSYYVSAPTPSSRDRLNCACPSGYNYTDDQHRDSGCTPGFEPQSCDGERSSDEFTLVELLNTTWETSIYYKKFSSVTEEQCRDYCLSDCFCAAALMIGGSDCAEMAALTNGWQANGVTTKAFIKVRTRKSPVIASARMRNALAYKVVAICLAFLLLITIGVLVAQHFIGRNRESQQRPLSSSVRAFSWKELYQATNGFEKLLGKGSFGEVYRGTMRSPQPHLIAVKKLIASNEYSEQEFTNEVQSIGQIHHRNLVRMIGYCKEGSHRMLVFEFMPGGSLRSFLFNPERRRPPWRWRAEAAVAIARGLEYLHDGCSAPIIHCDIKPDNILLDDRGVPRITDFGISKLLGTQQVHTTVTHVRGTRGYIAPEWLLGDGHVDTKADVYSFGVVLLEMVCCRRCQEPVVVDPPRGVEDDETVTLFGWAAQLVGARRTELMLHGGDDAGVDSAEDMERVDRFARVALWCVEPNPLLRPTMHQVVQMLETSTTYT >Sspon.01G0036990-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:14727661:14733485:-1 gene:Sspon.01G0036990-2C transcript:Sspon.01G0036990-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPIPREWVGLQQFPAATQTKLHELLGKLKEEDVSTLTILVMGKGGVGKSSTVNSIVGERVTTVSAFQSEGLRPMMCSRTRAGFTLNIIDTPGLIEGGYINEQAVDIIKRFLLGKTINVLLYVDRLDAYRMDTLDEQVIRAITNSFGKDIWRRSLVVLTHAQLSPPDGIDYNDFFTRRSEALLRYIRSGAGINKREYGDFPLPIALVENSGRCKANEHGEKILPDGTPWVPNLMKEITVVISNGSKPIHVDQKLIDGPNPNNRWKMFIPLILAVEYFLVVKGIRRVIHADIANGKVDEWEQRYRDLVGSRDPIEQKGSTSRNRKA >Sspon.06G0016100-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:77465229:77468772:1 gene:Sspon.06G0016100-2C transcript:Sspon.06G0016100-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASNAASVSSAAPRLLLLRRRGGASVHHSNARFRAAAAATSAGGGSGGGSYLDMWRKAVERERRSAELARRLQEAPPAAVADAPLAAGAPVEDVRRRTARFEEMLRVPPDERDRVQRRQVIDRAAAALAAARAVLKEPPPASPPPPSPPPTPPQVAETARVGSAAGGAASRSDRSSRPAARAQLSPSAEDSGGSSPSKQSSSKLGTPGPDFWSWLPPVQDSSKQKESSTGLKPSKKMDTFSSQPDLLMEKERSADSLSLPFETAFFKKKEDRSLPPFQSFAEPENVDSKADMAADKKDTFEEQFSKNAAEVARALSESAEKSSHGIHLDGSLWWKETGVEQRPDGVVCKWTVIRGVSADGAVEWEDKYWEASDRFDHKELGSEKSGRDAEGNVWREYWKESMWQDYTCGVMHMEKTADKWGQNGKGEQWQEQWFEHYDSTGKTEKWADKWCSLDPNTPLDVGHAHVWHERWGEKYDGYGGSTKYTDKWAERSEGDGWSKWGDKWDEHFDPNGHGIKQGETWWAGKYGDRWNRTWGEGHNGSGWVHKYGRSSSGEHWDTHIPQDTWYERFPHFGFYHCFENSAQLRSVKRQPPPRK >Sspon.08G0013090-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:65816629:65824548:-1 gene:Sspon.08G0013090-2D transcript:Sspon.08G0013090-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rho GTPase-activating protein REN1 [Source:Projected from Arabidopsis thaliana (AT4G24580) UniProtKB/Swiss-Prot;Acc:F4JQZ3] MSASEFRIPYQQVSSSQPTENVNQFKTCRCGEGDPNSTSETGDSPPTSCPSCQVLKSGHLLLSSKGIGWTSWKKRWFILTRASLVFFRSDPNVPPPRGAEPIVTLGGIDLNSSGSVVVKEERKLLTVFFPDGRDGRTFTLKAETTEDLNEWRNALESALAQAPSVANTMGQNPIFSTDVAAEPAEAPAEQSEDSSVIGRPAEFALVDADGSPSFLEKALKFIEDHGVKVEGILRQSADVEEVKRRIRDYEKGKNEFSPEEDAHVIGDCIKYVLREMPSSPVPASCCTALVKAYRSDKARRLDEINRVIYEVFPEPNRQLLQRTLKMMQIVESHKAVNRMSQSALAACMAPLLLRPLLLGECDIDNEFSMGGDSSFQLLQAAAAANHAQAIVIIMMEEFDQIFDDLEEGSCSSDAYTESEDDDVDKEYSTDNDIHDDDGSYDSGEDDIEEDLDYSDDSEHEINANVKDGKAEDTSHMEINTQSNQKQESCGPNGSKDRILRSTSRSSSSREKSMEKGKRTLWGRTSARKDLSTEEIECCSDDETLIEKLESSKIDLQSKIAKEAKENAILQSSLEKRKEELHERRLALEKEVENLRDQLQKERNLKTSLESGVMNLRRGQVSLPSTIDSKTKADLEEVATAESDIMNLKQEVSGQQLCCESCNKRLVNTDRVGGEGTLFQVKKQKTFQDDIEQSRKQTVQHSPSSTAKPGHEAVGSISQRAPQRRQSIAREGQDGSSSSSSNSKWNLAQKQYSNSPLIRGLHGSNRLEDFGGSIPPAASTALVKLTNRLNFLKERRALLASEMQSLDLGRPPAAAAAAPSSVKSPTPKGHERKKS >Sspon.03G0025280-3C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3C:95141524:95142624:1 gene:Sspon.03G0025280-3C transcript:Sspon.03G0025280-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSDESDPLLALASSLAGTSDPWVILRTVPRVHAHDDRDLFVELAHPPHLSFLTVSTRVSPARPRPRQLKRRRTSSVITHPRILSADLSASLFLTVTPPAHPTSTDVCCFVLDAASCTSHRVPDPAPGFARFGVIAAPDGASFMVVGLRCLIGNDRAWLHCFSSRTGGWVTKDVNNPRPYRAWTLSDVIAHDGKLWWVDTSSTTTKGLLYCDPFADQPSMSFVTAVEARAKAKAAAYDYVQVADGTLRWVHVLRDLDETADEASSLSGAPLLSIYAVLGGDDDGYRMELEVLPHWRGDFSLCIIWESDSFKATEMPKDEQEQPVLALIDPNDTDLLYFFLGDYLFGFDIRKHVVLGYAPHGMADPD >Sspon.07G0025210-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:32771667:32774498:-1 gene:Sspon.07G0025210-3D transcript:Sspon.07G0025210-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DUF1620-containing and WD40-like repeat protein, Scaffold protein for assembly of the restoration of fertility comple [Source: Projected from Oryza sativa (Os05g0230600)] WGSRIPFFLSVASEPGLASPGQRAVSEDIVGGEEGESIQFNEIFVLTLSSGGTILRAWNLPDGQMIWETNLRTSVASNPQLHVMSNNKVAKDNLVLVSAGRWIYAVSSIDGAISWEKEFSIDGLEIKRILQSPENDVVYALGLVGSSKLALYHLSAKTGEILKDIQQSLPGELCGETVPGSDNVLVALDKARSSLLLIEFKGERISYNKVHVSDLVQDLSGSFKLQSLSNGVISLQTSSSISLLKLKGTDGLEVLQRFDQPAAVSDSLTITEKSEAFAVVQHLGSEIEFIVKFTSDLSSEIIREKVNIDQNRGNVEKVFLNSYIRTDKSHGFRALVVMEDHSLLLIQQGEVVWSREDGLASIVDVTTSELPVEKDGVSVADVEHNLLEWLKGHMLKLKGTLMLANADEVVAIQALRLKSSEKNKMTRDHNGFRKLLVVLTKAGKVMALHTGDGRVI >Sspon.01G0043920-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:70068141:70069052:-1 gene:Sspon.01G0043920-2D transcript:Sspon.01G0043920-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ETSQMPNARFQAAGAIGDAAVREWGILTDDNKRSLIIYCLNYVMEHASSPDGYVQSKVSAVAARLLKRGWVEFSDQEKAAIFFEVYTSLSAGNYSLTNQD >Sspon.05G0014240-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:44775600:44776631:1 gene:Sspon.05G0014240-2C transcript:Sspon.05G0014240-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATHRSSAFLLAVAVACACALAARDLGDHDQAMVARHEEWMSKYGRVYSDAAEKARRFQVFKANVAFIESVNAGNHKFWLEANHFADLTDDEFRATRTGYRPRPAAASRQKTTGFRYANVSLDDVPASVDWRTKGAVTPVKDQGECGCCWAFSAVASMEGAVKLSTGKLVSLSEQELVDCDVNGMDQGCEGGEMDDAFEFIVDNGGLTTESKYPYTGSDGTCNSNEASNDAASITGYEDVPANDEASLRKAVANQPVSVAVDGGDSLFRFYKGGVLSGACGTELDHGIAAVGYGVASDGTKYWLMKNSWGTSWGEDGYIRMERDIADEEGLCGLAMQPSYPTA >Sspon.01G0054980-2D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1D:68289594:68289977:1 gene:Sspon.01G0054980-2D transcript:Sspon.01G0054980-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPSRTNERQASLLPGLAVYRLQVTPQRVQWRQNPCWAWARGVAACSAQRAAPKGWAADCPIVDRSRMAVHVPMAHARRRLQLQVLSSRERRRGWMWEMGDAVMQCVPAELARNATRHAHHQSPILA >Sspon.02G0050720-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:66250379:66254546:-1 gene:Sspon.02G0050720-1C transcript:Sspon.02G0050720-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLWDRTGGIDLIISFHTELQPQDGKEPEMQIGFPTDVKHVAHIGWDGPGSNNNNNNNNNNAGGAPSWMKDYHSAPLDSSSFRSESGGTAAANPWASQGGADIGGGDSPPSPGTRRSRRHRSRGSATSSMDVTGAEGAEEKKKEKGKKGTRKNRKKDKSAGDDASATCQDLPAVPKKSNRRKNKGSSEGNGGAAAKDATTAAPEEGAAATTPPAVDDD >Sspon.02G0043740-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:96497649:96506499:-1 gene:Sspon.02G0043740-1B transcript:Sspon.02G0043740-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRLSSVAWEKLYSKTDGLTVIPEYDWKLFSEEWSARPEKGISAEIAFSKSSQDKLHGPSEAMPIVDGDRGKSLDDTNDDLGAREPYIRTDPECWSDDGPSSPVDFEEASPVLPPSYLDVLLSSASPATGAGSPGSRTPWLDAVAPPAVVPQHPQRRRRSVVLGFVCSIAECIGERESCALVEKLNYQNEDIHVYFVRGKEAPKSIKEACSKAVVVADRRTSKRSRRATSGNSISLKVSGSTSIYQLKLMIWESLGIVKENQKLHKGSVEIEDDLATLADKGVFPGDILWVRDSEIYENRDIADEISEQKADMLQVEEGFRGTLLTSGVSVQLCQDITFSE >Sspon.05G0026990-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:48456645:48460718:1 gene:Sspon.05G0026990-1B transcript:Sspon.05G0026990-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIFVLSGQSNMAGRGGVHAGTGTAWCPRSAHRIRPSSASPRRCTREPLHADIDTTKTCGIGPGMAFARAVLPRLQEDTPGAGIRTGIGLVPCAVGGTAIREWSRGEHLYEQMVCRARVAAEYGEIEALLWYQGESDAESDADTCAYLENVERLIGNVRADLGMPQLPFIQVALASGNKRNIEKVRNAQFSVNLPNVVTVDPMGMALNEDNLHLTTESQVKLGKMLAEAYIMNFLTATCSQHLQSTVKYI >Sspon.06G0021740-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6C:20321445:20324193:1 gene:Sspon.06G0021740-2C transcript:Sspon.06G0021740-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GPTQAEHVRRRGVLTRPRLDGKDSARGVVSPSSASGLVWCRWHRRDSRGWSKVVPVDGLAPASWKKGRRSVGGVRDANGSGDRRGVGAAASRSPPRRGHDAPVPGQRRRREVGKVRLLKSRQRRGGQRLGRGVRSSASWRARGGVEDEDSAASVVEVANYRCVEARRALGFRSRVFGCACYPNTAATSHHKLAPVRLSVSSSGTPRITRGTGVLTLPPDGSSSLAMWCSMSPSSPSPSPAHPPPLLILIPPPLFPTDTVVQTPFPWSPAGVASPSYLPGSGTRSPAARASTSPGPSSSGTAPASPLGEGQGTSSSGAALTPPSRFAAPIRVYQRRARPPPLLEVSSLPGTPTPPPQSPPARVAPPVYHPPLLHRHQWHVHPMVTRHAAGTLPPRALATLPDDPHVSPVPSSVRDALLDPHWRRAMEEEYAALLANQTWDLVPRPSGSNVVTGKWIWTHKRRADGTLERYKARWVLRGFTQRPEVDYDETFSPVVKPATVRTVLSLALARSRPVHQLDVKNVFLHGRLTETVYCSQPVGFVDSFRPDMVCRLNRSLYGLKQAPRAWNHRFAAFLLTLGFVEAKSDTSLFIYHHGADTAYLLLYVDDIVLTASSEPLLRRIITALQQKFAMKDLGVLHHFLGVTVEPHPAELLLHQRQYTRDILERAGMTDCNPCSTPYLTFTRPDITYAVQQICLHMHDPRESHLTALKRLLRYLRGTIDYGLLLHRSSSAELVVYTDADWAGCPDTRRSTSGYAVFLGGNLVSWSSKRQPVVSRSSAEAEYRAVANGVAEASWLRQLLAELHTPPSRSTLIYCDNVSAVYLSTNPIQHQRTKHVEIDLHFVCDRVAIGEVRVFHVPTTSQFADIFTKGLPSSAFTEFRSSLNITSG >Sspon.05G0022970-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:8576311:8580016:-1 gene:Sspon.05G0022970-3D transcript:Sspon.05G0022970-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding METTCRSHLLDLLFFSLLLSPISPRAFAADGVAGDTFSKGRNITDNETLISANGAFTLGFLSPGVSSKRYLGIWFSVSRDAVCWVANRDRPISDNSGVLMVSDTGSLLLLDGSAGQIAWSSNSSSTSPVEAQLLDNGNLVVRSRGGSAAILWHSFGHPSNVLLSGMKVGRDFSTGAEWYLTSWRSADDPSPGAYLRKLDTSGRPDNIVWHGGVKTFRTGPWNGVRFGGIPEVLTYQQGLFDYQMVISSRETAYQGPRDVCDEYGRCGAFNMCNISAAATSFCRCLAGFGLASPSRASGACRRNVALDCAANGKTTDGFLVVPGVKLPDTHNSSVDTGITVDACRARCLANCSCLAYAAADTSAGGSGTGCIMWADDLLDLRYVEQGQDLYLRLAASELPPPPPPPSPPSGSRSRAFPIAPVVVASVASFVGILLIAFLVLFVIRRRRRRRRPPIAAPESIIPPTDPTIQCTPPPAVPYVELSSLMRATGDFSESNIIGRGGFGIVYEWLKFSVLDRTYFYHNIYNWTEVCGWHGNITSVLHNRQGHLPDGRKVAVKRLIRSSVADEGSDDAFMREVKVMSKLRHGNLIQLLFYCKDGNERVLVYEYMKNKSLDRYIFGGDPRLRALLNWEQRLEIVRGVARGVAYLHGLSEEVIHRDLKPSNILLDDNWRPKIADFGTAKLFVVDQTNPTLIES >Sspon.02G0019070-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:61378347:61379641:1 gene:Sspon.02G0019070-1A transcript:Sspon.02G0019070-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSFKRSLPCVVLLLIAVFHQGCSSATAHRPYAGDMGSSTDDDSPTMERFQRWKAAYNKSYATVAEERRRFRVYARNMAYIEATNAEAKAAGLTYELGETAYTDLTNQEFMAMYTAPPPAQLPADEDEDAAVITTRAGPVDAVGRGAPGQLPVYVNLSTAAPASVDWRASGAVTPVKNQGRCGSCWAFSTVAVVEGIYQIRTGKLVSLSEQELVDCDTLDAGCDGGISYRALEWITSNGGLTTEEDYPYTGTTDACNRAKLSHNAVSIAGLRRVATRSEASLANAVAGQPVAVSIEAGGDNFQHYKKGVYNGPCGTNLNHGVTVVGYGQEQEAAGGDKYWIIKNSWGESWGDGGYIKMRKDVAGKPEGLCGIAIRPSYPL >Sspon.02G0051320-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2C:76094147:76094457:1 gene:Sspon.02G0051320-1C transcript:Sspon.02G0051320-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding YSPPLAYLDLTTTLTFLPASLCTSTAPAATDDLCLLSDGKGRVLSKQECAKESGYIQSPKPEPLFPTAPLSQEHEPLEVQHLKLCQLCSATSEYNTATSQTM >Sspon.06G0003880-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:9872074:9873984:1 gene:Sspon.06G0003880-2B transcript:Sspon.06G0003880-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDLKASLARPIQLAEQVIKWADEAQTCRQECQDLKAKLERLSTLLRQAARADLYERPARRILEDTDKALDKAAALLERCCGHGFVRRVFTIIPAGSFKKASYLLDNSLGDLTWILRVSNYAASDEDEEDDHIGLPPIAQNEPILFLIWEQIAVLQYGGLEARADAAASVVSLARDNDRYGRLIIEEDGVPPLLRLIKEGRADAQESAALAIGLLGRDPECVDLMILAGVCTSFVKILKDAPMKVQGMVAWAVSELAANHPKCQDAFLQHNVIRLLVSHLAFETVQEHSKYAVASKMSIHSVLMDKKSNDSSQDPSGSGEKAATATTAAAVAAAKPTVGGAGGTGASSSMSATVPGPSARPVGMAGMRLHNASMSATSTRGREYEDPEIKDYLKAHAARALGTLATGNPAICKNITESRALLCFSILLEKATGDVQYNSAMALLEICRVAEQNAELRRSAFKPTSPSARAVVDQLLRVVEKADYDDLLIPCITCLGCLSRTFRATETRVIGPLVRLLDEREADVSLEAAAALAKFACMDNYLHVDHCKSIITHGGAKHLVQLVYFGEQVVQTAALVLVCYLAHNVPDSEDLAQAEILTVLDWAWKQGYMSQDPMIESLLPEAKIRMELYQSRVGYY >Sspon.01G0006180-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:16057920:16061514:1 gene:Sspon.01G0006180-1P transcript:Sspon.01G0006180-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGFRVLHLVRPFLAFLPEVQSADRKIPFREKVIYTVISLFIFLVCSQLPLYGIHSTTGADPFYWMRVILASNRGTVMELGITPIVTSGMVMQLLVGSKIIEVDNSVREDRALLNGAQKLLGILIAIGEAVAYVLSGMYGSVSQLGTGNAILIILQLFFAGIIVICLDELLQKGYGLGSGISLFIATNICENIIWKAFSPTTINSGRGAEFEGAVIALFHLLITRTDKVRALREAFYRQNLPNVTNLLATVLVFLIVIYFQGFRVVLPVRSKNARGQQGSYPIKLFYTSNMPIILHSALITNLYFISQLLYRKYSGNFLVNLLGKWKESEYSGHSVPVGGLAYYVTAPSSLADVLANPFHALFYVVFMLSACALFSKTWIEVSGSSAKDVAKQLKEQQMVMPGHRESNLQKELNRYIPTAAAFGGVCIGALTVLADFMGAIGSGTGILLAVTIIYQYFETFEKERATELGFFGF >Sspon.01G0032650-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:102622325:102625949:-1 gene:Sspon.01G0032650-2D transcript:Sspon.01G0032650-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTTTANRLGLLVAATVVLVVATAAAPALAAAPAAAAAATPPPSPFRRVYAFGDSFTDTGNTHSTTGPYSFGYVSSPPYGATFFHRSTNRYSDGRLVVDFLAETLALPTYLPPYLSSNSSTTAVGVNFAVAGATAIEHDFFARNNLSIDVTPQSIMTQLDWFDAHLRAAAGAGERSAVADALFWVGEIGANDYAYTVVARDTIPPKLVRTMAVQRVTAFVEVLFCTMYDVAVVVVVGLLERGAKYVIVQGLPLTGCLPLAMTLARADDRDAVGCAASANRQSYAHNRRLLAGLRELRRRHPGAVVAYADYYAAHLAVMRAPAWYGFAEPFRTCCGSGGGAYNFDLFATCGSPQVTTACARPADYVNWDGVHMTEAMYKVVAGMFFRDAYCRPAFKDLLSMKAQGK >Sspon.02G0042880-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:86884282:86885037:-1 gene:Sspon.02G0042880-1P transcript:Sspon.02G0042880-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPMVDPPSGIEEEGSHSPCYQYKYPRDLQLTGSAIMAYSRKLAPLAVALATIVLLVTPCAAQSSVQDDVVDLHNKARAEVGVKPVSWNESLTTYAKSYAATRQDDCKLKLSGGPYGENLFWGAAGTNYTAADVVGLWVSQKQYYDHASNTCAAGKKCDSYTQVVWRGTTSIGCAAVFCSNSGGVFAICSYNPRGNLDGQSPY >Sspon.07G0030780-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7C:13181573:13182590:-1 gene:Sspon.07G0030780-1C transcript:Sspon.07G0030780-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKEFLRSGSSALLRPGGVGNRRAKEKLFDMVVVWIFSFQGRLDDVDGSGDGVNDMLGSVPWFMFFPRIMLGLDLFPVGCLSGLFCFFSDSYVGGRWGQVAVVVRVVHDDGVICRGIGTSDVFFDVFFCVGVRILCELHCTVHAHIVSGGTGTIALDVVAGAWSVLTLQGDSDGCGVEWNCLVRLLLHLLVCTGWCSSIPLAGQLLVGWDWPVRRPASDLGEIEVWPERSADKLHAFGVLLMAFLKVDSSSIQDPAINFILGLLHCGRWERSSLRQQRSATATVSGCSFSEFICNFSFFQECPVKGLD >Sspon.05G0029920-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:78326292:78328101:1 gene:Sspon.05G0029920-3D transcript:Sspon.05G0029920-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFGFPYKIFSIPLARSVQLMRLESDGHLRVYEMGMSYPGGLQNERHPDAGCIPLASISCNDMHDHKLIPLNNVSYFSYNTFQSLATRVISEGFSVTSFFVYAVIWKKCKKDEEPQFDGIPGTPKRFSFHELKVATSNFSIKLGAGGFGSVFKGTIGKETIAVKRLEGVNQGMEEFLAEVKTIGRIHQLNLVRLVGFCAEKSHRLLVYEYLSNGSLDNWIFHTSLVFTLSWKTRHIYSFGIVMIEIICGRQNLDESQPEQSIHLISLLQEKAKSGQLFDLVDSSSDDMKSNVEDIMQTMKLAMWCLQVDSSRRPLMSTVAKVLEGAVSMEATPDCIFVPSFESVNTDASGSTSSYVPSESHLSGP >Sspon.03G0041470-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:41615739:41618713:1 gene:Sspon.03G0041470-1C transcript:Sspon.03G0041470-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAYYGPGILPPAFFNTGIVPGHVPPPCMWGLQNMPPAAFVKPYAAIYPHAGGFLHPFMPLMVNPLSAEPAKSVNSKDNSLNKKLKEIDGTAVSSGNSEKTSGDYSLEGSSDGNNQKVSGTPKKRSLDDRTTSETCGVSATNDKPGESGRLPTLSNMHIPDARMKPCVSTGSDFKVSGATSIEWPAKDDKESKRERRKQSNRESARRSRLRKQQANGKLPETKNGECGSDGTSSSNGIHTRILTRTMNMSHFLKRTLNLTSQEKLAGGTSDQAQEASADHPQTATAAPPSARVVKNFLSMMDVEDPSRGGGSGGSRRMEHGAPRLRQLLGSGPLAADAVAAS >Sspon.03G0011900-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:32444387:32450050:1 gene:Sspon.03G0011900-1A transcript:Sspon.03G0011900-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTKNQFDLLVDVDNDDPSHLIAAAEKKAAASPKPAPAAPAKLPTKPPPPAQAVKESRNYGAPARDGAGRGRGGFRGGRTGPRREFGEGNANGVEGGYGGGGFGDSGFQRREEGDGKAMERGRGPRQSYRGGGRRGGYTDGEAGDESARPPRRAYERHSGTGRGYEMKREGAGRGNWGTVTDEALAQESVETEGAPAVAEDETKPEDVPQSEAEKGKEGEPTEAEEPEDKEMTLEEYEQVLEEKRKALLGLKSEERKVEVDKELQSMQQLSVKKGADEIFIKLSVAHLTIRRQGSDKDKKKENSERDERAKKSVSINEFLKLAEGERYYSPGGRGRGRGRGRGDRGGFRGGYSPREFAAAPAPAIQDQSQFPSLGGK >Sspon.01G0008620-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:29131297:29135285:-1 gene:Sspon.01G0008620-2B transcript:Sspon.01G0008620-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAEAMSSHGSRVGGGGGALSRQGSVCSLTFSEVEGQLHGVNMDDLLRSGRKTADEVWRDIQGAAAACPRAQMTLEDFLSRGGGPPADAAGAADTDTGSGARGWAQQLYQPAPAAAAQLELGRRHPAVGRPVPRPLGAGAGPVLDALYHDGQEGGAGAKRAAGEGGMAERCNERRKKRMIKNRESAARSRARKQAYTNELENKISQLEEENERLRRHKAPEPVVQYVPRQELKNQLRRANSANF >Sspon.03G0042440-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3C:67395444:67399555:1 gene:Sspon.03G0042440-1C transcript:Sspon.03G0042440-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMASPEEQLGQILALLSENSKGINELKSSMSEMRAMRSEINSWKPEVDNRVHALEHAVADLGERMEHALGVLLPTAGAALEVPAGVVNIATAPTAHREDIPLSPSAKVPDSAHLESSPHGAASGSLDHGKGSPHRGAAFGAVYTVAPETAPVTGATQLPKSFPSSFPKDDSVFCDRRGYSCYPAYPPPTNPFPDLEFHKFDGSNPKLWVKRCETYFDVYQIDPGKWVKLATMRLTGSAALWFQTLQTTISSLSWDSFVTAVCNRFDRDEHNHLLRHFFHINQTASVSEFVEHFSDLVHQILAHDPSFPPSVITNRFLDGLKKDIRAAVVMHRPQDLDTATSLAFLQEEALQDQPARRTESGHYFKKSSPDSMKSGYTSTPSPTRSTDDKKTVDSSKTKAVPDDKLSALKNYRRSKGLCFKCGEKWSPQHKCPPTVSLQAMEQLWQCISDDGDFCIPDADTDSEEDLMAISIQALNGVEGFKTLRLRGHLQGKEVFMLVDSGSSHSFVSTTMASTIQPWHILKQPVQVQVANGSYIPCTHELPNQVWGCQGYTFTTTMKIIPLQGYDIILGMDWLGTNSPMHVHWQERWMQFTSHNQEVKLQGLLPDTTMGPPVSHHQLQAFDKVDSILYLVQLYELSSTPTTTQQLPQDLQQIIQQFSPVFDPPNSLPPPRSGDHKIPLLEGAQPFCLRPYRYNPAQKTEIEKQIREMLDKGWIQTSTSPYSSPVLLVRKKTGDWRLCVDFRRLNALTVKNKYPLPIIEELLEELQGATWFTTLDLCSGFHQIRMAEGDEYKTAFQTHSGHYEYRVMPYGVTGGPATFQGIMNVLLEPLLRKCAVVFIDDILIYSKSWEEHLQHITEVLTLLQKHHFHVKLTKCSFGKQQLCYLGHIVSSQGVATDPSKIETIRSWPTPTNVKELRSFLGMTGYYRRFVSQFGLISKPLTNLLKKGNLFVWTSETELAFQTLKKALISAPVLALPTFSLPFVVETDASAKGIGAVLQQQGHPIAYVSKALGPKAQGLSTYEKECLAILMAVEHWYHYLQSSQFIILTDQKSLTNLDDQRLSTPWQHKALTKLMGLNYKIIYKKGADNKVADALSRVSSTDTYDLSALSMVKPLWLQQVQASYIDPQSVQLLAGLSVSSPQGFYTLQDGLIRYKNRIWVGSDVELQTKILVALHSSAIGGHSGYEVTYKRVKQLFAWLKLKQFVKEFVAQCTVCQQAKSERVPYPGLLAPLPIPDGAWQTVTLDFIEGLPRSAGYNCILVVVDKFSKYAHFIPLSHPFTALQVALTYMNNVFKLHGLPQALVSDRDKVFTSNIWQELFKLLGTQLKMSSAYHPQTDGQTERVNQCLETYLRCFVQACPTKWSQWLALAEY >Sspon.01G0011410-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:25451465:25453709:-1 gene:Sspon.01G0011410-3C transcript:Sspon.01G0011410-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFNVIGIRMHDPDPMRTTGSAPKSKETTGIGIFKAESAAAAVATASRDRQPTSVAGVARQRELSHTVESEGDSKMKRQVSTAKSKELNGHDIFADHEDPKPNRSRRSDYGSSAALSPVKNANVSSFSFGEADTDSTMKTAKKKGSSNKSTDLNGKAISERDSAPAEKQPLNRAKPKGMSGGSSIFADGKAPTTGDHAGRRTRQPPGGDSSILLG >Sspon.05G0038760-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5D:53747441:53753338:1 gene:Sspon.05G0038760-1D transcript:Sspon.05G0038760-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIIPDFMAVADGLTFTIGQITWTTSVNSFTTAAMEEAQIRSASTTSSSATAPTTLAMAPTTPATAPTTPTTRNAVNMVGIREHIEGSVHTLPEDCDSSTGSAHSVHTETEHLDHDEYDLDPLPHPLGFSLIPRFPPKRGDIVLNVSNDEPSVVGETDEQWQLREQRNADRTECRHQEAEEEDARRRGPQPRDLANAFDRVGDRSPSEPELLQARPSHLVGAIDPALQNIQVANIVMIRLHPIPHVVVAVVAVAAVVAVTRADKMVVEVVVTTTAIVTAIEIRRSTRTLLAISIIVFLLVTSAAISLVVLMTVRPMRTRMAVKLQARKLRKYDSKENPESWIMLYEITVRSAAENQFDSWAQLKQAFIDNFIATCDQPGNKYDLEHIRDRAGEPLRDYIRRFSDMRLKIPKISHDEAISAFIKGLHHHDTLRSKLLRKRPTTVSELLATAKNYADADDAEKIIKEDVGGSSCPEHPPRRDDNRNDRGQNDHDRRNDNRNFPDNRDRRHDRRNAFRGKCPREDDHEVNAIHPKANHTMENCRFLRNIYAKKLANDDAPKAIDDGPWHDGDDDDDDAQDRNPRHQYVNPTKTVHSIFGGKVSLESKREHKLLKRACLSIVNADDLISDPRLPAWSHHEISFSRADRWTAIPEPGHFPLILDPYINSVRFERVFIDGGSSINILFRSSLPALKLTAAELKPYDAQFWGVLPGQSSIPLGQITLPVQFGNPNHFRTDYVNFVVADFEGTYHAILDRPALTKFMAVPHYSYLVLKMPTEQGVLTLRGNVYTTYTCEEESFKVAEATDLSIHMEQTLVDASKIPAGQLEIPERQEDALVGFLRDNVSVFAWKPADMPDVPRHLIEHSLNISKTARPIKQKLRRFAHDKKEAIRAEVTHLFAAGFIKEVYHPDWWANPVLVRKKNNEWRMCVDYTDLNKHCPKDPFGLPHIDEVVDSAASCELLSFLDCYSGYHHIALNKDDQIKTSFITPFGAYCYTTMSFGLKNAGATYQRTIQQCLVDEIKDDLVEAYVDDVVMKTREAQTLVDNLHRTFTALNKYQWKLNTKKCIFGVPSGILLGNVVSYDVIRPNPTKKDDVLLLYIAATDRVVSTVIVVEREEPGHVYKVQHPVYFISEVLNESKASEKMDAYCKEIRKLEGKFYGIEYTHVVRDKNQAADELSKLGSSRAQVPHDVFVQDLVKPSIKEEADQVVEKPPDQPLVATVSLPSIMEPFPTTPAVPLTTNTDNWRVPFIKFLQDGTGYADRTENERLMRRMVLAAIRSLLEPWSAKLFEQVSTGRPSWPMQRSWSAAATDVSFLPNVYTYQHMRSKPYQPPGPLHASVAKSREAKSREAAEDRHQQAAADAQSSNAATYGRNDKKV >Sspon.02G0008810-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2C:27627925:27628314:-1 gene:Sspon.02G0008810-2C transcript:Sspon.02G0008810-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SAUR family protein, Negative regulator of auxin synthesis and transpor [Source: Projected from Oryza sativa (Os09g0545300)] MAALGRRRLTMITTIKDGNLYCASAIANKGHCVVYTADGKRFEVPLAYLNTNVFVELLRMSEDEFGFTSEDRITVPCEGEVMEYVMCLLRRKPSEEVERAVLSSVVMPCNCMSSMTMVSKGLNQSLSIF >Sspon.01G0048170-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:107352366:107357015:1 gene:Sspon.01G0048170-1B transcript:Sspon.01G0048170-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLDLNVASPADSDTSSSSALNSADGGFRFGLLGSPVDEDDCSGEMAPGASTGFMTRQLFPSPTPPAVPVPESEPTAAPVPVWQPRRAEDLGVAQRPVAPAKKTRRGPRSRSSQYRGVTFYRRTGRWESHICFVVDEMVKFGSSRAYDRAAIKFRGLEADINFSLGDYEDDLKQMRNWTKEEFVHILRRQSTGFARGSSKYRGVTLHKCGRWEARMGQLLGKKYIYLGLFDSEVEAARAYDRAALRFNGREAVTNFEPSSYNAGDNSLPDTETEAIVDGDAIDLDLRISQPNYLLLSPAAVANELIIPLARVQERPMERRPELGAQPFPTWAWQTQGSPHVPLHQSAASSGFSTGAGANGGMPPPSHPPALFPTTNPFFFP >Sspon.03G0042760-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:72426465:72432675:-1 gene:Sspon.03G0042760-1C transcript:Sspon.03G0042760-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLSPVAPPGHVPMPQSCRVVSQTRRLLPFASADLTRRGSSPICSRRRIRRLASPKVGYTRIPLITANSQTTLQKYRSLKSLCRGGSSMGARGAQDTTPRWAPGMKREGSAKIEGRRGENGTKGLKEEERR >Sspon.03G0010790-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3B:36423827:36424703:-1 gene:Sspon.03G0010790-2B transcript:Sspon.03G0010790-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQIASEIEALLKGIIAKRENALRTGSSAASDDLLGLLLESNMEHCRSDGNNSKAGITTDDVIGECKLFYFAGMETTSVLLTWTMIVLSMHPEWQDRAREEVLHVFGDKTPDYDGLSRLRIVTMVLYEVLRLYTPLTAVQRQTYKPMELGGVRYPAGVMLMLPLLCIHHDKDVWGPDASEFRPQRFAEGISKASRDAPAFFPFGWGPRTCIGQSFALLEAKMGLSMILQRFAFHLSPAYTHAPFPHGMLQPEHGAQVMLRPLP >Sspon.02G0011960-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:35710203:35713245:-1 gene:Sspon.02G0011960-3C transcript:Sspon.02G0011960-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRGLFGSDPNLFESLTDKSKLDAQPELFIHLVPDKASNTLSIIDSGIGMTKSDLVNNLGTIARSGTKEFMEALAAGADVSMIGQFGVGFYSAYLVAERVVVTTKHNDDEQYVWESQAGGSFTVTRDTGTKITLFLKDDQERRLKDLVKKHSEFISYPISLWTEKTTEKEISDDEDEEEKKDTEEGKVEDVDEEKEEKEKKKKKIKEVSHEWSLINKQKPIWMRKPEEITKEEYAAFYKSLTNDWEEHLAVKHFSVEGQLEFKAVLFVPKRAPFDLFDTKKKQNNIKLYVRRVFIMDNCEELIPEWLSFVKGIVDSEDLPLNISRETLQQNKILKVIRKNLVKKCVELFFEIAENKEDYNKFYEAFSKNLKLGIHEDSQNRSKIAELLRYHSTKSGDELTSLKDYVTRMKEGQNDIYYITGESKKAVENSPFLEKLKKRGYEVLYMVDAIDEYAVGQLKEFEGKKLVSATKEGLKLDETEDEKKRKEELKEKFEGLCKVIKEVLGDKVEKVVVSDRVVDSPCCLVTGEYGWTANMERIMKAQALRDSSMAGYMSSKKTMEINPENAIMDELRKRAEADKNDKSVKDLVMLLFETSLLTSGFSLDDPNTFGTRIHRMLKLGLSIDEDESAEADAEMPPLEDDAGESKMEEVD >Sspon.03G0026230-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:7383589:7384587:1 gene:Sspon.03G0026230-2C transcript:Sspon.03G0026230-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVKPHTARVLVGRLRGATAACDGAAAAAAVSEIRLASKEDPEIRAPLADVGAVPLLAAQLHHASPVSVDAAAALLNISISARDQVATAPGVLDALTSALRSGHAAHHAAAVVYSLLCGGEPHRAAVCARRPLLSALVALLRASPKPSTRATKDALKALFGVALYPPSRATLVSLGVVQALFALVMTDARNGIVEDASAVLAQVAGCAECLEAFRWVSGVRILLDLVEQGGAATPRARENAAAALLNLVVAGGEAAAAEVVAVGGAEETVRELAEDSAASPRGKAKAEALLRALEGAGTAARRREHRLSDFLDGLVQSDPYISSPASASTHG >Sspon.07G0007920-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:21393361:21399181:1 gene:Sspon.07G0007920-1A transcript:Sspon.07G0007920-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding PATTARASRACARLLCAALRPGPSHRPQAHNPRTSAARCAIRRENPTTTTYPAPRERRRGAVRCQALTVISPPLPVRGPRRITGPAGLCIAAGVFFDCPRLPPVNPARSQSSYALEALISCPVLPCGFSTAAPDTTTEKEGKEGAETNLLVPGQRRDWEQPSRNPKPQARLLSFADITIHSTKLKVLRVDGMFKHLNLVTPHVSSAVINLRVNSGYVPRAGSNFNLSQFIGSLLDIENISLLGHAFECAAHGIVPGKLPRLLNQLTEITLELDLGNLKEANAAHCLFQIAPNLRRMELQLMHRGYSAPTSNFWDSVDHQDCLFKNLYTVVMNNFTGSCAESGFLELLLKDAPVLRSARIEDNNKLDKESLKRILKMRRASKDAEIILL >Sspon.03G0011900-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:36943860:36948570:1 gene:Sspon.03G0011900-2P transcript:Sspon.03G0011900-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTKNQFDLLVDVDNDDPSHLIAAAEKKAAASPKPAPAAPAKLPTKPPPPAQAVKESRNYGAPARDGAGRGRGGFRGGRTGPRREFGEGNANGVEGGYGGGGFGDSGFQRREEGDGKAMERGRGPRQPYRGGGRRGGYTDGEAGDESARPPRRAYERHSGTGRGYEMKREGAGRGNWGTVTDEALAQEAVETEGAPAVAEDETKPEDVPQSEAEKGKEGEPTEAEEPEDKEMTLEEYEKVLEEKRKALLGLKSEERKVEVDKELQSMQQLSVKKGADEIFIKLGSDKDKKKENSERDERAKKSVSINEFLKPAEGERYYSPGGRGRGRGRGRGDRGGFRGGYSPREFAAAPAPAIQDQSQFPSLGGK >Sspon.05G0021570-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:90645739:90652563:1 gene:Sspon.05G0021570-1A transcript:Sspon.05G0021570-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSYKYKYCMCFTRKFRSPDAQPPPDVRAAYHSFNSDVHALRRFLSQAQGEHPADVDRIHALLTAASGGHGIARLVTRSPAPAMPTLEDFFAFLFSPELNPPMAHQVHQDMSAPFSHYFVFTGHNSYLTGNQLNSDSSDIPIIKALQRGVRVIELDMWPNSSKTNVDILHGGTLTAPVEMIRCLKSIKEYAFCASTYPLVITLEDHLTPDLQAKVAKMLTETFGDLLFVPSSDPMKEFPSPAALMKRIIISTKPPQEYKEFLKDELDEQDEEDSGEDDPKFQQDTACEYRKLITIQAGKPKGHLRDALKVDPEKVRRLSLSETQLAKATTSHGAEVIRFTQNNILRVYPKGTRVNSSNYDPMNAWTHGAQMVAFNMQGHDKALRLMQGFFRANGGCGYVKKPDFLLTTGLNGEVFDPKGSLPVKKTLKVKVYMGDGWRMDFSKTHFDAFSPPDFYTRVGIAGVKADTVMKRTRVIEDQWVPVWDEEFTFSLRVPELALLRIEVQEYDMSEKHDFGGQTCLPVWELKQGIRAVPLHDRKGDRYKSVRLLMRFDFV >Sspon.05G0027800-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:5B:56860180:56860437:-1 gene:Sspon.05G0027800-1B transcript:Sspon.05G0027800-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAQEEASKKDEERKEDLHHAPSLGLEVDPRGKAPAYDPHHPHNDLNGYIPRSHSDCERVETRESIADNFNNKKITWEAKNAEPS >Sspon.08G0007760-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:26042401:26044610:1 gene:Sspon.08G0007760-3C transcript:Sspon.08G0007760-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MREVKQKVATEDILEEDDEKRSSENSVPPVILDLNEGFGEGRDEGDAGDDDDGNEEDGDDGGSTSEVEGCRSSSSNNSSTNHTSMSNKDCDMDSSSKGEGSGERTLTVRHYNRSKLPRLRWTPDLHMAFVHAVERLGGQERATPKLVLRMMNVRGLSIAHVKSHLQMYRSKKLDHESGGHERAAISSENAMDWIMHFLNLRCTILAVFSPMDFHMMRRGDHRFHDMFLHRAPGSVISSGWLLHNGELFGSRNAVSPEATSRLYALLQRRQQPSMQTFDFKNYSSSLRDQEWSFSQHAAAAARAGAINDHGPTKGLIHDMFLRKNGRVTSHLFDVRDAIASNRTSSDAAGAANHGGRVVRSTDWDGTSSGPPLSRTMSAAASTGLELGSHQLLSRGRGSGNVASSDPVVTSEALGSRLQTFLEPSKVIGEMCAGTRTTKRMKTPMEGNGGTPDLQLSLSPNDDMGGDADKQVKKRKFLGIGLSEQEVDDDSGKTTLPLSLSLSLRGGEWSGGDDARRLEAATGSSGNKAALGRSTLDLTMSIKALE >Sspon.02G0001960-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:6512397:6515045:-1 gene:Sspon.02G0001960-1A transcript:Sspon.02G0001960-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSTVKLAVLALLLAAAAHHGLLPLPTARCYWLNPEIYDAGGLSRRAFPEGFVFGTAASAYQVEGMAKRGGRGPSIWDAFIEVPGTIPNNATADVTVDEYHRYKAQEDVNIMKNMGFDAYRFSISWSRIFPNGTGKVNQEGVDYYNRLIDYMLQQVSIYILFQETFRFLIKLFIYPSTPPLKKSGITPYANLYHYDLPLALHEQYLGWLSPKIVEAFADYAEFCFQTFGDRVKNWFTFNEPRCVAALGYDNGLHAPGRCSECAAGGDSTTEPYLAAHHLILSHAAAVRRYRDKYQLYQKGRIGILLDFVWYEPFSDSNADQAAAQRARDFHLGWFLDPIINGRYPYSMQEIVKDRLPLFSDEESRMVKGSIDYVGINHYTSFYMKDPGTWNLTPVSYQDDWHVGFVYERNGVPIGAHANSYWLYIVPWGINRAVNYVKETYENPTMILAENGMDQAGDVSITQGVHDTVRIRYYRDYITELKKAIDGGARVIGYFAWSLLDNFEWRLGYTSRFGLVYVDYKTLKRYPKDSAFWFKHMLSKKRS >Sspon.04G0033560-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4C:60687725:60689209:-1 gene:Sspon.04G0033560-1C transcript:Sspon.04G0033560-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ALVYAIVRIAAARRYGAVFALGLVLVFWVTVSAAYYPRVCADLVPWLRFQRRARGRGRGHGQGEPSLLVPQRSSFVTVDVFPHPRQAPAAAVARGGARVRADDVVSSPSPSPSPYEHQRVPLSQRYGDRGGGMFPWDRASTSHGDRASTSRMMMAALPREPPAARGRARVGTDDGDDVPRPPCEEQSDGEPSKYCAICLADVDTEEMAKRLPLCLHVFHRHCIDQWLQGHSTCPICRCRQRAQGEPSPMVPQRSSFVTNDVFPRQAPAAAARGGARVRADDVSSPSPYPYEHQRVPLAQRYGDRGGRMMGAFPWEAPPTRGVARAGGADHDVPPPPPPALYPSYPCEQRRVPLAQASTSRMMMAALPREPPAVRGKARASADDDDDVPRPPCEQQNDCGPSKYCAICLAGVDDEETAKRLPLCLHVFHRHCIDQWLQGHVECPQVQPPSSLALARVLTGGEGRVFSDGRRTRRRVGDSSCVMQVCNLRGETFYY >Sspon.06G0009810-2P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6B:42237261:42237791:-1 gene:Sspon.06G0009810-2P transcript:Sspon.06G0009810-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVNADDFFKAANLDKPRDTNKVGSNVTLINVMQLPGLNTLGISLARIDYAPLGQNPPHTHPRATEILTVLEGTLYVGFVTSNTDNGNKLFAKVLNKGDVFVFPQGLIHFQFNPIHDKPAVAIAALSSQNPGAITIANAVFGSKPPISDDVLAKAFQVQKGTIDWLQAQFWENNHY >Sspon.01G0050300-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:94711551:94713230:-1 gene:Sspon.01G0050300-2D transcript:Sspon.01G0050300-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGHHARQLVQENPKDMGHMEHSHIHGTCLRTHSEGASPFLHDQNTLSPIHWLSHHN >Sspon.02G0059120-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2D:89229713:89231216:-1 gene:Sspon.02G0059120-1D transcript:Sspon.02G0059120-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKQLSECTVGTQEPASTASASGISTHHSVLELSAASTSTHLMQPHQLSWYGRDEMQEPRLCSPSRSCEAAAALIRSSQGNGAVSWSWHPFDHHGLSSRARRWTGRPTDRQRALGFRRAADDATDRCPQYSMPDPVSRLSILFSGSGRRPESRGRFLRRCDGYARLSQ >Sspon.03G0011320-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3A:30750274:30751684:1 gene:Sspon.03G0011320-1A transcript:Sspon.03G0011320-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAAAAPTVVKSAPELVAPAGPTPGGTLPLSSIDKTAAVRVSVDFIQVFPPAAGAGGDQDAAVAAMRDGFARALVPYYPVAGRIADASPGEPVVDCTGQGIWFVEAAASCALTDVNYLERPLLIPKEELLPRPPPEEKLEDLVLMAQVTKFTCGGFAVGICFSHLVFDGQGAAQFLKAAGEMARGLPAPSIAPVWDRDAIPDPPKLPRGPPPSFTAFSFVTQVVEISPESIARIKDEFKGATGQTCSTFDAVTAVVFKCRALAMALPDDAEVRLGFAASTRHLLHGVLPSVDGYYGNCVYPVGITRTSKAIREASLPEVVGVMREAKEALTTRFTDWMRGGAKDDHYNVPLDYGTVTVSDWSRVGFNEVDYGFGEPGYVFTLNDDVNIVASVIYLKPPAPKRGIRLMLRCVEEPHAAAFADELAKFA >Sspon.03G0009030-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:38687779:38694175:1 gene:Sspon.03G0009030-3C transcript:Sspon.03G0009030-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRGGAGSATLLRKRSLSVNTTASAAAAAIDQFGSKRQAGGVAAAVEEEPVSPTGRLFREPHFRCHIVSVFGLGAPVDLPALRAGVAATLARHPRFCSVQVLNELEKDARPKWVRTAVNVDDHIIVPELDPGATSADPDKALEDYVSSLSTRPMDHSRPLWEVHVLDFPTAEAAAAVALRVHHSVGDGVSMLSLFMACTRSAADPAALPSLPPARRRAGPVYAVRRRPLSLSSAAGALDALAALAVWLLSFLVLVWHTVVDVACFFATAVSLLGDAPTVLKGKEGTEFEPRRFVNRTLSLDDIKYVKNAMSCTVNDVLLGITSSALSRYYFRRTGEQVLKWNAFGSAMFDGFIRLTEQLTPKPKSSGESDSKSITVRSAVLVNLRATPGIHALASMMESSKDNGARWGNKLGYMLIPFHLAKHDDPIEYVRKATKVARRKKSSMESVFTFWSGDMVLKLFGIKAAAALCYGMFTHTTLSFSNMVGPTEQVLFCGNPIVYIAPGTYGHPHALTVHYQSYMNSVKLVLSVDEAQFPDSHQLLDDFAESLRLIRKAASGKPAGETQDAASS >Sspon.01G0008450-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:23554383:23560914:-1 gene:Sspon.01G0008450-1A transcript:Sspon.01G0008450-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glucose-6-phosphate 1-dehydrogenase [Source:Projected from Arabidopsis thaliana (AT1G09420) UniProtKB/TrEMBL;Acc:F4I0Y8] MRHTEKVAGPAPSFRTQACGLRCWIAAKLKLRKALKRHHGWQLQRNLDARGNDKVTDYLEAASLTEKRSHWNMHLAYDSGGEMANTSSDTLDSSTDQEKSVPQGHIPSEISSPVEKEESAVPRRHSNNEPSLCIAVIGATGELARTKVFPALFALYYSGFLPRNVGIFGYSRKKLTDEGLRSIIEANLTCRVDHHENCDGKLSEFLKRTYYVDAGHDNKEGMVRLNSRMAQIEGIGAANRIFYLAVPQEALLDVALPLADSAQTKQGWNRIIIEKPFGFTGLSSQRVTQSMLSRFEEKQIYRTCSKFQNTSLWIEVLDCCKAETPEGEKSVPQGHIPSEISSPVEKEESAVPRRHSNNEPSLCIAVIGATGELARTKVFPALFALYYSGFLPRNVGIFGYSRKKLTDEGLRSIIEANLTCRVDHHENCDGKLSEFLKRTYYVDAGHDNKEGMVRLNSRMAQIEGIGAANRIFYLAVPQEALLDVALPLADSAQTKQGWNRIIIEKPFGFTGLSSQRVTQSMLSRFEEKQIYRIDHLLGKDLIENLTVLRFSNLVFEPLWSRTYIRNVQVIFSEETSNEIQGRYFGNYGIIRDIVHSHILQTIALFAMEPPVSLDGEDIRDEKVKVLRSIRKVDLEDVVLGQLKDTSGKVDRYTKSMTPTYFAAAMYIDNARWDGVPFFIRTGMGLMMNRAEIRIQFRHVPGNIYRERFGHDIDLDTNELVLRDQPEEAILDELAAAWNVLTPIIHEIDQNRVAPELYEAGDRGPINAYYLAAKHGVQWDDDW >Sspon.08G0007980-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:28793486:28796181:-1 gene:Sspon.08G0007980-1A transcript:Sspon.08G0007980-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVGGGWWAALVLGVGVAVGESELEAEGVGVGRGRGRGGGARTVVLRWQEKTGNKRFSSGIKRKFSVS >Sspon.02G0014950-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2A:40221503:40221874:1 gene:Sspon.02G0014950-1A transcript:Sspon.02G0014950-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGSPPPAVAATAAVHKIQFKSADMKEEMRQEAFEIAGVIRALCPRFRSAISLPALDLVFVAPPLLTGCSLGFGDFFPLSIPSLSVGQAFEKNNMEKNIAEYIKKEFDKNHGPTWHCIVSCNF >Sspon.07G0002810-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7A:6810902:6811336:-1 gene:Sspon.07G0002810-1A transcript:Sspon.07G0002810-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQLSFFRRMLFCLRCIVYATLSFASSSVDHRSRTMLRRDLLEAGEATALVGAILWLAQTIIETLLPTGELDAWLHRVGLAGAIGELKYEVERMETVVNGVGGRVVGNKPLARSLARVKELMYDADDVVDELDYCRLQQQVQGGM >Sspon.03G0008680-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:23287569:23299378:1 gene:Sspon.03G0008680-1A transcript:Sspon.03G0008680-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPTPMPGGEGTLAAVMPRSPSPTPAEAGTSATETPVLIFLYFHKAIRAELEALHGAAVLLATERTGDVAALSERCRFFFNIYKHHCDAEDAVIFPALDIRVKNVAGTYSLEHKGESDLFSQLFDLLQLDIQNDDGLRRELASCTGAIQTCLSQHMSKEEEQVFPLLTKKFSCEEQADLVWQFLCNIPVNMVAEFLPWLSTSVTSDEHQDIRNCLCKVVPDEKLLQQVVFTWMEGKATREVAESFAAGNSVRNNSVEDVPDQGEIHICSHHDSRLGSKNCAESNGPQADRHPIDDILYWHNAIRMELHDIKEETRRVQQSEDFSDISAFNERLQFIADVCIYHSIAEDQVVFPAVDSELSFVQEHAEEERRFNNFRCLIQQIQIAGAKSTALDFYSKLCSHADKILETIEKHFRNEETKVLPQARMLFSPEKQRELSYKSLCVMPLKLLERVLPWLVSKLSDEQATSFLRNIRLADAYETASPSETALVTLISGWACKGRDKCKSGEYSCLTSSTARCLSDDVDDLGKCRPFCPCASRNSSDLSLQLQTENGSRPGKRGKDAVSFPGTNGSYCSQTADIEASPCSKKPCCIPGLRVESSNLGIGSLASAKSFRSLSYNSTAPSLYSSLFSWETDASLSCSDGISRPIDTIFKFHKAIRKDLEYLDVESGKLIDGDESCLRQFIGRFRLLWGLYRAHSNAEDEIVFPALESRETLHNVSHSYTLDHKQEEQLFKDISNVLFQLSQLYDSQGHAQTEVNEVEQSCFHSSNDVDFARKYNELATKLQGMCKSIRVALTNHVHREELELWPLFDKHFSVEEQDKLVGRIIGSTGAEVLQSMLPWVTSALTQEEQNKMLDTWKQATKNTMFGEWLNEWWKGAGTTSDSSVEASSAPEDSHLQDKLDQNDQMFKPGWKDIFRMNQSELEAEVRKVSRDPTLDPRRKAYLIQNLMTSRWIAAQQKLPEPNSEECSDDASIPGCAPSYRDQEKQIYGCEHYKRNCKLVAACCNKLFTCRFCHDKVSDHTMERKATQEMMCMVCLKIQPVGPFCQTPSCNRLSMAKYYCNICKFFDDERTVYHCPFCNLCRLGKGLGVDFFHCMKCNCCLGMKLTEHKCREKGLETNCPICCDFLFTSSAAVRALPCGHFMHSACFQAYTCSHYTCPICCKSLGDMAVYFGMLDALLAAEELPEEYRDRCQVAQFFCSRFSSFPPLEYLLIFIASLVQDILCNDCERKGRCRFHWLYHKCGSCGSYNTRVIKTDTADCSTPS >Sspon.05G0006510-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:21862446:21866135:1 gene:Sspon.05G0006510-4D transcript:Sspon.05G0006510-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASGSPRDGRGAEEDEAARSGSKSLDAECNDRSKGSRDRDHRGKSKRRKEEESESSGEDSGERRKRRRKEKERRRRRRSRSESSGSSSESESESSYSGSSAESESESELDSEEERRRRRRKRRKEREEEERRRRKKEKERRKRKEKEKEKERRRKEKKKRKEEEKKNLGMKGAVTNSWGKYGIIREVDMWSKRPEFTAWLSEVKQVNLEALSNWEEKQMFKEYYNLDAYHRKAMEKEKKKGLKTFVTERTVFNDEEQRRLELLKERERRKEEEVEALKRSMQAGLAQDMKEQARLREEMNYQYRLGNFQLYRLGSRLTTNNQR >Sspon.04G0009660-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:28732962:28736431:-1 gene:Sspon.04G0009660-3D transcript:Sspon.04G0009660-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSGSSASLTDEQGCLESPAMKCRSDSSGGGDDHRAPAPAATGVGGSPKKQRTEEPSPSSSVAGECLSSSTQAPPPLPLAVQLPQDARVGEPPAPPPGSESGGGEQVRVPDLGEDLVFEVLMRAEARTLAAAACVSRGWRVLARDERLWEAACLRDWAYTGFSEQMLRTVVLSLGGFRRLHEMYIRPVQQRAAGAPPPGQQQRRQLPVRMGRDQVQVSLSLLSTSFFLNMPNAPPPPPKDKDKDRDKNGGGQCNSDKVYKIASLFRLRLRVSRDEFMEKVTKTVDSEELSVEQRNLLSVSHKNVFGAQRASWRIISSVEQKEEGHGNEDHVTLIKDNRSKIEVASTKVCDGILKLLDSHLVPSSTAPKSKVESTCIRYHVEFKTGSEKKDAAENTMSCPPSHPIRLGLVLNFSNSPDHACNLTNQAFDEAISELDTLSKESYKASALIIQLDPVDFPHLGFPTP >Sspon.03G0002130-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:5159737:5162556:1 gene:Sspon.03G0002130-1A transcript:Sspon.03G0002130-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEKESTSIPLSQAAEAVDPEDPAKSPPRPSSPTTSTRKACCAVLQSWVSRKFMTGCVVLFPVAVTFFITWWFIQFVDGFFSPLYAKLGVDIFGNPCLGFLTSLVFIFLVGIFVSSWVGSTIFWVGEWFIKKMPFVRHIYSASKQVSTAISPDQNTTAFKEVAIIRHPRIGEYAFGFITSTVVLQTDKGDEELCSVYVPTNHLYIGDIFLVNSEEIIRPNLSIREGIEIIVSGGMTMPQVIASLEPMPRKSQNIRLNRM >Sspon.08G0021970-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8C:46924472:46925164:1 gene:Sspon.08G0021970-2C transcript:Sspon.08G0021970-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALIPIKQLDSPCGYLRWKESVLLRVHTLGVARVLFEDRPTGDGDDAAAQAAAKKWARDDAVCRGHILATLSDRLLPDYQRFATAADLWRALARTYHVDTPRVWRDKFDAFEFEEGAEGVLLEQIAHAEALGVAARLPEGLVAYELCGRLPEVVSDAVVMRSGPDNEMTMSLVWDVARRVVASGVGPERLWRTTRVMADEAEGCCSDGPKPEQSTGRRNRGEPGHVAKNSR >Sspon.04G0006890-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:19755894:19756395:-1 gene:Sspon.04G0006890-1A transcript:Sspon.04G0006890-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTTVCSMCGDVGFPDKLFRCARCRRRFQHSYCTNYYGDAAPAEAGAGVCDWCLSDDVVGGGKKRQRSSASGCGKQQHPQVGEEAGSSAQESASFPPSGCSKQGAGKVTGGSEHGEGGRRPRRYKLLKDVLC >Sspon.04G0029720-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:76393576:76393731:1 gene:Sspon.04G0029720-2C transcript:Sspon.04G0029720-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RRWRWALKKNAFKGIHSQSVSQVAFVQVLATAVARIEATPVASACYSAALAA >Sspon.06G0020570-1P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6C:10484766:10485417:1 gene:Sspon.06G0020570-1P transcript:Sspon.06G0020570-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPQRGEEQLARRAGGGGGVNDDAGAAACLCPAAIAGAARGSLFPPHHALEQEVLRRAELQLHVGGGGGDRRRERKMKNRESAARSRARRHAYVSELEKEVSLLQAENDELRKLCDEVRVAGLFLFGLPPSASARAADGGRGGGGARDEQSSSSPAAAEDVLRATLASALHPSIRSSQLPGLERRGCHFRTAGGEG >Sspon.06G0004710-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:14995614:14998004:-1 gene:Sspon.06G0004710-1A transcript:Sspon.06G0004710-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLNEVSAAVSVLLGFAPSTSLPAISSSKLNKVLLPNPFDRPRAVFLVQIAGSDDTDDLIVIHSDESLDLSRSDYLDNEFSSLANWLEGSYQKSSGKLVIPLESGNSLTLLLDKEADMEFVSSLASLLKTIERAIQALENEYGSTEIVKQATEIVQRAVTKSFQSLHEAYKGKIVGLVISTKEASSSLGSIIDAPSSLHISRRLEEASKTKEASVALVRKSLAWITGIILLVSTLIGVCLLMNMPLTRDTLLYSNVKID >Sspon.01G0019810-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1A:73824942:73826833:-1 gene:Sspon.01G0019810-1A transcript:Sspon.01G0019810-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VSSWQLPPEVCEILKNAESGSLKEGSTSVQDAATIENKGVISIDANTPAIQTGGRDSLPLRQTVAPASPSALDLIKKKLQDAGASSAPSALATSSAASELNGSRPADAALKGQQVANNGEKSKDNNGDVNMSDSSSDSDDEEHGPSKEDCICQFKEMLKERGVAPFSKWEKELPKIVFDPRFKAIPSHSTRRAIFDHYVRTRAEEERKEKRAALKAAVEAYKELLEEASEDINQKTDYQEFKRKWGADPRFEALDRKEREVLFNEKYDQPLS >Sspon.05G0020390-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:86677533:86685824:-1 gene:Sspon.05G0020390-1A transcript:Sspon.05G0020390-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AMADSPPPPPPPAATTITALGDDILREIFLRLPAVTSLARVAFTCRAFLRAVRCSPAFRRRFRELHAPPLLALFVPSDVCAIVPAAAGKGSDIAAAFAGLLQDSDASWWRSNHYYDWYASFINQATHQCASYSPHLQALPKNVRDRDSFLEFHTLSPDGEEKPPSRVVCVHRERAWAWARVAVFSSDTMEWQTRQYATGMVVNGFVCWVHHGAGCILALNTATFQFSRMYLPPLWKVPSSMYQLGHTKDGKFCVVNVLQCMLSVWLWAADGDGVGRFMLHKTFSLRANVSEITECSEEADVRMRPMVVINGFVYLSLITDYYGDPPSPEWFLSFCLGTAQDVETEVTGNISEDDDPMSTEEASPVLFTALQLFKEKLIDDGNLNFAEIEFFVPDDERNSLLSRLATFESGLAAARDRVLRIGTEPNSVNGMELVTSESELAAARDSVLRTGLTKL >Sspon.01G0036960-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:19894370:19897254:1 gene:Sspon.01G0036960-1B transcript:Sspon.01G0036960-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding QTTHQLILVKIRRIKTLGDPNSTGSTLWSKPACPERRELASYLEKRDVENAKMNMNCMSQELKNSCQETGESLVYSEGRITSTTQKQNSVHRFTPSEPGLKKTTMPRNQQALLRPWLWLLLTNCPLCSPKGGRERLVCVEREGGKVRKRRGGEVVWGGVSVKVAPPTGTVVFDYGKQQRLGRDRSMKKREMKGIPSIQGT >Sspon.03G0040840-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:23808759:23809950:1 gene:Sspon.03G0040840-2D transcript:Sspon.03G0040840-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKAHCPLSKTVALSATTTRHKRAFATIQGQPIKQLLRPQMLAGISRFLTRRRPPFPLAATAAAAAAAMSSSSTAANVSDRPISPDTTRVAWVGTGVMGQSMAGHLLSAGYALTVFNRTASKTQGLVSRGASLADSPRAAASAADVIFLMVGFPSDVRSTALDPSTGALSGLAPGGILVDMTTSDPTLAAEIAAAAAAAGCSAVDAPVSGGDRGARNATLSIFAGGDAAVVARLAPLFKLMGNALYMGGPGAGQRAKLGNQIAIASTMVGLVEGMVYAHKAGLDVAKWLEAISTGAAGSKSLELYGKRILERDMAAGFYVRHFVKDLGICLSECQAMGLSLPGLALAQQLYVSLIAHGEGGLGTQALILAVERLNNTSLEKKDE >Sspon.06G0003990-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6A:12093555:12093947:1 gene:Sspon.06G0003990-1A transcript:Sspon.06G0003990-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLTAIVLLMLMLAAATAGMVTDAGGNPAGRQQAKARRSRFLLANSPSPYACSKKSAAAVCFAPGSPGATCCGGQCVDTVASADHCGGCNKVCKHDRRACCGSRCVDLLSDKDHCGACGNQCSKKCSNGF >Sspon.05G0007170-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:22471206:22475926:1 gene:Sspon.05G0007170-1A transcript:Sspon.05G0007170-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LAINQKSGKIKDGSNGDVASDHYHRYKSALQEDIEMMHSMGLDSYRFSLSWSRILPKGRFGGVNPAGVKFYNSLINGLLGKGIQPFVTINHYDIPEELEERYGSWLSPKIQEDFTYFAELCFKMFGDRVKHWVTFNEPNLMVKLAYFVGGFPPSHCSEPFGKCDSGNSTTEPYIAAHNMILAHAKAVNIYRKNYKAKQGGSIGITLHMRWYEPLRNIKEDHLAVSRALSFDTAWFLDPWFFGDYPHQMRQILGPNLPKFTEGEKQLLRNKIDFIGINHYDTFYVKDCIYSLCDLDLYTVEALVSESTERNGIPIGKPTPANSYVVPSSMEKLVMYLEQRYKSIPLYITENGGLLGYAQISNSSTTAEELINDTERSCYIRDYLTYLSFAIRKGADVRGYFVWSLMDTFEWNSGYTVKYGLCHVDFKSLKRTPKLSAKWYMLIWSKDCVVQYLELRHLLLLLRLPLPDDVEHLAHHRLDPLRERLREGLPEEEGIKDGLALVVIYATTPASAH >Sspon.02G0013350-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:38137972:38144993:-1 gene:Sspon.02G0013350-3C transcript:Sspon.02G0013350-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSNSASLPSTQESIQDEQVEITEDSASIASIISRKDAATQTSPELSRSSSPNNRPTFNCSLSTEQVKDSGSCSSDLDIRDVQMDDRVTLTRWSKKNVTRSSNKNSTNIIEWKEKTVESKSPSWGFAEAKCISKEDTKITAWENIQKAKAEAAIQKLVIKLEKKRSSSLDKILNTLKSAQRKAQVMREREHDAVTANQDGKGSRKANRRAQLRIRIRDIPSYSLHHLHVLHIKIWMKFRDPSRLEITDSDLSKMLAAGLSCYDRNNAISTVLLFVSFDSAKGTRKWSTKERRNGSAYTPCAPLMPPLSLCHCLVFCTFIKKEANDNFVIHSKERNIPTLSIENTERITNRGNREDNIILQGEIAHDWNLSKSLQTLQWG >Sspon.01G0030500-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:104692840:104704470:1 gene:Sspon.01G0030500-1P transcript:Sspon.01G0030500-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGALSRPEEVLALVKLRVAAGQIKRQIPPEEHWAFGYSMLQKVSRSFALVIQQLGPELRNAVCIFYLVLRALDTVEDDTSIPTEVKVPILQEFYRHIYNRDWHFSCGTNHYRVLMDNFHHVSTAFLELGQGYQEAIEEITRRMGAGMAKFICKEVETVDDYDEYCHYVAGLVGYGLSRLFYAAGTEDLAPDSLSNSMGLFLQKTNIIRDYLEDINEIPMSRMFWPREIWSKYADKLEDFKYEENSEKAVQCLNDMVSNALIHAEECLQYMSALKDHAIFRFCAIPQIMAIGTCAFCYNNVHVFRGVVKMRRGLTARIIDETNSMSDVYTAFYEFSSLIESKIDDNDPNAALTRRRVDSIKQTCKSSGLVKRRGYHLDNSPYKPMLIMIVLLLVAVLFGVLYTK >Sspon.08G0021670-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:41836423:41836626:1 gene:Sspon.08G0021670-1B transcript:Sspon.08G0021670-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKESSSGVVNIKGIEPAAFKAMLHFIYTDTCPELAQQHGSDIGRQESMAIAQHLLSAADRFGLDTVA >Sspon.08G0000200-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:774816:777155:-1 gene:Sspon.08G0000200-1P transcript:Sspon.08G0000200-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSSPSTSSRSLLATRPLFSPSRSRRPPPSTSTPPRLSWLRPPSAYFYSYSSPSASASAFNGWADLAAAPDTPFPFPFPLQPTTHGLLVLLPAAALALSLSLARLPPLPILAAAFTAGFAARHLATRIPRRLSALLADLDAQARALRDELLSDARPGLLVQAVDRLRDAVADAATVAAQQGDTGRTVSDALGPLWEVADYLGAWARHAVRDLSLSSPRKKPAKSNNTSDVQVVANPNNQATAAAAQQQQEIGNNKPADSTLQQEPFAFGPSIVDNANGRPLDMLQFDEDDNGSKDAGTGAQATLGVGDARLERLVSKHRHRRDSVQNDGPFQGGSTRFSAESMESSLLERTLEIRDRSYRFKIERRGGDGVSQVSEAQDRADDRFVVDNAASLHPVYDDESASADSDGEEFSRNIKEAAEILRKARESMMAMADEETADALLYKSARLLSTAVALRPTSLVAVGQLGNTYLLHGELKLKISRELRTLLANSGAFLNGRERVSQSRKVDRRILSRESISSALVGVCEECESLLVEAGRSYRMALSIDSGDVKALYNWGLALIFRAQLLADIGPEAAVDADRVYLAAIDKFDAMLSRSNTYAPEALYRWGTALQQRSYLRPRNSRDKVRLLEQAKSLFEDVLYVEADNKMVREALSSCISELNYHGRWL >Sspon.04G0009310-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:27695286:27696001:1 gene:Sspon.04G0009310-1A transcript:Sspon.04G0009310-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKALLVLALLLAATFLVASANEQAQAKEEKKAEVQDWHGGGGYPGGGGGGGGGYPGGGGGGGGGYPGGHCRWGCCNRGYHGGCRCCSHPDQIPEPMYRPELVEVHN >Sspon.02G0019800-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2A:64415240:64415485:1 gene:Sspon.02G0019800-1A transcript:Sspon.02G0019800-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVGTRLLLALGVRASSIAKCSHSSGVSADTVVEYTRGRPAHVNCPRALSPPGPDGRPALASQRTARDALKRRKDENSRI >Sspon.04G0016440-4D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4D:69477195:69480156:1 gene:Sspon.04G0016440-4D transcript:Sspon.04G0016440-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNSDFSVDGVLTDFPVTASGAVACMAHSKGKPLPPPGKSRPLIITHNGASGMFAGSTDLAYQEAIKDAADIIDCTVQMSKDGTAFCMHSADISSSTTAATAFASKASTVHEIQNKSGIFSFDLSWSEIATLKPALISPFAQAGLQRNPLAKNAGKLMTLPQFLDLAKASNITGILIEIEHASYLAKRGIGMVEAVSSALTKAGYDKETKQQAKISGASKPSVEDIKKFADTVRIHRNSVAQITGYFMTHFTDTVGSLQAANLTVFVGVLKNEFMNLGFDFFADPTIEVATYAFSVVADGIVTDYPATASSYFRSPCSDMKLNLSYSILPAQPGALVNLAAPGMLAPAGAPAPLLQPTDVVDPPLPPVKAVIAADAPAPGAADNTSSAFNSNAGTGL >Sspon.01G0000370-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:1934642:1935415:-1 gene:Sspon.01G0000370-2P transcript:Sspon.01G0000370-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVALALALAFLVGGAWCGPPKVPPGKNITATYGSDWLEAKATWYGKPTGAGPDDNGGGCGYKDVNKAPFNSMGACGNVPIFKDGLGCGSCFEIKCDKPAECSGEPVVVYITDMNYEPIAAYHFDLAGTAFGAMAKKGEEEKLRKAGIIDMQFRRVKCKYGEKVTFHVEKGCNPNYLALLVKYVDGDGDIVAVDIKEKGGDAYEPLKHSWGAIWRKDSDKPLKFPVTVQITTEGGTKSVYNDVIPEDWKPDTAYTAK >Sspon.07G0025390-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:33934176:33936425:-1 gene:Sspon.07G0025390-1T transcript:Sspon.07G0025390-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGLVGGSTARAEHVVANAGGETEYVRRLHRHAPAEHQCTSTLVKHIKAPVHLVWELVRSFDQPQRYKPFVRNCVVRGDQLEVGSLRDVNVKTGLPATTSTERLEQLDDDLHILGVKFVGGDHRLQNYSSIITVHPESTDGRPVTLVIESFVVDVPDGNTKDETCYFVEAVIKCNLKSLAEVSEQLAVEPPTSPIDQ >Sspon.02G0031580-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:115275694:115277713:-1 gene:Sspon.02G0031580-1A transcript:Sspon.02G0031580-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKHMYRNGCKPNTVTHTALLSGLCKVGKSSEAWELLNKSEEEWWTPSDITYSVVMHGFRREGKLKESCDVVMQMLQKGFFPTTVEINLLIHALCKERKPADAKDFMEQCQSKGCFINVVNFTTVIHGFSRQGDLESTLSLLDDMYLTNRHPDVVTYTVVVDALGRKGKMKEATALVEKMLNRVEDLLNLLDKMLTKQGFSSAYNQVIEKLCAFGKLSEAYNLLSKVLRTASKRDAQTCHILMDSFLNRRLSLQSYNVACRMFQRNLIPDLKLCQKVDSQLALVGEKQAAGKLITKFVERASNSSLKSVNMHNGEEVTVNL >Sspon.02G0003540-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:11342734:11346364:1 gene:Sspon.02G0003540-1A transcript:Sspon.02G0003540-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha 1-2 subunit of 20S proteasome [Source: Projected from Oryza sativa (Os07g0614100)] MQVGEVSSGGSSHRGAGASGTVSEDRRVTVFSANGRLYQDKLLDMTSVSRLFPITERLGLLATGIVGEGRALAHEARNQTADFRFKWGYEMPPDVLAQWIADRAQIWTQYGSKRPSGVVAMILGIDDEKETPQLFTCDPAGYFFGHKAASAGQRDREAVNFLEKKMKNNPSLTFQETIEMAISALQFALKEDLKATEIEVGVVRTDDPTFRVLTEAEIDEHLKAINPGKAELKAKQFLSY >Sspon.05G0014270-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:44985290:44993836:-1 gene:Sspon.05G0014270-3C transcript:Sspon.05G0014270-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVPSSALPWWLATTACTAPPTPAASSIPGRLAFLFLSPCPQRALLAALDLLFLVAVTLRLSRRRNDDGPDLGHRAREPLLAKPATTDQAPAPPPLRFRHGLALAASAVQAAAAALLLLLLLLAQFLRRSGRTTAGLVAAECAFLAAHAVAHLAAAWVVAAEKNKQAAGAPRPARVHPLHLRLFWLATAAFAALFAGCAAARYAAAEPLVPDDPLAFAWLALSLPLLYFSATGSTGLIVDADGGGGRAAAEETYATASWLSLATFGWINPLIAKGSRATLAADQVPPVAPPDTAEAAYALFASNWPAPAPGSSKPQRPVLTALLRSFWPQFLLTAVLGVAQLSVMYIGPSLVDRFVGFVRRGGELTEGLQLVTVLLVGKAAETLASHHYEFQGQKLGMRINAALLAAVYRKSLRLSTGARRAHGAGVIVNYMEVDAQEVADVTHQLHNLWLMPLEIAVALALLYTHLGPAVLTAVAAIAVVTVVVAFANKLNIEYQFKFLGKRDERMKAITELLNYIRVIKLQAWEETFGDKIRQLREEELGWLAKSMYFMCANTVVLWSGPLAMTVLVFGTCVLTGVQLDAGKVFTATAFFRMLDAPMQSFPEAIAAVTQATVSVGRLDRYLLDAELDDSAVEHVDDTGIDTSAVVVEVRDGVFAWDMRGKKQSEEGEDGESEEEKDVEGAPVLETVLKGINVEVRKGELAAVVGMVGSGKSSLLSCVMGEMEKISGTVRVCGSTAYVSQTAWIQNGTIQENILFGQPMHAERYKEVIRSCCLEKDLEMMEFGDQTEIGERGINLSGGQKQRIQLARAVYQHCDVYLLDDVFSAVDAHTGSNIFKECLRGTLKGKTIILVTHQVDFLHNVDSIFVMRDGMIAQSGKYDELLEAGSDFAALVAAHDSSMELVEQRCQVEKPEHFQPTAVVRIPSLRSRSIGKGEKVVVAPEIEAATSKIIQEEERESGQVSWRVYKLYMTEAWGWWGVVGMLAFAVVWQGSDMASDYWLSYETSGSIPFNPSLFIGVYVAIAAFSMVLQVIKTLLETVLGLQTAQIFFKKMFDSILHAPMSFFDTTPSGRILSRASSDQTTIDVVLAFFVGLTISMYISVLSTIIVTCQVAWPSVIAVIPLLLLNIWYRNRYLATSRELTRLEGVTKAPVIDHFSETVLGATTIRCFKKEKEFFQENLKKINSSLRMYFHNYAANEWLGFRLELIGTLYSCPVILSRKTDVCYAFAEFVGMSLSYGLSLNSLVYFAISISCMLENDMVAVERVNQFSALPSEAAWEIEEHLPSSNWPTHGDIDIKDLKVRYRSDTPLILKGINISISGGEKIGVVGRTGSGKSTLIQALFRLIEPAEGKMIIDGIDICTLGLHDLRSRFGIIPQEPALERCQLKDVVVSKPEKLDAPVADSGENWSVGQRQLLCLGRVILKQTQILFMDEATASVDSQTDATIQKITRQEFSSCTIISIAHRIPTVVDCDRVLVLDAGLVKEFDSPSRLIEQPSLFGAMVQEYANRSSNL >Sspon.02G0018280-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:60578945:60583899:1 gene:Sspon.02G0018280-2B transcript:Sspon.02G0018280-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSQSRLCFWLLLLLVHFSRSASRATAAKVSAFIVFGDSTVDTGNNNFIPTIAKADFPPYGRDFNGGVATGRFSNGRLVTDFISEAFGLPSTLPAYLDPSYTIDQLAKGVSFASGATGLDDLTAKFTSVIPLSQQLEYFEEYKARLEAAKGESVASEIIAEAAYIFSIGTNDFILNYFTLPIRPVQYTPTEYVSYLVSLAEAAARDAYHLGARKIGLSGLPPFGCLPLSRTRNHREPGECNEEYNQLAMRFNAELQDAVTKLNGDLAGVLVVYVDIYSVLSDIVANPSDYGFENVAQGCCGTGLIETAVLCGLDEPLTCQDVDKYVFFDSAHPSEQVYRILADKILKNKRAFVLLL >Sspon.01G0055090-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:69195890:69200755:1 gene:Sspon.01G0055090-2D transcript:Sspon.01G0055090-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEERLEAAAADEYTQDGTVDLHGHPVLRSKRGGWKACGFVVVYEVFERMAYYGISSNLVLYLTTNLHQGVVPSANNVTNWVGTIWMTPIIGAYVADAHLGRYRTFVIASVIYLCVSRRRRRLVGVLFVSASSVSSGMILLTLAVSLPALRPPKCGAGTADPNCVQQATTGQLGVFFLSLYILAVGTGGTKPNISTIGADQFDDTHPRERSHKLSFFNWWMFSIFFGTLFANTVLVYIQDNVGWTVGYALPTLGLAVSIAIFTAGTPFYRHKPTSESPFAKMARVIVAAARKLAVSVPLDPRDLHELDDEYYAKKKTVPLPHTRNLNVLSKAAVKTGTGGEEDPWSLSTVTQVEETKQMLKMLPVLAITFVPSAMMAQINTLFVKQGTTLDRHIGPSFEIPPASLQGFVTISMLVAVVLYDRVFMPFARRITGNPRGISLLQRMSVGLVIHIIIMGIASVTERHRLAVAHEHGLYDSKNTTIPLTIFVLLPQFVLMGVADAFLEVAKIEFFYDQAPEGMKSLGTSYAMTSLGIGNFLSSALLSTVSHITERHGRTGWILNNLNASRLDKYYAFFAILNCANLVAFFFVCRFYVYNAEVSRVVDAGSTKQKREVAMQAPASVGAVEATL >Sspon.01G0023340-1P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1A:84501822:84503637:-1 gene:Sspon.01G0023340-1P transcript:Sspon.01G0023340-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAADIRLSIAGQTRFALRLTAALSSNTAAPARNTAFSPLSLHVVLSLLAAGAGHATRDQLLTALGGGDEPVAAEILHALSEQMVQLVLADGSGAGGPRVAFADAVFVDASLKLKSAFEDVAVGKYKAETHSVDFREKAAQVAGEVNSWVEKVTSGLIKELLPPGSVDQTTRLVLGNALYFKGAWTEKFDASQTKDGEFHLLDGGSVQASFMSSRKDQYIASYDNFKVLKLPYQRGEDKRQFSMYILLPEARDGLWSLAEKLSSEPEFMEEHVPTRKVPVGQFKVPKFKLSFGFEATDLLKGLGVELPFSSEADLSELVHLPAGQKQNLCVSSIFHKSFVEVNEEGTEAAAASAVVVMFCSFSMPTDFIADHPFLFLIREDTTGVLLFVGHVVNPLLAP >Sspon.03G0015650-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3A:49833661:49834631:1 gene:Sspon.03G0015650-1A transcript:Sspon.03G0015650-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LINHGVPDEVIQDVKRDMIEFFKLPLEAKKVQAQVPGGLEGYGQAFVFSETQKLDWADMIYLMISPKESRDLRFWPTRPPSFRDSVDRYSAETAKVTACLLRFMAADLGVEPERLLEAFRGQPQSMRTTYYPPCRQAADVLGLSPHTDATGLALLLHVNDVQGLQIRRDSKWHAVDPLDGAFVVSVGDILEILSNGRYRSIEHRAVVHPDKERISAAMFHQPCPNTTVGPLPELVNRDSGGAWYRSMDYMDFMKNFFASKLDGRRSHMDAMRIY >Sspon.03G0033770-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:57853481:57863942:-1 gene:Sspon.03G0033770-1B transcript:Sspon.03G0033770-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding QKKSPQLRGVYEFLRGKILDVFPDYHKEAEDHLSKAVKLNPSLVDAWLCLGNCIWKKGDLSAAKNCFSLALGKGSDKKILYQISMLERSMAQGSADQALLVEESIKHAKEAIMLDIRDGNSWYNLGNAYLTSFFVGGAWDHTKLHHSVKAYQNAEKDKTMNLNPDLYYNCATADKYLENYERAFRGFEVAALKDPGLGADTEVQKIISLLDKLENAM >Sspon.02G0053070-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2C:99264105:99265197:-1 gene:Sspon.02G0053070-1C transcript:Sspon.02G0053070-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VKKSTAVQLRRKFDLASFNDGKTVEDYALRLQGMVVTLTTLGEVVDESKIVEKIIRSVPARFNQIVLAITTLLDVSTMSLTDLVGRLKATEDSFEEAPTSLQHDGRLYLTEEEWDERRKKREAENRSGAGSSAGSGSGSTRRGCGRGRGRGCGGPLAPNGKPNGDECRKCGKMGHWARECRSKPKKEQAHVAREEEASLLLVRASSSSSPAPAETQPPPPSPSAGVARASASAAGARIKPPPLPSSPSSTAGAGVASSGAAGAQIQPPPSSVASASVGVASARIQLREQKVLAHLEEEGDRDTKTWVLDTRATNHMSGCREAFAELNSEVLGTVRFGDDSVAQIEGRGTVTFVCKTGETHSFTG >Sspon.03G0031670-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3C:37629390:37630313:-1 gene:Sspon.03G0031670-2C transcript:Sspon.03G0031670-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding QRRPKRRLRLVARRTTSSDTGGGHAASASAAPPTSPSPAARAASSTRPSPPSPAHPPGPRVSPPRAALLPSPCPRASLPRLLRPPLPLPTPVATSSSPAHTPVPSPLAAAACFALLLPSSHLLFLSAHPSPSSPSVHLRAYSLASAPAFPRFAPVSLSFKRHASAAGLPLQGLPFGLGVRLAGGVNAVALLSLSTGQIWVLAPKLAADGRTVELHKCAVVELEPARPVYAMEVAMGRLLLGEAGGLRVFPLRGLMKGGKEREGKKEVAVAVGRKGCHKKNGMLNGLVVPVKRVSYGGSDEGDVVSTR >Sspon.07G0023800-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7B:19443113:19444908:1 gene:Sspon.07G0023800-1B transcript:Sspon.07G0023800-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPATPSSTCPPLPACPRRPDPYPIPVNSNGQKNPHPCPVGPKLSTFFLTIVSIPCFLAARASLQLASPCGLRRTGAALSTPSCAGTTTSTARPSSSAALPAAISLKHPHSSNALASAFSLSAVHLEPEHESRPHTSSLRSNACGHGPLPRTAAEPAPSLWSLNRSKAAPMLIASKS >Sspon.02G0000090-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:613562:614404:1 gene:Sspon.02G0000090-1A transcript:Sspon.02G0000090-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGMDPGGAGSSRYFHQLLRPQQQQPSPLSPNSHVKMEHHKMSPDKSPVGGEAEAGGSGSGGGDQPSSSAMVPVEGGSGGGGSGTGTPTRRPRGRPPGSKNKPKPPIIVTRDSPNALHSHVLEVAAGADVVDCVAEYARRRGRGVCVLSGGGAVVNVALRQPGASPPGSMVATLRGRFEILSLTGTVLPPPAPPGASGLTVFLSGGQGQVIGGSVVGPLVAAGPVVLMAASFANAVYERLPLEGEEGRQLRLPPGPNHKIMWRSRLDPQASSRRRLSPLV >Sspon.03G0020530-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:83000117:83004318:1 gene:Sspon.03G0020530-3C transcript:Sspon.03G0020530-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGILSLITGKAGASGFGSASTAEQVTDGVDASRLTVVITGGASGIGLETSRVFALRGAHVVIAARNTEAASEARKIIMEKNPTARIDVLKLDLSSLKSVRAFADQFNSMKLPLNILINNAGVMFCPFQLSKDGVEMQFATNHLGHFLLTNLLLDTMKATAKSTGIEGRIVNLSSVAHHHTYPKGIDFDKLNDEKIYNDKMAYGQSKLANLLHAKELSRRLKEEGANITVNSVHPGLIMTNLMRHSFVLMSMLSVSCFPTENICSQGAATTCYVGLNPQLKGVTGNYFADCNVEKTSKLARSEELAKQLWDFSEELIKSAK >Sspon.04G0018290-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:69357834:69360972:1 gene:Sspon.04G0018290-2B transcript:Sspon.04G0018290-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGNLVMKKAIRPSSFDLDIKIDQSWMEDVACPICLDFPHNAVLLRCTSYEKGCRPFICDTDQTRSNCLERFKGAHGLPANVKVSSRTVAPLNSIHIISSNANNRPACPLCRGDVIGWFVIDEARLHLNQKKRCCEESCCSYVGNFHELQKHTQQKHPNSRPSEIDPARQVDWENLQQSSDIIDVLSTIHAQVPNGIVLGDYVIEYGDDEAGDDYEVYHRVRANWWTSCIFCKAFCRSTGGGRRRTRTRERRSSEARNSNRSSQESFSLEVPTRSVDMREIRFDEIDDEYIVRGAMTRAAVSRRMAAHYSNAEDQLPVMEILVSQIASVKYSQLL >Sspon.01G0054010-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:46682621:46687066:-1 gene:Sspon.01G0054010-2D transcript:Sspon.01G0054010-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDGRASGANRPAWLQQYELIGKIGEGTYGLVFLARLKPSHPAPGRRGPPIAIKKFKQSKEGDGVSPTAIREIMLLREINHENVVKLVNVHINHADMSLYLAFDYAEHDLYEIIRHHREKLSSSINPYTVKSLLWQLLNGLNYLHSNWIIHRDLKPSNILVMGEGDEHGIIKIADFGLARIYQAPLKPLCDNGVVVTIWYRAPELLLGGKHYTSAVGILAWRAKTYLFYLLILKLFIDMWAVGCIFAELLTLKPLFQGVEAKNTPNPFQLDQLDKIFKVLGHPTVEKWPTLANLPWWQNDQQHIQGHKYDNPGLHNIVHLAPKSPAFDLLSKMLEYDPRKRITAAQALEHEYFRMDPLPGRNALLPSQPGEKIVQYPVRPVDTTTDFEGTTSLQPTQPPSGNAPPGGQSVARPMPRQMQQQPMVGGMPRVAAGANMAAFNASQAGMAGLNPGNIPMQRGAGGQSHPHQLRRKADQGTGMQPNPGYPQQKRRF >Sspon.01G0038870-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:16909864:16911198:-1 gene:Sspon.01G0038870-2C transcript:Sspon.01G0038870-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospholipase A2 family protein [Source: Projected from Oryza sativa (Os03g0261100)] MANRGSKDCSRTCESSFCIVPPLLRYGKYCGILYSGCPGEKPCDALDACCMVHDHCVATHDNDYLNTRCNENLLSCLDRVSPAGPTFPGNECGVGQTASVIRGVIESAVLAGKILHKRDDGQ >Sspon.03G0030220-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:19946372:19951964:-1 gene:Sspon.03G0030220-1B transcript:Sspon.03G0030220-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHEQRRQRQQHSPRGNAPGSGRCGTSSGKHKGGGGKKPIKVVYISNPMRVKTSAAGFRALVQELTGRDADPSKYSPEDLAGAADATVAAADLDCAAAQGLSPGGAAASSETIVAIPSPAAADHLPDAAAEAAPHGADYYDEEEDADGFGSQLLENNYTVFSPPTLLYDHHPHSKAKRDESQQAEMIV >Sspon.04G0022490-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:10777379:10793195:-1 gene:Sspon.04G0022490-2D transcript:Sspon.04G0022490-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding APPPGASSPPLAGAAILPLAVSIPFPPPPLHQQRPHLCFHRRVRRRQRPLVLFRRRLPREQVQPLPQRGRARGAGALRHQVSLEARRRARLPRRRARALPTAHCRRSGPRSDDTHLQRAKDARAAHGRAPRARVHHVPDGPDRRALRRGRVPLTRARLQCPVLAPVSAWSRRQARRRAQGQPGPPHRRPPDGQVHHRAAPRGRHPHRWRRRLVRHLLLLQAACREPGRGRHRPGARRRVRRAAQDAGVQGRDHRGIQRHSGAARLEGSVLQGRARVDGGAGEDGGCEDADAADGLRGADPEELGVPDHGGRDGRGARRQLPGAAEVRPGGAARAAVPGDEGAAGAAAVARQGLQQHCGHRGGGFRGQVHQAVSCQSPKLGQDLRGRRYREGRTVMIVLFRNLSQFLSWSCFYVVAMDDERIAKCCRGFGADVIMTSESCKNDFACKVEADSMLGSADVSENCNFKNR >Sspon.01G0001010-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:3273390:3275091:1 gene:Sspon.01G0001010-1A transcript:Sspon.01G0001010-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxisomal protein, Response to salt and low nitrogen stresse [Source: Projected from Oryza sativa (Os03g0117100)] MSSLDATRAELGLLVLYLNKAEARDKICRAIQYGSKFISNGQPGTAQDVDKSTTLARKVFRLLKWVNDLHALISPPAEGTPLTLVLLGKSKNAMLSMFLFLDQFVWLGRTGIVKNKEATDRVARISLYCWMASSACAGLVELGELRRLSRSMRKLARELRDTDKYENEQYQNKMKQSDERLLALVKAAMDVVVAIGLLQLAPKKVTPRVTGAFGFITSLISCYQQLPSRAPVGKFKV >Sspon.02G0057870-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2D:66485838:66486158:-1 gene:Sspon.02G0057870-1D transcript:Sspon.02G0057870-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VTLEGGYDKVRTPRFQGFGLIRSASTRPRPGLDPASTPNTTLQGSKDGMAQRSGSFRRLVLCNTMASRDGLPFLGHVAIVTSTVIDKTSPQAIRSPGLPFSYKRAG >Sspon.03G0026590-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:2122514:2128965:1 gene:Sspon.03G0026590-1P transcript:Sspon.03G0026590-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAVVGLLVGKLGAALLKEAATSSASLLCHEVSALKGIFTQIREAKDELESMQVYLKGAERFMDTDETTSLFVFEMEDVVDEFTYMLEDKHGGLAARTKKRIKHVKTWHRLACKLQDINGRLQGAKQRNQQYAMDRNAGGSAFHVNQALNFTRDEDLVGIAENKQKLTQWLAGDLEQRCKIATVWGMPGVGKTTLVAHVYKTIKMDFDAAAWVTVSQSYDVQELLRRIAREFCIRTDAANMEIGRVAEAIYNYLQGKRYILVLDDVWTADVWSEIRIVFPSNCIGRFVITSSKHEVSLLGTSNSAIHHLEPLEEDLSWELFCKSAFWNGGDRKCPSHLKNLASKFVEKCEGLPIAIACIGSLLSRRGQTYAEWKKTYDELELQLVKIVMPCVETILKVSLEDLPYDLKNCFLLCALFPEDYHIKRRRVMRHWIASGFIKKKGNKTLEEVADEYLIELVNRSLLQVVQRNDTGRLKCCRMHDIIRLLALKKADEECFGKVYDGKGEFSVARIRRISILSGNLDQISPSNASHIRSLHVFESYIDIDLLRPILASSNLLSTLDLQGVCIKMLPSEVFNLFNLRYLGLRYTAIESLSETIGRLQNLEVLDVVNSRLSYLPNNIIKLKKLRYLYACYVCQDFKPVSGVKVPSGIRHLSSLQALQCVEASSEILREVGDLSELRTFSVCNVRSEQSGNLINAINKMSHLVHLEISAVGESEVLRLEGLHLPPTISKLHLQGQPVKTSMHQVLSSWSQLSSLTKLHISFSKMDEESFCSLFVLRGLCHLELMKAVNGKKLHFTAGCFPKLRFLVIWHAPELNGVQIDEGAMPSLARLYFGACHELKFLPQGMEHLTNLEEFALRDTSEELREKLQQKREPNKGKDDLLSIRHIRKVICSRSLWLSVWSEGDAQEEALREMKGNLALLEAQLQGSGKRFFGGDSIAHRLTSRRNHHGGLLVGKLGAALLKEASSYGASLLCHDVSALKGLFGQIREAKDELESMQAYLKGAERFKDTDETTGLFVQRIRGFAFEIEDVVDEFTYKLEDTHAGFVARTTKKIKHFRTWRQLARKLQDINGRLQEAKQLNQQYAVPQMARNTGVSAFHANQALNFTRDEDLVGITESKELLTQWLALADVWSKIRSAFPSNCIGRFVITSRKHEVSLLATSNYVIHLEPLEEDESWKLFCNSAFWDDGDRKCPSHLKDLALKFVEKCEGLPIAIACVEDYPIKRRRVIRHWITAGFIKKKGNQTLEEVAEEYLAELVNRSLLQVVERNYTGRLKCCRMHDVIRLVALKKAEEECFGRVYDSSTEFSIGCIRRISIVSGSLDQISPSDASHIRSLHAFDRYINIDLLRPILASSNLLSMLDLQGACINALPSEVFNLFNLRYLGLRYTAIENLPETIGRLQNLEVLDVINARLSYLPNSIIKLKKLRYLYACYIFRGSEIKPRSGVKVPGGIRHLTSLQALQSVEASSEILRGAKNIQCMQ >Sspon.03G0042000-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3C:48800039:48800993:1 gene:Sspon.03G0042000-1C transcript:Sspon.03G0042000-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTLDISCIQIARTLLVFTHTKSSTEASTHTNDTIKRCIAHERSALLAFRAGLSDPANRLSSWEGDNCCEWQESSGYKDEGRRKGKGIGNLAAASLEPQPAVEFRFWSPSTPSLMYLDLSCNRFSMVKIPEFLGSLHELRYLDLSGSSLVGRIPPPLGNLSNLRYLNLDSTDGYSTDITWLSRLSSLEHLHMSSVNLSTITNWVSVVNKLPSL >Sspon.04G0013980-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4A:51150505:51152549:1 gene:Sspon.04G0013980-1A transcript:Sspon.04G0013980-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAAAGSKRGGARGRKALVAVLDNEANISAGKAAQTADLSGSSAQKKAKRAPARSAKAKAAVAASAVVDDVAELQGMLQRLRLEKEKAEEMVRERDEVIRKKEEEIETKDKEQGRLQAELRKVQRAKEFKPTVSFPLVKSLLEKDQDADDKGKKKKGKGKAGPERKKPCPAYVLWLKDQWTEVKEENPEADFKEMSSTLGAKWKALGAEEKQPYEERYRQEKEAYLQVVGQEKREAEAMKLLEEQQMQWTAKELLDQYLKFRQEAEEGGDCNKGKRKNSKKDKDPSKPKQPMSAYFVYSQERRAALVAEKKNVPEIGKITGEEWKNMTEAQKAPYEKVARKQKEEYHKQMEVYRQKKIEEAANLEKEEEEHKKIMKQEALQLLKKKEKADNIIKKTKEKRQKKKQENADPNRPKRPASSFLLFSKEARKQLLEERPGINNSTLNALISVKWKELNGEERQAWNAKAAPAMAAYKKEMEEYTKAQSSSD >Sspon.05G0009860-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5B:23201981:23203023:-1 gene:Sspon.05G0009860-2B transcript:Sspon.05G0009860-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GGRQALPQAARGQGPSTADNGNSGLPQETEAMERGQMAALLQLMGQDLSGLGVKGLQNLENQLEMSIRCIRTKKGSLIQQGNMELQRKVNLIRQENAELYKKLYEKEAAGEVNRDSTTPYNFAVAENANIPIHLELNTPPQENDVEPPAAPKLG >Sspon.08G0023610-2D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8D:58773846:58774091:-1 gene:Sspon.08G0023610-2D transcript:Sspon.08G0023610-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGMNQSKVLGFQPVLLRYAMVVEVGSYSFRRQDLVGNLGSIRNSNCPEEGKEPPTGYACVSTNSYYMAANKWSRVEEAGA >Sspon.02G0041480-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2B:75915828:75915999:-1 gene:Sspon.02G0041480-1B transcript:Sspon.02G0041480-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSENNDRRRMEAETNSGDPSKSADKKPAPKEPPKDYIHVRARRGQATDSHSLAER >Sspon.01G0043030-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:67376377:67383600:1 gene:Sspon.01G0043030-2C transcript:Sspon.01G0043030-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone-lysine N-methyltransferase ATXR4 [Source:Projected from Arabidopsis thaliana (AT5G06620) UniProtKB/Swiss-Prot;Acc:Q9FG08] MFLRRRLLSTAAAAAAARGPPPIRVSLTESAGRGVFATRPVSSGELLHSAQPLVSHPSHSLLHEWNQPTGLFWAQVCYNCLRRKPWEGRVSSGGYYFCSDACRDHAKVGFHDIDKNVDWSLFDYHCSSRGLKYPYMAKRLACMVISGAANADCLNILQPARLHQGTLIEMEEEFELLESTFRKAGFQEEVTNCILQSLFPLIATILSFLQLNVEKIGSFIEFLSQSSSNLVDFDKINWYINVLARIRINAFRIELVASSYEDLLSSAAASVSCDSSVGNAVYMLPSFYNHDCDPNAHIVWLDNADAKLKALRDIEEGEELRICYIDTSLDADARQKILADGFGFKCHCLGCLSGD >Sspon.04G0000280-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:2278640:2281334:-1 gene:Sspon.04G0000280-1A transcript:Sspon.04G0000280-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPGSPYASSPESAPKRAPRSPPQQQQPPSEEGDDKEKPTHLRFLVSNTAAGCIIGKGGSTINDFQSQSGARIQLSRSHEFFPGTNDRIIMVSGLFDEVMKAMELILEKLLAEGEEFNEADARPKVRLVVPNSSCGGIIGKGGATIKSFIEESHAGIKFLHRITTMLVCMIGLLQSQEPLIIR >Sspon.01G0004090-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:11345401:11349206:-1 gene:Sspon.01G0004090-1A transcript:Sspon.01G0004090-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear pore complex protein NUP96 [Source:Projected from Arabidopsis thaliana (AT1G80680) UniProtKB/Swiss-Prot;Acc:Q8LLD0] MAASTVFPVLRSSEYFTRPSIDELVEREVADPGYCSRVPNFIIGRAGYGQVRFLGDTDVRGIDLNGIVRFEKHYVVVYEDETCKPPVGHGLNKAAEVSLLLSLKDLPEPSILVEVLRCRARKQGSRFLSFNPVSGKWKFEVDHFSRFGLVDEEEEDAVMDEAAVRQPITEVRERDPPSNGHEIELSHSLPAHLGLDPAKMQEMRMVMFSNEEEDEYMEDGFLLTRDMLAEKGFEVKVSLSLARVFSEGWQEIWYAVRKEPQALLEYSMNSSEFGPSTQGILMSGQNRGFPVSMTKVDGFKLPADQETPVAGNMYTNCVVDAALFMGRSFRVGWGPNGLLVHSGSLVNNPGTGLSSVIHIEKVASDKVVRDDKNKIKDDLTELCFSDPMDLHKSLGHEFLETESDSFRLKLQNVKANRLVLPDICRSYIDVIEKQLEVSDLSMSTRVLLMHQVTVWELIRVLFSERATGNQLEPVGDDDQEGMVLDKKEGYVDIDPDALPLFRRADFSNWLQDSVCHRVQGEVGSLNDARYLEHILLLLTGRQLDTATEIAVSRGDVRLAILLSQAGGSMSNRSDLAQTLDQWKMNGLDFDYIEEDWLKLLAGNVQAAFLDSPIDWKRYLGLIMWYQLSPETPLDIIIRSYDQLLGEGKVPYPVPVYIDEGPFDEAPQWSPGGHFDISFYLMLLHANQGEKFGLLKTMFSAFSSSFDPLDYHMIWHQRSILEAVVLFINYFVLGSAIGLSMSFYTCHLDDAPYIHEKLIREVLSQYCESWSRDDAQRQYIVELGIPEEWMHEALALYHEYYGDKQVALENFIQCGNWKKAHTIFMTSVAHSMFLSSNHQEVWKITSALENHKSEIADWDLGAGIYIDFYILNNSMQERNAMDDSDSLEEISESCRSFFGRLNDSLLVWGSKLPVESRACYSKMAEELCTLLVDTPSETLNLPMGCLQTMLNAPVPDEIRSSYLQDALSVFTEILCSDS >Sspon.01G0051270-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1C:13173943:13174197:-1 gene:Sspon.01G0051270-1C transcript:Sspon.01G0051270-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPKQGPYSTFWCQKKNLIQQNPYSSPYFMEAPKSFPQPHLPRVRSELPGAPPQLSLPIAQSTATTATTTTPTSSVNWNDNGCG >Sspon.04G0013030-1P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1A:81948835:81949770:1 gene:Sspon.04G0013030-1P transcript:Sspon.04G0013030-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRTNVMWWALGKHKVPTKYVTLIKDMYRDVVTFVRTCDGDTSDFPIKIGLHQGSALSPYLFALVMDEVTRDIQGDIPWCMLFADDVVLVDDSRAGVNRKLELWRHTLESKGFRLSRTKTEYMRCDFSATMRRETLALMVVAKKDTFRYLGSMLQKDGDIDEDVRHRISAGWLKWRQASGVLCDKRVPQKLKGKFYRTAIRPAMLYGAECWPTKRRHVQQLSVAEMRMLRWCCGHTRRDRVRNDDIQDRVGVAPIEEKLIQHRLRWFGHVQRRPPEASVRSGVLKRADNVKSGRGRSKLTWDESVKRDLKE >Sspon.05G0003400-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:9936474:9940909:-1 gene:Sspon.05G0003400-2D transcript:Sspon.05G0003400-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIIELSSESEGEGFVDLCSDGEDRTVLCSGSTVSCSSDREDDGFGDRSTRFRPPIHSLSLSTTSDENSDEEPIMMEVDSFLSDTRQASSFYRPAENIPATGKISKLTKKDLLRQPITSVIDVQADTGSEVPEKSLFSVDEKVVYEDALQHIQQEKREEDLPEGVLSVSLLKHQRIALAWMVSRENNAHCSGGILADDQVISCFIPFFPVYFNHLSNSLVIGTYFTVMQGLGKTISTIALIQKERLQQSRFMSDDSECGISVGDDNEAVLDMDKKELKAHASRSRAVKAQPKKARVSSTASSLRSTSSPAAGTLVVCPASVLKQWANELSTKVTRSAELSVLVYHGGSRTRYPTELADYDVVVTTYAIVAREVPKENTNDEVSPGNKRKLKKNAKGKAKKINKPGPLAKVRWFRIVLDEAHMIKRYQTQTAKGCCGLSAERRWCLSGTPIQNNIVDLYSYFRFLKYEPYSKFRSFSSMLKDPISRDTSHGYKKLQTVLRIVLLRRTKETLLDGEPIIKIPPKTNQLSKIDFTKEERTFYLRLEENSRQTLKVVTSLHLELSSSSFHILSIRSQGVLKISYRKTTCTSLRCCLNFGRLGEESCAHSLRLAKQLPVVTAVNLLKVLESGAAKCTKCGDPPKDTVAPPCAHVFCSECVHLEILENGKIIEKVCPAPHCGREISAENLLFTDVLKFCLWPNLESEAPTSHSIDVHRPFSVCESSYISSKIRNTIEILNSIINTEDADDTMGSVPSESTPAKAIVFTQWTGMLDLLERSLNSNHIEFRRLDGSMPLNVRERAVKEFNTEPEVRVIIMSLKAGNLGLNMVATCHVLMLDPWWNPSAEDQAVDRAHRIGQTRPVIVSRLTVKDTVEDRILSLQEGKRKMIESALGEDPSGDSPATRLTVEDLKYLFKM >Sspon.08G0008940-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:34674522:34677806:-1 gene:Sspon.08G0008940-2B transcript:Sspon.08G0008940-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPASLAARLLRRTATTSSSPFSALARRGLNSSALVPLPRRLPAASSSSAGTTARRFLASQSPASSYASKSSADENLRRVIESEIECVVQSEESTADKHIDLPDDFPFEIIDNPGDQTITLQREIAGETIKAAIYTNFDTEEDLDDEDDKSDKDEESFKPAIQMVVTIQKPEGPILEFDCNFNDDELAIENMRVLNRDNPDAENVYEGPRFPVLDESLQKALHRYLEVRGFKHSLHDWLYEYMMRKDEKEYVVWLKNMKEFIGGN >Sspon.03G0018150-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:43033530:43038114:1 gene:Sspon.03G0018150-3D transcript:Sspon.03G0018150-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GQASLRPIRRRRRRGPAAVPSPGSAAAAPRLVLPGPLPPSPPPRPRAGTRSGRSRTSRAAASTGSGSAASRGSSRRRAPATLRLRRRRPWSSASTTAGRSTPMATASSPPRGRPRLPRPTRASSGTAPCAGSPRSTPPRGEDDKAAVDAAVRHLYAPDLKAGWGVHVVQEIKVLVPKAQRDALDAAIQELVDLGIQREIAAETIYKERCITVDNGDSWTKYMSISGSAEDEHDIITLQYTEEGLLTIDENRDGRAAAFGDDIAIECLATEFKREVYVVQAHGADAMVDEDNCVFFLPHRPRGEICEPPIFLFMKGTDANMAVPSSNQYNLQNAHQSLFLCFYLVTHPYLTWCGAGADHYEPLISTVLQHVTPDKAAVVLTSEDILRGSYGNEHRYPNPETVCANEICPEVEWTDPEVEAIQTLGSGKNNEEMISNSIRAIKILIEKAHEHEAPIVHDLFDS >Sspon.01G0021040-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:77492190:77495299:-1 gene:Sspon.01G0021040-1A transcript:Sspon.01G0021040-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MERLKSAVPTELRRAVGEGTAADLPATTYRLFAFFDSLPLFHQVMQELTDPELALCRKDKVKAVELKGHGNACFSKREFGEALGYYSQALRHVPINSDGMDVKLVSAIYVNRASTMHAWYRKGMVKTALKNYSSAVHDLEVALSLEVTSSGKNNIEQELKLIFQKHESVNEAGTSNCDSKDEDLPLAGQPHKVVIESISTPNKGRGMASTDDIPPASLIHVEDPLAAFPHKHELKDLVHHYDQHSPANKLESQIYAIVLLLCLQNHYRSDLSWTEDSLSQ >Sspon.01G0006590-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:20752323:20757386:-1 gene:Sspon.01G0006590-2B transcript:Sspon.01G0006590-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAQVAATFLSFLSSSSSTHHPAPSSSVSLGTNPVLPVSLRAAVTGGPRVASRLRGRRVGAAVAQLPTTHPEVVSGEKKIRWSSRAVRSFAMAELEARKMRYPTTGTEGLLMGILVEGTSGAAKLLRANGITLLKVREEAANVLGKSEMFYFSPMHPPLTEAAQRALDWAVNEKLKSGEDGEVTANHLLLGIWSDKESAGHKILESLGFDDEKASLLAKTADQEAAMSPR >Sspon.07G0015770-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:61593976:61599633:1 gene:Sspon.07G0015770-2C transcript:Sspon.07G0015770-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQEDSYQRDMDRHTASHNSNDLLGSSGIQYGLNRGPGTIGGLHSSNSLRSLDEIQNNDLPSNAFASILGSSLSRSASPDPELVRRAPSPSLPPIGVKVGNTDKKINGGSSSFRRSSSAIGESDDLVAALSGMNLSSRAMSGQTMDQSQLYQDVDNVQKFLFDRQGDQTNSNQQHYMRRPEHGQSKLPDGYSANLANSSTMRNQINAGSFTSFDSLSLGSGFASPRIGSRSPGGTVSSRQNLAGMSNMLNYNGIGSPTASPSLQTSIDPAYIQYLAQLAATCDDPLMDRGHLGNSYMDLLGTQKANLGPLLQSQKQYGYYGNLGFNLGYAGSPLTSPVLPSSPIAPGSPLRHGERNMRFPSGMRNFGNSFGSWNSGMGGKMDANLMPSLLEEFKSNKSKSYELSEIAGHVVEFSADQYGSRFIQQKLETASTEEKDMVFSEIMPQALTLMTDVFGNYVVQKFFEHGSTAQIKELAGQLIGRVLALSLQMYGCRVIQKAIEVVDLDLQTKMVAELEGHVMRCVRDQNGNHVIQKCIECIPQHAIEFIVSTFYGQVVMLSTHPYGCRVIQRVLEHCDDPKTQQIMMDEILQSVCLLAQDQYGNYVVQHVLEHGKPHERSAIIEKLIGQIVQMSQQKFASNVIEKCLAFGNPVERQVLIGEMLGSTSESEPLEVMMKDQFANYVVQKVLETCDDQQREMILTRIKTHLNTLKKYTYGKHIVARVEKLVAAGEKRLGLQPACTAA >Sspon.03G0040630-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3C:31096161:31097230:1 gene:Sspon.03G0040630-1C transcript:Sspon.03G0040630-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding QATVPSIGSKSDDSRIFFFLRLVFSLINECSPASHLPPPILGESAAAPLSGPPPLPLPCRTGCTSVFPLAFVLSLPTNCPTPPPPPSLHTSEALPSKPDSTSSPKSSSNVHAKRVQRGEGKKKGACVAVDSSVVHSKLSPAGRKHGGGPPNATAEGSQPATPQGFVDAASAAAANAKAAKVLLPSLSIWSPLQRMLDAVVRRLMQTLMAHNVLS >Sspon.06G0036200-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6D:90922242:90923114:1 gene:Sspon.06G0036200-1D transcript:Sspon.06G0036200-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVSLEQAAAAAFLNNVMGNLFQALGLVETYKMLRNLKPESNSLLQDLRMLAAAVDDELTSSRGARRTAVARAYSSEMRALTHDVEDCIERFVYRVTGGGGLEGASKLRRAAHAVWTLRTGYRFAAEIKRLKKRVQEVSARVLKPPEGGSGGVGQPSGSRSAAARRAADHAARHPVGVERPMEELLALLGLDQVEDRPQPPAQEQPKVVAIVGFGGVGKTTLVRAVYADGRVADAFPCRAWVAVRSPEDGDAAGILENIHQQLLPGQPYSESSLTRHLKDKRYGTVIFRA >Sspon.02G0006350-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2A:18894086:18895405:1 gene:Sspon.02G0006350-1A transcript:Sspon.02G0006350-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLAVLVFLVVCATLASGAASVRVGLTRIHSDPDITAPEFVRDALRRDMHRQQSRVNGRELAESDGTTVSARTRKDLPNGGEYLMTLSIGTPPLSYPAIADTGSDLIWTQCAPCGAQCFAQPAPLYNPASSTTFGVLPCNSSLSMCAGALAGAAPPPGCACMYNQTYGTGWTAGVQGSETFTFGSAAADQARVPGIAFGCSNASSSDWNGSAGLVGLGRGDLSLVSQLGAGRFSYCLTPFQDTNSTSTLLLGPSAVLNGTGVRSTPFVASPAKAPMSTYYYLNLTGISLGKKALSISPNAFSLKADGTGGLIIDSGTTITSLVNAAYQQVRAAVQSLVTLPTIDGSDSTGLDLCYALPTPTSAPPAMPSMTLHFDGADMVLPADSYMISGSGVWCLAMRNQTDGAMSTFGNYQQQNMHILYDVRKEMLSFAPAKCSAL >Sspon.01G0038720-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1C:24070613:24070888:1 gene:Sspon.01G0038720-2C transcript:Sspon.01G0038720-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAPTRAEVLALFRSLLRTAKQFSDYNIREYTRRRAADAFRENRALADAPAAAAAFAEGKKQLEVAKRQALVYSLYAPKAKSVMELKVQ >Sspon.04G0006460-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:18251616:18258038:1 gene:Sspon.04G0006460-1P transcript:Sspon.04G0006460-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAALGALCRAGGWSYAAVWRFHPQDPRLLTLGDCYCEDEATTMVQKMLNLVEIVGEGILGEALVSGECQWIYDDTCHALNQTSHADNRDLYLGYTWWQYQFLNGIKTIAVLPLQLQGLVQFGSTRKVPRSSIFLNQVRDIFDRMKNASSDGPMEYTHNNSLAYGQQPILASLISANDMLVHNKVNPLQTEKPEENIERTESIRSSMCSPSNSQRSLNDLTLHGTGNTSMDTHILAMPVNSKTIYELKQFDNVTELFHRSADDRVGFQVNSSKEPGSIIAGVMPGYKSPNNIHRTENGSCDQNTEYTPYLYTTTNSPNSGLDELSYSGAGLSSSFTAVSGKVKNCLQIESDKFLCKSVSFSSKTCTSKIQENRLSPYHALMHEQSLIPDPGESVRLLSHEESFIVQGDPMQFKDTTHFTCQDNSTFPELPNRPPEEATAETLENNMKDCSGNNSLLETMMLDLSTNSFVQDWWDDSVLLAEKLPNSSEKRSEPAIELADRHSLPTGERGLPFTPVVEQLLGDVAHPAAGHSSLAAEATALAGRVSDYQLPQFAFRDRLTAHNTQVPSLACSNYTCRAGSAQNGASEVPSANKSVDDTCSFNTANSKGSQSSNPEGMKVAKRRARAGESTRPRPKDRQLIQDRVKELREIVPNGAKCSIDALLERTIKHMLFLQSVNKYAEKIKQADEPKIIDKESGVVLKDNPEAGKNGGATWAYEVAGQTMVCPIIIEDLSPPGQMLVEMLCEERGLFLEIADNIRGFGLTILKGQMELRDGKIWSRFLVEANREVTRMDIFLSLVQLLEQNSVVRSTDQMAKVMNNGVPSFANHQRSPLPVPVGIAERLQ >Sspon.06G0008450-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:38367341:38370095:-1 gene:Sspon.06G0008450-3C transcript:Sspon.06G0008450-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAGVDDGAAAQPKAAISHVIFDMDGLLLDTEGFYTEVQEKILARYGKVFDWSLKAKMMGKKATESARIFVDECGLNGLLTPEQFLEERESMLQALFPSCTKLPGVLCLVHHLHANGIPMAVATGSHKRHFALKTQNHQEMFSLMHHIVMGDDPEVKAGKPSPDIFLAAMRRFEGDIEPSKCLVFEDAPSGVAAAKNAGMSAVMVPDPRLDVSYHKGADQVLSSLLDFKPSEWGLPAFKE >Sspon.01G0048840-1P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:100685865:100687997:1 gene:Sspon.01G0048840-1P transcript:Sspon.01G0048840-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAPLTATPAPLLPAKPKTAPPQQHPVLSQLPHCTNLRALAQLHAAAIKAGLAAHPALVTRLLTLCTTPGAGPAHLAYALQVFDRVPHPADAVWYNTLLRGYARSSSASASDAAVRVFVRMLEEGVAPDTYTFAHALAVKLGAAGHDYVRPTLINMYAECGDARAARVMFGGTDGGCVVSYNAMIAAAVRSSRPGEALVLFREMQAKGLKPTSVTVISVLSACALLGALELGRWVHDYVRKIGLGSLVKVSTALIDMYAKCGSLEDAIDVFQAMESRDRQAWSVMIVAYANHGYGREAISLFEEMKKQGMKPDDITFLGVLYACSHSGLVSEGLQYFDDMKDHDIIPGIKHYGCVTDLLARSGQLERAYKFIDELPINPTPILWRTLLSACGGHGDVELGKRVFESILELDDSHGGDYVIFSNLCANTGYWEEMNRVRKLMSEKGVVKVPGCSSIEIDNTVHEFFAGDGRHPKSQEARKMVDEVINQLKLVGYVPDTSHVFHVEMGEEEKAISLKYHSEKLAIAFGLLNTAPGATLRVVKNLRVCPDCHSMAKLVSMVFNRRIILRDLNRFHHFEKGICSCGDYW >Sspon.07G0033810-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:59988946:59994892:1 gene:Sspon.07G0033810-2D transcript:Sspon.07G0033810-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTPPPSLPPSTLLWSDLLAGAASSTRRLVAAHSRHFLALSSLLLLPLALLLLALPYPFLPASASSPAAPSVSLRSPPDPPRNPLPIPLPLLALAVALLYLAAFAAAAASAHAGFFGRPVRLLASLRCVPASLLRLVLTAIPACPLALLPLLPLPAALGAALPVLGFILLSPFWSLAGAAAVVESATGLTPLRRSCRLLSGARLAALSSFLVFAAGIGVTLWGFGGVAAETYDAGAGWAGMAPVVVKAVAGTALLAVMMLYGMVANVVLYMHCRAMHGELAGEIYNEFANMYVFLPFDDGKDRHVVSVVTMWPG >Sspon.08G0016650-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:65464719:65466263:-1 gene:Sspon.08G0016650-1A transcript:Sspon.08G0016650-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MENGGGTMRRLAATEWEEAHSRGGGGGEGSREDAGLDEGGPGARAPPRDRAGGGDEGAVEGSAEEEEIMMETKKCPTCSGSPPTSSREEGSELMAVLPSQGGMERKKIATEDEAVVADARSEQKLKQSREEGKRSSSRLKEKMERKKIATEDEAMVADARSEQKLKQSREEGKRSSSRLKEKKKAKSEDKDGSGSKKKKAKRSSEMEGSGSKEVKMKGSGLKEAAKIEDIIKSDSVDRKTKIEVFRFEKAFESLMNQPPVISQSKEEAKMEDSESAVGNSKTKMVTKRLDKKSIDIFKNQPPPKPLISNSLYVNQLLDVVTYHDEVQRVFLEYLEYHSSIKGYAEVQEEVTDDEGDDHKLV >Sspon.06G0012990-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:51732425:51734711:-1 gene:Sspon.06G0012990-4D transcript:Sspon.06G0012990-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKRKELLSSAPWRTGEAAEDEDAARMSREGKVSVTSNPGETPTMSVPRSRRPDLDLTVDDFEEDEIDPELRYSFQRNSRFLKRVFSVDTLVKPLPPVMAYSVSRNINFFFRIFTQFWDEEGIANAQKSLGLGNDDGSRRMR >Sspon.04G0028840-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:73908460:73910694:-1 gene:Sspon.04G0028840-3D transcript:Sspon.04G0028840-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKRTKKAGIVGKYGTRYGASLRKQIKKMEFAVKRKAVGIWGCKDCGKVKAGGAYTMNTASAVTVRSTIRRLREQTEA >Sspon.08G0020530-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:22988855:22990229:-1 gene:Sspon.08G0020530-1B transcript:Sspon.08G0020530-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARREDDSYTNGSVFEVSVEEGRKDKSEAYAIDEPQLAAEAEADDDALCGLSASVSFIQQLIAEFFATFFLIFAGCGVITVNDKNDGTATFPGIALVWGMTVMAMIYAVGHVSGAHINPAVSVPAYMLVQTVAAAMASLVLRLMFGGEHLRASVTVHADGGSNIQSLVLEFFITFYLMFVIMAVATDDRAVGQMAGLAVGGTIILNALFAGPVSGASMNPARSIGPALVGNKYRSLWVYIFGPFAGAAAGAWAYNLIRRTDKTLAEVTKSARQTN >Sspon.01G0016660-2P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1C:7068125:7070189:1 gene:Sspon.01G0016660-2P transcript:Sspon.01G0016660-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MCTVRMQQTASSPKLALKKRTSSPRLIKITVRQRSPKPAQSARKAGGNARSRRAVQSKGPCPCSLRTLAILAVVAWTFFLYLDFSVITAEVSNGGDPCRGRYIYVYDLPPRFNTDIIRDCRKAGGRWGDMCAFLSNAGLGRPLTDDGTDGGEAGWYDTHELALDAIFHNRMKQYECLTNRSAAASAVFVPFYAGFDFLRYQAGYDKATRDAASADLSFWLTVQPQWRRMAGRDHFLVAGRTGWDFRRSGGGGGDANPDRGNGLLLTPAGRNMSLLVLESTLEHGSDFSVPYPTYFHPRSDADVLRWQDRVRGQHRTWLMAFVGAPRPDVPRRTQVRDRAIAQCKASSACGMPGCARSAGTTQCHSPASIMRLFQKATFCLQPPGGDDDYSRTRRSVFDSMVAGCIPVFFHAASAYKQYAWHLPKDDHLKYSVFIPDAEVRRRNVSIEAVLRAIPPATVERMREEVIRLIPTLLYADPRSKVETLKDAVDVAIDGILDTVARIKNGEQVNCGGPVDTDPPNLFAATASRIAPNGSVRAVDQH >Sspon.02G0008700-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:5657001:5658852:-1 gene:Sspon.02G0008700-1P transcript:Sspon.02G0008700-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRASGVLRRRLALALFSVALSVAVATAAVAGSDHNFHRDFDVVWGAGNARFRDGGRVVELSLDERTGARLQSKQRYLFGRFDLEMKLVPGESAGTITSFYICTGGARHDEVDFEFLGNASGEPYLLHTNIFSDGRGEREQQFALWFDPTRAFHTYTILWNPHNIVLYVDGVPIRVFANNAAAGVPFPARQPVRVFASIWDAEDWATQGGRVRTDWASAPFVATYRRYNITDACVWEEEGGGGGGWARCPTMVALGGEPRRRRAPAWMAQRMDWWSWMTLSWVRMNYMVYDYCADRRRFPHEFPPECSIPIGR >Sspon.02G0019870-3D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2D:60155046:60156880:-1 gene:Sspon.02G0019870-3D transcript:Sspon.02G0019870-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRREGLGNFGAEEEAREGGQGSLVMEMDVEESSPSSSPMSSPAGSSDSIDLNFLPFLKREPKSEPASPELGPLLLPGPPTQTPPQQHAAAPAPAPAPEASVPLPPATPDLSSAPVMAPLQSLPPNQDVDALLQEYYRLASLYVSSTGSGAIVPAPAPEAAAPAVVQSASGSVVKKRRPRSADLVRVSSLGVQDQIYFRDLDDERAESLGLAGVAGFGVARDRRRVRADLRAAALMADHDLWLNRDRRIVGPMPGISVGDAFFFRMELCVLGLHGQVQAGIDYVSAGRSASGEPIATSIIVSGGYEDDDDHGDVLVYTGHGGRDPNLHKHCVDQKLEGGNLALERSMAYGIEIRVIRAVKSRRSPVGKVYFYDGLYKVVDYWLDRGKSGFGVYKYRMLRIEGQEPMGTVNYRVAEQLKVDVLAIRPTGYLSFDISMGRETLPVALFNDVDDDQDPLLFEYLARPIFPTSAIQGKFAEGGGGGCDCAGICSIGCNCAGRNGGEFAYDKTGTLLRGKPLVYECGPYCRCPPSCLNRVSQKGLQHRLEVFRSRETGWGVRSLDLIKAGTFICEFSGIVLTHMQSEIVEAEWRLLGASK >Sspon.03G0011840-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:48470926:48475256:1 gene:Sspon.03G0011840-2C transcript:Sspon.03G0011840-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEADASAGGSGAGGDPQRLKRIAAAAYDYENDARWAGYWSNVLVPPHLASRPDVVDHFKRKFYQRYIDPALVVEPMSSMTSTQSSRPAARSSATPSGENVRSRDSGSSTRSTGASQQPSAERTANSLRLDGRTIHFSINAWVLVVASLGILPVLPNHISSKAYRLSLLGTICSSAYSLYSTYGKPRAWNMPAIQSWLQSIIVAKDFVHLMFSLMMFTSNVHFKIALLPVLCWALDHAARFLRRNFTHSSLYRKYLEDPCVWVETNNTTLSLLCSNAEITLGFLMIISLFSCVHLPREELVETQYNSDIYLLKLMYHSPVTSGYHQSVWARIGRAVNPYIYRYAPFLNTPISAVQRWWLR >Sspon.05G0020040-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:85643490:85644578:1 gene:Sspon.05G0020040-1A transcript:Sspon.05G0020040-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAPPRWPNVNAPPGYRFKPTPRELIQCYLEPWVATEPGRQSPGEFYGIMAAADVYGEDPGALASRFQHIAHDDGNWYFLSVARWKDGNASSKRMNRAVGALGTWHGSGKRIPVRGAGYRQSFEFRPAGGGKATWLMEEFGTVRNDATGEDGVRVLCRLHLRPKAAGAADGDQHQQQLEANDVPAPCNKRQRQRAAARQVEYHFDADYWTAAPDVGLSSSYATATAPAPPDVGCSSYATTSSHAAAVPVTELTTEALVTWQHQPMMEQAGDGGYQYHCAGVHGGVYIRVDDEPQRLEMVTEDVEFTTQDLKLEDSDFVFTVEHLLQLDDGWIMDSNSNAFSVLHPMCDGVQENIDPKPEPSD >Sspon.01G0028790-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:111329538:111334116:-1 gene:Sspon.01G0028790-2B transcript:Sspon.01G0028790-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTREEWSMSDFEIGKYIGEGKFGKVYLAREKQVGAVSFNSHLAPRSPHRFPLPPTMPTREEWSMSDFEIGKYIGEGKFGKVYLAREKQSGYVVALKVIFKAKLEKYRFHAHLRREIEIQHSLDHPNVLRLFAWFHDEERVVLVLEYAARGELYKVLRAAGRFTERTAATYVASLAGALAYCHKKQVIHRDIKPENLLLDIEMVEKKAHDHAVDNWTLGILCYEFLYGSPPFEADEQDDTLRRIVKVDLAFPSTPYVSSEAKDLISKLLVKDSSKRLCLEDIMKHPWIKKNADPSGSCIKQKDVTRSKQRNMEMPITDVKRKKRNLYYERGSCVFLKS >Sspon.02G0055630-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2D:9760735:9762876:-1 gene:Sspon.02G0055630-1D transcript:Sspon.02G0055630-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFVAYPSPFAVSVEFVVVRSATTANMDNTAASSSILGLEFATMLATEAMVLSAASSTPLGSRQWRPRHHLRPVDPEHAGGTHAVKVEEEEAVVTGPGIGVLDHPGVTLAARHGAQPYNFMDAVGPSAPPAAALPLPRYILDPRAGMALQAAPPTSAEGTATTPPTIRRLLGHKVVPRTGAQHGSRRRLLLSQRGGALLQLKNSGSGGAVECGSEAAKWRRRRPLGGSGRSMTAKEFDLLALDDHNYPTWAVDIKVSLMSCGLYQAVLPPQEGVAPLDDQHVDTALYLIRGHIHSDLKAEYLLEENP >Sspon.08G0009520-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:41770756:41778160:1 gene:Sspon.08G0009520-1A transcript:Sspon.08G0009520-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:At1g14620/T5E21_15 [Source:Projected from Arabidopsis thaliana (AT1G14620) UniProtKB/TrEMBL;Acc:Q8L7U3] TMLSRLPATVSLLRRSLREPRCFSSSASSVLSSSKETADGKLVASVLFERLPVVIPKIHPVVYAFQEFRFRWRQQYRRQYPEEVLGKADARGKGDYHIDYVPAPRITEADKTNDRRSLQRALDNKLYLLLYGNAYGAPDGKPVWHFPEKVYENEETMRQCAEAALKSVLGGLDHTYFVGNAPMAYMAVEQTDSSVSPFQRFFFKSQVVGTTKYHIGKCKDFAWVTKDELLEYFPENKDFFNKMIIHIR >Sspon.03G0031620-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:29275272:29275830:-1 gene:Sspon.03G0031620-1B transcript:Sspon.03G0031620-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GCAYQRPPSPAWLPLDQCRPSSCSLVSAIACASSSCTLLPSSANHNLRHNSQSAGPTRPRILTLEQRHSRI >Sspon.04G0030050-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4B:75635972:75636894:-1 gene:Sspon.04G0030050-1B transcript:Sspon.04G0030050-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTPFATSGVSAATTLPASTVHSEISESSGAPLTLEALASVVNRMGANIDMLSRNMAAMQAALASLLPPPPAPAIQSYLSIPPLQPVSWPLPQSAVASLPPVFPYGMLGYSTTLLPGTTLLPFQDVQPTVQPTLQQIEQAMDITPEPVRKMLTCKVSAAVRLQAAARGLLARRRLQEMRQPMHEATLATVDLSSAKRDLTPWDGHQQLRRPTAVFRREHGVFSARNDLQLCGLLVTGGDALPSATAFHRRPPRGRLRWSLSQLNPGGCTHAPLSFRWAPWDPGVKNKES >Sspon.01G0052950-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1C:28058189:28060718:-1 gene:Sspon.01G0052950-1C transcript:Sspon.01G0052950-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYHGHYYSLKLSVPPILQTGVAVKHCKEGTESSIFWSSIGGKQSYTSKNAAPDALIREPHLYTFSLRNDDLLTEDTMILDTHCEVFVWMGQCVETKEKQKAFDIGQIHGNSFEKKLSVLFGMRSEGGNKGSSGDGGPTQRASALAALSSALNPSSQGKQSDERPTSSSGDSEHTQRASAMAALSSTLNTSSKPSSTQSHSGQGSQRAAAVAALSNVLTAEGSHSPRHSRSSPTADAEKTELAPASPHQLETGPEDVRTEPDVSQEQTANENGGQTTFSYECLISKSTDPVSGIDYKRREASLLTIKSK >Sspon.01G0023870-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1A:85616155:85617758:1 gene:Sspon.01G0023870-1A transcript:Sspon.01G0023870-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding KVANEGVSRDVGRWIRNERPRLNKGGEKRGFRPPTVTGGGKPAGGEQLLVGIAQSDDTEHNLTNGLHRKIKEMNASSPERKTEARTGGGRRATSNGGRRTPVHGVEAWLTAKIRWREAVETGPLFMRASQRAGQGRGDSGQRRTPWSDVRLGYEREVEETADKRARRVIETREREKGAAACGGMLGRPVTRPTAKKRRARGKRKTRLGQGREGKLTFGPKLRRRIFPFSFFFSNTSNTVDAKVNLQTQRANTRSRYPKRASRFDLLIDKDEDTSTLVLTTAIRPDVTAKSPCSIGSSLRVPSISTFPISSAAVFIPSFIGAFPVSSAAVSRPSFIGIGNNTLNLIGNTSN >Sspon.08G0006680-1P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6A:89662104:89663555:-1 gene:Sspon.08G0006680-1P transcript:Sspon.08G0006680-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPRLHFLVLTFPLQGHMAPALRLARRLLAAAPDALVTFSTTEVAHRRMFPFPAKPDDGGAKDSDEDDGRLEFLPFSDGTEAGYIRSTDPGSFNAYMASFHAAGARSFGQLVDALAARGRPVSRVVYTLMLPWAADVARERGIPSALYWIQPVAVFAIYHHYFHGHAGAVAEHRHDPSFVVELPGLAPQTVADLPSFLTDSTDPSDFFHSIFTTIRDLIETLDKESPKSTVLVNTCQELEVGALAAVGAQAHDVLPVGPVLPSGDDDDAGIFKPDDDAKYMEWLDAKPANSVVYVSFGSLATMTREHLDELLRGLEESGRPYLCVVRKDNKAALLADAETKVLDEDELKNGVVVEWCDQVRVLSHAAVGCFVTHCGWNSVLESVVAGVPMVCVPRMSDQRTNAQLVVREWRVGVRAQVDDGGVVRAPEVRRCIAEVMGNLEAAAEVRRMAAEWKRVVTKAMGKGGSSDRNLMAFVDGARCAV >Sspon.04G0018450-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4A:66307071:66307572:1 gene:Sspon.04G0018450-1A transcript:Sspon.04G0018450-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVGLAGRFELGLGCRHGARPNLNRALKRRDDDGAWWMWPAVPVKDLTIAPPTPPAPPASNAAMPQAAAAPEKKKSKKKKKVVKVEKIMAKGKEELPNAKCKEEEADGSVDAAIGDGDADSAPTKAPKTGLGLKLDADEVLKAWSDKGSMFAEGSGPESPTSAAEVR >Sspon.08G0010260-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:45048543:45052773:1 gene:Sspon.08G0010260-1A transcript:Sspon.08G0010260-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRTTWIIDSQRFATKIKNASGSADPSKQKWISNPSKECPKLFTSGPVCLKAKGVKFDPSDQELIWHLRAKHGKSGIKPHPSIDEFIPTVEEDEGICYTHPQKLPGVKQNGSVSHFFHRTFKAYNTGTRKRRKINTDDADVRWHKTGKTKPVLVDGKQLGCKKIMVLYMSLAKGGKVEKTNWVMHQYHLGTGEDERDGEYVVSKLFFQQQFKPGDKNAQELTTSDDLESMAAEADLPDFTTLPADKHVTTSDDLESLAAEADLPDFTTLPADKHVGTIQVVHNSEQNLYQVNRNCEINIEETVVLPPSEKTEDGDNPQSQDPKLWEGDSQFELLDTQQLAEGLALCDEFLLSQSQTCGGGDEPTVTKPCLAAYAHLSAEDFKKDLEECQRLAPTDNTNLELENTNEFRLSQIDFSQDSFTTWAGGKMTDD >Sspon.06G0032000-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:76719167:76722561:1 gene:Sspon.06G0032000-1C transcript:Sspon.06G0032000-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYDGRVLSTAAKYDSEKSVWEPLPSMNRARMLCSAAFMDGKLYVIGGRGAGINREVLTCGEEYDFEQGSWRVIDNMSKGLMAPGAPPRVAVVNNELYAADYSERNVKRYDKQNNRWITLGKLPGNVDQFMFREDMALKACGDKLITIRRSVYDGRRTVTELYSWVPNEQPLAWNLIASHPSALKLLVNPISPVPHLTWPPLVVAAEHRHRWWSSPQSARDVLDESVYEGSEAPPPRVRDRCGRLGGRSGRHPRHRARPPPLPRRPRQRAVRVPPLARAAARVQALPAPLPVLVLPRFIRFSCLTSDGALTVARRALIAVEAAGDDVRIVGSCDDWLMVKQTGDQCFLVNSFSSKLREDEHGVSLSFAEHYKINRLIPSKTIDSTRYNLVVWRGKLLLIIRFFSDYTCMKMDCKPQSVEKSGCRSFSAGLHVGAQGDLIYILDECIINHVSYTCVHNMRDGTLRRFAAGVPLPKVRGTKGVLQRFHRLDVSFLKQRCRLLS >Sspon.05G0016670-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:68475620:68478199:1 gene:Sspon.05G0016670-1A transcript:Sspon.05G0016670-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MACCKKTLTIVIVPLLMALLASAVPARQGSRALLGAKQCSKSDNCNEYRVVQFPDGSSLEGFVGPFGKELALNRPLRKASQPRHLDLYALHILDIMNRLEPFENIKGIKIDLIPSTTSSESFKACSKKTLAIVVVPLLMAILASAVLASQGSRALLGAEQCSKSENCNEQSCGATCAVLGLNAVGVCNVVGGVSCCCVPKPSTSIGIRH >Sspon.03G0035170-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:42114274:42117144:-1 gene:Sspon.03G0035170-3D transcript:Sspon.03G0035170-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRPSERVEVRRNRYKVAVDAEEGRRRREDNMVEIRKNRREESLLKRRREGLQAQVPAPPSGVEKKESLPAMVSGVYSDDNNLQLEATTQFRKLLSIGRSPPIEEVIKSGVVPRFVQFLTREDFPQLQVEAAWALTNIASGTSENTKVVIDHGAVPIFVKLLGSASDDVREQVVWALGNVAGDSPKCRDLVLANGALMPLLAQLNEH >Sspon.03G0014370-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:45328573:45328920:-1 gene:Sspon.03G0014370-1A transcript:Sspon.03G0014370-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPARALLLPLLMLLALAALARASSGDVPAAAASLGWDLGAVGAGEDEEFGFLPGAGDSVARRVLQGGGYLSYGALRRDNVPCSVRGASYYNCRPGGQANPYSRGCSAITRCRG >Sspon.05G0021960-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:4683642:4684641:1 gene:Sspon.05G0021960-3D transcript:Sspon.05G0021960-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSCLSAAGDDGGQASASSVSGTHQFTIQGYSATKGIGVGKSILSRYFTVDGRTWFVRYYPDGYNFQSPGFVAFYVQTLYKPLCRPVRARFTFELLRPDGSVAYALRSDRPCDFDTHCNSWGIRAFVARNDLEGAYLGVLHQDAIKVRCTVEVVNSQRKRRGGGRQATVASPLDYAANAIRFFISGTAPFDVNPSGSRPALYGHGGGERWVEAGLPCIPISGTTPEAFQGVLHYVYHDALPEELIKAKGEAVMMPQLFEAADMFLVGRMKAMCASRLRQFINDDTVRSIMELAQAHSCEELQQACQNHLGRPRP >Sspon.02G0042810-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2C:89723039:89833404:1 gene:Sspon.02G0042810-2C transcript:Sspon.02G0042810-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRTPPPSTPARRPATAPAAPAAPPAAPPGASRRAPARPRPRPPPRTPSTSGSPRPAAPAAARCGLQRRFRPMRRWLAMPMQATREEVALLELEGDHRS >Sspon.04G0013850-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:50163278:50170849:1 gene:Sspon.04G0013850-1A transcript:Sspon.04G0013850-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPDFSPGGGGPSFEFAFNEVNFSDRELRIEVVAGDDDAPGSSGGGPGGGGLADWARHRKRRREELLKEKESTTHMSDQTSCNEVEAEECDAYEENQEEPVAMIEESPPNVGQDGDDGQGIDSSWTVVGTPVLRVKTIYISSAILAAKSPFFFKLFSNGMKESDQRHATLRITDSEETALMELLSFMYSGKLTTTEPTLLLDILMAADKFEVVSCMRYCSQLLTSLPMTTESALLYLDLPCSISMAAAVQPLTDAAKDFLAVKYKDLTKFQDEVMNIPLAGIEAILSSNDLQVASEDTIYDFLLRWARAQYPKSEERREILSSRLLPLVRFSHMTCRKLRKVLTCTDIDHEQATKCVTEALLYKADAPHRQRALAVDTITCRKFAERAYKYRPLKVVEFDRPYPQCIAYLDLKREECSRLFPAGRIYSQAFHLAGQGFFLSAHCNMEQQSTFYCFGLFLGMQEKGSMSVTVDYEFAARTRPSGEFVSKYKGNYTFTGGKAVGYRNLFAIPWQTFMADDSLFFIDGMLHLRAELTIKQP >Sspon.01G0062430-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:104298505:104305965:-1 gene:Sspon.01G0062430-1D transcript:Sspon.01G0062430-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MANPAASWWRGWVDTSVDHDGDWILNNLLLDKEDAEEHRAYTVLKAPHLRMKLCCTKERESDKKKL >Sspon.04G0019580-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4B:72060233:72060433:1 gene:Sspon.04G0019580-2B transcript:Sspon.04G0019580-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQALPAATWGNFHATRTISALPPMRVPWLKLHRCCPGRYCRNQAPTHRIWPPLADPAGGKVDLPA >Sspon.07G0001090-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:1410897:1414667:1 gene:Sspon.07G0001090-2B transcript:Sspon.07G0001090-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGRASRHRAQPQDQSSHHASSQPPPPPPKHHHHHNPNKPKQQQQQPPPPRPPPPHHAPPPAPASASPATATNIGRVLGRPMEDVRASYTFGRELGRGQFGVTYLATHKPTGRRYACKSIATRKLAHRDDVDDVRREVQIMHHLTGHRSIVELRGAYEDRHSVNLVMELCEGGELFDRIIARGHYSERAAAALCREIVSVVHSCHSMGVMHRDLKPENFLFLNKREDSPLKATDFGLSVFFKPGEQFRDLVGSAYYVAPEVLKRRYGAEADIWSAGVILYILLSGVPPFWAENEDGIFDAVLRGHIDFASDPWPSISNSAKDLVKKMLRQDPKERLTAAEILNHPWIREDGEAPDKPLDITVISRMKQFRAMNKLKKVALKVVAENLSEEEIVGLKEMFKSLDTDNSGTITLEELRAGLPKLGTKISESEIRQLMEAADVDGNGTIDYVEFISATMHMNRLEKEDHIFKAFEYFDKDHSGHITVDELEEALKKYDMGDAATIKDIIAEVDTDHDGRINYQEFVAMMKNNSPDIVPNRRRMF >Sspon.06G0016600-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:90189802:90191394:1 gene:Sspon.06G0016600-1A transcript:Sspon.06G0016600-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHQQHEPGFLTRAKVLDIDLQKQLRPYMESMVPLPVIYDPDFIAANQGSRANNVIKGTKKEQVDQIIKDIRSKKISKSNVVDDMVSSNAMLYEPGEHPDHVVVIKYVPYVGDSKRAMDEYTSEIFMGGKNTIVLHNTCEDSLLAAPIILDLVLLAELSTRIQLKAEGEVRA >Sspon.06G0025900-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:71591927:71597510:1 gene:Sspon.06G0025900-2D transcript:Sspon.06G0025900-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTASLAPAAALLERLGLCVAPTDGADDDHHQAVPGLLPLRCAVQHYEWGRRGADSLVARLSGEVAASDGGGGGEGGEARPCAELWMGTHPAAPSSLAPDVSLRDWVARNPAALGRAVAARWGGDLPFLFKVLSVAKALSIQAHPDRDLAAALHALRPATYRDANHKPEMAVAVTEFHALCGFAATQELKEVLRTVPEVQELVGKEESQKLLGVKEQDGGIGVRSYLKSAFTKLMTASDEAVSEAIANLKTRLNSESKARSLTKKEQLVLSLEKQYPRDVGVLAAFFLNFVKLNPGEALYVSANEPHAYLSGECIECMATSDNVVRAGLTPKYRDVQTLCSMLTYNQASPEIMQGMPVQPYVTRYTPSTDEFEVDRYLLPPGKSVTMSPVPGPSIFIVMTGEGEIQAGFMTDNAKAKEGDVFFVPAHTKVKLYTSSPRSMQLYRAGVNSSFLS >Sspon.03G0024240-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3D:58370436:58371527:-1 gene:Sspon.03G0024240-2D transcript:Sspon.03G0024240-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding IDVQKGGEEGQRGGILMRAIHPSAVQLPPVHLVRAPSSELRMGEPACRYHQRGGHPAGDLVHRHIRMVCTSREEASGCADDALYIFFRSLFRP >Sspon.07G0010320-1P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7A:32979611:32982521:1 gene:Sspon.07G0010320-1P transcript:Sspon.07G0010320-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AAMMGLGVATSGVFSNLVVYLIKEYNVPSVDAAQISNIVGGCLSLAPVAGAVVADAFFGCYPIVAISMAFSVLISDYSSSVVFTLTASLRGLRPAPCHLGTGPCEPASAGQMAALYAGVFLMCVSAAGSRFNQATMGADQFDSAADRDVLFNWFFVFFYASSVLASTVIVYVQDTVSWTLGFGISAAASAVGLVALLLGTRYYRRSPVRGSPFTGLARVAIAAARKWKVNVPTSGELKFYHGRPRRGDGEDKAGNSDTDLAPSDDSFSFSPHLCTVSLHVLLFLSAAAPVIPSARPTSTATSLSAPPRDFLFETSTATSFPAPPPQPPSPLRFLQPPYGDLHRDLIPYSGPVISFPDLRVIFLNRAALITDRDTIAAADGSTMIRPWRVCTVQQVEDLKTVLRILPLWSAAIFLSVAIGVQINFTILQALVMDRAVGRFVIPAGSMIVGTLIAVVVSLGLLDRVLLPLWRRLIRHDPTPLQRIGAGHVITIVSMAASAVIERRRLATVHARGEEGNPAWVSPLSAMWLLLPFALSGFGEALHFPGQVTLYYQEFPPSLKNTATGMVAMIVALGFYLSTALIGIIRRATAWLPDNMNASRLENLYWLLTVLVSLNFAYYMLCA >Sspon.05G0002200-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:2184825:2188149:1 gene:Sspon.05G0002200-2B transcript:Sspon.05G0002200-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKRLTAAEKEVLVEVVRFTQKNGLRGCDGGWKDFLARNDRKFGASVSDPRKRTRDVLLAFLQTFSKDYQKVGYSFVSYSDFSSACLDSLAAAVVYKRLGPWCVESLNLATFWLQYFGKLVKRHKERSAVQQYMTDFPDEVSPEQKLVQLTVEHPEYRKHYCFPSYQEGWKVLRIGEVSNLMSSSAMLAIDCEMVLCNDGTEAVVRVCVVDNKLKAKLDILVNPSKAIADYRTHITGISKKDLEGVTSSLVDVQKSLKRMLSKENILIGHSLHRDLCALKIDYSQVIDTAYIFKYANLPTTASPSLNSLCKAILGYSVREEGEPHNCLKDAEAAMNLVLAKLKNGFNDPIEIAASSVTESDVVKLLAHRIPVYLPCQELCKIFSGNPNIDDKIDSRIRGEFYSTCISFNDIDEVEKAFEELDGQKTKDSGGRLQKHVLLKRDNGDVVSFYVRKMVYDSWPKQLEVPKKRPEPTENPEPKKEHAEGVQPKKKRSNSVRDTEDPEPKKRPEPAKDPEPKKDHAEGVRQKKKR >Sspon.07G0019320-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:70726569:70731485:-1 gene:Sspon.07G0019320-1A transcript:Sspon.07G0019320-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AHLLILFALLCSAAGLNGIKDSWKKLPSNWVGTDPCGDKWIGISCTGNRVIGIRLSSFGLSGSLSGDIQSLSELKTLDFSYNKDLGGPLPASIGSLSNLENLILVGCSFSGEIPKELGQLSNLIFLSINSNKFSGSIPASLGRLSKLYWFDLADNKLSGGLPVFDGTNPGLDNLTNTKHFHFGINELSGTIPSQIFNSPQTVDGLYCVSRLLDNNNFTGSIPPTLGLLNTLEVLRFDNNYQLTGPVPSNINNLTKLAELHLENNQLTGPLPDLTGMSALSFVDMSNNSFNASDVPSWFTTLPSLTSLYLENLRVTGQLPQDLFSLPAVQTLRLRANRFNGTLTIGSDYSTQLQLIDLRDNQISQITVGGSQYNKQLILVGNPICSSGNNEKYCTPPGQSNQATPPPYSTSKNCSGLPPSCLSDQLLSPSCVCAVPYRGTLFFRSPSFSDLSNVSYWGQLESSIKAKYLSLSLPVDSVALHDPSVNSVNNLQVALEVFPSGKTLFGEQDIFDIGFILSNQTYKPPAVFGPYYFIGQQYSFANDLLIPSKSKANRLPLIIGVSAGGAVLVAGVLALVIFVARRKRRPKQNEERSQSFVSWDMKSTSGSGVIPQLRGARMFNFDELRKITNNFSEANDIGNGGYGKVYRGDAAERAAGGGEEVAAGVPAGEPGVQDGDRAALPCPPQERGQPRGLLPGPARTDPRLRVRPQRHAQGEPHG >Sspon.02G0017050-3D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2D:43576949:43586980:-1 gene:Sspon.02G0017050-3D transcript:Sspon.02G0017050-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVMERGREGGAAATWRTGVAVRRMARGTTAKERGEEAGERSKRVGVGSRDRMGMDKEPGRGDARGSVESWGSVQTDRRPRRNITFLNNLMCQWNRASDAKSFCFPEMLGLVLADAVAWAAMELGVEAARWMIGKAVVANMLATHPWKWNPITPSTPRGIATGKGLCSSGRAIYGLPISEALKLQMEVQKRLHKQLEVQRQLQLRIEAQGKYLQKIIEEQQRLTGVKSETPAGGASVTVSSDQFPDSERTEPSTPAPTSESPTQIGASNRDTGDRTEAIKSTCHGDSLSRHEPLTPDSNCQNGSPAASPNHERAAKRQRGSGTEFLDSEAEFSLPRHIFESSSGSEFQQYSIVGPRAYAVAWASMELGVEAARWMIGKALGPVSGGVLEAWAASTELGGNIEALRMELLYAEGMLNNARGHHGHGPGIKNPALSELLHKLRDLAYRADDVLDEVDYFRIQDELEGTYHAAEEHEGGCLRNHALNARHAARAIAKMLGFSKCSACFANASHDEPREDTTSTKRVPPCGGHWPCTGVKASDDDEEEEEDQRQGGICWPCPCGNGTNQLVNNRCMGRITSAARSTIHTVGKHLPLPCSSSVSSAQNAANSNAATTERRFLCCARANNKAPKTERVVQTPKLKFDRVEMSRKMKDIIEQLKPLCAKVSTILNLELLAANLNNNNGQYMAMGRPITTSESIEPEFYGRKDVTSTLIHEITEGKYHHMDDLMKITNSVKKR >Sspon.08G0011100-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:46101330:46113082:-1 gene:Sspon.08G0011100-3C transcript:Sspon.08G0011100-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKFPLQGTKVNIIVGSHVWAEDPDTCWVDGEVVKINGEEAEIQATNGKKIVANLSKLYPKDMEAAAGGVDDMTKLSYLHEPGVLQNLAIRYELNEIYTYTGNILIAVNPFQRLPHLYDPHMMQQYKGAPFGELSPHVFAVADVAYSGESGAGKTETTKMLMRYLAYLGGRAATEGRTVEQQVLESNPVLEAFGNAKTVRNNNSSRFGKFVEIQFDKHGRISGAAIRTYLLERSRVCQISDPERNYHCFYLLCAAPQEDVEKYKLGNPKTFHYLNQSNCYELVGVSDAHEYLATRRAMDIVGISTQEQDAIFRVVAAILHIGNIEFSKGKEVDSSVLKDEKSKFHLETTAELLMCNPGALEDALCKRVMVTPEEVIKRSLDPYNATISRDGLAKTIYSRLFDWLVDKINSSIGQDASSKCLIGVLDIYGFESFKANSFEQFCINYTNEKLQQHFNQHVFKMEQEEYTKEQIDWSYIEFVDNQDVLDLIEKKPGGVIALLDEACMFPKSTHETFAQKLYQTFQKHKRFVKPKLSRTDFTICHYAGEVLYQSDQFLDKNKDYVVAEHQELLSASKCSFISGLFPPPPEETSKSSKFSSIGARFKQQLQALMETLNSTEPHYIRCVKPNNVLKPAIFENINVMQQLRCGGVLEAIRISCAGYPTRRTFYEFLHRFGILAPEALEGNDEKVACKKILEKKGLLGFQIGKTKVFLRAGQMAELDARRTEVLSAAAKTIQGKMRTHIMRKKFLSLRKASVCVQAIWRGRLACKLYDNMRREAAAIKVQKNQRRHQARRSYKLHYASVLVVQTALRAMAARKEFRFKKQSTGAVTIQARYRCHRAHKYHKKLRWATIVAQCRWRGRIARKELKKLKMEARETGALKEAKDKLEKKVEELTWRVQLEKRLRTDLEEAKAQELSKMQSSVEALQAKLDEASTKLAKEREAAKTIEEAPPIVKETQVVVQDTEKIDSLTTEVQELKTSLQSEKQRADDLEKKRSEEEQANEEKQKKLDETENKMRQFQDYLRRLEEKLANVESENKVLRQQAVSMAPSKILSGRSKSNLQVSVAISFILSSILQDSINELHLLFGLQRSSENVQVSSNDPKITPESNNTSSPKKEYDIDDKPQKSLNEKQQENQDLLIRCIAQHLGYAGNRPVAACIIYKCLLHWRSFEVERTSVFDRIIQTVGHAIETQDNNEVLAYWLSNASTLLLLLQRTLKASGSTGMAPQRRRSSSATLFGRMTQSFRGAPQGVNLSLINGSMVTGVETLRQVEAKYPALLFKQQLTAYVEKIYGMIRDNLKKEISPLLGLCIQAPRTSRASLMKGSSRSNTNTAAQQALIAHWQGIVKSLGNFVNILKVNNVPPFLVRKVFTQIFSFINVQLFNSLLLRRECCSFSNGEYVKAGLAELEHWCYRATDEYAGSAWDELKHIKQAIGFLVIHQKPKKTFDEISHDLCPVLSIQQLYRISTMYWDDKYGTHSVSPEVISNMRVLMTEDSNNPVSNSFLLDDDSSIPFSVDDISKSMQQIDISDIEPPPLIRENSGF >Sspon.03G0027630-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:6487097:6489032:1 gene:Sspon.03G0027630-1B transcript:Sspon.03G0027630-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGPPGTAGCGVTGANHGIGFEAACQLALHGLHVVLASRDAAKGQEAAGRILAEAPDEAGVSVELRQLDVADAASVNNAGVNFNKGADNSVEFAKQVIETNYYGRKRMIDAMIPLMKHSPYDARIVNVSSRLGRANGRRNRIGDASLRDRLLKDDCLSEQLIDEMIMKFLEQVKQGGSSDRPEGQKIYINCFCPGWVNTAMTGWEGNISAEEGADTGVWLALLPQEPTTNGKFFAERSEISF >Sspon.01G0030780-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:108354775:108366155:-1 gene:Sspon.01G0030780-2B transcript:Sspon.01G0030780-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATWRHFSASDEPDRPGEGRQRRERLPVWRLHRAPAWLPLRLSSQEERVGAAAQEATPPSRLIPQQLVLLFYSRGSSTSAYPLPRGYRMDQEAKDSRPSRSPSEPNLFLQWGSRKRLRCVKTRDDGSPSQSPSEGLRRAIPRATRPLLGADIATFRSPRRPSTLNRRKSDSLVNEYKHSMALSPEKDRYYTTRGSPFPFDGNGFDFGSITEEKGTTALPRFFIALSNKEKEEDFMAMKGCKLPQRPKKRPKLMQKCLGLMGRLRPKPPHRPLSAGLPSPHRRLPTSSGQPVAAATPTLQSRALLRLPPLQAASLGPSLPPRGTGSKRVLPGHVTSWSVGPSPSPVRSLAMEETLAVESAAGETASAQAPERKEETLEEVLSRHRQDKRAHLRESGVAGWDAIAGVCGRRKSVHGKGTGLRVRERSGPQGSPERARRGDFVVAAAGTLWGAGAQDLRDATINDVAVHNAAGARAEWAAGVAGACKARGFCGGDSRETPVENTYDPGRPAAQQQQSAVQLSPRSIRRSIFHLQAQSTKRWLASALRYNLAASAPFHLRSLASQFSNPSLSWGRLPGSLAPSRSSTAPSWHHLFLISRRRKSQQAAAAGQKLCGICVPCVPVKNVLFHSDQRCHMPAAAPFPGGAAAGPATMAGGPPPPPSLYSYFPSHSSPSWAQILAGASAASSPGAAATSHPPRPGGPPLAAPLSAGQPLAAGHPLPTGQHLAAGHPLPAGGPPLAGGHPAAGTGSARPPPLADPALQRRPLSPFPDADAAAAHGAAAASALATSSDLGTVGDGTEDVAPAGVVQPGIVQPLLSPGDPAGAGAGATAPSVDLLGLGGPHLLHAPGPDSTLGLPVLLPRKARLVCVRRLSCGSASATRPTLWLARSPRRSSSSLPPPPGTSVPPPLPRPDNIRLLVPVVLEPESPSYVRWRDLVVLTLRRYALDDHVLVDASVAVQTPSWLRLDSIVLSWILGTISLDLHDLVRTSPDARRAWLALEGQFLGNAEARALRLDASFRTFVQGDLSVGEFCRRMKAMADSLGDLGWPVEDRILVLNVLRGLSDRYAHLRTWITRQRPFPTFLEVRDDLVMEELTQGLQPGSTASPGASSTALAATPPRPSASRPSAPPPSSLLGPPPSGPSGGGGGVVAAVVAAEGGGHHVPLSRTRGLGASPCGPTTPPGLTRVRRWPCSPELLLLASSLLRRRLRRGYLPPDLPGLHWGVSGWDQAALTRSFGTMGLTPPVGPEWIADSGATYHTTPDPGILSSIHSPSSSLPSSIMVANGSCIAVSSVGTAGAHGPFRLPDVLVAPSMVHNLLSIRRFTAANSCSVEFDSSGLTVKDLASRRPLLRCDSTGPLYTLRFRRFVSCLRRFYFLYYLAPAPRPSWTSTSHAARIFDLVHCDLWTSPILSISGYKYYLVVVDDFSHYSWTFPLRAKSDTFTMLLHFFTWVSTQFGLTIKAVQCDNGREFDNSTSRDFFLSHSVQLRMSCPYTSSQNGKAERMIRTTNDTVRTLLFQASLPARFWAEGLHTSTYLLNRLPSAACPAPTPHHALFGTPPRYDHLRVFGCACYPNTAATSSHKLDPRSTLCVFLGYSPDHKGYRCFDLTSRRVLISRHVVFDESVFPFSTTTPPVPDPPSLFPTDPVVQPPFSWSPAGTASPRSEPATGPGSPASPGPSSSGAAPSSPVGANPGPSSLASAPGGSCRLPTPDPVSAPAPAPPSRFAAPVRVYQRRPRPPPLVVPSPPGTPTPPPLSPPARGAPPVYHPPLLHRHPRHVHPMVTRHGAGTLPPRALEASTGDEVVSPVPSSVRDALLDPHWRRAMEEEYAALLANQTWELVPRPPGANVVTGKWIWTHKRRADGSLERYKARWVLRGFTQRPGVDYDETFSPVVKPATVRTVLLLALARSWLVHQLDVKNAFLHGLLTETVYCSQPAGFVDTTRPDMVCRLNRSLYGLKQAPRAWNHRFAAFLLTQGFMEAKSDTSLFVYHHGADTAYLLLYVDDIVLTASSEPLLRRIITALQQEFAMKDLGELHHFLGVTVEHRPTGLLLHQRQYTRDILERAGMTDCNPCSTPVDTQGKLSETEGPPVADPTAYRSLAGAL >Sspon.01G0006590-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:17361981:17367109:1 gene:Sspon.01G0006590-4D transcript:Sspon.01G0006590-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAQVAATFLSFLSSSSSTHHPAPSSSVSLGTNPVLPVSLRAAVTGGPRVASRLRGRRVGAAVAQLPTTHPEVVSGEKKIRWSSRAVRSFAMAELEARKMRYPTTGTEGLLMGILVEGTSGAAKLLRANGITLLKVREEAANVLGKSEMFYFSPMHPPLTEAAQRALDWAVNEKLKSGEDGEVTANHLLLGIWSDKESAGHKILESLGFDDEKASLLAKTADQEAAMSPR >Sspon.04G0001790-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:7064727:7066328:1 gene:Sspon.04G0001790-4D transcript:Sspon.04G0001790-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VICVNCVWYSYYNSTFAESISKFGSGLFLLVQVVLLLDFVHGWNENWVAKDEQFWYMALLVVSVINGSLLPASVIGLYCTYLCYSGLSSEPRDYECNGLHNHSKAVSTGSLTLGLLTTVLSVVYSAVRAGSSATVLSPPDSPRGTDKPLLPFSKADEQEDKKDVPRPVTYSYSFFHLIFSLASMYSAMLLTGWSTSVGESGKLVDVGWPSVWVRIVTQWATAGLFIWSLVAPILFPDREF >Sspon.07G0012390-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:50608859:50612585:1 gene:Sspon.07G0012390-3C transcript:Sspon.07G0012390-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGATNGNGANNGGGGGGKVISMPLQYFCVLAAAVVFVMVLSLSFMSPAAMVAVRQNLSSSVAAAPGSNSNSNSTASSSGGAGAAGLAAASPPVAVARKEKEKKEQARRAPPVVLFNFGDSNSDTGGVAAASGIRIMPPEGRTYFHRPTGRLSDGRVIIDFICESLGTHELNPYLKGIGSDYSNGVNFAMAGSTVTHGVSDYSLNVQVDQFVYFRHRSLEMFERGLKGPVSKEGFENALYMMDIGHNDMVGVAHTPSDQWDKKITEIVGEVRKVISILYDNGARKFWIHGTGALGCLPALVVQENTGEKDKHGCLAGVNRAAKAYNKKLSQLCDDLRFHLKGATVVYTDMFAIKYDFVANHTKYGIEWPFMVCCGNGGPPYNMELGKPGCGDLCPPEAKVVSWDGVHFTDFGSGLAAKLAMSGEYSKPRVKLVSLIHGGSKKASDS >Sspon.03G0012930-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:45962632:45966857:-1 gene:Sspon.03G0012930-2B transcript:Sspon.03G0012930-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPRVANKFRLGRKLGSGSFGEIFLGSCRRFLCFSVAFPCTHVQTNEEVAIKLENVKTKHPQLLYESKLYRILQGGTGIPNVKWFGVEGDYNVLVMDLLGPSLEEIFSFCNRKLSLKTVLMLADQLAYVIDFGLAKKYRDSANQHIPYSLPWQGLKAGTKKQKYEKISERKIATSVEAAAPGQSSRMAPMANNNGLSATEEGRRSGWSDDPLRRQVPPAGINAGSLAKQKSPVRQEVSTSKDSAFASSSILGRSSGSLRRPAVPSSRVPTSEALLCSRTPDGNPGAFQRNAPPHRSSQTLEYLDRSGVSLSGRLMPNTKSYESTLRGIQGLNVDANDRIHY >Sspon.01G0024990-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:88775479:88778962:-1 gene:Sspon.01G0024990-1A transcript:Sspon.01G0024990-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGASSACAASTSPPPPSPSADNPQLRHRRESLPASPRCRASGAAARGPRRGGPGEGVLRWSERPWDGCSGGSRLLQRLFGQIRRLCGRIRPLSTRSVLDPRTVARAAVSAAARATGMLGRLASERQEIAVHSEYLIREMVLSHRTMVPSTLSPAECHRKRKKYLDQKKLVAAGCKIPFGSRCFPADPLINTQHMAGRRLQGFSVMQPNDATETAEARLANAVIAMDVSPPTATVREVQLAIQKQFEGRALPLGITRFRSDFVIQFATPDERDLVTSSEILYGEGFDALLVRWSNRYGAQTVNWETEVAIDIDGFPPHTFHPSALGPLLERHCSIQAHNFSESRGICRVDAYALSKDSVPRSGEIGLQYPTPRGVTNMVFPVTMVTYNYSEAPKFVQEEVHPNPADLPADLYSADSIASFDTANARLERRGYRGYASDSGSSSNSVSSDEPFYLPRALSRIGAGTSTEAIGIGETS >Sspon.07G0004520-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:10570475:10575602:1 gene:Sspon.07G0004520-2B transcript:Sspon.07G0004520-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RSPISILCTSPSSCSLISDYQSSKKQAQGQKRQKQPSTNHHPPGPGLQAPQSNRVSNPVASSPTQPQAGRKPPVGSSSLFGNRRHAQVLTADRIDQIMDFPVDPIMAAKAYKYKTELLLKEYLLADSYVLYTSVLVGLLMCKLSYDFTHIISSVYFKGYASLTKIKKIEWNNRFLDVAGKKNSKAYTVNGVAMFVTWLMVRIVLFIYLFYHILTNYDQVFGGSNEFSI >Sspon.01G0035960-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:13572670:13599801:1 gene:Sspon.01G0035960-2D transcript:Sspon.01G0035960-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAGGQGLAGGWVVAGGQGLAGGKKVAGGKKIEIRELDEIAQAAFQGYKSLNRVQSRIFQATYYTNENILVCAPTGAGKTNIAMIAVLHEVKQHFRDGILHKNEFKIVYVAPMKALAAEVTATFSRRLSPLNLVVRELTGDMQLTKNEIEETQMIVTTPEKWDVITRKSSDMSLSMLVKLIIIDEVHLLNDDRGSVIEALVARTLRQVESMQSMIRIVGLSATLPTYLEVAQFLRVNPDTGLFFFDSSYRPVPLAQQYIGISERDYTKRSELFNTLCYEKVVESIKQGHQALVFVHTRKDTGKTARTLIDLAAKAGELELFSSADHPQFPLIKKDVSKAKSREVVEFFESGFGIHNAGMMRSDRSLMERLFGDGLLKVLVCTATLAWGVNLPAHTVVIKGTQLYDPKAGGWRDLGMLDVMQIFGRAGRPQFDKSGEGIIITTHDKLAYYLRLLTSQLPIESQFLGSLKDNLNAEVALGTVTNVREACTWLGYTYLFIRMKTNPLVYGITWEEVMGDPSMGAKQRTFIIDAARALDKAKMMRFDEKSGNFYCTELGRIASHFYLQYSSVETYNEMLRRHMSESELITMVAHSSEFENIVVREEEQDELEALARKACPLEIKGGPTDKHGKISILIQVYISRAPIDSSSLHSDAQYISQSLARIMRALFEICLRRGWSEMSSLLLQYCKAVDRKIWPHLHPLRQFDKDLSPQILWKLEERNVDLDHLYEMEENEIGALIRFSHQGKINILLLKLVKQYVGYFPYVNLSATVSPITRTVLKVDLHITPEFVWKDRYHGMSERWWIIVEDSENDTIYHSELFTLTKKMARGTPTKISFNVPIFEPHPPQYYIRAISDSWLHAETLFTVSFHNLTLPQTQITHTELLDLKPLPLSALGNKTYEDLYRFSHFNPIQTQAFHVLYHTDNNVLLGAPTGSGKTISAELAMLHLFNTQPDMKVVYIAPLKAIVRERMNDWRKRLVTQLGKKMVEMTGDFTPDLMALLSADIIISTPEKWDGISRNWHSRSYVMKVGLMILDEIHLLGADRGPILENAIHIITNRTDLADWLGVTDDGLFNFKPSVRPVPLEVHIQGYPGKFYCPRMNSMNKPAYAAICTHSPNKPVLIFVSSRRQTRLTALDIIQLAASDEKPRQFLSIADNSLDMVLSQVSDSNLRHTLQFGIGLHHAGLNDRDRSLVEELFSNNKIQVLVCTSTLAWGVNLPAHLVIIKGTEYYDGKTKRYIDYDITDILQMMGRAGRPQYDQHGKAVILVHEPKKSFYKKFLYEPFPVESNLREHLHDHINAEIVSGTISNKEEAIIYLTWTYLYRRLVVNPAYYGLEDTETNTLNSYLSRLVETTFEDLEDSGCIKVDDRSVKYLILGKIASQYYLSYLTVSMFGSNIGPNTSLEVFVHILSAAAEFDELPVRHNEHSNSSRKEEREGSWKGGRSREGRRVRDLHSAVVQQWRRLLQLDGRGEAHFSRAELPISDYVTDLKSVLDQSIRIIQAMIDVCANSGWLSSALTCMHLLQMIIQGLWFERDYESLWMLPSMNDDILDHLKGRGVSTVPSLLDLSREELHKLLQPFSASELYQDLQHFPRVDVKVKLHNEQERSKPPTLNIRLQLKNSRRSASRAFAPRFPKAKQEAWWLVLGNATSSELYGLKRISFADRVVNIRMELPQMFDVQETKLILVSDCYLGFDLEYSLEHLTKG >Sspon.03G0010790-1T-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3B:36426230:36426561:-1 gene:Sspon.03G0010790-1T transcript:Sspon.03G0010790-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGASGVTTTLLGAAVGDAQQAPFPWKLVLLCSALAWCAVRALEWAWWRPRRLARVLRSQGLRGTAYRSLAGDAPLTERLNREARSRPLPLGCHDVAPRAMPLFHHTMKEH >Sspon.02G0026230-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:91090330:91091333:1 gene:Sspon.02G0026230-1A transcript:Sspon.02G0026230-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RTAWADIYDDDELDIGAGCSSLPPNLDQSRGVSITSLLQAASASNSSELVSYLDSDTVSQLDDDFDLIQWWHERKLTYPVLSILVKDIFTVPMSTISSESTFSTTSRIIEERCRRLNPETVEALTCIKDWENAESRLQHMVEDKELEGAFEALYLDCQGRHGARWAAVPSRHARHGQASLVPCLGLNSGTVARHDTARLINRACRAVPKRAVPRRTRTV >Sspon.08G0004890-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:11711056:11714081:-1 gene:Sspon.08G0004890-4D transcript:Sspon.08G0004890-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQHAVLIIFLFFLVCSCQSLVDRLTSVKPLYPGDKLISDDGGMFALGFFNLTTNSTPSLYLGIWYSNIPERTYVWVANRDSPITTPSAKLALTNTSDLVLSDSEGRTVWATDNNVAAAGSITGGSGVLRSTGSFELELQLPNGTGVVVWKSLDHPTDTILPTFRLWTNYKAHTVLRVVAWNGPRDPSAGEFSLSGDPSGWGLQIIIWRGTRRTWRSGVWNGAGASAITRFIYSKIVDDGEVIYAAYNAAGGPTTHWKLDYTGNVRLRVWNVESSSWTVLFEGPGNGGCLHYGACGPFGYCDATGREGGVQECRCLDGFEPEDGFFRDFSRGCRRKQALACAGAGGGRSHYFLTLPGMKVPDKFLYVRNRSFEECAAECDRNCSCTAYAYANLSTIVTMSASSDMSRCLLWMGELLDTGKDGDLGENLYLRLATGSPGNNKKKIGMAMEIVLPTMTFLLMLTSCICLATKCKSRGTRRNKEAHERSAHDFWDQNLELSSISFEDLASATNNFHEANMLGKGGFGKVYKVGILKDGKEVAIKRLSNGSEQGKEQLRNEVVLIASLQHKNLVSLLGCCLHEDEKLLIYEYLPNKSLDKFLFDPAMKSMLDWPKRFNIIKGIARGILYLHQDSRMMIIHRDLKASNILLDAEMEPKISDFGIARIFGSSEQQASTRRAWNLWKDGMARDFVDTVVVESCSLDEALQCIHIGLLCVQDSPNDRPLMSLVVSMLNNEAMPRPMPKQPLFFAQRYYEALSTRGDSEHSANH >Sspon.01G0020950-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:77220951:77223001:-1 gene:Sspon.01G0020950-1A transcript:Sspon.01G0020950-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKCVVILVPTRELALQTSQVCKELGKYLNIQVMVSTGGTSLKDDIMRLYQPVHLLVGTPAAYLILPGRAFMLHPFHMLICLLSAKNYKLNKHIIWDIMQADKLLAPEFQPSVEALIHFLPPSRQLLMFSATFPVTVKEFKEKYLPRPYVINLMDELTLKGITQYYAFVEERQKVHCLNTLFSKLQINQSIIFCNSVNRVELLAKKITELGYSCFYIHAKMLQDHRNRVFHDFRNGACRNLVCTDLFTRGIDIQAVNVVINFDFPKTSETYLHRVGRSGRYGHLGLAVNLITYEDRFNLYRIEQELGTEIKTIPPQIDLA >Sspon.01G0058460-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:28778086:28781887:-1 gene:Sspon.01G0058460-1D transcript:Sspon.01G0058460-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VKLQERQLWEVVHVGGVSYGDDRRALEALCAAVPLNVAATIADKPTAKMAWDAIALWRIRGERMRRAMLQRLKGEWEGLTFQPGKQVEDFAVRLTNLMGEMVSNGDTDLTEERAVEKFLWSMPKRYVQIVNSIETLLDFEQLTIEDITGRLKSVQDREQALESDSAAVGGKLLYTAKQWRAFDKEEGAGPSKDCRRRRRGGKKNKPRSDPGAPPMFSDRIIEASRGSKVEIENGVLRIWDRRKRLLVKVHSSANRLYILHLNAAKLLCLAARKDEEAWRWHERFGHLHFDALCQLASTAAPHSRLKPVHGDLCGPISPATPSGRRYFLLLVNDATRYMWVALLTTKDAAADAVKHLQAVAEKSGRKLQALRTNNGREFTVAKFVAYSEQRRGEEKPDGGHHGADSTEAAEASNAVLGEAMVTAVHLLNQAPTKALSGITPYEAWHGKAPAVAHLRTFGCLTVTKDLTQLKKLDDRSHPSVFIGYTRTAPRHIASSTLQLSVCGSRATSSSTGAAAPYFDHVACSDHVERSDHAARSNTSSAPTTSQSPAPRELGSTPAAATAPSTSQIELATPLEDDEDRLDAFYDDEPLRYRTELESLEKNRTRELVDLPAGHRPISLKWVFKLKKDEKGQVTKHKARLVARGFVQQEGINYDDAFAPVARMESVQVLLALAAQEGWKVHHMDVKSAFLNGDLKEELYVRQPPGFAVTGEEGKVYRLRKALYGMRQAPRAWNAKLDATLKEMGFQQSAHEAAVYRRGSGRTVLLVGVYVDYLIITGADQEEVECFKAAMKEQFDMSDLGLLSFYLNVEKLHLSRESEAEEVDPTHYRRLVGSLRYLVHTQPDLAFAVGYLSRFMEQPTMEHQQAIKRVLRYVAGTLDYGLHYIRAPGTARFVGYCDSDLAGDIDTSRSTSGT >Sspon.07G0029040-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7B:73498565:73499834:-1 gene:Sspon.07G0029040-1B transcript:Sspon.07G0029040-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHPINIRTSPRTSLSLSEQQMIGEFWRKKQEEIEVIEDFGERPIPMTSLKKVICAEKGKMVMTSNTPIFMTKASTFNVDDGQQQHMGDEPSATNHMHVVHGELDVEVVTTTNVSADDNNINWDEIGMASDSMLIEFWKDVMVKEDPTLLPGTIYINDTILLPSDMLELEECCQSVSP >Sspon.03G0018960-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:44596616:44598694:1 gene:Sspon.03G0018960-4D transcript:Sspon.03G0018960-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRDQQAAAAAMAPEKLKLFIGVLALQFLLAGFHIVSRAVLNMGISKIVFIVYRNVISLALLAPFAYFLENITANQGFYLLGLYHLSPTYASAIQNTVPAITFVMAAVLRLEQVDLSRRHGVAKVVGTVVSIGGATVITLYKGLPLFHHSLHVESLVTLSSSSPILNWTLGCVFILGHCLSWSGWMVLQVVLPYVPVLKRYPARLSVLSLTCIFGLLQFLAIAVFTEEDLSRWKVHSGVELFTILYAGLVASGVAFALQIWCIDRGGPLFTAVFQPVQTVAVAVMAAAILGDQLYTGGIIGAVLIVIGLYFVLWGKSAEKKDARNLQDQLAHQGGDVTRHLLVGEASVKDEEAPATD >Sspon.06G0023960-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:49277295:49281009:1 gene:Sspon.06G0023960-1B transcript:Sspon.06G0023960-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LLPAPVENAADGRRSSFRFRPRNPPYAAGRAPDAATAAAAVVNVFCRRRQQQQRIRFRISGQKFADYLRSLWVVSQKGFRSFEDKSSAINDTGVDKKLVDLINDWRLPGMKLCVGNPEYKVIIESKLVNEKIVAAAGALFDCDAADKRNLFFLREAGLHIRDISGISCESWDILKLAIAVKVICCPDKELTDFHEVLAEDELSKLKGDAHKYRGIMCKSNWLRTCKADVSTYLLRIAKKRLLGSLVKEAYEAEEKHVRR >Sspon.07G0018860-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:68307201:68307656:1 gene:Sspon.07G0018860-1A transcript:Sspon.07G0018860-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIVGGRAMRLAALLLVAAVSATLVPAVFSDGEATTTTAGGGGGQQQPDTNVLCVSKCGTCPAVCSSPPPPPPASSSSAGGSTAPPSPKSGGGGYTSPSPPAGQSKGAGRPSNYYYLFTSAAGGRTGGGGSGGATALYASALVALVAAGLQ >Sspon.03G0019590-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:83435030:83435578:1 gene:Sspon.03G0019590-2B transcript:Sspon.03G0019590-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLPPAAPKPTPLCKPQACRVKAAQQACQQQTRRPGSSWASPFSRSSSVNSATTTTATTGTPRSAGRFGCPPFPLMRSWSVGSGAVARDGAAVSEAAGHRPHHHQYYKKLGGAGAPTGNGGGGSRAYYYGGSRKGSSGSGSHGVRVPPVLNVPFVGASVSNVLSYLLCDCSHGRTKKSREF >Sspon.01G0008560-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:23777879:23781770:1 gene:Sspon.01G0008560-1A transcript:Sspon.01G0008560-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALLEFGRGIRQDPSRRQAVPWNPTSALDSDGCPIDWHGVQCSGGQILSIAFDGIGLVGNASLSVLARMPMLRNLSLSDNKLEGSLPGELGSMASLQLLDLSSNRFSGPIPSELTKLAGLGYLNLSSNGFRGALPLGFRNLRKLKYLDLHGNGFTGKLDDVFAQLQSPIHVDLSCNQFSGSLASISDNSSVVSTLQYLNVSHNVLSGTLFDSAPMPLFDSLEVFDASFNMLSGSIPQFSFVISLKVLRLQNNNFSGSIPEAFFRETSMVLTELDLSCNQLTGPIRRVTSTNLKYLNLSHNSLQGTLPITFGSCSVVDLSGNMLYGNLSVARTWGNYLQMVDLSSNRLTGSWPNETTQFLRLTSLRISNNLLSGELPIVLGTYPELISIDLSLNELHGPLPGSLNNFNGSIPDGLPDDLVEFNVSYNSLSGSVPSNLLKFPDSSFHPGNELLVLPRSESPNGSDKSDEGRHGLKRGILYALIICVVVFVTGIIVLLLVHWKINSWKSSDKGTGQGKQHVTQGQSAQSQRSAEASTNEMQDVTLGSSPSAEYGAVPLPGKERQHEAQDVPIDAAYFNEPAGSSSALKDSTKSSMPSLSSSPPDAHSQHHHSILRVHSPDKLVGDLHLFDNSVVFTAEDLSRAPAEIIGRSCHGTSYKATLDNGYMLTVKWLKEGFAKSKKEFSREIKKLGSVQHPNLVPLRGYYWGPKEHERIMISDYVDATSLSTYVSEFDERNLPPLSVGQRLNIAIDIARCLDYLHNERVIPHGNIKSSNVLIQNSTPSALVTDYSLHRLMTPIGMAEQVLNAGALGYSPPEFSSTSKPCPSLKSDVYAFGVILLELLTGKIAGEIICMNDGVVDLTDWVRMLALEERVSECYDRHITDVESLEGTPNALDGMLRIAIRCIRSASERPEIRT >Sspon.06G0022350-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6B:29145715:29145951:1 gene:Sspon.06G0022350-1B transcript:Sspon.06G0022350-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWRPLSTPVLPSSLAPIVVASLPLLSLEREQRFSLPSPLTTTATCATPLRRADRPRPSTTTKLAPRERVLTIEKANT >Sspon.05G0033870-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:44624895:44625374:1 gene:Sspon.05G0033870-1C transcript:Sspon.05G0033870-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMARHGQWMKAYQFQVFKANVKFIDRKFWLGMNQFADLTTKINKGFNPHVVKVPTGFHYKNVSTDALPATVDRRTKGAVTPIKNQRPVG >Sspon.04G0015320-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:69902289:69904259:-1 gene:Sspon.04G0015320-4D transcript:Sspon.04G0015320-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKNLGPGVDGWAISLKAMMSIVVILGLVITGIYWGVNKFVIDNSSMPVVERKKKDKPKLSMGESMKVLLSSRYVRDLATLVVAYGISINLVEVTWKSKLKAQFPSPNEYSSFMGDFSTATGIATFTMMLLGRVILRKFGWGVAATITPAVLLLTGVGFFSLILFGEPLTPLMTKFGMTPLLAAVYVGAMQNIFSKSAKYSLFDPCKEMAYIPLDEDMKVKGKAAIDVVCNPLGKSGGALIQQFMILSFGSLANSTPYLGGILLVIVLAWLGAVRSLDSQFSSLAKQDLEREKMLKAQAVETTAKVVGTGNGSLQETLASGRLQMAQPPNSLKNLRVQPRRNQAKNLRV >Sspon.06G0015300-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:84368649:84370208:1 gene:Sspon.06G0015300-1A transcript:Sspon.06G0015300-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMELLLLYAPCCLVLVLSSLYLLGLYADSRRNLPPGPRPLPLVGSLFSLGALPHRSLAQLAERYGPVMALRLGTVTTIVASSADAARDVLQRHDAAFSGRSLPDGTHAFAHYTHSMVWLPTSSPRWRALRKVCSGELFAPHRLDTHQSLRRDKVQRLVSHVAGLARDGAPVAVARLAFVTALNLLSSTIFSTDLADIDIIDDDSGSSPSSSFKGVLAELNATVGLPNVSDFFPEVARLDLQGLRWRIESLFQRLHAMMDKQIERRLQDRVAAATGPKKEKDFLDVLLDYRGAEDGRGFDRQTLLSLLSDLFSAGTDTSAATVEWVMAELLLNPSSMAKARAELAQVIGSKPEVEESDIAQLKYLQAIVKETFRIHPPAPLLLPHQAETTTKIRGGYAVPKGARVVVNVWAIGHDGKAWPEPDKFLPERFLVAEEDEKAVDFRGRDFELLPFGSGRRMCPGMPLALRMVHLMLASLLHRFEWSLLPPADDDKNGGLDMTDRIGLNLSMATPLQAMATPV >Sspon.02G0027250-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:96724330:96729553:1 gene:Sspon.02G0027250-2B transcript:Sspon.02G0027250-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding CRSMNFLYRTTQPAAPELPRISEQDHHRDALQKPTTTLEGLIADDSYQPSSTRSEDGAANNGSRDISGDPSSLDSKSLVPLGTHADVAEDEGWITIPCSSLSGEQVHILVCLSASKQDVQVISPFRIAAVMSKNGNSLQNSTNKSSPVSANGHDNGAAGESGYQDVELNGEASPSEHDILETQSLLQMEDHKQQIEHVLRRFRESNFFVRIAESDEPLWSKKRVTSATTADERSDNQGNSKSSKSSVYNTISDKGIFDGSTSGGVARDVVKCYSLQNGDIVVVLQVNVGVNKLEDPVLEVLQFEKSISNNCMPQNLVDGLSDSNNDPCQELLSWLLPLDRTLPPRSLAPPTLNPSVSHKQSYSASGSQIFNFRSYSMPSASSVQTPNNIRPPPISESQEFMPEKPAKTPDIINDGQLSFRGVPLEPERYSVRCGLEGVYLPGKRWRRKVEIIQPIKVHSFAAKCTVENLLCVTVKNIAPTHAKDIVVFIDAITIVFEEASKGGAPLSLPIASIEVGHGHSLPNLALRRGEEHSFILKPATMSSRERKTSGYAPPALSLPTMTGATLNTHTPKVGEPYADLSDQYAVLVSYRCNYTESKLFFKQATSWRPSAASDLMISVSSELSLRNPSLGARVPQLPVQILTLEATNMTSENLTLNVLAPEASGSSSVVSLNSAQPPQMVLLMSAKKSGLGKHGIGFRRFNSVLATSPKEGDNGGNRMSNASGCTHLWLQSAVPLGCVPPRSSTTVKLELLPLTDGIITLDTLQITAREKGLAYIPEHSLEIHATSGMSSGRS >Sspon.01G0056600-2D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1D:89274114:89276714:1 gene:Sspon.01G0056600-2D transcript:Sspon.01G0056600-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSPEIAITSWNHAACFVHIVGFVFVLIVTVLIRANLAQPAQLSSPLSRYSRMIKEERPRERSSQQRKVFRSSNHGSMRAAVRTRRPRPPRPSPEVAKAPISPTTRSKPEKDLSPLTLPIRAFKLRLANGPPLAPTAKAFKSYSETCASLLRHCRATRAASTATSAYAPSSGFLPLVLSLHAHTLRSGLAADRSVASNLLTAYAAFARAADRDQAFRDCVAADAASSFTYDFMVSEHVKAGDIASARRLFDRMPEKSIVSYTTMVDALMKRGSVRDAVELYERCPLYSVAFFTAMIAGFARNELHKDAFLVFRKMLSCSVRPNVVTLICVIKACVGAGEFDLAMGVVGLAIKCNLFEKSIEVQNSLITLYLRMGDAAGAHRVFDDMKVRDVISWTALLDVYADLGDLEGARRVLDAMPERNEVSWGTLIARHEQKGDPSEALRLYSQMLADGCRPNISCFSSVLSACATLQDLRGGTRIHANALKLGSSTNFFVSSSLIDMYCKCKQCTDAQRVFNSLPEKNTVCWNSLISGYSWNGKMVEAEDLFKKMPARNSASWNTMISGYAGNQRFGDALNYFCAMLASGQIPGEITLSSVLLACANLCSLEMGKMVHAEIVKLGIEDSIFIGTALSDMYAKSGDLYSSRKMFYQMPEKNNITWTAMVQGLAENGFAEESILLFEDMIANGIAPNEHTFLAILFACSHCGLVEQAIHYFETMQAHGIPPKDKHYTCMVDVLARAGRLPEAEELLMKVPSKSDTNSWSSLLSACNTYRNKEIGERAAKKLHELEKDNTAGYVLLSNMYASCGKWKDAAETRILMQGASLKKDGGCSWLQLRGQYHAFFSWKRKHPLSLEIYEILDLLIWELTT >Sspon.01G0016650-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:57082400:57087584:-1 gene:Sspon.01G0016650-1A transcript:Sspon.01G0016650-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVAAWDGACQFELANWTAVEEPAAGVPVDYASIDIPGSCGLQAYEYDDVQLQQHLVDARSLTTQHSIDKSYTMPRIVALGPYHHDLEHLKQMEEVKHVAAWHCTREGQLLEEMYREFAPIAIDARCFYDKDVMAGISDDEFQHMMFFDACFLNQLPWTVVDTVLRLMDSSWISREFVARWRYCMMPHHHRKAPPPTPFVWYEDCTPPHLLGLLRYYIVGRRRDTDGNCVKPRAKKPKNMSFSVSAMDLAEIGITLKANKSLELSDIHLNKKGSVFTELCLAPLSLDRDSASYLINMTAIELCTVDSFGAATADDSAVCSYLMLLANLVCREEEVQELREKGLLQRGGGLTNAEVLAFFSCFQVLRMGPLYSGTMAEIEGYRDKSTMRTELHAFVYTHKKTIAAVVTGIGRESREADGRATVPWCYSTHRYCLRERGRGRWERRPRGFAHGQQERRFFIL >Sspon.04G0010570-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:32172423:32175770:1 gene:Sspon.04G0010570-1P transcript:Sspon.04G0010570-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSGTAAQQLPRTEARSLSGHEGAVLAVRFNRDGNYCLSCGKDRTLRLWNPHTGAHVKTYKSHAREVRDVHSSSDNAKLVSCGADRQIFYWDVASGRVIRKFRGHNSEVNSVKFNEYNAVVVSAGYDRTVRAFDCRSQSSDPIQTIDTFQDSVMSVNLTKTEIIAGSVDGTVRAFDIRMGRETVDNLGHPVNCVSLSNDSNCLLANCLDSTVRLVDKSSGELLQEYKGHTCKSFKMDSCLTNDDAFVVGGSEDGYIFFWELVDAPVVARFRAHSSVVTSISYHPTKACMLTSSVDGSIRVWT >Sspon.06G0002280-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:7299408:7302770:1 gene:Sspon.06G0002280-1A transcript:Sspon.06G0002280-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGGGPATAAASQAYGEAWYWDERYRKEAGPFDWYQKYPALAPLLRLYVAPHHRLLLVGCGNSGESLFLSVFGENMIDDGYQDVVNIDISSVVIDQMKKKYHDKPQLKYMKMDVKNMSDFESGSFDAVIDKGTLDSLMCGQNSQENATKMLEEVNRILKENGVYMLITYGDPSYRLRLLKDMENWTVKLHVIERWEKSSNQNKWELTKPLPLDDDSTSVVALLGPKPDVHYIYVCVKGTGGASAGSKVGEAAN >Sspon.02G0006820-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:21588499:21591199:1 gene:Sspon.02G0006820-2C transcript:Sspon.02G0006820-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASATSSISSHPAALRDVKAARIGALRQQVGVAPSAARGQRARAVRPLRAAEPARQPVAASAVAAAPAAPVADEAAAFAAVDYEALARELEGASPLEIMDRALAMFGSEIAIAFSGAEDVALIEYAKLTGRPFRVFSLDTGRLNPETYQLFDKVEKHYGIRIEYMFPDASEVQELVRTKGLFSFYEDGHQECCRVRKVRPLRRALKGLRAWITGQRKDQSPGTRASIPLVQVDPSFEGLDGGAGSLIKWNPVANVDGKDIWTFLRTMDVPVNTLHAQGYVSIGCEPCTRPVLPGQHERGRPVVDAKAKECGLHKGNIDKDAQAAAPRSANGNGSAGAPDIFESPAVVSLTRAGIENLLRLENRAEPWLVVLYAPWCPFCQAMEASYVELAEKLAGSGVKVAKFRADGEQKPFAQAELQLQSFPTVLLFPSRTARPIKYPSEKRDVDSLLAFVNSLR >Sspon.01G0018650-3C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1C:71021700:71023085:1 gene:Sspon.01G0018650-3C transcript:Sspon.01G0018650-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKVLVAVAVAVLLVVLSKLKSLLVTKPKLNLPPGPWTLPLIGSIHHLVTSPSIYRAMRDLAQKHGPLMMLRLGEVPTLVVSSPEAAQAITKTHDVTFADRHLNATIGVLTYNGTDLVFGTYGERWRQLRKITVMELLSVARVQSFQRIREEEVARFMRSLAASAGAGAAVNLSKMISRFMNDTFVRESIGSRCKYQDDYLDAFDTAVQQTSVLTVADLFPSSRLMQILGTAPRNALKCRNRITRILEQIIHEQVEAMDRGEKTVHESLIGVLLRLQKEASLPIELTNDTIVALMFDLFGAGSDTSSTTLNWCMTELIRYPAAMAKAQAEVREAFTGKTTITEDDLAGAELSYLKLVIKEALRMHCPLPLLLPRQCRETCQVMGYDIPKGTAVFINVWAIAGTPSTGRCRGIQARAVREHQP >Sspon.08G0005100-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:15156201:15159487:-1 gene:Sspon.08G0005100-1A transcript:Sspon.08G0005100-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSPLPPAPAPVPPRGRRVGLPRHRGGASSRGYTIAGRVKIDGASAKGFGLPAKTSNTKVILNGGQRVTFARPDGYFAFHNVPAGTHLIEVSSIGYFFSPSPMGLMVGFMVLMVFVMPKLMENIDPEEMKQAQEQMRNNPVSFSGLLSRAQG >Sspon.01G0031990-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:108959209:108960708:1 gene:Sspon.01G0031990-1A transcript:Sspon.01G0031990-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVTTSAAAAGPRPDRPHVMLVASPGAGHLMPMAELARRLVAHHALAVTLVTFADLSGDPDAHSTAVLSSLRAANVSTATLPAVPLDDLPADARIETVLLEVIGRSIPHLRALLHDVGNTAAPLAALVPDFFATAALPLASELGVPGYIFFPSNLSVLAVMRSAVELNDGAGEYRDLPDPLPLPGGVSLRREDVPSGFRYSKEPAYAQLIHVGRQYRTAAGFLVNTFYEMDPATVEEFKKAAEQGRFPPAYPVGPFVRSSSDEGGVSSPCIEWLDRQPTGSVVYVSFGSAGTLSVEQTAELAAGLEDSGHRFLWIVRMPSLDGEHSDDMGRKSRGGGGGDENDPLAWLPEGFLERTRGRGLAVASWAPQVRVLSHPATAAFVSHCGWNSTLESVSSGVPMVAWPLYAEQRMNAVVLSENVGVALRLRVPTDDGLVGREQIAAAVRELMEGEDGRAVRRRTGDLQQAADLAWAPDGSSRRTLEEVVGRWTAGALGVSLS >Sspon.03G0003420-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:8659527:8670202:-1 gene:Sspon.03G0003420-1A transcript:Sspon.03G0003420-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DHLSEEIKYEEVGVHCEFPGQQAVHLQMDTTELPAKSYDLHLSWYIGIAAECSISMRAAPKHPDTAARLVQAGYGVYGIDHEGHGRSSGSRCYIPNFGDIIADCSNHFTSICEKPENRGKKRFLYGISMGGSVALLLHRKAPDYWDGAILLAPMCKISDDMRPHPIVVSALTMICAVAPSWKIIPTPDIIDKVCKDPEMRKQVRSNPYIYRGKLPLKTCHELLMVSLDIEKNLNQVTMPFLVLHGGDDIVTDPSVSKLLFEKASSKDKTFKLYPGMWHALTAELPDDVERVYADIITWLEERAICAFADFFVNSRGNRLFTCSWTPRKSESRALIFICHGTGIPSLQSRTLPLDTAARLVHRGYAVYGIDHEGHGKSSGSKGYISSFSDVVRDCSDHFKSVCGENEAVNSSKKQESRLKKRFLYGFSMGGTVVLQLHRKDPLYWDGAVLLAPFCKMFDNMRPHPIIVSALKMISTIRSNPYMYKGNLALQTGRELLSVGLDIEKNLHEVSLPFLVLHGTDDVVADPSGSKLLHERASSRDKTLKLYPGMWHVLMGERPEDVERVFADVISWLDDRVGGTATVPGSTTNPHELVVEM >Sspon.05G0020680-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:87610469:87612910:-1 gene:Sspon.05G0020680-1A transcript:Sspon.05G0020680-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHFVVGSAALLLLQLMSSSSYPSSAVLAADSVLGRKAGIVVDEAAAPESSQPGAGRYAVIFDAGSTGTRVHVFRFDRKLELLEIGDEGIEVFAKVKPGLSSYAGHPQEAANSILPLLDKAKSVVPKQLMKRTPLRLGATAGLRLIGEEQSKQILEAVRNLVHTKSKFQYKPEWINVIEGSQEGSYLWVALNYLLDKLGGDYSQTVAVVDMGGGSVQMAYAISANTAANAPVSPLGEDPIEYLKGKDYNIYAHRFRSTHYLIEESPYTFSLLITEPCILLKKKDDICHDLRSPCSYLHYGAFASRAEILKARNGPFSNCMLRGFSGEYTYNEEQYDATAAPEGAVYCKCREEIGKALNLSAPCETKNCTFNGIWNGGGGAGQDNLYVASSFYYVATEVGIIDVNAPSGKTTPGAFGASAEKACQMSVEEAKIEYPNVNDVDVPYLCMDLAYQYTLLADGFGVEETKEITVVDRVKHGEYYVEAEWPLGSAIEAVSTKKWRQDA >Sspon.01G0038990-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:32471129:32472303:1 gene:Sspon.01G0038990-1B transcript:Sspon.01G0038990-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LDELEKKLADEVKVRNKAERRLRRAIKKLESLKILDVELSDGSIGSLSSNGRSGHQAPEVEVEVEAERNGPGSLTTDGSVPSAPSGDADADRDVSRESSEGSCTQVNSSSQDGSWCSVVSEQSRPGSCMDLAGNTTHCSSEGSGGDHDSERYACRSTQCQPEHLDASSGCGSAKSEEAFYESDDRLALVLVDPQLVAAAAAADDGPRTQDNGTQAEEVRAR >Sspon.01G0050940-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:9013096:9014789:1 gene:Sspon.01G0050940-2D transcript:Sspon.01G0050940-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPTQTCSPRAAAAAPVCFVCPMDTQVKLAVVVKVMGRTGSRGQVTQVRVKFLDDQNRLIMRNVKGPVREGDILTLLESEREARRLR >Sspon.02G0018800-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:60341700:60356496:-1 gene:Sspon.02G0018800-1A transcript:Sspon.02G0018800-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDTSRIPGYFKNKGILITGATGFLGKILVEKILRVQPDVKRIYLPVRAPDAESAKKRVETEVIGKELFGLLRETHGKGFQSFIEDKVVPLGGDIIHENLGVEGLQLSQMTRELNVIVNGAATTNFYESMQNWVVNVTCMRVRRYDVALDVNVMGVKHICQLAKQCPNLEVVLHVSTAYVVGEKQGVILERAFKQGETLRETEAAAEAELDIDAELRLARDYQRQVAGDDAEQKNERKAMKELGLARAREFGWPNTYVFTKALGEMVLARELAGGVPGVIVRPSIITSVQKEPLPGWIEGTRTIDAILIGYAKQSLSCFLADLQLTMDVIPGDMVVNAMMAATVAHASSSAPADEHKPPAVYHATSSLRNPAPYAVLYRTGLRYFSDHPRVGKDGRPVRTRKVHFFGTVAGFTAYMVLRYRLPLELLRLLSLLCCGLLFSRLYADLDRKYRFVMRLVDLYGPFALFRGIFDDANVERLRIAMPAADRVEFNFDPKTVDWDDYFYKIHIPGVMKTNTFVPIAVFFAPPPLPLRRSNPPAAAKIEEMITEKPSWIRHEGLQIFSIDIQPGGLRFATGGGDQKVRIWSMKSVDKNNANNDSSQGLLATMRDHFGSVNCVRWARHGRYLASGSDDQVILIHERKAGSGTSEFGSGEPPDVENWKVVMTLRGHTADVVDLNWSPDDSTLASGSLDNTVHIWNMTNGMCTAVLRGHSSLVKGVTWDPIGSFIASQSDDKTVIIWRTSDWSLAHKTEGHWEKSLGSTFFRRLAWSPCGHFITTTHGFQKPRHSAPVLERGEWSATFDFLGHNAPIVAVKFNNSMFRNNFSNGQDTKAAPAGWANGTSKTSSKEQQPYNVIAIGSQDRTITVWTTASARPLFVAKHFFSQSVVDLSWSPDGYSLFACSLDGSVANFHFEAKELGYKLSDSELDELKRSRYGDVRGRQSNLAESPAQLLLEEASAKQSASKKGTSIVQQFQAPPKVSADVPNPAPVEQSQKAPETLPEAEKKTSGPAADDMNKVTQLSSPVKQREYRRPDGRKRIIPEAVGFPSNQDNIPSHSQNQAMDFSSLDRRMNGIRPSYGGNSNCNNSGVKDRSGVTARANITESLVIQKASTSAGSDGMLSVERTGSVVPGSLTCSALSIHVLDKKDNEDSLPVCLEAKPVERAAGDMIGVSSAFSTKETEIRCTRGTETLWLDRISAKVTVLAGNANFWAVGCEDGYLQDSLTSLVASPDESSANHAGAVKVISAKFSRCGFPLVVLASRHAFLFDMSMKCWLRIADDCFPASNFASSFSSPQGGELGKLQIDLGKFMARKPIWSRVTDDGLQTCAHLETQLAASLALKSPQEYRQCLLSYIRFLAREADESRLREVCENFLGPPMGMLGSASSMEPKNPSWDPDVLGMKKHKLLREDILPSMASNRKVQRLLNEFMDLLSEYEAAKSKVDPIDVTPAPQPAPEVNDDMVTS >Sspon.06G0008520-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:31111414:31112205:1 gene:Sspon.06G0008520-2B transcript:Sspon.06G0008520-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGDPGYGYGSYGYGYGYGYGAGAGGYDYDMAGYGGGGAYYSANDRYPAGPAAYKDPLAGRRQHDFPAPLTGLELRPSDNCPKNYVIFDQTYDRSRVMFHPSLANNFGSSAGGYDYDRHCYGYEQNYAGKSAYYGCDDDGGGASIRQKEDTDEIDALMSTEDGEDEDDVLSTGRTPGCRAGGSPDSTCSSGYAAAGSGGGKHKMAGGGGEKKKERMKKMVRTLKGIIPGGDRMDTPAVLDEAVRYLKSLKVEVKKLGARGSSS >Sspon.05G0036920-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:5927919:5929531:-1 gene:Sspon.05G0036920-1D transcript:Sspon.05G0036920-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADMECRLEVDGVLSYADDLMGVLRCSDDADANAQVIAAARLLRTACRSESDHLELQLKDYQQKLCSCKEKTDEANTETIAADELSVLQNRIEENLQEKKELHEELR >Sspon.01G0011040-3D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:33049243:33050964:1 gene:Sspon.01G0011040-3D transcript:Sspon.01G0011040-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFDGEIASKNSMKIVEEFAKIAGECLAMERDKRPEMIDVVERLRVLNKASHQDQGERAGLFSWARKSKPVPAARVTTVPAKILVLPAHLCRQFSFAEMKAATNNFYGRLRVRDGAFGSVYRGKIDGGKADVAIKRHMPYSVQGEHEFRTEIEMSSKVRHCNVAQLIGYCDEMGEMILVYEYMARGCLRDHLYRTKHPPLTWNRRLEICIGAARGLHCLHASQVIYRNLKSEDILLDDAWVAKLTDLALCKTAGPSMDEITRVIGTGGILLDHEYITTGRLTEKLDVYSFGGVLLQVLCARPILDISLPNEEMKLVDWALHCKEEGKLDQIVDPYLKGSIDQSSLETFVGIAEKCLASEGLVWCDSRTSSSTGSGTGAGGATPNAAHVRAFGAQPDVPEPFSPEKPEPEKVAPVKLRLRHRSRAGEVLPNGP >Sspon.01G0030160-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1A:104824855:104825376:-1 gene:Sspon.01G0030160-1A transcript:Sspon.01G0030160-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GSACVKGQSGSWAGRRPPRRRWPRRGASCRSSKRLGRQGWAAKGEAGELSAGGSAESAGAEMARASSSVKAVAAHARGSSGTGEWRREVTRAGNGATYTKAASPGLGACWAASQSVVSGGQAHEAFHLHLVREQQRRRLQPRFLASSASPAPTPATSEGGEGVPSHRDLGRPP >Sspon.05G0001270-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:5418709:5421372:1 gene:Sspon.05G0001270-1P transcript:Sspon.05G0001270-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polyamine oxidase, Seed germinatio [Source: Projected from Oryza sativa (Os04g0671200)] MDPNGLKTGGLLLPTIERRGTSPPSVIVIGGGISGVAAARTLSNSSFKVTVLESRDRIGGRVHTDYSFGCPVDMGASWLHGVCNENSLAPLIGYLGLRLYRTSDDNSVLYDHDLESYALFDKDGNQVPKETVDKVGETFERILEETVKVRDEQEHDMPLLQAISIVFERHPHLKLQGLDDQERVLTGGHGLMVNGYYPVIEALAQGLDIRLNQRVTEITRQYNGVKVTTEDGTSYFADACIISVPLGVLKANIIKFEPELPSWKTSAIADLGVGVENKIAMHFDNVFWPNVEVLGMVGPMPKACGYFLNLHKATGNPVLVYMAAGRFAQEVEKLSDKEAVSLVVSHLKKMLPDATEPTQYLVSRWGSDPNSLGSYSCDLVGKPADVCARFSAPVENLYFAGEAASAEHSGSVHGAYSSGIAAAEECRKRLLTLKGIPDLVQVAAWEEMAGVVAPLQICRT >Sspon.07G0031940-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7C:39603183:39605049:-1 gene:Sspon.07G0031940-1C transcript:Sspon.07G0031940-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding WLPNQRSTRPGCLLEAVERPVEPHPAGLLLHQRQYTQDILERAGMTDCNPCSTPVDTQGKLSEAEGPPVSDPTAYWSLAGALQYLTFTRPDITYAVQQICLHMHDPREPHLTALKRLLRYLRGTIDYGLLLHRSSSADLVVYTDADWAGCPDTRRSTSGYAVFLGGNLVSWSSKRQPVISRSSAEAEYRAVANGVAEASWLRQLLAELHTSPSRSTLIYYDNVSAVYLSTNPIQHKRTKHVEIDLHFVRDRVAMGEVRVLHIPTTSQFADIFIKGLPSSTFTEFRSSLNITSGYHDYSLPQTPLVWEPLALSVKGVRAQGRRTSTTEFVASIRGSSAGLCPHADDLRQPGYSPRLPA >Sspon.01G0055320-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1C:77769988:77770341:-1 gene:Sspon.01G0055320-1C transcript:Sspon.01G0055320-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKNYFDAASAPSALICGPIATGQSPSPSTLPNPTPGPILPPAPHSRRGHGLPAGATSSTSPPSSRERRWGSGWRQRAASAAIVVPQGRKETADGSIRTAPSFRRAVVKKKVRRLSR >Sspon.07G0024420-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7B:24841279:24841513:-1 gene:Sspon.07G0024420-1B transcript:Sspon.07G0024420-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VGMQPLHLYGGTDGSWEV >Sspon.05G0025330-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5B:23230162:23232281:1 gene:Sspon.05G0025330-1B transcript:Sspon.05G0025330-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAVEEEPSERRRRSRRQARPPTPMEDEVEEEVVVGDRDGDEEENWTVVSGGGLARHVNGILGLLCKTHFPGLVVYARKREPAYTFDHYADAPDAEAENKAVRVKRDFWLYYRCEEGFEVRAEAVLTKACKKLVTDIHYEARVQDIVTYHRSILGEDMYPWWYAAYPECWEVVVDRWCGPEWAKAHNAARERRLLMPGVPHHQDSHSLAAYGQAWSASHAGQECTTFMAYAMAHKGKVTSDVSNNPEDLSDAYTNPTVYTRINEYMSTARSLHGYDYDPSSQDFDPEVVMRIGGGKKHGHYYLGDGVIDAQVQALEAMQEADWQKMEALEAQRVAEQQRQQQQMAELLRYMQTLGQHTGVAPLASLFVPPTPPPPEHATPPQSAGSNNPPQWPSNDPGAGTPQSQWGAWGSG >Sspon.07G0014660-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:52563419:52566394:1 gene:Sspon.07G0014660-1A transcript:Sspon.07G0014660-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA repair (Rad51) family protein [Source:Projected from Arabidopsis thaliana (AT2G28560) TAIR;Acc:AT2G28560] MANMPVSEMRLPPHLVHLLAARRLDTAKDVLSLPEVELVAILDAGLPTARAAVALVSKAACPPCQTVPSHPPVLRLRPCLGFGGALALLEERVRLGGGGRLATTLCGLDEALGGGIPMGKLTEVVGPSGIGKTQFCLKLALLAALPEYYGGLDGRVVYIDTEFKFSSRRMIEIGQKSFPQIFRQEGLAQKMAGRILVTRPTSLADFAKSLEEMKVTLLQHDVKLLIVDSMAALTSLENEKATAGFRQHPLRWTLSFLKCVYSRVLKNSSRGYKPSTQPNGQKDGNKCAERFDSHLVAALGIQWAHAVTVRLVFESHSGLS >Sspon.01G0043220-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:68446756:68450386:-1 gene:Sspon.01G0043220-1P transcript:Sspon.01G0043220-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVNYCTQVLAKFEFGKGFWRGNKTDIVISKYEDTFLLVPVSHMPKMFIFIKSAALIPLDRKDESVFSDPTYNVSVLFGKRDEGALKDIIVAVIENRLW >Sspon.02G0013700-1P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6D:14870197:14871111:1 gene:Sspon.02G0013700-1P transcript:Sspon.02G0013700-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTLVGHVAPGVGFIVVGLWHLYSHIRLFLLRPGSYVAPVWFPVRGARHLELILVIAGAVASILMELVIGPARHQPFDDDGTVPSDHLHNFEHASISLALLVYAASAILLDRARPRTPCRARDTVSQLAAAAAFAQELMLFHLHSADHVGVEGQYHLLLQGVVAVTLATTVLGVAAPRSFAVSLVRSASLVLQGVWFVAMGVMLWTPALLPKGCVLSHENGHDVARCRDEGGALARAKALVNLQFSWYLSATVVLVVALYHRMCSLYKEEPRYVPLVRGNDHGEEDDDDGDGEENDVEAAKGGSG >Sspon.04G0005120-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4A:14689951:14690415:-1 gene:Sspon.04G0005120-1A transcript:Sspon.04G0005120-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKAEKKPAAKKPAEEEPSEKAAPAEKAPAGKKPKAEKRLPAGKSAGKEGGEKKGRKKGKKSVETYKIYIFKVLKQVHPDIGISSKAMSIMNSFINDIFEKLAAEAAKLARYNKKPTITSREIQTSVRLVLPGELAKHAVSEGTKAVTKFTSS >Sspon.07G0014340-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:51900355:51901629:-1 gene:Sspon.07G0014340-1A transcript:Sspon.07G0014340-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKASRFRVELETPQQANNRFSSLFGLGSGRETTVALDFHSIPIPSTDLTARPRSHHPREFLFLGVQIHPSPPRLRLRRAMDPFHHLNTSFSSPYHPLLSPSPPHHPHFPPLPPPPPLPEPPQLPSSASAASSAAASLERERLPQWSHAETAAFLAVRADLDHSFLTTKRNKALWEAVSARLHAQGFARTPDQCKSKWKNLVTRFKGTEAAAAAAAGAAVVDPASAVGQSQQQQQQRPQFPFHDEVRRIFDARAEHAQALERKRAKGKGVRDDEGAGEGEDVEDEEEDDEIEADAAAGGDEDAAGAGRASGGAGTKKRRRKQQQQAASRAAADQGEVEAMLREFMRRQAEMEERWVEAAEAREAERRAREEEWRASMVALGEERLALVRRWREREDAWRARAEEREERRHQLVAALLAKLGGGDS >Sspon.08G0018890-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:11322555:11323187:1 gene:Sspon.08G0018890-2C transcript:Sspon.08G0018890-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTTPQPQYKRIAACCLLRCAPCRAVRRVVSSPLSCSKHLTAPHLTASPADPAAAARSRSLPGSPAPSSMKRLLRRLSRVAAADACAAAGYQPLRPDAAAASANAKAAALSGARRLGGGARVPEGHVPVCVGEEGGPVERYAVRAELLGRPAFAALLRRAAQEYGYGHPGALRIPCPVADFRDLLVQLSSASACAAADHPDDDAALCYYY >Sspon.07G0000290-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7A:761868:762191:-1 gene:Sspon.07G0000290-1A transcript:Sspon.07G0000290-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQMKTERAQKRKWLALLGWTPRPNVDFLGEPNNNPYVKAQSSNENSPPIRQLHIQSIHPAPAVVPHLEPYLPHVSSRIYWVSRRRQLKRAKQERWMTTQAHGAME >Sspon.05G0019580-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:75660821:75661780:-1 gene:Sspon.05G0019580-1P transcript:Sspon.05G0019580-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RNGERVQSPAPVLHTGPRLLRLRRRGPRRLHHAVLHPHVHLHHHHHHLLLAVHAFGRRLLPRDPAVADLPSAPAPPHLVPHPAGPPDAVLRRAHRVLPRRPPPPPQGHPLPPLPPRARALLGPHRRQVRVVPGAGLRVLRRRGGRRRGGAGRRRPGGRLRQGQVRGPDPQGAVHPPRGARRPRRRRGAAAAWSRRRRHGARRKGPLRGGRAGARGHRGLLLLQGRRREGGGGGALGQRRRQARRARAPPALELPGQPDGVRRRRARRRPLGPPRLVVPRPARVRRRHAPRQDRAREQALAPGGGPGARLRAPRPGIQDAA >Sspon.08G0009420-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:41405569:41410866:-1 gene:Sspon.08G0009420-1A transcript:Sspon.08G0009420-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPPGTTIPEAPPNLDYSIALEYDGPDVSYELPRIDPVCLPAIPTAEPVSGPLGLGLGSSGVVPVAPVIGPAAARARANPPPPGASRAGGAAAHRAAVPPARARRGSSPSAGSAAAAARDEDGCSDDDEDEDGSRPPRSSRPAAPEGRRPQVVTFGEPEDSRYQSQDFDAASAEQQYVAVTRPAVERKGRMTCCHRCGKSKWESKESCIVCDARYCGHCLLRAMGSMPEGRKCITCIGRPIDEAKRSKLGKGSRVLACLLSPLEVRQILRVEKECQANQLRPEQLVVNGFPLHEEEMADLLSCQRPPGNLKPGRYWYDKESGLWGKEGEKPDRIISTNLNFNGKLQPDASNGTAQVFINGREITKIELRILKIAKVQCPRDTHFWVYHDGRYEEEGQNNIKGKIWESPLTRFACALVSLPVPPTNFDVTKDEAPYSSRTVPDYLDHKRIQKLLILGSPGAGTSTIFKQAKLLYGNRFTDEELENIKLMIQSNMFKYLGILLEGRERFEEEALAVSNHPSSEGDDPQQDESKSSSSNSCIYSINAKLKKFSDWLLDIIATGDLDAFFPAATREYAPVVDELWKDPAIQATYKRKDELHFLPDAAEYFLSRAIEVSSNEYEPSEKDVIYAEGVTQGNGLAFIDFTLDDRSPMSEPFGDNHDAYSQPANKYQLIRVSAKGMNEGCKWVEMFEDVSMVIFSVALSDYDELGAPASGSSRTLMNKMIQSRDLFEATIRQPCFRDMPFVLVLNKYDLFEEKIGRSPLTTCEWFGDFCPLRTHHNNQSLAQQAFYYVAMRFKDLYAASTGRKLFVWQARARDRPTVDEAFRYIREVLRWEDERDAAGYCPEESFYSTTELSSSRLIAAAE >Sspon.07G0017600-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:82274680:82276744:1 gene:Sspon.07G0017600-3C transcript:Sspon.07G0017600-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRTRSMTAVLRSRNPIKTKAAPPKRKAATSSEELKRMAASAVDVDKILRLKKEAIEAIETKCFPLTAKAKLAAAGQTQQSGAEKEKAPEKKKRATLTIGRQDSSNIPEDELAKESQLFRDGYRSKVLLAEKLRACQQVLLDQYNAFGYAYDAKEVTDDEGEDEAEGEMVVPGFWPGKIIPENIFINYVGPQHVQDTTIEAILKHTLPQALSSFSTNKINANILSCDLEVIYFVNVTFWSDMMMLWMLFLKSDGYLKTNIRTSKLSLK >Sspon.01G0009020-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1A:25086797:25089174:1 gene:Sspon.01G0009020-1A transcript:Sspon.01G0009020-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GLVVFAASLRSECVITSKNWGSRGAGGSNKRKETTTRPSARRRQEACRRGGGSVQGDPVRKAGSCGCLLLLLPEVLNLEDTLLSLSGLVLTGFRLVRCPKCLNILPEPPNVAVYKCGGCDTTLRAKIRVSNGQAKQVRQDSDSYSVATAVSNGVPSQNKDQGSIGAVTESSFNADAPSTEHDSNGTRSSENGDDMLAGQSAVVVEDAENKDNHNFEGQDTSSRMEGPADRTNLNANGTFLDSGETENHTVEQPTETCQVSGHDDTEYHLNTSENKMLSSEMSKAAISMQDPEQKEAGGAEHAANKKSYLVRVLSRSCDLRSSINSIDFHSARTSLQSKSFRASEPLQSKIMNTVDELKGDLSELFNKPADCKPKAHHPPRPSKPDGHTTRAAITSSAPLAAYHPAAKHSGYVSRLSRSGQVPPRGLPSLRYRRHR >Sspon.02G0046640-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:1909313:1909537:-1 gene:Sspon.02G0046640-1P transcript:Sspon.02G0046640-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMPGIVTVAAVVGGAVSAYFLWPTAAVPAAAAATMKAPGAMGFLISRAAFLANPQLYFQILRTAGAAAAAAAFA >Sspon.01G0042550-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:66859982:66863725:1 gene:Sspon.01G0042550-1P transcript:Sspon.01G0042550-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVESFRVESPRVQYGDGEIQSEYRYDTTEVVPPGNGGGAGWVVRPKSVTYNFKTSTNVPKLGVMLVGWGGNNGTTLTAGVIANREGISWETKEKVHKANYFGSLTQASTIRVGSHNGEEVYAPFKSLVPMVNPNSIVFGGWDISNLNMADAMARAKVLDIHLQKQLRPYMESMLPLAGIFNPDFVAANQGARANNIIKGTKKEQVEQIKKDIREFKEKTKVDKIVVLWTANTERYSNLVAGLNDTMDNLLASLDKNEAEISPSTLYAIACVFEGVPFVNGSPQNTFVPGLMELAIKKNSVIGGDDFKSGQTKMKSVLVDFLVGAGIKPTSIASYNHLGNNDGMNLSAPQVFRSKEISKSSVVDDMVASNPILYKLDEHPDHIIVIKYIPYVGDSKRAMDEYTSEIFMGGKNTIVLHNTCEDSLLAAPIILDLVLLAELSTRIQLKAEGQDKFHSFHPVATILSYLSKAPLVPPGTPVVNALAKQRAMLENILRACIGLAPENNMMLEH >Sspon.05G0034470-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:57812636:57829416:1 gene:Sspon.05G0034470-1C transcript:Sspon.05G0034470-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSELSKKSPSLPHPPRATVPAHPGAGCQRPVLPAAYCSASQLTMARTINSLMYKGSIPDAINQSRREKKLFVVYISGEDEASSNLEQSTLIDENVVEVIDGYINSENLKESIEKAWAALHLQETAATLLTASLASRNAESVNTATTVLVAQGGSSTLENPSDSSSQSPDVSGASGVAHSTDLVSQVPSSTTLYEPLEINGKEGSKSDSGDRTVEKLGSTNTEFNCDLVDGSRKSNTSDDIQLSIRMPSGNRLEIKVRKQDVLRKVKNFVDENKGNELGSYDLSLVYPKRVFSEQDMEATLSELGIQNRHAMIVVPHRQPGQDMDLVSHGLLLAIGAMK >Sspon.04G0011190-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:33493051:33501388:-1 gene:Sspon.04G0011190-3C transcript:Sspon.04G0011190-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKEREEQVAMVRAVLGEGTPEMDIIRALHMAGDDPTKAINILLDFNHKAAPPLPPTPSPSPSPSPSPPPGKPAKALVDSTPPSKAPTRPMPTAEKPKPATTNGGGGEHWWLVGSAEMAGLSTCKGRRIASGDAVTFTFPNATTAVGKSRPGRPALASCSSEIMRFATPSHGEVGRIPNEWARCLLPLLKENKLKVKGSCKSAPEVLTIMDTVLLSVSIYINSSMFHDQKQLAPKAARVAPDDSTFHPLPALFKLIGLAPFIKAAFTPEDLYSRKRPIETKSSIGAAATKLTSERFRLSSDGNEDDHGEETVSDSDLDDIIGISDSSALEERAPPDSLLCDLRSYQKQALHWMLQLEKGSSSQDAATTLHPCWEAYKLEDKRELVLYLNVFSGDATTEFPSTLQLSRGGQRVHHNSECCSIPREASGLGESHDAVKKLASPFSFSKHKKPKAPLIGGSNLIICPMTLISQWKAEIEAHTKPGTVNIYVHYGQNRPKDASIIGQSDIVLTTYGVVSSEFSMDGSTENGALYSVHWFRVVLDEAHMIKSSKSLISLAAAALTADRRWCLTGTPIQNNLEDLYSLFRFLKVEPWRNWALWNKLVQKPYEEGDERGLKLLQSILKPIMLRRTKNSTDKEGRPILNLPPANIEVKYCVLSEAEKDFYEALFRRSKVKFDQFVEQGRVLHNYASILELLLRLRQCCDHPFLVMSRGDTQEFADLNKLAKRFLRGGNGAVNGDSSCIPSRAYIEEVVQELQKGEGECPICLEAFEDAVLTPCAHRLCRECLLSSWRSATAGLCPVCRKSMSKQDLITAPTDNRFQIDVEKNWVESSKISALLQELEVLRSSGAKSIVFSQWTAFLDLLQIPLSRNNFSFARLDGTLNLQQREKVIKEFSEDNSILVLLMSLKAGGVGINLTAASNAFVMDPWWNPAVEEQAVMRIHRIGQTKTVSIRRFIVKGTVEERMEAVQARKQRMISGALTDQEVRTARIEELKMLFS >Sspon.02G0035200-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:12084148:12088903:1 gene:Sspon.02G0035200-3D transcript:Sspon.02G0035200-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHCWSAWLLALCLVSVQLFVAAQNLSPPALTPPLVKEVDDLVEHIWTSCGLDRGSLEDVRKHFNYNHVFDILRTLSGKDTKDSSPETEDVSKALSPEIKNTLLNCLSKHPLVVAAQESAKNLPIDYIKMLFAMLRRDVAQGSPGAAATPAPPAVVKSNPSHSLGEPSSTKPDKNPDPPSQTTPKEKTVPQTEKTVAKKDDNSGMPTIAVIGLAVSAIALLALLCLCCCVCRANQAFSSDVRDNKPPLILNLSNLSAASLKLSQGNPIDINKLGALPLKSEAGQNGNVKLSSSEISKTEVTPAVHNSLAEPMAASTGSVPGSRPTAPPPMPPPAPAPPKAPPSSTPQAPAPLKAPPSSNPQAPAPLKSPLSSTPQAPAPHSKPTPVLHPEPSSPSAPKAAPPPKDAPQPKAAPPPPPKSTGPPPPAMPGSSKTRPPPLMKKSGNKVDDGANSHEAKTKLKPFFWDKVTANANQSMVWDHLKSGSFQFNEGKMESLFGYNSVDKTGGDGKKDLLSKDVPQFVRILDPKKAQNLAISLRALSVSPEEVCSAVKEGNELPPDLIDTLLKWTPNNDEELRLRLYTGELSQLGPAEQFLKAIIDIPYIFQRLDALLFMSNLPEEASNVKHSFATLEVACQELKNSRLFLKLLEAVLKTGNRMNVGTFRGGAQAFKLDTLLKLSDVKGTDGKTTLLHFVVQEIIRSEGIRATRAAKKQDCSVSSVDANDTDGNNMQTEDDYKQLGLKVVSNLGDELQNVRKAAILDADQLTMSVASLGHKLVKTKEFLNTSMKSLDEDSGFHHKLKHFAEQSQTDVALLLEEEKKIRSLVRGTVDYFHGSTGKDEGLRLFVVVRDFLAMLDKVCKEVKEATKVAPKKTKTPQPSQASFNDPRRHLFPAIQDQRAYSSSSSSDEDD >Sspon.03G0023330-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:89500700:89501323:1 gene:Sspon.03G0023330-3C transcript:Sspon.03G0023330-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPNGDAAVVAAAQAATPAPAPAPKKEAARRQSSKPRRISMEGLQRAMSDLALELSRDSKKAAAADAAAKAEPPQLPSIAEQQQAEEELARCECCGMQEECTPQYVRRVRDRYCGRWVCGLCAAAVNAEAERGGGTRTVEDALAAHMAVCGRFNRVGRANPVLMQTEAMREILRKRCRSNSPRDHAGPAGALARSSSCIPAITKDFN >Sspon.02G0013730-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:17060147:17063063:1 gene:Sspon.02G0013730-2B transcript:Sspon.02G0013730-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MERRGHCHGGKHPHPPPPRRARGGERTRQPSSGSFSASLLDAIYRSLDDGGDGAADAVVDAARGSGAEENKAAAAAAATTAQFWWANNKEAAKPRQSSSSADRERDRRRRGETGVARPRHSRYASSTTSSSDSSAASYSSFSCSSASTTDTESSTCRRHSGPPLPPRASLSEESVATDAEEATPPPPPKSKPKKKARPCFPVARIRPKASVPASSSGPQPPSPATFACALKALFSSARRQRKPNTPAATPPPKISPRPQPQPPRMSATTAGKAADAPAAELSEPRTVRLRPEAEASVVVRRRVEELVRSLEELEEDEEGSDASSDLFELESLRGAGADELPVYGTTSLVANRAIAQGPALGLKMGLGV >Sspon.01G0019640-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:71529023:71535159:-1 gene:Sspon.01G0019640-3D transcript:Sspon.01G0019640-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFVYRIASPSEYLAITGYGINDVKLAKKAWITPGQRCTRFDISPVNYTFEVQAMSGEKLPFILPAVFTIGPRADDEECLLRYAKLISPHDKLSHHVNELVKGVIEGETRVLAASMTMEEIFRGTKSFKQAVFENVQLELNQFGLIIYNANVKQLVDVPGHEYFSYLGQKTQQEAVNQAKVDVAEARMKGEVGAKERDGTTRQNAAKVDAETKVYTVKRQGEGAKEEARVKAEVKVFENEREAEVAAANSELAMKKAGWEQQARVAEVEAAKAVAIRDAELQVEVERRNAARQTEKLKAEHLSKAVVDYEMKVQQANWELYNRQKAAEARLFEQEKEAEARRAVAEAAFFARQREAEAELYAKQKEAEGLAAMGQAQSAYLSAMLGALGGSYGALRDYLMISSGVYQEMARINADAIKGLEPKISVWSSGAGAGGGEAGDASGGAMKEMASVYKMLPPLLTTVHEQTGMLPPAWMGTLTDRP >Sspon.06G0005810-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6C:18062237:18062608:-1 gene:Sspon.06G0005810-2C transcript:Sspon.06G0005810-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MWMRLKKVTRHFEYQYPSRSGDAVQMIWSAVARKMHSVQLQLHKRGSLHKQNRLRSLAASSMNTSAPLPFAALATVAVSHTHERCHVRLR >Sspon.04G0023460-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:12922665:12926245:-1 gene:Sspon.04G0023460-1B transcript:Sspon.04G0023460-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PLL1 [Source:Projected from Arabidopsis thaliana (AT2G35350) UniProtKB/TrEMBL;Acc:A0A178VV40] MGSGASRLLTACACSRPAPAPADDGPCLDDALGHSFCYAASSAAAAGHSSSFRHAISGAALSANSSVPVPIYHSSVAGGMQPPQYSSAFHTSSSFSSAPLQLSNLSSGPLFLSGPIDRGAQLSGPLDQAVPFSGPLPAKPTKPAPSSSSRGFSRRFRKPSFGSLRRSVSEKNRPCVVPLRREDGVQWAHGRAGEDRVHVVVSEDQRWLFVGIYDGFNGPEAPDFLVANLYRFLLRELRGIFYEEADPDSKRLWQFLADGDDEDSELDFSGSGRFALSLARLKEQRHPLWAHPAAAGDGQSGREWGVKRLTAAPAVRDHMAVLSALARALATTESAYLDMTSQSMGSHPELAVTGACLLVALLRDDDVYVMNLGDSRAIVAQRRDDDDCLIGSMRVEDVGVGLETESRIPGYSAIGLEALQLSTDHSTSIEEEVQRIRREHPDDDQCIANDRVKGRLKVTRAFGAGYLKQAKLNDGLLEMFRNEYIGDTPYISCTPSLCHHKLSARDQFLVLSSDGLYQYLSNEEVVLHVENFMERFPEGDPAQSLIEELLSRAAKKAGMDFYELLDIPQGDRRKYHDDVTIMVISLEGRIWKSSGTYV >Sspon.08G0001670-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8A:5371725:5371916:-1 gene:Sspon.08G0001670-1A transcript:Sspon.08G0001670-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQATQARVEVWSVVPRSNCNRNRWMRGRLCTLPSGRIGSSARFPGARKGQKLPFRPCLAGWKS >Sspon.06G0026160-2D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6D:74393828:74394970:-1 gene:Sspon.06G0026160-2D transcript:Sspon.06G0026160-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding PEPKPPKPKPAGPEPPKPKPAGPLPERDGDPGGGVATSPPDLLCADTCSGACAYLPHCHLPPPPSSRGTTLHLRSSRLPTPLIALSASLLAVSAVLLLALLVHRLVAQRRRRRRARNAALALAHHGEEGGAGGHQVLTGAVAQEVGEEEADGGGGVHHVWYIRTKGLDERAIAAIAAVVYDAKRRGAGGTDGDDGDGSCAVCLAEFRDGETLRLLPRCGHAFHRGCIDTWLRAHVNCPLCRAPVQVALAAGANNPNAAATSGRRQERDLVGAVGGVQTEETARGGGVPDRAVRRAASMVALPRQAWPDVSLRAPASSSGREEDMTGLGKISRLLKFSDALEMAGIGVERSVSFGAGSCQRLPPRSGPSAAAGVSADETSQ >Sspon.05G0001410-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:4896322:4898793:1 gene:Sspon.05G0001410-1A transcript:Sspon.05G0001410-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding WRCCSARCGAPCWRAPRRRVPTGRRGPRGARRAHAQRAEQFQYQYHGSLSLGALDAVPTDVLAQILRLLGPLDAARSSAVCRAWRVLASDNGLWAFFLRLGPEPWDLVVFAETHLAAGPSSHPWLYYDSSPQLSFKQIYGLRAVVPGTLIVDGGSGYCKYGWSKYAAPSGRCTTFLEFGNIEAPMYARLRHFFSTIYTRMQIKPSTQPVIVVLPLCHSDDTESARASRKQYKETLYSVLFDMNVPAVCAVDQ >Sspon.01G0042100-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:62812236:62813603:1 gene:Sspon.01G0042100-1B transcript:Sspon.01G0042100-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSGISDVPAARAAVAVNHLNEARDGVARLRAFLLHLDDQRAPWAQLEIDGVLTKLSSAMSALDVSAGSDGARPQQSGSSCGNKRKQSSSKRSQRPSDKKITATLEDGPYGGNMGRKRFKTHPTQGTYKLRAHVQFHRGARIEQITDPERVCLLARACRSYYRCTHKSDQGCSAKRQVQRCETDTSKYVVTYYGEHTCRTLDDPAHRPRRWRPCRARPCQQPHQLCPSSTNKQRKRSGRGRQRRRRRLFFAVPAGNGRKRAADQLSTSWCTSDDVFSSSAGSFMQVDELIGAVVGSAGVVTSAAAPDRGVLGGVASGGRRALQLPAFSEQLGF >Sspon.02G0045410-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:109345480:109350910:-1 gene:Sspon.02G0045410-1B transcript:Sspon.02G0045410-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSFRSTHEGAGFGHAAAAASGAPLPWWAGPTAAPMLCGEPLGLGRTVPALSPEDHCRDGRFQVLQGPLDPPVPSFKAPVAQQQQPERGLPELLNLSVAHQGKGKKGSEHSATVALQSPFATYNRRFELGLAQSMISADNSYADQHYGLLSPYPMGATPGGRMLIPLNMPTEAPIYVNAKQYDAIMRRRRARAKAERENRLLKARKPYLHESRHQHALRRPRGSGGRFLNTKKESNGKDAGGGSKATFSNPLMRQVASPSSEIQQSDLGNPSSVSSMSGSEVSSMYDREDMDHYHGLDHLRTPFFTPLPSIMDGEHGVVGNPFKWAAASEVCCDLLKA >Sspon.01G0028890-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:97395822:97399556:-1 gene:Sspon.01G0028890-2D transcript:Sspon.01G0028890-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSSSLIQGISISVSDDDEATGKVRVRVRRKRSRGPVSASARRRRILFRAARLGVPLLLAALAVSLLLYESYRLTPSRSSTLPPPSFADISRLSRNARAADGARKSCLKFLDPEKLQNLELPEIPETNLSIKEVVYRSSLPDIDNDTPSHKESSRFNSFTGYQTLTEREESFKMKKSVTVHCGFYNENGGFRVSDVDKEYMRSCEVVVATCAFGGGDDLHQPIGMTDNSIRKVCYVAFWDEVTRAAQEEEGHKIGEDLIIGLWRIILVSNLPFSDQRLNGKIPKLISHRLFPMARYSIWVDSKSQFRRDPLGVLEALLWRSNSSLALSEHGARSSLYDEQKQLLRNTKQLQKKLKCTLAEASVIVRDHAPLTNLFMCTWFNEVVRFTSRDQLSFPYVLRRLRPPGVHLFPVCARKDLVNSFGHRRKVKPLVKETT >Sspon.03G0020260-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:62898528:62908729:1 gene:Sspon.03G0020260-1A transcript:Sspon.03G0020260-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein SUPPRESSOR OF K(+) TRANSPORT GROWTH DEFECT 1 [Source:Projected from Arabidopsis thaliana (AT2G27600) UniProtKB/Swiss-Prot;Acc:Q9ZNT0] MYSNFKEQAIEYVKQAVQEDNAGNYVKAFPLYMNALEYFKTHLKYEKNPKIKEAITAKFTEYLRRAEEIRAVLDEGGAGPGPNGGDAAVATRPKTKGKDGDGGNGGDDSEQSKLRAGLNSAIITEKPNVKWNDVAGLESAKQALQEAVILPVKFPQFFTDLVSKWMGESEKLVANLFQMARENAPSIIFIDEIDSLCGQRGEGNESEASRRIKTELLVQMQGVGHNDDKVLVLAATNTPYALDQAVRRRFDKRIYIPLPDLKARQHMFKVHLGDTPHSLTESDFESLARRTDGFSGSDIAVCVKDVLFEPVRKTQDAMFFFKADGDMWMPCGPKQPGAVQTTMQELASKGLAAKILPPPISRTDFEKVLSRQRPTVSKKDLEVHERFTKEFGEEG >Sspon.02G0027340-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:99028456:99031467:-1 gene:Sspon.02G0027340-1A transcript:Sspon.02G0027340-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPDAAQNPSPVPLPISAYYQTRAEHHAVVSSDWLAHAAAAAAAFPGADAADAAAPPPSSPGAGGGVIEEFNFWRRKPEAAEAVAAIMALAAVIRSSRATTMMELEIELKKASDKLKVDSPDDFTCSAAPSFPLAVSWDTTSISLSAACDLFMRFVTRTSHLEHEKFDAAKSRLIERGEKFGEISLKARKTIAMLSQDFIYDGCTILVHGYSRVVLEVLKLAASNRKLFRVLCTEGRPDRTGLRMSNELAALGFPVKVLIDSAVAYSMDEVDMVFVGADGVVESGGIINMMGTYQIALVAHSMNKPVYVAAESYKFARLYPLDQKDMTPAHRPIDFGVPVPTGVEVETSARDYTPPQYLTLLLTDLGVLTPSVVSDELIQLYL >Sspon.06G0021330-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:14519353:14521594:1 gene:Sspon.06G0021330-3D transcript:Sspon.06G0021330-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding QKGFYQDLLLAYKTLGVVFGGLVTSPLYVYPSMNLTNPTEEDYLGIYSIMFWTLTLIGVVKYICIALNADDHGEGDHPKSNPMKKPAISNWDQGVSICFAAGGTFAMYSLLCQHANIGILPSKKIYTEEEQGLQVPARPVVAGRPSKLRRFIERSITARRLLLLTAILGMCMLIGDGILTPAISVLSAIDGLRGPFPSVSKPTVEALSAGILIGLFLLQKYGTSKVSFMFSPIMAAWTFTTPIIGVYSIWRYYPGIFKAMSPHYIVHFFVTKQKRGWQLLGGTVLCITGAEAMFADLGHFSKRSIQIAFLSSIYPSLVLTYAGQTAYLITHVDDFGDGFYKFVPRPVYWPMFVIATLAAIVASQSLISATFSVVKQSVALDYFPRVRVVHTSGDKEGEVYSPETNYLLMLLCVGAIVGFGDGKDIGNAFGVVVILVMLITTVLLTLVMLIIWGTHVLLVALYFVPFLILEGTYVSAVCTKIMKGGWLPFAISLVLALVMFTWYYGRQRKAEYEMANKVTLERLGELLAAPDVRRVPGLCFFYSNMQEWRWLTPVLAHYIKNMRSLHRVTVFVTLRYLLVAKVDAKSRIEVRRFGPRGVYGCTIHYGYADPLDEEEDGLAGQVVRAVREHIEREAAASAAEVEEEAAQLEEARAAGVVHVRGKTRFHVGRDTGFFDRVLLGFYEFLHTTCRSALPALGIPLQQR >Sspon.06G0013920-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:55595347:55596620:-1 gene:Sspon.06G0013920-2D transcript:Sspon.06G0013920-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding QLQNDPKLKEIFSVNRPDDTGWKNMCKVVEMELSLMYDILYTKAAVIHTMPGYVIRIISPMVNATVIVLFLLYTKEGQRKADVIVTYIMLSVTFLLQIQKAFKTELPPRPLLRPVPPPEAKQKSPPKDYAPPDAAAAQRRRRDLDEDLDFLPEFQELILIWHVTTEVFLLESNGQRSPEVDEGKYVEAINAVSNYMAFLAAVRSEMLPGLKLRSRHQATREALDSLWRSETGSRSTCTSLSSKTGDEKQRLVDILKRKESEKEPGEKRSALYRFGVVLSDGIQFANLLQELLTTIRSDQDWNKT >Sspon.04G0028320-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:65091819:65093196:-1 gene:Sspon.04G0028320-1B transcript:Sspon.04G0028320-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding TVEEVKLQAAKANGGHGAKDQFPVGMRVLAVDDDPTCLRLLEQLLQHCQYHGTTTGQAATALKLLREKKDQFDLVISDVHMPDMDGFKLLELVGLEMDLPV >Sspon.08G0017400-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:2571558:2572109:1 gene:Sspon.08G0017400-2C transcript:Sspon.08G0017400-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHLLLPSPLPLLLHRPSKPHLLHPRRGLVSIRNAASGGSSGEPTPAAETATGTSPPAAATAKPAGVKDRLKARNQARRVQLDSPPPEVVVAPKRKPAASSSSRAPKKKERRKEWEEMSVGEKAAELYVGEKGLLFWLNKFAYASIFIMVGAWILFRFVGPSLGLYQLDAPPLPPTAVFGGSS >Sspon.04G0020380-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4B:74298286:74300512:-1 gene:Sspon.04G0020380-2B transcript:Sspon.04G0020380-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLGVDKRRKGQQDGGAGGGGAMC >Sspon.04G0036680-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:40833758:40846286:1 gene:Sspon.04G0036680-1D transcript:Sspon.04G0036680-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTWAVIKDGSARGQRYADDVVKKPETMIIPARELVQVFAKDVALGGDELPKGPGHDKRKDLMIDSAISRSHYLEERELERWAPDEGDSECIELEKYDRKGNRSWDQFETNAALFGVKSTFNEEIYTTKLERGPHMRELEKHASRIAREIEGEDTKDIHLAEERGLFLGDDLDHDEEIKYSAVRRDTDNSKYKPPFAKIPSSTCHDEESSSHIFDDTDASATIQTNSVSQPTSDYLSDRPLSNDENRLDRKLSRESNENMDNRKLQPENNLSDGARPLISEGLDGRPSSSHAYEPSSSGQEFKSRETPDLKVSVKHPSATEPVTSSQRPGSSTSSTSERIAANSAASAPGLSPSSSIGSLTSEKSTLNPNAKEFKLNPNAKSFTPTASLRPPHPTSSDASYYYPNNMQAAPHGPGLPVGMGTSLKRALFPPAYGAQPVMYHTQPGASPQGYMHPAGPQFIPGYHYGQQMMMGGQTRPVYYYAPIPTL >Sspon.08G0012760-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:51179570:51180287:1 gene:Sspon.08G0012760-2B transcript:Sspon.08G0012760-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RWACARAAAGAWSCCAPSSSRPSASASATAAASWSSGSACCSPSSATSPASSTPSTSSAPSTPTATATATPTTTTTSMSP >Sspon.08G0024210-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:64436758:64439550:1 gene:Sspon.08G0024210-2D transcript:Sspon.08G0024210-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALTRIGLAGLAVMGQNLALNIAEKGFPISVYNRTTSKVDETVQRAKAEGNLPVYGFHDPASFVNSIQKPRVVIMLVKAGAPVDQTIATLAAHLEQGDCIIDGGNEWYENTERREKAMEERGLLYLGMGVSGGEEGARNGPSLMPGGSFEAYKYIEDIVLKVAAQVPESGPCVTYIGKGGSGNFVKMVHNGIEYGDMQLISEAYDVLKSVGKLTNSELHQVFSEWNKGELLSFLIEITADIFSIKDEQGEGYLVDKVLDKTGMKGTGKWTVQQAAELSVAAPTIEASLDSRFLSGLKDERVEASKIFQGDYSTGLPVDKAQLIEDVRQALYASKICSYAQGMNIIKAKSSEKGWGLNLGELARIWKGGCIIRAIFLDRIKKAYDRNPNLANLLVDPEFA >Sspon.05G0014070-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:51084161:51090462:-1 gene:Sspon.05G0014070-1A transcript:Sspon.05G0014070-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAASAASGDDGGILYIPSAAYIASHCPSSCGDVNISYPFGIGAGCFRQGFELTCNHTTQPSKLFLGNSTTQVIDMHGSTVLISGMFFNSTLEKSDTNTYNISWYAPAKGIAIPSYNSLFFLGCDFDVSLFDSARDLIGTCMSRCQGDVLPNQGPCNGVGCCSIGLQNDIPSFHGTIARAGNNMAAQSDPLHLMAFMGDGSYYTQMATDLFSSWTNASNIIDAELEAAIMDQPSCESAQMNNASYACATDSNCRNESYGGYSCYCYNNNNYYSTVNAYLSEGCMQDNYNPKPKEHCQRSCGNMSIPFPFGLAEDCFGNERFRLNCTATNETLFSIAYMQYHVTDLSVEDGTLTVSNMLNNASSGKEVIIAQATENGVYMDGPVEDDFDFSMEYDIVIRWAVTNLSCEQAMHGNISKYACRSVNSDCKNLNPTRQIRQEISFHQLVMQHDQHVYSTQNIIAATDLHMENLN >Sspon.05G0025390-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5B:23280278:23281289:-1 gene:Sspon.05G0025390-1B transcript:Sspon.05G0025390-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKLMHIVMFCTNMDGGFEQRASGLRFLHPESSSAYWRECRLTRRLGYDDDYGLDKLAIIFGWEFGPFVKAKLMNALPCVRARDRMGERREDSRRRSTQELQARIGKGCQYIRVFLTELCMGNASARTPYEDKFHRMPTRTPDPPPSAHRAPYPSPSVRPAPTGEPRPHPHLFAPPPHVHPRPVMPLTAGPHRAAHYVRHLPARHAPFPGAL >Sspon.04G0010910-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:32962366:32966959:-1 gene:Sspon.04G0010910-3C transcript:Sspon.04G0010910-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAADSVKKPSAGGKATPPKSSDSGIMKRLPRLAFVFLLALVYRQLQAPPPKIPGTPGGPPVTSPRIRLEDGRHLAYHESGVPREEAKYKIIFMHGFDSCRYDALRVSPELAQELGIYLLSFDRPGYGESDPHPGRTEKSVALDIEQLADALELGPRFYLTGFSMGGEIMWSCLKYIPHRLSGVAILGPVGNFWWSGFPANVTLDAWNVQVAQDKWAVRVAHHAPWLTYWWNTQKLFPASSVIAFNPAIMSRADMALIPSLAYRTHAYQARQQGEHESLHRDMMVGFGKWSWSPLELEDPFPGGDGKVHLWHGAEDLIVPVGLSRYIAQSLPWVRYHELPTAGHLFPMAEGMADVIVKSLLLGDE >Sspon.02G0006930-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:20788870:20792355:1 gene:Sspon.02G0006930-1A transcript:Sspon.02G0006930-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MESVLAKLAQLMGAKSSNLIDLSSDTAFLRDELSTINALLKKLDNEDELDPQVKDWSNQVRELRYDIEDCLDEFALRVGSADAKAGFTERISHFISVLRVRVEAARQIKELKTRLQDIDERRKRYRLEDCISSSHSTAIDPRLPALYKEAANLVGVDGPRDDLLRWVMDEKKQLKGVAIVGFGGLGKTTLANEVYRRVKGQFDCHVFVSVSQRPDMPRLLNSIRSKLGQQESSCPCDVKELIDDVREYLQHKRYLVVIDDLWDTISWDTIKCAFPENNLRSRFIVTTRIESVARICCTHQECLYRLKPLNDHDSRRLLQPQIRSLALFGNTTCMPACAHLLPESKFLRVLVLELGDINGKHLHEEEGIDLTGISQLVLLRYLKVEARSSRVKLPTAIQGLCHLETLEMCCGFFGGLPSDVFHLPALLHLSVPSSQYGFPDGIGNAKSLCTLEYFGLMENSLENIHGLGELTNLRNLKICCFQKFLLETACGRSSMDALCSSLAKLGGYHLRCLSVVRYPEICADILSSLAPPPHRLEALDLLAWYFTRIPRWLAELHNLSYLKLSVTEAMEEDIGILGKLPSLMHLQFQIQQAPTEKIVIHGGMGLLFPILVNFQFKCQRRMSLQLLIFEVGAMPNLRRLETETSVALLKYEGCKNVRMEHLLGLKEICVSMLHGPCSESEIIAAECTLRNIARAHPNHPTITII >Sspon.08G0016860-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:61569993:61575221:1 gene:Sspon.08G0016860-2B transcript:Sspon.08G0016860-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GFA2 [Source:Projected from Arabidopsis thaliana (AT5G48030) UniProtKB/TrEMBL;Acc:A0A178UJR3] MRLPAGARLALLLARRSLSSSASSSSRLPRAHRGIWSDAARAAPSRSSPFSSPSSAHRFFHGTRPVAARDYYDVLGVSKNASQSEIKKAYYGLAKKLHPDTNKGDADAERKFQEVQRAYETLKDDQKRSLYDQVGPDQYEKAASGGGGTGFEGGFGNPFEDIFGGGGGGGGMNDFFRNIFRDRDFGGRDVKVALELSFMEAVQGCSKTINFQTSVTCETCGGTGVPPGTKPETCLSCRGTGFMFMQTGPFRMQSTCTKCGGSGKSVKEFCKTCRGNKVVPGTKSVHLDILPGSDNEDTIKVVRSGGEDPDGRPGDLYVTLKVREDPVFRREKGDIHVDAVLNVTQAILGGTVQVPTLSGDVVLKVKPGTQPGQKVVLRGKGIKARNSPYYGDQYVHFNVNIPVNLTPRQRMLIEEFAKEEQGEDEKDAKAAGASG >Sspon.03G0013350-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3A:37481117:37483366:-1 gene:Sspon.03G0013350-1A transcript:Sspon.03G0013350-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding NIRLLVSVVLEPESPSYARWRDLVLLTLRRYALDDHVLVDASVAVQTPSWICLDSIVFSWILGTISLDLHDLVRNSPDARRAWLALEGQFLGNAEARGLRLDASFRTFVQGDLSVGEFCRRMKGMADSLGDLGWPVEDRILVLNVLRGLSDRYAHLRTWITRAHSGHLAGVRHFSGVLVFFDGSGCYSAASVCSTTFVRYTAVVSPGSPSLRAERGWGGRGGHRRRGGGRGGGRGGVTQAPAGGQAPAGPQGGHHGLRSITRGQGASLCGPTTLPVVSLVHRWPCSLALHLLASPLRLRGLHPPAPLLGLPAETALARSFSTMGLTPPVGPEWIADSGATYHTTPDPGILSSVHSPSSSLPSSIMVANGSCLPVTSVGTAGAHGSFRLPDVLVAPSMVHNLLSIRRFTADNSCSVEFDSSGLTIKDLASRRPLLRCDSSRPLYTLRFSAATSSTSPSVLSAAFTASTSSTTWHRRLDHPGRDALMQLSRSSGLPCTRAHDEHLCHACQLGRHVRLPFHSSTSHAARIFDLVHCDLWTSPVISISGYKYYLVVVDDFSHYSWTFPLRAKSDTFPTLLHFFTWVSTQFGLTIKAVQCDNGREFDNSTSRAFFLSHGVQLRMSCPYTSSQNGKAERMIRTTNDTVRTLLFQASLPARFWVEGLHTSTYLLNRLPSAACPAPTPHHALFGTPPRYNHLRVFGCACYPNTTATSSHKLDPRSTLCVFLGYSPDHKGY >Sspon.01G0034070-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:735505:737743:-1 gene:Sspon.01G0034070-1B transcript:Sspon.01G0034070-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRFSFPAGGQPSQFPFPCADQVKGEEDFSGVAFLFIAHRCKAMGMLLHFQRAMHCSLPVDRLRSLEAWHDVNLFAGCLSKIEGRNQSGVTIDDKQADALKMFIKEDRQHRQFPYMHCWKLLKGQAKWADRQKQMETQKPISKKQKVSANSSHTLAPPLLPAATVDENQHSNSALQRPPGQKKEKQKLRQHSSIEALDYLLAKKKETDAEKELKKEERYKKAFALQEERIKLEKEKLELQRDQFELTKNLEEERIMNVDTSHLSTDQHQYYEVRKNAIRAKTPK >Sspon.06G0025610-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:67296872:67298172:-1 gene:Sspon.06G0025610-2D transcript:Sspon.06G0025610-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding KLMSCYHASTGCGMAPTCDANLKKRNTGGEHYNVNQPRGFCPVCRKVFDENDIEHVRDLLGDNTSWLVSLTGDLGEDETELLHSEAEQNRRKRIESIVNLQQERNGLIEPKKDLAIQPGMYVSLPPTMPGTAEEENADHSKDTATSTSETEQRGLANNTSSNKPKNSSYRRRNRANASRRQPHGQPPRQQWQRKEADTSHQ >Sspon.04G0020350-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:80096979:80102074:1 gene:Sspon.04G0020350-2D transcript:Sspon.04G0020350-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLFMETDVIAIIGPQCSPIAHIISYVANELRVPLMSFASDATLSSIQFPFFMRTMPSDLYQMAAVAAVVDYYQWKIVTAIYVDDDYGRNGIAALDDELTARRCKISYKVGFRSNAKKSELLNLLVTVSNMESRIIILHTGSEPGLKLLSIANALNMMGNGYVWIATDWLSAYLDANSSVPAETINGMQGVLTVRPHTPNSKMKSNLVSRWSSLSKKYNHSDLRISAYGFYVYDSVWTVARALDAFFDDSGRISFTNDSRLRDETGGNLHLEAMSIFDMGNKLLDKIRNVNFTGVSGPVQFNAQFELIHPAYDIISIIGNGMRTIGFWSNYTRLLSVLPEDLYLKPPNTSLANEQLYDVIWPGETAQKPRGWAFPSNAKELKIGVPDRFSFKEFVSQDNATGSMKGYCIDVFTQALSLLPYPVTYRFIPFGNGTKNPHYDELVQMVADNDFDAAVGDIVITMSRTKTIDFTQPFIESGLVILAPIKKHITSSWAFLQPFTLGMWCVTGLSFLVVGAVIWILEHRINDEFRGSPRQQIITIVWFSFSTLFFAHRIDDLKDSDYPIGFQVGSFAEEYMVKELNISRSRLKALGSPEEYAENLKLGPKKGGVMAIVDERSYVELFLSTYCNIAVAGSDFTSTGWGFNSLYQAFPRDSPLQVDLSTAILTLSENGELQRIHDKWLKTGDCSTENTEFVDSNQLRLESFMGLFLICGAACVLALLIYFGITLHQYQRHEQPGSAISVDAGSSTSKRSLRKFISFVDDRQPPPKKKRTMSLSKSSMPTTPMSNHPGTDIDIES >Sspon.03G0033310-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3B:48068077:48068430:1 gene:Sspon.03G0033310-1B transcript:Sspon.03G0033310-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSSEPVQIAFLLDQVLTACAQTYDDRQRTRKQSHSSLRCRFSNMRSHTHECSNESAHVIVVMSHEEDIDTTKLKHMMHVINVQEELKLYFYLKFFMHVSMVKQDILWKLAGKEQKGR >Sspon.01G0001580-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:4567026:4567982:-1 gene:Sspon.01G0001580-1A transcript:Sspon.01G0001580-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MCMYCGGSWCIHGGATAAGFFSPSSFLSSFLPSSSFLPPSSFLPSPSSFFPSPSFLPSPSSFLPSPSSFLPSPSFFPPSPSSFLPSPSFFSPPPSFFSSFFSGSLAGPMESMWAAQDLLRSLLTTSTGSTVPITVILCAAMSIDTASMPTSTETALSAFCLSLLSCKSSFTTIFCTHAWSTARQFMAMAAHSELVIRLLWRLRTDEGNAMHRDGEKGRLTLAFDMSRRGGSAARRRRREDEQGGVRSGGAHRKVRRASVCRDARGRRRAARVGVGIREWEAPGGTK >Sspon.01G0020770-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:76939398:76944331:1 gene:Sspon.01G0020770-1A transcript:Sspon.01G0020770-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGGAVREVRSPAELEAAVAGARAAAVHFWASWCEASKQMDEVFAHLAVDFPHAVFLRVEAEEQPEISEAHGVSAVPYFVFYKEGKTVDTLEGANPASLANKVAKVAGPASVAESAVPASLGVAAGPAVLENIQKMAQQNGSSAAESTNSGSMEDALNKRLEQLVNSHPVFLFMKGTPEQPRCGFSRKVVDILKQEGVKFGSFDILTDNDVREGMKKFSNWPTFPQLYCKGELLGGCDIVIAMHDSGELKDVFEEHNIPLKPQGSKNEEAGEPGSATEKGGAVAEPIGLTDAQKARLESLINSSPVMVFIKGTPEEPKCGFSGKLLHILKQENIPFSSFDILSDDEVRQGLKVLSNWPSYPQLYIKGELVGGSDIVMEMHKSGELKKVLSEKGVIPKESLEDRLKSLISSAPVMLFMKGTPDAPRCGFSSKVVNALKKEGVSFGSFDILSDEEVRQGLKTYSNWPTFPQLYYKSELIGGCDIILEMEKSGELKSTLSE >Sspon.08G0013430-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:52316341:52318384:-1 gene:Sspon.08G0013430-2B transcript:Sspon.08G0013430-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LMVIMTQRAFYETSGPGLGFPPSRHRFASPSEVQTAARIAAPAKKADAKAQALKVAKAVKSGAGKKKTKKIRTSVTFHRPKTLKKARDPKYPRISTTGRNKLDQYQILKYPLTTESAMKKIEDNNTLVFIVDLKADKKKIKAAVKKMYDIQAKKVNTLIRPDGKKKAYVKLTPDYDALDVANKIGII >Sspon.06G0008550-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:33922449:33923523:1 gene:Sspon.06G0008550-2D transcript:Sspon.06G0008550-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQARVHQLLASLAAIYLILAVTQHVIVTGILTDDLEIMWGNAKFVTDSSGQQAIALALDRSTSSAFRSKKTCQFCRVDIEIKLVPGNSAGTVTTFYMITENPWQFHDEIDIEFLGNSSGQPYTMHTNMYARGQGDREKQYKFDFDPTQDQEQPDIRCPYPYYYPMRVYATIWNADEWATQGGRVKTDWSQAPFTAYFRNYRAISCDMYQASPLCLPGSGWFDQQLDESRKQQLARWTPVTRYMITAQTPRGTRMASRRSAG >Sspon.08G0003130-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:6747312:6751580:1 gene:Sspon.08G0003130-3D transcript:Sspon.08G0003130-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPLDNSSGLWTVLGQASNVVQLVGVDALGLVSMVVQAALAARRHRDACRRLAHHVELVGGLLRELELAELMRREATRRPLEQLSAALRRCYALVTACQDRGGYLHRLFWGTWMADELRAAEQEIDMFIRLVPLIALVDNTHERPVKDETHPYFGETKRETFFFSQEGGKVHLREQKIMDVEELVKLCTRTEERYPGFKKYDFFQIVDGTQMFSEKRIVGSGGFGTVYKVNVNRNGELSDGTIVAIKRFEHGIGFNSELQILCLHHINIIKLLGWCVHGQERILVYEFMPNSSLDHIIFGINNRSLYETNFLFKHSADKTKGALLNWYKRFGIIKGLAEGLVYMHKHSHLWMVHGDLKPNNILLDHDMSPKIADFGSARTLSSDVAEEQTSRVVGTSGYIAPEYASRGLYSVKTDVFGFGVLALVIISGRNNTVLEQQGDTVGNLVRDAWQLWNDGRLHELVDPMLPDGYELNEIVRCAQVALLCAQEDSVDRPTMSDVVALLNFESLSLLPDPKQPSELIKGGATSDKLSTYVSQSSRTIDITITSSAPMSTRVHIIVDQET >Sspon.01G0038440-3P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:25233226:25235081:1 gene:Sspon.01G0038440-3P transcript:Sspon.01G0038440-3P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMAHEKDASSEEVMAGELRRGPWTVEEDLLLVNYVAEHGEGRWNSLARSAGLKRTGKSCRLRWLNYLRPDLRRGNITPQEQLLILELHSRWGNRWSKIAQHLPGRTDNEIKNYWRTRVQKHAKQLKCDVNSQQFKDVMRYLWMPRLVERIQAAAANAAGAQQQQQPDAAADTPLSSSWKHGGADDGLYASPDELPTDACCPPADYYPAAVGDRQLLNNPAAAVPELSSTTAVSSSPSSDSGAGAQPCWLAPVGGAEWFTTACDVSGAAAVLAGQQQQQQQSSCLLGETTWASSELPELGVADFEIGSFDVESIWSMDDNLR >Sspon.05G0021220-3C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7C:79651224:79652561:-1 gene:Sspon.05G0021220-3C transcript:Sspon.05G0021220-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MESRGKTLVERYEMGRLLGKGTFGKVHYGRNLESNQSVAIKMMDKDKVLKVGLSEQIKREITTMRLVAHKNIVHLHEVMATRNKIYIVMEYVKGGELFDKIDKSSKLTEAAAHKYFQQLISAVDHCHSRGVYHRDLKPENLLLDENENLKVSDFGLSALSESKRQDGLLHTTCGTPAYVAPEVISKIGYDGAKSDIWSCGVVLFVLAAGYLPFQGPNLMEIYRKIQHGDFRCPSWFSHKLKKLLYKILDPNPSTRISIQKIKESTWFRKGPGEIRAVKEKILSENATTNAAPVLVTRRKKIAHEDMKPLAATNLNAFEIISFSTGLDLSGLFIKKECRKETRFTSDKPALAIISKLEEVSKALNLRIRKKDNGIVKIQGRKEGRNGVLQFDTEIFEITPSYHLIEMKQTSGDSVEYQKLLEEDIRPGLKDIVWAWHGDDLQQKQE >Sspon.07G0029400-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:74824489:74826699:-1 gene:Sspon.07G0029400-2C transcript:Sspon.07G0029400-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVYAVGALRLLPWLALAAVLLPPPPAASQQQPAGGCRQQCGNVTVPYPFGIGAGCHRGSTTGGFRLQCDDAGGGRGRPPRLTVAGYGHEVAAISLATAEATVLLNASRACYDRPGDADGRVVSLREHPMALNGSAFLFSSMKSKFVSIGCPGLAYFNDGDGYYVTGCMSVCRPSERALPGSCRGDDGCCQSNIPLGLNSYRPYLGSFGRRRRGRGREQEATFLANSTACSYAFMVDAWWFWYAGSHFNRTGDFGVPVVLDWAIRDAPSCAAAARDGDTYACRSAHSVCLESSNGPGYVCNCTAGYQGNPYVADGCTDVDECRHGDEFPCYGVCVNTPGSFTCTCPKGSSGNATVEDGCRRDDDNRFGLPLKIVTGVSAGVLMLLLASFSSHLWVQKRRLLQAKQRFFEQNGGAGRHRELMDQQVMEEIGVEVLDEATELLMRCLSMVADERPTMKEVADKLHRIRCHACSSSSSSMQN >Sspon.02G0011470-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:34824614:34825657:-1 gene:Sspon.02G0011470-3C transcript:Sspon.02G0011470-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LSAVNVQRVVVESSIVPVEISPKDWPEGKIRDESCWSDKEFYRSIEVTCTANFCIRVRTAKICYVFIWKNCKICLVDLVPCVLQSWYPVAKIISEEAALAYGQQTGLDVVTINPGLVSAPCCSRRLTQASSSSSTPSKHHLIINLLSGDAGGPDLVRNKLWHIVDVRDLADALLLLYEVPEATGRHICAPHVISARDLLDLLKSMYPDYPFITKESICDSDHPAPMTSDKLKKIGWSCRPLEETILDTVECCQQTGFLDDVAEETPCRFPPIFNKI >Sspon.05G0011760-1T-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:5B:29002465:29002977:-1 gene:Sspon.05G0011760-1T transcript:Sspon.05G0011760-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ERSVGVERPALPGELAVGAPPEPPALATSPDLDPLPPPRGGGGARPVLAGSRKEGTPEGGRKGRRHSPAAQGREQPRGGVAGAPARSTEAAAAELPHGRPRERRTPAGAWGGYAARAGRSRQGEAGLQRGVAWQFGCSAFVFALVRDPFLVRDPKPGIGLVFASLLETVS >Sspon.01G0025010-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:88810264:88810980:-1 gene:Sspon.01G0025010-1A transcript:Sspon.01G0025010-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAADVEYRCFVGGLAWATNNESLEHAFASYGEILDSKVITDRETGRSRGFGFVTFSSEQSMLDAIENMNGKELDGRNITVNQAQSRGGGGGGGGYGGRRDGGGGYGGGGYGGRRDGGGGYGGGGGYGGSGGGYGGRRDGGGYGGGGYGGGSRGDSGGNWRN >Sspon.03G0026600-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3B:3259215:3259856:-1 gene:Sspon.03G0026600-1B transcript:Sspon.03G0026600-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LTVSLTLAGGGVLAHWVGVLEEVAGVSVLSGDGHPALRRWAVEYRYNEAVKACLSCCRPIPTRGRTRGFRPNSSTKSSRGRSRCRSFWTDDEERKEAFAKEAKVDLALVEWRRSARAGGSSAATPLASSTSPPVACGLAHWVGFIEEVTWVNLVDGDQFPAFREWADAYVNDATVKQCLRSRDELVAWRGR >Sspon.07G0004100-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7A:10056338:10057462:-1 gene:Sspon.07G0004100-1A transcript:Sspon.07G0004100-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding KGIDSAEGRGHSDRQAQAPPPAFNKSPVTTPRKSTSAPPPRRLSHCLHFLASVHAPTSAASATAFDNFDRCNASCLVPVPICRVQCCAVTTTGPRATTDADADATEQARQGEREHSMRPTGRDGTAAARGQG >Sspon.03G0032700-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3C:48251529:48251906:1 gene:Sspon.03G0032700-2C transcript:Sspon.03G0032700-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLVSKVSRAVAACARRLTRRLLRARGLQRGGSRSVCLGRQLVLADGGGNDDDAAAGDRREEDGQGQVALWRRAILMGQRCEPLDFPGAIHYDSFGRRVQSPRCCSRRKAAGALLCRSSDAIDDA >Sspon.02G0025570-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:88652989:88659287:1 gene:Sspon.02G0025570-1A transcript:Sspon.02G0025570-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFNVWTPVTGEVHKLPRLRLPNSYCARWNGALLCGDPGCDHMECPSGGPFTVVLVGRTMFQSLTNAYVYSSEADKWSETASVQLTGYVEQRCTSSALVGNALYFLLEDNNGILEESVPTPSGGWTWTQHKVVDIKSFRPVSALSSSPYVIAAAGAVGVFVIRAGGAGVLAIDLISGRSWNVSDADAHTVNVVPYMSFCTPEAHEGDNFIETIAKNLGDLPRVQIKSVEPINRIHEDDTHGIRNGNHTERKGMSREQRPELNLSTHYQSFHISISTNLH >Sspon.03G0014560-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:46389333:46391618:-1 gene:Sspon.03G0014560-1A transcript:Sspon.03G0014560-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNVGVGLLLLKTEWSLLECLRAYRLACFESKSSVFWRITCGVNIMELKSNSETLCDNLTINTVSVMITVSLFRGPEGEDVFVGFSCVLGFIIRKYFWMLLSERSPFMGLTIYTNTVVLVVTAYALLLANSLQYIILVPFPISVAGFIAYLYKERLEHHGTTGAEDSSKDRKKPDKPGGAPAHLSTRSKDDDGLDKDGKKTGELEMVVIPYFMLLISAIVIPYKDSPVLSHFLLFSCCALGTLALLYSRLAEASPALKHALECIQMAYMVMLFITVHTVAAEWLGEITALVTMPELIAGLVWFYTTLLHGDPSNSNGAVVVHKVRSDKDSRVGSSSSDATQVPSSETKQVSATASTFIKIKGFIFNGSMFIPLGGLLAGLITSTFAYDGELLATWNTMATVACSIAGCLPYLSIWMVSRWPGRIPSSDKATRLLKFAANMCLTGACLMLFALLAEKALAYRLFGLDEIIDPSLLKHLPAVHFGTAVALCFFGKHLAGALADLGKGLGGLQSASPEARASMEMKGRRRRKKKKI >Sspon.03G0032560-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:38410533:38411954:-1 gene:Sspon.03G0032560-1B transcript:Sspon.03G0032560-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MELGGGARGPGDRVRRQLQSVGRLAAYLGGGFLLLSAASSVAVRSLRAFSDANQRKYAMPCGACEGKGTYACRLCRGSATIEWSPMHDPVFVNPCLCPTCDGTRLAISSMALLFSGAALLELPGKWLRLKKQCQLSFLMIDYCWGVAKSHS >Sspon.01G0032450-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:109730778:109736463:-1 gene:Sspon.01G0032450-1A transcript:Sspon.01G0032450-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKGAKATAAKSADKDKGKKAGGPVSRSSRAAPQEKSAPKKDVYQLFAEKVRDNKQLESRWAIMQETRVEYFRGKDFNTFIKNHPEVREILGPDKDLEVEDIVNTLLTKNLVIRCDRVMKTVRPGKKKLSSWPAHLEIHNANLVADIRATIFGILWVLLGKRVWFFPNINAEETTFRELVRFWPEKDEGERPKYQKKVSNIIDDVLEWSPKLAISGMIEKHTGANITEESNYTSRAASSHVPPSTKGKASEAGPDMDVDGDTEANLDETQDNEYADDTRSNEA >Sspon.01G0013800-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:38344093:38344986:1 gene:Sspon.01G0013800-1A transcript:Sspon.01G0013800-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSAMLQVFGQPASTDVARVMACLLERQLDFELVRTDTFKRGHNKLPEFVKMRARSKIPETPADRILIGSLHQVCMLDIYDAGSQRPTDSRDICRYVCTEFPRWCTRDLYGAGALERASIEQWLQAEAQSFDAPSSALASFHLAFAGVGAAPAPYVGGDVAAAAESERQLLRVLDVYDGALGRSAYLAGDEFTLADLSHLPNAHYLACSARGRALLASRGNVARWYAAISSRPAWRQVVAVQPRTAHYPVAFH >Sspon.04G0006840-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:20234719:20235108:1 gene:Sspon.04G0006840-2P transcript:Sspon.04G0006840-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding WHCPRPCSSWPSSTWSSSPWPTPAAAAARRQRRRLRRRRHLQPAASAPSTHSSSRRAPTCWASSAPRSATRPPSRAAASSAASRTWRPPSASAPPSRPTCSASPSTSLSSSASLSTTAARTSPVASYAPD >Sspon.05G0011400-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:34745071:34748331:1 gene:Sspon.05G0011400-4D transcript:Sspon.05G0011400-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTKTKLQLQERVRLHRTAWKLADLAVLFLLLALLARRASSLVAAGGAAPACTWCWVAALICEAWFTVVWLININAKWNPVRFDTHPERLAQRTSDDELPAVDMFVTTADPKLEPPLVTVNTVLSLLALDYPAGKLTCYVSDDGCSPVTCYALREAAEFAKLWVPFTKKHGVKVRAPFVYFSASSAAERGGAADDAEFLRAWTSMKNEYEELVRRIENAEEDSLVRRGDGDFPEFLGADRRNHPTIIKVLWDSSSKSKSNQAAGDGVPSLIYVSREKSPTQHHHFKAGAMNVLVHEGVRRGDQLSHHAKRGLRHVCQQPQVALHAMCLLLGFDDDVHSGFVQAPQKFYGALKDDPFGNQMQVLFEVMYTSLSLSLRDVYIDNFVVCAGELMQKIVYGVAGLQGIYYCGTGCFHRRKVMYGVPPDSATTTSVKDSPSYKELQNKFGRSNELIESARSIISGDMFKAPTVVADLTSRIEAAKQVSECSYEAGTSWGQEAGWVYGSMTEDVLTGQRIHAAGWRSAILNPDPPAFLGGAPTGGPASLTQYKRWATGLLEILLSRHNPLLLSAFKRLDFRQCVAYLVIDVWPVRAPFELCYALLAPYCLIANRSFLPKASEPGFVIPLALFLAYNAYNLGEYKDCRLSVRAWWNNHRMQRIVSSSAWLLAFLTVVLKTLGLSETVFEVTRKEHQQSSADAAGGDADAEHADPGRFTFDSSPVFVPPTALAMLSVVAVAVGACRAVVGAAEGVPGGVGPGVGELVCCGWLVLCFWPFVRGLVAVGKGSYGIPWSVRLKAALLVAAFVHLCTR >Sspon.01G0014880-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:56997678:57006721:1 gene:Sspon.01G0014880-2B transcript:Sspon.01G0014880-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding IVIYDQHSPQYNSLDNRVVVTVATLSFFCHRPTVIAIMEFMNAINLANGSDTDKDKNTYPATVEDGTIEESKSDLEPEPTIKRMAEAQILLMNENGDRLATLSQNNLSTDIKIDFCSYNVGDEDYCGYDYSLVGQLSEVRIVYLNRFVQEIISYFMGLVPKSSDAVVKLKDDETNSEKWVRDINLVIGMNMVRGETIIQDVEGLSFELRRSLRDLRHQLPAVEAAIKVDVLKAALSNREYEIISECALSNFSETPHPVPTLDDPRYGTSTTPSHVSASSSESIHDLSKDAETWITNKFSVTINLVELSLHSGSTRDSPLASVQASSAWLLYKSNTREENFLYATLKGFSVFDDREGTKDELRLAIGKSASVRDTSSVDGYDNPNELDSGERRIQKDLGLEPIPSMLILDAIFRKSSSSVSVCVQRPKFLVALDFLLAVIEFFVPSARSLLSNDEDKDLLHMITPVVLNDQIYYQEHSTFSLSPQKPLIVDNERFDHFIYDGKGETSENDHVYLAREDDGPLSTPSKEIAGDTVKNGSADISTEFIMELQAIGPELTFYSTSRNASENLALSTKVIHARTDAFCRYEPPNSTVVLRDTGEDTFLRAPEGFDLVGQIKKHRGTE >Sspon.08G0017540-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:2933481:2942744:-1 gene:Sspon.08G0017540-3D transcript:Sspon.08G0017540-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPGSSAAACLLLRLAAVAAALLAAAAASPAPSDFVRKSCRATQYPSVCEQSLASYGGSPPPRSPRELVRAASAYVGRLCGSSGSGHKKGAARKGGAPSSSAAGPVRDCLENLADSVGHLRDAAQEMGGAGMSRAGTPAFKWHLSNVQTWCSAALTDENTCLDGLSSRGVDAATRAAIRGKVVEVAQVTSNALAIVSKAEDHVGRRSRARRAAIRPATQATLIAPPSAHAQTAYAMLTAPPSVQAAFAVLLSVQATLAAPLFSQAALIALPYAGCARRAAVHPVRAAVRPGTACPAHSPHRRTSSAIMARLLLLAAAAAAFLAASAASPVASDFIRKSCRATQYPSVCEQSLASYGGSPPPRSPRELARAALSVSADRARAASAYVGRLCGGSGSGGSRGAGAKGAKKGSRSAASAAGPVRDCLENLADSVGHLRDAAQEMGGAGMSRSGTPAFKWHLSNVQTWCSAALTDENTCLDGLSARGVDAGTRAAIRGKVVEVAQVTSNALALVNKVGP >Sspon.05G0006480-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:21768284:21770284:1 gene:Sspon.05G0006480-4D transcript:Sspon.05G0006480-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AMPPHLVLLLFLGLGGLLPLAAWAADEQFVFDGFKGANLSFDGMATVTPDGLLMLTNGTSQLKGHAFYPAPLRFRRAPNSTATAMQSFSTAFVIGIIGAYEDLSSHGMAFVVAKSSNFTSALPGQFMGLVSSATNGNASNHLFAVEFDTILNSEFNDMSGNHVGVDVNGLNSVDADNAGYYDDATGAFRNMSLVSRKAMQVWVDFDGQTMQVNVTMAPLEAAARPKRPLLSTTVNLSSVIDNDTAYVGFSSATGILFCRHYVLGWSFRMNGAAPALNVSALPTLPVTFPKPRSKTLEIVLPIASAVLVFAVAAAVFAFLRRRRMYAEVKEEWEASFGPHRFSYKDLFHATDGFSDKRLLGIGGFGSRASKASDVFAFGAFMLEVACGRKPVVQDARDNRLVLVDWVLDRWRAGSVTDTVDPRLQADFDEREASLVLRLGLLCSHPLPGARPGMRQIVQYLNGDAPLPELSPTYQGLNMLSLMQDQGFDPYIMSFPITSTGTSIIS >Sspon.01G0000270-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:1611691:1614708:1 gene:Sspon.01G0000270-4D transcript:Sspon.01G0000270-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKNVTAMEDALLRILTPRRSIDILRDVHAAKERGRPYVIVFVGVNGVGKSTNLAKVAYWLLQHNLSVTLAACDTFRSGAVEQLRTHARRLQIPIFEKGYEKDPAVVAREAIQEATRNKSDVVLVDTAGRMQDNEPLMRALSKLINLNSPDLVLFVGEALVGNDAVDQLTKFNQKLADLSAVPTARLIDGILLTKFDTIDDKVGAALSMVYISGAPVMFVGCGQSYTDLKKLNVKSIVKTLLK >Sspon.06G0029260-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:17256806:17258275:-1 gene:Sspon.06G0029260-1C transcript:Sspon.06G0029260-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding HGHAHAATAGPPELWQQRRLPARASRAVPGAGTHAPAPRPRGTAHRARPRGHRRRHVRQRRAARAAGPRVPVRGRALAALPVVAAAPAGERREHQGPPAPPLPALHGLPRGAPRAAPHLVQRAETARPPRDGRRLRLLHGVDAAAGQGAGRAARHLLPLLRAPPRHVARPVAQPADEAPPRGPRRRCRRRGGHVPGGPRLTYLPVAPPVGAVPAVRGRRRVVRGDPAALPLEPGQRVLRRQHVRGAGGGLRDAAAPGPGVEAGIRGGPAVGRRRRGHVHVGRRPRREARGGGGAGGGVAGRVPGRLGRVRQLRDAAGAVAGAGGVGGGRAGAELGGVRVGGEGGHRGARRVRGGHGVARAGDPRVGAAGGGAAPPRRGLVPDALRLELGAGGGRRRGGHAGVADGRRPVHGRAAARGGRRGRARGGGRRRRAGRRDDGGGDSRGRREGGADREGARGGARTLGGGRGGGGRELAQGPGGIRADAADQGRV >Sspon.04G0015540-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:58073044:58076732:1 gene:Sspon.04G0015540-1A transcript:Sspon.04G0015540-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGAAVQAVEPRPAAAATTTAASPATTAQPAAAAAAAMGCGGPARAAGPAAATMEHVLLALRETEAEREARIRGVFGFFDAAGRGHLDHAQIVAGLVALRVPEGTTGGAGAEDYARALLRACDRDRDGRVGYDDFRRYMDDKELELYRIFQAIDVEHNGCILPEELWHALVKAGIEIDDEELARFVEHVDKDNDGIITFEEWRDFLLLYPHEATIENIYHHWERVCLVDIGEQAAIPEGISKHVSASKYLIAGGIAGATSRTATAPLDRLKVNMQVQTNCTTVLDAVKGIWREGALLGFFRGNGLNVVKVAPESAIRFYTYEMLKEYIMKSKGENKSDIGTSGRLMAGGLAGAIAQTAIYPIDLVKTRLQTYEGHRIPSLGALSRDIWIHEGPRAFYRGLVPSLLGMVPYAGIDLTVYETLKEMSKTYVLKDNDPGPLVQLGCGTVSGALGATCVYPLQVIRTRMQAQPANSKDPYRGMTDCFRRTLRHEGVSGFYKGLVPNLLKVVPAASFGNILCFQFALKNSLSTVGVQIRGLH >Sspon.02G0013200-3D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2D:28817534:28819239:1 gene:Sspon.02G0013200-3D transcript:Sspon.02G0013200-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRKPRPLQQPAVRRAAWPVGLLFALCFTTLPLFLALSPGRPTLFDMWQQIGIRVAVHYDDEPKPSSEPSDSPLESRDILLGGLLSPDVSASTCLSRYLSSLYRKASPHSPSPYLVSRLRKYEALHRRCGPGTPLYDKSVRQLASAHNSMGLAECTYLVWTPGNHLGDRMVSMASAFLYALLTRRVFLVDMAKDMAGLFCEPFPGASWELPPGFPVHNLTQLRRGSEHSYGSLLGAKKISNEDPVGVRSESLPSYAYLHLAHDYRLPDQRFFCDDDQTVLGKVNWLLLRSDLYFAPGLFLVPQFEDELRWMFPATDTVFHHIGRYLFHPSNKVWKMIQGYYTSYMAKFDEKIGIQITTLAWNPVSTEAYFNQIAACTSQEKILPGVDPKVASSEHETAATSSKAVLVISAQPEYAERLKSMYYEHATVTGEPVSVLQPPGAGNQPQNHKVLVEMFLQSYCDVSVVSGWSTVGYVGHGLAGLSPWLLLPPTNQTVAHPPCVRAMSMEPCFHAPPSYDCRAKTNGDLGAVLRYLKRCED >Sspon.02G0045750-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2B:111721413:111721622:-1 gene:Sspon.02G0045750-1B transcript:Sspon.02G0045750-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPSSVASLIFLCLLVLLLPTQHGASSYASYKLGSRQVYFKTPGRSSGNSKQASTVPPATTCSSMPIGT >Sspon.07G0015280-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:54523584:54524287:-1 gene:Sspon.07G0015280-1A transcript:Sspon.07G0015280-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSNKNTAATGAMVAVVLLLLATMPLMTTVADARSYLDVLPPSTSHGVVENLLPHKSIPRSGPSDFWGGEPLPPVEMAYGVCQKVVEVKTRAPRQRRPHDDMGGNAATIVLLLSLPSLSPGCAARRFYERKDDDSALSLSPSTPSHPLPSRTYS >Sspon.05G0011340-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:32331016:32345133:-1 gene:Sspon.05G0011340-1A transcript:Sspon.05G0011340-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFTRRRHGAAAPGVEWAAVSGAGAWSVEEVRKHQLMRRTGLPARDLRALDPALSYPCSIVGRDRAVVVNLERARAVIMATEVLVPAPRDPAVAPLVRNLLARLAASPTPPQAYEEDEAAEDGGALPPSPGGVGGGSGGRDGQASARDKALPFEFRALEVCLEFSCKSLEQETCTLEKEAYPALDELSSNVSTLNLERVRQIKSRLLAISGRVQKGRTRTLARRWDREDDEEGEEGSSEGGNGIGTSIGFTPKIDELENLLEAYFVQVDGTLNKLSTLGACEADRSHRPFLGFVLAILNSPLLDRRLGRLAVVLKLREYVDDTEDYINIMLDDKQNQLLQMGILLSTATLVMSCAIAITGVFGMNITIPLYTASTEGVFWEVTGSIVGVTAAIYLVALIFYKRSGILHVEEVRKHQLMRRTGLPARDLRALDPALSYPCSIVGRDRAVVVNLERARAVIMATEVLVPAPRDPAVAPLVRNLLARLAASPTPPQAYEEDEAAEDGGALPPSPGGVGGGSGGRDGQASARDKALPFEFRALEVCLEFSCKSLEQETCTLEKEAYPALDELSSNVSTLNLERVRQIKSRLLAISGRVQKGRTRTLARRWDREDDEEGEEGSSEGGNGIGTSIGFTPKIDELENLLEAYFVQVDGTLNKLSTLGACEADRSHRPFLGFVLAILNSPLLDRRLGRLAVVLKLREYVDDTEDYINIMLDDKQNQLLQMGILLSTATLVMSCAIAITGVFGMNITIPLYTASTEGVFWEVTGSIVGVTAAIYLVALIFYKRSGILQNDRTCFDVRNANANMGWRERWEEALTCYKPIKVWAVSRQNTCFGRSTPAVAARHFLKKSQLIYAHLTCAEVVAAAEAEDP >Sspon.07G0025510-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:43217553:43220912:1 gene:Sspon.07G0025510-2C transcript:Sspon.07G0025510-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQILAEIFGTYFLIFAGCAAVAVNLRTGGTVTFPGICIVWGLAVMVMVYSVGHISGAHLNPAVSVAFATCGRFPWRQVPAYAAAQVMGATAASLTLRLLFGNAREHFFGTVPAGSDVQSLVIEFIISFNLMFVVSGVATDNRAIGELAGLAVGATVLLNVLFAGPVSGASMNPARTLGPAIVVGRYAGIWVYFAGPICGTVAGAWAYNLIRFTDKPLREITQTSSFLRS >Sspon.03G0022630-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:68932387:68937539:1 gene:Sspon.03G0022630-1A transcript:Sspon.03G0022630-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MILEKPSWIRHEGLQIFSIDIQTGGLRFATGGGDQKVRIWSMKSVHKDSTNDDSNQRLLATMRDHFGSVNCVRWAKHGRYLASGSDDQVILIHERKAGSGTPEFGSGQPPDVENWKVIMTLRGHTADVVDLSWSPDDSTLASGSLDNTIHIWNMNNGICTVVLRGHSSLVKGVTWDPIGSFIASQSDDKTVMIWRTSDWSLAHKTEGHWAKSLGSTFFRRLAWSPCGHLITTTHGFQKPRHSAPVLERGEWAATFDFLGHNAPIVVVKFNNSAFRKNFSNDQDPKAAPAGWANGASKTPTKEQEPYNVIAIGSQDRTITVWTTASARPIFVAKHFFSQSVVDLSWSPDGYSLFACSLDGSVANFHFEAKELGYKLSDSEMDEWKRNRYGDVGGWQSNLAESPAQLLLEQASAKQSAGKKGTSIVEQAQAPPKVSADVPNPAPVVQSLKVPEASPEDSKKTAGPTADEVKKANQLSSPVKQREYRRPDGRKRIIPEAVGFASNQDNTPNHSQNHLVDFSSLDQRMNGTRPSYGSNSNCNNCGVMDRSSVTARANITESLVIQKASTGAGNDVRLSIEHARSVVPSSLTSCSALSIHVLNKNDNEDALPVCLEARPVERGAGDMIGVGGAFSTKETEIKCIRGTETLWSDRISGKVTVLAGNANFWAVGCEDGFLQVYTKCGMRAMPAMMMGSAAVFIDCDDCWKLLLVTRRGLMYIWNLYDRTCILQDSLASLVASPDESSAKDAGTVKVISATFSRCGSPLVVLASRHAFLYDMSMKCWLRIADDCFPASNFASSFSFPQGGELGKLQIDIGKFMARKPIWSRVTDDGLQTRAHLETQLASSLALKSAQEYRQCLLSYVRFLAREADESRLREVCESFLGPPVGMIGSTSPTDPKNLAWDPDVLGMKKQKLLKEDILPSMASNRKVQRLLNEFMDLLSEYETAETKADPMDVTLTPQPGTEANDNDK >Sspon.03G0007220-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:19707825:19708139:1 gene:Sspon.03G0007220-1A transcript:Sspon.03G0007220-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RWPEEPDPRPQPCWSSSSARRRSQRRRPLLPPRRARARARSDRPARGRRLRARIWRRRAIARRELGAGGAAARRSTTCASGPPRRGASHARSSPAIRLPAPRTPA >Sspon.03G0006680-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:23203089:23206890:-1 gene:Sspon.03G0006680-2D transcript:Sspon.03G0006680-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFCHYRDFSGHSLLRDPRHNKGLSFTEKERDAHYLRGLLPPVVLSQDLQEKRMLQNVRQFQVPLQRYTALMDLQERNERLFYKLLIDNVEELLPVVYTPTVGEACQKYGSIFRRPQGLYVSLKDKGRILEVLRNWPEKSIQVIVVTDGERILGLGDLGCQCLPITIDVGTNNEDLLKDEFYIGLKQRRATGQEYSELLDEFMAAVRQNYGQKVLVQFEDFANHNAFTLLEKYRTNHLVFNDDIQGTAAVVLAGLIAALKSVGGTLADHTFLFFGAGEAGTGIAELVALEISRQAKVSVEVARKKIWLVDSKGLIVTSRKETLQPFKKRYAHEHEPVKDLLGAIKAIRPTALIGSAGVGQSFTKEVIEAMSSINERPIILALSNPTSQSECTAEQAYTWSQGRAIFGSGSPFDPVKYNDKLFVPAQANNAYIFPGFGLGVVILGAVRVSDDMVLAAAEGLAEQVTPEHIEKGLIYPPFSIIRKISANIAGRVAAKAYDLGMASQLPRPKDLVKYAESCMYSPVY >Sspon.04G0015230-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:61032734:61035622:-1 gene:Sspon.04G0015230-1P transcript:Sspon.04G0015230-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRAGGGRRPRAVFMAFGTQGDVFPIAALAAAFARDQQEYAVAFITHSAHRSLLAHLAASNVRYMPVSSPPVLAAEQVENISSMVSAKKLWSAQ >Sspon.06G0009800-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:40238646:40241197:-1 gene:Sspon.06G0009800-4D transcript:Sspon.06G0009800-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLSRHIPRHLQAHLLSKNPLSYHHSLKRDISSPNSVLEPHSGELHKVHLRLSSGVEGATKWEPINIKSIEPDGAYVIASQLNLVEEQQGGSYVASLELELQQARDRVSKLEAERLSAKKQLDRLFKKLAEEKAAWRNREHKKVRAILEDMKADLEHEKRNRRQLETINFKLVDELKEVKMAAKQLLQEYDNEQKTRELTEEVCNKLVREIEEHRSEIEALKQDSVKLRGELDEDRKLLQMAEVWREERVQMKLVDAKLTLEAKYEQLSKLQEDVEAFISTFSSSKGDSTIVEAAHNIVQAIGSARDQEVEFTYELPRASEDILSIFEELRPSEETETKETEPCPKKEIQEASPTTDIFLENRDKLFPDGMHSDESDTEDGSSWETMSHEEMQGSSHSPYGSEPSVNKIFDRISWTSGNDSEGEETNKLCDDLSNVYLTDMKQSKKKESAISKLWKSSPLKHCELRTKDVPEMINGRLSSASLPNGVYSTAKGSNLDMGDSTPSTAQWSSPDSMNSQLNRGFRGCMEMVQRQSLKAKLLEARMESQKIQLRHVLSQKT >Sspon.07G0018750-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:67810440:67814168:-1 gene:Sspon.07G0018750-1A transcript:Sspon.07G0018750-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LSGDLTTGTLPRRAFSKQELDGLRGLFTSLSAQSQTGGRAISRPVFLEYYGVRGPLGDRLFQLVAKESGGSDGVTFEDLIVSKATYGRGTRDEVDEFIYQLCDVTGDGALTRSDLESVLPSVHETVFAVKKEVGEGSNNRPFEAFLNSAVFSKDAEGVSEKSMSLSDFRNWCIFLPSLRKFLGNLLMPPDSGRPGFEVPLLHYPENISTDLLLLNKEYAWHIGGGFSQHEVQEWKLLYHSSLHGQSFNTFLGKVTNGDAQTVLIVKDTEGSIYGGYASQPWERHSDFYGDMKTFLFKLYPQASIFRPTGANKNLQWCAINFSSENIPNGIGFGGQPHHFGLFLSANFDQGHSFTCSTFTSPPLSKTNRFRPEVIECWGIQMRGAQDEKLELVKGTVLERFKEDRNMLKMVGLASASD >Sspon.06G0004640-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:12196004:12203158:-1 gene:Sspon.06G0004640-4D transcript:Sspon.06G0004640-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGKCPHRKVKKRRLSHKTARRGKFLLKADDAVYDELVKLADQGKDAEGKELPVDEDLPGMGQFYCLHCDRYFASESVKDDHYRSKRHKKRVKVMSGPAPHTQLDAELAAGMGKPDNGLKLMSM >Sspon.08G0005940-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:18551817:18553663:-1 gene:Sspon.08G0005940-3C transcript:Sspon.08G0005940-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:IQ-domain 33 [Source:Projected from Arabidopsis thaliana (AT5G35670) UniProtKB/TrEMBL;Acc:Q8L8M9] MGLAGGIVRRVFSKSPCSSAGTGSRGHSEKGSADHRRRWSSLRLYLCGEEMNAAAEEEDDDDGETVSVNSFETCCVLPPEEAHVVPVVAHRLTSDAHDAVDNDNPGEPAEHRSRVPGGEQSHGHVVVPASEEEGAATLIQSAFRGFMARRQLQELRTRREQEEMDGAQDQEPTRSPTWASVATSVLVQVGESSSNLRLSEESASVQQQRSSQRSRPPPPPPPAFRVKEEWDDSTVSSNVSRMRIQSRIEATTRRERALAYAFSQQLRTCGGGNSKKRSARAEQGEFNVGWSWLERWMATRQAEPAADDCMSRNADTGSATAAGHRRVVVVRRRNNDLAVEEKESCGSNDVSVVSFDGSSSLGGAGGARSGLSYHKPTGSSKSRLKGGRNLPRRKQVAASDHHRFQARSHKVSKKGHHRAEQAPHKDRLDAGGFDFDACQPPTDY >Sspon.06G0007490-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:29267268:29273352:-1 gene:Sspon.06G0007490-1A transcript:Sspon.06G0007490-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDIQLGCHTIQSHGTKVARVHMYDWIILLCLAVLDGLLNIIEPFHRFVGRDMMTDLSYPLKGNTIPFWAVPLIAIVLPWVIFGVIYFKKKNVYDLHHGILGILYSVLITAVITDAIKDGVGRPRPDFFWRCFPDGKPNYDNITTDVICHGEKSVIKEGHKSFPSGHSSWSFAGLGFLAWYLAGKLKAFDRKGHIAKLCLVFLPLLVASLVAVSRVDDYWHHWQDVFAGGILGLTVASFCYLQFFPFPFDNDALWPHAYFQQLAEMHSNGNANSINLRPTESEDEQEDHGGIALRDTSPILESMESGRRP >Sspon.06G0000160-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:11562721:11565572:1 gene:Sspon.06G0000160-2B transcript:Sspon.06G0000160-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLRHRRGIGAAKGYDDYIHEDGSGTAPDTDTATDPEEEEEEDDDEDEEEEEEEEDRRRLHLGLHSMTAKGIQHLCSELLEIKKASEQDFSANVYLSYLSFIRMFQEAGDLEKDVHHLKRQVMAHRRLVQHLSTNCLYSSSSSSMVLPSSGGSKEEEADMDGIGILPYQREHDEELELDVLLSEHRMDEALQLLELQGQALQTMQQQTAGAGADDDGEAIAFASSVRALSARKARVAARLASLAENPRTPRPELLKALSGLCRLGDAERANHLLFELHRASVARGVEELRASQGHHHNSGGGGGNYIKDLARVVFSSIVRTSRSFVALHGHPSPYTPRLVRWAREEMEDLSAAFSEYVRSMSSPATAAHSLALALEAAECAVSYSPPAEGLGLQLVAELFREYMHSIVELIPRKEAAALQLKDLLQLQDEANGGDERYTWQLAVLINCTTLVSLFPTMASGVFRTPPSSSPDFPASAQREVNSLISLIKEAAGQVWSCFCQQFIRDTMSSSAQGRRFGSGTPPPPPPQAQGAMMPSMAFQVVFLRVRRLNEVYGAILSGEDGTMKKLLRELMEAMICWLSSNLDSWAVHGAAQVQLDVHFLLEFAQLGGFCSESIRSGAMDLLIKAQEKVAGGELDDVDEVGGGGWAADAAKHAVQVLLAMGDGDVAAADAGEESDEMARRNGSDEEAGQEEALEDEDETVTTNKSSDEFISLEDEEDEDDG >Sspon.01G0036540-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:15443609:15445479:-1 gene:Sspon.01G0036540-3D transcript:Sspon.01G0036540-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VNGDCLTGSTYPLAVAKPLVVDGTSKGHELYLAYDEASLSMLDPPLVLQEFINHGGILFKVYIIGETIQVVRRFSLPDVNTYDLLSNVGVYRLPRVSCAAASADDADLDPLIAELPPRPLLEKLGRELRGRLGLRLFNIDMIRELGTKDRYYIIDINYFPGVWLFCIHTSGLSSSFLFSIFVHAELVVPNFCPSQV >Sspon.05G0029450-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:64323476:64324891:-1 gene:Sspon.05G0029450-2C transcript:Sspon.05G0029450-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTKPSLTSMKLLSRLAALGAIAFRRAGSLGLRRSIHLVPSCSQPRDPSDDSPATAGAAKDPSWVLLNRRGCRRVTNYSSSMAADNKTVAESQTSTGQHYLRVSSRLAGPPASSLLYYDYVGGIMPADGEKIDLAVIAAHGDSIVLRLRMETCRRSSSHRRIAPLTCQFDHFVYRAGTATRPPSLSLLPAVSIPVRDHHCRHVLLDEDTGILRRGDDEVLVAQLELGKFEHEDMADLSLLHLGRSQWELKRSVPVVHEQGDKLLGWLTGASMAIPVGERFLCWVSYHGESFILCDMAAEEGSPMLRHVPLPATPYDPNYYTNDLPPLSDSEGMGAAGDGAVRFIAIEPRCCCGRFGRTSCTRSRYAFTVTTWTLTLTMDEPLTWVKDGVFDCEELWAMPGYEGIPHGHLQSPVVSLDNSDVVYFKVGKVHEDQDIWMIQVDTRRKALLAAVPWNTNNEAWRSGLHLPAKL >Sspon.05G0001670-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:11039785:11046634:1 gene:Sspon.05G0001670-1P transcript:Sspon.05G0001670-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPKSKRGGAAAARKAPGARGRMGRAPAAAEEAPAVEEMKEAPAEEVKIAEEAPKVMEEPKRQPSPLLPQQPVVEEKGSDAAANGANQGEDGGVAKETYEEEDKGERLEFEDEPEYEEEAAVDYDEKDLEHYEEQYEDGDEEVEYTEDVVEVETDMVDEELDEGGDDREGEGYENSDEEHHVDVDDEEHNEMVKEHRKRKEFEVFVGGLDKDATENDLRKVFGEVGEITEVRLMMNPVTKKNKGFAFLRYATVEQARRAVSELKNPLVRGKQCGVAPSHDNDTLFVGNICKTWTKEHLKDKLKSYGVESFDDLLLVEDTNNPGMNRGYALLEFSTRPEAMDAFRILQKRDVVFGVDRSAKVSFADSYPQVDDEIMAQVRTVFLDGLPPSWDEDRVKKYLKKYGAIEKVELARNMPAAKRKDFGFVTFDTHDNAVACAEGISNSEIGEGDHKAKVRARLSRPLQRPPRMKHGLRGNFRVGHGAPRGGRLPYARAPPPRRPPPRLVRPAVSRLPPLRSHPLKRPIDIRDRRPVMSMPDRARRLPPPERAYDRRPPAPVYPKRSPRREYGRRDELPPSRSRATIDYSSRVPVDRRPSLRDDYSPRGSGYSDLGPRSAPRLSDRRAYADDSYGGKFDRPLPAYREGRGRDYDTISGSKRPYADLDDVPRYQEIGVRQSKARLDYDIGSSARYGDAYSERPGRPHAGYSSSRSIPVHDSAYGSSRHGMSYGGSASSADTGGMYPPSYSGSYGSRGSDVGGSSYSSLYSGRNLGSSSGGYYGGSGSSSYF >Sspon.01G0011320-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1A:31026043:31027442:-1 gene:Sspon.01G0011320-1A transcript:Sspon.01G0011320-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding WRARGRRRRRRSARRGAAAAARTCPWPPGRAPCGAGSATPSRAWSAARTACTTPPWASSRASSTPSRRRRRSSRRRGRSRSCRRASRASAAARSARSWWGSATPPPSTSSGGAVNDVNCM >Sspon.03G0035890-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:85561002:85565049:-1 gene:Sspon.03G0035890-1B transcript:Sspon.03G0035890-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTPASGGELSISVEPRAHADAAGGEAQQSESSSADVVRRKLKTLNKIVFCVAFLEWAGNAAGTLAFIWATAVLLGGFCSLLIRVDFWFATAMVFMEGSSCGAMYGYVETAPQRSKTTKHDTLASLRATGYLSISVAVTLLMVSNEVFWGADHDDDSSSSSGTSEQNNNRSPETEISEKDSSSSCCGLFLQIVETILPILFFWSVMFPLPGISVSLAISFYMLFSVTAGVLIANLQIPVAFLQGSSCILAVILGFISFLRRISLARDLGFKQEWGAKAISLYFRQAYLARMETGLFFRQEEKEKYTPSLDLESFAIQSLGCTSSHELQIVGLRILDNSLQRGDSESNKKLITEIVKDARNIITNIIGLISCYLTIEEKENSGGRGLQQHHKKTIEQMMEQHHKKRTEQMEVARLCLEFVRTIATNGGKISARFRKELSENPFFLDNLDRILNIWGWQVDDLREQVMRIVATLAVDEAARKEIGSNQSIIPNLMHEFELEPSMYDDRSSLRMAAGEALANLTINSADNCWAILLADPEHNLLTELIDMLDDEYYICVATNLLHNLCANSRGMLIDLGTNMNLESALSKAMEIIRTKEGKQLEAALCVASQIGYVIPEYIAQELDPDTDAAAAEQLVTKLVDTLKSNREPCLEYPRIRRVLVEEVISIVELCPGYIKIFREKGAKDALDMVKGTPSRLEKYRVFLHGEGVVPESLTMRDLVDNAKRLIYEATPTPGGIYLPYQSPSLLRILVKRLSSGISNTTLFPKRVPKSSHGAFT >Sspon.04G0017680-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:10097280:10100833:-1 gene:Sspon.04G0017680-1P transcript:Sspon.04G0017680-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWRRGGGANGVARRWVLLLCVGSFCLGLLFTDSYVHTIQPSVSQAPVASIRSLAACEFFNELKFRGIAVLTNVFSRMWTLPEADEVEVPNLRRGEEAERNTGDCNVRKILTIIHRNLQGNLFLIGFELASYRTLDKTIANLETELSAARTLQDSFLNGSPVQEDYKASESTGRRKYLMVIGINTAFSSRKRRDSIRNTWMPKGEMRKKLEEEKGIIIRFVIGHSAISGGIVDRAIQAEDKKHGDFMRLDHVEGYLELTYFATAVALWDANFYVKVDDDVHVNIATLGNILSKHISKPRVYIGCMKSGPVLSDKDVRYYEPEHWKFGEVGNKYFRHATGQLYAISKDLAAYISLNKHVLHKYINEDVSLGAWLIGLDVEHIDDRRLCCGTPPDCEWKAQAGNTCAASFDWKCSGICNSVQNIWGVHKKCSEDEKALLTASF >Sspon.05G0038170-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:34845897:34850391:1 gene:Sspon.05G0038170-1D transcript:Sspon.05G0038170-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVTCSIPLGPLGPARLHLRDFLDGSAMDLIYPRRALDRYGRDMTAAAAVKNNKTDPVIGRDSEIDRVVCTLCRRSKNNAVLVGAPGVGKTAIAEGLAQRVAAGTVPPSLAGARVVELDLGALLAGTQWRGMYEERIKKVIQEADRAGGKVILFIDEMHMLLGAGGNDSSAANMLKPALARGRLRCVGATTLDEYRKYIEKDAALERRFQRIDVEEPSADVAIGILRGLKRRYEAHHGLKVMDSAIVAAVQLAARYIPGRHFPDKAIDLIDEACATTRMQAVSRWTGIPVHALDHEAKHKLMHLADRLHERVVGQDEAVNSVAQAVLRARIGLDSCGRQPMGSTGVGKTELAKALAEQLFDSEKMLIRFDMSEFVSAGSVLRLIGAPPSYTGHEDGGQLTEKVRRRPYSVILFDEVEKADPSVFNAILQLLDDGVLTDGKGRTVDFKNTLIIMTSNLGSEHMAEGMMHGEETMQAARGLVMKQVHKFFKPEFLNRLSDVVIFEPLSRDKLKEVVRIQMKSIIASVADKGISLFVSNAAMDVIFLESYCPMYGARPIRRWVQKNVMTRLSEMLINGEVGEGSTVYIDATEDKKALRYEATKMASRKKQLLPPQDDEMHDFEIATTDYDDGVLEVAPIAGRRSCRGRCWLVATNYDKEDDNMLLPIQ >Sspon.02G0055680-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2D:11370643:11371575:-1 gene:Sspon.02G0055680-1D transcript:Sspon.02G0055680-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPWVRLDRRQSRNADHRQPTFQSPAAWMLDPPPTASRTSGQSGRQLMNLILAAISHSAISLLDVGVGVVVDLRPSLLSDPPRRVSAVTASPLHCKFAMKPAQHSQQNGVQTPCSHWTRLTINTDKKLCSSCSTHAARSRPRLRRFAPHRCSGSMVPRRRATPLSSSPSSSATLALSFLTAVLEPQRSGRPTGGLHRGEAPPFDCRGCLHLAGCHHLPSRALPSRWVPPSAIAVASTVSVRRAVR >Sspon.05G0014940-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:54098735:54105850:1 gene:Sspon.05G0014940-4D transcript:Sspon.05G0014940-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DGPPQYRSSTIFEDASPEVVRDFFWDDEFRIKNSWDDMLLQHETLEECTKTGTLVVRWVRKFPFFCSDREYIIGRRIWASGKTFYCVTKGVPRPSVPRQNKPRRVDLYYSSWCIRPVESRKGDGSMTACEVLLFHHEDMGIPWEIAKLGVRQGMWGCVKRIEPGLRAYQVARTAGEPISKCAAMAHVTTRFNADELITEENTEASSSNNAAEVEKPKHWTNNIPKVLMIGGAVALACTFDGGLLTKAVIFGTARRFAGPGRR >Sspon.06G0018460-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:67983403:67984485:-1 gene:Sspon.06G0018460-3C transcript:Sspon.06G0018460-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RRWPLPPRQRTRLPAARTSRRRASTAPARRPSSARRPARPGTATGICTRRTSSSARRPAPPAAVAGRTPSPASSTSTSISPRASRRPPPPPCPPPTSSSTTARSAPSASPPRCSSRTRTPPCFSTSSPTLPRTRSALTRSTRRRTSVAASGAAPCAGRRARCPRSARTGGPRPRCLQHRRCRSRSAQTRRSRQRPPRRRARRRPRPPPPLLPPRRPRPPADPAAGAGSSRTCCTGASPTAARPATTTTSIPTRLQQLQHRQPRRWRRRRAHRHHLRLPRPQQRQRRGRAGRRGTAAAGGGPRTSGCTRRGARRPRRCGAGRRCRTARACSSSAASGSATAATAQCTASPGGSTPPPLSPPG >Sspon.03G0032730-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:36724806:36727928:1 gene:Sspon.03G0032730-2D transcript:Sspon.03G0032730-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRRAGAEPLRHHLAAAAAAGCRVNRRPLPPMPTASSSPASCCPLSQHNLGILWGSASVGAPFRCLSNQSGGAGGACWSCGATGAFLSCGSCGSVQPVDPAVDYFQIFGLKREYNIKDNNLEGKYKDWQKKLHPDLVHSKSEKERGYAAEQSALVIDAYRTLSKPLSRALYLLKLEGIHVDEEKTINDPELLMEMMEIREAVSDANDSQTLEKIQSQIQEKLEAWSDSFQEAFDNKDFDRAVEATQRMRYYERAVEETVKKL >Sspon.04G0020180-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:73554836:73561102:-1 gene:Sspon.04G0020180-2B transcript:Sspon.04G0020180-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGGAGNGSNGAAQTKGSGGDGSAKPLPPCCVKARAAAPESEAKCHATVVSGWFTEPRSHCGKASKVQYYNNPMWPGEADSLKVEKILYQGKSPYQEVLVFESSTYGKVLVLDGIVQLTDKDECAYQEMITHLPLCSIPSPKKVLVIGGGDGGVLREISRHSSVESIDICEIDQLICKDFFPHLSVGFEDPRVQLHVGDAVEFLRNASEGTYDAIIVDSSDPIGPAQELVEKPFFETIARALRPGGVLCNQAESMWLHTHLIQDMLSICRETFKGSVRYAWTSVPTYPSGVIGFLLCAKEGPPVNFLTPINPIEKVEGATKAGREIRFYNSEFLRIFRKQTHTERICAPFDRSRQRK >Sspon.02G0031610-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:115677914:115680169:1 gene:Sspon.02G0031610-1A transcript:Sspon.02G0031610-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding TMSGCGYRTQFINGRREKFVRLVEADEPAESATSPTSGSGGATMEHGHGNGGGHGGGGGGFHMDSYFSGNPSAAAAKFRARSVRVAAGVKNRSERLRSIGLVFQEDFRKMSQQVFDPQDAFLARMNRAFVFACIVSVAIDPLFLYLLAVKYTDKNTCIGFDRNLATVATVVRTAVDAFYLARIALQFRTAYIAPSSRVFGRGELVIDSSAIARRYLRRFFVVDLLSVLPLPQVSIWNFLNRPKGADLLPTKNALLFTVLSQYVPRLVRFYPITSELKRTTGVFAETAFGGAAFYLLLYMLASHMVGAFWYLLAIERLDDCWRDKCTKLNFHQCRTYMYCGGGSQGQSGFLEWRTMIRQVLAQECAPVDGSGTGFPYGIYTTAIQSGVYSTENLTAKILFCLWWGLQNLSTIGQGLETTHYKGEQLFSITLALLGLILMALLIGNMQTYLQSMTLRLEEMRLKRRDSEQWMHHRVLPDELRERVWRHNQYKWLETRGVDEDSLVRSLPKDLRRDVKRHLCLRLVRRVPLFANMDERLLDAICERLKPSLCTESTYIVREGDPVDEMLFIIRGRLESSTTDGGRMGFYNRGLLKEGDFCGEELLTWALDPKAGTNFPLSTRTVRAISEVEAFALRADELKFVAGQFRRLHSKQLQQTFRFYSQQWRTWASCLIQAAWRRYLKRKAAEQRRREEEMEADEAAASGVSTSRFKTTLLVSRFAKNAMRGVQRQRSVRADSLIMLPRPPEPDFGSMDY >Sspon.02G0031710-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:115793963:115797883:-1 gene:Sspon.02G0031710-1A transcript:Sspon.02G0031710-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ARMMRWPRPPPARNFRVRLVVRRAEGLPPPAAPLSPEGSPEAEAKVFVEVSWKGPKMSPLTSLRRSQRPPRNQTRKEALPAVAAAAPADLEDGAAAASAPAAVSVSVSAVAWEEEFERDAALTATSHRDPAAFHPWDVSFSVISVSNKMSKSKLVLGTASLNLADYASAAEEEIEIILPLSVPSSATDLAPSLHVWLSLAELRISQQSPGASQRSVIAPLSPSSGDSVPSGKDEVSVIKVGLRNLKILRDLVSTRRFKKTNQDYDGSEEKYYVHSDGAEFSCDTDSLDDDLDDREQDDEFGGSTVRKSFSYGSLQTMNVGALLYAPRIDGDDEGWVHYSHRNSDVSYHVEQVPSSTTEEHPSIPLRRKRSLFPARWRKTKLPKAKGEPLLKPYGEEGGDDIDYDRRLLTPSDGSVSEVHNGSTNSMVSVFGDDDFVVGNWELKEVFSRDGHLKLSTQVFFASIDQRSERAAGESACTALVAVVADWFQANQDLMPIRSQFDNLIREGSLEWRKLCENESYRERFPDKHFDLETVLHAKIRPLTVAPSKSFIGFFHPEGTEDVTGFDFLDGAMSFDNIWDEISRAAECSSGKPTLYIVSWNDHFFVLKVDADAYYIIDTLGERLSEGCNQAYILKFDDTTTIHKVPAEKKEANPDTGGRHKDSSEISCSTEQDSGTDTEECELVLTGKDACKEYIKSFLAAIPIRELQADIKKGLMASTPLHHRLQIEFHYTESCPAEITVPAPLSPFEAPFEFCWPEPPSPPPAIEFAITHPPAMEVAITQAVAVV >Sspon.02G0033890-1T-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2C:10563960:10564766:1 gene:Sspon.02G0033890-1T transcript:Sspon.02G0033890-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSQDRGPFRPPDWVPQPSHLLRDHHYHNEHRYQPHSHPHRDRHYSTEHRYQPRGQHQRDCHVQPSPPPSQFEVLLVRPGPDLSGPTAIEVEALVADLKSPTPASISVHSSGRHAARLVFTSISDAAAAARELWALRLEGLHLLTLDLPHPALAAHASPHFASLFADHASRLLNSDLVALSAARSAELAASIRDVKQRLGSRNSFRDFHQLHLEKKTLESEKELIDAKIAEYKEAMWSIQRAMLRGSGTRRRVSTCLGLWKVRMWTL >Sspon.08G0017740-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:3780105:3782056:-1 gene:Sspon.08G0017740-2D transcript:Sspon.08G0017740-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GKTEWKRFRSGVWIPWSIDYHSIAEISKVSVGYNVLERMLLNENEKPTKLPLSLLEAITNNFSNEQEIGRGGFAVVYKILIFHADKGKLRLQGMLGIGKVAVKKLSERLDLLDQKYSREVHCLMKARHKNIVRFLGYCSETQGEMVDYEGKLVMADLRNRLLCFEFVPGGSLRDYITDASSGLEWRVRYQIIKGICEGLHYLHENHIVHLDLKPANILLDDKMVPKIADFGISRCFDENQSKDITSKLIGSIGYLAPEYCSGHITMKLDIYSLGVIIIEVLTGAKGYFAIEK >Sspon.07G0009930-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7A:29061554:29061856:1 gene:Sspon.07G0009930-1A transcript:Sspon.07G0009930-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQVGGAYYSWRLPPPRQFSGLWLRRSSQEDYVRLRRSFVRGIVLTPRGSRRATLVERVIECVIDSSAFLRRSLEGLGCGYRLATESRSVGRHNGD >Sspon.08G0024600-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:64882872:64898288:-1 gene:Sspon.08G0024600-1B transcript:Sspon.08G0024600-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding QVENELPEKTERLVRCEASAYQKLLMTRVEENRGAIGAVKVRSVHNSVMELRNICNHPYLSQLHVEEIEGYLPKHYLPSIVRLCGKLEMLDRLLPKLNATGHRVLLFSTMTRLLDVMEDYLVWKKYKYLRLDGHTSGQERGALIDKFNDPNSQAFIFLLSIRAGGVGVNLQTADTVIIFDTDWNPQVRSVEEQVRASAEHKLGVANQSITAGFFDNNTSAEDRREYLESLLRECKKEAAAPVLDDDALNDILARSEAEIDIFESIDKQRREEEMAAWQKVVQDGSTSGLDPSVLPSRLVTDDDLKPFCHAMKLYEPSSNVKTVKVNVRKKGELGGLDTQHYGRGKRAREVRSYEDQWTEEEFEKLCQVDSPDSPQPGGMSKDLDIPNKGIKTEIVVESSKEPEQTRMEASPTVGDSPPAKRRRGRPKRSDLFLSPTAADIKKQEFSTDTKPSSSVSVLEGSVANEIGTPLQSVHNVAAPAPPHQSARGRKSQAGETPRRRGRKPKSLSSSGVDDVSLNTTVSTGSGVADTSYVSSYSHVNMPSSQGTAVALAGIQKDLVTVKLDTLLPDSGKGISPVNEEDKGATVTTPVAKDVCAETVTTSDNSIALTPNTLKENIGLVQVAPAPTMPMPVASEELLKTAHVVVADKPVEKQTASRRRRKKTSSSEDTGVSTRQRSAMKRSYYSTSAAIDEVGSAMTPNEKSGIVKERDVSSIQDTSNQLPNINSPLYEKSGYDSQPSTPIAVPINEATLPSGFDTHTTHSKITLATSANPADHDKPVDLHLNAPVSVDSQNQEQLKIGKDHLAASSGIFATHLQTVTANPTSDNKPGTAQFEPSGKDATAPTSSEVDGAAPNKAPSRRRKGSTREPRTRSNSATAASERRARLTGSKQAEDIKKLEMSATPTTTVCVSSVEQQGAASLRAEITNASVCEEQKNPGSHVSSDISIPVGSHVLGAISTEETTATMITHTPAVAKSEESKLPGGDQQGIPFDSSVPQTKMVSAVEPAPANDERMLGTEINNSEQTKIVSAAEPAPANDEHMQAAMEVGSSEQPTSMVSAVESAPSNDEEHTTHEVYLETAADNMLTSSAATDVLRDTVEHAACQSDAACTDGTARQSEASQLDSKASHDASYKDTTTTGSTKDDSKASRDASYILPSEGTAVDVTGSKQDGVNIVGTQTDDASRGSSSHFQATLQSTESDWPPGQGENLESRKEQVKLEEALDNSSGGNQTHSLGDEPSHDTSLVRNSPSEYSNERCSAQVIGETFKSKENIVEVHSAMNTDGPDEALDALYVQSKEASITDIGVPTDVDKFEGKGISVEVRADMNTVGPEDAQDASSTQSDKEAIMIEFVVSTDGSPTVCKAHNDLEGHVSCEDRTGGDDPIHTNANYGSNNKSEDTIVNPVETTREPMEESTVIVSENSDLNKQSHTLHTGNDPPASTLLIVESNKVTCDAEIVCASRLESSSIEAETVGIQDSAVTDFEGTKGTGDLGHKTDSPLRDDVHDTPCSTIGLVCEKEPTEALTAGSHSEAPNLLAAVEQTRETTVANQEEIIDAVVFMDACKAEPDGDCTVAKGAEQTVEIVHSVEKQSAVLEHVERQTKQTTICGSTLNESPQAAGLEEDCSVLKHGGPTASSELLSVAPNPIGETSVIQAEPEATNSDGYCTTEVGSALSETVMGLEPNNETAVPMQEDIGEANDTSNNCEARNNSGIHASANLSTQTPALPDETGQTNMALTAELVPTNDDEHMPGTEVNSEQQIKMVSPAESAPANDEHVPDITILSSEQQTKMVSAAENDTVCVQETAIVDLGGTRGTVDLNDISTQVPALPGSDVLGDEINSSEQQAKIETIAEIETVGVKENAIADHEETGDQSGVSMHAPLLAESGEKGSHGVELPGIEIEANNSEQAKMVSAVEAASTPGLCII >Sspon.03G0009610-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:40929206:40931551:1 gene:Sspon.03G0009610-3C transcript:Sspon.03G0009610-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPNNSVSAALSETTPVFQLRVWQLIAIAVGIVMAILLVVALCLSFRRKKKTVKGFDNTSLAEIPIVSKEINVDRVDAQSLRDSEAPFVPVQDKYTQTKGAGQLGESRSVDVDTFSQCSSVYNVEKAGSSVYSEEYSYSSSGPARKGSSPYAYSASPLVGLPELSHLGWGHWFTLRDLECATNRFAKSNVLGEGGYGVVYKGRLVNGTEIAVKKILNNVGQAEKEFRVEVEAIGHVRHKNLVRLLGYCVEGIHRMLVYEYVNNGNLEQWLHGMNQHGVLSWENRMKILLGTAKAYVAPEYANSGMLNEKSDIYSFGVVLLECVTARDPVDYTKPADEVNLIEWLKMMVTSKRAEEVVDPNLEVKPPKRALKRAILVGFKCVDPDADKRPKMSHVVQMLEAVQNAYHQDQRKLSQLGSMDIESQQSLEETSNSADA >Sspon.01G0047190-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:93024794:93026368:1 gene:Sspon.01G0047190-2C transcript:Sspon.01G0047190-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASTARTVKDVNPHEFVKAYSAHLKRSGKMELPEWVDIVKTARFKELPPYDPDWYYTRAASIARKIYLRQGIGVGGFQKIYGGRQRNGSRPPHFCKSSGAISRNILQQLQKMGIIDVDPKGGRLITSQGRRDLDQVAGRVAVEA >Sspon.01G0038070-3D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:26443455:26450547:1 gene:Sspon.01G0038070-3D transcript:Sspon.01G0038070-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTLVVIGDLIFCACAVESWIENYDLDPTTSGRHPTPRPPIESTGAARLGPARAIARIGRRSSARAAAPHSRAVAVALAATAPPAKRRRGPRLAVLALVFCSLLVPIAFLFNRFPAVYVTDERPQQEINLPSFDRIVSGGSVNEVRRDSACASVASTFELENMEFDQLQEIVENSSIIVFVGSWGQDVSKKSSGSSSVLHRGIDSDPSIVSPKPKAIVFPPPKIQQEALPPPKVEPKPEVKPVPVPVPVQINLDNKRPPRVQSADEVEKAKACQLEFGSYCLWSIEHKEVMIDAIVKRLKDQLFVARSYYPSIAKLKGKETLTRELKQNIQEHERVLSESIVDADLPSFIKTKIERMDQSIASAKSCTVDCNNVDRKLRQILHMTEDEAHFHMKQSAYLYNLGVHTMPKSHHCLNMRLTVEYFKSMPLDPNDSSAHKFNIPDNRHYVILSKNVLAASVVINSTVSSSEDTENVVFHVLTDAQNFYAMKHWFARNSYRESVVNVINYEQIIFENFPEFGTQQLYLPEEFRVFISSLERPTEKSRMEYLSVFSHSHFFLAEIFKDLKKVIVLDDDVVVQRDISFLWNLDMGDKVNGAVRFCGLKLGQLRNLLGRTMYDQQSCAWMSGVNVIDLDKWRDHNVTENYLQLLRKFGNNDDEASLRASALPISLLSFQHLLYPLDERFTLSGLGYDYGIKEEVVQSSASLHYNGNMKPWLELGIPDYRKYWK >Sspon.06G0016310-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:76850140:76855820:1 gene:Sspon.06G0016310-3C transcript:Sspon.06G0016310-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPTCHLRHPPRLRLLLPLSTSAPYPWLSAWSHPRQRSRLRAPPSALDLRPEPSPSSDSDDEDAVGTSRASGRSTMALILSRLRRAGYSPAEDPRAAAASPHPPRGSVEDVFRADDGVLPNARGGFDADEEERALGDARFPWERPMPPPEAAAPRATRSPTWMAELTLPAAELRRLRHAAIRIKSRTKVGGAGVTREIVEKIKEKWKTEEVVRVKVSGTPALNMRLFHEILERKTGGLVIWRSGTSVSLYRGVDYDEPETTKGSKKNSQSLAMKSPIKGSPNASSLPTEKANSVQDSNGPLVSNAEKEEIVVQAPEIKYEDEIDKLLDELGPRYIDWPGSNPLPVDADLLAATVPGYKPPFRVLPYGVRPSLSRMDTTNLRRLARGLPPHFALGRSRQLQGLANAMVKLWEKSSIAKVALKRGVQLTTSERMAEDIKKLTGGVMLSRNNEFIVFYRGKDFLSSELAEVLLERERLAKSLQDEEEARRTAASYFSSSAETYVQPTVAGTLGETLEANSKYGTKLDENHADKMTRTVEAARHAELVRKLEWKLSLAQKKMEKAERVLGKVETALRPTKDSRPETITDEERFMFRKLGLRMKAFLLLGRRGVFDGTIENMHLHWKYRELVKILVKAKSFADVKRISLSLEAESGGILVSVDKVSKGYAIVVFRGKNYRRPSSLRPRNLLSKRKALARSIELQRHQALSRHFAKLNRKVEQLKAELVQMEDVKDQGDEELYAKLDAAYLSDEEDMEDEDDEAYLKCFDDEVAGATAEDGNDSVDDEADHPDSDDEAGDYSDEDDDGEDDEAFDYDDEDEAAAGVSDADFRAGEVGFSSSDRGDHGLDEGAGSCGKCNEATSDSRQQSHTEQPPELASSRAGSAS >Sspon.05G0036010-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:83719634:83729813:-1 gene:Sspon.05G0036010-1C transcript:Sspon.05G0036010-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGRGDSAASAPLLEKTAAEASEAGGGYCYVEGCPGCAVDRHKAANPGIPYANFIYVWIVTLCTALPISSLFPFLYFMIRDLGVAKRTEDIGFYAGFVGASFMFGRCLTSTAWGIAADRIGRKPVIVFGISSVVVFNTLFGLSVNYWMAIATRFLLGALNGLLGPIKAYAIEVCRPEHEALALSLVSTAWGIGLIIGPALGGYLAQETLHKHKVHENRSQNIEALEAHLIDSKEKVEESGSLDTKKSLFRNWPLMSSIIVYCIFSFHDMAYTEVFSLWAESDKKYGGLSLSSEDVGQVLAISGASLLVYQLFLYPSINKVLGPIKSSRIAAILCIPILFAYPYMTYLSDPGLSIILNIASVIKNNLAVTIITGTFILQNNAVPQDQRGAANGLSMTGMSFFKALAPAGAGIVFSWAQKRQHAFFFPGDQMVFFLLNIVELVGLLLTFKPFLAVPEQFDSR >Sspon.02G0023650-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:74583430:74584135:-1 gene:Sspon.02G0023650-4D transcript:Sspon.02G0023650-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLMRLTAVRLLVAAALVTTALLGPPMASGQQPAASCTVSLLTSFTPCFSFLTSNSSNGSPPTRECCRSLAALVNASTGCACLVLTGAVALPALGVPVNRTLAVSLPKACDSLSVPLQCRDTSSAQSPAPGPVAETPSTPASTPATPEAPAPPTADPTATAPVSQGQTRPMVLPSSARRTTSAHVAAAPALALLLAVAAALV >Sspon.05G0007170-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:22127757:22135953:1 gene:Sspon.05G0007170-1P transcript:Sspon.05G0007170-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVNSPGKIKDGSNGDMASDHYHRYKEDIEMMHSMGLDSYRFSLSWSRILPKGRFGGVNPAGVKFYNSLINGLLGKGIQPFVTINHYDIPEELEERYGSWLSPKIQEDFTYFAELCFKMFGDRVKHWVTFNEPNLMVKLAYFVGGFPPSHCSEPFGKCDSGNSTTEPYIAAHNMILAHAKAVNIYRKNYKAKQGGSIGITLHMRWYEPLRNIKEDHLAVSRALSFDTAWFLDPWFFGDYPHQMRQILGPNLPKFTEGEKQLLRNKIDFIGINHYDTFYVKDCIYSLCDLDLYTVEALVSESTERNGIPIGKPTPANSYVVPSSMEKLVMYLEQRYKSIPLYITENGGLLGYAQISNSSTTAEELINDTERSCYIRDYLTYLSFAIRKGADVRGYFVWSLMDTFEWNSGYTVKYGLCHVDFKSLKRTPKLSAKWY >Sspon.07G0017650-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:61152773:61159112:1 gene:Sspon.07G0017650-2B transcript:Sspon.07G0017650-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCFWIQMLVAEVLRAGAYISRKPQFLYLSTKSRPYHFSQSRLMAMAAAPASGDSQSGPQRNYQVVVAATRDMGIGKDGVLPWKLLGDLKFFKELTLTTSDPAKKNAVIMGRKTWESIPVKSRPLPGRLNVILTRSGSFDFATVENVVICGSMKSALELLASTPYCLSIEKVFVVGGGQVLREYLNGPACEAIHLTDIQSSIECDTFIPPVDFSVFQPWYSSFPVVESNIRHSFVTFVRVRKSVAETHESNGKESTEVDTKNDKFEIENFSFLPKMVYDRHVEYQYLNLVEDIIRSGAQKNDRTGTGTLSKFGCQMRFNLRKNFPLLTTKRVFWRGVVEELLWFISGSTNAKVLQEKGIHIWDGNASREYLDRYTDMHADYTGKGFDQLMDVIDKIKNNPDDRRIILSAWNPSDLKKMALPPCHMFAQFYVENGELSCQMYQRSADMGLGVPFNIASYSVLTYMIAQVCDLSPGDFVHVIGDAHVYRTHVRALEEQIQKMPKPFPILKINPSKKDIDSFMASDFKLVGYDPHQKIEMKMAVA >Sspon.03G0035070-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3B:78521147:78521443:-1 gene:Sspon.03G0035070-1B transcript:Sspon.03G0035070-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MWVHQLRWPAISALPSQRHSRSLPLASSATVNGTAAALLLWSSNTWTGIWRCDGKDEVLWDSFWHPTANISHTTCPGCTSTCRDDCERPSGSIVAPLL >Sspon.01G0006190-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:16481206:16490750:-1 gene:Sspon.01G0006190-2B transcript:Sspon.01G0006190-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RUS3 [Source:Projected from Arabidopsis thaliana (AT1G13770) UniProtKB/TrEMBL;Acc:A0A178WJD5] MDASHPEGEAAAGWVTIEEWSGSSASALSRTAVLTASASSLTAHRFGSRWGRIGSRMLGAFVPEGFPGSVTPDYVPFQMWDTLQALLGAIGVGEQSATVIGATFQWFLRDLTGMLGGILFTFYQGSNLDSNAKMWRLVADFMNDLAGVASGATRAALTQHFALAKNAADISAKDILEKFVDSFWYCFFNEAAQAYSTFQEGSQETLATMLGMGLGMLLAHITRGHALSVWTSFLSLTVFHMYANYKAVQSLSLTTLNYERASILLQYFKECGEANYLLLDKQGSVYVFIHKQATPADVLRSFVHGLVLASSTQNSKSQHLEARRWMDEMYTNFISKLQSEGYSTERLLSHSILWRAHWLHAQRDEKLK >Sspon.08G0001440-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:2456234:2456659:1 gene:Sspon.08G0001440-3D transcript:Sspon.08G0001440-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVHRLKKQHSAPSLGGSGSGSASMPPKGCMAVRVVGPAGGKGGREEEEEERFVVPVGYLKHPLFVALLKAAEEEYGFEQKGAITIPCGVDHFRHVQGIIHHQRHHHNHHVSGGSGSGSGGEGHHGHHSSNHFHIAGCFRA >Sspon.04G0009800-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:24672405:24676699:1 gene:Sspon.04G0009800-2B transcript:Sspon.04G0009800-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAPADKGKKAKTEADGGEENEQIDGALVLSIEKLQEIQDELEKVNEEASDKVMEVEQKYSEIRRPVYLKRGDIIKTIPDFWLTAFLSHPLLSELLTEDDQKIFKYLDSIDVDDSDVKAGYSIHLNFSENPYFEDTKLTKTYSFADDGTTTIKASQIKWKEGMGPANGNGINKKGSKRPLVEESFFTWFGDTELKSLADGVQDEVAEIIKEDLWPNPLKYFNNEVEDEFEGDEDDDDLDDDEDDDDGGEEN >Sspon.03G0035730-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3B:83878527:83880050:-1 gene:Sspon.03G0035730-1B transcript:Sspon.03G0035730-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDTNKPTRAPRSVGKLLYVVHMLLFVSLGFVLGMASIAKFPNIYTPFVASLPFPTPPLPPPTQSPPPPPPPAATPWLPAAQSLRMGLMDLLAPSGVIHNMTDEELFWRASMAPKAHRTPYRRVPKIAFLFLVRGELPLRPLWEKFFAGHHELYSIYVHTDPSYTGSPPTDSVFYGRMIPSKVNKILALQSFVPAQPWICCSLLEVRVKETKWGHVNLVEAENRLLASALLDHSNERFVLLSEACIPVYNFSTVYAFLTGSATSFVDSYGNGDCRARYDRFFAERTTCEETKWGHVNLVEAENRLLASALLDHSNERFVLLSEACIPVYNFSTVYAFLTGSATSFVDSYGNGDCRARYDRFFAERTNITIEHWRKGAQWFEMDRALALEVIADEPYIQMFRDFCVGRWRCLTDEHYLPTLLNLLGWTRNANRSLTYADWKRPQGMHPHTHDGAEVTEELINKIREDGGNRCFYNGARDGICSLFARKFSPDTLEPLLRLAPKVMGFG >Sspon.06G0017050-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:76891653:76895625:1 gene:Sspon.06G0017050-2B transcript:Sspon.06G0017050-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRGGGSQSYGFPIYCAAWLPLAHILKPDHPAAEADADADAASSSSPPSPMVVLGGGGGRAGAACRTSSWWRPSPPGMRPRRPPSPPRRLYRWESQEGEGPQNLALEPDEEALAELKDVGLQLAVSFSGEGSILAIGGEDGHLRVFKWPAMGSVLTETDTKTSIKDLTISSDEKFLAVNRSSGPCRVWDLQSSEVVASLPREAGEIFGFCRFCNKTGNSHVLFITAMQGDYYGKIVSWNTTSWTRIGSKKITREAISAFAVSPNGALLAIGTIEGSIIIVGSKDMRTLVTVKKAHLGIVTTLAFSQDSRTLLSTSFDSTARVTYVGSPKNNGTSIWPMLLVIILAILVYYCMQHKEDILAMLPC >Sspon.05G0020160-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:81499218:81504504:1 gene:Sspon.05G0020160-4D transcript:Sspon.05G0020160-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDEEEEEEERGGADARPAAPLLRSNDDGDKADGAAGHRPEMECPGCAYDRRKEELRLRNAKPYREFLYIWIISLTAALPISSLFPFLYFMIRDLGVAKRTEDIGFYAGFVGASFMFGRCLTSTAWGIAADRIGRKPVIVFGISSVVVFNTLFGLSVNYWMAIATRFLLGALNGLLGPIKAYAIEVCRPEHEALALSLVSTAWGIGLIIGPALGGYLAQPAENFPTVFSTDSIFGRFPYFLPCLCTSIFAAVVLISCIWMPETLHKHKVHENRSQNIEALEAHLIDSKEKVEESGSLDTKKSLFRNWPLMSSIIVYCIFSFHDMAYTEVFSLWAESDKKYGGLSLSSEDVDQVLAISGASLLVYQLFLYPSINKVLGPIKSSRIAAILCIPILFAYPYMTYLSDPGLSIILNIASVIKNNLSVTIITGTFILQNNAVPQDQRGAANGLSMTGMSFFKALAPAGAGIVFSWAQKRQHAFFFPGDQMVFFLLNIVELVGLLLTFKPFLAVPEQFDSR >Sspon.02G0014860-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:20059922:20062560:1 gene:Sspon.02G0014860-1P transcript:Sspon.02G0014860-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MWEGGGIHGSHHEALLLQAAGSGAADYGHGGGPASLLPWLGPSAAPGFSYMAPHHAHHQPGPLGAAEAAASPFGFGGGGGGYSDGGVGQFGVFGPETPLPLPPHGLLAAGGSSGGTALLPHGPRMVSGLLGTLQAELGRMTAKEIMDAKALAASRSHSEAERRRRQRINSHLARLRSLLPNTTKTDKASLLAEVIEHVKELKRQTSAVLEGEEPAAAARQHLQLLPTEADDLAVDAAEDGDGRLVVRASLCCEDRVGLIPDIARALAALRLRAHRAEIATLGGRVRNVLLITTADDEDEEEEGGEGQGDDDHDVDVEGGCAAGAASSSNHRRHELVASIQEALRGVMDRKTASSDTSSSSGGGSIKRQRMNGAHEQ >Sspon.06G0021640-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:18433229:18433600:-1 gene:Sspon.06G0021640-1B transcript:Sspon.06G0021640-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGKVTTAVAKPTVLCCCDHELEQGVVTGVCFVTWVQQLYCMTVRMNIDCNGCYQRIRRALLHMQDLESHLIDRKQHRVSVCGAFVPQDVAIKLRKRTNRRVEILEIKEVDAGAGGDGGQQPS >Sspon.06G0001360-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6A:4369311:4369925:1 gene:Sspon.06G0001360-1A transcript:Sspon.06G0001360-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPCSKLRRLTRGDDDAGDGKMFPDWASLHPDLVELIACRVLAGDLHDYVLFRAVCSHWRASTACPRGRGVLDPRFHPRRWMMLPEGHGLHPGHPDLRGFLRFFNLDTSAFARAHLPLLADHAILDTVDGLLLLHRNDDTAICLLHPFTGDVAEFPRLASLLPLMEPQPSYYSERTKRSMLMRVRASVTVSSSEDITLVLALDLL >Sspon.05G0031590-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:6606726:6608042:1 gene:Sspon.05G0031590-1C transcript:Sspon.05G0031590-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPASSAATAPPWADLLPELCELVTERLDPISILRFPAVCTGWSAACEENPRLHPGAPALLTSGLDPDGCEIESNVDAGAFGLHDVSAGGGGKSFLGEAEGLKGRTWVGGKNRWLVTTDCGCDVELLNPVTGARVRLPSFATIHGVEVPGYLHVRTGVHCHKILKVALCRTPAHPDGHLAVALFSEGLLAFTAAAGGGGKGERRWTALKNPASASRSDVSYMDAIVLNGKLFAVNELGRIYSWDLDGGATTEPAVVQGPEIDEISRHDHDHDQCWFYLALAASCGGGQERLLLIYIHGYDVADMYKYRGRCWDSRVWSRLVFDDRRSFLELGMSLHELDAGSGTWRRVTHLGGDRALFLGANYPFYVTVRRGRWSEDEADLDADCVYLADTPYGCDAAIFDLKKGDGGDGCIKRRLAYSLVADPLQMPMWFVPTDYPH >Sspon.03G0031300-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:26765549:26767906:-1 gene:Sspon.03G0031300-1B transcript:Sspon.03G0031300-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVRTTRRLLCLVAAVSWALAVARCDPQVPCYFIFGDSLVDNGNNNYIMSLARANYPPYGIDFAGGPSGRFTNGLTTVDVIAQLLGFDNFIPPFAVTSGDQLLGGANFASAAAGIRAETGQQLGGRIPFAGQVQNYQTAVQTLVSILGDQDTASDHLSRCIFSIGMGSNDYLNNYFMPAFYNTGSRYTPEQFADSLIADYRRHLQTLYSYGARKVVMIGVGQVGCAPNELARYSADGVTCVDHIDGAIHMFNRRLVGLVDEFNALPGAHFTFINAYNIFDDILANAASYGFTVTNAGCCGVGRNNGQVTCLPYQAPCANRDQHIFWDAFHPSEAANIIVGRRSYRAKSPNDVYPMDISTLASI >Sspon.07G0003250-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:7334428:7337299:-1 gene:Sspon.07G0003250-1P transcript:Sspon.07G0003250-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAICVDDEAASAAAECAPGIGKLDLAAAVAGADGKAGGKRSVYLMDCAPVWGCASTRGRSAEMEDACAAAPRFADVPVRLLASRRDLDGLGLDADALRLPAHLFGVFDGHGGAEVANYCRERLQVLLRQELRLLGKDFGETAEVDMKEHWDELFTRCFQRLDDEVSGQTSRLVDGVQESRPVAAENVGSTAVVAVVCSSHAVVANCGDSRAVLCRGKEPVELSIDHKPDRKDERARIEALGGKVIQWNGYRVSGILAMSRSIGDRYLKPFVIPKPEVTVFPRAKDDDCLILASDGLWDVVTNEEACKVARRQIQMWHKNNSVTSSLCDGSDESTDPAAQAAADYLMRLALKKGTEDNITVIVVDLKPRKKLKSNP >Sspon.03G0022060-4D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3D:37447680:37449330:-1 gene:Sspon.03G0022060-4D transcript:Sspon.03G0022060-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARDSLRQREEAKKKQAELTELENEANELKQKNEEERVAIQGLEALLIKRRRRVEKCRHLAEAQSNYKAVLEKMIRDAMHQSVVYKEQLRLNQAATSTLMARLEAQRAMCDSSETELRKKYQHRDDLERQIKPERKRYRADDGLLEERHSESVKYLSARRLRSSPLKQELRVFLQEDQRNSDAYISLGEEEIGEGTSTRAPAFGNARNEPLKVINFPRRSLSVEQNTVDTERGRTLVREKLEELAIKGRQHSRRRERRETMRSRGTGTPIRSRDDKAKVTMQKCYESETEKYLASETVSVPRTSSLPSPPYRAMGTYGTSRYGTDQSMLLQKSEAPHHRDFSRPEDDENMNHVGKGNVDKWLHMLMDNQQEDHAVYHSSDEHDNDEENASDEQQMQSRIDEESCRNGITECSDEIVEVEDEIVSDQGAERGRNSFGIKDREDKKIWFPRSDSTRGFRSLPSSPSKILGMRRGVECMGRKPKVAGDDDCRYGYEDSVSTSSSKFLSRCKQAIKKAVHK >Sspon.08G0016770-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8A:65895189:65895640:1 gene:Sspon.08G0016770-1A transcript:Sspon.08G0016770-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GRTNVRRYGQARYVKVSSRFFLAAGDGCGDNRHFLDACFLCKRDITSDRHIFMYKGDAAFCSDDCRQEQRGMDAALKAARRRTASLPASSASACTANKAAATGSRNIAVGEGFF >Sspon.01G0041000-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:40568563:40572165:1 gene:Sspon.01G0041000-2D transcript:Sspon.01G0041000-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPPVLCSVQADRVPETRRAAAFSVFSGVCSAGFVASTVAARFLPASSTFQVSAVAAVVTAVYMKAFLHETDGGASVSSPCSGSDEEASRPLCLPSSSSTSSEEASPRLPPLRKAPSLSEIAAILTSSSTFSRAAVVTFFQSLGEAGLLSTLLLRLKPFKNYDAANCDATPSAKACNYYLICPVLDVQAFLYSIAWSFWVPYLAASSVILSMLVTPCIRSIVSKKAGPFEQGMVQGCITGISSTASVISPLIFTPLTAWCLSEVTPFYLKGFSLACAGFATLVAFATSISMRPAEVQPDRK >Sspon.05G0020840-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:90219018:90222288:1 gene:Sspon.05G0020840-2B transcript:Sspon.05G0020840-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AMATVTQGVLLRLLQAMHTEERVAGEHRSPVLQVTAVVPALTASTSDSPLLAAPPSNGVLLNLSDGLHCTYVQVPPADADALLAVARPQLVGHLVHLDRLRFARPVPRAVGLRTVPSSRPLPCVGKPEPLVARPAACARGYVIQPAASAADAAPPLMPSSSNSSSAPDANETAAVKRTVLGPKNAVADPAPQQPVKRRFSSPAPSSKQRDPSPAAKPAASRASSPSVGKSASRASSPAVRGTPRATSPAPSKCVVPSLVAAREENRRAVREPAIIVPSRYRQPSPAGGRRGAASPAVGGGRRTSLSPSSRRLSGEGTGKKKVGVLVAGISKMTDLGSGSAAKPGRKSWDDPTVSLGTAAAGSVMKSKAKVDKDSILRTQEAMSRRLSDATTEQSSNDDSSVDEKPKPRKKIESASVKAKTAAPKIILHDPKWTDGSILLDALPDNLSKIGQQLLQLAPCKEALVSESVIRNISKFSEICASSKVSNPFPTVDLFLVVYEDTLKWKTISESVVTNGAEEEFFEKSTKHWVGAALATDLEVLKLLNGATGSFSRMRSTNRPNASSVEPPRTSLSKKQIHGVSAKVQSKVAPSSPLRCTWSNTESMSETAELAKALWREMHTWFLTFVDEALDVGFHLFEDQNVASKGKHSSHITMVLSQFKKISDWLDQVGKIAEEERTKEKIECLKRKIYGFVISHMGSAFESSVSVSS >Sspon.05G0036390-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:84441484:84442563:1 gene:Sspon.05G0036390-2D transcript:Sspon.05G0036390-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MERRKRAMVSDQLEKEDKKMMRKGLWSPDEDERLYSHITHYGVGTWSSRSGKSCRLRWMNYLQPDLRREPISKQEEDLIVSLQKLLGNRWSAIAARMPGRTDNEIKNYWNSRIKKKLQQRMNTGSNYQPPPEVHQTAGEGAADMNTGGNLQADLYRQAATSKVRVDHGSTTSHNSSADHPRPLPQLPVFTGQLLLDPDAAVRNGEQTAAAQSSLCVPFSKSHERNFVEEYVEFLMSVSDELLEI >Sspon.05G0010140-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:31320405:31323239:-1 gene:Sspon.05G0010140-4D transcript:Sspon.05G0010140-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipoyl synthase, mitochondrial [Source:Projected from Arabidopsis thaliana (AT2G20860) UniProtKB/Swiss-Prot;Acc:Q9ZWT1] MHGRRHLAASLTWALTQAPSRSISSTPSLLQTLDPSVPSPPPTAGAGRLAELRRRLQADAPSLGDFTYSVEVGTRQRPLPKPKWMKETVPGGAKYAAIKAKLRELKLHTVCEEARCPNLGECWSGGETGTATATIMILGDTCTRGCRFCNVKTSRTPPPPDPDEPSNVAQAIASWGLEYIVITSVDRDDLPDQGSGHFAETVQKLKALKPEMLIEALVPDFRGDSSCVEKVATSGLHVFAHNIETVEELQRNVRDYRANFKQSIDVLKMAKEYAPPGTLTKTSIMLGCGETPDQVISTMEKVRAAGVDVITFGQYMRPSKRHMPVSEYVTPEAFEKYRALGVEMGFRYVASGPMVRSSYKAGEFYIKAMIEADRAKSTTAADSSA >Sspon.06G0001170-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:3897448:3899437:1 gene:Sspon.06G0001170-1A transcript:Sspon.06G0001170-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASSETSKTILQSEVLYKYVIDTSVLPREPDCMRDLRLVTDKHERFFMQSSPDEARLLQMLIKLTGTRRTLEVGVFTGYSLLATALALPDDGKVIAVDVSREYYDIGRPFIERAGVAGKVDFREGPALEHLDALLADEANVGAFDFAFVDADKPNYVRYHEQLLRLVRVGGVLVYDDTLWAGMVALPPDAPLSEFDRRTSAVMRDLNAMLVADERVDVCQLTIGDGVTICRRLV >Sspon.06G0017720-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6B:79274613:79275310:-1 gene:Sspon.06G0017720-2B transcript:Sspon.06G0017720-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPKSYRKLGEHRGQWALQRKGLYQQLGWSVDCEFDESILLWHIATDLCFYANPENASGDPFGDTCAEAKSFFRRADEAGDEAGCADRLRAVDTSIAEPRDVKGDRSKSVLFQACKLARQLLELEGATEAKRWRLVASVWVEMLCYAAGKCGGSAHARQLSQGGELLTVVWLLMAHFGVGDQYRVESGHARAKLVVD >Sspon.08G0006940-3D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8D:19322549:19326666:-1 gene:Sspon.08G0006940-3D transcript:Sspon.08G0006940-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGYRYGFYDECLRKYGNANVWKYFTDLFDYLPLTALIENQIFCLHGGLSPSLDTLDNIRALDRIQEVPHEGPMCDLLWSDPDDRCGWGISPRGAGYTFGQDIAQQFNHTNGLSLISRAHQLVMEGFNWCQDKNVVTVFSAPNYCYRCGNMAAILEIGENMDQNFLQFDPAPRQIEPDMTRKTPDYFL >Sspon.04G0012450-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:36642798:36683999:-1 gene:Sspon.04G0012450-2B transcript:Sspon.04G0012450-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SGTAAARRAIENAIINDGSSDNDSLHIDTHNFNTLGLGKILTNYNKFSELTIFIQDSFIGCQEAVKEIHTKQRLREFDDLEGSVSRDSSKKGLLNFTRAGNIANYLSLAEVDSIYLSIPVNFIFIGFDGNGGHDFKLGPEELERWFTKIDHIFEYTRIPPVGEVLTPFYKTTIKKLQHYDLPLVSHVNHNFSVHAIHMGEDVLSVFEHAIKVLSVKMISRIPEKMKSFFCKWIIQEAYNIFVLNPKPIGKRINYGYRKGFSESEINLLRENKTLQARILQSKSDSKLFLDIEKGVNRRPLYESHPLSSFSWTRTDSMDMKCKEALNNFELLKEGKSKDDIVYEKAVQILHGTKDEVHDIVQTALKSSDLKGLHAQCLTDIWIGRERFAFVDLSAGPFAWGPSVGGDGVRTELSLPNVAKTVGAVAEVTEEEAEEKLQDTIRERFSSFGEDYHAVDILLAEIDVYELFAFEHCVGRRIQLALCKELDERMHDLKKELEGYNTGDSDEINKKKALDALKRMESWNLFRDTKVEHHSYTVAHDSFLAQLGSMLWGSMRHVIAPSASLRAYHYYEKLSFQLYFVTQEKVRSIKQLPVNVKSIMESLNSVLLRHQKSMFSQYIPKVLKLCVSICRLSLSEDPSLMMAFSMARRAAAVPLLLVNGTYESTVSTYLDSAILQHQLQRLNEHSSLKGGHSNHRSTLEVPIFWFIHNEPLLLDKHYQAKALSNMVVVVQSDDDSWESHLQCNGRPILWDLRKPVKAAIAATAEYVSGLLPPHLVYSHAHETAIEDWTWSVGCNPSAVTSQGSQLSEFQQDVIARNYIITSVEESIQVVNSAIQQLVKERTTEKGFKIFKVHESKMVEKYNAVVSLWRRVSAMSKGLRYGDAVKLMSMLEDASNGFSSAVNSTISSLHPVQCTRERKLDVQLDLTTLPAFLAVFCCFGFFYVQGDQRLRSTEHQSLLLIVATNEQRRP >Sspon.07G0033430-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7D:38322052:38322488:1 gene:Sspon.07G0033430-2D transcript:Sspon.07G0033430-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RLIKSVDDMDASHFLPNIDKDELLIPDLLPPTDESYVNGLATYMVTGGLEVTPMSAISGRHHAHQQVQSRQRRRALELAEKIVAVGDDEVLALIKAVLRSGTVLSDVFLASK >Sspon.02G0027700-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:100427940:100431793:1 gene:Sspon.02G0027700-1A transcript:Sspon.02G0027700-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein CLT2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G24460) UniProtKB/Swiss-Prot;Acc:A1L4X0] SPEPKQTNKKRGDGVCLIFSALLLGRMYSARQIIGCFLVISGVILAVASGANEGQFLSEVKLIWPALMIASSAFQAGASILKEAVFIDGAKRLKGKRPDIFVVNSFGSGFQALFVFLLLPFLSNLRGIKFAELPAYLNGGAECFLNVAESPIDCGGAPFLPLLFIVVNMAFNISLLNLVKMSSALVASLTATSAVPISIYILSLPLPYIPHGAELSTSFIIGAMVLLMGLILVGAVVAAGVVVKTANMLHSDQVAASEDRMGSKRGKDQGLNTSNEDHRGALKTLEDKQETQDVQPARLCNFNSFIAVILQSRTVAIDVVAAAAITAQTNGHEEITKKATREVTEESSGAFQWAL >Sspon.02G0038410-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2B:41290571:41290807:-1 gene:Sspon.02G0038410-1B transcript:Sspon.02G0038410-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATRWKAMRQPSIPRTVVCASSRPTKSPEMRPRLLASCSHPNADPRVPSSVESATRDWIDGTTRARPMPFRPREIAT >Sspon.02G0009840-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:26635549:26639026:1 gene:Sspon.02G0009840-2B transcript:Sspon.02G0009840-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Holliday junction resolvase, 5'-flap endonuclease, Homologous recombinational DNA repair, Microspore developmen [Source: Projected from Oryza sativa (Os09g0521900)] MGVGGSFWDLLKPYARHEGAGYLRGRRVAVDLSFWVVSHSTAIRARLPRARSPHLRTTFFRTLSLFAKMGAFPVFVVDGEPSPLKSQARAARFFRGSGMDLAALPSTEAESSAAEAPVKRRNAAFTRCVEECVELLEYLGMPVLRAKGEAEALCAQLNNEGHVDACITADSDAFLFGAKTVVKVLRSNCKEPFECYHIADIESGLGLKRKQMVAMALLIGSDHDLHGVPGFGLETALRFVQLFAEDEILDKLHEIGRGVYPFLEGFDNAHIDDLPSSSTKSPVVKSPHCSHCGHPGSKKNHSKDGCNYCLVDSLENCVEKPAGFKCECPSCDEARDLKEQRRHENWQIKVCKRIAAETNFPNEEIIKLYLSDNNLVEEKGVPFLSWSKPDVEALVDLLSYKQNWEPSYIRQRMLPMLSTIYLREVASSPSTPLLLCDQYEFDSIQRIKIRHGHPYYLVKWKRATRGMNSNMSSKKPVTEGETCSEVMVLDEDDDEDEVVCESPELLDEPDVPQVLIDDGCSFLLTDEDIQLVGAAFPKETARFQEEQRLKEARSRSRKSKSSLADSVCETPKGPKPSGVQLSITEFYRSKKGQNVESGKKPAGEGEAAKEGSRKSSDRDLNKSLPKSVRRRLLFDY >Sspon.06G0004160-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:13275928:13280590:-1 gene:Sspon.06G0004160-4D transcript:Sspon.06G0004160-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRSVSGSNSSRGIAAVVGVGPRLGSAVARKFASEGYTIAILSRDLGTSIYPLAHSSVLLPCILPRSSFDRKKLSQLAEEIAQEAKAQVFALRVDCADARSVREAFEGVLSLGPVEVLVYNACEPPPANDDDAAAARPTPFLAVTPDVFHRALAVSAGGAFHCAQQVIPGMVERGRGTIIFTGSSASVTGFAGYSDLSCGKFALRGLSQSLAREFQPAGVHIAHVIIDGVIGERRSPRGSRAGSAGDRAGADPDAVAQSYWHVHAQDKSAWTHEMDIRSPSFM >Sspon.05G0007820-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:5A:24362516:24362842:-1 gene:Sspon.05G0007820-1A transcript:Sspon.05G0007820-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRDSSSRRPMASISLLPDQMRRDSRSGSKRIGSIGLFVWPSIALRNNGTTEPDPIRYHRRTRTPFPVASISSPNLAPRWPSASHPFAKAENLAPGCIWVSGKLETFA >Sspon.03G0008340-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:29583494:29587550:-1 gene:Sspon.03G0008340-2B transcript:Sspon.03G0008340-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFAVTPTTLRAPAALAGWRRRPGTTPPRFAVVLATSSGVGGERTRPTFARLREELLQLHAEADLTQSKANSARVRLVRLTEAAENLKKRAATSVRMGKENEAVDLLVQKKKLTKALENIKERIEVLDRLSAKISEVISMKQNMLIEYALRPGTSNGDNSDEKIRVFSSTVNDGTNGAESSDSHPKSVENESFELRKEAHAIASMVGHPEQNALQMSDSFSFINNPDPANNIKNHSAYDGLIEHINLQMKSLEYEIEQFISSQSVEEVGSEKQRSAKWQRLSDIQMLVKETRENSQAGSSIYIHGGSAETLTMEVVATRHSSRDVHFPGVSGMNGL >Sspon.06G0005780-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:57162974:57164656:1 gene:Sspon.06G0005780-1P transcript:Sspon.06G0005780-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSSPRATSRLPFAPLQPPPPRPRVRSRRRHARGRRLRAPPGARGPRPIPLEHRHPSPCPRRPRAALLYFARMRRCGVRPDAYTFPAVLKACGCAPGCRAGLLVHAEAVRRGLGADLFTVNALISFYCRILDCRSGRKVFDEAGGVSRDLVSWNSMVAGYVGCGEMWPAQELFDEMPQRDAFSWGALIDGYGKQGGAGVDRARELFDQMPERDLVCWNSMLDGYARHGRMDEARSLFEEMPERNVISWSIVIDGHVRCGEAKEALEHFQSMLRCGVRPDRVAAVGAVIACAQLGALEQGRWLHSYLEMKKVLSDVVVQTALIDMYMKCGRLDLAMLIFESMAERSVVTWNVMIVGLGTHGYGLDAVMLFHRMEAERVAVDDLSLLAILTACTHAGLVSEGLEIFHRMKKDFGIDPKIEHYGALVDLLGRAGRLDQARHAIETMPMEPTPELWGSLLAACRSHSCVELAELSVEHLANLGADDSGVYVLLSNIYADEGMWGDVLRIRKLMSDAGMRKDIGRSVIEVGGEIHEFVNGGGSHLCTDQIYLMLWNLSKMVASI >Sspon.05G0024150-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:12737081:12742011:1 gene:Sspon.05G0024150-1B transcript:Sspon.05G0024150-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding KSHPDKGGDPEQFKELSQAYEVLSDPEKREIYDQYGEDGLKEGMGGGSDYHNPFDIFEQFFGGGAFEGSSSRVRRQKRGDDVVHSLKVSLEDVYNGATKRLSLSRNVLCSKCNSKGTKSGAPGTCYGCHGVGMRTITRQIGLGMIQQMNTVCPECRGSGEIISERDRCPSCRASKVVQERKVLEVHIEKGMQHGQKIVFQGEADQQPDTVTGDIVFVLQVKEHPRFKRKDDDLFIEHTISLTEALCGLQFILTHLDGRQLLIKSNPGEIIKQGQHKAINDEGMPQHGRSFVKGRLFVEFNVEFPESGALSPDQCRALEKVLPQRPRAQLSDMEVDQCEETIMHDVNIEEEMRRRKHQRRQEAYNEDEEDAGPRVQCAQQ >Sspon.03G0026520-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:3047749:3048987:-1 gene:Sspon.03G0026520-1B transcript:Sspon.03G0026520-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRATSCSGAKAGASGAPAAPQPQPQQGRANVVLTPAAAAVPRAGRATCSSAMKGPGADGPAHACPYAYCSFKGHAHAPPLGSFVAERRRLIKTQQSMKLKGASPFRKPSTGGGGRGYFVEIRAGSAAPTVTSDVSCSDLSAEDAPEGLGAASVDDGSCGSSDVISVGSVELLGTTEHRGREEKETLVVMVDHEGRDFGGCKSDISEELLDANHGVNVPEGEFPCNASSIPTARAHVGIEKQLLMPDERHGDFAGGVGDAPKESSVDSISSSLSGISFEDVSSACVYAASSHKKNRSSIARRRRTSEQGVKQIRPFKPKPPNFLPAETGPEAEKVDLKHQAVDDRRAAEEWMVDYALRKEVKKLARAQKRKVEMLVQAFESVLPTVANEKKPEQHDNGDKRTFTLTWPSQACS >Sspon.01G0030670-1P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1D:104966968:104967940:1 gene:Sspon.01G0030670-1P transcript:Sspon.01G0030670-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GQGHRGAVLCAVIQAAGGRLHARCSTKDPDRARAAMRDFPSCFGESGVQIADASSSSSSAGKGAAQNLVTCLYQTQFSGRPCVISLTWSKSLMGQGLSIGVDDLSGHCLCKADIKPWLFSKKKGSKSLDVEDGKIEIFWDLSGAKFGAGPEPVEGFYVAMVFDLELVLLLGDMKKDAYRKTGANRSMLNAAFVARREHIYGKKIYSAKAQFCDNGQFHDIAIECDTVGLKDPCLEIRVDKKPVMQVKRLAWKFRGNQTILVDGLPVEVFWDVHSWLFGSTASNAVFMFQTCQAPEKSLPWSYSQIFRESQLQGLGFSLILHAWK >Sspon.05G0008960-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:20221308:20225531:1 gene:Sspon.05G0008960-2B transcript:Sspon.05G0008960-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTSDLKPSLDRDEGAAWLVKYGDTLKRFNASVNGSHFDHDLPALRLKIASAFKFSPDAEFTLTYTDDDGDDVMLDDDNDIRDAAVNQKLNPLRIIVQLKGSNVGAAQTKQHTTNFNSPRSTSLEDQLAQVKSAIDEALKFVPEQIPAVFAKLSHDLRSRAALSAPSLAELLDRFAKLIARSSNMQPSGGSEVGSQKLGNSKGKLESAPMTVSASEPSDTQNSGTPENGLKSVLLENPTAKIDQVALCPSVEDSLVFTSLGGMKSELKRSADNGIKIKSDARSKGKSVISSAPPASTTSHVAPTQRPIPVPSMRESKLMYGTNPTYTSCGSNGTANGGLRSLFPPPAVFHPCSPVFPPYNPIFGANGKTSGDMLSTFSPPPNIYPFEYTPSSVGTRFPNPYPIGSSHDRMASLHCNVPNPEEKSFGSSYRGLGANYGCIPQGEQHRWVQCDGCGVTPIVGPRYKSNFLFILTCFLADSKEDYDLCGPCFSHIGNEAEYTRMDSPASRCNIKILERVPAAKTNSLFIKDVTVPDGTPMAPSHPFTKIWRVRNNGSTRWPYGTQLVWVGGDHLASPSSVRLAILVNGRINPCEETDVTVDFLAPARPGRYISYWRLALPSGQRFGQQIWVHIKVEQPIQSSGGKQAAAMNLNQLPEANSTKLKPFTIDLETNSVSSEPFYVRPGIPEANNTKLKPFILDLETNSVSSEPLRCPLSFRETMKLEESKPAPGDMSSVPTIVEPVQNPVTDVSAKSLLASIPDGVPASEAFPQPNPVPMLPVSSSAPVVDHVSMPALAATVAPVPAAPLPEQIINHLEEKLMTELEGLGFMQADLNKQILRQNNYDLEQSVVHLCDYDEWDALEFCELGFGDAEMNKEVVDNSDEEGFIVADLVTKATNDH >Sspon.02G0030140-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:96022741:96025553:-1 gene:Sspon.02G0030140-3D transcript:Sspon.02G0030140-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIINGAVLPGYHAAPVTGAAAAASVADARVSGHLQLLRRVRVRGSGGAGLLQATTPRAGVARRFFSLSPPQQHGHGGTIGWRPARCTLGGSSSDGDGAAAADFDASGEEFVDSSVMEAVELRSVSDGGFVIKMRDGKNLRCVQNNPRVLRLRDSAPHHAIVLKMEDGSDLLLPIIVMETPSIMLLAALRNIRIPRPTIYNVVLEMTARMGYEVRLVRITEMVHDAYYSRLYLAKIGNDQDTISFDLKPSDAINIAFRCKVPIQVNRRIAYNNGLKVVQPKAAESYIGSDDIQITRLDRPDDQHCSEAQEFDLVRNMLIAAVEERYKDAAQYRDQLFTLRSKKKNAI >Sspon.03G0007300-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:26803235:26805396:-1 gene:Sspon.03G0007300-2B transcript:Sspon.03G0007300-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hexosyltransferase (Fragment) [Source:Projected from Arabidopsis thaliana (AT3G58790) UniProtKB/TrEMBL;Acc:W8QNJ3] MKEWRRHREATLLDPVVVEAAPDSLDALMAEMATMLASYDRIDMEAVAIKMMAMLLKMDRKVKSSRIRALFNRHLASLGIPKSVHCLALRLAEEFAVNSAARSPVPPPEHAPRLTDASCLHVALVTDNVVAAAVAVASAARSAADPARLVFHVVTDKKSYVPMHSWFALHPVSPAVVEVRGLHQFDWRDAGVVASVMRTVEEVQRSSLEYHQCDGSAEREHRRLEASKPSTFSLLNYLKIHLPEFFPELGRVMLLDDDVVVRKDLAGLWEQDLDGNIIGAVGAHEGSGVCVDKTFGDHLNFSDPEVSGRSSQCAWSWGVSVVDLDAWRRTNVTETYQFWLQKATFIMPVARSKQFVADGLAAGGSDTSLRHVQNRESGFRLWQMASLPPALIAFDGRVQAIEPLWNLPGLGSRVPHPDLVRFSAVLHFSGPRKPWLEVAFPELRQLWLAHLNASDSFLQGCGVVEWQ >Sspon.07G0036950-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:46678877:46679798:-1 gene:Sspon.07G0036950-1D transcript:Sspon.07G0036950-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVPVIDFSKLDGAERAETLAQIANGCEEWGFFQLVNHGIPLELLERVKKVCSDCYRLREAGFKASEPVRTLEALVDAERRGEEVAPVDDLDWEDIFYIHDGCQWPSDPPAFKETMREYRAELRKLAERVMEAMDENLGLGRGSIKAAFSDDGRHEPFFGTKVSHYPPCPRPDLITGLRAHTDAGGVILLFQDDSAWHRVLPMRDGNRRSIASFYNPANEATISPAAVQASGGAEAYPKYVFGDYMDVYAKQKFQAKEPRFEAVKAAA >Sspon.02G0019690-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:53800548:53804010:-1 gene:Sspon.02G0019690-2B transcript:Sspon.02G0019690-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPQQPEPVSYLCGDCGAENTLKPGDVIQCRECGYRILYKKRTRRIVQYEAR >Sspon.06G0017090-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:93092490:93094188:1 gene:Sspon.06G0017090-1A transcript:Sspon.06G0017090-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLASATLSGGLGPINRCAVAFVSHISRRSTNLANQWYCVYLSSSQHLLLSPMATTTHCILQVTPSYAATQGNEFNFSGLYLYHTYKGPNANQVETIARHQFGTLAVNNWVIRDGLSGSAKVIARGQGLHRRFKGSTLQVMGVPVEGGEWAIVGGTGRFAMATGVIKKRVHERTGEGNIIEITIQGFCPLLKGSRSIIVATKIGPWGGNGGSAQDITEPPKCLESITISSGSVVDSIAFSYVDQAGQKHTAGPWGGPGGNPKTIELSDSEFVKEVSGTFAVHAEVVNVINSIKLVTNVKTYGPFGQDNGTPFSVPVQGNNGVAGFFGRSGKFLDAIGVYVHPL >Sspon.03G0001290-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:11746368:11751553:1 gene:Sspon.03G0001290-2B transcript:Sspon.03G0001290-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hypothetical conserved gene [Source: Projected from Oryza sativa (Os01g0896300)] MESGAVVLGVARPEEAPDHNGAGPRDDMGQSQMQVDGPVVLNQSAELGSGTIRPAGGGNTTVSCYAGDAIMEVQKQLKRKRASNSPVIATADKDALVAGCRQELEGLLQYYKEVSDRKMQLDGGNLSVNGMVGCLLEESNAGSAVKELMQETEKNDQNIRRLEDTSVSELQNGNSPANEKEIQKAQKQVEKEIKRQEKEEAQMRKLQKKQQEEALREQKRREKEEAEVKKQQKKQEEEALKEQKRREKEESEMKKQQKKQQEEAEKEQKRLEKEAAQLKKQLAIQKQASMMERFFKSKKDSGKLQKSGENNSVDDPCNDNKETIPATTLKIDSSLSQQESWVLEDLRRLQVTGWKKLSSYNRSSRWGIRCKPKMEAFKELKLQKSSDDMVDEILSAPNEDGGHNSSQENEHDKLESDIDMLQASEVQCHGTSNPKPLQTILIRKKLLQFDKSNRPAYYGSWRKKSAVIGPRCPLKMDPDLDYEVDSDDEWEEGIQIEGLLDDKDEEASSSPTGQCTEVEEFRSLLRQQRVLNTLTEQALRKSQPLIISNLNHEKAELLTAEDLKGMAKIEQLCLQVLSMRICPGGAVVDVPLTDSSSATVEETNQPNVKNGSPGAASAIPETDLPEIVQVIRSCRDGIHKVVELLQHKFPNVPKTQLNRKVREISDFIDNHWKVKKEILDKLGLDSSPVKSKKNKSIATYFSKRCLPPEEAVDALAASPSCG >Sspon.08G0027580-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:52436716:52438014:1 gene:Sspon.08G0027580-1C transcript:Sspon.08G0027580-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVELLTTELVVPAEETPAGAVWLSNLDLAARRGYTPTVYFYRTNNKPEFFEADAVKDSLARALVAFYPLAGRLGLDAATGRVQIDCTGEGAVFVTAQSEYALDELLNEFVPCDEMRDLLVPATPAPNPPCPLLFAQVTRLRCGGVVLGLALHHSVVDARSAAHFVETWASIARGGGGGTDAPLPPCFDHRLLSARPPSTRAVTYDHQEYKPEPAPEHAVAAGSAYASAIITLSKSQVTALKARCAGSSTFRAVVALVWQCACRARSLPSDAETRLFSMVDMRARLAPPLPPGYFGNAVIRTSALATVGEVVGNPVGYAARRALAATSQGDDYARSLVDYLEGVDAMNLPRSGISRAHLRAISWMGMSLHDSDFGWGAPVFMGPALMYYSGFVYVMQAPGKEGAVALALSLEPESMPEFRKVFAQELASLQTI >Sspon.05G0018170-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:76600167:76600553:1 gene:Sspon.05G0018170-1A transcript:Sspon.05G0018170-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding CMGAASRRAPPAWRSSCLALCLLPVALPLLLLCLPLLCVAVAVARFRRRRRRLLLTTVAGKSRRCCPSERSPHGEEAEGEGRRAAAALLHKYLEDQMELVGADAGHGDAPAAVAVDPTPSSQRSHPQQQ >Sspon.01G0057640-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:12857392:12858431:1 gene:Sspon.01G0057640-1D transcript:Sspon.01G0057640-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDFKFLGTLYSPVMDVVGIGRRESREATAELQYPRATVPRVLFTSARGRDSAARAVRGAGNAGRGASPTAGKREACWHCLRYQWTYRASTSHPNPQHGSILFFNSSEHLYCVVFTTLPLSLISSGSQHRTHPLPVHLLQEVIPLSPPQPPQTQTTKATWRKSMSPGGGAGSTHLLAIKKFRCGRWQNCRVSHRPPQFLWLEYGLDVKMRAALKPEAFDWGSDKLPDAHALKYLINESSSCEEIIIKRKFRGVGANWQVD >Sspon.06G0021020-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:11790766:11795852:-1 gene:Sspon.06G0021020-1B transcript:Sspon.06G0021020-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAFLLPLQLPAPPLHTRPLPHHRSPVAIAMASASTADSPNPIVLGCGGASVDYLATVASFPNPDDKIRSLALKVAEDGNSPFTYIIVDNQTALPFCLSGKLAWTGASSTPVALVSMLLRLPKIKFVILTLGEKGCLMLERSTIDASEADKIDIETLLESLEKKVDRSSTTPNCITSKANLRISADGVGSISGRLLLGTAEVIPPDELIDTTGAGDAFIGAVLYGWLRLQRFGSSEQPSSSHRPTSDYFMLEWMDYGEDIIKDLLSVNIRGYVELSMQQEAAVGQELELDPTTKQYSLACPDPQYRAMPPTFTLDTLPPTIHRLTCLRRRLRGRQALLVFPVAEELLDEIESILQDGIPASSIRTQKAASLGLEAKKQCEELRIGHLAAQLGIQINNKCTPNANCFPCFIIQIKPE >Sspon.02G0030340-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:110534418:110540536:1 gene:Sspon.02G0030340-1A transcript:Sspon.02G0030340-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding FDPHAFTHFRDERKRRRGQVTVQTLDEKLGRMVTRVVLPRVVMHARHHYGAFSQNFTGLELEDGGGRGTSGSHWEKRLLMNEIMTGSVDTRSVVSRMTLALLEDSGWYQANYSMAEHLDWGRNQGTEFVISPCNSWKGAYHCNTTQLSGCTYNREAEGYCPIVHGLNSSANWDLAQTSAVIMRFAMNNVVYMEGFVIMISIQRCDEDGDNRLRPVERVWIVRTRPFLAKGKKKRRVFRAQDMARRSLSGYNISEASSVVALVEAQ >Sspon.01G0004480-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:10458988:10459485:1 gene:Sspon.01G0004480-2B transcript:Sspon.01G0004480-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAEGKSRRFALACGVLSQYVKAEQQMAAAAAPAPAPRAPATTLSLMPGADVGAEQEPAPLTIFYGGRVVVFEGFPAEKAAEVMRLAAGAERAAAPAPAPRDDLPIARKASLQRFLAKRKDRLVERAPYTRPSPAEEAEKTKQPAASWLGLGGSTDAERLTIAL >Sspon.08G0005410-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8A:16496092:16496478:1 gene:Sspon.08G0005410-1A transcript:Sspon.08G0005410-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGELEQQQAERDERKARRQASNRESARRARARRRGQLDELSSRVAALRAANARLAVELNRVAAARAREARENARLSAEAAALRERLAAAEAAAAAAVAEAAAKDASGKGDAGDEEAATPTPTPTDD >Sspon.08G0021160-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:34138028:34143169:1 gene:Sspon.08G0021160-1B transcript:Sspon.08G0021160-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLPLLLLFVLPFLVVSAVKANRSSNVSRSQFPKGFLFGTASSAYQYEGAVREGGRGPSIWDTYTHTHPEKIADGSNGDIAIDSYHRYQEDVKIMKDIGFNAYRFSISWTRVLPNGKLSGGVNMEGIKYYNNLINKLISEGIQPFVTLFHWDSPQALEQKYGGFLSQLIVEDFRDYANICFREFGDRVKHWITFNEPWSFSINGYASGILAPGRCSAWENSGCSMGDSGREPYIVAHNQLLAHAAAVQVYRGKYQEKQRGSIGITLVSNWMIPYTNSKRDKDAAKRALEFMFMDPLTKGDYPLSMRTLVGNRLPRFTKEQSKAIYGSFDFIGLNYYTARYVQNTKLSNNGNKSYSTDSRTNQSGNHVISILYLNYLGGLRVFWTCIVTTVERNGTAIGPKSFSTKLESHCRFIGMKTTNICIQAGSPWLYIYPKGIEDLLLYTKKTYNNPTIYITENGVDEINNVNIPLQEALVDNTRIEFYRQHLFHIQRALKEGTNVQGYFAWSLFDNFEWMDGYTVRFGINYIDYRDGLKRYPKRSSRWFQNFLHK >Sspon.01G0019980-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:74227586:74235024:-1 gene:Sspon.01G0019980-1A transcript:Sspon.01G0019980-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNGISAPPGGCGPSASVACGGENFILPSGNGGSTISTTCIRKKSSEPPTNSPPAPFLRRVPPPASSPARSGSPRLKPSPVLMFLARATSTTTATSSLLLRSRPLPSPKTLALAPPPTILPFCFRPRLSASSSSASAASPRPPTARAKRADAVPAAAAAGMSASGGGSSGKRTVADVLMGNARAAASKAKKAAPSPKKPPAKTDGAEVKPEAAAVVEKPPSPVRSKRVSSPAKSPKSLADGPTSPAKSKGPAAAAQLDAAKENSPSPKRSKTLAAKSTPSLLVKKRAASPKKAKTTDSPKTKEKNTTLELKKKGSEFDPMAAAYWKPGEPVPFLFLARALDLISNESGRIVITEILSNVFRTVMATTPDDLLATVYLSANRIAPPHEGIELGIGDASVIRALAEAYGRKEEHVKKDLKELGDLGLVAKASRSSQKTMFKPKPLTIARVLSTFRAIAKESGKDSQDKKRNHMKGLLVAATDCEPQYITRLLQSKMRIGLAEKTVQMALGQAAVYSDINSSPPKVQSPFEEAAKIIKQAYSVLPIYDKIVPAILEVGVWKLPETCKFSIGVPVGPMLAKATKSVSEIIDKFQGLEYTCEYKYDGERAQIHCMEDGSVEIYSRNAERNTGKYPDVVDAVSRFRKPTVKSFVLDCEIVAYDREKQKILPFQILSTRARKGVTINDIKVSVCTFGFDILYINGKPLLQEQLKIRREHLYNSFEEVPGVFQLATAITSNDLEEIQKFLDTAVNSSCEGLIIKTLDKDATYEPAKRSNNWLKLKKDYMDSIGDSLDLVPIAAFYGRGKRTGVFGSFLLACYDEQNEEYQTICNIGTGFSEQQLEERSASLRSKVIEKPKAYYRFADTMHPDVWFEPSEVWEVKAADLSISPVHRAANGIVDPNKGISLRFPRLLRLRDDKSPEQATTSDQVADMYRSQKINHGYNQEDEDDD >Sspon.03G0002810-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3A:7050320:7051747:1 gene:Sspon.03G0002810-1A transcript:Sspon.03G0002810-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVQKPWLPVDLRLPAGPQASLGILAFEAAAAMSKLLSLHRSLSDQEVSRLRSDAMRSPGVAYLNSTDQAFLLRLACAELVVSLDAAAAAVARLGLRCGLDFGGVYACLKAGAPDARLDPLVAKGLRVKAKKMERLVAATARLCSEMEALDELESAERKMSVRGWSRLSGPIPQHPQAAAAQQQLAGDSPGAESLRQELKTQQLKVKRLKEESLWNQSYKKAVGLMARAACALFVRICSIFGPFVPGLPPPLPSATTDSVQTRLSKLLHPRSGKAKASSGPITRRDGPSRVHPPMTSNSCPIIGRPPKSPTNWRKLLDAPPSTVGGAGLDQQYANVIVSAEELLRMEAEGRQEEAAAERAEMYEMLPAKLRAAVRSKLREWWRDPGPLDEALARGWKDAVDRIMAWLGPMARDTVQWQAERNMDRTRRFDGAPRVYALQTLRWADKDKAEAAIVEVLVALSCICWYEERRRGSVRL >Sspon.08G0025030-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:4969563:4973980:1 gene:Sspon.08G0025030-1C transcript:Sspon.08G0025030-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVSKRHQGFQAAARLLLRPPRSPLLVPAAPPSAPLPLGGAGTGWGAARPAAENAPTTPPGGAARPPGLGAANRRRRGYQGYSGARPDPSPAAPPPARPRSDDLIAPFSLVLSSSRSFFGVEDFVDEDNSRPYTYKKEKRSKNPHKHISFKQRTIAYMEPFTLDVFISKRFVSASLTHRSTCRQVAVAGTNSKDIKAVLKSRSDIPACLAVGRFLAERAKEADVFTCTYTPRERDKFEGKIRAVVQSLIDNGINVKLYLD >Sspon.07G0011590-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7A:41192580:41192849:1 gene:Sspon.07G0011590-1A transcript:Sspon.07G0011590-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VDRKTQRLAQSSRAEKEGGGIGSYLRRRGAGRGGAEADGDLRKLGPSRQRRFDFLRRSRPSSSTSSPRLPSRGEAAIYLTEYEGRRSGAM >Sspon.03G0011850-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:32219845:32221530:1 gene:Sspon.03G0011850-1P transcript:Sspon.03G0011850-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATYDKAIESYKKAVTTAASLAASAMLVRGVVNELVPYEVREFLFSGLGYLRSRMSSQHTVVIEETEGWASNQLYDAARTYLATRINTDMQRLRVSRVAEGKSLMFSMEEGEEMADVHDGAEFRWRLVCRDGAGAAAAAAAATPAAAAAAAATTASRRHKEKAIASYLPHILAEAKKIKEQDRTLKIYMNEGESWFAIDLHHPSTFTTLAMDREMKRSVMDDLERFVRRKEYYKRIGKAWKRGYLLYGPPGTGKSSLIAAMANYLKFDVYDLELTEVNWNSTLRRLLIGMTNRSILVIEDIDCSVDLQQRAEEGQDGGTKSSPPSEDKVTLSGLLNFVDGLWSTSGEERIIIFTTNYRERLDPALLRPGRMDMHIHMGYCCPESFRILASNYHCITEHHTYPEIEALIKEVMVTPAEVAEVLMRNDDTDIALEGLIRFLKGKKGDAKDGQGENVEHVTKEDEKEMMPTKKDDPPVDQNLHDAGKQ >Sspon.01G0002540-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1A:6787758:6788579:-1 gene:Sspon.01G0002540-1A transcript:Sspon.01G0002540-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSFFPFPSAPLAVLLIVTFHASAIHAQIPASATTGAAVAATNPQPGGSGGGVVGAGGPDAALELYMHDILGGSSPTARPITGLLGNIYNGQVPFARPIGFSAPRNGVAIPNANGQVPTYNGNTGIPLDTGLSGAGFLQPGNGAGGAAAAPAQVQLGPDGLSLGFGTITVIDDVLTGGPDLGAQPLGRAQGVYVASSADGSSQMMAFTAMMEGGEYGDTINFFGVYKVGTPLCRLSITGGTGKFKGACGFAEVRPLIASGQHVTDGAETLLRIT >Sspon.03G0017540-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:60640162:60640683:1 gene:Sspon.03G0017540-3C transcript:Sspon.03G0017540-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RNPRPAPPRRGRRGAPSHTTCGRERGHAGGHLQRDRVPRHLHQHGGARGGEQPGAGPDGGAADAGGRVQRAHRGGEGARQGGGPDGVPKGADGAGPVQQPVPRRGGQPGGLPPRHRLQGRRHHPRHHGHGGAGHAELRRAVQADRRAQPHGAIRRVARRDVRELPLALQHDLMI >Sspon.02G0015240-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:52418366:52423921:1 gene:Sspon.02G0015240-2B transcript:Sspon.02G0015240-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASPPRRRLPAARLLFALLLSMSAVLPRGAGAVEPGLKLPFSPGDVLPVLPRQVAWPVMNTLHSAVDLLPSFVAAVAPGAPAPAAWSGACFAENEAAIELTAGDRNGTDVGGAVLRLKTASAHSWTCMDLYVFATPYRITWDYYFAAREHTLEIKSWEEEAELEYVKEHGISVFLMPSGMLGTLLSLIDVLPLFSNTAWGQHSNLAFLEKHMGASFEKRSQPWVANIRKEDIQSGDFLALSKIRGRWGGFETLEKWVTGAFAGHTSVCLKDEKGDLWVAESGYENEKGEEIIAIVPWDEWWAMALKDESNPQIALLPLHPDVRARFNESAAWEYARSMVGKPYGYHNMIFSWIDTIGDNYPPPLDANLVMAVMSMWTRLQPVYAANMWNEALNKRLGTEGLDLQGIIMETERRGMSFDQLLTIPEQDEWIYSDGKSTTCVAFILAMYKEAGIFAPFSESIQVTEFTIRDAYMLKIFEDNPARLPSWCNTDTDKLPFCQILGEYRMELPEYNTIEPYAKMNENCPSLPPTYKRPAHC >Sspon.03G0033290-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:48047367:48050268:1 gene:Sspon.03G0033290-1B transcript:Sspon.03G0033290-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPEYDYLFKLLLIGDSGVGKSCLLLRFADDSYLESYISTIGVDFKIRTVEQDGKTIKLQIWDTAGQERFRTITSSYYRGAHGIIVVYDVTDQESFNNVKQWLNEIDRYASENVNKLLVGNKCDLAENRVVSYEAGKALADEIGIPFLETSAKDATNVEKAFMTMAGEIKNRMASQPAASGASRPATVQMRGQPVSQQSSCCS >Sspon.02G0018850-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:60423378:60426016:1 gene:Sspon.02G0018850-1A transcript:Sspon.02G0018850-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTAARAVPPPPLPRRLRPARCTAGAAAAETATAGPTRVTTVSNRGDSLAICRVLNGMWQTSGGWGRIDRDAAVDAMLAYADAGLSTFDMADHYGPAEDLYGMFINRVRRSAHLSFSKKSRGELTKWVPPPVKMTRSYVEDNINRSRKRMDVAALDMLQFHWWEYSNPGYLDALKHITDLKEEGKIKTVALTNFDTDRLQIILENGIPVVSNQVQHSIVDMRPQQRMAELCQLTGVKLITYGTVMGGLLSEKFLDTNVSIPFAGPPLNTPSLQKYKRMVDAWGGWSLFQTLLQTLKKVSLKHGVSIATVAVRYILNQTSVAGSMVGVRLGLSEHIKDTNAIFSLELDEEDMNSITEVSKKGRNLMDIIGDCGDEYRA >Sspon.08G0016390-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:59460129:59467985:-1 gene:Sspon.08G0016390-2B transcript:Sspon.08G0016390-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLELERPRRRPPPDPVAVLRGHRAAANDACFHHSLPLLFSGAADGELRAWDTASHRTASSVWAHAGSAGVYSVAAGAGLGNKIISQGRDGTCKCWAIEEAGLSRKPLFTVKTSTYHFCKMSLVKSSFTQATKSGSTCSASDVEPKRVSSENTECHGVNPTVAPQEHDQCTTSNGHNMLAIAGEESSQVELWDITSARKIITLPQTCSTNTTNHPTKKKGLCMAVQAFIPHESAGNVNILSSNKALDLLKLVTKMEALFGGMCAALSIAIDGFCNGGISGGADDKVVMFTLDHPKGAFILRKEIELERPGIAGTAIRPDNKIAATAGWDHRIRVYNYNKGNALAVLKYHSASCNAVTFSSDCKLMAYCSADTTVALWDLYPPKPQSKVDITETG >Sspon.07G0033110-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7C:57219230:57219824:-1 gene:Sspon.07G0033110-1C transcript:Sspon.07G0033110-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LDKERQGEVARLTSENTRLKEQVTKQDKDFTILRQNAKTHLEALMKEKEHLASKYKAMEEGTKPVLDLSGMEPEEGPADRPFVRDAGEYVATHVLAVGRSHYPGVDLKRLEAGVSSNIDPVKAEQLRGTSQKMAMKMICDVDLCGETRQTRQ >Sspon.07G0021390-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7C:2301458:2305102:1 gene:Sspon.07G0021390-2C transcript:Sspon.07G0021390-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEGGGGGDGGFVRADQIDLKSLDEQLERHLSRAWTMEKRKEEASGGTDQRGARQHSRRPRREDWEIDPAKLVVKGVIARGTFGTVHRGIYDAHDVAGSLLRRRHYVLCTPHAPHRARARLVAPESPRRTALLANCVLKLLDWGEDGHRSEQDIQALRAAFSQEVSVWHKLDHPNVTKFIGAIMGARDLNIQTENGHIGMPTNICCVVVEYLPGGALKSFLIKNRRRKLAFKVVVQIALDLARGLSYLHSKKIVHRDVKTENMLLDKTRTVKIADFGVARLEASNPSDMTGETGTLGYMAPEVLNGNPYNRKCDVYSFGICLWEIYCCDMPYPDLSFSEVTSAVVRQNLRPEIPRCCPSSLANVMKRCWDANPDKRPEMAEVVSMLEAIDTSKGGGMIPVDQRPGCLGCFRQYREPGLLVYVSWPMEILFPGYERK >Sspon.06G0022510-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:32585349:32589044:1 gene:Sspon.06G0022510-1B transcript:Sspon.06G0022510-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGFLMGSGFERHLGQVTQIACDNRLQGCQAQLCDVIKVHQGGRLMQLWDVIMVDQGGGVNKGGRGAQGKIVEYDRPQKETEGSLFKGLVSEYWRNASEAML >Sspon.08G0008980-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:34107529:34122219:-1 gene:Sspon.08G0008980-4D transcript:Sspon.08G0008980-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSSPSVPFPLLQAPVESTYRACTIPYRFPSDNPRKATPVEIQWIDLFLNSVPSFKQRAENDPTVPDAPAKAEKFAQRLRELVLRELGFRDIFKKVKDEENAKAMSLFEGVVKRNDEIEDDGKRVENLIRGILAGNIFDLGSAQLAEVFAKDGMSFLASCQNLVSRPWVIDDLDAFKSKWTKKSWEKLKDADGKLSGVDASGLLVANSGNDLPVIDLSSVSPELAFMANDADLVMLEGMGRAIETNLYAQMKCDSIKIGMVKHPEVAQFLGGRLYDCVFKFNEA >Sspon.02G0048190-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:34006975:34007509:1 gene:Sspon.02G0048190-2D transcript:Sspon.02G0048190-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding IHAPSISPTRLLRKKKAPSRHTHTAVPPCASATAAAALATTPPEADRPAPLLPAPLHCASLLQRPSLRDAAALRRKPGVVSMFAAPPAPGTSCSRSKTLPPLALLDVAAVQQLHLLSTNGSLTDDAQHVLDDLPLQHFFVISE >Sspon.05G0002100-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:1634476:1635099:-1 gene:Sspon.05G0002100-2B transcript:Sspon.05G0002100-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKRTTTARNHQHQPPPSPPNPNPNRRRRAAASDPASDERPSKRMLAFHFLRALARIHSTTPAPRRPRTIRRAAYSSMARAASPRRAWTQALLRQARARRVVARSSRRAVLLRRRVSAAAPPLMLHASSPGETSPAPAPMPPAPAARGPPPRQAGEPARADVLRLLVPGGAEMEYCSLLDETADYVRCLRAQVQLMQSLVDLFSTQ >Sspon.01G0040570-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:41740429:41742323:1 gene:Sspon.01G0040570-1B transcript:Sspon.01G0040570-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRLKIIGVHSVVLPVPGGYEHHGACYAAAPCCWRRSRTPAAGSAVARRPRGSATVVMALKEEPDGSRSGFAGGGPSWDPGLEIQVPFEQRPDPLKFVLAAGIGTLLLVSLVVLRIYLGWSYVGDRLLSAVVPYEETGWYDGQMWVKPPEVLARDRLLGSYKVKPVINLLKQTLVGTGALLVGAVSLFAFAAPVEDFLHALNQPPSTASSKPSLRCAVVMHGLLIVTASAWLHACIALRIRRKEELLRLPVEVMQDDDLAAAAAEAADGRPVYCRDRYYRALAGGQYCKWDDLLN >Sspon.04G0003950-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:12279529:12286484:-1 gene:Sspon.04G0003950-1A transcript:Sspon.04G0003950-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FAS4 [Source:Projected from Arabidopsis thaliana (AT1G33390) UniProtKB/TrEMBL;Acc:A0A178WIP4] MEDSNALILPCKRKNKAQGKAKDGKKAKKEDPKMSKTKLKKLQKLEEEKKKKLLQAKSIESSIIPQAETLKEKRRRAVQFSKAGLDVPEELSVFKKNGDTKVPENSDVVEQVSPSKFVEPAPIVYSGRECNNEMKKDPVQAIECQPIMDFGAGIPEPETEEPSDDAHMLTNKKFSCQLQGKELGHGEHAVQECINPPIVVHVSRPHEVEKARRDLPIIMMEQEIMEAIYENSVVILCGETGCGKTTQVPQFLYEAGFGTSDRADRKGMIGITQPRRVAVLATARRVSYELGLKLGREVGFQVRHDRKVGSECSIKFMTDGILLREIQGDFLLKRYSVIILDEAHERSLNTDILIGMLSRIIKIRKDLYAKQQEKIRSGFKIKPEDKISQLKVVLMSATLQLKDFISNRRLFDVIPPAVKVPVRQFPVTVHFSKRTHDDYLGLAYKKVMSIHKRLPPGGILVFVTGQREVDYLCKKLRRASKVQTAKNPEKTDGEDNGPCPEVDEKEIFEAYDIDRNKSEHRYDMFSSYDDDGMNAEPNIDSSDNETESEMDTETDDEESVTIETTEEDVPVLAFLKDAESSSALKASFGALSGIPSVLESVEESSDAKGEEKTSPSVSCFSKCTEHKPISHGRLRVLPLYAMLPASQQLQVFQDIPEGERLVVVATNVAETSLTIPGIKYVVDTGKEKVKNYDHATGMSSYEVQWISKASASQRAGRAGRTGPGHCYRLYSAAAYGKDDLFPEFAEPEIKKIPVEGVVLMLKFMSIDKVENFPFPTPPNKESLVEAVRCLKTLEALYSDGKLTPMGKAMAQYPMSPRHSRLLLTVIKNLKSQQQGFARSNFILGYAAAAASALSFTNPFLKQLDECDTNGESEENTNPEANGPWERKRQKKLKAVVREAQEKFSNPSSDALTIACALQFFELSENPMEFCRANSLHLKTMEEMSKLRKQLLRLIFHHSKFCGEFAWNSGDSDDVEQAWRNEPSKNVLQLNEEELLGQGICAGWADRVARRIHTYSKLSEDDRKVRAVRYQSCALDDTIYLHRSSSVAQVAPELVVYSELLNTKRLYMHGVTTVKPGWLLKYASSLCTFSAPLEDPKPYYDPRMTKSTVTLLPLHSLPIKDNTSRLQVFACALLKGDVLPCLRDVKDFLALSPSVVLGPARQRRVGDLLNRMKIGPKLVDSRAALRDVWNVDPGFLYPEVKVWYQDKFHSQFTSYGSRCTNRFSLRGTSFSQRDPRKLK >Sspon.07G0004160-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:9346085:9346933:1 gene:Sspon.07G0004160-2B transcript:Sspon.07G0004160-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFSEAQEELVIRSWKAMKNDSESIALKFFLRIFEIAPGAKQMFTFLRDDAGDAPLENHPKLKAHAVTVFVMVGHLDLASVFVTAGLYACFCPHLPTAACFDFQACESATQLRSTGDVKVREATLKRLGATHVKAGVADAHFEVVKTALLDTIRDAVPDMWTPEMKAAWEEAYDQLAAAIKEEMKNGAVKEETKDAAAA >Sspon.06G0020700-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6B:10583695:10584357:1 gene:Sspon.06G0020700-1B transcript:Sspon.06G0020700-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MACHLRSASVPSSPRSNKTNIEEQVQSLKAAISSPPATATVQTIVDGLSRLGSIYSCIDELACLPSTSSQRQQRKAVEEELEHSLVLLDLCSAMQENLAELRVIGQEMLLGLKRGDDVAVQAKAQSYARLAKKAQKQFKKTSSKVALDDTESCRVVKLLSEAREVALSMLESALYLLSREIMTQSTTKWSLISKAFQKKRVACREEQLQALELEIADLESE >Sspon.06G0019790-1P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6C:4777170:4779861:-1 gene:Sspon.06G0019790-1P transcript:Sspon.06G0019790-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAACGGERGRGRSPLSPLLGCLLVFSLLCTVCRAQDPGPLPALEVETYNYTSFQEGNSREQRDLAFSREARIYQGAIQVSPDTGNVGSYRDIMVNKSGSVLLQHRFTMWRHLDVDGGSNGNGSASPRVQVVSFNSTFSMNVFHLPDSSPRPGEGLTFVVAPSRDEPPPGSYGGYLGLTNATLEANPTPARNRFVAVEFDTTKQDYDPSDNHVGLNVGSVVSVKTANLTAFRIATDSTSPRNYTAWVEYDGAARHVSVYMAVRGEPKPASPVLDAPLDLSEHVPEKAYIGFTASTGTDFELNCILDWALSIEVIPEKKSTTWVIIVAVVVPVTVVAVGVAAFFLGRKLRARRSMERRQERLEQQLSNLPGMPRGFAYDKLKKATRNFDERLRLGKGGYGMVYKGVLPADEARPEGMDVAVKRFIRDDGKDVTDFLQEVDIINRLRHKNIVPLIGWCYKKGQLLLVYEFMPNGSLDQHLFRRGVHEQRWPVLSWARRYAIVGDVAAGLHYVHHEYTRMVLHRDVKASNVLLDVSFRARLGDFGLARVLDDDREAFTDLQVAGTRGFIAPEYSVGHKASRETDVFAFGALVLEVVTGQLALRPSDPRCPLLSDWVWQMHGRGALLGAVDQSLGADEFDHDEAARLLLLALACSSPNPGDRPTMPQVLQVLSKAAPPPEVPPFKPRFVWPPEGGANFQLSDVEVTTSGTGTDDGMSTRATQSTSYDSFQPHTAPNSSDGYLPALSSGR >Sspon.01G0015400-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:44809412:44817090:1 gene:Sspon.01G0015400-1P transcript:Sspon.01G0015400-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLNRRRLGERVEFRFSGLRAVQTIVEASVDGSISSLFVECASMLFLIVPVVSDRLLLSIISVDTGKTIARSSKAAARNGICQWPDSILESIWFSQDEVSKEYEDCQCRIAVSMGSTKGAILGEVFLNLTNYLSSDSEDSTAISLPLKKCNSGTVLQLKIQCLGTKSKSRFIVLLPFSLYTGMELWNGPSPCFVGNSPSNDDIKSDGSNNLITRNVNFSSTNHLGGFHQDEVGIRDTSFSPSPRNDSDEGLYIERQDTSSSFIDDISVGRGDLIYRSNDSSFSNQTPGRNMLQESIDESSLSGFAQLSSGASGSSKDLLDAAEETIDELLNEAQMWESHSQKLKNDLETLQKECDEKSKKQTEILLELSASQAEQESLRQEIEELKLSLEVANARQIVTGIPRSGDAIDVQLELKDEVQFLRESNENLTTQLKKSQDANIELVSILQELEETIEAQRTEISNFTQMSNVIDHEVPMNALSVQEDAEWERKMSLKEDEIVALREKLDRVLSIENAGGASSVAIYLELEKENDFLKVQMQDLENDCSELTEENMELIQKLKEVSGVEGQDSCISDIQEMLNATDLSGTSKSRAKYLERKCADLELRMLNFQSESRELEEKLKKSQEELKERSLELSELRENLSSFRATELEREEINIARGYQLRSEELGDTGSELNLLKGTVQLKEKEIEGLQHSKLEMEAFIDNVLGQKIHELEICKVELELHISRLEDEKLELLESISGMEVELTNLTSEYESCIVQMDDSRTMIIDLKDKVEWQQSELEAQKVEVKQKQLEFQKRFSEVQEDSEALRRLNAKLQAKVDNLIEDCNSLQALMDDLKKEKLELHSCATQLEQELEHSKRKTTDFCRTVDFLEVKLSSIQKDISSKERSFLLELENILHEHKEHEEKINRAHFLLNKIDKEKTIEVENLEREVMSLTAQLSSTHEDQESSMLDTIREASILRADKAKLQANLHDVNEQLRRYESQLEDIRKESKSKIKSLADSLNASKQNEEMLKTDAEDMRRLMEAAKSNEENLRITSNELELKYKSSDYEKQQIMEENSGLKIQVQKIAGVQDELLKVQSSLDEAKFEKGRLEELLRLMSEECDELKVQKAMLTDKVSHTQDTSNKINGDKQSKTSMQAKLSSIKQGNNDLATDNGGCSPVNEESDLQAKIQSLESRLAEALEENRLYRTQVKSPTAERQSGSRNGEGNNDDKIAQLESELKDMQDRLLNMSLQYAEVEAQREELVMELKNEACCENARGIQILEATTVRIEQGHQEPRVFSHLGD >Sspon.03G0030220-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:27297691:27305279:1 gene:Sspon.03G0030220-2P transcript:Sspon.03G0030220-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHEQRRQRQQHSPRGNAPGSGRCGTSSGKHKSGGGKGGGKKPIKVVYISNPMRVKTSAAGFRALVQELTGRDADPSKYSPEDLAGAADATVAAADLDCAAAQGLSPGGAAASSETIVAIPSPAAADHLPDAAAEAAPHGADYYDDEEDSDGFRSQLLENNYTVFSPPTLLYDHHPHSKFPFG >Sspon.01G0004190-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:10661775:10670368:1 gene:Sspon.01G0004190-2C transcript:Sspon.01G0004190-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRVVVSTLPALHPLLRRGPLLNNKPLLRSRLQPWPFRALSAAVKWEAVALEEHLTRCAAAGRAPLRVAVLVSGGVDSSVALRLLHAAGHHCTAFYLKIWFQEDFRNFWSECPWDEDLKYAQAVCDKIDVPLEVVHLSDEYWNHVSHMINEYRCGRTPNPDVLCNTRIKFGAFLEAIENLGFDYIASGHYAHVVHPSAENTEAPSVLQLSKDKASLPLPQLASPSARAGESSSRSSSMLRVVVSTLPALHPLLRRGPLLNNKPLLRSRLQPWPFRALSSPASPAGAGAAVKWEAVALEEHLTRCAAAGRAPLRVAVLVSGGVDSSVALRLLHAAGHHCTAFYLKIWFQEDFRNFWSECPWDEDLKYAQAVCDKIDVPLEVVHLSDEYWNHVVSHMINEYRCGRTPNPDVLCNMRIKFGAFLEAIENLGFDYIASGHYAHVVHPSAENTEAPSVLQLSKDKVKDQSYFLSHLSQSQLRRLLFPLGCITKDEVRRLATQMGLPNQGRKDSQGICFLGKVKFSEFVERHIGEMEGIILEAESGDYLGKHRGFWFYTIGQRQGLRLPGGPWNYYSLDKRRRTFRVGSLNWFDNSGPGNNEQLKCKVRHSPEFHDCTLIKEHTEENEDALVVYLSEDDQGLAGGQFAAFYSENSCLGSGIILDSWDKMSFPVCSRALEIAKLEDKSSLGKPVRILNLEHVVKPEKQEEIKVA >Sspon.03G0018250-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:55944026:55946852:1 gene:Sspon.03G0018250-1A transcript:Sspon.03G0018250-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding TRHGSTPPRVSLFHTGFDRTDQIRPAPYKRSDPSPPARSIHRTISHPIANHGVPAPPPPRPPPRRRRGRPPGPPPPAYGGYGQPPPPDPYGHPPPPDPYGRPPPQPAYGGGGYGNVVHVAHEAGDERPHYGGGGLGGAYGGGGVPEYGHEGRPHHHGGSEYGHETRPHHGGGGGGAAPVRQQTYRIYCKAGEDQYSLAARDGKVCLVRTDRNDDAQHWIKDMKFSTRVKDEEGYPAVVLVNKATGEALKHSLGQSHPVPLTRYDPDKLDESVLWTESRDVGDGFRCIRMVNNIYLNFDALHGDKDHGGVRDGTTLVLWEWCEGDNQRWKIVPW >Sspon.08G0001900-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:3419700:3421922:1 gene:Sspon.08G0001900-3D transcript:Sspon.08G0001900-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPASPWPTPRSVRQASQLHAVLTTSGRIAHRPSAEHLLNSLTNCLSAPRHLRYVLSLFDRLPHPTTFLHDTALRACLQASAGADYPVLLLRRMRRGGVRTSAFTFHFVFRCCAAGAGAGLCRMLHAACLRTMLPSAARIVANPLIHMYASLGLTDDARRAFDEMPVKDAVVWATVIGGLVRWGLLDEARRLLVQAPERNVVSWTSLIAGYSRAGRPADAVYCFNCMLSDGVEPDEVAVIGALSACSKLKNLELGRLLHLLVGKKRMRMTDNLVVALIDMYAKCGDIAQAQAVFDAVGRGQKPEPWNAIIDGYCKLGHVDIARSLFDQMGARDVITFNSMITGYIHSGRLRDTLQLFMQMRRHGIRADNFTVVSLLTACASLGALPQGRALHASIEQRIVEEDVYLGTALVDMYMKCGRVDEATAVFHRMGGRDVHTWSAMIAGLAFNGMGKDALESFCQMKRDGFQPTSVTYIAVLTACSHSSLLNEGRLHFNEMRSLHKLHPQVEHYGCMIDLLARSGLLDEAMHLVQTMPMQPNAVIWGSILSACRVHKNIDLARHAAEHLLKLAPEEDAVYVQLYNIYIDSRQWVDAKRIRMLMEEKGVKKTAGYSSITVAGQVHKFGVNDQSHPRTLEIITMMEEIARRLKSAGYSPATSRIAVDVDEEEKEQALLAHSEKIAIAFGLISLPPNLPIHIMKNLRVCEDCHSAIKLISQLWNREIIVRDRSRFHHFRDGACSCNDFW >Sspon.05G0010330-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:29524827:29529395:1 gene:Sspon.05G0010330-1A transcript:Sspon.05G0010330-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MESVKGWVAANYAEAMASMQHSLRVAYVVFSFCAAFFLGGIKAMVVGPVAAALMIVGNVGVILVLFPAHVWWTIYSLIKTDRVNAGLKLAVLFALPVLFGLWLGLGIFGSALVALGYGFFTPWISTFEAFRQESEAKKFVHGIVDGTWGTIKGSCTVVRDFADICFHSYPVYLKELRESCQNREPHSIRLLDVPLCIVVALLGLVVDIPLYTVIALIKSPYMLFKGWQRLLHDLISREGPFLETVCVPIAGLAILFWPLVVVGSVLLAIVSSIFVGLYGAVIVFQEKSFQRGVSYVVAMVAEFDEYTNDWLYLREGTILPKPSYRKRKSSNSTEFSVRTNASVKGTGPSEAPAMLVPNLAPARSVREAIQEVKMVQIWENMMKSCEQKGRDLLNLNVITTADLTEWLRAKESSHETISLGLPSYSLLCTVLQSIKAGSGGLVLGNFEVNQHNRPQDRLLDWFFHPVLVLKEQIQALKMTEEEVRFLEKLTVFVGNAASAGGWDNGAEMPQDPVRLAQIQAISRRRRYRHVVKLLIAYSIERESSSASGHSASYFEITQLDV >Sspon.08G0003930-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:11388512:11391232:1 gene:Sspon.08G0003930-1A transcript:Sspon.08G0003930-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLEKGIETEAAIGASDAQQIPVMAKGEEKGLRISDLSVVPAVTDGGVIAANMNPGKNKSLRCWLIVTAVGMFLTNHIQAAPKYQLIPLEVMVIFMMFMCAIFYVFRTLKQI >Sspon.08G0006080-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:16352643:16356542:1 gene:Sspon.08G0006080-4D transcript:Sspon.08G0006080-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAWFSSGSGPSSSSAASSSQPSLLAEWNSYAAARSAEDAGDGFGIDIEAAVRSANDRVAGTFGVVSEGVKGLPGSFKSTTSSVPSGRSLMYFGLFLASGVFLVFIAFTLFLPVMVIMPQKFAICFTVGCAFIIGSFFALKGPKNQLYHMISKERLPFTVGFVGSMVATIYVSMVLHSYILSVFFSVLQVLALAYYAISYFPGGSAGMKFLSSALDCIFPVLIAEFLVNDLAGLRIVINCAASLLLEV >Sspon.01G0062290-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:113322950:113325844:-1 gene:Sspon.01G0062290-1D transcript:Sspon.01G0062290-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GEMSEHLFEDTFVVTRLDPDGKKFGTVSRVEARSEQLDMYMQLDVATDVYPMQPNEKYNMVLAPTLNLDGTPDTGYYFQAGRKTLADNYDYVMHGKLYKISEDTSSQNASREIYASFGGLLMLLRGDPSTAASFELDQRLFLLMRR >Sspon.06G0005670-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:19133177:19137784:-1 gene:Sspon.06G0005670-1A transcript:Sspon.06G0005670-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAGTGVFAEILDGEVYRYYADGEWRSSASGKSVAIVNPTTRKTQYRVQACTQEEVNKAMDAAKVAQKAWARTPLWKRAELLHKAAAILKEHKAPIAECLVKEIAKPAKDAVSEVVRSGDLVSYTAEEGVRILGEGKLLVSDSFPGNERNKYCLSSKIPLGVVLAIPPFNYPVNLAVSKIGPALIAGNALVLKPPTQGAVAALHMVHCFHLAGFPKGLISCVTGKGSEIGDFLTMHPGVNCISFTGGDTGIAISKKAGMVPLQMELGGKDACIVLEDADLDLVAGNIVKGGFSYSGQRCTAVKVVLIMESIADAVVQKVNAKLAKLKVGPPEDDSDITPVVTESSANFIEGLVMDAKEKGATFCQEYRREGNLIWPLLLDHVRPDMRIAWEEPFGPVLPVIRINSVEEGIHHCNASNFGLQGCIFTRDINKAILISDAMETGTVQINSAPARGPDHFPFQGLKDSGIGSQGITNSINMMTKVKSTVINLPSPSYTMG >Sspon.04G0010860-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:33205608:33208390:-1 gene:Sspon.04G0010860-1A transcript:Sspon.04G0010860-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSPLCSASPRPLQAPGQPPRDVRPPRTVVVAAGTRVSGAEARASLVLALASQALSASQRRFADLAGEAAKYAFPSRRFEPRTLEEALMSVPDLETVPFRVLKREAEYEIREVESYFIAETTMPGRSGFDFNGSSQSFNVLASYLFGKNTTSEQMEMTTPVFTRKGESNSETMDMTTPVITKKVDFGFHLCHCFELSLFGDSGYFIIKKVMKFYLSFLFVCHLQLAGKNKWKMSFVMPAKYGSNLPRPKDPSVTIKEVPSKIVAVAAFSGLVTDDDISQRESKLPEFLHKDTEFRVKDDSVVEVAQYNPPFTLPFTRRNEIALEVERNDIAL >Sspon.06G0007670-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:34580070:34589492:-1 gene:Sspon.06G0007670-1A transcript:Sspon.06G0007670-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVLIAPQNAVGNCIIDDMRAMTDAAGDRPVILVNPRLKDQTMGRDIRLKYAASFETCYLFRLLYYAGSFYPIMGALRMSYPNKYEIYRRVDELNGKENYILIAEFTNTPTPDDITRAFTGRKM >Sspon.03G0028300-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:8902573:8912782:-1 gene:Sspon.03G0028300-1B transcript:Sspon.03G0028300-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSCIIISKAYWTSAIVSYKQALQLRPDFPEVTCNLLHTLQCVCDWDNREEKLIKMSLLPSVQPFHAMALPIDPTLALEIRSLLFGCIAFGLPVFTHPSRTPIKTDDRTSRLRIGYVSSDFGNHPLSHLMGSVFGMHNKDIVEVFCYALSRDDGTEWRQRIKGEAEHFTDVSAMPSDIIAKVINEDKIQILINLNGYTKGARNEIFATQPAPIQVSYMGFPSTTGASYIDYLITDEFVSPLQYSHIYSEKLVHLPYCYFVNDYKQKNQEALDPVCPYKRADYGLPEDKFIFACFNQLYKMDPDIFDTWCNILKCVPNSVLWLLRFPAAGEMRLRACNSPPLIFSLVQIMPSHLHFPNFALLTDAISKGVRSDQIIFTDVAAKTEHIRHSALADLFLDTPLCNGHTTGTDVLWAGLPMITLPLQKMATRVAGSLCLATGVGEEMIVNSLKEYEERAVFLAMNPSKLQALTNRLKAVRMTCPLFDTSRWVPDDEDEAGMLTIRDNRIEVSASRQPFTMSLTTEGGLIAYEAVVLKRMTELTAMYRGYEMHVFQFYAEAYYEVRPRFRTQRGSYNSGGRLDRRNTRTSGRANKVHRLEPMQLSFGL >Sspon.07G0020930-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:78441641:78445292:-1 gene:Sspon.07G0020930-1A transcript:Sspon.07G0020930-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LYATRDKNGVYIPREQYLADEAEKKHDRGVLQAMSEKLDRLEFILDSKDKQLEELQGLYDSQKVLSADLSDQLETLQKRMKETECTLADLEAKYMKANNTIKEKQYLIENLLKSEKVLVGEAQRLRSELENTAGDLYGLFSKLERKEKIEDTNRNTIRHFHSQLTQDISVLHRTVSTSVSQQESQLKSLEEEMQSFVTSKGKVAGGLQEHVRKLKESFHNRIAELHGFANELKHKSVLSFENLNSQVITHTSGLEDCMKGLLVDADQILIALQNGLSQQEVNFATFIEQQHEGLSRNLERTKSVSTTTMNFFKTIDSHALELIKILEESQMEHQKQLFQLQKKFEAFVADEEKYLMEKVARLFAESNARKKNMVQGDICSLNRTASERSNNLQTETTKLHDFTSSMKEQWEAYMKRTEEAFQQNVSSIEQKRCFLAENLQQCKGRVESCSEQWVTAQNLVLALGRNNAEAIGSVISAANEVSNQLDARFSSAVTTGLEDSDISSRSLLSSIDDSLKLDHGICDNVKSIVMTSRAELHDLEHGHYEKTKVITGNADRSLGDDYKVDEATCSTPRRREINIPDSQSIRELVTPLEDLVKAFWDSRTPTKLAVNGNGKQQLAGSTTPETQRAPLAAIN >Sspon.01G0005750-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:13346461:13350731:1 gene:Sspon.01G0005750-3D transcript:Sspon.01G0005750-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPTTVTLLQACAVLLLCCRLSPAAAASASPGDVGGGGARRVLHQPLFPIGWTPPPPPPAPDFTSDPATPDGPPADFFPPAPPTAPAGGGGTTTTSSTPTTVAANVPTTSSGSGDGGHHGGPAKGTIVAAGAAAAAAIALLAFACAFLTAGRARRRGDSQKLLGPDRGSARHHSAPSAADFLYVGTVEPTTPGRHHGPTAADLVGSPYRKLRSERARRGVGRDEPTDHPSPELRPLPPLRRAATVGSSDDDAYYTPRQRSGGGGVGGETWSEASASSPPTTTTASRRSLPSPTSDCFPPVAAIAAPTPPPARSRRTPPRTRFSAGSTPDIKQVISPSPRSVQPPKAAPPPPPPPPPPPPKSITAPKPPPPPPPPPPPPPPPMIPSNTVPKPAQPPSEPTSRRRLLKPLPPEGPRIAMPMPITAATAEDSIGSASMRKQDDVADDIVGNGEPRPKLKPLHWDKVRATSDRAMVWDQLKSSSFQLRFCFKLHALLIAGYQLDEDMIEALFMNNSTPAALPRDAGRKATVPPFRQEERVLDPKKAQNIAILLRALNVTRDEVSDSLLDGNAECLGTELLETLVKMAPTKEEELKLRDYNGDSSKLGSAELFLKAVLDIPFAFKRVDAMLYRANFETEINYLMKSFETLEAACEDLRGSRLFLKLLEAVLRTGNRMNVGTNRGEAKAFKLDTLLKLADVKGTDGKTTLLHFVVQEIIRSEDAKSEKESAMIIHSSKDEQLRKQGLKLVSGLSSELGNVKKAAMMDFDVLHGYVNKLETGLEKIKSVLQLERQCTQGQKFFTTMQSFLKKAEAEIEKVRGEEKRALIRVKDITEYFHGDTSKEEAHPLRIFMVVRDFLSTLDHVCKEEHSTPAMPVLAAEADVALTFAMRHTFKVGRTKELRLRLRKAAHF >Sspon.07G0007280-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7B:16818525:16818965:-1 gene:Sspon.07G0007280-2B transcript:Sspon.07G0007280-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNLRRLLAARDGVTATVVLTEEWLGLVGAPAAALSPRVRFEAIPNVVPSEHGRAGDMVRFVEAVYTRMEAPFERLLDQLLAAPLAPEAIVADMFVPWTVAVGARRGVPVCVLCPLSATIFAVQYNFHRLPPAADDGRSTSPVNKIA >Sspon.04G0009710-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:24443942:24446163:-1 gene:Sspon.04G0009710-2B transcript:Sspon.04G0009710-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHDVHHQQQQQQQVAMELPPGFRFHPTDEELITHYLARKAADPRFAPRAVGVADLNKCEPWDLPYRATMGEKEWYFFCVKDRKYPTGLRTNRATESGYWKATGKDREIFRGKALVGLKKTLVFYTGRAPRGGKTGWVMHEYRLHGKHAAAAASSSSLIPSASVRAGASKDDWVLCMVFKKSIEPPSVAGSKRSSAVACMGMMEDVVGPSMSMADDFAACALPPLMDVSGSSGANMSLSVAAVASASIEPTPPAPHVTCFSNALEDHFLTPPPCLLPSAAAAAAAATDDVAQAASASPFLASMVQYDGDAGVGGMVHELLQEAGGWYNKLGERERLSGGASQDTGVTSEVNPAEISSTRHHMDHEASFWGF >Sspon.04G0021790-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:1476363:1482292:1 gene:Sspon.04G0021790-1B transcript:Sspon.04G0021790-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutathione reductase, cytosolic [Source:Projected from Arabidopsis thaliana (AT3G24170) UniProtKB/Swiss-Prot;Acc:P48641] MARKMLVDGEVADAGQYDYDLFVIGAGSGGVRGSRFAASFGAKVAICELPFHPISSEWLGGHGGTCVIRGCVPKKILVYGASFSGEFEDSKNFGWEINGDINFNWKKLLENKTKEIVRLNGVYQRILTNAGVTMIEGAGSLVDAHTVEVSQPDGSKQRYTAKHILIATGSRAQRVNIPGKELAITSDEALSLEELPKRAVILGGGYIAVEFASIWRGMGAEVDLFYRRELPLRGFDDEMRAVVASNLEGRGIKLHPGTNLSELSKTADGIKVVTDKGEELIADVVLFATGRTPNSQRLNLQAAGVEVDQIGAIKVDEYSRTSAPSVWAVGDVTNRINLTPVALMEATCFAKTVFGGQQVKPDYGDVPCAVFSIPPLSVVGLSEQQALEEANGDILVFTSSFNPMKNSISKRQEKTHET >Sspon.07G0005370-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:9003049:9007241:1 gene:Sspon.07G0005370-3C transcript:Sspon.07G0005370-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKKNRVKVEQELQGESCPDGALPPSKPGATRRQKKPKKLRRVWWQIKKLHKATAELYTPFAPFVRLVKEITESCSSQVKRWTPEALLWLQDAAYFYLNETFYVELELAIPPVRASSSKGLKSPKQAAYKAFGFLNHWQLLARNGEKNMLEDLLRKLKKSLTDW >Sspon.08G0006230-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:19541300:19542717:-1 gene:Sspon.08G0006230-1A transcript:Sspon.08G0006230-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNVAFNLQGCRQYLQGCFSICSSKSSETAFKILKQLDRTIPSPTSKPLGLRQTLANRNTSSVATNRQIKGPDFSIGNGNKQSSINESGSANSETTYGKKVQQPQSSPIAEESSERVQRSGANSDVSEAGTSQQPLKSNLTPTSVAEVLDNKNTSKGFSFTFPIPKAPSSLLEPPPTPTMASPPRTLPITNEDIPTFTFGSPSTANKLVFSFNSTSSSLGAGATDPTFKFGSDNKRELAFFIGRIFSQWFAPVAVSLGISPFGL >Sspon.07G0029570-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:69903776:69904423:1 gene:Sspon.07G0029570-2D transcript:Sspon.07G0029570-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQNRSTPALTTTTTTTPGAELLQAQAELWCHMFGFLKSMALQCAIKLGIPNIISRHGGAASLSELCAALPEEETTQGGEEEGSYSYHLTAVSRLLVDDNGAGGHPCLSAFIAILAVPFHVVASLRLAEWLENDDGGAAAETPFMMAHDTDFWGVVGRDAEFLRISTRRCGLTADSWHKSSLASAERCSPA >Sspon.05G0010080-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:23781775:23782486:-1 gene:Sspon.05G0010080-2B transcript:Sspon.05G0010080-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPKLLSPCLLLAFFAAAAYAAPAAVVRAAGSGASPLQSASENQCVYTVYVRTGSIWKGGTDSTIGVTLLGADGTGIRIRDLAAWGGLMGAGHDYYERGNLDIFSGRGPCMSQRPCAMNLTSDGTGAHHGWYCNYLEVTVTGPHLGCAQTLFTVEQWLATDASPYRLYAVVDKCSTKRRQEGEPGAEPTVTSL >Sspon.02G0012530-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:33027282:33031825:-1 gene:Sspon.02G0012530-1A transcript:Sspon.02G0012530-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFIYSNAVVRISILDYIGRRCCGGEHALTRCLYFVLPVEKESDDVSQKDIESFDRRSLSSNIATSSLSTAGGPKGKDSWKLKSVVTLALTLLTSSQAILIVWSKRAGKYEYSITTANFSVEALKCLLSLVALYRTWNSEVLQKIIGDDFTCLPIVHIRLTTSFDEVRVYPIPAMLYLVKNLLQYYIFAYVDAPAYQILKNLNIISTGVLLSEIQWAAFILLCAGCTTAQLSPSSDHVLQTPIQGWVMATVMALLSGFAGVYTEVIIKKHPSRNINAQNFWLYIFGMLFNLVAICVQDFDAVMNKLVQNFLLVFHSCHLLQFMILTIPFECAIWCRGFFHGYSFITVLMILNHALSGIAVSMVMKYADNIVKVYSTSVAMLLTAIVSVFLFGFHLSLAFLLGSTVVSVSVYLHSVGKLQQQK >Sspon.01G0043830-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:77638674:77642579:1 gene:Sspon.01G0043830-1B transcript:Sspon.01G0043830-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTPPPAHARRLLAAPLLAILALAAVAANANAATPPSPADALLAWKSSLGDPAALSTWTNATQVSFCTTWRGVACDAVGRIVSLRLPGLGLTGGLDALDPAAFPSLTSLDLKDNNLSGAIPASLSQLRALATLDLGSNGLNGTIPPQLGALTGLVELRLYNNNLVGDIPYQLSRLPKIVQLDLGSNYLTSAPFSPMPTVEFLSLSLNYLNGSFPEFVLKSGNVTYLDLSQNGFSGPIPDALPERLPNLQWLNLSANAFSGRIPASLARLTRLRDLHLGGNSLTGGVPDFLGSMSQLRVLELGSNPLGGPLPPDLGRLKMLQRLDVKNASLVSTLPPELGSLSNLTFVDLSTNHLSGGLPASFAGMQRMREFGISSNNLTGEIPGQLFTSWPELISFQAQTNSLTGTIPPEVGNATKLLILYLFNNNLAGGIPSELGWLVNLAELDLSVNSLSGPIPSSFGNLKQLTRLALFFNELTGKIPPEIGNMTALQILDVNTNDLEGELPSTISLLRNLQYLSVFDNNMSGTVPPDLGAGLALTDVSFTNNSFSGELPQRLCDGFALNNFTANHNNFSGKLPPCLKNCSELYRVRLEGNHFTGDISEVFGVHPSMDYLDISGNKLTGRLSDDWGQCTKITRLKMDGNNISGAIPAAFGNMTSLQDLSLAANSLAGAFPPELGNLNFLFNLNLSHNSFSGPIPTSLGNNSKLQKLDLSGNMLNGTIPVGIDNLGSLTYLDLSKNELSGQIPSELGNLFQLQTLLDLSSNSLSGPIPSNLVKLANLQKLNLSHNELNGSIPASFSRMSSLEIVDFSYNQLTGEIPSGNAFQNSSAEAYIGNLGLCGNVQGIPSCDRSSTSGRHKRTVIEIVFSVVGAVLLAGIVACLILACRRRPREQKVLEASTNDPYESVIWEKEGKFTFLDIVNATDSFNEFFCIGKGGFGSVYKAELPSGQVVAVKRFHVAETGDISEVSRKSFENEIKALTEVRHRNIVKLHGFCNSGDYMYLVYEYLERGSLGKTLYGEEGKKKLDWGMRVKVVQGVAHALAYLHHDCSQPIVHRDITVNNILLESEFEPRLSDFGTAKLLGSASTNWTSVAGSYGYMAPELAYTMNVTEKCDVYSFGVVALEVMMGKHPGDLLTSLPAISSSTEEDLLLQDILDQRLEPPTGDLAEEIVFVVRIALACTRANPESRPSMRSVAQEISAHTQAYLSEPFRQIT >Sspon.03G0040390-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3C:28980813:28984148:-1 gene:Sspon.03G0040390-1C transcript:Sspon.03G0040390-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVSVLSDTASHSASPASLARVSHKKIPDSTASRLGFTTSCGRPLAPLYRAAAIPRGARLRTPREEESSGKVRCQSLTGRRLHSGPLAQAGPARVCVRLLLPARARRARGDRSQEPPTPWPPAYGSARRVATVAPTVVPRLPADDPSRCPCHPRHSALRLPALAARSAARHGSRRAVVVAVPPSLLHLPSIRHSPGSASPSAFPDANYLRGSCSGEGQFGRVPPPLPPWPPLHWPAAGCQRLLPAMHAAELSAELIWPRAEHPWLRLTAGNTVVPPVTGGFTGGEIRAVNGELASAPADRCGSQEESQLAVPEEQTWTDQQEPQTQEVPEEEEQGEKLPECIDHQPTGRIKYLSTAKLVTLFRAGINSDWQTGAEGGMTGTRRVSPRCVLAVGRSLGSAVHDCYPAAT >Sspon.08G0000400-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:1661595:1664767:1 gene:Sspon.08G0000400-1A transcript:Sspon.08G0000400-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSSTATASLHLLLPASRRRRHLLPRAAHSESTPPPAASAVDRRRFIAHTAAAAAVSPLVLPARWTPAARADGAPALSEWERVFLPIDPGVVLLDIAFVPDDPSHGFLLGTRQTILETKDGGNTWFPRSIPSAEDEDFNYRFNSVSFKGKEGWIIGKPAILLHTSDAGESWERIPLSAQLPGNMVYIKATGEQSAEMVTDEGAIYVTSNRGYNWKAAVQETVSATLNRTVSSGISGASYYTGTFNTVNRSPDGRYVAVSSRGNFYLTWEPGQPFWQPHNRAVARRIQNMGWRADGGLWLLVRGGGLFLSKGTGITEDFEEVQVQSRGFGILDVGYRSQEEAWAAGGSGVLLKTTNGGKNWVRDKAADNIAANLYSVKFLDDSKGFVLGNDGVLLRYLG >Sspon.03G0026330-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3B:2521732:2523077:1 gene:Sspon.03G0026330-1B transcript:Sspon.03G0026330-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AAAETDGCPPAPRTPVQPLGTFHCRAQLDAAPGTLSSLGVAQRRGTGHHMGGHPRRAVPRPTRRAPPRSRRGRRSVGAAKKGPRRSFVPIAPGRQLLSIPNYVARARLGTPAQALLVAIDPSNDAAWVPCAACAGCAPAPAPSFDPTQSSTYRPVRCGSPQCSQVPSPSCPGGPGASCAFNLSYAASTFQALLGQDALALADNDVVAAYTFGCLHVVTGNSVPPQGLVGFGRGPLSFISQTKDVYGSVFSYCLPSYKSSNFSGTLRLGPAGQPKRIKTTPLLSNPHRPSLYYVNMVGIRVGGKPVPVPASALAFDPASGRGTIVDAGTMFTRLSAPVYAAVRDAFRRRVRASVAGPLGGFDTCY >Sspon.04G0017750-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4A:64679236:64682589:-1 gene:Sspon.04G0017750-1A transcript:Sspon.04G0017750-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALQLLLQISFFVLICPAIAINPEADALLRWKSTLVGATSLSSWSPANSICSWFGVACDGAGHVTALRHSGAGINGNLDAFYSTAFQNLTRLDLRNNYLVGTIPANLSMLLTLTFLDLSSNGLSGAIPYQLSKLPTIAHLNLENNHLLNPESARFLPMPSLKFLSLRNNSLNGTFPQFILNCTWMRSLDLSSNSFLGHIPGSLPETIPRLSYLNLSYNGFSRAIPRSLSRLRKLRELDLDANNLTAGVPEELGMIHRLRILSLSSNLLGGSIPASLGKLQMLEFLGISNAGLFSTLPAELANLTSLILMDLSQNQLHGSLPLCFAKMQGIEVFILNGNNLSGAIPPELFTNWTELVLFDLSDNSFNGSIPVQIDNCNNLVYLDLSHNHFTGTIPLQIGNTSLVYIDFSTNQLEGELPASISELKRLKYLILSDNKLIGSMSNLNGMELPTLCRFRNSSLQILDLSNNQLFGELPGCIWNLRYLQVLDLSNNTLVGEVSTMINSTSSSLKSLHLSNNIFTGRLPAVLKNLKSIEILDLGNNKFSGMIPPWIHESNPLLRILRLRSNLFYGSIPWQLSQLSYLHVVDLAQNNFTGSIPKTLANLNSMWKQSIMQQEIIDIGLHTYAYGDVDIVWKGRDYMFQSSADFMAAIDLSCNSLSGGIPSELTKLKGLRMLNLSRNYLAGVPPVLWGLVSTEPQDRERGWSGLLRGASEREGVRDTGSGRCARGGRDGERGAREEEGPVPFPSSVDARERGGEEAGLWGEMGRRGGGDAGSGRGGAAAGDRVVVLGRQRRGWATLGRTGAQDVGWD >Sspon.05G0027000-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:46750614:46762363:1 gene:Sspon.05G0027000-1P transcript:Sspon.05G0027000-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MELEVVMTEMVLAPTLPFKKMQTADKYPKGQSRGRQWKHLRHLLQSADASSMPLDRPNYMNIQSPPSIYPPKRYCDLTGFEALYVDPRTKLRYADPEVFKQIRMLPDEYVQ >Sspon.06G0000410-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:1544028:1547494:1 gene:Sspon.06G0000410-1P transcript:Sspon.06G0000410-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPPHPSPLAPLRASSSSPASRLRFHLPFLCSPYPSPLLSSPSPRPRWPPPIRAHASGETGLGGRRGVFGPDALLSAAELLCLAPPAICSVVCAARLVFLPAGSSAGPPPLLVLQYVLLVGAVAIGSLIRRRQSGRLRPAGGGLTRRVEKVEETVRGMMTAVAVLSRTVEKLGLRFRVLRRTLRDPISETAALAQKNSEATRILSAQEDLLEKEIGAIQKVLYAMQEQQQKQLDLILSIGEASRILDGEQDLLDGDSARSSSASPAPELENKQVETESEAATGGNNKS >Sspon.07G0000370-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:547171:548828:-1 gene:Sspon.07G0000370-2D transcript:Sspon.07G0000370-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heat shock protein Hsp70 family protein [Source: Projected from Oryza sativa (Os06g0212900)] MSIGLAAGAAAFGIPMPVPAFGVQDYPAEYCLFHHDRHTAAPFDLGTAAAIHLGNTNSCIAGYDLDPGTGTGTGGNYYQLCIPSWVAVTENGTLAGEAAMNHAAVSPGTAISGFMRFMNRRPVNQVPPPLLQHPEVKSAMELVPFRFVEWLGLAAIQVETADHQVKVFSVTHLAAVLIAELKHRAEAHLGRHISHAVIAVPRHLTYGGRQDVVFAASIWGGFRGGGVKAIDQQIAAAAAYGHHTEQGDGKTILVFRVGGRTSDATIFKFVHGAARYLYSQDDYFLGGEDLTARIVDYMSELIKQRHRWDIRQDGKALRRLRVACEHAKKALSDQEETLVQVVDGAGVSFSAPLTRAKFEELNQDLLDRAMALVDGAVRRSGGRQPRGAESPQDMVDEIVLVGGSARISRFRQLVQDYMGGREPNSRKGVETEEAIVHGAAILSRPEAA >Sspon.03G0000880-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:10504865:10508654:1 gene:Sspon.03G0000880-2B transcript:Sspon.03G0000880-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding PAAATFLHHHLPFPSLRPKTLLRPRLRRLAASINPSPPDETPAADPPVIPSISIKNTEPEEVARRRSWVEHGWAPWEEIMTPEVAFARHSLNEGEEVPLQSPESLEAFRMLTPSYRKKVEAEPGYLERLFATRDTPEPLETTWAGRLPLRLVPPRDWPPPGWEVDPDELEFIREAHREASERLDMEAAAAAGVTNVEKLEDAPQDLALDRYKMFLKQYKEWVEANRDRLEQESYQFDQDYFPGRRKRGKDYSEDMHELPFYYPGQICYGQVTTVHLYQGAFVNIGCVHEGWVPIKGNDWYWIRHHIKPGMKVYVEILAKRDPYRFRFPLEMRFVYPNIDHLIFNRFDFPPIFHRKEDTNLEQLWREAGRPPIPRKKPLKNMEKEPLVSDHPFVDTLWEWHNAEQMILDYEEQNPDKFKDTTYESTVDASSIDEENRVEYTEGYFKETLLKKKVVNISVKELDLDAARAERQSVQLIKKLKKEAEERGEEYKVGKLRRNKEMDEYDLMQWRRSFEEREALIRDICCRKALGLPIEEPGRYDVDETEVYGKDYYDPEKPMYRYDYWGEPKNTEKTKLEREVERHNQQVVGDAKKWCEMSYDDYVRKKLRLEAAEARERQRKASEPQEDEEYDDGMDLDLKKMTDPRAPHN >Sspon.02G0015460-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:46186261:46192018:-1 gene:Sspon.02G0015460-3C transcript:Sspon.02G0015460-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFNSSSMASCHLDASVLLMASSNEKVLLLAFLVLEVDLVGAVVHQLWVHSVPEGQREVGFLHHRDGHEHPLLFKGDFSRCSLGQAATWAGGVNSAQCRNPPGLILWSSSREPLDLIRRSAFSSRPVETLAHFYRCHLSLPLLLSHRTEEKEETELLAAAGGEHGAAPRPLAGARALRWMSAPPSRAVSRRFAAVGGCGGPAAIVDPVVLRASTGTDRRLGRSRTEVAQWSFPTPLHKPIGWPRVLGVGSSLRPPLPSLYSAARRGPTNHGAVGRPRSGRDPKGNEALACGLDYDLHGPQQQERAKRRLTAEQVRELELSFEEEKRKLEPERKRELARRLGIAPRQVAVWFQNRRARWRTKQLEQDFDRLRAAHDDLLAGRDALLADNDRLRSQPEEQTVAAQETVYTLFQEDKLCSEIATGSAAAPAPGSNDSQESYFAGARSPPSSPEDDDCGCGGGDRTFFLPDALLATAMEQGLEGAAEEDGAQLNNWAWLWNDQQYWGMHA >Sspon.07G0004140-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7A:10165324:10166298:-1 gene:Sspon.07G0004140-1A transcript:Sspon.07G0004140-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMSCNGCRVLRKGCSDACTIRPCLQWIDTPEAQANATVFLAKFYGRAGLLNLLAAAPDDAARPGVFRSLLYEACGRIVNPVYGSVGLLWSRQWHKCVDAVDAVLKGHPVVQVDAASAAAAAPPLLGGGGRTAAAPAAAYDIRHVAKDPDAAAAADLLRVARGGRKRFKRAGSSSSNASKAKQPLKGKAGNNERASASPSPPLRRQQQEAEELEPVPMVVELEHGEESAGSHDHHLQLQQGSSEEDTDVEAASHVSQAEAEPPVSSQSQSQVLVADQEEEEVGLELTLGFEPVVRQQPRSSCCDRSGLSAASTLIGLRLQLPAA >Sspon.01G0030890-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:108733784:108734767:1 gene:Sspon.01G0030890-2B transcript:Sspon.01G0030890-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding HMAAGTGCKPPSLCLLLLVHVLLLVASSTAAAGLQVGFYRQNCPQAESIVQNVTWARAAADPSLAGKLLRLFFHDCFPQGCDASVLLDGRGTEKAAPPNQLLGGLDVVDAAKAALEAACPGTVSCADVVALATRDAVSFQFGRSLWQVETGRRDTRFSDEAHATDLPSPEFVFPLLRDSFAKRGLDVRDLVALSGAHTLGRTDCQFVSPRLYTFQGNGGVDPFIDPGYARELMRQCPATPSSSGKVVLDPGSEFTFDTSYYATIKANRGALLTDAVLMHDDEAARLVDEMHDQGKFITAFAASIQKLGAFGVITGNQGEIRGNCHFVN >Sspon.08G0001340-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:1032949:1036012:1 gene:Sspon.08G0001340-2C transcript:Sspon.08G0001340-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATAPPPAAVSTTLSALIRCQKIRSSSPRAFYARCVSSNASAEAAEPSESRKGGHGGMRLEEAVPVGEGRSRIDAWISARLGGGGVSRARVQASIRAGLVAVNGRPVSKVSHTVKGGDLVSCTVSELQPLRAVAEDIPLDIVYEDDHVLVVNKPAHMVVHPAPGNANGTLVNAILHHCKISTFTCLAHSSTGDECLDSSDDDIDVFNVDQFATEDASSEVRNALVRPGIVHRLYKGTSGLLVVAKDEHSHAQLAEQFKLHTIRRVYISLTCGVPNPNSGRIEASIARDPNNRIRMIATAGSGHRYARHAASRYKVREVFAGGGSALVEWRLETGRTHQIRAHAKYIGIPLLGDETYGGTKSMALSLLRPRTPLKYHSDLSNLISKVDRPCLHAALLGFKHPHSGKILEFSCPPPDDFSEVLDELRKVTSSDAQNGDGVV >Sspon.02G0029730-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2C:112149527:112150162:1 gene:Sspon.02G0029730-2C transcript:Sspon.02G0029730-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQGDEASSVNKESPDQQQLTRDEDGATWLNLTLGASESPSPDAAAAATAAAASCSTESGSGSEPGANKPSAAAPHKVFSCNFCLRKFFSSQALGGHQNAHKRERSAAKRSYHAQRMIVGLPLHAHAALMHSLRVNPASSAIHKAASQQAAPIRTAPARFLEDGGVAAAWGTIACEEAPNSAWPGSFRLRAHHTEHEQASEQSKIDLNLRL >Sspon.01G0026790-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:93595047:93595858:-1 gene:Sspon.01G0026790-2C transcript:Sspon.01G0026790-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPTSSGDGSPPGSSDLMIVAAIAALAEENGSSQAAIARRIEAEARGDLPASHPALVAAHLSRMSAAGELVAVAGGKYALPPPPPPPAPESPADDDEEDDCADEEEEEAPEPLPLPQPPAKRGRGRPPKPRPAGAAGVPGAAGPGPIGVPGAAATPAAAPRRRGRPPKPRDPHAPPKIPRPRGRPRKNPLPEGMVPRPRPGAPTSAKAARPQFAEVGFV >Sspon.02G0027420-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:102413081:102417623:1 gene:Sspon.02G0027420-2B transcript:Sspon.02G0027420-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVGIALLLDLTSRLPRAGASFASAAAQSHPGLSAAAFAATAAAAFSSDGVPLSARHLFGFHGFTVAHCDAGTTAGWNDGSDELVNELNTKILDSMQYARKYYFQNTTKEYPSELKPLLSAFGLKNFTITTLRSFLLYYLPLIQPKPHTDSEDEDDDLLHDAQEKPVDLVTPFYNSVKQIMRETSIVTTRRVLERIVVRHVSQRTAWKLLKDASKSSKRKAARGMSTPQYTYCVARTTFRAHALGVSAAWVVQSIIEVYRCFIHKPSEDYEALPSDNVEQFFDMNKFRLFGRKIYGITIKSCFSLVLASVGAGIGALVHPVHGQWFGCALGDVAGPIIAIIVFEKMQLPL >Sspon.04G0033000-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:40597563:40605045:1 gene:Sspon.04G0033000-2D transcript:Sspon.04G0033000-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLQQQHGGAVAGGGDARHHAPQPAVLGGGVDWLAFGARADLEEPARNAPSPALFLLPPAPLDDRAAQPEPKPKPGQLAGAVDEERHLALAHQNYRSGKYREALELGNVVYEKNPRRTDNLLLLGAIYYQIRNYDMCIAKNKEALAIDPHFAECYGNMANAWKEKGDIDLAIRYYLTAIQEAVKLKPSFADAYLNQGNVYKALGMPQDAIMCYQRALQARPDYAMAYGNLATIYYEQGQLDMAIRCYNQAIVYDPQFVEAYNNMGNALKDAGRVDEAINCYRSCLALQANHPQALTNLGNIYMEWNMISAAASFYKAAISVTSGLSSPLNNLAVIYKQQGNYADAITCYTEVLRIDPTAADALVNRGNTFKEIGRVNEAIQDYVQAATIRPNMAEAHANLASAYKDSGHVETAIVSYKQALRLRPDFPEATCNLLHTLQ >Sspon.02G0051660-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:81268875:81269611:-1 gene:Sspon.02G0051660-1C transcript:Sspon.02G0051660-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVRLGVAAGCAVATCVIAVALLREFEDGCATPPPRLRQVIDAMIVEMHRVHAIAVPPSPGPLPLTSSPCICFQQSYQIIGRKAIKNKVMEGALKLDSSPGNLPKKIDPDSVAAMNVTFVNDALFKSSFVEFDIDGLFIPFDESALRDMLLGDTQFALPLGSCLKMLLDFIG >Sspon.01G0005530-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:12847588:12850588:-1 gene:Sspon.01G0005530-2D transcript:Sspon.01G0005530-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein NPGR1 [Source:Projected from Arabidopsis thaliana (AT1G27460) UniProtKB/Swiss-Prot;Acc:Q9CB03] MSAIMLCTCSGDQSKFEDLPRSPESLATRDFSANCSSSKIASRETTPDDSQVNEVESDLKETLSLNYEEARALLGRLEHQRGNFDAALQVLQGIDIRSLRPRMTSAIAESIKPRTPPRSSRRKSSQVNGMLMHMSMHSVSLLLEAILLKAKSLDTLGRVADAAEECRTIIDIIESAWPYGVPDGTAEECKLIDIFHSALEYLPKLWMRSGCFDEAIIAYRRALAKPWNLDSQRSAKLQKDLAVTLLYCGVEVKFPQGFAQERNLVTPGNNLEEAILLLLMLTRKLSLREIQWDPDLVNHLMYALSLSGHYEVLASHLEMLLPGTYTRSERWYILALCYSAAGMDDSALNIIRNGFSVLERKGKPHVPSLLLGAKLCCKNPKHASEGIKFANKAMKSFRSRDLHFISTAKHFLGVCYGPFSRSSASHLEKSRLEDDALRLLQDAATTAKYNPEIMYSLAWENAMQRKLNAAVESATECLEMVMGGSVNAWKLLILVLSAQQNLQEAEAVADFAMDEAEKNDQLDILRLKAQIQASRGQFKSAVESFRVLLATIQVQKEVWKSTTCNEVKCLQKLEMDSWLDLASIYSKLEAWHDSNICLDKAISIDFFYPKCWHVRGLLLEAQFLQKEALMAFSFALSIDPDYVPAMVCTAGILRNIGGNSLSIARTYLRNALRLEPTHHRAWLSLGLVLKAEGSLQEAADCFQAAYELRELSPIQDFSEQLPTMLH >Sspon.01G0031430-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:107793139:107800216:-1 gene:Sspon.01G0031430-1P transcript:Sspon.01G0031430-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLGLFPSSVAASTAIRAPAPAAPTRASNRQPPHARRLPVLGRRAPAMDALRVSGAAEPLLRPAAGGHPRLRVRTHAQGGGGAGRGASTEGDAAFSWAPVILPFFFPALGGLLFGYDIGATSGATISLQSPDLSGTDWFSLSSLQLGLVASSSLYGALGGSLLAYRIADFLGRRIELVTAAALYILGALVTGFAPNFVALIIGRVLYGIGIGLAMHGAPLYIAETSPSQIRGTLISLKELFIVLGILLGYFVGSLEIDNVGGWRYMFGFSAPLAAIMAIGMWTLPSSPRWLLLRAVQGKASMEDNKKKAIQALRTLRGRSTSEKVLTDDVEDTIVSIKAAYAGQEAEGNVWEVFEGASLKAFTIGGGLVLFQQITGQPSVLYYAASILQTAGFSAASDAAKVAILIGLFKLLMTGVAVFKVDDVGRRPLLIGGVGGIALSLFLLAAYYKILNGFPFVAVGALLLYVGAYQVSFGPISWLMVSEIFPLRTRGRGISLAVLTNFGSNALVTFAFSPLKREYAQFLMVKRRMFCKYYTNIAITTSQMDASAPKLCLASTSLDYYTYSIPNKELIKQQRDRQWKSDHHI >Sspon.08G0014870-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:54954908:54959643:1 gene:Sspon.08G0014870-2B transcript:Sspon.08G0014870-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSHRLPPAAAAVDPYYVYAPHPDPQRQGVLTLFVAGLPDDVKPREIHNLFSHRPAFDHCLLEYTGRGNQAVAFVTFFTHEAALSAMTSLNGTIFDPETGDRLHIELAKSTSRRPRGGGEVYRVIDKRANKTEGNADNENVGDEGDEEVWGEDEDGGNDDIGDGESDEPSGTENENSSDKNELPADQREPGFHVLKMRRHGGMPVAFADFTPEAGGCNMQDIESSTAAMNSLQGTVRKVKDEEKLSCWTVRIGMSSPVFLKVSVGIPAHVTACTLLSCFIRRSVRIENG >Sspon.05G0026670-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:37568804:37578510:-1 gene:Sspon.05G0026670-1B transcript:Sspon.05G0026670-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALTATARRSLASCLSGCLHPALSHLLTSHRSGDAATPSTPLHPASPLFPSHHFPLPQSSGTAAQTLTLFPFGIHLAGAAPSRCGFSSSPSYRVADAGTVLRDAADAAAAPTAPASFPSEVAWIAQDSSLSVAAAQHLIDAVHSFTGLNWWLSIAVSTVLLRYVLFELMFSVRKQAYVLIQETLAVKKLFDSADDEESKVEVIKDHFLCIKVRETVVSPACLRELAWISNMVEKLPSLKEGGAFWFTDLTAPDALYIFPAITALSLMIRLELSQHYSRRARSHSTDVLRMLFALSFPITASLPQAISCYFATWTFATLTQMIVMNQPAMKKLLFSKLNKPRCPSSDGSAGPTADDSSRNVDEHKHLPPERREASDSSDDQVRDQPDEKSEKGN >Sspon.03G0004290-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:11444912:11459515:1 gene:Sspon.03G0004290-4D transcript:Sspon.03G0004290-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAAPPTSLSLAARAATRAATAAAAVPLRRGGLAAACQPARSLAFAAGDARLAVHVASRCRQASSARGTRAMATMAKKSVGDLTEADLEGKRVFVRADLNVPLDENQNITDDTRIRAAVPTIQYLLSKGAKVILSSHLVQKADDVIGPEVEKLVAALPNGGVLLLENVRFYKEEEKNEPEFAQKLASLADLYVNDAFGTAHRAHASTEGVTKFLKPSVAGFLLQKELDYLVGAVSSPKRPFAAIVGGSKVSSKIGVIESLLEKCDILLLGGGMIFTFYKAQGLSVGASLVEEDKLDLATSLLAKAKEKGVSLLLPADVVIADKFAPDANSQIVPASAIPDGWMGLDIGPDAVTSFNAALDTCQTVIWNGPMGVFEFDKFAVGTEVQKADDVIGPEVEKLVAALPNGGVLLLENVRFYKEEEKNEPEFAQKLASLADLYVNDAFGTAHRAHASTEGVTKFLKPSVAGFLLQKELDYLVGAVSSPKRPFAAIVGGSKVSSKIGVIESLLEKCDILLLGGGMIFTFYKAQGLSVGASLVEEDKLDLATSLLAKAKEKGVSLLLPTDVVIADKFAPDANSQIVPASAIPDGWMGLDIGPDAVTSFNAALDTCQTVIWNGPMGVFEFDKFAVGTEAVAKKLAELSTKGVTTIIGGGDSVAAVEKVGVADVMSHISTGGGASLELLEGKELPELSHWMKPSLWLYEASFHFVSSSSSRAIVYLLHSCLLLVSRSKCKRSMCL >Sspon.01G0000890-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:2947809:2952568:1 gene:Sspon.01G0000890-4D transcript:Sspon.01G0000890-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARFLGAGEEREEFFDSREVLSPASVASSPSSSGRHDDGGWLFAQQLLEVWVRDPGSVHERRQRFVKSLGLLDPSPYAARPGEETCSKPEASQEILPASPSAELFSAAPTFVSRGGEPTSSGDDGAATEERLECVFKNLDDGTVFVVDEMGKDGSFRSLRERRSNRTVTAAEFEQTFGSSPFIRELMRRVDDSDEPSTPEKTVMRRRRRRRLGWLRRLGIGVCVVDADAEEDDEVNSTSSASSRGCSRKVDRVKVRPYKKRSKELSAVYKGQVIKAHEGAIVTMKFSSDGQFLATGGEDGVVRVWRVVEGKRPDDRDFIEDDPSCVFFTVNENSELAPINSCEGGKGKHSKSSKGATDPACVVIPHRTFALSEDPVHEFRGHHDVILDLSWSKNRELLSASMDKTVRLWKIGCDSCLKVFSHNNYVTCIQFKPTNDNYFISGCIDGMVRIWDVPRCLVVDWVDSKEIITAVCYRPDGKGAVVGTITGNCRYYDASENHLELESQVPLYGRKKSPLKRIIGFQYCPSDPKKLMVTSGDSQVRILDGVHVVSSYKGLRSSSQVPAAFTPDGDHIISASDDSSIYMWNYANQIAPVTSRVKTVWSYERFFCNDVSVAIPWNASPAKSSISLACNIPSSRQEVSEEFHNLQDSTSCGNAEDSLEGDSLYQLPSGNFTLSNAFFAELAPRGKATWPEEQLPSNSVTPSSSALRKSQYKFLKTSCQSAATHAWGQVIVTAGWDGNIRSFQNYGLPMQV >Sspon.03G0004220-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:17658069:17660271:1 gene:Sspon.03G0004220-4D transcript:Sspon.03G0004220-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKHRIVSLVAALLVLLALAVSSTRNAQEESMALAGGIKDVPANENDLHLQELARFAVDEHNKKANALLGYEKLVKAKTQVVAGTMYYLTVEVKDGEVKKLYEAKVWEKPWENFKELQEFKPVEEGASA >Sspon.08G0010460-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:40806883:40809473:-1 gene:Sspon.08G0010460-2D transcript:Sspon.08G0010460-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MCPRQTLTSRSRPESGGSCLKPTASSENTLQPSMSLASVATALAVVLACCITAGLPGAVAAGDTVSARRPLRGNDTVVSAQGKFELGLFSPGGSGRFYLGIWYKNVPEQTVIWVGNRVNPLSGVASTELRVSSDGNLELVGLSPSSATPGVVWSSNLSSSSSVSSSPGSNVAVMRDNGNLVLLDGGNSSNVLWQSFDHPTDTLVPEAWLGEDKRTGEYQTLTSWRNAEDPAPGMFTNTVDRNGSSEFFYLWNGSRAYWRSGVWTGRVFAKLPEAVNNVLFNQTYVETPAYRRVTSVLYDNATVTRMVMDLTGQTKQFIWVPGSQSWQFFWAAPTVQCDVYALCGAFGVCNQRSQPPCQCPPGFAPAADRDWGLSDWSAGCHRSLPLQCGGNGSTDGFLELPGMKLPDDSLSVAGAQSKAECELACLNNCSCQAYTFSGGGCAVWHDGFRNLQQLFPDDAGGGGSSSSSLYLRLSESELQHLRGANNGKKNRRRRLWLALGIVLACIAALGVSAVAAWILVSRRRRRAEMANQKSSSSLVVYSYGDLRSATSNFSERLGGGSFGSVYRGVLNGDGHTQVEVAVKKMEGLRQGDKQFRTEVNTLGLIQHVNLVRLLGFCSSGDEKLLVYEYMPNGSLDSYLFGTGSCPSWRDRYGVMVGIARGLAYLHEGCRERIIHCDIKPENILLDKGFTPKIADFGMAKLVGRDFSRALTTMRGTIGYLAPEWISALPISAKADVYSFGMVLFEIISGRRNAEGHGAASDDRDGGDRESPSTFFPVWAAVRVAEGDTAAVADARLRGDVSENELERACRVACWCIQDQEAHRPAMAQVVQALEGVVDVDMPPVPRALQHLATLT >Sspon.04G0003410-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:48923655:48933748:-1 gene:Sspon.04G0003410-1P transcript:Sspon.04G0003410-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRAMRLRCLLRTPLWREPAANPAAASSGGDSALVRRLGAPPWPCGEKQRRLCRFYSSKEGVGSAEAAGSGGGGGSGSREQEHARLGERDQQEWLSGERFITGCKRRESPFLTKRDRFRNEFLRRVVPWDKTGVSWNSFPYYVDQNARQLLSECVASHLRHKDVALEYGSGLQSSSGRILLQSLPGTELYRERFVRALANELCAPLLVLDSSVLAPYDCGEDCSESEGEDNHSEVEDKGSESDVDGEGDEESAESDDDDSIKSVADLKKLVPCTLEEFAKRVAGIQESSSAAESSGTAELSEEEKWSLQKGDRVKYIGASVVVEADNRIILGKVPTQDGSKNAYTFISGRTLSNGQRGEVYEINGDQVAVIFDPPEEVADGNEDEAKKEQNAKPAVYWVDSQDIEHDHDTQAEDWHIAIEALCEVLPSLQPAIVYFPDSSQWLSRAVPRSNRREFVEKVEKMFDQLTGSLVLICGQNIVEASTAAPKDKEPKTLLFHNLSRLSPLSSSLKKLVGGLKGRKPSRSSNITKLFKNRIFIPLPKDDEQLRVFNNQIEKDRKIIISRHNLVELHKVLEEHQLLCEDLLHVKSEGIALTKQKAEMVVGWARSHYLSSAVNPYIKGDRLIIPRESLDLAIGRLKEQEASNKRPSENMKILAKDEYERNFISAVVPPNEIGVKFDDIGALEDVKKTLNELVTLPMRRPELFSHGNLLRPCKGILLFGPPGTGKTLLAKALATEAGANFISITGSTLTSKWFGDAEKLTKSLFSFASRLAPVIIFVDEVDSLLGARGGAFEHEATRRMRNEFMAAWDGLRSKENQRILILGATNRPFDLDDAVIRRLPRRILVDLPDAQNRMKILRILLAKENLESDFRFDELANATEGYSGSDLKNLCIAAAYRPVHELLEQENKGDMGSTKTSLRALKLDDFVQAKAKVSPSVAFDATSMNELRKWNEQYGEGGSRSKSPFGFGS >Sspon.02G0043210-3D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2D:84427647:84429187:1 gene:Sspon.02G0043210-3D transcript:Sspon.02G0043210-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MYQGNYILDTSIYQSHDVEDGKDSVVSQSMSLCNVNSLRGISSSSRKKEILEQLQHAVNNLSSMILDLKELVVFLTSYPRMYRQPYSMHLLLSNCMFGRQMEAHHVISFLLDTQLRGFEELEVLPIVGPYGVGKSTLVSHVCKDERICDHFSEIFLLRRHEFTNFDHAKLSRGRENFIGDKISINPIIPFIAEHIPRGSKIIVTSRSDKIVKFGTAPPLYLKHLSPDAYWYFFKTLTFGSMDPETHPRFAQLAMEIARLLNGTFIGANMNACLLRDNFDIQFWHKVVAFFRGVTLKNVSEFGMRPFDLIQQNRPMLVWRMATPSEEVVSYGQFERSAEEEVPKISFQDVFYGNVKPRGKFEILLYRSQIPPYYSYVNSSEIRE >Sspon.02G0033490-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2B:7335433:7335597:-1 gene:Sspon.02G0033490-1B transcript:Sspon.02G0033490-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGMAAPPATGHRARIESCRLVIRIAPASWWGSGRAHRRHAAARDLNGSAAHT >Sspon.05G0014970-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:54971824:54978706:-1 gene:Sspon.05G0014970-2B transcript:Sspon.05G0014970-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTLHAAPASFLAPPAPQLLRTAPPPAPRGALSANAAAHAAVATLSRAWFPLPHRACRRRRRMMRSASSGASATVGSVDDAKKDVLIALSQIIDPDFGTDIVSCGFVKDLEISEALEEFEQKANEVVAALPWVKKVDVTMSAQPAQPTYGGELPEGLQKISNIIAGGVGKSTVAVNLAYTLAGMGARVGIFDADVFGPSLPTMVSPENRLLVMNPENRSILPTEYLGVKMVSFGFAGQGRAIMRGPMVSGVINQLLTTTDWGELDYLVIDMPPGTGDIHLTLCQVAPLTAAVIVTTPQKLAFIDVAKGVRMFSKLKVPCVAVVENMCYFDADGKRYYPFGQVSTAVSYDRSIKAIKVKVPDSDEEFLLHPATVRRNDRSAQSVDEWTGEQKLQYSDIPDDIEPEEIRPMGNYAVSITWPDGFSQIAPYDQLEMLERLVDVPSPTASAVASS >Sspon.04G0000170-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:1382756:1383670:1 gene:Sspon.04G0000170-3D transcript:Sspon.04G0000170-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MCCLHPPGGLALNHWPRSSCSAPPPVASRQPPVTTASSTHAPKLKLKLKLALGQPVPLPQRFGPGPGQGQPPSVRATLLMTTRPDGSGSAAPADKQLVPVSNGNGALAVRKAPSKDRHSKVDGRGRRIRMPIICAARVFQLTRELGHKSDGQTIEWLLRQAEPSIIAATGTGTTPASFSTSSPSSTSPHPLAASPTGGLPHAAAAAPFILGKRFRGDGADAEPTVAAPAPGFWARADFGQLWSFAAAPEMMVAAAAPAMAGEASAARVGNYLPVAQGNLNLLASFSGGPAPTAAAGRTEEETAR >Sspon.01G0054760-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:68365135:68366430:-1 gene:Sspon.01G0054760-1C transcript:Sspon.01G0054760-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHAFAALLHLSRTGGDAAAKSESHPIIITDGRADAAFAEQRRDWSALLDPLDAGLRDELLKYGDLVQATYDAFDRRHWSPHCGTCVHGLRRLLPALGLAGHGYIATAFLYATSDVDVPRWLGRRLHEDAWDGHANWMGYVAVAGAAEARRAGFRDVVVAWRGTISPDEWLLDMKTQMVPFELHAQEDEGAMVAEVFHSIYTSSNAQSKYGARSAREQVTEELARLVTHFRARGEEVRVTVTGHSLGGALAMLAARDAAAAHPDVHVSAVTFGAPRVGNRAFCDGVTSRGVRALRVVVRHDVVPSVPSVPGAVLDAPVSKPLGKLWELSHHSPAWAYIHIGDELELDVAKSPFLKHGSVPGYHNLEACLHLLDGRESAAAGFRDGARRDVALVNKTSGMLRDELRIPEWWYTPANKGLRRDRREVDSGTRAR >Sspon.06G0019880-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6B:5509044:5509630:1 gene:Sspon.06G0019880-1B transcript:Sspon.06G0019880-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKACCTKRKAEVDAATGGVEVPSEGRTSKRREGEPAPGEKHADAVVAGAGGDGEGTLAANVNEQLPGDSTSGDSTSTVSDPSTSDDSTSTSCDSSTSGDSTASDSTDVEEDEVKGEKEVGTRRRKTGRIGEVVQGSNINTEKTDGKERNSMFFWNLARAPTIQRSLLAAWSWKATL >Sspon.01G0023650-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1C:83860051:83861988:1 gene:Sspon.01G0023650-2C transcript:Sspon.01G0023650-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding QKQDLSTVMNLLNIKQYQARALLIHHRWRIDGIYDSLDKGRECMLRNAGIVLQENNSMAAAGSMAPWRTVTCKVCFEDFSMGAVSTMDCGHCFCNDCWTGHFHAAVESGKKQIRCMEVKCSAFCDENLVRFLLGQKYPDMAKRFDRFLLESYIEDNASVKWCPSTPNCGHAIRVGTGERYCEVECPCGLSFCFNCMAHAHSPCPCSIWEKWNAKRSEGENIKWILANTKSCPKCFKAIEKNGGCNLVRCKCGQCMCWLCGGGTGMDHTWTSIAGHSCNRYKEDTRGKTVDTSREQMQRYKHYHDRFKIHGDSYGVEKQKLVATVEERVRLLESDQERPLAIRDGDWLTRAHRRLLVSRQVLSRSYVFAYYMFGGGSELRTRPPATAKRAGAGAAERERASLLGVARNLFEDQQEQLEQHVEHLSRSLAEGDVVAGTPEAEIVRQKQTAVTLAKTVERLCGEMYKCIQDELLTLLVEPMSIAAYRPDGPDRAKELAA >Sspon.08G0008940-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:33553255:33556426:-1 gene:Sspon.08G0008940-4D transcript:Sspon.08G0008940-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPASLAARLLRRTATTSSSPFSALARRGLNSSAPVPLPRRLPAATSSSAGTTARRFLASQSPASSYASKSSADENLRRVIESEIECVVQSEESTADKHIDLPDDFPFEIIDNPGDQTITLQREIAGETIKAAIYTNFDTEEDLDDEDDMSDKDEESFKPAIQMVVTIQKPEGPILEFDCNFNDDELAIENMRVLNRDNPDAENVYEGPRFPVLDESLQKALHRYLEVRGFKHSLHDWLYEYMMRKDEK >Sspon.02G0007690-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:16480833:16485552:1 gene:Sspon.02G0007690-4D transcript:Sspon.02G0007690-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARIKPKQLLIQSKTKKAPSRISYSTIITWNLIVILVVLSLYATYSHWHQRSAQDFEMELHEAEVMNTAKGPITIEIYKDASAGVVDRFIDFKSNHFKGMPFRHIIKNFVIQGGDFDFDGAAQEWITKAKASGKNDLSPKHEAFMIGTAKNPNNKGFDLFITTAPIPDLNDKLVVFGRVIKGEDIVQEIEEVDTDEHYQPKAAIGIINIMLKQEP >Sspon.08G0002280-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:28213805:28214617:1 gene:Sspon.08G0002280-3C transcript:Sspon.08G0002280-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTLNLSTNVPVDAVVAADILKDCSKTVARIIGKPESYVMVSINGSVPMSFAASEEPAAYGELVSIGGIGPGVNGKLSAAVAEVLETKLSVSRSRFYIKFDDVQRSNFGFNGSTF >Sspon.04G0000310-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:1605955:1609985:-1 gene:Sspon.04G0000310-2C transcript:Sspon.04G0000310-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPGQSGTEQTSPRRNDWEVVQLTASAYAAAPAPRRPEPSEEAEAKKYGAKGDDLAAGLLMSGHFSVSQSEVESLLVGADSKEAPKELGSPDAVSNEGRDEKYQETCKHKQDDLPSIPSFDKGKDVSLGDMAFDDGKALKGMSLVGEEPVGFSSPIFSSIEAENELSWSATESRNEKKTEEPTLHNLNPITGSSKVVSSDEQSEPAGSGPREAWWKKQLFSLYKNAKESHNFWPIVATAAALVGLAYLGRRWHKGKLQFQPVKIPPSSNKEKINDAVGPLNRFKDILVAGNHPSHGIHGHARAS >Sspon.01G0007690-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:20282732:20284423:-1 gene:Sspon.01G0007690-1P transcript:Sspon.01G0007690-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding PVQVHMQYLEAGADIIISSSYQATIPGFLARGMSVAEAEDLLRTSVKLAVEARDEFWKSALRKAKPIYNRALVAASIGSYGAYLADGSEYSGSYGADITPEKLKDFHRRRLQVLASAGPDLIAFEAIPNKMEAQALVELLEEEKVQVPSWICFSSVDGKSLCSGESFADCLKILNASEKVAVVGVNCTPPQFIEGIICEFRKQTKKAIAVYPNSGEVWDGRAKRWLPVECLGHKSFDALAKRWQEAGASLIGGCCRTTPSTIRAVSKILKGRTGH >Sspon.03G0026940-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3C:12711443:12711712:-1 gene:Sspon.03G0026940-2C transcript:Sspon.03G0026940-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTTWRRRGVGVAQPSSNSLSSAMARRQRARADALRATSAAAIAHVAAAQPAATPSPTRPIPGPPRLQARGAITASQRARAVGRSLPLL >Sspon.07G0003560-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:8116537:8120514:-1 gene:Sspon.07G0003560-2B transcript:Sspon.07G0003560-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heat stress transcription factor Spl7 (Heat shock transcription factor) (Heat shock factor RHSF10) [Source: Projected from Oryza sativa (Os05g0530400)] MEGSNSHGGGAGGGGGTSSPPPFLIKTYEMVEDPATNHVVSWGPGGASFVVWNPPDFSRDLLPKYFKHNNFSSFIRQLNTYGFRKIDPERWEFANDDFIRGHTHLLKNIHRRKPVHSHTLQTQVNGPLAESERRELEDEINRLKYEKSLLLADLQRQNQQRCGINWQMQALESRLVQMEERQRNIVASLCDILQRHGVVPGSATTLMETAADHFSKKRRVPKIDFFVDDEPKVEEQQVPLFLQTLGAAETPGMSPIRLLNAEPFEKMELTLVSLENFFQRATHTPAQDMYAGGAAEPPSPALSLGEMLSVSAPMDTSINLQSPACQNPFASTSGQDQSSCPLAEAEPPSYAQSPMQPMAQLHEYAHRTAEVDMNSDTTTGDTSQDETTSETGGSHVPAKVNDVFWERFLTDEEGKIEAKEDVKAAVDRSCLRLQDNVDQITEQMGQLDSAENDFMHPELLISQH >Sspon.04G0021150-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4A:74057486:74058783:1 gene:Sspon.04G0021150-1A transcript:Sspon.04G0021150-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEGDNKKMITLRSSDNEEFEVEEAVAMESQTIRHMIEDDCADNGIPLPNVNSKILSKVIEYCNKHVHAAARPADAADANAAAAAGGGEDLKNWDAEFVKVDQATLFDLILAANYLNIKGLLDLTCQTVADMIKGKTPEEIRKTFNIKNDFTQEEEDEIRRENQWAFE >Sspon.01G0036800-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1B:19718658:19720565:-1 gene:Sspon.01G0036800-1B transcript:Sspon.01G0036800-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSRAAIRMKHPSFSVSVTTASQLHDAIDRLLPILRADASHASAARAIAAAVASFRPPSTLLSNRILHLLSSHPATLPDALALLSSLPSTDVCSYNTLVAALGRSPRGLASARALFDRMPRRDHFSWSAIVSAHARHGQPRAALAIYRRMLREPGSAGADNEFTASSALAAATAARCARAGRELHCHVVRRGIDADAVVWSALADMYAKCGRVDDARSVFDRMPVRDVVSWTAMVERYFDAGRDGEGFRLFGRMLRSGIQPNEFTYAGVLRTCAEFTSEKLGKQVHGRMTKSRAGDSCFAESALVHMYSKYGDMGTAVRVFRGMPKPDLVSWTVMISGYAQNGQPDEALHYFDMLLRSGFRPDHVTFVGVLSACAHAGLVDKGLGIFHSIKDEYGIEHTADHYACVIDLLSRSGLFERAEEMINTMSIKPNKFLWASLLGGCRIHKNVRLARWAAEALFEIEPENPATYVTLANIYASVGLFDEVENTRRIMELKRITKMPASSWIEVGTRVHVFLVGDKLHPQAEEIYVLLKKLYLKMREEGYVADTGFVLHDVEDEQKQQDIGYHSERLAVAFGIIATPKGAPIKVFKNLRICGDCHTTIKLISKIVQREIIVRDSNRFHHFKNGSCSCRDYW >Sspon.07G0004960-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7A:12580782:12581399:-1 gene:Sspon.07G0004960-1A transcript:Sspon.07G0004960-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding NQNQASAAAVRAAPAPATLQTPGPPAPPAAALRRPCDPPEAVLAPTPAAAPAPTPPRNGRPEPERPLPDPPPPPLPDPPPPPPPRPPLQDAPWKWVATQSSASASAQSSLVPPLIAVPDAVAARCVEVEMGKAPVTRPAGRGVFLPAEARDVASWGAVTLQPSSSNLAGRGRAPWAVALRGYTPMLTETRGQVRFRLLFSIGEDA >Sspon.01G0022720-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:82724540:82728339:1 gene:Sspon.01G0022720-1A transcript:Sspon.01G0022720-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ALEAARPARTSENKEGANEVGEEEGEDVEEEEEEVVEEEEEEEGEEEEEEEEEEEEEVEEEEEEVDMQMQEADGTIGNQAKKYKEGGEDNKRDAGEENNMRDADEEAVTTKVSAGQGKEAEGEEELDGYAWWDGEEQDTEEEEMVEKMTKKQRRGRRGLPAGGIKEFAEACGRMDAGTLVEIVICVSVNKKLLFALHLAPDPAALVLQVVKLLLSSKDFKCSKVWGKCIRLFRWLSMNSVKHSADTTEQAKLVAKDWKEMIGRPECCGELDSLARGLLQFLISYNIVSEFNIHEIISIFAMVPRKGHQKKKNNTDNVKLCEDLGLADRATDLIDYMIGNGQHLEVFHVLQFFNLEDKYPPFSLLKGYIEKAKQTSVELFRKIETHKSLNLAIPKEIWIAHQLAEHKLSDSSQRSAI >Sspon.08G0001870-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:4128957:4134631:1 gene:Sspon.08G0001870-3C transcript:Sspon.08G0001870-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DETOXIFICATION 15 [Source:Projected from Arabidopsis thaliana (AT2G34360) UniProtKB/Swiss-Prot;Acc:F4IHU9] MGGASVGGATEAASSPLLTPRSAPRPAVGAEVRRQVGLAAPLVACSLLQYSLQVVSVMFAGHLGELSLSGASVAASFANVTGFSVLLGMGSALDTFCGQSHGARQYDMLGTHTQRAIIVLMLTGVPLAFVLAFAGQILIALGQNPEISFEAGLYAQWLIPGLFAYGLLQCLTRFLQTQNIVQILVACSGLTLLLHVMLCWLLVQGFGLGHRGAALATSISYWFNVALLAVYVKVSKAGRRSWHGWSREALKLKDAKVYLKLAIPSTFMTCLEYWAFEMVVLLAGFLPDPKLETSILSVSLNTMWMVYTIPSGLSSAISIRVSNELGAGNPHAARLSVYVSGIMCLAEGLFVAIITVLVRDVWGYLYSNEDEVVKHVSIMMPILATSDFMDGIQCTLSGAARGCGWQKVCSVINLFAYYAIGLPSAVTFAFILKIGGKGLWLGIICAMAVQIFALVVMMLRTNWNEEVTGIVDLVVAGLCESLGSRH >Sspon.01G0052990-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:30968396:30973123:-1 gene:Sspon.01G0052990-2D transcript:Sspon.01G0052990-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNAKVVLDPAFQGAGHKPGTEIWRIEDFKPVALPKSDYGKFYSGDSYIVLQTTCKGGAYIFDIHFWIGKDSSQDEAGTAAIKTVELDSMLGGRAVQHREPQCYESDKFLSYFKPCIIPMEGGFASGFKTPEVDKFEIRLYICKGKRAIRVKEVPFARSSLNHDDVFILDTENKIFQFNGANSNIQERAKALEVIQHLKEKYHDGVCAVAIVDDGKLQAESDSGEFWVLFGGFAPIGKKTISDDDVVLETTPPKLFSIANGQLKLEDTALTKSILENTKCFLLDCGAELFVWVGRVTQVEDRKAASAAVEKFIIKENRPKTTRITQVIQGYENHTFKSKFESWPASSTAGSASTEEGRGKVTALLKQKGVDVKGITKTSVPVNDEVPPLLDGGGKLEVWCINGSVKTALPKEELGKFYSGDCYVVLYTYHSADKKEEFYLTYWIGKNSVQEDQEAAFQIANTTWNSLKGRPVLGRIYQGKEPPQFVALFQPMVILKGGTSSGYKKFVEEKGLKDETYCADGVALIRISGTAVHNNKTLQVDAVPTSLSSTDCFVLQTKNSMFLWNGNSSSFEQQQWAAKVAEFLK >Sspon.02G0059620-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:96228537:96229902:1 gene:Sspon.02G0059620-1D transcript:Sspon.02G0059620-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SMQRLTSLRTLNLCDCGALTQLPEWLGELSALQTLWLQSCRGLTSLPRSIQRLTALEELLVSYNPELLRRCRAGSAVVTYICINFGKQPLQS >Sspon.08G0001120-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:1172883:1175558:-1 gene:Sspon.08G0001120-2B transcript:Sspon.08G0001120-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRPLQTHQPHHHPGPPFLRPWTPPRAAGQPCPRHGSGSPSPTTAARLLVAVAIVAAFLVAAGDASSVGFDLHHRFSPVVRQWAEARGHPFAAQDWPSRGSPEYYSALSRHDRAILARRALAGGADGLLTFAAGNETVQYIGSLYYAVVEVGTPNATFLVALDTGSDLFWVPCDCKQCASIANVTGQAATRLRPYSPRQSSTSTQVTCDNALCDRPNACSAATNGSCPYEVRYVSANTSTSGVLVQDVLHLTRERPGAVDEALQAPVVFGCGQVQTGSFLDGGAFDGLMGLGMEKVSVPSVLASSGLVASDSFSMCFGDDGVGRINFGDAGSRGQGETPFTGRSTLYNVSFTSINVGTKSVAAEFAAVIDSGTSYTYLADPEYTELATNFNSLVRERRANFSSGSADSFPFEYCYSISPNQTEALIPDVSLTTKGGALFPVTQPFIVLFDTATRRAVGYCLAIMKNDLGINLNIIGRKPSPPFAIVSSCFAWVDLIDSELHARAENFMTGLKVVFDRERSVLGWEKFDCYKNARVADAPDRSPSPAPEAGPTKITPRQNDAYPGAAPLPRSAGSRNAAASALGAGGLSLLILAAAALV >Sspon.02G0009360-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2B:24937317:24937937:1 gene:Sspon.02G0009360-2B transcript:Sspon.02G0009360-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKQLCEMHRARRRARIIATALLVASVAIVAATVLAVYLVFRPLKPQASVVRAAVYHMAATAGNSSEGRGPPYTLAASVRFTTLLHNPSDRATVFYDSLFAYVTYRGEMVAPPVPLPGVVQERGADVALSPRFGLGGAVPVLVSADTAQALKGDCAAHRVELLLVVMGRVKYRSGPLMTRWRGLYLRCDVTVGLGVDATVGGDEAGD >Sspon.01G0033190-2D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1D:111708981:111709314:-1 gene:Sspon.01G0033190-2D transcript:Sspon.01G0033190-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VARQIHPTSPRRHSLATASPPCLAEGIATELRLPSPPSATIPARSPRIRQSVGVFTGPQPTSSATWPTSPVTGAPPWSSSVAGSTTDTAGELDPSGTGGLGQRHLRIHRA >Sspon.02G0009860-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:10008504:10011766:1 gene:Sspon.02G0009860-4D transcript:Sspon.02G0009860-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LQEALEAHDGASKGKYTIGLGQDCMAFCSEVEDVVSMSLTVVASLLRKYNVDPKLIGRLEVGSETVLDKSKSIKTWLMQIFEESGNTDIEGVDSSNACYGGTAALLNCVNWVESKSWDGRYGLVVCTDSAVYAEGPARPTGGAAAIAMLIGPDAPISFERKYKASHMAHVYDFYKPDLASEYPVGFSHVVDGKLSQTCYLMALDSCYRQFCTKYEKLVGEQFSISDADYCVFHSPYNKLVQKSFARLFFNDFMRNCSSVDNDAKEKLLPFANLTSEESYQSRDLEKASQQVAKHLYDIKVQPSTLLPKQIGNMYTASLYAALASAGQRIIMFSYGSGLTSTLFSLRLHEGQHPFSLGNIASMLDVTAKLNSRHVMSPEKFVETLKLMEHRYGAKDFKTSQDTSLLPHGTFYLTKVDSMYRRFYDKKASDDIDSRKTKVSNAVANGQ >Sspon.01G0060390-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:78070033:78072813:1 gene:Sspon.01G0060390-1P transcript:Sspon.01G0060390-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSPAVTAELWCPPHLAAGGGRQVEATSAVTEKSSGGRVGGSAVRRRPRETPASEEDSSRIVSTSGGGGQDLTDPEAKRSKTNKSSNNNGSLRTEAETDSRSAGKAVSKSLPAAEPPKQDYIHVRARRGQATDSHSLAERARREKISERMKVLQDLVPGCNKVIGKASVLDEIINYIQSLQCQVEFLSMKLEAVNAHANQGVEAFPVKDYGAQTYNTAPGLTFDTQTSREYAQGTSTSEWLHMQIGSGYERVS >Sspon.03G0008130-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:6888218:6891949:1 gene:Sspon.03G0008130-2P transcript:Sspon.03G0008130-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADGNGAAAAGGDTRAAFAQIYKTLKEELLTDPAFEFTEESHQWIDRMVDYTVLGGKCNRGLSVVDSYKLLKGADTLGEEELFLACILGWCIEWLQAFFLVLDDIMDDSHTRRGQPCWFRVPQVGFIAVNDGIILRNHISRILRRHFKGKPYYADLLDLFNEVEFKTASGQLLDLITTHEGQKDLTKYNITVHGRIVQYKTAYYSFYLPVACALLLSGENLDKYGDVENILVEMGTYFQVQDDYLDCYGDPEFIGKENYGKKDPACVAKVKNLYKELSLEAVFQEYENESYKKLIADIEAQPSIAVQNVLKSFLHKIYKRQK >Sspon.08G0013830-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:53243549:53253574:1 gene:Sspon.08G0013830-2B transcript:Sspon.08G0013830-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNMNGRLPWQRPSPGDSDHGDMSSKKYRHDKRVYLGALKFVPHAVYKLLENMPMPWEQVRHVKILYHITGAITFVNEIPWVVEPIYLAQWGTMWIMMRREKRDRRHFKRMRFPPFDDEEPPLDYADNLLDVEPLEAIQLELDEEEDAAVYEWFYDHKPLMKTKLINGPSYRKWHLSLPIMATLYRLAGQLLSDLIDRNYFYLFDMESFFTAKALNMCIPGGPKFEPLYRDMEKGDEDWNEFNDINKLIIRQPLRTEYRIAFPHLYNNRPRKVKLGIYHTP >Sspon.07G0037420-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7D:53482030:53486714:1 gene:Sspon.07G0037420-1D transcript:Sspon.07G0037420-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGSLENHLFRSEPRRSSIPGAAAALCLLLFSLLAPTTTVATSQSISGEETSNGSCIAAERNALLAFKVGITSDPSRRLRSWHGQDCCRWHGVSCSTRTGHVVKLDLRNDFFIHDLRAVLRHLKHLDLSGNDLGGNMPVSLKSLAYLDLSNMNFSGRVPPQLGNLTKLDLYLMETNITGVTLEALLNLTNLSMLDISDNYLGGSVPAEIGTLKQLTELYIAGWITSQLGSARYLNEPESELGSARYELELAR >Sspon.03G0010350-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:42868305:42877714:1 gene:Sspon.03G0010350-3C transcript:Sspon.03G0010350-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VVGSCKARRYLGNMRKKHPVPFTQKFPGIDPMALHLLERLLAFDPKDRPTAAEVVAISARSGSFKTDITIVEFKALTDPYFTGLANSEREPITQPISKFEFEFERRKLARDDVRELIYREVLLVHPQMLQEFLGGGDKANFVYPRCVRVDSGDDFEKPSADYCIRLHVCEPLSSARNFLKSESISASQCVVIKQKRDKDVSAIHKGLLNSKPDSLKVHTSFI >Sspon.05G0015040-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:5A:57650475:57650750:-1 gene:Sspon.05G0015040-1A transcript:Sspon.05G0015040-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAWRAAGPRERRRAVLRFAQPMMLLAAGCSIMGTFFLLLSMVDAIQLKFGILSCGIPLAVGATFALSALVVGGLLFYGSTVAYALTHYLP >Sspon.02G0012860-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:31902625:31906718:1 gene:Sspon.02G0012860-2B transcript:Sspon.02G0012860-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTRSTTAVPELSLPSGNARPVPEATKNAVLAAIELGFRHFDTAYVYGTEKPLGEGVAEAVRRGLIKSREESRNLQMEYLDLYLIHMPVCRKPGPPVLPARREDALPFDFKGVWQAMEECQRLGLAKAIGVSNFTTKHLDKTLPFATITPAVNQVEVNPVCQQPKLRRYCAEKGIHVQAFSPLGGQSWTGERNAVLESEVLAEIAKARGKTVAQVSLRWVHEQEVSFVVKTYKQERLKENLEIFDWELTDEDRFKISQIPHHKKFAGFAYIFKPEGEFTSVDISEINPEED >Sspon.05G0012450-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5B:32348269:32355317:1 gene:Sspon.05G0012450-2B transcript:Sspon.05G0012450-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRSPELRWLGSLTRPGRLAPSPLAALASPRRRRRAPSPSQPPSSPSPSDSSTPSTAPASAGKSPLRRSRCRSSGDGEMLSLRVCFSYLVGAGRWAFPAGVPGAEGLDGPEWKKVSAKRFGIKESMIPAEAWNVLHRLRSRGASPNSMALLVFGYDVYLVGGCVRDLIMKKTPKDFDIITTADLRQVKDTFSGSAVIVGRRFPICHVHENNSIVEVSSFYTSARGSSGSQIYNSKSQNCSKNDFVRWKNCQGRDFTINGLMFNPYSEKIYDYLGGIEDIKKAKGRILMEMNYMLAYGSAEASLRLLWRFGLLEHLLPFQAAYFSSTRFKRKDKGTNMLLVSFILVHYVIPQHIQLACYCYRISLLAFHEALARRPCDPLVVATFALAFYLGGNMSLAVDIGKSINRQHDTGFRELLEPKVWTDKHLAGEVRSFAALMKRALTEMTDECHVANAMAKIPQAPSSDLVFIPLQAYLKVLKFIESVQYGKKERGYEPKRDGMINYHNLSNGTHAEITNLFTLVVFDILYPTDMEDEDGCSS >Sspon.01G0035670-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:13083459:13084689:1 gene:Sspon.01G0035670-1B transcript:Sspon.01G0035670-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTVTLEKTTTIQSDDAGVVGSPKTTMTSPLLMKKGAKAGAKAKGRCCGHRCELVSYDKLPEFLKHNEFIVDHYRSEWPIKEALLSAFSVHNETINVWTHLIGFFVFLALTVCAATMVPTEYESPHMAATSFTGLSNITGNAMVLRSYSADRAILAMTMKAFRNGSVVETEAAAVLSAGAAGHGRVPRWPFYAYLCGAMFCLLMSSACHLLACHSEHASYVFLRLDYAGITGLIVTSFYPLVYYTFLCHPFYQALYLGFITVSGAAAVAVSLLPVFERPELRWARAGLFACMGMSGLVPILHKMLVFGDRPEALLTTGYEMAMGAFYLAGVVVYATRVPERWMPGRFDLAGHSHQLFHVLVIAGAYAHYLAGLVYLGWRDMEGC >Sspon.02G0036710-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:30216068:30222816:1 gene:Sspon.02G0036710-2C transcript:Sspon.02G0036710-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPRSPLPAVLPVLLLVLLAAGGAGGVEVLAKSLLESCVDDSGAGGRLSCDRKVVVDMAVPSESSGGEASLVAQVAHVNDTDQTKTIRNPPVITVNKGAVFALYALDYIRDVAYKPEEQFVETRKCEPDAGADVVGACESLFAVPVVLTAVYLHLVETFLRKFSKEKLIRLTVYDFQVTGTFHVFGIGTRSLGFNIRVQVKKGSSVSEVVVGPENRTVVSKDNFLRVNLIGDFDSYSSIPSFENFYLVTPRKGAGSGEPQNLGAEYSKWMLLERVRFTDGIECDKIGVGYQAFQNQPYFCASAFGSCLYNQLWTFLESDKNRINRSQQPQYVVQGRFQRINQHPVMFPSLFLSCSHLLTLDQVFDTPPTQNASVHTFSIGVTEVRNSNLRIELSADDIEYMYQRGIFVDHGLTHFKLASTYRFIFIRRMSNMLYFHIRSPGKITNISVPAFEALSQYGTAKVTTKNIGKLEASYTLTFNCLSGISFVEEQYYVLKPDEASTRLFYLRTSTDKAAKYQCTGALKIAVQLSINCVDNASDFSELDRQECLFSTMATVLDNGTQKGFFDPVYDWWEDLLGLDDHTHRRHKKGRRRRRRHHHHQHYHHHHGHSHRHGHHPHSHHDAHQRSKSEPSHHHVLHRQQPEAAAEGHRHRHDPALGVQHRETGHLGHKRRHGKAVVAEDALEFREQTQRRPYE >Sspon.01G0015960-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:49385551:49389308:-1 gene:Sspon.01G0015960-4D transcript:Sspon.01G0015960-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transferase family protein [Source: Projected from Oryza sativa (Os10g0195600)] MVSFKARRSEPQLVSPARPTPCETKPLSDIDDQHLLRYYETVVGFFRNCPGRRTDRPADLKGTFKAALAEALVYYYPVAGRLREETGGKLVVDCTAEGVVFVEADADVRLQDFGEPLLPPYPCLDQLLCDPGDVKAVIGRPLLFMQVTELKCGGFVVGFHMCHNIADGFGMIQLMTSVTELMVKASPSLLPVWKRELLTTAHNTTPIPYPNPAYKPLLNSLDSTSDDVMLSIPPNCMVAQYFVFGQREVGALRSHIPGHLADSTTSFELLTAVMWRCRTIALGYEPSQRVRLMVTMNARGRWNRHTLIPWGYYGNAHVSPIVELTVDDLCRQPLADTVELVRETKLSVTKECLASMVDTTAYIRQWPPLTMDRTYEVSDTRWIAAGKGLQLGWAEYVGGGIPLAGDLTSKLGSHHMRCQNEDGEDSTVVSLLLPRPAMERFKKEMAVWLNKHDKNL >Sspon.03G0024970-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:94480382:94484581:1 gene:Sspon.03G0024970-2C transcript:Sspon.03G0024970-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALKKLLQLFCVGKKDSNKKGKSIDPLWRASASRSSVSVTANKTHLDPCSSGTGTVCVQNHEPECSSMVSSWARTEPGTENTYHMSFSQFDVVQDFSDHHYARTSAGKVTKDWVKAIQSEWNLLQKNLPESIYVRVYEDRIDLLRAAIVGPAGTPYHDGLFFFDVRFPSEYPKCPPHFETLVMCHFHEHERAILDACSAYMSGTIVGSSVGSIHKYARDKCFADFHKSLTLYTEHLRTEFAANRRRVLELEREASAVQVQEIVPSS >Sspon.05G0014210-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:50477240:50477598:1 gene:Sspon.05G0014210-3D transcript:Sspon.05G0014210-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVAVGQGIVKISTGKLVSLSEQELVDCDVHDEDQGCNCGEMMDDAFKFIIKNGGLTTKSDYPYTAQDGHAATIEGYEDVPANDEAALMKVVASQPVSVRWTAA >Sspon.05G0022580-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:7826642:7827544:-1 gene:Sspon.05G0022580-2D transcript:Sspon.05G0022580-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPVRIVDVSYVAVPGKAALTPEAIIKLNAMEAQWLIVPHLQHLLLFSGDQLPPFDTAVQSLRSSLAATLATFAPLAGKLVHLTDRTPATSPSAARPPPTASGSWSRSPMPTSADSRATRSTTCRPSSSSFRRWRWASCPRRCWPCRPRVSGEAGWPSVSRCTTASPTGGRCGGVGGGGPGPPPYFDRSRVSLPGGEELARRVLRKYAPNLPLRGPDGPAHERVRVAGLQGVRGLRLRVGEPTADGEREDEPRRAVGLVRARDGDGVQVSVSVLRRAHMDAFKSEFLGLLT >Sspon.03G0012500-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:44556583:44560969:-1 gene:Sspon.03G0012500-2B transcript:Sspon.03G0012500-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEVEDDAAAAGAPLVANGAADVRRRRDQAKAILSKQAVKIATKAEQHERFIFKVTHLLGVLGFGGFCYLLGARPQDVPYVYCLFYVIFVPLRWIYYRYKKWHYYLLSFFSTFVQDFCYYANTFLLVMILFYPKDEKLFMVCFSFAEGPLAWALIVWRCSLVFSSFDKLVSVLIHLLPGIVLFTIRWWNPQTFAAMHPEGRDARDTWPYVEDKSYLWTWLFFVPLAAYTLWQLMYFLIVNVLRRQRLLRDPEVMTSYRELSKKAQKANNIWWRLSGLLGDRNRQVMYILLQALFTVATMALTVPIFLSYRMHVVFLILKVCASTWNGGSFILEVMPRQVVQKQQKKLDMKPIEQGSSTQGAPGDDGTLGNHHQHTSEEQIQE >Sspon.02G0034330-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:15072221:15072817:1 gene:Sspon.02G0034330-1P transcript:Sspon.02G0034330-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQDDYLSLCLAALVAACQQAGADDDAAPLRASAASSELPLHFRCPICGKAFASYQALGGHKASHRKPAAAAAAAYDGRAPSSSSQHQKGAAEASSSSGSGGGGGAGRHVCTVCHRYFATGQALGGHKRFHYLHGPSVPASLPPSSTAGASAGWLDLNLTPLAQDVSFAGVRRRGEDDEEVQSPLPLQQAKKHRRASNS >Sspon.07G0010790-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:38595448:38597943:1 gene:Sspon.07G0010790-1P transcript:Sspon.07G0010790-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHPPPPPVVQNISLRFSESFSLQGTGTTGAFTTPPALPSPPRTGATRLLLAIIHSFMAKLVNKLVDSFDHDDTTPDVGCVRAVLAELVLTFLFVFTGVSAAMAAGSGGKPGEAMPMATLAAVAIANALAAGVLVTAGFHVSGGHLNPAVTVGLMVCGHIAKLRAVLYIAAQLLASSLACILLRYLSGGMVTPVHALGAGISPMQGLVMEVILTFSLLFVTYAMILDPRSQVRTIGPLLTGLIVGANSLAGGNFTGASMNPARSFGPALATGVWTNHWVYWIGPLLGGPLAGFVYESLFIVNKTHEPLLNGDI >Sspon.08G0017340-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8B:1357144:1366486:1 gene:Sspon.08G0017340-1B transcript:Sspon.08G0017340-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCPCSDCKNSKRKLPREMWKDIHKNGFMPNYTRWTLHGERDRMAAEDVRARLEDFDEDAGVADMMVDINEACDNEGSVEEDPEETAKAFYLMMDSAQKPLHENTTMSQLDGIGRLLALKSQLSLSRDGFDLVLKVLGTMLPEGHTLPKNTYESQKLLGALKMPYESIHSCPKGCVLFRGDDLKEATHCPKCKASRYVQVESSDGNKKQSKIPEKVLRYLPVLPRLQRMYMTEESAKQMTWHKKGKRYSDKMVHPSDGEAWKYFDEQHPSKASEARNVRVAFATDGFNPYGMMAAPYTCWPVCKASVMFTWLDKGGKYSSFDKHRQFLDDDHEFKRDIKHFTKGVEVTDTIPQIMSGAQVLAELEALEIDDKVGFKKYGVEHMWTHISGLTRLPYFKDLLLPHNIDVMHTEKNVAEALWATLMDIKEKSKDNVKARLDVEQMCDRPKLVMKAPAPGKNWRRGPADYILKRADRKEVLEWIKGLRFPDGHDFHIWIERLLPTMTRGYLPDPVWRVLAELSYFFRQLCARELSRAVILDLERVAPLLLCKLEMIFPPGFFLPMQHLILHLPREARLGGPVQARWCYPIERCLKILRKKCRNKAKIEASVAEAFIVEEVSNFTTTYYTENLPTGAPPRYNADESSSTLSLFKGQLGRGSVGTHKQLRDREWRTIMLYVLMNLDEVEPYRMKFVKEQWKGRRAPTIQEEDNLLKNAVPDFISWFRTKARTDAEMDGELKQVARGFATRVKSFTVYDVNGYRFRTRSHEESRPNLKTTCTGVRTPGNDDRDYYGIVEEIYELDFEGPQALKPVVFKCHWFDPEVIRRADDIGQVEIRKDSVYKGEDVYIVAQQATQVYYLPWACQKDKDLEGWYLVHLVSPRGKAPLPNDDDYNYDQQDNSGEFYQPEGLDGRLEIDILSLMGMEGDNDVDEDEGEVVQDAKDLIMLEEWRAQRDRHVVEDDGDKMPGGRRPRRKGVASTFMRHDDTDDTDLQPQLESSTSRDRGRGQVQVTTSSSPMAQPEEEHFEGDHVGDEDEEPVVEAASSSSSSKLYAWGITRLPDRAPAPHARALITPCGDRNFVKVDATESRHITSIQGLLIKKHYPGLVVHKGKEEPPFYWPHFSSVEANGTTLAQVIKAEFWEWFVCEEGKEVEAAKVQDKKVTKKQAREMIMLPDTDITREQYMQFPADWCKSHMDCWAQIVDSWQRPEAYVERRKRRVGVTAPGSRPARLSGKTTSTLTFLDGAVGFAEGASGLRQSLCREPAAWLSAKNSLPRASLPIALCREPRGRLSAKTLPRAFCPLPRAPSSRQRSRIQ >Sspon.02G0008940-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:20399559:20402463:-1 gene:Sspon.02G0008940-3D transcript:Sspon.02G0008940-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding TMAFASSLLPVPASRVCASPAPELAAFSPAKKSVSLAAACRRRGPRHAVRAEVNESGSALAVDALSQVKHVLLPVLDRNPYLSEGTRQAAATTASLAKKYGADITVAVIDDKPKESVPEHDTQMSSIRWHLSAGGFSEFRLMERLGEGQKPTAIIGEVADEWNLDLVVISMEAIHSKHVDGNLLAEFIPCPVLLLPL >Sspon.06G0012900-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:51360245:51361383:1 gene:Sspon.06G0012900-3D transcript:Sspon.06G0012900-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPVMALSTVRMFMSMSVPFMVASRLIYRKRWNKLAVIRQKQEELYLPLIDGCHSHCRHSNEALTYVHTLLGLHVPVELEAGEARAAGGKQRRQRRLEDGELVGLCSEFLGSATETTVASLQWIMANLMKRPDIQRLEIEAAVEADDEEVGEEVLGKLDYLNAVILEALRLHPTTARAFRQLQGTDIFDIFNYIRLPNQSHEYKKTDKAVWDDPEEFKPERFQAACGGGESTKNMLSSMAGEMKMMPFGIGWRMCPAISMSLLHISYFMANLVREFEWGEVEGEHAVQLHTDPRIEMFNVMERPL >Sspon.02G0036110-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:17719047:17721665:1 gene:Sspon.02G0036110-2D transcript:Sspon.02G0036110-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADDGKSNDQILSELDALSHTLYQAHNKRRTASLALPRSAGNSNAGGADAVRTTARPLPRRLSMSPFRSRPKLDKNLNANDDDDDYDDDAGVALPSKSQSFAAVTTLPTVAGEKKGIRGWKPIRALSRIAKQRMGCLFSVEVVAAEGLPTSMNGLRLAVAVRKKETRDGAVQTMPSRVHQGAADFEETLFVRCNLYCSGGGATGKPLKFEPRLFLISAVAVEAPELDLGRNAVDLSLLVKESSERSQQGERVRQWDMAFPLAGKAKGGELVVKLAFQIMDDGGVGLYSQPAVAGKIGSSSFARKHSKSSFSITSPKVVRSEPALIPPKGAPSPDLLGIDDFKLDEPSPVVAEVKEEQQKEPERVPEDAKADDSEFPEFEFDIVDKGVEVQEEEEDERKEEADDKKETGKEEAAEEDASAAAGDEVVKEVVLDSAHTWRLNELEAITNQIKALENMMHGDVLEAGAKSPERQEDEALAVLDADEEEVTREFLMLMEQGEDKDDANAKSSAPQVSSLKSGAKPGSGVDATCYISDLGKGLGPIVQTRDGGYLAATNPFDIPVERKELPKLAMQLSKPFLLRDQKLPGSGAEVFQRLCAGGSEALCAKLGALISMDDVVGKTAEHIAFEGMASAIISAQSKDLVASSTAAESVSLLRTMSVAMNYGRQDRIATGIWNAQEAPVTVDEILAFSLQKIETMAIEALKVQAGMTDEQAPFEVSPETAQAEHLLDTAVPPEEWVTACAGADAVTVLVVVQLRDPLRRYEAVGAPSVVIIQAVRAGGGSDDEPRFKVANLHLGGLRLKSPDRRNMWDGEKQRLTAMHWLVAYGLGKAGRKNRAVVAGKAGNEVLWSMSSRVMADMWLKPMRNPDVKFTQK >Sspon.05G0010660-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:24744583:24747211:-1 gene:Sspon.05G0010660-3C transcript:Sspon.05G0010660-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGSGSAAIFPNTRTPEDVFTDFRARRAGILKALTTDVEKFYKLCDPEKENLCLYGLSNETWEVTVPAEEVPPELPEPALGINFARDGMPEKDWLSLVAVHSDAWLIAVAFYFGARFGFDKDTRRQLYTMINNHPTVFEVVTGSGKKQPKAPSSNGKTKSSSKKPNSNSKPAKQPPPKQEQQIIKEDGGDKDQAYLCGTCGGRYSNEEFWIGCDICEKWYHGDCVRITPAKADYIKQYKCPACSNKRSR >Sspon.04G0018160-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:69030757:69044465:1 gene:Sspon.04G0018160-1P transcript:Sspon.04G0018160-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPDDFCGVDWHTRYTIIKGICDGLKYIHEELEQPIYHLDLKPGNILLDENMVPKIADFGLSKIINEELTRTTQSPLGTLGYQPPEYIKKGEISKKFDIFSLGVIMIKIVSGPEGPSIHLDMRNDDKFIDLYQPQLTVAFLETCTQIALTCMEEDSQKRPSIVQIIHKLNKTEIGIGKLPEKRGCHETTSGLTMNNEMKNMRKKSRQMTDQHQNFNLMTCSKYNELEFADVRETSWNVGEQLILSETGSQANERETISSCLTELLSGKKILIVLDGLWEDNQFQLEDLKAMLNLGDSMNRIVLRMGNCEKKKGGNFTLVDLNMICTRTELSGTAFAPASSLQVLDLSDCSIQKLPDSIGQLKQLRYLNAPEIKDHM >Sspon.03G0038690-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3D:2143540:2146705:-1 gene:Sspon.03G0038690-2D transcript:Sspon.03G0038690-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MILRRWPKCTAECFRRRKLFPSEVPSCPYSTIATSVQFDDSRGDEKLNFAPDNEPIQKSYRSLTSDSVVQTLRCLRRKPAVAFAYFKDTHSLGFHHDFSTYSEIIQILSHSFQGKMLVSLFCEILSGTGSGGPEILSLIDHLRKTCATSHVLSYAVNCLIKAYTTCHDAQETVEMFCHLCRLGFVPTLWACNFLLKFVSQSSDSHMVVAAYDRMKCFQLTLDAQSLNIVTRSLFQANKADEALQVWVGMIEMGVKLDVHGYSSFIIGLCDCGKYDLAYNMVRRYAVLQEISQERVPIEAFAYNMVIDGLCKEMKLEEAEKVLEIKIRHGSPPDLYGYSYLIHSHCKMGNLEKAWYHIEDMVSHGIEINCYIVGSLLQCLRKLGMISEVIVHFQKFRDLGLHLDGVLYNVAMDAYCKLGNMNEAVKLLNEMMAGGLVPDKIHYTCLINGYCLKGETENAWQVFEQMLKANIKPDVVTYNILSSGYGRNGLVMKVFDLLEHMMDQGLEPNSLTYGIAIAGFCRGGNLSEAEVGRLQEACELFVQMINLGIKPDVVAYTVLLDGHLKETLQQGWQGIAKERRTFFLRTKHKALLSSMKDMEIEPDVTCYTVLIDGQCKAEYLEEARGLFDEMLAKGLTPDVYTYTALINGYCSQGEIAKAEDLFQEMIDKGMKPDVLSFSVLHQRTLRHQKAVLFLESYQIRLPVLVISVPISGRRTPGAWKDCSLSAWVLKETKCSYFQHPDRRLSMELCRHHRGKAPPMPFEGLLDRFAAATSGVFPDNQEREDRVFDPEAGEVGKDSTNSFWKMDRDRRVRLNR >Sspon.08G0006080-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:19221103:19224047:-1 gene:Sspon.08G0006080-1A transcript:Sspon.08G0006080-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAWFSSGSGPSSSSAASSSQPSLLAEWNSYAAARSAEDAGDGFGIDIEAAVRSANDRVAGTFGVVSKGVKGLPGSFKSTTSSVPSGRSLMYFGLFLASGVFLVFIAFTIFLPVMVIMPQKFAICFTVGCAFIIGSFFALKGPKNQLYHMISKERLPFTVGFVGSMVATIYVSMVLHSYILSVFFSVLQVLALAYYAISYFPGGSAGMKFLSSAL >Sspon.03G0031310-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:25001715:25006664:1 gene:Sspon.03G0031310-2D transcript:Sspon.03G0031310-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQNAAAWAQQAERERPPFTDPIEIPATSRARRVSGERETNREDQGDDDGEAAVPPHVLLARRRAASSVCSGQGRTLKGRDLRRVRDSELCESEVLWPEAPHHDDGGGDATPSCSSSSVAPRSRTLPASRCVPETERPIHPGSRPVDIPRPAASSAARRRDHEDEDGGWSGSGTMVPPHVLVSRRRAAGAAAFALRSGPGRARELSHLRNSVLRMTGFIEG >Sspon.01G0023580-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1A:84862268:84863760:1 gene:Sspon.01G0023580-1A transcript:Sspon.01G0023580-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLASINLGKLPRCSAFIPSNRSRRLIESCSCHVCAGLSEPHLPPMATMRTKHVVLFPFPGQGHHASFLAIARLLTRELPDAAITLVSTPRNVAALRTSSSTTSISIGFHALPFVPADHGLPVGCESTSSLPVPSFVTLFEALESLEPAFDDYVSGLRGQSGDEADICIVADVFVTWIVGVARRHRCAHAVFVSCGAFGTAIFRALWNHMPALPFGSDGLLRLPEYPDVVVHRSQLSPVFLLHVDLSDRWTAFYQRAIRHGYRTDAVLANTVSVWPIGPLVRGGNDDSSIDETDDGVLRWLDTHPQSSVLYISFGSQNTIQAKQMTELAAALETTGRPFLWAIRPPVGFDVAGAFHDEWLPEGFEARARADNRGLVVRGWAPQVQILAHAATGAFLSHCGWNSVLESLTHGVPILGWPVAAEQFYNARMLAEEWGVCAEVARGNLESSAVERSKVAEAVETVMGDTVKSAAMRRRVKEV >Sspon.01G0004590-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:11465407:11468280:-1 gene:Sspon.01G0004590-3C transcript:Sspon.01G0004590-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eukaryotic translation initiation factor 3 subunit K [Source:Projected from Arabidopsis thaliana (AT4G33250) UniProtKB/Swiss-Prot;Acc:Q9SZA3] MATEQAAENYTVEDLVALNPYNPDILNDLEKFVNEQVSSQTYNLDANLSLLRLYQFEPERMSIQIVAHILIKALMAMPAPDFSLCLFLIPEHVQMEEQFKTLIVLSHYLETARFSQFWDEAAKNRHILEAVPGFEQAIQSYAIHVLSLTYQKVPRPILAEAINIEGLALDKFLEYHAANSGWVIEKGGQSQVIVLPRNEFNHPELKKNTADIVPFEHVTRIFPVLS >Sspon.08G0002260-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:5069620:5073856:-1 gene:Sspon.08G0002260-3C transcript:Sspon.08G0002260-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSCIPAGGIRLPDLEMVKAAPPPPPPRPAHSAASSTLSEASNASAASSSATSSSVVASLSLKRPRTPRKRPSQTYNEAAALLASMYPSVFPVARGKGSTEAAAPPRLLGLASALADDPSCSDLLPPFPVPGGQAAFLLRDLLPPPPPLTPRSPAAARGCPSPAAVSSVFSEFRDPAPSPATPDDAAAAPDEPGELDFDDDDGFDADSILCGVDEGAAEGIDGIMGKLSMENNGTSVSSENSNLPRSKMMHPYLRNLMVLGLSFRHDQSIINQALKRHSVDPEWWMCPAIPVKDISPARPPSVAMSKATDKKKTKKKSLGTIYEEGSPESANGDTGALALPETGYTGALELPETGLGLTLNTDGVLKAWRGRGSVFADGNGPDLPLSSAHVVVKHEDSDLFPKNGTSAVIREGNILKMQRKQKPCTPLPSNKQSRYYRPRVKRYSAAGVEMPVEWQVVIMGI >Sspon.06G0009200-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:39787832:39790379:-1 gene:Sspon.06G0009200-2B transcript:Sspon.06G0009200-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFVFILKILFVESIFAPAYHSTNFLHLKERKSFDEKFVRIDTEKLCKLASAALGLQLRPLVDLTCGALARIIGGKTPDEVRDIFHLPDDLTEEEKLEPLKNINDDPTIRLLNRLYAKKRKELQERQKLKDVQTQEEQKDERSVDELLCFINGDGDSRGGKASKNKKKNKRRKDQAKNPAKANSEPVNKEGASCVGPCKADSGTISRHPCQTPNLQDDIEYPFDNAFDNADLDDGLDPAMREEIDREVADFAMKLNLAWSERMILGQDQRMESHVDTRW >Sspon.03G0038330-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:47894143:47896941:1 gene:Sspon.03G0038330-2D transcript:Sspon.03G0038330-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADEIDTRPASAGSRGRPAHATEDDDREEGEIADDAPAPALPATHPLEHSWTFWFDNPQGKSKQAAWGSSIRPIHTFSTVEDFWGLYNNIHHPSKLAMGADFHCFKNKIEPKWEDPICANGGKWTISCGRGKSDTLWLHTLLAMIGEQFDYGDEICGAVVSVRGKQERIAIWTKNAANEAAQISIGKQWKEFLDYKDSIGFIVHDDAKKADKGPRNRYTV >Sspon.03G0007160-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:19564614:19574949:-1 gene:Sspon.03G0007160-1A transcript:Sspon.03G0007160-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MACSLPLHAPLSSSPRVLSYLPTPRTLLLFRRGPRVPRHRPPSPPRALPDVAAGAVASIRDALADAFLTSPPTWRSAAASNLAVFVAGSPVLLSGLSASGFAAAYLLGTLTWRAFGALGFLVVVAYFVVGTAVTKLRIRQKEALGVAEKRGGRRGPGSVIGSSAAGCVCALLSIYHAGGTASSEIWRLGFVASFCTKLSDTVSSEIGKAFGRTTYLVTTLKVVPRGTEGAVSIEGTIAGILAAVFLAGFGYLLGQVDVSQVAVCVLASQIANYVESYIGATLQDKEGFEWVR >Sspon.01G0013520-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:37305226:37306190:1 gene:Sspon.01G0013520-1A transcript:Sspon.01G0013520-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPTAPAATGVWSPAATPVAKGNRKAAARSVWLGLPSLPPVPGLRLAAQGQTRAASFCNRLARNVVAMATGEPSAAPLADNEELTEFVNALKQEWDRIEDKYAVTTLAVAATLGMWSAGGVVSAIDRLPVIPGLMEAIGIGYSGWFAYRNLLFKSD >Sspon.03G0002760-3D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3D:7702602:7704875:-1 gene:Sspon.03G0002760-3D transcript:Sspon.03G0002760-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At3g46790, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G46790) UniProtKB/Swiss-Prot;Acc:Q9STF3] MWTLSVAKWATYVRPLTSPTNTPGRSRKRAHDSDKPPKSRKKSAIFSVSARRCPFSPASPLLSTFPGFQLPYELRRPTRPNPTHPKYLPLPRRLTVTPLLAFRRLRLRLRLRPRPRPAPIPMSATASPHTSLLHLPHRPFGPRRPRLTRLRCLASLAPASPGASPENEDHLIQTLCAHGRLTRAAALLQGLPAPTQRTYESLLLAAARAGDTALAAAVHRRLEADPVFRSDPFLSTRLIDAYAALSVLPAARQVFDEAPVKNIFVWNAMLKALALADHGEEALACLADMGRLGVPVDSYSYAHGLKACIAASASHGPASARVREMHAHAIRRGYGLHTHVATTLIDCYAKLGMVSYAERVFTSMPDRNLVSWSAMIGCYAKNERPGDAIQIFQEMMASDADLVPNSITIVSVLHACAGVNALGQGKVLHAYILRRGFDSLVSVLNALMAMYMKCGCLEIGRYIFNWIGRRRNVVSWNSLISGYGMHGFGRESIQVFEEMIQEGISPSIITFISVLGACSHAGLVEQGKKLFESMVDYNVTPRAEHYACMVDLLGRAGRLDEAVELIQSMRIQPSPQVWGSLLGACRIHGHVEYAEMACSHLFDLEPQNAGNYVLLADIYARAKLQNQVDVLKELLEEHALEKVPGCSWIEVKKLYSFASVDKNPQVEELQALIGEFVTQMKNEGYVPDTGSVLYDIEEEEKERILLGHSEKLAVAFGLINTGSGEVIRITKNLRLCEDCHSVTKFISKFTDREIIVRD >Sspon.04G0012940-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4B:59706155:59706473:1 gene:Sspon.04G0012940-2B transcript:Sspon.04G0012940-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRGGVRFTINGHDYFNLVLVTNVAAAGSIKSMEVKASNSSNWSPLARNWGANWHSLAYLTGQMLSFRVTDTDGKTIEFTNVVPGRPNICIQVAVQVMMRAPSSRI >Sspon.02G0018640-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:59812797:59814119:1 gene:Sspon.02G0018640-1A transcript:Sspon.02G0018640-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATCLTEGPAQRVRSTKPFFPPRTSRPLLTLTVNGGGQEGDDRNPCRSRREHLQQYYPVPRRAPHLLSQSTPTHTHTCTTTSILRVTGSDGVRAVGGGESAGAATASGRLGRWLSPHGAPLGGSLPRPPCSAGARRCHSNAPRAASISSLYSSPMQFPTSSSSRGETLSDEHMNIGYRHSSRRGASGKRSRRGVDVGVRTGAYVRTSGR >Sspon.07G0033270-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:58835904:58851718:1 gene:Sspon.07G0033270-1C transcript:Sspon.07G0033270-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GMASGGLLEAKASGRLPTCLAAGSGTKGWVHEDRLEQRMEHGFNRTSIVREVSEKQLDAMFMCTNHAITVPINRSLPCGTRPPGFSLVLYTTPCWLVHIWSVGSFSGDRVEFVPKEEDIESDEALWDLYERWCKAFNQKREPDEMARRFNKFKNRVLRIHSMNKANQSCKVGLTKFSDGKLAEMRANRDPHDCLLAEKFPNSCLLGKGDGKFLKEVFADFDVVNGKLFVYFPLEKGTRVADKKEISTEYEVVSGRLFVADLPEGR >Sspon.04G0003250-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:9818650:9821213:1 gene:Sspon.04G0003250-1T transcript:Sspon.04G0003250-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRCPSARNLGLVCASNTQSSVIEPVQLPGSPKSGVTPKKSGESALILIRHGESLWNEKNLFTGCVDVLLTPKGVDEAIEAGKRICNIPVDVIYTSSLIRAQMTAMLAMMQHRRKKVPIIVHNESEQAHKWSQIYSEETKKQSIPVITAWQLNERMYGELQGLNKQETADRFGKEQVHEWRRSYDIPPPNGESLEMCAERAVAYFKDQIIPKLVAGKHVMIAAHGNSLRSIIMHLDKLTSQEVISLELSTGIPMLYIFKEGKFIRRGSPAGPSEAGVYAYTKNLAQYRQKLDGMVQ >Sspon.02G0011010-1P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6A:7893584:7895162:1 gene:Sspon.02G0011010-1P transcript:Sspon.02G0011010-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPLLTKKIVKKRVKQFKRPHLDRYKCLKPSWRRPKGIDSRVRRKFKGCTLMPNIGYGSDKATRHYLPNKFKKFVVHNVSELELLMMHNRTYCAEIAHNVSTKKRKEIVERAAQLDIVVTNRLARLRSQEDE >Sspon.01G0028860-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:97369225:97369863:-1 gene:Sspon.01G0028860-2D transcript:Sspon.01G0028860-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein DWY1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G47580) UniProtKB/Swiss-Prot;Acc:P0C7R1] MLQRGSAEQLEPEEQAALLQACADTRSLASLRRAHRLLSSRPGSAIPAPVLHRIATLYLKLGARGDARRVLEERPTRRPLAREEDAAVQAKRREAYAKVRELHAQIRAAGYVPDTRHVLHDIDEDAKARALMYHSERLAIALGLVSTPPGTPLRVMKNLRICGDCHNAVKLIAKVTGREIVVRDNKRFHHFKDGACSCGDYCYYN >Sspon.03G0028880-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3B:12158509:12158847:1 gene:Sspon.03G0028880-1B transcript:Sspon.03G0028880-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDEDISAIRASSSDNHSPSNMNIINPGPLTRSRAKKLQEQVNSFLIDFNSNTCENVILPKSATLVVLRKIHEEEEESDHQLGPDHRPPAWASPVRRNQQKESTITLDSQTL >Sspon.06G0024720-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:57518937:57523403:-1 gene:Sspon.06G0024720-1B transcript:Sspon.06G0024720-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:MEE63 [Source:Projected from Arabidopsis thaliana (AT1G02140) UniProtKB/TrEMBL;Acc:A0A178WA48] MAAAGGENSGAGEGEFYLRYYVGHKGKFGHEFLEFEFRPDGKLRYANNSNYKNDTMIRKEVFVSPSVLREARRIIQESDIMKEDDSNWPEPDRIGRQELEIVMGNEHISFTTSKIGSLVDVQSSKDPEGLRIFYYLVQDLKCFVFSLINLHFKIKPIQS >Sspon.02G0022930-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:79692666:79694301:1 gene:Sspon.02G0022930-1T transcript:Sspon.02G0022930-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPPSLWGGLNSGIVLSLLAIVWTMLWQALQGLQLHHLFGRHSRRLTRRLASILDPDLTVTVAEYDGGRMRRSDAYKEAKAYLERATREARGGGGGLRHLRAEPDKDPDRVLLSMDDDEEITDEFRGAVVTWRACTAPQREDTGPAYYWRGGAPRAERRSYKLFFAERHRDLVLGEYLTHVRRQGRAVMVKNRQRKLFTNISSDGSWDSDGLWSDSVWSHVVFEHPKTFATLAMDPAKKKEVMDDLDAFRNGKDYYARVGKAWKRGYLLYGPPGTGKSTMIAAMANHLDYDVYDIELTSVRTNTDLRKLFIETTSKSIIVIEDIDCSLDLTGKRKRKEKDKEEADKKDGKEDGKEKEDDKAGGSKVTLSGVLNFIDGLWSACGGERIIVFTTNHVEKLDPALIRRGRMDKHVEMSYCCVESFKFLAKVYLDVDDHPRFDAVAALLREVDMTPADVAENMTPKVPGEDADSCLAALVEALEKAKEDALMAKKKKAEGRRRPAPPMRSTRRRRRRSRL >Sspon.02G0021540-3C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:73379402:73384016:1 gene:Sspon.02G0021540-3C transcript:Sspon.02G0021540-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIEKNFPTNSDAVIHAAIHYMQMWADLLKERDKLKLEQMTTTLSDWMKNKPTYDGPSPDIFLQLQLPASYRRRRRFASRRKAVPRGDEPRGVSPSEKGHLTSCSAPSGERSSSVPLLSIRRAPGTRALRWSCGVNNLRLLQVLSVFGVSHWRRCCSDGNSIFQVLLVFATIVARNQWATVWGMYCEDLTASVERPHSASITDFGAVGDGVTLNTKAFQNALFYLNSFAKKGGAQLFVPAGRWLTGSFSLISHLTLSLDKDAVILGSPDSSDWPVIDALPSYGRGRELPGKRHQSLIFGSNLTDVIITGANGTIDGQGAVWWDWFHNHTLNYTRPPLVELMTNQLETHPDSSTNVCIEDCYIRNGDDIVVIKSGWDEYGISFAHPSSNISIRNITGQTRNSAGLAFGSEMSGGISDVRVEGIRIVNSVHGIRIKTAPGRGGYVKNVYVADVSFDNVSIAIRITGNYGEHPDDSYNNSALPTISNITIKDVVGVNIGVAGMLQGIPGDNFSNICLSNVSLSVRSTDPWNCSLVEGYSNCVSPEICEQLRTSPGPGQICYGSNYPAAAAQPQPPPLQKSSASRLVNPFLSISWFLCR >Sspon.06G0015940-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:87099766:87103257:1 gene:Sspon.06G0015940-1A transcript:Sspon.06G0015940-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYGQQPSSSSSRLLRKAKYGEDVIVGVIDSGIWPESRSFDDSGYGPVPKRWKGVCLTGQAFNASNCNRKVIGARWYAGDGIDEYKSARDAHGHGTHTASTVAGSPVRDASHGAASGLATGLARGGAPRARLAIYKACRRVGLHTSCGDASVIAAIDDAIRDGVDVLSLSLGGGTETLETLDAVMAGITVVFSAGNDGPVQQSVVNVLPWVITVAAATVDRTFPTVVTLGEGEKLVGQSLYYHTSKSNDGFTSLHAVTGCETKQLESENITGKIIVCPAGSGFASATQGAIAGGAKGIIFEQYNTDDLDNSQMYCEGHMPCVVVDKETIFRLSESTSKMAKISPAATMIGPQVATPRVATFSSRGPSAQIPYLLKPDIAAPGVSILAAKRDSYELMSGTSMACPHVSAIVALLKSVHPDWSPAMIKSAIVTTASVTDRFGIPIQANSEQRKPADPFDFGGGHIQPDRAMDPGLVYDIKPDDYMNDDIEPNLPSIALPDLKESVTLTRTVTNVGPAKATYRAVVEAPAGVRMSVEPPVIAFEKGGPRNATFKVTFVAKQRVQGGYAFGSLTWLDNGKHSVRIPVAVRTVVRDFVADAS >Sspon.02G0031480-3D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2D:90840211:90844915:1 gene:Sspon.02G0031480-3D transcript:Sspon.02G0031480-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RRASWRRVHASEEREEGLRVSPEGATEHARCPERGGGGAPGAAQELDRLWASDVRELSQDIEDVVDTFLVRIEGPASEPQLASNPKCFKGLLVKMADLRKKFRARHQVATAIKDIKDQVHEVANRDGRYSIVIDDLWDVQAWETIRFAMADSNCGSRIITTTRNLDVSKACCSSNNDIIYNMKPLSDDDSQILFYKRRFPSETGCPHELEQVSREILKKCGGVPLAIITIASHLAGDQHIKPKDEWDALLNSIGRGLIRGENHGTNLIDLGESYFNTLINRSMIQPVGISVKGRARACRVHDMMLDLICDLSSEENFVTILDVIKGGTPFRRKIRRMSLQKSIAELTPTRLAHTSMLEQVRSFSVFSSTANQMLPLSRFQVLRVLDLEGCNLRGSHHHLNLQSIGNLLHLRYLRLRGTSAHELPREIGKLQFLQILDVRKTWVKLTSSVMRLGHLMCLYVSPAIHVPIGLSNLTSLEELTGLSVECYCVDIVKELGHLTNLRTLDICWGGSEKKEDHEDQALEESLHNLRNLRSLEIRGTGEHVYLSRDWLPPPHLHTHVLRVWLQTLPTWFGSSSLLPLLSHLHINIREVRLEDIQTLGMLPTLRVVFLRASVNLATEQCDVEKFVLSTDASPCARQCHFLNVTMVPSNFPQGAMPMVQSLRFSFRVLDILSGDFDLSMRNLPSLEDIYIDYDMKKARREDRERAQDMMSRALKEHPNRPSLYPSFHCEEL >Sspon.01G0046830-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:100334127:100338120:-1 gene:Sspon.01G0046830-1B transcript:Sspon.01G0046830-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGEVAEHGTDLQEKSTGDLPQQESSKESGDDKVGDDVTKEKGSKMGYFKRHPRSKESGGQQQSLATKEKKKKRGANDMGLDEEEDSKRARKGEVVGEEVSDVLNAGLRGQSREGGIAVLWRRGVHVSLRSCSKLHIDVDIREENGRVWRFTGVYGEARSDQKFRTWEMLRGLTTPDDGPWLCAGDFNEILFSHEKEGGRSRPQSCMDHFREALEVCELQDLDFYGDIYTWRNHNHRVEGYIRERLDRAVANSMWRGMFPTARVFNGDPRHSDHRPVIITTERDGSLVRGRGGQGFKFEACWVEEEDFRRVVEEAWELGDGAQNQRLAGALRGIASGLSSWSKNVLGDLEKRIKKLKVELERCRTGGLSREAVQKEEILRFKLDRLEEQLDIYWCQRAHVKWLEKGDRNTGFFHATCSEKRRKNRVGRLKNDVGGWEESEEGKKAVISNYFSNLFRSSSVHGATQQLLNAVVPSVTVEMNEQLCRLFSVEEVKVALDSIGDLKALGPDGLPSIFYKSCWDLVGNKVIEEVLGVLEGGDIPEGWNDTIIALIRKVQNPEQVKDLRPISLCNVLYKMVSKVLANRLKRVLPAIISPSQSAFVPGRLISDNTLVAYEILHYMRNKRRGNVGYAAVKLGMSKAYDRVEWHFLRDMMIRMGFSQSWVELIMKCVSTVRYQVKVNGSLTSEIIPERGLRQGDPLSPYLFLMCAEGFSALLQKAEIEGEIGGVKICQGAPSVSHLLFADDSLILFRANGEDATKLQDILNLYAECSGQDKELVQSIFWPEDVPKILAIPVHLDMEDVLAWHYEPKGLFSVKTAYRIFCDDQNRRSRFDSVASSSRNEGESEKVWSMIWSMSGPSRLQHFLWRLSHNSLALRSNLKRRGIKVDDDRCFMCSREGEDGGHLFLKCKSVRALWRCAGLESIRQILAECCNAKEVVSRLLKIDDELQLRAVLLLNNWWHERNRVREGENRRSPDDIAAMCGRQATEIRNLQRRFSEEIANRNQRRK >Sspon.04G0012610-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:37488270:37500160:1 gene:Sspon.04G0012610-2B transcript:Sspon.04G0012610-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKLTNMFSALCLDAEDDRVEVPQASSSTDETAASKPDKNEQNDTTAVNYEEPALVSSSGDYRMPLVWIDLEMTGLDITKDRILEIACIITDGLTERVLQSELSEHDAEAKVLDFVRKHINSGTPLLAGNSVYVDFLFLKKYMPQLAAVFSHVIVDVSSIMALCIRWYPKERKQTPRKQKTHRAMDDIKESIAELKYYKDNIFKPQKSKR >Sspon.05G0013260-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:37488985:37490703:-1 gene:Sspon.05G0013260-2B transcript:Sspon.05G0013260-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPTVHDVLAFHRVDRAAYEHLLSLGAGRHSSRDAVALLMWFHRKAGVDAVSRVRALVRTQAAAAQLVAETRAILLHGADAESTTTLLSCACGEDDDDARARVRRFLASCGSVDAPRRGVAEVLGGVGALVFDDRLHAILRRWHEDGRDDSALPRELAAPYRRRCMAAEVQEEDGRSLFITFSKGFPLTRVEVEEFFTERWGGDCVAKVMMEKTAPGEPATYGRIVFRRAATAAAVLAGRPLVKLVVNGRHLWARKYVPRPPPPH >Sspon.05G0010620-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:32524137:32529932:-1 gene:Sspon.05G0010620-4D transcript:Sspon.05G0010620-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWSAPDDILLSTSLAGFLDKKLIVLLRDGRKLLGTLCSFDQFANVVLQGACERVIVGEQYCDVPLGLYVIRGENVVLIGELDREKDELPAHMTCVSEAEIRKAEKAEREARDLKGTMRKRMEFLDFD >Sspon.07G0004390-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:11074234:11075859:1 gene:Sspon.07G0004390-1A transcript:Sspon.07G0004390-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADANKRINLTAPLLSVRRHGGGGAETAATGLPAYKQADATSEPPGHTSAVPFGWEHRPGHPKSVRTRRPPPPVPPHPSTIVDVDEPSRVTRNPAVVVTVASERAPRGEERCSDALSRDDVSCFTVNCSATGLSDAAGAGAGAGPCARRSVMMDRFLPAAHAVAAGSPQNTFRKAGSARAAVVLGARTAGGDRVPAQRRVPLQRIAAYHLPPLPPSGKNDDDDANSDAHSTAGFASRRCGLLSTRCVKSALLLSRVARRGAGRPFQEVRGELLPPPRSRNGHGQQRLPHTGDDHGMVSQQSWEEVYIKSLLRSSGPGGLMGPAAAVASELDRTVRELYKRRDGRAVRPKASHLGLLLVLDRSNEACGHGSPARKLSRTGDTALLLKATTKSSPDGDKQLGRERDAAADAGGGGYGFPLLLEDKEAVAGREMALSPQPLLPLPLPKSPTESWLSRALPSVSTRPPATSFLGLHVQPKKRAPLPRCSSIDSSRDVHHDRQRQIRVHDLLK >Sspon.01G0026020-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1A:90640766:90641272:-1 gene:Sspon.01G0026020-1A transcript:Sspon.01G0026020-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKSQKNKKPTTASKPSINPKSPSSEKKPKPPKPTEEQPEQAAAVKQPKKQKVRDEIDEIFSAAKAGKKRKPPQLEEAYAHGDRRKKPKERAEGGSSSKKKSNKASGSKGKGRVADDYDEDEEEFEEKRPRRRTADGLAIYSADELGFGKADAGGTPLCPFDCDCCF >Sspon.08G0015530-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:62094575:62097273:-1 gene:Sspon.08G0015530-1A transcript:Sspon.08G0015530-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSYHDGGRDTGTDLGYPLVAVCIDKDKNSQNALKWAIDSLVQKGQTIVLVHVNTKGTSGGVEDAAGFKQPTDPHMKDLFLPFRCFCTRKDIHCKDVVLDEHDVAKSIIEFSAHAAVEKLVLGATARGGFVRFKADIPTTISKGAPDFCTVYVVNKGKVSSQRNSIRAAPRVSPLRSQIQSAQSAAVATPKPEPPPQSQRWSSSSRGHSDHGETPRVDNFRSPFARGGPANTRKSYADLSHMSMPDSADISFVSSTGRRSVEHHSAIPPRMSNGSVDSYDHSFEMSRTPSKWGGDSFGGGMDHTTFSQSSSSSFCSLGMQDDVEAEMKRLRLELKQTMDMYSTACKEALTAKQKAMELQRWKMEEEQKTQDSRITEDSAMAMIEREKAKAKAAMEAAEASQRIAEMEVQKRISAEKKLLKEAEERKNHRGGSGMSHEVRYRRYSIEEIEQATNNFDDTRKVGEGGYGPVYKGFLDHTQVAIKVLRPDAAQGRSQFQQEVEVLSCIRHPNMVLLLGACPEYGCLVYEYMASGSLDDCLFRRSSGAAGPVIPWQHRFRICAEIATGLLFLHQTKPEPLVHRDLKPGNILLDRNYVSKISDVGLARLVPPSVADTVTQYRMTSTAGTFCYIDPEYQQTGMLGVKSDIYSFGVMLLQIITAKPPMGLSHHVGRAIERGALLDMLDPAVPDWPLEEAQCLAEMALRCCELRRKDRPDLGAVVLPELNRLRALGEDNMQYCGAIRGGGGMGMHSSPFHSNVSRSHAAVSILRDDGRFSVPKISVQLKSERFAQAAKKIERLTPMHELSSVGACRVKGVALCCA >Sspon.06G0009420-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:58922553:58923286:1 gene:Sspon.06G0009420-3C transcript:Sspon.06G0009420-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGILDHLSHLCSITETKEALKLRKKRPLQTVNIKVKMDCEGCERRVKSAVKSMRGVTSVAVNPKQSKCTVTGYVEPAKVLQRVKATGKNAEMWPYVPYALTTYPYVGGAYDKKAPAGFVRSAPQAMADPSAPEVKYMNMFSDDNVNACTVM >Sspon.04G0027150-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4B:56188933:56189414:-1 gene:Sspon.04G0027150-1B transcript:Sspon.04G0027150-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AEKEIQAAKLRILSAKLAATRRLECRRLLLERRAADLASRALAARADIDAARARRLLVARDLSSVRGEIEEVERREEDWDRFYEAKRKEMEEFHAESRRFEAGTREEVQRLRDSVSQ >Sspon.06G0002950-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:7388134:7391839:1 gene:Sspon.06G0002950-3D transcript:Sspon.06G0002950-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:O-fucosyltransferase 13 [Source:Projected from Arabidopsis thaliana (AT1G52630) UniProtKB/Swiss-Prot;Acc:Q8RY81] AMSPAAAWCAQHRLRFLLPSLFLAPVLFFLLSPPSSPPVFSLPASRELPPWGSRLIWAQRRLVEWRPCGWWRTAPVQGKASQFGGGIWILDLDTIMIDACPPCAAPSRRNGYIRIDCYGGLNQLRRQLCDGIGVARLLNATMVLPKFEVAAYWNESSGFADVFDVDYFIEQTRGYVEVVKDLPAEIASIEPFKVDCSKRKGHFDYVESVLPALLEHQYISLTPAMNQRRDRNPSYAKASYCQGCYSALRLNKKVHSKAVKLLQAIPQPFLSLHLRFEPDMVAYSRCAYTGLSSKSMDSIEAALGEGRKVLTGDAARLWRNRGKCPLTPSETAFILEALDIPTNTNIYLAAGDGLMELEGFTSVYKNIYTKSSLLAHEDFENMHGNTKAALDYYVSVNSDAYIATFFGNMDKMVTAMRTMRGLQKTLVLSRRAFANYTAAGLAGEQLAKAMWDAHREEYIRGRGSALPEYCFCEFKL >Sspon.01G0025670-4D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:88195703:88199413:1 gene:Sspon.01G0025670-4D transcript:Sspon.01G0025670-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTKGDLFWMPERRSLPTAFKLFTVFPYSSILYIPHSISWVPHVFTIPPLSFSSPPPHRFLSPLSFPHPPWGARQQPKCAAAALGHGARAGTPPLRPALFPRRTADGRTGEHAAASTSSTSRRRRSTVAARRLFGGRARLLGGRPASPSPPAAPAPAMEGRGRPCSLRRRVELGQRARGGWSLRRPDLGGAPPSPSPTSAGALPPPFPAAMHLLLLPVPRGERREDARAPCARFFLRHCVRHIRGGWIAVRIQAPSAVRLTILNLGGSAWMVLSRPFVEYLIWGWDNLPRTALMYYANFISSPEGYFHTVACNAEEFRNTTVNSDLHFISWDNPPMQHPHYLTVDDWDRMVASDAPFARKFLRDDPVLDRIDADILGRRGPGM >Sspon.06G0002200-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:5251698:5258737:-1 gene:Sspon.06G0002200-3C transcript:Sspon.06G0002200-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVGLLRLKRIPNLRVRKRFMILSITSLPHIRNTLLRSYPASCQPSRKKEKGKAAVAEQRKAPGREMVDKAKVVGAPGETGNAFCGESFGRMTTGGGAGDWSPRVWGQPTDLEAASLMATESAVRLIGGTGLGTGVRDLVHLTLQWVAFQGKIWDLWIMALGGSAPPSMEGSLAALGHLIGQQSGSFEASLATLDNITDSSKSEEQLRADPAYFEYYGSKVNLNPRLPPPLISRESRRLMNRVGKAKEWRVVSQDNSSKGSIYVPRSTLSTHREEPEDDKSPRLDSSSVEDAQIISSASNFQSQDFSLYTGGNQYNTMGPRFTVVGNPAESFDPQKMIPQAAYPSDQRLPLPRTGFPNSPTPRRGGTVPNYQGISSYVEVPMTYPTSPVFQGQTLPGVLPPGRRNDSVGFQSPSRNITDSPGIQGQRERQKFDESKTCSFLEELKSNRARRVELSDITGRIVEYSADQHGSRFIQQKLENCTAEEKASVFAEVLPHASSLMTDVFGNYVIQKFFEHGTREQRRDLATKLVGHALEVMELDQKIDLVHELDGHVMRCVRDQNGNHGQVASLSMHPYGCRVIQRVLEHCGGNSQGQCIIDEILQSACILAQDQYGNYVTQWILQHRFSHDPSNV >Sspon.03G0028390-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3B:9211814:9213406:-1 gene:Sspon.03G0028390-1B transcript:Sspon.03G0028390-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQPIRKVHFDRIARALASDHPPPPPAALHAHLLRSHAAATAPNLVRSLVNGAINRLSKPRPRAALALLLLMPRLPVRPDHFTLPFALNAAASLRLLPLGASLHAVAFRLALLPLRLPVANALVDLYAKCEEFPAAHAALVDIPAPDAVSFNSLLCAHARNASVRPAESLFAAMRSRTQVSWNAMVVLYVSAGDLLSARRVFDEMPTRDTASWSVLIAGYCKGGLVQNARELFDKMPSKNLVARMAMINGYAQTGRPKAALALFRDLEASGIEPDGATMVGVISAVSQIGSTELAGWVGAYVDRKKIERNVKVLTALVDMHAKCGNIEQALSAFREIPQPDAYPYTALISGLATHGHEKLALSVFERMQAQAVKPDPITFVGVLTACSHTGLVDKGLEYWEAMARDYGIERRADHYACVIDMLGRAGRIEEAFEMVRTMPMGPHPGALGALLSACKTYENVEIAEIVASKLFELEPWNTGNYILLSNIYAGKELWEEAERVRSLMRTKLPFKNPGSTWFEDRQREHAKM >Sspon.02G0007540-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:21271596:21273866:-1 gene:Sspon.02G0007540-2B transcript:Sspon.02G0007540-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSIRRELQRRRSKPLAPTSSVAKKPSASPPPRHGSSDAVRGPCPRLPRQEVPSSTISHSRGSTVTDASPQPRPRSSSRCNPASPPPPPLLRSDPSSAGSAFRATPAVPAQLKPGTVVRVRTRTATLKTGQVLVLCLKATIVSSSTDGGYEVVYDANFPRGDPKSTVHVAPHQVRVIDPSPSLTTPPPSLPPPTATVAATTKKEMPRPTTAGKSLRLIRSLFPEMELPALLKLFFMLLQMKTSLSLLLFSVIYIIINVVLTKSWGAVRAHSLSGTVCQLGHFTHFWSDHCFIREGFLEQNEVQADCSHSHSDWHHVPEHLVTGNVSTKSVHKYAFALFFFTCDNHSAVTCLNRYRGEN >Sspon.01G0026500-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:69016998:69019575:1 gene:Sspon.01G0026500-1P transcript:Sspon.01G0026500-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGSVTLKQKKRVKQAKNKYLKPGALAQIRYSRSTSRDIGKKRILLNAKDELELPPQPEVLLENNTPILSPARLNFEPFDSNKGQILPKTPKTPDASVFGGDSRLESLPLDLLIKIMCCLHHDQLKAVFHVSKRIRKAVELARQYHFNYTTPDRSRQELLLNKTPLPTEHWPFLRIDGKDVRVSTPRTPRAPKHAARLSRLRLVDVKPITAVLFQESPTPFPSKRLRRSVPPGLPRPVCKAAPSPRVLRYEEELCEADSAKVVYKIKLHSNVVRTQEIQQKTLKYSTDHAAIVQRSAVMTHHIPYRLMICAMRRL >Sspon.06G0014920-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:82972999:82976021:1 gene:Sspon.06G0014920-2C transcript:Sspon.06G0014920-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLTDTKQFVSAKDVLKKEPVSDVIALKESMKYFDADFFNDSKLREMEDGAKEFNVPAFRENRKLVASENGGLHNPSVLLFKSSWSSDSMTSETRSFDYPRASSVHRPTNDEDIAFMSVIELGELIRTKQITSCELTDIFLRRLKRYNPVLESVVTYTEDLAYKQAKEADDLLAQGKYLGPLHGIPYGLKDIIAVPHYKTTWGSRTFKNQVIDSEAYVYKRLKSAGAVLVAKLVTGSLAYDDIWFGGRTRNPWNIEEFSTGSSAGPAASTSAGMVPFAIGSETAGSITYPAARCGVTALRPTFGTVARTDVMSISESLDKLGPFCRSAVDCAIVLDAIRGKDAGDPSSREVALGDPFHVDITKLTVGYLDDAEMEVVDVLSSKGVKLVPFKLNYTVESVQSILNITMDTDMLAHFDNWQREGHDDDYEAQDQWPVELRRARLIPAVDYIQAQRARGKLIREIKDSFTVDAFVGNVTDWERVCLGNLVGMPVVVVPTGLKSIQDPPKGGTKRRTTVTTGIYAPPDHDHIALALAMAYQSVTNHNKQRPPIDDLGPNDGIH >Sspon.02G0040710-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:71676957:71681223:1 gene:Sspon.02G0040710-1B transcript:Sspon.02G0040710-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIMAAVQEPCICRCGDCGRGTHRRRVLMFPMPFQGHTNPMLQLADVLHARGLAVTVLHATCLSAPDPERHPEFQFVPVPDGVPDHVAASGDVLGIVHAMNAAMEADESAVLRDVLATVLGDERQPPAACIVFDANLLAVPKAAAALGLPTLVLTTGSAACLRSLVAYPMLHEKGYLPHQESKLDLPVKELPPLRVRDLFYTSPSHQEAMRRTFARVVEAVKKSSGLVMNTFDALETAEVQMIREELNIPLVLAAGPLHKLSSKSIGSSLLEQDFSCIEWLDRYQPESVLYVSFGSLASLGSNEFLEVAWGLANSGHPFLWVVRPDLVPGLDCLDFPNDFDAAVDGRGKVIQWAPQQEVLAHAAVGGFWTHSGWNSTLESISEGVPMICRPQFADQMMNTRYVVNTWGVGLELEGELERGKIEKVVRKLMKEKEGEEMRDRAKELKKNVADCLKAGGTSQMAIDKLMGNARYVEHVWRTGLTLDGELERGKVEAAVAALMGPGEPGAGLRRRALELKSSAAECMAKHGSSWTSVDKLVDHIMTL >Sspon.05G0031350-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:4552792:4553974:-1 gene:Sspon.05G0031350-1T transcript:Sspon.05G0031350-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ELQDGRSSAPAPPRPSPLCGRAGDRARGEGRHARPPRGFSPHGCPARPWRPPKKLPRQKNSAKSPRPRGPEGQDKKAVVSYWGIQPRKLVKEDGTEWRWFCFRPWDTYRADTSIDMKKHHEPKALPDKLAYWLVKSLVVPKQLFFQRRHASHALLLETVAAVPGMVGGMLLHLRSLRRFEHSGGWVRALLEEAENERMHLMTFLEVAQPKWWERALVLAAQGVYFNAYFVAYLASPKFAHRFVGYLEEEAVHSYTEYLKDLEAGIIDNTPAPAIAIDYWRLPADAKLKDVVTVVRADEAHHRDVNHFASDIHYQGMKLKDTPAPL >Sspon.08G0007720-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:23809747:23820966:-1 gene:Sspon.08G0007720-2B transcript:Sspon.08G0007720-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHLQLLFSYSRRHPQHHRLLSLFHFSSDANSSSASPPPPIKPVSYAPKPQQQAPPEESAAPLPPDAGPRDQSPLPRRPQPPMPPREWTRQDMRYVKDAAPAISPVSYPSRVAPLPEDRPVGGEAEAVQHEGLRGEAERIQMDAARATRSIFGMRLEEEQLPYPTIIPVVKRPQKVAIDLVDAIRLVKTSANEKKRNFVETVEAHVILGVDPRRGDQMVRGALTLPHGTGKTVRVAVFAEGPAAEEARAAGADVVGGDELIEAIRTGGGKLSFDKCIATPMFMPRLSKVARILGPRGLMPNPKLGSVTNDVSGAVKAAKSGRVDFKIDKTAIVHVGLGKVNFTEESLRENIGAFVHALLLAKPVGLKKTSKYVGYVKKFTLSSTMGSGFPVTIPSLSVAADHYNKVQVS >Sspon.03G0009950-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:33544024:33545770:1 gene:Sspon.03G0009950-2B transcript:Sspon.03G0009950-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEGGSNLAVLDALDTARTQMYHMKAIVIAGMGFFTDAYDLFCISTVSKLLGRIYYPDDNLYIDKPKPGTLPVATNNLVTGVALVGTLMGQLVFGYFGDKLGRKRVYGITLVLMAACAIGSGLSFGKTRSAVIGTLCFFRFWLGFGIGGDYPLSATIMSEYSNKKTRGAFIAAVFAMQGVGIIFAGLVSMIISGILLRYNPAPAWKDNHDGSWQDQMPAADYMWRIVLMLGAFPALATFYWRMKMPETARYTALIEGNAKQAANDMQKVMDVEIQAEQDKLARYKAANDYPLLSREFAQRHGLHLIGTATTWFLLDIAFYSQNLTQKDIFPAIKLTSPAGDVNALKEVFEISKAMFLVALLGTFPGYWVTVALIDKMGRYLIQLIGFFMMSVFMLLMGIMYDDLKNKYTTLFALFYALTFFFANFGPNSTTFVLPAELFPTRVRSTCHAISAASGKAGAIVAAFGVQNLTLKGDVRSIKKALIILAVTNILGFFFTFLVPETMGRSLEEISGEDGNAGNGPGVPPGAATGVADVSRDDKIPVSSTEWQSSMHA >Sspon.06G0028170-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6C:3252249:3252480:-1 gene:Sspon.06G0028170-1C transcript:Sspon.06G0028170-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RLDAVDHGAELDAVDHGAELDAVDHGAELSARSSATSNRITKLDAVSHGVETCKLNAMNHGVDPQGPKLSLLRPKV >Sspon.07G0019890-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:73556540:73567339:-1 gene:Sspon.07G0019890-1A transcript:Sspon.07G0019890-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MWSENIVSYYSQQSMGDPNSNDSSMVHGTETVFEDCEIFLGGNEMVDGCEAIISDKMGHDAEMILDHKMVDIHDNKMVHSNEIVPGGSKVDPGGEMVLYDQTVLHCNQTVQGSEIASGNVTTGLKPPTSSKRRRKTSMVWEHFTTEDSEGCTRACCKHCNDSGSKMSGTSHLKRHITQGHCPEIKVQEPTAGGTENDCQGTEEKPCKRRRRTRTGYANAPFNADRSKSYLAKMIILHDYPMQIVQQPTFISFVEGLQPSFKVVNTDAIEAEVYAVYLNEKESVLKQVGNIPGRINLTVQSWTTSQTLGYVSLAGQFIDSEWKLHRRMLNFVMVPWPGCSENAVSEAISRSLPQWNMTDKLFTVTGDYDSSSHDIYSLNLREELSRKNIPMLGGQLLVVRCYAHILNAAASDVTASVQSVIYKIRESMHFRLQSMKRNHMSSTLLENHKNWQEVCTEYGPEQEKEEVEGAAEAVTPPRRRGGSPAHGLPAGQSATEQDSLCLWGALYCCDGSRTELRRDGRER >Sspon.02G0011390-4D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2D:24607761:24613308:-1 gene:Sspon.02G0011390-4D transcript:Sspon.02G0011390-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LRVSQVRLFAEVAQQEAVGEEAGGAAALASGGCEPAIASADSEAPAVDSEVEGKEGTVDGSAVADVAEAVVHQEASTEQDGDAAVMPSGHDDALSSPKSSSAAMESEVIRDYNKEQNTTDLLEPQEHGTVGASALVENGHLCADMKADSFVAATEPDSQEDAAVESCRHDDALICTESGSADIESEVYGEDSKQEQSNAGVVEVEGQGVATTEPENQANESKLTEVAESVEDDAASGEQDATDASQTNGHIYVALSADSCIVASESKAHSFETDGQETDQQEEEAPKTEAEVLEGVLKPTEGNCAGSVEELIGEEVDADGHASVEGTTDASGEQEANPMQVVGEATGGILEFEEVDMGKDGEEGLCDDSTVGKEKIDEAVPSVNVGESEEVTENISQDTMQGGLAKDGVYIGTIHIKPGSDPYVESSLEHKVKVEVDLVDEITAESDLKAENMVEVRTAREVDEIEVKELAFADEANVAPLHPQQDCESVMETIEHEKVEAPGVSQPHKIENSSKVELKKEFEMEVDDAVPFQEAAASAASVFHHEPSASLDHGTMVVDEVEINSATGNVSKEKSSNVVVDQVEPVKLNADELVVDDDQPSFDPSCESVSEMIANHWKISQKFAMHLAVCDQYKEKLDAARQEEREARTAHGYKKNDLNSVRSVLGKLHQANSVEELDELIAKKERTMQHETISLKEEKLLIKEINELKAQRKQLSSTMGSKAEINEAFDQKDHIHERHKVLKKDSDVLFTNLKALEENTRKIQKSFEDERTALRKLTDEHRAANEIRQKAYCEWTELRNEPSKKNEYFFKYRDARNAAETFRANGDTNGLKTHCDSQIERVMEMWNTNEDFRKQYVESNKVSTLKRLGTHDGRRLGPYEDPPVIPSRRPSSIYPLSASSPEVPTLASIPAPVLAAPAAAPAKEDSFPVLAAPQTSKRGKSKASGSSAQIENNSVIVSEAEDLKQTLKEKARLLEEQLELARKAEELARKEEELREERDAAEKERLRMEQKAKAKEAEERKRRKAEKDKERAEFKARKEAEEREKKKAKKDKKKGTTPAADSSAIGDSHAAALATADTDSNASDNPREVEVSQPAVAPKRLSRPAAAIKQLNRLQPMPAPLRNRGRRKLRQYILIAAAVLSVLALFVAGNYIPRLKSVHS >Sspon.05G0008420-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:27482958:27484164:-1 gene:Sspon.05G0008420-2D transcript:Sspon.05G0008420-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKLRYPKKLFRRSSSKGSTTSSSDGDAGSVRGGEIEWEVRPGGMLVQKRDGRADVEVITVRVTTGFSWHDVSVVATCTFGELKVVLSMVTGLEPREQRLLFRGKEREDSDHLHMIGVRDMDKVLLLEDPALKDMKLRAGLVAQAVQSPYQTFIK >Sspon.06G0013540-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:70460259:70465369:-1 gene:Sspon.06G0013540-1A transcript:Sspon.06G0013540-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTLIIVASLLLVLMLLFSSAAGLQVRAGGSGGLLHIPSASSLAHCPSSCGEVHLFYPFGIGPGCFRQGFELTCHHATHPPKLLLGNSTSTQLTVAPPGQSLIGFFGFIAPMGRGVDNVSWSWETPDEGVFISSNNALFVVGCNVEAYMFGDNMTDLIGFCMSVCTDDRETMERVNFDGYSCTGFACCSIQLTRDLTAFTLKLVRHSNVTTRVKLDEGLSDVKVLLSNWYRFAMADLYTSWVNISNVNDVAIEIAITDQPDCERARANKDTYACNDESNCSNLPSGRGYNCECPNYWQGNPYVVDGCIKAYNALLPKENCTRSCGNITIPFPFGVEEGCSANTDFQLNCTPSNVTILDRGYAQYRVTNVSLNGSLTVSNMLNDTSSNNIERVIDTNNYGHTYYDLRELYMSVVDGIFDFSQEDEIRIKWVAANLTCEQAKQRNATYACVSRNSYCHNVTAGKTQYGYCCKCLIGFQGNPYLQNGCTDIDECSIPNKCNGICQNSDGGFSCTNCPHGKVYDPTKHKCVMSAKLHNIILAKNLNEHIDLVNGAHVIPQVSSRCYSLEQEYVSSFRP >Sspon.07G0018590-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:74690262:74693090:1 gene:Sspon.07G0018590-1T transcript:Sspon.07G0018590-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFCLRGFSAERTHPPATKRQGACLASRRRRRRGGPARALDDATLHAILVRLPLRDAAATAVLSRRWPRVFATLPRLVLHPATFNRRGFPDEEGDEDPTRWMCALCCVLDRRAVPVAAFEIDCRFMGLYAADSWRLELSIANTDYKECYMLPDAVYTCTTLTSLDLYNCRLQVPSRATAVALRALQSLRLRNVVARDSDIRLIISRCSAIERLEIHDIHMARNIVIRAPCLKKLDIYSYRPLCISLKKAKALPLNMVRLSLSYGYREHFWSLYDTMDTNREYSIHEIEEMLDYKKMAEREHKQTDGIKNMETFLTALSCTETLQLHLSTEYSEYLLGLKSLSLTLDHNHEVLATLVSCLLDSSSNRIMELRHAGSPVPLAAEFWEKQITADRFLYHLSSVTFYTDSLLEGHPCGGICKFLVMNVRVLKRMSIVYHHSQVKPEHAAKLEAARRELHLWPRASADVLLELTPVDRFP >Sspon.07G0035060-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7C:85286510:85287388:-1 gene:Sspon.07G0035060-1C transcript:Sspon.07G0035060-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIDLDLSQNRLSSTIPASLFHLDSLMQLDLYQNSLNGALPVQIGSLKQIAIIDLSSNIFVGSLPGSFGQLQTLTNLNLSHNSFNDSVPDSYGNLRSLKSLDLSYNDLSGTIPRYLAKLTELTILNLSFNKLHGQIPEGGVFANITLQSLIGNSALCGVSRLGFLPCQSNYHSSNNGRRILISSILASTILVGALVSYLYVLIRKKLKKQEMVVSAGIVDMNNYRLVSYHEIVRATENFSESNLLGAGSFGKVYMGQLIDGMVVAIKVLNMQLEQATRSFEAVSCAAHGATS >Sspon.02G0004420-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:8454751:8455770:1 gene:Sspon.02G0004420-1P transcript:Sspon.02G0004420-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQYDGLFPAAYVDSSSSLLVPNGTAQGERPRARRRRRRAARCGGGELDGGGDPKKRRLSDEQVEMLELSFREERKLETGRKVHLAAELGLDPKQVAVWFQNRRARHKSKLLEEEFAKLKQAHDAAILHKCHLENEVMRLEQRLVLAEEELTRFRSAGSHAVSGDGGDVMGRAVCSGSPSSSFSTGTCQQLGVDVGGGDHLGDDDQLFYVPDYAYADNSVAEWFSLYGL >Sspon.02G0009700-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:30294920:30298341:-1 gene:Sspon.02G0009700-3C transcript:Sspon.02G0009700-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGDNRRRTGASSSASIASTSTKRDLQEESASASDESGDDEEVPSSSESESESDGEDAERERELERALADVPFGELQRARADGSLARATSAAKAAAEKKTRRASKKRPMEISTKVRPPKLREVIQVPKKVGRDPRFEPVYGSVDKEGSSIDKQLKSHPQKNIESEILREHIKKEREAAKSGKRPYYLKKSELRERKLMNKYNELKEAGKLDAFIERRRRKNASKDHRYMPYRRNGDDA >Sspon.02G0019390-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:63854254:63864377:-1 gene:Sspon.02G0019390-2B transcript:Sspon.02G0019390-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKAAAAGALSAARARVGCADAAAKSGAEGAEKPLEGLHIIVDAGNGAGGFFVDKVLKPLGAVTTGSQFLEPDGLFPNHIPNPEDKTAMMSITQAVLDNKADLGIIFDTDVDRSAAVDSSGRELNRGKHHRFKRGYKNVIDEAIRLNSIGEESHLAMETSGHGALKENHWLDDGAYLMVKLLNKLAAARTLGSSIGSKVLTDLVEGLEEAGVTAEIRLKIDQNHADLKGGSFRDYGESVLRHLENAISQDPNLHKAPKNYEGVRISGYGGWFLLRLSLHDPVLPLNIEAPSNHDAIKLGLAVLAAVNEFSALDVTALNKFVQQ >Sspon.02G0059690-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2D:97923822:97925285:1 gene:Sspon.02G0059690-1D transcript:Sspon.02G0059690-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIAFSAILGEIAHRSISFVLEKLSKEKETASLPLPFDEHLRHKLLRVRIIVEEAEGRQIRNQAMLQQLKVLRAALYRGYYVLDTFKNQASRQEETNDGAVSHSFALSKFNPAKRIQLCVRRRSCQGGEKELHQVFRSLQILIADSSEFVMFLNGCPPLLCRRPYSTYLFMEKCMFSRHVEMEHIINFLMQESCHGTSGNYLDVLPIVGPAKVGKSTLVEHACSDERVRTAFSQILFFTEEDLDATRFASVRDDGRVLVIVEVNGDIHEDTWSNMCSTFKMRATTGAKIIICGRSNKITRFGTTQALKVEYLTQEAYWYFFKALAFGSADPEEEPRLAPMAMEIAKGLNGSFIAGNIMASMLRDNFSTKFWGMALSCTKEVSQRYNFIFGAHPVSPLQNRKLVQRLDGSNDYCLVFNDYQIVSAYDDEAPMITFQEVLSGSVEPQGKFDVVAWRSPIAPHYNYIFSCEIHKAPVLGLRKKRNLKRHC >Sspon.08G0000720-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8B:1000291:1003199:-1 gene:Sspon.08G0000720-2B transcript:Sspon.08G0000720-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VVVVTAVWPMGCWSTPQWPRTGAPDIREEATHRVHPQPMVASERTRHRGTGAGLRRQALGALPPLQCQVSCRRGATCRTLDSRPEAYRLLESRQDPEADRSC >Sspon.06G0007290-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6A:27039941:27040989:-1 gene:Sspon.06G0007290-1A transcript:Sspon.06G0007290-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRGRHRRPAAPVTLPDDDDDILSEILLRLPPRPSLLPRASLVCKRWRRLVTHPHFCRRFRSRDPNPPLSASSMNLLTSLSSDPNFGCRHGRVLLYNWKEKEIVVWDPPTGDHRRVAVPLEFHNEERIIWNGAVLCAAASDHSHVHGGFCSCPFKVAVVGVTLNHTQVFACIYSSQTGKWSNLVSAALPFVVYYVGRPGVLVGNALYWMPLGHGYGIAEFDLDKHTLALVECPSGAEVSKGGSRILLAQNGVLCLAILSGNSLQMWERKVCSEGVANWVLRKSDELQKILGQSSSTTILGYADDINVTSLWIDGSCYFLQ >Sspon.08G0014990-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:101929877:101933751:-1 gene:Sspon.08G0014990-2B transcript:Sspon.08G0014990-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGEKETIDMSDLNASLPAAAAGNLRNADTVSHPLALEFAPNRSALSCGLHVALSAEDRAGLVNALKDKLQSLAGQHADVLESLSPNVRKRVEFLREIQSQHDEIEAKFFEERAALEAKYQKLYEPLYTKRYDIVNGVVEVEGVIDEPTSENAAEGKEPDAKGVPDFWLTAMKTNEVLSEEIQERDEPALKYLKDIKWSRIKDPKGFKLEFFFDTNPFFKNSVLTKTYHMVDEDDPILEKAIGTEIEWYPGKNLTQKILKKKPKKGSKNTKPITKTEDCESFFNFFNPPQVPEDDEDIDEDTADELQGQMEHDYDIGRDLVANIRCRRRCRKEMLNNQRSASSSKLAGCHFWPADIGVWLMQYFPLSCLEVMSVVVCAGQMLMLISVSFLLGGEGVVWSTLCCVGGSILVCNLSASVLGF >Sspon.01G0027070-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:93899704:93899973:1 gene:Sspon.01G0027070-1P transcript:Sspon.01G0027070-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGAQGAQPKGAFTATTYTSAPATTGGGVAQGQERRQTPRTELRSGEDERGLPVRKLEDTVEDAAGKGGPVFGAGTEDGKPDLGVTGTG >Sspon.04G0034600-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:76720817:76722716:-1 gene:Sspon.04G0034600-1C transcript:Sspon.04G0034600-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTSQIASCAFTLGTVAVLPFYTLMVVAPNANITKRTVESSAPYVALGLLYAYLLYLSWTPDTLRAMFASKYWLPELPGIVRMFASEMTVASAWIHLLAVDLFAARQVYHDGLKNNIETRHSVSLCLLFCPVGILAHVVTKKSLIAVSMMLTSHT >Sspon.05G0014250-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:52230355:52234083:-1 gene:Sspon.05G0014250-2B transcript:Sspon.05G0014250-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGEMNFVLARSPVLEILNIEGHLLPPLRLRIISHSLRCVQIHGSTVDSVTVVDARRLERLLLGFRTNEDSCCKIKIIHAPALHMFGEIELGKNELQVGNNIIKAGTMVNPSVRLPAVTILDLHVRFGVRNDCKMLPTILRCFPNIDTLHIHSKKTTESTGRLGIKFWKESGAIKCVTSSINMLSVHDFRGERSELVFLKFFIESAQMLKVSMEWPARSVLEGSTRARAIELPWPKLLDQSPPCLLSI >Sspon.05G0007250-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:22765667:22771963:-1 gene:Sspon.05G0007250-1A transcript:Sspon.05G0007250-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVEQMLRAAASRCARGAVRRLSSSAAVEASPVAVGSRRQPLLDEGDWSYYREWWGEEDGPGEGAQTVFRRHSECGNGVVSVSAYPASRPASEHWPATERWLQERNAKLYPESASSDQFKVLGYQWRVMRFNDHTRQSTAKVMTCYRTSGQRSLFLMQQPHVLAVPYVKSMVSAGLTALPCSSYDLPQAASGQNTMKILCIGHGGGTLPLFLASKFRGATIHIVEIDPVVVSASIESMGFPMSSVKGLSSESMLPADADDLLWGGIHDRIFLHIADAEDFIANDSNEYDIVFIDAYDGDDVFPRKLWDVDGAFMKNLEKKVHPIHGTVVVNLHSDSELPASEAEGNADFQSMLPMGRHVSQVCSAYKKHFGLAFTAAVPWLCNITLVVCRDKGIASGARLGLSHRDFVLGKLLSKSETVERALDLPFPCLPYIKNGFTM >Sspon.02G0037050-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:34762345:34763239:-1 gene:Sspon.02G0037050-2C transcript:Sspon.02G0037050-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRGLASDAARPHVRMSLHLPTRLRLLHVGARALLFPAPMRAHVGSASRSTSALFLAPAAHALHTHEHARGPSSSRRLRQMGPTATCRTSSCCLGESRFIDAELDTGTKLDAMEVAVRISAAQSSPAAVRVELSDEAWMSATRSWATRGSPVAARAEFAGSAQRGWGMAVTCARRVAT >Sspon.06G0001030-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:1558047:1560447:1 gene:Sspon.06G0001030-2C transcript:Sspon.06G0001030-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box protein At-B [Source:Projected from Arabidopsis thaliana (AT1G55590) UniProtKB/Swiss-Prot;Acc:Q9ZWC6] MEDTVAEKKSRTAGDGDGCNGEPSGTAESGGGGGGLVERLPEALLVEVLGRLDLDDACSAAASCRSLHAAANAALSAVTAIDLSVSFTLPSPPPLPRRTPSWAGFSRGTARSAASPVNCSLLDDSAASVITRGSLRELSLLKCSFSMSFFVAVGAGCRNLRSLKLEMAVAPDILYSRYSGFGTCLAPIYTGCVYLETLWVKFPLLDPRTAEYETGTGLPLIPSNIKDLLLQPVSHSRAKTVFLKTTSLSKHITDSLESLSLVLDTITDELVMLITSNVHKLVELCLEDEPVTQPNLPEDLTNVGLQALGLCHNLRHLSLTRRCCDFRRVNDFGILMLADGCKQLRTIRFGGFSKVSDAGYAALLHSGKDLKKFEVSNGSCLSDLACLDLDKAAPNISEVRLLNCALLTSDTAISLAPCTNLKVLDLSGCKSIADSGLVSISRLPNLTLLDLAGADITDVGLSALGNGRCLISSLCLRGCRRIGSNGIASLLCGTGTINKTLVSLDIGNVPRISCRAVTVIARNCEQISSLCLRNCLLITDSSLEVLGSMGCDSSKCSLRMLDLAYCSKLSRNFLRHFEPPLFRGLRWLGVGKNVAQRRGCSPTVAEVLERKPGLTICCNACDMGCRNKCHPDTRFLQ >Sspon.02G0034630-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:8936231:8947286:-1 gene:Sspon.02G0034630-3D transcript:Sspon.02G0034630-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGIAPAPSAQCHGVPAETSAPPRLQIVTPAHPPLGVVEVPSAPRLGIAQVGLVSPGAPHLGIALMQSPSHLLQVGLVSPTPVLGIVMATHLHLDTALASSLRLDTGTVSSHHLDMDLVSPALRLDIAVIPSSAHLHIALASFAQHLRTAVVLVESSSPHLHSNSASSAPHPHIAGLPSALRLGIAEMPSALGGDAVPGTATRLVDRRVRLDMAMSKRRDLPTSSSVAIVYGLKSKATTAPEVWICIFSGGGVIRSPDRLSPLGPAEQSEALRSRSSFCIRFPDEDTDVPPLDPHGRTLLPPPPPLLPGAPAPEAKGKRELGKEEDEDMAAAGLRFCDGDRSGNGKSPRDFTPKVLTGGIAVQFTFVEHTLIMTLENKAYRFSLLFSMK >Sspon.08G0018640-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:6706421:6716377:-1 gene:Sspon.08G0018640-1B transcript:Sspon.08G0018640-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LVVTVLLATAAAASAAGARPSEVAVGALFTYDSTIGRAAQLAVELAVDDVNADAKVLAGTKLDLMPMDTNCSGFLGTMKALELMEKNVVAVIGPQSSGIGHVISQVVNELHVPLLSFAATDPTLSASEYPYFLRTTTSDYFQMNAIASIVDYYQWKRVTAIYVDDDYGRGGVSALGDALALKRAQVSYKAAIPPNSNTDVIRDVLFRANMMESRVMVVHVNPDTGLRVFSAAKKLQMMASGYVWIVTDWLAAVLDSSPASRDPKYISNIQGVIVLRQHTPDSDAKKKFISRWNTVARNRSMTSGLNSYAFYAYDSVWAVARSVDQFLNAGQQINFSTDPRLHDPNGTTLRLSTLKIFDGGDQMLQQLLLTNFTGLTGPVRFDSGGNLVRPAYDILNVGPSGASLVGYWSNYSGLSVAAPEILYQMPSNASSAYQLKTVVWPGDSTDIPRGWVFPNNGQPLRVGVPVKPSFKILVSGSSPDTVRGYCIDVFKSAIKLLPYPVPYQFVPIGDGTTNPSYVGIVGMVASNTLDAAVGDFAIVRNGTRLAEYTQPYIDSGLVIVAPVKHVSSSAWAFLKPFTWEMWFVTGALFILVGIVVWLLEHRTNEEFRGPPRNQIITIFWFSFSTMFFSHRQNTGTALGRFVLIIWMFVVLIITSSYTASLTSILTVQQLATGITGLDSLISSSLPIGYQTGKFTKKYLMINLNVPESRLVQLDTIQEYADALTRGPKNGGVAAIIDEKPYIDIFLSHYCNFKIVGQQFTREGWGFAFQKDSPLAADMSTAILQLSESGKLQSIHDEWFTKPSCATDDESNLGATRLGLGSFWGLFLICALICLLALVMFFIQVCWQYKQYSNSEDADEPSAADADGAGKRQRRLSGLGSFKGIVKFVDMKEEEIKKKSMKRRSGEKDNHAAGFSDAQSVASA >Sspon.04G0005400-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:16103218:16107506:1 gene:Sspon.04G0005400-3D transcript:Sspon.04G0005400-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTITHYAVLDPLLETGSPAQVQKATPKPPPSPPDKATPVPVAPVRTQTSPASLYATPESTTLPDSPSSFPGTWSPYIINHKRRGASLAKTFSQGDVGSEGSQPKLPVMLPAPPKGGEPIAVEEPEFTFQQSGNCQAEGDSGVEEAPINGKNEMLLKGKGSVSAKNEQEQPEFEFQHGSFEALVRPVNVGRPLIGGAPKNDESDTFLELQDSMSVASNTEADDAGTQERWWKPSSPLGTSVGTPGAEFYDAFEEISSDGGTRSSRAMDDDLREMRLSLLMEIERRKQAEEALEIWQKEWKKLSHHLSHVALSLPSPSIAEDTDDSSVDPGAELCQQITVSQLVAAAIAQGFVRAEVESEMETVIAAKNFEIARLSDRVQYYEAANREMSQRNQEAIEMSRQQRKERKKQQKWFWGSVGLAVTLGATAIVWSYLPSSQPQASADSNSTASD >Sspon.01G0048010-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:108221337:108224146:-1 gene:Sspon.01G0048010-2D transcript:Sspon.01G0048010-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMARSVTDNVPCVSKNLQLQFMTRLSLPIFTGSKIEGEGSLSITIALVDTLTRQIVAPGKEFQIKVEIVVLEGDFESGEDDDWTAQEFNNNIVKEREGKRPLISGDVFIALVDGIGTVGELSFTDNSSWTRSRKFKLGARTEDGCFNGVRVREAKTESFVVKDHRGELYKKHHPPFLEDEVWRLEKIGKEGAFHKRLNRESICTVKDFLTLLNLDASRLRKILGGGMSAKMWEATVEHAKACVLTDKVHHYYPDSLNKAGVVFNVVGEVRGLISDKYVSVDDLTEKEKAEARAAVKQAYEHWKDVFTCDNETLVENPSQPFNMRSPSLRENQYNQFPTQVSTDEFSLSHSTIPSPDIFSMEPSSALDPCVLETEETNANQFQSVLPPVGGHEVPQESQSLDKFSNSLVYNDCTAHPPFSESYYSSVDPSISFDTQDLGAALKGFIATISKPKAAYRGWRTLSYVLGWIFYTKRIVAKRKKHGK >Sspon.07G0027420-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7B:60182536:60182985:1 gene:Sspon.07G0027420-1B transcript:Sspon.07G0027420-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGKSISLQFFSKRLALGRPDAASVCTSTPAAILFSIMISSWSQWLQLRTPLCLVLRACNLSMDAASCSASPCVLRLLLIHGCSFVLRVYSHVHGYSFVLRACCRAHFASRTHAGIAVSKGSTFASAPLPRMLIKHLQQKSICCNMRLK >Sspon.03G0016790-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:53050626:53053943:1 gene:Sspon.03G0016790-1A transcript:Sspon.03G0016790-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSHLRSLRFLAFSSARSRSLLHLLARPLTSSQSSHACHHSPYPAGAPNHVHHQAQQQQWAPPRNPCPDGPPPQWSSQGHPSPPPPRNYQQQGPPPPRQTYRFPPTQQPMAPPRHGYEPPHPQQHTAPSPPEPAAGPGELIGLCLEGRVKDAVELLAKGARADPPAFYELAAACSNPKLIKELRKVHNFFLRSPFRGDLRVNNKLLETYAKCAAMPHARMMFDNMPDRDMDSWHIMIDGYSMNGLGDEALRLFELMKECLAPTSHTYVLVLNACANSEAIEDAFLYFDAMFRDHGIEPGVEHYVGIIEVLGKSGHLNEALEYIEKLSFEPNAIVWELVLNLARMNGDIDLEDRTEELLVSLDPSKANPKKLSTPPPKRRLGINMLDERNRLVEYRLTPKIERKVVNEQRYVPDTRYVLHDIDQEAKEQALLYHSERLAIAYGLISTPARTPLRIIKNLRICGDCHNAIKIMSRIVGRELIVRDNKRFHHFKDGNRPFGYKQHRKVAACTFTRDDSI >Sspon.01G0040070-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1B:38802207:38802938:-1 gene:Sspon.01G0040070-1B transcript:Sspon.01G0040070-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPTQEIQPWWRRCHQEGDRAAAEAHAAKHPRGASHARQRLPEVEPNATSVTEHGQATTTPTTMAAPTSELDGPKQRRSRSSRTTQTPTTLGTTTPDTEAGRNRGWHREEGATTEPSGFSFLTCFPFLWQDVYNFMLDSGMDPVALDIPLGSSPMSNLQAMKYSTSHRGQDSQPDSNTVDGIMNGVIKQKLKIIPKNFTSVCAHLYRITVGRFPVSVVTLQFQMYIGGASNPTRSTTRWSTIS >Sspon.02G0025570-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2B:90187870:90190136:1 gene:Sspon.02G0025570-2B transcript:Sspon.02G0025570-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVSADGNVERWVVSRQIELRTLLPAHALAFCVVAVADAVGVIFVYTVDGVYIFDLNSGQVTKVFSYGFYEVIPFVSFCTPGGKTSSKSKPLNLNKVHGGSAGSSIVKTPRSDPREAILETHLYVGKARSYPRSYPTGTLALARGSNED >Sspon.06G0017140-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:75003156:75006845:-1 gene:Sspon.06G0017140-2D transcript:Sspon.06G0017140-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAQQQQKAAASASAAGAEQEEVEHGPFPIEQLQASGIAALDVKKLKDSGLHTVEAVAYTPRKDLVQIKGISEAKVDKIIEAGKFHDLTFPTELILVTSTDLAFCYDIPATGGIETGSITEIYGEFRSGKTQLCHTLCVTCQLPLDQGGGEGKALYIDAEGTFRPQRLLQIADRFALMVVDSATALYRTDFSGRGELSARQMHMAKFLRSLQKLADEFGVAVVITNQVVAQVDGSAMFAGPQIKPIGGNIMAHASTTRLALRKGRGEERICKVISSPCLAEAEVRFQIASEGVADVKD >Sspon.01G0003310-4P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:73609699:73613619:-1 gene:Sspon.01G0003310-4P transcript:Sspon.01G0003310-4P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding METEFQDGKQEVIEAWYMDDSQEDQRLPHHRDPKEFIPLEKLSELGIISWRLNPDNWENDENLKKIREARGYSYMDICDVCPEKLPNYETKIKNFFEEHLHTDEEIRYCLEGSGYFDVRDENDQWIRVAVKKGGMIVLPAGMYHRFTLDNDNYIKAMRLFVGEPVWTPYNRPHDHLPARKEYLDKLLKPEGQAVEAR >Sspon.06G0021230-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6C:14673522:14673746:-1 gene:Sspon.06G0021230-2C transcript:Sspon.06G0021230-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFQRNIEWRLVDAWSSQVWNSPVAQSSPLRWRRPQQVRALEKAAAGRRLSGEEDQPPRLGAVEMPDGGAKTRW >Sspon.05G0003020-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:1549692:1553832:1 gene:Sspon.05G0003020-2C transcript:Sspon.05G0003020-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRNRSAAAASCAAPAAAPSLRTPRRLKRRPVKAPAGGGRRSGPATPLLKWDVTGGRGEGRKGAGAADEARDAARARETKAREVSVRRLAAGVWRLRPPEAVAGGGVGGGESRVRVGVEHIPRHLQVQLLKQNTSGHHQSLKNEVSSPISVLERKSGELHKVQLHATSAMLPVTTMERATKWEPGDIKGMESHDAYLIASQLNLLNEQQDTAYVANLQMELQQSRDRISELETERRSAKKKLDHLFKKLAEEKAAWRNREHEKVRAILEDMKADLDHEKKNRRRLEMINLKLVNELKEAKMSAKQLLQEYDTERKARELTEEVCNELAREVEEDKAEIESLKQDSLRLREEVDEERKMLQMAEVWREERVQMKLVDAKLTLDAKYTQLSKLQQDVEAFIAACSTANGDITVVEEAENIIQAIKSVRAQDAEFRYEPPAASEDIFSIFEELRPSEEPVIKEIEPCYKNSSAKCESEIQEVSPMTDIFLEKKAKAYSNKSPKDESDTEDGSSWETISHEDMQGSSGSPDGSEPSVSNKICDGSISWKSRNGFEYMENEKLKDDLADAYLTNMNQPKKKESAISKLWKSSRPKNSEICKKDAVETVNARSSNVRLSAGTHSTVESGIQEIGLSPPSVEQWSSPDSMNIQFNPGFKGCIEYPRTSQKHSLKAKLMEARMESQKVQLRQVLKQKI >Sspon.02G0032110-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2B:109807572:109810328:-1 gene:Sspon.02G0032110-2B transcript:Sspon.02G0032110-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to Phytoene synthase 1, chloroplast precursor (EC 2.5.1.-) (Fruit ripening specific protein pTOM5) [Source: Projected from Oryza sativa (Os12g0626400)] MIEGMRLDLWKSRYMTFDELYLYCYYVAGTVGLMTVPVMGIAPDSKASTESVYNAALALGIANQLTNILRDVGEDARRGRIYLPLDELAQAGLTEEDIFRGKVTDKWRRFMKGQIQRARLFFDEAEKGVAHLDSASRWPVLASLWLYRQILDAIEANDYDNFTKRAYVGKAKKLLSLPVAYARAAVAS >Sspon.01G0004660-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1A:12424151:12425302:-1 gene:Sspon.01G0004660-1A transcript:Sspon.01G0004660-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GYSLNGCFREAVETLQEMQQCGMRPNASTLVGIVGMCGSAGDRGVGDSLHAFALKGGAIDDESLTSALISMYAAFDDLSSSRMVFDLHPVKDLVSFNSMISAYMQHSIWKEAFEVFRLMHCAGVGPNLITLVSVLPSCSDFFGINHGESVHVMIIKLGLAEQVSVVSALVSMYSKLGKLDSSLLLFCCFTEKNNILWNSMISGYLVNNEWNMALDAFCKMQIAGVAPDATTVINVISGCRYTKDLHVAKSIHAYAVRNRFESYQSVMNALLAMYADCGDISTSYTLFQKMEVRMLISWNTMISGFAEIGDSETSLTLFCQMFHEEVRFDLVTLIGLISSLSVSEDAIVGESVHSLAIKSGCISDVSLTNALITMYANCGIVEA >Sspon.08G0000770-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:205701:207508:-1 gene:Sspon.08G0000770-1P transcript:Sspon.08G0000770-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQQRRGASPPSSGGAGAAELELPGFRFHPTEEELLEFYLTQVAHGRKLKFDIIPTVHLYRHDPWELPGLARIGEREWYFFVPRDGGGRAAKQAGSGSGGGRPSRTTERGFWKATGSDRAVRCAADPKRLIGLKKTLVYYEGRAPRGTKTDWVMNEYRLPDATTCCNAAGDSSAATSTNKLPKEDIVLCKIYRKAVSLKELEQRVAMEELARATATPSASASHNTGSPADSMSSSDHETAAAAAGVAHPQKQQGGEIMMMPVGISPPPVMSMKKEEVAEPLPAAALMRPAMLSLPQLEVAKQQPVQQEWMQDPFLTQLRSPWMESWSPYYASVLNF >Sspon.05G0006440-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:5A:20497221:20497505:1 gene:Sspon.05G0006440-1A transcript:Sspon.05G0006440-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLTRTGSASSTLQSTTQSSRSATCQICAVAPSIVSHPWCVWSCWPGSLQSTEDSRQLAGGGEAGLTVEGVPDRAATRQAGWRKELWLWGVGPT >Sspon.01G0033700-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:102850107:102852567:1 gene:Sspon.01G0033700-2B transcript:Sspon.01G0033700-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSSRSLLSSLLFASSSPNFWSSASVSSSPSPSRTTAVPMIHDNTSRASTACHYSPSLVAEEQLHGSKDTLTLKGEKALLELLLGMNLMKLEEAQRKLQAQCGREPTIAEWAQAVGMSCRELQSCVRTGRRCREKMALSNFRLVIHVARKYEGHGLDIQDLVQESVFALLRKVGKARMECIMDGEQPTNENVARRAGITIEKLAKLRVKTRKPRSMQDRVWSDDGVTYQEVTEDPNIEPPEVSVDRLMMRQQVRSFLAGMLSPREKEIIEHRFGIHDGQPKTLHVIGDMYGLSKERIRQ >Sspon.03G0022320-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3A:67981628:67982281:1 gene:Sspon.03G0022320-1A transcript:Sspon.03G0022320-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear factor Y, subunit C13 [Source:Projected from Arabidopsis thaliana (AT5G43250) UniProtKB/TrEMBL;Acc:Q9FHS0] MAAATADEPTVPVEEQPGKESEEAAEAMEDQLGKESEEAAEAMKEQLGKESEEATESAEAMEQIEEEPEEGGDPAEAMEKAGVEAEAKAEEGASLRPALPVGRVKRIMLVDRDIKKVTSEAALLIAAATELFLGSLATGAHTAAARRGRRTVHAVHVRAAARAHRPTADFLLDCLAAEEEAPRARKTAGSAGGAGGGEAKPLPRGTRRIDAFFQKVT >Sspon.03G0017910-1P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:1356783:1359930:-1 gene:Sspon.03G0017910-1P transcript:Sspon.03G0017910-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASWSSPSAAAAAASGARFGPFPSRAQRLAPYPSLARGAPASTLVLRPQPHPDGRGHGLLAHTGSSSSSRCRAVAAEVEGLNIADNVTQLIGKTPMVYLNNVVKGSVANVAAKLEIMEPCCSVKDRIGYSMINDAEEKGLITPGKSVLVEATSGNTGIGLAFIAASKGYKLILTMPSSMSMERRVLLRAFGAELVLTDAAKGMKGAVDKATEILNKTPNSYMLQQFDNPANPKVHYVTTGPEIWEDSKGKVDIFIGGIGTGGTISGAGRFLKEKNPGIKVIGIEPSESNILSGGKPGPHKIQGIGAGFVPRNLDSDILDEVIEISSDEAVETAKQLAVQEGLLVGISSGAAAAAAIKVAKRPENAGKLIVVVFPSFGERYLSTVLYQSIREECENMQPEP >Sspon.02G0022470-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:75565232:75566074:-1 gene:Sspon.02G0022470-1A transcript:Sspon.02G0022470-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding QPLLAPPQPPQQPYYAYPAASYVQPAPPLPPPPTLVFVPVPVPVPAPCSPVLVHLRRLRLRPRRAPCLRRLCTRTLPLLLFLALLGGAVFLLYPSAPAARVADLRVDSFRVQPPVLDLGLALRLRVRNPGFLLPLRYRSVSAAVSYRGHLLGSAKAWPGSGELAARGEVYADAQVWVDAGRVLDDVIELIGDVAAGSVPLEIVSEVVGSIEVFRFHIPVKVIRGPIQLFWA >Sspon.02G0021880-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:92399149:92400293:-1 gene:Sspon.02G0021880-2B transcript:Sspon.02G0021880-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GAHHDHGGRCRCARRPLVGYRDGCRRRAGPGFLQQHVPARGGHRQGGDDGDPQGVADARRPAAPPPFPRLLRQGLRRLRAAGLDSHQHGGEGRHPEPHPPGLRLRAARQGQGGAGVPGHRLLRRHPGAHGARRRRAGQRPVLARRAGPPRRPRLHRQRDQPASPAHRQLHPPRPDVRRQGPLRQGPRRALRRAHARHRALQPVQRPPLQLHGRQQPRRRRPGARRHLPLPPQVQVPEPRRQHHAQRDGPRQLPQLRRQLLPPGGQAPGTLPLRRRAAHRPDHQGLRPAPGHRALRRRVLPRLRRLHGQDVHRRRAHRRAGRDQEEMLPRQLI >Sspon.01G0038090-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:28114059:28122946:-1 gene:Sspon.01G0038090-1B transcript:Sspon.01G0038090-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MYWQNNRCQPTYYLWTDKYRPETAAQVCGNSEHVKFLAEWLKGWDEKGHKIGAANGDTNDSSYQDESDSDYSERASDCENTLLITGPVGCGKSAAVFACAKEQGFNVIEVNTSDMRNGASLRQKFEEATKSHGLEKWFVQLLCLLTFRSQEEVINPLRDDSLDPDSGTPDRTEYQRLMSCATRVMIDCDQPKSPVGYYSGLKACDEAPKQVANKTLILFEDVDTVFDEDRGFISTILKMAETTKWPIILTSNRKDPSLPNLLDQLVLDFKYPSTSELLSHVGMICKSEGVNVTVPQLKHVIDICLGDIRRTVMLLQFWYQGRHQFTERPKECLCGPFSLDLDAVHSTVPKMLPWEFPCKLSETLCMEVDKTILLAEEKKKQKEISDLEGLQLQVTAPLVKGRSAAKTRKAKKSKLKRAHSTERNDISPCKNELDDFHDLPDIPLPSDKQLKRNRHLGFFPQSSEVPPIHGQGISNQFCFPVESRETFEIADSFQNPPESNMYGSISLGVSCVPESSLIVDGTSASISGDEFLSRAVSNDFSAFYDGTYTTSRMVLEDTDCVKNLMAERQKDVEDVVGETSEAYMESFGRNEQASCSTAGFQLMDECSRAESIWLLSGKKTNDSCKVQQVQDTWNRLRSCCLEFSSDANHNRAASGALKLASEVSDLISESDLMLSRCYPLTQDMLDPCSTPCAEPDDSSWYSNQFEMGSVYAQHALCIFSRNSQKIDGGSVDLSRELLFASTAAVSLGKIICSGFRNDCGSTNISHMKNPTTCISKRSSSSKVVAVIERPAFVDYLSSISQISQLENLRLSENKVINKQR >Sspon.02G0027990-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:101731983:101735989:-1 gene:Sspon.02G0027990-1A transcript:Sspon.02G0027990-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding KPLGSENPLASFRRPSSPAPSLLSSPLRRRPSFAASRNTERLSAGPSRRDPRALSCPPPPLSQARRQPPPAMEALRTAEAELTVYVHPSNAKRVRHAVNRQLSALLFTYDDRFDGVLLMHEVAFHLGNDKEGKPNKDENGEPKDESGKPKSCVKGKIKDGGGEPKDESGEPKSCVKGKIKEGGGEPKDKSGEHKTCVKGKIMNGLVPYFGVQVLANLLLFSPQPDMILEGKVEMLGKESIHAIVLGVFSVAIMSEDIREKFKFKRKSDGGKFVSRTDKKHVIKRGTMIRFSVKSDESRSRDTSINVEQNEEEHRILKSEDGMVKSERPYPGASSCLQE >Sspon.02G0016270-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:44601472:44607062:1 gene:Sspon.02G0016270-1A transcript:Sspon.02G0016270-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLFRESRRDSSHSSSSSNGFLPPAAASTPSSAASALPSPFPDLGVALSAADLREAAYEVLVAASRTTGGKPLTYIPQSSSVAAAGPPASPASSSASSAALQRSLTSAAASKMKKALGLRSSASSKGVGSPGSGGKAXXPATVGELMRVQMRISEPADTRIRRGLLRIAASQQFKASDFPDPQEYEAWRSRNLKLLEAGLLVHPLVPLNKSDSSVQRLRQIIRGAYDRPLETGKNSESMQGLRTSVMSLAGRSHDGTSDGCHWADGFPLNLHLYQMLVEACFDNDEGTVVDEIDEVMELLKKTWVILGINELLHNLCFTWALFNHFVMSGQVDIELLSAAENQLAEVAKDAKTTKDPNYCKVLSSTLSSIMGWTEKRLLAYHETFNTSNIESMQGIVSIGVSAARVLVEDISHEYRRRRKEETDVARSRVETYIRSSLRTAFAQRMEEADSKRSSRNPTPVLSILAKDIGDLAMKEKNLYSPILKTWHPLASGVAVATLHSCYGNELKQFVAGLTELTPDTVQVLKSADKLEKDLVNIAVEDSVDSDDGGKSLIREMPPYEAENAIASLVKVWIKERVDRLKGWVDRNLKQETWNPGANRDNFAPSSVEMLRVIGETLDAFFQLPIPMHPVLLPDLTAGLDRSLQLYVAKVKSGCGTRNSFMPQLPPLTRCEVGSKLLFKKKEKPQNLQVRVSQNGAANGNDPLGLPQLCVRLNTLQYIRGELENLEKKIKTSLRNVESAQADITDGLDIKFELCQAACQEGIQQICETTAYKVTFYDLGHVLWDTLYVGDTASNRVEVLLRELDPVLETISGMVHNKVRNRAITALMKATFDGFLLVLLAGGPLRAFTRQDSQIIEDDFRALRDLYLADGDGLPEELVDKASSQVKNVLPLFRADSESLIERFKRMMVESNRSASKNRLQMPPTTGHWSPNEPNTVLRVLCYRSDETATKFLKKTYSLPKKI >Sspon.04G0014970-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:59322006:59328464:-1 gene:Sspon.04G0014970-2B transcript:Sspon.04G0014970-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAQLLRHSRKLRSLQNAAGCENFSLVRHFSSGSESFIAKENGVGKRTRGTRFSQHNQPAKELETFSLGVNGSCTWRRASNSLTPSAASRLNDLPPHQEIGMPSLSPTMTEGNIAKWLKKEGDKVSPGEVLCEVETDKATVEMECMEEGYLAKIIQGDGAKEIKVGEVIAITVEEEGDIEKFKDYKPSSSAEPVAPVESKAQPEPSQPKVEEKKHTQAPEAKAPKIEEASQSGDRIFASPLARKLAEDNNVPLSSVKGTGPDGRILKADIEDYLAKGGTREAFAAPGLGYIDIPNAQIRKVTANRLLASKQTIPHYYLTVDARVDKLVKLRGELNPLQDASGGKKISINDLVIKAAALALRKVPQVNSSWMNDFIRQYHHVNINVAVQTEHGLFVPVIRDADKKGLGTIAEEVKQLAQKARDNSLKPADYEGGTFTVSNLGGPFGIKQFCAIINPPQSAILAIGSAEKRVIPGSADGQYEFGSFMSATLSCDHRVIDGAIGAEFLKAFKGYIESPTSMLL >Sspon.07G0016430-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7B:62585261:62585449:1 gene:Sspon.07G0016430-2B transcript:Sspon.07G0016430-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDWGPVVIGLVLFVLLSPGLLVQLPGRHHFVEFGNLQTSAISILVHSVIYFALITLFVIVIG >Sspon.01G0038970-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:32371158:32382830:1 gene:Sspon.01G0038970-1B transcript:Sspon.01G0038970-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAMTSSSPQPPSPSHRRHRRSQPTTPTPTPNANPKPKLKPRTKALPLLSDVGVGRDPAAIKYYARVASNLAGAGRLREFLLAAEGLRAAAGDDPSFAARISARLLSRGVAAAVSERGLPFVLEFFRDAERVRVPAVEMLDADASDAVAGACRMLLEERRMVEFVEVVEALSRYGFYVQGIVNPMDVLKIFVKQRDPDIAMRYIHRIRNNRFPKFREFCIFKVNKGLLADKITPNTYVFNSLMNVNAHSLSYNFSVYKHMQNLGVPPDLISYNILLKTCCNAREFNLAQEIYEEMKKKERDGLLKLDVFTYSTMMKVFADAKMWKMASNIKEDMQANGVRLNLVTWSSLINAYANSGLVDRAMEILEEMIRDGCQPTAPCFNIILTACVKSCQYDRAFRLFYSWKESGIKISLSPEQKRGLHGGFTFCKEYPSNGSTILVVPFRPTVTTYNILMKSCGTNAQHAKSVMNEMRRNGLCPDLISWAILMDIYGTSQNRDGAVQALRRMQRVGMRLNVSAYTVAIKACVENKDLKLALHLFEEMKTHQLKPNLVTYKTLLAARSSYGSLQEVQQCLAIYQEMRKAGYQANDYYLKELIVEWCEGVLSSRGGNQDFYNLDLQPKRKESFNLFLEKVVTVLQKDTDQNQIVDVRGLSKVEARIVVLSVLRKIKEQYLLGRVVQDDVVIITGHEKTSRTEAETNAVDVVQAIVTVLTADLGLEVLIGPGSCPPVSSKPKAPTKSRSNLEQISKEFTRRPQGMIKIPINSLNHWLKKKAVRIAE >Sspon.04G0014030-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:55769059:55774562:-1 gene:Sspon.04G0014030-2C transcript:Sspon.04G0014030-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGGSGELGVGGGSAPVCSFVRKPPKNMRKRPAAPAGSDDDDDDGGSGALAAARSKKGPPSSTAGKLVFSTADASSEPRRFQYESSRTIQSSDSRATAVLETETEFDRDARAIRERQLKQAEEFLKKNPSGASVSASASSSASASGEVYKGIHGYTDYKAGFRREHTVSSEKAGGSHGPLRAAAHIRVSQRFDYQPDICKDYKETGYCGYGDSCKFMHDRGDYKSGWQLEKEYEEAEKARKRRIAMGGGDGSDDEAADEDEDDEEALPFACFICRQPFVDPVVTKCKHYFCEHCALKHHSKNKKCYVCLKPTGGIFNAAQEIRKKMAQDKKQQE >Sspon.08G0003190-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:5425770:5427445:-1 gene:Sspon.08G0003190-2B transcript:Sspon.08G0003190-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLALAVALALAATALARHSHTILPAPVERADDEVRRMYEAWKSKHGRPRDNCDMAGDEDRLRLEVFRDNLRYIDAHNAEADAGLHTFRLGLTPFADLTVEEYRGRVLGFRGRRSSARAASRVGSGGSTHYRRPRPRGGDLPDAIDWREFGAVTDVKNQGQCGGCWAFSAVAAIEGVNAIVTGNLVSLSEQEIIDCDTLDSGCNGGQMENAFQYVVDNGGIDSEDCINCSMQENDEKVATIDGFVEVESNSEMALQEAVAIQPVSVAIDASGRAFQLYSSVSMHPEWNGMEPVHSKIVRSMEEKLNCCLGIFNGPCGTNLDHGVTVVGYGSENGRDYWIVKNSWGTDWGEAGYIRMRRNVPRPTGKCGIAMDASYPVKDTSHPATARAAMDVLKMVLA >Sspon.02G0053500-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2C:105759592:105760455:1 gene:Sspon.02G0053500-1C transcript:Sspon.02G0053500-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVYSGTLDDNKEVAIKKSKLKDDDSREEFVNEIIILSQINHMNIVRLLGCCLEVDVPMLVYEYISNGTLFEFLHVNESRSPIPLDLRLKIATQSAEALAYIHSATCLRFWSISTESMDKNDFIMLIQGTLGYIDPESFVSHHLSDKSDVYSFGVVLLELMTRKKALYIDASNEKRALSHTFIQMFHQNELRDILDSEIVHDEIMIVLQKLAELVMHCQSPKGDERPTMKEVAERLQMLRLEMQQVTKTPPIQAHHYYEVQSVYVPSDETEYHSMETTKLELEVDITR >Sspon.04G0027260-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:58451985:58456531:-1 gene:Sspon.04G0027260-2C transcript:Sspon.04G0027260-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLRSPLRLLLVLLLAAAFRPGAAAFAPPTGSIVKQLSSVVRWPRAGPSTHGPKLPGHPQYADGHVGVSLQFESGYFVETLVEGDKLGVTPHTIRVSPVEGGELLAVDSAHSNIVRITPPLSEYSRGRLVAGSFQGHSGHIDGKPSDARFKRPTGVAVDDMGNVYVADTANLAIRKIGESGVTTIAGGKSNIPGYRDGPSEDAKFSTDFDVVYVKKMCSLLVIDRGNAALRKISLPQEDCTYQDSALLSSDLILVIGAVVAGYIFSGFQHGFGFSGSEKVEAPENEQHESSTIGKPPLVVESLKEEPGAGWPSLGTLIADLLKLAIEGVGKLLLRVVPQRVQQGKRKTDLTPLRDRLVMPEDREETPAAQKLSSTPMRPETVHAPNAVTETAAKAQKSIKSSKFRDSTLSSKHRSSKRQEYADFYGTSEPAPIGAKVPKDRLRHRHHREKSGEVAYGAAHPDLKPAEAKPADYSDPKYDPYMRSKYAAESGYRY >Sspon.01G0005660-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:14862612:14863395:1 gene:Sspon.01G0005660-1A transcript:Sspon.01G0005660-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEEELAMTSSECSSGCQSGWTTYLDDHSSYSCGTARFHHGKARQPYYCDYSEEDDLSMISDASSGPRQQSSAGNDVEGGVAVAAHANVERRGRREETTAAARRQSKRAAAASLLEDTASSPAFFGYSKAMSSAEDNGYGGADAQMTEIGNAADFSYASSTTTGFKPPLNGAALSGYMQMQYSPVPVKPMPRRQV >Sspon.02G0042760-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2B:86328706:86331102:1 gene:Sspon.02G0042760-1B transcript:Sspon.02G0042760-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPRSPTWICSNAALQEEMRCPNVAVMAFYDTMGRQIWLGNAMLLASAALTGVLVGIGGGYGQRYRHHRFTRFIFLGANILFLPIISSVVSTLRDNSNDYVNKEDGTTLAALCHSVFHPCMVITWAFLVQMAATNATSVVATESREGRKVGPPWELLVNGIWTFYLGASITNKRFFDGLFRISPSENHGPFTIICSKIMFAPFVLICAKIWFKFYSFRKARKSFALGRNPSLIFGYMKELQQVRSQHGGATTVGEDALPSPLLVMGEDSRQVMKQPWGYVFSDTWTMAIDRIGLVTLDRVWKLDSVAPTSKDLCLSFALFKLLRCRFARYDIANAVGSTGHKFFWSLLLKDGEHNRVFRVIADELSFVHDYYNSSLPTSYAKCWLPVLSILISLLSIGYCVVAAYFIVVFAGQVLKHDCSQIHCEFWCSKLQAVSQLHNKEFGNLYFDVLPEFLLLVLVLVAEVRDITSYICSNWTKVALICHHVKHASLQHPHRIYKWVGLLLRCRCKIVNHWDEKIGQCSVLVLHPTTRTNFIGLLSHVFHHLPDEKMKVNMPAAVKAFIMDSLRSTASNTICQVGNVQTFLQRSQAGTGLLWACNGKMSTSDMILTWHIATYIFEVRHPYWDDIEPGSSPISIQHKSAATHLSRYCAYLMTWSPELLPDEVAWTKSLYEDVKEDTERVLNVRAATGPPLMPRAEYHDLVQLLSEKSNHLVVKNGVRLGKQLVELVEGEETAWAILAGFWVEMIMYITPSNNLKGHKKAIARGGELITLLWALLFHAGISSRPGEAASAAGSGIGV >Sspon.06G0033570-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6D:17306212:17308828:1 gene:Sspon.06G0033570-1D transcript:Sspon.06G0033570-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDALAKKRRDDDDDEIMLFLLPALYLLGSTREPRQKIPRHISRLSGKERLEEVLNGHVKNCCVAFRMEPNIFRAIATYLRDEHLLRDTRGVKVEEQFAFFMYMLSHNASYEDIQYEFKHSGETIHRHIKSIFNIIPNLACWEGSATDARVLRSALLRGFRVPEGKFFLVDGGYANTPAFIAPYRGVRYHLKEFGRGHQRPRNYKELFNHRHAVLRNHIERAIGVLKKRFPILKVGTLHPIKNQVKIPIAAVVFHNLIRMHNGDEGWLRNQPDNILPSSFIDVPEGDNEYNNDVSSFSSQIENGNAQRDAIALRIKAMLGRGSPKLHLMQAASSKKKKRPSPKASGKVDRSRAHWNLGLEKALVELLHDYNNDCYRGQNGWSTDAWNGIVKSFHQKFKHVSFSRQQIQDKEKELKREYRMLKEARKQSGVSWNDKLCMIVADEPTWANIITSHPKATKFQSKPFPLFETLGELYDGHLAEGSLNLTSLADPTPSEPSITQMSGGDGLEAEEFESPPVPLHDDDDDDDDDVMILDQPSRPIASRKVDGPAAPNGGKKVQKRQKEAAISNGGKKVQKRQKEAEVAHMMEKYLEVRTKQVEVEVAEKSRAGSGVDEYSIKQCISVISTM >Sspon.06G0007620-2T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:28667480:28674960:-1 gene:Sspon.06G0007620-2T transcript:Sspon.06G0007620-2T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASGEGASLPSPAGGEDAHRRRVSYFYEPSIGDYYYGQGHPMKPHRIRMAHSLVVHYGLHRLLELSRPYPASEADIRRFHSDDYVAFLASATGNPGVLDPRAVKRFNVGEDCPVFDGLFPFCQASAGGSIGAAVKLNRGDADITVNWAGGLHHAKKSEASGFCYVNDIVLAILELLKFHRRVLYVDIDVHHGDGVEEAFFTTNRVMTVSFHKYGDFFPGTGHITDVGAAEGKHYALNVPLSDGIDDATFRDLFQCIIKKVMEVYQPDVVVLQCGADSLAGDRLGCFNLSVKGHADCLRFLRSYNVPMMVLGGGGYTIRNVARCWCYETAVAVGVEPDNKLPYNDYYEYFGPDYTLHIQPKSVENQNTTKDLENIKNMILENLSKIEHVPSTQFHDRPSDPEAPEEKEEDMDKRPPQRSRLWSGGAYDSDTEDPDNLKSESKDVTANLQMKVG >Sspon.04G0033350-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:55965337:55971246:1 gene:Sspon.04G0033350-1C transcript:Sspon.04G0033350-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLAASQQQFAHSQTCFRARMQLKPPTRPKLYSGLMPQEEFDSYCDLIPGLPEDLAKICLALVPRTHFPVMGAVSKRWMSFLESKELIAVRKEVGKLEEWVYVLTPDAGAKGSHWEILECSGQKQSPLPRMPGLTKAGFGVVVIGGKLFVIAGYAADHGKDCVSDEVYQYDSCLNRWTELAKMNVARCDFACAEVNGVIYVAGGFGPNGESLSSVEVYDLEQNKWTLIEGLRRPRWGCFGCSFEGKLYVMSGRSSFTIGNSRFVDVYNPNNHAWDQVKNGCVMVTAHAVLGEKLFCIEWKNQRSLAIFNPADNSWQKVPVPLTGSSSTRFSFGVHEDKLLLFPLEEEPGYQTLMYDPAAPMGVRAPSRKPFAPPREVHRPVAHSLPPQKREIFESLDSWAADNILVLLKPVERSWQPQDYLPDAASESFADDVRELRERAREIPDDYLVCFVGDMVTEEALPTYQTMLNTLDGGVRDETGASPTSWAVWTRAWAAEENRHGDLMNKYLFLTGRVDMRQIEKTIQYLIASGMDPKTETNPYMGFVYTSFQERATFISHGNTARHARRYGDTKLAQICGTIAADEKRHESAYERIVEKLFEVDPDYTVRAFADTMRKKVAMPAHLMYDGQDDALFARFSAVAQRLGVYTARDYADILEFLVRRWGVQDLTGLSGEGRRAQEFVCELGPRFRRLEERAHAKAKDPEFAPFSWIHGRQ >Sspon.05G0008910-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:28089303:28094728:1 gene:Sspon.05G0008910-4D transcript:Sspon.05G0008910-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPVRSWIMNVLGFAEKIRSATQSFTLRLGELFAIPHIKCTKCDCDIDISNVSLVWPALPAGVKFDPTDLELLQHLQEKSSPPNSIIATGDICDGVANGNSRWHKTGSSKPVFDENGVRKGWKKILVLYKAPKRVGGKPERKNWVMHQYHLGVNEDETNGELVVVKVFYQSPLKKNDNSKTDVAVESDASVAKINPRTPMTNPPQPRRLNNSPCNTEQYTPIQEDQGEEECGTSKMKVEAAECSACFAELSPAGEEECSTSKVKVEAAECSACVAELPPAIPTSDEPMQPADALDAGLDASVPVDGPSMELFDGLPDLDSTLPFTGTSLDRFRGQRSEVANLKRPSSQRRLEQQIPASNPATGARRWQISASNLASDA >Sspon.08G0003610-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:6997614:6999691:-1 gene:Sspon.08G0003610-2B transcript:Sspon.08G0003610-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKFLKPGKAVILLQGRFAGRKAVIVRVFEEGTRDRPYGHCLVAGLAKYPKKVIRKDSAKKTAKKSRVKCFIKLVNFTHLMPTRYTLDVDFKDVATGGPDALSTRDKKVAACKTAKARLEERFKTGKNRKCQQILVSCLAVEILTGE >Sspon.03G0007680-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:25771334:25775383:-1 gene:Sspon.03G0007680-3D transcript:Sspon.03G0007680-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAIENQSQQVARAVSAEAVPVPGPGPRIPREARRLLHELAAAWADVADCRALEVVPLKGAMTNEVYQVRWLTGLTGGPAGEKEEEAPRQEREVRKVLVRIYGDGVDLFFDREEEVRTFECMSRHGQGPRLLGRFPNGRVEEFIHARTLSATDLRDPEISALVASKLREFHNLDMPGPKSVLIWDRLRNWLKTAKNLCPSEEAKEFRLDSLENEITALENECSGDYQWIGFCHNDLQYGNIMIDEETNVLTIIDYEYASFNPVAYDIANHFCEMAADYHSSKPHILDYSRYPESWFSFLPCSCAILFYVTDVDEQKRFIKTYLNISGEEPDAEVVENLLQSIEKYMLASHLVWGLWGIISDHVNDIDFDYKEYARQRFEQYWQKKSAILTS >Sspon.02G0013620-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2B:33726209:33728753:-1 gene:Sspon.02G0013620-2B transcript:Sspon.02G0013620-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGFCAPAAGSPPDEATPEPFRSLQIATCTAAAAAATTKKKRRPAGTPAKLGADSEIDPSILQTNARRGAAMSADPDAEVVSLSPRTLLESDRYVCEICGQGFQRDQNLQMHRRRHKVPWKLLKREAGEAARKRVFVCPEPSCLHHDPSHALGDLVGIKKHFRRKHSGQRQWACVRCSKAYAVHSDYKAHLKTCGTRGHSCDCGRVFSRVESFIEHQDTCNAGRARADASTACGAGVAAASAGSQQQAPPAMSLSRTASSTSPSSDIVISPVAWPGPAPAIRSPTTAAFHRFEQVQSPRTPPSDHHRGGHHNLELQLMPPSCSGAGGGAPAYCGCAPRTPAVPPQSHAAAVMQLQLSIGVCGGGGFGDAGERGDEVMMLAAAAREKEEEAQEQLRQAVAEKAAADEARAQAKRHAELAEQELASAKRMRRQAQVELSRAHALREHAVRQVNATLLQITCLGCRHKFRARAPQLMGAVVAPEVACSYMSSVVTAEGGDAVVVDDEPPLDGAEAMLRRRQHAVAMDVVL >Sspon.01G0061290-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:92635536:92636033:-1 gene:Sspon.01G0061290-1D transcript:Sspon.01G0061290-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRLLHLRRLLTPPSTLPVASFSTAVTPTPRVSALVDEICGLTLLEASSLADALRGRLGVDQMPPLAILTGGAAPLVGGGVGPGAAGEEAKAKEEKMAFDVKLEGFEAAAKLKIIKELRAFTSLGLKEAKELVEKAPAVLKAGVPKEEAESIAEKMRAVGAKIVL >Sspon.02G0047390-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:16315627:16321861:1 gene:Sspon.02G0047390-1C transcript:Sspon.02G0047390-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGLFKSKPRTPVDIVRQTREGLVQLDLHSGSRSGDAKREEKMAELSKNIRDMKSILYGNGESEPVTEACVQLTQEFFRENTLRLLIIHLPKLNLETRKDATQVVANLQRQQVSSKIVASEYLESNKDLLDILILGYENMDIALHYGAMLRECIRHQSIARYVLESEHMKKFFDYIQLPNFDIASDASATFKAKFNSRLLSSTNYITKRQAIKLLGDMLLDRSNAAVMMRYDSSKNIQIEAFHVFKLFAANKNKPPEVVNILVTNRSKLLRFFAGFKIDKEDEQFEADKEQVGLVT >Sspon.02G0049870-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:45390802:45393031:1 gene:Sspon.02G0049870-1C transcript:Sspon.02G0049870-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYHCGALVVHGPFLHPLFSPGAAAPAPSLRVRVGLPSRALRLPPPARASVEKREQQVTMEEQGGQAAFGWAARDDTGVLSPYNFSRRVPKDDDVTIKVLYCGICHTDLHIIKNDWGNAMYPVVPGHEIVGVVTGVGVGVTRFKAGETVGVGYFVGSCRSCESCGKGNENYCAGVVQTSNGVDQAHGGVPTKGGFSDVIVVNEHYVVRVPDGLALDRTAPLLCAGVTVYSPMMRHGLNEAGKHLGVVGLGGLGHVAVKFGKAFGMKVTVISTSASKRQEAIENLGADEFLISRDPEQMKAATGTMDGIIDTVSAWHPITPLLALLKPLGQMVIVGGPSKPLELPAYAFVPGGKGVAGNSVGSVGDCQAMLEFAGKHGIGAEVEVIKMDYVNTAIERLEKNDVRYRFVIDVAGSLGSAA >Sspon.05G0028100-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5B:61988308:61989222:-1 gene:Sspon.05G0028100-1B transcript:Sspon.05G0028100-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVQPELSLGPTSLGFAPVKSPRSSSSDSEGSSGGAAGKKRKHFAWEEAVSHAGSSLDLQLGDPLPLDWEQCLDLHSGRMYYLNRKTMKKSWVRPSSKEEQEQGTLNLELNISTTPSSTFGGKASAYKSVTSGGHMVAVPCVNCHLLVMLCMSSPACPNCKFVQPSVPAMPPQTPLPRRLDAA >Sspon.03G0035780-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:81194271:81197709:-1 gene:Sspon.03G0035780-2C transcript:Sspon.03G0035780-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAPSLSWLPLVSSLWTAWAWSVPVMLATAAPTTAADQQGGELCSPTLCGGVNISSPFGIFAAKETYCGVIGFQVLCSTNIPYFRSSTYSPRILDIFYDNTSLLIADVHKLDDFHSSAAKPCHSPTNNSSSKVAPPFSISPANQNMIFYNCEEPPTQAERQRRGLVDTACGNKTLVGITKGPDVPGSYFMEGCNATVVPMLARSGEVNPANYKEFISGGFLLTWQLPPSPSPAGVNGNGKKIALIVAASLLLPCIYVSVWHRQKLRFSLCKKTSSTIEENIEALVLAHGSLAPKRYKYSEVAKITSSLNNKLGEGGYGMVLKGRLEDGRLVAVKFLHDSKGDGEEFVNEVMSIGRTSHINIVSLFGFCLEGSKRALIYEYMPNGSLDKYIYSENPKVVLGWEKLYTIAIGIARGLEYLHHSCNTRIVHFDIKPQNILLDQNFHPKIADFGLAKLCCTKESKLSMTGARGTPGFIAPEVHSRTFGVVSTKSDVYSYGMMLLEMVGGRKNVKSSVAQESSETEDRTYSLNAESTNMISGHQNTSF >Sspon.08G0002230-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:3626232:3632234:-1 gene:Sspon.08G0002230-2B transcript:Sspon.08G0002230-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRIKLACLLLIFVQVQRAVLGTHDVYIVTMEGDPVVSYRGGVDGFPATAVDLDEEMDVSSEAVTSYALHLRGHHDKLLDSLLVEGTYEKLYSYHHLINGFAVHMSSLQAEFLRKAPGVKHVERDMKVQKLTTHTPQFLGLPTGVWPTGGGLDRAGEDVVIGFVDSGIYPEHPSFAAHKTDPYGPVPRYKGKCEMDPVTQRSFCNGKIVGAQHFAKAAMAAGAFNPDVEFASPLDGDGHGSHTAAIAAGNNGIPVRMHGHEFGKASGMAPRARVAVYKVLYRLFGGYVADVVAAIDQAVQDGVDILNLSVGPNSPPTATRTTFLNPFDAALLSAVKAGVFVAQAAGNGGPFPKTLVSFSPWITTVAAGVDDRRYKNHLTLGNGKLLSGLGVSPATHGNMSFSLISAADALLGSSATKYSALDCQRPELLNKRKVQGKILLCGYSFNYISGTASIKKVSQTARSLGAAGFIVAVENSYPGTKFDPVPVSIPGILITDVSKNREIGLEGLQPLKQQQVALFSSRGPDVKDFSFQDADVLKPTYLLLAILYGLHGRLMEQMNQTMLVKDLQCMAAPHIAGIAALIKQKNPKWSPSAIKSALMTTANTLDKGSHPLRAQQYTASEMMTLSRATPFDCGSGAVNPKAALDPGLVLDATHEDYITFLCSIPDVNQSEVSNIAGSACNSNSKGQRPFDLNIPSIAISQLRGTVTLKRTVTSVSDETETYTIMTRMPPEVALEVTPPAVTVLPGASREVTVTLTARSVTGTYSFGEIAMKGDRGHLVRIPVVAMGFK >Sspon.07G0001810-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:3052858:3059365:-1 gene:Sspon.07G0001810-2B transcript:Sspon.07G0001810-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable sodium/metabolite cotransporter BASS4, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G56160) UniProtKB/Swiss-Prot;Acc:F4IZC4] MEANALFRLPHCSISRVATSFRLGSILLLTPFVSQFIMQVQFFPREFITGLAIFCCMPTTLSSGVILTQLVGGNSALALAMTVSSNLLGIIIVPLSLARYIGTGAGVSLPTEKLFRSLVTRLLIPLIIGKKTLPVMITVVEQLGGALGESGLLVIPCVFAHINQIIVDSIIVNWWRRRDQQFSNPK >Sspon.01G0045520-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:83887873:83890249:1 gene:Sspon.01G0045520-2C transcript:Sspon.01G0045520-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Type IV inositol polyphosphate 5-phosphatase 11 [Source:Projected from Arabidopsis thaliana (AT1G47510) UniProtKB/Swiss-Prot;Acc:Q5EAF2] MGNCSSFALPKCLLGQKSMQSLQMLLFGSRSSEKYIRAHEHKVEKRNSEFQHISHSLFSKYGTPYAQSADITVWLGDLNYRLEGISSIPARKMIEENRQSKLRGKDQLLQEAEKGEVFNGYCEGTLSFNPTYKYDVGSSIYDTSSKIRVPSWTDRILFKVGHSSGLDAVLISYESLDCVQSSDHKPVKAHLCLRVRSDGDSD >Sspon.07G0025510-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:43199666:43203795:-1 gene:Sspon.07G0025510-1B transcript:Sspon.07G0025510-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding EMAGAELANGVHEGALALEEGRGGEEARCESSEQDGAGRRPMFSVPFLQQILAEIFGTYFLIFAGCAAVAVNLRTGGTVTFPGICIVWGLAVMVMVYSVGHISGAHLNPAVSVAFATCGRFPWRQVPAYAAAQVMGATAASLTLRLLFGNAREHFFGTVPAGSDVQSLVIEFIISFNLMFVVSGVATDNRDWRTRWPRCRSYRPAKRALCRACIRSVHEPGEDPGPGDRRRPLRRHLGVLRRADLRDGGRRVGLQPHTLHRQAAARDHPDLLLLEK >Sspon.02G0048600-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:17744796:17753181:-1 gene:Sspon.02G0048600-2D transcript:Sspon.02G0048600-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ATATAIAGPDRVEAAASSAVAVLAVAVASQRLLGLLALAVIVCDAMSTEKVRALGQVDVELQMLEHLVMCSNDEFDDSEDIADEIRPSDPVFSPNLAAILRDIRDAMLITIYLNTTYPKTLPKLKPPKTTATALDRSCKGMDLHV >Sspon.07G0016920-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7C:84803791:84805468:1 gene:Sspon.07G0016920-2C transcript:Sspon.07G0016920-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARSIALRGLLLILTTAATVMMALLPGTTSAQLSTGFYSSSCPGLDSAVKQAVQSAIDSEKRVGASIVRLFFHDCFVQGCDASLLLDDTATFQGEKTANPNNGSVRGFEVIDTAKSAVEKLCPGVVSCADILAIAARDSVVILGGPNWNVKVGRRDSTTASFSGANNNIPPPTSGLGNLTSLFAAQGLSQKDMVALSGAHTIGQARCTNFRDHIYNDTNEIDDAFASTRKSDCPSTSGTGDNNLAPLDLQTPTVFENDYYKNLVSKKGLLHSDQELFNGGATDALVQSYVSSQSAFFADFVTGMIKM >Sspon.06G0007160-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6A:26875401:26876084:-1 gene:Sspon.06G0007160-1A transcript:Sspon.06G0007160-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIKIRFSVKDLETQRVFRPARLLLFRFRCSAIWPPLGGTVARYRTAAWAAPASSRGAAAGLSGCCGAAPAADRPAAGRRPQVRGVAAAKAKPRGELPVRRRRRRRRERTEGLDRRNGSREGRLGEAPSRRADGITHAQRTSAAVRRLPSGLRTGELEK >Sspon.02G0025080-4D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2D:82346303:82349910:-1 gene:Sspon.02G0025080-4D transcript:Sspon.02G0025080-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDEEDNDLDLSWKMLDIARAIVEKSPENTMEKSLKSSKDALLAGKDGDASAAEAEGGSEKSDAEKELEQLTSILPDLEKKLEDLEQANPSPAMEEMVKTIASRVTDAMPRAASFTSSQMATSSNGFDSSILSTAATTGSTGSTVTDLGVVGRGVKRASVKPISAESAAKKPALDSASAQGDSSINSEVVPATQNGDESVSK >Sspon.02G0036600-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:14458384:14460709:1 gene:Sspon.02G0036600-1T transcript:Sspon.02G0036600-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGVLSNRVDRDDLKAGDHIYTWRAAYIYAHHGIYAGDGMVIHFTRAAGHEIGTGTFLDWFLYSSSPAATEGPPCQKCGHLVKSDGVITSCLDCFLDGGSLYLFDYAVSPAFFLAKARGGTCTLAASDPSDVVIHRARYLLDNGFGMYSLFKNNCEDFAIYCKTGLLVETAFSVGRSGQLASLTAAFSAVASSPLRFLTTSAGGLAVVTSGMYCVGRYVSDIGVRRDVVKVPVERLVEQNVTSIPQSRATETDIAAGAPQQQLEVPCVVAEEAIISPAAP >Sspon.08G0003680-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:7337815:7344607:1 gene:Sspon.08G0003680-2B transcript:Sspon.08G0003680-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Solanesyl diphosphate synthase 3, chloroplastic/mitochondrial [Source:Projected from Arabidopsis thaliana (AT2G34630) UniProtKB/Swiss-Prot;Acc:Q5HZ00] MSWRWALARRVAALAATGGGGGAQAQRLLPTSSAAAGTGLLKRHHMPLASQIRSKVVGCRGAALVSSRWLHDAQYQVRQDGASRAQEQQDPFELVADELSLLANRLRSMVAAEVPKLASAAEYFFKVGAEGKRFRPTVLLLMASALKFPLSESTEGGVLSILADKLRTRQQNIAEITEMIHVASLLHDDVLDDADTRRGVSSLNLIMGNKLSVLAGDFLLSRACVALAALGNTEVVSLMATAVEHLVTGETMQISTSREQRRSMEYYLQKTYYKTASLISNSCKAVAILAGHTAEVSMLAYEYGRNLGLAFQLIDDVLDFTGTSASLGKGSLSDIRHGIITAPMLYAIEEFPQLQEVVDRGFDNPANIEIALDYLRRAVGLKGQRSLHENTLIVQSRL >Sspon.05G0011340-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:34240375:34242181:-1 gene:Sspon.05G0011340-3D transcript:Sspon.05G0011340-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRTGLPARDLRALDPALSYPCSIVGRDRAVVVNLERARAVITATEVLVPAPRDPAVAPLVRNLLARLAASPTPPQASEEDEAAEDGGALPPSPGGVGGGSGGRDGQASARDKALPFEFRALEVCLEFSCKSLEQETCTLEKEAYPALDELSSNVSTLNLERVRQIKSRLLAISGRVQKVRDELEHLLDDDVDMAAMHLSDKLAYQQTADGRSSRFGTNKEPSEFDEERDREEDEEGEGSSSEGGNGIGTSIGFTPKIDELENLLEAYFMQADGTLNKLSTLREYVDDTEDYINIMLDDKQNQLLQMGILLSTATLVMSCAIAITGVFGMNITIPLYTASTEDVFWEVTGGIVGVTAAIYLVALIFYKRSGILQ >Sspon.02G0011540-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:4209252:4211947:1 gene:Sspon.02G0011540-1P transcript:Sspon.02G0011540-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVRIKAVVDKFVMELKEALDADIQDRIMKEREMQSYIAEREREVAEREAAWKAELSRREAEIARQEARLKIERENLEKEKSVLMGTASNQDNQDGALEITVSGEKYRCLRFSKAKK >Sspon.04G0019420-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4A:68890136:68891230:1 gene:Sspon.04G0019420-1A transcript:Sspon.04G0019420-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPPRLARNPAAVLHAALLRASSSSAACRLPPRISFNSLLAAAASSPDTRLRALALPALALAHASGRVPLDSYALCSALRSTPTAAETLHALAAQSGWLGSVFVSCALAASYGGSGRYQDARRLFDESPAKNGVFGNAVLAAYVGAAKWAPVLGFARRFSELRLLVDGYTMTAVVRACGEVANADLGVQTHGHAIRRLGGIEVDVFLVSALVDMYAKCGLISQAERVFGLAQQESGGRGDVVLWTAMLNAYARHGQCKEVIRMYDLMVASGVYPDELAMLAVLSACQHAGEVVKGLNYFESMHADYGLVPTPEHYGCVVNMLCRAGKVTKAWEIAIKDGCDRAIGVSTWGALLSACQACLNVE >Sspon.01G0019520-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:70857703:70860412:-1 gene:Sspon.01G0019520-1P transcript:Sspon.01G0019520-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSSSRGRSKPRQGQQTTMKWSVDGFSSLLDKGEGWTYSRVFEIMGHNWYLKLNPRDKKSGDDKEYASLMLQLASSSVKPDTVVEASFKLLIYDQSYGKHSEYQVRHSFQTASTSSGASCMISLEKLKERPSKFIVNNSCTFGVEFIKVTTSKVSTTSETLFVQKPSIFNEAKTYTWDIEDFFALKNSGYSPEFEVGGYKWSIGIYKSCDGNHLTLGLCMKKTNDLPNDSANLVELSLSIKHQEGDNHRKGTGRSQFTNKASCWGWEKFISLEDFKDSSNGYLVKNKCCIEAEVAIVVRHSFQTASTSSGASCMISLEKLKKRSSKFIVNNSCIFGVEFIKVTTSKAKTYTWDIEDFFALKNSGYSPEFEVGGYKWSIGIYKSCDGNHLTLDLCMKKTNDFPNDSANLVEFSLSIKHQEGDNHWKGTGRSQFTNNARCWGWAKFISLEDFKDSSNGYLVKNKCCIEAEVAIVGSSKME >Sspon.08G0015740-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8A:62950274:62950896:1 gene:Sspon.08G0015740-1A transcript:Sspon.08G0015740-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLASNAGSVAPTAGGRRPAVGHARPRPRPAGMRQREESRSRLPCPQASAFNIHDTTQLDAAYVSSLSGNR >Sspon.08G0010930-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:43785375:43787303:1 gene:Sspon.08G0010930-1P transcript:Sspon.08G0010930-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VMCADVEECGGGAAGSKEMEEIVVGPVSDLDFDFTVDDIDFGDFFLRLDDDDGDALPDLEVDPAEIFITDFEAIATTGGDGVVMDQEVPSVLPLADAVHIGAVDPCCPGVLGEDNVLTCEDVEEGKGECNDHAEGEEVVAGNGDSGEGGCGTVLGEKSPSSPTSSLQEAESRHKSSNKHSHGKKKAQVDWTPELHRKFVQAVEQLGIDKAVPSRILEIMGIDSLTRHNIASHLQKYRSHRKHMLAREVEAATWTHRRQMYAAGGVPNGVKRPDSNAWTVPTIGFPPPPPPPPHPMQHFGRPLHVWGHPTPTVESPRVSMWPRHLVPRTPPPPWAPPPPPSDPAFWHHAYMQGPAHMPGQVTPCVAVPMPAQRFPAPPVRGALPCPPPMYRPLVPPTLDTQLQLQTQQSSESIDAAIGDVLTKPWLPPPLGLKPPSVDSVMGELQRQGVANVPPACE >Sspon.07G0016160-3C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7C:63309967:63310752:1 gene:Sspon.07G0016160-3C transcript:Sspon.07G0016160-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RAAKSRRRTLAPAPRLTSSAPPPSLSLLPPPSAARAIVAAAEETVSPQHYRFLKSSQIFTQIPPPPRFVRPPATHPYSSPRPPGRRDPSTTMTMVPLDPSSKPTSQRLIEEGDTVVVYERHDAMRAVAVRAGGVLQNRFGVFRHDDWIGRPFGSKVFGCGGGSNKGGGGGGGKAKAGGGGFVHLLAPTPELWTLVLSHRTQILYIADISLVVAYLELVPGCVVLESGTGSGSLTTSLARAVAPHGQVHTFDFHEQRAASAR >Sspon.05G0007520-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:15145928:15157079:1 gene:Sspon.05G0007520-3C transcript:Sspon.05G0007520-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSESESTRAPTPATAHPTQPPVTFVPPMTNPDAGALAPAASHPTHALVTIMASTANSDAPRDESIGLFQFDSTTEDSRNDLDWGSIDDEDGAFKKIDPKEGKFQGVDLDLKQEDRAPMALPTCCSSSAPHEVAPEAVDALGSATSALESVTCPGLVLPKGSIQVATFKHVEAVRKETHLGLGSDTESDEDIGDALTSPDTQAGIQGTRAITTTIEDYKKFHSKPKVDLLPKASSKGVDMFNGRKLHKKRAVDSNDAESSASLGDLQTRNASAAVAALLHLHGAFLFHSQQHQNLVA >Sspon.08G0019120-2D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8D:59372814:59373056:-1 gene:Sspon.08G0019120-2D transcript:Sspon.08G0019120-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTRASGREARRRAAGRDRNIRPSSTRRRAHMPPPRSTPAAHCCRRGPADQPASRKPAPRPRHRHPPESPSLVTRAPPRR >Sspon.07G0014170-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:50685699:50695748:1 gene:Sspon.07G0014170-4D transcript:Sspon.07G0014170-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATASVTTTAPSPPALLKASPSSLISFRPVSRRCKHLCVKTKADLKLELYAGFAEESVKSYVGTVMVLASWVDL >Sspon.04G0009620-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:28628137:28629037:1 gene:Sspon.04G0009620-3D transcript:Sspon.04G0009620-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding CLDKAAIVEADLSAPAGKQQASAAAATASTVTEVEEVKMVVKLLPIWSTCILFWTVYSQMTTFSVEQATRMDRRLRLGSGGFAVPAGSLSVFLFISILLFTSLNERLLVPLAARLTGRPQGLTSLQRVGTGLALSVAAMAVSALVEKKRATRPMGPATSPSAPSGSSRSSSSSGPAIRSPTWGSSRDEVHEHRPVSRHALHGLLPQQLPRLRRRRRHQRRVDPEQPRPRQARYLLLDARRARVANFAVFIVFARRHQYKASTLPAAAAPDGARQKAMDDFVAVTEAVEGMDV >Sspon.03G0016190-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:51570807:51574828:1 gene:Sspon.03G0016190-1A transcript:Sspon.03G0016190-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rotamase CYP 4 [Source:Projected from Arabidopsis thaliana (AT3G62030) UniProtKB/TrEMBL;Acc:F4IX26] MACMPAVSAPSVLAPAPASTRIHLFCSTETRRGALSLRPARAIPALRLSGHRDARGAVVVRAAAAEGAVDLQAKVTSKCFFDVEVGGEPAGRIVIGLFGEVVPKTVDNFRALCTGEKGYGYKGCSFHRIIKDFMIQGGDFQENNGTGGRSIYGECFDDENFTLKHVGPGVLSMANAGPNTNGSQFFICTVKTPWLDNRHVVFGHVLEGMDVVKQLESQETSRSDTPKQPCRIVNCGEVPLDS >Sspon.01G0005360-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1A:14532839:14534120:-1 gene:Sspon.01G0005360-1A transcript:Sspon.01G0005360-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVTRSCARFHLGVHDHFYRRTLVHERQRVHGEDYGRACIAGVAVTEAVPAGADFVSSTVTFPNVRKNEFLLY >Sspon.01G0027580-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:99021019:99021401:1 gene:Sspon.01G0027580-2B transcript:Sspon.01G0027580-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSNGQTEAPAPSVPKNPAMASCRKKKTDDATFIEDLKDHIDEFIHASMDEHKTCFKKTIQKMFGMSKAVAERSAAEAKEAEVESALPLQTSVSQ >Sspon.01G0019260-1P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1A:72232788:72238699:-1 gene:Sspon.01G0019260-1P transcript:Sspon.01G0019260-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MREALNQSRAEYEYEQRVQHRGGNYHRGGGSAGGGGSQGGNPIDRLFRRAGSRRETPVEVEDYHVAAGGRRGMQQQRIDTGSWTQKGKNAKEAIGKAWGKFFHYVGIPGRNADNPYFVSAVRETQKWGEGIASPTGRDIDGKYLEQNEKDLKDRYAKFQKEWPNFGVTLMCDSWTGPTKMSVINFLIYCNGVTWFHKSIDASGKSQDANYLYREIRKVVEEIGAEHIVHVVTDNGANYKKACTSLKEEYGHIVWTPCLAHTINLMLKDIGNRTDHAGMLQVCKRILTWLHNHSQLNHMMKQAIGGELVKWNGTRFGTNYMFLESFHRKREQFMQWMASTEFLQSKFLQSKWARTEEGRYAHVRLSNIQWWEGLEYIINTVQPIYKFLRFADQDKRPNMCEVVYEYQTCKQELESYFGRNTQTWNEYREIMDARIRDVYIGTYVGSAAVLNLKITYSMDPTPTMFRGLKETFQQMTDVECAVQALQEAEIFRQKCGEFGSELAMKMAMDPRTTPSAWWMIFGRETPNLQRLALRLVSQCISSSGCERNWSTFALLHTKVRNRLSHKKLNRLVYVNYNLRLRLADVNPRRYDEEDFIDRFAEVSFYDRSNPVREWMEYGRSNLPPVLDEDSDEMDVPLPSHLVSDWARRNVGATHLGKRKMQMVPPKGHDKRHRGKGKAVTSDTETEDEQYQSQDSGDDDSGDDDSANDGASGSDGGGAGGTDGGGGGSGDATIGAGGSSYVGLHFTGETQFTHATQDRDHGQPTSQRRAYAPTDYDTPQYSSSSYSDTSQPTYRYPIPDMTMQTQTRWVYEWEDPSFYNMLVQEWETTAAWTGQTWQDYKADLLRHKVTLNSLRMLAWLLSRLRHACSGGAPPSKSNCSESKFPTPDASQHQTTQQTTGKRSPGKREIKRIRRAASDADAGAKSGADSPAAARSGRRTPPPATSSSGSGRCGRSPSRPLASKWYSPLLTAPRPARGHRRSPTDAILPAPRPLCSTGQSRRFAGRTPSSCASPAATGIPGGTPPHRPASPQIDSAGALGIGWSLPPRSRERRGGAPAGLDRIQPVSVALPAPPLLPFLFARWCAMESFSTSGEGAKKSTAHALVPPEGEGLRF >Sspon.04G0029780-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:76875851:76877164:1 gene:Sspon.04G0029780-2C transcript:Sspon.04G0029780-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AIELEAHAVKGVGKVHAKWSPVATAWYRMLPEVVILKEIEGAQAEKLVKKCPVNVFDIEDLGNGGKRAVVAKPRACTLCRECIRPEEPTEGMSEAQESSAYWNLVELRRVRDHFIFTIESTGSLPPEVLFTEAVKILEEKCETVISELS >Sspon.04G0015730-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:62636211:62655446:-1 gene:Sspon.04G0015730-2B transcript:Sspon.04G0015730-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPECEPDLYSECQNIIQISIYGRKSLSSLDLTNARGYILKCSHYVPASIPENTALPCVVYCHGNSGCRADANEAAVILLPSNITVFTLDFSGSGLSGGDYVSLGWHEKEDLKCAVSCLRDNKQVSTIGLWGRSMGAVTCLLYGAEDPSIAGMVLDSAFTNLYDLMLELVDVYKIRVPKFTVKMAVQYMRRIIQKRAKFDIMDLNVLKLAPKMFIPALFGHGLNDMFIQPHHCDRIHQAYGGDKNIIKFDGDHNSPRPQSYYDSVSIFFYKTLRPPMLPAARSKLHVGVGAFKVGNITNESFFFEIINGLRSANTAACSSSTDAPNIPHDSTSIVELLSNSMNQLSIKNENDLDFLLDENRSLSEIDGDSVGSRLQNLTVKALATPLRQNQRKPIEKAKQKKVQALWKKIKREKVEMGDSLSSRLKMCLGQSPRHKRNR >Sspon.07G0010640-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:30405168:30406934:1 gene:Sspon.07G0010640-2D transcript:Sspon.07G0010640-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLLKTRSEENQQKQASPQEQRKIEEVRELLGDLPTEMPSFLSDGTIRRFLRAKNWSMEQATKALKEAVKWRRQFKPDKICWEDLASKENEVQRAYIPDYLDNNGRTVFVIMTSKKCPINTTESSPRQLTIGRLAYIRTPEHRID >Sspon.02G0007440-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:21224956:21226781:-1 gene:Sspon.02G0007440-2B transcript:Sspon.02G0007440-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGATMHLTGVLGVSSPPLAPSHCSCSGAKKQSCSLRPPRQQQRRRLRVARAVEVGVPSAGAPEPEQVEEPSIDFAFVSPRLLPDGTPDVHYRTAQGGQKLRDIMLDGYIDLYGPYDKVLLNCAGGGVYGTCLVEVVEGKEMLSPKTEVEKELLKRKPKTWRLACQATVGNADSTGQMIIQQLPEWKIHEWDK >Sspon.04G0030970-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:4907230:4911201:-1 gene:Sspon.04G0030970-2D transcript:Sspon.04G0030970-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AMDDASKYAHSPAHLAVLRRDHAALRRLVAALPRLPRAGEVATEEESIAGEAVADAVSAVIDRRDVPRRETPLHLAVRLRDPVAADILMSAGADWSLQNADGWSALQEAVCTREEAIATIIARHYQPLAWAKWCRRLPRILASISRIRDFYMEISFHFESSVIPFIGRIAPSDTYRIWKRGAALRADMTLAGFDGFRIQRSDQTFLFLGDGARPEDSGGKELHPGSLIVLAHKDKEITDALEGAGVQPTESEVAHEVALMSKTNMYRPGIDVTQAELVPHLNWRRQERSEAIGHWKAKVYDMQNVLVTVKSRRVPGAMTDEELFAMDGEEKNGRGAELDAELDEVLTAEERKQLDSALRMGNNEEESEERGDQGDAAADHMDANGVAKDKKGWFGWGGKKGAKGDDKPSKVGSKDEASDPGKQKEKGTGKKKKGGSSAESLKHESEYKKGLRPVLWLTPDFPLKTDELIPLLDVLANKVKAVRRLRELLTTKLPTGTFPVQIAIPIVPTIRVIVTFTKFEELQPVDEFATPPSSPTQFQDAKAKESEGSGSWYSWVKVGRGTQSSDSGDSRNWKDEVDPFHIPSDYTWVDATEKKRRMKAKKAKSRRGTARKQSSKNTSEGGHHHPMMDGFEE >Sspon.06G0030220-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:42917771:42920004:1 gene:Sspon.06G0030220-1C transcript:Sspon.06G0030220-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQRPVPHFACSKTASSRTINAQAVVSTRCFLNTSHPMGLAMMSGWAPAACTLVLLLPVLGVVAAQTDNSVGPRQEEQVNEVSGNLAPAPSSPMDDSTDKKRII >Sspon.02G0048450-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:24979821:24981377:1 gene:Sspon.02G0048450-1C transcript:Sspon.02G0048450-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MIALIHHAVSAGVTLLDNSDVYGPHTNELLLGKALQAGGVREKVQLATKFGIRADADGAREICGDPAYVRAACEGSLQRLGVDCIDLYYQHRIDNRVPIEVTVGELKKLVAEGKIKYIGLSEASASTIRRAHAVHPITAVQLEWSLWSRDVEADIIPTCSCRELGIGIVAYSPLGRGFLSSGPKLVDTLSDQDFRKDLPRFQPENMEKNAVIFDKVNAMAARKGCTPSQLALAWVHHQGPDVCPIPGTTKVENFDSNVAALSVKLTPEDMAELESYASADVLGDRYHDFLNTWKDSETPPLSSWKA >Sspon.07G0010940-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:42128595:42134290:-1 gene:Sspon.07G0010940-3C transcript:Sspon.07G0010940-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNSSLTESLHEKTIVFGLKLWVVIGIAVGVSLLGILLILLLCLTIQSCIKRSRKPLKDRRMHQIPPAYKDIKEVGMVDQFPANDFVVHDGLLLTIQNEPEPVESVDRDAIQLAQEEKLKQREENNVSGSFPITDGCEGTQIVSVNEHSSTHATVDSAPLAGLPEFSYLGWGHWFTLRDLELATNRFAKDNVIGEGGYGVVYRGRLSNGTPVAVKKILNNLGQAEREFRVEVEAIGHVRHKNLVRLLGYCVEGTQRMLVYEYVNNGNLESWLHGELSQYSSLTWLARMKILLGTAKARSEEVVDPNLERKPSTKELKRALLTALRCIDLNAEKRPSMDQVVRMLDSNEPIPQEERRHRQNRIPESSETEPLRGKNNSGRSDAPEHEARPPRPKSRTFSSK >Sspon.02G0031420-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2B:108573590:108574919:-1 gene:Sspon.02G0031420-2B transcript:Sspon.02G0031420-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVGGPLGGGSFVAAPVGVEERPAEVAGGGGASDVRGQRRERACVGGGGREEETEMPERERTGGCAGGGWRSIRARGRRRARAYAGGGGRAEKREMPERERNRWRRRLMREKAMAADAGEGSAMRTGECGMWPLSDTSSGLAISLPGCPEKCGNVSIPYPFGIGDGCAAGNLNRYFTVTCKDSFQPPRPMIGDPSSAVEVIDISLEHGEVRVYGDVSCYCFTSNITIACKG >Sspon.01G0056740-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1C:92055957:92057258:1 gene:Sspon.01G0056740-1C transcript:Sspon.01G0056740-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVAVCRGPAVPAFEAPCWLRPVEPYKQPEVVVVDDRPAQVDIWNAIQADVDKVAAGAKKASKPYVHPLVRRSSSLMSQKSLEVCTESLGNETGSVDFTSSLDMVSLFDLPLPASAAAAAPEESFWQHDAARCCEEEQWESKDLAAVNYHCSAGTRSPRRSFPPPLPSMSRRDGPCLQMRARRQDGRLVVEAVAVRPRGYLHAKRQGGRLRLSFVECSARDQSAASKITEAAAEAPYFRTVEPRNVQEEEAAVETAEDDEVMEDEEEIEVVDRGTVVEVKVSTQPQTHTAAKVHRSTLVINKFVGSTPLSADQPRCHTDDTTQHEADTCDETAAAQSPRPTLRRVPSSTTTLAAAVAVASTGTDDVPPAPEDDDECGGLHLSGASAAAETKQLLLSFTSRRGDKQDLLQSVRRCRQLRQKKLFILEPYCIATS >Sspon.04G0012730-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:44387558:44391906:1 gene:Sspon.04G0012730-1A transcript:Sspon.04G0012730-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSPDTIRTVIGVIGNGTALVLFLSPVPTFIRIWKKGSVEQYSPIPYVATLLNCMMWVLYGLPLVHPHSMLVITINGTGMAIQFTYVTLFLLYSAGAVRRKVVLLLAAEVAFVGAVAALVLTLAHTHERRSMVVGILCVLFGTGMYAAPLSVMKMVIQTKSVEYMPLFLSLASLVNGICWTAYALIHFDLYITIPNGLGVLFAVAQLVLYAIYYKNTQKIIEARKRKTDQVAMTEVVVDGS >Sspon.03G0012760-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:45243184:45258078:1 gene:Sspon.03G0012760-2B transcript:Sspon.03G0012760-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSSRIFEYFVVCGLGPEIRSLDGIKGFHGVEEMYMSAFLDQFPPSNHALYPPPPPQLPTGVGKNRVLFAIENCLLSAEAPPKEWLPHADHVYIPIIFSSGVDYIDAPTPYMMGLHSGVDTSAVTMDGVVVVDLEYNRITTTEEIPPIPETEHSFLRGEILKLLQPNVMGIDFMKINLANMGDRSLRAGTKSWSQEHDFQLRLIFLRFFAQILSGYRNFIDTTSTTGFNSQAFLKKRSRATNQPVESMSMVSRRDLAACF >Sspon.07G0006080-3C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7C:10862824:10863093:1 gene:Sspon.07G0006080-3C transcript:Sspon.07G0006080-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VDTNGRGALDYGEFLAVSLHLQRMANDEHLRRAFLFFDKDGNGFIEPEELQEALMEDRGANTMDVVNDILQEVDTDKVNDSPKAPERLR >Sspon.02G0032420-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:120343207:120345796:-1 gene:Sspon.02G0032420-1A transcript:Sspon.02G0032420-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMATYYSSQGSERDSQNMYSRDPGNASYPMPSALGNLLYLNNPASGPYTEFSGILQSQQNCMEMPEPGHPSVMSQDSSARESDMLGSHQGQRSFGLVKDMKNEMLMHMMDGSQSSTADLIHDDAQNGIQLDFGVLNNHGSSNISSVQGQGLSLSLNTQILAPSLPYWSVKPDMLSPHSYHDSLRVDDIRMKSMQSESSRAIRNSRYLKAAQELLDEVVNVWKNIKQKAQKEQVEAGKTDGKEPEGGPKSEGVSSNPQESGANAAPELSTAEKQELQNKMAKLMAMLDEVDRKYKHYYHQMQSVVSSFDMVAGPRAAKPYTAVALQTISRHFRCLKDAINDQINVIRKKLGEEENSSGKEGKLTRLRYIDQQLRQQRAFQQYGMIPQNAWRPQRGLPENSVTILRAWLFEHFLHPYPKDSEKLMLARQTGLTRSQISNWFINARVRLWKPMIEDMYKEEIGDIEQDSNSSSDNASRSKGKMASSEDKEDLRSSTPRVCESSQLSESRASMRTMNVGGGAPMGFQNEPNPDDSFMNLMLKDQRSNEEDGGLLLHNAVAQHQDENARFMAYHLAELGRYGNGNVSLTLGLQHSSSSLSVPNTQQSFPGVGVGDDDIYNATAPLGVSVASSDYESMNQMDQRQRFEQSPLLHDFVA >Sspon.01G0019190-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:77722538:77727823:1 gene:Sspon.01G0019190-2B transcript:Sspon.01G0019190-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCMQYLLLAPWVAHGVYLAATKGWKAADLGYIAIFPSLLLRMLHNQVWITVSRLQNARSRRQIVDRGIEFEQVDRERNWDDQILLSGILLYLGAVYLPGGQHLPLWRTDGAVLIALLHAGPVELIYYWLHRALHHHFLYTRYHSHHHASIVTEPITSVIHPFAELVAYELLFGIPLIVCALNGTASILAFEIYLVYIDFMNNMGHCNFELVPNWLFKWFPPLKYLMYTPSFHSLHHTQFRTNYSLFMPFYDYIYNTMDKSSDTLYEKSLKGKEETVDVVHLTHLTSLHSIYHMRPGFAEYASRPYASKWYVRMMWPMSWLSMVLTWTYGSSFTVERNVMKKLKMQSWAIPRYSFHYGLTWEKEAINSLVEKAICEADKKGAKVVSLGLLNQAHNLNGNGELYLQKYPKLGVRLVDGTSLAAAVVMNSVPQGTDQVVLAGNISKVARAVAAALCRKNIKVVMTNKQDYHFLKPNMAEDAAENLLFSKTATAKVWLIGEGLDASEQFKARKGTQFIPYSQFPPRMARKDTCTYSITPAMAVPKTLQNVHSCENWLPRRVMSAWRIAGMVHALEGWNEHECGDIVLDMEKVWSGALLHGFRPVAQ >Sspon.04G0029930-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:75237721:75239871:-1 gene:Sspon.04G0029930-1B transcript:Sspon.04G0029930-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRARRCRRRRLPLRLRPSGKGTGSFGKRRNKTHTLCIRCGRRSFHLQKSTCSSCGYPAARIRKYNWSVKAIRRKTTGTGRMRYMRHVPRRFKSNFREGTEAAPKKGAAAAN >Sspon.04G0032160-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:23287192:23288208:1 gene:Sspon.04G0032160-2D transcript:Sspon.04G0032160-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LIPPRFYLRPATRTPVVPGLPSPAPTSRPPSRTPRSLRAARLHPRPPEERRKRRIRRRSQRKRARNLGRRQASEDDGDERPIAPAAVVSETLRERLQRRIAELRGNRCTRPEFLNKPKKEKGKKSKAKNEKKGKGEGKKRKRDDDTEDVDDKDGKKIKKEAEEKPDIMYANVFVDPKEARRRKKRGRLNGCRRQRRILRRQAKIAWDTARRRAAGEKVHDDPKLIKESLKKEEKRQQKHAAQWRERQKTVDKQRKEKQKKRTDNIRDRAHQKKMRKIEKREKKLMRPGFEGRKDGYVNE >Sspon.03G0014160-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:65927063:65930102:-1 gene:Sspon.03G0014160-2B transcript:Sspon.03G0014160-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGLQEQIFVRKIIELHDKYVAYVTECFQGHTLFHKALKEAFEVFCNKGVSGSSSAELLATFCDNILKKGGSEKLSDEAVEDTLEKVVRLLAYISDKDLFAEFYRKKLARRLLFDKSANDEHERSILTKLKQQCGGQFTSKMEGMVTDLTVARDHQTKFEEFISSHLELNPGIDLAVTVLTTGFWPSYKSFDINLPSEMVKCVEVFKEFYQTRTKHRKLTWIYSLGTCNISAKFEAKTIELIVTTYQAALLLLFNGADRLSYSEIVTQLNLSDDDVVRLLHSLSCAKYKILNKEPNNRSIAPNDVFEYNSKFTDKMRRIKIPLPPVDEKKKVVEDVDKDRRYAIDASIVRIMKSRKVLGHQQLVMECVDQLGRMFKPDFKAIKKRIEDLITRDYLERDKDNPNVYRYLA >Sspon.03G0046030-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3D:31986815:31989826:-1 gene:Sspon.03G0046030-1D transcript:Sspon.03G0046030-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPVADGQWPATGLWLLPRAAHLTLSSPVGIGVGVGSSRVLRSNACAGMGWDAGHGGVTCDVDAGAPPAVPLAMAATSPAEQTARTAMPLATRPGGHDVGRRMLDGGHAGWGGGHGGQAG >Sspon.01G0001790-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:4426880:4429859:-1 gene:Sspon.01G0001790-3D transcript:Sspon.01G0001790-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATHAALAASRIPTSARLHSRAASRQRVDLADFSGLRPGSCSVSTAAREASFSDILAAQLVAKATGENAVRAPAEAKLKVAINGFGRIGRNFLRCWHGREDSPLDVVVVNDSGGVRNASHLLKYDSMLGTFKADVKVVDDTTISVDGKPITVVSSRDPLKLPWAELGIDIVIEGTGVFVDGPGAGKHIQAGAKKVIITAPAKGADIPTYVVGVNEGDYDHGVADIISNASCTTNCLAPFVKVLDEEFGIVKGTMTTTHSYTGDQRLLDASHRDLRRARAAALNIVPTSTGAAKAVALVLPQLKGKLNGIALRVPTPNVSVVDLVINTVKTGITADDVNAAFRKAADGPLKGVLSVCDVPLVSVDFRCSDVSSTIDASLTMVMGDDMVKVVAWYDNEWGYSQRVVDLAHLVAAKWPGVAAGSGDPLEDFCKDNPRPTSARCTKHEAPLL >Sspon.01G0059540-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:60263562:60268753:1 gene:Sspon.01G0059540-1D transcript:Sspon.01G0059540-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MWPSCGVHSFQHGIKSLVSSPLSPSLPPAARGRRPRRRAARRHGRPFSSSLLLSLLPSPLQLNLLGPDRGHSPCAAPSGAAGRPLCRRASLRRGLAAARRLSRGPDDAPGTRSRLRWGSRGCCLPGHPGRCRRRRRGPAMGCGTLTAPPSAVLYAPRPVSALAAALVSARAAAAAGQERVRAAALLWQPERDAADTLARQIAEAEQLLAQDGGATSSGSTGHLTSTTTALCHDPADPLVSQLHYQAGGVQNIRLLVPVVLDSESPSYVRWRDLVVLTLRRYALDDHVLDEPTPIVQTPSWHRLDSIVLSWILGTISVDLHDFVRNTTSARQAWLALEGQFLGNAEARALRLDASFRTFVQGDLVVGEFCRKMKAMADSLGDLGWPVEDHILVLNVLRGLSDRYAHLRTWITRQRPFPTFLQVRDDLVMEELTQGLQPGSTATPGSSSSSTALAATPSHPSAPPRPSAPPPSSLLGPPPSGPSGGGGSWRSSPTRWGRWGPWREAVVLRQAALARSFGTMGLTPPVRPEWIADSGATYHTTPDPGILSSVHSPSLPSSIMVANGSCLSVTSVGTAGAHGSFRLPNVLVAPSMVHNLLFIHRFTADNSCSVEFDSSGLTVKDLATRRPLLRCDNTGPLYTLRFSASASPSSLLSAAFATTSSTTWHRRLSHPGCDAMMQLSHSSDIRCTRAHDEHLCHACKWAVMFVFLFLLRMSCPYTSSQNGKAERMIRTTNDIVRTLLLQASLPARFWAESLHTSTYLLNRLPSAACPAPTPHHALFGTPPRYDHLRVFGCACYPNTTVTAPHRLAPRSTLCVFLGYSPDHKGYRCYDLTSRRVLISRHVVFDESVFPFSTTTTPSPSGFDLSSLFPTDAVVEPPLPLFPAGPTAPCSSSPSAGDSPDPAPRSSMDGDPTGTAPVILADGGPMPSPPALPARFAQPVLVYQRRARTAPAPSPPLVAPTSPESPPEPSSSPPGTPEPSPRPQTAHVETPVYHPPLLHRHPRHVHPMVTRHAAGTLQPRALASMPGDSQVSPVPSSVREALLDPHWRHAMEEEYAALLANRTWDQVPRPPGSNVVTGKWIWTHKCRADGTLKRYKARWILRGFTQRPGVDYDETFSPVVKPATVRTVLSLALTRSWPVHQLDVKNAFLHGLLTEIVYCSQPAGFVDSSCPNMVCRLNRSLYGLKQAPRAWSHRFAVFLRSLGFVEVKSDTSLFIYHHGAETAYLLLYVDDIEFAMKDLGVLHHFLGVTVTSHPAGLLLHQRQYTLDILEQAGVTDCNPCSTPVDTQGKMSEAEGNPVSDPTTYRSLAGALQYLTFTRPDITYAVQQLCLHMHDPREPHLTALKRLLRYLRGTVGYGLLLHRQSSSTEMVVYTDADWAGCPDTRRSTSGYAVFLGGNLVSWSSKRQQVASRSSAEAEYRAVANGVAEASWLRQLLAELHNPLLRSTLVYCDNVSAVYLSTNPVQHQRTKHVEIDLHFVRDRVAIGEVRVLHVPTTSQFADIFTKGLPSSTFTAFRSSLNITSG >Sspon.02G0014500-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:38396697:38405058:-1 gene:Sspon.02G0014500-1A transcript:Sspon.02G0014500-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEDDAAVDADSLVGQDPRSGARPSGGERDWAAAVLEPVRWMRMLCQELGATFVAGVVLVYGLSQGFAGSFFRVASDYYWKDVQRVQPATVQFLSVFFYIPWVLKPLWGIMTDVFPVCGYRRRPYFLFSGPDDEFSVEVHHGGFFCGSNIDRVYLDGKVDWFDNCKLQYWRFSAIEEICLMLGYGLEKLKVFWLLPEMIVPTGLRIVESDAETLIMKQFAYKINNYVLYFDIYNSFDDKAFEDIILNPISTLPKVFSPRKPAAIHNDDEGGVHNDDEGSVHNNEGSENDASVDGDFVDSDYEVDDEDDDIFWDNVDDGVVDEGAAKGIVVSKGYKRNAPFGKENMATERQWDEISTDEDELELPDSDEEGQVGVNMKTFRPEDIQNPIFKIGMKFASIELLRRAISEYSIKERVEIKMPWNDKKRIKAHCDVNCPWYLYASFDSRMNCILIKSYVGAHNCQKKWEVKRCTAKWLANKYLDRFRADEKMTLTNFGKTVQLDLNLTISRMKLCRARRMAWNIIYGDEAAFEENGPRDLRSGTSDELQDLFTASGAWHKRQPSLLPAFHRQALRAWGGVAGDLGGCGAGELGGVGAGGLGRGGRRLTETREREAGKENEQSLADVTIDACIAKNSIDKPALAPDMQSLCAFSSSLGALVGYATSGMFVHHLGAQGALGVMAIPPATVVFLGFFIYELKARQHNVKEKVLNKVSGAVKGMVRTIRYPVVWKPSLYMFLSLALSISTHEGQFYWYTNKTPPNPGFSQEFVGLVHAIGAVASMLGVLVYHKCLKDYPFRSILLYAQLLYGVSGLLDLTFVLRWNLALGVPDAAFVTLEECVSRVVGRVRLMPMMVLSTKLCPPGVEGTFFALLMCIDSLGMLAAKAGGAAVLRALRVTRTDFGHLWLAVLLRSVLRLATLGAIGLVPTADQTDVLVPRELLVSSSSRAGVADDEEEERLQLAMLTSHTDDV >Sspon.01G0017440-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1A:63945396:63951922:-1 gene:Sspon.01G0017440-1A transcript:Sspon.01G0017440-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AVVDGRKVLPRDTEADLGGVDDMTKLVYLHEPGVLCNLALRYTLNEIYTYTGRILIAVNPFAKLPHMYDMHMMEQYRGVQFGELSPHVFAIADASYRAMVSENHSQSILVSGESGAGKTETTKLIMRYLTFVGGRAIGDIRSVEQQVLESNPLLEAFGNARTVRNDNSSRFGKFVEIQFDKSGRISGAAVRTYLLERSRVVQISESERNYHCFYQLCASGKEAIFRIVAAILHLGNIEFSPGKEFDSSVIKDEKCKFHLQMAADLLMVDASLLLSTLCYRTIKTPEGNIIKAVDSSAAVISRDTLAKTVYARLFDWLVDNINKSIGQDMESRSQIGVLDIYGFECFKYNSFEQLCINFANEKLQQHFNKHVFKMEQEEYKTEEINWSYIEFVDNQDILDLIEKKPIGIVSLLDEAW >Sspon.01G0004690-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:12603430:12605020:-1 gene:Sspon.01G0004690-1A transcript:Sspon.01G0004690-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAAVEMSPYEAARERNVQENKRKMEALNLRHLSAVIKEAPKTPSPLKPKRRRIIEQAVVVPSPPRRSRRLAQLPEVKYAEVTILLFRFFRRSPRKPADLIYLARSGTISMKARLEATRKAEELESQLDPDIPSFVKAMLHSHVVRGFWLGLPSHFCDTYMPKQDAIITLLDEKDEEFDTNYLAYKKGLSGGWAGFALCHGIQDGDAAVFQLIKPTAFKCSFTNEFVSQVYIIRAASDDGSELDE >Sspon.06G0008560-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6A:45178841:45185162:-1 gene:Sspon.06G0008560-1A transcript:Sspon.06G0008560-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVSEYHDRFTQLARYAPNEVREDADRQCLFLKGIYYDLRLQLKGNNYVSFQELVNKAIVLDNERREMDRKRKMKGQSSGSNNRQRTNSQHGFHQKFQGPVSQWNRNPNQRWSQNWQSNQCQQRSSYPQRQQYQQNGQQTPRTGNPNVTPMKNSAPNMPTRCFVCGKEGHMSYHCPEKQNQQTPQSQKSNQRQSGTRRVNHVSSDIAHDAPKVMIVLRTSGIDVILDMDWMKQNQAEIQCQQKVVVVTAPNGDKINVDVAVQKQPTAVVNQLEDAIKENSVIEEFPDVFPDDLPGMPPDRDIEFLIELLPGTAPIAKRPYRMGVDELEELKKQIKELQDKGFIRPSSSPWGAPVIFVDKKDGGQRMCVDYRSLNEKLREHKLYAKRSKCEFWLKEVSFLGHIVSNGGISVDPGKVKDVLNWKPPTDVSEIRSFLGLAGYYRRFIEGFSKLAKPMTSLLEKNAKFVWSDKCQASFEELKKRLTTAPVLVLPDLSKKFSIYCDASRQGLGCVLMQEGRVVAYASRQLRKHELNYPTHDLELAAVVHALKIWRHYLIGHKSDIYTDHKSLKYIFTQSDLNLRQRRWLELIKDYDIEIHYHPGKANVVADALSRKSYANEIRTLSMTSELCAEFEYLNLGIVANTMELVIEAYLRARNLQGTKMYLDLKQKYWWVGLKRDVAEYVALCDTCQRVKAEHQRPAGLLQPMKIPEWKWEEVELYMERIVCLHGVPKKIVSDRGSQFTSHFWKAVHESLGTKLNFSTAYHPQTDGQTERTNQVLEDMLRACALQYGTSWDKSLPYAEFSYNNSYQQSLKMAPFEALYGRKCRTPLFWDQTGESQVFGTDVLRNAEDQVRVIRENLRVAQSRQKSYADNRRRDLVFEAGPRIRPPNAARVRIRPPLTRNRPPMAARVWDVDTTSGDRATNNGGSTDPSATNYESSRLGDSKPTPPSRADLAIASQASFRRLRQAGGGGGGSIRQRRFVHAACYNSMVVPNKLAASYSYCREKKRHMFILRLEVF >Sspon.06G0024170-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:47072348:47076636:1 gene:Sspon.06G0024170-1P transcript:Sspon.06G0024170-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSKARRKKSLREITTIVATGPIANSQPASSTLTKEEGQQEEQYLKEPKIPPLPPITVNLVPQQGLGCEAVEGLLVPSRNREYKPSGKYIVGNHPIYGIGFNFMDVRYYDVFAAASGNSVTVYRCLENGSFGLLQAYVDEDKDESFYTLSWTKDKVDGGSPLLVAAGNNRVIRVINCATEKLAKSLVGHGGSIHEIKTHASKRSLIISASKDESVRLWNVHTGICVLIFAGVGGHRHDVLSVDFHPTEIGLFASCGMDNTVKIWSMKEFWVYVEKSYSWTDQPSKFPTRHIQFPVLSAAVHSDFVDCTRWLGDFILSKSVENEILLWEPKPDKNRPGEGSVDILQKYPVPQCTLWFMKFSCDFHFNQLAVGNIFYKDCVLTLKLYVRLVLLFSVVLLLLMHRFCITSNSYASGCKHFIIFRLSNKECKSPIRQTAVSVDGSTILGAGNDGTIWRWDR >Sspon.05G0033190-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:27187874:27198277:1 gene:Sspon.05G0033190-1C transcript:Sspon.05G0033190-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSTLLQAARRASLASRAAAMASRSARAAGVAAGAARAARLGSGVLCLLKRCPTRGEASMKPHDGAPSRFASAADYAHLPGLGLGIHLSQFFCARATNKIACNSLCVREITNSYETVVDDAKTPTDMDLESDEALRSLYERWCKAFNKERDHAEMDRRFKLFRYSAKYVHYWNTYVPKDPEEAAIYLGKRREAELLLSKDEDISHFDECYLPIELGAFADGGDPYFNERDMSLLKAIEEPDSMKKVQQRKPFYILEEAIDLYIVLNYYITAISHSKQYLVVKSPLEKQNPRNHFPYCYVEMKMPASNLLRHMAWHKRGPVEPEPVEPTHRRAPDEASTDSLYKSLPFGSLSRSSHALLFERYQVDLKFYQVVLTPVKGCNQKMAEFDTKVKKACWEKQMHFLGRDPRSRCTSWALPGRLDVDGKPVMKELEGADGICGFHFDHIVPKSAAKMLPEKEQKKLDEVTKCQVICSSSFRRKIKSDPDPIKDVLCDIDLNEAEMDLVEMAVHGSLTDDLGKKKCKCVSKVDIKGGVHSGNVLPCEHPITGRNLCQELRERFLTMQKD >Sspon.07G0017850-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:64324155:64327931:-1 gene:Sspon.07G0017850-1A transcript:Sspon.07G0017850-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLYTKGLLEAARRDLAGNSGLKGLLEDLSNKADEAEDALDELHYFMIQDKLDGTREATPELGDGLSGKAQHARHAARHTSGELGKLEELGGELNIHGLENVTTRQDAKETKLMAKRNLVELGLLWNKKQESPGDDILDSIQPHSYIRRLRIVNHGGVVGPGWLCSNNLYMKNLETLHLESVSWANLAPIGRMYHLRKLKLKNIIGLSQIGPDFFGGTTEKSFTHLKEVEFCDMPELVEWVGGANCHLFSRLEKISCSDCPMLTTLLFSSWPISSTEDSTIWFPSLRDLHIDRCPKLCLPPLPHTSMVSRIDTESLSYDRTKLNIWKPSELVFHNLGDVERKSLSNLFKCFPALSHLDVTASSDEDHEEVVLQFPPSSSLRDVRFRVLVLVNCKDITVDGFNPLITINLEHLTVYNERDGETEPYSVAADVLAVVARTKTMPAGSFQLVSLDVDSISAVLVAPIYSRLSATLQKLCFSYDWRTEKFTEEQDEALRLLTSLQQL >Sspon.01G0022030-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:79956451:79963526:1 gene:Sspon.01G0022030-2C transcript:Sspon.01G0022030-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At3g53700, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G53700) UniProtKB/Swiss-Prot;Acc:Q9LFF1] MAAASYLASYSRASPAPAAAAACPCHGHAGPPALRPRPRRRRPRPPAPLRVYAISDQDRLLAALREQADPEAALRTLNSALAREDFAPSSAVYEEIIQKLGTAGALDLMEGLVREMRREGHEVKASVVRSFVESYARLRRFDDAVDLVLNRLDIFGVKADTAVYNHLLNVLVEGSKMKLLESVYNEMAGRGIQPDVVTLNTLIKVLCRAHQVRTAVLMLEEMSSHGVAPDETTFTTLMQGFIEEGSIEAALRVKAKMMEAGCSPTGVTVNVLINGYCKMGRVEDALGYIQQEIADGFEPDQVTYNTFVHGLCQNGQVSHALKVMDLMLQEGHDPDVFTYNTVINCLSKNGELDEAKAIVNQMVDRGCLPDTTTFNTLIVALSSQNRLEEALDLARELTVKGLSPDVYTFNILINALCKVGDPHLGIRLFEEMKSSGCTPDEVTYNILIDHLCSMGKLGNALDLLKEMESNGCPRSTVTYNTIIDALCKKMRIEEAEEVFDQMDAQGILRSAVTFNTLIDGLCKAKRIDDATELIEQMVKEGLQPNNITYNSILTHYCKQGNIKKAADILETMTANGFEIDVVTYGTLINGLCKAGRTQVALKLLRGMRIKGIRPTPKAYNPVIQSLFRRNSLRDAINLFREMTEVGEPPDALTYKIVFRGLCRGGGPIKEAFEFLVEMVNKGFMPEFSSFRMLAEEMKSAEYGMLSCFWRAAYLHVHRESSFEAPRDDFRNARMVEAIMIKVDKTGCNLIMSEFVDVAPTKYGKCQTLGTIQGGVRNKNKEKREPLKWRNLLCLVNKSFLIAGGGAPEIEMSMQVAAWAKELRGMESYCIKEFAEALEVIPYTLAENAGLNPISIVTELRNHHARGENNAGINVRKGQITNSLEENVVQPLLD >Sspon.01G0023520-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1A:84716423:84717322:-1 gene:Sspon.01G0023520-1A transcript:Sspon.01G0023520-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPFAPLPHNTCKHDVHRHLTATTTTMAATSGGTTNNVTTGAAADMAAYLQQLQDAEAANKSNGGTAARGEQCPRCASHDTKFCYYNNYNTSQPRHFCRACRRYWTLGGSLRNVPIGGSTRKRPRLAHHNHHQHQHQHASRRAPAAHAFGLGLPPPMMPPLQPSSSSSQGQGGGLLGSLFALGAAGPLLEGRGTGSSSFDFDLGLGLPTTGPLHLGAGAGAGEAAAVQMQGLGLRGGGGSAAGSSSSFLWPAGLLLDNDSVDTWKMPPGAGAGAGSMWPDFSAATAAPHTGGLLHGGAHL >Sspon.06G0010560-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:57824139:57833961:-1 gene:Sspon.06G0010560-1A transcript:Sspon.06G0010560-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELVTSMVIGPLVSMVKDKVSSYLLDEYKVMEGMEEQREILERQLPAILDIIDDAEEKGAYRAGVRAWLKVLRKVSYEANDVFDEFKYEALAREAKKRGHHNMLGMDAVSLFPAYNHFVFRRRMGKKLQKIVQDIEILVAEMNAFGFSHRQQAPSSKPHRQTDPVMIESEKDIVSRSRIEEKKKIKQAPTLQTLLLSPYADWTSHMTKYNSLRAIRPPSSSEFKIRSRQLQHLRYLDLSENWRIKQLPEEISIMYNLQTLNVSGCHNLCQLPRDMKYMASLRHLYTDGCTSLKCMPSNLGELTSLCILTYFVAGASSGCSTVGELQNLDLGGKLMLSRLENVTEAQARAATVGNKEKLRHLSLEWSSECQEEPVSDCHKKVLDALKPHEGLENLWILGYKSTSLPTWMKDLSLLQKHLTELHLAGFTACEEFPQFGHFEALQILCLEELEKLQSLCSKEASSTFPKLKKLTLRRLKNMERWVAAEGREGGEVAFPQLENLFIMDCPKLVTLPETPNLKSLLSRLGLCVRDKEAALELDDENVESPLIELSLNGCDFFFPRSPRQPTFMIWRWFGKLVHLSITGCDALIYWPEDVFQSLVSLERLYVSYCHSLVGPAQVKGEPAPTTSQVLPHLNTLTVGSCQNLTELFVLPPSITSLEIWSCEKLKFTWEDTKSKSVHVEQLGTSTSMENCASTSMPKQSPAQTNHPLPCLEYLHILSCDRLVTLPNLPPALKVLNINSCKELCSVSGQLDALERLYIFGCNKLQSLDSLGHLPSLQCLTLDRCKSLTSVPGAVGSYSALQRLTIKYCPAIDTKPLYKRHQQRLDNLEERDLSHAHSSDPRE >Sspon.02G0054460-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2C:113848425:113848886:1 gene:Sspon.02G0054460-1C transcript:Sspon.02G0054460-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLPSPVSLVAAECGPASLPAGTREPTTFSLARSSSGLSSGRCAWPIHTHLTPRGKIPAAVLYGSGSSDALQRGREIRWSSHVVELCREHWLGAATSVPVTASRTSEICTDRLEHVGDLCSGHCECIIVLNPMKAAKQAKALRHHFHGSSRI >Sspon.03G0016360-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:52005770:52008251:1 gene:Sspon.03G0016360-1A transcript:Sspon.03G0016360-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRVKFENSCEVGVFARLTNAYCLVPAGASEGFYSVLEGELAGAVPVVRTSVAGTRIVGRLCVGNKRGLLLPHTATDQEIQHLRNSMPDEVVVKCVDERLSALGNCIACNDHETEEVISDVLGVEVFRQTIAGNILVGSYCTFTNKGGLVHPQTSVEDLDELSTLLQVPMVAGTVNRGSEVVSAGMAVNDWTAFCGSDTTATEVSVVESVFRLRDPRLGALGSDVKNSMVQDFFTS >Sspon.07G0018530-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:67080445:67084859:-1 gene:Sspon.07G0018530-1A transcript:Sspon.07G0018530-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLVLLLPRIRLSPAAMPSTRSASASRLLVRADLNPVVREVKRESSVSFDIPKPEATASVKRKRVKRELEVNGEHHKKQFGVVPDIEDFRYQKAKTLTSSIKVEKKVRVSSVVKGWLTALSSIHLFHLIGIFLMSMYDMKTKVVIFAVGAPDNWEAVLGGIKSMRLSGEAPVDTKGCEKAGSLLPPKERRFAVLISTMMSSQTKDEVTHAAVERLSENGLLDPDAIVRTDETTLANLIKPVGFYQRKAQFIKEASKICLERFGGDIPDSLNELLALRGVGPKMAHLVIIYLFCPLWLKYEHKYLHGHLSLTKQVMSIAWKNTQGICVDTHVHRISNRLGWVFREGTKQKTTTPEQTRISLEKWLPKDEWEPINPLLVGFGQTICTPLRPKCDKCGINNLCPSAFKESSSPNPKQKKTRSP >Sspon.07G0032490-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:42539088:42541488:-1 gene:Sspon.07G0032490-2D transcript:Sspon.07G0032490-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAEGERWVGLATDFSEGSRAALRWAAANLLRAGDHLLLLHVIKEPDYEQSEAILWESTGSPLIPLSEFSDPIIAKKYGAKPDIETLDILNTTATQKEITVVVKVLWGDPREKLCQVIHDTPLSCLVIGSRGLGKLKSVLLGSVSDYVVNNATCPVTVVKSSSTEGFEEVDPIILPAVLCDVYA >Sspon.06G0018500-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:67779300:67785004:-1 gene:Sspon.06G0018500-3C transcript:Sspon.06G0018500-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAETATVFLETSLGTRFVLSFPARTTTVADLKRQVSAEHAACFPRTGPIAVASLQIELDGSWFQLTDSVVVEAAFEWVKGPRRLLVEAHELRPHPPACKDAKCGTADAEPNAGHSVLAEDSLQYMLPPAAAEAPGGNGVSDTTQLKNLQDKVVEHASCQREDEITVPQESSDIDFAKGDGGTDIPLANQEDKPQECLEHVSCQLEDGIAMSQHSDLATGDSDTSLTNQENKLQGCVEHASVQVEDGITMPQESSHLDLATGTNNTPLTNQEDKLQGCVEHASVQLEDGITMPQESSHFDLATGTNNTPLPNQGDKLQGCAEHASVQLEDGITMPQESSHFDSATGTNNSPLPNQDKLQGCAEHASVQLEDRITMSQESSHFDLATGTNNSPLPNQEDKLQGCAEHASGHLEDGITIPQESSNFDLAAGGSDTLPMDQQGKFHDGAEHASGHSEGRTTMPQESSDLGVAEDKENDRAGDQQKDIIMEPRGKKRFREEDEADRSIDVNCDDNLFHFVSPTPKFVSEKKSSMIEQAKLDSAPLLYNLQDSSHGLLEKPSGGQKQQWTSGVCSQGSINNGPAIPPCAESMGKDQSSDKEVMTRRGDKEEPQIPGCTGKNSCKITDVPHYVESINEGNKRPASNGHFLNKGGNEGSTSSVTKEHEPCFRRRHHRVAVRKVAMSRAMKLYPFSTPVIKVDDYFEESGSRTSFSSSFESNNGH >Sspon.06G0006610-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:23981086:23984666:1 gene:Sspon.06G0006610-1A transcript:Sspon.06G0006610-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAAARLLPIFLPWRRPPPPRLLRRGFLNASSPRTLATRADTLVLPGDERSPSRLPDQLRPDYGGGGGGGAAGTIAAIVTSLGGGPAAVGIVRLSGPDAVAVADRVFRPAGARRASAPWQPRSHFVEYGLALDADGGVIDEVLVVPMLAPRSYTREDVVELQCHGNDLCLRRVLRACLEAGARLADPGEFTLRAFLNGRLDLAQAENVSRLISAKSAAAADSALAGIQGGFSTLVKSLRSRCIELLTEIEARLDFEDEMPLLDPVMLVSKINCMRQEVQDALDTSSYDKLLQSGLQIAIIGRPNVGKSSLLNAWSKSERAIVTEIAGTTRDVVEANVSIHGVPVTLLDTAGIRETDDVVEKIGDGLTLKTMLILTPIYNSDLCTGVKRSEAAAMGADLIVMAISAVDGWTDDDTKLMEHVLINRKSSGSAVPMVLVINKVDCAPFVPGEQFEQFSGLFRKHVQTCAVTGKGISDLESAVIEVRGIEHVPSEGRRWTVNQRQFEQLLRTKEAFSRLESSINEQLPMDFWTVDLREAALALATISGEDISEEILSSIFSKFCIGK >Sspon.08G0004620-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:13436137:13437212:1 gene:Sspon.08G0004620-1A transcript:Sspon.08G0004620-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSHSALQLEVPKAAANNGTSSFLADKSAKVFLAGHKGMLGTAIHRRLAALGFTNIVGRTRAELDLTCEPAVHKFFDAEQPRYVILAAGKVGGLHASSAAPADFMTENLRITLNVLTAARRCGTVRKLLFLATSAVYPVDAPQPIPESALLTGPPAPGNEWYAIPKIVGIKMCQAYRAELGMDAIVVMPNNLYGPRDPFPSESSHVIPALIRRFHHAKASGAPEVVVWGSGRQLREFTHVDDAADAVVLLMETYSGPEHVNVGSGREVTVRELAETVREVVGYEGRVVWDTSRPDGVMRRLLDSSKMAALGWEPKVELRDGLKK >Sspon.02G0043560-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:72361378:72364019:1 gene:Sspon.02G0043560-2D transcript:Sspon.02G0043560-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADVDVEPEVAAGAPKKRTFRKYSYRGVDLDALLDMSTDDLVQLFPARARRRFQRGLKRKPMALIKKLRKAKKDAPAGEKPEPVKTHLRNMIIVPEMIGSIVGVYNGKTFNQVEIKPEMIGHYLAEFSISYKPVKHGRPGIGATHSSRFIPLK >Sspon.02G0001560-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:13578286:13579695:-1 gene:Sspon.02G0001560-3D transcript:Sspon.02G0001560-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPVSHCSVGNISLFHIGSFRTSREIEIRRFQGTARYSRVASPSPRRPLQPQTAFHLISIYKRRSWSSAQRPRTLSAATVGTDVTVQDQNPETSDENSEAAPEAVEASEQAEASTEQASSPRKLGRNIRKSEMPPLNEEDLVPGASFTGKVMSIKPFGVFVDIGAYTEGLVHISRVSDGFVKDISSLFTVGQEVSVRLLEANKETKRISLTMREGDDYVKPKKETPKAANGGRSATATPRQTRERQEAKETVESKYVPGQSLNGTVKSTTRAGTFVTLPDGSEGFLPREEEALALFTLIGQSAMEIGKQIRVKVLNVAQGQATLTMKGVEDDEDDLKTLNMELKRDWSRGTNAFELAFRRNKEISAFLDQREKTKVPEVQAAAEESSEDLSSVSEVATDVFAPLSDASSQVGIEDSTSVATAAEDQTVQSESSPVISVELSSNGVPDSTSVSSVSETAEKSAEPEESSAV >Sspon.03G0000920-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3A:2499757:2500430:-1 gene:Sspon.03G0000920-1A transcript:Sspon.03G0000920-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEAWHDNTTIWYCRPGDDLWEKYGYDIGSQVVPYPDRTEVEKKVVCPIAACQGKFYFNCTATLLRMLDFSCHEPTLGAVTIDDTVTADGSYGCDLYMVRLLFVSGGGDDIDRFSVHMMDFSKKRWRNVRDLGGRAFFLSEYYFGASCSGGEHGLLPDRVYFVCDRNNTLQVFDVQDGSCEVRKLDEAPHTRHAFWLLPKNPQWGDLE >Sspon.05G0022550-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:3595555:3596803:-1 gene:Sspon.05G0022550-1B transcript:Sspon.05G0022550-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIRVPPVPMDRPTVALWRDRGMNPQVLEDDTRTALSLVHYALPEQPVSHHATLSALPTLDGADRISGLPDALLRDIVSRLPIKDAARTTALSCRWRGVWHSTPLVLVDAHLFPPGGDTAPLQVARVDGRGVASAVSRVLAGTRGPSATHMEAFPGLLARWLQTLAVKGVRELVLVNRPWPLNMDLPATFFGMATLTRLYLGLWKFPDTANLPRAVAFPHLVELGLSCMGIENQDMDFVLAKSPVLRFLCIQANILLRRLCLVSRSLRCVQIIEGMELEIAVENAPQLERLIIWSSSARDGLPRRVKIGHAPALRTFGYLDPKWHVLQIGNTVIKAGTRASPNTMVPSVKTLSLRVCFSVRNNAKMLPSFLRCFPNLDRLHLE >Sspon.02G0009330-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:29294925:29295122:-1 gene:Sspon.02G0009330-3C transcript:Sspon.02G0009330-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SMRRSCLNHTTAAAPPSVTGVAALEATIRPWHRFRRIGRRWGGAGRSGPRRSANRAMGGGCAEASA >Sspon.08G0000470-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:276624:285162:1 gene:Sspon.08G0000470-2B transcript:Sspon.08G0000470-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVLDSFVKRCTESLENFTGQEACAALGIGDDVRGLLATLSRIDAIISHEERRRVLSAKADAWVVQVKDAMYEIDDVIDVCMIEGAKILADDRPPTPKVRCFSCFKPSGPRKFRHEIGFTIRDIDLRLREVEEEMPRLPAGSVHSDAKRDSFSHNVCKNCSDAMKPQAVGSQVQKAVGGLVPRMLREGKKKVDVFAIVGAVGIGKTMLAREIYNDDRMTENFPICVWVDMSKDLSELDFLKMIIRGAGANVGDTENKEELLILLGSALSKRFLLVLDDLDSPSIWDNLLKYLLGDGVARGRILITTRNEEVAASMKATIHRVDKMDPENAWALLCKQVDPECNLEELATLKDVGIKIAEKCDGHPLAIKVIAGVLRSRGNSKAEWEMVLNHDSWFMSPVLPEVPQAVYVSYVDLPSQLKECFLHCSLYPEEYPIQRFDLVRRWIAEGIVNARYNKLLEESAEEYFVELISRNLLQPDPESVERCWITHHLLRSLARLLIADESILIYSQQKLNTSLSKPRHLTLCNIENSLDGPISLKQQMSLRSLMLFKSPTVRAIDPLIESASCLRVLDLSNTGVEALPKSIGNLVHLRYLNLDGTQERANIDSVGTRNGPKNFP >Sspon.01G0023780-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:90795397:90801964:1 gene:Sspon.01G0023780-2B transcript:Sspon.01G0023780-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box/LRR-repeat protein 17 [Source:Projected from Arabidopsis thaliana (AT3G54650) UniProtKB/Swiss-Prot;Acc:Q8W104] MASLPKPPPPRPKTRGNYNCGRCGQPKKGHVCVGPVPAPGGAAPTPSPSSSSGAASASASGAGGEHRLRRALSFDEAGTTPPSPEKKPKVEDLDMGEAELDEEDATVDVGARRMPREVMAEVLRRLGPRGVMAAAGVSRGWRDCAGRVWRTAEELRLRVLASSGAGLLGALLSRCTALSVLHLRMESDVDATTLVCIAFSCPNLRTLEITMAADAVNRIIGEDLSRFVSEKSTLSVLKIGGCSNLDILNLCSSSLSILWLSDLCSLSKLVMNCPNMNELSLCFTQQSSDCTDLVTLMDGLGRTCPNLKKMHISSNQLSNEAVCALENANLRGLCMLSLILGSKITDAAVASIVRSCPSLELLDLSGSSISDNGVGMICKAFPHTLSRLLLALCPNVTTRGIQLATAQLPCLQLMDCGMSLCANVKNEKEGPYFGELNGGIRIIQKLSTLKRQPILQKLIIKHGNLKKLSLWGCSAIDALYVNCPELNDLNLNSCTNLHPERLLLQCPNLKNVHASGCQDVLIGAIRNQVLNEFAAAEPSLPCKRLADGFKRVQLPQFQKQQPLEDDKLIELSQTQCTVHIGS >Sspon.08G0030340-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8D:58017488:58017940:1 gene:Sspon.08G0030340-1D transcript:Sspon.08G0030340-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKAAWGVGVGGASSTEPLCLLPDVTTQRVGNLPSPTELPVAAQCDGGGGGRRATLSHSPAPAIVEDHDDNMGGETLGHPGSPPVSPMTTVGPATPASPTPMAEAEELHAAGNSTSPPTEEQAAPPATPTAPGDCACSYSSAHQSAASKL >Sspon.01G0063310-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:113699086:113700702:1 gene:Sspon.01G0063310-1D transcript:Sspon.01G0063310-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAALLLSPRLVSLPPHPSLAPGFIKRPTSYPLGRRGAGARLRAVGDGPGAGLPDQTTVYNGAYGPWTVEDSDVREVLLYRSGLVTAAASFVAAASAAFLSEGNPAAGAGAADLLYAAGTSGLGLSLLLIHIYVTPIKRFLQALWAVGVLGSLGTYLVAARPLDEGLVQYVLEHPVALWFVGPTFAALTGLVFKEARKFQQPIKDDIGDKSVFMFSALPEEEKNALIQKLERQKAQQQFE >Sspon.08G0017220-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:540805:543016:1 gene:Sspon.08G0017220-1B transcript:Sspon.08G0017220-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MCIAAWIWQAHPVHQLLLILNRDEFHCRPTKAVGWWGEGSKKILGGRDVLGGGTWMGCTKDGRLAFLTNVLEPDAMPGARTRGDLPLRFLQSNKSPLEVATEVAEEAHEYNGFNLILADLTTNIMVYVSNRPKGQPATIQLVSPGLHVLSNARLDSPWQKAIRLGKNFRELLRKHGDDEIVVKDIVERLMTDTTKADKDRLPNTGCDPNWEHGLSSIFIEVQTDQGLYGTRSTAVLSVNYDGEASLYEKYLESGIWKDHSVNYQIE >Sspon.01G0049150-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:111776276:111787807:-1 gene:Sspon.01G0049150-1B transcript:Sspon.01G0049150-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPLQEPLAGGGGLQRCAADVDPPPRPWPGLQKLAIVTIAAIVVLGCLQFLPPTHFRNPNDPQRNWIPIDGSRNPTGSLNDVGSVDVFSWISCLDLRTLAALANSTLSSSSDPLNVSFHFLVPKGGNVKLSYHKLKVLLPDSNLTVTSQKQIKDKLNVATPEGNFLWSFHTELSPFLIAKSQLSKKRYVYIAADSIIKGKVEDLARMDLGSYAIGAVEDCSKRLNDYISMDVLSAIQRAGAAQSWVSKEPYNKDACLLDFDVLLIEPRKLEKNLVVPIMWWANAINLANQRDLIRLAVTLAFNDKYMKLPSNWKRTDGNSDILNFDGPKNVCSEDGRQHEQSGYETHVDGDDFYEPFLATPSLPPPARRRIHHPMDPAREPLAGGGGLQRRAAAAARSGGGPQEPPPRGQRPIHPDVDPPPRPWPWMQKLAIVAIVALGCLQFLPATHLRDPNDPRRNWIRIDGSRNPTDSLNYVGSVDVFSWISCLDLRTLAVLTNSTLSSSRFCILSISHNFFPSLFGSDPQNISFHFLIPEGGNDKSSYHKLKVLLPDSDLTVTSQKQIKDKLNVATPEGNFLWLFHMELSPFLIAKSQLSKKRYVYITADSIIKGKVEDLGRMDLGSYAIGAGVDCSKRLNDYISMDVLSAIQRAGAAQSWVPKEPYNKDACLLDFDVLLIEPRKLEKNLVASIMWWVNAINLANQRDQIRLAVTLAFNDKYMKLPSNWKRTDGDTDILNFDGPKNVAQLPRPYGQILYDNCAKPCHLANAHWILAMPGSTVPPFLVGFDKRINPEWNFNLLRFQQTCN >Sspon.05G0026350-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:33706994:33716181:1 gene:Sspon.05G0026350-1B transcript:Sspon.05G0026350-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRALFQEMQVDEVVNISAPLKERYREAPAGPKRCLKLSMTDGVQRIFGMEYRPIKDLAVLAPAGLKIIIRNVHTRRGLLMLVPEAVEILGGVVDELEAARERLVSEVNKPPRGKSSYGVKARHGDVPPPRRLGARLARLGTRLARLGAHFARRWGSAWTPRRRHENYDLSLQDPVDMLLSLLQNVGGATETVVEELVSPPVVNTVQEINMQGLYASLTRETTETSMHTTDKYDTTHITERSTGTIMEECVDPPIIANSVHEQMQRVQPANGVEGTQSPNVGKINQMEQYFILSGENEKPFTYIYSMLIDWGRQQDTKAYIQGKIKIVNNRLGLSPGEVTAALAGELEFASPSEVKETLKGFQRFLVKFEGMMVIELNKNSSIPIIRELNESCSSSTAWLLLRRLKTVSSQKSAPTLDIMDTTP >Sspon.03G0022760-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:45460116:45462064:-1 gene:Sspon.03G0022760-1P transcript:Sspon.03G0022760-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding EMAWNGRFGDDDEGSGLELSLGLPGYFSASPTQAAGFEEEASGGSAAAVAARAKGSNGFEARPAAAAPVVGWPPVRAFRRNLASSSSSKPSREPSGQRGKEPAGAGGKAVEPGNKGLFVKINMDGVPIGRKIDLGAHAGYDTLSAAVDHLFRGLLAAQTSGSGGERQAVAGILNGGAGEYTLVYEDDEGDQMLVGDVPCRMFIATARRLRVLRSSDLNPSQLRAANRKRAAAEC >Sspon.02G0012440-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:32969969:32974376:1 gene:Sspon.02G0012440-1P transcript:Sspon.02G0012440-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTEVAAVVDVRALTQSDLVALAAASPYAVDPCRGRRRDGEFLPPPKIDRAVFNESAGSRKQTFSRRRVATNISHNLTPTTTGASSSLSTPAAPTEEDPENRLIVFHLQRLFARDDPSYPAPPPIPPRPQTLAAPAITAPAPSPPPAAAAAAAAAATDPDRVVLNPKGVAVDLARLAELVDPYGEELRQRTAGLGSESELLGFMNALEGQWGSRRRRRKFVDAGMFADHLPRGWKLLLGLKRKERVAWINCRRYVSPKGHQFATCKEVSSYLMSLLGYQEAKTTASQINSAGVHGLDVNSVGLHQQTISIEEKQIAVPVNSVTLFNPSGDSHQQKLQKDEAPIEVNAKECRKCNLTFHDQSAYMQHQLSFHQRKAKRRRVSKSELDTNIDGKYEKTQQKTSGEVSGNFGHSVADVRYQGPSPAELFDGQPSLVAVPCGFQEMTVLPQQGKEPSVLPQQGKEPSVLPQQGKEPSMLPQQGKEPSMLPQQGKEPSALPQQGKEPSELPQQGKESSGDISVNQKDPLKEMNGFPEQEKGLVAGELDSLKDITDTAEIIKEHPTREPSSGHRLDTADNSDDHKTHDEACDSAVASLSVDAERKLSICNSMNVHENVSSKDSELSSADYSQKFNRSDETCDVHKEVSSTVNDPDERKCTDDPTGCTNMTQSIQVSESCDLLHGKFVSSPEEHDFNGQLESNPLSASRDEPDLNSIGMEVDGGNISCNVENPRSFKSDKSAEDKIMDCEMTSLKDSELKNGVRVRDVNLNSCLDSISSPISGGNYETSDTPDDAIRSSIIAQCFGTTSNDDTACKDGNFANQNNTCKGENFVNQKNDMMYQSNLTMDPIPRAQINVDCFTSSCSMTPEIKDYGNRGEDSAKEALVNSQNMTSNETGFDAEAYNSDIFNGTITESSLAQLNNVINMKHDFANCYSLSDLNTLTGGTATDDIDIHNMRSSFVSSTSRTEPNEHCTLDFDIKGSMLEALEKSDSDLDNQYNDAGPSCDSLPATGTSGTIDDFVAMQTNFGSFTSLVRAVEDVPLSRIMQDQIMQDQAPLVYHLIGIACIASLFQRGVASSVSKVSPRRTQLALKV >Sspon.05G0026600-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:37473565:37475939:1 gene:Sspon.05G0026600-1B transcript:Sspon.05G0026600-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSVRATRRSPPPIRSGADASAGDDGEDTGVKEIIAKMREGLPAPAPSDGADTGDSPGGGEGGGADEEEEGFVTFSLQELVGPLVVDGEEVLDAFVGSDEARAGKAAAELLEATMGANTGPRTEAIKTELVVNRRLLDLAGLEQWMRTAEAVSELEWFTGLCCDENNPPPQIDLFECAFRALDNASAVELHRGADARKRWIGPVGVPQFFICPISNKVMENPVVIASGKVRGIFHRLCFLDGSVMRFHTVDRSALEEWQKENRRICPVTGEVLAYTMCIPNVLIKLCIEHWRTANKIAGVMAATDPPDISHELEVLIGQVTLMPHSPRSSKEVRNSLFLLHEILVANERAVVHLIGCRPGTIAKLVSVLPETCLDPDDPELDDIIIRILEKAASYGPNKAVFGDDQYAMPVLIARTLLGPVPMRARCAHILGLLADDHYNKIKIGELGGFAPLVELLYVGDRGAKKTAARVIARLCEAQENQSKFQKEGVVDATISALRSDGLAEEAQDILLRVAESSDALTEAFLKLVSIKDDEMCQKTSEFLWRTFVLMKREDKHDVGSSMTASKKTAWGERSSTSSDADKSSMSSEGSADQRALRKQNKEDVKTIVSWLQKRCSFPRTYRYRKD >Sspon.07G0028330-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:65770629:65770900:-1 gene:Sspon.07G0028330-1B transcript:Sspon.07G0028330-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPPSDVTPAPLLPAAASDAFQNLSLHSTHASLDPATLVNSDYGGGSYDKPVPVPKKKSKSKSKRDENSIWTRPTSRKGKNKMATQ >Sspon.01G0020830-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:77027494:77031097:1 gene:Sspon.01G0020830-1A transcript:Sspon.01G0020830-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPLLTLTSLLFLVHSAAAAGDTRRALHEPLFPIEWTPPPSTTAPPAPGFAADPSTPVPPVDNGGPALLPAPPPPNTVAADASSSSTGPAPRLHGGGGGTPKATIVVASAAAAAVLALLAFAAAFLLTGRLARRHPAWAHKPPGLAAAAHPGPASAVVLHADAVGTSAAASSSGAGATPYRKARSERARRGMCRDVDTVPSPELRPLPPLRRAGSSDEDAAYYTPGQRSAGSAGGEGAGTWSEATASSPRTTTPSRRSLPSLTSDFFPPTPAAAVPAATVAPPPPAPPAPRSRRTQPRTRFSAGSASDMIKQMVSPPSNPPHPPPPPPPPPPPAPRCTNAIPKPPPPPLSSVAAPLSTRRFHKLEQTEGPSVAVPRAPVMAVNKDNDGMPIRAHDDPASDEARPKLKPLHWDKVRACSDRDMVWDRLKSNSFQLDEDMIEVLFTNNAANAPPRDTLRKPGVPQCSAQEKVLDPKKAQNIAILLRALNVTLEEASDALLDGNAECLGADLLETLVKMAPTKEEELKLRNFTGDLSKLGSAERFLKALLDIPFSFKRVDAMLYRANFESEINYLRKSFETLEGACDDLKGSRLFLKLLEAVLQAGNRMNVGTNRGQAKAFKLDTLLKLADVKGTDGKTTLLHFVVQEIVRSEDAKSEKAVEDEARNIVKDEMFRKQGLKVVSGLSNELGNVKKAASMDFDVLHSYVSKLQAGLGKINSVLLLEKQCTQGQNFFARMRDFLKEAEMEIKQVRCDEERALGRVKEITEYFHGDAAKEEAHPLRIFMVVRDFLSMLDHVCKEVSQQDRTVVGSARSFRMSAITAMANLKMYGQHARDDDDEDSWSL >Sspon.01G0048950-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:110579768:110582016:-1 gene:Sspon.01G0048950-1B transcript:Sspon.01G0048950-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ATGRGKRQPADGALPGTRAAQQMGHRTTYDLGSSGKKRKAAADASRHPRRAAAHPRNAVAASNLNGGMTDSYLQSSSQAIEDAECSVASCSVNDLCRLGNGGNAKRRLAAAGCLPDDAMSACPCTPGDDEEEEPAAGVHGLELEAYRSTMRALYASGPLTWEQEALLTNLRLSLNISNEEHLLQLRRLLVGHTRRLRRPSAILFGEPLAFTVLATWFSFSTVCLVQLFGLEMGGLSGEKVFGFEMRQGRGEEKARRAARAQRTPPLQDVGLSLLRRFSCACSLPRLAPVARGFSNAVVAWPQSSNVVRSQEMGRSATGYAVRCGGGRPEGGAAGAEWGGLSPRGHASSRDVRLRDRDSERKSRASAEVRSRTAVETQT >Sspon.02G0057430-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2D:58259022:58261291:1 gene:Sspon.02G0057430-1D transcript:Sspon.02G0057430-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GITPPTPITVPWSRSWSISAPEFIDDTDDEVVFTASLASVVHHSGAPPRVFSSFGEFASTVLGLSPPSSTVHQPMVFRLVDPEPFIPLGAQCQVVNGRPVMRRVIIGHVPQHKKDLTIATLQPMPQGPINFIATHNIIEDFLQQRGIGFRSMQPCPYGQAYVRFNYILQRDLLIQESPHQFGNGSISFIPHNGAWNNRIALMTHEVWIMMLGLNMDLWTQPLIDKAISSFGRLLIWEEDHFYMSRAMVKHSFEMAKDFLQYPAWQTIAHASNGNTFTFVLPRVKPSVVISKISYSEDEVSIEHVGSSNVASILASTPTMPTLELEASPAQTPSPPSASNKTTTPRAKRRKSVHISDANLRRSDRIHGISKGFKSPSCSNHHCLGYSSDPPMLSTSVVRDLGTSFCKINVDSLTNEKLGSKPAKKGVVGKSKLEKPKKSDDGPEDGAKVKKSKKK >Sspon.04G0020150-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4B:73404417:73406965:1 gene:Sspon.04G0020150-2B transcript:Sspon.04G0020150-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSTNVEIPTDGADVWEIDLKLLKFGSKVASGSNGDLYRGTYCNQDVAIKIVRPERISADMYRDFAQEVYIMRKVRHRNVVQFIGACTRQPTLYIVTDFMSGGSVYDYLHKNNNAFKLPEILKVATDISKGMNYLHQNNIIHRDLKTANLLMDENRVVKVADFGVARVKDQSGVMTAETGTYRWMAPEVIEHKPYDHKADVFSFAIVLWELLTGKIPYEYLTPLQAAIGVVQKGIRPMIPKDTHPKLIELLQKCWHRDPAERPDFSQILEILQKLSKEVKTDPEGRHKTKSGFLAALKRSH >Sspon.06G0017620-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:95466451:95466803:1 gene:Sspon.06G0017620-1A transcript:Sspon.06G0017620-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAVAGLLASALVGIAKDKLRSAIAESASLLCNFGDDLEDMMDVLETISAALKDAERRSVKEKLVQLWLKRLKNVAMDISEMLEDYQDTSDQAKGKIKYWHNYL >Sspon.01G0002320-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:6397664:6409790:-1 gene:Sspon.01G0002320-1P transcript:Sspon.01G0002320-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSVSFLELCLCFLCFVVFYYFHIKSKRKNPVVPLDWPLVGMLPALLGNLHHLHDWITSLLVASPLNFLFTGPPRSGMQFFVTADPANVRHVFTSNFANYPKGPEFEEIFDVLGGGIFTADGESWRRQRAKAQLLMSSAPFRSFVSRYSRAKVDTALLPLLSHFAATGEAFDLQDVFLRLTFDMTTTLVFGVDPGCLSVGLPEVPFARAMDDAMNVLLLRHVVPMTWWKLARRLRIGHERKMAEAWRTIDQFVADTIAKRRAEKARHGIRDSADLLSSYINDDEDTAVDAFLRDTTINLMLAGRDTTGSALSWFFYLLTRNPRVVAKIRQEVESVKSKSTTADADGGGMVTFDDPDELSRLTYLHAALCESLRLYPPVPQELKEAAAADVLPCGHEVRPGDKILVWLYAMGRMEDVWGSDCREFRPERWIAEDGRVRYVPSYKFMSFNSGPRTCLGKDMAFVQLKAAAAAVVSNFDVEAVPGHVVEPKLSIILHMKNGFMATPVFLFIELALVLCFLTALYYRRLQSKKTSPLEPTEWPIVGHLPGLVANIHHFHDWATGVLTGAGYNFEASGGRTGLGYFITCDPSNVRHIFTSNFANYPKGEEYAAIFDVLGGGIFNADGESWRRQRVMAQMLMTGPRFRAFTARCCREKVERSLLPFLAHHAAGEGRPPCDLQDVFLRLTFDMTCALVFGVDPGCLAVGLPVVPFARAMDDALETLFLRHIIPVGCWKLMNVLEVGQEKKMAAARRTIDSFVAATVAKRRADKLKEGQGISNSFDLLSSYICHEDSSDANDDVFLRDTTVNLLLAGRDTTGAALSWFFYLISNNPRVEQKLLDELAPIASRRKGADDGADADSGMVTFDANELGSLVYLHAALSECLRLYPSVPFEHKVAAAADVLPSGKELKAGDKVLVFNYSMGRMKGVWGKDCMEFRPERWLNEEGTKLRYEPSYKFISFNAGPRTCLGKETAFVQMKTVAAAVLWNFAVEVVPGHVVEPKLSIILHMKNGLAVKVRRRHGTAPSSCVTAYI >Sspon.04G0007880-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:15410214:15418903:1 gene:Sspon.04G0007880-1P transcript:Sspon.04G0007880-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMGVAQDTIKMKMGVAAHLEEYKTLIDLKATLRQSNLNPERPDANHMRTLDSSIKRNLAIIKKLKVINDEVKDGLIEELKTVNLSKFVSEAVSYILLPSFVLLTYKLQFRYIDFSPCLIDELLKTFYPGKSGEPDLDKNAGALKKRSTLKLLMELYFVGIVEDASTFTTIIKDLTSLEHLKNRETTQTNLSLLASFARQGKIFLGLQQHGQDAYNEFLMNLNITYDQKWFFKKAFYSYYDAASELLQSEHASLCVMESENAKNLNAKGELSDENTASYEKLRRSFDQLLHCVSSLAEALDMQPPVMPEDGHTTRVTTGTDLPPSGKESSAVQLIWDDDDTKAFYESLPDLRAFVPAVLLGEVEPKLVEQHEVHGQSNECTMQPQTEVQDNGETSVSEHQTDRKANEEANNRENTEIERLDKEKNKKRLAKKVEFCYLNSKVNRKKLVRALFSVPRTSLELLPYYSRLVATLSPFMKDLPSMLLSMLEEEFNFLINKKACLDDFTHHNIDVACNLLETCGCFLYRSRETTIRMSNMLEILRRLKNVKNLDAHHTTLVENAYYLCKPPERSSRVSKVRPPLHQYIRRLLFSDLDKTSVQHILLQLLKLPWAECEQYIVKCFLKVHKGKYSHVHLIALLTAGLSRYHDDFAVVVVDEVLEEIRVGLELNDYAMQQQRLAHMRFLGELYNYECIDSSVIFETLNLIIVFGHGTSEQDLLDPLEDFFRIRLVITLLQTCGHYFTSGSSKRKLDSFLLLFQRYALGKGPLPLDVEFDVQDMFAELRPNMTRHSSLEGLNNALVELEQNEHVAAARKGGDESHWDSESQSKQSENVVFDANDKMIANISNKNGRDHEEAPNGDNSTDSTSRYRNGHEDEEDFPREERLDDRLENEDRIEDIAVPVGSDEEETVEVRKKKVQVDPKDQEDFDRELKAILLESLESRKLEPARPTVNMKEPMSTFKGSKDLMTTEAADKENVCDELVKSGSGGASVVCFKVLVKKGHKQQTKQMLIPGDCPLVQSTKQQSAAELEEKQNIKRKIL >Sspon.07G0028140-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:84913226:84915622:-1 gene:Sspon.07G0028140-2C transcript:Sspon.07G0028140-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRAKASSLILPARAGASAWIFPQPAILSTAFTAHASGSTAAATTTLAADPFAIANALSAAAVSTSMSAGSSLHASAIKLGVCADTFTATHLLIYYAKRGHLTSALDVFDETPRRNLVTWTAMVSAAARGGAPDLGLELFSAMVRSGHSSSRDVACWNAMLEGYVANGRGYDAMRTVVLMHRSGMAADMFTYISAVKASSITCDLNFGRQASQMMRMRGNCDCFVDMSRYGCKPNQVTFSVMLRLSGATESASLGLQIFGLAYCHGYSDNVLVANAVISMLSQCGLLSCAYGFFYTLKIIEDAGKMDLVSWGVTISAFVKHGLDKEALFIFNLFRADCPEKPDEFILGTVLNACANGALIRQCRCIHSLVVRTGHSKHFCVSSALVDAYAKCGDITAAKSAFAEVSSVTEDAILYNTMLTAYANHGLIHEVLSLYQDMTHLQLAPTPATFVAVISACSHLGLVEQGKLLFSSMLSAHGMNPIRANYACLIDLLARRGLLEEAKGVIEAMPFQPWPAVWGSLMNGCRIHGNKELSVLAAQQILRMAPNSDGAYVSLSHVYAEDGDWQSAEDTRRKMAENQVQKAQ >Sspon.02G0020200-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2B:66403510:66408114:1 gene:Sspon.02G0020200-2B transcript:Sspon.02G0020200-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRWIRFGRLRLNEGREKRGFRPPTVTGGGKPAGGEQLLAGVAQSGDSGHDSTNGLHRKIKEANASSPEMKTEARTGGGRRATSNGGRRTPVHGSVGIEAVETRPLFMRGSPTCWARIRRLGAASDSTAASSDRLGYEREEDDDADKRARVAARLGEERGEAACGSGWMGRPIARPTIVSLLYLLCHVLDSSEDTRSRAKRLAEEIGSFHLNVPIDSIVSAFLSLFETLTGKRPRYKVDGGSNTENLGLQNIQARIRMVLAFMMASLMPWVHNKSGFYLVLGSSNVDEGLRGYLTKYDCSSADINPIGSVSKQDLRAFLRWAAIHLKYSSLAEVEAAPPTAELEPIRANYNQLDEVDMGMTYEELSIYGRLRKIFRCGPVSMFQLYQHIDRRIDLYYEG >Sspon.01G0008370-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:27058864:27061804:-1 gene:Sspon.01G0008370-2C transcript:Sspon.01G0008370-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-conjugating enzyme E2 32 [Source:Projected from Arabidopsis thaliana (AT3G17000) UniProtKB/Swiss-Prot;Acc:Q9LSP7] MAASAKYNRSNPAVKRILQEVKEMQSNPSPDFMALPLEEDIFEWQFAILGPRDSEFEGGIYHGRIQLPSDYPFKPPSFMLLTPSGRFEIQKKICLSISNYHPEHWQPSWSVRTALVALIAFMPTNPGGALGSLDYKKEDRRALAIKSREAPPKFGSPERQKLIDEIHEQMLSKAPPVPQAIPNVPNEESNQLPAPDSSEHADKVDEGDNTSGSMSGSLSGHPVPESESPVAENTGETSAVEVANHHVPEASRRENIPRVPSSLQNPAVAIQKPKHDRLLTLAAFGLTLAIMALVIKKFLKINGMGGFIEGKF >Sspon.04G0033280-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:53012991:53014619:-1 gene:Sspon.04G0033280-1C transcript:Sspon.04G0033280-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRGVVHKSSAALVREMGEAGHGHGWWSMNNLIRPPLEQQHHPSLFMPSTTTTTTTGTAAAAVPSSSSSALHSFSSLLLSNHYPLPTTTSTSPPWQHDSTTTSSHGQQQDSWIQLLQGGLSSTKESQMGFPTTICSEAGGSSGSYVVYGAAAASGHGRSTSDVEIQFPWGSSVLQQNKQQASSQQQKASSPRSSSITTTTTTSLGSNMLEFSNNSSSSPRECISTASGSAFKKARTQEPSPAQSTVKVRKEKLGDRITALHQLVSPFGKVCTT >Sspon.05G0027560-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:54645010:54655820:1 gene:Sspon.05G0027560-1T transcript:Sspon.05G0027560-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGEASGSGVAGGGEEEAPAASAPNGDRSGDSPLAAPSSSSADDRGFHRSSTMPGVIKNDEITNETAGPSNLERSRTERRRQNNPAENPAKQLLDKRIPIKKKLKMLNRIATVKDDGTVVVDVPSGLEPTIVGGTEDIYTEAAVEEALDGTEIPYRPPMQIVILIVGTRGDVQPFVAIGKRLQDYGHRVRLATHANFKEFVLTAGLEFFPLGGDPKILAEYMVKNKGFLPSGPSEIPIQRKQMKEIIFSLLPACKEPDPDTGIPFKVDAIIANPPAYGHTHVAEALKVPIHIFFTMPWTPTSEFPHPLSRVKQPAGYRLSYQIVDSMIWLGIRDMINEFRKKKLKLRPVTYLSGSQGSGNDIPHGYIWSPHLVPKPKDWGPKIDVVGFCFLDLASNYVPPEPLVKWLEAGDKPIYVGFGSLPVQDPQKMTEIIVKALEITGQRGIINKGWGGLGTLAEPKDFVYLLDNCPHDWLFLQCKAVCPTTIVPFFGDQPFWGDRVHARGLGPPPIPVDQFGLQKLVDAIKFMMKPEVKEKAVELAKAMESEDGVTGAVRAFLRHLPSKTEEQSLPQSSGFLEFLGPLSKCLGCS >Sspon.08G0001060-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:4015867:4017895:1 gene:Sspon.08G0001060-1A transcript:Sspon.08G0001060-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SEN1 [Source:Projected from Arabidopsis thaliana (AT4G35770) UniProtKB/TrEMBL;Acc:A0A178UYD5] MATSASGISLLLAQPPPPAYGTSLWRGGGAARAPASARLAATAARAAHALAAKANAKRRTLRFVSVSVRCVGVGGTEALRSDAAEPAVPSPSSVPRSVPVRVAYELQQAGHRYLDVRTEGEFSAGHPEGAVNIPYMNKTGSGMTKNTHFLEQVSRIFGKDDEIIVGCQSGKRSLMAATELCSAGFTAVTDIAGGFSTWRENELPTTIQ >Sspon.06G0012150-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:52751112:52769411:1 gene:Sspon.06G0012150-3C transcript:Sspon.06G0012150-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSTKGFSYEAQTLMLTLCNFEKYVLHHELHLITGCVASSTIANSKDQTDAEVAMGYTMTEICDKFIEFFMYTKPETRDWRKLLVFREEWRRYREHFYKRCQVRIDMETDPSLKQKLVVLARKVKKIDDEIEKHMELFTELRENPADINAIVARRRKDFTGEFFRHLNFLVNAYNGLDERDGIVRLGAKCLSAIHAYDCTLEQLDIESAQLKFDDILNSSSLNGACDKIKSLAKAKELDSSLILLINRAWAAAKESTTMNDKVKDIMYHIYTTTKESLKNISPPEMKLLKYLLNIEEPEERFSALATAFSPGDEREAKDEDALYTLCS >Sspon.03G0006170-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3C:32344394:32344597:-1 gene:Sspon.03G0006170-2C transcript:Sspon.03G0006170-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASTKTVAVLLAVVLLALAASAAASRKLEEEDALLGSLALAPAPAPAVGGAAGLAGAKPAAWAVAAL >Sspon.02G0016530-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2B:47061059:47063591:-1 gene:Sspon.02G0016530-2B transcript:Sspon.02G0016530-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPSRHLRAAARQRSHRRPAAPADACITGKPDAEVIRRNKAITAHMRAGRVPDAERLFAAMPRRSTSTYNAMLAGYAANGRLPLALSFFRSIPRPDSFSYNTLLHALGVSSSLADARALFDEMPVKDSVSYNVMISSHANHGLVSLARHYFDLAPEKDAVSWNGMLAAYIRNGRIQEARELFDSRTEWDAISWNALMAGYVQCGQIEEAQKMFNRMPQRDVVSWNTMVSGYARRGDMAEARRLFDVAPIRDVFTWTAVVSGYAQNGMLEEAKRVFDAMPEKNAVSWNAMMAAYVQRRMMEEAKELFDAMPCRNVASWNTMLTGYAQAGMLDEARAIFGMMPQKDAVSWAAMLAAYSQGGFSEETLQLFKDMGHCGEWVNRSAFACVLSTCADIAALECGMQLHSRLIKAGYGVGCFVGNALLAMYFKCGNMEEAHSAFEEMEERDIVSWNTMIAGYARHGFGKEALEVFDAMRKTSTKPDDITLVGVLSACSHSGLVEKGISYFYSMHRDFGVTAKPEHYTCMIDLLGRAGRLDEAVNLMKDMPFEPDSTMWGALLGASRIHRNSELGRNAAEKIFELEPENAGMYVLLSNIYASSGKWRDVDKMRLMMHERGVKKVPGFSWIEVQNKVHTFSVGDSVHPEREDIYAFLEDLDIRMKKAGYVSATDMVLHDVEEEEKEHMLKYHSEKLAVAYGILKIPPGRPIRVIKNLRVCRDCHTAFKYISAIEGRLIILRDSNRFHHFRDGSCSCGDYW >Sspon.02G0025120-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2C:92239489:92241012:1 gene:Sspon.02G0025120-2C transcript:Sspon.02G0025120-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEIVSSALVHETVNQLISNLVQKYEDKAVSSANRNLERLEMAHIRLEAALETSEKWQISDTSLLRWRGKLKRAAQECDETLHRCKQRILEDEQTEEEVRKSSFPVRIAHTTKSFVFSIFSPNKDESSSSAVRRFEWFADGASEFLRLLELGGRPRYHMPFDPLVRHLLNGEKLQHIIIQANKRPLFIQLVAYINAGHGIEAKFILMQTDGNASEDDFFFSLMLQLSESTDIVGMAIQCLQLYAPLFNFKSAVETVRNKLLQLPTEDFSWVPDIDTHHKEHWDILHRFGTDWFRPYPLCCKQHDQHKLCHGSRKSKKSRSPDVFLEPVIEVHLQCHVSVFDCNQHRSLMSETKYSVQDSPYLKVGLLFAPHGCLEDILLVDRTPAIPAIYSEEQHFLHTDFTLGQLEETMLPKAIDYFCKNDEAAVYQMLWKLKHGAAYILVEKASMGTQRTSMRTFQGPSKGKMLQWHDQEIGRQTSTIFQFLNLWVAHAPVQLQGTIVDWIQKEKE >Sspon.04G0023860-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4B:15661232:15662641:1 gene:Sspon.04G0023860-1B transcript:Sspon.04G0023860-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAALFGAQRNGAADLVGGGKTLFWPQEKAKAKALLEPRSVLDCTRSPSPNNSTSTLSSSLGGGPADSTGVAVVSDSSAAAAEATKWGAPGQHGGGGGKEDWAGGCELPPIPTGLDMGVAGGGQSWDAMLGNAAAPGQDQTFLNWIIGAAGDLDQPGPPLPVHQQQLLDNVGFGFPAADPLGFTLDPHLGGVASDMSSPGALSHATNSGGGGNKASSAFGLFSLESASLQPPPPPPVLFHEGIDRKPPLLGAQPPGLHQYQHQPTPATTFFMPIPSFPDHNQQSPLVQPPPKRHQSIGDDLYLARNRLLPLAAGQGPFPPLNGPAPFQLQPSPPPPHGAMKTTAAEAAQQQLLDELAAAAKAAEAGNSIGAREILARLNHQLPPLGKPFLRSASYLKEALLLALAEGHHGGCHLTSPLDVALKLAAYKTFSDHSPVLQFTNFTATQALLDEIVGSTSSCIHVIDFDLGVG >Sspon.07G0000020-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:156386:161002:1 gene:Sspon.07G0000020-1P transcript:Sspon.07G0000020-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASWAAPTTSFAPAPARGPWRIKTAPLPIRTLPRRVLLNAVGESAMVAAGDTLLGLYEKERLGLSQYADDEFKEERYWETLDADLRYWTRSLRPVQWYPGHIAKTEKELKEQLRLMDVVIEIRDARIPLSTSHPKMDSWLGNRKRILVLNREDMISTEDRNAWATYFGNQGTKVVFSNGQLGMGTMKLGRMAKSIASVVNTKRKEKGLLPRPVRAGIVGYPNVGKSSLINRLLKRRMCPAAPRPGVTRELKWVRFGTDLELLDSPGILPMRISDQTSAIKLAICDDIGERSYDFADVAAILVQMIDVDSECGKTYALTVLSSSLSKKRSPSDIVLGVNVLTKFVTKLSVHLFNGDTSQAAFRILSDYRKGKFGWVETTGYTIPASHTVSFSPQSLTGGLAADTRQLYIAAGNDCTDANPVFAHSPTQPKPWCAL >Sspon.08G0011000-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:53435141:53437169:-1 gene:Sspon.08G0011000-3C transcript:Sspon.08G0011000-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DHAR3 [Source:Projected from Arabidopsis thaliana (AT5G16710) UniProtKB/TrEMBL;Acc:A0A178UIL9] MAVLLRGTSAAATAAGPSSALLATTFRRSRGRLLPRAAPQRRLYVARASAQPLEVCAKESITVPGRLGDCPFTQRVLLTIEEKHLPYELKLVDLANKPDWLFEINPEGKVPIVKLEEKWIGDSDVITQTLEEKYPEPPWQLHQKKLQ >Sspon.07G0007600-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:17951894:17953839:-1 gene:Sspon.07G0007600-2B transcript:Sspon.07G0007600-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSKLRQALGAVKDQTSIGLAKVGSGGALEADLDVAIVKATRHSESFPADERHIREIITLTCLSRVYVGSCVSSLSRRLGRTRSWAVALKTLVIVHRLLADGDPAFEQEVFFATRRGTRMLNMSDFCDRSRADAWDFSAFVRTYAAYLDDRLEYRMQGRQQGGGGAARGGRQTLHEEMYASPGNRYTCDVAAPCFNGRQEDAVDAEAHSRAVALVTRDPPTSEMTVDQLLVKANQLHRLLDRFIACRPVGAAKANRVVAVSLYPLVKESVQLYCELTEVMATLIEQFAEMETADCERVHALFCGLAKQLEELENFYAWCKVVCVCRQSDVPEVEVVTQKKLELMDEFIRDRHAAADSQQRLPPPEPEPMASPEPVLVEEEEDDDDMNATKALPAPEEPPAAAQVEPEAPLVVADPVEEEADFLNLKADAMSGEEHGQQLALALFDGDITGSAPKGNVFQGASADWETELVQSASALANQRAELGGGLSMMVLDGMYNHAAVANNAQTFSGSASSVALRPPGAPMLALPAPPGGSGAAVGADPFAASALVPPPTYVQMSDMQTKQQLLTQEQQVWRQYGNNGMRQGALTMLEQRPKQNQQSLPHMGHNHAGYRTS >Sspon.08G0019350-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8B:11339393:11340061:1 gene:Sspon.08G0019350-1B transcript:Sspon.08G0019350-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPADAGGEYDIADAFDEAQRVETQLLRAPLNRYVRMKKGCKKLAQKLSCVDTTLEVPYNPTWSRSQTMSTVAASASDTRATFSSVRTPQDRGKGPAVADDVDEELQYDTTSGDDDDDVHQWEYTGHEEMGPS >Sspon.01G0015830-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:48455960:48458883:1 gene:Sspon.01G0015830-2C transcript:Sspon.01G0015830-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSMPNTAQAIEKGENAQPIRGAEQKEKKRRAIEHIAAWFNEAGIPSYTACLKSFDSITASKSFGTVHAISTRMNLDPVSWWQSHGGVAKELSAMALRILRLTCGSLAFEPSWIAMIHKKKPSWIEHKQFEDLMFVTVNQRIQGKAQMRDRDPVLAYLPREDEPFEWLVGMRRFDAQLPWCRDLLLARTRSSDGVGLARLAIEAEYVTSEEDDDEASRHSIKRKTSSGASCSKQEKRPCLVKGNVKDEWMMLMYKLDVNGAHVGSPAAVVVNEVEAFRVIRHFSG >Sspon.03G0026210-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:2289576:2290955:1 gene:Sspon.03G0026210-1P transcript:Sspon.03G0026210-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSPALLLLLLLIGGAPAATKADMPMAVNEEVLGLVLFKSALSDPTGALATWTESDATPCGWARVECDPATSRVLRLALDGLALSGRMPRGLDRLPALQDLSLARNNLSGALPQGLSLLASLRSLDLSYNAFSGPLPDDVARLASLRYLDLTGNAFSGPLPPAFPPTLRFLVLSGNQFSGPVPEGLASGSPLLLHLNVSGNQLSGSPDFAGALWALERLRTLDLSRNQFSGPVTDGIARLHNLKTLSLGGNRFSGAVPADIGLCPHLSTIDLSANAFDGHLPDSIGQLGSLVYFSASGNRLSGDVPAWLGKLAAVQHLDLSDNALTGSLPDSLGDLKALKYLSLSRNQLSGAVPASMSGCTKLAELHLRGNNLSGSIPDALFDVGLETLDVSSNALSGVLPSGSTRLAETLQWLDLSGNRLTGGIPTEMSLFFKLRYLNLSRNDLRTRCRRSSACSAT >Sspon.05G0023090-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:1004907:1009881:-1 gene:Sspon.05G0023090-2C transcript:Sspon.05G0023090-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIVAVKAAGWVVGKALGTVTGTLLEAWAASKGLGPKVDALKVELLYAQAMLDNTQGREIHSPALKELLLRLQQLAYSADDVLDEIEYFRIQDELDSTYHAADAHAGGCVRDLILNARHVAGAVAAKLKPSCGSRHGHTVGEHDEQDSNPKHGCLSSVVCCSCGGGTVSSSPPSPTNQDDQNKIHGGCMQKLASNASTAACPGKCFISSRADRLPVSVDNCVHTLRGFQHNRKWNRILLLCCLAYQDMLGKEKRFLCGAWMTSKAQQRKDIDEQAPKLKFNRVGISAKMEEITEHLKYVCAKVSNVLNLEFMGTSRITRKDLANKRPITTSDITETELYGRNTRKNKIVHDIISGNYFANDELVVLPIVGPGGIGKTTFTQHVYAELKSHFEVTIWICVSLNFTASRLAQESVKQIPRVNGEKANGSDQELIEQRLKAKRFLLILDDMWECLEDEWNKLLAPFRRGGEKGSMVIVTTRIPEVAKMVEKPLDCAIEMGRLEGKDFMDVFEACIFGGQQPWEGHIELRDVGEQIVSKLKGFPLAAKTVGRLLRNQLTLEHWTKVLDSKEWELQTNDNDIMPALKLSYDYLPFHLQQCFYYCALFPEDYEFDSKELVHLWIGLDIVQPCDLTRRIEDVGLCYLDDLVNHGFLKKNKRNDGSPYYVVHDLLHDLAVNISSFECLSICSSNVKSIHIRQDIRHLSIIIDDTDVEDIKTFKYYKNDLSALDKRLNIENLRTLMVFGGHHDSFAKTFCDLFEKTRALRAMYLFGASSFAMKDMLNMFPKHIHLRYLRIKSTDETNYVDFDLSALTRLYHLEVLDMQKCKGRYGFTRHISNLAKLRHFLVPQDELQLHSNIVEVGKLKLLQELRSFEVGRETKGFELSELGKLSELGGSLSICSLERIRAMEDAHEARLTQIKHLHKLALEWDANRPEKNTTHEENILEILTPCSNLKDLCIRGHGGTKCPKWLGQDISVKNLESLHLDDVAWNIFPPIGELWLVNGPHEEISSNIHHEKFHNLRRLELVKLPRLKNWVVNAPCHLFAHLEVLIIRDCSELTNISFSHSTCCQREREEEANMNWFPRGLRELEIASCPKLLSIPPVPWTSTLCSTEIKGVALGFKNLVCKADKYLGYFLKIKAAVALDDGTFWNVLAFDNLTELKRLEMDTCPPLPLHHFQVLSSLKTLNLQGGSSIVFPSVEGVSHAEYQSPVECITVDGWNASAKELTQLLTYFPELSDLGVVSCNQITGLAVVEKQAAETHAPESSDNKVDDQQQDGRRGEEEIAASSAEGLLLLPSQLQKLWIGGCPELSLRSNSIDRNRESQGLQGLCSLRSLDISGCPRFLSSYSSSSSSSCFPFPTSLECFSLYGAVGTATLFPLSNLASLTDLTIRGCEDLRVEGLRYLLAQGRLTKLTVWGTPNFWAGPEPPLPHEQEFPSSSSKLQELETDDVAGVLAAPICTLLSSSLTELRFEGDEEVERFTKEQEDALQLLTSLEEIRFWFCDKLQCLPAGLHGLPNLKRLNIYSCPAIQSLPKDGLPSSLQELAILSCRAIRSLPKDCLPTSLQILVIYECPNIRSVPKVHDLPSSLRELN >Sspon.07G0019430-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7B:75847676:75850348:1 gene:Sspon.07G0019430-2B transcript:Sspon.07G0019430-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTGGEDRLSELSDDLLRRILYFVPSKEAASTSVLSRRWGSLWRSSGTVNLAVQVHGYQDLRYGAAYQEAFVHGAKAALDAAEAPITRLTLRVESNDHDGRRTIDQFLCRSRDCKDADVVGAVVSHQAACRVEELRVAAVDVGDIFIYYEEENHRHAGIYGLASLPSSDTLRVLDLTRCDLTLMAVFPLPRLATLRLRYCSVYPNVVQALLDAATELTTVQLESLFLVLPPDSQQKARLHWPHHDELDGEEPEPPVLRLSFQAATTTLVLALCGMPRQDSGDRRRRSRGAIEIDAPRLQSFKYKGLMRRFILRSSAAPGVARVDLHFLRHDPDQVSDRRVLILDCLCRNWKEEKNLADIKFYRNPEIKRKFWKYRRLSTDKKNFNPDDPYRQYDSDDDNEEETTRMLFWQFLHNFTNARTLKLKVGNDIKDIAAIGKAKRARLLCAFPGVECLELEGVHGPKSKTAAVAIANLLHCCPVLGEIMLKLSTATASLDKD >Sspon.08G0016140-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8A:63828586:63830171:1 gene:Sspon.08G0016140-1A transcript:Sspon.08G0016140-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKRKAPDGTAEPCSGVGGGDRLSGLPDELIGRILSFLPTPQAVLTAQLSRRAWAHVAALNLSVRDFVRRAKFFALATVALARFPTPGIPAISVEVDHHIYLVDEWYDRAMERAVGSVRVASLRALSFLRLPPCTRAEALHVTAPRTRLTLPEADLAFGRLAELSLTLLQLGGARPLDEFLASCCPGLRTLRLRRVRGHPVRRLALRTGALELLDLDTVDDLATLDVVAANLRCLSVRSCFRLPRDGDGDGGVVTVSAPRIEAVCWYRSYPEQLTFRDGLAHVRRLAGPLKLPTLGRFDAPYTTQLLRSCALAVDRLDMELVVPDEMALLNWVGAPTCEDLIRHVPALPCVRVLSLKIRWGFGGGGVTSRLASFLSRTPSLTRLRIYASPYCLTVYEGEEAAPPRGKQQQWKRSDVGADEQSRVRLDGLREMSVDGLRGTDSEEHGIVQLLLASAPPSLERMSLTFRDAAASIVDEIAAEIPVHFPTATGRWDRCTTSVLTWTR >Sspon.04G0024380-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:19062182:19062670:1 gene:Sspon.04G0024380-1B transcript:Sspon.04G0024380-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GETHTHFARVPPLRAGAARRRRADEPGRRRRHWRGRGGLRRVGHLGRVRPERRAGRAGEGGPVSGRAEGVVAGEAGRQGRARVAAGEDPGLVQDPGQRVPAWLPLRRRGLGARRRRGLRGPGAAPRAGVAPPRRVAVAERGRRQDAQGPGRGVEADHRVPGLT >Sspon.04G0009630-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:28667956:28669722:1 gene:Sspon.04G0009630-2D transcript:Sspon.04G0009630-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:KC1 [Source:Projected from Arabidopsis thaliana (AT4G32650) UniProtKB/TrEMBL;Acc:A0A178UVX9] MRSSAAATPLVEPAAAGYGWWQALLVAPVLYSAWASPFELAVERAATLPLLVADLVADVFFAVDIAASIFVACLKLRGSSSLLFYDDRRKADVRHLRPWTLAMDVASTIPFQVIYHLATAWGAAAAWSSPCRFLSLLRLWRLRRVSELFAKLEKDVRLNYSWTRLVKLVGVTLLAVHAAACVHLWMASHYSGPKERTWLGRGFETRSVWAGYTRAVYWSVATLTTVGYGDLHPANPGEMVFAVFYVLFNLGLGAYIVGNMTNLAVSGSTAALALRDTLRGVSMFGAMNQLPEALTEQMAESVQLNFDMTEQLLQQQLLSEMPRAVRSGIAQHLFRDTVEDAYLFRGVSEGLVVDLVADATAQFFPAKADIIQQNETPTDCYIIVSGSVDVLATAADGTETVVSRACRCGIAGEIGVMLNIPQPFTVRCRRLTQAVRVSQGHLLRVVRPDTADAARVFCNFVQHLGSPVWKVAKEEAPLFREIADQIEAETATAASMSRRRSEMFDPEGLEEADQLRMSLRREAKRRVVIHHKSAIATGKLLCLPSSMRELRSVAEAKFRKVVTTVLTVDGAEVEDIDVLRDGDHLFVC >Sspon.07G0017070-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:83984912:83989716:-1 gene:Sspon.07G0017070-1P transcript:Sspon.07G0017070-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHLVHLGPVLYQCAAHVSEGSIGKTNDSLSEIKRLSSIVDGPLQRLSQIMADSLARRLLLSCEGLTGSLIHPSDYFEQSSIQSARYNFASLSPYLNTGFATINRAILESMEVEKVIFYQDYIHTYELLMTIVFQVVRIIDLSCSASHPRQWLKLLHGFHGRPGGPPEVRLTVVHDDNDFLANMKALLSKEADMLKIPFQFNDVNGRLETLDFSNLRDVLGIKYGEAIAVSCSLQMHRLLVVDDNVSCSAIDQLQKMANAAQLKQMASSVYSPASILNYPRTPSPLLLARFLNAIYTLKPNIMLVMEQDANHNALLFSDRFVEALNYYAALFDSFNAMAAANSQWANERTQVERMILGEEIKNILLCEGVNRHERHERLSQWEMYMDASGFHHVPLSFDAIREGELKLLSFGLNGCQYHVESDSLLLGWSSTPVWGMEMFQEDTLSSATSSPASSLYTPSPHGHGGLGSSWVQELSHDQQGLRLINLLYQCAAEVAAGAFDRANFYLEQITQLASLDAPHTLQRLAAVFADALARKLLNLVPGLSRALLSTGGNSAEAHLLPAARRHLFDVLPFMKLAYLTTNHAILEAMEGEQFVHVVDLSGPAANPVQWIALFHAFRGRRGGPPHLRVTAVHDGREFLANMAAVLAKEAEAFDIPFQFSAVEARLEELDTDALRHVLCVRSGEALAVSVVGQLHRLLAADDAGGRNSRHVPGSSCLTPVQIIARSSPSSFGELLEREINTRLQLSPDSSAVLSMSPQSSSLSPAQQQQQREKAKLGSFLRAVRAMSPKIMVVAEPEANHNAATFLERFEEALNYYASLFDCLERASAAQAHRCVGERARAERLVLGEEIRGVVAREGAERKERHERLAQWARRMEAAGMERVGLSYAGMMEARKLLQSCGWGGGDYAYQVAHDARGEAFFFCWHRKPLYSVSAWRPAAPSCRHT >Sspon.02G0009710-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:21719920:21726778:1 gene:Sspon.02G0009710-4D transcript:Sspon.02G0009710-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFGGMFDGAAGSGVFSYDATGGGGTGMHNPSRLIPAPPLPKPGGFGATGLSLGLQTNMEGGRLGDLSRTGLIGSGGSGSGGDGDSLGRAREDENDSRSGSDNVDGASGDELDPDNSNPRKKKKRYHRHTPQQIQELEAVFKECPHPDEKQRMELSKRLNLESRQVKFWFQNRRTQMKTQIERHENALLRQENDKLRAENMTIREAMRNPICANCGDAAVLGEVSLEEQHLRIENARLKDELDRVCALAGKFLGRPISSGSSMSALQGCSGLELGVGTNGGFGLGPLGASALQPLPDLMGAGGLPGPVGSSAMRLPVGIGALDGAMHGAADGIDRTVLLELGLAAMEELMKVAQMDEPLWFRRPDGGGGGGGGLETLNFDEYHRAFARVFGPSPAGYVSEGTREAGIAITSSVDLVDSLMDAARWSEMFPCIVARASTTDIISSGMGGTRSGSIQLMHAELQVLSPLVPIREVLFLRFCKQHAEGLWAVVDVSVDAILRPDGGNHHSHAQNGGGAAGYMGCRLLPTGCIVQDMNNGYSKVTWVVHAEYDEAVVHQLYRPLLQSGQALGARRWLASLQRQCQYLAILCSNSLPARDHAAITPVGRRSMLKLAQRMTDNFCAGVCASAAQKWRRLDEWRGGGEGGGSAGTGGAAGEGEVKVRMMARQSVGAPGEPPGVVLSATTSVRLPATSPQRVFDYLRDEQRRGEWDILANGEAMQEMDHIAKGQHHGNAVSLLRPNATSGNQNNMLILQETCTDPSGSLVVYAPVDVQSMHVVMNGGDSAYVSLLPSGFAILPDGHCQSSNAAQGSPNCSGGGGSSSTGSLVTVAFQILVNNLPTAKLTVESVETVSNLLSCTIQKIKSALQASIVTP >Sspon.04G0017630-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:67730809:67731376:-1 gene:Sspon.04G0017630-2B transcript:Sspon.04G0017630-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding EVRGSDSGGVPMATTLQVIVVVQETSQASDTVDFEVSSPDSGHIQMQISSSEATHKDSTEKTALAVAATAAVDLTNLFFLLRGDTDTERQRWDWTGGISVLFAFAALLSAAGLVLALHTTVFVSAARRRVILVASVAALFVASAGTVLALLDAQGCAMSL >Sspon.03G0011490-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:31258545:31262254:1 gene:Sspon.03G0011490-1A transcript:Sspon.03G0011490-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAGAAALPRPWALLLPFLAVATCLDVPSHGCYWTGCQSKWFGVCAARHILDSQSDDCDGLCVESKSPPCLPLHTHFYCCKPGIPKVTNKCGHCKSKLDFGKEFICCSDCSDPTIMDKNSKLGYCKSGADLTMRLKPQETFHWVAGPWMTCSSPCDGGIRYRDVACYGNLDDNTIKHYPVDDASCSADEMPARQEACNQQSCSDPEMTQSVNPKKSGMSGWLVALVVILGLSAAAGIAFTSYTYYVRYA >Sspon.02G0011540-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:34867505:34871443:-1 gene:Sspon.02G0011540-3C transcript:Sspon.02G0011540-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVRIKAVVDRFVKELQAALDADIQDRIMKEREMQSYIEEREREVAEREAAWKAELSRREAEIARQEARLKMEKENLEKEKSVLMGTASSQDNQDGALEITVSGEKYRCLRFSKAKK >Sspon.05G0020120-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:81543095:81546728:1 gene:Sspon.05G0020120-3D transcript:Sspon.05G0020120-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding PPRRALPRGDAPQRRLRNLRRRRRLRGRAGAGLRRSQDLGDEQPRGNVRRHTSFGAEASRRMIN >Sspon.03G0013270-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:37256275:37258202:-1 gene:Sspon.03G0013270-1A transcript:Sspon.03G0013270-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MENQMNEVASSWQPGDWSCRSCQHVNFCKRDACQCCGEAKLGVDPNPTDYAAPGGVWRVKPGDWLCYRCSVNNYASRGSCFKCGAAKNESPAAVAQGWRYTVAGQPGMKPGDWICPRLGCHVQNYANRTECFRCSMPKSY >Sspon.07G0035460-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:7807957:7808954:-1 gene:Sspon.07G0035460-1D transcript:Sspon.07G0035460-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRLPAVLLGACAVLLALATPLLAGDPDMLQDICVADYKSLEGPLRVNGFPCKSEANVTADDFFFGGLATAADVYSDNPVGSTVTAADVAVLPGLNTLGVSMARTDYAPSGGVSPPHVHPRATEMLFVVEGTLEVGFVTAAANRLFSRTVGKGEAFVFPRGLVHFQRSVGDAPAVAISAFNSQLPGMQTVAGALFGAAPAVPTDVLARALQIDGGVVENIKSKFAPK >Sspon.01G0024700-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:88022381:88022692:-1 gene:Sspon.01G0024700-1P transcript:Sspon.01G0024700-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding HGVEEEERQRVARARVRRRLRGGEGPERARAHGRRRRRRGRRGRRAGAGAGEAAQRPFRRGAAGHGGAAVRVRPAGRAAGALRRRPLPAGPRRRHAEMRDQLRV >Sspon.04G0016920-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:67879449:67888221:-1 gene:Sspon.04G0016920-3C transcript:Sspon.04G0016920-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LIRMGVYLSTPKTDKLSENGENDRLKFGLSSMQGWRATMEDAHSALLDLDSETALFGVFDGHGGRVVAKFCAKYLHGQVLKSEAYSAGDLGAAVHRAFFRMDEMMRGQRGWRELSALGDKINKFSGMIEGLIWSPRGSDSNSQQDDWASEEGPHSDFAGPTCGSTACVALIRNSQLVVANAGDSRCVISRGGKAYNLSRDHKPELAVERERIMKAGGFIHMGRVNGSLNLSRAIGDVELKQNKFLPPEKQIVTANPDINVVELCDDDDFVVVACDGIWDCMSSQQLVDFIHERINMESSLSAVCERVLDRCLAPSTIAGDGCDNMTMILVQFKKSVDRNKKAEVAGQSANNADEVKSRVAEE >Sspon.07G0034320-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:69187734:69191996:1 gene:Sspon.07G0034320-2D transcript:Sspon.07G0034320-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALHAVDARSTLEAQLWRFMRSRRSSGGHRSTSTSSTIVADDMAVVASRLQGRIMTTLHSGDGSGDDDLRRLREGDKIAALPGQPGGVDFDQYGGHVTVDADRGRALFYYFVEAPRHEAASKPLLLWLNGGPGCSSLGYGAMEEIGPFRANSDSKTLSINKHAWNSVANVIFLESPAGVGFSYSNTSSDYERSGDEKTADDAYLFLINWLERFPEYKGRPFYISGESYAGHYAPQLAVTILLLNAYNNNKTIINLQGILVGNPFLDNYWNSKGLIDYFWSHGVMSDEVFENVSRNCGYSNNNLDMDTASSSCADAWHAFDKGEIDPYNIYAPVCVDTPQGAYYPSSNVSTKNVTEECKFQPIEILGYDPCSDSYTSGYLNHPEVQSSFHARPTNWSLCVGDFDAICPLPATRYSIRDLGLHITTPWRPWTAHNEVGGYVQQYTGGFTFLSVRGAGHAVASFQPERALVLINSFLKGELPPNEVEYDP >Sspon.04G0011190-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:29911221:29924137:1 gene:Sspon.04G0011190-2B transcript:Sspon.04G0011190-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKEREEQVAMVRAVLGEGTPEMDIIRALHMAGDDPTKAINILLDFNHKAAPPLPPTPSPSPSPSPSPPPGKPAKALVDSTPPSKAPTRPMPTAEKPKPATTNGGGGEHWWLVGSAEMAGLSTCKGRRIASGDAVTFTFPNATTAVGKSRPGRPALASCSSEIMRFATPSHGEVGRIPNEWARCLLPLLKENKLKVKGSCKSAPEVLTIMDTVLLSVSIYINSSMFHDQKQSAPKAARVAPDDSTFHPLPALFKLIGLAPFIKAAFTPEDLYSRKRPIETKSSIGAAATKLTSERLRLSSDGNEDDHGEETVSDSDLDDIIGISDSSALEERAPPDSLLCDLRSYQKQALHWMLQLEKGSSSQDAATTLHPCWEAYKLEDKRELVLYLNVFSGDATTEFPSTLQLSRGGILADAMGLGKTIMTIALLLSDSSKGCITTQNAAQIPREASGLGESHDAVKKLASPFSFSKHKKPKAPLIGGSNLIICPMTLISQWKAEIEAHTKPGTVNIYVHYGQNRPKDASIIGQSDIVLTTYGVVSSEFSMDGSTENGALYSVHWFRVVLDEAHMIKSSKSLISLAAAALTADRRWCLTGTPIQNNLEDLYSLFRFLKVEPWRNWALWNKLVQKPYEEGDERGLKLLQSILKPIMLRRTKNSTDKEGRPILNLPPANIEVKYCVLSEAEKDFYEALFRRSKVKFDQFVEQGRVLHNYASILELLLRLRQCCDHPFLVMSRGDTQEFADLNKLAKRFLRGGNGDSSCIPSRAYIEEVVQELQKGEGECPICLEAFEDAVLTPCAHRLCRECLLSSWRSATAGLCPVCRKSMSKQDLITAPTDNRFQIDVEKNWVESSKISALLQELEVLRSSGAKSIVFSQWTAFLDLLQIPLSRNNFSFARLDGTLNLQQREKVIKEFSEDNNILVLLMSLKAGGVGINLTAASNAFVMDPWWNPAVEEQAVMRIHRIGQTKTVSIRRFIVKGTVEERMEAVQARKQRMISGALTDQEVRTARIEELKMLFS >Sspon.02G0036080-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:22579458:22584489:1 gene:Sspon.02G0036080-1B transcript:Sspon.02G0036080-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQMEPQGSSLQQNSTIAPGDVASSKKKRNRPGNPRPDAEVVALSPRTLMATNRFVCEVCHKGFQRDQNLQLHLRGHNMPWKLKQKNPKELRRRVYLCPEPTCVHHNPSRALGDLTGIKKHYCRKHGEKNLKCDKCNKRYAVESDWKAHCKTCGTREKDSFVTHRAMCEAALAADRETAQMPPPGAGLYVDSGSDSQGLGLSGAAAHMHGYADQGQSSSTAEASLFDHVMASYSGSMFRSQAPSDFSSSFFLGGGAPATNQHLSEDGGHHAEGSQGPLLHGKEPFHGHDLMQLPEPQQHYHQPGSSNNANLPNLGFFSSISGGWNGSNQQDARLVIQDEFNGGAGASNIEHGNVMASIGSHFGGGFPALYNSSPSPSAGLSATALLMKAAQMGSMSSTTRTAPSALLRAAGFTGTVRGQGTSRAAEEGMSHEAHFHDLIMNSLPSTGGTGTGGFSGTAGLAGVDGGNLSTRDFLGVGRDDAMAPAASFHMGAALDPTQMK >Sspon.03G0016400-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:52096741:52099985:-1 gene:Sspon.03G0016400-1A transcript:Sspon.03G0016400-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SLSPVTAAVLRPHRATFPTPLPLRRLLPGVETLASQLMAGAAAVALMVAAAVLSPAYVVLASNSEGDALYALRRALADPRGVLQSWDPTLVNPCTWFHVTCNRDNRVTRVDLGNSNLSGSLVPELGHLEHLQYLRLNDNRLTGPVPRELTKISNLKVIDVSNNDLCGTIPTSGPFEHIPLS >Sspon.01G0022050-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1A:80779864:80784702:-1 gene:Sspon.01G0022050-1A transcript:Sspon.01G0022050-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKLLSSRLAALSSPEVASAAAAAGGAALALRGWWEEVNDSLAWQDGAFFSLSAAYALVSAVALIQLIRIQLRVPEIGWTTQKVFHLMNFIVNGVRSIIFGFHAYVFLLQRKVCIWVYLGINDNPLVELVSKVFISGWDRDSNLLYLLLDKMHCGFAPGTILTATSSSICCNPSTMDNWFRVAFSSFDPDLSLEVLDHPVLDFFYYMLTEILPSALVLFVLRKLPPKRASAQYNSIR >Sspon.01G0043330-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:68924083:68925084:1 gene:Sspon.01G0043330-2C transcript:Sspon.01G0043330-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding FLHSKYYTPGIEVTKPTPDIEKGVLTTKVINPTLRSVVPKDDLIAEAKRKAKRDLDKNVMTTATKHKTIPEVVKNEFAIKVKDNQNDELNKKVTKVKAKAVDKNLLNSTTRTKTKPDVASDELIAKVIDHHRRGDLRLLTVNDLKCFLGAKKAKVGGTKEVLIQRVTELLA >Sspon.03G0023870-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:91269780:91273769:1 gene:Sspon.03G0023870-3C transcript:Sspon.03G0023870-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sodium/calcium exchanger NCL [Source:Projected from Arabidopsis thaliana (AT1G53210) UniProtKB/Swiss-Prot;Acc:Q8L636] MATRRQHRRGFPLVPLLLFLLAAAAYGRLISDGAPSAPLVSVIRLSGSPPAAGSAAAVEKCEQSYGFLPCTTTVLGNLFLVLTYGFFMYKAATYLSTGSELLLEIMGPGLVGGLLLPILGALPDALLVLVSGLSGSKKEAQSQVLIGMGLLAGSTVFLLTLLWGTCVVVGKCDIGPNREAVDVTDTKGFSLTGTGITTDVQTSYAARIMGLSVIPFIIAQFPKMLKTHHGQRLAMLLALIVSFLLVLSYCLYQVFQPWIQRRKLAYAKHKHVISGILRHAQMQSLGRLLNDDGTPNEDVIRKLFRKIDMDESGTLSRAELHALIVGINFEKVDFDRTDAVDKVMADFDTSRNDIVEEEEFVQGMKIWLNEAKRHVPVGGAFSSKFIDEYHERTRQEHNQLIDRSDEAVESVENPGWCITKAVALLLLGAAIAAAFADPLVDAVHNFSNATHIPSFFISFIALPLATNSSEAVSAIIFASRKKQRTCSLTFSEVYGGVTMNNTLCLGVFLALIYFRNLTWDFSSEVLIILLVCVVMALFTSFRTTFPLWTCLVAYMLYPFSLVIVYILDYVFGWS >Sspon.06G0030610-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:50260844:50261227:-1 gene:Sspon.06G0030610-1C transcript:Sspon.06G0030610-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTKSFLEYCIWGWDNLPRNLLMYYVNFIPHQKAISKLWPRPSLHAWDTSKQHPLISPMKDFNKMVKSGAPFARKFPKDDKVLDKIDVSFCTAQRAGSPQEHGVMGALKRS >Sspon.01G0011910-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:35902573:35906395:-1 gene:Sspon.01G0011910-4D transcript:Sspon.01G0011910-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSERDDGSESETRWCAVTGGQGFMARHLVAALLRAGEWRVRITDLAPTVVLGPGEMEGLLGDALRDGRAVYASVDVCNLEQLIKAFEGVDVVFHTAAADPSKNDLQLHHKVNVDGTKNVIDACKICKVKRLIHTSSSAVVFDGVHGLFDVNESLPYPDKFPDAYAQTKAEAEKLVIKANGINDLLTCCLRPGAIFGPGDIVIPTLDRYGWTHVIIGDGKNCDDFVYVENVVHGHLCADKTLSTIEGARTSGGKAYFITNMEPMNMWDFTYLVQEELGYKRIYKIRIPTFVIKPATYLIEWAYR >Sspon.01G0011110-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:31104235:31106957:1 gene:Sspon.01G0011110-3C transcript:Sspon.01G0011110-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMHMTFYWGKSATILFDGWRTSTWAGYLLSLVALLLAAAFYQYLEALRVRMKLVGKEEEAALTSLLHPPPASSDPRTPLLAPAFAGRRWPARVAVATMFGVNSGLGYLLMLAVMSFNGGVFVAVVVGLALGYLAFRSGDGEDLVIVDNPAPAPEDYNVIALLPKTRIFIRFQLRASLSYDTSLPKE >Sspon.04G0007420-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:21068765:21070018:1 gene:Sspon.04G0007420-1A transcript:Sspon.04G0007420-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DTFSHVPPGFRFHPTDEELVDYYLRKKVSSNKIDLDVIKDVDLYKIEPWDLQGKCKIGMEEQNEWYFFSHKDKKYPTGSRTNRATTAGFWKATGRDKPIYTKSCLVGMRKTLVFYRGRAPNGQKSDWIMHEYRLETTENGTAPVEGWVVCRVFKKRVATVRRMADGAPWFDDHVAGGFMPDLIGSPNQLMHHPNAAAAVYSGGQQQLYHCKPELEYHHLLPSQDAFLQQLPQLESPKPPPAYIAQGSCSLQSPDEASGYAAQQPPLTEAAYMDDSVTDWRVLDKFVASQLFSHGDGTAKEAGYPNPAPAFQAENKQPEGALGYASTSASGGGEASM >Sspon.01G0031580-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:108122266:108125039:1 gene:Sspon.01G0031580-1A transcript:Sspon.01G0031580-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPLTQVKRTQVINQKEALLGIGEDASWHAKFKDSAYVYVGGVPFDLTEGDLLAIFAQYGEVVDVNLVRDKGTGKSKGFAFLAYEDQRSTILAVDNLNGAKVLGRIIRVDHVSKYKKKEEEDEEEQQKKREARGVCYAFQKGECNRGASCRYSHDEQRNANTGWGSKEDSGARWEHDKHSDVPKSRGMCYAFQKGECNRGASCKFSHDEQRNVNSRQSSRDGEPSRSERYGERESRSRHDDRRAEDRDKHRRDKSPERLRGERPRNDDRSDRGREEKSERRKYDVEHDDRKRSRLVLFLVNIAETVRLDCISANCVVSAEEVIYWLIFKTRVVGALRNACSGSALMRNENDIQKLTSLVCMLKTSEKAN >Sspon.05G0011060-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5D:33822253:33823723:1 gene:Sspon.05G0011060-2D transcript:Sspon.05G0011060-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding QDFDTDALEKFIEVSGFPSVVTFDADPTNHKFLERYYSTPSAKAMLFLNFSDDRIETFKSQIQEAAKKFSANNISFLIGDVESADRAFQYGNLTPYVKSEPIPKVNDQPVKVVVADSIDDIVFNSGKNVLLEFYAPWCGHCRKLAPILEEVAVALQDDEEVIIAKMDGTANDIPTDLAVEGYPTIYFYSTTGDLYNYNGGRTAEDIISFIKKNKGPRAGAVDE >Sspon.07G0014370-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:51992966:51995566:-1 gene:Sspon.07G0014370-1A transcript:Sspon.07G0014370-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative serine/threonine-protein kinase-like protein CCR3 [Source:Projected from Arabidopsis thaliana (AT3G55950) UniProtKB/Swiss-Prot;Acc:Q9LY50] TPRPPLLLLLPLFLLAIALPAASAASTLAVSGSSTSPTVCGVDADNGTVYCAPLPGSSNSNSSTNASSASSPVAQSIIAFAEVSAGRGFVCGLQAGGAALFCWPSTPAPQWGQLRRLYNGPDPLADLAVGGDHVAAYEANASASGRILWWRGGDRFPAPVDGGFRSLVSGDGFTCAVETNASAAVRCWGPQGSAVQAGLANATNATYLAAGGARACTVLASGAALCSGSSDVAAAANASAAGALPSDLFAYGLAVGDSHACALRRPNHTAVCWSLGGPTTTLYEPALGISFQFLVAGGNFTCGVASIDYSVYCWSAGADAALVPLPRIRPGVCVSNVSACRVGCVFMSGSQKFCGGSGRICDALVCDASSPTPASPTPTPAPSPSSGKRVSKAWIAFCVVGAVGGFAGLCSIVYCLVFGFCSNKRVHNSVQPNLTATAAGAGAGAGGDNNGGGGGAAGGSPYGSPNGSRARSLFRRQLSRVMTRQRSGPSSFKDPAEEFTFAQLEAATKGFALEAKIGEGSFGTVYRGKLPDGREVAIKRACESGPRARRFQEKESAFRSELAFLSRLHHKHLVGLVGYCEENEERLLVYEYMKNGALYDHLHPKPGAAPSPVASSWKLRIKILLDASRGIEYLHSYAVPPIIHRDIKSSNILLDGGWTARVSDFGLSLMGPLETSSEDDDAGGATAATARSRSRSRSRSQSQHLTVMKAAGTVGYMDPEYYGLHHLTVKSDVYGFGVVMLESLTGRRAIFKEAEGGSPVSVVDYAVPSIVGGELAKVLDPRAPEPAAHEAEAVELVAYTAVHCVRLEGKDRPAMADIVANLETAFALCEGSAGGGDRDRGGGGGFGNSSSSASLPSVTSMDRSGAL >Sspon.03G0034840-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3B:77199910:77201640:-1 gene:Sspon.03G0034840-1B transcript:Sspon.03G0034840-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEDRLSSLPDDLLHAILRALPLKQAVRTSALSRRWARQWLRALAASRVLDLTDRDFARGQPPARAAAMVSRCLRLHAEHGAPLDVLRVALVSPPPSGPGPSDGAFGRDVIGWVAAAVRRGAREVEVDLQHLTPSQDDDAAFLLELPGDLFQARNSLERVALGGLSLRAVRLPTAGLAGLRSLSLSNADVTDEAVRGILSSCRALESLSLRNCSLLTSVSVASKRLRDLQLLGCRAVQELRVDAPALESLTLYGHVCWSEPDQTWQEANPVFFDFVGDMPALRDAYLSHLGCGDYNVVHDMAYPCLYYVVAHARILTLCSIGLLLLYQHGWDESAFREMPKLEELQLLLASPEPCFYWDNEDQEHVSTFFMLTPLPVLHRLFLRLPSDPGYGWSSSAAARAHETDGADMTLEHEVVLDQLTLIKRAPSLEQLVLVTAEGEEAPADEQLKAMQERVSELQRSSREARVSVCRPKEDDSPNHAHTRFFHEDDEY >Sspon.01G0060990-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1D:86482112:86482540:-1 gene:Sspon.01G0060990-1D transcript:Sspon.01G0060990-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSIKAPTHALNPPRPPFPCSFGCYLIHHRAPSVLGISLYRSRQSLRIGSCVHPPFPTSTTCICIGLLHRCDCTDKKGGRLTSRTYDSLLAVTSPFPASTTRTRTDLLMLTPSAATLAPTGRRVGTCTRANVVKAQRRRVTR >Sspon.03G0010250-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:27720056:27725700:1 gene:Sspon.03G0010250-1A transcript:Sspon.03G0010250-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWWGSSRVAACGPWGRGAALQRELSRDGSHYSISSGILPSLGARSNRRVKLRPFIVSPYDRRYRCWETFLIILVVYSAWVSPFEFGFIRKPTGALAAVDNVVNAFFAVDIILTFFVAYLDRMTYLLEDDPKRIAWRYTTSWFVLDVASTIPSEFAWKILPPNLRSYGFFNMLRLWRLRRVSSLFARLEKDRHFNYFWVRCAKLICVTLFAVHCSACFYYLLADRYPVPTDTWIGKSMPDFHQRGLWIRYVVSVYWSITTLTTVGYGDLHAENTREMIFNIFYMLFNLGLTAYLIGNMTNLVVHGTSRTRKYRDTIQAATSFALRNQLPGRLQDQMISHLSLKFRTDSEGLQQQETLDVLPKAIRSSISQYLFFNLVQKVYLFEGVSNDLIFQLVSEMKAEYFPPREDVILQNEAPTDFYILVTGSTIAGVAKAGDVVGEIGVLCYRPQLFTVRTKSLCQLLRMNRTAFLSLVQSNVADGTIIMNNLMQLLKEQKDNSVMVGVLKEIENMLARGHLDLPVTLCFAVNKGDDFMLHQLLKRGLDPNESDKNGHTALHIAASKGDEQCVKLLLDYGADPNARDSEGKVPLWEALCEKHNAVIELLVESGAELSSGDTALYACIAVEENDAELLENIIRYGGNINSSTKDGTTPLHRAVCDGNVQMVELLLEHGADIDKQDNNGWSARDLAYQQGHDDIQVLFKSRKAHRQHASSGRVAPMLIGRFNSEPSMPNMNHEDAEVRSKVVPQKLLRKRVSFQNSLFGVISSSQARQDTGRLLSKGLAGTGGPSCRHDSLIRVTISCPEKGNAAGKLVRLPRSMTELLELGAKKFGFKPAKVLTIGGAEIDEVELIRDSDHILLVSDDWVPDVAQIRPNHK >Sspon.06G0019450-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:2091710:2093356:1 gene:Sspon.06G0019450-1B transcript:Sspon.06G0019450-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIVKIASREPAAKSGYSCGDEDLTREDRMLLQSFPDPEPVGSEQAKVDCELASSRGQMCNIPYELYDLHDLTEVLSLETWNSRLTEDDRLHLAAYLPDMEQQDFITTMKELFSGDAMFFGSPLGSFFLGLSGGLYSPQVSQARELLMMLQRRRHYHFLKWYHDGMVAKFASMSNLVRSSDTSATLGEKVRISHRRVYEKRFPCVSLSSTTPAVTIKDEIATVSSPMKRAKLTDGPLSTHCSTRHNERTHVAKSAEMNSLESQTFHPLSDPRQNCSKLPKGVLKIRTSCASVIDGSEGTHHRHGLVRADQPGTQSSRFCTPPLVFAHDVHSFPENSFHINRINGMSASSQRTPLQWEGTLEPYALMGKIPLGVQMTVPEEHHAVYPSMMLRGFYQPAANRSLAYSSEAYDTRDCAHMKDLLKNFGGQNIVVHQSSPDPYARVRDSHQMNGYSSSRNAESMSEMLSLGTRIYPPHNNVSEPLETMRKHHEGMKLEPPPAKPVTEAEESRQFAYTYARRKTHKRSTMAEDTVLPSGLDSMANIKAKAIKL >Sspon.07G0009040-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:25131507:25135393:-1 gene:Sspon.07G0009040-1A transcript:Sspon.07G0009040-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRSKEPSKKKQPKDPLLTPPSKPRGGGFLDEGRPWNRGGAAMSPAPAPASVPSYMRGTSSSDAKAGRRGRPAASVSASASPARWRPAASVSASASPARRRPAVRVLMTKGKVLFAEEAPGSAGLGRATCSSTMKDTKFPDALDLAPGATDAEGPAALRVCPYTYCSLNGHVHAPAVPLRSFLASRRRLIKTQQSMKLKGVSAFRKKSEDKAGGGSGGGGAKIAPLIDEEAVGDFFVEVYGGPRVSSDMSCSDMSLDEMDATVRRMEFVVFDRCGADEDGEKGKDLAVCDDGEPEPRLVLKEKHGAFGDSLSDCSRGHTGSDFVEVLPWLRYHGYEYDDSLDDEILEEQRIREDGVVGAEFSAEQEEEQGTSGRSSDEYKEGAAEGQEENDEENTSNLVRDQEILADQGVACRAEACEELDGRDDDDNILEASVEQGTDDIYKSKIPDQEVTARAVATLEDGFKEDISSDQEANDDDEDECHAESDGESEVTEEQDLEDQQSMPEDGSEMEISEDTISGDGCKEDFSEEVTSTAVLADDVFEQYDNSADDVPEQGSSPANAQKELGNPTSKNEDAFEETAIVQQINQDSNADTQKELEIMTSILEESGITQQSNPDDDKLEPEVTVCKLKDASEESSACPESNLLNNTEYVTDDAEMGQEITRCKLEDASKETGIDQETLEDDSSTCVIVDDRNNLDINNCKSEDVSKESVTTLEADHSDNSANFSNDVQGTAEDHNSCLIDDAQNDIQITGCKSEDTCKEFDTMKETDQSDSSANVRSDVEETVEDDGFVCVSDDAQNDLMFSKCNLEDASKEPSPVQEADRSDSSANVNADSEETVEDDGSVRVSDDAQNDLKFSKCNLEDASKEPSSVQEADQSVSSANVSADAQNGSELTTSELAIITISNDKENESKLFTCNSEDVFEESIIGQEADHEDSSVYLSYGAQNEYEVTTCHSEGAQVESDVIQEDEDKTAGAEKKLESTACESGGASLKPAMHQEASGDINTTDASKYVHVTEETNQSFNVQMPEEFTDAKEPSIDDICGAFSGMNLKGDVYFDPAESSTCPRNKLIISRRRRTPEEEEYLRGFNPRAPNFLPLELDPDAEKVDLKHQMMDERKNAEEWMIDYALRRALTNLAPARKKKVELLVQAFETVLPHDEDDKKNISPSRPIQPCN >Sspon.08G0000030-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:485871:489200:1 gene:Sspon.08G0000030-1A transcript:Sspon.08G0000030-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASFRRRHFFQTQTYKALHITAPRLRPLQTSEEPRQRAVKAEGSPRVLPACFGNGGGGRVGVRARLRPEAVDQRALDAQHPSEPLDRFLADAEERLRSAADDAAAALERDSGDALRRVPLACRDALRLRDDAVALRSHLASVLQSLSLAEGSSAESIAALARIDTVKQRMEAAYTTLQDAAGLAQLSQSVEDVFSSGNLPKAAETLATMRHCLSAVGEVAEFANVRKQLEVLEERLDEMVQPRLVDALSNRKVDAVQDLRGILIRIDRFKSLEAQYTKIHVKPLKKLWEDFDLKQRSSRLDMEKLGGESINGLSFSSWLPNFYDETLLYLEQEWKWCLTAFPEEYKSLVPKVLTETMSELNSSFVSRVNIATGDVVPETRSVAKGILDVLSGDLPKSTSCRISIFKR >Sspon.03G0040980-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:35345817:35352750:1 gene:Sspon.03G0040980-1C transcript:Sspon.03G0040980-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSDDGGSGPPAAVGMGSPGPSSAPAAVGGASSAPGASGSGGKPPVKRMILGTVGTYGEQLPPYSRRGPGRSSAVPRLSVPEIGRRYYEPPQVMLPHMAPVHLTQAEHRVIDSVEALIGEPLRDDGPVLGIEFDPLPPGAFGAPIVPEPPKQPFRSYETKMFSGHDPKPMKASAFLPTTDPLLPNTGKRKSLVGSSHLGSQAVHEYQFLPEQPSNTYERASQSRFYDAPAEASNLRVAPLSTGSRFLHGLEQAPGYTFHSQSSGSSHLAQRGRSPIASALTDHEGALSNINVSPAPIHGQFGIPQVPGFESSLASSERMGYHDDDTYRVDRKRKHNEEAKIAREVEAHEKRIRKELEKQDLLNRKREEQMRRETERLDRERRKEEERLMRERQREEEKLQREQRREHKRMEKFMQKQSIRAEKLRQKEELRQEKEAAKQKAANERATARRIARESMELMEDERLELLELASRSKGLPSMVSLDSDTLQQLDSFRGMLGKFPPETVRLKVPFSIKPWAASEDIIGNLLMVWKFFVTFGDVLGLPSFTLDEFVQALHDYDSRLLGELHVALLKSIIKDIEDVARTPSVALGVNQSSSANPGGGHPQIVEGAYAWGINILNWQRHLNFLTWPEILRQFGLCAGFGPQLKKSDAEIIHHRDDNEGRNGVDVISILRNGSAAVKAAALMKERGYTNRRRSRHRLTPGTVKFAAFHVLSLEGSKGLTILEVAEKIQKSGLRDLTTSKTPEASISAALSRDTKLFERTAPSTYCVKTPYRKDPDDSEAVLSAAREKIRVFQNALSECEEVEKDVDEAERDEDSECDDADDDADGDDVNIEDKDAKSPLVGAQYGAQSTVVGDIKKESNSVMSTSVSPSIQIESSASVPLHTLDSKANSSTDPEVGGDAKDTEIDESNQGESWVQGLAEGDYCDLSVDERLNALVALIGVATEGNSIRAILEERLEAASALKKQMWAEAQLDKRRTRDDFTSKTQYDSCVGMKVDTDQENAAESTLTPVHNPIKNINGNASLTNNDLLVDKQNQLITGDVFHQRNGQYASSEKTRSQLKSFIGHKAEQLYVYRSLPLGQDRRRNRYWQFSASSSSYDPGSGRIFFESRDGYWRVIDSSE >Sspon.03G0009470-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:40811783:40814845:-1 gene:Sspon.03G0009470-3C transcript:Sspon.03G0009470-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTRSQTAPKPLKTVAMAPRPSSPKRKPRPKPAGKGDPRAPKKPPTAFFYFMEDFRKVYKQEKPSVKSMQEIGKACGEKWNTMAFEEKVKYYDIATEKRAEFEKAMIEYNKKK >Sspon.01G0025100-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:88099307:88101804:-1 gene:Sspon.01G0025100-1P transcript:Sspon.01G0025100-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHPRLRFVRPREGTRHPNQEARSEGFCARVWYFSLRRGHVCSAQLAAEGVVATRGCRVARVVWRGVQAVEQEQSRGRYKMVKYRDRDQPNRLRKISSEQGRANMAGKFGAIRSRAAACLFSPHPRNLFLHSTQTPSRFLPFTRRLHPRSLSTLAMAASNGAAAAATDSVQEPPQKISKISPLLKVKKLSDKAVLPSRGSALAAGYDLSRNPQGRTDLLTAVEIVVPARGKALVPTDLSVAIPHGTYARIAPRSGLALKHSIDVGAGVIDADYRGPVGVILFNHSDADFAVKPGDRIAQMIIEVIATPEVAEVEDLDATVRGEGGTLTAPSLRRNEGMGDSVSRLGW >Sspon.03G0039470-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:15800852:15805673:1 gene:Sspon.03G0039470-2P transcript:Sspon.03G0039470-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQPHLSPQLSPSPVLSSHFSPPATGASPWRRRLHRGRAFQPTLSSLREPNKATLRKASPNVPFRLGGGGGGSGSPKDRRPAADDKEEEAEGDGGAGALTGTLIAGALLVGFVGGFGAAGYVYKEQINTFLTQFSGFIDGYGPAGYALFVLVYAGLEVLAIPAIPLTMSAGLLFGSVTGTIIVSVGGTLAAAVAFLIARYFARERILKLVEGNKKFLAIDKAIGENGFKVVTLLRLSPLLPFSLGNYLYGLTSVKFLPYVLGSWLGMLPGSWAYVSAGAFGRAIIQDESEIGLGGNGQLWTLGVGLLFTAIAATYVTRLAKDAVKEIDD >Sspon.01G0024700-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:87949903:87955619:1 gene:Sspon.01G0024700-1A transcript:Sspon.01G0024700-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LQHPGHGVEEEERQRVARARSGGAYEEEKVPRGHVPMVAGGGGGDGEEGERVLVPVRLLSDPSVAELLDMAAQRYGYGQPGVLRVPCDAGHFRQVLDGAMQRCGISFASGSAMMSWKRKSGGGGGESPVRGAEEEKVVVPRGHVPMLLAAGGGNGEEGERVLVPVRLLSDPSVAELLDVAAQRYGYGQPGVLRVPCDAGHFRQ >Sspon.06G0012410-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:53904717:53910383:1 gene:Sspon.06G0012410-2B transcript:Sspon.06G0012410-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLRLSCASSCLCRRLISSMTPVQDTCQLNRRRISSRSTSSSPGFTLALWYIGLATTNGRDKEADTAAEVVVAATAMEVDCSQISPVQQKSAVQILNTQILSNLLAIANETSAITISASAVKALMSWALEGYATRRKMNIETTSWMTVRGSISDAGRRTSSFPDHGISEWSTVSSWSHVDSPAVASCASYVFCVRTGSNSATCAFTIAQVACSGHNDDRISGPVKGAPRARPLMLTMNV >Sspon.06G0010090-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:43723929:43728319:1 gene:Sspon.06G0010090-2B transcript:Sspon.06G0010090-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMLDAFFSKGGGGGGFRGAKCKTLLKLSIPRIKLLRNRRELQLRQMRRDIAKLLEAGQEATARIRVEHIIREENMMAAQEILELFCELIAVRLPIIEAQKECPIDLKEAISSICFAAPRCADLPELMQVQMMFATKYGKEFVAAASELMPDCGVNRQIIELLSIRPPPVDAKLKLLKEIAEEHEVDWDPSETETEFLKPHEDLLNGPTYFNGSMLPLPKEKHEETLAASAAEQPDEDYESDTGLDSLDLPEVPKAAIRPPSDAPLTPDIGPHVQGSQSLPHEFSDPTDLEENPTAGGAFNVIQMKSLEHLVSAPSSQSDIPDFPNEKKQFIPFASPPPVFAPSSVEKTETVPSPSLSPPVKPTEPEQEIYTKKIDEVTTPPGPPTEYMFTKQSEQAQTNSPPESGANIDLDDVLSAAQTAAESAERAASAARAAANLAQLRIADLKKNSNVYNKYSDSAQKESHHQTEGTQKPVFDHQDSFTNDTQDYVPSHVPQRSPSLEDDPYFSYPNLFSAPKP >Sspon.01G0062810-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1D:107369138:107369409:1 gene:Sspon.01G0062810-1D transcript:Sspon.01G0062810-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding YGEVGPFRGGVAQGHEASRCVVFSNAGYMDNFFHDALIPLFLTTAHAPGGRGARGGSSTGAGWARAPATAARTMEPLLSPRYKFAHIQA >Sspon.02G0017220-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:44468057:44470658:-1 gene:Sspon.02G0017220-4D transcript:Sspon.02G0017220-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSRRPGILLALAFAMAAVAALAPAPVAATKYNITKILAPYKEYSKFNEMLSKTRLAYDINRRQTITVLAVDNAAMSALDHYSLQTIRHILSLHVLVDYYGDKKLKKLAHGSTASSSMFQATGSASGMSGYVNITRKDGKVSFMTEDADDTAKPSRYVKSVKEYPYDIAVLQVSSIISSTEAEAPVPPPAPVDLVELLSKRYCKSFASLLSANAEAFRAMNETKDNGLTLFCPVDSAVAAFAATYKNLTAKAKTAILLYHGVPDYFSLQLLKSNNGMVTTLATASEKKMDYSYDVKNKDETVTLQTRVVTSSVTATVGDMEPLAVYAVDKFLQPKELFKVVEAPAPAPEPSKKKKAGHGGGDDDSSDDSTADAEKGDAAPALLARWVVTAAATAVAAYALMG >Sspon.02G0031250-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:114370025:114376321:-1 gene:Sspon.02G0031250-1P transcript:Sspon.02G0031250-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVASRETRLSPGAAAAPQVDAGKYVRYTPEQVEALERVYSECPKPSSLRRQQLIRDCPILSNIEPKQIKVWFQNRRCREKQRKESSRLQTVNRKLSAMNKLLMEENDRLQKQVSRLVFDNGYMKNRLHSPSVATTDTSCESVVTSGQHNQQQNPAVLHPPQRDANNPAGLLAIAEETLAEFMSKATGTAVNWVQMVGMKPGPDSVGIIAVSHNCSGVAARACGLVSLEPTKVAEILKDRASWYRDCRHVDILHVIPTGNGGTIELIYMQTYALTTLAEPRDFWTLRYTSGLDDGSLVICERSLTQSTGGPSGPNTPNFIRAEVLPSGYLIRPCDGGGSMIYIVDHVDLNASSVPEVLRPLYECPKILAQKMTAAALRHIRQIAHESNGEMPYGVGRQPAVLRTFSQRLSRGFNDAVSGFPDDGWSPLLSSDGAEDITITINSSPNKLIGSHVSPSPFFSAMGGGIMCAKASMLLQNVPPAILVRFLREHRSEWADPGVDAYSAASLRANPYGVPGLRAGGFMGNQVILPLARTLEHEECLEVIRLEGHGFSHEEVLMSRDMFLLQLCSGVDENAPGACAQLVFAPIDESFADDAPLLPSGFRVIPLDAKTDVPSATRTLDLASALEVGSGGGLRALSDGSGTCTTRSVLTIAFQFSFENHLRESVAAMARQYVRGVMASVQRVAMAIAPSRLGSHIELKHPPGSPEALALATWIGRSYRAHTGTEIRWSDTEGADSPLMPFWKHNDAILCCSLKPAFTLKFANSAGFDILETTVVNIQDLPLEAVLDDDGQKALFAQLPKIMQQVYYRITLLPCPWPSRRRHCKSETSPADLASPNLCEQGLAYLPGGVCRSSMGRQASYEQAVAWKVVGDDGAPQCLALMLVNWTFI >Sspon.05G0026940-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:5B:48185660:48186747:1 gene:Sspon.05G0026940-1B transcript:Sspon.05G0026940-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding PGSFSPSLSRRPAAGAPSGAAAAGHGWPSSLPSSSLLHSFPPSSLPMVSSSGPAGAAAALLQHRRRPICRCQAPSAWAVGAARSPPFQRRQRPSFWPASAAPAPPSSSAAAAFTAGAGSGGGSGGEVDAAAADGTPVAEAPADASLVTAGAGSTTLHAALASLLGADAGAVDADHLQAALAALLRASGHPAPAALAWAAPSAGGRLAQGVFPAPPPPPRLDGTDSDSALAAALASARAAATAGQARVRAAALAWERERDAADALARQIAEAEHLLAHDIGAASSGSTGPRVSTTAVLWHDPANPLVAQLHYQAGGVQNIRLLVPVVLEPKSPSYARWQDLVLLTLRRYALDDHVLACGRTS >Sspon.02G0035210-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:19296765:19297217:-1 gene:Sspon.02G0035210-2C transcript:Sspon.02G0035210-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding PMGRTPAYDICPDPVYGYIGFVGDLFSWGLAGGSAVHFIKGFRASPSGARLAGAVNAVRQNAPRVAGRFGAYCIFFSVIENAASLALRRDDHLTGGTAAAATGGLHGMLRPGGSPAAARCALLAATGFLGLDLALDWALRVIANRLYPQKR >Sspon.02G0054430-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:113813085:113813318:-1 gene:Sspon.02G0054430-1C transcript:Sspon.02G0054430-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMYMEDKSKMLFKKGSRRSTAAAEGSLAAGLKVGRASRAPAAGRSVPGRLASLVKEQRARFYIMRRCVTMLVCWRD >Sspon.03G0008300-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3A:22488401:22494675:1 gene:Sspon.03G0008300-1A transcript:Sspon.03G0008300-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AACEELISSFSRRPRAPPHRKCLL >Sspon.01G0047460-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:103681886:103683215:1 gene:Sspon.01G0047460-1B transcript:Sspon.01G0047460-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding WNPLCPCAPPPPSSPPTAPSSSSSPPPLPPRSSGKTLACSRDRLLPIPPSSAVTMT >Sspon.01G0027360-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:95988602:95990494:-1 gene:Sspon.01G0027360-1A transcript:Sspon.01G0027360-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MWCCSGAEDESHAAPLAANNPAATPPRAPAQPRGPSMPRTGAASAAKVLPIDVPAVALSELNRLTGNFGDRALVGEGSYGRVYRAKLATGETVAVKMFDNGSSSGQSEVEFCEQLSVVSRLKCEHFTQLLGYCLELNNRIVLYQFATMGSLYDILHGTVQSKPTETHAVHDGATPRLSEDKVKQCVDPKLKDDYPPKAVAKLAAVAALCVQYEADFRPNMTIVVKALQPLVNARPGGESP >Sspon.06G0023070-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:40380215:40382200:1 gene:Sspon.06G0023070-1B transcript:Sspon.06G0023070-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LLPLVFEMEVELVLYFPAPILPIRFSIPSNLGVTTKLQILYYLTTACYLFTCVHFAVCINRSGGLYDEDYIQKRIDRADAVEESGDKLSGTLHASGRDDMSILAMQRLNDHQPNGPATPVDMVVDYYKYDYEFAEPPRVTSLQNTVPLPTFSDFGDDVYFVADQRGYEAVVYYLAGQYLKTDRSGKIVDPRLQLNKVVREIKYSPGGVTVKTEDNSVYRADYVMVSASLGVLQSALIQFKPQLPEFEKQYPGANVLLVTVTDEESRRIEQQSDNQTKAEIMQVLRKMFPGKDVPDATDILVPRWWSDRFYKGTFSNWPIGVNRYEYDQLRAPVGRVYFTGEHTSEHYNGYVHGAYLSGIDSAEILINCAQKKMCKYHVQG >Sspon.05G0003970-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:12158994:12162621:-1 gene:Sspon.05G0003970-1A transcript:Sspon.05G0003970-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLRDGLGCVHVAPENFYQGLRDVCDAFGRCGTFIVCDAGAASASFCSCTRGFSLMSPAGWRMRDYLGGCRQNAVLDCAGGNGATAMTIAADGFLRLRGVKLPDAHNVSVDASVTTLEECGARCMANCSYAIPAPIVPSVQLSSMKAATKDFQENNIIGRGGFGIVYEGILDDGTKVAVKRLIVHSSLTYDKCETAFMREVELMSKQRHGNFIQLLAYCKDGNERLLVYEYMQNKSLSFYIFGIHSSCDTEYNKWAKRKNHATTPALREYTDSQKFHIVTVHLISHEVGITLWLDLLQAWDCWSQHKIEDLLDSAMEEPEFWLLPALEKCVQIGLLCVQQLHDDRPTMSAVVTMLNSDDSEIYPPKMPMSDDHSSTGSPSHAAFNDGGA >Sspon.03G0030730-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:22471951:22476402:-1 gene:Sspon.03G0030730-1P transcript:Sspon.03G0030730-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAADSATASSSSPECPAAPSMPTDPDFLSCVLQPPTSSSSRPDADYAALRRLLLRRKPPSALQYRMEWRCNGKGYVAYRNFLLRRIDGGSAHSTPSNSGRWAPSPVNATLSEADSWSYMRDLRSNSGVLRRTVSIGSKQSDTERHVRFAEPAYSFVGMHCIFDNCKASVTILKFGRASSDLLAYGAADGSLTVCQVSEPPSVLQKMTGHSKNITDFDFSSNNQYIASCSLDKTLRVWEISKGTCIRVVYGVSSQLCICFHPVNNNLLLVGNANKEINAINFSTGRVISKLNFDDAVTALDIDHTGQFIFAGDAQGYIYTVSVNSHTGSLSRTHKNKSNKSKSPITTIQYRTFSLVVRCPVLLSCAQDGNLTFFSIMTDAKGYLTLICSLKLASRLQTIRASFCPLLSLEKGEFVVTGSEDTNVYFYDLARPKNSCVNKLQGHGSPVIGVAWNHGENFLASSDSDGTVIVWKRSKTN >Sspon.01G0020040-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:72249177:72252631:1 gene:Sspon.01G0020040-4D transcript:Sspon.01G0020040-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKAKIAVVIVILALLQKQKPGDGSGGTSAVMTVNGFEKGGTGGGPSECDGRYHDDDDLIVALSSRWYAGGKRCRNKIRITSKDTGQTVEATVVDECDSNRGCKNNIVDSSPAVWKKLGLDTKVGEVPLDLGWARGDARYGNAGHKDDGGSGGTSAVMTVNSFKKGGDGGGPSECDGKYHSDDDLITALSTGWYAGGKRCHNEIRITSKDTGKTVEAQVVDECVSNRGCKKNTVDSSPAVGKKLELDTMVGEVHVTCWILGGRAAMRGMEMPATPLDGRAAWGILEMSAC >Sspon.01G0000500-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:781257:781712:1 gene:Sspon.01G0000500-1P transcript:Sspon.01G0000500-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFHLWFDPAADFHHYAILWNPDEIVFLVDDVPVRRYAASAAGAAAFPDREIVAYGSIWDASDWATDGGRYRADYRYQPFLAGFGRSGPPDARPPRPPGAAPRAGVPGRCGLSVVQQRDAMRWAQQRSMVYYYCQDYTKDHSLYPECSLAT >Sspon.02G0059030-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:87890395:87897453:-1 gene:Sspon.02G0059030-1D transcript:Sspon.02G0059030-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHWICALVWCVILMPSSDGECCSTRRTHPKQIENYLDMGLKILPSVDPSTLAATRVKIVDDCPELRCSLAPWYNHVSSSNQYKYSPPSSNSNVDDQSFKMYCSRKYEEARPASERSADPEKLQMQHFEQQIASCYSSSEDDQRKSFGEDYSGDESDAVPENQSFEHQIASEYSSWEDDTYPRMQENMLVAGLEEDNNNQYYGNKLDTVGVDQPIQHNELDVVVGYSSAEDGPCKPIARWETTSPLAHNERIMGVVEEAMRKSNDGTKEHMFLPKVGAVFPSLGDAYQFYNLYSWEVGFSIRKATNQYGKKEADGTIPINMQEYRCQRAGKPSARTKFSTTMCGCPARLRLLRNKNNEYYVSIFVVEHNHELVESCGEKRHLNSHQSIDQGTKNMVRSLHQAAAMGAALRQVLKETKHRWCKWHVLKKLVEMLGHLFNNHKEFRDDFNKVVNHMLTEKEFEDSWMAMVAKYGLSAYPEITRAFESRSMWAKAWFKDIFCARMTSTQRSESANNVLKHFVPRNSPLNLFVQQYMKLVTEQEKADHEEEKNTKQREIRLRFGWPIERHAAKLYTRAAYHLFLEELGRSTAYVVQSNEACIYNVVHGESEHREKWSKVDFKVKFDVESGSYDCECGLYNHFGILCCHALLVMVQKGVCEIPVVHIMNRWTRAARSKDPSHLLPATKPTGAVCSKALKRTMLDSTYREVTKLVDSDSESLDFAIKELTSVLKKMQAKRDAVRTNFHVGYESSGTEGCGEGASGSEVFSDGLYNHFGILCCHALLVMVQKGVREIPVVHIMNRWTRAARSKDPSHLLPATKPTGAVCSKALKRTMLDSTYREVTKLVDSDSESLDFAIKELTSVLKKMQAKRDAVRTNFHVGYESSGTEGCGEGASGSEVFSDSETRSLQIVDSRNGAAININSIKPPILKRTMGRPTNAREKSEAELSIRSA >Sspon.02G0001380-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:5386486:5392168:-1 gene:Sspon.02G0001380-2C transcript:Sspon.02G0001380-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AMDEEVGAAGRQQLQWKSYCRTLSLLAFQSFGVVYGDLSTSPLYVYRNSLSGRLNGYLDETTIFGLLSLVFWTFTLIPLLKYVIIVLSADDNGAGGTFALYSLLCRHAKFSLLPNQQAADEELSTYYHPGTDRAVASSPFKRFLEKHRKLRTCLLLFVLFGACMVIGDGVLTPTISVLSAISGLQDPATGGLAADFDLMGYECWSVTYLDTYWYFFRMYAHVPHSLQKNVSHDRELNTEMIIYVCTGWIVFIACVVLVGLFALQHRGTHKVAFLFAPVVVLWLLSIGIIGLYNIIHWNPRIFVALSPHYIVKFFNRTGKDGWISLGGVLLAITGTEAMFADLGHFSAASIQLAFVGVIYPCLVLQYMGQAAFLSKNIPAVHNSFYRSIPGPLFWPVFVIATLAAIVGSQAIISATFSIVKQCLALGCFPRVKVVHTSRWIYGQIYIPEINWIMMVLCLAATLGFRDITVIGNASGLACITVMFVTTCLMSLVIIFVWQKNLLISLLFLVFFGALEAAYLSAAVMKVPQGGWGPIALSAVFMSIMYVWHYGTRRKYQFDLQNKVSMKWILNLGPSLGIMRVPGIGLIYTELVTGVPAIFSHFVTNLPAFHQVLVFVCVKSVPVPYVPMDERYLIGRIGPREYRMYRCIVRYGYKDVQKDDENFENHLVMSIARFIQMEAEESASSGTGSYESSPEGRMAVVHTTDTTGTGLVVRDSSVEDDAAARSSKSETLRSLQSIYELEAVGSVRRRRRVRFQIDEEERIDPQVRDELSDLLEAKEAGVAYIIGHSYVKARKNSNFLKTFAINYAYSFLRKNCRGPSVTLHIPHISLIEVGMIYYV >Sspon.03G0031650-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:37314606:37320154:1 gene:Sspon.03G0031650-2C transcript:Sspon.03G0031650-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDATGSRAGGSGGDQNGKENEEDKKQGAAPAKKVSLLGMFRYADRLDLLLMAVGTVGALANGVAEPLMTILFGNVIDSFGDSTSQDIVRSVRKVVLDFVYLGIGAAVVSFLPFVNQTNLYDIYQIPSCLAGKNHIYHHALRHLQSQKEFAAYSSSTYFFATIYFCLLSFSLVPEVSCWTMAGERQSARIRSLYLNAVLRQDIAYFDTELTTGQAVSRMSSDTLVIQDALGEKAGKLIQLSSAFFGGFIIAFTKGWLLTLVMLTSLPLIAIAGVVSAQFLTNISSKKLTSYGDAGDTVEQTIGAIRTVVSFNGENKAVAMYKNLIKKAYRTDILEGLINGFGMGSVFCILFCSYGLAFWYGGKLIADKGYTGGKIITVLFAVLTGAMSLGNATPSVSSIAQGQSAAYRLFETIERKPEIDSGDTSGVVLEDMKGDVELKDVHFRYPARPDQLILHGLSLQVASGITMAIVGESGSGKSTVISLVERFYDPHDGEVLIDGINIKNLRLSWLREKISLSRTMLFMTSIKDNIMYGKGDATIEEVKRAAELANAANFIDKLPDGYDTMVGPRGAQLSGGQKQRIAIARAILKDPKILLLDEATSALDVESERIVQEALNRIMVERTTLVVAHRLSTVRNVECITVLRQGKIVEQGPHDVLVKDANGAYSQLIRLQETRADETRKTADSGVPDSRSKSTSLSLRRSMNKDSFGNSNRYSFKNPLGLSVELHENRIIGGEETEGLSDVVVLKKAPIGRLFKLNMPEVPVLLLGSIAASVHGVVFPLFGILMSGIIKSFYEPPDKMRKDTSFWALISVVLGITCLISVPAQYFLFAVAGGKLIERIRALSFQSIVRQEIAWFDNASNSSGALGTRLSVDALNVRRLAGDNLALIMQSIATLTTGFVIAFAADWRLALIITCVIPLVGAQGYAQVKFLKGFSEDAKEMYENASQVATDAVGSIRTVASFCAEKRVVATYNEKCEALRKQGIRSGIVGGLGYGFSFLMLYFTYGLCFYVGAQFVRQGKTTFPDVFKVFFALVLAAIGVSQASALASDATKARDSAISIFSILDRESKIDSSSYDGMTLENVTGNIDFNNVSFKYPSRPDVQIFSDFTLRIPSGKTVALVGESGSGKSTIIALLERFYDPDSGRISLDGVEIKSLKTSWLRDQMGLVGQEPVLFNDTIRANITYGKHGDVTEEEVMAVAKAANAHEFISSLPQGYDTMVGEKGIQLSGGQKQRVAIARAIIKDPKVLLLDEATSALDAESERIVQDALDRVMVSRTTIVVAHRLSTIKGADMIAVLKEGKIVEKGRHEALMRINGGAYASLVELRSKSE >Sspon.07G0012760-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:43667496:43671042:1 gene:Sspon.07G0012760-4D transcript:Sspon.07G0012760-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gelsolin family protein [Source: Projected from Oryza sativa (Os05g0153000)] MKGVDDAFLGVGDKPGLDIWCVVGSSLVPVAKPQHGKFYTGSTYIILNTTELKSGARRHDEDCFMASDKAVELDAALGSSTVQYRETQGEESDKFLSYFKPCVIPVQGCFFSQLKGSGDRSCATLMFRCEGEHVARVTQVPFSRSSLDHKSVFIMDTPSKIFLFSGCNSSLQTRAKALDVVKHLKENRHLGRCEITAIEDGKLVGDSDAGEFWNLFGGYAPIPRDLPDTVKEETLTAPSKKLFWINKRNLVPLEAHLLDREMLNSDRSYMLDCSTEIFLWMGMTTLVSERKSSVTVLEDYVHSQGRSFNVRTFIMTEGHETVDFKLHFQHWPTNVEIKLYEAGREKVAALRTRSSCTLETVISYGTAMLRMEKITIYSLLGPDDSMLATSLMSIMADSVKGHPVVAQVFEGREPELFFSLFKSLIIFKGGRSAAYKSAVLQKSPRNGYHQKEGVALFRIQGLKHDCVQAIQVDLVASSLNSSHCYILQDNGLFLTWLGGLSSPNDHNILDMMMSKLCVRRIQHANPSFLLSDDVESLRTIYNLF >Sspon.02G0005930-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:18387334:18388264:-1 gene:Sspon.02G0005930-1A transcript:Sspon.02G0005930-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVGPAGTGKIPIKFLADNLHRELFWSVWSYKRHLLSSGQCGAKQWRAQRVVQIWPTHPPFTHQPRQHQRETARGHDSSERDERPRLGNFDASLYSDAHEATADRRPSRAPRPSDRVPPLASCHRSPASPPPPRLVLSGLLPPWPCVVTWPGSPSPPPARVPSSWLGRPVAAQSALLACSLPSWTTLI >Sspon.05G0030180-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:83252737:83261545:-1 gene:Sspon.05G0030180-2C transcript:Sspon.05G0030180-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MYCGRHKKILELLPQIQEALSHLLGDPNELTQDLASQGMSIVYELGDASMKEELVHALVNTLTGAARKKKAIKLMEDSEVFQEGTIGNNPTGGKLSTYKELCSLANEMGQPDLIYKFMDLANYQAALNSKRGAAFGFSKIAKQAGEALQPYLHTLIPRLVRYQHDPDKNIQDSMAHIWKLIVSDPKKAIDEHYDAIVEDLLVQSGSRLWRSREASCLALADIIQGRRYSQVCKHLRKIWTTTFRAMDDIKETVRTAGDSLCRAVSSLTIRLCDISLTSTSDANETMNIVLPYLLSEGILSKVPSVQKAAISLVMKLAKGAGPALRPHLPELVSCMLECLSSLEDQRLNYVEMHAGNVGIKTDKLESLRIAVAKDSPMWETLDICIKIVDKNSLDLLVPRLAQMVRSAVGLNTRVGVASFITLLVQKVMVDIKPFTPILLKLLYSAVLEERSSAAKKAFASSCATVLKYASPPQAQKLIEDTTSLHSGGKNDQLSGAILIKAYLSNASDILGGYNAVVIPVIFVSRFDDDKDTSALYEELWEDIPTSERVTLTLYLPEIVSLLCDGMSLSSWAAFSFQSAKAIKKLCDILGESLSAHYQNILKSLLKELPGRFWEGKDAILDALASLCSCCHVAITAEDSSLPSVILNAVCAACSRKSKLYREAAFLCLHKVIAAFRDPGFFNSVFPMLYEVSNQSVISKTKGSAGAELDESEGASISLDKVLNCATSCISVAFPQDIINQKKNVLELILNSLSPEESWQVISFGITKISRLHKISKDCSDVMAATSEITGISAGQQNPSVPVSQYLHLSYMITRMVQRLSGIAISSPQYEVHIAASECLLDLSKLYRDFPLLDRTEAKFEDELTELCESEKSEQAKTILKECLTILKTLPGVTMTTD >Sspon.04G0019290-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:68749157:68749935:-1 gene:Sspon.04G0019290-1A transcript:Sspon.04G0019290-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SNGGLVVVQAEPAVAERAGPRARPLAPGQRLPRPPRLHHRRALVSLGPVHLRLRRRQSRRRPGLLRRRGRRPRLRAHPRLAPRAQPLRAPLHRGPGRAHPVLVARLRRPPRRCILLLAAHTDPGQAPRPGERPPVRAQLRRAHHPRLRVLQVPDAHGAAGDAGQPCRLGGRALLPDHWGLTTRAPGLPRLCCTVHRLVSMMFLPTRQHWHDIFFLSPLK >Sspon.05G0010330-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:24376375:24381967:1 gene:Sspon.05G0010330-2B transcript:Sspon.05G0010330-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MESVKGWVAANYAEAMASMQHSLRVAYVVFSFCAAFFLGGIKAMVVGPVAAALMIVGNVGVILVLFPAHVWWTIYSLIKTDRVNAGLKLAVLFALPVLFGLWLGLGIFGSALVALGYGFFTPWISTFEAFRQESEAKKFVHGIVDGTWGTIKGSCTVVRDFADICFHSYPVYLKELRESCQNPEPHSIRLLDVPSCIVVALLGLVVDIPLYTVIALVKSPYMLFKGWQRLLHDLISREGPFLETVCVPIAGLAILFWPLVVVGSVLLAIVSSIFVGLYGAVIVFQEKSFQRGVSYVVAMVAEFDEYTNDWLYLREGTVLPKPSYRKRKSSNSTEFSVRTNASVKGADYPTGPSEAPAMLVPNLAPARSVREAIQEVKMVQIWENMMKSCEQRGRDLLNLNVITTADLTEWLRAKESSHETISLGLPSYSLLCTVLQSIKAGSGGLVLGNFEVNQHNRPQDRLLDWFFHPVLVLKEQIQALKMTEEEVRFLEKLTVFVGNAASAGGWDNGAEMPQDPVRLAQIQAISRRRRYRHVVKLLIAYSIERESSSASGHSASYFEITQLDV >Sspon.05G0003190-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:1022609:1027376:1 gene:Sspon.05G0003190-3C transcript:Sspon.05G0003190-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLAVQGWSPASSRPDCSPASRRRYSTAGQKMVRCSLNEETQLNRHGLVSKQLISCLAASLVFVSPPSQAIPAETFARPGLCQIATVAAIDSASVPLKFDNPSDDGSTGMMMMRGMTAKNFDPVRYSGRWFEVASLKRGFAGQGQEDCHCTQGVYSFDAKSRSIQVDTFCVHGGPDGYITGIRGRVQCLSEEDMASVETDLERQEMIREKCFLRFPTLPFIPKEPYDVLATDYDNYAVVSGAKDTSFIQIYSRTPNPGPEFIEKYKSYVANFGYDPSKIRDTPQDCEYMSSDQIALMMSMPGMNEALTNQFPDLKLKAPVALNPFTSVFDTLKKLLELYFNRSYRPENTMVGITCSWQQLKKGWEPRVGNRSNGQVERDLTMAT >Sspon.02G0046780-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:7720090:7721337:1 gene:Sspon.02G0046780-1C transcript:Sspon.02G0046780-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MIILCCRLFDEILYPLAEQLEREQAAKVTAMLLKMDQTEVLHLLELPDTLKAMFAEAMEVLRSAQHLQQSNASHEQQLVMLPILPSNVTSIEKRERERRMPADAERRRDTKTRQQKARMEMQHPIYF >Sspon.07G0017220-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:83537285:83539644:-1 gene:Sspon.07G0017220-3C transcript:Sspon.07G0017220-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQCCSKGAGGAAADDEAAPGAKAEAPSRAASNGGHQRPSSASAAPGGGTGTNKAAASPSGGRASTSSSKPAGPLGAVLGRPMEDVRATYSMGKELGRGQFGVTHLCTHRSTGEKLACKTIAKRKLATKEDVEDVRREPENFLLLSKAEDAPLKATDFGLSVFFKEGEVLRDIVGSAYYIAPEVLKRRYGPEADIWSVGVMLYIFLAGVPPFWAAHGVAENENGIFTAILRGQLDLSSEPWPHISPGAKDLVRKMLHINPKERLTAFQVLNHPWIKEDGDAPDTPLDNVVLNRLKQFRAMNQFKKAALRIIAGCLSEEEITGLKEMFKNIDKDNSGTITLEELKNGLAKHGTKLSDGEIQQLMDAADADGNGLIDYDEFVTATVHMNKLDREEHLYTAFQYFDKDNSGYITREELEQALKEQGLYDAEKIKEVISDADSDNDGRIDYSEFVAMMRKGTAGAEPMNNKKRRDVVLE >Sspon.01G0050620-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:5359388:5365806:-1 gene:Sspon.01G0050620-1C transcript:Sspon.01G0050620-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:molybdenum cofactor sulfurase (LOS5) (ABA3) [Source:Projected from Arabidopsis thaliana (AT1G16540) TAIR;Acc:AT1G16540] MELSSCRHTASLATYVRNKMLELKHSNEKNVCIIYGQSSKANYLKMGPTITFNLKREDGTWFGYREVEKLASLSGIHLRTGCFCNPGACAKYVGLLHSDLVSNFEAGHVCWDDNDVINGKPTGAVRISFGYMSTYEDAEEFLKFLQSSFVSKPVGLKNGYMVNMDTLNLVGNVIITLQYENGDIFLFIKNSDSYGLISDDWHQQAISDIRLKSITIYPVKSCQGFSVQSWPLTTGGLKYDREWLLQGSGGEVLTQKKVPEMSSICTLIDLELGKLFLESPKCKDKLQISLLENLTHLSAEVDVYGQRYEVQSYGDKVNSWFSEAIGRPCTFVRCSSSKYRSCTINGLCRDTRSKLSFVNEGQLLLVSEDSISDLNSRLSSSTQFLFPLFHQKEKWFLICALCFTAKAPKDLCTCAGNGNGKQWVSVDAMRFRPNIVVYGSTPYNEDNWKRLHIGDAYFTSMGGCNRCQMINLYQSSGQVIKSKEPLATLASYRRKQNFTCGQGKILFGVLLNYEDGMEGEDGAIVERWLKVGQEKWASYHTASPERRASDSRASNMEQVLRRAIPIPPH >Sspon.01G0045300-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:89049521:89053970:1 gene:Sspon.01G0045300-1B transcript:Sspon.01G0045300-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQHPQAQTMASAAGITSGQIQKNRILGSNSKPFAGIILKKPQQVGVLPLRVRGSIASSPWKLFSPKAAAPKSGDGLRIAVLGASGYTGAEDLPRLVAIKDADFSDVDAVFCCLPHGTTQEIIKSLPRHLKIVDLSADFRLRDINEYAEWYGHSHRAPELQEEAVYGLTELQRDDIRNARLVANPGCYPTSIQLPLVPLVKAKLIKLTNIIIDAKSGVSGAGRGAKEANLYTEIAEGIHAYGITSHRHVPEIEQGLTDAAESKVTISFTPHLMCMTRGMQSTIYVELASGVTPRDLYEHLKSTYEIDNLVKGASGQALQNLNLMMGLPENMGLQYPPLFP >Sspon.01G0057530-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:10100830:10104659:-1 gene:Sspon.01G0057530-1D transcript:Sspon.01G0057530-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAPNSSFRPVEARSPPALELPTPPLSKVTPFRFGFLRAWLLAQFKVALCQLSVTADKRRNIAHARAAIEKAASDGAKLVLLPEIWNGPYSNDSFPEYAEDIEAGGDAAPSFSMMSEVARSLQITLVGGSIAERSGNNLYNTCCVFGSDGQLKGKITFKESKTLTAGQSPTVVDTDVGRIGIGICYDIRFQELAMLCSFVVLSWCIQHDYWTTALGVAAKGEVINDFEPQVMFGEVIATTEHEEVTVIADIDYSLIDQRR >Sspon.04G0001400-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:4994214:5000214:-1 gene:Sspon.04G0001400-1A transcript:Sspon.04G0001400-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALCSASPAISAAASLGSPACRVASLLHLRLRAAARSYSLAAAPRAPAGPPSWRAHRRFTASAASTTEAEECSGVETLIPPDNRIPATIITGFLGSGKTTLLNHILTAHHGKRIAVIENEFGEVDIDGSLVAAQTAGAEDIMMLNNGCLCCTVRGDLVRMIGELVNKKKGKFDHIVIETTGLANPAPIIQTFYAEDVVFNDVKLDGVVTLVDAKHARLHLDEVKPKGIVNEAVQQIAYADRIIVNKIDLVNEPEVSSLVERIRGINRMANLKRAEYGKVDLDYVLGIGGFDLERMKGLLSVSGMPQRFVFQGVHDIFQGSPERMWEPNEPRINKIVFIGRNLNKEELEKGFKDCLLKK >Sspon.04G0007290-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:17397440:17400560:1 gene:Sspon.04G0007290-1P transcript:Sspon.04G0007290-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVRLAFLVFFLLAAAEFAAATRSPSAFVQNAIYSNRITIFSKTYCRKSYHSTPTASAYRSLCFAGFRPGNLGDLRGPRRHGQPSVTKRVVKCKVQSHTLLGLSARITKPNNTCHAEDGRDIQSVLLDLVGRHTVPQVFVNGQHVGGADDTVNALSNGQLEKLLGKSQSQ >Sspon.05G0019780-1P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:5C:83938366:83938818:1 gene:Sspon.05G0019780-1P transcript:Sspon.05G0019780-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIFHYAGSRKKKRRRTEVTVVTGRWTGRGHCLTGRVRSAFSVCAFSVVDRTRSASGHSRPDASGRSGSLLDSNRTRALWRPVVHQRVRSLFRCALLWLDQRVRSVTGPARPVVTSASGRRFEKEPLRDRRVRSVGPARPVSATAAESSF >Sspon.01G0028370-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:94592783:94601557:-1 gene:Sspon.01G0028370-3D transcript:Sspon.01G0028370-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPNKPGFSSGRDAVFWSGEMNAYLIDSLLYQQDIGNRGESKFSTVAYDSIINGVGDKFGVTIDRNNIKNRLKYIKESFHECRNLLGEDTRFRWCSETRKFNADPDVWRELIERKPEAKKWMMKTIDHYDRLMVLFGKDREKRPDVENSKGTAKKKARTEPPKERLHRTPLNGKESAVAESSDKKVDKIEIPDEIITNVELDLSESCRTDNGIVAIPVRANSYGKGLPYAPENWPRSGDIWHWKVGSRASGAGHWADRYLTPPSRFRDATPKKLGFASRVQVEEFVKREFPDVDPSTFFSMFIWKIPAEGYRIQKGTQQVRRNEPEPEPEPVVADPGGLCKARNSLCNLEREGFVESSPARACNICCKEPGFCRECCCLLCNKTIDYSFGGYSFIKCEAVVEENLICGHSAHLNCALRSYMAGTVGGVFALDVQYLCRLCDNKINLMTHVEKLMETCQSLESRDEIEPMLNLGLCLLRGSKQKRARSLENHMRSAMEKLECGFDLVEVWKLEGDEGRATLSAGENSPPTSGVTVLGAQQVPEAGALTGHPDLIDPLGDNDFETDIENLPVFITGDQNVASAKFEDEIDLALQELKKSQEMEYNLAEQKLYAQKDRILCLYRQLDTERAQLADPMPLSDASNYGTMLANVLRHVDQIKREEEKFKSMLKVAGGFAKAPQSVIKELFGLPADK >Sspon.08G0008040-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:31202765:31214757:1 gene:Sspon.08G0008040-1P transcript:Sspon.08G0008040-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLPGRAVLLAAAVESTPYLPLPPRPVHPPHAAKTVTVSAKLHRISPQTPPAYPARLEGERTRPRPQVQTRGRKKVWPHAEGSGGNEFTKAKFEENDDGKRGQICEISGLEIRASVSSENIVAPYRDDVSVFSSLKERTARVFALLGNLLHSETSNRSMLDERKSATGTLHPQGPFLQKWNRIFVISCIFAVSVDPLFLYIPVISDEKPCWYLDRKLEKAASVLRFFTDIFYILHIIFQFRTGFIASSPTTFGRGVLIEDRYAITKRYLSTYFFIDVFAILPIPQVIILVLLPNLQGSKIMKAKNVLLLIIICQYVPRLIRIRPLYLQITRSAGVITETARAGAAFNLLLYMLASHKFVKNSTRDKRAILHLQSADYEQRPVLGALWYLLSIQRQDSCWRQQCRSNPTCDLAYLYCGDYDNNVKNTFLTTICLPSNQSNLPDPYFGIYAPAIKNVSQSKSFFAKLFFCVWWGLQNLSSLGQNLKTSTYAWENLFAVFVSISGLVLFALLIGNVQTYLQSASLRIEEMRVKSRDTDQWMSYRHLPENLKERIRRYEQYRWQETSGVDEEQLLMNLPKDLRRDIKRHLCLSLLMRVPLFENMDDQLLDAMCDCLKPILYTEGSCVIREGDPVNEMLFVMRGNLMSMTTNGGRTGFFNSDVLKAGDFCGEELLTWALDPTSTSSLPSSTRTVKTMSEVEAFALRAEDLRFVATQFRRLHSKQLQHTFRFYSQQWRTWAACFIQAAWHRYCRKKIEDSLREKEKRLQFAIANDSSTSLSFMAALYASRFAGNMIRILRRNATRKARLQERVPARLLQKPAEPNFSAEEQ >Sspon.06G0003830-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:9345400:9351136:1 gene:Sspon.06G0003830-2P transcript:Sspon.06G0003830-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAGEEMAAEAKEERIMVSVRLRPLNGREAGDSSDWECISPTTIMFRSTVPERAMFPTAYTYDRVFGPSCSTRQVYEEGAKEVALSVVSGINSSIFAYGQTSSGKTYTMTGITEYSMLDIFDYIDKHPEREFILKFSAIEIYNEAVRDLLSHDPTPLRLLDDPEKGTTVERLTEETLRDYGHLRDLLAVCEAQRHIGETALNETSSRSHQILRLTIESSARQFLGRGNSSTLVACVNFVDLAGSERASQTASAGMRLKEGSHINRSLLTLGKVVRLLSKGRNGHIPYRDSKLTRILQSSLGGNARTAIICTMSPAHTHMEQSRNTLLFATCAKEVVTNAQVNVVMSDKALVKHLQRELARLENELKFPGSTVCTTHTEALREKDAQIKKLKELMEERDTVQSQLNCLLKGDGDDHGNEHTAKRWDEHSRSSDSLARNVLEEALSVADAYGVAHHYQDYASFNGSYVYSSDHNDSAFLSETRELPRQTWDQKVISPWHPPSNHGSDGIEPYHIKESPSRTTSEVSEEHCREVQCIEIHEHVRSRSQEFNQLLPEDTKSQTPDVEVISKDAVPQPDEQQGLKSVTKKIEDHVRSYSSKDEQQAENIRKIEEDSVKTYQCESDRITENVVKLYTCDANHSFDIAKTPHECLSLKRCIMSSKDRALARSKSCRATFMVIPNSWFDDFENTSRTPPDEMFRYAPRRLDKVRRSLYDDNGDCQNEDFKTSPLIPEKNDYQNEDCLLDCSTVSCEVASDEVFNDMSTSDEVAKEMSTSDEEQETPVNDISCVTEAKENTEDCHEDLLEELQAHIIMQADRDEKTSTKTVRDVGVDSALSPFESPSHPTVDFEKKQQQIIELWHECNVSIVHRTYFFLLFKGDPADNIYMEVEHRRLSFIKSSFSAQPAAEGELNPAIASSLKNLRRERDMLYKQMLKKLSNGEKESIYGKWGIDLSTKQRRLQLSRLIWTQTDMEHVRESTSLVARLIDLEPGQALKEMFGMNFTLVPRADRRSFGLVGSYSMK >Sspon.01G0040030-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:38251207:38252334:-1 gene:Sspon.01G0040030-1B transcript:Sspon.01G0040030-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SPPASQPPALGLLLLVAFPCFFLVSRAGGQAATASTRGHAHRDAARRHSSSSEASEHAARSASQSQPPSPPARALFLPLLRPACRRFARRPRSGSSGGEQPQELKT >Sspon.03G0022430-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3A:68198251:68199054:-1 gene:Sspon.03G0022430-1A transcript:Sspon.03G0022430-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MWAQKTPLSPEKKAQRLFYSGLFRSPDHTHAGTPAPRRAPRPAHSTTFQNLPWPSRSPPLTTNINGPLPPPHSPHREITQQSKKPTQQQAKKRSEIHQREREMDARMFGLETPLMVALQHLLDVPDGDAGAAGGDKAGSGAGGGATRTYVRDARAMASTPADVKELPGAYAFVVDMPGLGTGDIKVQVEDERVLVITGERRREEREDAKYLRMERRMGKFMREFVLPDNADMDKISAVCRDGVLTVTVEKLPPPEPKKPKTIEVKVA >Sspon.01G0049590-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:113439670:113443089:-1 gene:Sspon.01G0049590-1B transcript:Sspon.01G0049590-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding QVPLFHGMDDLILDNICDRLRPLVFSSGEKVIREGDPVQRMVFILQGKLQSTQPLTKGVVATCMLGAGNFLGDELLSWCLRRPFVDRLPASSATFECVEAAQAFCLDAPDLRFITEHFRYKFANEKLKRTARYYSSNWRTWAAVNIQLAWRRYRARTSTTDLAAMAAVPLVGGPDDGDRRLRHYAAMFMSLRPHDHLDGELGVRRGGVRASSPFPRLDLFRRGTAPLLLLPGSLEAVFFLLPLWAVVLVLVWDLGVLLSDLMDQKTKLEMMGTQAATLVVVVVSFVVSRLRRFVVAANLVQGVVAAAGVAAVVQAAVATPVDAPVVDDVVGDAADGEGEAGGARPPMMWNNNTFGFVLKRMAQILFDGSRTDKLFKHHPKDAEFLNTPIRFYTEMQTIFGSTLATGGGAWLHCSTPAFEGKTTIELGEGSKATLPVTSIAGGKRKRVAFSEDEMLMMTNMTDAVNNVANAMMKAAATHVDPALYLAIMEMPDFSIEALIVAYTHLLENKAVH >Sspon.08G0009650-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:42419439:42433884:1 gene:Sspon.08G0009650-1A transcript:Sspon.08G0009650-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTAATPEAGNNHSEDSTTHGGTTGEPAMVTDNTRQESIDSSSTRNLLAPSHESRVTVDFELLWRLRKYLLLLGILAVSVTYNAGLTPPGGFWSNNTKDGHSGHDAGDPVLRALFFPRYEVFFYCNATAFAASLVLIILLLSKNVTRQKLWLRSMQLTMILDLFSLMGAYAAGSYRAVKSSIYIWILVFSVFIYIMIHILVFMRVVPKFVWEKRFVPKWLKDMAVSVQDWILSRCDVPRSERNNSHEKDLEEARKFVLMLVTFAATVTYQAGLSPPGGFWAENDDKIPATSMLRSKNLARYNTFVICNSTSFVASLVTVILLLSPELSGHGIRSKAVIVCVVVDILGLVGAYAAGSCRSVATSVCAILIAVVVWICFAVLAGIFVNRSVAGWFGKIKPDIMWYMDKFGRVVSLNFGSKRSRNPEGENSIASNQQTVYCIKDAAEPETARVPEYQLQYHQQVPNIKEGESPGEQQSPGKQQPTNTGVVSSSEHAFVNDKQAENSSNVTCNLEGQSTDPNSVANEAMSETETENMQDANMEEQSSSVDDLKTPITVAGMSNHEHQLVDNHRVQSMIRQSFSTDDQESTAVECLSDIASNNRNGATNSFKEEEKTCEESLEEIEIESFETSNVFIPIENGYIGMHEVAPRQNASNVNAGANPTDEHLKKSRTYLLLLAILAVSLTYQSGLNPPGGFWSQRENNNSTSVPIPKNTHHRPYHLPGDPILEDTHHRRYIAFFYLNAIAFVASLVMIIMLLNKRMSNKVIKRYALQITMIVDLLALTGSYVMGSCRDRKSSIYIWLLVCLVLAYVAVHVLIVIHVIPEGCKKFVAHKIENLSCRYIWTKPSNGNNQRSDANGNDFELGLSQRGDADDKNWEQRRNLLLMLAILAATVTYQAGMNPPGGVWSDDEAVSGKPGDPILQDNNFKRYDVFYYSNSLSFVASVVITILLVNKESCEHGIKSYALRVCLVVGLVSLLIAYSAGSCRKARESIYLIIIAVAVLIALVIQVLLLSSTQDSLGGPTGQFIERLLQLLFGKDEAWHGATSQQKESSDSPEKKVQKRHKYLMLLAVLAASITYQAGLNPPGGFWSDDDEGHVEGNPGLKPPGNLWSDNKGHLAGNPVLLDINPLRYEIFFCFNSISFMASIVVVMFLLNKSARKKTVPLEVLHLIMILDLLALMTAFAAGSCRKFRTSVYVYALVLGIVVYLVIVVLLSSSIAKCLRPTERNKVSSQRSPSHASTTSTLMLEDQNCSAETRRPVDAWPELGRHGVNLRHHQSAGPTCSGVDLLSGVSVSQFTRYLLTSRERFTTAASGRVEGS >Sspon.01G0025430-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1A:89955103:89955854:-1 gene:Sspon.01G0025430-1A transcript:Sspon.01G0025430-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRGRATEARFWLLAAASLLVAAASAQSGPGVCTRWRLVSLSLCVDYMCRDEATAPLARCCSQLRSVARSRPQCLCAARGVDRTSALSLRAVCNVQTASESECSGSLTEISADHAVRGVADHRWKPPYVGSVFLAKSYQRRPGLRSLAARNAVSADRPQSGRSDRKTSDWGWSVLPSPKPTV >Sspon.06G0007660-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:53789451:53789960:-1 gene:Sspon.06G0007660-4D transcript:Sspon.06G0007660-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding NGCRRHQRASSCSLLGRRAAAAAATTGRCVVVSAVDDEEEDGGCGRPACRGLGGQHVPHRRRGGRRARGHGLPHTLLAQGQVPGVRRRRVHPEGRRDAAGERGEEGRGPDRLPQLQRPRQARTDRQV >Sspon.02G0043590-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:92966841:92970884:-1 gene:Sspon.02G0043590-1B transcript:Sspon.02G0043590-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Diaminopimelate epimerase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G53580) UniProtKB/Swiss-Prot;Acc:Q9LFG2] MSPAAATAFAVVSVAIPSPTAPSRGSVRLPLRGVPAAPRRAAASMAVSAPRSGAAASFLERRESERALHFVKYQGLGNDFIMVRLLPFPNSLQLFCTVDNRDSSVPKVTPEQAAKLCDRNFGIGADGVIFVMPGVNGADYTMRIFNSDGSEPEMCGNGVRCFARFIAEIENLQGTNSFTIHTGAGKIIPEIQSDGQVLQVDDLKLSEIGPKFEHHEMFPARTNTEFVQVLSRSHLKMRVWERGAGATLACGTGACAVVVAAVL >Sspon.06G0004780-3D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6D:12898527:12898822:1 gene:Sspon.06G0004780-3D transcript:Sspon.06G0004780-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVRLRLRVSPRLRSIPLLLSQPDAATVRRSFACASASPAPAPARAMAAPSSSSATPSPYTTLVGRVSCEREIKRSKFIAVAAPVPNERAAMAFLDQ >Sspon.03G0018950-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3A:58774083:58774331:-1 gene:Sspon.03G0018950-1A transcript:Sspon.03G0018950-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHRHHATSRRAAPPPAAQPEPNSDNDRSHSSHPDHQRCDAASAEIRHRLPLIVAASRLIQASPYPACCLPARPPAAARAGL >Sspon.01G0060300-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:76660522:76660752:-1 gene:Sspon.01G0060300-1D transcript:Sspon.01G0060300-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding WKVSAAKGRRLRRCWTSGCTKTPTRGSWNARAGWPAGAFRTTRRTGRRWNRWSCRWKRSWPWTCRQFRRRCKPSQID >Sspon.05G0007530-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:25122013:25127254:1 gene:Sspon.05G0007530-2P transcript:Sspon.05G0007530-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQVAAAAAVPNGSPGAVPAVVSAGAVGVGVAQPLPTTSLYVGDLEGSVSDSQLYELFSQAGQVVSVRVCRDVTSRRSLGYAYVNFSNPVDAARALEVLNFALLNNKPIRVMYSNRDPSSRRSGSANIFIKNLDKTIDNKTLHETFSSFGTILSCKVAMDEAGQSKGFGFVQYEKEEAAQNAIKSLNGMLINDKPVFVGPFLRKQERDHSFDKTKFNNVFVKNLSESTTKEDLLKIFGEYGSITSAVVMIGMDGKSRCFGFINFENPDAAAHAVQELNGKKINDKEWYVGRAQKKSEREMELKRSFEQSLKDAADKYQGLNLYLKNLDDSIGDDQLRELFSNFGKITSYKVMRDQNGLSKGSGFVAFSTREEASQALTEMNGKMISGKPLYVAFAQRKEDRKAMLQAQFSQMRPAVPMTPTLAPRLPMYPPMAPQQLFYGQAPPAMIPPQPGFGFQQQLVPGMRPGGPHMPNYFVPVVQQGQQGPRPGMRRGAGAQGQQPVPPFQQQILPRGRMYRYPTGRNMPEAPAMPGVAGGMIQAYDMGGFPVRDAALSPAAQIGTLTSALANANPEQQRTILGENLYPLVEQLEPNQAAKVTGMLLEMDQTEVLHLLESPDALKSKVAEAMDVLRNVAHQQNPNTPT >Sspon.02G0028620-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:125920204:125924906:1 gene:Sspon.02G0028620-2C transcript:Sspon.02G0028620-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Solute carrier family 40 member 3, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G26820) UniProtKB/Swiss-Prot;Acc:Q8W4E7] MGMLAAAAASALLASPQAASLVRGRLSGAWTLRLRPAASHGVAALRSCLKESNILWHPLLLILLDYMVATQLISAATVIYALRNLSHGSTTAVVLRPWFIVLVAAGAIERLAGLALGVSMERDWVVLLAGTNRPVALAQANAVLNRLDLVCETVGASVFGLLLSRYHPVTCLKIACGLMICSFPVLVMLGQLINRVSCHALDSSRTATDESICTDLLDVRRIVQNSLSAIKHGWNEYKQQTVLPASAATVFLNFNVALAPGAIMTALLMHRGISPSIVGAFSGLCSIMGLVATFISSSLVKRVGILKAGAAGLIFQASLLSIALTVYWAGSISQRTPLLIFLASIALSRLGHMSYDVVGTQIVQTGVPASKANLIGGMEVSIASLAELVMLAMAIIANDVSHFGFLAILSVSSVAGAAWMFCRWLTNPTDEQRELFMFDPLYQVQAM >Sspon.05G0015180-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:5A:58369411:58370438:-1 gene:Sspon.05G0015180-1A transcript:Sspon.05G0015180-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding NQWLLTPDSADCRLPLFRISVVMASSAAKQLPALLLFRVREIDFHAALLERYRVLDFFASGEALPAFLAAAAADAADPPRAALIVGGGTAARVDATFLDAVPSLRFVFNTGAGMDHIDLGECARRGVAVANSGTVYSTDVADHAVGMLLDVLRRVSAAQRFLRRGLWPLQGDYHPLGTKVGGKRVGIIGLGNIGMLIAKRLQAFGCAISYNSRKPKESVSYKYFASVHDLASESDVLVVACALSKETRHVVNRDVLDALGKDGVVINIGRGPIIDEAELVAALKEGRIAGAGLDVFEKEPKVPAELFSMDNVVLTPHVAVFTTESRSDLRDVAIGNLEAFFA >Sspon.02G0011540-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:29343767:29346177:1 gene:Sspon.02G0011540-2B transcript:Sspon.02G0011540-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVRIKAVVDRFVKELQEALDADIQDRIMKEREMQSYIEEREREVAEREAAWKAELSRREAEIARQEARLKMEKENLEKEKSVLMGTASSQDNQDGALEITVSGEKYRCLRFSKAKK >Sspon.08G0009700-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:42553160:42554593:-1 gene:Sspon.08G0009700-1A transcript:Sspon.08G0009700-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSALSSTSSHGSRGAPHIVLLPSAGMGHLVPFTRLAVALSAGQGCDISLVTAMPTVSSAESRHIAALCAAFPAIRQLDLRLVPFDASSEFPGADPFYVRYEALRRSAPLVLGPLLASAGASALVADIALASVAIPVARELHVPCFVFFTASATMLSFKAYFPTYLDAVGAGHGVGHVDVPGVYRIPSSSVPQALHDPDNIFTRQFVANGRALVTADGLLVNAFHAMEPEAVEALQGGSVVSGLPPVFAVGPLMPLNDLRGTGEAAQEQGNYRAWLDEQPPRSVVYVSFGSRKALPKDQINELAAGLEACGHRFLWVVKGAVVDRDDAGELSELLGEGFLRRVQGRGLVTKSWVEQEEVLRHPAVALFVSHCGWNSVTESASSGVPVLAWPRFADQRVNARVVARAGLGVWAEQWSWEGEEAVVRAEEIAELVTEAMGDDAMAEKAANVREAASRAVADGGTSYLSLAAFVRRCTA >Sspon.07G0014900-3D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3D:9756742:9757346:1 gene:Sspon.07G0014900-3D transcript:Sspon.07G0014900-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGSKVHEHEADVPASELWAIYGTLRAAELLPELLPHVLAKVELVSGDGGVGTILQLTFPPGIPGLQSYKEKFIKVDNDNFIKEAEAIDGDILKLGFLAYMIRFEVISKGPNSSVIRSTIEYEIDDAHPELEAMVSTAPLAATAEKFSEHAKEKNVIPQATS >Sspon.07G0005600-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:7892190:7895302:1 gene:Sspon.07G0005600-2C transcript:Sspon.07G0005600-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MWHLKLIAFLYGSLFFMHLPYARGSDLNTDKQALLAFAASLPHGRKVNWTSTTQVCTSWVGITCTPDRTRVREVRLPAIGLFGPIPSGTLGKLDALEVLSLRSNRLTINLPPDVPSIPSLRSLYLQHNNLSGIIPSSLSSSLTFLDLSYNSFNGEIPSEVQAITELTALLLQNNSLSGPIPDLRLPKLRHLDLSNNNLSGPIPPSLQKFPATSFLGNAFLCGFPLEPCPGTPPPSPSSPSPQSGKRSFWKKLSLGVKIAIAAGGGAVLLILILILLVCIFKRKRDAEPGTASSSSKGKAIAGGRGEKSKGEFSSGIQEAERNKLFFFEGCSYNFDLEDLLRASAEVLGKGSYGTTYKAALEDGTTVVVKRLKEVVAGKREFEQQMELIGKVCQHQNIVPLRAYYYSKDEKLLVYDYVPLGSLCAALHGNKAAGRTPLDWETRVKLALGAARGMAYLHAEGGGKFIHGNIKSSNILISQELSACVTEFGLAQLMSTPHVHPRLIGYRSPEVLETRKPTQKSDVYSFGVLLLEMLTGKAPLRSPGRDDSIEHLPRWVQSVVREEWTSEVFDVDLLRHPNVEDEMVQMLHVAMACVAVVPDERPRMEEVVSRIEEIRNPYSDTK >Sspon.05G0020930-2D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:5D:83737633:83739135:-1 gene:Sspon.05G0020930-2D transcript:Sspon.05G0020930-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRLVAAMLVLLCCCCLFLATHAQAQQQQLQPAAGGNRASPSCIPHERDALLAFKHGVTGDPAGRLDSWRRDGEQDCCWWRGVRCSNQTGHVHELRLPSTGEVEAAMDGQISPSLLALDHLEHLDLSGNILEGPTGQILEFLGSLKSLTYLNLSGIPFYGGVAPQLGNLSKLQYLDLFSTYMFGTNSTDLSWLTHLPSIQYLNLNQVNLSTVVDWPHVMNMLPSLRVLRLSGCDIASANQSLPHLNLTNLEELDASGNSFDHPMVTSWFWNITSLRYLYLHHTSMYGQFPDALGDMTSLQVLDLSNIYNYNNDEKYRIKTTDLKNLCNLEVLNLQSALLYGDITELFWNKLPRCSHNKLQELDLSRNKLTGMLPRWLGQLTSLVVLNLGGNNITGSLPPSIGKFTSLQTLDLSCNNLNGHVPYEIGKLSNLTDLDPSRNKLDGMITEEHFASARSLKYIDLSYNSLKIELSSDWKPPYKLDFVNFASCQMGPLFPGWLHF >Sspon.01G0019490-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:78497035:78499180:-1 gene:Sspon.01G0019490-2B transcript:Sspon.01G0019490-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAPCCEKEGLRRGAWSPEEDQRLVAYIQQNGHPNWRALPRRAGLLRCGKSCRLRWINYLRPDIKRGNFSADEEALIVRLHRELGNRWSAIAAQLPGRTDNEIKNVWHTHIKKRLEDGDDGEDKKKPRKSKPAAAAKRSSAAKKAADADAVDISEQQQPFLTASPGLSSSVSSGVTTFSTATDSAAAVSSADNAATTSHQQQVGASNKAEMETSFSSAELFPPIDDSFWSSADVMDMGLGAMDEELGLGLADPLSSSSTRDEDMEFWLKMLLEAGDMRDLSV >Sspon.04G0002630-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4A:8063891:8065420:1 gene:Sspon.04G0002630-1A transcript:Sspon.04G0002630-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPARACVAGSRAPASLSGKRRRNERSASNSLAQTQARRSLSRAPLIVRGGRRLRVRDRDGHARTRRPPLIALVPPPYLLVPATRMVDGHTTGPVRGGRVVVVARRCCMRAVGAGLVRDALRWGVESRNALEQPLGPAGDYPIMPPEVRCAAPVRPAARLVWMLLPYMHPHRIPRPAPHIQSDASACVRMQHLRRPPPQRQRRGEMEGREGRERRVEGDARRARLEPKPCDRPLSVSHQCHCNMCNTRSTFEHPDDTFTTYVSRQMEHLKHAPETLTKTPENIRKDIAKHMQHPDKTLATSV >Sspon.01G0000470-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:849301:856331:1 gene:Sspon.01G0000470-1P transcript:Sspon.01G0000470-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFKLHRHRSSDRVGERFDFRFSNFRAVQVPAVSDRLFLSIVSVDNGKTIAKSSKVASRSGICQWPDTILEPIWFSKDEVSKEFEECQYKIIVSLLKVQCLGTKSKLSGVRSLRDMAPRHDDRSPTPTNDEMDNRSDCSDGMFNKGVRSSSENHLGGTYQDESGNRETSFSASGSHRSSNSGDSTADRTNFSPRDNSNGGLYAGRQDSASSYASYVSAGRVIYGASDSSKDLLEAAEETIEELRDEAKMWERHSRKLKADLEMLKKECSDKSKQQAELAVELSAAQAERDSYRHEIEELKSSLQDVNTRQIITGTPKRSDWIDLQKELEGEVKFLKESNTDLTIQLNRTQESNIELLSILQELEETIEEQRVEISKISKVKQTADPENELLVKEDKEWAKKLSMKEDEITILREKLDRALNIGNGGGAGSNAIYLELEKENEILRAKIQELEKDCSELTDENLELIYKLKENGLTKGQVPRISNNNELQFEELTSRIRQLEEELRNKEMLRDDSFSESSMSNADELQRKCADLELKLLNFRSQTCELEEKFQKSQDELEQRNLELSELRRKINGLHSTELEVCESGATWKYQSRIADLEDTEQPETDILKARFELQLQENDDLRRSKIEMENFISEIQAEKSQLEERLSASLKESSITSKCLDEVRKDILVLSSSIDSHVSTNKFLERNIVELESCKAELELHVSELEQENIELSERISGLEAQLTYLTNEKESSELQMHDSRSLIINLKDKVERQQSEMETQRLEFKQKQQESQRRLSEAQDDSEVLRRSNSKLQSTVESLIEECSSLQNLTADLKKQKLELHSHLTQKEQELDESKKRNFEFSKTVEFLEAKLSSLQKDISSKEQSLLSELESIFQEHMEQEERINRAHFMLNKIEKEKTLEVENLEREVVSLTAQVSSTHEERESATLDAIREVSVLRADNAKLEANLQDVSAQLRHYESQLEDLRKESKNKIKGLVDSLNASKQSEEMLTSDAEHMKKLMEAAKSNEDALRKTSNELELKLKSSDYEKQQMLEEISSLKLQVQKIMNLQDEVFKLQSSLDEAKFEKGKLEEFLRSVTEDCEELKAQKAMLTDKVSDMQETLRNGEEEKRNRIAMQAKLVRLESDLSASEASHVHEAELKNELSRIKRSNSEYQRKIQSLEQENEDLTRRVQIMEKGFEQMSHVKENLGKQEIGGDNQAAIQSKIQLLETKLAEALEENKMYRAQQKSPMPDGQSAGGDGKEGNTDRILQLEGELRDMKERLLNMSLQYAEVEAQRERLVMELKAIKKG >Sspon.03G0038670-1T-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3D:2240835:2242157:-1 gene:Sspon.03G0038670-1T transcript:Sspon.03G0038670-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLPPSKRTRSDTTPPAWQQQQQLLPDEVIEEIFMRLPARSVARCRCLSRAWAAVLSSRGFINRHLDLAALPTTSSSSRRPFFFQEAACLHLQEHHLAGRGLTRSCRGLGLVKNYSTGLYYVCNPSTGQAASLPHATPVKIDGGGAWDGLTVGYVSFGLGHDARADRHKVVRLYYPVGLPAGCDVYDVGVSSKGYWRPAASGAKPPCFAIDRLGISNTDGVFAQGRVHWLATSRRPPWSLSANARWRGPEPDSVMSFSLVDETFASIPLPPGACGGRSLGLTVVHGGRLGLVSFYRHRPRDIWTPAAPEDHAWRRGSGCRDPPQPQQLWSQLATVVGSGYDCADDYLYEESLEPVGRPYEDVLFASTSHLQALSMALRQLPARTLGRLKSVCRIWHAVIESDRFASAHNEHHRRLAAAASSPSSSLADRVIFTCCTCTQG >Sspon.01G0042170-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1B:64242175:64242834:1 gene:Sspon.01G0042170-1B transcript:Sspon.01G0042170-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPMAHRCRFAGSHGGAAGLAVGVKPFPTPTTTGMGRKRPARSRRTWRAAWMEERKGGEVPAAMSRAWRGKRPMGPYQHTNGDESTGTGRRKARRSCAQGESGNGGAVAEHDAGRWRNSVRRRELPLGFSKTKRERGGGNGVEEGMRLRSRPRRDQWGPTGGQVLAVGDAWRPRGGGLLCAVGRRAGAETDTGRAGFGQWAGKEEAGPLKKRNCFSFYF >Sspon.03G0031420-2T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:45481529:45481866:-1 gene:Sspon.03G0031420-2T transcript:Sspon.03G0031420-2T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPQALALASFLVLCLCAAAAASPVSVAGKFAMEGMGMVLDQGIDHFLVLAAVFVMCLFR >Sspon.02G0003210-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:10361161:10364975:-1 gene:Sspon.02G0003210-2C transcript:Sspon.02G0003210-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAVVSALAKYKLVFLGDQSVGKTSIITRFMYDKFDTTYQATIGIDFLSKTMYLEDRTVRLQLWDTAGQERFRSLIPSYIRDSSVAVVVYDVSNRQSFLNTSKWIDEVRTERGGDVIIVLVGNKTDLVDKRQVSTEEGESKAKELNVMFIETSAKAGFNIKPLFRKIAAALPGMETMSAKSEDMVDVNLKPTSSQAARRLASVPFSSGSGSGSVVLLSCRSRDYAGVGSLVSAAAASGDTADAGSESILLSVQGMMCDGCAASVKRILESQPEVTSATVDFKKASAVVWTTDEAKGTQDWQKQYGEKLAKHLGTCGFESRLQ >Sspon.03G0019490-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:60768320:60769486:1 gene:Sspon.03G0019490-1A transcript:Sspon.03G0019490-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVTSSKHHEDQEMMMELRRGPWTLEEDNLLMNYICLPRRGPLESPCRCSGLKRTGKSCRLRWLNYLKPDIKRGNLTPEEQLLILELHSKWGNRWSRIAQHLPGRTDNEIKNYWRTRVQKQARQLRVDANSAVFRDAVRCYWMPRLLEKMAATSSAHHHHHGELPPPPHVAGMAFSSSPIHGSHEQDPTSNAAAGSGSHGGYYQRYPVDPSPSTSTTSGYTYTTDLDGAGVSFDDSAALESLGLDGLDLGPADSDVYSDTTLMDYLNSTCAGGTMMTMMGGGGGGVGHNSCCGAMGGGGGDADYGCPSSSWRTDELVVQASSARKLGL >Sspon.02G0009230-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:26268503:26271810:-1 gene:Sspon.02G0009230-1A transcript:Sspon.02G0009230-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGPKRPLGVVTSWVRRQPPKVKAFLAVVTGMAALVFIRFIVHDHDNLFVAAEAAHALGIGVLIYKLTKEKTCAGLSLKSQDLTALFLAVRLYCSFVMEYDIHTILDTATLVATLFVIYMIRFKLRSTYMVDKDNFALYYVVLPCAGLALLIHPSTSHNIVNRISWAFCVYLEAVSVLPQLRLMQNTKIVEPFTAHYVFALGVARFLSCAHWVLQVLDTRGRLLTALGYGLWPSMVLLSEIVQTFILADFCYYYVKRMV >Sspon.07G0021730-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:30304702:30315348:1 gene:Sspon.07G0021730-1P transcript:Sspon.07G0021730-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGIDLNTVEEEEDEEEAEAPPVAARAGGAVCLELWHACAGPVAPLPRKGSAVVYLPQGHLEHIGGDADAAVAAAAAAVPPHVLCRVVDVTLHADGATDEVYARVSLLPEDEEAERRARARVREDKDADRDGEDGAAMKPLARTPHMFCKTLTASDTSTHGGFSVPRRAAEDCFPPLVRPVALKEVQSHGGLEVAWVTDSVWCGVQDYSQQRPSQELVAKDLHGREWKFRHIYRGQPRRHLLTTGWSAFVNKKKLVSGDAVLFLRGEDGVLRLGVRRAAQLKIVTPIPAPHNQCSSHSTLGNVAQAVATKTVFHIYYNPRLSHSEFIIPYWKFTRSFNQPISVGMRCRMRYESDDASERRCTGIIIGSREAEPIWYGSKWKCLVVRWDDGIECHWPNRVSPWEIEVTGSVSGSHMCAPDSKRLKPCLPQVNPEIVLPNGSVSSDFVGSARFHKVLQGQELLGLKTHDGTAISAFQATEARNLQYSDEHSNTSNNILGIPRLGVGSPNAIPGFPYHCSGFGESQRFQKVLQGQEVFRPFRGGCLADGHIRTAGMYQPDGSHVSGAAYKWPAPQGCDFPQPAKPVLLLQTSSPSSVLMFPQTGSKITRLEYEYSCLDKDEDGRFDRTVPTQDMGRSNQALSLWPHLVSGEAIEECTGAENMHSPVSGAEHESNNESTVENGCKIFGISLAEKIRSCDEADSCSAKCVEVPEFPVSV >Sspon.08G0026560-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8C:27463915:27466947:1 gene:Sspon.08G0026560-1C transcript:Sspon.08G0026560-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGRDGRSSGNGRRGRRLTLAPVTRRALQPSATTAESGEEESDNDDERRCAEGRAALSQAVAMATAVCQLPNCDICYGDHVTKVCPNLRNMQTTAIPCGYVIEGLGFYFIPVAENPKMINSDDKIAMVRVLEGSLTTDQLAVEPDKLLPGKNKWVIEEKSNDAFTTNLPSSNILNHMVNWGPMDTKTVKGKIRFEKDVENDVYKYEIDKVWVQFSGLPKELRKFPIIWAIGSILGVPRAVGTKFTEKHGQTRLKVVVLNPDIISDLVDVVIGDFVCKLQFHVEKDVYDGEPQVIDMDSTMDEDKPAEEKERENMDEDGKKGEEQQADQAKGKQPPASGKAGGQHRTVGDIQLTTEDGVTPASAGKKPMVVLTQSGESSVHTKLFTCDFQSIIFQSLELESKWVAALLSGRATLPSEEDMMADVQEDYQRMEDAGKSKRHTHTLWPRWVEYLNWLADQVGEPHVEPRRAEMYEKAL >Sspon.06G0005500-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6A:18632458:18632805:-1 gene:Sspon.06G0005500-1A transcript:Sspon.06G0005500-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SGCCKPPASCNFTYAGGTEWTKTKAAAGAGSASSADPDCGAWSNDEDDLCFGCQSCKAGVVDALKRDWKRAAIVNVVILAFVVVVFSVGCCAFRNSRRDNYAYHSGRGWKRGGDA >Sspon.06G0006440-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:21196155:21199042:-1 gene:Sspon.06G0006440-2P transcript:Sspon.06G0006440-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPRHLFLLLPLRLLFLLPLLAAAKPVLEDGYTVTTFADLNPLPASGPHPYAILPRPRAGDLLLLDSAGSALYTLSLSSSPGEPRRLAGGKRGAGFDDGDAAFDRPRSVAVDAADNVYVADQRHGAVRKVAPSGYTTTIAGGLSSGPGHRDGLAQNATFSADFELVYVPKICALLVADRGNRMVRQINLKPEDCAHEKQSAYGFTGLGTTSVSVIAILCALLGSIIGFLVRHFYPVNEVSINHFFSRTQKQFLRTQRKATLISFCDIKSAVASSMDYTLLHRLIRLGRGYLAMVFPSVRLQQEVPLRPSRHRPELRKTSTAPSIGLNNKAPLPPTGQLGDLISFAGDAGDKEGSGNANSQEGKVPSYEGDLMGLLYIPPGSVKKIDHMIETNLSGFSSHVNRRRLTVSGCSVSRRVHGDN >Sspon.05G0020370-4D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:5D:81927163:81927450:-1 gene:Sspon.05G0020370-4D transcript:Sspon.05G0020370-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSRPPPPPAPTTITVLSDDILREIFLRLPDITSLARAAFACRAFLHAVRSSPAFRRRFCELRAPPLLALFMLPDMRAIVLVGAGKSSDIAAAFD >Sspon.06G0012470-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:54116423:54119743:1 gene:Sspon.06G0012470-2B transcript:Sspon.06G0012470-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAALLRRSPAARALLSPALSSRLVASKSHSSSPAPPPPAAAKAASNTKTFSIYRWDPDSPSTKPHLKDYQVDLSDCGPMVLDVLLKIKNEQDPSLTFRRSCREGICGSCAMNIDGDNGLACLTKISSASSVSTVSPLPHMFVVKDLVVDMTNFYSQYKSVEPWLKRKDPPPQQGKEIPQTKADRAKLDGMYECILCACCSTSCPSYWWNPEEYLGPAALLHANRLPLWGTLIKPKPNMFMHLQARGYHGVSEKRNLRDHKRRLLAEKYELRGKLYKAVCRDPDLPLDMREKFRYKLSKLPRNSSMTRLRNRCIFTGRSRAVYKKFRMSRIVFRTLANKGELTGVKKASWWIQDSRDQFTKERLDAINDEFKLYRCHTIKNCTHACPKGLNPAKQIDTIKKLQLDA >Sspon.01G0003470-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:9200915:9202522:-1 gene:Sspon.01G0003470-2C transcript:Sspon.01G0003470-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MYEQNRTTQAQSSQGSEAEGSSAGVCNQRSSVKSEANSKEPSAHGYLQASRSQNLQHSSSTSASGHHDDGHSNSDKHISGHKMLQNDNANHGGSKEKNKSGIKSDTGMDRLHHDKKFSPGHRYPVEEHQRHRSDDNSNETRDGVGGIEAPVVSTSRMDAMNKIDKDKVKAALEKRRKSKGGFATKVNLIDDDDLLERELEQGVELAVEVEKIKQDKKQNLSDGSKHPPDLQNTDQGSSRANFDSLDSFDSDFTSSYLVNITKGHNSCGLRFT >Sspon.03G0041840-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3C:47671271:47673668:-1 gene:Sspon.03G0041840-1C transcript:Sspon.03G0041840-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAQGVQEDRLELLKGVSGSFRPGVLTALMGVSGAGKTTLMDVLAGRKTGGYIEGDIRISGYPKKQETFARVSGYCEQNDIHSPQVTVYESLLFSAWLRLPKDVDSNKRKIFIEEVMELVELKPLRNALVGLPGVNGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFEAFDEGIQGVSKIKDGYNPATWMLEVTATSQEQILDVDFSDIYKKSELYQRNKALIKELSQPVPGSSDLHFPSKYAQSSITQCVACLWKQNLSYWRNPPYNTVRFFFTTIIALLLGTIFWDLGGKVSTSQDLMNALGSMYSAVIFIGIMNCTSVQPVVAVERTVFYRERAAGMYSAFPYAFGQVVIELPYALVQDILYGVIVYPMIGFEWTAAKFFWYLFFGYFTLLYFTFYGMMAVGMTPNYHIASIVSSVFYAIWNLFSGFIIPRPKTPIWWRWYCWICPVAWTLYGLVVSQFGDIMTEMDDNNKTVVVS >Sspon.08G0006010-4D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8D:16223812:16224431:-1 gene:Sspon.08G0006010-4D transcript:Sspon.08G0006010-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RLPGDNGFDPLGLSDPEGTGGFIEPKWLAYGEVINGRFAMLGAAGAIAPEVFGKLGIIPPETALPWFKTGVIPPAGTYNYWADSYTLFVFNMALMGFAEHRRLQDWYNPGSMGKQYFLGLEKFLAGSGDPSYPGGPLFNPLGFGKTEKEMNELKLKEIKNGRLAMLAILGYFIQALVTGVGPFQNLLDHLADPVNNNVLTSLKFH >Sspon.01G0056750-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1C:92129503:92130809:1 gene:Sspon.01G0056750-1C transcript:Sspon.01G0056750-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHRANELCYVLPCCHMLGPGRIYAAAPSPSIGSRTPNSIHSVGGRALVLCLMRGLGTRPTYDVHVHAGCVGSRAPGGHGRRKPLTRRIKTWVRAPPAGRHGLGGWRPLAGHSDDISSRGWLTG >Sspon.01G0008740-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:29472545:29475328:1 gene:Sspon.01G0008740-2B transcript:Sspon.01G0008740-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAYEATKVVFSRIQALDPDHAAKIMGLLLIQDHGEKEMIRLAFGPESLLHAVMAKARKDLGLLLPASPTSVAAAGHAPFLQLPRQNSGRAGAPSPLSVSSPSSWGHAPVFSRSNSTSNGTAEEAAGAGEELPSPVNGGAAPFFPHQTGDALLDDLQLQEQLAFLNEGSANPAHQLPGFDGGSAGALVRVMPVGCSRSASGGPTAAQRTAGALNELCLGSGGGDGFGWKPCLYYARGFCKNGGSCRFVHGGLPDDAAALAGAKMEAAADQQQQCQDFLIRSKGQRLGPGAFPYSPTGSLPGSPSAASKCLSFLLQQQQQQHDRAAAAASLMLGGGDEAHKFMGRPRLDRVDFANMMNPGSRQIYLTFPADSTFREEDVSNYFSIYGPVHDVRIPYQQKRMFGFVTFVYPETVKLILAKGNPHFICDARVLVKPYKEKGKVPDKKQQQGDFSGCTTPTGGLDARDPFDLHQLGARMLQHSNSANELLLRRKLEEQQQAAELQQAIELQSRRSWLLDLKARAAATAAAASPLPTPIANAFASSQPVSTTAVESPLESGEHLKLSSGFALEGMVNDGDKEESACEASPDAADSDQSGEHNLPDSPFASPTKSAAFVHDSFTATETENTASRVGVDVGVGSKIDGGSNHLRPPALEIPSPSSYFFPMHRLSSDHGAMGM >Sspon.07G0005800-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:15119149:15120812:1 gene:Sspon.07G0005800-1A transcript:Sspon.07G0005800-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MYPVVTGTPPARLPAASRVDKATSHLLQGPDWAVNLEICDTLNADRWQTKDVVKAVKKRLQHKDPKVQFFTLTLLETMMKNCGEYVHFEVVDQHV >Sspon.03G0020490-3D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3D:50553344:50554978:1 gene:Sspon.03G0020490-3D transcript:Sspon.03G0020490-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEPLLTSLSMENSNSHPCTLLSMDPAGSHPASAESSGGGSAANNGVGVGSGGDRELFIIPRRESAHPGPPDINLPLSADPSPPPPTWSLDTFDILDVTLGTHNYESEVALTLPKLTGNGNGNGSATIGVGARKCAKRGDSIWGAWFFFNHYFKPALVEKPKGKVTRDPSGSISGFDKSDLRLDVFLVQHDMENMYMWVFKERPDNALGKMQLRSFMNGHSKHGEPSFPFSADKGFSRSHRMQRKHYRGLSNPQCLHGIEIVGSPNLSAVPEADLKRWAELTGRELNFSIPSEASDFESWRNLPSTDFELDRPHPPAAKSATHGSHSHKKGLNGSGLNLSTPPSSDDGMDLSPKCAKRRKDFFGHGVEEDCVMANNSCSDREQEVEAHTGEPSWMHEFTGVAKHASGPVTAAKTIYEDEEGYLIMVSMLFSDPRSVKVSWRNTLTHGIVKITCVSTARMPYIKRHDRTFKLTDPFPEHCPPGEFVREIPLATRIPEDAKIEAYYDETGTGLEIMVPKHRVGPEEHEVQVCMRPPHLGDNDLVLS >Sspon.04G0029600-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:77054607:77056310:1 gene:Sspon.04G0029600-2C transcript:Sspon.04G0029600-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNDRDINGGPRSRALPAPPPAPWRPRQRNAHHQPRLLADLLPLHVSTLSLLAAGASGATRDQIATFLGPAGAEAHAALALKVASVVLAGRDGESKVRSATGVWVDASLRLSRAFADTAASVHKADARSVNFRSNPREATTQINEWFERTTGGLIKNMLSEGDCHASTAVVVGNSVYFDGYWRDPFIPKYTEEGPFYVVDDASRDHAVVRVPFMRGSFRHRRMQIGVHADGGGFKVLRMPYRGVGGGDTEFAMYIYLPDDRDGLPALVRALNASPDTLLRRSVVPEQPVLKVGELKIPKFEVSLTVEASEMLQSLGLDLPFRSSGDSFSEMLTPPAPPVALSSVVHQCVVKVNESGTMAAAGTVAMMVGASMSRDPTVDFVADHPFAFFLMEDVSGVVVFAGHVINPLLAPHT >Sspon.06G0013160-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:68680617:68689118:1 gene:Sspon.06G0013160-1A transcript:Sspon.06G0013160-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIQSEQQAPNGSGATADDIKHSDSPCQRLGDGGDGDSQDGQASGYSIPCLPEDIWRHIHSLMPMDAAARAACLSRAFLSFWRCYPELILCSGKFRAGSGSLRDRIDNILKNHSGIGLKKLRLNLLNEYTCFPYIDGWLKVAVTPGIEELNLRLPKKHNFPCSVFLSAGVRNSIRSLRLDSCVFRPTAELGPLRNLTSLRLWSVCIMGGELECLLSNSRALEHLDLTDCNEIISLKIPHVLQRLSYLCVDGCYAMQIIENKAPSISMFTLSRGVPKLELGEASQLIKVLELNFGNSVQYARAVLPSIMPNLETICLGSTHEDNTPMLPSKFVNLKHLNIQMTSSTLSPSYVYFSLLSFLDASPSLETWWLEVPREDVGHELIGSSHLRQLPKRRHDRLKIMEITGFNSTKSLVELTCCIVKSAVSLERLTLNTLRHGKSPCCSDGYSVIYAKSAVQEASRAVEAIRRCIKDKVAPTTKLSVMEPCPRYAAARASCLSQAFLIKKHNGSLRRRIESILKDHSGIGVKILRLHLCNEYTCFPHLDRWLKVVTPGFEELTLVTPFVYKKYSFPCSVLSAGVRNSIQSLHLESCVFPPTVELGPFRSLTSLRPRSVCITGDELECLLSNSLALERLDLRDCGEIVSLKIPSVLQQLSYLLVDGCRALQIIENKAPSISTFSLFRRCNIKLLLGEASQTIKILNLFVATAASYARAKLPHEVDTPMLPTKFVYLKHLAIQITSSTLSPSYDYSSLVSFLDASPSLETWRLEVPLADKGHELV >Sspon.02G0025750-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:90891499:90892336:1 gene:Sspon.02G0025750-2B transcript:Sspon.02G0025750-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCLVPPAKRVWRGIRARLRLGRSTGLGRLRKEVRTCEYSDVHVMWAMLSNPSTSGSGSGVRDDRRRPTTAGKGLAATRPARNAAAAAAWSRLASYCCAL >Sspon.06G0003880-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:28917737:28919665:-1 gene:Sspon.06G0003880-3C transcript:Sspon.06G0003880-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDLKQILARPIQLAEQVIKWSDEAYTFRQECMELKAKVERLAGLLRQAARADLYERPARRIFDDTEKALDKALALVDKCRAHGLVRRVFTIIPAGSFKKMTNQLDNSVGDLSWLLRVSSSANDDDDFDAHIGLPPIAQNEPILFLIWEQIAVLYTGNLEARADAAASLVSLARDNDRYSKLIIEEDGVPPLLKLVKEGHLEGQENCAHAIGLLGRDPECVEQMVQAGVCLAFAKVLKEGPMKVQAMVAWAVSELAANHPKSQDAFAQHNVIRLLVGHIAFETVQEHSKYAITSKMSIHSVLMDKKNSTGSAVQPDLLDAGEHGGGMRYPAGHASQSKNEIHSLVQSTMAAKSNGGSGKHNIPTNGGVVATKQHNASLSGTSTRGREFEDPETKAYMKANAAKALWQLAKGNAAICKNITESRALLCFAVLLEKGEGDVQYNSAMALMEICCVAEQNSDLRRSAFKPTSPAARAVVDQLLRVVEKAEYDDLLIPCIISLGCLSRTFRATETRIIGPLVKLLDEREADVSREAAIALTKFACMDNYLHVDHSKAIISAGGAKHLVQLVYFSEQVVQIASLTLVCYIAHNVPDSEELAQAEILTVLEWASKQAYMMQDPVIDNLLPEAKIRLELYQSRGAKGYH >Sspon.01G0001200-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:3548621:3550056:-1 gene:Sspon.01G0001200-4D transcript:Sspon.01G0001200-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding CRCRRRCPTSCSAASTRPPATATGTAAARTTTAATRRAPTPCTTTRAPSPGAGWAGAAGRCRCRDGGAEGADVLLGLRAGGEARGESAARGGLGGGGRGDGEGDGDGVRGPPPGAQGGPPRRQEGGVLAQPGPAAALHLRQGLLPRRGVVPPHLQLLPPRLQRRQARPAPRAPPRRRPRQQHVQRRRRQRLLH >Sspon.05G0025100-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:17993948:17995721:-1 gene:Sspon.05G0025100-2C transcript:Sspon.05G0025100-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPTFVSLSQKEGGASGGGTSDDRVGRLVDGYLETETGKKAMRRPSPATASASPVRVTPKMAEHRFIVLEELPALGTFDIPAAATGAAALGSTAAVLVRQPPLFLLHTHASTVARIPFTQSELWPWSSLRPWFDINRGGLPIGSWWHKNLPPAWPAVSCQLEIRHSWTMQTCTSTSELSSKLPLLIRRSRAARSATPERWISGGSSRAERGGLTFYLRLRLRLRLLLWKAQHRYPAGIGTPPKQRWKLKGRGESVILVGTQNLAATPEASIFRFSVSDPSMAQTPEDATAPVQAPRPLPELPFGCVFHPDGPCLLKRYLLPMALGRDSVADGVDVYAVRPEAPPFPRCNRGLHDKVWDYYFTTTQPAAAGGSGVVGGSEDDVRDVAAGGCWRRYGAEKEYVGDDGEVYGFRRRCAFHDAGDPGKKTVWRMKEFRLNEAPPALRDRGDGVGLVIWKVYNEVIPEEEPAVDYYNIDDDEEEEIGAVVITVGDAAAAPAP >Sspon.02G0045410-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:90284644:90289374:1 gene:Sspon.02G0045410-3D transcript:Sspon.02G0045410-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSFRSTHEGAGFGHAAAAASGAPLPWWAGPTAPMLCGEPLGLGRTVPALSPEDHCRDGRFQVLQGPLDPPAPSLKAPVAQQQPERGLPELLNLSVAHQGKGKRGSEHSATVALQSPFAIYNRRFELGLAQSMISADNSYADQHYGLLSPYPMGATPGGRMLIPLNMPTEAPIYVNAKQYDAIMRRRRARAKAERENRLLKARKPYLHESRHQHALRRPRGSGGRFLNTKKESNGKDAGGGSKATFSNPLMRQVASPSSEIQQSDLGNPSSVSSMSGSEVSSMYDREDMDHYHSLDHLRTPFFTPLPSIMDGEHGVVGNPF >Sspon.07G0022640-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7B:9705409:9708988:1 gene:Sspon.07G0022640-1B transcript:Sspon.07G0022640-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSKKQHTSTDQQTVLDAQLLLWHHTTGYVKSMALKAALDLRIPDAIHQHGGSATLPQIVTKVTLHPSKTPCLRRLMRVLTLTSVFSVHDGGVEPVYGLTPASRLLVGSGLNVAPFLTLMLGTVFVSSFFDLGEWFRHELPDPSPFKFAHGRHIWGRSQDYLSLGSGQTHKFRELRLKARRRLAVLGERHRLPQGAARVPVPASRNLSIAAALVMGGVASERRVRVRIIRSLETIGVGRPQWLNVASPLLADHHASFGKLFDDGMVTDSSFIMDIVVKECSDVFQGISSLVDVSGGLGGATQTIAKAFLHVECSVLDLPHVVANAPTDTNVKYIAGDMFESIPSANGVFLKILKNCKKATASQGGGKVIMLDMVVGAGSSNERHVETQILFDLFMIFINGAERDELEWKKIILEAGFSSYKIIPVIGVRSIIKHHRDVARSQQRRDLRMGGGTVDGGPTLSLGAPPPSPTCHSAVEPGSADAEHGSIDAVTWEPGGRRWRRLLPRALGGSAPLVDAMRQNGLVATAATAAREHLFDKTARECKGVLLNFEDAAGKVWNRSVKEKGLHARVAVGSYRSAGTRPWRLELQWRPPHACPHRRRRARTACGGVCGYGR >Sspon.07G0006420-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:14199123:14203516:1 gene:Sspon.07G0006420-2D transcript:Sspon.07G0006420-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGRPGYLTLPIFSVVAAIGYVYYTTVFVAMPRWLGLSTAAGVANAAAFTALTAACLATYAVAVRRDPGRVPPGFVPDVEDAESTVHEIKRKILIIGSVLHSVPKDEQPGSDSSRTSIYHEGVRAMWLAEKGGDLYHHPYDLGVYENLISVLGPNIFFWLCPVFNTVGNGLRYRTSYDIPISTPPM >Sspon.01G0035160-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:9667096:9667320:1 gene:Sspon.01G0035160-2C transcript:Sspon.01G0035160-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AATMVKAVVVAVLLMQCCDVILSARPLLNAAAGADGGWQLGQVLDKGNGPPSDPGTGNCHYTKPGGNPCGAPNHR >Sspon.05G0026510-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:36206701:36210685:-1 gene:Sspon.05G0026510-1B transcript:Sspon.05G0026510-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATATLAAPPFSLSSRPAPAQARTARRRTTRPFLRPTCAYALQEGQSRRFHRLPCGLDLEVIAQCPPAAGERTPLVFVHGSFHAAWCWAEHWLPFFSRAGFPCYALSLRAQGESSVPQEAVAGTLETHTGDIADFIQKELPLPPVLIGHSFGGLIHFCIQSLLVLSFGLVWRYLWTKPIAAVKVTLSLAAKAYANSLSLCKETFFSAQMDDELVLRYQALMKESSKLPLFDLRKLNASLPVPSVPESTTEILVMGARNDFIVDSEGLYETSRFYGVQPVCVEGVAHDMMLDCSWDKGAEIILT >Sspon.08G0030550-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:62237087:62238948:1 gene:Sspon.08G0030550-1D transcript:Sspon.08G0030550-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAGCSFSSSRHQMSTAQRFDILPYGFSKRASNRGDGPGSGAAPRVAAADARTGGGGGATCSFRGHPAPPVTQAVSWGAKPEPGGNGATAWERSRAVKRAHEEDAGEEYGAPVVRAKRTRMGGDETRYGSIDPLQGQCKRLVPGWRGNRGREGLPGAERRGFPHACPPPPGPSLAAAKKEEFSKSPSNSPASSGGTDGGSRRSRPEQLHAYNGAPAQRVEAMELVVALTACADSLASCNHDAANYYLARLGEMASPAGPTPMHRMAAYFAEALALRVLTDGAVADDDDATALRVLNAVTPIPRFLHFTLNERVLRAGLASSEPRHARVWAPAHVRITGVGESRQELQETGARLGRVAAALGLAFEFHAVVDRLEDVRLWMLHVKRGECVAVNCVSPRTACSATRRAAIADFLGLARSTGAAILLLGEHEDALNSGRWEARFARALRYYAAAFDAVDAAGLADTSPARAKAEEMFAREIRNAVAFEAGDRFERHETFAGWRRRMQEGGFQNAGIGDREAMQGRMIARMFAPVNYSVQAQGDGEGLTLRWMDQAMYTVSAWTPVSDGGGGGSTVSASVSTTASHSQQS >Sspon.01G0052850-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:24051264:24056599:1 gene:Sspon.01G0052850-2D transcript:Sspon.01G0052850-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPTNGAISLAYAPSMMLGAGALTNPPLLPFDGFTDEDFLAADAGLLGGAGGADHTLLLLPSCPGTNGGSSSAQGLGAALACGEVTTAVAFGSFSLAGQPAPGQQVSWEVTTAVAEDFSPSPPSPALPLVQTTSQRTSIYRGVTRYQRACASVASGVVDGVLSETTEQEAAEAYDIAALKFRGENAVTNFEPSRYNLLAIAQREIPILGKKLIQKPAPEAEDQATLSAPSFSQSQQSSNSLPPYFLTNLLQPLPSQPSLAQPLPSYNNFGFGEPSFYRPCPCPWGNPEQK >Sspon.05G0024410-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:12244938:12248565:-1 gene:Sspon.05G0024410-2C transcript:Sspon.05G0024410-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLMIFRKLYKDTATPGGLLAVAIAHALALVAAVAVASNASGGHVNPAVTFGLLVGRRISFGRAAVYWLAQLLGAVVASLLLTLVSGGTRPVGIGLVRGIHERHALLLEAVMTFGLMYAVYATAVDHRSRGGATMITIAPLAIGFVLGANILAGGPFDGVAMNPARAFGPALVGWSWRHHWVCWVGPLIGAGLAGALYEFVMVEQEPEAPAAAAPRMPVASEDY >Sspon.06G0010530-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:41286012:41292991:-1 gene:Sspon.06G0010530-1P transcript:Sspon.06G0010530-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQLCEKKLERKLKNDQKAKEKEEKILKAKQKEESMFQAEAALDGLKKVEKKQKGKAFQNENPEVFIDQDTPYGKKKQLSPQMAKRYSPCLVEKSWYAWWEASGYFGADSASTKPPFVIVMPPPNLTGALHIGHALAVTIEDVMIRWRRMSGYNSLWVPGVDHTGISTQVVVEKKLMREMNITRHDIRPESFLSEIRKRKEQYYGTIMNQLRRLGASLDWSREASTMDEQRSNAVTEAFVRLHKEGLIYRDYRLLNWDFTLLTSISDIEVDHIDLKEETMLKIPGYAAPVQFGVLISFAYPVEGLGEIIVATTRIETMLGDTAIAVHPEDTRYQHLHGRYAVHPFNGRKLKIICDPEFVDPTFGTGAVKIAPAHDPNDFEVGRRHNLQFINIFTDDGKINSNGGAQFEGMPRFTARICVIEALKLKGLYKGTKKTEMTLAVCSRTNDVVEPMIKPPQWFVNCKTLAKVGLDAVRSKKIEIIPQQYEKDWYRWLENISDWCVSRQLWWGHRVPAWYVTLEDDPEKILGSDNNRWIIARNESAANLEAQKKYPGKKFLLTQDPDVLDKWFSSALLPLTVLGWPDDTADLHAFYPTSVLETGHDILFFWVARMVMMGAQLNGDVPFQK >Sspon.06G0032910-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:5725875:5730113:1 gene:Sspon.06G0032910-1D transcript:Sspon.06G0032910-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKYSQEPGNPTKSAKAMGRDLRVHFKNTRETAFALRKLPLTKAKRYLEDVIAHKQAIPFRRYCGGVGRTAQAKSRHSNGQGRWPVKSARFILDLLKNAESNADVKGLDVDNLYVSHIQVNQAQKQRRRTYRAHGRINPYMSSPCHIELILSEKEEPVKKETLTATKKRTRAAGRFTFTCLSADAPARLGPAEASPAADLPWRRRVVPPVPEHVPEVVHLVVEAGAAVGVHGGHPAQVVTELAEAAAVRRVEELHPHAEAACERELHPRQDVLLVGPRREAGARLPPPPVELHRPVHGPDVQEAAVGLVVQAVRVAVEVVAGDGDVRRDGDVPHRVPEPQDVHVREEEVEAELQDGLLEPVPGPHHVQVVLGHEVLRGAEVDRRRGAVFVVRPEEVAVAVH >Sspon.03G0025110-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:94539939:94540341:-1 gene:Sspon.03G0025110-2C transcript:Sspon.03G0025110-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFHSIDDYFHENSLTQGSEGVAGASTEAILERVYHDIAFGSEKLLNLDMLVMEIARRASD >Sspon.07G0027210-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:59115415:59120899:-1 gene:Sspon.07G0027210-1B transcript:Sspon.07G0027210-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSPQSSVSAAEMAAVKTSSNGVWQGDDPLHFAFPLLILQALLILVLSRFLAFLLRPLRQPKVIAEIVAGILLGPSALGRNKSYLRALFPAWSTPVLESVASLGLLFFLFLVGLELDLRSVRRSGRRAFAIAAAGISLPFACGVGVAFVLRAAVPGADQAGYAPFLVFMGVALSITAFPVMARILAELKLLTTPIGETALAAAAFNDVAAWVLLALAVAISGDGGNGTNHRSPIVSLWVLLCGAAFVAAWMVAVKPAMAWVARRADAAGDGSSEAWVAVTLAGVLASGLATDVIGIHAIFGAFVFGLTVPKEGGFAARVTARVEDLVSELLLPLYFASSGLKTDVATIRGGEAWAMLALVIATACAGKIVGTFGVAMACGMGAREALVLGVVMNTKGLVELIVLNIGRERKVLNEETFAILVLMALVTTFITTPTVMAIYKPARATGRRRLHHRKLQGPDPSAPSSPSASAGAAMELRVLACIHGGQDVPAVINLIETIRGHTQPRRLVKLYILRMVELTERTSSILMARAARRNGLPFLRPRRAGEPHDQVDVAFDTYAQLGHVHVRAMTAVSALHTMHDDVAAVAEDKRVSLVVLPFHKQQTGHGSDDVENLGPEWRAVNRRILREAPCSVAVLVDRGFGGGEQVSSEQVAHGVCVVFFGGPDDREALELAGRMAEHPGVQVTVVRFVDGKAGSEEQSEVTLRPSHTKNADRSYTFSTAVVDAGKEKELDEAAVAEFRQRMGSLVRFEERVVVGNVIEEVVSIGKSREYGLVVVGKGRLPSAMVAQLAVRPAEHPELGPIGDALASSGHGVTSSVLVVQQHDMSNADEVPVSVVVDGRAQDGELAKDMAEP >Sspon.05G0023750-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:21179661:21183095:1 gene:Sspon.05G0023750-1P transcript:Sspon.05G0023750-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPPPASSSAASSYATPPQPSYPASYTKFNSALNAGLLNPMSPPPLPLDKTRSSPTLFDMMANEQDYHPRTAAAGVHSIPAPPQPHQLQPARSMDRQMLLQDRIADLIGSCSPGNQFNDADSSDVRLTLSSKDGLSVTLCVHRHILVAHSRFFAAKLSDRWSKQQRTLPHIVEISDCDDVEVYAETLRLMYCKDLRRRLMREDVNKVLGILKVSAAIVFDAGVLSCLEYLEAAPWAEDDDEKVAALLTQLHLENSGAGEVLKRVSLELAPSAVVEEAEVGGSCNGGTSSGGEVVLLRLLQVVLEGKDEKARREMKGLVSKMLRENSTSRGGAIGGDLRKESLYSACNGCLSLLCEQFVRASEGDHSEVAQIARQADNLHWMLDILVERQIAEEFLRTWAMQTELAAMHRKVPAINRYEVSRVTARLFVGVGKGQILVSKEARCQLLSTWLEPFYEDFRWMRRACKGLDRHLIEDGLANTILTLPLATQQEILLSWFNRFLNSGEDCPNIQRGFEVWWRRAFWKRNSEPEQPSRLRITAIYKKKKKKGWSTPTLERWKGRALAAGLGGPGKACIGL >Sspon.05G0021390-1P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7B:64490552:64492504:-1 gene:Sspon.05G0021390-1P transcript:Sspon.05G0021390-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative pentatricopeptide repeat-containing protein At3g08820 [Source:Projected from Arabidopsis thaliana (AT3G08820) UniProtKB/Swiss-Prot;Acc:Q9SR82] MSAAAADEAIRRVLLTGVSPSSHLPPLTVKLIHGRLLRLDLLLTDLSQLLLRAVSSCGLHLHALRLHSLLPDPSHLTFPFAIKAASRLPDPLTAGVQLHARSLKLPSHSNPHVLTSLLNLYAKCGRLLDAQKAFDEMPHPSTVSWTALITAYMDAGRAQEAIGVARRAFASGMRPDSFTVVRVLTACARVADLVTGEEVWRVAEQEGIAGNVFVATAALDLYVKCGEMDKAREVFDKMRNKDVVAWAAMVGGYASNGHPQEALELFFAMQVEGMRPDCYTVAGALSACTRLGALDLGRRVVGMLHWDEVLNNPVLGTALIDMYAKCGSTGEAWVVFQQMRKRDIIVWNAMILGLGMTGHEKITFALVGQMKKSGMTLNDNTFMGLLCSCTHSGLVKDGQRYFRNMSQLYHISPRIEHYGIMVDLLSRAGLLEEAHQLIEDMPMEANAVVWGGLLGGCKIHRNADLAEHALKQLIQLEPWNSGNYVMLSNIYSNSGRWEDAAKLRLEMKAKGVEKVPASSWVELDGKVHEFHVRDKSHPLSDQIYAKLDQLGMEMKAMGYKPTTEVVMFDIENEEKEHTLVHHSEKIAIAFSLLTTEPGETIRVTKNLRVCSDCHTAIKLISRITCREIIVRDNNRFHCFRDGYCSCNDYW >Sspon.06G0029710-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:27070151:27073773:1 gene:Sspon.06G0029710-1C transcript:Sspon.06G0029710-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNIVSKRYSSIHELEAESAVAASEALKPCTPTCSSRRLQGAPRHQRGLGESVVTAEEKLFAVFKSYVLISNTIKLDVYPWLHATPGVQMVAGGDALGQQYNTPDSDDQRLRKNFVIDLLAYDGNSRRCMIHVLVREYLSRITGKRLF >Sspon.04G0006260-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4A:17749794:17750629:1 gene:Sspon.04G0006260-1A transcript:Sspon.04G0006260-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSCHNVEVPGKPTETGTALLETATGTIQGFAPLNQIHQHLCAFHFYADDMGRQVEAHHFCAHLNEDVRQCLIFDGPGAGARLIGVEYIVSEKVFLTLPDGEKPLWHTHEFEVKGGVLFMPGVPGVVERRDLEEVCKTYGKTIHFWQVDRGDALPLGVPQIMMALTREGQLRQDLADCVEKKFGVSFQKERENRAYMSGPEHGIHPLANAAGKGLRTEIREVDIPASTTAGAGRVFT >Sspon.03G0036390-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3B:89619233:89621678:-1 gene:Sspon.03G0036390-1B transcript:Sspon.03G0036390-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQASKCSWLIAKTQLFQQLKIGYQYGAFFQKCSSDFGESMQILKYEVNKSDYNNYEPQSSSGHDRLVTVLMYLSDVKRGGETAFPRSELKGTKVELAAPSECAGYAVQPVKGNAILLFNLKPDGVIDKDSQYEMCSVLEGEEWLAIKHIHLRKIDTPKSSLVSEDECTDEDDRCVNWAAGGECDRNPIFMIGTPDYYGSCRKSCRVC >Sspon.06G0019960-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:6100452:6102342:-1 gene:Sspon.06G0019960-2C transcript:Sspon.06G0019960-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNSAAVEFKVDYEQTKDLAPGKAIHSDAISAGGHVWRINWYPRGIAGNGSMRGVSMMVELMSKSGSAEATVAVCLMKLGKSSLIRTLKGSFSHVFQSGFHKCGWVCLLEQTDLLNYS >Sspon.02G0005680-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2A:17953134:17953398:-1 gene:Sspon.02G0005680-1A transcript:Sspon.02G0005680-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding NNIAVIEWLSWLLNMLPRLKNPIKSIPNVAALKLQHDRRRPDGISSLSGIDVFINTANPVDEPIMNSVLSILTTDYPVEKHACYLDL >Sspon.05G0016770-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:69228798:69234326:1 gene:Sspon.05G0016770-2B transcript:Sspon.05G0016770-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPSPPLLLLALLHFAFLSTNAAAAADGNGTGTGDLRGDTMALLALKAALGCRPGALRSWSAANAGSVCAWTGVRCGAAGRVVAVDIANMNVSASGGPVSVRLTGLGALETLSLAGNGIVGAVAIASPLPALRHVNVSGNQLSGALDDGGGWDLASLPALEVLDAYDNNFSSPLPLGVAGLPRLRYLDLGGNYFTGEIPAAYGAMPAVEYLSLNGNNLQGRIPPELGNLTTLRELYLGYYNVFDGGIPPALGRLRSLTVLDVSNCGLTGRVPAELGALASLDTLFLHTNQLSGPIPPELGNLTLLTALDLSNNALTGEVPHSLASLTSLRLLNLFLNRLHGPVPDFIAALPRLETVQLFMNNLTGRVPAGLGATAPLRLVDLSSNRLTGVIPETLCASGQLHTAILMNNFLFGPIPGSLGSCTSLTRVRLGQNYLNGSIPAGLLYLPRLSLLELHNNLLSGAVPSNPSASASSSSQLAQLNLSNNLLSGPLPTTLANLTALQTLLASNNRIGGAVPPELGELRRLVKLDLSGNQLSGPIPGAVGQCGELTYLDLSRNNLSGAIPEAIAGIRVLNYLNLSRNALEDAIPAAIGAMSSLTAADFSYNDLSGQLPDTGQLGYLNATAFAGNPRLCGPVVSRPCNYTEGAGVGVAGVTTTTTRRGGGELKLVLALGLLACSVVFAAAAVLRARSFRVDGGGGGEGRWRFTAFHKVDFGVAEVIECMKDGNVVGRGGAGVVYAGRTRSGGAIAVKRLQAQGGAGDDRGFKAEVRTLGSIRHRNIVRLLAFCTNRDANVLVYEYMGGGSLGEVLHGNGKKRGGASLAWERRYRIALEAARGLCYLHHDCTPMIVHRDVKSNNILLGDNLEARVADFGLAKFLRRSSGGATDECMSAVAGSYGYIAPEYAYTLRVDEKSDVYSYGVVLLELITGRRPVGPDFGEGVDIVQWAKRATAGRREAVPGIVDRRLVGAPADEVAHLFFVAMLCVQDNSVERPTMREVVQMLADEFPRHAASSSAQTSPSTSSSAAAAPTPGGEESCSPDDGGKEPPPANCYK >Sspon.02G0034350-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:12298664:12299824:1 gene:Sspon.02G0034350-1B transcript:Sspon.02G0034350-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding CMRGGPPGGAWVVPGMSCNGCRVLRKGCSDACVLRPCLQWIDGAEAQGHATVFVAKFFGRAGLMSFLTAVPEPQRPAVFQSLLYEAAGRTINPVSGAVGLLGAGSWQPCQAAVETVLRGGAIGPLPEFDVGRDTFALAARRAVGCSTFSTAKRAPTTTRTNVGAPPVPPPEPSCDLGLWLSPGSPPAPGERRRPGTPSMTSEESVTTATTSGGGGGGREPELLNLFV >Sspon.04G0030770-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:2597779:2599829:-1 gene:Sspon.04G0030770-1C transcript:Sspon.04G0030770-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAMGLVRELVRPQGTTGARRLCGTAVGVAAGFLSAATVASASDGRSAGAACLAYPWSPQDGARGGHKVFMQHDCAACHSGLPYAGLAEEAAAGGEVEAQATEIVVVHDLEEAAPAPATLHGGACPPDLSVITKMLEGLRRSNLYNADEIKKTMALPSPVWLQFLQPYMRSPQAA >Sspon.02G0001340-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:5103199:5107630:-1 gene:Sspon.02G0001340-2C transcript:Sspon.02G0001340-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRASIPTNNSALIAIIADEDTVTGFLMAGVGNVDLRKKTNYLLVDNKTTVKQIEDAFKEFTTREDIAIVLISQYIANMIRFLVDSYNKPVPAILEIPSKDHPYDPAHDSVLSRVKYLFSAESVASDRR >Sspon.01G0024850-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:49116567:49120928:1 gene:Sspon.01G0024850-2B transcript:Sspon.01G0024850-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATNGSSARVRDTESSLEKVKRQLSSGSGRYLLQGPLLKRSETLRKWNERWVILDPTSGKMEYNATQLVLRAHKEAVNSLAGNGSPATLGTVATAVANANATAMEATKEIEAALKVSMRAALGLGTNNSNEGQLDDLTIMKETLRVKDEELQHLAKDIRSRDATIKEIADKLTETAEAAEAAASAAHTMDEQRRLLCLEIERLKQASERQIEQSMLKLRQSEEKVISLSKEKEQLMKERDAAFQEAHMWRIELGKAREQAVIQEATIARAEEKARVSEADAAARIKEAAEKLHTVLEEKEELLALVAVLQSQVQREQSSTKQVCEERSESCSGTDNSPPLTKHVDASDDDVDKACVSDSRSVLVSSDSTEVQLAVDGVDIRPVGDAEWGSFQQSEALIADVREVSPEADGGSLDIPVVNLPTSQRSYPGRRNPSL >Sspon.01G0002350-3D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1D:6403879:6405100:-1 gene:Sspon.01G0002350-3D transcript:Sspon.01G0002350-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding PIETLVRDILLFENRNLVSGTSCNLRASSDFFLLLFTSHARDARAFPSSFHINRVIISRNKRRGGNGTGERNKAPARWWARGARRGAEAKQPTTKQEQASRERGSSGPVSSPVHSHSTPSPPPPPRRGGREQSREGECGGRGRGHEAERSPGPGGEMGIRRARRFLFLAVAVLLLHAPPPASCADIYALIYKGCANQSFPGGVAPASIAALSATLSAQSASAKFYKTSSSSASTASSTSVFGLFQCRGDLSATDCAACVSRAMSSWPGVCGASVAARVQLAGCLALYEVSGFPQVSGIQMLFKTCGTGGGGGDFEMRRDTAFAALEGGVATSSSGFVATSYQAVYAMAQCEGDLSTGDCSQCVTQAVQHVEVECGGASSGQVYLDKCYISYSYYPHGVPHGGGAGGQ >Sspon.03G0029740-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:24282646:24286188:1 gene:Sspon.03G0029740-2P transcript:Sspon.03G0029740-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADCMQEWPEPIVRVQALAESGLSVIPRCYVKPPCDRPAVAPAPAAVQETNETSDISIPVVDLGELLLAGGGGAVGVGGLDNAVVTEAVAAACREWGFFQVVNHGVRPELMRAAREAWRGFFRRPLAEKQRYANSPRTYEGYGSRLGVQKGAVLDWGDYFFLHLAPDAARSTAKFWPANPSNCKEVSEEYGREVVRLCELLMRVLSASLGLNEAHFQRAFGGADCGATLRANYYPRCPQPDLTLGLSAHSDPGALTVLLADEHVRGLQVRRGDGEWVTVQPVRDAFIVNVGDQVQILSNSVYKSVEHRVVVNAEEERISLALFYNPKGDLVTAGNLPALYPPMTFDEYRLYVRNKGARGKAQIEALKGQASPEN >Sspon.05G0035870-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:82206880:82214959:1 gene:Sspon.05G0035870-1C transcript:Sspon.05G0035870-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSRPGSAGGGDEASSSDPAPRPLRPILSAHPLGLMLESVASTGLTNNPVLEKIYSLIGDETANQLGVHTQLRFLHHELSSMRGALEDVADMEDLDNGTKAWRNEVSELYYDTEDCIDDFRHRVEGGPSQGGQGFIRRAGRLLETLMARYQISRKIKELRTRAQEANDRRTRYKPDECVSRSARVYVDPRITALYAETSSLVGIDAPKEEVVKLLTEVDGASLKELRVVSIVGFGGLGKTTLANEVYRDLRDNLSGNPEKSFSCKAIISVSQRPDMNNLLKSLFSKVSGQSADHTYDLQGLIDIVREYLQGKRNLGVVESAGRINLPHVRSLIVFGWPAPNLALFELKFLRVLYIVRAADDLDLTLICKLFQLRYLCIRGKHRGLQLPEEISGLKHLQVLDVISGLSASGIPRDVVYLPALLHLQFPLTAVYPDGIGSMRCLHTLLQFDASKQSVANMLALGELLNLRVLDLWINDASFATKEAHMDALMSSLEKLISCNLRTLSVLARDNVGRHRRWSSLCFSCSQAQLEQLHLYVWCPRMPAWVCQLRALSILKIKVVELCKDDVAVLAGLPALSRLILDVRNNNAPGQQAGIVFSADTSFRLLGYLRIPYDAETGITFEAGSMPQVETLRFPLRADDVKRWGVRFSGIEHLPNLKQVLVDLRYGDCDESERPVIRAAVRSAFDAHSAPSSIQFEFY >Sspon.05G0001330-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:3235813:3244342:1 gene:Sspon.05G0001330-2D transcript:Sspon.05G0001330-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDERTPAAGSGGFVVWLHGLGDCGRANEFIADHFSAAAFSDARWAFPTAPTAPVTCNRRCASACRRLRLRFVAPVCFSLLAADRNSVCYELAGGMRMPSWFDIHDTPITSVSQSHRSVIRVTSSPCFCYIYVCMQVSLIDSFGCSWTNTPMRTHACVKKSVRDEEDVVRAVKIVHAMIDREVAAGTDPEDVFVFGLSQGGDAVITAWFGISEVPITAKTVRDEKEVLKAVEYVHELLDKEVAAGTSPSDIFVCGMSQGGALAIASVLLYPKTLGGCAVFSGSVPLNKSFAEKVSSEARKTPVLWFHGMADGLVLFEAGHAGCAFLEDLGMSCEFKAYPTLGHSMVDEELQYFQQWILNHGFVMPSWFDIHELPMSAGSPQDEAGVLKAVEKVHAMIDREVADGIHPENIFVCGFSQGGALTLASVLLYPKKLGGGAVFSGWVPFSSSVTEKISPEARKTPFLWSHGMADKVVLFEAGQAGPPFLQSAGANCEFKAYPDLGHSLSKEELLYLESWIKSRLNASQEKDG >Sspon.01G0035340-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:9538683:9541614:1 gene:Sspon.01G0035340-1B transcript:Sspon.01G0035340-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSLGSAKALACSHASLLAPQSQRTVVASAPSRRSSVRMRASAAPAEPKKTVWVWTESRQVMTAAVERGWSTFLFGSKDLGKDWSSTARINPLFIDGLEIFNGENQKVAVISQVSSPSELDLVQPDNAEAENIVIDFRGGWQVIPAENIVAAFQGCKGTVLAVSTNSTEAQVFLEALEQGLDGVVLKVDDMDDIIKLKDYFDRRNEARSQLPLTKATVSKVEVVGMGDRVCVDLCSIMRPGEGLLVGSYARGMFLVHSECLETNYIASRPFRVNAGPVHAYVTVPGGKTSYLSELGSGKEVIVVDQNGLWRTAIVGRVKIESRPLILVEAKDNSGDDTYSIFLQNAETVALITPDTGSSGRTAIPVTSLKVGDEVLVRKQGGARHTGIEIREFIVEK >Sspon.04G0016150-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:68496232:68497052:1 gene:Sspon.04G0016150-4D transcript:Sspon.04G0016150-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAAAGAAALPEAWSQVRAPVIVPLLRLAVAVCLTMSVLLFLERMYMAIVIAGVRLLRFRPDRRYRCDPLPEDDPELGSSAFPVVLVQIPMFNEREVYQLSIGAVCGLSWPADRLVVQVLDDSTDEMVKIRVSVPLAFYFSCPQPN >Sspon.02G0015270-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:39907356:39910931:1 gene:Sspon.02G0015270-2B transcript:Sspon.02G0015270-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DEAD-box ATP-dependent RNA helicase 22 [Source:Projected from Arabidopsis thaliana (AT1G59990) UniProtKB/Swiss-Prot;Acc:Q944S1] MALHHLRLAPLALLRAASLPPLASSRIAARRHRHVLLFAPPSWPWRLLSPAARPRALATAAEAEADDADSGSGNGFFAESTSWASLGVSERLASALRGAGLSRPSLVQATCIPHVLTANDVIVAAETGSGKTHGYLVPLIEKLCSKSSTTEDDNCQDTTPGAHDIVLVLCPNVMLCEQVVRMANSLLDSLGWPAVHPDILVATPAALLNYLFDYDPEKRRRERFLRNVKFVVFDEADMLLCGSFENQVIRLIHMLRFDEKLLSRAQDSGKEVSLGSDNEYHEDSRFETAEFSGSDEEIEDNIAQDRPVKVENSHVGAHKDWRRVRKIYRRSKQYVFVAATLPQSGKKTAGGVLKRMFPDAVWVSGTYLHRHNPRLERRWMEVTADTQVDALLNAVKYGLMSEVHDAKDVPRRTMVFTNTVDAANSVSDILRRAGIPCILYHRENSLEERANNLQSFRENGGVLVCTDAAARGLDVPNVSHVIQAEFAACAVDFLHRVGRTARAGQSGIVTSLYTEANRDLVRQFVKQRSWLSQWREHSAGKEAFATN >Sspon.01G0023190-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:84022021:84023905:-1 gene:Sspon.01G0023190-1A transcript:Sspon.01G0023190-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMERRHGFFAALREEVARGLSPARARRKSEAADLAAAALRFAGVVGCGEMLAPLMEGPDPEPSDGDGGGCRGAARGRKEGWGHWVRGQFARAPSSAVAGAGASSGALRNDLRMLLGVLGAPLAPVHVCASEPLPHLSVKDTPIETSSAQYILQQYLAASGGHKLLASLRNSYAMGKVRMVATEFETAGRLTKNRNAGRGGEPGRFVLWQMAPEMWYIELVVGGSKVRAGCNGKLVWRHTPWLGAHSAKGPVRPLRRSLQGLDPLMTASMFARARCIGERKVNGEDCFILKLSTDTETLKARSEGHAEIIRHVTFGYFSQRTGLLVHIEDSHLTRIQSAAGGDAVYWETTISSFMEDYRPVDGIMIAHSGRSAVTLFRFGEVAMSHTKTRMEEVWSIEEVAFNVPGLSMDCFIPPTDIKSGSVGETMQLTHGERSRAGPPPGYCAKVAALEKAEEDK >Sspon.03G0013010-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3A:36469681:36472107:-1 gene:Sspon.03G0013010-1A transcript:Sspon.03G0013010-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGPAQETGWRLLLLLLLLLVVGFSRAEHARSAPVQFRFPSTVTILTHVGLGPFRMSAATVPEPLLHFAAWIWASRRHHCTPPPPQQPGCPLYAPPRLLDPPRRRLDLSPGEPSRSAVWVLRQLDPPCRRQRRAWSSSSLAGSGHPATGSSLGRTGACAARCQRRGSFERREETRHLCIARAGSSLLLLEREREREGGRRWPGREARPTLCSLEREGDGLGSETR >Sspon.01G0021060-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1A:77530593:77531742:1 gene:Sspon.01G0021060-1A transcript:Sspon.01G0021060-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLSAAVLCALVAVQAAVLLATLPSAQAKELEVGYYSKKCKGVENVVKWHVVRALKANRRTGAALVRLLFHDCFVRGCDGSVLLDASSDNPHPEKKARVNIGLAAFDLLEEIKAAVEKRCPGVVSCSDILIYAARDAASVLSNGHVHFAVPAGRLDGFVSKAEEAQAELPDSTHDVQQLIDNFAKKNFSVEELVILTGAHSIGQGHCSSFRGRLSEPSSQINPAYRDLLNYKCSQGSDPPVDNNVRDEDYGVVARFTPGFTSRVRKVPDFLDNSFYHNNLAKIVTFHSDWTLLTHKEAFGHVVEYRDNGTLWDEDFSDSLLKLSKLPMPAGSKGEIRKKCSV >Sspon.07G0009240-1T-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7C:21634446:21636433:-1 gene:Sspon.07G0009240-1T transcript:Sspon.07G0009240-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKEKSHINIVVIGHVDSGKSTTTGHLIYKLGGIDKRVIERFEKEAAEMNKRSFKYAWVLDKLKAERERGITIDIALWKFETTKYYCTVIDAPGHRDFIKNMITGTSQADCAVLIIDSTTGGFEAGISKDGQTREHALLAFTLGVKQMICCCNKMDATTPKYSKARYDEIVKEVSSYLKKVGYNPDKIAFVPISGFEGDNMIERSTNLDWYKGPTLLEALDQITEPKRPSDKPCVCPSGCVQDWWYWNCPVGRVETGVIKPGMLVTFGPTGLTTEVKSVEMHHEALQEALPGDNVGFNVKNVAVKDLKRGYVASNSKDDPAKEAASFTSQVIIMNHPGQIGNGYAPVLDCHTSHIAVKFAELITKIDRRSGKELEKEPKFLKNGDAGMVKMIPTKPMVVETFSEYPPLGRFAVRDMRQTVAVGVIKSVEKKDPT >Sspon.01G0003630-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:56320351:56327431:1 gene:Sspon.01G0003630-2P transcript:Sspon.01G0003630-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRVIPLLLLLAVVVFLAPRLPSKLPASLLLLKEKLGLSPAAVAVACWAAAAAAWAYAVSRPRPVYLVDLSGYVAGAPHEASRAKTIAHFGRCGRFSDESMAFQKRMLERSGLGERTHFPASLIRVPVDMCLRTAREESHAVIFGVVDDLLRRARVAPSEVGVLIFNSSLLSPTPSFTSLIVNRYGMRHDVVSHNLSGMGCSAGIIAIDLAKRLLQVHRDTYALVVSTENITLNAYMGNNRPMLVTNTLFRVGGAAILLSNRGADRRRAKYQLIHTVRTHRGAHDQSFGCVTQEEDDAGCVGVSLSKELMVVAGEALRTNITTLGPLVLPMSEQLRFLATVVLNRVFRANVRAYLPDFKLAFDHFCIHAGGRGVLDELERSLKLSAWHMEPSRMTLCRFGNTSSSSLWYELAYCEANGRIRKGDRVWQIAFGSGFKCNSAVWKALRTVDGGEEGNPWTPEIDVLPIDVPKVSPIDETTYRFPDGATYKVSLG >Sspon.08G0025000-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:4581017:4589314:1 gene:Sspon.08G0025000-1C transcript:Sspon.08G0025000-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKQQQQQQAPPQPMPANSAAPPAPVPAAATAPSGCEGEKKAPPINSELWHACAGPLVSLPPAGSLVVYFPQGHSEQVAASMQKDVDGHVPSYPNLASKLICLLHNVTLHADPETDEVYAQMTLLPVTSYGKEALQLSELALKQPRPQTEFFCKTLTASDTSTHGGFSVPRRSAEKIFPPLDFSMQPPAQEIQARDLHDNVWTFRHIYRGQPKRHLLTTGWSLFVSGKRLFAGDSVIFVRDEKQQLLLGIRRANRQPTNISSSVLSSDSMHIGILAAAAHAAANNSPFTIFYNPRASPTEFVVPFAKYQKALYGNQISLGMRFRMMFETEELGTRRYMGTITGISDLDPVRWKNSQWRNLQVGWDESAAGERRNRVSIWEIEPVAAPFFICPPPFFGSKRPRQLDDESSEMENLLKRAMPWLGEEICIKDPQTQNTIMPGLSLVQWMNMNMQQNSSFANSAMQSEYLRSLSNPNMQNLGAADLSRQLNLQNQILQQNSIQFSSPKLPQQMQPVNELSKASLPLNQLGVGTKPQEQTQDPSNLQRQQHTKVPSQATPPPPTVQQESQQKLPQKHIGFTDTLHTAIPPTTSVNAISAVGSPLMATGATHSVLTDEIPSCSTSPSTANGSHLVQPVLGRNQQCSMISYEKVPQSTAPMSIPSSLEAVTATPRSIKELPKLNSNVKQSVMASKLPNTGPAPQNLANNAPPTDYLETASSANSVWLSQADGLLHHGFPMSNFNQQQMFKDVAPETDIHGADPSNNTLFGINGDGQPGFPMGADGFLSNGIDASKYQNHISTDIDGNYRIPKDGQQEISSSMVSHSFGASDMAFNSIDSGMNDGGFMNRTSWPPPPLKRMRTFTKVYKRGAVGRSIDISQFSGYDELKHALARMFSMEGQLEERQRIGWKLVYRDHEDDILLLGDDPWEEFVNCVKCIRILSPHEVQQMSLDGDLGNNIPPNQACSSSDGGNAWRARCDQNSGNPSTGSYDQFE >Sspon.02G0031140-3C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2C:107113859:107114290:-1 gene:Sspon.02G0031140-3C transcript:Sspon.02G0031140-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VAGAPASQPVFPDEILEEIFLRLDAAEELARASAACTTFRRVVSARRFLHRFRCLHPPPVLGVLDWTRPPFHPAETPHRSAPAARALAQAADFTFSFLGTPHCWQVCDARDSRVLLYQRTNITAPFADLMVCDPLHRRHVQLPP >Sspon.02G0059010-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:87736466:87739015:1 gene:Sspon.02G0059010-1D transcript:Sspon.02G0059010-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNPKKGYVEVLLHRWWPSSESWSSRFLEKVTLPSIIDMRKWQTDQVIAYRKDLVWADLKQGILFCFKNPLEKDTELRIIYLPRIPHMKSVRRPETFMSVGCCNGKLKFVHLDISDFSEVVTIMTFIESSYRKEELCWHKTSRIYLNCTDLWANMPLNLEVSKYHMPCFPVLHTDKDNILYLTISKKDKDNKCAWLLQVDTKARKDSKGASPHQVDTESDTSTEFDTKFSTDSDTSTESSMEPNRDGLDASDPNRRH >Sspon.06G0011910-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:64479772:64491208:-1 gene:Sspon.06G0011910-1A transcript:Sspon.06G0011910-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-(apurinic or apyrimidinic site) lyase [Source:Projected from Arabidopsis thaliana (AT3G48425) UniProtKB/TrEMBL;Acc:A0A178VA84] MKRFFQPVPKDGSPSKKRQAAAAEPGDGPASAGAATGAGAGGDGEGRPDEEPRKFLTWNANSLLLRMKSDWPAFSQLVARLDPDVICVQEVRMPAAGSKGAPKNPSELKDDTSSSRDEKQVVLRALSTSPFKDYRVWWSLSDSKYAGTAMFIKKKFEPKKVSFNLDKTSSKHETDGRVIIAEFESFLLLNTYAPNNGWKEEENSFQRRRKWDKRMLEFVQHVDKPLIWCGDLNVSHEEIDVSHPDFFSSAKLNGYTPPNQEDCGQPGFTPAERRRFGNILFQYRGKRMRIDYFLVSEQLKDRITSCEMHGRGIELDGFYGSDHCPVTLELSKAVAEEAPGHPNPSI >Sspon.02G0008710-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:25093169:25095811:1 gene:Sspon.02G0008710-1A transcript:Sspon.02G0008710-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSIDCASDSSVKNVALDTLFLIVLQAAVVIALGKFIHLGLRRHNLPSATSQIIAGIIVGSLGLHDVIVHVDVENAEDTYGRYVSQARIFYMFYVGLEADVAALWNDMRRATIFTYASVATCLLLAAFVSGGMYGSMMHTPVRSPELLAAVLMLSIADTASVDVSRMASEMGLAATPSGRLVVATAIATNIICIVGEGVFSCMKLASSRTPDYSAPQRLGLGILALIKLVLLLFAVSCVGNFPQRVGFDGMPVSLLLGLAFPREGPVARSIIDTLAYPLHALALPFYFGAMGMRLNFSAMSGAILVPAILLTLLGLIGKCAGTMGAARFLEMPTADALRLGVLLNMKGHVNMIDMSFASSEGIWAEQALMAMVVGSMISTIIAGPVFAVVFRKEREAYECSHQALEHMATDQELRMLACVHGARGAPGMLSLLELLASKPRAQPTIHVLHFFDVARKHDGPTRHYHQTVQDSEHKHMSRRKDATTQVNWAVDVFTCATGLVIRQIDAGDRGSVVNAKAIRRWTEDVRSGILLIPYHKEQHYDGTMVCRREDRRHLNLNVLEGAPCTTAILADRPFRRSGTSFQLPTKISTSTEAAGNQGDEKVTTHVAAVFLGGPDDREAVALACRLARNKSVRLTVVRFVLRESTDDRVATTSADIDGEVSVVVDDPDEECVSEFQREYVAKERAVYAEKAVTGPMDVVEALRGMAGAYALVVVGRGGRQPAELVVGLEGWAECAEVGPVAEILASDESLEMGSVLVVQQKTVP >Sspon.07G0020450-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:79889283:79890427:-1 gene:Sspon.07G0020450-2B transcript:Sspon.07G0020450-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEAEWNDERTRLVCELFEEQVRAGNRPNTHLNNIGYRQVAAKFQQRTQLLYTKLQLKNKWDKFKNDYITWRKLLVVGKGLPWDTAKGTFVADEEWWKKINKELPGARKFRHGGLQHEDKLKVMFDYITSNGVDPSAPAPESPKNGVDNSPPDATGLPSAPDCPLNEAEHSPVTAHGLPSGTDSHMNGTDHLPLATHDLPTVQEIRMNGVNLDGSDNTEDNDDTHQEPVFQYASNRKKIPICFSAAKKKKKNKSETALLMQAHLYRIAELAQKAQDTFEKFSSQADSAPWPSIQDVMTLVRECGARCGSNEHFIATELFVSREQREMFLTMETAEERFQWLRRKYIVKYLS >Sspon.04G0027700-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4B:61298780:61303672:-1 gene:Sspon.04G0027700-1B transcript:Sspon.04G0027700-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding FPLSKKSKSPSKLPEKSYRRWVPDQTLESSSVNYKAGGRHWVLDSGCTQHMIGDSCMFKSIDTSQNGGFDAITFGNNKKDKVKGLGKIAISNDMSISNVLLVESLDFNLLSVVQLCDLGFKCIFGSDDVEVISVDGSNLIFKGFRHGSLYLVDFNDIRGLKDVTFEKDKPCSACQAGKQVGNGHPSKSEMSTSKAFELLHMDLFGPTTYTSIGGNKYGFVIVDDYTRYTWVFFLYDKSDVYDLFKSFVKRVQNEFETTIKKIRRDNGSEFKNIRIKELCDDLDIGHQFSPTYTPQSNGVVKRKNRILIDMARSMLSEYNVSHSFWSEAINTACYYSNRLYCHGKLGKTPYELLNGRKPNIAYFRVFGCKCYILKKGTRLSKFEKKCDEGFLLGYSTTSKAYRVWNLTNGTLEEVHDVEFDETQGSQNENMDIGDVRPRQVDDDDNIHVINQSMQIDTNQASSSGSHDEVRDQGQASGSNQFPILQPTSIARDHPLDQIIGRIQSGVQTRSRLASFCEHYSFVSLEEPKKIEDALKDSDWVNDMHEELNNFARNQVWELIERPNNYNVIGTKWVFRNKQDQDGIVVRNKARLVAKGYTQVEGLDFGETYAPVARLEAIRILLVYACAHNIKLYQMDVKSAFLNGYINEKVYVEQPPGFEDDKKPDHVFKLKKALYGLKQAPRAWYERLSDFLLSKGFKMEKVDTTLFTKKLGNDLFVLQIYVDDIIFGSTNQDFCEEFGNMMAKDFKMSMIGELSYFLGLQIKQMKNGTFISQGKYIKDMIKKFGLQDAKPMSTPMGTNNQLGVNASGNMVDQKQYRSMIGSLLYVTTSRPDVMFSVCKCARYQASPRESHLKATKRILRYLKGTHEVGLWFPKGSNFELIGYSDSDYGGCKIDRMSTSGTCQLLGRSLISWSSKKQNSVALSTAEAEYISAGSCCAQLLWMKATLNDFGIKFKNMSLFCDNESAIKMTQNLVQHSRTKHIDIRHHFIRDHQQNGDISIESIGTEDQLADIFTKPLDEKRFYKLKNELNILDFSNLR >Sspon.07G0029690-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7B:77625983:77627084:1 gene:Sspon.07G0029690-1B transcript:Sspon.07G0029690-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRNRDVVWEHGDNLAPGWRCKYCNVTRAGGGCTRLKQHLAGYGADTLHCSRVPPDVRAYFRRDLDRAKKTTADRARQRLAEEKAAAEGNHPLGDDEYEAQMREALNQSRAEYEYEQRVQHRGGNYHRGGGSAGGGGSQGGNPIDRLFRRAGSRRETPVEVEDYHVAAGGRRGMQQQRIDTGSWTQKGKNAKEAIGKAWGKFFHYVGIPGRNADNPYFVSAVRETQKWGEGIASPTGRDIDGKYLEQNEKDLKARYAKFQKEWPNFGVTLMCDSWTGPTKMSVINFLIYCNGVTWFHKSIDASGKSQDANYLYR >Sspon.07G0007660-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:35032:40775:-1 gene:Sspon.07G0007660-1A transcript:Sspon.07G0007660-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTSQMFGHGSISFGRFDLESLEWEKWSVFTNDKRHEEFGKFNGLVAKKKAYFEEYFKRIRELKALQQQNQQTELHLEYNGDGSDSSQAGEYESATAHGAPTESETLVDDSTKQTKAATTIEHGMECYGYHENGSLVNEISASTHSSPVGDLEQIGKQMRGSVSGKTDILVQDANSSQDDPMVPETMITPNKRTIEKDSRVGQASKIIPKTVKMISSNVSDHTNVSKGPCSGKPSVINQMAKPENILSLRRPREATIDLVGTAARSGITGLRRPSSAALQRPSTRERRPVTKDASRKHAEVTTPCRPSTSERRTAIRDSALKHGNNAIPCRPSTAHRRPIAKESATKQCNIATPRRPSTADRRPVTQDSTPKLSNIAAPHRPSTAHRRPISKESAPKHFNVASPHRSSTGQRHTSARDMASKHIVIATSCLPSVVKQCPITGEDAHKHADVVTLSRSSTADRRPIVRDVAPKHATLSLPRRPSTAERRPIARDVAPKNGLPHRPSTAERHPIARNVELKHAPAQRPSTAERRPVTRETVLKQTNVANSRLPLTPDRCLTKKSVISTPERPSTGGRCPITNGTTVWSRGMPNYSKGAMVTEVTPQKAITPRVVRSSKLENLSYAKETVELQVDRKQKSSPFNLPSRKMLTSNVRDGQGLEKFKKPNKEVRSFFSFRAAGPLH >Sspon.08G0026890-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:36021905:36024372:-1 gene:Sspon.08G0026890-1P transcript:Sspon.08G0026890-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AYSSRAYMHKHLQQFQVTRLVKQVTHKVTLAIGDGANDVGMLQEADIGVGISGAEGMQAVMASDVAVAQFRFLERLLLVHGHWCYRRISVMICYFFYKNVTFGVTIFLYEAFASFSGKPAYNDWFLSLYNVFFTSLPVIALGVFDQDVSARLCIQYPQLYQEGVQNILFSWRRILGWMLNGVMNAVLIFFFCITAFEDQAFRQDGQVAGLDALGVVMYTCVVWVVNCQMALSVNYFTIIQHIFIWGSIAVWYLFLLVYGAINPRFSTTAYMVFIEQLAPALSFWLVTLFVVMATLVPYFSYAAIQIRFFPMFHNKIQWKRYLGKAEDPEVARQLSSRHRTSSQQRMVGISARRDGKAMQITRETELEVQE >Sspon.03G0020520-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:86361033:86365693:-1 gene:Sspon.03G0020520-2B transcript:Sspon.03G0020520-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRGSSDRLEALSLEIERKLQKVDDRARDAIMSENDDGIAPVDEREDGWLCFYEILANHFVKVPESGRRMLELIVQLWSQSFASNIFALLFHKWLFEAPLDEKEISLRYSSALVQGATNYLLEDVALVPDRLTKISLQAGRDLFLLLSRFMFFYDQDPLLSSFLEHFPTFPNSFLVGGPADYFVIELTDLLQKLKIEPVLLHYLCRMSILQGMELRLSTSTRLKACLYSFTSPGGPMYPTRAVRHAAWNTLDLLFPVNWSLPKACDQPVLPAAVSVVLAFLLLELHNDLCHDCLLLHPKPAGIKLGETEVAQSPENAW >Sspon.06G0009490-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:50823206:50826484:1 gene:Sspon.06G0009490-1A transcript:Sspon.06G0009490-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRRAGEEEDAHPSPDRLSALPDALLHHVMSSLKAWEVVRTCVLARRWRHLWASAPCVDLRLGPGRADGDTAPEEFARFARRLFRRRDASAPVDTLRLQSSDVDGAFDEDDAKSWIRTAVKRKARVVHLIGHRDDLAALEHVAFVSRHLRILKLSYARLDDNLLTQLSSRCPSLEEMDLKDCLISGSEISSSSLKTLVMVKCNMFWGLTITAPNLVLLRCVRPIGQAPSFNNLGSLVAGTIILDDYCFSDDFEDFSKDELDETTDDDSDDGKKWRPKTGAGYGFGLSQKRHRSVGYKDANDYGSDIESDDNTYEYSEIASDFDGSGYDDVGDSSRKDGNLQACGETSGCSDATSKISGGHNIIHSLSNATSLELLADAGEVILTRELKSCPSFINLKTLSLGEWSMAADFDPLLLFLQRSPNLEKLFLELKLFNARRPKEIGVKPNGRSFACKHLRMVKIKCSKDDVRVHKLARLFKANGVSVEKIFVRRTGSTYLRGKKTMKDLARHELKICDVGNDLFSSFRGNG >Sspon.06G0026340-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:76079953:76085330:-1 gene:Sspon.06G0026340-3D transcript:Sspon.06G0026340-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGEQAARWAAAQEGVPVGADLVAAALRQLQFLAAVDRRRWLYDEGPLLDRAIRRYRACWLPLLDKHTKAAVVDGPLVVPLDCEWIWHCHRLNPVQYIRDCKKVYGRILNNNNVESSTQTKSTLQSEKIWKELYPEEPFELVFTRTSDVAMDVNPGAAEDITYDLVSAVKRQSSFYYQVGTPTMHDPRFLQEALARYKAFLYLIKINQENGLQRFRVPTYDVDLLWHTHQLHPVTYRNGMVKLLGKVLEHDDTDADRSEGKKLDVGFTETTEQFESTFGVRYWKAGCMYRGNMPSPVTSTPQIFSTEVGTGSDICKAQKDLNALDITAIELYLQIVDIKNLPSAVRKENVYVRFSKNQSDMFISDGGKLDISTVTGKNTGVCLQCEPTGELILVVMVSKKPEPIGKVSFPLHDLIGPDSKLSFEKWFELKAHGGHATPPPVSLRVAASATVPSSFQKVFSMVRTEPFSLKSCLLPHSIKDQNMSSWTRFVYDCGTELIRLQIREHKAKNGMASVRELVGVLKSPKKQFQLAEFKENKWTLKDSNLSISHGTDCSLLDLKGDNQLIKLYRGRKLEYQRKCCSAHSEDVSAVTAVKFCAEHPYGKAVALLDTESQLIMVNEDWFLLPWIMTSVLFMDADDKDSVKLMAGAVVQKDAVFGSDTSMVLETQTIGAGSVATAPAQCGTCSTAFSGDKAMAASKAEHASSGASRTVVASGENGHTESAAGCGSGCGGSCGPMVAEDSKADNAKSGGCGSGCGGGCGGGGGCGTRTMLKASTMASEGQPRSGGCGGGCGSGCGGGCGSGMVIEGSKTNTAKSGGCGSGCGGGCGTLFNASTAAGQGLPNKSAGCGSMCGGGGGCGSGMATEGSKTASHAKSGGCGSGCGSGCGGGCGTLFSSSTAADQGQSRSGGSCGSGCGGGGCGSGSMVAEGSNGRHAKSGGCGSGCGGGCGGGGGCGTIFNANTKAGAGEGQTSVSGGCSSGCGGGGCGGGCGTVFKA >Sspon.08G0003370-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:5756794:5765184:-1 gene:Sspon.08G0003370-2B transcript:Sspon.08G0003370-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Synaptotagmin-3 [Source:Projected from Arabidopsis thaliana (AT5G04220) UniProtKB/Swiss-Prot;Acc:Q7XA06] MGLVGGVLGFCLGLPIGLAAAYFVYLRYFAAARRLQLQDPVIKPLRDLDSETLQGTIPDIPLWVKSPDYERVDWMNKFIFDMWPFLDKAICNSIKRATRPIFDQYVGQYGIESIEFGQLTLGTLPPTFQGIKVYEMLEKELVIEPVIRWASTANVTVNAKVHSFKVTVQLEDLHIMLTPRVTLKSLVPSFPCFANLCVSLMEKPRIDFGFKLLGGDVMAIPVLYQYVQDQISKQISILYHWPKVIQIPILDGASGATKKPVGILHVKVIRALNLLKMDFLGKSDPYVKMRLSGERLPWKKTSVKMSNLNPEWNEHFRFIVKDPDTQVLELHMFDWEKVKMHDKLGMQVIPLRLLTPYESKLFTLDLVRSMNPNDPQNKKNRGKLIVELTFDPFREDNMASDGEGNASIRREADGESSGGVLLVSVENAEDVEGKRHTNPYAEVLFRGERKKTKVIRKTRDPRWSEEFQFMVDEPPVEDKIYIEESLGHVNINLVDVVNNGRINEKYHLINSRNGMIHVEIKWSTV >Sspon.07G0008960-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7A:24994089:24994670:1 gene:Sspon.07G0008960-1A transcript:Sspon.07G0008960-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MYAGGESRPNGGGRGGGDALQRRQQPGAAAASSSSSSGRGAGEEEGEAHGRGQQRQARRKKQQQGRREAVARAIRGGLPSCWGGGVSVVQEAAASGGRRWNRRERTTAADRDAAAGADDGSEPGASAGTATAAPAWCCVCPGGDCSLEPNPSANGKEDVGARSLLEHNDFFSADCNPHADVLPAGADTAVAYES >Sspon.06G0009490-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:40676384:40678972:1 gene:Sspon.06G0009490-2B transcript:Sspon.06G0009490-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding QSSSSPHLLVFGENLGPPPARRNAPAVATAPAPCGRGGRCASIARPPQRAPRRAPAPRDVVAQGVGGGAHLRPRAAVAPPLGVRALRRPPPPPRPPDGDTAPEEFARFARRLFRRRDASAPVDTLRLQSSDVDGAFDEDDAKSWIRTAVKRKARVVHLIGHRDDLAALEHVAFVSRHLRILKLSYARLDDNLLTQLSSRCPSLEEMDLKDCLISGSEISSSSLKTLAMVKCNMFWGLTITAPNLVLLRCVKPIGQAPSFNNLGSLVAGTIILDDYCFSDDFEDFSKDELDETTDDDSDDGKKWRPKTGAGYGFGLSQKRHRSVGYKDANDYGSDIESDDNTYEYSEIASDFDGPGYDDVGHSSRKNGNLQAYGETSGCSDATSKISGGHNIIHSLSNATSLELLADAGEVILTRELKSCPSFINLKTLSLGEWSMAADFDPLLLFLQRSPNLEKLFLELKLFNVRKPMEIGVKPNGRSFACKHLRMVKIKCSKDDVRVHKLARLFKANGVSVQKIFVRRTGST >Sspon.08G0020620-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:23652245:23652421:1 gene:Sspon.08G0020620-1B transcript:Sspon.08G0020620-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFDVPSAERQRQRDVRQTARAASVRPCGGIDLRHSFITDWPVRLGSFAGLLLLHLTLV >Sspon.07G0017290-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7B:66830286:66832207:1 gene:Sspon.07G0017290-2B transcript:Sspon.07G0017290-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ALRRCDFQISPTGREPPQRSGTSKMSYMRGDLLTKMRKLVKGLARPEPRWLKAMEEAPPVTFPRPDGKIKKIEFPEDVYVRKFNKKHPDSLYHDAIKISGFDPPPARVFAWRVLELKEQGVNEDDAMAVADMEYRTQKKAKKKAYKELKEIARSEGKKPPPNPYPSAIKEIQAEEKKYVMDRLYNPKVIEIANKMKEERDKLRQDRAAGQW >Sspon.05G0011840-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:34548730:34552113:-1 gene:Sspon.05G0011840-1A transcript:Sspon.05G0011840-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cell-wall invertase, Carbon partitioning during early grain fillin [Source: Projected from Oryza sativa (Os04g0413500)] MRALGGRLAWAWLAAVLLLMLVQLAGASHVVYEEEKLEVMEAAEVPPSIVHPLLRTGYHFQPPKNWINDTPFYYKGWYHFFYQYNPKGAVWGNIVWAHSVSRDLINWVALEPAIEPSIPSDKYGCWSGSATTMPDGTPVIMYTGIDRPNTNYQVQNVAYPRNKSDPLLREWAKPSYNPIIVPEGGINATQFRDPTTAWRTADDGDGHWRLLIGSVTSTGATAARGVAYVYRSRDFRQWTRVRRPLHSAPTGMWECPDFYPVSTDGRRVGLETSVSSGPRVKHVLKNSLDLRRYDYYTVGMYDRKAERYVPDDPAGDEHRLRYDYGNFYASKTFYDPAKRRRILWGWANESDTAADDVAKGWAGIQAIPRTVWLDPSGKQLLQWPVEEVEALRGKSVTLKDRVIRPGQHVEVTGIQTAQGGVGPFGLWVLASANLKERTAVFFRVFKAASSNKPVVLMWTDPTKSSLNPDLYRPTFAGFVDTDITNGKISLRSLVCVGVQANNCGDHFFLQIDRSVVESFGAGGKTCILSRVYPSLAIGKNARLYAFNNGKADVRVSRLTAWEMKKPLMNGA >Sspon.01G0002990-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:8058887:8064170:-1 gene:Sspon.01G0002990-1A transcript:Sspon.01G0002990-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ras-related protein RABF1 [Source:Projected from Arabidopsis thaliana (AT3G54840) UniProtKB/Swiss-Prot;Acc:Q9CB01] MGCSSSVPARSTGGLNTISNNSSPATDSKDLRAKLVLLGDSGVGKSCIVLRFVRGQFDPTSKVTVGASFLSQTLALEDSTIVKFEIWDTAGQERYAALAPLYYRGAAAAIVVYDITSPESFSKAQYWVKADLHDNRSVSSQDAQDYAEKNNMFFIETSAKTADNINQLFEGILI >Sspon.01G0044020-2D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1D:71459217:71459696:1 gene:Sspon.01G0044020-2D transcript:Sspon.01G0044020-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKITAMAAAVAVIALVLLPVGGRGEERPTAAHPHGLPFESPLALSPAAYDFFHPSSARTRRAHPGVAPAPAVAPRGQQQQLRESAVRGTSSAGVAKADQEEGTAVAPVETHRRHNHTVTGAFVGAAAAALVAIGVAYAVVRRRVVAARGGAAAGAPKST >Sspon.04G0004720-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4B:9147822:9149744:-1 gene:Sspon.04G0004720-2B transcript:Sspon.04G0004720-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding QAIVKVCPELFVMVEGWVFSALLVAFLAFATPCESFYLPGSYMHTYQKGEVIGAKVNSLTSIETELPFSYYSLPYCHPKDGVKKSAENLGELLMGDQIDNSPYLFHVNVNESLYLCTTNPLDEADVKLLKQRSRDLYQVNMILDNLPVRRFTEQNGMTIQWTGYPVGYIPEGTSDVYIINHLKFKVLVHKYEGGKVKVVGTGEGMEFISEADSDANSGFEIVGFEVVPCSVKRDPEAMSKFNMYDKVDPVNCPVELEKSQLVREKEKITFTYEVEFVNSDIRWPSRWDAYLKMEGSKIHWFSIMNSLMVILFLAGIVFVIFLRTVRRDLTRYEELDKEAQAQMNEELSGWKLVVGDVFREPTSSKLLCVMIGDGVQILGMAIVTIFFAAFGFMSPASRGMLLTGMIVLYMLLGIVAGYAAVRLWRTLKGTSEGWRSVSWSTACFFPGIVFIVLTVLNFMLWTRNSTGALPISLFFGLLSLWFCVSVPLTLLGGFFGTRAEPIEFPVRTNQIPREIPTKKYSLLFILGAGTLPFGTLFIELFFILSSIWLGRFYYVFGFLLVVLLLLIVVCAEVSVVLTYMHLCAEDWRWWWKAFFASGTVALYVFLYSINYLVFDLRSLSGPVSAMLYIGYSFIVSLPLC >Sspon.05G0033950-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:46335328:46336958:1 gene:Sspon.05G0033950-1C transcript:Sspon.05G0033950-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFEGTYSELLSEDTPIDLIPVSAPSQSKPPKRTANFTQDEDEQLCISWESVSTDPIIGNEQPSKAYWTRITEHFHANRTFESDRTKNSLEHRWGIIQKECQKFQGIFEDVERRHPSGVPYQEHLFEAQKNYGGKKKGFPFLHCWLKVRNSAKFQALNPKKRKVAEANKKKTSNATASNPINLDEVEAGEGMGSPQTPDSSQMAQRPIGRKRAKEQLKNKGGDDGSYKKVVQELLVEKEEKKMKDLRWQEAKAMQERRISIEEKQLMWEQEQKIMFCDVNTLDIDQKNYVLAMRAQITAQKMAEFSQSIGGSSGGSRD >Sspon.01G0019100-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1C:72345773:72346479:1 gene:Sspon.01G0019100-2C transcript:Sspon.01G0019100-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALIDPGRGGGGRARASGTGSGAGPAKPRLVMIVADPGRESTAAMEWALSHAIVEGDDILLLHVNMPYPHNGAAGGAAGPSRSSSGGSTGSPIAALLGGGSGAGADPVDFVEAMRAACKTRYPRARVHGERVEPATEGREAKAQTILAESQRRGVEVLVIGQRRVSSSFLGLRSPSGSSRGHDTTAEFLIEHS >Sspon.04G0000370-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:1330806:1331618:1 gene:Sspon.04G0000370-2B transcript:Sspon.04G0000370-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VPGHLGLPEQPSGSSGPKTEPPAVKDPEPSPAGGAPGDHADETNESGGGEPREGAVVAAPNRRPRGRPPGSKNKPKPPIFVTRDSPNALRSHVMEVAGGADVADAIAQFSRRRQRGVCVLSGAGTVANVALRQPSAPTAVVALHGRFEILSLTGTFLPGPAPPGSTGLTVYLAGGQGQVVGGSVVGTLIAAGPVMVIASTFANATYERLPLEEEEEGPAPPIGPGGADPLMGGGHGIADPSALPMFNLPPSNGQLGGGDGFPTWAHPRPPY >Sspon.06G0010690-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:45788386:45792651:1 gene:Sspon.06G0010690-2B transcript:Sspon.06G0010690-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGHCPGGGASASLAPSFNGFRAMIWPATVNCTSRIGISFIQTTKTGPFRAGDRATGGICYASQAVELMPALCPEIVVRDARLEDCWEVADTHCSSFFPGYKFPLDLVLRIDRYIALLSGFSVPPGCMKTCLVAVNSNSVNNSFTIECGDTTDAASFQEYNLSRGSIAGILTLDTVADYLPRRGPLKQRRTGIAYIANVAVRKEERRKGVAKMLVQEAEARARSWGCRSMALHCDVNNIAALRLYKNQGFKCIRVPEGAKWPEPKIGKGVQYSFMMKLVPKA >Sspon.08G0016100-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:60642116:60645029:-1 gene:Sspon.08G0016100-1P transcript:Sspon.08G0016100-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNAFFLFCGCVDQASVALVEKWGRFLRLADPGLHFFNPFAGECVAGALTTRVQSLDVRVETKTKDNVFVQLICTIQYRVVKENADDAFYELQNPQQQIQSYVFDVVRAIVPRINLDDLFEQKNDVAKAVLEELEKVMAAYGYSIEHILMVDIIPDAAVRKAMNEINAAQRLQLASVYKGEAEKILMVKKAEAEAEAKYLSGVGIAKQRQAITDGLRENILNFSHSVSGTSAKEVMDLIMVTQYFDTIKELGDGSKNTTIFIPHGPGHVKDISEQIRDGMMQASSSNV >Sspon.08G0006130-3P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:66941737:66948272:1 gene:Sspon.08G0006130-3P transcript:Sspon.08G0006130-3P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPSSGPPGGGPPEPGRHDAPMLLRVHVIEARGLPAIYLNGSSDPYVRLQLGRRRPRATTVVKRSLSPVWDEEFGFLVGDVAEELVVSVLNEDRFFGAEFLGRVRVPLTAIMETDDLSLGTRWYQLQPRSGAAKFRKKRRGEICLRVYLSVRATLCEDAHQAPPQLIDDISCSSHRSIETNDSSLSATASSLDLSACTSIDRACLKSLDGFNQSIMEARGPRSTGPPSCVSTEQSILLEPEEDDGSAIADTSSVVEVMSRYFRKTADVTHSVALDPVSTDQFRNTQMNSECRENGEGCTLPEVSLDELMKNMESKDQACEMPANLPGGVLVDQSYIIAPAELNSLLFSGTSDFWPEVSELQGTSGFQIEPWKHDNSENCLKRTITYTKAASKLVKSVKATEEQKYLKATGSSFAVLSSVSTPDVPCGNCFKVEILYRIISGSQLPLEEQITQLTVSWRLNFVQSTMLKGMIENGAKQGLAEGYSHFSEVLSRKIKVAELDDANSKDKILASLQTQKESNWKLVARFLGSFAFICSLSTALYIITHLHLAKPNVVHGGLEYFGIDLPDSIGEIVFCLILIIQGHNIIKVGRRFLQAWKQRGSDHGVKAHGDGWLLTIALIEGSGVVSAGTPGFPDPYVVFTCNGKRKTSSVKYQTSEPKWNEIFEFDAMDDPPARLDVVVHDSDGPSNETPIGQTEVNFVKNKLSDLGDMWLPLDGRFPQGHQPKLHLRIFLNNSRGTEVVMNYLEKMGKEVGKKMQLRSSQTNSAFRKLFSLPPEEFLIDDFTCHLKRKMPLQGRLFLSPRITGFYSNIFGRKTKFFFLWEDIDDIQVVPPKLATVGSPSLMIILCKDRGLEARHGAKALDPQGRLKFHFQTFVSFNDAHRIIMAIWKMRSPGLEQKGEMIDKEPELKENPYEEGSLLANEDVKMSEVYSAVLSVDVSALMEMFSGGPLEHKVMERAGCVDYSATEWELLNRNVYQRRISFRFDKSLSRYGGEATTTQQKYNLPNQNDWIVEEVMTLQGVQNEDYSSIQLKYHMTSTPLRPNSCSIKVLLGIAWLKGTKHQKKAAKNVMMNSANRLREIFSEVEKEVTSRKGAKMKESMYRVTA >Sspon.05G0007090-1T-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5A:22200091:22204090:1 gene:Sspon.05G0007090-1T transcript:Sspon.05G0007090-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPSMICAVLQPVCGFINEAGVPAATARGVSSFACIKRNLGDLIKAMEDLQAVEKVVRGQVTLETNNLNECHPQVSLWLTRVLRVLVDPIVQESDQLFQSSCLCSSFLSLRKRYRLGKRVAEMLEDVDRLIREGKQFDTFASKRLPDSVEERPWTKTFGIEPVLKDLGKFCDSINVSIIGVCGPGGVGKTTLLNTFNNELKACGRDYQVVIMIEVSNSKTLNKAAIQSTITDRLGLPWDDRQTEEARARFLMKALRRKKFVILLDDVWNKFQLEDVGIPTPDSESKSKVILTSRYMDVCYQMGAQQSLIKMEYLEKEAAWELFRSNLSTQAIAAIDSSGPNNAVKEHADAIVQSCGGLPLALKVIASAVAGLTTPSEWSLAMQATKHDIKDIDGIPEMFHKLKYSYDKLTQTQQQCFLYCTLFPEYGSISKDQLVEYWMAEELIPQDPNRGHRIINRLLSACLLESCGSDLEVKMHHIIRHLGLSLAVQQKIVVKAGMNLEKAPPHREWRTARRISLMYNDIRDLVISPECKDLVTLLVQNNPNLDKLSPTFFQSMCSLKVLDLSHTRITALPLCSTLAKLKFLNLSHTFIERLPEEFWMLKKLRHLDLSVTKALKETLDNCSKLYKLRVLNLFRSNYGIRDVNDLNIDSLRELEFLGITIYAEDVLKKLTNTHPLAKSTQRLSLKHCEQMQSIQISDFTHMVQLRELYVESCLDLIQLIADPDKGKASCLQILTLAKLPSLQTILVGSSPHHFRNLLEITISHCHKLHDITWVLKLDALEKLSICHCNELEQVVQETINKVDNRRGGIEHSIVQRSGIINGFSEEQEIHCMVEDACNEHVKGYQNKTENERIKGVHHVDFPKLKAMVLTDLPKLTAICNPRDFPCLEIIRVERCPRLTALPLGQMSECPKLKQICGSYDWWKKLEWNGKETIENKYFIPIKDED >Sspon.05G0008480-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:25516479:25521433:1 gene:Sspon.05G0008480-1A transcript:Sspon.05G0008480-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEDGAMSPTRMLAEGHLRVATGGGTPADGGVAVRHLPHHHSTKKDGVGGKTEQDNHEGVDSLPSQELKKLANGNSKIPGTLNDYRKLVVPIIEEYFSTGDVELASSELKGLGSDQFQHYFVKKLISMAMDRHDKEKEMASILLSSLYADLLSSYTISEGFMMLLESTEDLTVDIPDATDVLAVFIARAIVDEILPPVFLTRARALLPEFSKGIQVLQVVEKSYLSAPHHAEVVERKWGGSTHFTVEEAKRRIQNILREYIESGDIDEAFRCIRELSLPFFHHEVVKRALTFGMENISSQPLILKLLKEAAAGCLISPNQISKGFSRLAEGVDDLSLDIPSAKALFDKLVSTAISEGWLDASFGKSAAPDEEMQNTSAVKVKRFKEESGHIIHEYFLSDDVPELIRSLEELSAPEFNPIFLKKLVTLAMDRKSREKEMASVLLSSLRLELFSTEDIMKGFVMLLQSAEDTALDIVDAPSELALFLARAVIDEVLIPLNLDEISIKLRPNSSGSQTVQMARALLSARHSGERILRCWGGGTGWAVEDAKDKITKLLEEYNTGGDLGEACRCIRDLGMPFFNHEVVKKALVMAMEKHSDTSILALLQECFGEGLITINQMTKGFARVKEGLDDLILDIPNAQEKFGEYVELATERGWLLPTFASIT >Sspon.05G0016600-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:63420405:63425209:-1 gene:Sspon.05G0016600-3C transcript:Sspon.05G0016600-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSDQKSDVPGGYFVGRPTNHAAEQTEQPPHAGGEQNPATAQTPGDYFVGRPGSHHKQQQEAVPQQATKQSTPSFLAKWFSRNH >Sspon.05G0021380-4D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7D:81666965:81668894:-1 gene:Sspon.05G0021380-4D transcript:Sspon.05G0021380-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVLYTLIIFSHRICQKKRRVTYNCWDASGLGHRSRHGNLHERDFRGRLLRRLPAEKTRQGLVPGVVDQHRGLEDRFVGRAFLEGVVLRGRKASLLRVLLQPALEHVAVL >Sspon.05G0023170-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:1579545:1587225:1 gene:Sspon.05G0023170-2C transcript:Sspon.05G0023170-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SS3 [Source:Projected from Arabidopsis thaliana (AT1G11720) UniProtKB/TrEMBL;Acc:A0A178W4M9] MEMNLRAQSPLCSRGRPALVVRPAAAATGLTLSVIRCSRFTRGELVRCMVSSSDYPKRNPRRTSTSKSKGVASGGYASRPTAESSTKKIQQSSNDEGDFSRTNGSLYGEATEQASTAEESSQVYLPGDNSSSAERDEAGIEEEADQIQSSALSSASADDDSIDRKLDEYRGKISALVSSKPEPSSLASVAGQSESVGGVHGQEEPVTGSEDHDSSTVDAHIKGRPFTEAVVGYKDFTESAAGKATRENEEEQVVSLEDVVGLSTDAEEELPVSEDDPEVLLRRLQELADENDSIGNNCFVFPEVVKADSVIDIYLNRSMSALASESNVFVKGAFNGWRWNAFTETMYKGELRGDWWCCKLYIPKQAYRLDFVFFNGDTVYENNNHNDFFLEIESDIDEQSFEDFLVEEKQKELERLAAEEAERKRQAEEERRRVEERAVMEADRAQAKSEVEMKKEKLRQMLSSASKYADNLWYIEPNTYRGGDRVRLYYHRSSRPLMHNTEIWMHGGYNNWIDGLSIAERLVKSHEKEGDWWYAEVKLPERALVLDWVFADGPPGNARNYDNNGRQDFHAIVPNNISISDDIFWVEEEHRIFARLQQERREREGAERIKAERSAKLKAEMKEKTIRAFLLSQKHIVYTEPLEVRAGTTVDVLYNPSNTVLNGKPEFGSERSLTTTEDGKSRERFTLTNNRVPLDAYMMDFVFSESEEGGIYDNRNGMDYHIPVSDSVAREPPMHIVHIAVEMAPIAKVGGLGDVVTSLSRAVQDLGHKVEVILPKYDCLNLSSVKDLHYQQSFTWGGTEIKVWFGKVEDLPVYFLEPQNGMFWVGCVYGRNDESRFGFFCHSALEFLLQKGSSPDIIHCHDWTSAPVAWLYKEQYALNGLGNGRIVFTIHNLEFGAHHIGKAMAHCDKATTVSDTYSKEVAGHGAIAPHYYKFYGIRNGIDPDIWDPYTDNFIPVHYTSENVVEGKSAAKKALQQMLGLQQIDTPVVGIITRLTVQKGIHLIKHAIYRALERNGQVVLLGSAPDPRIQGDFTNLASKLHGEYHGRVKLCLTYDEPLSHLIYAGADFILVPSIFEPCGLTQLIAMRYGSIPIVRKTGGLYDTVFDVDNDKDRAQAQGLEPNGFSFEGADSSAITTFYDARDWFNSLCKRVMEQDWSWNRPALDYMELYHSARKN >Sspon.02G0024200-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:79213313:79217975:-1 gene:Sspon.02G0024200-4D transcript:Sspon.02G0024200-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hyperosmolality-gated Ca2+ permeable channel 3.1 [Source:Projected from Arabidopsis thaliana (AT1G30360) UniProtKB/TrEMBL;Acc:A0A097NUQ9] MDLSSFITSVLTSFVIFVALVLVFTWLSRRPGNAPVYYPNLLLRGLDPWEGRGRGTRSPVGWIRDAISASEPDVVTAGGVDAAVYLVFLSSVLSILVYSGIVLLPVLLPVAATGRALVVIPPNRKNSSQSTQDFSPLERLGVGNVPEGSMRLWAFLLSVYWVSFVTYFVLWKSYKHVSNLRATARSTPDVKPEEFAVLVRDIPRPPPDETIKDFVDSYFRALHPNTFYRSMVVTDHTKADKIYQEIEGHKQKIARAEAVYANSKTESNPEGTKPTHRIGFLGLIGKKVDTIEYCSEQIKELLPKLEAEQKTTLHEKQQRAAIVVFNSRSAAASASQTLHAQVYDKWTVMEAPEPCQILWPNLPRNLYERQIRQSVVYVIVFLIVFFYMVPIAAIAAVTTLENLEKKLPFLKVVVEQPAIKTVLEAYLPQIALIVFLALLPTLLMFLSKQEGIPSQSHAVRAASGKYFYFIVFNVFLVIHLEAHCSNL >Sspon.01G0011170-1P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1A:31005600:31006784:-1 gene:Sspon.01G0011170-1P transcript:Sspon.01G0011170-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFGGNGNATIRCKYCSACLTVIPGERAIQCAQCNGETRIRRADRIPLPRPAHVPAAFQRARGKKRAVLIGITYAGMRRGCGELRGPVNDVKCMRNLLCQRFGFPSECIIMLTDDQRDPFRLPTKENIRMAMHWLVQGCSYGDSLVFHFSGLGAQVADDDGDEADGYDEAICPLDAFQRGPILDDEINEAIVRPLVHGVRLHAVVDACYSATVLDLPYLCHMSRNGFWQWEDESPPSGAWKGTSGGHAVLISGYSDGKNNFAMVSQGSGRIIIIHAHIHTSLNFFLFGHRSLPYIYACCLDDDAQMPDAYASVGAMTHSFIRALECEPRGVTYGRLLTSMRAIMKNRGGGYDLQGPIGAPIHTVANFSG >Sspon.02G0013910-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:36860967:36864505:-1 gene:Sspon.02G0013910-1A transcript:Sspon.02G0013910-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSRSGVEVVASRGCARLVIPGMHHNPSSAASVSSSSAASHGAAAGAGAAAAGAARADGPFAGLVICVTGLSKEARVQVKEATERLGGEYSGSLHPKCTHLVHSFAGRKFEHALKHGPRNGLFLVTLGWFVDCVRRNMRLDESLYAIKSIGENGVPLGEFNRLVGVPVNEKSCLPPLIFQDKACSDVTRKHSLQTPGKEGGHDGLVFMNDIIYIDLGISDEMRKKISDAATREGAKLLEHWFIGCPATYVVCEDACVKRYVGHSDNIVTPLWILKTVKEKNLQRLVHLSSDLARHVAMVLENVRTSEENRKLGRVPSINASSCGRPSTQEEIDEVHQERQKFVEVAKKEVRDRRARRMQSCEVPIHPITPATLLDSICWTISEPTSSASIYMDSSWSDDANEQQSTTYFNANGDVRDPDQPTDNFSRPLKESEQSELIFKNHFLTILFPIDRFGELGPSSKTFYNNGGFTCIQVLDHIYNFYQENMSTNEIDMALHTDSRHADRLRSLYSSAESAEKGLVAFKRVDFLGSRRSFEALKRINRENNSNVYEL >Sspon.04G0018770-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:70483749:70497731:-1 gene:Sspon.04G0018770-2B transcript:Sspon.04G0018770-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSAAPPASPSSRSHGNAAGAGSSATAMMLPGPPGRGNGGCIDLSPTGLLAHGAGSSVVVSDPRSMQLLCVLPMPSSSLASFVTAVRWAPPATPSLDDDDDDRRPLRLAAGTATAASPSGTPARGPVAPGSGGGVQDLCWVHHGSGWLLASIHGPSLLCIWETSNTPRVLWMFDAAPEYLSCLRRDPFDARHLCAIGLRGFLLSAFPRQDSDISLQEHRVNCGAGDVADLQRLEKEISAPAPAPALAAFPLFASRMCFSPLWRHILFVTFPRELIVFDLSYSTALSGVWQVLGVMADPDLDMLYCTHIDGKLSIWRRKEGEQVHLLCAVEELMPSIGTVVPSPAVLAATIWQSESIFRNIDKQCQDLAQTYSFMTDTNSDQNACHGTMTYLTSISEDGKIWSWLLKFDKSSLPNKANLGGGNNPAPAVPLVALGTQNGTIEVVDVVANAVSVSFSVHSSTVRGLRWLGNSRLVSFSYNQVNDKTGGYNNKLVITCLRSGLNRSFRVLQKPERAPIRALRASSSGRYLLILFRDAPVEVWAMTKNPMMLRSLALPFTVLEWTLPAAPRPGQNASSKQSSTSKERSAEASGAENSDETCESFAFALVNGALGVFEVHGRRIRDFRPKWPSSSFASSDGLVTAMAYRLPHVVMGDRSGNIRWWDVTTGLSSSFSTHREGIRRIKFSPVVHGDRSRGRIAVLFYDNTFSIFDLDSPDPLANALLQPQSPGTLVLELDWLSTRTRKDEPLMLCIAGADSSFRLIEVNIDTKGSSISKPVATKERFRPMPFCLPVLFPTAHALALRMILQLGVKPSWFECNNNDKLAGDSFKVAPAFGDLRSYMIETTLPPIGDVVVAELLLKVLEPYRKEGCILDDGRARLYSAIVNKGACARFAFAAAIFGDFQEALFWLQLPQALRHFLDKSTSRSREKISQSSLHPDSEQGSTFNRITSRERSVSGKFTKNAANCGQLSSMAFKQEQLWFNANERIPWHDKLDGEEALQKRVHELVSLGNLEAAVSLLLSTPPEGSNFYPNALRAVVLSSAVSQSLHELAVKVVAANMVRTDKSLSGTHLLCAVGRYQEACSQLQDAGCWNDAATLAASHLQGSDYARVLQRWADYVLRGEHNMWRALILYVAAGALPEALDTLRKNQYPDIAAMFLLACHETYSQLLSESEAEDDTSGLALTPEQTEKLRFPSKNVADEDLIAVSEVFGQYQQKLVHLCMDAEPTAD >Sspon.05G0018330-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:75979535:75984473:1 gene:Sspon.05G0018330-2C transcript:Sspon.05G0018330-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTAAVPLNRRTRSRPPSVGSSRRSDDPTAAAAGNGNGKVSTKPASPDHASGERTVKKLRLSKALTIPEGTTVSEACRRMAARRVDAVLLTDAGGLLSGIVTDKDIATRVIAEGLRVEQTIISKIMTRNPLYVTGDTPAIEALQKMVQGKFRHLPVVENGEVIAMLDIAKCLYDAISRLEKAAEQGSALAAAVEGVERQLGGNFSGPHNLIETLRERMFKPSLSTIITENTKVATVSLSDPVCIATRKMRELRINSVIVTAGNSLHGIFTSKDVLMRVVAQNLSPELTLVEKVEQGPGASNDVTNTIMQKFWDSALALEPPDEDFDSHSELSLVMPSDARDSRSSIYPPAVDNSFVFKLQDKKGRSDSLDELMSSVIQRLGMGDEKSVIQLLYEDDEGDKVLLTTNSDLTGAVLYAKSSGLKALRLHIDDSDSSNEVTQPLPELVSSSHGSQSMHIHYGLMACVIALTGVAVMVYLRHSKA >Sspon.05G0025320-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5B:23224938:23228371:1 gene:Sspon.05G0025320-1B transcript:Sspon.05G0025320-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTPLPLLLPCTLCFLTIELNKDDIKLSHTTCKETDGEPPNQIFIQIVRTTIGGPTYRARSGSRPTSPLAGTPTITQPRRPGVATGGLPSGYGVGPRLKTTRITLLVELLGPRAVPPRAGGPAPPPPIRRVPRALWPTKREKEEEVGGRRRRRRKKKEEGGGGRGEGGGGGRGGEEGEEGGGASAVGPSYPISGATFSTCPSHRRPCRHRIGYEHAQGEGPVRAKLEYLGDPDCLRRHCRSACTTSPHHCTDASWPR >Sspon.02G0003120-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2A:10215773:10216270:1 gene:Sspon.02G0003120-1A transcript:Sspon.02G0003120-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDRRRDKEKPRDRDREKDRDIDRHRDRDRDRDRDRDRDRDRERDRRRERERKRSRSRSPSADRDRSHRRHSHSHRGRSSPSPDAGRHKRRREASPATADHHHKEDKKAADSHATPKGGGDPAAAAAAVGDGDVDAEELEMMKMMGIPVGFDSTKGKHVPDADVSG >Sspon.07G0026170-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7B:52142159:52143063:1 gene:Sspon.07G0026170-1B transcript:Sspon.07G0026170-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSEVLPSSGAGEGSSSAPATVRDLTVDEHVRECSVCFFPLKPPIFQKLKDARKCHLCDVAMADGYQRCHAMEDVMDSIRGPCPHAPYGCDTTPVYHAREEHILECPHGDGCSFVGSVTTLVEHLKAAHSWPCTAEAYPGHKFDVKLCDGFNFLTAVRGSTKYLLLLNMASTPPFGRAISVVRLGSLAALGSKSLDPATRNTRSNLRLLIQNYLHNPRGEYYQSSNKFQLELNAPVLRVGYQIPTPPSNSSFRSIFVD >Sspon.03G0012870-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:52787523:52790015:1 gene:Sspon.03G0012870-3C transcript:Sspon.03G0012870-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAKTLARAGSSLFGRILATPSPSLSLSVLRAGLPLARLQPHVPPPAPAGVDAYEAETIARLNSLPGEISFPCGLPSLRFFIDDVEDPVANDPLQLLPKRTYQPSTIKRKRTHGFLTRKSTKGGRKVIARRIAKGRHRISV >Sspon.08G0007070-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:22035497:22040860:1 gene:Sspon.08G0007070-1A transcript:Sspon.08G0007070-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLVAALPAGGAAVAAAVGGLVAAAALAGKAGLAGPKKHLNAPPAVPGLPMIGNLHQLKEKKPHQTFTKWAEIYGPIYTIRTGASSVVVLNSAQVAKEAMVAKFSSISTRKLSKALSVLTHDKTMVATSDYGDFHKMVKRYIMTSMLGTSGQKQFRDTRNMMADNMLNTFHTLLTDDPNSPLNFREVFKNELFRLSLIQALGEDVSSVYVEEYGKVISKEEIYQATVADMMMCAIEVDWRDFFPYLSWIPNRSFETRVLTTDARRTTVMQALINQQKQRIARGETRISYLDFLLAENALTDEQLLMLVWEAVIEAADTTLVTTEWAMYEIAKHPEKQEYLYQEIQKVCGNKTVTEDHLPELPYLNAVFHETLRRHSPVPLVPPRFVHENTNLAGYEVPAGTEMIINLYGCNMNKSDWAEPEEWKPERFLDGRFEAADMYKTMAFGAGRRACAGSMQAMNISCTAIARFVQEFSWRLKEGDEDKADTIQLTTNRLYPLLVYLTPRGRK >Sspon.05G0010620-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:24752384:24756783:-1 gene:Sspon.05G0010620-2B transcript:Sspon.05G0010620-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWSAPDDILLSTSLAGFLDKKLIVLLRDGRKLLGTLCSFDQFANVVLQGACERVIVGEQYCDVPLGLYVIRGENVVLIGELDREKDELPAHMTCVSEAEIRKAEKAEREARDLKGTMRKRMEFLDFD >Sspon.01G0030300-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:105126412:105128274:1 gene:Sspon.01G0030300-1P transcript:Sspon.01G0030300-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSFLSSLVTPPPAADDPNCAVVAAHSKATYDEQWAAHKSSGKLMVIDFSASWCGPCRFIEPAFKELASRFTDAIFVKIDVDELAEVARTWKVEAMPTFVLVKNGEEVSRVVGAKKDELERKIQMFITPSSSS >Sspon.08G0007170-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:20163981:20165240:-1 gene:Sspon.08G0007170-4D transcript:Sspon.08G0007170-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MERPAVTVKQEEDDEVVVVLDADGDAGGHWPAGTSAAPAPEPWQAPAGSAVPPFLAKTFELVEDSATDGVISWGAARNSFVVWDQHAFAAGHLSRRFKHGNFSTFLRQLNTYGFRKVSPDRWEFAHADFLAGQRHLLVNIRRRRGGAAGSTASPSSAGAGGGDRDNSELERLRRDREALSRELTRLRREQEAARAQLLDMQRRVRGTERRQQEQCTTFLARAIGNPTFLDGLLARRGRGGAHVEAGRKRRLLDATAAAPDAADALAFEELALAAGAEVEAAAPMPAVAAAQISDTANATDMIWYELLGKEQVEELVAAPAATEAVEPWAEMDEKEVEELVAAAAATEAAPEPWAEMDEKEVEELVQQIDCIGSPSP >Sspon.04G0037470-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:72428025:72428681:1 gene:Sspon.04G0037470-1D transcript:Sspon.04G0037470-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQRLLLLVLLLTTAASACLTTLTEASPPPVEAFVGFVVWALASTALAVLLPRTRRGGADPWLPTSPTPRIGDLRGHGVRQPAFTGEAATHTHTWIEQARRTFPLPRFLQFSTPYVPTSSFLVGVGGTMQRLGSC >Sspon.08G0004850-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:14129219:14164441:1 gene:Sspon.08G0004850-1A transcript:Sspon.08G0004850-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDEEMEKKVQEYLQRKGLRLAEVAVQGDRSRVPTSAPPHMLASMPGGFLSFPPNGHAYFASPWPPQPPPGSMTQHVALGSQGKPAINVDEDDVRTEKRLSWKPDEDLRLSLAAAFEAFPRRAVVDLHRSLSELAASLLGSALAAAMEDEEMEKKVQQYLQRKGFRLTELALQEERNRLSTSATSDVALARSDNDPARYHDGYSRLRTWAYSSLDQYKEMELARSFRKNKFKIKLCELLEDSVEERMEKALAESDKIEAESKDADAEDNKARNSFKRNAEGGKQGASLKKAKKDKLVGATGKNIRTETSMVSVAPRVKPELTLPATLNCSSISHDGSLVVGGFSDSSVKVWDMSKIGQPAKMSSSQSENGSSQGERISTLDEGKRTYTLFQGHSGPVYSAAFSPFGDFLLSSSSDSTIRLWSTKLNANLVCYKGHNYPVWDAQFSPVGHYFASASHDRTARIWSMDKIQPLRIMAGHLSDVDSSPLRLLGSALAAAMEDEEMEKKVQQYLQRKGFRLTELALQEERNRLSTSATSDVALARSDNDPARYHDGYSRLRTWAYSSLDQYKLPPTNFFTLFRLQYSYELLLQYLQKTQALVVLGVINEHITFEVSPGQPSLITDDADVVALIGTSKDLAKQINQKEVHWGLLEDSVEERMEKALAESDKIEAESKDADAEDNKARNSFVISEFSHYVLNCSSISHDGSLVVGGFSDSSVKVWDMSKIGQPAKMSSSQSENGSSQGERISTLDEGKRTYTLFQGHSGPVYSAAFSPFGDFLLSSSSDSTIRLWSTKLNANLVCYKGHNYPVWDVQFSPVGHYFASASHDRTARIWSMDKIQPLRIMAGHLSDVDCVQWHVNCNYIATGSSDKTVRLWDVQTGECIRMFIGHRSMVLSLAMSPDGRYMASGDEDGTIMIWDLSTGRCVSPLLGHSSCVWTLAFSCEGALLASGSADCTVKLWDVASSTKTLKTEDAKGSSVNRLRLLKALPTKSTPVYSLRFSRRNLLFASGALSLNSS >Sspon.03G0000770-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:8165348:8173817:1 gene:Sspon.03G0000770-4D transcript:Sspon.03G0000770-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKSSALEYINQMFPTEASLSGVEPLMQKIQSEIRRVDASILAAVRQQSNSGTKAKEELAAATNAVQELMHKIHEIKTKAEQSETMVQEICRDIKKLDCAKRHITTTITALHRLTMLVSAVEQLQVMASKRQYKEAAAQLEAVNQLCSHFEAYRDVPKITELREKFKNIKKILKSHVFSDFSSLGTGKETEDPMLLQQLSDACLVVDALEPSVREELVKNFCSKELTSYRQIFEGAELAKLDKTERRYAWIKRRLRSNEDTWKIFPPSWHVDYLLCIQFCKITRAQLVDILNNLKEKPDVATLLLAFQRTLEFEEELAEKFSGGTTNARNKETASDDEDEGGEHNKIVSDIRKKYEKKLAGPSDEVEQDKDKQKDLSVPGAGFNFHGIVSSCFEPYMTVYIELEEKSLVDQLEKLVQEERWETEEGSQTNILSSSMQVFLVIRKSLKRCSALTKNQTLFNLFQVFQRILKAYAAKLYARLPKGGTGIVAAATGTDGQIRTSDRDERMICYIVNTAEYCHQTSGELAENVAKMINPQFADKVDMSEVQDEFSVVITKALMTLVHGLETKFDAEMVAMTRVPWATLESVGDQSEYVNGISSILSSSIPVLGTLLSPTYFQYFLDKLAASLGPRFYLNIYKCKHISETGAQQMLLDTQAVKTILLDIPALGKQVILSPVDSVANTYRALLPEGTPLEFQRILDLKGLKKADQQTILEDFNKHAPAPAPAIKHPVVAPTVAPPVATASVQIVPSVATPAVSITPSMASLKGALANQEDVLARAAALGRGAATTGFKRFLALTEAAKDRKDGPFRKLFNA >Sspon.05G0009210-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:22041743:22046597:1 gene:Sspon.05G0009210-2B transcript:Sspon.05G0009210-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDSRDGRRAALSDLSGEVGGGGFFIRRVASPGALAVRGAGKPLARRYMSPSRNKENLLPVWALRATPTKRSPLPGWYPRTPLRDITAIAKAIQRSRARIAAAQQQSQRIEQSPQSVNVTTPAQAEQDAPHIAEASHAVASGSGSTERETVANPATVLADDNLNVSSSTAESSLNTPSKPMDPALADIVEEKLSSSIEKIEKLVRKNLKRTAKAAQASRRATQRRNLIASHSL >Sspon.01G0018480-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:97886472:97887340:-1 gene:Sspon.01G0018480-3C transcript:Sspon.01G0018480-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AMATATLLSSSFAMPAAARRAYSSSSSTSLGFATSQLAGLSLSAGAATPTAVALLPKRQQLQPIVAGRVCPFTNKKTNRANKVSFSNHKTKKQQFVNLQYKKLWWEAGKRFVKLRLSTKALKTIEKHGLDAVARKAGIDLNKK >Sspon.03G0022340-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:86964510:86969544:1 gene:Sspon.03G0022340-3C transcript:Sspon.03G0022340-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSFWSLIHSYPDVCVAIICFFGLSIFRFIQQNQKSCIPLNWPVVGMLPFIVVSRHCIHDKVMGLLREAGCTFFFFGPWLLDMNFLITCDPATVNHCLNTHFEKYPKGREFAEMFDILGDGLLVADSESWEYQRRVATSIFGSRAFRSFVMSTVTRKVGNVLLPYLDHMANCGSEIELEDVFMRLSLDISYCTVFTSDLGCLSVSSPMPVFGCATKEAEEAMLFRHMVPSKLWKLMRWLNVGTEKKLADAKVVINQFIYEEIAKRKAQGSNGSQADILSMYMKVTLDPSMSEQQKTDFLRDTAVGFILAGKDLIAVTLTWFFYMMCKHPKVEARILEELKDLQSSRPGDFSVFECDALRSAVYLQAALLETLRLFPATPFEEKEAHVDDILPNGTKVTKGTRIIFSLYAMGRIEGIWGKDCMEFRPERWVSKSGRLRYEPSYKFMSFNSGPRSCIGKDVSLSNMKITAASIIHNFKVELVKGHEVMPQSSVILHTQNGMMLQESGAMEIVSWLLGFLGRYPELMVSLACFLLLFHRLNRRDGLPTNWPVVGALPAITINTGRVHEWLTEFLRAAELSYVIKGPWGSPVDVIVTADPANVAHVFTANFGNYPKGEEFAQLFDVLGDGIFNADGESWAFQRRKAHALLSDVRFRAGVAASTARKLRDGLVPLLDGLAASGAVVDLQDVFVRLTFDLTAMFVFGIDPGCLAPDFPYVPFAAAMDTIEEVLFYRHITPVPLLRLQKFLKTGHGKKMRNARRVLDASIAEFISLRRGRAAEAESTGEAAEADLLTSYLACQDEIGMSGAEFDRFLRDTTFNIMVAGRDTTSSALTWFFWLLTKHPDVEAKILEELRKHPPSSGADSHRTTAELKQLVYLHAALSESLRLYPPVPFEHKAAARPDTLPSGARVGPSRRVIVSFYSMGSMEAVWGKDCSEFRPERWLTAAGRFRHEPSYKFVAFNVGPRTCLGRDLAYSQMKAVVAAVLPRFRVEVDAGAVVRPKLSIILHMKDGLK >Sspon.04G0001600-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:2661650:2678553:1 gene:Sspon.04G0001600-2B transcript:Sspon.04G0001600-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRKDKEEGPADRVPHLPWMREEAGRAAGKPGRQPGDAAVQERDVAEQDGGAKRPDGAPASRRQGTCAAAMAITHTAPASSRGPSLALAARRAPFARGLDHPGEPWGERSGGRPPYPYRMEAELEGALLAGETDSGGESQDHDDEEEDAYDCEADERNGIKSPPHGDVSALELERRLHQLMQSRHEARIGELECEANGGGGKGSYTAGITYPNGLAISADRTHLVVALTGPCKLLRYWIKGPKAGMSEHLADLPGYPDNVRADGRGGFWVALHREKMELPFGPDSHCWRVGADGQVLQVMRGPKSVRPTEVVEREGDKLYLGSLELPYVAVAVRSIVEDY >Sspon.04G0027470-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4B:59341850:59342741:1 gene:Sspon.04G0027470-1B transcript:Sspon.04G0027470-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ASKGS >Sspon.02G0055980-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2D:15408789:15409071:-1 gene:Sspon.02G0055980-1D transcript:Sspon.02G0055980-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding WMDLAGGSSPWPTLSGPSPALALGSIAPLEVRGARSKLSLPGRGQSRPVHRRARVVVRHCRGHLLSRPVELGFRAPLSTARRGGRLGLVATAGE >Sspon.03G0006240-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:32418287:32424531:1 gene:Sspon.03G0006240-3C transcript:Sspon.03G0006240-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA polymerase eta [Source:Projected from Arabidopsis thaliana (AT5G44740) UniProtKB/Swiss-Prot;Acc:Q8H2D5] MPVARPEPQEPRVIAHVDMDCFYVQVEQRRNPALRGQPTAVVQYNDWKGGGLIAVSYEARGFGVKRSMRGDEAKRVCPGINLIQVPVARGKADLNLYRSAGSEVVAILASKGKCERASIDEVYLDLTDAAKEMLLQDPPDSPEEIFMEAAKSNILGLLSDAGEKEKNVRAWLCRSDADYQDKLLACGAIIVAQLRVRVLEETQFTCSAGIAHNKMLAKLVSGMHKPAQQTVVPSSSVQDFLASLPVKKMKQLGGKLGSSLQDDLGVETIGDLLSFTEDKLQEQYGVNTGTWLWKTARGISGEEVEDRLLPKSHGCGKTFPGPRALKNSVSVKGWLDQLSEELSERIQSDLNQNKRIAQTLTLHARASKENERDSTKKFPSKSCPLRYGTGKIQEDAMKLFESGLHEFLESQNTGWSITSLSVTASKIFDIPSGTSSILRYIKGPSSAAPPATPDSSSVPEDPSLDNDVFAKPIHEEQCRPSTSEKEDNNIHYVSAISAKQCQANKEKRISKKLPEVKGTSSILKFLSRGQSTFHEKRKSDGLICSHQGLVDCKMQ >Sspon.06G0022840-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:37528865:37529092:-1 gene:Sspon.06G0022840-1B transcript:Sspon.06G0022840-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSMAAACCGVAEETVMGEQKAPGTCPRCGGAVVATDVESVRRVLCCLPLCVKNKRKFSCSRCRRSLAALYTHA >Sspon.02G0009400-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2A:26524194:26525700:-1 gene:Sspon.02G0009400-1A transcript:Sspon.02G0009400-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RAELSPSVQLHSDSTAGHGQAPGRLEPLPICVLRQMRQAPAPTALGATGRSATPHDDGADASPREDLAPDGSQKAPTHTVVFFPPVLGGAKPRPGRGLVLDEQWAVTAKFTAGTSVDMIGTCGGLLCLLDVRSCAVRISNPATGESLALPPPPPSPCTSTRHDPRAYCLGFDATTKLYKIVHVPHDYKTASSVSTEKKGVVYVHRVGDKYWRPVRAPGAAACCLAAGGAVYSNGAVYWLTHYEMARARLVRFHLSQEEATLVEPPPFDVHRRPLYCRLLDADAAPCVVTSVRHNPTSGEPFAASNDDINMWRLGDDGRWSLSRRVQLRGKPRHVPGPHAVRHGDLLLQGEDGALYAHRIKERGVSSIERAGEKMLVEGRGAVKTLSDAASPCGRGEDRARVKQEVVAAILGIVELPLYRERLKKGHGETVDRNLEKARADCPVSGGGRYILRTFGYVEPVSTAPLANKSLGLGASGWMRGGCGSRKALQAHHATHDRETQD >Sspon.02G0006060-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:17884777:17887351:-1 gene:Sspon.02G0006060-2B transcript:Sspon.02G0006060-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGNALANYVQVYVMLPLDVITVDNTFEKEDETRAQLKKLTEAGVDGVMIDVWWGLVEGKEPGVYDWSAYKQVFKLVQEAGLKLQAIMSCHQCGGNVGDVVNIPIPQWVRDVGNDNPDIFYTNREGLRNIEYLTLGVDDQPLFHGRTAIQLYADYMKSFRENMADFLDAGVIVDIEVGLGPAGEMRYPSYPQSQGWVYPGIGEFICYDKYLQADFKAAATEAGHPEWDLPDDAGEYNDTPEKTQFFADNGTYRPTRGSSSSHANKVFLGCTVQLAIKVSGIHWWYTVPNHAAELTAGYYNLDDRDGYRTIAHMLTRHPASMNFTCAEMRDSEQSSEAKSAPEELVQQVLSAGWREGLNLACENALSRYDATAYNTILRNARPQGINKNGSPEHKLYGFTYLRVSDELFEGDNYTTFKTFVRRMHANLDYNPNVDPVAPLKRSKPEIPIEEILEVAQPRLEPFPFQKNTDLPV >Sspon.01G0055260-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:76752086:76752435:1 gene:Sspon.01G0055260-1C transcript:Sspon.01G0055260-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTFSYPRTATHRLIVCSNFLRKEVKWEEVKRFIIYQIQKKVNDAFYVHATKFLSWFHEHGCKFATKEFVSHLDMILIMPTISTATTQPLEVKGAGQW >Sspon.05G0013920-3C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5C:43382712:43385180:1 gene:Sspon.05G0013920-3C transcript:Sspon.05G0013920-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKILVAVKRVVDYAVKVRVRPDRTGVETTNVKMSMNPFCEIAVEEALRLREAGAAAEVVAATVGPAQSADTLRTALAMGADRAVHVLHDPDPARPLLPLAVAKILRAVALQEKPGLVILGKQAIDDDCNQTGQMLAGLLQWPQGTFASKVILDTEKQKATVEREVDGGIETICLDLPAVI >Sspon.02G0027550-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:106306242:106309449:-1 gene:Sspon.02G0027550-4D transcript:Sspon.02G0027550-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHVLACASSLLSLHKVLEEGPLLLSSIRTRARLSMFLIDDKGGAIALMLASLLFLGTWPAVLTLLERRGRLPQHTYLDYSLTNLLAAVLIALTFGQLGDSKRGMPNFFTQLGQDNWPSVLFAMAGGVVLSVGNLSTQYAWAYVGLSVTEVISSSMVVVIGTTLNYFLDNRINRAEILFPGVACFLVAVILGSAVHASNAADNEKKLSGSTNANKLGTSGTVEPSKQVLDKDAPKDLENGASGTKQVDKAEAGTAEYLIELEERRSIKVFGSNTFIGLGIVFFAGVCFSLFSPAFNLATNDQWHTLKDGVPHLVVYTAFFYFSISCFVIGVGLNILFLYRPMAGVPKSSFGAYLRDWNGRQWALLAGLLCGFGNGFQFMGGQAAGYAAADAVQALPLVSTFWGVLLFGEYRKSSRKTYVLLGFMLFMFVAAVAVLMASSGHRSTE >Sspon.07G0016570-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:59070384:59073567:1 gene:Sspon.07G0016570-1A transcript:Sspon.07G0016570-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLAVSALSCRRPLPFRGWNLQSPVATWKHMQFGRLNEVITFATKNTKRKRRSFQNESKDSLLISEEASSGSGESASTSLEVNSKDVATDDQISGAPRSAVLQACTLTSGFLLAGGLLLRQASHLASLNGWPIADPTDVSFNFETWHLELVVGLVIVISSSRYILLQTWSDFRDSSEAANRQILTSLEPLDYIVVSCLPGISEELLFRGAMMPILGLNWISALIIGTIFGVLHLGNGRKYSFAIWATCVGFAYGIGTIASSSIIVPMASHSINNIIGGLLWRYTKNSQK >Sspon.02G0046560-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:1174551:1174868:-1 gene:Sspon.02G0046560-2D transcript:Sspon.02G0046560-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSGAGVWVFKNGVMQLEQPAAASRKALVYVPTNEVVRSVEALERRLGTLGWERYYENRSIVQLHKRDGGADLITIPRDFASLRSTHMYDVVVKNRDHFKVVDA >Sspon.01G0001310-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:37641323:37646603:-1 gene:Sspon.01G0001310-2P transcript:Sspon.01G0001310-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEHLLSTAVHDTLPGNYVRPESQRPRLAEVVTGARIPVVDLGSPDRAAVVAAIGDACRSHGFFQVLNHGIHADLIAAVMDVGRDFFRLPPEEKAKLYSDDPARKIRLSTSFNVRKETVHNWRDYLRLHCHPLHEFVPDWPSNPPDFKDTMSTYCREVRELGFRLYAAISESLGLEASYMKETLGEQEQHMAVNFYPPCPEPELTYGLPAHTDPNALTILLMDQDVAGLQVLHGSKWVAVNPQPGALIINIGDQLQALSNGQYRSVWHRAVVNSDRERMSVASFLCPCNHVVLGPAQKLVTEDTPAVYRNYTYDEYYKKFWSRNLDQEHCLELFRT >Sspon.03G0025610-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:6508121:6512119:-1 gene:Sspon.03G0025610-2C transcript:Sspon.03G0025610-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSISGELPGEGGSDGEEEVFINEEDIIHEITIDEEDLPDRDEEDDDVGDGMDEVFTVACSPTDASLVASGGKDDRGFLWRIGSQEGALELTGHKDTVGAVAFSSDGNLLACGSFDGQINVWNTATRTLKGTLEGSGSGFEWLKWHPRGHLIIAGSEDCHGYHSDGLTCLSMTLDSQMVVSGSKDSSVHIVNVNSGQVVGSLVGHTNSIECLWLGSYRSMDQKLIIWDLTHQSSRCTCEHDEGVTSLAWLGSSRYVASGCIDGKVRIWDSLSGDCVRELSGHADVVQSLAITADGNTMVSASSDGSARVFDISMFNLIPDSGIRNLGGWV >Sspon.01G0022870-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:83234309:83238712:1 gene:Sspon.01G0022870-1A transcript:Sspon.01G0022870-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTVRSVVLQHLRLRAAPAIAARGGSGRPALYGFARGMSAPAGQEDSKGSSSSDPERDIRTRVVNLVKKFDKIDADKVTETADFQKDLSLDSLDRVELVMAFEQEFSIEIPDDKADKLTCCAHVAKYIISESQISDKNAEYFRPVISIDSHQMVSMQRGAI >Sspon.08G0024830-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:3177626:3191937:-1 gene:Sspon.08G0024830-1C transcript:Sspon.08G0024830-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTSPSPASLSTPLLSDSIAPAPAHAANGHATNHRHHHHHHHDSDGAAATSVCGDGVGDPFAFLSEDRPPRDKGPSPADPFRNGTPSWGGGAYAWARTLLLLPVAAVRLALFGLAIAIGYAATWVALRGWANTHGRPREGGGPMPAWRRRLMWITRISARCILFSFGYHWIRKKGRPAPRELAPIVVSNHISYIEPIFFFYELFPTIVSSESHDALPFVGTIIRAMQVIYVDRFSPASRKAAVNEIKRKAACNSFPRVLLFPEGTTTNGRFLISFQHGAFIPGYPVQPVVVRYPHVHFDQSWGNISLLKLMFKMFTQFHNFMETSYAMARALNVLPTSYSYGDSMIMARAVEAGKVNCSNYMVEMAWVKDIYGVSTAEAMELLEHFLAMNPDNDGRVKAEDFWAHFGLDCSPLCKKIFHYFDLGIKESITFRQFLVGCAHLRKQPLFQGACETAFEKCQVPETSEISRAQLADVLQLSMLLPSDDKMLELFKTFDIDGDEKISKDDFMTCLGKFPFLIAFFAAPINGEPRLPLHAPALRLHRARARRQRASPPTPDTSPATASTVVRHEPTRPPLLSTPGAAGTPPTTDTTTTTTTATAPRRLPCGDDGGDPFAFLSEDRPPRDGAVPGRPVPQRHAAWGGGAYAWARTLLLLPVAAVRLALFGLAIAIGYAATWVALRGWANTHGRPREGGGPMPAWRRRLMWITRISARCILFSFGYHWIRKKGRPAPRELAPIVVSNHISYIEPIFFFYELFPTIVSSESHDALPFVGTIIRAMQVIYVDRFSPASRKAAVNEIKGNISLLKLMFKMFTQFHNFMESTSCCLPSEIKQENALHFAEDTSYAMARALNVLPTSYSYGDSMIMARAVEAGKVNCSNYMVEMAWVKDIYGVSTAEAMELLEHFLAMNPDNDGRVKAEDFWAHFGLDCSPLCKKIFHYFDLGIKESITFRQMLELFKTFDIDGDEKISKDDFMTCLGKFPFLIAFFAAPINGEVYIEI >Sspon.08G0000500-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:1804707:1807429:-1 gene:Sspon.08G0000500-1A transcript:Sspon.08G0000500-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSKAIGVISGINEFGNLFQLVTSAVSYMRSKWNGSHETQQLKQEDLLQLQSDLQRLTDTLPAMYNLIDRAEWKIHVPSVAQLLPELKDAVYDAEDLLDEFRWYKLKLEIEGNNGAASQTSPFIDFFHSVTHGSFSKVTNIQTRLGYLSAQLDKMVMHEATPRFDKSVRPATTSFRTEPNILGRDKELEEVMRLLGVPAPDHGSSSRCRSTSKRKRTASSAANSTEPIRIPYVPVLPIVGIGGVGKTTLAQEITTLPSVKSHFDNIIWICVSDDFDEERFTKVLIKSLSRNEAMADNLDDLQQVLAEEAGKKRFLLILDDIWPAALNDGQRWRKFCAPLTQVLKGSMLLVTTRFAEVADIVGTMESFVLEGLKEDVFWDFFKLCVFGPEDSHIDPLLEQIGKSILVKLKGTPLAAKTIGRLLRKSLTSAHWNDILNNELWQIEQKETDILPALRLSYMYLPFHLKRCFSFCAVYPKDHNFKKAILAEIWVAEGFVEPQGSIPLQHIGEQYFEDLVNLSFFHKHRGKYVIHDLMHDMALLVSKDECFIVKNTSGIENIPPNVRHLSILSKSDLTIYDLGAISKDHAAMVKLRKMKDVSRLTLSWLWSSFGSPEHNEIQVLQALQPPTNVKAVHIKGYPGVQLCKHHTSVGAVHKKTRTEEFWQPRRWRRLQFPHHLASIV >Sspon.03G0009520-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:3617504:3618446:-1 gene:Sspon.03G0009520-2B transcript:Sspon.03G0009520-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVCRAAAKGKGKGEKRPRQPRFAFMTKSEVDHLEDGYRWRKYGQKAVKNSPFPSIHRVKRRQIRVLTTSEDCNEHDDVLTDSMQCMGRSYYRCTTQKCPVKKRVERSYQDAAVVITTYEGKHTHPIPATLRGSSHLLAAAHHPMSALHHVHPHFRMEPPPPPALGGVTAGLGGFRPGGTNAFDALGLGLLQPQPQQGHHHHGAAAMQQLAVSSGAGVQQVDAAATMASHALPDDPHGWAAVAGVGSAPSTATTTAATTTASAPLRMQHFMAQDYAGLLQDMFPSFVHNDDYGHHHHH >Sspon.02G0020510-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:69656163:69662009:1 gene:Sspon.02G0020510-3C transcript:Sspon.02G0020510-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDRLVVLMPHLLDVPFAIPEGALAGGAAGVVVETALYPIDTIKTRLQAAQGGSKIQWKGLYAGLAGNIVGVLPASAIFVGVYEPAKRKLLEIFPENLSAIAHLTAGAIGGAASSLIRVPTEVVKQRMQMSLFKTAPDAVRLIVAKEGIKGLYAGYGSFLLRDLPFDAIQFCIYEQLRIGYRLTAKRELKDAENAIIGAFAGAITGALTTPLDVMKTRLMIQGIEPRVLWIGIGGSIFFGVLEKTKSILAERNIRR >Sspon.02G0028420-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2B:97635478:97642955:-1 gene:Sspon.02G0028420-2B transcript:Sspon.02G0028420-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:At1g68370 [Source:Projected from Arabidopsis thaliana (AT1G68370) UniProtKB/TrEMBL;Acc:Q1H5A8] ERASSGSCDDGAKLPALPSASLLRAAGRRSSRRSPGGGRASRRLDKVDPPAEDLLVYPSGAVHRFCSGMSSSGKMEGPSAPAVRRDPYEVLSVPRDSSDQEIKSAYRKLALKYHPDKNASNPEASELFKEVAYSYSILSDPEKRRQSHQGEDKRGKIEQQKSARGAHCVPFVRWIGDGNKVRGEKYESKAEDPWRVEGGGKLGVPIKTTISPTVLEEAMNGTVTVDKQCAHFFGVTISEEQAHSGIVVRVTSPAQSKFKLLYFEQEVNGGYGLALQEDSQKTGKVTSAGMYFLHFQVYRMDSTVNALAMAKDPEAAFFKRLEGLQPCEVSALKPGTHIFAVYGDNFFKPASYIIEAMCAKSYEDTTERLKEIEFKILAKRNDLRQFETEYRKALARFQEVTNRYTQEREAVDDMLRERDNIHCSFTTERTLVNPVGAGSSSSRYTVEQSIPESPENGSVDGRDKSGKKKWFNLNLNRSDKKA >Sspon.03G0020510-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:63845539:63848407:1 gene:Sspon.03G0020510-1A transcript:Sspon.03G0020510-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANGEDLRGPLLGVRDDGGTVWWDRDDDPPRGRRSSRPWTALAIAAALLALAGVVLLLTYGSDEATRRPGPGVPRGPAGAARRSRSSPHEVESGVGATAADDARCSEVGTAALRAGGHAVDAAVAAALCLGVVHPMSSGLGGGAFVVVRDAASGQAVAFDARETAPAAATPPRDSGTLKI >Sspon.01G0007970-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:22215002:22215995:1 gene:Sspon.01G0007970-1A transcript:Sspon.01G0007970-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGSGNASGGGSGGGASTCCYYALLGIRKNASATDIRTAYRRLAMKWHPDRWASDPGAAGEAKRRFQRIQEAYSVLSDKGKKAMYDAGLFDPLDDDDQDFSDFMQEMLVMMDNVKNEKPDTLEDLQKMLEDIVNGDGGGGVGGRMPPPPDGARRTRVAPYPQPQQARR >Sspon.03G0023150-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:70919373:70923316:1 gene:Sspon.03G0023150-1A transcript:Sspon.03G0023150-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMDSGSWLHGYATTNAGGNNGFMCGYAASSMRMNMDDEPAVYMSSNDGATTIGMHDPIVERLLDDPHHHAGTYSFPSSSSSSLSLPASTSLSCSLESSSAHILAAPAAAGGCNQQYPEVSSHVPLLPPAPPVVPSYDHQYTNLRIHAPESPAKTTGAFKHYARHLGPKRPPKPGACGQRMFKTAMSVLSKMHVAARYSQQQYYYQAAAAAAEAVQSPSVNQLQHMFSERKRREKLNDSFHALKVVLPPGAKKDKTSILIRAREYVRSLEAKVAELEEKNKSLESQLIRRDGRRKDGSSGGDDHDSGETAKVQVEITRAANEEQLCTLKIAVRSPRPPSSPNMTDVVVRTLQCLKEQIGDGVSLVAMSTSGGGGAGPATRVKNASPRAVVLTMQIKSPGTDWEEQPVKEAVAKVVADALTTTKSLPPAAAATASCGFGEASQLIISQ >Sspon.03G0000060-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:51624858:51630945:-1 gene:Sspon.03G0000060-1P transcript:Sspon.03G0000060-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSFSARASPQKQQHFARWRQLIRQQRKSLPIASVEKRLVEEVRKNDTLIVVGETGSGKTTQLPQFLYDGRFCQDGKVISITQPRRVAAVTVAKRVAEECNDQLGKKVGYSIRFDDSTSSATRIKYMTDGKHLDPFLSKYSVIVVDEAHERTVHTDVLLGLLKKVQHSRANANKNGKILPDVQGHSQNLTLKACQGTRCAPLKLIIMSASLDAKCFSDYFGGAKAVHIQGRQYPVDILYTYQPESDYMDATLVTIFQIHLEEGPGDILAFLTVQEEIESLERLIHERARLFHQKVIWVTPIYSSLPSEQQMNAFKPAPVGTRKVVLATNIAETSVTIPGIKYVIDPGMVKARAYNPVTGMESLIIIPVSKAQALQRSGRSGREGPGKCFRLFQESEFDKLVDSTVPEIKRCNLSNVVLQLKAVGIDDIIGFDFMEKPSRTAILKSLEQLILLGALTDDYKLSDPVGHQMARLPLDPMYSKALIVSSKFKCLEEMLIVVSMLSVESIFFSVHEKLEEARAARKGFESSEGDHITLVNVYRAAAECLEKSKNANAKEKTMEKALNRWCWENLSTTAL >Sspon.01G0010580-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:31878795:31881594:1 gene:Sspon.01G0010580-4D transcript:Sspon.01G0010580-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVDMLFWAFDNPPPGNYLLISGDQDLSDLLHRLTMKRYDILLVRPPNASSQVLAAAAKKVWVWENLTAGELLLPEPPPARSVLGCKLHVNSSDTLKYSHSKVVFDYGKSDCNGKEGSQIRVRTLQKYVKKASYSSTTEISQDRVVPAGGVSRSSTGRTLSEVDHDSVSSLSLSSPDSTQRAKANISVPPENRTLSNLSSHRHALSTHSHAEATTQPILADKPGIPTGCLPRNATLNFGASNGQCNQMSQHFRYSEAQNKLHSEFTTGSNKEKAVNRHGLKPLQMYVKKKTNITFGSANKPVGSIGVPECPVGIELDQASVSTSPTFTQSHSSAQRPIASAHLHQVKAPHESILGKKPSTSVELHQVKAPHESILGEKPSTSVELVRVPHESVLSIRPSTSAEHASRNGSHDSDVCAINYNPTCQQFKSFEAQNKLHSCSNVGDSSGKLGNEYKTNKEQPYAKWINIFTASASNEINLSTTTFDNSNGSTSRYPSQSLSASSSSKSLQSAKVNDSNLLLSDHIPLLADNLHQDGATSIFGKKNNTSFQCTAKSGTFVFGASSGQYHQTYQQAQSSLPSINSHRGSTASPSVEHNGAPFAQTQTWSSGSAFEGLDDICNSFSRLNISKCPQGTTETRPQGPPTNGPSMGMPDTSGHPVGFHESRSSFHLDSNSSCCLNHSSDPQSGQPPFSGYTCTVGHQPNMSSDMQSSEHSGDKPRHEPEVGIILQALDILKTEKIFPIETNIADCICYGKLNLRGFDVKKALELAIRHDAVVMKKLLNDMPLFVAKDESLWKCVNVTNTKAKNPTEELETVYKYISSPDGHSAMMNSQSRYQAAMILKRSCMQQYALGDILQVLHIVIVRKKWLVPHSSGWQPLSLNTTADTATTDAPGKVKSSFSVVVSG >Sspon.05G0003390-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:10178983:10182817:-1 gene:Sspon.05G0003390-1A transcript:Sspon.05G0003390-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARFCCLAPVAQISRGMGQQHLVKGKAATGKSRSGMDITLDDEPSHGGLPFRDLVDVKGNELGLFAIYDGHLGDTVPAYLQKNLFTNILNEEEFWTHTDRAITKAYEKTDQAILSHTPNLGQGGSTAVTAILVNGRKLWVANVGDSRAVLLKGGEPIQMSIDHDPNVERSEMFREYVSFWDRNLKSLLRSEPDIKVEDIDHTAELLVLASDGLWKVMNNQEVVDLAKRYKDPYAAAKQLTAEAQKRESKDDISCIVVRFKA >Sspon.01G0029360-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:102079278:102080881:-1 gene:Sspon.01G0029360-1A transcript:Sspon.01G0029360-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPLIAGLSVAAAALGGRSMIRAWQAFRTQAAMPRVRRFYPGGFQGEMNRREAALILGVRERATLDKIKEAHKRVMVANHPDAGGSHYVASKINEAKDILMGKGKSGSSIF >Sspon.03G0044610-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:1624634:1628146:1 gene:Sspon.03G0044610-1D transcript:Sspon.03G0044610-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSLLQWRGYEIHGFSSERVLRYELSRAKFRSITGPEVCALLMNGHLFVKDSPLADTDTRPEYEWYVISSSMWQEMKTCATGDLAEDSSEGCDGIHWVLYTSSDTETSAEHAKYKLKVSAGLDLQCYEVTGNLRTRRRIYLPEHLRDGIETYHFIQYFEFPLTKKNDKMEVQAGLAHGSEAESSYQERTIKEKAECFASVDEEFKLDGCSEKWELLLCQKAIDIKYDSLLSGYASNLDDDYEEEGPSSGSSSSNGQGNVPDDLEMELRLLGKEQQLPAKKVGRPCVHMRSLLEAVEANPNRDILAILEELKEENLVKANQIKEANQVVEVNQVEEANQVVEANQVVDFDVMALKEIMEAHKVKEANPNKDLDAIVEEVVALKQIRPITPGEKRGGEKRGHRTHQVQVVDKTGCTQVVDKTGLCTREEGPSTREEEGPSTGEEGPSTLGEKTGGEETGASP >Sspon.07G0001330-3D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7D:2429437:2434886:-1 gene:Sspon.07G0001330-3D transcript:Sspon.07G0001330-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sister chromatid cohesion 1 protein 1 [Source:Projected from Arabidopsis thaliana (AT5G05490) UniProtKB/Swiss-Prot;Acc:Q9S7T7] MGSDVRMARNDARRFPIVPSPRARFRPRFFLHPHDSTRQPSSRSRSPKRPKPHTNTRTHTSSPLSGSLRRALLLLLSPRGRECSTRISSSRGRSRSARYGASAPFIAHPIPLVAALIALVSLPVPRNAFGSIPSSDKSRSVFFCWMAATLHSKINRKRLDKLDIIKICEEILNPSVPMALRLSGILMGGVVIVYERKVKLLYTDVSRLLTEINEAWRIKPATDTTVLPKGKAQAKYEAVTLPEKIINMVVEQPMFFSEIDSARFRGMRLEDLDEQYFNVNLDDDDFSHADHRHQAEAVNITLVDNFESGLAGTDVFNRFERFDIADDETTVNITPDEHPQVPSTLVPSPPRQEDPPQQEHYAAPSPVHEEPQQGGPEEQEEQKMKQQQPPKASKRKARWELPQVIMDNNQMMIPGNIYQTWLKDASSLVSKRRKVNSNFNFIRSTKISDIMDIPPVALISHDNLPSELYYPKPLMQLWKDCTEFKSTKASSGGQRSSSQEQQPKNSPPHEFPPQAGGEYEMETGGLPMDFTDGIEKLRANMSAEYDRTYDTLHNDHSVTPGSPGLSRRSASSSGGSGRAFIPLDTEVQLPSGSGRSKRGRHSSARSLGNLDTVEEDFLLEQEVMDFKMRRLSDYAPTPGWLHF >Sspon.02G0015980-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:42376961:42380778:-1 gene:Sspon.02G0015980-2B transcript:Sspon.02G0015980-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDVSDDEQGLADRDKEEMDSGEELLTGSSEEELYDDYATPTVNSSYGVDLSVDRHSYGGRFDRSMRQGSVNTIVKTLRDSMEESDPNAAIELSNAEDFVQKLGPVLLPWERDEEDDEAFSGGRAGRRSNTELAERTIPEPELRRLRDTALRMKERIKVGPGGVTQDVVESIHRKWKVDEVVKMRFEGPPSLNMKRTHDLLEVPDFMDRTGGVVIWRSGRSVVLYRGMNYNLQCVQSYAKFIEIDSGKEVGDANSAVSSRGGHNLQDSRADGAKPLRSTDNFSLESSETSDIDKFLDQLGPRYRDWSGRGPIPVDADLLPGVVHGYKPPFRVLPYKIKSTLRDKEMTALRRLARQTAPHFALGRNREHQGLAAAMVKLWEKSAIAKIAIKRGIPNTCNDRMAEEIKKLTGGVLLSRNKEYIVFYRGNDFIAPKVRQVLVEKQEQAITQQDEEELARLKASASIITIPKDIKGPLVAGTLAETTEARSRWGKPLNDKQREEEMKHLSLLKHTSLLKNLKRKLILAKTKVAKAERALAKVQEFLSPAELPTDLETVTDEERFLFRRIGLKMRAFLMLGRREVFDGTVQNMHLHWKHRELVKIIVRGKSFAQVKHIAISLEAESEGVLISLDKTTKGYAIIFYRGKNYRRPQIMKPRNLLTRRQALARSIELQRREALKHHILSLQSKIWKLQSQLVQTKDASEKQDLKLLQTVEDDLSSDDDDDVEDDGEEAYLQTYSSADEEDAEDDTNEYI >Sspon.01G0008070-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:23335268:23341083:-1 gene:Sspon.01G0008070-2C transcript:Sspon.01G0008070-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPEKGGAKVIGGGGGGGGIFNLFDWKRKSRKKLFSNSPEGSKLVKRSDETLLSGRLHLGDEDEGIGVSSFKGSSDYSCASSVTDEEGREMKAPGVVARLMGLDAMPTSGVPEPYCTPFRDTRSFRDSHSLKRSPEYSLNDQFGHVPRRVDGYIRKPLDLRVPKMPSSPIERFQMEALPPRSAKHLPMSHHRLLSPIKNPGFSSARNAAQIMEEAAKILQPRAQASSREKICSFSPARIPLRVSEPRESIPPSQRAVPLKPQSSRTAPELPDVRFSRAQQMNRSWNSEEDIVIFRSSIDSYEINNPSCSNSKNNKGKSISLAVQAKNNVQKREGVTGSGRNSGLQKEHDEQRANQPCRSQSNLQRNKQQKKPSSSGTSSPVLRQNNQKQNSLVTRGKVAPNKTVSTQQGRKLMAGDSSSGKIKSGSKMSKVGGRKDIVESISGDREGSSSNNKDFPQKKRLIERNSTNEKGTFVPEKPVGKLKKQVQPNVVMDEHIKWNKESKDTTDVVSFTFTSPLVKPLTGPSRLAGKWDARSNLDMDAGCDKDDSDNKAEGLSSVGLNFVNGDALSLLLEKKLKELTLKIDPSITFTRGDTFVPATFTLEEPPTSSCSNWVSESGVFDCSPAEVKPSQYDYCPSAQSSTKGQIFRGSKIKVEEQEECSSISNARKEQENDDLSPLSVLEPTSLSESCWSSECSGGSSGGKGYSSLFDVKNAQGNFLINPASVDIEAKTTDSASSASVDTSDISDVTQCSKRSRHTELEYIADVLSNVNLTTDELGSLFVNQDISALDPFLFEKMENMHVYTQGKEPFCRRGYRRLLFDCVNECLETRRMTYFQAGYAAWSKGAATLSRGIEAEVCNEITSWKSMGDWMEDELVDKDMSSGLGTWVDFRVEEFEAGEEVESHILSSLLDEVIGDMVVRRRQELAKLLVFLFGMILLLRDMVDSSPVVAMKSLKVSDFQGKDTSLAPIGAEMGATRAGGLKSMYADRVFLSHITSDPAMGQEKPVFFSLNAIISHIKPDQNIYIMAIKVSDPTGKAWVSVFNVHAEKIIGCSADELDRIRNEEGDDSCALKLKEATWVPHLFRSASGF >Sspon.01G0000560-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:2434525:2439508:1 gene:Sspon.01G0000560-4D transcript:Sspon.01G0000560-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPSSSMRAASSMVYPVYLSLYHHPNSHHACFRPSRANHDNTHKCTKSPKILRRSLFSLPASFLLLHTSSSLAVDDTNTPSTSTIDTTITDRIFMDFSVCPSYFRSDRPLGAELSSCPDSEPLGRVVFGLYGRLLPVTTANFKATCTAAAYRGTLVHKLLQGQFFAAGRQGSRRDKGEVEPPSGLVRNSETVNPKAFELRHARPGTLSLCLGQNDDDDDIKLNPNYHNVEFLVTTGPGPCPQLDGQNIVFGTVLEGMDVITSIATIPTYKPAERIRLFNDFAQLIGDERAQTARAMWDRPLKTVYISDCGELKEN >Sspon.02G0049690-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:41780242:41780786:1 gene:Sspon.02G0049690-1C transcript:Sspon.02G0049690-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWVGYGVGFHPLAGPERPPSERPRLEHHRHSYTSGRLRSPGADPAMPGCANRSGEQLQKASRSVRCVAVSASSSPATTTVAMEVPGVMKAWVYDMYGDAGMIKLDKAAAVPAMAEDQALIKVVVVALKFQFSDRRSFQLSLSPHARTP >Sspon.06G0028480-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6C:7487934:7495773:-1 gene:Sspon.06G0028480-1C transcript:Sspon.06G0028480-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGTGARKTFAAPNILKELNLKAGKLSTGDSLDMSPQDEEVILSNDATIKDMEGAAVAYVADMFSTPAIFVKAVTDIVDGEKPTSEEFLQNLIAVTAALDLAVTRVEKLWAGTIFTSPVNLPAVGSSQLTIWAVRCGSVRVSPIKRHARAVENRREPRSSLPHAPPPPAVLLVPPLLPSLAKLCWLTSSGAWVPPPPAVLLVPPLLPSLATLCWLAGGSAWVRWPRGHGSAATPGLSSLA >Sspon.07G0028340-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:65771360:65777887:-1 gene:Sspon.07G0028340-1B transcript:Sspon.07G0028340-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGQPVLLSRDFKSERIVLSPDCLDAASSKGYRMRYEPQVSLNNKGKPFLVHGQDALAHVPGIDPQS >Sspon.03G0013840-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:52370785:52375636:1 gene:Sspon.03G0013840-1T transcript:Sspon.03G0013840-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPLVAVHNSSIAILCETILKPGFNCTVRIRNKRNKAKRRKKSNCCQNSVSYACHFCGDQNLILGSGKGVLKSLLPSREHATMNLSHIIMRGNNSNTRTHDKKEVLELSQAAILQVELSSLLRQSTSGSDEHGERLKCNLDEQMHETDPISSEVKICEATSQAELPVGSSFVTPQKKKPLVATVPKDSAGLLKTGSSVISGSVTDTLSGCSKSASNDSRNNVKYAARDSSHVSGSSRKRARKGWTTLKQIAEKDELERKEKMDCDGMRSYAVQQHEQLCSITTTTKEAPQSSEKPFPFGILAEALIKNKDIPRLDYNLPRLVLVPLFDI >Sspon.05G0021450-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:83335575:83343752:1 gene:Sspon.05G0021450-4D transcript:Sspon.05G0021450-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VTIRGCARTRRAAVEAAVGPDLARAATVRDLVRAAAAAGDRLRRLGAFDTVSITLDAAPPGVPGSAVIVLVDVAEARGRSAGDFGVFANTQTRSCSLEGSVKLKNLFGYCETWDASGALELDKTAELSAGVQMPRIGAIPTPLIARISFLSEDWLKSSFKEHLMGISVGLLSTMNHNLAYNLTWRKLTDPTRMPSNSVQEELGHSLLSSIKYAYKVDQRDSSIRPTRGYAFLSSSQVGGLAPGSKYSRFLRQEFDLRVALPLGVLNGALNAGVAAGVIHPLERGSTVSVSPLLERFYLGGNRPLVCRLGGPSSLLGFRTRGLGPTELKTYDPNNSDNGTSTSPELNGLGGDIAVTAFADLSFDLPLKPLRELGIHGHAFVCAGNLGKLTDCDLRKFPVTDFLQTFRSSAGFGVVVPTRLFRIEANLFGYCETWDTSGALELDNIVELSAGVEMPKIGARLHARISFLSEDWLKSSLKEHIMGVSVGLLSTMNHSLAYNLILRNLTDPACMSSNSIQEHLGHRLLSSIKYVYKDDQRDSSIRPTRRHAFLSSFEPCTRKQDSWYLRQEVDLQVAFPLGVLNGAVNAGMAARLIHPLEREHTGSVVPLSERFYLDANRSLVCCLGGPSSLSGFRAKGLEPKYFVTSGSNNSENSASTSPELNGLGGDIAVTAFADLSFDLPLKPLRELGIHGHAFISAGNLAKLTEHGRGKFSLTDFLQTFRSSAGFGVVMLTRLFRIEVCPVTFFKFSSEFSGEIGA >Sspon.01G0023280-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:84170158:84172700:-1 gene:Sspon.01G0023280-1A transcript:Sspon.01G0023280-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DELQRLPRPAQGVQRRLRAPPQHRVDSRRAAAGQRHRLRRQVLRPRGPRRLPCRRPAAAPPTLFHSLLYEACGRTINPLSGAIGLMWTGNWDLCQAAAAAVLRGDSLRALSTIPAAFTDRDMDGLYGDVGLGLATASSLSSPENSSSAPSKKRKNVANGGLAAGVPAGCQQQRPPAVVLQSCDLDLCLTPAMSPLAGGLVRGCGGGASDEYSATTTCEDQQPATGDLAEARTPALLNLFN >Sspon.03G0005380-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:19080240:19084741:-1 gene:Sspon.03G0005380-4D transcript:Sspon.03G0005380-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPPEVAVVAVAALLLVAAAAAAESAAPLPAALRLERALPHKGVALEELRRRDAARHRASRRRLLGAVAGVVDFPVEGSANPYMVGWVSCRLRFSSLLSGGGLGSPRVKSFGGKQIDFLRRLYFTRVKLGNPAKEFFVQIDTGSDILWIQLESFSPDSSSTSSRITCSDDRCTAALQTGEAICQTSDSPSSPCGYTFTYGDGSGTSGYYVSDTMFFETVMGNEQTANSSASIVFGCSNSQSGDLTKADRAVDGIFGFGQHQLSVVSQLNSLGVSPKVFSHCLKGSDNGGGILVLGEIVEPGLVYTPLVPSQPHYNLNLESIAVNGQKLSIDSSLFTTSNTQGTIVDSGTTLAYLADGAYDPFVSADNNVLWCIGWQRNQGQEITILGDLVLKDKIFVYDLANMRMGWADYDCSMSVNVTTSSGKNQYVNTGQFDVNGSARRASYKSLIPAGIVTMLVHMLIFGSGSRR >Sspon.03G0000790-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:13744753:13746887:1 gene:Sspon.03G0000790-2C transcript:Sspon.03G0000790-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAYCGKYKGTDKETTTQGHDDLGKRCAKYYEAGARFAKWRAVLKIGPNEPSQLAIDLNAQGLARYAIICQENGLVPIVEPEILVDGPHDIDRCAYVTETVLAACYKALNEHHVLLEGTLLKPNMVTPGSDSKKVTPEVIAAYTVRTLQRTVPAAVPAVVFLSGGQSEEEATLNLNAMNKLSTKKPWSLSFSFGRALQASTLKAWAGKVENIEKARAAFLARCKANSEATLGTYKGDAAADTESLHVKDYKY >Sspon.03G0021540-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3C:84312885:84314989:-1 gene:Sspon.03G0021540-2C transcript:Sspon.03G0021540-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mini-chromosome maintenance complex-binding protein [Source:Projected from Arabidopsis thaliana (AT2G40550) UniProtKB/Swiss-Prot;Acc:Q501D5] MNGGDHHIPGSSFSCLVKIYDMPESQVKLNDVIEFIGVYTFDPELAAPSDNPDDIMLDLMEDVTVQLPPSKVPRLHCLVWRKLSSHDFISRPVVEHSPSLLKGIRQSLLSHLTLVLGNDELAAQCLLLHLLSRLRNRVDVVTVGRLSLNFTGFNKESASIFGNQLYSLIQKLVPYSQAIPLSIDYLNSATLQPRKDNKSGRLVTGVLQLPQGTHLTFDETLLQTGSLTSKGVENTMLLKNLMESQKVDYDFEYYKLEMAADVQLLTLSEGKSNILPSDLVVPFRPSSVPTVNTGAEETESWRWYLATVRSLPQSTEPETYQMIQDEMVSAMRDDRSLGCSELSRWLTMAQIMASSFGEKSLSLEHWHMVKELERLRKQRMQ >Sspon.08G0010700-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:41985355:41989799:-1 gene:Sspon.08G0010700-2B transcript:Sspon.08G0010700-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEIRAEFQNSGFSIGGASPEDAAQILSTLLTYCINYKMSPADLVSNWEVYYLNRQLDGLKLESSYLDGFLSHLQNEVKDRIIKEEASLHITPVTMLLSNSHADEVAFHDTPGSKQEKPPEESSNSELTPLSSDRPSSSRVTKINADRITPFATRVNKFTQQYVLNADNAVSVPSKNAEITEDEVIRRIQPSQRCSLQVQRSQPEPGCRFMYDRMEDRFNYLEGRIRKSASLFSASGFCGEPADATLASEGQRVRLDLKDLDHFSLFPGQVVGIEGHNPSGHCFVASKLIDSIPVSVDTQLPSAKKQAIDNESNQNSDAGSLSRALSSVIAAGPYTTTDNLLFEPLQELLSYACRKQPQLLILMGPFIDSDHPDIKKGTVDQSFHDIFHFEILRKIQDFTQYLGNTVRVILIPSVRDAHHDFVFPQPAFDLNFPEDITHQITCLANPCLFSSNEIRFGCCTVDILKQLSGEEISRKPPVGKPGDRIGRLASHILKQQSYYPLYPPAAGVPLDFSLAKEALEISSAPDVLLLPSDLAPFVKVLSLGEGSDDQKRFICVNPGRLAKGIGGGTFVELYYNEGIDKTNASIIRI >Sspon.01G0010070-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:27880323:27887081:1 gene:Sspon.01G0010070-3C transcript:Sspon.01G0010070-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGHDSKYFSTTKKGEIPELKEELNSQYKTENLELKKLVYLYLINYAKSQPDLAILAVNTFVKDSQDPNPLIRALAVRTMGCIRVDKITEYLCDPLQRCLKDDDPYVRKTAAICVAKLYDINAELVEDRGFLEALKDLISDNNPMVVANAVAALAEIQDSSVRPIFEITSHTLSKLLTALNECTEWGQVFILDSLSRYKAADAREAENIVERVTPRLQHANCAVVLSAVKIILLQMELITSTDVVRNLCKKMAPPLVTLLSAEPEIQYVALRNINLIVQKRPTILAHEIKVFFCKYNDPIYVKMEKLEIMIKLASDRNIDQVLLEFKEYATEVDVDFVRKAVRAIGRCAIKLERAAERCISVLLELIKIKVNYVVQEAIIVIKDIFRRYPNTYESIIATLCESLDTLDEPEAKASMIWIIGEYAERIDNADELLESFLETFPEEPALVQLQLLTATVKLFLKKPTEGPQQMIQAVLNNATVETDNPDLRDRAYIYWRLLSTDPEAAKDVVLAEKPVISDDSNQLDSSLLDELLGNISTLSSVYHKPPESFVSRVKAAPRADDEEFADTAETGYSESPSQGVDGASPSSSAGTSSNVPVKQPAAASPPPAAMPDLLGDLMGMDNAIVPVDELAAPSGPPLPVLLPSTTGQGLQISAQLTRRDGQIYYDISFENGTQAVLDGFMIQFNKNTFGLAAGEALQVTPLQPGQSTRTLLQMIPFQNISPGAPNSLLQVAVKNNQQPVWYFNDKIPLHVFFGEDGKMERAGFLEAWKSLPDDNEFTKEFPGSVISSIDATVERLAASNVFFIAKRKNANMDVLYLSAKMPRGIPFLIEVTAAVGVPGVKCAVKTPNREMVPLFFEAMEALT >Sspon.06G0016960-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6A:92429524:92429996:-1 gene:Sspon.06G0016960-1A transcript:Sspon.06G0016960-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSLPALFLEGITGRFSEHNKIGAGGYAEVYKGVLNNGTEIAVKKLYDVQGLDGAQFNKEFSNLMRIQHKNIIRFIGYCNETRHTTFELDGEHILAKRIYRALCFEYLPGGSLDKHLYG >Sspon.02G0028870-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:104886900:104888851:-1 gene:Sspon.02G0028870-1A transcript:Sspon.02G0028870-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNFVGANSLAGAGFDLKAMTCHDSAGERDEVPKQHLEIERRGELAGVHAPPQRQPVRALSARGHRRERAVDVEVHRTLERNDAEAPIWHASYRCLVTDNSSRGYSGRLFWGHRHMQNSTVHLLAGMLVPVVSTNTWWFGG >Sspon.02G0059980-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2D:105342584:105343252:1 gene:Sspon.02G0059980-1D transcript:Sspon.02G0059980-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSGDGGGRALRRVGSSPRAPPPPRRHSTLSRSDSIKKKSGAAKRSKRARLRAGLAAALQELRLAGRNQHGARGRGAGAVAAADVVSAGAARPWASDDVDGGGCCAAGAAAAPLHVSGGAETQQASRGVRVVAAGGRRVKNAAGGWSLLLLVAVLALACVVALGRAPAVCCCTCAAWLCRGQRPLCGSASSGGRHRSSEPSAAARFCHISRARAQLYARVFK >Sspon.01G0041560-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:50258080:50260425:-1 gene:Sspon.01G0041560-1B transcript:Sspon.01G0041560-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SMAAVVRGVLRGIKEKGLTNFIRDVRDEGYRQTKIHNIGATLVGVDKFGNKYYEKLHGTQSGRHRWVEYAEKGRYNASQLLNQKTARYLVEHKQNYSGEGEELIYHSKGHALNPGKGTGRGISPGSQRKSKP >Sspon.02G0013140-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:35218747:35227800:1 gene:Sspon.02G0013140-1A transcript:Sspon.02G0013140-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARP protein (REF) [Source:Projected from Arabidopsis thaliana (AT1G49670) TAIR;Acc:AT1G49670] MELKPGMSALVTGGASGIGKALCIAFARRGLFVTVVDFLEENGREVATLVQKENSKFHGDLRVPSSIFVKCDVSNADNLAACFEKHVHTYGGLDICINCAGIVNKTLVYDDTSDGTRTWRHAVNVNLVAVIDGTRIASQIMRDQKKPGVIINIGSAAGLYPMFFDPIYSATKGGVVMFTRSLAPLKRHGVRVNVLCPEFVQTNMAEQMSRKIIDSTGGYLKMEDVVNGAFELIQDESKVGACLWITKRRGMEYWPTPEERRKYMVNPNKSKGMLTNNIYPSIRMPEFFEKIVVHTLSHNFRNATRLERVQLRFPIKAHSALVKIIYAGVNASDVNFSSGRYFSGNPKETASRLPFDAGFEESQCMQGVGIVAAVGDSASHIKVGTPVALMTFGSYAEFTEVPAKHLLPVPRPDPEVVAMLTSGLTASIGLEKAGQMTSGKVVLVTAAAGGTGQFAVQLAKLAGNKVVATCGGESKAELLASLGVDRVINYRNERVKDVLKKEFPRGVDIIYESVGGEMFDLCLNALAVHGHLIVIGMISQYQGEDGWKPKNYTGLCEKILAKSQTVVSLDPKKFLGVASVPDAVEYLHSGKSVGKV >Sspon.03G0017710-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3C:76340493:76340981:-1 gene:Sspon.03G0017710-2C transcript:Sspon.03G0017710-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MYDDMTTMHPGIKSMEIDTEKCTLTVVGTVDPVRIVQKLKKKCFEATIVSVEDDKPQEKKDPCKEACEKLCKEKCDKITCCKECKEKCEKDCKDKCEKACEAWLGKGCCSCSRCKPSPGCYYDPCAVPSYYPYGYYNGCASKPYPYYGCYEERSHEGACTIQ >Sspon.01G0018530-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:68962044:68962890:1 gene:Sspon.01G0018530-1A transcript:Sspon.01G0018530-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGPVWGRAEGRGWRRVHECVLSPSVTGIGIGFLLDFNGWVGVPLPCVRTRGWAERRGASGNPIGTRSNHAAARKKTGAAHKPARPRSSSSHGFDTPP >Sspon.04G0000700-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:11672504:11676137:-1 gene:Sspon.04G0000700-3C transcript:Sspon.04G0000700-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLGVKATPFTYAAHALAAAAAVLVLVWCVHFRGGLALEAQNKNLIFNVHPVLMLIGYIILGSEAIMIYKVFPKLNHDTAKLTHLILHAIATVLGAFGIYCAFKYHNDSGIANLYSLHSWLGIGTISLYAIQWVFGFVTFFFPGAAPSVRRSALPWHALFGLFVYVLALATAELGFLEKLTFLESGGLDKYGAEAFLVNFTALVVVLFGASVVVAAVTPAHVEEPRGYAPIPQSNFIFSPMSLRAGLALLAVGTHGPTLRQLLTFLGSENTQHLDVAIARLLTNVSTWPQLSFAAGIFVDRTLFLKPEFVSSAASAHQAVARSVDFKNQPAAATAEMNAFVEQATAGRIRNLVFDPLDTVPHYFYRRDGEPVWVPFLSDAGMQFAESFDAPGLEFKVLQCFYKMVGRDGRLDSRAPCFCTLIFLPRDDGLLDLLRLAVTEPDFVMRCAPRSEQEVCPWKVPKFKFSFAFDAVNALRQLGLSEPFTYAADLSGMVSNMPPEGLYVSAMRQTCAVEVDEEGTTAVAATYSFLSPTGPCWSPPPPPMSFVADHPFMFAIVEYDKAELLFLGH >Sspon.08G0006250-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:19075124:19076585:1 gene:Sspon.08G0006250-3C transcript:Sspon.08G0006250-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRSASSPLLNCVRAPAASVEHLAVASPLLSPAVAAAPRQGAVLCRAMSEGDLAAPLVPKKDVEGHGRALPRLSASSSSSASISLEEDDREGEEDEDEETVVAVVAGAGGADVQLPLRRLLTSTGLDVSAAAAREALALVEQGVGGSSGGGNGKALGSSGGQGGVGDGGGGDNRAATDTHYRQMIEADPGNSLLLVNYARFLKEVEGDAARAQEYCERAILASPGDAEALSLYAGLVWETSRDAGRADDYYIRAVQAAPDDCYVLGSYAGFLWDAEEDDEENDNSETLPPPSLFQGAVQHPSITAAS >Sspon.03G0013960-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:41094813:41097891:-1 gene:Sspon.03G0013960-1A transcript:Sspon.03G0013960-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRLLLLLLAVSTAADALTPDGQALLAFKAAVLQDPTGALANWDATAADPCAWNGVACSSPDPGSAQPRRVVALSLPKKRLVAALPRAPLPSSLRHLNLRSNRLFGPVPPELVAGAPALQSLVLYGNALDGQLPEDLGGLAYLQILDLSSNAINGSLPSSILKCRRLRALALARNNLTGPLPAGFGAELAALERLDLSFNGFSGTIPEDIGNLSRLQGTVDLSHNHFSGPIPASLGRLPEKVYIDLTYNNLSGPIPQNGALENRGPTAFVGNPGLCGPPLKNPCAPSSNPSLPNEGGDSSAPEAAGGGKGKNKGLGKVAIVAIVLSDVVGILIIALVFFYCYWRAVSTKDRSKGHGAAAGSKGSRCGKDCGCFSRDESETPSEHAEQYDLVALDQHVRFDLDELLKASAFVLGKSGIGIVYKVVLEDGLTMAVRRLGEGGLQRFKEFQTEVEAIGKVRHPNIVTLRAYYWSFDEKLLIYDYIPNGSLSAAIHGKPGMLTFIPLPWEARVKIMKGVAKGMYFLHEFSPKKYVHGDLRPNNVLLGTNMEPFISDFGLGRLANIAGASPFVQSDRAGLEKEQSQQSDASVSPLTSKGLCYQAPEALKTLKPSQKWDIYSYGVVLLEMITGRSPSVLLETMQMDLVQWVQFCIEDKKPSADVLDPFLAQDSEREDEMIAVLKVALACVQANPERRPSMRHVAETLECLNGSS >Sspon.03G0008510-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:22897139:22900307:-1 gene:Sspon.03G0008510-1A transcript:Sspon.03G0008510-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLSGASASRLPIPLFSHPRARARCVPASSFACRAASSSAAGTGDGGARKPWLFVGLGNPGKVYQGTRHNVGFEMIDVIAEAEGISLSSMQFKAMVGKGRIGDAPIMLAKPQTFMNASGESVGQLVSYFKIPLNQVVVMYDDLDLPFAKLRLLPNGGHGGHNGMRSIVNHLKQSRDFPRLRIGIGRPPGKMDPANFVLQPFNKKEQEELDFALHRGLEAVRIMVLEGFNKSATYVNTAQSSEMLNR >Sspon.02G0008700-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:25074071:25076003:1 gene:Sspon.02G0008700-1A transcript:Sspon.02G0008700-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRASSGRTRRLLAVAVLSVALSLATATEAVAGGDHNFLRDFDVVWGAGNARFRDGGRTVELSLDERTGARLQSKQRYLFGKFDLEMKLVPGESAGTITSFYICTGGARHDEVDFEFLGNASGEPYLLHTNIFSDGRGEREQQFALWFDPTRAFHTYTILWNPHNIVLYVDGVPIRVFANNAAAGVPFPARQPARVFASIWDAEDWATQGGRVRTDWASAPFVATYRRYNVTNACVWEEEEGGGGGGRARCPTMVVLGGGPRRRRAPAWMAQRMDWWSWMTLSWVRMNYMVYDYCADRRRFPHEFPPECAIPIGRS >Sspon.03G0027180-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:5004261:5005819:-1 gene:Sspon.03G0027180-1B transcript:Sspon.03G0027180-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQEAEAGKPTNRDYILNLTFELAIVAHSTCRPWAPASIQLHCQVGIPDAAYRVQLLPWSQPCLENMGSTEDQDFPLVSLEMEALDRRQTRTDNADLVAQTAFFVGSRETPRGSSAAVREILPIIKAEAKRWMDAGAQGLQIVELEKTPCHDLKIQGLENVMHLEEAEEAKISNNSSLMWLSLVREHDNGSLVGHGGAMADKSVQEKLMPPRSLQVLHLVGYMSIDFPRWMLDIPSYLPHLTSIVLYELKGCSWLPPLGRLPNLRVLALFDMPDLKCVDREFYGDYGSCQQLRMIVLNKMDNLELWWTTRPSTEEGELLIPNLHL >Sspon.05G0007270-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:14314667:14315995:-1 gene:Sspon.05G0007270-3C transcript:Sspon.05G0007270-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRSSSTPFPHHFLSSSSPSSRRSSLHLRRAFSDGHLPSLHPSSLPTPNDAGSNPGGGLHTELSFSIYNTFGGKDQAVAAPLASSSSSSQGPAQAQQEQEEEGGQQQPTVVQPEHPEVPLFLARGLGIDRIASGFFTAGADKTDCGGANMERVEEQDEAVAALDAQYKTMVDEQPGNALFLRNYAQFLHEVKGDTRRAEEYYSRAMLADPSDGEIMSQYAKLVWEVHHDPERCLGYFQKSVQAAPHDSHVLAAYASFLWEQDDDDDCGEQGTGGAAAPQRAAGTGQAMELTSAAV >Sspon.02G0047140-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:11559939:11560590:-1 gene:Sspon.02G0047140-1C transcript:Sspon.02G0047140-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VLEEVVKAVAGAVPVLVDGGIRRGTDVFKALALGAKAVMVRTPLLSFSPSKDLVGLHGQFGKGTQPWTVGWLVRSDEVACMRLQVGRPVLYGLAARGEAGARHVIEMLNKELELAMALCGCRSVAEVTRAHVQTEGDRIRAL >Sspon.01G0054910-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1C:71371765:71371998:-1 gene:Sspon.01G0054910-1C transcript:Sspon.01G0054910-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSRELMETSAALELCGLCHENTSLIVRSGEEGVWSDAAQRLNLVVYAMLLWLQGTKSQWLNMSMLHDGSIGGPRRN >Sspon.02G0038210-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:40425192:40432684:1 gene:Sspon.02G0038210-1B transcript:Sspon.02G0038210-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGSLVGGEVNGTPTAALAQDRNQTGRPMGNEEARRQVDAVESDSESTNQVGDEQEHYYDISNNLKRRRRVDGTTTDAPTPVHQTSATKKLAAGARQERAAGARQAEYNEANPSGTYTNEPPTQLTTEPLGRLVQLQSSNAGKRDETTMASLALRPIIPATAASSTTLAVVVGSRHAATLHRSFRPRRVITCKAEPSGGNSTLELAAGAAGLASSAVVAWSLYTLKTTGCGLPPGPGGALGAAEGVSYLVVAGLVGWSATTKVRTGSGLPAGPYGLLGAAEGVAYLTIAAIAVVFGLQFLQQGSIPGPLPSEQCFG >Sspon.03G0030670-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3B:22017930:22024330:-1 gene:Sspon.03G0030670-1B transcript:Sspon.03G0030670-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTDAAEFRRGLNTLAAARMIANQNREILEIRAAAQCRAEQSRAVEHKPSRADRLTNQGRMKSCSNSSQSGRRQTDEDRWPPRGHINSMGNLDKQASHVNVRRRRTYILVYPQGCDVSNHLSLFLCVANHEKLLPGWSHFAQFTIAVGNLDPKKVKYSDTLHKFWKKEHDWGWKKFMELSKIQDGFLVDDVLEIIAQVQVIRRKLVRLIDDDMRWSSFRAFWLAIDPTTKHRMSREKSDIILKIVVKHFFVEKDVTSTLVMDALYTGLKALEGCSNGKKGTVTSMDLEELSAPMVHVDMDMFVLAGDFIALLERAALEPLSCQSLSPKDDKCSQTRAKDGGTGEINKVSIEHEERRLTEIGQKILEIFVLSHIFSGIEVAYQEAVALKRQEELIREEELLENEMKGKRGSATEKDKRSKKKQGLRTEGGGKFALQGNLEALNRQEYGIMARSLAKQKKNNRKVKDKEREEKSDSNFLERRQDGSINHDREDSKHVGQSAVKADNFGEGASDLSDKLSGSTEVCQTDTCDKIIQPVNAMNDVGIEMNNSQTCKDDDSTVDIETLVTSVSAAVNSIRGKINNLLDSTSLITPNRGRSRRNRGISSIIISQYEDDLPSSSCSDRNMSACGPAPRRDQETALITLKDRLRELGQRLHEVKQLF >Sspon.01G0013780-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:45363509:45364382:1 gene:Sspon.01G0013780-2B transcript:Sspon.01G0013780-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMARRFAVVACAAAAAALLLGVAAADVASIITQDVYNQMLPNRDNSLCPANGFYTYDAFIQAANFFPGFGTSGSSDELNRRELAAFFGQTSHETTGGTRGAADQFQWGYCFKEEINKATSPPYYGRGPIQLTGQVNYQQAGDAIGQDLVSNPDLVSSDPVVSFKTAIWFWMTAQSPKPSCHDVILGNWAPSDADAAAGRVPGYGAITNIINGGIECGVGPNDANVDRIGYYQRYCDMFGVGYGDNLDCYSQQHF >Sspon.05G0018290-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:77893647:77897734:-1 gene:Sspon.05G0018290-1P transcript:Sspon.05G0018290-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPNPSGDAGNAKAKLPLPQPVTAPAPSSGRPASVLPYKTANVRDHYRIGKKLGQGQFGTTYQCVGKADGAEYACKSIPKRKLLCREDYEDVYREIQIMHHLSEHPNVVRIRGAYEDALFVHIVMELCAGGELFDRIVAKGHYSERAAAKLIKTIVGVVEGCHSLGVMHRDLKPENFLFASTAEEAPLKATDFGLSMFYKPGDKFSDVVGSPYYVAPEVLQKCYGPEADVWSAGVILYILLCGVPPFWAETEAGIFRQILRGKLDFESEPWPSISDSAKDLVRNMLTRDPKKRFSAHEVLCHPWIVDDAVAPDKPIDSAVLSRLKHFSAMNKLKKMALRVIAESLSEEEIGGLKELFKMIDTDNSGTITFDELKDGLKRVGSELTENEIQALMEAADIDNSGTIDYGEFIAATLHMNKLEREENLVSAFSFFDKDGSGFITIDELSQACHEFGLDDVHLEDMIKDVDQNNDGQIDYSEFTAMMRKGNAGATGRRTMRNSLHLNLGELLNPSKT >Sspon.03G0035390-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3B:80476193:80476473:-1 gene:Sspon.03G0035390-1B transcript:Sspon.03G0035390-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding KGREKHGNTWWVLVTGDEADEGLGSWRRVAPAPHQSSESERGEAPVIGGRNEYKSRQRQKRTLGERKGNAADGRPSLGFFGPDRAHTGFFWAV >Sspon.03G0004960-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:28835987:28841664:-1 gene:Sspon.03G0004960-2B transcript:Sspon.03G0004960-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chloride channel protein [Source:Projected from Arabidopsis thaliana (AT4G35440) UniProtKB/TrEMBL;Acc:F4JN11] REKTAPSVGKGQELRTRRSRRSLGCVPAFLSLPLAFASQRPNHDSRDENEIAGERSEPSWRHPQPPPPARASSSPRPTPRPLSPRLRRAGGRSLGLSWRGGSAHLRVVRRAEEEDESGAASRGQEVEEAPEEPVASRDLVTLAACLVGLLTGVSVVLFNLSVHEIRDIFWASIPLRGASWLREEPIDEVWQRVIFVPVSGGVIVGGLNALRSYIRTNSNAPVSKIKSAFGPFLKAVAASFTLGTGNSLGPEGPSVEIGSAIAKGFGNVFEWEGGKRLSLVAAGSAAGISSGFNAAVAGCFFAVESVLWPSADSSSLANSTPMVILSSVIASVVSEIGLGSDPAFTVPEYDFRSPTELPLYVLLGVFCGLVSIALSRCTSLAMEAVESLQRATGLPKAASPALGGLIVGLLALLYPEVLYWGFENVDILLESRPFTGGLSTTILVQLIGVKILATSLCRAFGLVGGYYAPSLFIGAATGMAYGKFMRFTFTGPEPLLHVPFLDVASPQAYGLVGMAATLAGVCKVPLTSVLLLFELTQDYRIVLPLLGAVGLSSWIASSQRFSKRSKLDSQEDKSSIPQQANNMLTQNKQATSMDTDDLPLELCKIESSLCVYDANDDNMFKNLTVAEAMKTNYFSVSMTTPLIEALDLMLAEKQPFVMITENNTFVRGLLALKNIQDFCRAAKTTRAHAEVKELLISHVCQAGKCKSWFVTPQMPLTTAEKIMDSHGVDHLPVVFEDANPQDRGPLIGFVDRECIAIARRAMATKEFVSSMYEIKKEER >Sspon.06G0015830-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:70912442:70914925:-1 gene:Sspon.06G0015830-2B transcript:Sspon.06G0015830-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSQGDGNTIYDGTANCGDIKMFNDSLASAVNQSIEYLSSKVDIQGDENAAYEGNANCGRGKMSNDIVVGSNNQSSEHHNREVDSQRDGNVTQKCNANSDTVSDQGNINSEATDTVGEKSCYSRCLSMPVPNIFDFEKFRDVTWFEVGQIWAVYDNLDGMPRFYARILQLDESDFKVHLTWLEHNAANKKEEKWTDEELPVACGKFCLRKTRDISPDKSMFSHIVPWTEGKERNSYVIYPIKGEVWALYKGWSMEWSLDADNHRSYEYEVVEVLSNISADGGATVIPLVRIKGFVSLFATARDKLSFTIPSSELLKFSHRIPFYRTIGNEKVGVPGGFLELDTAALPTDLNAAFPSVTLESYMSLGSMSADLRTDSTSGRMVPGTEHIALEKNHPEAHLPMGNHNDISSEQDTSLQKDSHGATEFGDGSSQHNSISPIMFTCPESAFHDFEELRSCAKFECGQIWALYSDVDKFPKFYGWVTEVEMDPFRVYLTWLEACPKEKQEEQWLEQGAATSCGTFQVRSFRLEDHFEIRPKLGEVWAIYLNWSPDCSPSSSDHAEYAIGEIKRCTKSSTMFEFLTKVDGYVAVFKHDDQKRALKIPVTENLRFSHQIPSFRLTEENGGELRGFYELDPAAVPELPAASDAMPPLVLCTSDSDADNVACLYSLAENRVHKLTLPEGSRSVAGT >Sspon.07G0006540-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7B:14994044:14996816:1 gene:Sspon.07G0006540-2B transcript:Sspon.07G0006540-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding IHGPIRIKKGTMFIPENLIPTDIPATWGAMEKLYYAGKARAFGVCLQQSTRLSAIQFGSKTSYVWDSDTVVDGEVKVKEDVACRWTGSGEGRTGFGGLDLKTIGGGFTGLGLKTRTEVPRRNGRHVAVSETSRRGEATDEEARWPSDEDNTGLDHNTLGLSGLTQIRVEAEEQCDPSRASGSATNAGIQVPCALSRAASAEGSGSISRFGLLVARSCALIPALQIWFSSCSVNTGVVTPVKTPEPIDAGGSPGSPGYSGPSVLSDPIVISVAEKLQKTPAQVALRWGLQMGQSVLPKSANERRIKENFDIFDWSIPHDLMAKFSAIKQAC >Sspon.05G0024780-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:25867183:25870897:1 gene:Sspon.05G0024780-2D transcript:Sspon.05G0024780-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cell division control protein 48 homolog B [Source:Projected from Arabidopsis thaliana (AT2G03670) UniProtKB/Swiss-Prot;Acc:Q9ZPR1] MGTPSVSDGGGGGSAADGWRAEEAVAGNRRALEALRELVAYPFLYAHESRLLGLRWPRGLLLHGPPGTGKTSLVRAIVRECNAHLIMINPYSVHKAHVGEGEKFLREAFSEAYAQASQGKPAVIFIDELDAICPRRSDRQNESRIVGQLLTLMDGNKKSSKMLPHIVVVASTNRIDAIDPALRRAGRFDSEVEVAVPTVEERLQILKLYAKNLHLDEKVDLQTVAAFCNGYVGADLEALCREAAKLAYHRMLNISEGDKVLKLHMEDWESARSMVGPSITRGVTKEISTVSWDDIGGLKDLKKELQKAVEWPIKHAAAFSRLGIPPVRGVLLHGPPGCSKTTLAKAAAHASQASFFSLSGADLYSKYVGEGEALLRRTFQKARLASPSIIFFDEADAIAPKRTGPGGNSSGNATVGERLLSTLLTEMDGLELATGIIVLGATNRPNAIDAALLRPGRFDKVLYVPPPDVEGRYEILRIHTRKMKLGEDVDLWKIAECTELFTGADLEGLCREAGMAALREDISASSICNTHFQAARSSLNPSLTKAVVHEYSKAAINDPSSRKH >Sspon.03G0029530-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:16236352:16240515:-1 gene:Sspon.03G0029530-1B transcript:Sspon.03G0029530-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein HESO1 [Source:Projected from Arabidopsis thaliana (AT2G39740) UniProtKB/Swiss-Prot;Acc:Q5XET5] DFSMVDSVHDNIVLNKCIKDILALIKPVEDDRSKRLSTIQELENCIHSLASLSGAAVKPFGSFVSDLYSKSGDLDLSVQLWNGSNHPINKRKKQNVLREVRKALLSRGVTGYMQFIPHARVPVLQYVSNRFGISCDISIDNFAGRIKSKIFYWINTLDERFGDMVLLPRVFAATVFVGNLNVQHHLSFQIKEWAKAQNINDPKSGSLNSYSLCLLVLFHFQTSEPPILPPLNEIYEGNIAGDVTEAALYNEQHLDEVEDPVERPDNAARAVSMKGLDRITSAFNDACHKFNSLEHIDRNELLALLCTPIVGLKLGGKVTANSYPKTPQRNNQHTRTGGRAGRDQGQAPRARGFAGIRSVHKDPLANTTAHETAVQYRNHLQATTVRQTAIPYPGRNPQTHTTVHQTTPYQNHTVPQVHPTWPQTAEPYQNHNQQVYATGLQTGPYQSHNQSRVATRRNIPDPQSTGV >Sspon.02G0013300-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2A:35536768:35537067:1 gene:Sspon.02G0013300-1A transcript:Sspon.02G0013300-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDETRSCVLIRRRLHDTGASPRLPLPLATASLPLVPERQRGERPTACAVAPCATTRAGLAHCLRPRHARPRWCFRFLSLHVLQDMPGVLSGASGHSPIS >Sspon.08G0002280-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:6914106:6915309:-1 gene:Sspon.08G0002280-1A transcript:Sspon.08G0002280-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTLNLSTNVPVDAVVAADILKDCSKTVARIIGKPESYVMVSINGSVPMSFAASEEPAAYGELVSIGGIGPGVNGKLSAAVAEVLETKLSVSRSRFYIKFDDVQGSNFGFNGSTF >Sspon.05G0039100-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:61565136:61567719:1 gene:Sspon.05G0039100-1D transcript:Sspon.05G0039100-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGAGIRPGCLASVSLISSSSDQLDAFPLVKLFEECNRFFDLDLDNDLLYGEAGKNFMVSTVFPLHEVPKNGIKLSWDLACVLGHPLVDRLLFISPLCTLQAPKRSDDIHILRVMKCLDQRVGITVVVATNRPDKIDPALLRPGRFDRVLDVQPSNEADHADIFRIHTCSMPCRADMNLKELARLTEGYTGADIKLICREAAVAALD >Sspon.02G0025410-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:88096582:88097479:-1 gene:Sspon.02G0025410-1A transcript:Sspon.02G0025410-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWIQLPSECSALATTEEGGLQLATEHGYELYIWSTKDADEVDARWEQNKVIKLNTLLPVHADFTSLSVVGSTDDLSTIFVSVKDVAYAIDLKTDKVKKVYEGTTKTIVPYMSFYTPALEPDGTVEGPSAGGSSA >Sspon.08G0009680-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:42522873:42524306:-1 gene:Sspon.08G0009680-1A transcript:Sspon.08G0009680-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPQATKSLGELDSGARPHVMFIPSAGMGHLLPFFRVIAALAGNDVDISVVTVLPTVSAAEANHFSSLFAALPRIRRVDFHLLPFDASEFPGHDPFLLRWEALRRSAHLFCPLIVGAAPRVSAVVTDVTLTSHVIPIAKELGVKCHVLFVSCATMLSLVAYTPVHLDKKVEQGPGVGDVDIPGVRRIPQSCLPQPLLDLNKLFTKQFIDNGREIINADGFLVNTFDALEPVALAALRDGKVVPGFPPVYAIGPLRSQHNSTEVDKEEATGSPVAWLDEQPARSVVYVAFGNRNAVSLDQIREIAAGLEASGCRFLWVLKTTTVDRDDSAELTDVLGKGFLERVQGRGLVTKAWVDQEALLKHPSVGLFLSHSGWNSVTEAAASGVPLLAWPRGGDHRVNATVVVSGGVGVWMEHWSWDGEDWLVTGEEIRKKVKEVMSDAAVRARATRTGEEAAKAVAEGGTSYRSMQQFISSLKAT >Sspon.06G0030740-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:52942097:52942767:-1 gene:Sspon.06G0030740-1P transcript:Sspon.06G0030740-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCFGATMPTTTGGGGRRPWRWWRAKCAGIAAAVGSRLRRSVIGGVDVRHRRRTAPSVHYSQNGWCHHHHHKRSFAPVYVDDLYSHQHLQQPAAKALRGVVQHEPSTSARDKLATVAPAHAAAGAAGSKATTARAAVCLRTGGGSGGKQQARAMAAGVGAMRNVLLRSPGRGGGGGVLGVVKGMGEVDLRAELFIRKFREDMRLQSQRSAEEFQAMLARGL >Sspon.06G0008060-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:36316694:36320317:-1 gene:Sspon.06G0008060-3C transcript:Sspon.06G0008060-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear pore complex protein NUP43 [Source:Projected from Arabidopsis thaliana (AT4G30840) UniProtKB/Swiss-Prot;Acc:Q24JJ9] MADSPSFRRHPLASSVDLVRWLPSSSTSPSGRLLAAAVHDPTSAPAASSIHLLPLSEPGSPLASLPLPSRATALRCSPAALAAATSSGSLHFLPSSFDSDAAVSVPGGAGFHVGPVRGLDCGGEEWVTAGEDGRVHVVGGAADGRVVARRVWDGKGMSGYEAARWASPVEFATGGAGCGVQWWDRRKGDAVVAQCNGIWGRGIVTGMVHSIDIHPSRKHICVVGGSSGTIFAWDLRWPQQPIPLSGVGLNGTAGPVCESEVWEVLFDTYTQSSDIISSASTRILPVMMCSEDGILAVVEQDERPLELLAEACAINSFDIDPQNPSDVVCALEWESIGVLTR >Sspon.07G0025980-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7B:49823719:49827840:-1 gene:Sspon.07G0025980-1B transcript:Sspon.07G0025980-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLELFKYTRADGCVLDSFMVHEEKEARRLQKFKLRRGAPHFIPAWHGPRPSRHVLPKSDSVVMDKITSECETNNLTDKLQNLNLEKNQASWQDFGNLTSVASTTNRQKEWYCYRTQAHFKTNKDTVKAIPSPARAYHPMTHPNQKSALDGEQRCWKKVEIAPTENPQPETVPSASSKAPPEEHQQGGKSTLVHSASGAPEMTCEEQKIVGKACPPAAFSTSKACSEPLPGVLAIGSMLIPITTSNY >Sspon.06G0003690-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:30465126:30466129:-1 gene:Sspon.06G0003690-2P transcript:Sspon.06G0003690-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MESRAPGRGDEGTKALYLAPGNGSAAGTVAVGAMLSIQAGGSGLPKPEPVPVEFLGGGGGLQVSNPRPPPRYRDRHTKVEGRGRRIRMAAACADRVARLTRDLGHKSDGETIRWLLQQSEPAIIAATGTGTVPAIAVTGADGVLRLPAEPAPRTLAASSSSSSSSSRNRPPSAGASSSRRAARGRDRDRDPWHLPPPRCTTPSSPTPSSRPTAAARFRGLVPASAPAAPAGAIPFIAIPAPSPGATGEGKQMIPPAAAMWMVPQQAGTANQPTHYWAFPTNPEFLNFQQQAVYDGEQLVGDGESQGQQTGGYQPREGEDEEIAVTDSSSEE >Sspon.06G0026650-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:71112410:71115027:-1 gene:Sspon.06G0026650-2C transcript:Sspon.06G0026650-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASGSRQERWSLAGATALVTGSSKGIGHAVMEELAGFGARVHTCARSATELEESRRQWAEKGLVVTVSICDVSVPADREKLMDTVKATFGGKLDILVNNARQTFLKPAAESTAEDYSHVMVTNLESSFHLCQLTHPLLVRASIAGGGSVVHVSSIASYLGYPGHVLYCISEGAWLPSGPGQDCVNCVAPGAVTTDILKQVEPEFLELEISQVPMQRCGEVEEVASVVSFLCMPVSSYVTG >Sspon.01G0035790-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:15647726:15649051:1 gene:Sspon.01G0035790-2P transcript:Sspon.01G0035790-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding PTPRRPAPTRHRYRHRTHHPKQNPLFACLSLDPPQPEMASYDGDEDSGRGRPSQHRPSGGGSGDLASSAKLVAEAAKLALQDHSLEKVDKGRVAGAAADLLHAASQYGKLEGKPVGGYLEKAEEYLHQYGRKEGVGGKHQGEGEGKYGKKPGGGHGGGRYEEEEEEEGYKKKPTGGRYEEDEYKKKPGSGGSYGGGRYEEEDGYKKKPGSGGYGGGKYEDEDDYRKKPSSGAYGGGRYEDEDDYKKKPTSGGYGGGRYEQEDDYKKKPTSGGYGGGRYEQEDEYKRPPSGGGSSYGGGRYEEDDEYRKKPSGGGYGGGRYEDEDDYRKKPSAGGYGGGGRYEDDYSKKPVGGHGGGRYEEDDEYKKPSGGGYGYGASSGGHGGRYEEEDYKKKPSGHSGGRYEEEEGYKKTSGHSGGKYGKEEEDNKKKHGEDESEGGGIGD >Sspon.08G0001820-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:2378287:2380420:1 gene:Sspon.08G0001820-2B transcript:Sspon.08G0001820-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding PDKGPEKTQSGADKKNRPKDVFGRPLATEQEFEVLKNAPRLDTGAPARIKPFGVEVRNVRCLRCGNYGHQSGDRECPLKDVIMPNEESRLKRDDPLTTIMAQTDSSEPLKWELKQKPGMSPPRGGFDPDDPNQQIVAEDIFDEYGGFLNCDIPALISNFSASKSKNRSKKKSKHRQAKSAIHEDSGRHESSYHLSSDSENEKRNKTSRSKRKKTNCSDSSYSDSEIDSRKGKSKSKHRHKKKYQLESSDSEFEVCEDTRGHLKREHVKEKRYESPLSFSKDQGDTESKRHSRRSREKRHYSYSSSSSERGRHSLQHKEKQYYSDSSSGQSHRHSRRSREKRHDRESSSPDAFRRSRRSMEKQPHTDTSPHHTYRHSKRSSGKQDYTERSHYESSVIMKPVDIRGDLGRNGTTLILVPLITQTLIDTINIAIIIGENELAANG >Sspon.02G0018910-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2D:56494022:56495400:-1 gene:Sspon.02G0018910-2D transcript:Sspon.02G0018910-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRDFNGTYDTSERFVLQARSHGTALIRLHANNVPNKVDFIMVSVGAQMYPKDVILHSGQHLNFTIIGDSMDMRG >Sspon.06G0023930-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:48777757:48778158:-1 gene:Sspon.06G0023930-2C transcript:Sspon.06G0023930-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKCERHVSIQGLWLLSMVLLASSVVCAGTINGQTKEDINTRSVTIATTRSASYIIGSGGDRMRGSCAKRSDGWWWCGKLRFKTKEECEEDCKLHFVGKD >Sspon.06G0008450-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:44614232:44617832:1 gene:Sspon.06G0008450-1A transcript:Sspon.06G0008450-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRSSIPFPSPSLPFPIGGKKRTPIGIHQRFPSHSRPGPAQISLTRTRTCTCSPRAMASAGADDGAAAQPKAAISHVIFDMDGLLLDTEGFYTEVQQKILARYGKVFDWSLKAKMMGKKATESARIFVDECGLNGLLTPEQFLEERESMLQALFPSCTKLPGVLRLVHHLHANGIPMAVATGSHKRHFALKTQNHQEMFSLMHHIVMGDDPEVKAGKPSPDIFLAAMRRCSFGVAAAKNAGMSAVMVPDPRLDVSYHKGADQVLSSLLDFKPSEWGLPAFKE >Sspon.02G0023320-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:71788986:71789438:1 gene:Sspon.02G0023320-2D transcript:Sspon.02G0023320-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVSGAGAGGKAKKGAGGRKAGGGPRKKSVTRSVKAGLQFPVGRIGRYLKKGRYAQRVGTGAPVYLAAVLEYLAAEVLELAGNAARDNKKTRIIPRHVLLAIRNDEELGKLLAGVTIAHGGVLPNINPVLLPKKVAEKAAAKEPKSPKKAA >Sspon.07G0032000-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:42069819:42077772:-1 gene:Sspon.07G0032000-1C transcript:Sspon.07G0032000-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQFDHLHEGDVDQQLLVRFAGEVQPLVVPQTPQPPNKHDNAITIIELGFGLKGNTATYLGWLSKGFGRARRVKGGGPRSWPCRRRAGHTGYLPGRHRSGWHGARPPSCGPVPAGGGQLARPLYHRQRRLPGDERGGEESMPRSFEQKPWVAASPRGPLYHRRRRLPGDERGGEEKKGQKKKDDVRNRTVATQPWAAHAMLGGHRSWRAIKCRELSNDITILSSRPVPAMATVRSTLSPSLPPPSPSSPPAHTPVASTPRHRKPLTTTAAGHAALQASEAAELLTAAARARDLRLGRALHARLLRTGSLLEADAVVANSLLTLYSKCGAVAAARSVFDGMPVGLRDLVSWTAMASCLSRNGAEAEALRLFGETLEAGLLPNAFTLCAAAQACFVTELFRSAGGAVLGLVFKLGFWGTDVSVGCALIDMFAKNGDLVAARRVFDGLFERTVVTASLSAFLQSANFRTLRYRKEPRYASHSASTDRNPLRSTSSTDRPNTLHMMGDMIQPRTNMRSNSKPHKKCGGEAAFNWWWFYPLYMPEGHVQASGSNDTDDFVPGSSRGQVTHPFSFADPPAGVTPAQPPLVGSSVRSRSHVLLLSYLLSSI >Sspon.01G0001760-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:4681146:4684120:1 gene:Sspon.01G0001760-4D transcript:Sspon.01G0001760-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAESTQISALTAGAAHLLCFAGLAAAHALAGRGALVSDPALALRLLVVFSLTSPPLVPVCEAPIVIAVFSYLRRDAKSCSFIKAVARGLIGLPERSLMHLEQSFLVHLLESTTEAGETNFIIFQFVPAACVFGASKIDWQNVLSHSIYFTQTDVENYMISAPCHGAVLGAWLGAWPMPLDWERPWQEWPICVTYGAVAGYMVGMVVSLVLTAVHKRR >Sspon.06G0010180-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:43084258:43090756:1 gene:Sspon.06G0010180-4D transcript:Sspon.06G0010180-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein PAF1 homolog [Source:Projected from Arabidopsis thaliana (AT1G79730) UniProtKB/Swiss-Prot;Acc:F4HQA1] MASYRPYAPPQQHPPPPPPPQGGYPPQMNPYAPPPQQAPYSRMPAPPYHAGPPPPPPPGPPPPHQPQFNFGPGPPQQPPPPPQMYYQPPPPPPPYGGTSNPPPPPPSAPPPPPSPPPAAPPPPPPPPAQPPSAQAPPPPKEQRPKATLPRAETEEERRARKKREFEKQRVEDRKQQQMMRQTQAAILQKTQQRAAQQQPQSRHHHQQPPGVSRAVATGSRPTSAPNAERFENRLKKPTTFLCKHKFRNELPDPSAQLKWLPLNKDKDRYTKYRITSLEKNYIPKMIVPEDLGIPLDLLDMTPGCSAAHAPEDEELLRDDEVLTPIKQEGIRKRERPTDKGVSWLVKTQYISPLSTDAAKMSLTEKQAKERRESREGRNAFLDNLNDREKQIKAIEESFRAAKSRPVHQTKRGMQAEWVMPLLPDFDRYEEPFVMVNFDGDPTADSEQYNKLERSVRDECESRAVMKSFSVNGSDPSKQEKFLAYMAPAPHELTRNLDDDDDIQYSWLREYHWDVRGDDKDDPTTYLVTFDKEEGAKYLPLPTKLVLQKKKAKEGRSGDEIEHFPVPSRITVSKTAHGGTMERGESSGLHVNSKPRRSHVDDDLDEHPKRSRVEDIDQYSGEEYSE >Sspon.03G0009010-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:29399515:29401883:-1 gene:Sspon.03G0009010-3D transcript:Sspon.03G0009010-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDPFEAAVEEQDSPPESPAPAEEEPGSAGPADDPEDYDGGPRGAPPRQPSAPASHAAAAAAASKAKGRVQREQQEDDDDEEDQMEVDLEKLPSSTGDPDKLAKMNAILSQFTEQQMNRYESFRRSGFQKSNMKRNQRSFFFFPPHYDTRNLLAEFVARVVMTERKDSGPIRPCHIREAYRRLKLEGKIPRRSVPRLFR >Sspon.03G0025070-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:99351587:99353705:1 gene:Sspon.03G0025070-2B transcript:Sspon.03G0025070-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASVWLQLPPHRLHSPLLSLPSNHSSSACPPVCKYKKHAAPGRGNLLLCSASGASSSVVTKEQEEATSDPSSEEGSPEPEIYNYKDDPNFRGCKGCGREEVERGCNGEGRIQGGIAAVPGFGWWPIKAYRPCPGFVASGGRYRRQGQSMDDVASGRGKKKPSPAKNKSSGMQAISQSQLELLLGRCRVGPLP >Sspon.02G0016650-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:46495234:46498552:1 gene:Sspon.02G0016650-1A transcript:Sspon.02G0016650-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMSAGARIAASVGTSSKPDDVLVVFLLFLQKPLLGKLLGHLSSKMDRASKALKDVPQRFLDVLVDATFKFTDQALNPSESNFAPVDEIGEAIEIHQNQIEGAIPDDFPDGSISRRVIVSCLLNLTGERFLALLGSNPLFGALHSTSSIFGQSREIWVEGEGMLHALYFTKNSSSGSWSLSYANRYVQSETLRLETARQKPCFLPAIEGDPAAVIAAYIFNHLRFGKVNKDISNTNVFEHAGRVFVVAENHLPQEIGIDNLDTGGTWDIGGEWDRPAFTAHPKVAPGSGELVIFGTDAKRPFLVIGVVSVLYNLLYTMFDIKNKAPAQFEKESYARIGVMPRYGDADSVVWFSVEPFCMFHLVNCFEDGDEGLRSPDSIIPGPRLALNKCDSKMSELTEDDKPMNERTTDEFFFRLYQWRLNLKTKSVSGEYLTGTEFSLEFPIINNQYTGLQHSYAYAQVVDSCGNCGKVNPKYGGFAKFYLDERNNTEVHIVDAKRFEDAPVAKITLPRRVPYGFHGTFISKK >Sspon.01G0010500-2P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:8681355:8682327:-1 gene:Sspon.01G0010500-2P transcript:Sspon.01G0010500-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGARGHRTRTVVGAMSVMLLPITDILIIHDCFVHVCSIELYMHHRSGPAPCTGCDGSVLLDPTPSNQQPEKLSPANFPSLRGFEVIDAAKAALEAACPGTVSCADVVAFACRDASAILSGVRADFAMPAGRRDGSVSLSSEVPQKLLPPSFNLSQLAARFAAKGLGVDDLVVLSGAHSVGRSHCSSFVRGGRLNASTSDMNPALAASLQQQCPANATTDNTVVEDIVTPDALDNQYYKNVLARNVLFTSDAALLQSGQTAASVLLNALVPLPRLWEQKFKAAMVKMASIEVKTGTNGEIRTNCRVIN >Sspon.03G0013530-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:38684054:38684654:-1 gene:Sspon.03G0013530-1A transcript:Sspon.03G0013530-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding APRRSSSFSRPRPPSHPRTLARDLAAAAATEGGGGRDSGARQCRRVHWENQQAVAIEVVGDSGAGCSGWRTSGRRRLKWVAAPVQLRQPEKQRAVVIEA >Sspon.04G0004700-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:13832400:13834370:-1 gene:Sspon.04G0004700-1A transcript:Sspon.04G0004700-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAKMLPSPWISASVLVLLLSLHPGVHAFYLPGTFMHTYSSGEVISAKVNSLTSIETELPFSYYSLPYCKPPDGVKKSAENLGEILMGDQIDNSPYRFQVNVNESVYLCTTDPLTKEQAELLKKRARDLYQVNMMLDNLPVMRFTEQNGMTIQWTGFPVGYNPTGSNEDYIINHLKFRVLVHQYQAQGDVVVTSEDGVAMVESDRKSGFQIVGFEVVPCSVRRDPEAMSKLKMYDKADSVNCPLELEKSQVIRENERITFTYEVEYVKSNIKWPSRWDAYLKMDGAKVHWFSIMNSMMVVFFLAGIVFVIFLRTVRRDLTRYEEMDKEAQAQMNEELSGWKLVVGDVFREPSCPKLLCVMVADGIQITGMAVVTIVFAALGFLSPASRGMLLTGMIILYLFLGIIAGYVGVRVWRTIKGTSQGWKSVAWLTACFFPGIVFIILTVLNSILWGKKSTGALPISLFFTLLALWFCISVPLTLIGGLLGTRAASIDYPVRTNQIPREIPERKFPSWLLVLGAGTLPFGTLFIELFFILSSIWLGRFYYVFGFLFIVLFLLVIVCGEVSLVLTYMHLCVEDWKWWWKAFFASGSVAFYVFLYSINYLVFDLRSLSGPVSATLYLGYSLIMALAIMLSTGAIGFLLSFYFVHYLFSSVKID >Sspon.07G0024260-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7B:23048737:23049183:-1 gene:Sspon.07G0024260-1B transcript:Sspon.07G0024260-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPTFLRRPTGQARNDRGQIMTPSPITAWGRAAGHDVAHTGTICMIQACAAYEASLYVAIPSNGVKSCHNSRLRGLDSLRQSNHKDRHVATGMIMTLRVIATSSLGPRMSGHITTASKDWGHSFNSGTPPTTGQDWKLRPFLPRKDFT >Sspon.06G0001910-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:3586674:3590248:1 gene:Sspon.06G0001910-4D transcript:Sspon.06G0001910-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQPDADFIAAAATDPSPAPAPAPAPAPAPTPPVVEWPEGGALTRDWVAGLESTLDWCSRHLPADRLPAVLPPALVQRLVLAAAAILHREPNLVRVDPRPGQAVVVVGDVHGQLHDVIFLLRDAGFPSEDRIFVFNGDYVDRGAWGVETFLLLLAWKALLPNCVFLLRGNHESKYCTSVYGFEKEVMTKYKDQGPQVYRKFLRCFEDLPLATIIAGSVYTAHGGVFRGTIVVPSKRSKKGKKGHKFKADFTVDPTVMKLGSLDELLKARRTVLDPPWEGSNLIPGDVLWSDPSLQMGLSPNKERGIGLLWGPDITQQFLRTNHLKLIIRSHEGPDARDKRHDLLGMDNGYTIDHEVECGKLITLFSAPDYPQFQASEERHNNRGAYIVLNPPDFATPVFHSFEAVKPRPAAHPFYDFEEVIDSDEELNLDAMDSGTSSLS >Sspon.04G0006530-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:14809884:14811241:1 gene:Sspon.04G0006530-1T transcript:Sspon.04G0006530-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLKAGGAVHLHLLQCFMPQHQLQESATDQEAKESSAVSVCRRRSSSSTSPPPATMWEYHQAAHAAALQTTSSPASSFPPWSPYAGTTAALLGASSAFATDAGSSPPSMRLPAAGEHAVHGHAWSHNGEQSNITCYKENFLDLLASKNVTQEMFEDVPAGLYASQALHRRTRQICQESRALETSPFGKRSLPDLSSFGGYSRSTAESTSSAQPYLRSSNLAESSKEQQQWQWSGERSKEAAVQGKASTVKKSKQEALNHLLP >Sspon.01G0044810-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:85422268:85426790:1 gene:Sspon.01G0044810-1B transcript:Sspon.01G0044810-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNAse II-like 1 [Source:Projected from Arabidopsis thaliana (AT4G15417) TAIR;Acc:AT4G15417] MTPHVPREHRQRHAPPGEVPPMSAEDVAAIEAVLGYEFADKSLVELALTHGSFYFPYRPGDTYERLEYLGDGVLTCLMSREVFRTYRTLPPGPLTRLRAANVDTEKLARVAVDRGLHRFLRHKAPKLQDQICSFIEEMRKYPIHSNGLLDPPKVLCDIVESLIGAIYWDSNFDQEEVWRVFRTLADPLIGLETLGKHPVTELFEFCQKTRRGVKFVKDEWDKSLKVEVLIDGELVASATCGQKKEIAQNRAAKAALDKLKETLGQTQNESASADVSEALDELDIAGTLKYNE >Sspon.05G0012230-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:29156984:29168426:-1 gene:Sspon.05G0012230-2C transcript:Sspon.05G0012230-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AAQVVWISVPILFALFSVQRYGTDKVGYSFAPIITVWFFLIAGIGVYNLIVHEIGVLRAFNPMYIVDYFRRNGKEGWVSLGGVILCVTGTEGMYADLSHFSIKAIQISFSTVLLPSVALCYIGQTAYLRKFPESVADTFFRSIPELMFWPTFIIAILSAIIASQAMLSGAFAILSKALSLGCFPSVQVIHTSKSYAGQVYIPEVNFLMGLASIIVTITFRTTTEIGNAYGICVVTVFSITTHLTTIVMLLVWRKKFIFILLFYVVFSSIELIYLSSILTKFVQGGYLPFCFSLVLMALMITWHYVQVMKYWYELDHIVPADEVTALLEKHEVRRIPGVGLLYSDLVQGIPPVFPRLVQRIPSVHSVFLFMSIKHLPIPHVAPVERFLFRQVGPREHRMFRCVARYGYSDMLEESVLFKGFLTERLKMFIQEEAVFETNSTAGDTQTNPNKVIDPKVCGHDEKISSDLAFWVEKEKQLIDTEIERGVVYLMGETNVIAGPKSSAAKKIVVDYVYTFLRKNLTEGEKVLSIPKDQLLKEEVVFPKTVAELLPRWVIICVWKPCKSAAPTPGDPLWSAGYLKDNWIDTDLPETKFESNLKFLAQQPAVVDRRFFYRVEGLLGGEAVGDGLVAVVEEEAQAERHMEVDDAEDVGPHGGAQAHGGVKVDEPREQRAALAVIRRVPAPGGRSTPSSPFSRLAHTRSFSVSQELPFPADGGCGGDRGGGGGRGIGLPP >Sspon.01G0050460-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:2357085:2360294:1 gene:Sspon.01G0050460-1C transcript:Sspon.01G0050460-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVFYYVVFGALAAVVAGLELGKSGKDRVATTSAFNSFKNNYVLRGFDPQWLSITFSKAIFLGNGLIAIISGLFANLLAENLGFGPVAPFDAAACFLAIGMAIIMSSWSENYGDPSESKDLMAQFKVAAKAIASDEKIALLGAIQSLFEGSMYTFVFLWTPALSPNEEDIPHGFIFATFMLSSMLGSSIASRLLARKLKVEGYMQIVFSISAVTLVLPVVTNFRCDQAVSLHLGSAYIHTPQGNI >Sspon.07G0007530-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:20052432:20063695:-1 gene:Sspon.07G0007530-1A transcript:Sspon.07G0007530-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRPWVGMAGSGTASEPAADVARDDAAAVAASSMRGADSATNASAISFGFAATAVLVSMFLLMAIFEHLIKPGLASSSSSSSRGSRSHDDDDIREGRGHGRRLGLPPARQRERDASPDKLSHSPKRYPTFLAQPAPLAPCPREGVLWPSHDHRRSFVPPFAGQMSRPWVGMAGSGTASEPAADVARDDAAAAAASSMRGADSATNASAISFGFAATAVLVSMFLLMAIFEHLIKPAWPPPPPHPPGARAPMTTTTSAKAAATAAAWDCPPLDSASATRRRTSSVEPVAAVVDLTVLMPGQRYPTFLAQPAPLAPCPREGVLWPSHDHRRSFVP >Sspon.04G0000730-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:3117734:3122101:1 gene:Sspon.04G0000730-1A transcript:Sspon.04G0000730-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAAAVIPDGRRWSKGPGSSSSPVTTAIFLFFFVVVVGVLVSARWITTTAILTATQTTSIPAIPAAPPPPRPTYSLSCTAPPLPRDPTIPSNISQTLDLVLSPNASSASTCAAFPDPPPPLPANSNASSTCPAYFRFIHEDLHPWRASGGITRAMVDRARATANFRLVVIRGRAYIERIAPAFQTRDLFTIWGILQLLRRYPGRVPDLDLMFDCVDWPVVHADQYEGENATVLPPLFRYCGDNETLDVVFPDWSFWGWPEINIKPWDALQKELNGGNKRVKWLNREPYAYWKGNPDVAVTRQELVKCNVSSEHEWNARIYKQDWLKEIKAGYKQSDLAGQCAHRYKIYIEGSAWSVSEKYILACDSMTLVVTPKYYDFYSRVLMPMQHYWPIWDDNKCSSIKYAVDWGNSHKQKAQRIGKQGSNFIQKELSMEYVYDYMFHLLTEYAKLLRFKPTKPPEAIEVCPESLACQAIGREKKFMKDSMVRSASDAGPCDLPPPFSPEEFKALQRRREKAMKQIETWMQKASRPVDKKP >Sspon.02G0046200-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2C:1325932:1326246:1 gene:Sspon.02G0046200-1C transcript:Sspon.02G0046200-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPTLPPATFVHHLCGRQRIRPQSRRRRHRQRPVYRSSNGQTEPSEQLVGQSGEPQRTWANVEDRDMSNAGSQLWEPEAQSLHRSSDVSKLLSRNTGNCYSGVP >Sspon.08G0005500-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:16729297:16734434:1 gene:Sspon.08G0005500-1A transcript:Sspon.08G0005500-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potassium transporter, Salt stress response, Alkali toleranc [Source: Projected from Oryza sativa (Os06g0625900)] MTLSLAYQSLGVVYGDLSTSPLYVYKAAFAEDIQHSESNDEILGVLSFVFWTLTLIPLLKYVCVVLRADDHGEGGTFALYSLLCRHARAALLPPGRTAAGDEGQFLDGAGGGAEKANGNAVTLGGGAAASVRRLLQRHKVLQRVLLVLALVGTCMVIGDGVLTPAISVFSAVSGLELSMEKEHHKYWDQDQEQIIKEDGSLSDVELPIACIILVCLFALQHYGTHRVGFIFAPIVITWLLCISMIGVYNIIHWEPTVYRALSPYYMYKFLRKTQRGGWMSLGGILLCVTGSEAMFADLGHFNQLSIQVAFACLVYPALILAYMGQAAYLCKHHNMETDYRIGFYVIGCYNRHVGHNVSDVPVLCWHKSIFLAIAFIVFFGTIEALYFSAALIKFREGAWVPIVLALIFILIMCIWHYGTIKKYEFDVQSKVSINWLLGLSPNLGIVRVRGIGLIHTELETGIPAIFSHFVTNLPAFHQVLIFMCIKNVPIPHVRPEERFLVGRIGPKQYRIYRCIVRYGYHDFHKDDIEFEKELVCSIAEFIRSGSSKLNGMPVEFDEEQHMAVVRSNSIRMLEEEATTVEKTVGPSQANREIQSPSPSPSPAAVVVPKKRVRFVLPAASPKPNAGVQEELQELSDAREAGMAFILGHSHVKAKSGSSFIRRFVINFCYDFLRRNSRGPNYAVSIPHASTLEVGMMYYI >Sspon.02G0019130-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:61919065:61930257:-1 gene:Sspon.02G0019130-1A transcript:Sspon.02G0019130-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTSPRRSSGGDPPSPSTPLISSPTSPASGPSGGGPLGRLTSLRGAARFIRRTGSRRLMREPSVAVRETAAEHLEERQTDWAYSKPVVVLDMLWNLAFVAVAAAVLAASAGESPAVPLRVWIAGYVLQCLLHILCVTVEYRRRRRDADQEGAGDEDFKLSIVKHLESANTMFSFIWWIVGFYWVSAGGQALSHDAPQLYWLSIVFLAFDVFFVVFCVALACVIGIAVCCCLPCIIAILYAVTDQEGASEDDINNLSKFKFRTMSDADKLAAGIAAPVGGVMTECGTNPPVEHILSAEDAECCICLCPYEDGMELRELPCNHHFHCSCIDKWLHINATCPLCKFNIVKSNLDREEGLYILFLLNLIALQIMVTEWVDASYLDLPNLQFRM >Sspon.02G0013970-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:30488557:30489450:1 gene:Sspon.02G0013970-3D transcript:Sspon.02G0013970-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRDARRVAASAEQQQQPANQQPRGVRAAGAGRTTPMSPRSALRCAARDTQEAGATPRLMVIAPAKRTPAVSSIPSTPRRDGAALPRSRTVSGGATGSPARSSSHHQRRNSLGVLAEFGECATPRPFLKRGTGTGGAAAPARLRTTRVHDLPTIDVAAITPRPLSQQQQQEHTQAPRHVRSVSELPFETAALASPQARARKRWGSPERPTAMFSAAAAADSHRDNLSKGLKKLLSFVKKGGRSGGGDQPLPAPSPRGSGKPVSKGWSGCSHVDVPFDRASLDGHRFPMTRAVGISG >Sspon.02G0019360-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:62879026:62880371:-1 gene:Sspon.02G0019360-1A transcript:Sspon.02G0019360-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPFQVERERGTFDSCPVLLVPPGKNGVYANLVKQVREAFEACDLVRVDCSGLNKSDCRKIGAKLKDLVPCILLSFEFEHILMWRGSDWKSSLPPLEENSFEVTKVQESFSGKESNEKVTHSGNVLTQIELVSVATSHKNCNLGEGQEKFKDPIASDTVLNSAKEVPALFHSTELNPQQTLIGIFTIKPVCDIMDPSLKCQSIPTNNTENRGLAEKSEHSPDDYNLETKGKRNDGIKGTVLNSGSKVPSCIEGLLCLLEQAIDSGRALVLSEDELVDADLVYEKSVAFTKSIPRSLVFEHTQRKPSARRNGPDNHARIKKHLVENKLSSSHVEKKCIANGGSAMQTNDHAQEFLSDVVPQGTLRVDELAKLLA >Sspon.04G0037730-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:76836203:76836508:1 gene:Sspon.04G0037730-1D transcript:Sspon.04G0037730-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASSSSLRVPAPQRAVAVVVALLLVLVLLRAPCGGASRPVREGTTSNGAATVVRVRALDGDHHRARESVATAAAAAARRATTVGGGAPTPPSGPSQNHN >Sspon.01G0016880-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:29139863:29143633:-1 gene:Sspon.01G0016880-2D transcript:Sspon.01G0016880-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVDRVFLLGLYIFGKNLNLLSRFLGTKTVGDVLAYYYGKFYGRDAYKRWSDCRKAKTRKCILGERIFQGWRQQELISRLKSKIPKEAHDSLIEVFKSFSDSQTSLKEFVFSLKSTVGAETFVEAVGVGKGKLDLTGFITDQSKPNHALSVHSDLPTGKDCSSLASEDIIKFLNGDFRRSKTRSNDIFWEAVWPRLLAKGWHSEQPKDVSTTKNCLVFLVPGIKKFSRSKLTKGTHYFDSVSDVLKRVAADPVLLELEVDAINNGLTAEENVSIADVKLNQDSPLDGYQELPKFTIIDTSLVEGEEPFNVRELRNLPADANITLLLSQHASNMVSYSSSEEEGANDRLSDDQEGCGRVKAEVKEIEMVSAGSLQNMVTANGHSSNGNDDKIDLTSIYGIKTKPERRKYLSPVSKRRRLNSCSNEQASRRSFSFSKGGGLEKEKSKPPLTSSKPAAVEVGDTFQSKTIASCSTKEKPSEQKADALNSLTDDGQNERMVMDNLINKSLECKVDAVAEVHSKITADKPKFVKERADVIGPIDLNKPETLHDDKASRSINTTSLENLGSVKVDEAPSSSDKNMACDPSGAARRPEVPDPALQVNARRHGTRNRPPTAKALEAVAFGFLGSGKRKGELKNRATSRPSQRARKAAKNSVPEGEYQATT >Sspon.04G0013160-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:46668196:46669351:-1 gene:Sspon.04G0013160-1A transcript:Sspon.04G0013160-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMAMVKPVFIPKSQRDTIAERERLEEEERQLEELVKKRLEARKIETRQIVVEEIKKEEHIEKALNQEANIEDVDTDDELNEAEEYESWKNREIARIKRDREERDARLKEEEIEKVRNMTEEERREWERKNPRPLRQTKQKWKFMQKYYHKGAFFQEGADDVIQSAGKDDIYRRDFAEPTGEDKMDKSILPKVMQVKHFGRSGRTKWTHLVNEDTTDWNAPWATNGPLRAKYNAKMAGMNASIAKPKGSKKLKDWDAK >Sspon.05G0008990-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:17905104:17907500:1 gene:Sspon.05G0008990-3C transcript:Sspon.05G0008990-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTFHSDPYSRSSLQLQVRDGSMSFENNSTVLDKHEVVSPRVGMTFETVDLAYQFYLEYGYRAGFGVSKRTSHSVDGVKYRATFVCYKGGIARIKPGLKARRRLVAKTGCKAMMVVKFNASENHWEVVFVELEHNHPCNPEMVRFMMCFKDLPDWQREHRPFNAKTRLNPKIHSGRGRPPNQNKDFMDQLKQLMNVNCTEVSRNGSIATYTVTVIGKERKFDYRVMYNSAEKEVWCICRSFQFKGILCSHALAVLKQELVMLIPPKYILDRWRKDYKCPEEPKETPISPKAAKDTGKSSKPENIREDQADNLYKHGHQYFADIVEMGATDPDAMEYVLSVMKEAKEKVRKFEESRKEKRPGESPVSAGKKGAKFSKPSTEEVGNGTSVSTPTEAVASVTVVSSAPMAAASTMMAMAPPSAAVAPGMFLVPMHPHPLVFPPFTPAIPPAVAPVAPPAAPATNVASNTSKKRKKRKGNI >Sspon.07G0008170-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:19078200:19081586:1 gene:Sspon.07G0008170-4D transcript:Sspon.07G0008170-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARFILSLMELGVSATVHMLFGLYVFSTAVAADISQAAAASWCLLLRRPGAPPAAEGAALVDVAAAGESDERRGAAPVILDASPPPIVLVHGIFGFGKGRLGGLSYFAGAEKKDDRVLVPDLGSLTSIHDRARELFYYLKGGQVDYGEEHSKACGHSRFGRIYHTGHYPVWDEHNPVHFVGHSAGAQVVRVLHQMLADKAFPGHDTSEDWVLSLTSLSGALNGTTRTYYDGMLVEDGRSMKSICLLQLCRLGVIVYDWLDIPWLKNYYNFGFDHYEMSRRKVGYSGLINLLLGHMGPFASGDWILPDLTIQGSMKLNSTLRTFPNTFYFSYATKKTRKLFGITVPSSVLGVHPMLFLRVLQMCMWRHPQNAPLPYKGYRDEDWEDNDGALNTISMTHPRIPVEHPHRFVVDDSDCHPLQPGIWYYKIIEADHILFIVNRERAGVQFDLLYDGIFQRCRKHAFRKSLPTVPNETSQ >Sspon.04G0028550-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:68682092:68684928:1 gene:Sspon.04G0028550-2C transcript:Sspon.04G0028550-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSWRKAYGALKDSTTVGLAKVNSEFKELDIAIVRATNHVECPPKERHVRKIFLATSANCPRADVSYCIYALSRRLSKTKNWIVALKTLIVVHRLLREGDPTFKEEFLAYSSRGNILHISNFKDDSSQSAWDCSAWVRTYAFFLEERLECFRVLKYDIETERLVGHPQTSSKAHSKTRTLPSPDLLEQLPALQQLLFRVVGVQPEGAACSNYLIQYALALVLKESFKIYCSINDGIINLVDMFFDMPKYDAINALAIYKRAGLQAENLAEFYDFCKQLELARTFQFPTLRQPPASFLATMEEYIREAPRPSIKSEESEEPKLLTYDQEAPEEPENPVEEEKEEPEQEPETQPVPDPEPHPQQTTGDLLNLDAEVNPSIAELEESNALALAIVAPGDYKASATQSMFDVNSSGWELALVTAPSTHTSQAVQTNLSNPEIKSLTDRISSDQQAGGFDKLLLDSLYEDEARRQQIASVTYT >Sspon.01G0014050-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:41959284:41963459:-1 gene:Sspon.01G0014050-4D transcript:Sspon.01G0014050-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQVDCRRLLIRAHLQPLSSPSLSLGSQIPSPSPPPSWSFPSPVGGLAGAGIGYNLAASVRLFTFNGLDATGLNSPVIADHLPTLASPAAVMTFTTPTSFPSPGLCLNTTKKIPLPGKIEEVRATGWLDLMKASSPTRKRQTKDVICDTQSDDLDLHYRNWTVNYPSALTSFEAISDLAGSKRLALFLDYDGTLSPIVDNPENALMSDEMRAAVRHVASLFPTAIISGRSRDKVFDFVKLNELYYAGSHGMDIMGPVRKTTDSNGVECIRSTDAHGKEVNLFQPASEFLPMITEVYEKLGESVKDIDGARMEDNKFCVSVHYRNVAEDDYKKVFHRVSAVLEDYPCLRLTHGRKVFEVRPVIDWNKGKAVEFLLESLGLNESEDVLPIYVGDDRTDEDAFKVLKASNRGFGILVSSIPKESDAFYSLRDPAEVMEFLRMLAAWKEQST >Sspon.03G0038290-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:40090779:40091827:1 gene:Sspon.03G0038290-1P transcript:Sspon.03G0038290-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRAFVLVLAALLALCAAAVSVAAQQRPLPPKYRIINPGKYKRDQQMTCDDPKDNKPKCLAKCDKRCPDQCIVLCPGCKTFCMCDFYPGVSCGDPRFTGGDGNTFYFHGKKDQDFCIVTDAGLHINAHFIGKRNPAMRRDFTWIQALGIRFADHRLYMGAEKTAKWDNDVDRLELAFDGAPIDVPTETGAVWQSAAVPGLTVTRTAATNGVRVQLEGVFDVVANAVPITQEDSRVHNYGVTEDDSLAHLDLGFRFFGLTDDVHGVLGQTYRSDYVNRLSVSSNIPVMDGAPKYVSSDIFATDCAVARFGAAAGISMVTARAY >Sspon.02G0021500-3C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:73467908:73470244:1 gene:Sspon.02G0021500-3C transcript:Sspon.02G0021500-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding IADAQTQLCPNEKSDGGARLWWLWATLAAVSLLLYYLMTNQRRRWGLRSGRRPPGPRPLPLIGNLLDLREGNLHHTLARLARAHGAPVMRLDLGLVPAVVISSRDAAREAFTAHDRRLAARAVPDGKRALNFCNRSMIWLPSSDPLWRTLRGIVASHVFSPRSLAATRAVRERKVRDLVAYLRGRAGMEVDVKEAVYGGVINLVSSSLFSVDVVDVGAESAHGLQELVEELIECIAKPNVSDFFPFLRPLDLQGWRRWVAGHLSKIFQVLDDIIDRRLAEASSTPNGDDDRHGDFLDVLVELKSTGKITRDNLTLVLFDVFTAGSDTMALTVEWAMAELLRNPSVMAKLRAEIKATVGGKKEAVDEDDVAGLPYLQAVVKEAMRLHPVAPVMLPHKAVEDGVEIGGYAVPKGCTVIFNTWAIMRDPAAWERPDDFVPERFLNREQDIVGKQFEFIPFGSGRRLCPGVPMAERVVPLILASLVHAFEWQLPDGMSPEQVDVSEKFTTANVLAFPLKVVPDSRRRGGKASASQGWAWHVGPARAWARRGACVARWDAAGHGGPVSGQGSHGRPGFLRRLQVRLGRVSATSPEFTANVAGAASPGVASLELTINRKGEKKKRQEQ >Sspon.04G0015300-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4B:61231719:61232137:-1 gene:Sspon.04G0015300-2B transcript:Sspon.04G0015300-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDLIPGLPEDMARELLRVGFQHLPAARRVSRGWKAEVEPSHHRARRRHALLALAQARPPLAGSGPARKYAASGAGYSFRLVLHDPAAGGSWAPLPAPPGGGRLPLFCQLAAAGEGGPAAKLLVLGGWDPRRGRRRPRC >Sspon.01G0003240-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:5419971:5422536:1 gene:Sspon.01G0003240-2B transcript:Sspon.01G0003240-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGGEGGAGGSGGGAGGAAAGGGGESAAGTGARTLPRVPTWRERENNRRRERRRRAIASKIFTGLRAHGNYALRRHCDNNEVLKALCEEAGWTVEPDGTTYRKVLIRRSVPCLCLLVPSTVAAGRLAVSGVQWNPCMASSFGLVLGEISMIRAGCKPPGSSDAYMAGFIPGCSPVSPGMSCPVSPGMVSCPVSPRAYNGLSSPSSPTHFGGRGSSFFYGGASSSRGTGTGGGLLPWFNNISHSDDAYADGYSFSAPVTPQNGSPPRRKMARWAPDNATGAAAAGSNVRSPWATSPGPSRYASLPVTMPHTPVRGKAVAADPVSLLTGLQISAAAANKSPVYNMFDFDAGSYSSRPGQSSSAPWAAAAAAARGAGDGDTQMAPHGFSFGWSGGAFNAWEGEKATGAFNAWEGEKVSEIDEGDLELTLGNSRAGAGADRA >Sspon.05G0011000-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:31442601:31447262:-1 gene:Sspon.05G0011000-1T transcript:Sspon.05G0011000-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAEIPNSSPEATNPAAATGPDLSSSPPLPPRKRRLSPSASPTRSASRSRSPRSRSPRGRRSRSRSRSRSRSRSRSPQYLPDGKRRRHNDLNVEACRDFLRDRCTRSDLECRYAHPHNSVSVDQCVRARSRLLLRLQLLRSIGVEDPKLKTICRDFTRGKCSRSANECRFLHHSSVEDVAIVCQDFLRGQCNRIACRYSHVVAHPVPPMSHVPMPYPEMIIMT >Sspon.02G0016240-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:89611595:89614756:-1 gene:Sspon.02G0016240-2B transcript:Sspon.02G0016240-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEREDMMPEVITNNCSKKVRVSDEDGALVDALNRRAAVAAARGEPSPSFFEGFALQGIRVDSIRPGHILCSFTVSARLTAGDNHLAPGAVVALVDEIGSAASVSDGHHLKVSVDMSVNFVDLAAASAGDALRITARALGHKGAYSGTHVLVANAATGQVVAEGRHSLFGKMKIRSNI >Sspon.07G0004640-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:11326017:11329960:-1 gene:Sspon.07G0004640-2B transcript:Sspon.07G0004640-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARHPKPPASPSPPPCPPSDSDPGIGFDPIEEWLVDFDPAMSSELGAKGLGAAEQAAAVPHTLVETAAQKSSELEFGVKDEKVEVVSSGLDVLLAPDQLLVSGIGDLAVREDILEGAVAMEMAAAPPAAADPEMNTTVCVEVESEGGKEGQEAPPAPSDAEMMNTTVSVEAEAEGGKEGQESSDEESESESSEDDDDSSSSEASSSSEEEKEQGVNKDEESSEASSSEEEELGVKRHGGKADNLESLLEEGELMIGSDEEDGEQKGGIKSKYEAEVLPPVPKIEIQLEPHHQTLPVGTISAIMGERVIVEGSVQHNPLNEGSILWVTESRTPLGIVDELFGPVKNPYYLVRYNSEEEVPAGISAGTNVSFVAEFADHILNMKELYAKGYDESDPVDNDEEEDLPEFSDDEKEAEYKRSLRQAKRQTDRQHEPMKTSRDRKRSQSRGSGIRKPMPPRNLDTSTPGHQPRPHFHRSDMAPAGAENTARSLGPQNAPMFAPTMLPRGPMNPAVPSPVPLANQMGGCFMNPAQQQFLPQQPNMVWPGGFPQPPHPNMGVDGAALAANIMQNLLAGANQFQQQFQNPNFSGAGANQFQPQFQNQNFSGAGANQFQQPFQNQNFGGFPNQMPMPFPQFMPQTGMPANPMPFGGRPPVNPPFGPAPQVPMGQGSFGQPPNSQGFTNLAPPRGDGEQDSPPQFSSRQFHQGSPSFGRGRTQQRGGGRHSSGRGGRGGRHRR >Sspon.03G0028970-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:11471358:11474278:1 gene:Sspon.03G0028970-3D transcript:Sspon.03G0028970-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPAIRLLPLLVLVLFHLPVSLSSRHHRHRTPSPSPSPAPASSDSAPLAVLLACNATRFQPACVSTLSGAASDASTADLLAATLSALRARLPPAVSTAKSVLASSSNVNLTNAATNCLTFLALSSHRLSPSSPSPSLLSASTALLHLYDCWSAYKYVNFSRTISDAMAFLDATITVNSNYISMLAARQRYGDDTSLWRPPQTERDGYWPPAQTSVSDVDALGVPKGLPPNATVCGAGCDHRTVREAVAAAPDYGDGAFVVHVKEGVYRETVSVPWEKTNVVLVGDGMGKTVITGDLNADTSGVSTFNTATVGAYKYAYFCPFPLFFSTFLLDVKRVLADGFMARDLTIANTAGPDAHQAVAFRSTGDRTVLDGVELLGHQDTLYAHAMRQFYTRCRVAGTVDFVFGNSAAVLHDTALVVLPRQLRPEKGENDAVTAQGRTDPAQPTGIVLSRCAVNGSDEYLALYRENPGVHHVYLGRPGRSTPGRCTSGARSPRSCSRRGGCPGAGTSRSRRSTTASTTAPDPAAAARPAGGSRGAARCLRTTSTPTASPISYRGTSGCQKCSRTV >Sspon.04G0034210-2D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4D:75478873:75480057:-1 gene:Sspon.04G0034210-2D transcript:Sspon.04G0034210-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MCQKQCRDENGFKCHCMSESHQRQMQVFGMAPDRVVEGFSEEFLETFLSLIRRAHRHSRVAATVVYNEYIADRHHVHMNSTRWATLTEFVKFLGREGYCKVEDTPKGWFMTYIDRDSEKAVKDRLKRKRIKSDMAEDERQERMIARQIERAHKSLAKPDDGDAAESEPESGSEEEYSGSDDDGEEHEDGSKEASKATGKIAIALQKAVPGPKVNPFEDKPKVKFGFDEEDDSGAGEKEKDEFAKKGKDVKAAEARRSALDELMKEEEKAKERSNRKDYWLCPGIVVKVMSKSLAEKGYYKQKGVVKKVIDKYVGEIEMLESKHVLRVDQDELETVIPQIGGLVRIVNGAYRGSNARLLSVDTEKFSAKVQVEKGLYDGKVLRAVEYEDICKISS >Sspon.08G0028860-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8D:18250600:18250755:1 gene:Sspon.08G0028860-1D transcript:Sspon.08G0028860-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALEELSRPNIFLKLIPHPCVSNARHATVEPACMLVDERAESCLPFHPQHPH >Sspon.03G0038500-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:5338895:5341984:-1 gene:Sspon.03G0038500-2P transcript:Sspon.03G0038500-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNAGSAPDQAKNSADGAGAADAEARRGPPSTVRFFPDAERLRARQPPSIKLEEEEGVPPPPATEEEMAPRNLWQVYALGAFIVLRWAWAKWKENQDSEDSPDGGDRNAPDRST >Sspon.05G0002930-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:8707260:8712409:1 gene:Sspon.05G0002930-1A transcript:Sspon.05G0002930-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AMEATDHHAEAAVLWLAQTILGILFAGKMEAWIRQVGLTDDIEGLKSELERVEAVVPDGKGRAIPNWPLARSLGCLKELIYDADDMVDELDYYRLQHQVQAGTIDWANQPQGTDVNGGAQLVDGSRDNSGVPGRNDRKKRSKAWEEFSIIEEDADGKPVKAECIHCRTQVRCETTKGTSVLHNHLKSDSCKRKRAAIEQTPNPSSAAHGAPNVATIATYDSGSRKRMRTDEVSAHNPAASSDPWNKTEFCNRIQQTTSELKEAIKEVQKLYGSSSVARSNLCQDTAADPCQRTSSLVHRKIFGRDAEKNSIIKHMTGNNSNGAIVIPIVGIGGIGKTALAQFIYNDPVVKSQFDHRMWIWVSSNFDEVRLTMEMLDSVSQEKQTGISSLAKLQEILVSHVTSKRCLLVLDDVWDDMDDHAWNKFLAPMQPDNEKSNVILVTTRKLSIANVIGTIEPIKLGALENDDFWLLFKRCAFNDDNHDRHPSLNIIGRQIAKKLYGNPLAAVTVGTLLRVRLTVDHWSNILKNEKWKSLHLNKGIMYALKLSYDELPYYLQQCFLCCSIFPKNYLFRSNELIYIWIAQGFVKCGHSTEILEEIGQDYITDLVNSCFFEQVQTEEPTPGNQTCYVMPALVHDFARLVSRTECAALDGLDCNDMPPTIRHLSILTDSAYHEDQHGHIPRNIKFEEKLQSLATSVRKLRTLILIGKYDSFFFQSFRDAFNNAHNLRALWISATCAEFDSFLRNSVNSTHHRYLKLDKKGSSAALPIPLSKFYHLQALDVGHATTINDMSDLVSMRHLVIKMEARFMDPNSICLDMTQLESMNELVHLGVHQLQNVNGAEVCGAKLRDKQHLENLHLSWEDALSQDGYGSNTSSEHYMDTEPEEDNWPINGANNILTSEHYIDIAKEVLEGLEPYHNLKHLRISGYSGATSPNWFSSVALYTCLQTLHLDDCGEWQALPSLERLPFLTKLKLRNMSKVTQVSIPPLEELMLIEMTKLERCSCNSVRDLNSSLRVLMIERCDVLKAFPLFESCKKFRIEHKSWLSGLSKLTIHDCPLLIVSNPLPPSSSSCILSIIRVSTLPTMKGSSNGKLIIGADVEYILRCDEAFNVLTELDDKNFSFHNLRALTRLQIVDFCNLSFISLEGFKQLISLKSLEIQDCMEFFCPDVLPEQVHKDATTENFNAFPALKHLTIDGSRISGEWLSVMLRHAPVLEELHLGQCEEISGVLIEGKESCLSNDNSSPRDSSPGNPDDASTSSTRGGLVHIPSNLVSSLKKLTILVCHALSFLEKKASFSAFTSLEELKVWACPKLISSFEPKDENSDHANGGCLLSHSPGEPGIVQLESLQKPQLCPLMSLNCLKKLEISGNKELKSLKLGSYTNLEELIIDGFDSLTTLQGLQSLRGLRYLQVSRCPCLPQFLEHLSGQINELFPQLEWLWIDDYSFLTTSFCKHLTSLQRLELRMLSNKAKGLTGEQDRALQLVTSLQDLLISSCYDIVDLPVCLHSLSSLKRLEIIRCISRLPEKGLPPSLEELEIWGCNKELTSDCRMLATSMLKVKIDEVYVN >Sspon.05G0018560-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:76277697:76278743:-1 gene:Sspon.05G0018560-2C transcript:Sspon.05G0018560-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLACYYVPDLVAKNTDQQAMAMVLDTLASYLQDMLLEMAKEEVHLLLGVPDEIKKMGIKLGDLKRFLADADKRNIIDESVQSWVRELRNAMYDATNILDLCQLKVMERGPSRDMVCFNPLLFCMRNPLHVHDIGNRIKSLNERLDDIEKRSKTFNFINLASYKDDTKKVESSRRARLETTGEEQLGVVGEKIEEDTRNLVDLLTKKEKNIHEHKKVKVYAIVGVGGIGKTTLAKKIFNHDFIKLEFEKRIWLSINQEFSDIDLLERAITEAQGDHQAARTQRPH >Sspon.04G0003810-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:12325341:12325607:-1 gene:Sspon.04G0003810-4D transcript:Sspon.04G0003810-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding CSAATRSVRRGRTSGTTAATRARARAAGRMAAAARSRAGWRRPRRPRRRASGRSRRARPAGSSGSRTSARRRAARSRAPMRTPGSPGTS >Sspon.06G0007070-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:24313974:24316056:1 gene:Sspon.06G0007070-2D transcript:Sspon.06G0007070-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAFLSSSIPATSYSRSSLFLPQQALVKLVLPSSAPRGSGAAARRTSSEAGRSGRCVAASASSSPATAAVATEVPGVMKAWVYEAYGDASVLKLDEAAAVPAVADDQVLVKVVAAALNPVDAKRRAGKFQATDSPLPTVPGYDVAGVVVKVGSQVKNLKEGDEVYGMISEKPLDGPKQSGSLAEYTAVEEKLLALKPKGLDFAQAACLPLAVQTAHGGLEIAGLSAGNSVLVLGGAGGVGSLAIQLAKHVYGASKVASTASTKKIELLKSLGADVAIDYTKDNFEELPNKYDVVLDAVGQGDKAVKVVKEDGSVVVLTGAVSPPGFRFVVTSNGAVLGKLNPYLESGKVKPLIDPEGPFAFSQVVEAFSYLETGRVTGKVVISPIP >Sspon.02G0000720-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:4591799:4595179:1 gene:Sspon.02G0000720-3D transcript:Sspon.02G0000720-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGLRARAAAQLPRPRPSPSPSSAPAASLSLARPLAALAPLASAQPSSLSARSAAPMRPGGTGGHSRPTTRVLCTAAGSVQREGKELLVQHLLVGEKDVRLLVDLEKSIIAGGADLSDLAVEHSLCPSKENGGMLGWVRRGQMVPEFEEAAFSAPLNKVVRCKTKFGWHLLQVLAERDQCVLQDIDPEELHTKMQDPSFLEEAQLIDVREPDEVAKASLPGFKVLPLRQFGTWGPGFKKVYNVAGGIHAYAVKADSSIPTY >Sspon.04G0016600-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:61116175:61117853:-1 gene:Sspon.04G0016600-1A transcript:Sspon.04G0016600-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQLGYSMWFFLAALLFPLVLLKLKKRDNNGLRLPPGPWQLPVIGSLHHLLFRSPLAHRAMADIARQLNNAPLIYLRLGEVPVVVASSPDAARELMKTQDVNFATRPWSPTIKAMKADGEGLVFARYGALWRQLRRISVLELLSARRVQSFRRVREEEVGRLVAAVAASASASESAPGEAVVNVSERIAVLVTDSAVRAMFGGRFDRREEFLENLAEALKIAAGFGLGDLFPSSRLARLVAGTTRRRAVANHRKQFELMDRAIRQHEERRAAMATAAADDGAGAGAVHEEDLVDVLLRIQREGGLDKAQAEVRDHLQGKPTVTEDDLADLKYIKLVIKETLRMHPVVPLLLPRECRESCKVMGYDIPKGTTVFVNVWAISRDPKHWEDAETFRPERFEAGTVDFRGADFEFTPFGAGRRMCPGMAFAQASMELVLAALLYHFDWDLPGGQLPSEVDMTEEMGFTIRRKHDLYLRPVVRVVPPPA >Sspon.03G0012280-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:33967302:33971545:1 gene:Sspon.03G0012280-1A transcript:Sspon.03G0012280-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMDAAVATKSGGGAGATGVAAAAQAGMNGGETRSRFQRICVYCGSAKGRKPSYQDAAVELGKELVERGIDLVYGGGSIGLMGLVSHAVHDGGRHVIGVIPKSLMPREVTGEPVGEVRAVSGMHERKAEMARFADAFIALPGGYGTLEELLEVITWAQLGIHKKPVGLLNVDGFYDPLLSFIDMAVNEGFIKEDARRIIISAPTAKELVLKLEEYVPEYEVGLVWEDQMPAHGFAPELEPGIASS >Sspon.08G0017690-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8B:2533689:2534180:-1 gene:Sspon.08G0017690-1B transcript:Sspon.08G0017690-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQTPFKVYSGVCSCCKRLESAADYLTNLPLILLPLLLGLELHHANLVLQPKAPKWLGEDVRKLSAGLEELEDDLSYIDAIPKEMKLDVDVLGEGDGRLIVVHHQRWRTSFLAGQLAQQPAQPDRLARRCGCRDVLYNQEHDTRQNGIFRDWNLKHHTINPNL >Sspon.05G0001600-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:5050159:5052829:-1 gene:Sspon.05G0001600-1P transcript:Sspon.05G0001600-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGAGEGQEVERLLSYADDLLGVFRVSTDRDDNAQVGAGARRLVSACRSESDDLELQIKEYQEKIDSCKEKIDKAKVETIADDELNALQSEMEEKLQEEKQLREERKMENFEFEKTTPPVQICDELWKKI >Sspon.01G0056180-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:86176554:86177843:1 gene:Sspon.01G0056180-2D transcript:Sspon.01G0056180-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPPKDRPSGRLGRLLAALRPSRAGPLPVQTGFPTSLADLVVKNHGRLKKQPSPSSKRGKRGAAASTSPSSPTSPSPSPPPASPPPPAAAVAVSPSDRPRPADLPPAQPRRRGKGGGFGLGLGFLAVSGVVSLALLVIWSKKVVAAVTVASFSLYLLESVRSSSLPRPRRRPRPAVAERRLCLDGRGRVSPIREADAETEPSRPSCSDSDRGSDACILAVEESSGVLDESSNPKAKAKKKSWKKLLAASAKKLHRGRRSKEAGSSGSSFRSEGDRADDATARGGGGNAKAADSSGSRRVSASQTGAPAEDAAAAAAKEEADSSRGSRRSQGVEVDADAAPVEIDASVGDLIEEEEEEGEEKQAGIRFPALVLVAIVLMGLVAGKVVALALTVLCSAFLSSVSVQRSPCRGCGGGGGCSQGRRLELSMS >Sspon.01G0047650-1P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:113375931:113380902:1 gene:Sspon.01G0047650-1P transcript:Sspon.01G0047650-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRCFPFPPPGYEKTARPDAQLASHLQQQQQLDKFLCNWRLLDLETTFSSQEKQKEKKHKKDKKDKDRKEGKEKKDKDRSKDKHKDKKDRKEKHKDKKKDKSKDKSRESGEGIDRNDEALGQKVGESSRGSEEIKDLKFREDLVRKVQDEKGAASRPIENYAVSNDRNRKGFSASPTMETERSALNKVHIQPSNASRKNEGLVQQSINANQQKNGTSIWHSESFTASAQRTAGGFPPAPTTEEKFKAARPSSSTEAAPRKEGLDSESKLVMSKPPAVEKAADGRAERMEKVRDGAPDVAKKEDKKSDRHEKKKRKEKDKHKEKKKEKEAKKEKGEHNHKEHDKTRENNISYPIDSLNLKPSAPPLVPPVDDGKSVVPDENLKKRKNHETNGYLQNNLDMRPTKLPRPALPSNRVENGTASHVAAPLSSVKPEAINIQKAERLHKEEKEVTSPDCKYLSQIYSIPEAPQMMELPGQEGEEWLFDQGGTQSRKPSSAPEADGVPQVWAQALKIDPADVIALPYVIPF >Sspon.03G0033140-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:46161655:46162963:1 gene:Sspon.03G0033140-1B transcript:Sspon.03G0033140-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHTWTLSAGTLATQPYLTVTHIRNHRSFHLRTISPLLRRPNPTITIAKLLLIVVCSRSSSPSPLPRPPGPRTHPPIACLIAMDRSKSYAGGRMQIEPYYGGGGGGARPDFRSYSYSAGGGGMGTSSYSYSYQYEYGGPGAGAGAGAEEVKRSKSKRRWLALADPDMDRKRRVAAYKAYGVEGKVKGSFRKSFKWIKDRYFNLVYGWS >Sspon.04G0006450-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:14650248:14651993:1 gene:Sspon.04G0006450-2B transcript:Sspon.04G0006450-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAAREAQSGLEWRVTVPEGASVTMEHEAGGPAARACAWLLACLAKAWSGVAGFARKVWRIAADDPRKAVHGLKVGLALVLVSVFYYTRPLYDGVGGAAMWAVMTVVVVFEYTVGESIGFALAEWRRVQVFQQRRCHGERRRLALGVHWVADRSGELEPVIVTGSLFLLAAAATFSRFIPTVKARFDYGVTIFILTYSLVAVSGYRVDQLAALALQRLSTIGIGAFMCLAVSVLICPVWSGAELHLLTTRNMDKLADAVEACVNDYFAEAEEATARQSKSSDGYKCVLNSKASEDAYANLARWEPAHGRFGFRHPYGQYAKVGAAMRACAYCVEALSSCAAAEAHQAPPHVKRLLRDVCNRVGARCARVLREASRSVATMTTSSSRALDFAVADMNTAVQELQGDMRTLPSSMMAVKLLAEETTSLMDAMPVFTVASLLVEISARVEGVVDAVDELATLAGFKQVDDDDDDGDKKGETEMTIKVHPLNEPDTDEESPENKTSNKA >Sspon.02G0044100-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:99650520:99652574:-1 gene:Sspon.02G0044100-1B transcript:Sspon.02G0044100-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPTAPWFADLFTDDRVRTLSHQVSTLGDRVWELEHKITQLIGEKGKLEKQLEATKAISSHKEEVERSFKAENDKLRSEVSIAEEKCSKSEAEVERLKKELDALAEAKEAAAKEFNDERAKIMLESEDLRRRLEEIQAIKDLAENENDKLRSEALITKEKQNMSEAEIERLKTELGGLAEAKEAAAKAFDAQNAEITEELEELKRKLKEIQTNKDLVEGENDKLRSEIFTAEEKCRQSEAEVKCLKQVVGALMEAKEAAAKASEAEKVEMVKEMDSLKRTIEEIQANKDLVESQNQELRSKILTAEQEHSVFEAEVKSLKMELGAVEEAKEVLAKEFDAEKAEILKELEDLKRKVEEFQVNKDLLEGENDKLRLEVLTVEQKQSMSEAEARSLKMELGALVEAKEAAAKAFDTEKAKLMKELEDLKRKVEEIQTKKDLVEGEKDKLRLEILIAEQKHAMSELEVKRLKMDLDALAEAKETAVKLFDAEKAKLMKEVESLKSKIEEIHANKEAAEEAGRNKDAETDRLKDELVKIRVSMSQLNDEAQEKHSRLNDEKNSVQKALVSEKVEGNKLKLKIEELENYIAEKDGENGKLKAALEEKMSEIDALSKDNELLHLTIAEAQEKNKGSILSFLSPCVSK >Sspon.07G0002750-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:5050916:5053110:-1 gene:Sspon.07G0002750-3C transcript:Sspon.07G0002750-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GVKMSTSALKDPKVSQSTNLENGEDNSVKSCISKPVLNGNNCAYKEESSLSACPDAMTNGNAAGNADVEYVDSENLVDLPDVDAVLSTLLKRLDSKDWVMTCEALNNVRQLAMYHKERLQELLEPLVPLIVKSVKNPRSAVCKTALMTCADIFKAYGDLMVDSIDPLLVQLFLKASQDKRFVCEAAEAALISMTIWISPLLLLPRMQPYLKNRNPRIRAKASVCFSKSVPCLSNSDWREYGMDKLIMIAATQLSDQLPESREAARNLALELQAFYEKSQASTSDENESEPSVSQDAESWEAFCQSKLSALSAQAILRVTSTTPKEGVASTTNTTKEGVTSTPKE >Sspon.05G0018510-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:79402656:79411031:-1 gene:Sspon.05G0018510-2B transcript:Sspon.05G0018510-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLFSTPAKVYQPAAEVDLGPGSAEHYISPNVKAPRVAGFPVKMLAWVLETPVLGWIVLSVLKRDNLVYKLVSDAEIPEPPLFTATHTWQAAIPEQNVSATEPGLSPAERVQAAVACIPADLEPAAALGDGASFRRWTVQDFHRAYTSGQATPIMVARRFLAAVEECSGPDLNMGFFISCDPGDVLRQADDSTRRYQQGAPLSAMDGVLVAVKDELDCLPYPTTARRCVADAACVAQLRACGAVMAGKANMHELGAGTSSINPHHGSTRNPYNVGRVSGGSSGGSAAAVCAGLCPVALGADGGAADINLLKKQWFDDSSEDIRSLCGKALQMLRTHYGWESVDVTVPEIEEMRLAHYVTMGSECTASLAKYLDNMDRSEIGWDVRIALSAYGSFSSRDYLNSQRLRCRQMYFHEKIFETADAIVTPMTGVTAYALQDDALSTGELDYINGAALVRYSIAGNFLGLPAITVPVGYDRGGLPVGLQFIGRPWSEATLLHLAYAMQEACGKEHRKKPK >Sspon.05G0031010-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:2893285:2895017:-1 gene:Sspon.05G0031010-1C transcript:Sspon.05G0031010-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSLRSKREKRLRTLRREIAEPFYDKKEAAKLAAQAAALAAPPLQVRGPPPSQDAGSSRGASSASAMDVEMSDEGNNRSKTLLKPLGTISKKKVFPEADKVDNS >Sspon.08G0000200-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:1118728:1121283:1 gene:Sspon.08G0000200-1A transcript:Sspon.08G0000200-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSSPSTSSRSLLATRPLFSPSRSRRPPPSTSTPPRLSWLRPPSAYFYSYSSPSASASAFNGWADLAAAPDTPFPFPFPLQPTTHGLLVLLPAAALALSLSLARLPPLPILAAAFTAGFAARHLSSSAQPESPRRLSALLADLDAQARALRDELLSDARPGLLVQAVDRLRDAVADAATVAAQQGDTGRTVSDALGPLWEVADYLGAWARHAVRDLSLSSPRKKPAKSNNTSDVQVVANPNNQATAAAAQQQQEIGNNKPADSTLQQEPFAFGPSIVDNANGRPLDMLQFDEDDNGSKDAGTGAQATLGVGDARLERLVSKHRHRRDSVQNDGPFQGGSTRFSAESMESSLLERTLEIRDRSYRFKIERRGGDGVSQVSEAQDRADDRFVVDNAASLHPVYDDESASADSDGEEFSRNIKEAAEILRKARESMMAMADEETADALLYKSARLLSTAVALRPTSLVAVGQLGNTYLLHGELKLKISRELRTLLANSGAFLNGRERVSQSRKVDRRILSRESISSALVGVCEECESLLVEAGRSYRMALSIDSGDVKALYNWGLALIFRAQLLADIGPEAAVDADRVYLAAIDKFDAMLSRSNTYAPEALYRWGTALQQRSYLRPRNSRDKVRLLEQAKSLFEDVLYVEADNKMVREALSSCISELNYHGRWL >Sspon.03G0022160-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:86545674:86548232:1 gene:Sspon.03G0022160-3C transcript:Sspon.03G0022160-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVPAVVAAASSCEVDLGNLLAYDPSHHVAAAAAASREELRQECLRKGTELAQVVADALFALPPSEDRDGPIVHLPPPTVRLPREKHLPKPKPPTKWELFAKAKGITKRKKNKREWDEQTQSWKRTYGYDRVNDDKDIPIVEAKLTDEPGVDPFAQRRDEKKKRVEKQEKNRLENLKKAAKIGALPSHIQLAAKALPITGTKADLPKKSRKEDLESVVGMASSATASGGKFDEKLPGEKPPKHPGKHRKFLPVAEGKGMGSLEKQQNDKILNSLLARNSDEPLDAITMYKVKKEKQRRKEKDMSSKSDKLKPQKKPHKKSSKKKA >Sspon.07G0015690-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:5083841:5086462:-1 gene:Sspon.07G0015690-2C transcript:Sspon.07G0015690-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDYHLSVVSHYKISAKFIIYPLLHREDQEVSYGLKWAIAGKGVIVKDKVFHNLETSELQKGGTTYPDCLSGIPLHVRGDVIGDVPGVSKAQFAKLLKLVTFHLSSISCLYVQDGAVGSSAECDAKVRVISDNPSAVMSLSNVLWKIPDRAISHDTSPLTIYATSSISNNVKTVIGSGTQYANGFAAADIERSSLILCGKAFADSAIVKDALTAMTAPILSARGGLPVPGWLLCFGGFIVLLFAPVEIMMSCLEIHNALLSIDCGAVISSKGSTVLFPTKARREPKLFIKPAAVIIVSSDSTGAIPSVSKLSPGQAAYHFLAGYHDGKFVPAYSRAPSPADPLALASSLFSHLKEDDTPAYLINAKHSGKYIDDNGFMKLLKLALYHNLPDIKTEDFRVGELKGKYRNFLSSKFGKCLPEEFSF >Sspon.02G0006030-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:17812428:17818449:-1 gene:Sspon.02G0006030-2B transcript:Sspon.02G0006030-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRGKAIGAGAAKKATSRSSKAGLQFPVGRIARFLKAGKYAERVGAGAPVYLAAVLEYLAAEVLELAGNAARDNKKTRIVPRHIQLAVRNDEELTKLLAMAGRGKAIGSGAAKKAMSRSSKAGLQFPVGRIARFLKAGKYAERVGAGAPVYLAAVLEYLAAEVLELAGNAARDNKKTRIVPRHIQLAVRNDEELSRLLGTVTIASGGVMPNIHNLLLPKKSGGGSAKAAAGDED >Sspon.02G0044090-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:99445235:99450209:-1 gene:Sspon.02G0044090-1B transcript:Sspon.02G0044090-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAVTNQFVILKQQLDIIMASSWDRVGQAASVMQVTGVDAFGLVNMIVQAAYTARRNRDLCQQLAQHVMIIADLLRKLDIPALRQHQETRRPLEQLDAALFRAYKLVRFCAQQQENTSQLYQMFSCAKVASDLRLAQEEIDRYINLIPMITLVVAVGARQATNEVHGDGSNGAAPTQSRYRIIHDICDALLYMQKECSPVVVHGNIRPSNVMLDSSFNARLGDFGCTAIIWDSASRHCLTRILGTSRFTTETDDVYCFGVLLLEIASGGWRPAILPEEDDGDSSFHLVRWVWELYGRGALLDAADPGLQGEFDADQMERALIVGL >Sspon.04G0034840-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4C:79364964:79366324:-1 gene:Sspon.04G0034840-1C transcript:Sspon.04G0034840-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLAGRLLLVLLLLPTALCYLMAGKQCCCSRCLPGCCYWHCACLLHGTVCMRATELPTGRCYRRTMAERTECMSWQVMSMIGMVGPSRQGKGNCKKEDTMIWIGLDSACIFFDSS >Sspon.03G0021910-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:66788422:66791025:-1 gene:Sspon.03G0021910-1A transcript:Sspon.03G0021910-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQILLHGTLHATIFEAESLSNPHRASGGAPKFIRKLVEGIEDTVGVGKGSTKIYATIDLEKARVGRTRMISNEPINPRWYESFHIYCAHMAADVIFTVKIDNPIGASLIGRAYLPVTDLLDGEEIDKWLEICDENREPIGDSKIHVKLQYFDVFKDRNWARGVRSTKYPGVPYTFFSQRQGCKVTLYQDAHVPDNFVPRIPLADGKNYEPHRCWEDIFDAISKAQHLIYITGWSVYTEITLVRDTSRPKPGGDVTLGELLKRKASEGVRVLMLVWDDRTSVGLLKKDGLMATHDEETANYFHGTEVNCVLCPRNPDDSGSFVQDLQISTMFTHHQKIVVVDHELPNQGSQQRRIVSFIGGIDLCDGRYDTQYHSLFRTLDTVHHDDFHQPNFEGGSIKKGGPREPWHDIHSRLEGPIAWDVLYNFEQRWRKQGGKDLLVRLRDLSDIIIPPSPVMFPEDKETWNVQLFRSIDGGAAFGFPETPEEAARAGLVSGKDQIIDRSIQDAYINAIRRAKNFIYIENQYFLGSSYGWKAEGIKPEEIGALHLIPKELSLKIVSKIEAGERFTVYVVVPMWPEGVPESASVQAILDWQRRTMEMMYTDITQALQAKGIEANPKEYLTFFCLGNREVKQEGNMNPRNTRNLTLITSGLKRLG >Sspon.07G0001710-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:3360487:3364202:-1 gene:Sspon.07G0001710-4D transcript:Sspon.07G0001710-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPCGAALQRHAAVAVLRAAAAAGDLSKGKALHARLITAGHFDVVLHNNLISFYAKCGRVGLARKVFDAMPFRNAVSGNLLMSAYASMGRHKDSLALLRVVDFGLNEYVLSAAVSATANVRSYDMGRQCHGYAVKAGLAEQHYVFNAVLYMYCQCAHMEDATKVHAQALKKRLELNVYVGSALVDMYGKCDHAHDANLAFEVLPEKNVVSWTAVMTAYNQNELYEDALQLFLDMEMEGVQPNEFTYAVALSSCAGLAALRTGNALGACAMKSGHWDHLLVGNGLMNIVGRLDEAERFIVYKNYGLGHQVAEQILQLEPNDIGTYVLLSNMYAKTNRWDGVVKVRKHMRERGVRKPPGVSWIHVGSNVHVFTSEEKVHPQMDQITEKLEELIDQIKAIGYVPNFAVVLHDIEDEQKEDHLMKQNMKRTERNKWNGCEVIFHCHQTVDSAHASNPSKQRHFILKKTCEWHKVVQKMTG >Sspon.06G0001740-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:5570176:5573472:-1 gene:Sspon.06G0001740-1A transcript:Sspon.06G0001740-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding TMTARSDDPGVSPGTSAAAGCEIWGTWEELLLACAVRKHGTASWDSVGMEMLSRCPPATADSLTPAGCRLRYRLLHRRFAAGAGSESGDGNDEDLDAASADGCVEELRKLRVAKLRREVERYDLSIRSLQSKVERLKEERERSISGEANPPAVKEDDDDEEQPAGKGSLEEEDDAGVGEDRVSYSESGRSCKESNSSDLKRPQPADDAGGTADAGDSDAAVRGEDEGAGDDAARESSAVKREQASGESVAGSKDTAPDDAEKESSDVQSSASPSRSREPEIAAGEDAEAEEASAPPPPRRTPRSSPRRRPRRCARSSSRCRPASRAPCSSAGSRASICFSPDRWAQKCCSQDDAKYRSTIRGHVDLETVRSRLDGGAGSYASATEFYRDLLLLCANALVFFPRGSPEHSAAARTRALVAKHMSKDQPAGSSGKAAAGTAASKKAKAEADVGSLLEKTAPIIVCRKRSSIAKATAAATAKEEKAETDDKEEKEQKEAVGKRKAAAKDRAARGPRTNKTRGGPAVRKAAAAQHQKAAAADNGSDSDTPPEEARKQPAADKKGGGGAGVAKKHNAVNFLNRMKQGGSAPSTERVSLLETLKLSAQAEQKKAGKGEGKKEPTGGPGSKKAPQGRRNVGRPPKRAAAPPSPPPSKRAKGGGGGKRGGRK >Sspon.03G0016980-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:53362373:53381592:1 gene:Sspon.03G0016980-1A transcript:Sspon.03G0016980-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LTSSPWSSQVDAFTAEPFKGNPAAVCLLEDASKAADERWMQSVAAEFNLSQTAFLLRDSSAAGAVPRFQLRWFTPATEVRIHPPPHRRTAASYPVFTRAFVTPFQVDLCGHATLASAHFLFTSVLAEHEALIEFSTKSGILTAKRVPAPESTDVSGEGKLFIELDFPMIDIVDCHPSELPSIPESLNGASIVSVHKSTGDLIILAGYLTSSPWPSQVDAFTAEPFKGNPAAVCLLEDAAKAADERWMQSVAAEFNLSETAFLLRDSSASGAAPRFQLRCLLLTRAFVTPFQVELCGHATLASSHFLFTSVLAEHEALIEFSTKSGILTAKEVPAPESTGVSGEGKLFIELDFPMIDLVDCHPSELPSIPETLNGASIVSVHKSTTAGDLIVVELSSGKEVADIIPNIHEIKMCSGRGIIVTGPAPAGSGYDFFTRFFCPKFGIPEVDAFTAEPFKGNPAAVCLLEDASKAADERWMQSVAAEFNLSQTAFLLRDSSAAGAVPRFQLRWFTPPLRYASILRLIVAQLLLAGYLTSSPWPSQVDAFTAEPFKGNPAAVCLLEDAAKAADERWMQSVAAEFNLSETAFLLRDSSASGAAPRFQLRWFTPAAEVELSSGKEVADIIPNIHEIKMCSGRGIIVTGPAPAGSGYDFFTRFFCPKFGIPE >Sspon.03G0037050-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3B:96442570:96443003:1 gene:Sspon.03G0037050-1B transcript:Sspon.03G0037050-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPHCTASRLPPGICHKGLRCFSQTGTARCRQAAGYGPEIMDGTGKLLLLLLSLRPPAVLLQQQRQGKRTNQSHAGLGWGKNCYY >Sspon.04G0013790-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:50000203:50003855:-1 gene:Sspon.04G0013790-1A transcript:Sspon.04G0013790-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:50S ribosomal protein L21, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G35680) UniProtKB/Swiss-Prot;Acc:P51412] MPPLPAAAMATATLPLRLLPSKTLTLTTLPSARRSLSVAAVEPRRWRLRAAAEEAPEAVEVEFVEAEEEPAVPEPVEAQLAAAGAGKDADIFAVVMIGSRQYIVMPGRYIYTQRLKGANVNDQIILNKVLLVSTREKAYIGMPVVTNAAVHAGRDDKVIVFKFKKKKKYQRKLGHRQPNTRLRITGISGYEEYPADPILDPAAA >Sspon.05G0034840-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:64867244:64868111:1 gene:Sspon.05G0034840-1C transcript:Sspon.05G0034840-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNGSEERSEHAQELHGSPSTPLMTPQEQAIDEFWRRQEDIENLMNCNCHNLPMANIEEIIRANLGSLMTSSDTPPFVTKLCELFIQELAIRAWMCASSHGRFTILESDITEAINSTKPYIFLNGVLQRHGTNHDQESASSNTSQLLQETQFLAASYESTKTATSTTVTHLVGHGKVVDGDHQNIVVQKCPENYDCTSGSNIHSTKDMVASESTLHKLVKEDSQFPEDNHVLTSRNALEIKNGEDLNNMSTTSSGGTKETK >Sspon.03G0010640-4D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3D:33792868:33796396:-1 gene:Sspon.03G0010640-4D transcript:Sspon.03G0010640-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPWRMYRYEAAVLASVALRDSLYKVPQRRRLRFLGLLLGSNRAPRSIHRHSKTRPSNCDDVVTALLMRMGHRTCGTIFILLYVISASTLLASSNEHGLIRIPLKKRSIMDSIYGELLPKPPSALEKTKQAAAGPGPGREEAVGVDDPVRDAIAQARERQHQMLVEAAATERRRRYYWSYSGGGKGNSSRLHDGGLGQGNIVALKNFLNAQYFGQIGVGCPPQNFTVVFDTGSANLWVPSAKCFFSEFIEATHEPGFTFLLAKFDGILGLAFQEISVEGSVPVWYNMVNQRLVAQPVFSFWLNRNPFDGEGGEIVFGGSDEQHYKGSHTYTRVTRKAYWQFEMGDFLIGGRSTGICVDGCAAIADSGTSLIAGPLVAIAQINEQIGAAGVVNHECKQVVAGYGLEMVELLKAQQTPPSQVCSKIGLCTFDGTHGVSAGIESVSGSVDGMSEAICNACEMIVFWMQSEFNANKTTEGTLEYVDRLCENMPDPVGSYVNCRHIGSQQTVAFSIGGRAFELRPDQQYILKVGEGFAAHCISGFTALDIPPPVGPLWILGDVFMGAYHTIFDYGNMRIGFADSA >Sspon.05G0035510-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:74967046:74967962:-1 gene:Sspon.05G0035510-1C transcript:Sspon.05G0035510-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSADIKTLAESRTSTGKHQLHVSFRCAAPPAISSICYDYAGTMPRDDENNHEVDVIAAHGDSIVLRMMPRRRHTVVDGRVVPPTFDHFVYRAGTATRPPSLTLLPGLRFPRKYRSPRFLLDKDTGVLRRGEDDLLVAQIDTRHHDDQPDLADLCVLRVGWSEWELKRAVPIAHEEGEELMGSLTSPSMTIPVPVLGQLRLVCDMAEEVSPKLRHTNDLPPLSYCDGIGVAGPCAMRFVSIEPRCCCGRLGRSSCARSRFAFKVTTWTLTLTMDEPMTWVKEGVLDCEEL >Sspon.05G0035960-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:82759217:82764462:-1 gene:Sspon.05G0035960-1C transcript:Sspon.05G0035960-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLVSDAHRRCFQLERVIASRARSGHLGLDDALKLFDELLLHARPASVVAFNHLLAAVSRALGRRSSSTSESELVVSLFNRMIRDCSIKVAPDLCTYSILISCFCRMGRLEHGYAAFGLILKTGWRVNDIVINQLLKGLCDGKRVGEAMDVLLQRMPELGCTPNVVSYNILLKGFCNENRAEEALELLHMMANDRGRSCPPDVVSYSTVINGFFTEGQVDRAFNLFLEMMDRGIQPDVVTYTIVIDGLCKAQMVDKAKGLFQQMIDKGVKQNNETYNCLIRGYLSTGKWKEVVRMLEEMSTHGLEPNCFTYALLLDYLCKNGRCREGRIFFDSMLRKGIKPDVTIYGIMLHGYATKGALPEMHRFLDLMLGNGISPNHHIFNIFFSAYAKCGDIDKAMDIFNKMRQQGLNPDVVSYGALIDALCKFGRVDYAELQFNQMINEGVTPNIVVFSSLVYGLCTVDKWEKAEELFFEMLNQGIRPNVVFFNTLICNLCNVGRVVEGRRLIDLMEHLGVRPDAFSYTPLIYGYCLAGRIDEAKKVFDGMVSIGMSPNEVTYNTLLHGYCKARRIDDAYGLFRKMLINGITAGVVTYNTILHGLFLNGRFSEAMELYLNMMKSGKEWNICTYNIILNGLCKNNCVDEAFKMFQSLCSKGLQLHIITFNIMIGALLRVGRKEDAMDLFATISANGLVPNVVTYRLIAENLIEEGSLEEFDSLFSAMEKSGTAPDSRSSPKAQHACSFRYHSSVKWSFTGAFETTACSCREAACACDYTLPQRSGCCSNGKGRGRGRGSVVADPAPVESDRGEMAVVVTVIATTVTGASTVSSNDIQDWVGLNFDQASSLVEMIMR >Sspon.08G0012960-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:55139064:55144297:-1 gene:Sspon.08G0012960-1A transcript:Sspon.08G0012960-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSVEETKRSLTVAPFECAWGEELRFGEPGRGCIAFEASAQNDVTLVFRHQLGSQHYHYKTDTSRHYTVILGSHRNKRLKIEVDGNTAVDVAAVGLCCSSSFQSYWISIYDGYISIGQGKHPSNNLLFQWLDPEPNPHVQYVGLSSWDKHVGYRNISLLPSAPPNSIFWTQIEYANVHWVADAGDYARKRLSRDDCDQRVLGDFLENWDFSDAVFVVGTERKVVPAHKLVLCASGDFPFEIGDGATIVLPSASYPVLHSLLEYIYTGSTQIAEWLLSSLLELSSQFKVEPLVKCCKEIINCLEVDKKPSDSGKILEVSKSGLQDHKFDSSPLKAPLNVQKVGEFLANGEHSDINIYVNGHGLVAKGHKLILSLWSAPLAKMFTNGMRESSATDIFFGDVTPEPFFLLLQFMYYGELKLDSRDTTSVLVQLLLLADQFAITVLQFECCKQIMECLSECRLTPESLLQDTVCSVLQAVSSIPSCKLLEEVCKRNFAMHFDYCTTACTDFVLLDEATFKDILEAMQHGDMTVTSEERVLDVILTWCMGACETFHWTSVDELLRTSTPEQLFGERLSAINTLLPLLRFPLMQLSTLKRMERSNLANHIQAFRQLVAEAIEFSHAGQLIPTSYECERFQHRRSSYKELQYISDGDNNGVIYYAGTSFGKHQWMNPVLTKNITVVASSPNSRHTDPKALVSKNYQGTCFAGPCIENGKKLSWWMVDLGQDHQLMCNYYTVRQDGSTTFMRSWALQGSMDGRNWTSLRVHDDDPTICHPGQFASWPIVGPAALLPFRFFRVALTGPAAGCTWNLCICFLELYGYFR >Sspon.05G0017500-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:73095827:73096714:1 gene:Sspon.05G0017500-1A transcript:Sspon.05G0017500-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MANLEELWTTTSGFEIQGEELGAQCCFPVLSELCVKGCPKLNVKPYFPPSLGTLSFEDSNEQLLSPSSFSHRRLPPSADESSSSGNVHSAALRLKKLRLTGSSSSWEHLQHCSELEILQIEYCNDMTELPEILRNLTSLQQLEIMECQALGMLPDWLGELRSLRRLMVFVTPLIDDLPQSTKQLTSLVSLSICRWDNLKQLPDVIQHLTSLEHLNLGLCDELTVLPEWIGQLSALQQLRIQHCRALQFLPQSIKCLTALQDLYIVGSPGFARRYEQGVGPDWELVSHIPSVRIYD >Sspon.01G0054240-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:49976419:49979613:-1 gene:Sspon.01G0054240-1C transcript:Sspon.01G0054240-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRGDIRASRCGSGGRAVVGGRWCVGLRVLPHDGIQAERGPPVCSLVRGRILPTRCVRYVAGASSPQSEGPSTGDPCRVGRRERSAARQPITSVSSHVVLPQRGPWSGGGVDRGRRPPPSSAIEEQSLILLSLQVSSIDCFHDDSSSNNLKACSSVKHCNSN >Sspon.07G0011130-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:43673375:43674106:1 gene:Sspon.07G0011130-3C transcript:Sspon.07G0011130-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GSGDDPGATAHGAWSGAASVARGAGRVPHAAARPVPEAHHDGRAPPPRPRQARRADAARPPRAPPVEPPPPPRPPPHQLRRRIVVVVVVLHARLVLRPPPGGGVQLHHHAVLPAGALLPLQGPRRRTGARRAVRRPRRGGRGARVRDAQRRGGRRGRHPRCRAVAHGGHRDAVAHGGVDPGPQPRRGPPAARHRLAVPGRARGRVLQRARGRRGRRLHPQVLRAAQAAAYRRHAGHLPAPPRLA >Sspon.01G0012200-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:32934511:32936117:1 gene:Sspon.01G0012200-2C transcript:Sspon.01G0012200-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEVVELSFVAPCMETPRKGLWVSSLDLERANRGHTPLVYFFDPRPRSSTGDDNGADNFFDPSRLKESMAKALVAFYPLAGRVGVDGDGRTQIDCNSEGALFVVARSQLRLDDLDDLKPSPELRRLFVPRIEPSSIILAVQVTFMKCGGVVLGTAFHHVAVDGMGDFHFMQTWSAFSRDGDGAAVELPCHQRTLLRARSPPVVHPDALSVLYRPKMTSSSSSEPAAAAAATTAGVFVISNDQLASLKRICGGASAFCAVGALVWQCACAARRLPPDAEARVSFSADIRRRGAMRPPLPDRYLGNGIITLCASGAARDISSEPLEHVAGRIQAARNRVDHELVRSAIDYYHELLSLENESGYRLQGDTMAETELRVVSWLGMPIYDADFGWGKPRRVSRAGSVRGGYVHLTRSGPEADSGIRVLVCLEAANMEEFERLFSAKLKGLLRAKL >Sspon.01G0008630-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:28979489:28983050:-1 gene:Sspon.01G0008630-2B transcript:Sspon.01G0008630-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTNLRRRLHHGDVDGRKNEHVDISSADSLNEPLLGKSSDDNFGSEVYDPRRQDLWDDDRKKEQLHWSFLFSNLIAQWAQWLASIIVSSGSIFGRLFPFSSENQINPVYLSPLQEQRLNTLRRRLQIPFDGSCIEHQDALRQLWRLAYPTREIPPLKSELWKEMGWQGNDPSTDFRGGGLISLENLIFFARNYPNSFQVLLSKVQGQRADWEYPFAVAGINISFMLVQMLDLKSSVPSSKYGIRFLELLERDENAFDHLYCVAFRLLDAQWLAKRASYMEFNEVLKSTRTQLERELVLDDVLEVKDLPSYTMLDE >Sspon.02G0005850-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:18894005:18895081:-1 gene:Sspon.02G0005850-2C transcript:Sspon.02G0005850-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPWPRTPGRPSRSSRSPCRAGLHKPPWPWTVEAKRAAPWLLQFASQRVAGELRLSLRHDRLSRHSGEIVVPPCERATAITLDVDMLPLRFHLLAAAAAAATFAALAML >Sspon.02G0001700-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:13438063:13438503:1 gene:Sspon.02G0001700-3D transcript:Sspon.02G0001700-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSLNPNAPLFIPAAYRQVEDFSPEWWELVKTTAWFRDHWFRQHQLHEAACDAADDFDVAALLPDDSVDLLDTDDLFYAPDVHQVAKPAPALLPGYDLDVLRALSLSSPRANAAVAAPSPRAQQQQQRLADKPAYHAGAIHQPR >Sspon.07G0028550-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:67695972:67697771:1 gene:Sspon.07G0028550-1P transcript:Sspon.07G0028550-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRELRVDSFYARLRAAAAAAAGSSSPLLILPSAADADSLCAVRVLAHVLSADSIRFSIYPVASAASASALLASFASAPLCLVLVNWGAHRDLRALLPPAATAFVVDSHRPVHLHNLCARNDRVVVLFTADDEHTADLSYDFDLSALADASDLAADANADDHLRGAPDSDASDSEDSDADEDDDTDAAAGGGRRRKRRRLSDDDGDGPDDPVSLFGRLRREYYRLGTFHGKPSGCLMYDLAHAMRKNTNELLWLACVALTDQFVHDRITNERYQAAVMELEQHINGSGNLDPSGAGSVVTLKDGTKIRAPEASRIAYEDEPRLMLLREWSLFDSMLCSSYVATKLKTWSDNGLKKLKLLLARMGFPLADCQKNFQYMSMEVKRKMRDEFDRFLPEYGLTELYYRSFLRVHGYRSKVSAADVVYGVTALLESLDAESKDAKGSSAAEQFWVAYSALSLSNADQLRKGMQSAIEIQRAILRQGSSAITKTGFIRSAKKFRWVKLDDPVDTNKLCHPQALTKFCFFLLDALKERGARMKPLICACLAKEPEKVLVVGVCGKPRLGAVQGNAFGNAFRSAAEEIGVDYFHDMFESSWIVLDVVA >Sspon.03G0004610-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:18556217:18569150:1 gene:Sspon.03G0004610-1P transcript:Sspon.03G0004610-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGGGPPGGGSWETLETKPKVRGLDIRLELLKFYENYSANLMHLVVYGKESLDCIQGLVERMFSDIKNTDQRSFKCPSHPLSEEHLQLLVKALPIEEGDYLRIIWPITPSIQFYKEGPCSYLSHLIGHEGEGSIFHIIKELGWTMNLMAGESTDSNEYSFFSVSMRLTDAGHEHMEDIVGLIFKYLHLLKEDGVQEWIFNELVAINEMEFHYQDKVHPINYVMHTVSTMRLFPPEEWLVGAALPSKYAPQRINMILDQLSPETVRVFWESKNFEGSTSSAEPWYNTPYSVENVTPSVIQQWIKKAPTEKLHLPKPNIFIPKDLSLKEVHEKENHLRTHIHMKLLGPCVVNNSNEKDNVLPGYFSNSVKEEPTFTVVSLPEAVVATELFVDLLVDYLNAYAYDAQIAGLFYSIYLTSAGFQVSLGGYNDKMRVLLNAILVQIANFEVKPNRFSALKETSIKDYQNFNFRQPYSQASYYVSMILEDKKWPVAEKLEALSKLESDSFAKFVPHLLSKTFLECYVQGNIEPSEAKSVVEEIENTIFNAPNSLFKSMYPSEYLTKRVTMLENELKCYYQTEGLNQKNENSSVIQYIQVHQDDAVLNIKLELFSLIASQPAFNQLRTVEQLGYITSLSLRFDYGILALQVVIQSTVKDPSYLDVRVDEFFKMFESKIYELSDKDFKRNVKSLIDSKLEKFKNLWEESHFYWGEIEAGTLKFDRVESEVAVLRELKKEEFIEYFDQYIKVDAPERRTLSVQVFGGNHSAEFKKAIAEADPPKMYRITDIFGFKRSRPLYSSLKGVHSKN >Sspon.02G0018350-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:82706113:82708845:-1 gene:Sspon.02G0018350-1P transcript:Sspon.02G0018350-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWIQPVSRSVAAVLVRSEFRGGLLGFGLLELAGAVVDDRMDPFLGRLTRPATIDQSWCTAQSGLSLFNGPFNEFKDAIVPSSGLLSHNCCSDVANNFEEFATVVSRLHQHLLDANVEVTYTEYLDLMKVEVDQQLNKLSEDVRVFKSYNLAHKSDADGSCCTVCHVGKLAEIDDGFNSLKLLLVVVFRQIREMLTVVNSSIHDLQWEHELQLEVTGIIIGDCVRGLRAELERKLYEHSSMVNSLRKNWQETVAQCASIREDLIAISNILLPSEEEPQISLCRHESLGNWSDRWKFSFFRKRTHQDHSLSSGESQNSATQKSISPSEVISERSDFRHLKGMTRQEMLNYFRSEISKLKRLHELDLQEKTEELFKFKREKWSLALKYDVEFEPLRRKFPEVISRFDQIMSNGMAAAAPTICSNSDALDERSKLNSRIDSLYRENQHLRCLLAEKTKNIQELSSQISDATRKMSLQYSLEKQLLRQINSTKVEYEDLFVESTIRDEIYQTVTRKLVDSHRNVLEDTVQSFRAKVSSLESRALRKGQGIVFI >Sspon.06G0009110-3P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:38016245:38023304:-1 gene:Sspon.06G0009110-3P transcript:Sspon.06G0009110-3P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPQSLLLLPPALQMLRRCRGSASRSHFSTAPTLVSPPSKAVVYDEHGPPEQVLRTVDMPPVEVGDRDVCVRMLAAPINPSDINRIEGVYPVRPPLPGAVGGYEGVGQVHAVGPAVTAPLSPGDWVIPSPPSFGTWQTYIVKHESVWHKVRSDVPMEYAATITVNPLTALRMLQDFVKLNPGDAIVQNGATSIVGQCVIQLAKVHGIHTVNIIRDRPGSEEAKDKLKQLGADEVFTESQLDMKNVKSLLGALPEPALGFNCVGGNAASLILKLLKQGGTMVTYGGMSKRPVTVPTSYFIFKDLSLRGFWLQKWLNSDKTEDCRRMIDYLLGLVHEGKLKYEMESISFGEFSLALEKALGKHGSQPKQVIRF >Sspon.07G0008600-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:20172851:20179202:-1 gene:Sspon.07G0008600-4D transcript:Sspon.07G0008600-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCAQSRIENEEAVARCKERRQLMKAAVAARNAFAAAHSAYAASLKDTGAALSEFAHGEGLPPPPPPPGASAETAAPVAAQGGIGAAAAGASASGADAIMPPPPPLDSLPPPPPPLPDFSPSPAKIHRSISMPLPPSSGNKNPAMLHSDSIREEEEEDEDEAEREEDDGHLDNRRQRLRHRPPVPPHLSPPPPPETPVTPQPPPPPPPPSSEMKAGVDTWDYFFSMDDGMASIAPEDEEIMAEPEPPSPPRPPHSPPLAAVPLSEEFEEEPRTPEMATPPASLPPKPPKHSSRKKGKGKLKAAHHQHTESAPPVTLVTGGKAGKVVHAEMPRVDLLRVLAQIDERFLKASESAGEVSKALEANRMHYHSNFADNRGHIDHSARVMKIITWNRSFKGMQNGDDGKDDFENDELETLATVVDKILAWEKKLYDEVKAGEIMKLEYQRKVALLNRQKKHNAAIEVLEKTKAAVTHLHTRYIVDMQSMDSTVSEIQHLRDNQLYPRLLDLTDRMAKMWEDMHMHHANQLDTVLNLKSVDISDSSIETSGHHHSHTRQLRDIVDKWITNFTDLMNYQKEYINALYSWLKLNLIPIESSLKEKVTSPPRMQQPPIKVFLQAWNEHLTKLPDDLAKTAIVTFRAVLDTILGVQDEELKQKETCEQTRREYMRKARAFEDWYHKHSQRKAFDVDPESGEGIGHKDAVTEKKFAVESLKSKLDDEIESHNKLSKQVREKSLSILKAHLPELFRALTDFSNASFDMYSKLRLMSLMQDQGNN >Sspon.05G0035770-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:80683942:80686505:1 gene:Sspon.05G0035770-1C transcript:Sspon.05G0035770-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRIITAVNGQLPGPTLEAREGDTVVVHLVNEHGVFQRGTPWADGPAMVTQCPVKPGANYTYRFNVTDQEGTLWWHAHISFLRATVYGALVIRPRGGAGAYPFSPKPHREETVILGEWWNANVYDLQQQVFLTGDTTRMPPADAYTINGKPGDLYNCSAANQTYKFQVQSNETYLLRIINAALNTPMFFKVANHTFTVVGADAAYTTPYETDVVVIAPGQTVDALMVAGAAVGRYYMAASPYDSAIPQGPLFSMTNGTAIVEYAGSAGEEQPQLPPRTEYNDTDTAFRFLSNLTALVLPGKPTVPLSVDTRMFVTVGLGNGDCQPKQTLMNNASFLLPDAVSMLQAHYNKSAGVYTRDFPDRPPVIFDYTADDNDTLKYTTKSTKVRTLRYNETVEMVLQNTRLIAKESHPMHLHGFNFFVLAQGFGNYDEAAVAPGFNLVNPQERNTVAVPTGGWAVIRFVANNPGKESVQDGPTAETSVPPPPLDLPQC >Sspon.05G0015180-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5C:52454570:52454801:1 gene:Sspon.05G0015180-2C transcript:Sspon.05G0015180-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SPESSTHEPLRRCDAPQHVDEHADSTVGAVGGVDPAGVGDGHAAARALVEVDVVETRRRSDDTAKRGQGVEEVGVHA >Sspon.06G0014510-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:62927005:62928530:-1 gene:Sspon.06G0014510-2B transcript:Sspon.06G0014510-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCGPSIPEKYSIRGKGRKRRSIIQEVAVFVPTIRIPVASDIAHPLRGIVSKDLVDRLSTLHAHVVALAEEIYAKKDVVDSLLRASGCLDYSVHRILAQIPAQVKKSFPSYLQEGMLEAISIQALAQCVEIQLGLASECEKATLSVKRRLACEQVSYFSQ >Sspon.02G0045910-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:99678281:99679477:1 gene:Sspon.02G0045910-2C transcript:Sspon.02G0045910-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVTLRERKLENSWMMFVVTTCFYHFIQLLWSFQFQDKVYVLQVLKTRQVTYSILTHLSEYIQNLQKTGLLEEKEMVQLDDALQSGHSMLATRLGRQAVAHVTSQ >Sspon.04G0003500-6P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:46058250:46061149:-1 gene:Sspon.04G0003500-6P transcript:Sspon.04G0003500-6P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASTASRTNSRVNYSNEIHDLSTVQSGSAVPTLFYPDKSIADIFPPHLGKKVISEVVATFLLVFVTCGAASIYGEDNKRISQLGQSVAGGLIVTVMIYATGHISGAHMNPAVTLSFACFRHFPWIQVPFYWAAQFTGAMCAAFVLKAVLHPIAVIGTTTPSGPHWRALVIEIVVTFNMMFVTCAVATDSRAV >Sspon.01G0001180-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:2592797:2598881:-1 gene:Sspon.01G0001180-2B transcript:Sspon.01G0001180-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAVGLAGGKVEMFTVTRTSKSSHEPRKFLGGGGGGVRVRQAERGAALRGGVDHVFVPVYDHVPLDLLKFLDRKGRVLFAVHFKMSEALEKDKEIGEEEEEEEEEEYGLDTLTPTLVVGDSLKMKLNQNLQVMRWQLLKKTQTNQLAAVRKHPQRR >Sspon.02G0009000-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:24192945:24194395:-1 gene:Sspon.02G0009000-2B transcript:Sspon.02G0009000-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKLSRSLHTFDSHEAEVFQVEWNPNLATVLASSAADKRVMIWDINRIGDEQSEEDADDGPPELLFVHGGHTAKISELSWNPSEKWVIASVAEDNILQIWEMAESIYCDDLSMQVN >Sspon.08G0005060-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:15113585:15114607:-1 gene:Sspon.08G0005060-1A transcript:Sspon.08G0005060-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLVHVRIVPCKKLRRLALVNLREYTTVHIFYDDNTEKQVNEMVELSHDCDKVEKLTGDEIGLHEVASSRDCGGEESKTAEKPDELPGFLPSNKQAKRKSMLGARALVVKAKDLLKAPCCGMWRETNITQDTGAGNDSRAVTSSRPALTPGPSNETREQAAVPTLDYFKIESCRSLKLYPYYIPMCEELFIKDCSLDLDDMEPESPSGMYGQESSYRMYRGEFGSLMHGRDPTSRRYRWESISGTSRKLKITNCNQLSLGLLLLLLKPSLQELEIDSMERIDDSMHGRYMDKWESISGTLRKLKITNCNRHIVDRLLFLFKSSLQELEIDAIKSIDDLP >Sspon.07G0007080-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:40866859:40868171:-1 gene:Sspon.07G0007080-1P transcript:Sspon.07G0007080-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEADGHAGDDGASRPAPRLNERILSSLSRRSVAAHPWHDLDIGPDAPAAFNVVVEISKGSKVKYELDKKTGLIKVDRVLYSSVVYPHNYGFIPRTLCEDNDPMDVLVLMQEPVLPGCFLRARAIGLMPMIDQGEKDDKIIAVCTDDPEYRHYNDLNELSPHRVQEIRRFFEDYKKNENKEVAVNEVQPASAARDAIQYSMLVSISISFRPHAAWTFLYLQSIEQSMT >Sspon.02G0009930-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:22045675:22051667:-1 gene:Sspon.02G0009930-4D transcript:Sspon.02G0009930-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLGAVARTTRMAAEEMRRASASTAEVPAAPAQAGSRWARVWPTALRWIPTSTDRIIAAEKRLLSIVKTGYVQEHVNIGSAPPGSKVRWFRSASDEPRFINTVTFDSKENAPTLVMVHGYGASQGFFFRNFDALASRFRVIAIDQLGWGGSSRPDFTCKSTEETEAWFIDSFEEWRKAKNLSNFILLGHSFGGYVAAKYALKHPEHIQHLILVGPAGFSSETEHSSEWLTKFRATWKGMLVNHLWESNFTPQRVIRGLGPWGPGLVRRYTSARFGTRSTGELLTDQESTLLTDYIYHTLAAKASGELCLKYIFSFGAFARKPLLQCASDWKVPTTFIYGQEDWMNYQGAQQARKDMKVPCEIIRVPQGGHFVFIDNPSGFHSAVFYACRNHGEEGFTFPDGLISA >Sspon.07G0008800-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:24231904:24245845:1 gene:Sspon.07G0008800-1A transcript:Sspon.07G0008800-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTLAQPRFAPLRTEQSESQTDAVAAGYQFRLVSYNILAQELDEYDTFYKKNMENSGYSSIYIQRSGDKRDGCGIFYKPKSAELLQKEGIHYNDLVEKYVPSDHVNTALENNSSTEEDKNTKPDNSKRGDPNDPRVRLKRDCVGLLAAFKLSDPCDHILIVANTHIYWQVKQKIYSLWNMLSQSTLYWLTSVQQMDPEWIDVKLGQAKYLLSRVSQFEQLISNKYNCKPSVIIAGDFNSTPGDKVYNYLVSANLESTDETPIKLRSLYAANGGEPEYTNYTPGFTGTLDYIFLSDGSSIKPTSLLCLPRGDSEDVRGGLPNFQHPSDHLPIGADFLAMIT >Sspon.05G0026570-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:37000683:37002080:1 gene:Sspon.05G0026570-1B transcript:Sspon.05G0026570-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MCDRPPPAASRRSRRCRAVHGRPPRRAIHQPWPLRAPPPTLAAASGSLTCLGRRRRRSRRLLASDVPWLRKQQVEKEPDVVLTHPPALAPSTAGRHVEPSNDPGPSTPAVPRPKSTGSGHWCCGSKSRPPGQPPPSPERTSTGRREGGNKGEGPRRHHPRGCAASGSTLRQRRGGTGREGGEVGGGGVAARAIQTGQRGGDPISPWMAYDLKNQIVIILKIGSASLKIFSWNILHDWSDESCVKILQRCKEAIPSRTAGGKVIIIEMVRGSSQGDSKINEMEVIRNRFLLYINTGWNET >Sspon.07G0008160-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:19000024:19018315:1 gene:Sspon.07G0008160-3D transcript:Sspon.07G0008160-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to 1-deoxy-D-xylulose-5-phosphate synthase [Source: Projected from Oryza sativa (Os05g0408900)] MALSTTFSVPRGFLAVPAHQDSHFASAAEQLHGHKSLQARPLRPRRRPACVSASLSEREAEYYSQRPPTPLLDTINYPVHMKNLSVKELRQLADELRSDVIFHVSKTGGHLGSSLGVVELTVALHYVFNAPQDRILWDVGHQSYPHKILTGRRDKMPTMRQTNGLAGFTKRAESEYDCFGTGHSSTTISAALGMAVGRDLKGGKNNVVAVIGDGAMTAGQAYEAMNNAGYLDSDMIVILNDNKQVSLPTATLDGPVPPVGALSSALSKLQSSRPLRELREVAKGVTKQIGGSVHELAAKVDEYARGMISGPGSSLFEELGLYYIGPVDGHNIDDLITILNDVKSTKTTGPVLIHVVTEKGRGYPYAERAADKYHGVAKFDPATGKQFKSPAKTLSYTNYFAESLIAEAEQDSKIVAIHAAMGGGTGLNYFLRRFPSRCFDVGIAEQHAVTFAAGLACEGLKPFCAIYSSFLQRGYDQVVHDVDLQKLPVRFAMDRAGLVGADGPTHCGAFDVAYMACLPNMVVMAPSDEAELCHMVATAAAIDDRPSCFRYPRGNGIGVPLPPNYKGTPLEVGKGRIVLEGDRVALLGYGSAVQYCLAAASLVKRHGLKVTVADARFCKPLDHALIRSLAKSHEVLITVEEGSIGGFGSHVAQFMALDGLLDGKLKATAQLPGLARALAADARRLRSRLAFLLLSPPHFARALARLRSMPLPAKAALLGRALLRSLLLLLPALCPDHHLLLQPSDLDAALLLLAMCDSYSPAAAAAAASPSPVDWRAVIVDDMVASALSISGLGATPWAALAPYVDAAAKCRRFAEVACAVAAGDSGTTTKDGEGSGAASYAAVLALPLAAGDGAPCAICREEMVARGGRGGVCGLRPCGHRFHWRCALRWLARRNTCPCCRAELPAEDPLAETRRLWRGVERMALGWRRRGSNGAVDDVLCSKGIPRRACSSGLPFRFGGRAAPWPQVAPGQASQALVVNLMANACCVQPRRRPACVSASLSEREAEYYSQRPPTPLLDTINYPVHMKNLSVKELRQLADELRSDVIFHVSKTGGHLGSSLGVVELTVALHYVFNAPQDRILWDVGHQSYPHKILTGRRDKMPTMRQTNGLAGFTKRAESEYDCFGTGHSSTTISAALGMAVGRDLKGGKNNVVAVIGDGAMTAGQAYEAMNNAGYLDSDMIVILNDNKQVSLPTATLDGPVPPVGALSSALSKLQSSRPLRELREVAKVRPVLAAQTFNGSDEADRRLSARAGGEGGRVRPGMISGPGSSLFEELGLYYIGPVDGHNIDDLITILNDVKSTKTTGPVLIHVVTEKGRGYPYAERAADKYHGVAKFDPATGKQFKSPAKTLSYTNYFAESLIAEAEQDSKIVAIHAAMGGGTGLNYFLRRFPSRCFDVGIAEQHAVTFAAGLACEGLKPFCAIYSSFLQRGYDQVVHDVDLQKLPVRFAMDRAGLVGADGPTHCGAFDVAYMACLPNMVVMAPSDEAELCHMVATAAAIDDRPSCFRYPRGNGIGVPLPPNYKGTPLEVGKGRIVLEGDRVALLGYGSAVQYCLAAASLVKRHGLKVTVADARFCKPLDHALIRSLAKSHEVLITVEEGSIGGFGSHVAQFMALDGLLDGKLKATMNSCFVWVVQWRPLVLPDRYIDHGSPADQLAEAGLTPSHIAATVFNILGQNREALAIMAVPNAHKYRHPAKSVCISHQLTPLPTPLSITPIPMDAGDSHGQTPTTPSSTETLMAALLNVPAAQLPGLARALAADARRLRSRLAFLLLSPPHFARALARLRSMPLPAKAALLGRALLRSLLLLLPALCPDHHLLLQPSDLDAALLLLAMCDSYSPAAAAAAASPSPVDWRAVIVDDMVASALSISGLGATPWAALAPYVDAAAKCRRFAEVACAVAAGDSGTTTKDGEGSGAASYAAVLALPLAAGDGAPCAICREEMVARGGRGGVCGLRPCGHRFHWRCALRWLARRNTCPCCRAELPAEDPLAETRRLWRGVERMALG >Sspon.06G0006130-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:19226291:19229262:-1 gene:Sspon.06G0006130-2B transcript:Sspon.06G0006130-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MERDFLGVIDRAGKGGGEVVVEDSRTEPADNPAAAQWQFPAKSGAAPAFMSFRTAREEGSEEFSISGFRPAGDAFDGIKKQSSLPVISQHQQRQFGLSSSQVTAQQYPAAAHVGQRLQGMDYSTAALHQLPGGSRLVQPLSVRHPAPFNQANLMVRSQSFHNGAGIHSRNQQPFTMSNGFGGSTVGVYGARNPRNQTSTQLTIFYNGSVNVFDNVPVDKAKELMMIASRASIPSPPSASHKPDLPISAPAKVNVPEVFPARQIVIQKPEPSVPHLSSTSSPIPIVPQVVTLSRSTSHCTTEACGSKPAVQQPVAAYQPGNLISAIGNYKCCSCYAKSCPSSPESISCPILGEAKGESD >Sspon.02G0041320-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2B:75195910:75196706:1 gene:Sspon.02G0041320-1B transcript:Sspon.02G0041320-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGASTMSPTPLPISRTLAAARACRRKPAREQGRRQWGLLPKLRAHRWFRASLRWRPWRPAAASLPWRLDLRGAPALALLPLRRRSGRRQDSSSRPRQLVPALAQEGSDGDGSGPACENGSAQARKGHDSGRGRLRRLQQTMVVCAGICTHGNSQGGSDGVAAVAVGGPWPLSCTGLRRHGESFAPVGPMMTMPLGAVFFVGRAHRTVLSPLQGVLGENLDLL >Sspon.04G0015650-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:67361356:67367113:-1 gene:Sspon.04G0015650-3D transcript:Sspon.04G0015650-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVTVMTVDEQILTLDVDPDESVENLKALLEVETRVPLQQQLLHFNGKEIQNAEKLSAIGVRDGDLVMMLPTSERSSQDNLRINPADGTAVNPQAFQQHIRAQAILGDDTNELQNILRSRHQQKTELKRKQEEELALLYADPFDVEAQKKIEAAIRQKGIDENWEAALEHNPESFAEWCVLLSGSSSYFVNSPERVMLYVDMEVNGVPLKAFVDSGAQSTIISKSCAERCGLLRLLDQRFRGVAVGVGQSEILGRIHVAPIKVSLIGHQFYHCSFTVLDAPNMEFLFGLDMLRKHQCMIDLKDNVLRVGGGEVSVPFLQGAPVANPPQGGDFEAKVTKLVELGFDRASVIQALKLFNGNEEQAAAFLFGG >Sspon.06G0020580-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:10319306:10320424:-1 gene:Sspon.06G0020580-1B transcript:Sspon.06G0020580-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPALRELWAESRDLLGLPSPSLDDDDAAAAAVPRVDLPPTPLAFLRDHVSPGRPLLISAAATRHWPAASLWPTASYLTDALRSTAVSLHLTPDGRADALATHPHPRRPGPSSSRCFASAHVRRVDFPSAVRLIRGSDPAAGLVAYAQQQDDCLRGEYAAVAGDVDAHVPWASEALGCLPEAVNLWIGNAHSVTSFHKDHYDNIYVVLSGEKHFLLLPPTEHHRLYVRDYPAAHYVAVEQDSEGEHRLRLKLEMEEPERIVPWSSVDPCPASQEEMAVQSSSFPLYFDGPAPIRCTVRAGEMLYLPSMWFHHVSQSPGPNGLTIAVNYWYDMQFDIKYAYFNFLRSLEINGCSSGKAGALEDDLEEKIEMAD >Sspon.03G0027140-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:4814084:4820757:-1 gene:Sspon.03G0027140-1B transcript:Sspon.03G0027140-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLLLAVASALNLLSPRTAAAATWTPSGGKPLVTAITRDAATKLYTAPLKDAQPLVLDLSGPLLWSTCAAAHPSYECHHAACAHAHAHHPPGCPRTGHGVADEDDPFRCRCRAHPYNPFARLAGSGDLTRARVTANATDGANPLAEVSFTAVAACAPRTLLAGLPAGAVGVAGLARSKLALPAQVASKQKVARKFALCLPGAGNGMGVAIFGGGPLFLLPLGRPDITASLAGTTPLRGYPRVPGYFISAKGIAVNQAQVQVQQLGPLVVALCSRIPYTVLRPDVYAPFVRAFDAATAGMKRVTPPTPPFELCYDSRELGSTRLGYAVPQVDLMLESGATWTVFGGNSMVQVSDDTACFAFLEMKEEKQEGGHGYGGGAAGPAPAVVIGGFQMENNLLVFDEEKGQLGFSGLLFGRQTTCKLLLAVISALSLLSPRRTEAATSMMSGGKPLVTAITKDAATKLYTAPLKDAQPLVLDHSGPLLWSTCAAVHPSYECHHAACVQVHHPPGYPRTGHDVADESDLFRCRCRAHPYKPFARWARSGDLTQARVTANAVDGANPLTEVSFTAIAACVPRTLLVGLPMGAIGVAGLARSKLALPAQVANKQKEVRALPPRRRQWRGSGDLRWSPLFLFPPGRLNVTASLAGTTLLRGNPRVPGYFILAKGIAVNQAQVEVQQLGPLAVALCSCIPYTVLRPDEKGQLSFSGLLFGRQTTCSNFNFTLAG >Sspon.01G0001480-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:3256449:3261018:-1 gene:Sspon.01G0001480-2B transcript:Sspon.01G0001480-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPKPMSQLISHVILDLDGTLLNTGSILSKVVKTFLAKNGKTWDSKKAHKLVGKTPFEAAAVVLEDYGLPYSTAEFLSMIAPMLSEQWCNIKPLPGANRLIKHLRGNGVPTALASNSPRSNIVAKISCHQGWKESFSAIVGGDEVEKGKPSPDIFLEAAKRMNATPSNCLVIEDSLCCSWKSCGNACRSCTLVPKKTAEFGSADEVIDSLLDVRPEKWGLPPFNDWIEGTLPIEPWFISGPVIKGFGRGSKVLGIPTANLPAENFADVVSEHTSGVYFGWAGLSTRGIYKMVMSIGWNPYFDNTEKTVEPWLLHNFDEDFYGEELRLAIVGYIRPQANFPSLESLIERIHEDGKIAEKALDLPIYARYKDSPYLRNPLQQGSASDGS >Sspon.02G0007060-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:15262762:15286595:-1 gene:Sspon.02G0007060-2D transcript:Sspon.02G0007060-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent DNA helicase SRS2-like protein At4g25120 [Source:Projected from Arabidopsis thaliana (AT4G25120) UniProtKB/Swiss-Prot;Acc:D1KF50] MSRWKENASPLPIYPSGASNPSHLLPCKRPLQSPSPCPPPRRPLVDITGNALEQRGGYGYTTPVPKASRTCGFLLHDDEDDMNEAFLREVDAICEEHARSTVKKEEKEKKRAEEHKGTGEAPLAAAAAPSVRSSAAKSQEGTKEEEEEEEEESLVLSCGDASLPPAISITAEGVEFEDAFWNTNSVSEEHNTKTHAKYQEGLKGMEEKDGLLVDALFGEVDASIHEEHADISAAKGQEEQQDMELEKEEDEACAPKKYYEYLHSLNDRQKEAACNDVAVPLMIVAGPGSGKGIPPSNILAMTFTTAAASEMRERIGTVVGKAVAKEIAISTFHSFCLQLCRTHADKLGRTSEFIIYGHGQQRRAVIEAERLLENDKNNGVGDTTKQYDGDIKNSFKDKAKKWQKFITQAKASGRIPEDYEKKGDLTGASVLRHYNEILRSCNALDYHDFINSSITLLTNFPEVYRECQDMWRAIVVDEFQDTSAMQYCLLKILASHNHITIVGDEDQSIFSFNGADVSGFDSFRRDFPDHKEIRLSKNYRSTRAIVEAATALIQNNSKRHHHKLVETDNPSGCKITVKECHSEDSQCAFVIDKIIETTSSTAEGCNFGNIAVLYRRQVTGKAFQVSFRNRKIPFNVHGVAFYRKKVIKAIMAILRTTLPGCDDGPWRQAFKALLPSDKEEKKKIIDHVEKISLARKCSFISAASDIFSAKVSGTFKRAQITQGRKVLSTLDSLSKLVEREQSVSVIISSAGDMLPQKYLLEKRAVVDVDNGKLLNEDSDIRLVLQFLMDDVSDFLSTHFSSSMERSKTDEKGCASTLQAFIDYISLRETENFRSRKEENKNSITLTTIHQSKGLEWDVVFIVQANDSEIPLLHEYNGTVKEAGSTLEVCLKIIFLLIYVLNIYSRTCSLQEERRLLYVAMTRARKKLYILHLLQPSRFLREIPVHLLEGEETLRKMPEQPSGDIPFDDSEGNISIGKPIMGQNEASPFPELAQGCLANDFLRKFDIDDRSVVSHIFHHWGKKQAFQNPKRLLEKISFVIDERLRGKGYKRKDVLRKLKSFLSGDEALGYAQYVIKWEQIPIEKRSHLTRERQEHFQKQRIENSMGSSEPTAKQVSYLRTPCSSRCISVLISYLRNLGCTITPTSRLHASNLIEKYKSL >Sspon.03G0012660-3C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3C:51758245:51761765:1 gene:Sspon.03G0012660-3C transcript:Sspon.03G0012660-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLKLQKRLAASVLKCGKGKVWLDPNEVSEISMANSHGHPGLDHAARLGLAIDFAFAELAFPEPALGLPEGCERIDMLDDISQLIPFYDMWLLAELLEAYLRLLYWQAACPGGLCPGGCAPAGQRTRAPSPGGLLALAGAIPVMAASALATALRSGGRTPGRCTRALSPGVVEEDGVGSGAQERGSARGEADRCGGARRGRGSNTATPPRNIRKLVKDGFIIKKPQKIHSRSRARRAHEAKQKGRHSGYGKRRGTREARLPTKILWMRRMRVLRRLLRKYREAKKIDKHMYHDMYMKVKGNMFKNKRVLMESIHKTKAEKLREKTLSDQFEAKRAKSKASRERKIARREERLAQVCFYRIGPERTNSTSLSHSSIYWGTKESKEVKYGNKAF >Sspon.02G0000270-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:1364575:1365847:1 gene:Sspon.02G0000270-1A transcript:Sspon.02G0000270-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAVTLYTTLVPGHSVDAHTQEPNLDKRSKSVWEKGKREMGEETTSNEGERASTMTVNGRGARTTRSESKRVLSGQGWSGRRQVRDEARNAWSGMVSRTAGDGDGDDGAPLVDADCWLFPLPPGQGRGRWQRRCTREKKRNTAPLHASPDVPVWDWECPDLFPVVERSTERLDTSTSSPGVRHVLKLSKAADEDYYLVGWYDDEADTFAPAEEEDGGDVCNWRRIDHSHLFEAKTFIDACRKRRVLWTWCLPSVAGALAGAALVLALAVAVASAALAATVVSATVASAALAATVVSAALALA >Sspon.06G0005340-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:16836950:16839166:-1 gene:Sspon.06G0005340-2C transcript:Sspon.06G0005340-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LPPPLPLLALKDGDIFYSMPRGEPLHFAGCKTDFISTTSGNWLVYRRFWDLLLVDPFSGATMTLPAPSSVHLADEAEGNDSMEGHDSVDEVEDSEDGHDSADEGDDSEDGSAPSRVHLPDGNKGDDSRAGHDSADEAEDSEDGHDSADEADDSEDGSAPSSVRLLNENKGDDSRAGHDSTDEGEDSEDSHDSADEGDDSEDGSTPSRVHLLDKDEGDDSRDSHDSTDEGEDSEGGHDSADVGDDSEDGSVYTDRSEMLSMDVKHFEVIKLMVCSPNLIAALLKGSQNNRIAVCRPGGSKWSVAWDLSLWITDMAFYQGKLYVVDYHEDLLALDISVDDKTGDPRVSHIGRVINVYQFDNELTLLRMLYLVESCGSLLLVRRRIFHKHVHGDGQIHTFAGQCEPDVSIFEADFGRSQWRRLTTLADDEALFLGPCSRAVCMPQGDSPGNRVWFLDDYKDFHLWNEWPSSLSSDTSSVANPKPFSPLPMILWRGFLANSGLIGPLTLKFRLLFLSPCGSHRTTSDYQYLSDRSM >Sspon.01G0021830-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:79459157:79460814:1 gene:Sspon.01G0021830-3C transcript:Sspon.01G0021830-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEKLWDDVVAGPHPETGLEKLRKATTARPLVIDKEADAGAAAGSYKRTQSMPSTPTTPVTPSSSTTTPRGASNVWRSVFHPGSNLATKGLGANLFDRPQPNSPTVYDWLYSDETRSNHR >Sspon.08G0000210-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8C:38867:40366:-1 gene:Sspon.08G0000210-2C transcript:Sspon.08G0000210-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATETIAAATLGTRRPCPSRTAASFLSFRFRPTPQLSGAVAASASLRCRAKAPEEGDNKASAAIDDQLVLDVAESTWDDLVLRCESPVLVEFWAPWCGPCRMMHPIIADVAKAYTGRLRCLKLNTDKNQEVATRYGIRSIPTILIFKNGERKDTVIGPSLTPHWQQRSRGSCSPPTGYSLAIC >Sspon.03G0005220-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:38094369:38097059:-1 gene:Sspon.03G0005220-2B transcript:Sspon.03G0005220-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKMKELDGASPAKIFIGGLSKDTSMSTFKGHFGKYGDIIDAVIMKDRYTQKPRGFGFITFADPAVVDRVIEDEHVINGKLVEIKRTIPKGAAPLKDFKTKKIFVGGLPSALKEDEFKEFFSKFGKVVEHEIIRDHTTNRPRGFGFIVFDAEKAVDDLLAKKGNMIDLNGSQVEIKKAEPKKPSNQPPRSLDSEPRGRPYADSYDGFGSSYNYGGSFGPYRSPGSFGARPGGYNSAYGPGDYGSGYATYGGALVGYRGDPSLYSSRYGSTYGGSFGGGYGGGIYAGGLAGAYGRDAGGYGGSSYGPSYDSSGANTGAGFGTGGLYGARTGYGSTGGSGAAGRYHPYGR >Sspon.02G0019220-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:63464737:63470690:-1 gene:Sspon.02G0019220-2B transcript:Sspon.02G0019220-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RSNPRRHRDKVYVGCGAGFGGDRPMAALKLLQRVKELNYLVLECLAERTLADRYRILVSGGKGYDPRVKEWMSLLLPLAFERKVCIITNMGAMDPLGAQKEILNLASILGLEITVAGSSTYLGAASIVHCLENYKPHVVITSRVADASLFLAPMIYELGWNWNDMQLAQGTLASHLLECGCQLTGGYFMHPGDAYRDFPFEQLLDLSLPFAEVSYRGEVIVSKADGSGGLLSPHTCAEQLLYEVGDPANYITPDIVVDFCNVQFHQISKDKVRCDGAKPSNACCPEKLLQLSPTESGWKGWGEISYGGHQCLKRAQVAEYLVRSWIGERYPGIDEKIVSYVMGYDSLKAIGGGEYSYLAKQILDVRLRMDGLFELEEHAVQFVEEFIALYTNGPAGGGGISTGHRKEIILQKILVDRENIFWRSHAKKASIPCLQNQATYSETGQIHVSQAQQSPTSHAMGIQHFDASMKTPASPVPASPGKRIALYHVAHSRAGDKGNDMNFSVIPHFPDDIGWLRSVITPDWVKNAVSPLLDLSSFPDERAIQRRNNLLELVTVEIYYVPGISSLNVVVRNILDGGVNCSRRIDRHGKTLSDLILCQEIKCETESG >Sspon.01G0027060-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1A:95468097:95471425:1 gene:Sspon.01G0027060-1A transcript:Sspon.01G0027060-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRNQELEEVVPNDSDPLLGRENRESESSVELSPPQPASVSLPEIEDEETDGSSAACCRICLEAESEIGDELISPCMCKGTQQFVHRSCLDHWRSVKEGFAFSHCTTCKAQFHLRVETWEDNSWRKMKFRIFVARDVLLVFLAVQLTIAIIGAIAYFLDRDGSFRNSFSDGWDRFLSKHPIPFYYCIGVVVFFVLLGFFGLIVHCSSFNDNQDPCLAGCRNCCYGWGILDCLPASLEACFALVLVFIVVFAILGIAYGFLAATMAEYVVEDLHGNYTAPKLDPEHEERLKMLKLL >Sspon.08G0016480-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8A:64982596:64983153:-1 gene:Sspon.08G0016480-1A transcript:Sspon.08G0016480-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPNQPSARGDTKLEIARRGKEEGERARTRGWVAGGGGEPLLRRSGIPRRLLLGEVEPPGGVELVVQVHLEPHDIHDHQPLAPASAVVHGPRLLRLPLSLSHPAAAAAGRGRGGGGGVAHLLVVAVHVGMTGYRPLSPVHAGTGAAVTAAARCLKAGLAEGRRESRGNLKCGVRHGPRASACAGI >Sspon.08G0013220-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:65551675:65554115:-1 gene:Sspon.08G0013220-4D transcript:Sspon.08G0013220-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQDVVAHVYDVATAGSDTTVLHINRFFKDAIGLGGIFHTAIQVYGDEEWSFGYCDRGTGVFSCPPCKNPMYTYRESIVLGKTNCCILKVNQILRELSWEWPGQSYELLSRNCNHFCNTFCEKLEVPKLPGWVNRFANAGDAALEVAETTAVKLKQAKKEIVTACKAASTFLTGTSSSTSSNAEDTGGSTSSGNSLFEGAWIRSIVGMTIKPSKSLVCGDSSDSDSSQSESESDGDRPNSDENADQQAKDATQEQGKKNENNGPQGHS >Sspon.08G0019980-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:16338872:16343112:1 gene:Sspon.08G0019980-1P transcript:Sspon.08G0019980-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQKDSKPSYGYGYSYDYGSTSSGYNSRNTGNTSSSYSSRYAPSSENNVQPETTARLQRKYSRIGDDYRSLSQVTEALAQAGLESSNLIVGIDFTKSNEWTGKISFNRHCLHDIGNTPNPYEQAISIIGRTLSAFDEDNLIPCFGFGDASTHDQEVFSFYPENRPCNGFEEALDRYREIVPTLRLAGPTSFAPIIETAIGIVDSTGGQYHVLLIIADGQVTRSVDTQSGQLSPQERDTIDAIPVSLVNCSCRVGDGPWDMMHQFDDNIPARSFDNFQFVNFTEIMSKSIAADRKEAEFALSALMEIPTQYKATLDLQLLGRRQRITPRIALPPPTRTAYSRSTSFSQQSGVYSRSSSFDQQTSGSHQRSESLKQQQPAAPKRPDTYASERALEDRIMCPICMYKSKDLA >Sspon.01G0007010-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:16745795:16750553:1 gene:Sspon.01G0007010-1P transcript:Sspon.01G0007010-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MWECIVSDHLTPVLAYRCLVPEDNVDAPSFLFESVEQGPQGTTNVGRYSMVGAHPVMEIVAKEHKVTIMDHEKGQVTEQVVDDPMQVPRNMMEEWHPQQIDELPESFSGGWVGFFSYDTVRYVEKKKLPFSGAPQDDRNLPDVHLGLYDDVLVFDNVEKKVYVIHWVNVDRHASVEEAYQDGSPTLSPGFVKLHTRQFGAPLNKSTMTSDEYKNAVMQAKEHIMAGDIFQIVLSQRFERRTYANPFEVYRALRIVNPSPYMAYVQGKIINRPLAGTVRRGKTEKEDQMQEQQLLSDEKQCAEHIMLVDLGRNDVGKVSKPGSVKVEKLMNIERYSHVMHISSTVSGQLDDHLQSWDALRAALPVGTVSGAPKVKAMELIDKLEVTRRGPYSGGLGGISFDGDMQIALSLRTMVFSTAPSHNTMYSYKDADRRREWVAHLQAGAGIVADSSPDDEQRECENKAAALARAIDLAESAFVDKEYTKQISSLTLEV >Sspon.08G0012490-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:52139863:52144481:1 gene:Sspon.08G0012490-4D transcript:Sspon.08G0012490-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSRGAVRSLLDRLRPPPLGWNWNWNPSSAMPPPPPSAAANRACLCRFAHSTARCGGESGRTVPGNKAVFLDLRARRRFAPSGALSLRGCLGWQDGGGGEFRRRVDGEATGMKAQVLTTQRQLMRDPEVLLPLEEAAASAKSINGNGACRRGKPLGFPEQAVAAKMVVAVDVDEVLGSFLAALNKFIADRYSWNHSVSEYHVYEFFKIWNCSRERANFLVHEFFTTHYFQDGIHPIPGARDALQTLSSFCSLSVVTSRQDVIKNHTLEWIEKYYPGLFEQIHFGNHFALEGQSRPKSEICRSFGAQILIDDNPRYALECANDGMRVLLFDYDNSYPWCKTGVDELHPLVTKVHNWAEVEEKLLSWVVPES >Sspon.08G0027650-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:21903:35630:-1 gene:Sspon.08G0027650-1D transcript:Sspon.08G0027650-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding PPLRRRLAVGSSLLFLFLFLFPSFPWLYSSAVAVATRISLSLQQPLEPPHPLLQPLPPAPARRPPVMGNCASAIDAFVQRGNRASPSPSPAATPGMSASRRTSSSATNGKLSTLSSTSTFMPSTVSGVSVDDDYPEGQILECPNLKIYTFAELKSATKNFRPETVLGEGGFGKVYKGWVDEKTLNPSKASIGIMVAVKKLNPESVQGMEQWQVQKPSRGAVYEPLPWSLRLKILIGAARGLAFLHSSERQIIYRDFKASNILLDSLSDFGLAKHGPDGGESHVTTRVMGTYGYAAPEYVSTVRRDPSKNQKPKGLHRARGQYSSISILLLPCVADSQLVPPSPSSSLPSRGSTPVQSQSQLQLQIDLPPATARASSPSSPTAAAAPARRPPVMGNCASAIDAFVQRGNRASPSPSPAATPGMSASRRTSSSATNGKLSTLSSTSTFMPSTVSGVSVDDDYPEGQILECPNLKIYTFAELKSATKNFRPETVLGEGGFGKVYKGWVDEKTLNPSKASIGIMVAVKKLNPESVQGMEQWQSEVNFLGRISHPNLVKLLGYSMDDNELLLVYEFMSKGSLENHLFRRGAVYEPLPWSLRLKILIGAARGLAFLHSSERQIIYRDFKASNILLDSHFNAKLSDFGLAKHGPDGGESHVTTRVMGTYGYAAPEYVSTGHLYVKSDVYGFGVVLLEMISGLRALDPSRPSEKVNLVNWARPLLSDRRKLSQLMDGGLEGQYNPKGALLAAQLTLKCLNGDPKSRPSMKEVVEALEKIESVKSRAREPRNSSSSSRRGQVQSPRSDSARNNSSSRG >Sspon.01G0037770-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:25842726:25853556:-1 gene:Sspon.01G0037770-1B transcript:Sspon.01G0037770-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYGNASTGTTAAPQQYNYCRSVSPPSRVSSCSPPPPPPPAVQVVGNVPPMVVMSPCAACKILRRRCADGCVLAPYFPPTEPAKFTTAHRVFGASNIIKLLQDLPESSRADAVSSMVYEAEARLRDPVYGCAGAVCRLQKQANELKVQLARAQADLLNAQAQHANLLALFCVEMANRRGSQQQQQQHPSSPLTVDGGGGGFRAAYQQTFYDSDMDSATPCAACKILRRRCADGCVLAPYFPPTEPAKFTTAHRVFGASNIIKLLQDLPESSRADAVSSMVYEAEARLRDPVYGCAGAVCRLQKQANELKVQLARAQADLLNAQAQHANLLALFCVEMANRRGSQQQQQQHPSSPLTVDGGGGGFRAAYQQTFYDSDMDSATWPDHEAQLWT >Sspon.08G0012540-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:53722800:53725784:-1 gene:Sspon.08G0012540-1A transcript:Sspon.08G0012540-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKGRTGQRVRLYVRGTILGYKRSKSNQYETTSLVQIEGVNTKEDVAWYAGKRMAYIYKAKTKSSETRYRCIWGKVTRPHGNSGVVRAKFKSNLPPESMGRKTMSLIKKCQHHREDSISRLGCDFP >Sspon.03G0037030-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3B:96430746:96431711:1 gene:Sspon.03G0037030-1B transcript:Sspon.03G0037030-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGRPRQPNDHLLSYPLVHSSPSVLKKNSPHRSAVTAVHDRILLPRRSCFSTAPPSQLRLCFASRHLHRTRAQQPIFLPLHRASHRPRPSRVRGPVVAFSTGSASSASPSSSASTCRRASIAKQQGDIELKTRVTNVRFKCFRALQPRTLEEDASRFKMAQ >Sspon.07G0015460-1P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7B:58841347:58851469:1 gene:Sspon.07G0015460-1P transcript:Sspon.07G0015460-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MCTAPTLLLLFSPLSSPVQIGRQRRREKRTVQEGTGTQAMRTRNLAMSKQSSSWPKAKTVVKKEWLNLKNSKFHSDCFGGWLEEESSDDLRPPPLPHPDADRCGSPTPSSSWRPPKELRMFVGTWNVGGRAPHGGLDLSDWLTDGPDSSSPHIYVLGGYECCRLQYMLTPHSTHRKWQRLQFESTCLDASLRPGRQTNGWNPRLRSENLRHLKLKLFGSSKNENDTFYVTKEYVIGSCISPNSRDPPPTPLLSPATLVGDSLVTGGRHIHGKLCSGGHGHRGHEEIVPLNARNVLGAEDKGPARKWLDLIRRALNHPPSDAASSLSSSSSRSHSSPSDVHLLQKGRVSFADLLAAASCRPSTASEPDDDVSEPSTPSTPESSSEEEPVCAEARRHGGRYHYRLAASKQMVGIFLCVWVRGDLLPCIAGVRASSVGRGVMGYMGNKGSVSISLTLGARGGGRTLCFVCTHLASGDKDGDRARRNGDVAEILKRTRFMRRNLPWFAACGASSPVTILEHDKVVWLGDLNYRLLEREGGTRELVERHEWAALLERDQLRAEQKAGRVFAGWEEGSIGLQPTYKYVAGSDAYTMMSIADSADGSWSRDRKKRTPAWCDRILWRGEGMEQRWYARSELRFSDHRPVAALFSTRLGGDGDGDGKPAPAHSSRMQRRDMRLGMPSDDAYPPLPHGIQLGLFMVCGFPPILPNRPLLPTKPRRPCAVRARDANGGRRGPPAATVNLLVLGLLPVRGHGDPAHHTRAAWRASEGAVAGVGEDLEAVAGVGEDLGGIPALHGITGSGRWRARRPAAAREANRVARGCQRCTKRPPAATARTTPGRPTATHEAGGGAGQLWRRGLDVGPFNAEPQALPWHDSTPRMERYTLLEERYDSKHHARRIEQGERFRFI >Sspon.03G0025130-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:99413687:99414469:1 gene:Sspon.03G0025130-2B transcript:Sspon.03G0025130-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ERRAEQATTKLPFSSASPRRQRNSSPPRDLSLTSPLSLSLSPPRGLPRMLPASHPLLYACVFRDTHLVAELAHSHSQSTAAAPSPPPPPRDDDLPALAAALVAAAPPHHRHLTHTAAGRAHALLLSPPLALAAVSRAPHLPASQLLLFLRRLRCLPEARMRDEMPRLALRLPLPPGDDAREADEVAAAEAHAEEEAARRDADLAARTTPKRDRASHRGRAGPAWTWRRQLWMVILADLLLLTILFAAWLAVCRGFSCIGR >Sspon.03G0044620-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:1633895:1636842:1 gene:Sspon.03G0044620-1D transcript:Sspon.03G0044620-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ERDWKLKEDKCTYHALFPKSWTVYDGKLSICYLMVPEETLLEFMSKATRTSVNWVQMVGMKMYVLLGLHAPLWAVSLVPCLACYETWLYSNSSCSGKSKCGSSPRAGGLLWKCLEC >Sspon.06G0025230-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:62723174:62724209:-1 gene:Sspon.06G0025230-2D transcript:Sspon.06G0025230-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTALIRKRYMHLTEEYLAENPNMCAYMAPSLDARQDIVVAAAQKAIKEWGQPKSKITHLVFCTTSGVDMPGADYQLTKMLGLRPSVNRLMMYQQGCFAGGTVLRVAKDLAENNRGARVLVVCSEITAVTFRGPSESHLDSMVGQALFGDGAAAVIRVERPLFQLVSAAQTILPDSEGAIDGHLREVGLTFHLLKDVPGLISKNIERALEEAFKPLGISDYNSIFWVAHPGGPAILDQVEAKVGLDKERMRATRHVLSEYGNMSSACVLFILDEMRKRSAEDGQATTGEGFDWGTVVLHSVPITTGAAITA >Sspon.02G0030370-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2C:109420483:109420809:-1 gene:Sspon.02G0030370-2C transcript:Sspon.02G0030370-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MCYKVECSKCGKFTWNGCGKHVASVYEGIEKGKHCSCKPWPGVDTKAEGSTSAAKEGETPLLNFSSDVKFQYHRQLTSLLNFDCRDGLQEKRKLEFDGGDPLVLPLFD >Sspon.01G0023520-3D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1D:82134084:82135031:-1 gene:Sspon.01G0023520-3D transcript:Sspon.01G0023520-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGQVMEAQAARLQPPTMSPFAPLPHNTCKHDVHHHLTATTTTMAATSGGTTNNVTTGAAADMAAYLQQLQDAEAANKSNGGTAARGEQCPRCASHDTKFCYYNNYNTSQPRHFCRACRRYWTLGGSLRNVPIGGSTRKRPRLAHHNHHQHQNASRRAPAAHAFGLGLPPPMMPPLQPSSSSQGQGGGLLGSLFALGAAGPLLEGRGAGSSSFDFDLGLGLPTTGPLHLGAGAGEAAAVQMQGLGLRGGGGSAAGSSSSFLWPAGLLLDNDSVDTWKMPPGAGAGAGSMWPDFFSSPAASAPQTGGMLHGGGHLM >Sspon.02G0001260-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:5011934:5012317:-1 gene:Sspon.02G0001260-2C transcript:Sspon.02G0001260-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARHSRAPLLLALVATLAVAAASASVYARAADADTELLVGDDDDLLGLGGVFGGRRRLDDANATSIDDGNATSIDAVMGASGFISYAALSRDSVPCSQRGASYYNCRPGAEANPYSRGCSAITQCRG >Sspon.04G0008670-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:21816577:21817801:-1 gene:Sspon.04G0008670-2B transcript:Sspon.04G0008670-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to Chitinase (EC 3.2.1.14) A [Source: Projected from Oryza sativa (Os02g0605900)] MAQKLVAPPTALVAAVLALVLWATTAAAQNCGCASDQCCSKYGYCGTGEDYCGAGCQSGPCDVPATNNVSVASIVTPAFFDALLAQAAASCEANGFYTRDAFLAAAGYYPSFGRTGTVDDSKREIAAFFGNANHETIKFCYINEIDGPSKNYCDPNNTQWPCQAGKGYYGRGPLQISWNYNYGPAGQSIGFDGLGDPDAVARSALVAFRSALWYWMNNVHGVFVSGQGFGATIRAINGALECDGKNPDSVNNRVAYYKQFCQDFGVDPGSDLTC >Sspon.03G0011000-1T-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3B:37081629:37081949:-1 gene:Sspon.03G0011000-1T transcript:Sspon.03G0011000-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AAEAAPYNVAGFGAKPDGRTDSSGAFASAWSAACRSREPATVYVPNGHFLLSRAAFTGPCSSRVTLQVDGTLVAPSGYTSGGDDGWIVFDHVDGLTVSGGTVDGRGE >Sspon.02G0021550-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:72326217:72327606:-1 gene:Sspon.02G0021550-1A transcript:Sspon.02G0021550-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVLDRLHSDPVKLLAGCVYRNLTCSGIRYILLYLRRAALATAKASATMTGYLLSSKSLRSGVLQKERNARSCAISSVMPELRKRPMDPTTSEVESLTWDLALSAAAAGQDRDYRFIAKAVGEAYRAVECGGGNPFGAVIARGDEEVVSCHNSVRRDTDPSAHAEACKKLGRINLSDCEIYASGQPCPIRWCMEQKVLFSSSIPDAFVEYYHKSGMEIRQAEGEAARIAEEVFEKTEG >Sspon.02G0014550-1P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:41146401:41149747:1 gene:Sspon.02G0014550-1P transcript:Sspon.02G0014550-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVPCTVNPMLVIREALLSQLQKDRLRQEIIQAELAKIEHAMVLRNGSRHGIAADAVEWTKPVPFTFREQSMPPWRWSVSQECYVDVDEIHDPKQKEGRHKSVALKSEKPTMEDRVGECLRPCCCNCKADLEHQIGSKIHQLNVQDLHEEAKKTGDFPPEIANIQQPPSEWDCVICQAKCYSASQFVHHRRGKKHQKMMDALQREGVNAKSSNLTMEKKVASNGSDSNSSSSEKVEEQTALWPCGICNLQCGSESMLADHCEGEEHMEKQKLLNFCEYTHTSHIRTLPSPAPLPHGRHGGETLAPPPNFLPPSFLPLATTGGGSREARAASWDGGGEASLGEAGAPCGEGLEGGHGGGGGARVGAPRPWRPCGGGGRGGVRPGWALLGVARAARRRAGAAATPALALGVARRRVLAQIRQGGDRIRYPCAGSRAEAGERGAACGLTRRSSREWRRRGRVAARAAAGGVRRGPRRCCGSSWARPGGEPISRDRERFLQRCRGGMAGRGFSTPVRRGSPPLCSPHVFFFMVAVLRRWSGLCWRWGAVFDYAVPPPCPRLSSWGGRYEDGGLGVRGGASPGLCSRPSTFGVAVVLATGARSAAVAGGGYGYGGWMVDVHGGGRLVFSRWSGGVREQLCGCLRGRRVDPGEASSRGLAATTRCLAGSRHLDRRPCYGFFGRMPGDGDTCGCRSLVEGVFFP >Sspon.03G0013770-1T-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3B:51825618:51826672:1 gene:Sspon.03G0013770-1T transcript:Sspon.03G0013770-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKILVHGEYNDTSYGKNVTVTKYTYGGSSVCFISNQFDDRDVNVTLGGTHLVPAWSVSILPDCKTVAYNTAKIKTQTSVMLKKANSVEKEPEALRWSWMPENLKPFMTDDHGSFRQTRLLEQIATSTDQSDYLWYRTSLEHKGDGSYTLHVNTTGHEIYAFVNGKLVAAIGQLAVLMFVCKVNQTIYLAGQNHSANGAFVFQLQSPVKLHSGKNYVSLLSGT >Sspon.02G0041200-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:74924827:74925665:1 gene:Sspon.02G0041200-1B transcript:Sspon.02G0041200-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MACTYLCGVQCERAAALLAPLRTYVGLPSYPSVLYLWFVFDEDTTHWPLPPPSHSKTEEKEGEKLRG >Sspon.07G0028430-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:66515919:66516074:1 gene:Sspon.07G0028430-1B transcript:Sspon.07G0028430-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVKRGALHGDEELISLSQEWLAYLHYLVHQGDLRSLMMDEMWMMAGLLAK >Sspon.02G0002920-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:9871393:9873515:1 gene:Sspon.02G0002920-1A transcript:Sspon.02G0002920-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GSFGEILKANWRGTPIAVKRILPSLSDDRLVIQDFKHEVNLLIKLRHPNIVQFLGAVTETKPLMLITEFLRGGDLHQYLKDKGALNPLTAVSFALDIARGMAYLHNEPNVVIHRDLKPRNILLVNSAANHLKVGDFGLSKIIKAQHANDVYKMTGETGSYRYMAPEVFKHRKYDKKVDIFSFAMILYEMMEGDPPFSNYEPYEAAKYVADGHRPVFRKSHTNELKDLVELCWSGDISLRPSFLEILKRLEKLKEHYSHENHWHLFQ >Sspon.05G0026020-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:27775840:27786736:-1 gene:Sspon.05G0026020-2C transcript:Sspon.05G0026020-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSRSPISRRSTLQPKDRCDQVESSGVRGSLREGRTENTRKAARGTSRRAASRQEDRRPASGWRELSGASPESCGGQRPGAGAMGGYELVRSDDAPAAIAVDLEAGGTTAPCDDGGSTPSPPAPASTRQRLVSLDVFRGITVLLMIIVDDAGAFIPAMNHSPWDGVTVADFVMPFFLFIVGVALALAYKRVPDKLDATKKAVLRALKLFCLGLVLQGMTKAAMFLQLTKGTLVEMKMRFDAFLLLHYAGGFFHGVRSLTFGVDLQEIRLMGILQRIAIAYLLTALCEIWLKGDEDVDYGYDLLKRYRYQLFVGAVVAITYMSLLYGTYVPDWEYQTSGPGSIEKSFFVKCGVRGDTSPGCNAVGMIDRKILGIQHLYGRPVYARSKQCSINSPQNGPLPPDAPSWCQAPFDPEGLLSSVMAIVTCLIGLQYGHIIVHFQKHRERIMNWLIPSFSMLVLAFAMDFFGLHMNKPLYTLSYTLATAGAAGLLFSGIYTLVDVYGYRRPTVAMEWMGMHALMIYVLIACNILPIFIHGFYWKEPKNNLLKFIGIGA >Sspon.03G0013690-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3C:55687915:55696896:1 gene:Sspon.03G0013690-2C transcript:Sspon.03G0013690-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKLRAGELELLVQLRMMLVPNGYCHSARHRLTLLPQCRTIFACAKPHCKDHLSLIHDPFTNETSDLEDMIRPLACLAEWLRHSSGTPQVLSSNPSGSEFQAVVKKNPLAGPVCQSIGLVPGPGRPEDRVWPRNNNNDYLNLKKIFYGLYSIRGADKTDLGVQANGSSTFSLQVARPSIASGRRASALPAVAHPLSPPPVLPPAGWTKVDYTTVFIFRLLMFLDNGLAAGMGLDLGWQQRYARFCGRIVVLSVLLPEEGQKWGFLIWLLFSYCGLACIACVAIGKVLVDMIRVPDWAFEAVGLEMRVGQDTAYHPGLYLTAAQREAVEALIQELPKFRLKAVPTDCSECPICLEEFHVGNELAGLLAAAPHSPVLIAQPSPASTAAAFSRIRRRRRRFLSLSGISPSSARPPPPALAAVRARGRSSTPHQRSRWRGRSSTPHRRSRRSSPSPSVSSSRPRTPALAAVRACPKLLRHQQPLPDAPPPPPAPPPSCRRLGVLNSYVSSWVQSMSMQVRGLPCAHNFHVECIDQWLRLNVKCPRCRCSVFPNLDLSALNNLRSTSEPDHPSASASDVTTATAASRYMRSHPAGQSYLVRLQGLLLRPVRHESVESDGEPAVANSRLVGPEELPSIVVDDGHQLPDR >Sspon.01G0049240-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:95328013:95330279:-1 gene:Sspon.01G0049240-2D transcript:Sspon.01G0049240-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPGVVAAALLVAALAAFCGTDPLRMGSMVDFPGFEAHFVELPDPAEMPPHADERERLRGAEVRFRGEVQGPESVAFDPQGRGPYTGVADGRIVFWDGERWVPFATASPRWTQELCGGPKASPLEYLPNEHICGRSLGLRFDKKTGDLYIADAYFGLLKVGPEGGQATPLATEAEGVRLNFTNDLDLDDEGNVYFTDSSIHYQRRNFMQLVFSGDPSGRLLKYNPQTKETTVLHRNLQFPNGVSMSKDGSFFVFCEGSRGRLSRYWLKGEKAGTVDLFAILPGFPDNVRTNEKGEFWVAIHCRRSLYARLMSRHVKMRKFFLSLPIPAKYHYLMQIGGKLHAVIIKYSPEGQVLDILEDTKGEVVRAVSEVEEKDGKLWIGSVLMPFIAVFDLAKAS >Sspon.04G0013870-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4A:50187908:50189245:-1 gene:Sspon.04G0013870-1A transcript:Sspon.04G0013870-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSGESTVEVASSSSSPLTPASASATRTKAKHRMEIEGYTVDGVSIGGQETCVIFPTLSLAFDIGRCPQRAVSQEFLFVSHGHLDHIGGLPMYVATRGLFRLRPPTIFVPACLRDLVERLFEVHRAIDQSELNHNLVPLEVGEEYEFRRDLKVRAFRTYHTIPSQGYVIYSVKQKLKQEFIGLPGSEIKQLKLSGVEITNTVSTPEIAFSGDTTADFILDPANADALQAKILVVEIASQSDKLGNKAILLIHFSARYTTEVG >Sspon.03G0016790-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:73943981:73947431:1 gene:Sspon.03G0016790-2C transcript:Sspon.03G0016790-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHARRTFDNMPDRDMDSWHIMIDGYSMNSLGDEALRLFELMKECLAPTSHTYVLVLNACANSEAIEEAFLCFNAMSRDHGIEPGVEHYVGIIEVLGKSGHLNEALEYIEKLPFESNAMVWESVLNLAHMNGDIDLEDRTEELLVSLDPSKANPKKLSTPPPKRRMGINMLDGRNRLRYVPDTRYVLHDIDQEAKEQALLYHSERLAIAYGLISTPARTPLRIIKNLRICGDCHNAIKIMSRIVGRELIVRDNKRFHHFKDGKCSCSLVVQMWLTSSIPICKP >Sspon.02G0016860-3D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2D:49434905:49435208:1 gene:Sspon.02G0016860-3D transcript:Sspon.02G0016860-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLKVVDLWHAMQKREDWMNACFTDGLHLSEEGSNIVVEEILKVLKEAEWEPCLHWKAMPTEFAEDSPFDLVSSSGDTT >Sspon.08G0008780-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:33289469:33292386:-1 gene:Sspon.08G0008780-2B transcript:Sspon.08G0008780-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNHAMTPHISGTTIDAQLRYAEGVRDMLDRYFKGEDFPVHNYIVKEGQLASQYQ >Sspon.01G0001930-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:5451124:5453802:-1 gene:Sspon.01G0001930-3D transcript:Sspon.01G0001930-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAGGSAVRALSQKEQDIQMMLAADVHLGTKNCDFQMERYVFKRRTDGIYIINLGKTWEKLQLAARVVVAIENPKDIIVQSARPYGQRAVLKFAQYTGAHAIAGRHTPGTFTNQLQTSFSEPRLLILTDPRTDHQPIKESALGNIPTIAFCDTDSPMRYVDIGIPANNKGRNSIGCLFWLLARMVLQMRGTILPGHKWEVMVDLFFYRDPEEAKEQEEEAAAAPEFAAITDYQGADQWGGDQWTSDVAVPPVAPTGADWGAAPAPVPTGDGWDQAGAPVPVDSTVPPVIAPTGWDQAPQPTAQGWE >Sspon.02G0047980-1P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2C:20543841:20544073:-1 gene:Sspon.02G0047980-1P transcript:Sspon.02G0047980-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAWFCTGKVEAEPSEQPNSARPMSSTRPESPEKTLDSGGRLPIKAQGFYPSRRHSLQPLDRIGSTPHEPLTPPPKW >Sspon.07G0013250-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:47930386:47932572:-1 gene:Sspon.07G0013250-1A transcript:Sspon.07G0013250-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SMVIESPFDDLYTITMPGQRQDGSSSSSQQQLTLYDHRLCDRQFFSQSTNESNMQFSRVVTIPGMYNVSSNLGQNHQIAARVSPDYHRIRSSNALHHISQILMEDVDERVGSHEGEAALQAAEKAFYDILEQVYPPSLDWSPLHNSSEAGGPDEGSSNYHKRPRKTSFTSDISSHIMLQPLPTPLSPYSYGRSLFLPYQPLASTGRASRFGFSALQIRREAEDGKGFDKMVICLDNDKLSICKLTTAKAKKEVGKSKYAIFQITDHKNNPYIQDLDTREGRSKNYTITCKISRNEKFDNILLCHGFTETARLKDMAAKEASENSPKGQSKAPAQQKLRGTRQLKKEVVDLRTLLIHCAQAVAADNRLLAGEIIKKIRQHSSRDGEWCQRLAFYLVNGLEARLAGTGSQLFHKMRAKQVSYEDMLKVYNFYLAVCPFHRASQTFANQTILEASVGQSKVHIVDFGVCSAFQWPSLIQRFGEQGMPPRLRITHIIEVPRPGFNPLESIEWAGKLLADYANMYKVPFQYQGIYSRYEDIQIEDLNIEEDEMLIIHCMYRMKNLSDETVAMDSARDRVLKIMRRMNPKVFIVGILNGSYSSPFFLTRFKELLFHYSSMFDMLDTNAPRDNEERKVLEGGMLGRDMLNIIACEGVDRIERPETYQQWQARCLKAGFEQLPLDPAIMKSVLWMKKEFYHEDFVADEDSGWLLQGWKGRVLYALSKWKVNESCADQ >Sspon.05G0031000-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:3123275:3126464:1 gene:Sspon.05G0031000-1P transcript:Sspon.05G0031000-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALHVQGHERRLAAVACLVVTMASLASARFVVEKNSIKVLSPRSLRGHHEAAIANYGVPDYGGTLTGVVLYPDAKLATACEPFGREKFRSPSGRPVVLLVDRGGCYFALKTWHAQLAGAAAVLVADSADEPLLTMDSPEEETPDMAFLANITVPSALVSKQFGDTLRRAASKEKAEEVVVRLDWRESMPHPDERVEYEFWTNSNDECGPRCDEQAAFVRAFRGHAQLLEKGGYALFTPHYITWFCPDAFLETPQCKAQCINRGRYCAPDPEGDLGAGYDGKDVVVENLRQLCVHRVANASGRPWVWWDYVADYHLRCSMKDNKYSSACAQEVVRSLDLPMDKIDKCMGDPDADAENDVLRTEQIVQVGHGARGDVTILPTLVINNESTEPHVCLTPGMETDECLHNNGGCWRDEKTNTTACKDTYRGRICQCPVVDGVQYQGDGYTDCKAVGPGRCAMDNGGCWKDTRQGKTFSACSDSELSGCKCPPWFKGDGFHCQDVDECIDKLACSCPHCSCKNTWGGFDCKCNGGMIYINNEDTCIAKNMSAFGWLVTALVLSCVAGAGIAGYLFYKFRLRRYMDSEIMAIMAQYMPLDSQHNENQPLRTEETQQA >Sspon.04G0024900-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:23134767:23138223:-1 gene:Sspon.04G0024900-1B transcript:Sspon.04G0024900-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MWPPLCRWRPPYALLRVSRVLRVWRRNPCLRVGRLLPLSESSLTSAGNEWCFGCRVVGFNIGLPYAVTDLRQAIASADRAPPRGYPPDGPVLSAVAGPLEPSRAAQDGGRCHHRMCFCGVASPTPLFLLGSLSLLILVLSHSVMAFSSKGGGAAAQLLLPRLQANLGCLWEWCSNSSLRYCGYSTGAQGLDREPFCEVTNANPR >Sspon.07G0021470-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:2982910:2988541:1 gene:Sspon.07G0021470-1B transcript:Sspon.07G0021470-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASPSSVTVPVVVPRMKLGSQGLEVSAQGLGCMGMSAGYGPPKPEPDMIALVHHAIAAGVTFLDTSDLYGPHTNELLLGKALQGVARERVDLATKFGVSFVDGKREVRGDPAYVRAACEASLRRLGVDCIDLYYQHRIDTRVPIEVTIGELKKLVEERKIKYIGLSEACASTIRRAHAVHPITAVQLEWSLWSRDAEEDIIPTCRELGIGIVAYCPLGSGFFSSGGKLMDSLSKQDIRRQLPRFQPENLDKNVKVFECISELAKRKGCTPSQLALAWVHHQGQDVCPIPGTTKIENFNQNLGALSVKLTQDEKAELESYTAASNVQGDRHHQMNNTWKNSETPLLSSWKHE >Sspon.04G0010610-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:34235015:34238048:1 gene:Sspon.04G0010610-4D transcript:Sspon.04G0010610-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding PHHLTAHGPAQQTRFLSDPPHPAGAEASSSPPPPRSSHLPHFAASSDLAVLLSLRADEEVTDPKALLEERSKAKCVSQWYEYQKCVKRVENDETGHKHCTGQYFDYWKCVDKNVAEKLFEMLK >Sspon.02G0004900-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:15264206:15265570:-1 gene:Sspon.02G0004900-2B transcript:Sspon.02G0004900-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQGVLSRAPATDAAAAAAMKAKRAAASPGEEEEGDGRSARGKRQQLLALGPATALASAAAEEGPEKRGLRLLSLLLRCAEAVAMDQLTEARELLPEIAELASPFGSSPERVAAYFGDALCARVLSSYLGAYSPLALRPLAAAQSRRVAVAFQAYNALSPLVKFSHFTANQAILQALDGEDCLHVIDLDIMQGLQWPGLFHILASRPRKPRSLRITGLGASLDVLEATGRRLADFAASLGLPFEFHPIEGKIGHVADAAALLGSRQQRDDEAIVVHWMHHCLYDVTGSDVGTVLLLRSLRPKLITIVEQDLGHSGDFLGRFVEALHYYSALFDALGDGAGAAEEESAERHAVERQLLGAEIRNIVAVGGPKRTGEVRVERWGDELRRAGFRPVSLAGSPATQARLLLGMYPWKGYTLVEEDACLKLGWKDLSLLTASAWEPTDDAAASAPTTTS >Sspon.08G0013120-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:46585268:46585489:1 gene:Sspon.08G0013120-2B transcript:Sspon.08G0013120-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding EAFQFFQQQRGCHRGTDGAVRLLLIILSVGVTPAAACGGHPCPIPDGKCPVNAVKLGVCADVLDGLIHAVVGGP >Sspon.05G0009370-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:27007836:27009860:1 gene:Sspon.05G0009370-1A transcript:Sspon.05G0009370-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLVRFLMKLNNETVTIELKNGTVVHGTITGVDISMNTHLKTVKLTLKGKNPVTLDHLSVRGNNIRYYILPDSLNLETLLVEETPRVKPKKPTTGKPLGRGRGRGRGRGRGRGPR >Sspon.01G0002160-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:5282383:5283342:1 gene:Sspon.01G0002160-2B transcript:Sspon.01G0002160-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LPAVGAGADDRAAWSVLVGFISSLGAASVFRLHRFRVERSGRVLGRSNDALEVLRDVDFRTNEEEAASPQICAALATPGPDGRSLCLFSSQIGAAASGTAVRFRPLLLHLGADAEEGITVSPLPAVPLGRLMRIRPISAAGDLLAPCFSEHDGPPRLVMQRLDKDAGRWVEVATTNLPSWPSAPADGPIGRVLHGYAVVGHIILLSLQPSAFFFTFDCSTCAWAPVVTTKAKKHRYIAIHERGVYSYVQEDDTIYFLSGTVVYAYKLCQYQGGYRMAPPTMVTVFALSPKKAMGSSGISAAGSCAPSGPAWRFAAVVIPD >Sspon.08G0018590-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8B:6481613:6482192:-1 gene:Sspon.08G0018590-1B transcript:Sspon.08G0018590-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLMSLPAVDMYEQETCRMFVEWKARYRKTYRYAGEEECRYTVFKDSRRRVARSRASGVSTSGLNNLSASAIEEIYRGRGVWMGEESYEQETHRMFVGWKAKYGNTYRGVGEEECQYRLFKGNRRAVVRLNAAAAGQNVYGLNQFSDLTNEEVQERCYPETEDREMSARCQAAVPVPDPGPDPVHGRLFRYQV >Sspon.02G0009290-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:29183082:29183555:-1 gene:Sspon.02G0009290-3C transcript:Sspon.02G0009290-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding HGRQRSRWQGEEGCGRAQGWRPSEEVGVAVRQGRAAVPRRPYRALPQEGPVRAARGHGRPRLPRRRPGVPRRRGAGAGRERGEGQQEDAHHPAPRAPGHPQRRGAREAAGRRHHRARWRPPQHQPGAPAQEGGREGGQRRQQAGQVPKEGRQVPQEGL >Sspon.03G0030610-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:21892091:21894773:-1 gene:Sspon.03G0030610-1B transcript:Sspon.03G0030610-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAGLPPGCGVLELKSRLQAYGPIARARVDASAATGYVTFRSGAAAVAAIAASLDPGGGIAIGSKKVLVVQASEAPHNSISTIRAAEPAGRSSHDATVKNVTDNSAILSSKAASGATYKAREIVAYDDLTGQAKAEKEQLEENLQLALQMGEPFYQICL >Sspon.06G0011650-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:51124032:51126293:-1 gene:Sspon.06G0011650-2B transcript:Sspon.06G0011650-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GSSSSTPSSFFSREALPTIRLPAPDADGEPLLLLRPPPPEEEERHRGQREEEHQKGAGRLGLGLCHMPLWGSAASAPPAAEGDAARAPSGSAGGGGGGGGGVKVIRSLLPTRRRLRLDPPAKLYFPYEPGKQVRSAVRIKNISKSHVAFKFQTTAPKSCFMRPPGGVLAPGESIIATVFKFVEHPENNEKPLDKCKVKFKIVSLKVKGPVEYVPELFDEQKDQVAVEQILRVVFLDAERPSPQMDKLKRQLAEAEAALEARKKPPEDNGPRIVGEGLVIDEWKERRERYLARQQIEGVDSV >Sspon.02G0024260-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:83063030:83066357:-1 gene:Sspon.02G0024260-1A transcript:Sspon.02G0024260-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMEIKVIPEKARGGGDAKPEAPAIEGVSRGESGPRFADLGGMEAVIEQLMMELVVPLCHPELPRRLGVRPVAGLLLHGPPGCGKTTLAHAIANETGVPFYKISAPEVVSGVSGASEENIRGLFQKAYRTAPSIVFIDEIDAIASKRENLQREMERRIVTQLMTCMDEFHQNIGGGDSDAESSEKKPGYVIVIGSTNRPDAVDQALRRPGRFDREISLGIPDEHARKQILKMLTQNLRLEGQFDLFKIARATPGFVGADLKALIDKAGNLAMKRIIDERRTQYSHEHDGTTSLTGGGNLGMQTRWRAYILPWVTSSELGLHFLLLSVILYALEAFGVNLQAGFLLFGPPGCGKTLIAKAVAHEAGANFIHIKGPELLNKYVGESESEVRKVFFRRCIDNKERERGGWVVERVVTQLLTELEDQRQGVYVIGATNRIDVIDDAVLRPGRFGKKHYVPLPGADERVSILKACARSKPISSGVDLDALARRKECSNLTGADLASL >Sspon.04G0007960-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:18993393:18995070:1 gene:Sspon.04G0007960-2B transcript:Sspon.04G0007960-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTTRSAATERAYLHFAQAPHGVVVTTSPAAAAGGAGEDFDESDIWGAFAPAEPPQAGPFRAARKASPAKPALAPKGRAAHWSLPVKIPDWSKILGSEYRPGYHYGAGGAGDWELDDDEDSVDWVPPHELAGRRRAASLSLKNGVVGRTLKVRDAVWKRTTGDLSLGKGIGHALPAPTVREETIGSALQCAQKVSPITALAYRRNSPHILLAY >Sspon.03G0041370-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:39875066:39880190:-1 gene:Sspon.03G0041370-1C transcript:Sspon.03G0041370-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MESWVRAVVEAIHSSRSQAVIYLAGGASQALGWLLSVPGASGTVLEVVVPYSRASMAQLLGKMPLQFTSKQAAEDMALAAYNRALKLSGPVCVGLQVMGVGFTGSLASSRPKHGDHRFYVSTWTHNCLRTSHVTLSKGLRSREEEDKVSSYFLLKEIADTCRVSANIHSDIHEPEIPEESMEEFDEDQELQQIINGQISAINADKPPLSLAEIKRRVEQFRKAGKNVIISNQPYFYKKAELFPGSAFIIGADTAARLVNPKYYGGDYNRMLEILLECKSTGTTFLVGGRKIEGDFKVLEDLDIPEELRDMFISIPEEKFRIDISSTEIRKSHGLDVSNMVIFYSRFGMILHNHRRKGKSYMFKRGAAFDQMKITSMARAAIWQTSGMMLYSTTSNRYHINVAPASTPADKFLSFHSKMSAAARHKQLGSTVKSTLKETTVMHMALPIFLKEQRTFPSTEKLMNRLVPMAAHSSIAMIAWEIRMHVYTRLGTLKAEAVLLVHLIGLLQKARLYKHPKC >Sspon.07G0018540-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:77620196:77629556:-1 gene:Sspon.07G0018540-3C transcript:Sspon.07G0018540-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAANLLSRSLLPTPNPTQTSHPNRRSPAVVSFPRRHGRLFVRASVSTASPSPPPQPAAAAAGVPKHCFRRGADGYLYCEGVRVEDAMAAAERSPFYLYSKPQILRNFAAYRDALQGLRSIVGYAVKANNNLPVLRFLRELGCGAVLVSGNELRLALQAGFDPARCIFNGNGKTFEDLKLAAESGVFVNVDSEFDLENIVRAARATGKKVPVLLRINPDVDPQVHPYVATGNKTSKFGIRNEKLQWFLDSIKSYPDEIKLVGVHCHLGSTITKVDIFRDAAVLMVNYVDEIRAQGFKLEYLNIGGGLGIDYHHTDAVLPTPMDLINTVRELVLSQDLTLIIEPGRSLIANTCCFVNRVTGVKSNAWQNSSDLHIELVSPPTPGAEVATFDIVGPVCESADFLGKDRELPTPDEVEEDGSIVKIRHEEKLDDYMKFFDGLPA >Sspon.08G0002030-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:6250766:6260210:-1 gene:Sspon.08G0002030-1A transcript:Sspon.08G0002030-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHVDVEMDQSAQPKNSRSLQRYCSSSSTSVIPIEQSGGGSDTRTSGAGVVGTDGGEVGLPYLALSVGPPLGAFPTRLAVPAMEREDADGALDSWAQFCSLSNELLAGDGDLSVGPRLAPVVADLCTRGLATFVRDYFLHSLEETFRNNAVKKFWQHFHPYCSASTVERIKFCVKENWPEEILSKALEDICLEKDYQEKCVLVLVQAFQSYEDRAPQKKFKAVDCISSLMARYQLMVSSVLLTTLPLSFPEILNIYFKKKLEELNTIMSGSYESDQLVDHEPFQRSSTSDWHSGMDVDGSEVSESSSLVKNIGKVVRDLRCIGFTSMTEDAYSSAIILLLKSKVYELAGDDYRVPVLGCVKKWIQAVPLQFLHALLTYLGDSVDYDSGSSGLKSPLASRPSSFPGIGVPSESLVRWHMRLEYFAYETLQDLRIGKLFEIIVDYPESSPAIEDLKLCLEYTGQHSKLVDSFISSLRYRLLTAGASTNDILHQYVSTIKALRTIDPTGVFLEAVGEPIRDYLRGRKDTIKCIVTMLTDGSGGSASGTGNAGDNLLEELNRDAENQENADYDDHANIDEKQAWLNSESWEPDPVEADPLKGSRNRRKVDILGLMVSIIGSKDQLVNEYRVMLAEKLLNKSDFEIDSDIRTLELLKIHFGESSMQKCEIMLNDLIDSKRTNSNIKTSLLKTSQTVPGQEEAEVSHDVLDATIISSNFWPPIQTEDLVVPASVDQLLSDYAKRFHQIKTPRKLLWKKNLGTVKLELQFEGRSVQFTVAPVHAAIIMRFQEKSSWTSKTLAKEIGIPMDSLNRRISFWTSKGVLTESAGPDANDRTFTVVDSMSDVNKNSIVNERLSEYQMTEEEGESSVASVEEQLKKEMTVYEKFIIGMLTNFGSMSLDRIHNTLKMFCIAEPSYDKSLQQLQSFLSGLVADEKLETRDGLYLLKSL >Sspon.07G0014940-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:52058555:52062864:-1 gene:Sspon.07G0014940-3D transcript:Sspon.07G0014940-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGMVVSAATGALSSLLSKLSDLLTDQYKQRKGVRRDIEFLRRELTDMNAALEKLADMEKLDAQTKVWRDKVREMAYDIEDSIDIFMLKLDQGDDDDDNDGLFRRIVGKVRELRLHYQFADKIQELRARVEEQSQSRERYRIDGSISESRVVVEVDPRLPALFEDAKRLVGIGGPREEIIERLMGEDDRHCSRQCKVLSIVGFGGLGKTTLANQVYSKIKNEFECAAFVTVSRTPHMPKILKDILSGVGYRNTEMEDDVQKLIEILRATLNNKRYFIIIDDLWSIKDWRTIECAFVENNNSSRVITSTQCIRDLSELTNLRNLQVMMYNYSRPDGVENNRKATILAASLNKLGNSNLRNLAFEVVSSARAPSTQFWNNCLARPRHLQRFSLYGVTMPKVPNWIAHADRLAHVELEVQELPTDDVQVLAQLPCLIYLQLRAKKITENNIIIHPQTFHSLKCFKFFCGELPSLTFEPAAMPQLQRLEIQLALGQGAMELHEGSLVGGIEHLASLVKISLYICAKCGQGSKIESAWRDAISRHPKSQALQIYVNCGEYDENGKLEQG >Sspon.01G0017870-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:68513702:68518163:1 gene:Sspon.01G0017870-1P transcript:Sspon.01G0017870-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLESSISLLVTMAQMQSRSKEQEVHEVARKSNEQTVLKQDTVDDEDSGDTTSSAGESKYPGWPGTSVFRMLIPAQKVGAIIGHKGERVRRLCEETRACVRIIGGHLCAAEQAVIIFGREQPDEPLPPAVDALLRVYQQTINDDSLDVGSDSVIVRRILAPSEQAASLIGEHGVMINSIMEASQTDIRVLDGDLPPVALEEDRVVEIWGLPARVHKALELVASHLRKYLVDRSVIPLFDCHVPMPILHMDMPPCHYIDYPEGPVHPVSPGYHSVSAEDLQHEQWIDTVYLRGRHPMGNLRHADSFEYRWEAPTPFRRYRSVTPPNHAITAYGPEASSPMEAYLSAPMELHSHHNLQNGWHSSPVNSTDSVERIRSLISVYGQQARLQKQTCQSAKLGKHPQFRISLHGSEAHPTRVSPRDSTEQPPSPSISACGREASPCFRMHPPTTVENLLNCRVSACGPEAQLPLHPAPRSSTSRSTAVASQVKKKMQVPIFYAEAVIGPAGERIEYIRRTSRSSILITNSEGAMSIEITGSAATDVLTAEQLIKNFMAEAAAASPGHSFDFIPSYLPAPRSAQADVLASPGTSEVSSLPERRLQMIY >Sspon.03G0013380-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3A:37505990:37506785:-1 gene:Sspon.03G0013380-1A transcript:Sspon.03G0013380-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATADGGAGKLKLLGAWPSPFVNRVRVALHLKGLEYENVEEDLTNKSDLLLASNPVHKKVPVLLHGDRPVSESLVIVEYLDDAFPGAGQALLPADPYERAVARFWAAYVDGKLHGMMVKAILGATEEERAAATADALAAMDTLEGAFAECSGGKEFFAGDAPGYLDVALGGFIGWLRAWDKVGGVELLDAGRIPRLAAWAERFAALDVAKEVIPDPDHIAEFGKVLKARSAAAAAGN >Sspon.01G0045060-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:86892401:86893427:-1 gene:Sspon.01G0045060-1B transcript:Sspon.01G0045060-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADIHISRHKGPYIHNSSTKAVNHIHGTKADDGEEGLYLAVAVILSRGRTARTGQRRGQREQPSPPPPFSFLSPSSSFSFFFFLLPSSSSSFLLSFPSSSSPSLLPFSPPLSSFRRRGWAAGLGGGAGRRGCCSAGRRGHGGAAGPGGRGGVAGPRRGAGAAAGRRGRAAAAGRRGRGGVAGPRICRGAGSAGRGGRSGEGGGGSGWGAAGLGLGMGWARPGT >Sspon.02G0028100-4D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2D:102780591:102780920:-1 gene:Sspon.02G0028100-4D transcript:Sspon.02G0028100-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RVPTANETNTDPMWQASVVQRYNRPLPKVRTSKAEPGQSGGRQLPSGALNVQHIQEIIQLYQGKSANHQGPMSVDDIASRFRVEATVVQNIVQFISLPQDETVKKKDEH >Sspon.04G0017220-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:67685310:67687844:1 gene:Sspon.04G0017220-3D transcript:Sspon.04G0017220-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGARFHGMIGGGGGKGMQDNEINGFYNMPYYQKFGEGSHMSVDSTDGFNLTNCAGGSVAMSVDNSSVGSNESRTVILKHPGLRDAPTASYSVGNSVFRPNRVAAHTLNEDALARVLMDPNHPTEILNSYEQWTIDLGRLDMGDPFAQGAFGKLYRGTYNGEDVAIKLLEKPENDPERAHLMEQQFVQEVMMLSRLSHPNIVRFIGACRKSIVWCIITEYAKGGSVRQFLARRQNKSVPLRLAVKQALDVARGMAYVHALGFIHRDLKSDNLLISADKSIKIADFGVARIEVKTEGMTPETGTYRWMAPEMIQHRPYDHKVDVYSFGIVLWELITGMLPFTNMTAVQAAFAVVNKGARPVIPQDCLPSLSHIMTRCWDANPEVRPPFTEIVCMLESAEMELVSNVRKARFRCCISEPMTTD >Sspon.06G0012610-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:54503049:54541595:1 gene:Sspon.06G0012610-3C transcript:Sspon.06G0012610-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYHLRSASVPSSPRSSETNVEEQLQSLKVTVSAPSMTVQTMSYGLTKLGSIYSCIDELTCFPSSQRKVVEEELERSLVLLDLCSAMQESFAELKTIVLEMQLALKRGDEAAVQARVQSYARAAKKAQKQCKRINNKAASGIEGDRVVKLLSEAREIAVTILESTLQLLSKQVAMPSPGKWSLVSKAFQKKRVVCEEEQLQMLELDIVDLESGVETLFRRLIQSRVSLLNALSLSASVPSSPRSNEIDADEQLQSVKTAVSSSSVTIGTMCHGFRKLGEAYNSISELACLPSSQVTRQRKAVEQELERSLVLLDLCNTMQESFGELKMSIMDMQMALKRGDDAAVQVMIQSYIRLVKKAQKQFKKISKKAIAVDQENCRLIKLLSEAREIAIFMLETSSHLLIKQIVVPSSSMWSLVSKTFQKRRAVLEEEQLQELELDIVDLESGVEALFRTLIQSRVSLLNALSLDFSELKETILDMQLLVLKRGDHTAVQTKIQSYTRVAKKTQKQFRENRKKSTAAYQQSCRVIKMSQAKEIASSMLESSLRLLSRKIAMMSSTKWFLVCKTIQKRRVVCEAQLELDIIDLESGVESLFRTLIQSRVSFLNTLTLMACHLRSASVPSSPRSNETNVEEQLQSLKAAVSSPSATIKTMVNGLSKLRSIYDRIDVLTCLPTSQRKAVEEELERSLVLLDLCSAMQESFVELEASVQDMQLALKRGDDAALQTKVQCYARLVKKAQKLFKKINKKTVSDIEGCRLINLVAEAREITVSILESTLHLLSKQIAMPSSSKWSLVSKSFQKKRVLCRAEQLQGLELDVVDLESRVGTVFRTLIQSRGDRVEEQILSLKAAISLPSATIETVVDGLSKLGSIYNHIDALTCLPSSQRKAVEEELERSLVLLDLCSAVQESFVELKASVLEMQLALKRGDNAALQTRVQCYARLVKKAQKLFKKVSMKTASDIEGCRVINLVAEAREIAMSILESTLHLLSKQIAIPSSTKWSLVSKSFQKKSVVCEAEQLQGLELDIVDLESRVGTLFRTLIRSRVSLLNALSLDFSELKETILDMQLLVLKRGDHTAVQTKIQSYTRVAKKTQKQFRENRKKSTAAYQQSCRVIKMSQAKEIASSMLESSLRLLSRKIAMMSSTKWFLVCKTIQKRRVVCEAQLELDIIDLESGVESLFRTLIQSRVSFLNTLTLMACHLRSASVPSSPRSNETNVEEQLQSLKAAVSSPSATIKTMVNGLSKLRSIYDRIDVLTCLPTSQRKAVEEELERSLVLLDLCSAMQESFVELEASVQDMQLALKRGDDAALQTKVQCYARLVKKAQKLFKKINKKTVSDIEGCRLINLVAEAREITVSILESTLHLLSKQIAMPSSSKWSLVSKSFQKKRVLCRAEQLQGLELDVVDLESRVGTVFRTLIQSRVSLLNTLSLMACHLRSASMPSSPRSRETEVEEQILSLKAAISLPSATIETVVDGLSKLGSIYNHIDALTCLPSSQRKAVEEELERSLVLLDLCSAVQESFVELKASVLEMQLALKRGDNAALQTRVQCYARLVKKAQKLFKKVSMKTASDIEGCRVINLVAEAREIAMSILESTLHLLSKQIAIPSSTKWSLVSKSFQKKSVVCEAEQLQGLELDIVDLESRVGTLFRTLIRSRVSLLNALSLMACHLRSASMPSSPRSGRTSIEEQILSLKAAISLPSATIETMGDGLSKLGSIYNCIDALACLPSSQRKAVEEELKCSVVLLDLCSAIQESFVELKASVQEMQLALKRGDNAALQTKIQCYVRSAKKAQKLFKKVNKKTASNIEGCRVINLVAEAREIALSILESILHLLSKQIAVPSSSKWSLVSKSFQKKRIMCEAEQLQGLELDIAGLESGVGTLFRMLIQSRVTLLNTLSLMAYHLRSASVPSSPCSSKTDVEEQLQILKAAVSLPSATIETMVDGLSKFGSIYNRIDALTCLPSSQRNAVEEELERSLVLLDLCSAVQESFVELKATVQEMQLALKRGDDAALQTKIQCYARLVKKAQKLFKKVNKKTASDIEGCRVISLVAEAREIAVSILESTLHLLSKQITMPSSSKWSLVSKSFQKKRVLCEAEQLQGLELDIVDLESRVGTLFRTLIQSRVSLLNTLSFLPSSPRSSENDVAEQILSLKAAISLPSATIETMADSLSKLGSIYIHIDALTCVPSSQRKAVEEELERSLILLDLCSVVQESFVELKASVLEMQLALKRGDDAALQTRVQCYARLVKKAQKQFKKVNKNSASDIEGCRVISLVAEAREIAVSILESTLHLLSKQIAMPSSSKWSLVSKSFQKKRVLCEAEQLQGLELDIVDLESRVGTLFRTLIQSRMAYHMRSASVPSSPRSSKADVEEQILSLKAAISLPSATIETMVNGLSKLGSIYIHIDALTCLPSSQRKAVEEELERSLVLLDLCSAVQESFVELKVSIQEMLLALKRGDDAALQTRVQCYARLVKKAQKLFKKVNKKTASDIEGCRVIDLVAEAREIALSILESTFHLLLKQIAMPSSSKWSLVSKSFQKKRIVCEAEQLQGLELDIVGLESGVGTLFRALIQSRVSLLNTLSLMACHLRSASVPSSPRSNKTDVEEQLQSLKAAISAPSATIETMVEDLSKLGSIFDCIDALTCMPISQRKAVEEELERSLILLDLCSTVQESFVELKASVQEMQLALKRGDNAALQTKIQCYVRLAKKAQKLFKKVNKKTAFDIEGCRVIKLVAEAREIAMSVLESTLHLLSKQIAMPSSSKWSLVSKSFQKKRIVYEAEQLQGLELDIVDLESRVGTLFRTLIQSRVSLLNTLSL >Sspon.07G0012510-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:44487474:44495779:1 gene:Sspon.07G0012510-1A transcript:Sspon.07G0012510-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDFLVSAATGALSPVLGKLAALLSDEYKRFKGVRGEVKFLIRELEAMHAFLLKKSEEENPDAQDKAWMKEVRELSYDIEDSLDDFRVRVDDDSAKPDGFIDKCKNLLAKTKARRRIAKAIQDLKVQVKEVSERNARYKQIGETVMNTSKVTVDPRALAIFEDASRLVGIDQPKQEVISFLTKEDGGISSHSQQLKIVSVVGIGGIGKTTLANRVYQELKDQFQCQAFLSVSRNPDMIKILRTILSQVTGQRYCETEAGSIQQLLMEINEFLRTKRFLRVLDFTGRLLENHHLANIGELLQLRYLGLRRTSITELPEQIGALQYLETLDIRHCNIIQLPTALVRLKRLVNVLMGPQKLKCFPGGIKNMQALEELNLVIDDINAASICVEEIGDLTKMRHLDISLRSIGIIDKESYVDLLKKMVSSFQRLNKLQTLVVQLDYYEEAGYAVIFDGDDVIIEVPWCSGSLRKLYFWMSPMSRVPSWMKSLVNIEVSLCILGDLPVLVDLRLEMVNNVEGIHSTDEQRLLISNRYPYRSLRNFWVCVNSLGRPSIPMLTFEAGSMPKLEQLCIDFDADITISVSNGPLDFGLNHLSQLTHVECRMFGIKLNSLVYVEAIIKRELDNHPKRPRFLKQEFPSTEGGRSSNRVRLRPRRRSQSTVHTTQPKPSSVADVSEQEVVQKVADDVGICTSGGDDKVGSSITDDNSGQSLTAALPLPTDLSFTIHHHGASPEIGRRHGQPRRRRLEARQSATIRRRELVQGAPVIADRIKGTSGPAPTSDVLVPRLIAAPQQQGAFVPPCFTFSSESAPNAEAEQQAMDSYEPSQHDNLANAFSNAFNGLSLHDDDHAGSSATSVEAASSDPVASPTFYVLGHRLIAAPQQQEAFVLPCFTFGSGSPPNAEAEQQATDCYKPSQHDNLLANGFSSAFNGLSLHDEHPGSSSTAFEPPSSNTGAAPATSDVLGPRLIVAPQQQEAFVPPCFTFSSRSPPNAEPEQQAMDCYKPSQHDDLANAFSCVFDGLSLGNDHPGSSATAVEAASLETGNDLHHAKEEEEEVVRNNSEVINNLVTLQLHQHLDHV >Sspon.03G0003660-3P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:12804022:12805709:-1 gene:Sspon.03G0003660-3P transcript:Sspon.03G0003660-3P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SNGHCRCSFPPRRSPRPGSRGRRLRRVAERARHVLRRRRRVRHNRRRVRVRQPVQHRVRHEHGGAEHGAVQRRRGVRVLLRAAVRQRGAVVPAGHHHRDGHQLLPAQLRPPQRRRRLVQPAAAALRHGRAGLPPHRAVPRRHRARRLQQSAVREEGRHPVHHQRPLLLQPGAGDQRGGRRRRALRLHPGLPHRVAAHVPELGPELAEQRGPGPAGALLPGHRQRRPHRHQQQRRPRRLAVRPDLRGRPV >Sspon.01G0020210-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:80519059:80525879:1 gene:Sspon.01G0020210-2B transcript:Sspon.01G0020210-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAPRWSSSSSSSLALVLIIVAAAAAVTASEAVRVPAVGNWTEELRGAAARRARQRHGWRSRRRAFENGLGRTPQMGWNSWNHFGCGINENLIKQTADALVNTGLAKLGYEYVNIDDCWAESDRDYQGNFVANRQTFPSGIKALADYVHAKGLKLGIYSDAGTRTCSQKMPGSLDHEEQDVKTFSSWGIDYLKYDNCNDAGRSVMERYTKMSNAMKTYGKNIFFSLCEWGRQNPATWAGSMGNSWRTTDDIADNWGSMTSRADQNDRWASYAGPGGWNDPDMLEVGNGGMSEAEYRSHFSIWALAKAPLLIGCDVRSMSQQTKDILSNSEVWAGPLSNNRKAVVLWNRQGYQATITAQWSSIGLASSTAVTARDLWAHSSFSAQGQLSASVAPHDCKMYVLTPN >Sspon.05G0006860-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:22219817:22224228:1 gene:Sspon.05G0006860-4D transcript:Sspon.05G0006860-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ICSPGVELMRSMFSTVAADSIKDVGRGGPMVEYERRIASGELVDGDSFQVDTIQQLQRLYEELIENEEDCQLDRYKSSEKSGRSRWLWSRLITQPSTYAPVKGLYLYGGVGTGKTMLMDLFYEQLPSNWRKKRIHFHDFMLNVHSRLQMHKGVSDPLDVVAAEISDEAIILCLDEFMVTDVADAMILNRLFRQLFSKGVILVSTSNRAPDKLYEGGLQRDLFLPFIDTLKERCIVHPIGSAVDYRQLGSVSLGANGCAYFPFEDLCDRPLGAADYFGLFKKFHTLALDGVPKFGSSNRTAAYRFVTLIDVMYENKARLLCTAEAGPIGLFENIVTVAEAQKVSPRYSRSQKSDDPDLCVTMSLDLPRIERLAGNWKCVHADVDRDQQQRIFGGLRNEIEATAAAALARSR >Sspon.08G0007320-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:21560618:21565002:1 gene:Sspon.08G0007320-2B transcript:Sspon.08G0007320-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRLLLLLLLALSLVASASAVPAVIRMVPDDPTAASSGAVDDADALFCDSWRLSVETANAGPWRAVPARCGDFVRDYMEGPRYASDSAVAAAAALAFASDALAATAEWGGSASARPAWVFDVDETLLSNAPYYAVNGWGSQEFNETSFDEWVDVAKAPALPSSLNLYNQLQGLGFHVILLTGRSEFQRNTTESNLLSAGYSSWEKLILRQSSDIGKTAVQYKSERRAAMEAEGFKILGNSGDQWSDLIGSPMATRSFKLPNPIGIVQFLVATHRRA >Sspon.03G0001950-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3A:4785782:4792505:-1 gene:Sspon.03G0001950-1A transcript:Sspon.03G0001950-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSLQLILDTMNNGFDELDRRFSDRDRATAERSAAVNARLDALVATQAAASLAAASSPAALEQRLAGLESIRINCVAANMDQRLSSLEANYVDRDADYSHRISELESLCTDVQLWTATPGWRLWRRRLRISRRGGPTWKGSWTTSSYGWRRSTPNSAAATSSVTAIPTSPSGHRVPTTPRDVSSGVITTWTHVPAKGTCFPSSLSSDEHRFVFPPPPPPPHPKPPPPPPPSHLQPHPSVPPLPAPNSLVPRHPFFPAPLPPRFHPSIVATNHTAPLATGRLPKLSFPRFNVFFLKCGFVLPNNISTVPRLFCAPEDLDTACTVAMLREEVGSASMPRFSRSGDWSPPTKAAPIPRTALPLPPPPLPRPDKHTTTPTVPLQASDSKLAAIKSYRRALGVCYKCGMKWSKEHKCSQEVLHAVEILWDSISDEACDSSSESPSRPDEQLCLALSKAASGGSLASRTIRLHGSIAGVPAILLIDSGSSTSFISSKLVARLSQFQEVSQSAKVQVAGGGFLHSPGSLNSVPWLVAHCNFCSNFRILELTTFDVIIGMDWPQAFSPMQIHWEHKWLAIPYNGSWTALQGLDAVSPDSVYLQLFSDEDLRCNHSIPLIPGAQPMFVRPYRYPPNLKDEIERQVKEMPNQGIIQPSSSAFASPVLLVKKKVGSYRFCVDFRQLNALTAKSKFPVPVFDQLMDELAHSSWFSKLDLRADFHQILMQSGESYKTAFHMHLGQYEFNHLTLVFSWLAADQWKIKLSKCTFAQRSISYLGHIISEAGVATDPAKVRAITEWPVPANVRALRGFLGLAGYYRKFVRHFGIIARPLTDLLKKDSLFIWTSIHNSAFQNLKAALSSAPVLALPNFAIPFHVETDASGTGVGAVLQQNGHPLAYISKSLSPRNQSLLTYEKEYLAILLAVDSWRHYLMQSEFIIHTDQKSLVHLNEQRLHTSWQQKVFYKLLGLRYKAKPDKASYPGLLQPLLVPDNAWEIISMDFIEGLPLSGSSNAILVVVDKYSKFAHFVPLRHPFSRAQERMCRQANKHMSERSFAVGDLVFLKLQPYVQSSVARRAHHKLSFKFFGPFRVLERIGAIAYKLELPSTTVIHPVVHVSQLKRSPGVNQILQRRWTSGDHLVEQVFIKWSHMPASLATWEAVDHLREQFPFAPAWGHAAAQDGENVSTIANQDGGNQKQQDKPIMSSSPGVRRPDGEVEEQAGLSSPGVRQPDGETEEQARSSSPGVRRPRKPNTRFFGPIETNHNPNEVHRERKRAAAGYHHWPSDGAVREGPEPSAVVAMPPHGTLGTTAQPFDGGALTLGWMRTPAKGRVVVPLHLPSSSGRHEG >Sspon.04G0008730-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:25358658:25364505:-1 gene:Sspon.04G0008730-1A transcript:Sspon.04G0008730-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:4-hydroxy-3-methylbut-2-en-1-yl diphosphate synthase (ferredoxin), chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G60600) UniProtKB/Swiss-Prot;Acc:F4K0E8] MATGVAPAPLPHVRVRDGGVGFTRSVDFAKVLSAPCAGTMRTSSSRGRALVVKSSSTGSETMELEPSSEGSPLLVPRQKYCESIHQTRRRKTRTVMVGNVPLGSDHPIRIQTMTTSDTKDVAKTVEEVMRIADKGADIVRITVQGRKEADACFEIKNTLVQKNYNIPLVADIHFAPTVALRVAECFDKIRVNPGNFADRRAQFEKLEYTDDDYQKELEHIEKVFSPLVEKCKQYGRAMRIGTNHGSLSDRIMSYYGDSPRGMVESALEFARICRKLDFHNFVFSMKASNPVVMVQAYRLLVAEMYNLGWDYPLHLGVTEAGEGEDGRMKSAIGIGTLLMDGLGDTIRVSLTEPPEEEIDPCQRLANLGALAANLQIGVAPFEEKHRHYFDFQRRSGQLPLQKEYTAVFRRILVEVDYFSYGYQDLATVDSILLRELPPVEDAEARLALKRLVDISMGVLTPLSEQLTKPLPHAIVLVNLDKLSSGAHKLLPEDILKDVDDITMLLHNVPYGEEKTGRVHAARRLFEYLQANGLNFPVIHHIDFPKAIDRDGLVIGAGANVGALLVDGLGDGVLLEAADQEFEFLRDTSFNLLQGCRMRNTKTEYVSCPSCGRTLFDLQEISAEIREKTSHLPVILINRYIFAWQIAIMGCIVNGPGEMADADFGYVGGAPGKIDLYVGKTVVQRGIAMEGATDALIQLIKDHGRWVDPPAEE >Sspon.05G0012060-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:35149432:35151591:-1 gene:Sspon.05G0012060-1A transcript:Sspon.05G0012060-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADQFADSAVRMYRGEGQTRCPSASSLWAISPPPNSHRLSPPPAAAVACPHHLFSLFPSLSPSCDSTSGSVGGRPVGGGVDPGLPGADPVLPSPDLVSSRPGGLRCSALAPWRGQAVVPLARALWASCTGRFPLTVDGVARAVAVAANNVIIEEVNKGLNPGMVVLLVVASFLLLFFVGNYALYVYAQKTLPPKKKKPVSKKKLKREKLKQGVSAPGE >Sspon.08G0012880-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:54730344:54738426:1 gene:Sspon.08G0012880-1A transcript:Sspon.08G0012880-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEAAGRERPPWRCTVAVQAALCLALYVAFSLGEPQLIPRGGGAGGVDALGRGARGGGGVAFLSVAGGARGPIEQARLLRQMETIAKVYEVKFVLDVAQLGEDDPLWQNGSMYFQALNIPWYSTKSSHGRRVGNFMKKVKMPYDQVLDIIGLNTWALQEPLHDGKISTSYRGQIKWLDQSLALTDSNWKIVVGYNPLLVCNGEETPETTKFSLPIQRIFAKYEVNAYISMGGFCGYFHRDNSILYIGHPSQTSVDGFFVHRVRPLEMESMLINVEGKLVQRSVVQQHGTGAM >Sspon.08G0003710-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:7546347:7562107:1 gene:Sspon.08G0003710-2B transcript:Sspon.08G0003710-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLRESLLLTFVFLACSPGSVSTSNTSDHGALMSFKSHVSVDPSGALVQWGNLFVPMCQWPGVACGLKGRRLGRVVALKLTRLNLVGTITIVLGNLTYLRLLDLSLNHFYGILPPELGNLRDLKTLVLQVNSIQGNIPLSLTNCSHLVSIILDTNKLEGEILSEFSSLHNLEYLYLGKNRLTGTIPASLGNLSALTLLSLSINELEGSIPPLQGLSSLSVLQLGENKLEGTIPAWLGNFSSLVSLDLRENGLVGQIPESLGNLELLTILTLSGNKLSGSIPRSIGNLHALSGHYLHSNNLEGSLPHWMFNLSSLEILALGSNKLSGIFPPDTGSKQFKLKSFSISYNQFHGVLPSSICNASMLQYIEILSTFLSGRIPSHQMNLSVVLLIENEFEATNDADWNFMSSLTNCSNMRKLALDSNKLKGVLPNSIANVSIRMEILSIQDNKITGTIPGGIGNLVNLEILIMGQNILLGAIPSSLGKLKKLNLLDLSNNIFSGPIPETLGNLTQLTKISLSGNHISGPIPPSLKNCPLERLDLSHNNLFGSIPKELFFISTLSIYMNLSHNSLLGTLPSEVGNLKNLNEIDFSNNMISSEIPNSLRVSEFGISQNTIQGTIPVSLGTLRGLFRLDLSYNNLSGTIPETLARLSGISSLDLSFNKLQGRVPIDGVFQNATTVLITGNDGLCGGIPELKLPPCSNNTTKKSHHKVAIIVSICSGCVFVMLCGLANEVSTHGDVYSFGILLLEMLTGKRPTCNEYGEAIELRNYVQMALPDRMSTITEDDEPSTSNSSGIRGARNACIASILQVGIYCSDQTPTNCPSIGDALKRLQAIRDKLLDLSLNHFHGIMPPELGNLRDLETLILQENSIEGYIPPSLANCSHLVVIALATKRVQLTA >Sspon.01G0020340-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:32230037:32238943:1 gene:Sspon.01G0020340-2C transcript:Sspon.01G0020340-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKEGAKVGAEEMEEREQEGEAEEVEVKFRLFDGSDIGPVRCNAAATTVAALKDRVVADWPKDKSIIPKTASDVKLISGGKILENDKNVAQCRAPFGDLPSSDITMHVVVQPSSAKSKPDKKANKLPKTTRCSCTIL >Sspon.04G0008330-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:24250477:24254423:-1 gene:Sspon.04G0008330-1A transcript:Sspon.04G0008330-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKASAHANEEKRWVLSDFEVGKPLGRGKFGHVYQAREKRSSQIVALKVLFKSQLKQSQVEHQLRREVEIQSHLRHPNILRLYGYFYDQSRVYLILEYVAKGELYKELTRCKHFSERRSATVSWCSIHVLGEFICSSFFTKYIASLARALIYLHGKHVIHRDIKPENLLVGAQGEIKIADFGWSVHTFNRRRTMCGTLDYLPPEMVEKTEHDYHVDIWSLGILCYEFLYGVPPFEAKEHSETYRRIVTVDLKFPLKPFVSPAAKDLISQMLVKNSAQRLPLHKVLEHPWIAQNADPSGVYRG >Sspon.04G0003870-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:12167710:12173524:1 gene:Sspon.04G0003870-3C transcript:Sspon.04G0003870-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAALLRRIPAARAPATAFMAARPISDSTAALTIETSVPFTSHLVDPPSRDVTTTPAELVTFFRDMSLMRRMEIAADSLYKAKLIRGFCHLYDGQEAVAIGMEAAITRSDSIITAYRDHCTYLARGGDLVSAFSELMGREAGCSRGKGGSMHFYKKDANFYGGHGIVGAQVPLGCGLAFAQKYKKEDTATFALYGDGAANQGQLFEALNISALWKLPAILVCENNHYGMGTAEWRAAKSPAYYKRGDYVPGLKVDGMDVLAVKQACKFAKDHAVANGPIVLEMDTYRYHGHSMSDPGSTYRTRDEISGVRQERDPIERVRKLLLTHDLATAAELKDMEKEIRKQVDDAIAKAKESSMPDTSELFTNVYKKGFGVESFGPDRKELRASLP >Sspon.07G0003930-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:9807401:9807733:-1 gene:Sspon.07G0003930-1T transcript:Sspon.07G0003930-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DDGGLDLALLAAVARPHLRQQLPGCDGGHGGGPAAGVSHAVPRLREAPPPLLRRRRRAQPETTAAGARGGIVCLAIPAGEHLPEPSHRAAHVPRSCCRNGRMRQKEKQALN >Sspon.02G0034040-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:9934336:9936217:1 gene:Sspon.02G0034040-1B transcript:Sspon.02G0034040-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSFANVNSEAGLKKLDEYLLTRSYITGYQASKDDLAVYSSFSAAPSSKYINVARWFSHIDALLRLSGVTAEGLGVKVESSAVPSASTPDVAEAPAADEDDDDDVDLFGEETEEEKKAAEERAAAAKASGKKKESGKSSVLLDVKPWDDETDMAKLEEAVRSVQMEGLLWGASKLVPVGYGIKKLQIMMTIVDDLVSVDTLIEDHLCAEPVSEYVQSCDIVAFNKI >Sspon.05G0038530-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:48352877:48354588:-1 gene:Sspon.05G0038530-1D transcript:Sspon.05G0038530-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MESALTCSTSVLQRRTGTAKPSDALHAAPSATPGNPAHQRLVWSRKHDPTPSSEGLCVTCYLVTELRRANDAFNCRQQLRNGYIDQVVANNAD >Sspon.03G0041400-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3C:40276530:40280743:1 gene:Sspon.03G0041400-1C transcript:Sspon.03G0041400-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDVEPEVEGREQRAPTAPASKESRCWVRGRKSAVARHYSIVFPSYCRDLRIHRHAPRKARTPDERRECCNAAPAARRSAVEARSTPPA >Sspon.01G0017390-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1A:63896175:63898438:-1 gene:Sspon.01G0017390-1A transcript:Sspon.01G0017390-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFKQQLTASLEKIFGLIRDNLKKEISPLLSLCIQVPSFFTRKLVTQVFSFINVQLFNSMLLRRECCTFSNGEYVKSGLCVLEKWIVDTEEHAGAAWDELQFIRQAVDFLIIPQKSKKTLEQIKKNICPALSVRQIYRLCTMYWDDKYGTHSVSAEVVARMRDMVSNDMQNPVSNSFLLDDDLSIPFTTEEIAEEVPDVDMSNIEMPSSLRHVHSAQFLTQHLQPSYLSR >Sspon.01G0023230-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1A:84091001:84092067:-1 gene:Sspon.01G0023230-1A transcript:Sspon.01G0023230-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding IVVFLGRSDEHPQKRLGSRIAHLKLEDIQYITLHLNYLLLGVGVISDVAEVLHIGRADLLILGCDEHGGDAEELQPLPVEGVGGEEAVDVGDGEVERVGDELVLLGDLDEPVDEDGAHVGADVELHRGDVVRGGAALGLRLHGAEVGVDVGRVGLAQLRILLVIRGGGDGRGRRGRCLGLGLDGGEEMLLLPDGLVVAVVVHGVGVRGQLGERDALALGGDGHGRDGGGALGGGAGEARRGGGDGGGGGGGVLLVGH >Sspon.01G0039020-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:32507466:32509997:1 gene:Sspon.01G0039020-1B transcript:Sspon.01G0039020-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VLDMLLRVAVVLSALSLASRAAFTPADNYLVLCGTAENATVDGRTFVGDASLPASVLSALRGSEANASAAGSASGSDDPALYQYARVFAAPSSYTFAVKSPGRHFVRLHFFPFRYQSGDLAVDARFSVSVQGLVLIDGYAPVNGTAVVREFSLNVAGGTLAIAFTPTPTGKVAFVNAIEVVSHPDDLFGDKAQTVNPMGRYTGLSTQALETVHRVNMGSPKITPRNDTLGRTWLPDESFLVDSSITVHKEMPPSKLRWTAGLATAETAPGMVYATATEINTDLVSASTISVQFNMTWKLQATPGSAYLLRLHFCDIVSKAANGLVFNVYVGGWNVLPDYEISKDTFSVVAVPLYKDFVLSAKDAKGNITVSIGTSTLGNADPDGVLNGLEIMRMIGSTGGGGAASSQSGSKKTIIAIVAGSAVAGVSAVMALALIVLWVRRRKKPEKKPSNTWAAFSASALGSRAHSRSFGKSKSGGARNNTVTLGQNAGAGYRIPFAALEEATCGFDEGMVIGVGGFGKVYKGTLRDETRVAVKRGNRQSQQGLNEFRTEIELLSRLRHRHLVSLIGYCHERGEMILVYEYMARGTLRSHLYDSELPPLSWKQRLDVCIGAARGLHYLHTGSAKAIIHRDVKSANILLDDSFMAKVADFGLSKTGPELDKTHVSTAVKGSFGYLDPEYFRRQMLTDKSDVYSFGVVLLEVLCARPVIDPRLPREMINLAEWATQRLKNGELDSIVDQRIAGSIRPESLMKFVDTAEKCLAEYGVERSAMGDVLWCLEFALQLQEASPDSSGTGSTQLVPRSASKFHRNQSTVSDGTEVTVSANLGELDGMSMTRVFSEMIKSE >Sspon.01G0000560-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:2123458:2126342:-1 gene:Sspon.01G0000560-1A transcript:Sspon.01G0000560-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPSSSMRAASSTVYPVYLSLYHHPNSHHACFRPSRANHDNTHKCTKSPKILRRSLFSLPASFLLLHTSSSLAVDDTNTPSTSTIDTTITDRIFMDFSVCPSYFRSDRPLGAELSSCPDSEPLGRVVFGLYGRLLPVTTANFKATCTAAAYRGTLIHKLLQGQFFAAGRQGSRRDKGEVEPPSGLVRNSETVNPKAFELRHARPGTLSLCLGQNDDDDDIKLNPNYHNVEFLVTTGPGPCPELDGQNIVFGTVLEGMDVITSIATIPTYKPAERIRLFNDFAQLIGDERAQTARAMWDRPLKTVYISDCGELKEN >Sspon.02G0026820-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:95261195:95273136:-1 gene:Sspon.02G0026820-1A transcript:Sspon.02G0026820-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFRLRREKSGSGRGIISEKKYFYPPENEEDSRIISSSRTSIGGTIWTMRFISIPQDVEYYPVLAMIINRKGSDVNDLSLFGYDTSSCVIDHISSYSETGPLALDISEIPEMFGFALLLRVGDALLLDLRNPTNVCCIRRISLMTSLIGERITVEDSCPGLDVDDDVAACALLELRDSANNIMKDDGYMDIDGVDSRGNVKPRIVCSWSWEPPEPVRQGWARLLFCLDDGEFHILEFTLDVDGVKLYTFEYIDRSFPCRPLFWMKNRMIIGFVEMGDGMIFKLGDRKLFHKSTIQNVAPILDLAIADYHGEKQDQMFACCGMSPEGSLRVLRNGVNVDRLLKTEAIYQGVTGLWTLRMKTTDAYHSFLVLSFVEETRILSVGLSFNDISDAVGFQPDVCTLACGLVADGLLVQIYSKGVKVCLPTVYAHPEGAPLTSPICTDWYPAITISVGAVGRNIVVVATSNPCCLYVLGVRSSSSYQYELYATHHVQLQYEVSCISIPQEDWRHDNVAFSCGEGDDICNNSPPKANVRKFAVIGTHRPSVEIISLEPGEALRVLTIGTISVNNAFGAPMSGCIPENVRFVAAERFYILAGLRNGMLLRFESEASDYLPGFFYKDSSIPSVNTFLQLISIRRIGITPVLLVPIHDSANADIIVLSDRPWLLHAARHSLAYSSISFLSASHVTPVSSVDCPNGLLFVAESCLHLVELVHGKRLNAQKFSIGGTPRKVLYHNESRTLLVLRTGLSGASSSSDIVQVDPQNGVLLSRYKCEPGETAKCMQIAKIGNDQVLIVGTNKSAGRPMMSNGEAESIKGRLIVLSLEAVESPRESSSFIPTSSFNPSSHSGSPFHEIVGYTTEEFSSNSLCSSPDEFCCNQIQAEQMAGQLRSLTHAILNGAVLAVCPYLDRYVLAAAGNMIYVFGFTNENPHRMKKCAVGRTRFTITCLKTFASRIAVGDCRDGVLFYSYNESHRKLELIYSDPAHRLVGDIALLNCETAVVSDRRGSISVLSCTRLEVSESPQKNLAVNCSFYMGETAMSIQKAAFRYRLPIDDDTDPVLETVYDCIVASTMLGSLFVMIPLTSEEHQLLQDVQERLSVHPLTAPVLGNDHAEFRQRGAPSVVPPILDGDMLVQFLELTGEQQQAILAHTLPGKGPHRPLSVFEVLRTLERVHYALN >Sspon.06G0017500-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:78935926:78942915:-1 gene:Sspon.06G0017500-2B transcript:Sspon.06G0017500-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQAAVGSADGTEAPLLPSSAAGTPPLQPAGAPRWNWFAFVCATLASVTTMLNGYNLALMSGAELFMREDVGLTDTEVEVLSGSMNVFMLVSILAAGWVADKLGRRRTLVLANAFLMAGALAILTPTVGPVYNAEISPASTRGLLSSMLDTKTFIDMQVFISVGILLSYVSNYAFSGLPVHLGWRVMFGVGVIPPVFIAAGVLFIMPESPRWLVMRGRHGDARAVLLRTSDAPAEADLRLGEMKRAVADAEPPHAAARHGGGASVWKQLLVRPSTSVRRILTCVLGLQFFVMASGVDAILLYSPRVFKAVGMASNSAILGATVAIGAVKTCFVLLGMLFTDRLGRRPLLLASTTGVAVTTAALALTLYIGTTTTSSSTATAAACLALVLAVVATFSVGYGSVGSALYTAEVLPLRLRAQGSSLAMAVNKLTATLVSMTFISLADVITMPGCFFLYAAVTAAAFVFVYTRLPETKGRSLEDMEVLFDK >Sspon.03G0018980-1P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3A:59612001:59612477:1 gene:Sspon.03G0018980-1P transcript:Sspon.03G0018980-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIQTSEELLSAIRLLVTEDPCSGRFVYGRQEPRSKKAKTMLLPSSMSGGGSSGGDNAKNQLQTFLTRAGHNNPTYKTKQIKSYLFRSTVEFNGMQFVGQPCANKKLAEKDAASEALNWLTGDGGGAITDSRGAQDADPMSLLMQPPRRRRHSHRRRS >Sspon.05G0020680-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:82192139:82195034:1 gene:Sspon.05G0020680-3C transcript:Sspon.05G0020680-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPVAVVLLLFLFLQPSSVILADAVLGRKAGLLVIDDADEPAESINAPAAAAGPGRYAVIFDAGSTGTRMHVFRFDNKMDLVGIGHSIEFFAKVKPGLSSYAGQPQEAANSILPLVKKAKRVVPSQLMEKTPLKLGATAGLRLIGDEQADKILEAVRDLVHTKSQFQYNPDWINVIEGYQEGSYLWVALNYLLDKLGGDYSQTVGVVDMGGGSLQMSYAISANDAAKAPKVPDGNDRYVTKEYLKGKNYNIYAHSYLHYGAFAARVKILNAKCGQFSSCMLRGFKGNYTYNGQQYDATASPEGAVYEKCREEITKALNLSAPCNTKSCTFNGVWNGGGGAGQDNLYLASSFHFLAAQVGIIDGKFPSAKSTPSAFRIVAKKVCQMSVKEAKAAYPSVQDIHVPYLCMDLTYQYTLLVDGFGLKSIKKVTLVSKVKHGEYYVEAAWPLGTAIEALSPKKGHGSA >Sspon.06G0005820-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6B:17402046:17402435:1 gene:Sspon.06G0005820-2B transcript:Sspon.06G0005820-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MESQTIRHMIEDDCADNGIPLPNVNSKILAKVIEYCNKHVHAAAADTTNASGGGEVDLKSWDAEFVKVDQATLFDLILAANYLNIKGLLDLTCQTVADMMKGKTPEEIREIFHIKNDYTKEEEDEIRREN >Sspon.06G0028670-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6C:9593635:9594039:1 gene:Sspon.06G0028670-1C transcript:Sspon.06G0028670-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSDCCSSHRMVSPSDLCPSSRVSWKILAAHAAGMRIRRPRPSTLVCRSRFRGGGLLRTMAIPPKHSTGSGFGTMNPCMGIAPITIPAAAAVAVATPPATWCCRAPSPSSALLSCACASMARIETSGAGRDWIF >Sspon.02G0044870-3D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2D:97049606:97050922:1 gene:Sspon.02G0044870-3D transcript:Sspon.02G0044870-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATLVLLVLLCLSTALTCSGGGGGTSATGIRMKLTHVDAKGNYTAPERVRRAIALSRQNLASTMRAGAGVSAPVHWATRQYIAEYLVGDPPQRAEALIDTGSDLIWTQCATCLRKVCARKDLPYFNASASSSFAPVPCRDRACAANDVHFCALDGTCTFLASYGAGGIIGSLGTDAFTFQSGGAMLAFGCVSFTEVTPGALHGASGLIGLGRGRLSLASQTGAKRFSYCLTPYFHNNGASSHLFVGATASLSGGGGPVMSMPFVESPKDYPYSTFYYLPLLGITVGGTKLPIPSSAPFTSLVEDAYEPLVDELARQLNGSLVPPPGEDDGGMALCVARGDLDRVVPTLVLHFSGGADMALPPKNYWAPLEKSTACMAIVGGYLQSIIGNFQQQNMHILFDVGGGRLSFQNADCSTI >Sspon.01G0046130-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:86963100:86969920:1 gene:Sspon.01G0046130-2C transcript:Sspon.01G0046130-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPFEFKMRTILCLNCNLPYGTTGPDMDFFEVVFEGDIPRLREMTSGKDAEEKALLADVCFDGLGPLQVAARMGRLDVVGYLVEDLGFDVNHGSAGFGLTALSSAALDGRLDTVRYLLDKGADPNMQDKLGEVPLHCAAKYGHDKVTELLLSRGASVDLTYFHATPLHIVVVYGKASVMKILLEYHADPNMVSEVLGTPLVATLHATTEGLEECISLKCVKVLVEAGADVNSTDPDTPLVVATTHGLTYCIKYLLKAGANANIPNSCCGAMPIETAASCGRRKHVEMLFPFTSPIQTVSKWTVDGIISHVKLSKHLKHSKHSKPKVGPYDESSKVKLKLDGDKAVGRKNYPATSKLYGEAEQYDKSMKDELKLNGDKAVGRKDYLTASKLYGKAIELDPADATLYSNRSLCLLQIGEATEALSDASTCIKMRPEWIKGYYRKGTALMSLKEYKEACNAFMAGFKLDPSNAVMERMFWEAAEAMKKDHVGTKDLESID >Sspon.01G0026250-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1A:91209684:91213839:-1 gene:Sspon.01G0026250-1A transcript:Sspon.01G0026250-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPYCLCGLLPFVKPTPPSETMSKEHAMRFPLVGSAMLLSLFLLFKFLSKDLVNAVLTAYFFILGIVALSATLLPSIKRFLPKEWNDNLIVWRAPLIRWAPFIHSLSVEFTKSQIVASIPGFFFCLWYASKKHWLANNVLGLAFCIQGIEMLSLGSFKTGAILLGGLFVYDIFWVFFTPVMVSVAKSFDAPIKLLFPTADAARPFSMLGLGDIVIPGIFVALALRFDVSRGIKNRYFNSAFLGYAVGMMVTIIVMNWFQAAQPALLYLVPGVIGFVAVPSLWYGEVKQLLEFDESKVEAEEGGAEEEQDDDSSKGNKKVD >Sspon.02G0004600-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:9139102:9144101:-1 gene:Sspon.02G0004600-4D transcript:Sspon.02G0004600-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKGLFTNDGSFMERFKQMQQEAQEKEKAAAAAASSAPKQANPKQGFAVAANKRPFELKKAGPVASAGKLAFSLKKAKVAVAPVFSADDEDEDAADVEREEPAKRQKSVQADAPAAAAPAGAVAPPPPNDMTVRQVADKLASFVAKNGRQFENITRQRNPGDTPFKFLFDKHCPDYKYYEYQLAEEEKALAQSKEAEASKTNSGIASFKAPGGTHRSSFEQKSNYQTPASALYGAYEGSDHMTPPSDPVALMEFYAKKAAQEERKRPPRQSKDEMPPPPSLQGPPKKGHHMGDFIPPEELEKFMARCNDAAAQKATKEAAEKAKIQADNIGHKLLSKMGWREGEGLGSEREAVQIPLWLEM >Sspon.04G0008970-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:17074435:17078175:1 gene:Sspon.04G0008970-2P transcript:Sspon.04G0008970-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLASWSAYDTQPAWALAAPLAALGLLLCARAAARLALWLYAAFLRPARPLRRRYGTWAVVTGATDGIGRALAFRLAAADLGLVLVGRSPDKLAAVSAEVAVRHPGAQVRTFVLDFAGDGLAAKVRALGEFLAELDVGVLVNNAGACYPYARYFHEVDEALVRNLVRLNVDAVTRVTHAVLPGMVRRRRGAVVNIGSGASAILPSDPLYTVYAASKAYIDQFSRCLYVEYRSKGIDVQCQVPMLVATKMASIKTSSFFVPSPDTYAHAAIRYIGYEPRCTPYWTHALLWLLFSLVPEPVANKMILNVALDVRTKGRDKDAKRKIH >Sspon.08G0005530-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:16787900:16810124:-1 gene:Sspon.08G0005530-1A transcript:Sspon.08G0005530-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDFVPQRTAAYVSQHDLHIGEMTVRETLAFSARCQGVGYFYDLLCELLRREKEANIKPDVDLDAFMKAAALGGHKANVVVEYMLKILGLEVCADTMVGDEMFRGISGGQRKRVTAETIITSLHGGEILVGSAKALFMDEISNGLDSSTTFQIINSLRHAIHILSGTAVVSLLQPAPETYNLFDDILLLSDGQIVYHGPREDVLDFFESMGFRCPDRKGVADFLQEVTSKKDQKQYWAQHDQTYCYISVNEFADSFRSFHVGQAMANEISVSFDKSMNQPSALATSKYGVSAKELLKANIDREILLMKRNSFFYMFRVVQLILLSVIEMTLFFRSEMHRDSVANGGIYMGALFFTTLMIIFNGFSELTLTIFKLPIFFKQRDLLFYPAWTYTVPSWILKIPITFLEVGGFVFITYYAIGFDPDVVRLFKQYLLFLAANQMAASLFRFIAGAARNMIVAYVFGSFAVLVVMLLGGFVLSRAFAAKLPLPFMTSRRHKQMVDLGILDLSNDVCAKCYLSERVLGTKLAEGSTEPLGVLILKSHGIFPEAKWYWIGFGALLGFTLLFNSLFMLCLAYLKSYGHSYPSVSEETLKEKHANLTGMTVDVSLHKEKEIGCNCQSYKGACQDIGSYNETSLASTDTNYMYAQQGMILPFVPLSLTFDGIRYSVDVPQEMKTQVLEDKLEILKGVSGSFRPGVLTALMGISGAGKTTLMDVLAGRKTNGYIKGSISISGYPKKQETFARVSGYCEQDDIHSPHVTVHESLLFSAWLRLPGDVSSKTRKMFIEEVMELVELTPVRDALVGLPRVNGLSIEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRIVVCTIHQPSIDIFEAFDELLLLKQGGEQIYFGPLGRHSSEMIKYFEEIEGIGKIEDGYNPATWILEVTTVTQEFVLGVDFSDIYKNSELYQRNKVLIHELSTPPAGSRDLHFSTMHSRSFFMQCLACLWKQNLSYWRNPQYNAVRFFFTTVIALLFGTIFWGIGAKREKPQDLFNAMGSMYAAVLTMGVLNAATVQPVVSVERTAFYRERAAGMYSAFPYAFGQCLKGEARVTVSLLQVLIELPYTLVQTCIYSAIVYAMMGFKWTVAKFFWNLFFMYFTLLYFTFCGMMTIGLTQNHNVASIVSAAFHATWNLFSGFLIPRTPYIRIAFLNYLAIEPSGLTSTSSVLFQHYIQKIPIWWRWYYWLCPVAWSLYGMVVSQYGDDVDTPLFDGVTNTTVANFVRDYLGFDHNFLRVVAAGIVAFGLLFALLFDTRFTLAHDYMSNKGTRPLFNRLMVKGKWNSDSDSDVSGKVTYNGHEMDDFVPQRTAAYVSQHDLHIGEMTVRETLAFSARCQGVGYFYDLLCELLRREKEANIKPDVDLDAFMKAAALGGHKANVVVEYMLKILGLEVCADTMVGDEMFRGISGGQRKRVTAETIITSLPGGEILVGSAKALFMDEISNGLDSSTTFQIINSLRHAIHILSGTAVVSLLQPAPETYNLFDDILLLSDGQIVYHGPREDVLDFFESMGFRCPDRKGVADFLQEVTSKKDQKQYWAQHDQTYCYISVNEFADSFRSFRVGQAMANEISVSFDKSMNQPSALATSKYGVSAKELLKANIDREILLMKRNSFFYMFRVVQLILLSVIEMTLFFRSEMHRDSVANGGIYMGALFFTTLMIIFNGFSELTLTIFKLPIFFKQRDLLFYPAWTYTVPSWILKIPITFLEVGGFVFITYYAIGFDPDVVRLFKQYLLFLAANQMAASLFRFIAGAARNMIVAYVFGSFAVLVVMLLGGFVLSRAFAAKLPLPFMTSRRHKQMVDLGILDLSNDVCAKCYLSERVLGTKLAEGSTEPLGVLILKSHGIFPEAKWYWIGFGALLGFTLLFNSLFMLCLAYLKSYGHSYPSVSEETLKEKHANLTDTLSMSHSVCIRKNVNGKLQEMKTQVLEDKLEILKGVSGSFRPGVLTALMGISGAGKTTLMDVLAGRKTNGYIKGVSAFLDIQRNKKHLHAYQDTVNKMISTPHIARVTAVFGMASIARGCEFEDKKVYYFLNIHDLGMQMFIEEVMELVELTPVRDALVGLPRVNGLSIEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFEAFDELLLLKQGGEQIYFGPLGRHSSEMIKYFEEIEGIGKIEDGYNPATWILEVTTVTQEFVLGVDFSDIYKNSELYQFSNLLALLVELRNKVLIHELSTPPAGSRDLHFSTMHSRSFFMQCLACLWKQNLSYWRNPQYNAVRFFFTTVIALLFGTIFWGIGAKREKPQDLFNAMGSMYAAVLTMGVLNAATVQPVVSVERTAFYRERAAGMYSAFPYAFGQCLKGEARVTVSLLQVLIELPYTLVQTCIYSAIVYAMMGFKWTVAKFFWNLFFMYFTLLYFTFCGMMAIGLTQNHNVASIVSAAFHATWNLFSGFLIPRTWRWYYWLCPVAWSLYGMVVSQYGDDVDTPLFDGVTNTTVANFVRDYLGFDHTSSGFDTRFTLAHDYMSNKGTRPLFNRLMVKGKWNSDSDSD >Sspon.06G0035080-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:65501968:65502400:1 gene:Sspon.06G0035080-1D transcript:Sspon.06G0035080-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VCSRAARGFFFCLRRRPVVRPPPPQHPAAPTPASGRCSPGIRSLPRRYPVSAAPPPHANGEPASAAATSLVGAPRLHFTAPPSLSPILGLPPLPRRPAA >Sspon.02G0039490-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:59347219:59350110:1 gene:Sspon.02G0039490-3D transcript:Sspon.02G0039490-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPEIQNRCPSPTAVLLLLGACLAAVAVAALGAADYDARASRPPPVPLPVDGGARRVLLANGLGLTPQMGWNSWNHFQCDINEAVVRSTADALVATGLAKAGYKYVNLDDCWADYQRNKEGYLVANPKTFPSGIKALADYVHSKGLKLGIYSSAGTRTCSNRMPGSLGHEDKDAKTFASWHLTRTCGFSSPVELVYYQKTKRSNFTLVIIYRFFTWRRTLRLQGVDYLKYDNCYRDGTPETVRFGRMSRALKNSGRPIFYSLCEWGYMEVPKWGGMYGNSWRTTGDISDTWSGMLDNIDRNDAYARYAKPGGWNDPDMLEVGNGGMAYNEYVVHFSLWAIAKAPLVIGCDVTRVSKETLGILSNAEVIAINQDRMGVQGKKVKKYGNDLEVWAGQLSRHRKAVLLLNRGATRSASITAAWPDVGIRRGVTVEARDVWKHETLPGRFTGSLTAVVGPHSCKLFVLTPVPR >Sspon.07G0026840-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:55775755:55780839:-1 gene:Sspon.07G0026840-1B transcript:Sspon.07G0026840-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MITGERQAARIRGLYLRAILRQEVAFFDQRATTGEVVGRMSGDTVLIQDAMGEKVGKCIQLLVAFAGGFAVAFAQGWLLALVMLATIPPLVLAGALMSSVVARMASLGQAAYADAAVVVDQTIGSITTVASFTGEQRAVAKYSSSLKRAYSSSVWEGLAAGVGMGIVMVLLFCGYSLGIWYGAKLVLDKGYTGAQVMNVIFAVLTGSLALGQASPSMKAFAGGQAAAYKMFETINREPEIDAYSTAGRKLDDVQGDIEFRDVYFSYPTRPDEQIFGGFSLAIQSGTTVALVGQSGSGKSTVISLIERFYDPQLGEVLIDGVDLREFQLRWIRSKIGLVSQEPVLFTASIRDNIAYGKDNATDEQIRAAAELANASKFIDKMPQGFATSVGEHGTQLSGGQKQRIAIARAILKDPRILLLDEATSALDTESERIVQEALDRVMTNRTTVIVAHRLSTVRNADTIAVIHRGSVVEKGSHHDLVRDPEGAYSQLIRLQEASHASEGANYQNKPSRKGDSGIHLGKQMSTNQSPSQRSPQNSSSNHSFSVSHGVPLEIDVQNSSSKNIDEEIQHEVPLSRLASLNKPEIPVLILGSIASAVSGMIFPIFAILLSNVIKAFYEPPRILRKDAEFWSSMFLVFGAVYFLSLPLGSYLFSVAGCKLIRRIRLMTFEKVVNMEIEWFDYPENSSGAIGARLSADAAKVRGLVGDALQLVVQNLATLVAGLVIAFVSNWELSLIILALIPLIGLNGWIQMKFIQGFSADAKLMYEEASQVATDAVSSIRTVASFSAEEKVMDLYKKKCEGPLRAGIRTGITNGIGFGVSFFLLFGVYAASFYAGARLVENDKTTFPKVFRVFLALSMAAIGVSHTSTLTSDSSKAKSAVSSIFAIMDRKSRIDPSDDAGVTLEPLSGNIEFRHVRFRYPTRPDVQIFQDLCLTIQSGKTVALVGESGSGKSTAIALLQRFYDPNAGHILLDGVDIQKFQLRWLRQQMGLVSQEPSLFNDTIRANIAYGKDGQATESDVVAAARLANAHKFISSLHQGYDTMVGERGAQLSGGQKQRVAIARAIVKDPKILLLDEATSALDAESERTVQDALDRVMVNRTTVIVAHRLSTIQGADVIAVVKDGVIVEKGTHDTLIKMEGGAYASLVTLHSAAPS >Sspon.05G0003000-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:1598002:1600796:-1 gene:Sspon.05G0003000-3C transcript:Sspon.05G0003000-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTSSFKLEHPLEKRQSEANRIREKYPDRIPVIVEKAERSDIPDIDKKKYLVPADLTVGQFVYVVRKRIKLSAEKAIFIFVKNTLPPTAALMSSIYEENKDEDGFLYMTYSGENTFGLL >Sspon.01G0011470-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:31429649:31432827:1 gene:Sspon.01G0011470-1P transcript:Sspon.01G0011470-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKRTGHPSLWVPPNPTAATGYSDAAASRPPASSPRHHTTSRHGTEPESRSASAGHNQSERAIPRWRSSPRAQERSWPSDQTGSNTLTTDPDRPPSEPDIPHGCRSINKTPRPGFFIFFRPHLPRAATPRFEACGEKSEAAGEAARKSAPTTGGVKKPHRYRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSHAVLALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPRTFSWQGGSVARGPNPPQIIVTK >Sspon.03G0015340-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:47818433:47828126:1 gene:Sspon.03G0015340-2B transcript:Sspon.03G0015340-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPIPKRPEHLLVVLIVAFAAAATSSAASDDAGTVPFYPSAEAAAAAHCDGTLYPELCLSTLADIPDLHKKPLPDVICAAVNRTEDVVTATSTNCSTYLQDRSLSARDRLAINDCLELLSTTMDELRATTADLESPAARGSGGNGSAAAPSVGARRATMDHVMTVLSAAITNQYTCLDGFAYQNGGRVRHYIEPTFHHVSRMVSNSLAMAKKLPGASPSAPTTETAAAARQPFMGYGQMVKGFPRWVRPGDRRLLQAPATAIAADAVVAKDGSGGYTTVSAAVAAAPTNSKKRYVIYIKAGAYMENVEVGKKHVNLMFVGDGIGKTVIKASRNVVDGYTTFRSATVAVVGNNFLARDLTIENSAGPSKHQAVALRVGADLSAFYRCSFVGYQDTLYVHSLRQFFRDCDVYGTIDFVFGNAAVVLQGCSLYARKPLPNQSNIFTAQGREDPNQNTGISIHRCKVAAAADLDSSATKTYLGRPWKQYSRTVYLQSELDSLVDPAGWLEWNGNFALDTLYYGEYMNTGPGAGTSGRVKWKGYRVITSATEASAFTVGSFIDGDVWLAGTSIPFTTG >Sspon.03G0026410-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:7717595:7718854:1 gene:Sspon.03G0026410-2C transcript:Sspon.03G0026410-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPAAARFLRSRPDEGRGGAEEQSRSQLEHEHEREEDQDEEAPCVLDIFVHEARGIHNICIYGDQDVYARLALTSAPDDDGAARATRFGERLPPLRVRQGRIAVDVLKCELWMRSCARGVLDDQLLGFALVPLASVAAADGARVVEGDFELSSTDLLHSPAGTVRLSLALRPGIPEDDACAPPAGRGADAEPSIASEVVILGPAPAPPVDYSRIEFTDLRVEQENDAMAVQYLPFLRSGDGVVASDYCEMSTGPRGEKSAASSDGSTSRNASASTASTVSEDRAVSSSAEAAEKPLPLPDLDEATTAPVSRRSPDTPTSRGGKATKADVFTSPLGDMEMDIDMEADQSAMQRQIMEMYVKSMQQFSESLAKMQLPIELGLDGGGGVVHKEETPGKNKVIERQQAKKDGARVFYGSRAFF >Sspon.01G0003570-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:7766006:7766371:-1 gene:Sspon.01G0003570-2B transcript:Sspon.01G0003570-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding EPQLMFFASASHAGCSRHAHARVFSCLCRDVHAGEGDRRRGGADDQGGGRVLLVLGRERRGGAPGAGGAGVVAAHVPQPLRGLPPLPPRPRRHPARPELPARVLPGGLALQVRQQALHALIE >Sspon.01G0039660-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1B:36074424:36074677:1 gene:Sspon.01G0039660-1B transcript:Sspon.01G0039660-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLFPSPARSPRPLPEWPPSTEPPPPARAPALRRSPHPLPEQPPPTEPPPLAVAPAPCRSGRRGWSPWPEPSPPPPARAPAAA >Sspon.02G0000440-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:23099843:23101306:1 gene:Sspon.02G0000440-2B transcript:Sspon.02G0000440-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSSPSLLRRLLLLAVAALALRLLYGAFLAVSVGPAGWPLYRPAATTATTATRTHVVQADVPSPEAWRTRRWRRAVENHAAVIAPHLAAGALAPASRAVCLGGAQEALAMRELGVAKAVAVARKRAPPLVVAGDDRRLPFDASSVDFVFAGRALDAAKRPADLAAEAARILKPEAHLVVLTSSAADAYSLRSIQALLPSLRLVRSREIDATQDGDSSTLRELVFQKNPHATGTSSVNNCSVGDHRRQLLAHAEPLIQEEPLKPWITLKRNIKNIKYLPALADISFKRRYVYVDVGARSYGSSIGSWFRKQYPKQNHTFQVFAIEADPAFHPEYAAKKGVTLLPYAAWVKNETLRFEINGDPGKEDEAKANGRGMGRIRPATGKKMSGEVRSVPAFDFAEWLKQTVTEQDYVVMKMDVEGTEFDLIPRLFDTGAICLVDELFLECHYNRWQKCCPGERSPKYENTYEECLDLFSSLRESGVLVHQWW >Sspon.02G0010920-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:29447883:29448730:-1 gene:Sspon.02G0010920-1A transcript:Sspon.02G0010920-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SVGQGVGRAAVDRARQDVLVVPGDVEGVVRGAVVAVDELHQQRRVVGRRELHVAEALCPGPRSTAAAAPRSSSAAWRRPCRARRGWSRPWCPSSCPCPSRRRPRPRPCMCSRTRGSVGPMGSENSRRTPPWRSSRWKSSPAGGAPRTPATAGASGPPTRSTGPGTRAAPTPAASRAPCRRRRTGTCRGPPRTGTCPGSPPA >Sspon.01G0032190-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1A:109287462:109287899:1 gene:Sspon.01G0032190-1A transcript:Sspon.01G0032190-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAVRLARDPETVVANGADIVLRRHGRRRRRGGGTHLFPFRLPLSVSAPRQKRAWRCPRRFVSPTSSRRRPADWGALPGHSLRYYSSFFEIGIIRRWIRGNDDASTPRACYFTGSLSFHMNCAGIGWLLQENGGTGTKNRVSNVA >Sspon.01G0024040-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:83681195:83683051:-1 gene:Sspon.01G0024040-3D transcript:Sspon.01G0024040-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRAFAAIAVAMAFHLLAALHGASAARPMGWQAAASSGATTSALALAPAGHQDHQRRAAAAAAATRAGKWLPFAGVHHHLPPAAAYWAHRPVPWVGAGELAGGAGAVEGGEGEEVVRERERERRQRPSYEGDGTTTTTRQEQLAMWASLLNPKGKGRTDATGWLPAPGAGGEVADDEPAKAADAVEGAEADDSAADGARRDRWQRLPKMLLCAPVTFFRVRSKFVVRAHWNPDRTLFKLLGRAQTERDQADGGRPAIPLLLFVFVFVQAQRRPTGGPSSSMFAVDVALGAWRLDGKTGVAC >Sspon.04G0007490-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:22469386:22475282:1 gene:Sspon.04G0007490-4D transcript:Sspon.04G0007490-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGASSRSSAACGFSIRAVLLVSSLLCAACLFGSGEASGAAHRVVDPEWHPATATWYGSAEGDGSDGGACGYGTLVDVVPMKARVGAVSPVLFKSGEGCGACYKVRCLDHGICSRRAVTVIVTDECPGGVCAGGRTHFDLSGAAFGRLAVAGAGGQLRNRGEINVVFRRTACRYGGKNIAFHVNEGSTSFWLSLLVEFEDGDGDIGSMQLKQANSAQWRDMQHVWGATWSLTPGPLVGPFSVRLTTLSGKQTLTAQDVIPKNWAPKATYTSRLNFA >Sspon.02G0016290-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:43582381:43585187:1 gene:Sspon.02G0016290-2B transcript:Sspon.02G0016290-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDREALRMVCSPQFWRMAVLWALSLLYSYLLVFLRGRASAPRRRRPWPAEGRCPICVVTGATSGLGRAAAAALAREGYHVVLAGRSKQFLYETVQEIQRQQPDARLKEFQVDLASFKSIKKFGRSLKQWVQEKNLEPSIQLLVNNAGILAKSHRITEDGLDEYDDTDKLHRSVYPDQHSFTTAEEQLGTFSSGIDVCEDALRGMKFGQCSLGESYPLASTYEYTKREFIGSICYYASFCMLMFSYELHRQLHMSSGVSVIAADPGVVETKIMRELPQCLSWFAFLALRSLKLLQEPDMGVGAVLDAALALPEESGKYFFGGKGRTIRSSRLSYDTEVAKKLWAESSAVFKELQLRG >Sspon.01G0061900-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:98764263:98764631:-1 gene:Sspon.01G0061900-1D transcript:Sspon.01G0061900-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMHATKDRVESDTSTVDSISLYESTITTRDEPGFGEWKLALGVIGLPVTARDRILRCSPSVVGTTRAGPGLDSFHKDEGTEVTNSPSHLGRKTNRHLDVIQPFPFSLGKNGEVHPNPPMKK >Sspon.01G0061340-1P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1D:93335570:93336826:-1 gene:Sspon.01G0061340-1P transcript:Sspon.01G0061340-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTPSSAPSPPSPSPYPSRLVPQLLVALLQRRRFDATLRVSPTFPGFSPHSIAAALDAIPRLVLPRSSRRLCPQRPFPSASSPSNRRLSAALTLAFLSWSHDRAHAHPVQISEAPLRAAALALTRAHALSPLFRLLRAHAPLVSTAAITDVIRALGEEGLPRHALATFHRMRQLRCAPDAQCYNTLIAALCQNGRFRDARFLLDQMERPGARCKPDTYTYTVLISWYCRIGVGTGCRKAARRRIYEAGRLFRRMGEKGLEPDVVTYNCLINGLCKTYRVERAHEVFDEMLRKGCSPNRVTYNSFIRYYSVVNQVDKAVEWMREMVARGHGMASTSTYTPIIHSLCESRRVIEARQFLIDMAESGHVPREHTYKLVKAAIDDAGEDALPAELCQSIEDGITARFRPVMQIKPIMRPVTR >Sspon.06G0015900-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:78040089:78042898:1 gene:Sspon.06G0015900-3C transcript:Sspon.06G0015900-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pumilio homolog 12 [Source:Projected from Arabidopsis thaliana (AT5G56510) UniProtKB/Swiss-Prot;Acc:Q9LVC3] MEESQSSQDASRFRALGNGVSGSRQGSAGDRELHNGSIASQAARAYPNGGRSLVSEFGLYDTSVGSNQHFGFSHSGNLYDEQSLAFAFEGMSFSSRTTDAPTSHRNVPLTNGHYPSGRVDGTLNQHHAPATLQDDSLHLQFSLQHAMQHTDVEHQEQSHRFPPQLGKFSRSSGLHSFDSNLGVPCHPSTASASPVQPMWQSQMYTPHDQDVGSNFMWQQNMGAQPYSIMQPNYVCPQLQQVSGFGASGHRSNEQSAVSPPAKGPSSSYIGTSNVHWLENGYSYLNGAAFQKRRNSGGLNYSFADSFPSTSYTGSSCGSGDFRHFQLAEKVFNPYGPHHQQNLAHPYGLGFSHHQISDKLNTASYPERILMRPDVGNSVKDFELSPYAHLLNSLLLSSKSDTYKSIDEVMGRVCILSKDQDACRFLQKVLTEGTQEDIDKIFSEIIDNVGDLMVDPTAHYLVQKILEVCTNDQRTHLIREITKAPVTLHKASCNMHGTRVVQKVIDTMNTSDQVSMVVSTLNTGIMRLMTDSYGSHVALHCLQKLLPDHKAFLLEAAASRYLQLARDRHGCCVLQKCIEHSSDEQRNNLLCKITSSALALSEDQYGNYVIQFILALNIEWATTRIVDELAGHFGNLSIQKCGSHVVEHCLKLAPRLICDRIINELMHDPKLLHIILDQYGNFVIQTALKQCQGEQHAAFVETIRPHTAVLQSNMYGKRVLSRTCLKNKHCRSGFY >Sspon.06G0014850-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:64356278:64358780:1 gene:Sspon.06G0014850-2B transcript:Sspon.06G0014850-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DLGVMRETLKRSWICLLEVLVQLTRRLFLWRRDKMAPPASSSPSRPTPAMVCARQGRLRQRYEGCYRLVSGCIPYMLKDKEDAGEKICQQDMLGRLQVLMISTPKRSDLIFPKGGWEDDESIDEAACREAFEEAGVKGIISATPLGEWIFKSKSKQNSCGLQGACKGFMFGLQVTELLEIWPEQVTHGRRWVPVEEAYSLCRYDWMREALDKLKELLQFESNEFRPLPSPELVDSSSLCIW >Sspon.03G0031850-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:31680734:31687843:-1 gene:Sspon.03G0031850-1B transcript:Sspon.03G0031850-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LRERDSGRPHSVSFDLDGYYNSTATSNSTAELCMVGSGSYAREDGFGVVVLSDVVLRLHLPQPSNLSRPFVTGSVKGAGFGPIVLLAYAEDDYAYGQAASCPPPPVPVRQVLRAGYFFSCHSLRALLRSSYSLEYRPDEHDGASSSSFPLRLRHGSMYLNQMRCAADGAVRAYMVFYANQSVASPSSNYTAVGRRTFVIGDEALVADGFWDPSRSQLCLRACRVASSGKSRADLQVRECGIGVRFWFPAVWSIRDRSIAVGMIWNTSGNSDAGNTAGVISVSRTGSYRGNMSGISYNYTRVASANVSPPRLQRISAEGVYDIKTGSLCLVACQGTNASSDDCDVLVTFQFAPVNSVEGERGIGTITSLRKKGDPRFFEAMYFVSSGMTVQQREQSSSRMDMESIMLVVSMTLSCVVTALQLRHVNKHPEALPATSVTMLVVLALGYVIPLVLNLEDMYTDTRRRYILQLTSAGSLDLNEFMLRASTVLALVLQLRLLQLALSRRSTDQAGSKHEDSSSSDAERSTLWICLPLYVLGAVVVWIVHMSDGHHLHGPRASAFSISAPSGPALVDDLAAYAGLILDGFLLPQVVYNALSGSRVTALSPWFYAGGTVIRAAPHVYDVFRKHNYVPSWNWKPTTYVYASPRDDLFGVAWDVAIPCGAMLLAALLSCSSGLGARIVVAGRQHRRWIDYIKHPRQTTAPAASKHIVHSCFAMARPKILESSTHLCLLLVTIFQSARAISYSSYSSLCHFPVPSPDLHTGNHSHSTATASNNLPRISTGHFSGGDDLLFVPERSYAVRSFSFIPRHSALTTDPAVVRLVATLALEGFGADSRRREHHHSVTFELEGYYSTATSSAELCMVGSGSYARDDGTDTDVLLSDVGLRLRLPHPSNLSQPFVTGRLEGAGFGAIILVAYADSRSDSDGDYTYAETAASCPPPVTPARDGQHVLGAGFSCSRLRHYSEAPTTSSIGQTVVGLIWNSSRASDGDTVKMSRSATSVLRSVGYTGDLSDIKYNYTLVEKAKEHYHSNPVLSKERNGSFPGNHSCRDFVFRFNLKNPELRVHGSASPITIGSAVVQGDRPMPDDLFSRRVAAEVKNSQRLLNVSYDLKYTVADVDRRINAEGVYDTKTGSLCMVACQVSNGSSDCQVLVTFQFAPVDTTEARREHAVGTISSLRTKSDPLFFEALEFVSQEMDLMWQRESRMDVEIIMLELSPALFSVVLILQLRHAKKHPEAVASMSITMLVVLALGYLIPLMLDFEPAIRALQDRFDPVWTWYRIVPNRFPLRVGTMLAFLLQLRLLQLALAGRRPTEPGRGGDGPSAAAERRTLQACLLLHFLGAVLMLIANRGDDRPRLLIDATDPTPADVLVSYGGLVLDGFLLPQVISNAFSGAKARALSPWFYVGGTVIRAAPHAYDVYAGPRGNLFGGVALDVAVLLGVALLAVLLFLQQRVRLRGAFVCSSSSKRRSGAYRMVSSV >Sspon.03G0019690-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:61388474:61389826:1 gene:Sspon.03G0019690-1A transcript:Sspon.03G0019690-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ERRKQSHGCVSLSRVSHRREAHQSGAYAYPLLLGICARHDLQLQVPKLLPLLRRAIALSVATSGTIVSTSSTIFTTSGAAATAKPTSGAGTLPGAGQRHAQHDRRGAAVVGVHDAQGPQHAVPPRAQGCLLVPGERGPAPAAVVGEVLRGTRRPLLHLRAHRSFLYRLAAGGLRLLRSHDPKPGNDVGRRELGGRGAPAAGERAPGPRQRAVRAALRDVHPPVQLHHRVRRAHRHQHQLRGRDRHPGALQRPVRGAQQHHRGAVAQGRGVVRDGPRAGAGGHLRRHLLPHVPRALRGAECLPHGRALRADAAERAAVAAERQPDAHVHGLEAPGWAVPPAQARRGGGDGGADRGDQGRRALRQQLQRVPRRGQRRLLPVRAQVHGGYAPAAAPVGAQGHGVRVR >Sspon.02G0015430-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:43030198:43031212:1 gene:Sspon.02G0015430-2C transcript:Sspon.02G0015430-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRKLVRSRDIGFINLSAKLALASSLASHFSTRSLCLCPDGFKNYNTLRHATGADVSQTHVETMARKGYNLTRSAAVIDMGKLARLVDGIKEKLGGAGGGKKTAACYDKVDKTESMRLEIRSRRARQLIAKNLAAADSISGSSSPRGGGGCGGGKETKKRFLVF >Sspon.05G0012550-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5A:38990473:38992337:-1 gene:Sspon.05G0012550-1A transcript:Sspon.05G0012550-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding YGPNWRNLRRIATVDVFSSHRVLRSADVRASEVHDMARRLFKAAAADASRPVRCDVKACAFELALNTVARVVAGKRYYGDGAAVASSEEAERFSGMVREYFAMHGASNLQDFVPVLALVDVRGVSRRAIRLSKARNEWAQRLIDEHRAAAAAGTELGKTMVGDLLEMQTSDPEAYSDKVIRALCLSILQAETDTSSATIEWGMAQLLNHPAAMAKAQAEIDEAIGTARILEEADLPNLPYLRCIVTETLRLHPVGPLLAPHESASDCSVAGYDVPAGTMLLVNVHAMHRDPRVWEEPERFSPERFEGGKSDGKWMLPFGMGRRRCPGEGLAVKMVGLALGTLLQCFEWRRIGDEEIDMAEASGLTMPKSVPLEAFCWPRTKMMAPL >Sspon.07G0023370-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7B:15406756:15410293:-1 gene:Sspon.07G0023370-1B transcript:Sspon.07G0023370-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSAPPSSSIPASTSPLPAAPSDLSETSTASAQPLTLESLGAKVDMITNALTSMQQAWAGLLRAPAPPAFSAPPPPPPPPVPTTPIPTGAGVPLHLMQWLASPSPLPAWLQPSVPASAPIYSMATSPSPTAYTTVAATAVSSLPPASTLFGGAGPKFYKLEFPTYDGSIDPLNWLNQCEQFFRGQQTLTSARTWLASYHLRGVAQTWYYALEQDEGMPPWERFRELCSLRFGPPVLGTRLAELARLPFGSSVQDYSERYNAVLCHAHNLSARQKAELYVGGLPDHLRKQVQLRAPPDLQSAMYLARAFEECEPPPAPQPRGARPPQRATWTPQQRTPPAGPPTPTAPTFRRLSPAEQQERRRQGLCFNCDEPYVRGHVCKRLFYLEADDYIDEAPVEAVADAPSSELATAEMAAANALVVSLQAVAGIRAANSMLLPVVIKGERFLALLDTGSTHNFVSGETMRRLGLVPASGERLRITVANGDRMPCEGIARNVPLRIYDEDFTITCVGLNLGVFDFIIGFDFIRTLGPILWDCEALTLSFWCDGRRVTWQGVAGAAAPSPAPSAQLLTAAASDSRQPLLDVLLQQHAVVFTEPTGLPPARSYDHRIHLLPGTAPVAVRPYRYPQLQKDELERQCAAMLAQGIIRPSTSPFSAPVLLVRKADQSWRFCIDYRALNAKTSKDKFPIPVVDELLDELHGARFFTKLDLCHQVLLLAHAAGHEGTQKTLHRLRADFYLPRDTALVRDLVRSCTTCQRNKTEALQPAGLLQPLDVPSQVWADISMDFIEGLPKVAGKSVILTVVDRFSKYAHFIALGHPYTAASLARAFFDGIVRLHGFPLSIVSDRDPVFTGHVWRDLFQMAGVKLRMSTAFHPQTDGQSEVVNKVIAMYLRCVTGRLRPSPPPILPYKPGTARTEAAAVLLRSRDEMLAEVRQRLLQAQQLSKRYYDANHRDVEFEVGAWVWLRLHRTAQQSLDPRSKRKLGPRWAGPFRVLERIGRVAYRLQLPDGARIHDVFHVGLLKQHRGDPPTAAGVLPPAVAGRVLPEPERALQAQQRRGVWKILIKWRGLPVDDATWESLEEFRAEHPDFQLEDELFLQAGIDVMTGIPYRRRASASG >Sspon.01G0026470-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:77927806:77930269:-1 gene:Sspon.01G0026470-2B transcript:Sspon.01G0026470-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVEVPAAAVATTTPEAAATEGGAATEAKGPHKLHRQWTFWYDIQSKPKPGAAWGTSLKKAYTFDTVEDFWSLYDQIFRPSKLSGNADFHLFKAGVEPKWEDPECANGGKWTVPCNRKATFENMWLETLMALIGEQFDETEDICGIVASVRARGDKLALWTRTASNEAVQ >Sspon.03G0007460-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:25386777:25389605:1 gene:Sspon.03G0007460-2D transcript:Sspon.03G0007460-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKVTAGQLRASMDKKHNIRNISVIAHVDHGKSTLTDSLVAAAGIIAQDAAGGVRMTDTRADEAERGITIKSTGISLYYEMGEGALARFGAARDGTSSYLINLIDSPGHVDFSSEVTAALRITDGALVVVDCIEGVCVQTETVLRQALAERIKPVLVVNKMDRCFLELQQNGEEAYQAFCRVIENANVVISTYEDSRLGDCQVSPEKGTVAFAAGLHGWAFTLSDFAKMYAAKFNVDEARMTERLWGEHFFDPATRSWSTRHTGSPTCQRGFVQFCYQPIRQIIQACMSDDGGKEKLWPMLHKLSVALKAEDRELSGKALMKRVMQAWLPASAALLEMIVFHLPSPAKAQQYRVETLYEGPLDDAYGTGIRNCDPEGPLMLYVSKMIPASDKGRFYAFGRVFSGTVATGTKVRIMGPNYVPGGKKDLFVKTVQRTVIWMGKRQESVKDVPCGNTVALVGLDHFITKNATLTNDRAVDAHPMKAMRFSVSPVVHKSVACKNAADLPKLVEGLKRLAKSDPLVVCNVTETGEYVIAGVGDLHLEICLKDLRQDFMSGTEIVVGPPVVSYRETVLARSCRTAMSKSPNKHNRLYMEAWPLQKELAKAIDDDELVGCKDDTKVRAKVLTEEFGWDKDVAKKIWCFGPEATGPNMMVDMCRGVQYVGEIRDSVVAGFQWASKEGALAEESMRGVCFDLRDVVLHADAIHRGGGQIIPTARRAIYAAQLTAMPRLMEPVYLVEIQAPERATGSIYSLLNKKRGCVIEERQRPGTPLINFKAYLPVTESLEFSEKLRAETSGEAFPQCVFDHWEAINSDPLEEGSMAAKLISDIRKRKGLKNMIPLSEFEDRL >Sspon.08G0016900-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:66663387:66665203:1 gene:Sspon.08G0016900-1P transcript:Sspon.08G0016900-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MERLISSPPLLRRAPIVAAAAAARPRAHRPLSILAHSVSIFRGGGGRLIRPPLASLLVARHRPTAAVPAGAAPSAAAAAAAADGEEDGGASTASRSFFHKVTSAAAVTLLSAIILTLVVQPSWAPPALAHFHPTAKAGTTTLFKSELLGSAWTGFLAGCLHTLSGPDHLAALAPLSIGRSRVESAAVGALWGCGHDAGQVLFGLLFLGLRDRLHIEVIRTWSTRVVALTLLASEVPTPCVALDNAGGHGHHSTMETLQSGKKKVNFATFATGIVHGLQPDALMMILPALALPSRVAGAAFLGMFLVGTVISMGSYTVFIGSCTEALKDRVPRITEKLTWAASLLAISMGLAILVSQSFGITLY >Sspon.04G0004800-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:13822282:13828146:-1 gene:Sspon.04G0004800-3C transcript:Sspon.04G0004800-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein-tyrosine-phosphatase IBR5 [Source:Projected from Arabidopsis thaliana (AT2G04550) UniProtKB/Swiss-Prot;Acc:Q84JU4] MRKRERENPCGICGHYHKYEEGEVCGVCGHRWKPSDGEGAPTRHESAFPTEVLKDFLFLGSYDNASRSEVLRTLSITHILNTVPDCHNLYKNSFTYHSLQRDRPLDFDEANQYLEKCERDNSRVLVHCMTGKNRSAAIVAAFLMKSRGWRLAQSVQWVKDRRQQVQLTNASQNELLEYELKLFGPSAQPLVPTESFASLGFGYQKPAGDIQAPMFNQMTMPSIFERVNPNDRPTNFTFGAERNAEVNHHDSNSDGGVNPTSTENLMDSS >Sspon.05G0005910-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:20501036:20503531:1 gene:Sspon.05G0005910-2P transcript:Sspon.05G0005910-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSLLDTAVAAGLCPAAAGRRRAGSTASFLSCSCSSRDCRVSASYSHSISRMLNGVRSAARKKLFRTDPAAADLLGIANWSDETSGGAGGHHQQQHWWTALENNFVLEATDDEYGGVVVDADRLPDDKAAFARSLAASLSYWKSVGKKGVWLKLPVDRAEFVPLAVKEGFKYHHAEEAYLMMTYWIPDDEPNMLPANASHQVGVGGFVINDQMEVLVVQERYRGSSLDGVWKLPTGFILASEEIYTGASREVKEETGVDTEFVDVVAFRHAHNVAFQKSDLFFICMLRPVSSEIKIDETEIQAAKWMALEEFVKQPFIQEDHMFQKIMDICIQRLRKCYCGLMPHHVVSKFDDRTSTLYYNVAEPEDVNCSAA >Sspon.02G0032130-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:103195453:103195803:-1 gene:Sspon.02G0032130-1P transcript:Sspon.02G0032130-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding HEAADPAALPRRRLLAAVVVVPGEEEGQQGARGGAGGARAGARGRRRGGRGGAVPGARRAAGRAGARGASRPRRAGIRVPPPGPAPHPVPRRRIPPRARLRIRGRRRRRRRGAVERL >Sspon.01G0012100-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:32678052:32681380:1 gene:Sspon.01G0012100-2P transcript:Sspon.01G0012100-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMTISVHVTPLLASPRRRRYIHIYILSLCQGLEAQKNRQLTQQGRVRRRRLSFCSPAAVAAAANEEIDRPEKKMSFFGRGSRNQRTFRPKKSAPSGNKGMQLKRHIDATLGSGNLREAVRLPIGEDLNEWLAVNTVDFFNQVNILYGTLMEFCTPATCPTMSAGPNYEYRWADGAKIKRPIEVSAPKYVEYLMDWIETQLDEETIFPQKLGAPFPPNFRDVVKTIFKRLFRVYAHIYHSHFQMIVKLKEEAHLNTCFKHFTLFTLEFRLIDRAELAPLNELIEPIILGY >Sspon.08G0023190-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8B:53243344:53243556:-1 gene:Sspon.08G0023190-1B transcript:Sspon.08G0023190-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFIAGESSDASTGSFPLPFRGHCQILDTEKLSPLLPAPILFPSVALEELELEEQLRTVTAQQRKAEKGGPR >Sspon.06G0017440-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:94786766:94829311:1 gene:Sspon.06G0017440-1A transcript:Sspon.06G0017440-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MESNSTANCATVPQPPPSTGKLITILSIDGGGIRGLIPATIITYLEAKLQELDGPDARIADYFDVIAGTSTGALLTSMLAAPDENNRPLFAAKDLTTFYLENGPKIFPQKKAGFLTPVANLLGLFRGPKYDGVFLHDKIKSLTHDVKVADTVTNIIVPAFDVKYLQPIIFSTYEAKTDTLKNAHLSDICISTSAAPTYFPAHFFKTEATDGRSREYHLVDGGVAANNPTMVAMSMLTKEVLRRNPDFNAGRPTEYTNYLIISVGTGSAKQAEKYTAPQCAKWGLIQWLYNGGFTPIIDIFSHASSDMVDIHAAVLFQALHCEKNYLRIQDDTLIGNTSSVDIATKENMESLIGIGQDLLKKPVARVNIDTGVLVIKLPLDMSLTHTLTFQYHLHCSLHRIYSSTVHVNNVDSNGLTLLLAVQSMASNSTDATADTTVPQPPPSKGRLITVLSIDGGGIRGLIPATIITCLEAKLQELDGPDARIADYFDVIAGTSTGALLTSMLAAPDEKRRPLFAAKDLTTFYLENGPKIFPQRKVGWLTPVANLIGTMRGPKYDGVFLHDKIKSLTHDVRIADTVTNIVVPAFDVKYLQPIIFSTYEAKSDALKNAHLSDICISTSAAPTYFPAHFFKTEDADGRSREFHLVDGGVAANNPTMIAMSMLTKEVLRRNPDFNPGKPTEYRNYLIISVGTGSAKLAEKYTAPQCAKWGLIQWLYEGGFTPIIDIFSHASADMVDIHAAVLFEALHCEKNYLRIQDDSLTGHASSVDIATKENMEALIGIGKQLLKKPVSRVNIDTGMYEAVDGEGTNEDALARFAKMLSDERSATIVTSILPPPHVRTPAGMVTIVRVLVILKELDLDYVLYEEKSRAPSPICVYYAERMKEHIPKLEKWQKSDGIAKMIIQWSLSLNMIRRFLSNENNRKLSAKELHTFIRAYFRKVFLFNSLLNSRYDGISGLGNHVKSLFDMAYELKALRLDLSDEFMLNCLMNSMPENYNKYAQNGAEGFRKVVYPRSSRYSKIYHALHRLGASSPNPVKTKIYFIYIKYTSTDDIFRL >Sspon.04G0026750-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:53945859:53950232:1 gene:Sspon.04G0026750-2D transcript:Sspon.04G0026750-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPAADEAWCRETVPRVMELVSPRLPQRDACALLAVSPWCYRALVANSRLWEVLDLREMKNAGDRLISALSLARYRHLKVLNLEFAQDIEDRHFSGISLENLEFLNLNACQKISDKGIEAVTSLCPNLQRLAIYWIVGLTDSSIGHITKNCKQIVDLNLSGCKNISDKGMQLIANNYQELKKLNITRCVKLTDDGLKQVLLKCSSLESLNLYALSSFTDKVYKEIGSLSNLTFLDLCGAQNLTDDGLTCISRCGCLTYLNLTWCVRVTDAGIVAIAQGCRSLELLSLFGIVGVTDACLEALSKSCSSSLTTLDVNGCIGIKRRSRDDLLKLFPSLSCFKVHS >Sspon.07G0002190-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:4277216:4281210:-1 gene:Sspon.07G0002190-3C transcript:Sspon.07G0002190-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPARPAAASVSGAFGLSPDPKRCSFDQALRQKDFQENRLLMSFVNFHEQEKISKEIVTDAIESCMKKQADNLLNSLEVISGRLSQLELYCYKLERSIGELRSDVMDYHNEANLNFQCLEKQVKEVQKSVQVVQEKQELAETQKEMSKLQIVHEDSAQKSEGTAPSVFMTRENEVALVPLHQVNAVQSPAVQFQSCSGLILQQLVPVQDHQRSNQTAVYCMRGQSHPEHRQAQMFQAAAQSVQTHTRKTQPQTVVEVPQVSSQAPEFYPQPQHQWQHQTGQQVQSQARQPQPQVVQQQQYSNIQQGPAQMIQLQTSSPHAHSTPQVTLVYPPYGAHQSACGNVEARTGGMVVPPSYSTISSSQRKHHEAAPIYVQSNTVSVPLAEQHQQLHSLNNGSFVPQPSKVNPCGVTSYTVQGNAQTYSPAYGSPSSNPATIVAVLNQQAHCSAPMVLHHLGPQSVQNHPVDIAEKVAWMGYSKDQAEGLALRMVAAGQPAEYNHLHDRLSSVGHGVAPHACLYELGFLFGNCGHDN >Sspon.01G0043900-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1B:77964588:77964818:-1 gene:Sspon.01G0043900-1B transcript:Sspon.01G0043900-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DRDRDTRSVGQDIKEPTGHAKINLIELEFLSLLALRCRSYSSPIACVHQRPDESVSGTLVFAILHREKANMSKLTG >Sspon.07G0008820-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:21059100:21061246:1 gene:Sspon.07G0008820-1P transcript:Sspon.07G0008820-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQNNPSQLLPSELIDRCIGSKIWVIMKGDKELVGTLCGFDVYVNMVLEDVTEYEYTAEGRRITKLDQILLNGNNIAIVMDYEVT >Sspon.04G0001970-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:37649051:37650476:1 gene:Sspon.04G0001970-3C transcript:Sspon.04G0001970-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAATSPTRISWRPAQEAASVLERSTCEAPPLNSVLRLQTASTCPHRVTRLTTAHVKSGEAEGRPSTEESAASGGGPDEDSLRRELQTAIEDEDYARAAALRDELRVLQEDGRSAVLAANARFYTAFKDGDLVAMHRAWAKGDHVYVVDPSAGRISGYEMVMQSWEMVCDAGYEFPLQIDLRDVEVRVRGGVGYVTCLEMVRTKGSTSWGKQLATNVFEKVDGEWLMCVHHASHFDE >Sspon.06G0025710-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:70922306:70927394:-1 gene:Sspon.06G0025710-1B transcript:Sspon.06G0025710-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPTAGSEAHPAGGGGAGRTPHSHMRRRSAVLTQAAVGAHPSSGRRHILPQWAEALLSLAIRNRVFRAVVGFSVCESEQESESNLLRRKPRRLPSVCSSQRLELLHLQQVQRQRSSFGTGRSRDRDPVLARCAMNLRCLWRQILRALLNVFASTTTPSLLKMFQRELEEPVLQAVMEAVIVGTESPGLLHDVLMDIFALLDIPDLVRAGSVCTSWHAAYASLCSSTEHCSLLQQTPATPCLLYTSESAALRRRRAYTLTLPDPPIQSREVIGSSYGWIITADEASELHAVNPITGDQIALPSVTTIEQVKPIFDDAGTIVNYEYSWYSADPSTGDYFVVLIHNPNYQLSFARAGDDKWTWLPPKTCYEDCLFQGGLLYACTSFEEIHVFELGAAGAVPMKIVLDRVKPYASERCGELLQIWGSEDSSLFGDDYEYHPVLEHEPSPLRMTNKIKVHKVDLTSQKLVYVNTVDKNANHAYFTDDDELDIKFSKNNRCDIGEFDLAKNSNMEIVSPQLWSNSPAPVWAGSKSPENDVPAFSEHLHSDDGIPNA >Sspon.07G0001240-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:2767307:2770128:1 gene:Sspon.07G0001240-1A transcript:Sspon.07G0001240-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPKQAAAAADDRLSSLPPVVLQDEILVRLDLRDAVRTSALSGAWRDLWKSLSVLSLSARTPRILLRYIGPRVSLFDIYVDDASAGRVHDWLLALSRCRVESIHMRCQLRSVYYFNLHSSIFSFADLVSLRLQRCNIPSLPIGFVGFPALQELRINWRLSFDDTVIEAANLRSLTIYSHDDDDWQIGELPCLEYANIQVTSYPQHGHNFGDFLARFAQVRNLTLLSPVDDVEMPYTLPFTFYNLKNLKLYPDFTQMHPILFMFTLLRSCHNLEKLEIEICDDDDQSIEANWEFLNALWTDGMFGATVLDEAASSICIAECKIGSLE >Sspon.08G0003810-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:10801395:10805422:-1 gene:Sspon.08G0003810-1A transcript:Sspon.08G0003810-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAINSSLSMVEARLPPGFRFHPRDDELVLDYLAKKLGSASGGGGPVVVSIYGCPTMVDVDLNKCEPWDLPDIACIGGKEWYFYSLRDRKYATGQRTNRATDSGYWKATGKDRPISRKGLLVGMRKTLVFYQGRAPKGKKTEWVMHEFRMEAQGDPMKLPFKEDWVLCRVFYKTRATVAKPPTESSSSFNIDAATTSLPPLINNYNISFDQPGSSVQNLEGYEQVPCFSSNPSQPSPSMNAPLTSAAMADPEQHMGKSIIKDVLMSQFSRFEGSVKREAPQSNFSQDGFEYLAESGFTQMWNSFN >Sspon.04G0008340-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:24257092:24278364:1 gene:Sspon.04G0008340-1A transcript:Sspon.04G0008340-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHYSFPPFSINEVAKRGGLNRREVGHGTLAEKALLAVLPPESDFPYTVRVNSEVMASDGSTSMASVCGGSMALMDAGIPVREHVAGVSVGLVSQVDPATGDISNYRILTDILVEFLVGREIEVGRTYKGIVSSIKEYGAFVEFNGGQQGLLHISELSHEPVFLLKFSDEISAIEFDGRGEYLTAGDSAGRVILFRSTDDDDGDDDVSVTAFPARPRTELERADRAGAGAPPPRYSYATEFQSHEPEFDAMHSLEISEKIKRVRWCARPNSSVLMLAANDRTVKLWKVLLPNDCNRWPVSMRNGLSITDSVAPGQVSEHKAAKKGGDRPPRWGTTAALSLKEPPYYSEWAATKPRGGSSGDPDERPEKVGDVGDGYSAKCRRVFGRAHEFNIHSVSNNCDGETFVSADDVRINLWHLEVTSQCFNIVDMRPADMEDLAKLEEENDEDSLKFLHKAVDTVRKQVIRKRIIEEGLRVDGRQLDEVRPLYCTLAEKALLAVLPPESDFPYTVRVNSEVMASDGSTSMASVCGGSMALMDAGIPVREHVAGVSVGLVEFLVGREIEVGRTYKGIVSSIKEYGAFVEFNGGQQGLLHISELSHEPANAQKEFEVGQELLVKCSSFNAKGIPVFSLLDYRPGFFPSGSSPRSSANSRPPPKPTATALPGPRMTTVSINQSMSTTQTSELAAAWLFVVGLIGAFARVRASADEISAIEFDGRGEYLAAGDSAGRVILFRSTDDDDGDDDARPRTELERADRAGAGAPPPRYSYATEFQSHEPEFDAMHSLEISEKIKRVRWCARPNSSVLMLAANDRTVKLWKVLLPNDCNRWPVSMRNGLSITDSVAPGQVSEHKAAKKGGDRPPRWGTTAALSLKEPPYYSEWAATKPRGGSSGDPDERPEKVGDVGDGYSAKCRRVFGRAHEFNIHSVSNNCDGETFVSADDVRINLWHLEVTSQCFNIVDMRPADMED >Sspon.05G0009820-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:5A:28040183:28040758:-1 gene:Sspon.05G0009820-1A transcript:Sspon.05G0009820-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding FLLSQLPNLDAITAVSFAAAAMSLWYGRHFLRIELLAASYVRACFDLSDELDRSRNCVACSYSTISWAACVARGPVPGVSYAYKSGTAADSTFRVFSALGQVAFAYAGHGVVLEIQATIPSTPTKPSRAPMWKGTVAAYLVTAACYFPVALVGYWAFGRDVGDNVLVALQRPPWLVAAANMMVVIHVVGSYQ >Sspon.04G0007300-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:20462802:20464567:-1 gene:Sspon.04G0007300-3C transcript:Sspon.04G0007300-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVQCDVCAAEAASVFCCADEAALCDACDRRVHRANKLAGKHRRFSLLNPAPPSSSGSSAQPPLCDICQEKRGLLFCKEDRAILCRDCDVSVHTASELTMRHTRFLLTGMRLSAEPAACPAPPSEDENSSGSFCCSAGGGDAAAAPPSAAPATSSHGSGSDNGSSISEYLIKTLPGWHVEDFLVDEAAAAAATNIGVSSADASYLQGGLARIGGRQDGYGYSAWMAQEQFFYEDSAAAAARRRRKGQQGAVGAADGD >Sspon.03G0016400-3D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3D:38931169:38935891:1 gene:Sspon.03G0016400-3D transcript:Sspon.03G0016400-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MESYIQAQGFAIWEKVYKPYEVSENDAVTEANMTQVEANSKARNLIIQGIGKNDFYRVAHHKSAYQVWKALSDYHDGSSTIKEVRQDMYKKDYIRFEMKPGESLDDFFARFNKILSNLRSVNVTYTDAENASQLLEALDMSIWEMKVTSIRESTVMSTLTSDVLYSKLKTHELDVFARKNIANKSIALASQSSNSNGDNSSTSYALSSLSSLTDEQLEQLPEDDLALLSTRFTRALQNVRSRKRGHTGPPRCYECGDVNHIRPNCPKFLARIGKDKDDNEEETERTKKHTLRSNKKKGHLNRKVVHRVLSALEQVNMSDVDTDSEDDISKGRKDLIGLMASSKSSIDNDASDNDSCNEASHVRKENIWLVDSGCSRHMSGDKGWFSSLSHASRAESVTFGDATSTPVVAKGSHPPLTMVMTNAPGQLLHLDTVGPSRVQSFGGTSAYIQGESIFIDEDEDDEDEVTIPTISPPQVPTTPSTTTATLGHPQATASSTHVENEQEDAPEISAPRHIQRRHPPEQIIGDINERVTRSELENFERNKVWKLVSPPPNHSIIGTKWVYKNKQSEDGVVVRNKARLVAQGFTQIEGLDFEETFAPVARLEAIRILLAFAASKGFKLYQMDVKSAFLNGDIEEEVYVKQPPGFENPQFPNHVFKLSKALYGLKQAPRAWYDRLKKFLLEKGFSMGKVDKTLFVLKQGNDQLFVQIYVDDIIFGCASHVLVTEFSEMMSKEFEMSMMGELTYFLGLQVKQTNEGIFVHQSKYTRDLLHRFGMENCKPIMTPMGAATSLDLDEEGEPVDQKEYRSMIGSLLYLTASRPDIQFSVCLCARFQASPRASHLQAVKRILRYLHG >Sspon.04G0001390-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:4964180:4967807:-1 gene:Sspon.04G0001390-1A transcript:Sspon.04G0001390-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GKPNHHGQLSICIHLSADQPHHSTAEGGSPVSRCFTWCSRQEPSLFPPGRKRALFKFLQEGIAPFPCIFPPVASLLLPCHPGCPSSSWLLASLVSRNGGGAAAQDGRLLRLGALLARRLRHREHEHHCLRRRRGGGRGRAVRHRRHDDDFVCTTLDWWPPEKCDYGTCSWGLASLLNLNLSNKILMNAVKAFSPLKLRLGGSLQDMLIYDTGDSRQPCTPFVKNTSAMFGFSQGCLPLRRWDELNAFFQKTGPKVSFGLNALNGRVPMPDGSLGGPWNYTNAASFIRYTVNKGYDIHGWELGNELSGSGVGARIDADQYAADVITLKHIIDSTYQSNPSKPLVIAPGGFFDAAWFTELISKTKPNQMDAITHHIYNLGPGVDDHLVQKILDPSYLDGEASTFSNLQGILKSAGTSTVAWVGEAGGAYNSGHHLVTDAFVFSFWQEFLFSYVQQLKLLSEEVFIDYQLVMPQLGMSAKYDTKTYCRQTLVGGNYGLLNTTTFEPNPDYYSALLWHRLMGTKVLSTKFNGTNKIRAYAHCAKKSEGITLLLINLDGNNTNRIYITSQGAQIQSARKESRMSDHIPGLGEAAELTRQEYHLTPKDGNLQSQQMLLNGIVLATDANGDIPKLEPVQVEGTQPITVGPYSIVFAHIPSFYAPACS >Sspon.03G0030260-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3C:28926389:28928178:1 gene:Sspon.03G0030260-2C transcript:Sspon.03G0030260-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIAALTLIVLLFTTGHSAVHEQVAAPPSGEVSFKGGFSLPIIHRNDPKSPLRDPTVTTLDLFKEEIQLASSPMPADDALASEKMLSPVHFRRGLYLVPLRISGSLDRISSRYLMFDTGTDLSWTQCSPCYACARGHYPPYNPSKSSTFRSVFCDDPLCEHSSGLRCANSPSRECIFSRGYSDGSRATGYLVSDIFHFSYEGNYDYHFEPQVVFGCTTSERSVFVREYNTGILALGISSLSLQAQVGVDRFSYCVPATAGREDGDQALSYLRVLFDDNPNAYCYIGDMADVEQVSVTLGFVGGAEMLLSGDSLFFEYNGNLICLGATPHNSTVLGIYAQCNTNMGFDLLKGEIAIDCYTVPQKLKGKFYRTAIRPAMLYGAECWPTKRRHVQQLSVAEMRMLRWCCGHTRRDRVRNDDIRDRVGVAPIEEKLIQHRLRWFGHVQRRPPEAPVRSGVLKRADNVKSGRGRPKLTWDESVKRDLKEWNISKDLAMDRSAWRLAIDVPEP >Sspon.05G0003310-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:9920014:9920664:1 gene:Sspon.05G0003310-1A transcript:Sspon.05G0003310-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding HAAGGRLVDWHWPRRRPLPASAASGAAAREAVHEAPVLGVPHRAPHRRRGPLRRLPRRPPAPAAVPRDGLLRVGHRPVARRRPRGAVGAALHPQPEPGHRLLLRPVLPLGGVPRRRRGEGPGPDGGAAVPAAQDDVAPDVPGRGGVGGRWGHGAGRRGGRRQGGDDGEGEVQDPRAPGVLGQPALAPAQCPLRGSRGNRRPAAGRVPAEALQHRLPL >Sspon.06G0017150-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:93433476:93437778:1 gene:Sspon.06G0017150-1P transcript:Sspon.06G0017150-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLTRTEYDRGVNTFSPEGRLFQVEYAIEAIKLGSTAIGLKTKDGVVLAVEKRVTSPLLEPSSVEKIMEIDEHIGCAMSGLIADARTLVEHARVETQNHRFSYGEPMTVESSTQAICDLALRFGEGDEESMSRPFGVSLLIAGHDENGPSLYYTDPSGTFWQCNAKAIGSGSEGADSSLQEQYNKELTLQEAETIALSILKQVMEEKVTPNNVDIAKVAPKYHLYTPAEVEAVIARL >Sspon.03G0018050-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:55650985:55652703:-1 gene:Sspon.03G0018050-1P transcript:Sspon.03G0018050-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRKWKAAAMGGGGDYHHQPRHCVALSLFILVSATLAFLAYVACSPVPGGAAAGGLYQWWRWQGSAGTSSGELIRTHVGDGVVAARTPTSLSHIVFGIGGAARTWGHRRGYVELWWRPGQTRGHVWLDEEPATPWPAATSPPYRVSADASRFGRRASASRMARIVADSFAAVVTAANGTAGEDGGGEVRWFVMGDDDTVFFPDNLVTVLRKYDHEEMYYVGSPSESVAQDVTHSYGMAFGGGGFAVSYPAAAELAKAFDDRDGGGCLDRYRDLFGSDERVHACLSEIGVPLTREPGFHQLDFRGDAYGFLAAHPVAPLVSLHHLDLIQPISPHGRTSLDAVRSLMDAYRHDPARTLQQTICYHHDGRGHNWSVSVAWGYTAQVYPWAVPARELEAPLQTFESLRKKTANGLFVFNTRPWRPDSACARPLTFFLSRVRNETAAVAAAATVTEYTRHAIGKPPEKECDMPGFRSAAAVRTVRVLAPKMDPSDWHRELSARSMFAGTSAALLRDREGWVGHGSGGADTAVRRRQRRARRRRHAVDPSILSQHQDR >Sspon.07G0033000-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7C:56726349:56728823:1 gene:Sspon.07G0033000-1C transcript:Sspon.07G0033000-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VKIESDFLGEAEPDYSSYQPSYSAASYYDDDSNNTRVKRAKHIFTDDTGASSSHPGNPWSMGHCAGTSDMPVKVELEAMTPGCRANVQGDLAKPPFFLYGNVVDIPKDTWHQLKQFLYNVEPEFVNSQSFSALTRKEGYIHNLPVEKRRVVVPKSPMTIEEALPFTRQWWPSWDTRKHINVVTTEVAGIEQTCERLGSMVRESRGVLSEARQVQIIHQCRVSNLIWVDRDKLGPLEPRQVERILGYPHNHTNLFELNQPDRFGAMKFAFQTDTLSYFLSVLKGMYPDGIRVLSIYSGIGGAEVTLHRLGIPLKCVISVEESEVNRKILRRWWLKSEQTGVLRQHPGIWKLKIHVIEDLVKEFGGFDLIIGGNYTSCKGGNNTVNTTMGMDSNRFYEYARVVKRNLGLIEESGVSRPLTLQVAN >Sspon.01G0028510-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:91587209:91588779:1 gene:Sspon.01G0028510-1P transcript:Sspon.01G0028510-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding NMVRNGLQEQDLACSSNSRNVNYLSPSHLAVEYMKKLKLVFSPGKSLGFPKKKLLILDLNGLLADINEDYHNAHMADAKVRRKSVFRRPYCDDFLNFCALNFELGIWSSRNRENVDSVVNIVMSEFKPRLLFCQDLSKCTFTGHKTLENKHKPLVLKELRKLWNMEEPDLPWEEGDYSPSNTLLVDDSPYKALRNQPYTAIFPRSYSYLNWNDNSPGPGGDLRVYLQNLAAADDVERFVRNNPFGQPFITERDPHWNFYAQIADRERAPLTCCA >Sspon.01G0012480-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:33884181:33888271:1 gene:Sspon.01G0012480-1A transcript:Sspon.01G0012480-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIGGAFVQQYYQILHEQPDQVHKFYQDSSILGRPDSNGTMAINEKIMAMDVRNCLTEIETADAQLSHKDGVLIVVTGSLTSEEGVFRRFTQSFFLAPQESGGYFVLTDIFRFILERKPAEIIRVATQENEISQNGRPASETCSALPEPIPADRNVISDHVTAESNVTERQISDRSANGTAIENNVKTQPPVQVPKEDPKKALVAASLPPPTQTDVTKKSYASIVKVMKEGPLTPPVAKTTSSVSKQKPAPKPVSKAVDGLEKPSVKPTQAIETGDGIVAQNNSSRNEQGYSIFVKNLPYHANIEMVEEEFKKFGTIKPGGVQVRHNKVDRYVFGFVEYESQQSMQAAIEASPVHMEDKEVGIEAKRANSRGECTMVITSEGEEVAMNDGGGENYNRRNDGGENFNRRNDGGGENFNRRNDGGGENFNRRNDGGENFNRRNDDGENFSRRNNFRNQNEFPGRGRGPPPPGNSYHQNGNGVHPSRPYQNGNGRFSRVNNGPKQAPVAA >Sspon.02G0042580-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:84987319:84990820:-1 gene:Sspon.02G0042580-1B transcript:Sspon.02G0042580-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASASDLLATAALVVALMLSSTTTTHAARHLADTTPAAAPAAVVPGIPAVPKPPVVPTVPAVGALPPIPAVPTTVPAVGAIPPIPAVPAVPAATTLPPMPSVPAVPVATLPPMPKVPAVPNAAALPPMPAVPKAMTTNFYSDVHMPECSLAFWHGFYDDSCGMCAFPDIVT >Sspon.04G0011170-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:34272875:34283518:1 gene:Sspon.04G0011170-1A transcript:Sspon.04G0011170-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGHSMSVPPEAYKFLAAPSLTAHFLNPQPPRASSYATMDRARPAAADANSPDAPYAAAMLQEPKLEDEDVPLQQLASPGPFVSLDQLVPPAAVAEPPRPMEALLQGPQLPPFLSKTYDLVSEPALDGVISWGAAGNSFVVWDPSTFARDVLPHNFKHNNFSSFVRQLNTYVRTQLFRSSLSSLPPHKSHTIPGSVVGMLCFGLRQSCGVDPVMSKVYRFHGMQRYASLTGTLEVSFLIVDDKGFRKVHADRWEFAHEDFLRDSKHLLKRIVRRRSSPTKQSSIQPVSSSEESSRPELHTLRREKNALLEEVARLKQEHRQTIEHMSTLNHRLESAEDRQRQMVSFLAKLLQNPSFVRQLKLHREKKEIDSTRVKRKFLKHVPHSIESGESSLHHAGESGSHFAVSSPMATTTSVHDDITELQNFLLEDDDGFRKVHADRWEFAHEDFLRDSKHLLKRIVRRRSSPTKQSSIQPVSSSEESSRPELHTLRREKNALLEEVARLKQEHRQTIEHMSTLNHRLESAEDRQRQMVSFLAKLLQNPSFVRQLKLHREKKEIDSTRVKRKFLKHVPHSIESGESSLHHAGESGSHFAVSSPMATTTSVHDDITELQNFLLEDDDVNFGMDLENMGLEDSRDQRILEN >Sspon.03G0029720-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:10913632:10916236:-1 gene:Sspon.03G0029720-2C transcript:Sspon.03G0029720-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAATEVAPVQQRSHAAAAWRVVAGWLGLLFQILLRIIRGTPSSWPQLLSFVGLRHPLLPVAASPEVAFVQLPSEAPADASPPPLRRLTVVLDLDETLVCAYESSSLPATLRTQAVEAGLHCFDMECVSSEKASKLQCCAFALSIAVFSILLRKDPDGRQRINRVTVFERPGLHEFLQRTSEFADLVLFTAGLEGYAKPLVDRIDAHNRFTHRLYRPSTVTTEYREHVKDLSCLSKDFQRIVLVDNNPYSFLLQPLNGIPCITFSAGQPVDDQLMGTIFPLLEHLALQKDVRPALYETFHMPEWFQRQGIPQIEQAV >Sspon.06G0006650-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6B:22288070:22288846:1 gene:Sspon.06G0006650-2B transcript:Sspon.06G0006650-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVEYLLSGWPLSSWISPGAAFFLFVNVLIGAIVVTSRGHHQGGRAAAASTRRLCRSASSMVLDRLRSFSMFSVHPAVPVEEDYSYSTSSPELELEAEELEQPHQPAVAEPARSPVAAAVAAPADGGASSEIAKEEAEAGQDMSTSSDDEAAHGLALQQGHGRQQSPSVDAVTADEAAAAVESRRQEEKLVEAAMQRAPACRRETEEAPEGKAALNARAESFIRKFREDLKLERLNSIINYTRTLRRGAGAPSPTVQ >Sspon.05G0023150-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:5261402:5262230:-1 gene:Sspon.05G0023150-1B transcript:Sspon.05G0023150-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATDSTSAAAHGQCLSQTVTASHNFEVTNFPLLDGMGIGRFVSSSTFSVGGCDWRIDFYPDGIETKNKGAYVSAFLYFVRGTAGVSAMLTTSTKTFESVGDDWGFSKLIKKSILLRELLRLKGATLKEVHHTEDVGAVVVFPQPKLHQDLTDMLKSGEGADVMFSVGGQLFPAHRCILAARSMVFKAELFGAMKEKDAQRIEIDDMEPAVFEALLHFVYTDSLPDDADNNVAMQHLLVAADR >Sspon.06G0003890-3C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6C:10264141:10265162:1 gene:Sspon.06G0003890-3C transcript:Sspon.06G0003890-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQLLPPGYRFVPTDEELVRLHLLPCILDQPQPRNDIIVDDPLSAPPWALLEKHGRKQEAFFFAVGQAMNAKGNRQKRTCEGHGTWQGQGKRKREEEGKESKKRMRVSVCGSTKEIEWEKYGLNFQERGVKGSTGWVMHEYSITSPPEFARSPMRVYCIRHSGHGKNAKKHNRDAKIGAATTRTMMKWMMQPSPLPGVPRRKTLPCSSVSTLWHRRLRAGNDQDLPALVLDDATTWSAAEEDPTLFIGEYPLAPQAEYVTSLPVAVADVVNANPADGEGAGAGNDQDLPGLVEDDNCFAFMNSLSDLVPGFDLLQ >Sspon.06G0023950-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:49233812:49235014:1 gene:Sspon.06G0023950-1T transcript:Sspon.06G0023950-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDELISDYESGALHPADVKPALAKAINEILQPVRDHFNKNNEAKVLLNTVKKYRVTN >Sspon.03G0001560-1T-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3A:3841061:3841378:1 gene:Sspon.03G0001560-1T transcript:Sspon.03G0001560-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEFIGNAMPNGAHNFINIGHSAALGSLGGSPTVFGQEQFANAQMLSRSYDGEPIARLGINGGYEFGYSTAIGGSGAVSGLGTLGISPFLKSGTAGGDEKPSGGQ >Sspon.03G0010960-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:34458942:34472029:-1 gene:Sspon.03G0010960-3D transcript:Sspon.03G0010960-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASDLESKAKEAFVDDDFELAAELYTQAIDAGPATADLYADRAQAHIKLGNYTEAVADANKAIELDPTMHKAYYRKGAACIKLEEYQTAKAALELGSSYASGDSRFTRLLKECDERIAEESSQAPVKKVEAPVAATVEDKEDAANMENTPPVVEPPSKPKYRHDYYNSATEVVLTIFAKGVPADSVVIDFGEQMLSVSIEVPGEEPYHFQPRLFSKIIPEKCKYQVLSTKVEIRLAKAEQVTWTTLDYSGRPKAVPQKISTPAETAPRPSYPSSKAKKDWDKLEAEVKKEEKEEKLDGDAALNKFFRDIYKDADEDMRRAMMKSFVRGNLMALFSQPIGKMLDQRWWKGALLMVAAHILPKEYKQAYDARLDAQKWIL >Sspon.06G0023070-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:42226505:42228332:-1 gene:Sspon.06G0023070-2C transcript:Sspon.06G0023070-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIYSQPNGPATPVDMVVDYYKYDYEFAEPPRVTSLQNTVPLPTFSDFGDDVYFVADQRGYEAVVYYLAGQYLKTDRSGKIVDPRLQLNKVVREIKYSPGGVTVKTEDNSVYRADYVMVSASLGVLQSALIQFKPQLPVSSSYLNYSHPYMCIYKGTFYLAYIFSQQFPLSVPGMEGYGDLPIRHGREFEKQYPGANVLLVTVTDEESRRIEQQSDNQTKAEIMQVLRKMFPGKDVPDATDILVPRWWSDRFYKGTFSNWPIGVNRYEYDQLRAPVGRVYFTGEHTSEHYNGYVHGAYLSGIDSAEILINCAQKKMCKYHVQGKYD >Sspon.01G0018650-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:66710278:66712466:1 gene:Sspon.01G0018650-4D transcript:Sspon.01G0018650-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKVLVAVAVAVLLVVLSKLKSLLVTKPKLNLPPGPWTLPLIGSIHHLVTSPSIYRAMRDLAQKHGPLMMLRLGEVPTLVVSSPEAAQAITKTHDVTFADRHLNATIGVLTYNGTDLVFGTYGERWRQLRKITVMELLSVARVQSFQRIREEEVARFMQSLAASAGAGAGAATVNLSKMISRFINDTFVRESIGSRCKYQDDYLDAFDTAVQQTSVLTVADLFPLQKEASLPIELTNDTIVALMFDLFGAGSDTSSTTLNWCMTELIRYPAAMAKAQAEVREVFMGKTTITEDDLAGAELSYLKLVIKEALRMHCPLPLLLPRQCRETCQVMGYDIPKGTAVFINVWAICRNTKYWEDAEVFRPERFENTNLDYKGTNYEFLPFGSGRRMCPGANLGLANIELALASLLYHYDWKLPDGVKPEDVQVWEGPGLIAKKKTGLILHPVTCIAPADA >Sspon.06G0031380-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:65414991:65416365:-1 gene:Sspon.06G0031380-1C transcript:Sspon.06G0031380-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLVDEWISCHTLLLLEQSQYFVLNFLQLFLVRRAQEDRRFGVAVLLPQLLLLLFLDWRGIFSCLGNKCIFWTEAGYVGGLPSQQKLAADKPATGGPYKSFAVDISYLLV >Sspon.02G0016280-3D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2D:38533076:38533531:-1 gene:Sspon.02G0016280-3D transcript:Sspon.02G0016280-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVYLRAVVKEVFRLRPPVPLLLPRESMTAAAVQGGRYEIPAKTALLVNAWAIGRDPAAWDAPEEFRPERFLAGSEAKAVDLRGTDYQLLPFGAGRRICPGISFARAALELALASLLRHFDWELPSGMRPADLDMVEAPGLSTPPRVPLVLVP >Sspon.02G0052790-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:96340056:96341222:1 gene:Sspon.02G0052790-1C transcript:Sspon.02G0052790-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LWRSASSSSTSASSGRSRSKSKSKSKSKSMKRRTVGGTKDGATRDKMEKDYTDRRSSWSDMNVDEDIDKEEDKLAIAKEADNDIDRHKKNSELESSPSKNANETEEIVPASGGNSDAQDLELILRQKALENFRKFRAAATMGGKTDNGAAGKEALTDDSLKNAASKVAEATHFQTQGSSLGARLSAGSPRSQNFGNGASHSWKQENSAGMSCGTGSPRILEPAETGGRTQLKGRTVESTHVASQFLSPLDARNGRSVMQRLVPPPGSSASVNQRLGSSAGLNHVNGAPRIRSVVSIPNRDRLDGSTHTTPPRLSEDSSPVESSDKVGLPLNDTNKAEGTSVENRKTSEAPASNGSVLSPAEDKSQPRIEDKDGFQKKTFSRMHDGETVE >Sspon.02G0007930-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:71344692:71347109:-1 gene:Sspon.02G0007930-2B transcript:Sspon.02G0007930-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMEKAASFLSSFLGGGGGGEPAAAVKSIFIYPIKSCRGLAVPQAPIASTGFRWDRQWVVVNAKGRAYTQRVEPKLALVQVELPPEAFAEDWLPTPDDHMVIRAPGMDTLKIPLAAEHTTIDDVSVWEWSGSAYDEGAEAAEWFSTYFGKPSRLVRFKEASETRPTDPDFAQGYKITFTDCFPFLIASQLIPFDSILVDGCHPYSEDLWKTIKINNLTFLGVKLCNRCKVPTINQENGIPGTEPTETLLTFRSDQVLRPSHKNKRQVYFGQNLVCKESLSGKGKGKIIKVGDPVYVLQAFASPNEAPA >Sspon.01G0029010-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:97689792:97696377:1 gene:Sspon.01G0029010-2D transcript:Sspon.01G0029010-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPRCCHRRRSGAFCLAVLLLLHHLHLHAGAQAALALARQDVAALHGLRASLGVRASDWPDRADPCAFWSGVACRAGRVAELRLSGLRRTRAGARRAAFAVDQLRRLTALEAFNASGFPLPGRIPSWFGRGLPPSLAVVDLRNARVNGELPTDLGVSGNLTTLVLSGNSLSGSIPPSLFSIPGLRVLDLSANDLTGPLPNVSFSASGGPGVLFNASGNSLYGAIGDAAGSLRKRFWVVDVSDNYFDQVLGAGFQNGTDGVADFRMNCLSGAASQRTRGDCEAFYTRNGVRLALDPKPSSPSPQPQPPQVLPMPSTSKRGGKWKFVLAGVLGGAAIVVILGLSALVVCLLRRRGRRPRGRGVEQTEEGIRSGRRSSSVNPVTMSPMASPGASGSPKGLPVIIDEFTYEQLHYAAGDFGDDNLVKRGHSGDMYHGVLESGFQVVIKKIDPKSSKKCQDELSFLTKHSHGRIVPLLGHLAKDEEELLVYKYMAKGDLTTALHKKSVEVEQDLRSLDWITRLKIAIGVAEALCFLHDECSPPLVHRDIQGSSVLLDDNFEVRLGSLGEICTQQSAGSQSFFSRILRSSRSLDKNISGATRANHGNFGASGLTDADSEEWLARTLGYVNANDKEGVLGIVDPTLVVDDDHLEEVWAVAIVAKTCLNPKPSRRPLARYILKALENPLRVVREQEELQSNASHLRSTPSQGSWRFAFHGNKYHSWEVMPTSGQALARKDTAKSQGSEASNEDEENSFSFKRASRENFPDPIEFEEMVDPAVDFLQSSLSLSRKVITIIGLNEIILLQLKTFEHSHRSVLSVMEHSSTAAVSSGELEHRRRNGWYLHDIKLIRTDTTLDLSQKAEKGMSCYGALGLILSASSAPAQSKPPSTIISGGHMHHHDCPCPPVHHCPTDYVTPQHCHTDDVAPPMFFGPTTMFSWPTATSSSSSRTYSDNARQNAVRVDVPPPSTTDDPSCEDTPCGKCALGIVWLFWGISSSR >Sspon.02G0021340-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2B:70445283:70445531:-1 gene:Sspon.02G0021340-2B transcript:Sspon.02G0021340-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRKLLRACLGKPTSRLLPGEDFAFYAQRAAGVFFLVGVGNETTMERVVHSPYLVMDEDALPIGAAFHAAVAIEYLNKNQCT >Sspon.02G0041690-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:77510538:77512313:-1 gene:Sspon.02G0041690-1B transcript:Sspon.02G0041690-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQHRPVADAAAQARDREAKKEAFRKYLESSGVLDTLTKVLVALYEENDKPSSAVEFVQQKLGGPSISDYEKLKAEKLDLQLKYDKLLETHKETCRQLEELKNMKYGAPWN >Sspon.04G0016600-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:70036229:70037871:-1 gene:Sspon.04G0016600-4D transcript:Sspon.04G0016600-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLTYYLCLFLLALLPLVLLKLKRRDNNGLRLPPGPWQLPVIGSLHHLLGSPLPHHAMADIARELKAPLIYLKLGEVPVVVASSQDAAREIMKTHDVNFATRPWSPTIKVFLADGEGLVFAPYGALWRQLRKISILELLSARRVQSFRSVREEEVGRLVAAIAASPPSEAMNLSRRIAELTNDMAVRSMIGDRFERQEEFLENMAEGVKITTGFSLGDLFPSSRLASLIGGTARRAAVNHRKMFELMDYAIKQHEERRAAVATSTEGEAIVKEDLVDVLLRIQKEGGLEVPLTMGMIKAVILDLFGAGSETSASTLQWAMSELVRNPKVMERAQAEVREKLQGKPTVTEDDLVELRYIKLIIKETLRMHPVVPLLLPRECGESCKVMGYDVPKGTTVFVNVWAISRDPKYWDDASTFKPERFEAGTIDFKGTDFEYTPFGAGRRMCPGLAFAQASMELVLAELLYHFDWKRPDGMLPSELDMTEEMSITARRKHDLYLQPVVSVPPH >Sspon.05G0006780-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:15197379:15199532:-1 gene:Sspon.05G0006780-2B transcript:Sspon.05G0006780-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSERIASQAAEENNADNVKEPRLAWMIGFLFLVSFVGLFALVPLRKTENLKLTKALGFAFQVMIIDYRLTYPSGTATAHLINGFHTPDGSERAKDVCLCTDRLQSSPANIRDHILQEPSANADQVLPGQLPLGLLPVVLHGRGRLRIRTFPCTRPGCLSEQQPAPVCSSYNASASATCRMKTIHCLCCCGVARFYFDFSTTYIGAGMICPHIVNVSVLLGGILSWGVLWPLIAQKRGSWFDAQLADTSLEGMQGYRVFIAIAIILGDGLYKFATVLIRTVASIAASTEKKKFFGALPRRQQRPQSATATPSSFDDARRTDFFTKDQIPMPVAIGGYVAIAAISVTTVPRLIFPQLEWYHVLAVYLMAPVLAFCNAYGMGLTDWSLASTYGKLAIFTFGAWAGKSHSGVLVGLATCGIMMNIVSTAADLMQDFKTGYMTLASPRSMFVSQVVGTAIGCVVGPSVFWLFYRAFAGVGTHKSAYPAPYALIYRNMAILGVDGFSKLPRNCLTLCCVFFVGAIAVNMAKDMAPEKVAKFIPLPMAMAIPFYIGPYFAIDMFLGSVILFAWEWVDKDQANAFAYAVASGLICGDGVWSLPQAILSLFNVKPPICMKFLSRHLNDKVDDFIGTLS >Sspon.05G0017200-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:67711840:67712468:1 gene:Sspon.05G0017200-3D transcript:Sspon.05G0017200-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPGREDKRFNPTAAVNGGGDLLSSLPLLARSLEVDDRPAHLRRRWSSAADFNTVVNNLLLFRDRSPLREFEFCTSFHPQMQSDEYEDSDEVARYVDMWIQHAFMCDVRVLKVIGMSLPRPMVLAHVPLIAKHLETLQLWSLLYGFLKIERLEDLMMDDCRISAHRMVSKSLRRLRVCYCHFSHDTHTRISVPSLLSLQRMETEA >Sspon.04G0021430-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:597670:600708:-1 gene:Sspon.04G0021430-2P transcript:Sspon.04G0021430-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VYMRQEIPKCEIQRLVSLILYKVVDQTKLIRDSALQMLETLSLREWAEDDTDGVGHYRASVVGNLPDSYQQFQYKLSSKLAKDHPELSEHLCEEIMQRQLDAVDIIAQHQVLTCMAPWIENLNFVRLKESGWSERLLKSLYYVTWKHGDQFPDEIEKLWSTVASNTRNIIPVLNFLITRGIEDCDANPSAEITGAFATYFSVAKRVSLYLARICPQQTIDHLVCELSQRMLEDNEEPVRPGKVDISANVVLEFSQGPTASQVATVVDSQPHMSPLLVRGSLDGAVRNVSGNLSWRTSAVTGRSVSGPLSPLAPEVSIPNPTAGRSGQLLPALMNMSGPLMGVRSSAGNLRSRHVSRDSGDYYFDTPNSTDDFLHQGGSGIHGINANELQSALQGHQHLLSRADIALILLAEIAYENDEDFRENLPLLFHVTCVSMDSSEDIVLEHCQDLLVNLLYSLAGRHLELYEVESSERENKHHVVSLIKYIQSKRGSLMWENEDPTLVRTELPSASLLSALVQSMVSAIFFQGDLRETWGSEALKWAMECTSRHLACRSHQIYRALRPSVKSDSCVLLLRCIHRCLGNPVPAVLGFAMEILLTLQVMVENMEPEKVILYPQLFWGCVALMHTDFVHIYCQVLELFCRVIDRLTFRDRTTENVLLSSMPRDEFDINGYASDLHRLESRTTSERLLSVAETGKVPAFEGVQPLVLKGLMSTVSHGSAIEVLSRITIPTCDSIFGSPETRLLMHITGLLPWLGLQLTREREVPSLGSASPLQEQNQKAYYVASNISVWCCAKSLDDLAEVFRAYSFGEIMSLEDLFARASPPICAEWFPKHSSLAFGHLLRLLERGPLDYQRVILLMLKSLLQQTPVDPSQIPQVYNVVSQLVESTLCSEALNVLEALLRSCSGVAGGQGEEAGFGENGHGTGEKVLQSMLLPQSSFKARSGPLQYAAGSGFGSLMGQGGGGGGSSSAADSGLVARDVALQNTRLLLGRVLDTCALGRKRDHKRLVPFVANV >Sspon.05G0024540-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:16052587:16057008:1 gene:Sspon.05G0024540-1B transcript:Sspon.05G0024540-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:WXR1 [Source:Projected from Arabidopsis thaliana (AT2G31190) UniProtKB/TrEMBL;Acc:A0A178VQM6] MNILERIRGGGDRAAATERPPQPVCWVEISESVSRLCSFDAAGSGGGSISVKVIQDSRPIHDKVVDSFLNKFFPSGLRPTPAQATAASWGMAVVAARATRLPIYSSFAKEGNLSDLFAKGEAISTLFNVMGIGAGIGLASTVCSTTQGKSGKVSSPAELRYREDLLFPSRVIEEAGSVKIGQSLCRVLSPCLVEQLRATFPDEKFLLTRKSNKTYMVLEQNASGEDALRGWLVAAFASEMERSGIGSRDAVLNEAYEKTKRVFPAFVSEVRSRGWYTDQFLDGNGSRVAFAKSQ >Sspon.01G0040450-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:33591921:33598832:-1 gene:Sspon.01G0040450-2C transcript:Sspon.01G0040450-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFTAWDHMPIRLCNLKKQNSDVEQLAEEFGIPIQSQRLWFKEARNHVQDNDRIKLLLKPSPKARYLQVLHPLPVHNMISEDDILVFLKLYDPGQRQLRSVRFVDYPQPLSLSYFELSLDFIVLNGTNRYVSTLFVKASSRPSRILPKLRTLAGFRAYEEIELYEEIKFEPTLMCVRIDIMGTFAYNQIENGDIICYQKMPKAEDKYPNVELFFKHDSQIRIQALEEEVAMLKHQVHREKEAKKEEKLAAATYNIHLCNAECNELKQELDNAVRQVDELRDQNRHIILEFSLQDLEQATENFSDVCNVGDTEYGGVYKGNVHKTMVAIKLSCSQSLFQREVSILQKCRHPNTVTIIGICSEASALVYEWLPNGNLEDCIVSSNNSPPLPWCKRTQIIGDVCCTLLFLHANKPSALVHGDLRPCNILIDANYRSRLCNFGMSNLFLAPGAFPPNLNARLPYIDPEFLTTGELTPQSDIYSLGVIILRLLTGMSPLSIAKKVASALESDTLHLMIDKSAGNWPYTQAKQLAVLGLSCVEMTREKRPDLLTGVWKVIEPMVTRPLVAYFQSASEGSSAPAHFFCPIRMEIMKDPQVASDGFTYESEAIRQWLDRGNSRSPMTNLALPSRDIIPNHALRSCIQEYLEFQRQQGQNVDP >Sspon.08G0008830-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:38134495:38139977:-1 gene:Sspon.08G0008830-1A transcript:Sspon.08G0008830-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSSPLPRWAPTPSPSQPLFASSSTAAGGGSRVLPPFGAVLSALRGRGRASLTGEAQPPPPPPPAGEVEGAVGGLPSGFDGIDHLDGPMMDGIVCRDGGVFITWEDVWVTAVDGRGQAATILHGVSGRARPGEVLAIMGPSGCGKTTLLDTLAGRLDTNLRSKGDILINGRRQKLAFGTSAYVTQENVLMATLTVREAIYYSSQIQLPDSIPLAKKLALADETIQEMGLTSALNTRIGGRETKGISGGQRKRLSICMEILTRPRLLFLDEPTSGLDSAASFHVMNRIADLAVREGMTIVAVVHQPCNEVFELFHGLCLLASGQTIYFGPAANAAEFFTSNGYPCPPMRNPSDHFLRTINRDFESENEERTVFKPSAADEAIIILTNAYKSSNISENAKKEMHDINEMVSILTSSDYCVSLHGDDICCLA >Sspon.01G0048250-2D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1D:106629487:106629868:1 gene:Sspon.01G0048250-2D transcript:Sspon.01G0048250-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding HARAAAWGVTVSFGLRGHFNSFVLLLLVLFVVLAISVTTTKNDSGGPEQRVTTRTTAPPPADDASAGGQGECDMSSGRWVYDDAAYPLYEESACKFMSDQSACGKFGRTDLKYQHWRWQPHGCDLP >Sspon.01G0023710-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:83999617:84005811:1 gene:Sspon.01G0023710-3C transcript:Sspon.01G0023710-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAPSRSPRALHSSHPNQPPRSPARLSLRWQFIAGVVPSPSFIPSSGGLPQAPYNGEQPNPYDDVTKQYSEELGGQYNEQPGAQYDEGSGNPYNEEQANLYSEETGNQYNEDPANSYQEELENAFSGDQDMAQQDNSQVNNDDDNKWPGWPGESVFRILVPAQKVGAIIGRKGEFIKKMCEETKARIKVLDGPPGVPERAVMISAKDEPDAPLSPAMDGLLRVHKRITDSSDGESGQPQRSAGNIGPTRLLVPSSQAGSLIGKQGATIKSIQDSSKSVVRIVENVPPFSLNDDRVVEIQGEPLGVQKAVELIASHLRKFLVDRSVLPLFETHMKMHGMLREQPVPPPHHWGPPQSWGPPPNIPPGGPGFGGNPQFMPSRPQDSYYPPPDVPSMEKQPHYGISAYGREAPPPSGASVTGNQPPSHAGSQVTHNMHIPLAYADAVIGAAGASISYIRRHSGATKAEVHLER >Sspon.07G0023770-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7B:19401560:19404369:1 gene:Sspon.07G0023770-1B transcript:Sspon.07G0023770-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GWPHSSPLLPLPPTPSPLQLAAGGRRRRLPVPPKRRRRLPVPPPTPPLPMDPRPPAAATGPCRRPCALPPPPPQPVPHPLARRSAPRAQGVDPTKIQLPCARCKAVLNVPHGLERFRCPQCGVDLAVDLSKLRHFLASAGPGFVPPPLACYP >Sspon.08G0028620-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8D:14062802:14068390:1 gene:Sspon.08G0028620-1D transcript:Sspon.08G0028620-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQTTAARIEMVAGINAEQFLAKPDSLYGTVQPSTAGGRSRGCLPSAAAPTPHPCRGRHAAAACDDGRHPVPHQEKKVIRINCLLTRHHHCYREKLVAYGFLECAIQHGLPRIFPEIGEYRTAVVSIYAMAPHPLAAVIAPHPPPATAAPSSIRNKKLSLPSYRKVRQANNCDYKVEIDVDPDATPIKVVTEEKLLKGGNLRDTLEARRTLFQGSEDRLVKLAGHKAGSRVVPYEGGGNLEEISEVEGGSERKPPNLTSRPHTGVRYAVRGPVHLPMRNSSDQGFKLWSRGMPPRRLDQLGSALIKNTKKTRNWPKCDVWNPGRFTLEYV >Sspon.06G0007530-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:29654247:29660957:-1 gene:Sspon.06G0007530-1A transcript:Sspon.06G0007530-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMEGPVLCRPAMQAKLPAAAALVNNSLTKSGQLGTALFGAISKYRNISRFISPISQPSTKNIGPCCSFSSSSDGNGYMAGNFSESDEDYVNSTVLEAVEVRSGSEGYVIKMRDGKNLRCVHNNSQGRNIPESAPQPAIVLRIEDGSGTLLPIIVLEMPSVLLMAAIRNVHIARPTIYQVVKEMIDKMGYEVKLVRVNKRIQEAYCAELYLTKIDDPTDSITFDLRPSDAINIAVRCKVPVQVHRSLAYSDGIRPVEPARMAVAAGLSNGLLFTELDRPDGQPCVEAQEFGLVRNMLIAAVEERYKDAASWKDKLMRLRLSFEVKH >Sspon.01G0028160-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:98580035:98582067:-1 gene:Sspon.01G0028160-1A transcript:Sspon.01G0028160-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGADVDVGTELRLGLPGGGAEAAKAAKRGFEDTIDLKLKLPTAGMEEAAAGKPEPAAEKAKRPAEAPAADAEKPPAPKAQAVGWPPVRSYRRNVMTVQSVKSKKEEEPEKQQSAATAGCNSSAFVKVSMDGAPYLRKVDLKMYNSYKDLSIALKKMFNTFTISGNNMNEGKLVDPVSGADVVTTYEDKDSDWMLVGDVPWDMFVESCKRLRIMKSSEAIGLAPRTKDKSKNKS >Sspon.07G0016830-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:81733776:81737272:-1 gene:Sspon.07G0016830-4D transcript:Sspon.07G0016830-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNILRCLTGGDNHGDDHYRPHYHPTSEPGIILQSGWGSPPRFQPDHHQLGPHGGVASLVQDLLNFESTSMVPEALRNNVTSSKKAQVKWYRNILEAYKNCSAPPKTPAEAARLVAAALSRIQRADLEGFLAFYNLPIPSFSSASTSSDHQPSSLPEGIQFVLNTLPVRYDKPLQTKPLTNNVHNKCIGDGDGFTAYVDTADPRESANVPLEVHEMVIERTQARIDRDYQTADALLRSLNEAGYKIITILGEEILAKKYRIRMRGIDAPELKMASGKESRNALVKLIGGKRVTIYVYGQDQFGRYVGDIYCDNVFIQEQMLKSGHVWHFKTYDKRPEFAQWEREARAARRGLFASENPEKPWDWRRDQRNANIPVY >Sspon.06G0023070-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:39031050:39034151:1 gene:Sspon.06G0023070-3D transcript:Sspon.06G0023070-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSTSSALVLAAAVLLALTLAQHGSLAATVGPRVIVVGAGMSGISAAKRLSDAGITDLLILEATDHIGGRMHKKNFAGINVEVGANWVEGVNGGKMNPIWPIKRIDRADGVEELGEKLSGTLHSSGRDDMSILAMQRLNDHQPNGPATPVDMMVDYYKFDYEFAEPPRVTSLQNVVPLSTFSHFGDDVYFVADQRGYEAVVYYLSSQFLKTDRSGKIVDPRLQLNKVVREISYSSGGVTVKTEDNSVYRADYVMVSASLGVLQSALIQFKPQLPAWKITAIYQFDMAVYTKIFLKFPKKFWPEGKGREFFLYASSRRGYYGVWQEFEKQYPDANVLLVTVTDEESRRIEQQSDNQTKAEIMQVLRKMFPGKDVPDATDILIPRWWSDRFYKGTFSNWPIGVSRYEYDQLRAPVGRVYFTGEHTSEHYNGYVHGAYLSGIDSAEILINCAQKKMCKYHVQGKYD >Sspon.05G0028670-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:66402944:66404026:1 gene:Sspon.05G0028670-2D transcript:Sspon.05G0028670-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDARAVPALAGGERPDDSAGRRTRPPSRNALSAVFQREGNKEVDEDDNEEAEDLGSDPD >Sspon.02G0018900-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:63813044:63817415:1 gene:Sspon.02G0018900-3C transcript:Sspon.02G0018900-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Delta(14)-sterol reductase [Source:Projected from Arabidopsis thaliana (AT3G52940) UniProtKB/Swiss-Prot;Acc:Q9LDR4] MEAAAAVVPALVPSGSAVVVVLLAYLGFLAAAGAILPGKLVDGAILPDSSRLHYRCNGLLSLLLLLGLSAFGVYMGWMSPTVVADRGLELLSVTFIFSVIVSFALYFAGIKSRHKSSSLRPHVSGSFIQDWWLGVQLNPHFMGVDLKFFFVRAGMMAWLFINLSLFAKSYLAGSANLSWYIIDYFIHEEFMTSTWDIIAERLGFMLVFGDLVFIPFTFTIQGWWLLRNKVELSLLAGLANLCIFLIGYLVFRGANKQKHVFKKDPKAPIWGKPPKVVGGKLLASGYWGIARHCNYLGDLLLALSFSLPCGVSSVIPYFYPTYLLILLIWRERRDEARCSQKYREIWAEYCKLVPWRILPYVY >Sspon.03G0022340-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3A:67986735:67988198:1 gene:Sspon.03G0022340-1A transcript:Sspon.03G0022340-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIVSWLLGFLGRYPELMVSLACFLLLFHRLNRRDGLPTNWPVVGALPAITINAGRVHEWLTEFLRAAGLSYVIKGPRGSPVDVIVTADPANVAHVFTANFGNYPKGEEFAQLFAVLGDGIFNADGESWAFQRRKAHALLSDARFRAGVAASTARKLRDGLVPLLDGLAASGAVVDLQDVFVRLTFDLTAMFVFGIDPGCLAPDFPYVPFAAAMDTIEEVLFYRHVTPVPWLRLQKFLKIGHGKKMRNARRVLDASIAEFISLRRGRAAEAESTGEAAEADLLTSYLACQDEIGMSGAEFDRFLRDTTFNIMVAGRDTTSSALTWFFWLLTKHPDVEAKILEELRAHPPSSGADGHRTTAELKQLVYLHAALSESLRLYPPVPFEHKAAARPDTLPSGARVGPSRRVIVSFYSMGRMEAVWGKDCSEFRPERWLTAAGRFRHEPSYKFVAFNVGPRTCLGRDLAYSQMKAVVAAVLPRFRVEVDAGAV >Sspon.03G0030730-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:22086370:22090687:-1 gene:Sspon.03G0030730-1B transcript:Sspon.03G0030730-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAADSATASSSSSPECPAAPSMPTDPDFLSCVLQPPTSSSSRPDADYAALRRLLLRRKPPLRPSAPNGTPSCVQEWRCNGKGYVAYRNFLLRRIDGGSAHSTPSNSGRWAPSPVHATLSEADSWSYMRDLRSNSGVLSRTVSIGSKQSDTERHVRFAEPAYSFVGMHCIFDNCKASVTILKFGHASSDLLAYGAADGSLTVCQVSEPPSVLQKMTGHSKNITDFDFSSNNQYIASCSLDKTVRVWEISKGTCIRVVYGVSSQLCICFHPVNNNLLLVGNANKEINAINFSTGRVISKLNFDDAVTALDIDHTGQFIFAGDAQGYIYTVSVNSHTGSLSRTHKNKSNKSKSPITTIQYRTFSLVARCPVLLSCAQDGNLSFFSIMTDAKGYLTLICSLKLASRLQTIRASFCPLLSLEKGEFVVTGSEDANVYFYDLARPKNSCVNKLQGHGSPVIGVAWNHGENFLASSDSDGTVIVWKRSKTN >Sspon.06G0017830-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:79956691:79963779:-1 gene:Sspon.06G0017830-2B transcript:Sspon.06G0017830-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPTLHILWADMVSAVEELSGLTSKELGEMLKESDNFVLRSKTEDGGPKQVDMEELVSSLPLHLLAVCLELGQGLDLTYVLRGIRFLHSLSDLASRHTRLEQVLLDDVKLSEQVMDLIFFLLSILAEQKKENNDGASPLVHASLVAASLHLLTSYFTSQWHELVHILLAHPKVDIFMDVAFDSLHEDVRLLNIRLSSMGSGSFHVGSLECRRAHFICQQCEASLQFLLLLCQQKLFRDRILKNKELSRNGGILSLSHTILKLVVPECLKQSTDLVASISRLKAKILSILLQLCEAESVSYLDEVATNPNSRRLGQTLALEVLNLLKIAFGRKQNITSDSHDKGKIYPMGSVLISALRLVDVFSDDSNFRSSFMTNTVPFLTQILATPHEEFVSSWCSVNVPAIEEDASLDYDPFGASEVALLASDNVLTEAKANYSCPFRPSLPSMAYAQTRTSCVVKIIANLHIFVPNICEEQERDLFLLNFQKYLESGSLKLSADEPASSDFKATKVCRNLGSLSDYAKTLVPNLLNMEDVMLLSDFSDKLQSWCKSQVEQVAVKVGQNDTPPESTEDIHPVQQPLLTRTSTPDSKINNLPKDVQNMEVSTPIPPINPEGNDKDGTPKNTVSRNGGFLQNAVGQNLVHLGVARTVSGGSSVVASGVSTGHQRSKMDLDPASSSVDNFKTPELTKENGLQEDEKGESSMYDERQPKRRKRTLMNNEQIDELEKALVDEPEMHKNAVLLQSWSEKLSLQGSEITASQLKNWLNNRKAKLARIAKERGPFEGENADKPSTPATIHVGESSESAGEDNYLPLRG >Sspon.08G0011830-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:48656577:48660322:-1 gene:Sspon.08G0011830-3C transcript:Sspon.08G0011830-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tic22-IV [Source:Projected from Arabidopsis thaliana (AT4G33350) UniProtKB/TrEMBL;Acc:A0A178UWE7] MAPPDSIPMRSSLPLAAAPMSDPTPFPSAASPPPNPLAAAASFLQHHLSRLASHLNAPRPALAAAAARTPGPQGASLSLALAPDEVARALTGTPVFTVCNSSNEFVLVSDPATGLRSLGLLCFRSEDADALLSHVRTRQPMLGKGAKVVPITLDQVYMLKAEGIAFRFLPDPLQIKNALEMKSGLTAFDGVPVFQSDLLVVKKQKKRYCPIYFQKEDIERELTRASKGSRGSALSKQIMVGSLEDVLKKMEINERNSGWDDLIFIPPGKSLNQHINEVSA >Sspon.02G0000980-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:3602539:3603369:-1 gene:Sspon.02G0000980-1A transcript:Sspon.02G0000980-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSWFHRLRRKTAGAIASGGTEPPAPPPCSPNRASYYVPSRDRALALPRRPAAAGEDNPKLRDTRFPPRSPQPSDIVFDVVAARRDDRFSAAAAPELKLRPILTRPPPSADAAGGGGSSSAAASPTGRARPPRFHFDAAKGGPAPDNHSRKAEEACKRSQSQSQGRSRSRRRRLRRAGRLRWVYESLVVVKDSVDPEEDFLESMAEMIAANGVRSPRGLEELLACYLALNAADHHRAIVAAFRRAWLHLHLHCAPPPTPPSETRCMHEHDSCVVID >Sspon.03G0009460-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:25797375:25798632:1 gene:Sspon.03G0009460-1A transcript:Sspon.03G0009460-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SMANALPGQKAGEVTATPNPFEFHVYGPRHLPSPSWWDLLRSSWKDPNYRRMVIACFIQGVYLLELDRQDKRDERTGLAPQWWRHFMYRLAQTLIDERDGSIYGAVLEWDRRALLAGYAPFRPAGAPAAVVALRGTLLSGATFRRDVVDDLRFLAWDSLKGSVRFAGALAALRSAARRHGAASVCVGGHSLGAGFALQVGKALAKEGVFVECHVFNPPSVSLATSLRSFAETAGEMWGRVRAWLPYVGSAAAAAPTQAAADASGEAKAVTLERAGTTKWLPHLYINTNDYICCYYTDAAAGTATVTARGGESGSGSGSSKAAGGDGGMGKPGLARMVTVSKGPTNFLDAHGLQQWWADDVELQVALNHSKLIDRQLRSLYAAQPASPQVSP >Sspon.07G0006290-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:11716728:11718176:-1 gene:Sspon.07G0006290-2C transcript:Sspon.07G0006290-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRQIPPVSCLVLLAAALALLPAASAASPAPAAVAVNVTGVLSAFPNLSDFTRLLASSPVLAELAGRSSLTLLAVPNGNLPQSPSAFAAASGADLADILRYHVLLEYLAPADLRRLPASGKLVTTLFQTTGRAPADLGAVNVTAAGASLAVVRSPAPFPGSNATVLGAVTAVPYNLSVLAVSGLIVPTGFDLAASESRPPAAVNITRVLADARAFNVAASMLEASGVAAEFEDDERGAGITVFAPTDDAFASLPAGDRLQSLPADRKAVVLRFHVLHSYYPLGSLESIVNPVQPTLATEFSNAGRFTLNITRANGSVAIDTGVVQATITRTVFDQNPVAVFAVSKVLLPKEMFTRTAGGGGGDSSIVAATAAASSPPPAATAPEASESARTPPTKLSSPPALRGGGQDYDTAPAPAQGIGIGVGWWCIALVYLLPHLNLYTGR >Sspon.03G0044160-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3C:89801281:89801526:1 gene:Sspon.03G0044160-1C transcript:Sspon.03G0044160-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEASSYDDHSTPARSIGLGLRRRRSCVSQRDGRRPNDAGHGEAYVSSTRPVHEPADAMMMHTSPPREKFHMQGSETTPGP >Sspon.08G0014000-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:57764183:57767655:-1 gene:Sspon.08G0014000-1A transcript:Sspon.08G0014000-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNEQGLGGFGGGRGAVQGHGREAMALLLHHQRRRQLEEEEEEVRRQMFAGVAAFPEALGHGQQVDYGEDAGGLGDSDAGGSEPEPPPERMRGGSGSKRSRAAEVHNLSEKRRRSKINEKMKALQSLIPNSNKTDKASMLDEAIEYLKQLQLQVQMLSMRNGVYLNPPYLSGAIEPAQASQMFAALGGGNITASSSGAVMPPVNQSSGVHQAFDPLNPPRNQPLSFVLPNVDKTIQEAPFHLESSQSHLRIFRMPESSEMMLPGEVVAKHQVTSTQERVSLPGIDMNPIRRESSIVNSDHFDGCSQSKE >Sspon.07G0033240-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:58300012:58300221:1 gene:Sspon.07G0033240-1C transcript:Sspon.07G0033240-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAGVTRDVGCPVALATHEAAVGNECSAMMRKGLCQFQIRFVCCLRLLWLFVFVVFCVMVYILIALIMK >Sspon.02G0001040-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:3754709:3756093:-1 gene:Sspon.02G0001040-1T transcript:Sspon.02G0001040-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASASASCLAFFVLAALVSAASAQLSSTFYDSSCPSALSTISSGVSAAVAQEARVGASLLRLHFHDCFLGGPSWTVVLGRRDSNASFPGQTSDLPPPTSSLQQLLSAYSKKNLDQTDMVALSGAHTIGQAQCSSFKDHIYNDTNINSAFATSLRANCPASGGTSLAPLDTMTPTAFDNAYYTNLLSQRGLLHSDQELFNNGSVDSTVSNFASNAAAFTSAFATAMVKMGNLSPLTGSQGQIRVNCWTVNTS >Sspon.02G0017710-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:49183210:49184001:1 gene:Sspon.02G0017710-3D transcript:Sspon.02G0017710-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKRFIQRSAPMHVFVSKFRGFQFARNQEEEKENHVTKQVSRRRRIGVPIEQHAETIYTRAMHERFYNELYESGSFAIVEKGIQEERFTVVHTKEIGRDDARVHVVRLAGTEKVTCTCGLYEHAGLLCRHSLKVLVHLDRTQIPPGNIMYRWTKHAGPEYSPCTRELTMDQVSARVDGTKRHVLLKKALELAHGDGPIDD >Sspon.07G0016980-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:61122506:61124272:1 gene:Sspon.07G0016980-1A transcript:Sspon.07G0016980-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MWGRRGPAADLGLLAAAGSRRRPTEGGQEGPPWSTQRPTRHRHRHRHNARAPARSPPGRLKTTIL >Sspon.07G0026090-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:50931545:50944948:-1 gene:Sspon.07G0026090-1B transcript:Sspon.07G0026090-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRRLLALAFRLHGRPQATQPWRRPPPRFLSSAASGPLDRLKSPPFARPVARNPASYPWDRFGGGQKRTMFIQTQSTPNPQSLMFYPGKPVMEVGSSDFPNARTAMTSPLAKALFAIDGVTRVFFGSDFVTVTKSEETSWDYLKPEVFAAIMDFYSSGQPLFLDSNAAASMDTAIHEATQPWRRPPPRFLSSAASGPLDRLKSPPFARPVARNPASYPWDRFGGGQKRTMFIQTQSTPNPQSLMFYPGKPVMEVGSSDFPNARTAMTSPLAKALFAIDGVTRVFFGSDFVTVTKSEETSWDYLKPEVFAAIMDFYSSGQPLFLDSNAAASMDTAIHEDDSEIVAMIKELLETRIRPAVQDDGGDIEYRGFDPENGIVKLKMQGACSGCPSSSVTLKSGIENMLMHYVPEVKGVEQEFDGDEEAELAG >Sspon.07G0021350-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7B:2257627:2258042:-1 gene:Sspon.07G0021350-1B transcript:Sspon.07G0021350-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDETPEQRAVGAPRQADTLVVADPLLLFSKTCIGSITPSSRLQLEESDDPWNWNLEMEVDRSVPKRSKSKRRRT >Sspon.04G0036420-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:29326105:29330403:-1 gene:Sspon.04G0036420-1D transcript:Sspon.04G0036420-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEESSTCGEYSVESVRSKYLVLGSSIQVSSVMTFFPSVATAKLELNSLYRKYVDMLGISLNNSAVGCHLTRKMAHLPVLLIDRTFFSTGTAIQSIATSYGALIRRWLLNRTSLVQVVDIPQKKLSFSRQWTRGGHDTSAIWKIMASNEQYLACMIVLAALQLFLRLTRVNVTVLFLPMLSRAISSRSSPAVIGNIVLVLVNSCGVLGSALSVKQFGREVTFAISAIVMLICQVHSAGQVLAMAVNLGVCFAQMQYFLLMLCRLKNATIAYYAMWIWYWYTWMSRRYPKNNIMPWWMKNDSEPVDTNDAKSTFDILGGSTDRLKKRILLTRVLEVVSGTKVLSEAFIQAMAADAFSGSTPSRSHDRPTKKGRHANSSLRSWKEEQKIKHRQSIDEENPRVWLPIRISSKLGNPYTQALNALLPSPAFLS >Sspon.08G0001940-3P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4D:76386208:76389033:-1 gene:Sspon.08G0001940-3P transcript:Sspon.08G0001940-3P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWQQWQPQPELPAVAAATRAPPTPHALDKAGAEQPRISRDRTVNRTLPARFDRLISRLTRASSRWIMAAMAAPHCASSSSAFLGLPRSDGGRRYGNSCRFAANARLATVSATLDKETAAAKPRRSRRPRKATKSGSTALLAPDEPAEAKNGGATEAQNGGLGGRGMVALDDVIVNPVGLGRRSRQVFDEVWRKFSRLGQMSSASSAAVAEQDPAVLFRGGPMCDFTVPGAQDTTVLVVGATSRIGRIVVRKLMLRGYNVKALVRRNDPEVIDMLPRSVDIVVGDVGDPSSVQTAVSGCNKVIYCATARSTITGDLNRVDNRGVRNVTKAFQDYYNQLAQSRAGKSSKSKMLIAKFKSAKSVKGWEVRQGSYFQDIYPSTYRFEGGTDASFEFAESGQAVFSGFVFTRGGYVEISKRLSLPLGSTLDRYDGLLLSVGGNGRSYVIILETGPLADTSQSKKYFARMNTKVGFCRLRVPFSSFRPVNPQDPPLDPFLVHTLTIRFEPKKQRPGDSSEGASDQRNFELKMEFIKALPSGQETDIVLVSCTGSGIEANRREQVLKAKKAGEDALRRSGLGYTIVRPGPLQEEPGGQRALIFDQGNRIS >Sspon.02G0041250-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2B:75059680:75060124:1 gene:Sspon.02G0041250-1B transcript:Sspon.02G0041250-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding HS >Sspon.03G0017840-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3A:55296606:55299137:-1 gene:Sspon.03G0017840-1A transcript:Sspon.03G0017840-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLRNRLLPLLGAASPLPSPIHIHPQACRLLSTSNSAATAAFSLEDYLVAACGIAPAQAREVSKKVFHQLSKISRSRFISATSNPDAIIALLSGAGLSRADIAAVVSANPLLLRASVKSISPRLLALRDRAGLSTQQIARFLLVGPHAICRSDVVPKLQFFISFYGSFEQVLVVLKRNGRLINASLESFIKPNIALLRQWGVQNIVQLCSNNPWVLTFNPERVKEFLLRAEQLGVPPTSRMFRHAVAAVANNPKEKVAAKLEFFNRTLGCSESEVSTAVSKIPAILGLSDEILLRKIEFLVNEAAMEPQYIVERPVLLALSLEKRLVARHYVMKVLREKGLLDSRTSFYTFAKLGEGAFKLRFIDSHKDSVPGLADAYATARAETRERQEPTRSQGGHGSILFWVLRLQMSGAAVPMPFMRAAGANALPGWPRSTLSFLSPSPSPCGGGGATVPPGATARGKHAPVAAGRRRSGARAPCWHGGAAVWQGRCDPQVRRREASTPPGATVRWRGWALGAPPARGGSGSTKREALGTSMAGSSASMVGSVLQRLWPPSWVKSRLCPTAELQAGHTPVSRVRLGHGNALKLDPLVCHC >Sspon.03G0025460-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:77683746:77692029:1 gene:Sspon.03G0025460-1A transcript:Sspon.03G0025460-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGVVVVEEGNQQQEDGPPPPRPPLAVEALRDKIVEKVKANRVTLIVGDTGCGKSSLVPQFLLEENMEPILCTQPRRFAVVAIARAIAESRNWQLGEEVGYHIGHSNVSDLNSKRYKVIILDEVHERSVESDLVLASIKQFLMKKSDLRLVLMSATADITRYKEYFRDLGRGERVEVIAIPCSPRTSIFQRKVLYLEQIADILKINSQSLSTKYCSGLDASADAEINYDVYELIHKLLLHIHQNEPDVSKSILVHILHRSIDTDEALQTMKISKSCRKVILATNIAESSVTIPGVAYVIDSCRSLQVYWDPIRKTDAAGLVWISKSQAEQRKGRTGRTCDGQIYRLVTGTFYSSLNDHEYPAILRLSLREQVLMVCCAEPRSINDPSALLQRVLNPPDPDAIEDALESLVQIHALEITSSGRYEPTFYGCLLNSLPLSFDSSVLALKFCELGAVHEGILISIMLDIQPLPIVQPFGYQALRQKYRENYFKGNGSVQIGKKEATTMGNLLCIFNCLGGVVFKDKHCLEYLKDVAKTQEPEESHTFLTKPEEEWCAVHNLVPAAFKNISEIYDDVMMQLHRFRPSFLVKINPPKFLQPSEFNHTCLYHKILDLEVDMDSLSLEAENSHSDSQKRCAATPYVSPTDFGTSTIVELLKTLVKEMKTQHAEEKTISYKGQLGSNVKPTLRTEACVFFANGSCNLGAACRFSHSSLAPKPVCKFYLTLQGCRSGNSCPYSHDFGSLVSAPVTSGVCSQEGRATSLCCTRLLPADGDGYILVMNDKTLEFSSKLSQFYDACKIVAGTPGLQSAESHSVPKGLKILENLADPSSLITGLEHKLPVPWTQLKRVFWFADFDNDESATEHALLHKFFTNIAIKILSERLSDLQVILIMKNTRYVQLQVERLARECFFFLSESFMFDEATLGWFSDISRHPRGMQVAAPVTYVFNMHPPSATQFGDYPAELRAALCRD >Sspon.07G0025530-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:43292492:43308115:1 gene:Sspon.07G0025530-1B transcript:Sspon.07G0025530-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein phosphatase 5 [Source:Projected from Arabidopsis thaliana (AT2G42810) UniProtKB/Swiss-Prot;Acc:Q84XU2] MDATANSDVQRAEEFKLKANDAFKELYSQAIELNSSNAVYWANRAFAHTKLEEYGSAVQDATKAIEIDPRYSKGYYRRGAAYLAMGKFKEALKDFQQVHNSFRSLEVEPHYAGPRIDGEEITIDFVKAMLDEFKKQKCIHKRYAYQIVLKTLDLLRSMPSLVDVDVPNGGHFTVCGDVHGQYFDLLNIFDLNGLPSEENPYLFNGDFVDRGSFSVEVILTLFAFKCTNIALVNLFNLSAMYLARGNHESKSMNKIYGFEGEVRSKLGEKFVELFAEAFCWLPLAHVINNKVFVVHGGLFSVDGVKLSDIRSIDRFCEPPEEGLMCELLWSDPQPQLGRGPSKRGVALSFGADVTKKFLQENNLDLIVRSHEVKDEGYEIEHDGKLITVFSAPNYCDQMGNKGAFIRFTAPEMKPDIVTFSAVPHPDVRPMAYANNFLRMFQ >Sspon.02G0024830-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:90807677:90811948:-1 gene:Sspon.02G0024830-3C transcript:Sspon.02G0024830-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LIYSAAVLCAVPGCDHLDCHAGPFRVVFVVTDDYEELVKATVYSSETGAWSTPVTLDSGCESYAQHRRDALADGRFYYTPYVQPRRGAVIGDEAYFTLRWGNAIVKYGWGNNSISLINPPTQNVYHVALMVMEDSSLGFACVQGSSLYLWSRKVNSEAAAEWIQCRAIELEKTIPVTNPDDKPFVVGCAEGMGAIFVSTGVGLFTIRLHSGLVKKVDESGVYFSVLPYMSFYTPVTQPMAQLPNLVEPNRAVEAVRCASSSPIRILASGTHERTMPPPLPDLIDDIIAEILLRIPPDEPAHLFRAALVCKLWRRILTDPSFLSRYRVFHRAPPVLGYFYNPYSHPVQTSEFVSTTAASPLTMPAHDRGICRVRDCRHGRVLMYQICSIGSERLVVWDPITGGQKKLSVPKKYPPIYYAGAVLCAVDGCDHLDCHAGAFRVVCCGARQYKIWAIMYSSETREWSSMISIDVDVIDDVHLRSTLIGNDLYFSLESGVSMLKYDLGRQELSVISSPGVSEAVAMELGDGRLGFVAVLHNCIYMWSWQADAGIGSWARHMVMELETVLPRGNPHFPHEVIGLVEGTDTIFINVSAGLFTLDLKSRKFKKIGKREDCYWIQPYMSFYTPGISL >Sspon.03G0043390-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3C:80723099:80726782:-1 gene:Sspon.03G0043390-1C transcript:Sspon.03G0043390-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKARRRDAGRACDGLCGARVARGTALGIAAGWGAEHEQEAGFFMGMFSDSKFPNFYIPFVPPMPSPALPLPQLPVSSPSPPLQPVPPPPALQLQVAGVMRFSVPKSAMHNMTDEELFWWASMAPKVRSTPYHRVPKVAFLFLTRGNLPLWPLWEMFFNGHDGLYSIYVHTDPSYTGLLPEESVFYGRIIPSQKTTWGDVTLVEAERRLLANALLDLSNERFVLLSESCIPLYDFPTVHSLLTSSNTSFVDSFVSHDSEVFADRSNITLAQWRKGAPWFEMDRALAVEVVSDDTYFPAFREYCAVQPACLMDEHYIPTLLSLIGWTHNANRTLTYADWRIGEVHPQTYGRDDVTEDLIRKIRGGAGSGRNCTYNDGANGTCYLFARKFAHDALEPLFRLAPKLPLGRPDASDGLDASDTLDLTEPAVQRRDSARPYPFARHSTPHSHSPRPALPRPLSSSLRLRAPSSVAPSPFSGLRRAPASSGLLRAPSCSPTLALALSPTFLTLARRGPPPWRMRWQRSWIRWIRRWRLWIRRIRRWRPWMRPDQVQVPLLFLPSPLSSSMNLDLRLLPPPPPLLTPLLRRAPLPLCFSPSSLCRFVLQWCFWVVPIGCLLVLQ >Sspon.02G0009440-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:26547720:26548540:-1 gene:Sspon.02G0009440-1A transcript:Sspon.02G0009440-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHYSNLPGCAKSEAACMHGGHVVCCTERFRALFAVLVPIGAPHCTALLVGGRVVAADRIAIAGAVPVPLLVVGCGWGELEAAARRIEGGKIASATCKTNAKGQANRFWLLKLHVWGSGFVLGLPCAALRCCVATRRAAAFSSPSPPRPRQDRCVVVSAPGKGAVRLGAETVGPRGFGRQHHLATV >Sspon.02G0017330-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:53645749:53649986:1 gene:Sspon.02G0017330-3C transcript:Sspon.02G0017330-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLRRGAPPCPGCPPRALAGVLCGGGGSAGGRCCAPIRRACFLRPTTEKSGGALGYQMKNCRWRPTFALETGGPSNTDGQDFDEDSGFLGRTRLGRLIQAAARELLDKLNSARTNSPTKIFLVLLGFYTANALATILGQTGDWDVLVAGVVVAAIEGIGMLMYRKPITRPPGRFQSLISMVNYWKAGVCLGLFVDAFKLDYSYMILFIMKLGIIVT >Sspon.06G0017420-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:94709546:94712834:1 gene:Sspon.06G0017420-1A transcript:Sspon.06G0017420-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAFCSCLQPDYSDHHGNQASSAFGNCMCLRCFTQQLINAYTVLFRAGAVHSVSPAIEATPVDLNESSFDTYRSPPRPLPYDDPRFSPPARDWLRHESPSRSPEESEPLRANDDDEEMETPSRIDKASKTNYSTKMKISSSAYGDKVPPREHGNYFSYFSPSAEDEDVCPTCLEDYDSENPRIVMQCSHHFHLGCIYEWMERSEACPVCGKKMEFDETT >Sspon.01G0053230-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1C:33612556:33612847:-1 gene:Sspon.01G0053230-1C transcript:Sspon.01G0053230-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VSSSRSQILVVKTSYERASLTLESWLVWTLESRSLNGLVTIARSAMLSDHQALELLNSLAAPIASSFVRIPFFMRPRLPSTPSTLRLDHSLGALSV >Sspon.01G0032660-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:104305825:104306566:-1 gene:Sspon.01G0032660-2B transcript:Sspon.01G0032660-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKFPFSGQQTSWRTNRGVGGRGRRWRRSGGAPGRRRGGAEGRGAGAGEQRGRSPREQAGEERSGRAARDAEPGHLTGRGGGGEHRAGAGDLEAPAGPPDECAGSASLALEYTYKGASRESDETTPSAGIGEGQVAVSRRAGSPARPRGPRRDVEPWRHRGAAAASSSAPHASVTTRVRGCHFWDWEDGIPNKDGGFRDPTSALVRPLLVSQKQQTRKRWWRFGSSFLF >Sspon.03G0011330-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3A:30753391:30757790:1 gene:Sspon.03G0011330-1A transcript:Sspon.03G0011330-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-like modifier-activating enzyme atg7 [Source:Projected from Arabidopsis thaliana (AT5G45900) UniProtKB/Swiss-Prot;Acc:Q94CD5] MAGAGAGAVGFPRDLMVQRIQSLVEEGFWDALRRLKLDVLGTDDSPIPITGYYTPRQRRPMASFFNLRSGSLVPPSHNSVGDRNNCPVPGTLINTNNMRGFQNLDREQLLKAEAKKILLDIVSGKVEEDPSVLLRFLVTSFADLKNWKVYYNVAFPSLVFNSRMTLLNLQPASKVLTKEEAASMYTSLQKWRASSETTVIPFFLVSISSDSSASIRQLKDWKACQGNYQKLLFGFYDHGCRSDCPGWVIRNYVAFLSIRWKIEKVQFFCYREYKGNPDLEQSLIGEASFPSPCGWDGADFLPDAIGWEGINPGKRTKEMKPKEIDLQSMNPASQDEEKQLMHLKLMGWRHFPVNIEKLSHVRVLLLGAGTLGCEVARLLMTWGVRKLTVVDSNSVATSDLVKQSLYIDKDCGVPRVAAIVPHLKDRCPAVEVEGIQMEIPVPGHPVSSSNVASVLDDCKHLQTLVAANDAVFLLTDTWESRWLPTLLCASENKPIPYGTLPGLTSVASGKAVELFARMLHHPDEIHAPGDTARMETEHQLGLLPHQLRGSLPECVLSMELSNSLVNCTACSVAVLSEYRRRGLDFVMQAINYPTYLKDFTGISDLKRPDTCPKIPASISVNSDKISDVRCLLLGAGTLGCDVARILMDCGVRRLTVVDSGRVVVSNLARQSLYTSDDRGAPKATAILRHLVERCPSVDAQGVKMEIPMPGHPVSPGEAAGVLQDCERLKELVASHDAIFLLTDTRESRWLPTLLCTNENK >Sspon.04G0008040-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:22730416:22732560:-1 gene:Sspon.04G0008040-1T transcript:Sspon.04G0008040-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MECENGRVAATNGDSLCMATPRADPLNWGKAAEELMGSHLDEVKRMVAEYRQPLVKIEGASLRIAQVAAVAAGAGEARVELDESARGRVKASSDWVMNSMMNGTDSYGVTTGFGATSHRRTKEGGALQRELIRFLNAGAFGTGTDGHVLPAEATRAAMLVRINTLLQGYSGIRFEILEAIVKLLNANVTPCLPLRGTVTASGDLVPLSYIAGLVTGRENSVAVAPDGSKVNAAEAFKIAGIQGGFFELQPKEGLAMVNGTAVGSGLASTVLFEANILAILAEVLSAVFCEVMNGKPEYTDHLTHKLKHHPGQIEAAAIMEHILEGSSYMKLAKKLGELDPLMKPKQDRYALRTSPQWLGPQIEVIRAATKSIEREINSVNDNPLIDVARSKALHGGNFQGTPIGVSMDNTRLAIAAIGKLMFAQFSELVNDYYNNGLPSNLSGGRNPSLDYGFKGAEIAMASYCSELQFLGNPVTNHVQSAEQHNQDVNSLGLISSRKTAEAIEILKLMSSTFLIALCQAVDLRHIEENVKSAVKSCVMTVAKKTLSTNSTGGLHVARFCEKDLLQEIEREAVFAYADDPCSANYPLMKKLRNVLVERALANGAAEFDAETSVFAKVAQFEEELRAALPKAVEAARAAVENGTAAIPNRITECRSYPLYRFVREELGAVYLTGEKTRSPGEELNKVLLAINQGKHIDPLLECLKEWNGEPLPIC >Sspon.08G0021000-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8B:29126887:29128648:-1 gene:Sspon.08G0021000-1B transcript:Sspon.08G0021000-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VVADSLPNQNAYIARGTLDALLAASLRMYCLPPHDVGPLGKTHLIVAMPELLITVVTQPQATAFLHLRREEALHLTPLHAGGGGSASWVGGVGGRSLLAMLTRLRSQKSCPSLRWSLEKLLKHCEVLMQKAEERATGGVTGRREAANRDNGLRGWTRKRRRTGSMATPRRQAAKTKSPACPGNGGNDGSHGRRNERATQTTGTG >Sspon.04G0026240-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:40304362:40308264:-1 gene:Sspon.04G0026240-1B transcript:Sspon.04G0026240-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAIRKQLDQLMGANRNGDVREVSRKYYDRDVCRLYLAGLCPHDLFQLTKMDMGPCPKLHSLQLRKEYEEAKAKGTDNYDRELEETIERLIVECERKIQRALKRLEEEDAKAAIATSVTEVTQTKEVMELSKQIRQKMKDIDGFDLEGNTEGKIRATEELDKLKEQRAEEQAKILLEAFNKDRASLINSLQNATQTTTPVPPAAPDARTQEMINEKLKKAEELGENGMVDEAQKLLDEAEALKKLSTQPQAVPDSTKMTTHIQITDQKLRLCDICGAFLSVYDSF >Sspon.01G0045700-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:92049721:92050728:-1 gene:Sspon.01G0045700-1B transcript:Sspon.01G0045700-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DMAKLIPALPLETRSRPFPLRQLSGFWLPEMTLPGVAAAHTRFEPRADDVFLASFPKSGTTWLKALAFAALNRAEHPPSDPGHPLRLRSPHDCVSFFELPLAVAPAPEDVFAAFPSPRVLATHLPYSLLPERVVAESSSCRVVYVCRDPKDVLVSAWLFTKKHMATTAKGAGGECDDTDVPPPPYPLRDAFELFCEGRSFGGPQWLHVRGYWEASRRRPDKVLFLRYEEMLRDPASNVKKLAEFMGCPFTVEEEAAGAVDAVVELCSLESLKGSKGNRGTTANLGVEKASFFRKGEAGDWRNHMTPEMAARLDEIVDGALQGSGLTFGGAANDSSV >Sspon.08G0016810-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:61311407:61312998:-1 gene:Sspon.08G0016810-2B transcript:Sspon.08G0016810-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKEAAAGQQREQVHVLDDDGQVGRGRRAAVARIAASPLPVVVSLPGRGVTIDMRADGDNDGKTSAFRCLDAARYTVAAVVTVLIVAVVVTSLKVVLRPESLRLSVVDGAVYSTPHPASEAVTLQLNLRAENPSGRARMYFLNVTAYLFDSATPATTPKPDEDCIIFFNPIDEAVRQEMAVDLMTSVEATNDPGVMNQTYFELLYNTKGSGGVIGDMTLRVDGSLVTEVSSGFNMTRLQVTYYCRPLLVGGSKGGEASMGRKDVICTDNLGSQ >Sspon.03G0022650-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:54684820:54692304:1 gene:Sspon.03G0022650-3D transcript:Sspon.03G0022650-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MESEMADAPAAAAIPAAEPLAAVAEEGEGEAEAGADAPAEAVGSTLTMERVAAAKKFIESHYRSQMKNIQERKERRFRLERQLASSQVPKEQQINLMKDLERKETEYMRLKRHKICVDDFELLTIIGRGAFGEVRLCREKTSGNIYAMKKLKKSDMVVRGQVEHVRAERNLLAEVASHCIVKLYYSFQDTEYLYLIMEYLPGGDIMTLLMREDTLTEHVARFYIAETILAIESIHKHNYIHRDIKPDNLLLDKNGHMKLSDFGLCKPIDCSKLSTLNEDEPMGDDNLRESMDIDSSLSDTANGRRWRSQHEQLQHWQMNRRKLAFSTVGTPDYIAPEVLLKKGYGMECDWWSLGAIMYEMLVGYPPFYADDPITTCRKIVHWRNHLKFPEDAKLSNEARDLICRLLCDVDHRIGSAGADQIKAHPWFRGVAWDKLYEMEAAFKPQVNDELDTQNFMKFEELENPPTKTGSGPSRKMMLNSKDLSFVGYTYKNFDAVKAIKISDLQRNSSLTRPSIGSIFGPPGMDSPMEPNGRDTHMHTVSSGDPMIP >Sspon.05G0000820-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:2156424:2161439:1 gene:Sspon.05G0000820-3D transcript:Sspon.05G0000820-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MANIDMAKILADLDRGAGDARVPKTKLVCTLGPASRTVPMLEKLLRAGMNVARFNFSHGTHEYHQETLDNLRQAMHNTGILCAVMLDTKGPEIRTGFLKDGKPIKLTKGQEITVTTDYDIKGDENMIAMSYKKLPVDVKPGNVILCADGTISLAVLSCDPDAGTVRCRCENTAMLGERKNCNLPGIVVDLPTLTEKDKEDILGWGVPNDIDMIALSFVRKGSDLVTVRQVLGQHAKRIKLMSKVENQEGVVNFDEILRETDAFMVARGDLGMEIPVEKIFLAQKMMIYKCNIAGKPVVTATQMLESMIKSPRPTRAEATDVANAVLDGTDCVMLSGESAAGAYPEVAVKIMARICIEAESSLDHEAVFKAMIRSAPLPMSPLESLASSAVRTANKAKAALIVVLTRGGTTAKLVAKYRPRVPILSVVVPVLTTDSFDWTISSEGPARHSLIYRGLIPLLAEGSAKATDSESTEVILEAALKSAVQKQLCKPGDAIVALHRIGVASVIKICIVK >Sspon.03G0007220-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:24809471:24809785:1 gene:Sspon.03G0007220-2D transcript:Sspon.03G0007220-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding WPEEPDPRPRPQPHWSSSSARRRSQRRRPLLPPRRARARSDRPARGRRLRARIWRRRAIARRELGAGGAAARRSTTCASGPPRRGASHARSSPAIRPPAPRTPAR >Sspon.06G0028790-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:10453820:10456033:1 gene:Sspon.06G0028790-2D transcript:Sspon.06G0028790-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVSLHCFHARRLLLIWDVLLDGDRSIAYADIPDGRSFLGVPRYYRHSIAVLRRAVQRWNGEKSVRFCINFGDIVDGFCPKDRSLAAVQAVVREFDNFRGGPAYHMLGNHCLYNLPRSELVSVLRMPSASPGRAYYDFSPWPGYRFVVLDAYDFSAVGWPRGHPVSFAARRFLEKRNPNHDKNSPSGLAGTDRRFVMFNGGVGDAQLRWLDGVLRRAARRGEKAVVCSHLPVHPGAASPTGLMWNYEEVMDVVRRHGGCVVACLAGHDHKGGYAVDDRGVHHRTLEAALECPPGTDAFGHVEVYPDRLRLVGSDRMASTEMLVSSSNELASA >Sspon.06G0007730-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6A:37075972:37078761:-1 gene:Sspon.06G0007730-1A transcript:Sspon.06G0007730-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALPRWRPFLLLLLVVALLHVLVGGAAAAAAAPVRARDLLPLLPRRLAWQLMGATAHSAVDLLPSFVAAVAPGGPAAAWRGACFAENQAVLSLTTPGSGGAPGRNGTAGGLNSTTSGLGGAVLRLKHYRSVFPSNPNTQRVHQVKQHGITIFLMPSGMLGTLLSLIDVVPLFSNTGWGQDANLAFLQKHMGTSFQKRSEPWSANIRREDVHSGDFLALSKIRGRWGGFQTLEKWVTGAFAGHTAVFLKDENGTLWVAESGYENKKGDEIISVTPWDEWWGMALKDDSNPQIALLPLHPDVRARFNESAAWDFA >Sspon.04G0016190-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:66200893:66204874:-1 gene:Sspon.04G0016190-3C transcript:Sspon.04G0016190-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRFKPAAGLPPYHHRALPGPEPGHGQGPVPTAHGMMHREVRDPYGPPGPGMHLPPPGHGAGPFPYDMLPPLLPPEVLEQKLVAQRGEMQKLAVENDRLAVSHASLRKELAAAQQELQRLQAQGEAVKAAEEQEMRGLLDKVGKMEADLKACENVKVELQQAHAEAQNLVAVRQSMVANVQKLSKDLQRNLGEAQQLPALMAERDAARQENQHLRNTYDYERKLRVDHSESLQTMKRNYDSMVTELEKLRAELRDTSNLDKSGFFYNNTTQKADGISSHLSVGQISYDSGYGSAQARTTPTGLADPLSGSPGGTGLRSGFDPSRGNAYDGSHVANFSSSKTGTHDAARGATGFDSLKGSGYDASKAHVIGQASATAAHGGLAGYYGSNQATSAPYAWGQSASTYGSVQVPPSYASGSNTSYGAAAVRPYGSAQRNHHMDKHRHHLRMGTHSYHHPLV >Sspon.07G0001380-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:2670858:2675576:1 gene:Sspon.07G0001380-2D transcript:Sspon.07G0001380-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFRDQESGGEDAGRTSSSSDLRKPLLNTGSWYRMPPAGGMMGSRQSSLMERLGSSAFSLRDVAISATFCTLIVALGPIQFGFTSGYSSPTQDAIIADLGLSLSEFSLFGSLSNVGAMVGAISSGQLAEYIGRKGSLMIAAIPNIIGWLAISFAKVPVYIAEIAPQDQRGALGSVNQLSVTIGILLAYLFGMFVPWRILAVLGVLPCSILIPGLFFVPESPRWLAKMGKMEDFEYSLQVLRGFQTDITAEYNRSVASSRRRTTIRFADIKQKRYSVPLAIGIGLLVLQQLSGVNGILFYAGSIFKAAGITNSNLATFGLGVVQVIATGVTTWLTDKAGRRLLLIISTTGMVITLVIVSVSFFVKAFVIAFSLGLGAIPWVIMSEILPVNIKSLAGSVATLANWLTAWAITMTASLMLNWSNGGTFAIYAAVSTMALIFVCLWVPETKGRTLEEIAFSFR >Sspon.02G0005120-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:16034400:16037405:1 gene:Sspon.02G0005120-1A transcript:Sspon.02G0005120-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RMAAVARGPATQMAPAAGPGATAAAAAAAAAAAAAEEMRWRQLDSGVSAVSFGFVATAILVSMFLAMAILEHFLRPPAHAPGHAPPRGILRRLRLFLGRGGERGAAPGADLEAARKLQGHAPLQIPVYPKGVSVLMPGQDMPTFIAHPAPAPCPPERIRWPSHESPPFTGSSSNPS >Sspon.05G0017770-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:75991745:75995701:-1 gene:Sspon.05G0017770-2B transcript:Sspon.05G0017770-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPDDISRFHQTLEDFIREVDPDIIIGYNICKFDMPYLIERAEVLKIAEFPILGRIRNSRVRVRDTTFSSRQYGVRESKDVTIEGRVQFDLLQAMQRDYKLSSYSLNSVSAHFLGEQKEDVHHSIISDLQNGNSETRRRLAVYCLKDAYLPQRLLDKLMYIYNYVEMARVTGVPI >Sspon.01G0020240-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:80585926:80589886:1 gene:Sspon.01G0020240-2B transcript:Sspon.01G0020240-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGQWVEEGMPPSNLQRFLDCTTPTVETHILPKMNGRLPTDAWHHAEMDSVEYFNLADLWEQYYEWSAYGAGATVQLPGGERVVQYYVPYLSGMQLYTNKVLTASRSFGEDNGMDFWSDDEDNEKMSRSWSSTSDESLFNCDVLWSNRKRPGHLYFEFFEVGSPYGRVPLIDKVYELSQGFPGLTSLKSADLSPVSWMSVAWYPIYHIPYQRSVKDLSACFLTYHTISSSFQDHGLETMTNDCCHPVANSKQNGHMDKKSNTVSLPPFGLAAHKIQGSLWTNPVTGDHRKMDVLFGAADSWLKQLGVQHHDFNFFITHPM >Sspon.07G0009220-3D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7D:22492863:22493764:-1 gene:Sspon.07G0009220-3D transcript:Sspon.07G0009220-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEVHEADKNIEIWRVKKLIKALDAARGNGTSMISLIMPPRDQISRVTKMLGDEYGTASNIKSRVNRQSVLAAITSAQQRLKLYSRVPPNGLVLYTGTIVTDDGKEKKVTFDFEPFRPINASLYLCDNKFHTEALNELLASDDKFGFIIMDGNGTLYGTLSGNSREVAELATQYFINPATNQPNIVGLILAGSADFKNELGKSEMFDPRLQAKVVKMIDVSYGGDSGFNQAIEMSAEVLSDVKFVQEKKLIGKYFEEISQDTGK >Sspon.07G0013660-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:48666671:48667671:-1 gene:Sspon.07G0013660-3D transcript:Sspon.07G0013660-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding WRRPRSSSRRSSRGRSPGKEHAMDPRPEAIIQNYKAANKLKDKVALVTGGDSGIGRAVCLLFAAEGASVAFTFVKGAEDKDAEETLRALRDISSRTGAREPMALPADLGYEDSCRKVVEEAAAAHGGRIDVLVNNAAEQHERRSITDITESDLDRVFRTNIFSYFLATKHAVAHMGHGGGCIINTASVNAYKGHKTLLDYTSTKGAIVSFTRALALQLADKGIRVNGVAPGPIWTPLIPASFGKKKVEQFGSEVPMKRAGQPAEVAPSYVFLASEQDSSYITGQFLHVNGGVIVNG >Sspon.06G0023440-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:44883935:44886186:1 gene:Sspon.06G0023440-2C transcript:Sspon.06G0023440-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGSKYRSKGYAMANAGRKLPYVFLLLVALAAGVLSIVVLQKVREQRVFAGRLQERDRQLVSVRILLQKEKAINKEMKRKVEEMKATTSSLRSQKIDQKTKLKGLETTVANLKKTQKDLEAALAEKDNRINLMEEAATNLKKARKQLEAALTEKDRHIKHMEEKTKNATNTQKELEAALSEKNSHIRQMEEKATGSNPDQMAALMEILQRKEAELEEIKTRFQDFKKPDRAEVNSKSTPMQMNNASATPDVVLVTKATNSSSLALPIKSEEKRSANSTAVGNAKPEEKRSANSTAVGNVKPEEKRSANSTAVGIAKPEEKRPANTTVAESKRPIQRSLEEKPVKSTTSIEDDGIQGNLNDFDDDIDFDDIYGESRSKKSGSPRRNKMAGIGQSENSLDQDSDRVRYNRLLEKEHKTKKNNAQGTLEKIFKDNSIGASHTASKKAVEGMTGAAGVKPNIKIPVNSDEAKQQNSKQKRKRSKSKNKMADVAVGNVGGAFAKQRTPDGTSVS >Sspon.02G0017720-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:57205515:57214273:1 gene:Sspon.02G0017720-1P transcript:Sspon.02G0017720-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein SMG7 [Source:Projected from Arabidopsis thaliana (AT5G19400) UniProtKB/Swiss-Prot;Acc:A9QM73] MEEKALCALVFILLLTSVVAIRPERSPVVVARRATLENADAMVDTGLAKLGYDYINIDDCWAAYDRDSQLHYKRIEDFRRNISAASSVASSGKSNANPDRVKRIKSAFKSFLSEATGFYHDLMLKIKSNWGLPLSYFPEGLDNANNSVRDDKKTVQLKKGLISCHRCLIYLGDLARYKSLHGDGDSASREYAAASSYYKEAASIYPSSGNPHHQLAILASYSGNEVVAVYRYFRSLAADTPFTTARDNLIILFEKNRQIYGQLPDNNKVPSAKTLPPRSSGRGRGRGEVRFQPKDVTAETAEKERECNIHDTLKAFYIRFVRLNGILFTRTSLETFGELFSSVSNDLQILLSSGLEDELNFGCDAAENALAAVRLTVILIFTVHNVKKEPDSQSYAEIVQRRVLLQSAFTAAFDFVGRILRRCSELRDITSSFYLPAILVYIEWLASHPELAVDSEMEEKHANARSFFWNQFISFMNKLILTNLASMDDDDDDEACFSNMSIYEEGETGNRLALWEDLELRGFLPLVPAHTILDFSSKHTFGSVGNTKEKKARVQRIFAAGKSLLNFVQIDQLRIYFDPSSKKFVMAKNPPVSKANNPLHESPDALKTNGADMDHEAARRFDSVSSNMGTLQSNVHFGPDGDDDEEIVFKPTASEKFPKAPSDLSVNGYTYPLPMSAAGWPTNASLVSVQSTASMSATGWPPNGGSHSFLAAGNYNINQSLPRSSVGWAVDGEQNVIPSIAPRFELMQPVEEPASSWTSSGAQHVGPQHTGLTFQDVVSEPRVSASMVQRFSSPDYSKFLSEQEMLLMNGLKTVNITGNGYLEQRLQAGLSGVQSIGYLSTEPAGTTKNSMHNHVNITGETVPSTLDLVVPSIAPLGGMPMKFTEAPLTASKKNPVSRPSKPVGPPPGFNHVTPKRQDDSILVEKLQSPQVDDYSWLDGYQPSIDHVNNLRAVYPGVSDTSTAFSTPFPFPGKQQVSGIHAQGANEKTWQDFHLFEPSKQNMFQNYHQKNQQSGQIAEQEPANSIWSSSYHRNLVHELLGTIGLSGTA >Sspon.05G0034930-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:66880484:66898381:-1 gene:Sspon.05G0034930-1C transcript:Sspon.05G0034930-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:La-related protein 6B [Source:Projected from Arabidopsis thaliana (AT2G43970) UniProtKB/Swiss-Prot;Acc:O80567] MSQQEAADPPSATDERPGGLPRSGSASRLNAQAPEFVPRAAAVPPPPPPQTVVRLFAPPPPPAAFFVAAPPPPPPPFEYYAAVATGGGARFGPPAEQDAEAEQPPRDGSFDDPVPKIRKQVEYYFSDINLATTEHLMRFISKDPEGYVPISVVAGFKKIKALVQSNSMLASALRTSSKLVVSDDGTRVKREQPFTESDLEELQARIVVAENLPDDHCYQNLMRLFSAVGSVKTIRTCYPQTPNGTGPATNRSAKLDMLFANKIVELNDERNWRSGLRTKGCKGKKGGHESDGYGEENVSISDQPNDKHLEGTPQMSDVPGEHMTEDSTGDMGRGRGRGRGRGGRAAGVATINRTTINTTRTTIRTTKTVATTVTVVVPIQWEPHHLATQPRLSNHLATQSRLSSSKRRQLNHRPSQQLTNSLQVPACRMAHVDSPWAEGGRKH >Sspon.02G0031140-4D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2D:92278420:92278752:1 gene:Sspon.02G0031140-4D transcript:Sspon.02G0031140-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding PFFPDEILEEIFLRLDAVEDLARASAACTTFRRVVSAIRFLHRFRCLHPPPVLGVLDFDDKTFHPAEPPHRSAPAARALAQAADFTFSFLGTPHCWLVCDAREGRVLLYRR >Sspon.06G0000660-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:1221057:1223256:1 gene:Sspon.06G0000660-2B transcript:Sspon.06G0000660-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVEAAKHNVPGHQATKPAADHAADPAPAKEDMKAMDGAPELATSKNVLEEQKPSHRRQESSASMLDKGPSSVCSDCGVLDEPPTPQGDSGELKDIKSLDCSGGNQEKNTSQKSSMSESFVSAKASDGANSLRKTSGSAKISDRADFPESGKSSMCRPSASSHISDESSCSSMSSSTTKPHKGSDSRWEAIRVIRSRDGILGLSHFRLLKKLGCGDIGSVYLSELNGTKSYFAMKVMDKGSLANRKKLLRAQTEREILQSLDHPFLPTLYTHFETDKFSCLVMEFCPGGDLHTLRQRQPGKYFSEQAAKYVSTLKITSANPGPDALQRSNQAYCVQPACIEPSCIQPACVAPTTCFGPRFFSSKSKSKKEKKPKPKPEIVNQVSPLPELIAEPTDARSMSFVGTHEYLAPEIIKGEGHGSAVDWWTFGIFLYELLFGKTPFKGSGNRATLFNVVGQPLRFPESPIVSFSARDLIRGLLVKDPQHRLGYKRGTTEIKQHPFFEGVNWALIRCASPPDVPKPVELDRPPKPAPANDKVAPAANQKGPDNFLDFGMWVGACKLVYERRKISSEAYTVMTGDAL >Sspon.06G0014510-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:59748317:59750869:-1 gene:Sspon.06G0014510-4D transcript:Sspon.06G0014510-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCGPSIPEKYSIRGKGRKRRSIIQEVAVFVPTIRIPVASDIAHPLRGIVSKDLVDRLSTLRAHVVALAEEIYSADVSAVQELQHALEEYLPVVLGLTMKESRLESSVQFRWKTLDDDQECCLESAWYEVLSVVHMMAMLALFEANLLLIPKNSQVGGERKVSEDAKKDVVDSLLRASGCLDYSVHRILAQIPAQVKKSSPSYLQEGMLEAISIQALAQAHYCLSGCDTSDSYGKKLLLFLKWKCMEAKAVAYYYHGLVLDKGGEPANHISAVCCLSAADDLLSDSKRACLSFCLANPVTRVPPPWGVMKNMHKKIPDVAYKKFQVYGHLFEQNKNSTLQSVPDLPEFALSLRPEGYELPSTDSIWENVNGQPQIQSLKEHLNDGEDEVDTK >Sspon.07G0021850-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:5024590:5025719:1 gene:Sspon.07G0021850-1B transcript:Sspon.07G0021850-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGSGMGAYGGAVRPVESAAGETMLLWGLGQPEAHRNNALVRQAVHAFELDACGRRLSLLQSPSSMSTPGVTGAVVWDSGVVLAKFLEHAVDSQRLLLRGARAVDLGSGCGLVGCAAALLGAHVVLTDLPDRLKLLRKNVALNVDDQHVPGSARVMELVWGDDPHHELLKEPLPDFVLGSDVIYNEEAVDDLLATLNQLSGKHTTILLAGELRNDAVLECFLEAAMEDFLIACIEQDQWHPEFRSNRVALFILVKKPEKPNTD >Sspon.02G0031140-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:107231381:107234936:-1 gene:Sspon.02G0031140-2B transcript:Sspon.02G0031140-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPAKHQAAGAAASQPVFPDEILEEIFLRLDAAEELARASAACTTFRRVVSARRFLHRFRCLHPPPVLGVLDWTRPPFHPAETPHRSAPAARALAQAADFTFSFLGTPHCWQVCDARDSRVLLYQRTNITAPFADLMVCDPLHRRHVQLPPIPDDLAAATGGRGMQDFDPFLDPDTDEDTEKQDFSFRVICAVHCQHKIVTFHFSSVTGQWLGITFNRTVPLDLFLVRFQEMFERHYAQGCYFWMIGVDSSFMIMLNMHDMKLSVVDLPPGPGMDDNTRARAIVEAGEGMIGLFTLSDGMLQLYYKSLQGTEWQHERIIPLPKPNSDWSIVHAAAGHLLLKASQLDASQFAMRGSQYFTLNLKTFLVEELCVSNNRYTRGPHL >Sspon.05G0008030-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:24775345:24778285:1 gene:Sspon.05G0008030-1A transcript:Sspon.05G0008030-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRDPLVVGHVVGDILDPFIKTASLKVLYNNKELTNGSELKPSQVANEPRVEISGREMRNLYTLTSRNQQILAMVGNEIVSYENPKPTAGIHRFVFVLFCQSVQQTVYAPGWRQNFNTRDFSALYNLGPPVAAVFFNCQRENGCGGRRYIR >Sspon.03G0044460-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:60617188:60620444:1 gene:Sspon.03G0044460-2D transcript:Sspon.03G0044460-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding PGLGSGRKGEPLPPPPIHFSLSQGQGSSSRSTAPRSGRPRNPLARHTKSSIHRTAGATLSPLAGPDLRCSTTFGKTDRERERERERDPGPAARISAPLPPAALDSAASASIPQQGPHSGIMVMKMELEDDGAMGGRGTGGTWTEEDRALGAAVLGADAFAYLTKGGGAISEGLVAASLPDDLQNKLQELVESESPGTGWNYAIFWQLSRTKSGDLVLGWGDGSCREPRDGEVGGAASAGSDDTKQRMRKRVLQRLHIAFGVADEEDYAPGIDQVTDTEMFFLASMYFAFPRRAGGPGQAFAAGIPLWVPNSERKVFPANYCYRGFLANAAGFRTIVLVPFESGVLELGSMQHIAESSDTIQTIRSVFAGTSGNKAAVQRHEGNGPAPPERSPGLAKIFGKDLNLGRPSVGPAVGVSKVDERTWEQRSAAGGTSLLPSVQKGLQNFTWSQARGLNSHQQKFGNGVLIVSNEAAHRNNGAVDSPSATQFQLQKAPQLQKLQLQKLPVVQKTPQLVNQQPMQPQVPRQIDFSAGSSSKPGVLVTRAAAVLDGESAEVDGLCKEEGPPPVIEDRRPRKRGRKPANGREEPLNHVEAERQRREKLNQRFYALRAVVPNISKMDKASLLGDAITYITDLQKKLKEMESERERLLESGMVDPRERAPRPEVDIQVVQDEVLVRVMSPMDNHPVKKVFQAFEEAEVCVGESKVTGNNNGTVVHSFIIKCPGTEQQTREKVIAAMSRAMSS >Sspon.08G0004730-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:13578340:13579861:1 gene:Sspon.08G0004730-1A transcript:Sspon.08G0004730-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKNTVSSSNGVRVCVTGGAGFIGSWLVKKLLEKGYTVHATLRNIGDEEKSGLLRRLVPGAAESGRLVLFEADLYDAATFAPAIAGCQFVFLVATPFQHDATSAKYKSTAEAALDAARVILRQCADSKTVKRVIHTGTMATCSPLKEDSTGFKDAVDESCWTPLDVEYPLRDPQFHEYILSKLLSEKALLAYNAAESPAFEVVTVPCPVVAGDTLQGRTTAGLESAASPVTRDARQFGALRMLQRLMGSVPLAHVDDVCDALVFCMERPSMAGRFLCAAVYPTVDEIVGHFAAKYPLLDILRETELGLPSVQAHSNKLGELGFRYKYGMEEILDGSVDCAVRFGRLDASKFSMQER >Sspon.01G0030460-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:105688965:105700973:-1 gene:Sspon.01G0030460-1A transcript:Sspon.01G0030460-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFPDPGAAALHGMNPYGFAPNPFFNNFLFQNPAALAAYQLQQQQAHHFASQAYHQAPTGNAKHRPTKPAAADKPAPPGPQPQPQPPPGNQQAVLDRAQEAARKAREELVKGGEGVTAWKVAQAVLVALKADSWDSLGVQPQDVPLLRDLFLIEGKVNAFIHCYVAARKIVTVYDLEVEICKNEGVVQFEELGLGPFLQHPLVAHYFLVPADLSVVPKLSSEEIINVLLKFVDNKKKITIVDFLNHLAEKKSVSGKEKLGVRVQSLGLHISLLQQAKQTEVSSAKLLGNVSGSGNSSREKDLLKNASFHTHKKALDKRFISLTNRIKQLPGINKHIHFDSTDDETNGDTGSEDGKSDDDENKNEYSVLDKKDGDKRVNSCPYPSKTEELERLGLKSEINKRPSLENGKPKESGKKGKVSEKRKFEEIGSPNYSCKQPKKQQKLQKHEASPNCFLSIGKLENFVTTWKETCREHPVQQVLEMLANYYGRTLTEKKRIINFCSQYPGIGLLNVAVKSMGCGLLDSIYDVIQLASENNVSSSPLPNTTTEVMEIEPLSKENTGCTDGAHKKSEDNRSGHSVAIDDVIRRITEYIESNSKVSGDVTLQVKALNDCETWVTAQFSANQFSALGHGTFLEFLDKHCHQFRTALSSFLKEGTRNSSSLEVSVLQQQIEFLLCQAESNWLEDGGFSEDSFVMLLKRQFPTISFNIVQDKSGEGVPGFIEGQKKDIQTNSLKFSISLLEKRWSGTLPSRHGNVDELGNCVAEQSYYCGTVCSREVINCLLRAPMLSDLHLWSHWDSLFAPTLGSFVQWLLNTGPIQELACIATTDGRFIRVDSSATVDQFLEAIIQRSPFQVAVKLLSLLYIYNGSTSTPMSLLKCYAQRAIELIVDNGNDLMNAKSENKMFMPEEPQNLSSESSTCFAGQCQESSQVSSARLIRSDSLPNFDNTVHLIAKFVLDCLGHLPPEFRSLAADILLAGFRVVTKNWHAVMLHEASENGQLCMLHDIGLSLGVVEWVEDCRRLCLTEEVHVQTEMHSSAKLASEGATHENSSMHISSDVNMMDEKRQLFPGINDRVGIDNEDNKMLNPAGTEADIAELHTSRSSMMEETNLEEASLVIETIRREEFGLDQALSDTENSLLKKQHARLGRALHCLSQELYSQDSHLLLELVQNADDNTYPEDVEPTLAFILQENGIAVLNNERGFSAENIRALCDIGNSTKKGSNQGYIGNKGIGFKSVFRVTDAPEIHSNGFHVKFDITDGQIGFVLPTAVPPYSTTSFSRMLAVEDDKDAHSLWNTCILLPFRSKFREGTGMCSIVSLFSDLHPSLLLFLHRLKCIKFKNLFDDTLLIMRREVLGDGIVRISHGIETMSWLVVSKRLQGTIVRHDVCTTEIAMAFTLQQTEKGDYEPYLKQQPVFAFLPLRNYGLKFILQGDFVVPSSREEVDADSAWNQWLLSEFPSLFVSAQESFCALPCFGRCPGKAVTAFLSFIPLAGEVHGFFSQLPHLILSKLRLTRCMFLDGSTVQWVYPCNALRGWDEQTKMLLSEGLLHEHLGLGYLSKDIVISDNLSRALGIHDYGPNILLDTISSICRIDGCIESLGLEWLCAWFVNLYLTLLSHSSRNVSSARSLEDVFLDKVRKIPCIPLSDGSFSSVSDGPIWLPYDVVSSIPECRSSIQNFPVLYGNLRTVSPNLLSACCKNKYLMEEIRINDLADMLQKIGVRKLSGHDIIKNHIMVSLCNGLDANVADRMIREYLSFIMVHLQSSCISCNFEKEGIVSELRKSPIFLTNHGYKSPAHEPIHFSKDYGNSVDVTRLLQNVEISWMELDSSYLIHHGSESSSFEREKWRRFFEEMGVTDFVQVVKVEKSLSQVDSLLAGGLSLADVSAKPCTLYDWESPELSRILSIFSSKRCRENCVYLLEVLDRFWDDYYSAKSTILTDATHCGENRAVESSFMKCIQSFKWIASRMDEDLHYPTDLFYDSENVRSLFGSVAPYAVPQVSSSSLKKAIGFKTEVSYCDALMVLKYWITSKVPFRASMSQMCKFYTFLSEGVADSKIDIKREFMSSPSIFTPLQRPRASEVIPGRFLAPEDLYWHDPTGCSEITEDFVATKNRSMFPRRMLSAAYPNLCEFFTLTCGVPKAPTTSNYVEMLLRLSTIALPSQAANHVFCVFVRWAKYLQSESDKINDILYLKESLQKLETTILPTSADKWVSLHPSFGLVCWVDDDELKQQFINSSDVYFIQFGDLSSEDKQMLYGRVAALMKSLGIQALSKVVYREAIFYGTSENREKVSLICWLLPYMQRYIYKIHRDTYINFQKSDIMKISNLQVVVVDKLFHKYVLRGLESSSKKRFKCHCLLQGDTLYATQDADSHSVFLELSRIFFDGSPDLHFANFLHMIKTMAESGTHAEQIESFIVNNQNVPELPEHEAVWSFSSLSAADQGSANQGGADTHDFQPVREFSAPNHQKAPGMVSSWPLNHWRTAPVFRTPLINQHASMQEAKVNDAGPSSNLNMPGMYGHTEDSLLSVDLEGDWIIEEKPRTETTLLGDSSAEILDEPQMVMSAEPFNAPAYLNLEAEDGSSSPTVHVELTNFDEKLANLAEDKNQRPSDASQLRTGRLGEALVEKYFAKQLGSNNVRWVNDRIETGLPYDIVITHPEGFTEYVEVKTTVSSRKDWFDVSPREWQFALEKGDLFSIARVMLGTKKASIEMLKNPHKLYKQKALRLGLLISRHT >Sspon.03G0035210-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:42821280:42826410:1 gene:Sspon.03G0035210-3D transcript:Sspon.03G0035210-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLGKVAIVIGSGIVGSVLTGGESGLPDFRDAISGAFKFLTKSAKQGKDGPSTSSPHTAQLLNQVNYLREELQMLSKSNHVAIVTVDGRPGPGAYGITAVVIGAIGYLFIRWKGWKLSDMMFVTKRGLSDACNVVGKQVDQVSESVNVAKRHLAGRIDRVDCSLDECQEITEATREEVTIIHGDLSAFQKEMETVHLVVRSLETKLGRLAYTQDRTTRGIYDLCEFTKKLDQSPKADTRSTGCSQQSKFNEGRTV >Sspon.03G0019530-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:60834885:60841557:1 gene:Sspon.03G0019530-1A transcript:Sspon.03G0019530-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSFSRSAILSYLLWCLLFLTLASSNGAVATAEPKAVGYGYKLVSLVQLPNGGGLVGYLQVKQRTSTYGPDIPRLRLFVKHETRDRVRVQITDADEQRWEVPYNLLPREPAPPVTGGKVTGVPFTGAEYPGEELVFTYGRDPFWFAVHRRSTGQPLFNTSAGALVFKDQYLEVSTALPKDAALYGLGENTQPGGIRLRPNDPYTIYTTDISAINLNTDLYGSHPVYMDLRSLGGRGVAHAVLLLNSNGMDVFYRGTSLTYKVIGGLLDFYFFAGPTPLAIVDQYTSMIGRPAPMPYWAFGFHQCRWGYKNLSVVEGVVEGYRNAQIPLDVIWNDDDHMDAAKDFTLDLVNYPRPKLLAFLDKIHAQGMKYIVLIDPGIAVNNSYGVYQRGMERDIFIKLDGEPYLAQVWPGPVYFPDFLNPNGASWWIDEVQRFHDLVPVDGLWIDMNEASNFCTGKCTIPKTHQCPIPDSKTPWVCCLDCKNLTNTRWDEPPYKINASGQTARLGFNTIATSATHYNGILEYNAHSLYGFSQAIATHKALQGLQGKRPFILTRSTFVGSGAYAAHWTGDNKGTWENLRYSISTMLNFGIFGMPMVGSDICGFYPSPTEELCNRWIELGAFYPFSRDHANFASPRQELYVWESVAKSARNALGMRYKLLPYLYTLSYQAHLTGAPVARPVFFSFPGFTPSYGLSTQFLLGASVMVSPVLEQGATSVSAMFPPGTWYNLFDMEKVVVSKSGAPVKLDAPLNEINVHVYQNTILPMQRGGFVSKDARATPFTLVVAFPFGATQADAEGAVYVDDDERPEMVLAEGQATYVRFHASVRGKAVTVRSEVLMGSYSLHKGLVIERLLVLGLEGTGKDLAIQVDGTDATAVATSSPYFAAGGNAKLQGEEGVEDSKNGVMVEVGGLALPLGKSFTMTWNMRIEA >Sspon.06G0032440-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:67547634:67553477:1 gene:Sspon.06G0032440-2D transcript:Sspon.06G0032440-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRATRRCPPLLRWLSSDAAASKAPRRQRVAALWGNGDYGRLGLGALESRWSPTVCPFFLARAADPPASLACGGAHTLFLTQSGRVFATGLNDFGQLGIGSSVTHTLEPVEVSGFHERVVEVSAGNHHSCAVTADGKLFVWGRNSGGQLGLGKGKVVSTPTKVDCLTDFRIKMVALGSEHSIAVTEEGEVLSWGAAGSGRLGHGRQSSILGFSLTSSEYTPRLIKNFDGIKIKKIAAGMLHSACIDEKGTLFIFGQKTEKVGFGRSNEAFRPNIVEEIPFSEEVACGGYHTCVVTDSGDLYSWGSNENGCLGLGGTDMVRSPEILKSSLFKLPVSKVSCGWKHTAVISGDDIYTWGWGGANGTFFEEGHSSGGQLGHGNDVDYFEPMMVPFGKNARAVHVSCGFNHTGAIYEYSEG >Sspon.02G0037000-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:24371005:24374812:-1 gene:Sspon.02G0037000-3D transcript:Sspon.02G0037000-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFNFGLVRCAGLLSCSRVDLEVHGAWLPPWLATHAARSVEVMSNHWNEHGKPAFDSLVQKASEKSAQAKKWAEPHLETAKTKWMPVAKEKWNILKKNAKPYVQMVSEKSLEVYQTSSDFIRPHLVNAHQVADPYFQEAKKLSKPYIDQIATATKPHVEKIRTTLKPYTKRARHVYGQFLETATTYHQQAQATILDYLHQHEFTKQFVTEELVWYLIMKFDHVTFH >Sspon.01G0020680-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:74374507:74376780:1 gene:Sspon.01G0020680-3D transcript:Sspon.01G0020680-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGKELVVKRNGPVDIREIAAKATLRELRQSGHTYVELRRVGKRVIFFCTICLTECFSDNVLFDHLKGNLHSRRYAEAKVTLFGPMPWPFNDGVLFFNNSREKDPLLLDSSSQSTRELALVPQPEVSRNDTEVTSRLRDGSSSCNGVKGARNGGKGRANGRVAPVSENYALSNSGTDGPLVIPGVLLKDVVSDLPVHLLGYGNISYRICEASKNCKKISKIWCAWVGQEGSHGSESCNTNEQSGFAIVNFSYTYDLGRKWSSDEQDLSISAGSFFVIDDAGHRGKRMKKSFSDQEASSEESNGQNSSPQGSSQAIVTSSPAGTSHNLQVGLLSSKSARRELRKQKRIAAEKVCDICGRPMLPGKDVATLLNCNTGNLACSSRNSNGAYHLFHTSCLLHWTILCQYEMLNDQIARKGKSNRGRKAKNALKKSKITSIVCPECQGTGIHVNGDELEKPSISLSEMFRFKLKAIEAHKAWLKSPEVLENCSTGLHFPSEHVENSEEKVMPLKSLRFYAADG >Sspon.01G0020780-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:74390066:74390922:1 gene:Sspon.01G0020780-4D transcript:Sspon.01G0020780-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding NGLHVVTLLPGLVFGPLLQTVVLNTSSKVLVYMITGGHDTMNNKFWPIVDVRDVADAFLLAYENAEPSSRYICSLDQMDIKDLLAIMKSMYPNYSYVDKMVDVGCKVAVTSGKLLDLGWEPRKLEETLADSVECYKKAGLLQDVDGEPCRLPYFYRMNAEE >Sspon.01G0001600-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:1225932:1230289:-1 gene:Sspon.01G0001600-2D transcript:Sspon.01G0001600-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CBL-interacting protein kinase 9 [Source:Projected from Arabidopsis thaliana (AT1G01140) TAIR;Acc:AT1G01140] MAEPAAAGRKTRVGPYEVGKTIGEGSFAKVKHARDSRTGAVRAIKVLDRNHVLRHKMVDQIKREISTMKLIKHPNVVQLHEVMASRTKIYMVLEFVDGGELFDKIVNSGRLGEDEARIYFHQLINAVDYCHSRGVYHRDLKPENLLLDSHGALKVSDFGLSAFAPQTKEDGLLHTACGTPNYVAPEVLADKGYDGMAADVWSCGIILFVLMAGYLPFDDANLMRLYKLICQAHVSCPPWFSSGAKKFIKRILDPNPDTRITIAEILEDEWFKKDYKPPCFEQGEDVSLDDVDAAFNDSEDRLVSEKREKPESMNAFALISRSQGFNLGNLFEKEMMGMVKRETSFTSQCTAQEIMTKIEDACGPLGFNVRKQNYKMKLKGDKSGRKGHLSVATEVFEVAPSLHMVELRKTGGDTLEFHNFYKNFSSELKDIVWKAESDASKKHTK >Sspon.01G0020370-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:75561020:75564931:-1 gene:Sspon.01G0020370-1A transcript:Sspon.01G0020370-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAEAAGSLSRRRMEGEEGAAAMEADAGHRGRGEEEDEEVESSDYTSEDEGTEDYRRGGYHAVHVGDSFKQRTYVVQSKLGWGHFSTVWLAWDTAHSRYVALKVQKSAQHYTEAAMDEIKILKQIADGDPDDSKCVVKLLDHFKHSGPNGNHVCMVFEFLGDNLLTLIKYTDYRGIPLPMVKEICRHVLIGLDYLHRTLSIIHTDLKPENILLVSTIDPSKDPRKSGLPLVLPSARTDEPPPKVPAQSGNGGLTKNQKKKIRKKAKRAAAATSEGSSAVASADTDGSDDRGDLGTTNEGSPIQDGAKKRATRDRRGSKGAKKKMAMEADLKCKLVDFGNACWTYKQFTSDIQTRQYRCPEVILGSKYSTSADLWSFACICFELATGDVLFDPHSGDNFDRDEDHLALMMELLGMMPRKIALGGRYSRDFFNRYGDLRHIRRLRFWPLNKVLMEKYEFTEVNAIRMADFLVPILDFVPEKRPTAAQLLQHPWLDVGPLRQQPKTLPDSGQSSGDGVSEKQMKENEEREAMAVGLGNIAIDGASSSRMANNPQASTNKTIATPSKK >Sspon.02G0026070-3D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2D:85368770:85371846:1 gene:Sspon.02G0026070-3D transcript:Sspon.02G0026070-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat receptor-like protein kinase (Fragment) [Source:Projected from Arabidopsis thaliana (AT5G53890) UniProtKB/TrEMBL;Acc:C0LGV8] MPSLASVDLATNQLNGTLPVSLAGCRELKSLSLARNSLTGQVPQDYGRLVSLSMLSLSNNSLHNISGALGVLGACKNLTTLILTKNFVGEELPDDGVAGFGGLEVLALGDCALRGRLPKWLTQCKKLEVLDLSWNQLVGTIPSWIGEFEYLSYLDLSNNTLVGEIPKSLTQLKSLVAVTQSPGMAFTSMPLYVKHNRSISGRQYNQLSNFPPSLILNNNRLNGTIWPEFGNLRELHVLDVSTNFISGSIPDSLSRMENLEVLDLSSNNLSGVIPSSLTELTFLSKFSVAHNHLTGQIPNGGQFLTFSNSSFEGNPALCRSSSCNPILSRETPSDTDVKPAPSIRNRKNKILGVAICIGLALVVFLAVILVNMSKREVTAIDYEDTEGSCHELYDSYSKPVLFFQNSAVKELSVSDLVRSTNNFDQANIIGCGGFGLVYKAYLPDGTKAAVKRLSGDCGQMEREFRAEVEALSQAQHKNLVTLKGYCRYGNDRLLIYSYMENGSLDYWLHERSDGGYMLKWESRLRIAQGSARGLAYLHKVCEPNIIHRDVKSSNILLNENFEACLADFGLARLIQPYDTHVTTDLVGTLGYIPPEYSQAVIATPKGDVFSFGVVLLELLTGRRPVDVSKSKGSRDLISWVLQMKSEKKEEQIFDSLIWSKVHEKQLLSVLETACKCISTDPRQRPSIEQVVSCLD >Sspon.03G0035320-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:76942018:76946107:1 gene:Sspon.03G0035320-2C transcript:Sspon.03G0035320-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGHPTPAAARLAGVTSRRHAELLLHSGVDGVSVKDLRLRRVVPPAAGSLDTSPECVAPVKPGSVDSTPPEAVSATAAAAAAGDLDRKLVPPRSKLVRDPRSFGYRRLLPFLNEMTKNDSSIGKEVLPQDTAAHSKDGLGGSDSMLADGSVGVSHCEPEAMDSVEPVVVNTGGDTDGKDACNNVREEIKTVPHDLTNKPLLARCTRSRFVHHPSSFSYKRMLPFLMENEISAQESDRVKIRKVAEERQPASNENDASASGQQCLVFSDDSSQECNRAQAEIMEEEKPPKADVNHVLDDRQLQSPVIEASPPDCSAVEVQNVMQQEALASSQGPLTSFEGELTSDGDNVQAMEQHQLVVSEESPEECKRDEVKRSRHDEAVKSDGGYALDSREFQLVASEVSSENSMAEVQGAAQGELLPLDGVEENSDKDDYVSKEQVQLCVTNESLAAQLQDNGEFAEVPQCQNLDSGCHDVGFGSPTKRVIPLLHRHCAQQPQDCVVSLDDQLLDNDIQMVCRPSEPCAVDRSLSMEEMSGCIPFTESPSRKAGISWPSGAHAMGKRALSPKKLSPKKGILKRSTRGCKGICMCLDCCTFRLHADRAFEFSRKQMKEADDIIGNLLKEVVSLRSLLEKSASQRESTQAVCRQASRVEEVARNRCQQMFVDLNSHCRIPGPRVRFAEYVEEKKASSSPRRSNRSVMCYGQ >Sspon.02G0015280-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:41644374:41649753:1 gene:Sspon.02G0015280-1A transcript:Sspon.02G0015280-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRDDAGGGTGFHDLFDSVRRSISFRPTAAAAAAPEAPAGPFGAGAGGIGVRISSCLRKSRGMGLLGLITKSPSPPRRILPPVPDESGGGSGGGGGGQGGGGEENPPIRWRKGELIGAGAFGQVYLGMNLDSGELLAVKQVLIGRSNATREKAQAHIKELEEEVKLLKNLSHPNIVRYLGTVREEDTLNILLEFVPGGSIQSLLGKLGSFPEPVIKKYTKQILQGLEYLHSNAIIHRDIKGANILVDNKGCIKLADFGASKQVAKLATVTAAKTMKGTPYWMAPEVIVGSGHSFSADIWSVGCTVIEMATGKPPWSQQYQEVALLFHVGTTKSHPPIPEHLSPEAKDFLLKCLQKEPELRSTAPDLLKHPFVTGELGDLQPLNHAAHKDSFNEIPAHDMPNGLGLNHSSNWSTMNSNKSSKIKPLWEGSCDDDDMCEFADKDDYAAVGSSYNPMSEPFDNWENKFDTSPEQNSHQPMEFGELAKHAESSITENDFTFPVEGSCEDDDVLTESKIKAFLEEKALDLKKLQTPLYEEFYNTVHGGSCQGADQTSKGKLPISPKLPLEESHLQTRGGACDTLNNTAPESCSKQFPRSSVVESSRILREIASPQLNEFADKLHLDAQDSPSISFTERQRKWKEELDQELERERVMRLAGCGKIPSPNRGSNVKKECHADH >Sspon.07G0003420-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:8282257:8282550:1 gene:Sspon.07G0003420-1P transcript:Sspon.07G0003420-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LLALAANPTFVSGLVAWAVAQAAKALLTSVVERRWDLRMLFSSGGMPSSHTALCTALTASVALCHGVSDALFPVCLGFTLIVMYDATGVRRHAGMQAE >Sspon.02G0014660-2T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:42049136:42051506:-1 gene:Sspon.02G0014660-2T transcript:Sspon.02G0014660-2T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALKASSGDNRTRSTVFLCIVIGMCCFFYILGAWQKSGFGKGDSIALEVTKRTDCTVLPNLSFDTHNSKASGSSSSDLVSPAKKFKPCADRYTDYTPCQDQNRAMKFPRENMNYRERHCPTQKEKLHCLIPPPKGYVAPFPWPKSRDYVPFANCPYKSLTVEKAIQNWVQYEGNVFRFPGGGTQFPQGADKYIDQLASVIPIANGTVRTALDTGCGVASWGAYLLKRNVLAMSFAPRDSHEAQVQFALERGVPAVIGVLGTIKLPYPSRAFDMAHCSRCLIPWGANDGIYMMEVDRVLRPGGYWVLSGPPINWKVNYKGWQRTKKDLEAEQNRIEEIADLLCWEKVSEKGEMAIWRKRVNTESCPSRQEESTVQMCESTNPDDVWYKKMKACVTPLPDVKDESEVAGGAIKPFPARLNAVPPRIANGLIPGVSSEAFQKDNKMWKKHVRAYSSVNKYLLTGRYRNIMDMNAGLGGFAAAIESPKSWVMNVVPTIAKMPTLGAVYERGLIGIYHDWCEAFSTYPRTYDLIHASGLFTLYKNKCSMEDILLEMDRILRPEGAVIIRDDIDVLTKVNSLALGMRWNTKMVDHEDGPLVREKILYAVKQYWVGGNQTAVA >Sspon.07G0002150-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:4868346:4876657:-1 gene:Sspon.07G0002150-4D transcript:Sspon.07G0002150-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLDYYKILGVDKGATDDDLKKAYRKLAMKWHPDKNPNNKKEAENRFKQISEAYEVLSDPQKRAVYDQYGEEGLKGQVPPPGAGGAGPGGATFFSTGGDGPTVFRFNPRNAEDIFAEFFGGSSPFGGMGGAGMGGSGMGGGMPGMRSGGTRFSSSIFGDDIFGSAFGGGPDLHGMHTGGRPVKASAIERKLPCSLEELYKGTTKKMKISREIADASGKTIPVEEILTIDVKPGWKKGTKITFPEKGNETPNTIPADLVFIIDEKPHPVFTRDGNDLVVTQKIPLAEALTGYTAHVTTLDGRSLTVPISSVIHPGCEEVVRGEGMPIPKDPSRKGNLRIKFDIKFPARLTSDQKAGIKRLLGQ >Sspon.02G0007970-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:17081412:17083864:-1 gene:Sspon.02G0007970-3D transcript:Sspon.02G0007970-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLWTSSSVVAPILYWESTTFSRPAIALICFGAIALFPSVLLPSSPFMWLAGMTFGYLYGFLIITVGMSIGMSLPYFIGSAFHCRIHRWLEKWPKKAAFVRLAGEGDWHHQFKAVALLRISPFPYIVFNYASVATNVKYYPYIAGSMAGTIHETFLAIYSGKLLQSLAVATSHGSFLSLDQIIYNGIGFTIAAASTAAITIYAKKALQKLQAEDEIF >Sspon.02G0031270-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:91426733:91428729:-1 gene:Sspon.02G0031270-4D transcript:Sspon.02G0031270-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRNFPQARRLLRRMGFEKEDAYFWKQMGKATLCTYTLFGVAWLWNETSPLGWWTLKPRPKEEKEMAHLYERRKFPYPGDEEAIEEFIKSGGALGTTIGPKGFADANMDSENMQKQLQSKKFEQEAQKLWLRMRNEVVQELQEKGFDIE >Sspon.08G0010310-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:43516000:43517890:1 gene:Sspon.08G0010310-3D transcript:Sspon.08G0010310-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor PERIANTHIA [Source:Projected from Arabidopsis thaliana (AT1G68640) UniProtKB/Swiss-Prot;Acc:Q9SX27] MAPPGVATADDARFCTPWAAPHFENWGDSGIVVTSPLAETASTDVDDVSGGTHHYDAQMVCSARVYSTSILVSTAQRRLAQNREAARKSRMRKKAYIVELENSRSKLAHLEQELQRARQQGMFIASALAFDLEYARWLDEHQHHMNDLRVALSAQMGDDDLGVLVDGAMLHYDEMFRLKGVATRTDVFHVLSGMWMSPAERFFMWLGGFRSSELLKVVARQVEPQLTEQQLVGICSLQQSLQQAEDALSQGMEALQQALGDTLAAAAPAPGPSASAADTVTNYMGQMAVAMSKLATVENFLRQADLLRQQTLKQVHRILTTRQAARALLVVSDYFSRLRALSSLWLTRPTD >Sspon.08G0011680-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:47186609:47187724:1 gene:Sspon.08G0011680-2B transcript:Sspon.08G0011680-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGSSLKSAQLLELMRQHLATDAGKELTKKVGLVYQLNIAPKKIGVDEEIFVVDLKKGEVTKGPYQGKPDATFSFTDNDFLGIATGKTNPQIAFIRGAIKIKGSISAAQKFTPDIFPKPAKL >Sspon.08G0017100-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:61633359:61636464:-1 gene:Sspon.08G0017100-2B transcript:Sspon.08G0017100-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant-specific protein with a short C-terminal coiled-coil domain and a functional NLS, Common symbiosis signaling (SYM) pathwa [Source: Projected from Oryza sativa (Os06g0115600)] MEMEGRGLSDLFRNTSEEIFLKAVMENSMGVAAAPSMEMLGFKNMSQGFGAVNNRPRQPSRLSSEAAGLPNQQHDIQQSFITDNLVPQNLAIPSVEYPNKDNQQSLKNAAEKGMQASDLLLAKAWFHSTQPMTRSRSSERRYAAMQNNLAPITIGNIEATNQLKQDFTNTTNSTPMSNTPVHTPKFVSPSSSSTSPLDNPHMAAQDTVTSVVSMLKDTLERKKLGSHANKDTSVGNSFGFYDTQQFQQNILGGTDFFPIVTTAQVQDSLMLPKVERPMEPSNGNFVAPANQVWFGAASREPSHSGSSTAMTTHSAGFEMCEELPPTGQAMSVCESTRKNATSGTTDCKSKGKEYRERVQKDTLKDERKKAALTQMGSISSEQAADNGDPTKKRRVERSRKMAEAKERSSTPVIPSDMQAVLKRCETLEKEVRSLKLNLSFMNRKDSEQTKQIEELQKQNEDLTEEKERLLEEIERIVSDPNT >Sspon.01G0011650-1P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:38331154:38332526:-1 gene:Sspon.01G0011650-1P transcript:Sspon.01G0011650-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVWKAAAQTRFRLFKHENGITVRVIACFQLLQNCQVNPWCHWHNPPWKFNTDTSAGNLRPPDVGLVNANSVALPAYLSTVAPPVPFFTASIAERPLSTAPRYATTLAPSLELPALYPSRKRPLVFYQKENHAPIAAPLLSKGPLDPVPELQGSNETNVTDVGAEETEGIHENTDEINALLDSDSDEGYEKLLELDRVRRQSPAENDTLSVESVASAGAATDSAPPAKKRKLSSCTDKSVVDTASSARPDHSIEQKLLVNDCDAQSCCVGEVESDHKFSLGEVEAAEGDSPDDQKQRRERIQETVAALRNIVPGGIAKDATAVLDEAICYLQYLKLK >Sspon.08G0012050-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:67038991:67039451:-1 gene:Sspon.08G0012050-1P transcript:Sspon.08G0012050-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVEPLPEPPDDGGPVAWPMPDFCPLTIDGALKESFLEALRKDAVEMERSAREEAEEALSPDSRPSSSKRHRAGTASPSSRTSPYRNILQVFQQCRQDAVGETPTKNY >Sspon.04G0031000-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:4136905:4138536:1 gene:Sspon.04G0031000-1C transcript:Sspon.04G0031000-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKVFDQTVRDLKREVNKKVLKVPGIEQKILDATSNEPHEYQMIMNIVWKRVSDTGKNWRHVYKALADFQYIDSSGRDQGSNVRRKSQSLVSLVNDKERIQEVRQKALATRDKSAFAPGGRSPGGYGGGYDNDRYEGSYGSRYDNRNGNGGKETMDTGTMTDMVDLETRPIGKEIVIPEILMNAMAEIIEKMNTKEVIATMNMLKEQVAGAMAGKGIHMGMTKLIHP >Sspon.06G0002660-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:5704608:5707391:-1 gene:Sspon.06G0002660-3D transcript:Sspon.06G0002660-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding NTRETAFALRKLPLTKAKRYLEDVIAHKQAIPFRRYCGGVGRTAQAKSRHSNGQGRWPVKSARFILDLLKNAESNADVKGLDVDNLYVSHIQVNQAQKQRRRTYRAHGRINPYMSSPCHIELILSEKEEPVKKEQTLTATKKRTRAAGRFTFTCLSADAPARLGPAEASPAADLPWRRRVVPPVPEHVPEVVHLVVEAGAAVGVHGGHPAQVVTELAEAAAVRRVEELHPHAEAACERELHPRQDVLLVGPRREAGARLPPPPVELHRPVHGPDVQEAAVGLVVQAVRVAVEVVAGDGDVRRDGDVPHRVPEPQDVHVREEEVEAELQDGLLEPVPGPHHVQVVLGHEVLRGAEVDRRRGAVFVVRPEEVAVAVHRPARLVCAGVHGHDEEVVQQPRRALAGPEALEEEVQEGARRRVRLVDGRHDHRVVLHGCVLQQLRQLR >Sspon.08G0023280-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:54616460:54617571:1 gene:Sspon.08G0023280-1B transcript:Sspon.08G0023280-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEPIPIVDLSRLSANDGADDETAKCPSPCPPCRIGASSCRTKLRLRLALSKQSGFLAEMMRVTRGFFNLPLATRSRSIPTWWTAEFQGRATTWCCRLSEDQVLDCDVLLSEYTARCQEIAGVVLASVAKLLGLHEGRFVGMMSEDVAMTHAWFNYYRRCLKPDRVFSLRSHSDTSVITLVLIDGAVSGLQVQKPDDGSSGGWYEYDVPIVPNALLVNVGDAIEIMSNGSFRSPAHRAVTNAESDQVSLAMFYTLDPEKEIEPLPELVDDKRGRGGTGRRQPRSI >Sspon.01G0019630-3C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1C:75061800:75068737:1 gene:Sspon.01G0019630-3C transcript:Sspon.01G0019630-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVAMRSGSSDGGGGYEKGGMDTGKYVRYTPEQVEALERVYAECPKPSSARRQQLLRECPILSNIEPKQIKVWFQNRRCRDKQRKESSRLQAVNRKLTAMNKLLMEENERLQKQVSQLVHENAYMKQQLQNPSLANDASCDSNVTAPANLRDASNPSGLLSIAEETLTEFLSKATGTAIDWVQMPGMKPGPDSFGIVTISHGGRGVAARACGLVNLEPTKIVEILKDRPSWFRDCRSLEVFTMLPAGNGGTIELVYMQVCERSLSGSGDVKALPQHNNLLGVFLKFFDPSMNRLGRYGTSDKLLKKQAERLYMRWGGNQLFYERFNDAISGFNDDGWSIMAGDGIEDVIIACNSKKIRSGSNPATAFGAPGECSTRVLVRFLREHRSEWADYNFDAYSASALKTSPCSLPGLRPMRFSGGQIIMPLAHTVENEEILEVVRLEGQTLTHDEGLLSRDIHLLQDGLSSGRTLDLASSLEVGATTQQASADGSQDVCNLRSVLTIAFQFPYEIHLQDTIAAMARQYVRSIVSAVQRVSMAISPSQSGLNTGQKIISGFPEAATLVRWICQSYRYHLGVDLLSHSDQAGESLLRMFWDHQDAVLCCSFKEKPVFTFGNQMGIDMLETTLIALQDLTLDKIFDEPGRKALHAEVPKLMEQGYAYLPAGVCLSGMGRHVSYEQTVAWKVLGEDGNVHCLAFCFVNWSFV >Sspon.04G0001910-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:6436922:6440994:1 gene:Sspon.04G0001910-3C transcript:Sspon.04G0001910-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable alpha,alpha-trehalose-phosphate synthase [UDP-forming] 11 [Source:Projected from Arabidopsis thaliana (AT2G18700) UniProtKB/Swiss-Prot;Acc:Q9ZV48] MPSLSCHNLLDLAAADEVPLPSPTPLRVPRVMSVASPASPTSPSPPAPSRRVIVSHRLPLRASPDPAAPFGFNFSVDSGTVAYQLRSGLPANAPVLHIGTLPAVAAEAASDELSNYLLANFSCLPVYLPTDLHHRFYHGFCKHYLWPLLHYLLPLTPSSLGGLPFQRTLYHSFLSANRAFADRLTEVLSPDEDLVWIHDYHLLALPTFLRKRFPRAKVGFFLHSPFPSSEIFRTIPVRDDLVRALLNADLVGFHTFDYARHFLSACSRLLGLDYQSKRGYIGIEYYGRTVTVKILPVGIDMGQLRSVVSAPETEDAVRRVTEAYKGRRLMVGVDDVDLFKGIGLKFLAMEQLLVEHRELRGRAVLVQIANPARSEGRDVQGVQDEARAISARVNARFGTPGYTPIVLIDGPLTPQEKAAYYAAAECCVVSAVRDGLNRIPYIYTVCRQESTALGDDAPKRSVIVLSEFVGCSPSLSGAIRVNPWSVESVAEAMNAALRMPEAEQRLRHEKHYKYVSTHDVAYWARSFDSDLQRACKDHFSRRHWGIGFGMSFKVVALGPNFRRLSVEHIVPSYRRTENRLILLDYDGTVMPENSIDRTPSSEVISVLNRLCEDPKNRVFIVSGRGKDELSRWFAPCEKLGIAAEHGYFTRWSRDAPWETSGLAADFDWKKTAEPVMQLYTEATDGSYIEHKESAIVWHHHEADPDFGSCQAKELLDHLENVLANEPVVVKRGQHIVEVNPQGISKGVVVESLLSSMVKTGKPPDFVLCIGDDRSDEDMFESIVCPSNSSVKLPASSEVFACTVGKKPSMAKYYLDDTVDVIKMLDGLASAPTQRPRPAVQLRVSFEGSL >Sspon.04G0034560-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:76327628:76329217:1 gene:Sspon.04G0034560-1C transcript:Sspon.04G0034560-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MESFIPKTLNPNVGELHASPANAVASTIAMTRTNIAMKMQKILIFPLIILLMLSSILVASHKSCWLSQHQLTSYVSEAEGNNKDDMSGEAILCMDIPKCVFFKCLHSSACDGCCKSHGWPRGKCEALGCSCCTPRPDPPLPSYR >Sspon.06G0015350-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:84569097:84569939:1 gene:Sspon.06G0015350-1T transcript:Sspon.06G0015350-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFFSDEALAIFVPILVYWVSSGMYMALGQSMDKYRLHSRKEEDSKNLVSKREVVKGVLLQQLVQAAVSAVVFMLRGDSSSTVAYDEEAHGSSWYSYLTVARQFAVAMIVLDGWQYAWHRYMHLNRFLYRHIHSWHHRLVVPYAFGAQYNHPIEGLLLDTLGGALAFVVSGMSPRASIFFFSLSIIKGVDLHCGLLLPGNVFHLCFWNNPAYHDVHHQLRGSRFNFSQPYFVTWDKVFGTHMPYMLEERPG >Sspon.07G0025050-3D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7D:31674243:31678680:1 gene:Sspon.07G0025050-3D transcript:Sspon.07G0025050-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPPAGGSDRRGPSQSAGVAPSGLRRYGLNFSASSLLQAPLAALLEYSGVVQSGPALAAHHPSAGPSSPLSASEVDGLLSAAAAVDGEVSIRIQGGPGDTEATGGPAGGTSLEDLIETTAGSEVDQASAAGRGAGATDAEANGGGAGTSGNGGGDRAYQRYDVHHVARWIEQILPFSLLLLVVFIRQHLQGLLHISRSKDPLPRPLCLSHLSLSRTCPPPPLPAPAPAAACACRCRRLRPLPPAQARASARRHPQLLLPHRRPPPDAPPPPPAPPTSCARLGVRLPP >Sspon.05G0025600-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:21890287:21892453:1 gene:Sspon.05G0025600-2C transcript:Sspon.05G0025600-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLITYFTNIIGVVTIALISIVSILGLICLCRSVYFQLWIKRRGYQRLSYFNGPWLTRITLMLVAFWWGIGEVLRLTFVNGEGRLISNRAWQVNVCKFYIISNLGFAEPGLFLLLSFLLSAALQKQELGTLNRKWNRKTIRAVFILCSPSLIWEACVVFVGGRVSSDDGQRSKVSKYWYSASAIHNGDITCMYPLLSSVFLGAFYIILTVYVMFVGRQMLSLVINKGLRRRIYMLIFATGILLPRATLLGLSILPWPGELVHEALVFVSFLVLMLAAMVGIVILVYFPVADTFAIGDQEHIEMQAS >Sspon.03G0034470-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:74322247:74323026:-1 gene:Sspon.03G0034470-1B transcript:Sspon.03G0034470-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MILDVPGLRCSVLLDPSPANPDPEKASPSNGGLRGLEVIQEAKRQLESACPGTVSCADILAFAARDASNILSSGAINYGVPSGRRDGLTSAASDASQSLPPPFAQLDRLTELFAAKGFTQDELVTLSGAHSVGRAHCGSFSQRIHPNVSDTMDKEYGAGLQQQCPTDAGDAVAVDQDQGTPADLDNQYYRNVLAGKVLFNSDWALISNDTTRQMVADNAGNQAQWAAKFIDAMRKMGALDVLTGDQGEVRSFCNVSNSG >Sspon.06G0007600-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:26445415:26453364:-1 gene:Sspon.06G0007600-1P transcript:Sspon.06G0007600-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPSLIHHAGRARPDVAAAEDAPRSGGGLGLHGHGGGPSVVLTADPKPRLRWTADLHDRFVDAVAQLGWPDKATPKAIMRTMGVKGLTLFHLKSHLQKYRLGRQSGKELTEQSKDASYLMEAQSGTTLSPRGSTPDVKESQEVKEALRAQMEVQRRLHEQVEVQKHMQIRMEANQKYIDTILDKAFKIVSEQLSGFSISDQDPPILTSAGVMLSPADHLSSSVFPQLSVSSVSIHSPGGKGLPHIADSHVFSQRPPEQLKRKSR >Sspon.03G0015840-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:74463068:74464466:1 gene:Sspon.03G0015840-2B transcript:Sspon.03G0015840-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RAMYHPQCELLMAHETPDLDAAGQPHLAVSGVTSIPAELSFHLLHSLDAAAAVNNSVTPQSTIDYFLGVGGADPHQPPALQYEPLPPPGHHQHTMNMLRDYCNGGGAGHYTTAEPYLRGKRTGALVFGAADDDDSAAAYMPGGPFVETSPPPRATGGRKRGRALGGGFHAGLANGVEKKEKQRRQRLTEKYTALMHLIPNVMQPDRATVISDAIEYIQELGRTVEELTLLVEKKRRRRELQGDVVDAAPAVVVVATGGEAESSEGEVAPPPPPAAVQRQPIRSTYIQRRSKDTSVDVRIVEEDVNIKLTKRRRDGCLAAASRALDDLRLDLVHLSGGKIGDCHIYMFNMQIHKGSSVFASAVASRLMEV >Sspon.03G0010690-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3A:29121791:29123251:-1 gene:Sspon.03G0010690-1A transcript:Sspon.03G0010690-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHRLFNPLGFLLAIVVLYGGTEQRRAEAADGASPHRLLTTISVSKPSYPTVTTPMSAYADPVSTPGTPYSTFPSLATGNGGAGAGAGTGGGGGAGAGTGGGAGAGAGTGGGGAGAGAGGGGGGGGGGGGGGTWCVASPSASPTALQVALDYACGYGADCSAIQQGGSCFNPDTVHDHASYAFNSYYQKNPAPTSCDFGGTATITNTDPSKQTHQCISCGGGQNMMPPPSPTTMPPTVPTTPMTPTPPTPDTTPVYGLSPPDYGSMSPPGPGYGSTSPPDYNDVGAAATMGQGSAVALALLCVLIAIVSLHVSK >Sspon.07G0010030-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:29484066:29516344:-1 gene:Sspon.07G0010030-1A transcript:Sspon.07G0010030-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSKSISNGLHAVQPDPVAADILRKEPQQESFIKMLTTPEEIPTADEIEVFKILQKCLELRDSYLFREEVTPWEKEAINDPCTPKPNPNPFTYVPEPKSESIYPVADATTFFTDLHYILRVTAAGNTRTVCHNRLNLLEHKFKFHLMLNADREFLAQKTAPHRDFYNVRKVDTHVHHSACMNQKHLLRFIKSKLRKEPDEVVIFRDGTYMTLNEVFESLDLTGYDLNVDLLDVHADKSTFHRFDKFNLKYNPCGQSRLREIFLKQDNLIQGRFLAELTKQVFSDLSASKYQLAEYRISIYGRKQSEWDQLASWIVNNELHNENVVWLVQIPRLYNVYKGMGIVTSFQNLLDNIFLPLFEVTIDPASHPQLHVFLKQVVGLDLVDDESKPERRPTKNHMPTPEQWTNAFNPAFSYYAYYCYANLFTLNKLRESKGMTTIKFRPHAGEAGDIDHLAATFLLCHNISHGINLRKSPVLQYLYYLGQIGLAMSPLSNNSLFLDYHRNPFPTFFQRGLNVSLSTDDPLQIHLTKEPLVEEYSIAASLWKLSSCDLCEIARNSVYQSGFSHAQKPLNATELKCTHRKTLLILLDEH >Sspon.01G0056250-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:86890151:86890486:1 gene:Sspon.01G0056250-2D transcript:Sspon.01G0056250-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding HGPRRQEERRARSGGQALAWRRRRGGERRPAAAQGVPRGAQGLPGARAEAHGRRHARRRRRAGAGPPEGDADAGGHGRGQHARPGRSGRARARSNRAVRLRVLVLRAAAQPG >Sspon.07G0012660-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7A:45065226:45065531:-1 gene:Sspon.07G0012660-1A transcript:Sspon.07G0012660-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MELDIGAELEVAHGRHMDGSRHGESHEARPRVSNTRHEAQRQACLSRPLARCTRRATRGQACPSRPLERGTRRGAHNARRGGRCVRPVQKGRTSWGIIIDI >Sspon.07G0019590-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:76622704:76625462:-1 gene:Sspon.07G0019590-2B transcript:Sspon.07G0019590-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLRPLSFTRCAPSPLGCVGIGMGGGGRRRTILVALRRDAAGRELLTWALVKAAAAGDRVIALHVSTVAAADGAREMAAAEEKARANESLASVLGAYRGFCERNQIDLELKLCEGPSTKKALVGEATSCAAAHLILGVTKSSRPSGSSATATAVARYCAKRVPPSCMVTAVSNGAVVYRRDPVHQHHQLLLSPYSAVVETPRRLYRKILDARTTAGDKSQDDMAIGASRSVRRHMSAAMSALVSPRVKLAPAGPARSCHGQQESPKMAAGWPLLKKDNMPALPELSEVSVVEWAMQLPTRCSDQSSDERGEEKPVPEELVSLRDKYSSKYTVFRYSELAKITNGFSPDRLVGKGGAGRVYWGVAAGVARALEYLHGDGDGHRPMIHRDIKSSNILVAGDFEPKVTTDRISGVSADADVLQAFCVTSASRCGQTTRRRRSPATTSPEHLGNIMDLVDPNLLTTNGDGGEVERMALAAALCIRRAHQLRPSMSNVVKLLAGDGDAVSWAKSQLGVPGDDDDGRHGCGAGAVTSPEKSDIQSYINLALRDVVDDDASSVGSGVSLEEYLKGRWSRSSSFEG >Sspon.06G0023120-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:41335524:41336407:1 gene:Sspon.06G0023120-1B transcript:Sspon.06G0023120-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSSVFRRINVKDLTSKVSVYTSATELSGGLNMIFRRWATKKTAGSTKNGRDSNPKYLGVKKFGGEFFPQKVLPGNIIVRQRGTRFHPGNYVGMGKDHTLFCLKEGHVRFERNKLSGRKWVHVDPVAGHDLHPIYADGFATTADTEPLQ >Sspon.01G0037130-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:15167469:15170934:1 gene:Sspon.01G0037130-2C transcript:Sspon.01G0037130-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding KMHRFALRGLGFLVLWILLHCGSELAAAVPPGRWYDYSAYTDVLTASLHGVQCRGQPEPALYNGGILKYGNSGDDPDGYRTTETGVFSPAFVVYNLNKTTMYTFSCGVKLEGAYSALITARLAPDNTGARCIATVLARSDCWAFVKGGFVLDWPTQTSVIFFQVADKTPMKITVASGSLQPFTTDQWTMHQQDTIRKVRKRVATIHVADPQGARVVGASVSVQQTAKDFPIGSAIASTILGNQAYQQWFVDRFNAAVFEDELKWYSTEPMSGLLRFDVPDQMLAFVRSHRVMVRGHNIFWENQDATPRWVKNLTADDLRAAVNTRIQSLMTRYRGEFAHWDVNNEMLHYNFYEQRLGPNASMEFFSVAQDADPLATLFMNEYNVIETCDDPFSTVDTYVDKLKELRSGGAILEGIGLEGHFSKPNIPLMRAILDKLATLGLPIWFTEIDISNKFDAQTQATYLEQVLREAYSHPAVSGVMLWTALHPNGCYQMCLTDWNLSNLPTGDVVDRLLNEWRTLQAGGQTDAHGAYSFSGYLGEYVLTV >Sspon.01G0037670-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:24550570:24551550:-1 gene:Sspon.01G0037670-1T transcript:Sspon.01G0037670-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MWAERVVGERRMRQIQRFARNAKLTVVCLLLTVVVLRGTVGAGRFGTPQQDLIELRQHFVSHPHRALAEHHDARSRASSTTTTTSSSSSGRRDDEPDPPPRSLRDPPYTLGPKISDWDEQRAAWHRRHPETPPFLNDIKPRVLLVTGSSPKPCENPVGDHYLLKSIKNKMDYCRVHGIEVFYNMALLDAEMAGFWAKLPLLRALLLAHPEVEFIWWMDSDAMFTDMAFELPWERYGPYNLIMHGWDEMVYDDKNWIGLNTGSFLLRNCQWSLDMLDTWAPMGPKGPVLTKSLKDRPVFEADDQSAMVYILATQREKWGDK >Sspon.07G0011130-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7A:37801394:37802020:1 gene:Sspon.07G0011130-1A transcript:Sspon.07G0011130-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLATTPARRPMEPGLARRLWHVVLAVCHMLRRGLSPKRIMMDVHLLLGRGKLVGRTLRGHLAHHPSSHHRRHGHHLTNYGGASSSSSSTLASFYGHPREVEFSCTTTPSYPQGLFFPFMGRGGGGRARGEQYGGLDAAAVARAFEMLSAEVDAGGGTPAVAPSPMVATATPSPMVAWILGRSPAGVRPLRVTDSPFPAVPEDGCSNER >Sspon.01G0000610-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:2322643:2325439:-1 gene:Sspon.01G0000610-4D transcript:Sspon.01G0000610-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVKQVLVRPRYDDDDGSSCSSSAGRCDDEAADQSPSPPPMSSCGRYLLHRVCRFDTLAGVAIKYGVEVADVKRANGLTTDLQMFAHKTLRVPLHGRHAPSPPSSSPSHADRAAREWTARRPPKIAASLDPFLKPPRSTVSPSMSLLQGYYGLTPTPKGNLTNEGTELATYAKGHHRKARSLSSNFSLENGDGAREMDDAEKPIRRRQKTDGELTAREDNGGSLLARSGQGLALRPKCGSRPDMNGSQQDLLATWVPSYGDGLHTVKKSSSTPEFQDSDSISIASVWLKSKWNLKPDAFTLTLPLPLLDGFSKPLFDSIPKPLLDNIPNSIAAWRNKAAKD >Sspon.01G0018420-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:69632795:69640992:-1 gene:Sspon.01G0018420-2P transcript:Sspon.01G0018420-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVYYKFKSARDYDSIPIEGQFISVANFKERIFESKHLGRGTDFDLMISNAQTDEEYADEATMIPKNTSVLIRRIPGRPRKPIVTEPEESKAAEDGVEEVMPTASAFLGDSSMKYPEESEWDDEFGNELYVSDLVPSQPACQAVDDSENKVDEDRRLERRTPPPGYVCHRCKVPGHFIQHCPTNGDARYDVKRMKPPTGIPKSMLMQTPDGSYALPSGAGAVLKPNEAAFEKEIEGLPTTRSVGDLPPELHCPLCKEVMKDAVLTSKCCFRSFCDKCIRDYIINKSMCVCGATSILADDLLPNKTLRETISRILEAPPTSSTENVGSMVQYRVLRSPAVSAASKEEAKASTPVEESPDAESLNGAKATNIDASSSDKKATTVPDIAEGTMDSKKAKEDKPVETTPVAKDSQEKLPAGEQAVKKKKKKKPRVPGNAEEQWKNFQDFGAENFSGMPLGPTGAFNPYWGGGVPLPMDYMGAPFPGPMPYMGYPPGPFDPFGGGVLPQDPFMPPGYMMPGVPRDLSELAVNTMGLNMVPPVVSREEFDPRKPEHRRRREMDRFNERERERDRSRERERGRSLERERERGRSRERERERERERERGRSLERERERVREQERSREGDRERQRARDRDSRREARASPGAINDSTSMRRKDRSQPDRSERGPPPSSPDRQSRRSSSSGKKRSSSDRYDDTQLPPPPPPASRHEAEPAKAPAASSKSKASVFSRISFPGDGANPSDAKRSRRASDKPPAHSSSSSKKSAAAEGGGDGRGQRHRHHQNHREAAAEAEEEKRRPAAEYYGGADEEEESEEEEQHFKRRPSSSSRREREQEAQEEQPRHSRRSRDHKRR >Sspon.02G0024450-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:83715566:83717491:-1 gene:Sspon.02G0024450-1A transcript:Sspon.02G0024450-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEQQFLSSLGISLSNMQGNMRRHLQRDGVDVADPLTQFAAATLVFAYDAIPDPPVSPDAPLASAVAARAPADGVDRISLLPDALLKNVVSRLPAKDAARTAALATRWRGLWRSVPLAVVDAHILPRWISADHMMPGGEDPLSRVVAVAASRVLDAHPGPFRCVYLSRCHMASHQAEVERWLELLADKGVQELVFLNRPWPFDHPLPRALYGCTSVTRLHLGLCTVPSTAGLPRATRFPHLRELVLDTFVIQERDLHFLIDRSPVLEILTVTTNQTGARVRLISRSLRCVQMTLSARVDITVVDAPRLERLLMWMISPATPGVTCSRIKVGHAPNLCMLGHCQPGFELEIGNTILKEGTKMSPNTMVPSVKILALEVGFEVRNEVKMMPCFLKCFPNVETLHVFVNDDPVARLIQVLEGEMRLAVHVTKFVFQEFRGKRSELAFLKFIAERAQVLEKMVVMVSSKCFSSAGAVNAKLKPLTSAIWASKDCKLIVFKSPSSDGASPAWSSKTVSNFSCSDPFDLLTADAELYSGASVLQHSSTLRV >Sspon.02G0012060-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:32030556:32031170:1 gene:Sspon.02G0012060-1A transcript:Sspon.02G0012060-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MESNKRSVEWVCQWSLCACLQQFTRAAFRSTLAVPDLKLLTPAQACNTRALQNATLWFSAAGAGAAVLAMLLKGRARVHRALACVALASAVAIHCVLTCFLGVNLVTAPNDDLLVVSVMSAVAFLFFAVVDANAALVLLRRAGGEE >Sspon.01G0014210-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:42404089:42405967:1 gene:Sspon.01G0014210-3C transcript:Sspon.01G0014210-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MESTFIMIKPDGVQRGLIGEIISRFEKKGFYLKALKLVNVERSFAEKHYADLSSKPFFQGLVDYIISGPVVAMVWEGKSVVTTGRKIIGATNPLASEPGTIRGDFAVDIGRNVIHGSDSIESANKEIALWFPEGLADWQSSQHPWIYEK >Sspon.05G0019660-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:79077652:79082902:-1 gene:Sspon.05G0019660-2D transcript:Sspon.05G0019660-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAIIGPLVVKLQELAVSEARALVAVNDDIRSLRDKLMWMQAFLRDADPRRRVVPDEASRVWLHQTRDAAFDAEDAVDQYFLLVDLSRYPSWARTIIRFIAEFTTQLRVRHNLSSKIKAINSRLENIIKNKETYKQIEDTNKIVVPWRASTAISVAPTKFNLSINNGYRDNLLQPPLVSREDKRKDLTSALLYDTAVDSSLPDSTPRQKVISVLGPSGVGKSTLVRDVYETLEIKNHFVEQALATFPPYSSASDILKLILRDLKEEDFTLSKTEVTKELDNKLKGKRYLVVIDGEVSTTEWKHILAALPYEVESRVVRMSKESLEDPPTNYKHVRIQLEHFHLIATTELFQQRVCREESNPEYNEAVKNGVRNDYQQDIFDTTQGLPLAIVLLSGLLRTKEYPVEWNAVFEHLKSKQSKRLDSILSLCFDDLPYDIKSCFLYFAALPTNMLIEAQDLVCMWMAEGFLRPKEGLTMEKVGYRYLKELIARHLINLEPMDENTPEEELVTIQSKVHAFLQIEAQEVNFVEIHNSDDIPPLSAARRLSLQNRMEKYAALANPMPKL >Sspon.05G0034290-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5C:53591027:53594092:-1 gene:Sspon.05G0034290-1C transcript:Sspon.05G0034290-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MYMCMLQVIKADSVAEAAERILDELKEDPANTTRSISSRNNVIYFDGWDGLGASAVLRAVAQRLAMASSDEAPAGLKFDQIIHVDCSKWESKRALQRAFVEQLELPASVMEMFDRQDEEDDIHGIPEGSRTEIPQVFRAMLQRIQELSHRFLVIFHNGSTEEIDLGIFGFLLSGYYSTAKVLWTFQGRFRLYPRMKVDRALNKSSTQTGAATDVFLSAVTGKERHPQVYWSYLVQQEAADLVTAARKSNTGHGLVPGDNSFQPQQQVLQGDMLVSDANDDDGPWRAADALQREMVIDVEHWQHNLESLPSHLVRSADSMACMPYWTSPPYEALLSPVRDMFQQFGQLGVLKLSHCTFSFSSPPFLSSNNLRILWLDHCKDDQVINKDRDDPEKEDDICRLFQSSENLINVVGAHDWDIGQLQGRLPNIRRLRVTKSTVRCSGHSEQDLFQGKNKMELLDFSGNRTTHGVAEFSGISSSNNCLETMMIADGAVGLQNISFRQCARLKNVLLSGLFEDIRILDLSGTTVKTVDLSAMIAQSLDELFLDDCHKLCAILWPPEDKRKSKLKKLHIDTTWVRDARLLKSLVPFKQYFDDKVLVHVEIYSSAAAFSYGNDNKAVSSNSSIEQQHVLVKVDKPADSFLYADVEVTFIDHPLLQASEGDAPMLTQIWPCPSIPSNRVLKCYTHIQDHQVSTKSLPQGEQTMTSIVIPDMICCNACILHVHDSLSITSIPGPAPALGSTWNYLEWCRVERCPKLEHAFTAPELQASGDVVVFDWLKTFWASELSKACYIWNWTPPSVFQFQQQSFENFTLLHMDLCPRVIHVLPLALQMVNRSLGNLKTLEIMWCGDLREVFPLYTTDVKNEQQQQQSTTTTVHFKLLKHIHLHELPKLRGICGQWRMSAPKLETVKIRGCWSLKRLPAVGNNTSKKVECDCEKEWWDMLEWDGLGANHHPS >Sspon.06G0007010-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:24867130:24869434:-1 gene:Sspon.06G0007010-3C transcript:Sspon.06G0007010-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable transmembrane ascorbate ferrireductase 2 [Source:Projected from Arabidopsis thaliana (AT5G38630) UniProtKB/Swiss-Prot;Acc:Q9SWS1] MAAAPAVRFPVFGLVRLLGLATAAGILFWAVHFRGGMALSTDEESKLPLFNVHPVLMLIGLVALNGEALLAYKTVPGTKKLKKLVHLAVQFLAMFLSLIGLWAVWKFHDERKIVHLYTLHSWLGLTCFIFFSLQWAAGFWTFWYPGGSRSGRAFLLPWHVFFGIFIYVLAIATSVTGLLEKSIFMQSAKMIERFSTEAMFMNSLGMLLVLLSGLVILAIVSPGPSKIDTYRGSSE >Sspon.01G0010970-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1A:30325710:30326411:1 gene:Sspon.01G0010970-1A transcript:Sspon.01G0010970-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAEVDARATGDEGRGGGGSVAEDGQAPAPAKGSATISVTVVLLALLVASVVAFLTSSLPRAGDGDGVGDGVGKGVQEGAAGRAGEVAGKRTEPVEHAVGDAIGIPGFNSRLDAFRTWAALTWMKLRRPRSDEPRYDDAAAGSAGSVADAAKRSFEMGKETVEQAAATTARATRDAAETAKER >Sspon.05G0030240-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:88092439:88094274:1 gene:Sspon.05G0030240-1B transcript:Sspon.05G0030240-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAEESGVWRWLVDISRWRPSPAQFDAAAALLPPHERPAIARTTGSGAPVSRLLQYSLVHHLLHIPFHQINICRTTEGKPYLVCTLSVKFSLIPSYICDRHHPWSDFLNFNFSTSHLGDYVGIASEPLCLVGETLCPFLSSREKPLPSSSATSLRTLPTMSGTESFVLALPETYWCLKEAFVKAIGAGIGFGLNRLEFHHEEWTNISICIDGEVSKKWRFWLFKLDETHLASIAKGHPEDAINAFKKTLSNVIISEKQLSSALDSPKEGFTLRTVEQLTQSQ >Sspon.02G0047100-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:10907080:10907838:-1 gene:Sspon.02G0047100-1C transcript:Sspon.02G0047100-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARALPLLRHGDAHRVEAPATDSQPAAMSATARCLEATEGPGSGSSRRLLAFKRWLKQFDADGDGRISRRELREAIRRRGACVKAWCAIRRADRDRNGFVDDCEMESLIEFAENELGFSISNEPSH >Sspon.05G0000790-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:2094995:2097176:-1 gene:Sspon.05G0000790-2D transcript:Sspon.05G0000790-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIIVIAVVLVLDVLAFVLAIGAERRRSYAYVSVDSAGRPYCVYSSDASTAYGVSALLLLLAAQAVAMVATRCFCCGRALSPGRRRAWSGICFIVCWVTFVVAELCLLAGSVRNAYHTKYTSLFSKGPPQCAMLRKGVFAAGAAFTFLTALFTELHYLFYARAREAADVPPPIVGGIGMTRM >Sspon.06G0005240-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:15340284:15341445:-1 gene:Sspon.06G0005240-2B transcript:Sspon.06G0005240-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLDQLWDETVAGPRPDSGLGRLRKYSSFSPSSSSSSSFPPPAPAATAAAPAPAVTRSITIARPPSLSVDASPRAESYSSSVPSSPASTLDSPSATATTPKTDGWRRFRRKTKVSDGPEPAVGPRSPTVYDWVVISSLDR >Sspon.02G0011520-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:24739877:24744019:1 gene:Sspon.02G0011520-3D transcript:Sspon.02G0011520-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFGTLVASRLARSGRTLASAVAQGPMAQRTAPPLLSRLGAVARLLSTKPAAADVIGVDLGTTNSCVSVMEGKTPRVIENAEGARTTPSIVAKNQNGDLLIGITASRQAVTNAQNTIRGSKRLIGRTFDDPQTQKEMKMVPYKIVKAPNGDAWVELGGQQYSPSQIGAFVLTKMKETAEAYLGKTVSKAVITVPAYFNDAQRQATKDAGRIAGLEVMRIINEPTAAALSYGMNNKEGLIAVFDLGGGTFDVSILEISNGVFEVKATNGDTFLGGEDFDGALLEYLVSEFKKSDNIDLSKDKLALQRLREAAEKAKVELSSTMQTEINLPFITADTSGAKHFNITLTRSKFESLVSNLIERTRIPCVNCLKDAGISAKEIDEVLLVGGMTRVPKVQEVVSQIFSKPPSKGVNPDEAVAMGAAIQGGILRGDVKELLLLDVTPLSLGIETLGGIFTRLINRNTTIPTKKSQVFSTAADNQTQVGVKVLQGEREMAADNKLLGEFQLEGIPPAPRGMPQIEVTFDIDANGIVKVSAKDKATGKEQEITIKSSGGLSEVDIEKMVKEAELHAQKDQERKSLIDLKNSADTTIYSIEKSVSEYKDKVPAEVTKEIESAVSDLRAAMAEDDLEKIKQKLEAANKAVSKIGEHMQQGGGGSSGSSSSSSGGDQTPEAEYQDAKEAKINLIDRLLSFSGPSRLNAFLPVSNSRRTTPKLYTSLLSVNSYVCMYSGSRYPHVPWTTVTNCDLSFGARMDAPKSETLAV >Sspon.05G0005450-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:12306312:12308273:1 gene:Sspon.05G0005450-2B transcript:Sspon.05G0005450-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding METPSSTCDEGSELDARSQSDYADFDDLDRPPRGRHRREPSSDVSSECSGEPGSPYGASPYPRWPVCTLATRAPPPAAALLKRLSTTRRGGGGGGAGARDGKPGDGELQLIKERFSKLLLGEDMSGSGKGVSTSVAISNAITNLYATVFGSCHRLEPLPPEKKSMWRREMDCLLSVCDYIVEFFPSKEMLPDGTTREVMATRPRSDIYVNLPALEKLDDMLLEILDSFQKTEFWYVNDKGQKDDSVATPCRPPPRRGEVVAARACVTKPGLTETARRDLQQKRDCASQIHKAAMAINNAVLAEIRIPDLFKQALPKCGRASVGDLIYRHMSFPGKFSPEYLLDCLEISSEHEALEAADRVEAAMHVWRRKASQSHSRSPWSAVKDLMESDKNVMLASRAEDVLLCLKQRFPGLSQTTLDASKIQYNKDVGQAILESYSRVLESLAYNIVTCIDDVLFADEAARKIA >Sspon.02G0009330-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:24794208:24806594:1 gene:Sspon.02G0009330-2B transcript:Sspon.02G0009330-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGPDRRLQCRHPRDGRGAAAVVWFKHDLRIDDHPGLAAAVSEPRRPVVPLYVFDRRILAGYSDKMLELLLFALKDLKMALKSQDSDLLIGLGNAEDVVLKLVNEVTMQEEEVEYRVRDVLANVESSLSNGSFSWGSPPKIVAWSPLPTLEELKCFLKDIAPEDNWAPLKSMPAISILKKTISQRKIKSNATLSTSSGENIEDITMDSGSSRKRIINSMFASENSLEVRGGTDITLDALSAYLKYLEGTGNASWQELHDKVRLAETRYGASFYILFGPAIQLGVISRRKAYMETIQYEKDRNAGFLSPFGYSTPTVTAAVDAICSMEWYWLLASKSQVCVEGKYPIRIWRWKGHLVQYTFLGNEGPAVLLVHGFGAFLEHFRDNIDKIADMGHRVWAITLVGFGKSEKPNVNYSELFWSELLRDFIVDIVREPVHLLGNSIGGYICALAAGLWPSLAKSLVLLNSAGSVVPNYSFVPLSEGMKDPLTKSEAFVTMLREHCSKVQIRELNAGHAPHDEVPDE >Sspon.01G0037310-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:21060680:21061538:-1 gene:Sspon.01G0037310-1B transcript:Sspon.01G0037310-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLRPTPPCRLPAAHLPNTGDARRGQRSRSALSPPPQGTAPRPSYRREPHINSLVAAKPLAGAVQPPVRWHPLAPLRGIELCASVELRVDEPPFFQAASRCYAKSIRIKCCTWEVAGGVGDVRDGMSPLLGRSLTRRASTVRALAPQIGRLC >Sspon.05G0036050-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5C:83915706:83916359:1 gene:Sspon.05G0036050-1C transcript:Sspon.05G0036050-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSCAAALGPAAAAPTRHHALRITNNRLHFTLQRYDSDTRGWTMTPLCLHQPERDRVLPILDSATELELGFHDTTKVVVLASTTVGWVDLWRGILFCDVLDENPVLRDMPLPKPARSIRRSFCEVKIKYVDMEIRPGVVPSPQDHSGSGSSSDGEDDIDFAHYWTATVWSMPVPITSLNDWHKDFAIDVADTIVQNPRH >Sspon.05G0017090-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:71472241:71478307:-1 gene:Sspon.05G0017090-1A transcript:Sspon.05G0017090-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAAAIISRLGLATSGSGAGSRVDCRTPTPAVVFAAGPRARSVAVAAAASSSPTTGGVAPVPPRSNHSVIKRHTLSVFVGDESGMINRIAGVFARRGYNIESLAVGLNKDKALFTIVVSGTDKILNQVDDLSMEPQVERELILIKVNAEREKLPEIMGLVRIFKAEVVDLSDHTLTIEVTGDPGKMVAIQKTLSKYGIREIARTGKIALRRERMGETAPFWRFSAASYPDLEVAIPSNFRQNTGARAIDQNPEESSGGDVYPVESYESFSSSQILDAHWGVMTDGDPTGFCSHTLSILVNDVPGVLNVVTGVFSRRGYNIQSLAVGPAAKEGTSLITIVVPGTDESIAKLVHQLYKLIDVHEVQDFTHLPFVGRELMIIKVAANATARRDVLDIAQIFEAQKVDISDHTITLLLTGDIDRMVRLQKMLEQYGICEVARTGRVALLRESGVDSKYLRGFSLPL >Sspon.07G0005570-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:13082918:13084220:1 gene:Sspon.07G0005570-2B transcript:Sspon.07G0005570-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMESGGIKMRFGRCPYCRTMIYQNPEAVISFCSKCRTPIRGKNPVPTEEIDQALSRLEILSVDTASVFSDELDACPNKLAPGIDIDGDEPPLFHQDIIAPPRSSSPAPDEGFGSVRRRHSLNNGAPTNVRCDDGFVDSNDQDRLRPFSRRTRRPSGSDSDSSVLRYGMLMTTDSETEEEFSSPRNACERQRRRRRRSLLGSQAFETSIGLSGQEPYGVAPSPLRDPAFNNELVNALDNLRGLIAAIEPASSNRRASAPRDAHLFRRLESQLARALHIDGRGHHRNASSTGSSSSSSGGYRSERRKNHCRPVLGGAPFLVCGSCSELLQAPATTGLTRGKVVKLRCGGCKEVLELAVPAGVGGSAPHKKTRALSPQLPPQPLHRALGYSSPSPLLQSRRY >Sspon.01G0008130-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:22129235:22133897:1 gene:Sspon.01G0008130-2D transcript:Sspon.01G0008130-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding IVLLCAIEFHTLIFHIMLGDKYEVAKKVNIKLVNHRWLEDCLKAWKILPVSDYSKSGWELELMDAQAQAIDSEHEAEASYPGSLNNRPSVSGNREVVAGRNLNTPVHTINTSIQSPVHIMNIEDADSKTNDITGQDSPDSGLLASSAKADILAPIQSSGEKRDIVRNTNSLNLQEVKEKYAGARTQDLASGVLGPPSTSKMTVFRNHHVDTLNGTLGILKGHTDHVSGKYSASHDQANVAEVLLTSPLRGNQSVHDLDSSKVGRWQHQEKDGPSGIHIAAAGQLNADAKLNNHESNRKPGGDSMSNNIKNTSNDKKASRKSFLQEGHSVNHMASPQRAEESTLRGYPNISTLETGHQKVAEHADVQSIEGDENTKSEDGLDGAYAQKRKSLVSPASLNLQKEDLVSETGPLDSPFVCRLSDASETANVSSERINLVEANAVNLGKQHSSFSTSRQTRSRKTSLKHGGPISGIKLPEYSSSDKNVKSLRKARMSFKATAESKCTMSSSATVQDGKTSAGFQFQNKDGESTQPSGDALNEIGNACTKDQAHEKSVHSSSNSQVVPSYGNAGNRIADSVKVNGNEVAVASNSELEKVVFDATVKESTKQFQDTSRNVQAETSYSKKAPTTIRRNAGVKRHRSANIESEGSVINSDKKVVPESSPAKVIHHEHADPVSKNGYSAACAAELKTNSPKKAPICRVTNTVAKRTRSACTKIDDAWKIPNSRVRNRAAKRSWKSDTNMSNDTLTVKTETVAAGSLFDDWFPSGNVEDVDWPKKISSHASVNDCETLSPKTVSNARIGNAAAKRKIKTVEDKSGGKFGKISSAITSETKAFSSKRTEGIACNINKVTADPDSEKSNKDVRREVSGLFCQDSCTIDKQGPYNSKLRSSKRNKALTSAHEKDNRLGCSDLKCKPNRTGSLCSISDAKSMKKSTLVLMKDMDAILLSPIIRWILKREYLTSCIEAGKFVDEEPFEWFGTGFNDGETISFDAPRKWRNIRQQMGHGAFYGMQIVVYGQLISPTLDTVKRAVKAGDGAVLATSPPYTRFLDSGVDFAVVSETIPRTDAWVQEFIGHGIPCVSADYLVEYVCKPGQPLDRHVLFQTNHVASKSLEKLMKNQQEMATVKSEPSDDDEDDLEDLSCSVCSRKDRGDVMLICGDEDGETGCGIGMHIDCCDPPLDAVPDDDWLCPKCTVSKPKTKRTTRGTERKARGYRR >Sspon.02G0023260-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:78956774:78957771:-1 gene:Sspon.02G0023260-1A transcript:Sspon.02G0023260-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIRSVVTYDTMISGLMWNDLMDVALEVFDGMPGPDKVSWTALIDGFVKNGRHDEAIDCFRAMLLDGVKPDYVTLIAVVSACAEVGSLGLGMWVHRLVLRQGLERNVRVANSLIDMYARCGQVNLAAQVFRSIRKQTVVSWNSMIVGFTANGRCTDMIELFEEMRRQGFKPDAVTLTGVLTACSHAGLTEHGLRARCPARIEHYGCVVDLLDRAGRLDEAMHVVEMMPIRPNEVVLGALLAGCRMHGDLDMAKQLMQHLFELDPGGDANYVLLSNIYGTVGKWDGAGKVRSLMKTHGVKKRPGHSTVEIDGDVHEFVSSDRSHP >Sspon.08G0002710-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:7845985:7852175:1 gene:Sspon.08G0002710-1A transcript:Sspon.08G0002710-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding PHRTARSGVEHTGVQRGGRPRAAPSHLLRIQLEGLGLLLRIQLEQLIHAFSGSRPPSISLSLGSGCGLARPLNLAVLSGLALITILRIFAAAAAASSHRRRRRRRLIRFPCYPLPSHLFLLGRQPQRPLVTRSPKIGRDYPNGRWTPLAIRRRTRRGTSGHGRGGLPEDVLMKIFATLEVPDLVRAGSVCSSWLHAYNCLRKLGASIQPQTPSLIYTNKSSGASSAGFYSLVENKPYTFTLPDPPIRSRYLIGSAYGWVVTADERSELHLVNPITRDQIALPSVTTIEQVKPIYDSNGAIHMYKYSRYTGTHGELDPPSVLRLDKLRDYIFLKAFLSSDPSTGNYIVVLIHHPYCQISFARGGDEHWTWLPYHSYYTDCAFKDELLYALLVDGGIHAYNLYDPAVKPRVVMEGLKGCLPPKVYIAQAPCGDLLQIWKVSEYSDQEVEDVSEPGLDPPDDDEYFSVSEDESESEVDTVPYRHYTTVFKVYRVNLTAMKLVEISCLGDNILFLGLNQSICLCAKGYPQLKGNHVYFTDDDEYMSYGFKNKGRKMGVFNLGNKISEKIVSPRIWSNWPAPIWIVPNPRKMNSVLLSSVDQRTMEGPFKLNPNACPLRPGSLSSFADKAPEKQAESSSKGDPSGGSLSSFADKAPEKQAESSSKGGPSGGTFDPSQYEENDMDPLVLAEMLFSMFPNVSTDFIDELLKANDFDINLTVDMLHDDAEDMNGLHDSQGLPDGDYHHAEVSESSSNLNQDLQNEKSATTSDVKSVLPKLSNISLLHNDLGLPDDDKSAGTSVAKSGLEDKAVEAMVPFAFAGLVCACVVSEKSLVPFSQGTAAVT >Sspon.07G0004460-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:9834850:9840665:-1 gene:Sspon.07G0004460-4D transcript:Sspon.07G0004460-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MIDHDQETVRRMLVDNPDVTRALFRAQVVLGMVKTPKTAQSSDMVPPTAVPTAPSSVKTTAPDHVSLPPPPIPANQQSVAQLSAPFPSGLSNVGSTMDIPTISANPPQPTQAKGYPIHQMSSSAPLPSQHPNMALPHAPPQYSNLPSHIPIPGANPQTNFLPGQPPLPSQPPPQQLYQASSHYNTQSTTPMQVDRSPWGRAPEGPTSGSHFPGQLPGLPGQMAQGIGGIQAGQAPLTPEMEKMLVQQVLGMSAEQINMLPPEQRQQRPTAIASFETFFIKQYTVDYYPGRNQL >Sspon.02G0007880-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:22258494:22261325:1 gene:Sspon.02G0007880-2B transcript:Sspon.02G0007880-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGDHGGGHAGGDFRQKVWSMTGGPYCRPVHWRRNTAIAMFGVFLICIPIAMKSAELEQRPHHPVRPIPSQLWCKNFGKKEY >Sspon.01G0023590-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:84866498:84870560:1 gene:Sspon.01G0023590-1A transcript:Sspon.01G0023590-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGERNGPAVEYVVQSMKNLDKNCRICVEWQEHYYWNHMADDKKHFFKPMVGDFTETMSIPARFANNFNGHISEVISLKSPSGKTWSVGVGGDTDGVVLQSGWKEFVSAHSIDEGDCMLFKYTGVSSFDVLVFDSSGCEKTWPHFTHNRCHGYERIESSVGREGPRLGCRRFKGGQDCTCTPQSLPSDGDDGDGEDEYAHLELALHKSTSRSIPKRCKRKLYRDIEQVHGEVKDDDEDDAELHHDGGESERPAKTGYYFCKNGPVSEYHLTEQEREEISSIRVPEEPRNPVFVQVMHPTHLRSTKPGVVVSNLPGSLLKDITTTTRQKLDLLITLLVSQGISSEFADRYLGTTSRDVILERSGSKGKWHVRFNRNRFGRGLTGRGWSEFVGDNGLLCHDVCLFELMVNGKRRPTVTVHVLRKVRGAFVLLR >Sspon.03G0007360-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:291878:294664:1 gene:Sspon.03G0007360-2B transcript:Sspon.03G0007360-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHRVKCMLLLLGEEADSFSKRAEMYYKRRPEVITQVEEVYRAYRALADRYDIMSGELHKANHTIATAFPDQVQYAMLEEEDDNIPKAFTPVDPRKIHKSTVDGLMKKKKGEHPGSMVGEQRIENAREEISRLQKAILAMQTEKEFIKSSYESGIAKYWDLEKEINDMQEQVCHFQDKFDESAVIEDDEARALMTATALNSGRIGKSQGFQREAPGYNEQTWQSLPDSLDFSEKNTRKNHGAEMENVCHVKQGAIDMESIIDKIKEHFERDCNISMAEVTERIDEIVNKVVDLDLMVSSQTSQIERLCQENNELENSLQNLEDENTELASGSSELIEKLRQAEEELVRAQALESSFHKDESTIRSNFIEAISRFHDLSELLLSPVCEDHTDSASAAHTSHEGPQVESKEPSSNECCDMEKVGLQIAEPHAGNARPASGVGKLDDPNDALVAANDESSGSTKCSEQQLDVGQDKISRERGSLVRLRHISSDNLGGCDEQEEMNKEGLSSSADAVTDMMKLKERLTDSLDDKEKVLLGEYTSLLEDYKDAKRKLAEMEKKNQDCLNEILKLREEITSSAGGGGSEGTCKRSSSSFSRRGHRRTPSYSSIHQRRPSVSSISRLIRMGSAIQEGGEPAGSGKPSDAAAEQGGISLEDLRLPPIAEAENASPLEEKFRRDIDTLLDENLEFWMKFSSSLQRVQEFQNKYDGLQRKLQLINNEDGKQDGATEKQLRAFKTELQVWSEQNAMLRGELQCRFTSLCDIQEEITAALDTEVQFTSYQAAKFQGEVLNMQQENNRVSDELQAGLDHIKGLQAEVEQALAKLHRSVSLPPQPAGAGAGAEDDDSSSPAHGGSNLGHVPSNKSKAVPLQSFLFPAKKTSLLARVTPVLPKQPVDMKFLAKLPR >Sspon.05G0021180-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:89231407:89233811:1 gene:Sspon.05G0021180-1A transcript:Sspon.05G0021180-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MANGNWFGSPTMQQKCRSATICWWAMYSLESIGNQLSHAVQLLYIDVFFFYWEMLDELI >Sspon.03G0025980-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:2834633:2837737:1 gene:Sspon.03G0025980-2P transcript:Sspon.03G0025980-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATSSRRPAALLVLVAAAAVVALAAADDVEVEGRYPPLAPGLSFDFYKKSCPKAESIVRDFLTSAVRQNVGLAAALIRLHFHDCFVQGCDASVLLDSTATQPSEQLSPPNLTLRPAAFKAVNDIRARLEQACGRVVSCADIVALAARESVALGGGPAYKVPLGRRDGLAAASNAAVLAALPAPTSKVPTLLSFLAKINLDVTDLVALSGGHTVGIAHCSSFGNRLFPTQDPTLNKFFAGQLYGTCPTNTTVNTTVNDLRTPNTFDNKYYVDLLNREGLFTSDQDLLTNATTRPLVTKFAVDQNAFFEQFVYSYVKMGQINVLTGSQGQVRANCSVRNAGAGGDELPWSVVETVVDAAGSLVL >Sspon.03G0003230-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:8171303:8173159:-1 gene:Sspon.03G0003230-1A transcript:Sspon.03G0003230-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAAALIGPPIAFIADAKLPPKPIRSSSPDHSSDENSPSPMDEDSPAPGRTTTEEVVPRSGRPLAYASSGDPCVDFFFQVVPGVTSDADLAVLLEAAWSHDARTALKLVCHLRGVRGLGKSDRDGFYAAALWMHARHPLTLAANLANFARFGCLKDLPEILYLVLHGPRDEDQDQRKGDNSRHPVKRRRGVSEAQAAKEKLNKEAELAQVALARYASDEAFRHLYDRVADTFAELLKSDVEHLRVGDTTKIGLAAKWCPSLRSSYDRATLLCEAIARRMFPRESRQDYLNLSDKHYSYRVRDRLRREVLVPLRKALELPEVYMSAGKLDDLPYERVASVAMQRYKEAFQKRDKPRVAGFFDEVRTGHARMAAGAVLPHELIAAALKGEQDDAAELQWRSMVYALSTEGRLDNCIAVCGLMTGTAATDPAVSAAVALGLLISELSQDPWKGRVITFDETHQLHKLHGANLKEKLQPLVATLGTRKKGANLQGVFIKILSTAVAGGLRSDMMVKRVFVLSDMDFDGWAGPAAAWDTEYQGISSQFMDAGFTAPEVVFWNVGTSKASMPVVAAQKGAALVSGYSKNLVRLFLEADGNLTPAAVMADAISSSEYDALEVFD >Sspon.07G0000170-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:296944:302813:-1 gene:Sspon.07G0000170-2B transcript:Sspon.07G0000170-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCLPSKLNPVILPLMAAIKREQEEVLQDKAADALAELIFSCVGRKPGPNDKLTKNLCTLTCTDASETPQAAIINSMQVIEDQNLLSIGKRFSSHRSRGHTTSGGDERSKMEGFISRRGSELAFKHLCEKFGPSLFEKLPKLWDCLTEFLKPVKTEDGIPKDDASIAQLGRSYEDKDPQSLINNIQVVRSISPHLAEPLRPQLLNLLPCILGCVRHPHVAVRLAAARCITSMAKSLTDDVMVLVIENVIPMLSDLSSVCARQGAGILLSLLVQGLAVELVPYAPFLVVPLLKCMSDPDGSVRQTVTHSFAALVPLLPLSRGASLPGGLSERLSSSAEDVLFLEQLLDSTQIDDFKLNIDLSVELRRYQQEGINWLAFLRRFKLHGILCDDMGLGKTLQASAIVASDIAEARARNDDKSLTSLIICPSTLVAHWEYEIEKYIDTSILKPLQYVGSSQDRVTLRSQFDKVNVIITSYDIIRKDIDFLGNIPWNYCVLDEGHIIKNSRSKITSAVKQLKAQHRLILSGTPIQFQAMYGKPLVAAKDSKCSAKDAEAGILAMEALHKQVMPFLLRRTKDEVLSDLPEKIIQDRYCDLSLLQLKLYDKFSSSNAKEEISTIVKANESQESAPQPKATRHVFQALQYLLKLCSHPLLVTGESPPNHLVDLLKEIGVGSGSELHELHHSPKLVALQEILQECGIGSEISSPDASTAVGQHRVLIFAQHKAFLDIIEKDLFQSHMRSVTYLRLDGSVDPEKRFEIVKAFNSDPTIDVLLLTTHVGGLGLNLTSADTLVFMEHDWNPMKDLQAMDRAHRLGQRKVVNVHRLIMRGTLEEKVMSLQRFKVSVANAVINAENSSLKTMNTDQLLDLFTSTPASRKASVLPRGSSDEQSKDSKRKSGGKGLKSILNGLDELWDQSQYADEYDLNQFLAKLNG >Sspon.05G0005000-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:49917247:49924185:1 gene:Sspon.05G0005000-2C transcript:Sspon.05G0005000-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAPEKRPPRSLFEVASDFFDSSVLLRAHPSTASSAVEPSEPSRPPPPPTTQQQQQQLSEASGSRWTCNTCAAEFESLQEQREHFKSDLHRLNVKLSIAGKTIIKEEDLEKADSDSLFDDLEISSVSGSEDEQENGPASERVLSVKGKEEFRKKLYFRCASGDTISIYRCMLLKEHEEPLIDCKSGQMENASCVQEEEMINRVKRLTSEPRDASHLRIVLLTSGGHFAGCVFDGNSIVAHKTFHRYVVRAKAGKRQSGKDATGKVAHSAGSSLRRYNEAALKKEVQELIVSWKSYFQTCVCAFIYAPSKNRQMLFDGEKTQSVIQSCDIRPVPLTVHRPTLKEAKRVYCNLTQLYYEMECSTTDETLLDAGSVKNVEESQGKKKEVAADPEESISDLSASLELLNKNEAATIPSSKNETTPLHEAAKCGNAQLTLELLEQGLDPCIKDARGKTPYSLASDKEVRNTFRRFMALNLDKWDWHAADVPSALTKEMEESQAAKQADKDAKRKARAKELKKLKKAREKEEKEKEKAKAQASQSQTDVKGTSIGQMANRTASVPGLKPKHQTPQQILIAKEEERQRKLAEDRDKRAAAAERRLAALAAQSAGAGASGAAATNCSAQRAVPDDNSCSCCFASLAGKVPFHRYNYKYCSTTCMHLHSEMLQDD >Sspon.05G0008910-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5A:26260024:26265577:1 gene:Sspon.05G0008910-1A transcript:Sspon.05G0008910-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGSCLHFFNRVLNAYDTGKRKRRRIIATGDICDGVANGNSRWHKTGSSKPVLDENGVRKGWKKILVLYKAPKKVGGKPQRKNWVMHQYHLGVNEDETNGEFVVCKVFYQSPLKKNDKSKTDVAVESDASVAKINPRTPMTNPPQPRRLNNSPCNTEQYTPIQEDQGEEECGTSKMKVEAAECSACFAELSPAMPTSHELQRTPMTDPPQPHRLNNSPCNTEQHAHIQVDQGEEECSTSKVKVEAAECPACVAELPPAIPTSDEPMQPAVALDAGLDASLPVDGPSMDLFDGLPDLDSTLPFTGTPSGGGISLDAANLKLPSSLRRLEQQIPSSNPATGARRWQISVSNNQATDAQRRQISASSPTTSTWRRQISASSPVTSAERWRITATRPIIGAPDVANLGRLSHHQRPGTVDLCCPSDHRFSKKADLSHSYSNQRLEVALGDDLDDHEVRVSVLFPYKFFVTTQYNIDTHNAHTQTPTPMSIFKDWAGKSSRLTKLRESILGVATYMAGQLKRSYLDRVPELGEVKLIKRWWCRSPHRILGLLRCRPLDRVKVVV >Sspon.02G0028600-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:125781182:125785513:1 gene:Sspon.02G0028600-1P transcript:Sspon.02G0028600-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFAGRVSALLFLLVAGAVANDQIFTTSGVTFGRSSREPRYRVEFHPVDSPFHPENGQESVPMANHEGKRYKCFLPVEETKTMKSMLPQNATNVIVESERRIKPKEPDELLEVLKDQCLYRHEGWWSYEFCYHGKIRQVHVDDDKVIQEFVLGEFDDDATAAYHENSTSELADDNHQAKDISKRYHVHLYTNGTVCDLTDIPRETEVRFVCSEPIVLISSIKEISSCKYVVTIQSPMLCKNPLFQQEKRTLSIHCNELPAKAESSAEDDALPKEAQISIIPDQDDLHGFRAYAT >Sspon.06G0007810-3D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:76596202:76597879:1 gene:Sspon.06G0007810-3D transcript:Sspon.06G0007810-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIDSGGGGGGGGGGAKRQRVDELDGRCEAVGSDAIPVERISALPDELRLRVLTHLPLKDSIRTGALARGWRDLWRGRWAHRASLEVHIRSRDAKQRELDTLAREPRPRRRLERFSLIVEISSFKASELRRFLDYTTECGVEDLHLEMDRTTLADTLKFHLPLSCPSLACLSLRYISVSSMYYKGARPFLALVVIRLISVSCAREAFKKMMALCPNLLTLDLRSCRCNGYGWEFDRLPPNLRSLTIADCGRITSLDLVRVPTLRSFRYSGCSSNLPFSIPLDAALSDLYIQLYSYDSVPRNECNIRIPLNEWNIDKLRRSLPADLSNLNVLTICYKALMGASVLSADRASARLPNFTLHRLKELHLLMLEEKAVNLSNLYLFLRTFQCPNLERLFVQLPAYRCKPMEGCIDQVREEPPEDGLHNLVMVKVMNFNWIPTEVQLSIRLVHQKQAFRSSKKLWSMAR >Sspon.03G0033430-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:54451856:54452977:-1 gene:Sspon.03G0033430-2C transcript:Sspon.03G0033430-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGNKDDAAKCLRIGKGALDAGDRARAIKFLTKAKRLDPSLPIDDLLTSLLSPEDDSPASSSSSQPPPPPPETAAAGASEAAEADGLRERKHKGKKREEEEEATPAAREYTAEQLEVVRQVKKHTRDYYQILGLEKDCSVEDVRKAYRKLSLKVHPDKNKAPGAEDAFKAVSKAFQCLSDAESRKRYDLVGSDEPVTHHRRASTARAYNGFYEDDFDPDEIFRNFFFGGMAPATTRQFGQFGTFHFRTGGMHAHAAQNSGGSTVRMLIQLLPVLLLLLLNFLPSSEPVYSLSRSYPYEHKFQTPRGVAYYVKVSNFEEQYPHQSTERAMLERHVERDYYSIITQNCRVELQRRQWGLSYQTPHCDMLKKFEATA >Sspon.08G0004000-1T-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8C:11561916:11562653:1 gene:Sspon.08G0004000-1T transcript:Sspon.08G0004000-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEFVVALTAPGLTSKSFTSQQKFAANIAVSLLRLSQVPDWLTSLFDGHLISGIVANLSARNVTAEIVNLFSELMARKYLSQEHIVDLHNLFQVCRRQVYEGSSKAPLPEQRVEKVARSTNDVLASLFAQMNQCSDSGTVEGEQQRLLRAIDLFFQESSRREQQCVTAPSPPQRQDTTMPGDYRAA >Sspon.01G0037890-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:26581494:26583489:-1 gene:Sspon.01G0037890-1B transcript:Sspon.01G0037890-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRAISGMFRNRLIFYDAEVHDVSHPLLKSLGVKNLPAVIGRTVNGEELLLKDGISVKDLRSGIKELKTLLESFEKKNKKLSSNQANKKPSSQSEENKVPLLTASNFEEICGEKTSVCIIGVFGSNKAKGQLETVLSEISKKTLIRGQNYNSRNAVSYALLDKDKQSAFLSSFDKSRYKSSDRLLIAYKPRRGRFAVYDDKVTLEEAERFVGSVLNGDVQLSPTKQKPVLR >Sspon.06G0013400-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:70144979:70149905:-1 gene:Sspon.06G0013400-1A transcript:Sspon.06G0013400-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNIIAIAKQQAVSAAGELLLQVRRDDDVGGGVGGAGEHPAGGDLVVVEEALVGLVDAAGDDLAGAGEHPAGGDLVVVQEALVGLVDAAGDDLAGAGGAGPRAAGVGQLDAFLLGLVQDVHVVGDLQLHLPSRRDELHVVACARSLAPRAGLAAGSATTVATGAAARPREKAGARRLLLRAVAIGL >Sspon.02G0047930-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:13712918:13716489:-1 gene:Sspon.02G0047930-1T transcript:Sspon.02G0047930-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLVSSPSFRSVLSCSEGQAQSGEFLDGLLVDFMLSEEEKKRQADASSYFATEDEGKYDGDGSCREEEEEEDSMISDAASHAPWPTAALLPGRYKELKKLKKKPFSKAWIMMVSVVSQLELSPKRRCNIRDLTKILFCMKEVGIGDDHGGEGMHCTDVAMEGARFGDQSQTED >Sspon.06G0023160-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:39627053:39627850:-1 gene:Sspon.06G0023160-2D transcript:Sspon.06G0023160-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPGTPSEPEPTPSDGSRDWSELPLDALVLVFARLGPVEILMGSGLVCHSWLQAAKEPSLWTSLDMSNHRAIEEMHAGVLRQMARVAVDRSNGRLEAFSAKYFVTDELLKYISGRSSSASLRSLSLASCNEVTNKGFTELVTHAPQLEDLSLELCPNVGGRHVFESAGRACPRLRRFSLRRECFRFSLNYSRRTAEALGIAAAMSELRSLTLVSCNVNNDELAAVVEGCPRLETLCLRDCYKVIADGSLRAKCAGIRTLTLPDSR >Sspon.05G0019820-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5B:86983274:86985593:-1 gene:Sspon.05G0019820-2B transcript:Sspon.05G0019820-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMFQIFGISLRFLKLAVEPKLDEWMKRTTCEHLHDPAVRIAVVGEHPYHANAYHSVFEAIRHASVACQRRLVVNWFPASDVEQETADKVAVIEFARSNLGLKDANSGELDAGARHPCLILMPEVNPEMVDKLESAGVSFVGMDETGQRMEILELPSHPYFVGVQFHPEFKSRPGKPSPLFMGLIAASSGQLDAESTSLGLGNRPIPMKVMSGSSFGYPSGREDGLL >Sspon.04G0029860-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4B:74443701:74446924:-1 gene:Sspon.04G0029860-1B transcript:Sspon.04G0029860-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRAAAMLLVALCATVLSAAAAQTQPCAAGDLAALRGFSAGLDAAVDGWPTAANASDDACCDWPGVTCGEAAEGAAVVVGLALPNRTLRGQVSASLAGFTALRVLNLSSNALRGALPAGLLRLRSLEVLDVSANALAGDLAAAGTIDLPAARVFNVSYNAFNGTHPVLPGARNLTAYDVSGNGFAGAVDAAALCAASPAVRVLRLSMNRLSGAFPAGFGQCRSLVELSLDGNGINGVLPDDLFGVTSLQFLSLHTNSISGGLSPLLRNLSSLVRLDFSFNALSGPLPDVFDALTGLQELTAPSNRLSGELPATLSRCRRLRVLNLRNNSFVGDIGLDFRALRNLVYLDLGANGFTGPSGEPSRVQGMTALNLGRNKLTGEIPASFANFSSLSFLSLTGNSFSNVSSALRTLQGLPNLTSLVLTRNFHGGEEMPSDDAGIAGFPSIQVLVIANCELHGAMPSWIADLRKLRVLDLSWNRLAGPIPPWLGQFDRLFYLDISNNSLQGEIPGSLTRMPGLIAGGAHGDGDDDEAQVHDFPFFMRRNTSVQGRQYNQVDSFPPSLVLGHNNLTGGVPAALGALTRVHIVDLSWNRLSGPIPPDLSGMTSLESLDLSNNALSGAIPASLTQLSFLSHFDVSYNNLSGEVPVGGQFSTFSRGDFQGNPLLCGIHERSTSAGVVAAISVATALLVAVAVAVTWRVWSKRQEDNARVAADDDDSGSLESAAKSTVVLLFPAGEEEDSSGDSGERTMTLEDVMKATRNFDASRIVGCGGFGMVYRATLADGSEVAVKRLSGDFWQMEREFRAEVETLSRVRHRNLVPLQGYCRAGKDRLLIYPYMENGSLDHWLHERGGGGALAWPARLGIARGAARGLAHLHASSEPRVLHRDIKSSNILLDARLEPRLADFGLARLVLPTDTHVTTDLVGTLGYIPPEYGSSSVATYRGDVYSLGVVLLELVTGRRPVDMARPVGGGRDVTSWAVRMRREARGDEVIDASVGVGERRHREEAARVLDVACACVSDNPKSRPTAQQVVEWLDAIAASASPAPGATDTEHT >Sspon.08G0003410-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:9092874:9099567:1 gene:Sspon.08G0003410-1A transcript:Sspon.08G0003410-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADQGTTSPILDPLELRFGMTESCPLDSSCLLHCSRGGGGCGSWAAAGRPGILSPAALPPASSTGLFSLMSFDPSCLSWLSELSPFLSSASTRAAAVGLGQAPFAPSLLLSTPTMPSPSPSPCSSSSAAPTSRTCHQDTNIIVDNKGCIKLADSRAPLLQNSNFTYKCLSGGSRAPVKPNTTSSMSLSSEAVGGAAEGGGSFGAGEDSPHGRCKKEKGDGEESKALDKGEEDTDKGKKDCIFKAEKKKGEREKHLGMNDGDCIAQEDRDIGAGV >Sspon.05G0015970-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:59775799:59784708:1 gene:Sspon.05G0015970-3D transcript:Sspon.05G0015970-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MELAVAALGSLLPKLATLLTDEYKLQKGVRGEIRFLQAEMESMQAALNRVSKLPPQQIDDLHNIWVRDLKELSYDIEDSVDSFMLRVDAPGCAKPKSFRRFFDRTIGLLTKAKARHHIADDIQDIKRRIHDVSERKDRYNSLDVVQPQTIDIDPRLPALYEDAAKLVGMEALHRRGQGVQKEKLMVVSIVGVGGLGKTTVANSVYERLGGQFQCQAFVSVSLRPNLKQILCSILRQVSEDTCTNAGEKDPDELIKSIRKFLVKKRSLIAFDDAVQWMPPMSKFPLLRVLALKTRSSNNIHANDLGSMYHLRYLELGGELETEVLEGIANLKLLKTLDLWGPFKGELPASICHLRQLECLLPYSTVKFPDEVGNLTSLQVLSQLDAEESPNALPELGKLTKLRELSIIGLHERSDVKTILQGLPDLVNLRTLILDGDGTCSLDCMPNQWRGPAHLQSFDGNDLTLSQVPRWFSFLSELSSLSMWVNLLGQEDLELLGALPMLRFLQLKVEPSGTTTEEQLVVGADHRFRSLAEFEFRHFTRCWLEFARGAMPKLQRLKLHFEVRKREGGGFDIGLENLASLKHVTVRVGCMGARIKEVEDVEGKVRDAVDMHPNHPTLELSRIYEGAMIKDEDKDGSEVTEESIKYEVINENDV >Sspon.07G0006790-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:6269349:6272744:1 gene:Sspon.07G0006790-1P transcript:Sspon.07G0006790-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGEVPEPRRLSRALSFGCGAVPEEALHLVFGYVDDPRDREAASLVCRRWHRIDALSRKHVTVGFCYAVEPARLLARFPRLESLALKGRPRAAMYGLIPEDFGAYAAPWVAQLAAPLDCLKALHLRRMTVTDEDIAVLVRARGHMLQVLKLDKCSGFSTNALRLVARSCRSLRTLFLEECTIADEGSEWLHELAVNNSVLVSLNFYMTELKVEPADLELLAKNCKSLISLKMSECDLSDLIGFLQTSEALQEFAGGAFFEVGEYTKYEKVKFPPRLCFLGGLTFMSKNEMPVIFPYSAMLKKLDLQYTFLTTEDHCQLIVKCPNLLVLEVRNVIGDRGLEVVAATCKKLRRLRIERGDDDPGQEEQGGVSQIGLTAVAVGCRELEYIAAYVSDITNGALESIGTFCKNLYDFRLVLLDKQNKIADLPLDNGVRALLRNCTKLRRFAFYLRPGGLSDVGLGYIGLYSGNIQYMLLGNVGESDNGLIQFAMGCTNLRKLELRSCCFSERALAVAVLQMPSLRYIWVQGYRASQTGQDLMLMARPYWNIEFAPPCPESAYRMMADGQPCVDTHAQVLAYYSLAGRRPDCPQWLVTLHPA >Sspon.01G0030440-2D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1D:104362762:104363001:-1 gene:Sspon.01G0030440-2D transcript:Sspon.01G0030440-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIDGHFLTVEVSRSENAESMQVLRYEIGQKYDAHFDYFHDKNNVKHGGQRFATVLMYLTDVKKGGETVFPNAEVHTYF >Sspon.01G0004310-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:11265760:11271745:1 gene:Sspon.01G0004310-2C transcript:Sspon.01G0004310-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAATISLLQHVRPPASGGTLLPLQRLRPAHCRRSAPASHHSSLQRRLRLRLPTRGAPAARPRATADPSPASTALDAAAGEGTSGAATALLDVSGMMCGGCAARVRAILAADPRVETAAVNLLAESAAVRLRAPAPPGAGEELAARLTECGFPATARRGGAAAGAGESARKWREMAARKEELLARSRGRVAFAWTLVALCCGSHASHILHSLGIHIGHGTFLDLLHNSYVKCGIATAALLGPGRDILFDGFRAFKQGSPNMNSLVGFGSAAAFAISAVSLLNPELEWNSTFFDEPVMLLGFVLLGRSLEESARLKASSDMNELISLLSPQSRLIVTSSSDDPSADTSLNSDAITVEVPVDDVRVGDSILVLPGETIPVDGNVIGGSSFVDESMLTGESLPVAKETGLPVFSGTVNWDGPLKIRATCTGPSSTIAKIVRMVEDAQAREAPVQRLADSIAGPFVYTIMTLAAATFSFWYYIGTHVFPEVLFNDIAGPDGDSLLLSIKLAVDVLVVSCPCALGLATPTAILIGTSLGAKRGLLIRGGDVLERLAGIDALVLDKTGTLTEGKPVVTSIASLVYEETEILRLAAAVEKTALHPIANAIMNKAELLKLDIPITSGQLTEPGFGCLAEVDGSLVVVGTLDWVHDRFETKTSPIELTDLRNRLESMLSSDASSSNQSKSIAYVGREGEGIIGAIAISDILREDANFTVERLQQESITTFLLSGDREETVTSIGRTIGIRDENIKSSLTPQDKASIISTLQGKGHRVAMVGDGINDAPSLAAADVGIAMRTHSKENAASDAASVVLLGNRLSQVVDALSLSKATMAKVHQNLAWAVVYNIVAIPIAAGVLLPQYDFAMTPSLSGGLMALSSIFVVSNSLLLQLHGSFQNTEK >Sspon.01G0052100-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:54590556:54593713:1 gene:Sspon.01G0052100-1C transcript:Sspon.01G0052100-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKHQGDRSTTSGADITCVRDLLPFLFHVRVTYVSAKKNASTSTTKPVDPSSGQPEHRRSLLLIAPLRAQARLEGFVTDGGYACACPASACCGYRGKVFLLRAAQVLSALQFEKHAGVESKNQNGHILLPNGKSLYDLFHELRDVPAEAFAEEFRAAAGVPITVPAAEASSAPQGQQAGAPASWEPNGVQVDGVTAETPSARAPGRGDVVMLTEEEKASLCPLGSKESSSTTESDTMCGVEGPATEQIKDEAGGDPVMHDVKVQVTETKYQLDSGLRDVRGLLSTGLLEGFRVTYRKDEVENVGRINGQGYSCGCPECNYSSNIMNACEFEAHSGQSSNNQNDHIFLDNWISLFRVVKALKPCKLNMLGDFIEEKIGFTPNLDEYNKWKASFQKRKDYSDAVASDGCSTQSSRGSAAGEMIYSLRNYLKESASNTISNLNWSVSKRRSGRQFRQGGTETSTPTFNGSPGKGVSRLSIGTSEKKGTEETRSENTGGPLSIDGVKSDSPVPTAVSPKYCKHDSTNLGLSLSSPVTIAQGPLPNYSIDSKSKESKTRDTTLHPLIFKEGGLADNTLLTYKLKSGEALKQGYKRGTGIICNCCSQEGLIILHEDQLMFLLFSFDSSLLLHTLKNMLAWGEDGN >Sspon.05G0036870-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:5388468:5392280:-1 gene:Sspon.05G0036870-1P transcript:Sspon.05G0036870-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQWAPVYVKDSFWAGMSVTDRSDSVTDYFDGWLMSGTSLKMFVEQYEEAVKGKLEKESYEDLRSAQMRPPMVTGLPVEDQAAKVYTAEIFQKFFNEIGHSFHCNYSILERNDSMVTYIVSEHVNQTNKVDYKVAYDNVQGDIWCLCRLYQSKGILCRHALTVLRQELVPTIPQKYIIHRWCKDCKQTCASISQPVSAGNQELGSYDDLYKLGHQYFAEVVEFGSMNSESKEYALSIMREIRDKVISYEKSLRDQRVDSHVSTANFAYNPVNEDFTDDALPISLSTKGWDLTQGQSKRSRKKKLATPTVLDTLKKKTKRAYNKRRNATANTLNTAATVTDNVTDGTNVQQNPVNEGWPLMSTSAPETFPYGVENISFDLSQYNNAPSFHWPESSSRSQLHYFIGRTLETILFKS >Sspon.02G0015690-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:42830412:42835208:-1 gene:Sspon.02G0015690-1A transcript:Sspon.02G0015690-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHPRLVLPSPKPLLPAAAIATPSRRAVAVRAVLSTASPPTKAAAGAEAVRSIRARQIVDSRGNPTVEVDLVAGDGRLHRSAVPSGASTGIYEALELRDGDKAVYGGKGVLQAVRNINDVIAPKLVGVDVRNQSDVDAIMLDIDGTENKSKLGANAILGVSLSVCRAGAGAKGVPLYKHIQELAGIKELVMPVPAFNVINGVYHVLKGIIKSKYGQDACNVGDEGGFAPNVQDNREGLVLLMDAIEKAGYTGKIKIGMDVAASEFLTKDGSYDLNFKNQPNDGAHVLSAQRLGDLYRDFVKDFPIVSIEDPFDQDDWSSWASLQSSVDIQIVGDDLLVTNPKRISEAIGKKACNALLLKVNQIGTVTESIQAALDSKAAGWGVMVSHRSGETEDNFIADLAVGLASGQIKTGAPCRSERLAKYNQLLRIEEELGDVRYAGEAFRSP >Sspon.01G0033660-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:113173767:113179189:-1 gene:Sspon.01G0033660-1A transcript:Sspon.01G0033660-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPPAPAAADSSSPDPTPQDQAPPSPAKRSAWKHPSPNGPAVMDASHWPALSDAAKGLKLPDSSAPSPAPVAAPSAVANSSSSQKHGGHHGRHKSARRGGGGGGGGEHSPRDHPDRSAAAGWDHAGGGGGRGAQRNHNNGGGRRGNGAAASATGPSHHGSGAGGGSGGGGGGGGGFGARRRGAYEPPFYRGPPPPMGMGHYMRGAPPPPPPPPMTVAPPFMGPPPPPVSPMRPFAGPMVFHDMPSPVSPVSPIYFYGPPPPEALRGLALAPPMVGPPAYPYFQAQPEPQPEPEPQPDPEPDAEEERVKLLKQIEFYFSKENLCSDVYLRQQMDGQGWVDISLIAGFKKVQGLKKDLQYIKETVQSSSILEMKDDKIRKHNDWEKWVIPRESNPDAPSSSASVPGPNVNNLTAHLGGMRLHESASSTGTVEPNHHDVIQNGSPSGNEEAPVAEESSGQQ >Sspon.03G0024620-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3A:74784329:74785979:1 gene:Sspon.03G0024620-1A transcript:Sspon.03G0024620-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVSPFVALLLVALLSLLLFATRRKGSPSSRDGRRLPPSPPGLPLLGHLPLLGSLPHRKLRSMAASYGPVMLLRLGRVPTVVASSAAAAQEVMKARDLAFASRPRVRMAERLLYGRDMAFAPYGEYWRQARRVCVLHLLSQRRVHSFRHAREQEAAAMVGRVRRAGAGGRGAGAVNLNAILISYSNGVISRVVFGNDGRSYGLDGGDGEMLAELFADFEELLGMVTVGELVPWLAWVDTLTGLDAKVKRTSGEMGALLDRVITDHRQRRRGNRRPPEEGDHRDFVDVLMDVNEAEEAGGVKFDNVAIKANILVLFAAATDTTYTTLVWAMAELINHPNEMRRVQDEIRAAVAGSGHDVVTEDHLDKLRYLRRVIKETLRLHAPLPLLLPHETMEDTELLGYHVPAHTRVIVNAWAIARDPATWERADEFVPERFTDDDDDVKTDYLLGQDFRFVPFGAGRRGCPGVGFAAPAMELALASLLYHFDWELPAGGASNVEMEELNGLSVRLKAILRLVAKPCYPQ >Sspon.01G0011050-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1A:30470762:30471349:1 gene:Sspon.01G0011050-1A transcript:Sspon.01G0011050-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTVFTVSGSDKGDRPMRQSVEQLICSALALRVLSASPVAGRQATTSHQSPHHCALCYASPAPVTRLTAERKACNRRHAWAEPTNAGPSWVRIHTLGHAIETAGPQGAVLPANRPYSEVGNLPFSFFVRKLLGYEQSPPDSFHRKCCVARMPALELEPLSRPPPMALSHQLCSPRQGGRCPAEMTQRDTTSQKQG >Sspon.03G0003540-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:9185434:9189705:-1 gene:Sspon.03G0003540-1A transcript:Sspon.03G0003540-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAFAVISLLLFVCVQGAAPVLGFTRSDFPEDFVFGSATSAYQYEGAVAEDGRSPSIWDTFTHAGSMPDKSNGDIAADGYNKYKDDVKLIIDSNLEAYRFSISWSRLIPNGRGAINPKGLEYYNNLIDELARHGVQVHVMIYQLDLPQILEDEYGGWLSPKIVEDFTAYADVCFREFGDRVSHWTTLDEANVAALGSYDIGQIAPGRCSDPFGFGTKKCTVGNSSVEPYIAAHNMLLAHASATRLYREKYQAVQKGVVGINIYTMWPYPLTNSTADLEASQRFLDFYCGWILEPLLFGDYPSVMKKNVGSRLPSFREVQSEAIRGTLDFIGINHYLSLYVNDHPLEKGIRDFVLDAAADYRGSRTDPPIGQQAPTSIPADPRGLQLLVEYLSEAYGNLPIYIQETGKSISQLQASKFYLHDTDRVDYMKNHISSTLTALRNGANVKGYFVWSFLDVFEYLTGFRSQYGLYRVDFEDEALPRRARLSARWYSKFLKNKGIRVEDEIDDAGSHVEQ >Sspon.04G0023500-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:13337087:13338849:1 gene:Sspon.04G0023500-2P transcript:Sspon.04G0023500-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MANSCLSTTAAHSALRLLADAAGAQLQLQLQLHHASSSVFPRPARAHHRLVAAPAVPVHRRRALAVTAMASQEEAAAMAVEELEEFTEREGQLQEQDEGQEEGGAVEASSDDSVPSVAASTTTTKLYFGNLPYNCDSAQLAGIVQEYASPEMVEVLYDRTTGRSRGFAFVTMTTVQDCELVIKNLDGSLYGGRTMKVNFADRPKPKLPLYPETEHKLFVGNLSWTVTSEMLTEAFGRCGNVVGARVLYDGETGRSRGYGFVCYSTREEMDEAISSLNGMELEGREIRVNLALGKK >Sspon.08G0028700-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:15176555:15195133:-1 gene:Sspon.08G0028700-1D transcript:Sspon.08G0028700-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKAKSSSSSCLSFLKDALLLPTQNAKLFVPVFLLVITAPLLLLQITNVFCIQPRTADMLHHLDEIKNMDPSSADYAKLMAEILKEARELVIISIALLIFTFAESFARQIIAFFATSTTYAGDRYSLPELFTKVIMKGRRLRGPLITVAMVAVLDVACMLLLVALLQLVMRHMGVLYMAVLFVLPFLYLDVVFFVAIAASVADADRRGVSALRLAWRLMTKVWRKEGCVLVVVVHLVAMVPSPLYAVALACLKKSVPMGLALLSVYALLGGLVQLFYYAAAMVYYYQAMDSKEVMVHDYVKIPTGEATAQNRRLFAAVFTVIAASTCFLHLGNDLAVQPRRDELDQDTKALYSIDPSRPAGILQLVQKTKDDVRALLLTSAAYFLFGAITRSAIQVVVLFAAVATYSGEVHTFVSLLGKVKAQLKGPVLTLAFVYTLEIAYVALLVAMSALLMFLKIKKYFGLLTVGSLLFLVPVIFLVYFSFLCSMSVVVAVAEPGCHGAGAFGRAWRLMKGKRRQAVLFIFVTVVLTVALTPMYTLAKRFALTNMGEGLLLGFLYIILIAAVQLFAACAMTAFYYECKGSTEASAAESVIEIVVLFAAVATYSGELHTFGSLLGKVKTQLKGTVLTLAFVYALEIAYVAVLIFMSSLVMALMILKYFGLFFVGSLLLLVPLHLPRVLLRLLPERRHGSGRARLPWRRRSWVGVAVGEGEACLILPSHNRRLFAAVFTIIAVSTCLLLLGNDLAVQPLIDELDLDTKALNSTDPSTSSPEDILQLIQKTKDDIRALLLTSAALVVLSVIIGSAIRLVLLFAAVATYSGELHTFGSLLGKVKTQLKGPVLTLAFVYALEIVYFVLLVAMIGLVMFLMIKRYLGLFFVGSLLLLVSFIFLVYFSFLCSMSVVVAVAEPGCHGAGALGRAWRLLKGKRRRVMLFISVTGVLAAALTPVYNLAKRYELTNMAAGLLLGFLYSILMSAVQLFAACAMTAFYYECKGSTEASAVGKGRRSRPMELQINYACTHAKREKRKKTLAMATKASSSLSPCIRLMEESLLLPTQDVGLFVKTFLLIFAHTFIFIAVAVHFAHPLATSILADIKTHKITTDTASSNHSKELSLLAIYLSYLASKLGTQLVTSLATATTYSGERLTRKVVKERIGGLLGTAALAGVLELSFTALLVALLVATWTYADSGIKSLCGYLMFLVALLLYIYLATVIPVSVAVSAVDRGCHSVWALRRAWRLMRARRKEAAVLVFVVNLLPAFIYPAPVYAFSSVYPADEYSLYYGQDLADRFSLHNSWLRSQGVWLTGVVSGSGLPSVGAQLFSMVVATVFCCLSMEASDGGAR >Sspon.03G0005090-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:12991859:12996618:1 gene:Sspon.03G0005090-2B transcript:Sspon.03G0005090-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPSVMGQFGDTTYTKVFVGGLAWETQKETMRKYFEQFGEILEAVVITDKNTGRSKGYGFVTFRDPDAAMRACVDPAPVIDGRRANCNLASLGVQRSRPPTPQHGGARNFRVMKSFSQQAGIQGGLGAAFPSHAATFPHYAIPQGLPYHVYGYSPYTPDYGYPTNFYNIYGGAQYPFYGGAAAGMVTGTSPFYPYFQFGQSGNTTTNYTNGQGYNLQYPQMFQFSTVSSTAAAVTGFAQHYGGPLSLAASPQAQA >Sspon.01G0031990-2D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1D:109746499:109747962:-1 gene:Sspon.01G0031990-2D transcript:Sspon.01G0031990-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVTTSAAAAGPRPDRPHVVLVASPGAGHLMPMAELARRLVAHHALAVTLVTFADLSGDPDAHSAAVLSSLRAANISTATLPAVPLDDLPADARIETVLLEVIGRSIPHLRALLHDVGNTAAPLAALVPDFFASAALPLDSELGVPGYIFLPSNLSVLSVMRSAVELNDGAGAGEYRDLPDPLPLPGGVSLRSEDVPSGFRYSKEPAYAQLIHTGRQYRTAAGFLVNTFYEMDPATVEEFKKVAQQGRFPPAYPVGPLVRSSSDEGGVSSPCIEWLDRQPTGSVVYVSFGSAGTLSVEQTAELAAGLEDSGHRFLWVVRMPSLDGEHSDDMGRKSRGGGDENDPLAWLPEGFLERTRGRGLAVASWAPQVRVLSHPATAAFVSHCGWNSTLESVSSGVPMVAWPLYAEQRVNAVVLSENVGVAARPHGRRAGWARADRGGGEGADGGGGWARRAAPDGGPAASGGPGVGAGWIVAPDVGGGRRQVDG >Sspon.04G0014610-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:57353463:57364536:-1 gene:Sspon.04G0014610-2B transcript:Sspon.04G0014610-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESNASSLLRWHRQSIAPSADRLVLFWVGHGNVEALKGIARKLNKADWDFSVDPCSGSGNWANVTGSLSSNVTCDCSFNNHTECHVISLELMRQNLSGVLPDEVVNLTYLQNLDLSRNFIQGPIPASWADLPVFNLYALHCSHSSVRSIHVSPGKSHIWNPTKGAWTHAQVEIYVSCICASIAICMYLHLGGGKTERRKELSTLEGNQLAGSIPPELGNIISLQRFFISANNITGELPSTFSRLTNMTDFRVDGNSITGKIPSFIKNWQGVNRIDMQGTLMSGPIPTEISLLKNLTELVLRNCSIYGEIPSYLGQMQYLKVLDLSFNKLTDEVPVNFGAMMALQYLYLTDNMLTGDLPAWMLKNKASNKVNILQPCLRKNLPCTTRPRRKFTYTQQIDSNSSLFINCGGKSVVVDGNTYEDDSSQIGTSMFSVSDDKKWAYSSTGDFVGNENADYIARNTSKLNLAYPELYTEARLSPLSLKYYGLCMENGEYTVKLHFAEIVFTEDHTYSSNGKRVFDVFIQGVKVLEDFNIKDETGVHRAINKSFATNISDNTLEIHFYWGGKGTTAIPYRGVYGPLISAISVTKMGRNHRGVSTGVVVAIVIAAACLAVIVLIALYFKVFRKKNIKGNSRQLFYQGRKTTTSELQTWAQYFFSLEEIESATKHFDPANKIGEGGFGPVYK >Sspon.01G0046480-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:98381723:98385885:1 gene:Sspon.01G0046480-1P transcript:Sspon.01G0046480-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTNVRNVGVSGQLEMYSGQQSDQGPSANSGREFSEANWNSFTMHQKMAYSGQYGFGSYGMGLEDRPGLYQSSSGTLSQDIQMSDEHSEGVKKRKGMEDRVPLLHNAGDQQTEGSSQPERNSMEEGNRKISPKMQSKEDSSDGDGTKEDYVHVRAKRGQATNSHSLAERLRRKKISERMKLLQDLVPGCNKITGKAVMLDEIINYVQSLQRQVEFLSMKLATVNPELGFDIEQIISKQMMLSQDRHLAFYGVDPGSSSLSAPFNQGIMQPEMMCNISNPVDVLHGTIHDVSTMNQIPSMWEGLQNMPQMNFNPGVAANSGTNNSDLSASLTAPEVVDDVSKLINRQNLYLEKKGFCAPRTACYSSVSELRQHMCPVVCIGRRDESTQIYHLNVKKRQQNFTHTKKKLRESQ >Sspon.05G0009350-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:18482614:18483915:1 gene:Sspon.05G0009350-3C transcript:Sspon.05G0009350-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:MYB80 [Source:Projected from Arabidopsis thaliana (AT5G56110) UniProtKB/TrEMBL;Acc:A0A178UM75] MGRIPCCEKDSVKRGQWTPEEDNKLLSYITQYGTRNWRLIPKNAGLQRCGKSCRLRWTNYLRPDLKHGEFTDAEEQTIIKLHSVVGNRWLPSSPSPLSLVLMGTVWSVIAAQLPGRTDNDVKNHWNTKLKKKLSGMGIDPVTHKSFSHLMAEIATTLAPPQVAHLAEAALGCFKDEMLHLLTKKRPTDFPSPAVPPDMAIAGGSGAGAAPCGFPAPPQTDDTIERIKLGLSRAIMSEPGAPPGKQQQQQPWAPADMTEGLAGMYATYNPAAHGQEEFRYNNGTVPEYVLGGGAGAGDADQGTSIWSHQSMYSGSSGTEAAPRPVAVLPEKGNDSVGSSGGGDDEEADDVKDGGKGGSDMSGLFGSDCVLWDLPDELTNHMV >Sspon.02G0013430-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:33343923:33345350:1 gene:Sspon.02G0013430-1T transcript:Sspon.02G0013430-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWDGEVGMNSVPDGVVQHILSMLSNARDVAACACVCRRWRDCVPYLPALFFARNAFDAARGAADDVIGRMVASVARLRELVIYCPFSMARLPGWLAARSATLRVLELRMDAAADKVTEGGHLDCIGLAANLEELRLWGVSLTAAPAWGRMERLRVLEVVGAPLRDSAVRDAIAACTNLTDLSLLGCDCSGTVAIGLQLLQRCRLDILGAGNCSLLLTAPRLESIEIQGFTWITLRGGHSLRRLSIAKSTGRVHKVDTGNLPDLDHLSLRGVQWNWAAVISVLQCASEVKHLVMKIEFCGELDALQPFPEVDLVDFFDSHPKLRKFEIHGAMFAALCQKNSLKNLNSRFLIPCLEEVLITVRSPLNAEQKLNTLESLVKYSVKLRTMVIRISQMKNCHEAADEFFEEI >Sspon.05G0001270-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:2969253:2972549:-1 gene:Sspon.05G0001270-2D transcript:Sspon.05G0001270-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polyamine oxidase, Seed germinatio [Source: Projected from Oryza sativa (Os04g0671200)] MDPNGLKTGGLLLPTIERRCTSPPSVIVIGGGISGVAAARALSNSSFKVTVLESRDRIGGRVHTDYSFGCPIDMGASWLHGVCNENSLAPLIGYLGLRLYRTSDDNSVLYDHDLESYALFDKDGHQVPKETVDKVGETFERILEETVKVRDEQEHDMPLLQAISIVFERHPHLKLEGLDDQVLQWCVCRLEAWFAADADEISLKNWDQERVLTGGHGLMVNGYYPVIEALAQGLDIRLNQRVTEITRQYNGVKVSTEDGTSYFADACIISVPLGVLKASIIKFEPELPSWKSSAIADLGVGVENKIAMHFDRVFWPNVEVLGMVGPTPKACGYFLNLHKATGNPVLVYMAAGRFAQEVEKLSDKEAVSLVVSHLKKMLPDATEPTKYLVSRWGSDPNSLGSYSCDLVGKPADVCARFSAPVENLYFAGEAASAEHSGSVHGAYSSGIAAAEECRKRLLTLKGIPDLVQVAAWEEMAGAVASLQICRT >Sspon.04G0014340-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:56121781:56126593:1 gene:Sspon.04G0014340-2B transcript:Sspon.04G0014340-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:E3 ubiquitin-protein ligase DA2 [Source:Projected from Arabidopsis thaliana (AT1G78420) UniProtKB/Swiss-Prot;Acc:Q93YV5] MGNRIGGRRKSGVEERFTRPQGLYEHKDIDQKKLRKLILEAKLAPCYPGADDAAAGGGDLEECPICFLYYPSLNRSKCCSKGICTECFLQMKPTHTARPTQCPFCKTPNYAVEYRGVKTKEERSIEQFEEQKVIEAQLRMRQKELQDEEAKMKRKQSRCSSSRTVTPTTEVEYRDICSTSFSVPSYQCTEQGNECCSSEPSCSSQANMRPFHSRHNRDDNVDVNLEDMMVMEAIWRSIQEQGHLVNPVCGSYFPVIEPPSRERQAFLPAAPLEMPHPGGYSCAVAALAEHQPASMDFSYMAGSSTYPVFDMIRRPCNMSSGSLCGVENSSLDTWSGIAPSCSREAVREEGECSTDHWSEGAEAGTSYAGSDIMADAGTIQPLPFAENFTMAPSHFRPESIEEQMMFSMAVSLAEAHHGRMQAQGLAWL >Sspon.07G0009190-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:25557529:25560760:-1 gene:Sspon.07G0009190-1A transcript:Sspon.07G0009190-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MANPGLGLGLAPPGPEPSAGAPPSSRRAPRLAKRRHAPASSRSRAPQASAGTWNPFGGGGGTDGQTGGFVFGAASAVSQQPSEPAVAVASPTEAPFVFASVRESLPRFDEGLSASSKLPDKMEKLNLRTSGEVGNGLGQGRDRKDGSSAFGVDISGLFPNKEANVLQEKLTQLNLGHRETLQSEKRDSANGVPQAFVFGGNGAGSFADCKNTAGPGAHSDDPSSVHGTDAKAVAGKSMQFDIGSQAPSRVGGIDRTNGAPEAFTFRSTTVSGHVDNINNVDSGANTSSSTVAKGVGDVDVLPEAITRLSIGSDMPLHETKSGYQPKVFTFGSEGVPGAVFGKETGSTSDRSSEFFSANSNTSSSSSDFFSTANSNASNSANGTYCFPPEKTSDLNAGDGVMSQSLESDNSNCPPQTFLFERNETRSSVSHSASIAMDDGGNFVNDENSDTCSSAHGTVECAGALPEKMTKLNIGCGVPYHSRKDETATEPPEVFVFGCNVSSFSSAQTASKSNDPTTPDFCFQSKPEATSGYGAVPQTKVQESYPFTNLNNSCSSSTFVNSVPAFSFGAMNAETETAPDDHFSVKQDLPGYSRETLFGLDSIKSAYKDKREAHKGKMKNKRPTRLKQHAQLHQVVSKEACTNGEASDLAGDYSPMDCSPYPAEAEHVPTEAYVASDQPVHISDSVISNLNTSCCEDDVVSATEHLVIDADLPMFGDQGRGLKLDASESNFGSSFSSFEGDRSNASQHSFTNIGQDCNENTYRTPHDFVEAAAFQSSSSNFSGLNFRFGVSSSPQISAAAQRRNTRRKLRRKGSLASKPSTIGSFEQPKSSQDTKGMRFFGETSKNEETVKKQSSRDSSTSAALETCETWRTSGNKAYANGHFATAEDYYTRGINSVTHYGVSGHCSRALMLCYSNRAATRMSLGMMQEALQDCLTATSIDPTFLKAKVRGANNIVL >Sspon.08G0002140-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:4999003:5002992:-1 gene:Sspon.08G0002140-3D transcript:Sspon.08G0002140-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ENALTTPPGGAARPPGLQRSPPRPLAPARARGSAAGASPAAPPPARPRSDDLIAPFSLVNMLRSILSQSWKRGAHILREGNSAPALLTCWSQFHSGQVLSSSRSFFGVEDFVDEDNSRPYTYKKEKRSKNPHKHISFKQRTIAYMEPFTLDVFISKRFVSASLTHRSTCRQVAVAGTNSKDIKAVLKSRSDIPACLAVGRFLAERAKEADVFTCTYTPRERDKFEGKIRAVVQSLIDNGINVKLYLD >Sspon.02G0017000-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2A:48307328:48309113:1 gene:Sspon.02G0017000-1A transcript:Sspon.02G0017000-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VSETITVSPLDAKLILDEIAMLNRCFDESYARMERCFSRTLATLEPRGDAVELRVGSPEQFGATQPVMADNWGGLFSEIPTPDATSTDSDAPPFAPAPDDSEFHSTDGDAASTVEPNPDPKAGHATLVAMKSPHLDDIVDLDRLSILPDALLRDIISRLPLKEAARTVVLSRRWRPVFAAPSVMLVPMFPKGELFCLTTQEGTSTVQREKVQMEAKPFFLEQIEQDAIGSAHAPSVRRRGRGPRRRRQPPRLLVPGHRPRLRARAMLPQPGAVHHPVRAPPRRRQGRTRGFRRDTLPQPRRTVRLRHRQRRAAHTREGGVRSSPQAPQQAEEEDAEHHPTAQQRQQPDPAGARLAGLVHPSPWPLEVRDVNKHFIRSCALRPNPEAGDALRPECPHEVCNISGVTFDPRDVDTNHRFIGSLDAFVRGAPGLVLLPPPRDAASPIPFAPREAFPLVLATAVRKSGAHSVPAARVFNEMWSYHPVHEFWHERFLEEAKHDEAIGSDFSGTLPFIDAEGSTQDRFAQCIGGFLSVVVTEMQLGTECVDIGMLLTSIKSGGYTSQVAGNGRRGSVDPEVMNASVLSKLEIINHGRGCD >Sspon.05G0023050-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:2933889:2934137:-1 gene:Sspon.05G0023050-2D transcript:Sspon.05G0023050-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DGRCSEGVVDGGDERRGSGGAQGPSGPLPLELRAAVRPPGRQGQRAQQPRAGQEAGAGGEEADGQSRGGDEDRHVPQLLGPQL >Sspon.05G0018100-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:76136775:76151519:-1 gene:Sspon.05G0018100-1A transcript:Sspon.05G0018100-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTPCNVKLPESTYSYQEDMELSPRLTVYMEEGIVPESPVVEVSHLHQEMDEAADFGAVPKRGSPKSRDERAHTSVAGCHKGPLNFEKNGQLLSGANELGGLSRLYVLDQTRAKTEEPMHPSNVKMATPTRHSPSGNLLYDSFSGAKRKTKRRLDAYIDEEVEVSEDADVSEDEDDNQSEDKYEDSFIDDQATPTEFTQTEQGGRHNGDMMGFYRQSLLTQTPVVLPSRYLDVSDNSASRTGNASCSSQAGHNSTETPKEIQTHHTINPSPSYSFVQDQCETAIANCESSTKLDCRKRRLSFQQPPVIPVINLEPEPAPEPSSHVATRIADDLYFDDDFFENLDLDAIEAQATEQLRQKTTQSTQKPVEIKNASDTRLASLDAEPTGFAWRRVMRKVLLSLHQEHCEIPLQEPANVAAVEEGLEHEGSPVKKYPIHTFFSGDYVTVDGRGSVLITFVPVLPQKFAFSKDIKNAVWNNKDQNKAEPYRSAAGVSRTTVEFVHPVANTDKHMFMDNVSTVAMHSPEYSGHCDNVDDNHVFTTVPPKTLTCPREKMDTPCNVKLPESTYSYQEDMELSPRLTVYMEEGIVPESPVVEVSHLHQEMDEAADFGAVPKRGSPKSRDERAHASVAGCHKGPLNFEKNGQLLSGANELGGLSRLYVLDQTRAKTEEPMHPSNVKMTTPTRHSPSGNLLYDSFSGAKRKTKRRLDAYIDEEVEVSEDADVSEDEDDNQSEDKYEDSFIDDQATPTEFTQTEQGGRHNGDMMGFYRQSLLTQTPVVLPSRYLDVSDNSASRTGNASCSSQAGHNSTETPKEIQTHHTINPSPSYSFVQDQCETAIANCESSTKLDCRKRRLSFQQPPVIPVINLEPEPAPEPSSHVATRIADDLYFDDDFFENLDLDAIEAQATEQLRQKTTQSTQKPVEIKNASDTILVISEHTENCASNELFCKRFEPALHDNATGSGMSLLWISLAFDAEPTGFAWRVIGAA >Sspon.02G0024100-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:84100570:84101061:-1 gene:Sspon.02G0024100-2B transcript:Sspon.02G0024100-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRMEVQQAESGAGAGGMADAAAEADAMAMAVSGAPHSHHPHHAAPPLPPSALAVYERVARMASGNAVVVFSASGCCMCHVVKRLLLGLGVGPTVYELDQMAGIAGGGREIQAALAQLLPPEQPPVPVVFVGGRLLGGVEKVMACHINGTLVPLLKQAGALWL >Sspon.03G0001460-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:12191784:12194231:-1 gene:Sspon.03G0001460-2B transcript:Sspon.03G0001460-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAPWPGFAVAAPRLIKMTLLAVSALLSRSSVPTAASELVELTLLANAREKGAVCLDGSPPAYQLRRGFGSGSRSWLVNLEGGAWCKTTEDCSSRRLTDLGSSKFMKPIEFEGMLSNNRSENPYFNNWNIVDIRYCDGGSFAGDAEGCSAGGLAALLHCDNFRARFPPEVPVKCLSDAGFFLDLSFCHRKDSSGERFMWSIFSGVVHLQNVRNAMPKDCLAKKEPTEVVNRSLSREIQYTLIYTTCCQISFFEKENLLLQCFFPPELIKSISTPTFIRNSGYDSYQVGNVVAPGGSDPGQSWASCKADIRNCTATQIEALNGCSTQEGLGLFIDSCFNHCQTPFRITWHSPISLRLGNKVRFRVTFTTIAEAVAEWYVSKDHGVKEIDCADPCINPTCTSQLDL >Sspon.01G0027150-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1A:95534610:95542765:1 gene:Sspon.01G0027150-1A transcript:Sspon.01G0027150-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GGTIGATPSHIDTVALQLTRRPLRLPQLDQICGDRIDAIASRIDALAALPWTRRHICVALKTLVVIHRLLREGDRAFREEFLTFTQRVRILQLSNFKDDSNPIAWDYSSWVRTYGLFLEEKPECFRVLKCDIEAERLSKQGLGPEKFIEMPKHEALKALHIYRRAGQQVSSVRMHKKKKGFQSATVADRQQHASSPLTAELLLAISRPWHAVACVEVAKQLLLTATASPSPYTAKPIVVFLLLASYRHIRALTLSTQFATFARPPPNCLWPQLRLAVAHLLDLLMPTLTAGASRAPSSSSRQPPPLPRMPSPWLATSGCPRFLLSTVGLETPPSPPICATAADPDDGSRHCSFSTSTIKLCITTIDVRVVGECVGGGRVAGNLAVGERPTYPLADSRSRRDRSGGEDQRTWLDGPVASVKGEEGYNCVLCQDEVEETSEHLFFNCPCSVERWFALGIVWNDDVNIHEKLYLAQQEFALPFFMEIVMIGAWCIWNERNAFIFNGKVPNVSVWKRTFREEVLDHLCRIKPTLHQSIRDWLISL >Sspon.02G0049750-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:43180346:43180893:-1 gene:Sspon.02G0049750-1C transcript:Sspon.02G0049750-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGQDLALLDTSTDTNRKNQEWLFPLIIKKKNQGTDQNISYEELIKKLAMDGHAISMLEQDSFWKLVAHLNPAVKIPSRIDLMRKTFNLFEQEKTKLKEKLVALPCRVCLSADTWLYDPQRLFLCLTVHYIDDEWKKQKKIIKFCDLRPSCSAEELSNTILRAIG >Sspon.01G0013150-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:35845135:35854395:1 gene:Sspon.01G0013150-1A transcript:Sspon.01G0013150-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFCTDPRAIMMLGSKKERNVSMHFQSGQKPSGNFCLFSKVQSASPPPGNTLVYLNVYDLTSVNGYVYWAGLGIFHSGIEVHGVEYAFGAHDHSISGVFEVEPRQCPGFKFRKSICMGTTCLDPLQIREFMEIQSINYNGDTYHLISKNCNHFCEDICKRLTGNLIPKWVNRLARMGAVCNCILPEPLKINAARHDPGCQAEDSERKMLTGSFSCFSSISMPKTFLNIFALPTSLHGRHFLGYQTVKFYPIEEELTIESSKKDKKVL >Sspon.08G0000960-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:3627774:3636131:-1 gene:Sspon.08G0000960-1A transcript:Sspon.08G0000960-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTSGGGHGSLLPVWNPNRLRADLVDYSSGTSGGGTTTTTGTETALSTPTDEMASLLSSKQGGLDEAIWNMMEETSRTRLTKDNDGSCWGVQTPQGSSSDIHELTRVMVSYIVLLSTNWATAHQLVDEAAQLRGYVPRFDKVSPLTSLVMETVLCLEEKLAEESRSFQNQSLWFLFLTNNSYFIWEQLNPTLLLESHMAALARKIENYIQTYLQVSWAPVLSCLFNSTPLCMGRYSSPAKFESEFQKTYNAQKFWKVPDPNLRRRLRVAVIDKVIPSFQKYLEYIGISPSRITPHDLMDMLQELFEGSMWYISDAMASVPSSGLKFVLAAASAFSPYSIRSSSSSGTMASTGYTFTGSHISSVSSMDAAMDMPLTLSFSFEYDYNVLPDLVELEKRRIKILVQEFFAAPPQRKSECVLERWLTEIGVNWVLHLADDGAPAQTILDHHFHPQSWIRGLSKITETIRLASLFPDPGTVVAVAMPSIREEAEPDTNNMVQASFKKGKNIRAEASIPDKFQFAHFFQKTMSKMIAFVDAIVAPNALEQVAFMHRGLLRVHTVKLSALLGVRHALSRALAKIWCPFLLPPSAEAKRVQDEIVSLLSAAESKVAEAIWNVLDDGTTCVLKSIEDGDGFLSRFTTPKESSDIHQATKSVMGYLYISFLLSNYSSLAPLNKYVPQTRSMRPLDSMVMQMASCLQEKLANISESFPDQGLKFLFLLNNSDFIRNELHYKTYTFLQLHVAAIFGNLECYMDSYLQVSWALVLSSLFNSTPQCFVRNGSPLYKFEFAFQKTYKNQKQWKVPDPELRKRLRQAITEKIIPSYAKYIEDNQVVNPNFSPQELEEMLQELGGSLPPAGGDNTTSGTTYTAAAAATAAATTTTTATSRSTATTDSGGEPRPRDLLLFTAPVVEENAEEELYVAKELAEDKAKKLEHIKGLIEEFRGDDRSALERWLSELDVGWVLHLAVTHESSGSVVTSHGFQFLVESWILALRKIAESILTSLDGWYSQSQKQDQDEGTSKHPLASEFAELVQAAVLKMLPFADIAAVVKTTDTSEAVAPAEKLEAIIDMHDALSMASQHILSLQVQSTDTTMRDLLSADLTKLDEAIWGTIVEIMNIIMAWTWTDDGSSSAIHKVTNSIVSYTEVLWANYQSVNRILHDAFLRGEFVSENENVSHLSNLIMEMVSSLEIRPSTMSSRASFPDESLRFLFLINNLYYLLQHFRTIWRLDFAMVPLNSKIDDHINSYLHVSWAPVLKCLQDPVLPCCLTRCSSPLRKFESKFQMTYATQKLWKVPDPVMRRRLRKAVVDKVIPVFTQFLEDNSVVTP >Sspon.04G0021030-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4C:79844856:79845220:1 gene:Sspon.04G0021030-2C transcript:Sspon.04G0021030-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTILATETMDIPEGVTVTVDAKLVTVEGPRGKLTRNFKHLNLDFQLQDGGRKLKVDAWFGTRRTMAAIRTAISHVQNLITGVTKGFRYKMRFVYAHFPINASITNANSAIEIRNFLGEKK >Sspon.07G0013220-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:47781420:47788676:-1 gene:Sspon.07G0013220-1A transcript:Sspon.07G0013220-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRLSRPASPGGGGLGYASRRGLYAQAASHHHQAGGSAQTSPSGSPKELSPVHRHARAGSLGGAGAASTAGRRAGVGAGAGARAQNSAARAAAQRLARVMGGGVGGDAGSGSDDDDDGYELSGPPIELSNTPRRTSARSPSPSIGRYLADQTQVGRPPSLTNRYTAGKSVPMIPSIKRPATSGAGAGAGSESPMPNRREQRRSVDLGSSLRARRTSSSLNDEINTLQVENESMYDKDSDIGDAIETEPILISRKDAALEQRKIAMRIASRRSSSASCNEIATLKSEAKEEVVLKRCWLARYWTLCSKLGTKITFALFILLYDEGKKEYWSSFAPRALEAVLSIGQKARDGTLSDNADMESRSKMSDVNDISSDGNIETMLSVEKGLRELASLKVEDAIMLTLAENRHIKPLSGQASEGRSPSESLELSAEEREDVRFKQAWLTYFWRRAKNHNVEEDIADERLHFWIEQSNHPVTTSDVIEVERGLHELKKLGIESQLWDATRRALDDDLSNHGSPTGSEA >Sspon.02G0025850-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:89818972:89820527:1 gene:Sspon.02G0025850-1A transcript:Sspon.02G0025850-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDARHGRVLLWNLRHQYVGYEIHLSEDLMVWDPITGDSSELPLPPTSERPVTWNAAVLCASTDGTCDHVGCGRGHFLVVYVCKSETGGSAVLKFDLAAREMSLIQMPWDCVYGRTILRTTEGGRLGRADISSDGLYLYLWSREVGPDEDVGWVQRKVIKLRKLLPDVPPGSLHLVGFAHGLDVFLVGSSKGTFTIDLKSLQATKVHGEDCLEFWFPYRSFYTPGTRHWEQPLPRDQEQAPMPD >Sspon.01G0030950-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:107831444:107833085:-1 gene:Sspon.01G0030950-2B transcript:Sspon.01G0030950-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQHRRDGHAHTRAPASAVPALTSISEAHEKMAAADARTAPPHALLLPYPAQGHVIPFMELADRLLDRGFAVTFVNTEFNHRRVVVAAGGRAPGAAAAAAGDSRLRLVGVADGMGDGEDRDNLVRLNACMQEAMPPRLDALLDADDERLGRVTCVVVDAGMSLPTAALWPASAAVLAVLLGAKKLIGDGVIDDDVKLENNAFRLAESMPAMDAVFLAWNYMGNRDAERMVFHYLTTTAWAAVAKADVVLCNTFADLEQTSSGPTPRRPPPSSRSARCAPGSAGRARRRPGTSGAPTTRPACPSWTRSPMAPCLTVMSPAQLQELALALLASARPFLWLPAAFTDLLPRHGRGKVVEWAPQEKVLAHPAGVRHGVPLLCWPYFTDQFTNQAYICDMWRVGLRIAPNGSDGIVTKERIMERLESLMCDSGVKERMKRLKDLAERSMGTEGQSLKNLNTFMESMRK >Sspon.03G0032090-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:42161112:42161336:1 gene:Sspon.03G0032090-2C transcript:Sspon.03G0032090-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding WRSCRCACSAGRGATRAGARWSGRRWGSWRSWWPGSSSGRWAPPCTCSATARDAASWRTRPPSCTRASPGPSQSN >Sspon.01G0031040-1P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:105860911:105865770:-1 gene:Sspon.01G0031040-1P transcript:Sspon.01G0031040-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSNKKGKKSKENPSKWQLQVNPAQISPPPLALAALPADDPRRIERRRSGVAAGGAGGGGAGGMCDLVARTGRHQQRYEDGRRLVAGCIPFRYRANNDETSGDKTKKLVEVLMINSQSGPGLLFPKGGWENDETVEEAAAREAIEEAGVRGDIVHFLGLYDFKSKTHQDACCPEGMCRAAVFALHVKEELVSWPEQSTRQRTWLTVPDAASRCRYQWMQEALLTGFSDWHDKWSRGGGGTNCDPA >Sspon.04G0015830-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:58740799:58741311:1 gene:Sspon.04G0015830-1A transcript:Sspon.04G0015830-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHKEAGCQQPEGPILCINNCGFFGSAATMNMCSKCHKEMIMKQEQAQLAASSIDSIVNGGDARDAIAKANPVVKAEKLDKI >Sspon.04G0014100-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:54633061:54634538:-1 gene:Sspon.04G0014100-2B transcript:Sspon.04G0014100-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding QMQPSCSPVAVPPGFRFHPTDEELLYYYLRKKVAYEPIDLDVIREVDLNKLEPWDLIENKKLMASDSQDLFMVDDADRCREWYFFSHKDKKYPTGTRTNRATTAGFWKATGRDKAIFLGNGGRRIGLRKTLVFYTGRAPHGKKTDWIMHEYRLNDDNVQEDGWVVCRVFKKKSIQRGLFDDQLGMAPAAGDDDELHSFHSPGGMTPVEQKHGLHLHQLMHGGVPAFDPSMHLPHLTTAEGALGAPSFTSGTSAVAMNLLDMGCSPHNMVKMTTSCGSASDMPLNSGERFGAAADWSILDKLLASHQNLDQLFHCKFGGTTLAAPHHYQQQQMQQQHIEMSASSQHRLPLH >Sspon.01G0051620-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1C:16967262:16967720:-1 gene:Sspon.01G0051620-1C transcript:Sspon.01G0051620-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTSSATAGAATVPPAAAASSPAPAPPGPAPVARAAAALWGGRGGAGGAAGAGRATLAAEADAAALFSVMGAAAGVRAEAPSGAVASPTPAPMGLVAGASAEGADTGAVVGGEGWEAVGALVGGAGRGAIFGGAGPGRRLGRGGREGKETLA >Sspon.03G0010470-4D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3D:33559445:33561268:1 gene:Sspon.03G0010470-4D transcript:Sspon.03G0010470-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGLCPRLRAFVASSRPLLAARFGALPLPLRRAGPAMPLAARARRGLGSSTAAAPLAEDFATASDLRFETPLEVVKYPDPVLRARNKPINTFDANLRALADDMFDVMYKTDGIGLSAPQVGVNVQLMVFNPAGVKGEGEEIVLVNPVVYKSAKRLLVFEEGCLSFPGIHGNVLRPESVKIEAQDVTGAKIKVKLSGLPARVFQHEFDHLLGYVRSV >Sspon.08G0017950-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8B:3816872:3818917:-1 gene:Sspon.08G0017950-1B transcript:Sspon.08G0017950-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASRDDEPHQEEARRMASLLAARRALRAGLDKSRALSHALAPRLEAIQARLPAMEASVRPIRAPWEALATAGPNIDRAVGPAAAVLKVFDAVHGLEPPLLDRAAVAADLPGYLAVLAQLEAALRLLADNCGLATQWLSDIVAYLGERRLADQRFVARLAAALDRLRDAAASADLDAGLLAAALDLLEAEFRRLLKDHSAPLAMKDPAGGGSDPPAVVPSRIPASVVYKLSLILDRLAANGRLDHCSSAYADARGDTVSASLRALGLDYLKETSGDAQALSPSVERWGRHLEFAVHHLLEAERKLCVAVFERRPEAAPLCFAEIAARAGILDFLNFGRALAGVKKDPIKLLRLLDVFDCLNKLRLDFNLLFGGKACVEIQSRTRELVKTVVDGAVEIFEELLVQVELQRNLPPPVDGGVPRIVSFVAKYCNQLLDQPYRSVLTQVITIHRSWRKEVFNDKMLVDAVLNIVKTLEINFDTWSKAYGDSTQSSLLMMNIHWHFFKHLKGTKLGELLGDPWLREHEQYKDYYLAMFLRESWGTLAPLLSREGLIMFSKGRATARDLVKQRLKSFNASFDEMFQKQSKWVISDRDLQQKTCHLVVQAIVPVYRSFMQNYGPLVEQDVSASRYVKYSADDLDKKLNTLFLAKPGRPMRAGSFQIKNSDDKITSAMTGLYRSASTLK >Sspon.08G0023940-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:60698545:60698901:-1 gene:Sspon.08G0023940-1B transcript:Sspon.08G0023940-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RSREGARSSSRRRSSPRPPPPNTTMGFWVTTLIFLLAGVAASLFTLLCCNRGPSTNLYVPLPLPLPFDSMLPVSDPAWCARGIGIRHEDLRLGIPHARFGGFDRRSIDCGDVGLGITI >Sspon.07G0005430-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:12103337:12106564:1 gene:Sspon.07G0005430-3D transcript:Sspon.07G0005430-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVRPRVFLYQHFLSDDEANHLISLVSATLPTPIPQNACLCFSIMPRTRLNFGISSFSSLQARAELKRSAVADNMSGKSTLSDVRTSSGTFLRKGQDPIVEGIEDKIAAWTFLPKENGEDIQVLRYKHGEKYEPHYDYFTDNVNTARGGHRYATVLLYLTDVAEGGETVFPLAEEADDAKDATFSECAQKGIAVKPRKGDALLFFNLKPDGTTDSVSLHGGCPVIKGEKWSATKWIRVSSFDKVHHPQGNCTDENESCTKWAALGECIKNPEYMVGTTALPGYCRRSCNVC >Sspon.03G0027430-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:5040103:5040444:-1 gene:Sspon.03G0027430-2D transcript:Sspon.03G0027430-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGVWVFRNGVVRLVENPTSGNAAAASGKRKALLHTPSGEVVTSYASLERKLAALGWERYYADNNACGGGLLQYHKRTSVDLISLPKDFAHFGSVHMYDIVIKNRDAFRVIDA >Sspon.08G0020230-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8D:18249000:18249363:-1 gene:Sspon.08G0020230-2D transcript:Sspon.08G0020230-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIIKTASLLVLTLFVISAVILPTSVLAAALCSLTILRASGSAQFVGVPTFPFPVAVADLVPTTLRHRFPH >Sspon.03G0044570-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:1004943:1008028:1 gene:Sspon.03G0044570-1D transcript:Sspon.03G0044570-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATQAARRLASWSLQRRLLLPSQVQAPSIPTAAAAAAFLHSHATSFGYKQVREEDKSKMVGNVFSSVASSYDLMNDLMSVGLHRLWKDRLISKLNPFPGMKHLDVAGGTGDVAFRVLERIKSVGTLTETEEDTHIYVCDINPNMLNVGKRRAAERGYSKEHCLSWVQGDAEALSFEDGSMDGYTIAFGIRNVTHIEKALSEAYRVLKRGGRFLCLELSHVDVPVFKEIYDVYSFSVIPTIGELVAGDRQSYQYLEKFAQMIQEAGFQRVEYENLVGGVVAIHSGLKL >Sspon.01G0026140-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:91026144:91033866:-1 gene:Sspon.01G0026140-1A transcript:Sspon.01G0026140-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAALRSSAARRLLRLAPAALSALSAASRPTAGAAPLSRPITALSGGDHPISWKLRRFFSSNEKHLPAISDPEIESAFKDLLAASWNELPDSLVAEAKKAVSKATDDNAGQEALKNVFRAAEACEEFSGTLVTLRMALDDLCGLTGENVGPLPGYIEDAVKAAYNRYMTYLESFGPEENYLRKKVETELGTKMIHLKMRCSGIGSEWGKISLIGTSGISGSYVELRA >Sspon.08G0027690-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:829278:830205:1 gene:Sspon.08G0027690-1D transcript:Sspon.08G0027690-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DAPALSPTLAPAPAPELQAEAPTPTANPFSSSLLMAPKPFAATSDLPEGVQWWYSEFLSVVKRGIDILATNGVDISVSEGESAGLGGFVAFFQEVPETGITFLDVASADQAKLKLQEVVDFLKNPDKYTALGAKIPKGCLLIGPPGTGKTLLARAVFGEAGVPFFSCAASEFVELFVGVGASRVRDLFEKAKAKAPCIVFIDEIDAVGRQRGARLGGGNDEREQTINQLLTEMDGFAGNSGVIVLAATNRPDVLDCALLRPLEVR >Sspon.05G0022240-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:6927748:6928428:1 gene:Sspon.05G0022240-3D transcript:Sspon.05G0022240-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASRSPKVVRGAAATTCVVDDNTTFVQADPATFRALVQKLTGAAPAAGGTTSAAEKLPPKPQEDEAAAVTIAHAPPPPPPRRPKLQERRRAAPARLELSRPQLPASSTSSSPFYYYHHHAHAHGAHAHHHGLMHSPVSPMDAYVVLASPSLSSSLSMTPSPHSSSPSCDGAAVVMISREEEEREEKAIASKGFYLHASPRGDGDLPKLLPLFPVHSPRINELRRG >Sspon.07G0031090-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7C:16353324:16354901:-1 gene:Sspon.07G0031090-1C transcript:Sspon.07G0031090-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALLASPITSCRLVLNQSRTMVGHQSANAEHGLVSALAITGCRLVLNQPGATALDDSLSQEKFWTAEDKYFDAI >Sspon.01G0012350-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1A:33593517:33594152:1 gene:Sspon.01G0012350-1A transcript:Sspon.01G0012350-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSPDLNRQPCPDRILEDVGNAFGMGTVGGSLFHFVKGLRNSPNGHRLAGGATAVRMNAPRIGGNFAVWGALFSTFDCAMVYARKKEDPWNSIVAGAATGGLLQLRKGILATGRGAVFGGAILALIEGAGIMLNRCMVNTAPPPEDLMMQQQYPAGQDPAAQYVPPGFLGVPPRRRSWLRRSRSSLTLAVLGGLAVCLGGSSRTRLLAVIA >Sspon.07G0000400-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:599860:603544:1 gene:Sspon.07G0000400-3D transcript:Sspon.07G0000400-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHAAAGSGLQRPEKPARGAGPGAVVVVAVRAAAREISKTAAVWALTHVVQHGDSILLLVLIPPPSSGRKFWGFPFFAGSCASGHKAVLNQRSDVAELCSQMIRKLRDVYDPNNKINVKVKILSGSPPGAVATESKRAQAGWVVLDKELKHEEKRCMEELQCNIVVMKRSRPKVLRLNLVGSHEKESKPAPPASPEPSTSVGNTVSNTKEQRSPIRVPSVTPNSSPESEAPFDTTDVGTSSVSSSDPAASPFCASDTNSSLTKEAAKDNIQHSDVNISDSESEASTPPPASSLQPWMADILQGPASARLLGNRPRRTPTADSLLEKIAKLDLLNEINAIRSRSDLNFRGNVRDAVSLSRSAPPGPPPLCSICQHKTPIFGKPPRWFSYAELELSTGGFSRANFLAEGGFGSVHRGVLPDGQAIAVKQHKLASSQGDVEFCSEVEVLSCAQHRNVVMLIGFCVEDKRRLLVYEYICNGSLDWHLYDRNKETLEWAARQKIAVGAARGLRYLHEECRVGCIIHRDMRPNNILVTHDFEPLVGDFGLARWQPDGDLGVETRVIGTFGYLAPEYAQSGQITEKADVYSFGVVLVELVTGRKAVDINRPKGQQFLTEWARPLLEEYAIDELIDPRLGSRFCENEVYCMLHAANLCIRRDPHLRPRMSHVLRILEGGDTVVDSGSDAGSRSWRLQNERCQEQSSPAQHVSQS >Sspon.01G0028150-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:98575621:98578801:1 gene:Sspon.01G0028150-1A transcript:Sspon.01G0028150-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFAELEAVEGLRWPWHSWPPTAPAAASLVVPTAVLCSPLQHPTAPDLLPLLPYAPLRCASPGCGAALNPFSRVHHGSARWSCPFCGAAANPFSRLLAPDALPAELFPTHSSVEYALPPDPAEAGGPGPPALVFVIDAATAAEELAVLKDEVRRVVQGLPEGIRVALVTFAASVWVHDLGFEGCARVVVLNGERELESDKIQELLGVHRSPYKKLAMRRSTEAQRFLLPVSECEFNITSAIEDLNSMSACPRGHRPLRATGAAISTAIALLEGCCSPSTGGRIMVFTSGPATVGPGCVVETDLGKAIRSHRDIFNSNAPLTDKARDFYKKVAKRLTDHALVLDLFACSLDQVGAAELRNPIEVSGGLMVHTESFESEQFTSCFRHMFKREDTNYLNMNFNATIEIVTSKEVKICGALGPCISLHRKNSSVSDKEIGEGGTNYWKTSSLSSKTSIAFFFRVDCSHKAEPPTVFFIQFMARYRHGDGSYRLRVTTVARRWAAPRSPEIAAGFDQEAAAAVMARLAVYRAETYHVRDVIRWLDKMLIRFTAKFGNYVPEDPSTFRLSTNFSLYPQFMYYLRRSQFIDVFNSSPDETAFFRLMLNREGVVGSLIMIQPTLFQYSFDGPPIPVLLDVSSISPDVILLFDSYFYIVIHYGSKIAQWRKLGYHKDPNHENLRKLLEAPEVDADALLVDRFPVPKLIKCDQHGSQARFLLARLNPSVTQKTQLSEGSEVIFTDDVSLQVFIEHLQELAVQV >Sspon.02G0013870-2P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:39315120:39317099:-1 gene:Sspon.02G0013870-2P transcript:Sspon.02G0013870-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MELPTWASFLGVVLATVMLLKAILGRRRRVYNLPPGPKPWPIIGNLNLMGALPHRSIHELSRKYGPLMQLRFGSFPVVVGSSVDMAKFFLKTHDVVFTDRPKTAAGKYTTYNYRDITWSPYGAYWRQARKMCLTELFSAKRLESYEYIRAAEVRALLRDLHSASGSGRAVMLKDHLSTVSLNVITRMVLGKKYLDKDEVASAGSVTTPEEFKWMLDELFLLNGVLNIGDSIPWLDWMDLQGYIKRMKKLSKMFDRFLEHVVEEHNQRRLREGKDFVAKDMVDVLLQIADDPTLEVELNRESVKAFTQDLIAGGTESSAVTVEWAISELLKKPEVIVKATEELDRVIGRGRWVTEKDIPSLPYVDAIVKETMRLHPVAPMLVPRLSREDTTVAGYDIPAGTRVLVSVWSIGRDPALWDAPEEFMPERFLGSRLDVKGQDYELLPFGSGRRMCPGYSLGLKVIQVSLANLLHGFSWSLPDGVTKEELSMEEIFGLSTPRKFPLEAVVEPKLPAHLYAEP >Sspon.05G0031470-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:6131422:6131879:-1 gene:Sspon.05G0031470-1C transcript:Sspon.05G0031470-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding NCHGGGVHPGSGCLRAPPPPPACSGCGRRRRRCQPGGGGVAGEFLPLHRPLRALLQTAGARARRGARCRRGRTCAGGRAGLAAPAATACRRAPPGTTTSVPATPPSPPAAAAPS >Sspon.06G0014670-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:84250245:84254998:1 gene:Sspon.06G0014670-2C transcript:Sspon.06G0014670-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPAEARPEAAAKHDPHPAAAATANAASPAGFIFMCNGATKPECYRHRVLGLPRGRLDAVSRIRRGAAVFLYDFDARYLYGPYQADSNGGLHLVPAAFHGRFPAQVKFKIDGDFMPLPESSLRNAINDNYFNGRFNPELTATQVEKLRTLFQPITLLPESAPPHDVDNRPQPPPGVDNWPPPQHDVDNWPPAPALLPPSANLAQPTAYAHHPTSYVAPPDAHSMPPEAYPPPCPYLPPTAPGMVTATGYGYGDGYEAYRPFPSAFRYVQEPPSCSLYAQYPMPAHVSGPAYSTGPYYVPYQNHPYPYEHGSVNHHYQQSTYERATYYGSGWGVPR >Sspon.03G0011920-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:32634313:32636340:1 gene:Sspon.03G0011920-1A transcript:Sspon.03G0011920-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGGVVKHILLARFKEDVTQERLDELIRGYAALVAAVPSMKAFHWGTDVSIENLHQGFTHVFESTFESTEGIKEYIEHPAHVEFANVFLPVLDKVIVIDYKPTSVN >Sspon.01G0062110-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1D:100707292:100708300:1 gene:Sspon.01G0062110-1D transcript:Sspon.01G0062110-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding PSLIRHCSSSTMELRLRIYCSMLMTLSSPPPVSYSFAGLSPLQQEFAMKDLGVLHHFLGVTVAPHPAGLLLHQRQYTLDILERAGMADCNPCSTPVDTQGKMSEAEGNPVSDPTAYRSLAGALQYLTFTRPAITYAVQQLYLHMHDPREPHLTALKRVLRYLRGTVDYGLLLHQRSSSTEMVVYTDADWAGCPDTRRSTSGYAVFLGGNLVSWLSKRQQVASRSSAEAEYRAVANGVAEASWLRQLLAELHNPLLRSTLVYCDNVSAVYLSTNPVQHQRTKHVEIDLHFVRDRVAIGEVRVLHVPTTSQFADIFTKGLPSTTFAAFRSSLNITSG >Sspon.05G0008570-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:27664766:27680837:-1 gene:Sspon.05G0008570-2D transcript:Sspon.05G0008570-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGSTNPFGQSSINPFGQTSFGTPQGFDQSSTAANNPFAPKPFGSPTTTFGAQTGSSLFATTSTGAFGQQQSTPGFGTTSTGAFGQQQSTPTFGTPSSSPFGSSTPAFGASPTPAFGATSSGSLFGQKPSFGGFGSSPSQSSPFGSTFQQTQPTFGSTTFGASTTPAFGTSTTPAFGTTTPAFGTTTTPAFGATTTPAFGSTSTSLFGASSTPAFGSTAFGTSATGFGTSGTTAFGVSSTTQGFGSSSTPSFGTSASAFSFGSSPSFGQTTVSSGSTPFGATPSPFGAPAPAFGSQTAPAFGQPQFANQAGGTRIKPYAQTPDVDSATSGTQPAAKLDSISAMPEYKDKSHEELRWEDYQRGDKGGPNPSGTPAAAPSFPSSQQNAFAPTSNPFNPPATNPFSTINPFAPKPAPTSTSPFNTSFNNASSSPFTSSTSTTMFGQTGVSTFPASTSPSLFGNINLASGTSSLFGTSVTNNPSPFGTGSSLANTQSAPLFSSSFAQPISSTPAFSSGSLFGSTNPGNLTSQSSMVMQPAPVSNPFGTLPAMPHMSIGNGGSSPSVQYGISSLPVAEKPLQNRALSMAVPRHLSQRRIKLLPRKYNTISDGKVPFFADDEESPTPKADAFFIPRENPRNLSAMSPSSRPPAVENDNQHEARAMAQHGNGNVTSVERLLPKLPRADYFTEPSIEELAAKERAEAGYCGWVRDFVVGRHGYGSIKFLGETDVRCLDLESIVEFNNREVIVYKDDSKKPPVGEGLNKAAEVTLLNIKCINKKTGEQYCEGARVERYREMLVKKAEEQGAEFVSFDPAKGEWKFRVKHFSAYGL >Sspon.03G0014670-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3A:46794492:46794632:-1 gene:Sspon.03G0014670-1A transcript:Sspon.03G0014670-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding PRRLGAAKELWQELVIVLGHFAVIVRGSCVFPGGAPKATLVDCSCH >Sspon.02G0038040-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:38198940:38203895:-1 gene:Sspon.02G0038040-1B transcript:Sspon.02G0038040-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRPDLHGSSSMPLAAACCAAPTDAEGGQAGWAVSPSVVCSVEPAGGTEPPTPAFINAQDFLPRQRRVLNNSSSTSCRLTRCALGLGVPVSPTPTKIPHHHRLPLSLPLKPLPAVPSAELGSYRTPQRDSVPKSPTLHPRALASSPRCGRGLGSRTRTRSRARGEEEEPTHLSRGVRTYFTRPAAWYHRYPPQSPPPPATGTEALAAADEASMKPRALLERLARPFSRHSSDQSRRQKEEEADLEAIAAREQRAFRYETLAAATRGFSEKNRLGQGGFGPVYRGRLEDGRDVAVKRLGAGSRQGAREFRNEATLLSRVQHRNVVNLIGYCARGAEDKLLVYEYVPNESLDKILFSPSSSAHCESPFPSFPHCSLSLPVSVSLFPLTRAVDFRRSFASSNSHRSRRAELTWPRRHEVVVGVARGLLYLHEDAHTPIIHRDIKASNILLDDRWVPKIADFGMARLFPEAGDGHSRVHTRVAGTNGYMAPEYLMHGDLSTKADVFSFGVVVLEIVSGRKNSAFVPPLDAEADSLLEYAWRLYKKGRSLELLDPAVKSSAVPEQVELCVRIGLLCVQADPRLRPDMKRVVIILSKKQSTLEEPTRPGVPGSRYRRRPHGLRGSHYSAGSSSGTSSPSTSATSHASASASASNAMTTSSTHTMRSQGLPSHREEEES >Sspon.03G0020910-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3B:86792734:86793536:-1 gene:Sspon.03G0020910-2B transcript:Sspon.03G0020910-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATNELSLKLLIDTKAQKVCFAEAGNDVVEFLSTLLCLPVSTITSLLTKERMVGSIGNVLDSLQELDAKYVISSRSKERYVSPAVAPSVLHPLQLLLDAPLNASDSFFTCRGKTDSYGRLAAPACGYFSAIKGTICPSCSNSMNVAMRHVKVDGLVAGTATYTVKDDLSITPASSVSSIALLAQSGVKDLSTLHERIVKIGKEEVAYLFIILLVLEILLASLKSKTVLTDVFLQKKKVRCKKETAA >Sspon.04G0023730-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:14910435:14915490:-1 gene:Sspon.04G0023730-1B transcript:Sspon.04G0023730-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDHHVSRHPAHIAASSGPLTLPDGLDEIIVCSVCQVGPAGTDPPASSTEIVASDAPGPRGAGDLPRGPSTEPTRAGAPPSDATETASMASSSAAEPSSRISDRGTLPTPDFVCGLKIQQLVGSIWCFVVSMGVLIWASGGLCTARRSSLGLRFMVFLMHVLFVGAVFILDPTLDWRIHEQPWYIGLYGVLVLLTLVQYFYTAGSSPGYVIDVMRAGSMMHATFVNTAALSNVRKTYQMHALIFMLSFALFLMIKKRQSNSRNGNTNSPTSRAQLQKLSTVTPTSSWAQMVMDLYPPGSSSRDWTCAYCRVVQPPRTRHCHDCDKCVLQFDHHCIWLGTCIGKKNHCRFWWYIFEETILCIWTAALYIESLRLDVDKAWLIFVILQVEGLCWCNPVGSAYLYPYIPTTIVVVSFVHCCNKSNNLRSCQKKANILPKIRETFWLHVLLAGEYLKEFIHSVEAYAEISMTSAVLARRDIFWKLCPQVRSWKLELLVTRAEMSFAAIAADR >Sspon.07G0023220-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:13970866:13975600:1 gene:Sspon.07G0023220-1B transcript:Sspon.07G0023220-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPGFDDPQLPPLRLRASCGGAIASPRSCSSSDTFVSMRSTPSGMLNPCGGLWSPPRAPSEASSSEMEFGTARQYDTTDPFFTDNCLYDNHLFHSKPESDGSEGEDKFIVGPDVSLQRSEMRELGDGCGRGYVHRGRSADTDGCAEGNACSSPPCGCCYNEKLVRDSCSPVYGRYQIMEDHTEGLDECVAEAFRFRLNAFVDAGDLLVDFKKGEDDGLGLNALEKELQMLTPYLADADALENSGLENDLIGNDDLDVCMVTNEENADGKEFLKDSYCIHPFPGVQSLLMFMGWKISRLQIQIFKTLLLTNFRKTQKLILYSLSFSRSMRTGFEENKEFPIVMDSVIAGRYRVTEYLGSAAFSKVVRAHDLRTGVDVCLKIITNDKDFFDQSLDEIKLLKFVNKYDPDDDHHILRLYDYFYYQEHLFIVTELLRANLYEFQKYNQESGDEVYFSLPRIQAIARQCLEALVYLHHLNIVHCDLKPENILLKSYSRCEIKVIDLGSSCFLSDNLNLYVQSRSYRSPEVILGLPYDQKIDIWSLGCILAELYTGEVLFPNESVPIILARMIGTIGPIDMEMLASGQETQKYFTDDYDLFHKNEETDQLEYLIPEKSSLWHHLQCPDKKFVDFLSYLLQINPRKRPTAREALQHRWLSFKYSSTSNQTRS >Sspon.08G0016110-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8B:58385393:58389702:1 gene:Sspon.08G0016110-2B transcript:Sspon.08G0016110-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMDFGAPADDPKVFRNVCRDRILKDLLKPDKDKETKSSWKVLIMDKFTVKIMAYACKMAEITDAGISLVEDLFKRREPMPSMDAIYFLQPLKEKAYIFFSSPIPKELMNLEFFAIDMQGFVTDHDTALNDLYGPSEQNSKKFNDTISTMATRIATTFASLKEFPCVRYRAPKGDASTTTKFDMVPKWLATAVWDIVSKYKSTIPEFPQKETCELLIVDRPIDQVSKMDSEPEKKESLLEDHDPLWLELRHAHIADMVVKSQQGICRKSFKHYRNT >Sspon.08G0011510-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:50096422:50116442:1 gene:Sspon.08G0011510-1A transcript:Sspon.08G0011510-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNAJ heat shock family protein [Source:Projected from Arabidopsis thaliana (AT1G28210) UniProtKB/TrEMBL;Acc:F4HWI4] MGRFGWLRHASRFLAPRSGEVAVQKCAWIQPNTRHRCSKHKDYYKILGVPKDASQDDIKKAFHSTLRDPSKREQYDMVFQQDINAQANDIKVELNLSFSEAANGCIKQVSYRAKNTPTSETKIEADGKIILVGEVTCQMQRYMFVPHVKVTMYPFTSVCSSCRGVGKVIKDYCLTCQGSGVVDGMKHVKLDMPAGLDSGDTINVSGAGDSGGLGVQSGNLHIKIQVGIDPVFTRDGADIHVDKRISFTQAMLGGKVEVPTLNGKTEVKVT >Sspon.02G0006000-3D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2D:12022102:12024670:-1 gene:Sspon.02G0006000-3D transcript:Sspon.02G0006000-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVVEDAGMDAVQKRLMFEDECILVDEQDNVVGHESKYNCHLMEKIESENLLHRAFSVFLFNSKYELLLQQRSTTKVTFPLVWTNTCCSHPLYRESELIQEDCLGVRNAAQRKLLDELGIPAEDAPVDQFTPLGRMLYKAPSDGKWGEHELDYLLFIVRDVKVQPNPDEVADVKYVNREELKELIRKADAGEDGVKISPWFRLVVDNFLMGWWDHVEKGTLGEAVDMETIHKLKE >Sspon.06G0014020-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:89498688:89501789:-1 gene:Sspon.06G0014020-3C transcript:Sspon.06G0014020-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVPSVAVPVVASGFPAAGGADSRRPPPSSVAATDKNNWNGRSIQAAQNGGTMEAPLRPLDFGEAMDMLREGKTVQSAMYVPLLHGCVETGSLGGARALHGHMVKTGTSADMFVATSLVNAYMRCGASQDARSLFDQMPEKNVVTWTALITGYTLNSQLVEALEVFVEMLEAGRYPSHYTLGAMLNACSASNNADLGNQVHGYTIKYDALSITSIGNSLCQLYAKSGSLESAMRAFRMVPDKNVITWTTMISACAEDENYKELGLTLFLDMLMDGVIPNEFTLTSVMSLCGTRLDLNLGKQVQALCFKIGCHTNIPVKNSTMYLYLRKGETEEAMRLFEGMEDVSIITWNAMISGYAQIMETAKDDLHARSRGFEALKIFRNLKRSAMKPDLFTFSSILSVCSVMMALEQGEQIHAQTIKTGFLSDVVVNSALVNMYNKCGCIEDATKAFVEMSTRTLVTWTSMISGYSQHGRPQEAIQLFEDMRFAGVKPNEITFVSVLSACSYAGLVEKAEHYFNMMKEEYKIEPVVDHYGCMIDMFVRLGRLDDAFSFIKRTGFEPNEAIWSSLVAGCRSHGNMELAFYAADRLLELKPKGVEAYVLLLNMYISNGRWHDVARVRKLMKQEDLGILRDRSWITIKDKVYFFRANDKTHELSDELYQLLENLLEKAKTIGFEPYQNAELCDSEDDEKPPAGSVKHHSERLAVALGLIQTPPGATVRVTKNITMCRDCHSSIKFFSLLVNREIVVRDSKRLHKFKDGQCSCGDFGALL >Sspon.04G0030750-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:4302683:4307560:1 gene:Sspon.04G0030750-2D transcript:Sspon.04G0030750-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWAHPAVAMEEVLGLVRGFVDVLVLAGGRTSSGAAATWSADEVKKALRWAIFFEEIFKDLRDSGQYEDSARELDAALLELTSSPQFPKGLAAVRSEMLSMARVLVMRHFLKAKPMSVENFGALLEAVVEMDIDGICASGAHNACQEYAESILNTNLSCFGHTMNARDGGLPTSSDELHAESMGHSRIIVKEFQKTLDSTLCACLAERGLETLLNSVKRNSLDDDSNMPCAPAILKTSRMIDEFLIWKQWRAKCLSYLLDERTIRVLSGASLIFKAPKGQWMKVFEPLKGLAKSCHSGLVETMELCLLGSITGRWNTLIEGFISHTFCFIPISKKYADLHQCLHRTSQDKFQDEHLNLEEKDILDYARQSLESKPSLLWLLPPVLTAAAIPPRSSLFQIYLAQIDKQFHDATPADRKCQCRGDEIDQHRNCEITERIQCLYAFHIQQLHLMVPR >Sspon.01G0028400-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:94740484:94742204:1 gene:Sspon.01G0028400-2D transcript:Sspon.01G0028400-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPSKEFYEGVLQDEEGLSRKRPWHSYSCFGPFCFFDVDGIESQPSGSGSWVNQDEVEFITLLYHQLAMRYPELKSSPEVGVISPYRHQVKLLKDSFRSTFGDQSKELIDVSTVDGFQGREKEIVIFSCVRCNEEQKIGFVSDFRRMNVAITRAKSAVLVVGSASTLKQDKHWNNLVESAKERNHFFKVPKPFTTFFGEDNLKAMKVEKYPLPEPNARVLEEINQEVVRQELMNVDDAADHADAGDDDDAAMDADDGGDDD >Sspon.01G0046760-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:90929909:90937252:1 gene:Sspon.01G0046760-2C transcript:Sspon.01G0046760-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSRAVDDGAGGGPDVSSPADPPSPEPDPAAGAMDARLPADLLRAVLQRLPPIDLARSACVCRAWRAVASDRAVLEAAFCAPWGVRRVVGEPATGAFWRAASLGRFALSHAVRRGDTVPGVALKYSVQVTDIKRFNNMMSDHGIYSRERLLIPISNPEILMGSTCYIEMDHNAKREVAIFYPEGRPGGKTESVASVVAAERRSKRILESVRRSLQVDDGTAAYYLSVTEGDPRAAMMEYSEDLRWEQQRTGR >Sspon.04G0007770-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:18737009:18737986:1 gene:Sspon.04G0007770-2B transcript:Sspon.04G0007770-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SPMEKHMAQTLIEIDSRIPDALRVAFGICRPSCPSILPGQQDEAANFAAVLLAPRDDGGASDPMDCDEAEPEGDLGMVFASSYIKDHDEDAHFGHAEAGVIGVADGVGGYRSKGVDASAFSRALMHNAYAEVATAVPGTRICPLALLERAHLMTAAARTPAASTVAIVSIAGRTLKWAYVGDSGFVVLRDGRILLRSRPQQHYFNCPYQLSSNQDSTRLADAAVGEVAAKEGDIVILGTDGLFDNVFDDEIERMVRMGTALGFAPENMAEVMAGFACEAASCADRDTPYSSLACRTEPGNAYFTGGKPDDITVVVAYIVSCPIRSQ >Sspon.05G0009820-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5B:23146241:23147328:1 gene:Sspon.05G0009820-2B transcript:Sspon.05G0009820-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VVDDATGEATPRRAKWWYVTFHNVTAMVGAGVLSLPYAMAHLGWGPGMVALVASWGITLYTLRLLIELHECVPGVRFDRLRDLGAHALGPRLGPWVVVPQQLIVQLGCDMVYMVTGGKCLQKFAESVCPRCAPLHQSYWICIFGSFQFLLSQLPNLDAITAVSFAAAAMSLCYSTISWAACVARGPVPGVSYAYKSGTAADSTFRVFSALGQVAFAYAGHGVVLEIQATIPSTPTKQSRAPMWKGTVAAYLVTAACYFPVALVGYWAFGRDVGDNVLVALQRPPWLVAAANMMVVIHVVGSYQV >Sspon.07G0028490-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:67083812:67085920:-1 gene:Sspon.07G0028490-1B transcript:Sspon.07G0028490-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLKVLYIDRNSISGIVPTTLEYLCSLQLLNLEQNSINADMIGRLPQCSWSKLRVLHLKGANLNGQLPAWIGNLTSLSYLDLSSNMLVGSIPSRIGNMRSLSYLDLSTNMLVGSIPSGIGNMRSLSYLDLSHNMLVGSIPSGIGTMRSLSFLDLSWNMLDGDVPSGIGALSNLTDLILVSNNFSSVLSKEHFASLVNLEWLDIENTSLKLNFGEDWVPPFRLTYGYFGSCDMGPQFPAWLRWQTGIQGLDISNTKINDVLPHWFWVAFPNAPMLKLSGNKLSGTLPPKLELPYVQSMDLSGNSLLGQLPANLIAPNLHSLDLYNNHFTGTIPPYMCNDGFYEINLSNNQLTGGFPHCQNNKSSSSLYMLDLRYNNLSGEFPHFLQYAASLRFLDLSYNRFSGSVPIWIAEKMPSLEVLILRSNMFHGHLPRQLSRMVRLHYLDVAQNNISGIIPSSLARFRAMADPRETDDDNLSYFSDIYNSDIISIFIKDRVLNYTNKFTRHTVLIDLSSNGFIGQIPKELSLLKALRSLNLSNNQLSGPILDDIGSLRRLESLDLSYNYFSGKIPSSLSDLTFLSCLNLSYNNLSGTIPSGQQLQTLNDQYMYIGNPGLCGPPLLKNCSMNVTNPTVSQQHEGGSSSFYISMSMGFIMGLWIVFCTMLFMKTWRIAYFQLLDQLYDKLYVQLAINKAAILRKFGSQEI >Sspon.05G0025960-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:36224851:36225012:1 gene:Sspon.05G0025960-2D transcript:Sspon.05G0025960-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSQRLPVVTVAFGRREAKRQAALGFVGASPPGGRSFSLSSAEGILKSRCANR >Sspon.02G0045900-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2B:112781620:112783272:-1 gene:Sspon.02G0045900-1B transcript:Sspon.02G0045900-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGCTKGWFWMSAAYCGELPRSSALKGGECNTLAKRLLHIVLRRIFKQLLYPLARGTSPTSSTSTPTLPEPIFQPEGLHHIFSVHTNITSAKHFSTRGFNDIFGVYTNIAFIDTFGMRGFADIFGETTTTRFRQHFGRRGFANIFVETQ >Sspon.02G0007270-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:24158765:24161048:1 gene:Sspon.02G0007270-2C transcript:Sspon.02G0007270-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASASKEEVIGKLNVRVVRGNNLAIADPLTHTSDPYVVLQYGAQKVKTSVQKKNPNPVWNEVLQLTVTNPTKPVHLEVFDEDKFTADDSMGVAEINITDIYDAAKLDLKHATDGTRIKTIYPVGVNYLGGESHVQWKDGKVVQDLILKLKKVESGLIVVQLEWVHVPGVKL >Sspon.05G0003730-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:25638761:25640734:1 gene:Sspon.05G0003730-3D transcript:Sspon.05G0003730-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEPGSALYALVLERSSGGSSLARSGESFKRLKCLLKTLKKARDPKYPRISTTGRNKLDQYQILKYPLTTESAMKKIEDNNTLVFIVDLKADKKKIKAAVKKMYDIQAKKVNTLIRPDGKKKAYVKLTPDYDALDVANKIGII >Sspon.05G0005710-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:18216519:18220680:-1 gene:Sspon.05G0005710-1A transcript:Sspon.05G0005710-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding FPFPLMRARQTKRRAVVARGGGVGAAAAAAEGEVAAVGAAPRRHAYASMMYMGTPRDYEFYVATRVMMRSLRRLSADADRVVIASLDVPPLNSFFRKDDGVKVVSVENLKNPYEKQENFNMRFKLTLNKLYAWSLVSYERVVMLDSDNIFLQNTDELFQCGQFCAVFINPCIFHTGLFCFQPSMDVFKNMLHELAVGRENPDGADQGFLASYFPDLLDQPMFHPPANGTKLQGTYRLPLGYQMETRLSDLKLRWSIPCGPNSVITFPSAPWFKPWYWWSWPVLPLGLSWHEQRAMQNRYSSEIPVVLIQAVLYIGVIAVTRLARPSLSKMCYNRRMEKNTTFLLSLLRVVAAWSILAAYTIPFFIIPRTVHPLLGWPLYLLGSFSLSSIVINVFLLHPMTVLTTWFGFIGALLVMAFPWYLNGVVRALAVFAYAFCCAPLIWASLVKTMSSLHVLIERDAFRLGEANQNAEF >Sspon.05G0001780-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:1369395:1369847:1 gene:Sspon.05G0001780-2B transcript:Sspon.05G0001780-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKCSSKIRYIVWLRQTLRRWRSRAAARAAAVEAAAAVPVPAGHVAVCVGGASRRFVVRAAHLNHPVFRELLRQAEEEYGFPSGACAGPIALPCDEGLFEHVLRHLSSPSKSSRFVTLEDLKSGAGLSCCCVAAAGDSLPLLHGIAADKAV >Sspon.02G0025960-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:90186300:90187745:1 gene:Sspon.02G0025960-1A transcript:Sspon.02G0025960-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRKDVALLVRAFVWLLALVAALGKASALLDHDCPPDPATYPPGRVVAIDLGNTNSCVAGYESGETTRTMFHHCIPSWVAFADDGTVLVGEDAMNHAAVNPEAAVSGFKRLLSKRFTRVFEREFAQSVKQNHPYKVVEKNVQLHIEVKTKDGEASNVGVDQLTATVLAKLKETAEAHLGHRVEAAILTLPLQFSDDASRSAAVFAGRLAGLKAMRMALSEPVAAAIAYGLSGNLRDEGNVVVLHVGGGTTEASVMTFVDGVYEALSSQYDPFFGGQDFDRRIVDHFVQLIRDKHGKDITNDGIALSKLRIACERAKKTLSHQEHAQVSIEWLVDGVDLSEPLTRAEFDELNHDLFLKVVEMVDRVVSQAEVDTIDEVLLIGGSTMIPKVRELIRDYFGGTKAVLHSRLKPDEVVTIGAAEYSKRHDALCT >Sspon.02G0032910-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:2178083:2180161:-1 gene:Sspon.02G0032910-1P transcript:Sspon.02G0032910-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGKDAAAGGKGRLFTVGLVTAWYSSNIGVLLLNKYLLSNYGFKYPIFLTMCHMSACSLFSYAAIAWLRIVPMQLPRSRLQLAKIAALSLVFCASVVSGNISLRYLPVSFNQAVGATTPFFTAVFAYLMTVKRESFLTYLALVPVVTGVIIASGGEPSFNLFGFIMCVGATAARALKTVLQGILMSSDGEKINSMNLLMYMAPIAVLFLVPATIFMEDNVVAITIQLAKKDINIIWYLLFNSSLAYFVNLTNFLVTKHTSALTLQVLGNAKGAVAVVISILIFRNPVSITGMLGYTLTVIGVLLYSEAKKRTKQ >Sspon.05G0010530-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:21590536:21596223:1 gene:Sspon.05G0010530-2C transcript:Sspon.05G0010530-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSAPSPSVFHNGEGPLSPDNMVHSYISHMLLEDNIDDQILCQYYDHPALLQMQQTLAHTLSSPSSSAKNSTINNGKSEGTEGFLHGSSGDPTSVSLGFDKGADVVGAFLKGMEEGRKFLPRDNKGADVVGAFFKGMEEGRQFLPRSNSLLKDDHMNQKFREPRDQRGFKKRCNRCEHLEEVGRCNKCEHLEEVGRTSKGMMTMEGPEEIGMQIFDDMSLCGYETFVRDMEKLRIAMNNKIVKNNRKGCSKVARDLVDLHTLLIHCAQAVDTGNLKIAVELLKQIKQHASATGDATQRLALCFSKGLEARLVGTGSQVSKLLMAERPSAVEFRKAYSLYIAACSFNKIAHIFSTRSIMQTMVGKNRLHIVDYGAMNYEFQWADLIRLLANRNREGDPPEMKITAISGYQPRACPSQWIEEQEHRLNMCASEFGMPFTFQAITMKREEVSIENLNIDEDEVLVVNDIFNLSSLVGESALFGDLSPRDTVLNNIRKMKPNIFIQSVLNFLHGTSFLSRFREALFCYSALFDMLDTIVPRDSEQRLVLEQGMLGRWALNAIACDGVDLIDRPEKYRRWQVRNRQAGLRQLPLEPDTVKELKDMVKKHHHKNFLLSEDDQWLLQGWKALPRSFSNNLCPTLHLDGSQDDNVLPFISRMLMEDDAVDEFLWQQYPDHNPALLQTQQLFAQVLSDTSSTSALAAVQVGAQGKELQDPPSLNSTATGAVEPASTLSPAAGSSCFKDAVSMAFLRGMEEANRFLPGGGGSTADCRGRKKRLDADGDDEQVEGRSSKQMAADGEKSEEAAAREMLDKLMLNGDDEPILADDMQELRAAMDMAKTPPGRPAGTRADPDQQQQAVDLHSMLIRCADAVADNDRRRAADLLQRIRHHSSPSGNATQRLAHCFAEGLQVRLNGTGNLHYRSSSSMPKSASSSGVQLKAMQFFMASCCFLPVNILFSNKSIYKAAAGRKKLHIVHYGLDHGLQWATLLRWLARREGGPPEVRLTGIDVPQPGFRPARLIEEAGRRLTAYAHQLGVPFRFCGIAAKSEAVRAGDLDIDPDEVLAVSSLFHFRTLTDEGTMATDDGEAGTDPIGAVLGAIREMKPSVFVHAVLNASYSTAFFATRFREALYNFTAQFDMMDTILPRDNGSRLLFERDVLARCAVNVIACEGADRVQHPRSYKQWQARSWRAGLRQLPLDYDIVQTLKDKVEREYHEHFVI >Sspon.02G0059850-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:101310618:101314462:-1 gene:Sspon.02G0059850-1D transcript:Sspon.02G0059850-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cellulose synthase-like protein D5 [Source:Projected from Arabidopsis thaliana (AT1G02730) UniProtKB/Swiss-Prot;Acc:Q9SRW9] MSRRLSLPAGSQVTVTVSPTRGGKAESPGDGPGAEALAGGAGSSTVATLQLSPVRRSGGGGSRYASLDGGAVGDSSEFVHYTVHIPPTPERTVAASAESIDAPAPTAYDEDGGAAEVRPPQRSYISGTIFTGGLNQATRGHVLNTSAASSAAGRGLRQHVVQDARLRHAGVPGLRRRRRAVRLRLHDLPRVLRGLRRGRGELPRLQGALLAGSDTDDDDGEDDEAVSSSEERDQLPLTSMAKRFSIMHSMKIPSNNGGGGGKPAEFDHARWLFETKGTYGYGNALWPKDGHGGGGGAAYWEPPNFGSRCRRPLTRKTSVSQAILSPYSLTLLVALLRCRLLIAIRLVALGFFLTWRIRHPNPEAVWLWALSVTCEVWFAFSWLLDSLPKLCPIHRAADLDVLAERFELPTARNPKGHSDLPGIDVFVSTADPEKEPPLVTANTILSILAADYPVEKLACYLSDDGGALLTFEALAETASFARTWVPFCRKHGVEPRSPEAYFGQKRDFLRNKVRVDFVRERRKVKREYDEFKVRVNSLPEAIRRRSDAYNAGEELRARRRQQEEAMAAGTLPGALPEATAVVKATWMSDGSQWPGTWLTSAPDHSRGDHAGIIQLLIQDADVRLKLQAMLAPPTSEAVLGAEPAESGGLIDTTGVDIRLPMLVYVSREKRPGYDHNKKAGAMNALVRTSAIMSNGPFILNLDCDHYVHNSAALREGMCFMLDRGGDRICYVQFPQRFEGIDPNDRYANHNLVFFDVAMRAMDGLQGPMYVGTGCVFRRTALYGFSPPRATEHHGWLGRKKIKLFLRKPTMGKKTDRENTNDREMMLPPIEDDAFQQLDDIESSALLPRRFGSSATFVASIPVAEYQGRLLQDTPGAHQGRPAGALAVPREPLDAATVAEAISVISCFYEDKTEWGRRIGWIYGSVTEDVVTGYRMHNRGWRSVYCVTRRDAFRGTAPINLTDRLHQVLRWATGSVEIFFSRNNALFASPRMKFLQRVAYFNVGMYPFTSVFLLVYCVLPAVSLFSGKFIVQSLNATFLALLLIITITLCLLALLEIKWSGITLHEWWRNEQFWVIGGTSAHPAAVLQGLLKVIAGVDISFTLTSKPGGAGDDGDEDAFAELYEVRWSFLMVPPVTIMMVNAVAVAVASARTLYSEFPQWSKLLGGAFFSFWVLCHLYPFAKGLLGRRGRVPTIVFVWSGLISMTISLLWVYISPPAGARELIGGGGFSFP >Sspon.03G0009680-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:32822169:32825111:-1 gene:Sspon.03G0009680-2B transcript:Sspon.03G0009680-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLRVGALALLLLLFVHGGGQQAAAAGGDAFVRVQGTRFVQNGKSFFANGFNAYWLMTFGADPAQRGKVTSALSQAAGAGLSVARTWAFSDGGGSSSALQYSPGRYNENTFQGLDFVLAEARKYGIKMILSLVNNYDSFGGRKQYVQWAREQGQTIGSDDEFFTNPVAKGIYKNHIKAVLTRVNTITGVAYKDDPTIMAWELMNEPRCQSDVSGHTIQSWITEMAAHVKSIDGNHLLEAGLEGFYGASSSPSRRSSVNPSGYQVGTDFIANNQAPGIDFATVHSYPDQWLPSLDAPSQLRFLGAWLDAHIADAQAVLRKPLLVAEFGKSRRDPRYSGDQRDAVFGAVYAKVYNSARAGGPAAGALFWQLLTEGMDSYGDGYEVVLRQAPSTTGVITTQSRRLQGLVRAFVRARKVQRGKTGKGARGGGN >Sspon.06G0018190-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:98226337:98231284:-1 gene:Sspon.06G0018190-1A transcript:Sspon.06G0018190-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LYGSSTIAFGPYGEHWRKVRKLVTTHLFTVKKVNSFRHARQEEVRLVMAKLKKAMATGMAVDMSETMNTFANDIICCIDCGIVVVSGKLFREDGRNKTFPELIEMNSALYAGFSLANYFPGLVNSLGIFTRFVSKKADKRHERWDEVLENIISEHERRSFNYRGGDRAEQEEGTDFVDVMLSVQQEYGITRDHIKAILMDMFDAGTVTSSLVLEFAMAELMRNPHLMTKLHAEVRNKTPNGLEMVKEENLPSMAYLSAMVKETLRLHPPGPLLLPHQCTVDCDIDGYTIPSGTRVIINAWAVCRHLESWEKAEKLMPERFMDGGSAA >Sspon.03G0039350-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:13054449:13061967:-1 gene:Sspon.03G0039350-1C transcript:Sspon.03G0039350-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SMALGGGAGIFRRAWRRCRGLHNTPGLAQDLEVAGAARGTGRCPRRMACGVFRLRCFLISSEAQASVRAANRIQRKETDSDQRKERKAAERDGATPRSGEELGAARSILSCPSPLPTSVKLDRASFLHTASSKEKISEARATWVTPIDPVPNEKQTTASPPAATSRSIPSLAFTLEHGGALALLSRPAKFLLPGAMNPYDLRFADPSSYHDRRSDLAVAPTFAPPAPVAAANPSPYAVAYPPVPVPAPAAPAGGGDYQRYGQGGRGRGGGGRGGGGGYGGGGRGGGRGRDGLDSLALPKPDFRSLIPFEKNFYVECPSVQAMSEADVAQYRRLRDITVEGRDVPKPVRYFQEANFPDYCMQAIAKSGFVEPTPIQSQGWPMALKGRDLIGIAQTGSGKTLSYLLPGLVHVGAQPRLEQGDGPIVLILAPTRELAVQIQEESTKFGSYSRTRSTCVYGGAPKGPQIRDLRRGVEIVIATPGRLIDMLEAGHTNLRRVTYLVLDEADRMLDMGFEPQIRKIVAQIRPDRQTLYWSATWPREVEALARQFLQNPYKVIIGSPELKANHSIQQIVEVISDHEKYPRLSKLLSDLMDGSRILIFFQTKKDCDKITRQLRMDGWPALSIHGDKAQAERDYVLAEFKSGKSPIMAATDVAARGLGMMIYLNIRIR >Sspon.07G0019840-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:69687538:69691176:-1 gene:Sspon.07G0019840-4D transcript:Sspon.07G0019840-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGHQHHHRLHASPSPPGLLHHISLPVRSLHRTRPPSVSFRPHLPRPPQSLPSPLPKKRSDHEAAADFGELGAGGAVADDLTVAEEQLEYGGGGVYTPSVGAGLPALLRAGRAGPAGDPVFFLLTAVAVTTSVAFSGMVAVAIPTMLAMRRAANSFTMLADAALEELPSTIAAIRLSGMEVTDLTLGLSDLSHEIADGVNKSAKVAQAVEAGMGQMQDIAMSMVKERASLRTIPTAGLDNQSHKSSRQQRRRERGANT >Sspon.03G0012780-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:45516114:45521215:-1 gene:Sspon.03G0012780-2B transcript:Sspon.03G0012780-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSSRFFCLVKIWDPSLRGSELRGTLKGHTRAIRTISSDRGKIVSGADDQSVIVWDKQTFKLLEELKGHEAPVSSVRMLSGERVLTASHDGSVKMWDVRTDTCVATVGRCQSAVLCMEYDDSTGILAAAGRDVMAHVWDIRSSKQMFKLQGHTKWIRSMRMNGETIITGSDDWTARVWSLNRGTCDAVLACHAGPILCVEYSPSDKGIITGSTDGLIRFWENEGGIKCVKNLTLHTASVLSISAGDHWLGIGAADNSMSLFHRPQERFGGFSNAGSKVAGWQLYRTPQKTAAVVRCIASDLDRKRICSGGRNGLLRLWDATTSI >Sspon.04G0021140-2D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4D:81946883:81947980:1 gene:Sspon.04G0021140-2D transcript:Sspon.04G0021140-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRCSEPSSFEFQNPIPPPPPPSSSSSSSSSSLCFPQIGIGIGIGYSTSSSSVMGCVSSSLLEGDGAEDDRRRIISHHHIVSLTSSTYGVLTSPRAYCSSSSTAKPVALGPEAFAPPPAAATHCVPPPPPPPPLPRPPTTTRQQAPPAPKPESQPQAEVINSWELMAGLVDPSTPAKLDGGRDHQRRRRIPLRAIDGNSSASKASLPPSSVVLYTTSLRGVRATFEACNAVRAALQAHGVAFRERDVSMDRGFRDELRSKLGLLGGARAPAAMLPRLFVRGRHVGGAEDVLRLDEEGLLAPLLEGLPRARGGGGAYCCDGCGGMRFLPCFDCSGSRKLAVTLPAVATSAAATASCRRRKKAGTVVV >Sspon.05G0012150-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5B:30359431:30361334:1 gene:Sspon.05G0012150-2B transcript:Sspon.05G0012150-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDVELFYKDTSDHSISSEEEDMLVRSRSNLNVSFGYHCDSYQSFSPENDHGNRISPKNIFGTNTIMGSQNGSFTCLSGAAISANFTLANTNICNGLIGEEILPELDSPNAFRKIVSSPSMSRLDSLSTSQGSPVSTESSIIEISKNLWRSSAPTTVSSNFLTNTEVKMAGGAAGEDRVQAVCSEKNGWLICGIYDGFNGRDAADFLAVTLYDNIVYYLYLLENRIKQQNGLYNSSESSLNGLKSELTLAMRNSENEDIKFSETFRADLFILNMGDSRAVLASMPYVENGALMATQLTETHSLENPLEYQRLLADHPNDPSVVRGNKIKGKLKVTRAFGVGYLKQRRFNDALMGILRVRDLSSPPYVYTNPHTLSHKVTDDDLFVVLGSDGLFDFFSNDEVVRLVYQFMHDNPVGDPAKYLIEQLILKAAKEAALTAEELMRIPVGSRRKYHDDVTVIVIILGNAQRTMTASTSL >Sspon.03G0016650-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:52206030:52211246:-1 gene:Sspon.03G0016650-1A transcript:Sspon.03G0016650-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGGDTAAWGEEEPVARRRPKTKIVCTLGPASRSVEMISRLLRAGMCVARFNFSHGSHEYHQETLDNLRAAMELTGDPLRRYARHQEIRTGFLKDGKPIQLKKGQEITISTDYSIKGDEKMISMSYKKLVDLKPGSVILCADGTITLTVLHSDKEQGLVRCRCENTWMLGERKNVNLPGVIVDLPTLTDKDKEDILKWGVPNKIDMIALSFVRKGSDLVEVRKVLGEHAKSIMLMSKVENQEGVANFDDILANSDAFMVARGDLGMEIPIEKIFFAQKVMIFKCNIQGKPVVTATQMLESMIKSPRPTRAEATDVANAVLDGTDCVMLSGETAAGAYPELAVQTMAKICLQAESCVDHAAVFKSIMASAPIPMSPLESLASSAVRTANSAKAALILVLTRGGTTARLVAKYRPSMPILSVVVPELKTDSFDWTCSDEGPARHSLIVTGVIPMLSAGTAKAFDSEATEEALGFAIENAKAMGLCNTGESIVALHRIGTASVIKLLTVN >Sspon.02G0011610-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:29309913:29312944:1 gene:Sspon.02G0011610-2B transcript:Sspon.02G0011610-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding NMDLVQLFITACVPVFNMLLVTGVGSFLATDFAGILSKEARKHLNNVSNVKGCTKAVIVFYVFSPSLIAIYLAKTITMESLAKLWFMPVNILLAFTFGLFFGWIVVKVTRAPAKLKGLILGCCSAGNLGNIFLIIIPALCQEKGSPFGAADVCQDIGLAYSSLSMAIGAVFVWSIAYNIVRVTSNLTEGDDDAQTNETKVLNSGNVTEENCSTSNDSTDECTLPLISASIRPAKDKDSMLERTRKVLSSISEAVDLKKLFAPSTIAVIVGFIIGGTPLIRNAIIGDSAPLRVLQESSELIGGGAIPSITLIMGANLLNGVRGRASVPPSVIAGVIVVRYVLLPLLGTALVKGAVRLGLIQPDPLYQFILHLQYAVPPAMNIGTIMQLFGVGESECSVIFVWVYALASFAVTIWSAFFMWTLS >Sspon.06G0000400-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:2189779:2193383:1 gene:Sspon.06G0000400-1A transcript:Sspon.06G0000400-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVATLIIAGVLVVSAWPAAAPPPTPTPYGNGSSCQRRCGDLEIPYPFGIGRGCYHYTGDGDITFELTCRRTAGGGYQAFSGKDVEVIDVSVRRGQARVRNGIQPWCYNRTSRSMGDNSLWWTDLSDSQFRLSNEGNRFVVVGCNSLAYVQSVNTGTVYMTGCMATCPDAGTLVNGSCAGMGCCQAAIPRGINTYGVQFDDRFNTSAVTGFSPCSYAVLMEAAAFDFRTTYVTTGDFMASTGGKVPLLLDWVVGKETCREAPRNATAYMCVSDDSKCVDSRNGPGYLCNCSAGYQGNPYIPAGCQDINECEDPDKYPCSVPGTCTNTPGSFICSCPDKTTGNAYNGTCEANKSQLGVRVAVGVSAGLAVLVVTMACAYMIRQKRSLAAVKQRYFKQHGGLLLFEEMKSKQGLSFTLFTEEELEEATGGFDERNVLGKGGSGTVYKGSLRDGTAVAIKKCKLVSERQEKEFGKEMLILSQVNHRNVVRLHGCCLEVEVPMLVYEFVLNGTLYQLIHGHRHGGSRASFATRLKIAHEAAEALAYLHSWASPPIIHGDVKSPNILIDESYAAKVSDFGASTLAPTDEAQFVTFVQGTYGYLDPEYMQTSKLTSKSDVYSFGVVLLELLTCRKAMNLQALDDEAINLSAQFLHAMGEKRLDEILDEQIKGEQSMELIEQVAELAKQCLEMASDKRPSMREVAEELDRVRKLQEETCDEEELKALLMMADDPPSVLARKSAPAQPWSHVETMHLIDAYEDRWTALRRGQLKAHQWEEVAAEVVARCAATPGVVAHRKTGTQCRHKLEKLRKRYRTEGARPVTSLWPYFRRMDRLERGPLAAYPAAGGSPPAADGDEEEEEEEEEEEEDVQEEEGEEEEELVPRNHTRSINGIIREFGTGFAPRHPQLQLHQPPPSSITPSTAPPRKRVAYEAFRGGSSGRRAGGAGAQLSDVLRDFGEGVMRLERRRMEVQWEIERGWQEADARHARMLQDAQRQLRDTVAAACALPPKKARRDHGDS >Sspon.04G0006320-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:17389551:17393174:-1 gene:Sspon.04G0006320-3C transcript:Sspon.04G0006320-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAATTNGSAEPALKFLIYGRTGWIGGLLGGLCAARGIPFAYGAGRLESRASLEADIDAAAPTHVFNAAGVTGRPNVDWCETHRAETIRANVVGTLTLADVCRGRGLVLINYATGCIFEYDAGHPLGSGVGFKEEDTPNFVGSFYSKTKAMVEELLKNYENVCTLRVRMPISSDLSNPRNFITKITRYDKVVNIPNSMTILDELLPISIEMAKRNLTGIWNFTNPGVVSHNEILEMYRDYIDPSFSWKNFDLEEQAKVIVAPRSNNELDQTKLKREFPELLSIKESLIKYVFEPNCKTSKA >Sspon.01G0017480-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:67339363:67340451:1 gene:Sspon.01G0017480-2B transcript:Sspon.01G0017480-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MERWAPARERPRRRPGQPSFSSTLLDAICDSLDEQAGGQGATAERAAAPTPAGSAKKQQQAALHYYYYYKPSLAASHRAARAAPAPADDCSSGRGYFSSSEVEYSLRRLRPIRTSGGAVGPASVAPAEKQQPAPPGTASRRARKPSAAAPASGGCRRPASPGARLASLLNAIFSGKRHSARQHPAPADDEPACSTAPSSARPCLAKTPPTVRFLDIEGEVAVAAAAAGCRRFPVVEVEGSDGGGESSDASSDLFELENLAALAPANGGSGCRRTCEDELPVYGTTGAGLAHDIGLVRRRPFGYDFGSCVFAAIKCSGDKCIT >Sspon.03G0022650-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:69030855:69035652:-1 gene:Sspon.03G0022650-1A transcript:Sspon.03G0022650-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAPAAAAIPAAEPLAAVAEEGEGEAEAGADAPAEAVGSTLTMERVAAAKKFIESHYRSQMKNIQERKERRFRLERQLASSQVPKEQQINLMKDLERKETEYMRLKRHKICVDDFELLTIIGRGAFGEVRLCREKTSGNIYAMKKLKKSDMVVRGQVEHVRAERNLLAEVASHCIVKLYYSFQDTEYLYLIMEYLPGGDIMTLLMREDTLTEHVARFYIAETILAIESIHKHNYIHRDIKPDNLLLDKNGHMKLSDFGLCKPIDCSKLSTLNEDEPMGDDNLRESMDIDSSLSDTANGRRWRSQHEQLQHWQMNRRKLAFSTVGTPDYIAPEVLLKKGYGMECDWWSLGAIMYEMLVGYPPFYADDPITTCRK >Sspon.04G0015380-3D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4D:66646698:66646988:1 gene:Sspon.04G0015380-3D transcript:Sspon.04G0015380-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRKPGDWDCRACQHLNFSRRDICQRCSEPRGVTDRGSGGGGDYANFGGRGGSSFGGGFGAGSDVRPVTGTAPAVHTTSPAAPAASSALPTRRRLL >Sspon.04G0034650-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4C:77330069:77332492:-1 gene:Sspon.04G0034650-1C transcript:Sspon.04G0034650-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVLVSNLAASVLEKVSSFCTEWAVNEVKSALNVEKELGKLETSLRSICAVLRDAESKQSTSHRLQEWLDNLKNAVYDIDDVVDDVATKALEQEVHNSLFSQASHLLVYPFKLSHEIKRVREKLDEIAANKANFGLTDQPIECQESRSSNRESHSFVDKPDIIGRDEAKKEIIARILTAANSRAPLSVLPIVGLGGIGKTALAKLIYNDEKVTNEFDMKIWACVSDVYDLKKILDDIMQSSTGESHKHLNLEAIQRKIYALLHEKRFLLVLDDMWNDKASDWEELRGLLRRGGSGSVIVVTTRGWNVASVVKTLEPYHVAKLPHDKCMEIFVRHAFRAEETKDTKLLEIGQSIVEQCYGIPLVAKTLGSLLSSSREVEEWRRIKEDKSWHAKQQDTDVLMDCQELTSLTEGLGSLAALREIRIFNCPKLASLPSSMRQLSALCRLRIHDCAELDLMEPEEALSGLCNLRSLVLQGLPKLVAFPDSFRSAALSLEYLAIADCTGLEKLPSFIQDFSSLEEIWIEDCPAFSRGFEEDS >Sspon.05G0006210-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:21225153:21229254:-1 gene:Sspon.05G0006210-4D transcript:Sspon.05G0006210-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALWKQASRLKDQVARQGVFKQFGYGNSDNAFTDESEVKLHQRLEKLYLSTRAAKHFQRDVVRGVEGYIVTGSKQVEIGNKLSDDSQKYGVENTCTSGDTLSKAATFFGKARSQIEKERGNMLKAFGTQVAEPLRAMVMGAPLEDARHLAQRYDRMRQEAEAQVVEVSRRQNRVRESAGNGDMISKLEAAEYKLEELKSNMVGLGREAIAAMSAVEAQQQRLTLQRLIALVEAERAYHQRVLEILDQLEQEMVSERQKIEAPPTPATENYMPPPPPPSYDEVNGAFASTSVNESVQPVDFFLGEALDSFKAESDFELTLSAGDIVIVRKISSNGWAEGECKGKAGWFPHAYIERRERVLASKSGEDFG >Sspon.03G0011440-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:39222914:39229500:-1 gene:Sspon.03G0011440-2C transcript:Sspon.03G0011440-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVATRSKTAPGPRPRSRPRPDLAAHPSEEELITSFLRPRVVCAAGDRPCASFIHDADVYSAGPGELTGGHAPAVASNGDSAWYFFSAVRAKTRDGQRKARTVDTGEGCWHSEAGAKPVVEEGHGGRVLVGHRQGFSFVTKVDGRRVRSGWLMVELSLDGADADDVVLCKIYFSPRARASAAAASASSGGKRKAAADDKNPVSSARRRRRGRPTEAEAGTAAPNDAEEKDNTQSRRGLADDNSTVTDDPDALWMDDSFFSWWMNKDWLMEEYSIVDRPDEEIQKTYGLDEYLRLLKHHYIDFGCGHSPTGVKTSAFIHDADVYAADPADLTRQFAPAVAGDGERAWYFYTPLHHKSVHGKRKTRTVATGGGCWHNEAKSKPVYMVSNGKQHQIGHRQSFSFVKKDTGVRVRTGWLMIELRLLKDGEKPEEDSALGNRVLCKVYRSPRNPEPSGSGAAAAAAASPGHKAEADDDESSDATLDDDYDDDDDSSNASLEAASGPKRRRPGDMESSEATVVAPSRHSKANDEIPGGAAAAPGRSEKAEIGEDSVETSAAAPPRKRKALDDESSGAAAPARKEKADGSSSPGAPVSATEMQCPQCGTHLVVTLKRAESKSETEIAKNESAPGASGAPQRGETRGSSQKNVRFHQFLPPKPPPPTFAAGSSSPKPPPPTFNSHPSYEELMDSYLRHRVVSGTKVGFIHEADLYSADPYQLTQNHLPATARSGERAWYFFTTLRPKGSSKTQMKRNVDTGREGTWVSAGVVNNVLSNGQLIGRRRGFAFHDKVGGNLVKSAWRMMELRLDPNEGGQEEGPSDLLALCKVYRIPNARAEDESSMAVAKADAEGPAASAVVTPGPKGADEEIGAETAAGPGRKRKAGDKDSGAETVASSPGRQKKADGDGESADAATTGEIFADSKDPLDAAEKAEQEPRDPGVPRSTISSQIRRTQRKGD >Sspon.03G0027910-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:7113870:7114619:1 gene:Sspon.03G0027910-1B transcript:Sspon.03G0027910-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNFVSANGSDVAAAELDDVWEDVSDSPRHAYTLDREWTHRQNQFQKMGYRDGITEGQKDSAQEGFNVGFRQSVNVGYKWGLVRGVASALASLPDSLKEKLVPDVQCRGKLQGLHSSVQEISADDALQMFHESICQSNRPSEGSGSHVTSTSDGATESNRMKSLSKDLVLLLHECSDIKV >Sspon.01G0019100-3D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:68294667:68295369:1 gene:Sspon.01G0019100-3D transcript:Sspon.01G0019100-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALIDPGRGGGGRARASGTGSGAGPAKPRLVMIVADPGRESTAAMEWALSHAVVEGDDILLLHVNMPYPHNGAAGGAAGPSRSSSGGSTGSPIAALLGGGSGAGADPADFVEAMRAACKTRYPRARVHGERVEPATEGREAKAQTILAESQRRGVEVLVIGQRRVSSSFLGLRSPSGSSRGHDTTAEFLIEHS >Sspon.01G0001910-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:5672138:5673116:1 gene:Sspon.01G0001910-3C transcript:Sspon.01G0001910-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to Chitinase 11 [Source: Projected from Oryza sativa (Os03g0132900)] MRKVFAPLLAVAASAALLAAAGVVVSAQQGVGAIITASMFQSMLSHRGDSGCQGAFYTYDAFIKAASKFAGFGTTGDDQTRRRELAAFFGQTSHETTGGWATAPGGPFAWGYCRVKEQNPTDPPYYGRGPIQLTHEYNYRLAGQALNLDLVGNPDLVSSDPVVAFKTAIWFWMTPQSPKPSCHAVMTGGWTPSAADRAAGRLPGYGVTTNIINGGLECGKGQSTDGAKDRVGYYKRYCDMLGVGYGDNMSCKDQKPYGG >Sspon.03G0030900-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:31058404:31061638:1 gene:Sspon.03G0030900-2C transcript:Sspon.03G0030900-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGITHIIVDEIHERDRFSDFMLTILRDLLPVYPHLRLVLMSATIDAERFSQYFNGCSVIQVPGFTYPVKTFYLEDVLSILQSAGGNHLNTTSDKKESNVLTDDFKSSMDDSINLALVNDEFDPLLELISAEQNTEVYNYQHSETGVTPLIVFAAKGQLGDVCMLLSFGVDCSAQDHDGKSALDWAQQENQQEVCEVIKKHMECSSEKSTEDNELLNKYLATINPEHIDTLLIERLLGKICMDSNDGAILVFLPGWEDINQTRERLFASPFFRDSSRFLVLSLHSMIPSSEQKKVFKRPPAGVRKIILSTNIAETAVTIDDVVFVIDSGRMKEKSYDPYNNVSTLHASWVSKASARQREGRAGRCQPGICYHLYSRFRASSLPDYQIPEIKRMPIE >Sspon.01G0002720-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:7342958:7343428:1 gene:Sspon.01G0002720-4D transcript:Sspon.01G0002720-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQIQYSEKYFDDTYEYRHVVLPPEVAKLLPKNRLLSENEWRAIGVQQSRGWVHYAIHRPEPHIMLFRRPINYQQQQERLQRRCWP >Sspon.03G0013000-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3A:36440781:36441728:-1 gene:Sspon.03G0013000-1A transcript:Sspon.03G0013000-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SLDTVTQLRLALKTVFDLPSKVPVGPLWQASPSRPIRSGGRRSRGKPRPRGGKRRVREKERRVARAGSRGVKAREDLPPKRVRLTPAGPEREGKGGERGREKSAAAGGSPEIGVEPPSARLLRARPPRPRDFALLDREPPLHPLTVSLRSVRDPTPAPSAARSVRD >Sspon.02G0047830-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:19780509:19783853:-1 gene:Sspon.02G0047830-1C transcript:Sspon.02G0047830-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSKAPDPCNMGTGAPDPLSTLLPRPRDTWSLLVRQGATGALTTAPTPTDGTDVTAHSQQKRGNHALTQHDPGRPIPKCDPLLIWTSGSERVDPSRKRVQRVEPLSTPIDPRIKPPVRLGAPPQDCKSGAPRRLGLLGPPPPSVVAATRHSSSLPVACSALRILPARGAPRGSSLLVAVAVKSPLRCHSSRRRRFPLQVRSLGAFLS >Sspon.05G0003240-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:9673917:9674432:1 gene:Sspon.05G0003240-1A transcript:Sspon.05G0003240-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAGFSRPSAGEILWNGHDITSPGVFQQYKLQLNWMSLKDAVKEKLTVLENVQWFELLEGKDGSRSGPAIELMGLGRLMNEKARMLSMGQRKRLQLARLLAIDRPIWLLDEPSVALDAEGTRLLEYIIAEHRKKGGIVFVATHLPIQIEDSMSLRLPQRFPRRKTLVDLVH >Sspon.04G0021180-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:74126153:74131902:1 gene:Sspon.04G0021180-1A transcript:Sspon.04G0021180-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSVSERSLIIESDDEDEEPSAAARSTRRHRHEDEERSGSGSDSDSGSSSSSCATPREQDEFTPQIRDPNELNFRIYRLLIHSYWIINMHGNMSQSINILSSVQSPNLSFLGTPTLSRLSNSFITNSFRGKTPEIISNLVKPLLHPTTSDDLQQQQHEERKSSQYLLPSRKPSLQQIPEDQKPVPVAHEVSPYHKCSYTQAVVNGINVLCGVGILSTPYAIKQGGWLGLVILCLFAILAWYTGVLLRRCLDSKEGLETYPDIGHAAFGTTGRIAISIILYVELYACSIEYLILEGDNLSKLFPNAHLTIGSLTVNSHVLFAILTTIIVMPTTWLRDLSCLSYLSAGGVIASILGVFCLFWVGVVDNVGFENKGTALNLPGIPIAIGLYGYCYSGHGVFPNIYSSLKNPVMGYKMFGEATQSQFTLNLPENLVVSKIAVWTTTYILPCACFLAILRRKVSWHQVAACSFIIVVGVCCACVGTYSSLSKIIQNYT >Sspon.07G0008660-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:20654221:20665314:1 gene:Sspon.07G0008660-4D transcript:Sspon.07G0008660-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:E3 ubiquitin-protein ligase KEG [Source:Projected from Arabidopsis thaliana (AT5G13530) UniProtKB/Swiss-Prot;Acc:Q9FY48] YEVADWVKFRRTVSSPAFGWQGAGPRSIGFVQSVVDNDHLAVSFCTGEARVLTSEIIKVIPLNRGQHVQLKPDVAEPRFGWRGQSRDSIGTVLCVDDDGILRVGFPGASRGWRADPAEIERVEEYKVGNWVRIRPSLTVAVHGMESITPGSVGIVYSIRPDSSLLLGLCYLSHPWLCEPEEVEHVDPFKIGDQVCVKRSVAEPRYAWGGETHHSVGKIIDIESDGLLIIDIPNRAAPWQADPSDMEKIENFKVGDWIRVKATVPSPKYGWEDVTRNSIGIVHSLQDDGDVGVAFCFRSKPFLCSVADVEKAQPFEVGEKVHVSPSISEPRLGWLNETAATIGAISRIDMDGTLNIKVSGRKSLWKVAPGDAERLSAFEVGDWVRPKPSIGSRPTYDWNSVGRISIAVVHSIQDSGYLELAGCFRNGKWLTHNTDIEKVQTLKIGQHVRFRAGISEPRWGWRDANPDSRGIIAGVHADGEVRVAFFGVPGLWRGDPADLEIENIFEVGEWVRLRNDVEQWRSLKPGSIGVVHGVGYQGDAWDGTIHVAFCGEQERWIGPSSQLEGVSKFVVGQRVRIRGCIRQPRFGWSNHSHSSIGTISSIDADGKLRIHTPAGARAWLIDPAEVEKVVEEEEVCVGDWVKVKDSVGTPVYQWGDVNHNSIGVVHRADDGELWIAFCFCERLWLCKAWEVEKVRPFREGDKVRIRPGLVSPRWGWGMETYASKGEVVGVDANGKLRIKFRWRDRLWIGDPSDIVLDDAHLLTEASNGF >Sspon.02G0023340-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:79474551:79477601:1 gene:Sspon.02G0023340-1A transcript:Sspon.02G0023340-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DIYADTVDEAAERILLVLKEKTNTTRTVGSRDNVFYFDGWDGLGASAVLRAIAQRLATTSADGRRALAELEFDQVIHIDYSMWESRRALQRLVAEQLRLPSEVMELFDREDEEDDFRGVAIAPVLSEEIDLASLYGFPLSGFSTNKVLWTFQGRFRLIPRREVDKTMKSERTTTDAFISAVSGRSVEQLPPELWSYLVQKEASEVAEMCKINTDRPTQVEECFLYMLGLCCRGRHSVDYDWATHGANYWICDGIIQHQGQGDREADADGDNDGSWRAANALQSDMALDVDYHQYLPSSHLARFVESKPSWMSPNYGFNQIPDRTIPNGDIFQHYADKLSVLKLSRCTFDFQSPPFLCCHSLRFLWLDHCQGTRTSTDVAGKEEDIRQCFQRLWVLDVRYTDCDQILSAQMLDLMTQLRELNVIGAEGWDMGQLQGRLPNIRKLRVKNSEVSCSCPQNDLFSEMNQMEHLDFSRNSSLSRMSLFGPGVSSSNVWCLETVVIVDAFRGYGLEQISFRGCTKLKNLHLGGWMWGLHTLDISGTAVKTLDLSTTRIQELDELYLLDCEKLCAILWPPKDKMKGGGLAKLCIDTTQSIPTAQSREEKSKRGTSTATTGTSVAPAATPHGNQPSSQFDWHISVRDARLLGSLKPVYSDSRKAYVEICSPPHPTAVGGGIKDGVFKSASSREQQVLVNLQRRPAPAVYTDISMDHLQQASEGGADTLGIMWIWPCPDVPDLSEKRCYIHIQDQIKTKLSRGGEETSTITVPRFLTECAKILHVHDSLSIASITSDEYDGSEWPDLEWCTIERCPKLDFVFNKVVDIDFTYQLRTFWASQLLKSRYISTSNGWRSFPDLTLLHLDFCPRLIHALPWTWKFWRNDEDSLRLLETLEIAWLKRIHLHELPSLQHICGVRMSAPNLETVKIRGCWSLTRLPDIGNSNKVVECDCEKEWWDRLEWDDRSQADNYKPIHP >Sspon.06G0019990-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:6603506:6604163:-1 gene:Sspon.06G0019990-1B transcript:Sspon.06G0019990-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAYGSRQKQQLRRCVALCRFLLVAVLVIGDAVADARAGSPRTDDEVALQTGQLQGFSRRSGEAPMTTTTTTAAGGWPALNKEQETAAGGGRRRTLVGSRAPTCTYNECRGCRHRCSVQEVPIDASDPINSAYHYKCICHL >Sspon.04G0018970-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4A:67668558:67671571:-1 gene:Sspon.04G0018970-1A transcript:Sspon.04G0018970-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Trivalent AI influx transporter, Aluminum (Al) toleranc [Source: Projected from Oryza sativa (Os02g0131800)] ARKLECIIAAFMFTMAACFFGELSYLRPSAGEVVKGMFVPRLQGKGAAANAIALFGAIITPYNLFLHSALVLSRKTPRSVKSIRAACRYFLIECSLAFVVAFLINVAVVVVAGSICNAGNLSPGDANTCSDLTLQSTPLLLRNVLGRSSSVVYAVALLASGQSTTISCTFAGQVIMQGFLDMRMKNWVRNLITRVIAIAPSLIVSVVSGPSGAGKLIIFSSMVLSFELPFALIPLLKFCNSSKKVGPLKESIYTVVIAWMLSFALIVVNTYFLVWTYVDWLVHHSHLPKYANALVSIVVFALMAAYLVFVVYLTFRRDAVATYVPVSERAQAQAEEGGGAQAVASAADADQPAPFRKDLADASMSYVTRSTRELMAKFYAVLGRRPYRLQLKQEEHRQTLDAVKGRVYTKDPLP >Sspon.01G0008650-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:25786261:25792036:-1 gene:Sspon.01G0008650-3C transcript:Sspon.01G0008650-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVASAAVPPPPHSAPPQVGAPPYGPGLAGILPPRSEGEEKKQEKVDYLNLPCPVPFEEIQREALMSLKPELFEGLRFDFTKPLNQKFSLSHSVFMGSLEVPAQASETIKVPTAHYEFGANFLDPKLMLIGRVMTDGRLNARVKCDLTDNLTLKVNAQLTQEAHYSQGMFNFDYKGSDYRAQFQIGNNAFYGANYIQSVTPNLSMGTEMFWLGHQRKSGIGFASRYNTDKMVGTLQVASTGIVALSYVQKISEKVSLASDFMYNHMSRDVTASFGYDYLLRQCRLRGKIDSNGVVAAYLEERLNMGVNFLLSAEIDHCKKNYKFGFGMTVGE >Sspon.08G0013630-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:56814608:56817801:-1 gene:Sspon.08G0013630-1A transcript:Sspon.08G0013630-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRPLTVIAGASGGSERDLSASVVSVDALDSVASDSDLEAKEPSVATMLTSFENSFDKYEALSTPLYQTATFKQPSATDYGPYDYTRSGNPTRDVLQSLMAKLEKADQAFCFTSGMAALAAVTHLLKAGQEIVAGEDIYGGSDRLLSQVVPRNGIVVNLAKEIAFLQNAEGSGLAPFDCWLCLRGIKTMALRVEKQQANAQKIAEFLASHSRVKQVNYAGLPDHPGRALHYSQAKGAGSVLSFLTGSLALSKHVVETTKYFSVTVSFACRASCPTQQSLPRSAERGLTDDLVRISVGIEDVEDLIADLDHALRTGPA >Sspon.06G0005880-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:18469442:18479953:1 gene:Sspon.06G0005880-3C transcript:Sspon.06G0005880-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDARGGHSSAATALAAVAGGIAEEAARLWSELQLPVRVDWAAVAAQCASLWAHARALLLVPAVRLLVFLSLAMTVMILVEKLFVCAVCLVVRAFRLGPHRRYRWEPISASADGGDEESGHRGGEAKHPMVLVQIPMYNEREVYKLSIGAACALEWPSERFVIQVLDDSTDPVVKDLVEMECQRWKSKGVNIKYEVRGNRKGYKAGALKEGLKHEYVKDCEYIAMFDADFQPESDFLLRTIPFLVHNPEIALVQTRWKFVNSDECLLTRFQEMSLDYHFKYEQEAGSSVYSFFGFNGRVMSSHIYIYSSIKFGSLKLSDDCGPYESNFHLLGTAGVWRISAIDDAGGWKDRTTVEDMDLAVRAMLQGWKFVYVGDIKVKSELPSTFKAYRFQQHRWSCGPANLFKKMMVEIVENKKVSLWSKIHLWYDFFFVGKVAAHTVTFIYYCFAIPVSVLLPEIQIPLWGVVYVPTAITLLKALGTPSSFHLVILWVLFENVMSLHRIKAAVSGLLDAGGRVNEWVVTEKLGDASKAKPGTNGSDAVKVIDVKLTEPLVPKLVKKRARFWERYHCSELFVGTCILLCGFYDLLLANKGYYIFLFLQGTAFLVAGF >Sspon.04G0020770-1T-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4D:81492282:81492878:-1 gene:Sspon.04G0020770-1T transcript:Sspon.04G0020770-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMAPQGKAEHEHDHRSEPNSSHPDPGAAATSSIATNRWGPYSGAGDFASNMAVILAALLTAVALALALNAAVRYHLGRSRRARRGRAGSSSEHRDSDASISDPEKPAAEAPPPPPALVYSAAGTKLAGAAPECAICLAEFADGDAVRVMPACRHGFHARCIECWLAGGRHSSCPTCRAPAAVAATAHPEAAAPSSS >Sspon.07G0011720-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:41682234:41685975:1 gene:Sspon.07G0011720-1A transcript:Sspon.07G0011720-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSSSASTPAAASGLFELGSAAGVPETHAWPGVNEYPSVESAGRDAVPVVDMGSMGGPDDDAARAVARAAEEWGAFLLVGHGVPRGVAARAEEQVARLFALPAPDKARAERRLGGEVAAAGYGRPPLALRFSKLMWSEGYTFPAAAVRDEFGRVWPDGGDDYLRFWFELWGLYDKQFGKISDMEPSNYGLFTASHLKKAKN >Sspon.03G0017370-3D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3D:41701940:41702248:1 gene:Sspon.03G0017370-3D transcript:Sspon.03G0017370-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGVWVFKNGVVRLVENGSAAGGEAVRRRKALVHTPSGQVVRSYAELESELRALGWERYYEDPALYQFHKRGSLDLISLPADFARFSSVHMYDIVVKNRDSFR >Sspon.07G0024310-1P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7C:21743539:21746620:1 gene:Sspon.07G0024310-1P transcript:Sspon.07G0024310-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLASNSSSSSSSSTTVNVKMASDGVWQGENPLDFALPLLAVQIAVILAVTQGLALALRPLRQPKVVAEILGGILLGPSALGRWGAFRRVIFPPWSTAALDTVSGLGLLLFLLLVGLELDFRAVRRAGPRSVAVAAAGIVPPFLAAPGLVLLLQLAIVPPPVPAFLPLCVFLGAALSVTALPVLACILKELGLLGTPLGETAMAAAAVNDVFAWALLALALAVSGGSSGGGGPSPRATPLAPVYILASGAAFVALMLCALRPLMARLARRAGPERSAAAALASSPGAVVACALLSGAVTDAIGVHPVFGAFVFGLSVPRDGGLAERAREKVAPLVSGLMLPLYFATSGLHTDVDAVRGAAAWGMAALIVAVAFLGKFGGTFVVATVTGMARREAAALGVAMSAKGLVELIVLNIGKERKVRAGYLYFARWFLLINREHAFLQHAGDRVQVLDDTTFAIFVIMALTTTVLATPFMTALYRSTPTATTPETDGTELKGGHA >Sspon.06G0028280-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:3713064:3713603:-1 gene:Sspon.06G0028280-2D transcript:Sspon.06G0028280-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWGKLFLLRSLVTGMVVCLREGSGWSRSAVLKRNPSSSRVLFLKYEEMMAQPPWHVRKLAEFLGVPFTEEEESGGVVEEVVRLCSFQNLKDLPVNTHGVSGQISAAVANPFKNSLWFRSGKVGDWENHLTRERARKLDCIVEDKLKGSGLTLSFDWKIS >Sspon.02G0013750-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:39076982:39079169:-1 gene:Sspon.02G0013750-3C transcript:Sspon.02G0013750-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thermospermine synthase ACAULIS5 [Source:Projected from Arabidopsis thaliana (AT5G19530) UniProtKB/Swiss-Prot;Acc:Q9S7X6] MVGAVPAEDLPGDVSSKGYGAKHLQLPPPPLPAPPKQQQQQPDVEAESGWFEEEIDDDLKLCYALNSPETVFIMGGGEGSAAREVLRHKAVQRVVMCDIDQEVVDFCRTYLTVNRQAFSSEKLRLIINDARAELEKREEKFDVIVGDLADPVEGGPCYQLYTKSFYELIVKPKLNDHGIFVTQAGPAGVLTHKQVFSSIYNTLRHVFKYVQAYTAHVPSFADTWGWVMASDHPFDLTAQQIDERIRDRIEGGEVAYLSGKFFISSTTLNKSVHQSLLNETHVYTEDDARFIYGHGRACRAVSS >Sspon.03G0021380-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:88015484:88018547:-1 gene:Sspon.03G0021380-2B transcript:Sspon.03G0021380-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASTASRLSPPRFRAPSPFPHPPIRRSRFSPVRAAKLEAVLSIGTHLIPHPRKAASGGEDAFFANSDAGGVFAIADGVSGWAEKDVNPALFSRELMRNSSNFLNDEEVCRDPQILLMKAHAATSSIGSATVIIAMLEKTGTLKIASVGDCGLKVIRKDKLRGSGSNISGCIDGLFDNIFDQEIVSIISESPGVHEAAKALAELARKHSVDVTFDSPYSMEARSGKMDDITVVVAQVNTVVVPEDEGGDTEEQKGNEQGAAAVVASAE >Sspon.01G0038110-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1B:28240863:28241246:1 gene:Sspon.01G0038110-1B transcript:Sspon.01G0038110-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEPLPCMEPGSSLSSLFSLSCYWHSSRNEELVARERKEPVTAVLTSDDGGEDGVLRGGRQRGGAATPWPHAREEGHGEREREREESHCLADEEGGDGGGGGFRRHDERGGGSRGRGKGMVREKEDG >Sspon.01G0020530-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:73956489:73959330:1 gene:Sspon.01G0020530-3D transcript:Sspon.01G0020530-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALQAATSFLPSALSARKEGSVKDSAFLGVRLADGLKLETSALGLRTKRVSASVAIRAQTAAVSSPSVTPASPSGKKTLRKGTAIITGASSGLGLATAKALAETGKWHVIMACRDFLKASRAAKAAGMDKDSFTIVHLDLASLDSVRQFVKNVRQLEMPIDVVVCNAAVYQPTAKEPSYTADGFEMSVGVNHLATSSSPASSSATSSPPTTPPSASSSSAPSPVPGNTNTLAGNVPPKANLGDLRGLAGGLNGIGSSVMIDGGEFDGAKAYKDSKVCNMLTMQEFHRRYHEETGVTFASLYPGCIATTGLFREHIPLFRLLFPPFQKYITKGYVSEEEAGKRLAQVVSDPSLTKSGVYWSWNKNSASFENQLSEEASDADKAKKLWEISEKLVGLA >Sspon.05G0006030-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:11006175:11008732:-1 gene:Sspon.05G0006030-3C transcript:Sspon.05G0006030-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADEEDLADAPRVVGVLSALLERVVERNDAVADELTAGTASAASLAPPPSAFRATARPDISVRSYMARIARFAGCSPACYVVAYVYLDRLLRRDRRGRGALAVDSYSVHRLLITAVLAAVKFMDDVCYNNAYFARVGGISLAEMNYLEVDFLFAVGFDLNVSPETFGHYCAVLRAEMLYLELEGPPPAAAAS >Sspon.03G0019810-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:61735277:61738502:1 gene:Sspon.03G0019810-1A transcript:Sspon.03G0019810-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ISTLFTSGFLTSALGTVTVSTPFSSAAFTFSVLAFSGSRNRLINFPLLRSTRCHLSFLSSFSLLRSPLMTSTLPSSTSTLTSSFFTPGRSALNTCASGVSFQSMRALANAAVSRSADAAAGTTERKTSKGSQKSAKGSNTLVLRLTSDIVVGWAQDNYTCRTLVTDYSTKVREILA >Sspon.02G0036280-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:23980369:23981449:-1 gene:Sspon.02G0036280-1B transcript:Sspon.02G0036280-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHTHPVAEEGVVVVEAVGGAGEGEEVDVVRLNDHTTAYMGNGYPRGRGGYNGQPDYQQDGGYYEEAPVHAPAREAHPEVEDVVAMQTARCMLLQLALGKASQTLGKGFAESSSRQSCPAKNQSAKPSLPRAPTRPSAKKRCR >Sspon.03G0028610-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:9870117:9876243:-1 gene:Sspon.03G0028610-1B transcript:Sspon.03G0028610-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone lysine demethylase, Stress-responsive H3K27me3(histon H3 lysine 27 trimethylation) demethylatio [Source: Projected from Oryza sativa (Os01g0907400)] MASSQGESVPPWLKSLPLAPEFRPTAAEFADPIAYLLKIEPAAAPFGICKIVPPLPPPPKRTTLGNLSRSFAALHPDDPTPTFPTRHQQLGLCPRRPRPALKPVWLSSHRYTLPKFEAKAGASRKALLARLSVPATKQLSPLDVEALFWRSSADRPVVVEYASDMPGSAARGERGRDGVEHARRRQEPRLSAALPAGGGAWGHVADALRGMMFSWFAWHVEDHDLHSLNYMHYGAPKTWYGVPRDAALAFEDVVRVHGYGGEVNPLGFNCGEASNLATPEWLRVAKEAAVRRASINRPPMLSHYQLLYELALSLCLRDPSNGAMEPRSCRLKEKKKSQGDQLIKKIFVQNVIEDNKLLGHFLSDGSPCIILPVNYNDGSPLSTLLSKFQSTTDSSMSHDQCSKTEALKDSRRLPMDGADKNRELSSSNKIPLSVCSGKTVPPTTCIHDCANVSGSSYAHNAESDKRDMHSATGLLDQGSDSKMNGNSVISDADAAPLNGHSALDLLASAYGDPSDSDEDVMNKKDQVPNVSNELINRTIESQPNTSNNGDCDGTKVSSSSKERQQGPTSQSSKCIGNSNTLNGPKGVRTRNKDLLKMVLSEGFHPKDIYSETHKKVQCEPSSSNKTSTESPCGTDYHVSHNSATICMDSHRGSMTMVNNLVTSVVKPDKDSSRMHVFCLEHAIEVEKQLQAIGGADIFLLCRPEFPRIEAEARLLAEEMEFEYDWKDILFKEATIEDREKIHEVVRDEEAIPTNSDWAVKLGINLYYSANLAKSPLYNKQVPYNRVIYEAFGYGFPNDSPVKLKTYSRRQGRAKKIVLAGRWCGKVWMSNQVHPYLADRIKIHEPEEIDETFTSDQKSNAEPVEDSSREAASTRNSSSMAIKGKTTKMEKEPLEKANAKKPKFTEEDNSKSLESTAEASTQKIKSRTVLEKTSKREKEHVEKANTKLKHTEKVISEALKGPSEASFPAPAGMVVRSSSRIANRKSILRSKMEEEDNGSANCPKSKVEDDKDNPAGRSRAKSLRQKTKVDVKKKTKETRAEKRKAPSPASWKDEEEQPYDVEGCSITKQQLSLSKKRAKIEEKQQMEKSRYRGRAPPSSPKRKEAPPSSPKRKEEYACDIEGCSMSFGTKQALSLHKNDICPEKGCCRKFFSHKYLLQHRKVHTDDRPLKCPWKGCSMAFKWPWARTEHMRVHTGDRPYVCPEPECGQTFRFVSDFSRHKRRTGHAGMPTKKAKGKK >Sspon.03G0018070-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3B:79756415:79757831:-1 gene:Sspon.03G0018070-2B transcript:Sspon.03G0018070-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-specific phospholipase C6 [Source:Projected from Arabidopsis thaliana (AT3G48610) UniProtKB/Swiss-Prot;Acc:Q8H965] MHPWPTIQRTVARRMPPKDDSPCPPPPVPAPSSLLRPARTRAVPDMATGSPRRRPLPLLLLFLLLFAVNGSANAARPSTSPIKNVVVLALENRSFDHMLGWMRRLLGLPVDGLTGAECNPNSTNSTSSSICVSADADLVVPDDPGHSFEDVLEQVFGNVSAAAAQPSMSGFVRSALSVNALLSSAVMRAFRPSLLPAFSALAPAFAVFDRWFSSIPGPTQPNRLFLYSATSRGAVAHDKLDLLLGYPQRTIFESLAADGHDFAVYFKTIPTVLFYRRLRALRYAARAFHRYDAAFKDHARRGVLPALSVIEPRYFDLTGTPADDDHPAHDVANGQRLVKDVYEALRASPQWNQTLLIVTYDEHGGFYDHVSTPTAGVPSPDGIRGPPPFFFKFDRLGVRVPTIMVSPWIKKGTVVGRAVGPTDTSEFEHSSIPATIKKIFNLSSDFLTKRDAWAGTFEHIFTELDQPRTDCP >Sspon.02G0014670-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:32921691:32927645:-1 gene:Sspon.02G0014670-4D transcript:Sspon.02G0014670-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DGGRRDGGAGREDGARGAVQGPHDARRRHDLPRRRRRGRHLRLRHRHLRRCDIHGPISGEILPGGVPQEELRREEQLLQVRQPGTGGVHLLALPRRPRGLPRGVPRDEELRPQSQHRLRRRQLPHRCGPQRGRREPGHAHPRAHHARRRHRFRQPGRAAVPVGDGAGAPPRRAEHDVPARDDAGHLHGEPDQLRHAEHQAVGVASVTGPRRGAGAADDAGRALPPGDAQQPHRARARGGGPARAGAHPGHRRRGRGVHGHGGGERAGQHHRAPVPEHPGAAQPAAAGDGRVHAGVPDPDGHQLHPLLRAGPVPEHGLRRECVPLLLRAHRRRALLLDAHLHRHRGPPRPPEAPHQRRDPDDRVPGDRGGDPGRQVRGGQAAVAELLDRGGGGDLPVRAGVRVVVGPAGVDGAERDLPAGDAVGGAEHHGGREPALHLRHRAGVPVAAVRLQVRHLPLLRRVDHRHDRLRLRLPAGDQGRAHRGDGAALAEALVLEEGHAGGHAARGWLGRRTCQQQSQV >Sspon.04G0014500-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:58210507:58214955:1 gene:Sspon.04G0014500-3C transcript:Sspon.04G0014500-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aspartate aminotransferase, mitochondrial [Source:Projected from Arabidopsis thaliana (AT2G30970) UniProtKB/Swiss-Prot;Acc:P46643] MATLSRAASSAARRPALLPPRLLAARAMASSLFGHVEPAPKDPILGVTEAFLADPSPDKVNVGVGAYRDDNGQPVVLSCVREAECRIAGNLNMEYLPMGGSIKMIEESLKLAYGEDSGFIKDKRIAAVQALSGTGACRLFADFQKRFLPDSQIYIPTPTWSNHHNIWRDAQVPQKTFTYYHPESRGLDFSGLMNDIKNAPDGSFFLLHACAHNPTGVDPTEEQWREISHQFKVKRHFPFFDMAYQGFACGDPERDAKAIRIFLEDGHQIGCAQSYAKNMGLYGQRAGCLSILCEDEMQAVAVKSQLQQIARPMYSNPPVHGALVVSIILNDPELKSLWLKEVKGMADRIIGMRKALKENLEKLGSPLSWDHITNQIGMFCYSGMTPEQVDRLTNEYHIYMTRNGRISMAGVTTGNVAYLANAIHEVTKPN >Sspon.03G0031880-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:38969325:38971407:-1 gene:Sspon.03G0031880-2C transcript:Sspon.03G0031880-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEATSPLPALSNGYQPLPSLYLGFLAIWAASGFSWAFSTWRNRHYQANNLQWILSLVPLIKALQMALSFLFWYSCVHLQTCSLWMSFGAYVTGILFQTASFVSFVLISHGYCIMCERLSIRERRTTAALGCLLYLSLIGYKAAVPYFTVILLINYFASFYIIFRRTSQNLIVLQEQLSFIEEEDIHSLHGALNTKYTMFKRFQGTMQVAAVAFIMVYMRADDTPENYWFRVLVREWVQFCIFMYIGWNFRIPEASLHLPAIPLMKSAWEITMPPIYSVEMDAADFKGLVSDQWHVGVRTGSGCSAQPLLVLVQNPCPTTACPSGRASKFQLDRDNQLAEDPIDQLARYKADAK >Sspon.05G0025040-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:17433446:17433721:1 gene:Sspon.05G0025040-1P transcript:Sspon.05G0025040-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding CRAPRSSVLGLVRARTSGHSTGRASTTTRRSRSRWRARRWRSSRRSGRTRSSPRSCRRSGSTRPRSTTSATWRRAASPLRRAAPNPCAATAD >Sspon.01G0000400-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:2107615:2113094:1 gene:Sspon.01G0000400-2D transcript:Sspon.01G0000400-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSDDMAMADLETGEEEEVLRRLGTAEEAGLTDNEAARRLRLHGPNVVVLSHHEGSMLQRFLTALFSLWGWDHVFPKYNYMVRIILNSMSWLTVLTTMVSLAITSAGQRSCELAIVIFIGATSLIACFTAKLVLEYAKAPLETKAQAPRAKVLRDGRWRDVHAANLVPGDIIFLKVGDIVPANARVLRFQKIDTMTCWAKRSVDCAHGFLIYYAWTVSCGQGTAVVIATGRGIPRSTLRLYPQRYARPGQLKGGVMLAGCFCASLVLVGTIAEIVLSFLFQKQNCSGIPLNAHFMPLIGGIPMAMPAFLYLALALGSVRLCFLGIASRGTVALEDLASMDVILFNMTGTLTCNQPCFVRDKIEMFADGVNKDRAIILAARASRSQHELYIEPIDAAILRLLDDPEQARFGVEVLEHHACFFVALKLMFQTTYIDESNGSKCCVFKGDPAKASWCCNFKHTVTLTGTDMHASSSNISLHIQTRIHAVACILFVERVAHQCGCSKEVKEKISMIMDELALDGYQAIAVGHQVDSCWEFIALLPYTDDLRSDSADAIESLIDLGLDIRVLTESPLSATKQVCGKLGKLGTNVVPAHSVFELARNNREVHSNINGISDLFPGTSFAMLFERVELNKSPDKWRVQKIITSGRKWRRTKMTSEKLVSSVTEMVENND >Sspon.05G0010720-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:32869024:32870750:1 gene:Sspon.05G0010720-4D transcript:Sspon.05G0010720-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding TVLAPLTRARSYGNLPQSHAILYYSQRATEGGLLIAEATGVSSDAQGMSLIPHTPGIWTKAQVEAWKPVVDAVHAKGGIFFCQIWHVGRASDMEKEPISSTDKPVEKNGDDHMDFPIPRRLTIEEIPNVINHFRIAARNAVDAGFDGVEIHGAHGFLLEQFMKDSVNDRTDKYGGSIQNRCRFALEVVDAVVAEIGSDRVGIRLSPYANYLGCHDSDPDRLGVCMAQELNRYNILYCSAVEPEMVMVDGKMKIPHRLHEMRKAFNGTFMVGGGYDREEGNRVVADGYADMVVYGRLFLANPDLPRRFHLNAPLNKYDRSTFYTDDPVVGYTDYPFLENCEPLNSQDGEGSTKAKKN >Sspon.01G0040390-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:36668727:36670829:1 gene:Sspon.01G0040390-2D transcript:Sspon.01G0040390-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAVEDIVIAGAGLAGLATALGKGVRCLVLESSPALRASGFAFTTWTNAFRALDALGVGDKIREHHLLHERMITFSAATGEAATEVSLKIQGKSGPQEIRCVKRDFLLQTLANELPEGTIRYSSKVAAMEEDGSVKTLHLADGSIIKAKVVIGCDGVNSVVAKWLGLPKPVLSGRWATRGLAEYPAGHGFGPEILQFIGHGFRSGVLPCSDTSVYWSYTWCPSPADGDAEESVAKMRSHVVAKLRGPKIPAEALEVMERREMNDVASSPLRFRSPLALVRGSISRGGVCVAGDALHPMTPELGQGGCAALEDGVVLARCLGDAFAVARFGSHDQDQEGRVVKAALEKYAEARRWRSIQLITAAYVVGFIQQSNNAVVRFVRDRFLAGLLAKTLVAMADYDCGTL >Sspon.07G0000730-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:1171657:1176488:-1 gene:Sspon.07G0000730-2B transcript:Sspon.07G0000730-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein PLASTID TRANSCRIPTIONALLY ACTIVE 14 [Source:Projected from Arabidopsis thaliana (AT4G20130) UniProtKB/Swiss-Prot;Acc:Q84JF5] MAPPTPTATACALLYPSPLPPASLRGTPRRTVGRRLPRPLRAGRPRLHAPPPAPPTVAEAAEERDDTPPLRLIEPPQEDDPFPPEMEPADPDFYRIGYARMMRAYGIEFLEGPDGMGVYASRDVEPLRRARVIMEIPLELMLTITQKKPWMFFPDIIPLGHPIFDIIESTDPETDWDLRLACLLLYAFDIEDNFWQLYSDFLPSADECTSLLLAPKEDLMELADEDLASQMLKHQRQAIDFWQKHWDKPIPLKLKRLARDHERFLWALSIVQSRSVNLKLRMGAFIQDANVLAPYADMLNHSPNANCFLHWRFKDRMLEVMIKAGHAIKKGDEMTIDYMSGVNSKFMERYGFSSPTNPWELINFSSPATIHMDSFLSVFNIAGLHDELYHNSALPSVETDFVDGAVVAAARALPTWSDGDVPAIPSVERKSAQVLQEECRQMLDSFSTTIEQDQQILDSDVHISKTREIAIKYRLHRKMLLQKIMDALDIYQDKILF >Sspon.08G0005640-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:14050947:14053851:1 gene:Sspon.08G0005640-2B transcript:Sspon.08G0005640-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPQRSPAGAGGGGGGGGGGPPALHYLTGPYGDTTFTKVFVGGLAWETRSEGLRAHFEVYGDILEAVVITDRATGRSKGYGFVSTPSSCFFLFVCAMLVTFQNAESARMACMDPYPVIDGRRANCNLAILGRPGPAVPFVAPIRPVIPYSGGVAVPGGMYVQSPTYQQSPYNYSQAFVYPSYGPSAYGPEYLYQQNAYGPYVGQQYVPVYGGPRTVGPAVYPYGQFGQPVPSDHAYSPGYAPSHVLPLSNQNVNATNVVRIPPVQQQFPPGAPRSQQQLLVPARAPPFSQNNISEQAT >Sspon.01G0035300-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:10175603:10179325:-1 gene:Sspon.01G0035300-2C transcript:Sspon.01G0035300-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGWLRRAAAAAAAAAAMPRLPSGLSLIPPPPHASLTEAQSLVVPGLGAAAGPAMELMAVPKKKVSKYKKGLRNGPKALKPVPVIVRCRCCGRVKLPHFYCCSGERGNPSESSS >Sspon.06G0024730-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:57524581:57527237:-1 gene:Sspon.06G0024730-1B transcript:Sspon.06G0024730-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLFEGPFGAVLHTGDCRLTPDCLSALTPPHLARRIDYLFLDCTFARCALRFPTKEDSIRQVINCVWKHPSAPVVYLVCDMLGQEDVLIGVSRAFGSKIYVDKEKNSDCHQTLAHVAPEILADADAAASTRFHVIPFPRLSERATEILALARAAHQPEPLIIRPSSQWYAYYDPPPPEEAASTQEQQQQKPLLTEAMRDEFGVWHVCLSMHSSRDELEQALGILKPKWVVSTTPPCMAVDLSYVRKHCSLSRFGPDDPLWKLLGISDAMSTVSGSPQAVLLTAGAVEKSEEVFGSCVHECASDDGSQVVEEVVEAAVVDFEIRVEPPITLFGSARFGLPQHEPELWNYEYESVEIIGDVELEANDSAAEPGFCSNNKPIEVVELTEDATKEQNSVSESGFCSNSKPVEVVGLTETATKEQSSVYESELLKDSKSDDRVELVDLTEHGRKYLNLRAESEQSKDDKGNGESELGAQEQNLNVHARLMEVCRHKVTGEGKDRMEVTKSISAVHVTVSATEDDTIITSSGIEKNSDQYSERASDSSTVVGSSKGLNANLRRLYRSMNVPVPRPLPSLVQLMAASKRPRVSQTVQPKPVEVVGLTETATKEQNSVYESELLKDSKFDDGVEAVDLTEDGRKEQNLRAETEQCKYDCVNGEAELGAQEQNLNVHARSMEVCRHKVTDEGKDRMEVTEEISAVHYPERVTDASTIVVSSKGLNANLRRLYRSMNVPVPRSLPSLVQLMAASKRPR >Sspon.07G0038700-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7D:83446847:83448288:1 gene:Sspon.07G0038700-1D transcript:Sspon.07G0038700-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding QETGAHQCRISVFPYVAFVQVNSDDVFAPFLAPLIIIVNIIKVTVIGLGLVKDEGVVNSMTRHGDHRTSPISIAVICNLCAGDGVADIFGRRFGHVKLPHNREKSYAGSIAMFLAGFIASVLFMCYFNIFGFVEKSWTMVAAFGIISLVAAVVESLPISTRLDDNLTVPVASVLFGALVFYSIGARNLCCMSSENRRSISATVGMVFAGSSSS >Sspon.02G0011530-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:29339929:29342929:1 gene:Sspon.02G0011530-2B transcript:Sspon.02G0011530-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF296 domain containing protein [Source: Projected from Oryza sativa (Os09g0491708)] MAGREPFGLPKTPATPPSSGGTQSLRMAYTTDGTAIFTPVSSVPPATATYQPVAASSLAGVGGNGGAPVHPGGAGEPVAKKKRGRPRKYDPDGSISLALVPASMAAAPAPAPAPPAPGASGPFSPNDPKAPNTALSASPDGAKKRGRPKGSTNKKHVPALGPAGAGFTPHLIFVKAGEDVSAKIMSFSQHGTRAVCILSANGAISNVTLRQSATSGGTVTYEGRFEILSLSGSFLLSENDGHRSRTGGLSVSLAGPDGRVLGGSVAGLLTAASPIVVGSFDADGKKEPKQQKLAPSPSDPSPAPLKLAPPTAGVAAGPSSPPSRGTLSLSESSGGAPSPPHAGASGGHGQQQPGGFSGLSWK >Sspon.02G0035060-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:16079560:16081466:-1 gene:Sspon.02G0035060-1B transcript:Sspon.02G0035060-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEAGEEESAAAPAEVRRQAELDEQRCLAEALDAISSLVSASLSATLFPLKWQLIRDRLNRLHAGLADLTVPAGDESGEDRCDAFANLLRDVAAAAREARELVPRSQGRHYGGGKLRLRSDLDVLAAALDAHVARLDEVYASGALTRAQALVVPRPGAGATRDDVRFYVRDLFSRLRVGGAEMRREAAAALSEALRDDEKCVRVVASDVADGVSDLVALLECPDARVQEAALEAVSVIAGSDEHRGDLVVGGAIAPVVRVLDAGAGSEAAKETAARVLCRLTENSDNAWAVAAHGGVTALLDLCTDHGASGGELVCAACRVLRSLAGVEEIRKYMVADAGAVPVLVSLSQRATDDAARIQAMELLAAIGSGDGSAREAVVQEGAVESLIRALDPSCQQMPSSSSSKVREVALRAIDALCLSPPTSTDRLLAAGFLDRVLSLLRNVDATLQHCALKAAHRLCQVSEEIKKAMGDAGFMPELVSVLGASKSPEAREMAAESLCALVSVHRNRKRFVQEDRDVARVLQLLGPDEEKPTPAKRFLLSTVMHLTDSSSGRRKIMSSEHESREACADRCPGRQTDREEAWREQAEEHFSWHLEPVM >Sspon.01G0039110-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:32771677:32777952:1 gene:Sspon.01G0039110-1B transcript:Sspon.01G0039110-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIARGRSRRRQGDGSSDRNAAHFTVPNPDHQGVVSSRKQATYPGMLSDSVFADVNRQSKSRKASAVPMKILIDEEFSNDVNARHISPGAVGRLMGLDSLPSSGIHNQHRHTQSPAPKTSPGSFHARNGLHEDIPHRSSADIIDVFEVMEAAKTKMHRSPRSKIGNTTPRSDKVDSADIDFIRQKFMDAKRLSTDESLQMSEEFNETLDALVSNRDLLLEFLQKFDPAIRRNLHNHGSPSSAANCITILKPSRRNHFIDMDNIYPQEKGTESIFNEQKEVKHSLRKPYSNVPLQSRKEDSCSLRQKLSRSSHQENTGKRGCPTRIVVLKPNFEKPHDIEEALPLHHKIPHSDYRSHKECPEIGRWTPYTEDYMSQVPLGDSETLSRMGKGSREIAREITKQMRAARGGSRKHAVKPEIRTPASDERSQFLPSVTKLKTPEAIHRYSEPCDAWASSSLNSSPTYLTETSVSKEAKKHLSNRWKKTHQCQHQETDSDSFSTLGDMLALSDQNASMVANHKMTSRKCPKAGVQSDKMQNSCIYPLGISSNDGWRDTATSKLTRSKSLPSSFIRGVQKSNNRKRTGSVTYNEFSMLKDVLKVGPHYSEHACRSRQRQSLSRDSTIHGDESDLMSTDNEEQMAVEREIHVNYEEPINGTAVTETSGQSQHPANLDHELDAVGILDTSSAIPVSNKRPLSPAGQNQQMLKVTTTALDNCLLVPSLDDLMAKHEQVEYHEGDDYLATYDPQIESDSPEEINHHLGDDNQTLCIPPNESESPANSNKDDQQSPVSVLESSMDAEDVYSGDFEKIKLRLQLRLLKRETTDTGDDNELFILSDDEAARQSLPEMEESHSFRNMEERDFSYVFDMLVALGIHAPNEDELIDNCYLLECPAGLDFFDDLEKKYSSLILWPQHERKLLFDITNAVLGDIITSVMNSCSKRLMVRCSPGWNEEEFAELVWQRVVQVQQEIEFNQEALLWSVEWVGSEDGTNLVGCDIGSMLQDDLLEEIVADFLGVTKSARLRG >Sspon.03G0000690-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:17149069:17153118:-1 gene:Sspon.03G0000690-4D transcript:Sspon.03G0000690-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VPAAAAAPAPQAAKPRQLDRRYSRIADDYHSVDQVTDALAQAGLESSNLIVGIDFTKSNEWTGKFSFHGRSLHHISNTPNPYEQAISIIGRTLSKFDEDNLIPCFGFGDASTHDQDVFCFFPDERPCNGFEEALDRYRELVPHLRLAGPTSFAPIIEMAMTIVEQSGGQYHVLLIIADGQVTRSVDTASGQLSSQEQKTVDAIVKASELPLSIVLVGVGDGPWDMMKQFDDNIPARAFDNFQFVNFSEIMSKNMAQSRKEAAFALSALMEIPQQYKATVELGILGRRSFKSPDRVPLPPPTGSYDAYSYSSKSFSKPTTYPQSSSSASPYPHYETPHTATPAAPSSTYDNQVCPICLVNPKDMAFGCGHQTCCDCGQSLESCPICRTPITTRIKLY >Sspon.01G0007790-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:16297231:16298987:1 gene:Sspon.01G0007790-2C transcript:Sspon.01G0007790-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GQEQKSDDDNDRDGARIGDSEHRLSKSLRSPNYEERNSTRDSSFKNSGKPIPSQGSTDTSGDEEGSRARENARKANSARRKTKDFSAGLQLKKIGAGDSSPREKSPFSLRQSGKGVQKKHSDQLSESSEDELVGRRTKCQTDSSEDPRGKQCSPTRIENDESKDGRNSEHVMRGLRDESDDAIDAKKYLSKVNEDSHSEDGSPLKKTKIRTYGNSHIDSGSSGSEEPDKHRSHSEKRRHKKAHKHRKQYDGSSESDSEPDGKEAKRRRKEEKRLRKEERRRRREERHRRRAERHASKQKLKNTDTATVPSDSEKDRDSDSDVAVRKRGSHAGREESDQKKLEIELREKALESLRAKKAINN >Sspon.04G0022800-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:8807240:8807794:1 gene:Sspon.04G0022800-1P transcript:Sspon.04G0022800-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLLLPSCAASARPLLPTSPGAVRPAAASSSNVEIIDDATAATSLPGAAKQWRAGGLGLELELSEEMRRGMMWRMLAPPAAAVAADVAFLGLLERVQPGDVPAWAATAGSAVLFAVGLLGVHYGFLSSRWDAAETGSVVGWDLAVRHWNVLSMAKEYSSSVDEEEDDDDEEYEDEEEYEEDED >Sspon.02G0050580-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2C:62810824:62811188:-1 gene:Sspon.02G0050580-1C transcript:Sspon.02G0050580-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VHRTDVVPELAGSRSMVFVARSKKIMTSQLYVSPISHVPEEIRRRAVVGEWSKQVDESPSTAGGMVDESPSRMRRGRQVPEQRETWSTSPRAWSRNITCSVVCDNLLLDQSPSITYCLAA >Sspon.01G0006420-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:20028069:20031079:1 gene:Sspon.01G0006420-2B transcript:Sspon.01G0006420-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRCFPYPPPGYVRNPVAVAEAESTAKLLQEITVVRKLQKEREKAEKKKEKRSNRKAPQEGETSKHSKHSHKKRKLEDVIKAGQDPKRESRESVEQLEKSGLSEEHGAPCFVQTIRDSPESSQDSSKRRKVVLPSPSQAKNGNILRIKIKSNQESQSALLEKPRLLEQPLVQQMGSGSSLLGKQNSIHHKVNVRSTSAQQRINGDSQAVQKCLITETPSQTMQRVVPQPAAKVTHPVDPLVSVKAPVGRSDLPPKFLGSVPSPARVMGRFEPPPVKLMSQRVQHPASMVSQKVDPQLSKVLQKETGSAVCLPEAPQPPVLQKPKDLPVLMQQEPITSLTKEEPCFSGRNAEAVQVQDTKLSRSDRKKIRKAEKKEKKFRDLFVTWNPLLIENEGSDVGDQDWLFSGTRNSDASMAQCKATDGVEPIHPMVQQQPCLQPRATFLPDLHIYQLPYVVPF >Sspon.02G0013310-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:35540692:35541444:1 gene:Sspon.02G0013310-1A transcript:Sspon.02G0013310-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGITHRLSRADLRAGHVRSPTASEMERERARARRRCGRAWLRDWRWDVSMTGTDREAHVGCSHDFAVLSAGPIPDWVLRRAQAGPRLTDSMWAVREIVEVDHHERFRCLRYLGQCQIGPFHR >Sspon.07G0002560-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7A:6229768:6238068:1 gene:Sspon.07G0002560-1A transcript:Sspon.07G0002560-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAQALALKLRAAPAPGPGRPRGCRTTVRAAGAAHVGALQHRRDVSLAQGGRFLRGAPLPAAQQRPRPLPWAERAARRHDGGGVVASASAPAAAGVRSMSRIPQGNTGLYDPAMDRDSCGVGFIAELSAEPSRKTIVDAIEMLERMSHRGACGCEKNTGDGAGILVALPDAFFREASLVEVVNEREDLSVAEGVTLCLVVLCCGRSPRTPALSFHCQIAKSLGHVVLGWRRVPTDNSDLGKAALETEPVIEQVFVSKSMHSKADFEQQLYILRGLSIKSIREALGLEHGGPNDFYMCSLSSRTVVYKGQLKPTQLKGYFFADLGDQRMTAREGLLECKGLGLSRDEMSKLLPIVDPTSSDSGTDLMKNGPYSGAFDNVLELLIRSGRSLPEAVMMMIPEAWHNDVNMDPERKALYEYFSALMEPWDGPALVSFTDGRYLGATLDRNGLRPGRFYVTYSGRVIMASEVGVVDVPNDDVMRKGRVKPGMILLVDFEKHCIVDDDELKKQYSRAHPYGEWLERQKIQLADIIESVPETERIAPRIDMLPLMDFRYTVETLEMLLLPMAKNGVEALGSMGNDTPLAVMSNREKLPFDYFKQMFAQVTNPPIDPIREKIVTSMECLIGPEGDLSETTEHQCHRLKLQGPLLHIDEMEAIKKMNFRGWRSKVLDITYPKEYGREGLEQTLDKLCAQAREAIHEGYTILVLSDRGFSPDRVPVSSLLAVGAVHQHLVLNLERTRIGLLVDSAEPREVHHFCTLLGFGADAICPYLAIEAIWRLQIDEKIPPKDDGQLYPKELIDKYFYASNNGIMKVLAKMGISTLASYKGAQIFEALGLASEVVSKCFEGTPSRVEGSTFGMLAQDALDLHELAFPSRTLPPGSAEANSLPNPGDHHWRKNGEVHLNDPFSIAKLQEAARLDSREAYKEYSRHTQELNKSCTLRGMLKFREIPVKISLDEVEPASEIVKRFCTGAMSYGSISLEAHTTMARAQNIMGTKSNTGEGGEQPSRMDPLPDGSMNPLISAIKQVASGRFGVSIYYLTNAIELQIKMAQGLTRRRGELPGNKVIGDIAVTRHSTAGVGLISPPPHHDIYSIEDLAQLIYDLKEFVASGVVKGHADNILISGHDGGTGASRWTGIKNAGLPWELGLAETHQTLVANGLRARVVLQTDGQLKIGRDVVIACLLGAEEFGFSTAPLIVLGCIMMRKCHTNTCPVGIATQDPVLREKFAGKPEHLINFFFMLAEEVREIMSQLGFRTVNEMVGRSDMLEVDPDVLKGNEKLQNIDLSLILKSAAEINPKAVQIVDKAISYAGLPPDTIRVKLNGSAGQSFGAFLCPGVTLELEGDSNDYVGKGLSGGKIVVYPPRNSRFIPQDNIVIGNVALYGSIKGEAYFNGMAAERFCVRNSGAQAVVEGIAIMDDLLPKFVKVFPRDYKRVLENMKAEKAKEAEQKMRKKWWDKKAAEMIKAPNGVSVITKVNLV >Sspon.06G0003530-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:4752631:4756647:-1 gene:Sspon.06G0003530-1P transcript:Sspon.06G0003530-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AMERQSSIRLGALEKLKSFRGMEKQKSFRGIMSLERRSRDSPGKRGDTPLHLAARSGSVAHAQRILAELDRALVAEMAARQNQDGETPLYVAAEKGHAEVVREILNVSDVQTAGIKASNSFDAFHIAAKQGHPEVLKEMLQAFPALAMTTNSLNATALDTAAILGHVEIVNLLLETDASLARIARNNGKTVLHSAARMGHVEVVRSLLNKDPGIGLRTDKKGQTALHMASKGQNAEIVVELLKPDALVIHVEDNKGNRPLHVATRKGNIQIVQTLLSVEGIDVNAVNRSGETAFAIAEKMNNEELVNILKEAGGETAKQQVHPPNSAKQLKQTVSDIRHDVQSQIKQTRQTKMQVNQIKKRLEKLHIGGLNNAINSNTVVAVLIATVAFAAIFTVPGNFVEDLSQAPPGMSLGQAYVASNPAFIIFLVFDALALFISLAVVVVQTSLIVVERRAKKRMVFVMNKLMWLACLFISVAFIALTYVVVGHDDLWLAWCTMAIGTVIMLTTLGSMCYCIIAHRLEEKNSRKIRKKASASQSRGSWSRSVDSDEEILNSEYKTKMYAL >Sspon.08G0018770-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:9824654:9833867:1 gene:Sspon.08G0018770-2C transcript:Sspon.08G0018770-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCHSIAAWKQENAPKVKDDAHPRPHVPVLSLSLLQRRLGFGGCREGATRANSSDRSQEKPRRSSPPPLHRLAPSHHHPRAPALVNRKGSGFDLGATRPADTLPCRPVSSSPSGTPCVRLPLLASGRKDMRDPFDTPVDLINADHNGGNQVSRTGVVSAGDYGLQNGGPKSFAPNSDTLVRHQVQGASLHKDLAVEDPNTRIMDPETKELYFRSQSQEDEILLLRKQIAEASLKELRLLSEKHILERRLTDLRMAVDEKQEEAISGALKQLNQKKTHLDDNMRLANELKVEEEELYFFTSSLLSMLAEYNIRPPQINASTITTGTKRLYQQMHWKLRSLNDNLGDMTQPGNIYNPNHQQVTLSRNEPSPSYNNMDSNRNALQQYAQGPSDRHIVQMYHGSNFQQDTGGTAPSNYFEGEGRVDGDSQLYQPDGDALPGIEGFQIAGEPRPGFQLTACGFPTNGTTLCNFQWVRHLENGIRQSIEGATMYDYVVTADDVGTLLAVDCTPMDDNGHQGDLVTEFANNGNKITCDPEMQSRIDAYVSTGRAEFEVYVLQAYSPEEWELATLVLTRPNYQIKFTKTSEVIIDEKYSQSLQMKIPNGRTTQFVLVTAGATLPFNTHGVSENEDYNVRLRDLIVLVMRTFQKKALDAKRKGKA >Sspon.08G0007700-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:25727560:25729993:-1 gene:Sspon.08G0007700-1A transcript:Sspon.08G0007700-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LCPFLQCHPSSNLLPDVQLSTRRASPMPLHVHPLLLLLLLLAATSLPARAISSNSSSCAGRDDAAIVAAAFRYVRNFRAPRAKACQPVRELRLPSRNLTGAVSWAALANLSALAALDLSGNALQGAIPGRFWCAPSLRAVDVSRNQLGGALRVQESNPRLRSLNVSGNRFTGVDGVEALSGLVALDVSANRIRAVPRGLRRLARVERLDLSSNAMQGRFPGDLPPLGGVRSLNVSYNRFSGVVDTGAVAKFGRSAFVHAGNASSLVFSDNSTTAPRRPRPSPPSPSPLGKSKKKGGSGTTTTTTTESKATRRSKKRHLSIVAVAVICGVASLATLLFLVGCVACGALRCRRRQKGGKDDEEKKKKKKQPQWGEKGDEEEEEEEDVVVAAARGASSAAPVVLFERPLMQLTLGDLAAATSGFGRESQLAERGGRSGAAYRAVLPGDLHVVVRVVEGAVAGLREDDDDPAAAATAFRELARLRHPNILPLLGYCIAGKEKLLLYEYMEKGDLHRWLHELPAGRPDMDDTGSGDIWEAAEAKRSISDWPTRHRIALGVARGLAFLHQGWAGGGGSAVVHGHLVPTNVLLCDDLEPRISDFFGHNHNGNGTPEGDVYGFGVLVLELMTGQAGWDEASVSWARGIIRDGKGLDIVDPRVRDEAAAGAEAEAAEREMVECLRVGYLCTAHSPDKRPTMQQVVG >Sspon.01G0044310-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:81544787:81550293:1 gene:Sspon.01G0044310-1B transcript:Sspon.01G0044310-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatidylinositol 4-phosphate 5-kinase 9 [Source:Projected from Arabidopsis thaliana (AT3G09920) UniProtKB/Swiss-Prot;Acc:Q8L850] KLFSTNHYKIKEKHRDATVNNLRYGKNNRNGKNNRKHTLSMIERKSFKHLKSSKGLCMTAPVALRNELEVVSHSARVDLFRGTSCNIDKEVLASLGNGQDSTAAGTNPGFRVGEIRFANGDIYSGTLLGNTPEGSGQYRWSDGCTYEGEWRRGMRHGQGKTRWPSGATYAGEYSGGYVFGEGTYTGPDKIIYKGRWKLNRKHGLGHQTYPNGDMFEGSWIQGEIVGHGKYTWANGDTYVGNMKNGKMSGKGTLTWKNGDSYEGNWMDGMMHGYGIYTWNECGYYIGTWTRGLKDGKGKFYPNGCRVPVNDELYINNLRNRGVLPDIRKQNHGSRILHSSSVDMGNMKVGMTRQSSDVVYNRNSTEQPPLKNVSLERRWSLEVAIEKFIGHESSESSGLESLENLSDSRLPILEREYMQGVLISEVVLDRSFSDSSKKTKRRQKKIVRDTKKPGETIIKGHRSYDLMLSLQLGIRYTVGKITPIQKREVRASDFGPRASFWMNFPKEGSRLTPSHSAEDFKWKDYCPMVFRNLREMFKIDAADYMISICGNSALRELSSPGKSGSVFFLSQDDRFMIKTLRKSEVQVLLRMLPNYYDHVHTYENTLITKFFGLHRVKPSSGQKFRFVVMGNMFCTELRIHRRFDLKGSSLGRSTDKIEIDENTTLKDLDLNYSFYLEPSWREALLKQIETDSDFLRTQRIMDYSLLLGVHYRAPQHLRTRASYHRGMAADRLTVVSEEDAQEDDALSYPEGLVLVQSSGENSVVVGPHIRGSRLRASAAGFGEVDLLLPGTARLHIQLGVNLPARAEQIPKEDESKPFREVYDVVLYLGIIDILQEYNMTKKIEHAVKSMQYDSVSISAVDPQFYSERFLKFIQTVFPENS >Sspon.01G0004900-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:11684241:11687704:-1 gene:Sspon.01G0004900-3D transcript:Sspon.01G0004900-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:2-carboxy-1,4-naphthoquinone phytyltransferase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G60600) UniProtKB/Swiss-Prot;Acc:Q0WUA3] MPLAGIAVAPLLVSPLAPSPRRSSVATAAARRPRALQRARCSATAASGGAGETGELSRATLLWRAAKLPIYSVALVPLTVGSASAYHHAGLFFTKRYFVLLTAAVLVITWLNLSNDVYDSDTGADKNKKESVVSITGSRAMTQNAANISLFLGFAGLFWAFAEAKDIRFILLVMCAIFCGYVYQCPPFRLSYQGLGEPLCFAAFGPLATTAFYFSNSSINISSGTALLPLTKTVIASSILVGLTTTMILFCSHFHQIDGDLAVGKMSPLVRIGTKAGSRIVSIGILTLYVLLAAFGICRSLPPACIVLCALTLPMGKLVVDYVLKNHEDNTKIFMAKYYCVRLHALFGMALASGLVLARNGILA >Sspon.08G0021680-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:41836668:41844006:-1 gene:Sspon.08G0021680-1B transcript:Sspon.08G0021680-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSREVTGWGRMAGAGGDGAAAAAAAAVEKAVRCLGRGVDVTGDLRLKHCKDAGGCLVLRTDEKAAAEKVVVPGFGVVADVPADVKCGKGDRIRFKSDVLEFNKMSEVFNHRNSLTGKIPSGLFNSCFDLECGSWAEDASATKCLAFDGYFISLLDLRLDCRPLALADHVVRDVPAAWDPSAIASFIEKYGTHIIVGLGLGGQDVVYVKQDNSSPLSPSEIKEHLDRLGDQLFTGTCTLPPSNRKSRDHKFKVPEAFNVFDAQVTQQRLQGMITPVSCKEGVTVIYSKRGGNAAASDHSEWLQTVPTMPDAINFKIVPITSLLKGVTGVGFLSHAINLYLRYKPPIEDLRYFLDFQHHRLWAPVLSDLPLGPCSNRQGASPALNFRLVGSKLYVSSSEVIVPILPVTGMRLHLEGKKNNRLGIHLQHLSNNPTFINERSAKQPIWRGSEMISDERYYEPVQWRMFAHVCTVPVKYDPRWASADSPSAYIVSGAQLHVKAHDSTNILHLRLLYTQLPGHAVVQSKWAHNTARLSGKGSFLSKSLAASLGAGVDKEQQHPARIHIDSAVFAGGPPVPVGTQRLLKFVETSQVTMGPQDSPGYWLVTGAKLDVEKGKISLHVKFSLLAPSQAFSMCLACSKVGSIQEISWMFGQHQVFNVLSKATYKGSYVMAFREPGAAVLLRESQIRGNHAHC >Sspon.06G0004750-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:12216363:12217889:1 gene:Sspon.06G0004750-2B transcript:Sspon.06G0004750-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DIC3 [Source:Projected from Arabidopsis thaliana (AT5G09470) UniProtKB/TrEMBL;Acc:A0A178UKG1] MGVKGFVEGGIASIVAGCSTHPLDLIKVRMQLQGEAAAAPQPALRPALAFHAVALPHHHDIPVPPPRKPGPLAVGAQILRSEGARGLFSGVSATMLRQTLYSTTRMGLYDILKTKWTPPDNNGVLPLHRKIAAGLVAGGVGAAVGNPADVAMVRMQADGRLPLAERRNYAGVGDAIGRMARAGPLTRVCEVSDGTGPPNTWGPKPQLFTIPAIRHQAIIRPWARDEGVRSLWRGSSLTVNRAMIVTASQLATYDQAKEAILARRGPGADGLATHVAASFTAGIVAAAASNPVDVVKTRMMNMKVAPGAPPPYAGAVDCALKTVRSEGPMALYKGFIPTVMRQGPFTVVLFVTLEQVRKVFKGVEF >Sspon.01G0017610-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:69325464:69327459:-1 gene:Sspon.01G0017610-2B transcript:Sspon.01G0017610-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPMATNGMAPSFFPANFLLQMQQPLHQQQQEHHHHHHQHHDGHEAVHHLLAPPPPALVSPFLHDFGGAMAAPPPPMLAGGGLGGNKRMYPDGCMGGDGSHLHAAEPQQQDGGGFASDDEEGSAAAGGGVEQVRTLERSFEVANKLEPERKAQLARALGLQPRQVAIWFQNRRARWKTKQLEKDYDALRRQLDAARAENDALLAHNKKLQAEIMALKGGGGGGGRQEAASELINLNVKETEASCSNRSENSSEINLDISRPPQAAPPAADESPTMNSYRGLPFYASAARADIDQLLQSGHHPSPPAAPKMELGHGAADTAPATVGGGGGTFGSLLCGAAVDEQPPFWPWADGHHSFQ >Sspon.02G0025980-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2A:90219725:90220335:-1 gene:Sspon.02G0025980-1A transcript:Sspon.02G0025980-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding HTPYRKLPAGTEPRPGTLQLCAGNRCLVFQIARAGGAVPRILRRFLADARVTFAAYYVASDCRKLRAHHALEVASTLELCGADGTGGSSRASLADMADRLLGIRGGGVEKPAWIGNSRWDGARLSRGQVRYAAADAYLSCRLGECIRRRRRRGVHVVESEDEYESYDSGDGNESAESREAEPADEDDGDYGRWTDRFVGFIGG >Sspon.04G0023690-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:17892426:17895558:1 gene:Sspon.04G0023690-2C transcript:Sspon.04G0023690-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFCPVCGMLLQIDPGTGSHRLRLFCPVCPYVCPIKNKIVKKARLVKKEVEPIFSTADEMKSAPKTAGGGGVIGVDCAQEAMGSVTVCYMPKMQSWRSILQADADSIS >Sspon.06G0022040-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6C:23631446:23632476:1 gene:Sspon.06G0022040-2C transcript:Sspon.06G0022040-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAAAEYLYDILTKATVVKKKVPVLIFCNKTDKVTAHSKEFIKKQLEKELNKLRESRTAISSADISDEVQLGVPGEAFNFSQCQNKVAVAEGAGSTGSVSAVEQFIREH >Sspon.06G0006270-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:21792512:21797416:1 gene:Sspon.06G0006270-1A transcript:Sspon.06G0006270-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SSSVKRAGNSGVQLFWEAFPAGSGPNDRTTYMFTYVDPKFGGPKLEELLEVFWDLMPAYQDVVLENLDIRRVGDASGIQSPVSFGGFGSLTRHLGRLSSGICEAVEGDFLDAHSLQLLNPYMSMQVLGGILAPKGQISVETVPGSLEIWSRVTFCVMRRYIAKRNVKKLLLKINTVAILMIMISALMAMFRMFMVMMLMAMAMAMAMAMAMAMLLLAGVLHDIVMNALAITIAMVIMAMAVISMVITTCLHIISTVMIMIMIMIMIMMFVIFMVMALVMFMAMM >Sspon.06G0002200-4D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6D:3864350:3868481:1 gene:Sspon.06G0002200-4D transcript:Sspon.06G0002200-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MELDQKIDLVHELDGHVMRCVRDQNGNHVIQKCIECVPTEHIGFVVSAFQGQVASLSMHPYGCRVIQRVLEHCGGNSQGQCIIDEILQSACILAQDQYGNYVTQHVLERGKAHERSQIITKLAGQVVTMSQNKFASNVIEKCFQHGDIAERDLLIRQIVEQTEANDNLLAMMKDQYANYVVQKILETCNENQRELLLGRVKGHMQALRKYTYGKHILSMEMSCPIFVFKCRLGFNCLFSINGGASARTCPDACALHFVSRSTQGLRCPSDKRGRACGAFDSEGPDRGVVWMSCRCYERRCNGRRRLGRSISAAGVGELGRWGERVPLGLGRVSRRGGGESSTLLQHRRATSMDLVGVGERAIGSAGVGCSWWVRTPGWGNAHAEVGEGAAAAREVAMAASSGWGRARARKG >Sspon.08G0014130-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:58041963:58046454:1 gene:Sspon.08G0014130-1A transcript:Sspon.08G0014130-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRDGLKPTATASRCRCRCSHRAPDHALPFTPPNAIKVPPSPGLKKQALLIQGMSQTLESVSSNLLERFIDRAYRFSEQPSLNEGNFRPVNEIDDAVLLNNLDGEVPGDFPEGVYIRNGPNPLNPTQTIADSIFGSTSYMYYEGHGMLHAVYFNKSSLGEWKISYRNKYVNSDTFQLEREKNQVAFVPSVDGQPYATLVAFVLNILRFGKAVKDSANTNIFEHAGRAFAVTENHLPYEINISNLNTLGPYNINGAWNQPFTSHPKKIHGSGELVIMGTNTEKPHYVLGVISSDGERLVHKADLKFEEGKLIHDIGVTKRFIENDMNGKSRIGVMPRYGDAESIIWFDVENHCSYHLFNCFEHGNEVVIRGCRLLSSIIPSGRHRVDKLKWYGRAFLQPNKDSEDFDPSLDGTLFSRPYEWRLNLEKGSVHEGYITSEKVAMDFPVINDKFIGTQNKYGYAQVADSLVTSKTGLFKFKMIAKLHFDMPDKYQKNLQENKQLISVEYHALQEKQFCSGVQFVAKQNGIDEDDGWIVTYVHDEGTNVSQVHIIDAKRFSEEPVAKITLPQRVPYGFHGNFFYT >Sspon.02G0014460-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:31788709:31789795:-1 gene:Sspon.02G0014460-3D transcript:Sspon.02G0014460-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAEAAAAATTGGRYALLMAAHDSEYVLKKYGGYLHVFVAAFGDAGETWDLYRAIDGELPAPGELECYDGFVISGSPHDAYADDLWILRLCLLVQALHSMRKRVLGVCFGHQVICRALGGRVDKARAGWDVGVREVAITEALALPPRWFLDALREQDQLPPRAKITEVHQDEVWEVPEGAEVLASSDKTGVEMFCVGEHVLGIQGHPEYTEDILLNLVDRLLAAGSITIPFAEAVKRQLETTAPDREFWLKLCKSFLKA >Sspon.07G0024610-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7B:25856182:25856745:-1 gene:Sspon.07G0024610-1B transcript:Sspon.07G0024610-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSCEDEWKNYIQVVKSSSIRCLEVVEKGSSRSVLVVDDSVDVEPIENLTQDKELQAVVVREVDRSCELGALNDDFDEETFDEDNVNGDGAHDMDDISQGSEDDKVDVASVDEDDFTLGPSTLDDVLEDENRSEPSSKDKSVCCEGGFSNAIGVLADGSLRMEYNDVELRQLKAIHVELGTKFHGH >Sspon.06G0025590-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:67209655:67217301:1 gene:Sspon.06G0025590-2D transcript:Sspon.06G0025590-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPETEKERETYDFQRQDLVRNNSIVRKIGDRTVTEVPLVLDWAIRVDGSCPPLIEKDGVLEKSTASACVSANSHCVNASHGSGYLCKCSKGYIGNPYVTGGCTNINECELRKSDPARYEKQYPCGSGSTCYDTVGDFECKCNFGRRGDGKSDKGCQPIFPGYAIAIVATFTASVLACFVVMEIKKRKQKKFFDKNGGEILKSMGINIFTEEQLNKITNRYSTPIEKVPSASDAQCQCYMECLSRIGELAVRCLKEDVDERPTMAEIVKQLKQVKVA >Sspon.02G0056260-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:21007152:21010438:-1 gene:Sspon.02G0056260-1D transcript:Sspon.02G0056260-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLEFRNKATASSPVTTCSDHRLDGAAASAFFPLAPGPGVRLSGSACACTARLRTSTTSLMVGRSLAFLSRQLSASLAISRAAFTEYSPRSLGSMIIRKLRLSGGNWTTHSSSFCSAAGLFRSSDRRPVRISYSTTPKLHTSLFAVRCPESMYSGAAYPCVPTTCKHHITRQSCQNRNNPAVAS >Sspon.05G0033560-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5C:34885759:34886954:1 gene:Sspon.05G0033560-1C transcript:Sspon.05G0033560-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RGIDGIERVAHQGCTFPQGLDPPL >Sspon.08G0003160-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:8584739:8585859:-1 gene:Sspon.08G0003160-1A transcript:Sspon.08G0003160-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPARSPAPTAMAATMLAVALAVALAAVDVSAAPWEREDEEVRQLYEAWKSKHPARPPRGKDDDDLLRLEVFRDNLRYIDAHNAEADLGLHGFRLGLTPFADLTLEEFRGSVLGFQQSRRNDTTADAAADWHSAGEPQAVPAAVDWGKSGAVTRVRNQSKMRSTRAGGCWAFSAVAAMEGINKIVTGKLVELSEQELIDCDHKSDGCNSGRMDYAFQWVISNGGIDTEADYPFTGRAGTCDASKIKKKVVSINSYKRVLPANNEKALQAAVANQPVSVAIEAGGRSFQLYIS >Sspon.05G0013310-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:43894652:43894969:-1 gene:Sspon.05G0013310-1A transcript:Sspon.05G0013310-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFYVDEKWKFSKKSRNKRQPARPGSRRSRRRGLSAEEIVQHEGRPGDRPARERCRRRGGGGGGGRVRAAAVVLEPVRGAGEGAAGALLHHAPLRDHARLLEGLLV >Sspon.07G0027790-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:62252572:62259031:-1 gene:Sspon.07G0027790-1B transcript:Sspon.07G0027790-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEILKGAMGCLLHMLGKFLKQEHNLQTSTTTKEDLESLERQLRRMHAAALCNMPWPQQDQPDPQDDKHCAYELRELSYDIEDIIDNLLLCFDKGLEPISNHDSFRETLEDIKLRGVQNNGKAIAVKLLHSMPQRLDYEEEEFRKEFENLRRLNHPNIVELLGYCYETKREFVEYEGKFVLADEIYRALCFEFMHNGSLQEHLDDEFHGLDWQTRYKIIKGTCEGLKYLHEGLESPMYHLDLKPGNILLDMDMAPKLADFGLSKLVYDDQTQATLRPLGTNGYCPPEFIEQNYVSNKFDIFSLGVVMIKIIAGSEGYRKTAEMSSQEFIDHVQENWRKRVHETPGCTSLKYECYQVKRCVEIALDCVHTDRKQRPNIGDIISWLNIIERELRNSNNEVECKPLPLLFRLADGLALWHGESGNATSSS >Sspon.02G0010390-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:27467250:27469314:-1 gene:Sspon.02G0010390-2B transcript:Sspon.02G0010390-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DPAMSSMLENLTSPAHKEQLEERMARIKEDPSLKPILDEIENGVHLQWYWNDPEVLQKIGQAMGVNLPGDSSASTVLSGPEETEEEGGDDDESIVHHTASVGDEEGLKKALDGGADKDEEDAEGRRALHFACGYGELKCAEILLEAGAAVDALDKNKNTPLHYAAGYGRKECVDLLLKYGAAVTLQNLDGKTPIEVARLNSQDEVLKLLEKDAFL >Sspon.05G0031940-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:11061556:11064180:-1 gene:Sspon.05G0031940-1C transcript:Sspon.05G0031940-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGSGEVGRYFLSGCIHASIRVLLYALVSISVLLWLVRDSVGEWKGHARSKRQRWMELGKEDASAVLLQLGTARSSLGNSEGLSPEQRYQVVHIALSFSKSVKGTIDTTCEFLVSKPPYLQVTLRDVVTTPCHPATEPQQWSFDREPRHCRTCTVLDPETAAISWRSSFGSGEWLDKIESRP >Sspon.02G0013220-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:15489099:15490687:-1 gene:Sspon.02G0013220-1P transcript:Sspon.02G0013220-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHSSSLYLLFLVALCTSLYCGLVQAQVLFQGFNWESCKQQGGWYNSLKAQVDDIAKAGVTHVWLPPPSHSVSPQGYMPGRLYDLDASKYGTAAELKSLIAAFHGRGVQCVADIVINHRCADKKDARGVYCIFEGGTPDDRLDWGPGMICSDDTQYSDGTGHRDTGEGFAAAPDIDHLNDRVQRELSAWLNWLKSDEVGFDGWRLDFAKGYSPAVAKMYVDNTGPSFVVAEIWNSLSYSGDGKPSPNQDQCRQELVNWVQAVGGPAMAFDFTTKGLLQAGEISTLAAIRARNGIHAGSKLRILVADADAYVAVVDEKVMVKIGTRYDVSNVIPSDFHPAAHGKDYCVWEKGGLR >Sspon.06G0007070-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:26564904:26566590:1 gene:Sspon.06G0007070-1A transcript:Sspon.06G0007070-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAFLSSSIPATSYSRSSLFLPQPPQAPVKLVLPSSAPRGSGAVADGRRTASEAAGRSGRCVAASASSSPATAAVATEVPGVMKAWVYDAYGDASVLKLDEAAAVPAVADDQVLVKVVAAAINPVDAKRRAGKFQATDSPLPTVPGYDVAGVVVKVGSQVKNLKEGDEVYGMISEKPLDGPKQSGSLAEYTAVEEKLLALKPKGLDFAQAASLPLAVQTAHGGLEIAGLSAGKSVLVLGGAGGVGSLAIQLAKHVYGASKVAATASTKKIELLKSLGADVAIDYTKDNFEELPDKYDVVLDAVGQGDKAVKVVKEGGSVVVLTGAVSPPGFLFVVTSNGAVLGKLNPYLESGKVKPLVDPEGPFAFSQVVEAFSYLETGRATGKVVISPIP >Sspon.06G0004840-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:13531538:13533736:-1 gene:Sspon.06G0004840-3C transcript:Sspon.06G0004840-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVYVGELGTTNGSGSGSGLTLNPVARRAISRGASTLGTPKSPPPAYGSIVTVLSIDGGGVRGIIPGTILGFLEEKLQELDGQDARLADYFDVIAGTSTGGLVTAMLTAPNKDGRPLFAAKDINDFYLEHCPKIFPSGSLAGPKYDGKYLHSIVRELLGETKVSQALQNIVIPTFDIKLLQPTIFSRYDAKSDVSKDALLSDVCISTSAAPTYLPGHQFETKDKDGKPRAFNLIDGGVAANNPVGDRT >Sspon.04G0015270-4D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4D:65753696:65762182:-1 gene:Sspon.04G0015270-4D transcript:Sspon.04G0015270-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VVYLDEPSTGLDPASRSALWNAVKFAKKDKAIILTTHSMEEAEALCDRIGIAAYGRLRCTGTSKELKAKYGGTFVFTVTAAAGEDDAVERLVRSISPAAKRTYHIAGTQKFELPKQGVRIAEVFRAMEQAKRSLSIAAWGVVDTTLEDVFIKGQNRLFHVEGHTAFAVLHCCLLVPWHACSQSNWKCYYTCKRSSRVFSSPRALHFSPREEEPSGEAMGANCLAQTNALFRKNLVIQRRNHRSNCCLVCFPLLICLLLGGVQMIVAIAYFSSAAHRPRIDCGYCTARTNSSSTDTVGGLVCPTECPLPIAPKWPPVLQLPPAPDDMDDFGSSASTNLTAGASITRAKAKHPAATFVVTGANRSFAESVMSNMLPKHGELKSAAGISTIADFALGTDAMRFVSNGAEELGSDFDHRNHLFFLQRSCTPKVTLSFPVQEGSSNFTKAYDLTSSNLNKFNLIVSYNSTYKGATQLLLSLSSLSFSPILLRVPRLLNLVSNAYLQLRGSDTKMQFEFIKDMPRVAQQELPMDISFLVGKLVFREIVEQLLQESDSSYSVICDNLKKVYHGKDGNPEKIAVTGLSLSMQRGQCFGILGPNGAGKTSLISMAVEHSLKSVRLFDDGIADKRVAKYSGGMKRRLSVAISLIGDPKVVYMDEPSSGLDPASRRALWNAVMSAKENRAIILTTHSMEEAEALCDRIGIMVNGSLQCIGNSKELNAKYGGTYVLTITTAAGKEEA >Sspon.01G0047340-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:102349794:102351372:1 gene:Sspon.01G0047340-1B transcript:Sspon.01G0047340-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSTLPSISFQSLCFKIQPAPQPSLWPPRKQSTTKPGLSLKLIRAALGPDTNSGFNNADRRGTTLPSSPLSDVVQEFYSSLNEKNSKRLDKLMAPDCIVEDTAYYKPLDAKCTRIYFKRLMESMGEKVKFAIDEVCQGAGRTAAVMWHLEWNGYIIPFTKGCSFYICSANGAVLLIRKIHIFDESPLKPGKWALVGALMSLLLLVLYKSEILNIVTNLINMFPKPAEGFLKDPEAVVQPFIKLYKFYVKPFVVLPFLAYYTHFWTYVARGLTMVLLTSGTGEKQPAHENQMCYGETPWPR >Sspon.01G0000840-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:2802253:2802846:-1 gene:Sspon.01G0000840-1P transcript:Sspon.01G0000840-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPRLYPTGSGSDDRRDAAYPGSERRLYNPYQDLNLPYRQLYDLPTSPEFLFQEEALAQRRSWGENLTYYTGVGYLGGAVAGAALGLRDAARGAEPGEPAKIRANRVLNSCGSSGRRVGNTLGVIGLMYAGIESAMVAARDRDDWINNVVAGLGTGALFRAANGPRSAVVAGAVGGVLAGAAAAAKQVGKRYVPAL >Sspon.01G0007040-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:30899983:30903147:-1 gene:Sspon.01G0007040-2B transcript:Sspon.01G0007040-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPFFVYQNLALDYFYCYLNWSNACEDEASGGLKRPPPPPPPEQDEEEERSKRRNVEQEDSEDEDWLRYSPPPAPEIVVAEKTISRFASEIQGDSVPVTAPNGERVYAKLAMEGLVGRGISGTRQGAHFYNPNSNHKGQLLSDLLLALTVLISLKIEDAFGLFVHVVCVSAGLLSESFHSLTRRAEQEALAKALQESTDSIDRVACSVTPLVTEKLWVEKYAPNSFTELLSDEHTNREVLLWLKQWDSSVFGSHIRATGDDVLSALRRHSSTIQKNASNRNFFSKSKGGPVASQDDTPLNAQSSNPEGLGGSFSKKSSVDNTPEQKVLLLCGPPGLGKTTLAHVAARHCGYHVVEINASDDRSASSIETKILDVVQMNSIMSDSKPKCLVIDEIDGALGDGKGAVEVILKMINAEKNNNFARGTNGEETQVRKASRKSHRTAKLLRP >Sspon.07G0035890-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:16734147:16735796:1 gene:Sspon.07G0035890-1D transcript:Sspon.07G0035890-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLRLAFYVTTLVLISHLFCSLGTHFEQGLSGHKSSTIGSGDLINDSVPPKDPPEEGGLLLPEPIICCSNFSFPVLNPSLKAECYLTSLGENCEGNADPESLSDIDDVEVDWYLHNEEETQYKKIIWEEMNKEYLEEQAAKEALAAELAARGIVVEEGKKKGLGSKINEGAIGELYKL >Sspon.08G0022510-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:51074926:51079444:1 gene:Sspon.08G0022510-2C transcript:Sspon.08G0022510-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AAGHHSSSSPRPRFHRRHPLLLPTAAAAPVAAPRGRRSLPGRLSVRASATAAAAPRRETDPKKRVVITGMGLVSVFGNDVDAYYDRLLAGESGIGPIDRFDASKFPTRFAGQIRGFSSEGYIDGKNDRRLDDCLRYCIVSGKKALEAAGIAHGSKPMEKIDKTRAGVLVGTGMGGLTVFSDGVQNLIEKGYRKITPFFIPYAITNMGSALLGMDIGFMGPNYSISTACATSNYCFYAAANHIRRGEADIMIAGGTEAAIIPIGVGGFVACRALSQRNDDPKTASRPWDKDRDGFVMGEGAGVLVMESLEHAMKRDAPIIAEYLGGAVNCDAYHMTDPRSDGLGVSSCIKQSLEDAGVAPEEVNYINAHATSTLAGDLAEVNAIKQVFKDPSGIKINATKSMIGHCLGAAGGLEAIATVKAITTGWVHPSINQFNPEEAVEFDTVPNVKKQHEVNVGISNSFGFGGHNSVVVFAPFKP >Sspon.07G0028210-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7B:65060092:65060720:-1 gene:Sspon.07G0028210-1B transcript:Sspon.07G0028210-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MWCGGKPLPIYCWRFDSSCNLVFWRSLTRIGVFKQGLVVAGHWLVRVSEWAAQLSGAMSRIVYRRPDCIPKIFEQNYRKE >Sspon.02G0023440-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2A:79865767:79866669:-1 gene:Sspon.02G0023440-1A transcript:Sspon.02G0023440-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MESAAQSIIGNVGQLLSSEYQQLRGVGEKVAELRDDLDAMNALLVMQSEAEDGAVDRFVQVCMKQWREVAYDTEDCIDLYRLRIKSRPSDGVCAWLGRLLGTVLPRRRLAEEISALHARAVAISERQARFGVNRDALRRSTPLLPAAPVPAPAASTPAHDAGRRHRPVGIEDQASTLAARLKAPVGKEGDRNAVFSIVGFGGLGKTTLAMEVCQLLEPEFPWQAMVSVSQAFEPSRDLKALLKGLLRQVVNPKTADDAGIKEEGALGAIDALDDNSLAKKLDDILADKRYMAYNLRLQDY >Sspon.06G0022760-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:33415755:33417428:-1 gene:Sspon.06G0022760-1P transcript:Sspon.06G0022760-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVDHGAAGNGNHVQQSRRTTAQLSSSGPPRKPPLVDDDGRPLRTGTLWTASAHIITAVIGSGVLSLAWGIAQLGWAGGPAAMVLFGAVICYTSTLLAECYRSGDPMFGPRNRTYIDAVRATLGDSKERLCGAIQLSNLFGIGIGVSIAASVSMQAIRRAGCFHYRGHEDPCHASTSPYIAIFGVMQIFFSQIPDLDKVWWLSTVAAIMSFSYSTIGICLGVAQIVVHRGARGSLAGVIGAGAGVTVMQKVWRSLQAFGNIAFAYGFSLILLEIQDTIKSPPPSEAKVMKKATAVSVAVTTVIYLLCGCVGYAAFGGKAPDNLLTGFGFYEPFWLLDVANAFVVVHLVGTYQVMSQPVFAYVERRAAAAWPGSALVRARDVRVGRAMAFTVSPIRLAWRTAYVCVTTAVAMLLPFFGSVVGLIGALGFWPLTVYFPVEMYIAERRVPRGSRRWLLLQGLSAGCLVVSVAAAAGSIAGVVEDLKAHNPFCWSC >Sspon.01G0021320-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:78806108:78810286:1 gene:Sspon.01G0021320-3C transcript:Sspon.01G0021320-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASLLLRAVRRRELAPPLGSLGASLQSTCAANVCSKWGNFARPFSAKAAGNEVIGIDLGTTNSCVAVMEGKNPKVIENAEGARTTPSVVAFTQKGERLVGTPAKRQAVTNPQNTFFGTKRLIGRRFDDPQTQKEMKMVPFKIVKAPNGDAWVETTDGKQYSPSQIGAFVLTKMRETAESYLGKSVSKAVITVPAYFNDAQRQATKDAGRIAGLDVERIINEPTAAALSYGMNNKEGLIAVFDLGGGTFDISILEISNGVFEVKATNGDTFLGGEDFDNTLLEFLVSDFKKAEGIDLSKDRLALQRLREAAEKAKVELSSTSQTEINLPFITADASGAKHLNITLTRSKFESLVHNLIERTREPCKNCLKDAGISTKEVDEVLLVGGMTRVPKVQEVVSEIFGKSPSKGVNPDEAVAMGAAIQGGILRGDVKELLLLDVTPLSLGIETLGGIFTRLINRNTTIPTKKSQVFSTAADNQTQVGIRVLQGEREMAADNKLLGEFDLVGIPPAPRGLPQIEVTFDIDANGIVTVSAKDKATGKEQNITIRSSGGLSEADIQKMVQEAELHAQKDQERKALIDIRNNADTTIYSIEKSLGEYRDKIPAEVASEIEAAIADLRQEMASDDIEKIKAKLEAANKAVSKIGQHMSGGGSGGSQSGSGPQGGSDQAPEAEYEEVKK >Sspon.08G0007760-2T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:23693968:23696127:-1 gene:Sspon.08G0007760-2T transcript:Sspon.08G0007760-2T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MREVKQKVATEDILEEDDEKRSLENSVPPVILDLNEGFGEGSDEGDTGDDDDGNEEDGDDGGSTSEVEGCRSSSSNNSSTNHTSMSNKDCDMDSSSKGEGSGERTLTVRQYNRSKLPRLRWTPDLHMAFVHAVERLGGQERATPKLVLRMMNVRGLSIAHVKSHLQMYRSKKLDHESGGHERAAISSGSHLISTLSLSLSLSPNFSLISSTEAYCTHTENAMDWIMHFLNLRCTILAVFSPMDFHMMRRGDHRFHDMFLHRAPGSVISSGRLLHNGELFGSRNAVSPEATSRLYTLLQRRQQPSMQTFDFKNYSSSLRDQEWSFSQHAAAAARAGAINDHGPTKGLIHDMFLRKNGRVTSHLFDVRDAIASNRTSADAAGAANHGGRVVRSTDWDGTSSGLPLSRTMSAAASTGLELGSHQLLSRGRGSANVASSDPVVTSEALGSRLQTFLEPSKVIGEMCAGTRTTKRMKTPMEGNGGTPDLQLSLSPNDDMGGDADKQVKKRKFLGIGLSEEVDDDSGKTTLPLSLSLSLRGGEWSGGDDARRLEAATGSSGNKAALGRSTLDLTMSIKALE >Sspon.08G0006980-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:22024875:22030893:-1 gene:Sspon.08G0006980-2C transcript:Sspon.08G0006980-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMTDDEHRDRQTLAEEGRAVPIQPHQANGGGSPPPLEMEKKAAGSPPPPPPSPSAKSIAKAEEGITAIKELCHAAKAKENREFGAFIAASAASMMVAWYFLSPDARGAYNMRYIIPMLLGLACLASGLSLMLLSQNILNLQEDLVDDVQLVTSKWLSLLCSILPVLTLLSSLVLSGYKVYRYIGLIILGLVMTPLALLRWYIGRNVEGSSEQVDNEHKEQLEAAFKFISAISNSAFGGLVALVVNYNITGYSGHTKGAVLVAIFILFTIGILGLLLMEIRTKVPEINNSRLRGSIIKAMWFIIIFMLLLLAGAALAEVFAIVEFWTFAAFLPLAFASAIYLFPERCVRVPRNNNANEYLMEQFNWKADKGIKVSMWSFTAIISIFGGFLHGHDKIQYLKACIILLTSAFMSGLVLTLLTIRPDPTSASLSAATTVLDWTASATFGAAIFAIMVAMVLQIL >Sspon.06G0001500-3C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6C:2741521:2741745:-1 gene:Sspon.06G0001500-3C transcript:Sspon.06G0001500-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRLGVEGLIQELCKGFQLLMEPRAGKITFRSLKQNAARLGLGQLRDDELLEMMKEGDMDGDGALDQMEFCILM >Sspon.04G0002180-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:7961707:7964261:1 gene:Sspon.04G0002180-2D transcript:Sspon.04G0002180-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding NGVAAGLRRGGRRRGLRCEGEGLHRCAPASIDGDRRRGPPGAAGVPRPRRQGRHRRRPQYTTLKPLADRVLVKINSSEEKTTGGILLPTTAQSKPQGGEVVAVGEGRIIGDKKVDVSIQVGAQVVYSKYAGTEVELNDYNHLVLKEDDIIGILETDDVKDMKPLNDRVLIKVAEAEDKTPGGLLLTETTKEKPSIGTVVAVGPGSLDDEGKRSPLSVSAGSTVLYSKYAGSEFKGADGTNYIVLRVSDLMAVLF >Sspon.06G0032150-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6C:79247476:79250337:-1 gene:Sspon.06G0032150-1C transcript:Sspon.06G0032150-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRSAAKYLLLIILAATCSLFLLTARALQQLQPCASCCLPHERDALLIFKHAIRRDPVGILASWERDGHELDCCRWRGVRCSNQTGHVLKLELPNVLVEDGDEYGYDFANGLVGEISSSLLSLHYLQHLDLSMNNLEGSSGRIPEFLGSMNNLMYLNLSGILFSGIVPPQLGNLSKLQYLDLSSSLEAYSTDMSWLTRLHFLQYLDLSWVNLSTVSDWPRILNRIPSLKVIHLSYCSLTSANQSLPHLNFTNLEVLDLYKNYFEHPIRSSWFWNITSLKYLNLARCGLYGQFPIALEGMTSLQVLDFSSNSDPRERIMSANLKNLCNLEILNLEVSLLYGDITELFENLPLCPSNKLKELHLAGNNLTGKLPSWMGQLTALVTLDLHGNNISGPLPAFIGQLTTLKTLDLYGNHMDGVITEEHFNSAKSLQYIDMSYNSLNIKISAEWKPPFRLSRGMFTCCQIGPLFPSWLQWQVDIVYLDISSTGYMDLSYNNLTGRIPSGSQLDTLYSGNPSMYTGNIGLCGPPLPKNCLGNDTSKLGHTRINGSHGKYFFYLGVECGFIMGIWVVFCALLFKKGWRIAYFRQFDNVSNEVFAVVVVYLATLAGETT >Sspon.04G0016660-2P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8B:12371490:12371963:1 gene:Sspon.04G0016660-2P transcript:Sspon.04G0016660-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGNDNWINSYLDAILDAGKGAAAAGASAGAAARGRGGGGGDRPSLLLRERGHFSPARYFVEEVITGYDETDLYKTWLRVRTCVLPRAGGSAPPPRVRGGRASSRSESLAPFLAGDPRDVLIDLCLCVRPRVHVVVVGARRRTRCGARRRRTRGWRT >Sspon.03G0036460-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:90176883:90178468:-1 gene:Sspon.03G0036460-1B transcript:Sspon.03G0036460-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTPSHLKNPRYFDFRAARRVPESHAWPGLHDHPVVDGGAPGPDAVPVVDLGAADPAAAVARAAEQWGAFLLMGHGVPAELLARVEDRIATMFALPADDKMRAVRGPGDACGYGSPPISSFFSKCMWSEGYTFSPANLRADLRKLWPKAGDDYTSFCDVMEEFHKHMRALADKLLELFLMALGLTDEQVGAVEAERRIAETMTATMHLNWYPRCPDPRRALGLIAHTDSGFFTFVLQSLVPGLQLFRHAPDRWVGVPAVPGAFVVNVGDLFHILTNGRFHSVYHRAVVNRDLDRISLGYFLGPPPHAKVAPLREAVPPGRTPAYRAVTWPEYMGVRKKAFTTGASALKMVALAAAEVDDDAGAGADPA >Sspon.02G0006330-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:19423761:19434881:1 gene:Sspon.02G0006330-2C transcript:Sspon.02G0006330-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLAVLIPLIIASLASDAAAVRVELTRIHADPSVTASQFVRDALRRDMHRHNARQLAVSSSDGTVSAPTQISPTAGEYLMTLAIGTPPLSYQAIADTGSDLIWTQCAPCSSQCFQQPTPLYNPSSSTTFGVLPCNSSLSLCAAALAGAAPPPGCACMYNMTYGSGWTFVFQGSETFTFGSSTPAGQARVPGIAFGCSNASGGFNTSSASGLVGLGRGSLSLVSQLGVPKFSYCLTPVQDTNSTSTLLLGPSASLNDTGISSTSFVANPSSAPMSTYYYLNLTGISLGTTALSIPPSALSLKADGTGGFIIDSGTTITLLGNTAYQQVRAAVVSLVTLPTTDGSAATGLDLCFELPSSMSAPPAMPSMTLHFDGADMVLPADRYMMSDSNLWCLAMQNQTDGGVSILGNYQQQDMHILYDVGQETLSFAPAKSMSSSTSQMASLAVLVFLVVCATLASGAASVRVGLRRIHSDPDITAPEFVRDALHRDMHRQQSRFSGRQLAEPDGTTVSARTRKDLPNGGEYLMTLAIGTPPLSYPAIADTGSDLIWTQCAPCGAQCFAQPAPLYNPASSTTFGVLPCNSSLSMCAGALAGAAPPSGCACMYNQTYGTGWTAGVQGSETFTFGSAAADQPRVPGIAFGCSNASSSDWNGSAGLVGLGRGDLSLVSQLGAGRFSYCLTPFQDTNSTSTLLLGPSAVLNGTGVRSTPFVASPAKAPMSTYYYLNLTGISLGKKALSISPNAFSLKADGTGGLIIDSGTTITSLVNAAYQQVRAAVQSLVTLPTIDGSDSTGLDLCYALPTPTSAPPAMPSMTLHFDGADMVLLADSYMISGSGVWCLAMRNQTDGAMSTFGNYQQQNMHILYDVRKERLSFAPANYDTDLATSSDSNDDWSGDSDTEFDPDGEIVDEEHEYDLPMFSYDADGPYIDINVVFPDVDQCKLAVTHHAILHDHAFNIVKKDKTRFRAICKRADQ >Sspon.06G0011720-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:51220530:51222353:-1 gene:Sspon.06G0011720-2C transcript:Sspon.06G0011720-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPRHLNPLGELYKAAADHVKSPHTQPLGSLVAQLSASHSLPVGIHSFLRPISRRRRGLAAAAAPSLSFKKKLAKIEKYRARKRGKRERGSEGGPGPKIEAGSVRRKLMRLLSFVPFGCRAGPVDDAPPADHAAAAAGDAAAAARRRRRRIAANRSALGAAAAQWSPSLGDIYEEYDSTHAAKAAAAGPVRVRKVASWDVARAP >Sspon.02G0037930-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:42175199:42179605:-1 gene:Sspon.02G0037930-1P transcript:Sspon.02G0037930-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MCFQTGKWEWKFENKPVNIYYEEHEQEVADNVKNILMIPTISDVSTVEEWRVVAKDIVGRKGELGYRATIVDWPGLGYSDRPSLNYNADVMESFLVELINSPNSPVANADDELVIVGGGHAATIAIRAAGKGLIRLSAVAAVAPTWAGPLPIVFGRGSDMETRYGLLRGTLRAPALGWMMYNVLVSNEKSIQSQYKSHVYANPDNVTPDIIESRYELTKRKGARFVPAAFLTGLLDPVQSREEFLQLFAKLDGDVPVLVVSTLNAPKRSKAEMEALKGSKGVTKFVEVAGALLPQEEYPLAVAEELYSFLQESLATRR >Sspon.03G0002530-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:21783743:21785776:-1 gene:Sspon.03G0002530-3C transcript:Sspon.03G0002530-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AMPPARPDPEPPPIHRLLELIKSEPDPANALSHLELLVSTRPAFPPPQPLIFHLLRRLATSSPSRLPRLLGLLPRMRHRPRFSESAALVVLSAFSRALMPDAALAAFRDLPSLLGCNPGVRSHNALLDAFVRARRYSDADAFFASLSHGAFGRRIAPNLQTYNIILRSLCARGDVDRAVSLFSSLRHRGVAPDRVTYSTLMSGLAKHGQLDNALDLLDEMPNHGVQADAVCYNALLSGCFKTGMFEKAMKVWEQLVRDPGASPNLATYKVMLDGLCKLGRFKEAGEVWSRMMANNHQPDTVTYGILIHGLCRSGDVDSAARVYSDMVKAGLILDVAVYNSLIKGFCEVGRTGEAWKFWDSTGFSDIRQITTYNIMMKGLLDTGMVSEATELLKQLENDASCSPDKVTFGTLIHGLCENGYANRAFEILEDARNSGEELDVFSYSSMINRFCKDGRTHDANEVYENMVKDGCKPNSHVYNALLNGFCRACKINDAVKIYIEMTSNGCCPTIITYNTLIDGLCKAEKYQEASSLTKEMLERGFKPDIRTYASLIRGLCRDKKVDAALRIWDEILDAGLHVDVMVHNILIHGLCSAGKVGEAFCIYLEMKEKNCPPNLVTYNTLMDGFYETGSIDKAASLWTAILDNGLKPDIVTYNTRIKGLCSCNRTPEGVLLLNEVLA >Sspon.01G0005750-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:13653617:13657324:1 gene:Sspon.01G0005750-1P transcript:Sspon.01G0005750-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding PMPPTTVTLLQACAVLLLCCRLSPAAAASASPGDVGGGGARRVLHQPLFPIGWTPPPSPPPPPAPDFTSDPATPDGPPADFFPPAPPTAPAGGGGTTTTSSTPTTIAANVPTASSGSGDGGHHGGPAKGTIIAAGAAAAAAIALLAFACAFLTAGRARRRGDSQKLLGPDRGSARHHSAPSAADFLYVGTVEPTTPGRHHGPTAADLVGSPYRKLRSERARRGVGRDEPTDHPSPELRPLPPLRRAATVGSSDDDAYYTPRQRSGGGGVGGETWSEASASSPPTTTTASCRSLPSLTSDCFPPVAVIAAPTPPPARSRRTPPRTRFSAGSTPDIKQVISPSPRSVQPPKAAPPPPPPPPPPPPKSITAPKPPPPPPPPPPPPPMIPSNTVPKSAQPPSEPTSRRRLLKPLPPEGPRIAMPMPITAATAEDSIGSASMRKQDDVADDIVGNGEPRPKLKPLHWDKVRATSDRAMVWDQLKSSSFQLRFCFILHARLDEDMIEALFMNNSTPAAPPRDAGRKATVPPFRQEERVLDPKKAQNIAILLRALNVTRDEVSDSLLAGNAECLGTELLETLVKMAPTKEEELKLRDYNGDASKLGSAELFLKAVLDIPFAFKRVDAMLYRANFETEISYLMKSFETLQAACEDLRGSRLFLKLLEAVLRTGNRMNVGTNRGEAKAFKLDTLLKLADVKGTDGKTTLLHFVVQEIIRSEDAKSEKESAMIIHSSKDEQLRKQGLKLVSGLSSELGNVKKAAMMDFDVLHGYVNKLETGLEKIKSVLQLERQCTQGQKFFTTMQSFLKKAEAEIEKVRGEEKRALIRVKDITEYFHGDTSKEEAHPLRIFMVVRDFLSTLDHVCKEVGRLQQDRTVIGSARSFRISATSLPVLSVYGQRRENNSDDDSSSS >Sspon.02G0038310-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2B:40834929:40835252:1 gene:Sspon.02G0038310-1B transcript:Sspon.02G0038310-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding QTYVASVSSRCCKNRSGVAHVAAESIWNSRLLQLLGPPVCAWVWRGAPRCVGVGHGATQDTKHAHEPRCVRGTRSGAGHGAGAGQRAVRAPCEAGIAGADIWTLAPF >Sspon.03G0030150-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3B:19824528:19826750:-1 gene:Sspon.03G0030150-1B transcript:Sspon.03G0030150-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRPSNFMPTPEQDVLDVKPLRTLAPMFPAPLGVNTFNQSTTPPLIFVTPAGQFQGGFGAWNNSAAKSFFAFGGEDASGGKAHKFDDQNTGSGKAATFGDQDAFGSQNVATGVQDAAGGQTATDWTSDVSANPIGPIDATPISAYRSTQPNVILLDDDDDDDADEPYAANKTSASGRKIKRPSHLSGYNVSDGLVSDSSNSMKIKRPKSSHKKAAADNEHALLPPSDDPRETVEAVLMTFEALRRRHLQLDEAQETNKRADLKASAIMMAKNIRANSGRRIGVVPGVEIGDIFYFRMELCIIGLHAPSMAGIDYMTAKFGDEDDSVAICIVAAGGYDNNDDDTDVLVYSGSGGNSKNSEERHDQKLERGNLALERSLSRKNVIRVVRGYKDPGCLTGKVYIYDGLYRIHESWKEKTKSGIFCFKYKLLREPGQPDGVAIWKMSQKWVENPITRGSVLHPDLSSGAENLPVFLVNDIDSDKGPHHFTYTTQFEHLRPLSSVKPLEGCRCLSVCLPGDANCGCAQRNGGSLPYSSSGLLVCRKTMVYECSESCRCSFNCRNRVTQKGVRIHFEVFKTGNRGWGLRSWDPIRAGSFICEYIGEVIDDASINLNDIEDDYIFQTLCPGERTLKWNYGPELIGEQSTGISADTFEALPIKISAKRMGNISRFINHSCAPNVFWQPVQFDHEDGHRPHIMFFALKHIPPMTELTYDYGDIGADSSVRSPRAKNCLCGSSNCRGFFI >Sspon.04G0001720-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:6601399:6603637:1 gene:Sspon.04G0001720-2C transcript:Sspon.04G0001720-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding NLLQDLSCDVLDEPSPLGLRLRKSPSLLDLIQMKLSQAKSASEQFDVHNNVSDTPMKKEVRSGAPTAGERLKASNFPANILRIGNWQYISHYEGDLVAKCYFAKHKLVWEVLHDGLKSKIEIQWSDIIALKATCPENEQEGILDLKLARPPLFFKETDPQPRKHTLWQAASDFTDGQASVNRRHTLQCPSSLLSKNFEKLIQCDQRLHELSQQPDAILQTPSFEPKRSIFENPNESKDCLDLNGLEYEHENTLPKFTDPISTYVFSSLSKNVGQPVNTGFGAGDFESTEEPKNCNQWNQFKVPGLKASISMEDLVNHLGNCRADQRSVGETPLTINDEKSKEVLEDLVQYLFSDTQSLPASDDKYLMARVDSLYSLLEKDTVSDAMRKPECSDDIGIN >Sspon.02G0009130-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:26061309:26064018:1 gene:Sspon.02G0009130-1A transcript:Sspon.02G0009130-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAAALDAMAGTRWGRWLGLVTAVWVQCISGNNYTFSNYSHALKTLMGLTQLQLNGLSVAKDVGKAFGLLAGLASDRVPTWLLLAVGSLEGLLGYGAQWMVVSETVAPLPYWQMCVFLCLGGNSTTWMNTAVLVTCIRNFRRSRGPVSGLLKGYVGLSTAIFTDTCSALFADDPASFLVMLAVVPAAVCALAMVFLREGPAGGGAAAGDDEDDGRCFAAINSLAVAIALYLLAADLTGIGGNGGVVSAVFVAVLLVLLASPATVPALLAWKSWVKIRKAANADLEEADSLAAEAAPLLLAAKAAARTEVEARAPGERPRLGEEHTIAQALTSLDFWLMFASFLLGVGTGLAVMNNLGQMGVAMGYVDVSLFVSMTSIWGFFGRIASGTISEHFIKTRAIPRPLWNAASQILMAVGYIVMALAMPGSLFIGSVVVGICYGVRLAVTVPTASELFGLKYYGLIYNILILNLPLGSFLFSGLLAGLLYDAEATAVPGGGNTCVGAHCYRLVFLIMALACVVGFGLDVLLCVRTKRVYAKIHESKRLSRSAVAQRVG >Sspon.06G0028510-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6C:7518014:7518442:1 gene:Sspon.06G0028510-1C transcript:Sspon.06G0028510-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALALWQVAAPTRDGEEKMNIPSPQPPAKGRERIRSEKQAQELARTRRSAPLARQSSWVRGRGRGSSSQAGGAARFFKAGGDLGQTIGEQLPTLPAALNSHQGRASEKVMEALSLSLSLSSVQCAGAASAGDKGGEKEKECQ >Sspon.01G0009030-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:27584379:27593528:-1 gene:Sspon.01G0009030-2B transcript:Sspon.01G0009030-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRATLPLALGLSLVALLAARGADASIHEYAGGGFAPRANSFFFHGGSEGLYAPDPSSNSAASFIRFDTVVFRRTLESASRHEEMQQKTGLVEAIIVEIQDRDKIGGSYLHSDAICCTPELDKEKSCRVGEVIIRPNPENPDWPKRIQTFFDGKNEETTMVTQIVSINKTGMYYLYFMFCDPQLKGLKITGRTVWRNPQGYLPGKMAPMMTFYGFMSLAYLALGLLWFIQFVRCWKDILQLHYHITAVIALGMCEMAFWYFEYANFNSTGTRPMGITLWAVTFTAVKKTVSRLLLLVVSMGYGVVLPTLGGITSRVAALGFIYFVASEALELVENLGNINDFSGKTRLFLVLPVAVLDATFIIWIFSSLSRTLEKLQLRRSMAKLELYRKFTNSLAVSVLISIAWIGYELYFNATDPLSELWQRAWIIPSFWNILSYALLAIICILWSPSRNPTGFAYSEDAGEGADEEGLSLVGSAVKGTGDMVNMHIFPEDKRA >Sspon.08G0001590-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:5084531:5087315:1 gene:Sspon.08G0001590-1A transcript:Sspon.08G0001590-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPRSRIRGRDPPPPPPPSGGRYRRRSPAPPSPRHQRRPRDPLPPQPKRRSSELPPPRRYEENPNPLPNGFAAAAAERRSRGDILLEAGRLAAHYLVAKGLLPEHVLLAREDPNHNPSYRPEPPAPVPAPVPAPAPAPAPTLAPSSYGRKRDEDDGPRWRRSGSGAGDLGRVSKWDDDREAKRSGWDRKSHSFDGRRKYNDGGGGDADRGGRRTRDYNEPKRPPMSRSYSYNDRRASADGRVDRRRRSRSRSRSRSRTRSYYSGSRRDPDLRAGTRDLDRSKVPDSGVVPAGGGGGGDGGVHNADVDEIPRQLKVPSSVVVMEMNDSAVEAVAIEDKQVESETAGLDHAQDMSEGEDGEFAEEISEDEDGEFAASDLNDEDGDEMDDTRSQPSDVHVHISESIEEPVHRQSQLSNTEEGMETGIAHMDACMVEPLAENNVCSETRYEMEAPQNGAETAVETPQTEVETVVGDLSRDEQELPAWYKIFDLNVIETPVDCEVSEISCGHPADDLCDSVPDLVGLVNQQANDDTSEIQGQDDHAGANQMLEDESDLNNYDLNNEADEHAQDDTSENQVQDENAEDNHLLEDGHDLTKYDVNNEAGEHAHDNHLVNNAEILLNHSMVAHTSDNCHMNNEKMLLKQNVDEQQMENEQMLIDQVNTVQVLDIHHVNNEQLLLGHGADDHHQMEPNPMVFPLGAHDLDNNYYLSSKQILLNNDADQHAGDIHHLKDGQIILDEAADGQARVHNMGNGRTIPEIDLEDDYAQQSASRNTGDYLESILEWEENP >Sspon.07G0038080-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:69559882:69560671:1 gene:Sspon.07G0038080-1D transcript:Sspon.07G0038080-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDMVGPELQVVNKSETPISLEESGTVVLTPHQGQDASSTLLPINFAGLAKAVTPEADGIILSRGNLGIDLPPEKVFLFQKSALHQCNMAGKPAVVTRVVDSMTDNLRPTRAEATDVANAVLDGSDAILLGAETLR >Sspon.08G0008900-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:38697495:38701016:1 gene:Sspon.08G0008900-1A transcript:Sspon.08G0008900-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSICSKLLALLRKSRALTTTSTAAAAAATASANGMEEAVAAAGPLRTRVCIIGSGPAAHTAAVYAARAELKPVLFEGWLANDIAAGGQLTTTTDVENFPGFPDGILGAELMDRCRAQSLRFGTKILTETVTSVDFSARPFRVASDDTVVHADSVVVATGAVARRLHFAGSDAFWNRGISACAVCDGAAPIFRNKPIAVVGGGDSAMEEANFLTKYGSQVYIIHRRNAFRASKIMQARALSNPKIKVVWDSEVVEAYGGAEGGPLAGVKVKNVVTGEVSDLQVAGLFFAIGHEPATKFLGGQLELDTDGYVVTTPGSTHTSVKGVFAAGDVQDKKYRQAITAAGSGCMAALDAEHYLQEVGAQEGKTD >Sspon.06G0032690-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:91727786:91737596:-1 gene:Sspon.06G0032690-1C transcript:Sspon.06G0032690-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chromophore lyase CRL, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G51020) UniProtKB/Swiss-Prot;Acc:Q9FI46] MGSGEEDTGGGGGAVRGAVLKALVVVGGVLLLRRLRRSTTRWDHARAVADALSGEKFSREQARKDPDNFFNLRMLTCPATEMVDGSRVLYFEQAFWRSPEKPFRQRFYMVKPCPKEMKCDVEDIAEHLTTIHLSRCGRGKRCLYEGSTPPDGFPNNWSGASYCTSDLSIHKNGEVHIWDKGFDDEGNQVWGTKVGPYEFKPAPKSKYDDMFSPLNFSAPLSLEKKLDKAYVIDDQ >Sspon.03G0026720-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:8224681:8227488:-1 gene:Sspon.03G0026720-2C transcript:Sspon.03G0026720-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyrroline-5-carboxylate reductase [Source:Projected from Arabidopsis thaliana (AT5G14800) UniProtKB/Swiss-Prot;Acc:P54904] MAAPPVQPVPPAAAAAVNGDAFRLGFVGAGNLAESIARGVAASGVLPASAIRTAPHRRPERGEAFASFGACLLQTNAQVVDDSDVIVISVKPQIVRQVLLELRPRLSEEKLLVSIAAGIKMQDLQDWSGQRRIRIIRVMPNTPSAVGQAASVMCLGEMATQDDENRVRKLFSAIGKVWTAEEKYFDAVTGLSGSGPAYIFLAIEAMADGGVAAGLPRDLALGLASQTVLGAATMVSQTGKHPGQLKDQVTSPAGTTIAGIQELEKGAFRGTLISAVVAAAKRCRELS >Sspon.06G0016260-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:70931519:70932889:1 gene:Sspon.06G0016260-4D transcript:Sspon.06G0016260-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPATANGSRSVSGSGPIAGDTRDWSRLPEDLLVSVLRALHVADAVRSGAVCTSWNAAYAAFRRFRVPSPKQPPCLLYASNALGPGAAALHCPSTGATLQIPYPRGPLARRPLLGSGHGWLVTADEASDLHLLNPVTGDQVALPPITALHHVERGADEDGDPAYLVYENLPEYNFSERRFVVDTEPTILPVDRAHKFMYYRVVLSASPSAGRACVVLLMHMPRGEVSFARLGDDQWTWVAPGDDDTGLPSRYGYHGAVYSAAHGLFYLLRWDASMYSLDLNGPSPVARKVLNYLPNSVNQTKYLVETPAGDILQVWRKKKYIHSLTPVYFPPDYVDDGEMGMDPCLELSTTEMQLYKIDLHGQRAELITSLPEYALFLGFNGSICLPVKDFPGLKPNCVYMTDDSIEYVNYNKLNRREIGIWSMAEHSMSKLIDVSPTTYPWLNWPSPIWIQPSLC >Sspon.07G0029540-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:73682374:73682934:1 gene:Sspon.07G0029540-2C transcript:Sspon.07G0029540-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPPQRQQPAGSACVWVVAVVLLLAVLAGGGCLGLYVTLPPSEVPQWLPAAGLALVALPWAFWIATCAYRCCCSADAAAAAAAAPPVANIVERRSSSRAGAAAVAPMPMPSSKSLKSARSTRHANGSPASGSGSGSPTASSAARRVRFGDTTVLGEDHAAPEKDDGSSVHSNESEAPLAYNMQSSG >Sspon.08G0013750-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:57209518:57217397:-1 gene:Sspon.08G0013750-1A transcript:Sspon.08G0013750-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAVRLIGRRRLLPSPLAAAVAHLSAASQSPCHHHHHHPLPIPALPLPPPPSFCSSLAKLLVVLPLPLRLRLRLRFWSQPRTAATDAPGEDVYTEKESVYLDGLITVDDGEGVASGAGAAADAVGGAAGSTADGVGGVSELAVSTVLDLMDGFHTLTGLPWWMTISFSTVAMRLLILPALIVQLQKTAKIGEVFRKLSTSLPPPQPGNNFREQYALFQKKKKELGCPSFLWNFAYFSVQFPCFILWMMTIRSMCLNNHPGFDNGSQIKDHPGIFGLLAKYYRIYLDVLTIPLFLIAYVVPQGSLVYWTTNGLFSVAQQLSLRNGAARKLLGLPDTRAQVGYRAQKSPLERSQLEDTDMQTKSMSSDKGTAIESTTPNFAMESMEGNISVSSSPEELLEYLGTGCRDQALPLIRTAVERNPDLSTALIGMGQTLFSNRLFPEAAVCFEHAIPKIQEDDPLLVLAYFGAGLSNERQRIAELKEPEKPINKTCYFRGMLTLGSILSREGRNSEAAKYLRMAIAYDPSVERLLKECEEGMDDQPKPEK >Sspon.07G0010740-3P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8B:24513449:24514246:1 gene:Sspon.07G0010740-3P transcript:Sspon.07G0010740-3P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSILYEIIDFESAHEIWVFLNEKYGAISNDDEPKKKAHEDVEHDHNKVVVEDCSTSRSSDDKNDHITRSLDKNDDNATSDASNDSTSCTLDGEDDGYESDASTSSSISSHGDTKVSIGGFVVDCDGPNFELLYKLSKALRNEMAKTSKLKNENSFLKTTCEQQKHLLYVTTCSHEELKLAHEELSVAHDNLAQEHALLTNKLSNEKLKTSESSSFGSNDQSHITNPCDVGKKHVSTSCDDLLDMPCSSQLNVVLLLCLVRLTF >Sspon.06G0010920-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:59263841:59265463:1 gene:Sspon.06G0010920-1P transcript:Sspon.06G0010920-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMNTMVAAAALALLHLFAVSATRASAQSSSSPPPPAASAASISSCLLSNGVTNFSLPTSPSYTPLLDSSIRNLRFELPSVGKPAAVVLPATKRDLQRAVLCARNTSLAIRVRSGGHSYEGLSYTTENHVPFVVIDLANLNHVDVDPGSATAWVESGATLGEVYHAVGLSNRTLAFSAGSCATVGMGGHTAGGGFGLLSRKFGLSADNVLDAVLIDASGATLTRETMHDDVFWAIRGGGGGSWGVVYAWKVRLVPVPDNITVFSVRRTGPAELIAGLMHRWQYVAPSLPDEFYLSTYIPTGSSPDGNHSMSFTGQVLGSKRLAMSVLSQTFPELGLAESELSEVSWLESAVKFAGLSTVADLTSRQPGVGQYSKSKSDYVQAPISMRDAVKILRFMATAGPPEGSIQLDPYGGAMARIGSSVTPFPHRAGYLYSIQYGVSWKASDVDRADEYIGWLRSFYAFMAPYVTKNPRAAYVNYLDLDLGTNGWMNATGGTSYGSVGHAVSWGERYFFMNFGRLVRAKTKVDPGNVFNNAQSIPPLY >Sspon.04G0012900-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:42930405:42933414:-1 gene:Sspon.04G0012900-2B transcript:Sspon.04G0012900-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding QAWVFVFSLIGLAPLAERVSFLSEHIADTAGPTAGGLLNATCGNVPELIIALFALHKEKMEILKWSLLGSILSNLLLVLGSSLLCGGLANTGKERPLDRRQTDVSIGLLMLGVLCHILPLLSKYTTSTGDSTGSVLELSRLCAIVMLIAYFGGLVFQLKTHHQIFVQEESSQSSSSNSDDEVINNSVIGSAGTVIWLIGMTVVIAVLSNYVITTIEEASDALGIPVRFVSIILLPVVGNAAEHAGAIIFAFKNKIDITLGIALGSATQILLLVVPVILIVSWVNGVPMDLDLNLVETGSLVMTVFTTAFTLQDGKWHYLKGFNLTLCY >Sspon.06G0030280-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:44505547:44506679:1 gene:Sspon.06G0030280-1C transcript:Sspon.06G0030280-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ASSGGSQVRSMWCLPARSSPTESCRCTATTSTLRCSLARAPDMARCLSTCSRRRRRRGVQLGLRAAAPSGSRTRRGACRPAPRGRRTRRGVCRPSPGRRRLGVWRLRLLRAAAPSGCRTWRGACRPAPRRRRTWRGVCRPSPGRRRLGVWRLRLLRAAAPSGCRTRRGACRPAPRRRRTWRGVRRPAPGRRRRGARRLRLLPAAAPPGRRKRRGVYWPAPRRRRRGVRRLKQLRTADSPGPGHQGRPRNRWRAPRPQPRRRARQPRRGGRTPASSPSIRRNRRLILGYQWARCRPGLAGCCLSPFRAAFGWGSGSGVYCLLCFACSVSWCQ >Sspon.07G0011360-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:45464369:45464749:1 gene:Sspon.07G0011360-3C transcript:Sspon.07G0011360-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding TKPYNATSFNEYVLEGSAPVLPETQRLFKKLISLGIKPVFLTGRTEDQRAITVTNLRRQGYSGWMDLLLKPVGLKATAIAYKSGERQKLQDAGYVIVGNIGDQWSDILGAPEGARTFKLPDPLYYIG >Sspon.03G0021250-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3A:65331564:65337372:1 gene:Sspon.03G0021250-1A transcript:Sspon.03G0021250-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding M >Sspon.03G0006480-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3A:17749129:17751574:-1 gene:Sspon.03G0006480-1A transcript:Sspon.03G0006480-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding CTPSVATSRDARTGEDLQGGAAARPPRERCRRRTDRRQWRQRRGAREAHGPERGPHRAARHAQQPRRGLLPHPEEDFYLRGASGLFHHMTSRKATPWMSSPRALGSASFRDTTHSSSQQQQTSPATWCSWTWARGSRPRRRVGGVVGRGARRGTAHV >Sspon.02G0057650-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2D:63674533:63675276:-1 gene:Sspon.02G0057650-1D transcript:Sspon.02G0057650-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding METQVEPRPAKRRKPEAPESPELEGATSECDSNHPGAEEGDGVDRISILRDAILGEIISRLPTKDAARTQILATRWRHLWRSAPLNLDGGDRRTIDDHPATVDAWLRSPALDNLQEIDCWIRSIWGPQVLQPPPPPVSIFRFSSCLCVATLSRCHLSDDVAQALQFPKLKKFALQWVRISEDSLHSIIAASPVLECLLLSTIFGFHCLRINSASLTSIGVGSC >Sspon.08G0020820-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:26588354:26588647:1 gene:Sspon.08G0020820-3D transcript:Sspon.08G0020820-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLGPTVSLSIMAKPSSGQQPEMERKEGGRCGGGIFGGGCGFRMPLHYPRYKKADYEAMPEWRVDCLLREYGLPADGDLDSKRRFAMGAFLWPDQY >Sspon.02G0009230-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:24770850:24774304:1 gene:Sspon.02G0009230-2B transcript:Sspon.02G0009230-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGPKRPLGVVTSWVRRQPPKVKAFLAVVTGMAALVFIRFIVHDHDNLFVAAEAAHALGIGVLIYKLTKEKTCAGLSLKSQDLTALFLAVRLYCSFVMEYDIHTILDTATLVATLFVIYMIRFKLRSTYMVDKDNFALYYVVVEPFTAHYVFALGVARFLSCAHWVLQVLDTRGRLLTALGYGLWPSMVLLSEIVQTFILADFCYYYVKRMV >Sspon.02G0008730-3D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2D:18557215:18557574:1 gene:Sspon.02G0008730-3D transcript:Sspon.02G0008730-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPILAKESEGSCSTSTSVAGKGHCVVYSAEGLRFEVLLAYLGTVVFSELLMLSREEFGFESADGKIMLPCDAAVMEYVMCLLRRDAFEEVVGAFLSSMARPCHTVSGVAPWNQRLAVCV >Sspon.01G0052730-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:25897922:25900506:1 gene:Sspon.01G0052730-1C transcript:Sspon.01G0052730-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Guanylate kinase family protein [Source: Projected from Oryza sativa (Os03g0320900)] MLLARRFSCALARAPSLVRGRPLPSCAARSTPPAPRPPPRRLMSSSSAGWQHSSHRPPPPPPPPPHPGADKDQLFRGLEAALGTTFSSEPLAPPPQPMILVISGPSGVGKDAVIKVIPNPTHLCCGPLRLQEEREGIHFVVTATSRAMRPGEVDGKDYYFVSKEEFLTMIEREELLEYALVYGEYKGIPKQQIRDYMAKGCDIVLRVDIQGAATLRQILGESAVFIFLVAESEEALVKRLIHRKTETSDMLLVRVATAREEVKRMKNFDYVVVNAEGKLEEAVKQVESVIDAEKAKIHKRHF >Sspon.06G0006510-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:22197839:22202384:-1 gene:Sspon.06G0006510-3C transcript:Sspon.06G0006510-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIDGMGSVVATVSGYHGDERHRLVNLIAETGASYVGSMSRSITHLVCWRLEGKKYDIARKLGTGVVSHRWFTECLREGRRLPEDPYLMVSGEEAGLVPELPARSRTRGKKNAIMEDRVFQELPDDFWDTPPARASYKVKLDDSDSDFESALLKEKNHSSDVKKRRKRMKHVNTSTDRDVLNLQDDVSCVVARQCLHVSSHTTSQSTSKQKGNLSQLLHNEVPIRMGERNNLTENFENDSLSDSFSEPQTSDTPCIEAQIKFTKTSAPSSSLRQSTLDSLYEFGETSRHEPAGRKELNDVELKETSRSLLPLDLSGQEPAFCTQEQVDKCSLDTLAGDEIGYDSKPMEKSSNSERPAELSCVICWTDFSSTRGILPCGHRFCYSCIQEWVDCLASSGKVSTCPLCKASFTWISKVDEAGTSDQKIYSQSIPCEASTDVFVLGNEGYDFSRSTAGQGACYQCHFREPEELLQSCQVCRSQWVHSYCLDPPMNRWTCMHCRDLRMLFHRGNSTPRWSARICHTEKN >Sspon.07G0019650-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7C:73837477:73839444:1 gene:Sspon.07G0019650-2C transcript:Sspon.07G0019650-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ANGGFKGSLTGTSTGANVTSTQKDWHSMQGDIAFGSSFSNILIEIQDTIKTPPPTESKVTRGRRRYMRSSSPTRTTGAFIKRSSSGMRIARACEAVTVPEGRSHRTPNFTRTGDSLTTISVVLLHNGTCRLRHVTGFGSWAAVQSSTETVSADAVNSLKKMCKERKAWKPHVGARTVKGILDVIRSLDEGLRTVRDNISLRIESYESFQVSKLSPLKVTSMLQKMGPMIGVLYAGRGYFRSAVYRGYNKAFPANHAVVCTGYRYIDGELFIIIMDNVACEGPFRFVLYEAFEEFHVLT >Sspon.01G0044420-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:76814313:76819066:1 gene:Sspon.01G0044420-2C transcript:Sspon.01G0044420-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGHGGLNILPQKRWNVYNFDNREKVQKDEAAAAREEQLQREAERRRESDLRLAALRRNRGLVQAESPATPPPDPAGPADPVDALPSPPPSSDGNHINLFSGGSGVAADFAALASASGGRGAAREREPDADPNPKKRKKKEEEVRVVGPDEEKYRLGYGLAGKGVAVPWYMSSPAAAAAKEGRGRDAGEGNGVKRSGGKKSIEELREERKKREAKEKERERAILVAAGRKERQADRGRPSRSSSLQELLILHNSTNR >Sspon.07G0026740-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:54867710:54872234:1 gene:Sspon.07G0026740-1B transcript:Sspon.07G0026740-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSSAACRHRRGTGRGAEPATVAGVAGGDWLRFRIEADRTLPFCLPPEKEWVRLFRKNPATRSNQNSREGTQIMIRPSFPKWQTEKVGMLLVYFNIINLNQMMKMTTMIAVTPGNRCAELQIRLRKLQAP >Sspon.07G0004400-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:11076479:11082082:-1 gene:Sspon.07G0004400-1A transcript:Sspon.07G0004400-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSWACPAPPTSPCRERGYPPHDKEQAWGVPSPRERACGRSRSGRTRGSCSRWQVGGPSPLRSRSCDGKDREEDDEEGAIEEYFGQLWFLPSYPQSANSRSRPRVPDGGDRVRNRVWIRKDLWERREFDIEDCYPASEKVKWSGPPVKLRFAEDIWGKGVRESFVSKLKSAMAGRGRGRGPPRPRSPEEEWNCWGGGWNYPGQHPSPPFFPPPIGPSMPPPFPPMAPQGPGFGYFAGHQAPYHPPGQPTQRFQSNQFGQNQRGQGDRPRAPPLEEKPDRFKLWTGFDNCGVFTIEEGEMSQEDIIKNLRMLFDQEWPWQLKQLDEFRFLVRFPPEKRPGPRDAPMHCFIRRDKKNSTFSLYLSLTQALTDKGKFLLAARRFRQGAHTEYIISYDYDDLHPRSSSYVGKLRSDFLGTKFIIYDSQAPYDGAKPSRSRSTRRFASKQISPQVSGGNFEVGQVTYKFNFMKSRGPRRMQCNIQCPVGQGTVSDPSMEKTPSPSSLDLKNKAPRWHDHLQCWCLNFHGRVTVASVKNFQLVATAGSGGPWGVGDEETVILQFGKIEDDAFTMDYRQPLSAFQAFAICLTSFGTKLACE >Sspon.02G0041490-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:79133666:79134238:-1 gene:Sspon.02G0041490-2C transcript:Sspon.02G0041490-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPASSVAAELWRPPHHHHLASAAGRPPHLEASSSVVTAAARSGSEGGSSSRRRPRRDAAAPPSEDEPSKLVSTSGTAASSSAAGGRDSVRLPPPVLLSSRAALTRCVLAAVIYPTVPSDLLSFLLGVRGVWVSLVCSDGSQIKALSTSNSKLVKEILLF >Sspon.05G0009050-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:26412755:26413934:-1 gene:Sspon.05G0009050-1A transcript:Sspon.05G0009050-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVACKSIPASKLSVTYSTVYSNQRGNQAGKILSPNCLYKPAPYYYSSVSSLFSADNTECRATSPQQGRRPSDSHELCVPSAVQQSKMRGGDWPSASLVLFSLGLVLVYFTSGSTVGLVEGQKTWCIAKPSASNEILAQNLDYACSQVSCAVIQKGGPCYYPDSLVSRAAVAMNLYYAYSGRHSWNCYFNNSALVVQSDPSTTPNPSLPCALKSFVHVMVRARTTDDLLRRRRESGRVVPIVEAAQTKTTDDD >Sspon.05G0004280-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:7756201:7762259:1 gene:Sspon.05G0004280-2B transcript:Sspon.05G0004280-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin family protein / WD-40 repeat family protein [Source:Projected from Arabidopsis thaliana (AT5G16750) UniProtKB/TrEMBL;Acc:Q9LFE2] MASTHGLKKNYRCDRSLQQFYTGGPFAVGLAPGGDGEGGAEAEAFLACACGGEVRVVSAADASAIGEPVDGDSEAITALALSPDSRLIFAAGHSRLIRVWDLASRTCIRSWKGHDGPIMAMACHASGGLLATAGADKKVCVWDVDGGFCTHFLRGHTGVVTTIMFHKDPKCLLLFSGSEDGTVRVWNLETKKCVAVLKEHFSAVTSLTLSDDGQTLLSAGRDKIVTAWDIRKYSSKKTIPTYEMIEAVSFIGSGSELLACLGIELANIKEKAAGYFLTVGERGVVRIWCLESSLCVFEQQTSDVTVNSENEETRRGFTSAVMLPNDQGLLCVTADQQFLFYCPKRTDDGTFELSLYRRLIGYNDEILDLKFVGEEEQYLAVATNLEQVRVYDVASMSCSYVLAGHTEIVVCIDTCVSASGKTLVVTGSKDNTVRLWDADRKSCIGIGKGHLGAVGSVAFSKKTKNFFVSGSSDRTIKVWTWDDTLSDAEDEVPLKAKAVDRTACIWKLPNLVSSVVLKGHKRGIWSVEFSPVEQCVMTSSGDRTIKIWSVADGLCLKTFEGHTSSVLRASFLSRGTQVVSCGSDGLVKLWTIKTNECIATYDKHDGKVWALAVGMKTEMVATGGTDSVLNLWHDCTMEDKQQDFRKKAKVLRGQELENAVSDSDYAKAIQLAFELRRPHRLLDLFSQLARRADAEDPIEKALLGLPKDGLRVLLEYVREWNTKPKFCHVAQFVLFRVLRSFSPTDILEINGISELLEGLIPYSQRHFSRVDRLVRSTFLLDYTLMRMSVVDPDVDSGTIKDEMNGSSVENGLLAEPGPASPIPEKSSKKRKSRKSSKKGKEKKVKVASSGHSNDVSVEA >Sspon.04G0000280-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:1043940:1049086:-1 gene:Sspon.04G0000280-2B transcript:Sspon.04G0000280-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:binding to TOMV RNA 1L (long form) [Source:Projected from Arabidopsis thaliana (AT5G04430) TAIR;Acc:AT5G04430] MEAPGSPYASSPESAPKRAPRSPPQQQQPPSEEGDDKEKPTHLRFLVSNTAAGCIIGKGGSTINDFQSQSGARIQLSRSHEFFPGTNDRIIMVSGLFDEVMKAMELILEKLLAEGEEFNEAEARPKVRLVVPNSSCGGIIGKGGATIKSFIEESHAGIKISPQDNNYVGLHDRLVTVTGTFDNQMNAIDLILKKLSEDVHYPPNLSSPFPYAGLTFPSYPGVPVGYMIPQVPYNNAVNYGPNNGYGGRYQNNKPSTPMRSPASNEAQESLTIGIADEHVGAVVGRAGRNITEIIQASGARIKISDRGDFISGTSDRKVTITGTSEAIRTAESMIMQRVSASSESIDLLDIQRTLPHANL >Sspon.02G0000880-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:3630714:3631048:1 gene:Sspon.02G0000880-3C transcript:Sspon.02G0000880-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQPKVGTLMKLRLHPVTAYKDGPSFQVKSLLLSWASMAWPNWSFGRLWYGWRWRDVPRIEQNSE >Sspon.04G0028530-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:68546405:68547133:-1 gene:Sspon.04G0028530-2C transcript:Sspon.04G0028530-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVAGDAAATASRKRGTGTGTGTRCELCGGAAAVHCAADSAFLCLRCDAKVHGANFLASRHLRRRLPRPPAAAESGAASSASSSSCVSTADSAESTAAAPAPGAPAAGRAPARRRRPRAEAVLEGWAKRIGFAAGPARCRAAAAAAALRALGRGVAAARVPLRVAMAAALWSEVAPAPAGCRGNGGDAALLCRLEAAAHVPARLVLTVASWMARAASRPVAGPAADADADAQDQEEGWAECS >Sspon.06G0001170-3C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6C:1594675:1595325:1 gene:Sspon.06G0001170-3C transcript:Sspon.06G0001170-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQYVIDTSVLPREPDCMRDLRLVTDKHERFFMQSSPDEARLLQMLIKLTGARRTLEVGVFTGYSLLATALALPDDGKVIAVDVSREYYDIGRPFIERAGVAGKVDFREGPALEHLDALLADEANVGAFDFAFVDADKPNYVRYHEQLLRLVRVGGVLVYDDTLWAGMVALPPDAPLSDFDRRTSAVMRDLNAMLVADERVDVCQLTIGDGVTICRRL >Sspon.06G0025330-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:65977940:65984322:-1 gene:Sspon.06G0025330-1B transcript:Sspon.06G0025330-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSGGCKDKDSVKGRCFAGAIKNYTLPGLLATMEHREVSFQDPKTTAKIQVLGNKYQSLELLAFPCNQFAGQEPGNNEYVKAKFPIFDKGTSGSLLSCPMPDEYLDYRNILGSTKKDGFVTFKERIQIVLLPYSRASSSQLLRPADDV >Sspon.01G0011580-3D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:51211771:51213922:1 gene:Sspon.01G0011580-3D transcript:Sspon.01G0011580-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding WTGSSPCLFCFPSSSASAWARSAPVPPRRPPPVPPTAQSCGGTSKSGQRLTCSGGTTRARRGPRRRRSHGRQSSGCRVARARQASGSVTSRRSGRWMSTCSHGTRHGSRRPTSSSWTTRWASGTATWENSSLLV >Sspon.01G0045240-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:87994178:87996075:1 gene:Sspon.01G0045240-1B transcript:Sspon.01G0045240-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding EMAAEALGFMARGANGGRAAELVTRDFLGGCAGSDDARDASARNDAQPGWVSQQKHACPATPRDLNLFPVAAAATKPCAVTTAPAPSAAAAASSAGGATTTYHSVCTIEKVKTALERFERGKQQQSPHPHHQQQHSGAGAAASPSSSSVTTSSVKRRGGDSSGGGVEQGDGCDSPSGGGMVAAACPRCFLYVLISRSDPRCPRCESHVPAPPAPGVSKKPRIDLNVGYLGT >Sspon.04G0034210-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4C:71931783:71933003:1 gene:Sspon.04G0034210-1C transcript:Sspon.04G0034210-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKHEFLTPKAIANRIKAKGLQKLRWYCQMCQKQCRDENGFKCHCMSESHQRQMQVFGMAPDRVVEGFSEEFLETFLSLIRRAHRHSRVAATVVYNEYIADRHHVHMNSTRWATLTEFVKFLGREGYCKVEDTPKGWFMTYIDRDSEKAVKDRLKRKRIKSDMAEDERQERMIARQIERAHKSLAKPDDGDAAESEPESGSEEEYSGSDDDGEEHEDGSKEASKATGKIAIALQKAVPGPKVNPFEDKPKVKFGFDEEDDSGAGEKEKDEFAKKKGKDVKAAEARRSALDELMKEEEKAKERSNRKDYWLCPGIVVKVMSKSLAEKGYYKQKGVVKKVIDKYVGEIEMLESKHVLRVDQDELETVIPQIGGLVRIVNGAYRGSNARLLSVDTEKFSAKVQVEKGPL >Sspon.03G0025500-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:35878325:35879085:-1 gene:Sspon.03G0025500-2B transcript:Sspon.03G0025500-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DAVLDAEEGAAPGDLRGCEGVLQWRAGAGDGGHQAGVHGGRVVREPPLLRRRHLGAGGDGQPDREVPQEVGPHQGVLARGPVEGDAPGRRPGVRARGGQL >Sspon.05G0033930-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:5C:46246021:46246242:-1 gene:Sspon.05G0033930-1C transcript:Sspon.05G0033930-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RQPVYSVAFSPDGEYLASGSLDQCLHIWSVKEGRILKTYRGSGGIFEVCWNKEGSKIAACFSNNTVCVMDFRM >Sspon.05G0001680-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:3089724:3091109:-1 gene:Sspon.05G0001680-2D transcript:Sspon.05G0001680-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAAVAVFVLAAAHGVLCGSSHVEFAGAVAHRRTRSAPAPSVSSPSSSWHRGNSTAPSPSLEGCGCEPTPPPWRFLNDKLRALYPVIQAFKQTITCDPQGVTATWTGTELCDSFFNRTTYYKGFYCDYPPDAPNVLTVASIDFNGFGLCAPSLAGFVDAFPDLALFHANSNNFSGDVPDLTHLRYFYELDLSNNNFSGAFPDSVVPLGGLLFLDLRFNRYAGAVPAPVFALTVEALFLNNNGFNGRIPDTFGSTGAQYLVVANNQFTGPIPRSIYNTSATLSEVLFLNNRLSGCLPYEVGLVQGLAVFDAGGNEITGPIPLSFGCLGDVEELNLAGNQLYGQVPDVVCLLAKNGKLSNLSLSNNFFHSVGHHCMELVRSRVLDVRRNCIPGFPDQRPPLECAGFYADPSKHCPFIPHIPCDLPGYKPPHPHAAAALPASASAAGAAPAHGHPHHGQGGGN >Sspon.04G0012500-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:43915458:43931596:-1 gene:Sspon.04G0012500-3C transcript:Sspon.04G0012500-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPLLGSTDLWRPVAAARGGGWATAAALLLLLASHLAVLLVRRRFRLRGGGRIAQPEAAVAPAPASTPSGSASGIEGLVTEDDLRQLVGSLGLGAREPERQGWEHVISKSNDDVSYKAWCDKPAAGPPKYLSITTYERCSTEQLRDFYMDNEYRMEWDNTVTKHEQLQYDENSGVEVGRTIKKFPLLTPREYILAWRVWEANDKSFYCFIKECEHPLAAQQRKFVRVRLLRSGWCIRKIPGRDACQIIVLHHEDNGMNIEMAKLAFSKGIWSYVCKMNNALRRYPQHRSPSLSILTMQKLMKKFPQDLEAADASLSASQNTAASVVPSTPTARTSPCKLPGKKSSRQMIASGLLLVGSIVCLSRGRSNLGAQLAMAFFLKKAFKQERESGSSTSRAKTDVTKCRRKRDYALAEWIQ >Sspon.06G0008250-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6B:33991767:33992660:1 gene:Sspon.06G0008250-2B transcript:Sspon.06G0008250-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEGSTSPAAGGGAACAVCGGAAAVYCTADAAALCTPCDAAVHAANLLASRHERVPLSVAAVAAASGVYDDLFAPDDIDAGHAASSWPTAPAHGQGQLGSPQNGSSSTSFTTRDSGAEGRSLFDLLSDVDLAAACVTGGGGGYLPDGVAPVHHGAAPLWAQPGLQAAAWTTTWSPADAAAAAAVVGVPGAAAAAVVAAAAEREARVQRYREKRKNRKFQKTIRYASRKAYAEARPRIKGRFVKRAAGTSSSSSGAGTSDGNTDAASKFWLSFSDDARDDGVGFYVDAGAYGVVPSF >Sspon.01G0004010-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:9364078:9364654:1 gene:Sspon.01G0004010-2B transcript:Sspon.01G0004010-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSRCVITGNGEAAYMYLGRPWEPFGRVVFAETFMDHCIEPVGWHNWDKPENEQTACLYEYRCSGPGSSLSERVSWCKELFGDEAIPFLIQTFIDPDIENPWLVHRLGTQVPVSASSP >Sspon.06G0029630-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:26290864:26298363:1 gene:Sspon.06G0029630-1C transcript:Sspon.06G0029630-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleobase-ascorbate transporter 2 [Source:Projected from Arabidopsis thaliana (AT2G34190) UniProtKB/Swiss-Prot;Acc:Q94C70] MAMSINRLIFFVVSWWSGIPDLQPNENNEACCEAWPLGAFTIVLVGSWHNMYVNHDDDADVDSPPHRLELPIEEDPPMEMTDMKPEEMSHPPMDQLLGMEYCIDSNPSWGGAVLLGFQHFILCLGTAVMIPTLLVPLMGGNAHDKAKVVQTMLLVTGINTMLQTLFGTRLPTIIGGSYAFLIPVISIISDPSLIQIADGHTRFKMTMRAIQGALIISSCIQIILGYSQLWGVCSRFFSPLGMVPVIALAGLGLFERGFPVIGTCVEIGLPMLLLFVALSQYLKHVQVCHFPILERFSVLISIALVWLYAHILTVSGAYKHSSQVTQLNCRTDRANLITTMPWFDVPYPLQWGPPTFSADHSFGMMAAVVVSLVESTGAFKAAARLASATPPPPFVLSRGIGWQGIGLLLDGLFGTASGSTVSVENVGLLGSTRIGSRRVIQISAGFMIFFSILGKFGALFASIPFTLFAAIYCVLFGYVGAVGLSFMQFINMNSMRSLFIIGMSLFLGISIPEYFFRFTMGNQHGPSHTRAGWFNDLINTIFSSPPTVGFIISVVLDNTLDVRNRAKDRGMPWWARFRTFRGDSRNEEFYNLPFNLNRFFPPS >Sspon.08G0007560-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:22511826:22513937:1 gene:Sspon.08G0007560-1P transcript:Sspon.08G0007560-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLDYLLVKSLNHPHFSTALAKILDLDHSTHYAILHIIVTTSKFCISRFSGETFHGSCSGKATLLHKKKVG >Sspon.04G0030240-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4B:76157923:76164709:1 gene:Sspon.04G0030240-1B transcript:Sspon.04G0030240-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLPEGVAGDTVTPVEGGRARGFAAIGLGGRGRSAIVTPTASLLCCENPSIHPSSSSSVQGDDCRSSAGGGGVGVELVVGRRRSIISFSVDHQDCGRGPGEKTTTKIKMAWSMEAVMLPTSMVLVQAFTMGALLLSKLALNVGMEPFVLLAYRNLIGAIVVAPFALYFERSSPIDLFLRHVCNSSQFGKFGVLLQMVWSGWQRINQPWTMIAIFRIKDFTSFQVHGAKGDLQGGRVDVHQRALGHRPGHGSPLLRPARHHGRHLRQLPEPDPRGDVPDGGAAPRGEAGGGDVGGRAKMAGTAVCVGGTMVASLYRGPLLHPWPTHLLRHRAATAPHHHRNMPLGTVYLCGSCLAYALWFIVQARVGRVFPCKYLSTMLACVCGTVQALAIGAVISRGDPASWRLSWDLRLVTVVYSGVFNTAATFCLISWAITRRGPIYPSMFNSLSLVATTVLDSLLLGTDVSVGSLLGDLLIILGLY >Sspon.03G0017690-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:79248689:79253457:-1 gene:Sspon.03G0017690-2B transcript:Sspon.03G0017690-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MERVLKDDAVQEKGERARMASFIGAMAIADLIKTTLGPKGMDKILQSTGRGRNVTVTNDGATILKSLHIDNPAAKVLVDISKVQDDEVGDGTTSVVVLAGELLKEAEKLINMKIHPMTIIAGYRMALECARDTLLQKTMDNKDNTDKFRADLMNIAMTTLSSKILSQDKEYFAELAVDAVLRLKGSTNLESIQVLKKPGGSLKDSFLDEGFILDKKIGIGQPKRIENAKILVANTAMDTDKVKIYGARVRVDSMSKVADIEAAEKQKMREKVQKIIAHGINCFVNRQLIYNFPEELFADAGILAIEHADFEGIERLALVTGGEIASTFDNPESVKLGHCKVIEEVMIGEDRLIHFSGVAMGEACTIVLRGASEHVLDEAERSLHDALCVLSQTVNDTRVLFGGGWPEMVMAKEVDELARKTPGKKSHAIDAFSRALQAIPTIIADNAGLDSAELISQLRAEHHKENCTAGIDVITGTVGDMQKLGIQESFKVKQAILLSATEAAEMILRVDEIITCAPRRREDRM >Sspon.03G0012710-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:44993585:45013187:-1 gene:Sspon.03G0012710-2B transcript:Sspon.03G0012710-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFIPCSIRRSIRLPLAPTAPQEYDVREERRRELEFLEKGGNPLDFKLGHVASLSVQSTSVTEQIAEQNVISEAKGSFAFATSPHGDSVESSGKPGNSLCRDGNTADNLMLLDGDASNIGGEKISKRGTKRTNSAQAEQFLHCDGQNNAKEGEDSGLFRLGPKSQAYARPTRCNRVNPETKTEDNGVSSIGDSKPTSPNSKNMLKNASVLDDKVAMETENVQAIPEGNQTSKNELSNSNNGSPAMEISPNSVPDNSHLTVGDQMATALASVESPDAISKVAALRIVCSLPSVSNEISKEAQTLEKADGMDNNGATPYSAVKSASLNENEVDPIHAYAAKAVTEHPCQNENLVPVNADEMADEGLNKILPDNKDDKKDGQLEVSSQPVVLDDSSTPAHPEFSNYFCAKDETEVCNNAVDSKNNAEQFATSNQGKGNMEECKDSDENNASESSVAQKLASITVPPATDTGDVSNFVENDVEKSSRDQEKIAKKECEDSVVAKKDHEDAILRRARYIEVNIKRAGERSLCNISLEKKRKSHWDFVLEEMVWMANDFMQERLWKNAAAAQMSHWISSRGRAVFEEASIQRKQKSVARVLANVHTFRASGGIPKPMQIEQSNELEENKLGGVKAGKPDEECLEQEKSPIQSYALRLLEYDINASECLPLAEAPPTPDRLNDFGILKVPDLLSEKIGNTVLKDDYEPSTYASVTDVPMDNAYGDDEREARTYLLPGAYDGGLASKNSHKKKHPLPQRINGARSYEIGADSPYEPPYLESKSGNQQLLSNGKRTDFLSIPIKRIRTAARQRVVSPFPAGASGTPQFTNKTDASSGDTNSCQDDQSSLHGGSFSRKNADIESTVDFDRQLLYDGSEVSTKSKKKKKTKHPGYKALPNVAESCSLMAPGKKDYIKKRPETHQFESNGNFVVNGLHAAKKPKLLNQAPDISLEALTPVGPMASPAASQMSNMANPTKVIKISTRGRKSKGLKMPAGHSGPGAHGQALVVLVHDMGENWELVSDALNSIIQLKCIYRRPNECKERHKLLTDKSCDGADSADDSGSSQHYPSALPGIPKGSARQLFQRLQGPFEEETLKTHFEKIIYLGQKLHQTRRKGEIQEMRQINPLHTSHVFALSQACPGNLSGVILTPLDLCDGPSNSDALSIGYPGSHTSGLALPNNNCSVGPTLPTSNMNVRLPGSPGMVLGSNSPLPLNAPSRDAQRYGVPRPTSIQGDEQSRIHYNQMVNGRNLQQPGVPVPGVLPSGVDRGARMMPPTHGVGIMNGLNRGTPVTRPGFPRLGSPGNMSPNNGQGLKNTVNVHPGAIPGPGSTMLRPRDPMQMLRPGQNPEEHRQMMMPEFQLQVSQGNNHAVHFSGPPYSNTGASSPVQSFPVQQSQPHQMPQQSHMYGNTHVPRTQGTNQPNPQQQQAYAMRLAKERHIQQMMPQQQRPLSGASAVSAVQNGSQMQQQSQGSATGVIPATQPQHKQQHPAQNAQGNPMLPHQPSATTSHKQKKQQGQQQPRQNQQQRNQGSQQAKLMKSLGRGNTVHQSPVDVTQASGISTSCKNQVPDKNVMQQGQGHFVGSKGSIPSIPQPGNQPKAYTSQMPLSPMQTPDISNQGAVKGSSNHTLLTSQQGSLHSPSQLAQQQQQQQLRYMNPSQNNIQRLIMQQNRHVNTDGRIELPVDQVLHNQVMSSASLARSTDSGSPGISSMSQRKQESAHDPSAITSSSQLASSPQDTFVGSDKLLPSSSQSMLQRQMSGGVPIHGHGIGGQVQLQQSRQQLQSQQQQRPVVQGGVRAVEDVRMIALFILNFELIVASSVSGR >Sspon.02G0019610-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:63582717:63585879:-1 gene:Sspon.02G0019610-1A transcript:Sspon.02G0019610-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GLNPLSPSPFLLFSVCRPSPLPFCRRRPSLPLLCCSSGHCSPSCPASLLQPPSQATAPALPVAAARQPPLPCLPPPPGSPNRLPNPSSTAEGDQATDNWYPEPRRDISMEDIHVVTDRWAKMFEKIFPTRRTNRKIGHPNWPQFSEAIDRHFGPPLHHNHLGDLTNTRQLGELDKYTNQFLITFTKVTGLSTTQQVMLYTTGLASTLETDIQLQHPPDLETVIALARKFQPPTPPQQSVQQEQSDDQDIQISLNTVTGITTNRAVDLNLQRTSAPNSLKVAVANGDQISNVGIYNNLPVQIDTELFIIDCYSIKFGGYDFVLVSALESMDKLLQTFSSFFNEPQGLPPKWRYDHRIHLKDPTKPTAVRPYRYPQIQKDELEKQCHSMLTQGIIRYSTSPFSSPVLPVKKQDGSWRFCVDYRALNDNTLKDKFPIPVIDELLDELEGAKYFTKLDLRSGYHQVRMHPNDIEKTAFRTHHDHFEFLVMLFGLCNAPSTFQALMNDTLGRYLWKFVLVSFDDILIYSKQNTVADTLSHRDATEPSLMALSEITFPIFDHLKAEITTKEEAGKLAQSIKTGQLSTAWTLEDSLILYKQRVHIPSSSPILQEVLHAIHNSTHEGSEKTLHRF >Sspon.08G0021030-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:30046209:30055737:-1 gene:Sspon.08G0021030-2C transcript:Sspon.08G0021030-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDTGSSSILVHILVIALCLTAFGFALAAERRRSTGSIVTDSSNTTFCVYDSDIATGYGVGAFLFLLSGHSLLMGVTRCMCFGAPLAPGGSRAWSIIYFASSWITFAIAEACLIAGATKNAYHTKYRDMVYAGNWTCQSLRKGVFIAGAIFVVFTMILNVYFYMYYSKATSQAAKRISKTTPSVGMTGYAFAKGIAYRFEEIKTHT >Sspon.05G0010190-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:31588815:31600545:1 gene:Sspon.05G0010190-4D transcript:Sspon.05G0010190-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMGASGSKLEKALGDQFPEGERYFGLENFGNTCYCNSVLQDAHEFLNFLLNELVDILEKESSAAKDSPQSSSPEKAPNGPVQHLANGVKKEPPVTLVHKNFQGILTNETRCLRCETVTARDETFLDLSVDIEQNSSITSCLKNFCSTETLNAEDKFFCDKCCSLQEAQKRMKIKKAPHILVIHLKRFKYIEQLGRYKKLSYRVVFPMELKLSNTSDDVDTEYSLFAVVVHVGSGPNHGHYVSLVKSHNHWLFFDDENVEMVEEQTLQTFFGSSHEYSGNTDH >Sspon.01G0034420-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:4625090:4626050:1 gene:Sspon.01G0034420-3D transcript:Sspon.01G0034420-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRRSRATPAPSAAVLLAPLLLLLLLLLHCQCHRAGAATDAERRALLDFKAAVTADPGGMLASWTPTGKPLRLRRGDLRRGRRDAAAHPRRGSVSLFGNALTGGVPSSFRALAPTLRKLNLSRNALDGEIPPFLGAFPWLRLLDLSYNRFAGGIPAALFDTCLRLRYVSLAHNDLTGPVPPGIANCSRLAGFDFSYNRLSGEFPDRVCAPPEMNYISVRSNALSGDIAGKLTSCGSIDLFDVGSNNFSGAAPFALLGSVNITYFNVSSNAFEGEIPSIATCGTKFSYLDASGNRLTGQCRRAW >Sspon.02G0005520-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:17391611:17393115:-1 gene:Sspon.02G0005520-1A transcript:Sspon.02G0005520-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQRGDRGEGHARRPGRSSSFGGHRGGGVGGAGKGGAGSSGQPPLSSNRRVLMRAWDLDCSFRKPGNGHGGHQRVVNQPDTTGFQPAPAPGPHQTPARPPPAPQNAATHVPVSAPRPQHQEPPNPPLPKATHAAPRAPPKSSNPPLPQGGSKGEPSKGFNFQFGSINMNGLPQFPARTSSAPPNLDEQKRNQALVEELKVTPAPVQPAPKQQLLQQHPQPQQKQQQQLSHQPQQVPQQPQQQLTRKEAVGSSQPNSINPILHHN >Sspon.03G0016690-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3B:76663046:76667637:-1 gene:Sspon.03G0016690-2B transcript:Sspon.03G0016690-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRRLLQGSVLPRVTGRALAPAVAPFSTESGETIRATLFPGDGIGPEIADSVKQVFNVAGVPIEWEEHYVGTEVDPRTESFLTWESLESVRRNKVGLKGPMATPIGKGHRSLNLTLRKELGLYANVRPCNSLPGYKTRYDDVNLVTIRENTEGEYSGLEHQVVRGVVESLKIITRQASLRVAEYAFHYAKANGRERVSAIHKANIMRKTDGLFLKCCREVAEKYPEIQYEEVIIDNCCMTLVKNPGLFDVLVMPNLYGDIISDLCAGLIGGLGLTPSCNIGEGGICLAEAVHGSAPDIAGKNLANPTALMLSAVMMLRHLQFNDKADRIHNAILQTIAEGKYRTADLGGKASTSEFTNAVCDHI >Sspon.04G0001980-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:37637710:37640788:1 gene:Sspon.04G0001980-3C transcript:Sspon.04G0001980-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAYAATPAVATLPSAAPPLTPDAAAVLSRAAADASRRRHAHTTPLHAAAALLSGPAPLLRDACAAGLASPHPLRCRALDLCFSVALDRLPTSTELQHHHDGCGAAFHAAAAPPLSNALAAALKRAYAHHRRIGSGGAEADDHRVGVPHLVLAILDDPSVARVMREASFSSTAVKAAMLRSLSDPAAPDAGAYVGARVMHRLTSHGREEEVAKVVEVLKRGKKRNPVLVGDTADVDAVVQEVITLIQRQRLGNARVISFPKEFGDPVDMDRAQLTAKITELGEAVRSASSSAGVVVNLGNLQWLVEERCAAHQGEQQEKRRDVVLDTARAAVDEMARVLNLSGGEGEHRVWVIGTATCATYMKCQVYHPALESEWDLQAVPITPRPPPPPPPPLGLSPSVGANRGILSSSVEVLSTAMTSPMQRAPSLCSACIEGYERERAEMASSERAPCPAEQPMSLWLQIGTPSSGRPADRAQEKAREADELRRRWRDRCAQLHSHARPPLVTCSEWNGATILANMQAPPPVVRPSVQHRVTVDTDLALGLAAARPACETDDKLLVRRLTEAVRWQPEAAAAVASTIAKARSREARPRGKADFDAWVVFAGPDVAGKRSMAEALSKSVFGTGAVTVRLGYPQAGDDGGESVVSCRGQTALDRMAEAIRANPFRVVVLDGVDHADSVVRGSILRAIESGRLSDSHGRDVALGTNMFVVMSQWSPPLPGHLRSLQEAEPFLPDLPWNLECGMITGGKKRRPEQQLEGDRRTKARKHSAREPLPLDLNLSMSDDHTDALDDSGGEGSRNSSSDLTVEHEQEYGQPASARCSSAPPTVSELIKAVDGVVVFKPPVNLEPLMKRSVSDLVVPAAKFGDITVGGWSVHVDDGLLGRLAAGAARAAGATAATPMEAWTGEVLCPSSLRQFKRSLSTNDVDGATVEGGGRRKDGEMFSMPVTVDGN >Sspon.01G0043790-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:69447178:69450288:1 gene:Sspon.01G0043790-3D transcript:Sspon.01G0043790-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASGERAAEVRRLMEAKEVSGKTFSGIAAETGLTNVYVAQLLRRQAQLKADTVPALRAALPTLTDDLVQLMMRPPFRSYHPDIVHEPAIYRLNEAVMHFGESIKEIINEEFGDGIMSAIDFYCSVDKVQGADGKDRVVVTFDGKYLPYTEQKSEHMMSRPTRKTS >Sspon.02G0024890-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:90620526:90623282:-1 gene:Sspon.02G0024890-2C transcript:Sspon.02G0024890-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTAPSPLPTLKGGGARLAGGVQVREVPRAQRYPDRHPLLALSSLSPDGHGGGPAELARRHPAASQRRGSPPSLHSFPRAARPPAMEVASAEVAHRPRARAELAGADLARRPHARPAMEVASAEVAHRPRARAELAGADLARRPHARPAMEVASAEVAHRPRVRAELAGADLARRPRARPELVRRPEFDLRRSGARLERIARGERNAELGHTLAAGQRIRLGLGVSTATCQHGISRPSESRAHPATKAAQTTHQSTTTTAHCREPGGFARVLFHQPQLWLVAAAAAEMEGEEIGLVLARASDLRTRISACAAAAGVGAPCPRRDAEEAVKRLGAAGDDEEGAEEEEEVESLVGISDALESLERQLAALQDLQHQQRYERETILSQIDRSRRSLLTKLKEYKGQDCDVIHEAAAFAGEKIEHDDGLILPPYSNHVTNSFVLEDLYPRSYMSKSKRLHDGFDSDGTAQDGTRTNGLASRNTGTSKRSSGGGIRAFIGWVAKTAVMVVGAVSIMKASGYEPVIGWNSIKLDVAGLFGGGKQVNGGEERSAPLRCPPGKVMVLENGRAHCVVKERVE >Sspon.01G0037840-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:21150322:21153209:1 gene:Sspon.01G0037840-2C transcript:Sspon.01G0037840-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LIDDGSHVVCRLKHRGPDWSGLYQHEGNFLAQQRLAVVSPLSGDQPLFNEDRTVVVVANGEIYNHKNIRKQFTGTHNFSTGSDCEVIIPLYEKYGENFVDMLDGVFAFVLYDTRDRTYVAARDAIGVNPLYIGWGSDGSVWISSEMKALNEDCVRFEIFPPGHLYSSAAGGFRRWYTPQWFQEQVPRTAPYQPLVLREAFEKAVIKRLMTDVPFGVLLSGGLDSSLVASVTKRHLVKTEAAEKFGTELHSFVVGLEGSPDLKAAREVADYLGTIHHEFHFTVQDGIDAIEEVIYHDETYDVTTIRASTPMFLMARKIKSLGVKMVLSGEGSDELLGGYLYFHFAPNKEEFHKETCRKVKALHQYDCLRANKATSAWGLEVRVPFLDKEFINVAMGMDPEWKLYDKNLGRIEKWVMRKAFDDDEHPYLPKHILYRQKEQFSDGVGYNWIDGLKAFTEQQVTDEMMSNAAQTFPYNTPVNKEAYYYRMIFERLFPQDSARETVPWGPSIACSTPAAIEWVEQWKASNDPSGRFISSHDSATDNTGDKLAVANGGHGAANGTVNGANDVAVAIA >Sspon.07G0001810-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:3669928:3671141:1 gene:Sspon.07G0001810-4D transcript:Sspon.07G0001810-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAFSVAPQVGGNGGKRAVPPSALLDFARSNFLPLALISGVILGLLDPTLGCLAHKYSLSKFSTFGIFVMSGLTLRTKELGTAFEAWPAGLYGLGSILLLTPFVSQFIMQVQFFPREFITGKWVKH >Sspon.04G0030710-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:2201780:2210492:1 gene:Sspon.04G0030710-1C transcript:Sspon.04G0030710-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPWRSLPWLLLLATMLSTTRLLALAGGMASPGCQERCGNLSIPYPFGIGPGCFRSGFEVRCDNDAGRAYLGGEGSNVWAFDIFLLQGEARVHKYLTWDCYNDTGITDFARSPMDLASYYQISHTKNKFTAIGCDTIAFIQGENANSYTSGCMSFCSSNASVDTSGQCTGMGCCQTSIPANLTYFNTTFSTRRSTSVLEFNPCSYAFVIETQQFRFDVSDLAGHHFADKYSDGTDMKLSRRRPIQGETPHTQHQAMQAYLGRVASVGLLAGDGSTRHRRCAQKPRRRQARERRDGLGPNLCVGRIEKVPRSHLGPKPTTGSAGAGLPSSLPPPPVSPSSPPPPPHEHGSPPPPPQAQLAPLPRPPTPPQPSTAASAAPAAASSVAMRVAGVGDRSPRRGTGGSAASPGVRGAATVFSSPAHLVSPVSGVAPRRSVLLLNVPRVGRHPQLPPSPSTRLNPHRRCCSSSRQPPPPAVHFSLMVACMASTQTFCTGSLHTLARGCKLVRSSHPPSMPAAS >Sspon.03G0028710-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:10648924:10650844:-1 gene:Sspon.03G0028710-1B transcript:Sspon.03G0028710-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQLNMWCGKTGAETELNMDGTSFDLTEHEGSNLSPFLRKLFNELKHTKHERLLQWDDRKMRGLFHHDISSCETKVLPGEHNFVATLIEGRDQKKRPTEFTMNQVLQPFVSEKFNFTKVSPLEVIFRFNETKKDSAQYFDGVPGTVSASSSAILINVSPIGYCHVLLTPKIQDCLPQRIDQESFLIAMYVAREARNPFFRVGYNSLGGFATINHLHFQAYYLKVQYPVEKATTEKLTSLGNGVSIFHLVDYPVSGFLFEGGASLEDLSDVVSKVCIFLQENNRPFNVLISESGKRVFLLPQCYAEKQLLGKASQEFLDMRINPAIWELSGHLVLKRRKDYDEASEANICRFLVEAALSEKEFQELNRCVLDFVTRSLGCN >Sspon.06G0029110-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6C:15734783:15735064:1 gene:Sspon.06G0029110-1C transcript:Sspon.06G0029110-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFTGRMELATPVEKAAAGLVEKATTGPCAGEGRGGGRRSEREEARMSRLGAVEMSVGRAEARWRERGAVESTVAEAERRTTVAERCHCTAVR >Sspon.06G0003900-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:10032327:10037310:-1 gene:Sspon.06G0003900-2B transcript:Sspon.06G0003900-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinetochore protein NDC80 homolog [Source:Projected from Arabidopsis thaliana (AT3G54630) UniProtKB/Swiss-Prot;Acc:Q9M1G5] MRRGGGRRLPKPSLAPSTAAEATPALDASVIRNLDSAFSCRDSDAASLCSSRPASTAGAGVGAAPNFSDRPTQVSALRVVNGFLAPAVTLRGPLPAARDIQAALRLLVDRLQLARNDATFEDDLIQDLRILGCPYKVTRSALKAPGTPHSWPVVLSALHWLTTLCYAQGDDLDAPGGPFNDLLLYTTQGYSHFLLGDDDAVVVLDEEYTCKARMTGEASVATVHALEKDAEELESEVNKLISGPSRREALESEKEAFIADILKFEAVVDAWKTKINEREHVLGNLEKELEAKVLDTQHAAAEVQDLLKQVDAQPVDVRGMDRMRREMQAIADDIANTEKGKAALEDKVWEVEAKLLTKLEELETLAEQCNQALKKLKPTVLFQYMINSKGSSPAEMLGTGYKTVLKPALVAHAEENKRICLSNLENLNDLRKQLQGNVKVLEEERNNISSLQAKDDEMVARLNSLDREIINDDSRFTSEARQMKDELEKKKNSLISLEKEADEFFKISEKRLQDATLKAEEDTEAAAKNLLELLDSMAEYKEFMETTIAQRRKELYETADYIAGLFAGTS >Sspon.02G0015340-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:43257030:43259766:-1 gene:Sspon.02G0015340-3C transcript:Sspon.02G0015340-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDDAYLLCAEDAAAAFVFGASGSTLCAAAGDGGHDDDDDGCCSAVVEEESAASIAELIGGESQYSPRPDYPDRLRSRSIDPAVRAESVAWILKVQEYYGFVPLTAYLAVNYMDRFLSLHRLPQEDGWAMQLLAVTCLSLAAKMEETLVEGTSRYDFDPGTVGRMELIVLTALNWRLRSVTPFTFIDFFACKVDPGGRHTRCLIARATQVILAAMH >Sspon.02G0013100-1P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6B:12828523:12829590:-1 gene:Sspon.02G0013100-1P transcript:Sspon.02G0013100-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GLSCSARTAAQLSSRSCCRLPPPAPSMDPDAEVDFDFSPFLIRYKSGRVRRLMGTSRVDAGADADSGVTSRDVAIDAAGAGAGLAARLYIPSDVLLGTPEKKLPVLVYFHGGAFAVHSAFSGAHSRFLNALVAAARVVAVSVDYRLAPEHPLPAAYDDAWAALGWAVASCGAGPSSSGSRPDTADPWLAGHGDAARLFVAGDSAGANIAHNVTLRAGGGSGLQGGARIEGMVLLHPYFRGGELLPSEGTDPTFRKRVERAWGFVSGGRYGIDHPFLNPLALPSEEWAKLGCRRALVTVAELDTMRDRGRRYVDALRGSAWAEAEAVLYETQGEGHVYFIDKAGGDGEKAKMEMAAV >Sspon.04G0009460-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:28080360:28088606:-1 gene:Sspon.04G0009460-4D transcript:Sspon.04G0009460-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGEVTETSLVEGSDASSSMPLPRPREAEELVTLAPDKMLLKWMNFHLKKAGYKKTVTNFSTDVKDGEAYAYLLSTLAPELSSKTMIETSDPKERAQKVLETAEKLDCTRYVTSKDIVEGSANLNLAFVAQIFQNRNGLSTNTVAPVHQDTPDDVEASREERAFRLWINSLGIPTYVNHLFEDVRTGWVMLEVLDKISPGSVNWKHASKPPIIMPFRKVENCNQVIKIGKEMNFSLVNVAGNDIVQGNKKLILAFLWQLMRTSILQLLKNLRSHSKEKEITDADILIWANNKVKESGKTSHIESFKDKTIADGVFFLELLSAVQSRVVDWNMVKKGEDEDEKKMNATYIISVARKLGCTVFLLPEDIMEVNPKMILTLTASIMYWSLQKQGPYQSPGPQDTLPEEEECEEEEEEEEEEEDFEGGVEDGCIGSREEICVSSQLSANGRMGYAETEPKRNL >Sspon.07G0000820-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:1868910:1874003:-1 gene:Sspon.07G0000820-1A transcript:Sspon.07G0000820-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSTSLSEAEAGITCFASSLPGFRGVLKHRYADFIVHEVARDGALVQLTSFDLPTECVDVNEEDKAAPSAEADHSQALESFRSLCGDADCNALRGLLERVSVGGDSDVSPVILSPDADKAHRSEVHNFFKKTFKFLVTDTVEHSDGVQRCIRVRLGSGAGGGRGGGGRGRGRKRKNMGSSDWRDDRPFDSRGSSNWSDNVGKFLRFHLYKENKDTQEALGVIGKMLGLQPRSFGFAGTKDKRAVTVFKVQASRLAALNNRLFGIKVGNFCYVKEGLVLGQLSGNRFTITLRGVIAESEDMIKNAVDGLGKNGFINYYGLQIVDSGDLLKGIYTFDDVVLPLPGSQALFPGNEVAEIYHEMARKDGISLTENAHGV >Sspon.08G0010650-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:44023267:44026591:-1 gene:Sspon.08G0010650-3C transcript:Sspon.08G0010650-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NF-X-like 1 [Source:Projected from Arabidopsis thaliana (AT1G10170) TAIR;Acc:AT1G10170] MQPSTDRRRGAGPVATASRSEWRPRPSPSPSPAASAPGPDAAGPILPLPTPASEPRPSHRRSRRSNHGNNGNNNHSRRPAPPQEQNDNARYHRRGPPPERPAPAPPAPARERAPAPPPAATRGDGTVPQLVQEIQDKLARGAVECMICYDMVRRSAPVWSCGSCFSIFHLPCIRKWVRSPASAADASPAADPASPSWRCPGPCTPPPPATSHTPASAGARGIPQRPFPNTPLLWRALLQASREGGTPGRQGEDADATRCPHVCVLQCHPGPCPPCKAFAPDRPCPCGKQIIVRRCADRSTPVTCGRPCEQMLPCKRHRCEKVCHTGSCGDCAVLISARCFCGKKNETLLCGDMMVKGKLSEEDGVFSCNEVCGRTLACGNHACKDMCHPGPCGECELMPGKVSTCHCGKTRLQERRASCLDAIPTCDKICDKKLPCGVHRCMVNCHEGECPPCLVRVEQKCRCGSSGQMVECYKVAMEEFRCNKPCGRKKNCGRHRCSELCCPLSRKVAQLEGGNWDPHLCQISCGKKLRCGQHACQLLCHSGHCPPCLETIFTDLTCACGRTSLPPPLPCGTPTPSCPHQCSVPQPCGHPASHSCHFGDCPPCIVPVMRECIGGHVMLRNIPCGSKDIRCNQPCGKNRQCGIHACNRPCHPPPCDQTPANGDASSSSGGKASCGQVCGAARRECKHTCTAPCHPSSQCPDLRCEFAVTITCSCGRITATVPCGAGGSSIGDNMFEVSIIQKLPMPLQPVESNGRRVPLGQRKISCDEECAKMEKKRVLAEAFDITPPNLDALHFGENSSSSDLVSDLFRREPKWVLAIEERCKFLVLGKVRGSSSSNLKLHVFCPMLKDKRDAIRLIADRWKLSVKSACCEPKRFVTIHVTPKSKPPARILGSKAGAPVTAAHPYFDPLVDMDPRLVVAMLDLPRDADVNALVLRFGGECELVWLNDKNAIAVFNDPARAATALRRLDYGSAYQGAAMFMPSSAQASSSGNVWIGEQKDGGLTARSNPWKKPDLPSGDWTGVAGHAPAPGWRGANTVAQVMGTTNRWNVLESDAAASSGPGDERKPAPRTGVAYSAIPNAGNAGPSVTKLQPDVEVDDWEEACE >Sspon.01G0010760-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1A:29836542:29836833:1 gene:Sspon.01G0010760-1A transcript:Sspon.01G0010760-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNCGWWIACTRPYHLPSQLFSSTDASNLIPSFATTPRLDGASTSKDAPLTQFLFHSARSDGRSLLPWALSVRHTSSSKGRSLPLGLGDGGATDEAL >Sspon.02G0030630-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2B:90578638:90579339:1 gene:Sspon.02G0030630-2B transcript:Sspon.02G0030630-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTAVQGGQDRRPKSPFLIKKDDPGMPSIECSINGYSFQKALCDTGSGVNIMATVTYQLLYGTMPLKPTYTQLQMADQTSRKVEGIVTDVPVKINDHFVHTDFQVIDMGDDEYDPPIILGRPFLGTVKAIIYIGTGEVHMHFPSEKVRRYFNDPNYIVEDSKQVRTRRRRRNRNQRRQTIKDVWADYEGEVIRPEDTQQETEAPSRVWKAKTVTQEEEALPEPPSTPPKSQDN >Sspon.03G0019000-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:58959720:58962545:-1 gene:Sspon.03G0019000-1A transcript:Sspon.03G0019000-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGGGAGDKAWRGVGGRLPQPLRLESQRFRLLSIVVGCFVICLVFLLSSRPDATAFDTMSPKASLVAARRPVAVKTLRTSSSAGGLGGDFHVDILPQRRQEQSLEQTGDKTVTEWVRDTVIVKERSDAETSEAEPEEADPDRDASATAVASNSDDHPAAPEEKTAAATGGGGQSKGDETCMGRITEITVRRAARDAAGAPRCTRTHTAPAVVFSIGGYTGNIFHDFSDVLVPLYNTVRRYRGDVQLVMANSASWWLVKYDRLLRALSRHAPLDLARAGAAREVHCFRHAVVSLRAHKELIIERERSLDGLATPDFTRFLRRALGLPREAPTRLGGDVTGRKKKPRLLIISRHRTRLLLNLDAVVRAAEEVGFEAVVNESDVANDIAQVGGLINSCDAMVGVHGAGLTNMMFLPPGAALVQIVPWGGLQWMARADYGDPAEAMGLKYIQYEIGVAESTLKDKFPSGHKIFTNPTALHKKGFMFIRQTLMDGQDITVDVARFREVLLQ >Sspon.01G0033470-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1A:112733233:112736789:1 gene:Sspon.01G0033470-1A transcript:Sspon.01G0033470-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent DNA helicase 2 subunit KU80 [Source:Projected from Arabidopsis thaliana (AT1G48050) UniProtKB/Swiss-Prot;Acc:Q9FQ09] MVMRKFGNTKGKRRLCLITSAQDLLRDPPKGTKEEQVDTIANMLKKHSIKLECIIFREPGVHRDAVMEENDRLLYQFRNRSVAKVVQVDSPTSLLGALKTRNVLPVTVFRGDLEVNSNFKIKVWVYKKTAEEKFPTLKKYSDKAPPSDKFASHEVKVDYEYKSIVEPDKVVPPDQRIKGYLYGPQVIPVSNAEWEAVKFKPEKGVKLLGFTDRSNIPRHHFMKDVCLFIPEPGNMKATLAVSAIARAMHQMNKAAIVRCVWRQGQGNVALGVLTPNISSVNNVQDSFYFNVLPFAEDVREFQFRSFSSLPSSSQPTEEQQEAADNLVKMLDLAPPGREVLKPEFTPNPMLERFYSYLDLKSKQPDANVPPLERSLRRITEPDPDVIGQQTQLIQNLGKAFELKENPKKKKARTQDILAYTGAGDQAKSVEEPSVEKDRLLENTHPPTENVGAIRDSNPVQDFEAMLAKRSSSTWVQKAIEDMQNYTAALLQKSRDGSNYQKALECFAALRKACIIEQ >Sspon.06G0010410-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:45849401:45856035:1 gene:Sspon.06G0010410-3C transcript:Sspon.06G0010410-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEPQMDGDQSLVISDTDMMDCLNLDGYGDVEDPHKEGAKGERQPSGKVDELQSTMDVDLTGITSVEDEGNEKQNAMDVDLKEILPEEDEGKRKASSDLPSHVPVDFDVASLEKFCKEASRSFFSEIGLVSHQINSYNHFVSHGLQELFDSFGEITVEPDYDPSNKDGAWKHATVKFGRVKLGEPVFMVDNSDLEQQDLKFKPRHARLQKMTYASRMNVEMTVQVYILDKSDKAKTGKDTHVHRRDIMTETKQVSMGLLPVMVKSNLCWLHKLQESDCHFDFGGYFVIKGTEKVFIAEEQRFLSRIWVTDRPSWNASYTSQIRREKIDIKLVPSKRNEICKVINIYFMGTIMPIWVVFFALGVSSDKEAFDMIDILDCDASIVNIISSTIKESHEEFEGFRTPGRARQYVDELIRKSKFPPKESFDEYMFPSVNGVRSKALFLGYMVKCLLMAYSGNRKCDNKDDFRNKRLDLACQLLRRELWTHIKRAERRMVKLMQRDLSNDGSLQDLRCYVDASIITNGLNRAFSTGSWQHPFKKERCSGVVATLRRTNPLQMMSDLRKTRQWFAYSGTVGDARYPNPSYWGKLCFLSTTDGEKCGFVKNLAVTAVVSSVVRKPLIDTFVSCGMKKLNDISLQDISGKFRIFLNGDLLGVCADPHELTSRLRSLRRSKLIDPQVEIKMDKHHKEVRVFSDPGRILRPLLVVENLRRITRPKDGLYSFQELMDQNIVELIGVEEEEDIRCASGIRHLFAGEKEDGSSGYTHCELDPSFLLGLSCSLIPFANHDNARRVLMQAQKLSQQAIGYSPTNSHTRLDTLSHQIFYPQRPLFKTVVSYGLGKAETAYSFGQKDDFNTPEYFNGQNAIVSVNVHQGFNQEDSLVLNRASLERGMFRTLHFKSYKAQVENKEITRRLKHRESINFGKVQSKRGKVDSLECEGLPYVGASLQSGDIVIGKVSESGEDHSAKLMHTEQGMVDKVVLSANDDGVNFATVTLRQSRSPCVGDKFASMHGQKGVVGLLDSQENFPFTCQGIVPDIVINPHGFPTRQTPGQLLEAALGKGIAMGGAVRYATPFTTPTVDVITEQLHKAGFSRWGDESVLNGQTGERMKSLVFMGPTFYQRLVHMAEDKVKFRNTGPVHPVTRQPVEDKKRFGGVKFGEMERDCMLAHGAAANLHERLFMLSDFSQMHICQACERVANVIMRPAEGGSKKVRGPYCMFCRSAERIVRVNVPYGSKLLYQELFSMGICLKFDTEVR >Sspon.08G0011260-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8A:49004958:49005548:1 gene:Sspon.08G0011260-1A transcript:Sspon.08G0011260-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding TTLPSLRPSPPTVTLLSLRHQCRLPTTRFSAAAAESASRAAPFAVEDYLVATCHLTPAQALKASKVLSHLKSPSRPDAVLAFLSGLGLSDADIAAAVAYDPKLLCSEVERTLTPRLAELRDLGLSPSQIARLVLVDPARFRRPTVVSKLQYYVPLFGSFENLLRALRSNAYLLSSDLERVVKPNVCVPDGVRARCL >Sspon.08G0007140-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:20314360:20315942:1 gene:Sspon.08G0007140-2B transcript:Sspon.08G0007140-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LNLPPGPQTLPLLGNLHQIGPLPHRSLWALARQHGPVMLLRLGSVPTVVVSSPEAAREVMKTHDVHCCSRPAMSGPRRLTYEYKDVAFAPYGDHVRDMRKLFILELLSMRRVQAAWDARVAQAGKLVLNLTRAGANPVALNEHIFSAVDGIIGMVVFGEIYGTEHFNLQFLNILSEVMDMLGSFSAEDFFPSTAGRLIDRLTGVTARRDRIFQRLDAFLEEVIDGHLNTAHNEKLGDGKRRSDLVQALIGLFKDNIGSTVPFTRDHVKAMLFDTFVGGINTTSVTMVWAMAEMIQHPSVLKSVQDEIRAVVGGKEMASPDDVSRLKLLKMVVKETLRMHPPLTLLLPRETIQQVNIKGYDVPANTRIIVNAWAIGWDPDIWKDPEEFNPERFMGSDVDFNGANFEFLPFGSGRRICPGMAMAVTNMEFILANLLHCFDWELPVGARKEDMSMQEAGSLTFHKKTPLLLLPR >Sspon.05G0019140-7P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:74354091:74359359:1 gene:Sspon.05G0019140-7P transcript:Sspon.05G0019140-7P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKGEALASSDAVHHHHPAGVTAIGIGTGSSSSSFASWRAYGRALAQTPRRVARRALSATAPREEMSRVRARSGADMARALRWWDLVGLGLGGMVGAGVFVTTGRAARLYAGPGVVVSYAIAGLCALLSAFCYTEFAVDLPVAGGAFSYLRVTFGELAAFLTGANLIMEYVFSNAAVARSFTAYLGTAVGVDAPSQWRITVHGLPGGFNQVDLVAVAVAVILLITVCICYSTKESSVVNMVLTAVHVAFILFIIGMGFRHGDARNLTRPADPSRSPGGFFPHGAVGVFNGAAMVYLSYIGYDAVSTMAEEVQRPARDIPVGVSGSVVLVTVLYCLMAASMSMLLPYDAIDPEAPFSGAFKGRERCAWVSNVIGAGASLGILTSLMVAMLGQARYLCVIGRSGVMPAWLARVNPRTATPVNASAFLGVFTAALALFTELDILLNLVCIGTLFVFYMVANAVVYRRYVGSASEPGGGVRWPTLAFLVVFSLSALAFTLAWKLAPPDPRGVRAGLLAACAALAVAAVAVFQALVPQARVPELWGVPGMPWVPAASVFLNVFLLGSLDRPSYVRFAIFSAAALLVYVLYSVHASYDAEESGRLAVDGGGKVQDEACTV >Sspon.01G0015840-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:48677042:48678213:1 gene:Sspon.01G0015840-4D transcript:Sspon.01G0015840-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKVLLDMFLVLQLLLQLLRGAAADRVPAVIVFGDSTADTGNNNFIQTLLRGNYTPYGRDFAGRVATGRFSNGRLAADFVSQRLGLPPAVPAYLDPGHGIHQLASGVSFASAGSGFDDITAQIFSAVTLTQQIEHFKEYKQKLRRGLGGAAADHIVASSLYLFSVGGSDFLGNYLLFPIRRQRFTLLEYEAYLVGAAEAAVRTVYALGARRVRLPGLPPLGCLPLQRTVNLAAPGDCNRWHNMVARRFNRGLRAMASRLSRELPGAQVVYVDVYRLLADVIARPWAYGFENSVRGCCGTGYFETGVLCSLDNALTCEDADKYVFFDAVHPSQRAYKIIADAIVHAASHRSTRVA >Sspon.02G0050590-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:62816163:62816492:1 gene:Sspon.02G0050590-1C transcript:Sspon.02G0050590-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLLLCGMESYTPGESIVVSSSGQHNGASHWPVHRAVRSRREPQAPRTGRGRSLSYGALRLDLDAREIMGIGQLLVDVVRFLLHVR >Sspon.07G0006430-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:14813675:14815732:-1 gene:Sspon.07G0006430-2B transcript:Sspon.07G0006430-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMPTSTAAPASPRSPRARRSRSVVAELEGALLRSADTFPYFMLLAFEASGLPRFLAGPGRRDLALRAAAFVATVGVPRAEVEAVSRAVLPKFMADDVDPAAWAAFGSCEGRRVVVTRMPRVMVERFAKEHLGAHAVVGCDLEYSRLRRSTGLLKGASHEAVATRVRALFAGDDRPDLGIGAPPFTAEHETKAPPFRPVIFHDGRLVCRPTAFMSLVILLWLPLGVLVAFVRIAVGLMVPIWTIPYIAPVFGGAVIIHGRAPPPVRVSDAATDDGGSPSGVLFVCTHRTLMDPVVLATVLGRRVAAVTYSISRLSEILSPIPTVRLTRDRDVDAARMRAELARGDVAVCPEGTTCREPFLLRFSKLFARAQRQHRARGDELPRGALPPDDGARVEGHGPHLLLHEPAAVLRGDVPEPAPRGGDVRGGKSPVDVANYVQRILAATLGFECTTLTRKDKYTVLAGNDGS >Sspon.06G0015460-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:85088756:85091845:1 gene:Sspon.06G0015460-1A transcript:Sspon.06G0015460-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPVRGSGAKRRRMAAAEKKAAAAAAAAVGGPPGDWWDAFCRRMSGTLSCIEDAQRFESVFKMPRRAFDYVCNLVKDEMMVRSSSYTFLDGTMLCLEDRVAIALWRLNSGGSLATVGSSVGVNHSTVSLITWRFIEAMEERASHHLRWPDSSDMEKIKSKFEKIHGLPNCCGVVDTTHITMCLSSAEPNCKVWLDQEKNYSMVLQAVVDLDTRFTDIVTGWPGSMKESSILHSSGLFKLCEKGERLNGSKVKVSDGSEIGEYLIGDSGYPLLPWLLTPYQEKDLTESSAEFNSRHSAARTVAPRTLAKFKDTWKFLQGEMWRPDKHKLPRIIHVCCLLHNIIIDLQETSMDEARAWPNDHDANYRQKVCQFADENGIKVRDKLSEHLICR >Sspon.05G0008580-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:15915834:15918279:-1 gene:Sspon.05G0008580-4D transcript:Sspon.05G0008580-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEAGGAGAGGRDERVPQWGAQETRELIVARGEMERETVAARRSAKTMWEAVAARLRERGYRRTAEQCKCKWKNLVNRYKGKETSDPENGRHCPFFEELHAVFTERARNMQRQLLESESGTSVKRKLKRPGGDRSSGESDDEDDGGEESDDEKPMHSRKRKADDKKQQYQRMSEKSRAGISSIHELLQDFLVQQQHIDVRWREMMERRAQERVVFEQQWRQTMQSWSRRGCCWNTRGWNGRSKEG >Sspon.02G0012780-2D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2D:27907935:27908180:-1 gene:Sspon.02G0012780-2D transcript:Sspon.02G0012780-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLDPEKKNQEERIPTPAAVHQPRQRHVVARDDPLRSVGSRTQRGGGDCSAASTRNVELLPMDYCPSKGNGLMASSSDMDT >Sspon.02G0006850-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:21608869:21634275:1 gene:Sspon.02G0006850-2C transcript:Sspon.02G0006850-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPESGGAVRRRLQKTESAEMRWVVPGGANEDDEIESSDGGGFDTPAAASDSRGGGCSDEDDGYEEDEMLRQRLVRTGPRADSFDVEALDVPGVYRHQVRALSTPRCFVELLASLGSCIVLTLQTLGVVFGDVGTSPLYTFDVMFNKYPITAKEDVLGALSLVIYTLILIPFLKYTLIVLWGNDDGEGGTFALYSLICRNAKASLLPNQLPSDTRISSFNLKVPSVELERSLKIKERLETSSMLKKLLLMLVLFGTSMVIADGVVTPAILQRFGTSKVGLAVGPALFIWFCCLAGIGIYNLRLYGSEVFRAFNPVYIYYYFERNPSEAWMSLGGCLLCATGSEAMFADLCYFSVKSVQLTFVFLVLPCLLLGYLGQAAFLMENLDESQQIFFLSIPTTLAALIASRAMTTAIFSTIKQATALGCFPRLKIIHTSRKFMGQIYIPVMNWFLLVSCLAFVAVFGSINEIGNAYGIAELGVMMMTTVLVTIIMLLIWQVNIVIVLCFLTLFLGLELFFFSSVLGSAADGSWVLLVFAAVLYLVMYIWNYGTKLKYETEVKQKLSMDLLMQLGCNLGTVRAPGIGLLYNELVRGVPAIFGHFLTTLPAMHSMIIFVCIKWVPVPVVPQNERFLFRRVCPKNYHMFRCIARYGYKDVRKENTQAFEQLLIESLEKFIRREAQERSLESDHNDDTDSEEEIASSSSRVLVGPNGSIYSLGVPLAEPGGTDNSALGSSMSFDGSSLDNELSFVHKAKESGVVYLLGHGDIRARKESFFLKKLVINYFYAFLRKNCRRGIATLSVPHTRLMQVAMQYMV >Sspon.06G0009280-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:42033839:42034651:1 gene:Sspon.06G0009280-3C transcript:Sspon.06G0009280-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYQAGYPPPGTAYPPAAAGQQQQAYGAPPPPPAYVAPPPTYPPTQDQQATTARRGGDGFWKGCCAAICCCCLLDMCF >Sspon.05G0034570-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:60168509:60169422:1 gene:Sspon.05G0034570-1C transcript:Sspon.05G0034570-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSAGGYCKEAAPATLIIIRPPDDVQDIRRTSRSGTRDREAINPATTSSNTSARYGTAALPSYCYPATATVSSAPPAIDTTTDDSDMLLQLEAFLLGTDDAEPATEVVFSDWSSPSSSSSAPTSPDVRAHQIPGTDTDASCCKKRQALIGFAAEIRDSTRKGARVWLGTFDTPEAAALAYDQAAFSARGAAAVLNFPVERVMESLGALQLQLPGTGGGSPVLALKRRHSKR >Sspon.03G0014470-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:50992477:50996074:-1 gene:Sspon.03G0014470-1P transcript:Sspon.03G0014470-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMTRAPMGPMEGAAVDEVVRRLVEGGRGGRQVQLSEAEIRQLCVEGKRVLLSQPNLLRIHAPVKICGDIHGQFVDLLRLFDLGGYPPASTYVFLGDYVDRGKQSLETICLLLAYKIRYPEKIFLLRGNHEDAKINRVYGFYDECKRRFNVRLWKIFSDCFNCLPIAALIDDKILCMHGGLSPELTSLDQIKDIERPAEIPDYGLLCDLLWSDPSPDGEGWGESDRGVSCTFGADKLVEFLEKNDLDLICRAHQVVEDGYEFFAQRRLVTIFSAPNYCGEFDNAGALLSIDESLMCSFQILKPTDMGPPHARKQIPNKPARG >Sspon.01G0019760-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:73747861:73756678:1 gene:Sspon.01G0019760-1A transcript:Sspon.01G0019760-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARRLLLLLAVVAASLLAADARPCHTFLVAFPADPNPNPNPSRGDGAVHHHLGVPHVATVITVFRIRRLGPHVPHGHRSHPHLHSIPANVQIHRPDLPHPAAAAAAGPQERARDILVVVVGLLFGVACGALTAASVYLVWSMVAGAAAASPYDELYDEEEEASDTESPKKVGYVAIQELEVHDGAVGSAYDDHLHSWRPGGAVQKLMPDDFSDGIIVPLTKPCRGLILIRGTDYNGYFVCNPSTGDVLPLPDTIATMKMIWRAKLFQSHPPPHFFEVSYGLGYCEARKEFKVVRLFCNPESETGMASSTSCDVFVLNKPAYWRPAGEQPPLCWVEEKKPAVSLNGYLHFLCQDGGIVTFSISNETFGSLPPPLGFEAAPSVMTELDGCLCLCYGEPDSEDLYRVCVLRDYNEARWETLCCIDRTSWPDSERTLLDSLWMAPLGIYYSDGGQKIMFGTGSCKVFAVDLDGNAPQILFTPDETIIGCCEDDNIPALGLYEESLVPVGCTIEEMISSSPTTEAWFDILKWLPSRSVLELSLVCREWRAMIMTDHFIQSHVIHANLNKSPRIMFIMDPRFGSYWDLEKFTDEQRPHMFANLVCSPQPVHGLNVGSCASWDFICNPAIGYCKHISFDDNDGTLFAGRIGLGYDSEINKHVVVHITYKEKNLETRYYELQCKMQYVNDVQWRQVDPPPRPVSATPPAFVSGKIYWLVEPNLGPVSATCEIVSFNVKTEEFEVLKGPPCSHDCGHMTILQLQSALCVAYSDQSVNTIDVRIRLWAYDHPPASELEKFLPDYSLENTTPLAIDPKDGRILLNAEDESKFTFFMKPIFIVLDVMDKSFGVSINTLKFDESGSMYAT >Sspon.02G0042740-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:89611832:89614207:1 gene:Sspon.02G0042740-2C transcript:Sspon.02G0042740-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPRSPTWICSNAALQEEMRCTNVAVMTFYDTMGRQIWLGNAMLLASAALTGVLVGIGGGYGQRYRHHRFTRFIFLGANILFLPIISFVVSTLRDSSNDYVNKEDGTTLVALCHSVFHPCMVITWAFLVQIAATNATSVVATESREGRKVGPPWELLVKGIWTFYLGASITNKRFFHGLFRISPSENHGPFTIICSKIMFAPFVLICAKIWFKFYSFRKARKSFALGRNPSLVFGYMKELQQVRSQHGGATTVGEDALPSPLLVMGEDSRQVMKQPWGYVFSNTWTMAIDRIGLVTLDRVWKLDSVAPTSKDLCLSFALFKLLRCRFARYDIANAVGSTGHKFFWSLLLKDGEHNRVFRVISDELSFVHDYYNSSLPTSYAKCWLPVLSILISLLSIGYCVVAAYFIVVFAVLVLVAEVRDITSYICSNWTKVALICHHVKCASLQEQQTKYRWFGLLLRCRCKIVNNWDEKIGQCSVLVLHPTTRTNFIGLLSRVFHHLPDEKMKVNMPAVVKACIMDSLRSTASNTVCQVGNVRTFLQRSQAGTAFLWACNGKMSTSDMILTWHIATCIFEVRHPYWDDIEQGSSPISIQHKSAATHLSRYCAYLMTWSPELLPDEVAWTKSLYEDVKEDTERVLNVRAATGPPLMPKAEYHDLVQLLREKSNHLVVKNGVRLGKQLVELVEGEETAWAILAGFWVEMILYIAPSNNLKGHKKAIARGGELITLLWALLFHAGISSRPGEAASAA >Sspon.01G0031030-2P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1D:105847217:105848236:1 gene:Sspon.01G0031030-2P transcript:Sspon.01G0031030-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPAMAAPPACFPMAARLPLPTSITLPDAAAPVPVVGCRPVAAKHKAVVVLGATGTGKSRLAIDLALRFNGEVINSDKIQAYDGLDVATNKVGPAERAGVPHHLLGVVHPDAEFTAADFRREAARAAAGVASRGRLPIIAGGSNSYVEELVEGDRRAFRERYECCFLWVDAQLPVLDDFVARRVDEMCRHGLVDEVAAAFDPRRTDYSRGIWRAIGVPELDAYLRARGLGDVSDEQRARMLAAAVDEIKANTSRLALRQRGKIQRLARMWRVRLRRVDATEVFLKRGHAADEAWQRLVAAPCIDAVRSFLLEDQEYRSMVTASPFASTAAAAVAAAAV >Sspon.08G0023990-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8B:60833427:60834628:-1 gene:Sspon.08G0023990-1B transcript:Sspon.08G0023990-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTAAGCPPPWGGRPTSSSTSKSLPGAMRQPLENDLVEFGTADDQEYEEEIFVSEEFQEPPVTDTIDLAPARGKSLCITRTMSLTSAGSRSCLA >Sspon.03G0015770-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3A:50308936:50309178:-1 gene:Sspon.03G0015770-1A transcript:Sspon.03G0015770-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATYSPHTGPSPTRRVCCAAAPIAEAFASPLLPSPRSLRRTSSRSPAHLRRGRRIEVAVTPWDGRRSRTLRGSYGEDERR >Sspon.02G0012920-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:34665277:34674974:-1 gene:Sspon.02G0012920-1A transcript:Sspon.02G0012920-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRNQISNCILHAAILLALPLTNAALDQATLLRQLIESRQAQAKSATAAGPAETDPWADPVRSFGDLPTSCSDPKGSKEADRITALPGQPPRVNFEQYAGYVTVDEEHGRALFYYFVEAPYDAASKPLVLWLNGGPGCSSLGAGAMTELGPFRVNPDGKTLSRNRHSWNNVANVIFLESPAGVGFSYSNTSSDYDKSGDKRTAVDSYNFLLHWLERFPEYKGRDFYIAGESYAGHYVPELAAVIVAVRLLTGKNPTNLKGIFVGNPLLGDYRNDKGSLEFLWNHGVMSDEMWANITEHCNFGPSDGILCDEAKSPFNFPNFVNTAGHISRYNIYAPICIQAPNGTSYSSSYLPGYDPCIGNYVEVYLNCPKVQKAIHARANTDWRLPWNDWPLSMVPTLSWLIDTGLRVWVYSGDMDDVCPITATRYSVKDLNLTVTKPWRPWYTPANEVGGYIQQYGGGFTFASVRGSGHLVPSFQPKRSLVLFYSFLKGVLPPAVYDARRATIVNGKVSN >Sspon.01G0048480-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:109410784:109422218:1 gene:Sspon.01G0048480-1B transcript:Sspon.01G0048480-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKRVRNDPCNSGDLGFLVAPCSCMATGSECVCGGLPNNIEPELNSVSRYGQVGSSYNGGHAYSEMNGQSFHTKHTESMARDGDGTNNAVYGYSDVGQLYEHHAYAANDHGNSEGNNVSLKSITDFQDSMQFQQLDNNQCGNGVVDSKALVIPNHFSGSVQEPMPLQVIGQPEGSEAPGGAMWSGVQRRDRLTLADDKNVKSENRDPLTFEANLDGGMSGLDHAADFMDFPFFSNSEDFDILNSENFLNSPSEGNQEDLDDPAFKVVHGVRSTMQNLVHTDEANMSCDQIDLGDVKNNVDASGIILVPTPLLVPCPGLFVECKLNTEDPEIPCNDDVSTPTEYPLECCTSTFGQKSENTIYSASPATSPPSNAEQPKTKDLIKSEHMANVQPSLQTIKMNPSTSEQKEDSVAHDKGGVLGAKPSEGASTTGALLTGNIDTNDANTCMLALPSFSAAGFGEGSPCSLGPHESFDNSHGLTLQNSVQAPDEMQHNSLDGQPELGDKAALQNCMPSNALPDLGIQNTIANAATPAQAEECLDYEKDVPNYYDLEALILDQDLIPWDQDSDLMHPEVTRFHHPESRKALIRLEQGARSYMNRAIMSHGAFAVIYGLHLKCYIKDPEVTLGRETEDVKVDIDLGKEGRANKISRRQAVIKMDESGSFHIKNIGKCPIFVNSKEIPSCKRINLSSDSLIEIKDMRFIFHVNQDAVRQYIDRNLKPER >Sspon.02G0047680-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:11905922:11908466:-1 gene:Sspon.02G0047680-2D transcript:Sspon.02G0047680-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRPGFSSRSSKPPPPKTPTPPPRRLSSGVVVGVEKPYRDSRCPENREVAVGGGGDRLSDLPDGILELVLSFLPAADAVRTSRRFRGAWAHAPALNLSDGLLEGRFLGFAREALARYGAPDIPALHVTIGCECNLGPATAPWLRDAMERAVESVSVTVTAPGALHCLTLPRCLRAKSIALTLVLPEPGAPTSFGGLTELSLSRVRLQERLRPLGVFLKLRLSKVSGGLAAHGGLSLWPLVLHLDLLEELVVHRVETFTNLQLVSSNLRALGVLSCFESLLQWCIDTVVEISAPRLEDVSWSGSLPKHLSFLNGSHCIRRLSGLRFYLPGKEIRSASAIRLLEMCSRADHLIVGIDIPDSTSPAMLTREELEHVPHLPNVRILSLEMFSGLWLTGCPIAPAILSFLRRCPNLTRLHIDLLKLNQFSRLSADLTNGTCDPLGYQDKDETEVKRPWQSINCDMWKTWRDQQQLSSLRAIRLSGFMGTDREMEVADLLFGVWASRPALERISISLFPQLNQGVDGSLACGVGTWLNFEGMSVSFAQVLQHMDAIGAKMKAEFPLVGGCWETIPRKEITWTRT >Sspon.03G0029010-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3C:20141161:20141409:1 gene:Sspon.03G0029010-2C transcript:Sspon.03G0029010-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GRIFTRARVKTGAAEVFRTLAPFDFAKAGSGKGLKVEDLQLTEEEMDMYVDLHPITNRSPYTVVENMSLAKAATLFRGLGLRH >Sspon.05G0004830-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:14901714:14903872:-1 gene:Sspon.05G0004830-1T transcript:Sspon.05G0004830-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGLSGAAMTGFVAKNPLLAAAARRRAPPLAGRALPFSPLTTTTRAPRRRGLGTVTCFVPQETEHPAAPAAPVPVPVPVPETALDEEARAAAARRIAEKKARKQSERRTYLVAAVMSSLGVTSMAVAAVYYRFSWQMEGGEVPMSEMLGTFALSVGAAVGMEFWARWAHRALWHASLWHMHESHHRPREGPFELNDVFAIINAAPAIFLLAYGFFHGGLVPGLCFGAGLGITLFGMAYMFVHDGLVHRRFPVGPIANVPYFRRVAAAHKIHHMDKFEGVPYGLFLGPKELEEVGGLDELEKELARIGRTI >Sspon.05G0014330-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:52121659:52131089:-1 gene:Sspon.05G0014330-1A transcript:Sspon.05G0014330-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMEDASGSSSSPAILRNRYWILRHGRSVPNERGLIVSSLENGTKPEFGLAPQGVEQARAAGEQLRKELEEIGVPVDSVKIRYSPFSRTTETARVVAGVLGIPFEGPVCEAVLGLRERYFGPSYELLSHDKYADIWSVDEAHPYTAPEGGESVADVASRFSAVLSSTETEFHGSVILIVSHGDPLQIFQAVLSGAKENLSFLNNLTNLKVKDTMVASILSQHRKFALITGELRQVV >Sspon.08G0007210-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:22726610:22731063:-1 gene:Sspon.08G0007210-1A transcript:Sspon.08G0007210-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGWGSKQAAAGASWREEEGVVVGETGGGRRGRGSERRGHASLTAEPVRSAAAAAGMGDSGGSVVSVDVERISFGGKEHHIQTNHGSVSVAIYGDHDKPALITYPDIALNHMSCFQGLLFCPEAASLLLHNFCIYHISPPGHELGAAPILPSTPVASVDDLADQIADVLDFFGMDSVMCLGVTAGAYILTLFATKYRERVLGLILVSPLCKAPSWSEWFYNKVMSNLLYYYGMCNVVKDILLQRYFGKGVRGGSTEPESDIVQACRSFLDQRQCMNVWRFIQTINERKDLTENLKQLQCRTLIFVGENSQFHAEAVHMTAKLDRRYSALVEVQACGSVVTEEQPHAMLIPMEYFLMGYGLYRPSQINCSPRSPLNPFCISPELLSPESMGVKLKPIKTRANLKA >Sspon.03G0017600-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3D:42212914:42219299:1 gene:Sspon.03G0017600-2D transcript:Sspon.03G0017600-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTSEGFTPLIPNSVPPAAAVALTASPTRLAVAPPHPTRGSPPHSGERASPPHPTPASVAPPLAAHAFPRRQLAAPRRRPPRSDAGDTRISVPGGSPVPARSPGDATRPEARGSPSPADLRSPSGSASSPGPRDRRSSLPLPSASLSRLSGRLGAAAALRQVFAGDGYTPGIPAPLLFPSCSAEPSTSNPNVKVEQNILPPMAREPSPEIDDELFNEVYGKAYSGPVASAANSVTPKVNAEKRPLTRDKSDDEDEAPDPNAVPTDFTSRELRRNADFFERVPARDKQVRDLFNERIISQIESDVGCKIRMDEKFLFVSGKDRLILAKGVDAVHKIIQEAHANFGAKGRPAQSKSPRHTSYLDEFPRTYGENNQFASHMPNDWGIERHGADVCSSLKFDMPSHQQTLEELEMEFKREATQLARAHDQEEDEENHRHRELQPDLTIIMAWKSEPSGAQVRSGQSLRVIRENYLERVITMRNVHSRKWEEFLEQTVKRQQQAQPSYTQTGYPGFEQRMTHISATHQPMDSKRTYPYASDSYSAPKAHAAYGEFQHERHDELGRTYGRY >Sspon.04G0030840-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:2689189:2693201:-1 gene:Sspon.04G0030840-1C transcript:Sspon.04G0030840-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKPGPLSRWPWQDLGNYKYALVAPWAVRSTYRFVTSGSGERDLLAFAVLPVLLLRLLYSQLWITVSRHQTARSRHRIVDKSLDFDQVDRERNWDDQILLTALLFYVVNAAVPAAQSVPWWNSKGLVVAALLHAGPVEFLYYWLHRALHHHFLYARYHSHHHASIVTEPITSVIHPFAEEVVYFTLFAIPLLTMVGTGTASVAVANGYLIYIDFMNYLGHCNFELVPKLLFDVFPPLKYLVYTPSFHSLHHTQFRTNYSLFMPLYDHLYGTADKSSDDLYERALQCREEAPDVVHLTHLTTPASLLRLRLGFASLAAAPAPLASRYYTSLLAAPARPLAALVAAFLGRTTAFSASLPCGLVQANELNKNGELYVIRKPDLRTKIVDGTSLAAAAVLHMIPRGTADVLLLGDAGGKMAAVLASALCQREIQVQMVDKDLYESLKQELRPETHEHLLLLSGWSHCSSKVWLVGDKLTGEEQRRAQAGVHFVPYSQFPPDAVRGDCAYHSTPALLVPDAFENLHACENWLPRRVMSAWRAAGIVHALQGWDHHECGARVTGVDKAWRAALAHGFRPYDRRGAGAK >Sspon.07G0002660-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:5411331:5412722:1 gene:Sspon.07G0002660-2B transcript:Sspon.07G0002660-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADHFAVMAGRLLTESSLQSAIGEASAVPSTTSTACDVSVQDGRPASGVLVECRICQEDDEEACMEAPCSCKGSLKYAHRRCIQRWCDEKGDTICEICLQQFVPNYTASSKLFQRGRNTIFFSAPGYIQARPMLNADHSATSTSYGYDQTPAPTGVLCCRIIAITLMVLLVFRDALSVFLGDQDAYTVAMVTLLMLRTTAIVIPVYIILVAVTELLHRRRQRQVVHDQTSEHEGEERTQPQQH >Sspon.06G0005210-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:25752775:25753203:1 gene:Sspon.06G0005210-1P transcript:Sspon.06G0005210-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGGLGKLRCMIRRWHSSSRITRTPPASDDDGATIVSGGDARGGGASSFHGADEVPKGLHPVYVGKSRRRYLIAEELVGHPLFQTLVDRTGGSGGGATGGAAGTATVVGCEVVLFEHLLWMLENADPQPESLDELVDYYAC >Sspon.01G0010650-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:29997257:29998502:-1 gene:Sspon.01G0010650-2C transcript:Sspon.01G0010650-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKQSCCHKKKLRRGLWSPEEDEKLMNHIAQYGHGCWSSVPKLAGISLTYMCTYAQSLERCGKSCRLRWINYLRPDLKRGTFSQEEEDLIIHLHSLLGNKWSQIAAQLPGRTDNEVKNFWNSYIKKRLRERGIDPATHQPLTEPAAAAATATTTVSRRAVFGDLDWPIAGDGVPPSSLSRPCYFQGACFDMDALQQQHCGSIPPAPVVPSASSSSTLTSMAEAEHCNTNITGGSLPWLELGASAVADAGHVDSCYAGALDELRWSEYFDSAFQAAASQQGALQAAGQCVYGGKDDVPVHFDVHGLSN >Sspon.02G0031360-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:108393487:108395273:1 gene:Sspon.02G0031360-2B transcript:Sspon.02G0031360-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQMGVISPTKLRMKLLGSGNKDESTRKSPRASPPSRLDDDDDDHPNNSLLPQELDEEYPKDHRSDSSRSRSDASHGRAARSRSCGGSGSDSGIENAAAGGNFEFCKEERAALPPSATTAAAAAVSGPFFRQQVPSKWNDAEKWIAGRHIVHSNPIFSKKPAAAAPHCPGGRVVPESAMASSSSGAGASALTELSSKYSSPSSSVSGPGSKPPHKKLRFAPSVSVSVSMRDVGTEMTPIASQEQSRSGTPASAATPSLSPLCSVPSSPRGGGGASSASPSASERELRLRTRREIAALGLQLGKMNIASWASKEESLLAAASPEKGAGDIDEEIKRKAFEARATAWEKSNKCKLASRYQRKEVKIQEWESLQKSKFEAKLRQAEAEQMKARAKQDLARRLSALSHRVEGKQARVEARRSRQGSRLARQVERIRKTGREPCRLRRCCAWF >Sspon.08G0013690-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:55263631:55269064:1 gene:Sspon.08G0013690-3D transcript:Sspon.08G0013690-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHRLLRDAQADGWERSDFPIICESCLGDNPYVRMLRAEYDKECKICARPFTVFRWRPGRDARYKKTEICQTCCKLKNVCQVCLLDLEYGLPVQVRDTALAINSNDAIPRSDVNREYFAEEHDRRARAGIDYDSSYGKARPNDTILKLQRTAPYYKRNRAHVCSFFVRGECTRGAECPYRHEMPETGELSQQNIKDRYYGVNDPVALKLLSKAGEMPSLTPPDDETIRTLYIGGLDSRITEQDLRDQFYAHGEIESIRMVLQRAIAFVTYTTREGAEKAAEELANKLVIKGVRLKLMWGKPQAPKPEEDDSGRQGQVSHGGLLPRAVISQQQSGDQPQPPGMEGQQQQAAPASYYFNIPAPPAAERTLYPSMDPQRMGAIVKSQDSEGKPGPQQAGQAQPSSSSAQGGYPAPPPYYHGQYPPYYPPPPPYGGYMPPPRMPYPPQYPPYQPMLAPPAQAQASSSQQPPQAGAGQQPPHGPPAQQQPPHGPPAQQQPQQPIQN >Sspon.08G0010220-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:44916194:44919754:-1 gene:Sspon.08G0010220-1A transcript:Sspon.08G0010220-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTWIIDSQRFATKIKNASGSSDPSKQKWISNPSKECPKCSHVIDNSDVVHQWPGLPKGVKFDPSDQELIWHLRAKHGNSGIKPHPFIDEFIPTVEEDEGICYTHPQKLPGVKQNGSVSHFFHRTFKAYNTGTRKRRKINTDDADVRWHKTGKTKPVLVDGKQLGCKKIMVLYMSLAKGGKAEKTNWVMHQYHLGTGEDERDGEYVVSKLFFQQQFKPGDKNAQELTTSDDLESMAAEADLPDFTTLPADRHVGTIQVAHNSEHNLCQATTSDDLESMAAEADLPDFTTLPADKHVGTIQVVHNSEQNLYQVNRNCEINIEETVVLPPSEKTEDGDNPQSQDPKLWEGDSQFELLDSQQLAEGLALCDEFLLSQSQTSCGGGDEPRVTKPCLAAYAHLSAEDFKKDLEECQQLEPTDNTNLELDNTNEFRLSQIDFSQDSFTTWAGGKMSDD >Sspon.03G0020480-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:77082757:77085557:-1 gene:Sspon.03G0020480-1P transcript:Sspon.03G0020480-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVGSALRRLYLSVYNWVVFFGWAQVLYYAVLTLRESGHKAVYAAIEKPLQFAQTAAVMEILHGLVGLVRSPVSATLPQIGSRLFLTWGVLWSFPETQSHLLVTTLVISWSITEIIRYSFFGMKEAFGFAPSWLLWLRYSTFMLLYPTGISSEVGLIYIALPYMKASEKYCLRMPNKWNFSYDYFYTSILALLIYVPGSPHMYRYMLSQRKKALSKAKAA >Sspon.08G0017110-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:63156790:63159481:1 gene:Sspon.08G0017110-3D transcript:Sspon.08G0017110-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTALVAVAVGGGISLGLVASSSVASCSLRTTGDSRRLGRRLLLLRRGGAEGERTRTRNCPIFRCANEVDVVTEDDSVDDNATDDEEDLEAAADDAIDADVDTEYELESSLPEDVEWIKQQPLPYPLDALEPYISKETVQQHWGVHQQIHVDRLNGMIGGSEWEGMSLGQMMLASFNEGREEPHPPFFHAAQVWNHDFYWRSMKPGGGGKPPGRLLKFINRDFGSYEGMIRQFMDAALTQFGSGWDRRADYVSAILEKLVSWDVVESRLTKAVVRAVERDESLRRRILRKQHLAQANGQSRARPRTRQGRPTGRQGDQEVARSSPVEA >Sspon.08G0016040-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:63676029:63681282:1 gene:Sspon.08G0016040-1A transcript:Sspon.08G0016040-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTITWVKARQIFDSRGNPTVEVDIGLSDGSFARGAVPSGASTGIYEALELRDGGSDYLGKGVLKAVSNVNSIIGPAIVGKDPTEQVEIDNFMVQQLDGTSNEWGWCKQKLGANAILAVSLAVCKAGAMVKKIPLYQHIANLAGNKTLVLPVPAFNVINGGSHAGNKLAMQEFMILPTGASSFKEAMKMGVEVYHNLKSIIKKKYGQDATNVGDEGGFAPNIQENKEGLELLKAAIEKAGYTGKVVIGMDVAASEFFSEKDQTYDLNFKEENNDGSNKISGDSLKDLYKSFVSEYPIVSIEDPFDQDDWTTYAKLTEEIGQQVQIVGDDLLVTNPTRVAKAINEKTCNALLLKVNQIGSVTESIEAVRMSKRAGWGVMASHRSGETEDTFIADLSVGLATGQIKTGAPCRSERLAKYNQLLRIEEELGDAAVYAGAKFRAPVEPY >Sspon.05G0029870-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:77742485:77747812:1 gene:Sspon.05G0029870-2D transcript:Sspon.05G0029870-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQWSLLIYGLLGALLLWKAARLLERLWWEPRRLERALRAQGLRGTSYRFLTGDLKEYRRLDKEAASKPLPLRCHDIAGHVLPFVHGAVLEHGKTCFSWFGPIPRVTVTDPDLVRDVMLGHMEKPKLQAFTKLFADGVANYDGEKWVKHRRILNPAFHLEKLKCGQRTTLQLMLPAFSACCEELVGRWAQSLGSDGSCELDVYPEFQILAGDVISRTAFGSSYLEGRKIFQLQAEQAERLVSIIEKFAIPGYMSLPTKTNRRIHQIKNEIESILRGLIGKRMQAVKEGESAKDDLLGLLLESNMRETSENGQSSQGMTIEDVMEECKLFYFAGMETTSVLLTWTMVLLSMHPEWQDRAREEVLDLFGKNKPGYDSLSRLKIVTMILYEVLRLYPPGIVFSRKTYKEMVIGDVKYPAGVIVELHVMFIHHDPDIWGSDAHEFRPERFADGIAKASKDRLAFLPFGWGPRICIGQNFALLEAKMALSMILQRFQFELAPTYTHAPHKVILLRPMHGAQIKLRAI >Sspon.01G0024360-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:89461156:89468580:1 gene:Sspon.01G0024360-2B transcript:Sspon.01G0024360-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVCLCGAGAHRQMFRRRPWRAERSRRQNGPTRSRALHHYTYAVTATALLPATAQRRPDYSAGRHVGSARRAGLRVIGFDPPGRPGTVVSGKLAGRSRGSGHEEAVQADHFVPRCAIPRQCQKARWFYASIAKAASFSLPISAAFVAVFPGRACFCFDLSVRVRLAGSSRKRLQETKALRTLMQMDVETVQASPALAAHLQTSPVLRCTRTARSLSGKLNHSSVGVYFSFPRIIHVSVSPVVSVTIAHHKKPQVSKYYFKKKTSSSHSRNGNDDANHDSRIQPRSPLSRQSLTFDATPTYHAGAFYEIDHDKLPPKSPIHLKSIRVVKVIECTNLDITVKFPSLQALRSFFSSYPAPGTGPELDESFVMSSNHAARILRRRVAEEELEGEVQQDSFWLIKPRLYDFAAPQQVPSRTSRLPPPPAPPAATLGPAADSCLLTTLKCDGAGWGMRRRVRYIGRHRDEAPKEASADGYDTESSVREVQQPPATQEVKRSERNCKRKREAEGSSKDKNGNEGKKNNKVQGGSKKSKKSSKKAKKRTVESKDGDPRHGKDRWSAERYAAAEKSLLDIMRSRDARFGAPVMRQVLREEARKHIGDTGLLDHLLKHMAGRVPEGSVHRFRRRHNADGAMEYWLEPAELAEVRRQAGVSDPYWVPPPGWKPGDDVSLVAGDLLVKRQVEELTEEVNDVKRQMEQLMCKDDGDFDAERAYNSLKEKYQRAVRANEKLEKQVISLKDMCENVVQMNGELKKEVSSFKEHIADKNDKLEKQITYLSNSFLSFKDQLVLALKMETERQLELAREAVPRTALYVGSGDQMIPRTDGTVIQGGQDRPVMKSSFRVCKPQGTFLWPSMASGMTISGGASSSSPAAATPGPGIPRSTSCPSSAGPGLPRSSRAPVEVVAAAPGLDEHVMFGALFSTPPSASSTNAAAAAAKLQLSLPSPRSPLQPQKLFGTVTAAASGFSPQKLMHFSGLPRRHVDTSPSSSGACGSSLLEGKRVLFDADAGGISAVGTELALATPSYC >Sspon.08G0004580-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:14094526:14095056:1 gene:Sspon.08G0004580-3C transcript:Sspon.08G0004580-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:At3g48570 [Source:Projected from Arabidopsis thaliana (AT3G48570) UniProtKB/TrEMBL;Acc:Q2HIR4] MDAIDSVVDPLRDFAKDSVRLVKRCHKPDRKEFTKVAARTAIGFVVMGFVGFFVKLIFIPINNIIVGSG >Sspon.06G0015520-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:85228206:85234612:1 gene:Sspon.06G0015520-1A transcript:Sspon.06G0015520-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPSSSSPQPRRTALIPLLLVSLLLLSVVVAGHAAAAARVVVAAAEASSRSQEQYRDVVYEEKATSFAVGDDERCSGGAAAAGGGQGEDVEECLMRRTLMSRKITCGVDKISASSFLSLGCSSTKYIFQKQPSLMWLGQSSILRSASSGAKLSTARA >Sspon.01G0007960-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:86648697:86649897:-1 gene:Sspon.01G0007960-3C transcript:Sspon.01G0007960-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTYLAPLPTFYRIYKSKSTQGFQSVPYVVALFSAMLWIYYALLKSNEFLLITINSAGCVIETLYIVMYLLYAPKKAKLFTAKILLLLNVGVFGLILLLTLLLSAGQHRVVVLGWVCVAFSVSVFVAPLSIIRQVVRTRSVEFMPFSLSLSLTVSAVVWFLYGLLIKDKYVALPNVLGFSFGVVQMGLYALYRNATPRMPAKEVADDDAKEATMSVDDSTLKVPGEHVVTIAKLTAAPAGALQPPEEAKDKAKPAENGTAASPGRNADQV >Sspon.02G0028470-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2A:103674656:103675429:1 gene:Sspon.02G0028470-1A transcript:Sspon.02G0028470-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DVVISPNVSARLYLPRLGNDAGDAKLPILVYYHGGGFCIGSAFNPIFHAYFTAFVGLANALVVSVEYRLAPEHPVPAAYADSWDALTWVVSQSSHLASASADPWIAGHADFSRLYLGGDSAGANIVHHMAMRAAAAEGLAHGARIRGLVMVHPYFLGTDRVPSDELSPETRGSLASLWRVVCPSSTAGDDDPLINPLVDGAPALASLACARVLVCVAEGDVLRDRGRAYFDRLRASGWPGEAEICQAPDKGHTFHFMD >Sspon.05G0007590-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:17660429:17672383:-1 gene:Sspon.05G0007590-2B transcript:Sspon.05G0007590-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calmodulin-binding receptor-like cytoplasmic kinase 3 [Source:Projected from Arabidopsis thaliana (AT2G11520) UniProtKB/Swiss-Prot;Acc:Q9ASQ5] MSKAIENFVSLRAEFSNEVALLKNIEHKNLVQLLGYIDKANERILITEYVSNGTLREHLDGQHGLILGFNQRLEIAIDVAHGLTYLHLYAEKPIIHRDVKSSNILLTEGFMAKVADFGFARTGPTEPGQSQIQTDVRGTAGYVDPEYLRTNNLTIKSDVFSYGILLLEILSGRRPIEARRGPTERITVRWAFNKYQRGNVRDILDPMLTEAVNEDILNKIFDVAFQCVAPTREDRPSMKEVVESKKIRMSNAASKDDRFIKSWE >Sspon.01G0013770-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:37959289:37963627:1 gene:Sspon.01G0013770-1A transcript:Sspon.01G0013770-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCCRSSLRAGAAGPHAAEKPPRHRPPPPPTNNGPSFSLNAHQAAAPPPARAAGGDVPVFAEFSLAELRAATAGFAPENIVSESGEKAPNLVYRGHLKGPRGAGAPPRAIAVKKFAKLAWPDPKQFAVGKQAKTIEWAMRLRVAYYISQALEYCSIKGRPLYHDLNAYRVLFDENGDPRLSCFGLMKNSRDGKSYSTNLAYTPPEYLRNGRVTPESVIFSFGTVLLDLLSGKRIPPSHALDIMRGRNIQAVMDSHLEGNYSTEVATTLVNLASQCLQYEPRDRPDIKKLVSILEPLQTKLEVPSCVMLGIPKPVEEPQTPPIPLHPLSPMGEACSRMDLTAIHQILFTAHYRDDEGNNELSFQEWTQQMRDMLDARKRGDFAFKDKDFKAAIDCYSQFVDVGTMVSPTVFARRSLCYLMCDQPDAALRDAMQAQIVYPDWPTAFYMQAVALSKLNMQSDAVDMLNEASQLEEKRQKCTR >Sspon.08G0001020-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:3953149:3958830:1 gene:Sspon.08G0001020-1A transcript:Sspon.08G0001020-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MYMISMGYNKLAMMERLVLCLVTMVLSMDVLGAATGVFKPPPAMYVFGDSTLDVGNNNYLPGANVRRANRPYYGVDFPGGVPTGRFSNGYNTADFIAKCIGLVSSPPPYLSLVAPASSGGLLVPTAVTIGVSYASGAAGILDSTNAGSTIPLSKQVQYFNATRSNIAAAAGSSDAVDTLINRSFFLILIGGNDVFAFANAEQAGNRSGADLQSDTAAFYGSLVSNYSAAIRGLYALGARRLAVVNVGLAGCLPVSRVLDATGACAEDRNRLANGFNAALRSLLADLASPSSGLPGLAYSLADNLGLMADTFAHPRASGFTDVADACCGGGRLGAEAACAPNATLCADRGQYYFWDNIHPTEQAAALRAQAFYDGPAQYTTPINFKQLLGTQMAMEARCRHLVLCLAISVQTMLLAAVVAGGGGAQRRPAAMYVFGDSTLDVGNNNYLPGAGVPRANRPYYGVDFPGFPTGRFSNGGNTADFIAKSMGFVSSPPPYLSLANSSLLLVPTALTTGVSYASANAGILDSTNAGKCIPLSTQVHYFNATKAKMVAAVGAAAVTKLLADSIVLMGIASNDMFVFAAGEQARNRSAAEQQTDAAALFADLLSNYSATITELHFMGARKFAIINVGLVGCVPAVRVLDAAGACADGLNQLAAGFDDALGPLLAGLATRLPGLVYSLANSFRLTQDTFADPGASGYTDIAGACCGSGRLLAEADCLPNSTVCTDHDGHVFWD >Sspon.07G0015610-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7A:55749710:55750021:-1 gene:Sspon.07G0015610-1A transcript:Sspon.07G0015610-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGSSFHGAAVSLCLLLFSLLAPTTTVATSQPVSSEETSNGSCIAAERDALLAFKAGITSDPSRRLRSWRGQDCCRWHGVNCSTRTGQVVKLDLRNDFFVHDLL >Sspon.01G0039430-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:34790682:34805736:1 gene:Sspon.01G0039430-2C transcript:Sspon.01G0039430-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGLTSQLFQRVTATDIFYQRNKFRSPEMRSSLPLPSTSFPSVADMGFDFTMKALYTGVWIAAVSLFMELLGFNTQKWITAGGFGTVLLTLAGREIFTNFLSSVMINATRPFVVNEWIDTNIDGVDVSGIVEHVGLWSPTIIRGVDREAIYVPNHKFTVSILRNNTRRTHWRIKTYLAISHMDAGKIGPMVADMRKVLAKNPHIEQQKLHRRVFFEKIDPKNQA >Sspon.02G0014120-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:37632614:37637442:1 gene:Sspon.02G0014120-1A transcript:Sspon.02G0014120-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNPETKLIIDEITKRFSEHDAKWDLCFSEQESRLVRQIQALEKSQTERVSVLERAAASLEEWRPSIEGTVDTIRLEVKISRNWECAILDRPAYPVGVLAPTPGASAHATLGMPPTAPPAPPPVIGPRVDISNRESGSGAVSAPIHSPVKGEPQLHRPSSPTSVLHHEYYPYHRSGTPGNKIPKYDFPKFDGSHPKLWLRNCQDYFDLYGTEPRLQVRVANMHLIDAAALWSQTVESEIAIATWPVYKALILNRFAKDEHEMLLRQLFQIRQLGSVAEYTTQFSKLTDQLKAYGGTTDSLYFAMRYVHGLKDHIKAVVALHRPQTWDTAVILAQLQEDIAESASPQPVRRWDAALGHRPLMRTALPLPPPPGHVEQAAQPPAQRPVNEGARAPTTTERWHALRALRRSQGLCIRCGGKWSRDHQCPPTVQLNVLQELFDVFDHDEAPLIDLSDTDVASTSQLMVLSASAYVDHPGPKTMVFSGMLGSRSVSILLDSGSTHTFISTALAQQCSLLTALPAPLHVQVANGTVLQCDSCVPAVPWSVQGFQFKTDMKVLPLTTYDIILGLDWLVLHSPMKVHWAHQWIQIPYEGSLVKLFGQLSTLPAGSVLHLCHSVLTSEPVTAPSHPPEIHQLLAAFPHLFEPPTQLPPSRACDHSIPLVAGASPTYCRPYRFAPMVKDEIEKQVHEMLASGLIQKSSSPFSSPALLVKKKDNTWRFCVDYRQLNSITVKGKYPVPLIDELLDELGQASWFSKLDLVLGFIRSFYKRVKSTKPLFRPILHVFQLLSTHQWKLKLSKCAFAQQSISYLGHVISGAGVATDPEKVSAVSNWPVPSSAWDLRGFLGLAGYYRKFVQHFGIIAKPLTALLRKNTLFKWTPVHDQSFQALKQALCSAPVLALPNFSKPFSIETDACASGIGAVLTQDGHPLAFISKSLGPRSSGLSTYEKEYLALIMAVQQWRSYLQFAEFTIFTDQQSLEVTDGYSKDPVSLELIAKLSIDSQAVPGFSLRDGVLRLRNRIWIGANTPLQLRLLEASHSSALGGHSGFPVTYMGLKQMFAWKGMKSVVRDFYAHFVPLLHPFTAAGVAKVFLNQVYHLHGLPTAIVTDRNRIFTSHFWKELFQLADVKLQMSSSYHPQSDGQTERLNQTLETFLRCFANACPTKWASWLPLAEFWYNSSSHSAIGCSPFEALYGHPPRHFGISAIDSVSVPELSVWLQQRHVMNEVIHQHLCRAKERMKRQADKKRSERQFDVGDLVFVKLQPYVQSTLSPRSNQKLAYKFFGPFPVLARIGTVAYKLQLPASSSVHPVFHVSQLKKAVTFGVQVSASFPTDINLPRVLEAILQRRIVSAGTGSVDQGLIKWADWPEDMATWEALDHLRQVFPRAPAWGQAGSQGPGSVTTTSTAWPDGQAHGPRKGERVRKPSLKTTGPEWV >Sspon.04G0006640-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:19090902:19091363:1 gene:Sspon.04G0006640-1A transcript:Sspon.04G0006640-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRCFFPRDAAADGGRHQHQQSKAAAEALEQLHHGGRLLSREDVGGAVRVKIVVSKRELKQMVAALGDGAGGAVTAAAAAAAATSERHRRQRAATAGGGSSCAAGAAGGPGAEQRLQSLRRRSMRRAAEAARRMQASGEWEPGLQSIPEEVF >Sspon.01G0001070-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:2209948:2213441:-1 gene:Sspon.01G0001070-1T transcript:Sspon.01G0001070-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLGRRPWLLLLALASAAVAVEGDADPLYRACVEGCQKTGSLKETSIKHCMVPADGQPADKSWYTHEPLYLQWKDWNCKSECRYHCMMERENERAKLGLQPVKYHGKWPLKRASVFQEPLSASLSALTLVVQFNGWLSFFLLLYYKLPLRPETHKTYYEYTGLWHIYGLLAMNSWFWSAIYHSCDTTWTEKLYFSSAAAFLGYSFILAILRTLNLRDEASRVMVAAPILAFVTTHILYLNFYELDKDLNMKVCTVISIAQCLLWALWAVMTRHPSRLKIIFVAIGGAAAVLLEAYDIPPRWGYVDGRAICLAVAIPLSFLWWSFAKEDAEMRTSAILKKTR >Sspon.01G0002050-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:5952660:5953748:1 gene:Sspon.01G0002050-1A transcript:Sspon.01G0002050-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWTAAVVGVGLVYWFVWVMGAAEVKGKRAVDLKMGSITRDKVQDKYTQYWSFFRRPKETATTAASAEKVPAFVDTFYNLVTDIYEWGWGQSFHFSPSLPGRSHRDATRVHEERVADLLGARPGHRLLDVGCGVGGPMRAIAAHSGSNVVGITINEYQVNRARAHNRKAGLDSPRCEVVCGNFLSMPFPDASFDGAYSIEATCHAPRLQDVYGEVYRVLKPGGLYVSYEWVTTPLYRAEDHDHVECIHGIERGDALPGLRRQDEIASIAKEAGFEVVKEQDLALPPALPWWTRLKMGRVAYWRNSLVVRVLTMLRIAPKGVSEVHEMLYETAQHLTRGGETGIFTPMHMVLLRKPVSTEEAK >Sspon.05G0019840-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:87037693:87040428:-1 gene:Sspon.05G0019840-1T transcript:Sspon.05G0019840-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVDVDPERHQQAAPAPAPAAAGAPPTTTRTNNSASAVKEADFLWELRKYVLLQATLAASITYSAGMSPPGGFWPDNDGGHLAGDPVLQVSYPRRYGVFFYFNATAFVASVVTINLLLVHSLSRRRWWLRALQAAMILNQLGLMGSYAAGSCREVAMSAYILALVGMVSTYVCVHVLFFALYALRARGPGGKSPTPPEAPEAVERARKDLLIFATLVATVTYEAGLSTPGGFLSSDSPDQDHHAGDPMLRGRRFMAFFYLNTTAFVASLVIIMLLMSRTVTRHGFRSCALWVCTGAALVGLTGAFAIGSSRSVKTSIYVVGLVAAVLLYIGLQILVFLCEPVANWLGDVQGTLQKFLKLDQFPSQGDADEQAQGLSDQQGNLEADQLLKKSRMYLLLLGILAASVTYQAGLNPPGGFWQSNATDGLHHYLAGDPILHITYPRRYLAFFYCNATAFVASLVILILLLSSTFSTQGIKYYALQVAMILDLLGLIGAYAAGSCRQVSKSVYISVIVVPVFLYVGIHILVFMLEVFPNHATWREMVKDKLEQSVLEWLKRLFEPQTEEEDEEMKWKLEKSRKLLLLLAILAAGLTYQAGMSPPGGFWQQNMTGHVVGNPVLNDNYPRRYLAFFYCNATAFVASLAIIMLLVNRKLSTKGIQSYALRVCVILDLVGLMGAFAAGSARKVSTSIYVFVLVFAVLICIVLQVILVVSKSVQGLLQRLLSFFEVREDEAGDTLPHTAADAEAQDPWYKKLPKYLLLLAALAAAVTYQAAMNPPGGLWGDGQNAHIAGDPVLRSTYPRRYKAFFYCNATSFMASLVIMVLLLIKRVSSAQSAILALHAAMILDLFGLMGAYAAGSCRRVRTSAYILALVVGVSTYIVVLVVVSIGIAKWMERVMYGMRERLIRCFSLEDL >Sspon.04G0015140-3P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:19039709:19047903:-1 gene:Sspon.04G0015140-3P transcript:Sspon.04G0015140-3P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Class-I type histone deacetylase, Seedling root growt [Source: Projected from Oryza sativa (Os06g0583400)] MDASAGGGGNSLPTTGADGSKRRVCYFYDAEVGNYYYGQGHPMKPHRIRMTHALLGRYGLLDQMQVLRPHPARDRDLCRFHADDYVAFLRSVTPETQQDQIRALKRFNVGEDCPVFDGLYSFCQTYAGGSVGGAVKLNHGHDIAINWAGGLHHAKKCEASGFCYVNDIVLAILELLKYHQRVLYVDIDIHHGDGVEEAFYTTDRVMTVSFHKFGDYFPGTGDIRDVGHSKGKYYSLNVPLDDGIDDESYQSLFKPIMGKVMEVFNPGAVVLQCGADSLSGDRLGCFNLSIKGHAECVRFMRSFNVPLLLLGGGGYTIRNVARCWCYETGVALGHELTDKMPPNEYYEYFGPDYTLHVAPSNMENKNTRHQLDDIKSKLLDNLSKLRHAPSVQFQERPPEAELPEQDEDKENPDERRDADSDVEMNDAKPLDDSGRRGGIQNLRMKKESSETETIDQDVNSAAAEHSRGTGPVADGVGSSKQTFPNDTSPMAIDEPNALKVEQESSNKLQDQPTVHQKP >Sspon.03G0008450-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3C:37612951:37615930:1 gene:Sspon.03G0008450-2C transcript:Sspon.03G0008450-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHLPHRKFGVRLVRCGMLRPRHRVPVHFCCQNVPQGYELAMHVLYQLQSISVANSPESSASTSKHYEKFFISLARSLIDSLPASDKSFSKLLCDAPYLPESSFRLLEDLCMSEDNSQQLKDGDGDRVAQGLGTVWSLILGRPPLRHVCLDIALKCAAHSQDEVRGRAVRLVSKRLYDLSYATEKIEQFAIESLVRVANEHTVDADINLKSLKESTAEIEVDSQGTSVSGSQIPDIEFSENEPFKTSSVSPKQSAVSVSEAKRRTSLFFALCIKTLQTLTEDSNPSADLVAAVKQLYNTKLKVCIN >Sspon.01G0031020-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:107980817:107983976:-1 gene:Sspon.01G0031020-2B transcript:Sspon.01G0031020-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVLFETPAGPVQGMFGWARNDQGRGPTPWISSAWKHSRPTVKAERPIPAHPRLFPSPPSDPISKAPAMDLPASRGRWRRRSARSHLPLVVAILVLLLPASLLFSSAYSTMLRALFPAPSTPGGADTPRPRCGGSAELEGERFLWYAPHSGFSNQVGEFRNAAVAAALLNRTLVVPPCSTTTPSSSGAAPSSGSPILPRCVLLSGTTPCNSCLTGVDLSSIKSMVRTIDFRVFVSLWCGVDMRKTCFSQLCCAVSGGGSMPDDYNRCRSLLSGLGGSEKGCVYHVQDDCRTTVWTYQQNDDGALDQFQPDEELKRRKKISYVRRRRDIYKALGPGSQAEDATLLAFGTLFSGSYRGSESYFDIHESPKDQRIQSLLDKIEFLPFAPEIMAAGKEFAKNNIKEPFLCAQLRLLDGQFKNHWKATFSALKEKLKAVELEIKRNKTNGLIHMFIMTDLPPANWTKTFLANVATDEQYKLYTLKESDELVLQTAEKLMAAEHGVRSGFLPKIIESTEKDCDPVQLPEILLSIEESVCSCASLGFVGTAGSTIAGSIETMRKNNVCKLIYGKEFTTADSARKSPSCFERSQQDAG >Sspon.02G0003740-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:13383681:13387100:-1 gene:Sspon.02G0003740-3C transcript:Sspon.02G0003740-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPQPAHLPVRFASSVALLLVVAFSSLMRSSDAYDPLDPNGNVTIKWDVIQWTSDGYVAVVSLYNYQQYRHIQAPGWKLGWVWAKKEIIWAMTGGQATEQGDCSKFKTNIPHCCRKDPEVVDLLPGTPYNMQIANCCKGGVLTSWAQDPNNAVASFQVSVGQAGTTNRTVKVPKKFTLKAPGPGYTCGTAKLVKPTKFISQDGRRSTQAHSKIFFPWPSYSALTWNVTCTYSQFLAQRSPTCCVSLSSFYNDTIVNCPSCSCGCQNNSTAPGSCVEGNSAYLASVVNDPNKNSLAPLVQCTSHMCPIRVHWHVKVNYKEYWRVKITVTNFNYRMNYSQWNLVAQHPNFDNLTTIFSFNYKPLNPYGVINDTAMLWGIKYYNDLLMTAGPDGNVQSELLFRKEPSTFTFQKGWAFPRRVYFNGDNCVMPPPDAYPWLPNASPRLSASLLLPVVAAWTAFAILLMTHA >Sspon.03G0019400-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:60351559:60353487:1 gene:Sspon.03G0019400-1T transcript:Sspon.03G0019400-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHRVAAALVVLVAVAGVAAVSAQVPPPPVTTALLQQVAGSLQMYVDPLPQMAKIRGYGFQQGRVVPVNLTIGMFQKKWKFHRDLPETPVFVYGQCADSATFPGPTIVARHDVPLSVTWENHLPDSHILPWDPTVPTAIPKNGGVPTVVHLHGSAHPPQDDGSAFAWFTAGFRETGPAWTQATYRYPNVQPPGNLWYHDHALGLTRANLLAGLLGAYVIEKPEVDVPMDLPCDDDDLHLVVADRSFNVDGSLYMNSTGVAPSVHPQWQPEYFGEAVTVNGKAWPFLAVHRRRYRFRILNASNARYFNVSLSNGMPFHVVGSDASYLAAPVTVSSLLISPAEIFDVIVDFSVSPTAEVEMLNSAPYPFPNGTAPGPLTVRGHPERTARPPDNSTVPDREVPYANVASLGPTSETRYIVMYEYQTPSGQSTHLYINGLRLEDPVTETPRSGTTELWHVINLTGDNHPLHIHLGMFQAVKMQQLVDLQAFTDCMTQLNDAVKCGVDQHAVGPVVPVPDHEKTWKNVVKVPPGFVTTVVVAFKLVDTNQPFPFDATAEPGYVYHCHILDHEDNAMIRPLKLLP >Sspon.01G0023700-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:83943129:83947687:1 gene:Sspon.01G0023700-2C transcript:Sspon.01G0023700-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP binding protein-like [Source:Projected from Arabidopsis thaliana (AT4G19540) UniProtKB/TrEMBL;Acc:O49472] MGHSFFSMHQIASRLGLLGRRWYSSAAKGGPSIAGVGDIIAVASGKGGVGKSTTAVNIAVALAKEFKLQVGLLDADIYGPSIPTMMNLHAKPEVSEDMKMIPVENHGVRCMSIGFLVDKDAPIVWRGPMVMSALEKMTRGVAWGELDILVVDMPPGTGDAQLSMSQRIRLSGALIVSTPQDIALIDARRGANIFRKVQILGLVENMSCFKCPKCGEKSYIFGEGGAKRTAEEMDMKLLGDVPLEISIRTGSDEGKPIVVSSPNSASAQAYVNVAEKVTQRLNELAEERRMGPEILL >Sspon.02G0021820-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:74556791:74558313:1 gene:Sspon.02G0021820-2C transcript:Sspon.02G0021820-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVASGQQPHIQDSTPREPPMGSIGGHTATGDRRRRVLFFPLPYQGHINPMFQLAALLHTRGFAVTVFHTHFNAPDKSRHHAYDFVPVPISDCLPEGTPDVFQVTVEHILAVNRACEAPFRERLAALLSEQQAAREDVACLVADAHLLTLLDVARGLGVPTLVLRTGSAACLRMFAAFPVLCDKGYQPAQESQLEAPVKELPPYRVRDLPSTTSAYHGVISEVISRIVTAVTTSSGLILNTMDALESGELASLRRDLGVPVFDIGPLHKLSPAASSSLLLQDRGCLEWLDAQAPASVLYVSFGSLASMSAAELVETAWGIANSGHPFLWVLRPGLVRGMPTSTSEVPPLPDGFDAVTRGRGVVVRWAPQEEVLAHPAVGAFWTHCGWNSTLESMCAGVPIMARPCFGDQMGNARYVEHVWRTGLTLDGELERGKVEAAVAALMGPGEPGAGLRRRARELKSSAAECMAKDGSSCTNVDKL >Sspon.01G0031260-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1A:107501186:107501695:1 gene:Sspon.01G0031260-1A transcript:Sspon.01G0031260-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRPRTEQEPAGEVSLSLSLSTTDSSTTSDSTGTPSSSGAKRARRRGAVVATSGEGEFVCKTCSRAFTSFQALGGHRTSHLRGRHGLQLGVGARALKQHKQAAGSGGDKQPHQQHECHICGLGFEMGQALGGHMRRHREEMGAADAWVWRTEAPRAAAIDPPVLLELFA >Sspon.02G0014960-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:19469792:19470652:1 gene:Sspon.02G0014960-1P transcript:Sspon.02G0014960-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSIGGKRRRAARVMTVDGATYKYRPPAAAGDALRDHPGHHHLLESEEVRRLGVRARPLDPDAPLKPGKLYFLVDLPSLSSSSAPRRRPPPQRTWSGALHYGAGAGERLESLMLARRSASDVAASLMASPASSVEAVGGDGGGTVRLRVRLPKADVQRLVKESRDAADAAEKIMQLCVARDQQQRHHRSAPATPLVVPVAPPAITSSSMRMSLRKDFDNNSKPAATGKKEVRRPSTAMPFIVPHIDYGRICSTDRRVQIN >Sspon.05G0007020-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:15964662:15967478:-1 gene:Sspon.05G0007020-2B transcript:Sspon.05G0007020-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVRPVQLGLVCLLLLLAAQDAPAVPVPSPQCQRQCGGVGIHYPFGIGDNCSLSISFNVSCLDVQDGVYKPFISGGRFELLDISLIHGTIRVMNMISTSCYNSSGLMDDNLFGVNGSSSPYRFSDVHNKFTVIGCNTLAYIKDNSGTGYQSGCVSTCGNVSDLVDGSCSGQGCCQTAIPRAMGYYEVGFDSRFNTSQIWRFSRCSYAVLMEAEAFNFSTVYITTTKFNDTNMGRAPVVLDWAMDRNRSSRAMCDVAKRNETGTYACLSTNARVRGIPQRARVPVQLLQRENNLDAILPSHVKGQESNELIRGLAELAKQCLDMCGSNRPSMKEIADELDRLRKLSLHPWVQIDAEVIETQNLLLGGTSTASFETEAATTGYPIQEGENLPMNPRSSYYA >Sspon.03G0017190-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:78091686:78095549:1 gene:Sspon.03G0017190-1P transcript:Sspon.03G0017190-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RFLAASSISPNRPLLPPRLPTRSSQPTATPTALSLVRWDRANTASCSSPCFSGLLLSSPPSGAVVVVPRSAGRARSAVRPPGSGEIYCKMEVLRDMHLPPGFGFHPSDPELISHYLKRKILGQKIEYDLIPEVDIYKHEPWDLPAKCNLPIKDNKWHFFASRDRKYPTGSRSNRATLAGYWKSTGKDRAIKLNKRTLGTKKTLVFHEGRPPSGRRTEWIMHEYYIDENEYKVSPDMKDAFVLCRVTKRNDWALDNDNEVGNRNSHLEQLDDAATSAVSTVKPEDAAASVICPEESNHAATPVGSAELSNDGAQAAVTPDSTSPNGGNDLETWLEELLDPSPSFNLVADSGSADLSLTEQCAESSVSFCGSQSFWTFLSKLRCQSISFSQCCSYMSLKKQ >Sspon.06G0011510-3C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6C:49321751:49322500:1 gene:Sspon.06G0011510-3C transcript:Sspon.06G0011510-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRERAYELKGKVRQMFVGGTTMSTADMLRLVDTLERLGIDKHFHEEIAVALNHIHTSSEEQDSSNDLHVVALKFCLLRQHGFWVSTDVFDKFRDDTGNFSKDLATDPMGLLSLYNAAHMAVPGEAALDEIATFARRRLELAKPKLRSPMAEQVSRALEIPRPRFMRRLEAMHYVTEYEQEEGHNTAILELAKLDFNMLRSLHLKELKEISL >Sspon.08G0015460-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8A:62005530:62005991:1 gene:Sspon.08G0015460-1A transcript:Sspon.08G0015460-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPFPIEETTSVLAPRQEETAISPLGRNQSFLRLAGRRCAHSRRPSRSPMTLLRALVGAATAHALATDTMLTDGHRAPPAAAVRSLEQAAHSLRWPRTRSSRPRARHPSPRLLDASPYSHRVLLLVRPEPRSLAGAAPSSPATSARPLCAFAC >Sspon.03G0028170-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:7321396:7324920:-1 gene:Sspon.03G0028170-3D transcript:Sspon.03G0028170-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGSRGSPRIQSTAPAAGAGMDYDLAIGDQGLWAEASNNMGDDWSMFDEQTPIKHCTDFEFQFCDIGEIIVKDFEEGKETLQAKRRRMLQFCPENAEPIMVHNNPAQARPTPLKAGKNIIGSKKVRTAVAFPFELIKPCSFSGDVTLNDINKKIHAPPPYKIRHKCDEEPNSLQASAMTGKPVFHKTKIHTEGERGASRSPELEAK >Sspon.03G0012540-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:34836764:34841754:-1 gene:Sspon.03G0012540-1P transcript:Sspon.03G0012540-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding KTKQHVITISALRPLPSPLSNSVVATGRAVIAGGNQHVRWTSREATARKRSGTPVNIIVGSHVWVEDPNLAWIDGEVVSIKNNEVHVQTSSGKKVTTDRSKVFPKDMEAPPGGVDDMTRLSYLHEPGVLQNLATRYELNEIYTYTGSILIAVNPFQRLPHLYDTHMMEQYKGADFGELSPHVFAIADVAYRAMINEGKSNSILVSGESGAGKTETTKMLMRYLAHLGGRSGVEGRTVEQQSNPVLEAFGNAKTVRNNNSSRFGKFVEIQFDKTGRISGAAIRTYLLERSRVCQINSPERNYHCFYFLCAAPPEETQRYKLSDPRSFHYLNQSSCIEVDGINDAEEYLATRRAMDIVGINEEEQEAIFRVVAAVLHLGNINFAKGTEIDSSVIKDDKSRFHLNTAAELLKCDCQNLEKALITRVIVTPEEVITRTLDPASADCGKINVSIGQDPNSKQLIGVLDIYGFESFKVNSFEQLCINYTNEKLQQHFNQHVFKMEQEEYTREEINWSYIEFVDNQDVLDLIEK >Sspon.05G0007350-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:22989071:22990521:-1 gene:Sspon.05G0007350-1A transcript:Sspon.05G0007350-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASVVLAVVVSLAAVLPIVRAEIKTTPIVSDSRSVILFEEFGFRRGGHATVSATGISWRVPEGSQLQAVDTTLMGFFLISNSLFYKINNESDYAEATGGAFCPLTSKYVQRLFLFKEVAPDGTGRGSLTIDSDDQYTVLFSSCQEGVEVTMEVRTEMYNLRRSGAREYLPVGLLPLPWIFAAAAAVHFAFLGTWVLVCVKHRRTAERIHAVMGALLLFKALKLACAAEDQWYVERTGTPHGWDVAFYVFGFFKGILLFTVIVLIGTGWSFLKPYLQVHEHACVVVEREKNVLMIIIPLQVIENIASAVIGETGPAGRDWLAWNQIFLLVDVICCCAVFFPIIWSIRNLREASKTDGKAARNLQKLTLFKQFYLVVVCYLYFTRIAASAVAAVLSYKYQWAVNVSIETASLAFYVFVFYNFQPVEKNPYLYVGDDEEEAAGGQLEMESTFEI >Sspon.08G0025580-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:9532861:9538805:1 gene:Sspon.08G0025580-2D transcript:Sspon.08G0025580-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLSSSSGSVLPAQSGSPEAVEEHKCLNSELWHACAGPLVSLPAVGSRVVYFPQGHSEQVAASTNKEMESQIPNYPNLPPQLICQLHNVTMHADAETDEVYAQMTLQPLNPQELKDPYLPAELGSANKQPTNYFCKTLTASDTSTHGGFSVPRRAAEKVFPPLDFTQQPPCQELMAKDLHGNEWKFRHIFRGQPKRHLLTTGWSVFVSAKRLVAGDSVLFIWNDNNQLLLGIRRANRPQTVMPSSVLSSDSMHIGLLAAAAHAASTNSRFTIFYNPRASPSEFVIPLAKYMGTITGISDLDSVRWPNSHWRSVKVGWDESTAGERQPRVSLWEIEPLTTFPMYPSPFPLRLKRPWPTGLPSLHGGKDDDLANSLMWLRDTANPGFQSLNFGGLGMNPWMQPRLDASLLGLQPDMYQAMATAAFQDTTKQISSPTMLQFQQPQNIAGRATPLLSTSTSSISAAAAQSFTDTNVSSLSPSNTNTNTMQNTLRPFSSEAVSHLSMPRPTAIPVPDPWSSKRVVVESLLPSRPQVSSQMEQLDSTQASIPHSSALAPLPGRGCLVDQDVNSDPQNHLLFGVSIDSQSLLLQGGIPGLQNGNDSTAIPYSTSNFLSPSQNDFPLDHTLNSSGCLDDSGYVPPCSDNSDQVNRPPATFVKVYKSGTYGRSLDITRFSSYHELRRELGRLFGLEGQLEDPLRSGWQLVFVDREEDVLLVGDDPWQEFVSTVSCIKILSPQEVQQMGKQGLELLSSAPARRLGSSCDDYVSRTQESRSLSTGIASVGSVEL >Sspon.02G0051020-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2C:71295178:71295576:-1 gene:Sspon.02G0051020-1C transcript:Sspon.02G0051020-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIISATPPVAGEPPSVVPFACKAATAAGGSTFTEDFCLSTLQGSKSSVGAADYADLALVAVDLATANATATEAKIDALLASNNISGAAVVEGLQSCRALYVTVVGQYQPECRGVRAVVPAAEGGVAGGTGG >Sspon.01G0007000-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1A:18934982:18935216:-1 gene:Sspon.01G0007000-1A transcript:Sspon.01G0007000-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding NSDTVFFTPIRRTERGRSAAKNPGVGKRIWVPPPGHQPGLPQRGRRWRSNPASRHHHQTLNGRVIPALTAALCPCRAL >Sspon.03G0002020-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:4854294:4859661:-1 gene:Sspon.03G0002020-1P transcript:Sspon.03G0002020-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARNPGRTVFIGNLDEKISERVLYEILIQAGHVVDLHVPSDKESNSRKGYAFAEYETEGIAQYAVRLFSGLVRINGKTLKFVIAGHDKPSSNGNNSVMLNHVPSSNGNNPTQIMHCSDMPVSRTPAYPVVNGRIGGYGFSPTPYPYGFHPQGGPVHNHGQVSNSMYDYNRQGFGSVLNIAHRGPVMNAFAHGAANQPIIILVRKKNEMVAEGYHFFKDVYRTEKLVSNSPDPKLFYSGTRTAYSRTKLYHRSVHLGGFSKNSHPSCADGGGADGTQEATAGAGDGGVHDVFFCVAATSRGNRNNISCFHTNAVGKDAKSALELAELCLGHAPEHHRWHHHTVDGARTFAFLSADDGCTYFAAADPTPGAAEVVRFLERVRDACDAAPRKRLRDEAVAPVARQFARTLLRTAAGSSSGVADAELPGASLAPACEKDEDHQRAGERRRALQPGESALPGWRSWWRHAAVVIVVDVVLCLVLFAVWMGVCKGFRCLTR >Sspon.04G0015500-3D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4D:66833705:66836418:1 gene:Sspon.04G0015500-3D transcript:Sspon.04G0015500-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MYATLYSYPSRVSLINLVHSFQHGIKSLVFLLPFLPPSLQPPGRQGPPPSPAGRWAPWPSLLLPPSSSTSLPSPTLPPGPRSLLLPLRRALWSRWPPPPPLAPPTQVRAWATPWRRRPPVPPRAQVRPILVYQRRARPATAPLPPPVAPPSPASPPEPSSSPPGTPGPSPQPHTARVEPPVYHPPLLHRHLRHVHPMVTRHAAGTLQPRALAAMPVDSQVSPVPSSVREVLLDPHWCHAMEEEYAALLANRTWDLVPRPPGSNVVIGKWIWTHKRRADGTLERYKARWVLRGFTQRPGVDYDEIFSPVVKPATVRTVLSLALTRSWLVHQLDVKNAFLHGLLTEIVYCSQPAGFVDSSCPDMVCRLNRSLYGVKQDPRAWNHRFAAFLRSLGFVEAKSDTSLFIFHHGVETAYLLLYVDDIVLTASSESLLRRIITSLQQEFAMKDLGVLHHFLGVTVAPHPAGLLLHQRQYTLDILERVGMTDCNPCSTPVDMQGKMSEAEGNPVSDPTAYRSLAGALQYLTFTRPDITYAVQQLCLHMHDPREPHLTALKRLLRYLRGTVGYDLLLHRRSSSTEMANSASGYAVFLGGNLVSWSSKRQQVASRSSAEAEYRAVANGVAEASWLRQLLAELHNPLLRSTLVYCDNVSAVYLSTNPVQHQQTKHVEIDLHFVRDRVAIGEVRVLHVPTTSQFADIFTKGLPSSTFTTFRSSLNITSG >Sspon.03G0019840-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:84426427:84426870:1 gene:Sspon.03G0019840-2B transcript:Sspon.03G0019840-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVARLLNKPPKAKAKAKSLWILVRRLLLCKGRNKPPPPSAAEEDGEEGEKSGLLSRSSLEQLLVMTDDCDPGDDGGGAKKHGQPVAVLLPALARPEAAPAAAAVSSAGDAHRGAAAVHHRRFMFGGFRRRLLMRRPWRPMLVAIPE >Sspon.02G0029020-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:103445721:103446378:-1 gene:Sspon.02G0029020-2B transcript:Sspon.02G0029020-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding HDVAAERRVGGGSGARGEAAGGAAGPARRGAPAAAPRGRSVRLHGRGRARRLHRRHAPAPAVPVPAGGRRHRGGVLGVRGRRRRVGGGQGRHAAPGGHAALVRLRPRAGLRLHGAGRGGRGGAGRGGVRRRRAGRRVGDERQRGGGVRAGRRRGGARLRGVRLPGDRRARHRLPRRLLPRHR >Sspon.02G0029980-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:96529508:96533036:-1 gene:Sspon.02G0029980-2D transcript:Sspon.02G0029980-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AGMREVVTVQVGGFANFVGSHFWNPQDELLGLADDPGADPVFRTAALDMDVLYRAGETLQGVATYCPRLVSVGFAGSLCRSGNVTKSVAKPHERNLFLQSLSEEEQNPSSSNGRNNARENIEDKDLVESLESGVNFWTDYSKVQYHPQSLYELYGSWTDFDKFDNYGTAKEVVSEWSQMEEMNERLRFFVEECDHLQGIQFLVDDSGGFASVAAQFLESIADDYTNTPVMLYCVRNPDPYGSSRNQRETIIRSLHDAVSLSKLSYSCNLMVPIGLPSSGYLSPLLSIKDEKHFHSSAICAAAIHSLSVPFRLQHAGPASDSAHSSGKLDIGELVHILSDQGRQNMITALDVAMPAPSFPDRKDLRNIQRSLHSLTPEISDEDEDPYAVESLVVHGALDTGGKRASISQVKDSICSALEGRATKPKFSHLSVSPCPLPIPLPFPSIFSSSVGQQGEILSNSHPEGTRPKGSLDVVSVPMAARLRSSSAIVPFIERRSTSLQRLGVARGSLGSQVLCDWGFGKEEVGDMGEHLAKMLRPFYPEMDLTSDSD >Sspon.06G0012560-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:50288912:50293169:-1 gene:Sspon.06G0012560-4D transcript:Sspon.06G0012560-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLLRLAAGAASRSSLTRRVLAPHRRLAAAAMEERWSDWEEDDDEEAARRARASAPAPGLDPAGGGPGGVQWVVMGRPGPQKHAHAARLAEVLAVPYISMGTLVRQELSPASHLYRKIANSVNEGRLVPEDIIFGLLTKRLEDGYNKGETGFILDGIPRTRMQAEILDEIVDVDLVLNFKCADDCFMKKRSRGDICSHCGQLFDVSNSASMNCSPNLGSYTWHSQVEPAGVVGLEASRMERMRTYAKQTKQLEDYYKKQRKIVELKTSARPGETWQGLVAALHLQHLDSPPTPHKLTA >Sspon.02G0030890-3D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2D:92473453:92474990:1 gene:Sspon.02G0030890-3D transcript:Sspon.02G0030890-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding KKSNCRVPAAGFVANSRRRVCISQGESSRSLVLLEKSSRDPPTDQQPPPARPARRQVPEAQARIMAETQARRRRERRGFIRDAPFEVVKALLGRLSPKWILRMRSVGKAWRDKLCDETLLTGLHREELLRRLICFDSVACPDRYLRLRDYRVESLDLRSGKLRPVFQFPDSEFYDFDDNDPWGRDAPHIEASRVDYRKMEKENYVEDFKQTLLVHGSLNGYLLVSFAFRSYVINPATRHWVWLPCLEILGDLQVVGFYEHVPSGDYRVLCLTGRRIGEEEPTCWYHVLHVSPVQLRYIGSPISPAAPEDQGLAYGVELPCISPPIQFKRNHHLHWPPQDIQRHHIHMLVFDTQREEFSWKRPPPVRDHVMRLLEFPNGDLGLSLSRMNKPTMELWRLVDYENEVWVPVYRIQLALQQMPRGVLRPLDHFWIPAVVSPEGDVLIQSSTNWVLHCDRNGNLLRQFWFPEPVTWLPVRHVLRESLLPHPMFRAPKVDGATKPPFFLGLCSDPSS >Sspon.02G0027510-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:122604077:122607537:1 gene:Sspon.02G0027510-2C transcript:Sspon.02G0027510-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARKERPLLISGQATSARITRSQAAANRTRSGLAPYVPLPLKTEHKHAAKGKMKREASDENAAADAGASAPQPKRRTVLKNLGPSQKVGQSINKQCTNKIPKLPPLAVGGSSLVNDSNSAEETQKVDLLAQKEKQIVLLKGAQPLQNTERNKAGACDETFFNGRNARNILETAALKAGVSNGLNIVDIDKNNGDPQMCVTYVAEIYRNLMASELIRRPRSNYMETLQQDITASMRGVLIDWLVEVSEEYKLVADTLYLAVYLVDQFLSQNCIQTRKLQLLGITRMLIASKYEEYSAPSAEEFCNITDSTYAKAENPTLEHYTSYKSSNLRTCVRALQELQHNTSNCPLNAIREKYGQQKFECVANLRSPELLQSLFS >Sspon.08G0006150-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:19153744:19156129:1 gene:Sspon.08G0006150-3C transcript:Sspon.08G0006150-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTVERQQLPPSWQGNGDADAEVEVEEDHQWPTNDGPLPIFLKFENVEYRVKMTLKNPLRAAKVAFASQMRVDQGSSCKHILKGIAGSVDPGEILALMGPSGSGKTTLLKILGGRLGGGIKGQISYNDTSYSPCLKRRIGFVPQDDVLFPQLTVEETLEFAAFLRLPACMSKQQKRDRVHAIITELNLERCRHTKIGGAFVRGVSGGERKRTSIGNEILVDPSLLLLDEPTSGLDSTSASKLILILQRLAKKRRTIMTTIHQPSSRMFHMFDKLLLISDGHGIYHGKARDCMHHFSSLGFVPEIPMNPAEFLLDLAAGNLDDISVPEALRGSPDPEEFRSQVIRHLQLKYRAGGEPPAGRRAPTEQLRLAVRARKDHRRSIGWLQQFAVLSRRTFRERASDYLDKMRLAQAVGVALLLGLLWWKSQTGTEAQLRDQVGLIFYICIFWTSSSLFGSVYVFPFEKLYLVKERKADMYRLSAYYASSTLCDAVPHVVYPVLFMVILYFMAGLRRTVPCFFLTLLATLLIVFTSQGTGELLGAAILSVKRAGVMASLVLMLFLLTGGYYVQHIPKFIRWLKYVSFMHYGFNLLLKAQYHGHLTYNCASRGGCQRLQSSPSFGTVDLDGGMREVWILLAMAFAYRLLAYFCLLKRISLTPL >Sspon.02G0010110-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:22763202:22769135:-1 gene:Sspon.02G0010110-2D transcript:Sspon.02G0010110-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQDSEQSIHVVLLPNPSQGHINPILQFGKRLAAHRGVRCTLAVTRFVLGQSGQPSSGAGGAVHIAAISDGCDRGGFGEAGGIEAYTARLESAGSETVGELLRSEAEQGRPVRALVYDAFLPWAQRVGRRHGAACAAFFTQPCAVDVAYGHAWAGRVEPPLVLGEEEPLDLPGLPAGLRPGDLPTFLTDPDDRGYLDLLVNQFGGLDTADHVLVNSFYELQPQESDYMASTWRAKTVGPAVPSAYLDNRLPDDTSYGFHIFTPLTETTKAWLDARPARSVVYASFGSIAKPDAAQMSEMAEGLYNCGKAFLWVVRASESSKLPENFTDKTKERGLVVTWSPQLEPVWVMAGTDSSSTHVLLLSYPSQGHINPLLQLGKRLAAHRGVRCTLAVTRFVLGQSGQPSPGAVHVATYSDGCDSGGYSEAGNEQEFLARLESAGSASLDELLRSESAQGRPVHAVVYDSFLLWAPRVARRHGASCAVFFTQACAVNVAYSHARAGRVELPVAAGKGEGKALPELPGLPAGLEPADFPTFLTEPDGGCRAYLDLLLQQCQGFEVADHILVNSFYELETKEAEYMASRWGAKTVGPSVPSAYLDNRLPDDVSYGFHLLAPMREESKAWLDERPPRSVVYVSFGSLATPSSAQMAEAAEGLCSSGKDFLWVVRASETAKIPGGFADKARGRGLVVTWSPQLE >Sspon.01G0027670-4D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:91467005:91468330:1 gene:Sspon.01G0027670-4D transcript:Sspon.01G0027670-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AVAKPLVAKSHELSLAYDPTSLTKLEPPLVLQEFVNHGGVMFKVYIVGDAIRVVRRFSLPNVDEGDLSNNAGVFRFPRVSCAAASADDADLDPVLLGLRLFNIDMIRQHGTRDRFYVIDMNYFPGYGKMPGYEHVFTDFLLSLAQKEYKRRPSYGSLSSGEG >Sspon.06G0016760-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:75598111:75598770:-1 gene:Sspon.06G0016760-2B transcript:Sspon.06G0016760-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKKVNLQWISNNATRRATYKRRSQGLEKKASELATLCGTKLCVVTYGQGEAQPKVWPSDEEAKELLKKFNSMLDVSSLKKTKNQEEFLHSRSVRLHEQVSKLELENRERETLDLLHDSMYGGRPGLVGTNKDELLSLCEMVEMKMRKIKARLQQLVVGQGVLPKPLPQMMLPASSALQTQASCYTYNEMQSMALLEEHRHLQPAWRLANVAPNYSQFA >Sspon.07G0006270-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:7782209:7784633:-1 gene:Sspon.07G0006270-2P transcript:Sspon.07G0006270-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRQATKDAGVIAGLNVMRIINEPTAAAIAYGLDKKATSSGEKNVLIFDLGGGTFDVSLLTIEEGIFEVKATAGDTHLGGEDFDNRMVNHFVQEFKRKHKKDLSGNPRALRRLRTACERAKRTLSSTAQTTIEIDSLFEGIDFYSTITRARFEELNMDLFRKCMEPVEKCLRDAKMDKSSVHDVVLVGGSTRIPKVQQLLQDFFNGKELCKSINPDEAVAYGAAVQAAILSGEGNEKVQDLLLLDVTPLSLGLETAGGVMTVLIPRNTTIPTKKEQVFSTYSDNQPGVLIQVYEGERARTKDNNLLGKFELSGIPPAPRGVPQITVTFDIDANGILNVSAEDKTTGQKNKITITNDKGRLSKEEIEKMVQEAEKYKAEDEEVKKKVDAKNTLENYAYNMRNTIKDDKIASKLPAEDKKKIEDAVDSAISWLDSNQLAEVDEFEDKMKELEGICNPIIAKMYQGAGAGMGDAAGMEEDAPSGGSGAGPKIEEVD >Sspon.06G0028350-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:5667808:5669064:1 gene:Sspon.06G0028350-1C transcript:Sspon.06G0028350-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPTSPYKPKRILITGAAGFIASHVAIRIVQKYPEYKVVVLDKLDYCSNLKNLLPVSSYPSFKFVKGDIASADLVSFILATEGIDTVMHFAAQTHVDNSFGNSFEFTKNNIYGTHVLLESCRMAGPGQIRRFIHVSTDEVYGETDGDAVVGNHEASQLLPTNPYAATKAGAEMLVMAYGRSYGLPVITTRGNNVYGPHQFPEKLIPKFLLLAMRGQRLPIHGDGTNVRSYLYCEDVAEAFEVVLHRGEVGHVYNIGTKKERTVMDVARDICGLFGLDADEAIEFVDNRPFNDQRYFLDDEKLKSLGWRERTHWEEGLRKTMEWYVANPDYWGDVSGALLPHPKAGGGVMPDHGLGSKEEVKGMLDRFIVTPKSHQGQAKTTVASSPAAAAGGAEQDDAGAAAAMPYGNGANGTTTVH >Sspon.01G0021250-1P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1A:25408988:25412788:-1 gene:Sspon.01G0021250-1P transcript:Sspon.01G0021250-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVAKIAMEWLQDPLSCVFLVTLAVVLLQLRRRGKAPLPPGPKPLPIVGNMALMDQLTHRGLAALAEKYGGLLHLRLGRLHAFAVSTPEYAREVLQTQDGVFSNRPATIAIAYLTYDRADMAFAHYGPFWRQMRKLCVMKLFSRRRAETWVAVRDECAALVRGVAVSSGGGEKAVNLGELIFNLTKNVTFRAAFGTRDGEDQEEFIAILQEFSKLFGAFNIGDFLPWLGWMDLQGINRRLRAARSALDRFIDKIIDEHVKRGKSPDDADADMVDDMLAFFAEAKPAAAVNGGAAANGDDLQSTLRLTRDNIKAIIMDVMFGGTETVASAIEWAMSEMMHSPDDLRRVQQELADVVGLDRNVNESDLDKLPFLKCVIKETLRLHPPIPLLLHETADNCVVGGYSVPKGSRVMINVWAIGRHRGSWKDADVFRPSRFTPEGEAAGLDFKGGCFEFLPFGSGRRSCPGTALGLYALELAVAQLAHGFNWSLPDGMKPSELDMGDIFGLTAPRATRLYA >Sspon.06G0036190-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6D:90896567:90899242:-1 gene:Sspon.06G0036190-1D transcript:Sspon.06G0036190-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVASAYFDELVGRGLIQPEDINYSGEVLSCTVHYMILKLIRYKSVEENFVTAIDCTQTNIRLADKVRRLSLLFGDADDAEQPANLRLSNVRSLVFDGMFKILPSIVEFRHLRVLILHIWGDQDNISLDLTTLRELFGLRYLQMVCNVTLDLQTEMQGLKYLETLKIDCRVTEVPQDIVHLPGLLHLSLPGGTNLPNGIERLATLRTFECFDLTSNSAETVLSLGELTNIRDLRLTCSDPQSYNLKKNMDQLSSVILNLRKLKSLTLLLASTSFKENTLEGSTASSTDISFDLSNVSSPPILLEKLELMPQICILPVLPQWIGKLRLLSILKIEVMGLSGGNIDILKGLPALTALSLYVRTTPAERVIFCKDGFPVLKHLMFICPALCVAFEQGAMPNVRRLKLGFNANKLGECSLVEAGFENLSVLEVFCAQIGGAEFCAHEFSRKAVQSALEDTFRQSRSPPIIDVQLVDWLFYSDKETGAGSATQQTAEEPSTEDIITPFPHAGDDPDPDLLCLRLRQSPSPPLNPTIADACSPPLRRPRGCGGSKLMGPLFSGCSGIWSTTTKVKTAASAKSDKGHQDGLLWRHGLAPCHGGQVSVAVVQANFLLEDHCLLKSARLAGTLVGVFDGHGGPEAARFACDHLLPYLQKASLDQQGVTMDAMRKAFQDTEEGFLTVVSQHWETKPSIATVGTCCLVGVLQRGTLFVANLGSSRAVLGNLLPTGQIAAEQLSNEHDVSREAIRHEVKAQHPEDPDVVVLKHNVWRVKGMLQISRSIGDAYLKHAKYNSERIHRKFRLSQRISKPLLSADPDIICRKLQPGDRFVIFASDGLWEYLSNEEAVKIVHSHQPAGSATRLVKAALKAAARKREMPYSDFKKIDRGVRRHFHDDIT >Sspon.01G0045850-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:26836833:26844645:-1 gene:Sspon.01G0045850-2C transcript:Sspon.01G0045850-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGGRGKLAAAADAAPAAVPAGGVAVAGAAADEVVRRVRPTEASERRRAEVVDYARRLVGSALGCEVFAFGSVPLKTYLPDGDIDLTVLGNTSYDSTLVNDVSCILESEEQNSDAEFVVKDLERIDAEVRLIKCTIGNIIVDISFNQTGGICALCFLELVDRKVGKNHLFKRSIILIKAWCYYESRLLGAHHGLISTYALEVLILYIFNLFHKSLHSPLEVLYRFLEYFSKFDWDNYCISLNGPVALSSLPNLIVEATVTHTSDLLFDKEFLKSSMDKATVPPKNSDSCYMRFRPKHLNIVDPLKEHNNLGRSVNRASFNRIRTAFLYGARKLGHILMLPSEVIPGEIYGFFKNALERNGIGVRPDICSNFAFHPSFGTVEAILEDISSMKISDGEDENLTSCHLSKSLGDKNLYIGTNGPTHLSRCSPGVHNSVLSTDLSTRSSHFVHNAPKLHSSFCQDDSHADSEKCYLDHGMEQVSHSTAKAFCIDDKTSIQSQVSVSNPQLLSTSAASNASELATKQKNWSATSVGKQHFPPSPSSLPDLSGDLDSQFRCLRQVQYHLEYLFDGFLQSVQEASSADKFHKDPFHSPACSIFLGRDAASPRLQLLSPAQSNGRDSSPVSCSQSTECVSQHSQNESPWDMAYHQSISLPSGTDVPPNGVLPSSSCADSEVSSVSWFHGSEDSAMMHGNGVHTYFTKSCYTHRERLTSSRENGKILPNQSVTYKSNQKSAPGARFVSRKEQAALDSRTKETIIGQALKIHGYIQSDRKIVEKLSCHTQKEFVRNDNEARQLPKYNQDVCLNKNFLQNRYHDTDMESTRAPRATNQMPKYQPFNIQNTTESDRASLSKSLPRKHSSGTWKEYEILDRPTKQRPICGPLKLENRRHVWDCTKKTSAGKQNCNNSKDCLSFVRGAVPCSHTASTPNGLEKEVKSNKLVDNGSLLRPILPELLLSCHDINSQETPPSSNAQSYFPAVNGRPLNTIEFGSLGPFALPLSSLKSNGGTNTQTTSKVFTDTSPFVLQRSRAAASENRPPGLCKVGDEDEFPPLRAGIRCVYSYTKQVY >Sspon.07G0034390-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7C:72457227:72460696:1 gene:Sspon.07G0034390-1C transcript:Sspon.07G0034390-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAPSNLLVTLILGDLLQALKWVLMLFSSQWAGGSCSHVRIAVYSTMPSDDAATATAGPCPSACNGTPPSPPPAARRCCPLRLQQYATHPLRLRRVPVLPPPARDAAAPSANGTPRILSACGARQLSLRLPVMQPLPLPARDLILAIVYTLVAPWLVEQWCKRSPFARTPSPVTSAGLRTIHLAPRGLKGPGRKNVISAGKNFRQDCLLGEGGFGRVCEGRLENWQLRKKKKTTTTTTTTTSPGHGVA >Sspon.07G0009080-3C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7C:20900550:20908069:1 gene:Sspon.07G0009080-3C transcript:Sspon.07G0009080-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding TSRSTPISPTAAAAMAAPPPTTPRLLLSPTSKDLLTAASFASPPLPLRRSRLPAGRLRLRPVLSAFLSPSFSPS >Sspon.04G0020890-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:75841547:75842608:-1 gene:Sspon.04G0020890-2P transcript:Sspon.04G0020890-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKATSLSELGFDANGASSGFFRPVSDDSTPTSHHRRRLTKVSVIGAGNVGMAIAQTILTRDLADEIALVDALPDKLRGEMLDLQHAAAFLPRTRLVSDTDMSVTRGSDLAIVTAGARQIPGETRLNLLQRNVALFRKIVPALAEHSPDAILLIVSNPVDVLTYVAWKLSGFPVSRVIGSGTNLDSSRFRFLLAEHLDVNAQDVNAYMVGEHGDSSVAVWSTLSVAGMPVLKSLQESHSSFGEEALEGIRRAVVDSAYEVIGLKGYTSWAIGYSVANLVSSLLRDQRRIHPVSVLATGFHGIPDDHEVFLSLPARLGRAGVLGVADMELTEEETRRLRRSAKTLWENTQLLGL >Sspon.05G0011890-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5A:34775507:34776611:-1 gene:Sspon.05G0011890-1A transcript:Sspon.05G0011890-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GDLGQTEWTASTLAHVSKTDYDMLLVPGDLSYADTQQPLWDSFGRFVQRHASRRPWMVTQGNHEVEAAPLPPVPGSPPPFAAYGARWRMPHEESGSPSNLYYSFGAAGAAVHVVMLGSYAPFDASSDQYRWLATDLAAVDRRATPWLVVLLHAPWYNTNAAHQGEGEAMRKAMERLLFDARVDVVFAGHVHAYERFTRVYDNEANPCGPVYITIGDGGNREGLAFNFDKNHRLAPLSMMREASFGHGRLRVVNATSAHWSWHRNDDADSVVRDELWLESLAANAACRQHAAPSAVNSWDDE >Sspon.01G0059680-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:64793029:64799218:1 gene:Sspon.01G0059680-1D transcript:Sspon.01G0059680-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAITVVRDRAHVCRVLGKDRRHDGGHPPSTFAECPAISHSCPMTWHSAKARGLFRPPLAGTSPSSNPRPPPSARPLPAAAAIPAATGEARRIPPRRPLPASARARRSLPRPATRGAAPVPASPLPGQAPPDPVAPRCAPPHRAPPRHSPPTPPSPSRSPGQPPPAGAVVGFVASAVSSASALRPAATMRAVRCFPMSELAPWMLIEVAPISWTLLDARCRTVAAICRNCCHHCVLAALPTAGAFHASPEGATTGAGPSIAELVCCGWLVLCFWPFVRGLVPIGTGSSGILRRRKNYTAQPPSPSPSPVPKQQHPPTDLQPIDDVCGEQAFGDYFNIQNIGCDSPRTSSAPTVTTAGGSGPRNVNSRYQRAREERPRDANADAEEGSSDARRQRARSSCSGSGSRRCRPPLVLQRDVPEEDRRTSDSSDDDQVRATDDEGEAHEGGEAGGSSTASAMARVYLRGPSKLPGPPLPPLRPVIRPQTDRHWEVVSPGDSRNPNHILGLVCRYYYPGIVTHKGTVGPAKSWDHYKSAPDADSGDKQERVRRGSRCEEGQEERAEKVMVKSARKRITDMHYEECLTCIIKYYVKQLGQKINKTQARQAPMTREQFLEMIPWWCESYRDCWEMIVDKWFTEDYIQEHEAHRERCMQAIGPTHHQGNRSLGAYKQAWSASHGGQPLSTFMAYGLARKGKATSDVTFSPDDLPESYSNPSAYTCISSYAEEARIGHGKKHGRYWLGDDTLESSPVPSLSQIRASTPSGGPAIRQLPSPSQQWVDALQASPTENERMAQGLWDLAARTEVAERERQAEQAERERQAKQLAEITTFLQHFGKVNGVPVPMFAPAPPPVTASPPPSADSNNPSQAQVGDDGAFPSPGTQFPPHL >Sspon.01G0001780-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:4698982:4704587:1 gene:Sspon.01G0001780-4D transcript:Sspon.01G0001780-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding CLTAGLLFPCARSTLFLLTGPTLRKQQHQALRQAPQGRTLRLPAIAKMRFWSLLVVAWLPVLQVLLVGLLGALLASSRLDVLTADARRNINEVVYIVFVPSLVFSSLSSTVTLKDILGNFYIWTHSYSVMKRSATLYKVAKMRKEDALTGTSKEHFGQDAGGDYVAFLPLSSEDLSDDVGSNSVSSPLLPNDPRASFWGYYLRRAEELVVEILKELRSPPSVAAVVGFSVGAVDKVKSLVTEEGSPLRVIQDSTKLLGIMPSIVYVSDWIAEQPGIGKTVVKPIVVISIIVISFVLLPICGIGIVTAAKKLGLLPKSPLYRYVLLLQSTVPPAMSVGTIAQLFDVGEEECSIIFLWTHLVAALALTLWSTLWAMRLRFNYFHMNPDSLEDSIADVCSGLDYSLVRLVDYPITTFVSLWSKDPANAYHPWVI >Sspon.03G0031420-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:45478342:45478706:-1 gene:Sspon.03G0031420-2C transcript:Sspon.03G0031420-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPQALALASFLGAALLCLGAAAASPIMSVAGKFAMEGMGMTLDQGIDHLLVFAAVFVMCLFR >Sspon.02G0012830-3D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6D:12656763:12657785:-1 gene:Sspon.02G0012830-3D transcript:Sspon.02G0012830-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase PEPKR2 [Source:Projected from Arabidopsis thaliana (AT1G12680) UniProtKB/Swiss-Prot;Acc:Q8W490] RPSSALPLRSAPIPPQKPRPLRHLDATSLRAPPAEPLPLPASQSDPRCCPDPSPSLSPPPARDAREPEAAPPKPKLGVGDSEPPRARARGRHGAGAPEAQGRAPGVLRRQVPPRFSLPQARLPRFRAAAVPRRADVAAPAVVMTAPAASGASASAGVFLPGRGLKRKVGCIDSATRIGRRKRLESEYDLGEEIGHGKFGSVRVCRPKAGAGAPRGGEDEFACKALPKNGGDTAHREVEIMQHLSGHPGVVTLRAVFEDADAFYLVMELCHGGRLLDEVAREEKLSERRAANVIKELMAVLKYCHEMGVVHRDVKPENVLLTKSGRLKLADFGLAVRVADGK >Sspon.04G0021270-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:74746995:74748136:-1 gene:Sspon.04G0021270-1A transcript:Sspon.04G0021270-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box/kelch-repeat protein SKIP4 [Source:Projected from Arabidopsis thaliana (AT3G61350) UniProtKB/Swiss-Prot;Acc:Q9M2C9] WRALLCSEEWHLCRKRNNLDESWVYVICREAGIKCYVLAPDPSSRCFRIMHMIEPPCSGRKGVTIEALDKRLFLLGGCSCVHDATDEVYCYDASSNRWSAAAPMPTARCYFVSASLNEKLYITGGYGLTDKSPNSWDIYDPATDSWCAHKNPMLTPDIVKFVALDEELVTIHRAAWNRMYFAGIYDPLDRTWRGTENEIALCCSSPTVVVDGTLYMLEQSMGTKLMRWQKDTKEWATLGRLSDKVTRPPCALVAIGRKIHVIGRGLSIVTVDVDTAARVDGFLVTTSVGPLVEEDLTPERCM >Sspon.08G0022230-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:46976259:46978338:1 gene:Sspon.08G0022230-1B transcript:Sspon.08G0022230-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNTCLGFGFRGGLRADDVADLMQAADSNIGHLMSGGVLANRVVASQYQTNTEDIDESSAEELHDATSYAKDNAMLIQVPVSGTATKTFWRLSDEATRISRKLALILRNHHSVCKCLTAPLQVSNVWVGSTGNVKLRGSRFTTKGFSIERVRDDYKNLSRVLKQLISISGGYINKLPPDYREFLLLLMKDNLTTEDEFLIVNNAALLPLKNRTEVFLMLYDRIVKYLGRKNRAQRNRILSNLPYENDWLDTATANTEINQWVVKSDVQKKSTKGLHLIYCVSTEM >Sspon.04G0023500-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:13393091:13394853:1 gene:Sspon.04G0023500-1P transcript:Sspon.04G0023500-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MANSCLSTTAAHSALRLLADAAGAQLQLQLQLHHASSSVFPRPARAHHRLVAAPAVPVHRRRALAVTAMASQEEAAAMAVEELEEFTEREGQLQEQDEGQEEGGAVEASSDDSVPSVAASTTTTKLYFGNLPYNCDSAQLAGIVQEYASPEMVEVLYDRTTGRSRGFAFVTMTTVQDCELVIKNLDGSLYGGRTMKVNFADRPKPKLPLYPETEHKLFVGNLSWTVTSEMLTEAFGRCGNVVGARVLYDGETGRSRGYGFVCYSTREEMDEAISSLNGMELEGREIRVNLALGKK >Sspon.07G0012630-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:44234986:44238015:1 gene:Sspon.07G0012630-4D transcript:Sspon.07G0012630-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEISRAPELVIKAWKLADIVGSEHIQILRMPDPEASSSKHTPNGYALAVHRYWVALLALSSNAVHKLWKWEHSGKNPCGKVMTTFMAPPPAATFLAFYPIDNNIIAIGREDSSIQIYNVRTDEVKIVLTGHQKKITGLAFSQSMEVLVSSGADAQLCVWSIDGWEQKKSRYIKHPSNGCGALVGDTMVQFHYDQMHLLVVHESQLAIYDWQLECLCSWFPRDALPAPISSAVYSLGCLLVYAGFCDGAIGIFEAKSLMLQCRIAPSAYIPSLITSGGETVYPTVVVAHPWKPNQIAVGMSDGAVHVLEPLDTDDVQVGSDASSEQCQPSNVSRSGGDSQPSV >Sspon.06G0030910-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6C:56207589:56208397:-1 gene:Sspon.06G0030910-1C transcript:Sspon.06G0030910-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKRVGVNITASISTPQITASILTPQITASILTPQITASAYLIKTPFPFPRSVSFASAATHRPSAATAASLPGDSTAAGRRKSVDASTPVPAGLHHRARRNAPSRSPSSHPFPSSAVSGGEGGPPPLAGGPAGRRASGLRAPLPAIR >Sspon.04G0024860-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:22560202:22564237:1 gene:Sspon.04G0024860-1B transcript:Sspon.04G0024860-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARHGGYRSHDVSRRRELDLERSRRSKEYRRPSRDRDSERRRDGSRGREVSNGYSRHRSPYPPPRSRPSRRKDDKEPGEVSSDSGSESGGRPPVPRQDGVVGVCRDGGALPPSKKRKHSPGLHDMNVSKLQVRDEVRSRRGPDALAAEVPLPSPPPLSDTIPVAAAGGCLPMNSEVLLVPNDAERRDEITEEEEDCATRNIFTSRWADADEEEEEVIVPKKKKSVSPAHLPEQKSTKKVMSPELGEVMGSKTSRRSSSSSSNSMGSENCNIEADRGDCMDVEKEDDIDSSAGCSLGTHSGSDVRRSGSPEAVRRPRRCFNMLQGCRSIDEFERINTINEGTYGVVFRVRDKKTGEIVALKKVKMDKEKGREGFPLTSLREINILLSFDHPSIVDVKEVVVGGHDDDTFMVMEYMEHDLKGVMEAMKQPYTQSEVKCLMLQLLEGVKYLHDNWVLHRDLKTSNLLLNNRGELKICDFGLSRQYGSLLKPYTQPVVTLWYRAPELLLGAKEYSTAIDMWSLGCIMAELLSKEPLFTGKSEIDQLDKIFRILGTPNEERWHGFSKLPGAKGNFVKRPYNRLRDKFPAVSFTGGLTLSEAGFDLLNRLLTYDPEKRISADDALNHDWFCEVPLPKTKEFMPTFPALNEQDRRVKKYMKSPDPLVEQQMKEQGSIGDRGLFG >Sspon.06G0010980-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:59504589:59506431:1 gene:Sspon.06G0010980-1A transcript:Sspon.06G0010980-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding HGLDRRGRGRGGGRGGVHVRDAAGVGVHPAHDAEERAGAGPAGGAAGGGARGEGAGARGGGGAAARGAHQPRRGGHGGPHAPPPRLLRRRQVPDGGQGRQVRAAVLRRPRRQVAHPQRGRRLHGRAHAHEPGQGPHGELYYLKDAVLDGGIPFNKAYGMTAFEYHGTDPRFNRVFNEGMKNHSVIITKKLLEFYTGFEGVSTLVDVGGGIGATLHAITSHHPQIKGINFDLPT >Sspon.02G0008420-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:27240842:27244141:1 gene:Sspon.02G0008420-3C transcript:Sspon.02G0008420-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWSRSSRIAVTAAAVLLLLLPRFCSPAGDKLIQGESLSPGETIVSDGGAFVLGFFAPSNATPGRQYVGIWYNNIPVQTVVWVANRDAPVTVDERPGNSSSSAPPPSLALANDSSNLVLSDAGGRVVWTTNVTAPTSSSGTAVLLNSGNLVLRSPNGTTLWQSFDHPTDTFIPDMKVGLRYRTHDGARIVSWKGPDDPSPGTFSYGMDPHTSLQMLMWNGTRTYWRSSAWTGYMTASRYHATTGTVIYVAVVDSEEEIYMTFYVNDGAPPTRYVVTDAGRFQLLSWNRNASVWTTLESWPSRSCSPYGSCGAYGYCDNTLPVATCKCLDGFEPASQAEWSGGVFSAGCRRSQALAPCGGEGDAFLAMPNMKVPDKFVLLGNMSSGDECAAECRRNCSCVAYAYANLRSSSAKGDIARCLVWTGDLVDTQMIGALWGITAETLHLRVPAGFTDKRGSNESAKKLVPGSVQTSSELGEGNPTEDLEFPSMQSFEKEFSSIQFSDIVVATNNFSRACMIGRGGFGKVYKVTLHSKRKSLLDWPTRLGIIKGVARGLLYLHQDSRLTVIHRDLKASNVLLDAEMRPKIADFGMAKIFGDNQQKANTRRAWKVWNEGNASDLVDPSIAESCALDEALLCVHVGLLCVQDDPNGRPLMSSVVSILENGSVSLPAPEQPAYFAERNCNTAVEGDDVQTSRNSMTMTVLQGR >Sspon.04G0013770-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:53266683:53267003:1 gene:Sspon.04G0013770-2B transcript:Sspon.04G0013770-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLGPSMVTLQQQEQPHKAGKPAAAAAAAGGGHREHQEQQSSSNCGGGSSFRMPLHYPRYKKADYEEMPEWRVDCLLREYGLPVAGDLREKREFAMGAFLWPDQY >Sspon.05G0005630-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:18123833:18128436:-1 gene:Sspon.05G0005630-1A transcript:Sspon.05G0005630-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVLFEERIRKSEEGCRSAARGKDERRRQANLADSFLADLDELSDNEGYPEEDNAEEAGREEEDDDDMPDLESLNYDDLDSVSKLQKTQRYNDIMQKVEDALQKGTDFSSQGFILEEDPEYQLIVDCNALSVDIENEIIIIHNFIRDKYRLKFPELESLVHHPIDYARVVKKIGNEMDLTLVDLEGLLPSAIIMVVSVTASTTSGKPLSEDNLEKTTEACDRALTLDAAKKKVLDFVESRMGYIAPNLSAIVGSAVASKLMGTAGGLGALAKMPACNVQLLGAKRKNLAGFSTATSQFRVGYLEQTEVFQSTPPALRTRACRLIAAKSTLAARIDSIRGDPTGKAGRNLLEEIRKKIEKWQEPPPAKLPKPLPVPDSEPKKKRGGRRLRKMKERYAQTDMMKLANRMQFGIPEESSLGDGLGEGYGMLGQAGSGKLRVSAGQSKLAAKVAKKFKEKSYGSSGATSGLTSSLAFTPVQGIELSNPQAQGNLLGGGTQSTYFSETGTFSKIRRTQ >Sspon.04G0016530-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:64465601:64474332:-1 gene:Sspon.04G0016530-2B transcript:Sspon.04G0016530-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribonuclease J [Source:Projected from Arabidopsis thaliana (AT5G63420) UniProtKB/Swiss-Prot;Acc:Q84W56] MVALVSLSSLCPCGVARRRAASASPSSSAAVSISCCAVATPSSSGKDIHRTRELSLMCAGPQESRTPRRRLRKTEGVTKSLEDSVKRKMEQFYEGVDGPPLRVLPIGGLGEIGMNCMLVGNYDRYILIDAGVMFPDYDEFGVQKIIPDTTFIKKWSHKIEAVIITHGHEDHIGALPWLIKKRLKEFGIFLSSRLKIDESPVDGKIFDRQALEELSKEGVTLVFVGMSLRTYLEAAFKDGKAPLDPSTLVKAEDMDAYAPKDLLVVTTGSQGEPRAALNLASYGGSHALKLSKEDVLLYSAKVIPGNETRVMKMMNRLTDLGPKIIMGKDSGLHTSGHAYREELEEVLRIVKPQHFLPVHGELLFLKEHELLGRSTGIRHTTVIKNGEMLGVSHLRNRRVLSNGFVSLGKEDLQLMYSDGDKAFGTSTDLCIDERLRIASDGIIFVSMEIFRPQKEHGLAQSGLKGKFKITTRCLWLDNGRLLDALYKAAHAALSSCPVNCPLSHMERMVAEILRKMVRKYSGKRPDVIAVATENATAGFPEHLDAKSSGNFGPSSAASHLSRSPATSLEGSYKTHPDNPEVDAEETLPEAVRTRPDDATTSSNGEAFFSSDLHQPKTLEHFWESFKSPTAVKIARIVNGGNKQNLGKIGIMGKDSPIQSAPAPVKSSKKNKWKPEEIKSLIQMRGEMNEKFQSVKGRMVLWEEISDTMLNQGISRTPAQCKSLWTSLVQKYEESKKDTESMKTWPYFSAMDRILSQQGEMATKG >Sspon.06G0005430-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:18249181:18254096:1 gene:Sspon.06G0005430-1A transcript:Sspon.06G0005430-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATASNPFPFPSRRPPDDSLFYAVYPLPLPSGLPAPALHASLQSLHLSLLSHLAPFLSSHLFHRDPFTLSLPADPAAPCALCASPPVPHLHGALRFGDSLPDEWLAVSLLFALTRAFPDIAARAWDSDGEFLLIEAAFALPRWLDPESAPNRVFIFRGELHILPPSLFPRRPALAAVYDDSIDTRAADAIQAAIQRRIAGLPEKAAENLHTARVIVPAPVAKVLKEEPCLIARAVEGFYDRDIDTMKHAARMEKFLKGPGGEGVEMVRTTVRMTRAMYAQLVQQNFQAPRGYPMPRREEGPEKWMEAELGMKIACGFEMMYQERRRQGEEGKGSTWEVYRKSLEAAGCFEGLLPGSMEYKRVMEDAMQYYKSSNLYSRTREILSEPVRRIDEVLSMPYSADEFKDTDLPPSDDDSWLHGGDDELNVELRERQKELEEYEAAKKQRRSQKQSVSSSSKSQTDDFKLGEITESMQEFIRKMSSFEGAEIPADRRDMESVDLDVNQFFKAMESVLGGGSQEQAGSDDGFDRKSSSSDMDFDDSDEDNDFAEELGDKDVDESFMESYSDALNKELSSTTLEKSFARAPRPETNNEGPSDAAATDAEMTPVDVDLNLVESILNSYSSQQGLPGPASNLLGLMGVKVPPDAKKS >Sspon.02G0031790-3D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2D:89286274:89287218:1 gene:Sspon.02G0031790-3D transcript:Sspon.02G0031790-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLVFCLLLCLLGAGQQAVATAAAIHVPKHESSAAAFDYIPLPDGVTGAESLAFDRRGQGPYAGVSDGRVLKWGGSARGWTTFAYSTSYVHNPSCKASPARPGDAEDVCGRPLGLQFNVRTGDLYIADAYHGLLKVGPAGGEAKVLAAKADGGAFTFVNGVDVDQSTGDVYFTDSSTSYTRRHNTQILLNRDSSGRLMKYDARAKRVIVLMDGLPYPNGVAVSADRTHVVVAHTGPCQLFRYWLKGPKAGTYELFADLPGYPDNIRRDSREGYWVALNREKIDGADAAAGKHIVGVRLDAKGVQREEMTADDKR >Sspon.04G0033810-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:66315854:66316903:1 gene:Sspon.04G0033810-1C transcript:Sspon.04G0033810-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGFAGDDPFGFGYDFGDDGDNDPFCYDPFEDYGGDGDEGEGLIGGPFALDYGDGGEYCISGFAFRDGDDDGGRVLVGEEQAPLSSHDEPILETLGRSFDSDGGLSQFYPHLVSALELVEDTSGEEEEEGAMISGNARGGGGSEFERGAVVEEVADDDVDGIGLMLGGLTLDPRPVVGGFQGLVDAVEEATSDDDMGEVGHVGGLMLSGFDLVGPRVVTRPFRMVVGGEDTDSDDADWNLVDALAGRVGEAARRLPASRAVVDGLPEVALSDEEASHGCAVCKDGVAAGQSVLRLPCKHYFHGECIRPWLAIRNTCPVCRFELPTGDAGHDWRQSRTGVVSLAQQSAPAQ >Sspon.06G0026050-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:75173179:75174789:-1 gene:Sspon.06G0026050-1B transcript:Sspon.06G0026050-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSRRPGPAELSLKARQAVHTCVLSTRWRHLWRSVPCLDIDFDEFNSKAPPSDSNGFCLSSDDDSSSSDDDTSDSSSDRWNADPDDDDNNHKDWERFTKYKDWEDFEDFAVTLMRRCNIAQLDSFRLQTDGSRAPEFGNRVAAGWLHRAMKYFTPDRASHQGLGSGSWRLKRLHLCHVLLDNHFLNRVSSVCRSLEDLELDDCSCRIQSITSHSLKTLVLKKCQFRNLSEILSPTLKTLVIDGGSNTDDCVLVIMAPSVAYGSARSKIADDQFKLLCSISNSINLELSGVGSTVLGKERRFQEFKNLRNLLLCNCDLSDDFKTLVFFLRSSILEKLTLQFCKFPKYSNRKNGTPILNNTSSSELSGLDLLCENLKVEIISGYSYEPHLIRLLQRVSVNLSKKNIKRTKVN >Sspon.07G0028990-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:72511456:72513387:1 gene:Sspon.07G0028990-1B transcript:Sspon.07G0028990-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMDPPPPLHADRQRRCREYLLALEEERRKIQVFQRELPLCLDLVTQTIEGMRSHMDSVVVGSEETVSDHGGPVLEEFMPLKPTLSSSSSEDDDEHDSTHHQHCAAATADGGVDADKNDEAPGDPGTAAARTSTRRRLLPQPETKKAMPDWLQSVQLWTQNQRPPLPQHQDELLPCRPVALNACRKPGGAFQPFEKDKDKKEKEKKRAELPLPASSSATAAASSAVVGDSCDRAGATDTDTAGNNKRSGDKETSIKGGKDKEAQSSSQAPGRKPRRCWAPELHRRFLHALQQLGGSHAATPKQIRELMKVDGLTNDEVKSHLQKYRLHTRRPNSTAAVQSSGTVVAPPAAPQFVVVGGIWVPPPEYAAAAAAAAAAAAAAAAKPQQVHDLAGDASGTTTTTANKVYAPVATTALTPGLLQPRPQRQSSSCSGGRRSGDACSGSPAVSSSSQTTSA >Sspon.01G0028450-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:99426947:99432140:-1 gene:Sspon.01G0028450-1A transcript:Sspon.01G0028450-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGGRSVACCAAVLLAAALLLSAPTTTEAYDSLDPTGNITIKWDIMQWTPDGYVAVVTMYNFQQFRHIGAPGWQLGWTWAKKEVIWSMVGAQTTEQGDCSKFKGNTPHCCKKDPTIVDLLPGTPYNMQIANCCKAGVINTFNQDPANAASSFQISVGLAGTTNKTVKADEVLERGWAQGNPSSNEDSPNLQAAIDGPGKWTGQPLVQCTSHMCPIRIHWHVKLNYKEYWRVKITITNFNFRMNYTQWNLVAQHPNFDNITQLFSFNYKPLTPYGGGINDTAMFWGVKFYNDLLMQAGKLGNVQSELLLRKDSRTFTFEKGWAFPRRVYFNGDNCVMPSPENYPWLPNASPLTKQPLTLPLLEQMVPNHPPRRKNPGLHGVAALKPRSSEQGICHPWPAMPSIQVHPSRLLKIDEKLHQILTGNGEILAMKEQNDQKRDRLTF >Sspon.08G0013400-3D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8D:54063220:54064262:1 gene:Sspon.08G0013400-3D transcript:Sspon.08G0013400-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADDESWRVPSIVQELAATVPEPPSRYLIPEQDRRADQLAGAEMPDPVPTIDLQRLLASDSADEEATKLRSALLSWGFFLVSGETTSSVLELLRVSLLHGWDGVLALRFVQVTEHGIESSLMDSLTAASREFFRKPLEEKQAYSNLIDGKHWQLEGYGNEQVYTQDQILDWCDRLHLRVEPVDERNMDRWPGHPQSFRGLLHEYSQSCKRVKHGILRAVARLLDLDDDDGIINQFGDRGSTNARFNYYPAYPRPDLVLGVSPRIRRRRCRPWSPQEVLPLYGCLTCTRVDL >Sspon.05G0013030-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:35008018:35009078:-1 gene:Sspon.05G0013030-3C transcript:Sspon.05G0013030-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor FER-LIKE IRON DEFICIENCY-INDUCED TRANSCRIPTION FACTOR [Source:Projected from Arabidopsis thaliana (AT2G28160) UniProtKB/Swiss-Prot;Acc:Q0V7X4] MDMEHQLHQLLHLEHFMPSSPAGFFAVDSGQDPAHFPNGGLFVEPQVGATDDGGWVEDLMQLGEELFGGQGDGDDVNGTGGMGDEHHYQQWQCDDDGGSPDGPPPTSISLDGDTSPPSGEQGAGEAGELASEPHHPHRDDGDDVSGATRKRRDRSKTIVSERKRRVRMKEKLYELRSLVPNITKMDKASIIADAVMYVKNLQAHARKLKEEVAALEARPMSPASRQEQLPQHGRRAGAGRRQQQLERHDAVTGARVTHVGAAEVGEGRFFLTVECERRDGVAAPLCAAAESLACFRVETSSIGRSGPDRVVSTLTLK >Sspon.05G0022010-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:4817911:4818546:-1 gene:Sspon.05G0022010-1P transcript:Sspon.05G0022010-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIAQYSSMMKASCVVLVSSLLLATLAVAGASSSSPRSFLGRHPELVLGRKGRELGQSSGYHYQHQSKHMQQHEEVAMEVKKPEEHEEAKARWTANKGEDAEAGLIYSVDYSGVAMHAASPPTAKPKHRHPTKP >Sspon.02G0042740-3D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2D:81204575:81205739:-1 gene:Sspon.02G0042740-3D transcript:Sspon.02G0042740-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VWCTSMAAVRSTVSSGAVKLQAVSQLHNKEFGNLYFDVLPEFLLLVLVLVAEVRDITSYICSNWTKVALICHHVKCASLQEQQTKYQWFGLLLRCRCKIVNNWDEKIGQCSVLVLHPTTRTNFIGLLSRVFHHLPDEKMKVNMPAAVKACIMDSLRSTASNTVCQVGNVRTFLQRSQAGTAFLWACNGKMSTSDMILTWHIATCIFEVRHPYWDDIEQGSSPISIQHKSAATHLSRYCAYLMTWSPELLPDEVAWTKSLYEDVKEDTERVLNVRAATGPPLMPKAEYHDLVQLLREKSNHLVVKNGVRLGKQLVELVEGEETAWAILAGFWVEMILYITPSNNLKGHKKAIARGGELITLLWALLFHAGISSRPGEAASTAGSGIGV >Sspon.02G0019610-3C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2C:66065340:66065559:1 gene:Sspon.02G0019610-3C transcript:Sspon.02G0019610-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVTAAAAGACCRGRDDHAAGEEERSSSAKDAQVVVPVGGDGAEVVEPCVIAVDDSSVDRALVTALLRRSKYR >Sspon.07G0013670-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:51619594:51621499:1 gene:Sspon.07G0013670-1P transcript:Sspon.07G0013670-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSTETPPPPTLRDVIGHDDDDDYDDHFIAEEEEEEEEDEEEWDMSKRMSRLSMEGSDGGDADDEDGGDNEEDDEEEEEDEFEVRSDVNAAATTYGPWPPSDYGPRGAPSSASLPGTPERGGAQATAQSSPWWPGPSAKDKEYASETEARWPPDAAGRGGRRQRRARMAREVWLERAWRMRKQRRQLQLREEAPVVVLGGGDSPASRGGVAMDMEEVRACRDLGLDLPCDWTVEIPCCYALSGVDTASSGGNSPASGSWRISSPGDDPKDVKARLKVWAQAVALASASRLGS >Sspon.03G0001730-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:4303162:4306064:1 gene:Sspon.03G0001730-1A transcript:Sspon.03G0001730-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGRRRGLVWSLPVARSDVLGKLGPAFGIGAGCGVGVGFGLIGGAGIGAGFPGLQLGFGAGAGCGIGIGFGYGFGKGVAYDERGRYSNIRRPFQNSRSIAYNEQFDIMFDELMETTRKLIKATSKEIDKWRRM >Sspon.07G0038250-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:73721687:73724215:1 gene:Sspon.07G0038250-1D transcript:Sspon.07G0038250-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSHTKSFGPNRRAATGSRKKSPILNKSWNEFQKTQANMRPVANKANHKVNFGSQSGKQFPSKNINIGQPSAKIVNNSLVAKGASKSNHVSTSKGMKQPTASSFEKCSILVEKRKALLRSTINGKAKLNETHALKRKREANDASQPTHLKAMPGEKVLIKISGVQNIALKLEFSKGVYMVPKDSCTTEGNDRQDEIVFKENVKFGEYHKERDTTKSKHTLDSTLSDIHCNSRSISSYPFGRDLPKFQRDNHSMNLTFENVEKRGVIERNMGQFANCNGKNSNSMSKKMKEPLSAENPKALDDDSNVDTMSCKQMKKLKHSHVGVEEDTVSVLKNDHAKVSVSSCVEQQCYSCSKPIDQPTWSGILKTNDKEYVSLDGHLSTKSCEKAWSLSKQLLKVVEVKKLSRLEVWPKAWEGKHYLWGVFKRREDKGVVVEEPLSVVGHCEVEKQQNVVSDQPNHMLSGEEPNQEMIRMASVIPLERHMLPPNKSTQEVEANHLKGPTNKGFDPKAPSEEGGQAEGTPVATDAVAFPASHGEINPSMGHTPGRLMGFVIRKTLKLDRIIREIEQEGALVFAMQGEMVGAGSWTVSKATATQK >Sspon.05G0013590-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:47855967:47857273:1 gene:Sspon.05G0013590-1A transcript:Sspon.05G0013590-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEADNMVAMGFGGFYWQSPPRFLLEPLDLADMVDSRCLFSSLFLQFLPLGKRSSGLRNKWFLGAGSSMYVPTNEVDELVSEHCSSNRREDYSSFPEGTNSCSTAAVVPSPPLGVTTRNMVMERNRRRKLNEKLYALRGVVPNITKMDKASIIRDAIAYIENLQEQERQLLAEMSALQSSNDGTAAAVKMEDATTGGAGYDVDSFPWRKKLTKVPSVSFTDNPTSSSISSPPVRILEVQVSQAGESVAVVSLCSSRGRDAVGKICHALEPLRLRVVTASIAARGNTIFHTMFVELLALANRWHHRGDVARDDIWNGALSSSFPPKVGAGLDFILRFQFSTRTSKV >Sspon.08G0003300-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:8805702:8808632:1 gene:Sspon.08G0003300-1A transcript:Sspon.08G0003300-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKQGGKAKPLKAPKVDKKEYDESDLAYLQKKKEEEKALKELKTKAQKGAIGGSGLKKSGKK >Sspon.06G0013020-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:68007494:68010101:-1 gene:Sspon.06G0013020-1A transcript:Sspon.06G0013020-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MIESNAPNLSRFFVRGDTEFSLGKALQTKKLDMDCPNVVHYARAKLPSIVPNLETLVIGIRRSYDFLSLVSFLDASPSLETHALHSSYNIPDGSLGLFNSVNVIEDYTNTLDYCTLTILSFLSSGDIN >Sspon.08G0001150-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:1761390:1763757:1 gene:Sspon.08G0001150-4D transcript:Sspon.08G0001150-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANYHHYQMAVHAAAAAWREPDSPQLSFVSGCSSLFSISTLQDDDDDRAAAVVIAGHALPSTPVSFAGFAAGDDVDMEVQQASGDDRRSIRMMRNRESALRSRARKRNLEKEVRRLVDENLKLKKQCKEVHAKTYTENHACQKHALFSFGGQLAALVLPTKSSLRRTSSTQF >Sspon.03G0010520-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:44043378:44043772:-1 gene:Sspon.03G0010520-2C transcript:Sspon.03G0010520-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein RADIALIS-like 4 [Source:Projected from Arabidopsis thaliana (AT2G18328) UniProtKB/Swiss-Prot;Acc:Q1G3C4] SMSLSSSRAQWTAKQNKQFEQALAVYDRDTPDRWHNIARAVGGKSADEVRRYYELLVKDVEHIEAGKVPFPAYRCPAGYDADRLRHLKI >Sspon.02G0017610-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:51563604:51564449:-1 gene:Sspon.02G0017610-1A transcript:Sspon.02G0017610-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LLPGFGVVAAAGRFSTTTADDSQRLAGKVALITGAASGIGAATAREFVSHGAKVVLADVQDDQGRALAAELGASAACYTRCDVTDEAQVSAAVDLAVARHGALDVAFWNAGVVGSLSRPALGALDLADFDRVMAVNARGVVAGVKHAARVMAPRRRGSIICTASIAGVLGSVTPHPYSVSKAAVVGLVRAVAGELARSGVRVNAVSPNYIATPLVMRILQEWYPERSADEHRLIVEKSINEMEGVVLQPEDVARAALYLASDESRYVNGHNLVVDGGFTVGK >Sspon.01G0001250-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:16701101:16703395:1 gene:Sspon.01G0001250-1T transcript:Sspon.01G0001250-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLAFPLVSHLPRRKPAAVRPRPPPARRYASSFSTPPSPLLPQLPPASAYVHLPFCRKRCHYCDFPIVALGSSSAPSHGGGEAAEDPRIVEYVRLLLREVSATRPVSDDGVPLETVFFGGGTPSLVPPRLVATVLDALRARFGLSACPEVSIEMDPGTFDAAKLRELVGVGVNRVSLGVQAFQEDLLRACGRAHGLKEVHEAVGIVTACEGLQNWSMDLISSLPNQTEEMWEESLRCTVDARPTHVSVYDLQIEQGTKFGQMYAPGVFPLPSDTESANFYKIASKRLSEAGYNHYEISSYCKPGYECKHNLTYWQNRPFYAFGLGSASYINGVRYSRPRRTKEYAEWVQKLEDGTWSHESRSSDVKDMALDVVMLSLRTAWGLDLQSFSKSFGKSLALSLCNTFKPFVESGLVIAMDMDRRALPHIDFELDLQNEDDFGSRVAFIRLSDPDGFLLSNELISLAFGIISP >Sspon.04G0028750-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:61738490:61743327:1 gene:Sspon.04G0028750-1P transcript:Sspon.04G0028750-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNGRESTTSRWPELATLRALARDAAEQLQLPRVAGMSASPGAAGRREPAGWRGRDREDHVHGHGKTAKVMHLLLWGPNGQESTTSRWPELATLRALARDAAEQLQLQLQLPRVGMSASAGAAGGREPTKRQPAGWRGRDREDHVHGHGKTAKVMHLLLWGPK >Sspon.08G0002280-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:3179451:3181599:-1 gene:Sspon.08G0002280-2B transcript:Sspon.08G0002280-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTLNLSTNVPVDAVVAADILKDCSKTVARIIGKPESYVMVSINGSVPMSFAASEEPAAYGELVSIGGIGPGVNGKLSAAVAEVLETKLSVSRSRFYIKFDDVQGSNFGFNGSTF >Sspon.08G0005090-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:15117382:15118595:-1 gene:Sspon.08G0005090-1A transcript:Sspon.08G0005090-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAVGELLASVAPKTVFGKLISLTLDATWNESAVRLNFSEDYRSIKDKLSFIQSFLKDAERQFPGPPEGLALSTKATKGLVLCFERLKMHHKMKRMRETIEEIIDLQNKFNFIEHTSNNDEEVIRKRETFSDADEVPVGSMEEKERLISKTTLAQMVFNDDRTRKFFEIQAWVYVSVKFDIKAIGQSISSQLDKSSSPAGKTLQATQEDPDELEKLRTLLRGAKAGSKIIATTRSVKVDKLMNGSLTIELGGLPDTYCWELFRAKAFPDGKVDADKERIGREIVQKCRGIPLAAISLGYLCQTTN >Sspon.02G0050460-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:61969892:61975568:1 gene:Sspon.02G0050460-1C transcript:Sspon.02G0050460-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGPGAALAAAPRLLVLVFLCFLAGGARPSPATDALRRVSPGAAAGGLCQQLLLPQGYPCTEHTVHNAGPPVFLQHGLFQGGDTWFINSNEQSLGYILADNGFDVWIGNVRGTRWSKGHSTLSVHDKLFWDWSWQDLAECDVLAMLSYVYTVTQSKISYVGHSQGTIMGLAAFTMPEIVKMISSAALLCPISYLDHVSASFVLRAVAMHLDQMLVAMGIHQLNFRSDTGVQILDLLCDDEYLDCNDLLSSITGQNCCFNSSRIDYYLEYEPHPSSTKNLRHLFQMIRKGSFAKYDYGWWGNLRRYGQLHPPSFDLSSIPESLPIWMGYGGLDALADVTDVERTIKELRSTPELLYIGDYGHIDFIMSVKAKDDVYVDLMRLHYIDDPHFKVDV >Sspon.04G0004300-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:12925190:12928454:-1 gene:Sspon.04G0004300-1A transcript:Sspon.04G0004300-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAVLPSLLIGGGLSVSVSIPTATKAGSQSIPVPHLSTSTLRSTARHRRRRRLPLLASSSESPPTPLAAASTESQSRSSRWVVVMDTPPAAAGGSGVSRAEAVDYYAATLAPVVGSEKEAQMRIYEASWDGTYEFRCEIDEDASEELAKMHGVLSVHPDMGNKSEKDNHSLSLSRANLVSISDGASTSSSGKNEFWLVRMEKPGVEVVTKAQMRTGCPGDDGLKSSEETGAADIKTKRLFVTVKIIMDRISKRSKGYAFIEYTTEEAGGAALKAMNGQIINGWMIVVDVAKTRSRDRLSGGPNQAFRPHYQTR >Sspon.02G0054160-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:95522782:95525664:-1 gene:Sspon.02G0054160-2D transcript:Sspon.02G0054160-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLAEALRARLLSALRPWLAADPAELRVEPGLLARSRAVARGLQLDVAALNAAAGAAQSPSWPATFDRAAAAEVELAASPWAAPAIDAVVRGVDVALTLREPAPRKQRPDYKEWVSKEKKRVLASLDPQGEMLHEMIKGVVHSLEHRFASVFSTVLLNCGQVRFDDVMVQVRYLDDSHVFVLRTTDLRFGPEPVFRSTLFRGLVGSLVSSRKKNKLSVECAEFEFLMKENDSVDCSASFTEVSASVRLDNLQLSGFGIHVPKACWEITPKFAPSLMVILDIASQKEDYAVRNGRELWKAAAQKLGNSVVCRRFSLSKAVSCAAFWQSYVHAYVLLLTLVGYPSDKIVVRNCAGVSRNKKLLDTIRHQWETVVGLEDKIPVEAIARARCAARSKLIASQQLIKQESSKAVLVSSLLKILTPFLYLWRFLVFMWRSVWVTMGPRNKASYTYIFPGSTYDVDVERHVSVHLGELSVTLLPVVECFTDMKRSDRRKTQIELASVHLVMKSSCLVYSAGCTTQSFFLVLGELKTYLSSVPKLVQADSSNNPRRSSSFGTAESTKDTDSRIILWCDSASMSPFSRQQADGSFYFNDDLSTSLIKSNMDELWSNWMIISNAYNESGVIHHEKPSVIFEFKSFLIDPYKSISGYQQCRFTIGRLNLDLDYLCASSTYLLYRQFMHHKQPKELSERSADFSNSGDTYLESTSGLVDKLRSSNHRIKVAMLDVIPENTLHIVALVAGPSIRLFFDKYNMLQNSKDVYKPLLSQMSSRSCIVFSLAYVECALWPASLSSTPPRANSHTKESHKTFVSAKEPQEHHQLQIESSARNVYPGHVMLDACFIFAGLNLLIDNLEANQQSHICGPLSCSFLISASR >Sspon.05G0031320-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:4354107:4354633:1 gene:Sspon.05G0031320-1C transcript:Sspon.05G0031320-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding NVQENVDPNVQQSDELLGAAKLKKKEVQPKKLRRQRTWLDKLRKCKRKPTKSPAPTNKKAEQKQGDDVLQPQAEFEKDDSNKSLLVEFQDYNVIGSLGCFTQLIAAPTYDADNLEF >Sspon.02G0012500-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:81155615:81155950:-1 gene:Sspon.02G0012500-1P transcript:Sspon.02G0012500-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPVEVGAQGTVGSLVLREVEYFRRMEVVAGGHGKTSSSKAVAASGSPRSNSKKPAKKKKGAVAGNGSFLPRMCSSAEVAEHPGSGRRERPSRVRYRPLGNDGDALPQLD >Sspon.08G0001840-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:3242415:3248692:-1 gene:Sspon.08G0001840-4D transcript:Sspon.08G0001840-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTSFPHRKLLHSLVYWAVQRCRMSESPCRLTVSLKRPAEPARSSPLRVSVSDTGVGSKLEEFLELDALARETPVEKWDGTLSITTTGINDEAIYRYRINLQEEISTCELFVEQTGNADSRNVCLTQDSDDVHHSVTASSIDQLVSGLKDYTLFHGNTCDKCGACSLNRDLLKIGTGAANHVDRRKSKGLHVEVVIVIAHTASDLTCCMVNCPSTQVLYFEDFVPCQISQSSFDVLMSTDWQSYATAMQERQGSQQDRYLVRKALKSALSHLKADHAGDFLSCHGQRVREYVPDLAESIAGLILFQDEEFQDECIALLGLGSEQDISEGAVQSSISEKMIRIIEMNDTKENAEDNVPYLFECEKLDENSELDEEDGDEDMAFDF >Sspon.05G0029700-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:34231363:34234649:-1 gene:Sspon.05G0029700-2D transcript:Sspon.05G0029700-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGDGAGVLQLREVSPWSVLGAAAAVLLLWLAAQVLEWAWWAPRRMDRALRAQGLRGTRYRLLWGDLRENQRLIAAAKTTPVSVDRPHDILPRVAPLLHHAIQEHGKLSFTWFGPTPRVVITDPELAREVLANKCGDFEKSMLSSLSKLLVAGLVILDGEKWAKHRRILNPAFHAEKLKGMLPAFLACCSELVDRWEKQLSASVEPTELDVWPEFQNLSGDVISRAAFGVSHEEGRRIFLLQAEQAERLVQSFRINYIPGFSLLPKENNRRMNAVDREVKTILRGIIEKRQKAVSSGQATKDDLLGLLLESNMNYSDSDGKSSKGITVEEVIGECKVFYFAGMETTGVLLTWTMVLLSMHPEWQDRAREEVLQVFGQNKPNFNGVARLKVVTMVLYEVLRLYPPVVTMNRRTHQPTKLGGVTYPAGVMLTTPVMFLHRDPALWGSDAGEFNPGRFTEGVSKACSDPGAFVPFSWGPRVCIGQNFALVEAKLAVTLILQRFAFELSPAYVHAPYTVLTLHPQHGVPVRLRRL >Sspon.05G0030180-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:87617206:87622614:-1 gene:Sspon.05G0030180-1B transcript:Sspon.05G0030180-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHIWKLIVSDPKKAIDEHYDAIVEDLLVQSGSRLWRSREASCLALADIIQGRRYSQVCKHLRKIWTTTFRAMDDIKETVRTVGDSLCRAVSSLTIRLCDISLTSTSDANETMNIVLPYLLSEGILSKVPSVQKAAISLVMKLAKGAGPALRPHLPELVSCMLECLSSLEDQRLNYVEMHAGNVGIKTDKLESLRIAVAKDSPMWETLDICIKIVDKNSLDLLVPRLAQMVRSAVGLNTRVGVASFITLLVQKVMVDIKPFTPILLKLLYSAVLEERSSAAKKAFASSCATVLKYASPPQAQKLIEDTTSLHSGGKNDQLSGAILIKAYLSNASDILGGYNAVVIPVIFVSRFDDDKDTSALYEELWEDIPTSERVTLTLYLPEIVSLLCDGMSLSSWAAFSFQSAKAIKKLCDILGESLSAHYQNILKSLLKELPGRFWEGKDAILDALASLCSCCHVAITAEDSSLPSVILNAVCAACSRKSKLYREAAFLCLHKVIAAFRDPGFFNSVFPMLYEVSNQSVISKTKGSAGAELDESEGASISLDKVLNCATSCISVAFPQDIINQKKNVLELILNSLSPEESWQVISFGITKISRLHKISKDCSDVMAVTSEITGISAGQQNPSVPVSQYLHLSYMITRMVQRLSGIAISSPQYEVHIAASECLLDLSKLYRDFPLLDRTEAKFEDELTELCESEKSEQAKTILKECLTILKTLPA >Sspon.07G0008320-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:29198053:29202247:1 gene:Sspon.07G0008320-2B transcript:Sspon.07G0008320-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:BI1-like protein [Source:Projected from Arabidopsis thaliana (AT4G15470) UniProtKB/Swiss-Prot;Acc:Q94A20] MASVAEMQPLAPAGYRRAPEMKEKVEASAVDLEAGTGETLYPGISRGESALRWGFVRKVYGILAAQLLLTTAVSALTVLHPTLNATLSDSAGLALVLAVLPFILMIPLYHYQHKHPHNFVFLGLFTLCLSFSIGVACANTQGKIVLEALVLTAGVVVSLTAYAFWASKKGKEFGYLGPILSSALTILVLTSFLQIFFPLGPVSVGLFGGLGALVFAGFILYDTENLIRRHTYDEYIWASVGLYLDILNLFLSILNMLRSMQSDN >Sspon.03G0014330-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:64854375:64856141:-1 gene:Sspon.03G0014330-2C transcript:Sspon.03G0014330-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPHLEKLSIRSCQELIALPEAPLLEEPLLLELFGGDYEKAHSAFPALKVLKLEGLKNFERWELVGATQGGDTMFPRLEELSIGDCPKMTALPAGTSSLAPSVGRSDIKTRSAFPKLKKLMFGGLINFKSWGVMEAINGEQWMFPDLETVCIDGSPELTTLPEGPKLISLTILDGHQQILAAIPRALLIHSIPPAEQGAFELADNNNIKSPLTSLQLGRNCNLLFHSSALALWTCFVQLQDLKFSFCDALVYWPVEEFQSLVSLRNLVIWDCNNLIGYAPAAPGHPASERSQLLPNLESLDIWNCESLVEVFNVPASLKTMEVQDCPKLESIFGKQQDKPILLNQLPPSLREINISECNKLQLLSGQLDALRTLEIRRCQRLRSLESGSGELQMLERLVLKDCESLAPFLPNEPQAYSSLRMLRIIGCPGIKSLPSSLQQRLDNLEKKDLDARYE >Sspon.03G0025290-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3A:76483914:76487613:-1 gene:Sspon.03G0025290-1A transcript:Sspon.03G0025290-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPTSFLPILFLLLLLANAARALNQDGVHLLHAKRALTVPPDALADWNASDATPCAWTGVTCDDAGAVTNLSLPNLNLAGSFPAAALCRLPRLRSVDLSTNYIGPDLDPAPAALARCAALQRLDLSMNSLVGPLPDALADLPDLLYLRLDSNNFSGPIPDSFARFRKLQSLSLVYNLLGGEVPPFLGAVATLRELNLSYNPFAPGPVPATLGGLSDLRVLWLAGCNLIGPIPPSLGRLANLTDLDLSTNGLTGPIPPEITGLASTLQIELYSNSLTGPIPSGFGRLKELRAIDLAMNRLDGAIPEDLFHAPRLETVHLYSNKLTGPVPDSVARATSLVELRLFANSLNGTLPTDLGKSAPLVCLDVSDNDISGEIPPGVCDRGELEELLMLDNHLSGRIPEGLARCRRLRRVRLSNNRLAGDVPDAVWGLPHMSLLELNDNQLTGEISPVIAGAANLSKLVLSNNRLTGSIPSEIGSVSKLYELSADGNMLSGPLPGSLGDLAELGRLVLRNNSLSGQLLRGIQSWKKLSELNLADNGFIGSIPPELGDLPVLNYLDLSGNKLTGEVPLQLENLKLNQFNVSNNQLRGPLPPQYATETYRNSFLGNPGLCGEIAGLCAGSEGRSRNRGSGFAWMMRSIFIFAAVILVAGVAWFYWRYRSFSKSKLRADRSKWTLTSFHKLSFSEYEILDCLDEDNVIGSGASGKVYKAVLSNGEVVAVKKLWSTAVKNGEEGSAADNSFEAEVRTLGKIRHKNIVKLWCCCTHKECKLLVYEYMPNGSLGDVLHSSKAGLLDWATRYKVALDAAEGLSYLHHDCVPAIVHRDVKSNNILLDAEFSARVADFGVAKVVEPEGTGRAAKSMSVIAGSCGYIAPECIESATEYAYTLRVNEKSDTYSFGVVLLELVTGKPPVDPEFGEKDLVKWVCSTMEQKGVEHVLDSRLDMGFREEIVRVLNIGLLCASSLPINRPAMRRVVKMLQEVRAGAAAELPGRPHPARVVDRDGKLSPYYYEDASDHGSSVERLRYSQRDSEPPLRASVARARLPPALAALAVRSERETGEEGRAALAARGGLLHALITSQGRQSVLKPEAGNGGRWEVL >Sspon.07G0009230-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:25793915:25800194:-1 gene:Sspon.07G0009230-1A transcript:Sspon.07G0009230-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGCFSLDGDVRGGMEAVGGGGGVRSQGGAAAASAQQGGPNDAVDYFFQTRGLRGLYTPLELSFSASKLRNLDAFSKSDPMLVVYTNIKGKLEEIGRTEVILNSLEPSWITKVTMSYQFEIVQPLMIYDVDTKYHNTPVKMLNLSQQDFLGEACCNLSEVVTTSIRLMIANTIVTKFNHSLTLNLQSDCGHGLHGTITVHAEESDSSRMAAEMTLHCVNLENKDVFSKSDPFLRISKVVETAGPIPICKTEVVPDNLNPVWRPITLTSQQYGSKDNPLLVECFDFNSSGDHVLIGAFQTTITQLENLYTSKSGANFYSHKGQRKMKGQLFVDKLQETVQHTFLDYISSGFELNFMVAVDFTASNGDPRVPQSLHYIDPSGRPNSYQQAILGVGEVLQFYDTDRRFPAWGFGARAQGHVSHCFNLNTATNDCEVVGVEGIMSAYTSSLYSVSLAGPTMFGPVINKAAEIASQSLQYSNNKYFVLLIITDGVLTDIQETKDCIVRASDLPLSILIILDADNGKRLESSTGRIATRDIVQFVPMREVQGGEISVVQSLLEELPGQFLQYMRTRGIKPQQQHAPGLASAPVYPPQQ >Sspon.06G0007300-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:27167816:27169009:-1 gene:Sspon.06G0007300-1A transcript:Sspon.06G0007300-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPEADAMALASDAAAPELPSSQLDFFKTRVRLLCDSNAGDFDAWVSLINAAEGTSADDIEVISLVYHSFLLEFPLCYGYWIKYAAHKARLCTTRYVVDVYEQAVHAVPHSVDIWA >Sspon.08G0002340-4D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8D:5433332:5436592:-1 gene:Sspon.08G0002340-4D transcript:Sspon.08G0002340-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTPLSSPQQASQPRSRRLVAEASPGNRRNPSCLPHPPPTAILFRTAPLTRGPQLAFRSSTKQRPPEIPNQNNPNSQARQIVEQLEAMAAEPSSPAPAAAANLPTPPYHHCPAPTDEVATPPPKQSTAWPGLRTPSPSPSVQVSAGHSLHDLLLLSPPPPSSRRHRSRTRGAAGTSVDESLEIVEVVGTPTPPRRRRRGAAEQCVAPALASPRNARRARRRLEKDVEAAEEDAARRARRRKSTRAAPKAAAAVLNEDDTSMALVPACPVTTCGTDFVEQSELEGLWERIVELVMWRNVAKSALCPISGLCHLGVMILGLAFFKDSVPQRLQVENKRSFQLTEEDVLRASQVVLPIANSMISTAQVIFSGEPSMTLKVLPVLLFGAKYGGLVTMWRLVAAVEILRDQALEAWKSCPRKKLVAAMVVTMCWNMFSVKTRVMAAFVSLVILRYNQKYHKANITTEVGSSRDEQGPTMEMDD >Sspon.08G0020390-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:22986320:22993648:-1 gene:Sspon.08G0020390-2C transcript:Sspon.08G0020390-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Diacylglycerol O-acyltransferase 1 [Source:Projected from Arabidopsis thaliana (AT2G19450) UniProtKB/Swiss-Prot;Acc:Q9SLD2] MAPPPSMSTASDRADAGEPSSLRLRRAPSADAGDLADDSSGGRRENGEPQPPQEQEQEQQQQHEMLYFRASAPAHRRVKESPLSSDAIFRQSHAGLLNLCIVVLIAVNSGLIIENLMKYGLLIRAGFWFSARSLGDWPLLILTLPVFPLVALMAEKLIRRKLIGEHVVILLHIIITTSVIVYPVVVTLKCDSAVLSGFVLMFLASIMWMKLVSYAHTNYDIRVLSKSTEKAAAYGNYVDPENMKDPTFKSLAYFMLAPTLCYQPTYPRTTCIRKGWVTRQLIKCLVFTGLMGFIIEQYINPIVKNSKHPLKGNFLNAIERVLKLSVPTLYVWLCMLNILAELLCFGDREFYKDWWNAKTVEEGVAILISFLVSAVFHEVGNMIFWFFFSIVGQPMCVLLYYHDVMNRQAQASR >Sspon.06G0012250-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:53293469:53297454:1 gene:Sspon.06G0012250-2B transcript:Sspon.06G0012250-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPIVSAAAAAAAPPPGAPSFSYLAVFSNCPLVAAVLAFAIAQSIKVLTTWYKENRWDAKQLLGSGGMPSSHSATVTALAVAVGLQEGFASSLFATAAVFASVVMYDAFGVRLHAGKQAEVLNQIVYELPSEHPLAETRPLRELLGHTPQQVFAGGVLGFAVATFTAMIAGLGS >Sspon.02G0027790-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:104578317:104580962:1 gene:Sspon.02G0027790-3D transcript:Sspon.02G0027790-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKPECSVAANSGNAGVAAVKLRKGLWSPEEDERLVAYMLRSGQGSWSDVARNAGLQRCGKSCRLRWINYLRPDLKRGAFSPQEEELIVSLHAILGNRWSQIAARLPGRTDNEIKNFWNSTIKKRLKNSSAASSPAATDCASSPEPNNKVVAGSCPDLAGLDHQDGGHHHHAMTTVTKGLWMVDSSLSCTSSTSSMHQRQPPLPTATMAAAVAAASRSYGGLVPFPDQLRGVVADASPGGFFHGHAAPAFKHQVAALHHGGYYGSSTPPHHHGMMAMEGGGCFMRGEGMFGVVPPLLEPMSAAAQEQEQGQALMASSGNNPKNNSSNNTTETTTTTLSNNESNITDTTTTKDNINTISQVNSGSNVAAVFWEGAHQQYMGRNVMHGEWDLEELMKDVSSLPFLDFQVE >Sspon.06G0018500-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:82781761:82784134:1 gene:Sspon.06G0018500-2B transcript:Sspon.06G0018500-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAETATVFLETSLGTRFVLSFPARTTTVADLKRQVSAEHAACFPRTGPIAVASLQIELDGSWFQLTDSVVVEAAFEWVKGPRRLLVEAHELRPHPPACKDAKCGTADAEPNGCHSVLAEDSLQYMMPPAAAEAPGGGNHALGNGVSDTTQLKNLQDKVVEHASCQREDEITVPQESSDIDFAIGDGGTGIPLANQEDKPQECLEHVSCQLEDGIAMSQHSDLATGDSDTSLTNQENKLQGCVEHASVQVEDGITMPQESSHFDLATGTNNTPLPNQEDKLQGCAEHASVQLEDGITMPQESSHFDSATGTNNSPLPNQDKLQGCAEHASVQLEDTITMSQESSHFDLATGTNNSPLPNQEDKLQGCAEHASGHLEDGITIPQESSNFDLAAGGSDTLPMDQQGKFHDGAEHASGHSEGRTTMPQESSDLGVAEDKENDRAGDQQKDIIMEPRGKKRFREEDEAARSIDVNCDDNLSHFVSPTPKFVSEKKSSMIEQAKLDGAPLLYNLEDSSHGLLEKPSGGQKEQWTSGVCCQGSINNGPAIPPCAESMGKHKSSDKQGMTQRVDKEEPQIAGCTGKNSCKITDVPLYVESMNEGNKRPASNGHFLNKGENEGCTSFVTKEHEPSCFRRRHHRVAVRKVAMSRAMKLYPF >Sspon.04G0034480-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:75099549:75103079:-1 gene:Sspon.04G0034480-1C transcript:Sspon.04G0034480-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LTWILPERFANSEIAPEAVYALLGVVSSVNQHIIDAPTENHSFASKEQSIPWGLVVSVLKDVEAVVEVAAQHFVGDDRKWSFLAVTEAVKAGVRLAAFRESGYKMLLQGGEVANEEEVTVLENNYGVNGNGVPAIYPMDGHAGNGHKAMTKGLDGKNGFVSKSLEKRAVAALNKFGENAKMMSDPIDGGREANFGKPLVYVLLIRKFGIKSWTPWLVSLAVELTSLGIHSHVTDLSHRLGKVHQLSFAERDEAIEKAKDDVGPLLDERSFLCQLHQASSPEGRTGAESGAINWLSYRETYRATGGCSDKIYIHIRFIGMASPSLLLASRFALEDLCFVRVG >Sspon.03G0029970-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:27121100:27125535:-1 gene:Sspon.03G0029970-1P transcript:Sspon.03G0029970-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSGFSWTLPDHPKLPKGKQVAVVVLDGWGEANPDQYNCIHVAQTPVMDSLKNGAPEKWRLVKAHGTAVGLPSDDDMGNSEVGHNALGAGRIFAQGAKLVDSALASGKIYNGDGFNYIKESFESGTLHLIGLLSDGGVHSRLDQLQLLLKGASERGAKKIRVHILTDGRDVLDGSSVGFVETLENDLSELRGKGIDAQIASGGGRIMTGMWLNVAGMPSETVKFGHVTFFWNGNRSGYFDETKEEYVEVPSDSGITFNVAPKMKALEIAEKARDALLSGKFDQVRVNLPNGDMVGHTGDIEATVVACKAADEAVKIILDAVEQVGGIYLVTADHGNAEDMVKRNKAGKPLLDKNGGIQILTSHTLQPVPVAIGGPGLHPGVKLRNDIETPGLANVAATVMNLHGFQAPADYEQTLIEVADN >Sspon.04G0020660-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4A:72539855:72541126:-1 gene:Sspon.04G0020660-1A transcript:Sspon.04G0020660-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNECPPQKKGRKQKNESISIAGGMARTRPNRCRLYVFGFCSTPSARLSACKDALSARLSRNVEMCRFSGARLQRCKRERWPCAWASVQTQEITFALDAGADLGPGLQPGARPKNSRNRFYKASLIAHTDAKKPRSLQPVALAGGRAQLRLSDSPLATRHGDRDRVRRVHASSTADGDRSAPPPPHPRPPRSPPPGRPGSQASGAPHSGRSEGGSSQRYCGRQ >Sspon.08G0001240-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:1134154:1137965:-1 gene:Sspon.08G0001240-3C transcript:Sspon.08G0001240-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine protein kinase domain containing protein [Source: Projected from Oryza sativa (Os06g0717200)] TMPPPTVLCLLLHLLLPAAPASATPERDAYALSRLKSALVPSTNSTSAALSDWDPAATPPAHCAFTGVTCDAATSRVVAINLTAVPLHGGALPPEVALLDALASLTVAACSLHGRVPPALASMPALRHLNLSNNNLSGPFPSPPSPSSPYFPALELVDVYNNNLSGPLPPLGAPHARTLRYLHLGGNYFNGSIPNTFGDLAALEYLGLNGNALSGRVPPSLSRLTRLREMYVGYYNQYSGGVPPEFGALQSLVRLDMSSCALTGSIPPELAQLSRLDTLFLAMNQLTGVIPPELGALTSLQSLDLSINDLSGEIPASFAGLTNLTLLNLFRNHLRGEIPDFVGEFPFLEVLQVWDNNLTGSLPAALGRNGQLKTLDVTGNHLTGTIPPDLCAGRNLQLLVLMDNAFFGSIPDSLGDCKTLTRVRLGKNLLTGPVPPGLFDLPQANMLELTDNMLTGELPDVIAGDKIGMLMLGNNGIGGRIPAAIGNLPALQTLSLESNNFSGPLPPEIGRLRNLTRFNASGNALTGGIPRELMGCGSLGAIDLSRNGLTGEIPDTVTSLKILCTLNVSRNRLSGELPPAMSNMTSLTTLDVSYNQLWGPVPMQGQFLVFNESSFVGNPGLCGAPFTGGACPPSFGAKKLLVWVFVLLALLVLAILGARKARKAWREAARRRSGAWKMTAFQKLDFSAEDVVECLKEDNIIGKGGAGIVYHGVTRGGAELAIKRLVGRGCGDHDRGFTAEVTTLGRIRHRNIVRLLGFVSNRETNLLLYEYMPNGSLGEMLHGGKGGHLGWEARARVAVEAARGLCYLHHDCAPRIIHRDVKSNNILLDSGFEAHVADFGLAKFLGGATSECMSAIAGSYGYIAPEYAYTLRVDEKSDVYSFGVVLLELITGRRPVGSFGDGVDIVHWVRKVTAELPAGTAEPVLAVADRRLALEPVPLLADLYKVAMACVEEASTARPTMREVVHMLSTSAAAQHDVLHAF >Sspon.08G0005500-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:16864297:16868512:-1 gene:Sspon.08G0005500-3C transcript:Sspon.08G0005500-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potassium transporter, Salt stress response, Alkali toleranc [Source: Projected from Oryza sativa (Os06g0625900)] MTLSLAYQSLGVVYGDLSTSPLYVYKAAFAEDIQHSESNDEILGVLSFVFWTLTLIPLLKYVCVVLRADDHGEGGTFALYSLLCRHARASLLPPGRTAAGDEGQFLDGAGGGAEKANGNAVTLGGGAAASVRRLLQRHKVLQRVLLVLALVGTCMVIGDGVLTPAISVFSAVSGLELSMEKEHHKYVELPIACIILVCLFALQHYGTHRVGFIFAPVVITWLLCISVIGVYNIIHWEPTVYRALSPYYMYKFLRKTQRGGWMSLGGILLCVTGAEAMFADLGHFNQLSIQIAFTCMVYPALILAYMGQAAYLCKHHNMETDYRIGFYVSVPGKLFSSKIRWPVMAIAILAAVVGSQAVITGTFSMIKQCTSLGCFPRVKIIHTSAKVHGQIYIPEINWILMILCLAVTIGFRNTKHLGNASGLAVITVMLVTTCLMSLVIVLCWHKSIFLAIAFIVFFGTIEALYFSAALIKFREGAWVPIVLALIFILIMCIWHYGTIKKYEFDVQSKVSINWLLGLSPNLGIVRVRGIGLIHTELETGIPAIFSHFVTNLPAFHQVLIFMCIKNVPIPHVRPEERFLVGRIGPKQYRIYRCIVRYGYHDFHKDDIEFEKELVCSVAEFIRSGSSKLNGMPVEFDEEQHMAVVRSNSIRMLEEEATTVEKTVGPSQASREIQSPSPSPTPVVVPKKRVRFVLPAASPKPNAGVQEELQELSDAREAGMAFILGHSHVKAKSGSSFLRGS >Sspon.03G0017340-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3A:54305550:54311448:1 gene:Sspon.03G0017340-1A transcript:Sspon.03G0017340-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSHHIRFTLGSHIQFGSLDFLCTGVDHDLVLLSPSMPVDLVSPLGSDERVGDLDPAGTEECILPPSTGSSGSPADIDSVTESMADLRLHADEAQASEGTQPHDFNYPRLERQLDAILGPCPSQEDLHRLYFVFANALAQLSGGPPLSPELISTTTQLSILELLQSSETASNADSCEHGGGWDDTDFSGLNDPGALRCFLGNCDYLLMASNSDNGDYDPSRECFMCGIQLEEEDEEDDLLDDQGEHTPAGAPPHGVDPARRVPMPPPPRACGPAMSTSGSATTTTVNTHRLSLEQARTLPPQRYTSGGCPNHPPRRDGELTGSYIPSSSVPLYSRPRALRLDDAGSRPDQRRARNKPPSIHDRISDAYNARVVINASNRHKEDGASCGYHPHRGGRYDNEEDRPPNPELAGPRVFSRNIRNAPFPARFRQPTNIAKYSGETNPELWLDDADDDRFIIHNLPLFLADTTRAWLEHLPPYKIHTWADLRHDLRSYRQKLDETLHEYIRRFSRQCTELPTDANADVVGAFLAGTTCKDLVHELGRKGPHTTKELLDITTNFASGEEAVGAIFSPLKAKGKRQEDADEGGSGRNSKRKKKNKQWCGDDLGDEGKRPEEDKGDGKEKDDDFPIVNNCFLIFGGPVAYDSRRQRKLERREVYATEPATPAFLEWSGSTITFNRDDHLDHVPKPGRYPLVVNSIIGDTWLTKLCPSGACFHGIIPGKRAVPLGQIDLPITFGDPSNFRKETLTFEVVGFHGTYHIVLGRPCYAKFMAIPNNTYLKLKMSGPNGVITVSTTYQHEYECDVECCKYAEAIIESEALAVSPKARAKEASDPKRSVGSFEPIEGVKEAGSKPVKQRLRRFDEEKHRAVREEIRKLLATGFINEIAMKESDQLATSFITPFGTYCYVTMAFGLKNTGATYQRYMLAIFGDLIRLTVEAYVGDIVVKSKQADSLVADLDEAFKCLRAKNMKLNPKNMGPIRNLKGVQQVMGCLAALSHFISCLGEQGLPLYRLLKRLAAFMWTPEAQEALDKLKALLTKAPILVPLTKREPLLLYVMATTQVVSVAVMVERQEEGHALKIQKLLYAVLIARRKLHHYFESHPITIVSFPLGEVIQSQEATGRITKWAVKLMGEGITYAPRKSIKSQVLADFVAEWTETQTLSALWSQSRTCLHLPLGVHMRYMIQIHFSISNNVAEYEALVNSFHISTELGIRWLDVRGDSQLIMDQVMKESSCHNPKMVAYCQVVHLLQDKFDGLELNYIARMSVSHPNRPWGLVRPRPPPILSAVSPPSKGESCFRTSTGACVAITLHHTLLSETHSDKVISTGPLSWLTPPRWVWTLSGPSKRRPGASPTCLLLSISSQSGSRLGQSRKSNPSRWCSSSQTSSTALGSQIPSSPTMTHSSPDRSSSGSAMITTSAWIGQPWRTPERTD >Sspon.01G0010680-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:30080320:30082745:-1 gene:Sspon.01G0010680-3C transcript:Sspon.01G0010680-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGILFSCPADDYDPLDLQEEAPAPATSSAAGPGEPAILRASLGSGKLRIEGSLSFKRAQAALQVETEISIRTADAGAAMPAPAPREVARARFAEPAATDSPKHEAAALRLQKVYKSFRTRRQLADCAVLVEQSWWKLLDFALLKRSSVSFFDIEKQETAMSKWSRARTRVAKIDPRHRYGHNLHYYYDCWLRSESKQPFFYWLDVGEGREINLEGKCSRSKLLSQCIKYLGPKEREDYEVVIEDGKFLYKKSGRILDTSCGPRDAKWIFVLSTSKNLYVGQKKKGTFQHSSFLAGGATSAAGRLVVENGTLKAIWPHSGHYRPTEENFQEFKSFLKDNLVDLTDVKMSPDEEDEEFWGSLRRITSESEKTQDQTTAAPEETGPCQTAPEAVSTDSQKREEETATTRPEPSEDVDQEAAEEQQAPVPREKILQRINSKKEMKSYQLGKQLSFKWTTGAGPRIGCVRDYPSELQLQALEQVNLSPRCSAAAAASRFASPLRRSFNQPASARGCEASTPREAFRSPLQHGALAMAATAD >Sspon.05G0008970-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:28225447:28229093:-1 gene:Sspon.05G0008970-1P transcript:Sspon.05G0008970-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPAPQTHPILSYVLSRIPTLAKPNKAPTSSEFDIEQPPVHTPSPRTAPSSPSAGEFELVERMPGLRHPSVLRAMTRAVADVSAARAALQVLGPRPDHELVDSSRAIVAAADAGDARIPEGDAEACRAVVRLEETHDAYEALLHEAEARLERVYRSAMEGTDLDDDEAAAESGKGEGTAAAGPEGGDAAVQEEVVAVLKQAEEGKPVESVRLVDRQLRQLPEAFGRILGLRVLDVSRNQLEVIPDAIGGLEHLEELRVAANALVSLPDTIGLLSNLKILNVSSNRLRALPDSISKCRALVELDVSYNGLTYLPTNIGYELVNLRKLWIHMNKLRSLPSSVCEMTSLYLLDAHFNELCGLPSAFGKLSSLEILNLSSNFSDLKELPFSFGDLLNLRELDLSNNQIHALPDTFGRLDKLEKLNLEQNPLAMPPEAIVNKGVDAVKEYMSKRWLDILLEEEQRRIAAETPQASSTPKAWLDRSVSWVTGVSGSLVGYLGGNKSEKDAYLDQQL >Sspon.01G0061680-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1D:96035997:96036575:1 gene:Sspon.01G0061680-1D transcript:Sspon.01G0061680-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTGMEVWDRDQNGERVHLASFCGTPYLYLEMPHICPLGISPASNRSNAPFPYRLTVPHTGRSTSPARQCDFVCLCARVGATCCHEALAWLRRAADVPPHSSPPCLSLPAWNPFNLYPIKSVSRWILLPTFGLGSLSVPLSHNLLVLPCCFLPASACDPVSCGFWLVLACCLWLVQQHPASQSKAVPSSLQE >Sspon.01G0030920-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:108599474:108603505:1 gene:Sspon.01G0030920-2B transcript:Sspon.01G0030920-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVTPRITVGDGRLVAHGRTILTGVPDNIALTHASGAGLVDGAFVGATAAEPSSMHVFTFGTLRRENPGPRAPERSGHLALKLHVTISDLRFMCCFRFKLWWMTQRMGTSGRDVPLETQFMLLESRPGTVGGDGDCGEVVYVVMLPLLEGQFRAALQGNDRDELEITLESAAVGSCFSYLLLHVKPRLTCVRDCRWVAGAADIFRDRDKAVQTAQGTYMVYVHAGTNPFDTITQAVRCLPSFVDWFGWCTWDAFYTDVTAEGVKQGLKSLAEGGTPPRFLIIDDGWQQIGSENKEESNNAVVQEGAQFASRLTGIKENAKFQKKEKKTADDDKDGGEQQAEKTQTPGLKLLVEEAKRDHGVKYVYVWHAMAGYWGGVKPAAEGMEHYESALAYPVQSPGVMGNQPDIVMDSLSVLGLGLVHPRRVLSFYDELHSYLASCGVDGVKVDVQNIIETLGAGHGGRVALTRAYHRALEASVARNFPDNGCISCMCHNTDMLYSARQTAVVRASDDFYPRDPASHTVHVSSVAYNTLFLGEFMQPDWDMFHSLHPAAEYHGAARAIGGCPIYVSDKPGNHNFELLKKLVLPDGSVLRAQLPGRPTRDCLFADPARDGTSLLKIWNVNKCTGVVGVFNCQGAGWCRVTKKTRVHDAAPGTLTGSVRADDVDAIGGLAGPGWSGEAVVYAYRSGELVRLPGGATLPVTLRVLEYEVFHVSPVRAVAPGVSFAPIGLLDMFNSGGAVEQCEVRGGATGAATAVVALRVRGCGRFGSYCSRRPARCTLDAAEVEFSYDADTGLVALHIPVPEQEFYRWNLEIEV >Sspon.04G0027420-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:63882142:63884463:-1 gene:Sspon.04G0027420-3D transcript:Sspon.04G0027420-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTLFVLGQESRLRILQQAASRVPGCAYLCAWAPIPAQPLHQASSSSSAAAATSTSARLLSCLDAWLCDGGDRPSLGDARRLRALFDAYRGSLCTPVAGIVPGWAYKDGRAYMELPAHDLTTSASRPVQQQFYQMAAFMGCESGEIEIGMSTAASSGSPMSMESTLQQVFSEDFFQQSLLEELLQLPPTRPSSPSSSLPSVSVGSPAADGSTSLQRTVAVTPAAPSFVERQAAPPVALPPPRPQLPFVRHGGPGHVCFPSAEADDAAMAQAMLDVISASSSSALPTMPSTETAPPPPPGNHHRARRRGAAATAFRAYNAALAPRAPWRPPGAPGQRMIKMGISILRRMHMLRFSRERTGGVTSMAQRGQEGEDDPSSPAVPSSSQLNHMISERRRRERLNESFEALRGLLPPGSKKDKATVLAKTLDYMNILVAQIADLEARNRSLESRAHQHL >Sspon.08G0005120-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:15774451:15780939:1 gene:Sspon.08G0005120-3C transcript:Sspon.08G0005120-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPELPEVEAARRALQAHCVGRRIARCAVADDAKVVVAAAGRAAFERAMVGRTIVAARRRGKNLWLQLDAPPFPSFQFGMAGAIYIKGIPVTKSVVNSEEEWPSKYSKFFAELDDGLEFSFTDKRRFARVRLFDDPETVPPISELGPDALFEPMSVDNFLDSLGRKKIGIKALLLDQSFISGIGNWIADEVLYQSRIHPLQIASNLPRESCEALHRSIQEVVKYAVEVDADMGRFPKEWLFHHRWGKKPGKVNGKKIEFISAGGRTTAYVPQLQKLIGTQSSKMVAANLERLAENGDTKDSGTEGEDADILKPKKRAATSRAARRQQNKDTVGASSRKARGNGGGSKKPDADVEPAEPETVVTESNGEQVLDQPNSNASNKSDQVTRRSSRKVKPLIHQRDIRT >Sspon.01G0019550-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:78935209:78938439:-1 gene:Sspon.01G0019550-2B transcript:Sspon.01G0019550-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKLIANLIVMGSTIIGRAMLQAYRKALDNANKTGVAHEAINNIRRASKTMTEQEARQILGVSENSTWEEIVQRYDNLFERNAKSGSFYLQSKVHRAKECLETVYQKNKQDEPPN >Sspon.05G0008270-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:19296356:19299671:1 gene:Sspon.05G0008270-2B transcript:Sspon.05G0008270-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSDEETSRLFRIRRTVYEMLRDRGYTVSEEEINLPRNVFIDRYGNPVRRDDLAMNAMKLNDGSSDQIYVFYPNEAKPGVKTIRSYVEKMKQENVFAGILVVQQALSAFARSAVQEVSQKYHLEVFQEAELLVNIKNHVLVPEHVVLTPADKKTLLERYTVKETQLPRIQITDPIARYYGMKRGQVVKITRASETAGRYITYRYVV >Sspon.04G0019420-2D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4D:77232875:77233414:1 gene:Sspon.04G0019420-2D transcript:Sspon.04G0019420-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPPRLARNPAAVLHAALLRASSSSAACRLPPRISFNSLLAAAASSPDTRLRALALPALALAHASGRVPLDSYALCSALRSTPTAAETLHALAAKSGWLGSVFVSCALAASYGGSGRYQDARRLFDESPAKNGVFGNAVLAAYVGAANWAPVLGFARRFSELRLLVDGYTMTAVVRAC >Sspon.04G0010490-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:30784954:30787246:1 gene:Sspon.04G0010490-3C transcript:Sspon.04G0010490-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRRVLLPLLLFLVLLALRPRSCVASGGGGGEPAEFGIPRDGSVLELDESNFEAAVRAAEFLFVDFYAPWCGHCKRLAPQLDEAALALAGLSTPVIVAKVNADKYRKLGSKYGVDGFPTLMLFDHGVPSEYTGSRKADLLVENLKKLVAPDVSVLESDSSIKGFVEAAGINFPLFIGFGMDESLIVEYGAKYKKKAWFSTAKGFSEDMMVVYDFDKFPALVSVNPKYNEHSVFYGPFEGTFLEDFIRQSLLPVTVPIDRETLKLLKDDGRKVVLTILEDESDENSPQLIKVLRSAANANHDLVFGYVGVKQWEEFTETFDVKVPQLPKIIVWDTKEEYEVVEGSEGLREGEYGSQVSRFLEGYREGRTIKKKVGRGSPTLLGLNAVCILIFLVAVLVVLMYFSVQGEEDHQPRRAHED >Sspon.01G0044620-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1C:80439214:80440000:-1 gene:Sspon.01G0044620-2C transcript:Sspon.01G0044620-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEHGCIPDVITYTTVINGFCKEGLIDEAVMLLKSMAACGCKPNTISYTIVLKGLCSAERWVDAEDLMSQMIQQGCSPNPVTFNTVINFLCKKGLVEQAIELLKQMLLNGCSPDLISYSTVIDGLGKAGKTDEALELLNVMLNKGMSPNTIIYSSIASALSREGRINRVIQMFDNIQDATIRSDAVLYNAVISSLCKRGETDRAIEFLAYMVSSGCMPNESTYTILIRGLASEGFVKEAQEMLIELCSKGALRKHLMKHFGI >Sspon.01G0043270-2D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1D:64423219:64424253:-1 gene:Sspon.01G0043270-2D transcript:Sspon.01G0043270-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DMDTKVKAMIKLINEDADSFARRAEMYYKKRPELMKLVEEFYRAYRALAERYDQATGALRQAHRTISEAFPNQMPSMSDESPSAFSQEMEPHTPDMSTFTRAAFDSDDLQKDGVGVSPQHFTSKRNGTHPEETSAFSSRKGLKLFNDLSSSGENAPRAGFDGKVRKGLTFESPEVKGKDDTSNEMANLQQEVARLLAESQNLKQQMLSESERANKAENEMQMLKATVLQLNADKDTSLMQYNQSSERISTLESELSKAQADLEKLTDEMAADVQKLINAETLNIAIQSEAEGLDQKMKMQQQELEQKLKELESFRSSFQEEHEKRMQAESALLSQGKELDRSHE >Sspon.01G0000290-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:626348:637414:-1 gene:Sspon.01G0000290-2C transcript:Sspon.01G0000290-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mediator of RNA polymerase II transcription subunit 12 [Source:Projected from Arabidopsis thaliana (AT4G00450) UniProtKB/Swiss-Prot;Acc:H3K2Y6] MQRYAGNSAGFSGGTRSEASSFSSSSSGYPVSSRRQQQLAPYKLKCDKEPLNNKLGPPDFYPQTLNCPEETLTKEYAQAGYKETVEGIEEAREIVLSQIPYFCKPDVVIKCKEIRLQLTLCRIHSLMKALKKRLRAINESRAQKRKAGQVYGVPLSGSLLIKPGVYPEQRPCNEDARKKWPEALAQPNKRLRSLSEHVPHGYRRKSLFEALIQYNVPLLRATWFIKVTYLNQLQTRQTPNSISVAASDNQRSNQWTKDVVEYLQQILDEFCSKEGAVVPPSFREQSSPGLTAGTNQIKIKTEASPAAGESEEPLVHLKLKWRYMERDSVDVLELLLPIMLGLVDTITLSQTYVRMFVDLLVRRLNDASVADSPKRSSVSSVIAELLRYMKRAYDLATVANPNLQARGAAKVSRYLNNITKGSSLTDACLTTAAVDDSSGLRSNAKNVDDKKDTNDIFESPGPLHDIIVCWLDQHEVSSVAGFTRVDVLIVELIRNGIFFPQAYVRQLIISGITDKNDTMLDVERKRRHHRTLKQLPGSSLFDILEETRTAEEQQLYEMMSTYPASDALFSQSFHVATHFMQVAEVGMLQVPAFGSRVIFQLHQEVISMEECQNKYENILLASDILPDVLSALVNRNSVSATVRHPGSTAFAYVRYFLKKYRDVAGVAKWEKSFRTTCDQRLLAELDNGRSIDGDLISSSGVSAGEEIDEQVRQKLNGRSSRLVQNMKEIVQRQADEVQRSLKEKKVLAAPRNPPTFEKEDSYQIAHDIVSGLVDCIRQNGGANPDGDLSTVASAVSAIVVNAGHVIAKHLDFAGETLGDRFSRAFEIALAVETSATVTAAFAPPKMHRNQFQPSPEAHDAYGNHTSDLSNSGKGFVGRAAKVSAAVSALVVGAVVHGAVSLERMVAALKIKDGLDILQLLRGLKSSTNGVSRPTGTFRIENSTEVSVHWFRILLGNCRTVYDGLIADILGDSYILALSRLQQMLPLSVIFPPAYSIFAMVLWRRYIFNREDPQLYQSLSNAISDITRHQPFREICFRNTHRLYNLLASDVGDSEFAAMLESHSPDRNSKILPFIPLRARLFLDALIDCNTPTIQGDGASEPCDPKDNELKLSERLMQLLDTLQPAKFHWQWVEMRLLLDEQALMEKVAAGKTPLESLRSLSPNAEGFALSDSEKGFTEVILSRLLARPDAAPLYSEVVHLLGKLQESLVMDVKWILQGQDAILGRRSTRQQLVHIAQRKGLSTKAQVWKPWGWSSLLSDVIANKTAKRKLEVTSIEEGEVVDDTVDAKRPSKTPPHNIDRSFDAIRSINKYLTEKALAELVLPCIDRSSADIRMGAISEHIKAIARNGAKQAGSVPLGNEVPSNKSSGRKGIRGGSPNIGRRAPVGNDPSPPSVSALRAALWLRLQFIIRLLPVIVADRSMRQTLASAILGLLATRMIYEDADLPLPPTNATALRREMDSLLEPPLDVLLDRPGESLFERLLCVLHALLGSHKPSWLKSRSASRSTIRTQRDFSTFDNEAAEFLQSTLDHMELPETIRRRIQAAMPLLPPSRHPSMQCQPPQLSLAALTPLQSSTSGAGPQQKSSSVSWVPTNVSSRSKAALPSHDPEMEVDPWNLLEDGTSCPSTTSGSNGASGVTGDHANLKACSWLKGAVRVRRTELTYIGSLDDDS >Sspon.02G0029670-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:108146980:108151004:1 gene:Sspon.02G0029670-1A transcript:Sspon.02G0029670-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSETEVAACGMSFARRHKKDMVKVIATTTLHFVKCAFHHADRGFLNLQTYVYFISGSIKDGSNGDVADDHYHRYQIDVELMHSLGVNAYRFSISWARVLPSEFFEYNSPSQMTLFFLIIYHGKSLVVHSRGKIKLNCCIDLRTEGRFGSVNPAGLDFYNKIINSLLLKGIQPFVTLSHYDIPQELEDRYGAWLSTEIQEDFGHFAEICFDAFGDRVKYWTTLTEPNVVALYGYMLGVYPPSRCSQPYGGCSHGNSDLEPYVAAHNAILSHATAMEIYKRKYQSKQNGLIGIAMCALWYEPFGDVPEDRLAVERALAFDAPWFLDPLIFGDYPPEMRRLLGERLPSFSPEDRRKLNYSLDFIGINHYTTLYAKDCMFSSGNCATVQYSRNALVAVTGERNGVPIGAPTAMPINYDVPDGIEKIVTYIMKRYGNLPMFITENGYGQGGDNYTSVEDWLSDNDRIKYLDGYMTKVAQVIRSGADVRGYFIWSLIDNFEWVYGYTIRFGLYYVDYETQERTPKLSAQWFKKFLQNQHEHEHKAVVLDELQWGRSSASASAPASSHWSSADGVI >Sspon.07G0021340-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7B:2255506:2255749:1 gene:Sspon.07G0021340-1B transcript:Sspon.07G0021340-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GECAAFRCAGLPSRACHPGTVGFLQAACPCGLRERPGVRAMASPGCKSPEFEEKISQCASDVTEARQRLCLGLVWFQLLA >Sspon.08G0000210-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:1121434:1123033:-1 gene:Sspon.08G0000210-1A transcript:Sspon.08G0000210-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATETIAAATLGTRRPCPSRTAASFLSFRFRPTPQLSGAVAASASLRCRAKAPEEGDSKASAAIDDQLVLDVAESTWDDLVLRCESPVLVEFWAPWCGPCRMMHPIIADVAKAYTGRLRCLKLNTDKNQEVATRYGIRSIPTILIFKNGERKDTVIGAVTDTTLATTVERFL >Sspon.07G0019350-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:71244364:71255461:-1 gene:Sspon.07G0019350-4D transcript:Sspon.07G0019350-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGARGGGGATAGLKTYFKTPEGRYKLQYEKTHSAVLHYSHGGKTVSQLTVAYLKEKPASQGSQPSTPSSSSGMRSAAARLLGSGNGSKAHSFGGGNGASRAVAGSSRIGGGGLGTSTGLGGSQGATNYDGKGTYIVFNAADTLFISDLNSQEKDPLKSIHFSNSNPLCHAFDPEAKEGHDLIIGMGSGDVYSMSLRQQLQDPGRKPVAALHYNKGDKDNKDGNTDCTFPAVKDQSQFMVAHAKSSKEQLIFGGRSYYGALLCCTWSSDGKYLLTGGEDDLVQVSGVAFDSYWSPPSSDGNGENVYRFGSVGQDTQLLLWDLALDEIVVPLRHPSSASPTFSSGSPSAHWDNACPPTGVLQPSPRMRDVPKLSPLVAHRVHADPLSGLVFTNESILTICREGLIKIWVRPDQSENNQQSNSSEFVLGPVSKDRVITSSNKASSSSFKKPSSVLVA >Sspon.02G0038660-1P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2B:43730188:43730930:1 gene:Sspon.02G0038660-1P transcript:Sspon.02G0038660-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDGRRPDGSYGPEYGPVPRELEYALYHQLPSRSRRGSPWPLHHGDYPWRLPEQRFTRRPFERSSSWYSSKDSSVCLLNLSFRCIKFWTLELDTIITRSIWPTDVVLRHPLQPYTPFQIHHVHDSSSSGATLNPRQRREDTGLTDEEFREAMDQLRKQEYRPPDPQKKQQGGRGILQTRSATPPSTTEEEKACT >Sspon.03G0044300-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3C:91552067:91553634:1 gene:Sspon.03G0044300-1C transcript:Sspon.03G0044300-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPHLHLATSLVGGSRGSIEEAADPVASSSSSPSGGLTLPSCLLPSRWRWPRVNPRREDVARRRCSSPASSTLPVGDLAQSARRPALRLEIWRRLGSPARPPPHIDNLVPTSSGSEISQAGIDGGGTKRVLCTWEMEAARMDSTFNIAAVTTYASEVPHLCCNYRAGHIGLCSSPRHLGNPFIHFPIVHAACGPIPNEIEDFMICEIEDVILEGFRGKRHMPYCHWLTFLIYMGVNPIAQPASDEWRGTTKEFPQYDPTQLVRSVTRGTPTRQSRGPEVLETVAEQDAAVRDIAETELAQLETQGAVLEVTDTSDSSDTEYRPPPRFPLRPHDPRLVAQ >Sspon.03G0025950-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:1359061:1360019:1 gene:Sspon.03G0025950-1B transcript:Sspon.03G0025950-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADYYHGGRTMYSNTDDERYDAGRHGGSMRAYSRTDEYYDNVQDDRTRRPAYADDDCGYNGGGYGGRQTAVYTDEYSRGGYRGYGGEQEHFKREEREHKHKERLGEVGALAGGAFALYEGHRAKTDPANAQRHKIEAGVAAATALGAGGYAYHEHREQKEAHYETKQQEHRVPHGYYSN >Sspon.05G0017130-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:71645729:71647411:-1 gene:Sspon.05G0017130-1A transcript:Sspon.05G0017130-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATNIMKTRPALAREEEINYNTPLQLAVLWNKIDVLRVFLEHDRSLGYVDTSDKGIPLLNIAAFRGHVGVARELLKHCPDAPYTKTNGPTCLHIAVFSQQMEFVEFILGTPQLRRLVNMRDQNGKTALHVAVKKCNPKMVAALLLHKDIDVTVLNNYSNPPNWTVATDNAKTLNWNEVSMLMLKADPQDAPSIFNLHKDVKDKVTDLSRKDIKSLTQTYTGNTSLVAILIATITFAAAFTLPGGYSTDAGYEGLPIMARKIAFKAFLISDTLAMCSSLAVAFVCVIAKWEDLEFLLYYRSFTKKLMWFAFMATTTAFATGLYTVLAPRLPWLAIAICILTSLLPILTKLLGEWPILRLRFRLGRTFRSELLNMV >Sspon.07G0028740-2D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2D:104657321:104657794:1 gene:Sspon.07G0028740-2D transcript:Sspon.07G0028740-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVGSYGFWEPTASRSSGGCWHAVPLPKPPVGRQAENVLAFVSAYCAVGTIVWISVTGKGTFSLDAADEHNTTWRTEGTWQLPFQGRGLHVPELGSSVIGLTADDKGFLCACDVRTGAVRHVWPETFPWPWEECVISSGRDPDPVVISRPRDIHSLA >Sspon.01G0059590-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:60621508:60624409:-1 gene:Sspon.01G0059590-1D transcript:Sspon.01G0059590-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYNLSDLLTLHDLSGLDADFSQEEIDTSRKEVVILKLDFKKAFDKLEHQVILQVLRHKGFSEKWVQWINNLLSSGSSSVLLNGIPGKPFTCKRGVKQGDPLSPLLFVLDAALLQTVVNKAWHSGVLKHPISDSFQDDYPIVQYADDTLLILPEDARNLFNLKGLLRSFSDSTGLHVNFAKSFLVPINMSNDRATHLANTFGCQVGSMPFTYLEYMYIYLKEKKNRREVVMEWGEREKRPINGPTHPPQLTHHGPTPLILSPAPLSPSRTHLYPRSPAPPLPFAARPLLLPHQRPPPGGEEDDPRHRRRGAGEASPAGRSTSCVASGEEHEPRRWRVLPGGQEARRRDLLPGESRAAQPAGPASRRRSRRVRTRLSLPLLPPYPSPLDLRSDYPPSPGARPKPSD >Sspon.04G0020720-3C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4C:79068173:79073905:1 gene:Sspon.04G0020720-3C transcript:Sspon.04G0020720-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LSRYLAEAHVQALQFDEAEKLCRKAL >Sspon.03G0023710-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:72508997:72510685:1 gene:Sspon.03G0023710-1A transcript:Sspon.03G0023710-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSYVPFLLVFLMASLVSVSVALLPHKLRLSASDVAALEAVAPPRPPSPDQPTVFFEVDRPHRPPPGSFGPCSTLLLSHSFAYTYAKPPATVAYSPPPCLAAAGGRAPVISLAVLEWRATCRGVQYDRVFGVWLGGAELLRGCTAEPPIQSAGVEWTVSKDVTKYASLLAARDSTTLAVYLGNIVDQQYTGVFHANVTLHLYFRHPPPPPQPGLGPADAVVPISRSLPLNDGLWFEIENDFDVATASVTVPTNTYRAVLEVYLSYHSDDEFWYENSAKTGPFREVAVQIDGDLVGVVWPFPVVYTGGINPLLWRPITGIGSFSLPSYDIELTAFLGKLLDGEKHEVAFTVTNAMDTWFVDANLHLWLDPRGTAKAAGMISYDAPPLDTATATLPEGPDNGLYYTTAFRHVSASGWVQTPSYGKFTATWTQRLGYENTIRSRDSFFPPEVSQTTDAYSAAHVTDHAGVLYAQEAQQSFALYKFVGVVNQTDTDSFTLATKVRLGFLEERVAAGRSGFWSRSLSNSQECARDVDFEDGEAVRESWGRARRTGTRPPTRATSGT >Sspon.08G0005320-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:16123754:16125074:-1 gene:Sspon.08G0005320-1A transcript:Sspon.08G0005320-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase HT1 [Source:Projected from Arabidopsis thaliana (AT1G62400) UniProtKB/Swiss-Prot;Acc:Q2MHE4] MLSCFRLPRPFGGGGGGGDADQQQAAAVSPRRGPSLPFAASLFAASPSTSGRGKSPWPPPEADDMEKKRWDSMESWSMLLDTVMGPGGEDSRSGRREEWMADLSQLFIGNKFASGANSRIYRGIYKQRAVAVKMVRIPERDEARRAELEEQFNSEVAFLSRLYHPNIVQFIAACKKPPVYCIITEYMSQGTLRMYLNKKDPYSLSPETILKLALDISRGMEYLHAQGVIHRDLKSQNLLLNDEMRVKVADFGTSCLETKCQAIKGNKGTYRWMAPEMTKEKPYTRKVDVYSFGIVLWELTTCLLPFQGMTPVQAAYAASEKVVVWNLRPPLSNSCPPVLNNLIKKCWSANPARRPEFSYIVSVLEKYDHCVKEGMPVTAHQELRLWRSFAKIFRRGCITNNLSIP >Sspon.01G0014260-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:39876032:39877793:-1 gene:Sspon.01G0014260-1A transcript:Sspon.01G0014260-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPVVLRLFGVDVCRGDGGEPEELPMDLKKSSSMPNLIIHKPLLSPGDAGDGKGYASDDAELASGQQKRRRRKAQERKKGIPWTEEEHRKFLDGLRNLGKGDWRGISKGFVTTRTATQVASHAQKYFLRQTNPGKKKRRASLFDFGIPDYNYNDDQVPSPQRSIVTKPAPMQEIIHTDRGDVPYTGIVEILGNNMQVNQLTDYYYFKKDPDVHLETSLSMASGLETASSANSLDLSIIAVNSLELSIAPPARCGCGGPAGAIKVL >Sspon.06G0009190-3D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6D:38276865:38277731:1 gene:Sspon.06G0009190-3D transcript:Sspon.06G0009190-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALGFTWAHVIGDIPSAAACFSTWAQLFSGKKAPAPTLRDPLAMPPSAAPPASVAALPPSVKATAAPVGDHWAVPTTRHMVPFSFHVTEQQLQGLLQLRAPKGHQQRHVVVGPFELVSALMWRALAAIRDPEEEEATRTVTVVRAEPALAPGLANAHRIGHVVAATGGSSSPATADVAQLAALLAGAHLEEASAVAAAALSSAGAEDADVVVYGANLTFVDAEALEVYAGLELAGRRPAHVEYAVDGVGDGGAAVVHRDAGGRGRTVSAVLRRGEADRLRAAIRDALRV >Sspon.01G0008350-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:22580347:22582444:1 gene:Sspon.01G0008350-4D transcript:Sspon.01G0008350-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable enoyl-CoA hydratase 1, peroxisomal [Source:Projected from Arabidopsis thaliana (AT4G16210) UniProtKB/Swiss-Prot;Acc:Q6NL24] MGAASPDSGDLIVVGPARPGSPVAVVTINRPAALNALTKPMMISLAAAFRRLGADDSVAAVVLAGRGRAFCSGVDLTAAEEVFKGDVKDVTTDPVAQMELCRKPIVGAIAGFAVTAGFEIALACDILVAGRSAKFLDTHAKYVYRPPLHTDHDMLESVKCYNLAALATRFGIFPSWGLSQKLSRIIGPNRAREVSLTCMPVTAEMAERWGLVNHVVDDNEVLSKAIEVAEAIARNNRNLVVLYKSVINDGFKLDLEHAQALEKERGHNYYNGMTKEQFANMQKFIQGRSSKTPSKL >Sspon.08G0014120-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:53526779:53530276:1 gene:Sspon.08G0014120-2B transcript:Sspon.08G0014120-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLYTSWDAALGFLVTAENSFDDITGKVVADFGCGCGTLAVASALLDAEHVLGIDIDPQSLELAQENAADLELDIDLVWSDIKNLNLKGIHVDTVVMNPPFGARRKGADMEFLSMGLKVASQAVYSLHKTSTREHIKKAALRGCNARSAEVLCELRYDLPQTYKFHKKKEVDIAVDLRRFVPNAQQ >Sspon.02G0058720-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2D:82273304:82273642:1 gene:Sspon.02G0058720-1D transcript:Sspon.02G0058720-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MYTDVVDHLCKVDPLLVADLDKIVDLELVADLGQGGLANLTCMGWGEPVASLITMVWVEPVANLTPLDGENRPILHLMLLGEARPMKHLTQSAELNLPKDAAQTMHTIQVEQ >Sspon.04G0006220-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:14394535:14403640:-1 gene:Sspon.04G0006220-1P transcript:Sspon.04G0006220-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPMSNPTSFDHSYELPLRRNLLLLLDLLGLLRFIAGVLLDRLGVAPYQGEVHLPGQPWGGEHASDATLERFLEARLWETGKRSLTATRYRRRRVAQPADDKMAAEGDAEDAAAVCAICLAGLESGVVELCSCSHAFHAACIDAWVRSGDDAATCPLCRAPMLPTPWDDWTTGRASKLSRRMHAAPVMTFPLVCYCNAVPRPVAALFKLLHAVALVFVLVLCFLGLYEFPYDPEDHAPVINGPPRRPRGEAPRPEAVKQRLPLVEFLELVAASSSSSSSGPEPTCRVCLERLEATDEVRRLGNCTHAFHTRCIDRWIDLGEVTCPLCRSHLLPRRRAGLLGMGMPRLG >Sspon.08G0007340-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:21512997:21514805:-1 gene:Sspon.08G0007340-2B transcript:Sspon.08G0007340-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSHPSQCPPSTAKYTSKALLCLYSSAPSSPSQSCRQHTPDPPMCTTPVPSPPPVALVGVKGGHHVYVTVPQLPEGDDPAVHPGRQLKCRAVPLPTARETFREAVALCRLAFPIAFTALLLYSRTALSMLFLGSIGDLPLAAGSLAIGFANITGYSVLSGLSLGMDPLCTQAFGANQPRLLGLTLYRSVLFLLCCSLPLSALWLNMSKILVFLGQDMEITAVAQEYILFSLPDLFSFSVIHPLRVYLRSQGITWPLAAAAGAAVLFHAPTNYVLVGRLGLGAPGVAAAASASNFVLLGVLLAYVLGRRDSALRAAGPPTAECLAGWGPLARLAAPSCVSVCLEWWWYEVMILLCGLLPDPKPAVASMGVLMQTTALVYVFPSSLGFGVSTRVGNELGANRPGRARAAAHVAVAGAAGMGLAAMSFVAGVRHAWGRMFTADAEILRLTAAALPVVGLCELGNCPQTVGCGVLRGSARPTRAAHVNLGAFYLVGMPVAVLLAFGLGVGFVGLWMGLLAAQVCCAGLMLFVVGSTDWEAQARRAQELTSGTEGEVEKPAAHTSATAAGEGGRPGKGEQEGVERSCYDHEPLISNRNSGEAEAETV >Sspon.03G0024910-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:94146707:94153079:1 gene:Sspon.03G0024910-2C transcript:Sspon.03G0024910-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDREVAFNKKRAEGKDGGKRGSMELKTRRLNPVNTTCYVQILGTGMDTQDTSPSILLFFDKQRFIFNAGEGLQRFCTEHKIKLSKIDHIFLTRVCSETAGGLPGLVLTLAGIGDEGMSVNIWGPSDLDFLAGAMRSFIPNRAMLYTHSFGAGRNASSPQSEDSVIILDDEVVRISAMFVKPRYHNGTGSSNDSDMKPGDTAIVYACELPEIMGKFDPSKAAALGLRPGPKYRELQLGNSVQSDQFDEMVHPSDVLGPSIPGPTVLLVDCPTQYHMPELFSLQPLSCFYEDSPEQSGKKVNCIIHLGPSSVTRSVDYQNWMKKFGATQHIMAGHEIKNMEIPILKGSARISSRLNFICPQLFPSSGFWSVQPPNDVMENDKNASLEACGSVSAQNLLKFHLRPYAQLGLDRASIPNLFTYKDILQELVSEIPEVSEVPEQVRKFWQNNVDDKNTLQPIGNRMVEEPWINQKSDKLDEGTPFQEIVWRKHPRDNQETPCCVVDATREDMEITFLGTGSSQPSKYRNVSSIYINLFARGGILLDCGEGTLGQLIRRFGVNGADEAVKGLRCIWISHIHADHHTGLARILAQRSKLLRGMPHKPLLVIGPRPLERFLNAYSTLEDLDMQFLDCRHTLKSSVEAFLSENDTESAIPQLENTMFAPGSRMENYNRKPASPRDTTALANFKEVLQESGLEILYSVPVLHCPQAFGVVFRAMEKTNSAGKVIPGWKVVYSGDTRPCPALIDASRDATVLIHEATFEDSMKDEAIARNHSTTKEAIEVGTSAGVYRIILTHFSQRYPKIPVIDEVDMEKTCIAFDLMSVNLADLPVVPKVLPHLKVLFKDELAVDEADEIQEAAVY >Sspon.07G0017530-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:79723858:79726445:-1 gene:Sspon.07G0017530-4D transcript:Sspon.07G0017530-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLVAVQGHLHTYRVCDDVWTFIITDATFKSTDIQETLSKMDPNAMVAACYALPVLVSVLTVRFFYVLWHSDQPASRPHTTRLRCLIVLGSGGHTAEMMNIVTTLQKDRFAPRYYVAALTDNMSLQKAQSDGMKTAENAHFMQIYRSREVLGLGWSSIFYIESIARVKKLS >Sspon.04G0013560-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:52839333:52843552:1 gene:Sspon.04G0013560-3D transcript:Sspon.04G0013560-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPLNGIGRSSMPRSNEGMRLIFSAVVGVMLGYLFGISFPTVNITKVDWHTCLSCFSLSYTCFREGGKTLIECVSQLHFPSSIISYIEDRNSGITTQTLLNHAWASANNRKKNSSESNTDEIPKIYVPTNPKGAERLPPGIVVSETDLYPRRLWGDPSEDLTSEPRYLVTFTVGIGQKANIDAAVKKFSDKFTIMLFHYDGRTTEWDEFEWSKRAIHVSVRKQTKWWYAKRFLHPDVVARYDYIFIWDEDLGVEHFNAEKYIELVRKHGLEISQPGLQPDKGLTWQMTKRRGDQEVHKVTEERPGWCADPHLPPCAAFVEIMATVFSRNAWRCVWHMIQNDLVHGWGLDFALRKCVEPAHEKIGVVDAQWIVHQAVPSLGNQGKSDNGRAPWEGVRARCRKEWGIFQTRLADAEKAYYLERGITPPNSTVV >Sspon.05G0018930-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:83043432:83045937:-1 gene:Sspon.05G0018930-2B transcript:Sspon.05G0018930-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VLKMKTNHHMSMWWQSALARDQIWIQVERQPSFWLLKTHLISLQPSKVVLLLDFLKDFCHLSVCAFFTRRIKDTTLSSKDKPSPLLRDSCSSWIGIFSFAQGTAPALPLPFSFVGVWRAKLDCLGNRHDLLYAVVDVSKRPQTITHHVNVAHGKEMNALKLCNSSSTLASVEKGFEGKLV >Sspon.03G0021610-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:65945678:65946267:-1 gene:Sspon.03G0021610-1A transcript:Sspon.03G0021610-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPSAAAPTSTNREQATMKLKSQVDEEKRNKQIIIPRALQWIMAASSSSPPTLTIEEANRKSGMRRAGFWFCAVYVLPLCIDVASFLAYDFHRNDHIFPRSAPWRPTTVVAWGRPHGAGDGAVLFHVPVPAPRARRLAGCCRQSWVASAYHWDGSSSWRHALAATPGSASSWLSSSLSSSLLSLLWVWLVRTYT >Sspon.03G0037750-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3C:465387:471974:-1 gene:Sspon.03G0037750-1C transcript:Sspon.03G0037750-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGAKHLLRALASASTAASAADLHLALISAESCPTRPHSHSHSHSHREAIAAVRRPRSALGGDYGSKGKGRRAAPTDCGGWMRTGHRLTETGTRESGRVGGAHLSCSRPPATRGRPREREGPRRPGGGESGETRRRLERGGVGEWEAERKGSWCACGRPVRCRVAVCSRQMLNFGSTKCGHVLKKGAATRTLLAEGGDGRGRSLGPRPSGLAALPQSRGRVAVAAPVGWTALAFDRPPPSGLHQRIVAWTSPPPSDCLCSDLTSAIWLSPLEPRLHLDCPGVRPPLRIWRLSDAAVMRLAAVRVLLPPSDLCLLPDKPRAMQPAERCDGLQRRKGREGGYTGGYVRRSSRPYAVPPSGRGYSGGYALARTGTSCLVASTYARAENNIRVVLHVGTNDNDNDNKLHVTLMAGAFSGVLLVLGNHNRQSYNLVQECSSGAGASRMRECRTWKGSD >Sspon.01G0006470-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:17222222:17226595:1 gene:Sspon.01G0006470-4D transcript:Sspon.01G0006470-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEANGGVVANEKGAETVGVGRYVEMEQDQDSNTVKSRLSGLLWHGGSAYDAWFSCASNQVAQVLLTLPYSFSQLGMLSGILFQLFYGLMGSWTAYLISILYVEYRTRKEREKADFRNHVIQWFEVLDGLLGRHWRNVGLAFNCTFLLFGSVIQLIACASNIYYINDKLDKRTWTYIFGACCATTVFIPSFHNYRIWSFLGLVMTTYTAWYLAVASLIHGQVDGVKHSGPTKMVLYFTGATNILYTFGGHAVTVEIMHAMWRPQKFKAIYLMATLYVLTLTLPSAASVYWAFGDQLLTHSNALALLPRTPFRDAAVVLMLVHQFITFGFACTPLYFVWEKLIGLHDCRSLCKRAAARLPVVVPIWFLAIIFPFFGPINSAVGSLLVSFTVYIIPALAHMITFRSATARENAVEPPPRLVGRWTGTYMINAFVVAWVLVVGFGFGGWASMTNFVRQIDTFGLFTKCYQCPPPPLPPGAAPLPFPGGLGNITMPFSGTAGSAELPPAPAPSPAHFFRHHHRHHGHGL >Sspon.01G0001020-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:3315652:3318007:1 gene:Sspon.01G0001020-1A transcript:Sspon.01G0001020-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Riboflavin biosynthesis protein PYRD, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G20960) UniProtKB/Swiss-Prot;Acc:Q8GWP5] MASSLVSRPHLTQRPVRAATLASPTRARLAAGAGTLAGRCQAQAAGDLDAHYMRRCVELARKAAGYTSPNPMVGCVIVRDGRVVGEGFHPKAGQPHAEVFALRDAGNLAENATAYVSLEPCNHYGRTPPCTEALINAKVKEVVVGMTDPNPIVASKGIEKLQGAGINVRVGVEEALCHKLNEAYIHRMLTGKAFATLRATLSMNGIVTNHIGKGADQSGGYYSQLMKEYDGVIISSDLAKMSSLPLSREAGANQPLCIIVAQGENSRLHIPSLSEEHASKVIVLADSPVTVEPAGVEVAVLRQIDLESILQLLAQRGLCSVLVDFREAGESFASLLNDFQEDKLVQKVVVEVLPFWLASEGLSNLAFGGSQSFPLKNLEHREVNGSLLLEGYV >Sspon.03G0017040-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:79059296:79060642:-1 gene:Sspon.03G0017040-2B transcript:Sspon.03G0017040-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKRKLEPKSAAAVKPVKASPTTTKVSSAAANRSATAKAAGLSEVRAPVEAAMEKLPQGAGGEEEVEEEIEEEVEVEEEVEVEKEEGEGESDPASIQALLDSFPKDQLVELLRDAAVAHGDVLSAIRHVADADPAQRKIFVHGLGWDATTDTLSEAFGPYEIEDLRVVTDRNTGKCKGYGFILFRHRSGARAALREPQKKIGNRSTACQLASVGPVPGGVANSAVPAPAQLQLPPVSEYTQRKIFVSNVGADIDPQKLFQFFARYGEIEEGPLGLDKVTGKPKGFALFVYKTIESAKKALEEPHKHFDGVVLHCQKAIDGPKPNKLGGAGGFFGAGASSGGKGFAGYGATSHSLPGTVGIVQPMSPLAPGLASLPGGISAAPGVDPALGQALTALLATQQGAGLNLNSILGVGANGSGLPPHGATGALGGSSVPGMQGGYMGGYGGSG >Sspon.06G0003190-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:8533166:8533800:-1 gene:Sspon.06G0003190-3C transcript:Sspon.06G0003190-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSIGKAAEFVSKAVGALRRKAGVLRARLLFLASPRRRVAVLAGISRHIRALTTPRQPCQQEKAAAQRQHYCRGSRAPLAPADDEDGGVLPASLLLVVQAVDGDCGSGSDGRCTADSDWTLALRSLFDDDGEAGGHRGGDDCLAGAVDGLDDDDETSVIDVIRRCREGDGQEFRIEDEIDRAADMYITRVRRRMRAQLEELVAT >Sspon.05G0009710-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:19349757:19353570:-1 gene:Sspon.05G0009710-2C transcript:Sspon.05G0009710-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSNGGKKPAAGGGRGGPTIRTLSDLNSGPAGFPGAGGGGSGSDEDEPQEYYTGGEKSGMLVQDPTRRNDVDAIFEQARQAGAIHGMPPFLGDESSSSRSFTGTGRLLTGETVPSAAPQEPAPVRIRHNIHLWNNGFSVDDGPLRYYDDPENAEFLESLKMSKCPRELVPTDGEHVDVSVIKRMEDYREPVRPRSAFQGVGRTLGGGPSPDESAPPAPASAAPAASRSVGIVVDDSQPFTSIQLRLADGTRMVARFNMHHTVARL >Sspon.04G0015290-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4B:61245659:61254190:1 gene:Sspon.04G0015290-2B transcript:Sspon.04G0015290-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKRDDRTRSSNDRTRRTHSAAHPRRNDRTRSTQRPDTIVPASGRSPTNQQNHRRERKRIGTGKVYHRCTGGPPRIRRWRSTRAVNRDQGGARGKGAPEQWRKERHEMGVVTLAATRTRPFIACTTSSHALAQTFSAKHRGVLHREGSGNREDRDIQDDGGERNEKPPPRPPAAAGASQRLTGSLRAPFFSPPAKQRSSNKRDEPFSLAAATTSSSRLRLGLRTRARAGSRADSLASPPLRARAHAHQLGRTHPQGLGRGDPAAMASRVKEDERHEKIIRGLLKLPANKRCINCNNLDDKDEYSENRRSDGNWGGSRSPPNGSYSDRRSYSGRSDDRNSRYSYGDRSPGYDQNDYKKSPRYFEVVDDRSGKTTPVQRFEDRRFSEPRKPDSGSPDFQKEADGSSPVVRPVRDILGDNAPQLRVGEPSKPATEPPKPSVVRPLDPPKPSVVRPIDPPKPNGTRAIDPPPLTKTISSASSIGSSEGTSEQTKVATAVSLIDFNADPEPASTAPPQPTPMPQQPPVNAAAPQPVLEQGKSAPSVSGGDWASFDAFGQQQTPQTSSSVNPLESALAQLSFSEAPSAPNASAYPASLDPTLKANDGGHSSVLDHSHSLFDAPFGISGNQASTVMSGQGSSVQQSPLAAPTAGLPSQATANPQGTSGIQGAASSTDSKFSGRKELPVDIFTALYPPSTPMMPGWQRAPQFGMGYAMQYPPGVGMQAYPHGAFPQPTYQQPVYSQHAYSHPQPVKASNPFDLGNESAPIQAHMPPSGPPGPAPQTLIGNSSFGVPPQQPHQLYQSAAHPSHFMMQQVPNSMPMPGQQPNSMLATQQGLGSFNMGFDQQAPPRYPQPNTPPSYGSVGGSNPFG >Sspon.03G0015530-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:69956478:69959341:-1 gene:Sspon.03G0015530-3C transcript:Sspon.03G0015530-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFNQESRAAPQREEAPLQSKYGGISPKKPLINKDHERAYFDSADWVLGKQGASSNSTTTTVPATEPLKPKLQRTAYRQLPPRRPACTSE >Sspon.07G0016850-3C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7C:84999632:85001104:1 gene:Sspon.07G0016850-3C transcript:Sspon.07G0016850-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MESHETSGKQVEPHGKAKKERFLDFLRAAPSKDLWLHRFGVNAPQAVLRRVATVSARTAAYAPAAYARLLSLRAPAFVRTVDWRAVRARCKAWARRPTNAALLVWLAFVAAGVVFVFLLMTGALNSAVPDASRRRRWTEVANQVLNALFTIMCVYQHPRLFHHLALLLRWRDADAAELRGVYCKNAAAGPRRERLHVAVVLLLLHATCFAQYAYCALFWAFSSDTRPDWAVNFCMGFGLAAPVAAALYMVYGPLGRRIVQLPEVSSDGDDETVTVKDAAMADDTQNNSGRVAVARPEWAGGLFDLADDPTVAALSLTCGFCVFGWNMERMGMGNMYVHVFTFALLCAAPVLVFAVAALNIHDPTLGYLVGATGALLSVLGLTYGGFWRAQMRRRFGLPGDRSMCGGRPAVADYVKWLFCAPCALAQEVRTGNLYDVEDGSLYHVRGSEEDAAAEEKPAMAPLEREGCAAPLTADKAHDGIECVVTVDPPAP >Sspon.07G0036360-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:32722185:32725794:1 gene:Sspon.07G0036360-1D transcript:Sspon.07G0036360-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALGTRHYAALPWQLRAPPNPSGAAQAATPVMPPVAARPGCTVNVSWPNADEVTSSSRNQRVAPTKKRPSHLPQQGRNIAVIWRQGNMWVGWANRRIQEHK >Sspon.03G0017070-3D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3D:40856163:40859375:1 gene:Sspon.03G0017070-3D transcript:Sspon.03G0017070-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLTFTKLFSRLFSKKEMRILMVGLDAAGKTTILYKLKLGEIVTTIPTIGFNVETVEYKNISFTVWDVGGQDKIRPLWRHYFQNTQGLIFVVDSNDRDRVVEARDELHRMLNEDELRDAVLLVFANKQDLPNAMNAAEITDKLGLHSLRQRHWYIQSTCATTGEGLYEGLDWLSNNIANKAFQRAGYVA >Sspon.02G0022200-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2B:72339113:72339358:1 gene:Sspon.02G0022200-2B transcript:Sspon.02G0022200-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLMTALQLVMKKSSAHDGLVKGLREAAKAIEKHAAQLCVLAEDCDQPDYVKLVKALCAEHNVHLVTVPAAKTLGEWAGVS >Sspon.03G0037780-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3C:949047:951143:1 gene:Sspon.03G0037780-1C transcript:Sspon.03G0037780-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LAQGGVHRAGVPGVGGAVERGLAAALIRLHFHDCFVQGCDASVLLDSTPTQPSEQLSPPNLTLRPAAFKAVNDIRARLEQACGRVVSCADLVALAARESVALGGGPAYKVPLGRRDGLAAASNAAVLAALPAPTSKVPTLLSFLAKINLDVTDLVALSGGHTVGIAHCSSFGNRLFPTQDPTLNKFFAGQLYG >Sspon.07G0004980-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:11718728:11722335:1 gene:Sspon.07G0004980-2B transcript:Sspon.07G0004980-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glyoxysomal processing protease, glyoxysomal [Source:Projected from Arabidopsis thaliana (AT1G28320) UniProtKB/Swiss-Prot;Acc:Q8VZD4] MEAQEIAAAARHFSAMARIVGPVSLRLRTITSSTPPNYLANRRASPLLRRLRFQDPKAVKMRRHAFHLHHSGSTTLSASALLLPRGALAEPPPLLDHICAAHGHAAGDVALTAASLVEPFLVAEQRNNPGEELQPRLVPEVRLDVLVEHELGNTQDGKSGPPRWLPARLLAMVDVPTAADSALSLLRHEEFIRRPTWDVGWSLADANQKQVENDTRSCLESNRNNASIESVDPLMLSKSATKIAILEISTFNSNDARRINVSVMQQRGDPLLIVGSPFGLMSPFHFFNSISVGAVANCLPPCTVRSSLLMADIHCLPGMEGAPVFDRNSCLVGLLMNPLRQKGSNIEVQLVITWDAICMEWNSKKLEEIGCAPRELPNDKNTDSKSIELWHGYNYGRFVSSTVNKINQHCISPPSLREAISAVVLVTVGDTSWASGIVLNKRGLVLTNAHLLEPWRFGRTSPSDLQASFTGEHLNAGENKSLQPQGKFSNEDAVNHKVSLFNLGFKREKRISVRLDHAERQIWCNASVVFISKGPLDVALLQIDKVPVELNTIRPEFVCPTAGSSVY >Sspon.06G0011910-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:51877296:51882045:-1 gene:Sspon.06G0011910-3C transcript:Sspon.06G0011910-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-(apurinic or apyrimidinic site) lyase [Source:Projected from Arabidopsis thaliana (AT3G48425) UniProtKB/TrEMBL;Acc:A0A178VA84] MKRFFQPVPKDGSPSKKRQAAAAEPGDGPASAGAATGAGAGGDGEGRPAEEPRKFLTWNANSLLLRMKSDWPAFSQLVARLDPDVICVQEVRMPAAGSKGAPKNPSELKDDTSSSRDEKQVVLRALSTSPFKDYRVWWSLSDSKYAGTAMFIKKKFEPKKVSFNLDKTSSKHETDGRVIIAEFESFLLLNTYAPNNGWKEEENSFQRRRKWDKRMLEFVQHVDKPLIWCGDLNVSHEEIDVSHPDFFSSAKLNGYTPPNQEDCGQPGFTPAERRRFGNILFQ >Sspon.06G0003560-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:8683814:8688500:-1 gene:Sspon.06G0003560-2B transcript:Sspon.06G0003560-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SLWLNGCSRLRYLPDGMTTMRKISYIHLLECDSLERMPPKLGLLQNFLTLTTFIVGTGDDLGIEELKDLRHLGNRLELFNLSKVKSGSKVNFHEKQNLSELLLYWGRDRDYDPLDNEEFNKDEEVLESLVPHGELKVLKLHGYGGLAMSQWMRDPKMFQCLRELVITECPRCKDLPIVWLSSSLEVLNLSKMISLTTLCENIDVAEAGCNTSQQIFPKLRRMRLQYLPELERWADNSAGEPCTSVMFPMLEELRIYHCYKLVSFPESPVLTLLSCRGDSARGLVPVNMPLGSWPSLVHLGIGLLAEVVMLPEALQSQNQRPLYTMRSLKILGEDGFVSIFNLSKSQLGFRDCLAFVEKLEIGSCPSIVHWSVEELRCLPCLRSLNIWYCKNLEGKGSSSEEILPLPQLEWLSIQHCESLLEIPKLPSSLEEMGIRCCNSLVALPSNLGNLAKLRHFFIEDCGEMKALPDGMDGLTSLERLSIEECPGIEKFPQGLLQWLPTLKFLEIKACPDLQRRCRQGGEYFDFISSISNKDIPAVESNIKKLDPSRCPELNSLEANDNVTLGKGVQKIAEDKDESVVFFRELYKREKYRDVNLLEPMYSSSLMLSKADDTSAAPLFPSLDTEANSSRMLPGKPDGATTSARPASHTASSSSKTTCVNGAPAVSKEKKQPRTADQRPSHKVPTNGKQKAAAAAVPGTRTSGAGAPKKHSERCYASQASGKSTSTVKGVADQEVPFKAPKNLITTARSIFRRQAPPAVGAQSKGAPPAVSAQSKGPGSGVDVKKKKNGKATRQPCPPAVTRGMTMSELLLQDRRNELPPRGTNVAGSGAGGEPASSTGGRAGRAPLLRGTAKADGRAWI >Sspon.03G0043610-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:82629793:82644959:1 gene:Sspon.03G0043610-1C transcript:Sspon.03G0043610-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding STVPLPRGRSTRPHALVALSARRGRGSIAASLFPAHAPRPLCPPAPPQGLASIAASLFPARTPRHLCQCRSSVLACPCARAAPAPPASNISSSWPGPQAVRFLLLQPAVHVSLQLNRVSCTTFFVLRGVAALISAYPIDRPMSTPIDALTPNTHCRHKHVSAASPGDTADDFPTTFHVPSKRSACRRLPAQPTVHGAFSGTNLDIPDDFPTTFHIRRSTRRRLAAQPTEIRRPDVAHADPCQKYMAATSATSRQAKEGQSLGVESGSGKSKDPAMPKECLEVRCEDSQRIIKEIEDLKSELDEDLRQLGYCEENEKLRAELAVKVKEMHCLMKRIEELEAKNDLLKKRTEELEAINDGLPKRNENLQAKNGDLRKQNMELQAENEDLTKQNKELQARNAGLTKGNEEMQAKNDDDLHKNIMEILEIQTDARRKNLLQFLGLYSIMDFPYTQLKSSDEEGNKIKASSVVAAGSDPKIQIKALISQLLSLTQQVVSADSIQAKDADLANKKEEIKSPKAYLGEESYSNEKDFSQQKIEDGEKLEKVLRATKAILEAISAEKETAEESLKYNQCLPAFKDHYPDLDMSVINNGFKSDTVKAQELIESFKDLAQPATREQQEPAQPQRGRVIEMNAGRWISDERC >Sspon.05G0019110-3D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5D:77063539:77067480:1 gene:Sspon.05G0019110-3D transcript:Sspon.05G0019110-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAVSSSLGAMGPVLRKLDLLLASESRLRKRVKDGIGLLKQDLEEVGSALVDLSMLETPSLRAKCWMEEARELSYHIEDFVDDLMLMRTDAGAKIRAVSRHRVGRVKISLLPAPPRRSTRIAKIAQLRALLLQATERLERYQLDACCSSPNHMNMITQHRRAPALYGDAANLVGIEDSRIKLIEMLTGEAEQQLKVVSIVGPAGIGKTTLAKEIFRELRGQFELRAFVHATRKIDMRRLLGSILSQVQPHHQLPSVAGTVQSLIDSIQEQLRDKRYLIVIDDLWEETAWDIGYIMWKVHLVKQWIAEGFINDAEGKDREEIAEGYFEELVNRGMVQPMKIDYNDEAGAMPNLQRIKLCFNAHRGKNHNRVLDGIEHLLNLQEVVGRIGVLPGAGESDWWVVKLSFEDIIRKHPRCPRFNSQLVDFIEEDYPPLDKFHLRLHGGSSSEHGIVEKACAEDMDKHIDSSDGAVGSRGDGGGGGGALEGNEVEYVTGLAMVVPGGGGGGIISSGGRQKHFA >Sspon.08G0022900-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:51690610:51691420:1 gene:Sspon.08G0022900-1B transcript:Sspon.08G0022900-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MWTWSGVTCDSSRGRVISLVVPSFNLTGVISLAIGNLSALEVLYLNGNQLYGNIPPELGKLSQLGYLSLDNNLLTGAETLGLLKSVTYISLFYNNLTGEIPDICNCSSLTTILLDDNSLTGEIPFSARCQLPYLDTLDLSGNRLVGVIPSSLSNFTNLTMVELNSNFLSGVLPSQIFNKMKSLHELDLSYNNFSSDCGNTNLEPFLTSLHDVLRLVSNGIGGNIPPIISNLTAVLIYLDDNKISGAIPRAIGNLPLLET >Sspon.03G0022170-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:90534668:90541237:-1 gene:Sspon.03G0022170-2B transcript:Sspon.03G0022170-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSGGDKPTATDATESVVVLDGEETLSERESVGARHASALPNGGGKEAPSSSLSTGNKRKRDNLGSNQMELNEPGTPSSSSGDSTWSIDSLDGRRQLSLSRNKNEHSEHSVTSAGVTVIRQPRGVLRLRKLAQNVNTESWAGGHNIPQANGVPRSSQLPRRNKKGESVAFQENRIGGDEPVSCLRTGNSICDQDTGTKFCSETEFSVEKQSHLSGEPSKAVHVDKGGCDHVKDDDGVSLEENAARMLCSLSDNRCAGSPRKGMKSPDRSSKRSFPQHSNHFKNSYKKIKDVPGPARSLRKRDGKVPFRKRRARRHFYEVSPSDVDPFCIVKERIRVFWPLDETWYFGLVKEYNPVTRLHHVRYDDKDEEWINLQNERIKLLFLPAEARNRSKCTNFRSVFEPKNEQGDREDMDGSNTESSESGPISSWLTRSNQAKSATLCAISKQDHNHSNTVAISFDQKQCRCSDAKESNDSIPYSSLANGDAEVLNERTTPEDRRFRFVYSRKRFCRKRNDFLSISEQRSNCQRRGSSAIVLAACPSMESSTATGALVTYVIPALSLPLKPVYKLLCEACCVSVSNAFFLLQCGTLVALWPVVHLDILLVDSALGSKHFLLDTCLRSAVSLFCLLAGSFKQCTRQRTTKESRIPCTSIRFQISGWYGRSQVVFMFFSFVGVEKSKLKHLQRKLQYHCSKELSKDSTKCTGQRSLSSMDLFSKCKFTQSVLDMTSAPSLLLWHQQLTGSNSTNGSQQSMSCTLDEDQQQLVMEHESITVLHAPPQVCAFNLGSSPDSPLDMASASCANPSSSASRESKIVERNMIPECNVGSIGDANIMHRKFQDQNGPCIGADKLCSSNPSVICSSQKSTESRLSINVPQDKAIDAPNDKPLDKDEKEKQPISNLVQELNEHPIGRVTPTAPRTTYHKNRFTSISRAFGDGSKLLPEDHVLTGFAGGSKKPRSQVSYSVSPRRTGLKNKGHFHKIQSHAKKLPDSSRSGHSSLESLTCVANVLVTVGDRGWREYDTQITMDSDGQSERRICVKLAEGTKYAHKVCQVLQPGATNRYTHAMMWKGGAEWCLEFPDRSQWLIFKQMHDECYSHNIRAASVRNIPIPGVHLVEIHDDNDVVSFVQSEDYLVHIGTDVEIALDQSRVLYDMDSDDEEWISSWRKFLVRDNTTLELAEDLFERVMDKLEKFAYSHNCNELSIDQMKELDIDDIPLDIIESAMWKIYEHRYMNGNQKYTECRVHQMGTKKRNCLPSLHCLPSV >Sspon.01G0012490-3C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1C:33489511:33495245:-1 gene:Sspon.01G0012490-3C transcript:Sspon.01G0012490-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding YVGRVAGRDEGEVERLYEERQKRKATESSGRPREDDDGLEVDPVDALPTKTLQGELVYNRAKKARSEDNTGGVKSKAQENGADAKQSIKKDEPKGKSKNKKGDDNKVKNIQSQTEVPKGQLHSNVLEEVKEELSAEELFEKKKAQLAELGMAMLEDPESNIRSLNDMLSISNDKDQKVAKLGLMSLLAVFKDIIPSYRIRQLTEKELAVEVSKEVKKTRYYEYTLIRCYKVFLSLRFDEDIGKDETEEEKVKPKKNKRWQKNQEVPKQLPVNDKKKTRQELISKAREEVDADLRAVSFTLDPKERKRIQKETLSALFETYFRILKHSVTTSNSRTKVNNVSPGVSHPLLTPCLEGLGKFSHLIDVDFMGELISCLKKLSGYSDRQDEIPNDNALSVSERLQCCIVAFKVWRSNLEALNVDLQDFFLQLYNLILEYRPDRDHGEVLADALKTLLWEGKQQDMLRAAAFIKRLATFALSFGSAEAIAALVTLKHLIQKNSKCRNMLENDSGGGSLSCLVAKYNPEATDPYLSGALASVLWELSLLEKHYDISVSSMASNILSMANLNPTQNPFPILNVNPLEAYRDLSMERELLKPASKALPLNLKKKRRGKEFVALSSDVLQKADCSVDKDELEEKLQSHFAVLRGISENERLRAELNHTLSSINMYKEYKKQKKKNMKFKTGRKKLEATLFGGASGSEALQTRL >Sspon.03G0035280-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3B:79684679:79684957:1 gene:Sspon.03G0035280-1B transcript:Sspon.03G0035280-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMGAPARPSPATSKRRQDPKAALPLPHRLSGLPPPSPLSSKLAGCGEEGGRGVGCGRWPPELGKKGWGEHERRHERCGRRDVQTGKNDRLL >Sspon.02G0042160-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2D:67037925:67038550:1 gene:Sspon.02G0042160-2D transcript:Sspon.02G0042160-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSKQVLLLAVVAAVACLASLASATQWVVGDECGWRAKFNQTGWADGKTFVVGDTLLFKYPKGKHTVVQVCEEGFETCNHDDDDDNQLGAWCSGHDVVRLDKPGKMWFICTKRNHCLKGMKLAIDVVDDAPPPPPVITFPFPGTAPPPPPFSWPGSPPPPPFGWPFPETAPPPPPPPPRSAA >Sspon.05G0031030-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:2989046:2993553:1 gene:Sspon.05G0031030-1C transcript:Sspon.05G0031030-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSAESSAAGGMRKAPSLEWRWVSTEEDDDEERAGAGVGTPAAVGAVGRGGSFESEDDEDDDEEEEKEEKEKGRKRLIRTVPSVDWFDVEGNEVSVAQQLDDSEEFDFGRTMFLALQTLAVVFGDIGIGPLYTFDVMFNKYPIVGEEDVLGALSLVLYTLILMPLVKYVLVVLGANDDGEGGIFALYSLICRNAKVSLIPNQVQSEKRMSSFRLKLPTPELERSIKVKEKLESSLLLKKLLLGLVLFGTSMFISNGVITPAMSVLSAVSGLKVGLPNTSQDAVVMISVALLVVLFSVQRYATSKVGFAIGPSLLLWFCCLGGIGIYNLSLYGPTAFKAFNPLYIIYYFGRNPFQAWLSLGGCLLCATGSEAIFSNLCYFPVRYVQYMFVLLVLPCLVLAYLGQAAFLIANQKSSEQVFFSSIPSGVFWPVFLVANLAALIASRTMTVAIFQCLKQSIALGCFPRLKIVHTSRKFMAKIYIPVVNWFLMVSCLGFIILFRNIYDVGNAYAIAELGVMIMATVYVTIIMLLIWEFNIMKVLSFVITFLFLELIFFSSALSSVGDGGWALLIFASVLLMIMFIWNYGSKLKYDSEVKQKLSKDLMRKLGPNLGTIRAPGLGLVCSDIVKGVPAIFGHFLTSLPAIHSIIVFVCIRNVPVPVVPQSERFLFQRVCSRGYHMFRCIARYGYKDKKQEHHSVFERLLIEGLEKFIQREAVELSLQSEDDIDSDEEPPTPVKIIQAPNGSLYSLDVPLLADYAPSTELIPEASCSTPQHDPVLDYAHNLELELAFIKQSKRSGAVYLIDNPIIKARKDSWFFKKLMINYFFAFLRNNCRRAIMLMSIPHSNMMQ >Sspon.07G0009720-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:108747779:108751406:-1 gene:Sspon.07G0009720-2B transcript:Sspon.07G0009720-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAIASPHAPPRPEPPSAVRSRSNAPEHATFPPGPVTAARLRLRRPPEPGPSPPRTRLSRPMPPPTATVAPAAGGSFPELTCPADFAAVAAPGGRFSVVGFGSLLSERSARSTFPELEGFRVAALRGFRRVFAHAAPIFFERGIAIEATKEFSSLSVEPCDGELIVVTVFKIKEEEVPSFIEREHEFRFLAVVPEGLDGVPFTNRAVVCARYSDEEYFQGRCQGSKEIYYQRYGRYNIDKIWRDDILPCRLYLRHCVLAAKNLGEPAYNNFLDHTYLGDRKTSIREYLATTGSGIMEEEPPESLKSRYGG >Sspon.06G0006930-3C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6C:24779215:24779759:-1 gene:Sspon.06G0006930-3C transcript:Sspon.06G0006930-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VQNYRVLWTGVGAQLARDVPFSAICWSTLEPIRRKLLGLVGEEGNAASVLGANFAAGFVAGSLAAGATCPLDVAKTRRQIEKDTEKAMRMTTRQTLTEIWR >Sspon.04G0037590-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:74837895:74838473:-1 gene:Sspon.04G0037590-1D transcript:Sspon.04G0037590-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding PWKPGPLPSPTTPICSACAPGPISTPQPKLLAANPRSPTIKAHPLLSPVFTRPPPPTRQRASEQGERFPIREGRCQATPAAAPPRARLVQIGTRRPADGTDPALHQVNRSRRSHTATDRRAALDCDAVACLLRAFIFSDKDRRADCCRSVSPLELLGAVRISALD >Sspon.08G0005280-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:15860352:15862919:1 gene:Sspon.08G0005280-1A transcript:Sspon.08G0005280-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAETRSVVGGRGLAEAEAAGNVDAGGEDGRDADSGGGDASGKIFVGGVAWETTEETFTKHFQKYGAITDSVIMKDKHTRMPRGFGFVTFSDPSVLDRVLEDDHVIDGRTVEVKRTVPKEEMSSKDGPKTKKIFVGGIPPSLTEDKLKEHFSSYGKVVEHQIMLDHSTGRSRGFGFVTFESEDAVERVMSEGRMHDLGGKQ >Sspon.01G0024670-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:86456017:86458762:1 gene:Sspon.01G0024670-2C transcript:Sspon.01G0024670-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDAVVVMAVPGAGAGGGGGGGAASAAPCAACKLLRRRCAAGCVFAPYFPPGEPHKFANVHKVFGASNVSKLLQEIPVQHRGDAVSSLVYEANARVRDPVYGCVGAISSLQQQVEALQAQLALAQAEMVRLKMSNDYILHRLKAARTGGGSSYTGSPSSMSSPKTAEPEAHCKATPELLDMVVDQPSMDDAHFWSY >Sspon.06G0011630-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:46243722:46249211:-1 gene:Sspon.06G0011630-3D transcript:Sspon.06G0011630-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VKLVWYYNNALGRPKKKKIIARSQSYHGTTFISASLSGLPAMHQDFDLPGNFVLHTDCPHYWRFHLPGETEEEFASRLANNLENLILKEGPEMIAAFIAEPVIGAGGVILPPKTYFEKIQAVVKKHDILFVVDEVITGFGRLGTMFGSDLYNIKPDLVSLAKALSSAYAPIGAIIVSREISDVIHSHINKLGIFAHGFTYSGHPVSCAVALEALKIYRERDIPGHVAHVSQRFQEGIKAFATGSAIVGETRGIGLLIATEFTDNKSPHELFPFEWGVGEIFGAECKKRGMIVKVLGNLIVMSPPLIITHEEIDELVGIYGEALKATEERVAELKSKKTYN >Sspon.02G0019720-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:59431415:59433504:1 gene:Sspon.02G0019720-4D transcript:Sspon.02G0019720-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEMSWVGKKIHLYNVTMGLYMLDWWERCLFSILPLRLRPIQFLRYSSHCKFRPVDILVLILLWFICFNGSRFATDVFESHLKARIFQGANHGLSIGMPSS >Sspon.02G0052270-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2C:89989570:89989941:-1 gene:Sspon.02G0052270-1C transcript:Sspon.02G0052270-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAACSPKLVCFLALALVVVTQCAAQNSPQDYVDLHNAARADVGVGPVSWDDTVAAYAESYAAQRQGDCQLIHSSGPYGENIFWGSAGADWSASDAVGSWVSENKDENGRKRSENSSTIFYFYI >Sspon.01G0001800-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:4958060:4962229:-1 gene:Sspon.01G0001800-4D transcript:Sspon.01G0001800-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAAAAGVELGSSKPQIATQAEMAEARVPLAYRDQCAHLLIPLNKCRVAEFYLPWKCEPERHAYEKCEYELVMERMLKMQKIREAQEAKVKGGASIGLIPATAKLA >Sspon.03G0038270-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:1251747:1256122:1 gene:Sspon.03G0038270-2D transcript:Sspon.03G0038270-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPLPRFTAAARLPLHYRPEFSTATAAAMAGAQAAPQSQSQPPAGGVAESIMPHLLNIYGSCATARDFEMYAPNATFEDPLMRAHGVKQIKSAFYTLPKQVFGESKITEYTVQENPMGPGKTEVVIENKQHYKVLGKPVDLTSLIRLQVQDGKVVKHEDWWDRKPLKNRETVGLPLVGRLAEASRRGAMLLTHALMGFGKDPKPTQPPPPSQSSQH >Sspon.08G0009150-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:39165336:39176193:1 gene:Sspon.08G0009150-3C transcript:Sspon.08G0009150-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDITCGSLLQKLQLIWDEVGESEEDRDKVLYQLDQECLDVYKRKVNQATNSRDLLIQALDDSKIELARLLSALGEKAIARTPEKTTGTIKQQLAAIAPTLEQLTKQKNERKREFVNVQSQIDQICGEIAGTIEVGEQVTTPQVNEDDLTLERLEDFRSQLQELEKEKSNRLEKVLEYVSMVHDLCTVLGMDFLSTVTEVHPSLDDSVGDNCKSISNDTLSKLDKTVATLNEDKKLRLSKLQELAGQLYDLWDLMDAPKEERRMFDHVTCNRSASVDEVTAPGSLALDLIEQAEVEVQRLDQLKYSKMKEIAFKKQTELEDIYAGAHIVIDTAAAHEKILALIEAGNIEPSELIADMDAQIAKAKEEALSRKDILDKVERWMSACEEESWLEDYNRDDNRYNSSRGAHLNLKRAEKARILVNKIPALVETLVAKTRAWEENRGLSFMYDGVPLLAMLDEYVMLRQEREEEKKRMREQKRYIEQQLNTDHEGPFGSRVSPNRPASSKKAIGPKLNGSVSNGTPPNRRLSISGQQNGGGHGRSGGKDSKKDTAKTASPGNNAAAPVGNIQTVPLCQNLYRNSFTYHCLQEDKTLQFDDANQFLEQCEREKARVLVHCMSGKSRSAAFVIAFLMKSRAAQQQLIDYETKLFGSNNVSIPAQPFAPVDSFPSLGFGFPKPA >Sspon.03G0021310-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:83796686:83801384:1 gene:Sspon.03G0021310-3C transcript:Sspon.03G0021310-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAPKQRWTSEEEAALRAGVARHGVGNWRMILNDPELSSTLRYRSNVDLKDKWRNMNVIVTSSSARDRGRTSTRRTRAAPKNNDHSLALSTVTSDVDDEIVDVNPIPIASVPVEAWNTSNSKKSHSRLDNIIMEAIKNLNEPTGSHRTTIANYIEEQYWPPSDFDHLLSAKLKDLATSGKLLKVNRKYRIAPSPPCLEGRSPKMMLLEDVQGEPLKLGSDASRTLTRSQVDAELVRMATMTAEAAAAAAAHAVAEAEAIMAEAEAAAREAEAAEAEARAAQAFAEAAVLTLKNRNAAKLMAQA >Sspon.06G0020340-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:8691530:8699556:1 gene:Sspon.06G0020340-1B transcript:Sspon.06G0020340-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADDASHGASSSTVKPADDPESTIEINIKTLDSQVHKLRVEKNDGYTLHLVARRAAEGQNSSGASEGNTHANVNVTGNGGMLDDISRSVRDLLGSLGVAMSGGVTNTAFSVPLTTAPEGPNNVPGRAQPMNPAQPGFSVLNHQIQVTQLQPPGAIPRNMIIPDSLTTLSEYMDRIDRVLQNNGAPPSRDSQSQQQQTVDDANVNPRYPSPDVLASVIERAQQLLGGSAASALSHIAQRIRQDGGTADASLRREIQTESVQLGISMQHLGAMFFELGRTMMMLRTGLSPSEAFVNSGPAVYINSTGPNPIMVQPSYQNTPPFGVSNIPVMGGISGAFGIVDPSRSSGFGDPFRRVNVPSSGASATSGSAAGTTTTSEGAINGNRQDAARTQGGNTPGHPAATRGLPTRTVVAAVPARSSAEAPNHVFSVILPVQVRGQVAVPNQSSQGSQAAVGNGAQGNSTSAVPQASVGGVSGVPPIVANALAANAPSQVSLSTQTAADQGFHPTIDSRADVLSSSTPATTPPQNDPSDRNTSNVPSLDSIQQHPQLGDTCADTADLPGDATATNTHDVPSNASVENSALKNKSSDEVGSQPTEPSASGSAEPLGLGGGLIPKKRSRAVKPSGSTTDPGRDSLSVSQNQDPISVAQQFLEGFASRNTNASRSHAPASGPPSSVPQPTEVPPRRQGGGQPDIGSMISGMLNNPVFSNILSNVATQAGGSSADLRSVMEGLQSPAIVDTISSIVQNVDEQDLGAMLGSGRGQGGMDLSRMLQQMMPVVSQALGGAGGRSAGANSRQSRSWPQHIDSGEGNVPASSSQIDLHQARQSIEQHESPENIFSSVLETAAQAYGEDDSIQGMIEELSSDQELTDEYLKFLVDQVRQRVQSESQSGSPP >Sspon.01G0000300-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:644518:650960:-1 gene:Sspon.01G0000300-2C transcript:Sspon.01G0000300-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRRQKRRQDNSGIGCMAGLIRMFYSRHDANKLLLDRKQGSSRRHTFSGFPGRSHSRKNSKDLDEIAEYDNNMEESSSSKPTTVKRLMEDELGKLKQLKIPNDEVQRILADLGHGACLDKSSTQNSKAKGNQNHSKSITMAAPSGSLDPTGSSCMKEAEENELEFTLADFLGQIHREHDDQPHKNCKNNGELCTELKVLIQTKIAELDNPRCTLAYEQTPQGEEKDTADGKHLCSSSETQPKKFRDALEMLSSDTELFLKLLQKPNSHILESVQRHQNRLIGTRLEPTKMADNTDSNRDTKSLNQHELTTKTHGKESRHIFFWKKERSNRRQTAEGTSSSSQLVNKIVILKPNPRREIDHAVAVSSTQAPKLGATESSKFSIEEVRRRFRIVTSEATKGRPPVSEDNLQKDQHCFKSSAFTIIKDTRQLAEQTSEGKSSSTVIKDFRSSNSNRQKKRNDGSTEINSSIITSSKDESVFYDEAKKHLTEILKDKRQTTKHPTLQISMSLVRMLSLPQSSTSSPRSSPRAKDCIYLSPEETSIHAIYKSKREEFLKEESQSGEFSESVVCDPSEALHEQAVQERCCVKEESQKPTQEGAELDTLCPEEIDKLDCMEKNRNAWCTPAKQCTYKPSQDMVEEAEPGQVHVGTFPSSPENDFEKLECQEPTTPRPSAPIEQISQFSPDGNHEKQEQPSPVSVLDVFFHEDVDSTDTENMIECELHKDILRTQYTTGDGSDQGIFWDWEDKDLRHSIRAPPVGQNLISEIDKHVERHLHYQFPSTLDQLVNMDLEGGSWMDLRSESEEDWKWKKAEW >Sspon.08G0020520-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:22649959:22652858:1 gene:Sspon.08G0020520-1B transcript:Sspon.08G0020520-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding WISRRSRTRRSSPPSDPPTRQPSARCWPTPRRRAPPWPRSPPRRRTPGRLRCTSLRRPAARRSCASSRSTTSRPPPQLLGSAPSVE >Sspon.05G0013850-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:50482082:50489910:-1 gene:Sspon.05G0013850-2B transcript:Sspon.05G0013850-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYLKTVVPSQLMAERGSNLVVINPGSGNVRMGFASQDVPFNIPHCIARCINPQEGEEPRFSVRDQMLNCHATSSQNAERESAYDIVLEDLRTIWNWVLTEKLHINPRDRGLYSAILVLGETFDNREIKEMLSIVLNDLGFSTAVVHQEALAAAFGNGLSTACVVNIGAQVTQVVCVEDGVALPHTALALPYGGDDISRCLLWVQRRHRTWPNFQTDPVSKPIDLLMLNKIKESYSQIKVSGLF >Sspon.06G0032550-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:88223544:88226745:1 gene:Sspon.06G0032550-1C transcript:Sspon.06G0032550-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLEELRKLERTTQRILATLHDAEVHWNIQQESTKLRLRELKEVACDVKGVVMEYEYEADRCKLEALKRSARYHYTGKRKRHEESEMPSVDTGVVQVPYEFASRVRKIAERFREIMHFSTEFSLSEDDGQKRLFTPGSSSFRQTSSFLLEKRVVRRDKEKDMIMSGAVFTSLSVWTAWEKLFSFLRGFTAVSSLVPSASAWVAMDLEELRKLERTTQRILATLHDAEVHWNIQQESTKLRLRELKEVACDVKGVVMEYEYEADRLDTGVVQVPHEFASRVRKIAERFREIMHFSTQFSLSEDDGEKRLFTPDSSSFRQTSSFLLEKRVLRRDKEKDMIVDKLFEQVAKLVQTMPPHRPSCLSFDEGWSLFKQVAFPDDQEFDAPANLIEIGKNIVRKCKGLPLAVKTLGSMLCNETDEIRWADVLENESWDLENH >Sspon.01G0005950-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:14893023:14897872:-1 gene:Sspon.01G0005950-2B transcript:Sspon.01G0005950-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSEQRVVAVIMVGGPTKGTRFRPLSLNVPKPLFPIAGQPMVHHPISACSRIPNLVQIYLIGFYEEREFALYVSSISNELRIPVRFVSCPDDVCFCGVGLGALGRFQSRAIDRVSPILGTCERISLTGQLEGSTALEITSWKTSHIVLLNCDVCSSFPLPDMLEAHKKYGGMGTLLVNKVSAESANQFGELVADPETNELLHYTEKPETFVSVSDLINCGVYIFTPNIFSAIEDVLKQKKDRANLRRVSSFEALHSATKPYKLNINRALPPDFVRLDQDILSPRAGKKELYTYQTLDFWEQIKTPGMSLRCSGLYLSQFRRTSPHLLASGDGKRTATIVGDVYIHPSAKVHPTSKIGPNVSISANARIGAGARLINCIILDDVEIMENAVVIHSIVGWKSSIGKWSRGEGDHNAKLGITILGEAVDVEDEVVVVNSIVLPNKTLNVSVQEEIIL >Sspon.02G0007260-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:21660417:21661615:-1 gene:Sspon.02G0007260-1A transcript:Sspon.02G0007260-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQVAHELVLATCFPFPKPSFSPSPILLLTRPQRPEEPGVRDVAVDPFSLRQFSCVDIDRPLPIPAVSVDDRRVLPARFHFHGTSVPAGSASSSPSPRASKLSAGGDETELADAGFDVALSSTERNKASEPQRWGSDVPLIAAADAEEYSFGGYAAKDGRGKQAKHAGKAPFTCCMFVPGLTRRIIKPPTSSTATATATVAARSSSSGKFGNTASTVQLQPINPGTCSARPSTMSLAVSLERFDCGALSTSSSPRGLGLDDGDGEGTSASSYFDLPLEMIMGCDAEDDADLPVCAAFLFDSDGIRKSVLKRRLEAGAGWEPRRPSLGKVSMDASGRISNAHIR >Sspon.08G0005930-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:67803299:67804639:-1 gene:Sspon.08G0005930-2P transcript:Sspon.08G0005930-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFISNVAMKAAAVAALLVMAAVSPAARAQGGAPSVPAGPLDIAQLGAKGDGKSDSTPMLLKAWKNACDATGVQKIVIPPGNYLTGGLELSGPCKSSIIIRLDGNLLGTGDLNAYKKNWIEIENVENLSINGHGTIDGQGALVWNKNDCQHSYNCKILPNSLVLDFVTNAQIRGITLANSKFFHLNIFASKNVLIDKVTVKSPGNSPNTDGIHIGDSTNVTISGTTIGVGDDCISIGPGSKMIRIEGVKCGPGHGISVGSLGRYKDEKDVEDLKVKGCTLVGTTNGLRIKSYEDSKSSPKASKFLYEDVTMDNVSYPIIIDQKYCPNNICVRSGASKVAVTDIVFKNIHGTSNTPEAITLNCANNLPCQGVQLINVDIKYNGSGNKTMAVCKNAIGKSSGLAKELACI >Sspon.02G0011410-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2A:30522093:30522302:1 gene:Sspon.02G0011410-1A transcript:Sspon.02G0011410-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNGAGDLRAAGRRTAGPWSILRIRHQASRVRAPRTKPTMEPQPTAEVSRSRPSWEWLGVRTCAPVILD >Sspon.03G0030170-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:19855649:19858822:-1 gene:Sspon.03G0030170-1B transcript:Sspon.03G0030170-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSIGTGYDLSVTTFSPDGRVFQVEYATKAVDNSGTVVGIKCKDGIVLGVEKLVTSKMILEGSNRRIHSVYHHSGLAVAGLAADGRQIVSRAKSEAASYEKVYGEPISVKDLADRVASYVHLCTLYWWLRPFGCGVILGGYDRDGPQLYVIEPSGVSYKYFGAALGKGRQAAKTEIEKLKLSELTCREGIIEVAKIIYGVHDEAKDKAFELELSWICDESKRQHQKVPNELLEQAKAAAQAALEEMDAD >Sspon.04G0017070-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:70990471:70995791:-1 gene:Sspon.04G0017070-3D transcript:Sspon.04G0017070-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEKRGLEDAGGAGAGDGRPDAKRARPPALASVIVEALKMDSLQRLCSSLEPILRRVVSEEVERALGRLGPAAIGGRSSPKRIEGPDGRTLQLQFRTRLSLPLFTGGKVEGEQGAAIHVVLFDAGTGCVVSSGPESSAKLDIVVLEGDFNNEDEEGWTGEEFDSHVVKEREGKRPILTGDLQVTLKEGVGTIGELTFTDNSSWIRSRKFRLGLKIASGFCEGVHIREAKTEAFMVKDHRGELYKKHYPPTLKDEVWRLEKIGKDGSFHKRLNKSGISTVEDFLRLVVRDPQKLRSILGSGMSNKMWETLVEHAKTCVLSGKYYIYYSDESRSIGAIFNNIYAFCGLISGEQFYSSESLDDSQKLFADALVKKAYDNWTYVIEYDGKGLLNPKPKKKATSTGQAETHAPVGAPTSYQQHLSSTSMSGPSPSGGTDSVGYGVDQSATHPSQLQSSSANVQSAIVQPPYDDTFSFLSPNMLTGSANDAMGLELGQLQQVISQGQAIQPANVGYGDWPRNRDSQYADDFTEDIRVKSHQMLESEDMQQLLRVFSMGGASAAVCQTTRSTSVLHALSLTEPRVRN >Sspon.04G0031810-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4C:18052306:18052579:1 gene:Sspon.04G0031810-1C transcript:Sspon.04G0031810-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LRAECIPGVGPRGIEGPGRSPRLPALRAGRGYQPSDQETRNDGSSICRLRGGVKAPIVGYKQEALEVLFSDGFDPVAMNLDFAGFDGEDI >Sspon.03G0019030-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:79058538:79060773:1 gene:Sspon.03G0019030-2C transcript:Sspon.03G0019030-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGEVKPGSRGLKSWAQRHLNVGFAVGFLLVLLTYLVVSRQLAVTAPGVYLVFDVIPDIKFLNSGHEKHSELWGCFHHCQRLSCGPCTKCSLSFGLSRAAEATTTSKAVCNTDGPVSETCELDGDVRTNGSARSVTFVPASPSSEPRREWKVRPYSRRTMSGVDRVTVTQLESSPQQDPPASPPCAVTHDVPAVLFALGGLTGNYWHDFSDVLVPLYAASRRYKGDVLFLVSNLQPWWLGKYEAIVRRLSRYDAVDLDRDARVRCFRHLTVGLRLHKELSIVPDLTPDRLTMADFTAFLRETYALPRGAPVIPTAERKPRLLLIHRAHYRRFVNVPEIRRAAESAGFEVSVASPRGDTPVEETARMVNSHDVLLGVHGAGLTNAVFLPAGGVVIQVVPYGRLERMARTDFGEPVADMGLRYLEYSVAAEESTLLEMLGPEHPVIKDPDAVHRSGWDKVAEYYLGKQDVRIDVNRFAPTLAQAMDHLRHRHRQQ >Sspon.04G0010760-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:26267344:26269646:-1 gene:Sspon.04G0010760-2P transcript:Sspon.04G0010760-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAADMAATMLLVAVLLHCTGTAMVWASHAVYPELQSLEAEKVDETSRTGYHFQPPKHWINGPMYYKGLYHLFYQYNPKGAVWGNIVWAHSVSTDLIDWTALDPGIYPSKKFDIKGCWSGSATVLPSGVPVVMYTGIDPDEHQVQNVAYPKNLSDPFLREWVKPDYNPIIAPDNGINASAFRDPTTAWYGPDRHWRLLVGSKVDDKGLAVLYRSRDFKRWVKAHHPLHSGLTGMWECPDFFPVAVHGRSRRHHHGVDTAELHDRALAEEVKYVLKVSLDLTRYEYYTVGTYDHATDRYTPDAGFRDNDYGLRYDYGDFYASKSFYDPVKRRRILWGWANESDTVPDDRRKGWAGIQAIPRKLWLSPRGKQLIQWPVEEVKALRAKHVNVSDKVINGGEYFEVTGFKSVQSDVEVSFAIDDLSKAEQFNPKWLTDPQALCKKRGAREKGEVGPFGLWVLAAGDLTERTAVFFRVFRTNSNGSRLVVLMCNDPTNSTFEAQVYRPTFASFVNVDIAKTKTIALRTLIDHSVVESFGAGGRTCILTRVYPKKALGDNAHLFVFNHGEVDIKVTRLDAWEMKTPKMNAPAQ >Sspon.01G0040920-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:37623124:37631713:-1 gene:Sspon.01G0040920-2C transcript:Sspon.01G0040920-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMGKGAGLLVLVVGCDGSVLLESSDRQAEKNAKPNLSLRGFDVIERIKQRLEAACALTVSCADIDGTVSRASMTGDLPPPTQRNVDQLAQYFSRKGLTLDDMILLLGAHSLGVARCGTFDYRLTSDQDKGMDAAFRNSLRSQCRYNASNCVPFDAGSPYALDTGYFANVLESDAALASPRTAGKVRQWKDNPGGFRSSFAAAMVKMGSIPGTNPGKVRLNCTRVAAVAALLMATGLRAQLRVGFYDNSCPAAEIIVQQEVSKAVAANPGLAAGLLRLHFHDCFVGGGGNAYQVPAGRRDGNVSRASDTNGNLPPPTANVAQLTQIFGTKGLTQKEMVILSGAHTIGSSHCSSFSGRLSRSATTAGPGQADPTMDPAYVAQLARQCPQAGGDPLVPMDYVSPNAFDEGFYKGVMANRGLLSSDQALLSDKNTAVQVVTYANDPATFQSDFAAAMVKMGTVGVLTGASGKIRANCRVA >Sspon.02G0003610-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:13044550:13048899:1 gene:Sspon.02G0003610-2C transcript:Sspon.02G0003610-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAREDGPQFVRWREEFVSQERGSRVVHYYLEDAAGVPHLAVVGTERSLRHMLYVVSEDFSAAWGCGGGADDGGAPPVFARKWRSRREVVDWLASFLPVKALDSKFSKYGSFADNDSGLDGYGETNSFLHQNLGKDCSSDITWSGSFWTCGKQLRHYRAFCRNGTTISTHTFVLVLSEEENRYLAYLEDMYEDKKGQKKIKVRWFHQNQEFACAIPPPPPHPCEVFITPFTQVISVECVDDIATVLTPDHYEKCSNAMPTSSLAGIRFCFRQYSKNKFKHFDLRSLRGYFSQAVVLSLKIPAESEKDGEYCTSGKTKFPKQLERLYSKCLGTKICRSPQADSIPSYQILNNEQPPRKHLSIKFIVPQNQLMPTYNTGDRLEILSQDSGIIGCWFRCTVLKSCTNHNKLKVQYDDLQNADDSGRLEEMVPASALALPDKLGLRCQDRLRIRPRPQENSSVDGASLLPGTAVDVWQFSGWWEGVVVSLDNIVADSLQVYFPGENFFCACQLRNVRISKDWVKNRWVDIETKSDVLSRIPSGSVQTKQADNAPSVGVIDSSSSAMPEQELAATQANSHGDKPVPKQELAAAQANSNGEKPVPEQELAATEANSNGDKPVPEQELAATQTNSNGDKPVSEQELAATQANSNGDKLASEQELAATQANSNGDKPVPEQELAATQANSSGDKPVPKQELAATQSNSNEDKPVPEQELAAAQANSNGDNKQTEASKQSEVSMTDEASAFVEDDKRTILGKHPRDVSMTDEASAFVEDDKRTILWKHPRDVMTDEDSAFVEDDKRTILGKHPRDEGDAEQKCNGEVGVDSIMMVRSDRYDEHRAIPSTAAAHVDLERLQNESIFSLSKSCASICGIGILDLNYPC >Sspon.05G0014320-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:51387404:51393240:-1 gene:Sspon.05G0014320-4D transcript:Sspon.05G0014320-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding METKRVAIVGAGVSGLAACKHLLERGCRPVVFEADDAVVGGVWARVPTDSTALQTPRPMYQYSDFPWPDDVTEVFPDHRQVMSYLDAYARRFGVMDCVRFGRRVLGMEYQGVAEDDVAAWEEWSGNGEAFGFGSGGAGEWRLKVADADGRVETHQADFVILCIGRFSGVPNTPTFPPGKGPESFDGQVIHSMDYAKMGTKKTKEMIKGKCVTVVGYLKSAIDIAAECAEVNGTDYPCTMVVRTKHWIIADYFAWGVHISLLYLNRFAELLIHKPGEGFLLWLLATLLAPLRWLFSKFAESYYSIPMKKYDMVPDHSLFQALATCLVAIEPKGFYKRLEEGSIVLKKSKTFTFCKEGVIVEGEELSPIKSDIVIYGTGFRGDQKIKDMFTSEYFRNIAVGSTCTTVPLYRECIHPKIPQLAVLGYSESIANLYTSELRAKWLAHFMDGGFRLPNVTAMQKDILEWEKCMKRHAGRYFRRSCIGLLHIWYNDQLCRDMGCDPRRKKGFLEELFGIYGPGDYADLHPKKD >Sspon.01G0054450-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:55853047:55855275:1 gene:Sspon.01G0054450-1C transcript:Sspon.01G0054450-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTGCGCSSELSQSASSIAADTARGYHILKIDDYSLTKGTPTGEYLKSHPFTVGGHHWQIRYYPNGFKSEHADFISIYLQHDGSVASLVKAQYEFRFVDNVGEEPVTMQDVTSFASNVGWGYSEFIKREKLEKSMHLKDDSFSVRYNLERLKLICEEKLCGYIDVGTVATILTLAEQHNCHGLKKTCLHFLSDPANLRTAMATDDFKHLSRSCPAVIEESCGLFRQEAGDVHVCR >Sspon.07G0033570-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7C:61894535:61894789:1 gene:Sspon.07G0033570-1C transcript:Sspon.07G0033570-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEHRDRSTDSQLRRPTTTIMSSTATAPECGSAKTSWPEVVGLTIEEAKKVILKDKPDADIVVLPAGSIVTPDYRPDRVRIFVDT >Sspon.01G0050950-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:9215908:9227892:1 gene:Sspon.01G0050950-2D transcript:Sspon.01G0050950-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSRPRVWLVAGCAAVLLWASVAQLVAVGRLLLLFGLAGDADPSPPPSALPPPRIYKSNGYLKISCNGGLNQMRSEICDMVAVAHLLNLTMVVPELDKRSFWADQSNFGDIFDVRHFIDSLRDEVHIIKQLPEKLGPGDSDIIILEMPPVSWSDEKYYLHQILPLFSKYSVIHFNKTDARLANNGISTELQLLRCRVNFHALKFTPQIEGLGNKLVHKLRAKGSFVALHLRYEMDMLAFSGCNHGLSPEEAEELKKMRYAYPWWRDKEIDSQAKRSQGLCPLTPEEASLVLKALGFQKDALIYIAAGEIYGGDRRLEPLRAAFPNLVRKEMLLDSEVLRQFQNHSSQMAALDFIVSTASDVFIPTFDGNMAKLVEGHRRFLGFRRSVVLDRLKLVELLDLYTNKTISWDNFASSVQEAHKNRVAQPSCRQKLENRPKEEDYFYANPHECLANSTFQEPKTVRQEVGSYSSPGEIHQWDVLYLNGLGKDCGVKRCGEKLIHENI >Sspon.05G0013640-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:47795346:47796359:-1 gene:Sspon.05G0013640-3D transcript:Sspon.05G0013640-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding YHSYAFIACRSIHAVNKINPSFEGYYNQPTYEKSRATVVNEITKNLVAPIIGETNLAAYRAGFNDSQSDMATRISFKNGCARGVTGTPYFFVNGIPINDSGSPLEYKHWISVLDPLVGKM >Sspon.02G0047080-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:10752714:10752920:1 gene:Sspon.02G0047080-1C transcript:Sspon.02G0047080-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GGGAAGAGVWGRRGSRGCNYASVRSPLLHYAGRAGIPLRYSVTAVGLLLVGH >Sspon.05G0015660-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:61297732:61300516:-1 gene:Sspon.05G0015660-1A transcript:Sspon.05G0015660-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAAGGDGETVRASHILIKHQGSAARPPGRTRTAASSPPPRAPTPPRASSTSATRSSPARPTSPTSPRATPTAPPRDAAGT >Sspon.04G0016450-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:75715788:75721370:1 gene:Sspon.04G0016450-3D transcript:Sspon.04G0016450-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRCAKQNSYQRDLPLPRIKLEEDEHVTEETVLSSLKRAMDHFTSLKASDGHWPGDFSGVMFIMPCLVRIISAQNTITSFSSSFPFYTDMCSIRNNPIFPELWIAPQFLPFHPSIYSFYEIVSFQLPVHYILDTRGSVEISLLYLPMFMSFSGKFWCLTRMVYLPMAYLYGKKFIGPITPTVLALREEIHDTPYGKIDWSNARNKCAKEDLVCPRTLLQNVCRTSVEQLACQQAEGELWEASWSISIMKMRTHNTYALNMICCWVEDPNSDAFKLHLARITDFLWLSEDGMKAQVYDGCQSWQTSFTNQAFCAIDLVNQLYCCCQRSQTKNNLVGDPIERERLHGAIDCLLSFVNKDGSISTYECKRTSWIEILSPCESFRNIVVQALILFQELYPDYRTKEIETSIRNAATFIESRQQEDGSWFFLTFGTWGVCFTYAAFFSIKGLVAFGRSYENSSYIRKAYHFILSKKLSTGDHAHAVNTAWVMLALIYAGQILQDPTPLDRAAKELINIQLEIGEFPQQQVSSSSLPTDSQENKFNTGN >Sspon.07G0004000-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:8950967:8952457:1 gene:Sspon.07G0004000-2B transcript:Sspon.07G0004000-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALTSDRFMSRALRIHRHVKRGLAAGLRRCSRGAATTAVPAAASPSPPALRQLGENAFAVDADALLLNPSPGAAFPPYFLAAVEAGGYARGLVLLALYPVLRALPRGASVRAMAMVSFCGLRRDEAARVGRAVLPKLFSREAPGVHAVEPALRALPKEAKVVAVSQTFPTVMVEAFLKEYVGFDAVAGRELKGGPRYLTGAMAELDTERVVARVLEQTEKTTSCGYGPKPVVFHDGRLAFTPTAAAALAMYIYFPFGVVLAVIRIAIYILLPWRVSAVAAALTGVRVRVIGATATPAADDDSGKPHAGRLYACNHRTLLDAVGIASALGRPVASVSYSLGRLSEVLSPIPLRRLTRDREEDRRRMSSMLARGDVVVCPEGTTCREPYLLRFSPLFAELAAEVTPVAVDARTSVFYATSTSPLAKSLDSVYFLMNPRPEYSVQFLEPVSTEGGKSSIEVANEVQRDLASALGFEGTTLTRKDKYLLLAGNEGVVKTK >Sspon.01G0031260-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:116434167:116434601:-1 gene:Sspon.01G0031260-3D transcript:Sspon.01G0031260-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding WRSTRETLPPAPCRSPSRCLSAAAWPPSTAAARGTGARRRAAGTAAASSSARRAAAPSGRSRRWAGTGPATCGAATASRSGCPRRRRPRTPRRQRRSRPRPRATCATSAGSASTWARRSAATCGATARRPPPPPRRRRPSSSSSS >Sspon.03G0016520-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3B:76093539:76100889:1 gene:Sspon.03G0016520-2B transcript:Sspon.03G0016520-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GAPPLPDRLLPRLPLCSTLPSSLRCRQCLLPRLKAAMQEPVLPQSPLNVTATCASFAEKRPVLVHATAEGSEAGAEQPEEPKPVTKIEDMPLESKQKMIMEQRARMKLAKKLRQRRKRLLRKRKL >Sspon.07G0016810-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:81762238:81766037:-1 gene:Sspon.07G0016810-3D transcript:Sspon.07G0016810-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box/LRR-repeat protein 3 [Source:Projected from Arabidopsis thaliana (AT5G01720) UniProtKB/Swiss-Prot;Acc:Q8RWU5] MAAAASHQQHRHPKRRRLALSLSFSPSPAPDAAPPLDSLADELLFLVLDRVAQADPRALKSFALASRACHAAESLHRRTLRPFRADLLPAALARYPCATRLDLSLCARVPDAALASAVSSSSSSSALCAVDLSRSRGFGAAGVAALAASCPGLADLDLSNGVDLGDAAAAEVARARALRRLSLARWKPLTDMGLGCVAVGCAELRELSLKWCLGVSDLGIQLLALKCRKLTSLDLSYTMITKDSFPAIMKLPNLQQLTLVGCIGIDDDALGSLEKECSKSLQVLDLSQCQNITDVGVSSILKSVPNLLELDLSYCCPVTPSMVRSFQKIPKLQTLKLEGCKFMAYALKAIGTSCVSLRELSLSKCSGVMDTELSLAVSRLKNLLKLDITCCRNITDVSLAAITSSCTSLISLRMESCSRVSSGALQLIGKHCSHLEELDLTDSDLDDEGLKALARCSKLSSLKIGICLKISDEGLTHIGRSCPKLREIDLYRCGGLSDDGIIQIAQGCPMLECINLSYCTEITDRSLISLSKCAKLNTLEIRGCPMITSTGLSEIAMRCRLLSKLDIKKCFEINDVGMLYLSQFSHSLRQINLSYCSVTDIGLLSLSSICGLQNMTIVHLAELQPCKKSEVSNNRYGLVQVEVEPCDVWKQQSQDVLVR >Sspon.07G0004300-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:9673008:9676305:1 gene:Sspon.07G0004300-3D transcript:Sspon.07G0004300-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALTTSTNQALLDAQLELWNTTFAYIKSMALKSALDLRIADAIHNHGGSATVPQIVATVKLHPSKIPCFCRLMRMLAATGVLSAKNPSGSCELVYALTPLSRLLVGSQMSLVPITAMLLHPSFVTPFLELGTWFQQELPGPCVFKQTHGQTLWEQAARDASFDALINDGMVSDSHFIMDIVIKECADAFQGISSLVDVGGGLGGAAQTISKAFPDVKCSVLDLDHVVAKAPSDTDVQYIAGDMFESVPPANAMFLKWVLHDWSDEECIKILKNCKKAIPPKEEGGKVIIIDIVVGEESSSLKHKETQALFDLFIMLVNGIERDEQEWKKIFFEAGFSDYKISPVLGARSIILVYP >Sspon.01G0034070-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6D:73600726:73601707:1 gene:Sspon.01G0034070-2D transcript:Sspon.01G0034070-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGGYFTDLMTNDFVAGQSENAPPAQEVVAQDEVQATGKGNPKRTKNFTIDEDEQLVKSWLNVSLDPVKGVDQSRTTYWKRIHAHFHAHKDFSSDRSQGSLMNRWSGIQHDVNLFAGCLSKIEGRNQSGVTIDDKQADALKMFIKEDRQHRQFPYMHCWKLLKGQAKWADRQKQMETQKPISKKQKVSANSSHTLAPPLLPAATVDENQHSNSTLQRPPGQKKEKQKLRQHSSIEALDYLLAKKKEADAEKELKKEERYKKAFALQEERIKLEKEKLELQRDQFELTKNLEEERIMNVDT >Sspon.01G0032130-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:110080996:110082961:-1 gene:Sspon.01G0032130-1T transcript:Sspon.01G0032130-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLARSPALPLVTVETPAFGFFITTRNVSSALAVKLPDNNCGGRLNVRRRNFELGESRWEWRALPADQVQASVVDVDEECKQILASLNFSSEDAEKMLKKAFGWIHSPYWSEERKKEVPNAEVVTGVLNYIRSLGLSDEDLHKLLKKFPEVLGCDLDSEVKLNVTKLDTDWGINGRTLRSLLLRNPKVLGYNIDCRGDCMAQCTRCWVRF >Sspon.08G0011390-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:45649180:45654378:-1 gene:Sspon.08G0011390-2B transcript:Sspon.08G0011390-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPAPATATTARAHTLLFPYPCSGHINPTHHFATLLHSAGVLPHGARARGHGHDDDDVAADGGFRYEAIPDGVAPSERGAQDHYIMGLLRGVVTHCVGHLRVLIVRLNSSDAVGASTAAAPPPPVTCVVASELMSSALDVAADLGLSSYILWGTSHQHRRAHAMLFPFPCSGHINPTLKLAELLHSRGVYVTFVNTEHNHERLRRRTGGGLRGREGFRFEAVPDGLSEEDRVAPDRTVRLYLSLRRSCGPPLVELARRLGDRAEGVPPVTCVVLSGLVSFALDAAEELGVPAFVLWGTSACGFVGTLRLRELRHRGYTPLKDESYLTNGYLDTPIDWIAGMPPVRLGDISSFVRTLDPQCFALRVESGEANSCARARGLILNTFEDLESDVLDALRGEFPRVYTIGPLAAAMHRRAQQGQQCHGHGHAGLSLWEEDSECMAWLDAQADGSVLYVSFGSLAVLSLEQLAELAWGLAASNRPFLWAVRPGLVVGDLGADALPEDFLAETRGRCFIAEWCAQEQVLRHRAVGGFLTHSGWNSTTESIWSGVPMLCWPGFADQYINCRYSCEEWGIGLRLDETLRREQVTAHVEELMGGDTDRAREMRRSAAEWKAAAEAATAPGGSSYESLDRLVEELRLGVGEADAEAELDRHGHAAAGPVIGRSVTLP >Sspon.01G0016110-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:54636208:54650287:1 gene:Sspon.01G0016110-2B transcript:Sspon.01G0016110-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLIEVFTTGQMLLTKVVVDAGLFVFALLTYRFLLGTLLVVPLAIIFERGKWKEIKLKAFIWIFTSALVGFTIPGLYYIGLGDTKEPLNLKSLVGNIKVIGTLVCVGGTLVISLYKGKVLHLWPTNIIGYHPKQSGSAFGHHHMRGTVLLIISCLSLAVWYTVQAQMLKVFPYKYWSTVATCFVGCIQMAVVGVAMNREKATWQLKWNMSLLTIVYSVNLAILNIKAILNTAAKFVMISWVVTQRGPTYPSMFCAVSVLFTTVLDSLLLGHDLSVGSILGMFMILAGLYLFLWGKRKELVPDNEEKPKDELQSQSEDKIKDSTGSN >Sspon.07G0018020-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:65015614:65019148:-1 gene:Sspon.07G0018020-1A transcript:Sspon.07G0018020-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFERMQKANVVPNHATFVAVLRACSHIGLLDDGCRYFRLMTSCYKLEPQLEHFPCMVDVLGRSKGPQEALKFIRSMPLEADAVIWKTLLSICKIRQGVEVAETAASNVLRLDPDDSSVYILLSNVYAESGKWVDVSRTRRLMRQGRLKKEPGCSWIEVQSEMHGFLVGDKVHPRSREVYHMLNNLIGEMKLSGYEPALALFAEVDEEGSTSEQDDLVGGVDKAVMVLSTICTDSGLQLGRQAVLHPAMSAPNTDSNMQRLVPIAPPGKASGGDSGKELIVVDPAGKSSGGVKLREDEDLEVKLRRIMENVPVRVSNTSGSSAGSGSCDFHQNSNVKKEMRTLLNRKRQSVFKMTNHE >Sspon.06G0007870-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:40859365:40861678:-1 gene:Sspon.06G0007870-1A transcript:Sspon.06G0007870-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Photosynthetic NDH subunit of subcomplex B 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G15980) UniProtKB/Swiss-Prot;Acc:Q9S9N6] MQTPAMSTSMATTPTTTRATAPAKPPAPRQCCRYLVLPSTGQRHSVAAWSAKKKNPWLDPFDDGPDEEFDYQGMFSGGKQEEDPRPPEDPANPYGFLRFPQGYNPELDSLASKVRGDVRRACCVVSGGVYENVLFFPVVQMLKDRYPGVLVDVVASARGKQVYEMCKNVRYANVYDPDDDWPEPTEYTHMLGVLKNRYYDLIVSTRLAGLGHALFLFMSSARDKVGYVYPNVNSVGAGLFLDEMFKPPTNNLADGGYHMYKDMLEWIGRPAKNVPQQPTPPLRVSISKKLRAYVEDKYSRAGVEKGKYVVIHGIASDSVANMKSRGDDDCLLPLEHWAQIAKEISSGDQGLKPLFVIPHEKHRDEIEEEIGEDTNILFITTPGQLTCLINDSAGVVATNTAAVQLANARDKPCVALFSSAEMARLFLPYVEDKSSCTVISSATGKLIDIDINAVKNTVKDFEPAPSFALAQK >Sspon.07G0026670-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7B:54546619:54547803:-1 gene:Sspon.07G0026670-1B transcript:Sspon.07G0026670-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLLLSLSSACPRLASPGRPLHQSYCTAPSHQSIAPRHTSSLSATPSIIVPTPSRLHLITSWPSMQWPPVQTVRVATTLLSPATHACAMPIFGILASSPTWLAPSQLLHPRVAPSAANYLSPSPPSDKISTIGLSARAPPCH >Sspon.03G0021260-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:65337623:65340805:1 gene:Sspon.03G0021260-1A transcript:Sspon.03G0021260-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLLLGFPSIIPPLAHDNKDIRSSAIKCIEGLSLVWQRLSTSVPRNGLLALSSYLFPCGPIRCRYLLSDLTYTENNIKLPQYLSSPTFGSFLDLLVNQKTMISSDAKFLPAYISSMLSSCNDMLVPENLHERFDQHTKDTILTFILRSALKLPPYGKFMVLSVLKGVGSILFHAEDVKSLFFDLLDRRDQYQNRHNCKQILSTHELHTLCLLLEVLISTPDHANIGFNMFEPLMKALKVDAPSRGDPVVVMPCLTVLQNLQPGFFDNLKTDTKETVVGRLISLVRTESFEVRNAARDALLRINVHSSTLVKFIELILALDGARGHSKRIKRNEDRILDVFCSFEEIFGENSVASILVSLLDILFLKKDVKQRSCLLQPLFQILSKLLSDQWVLVIVSQYNNQQDTSSEIPDLPRFVKEVQHLILLALKDITDTLQSGHHDAMFSSSNVGLLIDCVRSISDVGTRNHGFSLIASLGKACPQLVSENIVDLFVAIGDAIKQLF >Sspon.07G0011530-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7A:41081013:41082528:1 gene:Sspon.07G0011530-1A transcript:Sspon.07G0011530-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GHGRPPELSPLLPGVPRGRAVLRLPLRPAPRGGGARRRQGAPVSWPVVGMLPFVLANLGRLLDATTDALRGSGCTFVFRGPWLAHADFLLTCDPAAVQHCLASNHGGYDKGRDFAEMFDIVGDGLLVADAAAWERQRHVAATVFGTPAFRSFVLRTMARQTVRLLVPFLNRAATDSEGDGAV >Sspon.01G0003190-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:7402082:7403601:1 gene:Sspon.01G0003190-2B transcript:Sspon.01G0003190-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NDH-dependent cyclic electron flow 1 [Source:Projected from Arabidopsis thaliana (AT1G64770) UniProtKB/TrEMBL;Acc:F4I890] MATSSVLPLHLPSCARRASTALRASAAPAAATATTAQSLEESFGRKGLRFVADPAGGAPTAELSVRNGSSLHLRLGDGLVTSYKPKVYWKDDGCREVLYTVAGKGGVGLVLNEASSSSGGAGIAAQWSLVDGAEWTVRDADSDSYDAVQVELGCTKGKLDISYVVTLYGVSMATAVIVRNTGSKPVELTGAVLSHIKFDKRGGTAVEGLRGCPYCSQPSPAAGFSLLSPAEAMMREDPGWFSGGGEEPRQGVWTVEEDLYTVLKKKVSRVYAAPPEERKKRVYSTAPSKFTTIDQYSGLGFRLVRMGFDDMYLCSPGGMYEKFGKDYFLCTGMASMLVPVVVNPGEEWKAAQVIEHDNL >Sspon.08G0011820-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8C:48635448:48636736:-1 gene:Sspon.08G0011820-2C transcript:Sspon.08G0011820-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GNASSSPENAHHGLLLGGLLSPDFDAATCLSRYDASKRWKPSPFPVSPYLIQKLRQYESNHRRCGPGTANYREAMAQLMSGRNGDRAECRDGFWVGSNDSYLSMLENNIVRYDDGSGGDASALPPYVYFHLEQIQLRLPKHTFCEEDHRVLDRFNWMVLRSDSYFAVALFLMPMYRAELDLMFPAKGSVFHHLGRYLLHPGNRAWGIVERFYDGYLAGADERLGIQIRIAPFLPLTFEIMYEQITRCTREHDLLPQVTDTSEPGARPSNGTAAKVKAVLVVSLKPEYYDKLHSVYYTNATATGEVVTVYQPSHDQDQHTEARAHNERALAEIFLLSYSDRLVTTGFSTFGYVAHSLAGNFFLFLAQI >Sspon.02G0002330-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:9058415:9062318:-1 gene:Sspon.02G0002330-1P transcript:Sspon.02G0002330-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRASTIDFGRKKQGELFGSGPLRPANIIRNKFPTYKNGSNGIVIKLADAPEMPSLKEAVAKETTDLLDRCQRLSVRELTMKFEKGFNTATLLSNEAKWRHAALLERDILLKNLKSILESLRGRVGGKNKDEIEESLSMVDILAVELSKREDELLKQKTEITKIAATLKLASKDAKGIVDEERANAQLEIESAKSAVQKIQLALKEQENFYQRTGKQDVEELKEEVQEARRVKMLHCPSKAMDIENEIQVLRDQLAEKSSDSLHLLKELELHRSYEENDMPLYELKGLETLGSMLRLVVQDCAHVDFSNSSIQWFRIQPEGSKKEIISGATKPVYAPEPHDVGRYIQAEIKFGCQISIAKTSGPIDPAAGLVDYVEALVRNPETDYNVVILQVNGIAQAADSLHVLSIGRLRMRLAKEKAVVVKEFYSSSMQLCGVRGGGDAAPQAIFWQPRKDLSFVLAFETTRERNSALMLARRFAIDCNIILTGPGDKTPW >Sspon.02G0034090-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:10447161:10448695:1 gene:Sspon.02G0034090-1B transcript:Sspon.02G0034090-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTRELAEDGYSGVEVRVTPMRTEIIIRATRTQNVLGEKGRRIRELTSVVQKRFNFRRVALSSTQRRACYGVLRFVMESGAKGCEVIVSGKLRAQRAKSMKFKDGYMISSGHPVNLYIDSAVRHVLLRQGVLGIKVKIMLDWDPKGKQGPTMPLPDL >Sspon.06G0012920-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:67817684:67825423:1 gene:Sspon.06G0012920-1A transcript:Sspon.06G0012920-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:EMBRYO DEFECTIVE 140 [Source:Projected from Arabidopsis thaliana (AT4G24270) UniProtKB/TrEMBL;Acc:F4JQ75] MQPRRRAPTRTRRTPTPTTREPPPPTSSASRPWRKRLQEQPLDYETHVQCLRKSGNIEKLRAAREEMNKYFPLTPKMWQEWAKDEISLSSSEESFGDIEKLYERGVQEYLSIKLWRDYLDYVEEHDQSVSQCTPSGLSKMRNLFESAITAGGLHVTEGSKLWAAYREYEMAILITIADANDEEREKQVQRIRTLFHRQLSVPLADMESTLSEYKSWEAEQGNANDPGADFDGVPSNVASAYKKANAMYNERKQYEDQLSNASTSEADKLQEFVKYIKFEESSGDPARVQVLYERAVSELPVSSDIWMGYTSYLDRTLKVPSVLRSVYYRATRNCTWIGELWVHYLLSLERIRASEEELQHYLNIYLTRVHGLRRRISDGLDFQLIRQTLTDAAEFLSSQLDTKELLRLYAYWAKLERSLGKDLSAARGVWENAIKKSGSVFEVWEQYISMEIEMGHVHEARSLYKRCYSKRFAGSGSEEICHSWIRFEEENGTLDDYDLAVKKVTPRLKELMTFKSQEEAKVEAYPNPNDNSNANDSSQKRKPSKMANKQQPPAKKRKENPPKSTMPSDDQGSNVQSGHSGAVTAVEVGEASREKVVASMEMKVDSDSQTGKSSSNEPKPSFYNDKCTVFVSNIDLKANEDDLRRFFSDIGGATAIRLLRDRFTKKSRGLAYVDFSDNKHLEAAIKKNKQKLLGKKVSIARSDPSKGKKSREAGQASQDNLPQSGGDDAKATGTSGPDNEVPKGDAKPTGKNTRFAPRAVIKLLGSTNEDEKKPEGAGELKSNEEFRNLLLKNLNPMEQWIFLSAVEII >Sspon.08G0013600-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8A:56725288:56726634:-1 gene:Sspon.08G0013600-1A transcript:Sspon.08G0013600-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFCSMDAWYTLMDPSLAHSGTAVSHLLQRKSSSRTVFDILPLAPVVVVRWEGEGDGQLRHRALLLFGEHRISSGFLGRLAPEHGARPRRRRLEEEKAPLPSLDFIRQPREEHTGGVLRRETVEALNLQHAQREEVQEHGDRRRLHAGGAGEREEGRQDAPAVGRELARGDAEVRQHLAEEGVERDAHPGLQRVRVHADGARGWGRARASVASGDRGERLGVDAELLAEGVDRFLAPEVRRDALRRGPRAPVSTTTAVIVAVARAGGGVRGARGVGIDDLDGGRGVGGGGR >Sspon.06G0028080-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6C:1907282:1908201:-1 gene:Sspon.06G0028080-1C transcript:Sspon.06G0028080-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRVVTLFVAAAVVALLLVPRPAAAAAAAVAAATDVALPGCTSHCGNMSIPHPFGVEPWCYLPGFNVTCRNDNSVGHHGSPKLFLGDGTVEVLEVSIPNNTVRINASFAYFPGNNGTYGKEAITSGTWSGALGEGGVYSLALNRNRMIVEGCNVLAWSVSVLGSGVSFCEAAVLKESFLYDFKVVWMNMTGAASPRSEIVVWILDRDFYPDNDPWLRLRSAVLDWRVNHTTCHRNNGSGPRRRAAAATALANMPRVNLMRTLVCVLRATKATPTFQTDAE >Sspon.04G0028170-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:64334252:64334703:1 gene:Sspon.04G0028170-1B transcript:Sspon.04G0028170-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAGSLPASPDAAGDIAAALALDLEDLALGGGADCIGALACGRRSSFSYRRLPEPRLRLTVQKLDDSFFDIEIARSATVWELKVAIENLFSDLYDDAQKTISWYASPHTHALP >Sspon.08G0012920-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:52966275:52967017:1 gene:Sspon.08G0012920-3C transcript:Sspon.08G0012920-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMIHGWTLDSNAREAKERLDQKLRSKREAAIKRHHSTGSIKLSRPHRSTSGRGTAAGAADERGERSALAPAMSGVQREVYSKKGVMRRLMRWSRPRWAAAEQAECAVCLDEFRAGDVLAHLPCGHRFHWACALPWLEGTSRCPFCRAAVDAAAAA >Sspon.02G0016770-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:47076216:47078600:1 gene:Sspon.02G0016770-1A transcript:Sspon.02G0016770-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLRLRLPQYASLTPLPRSVRQIVSWDGREHSALMAKQYYATSSLVVGYALCSSLLSIINKYAVTKFGYPGLLTALQYLTSAAGVWILGKLGFLTHDAFNLETAKKFAPAALVFYLAIFTNTNLLRHANVDTFIVFRSLTPLLVAIADTTFRKQPCPSKFTFLSLVVILGGAVGYVMTDSAFSITAYSWALAYLVTITTEMVYIKHIVTNLGLNTWGFVLYNNLLSLMLSPIFWFLTGEHKSVFAAMESRGEGWFHLDAFIAVALSCVFGLLISFFGFAARRAVSATAFTVTGVVNKFLTVAINVMIWDKHATAYGLVCLLFTIVGGVLYQQSVTLKGNSVVQREPVPEQPKGGNDSKEFDEEKQSLVSSAK >Sspon.02G0003030-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2B:8599884:8600453:-1 gene:Sspon.02G0003030-2B transcript:Sspon.02G0003030-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKCSHFPHHAFPTARLLASPLAPLPYALSLFAAVPRPTLFHHTAVLRALSSFPSAASLSASLSVLTSARTRLPELDEFAFQPLLALCAKIPNDAEAASVGKQVHTLVLRYGFLDIVSLRNVLCHFYCSSANMADARRMFVEMPEKDAVSWNTVIGGYIMLRDVGTALQMFTAMRWSGVDVNVTAVITLI >Sspon.04G0010910-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:35627405:35631490:-1 gene:Sspon.04G0010910-4D transcript:Sspon.04G0010910-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAADSVKKPSAGGKATPPKSSDSGIMKRLPRLAFVFLLALVYRQLQAPPPKIPGTPGGPPVTSPRIRLEDGRHLAYHESGVPREEAKYKIIFMHGFDSCRYDALRVSPELAQELGIYLLSFDRPGYGESDPHPGRTEKSVALDIEQLADALELGPRFYLTGFSMGGEIMWSCLKYIPHRLSGVAILGPVGNFWWSGFPANVTLDAWNVQVAQDKWAVRVAHHAPWLTYWWNTQKLFPASSVIAFNPAIMSRADMALIPSLAYRTHAYQARQQGEHESLHRDMMVGFGKWSWSPLELEDPFPGGDGKVHLWHGAEDLIVPVGMSRYIAQSLPWVRYHELPTAGHLFPMAEGMADVIVKSLLLGDE >Sspon.04G0026620-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4B:46646504:46650401:-1 gene:Sspon.04G0026620-1B transcript:Sspon.04G0026620-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMQMLGLRGSSKDRGRRGDASPSSSSAAAGATGTPRSRWIPASASSPRSPFAAEAGGEGGGGRPLRLVYCDERGRFRMDPEAVAALQLVKGPVGVVSVCGRARQGKSFILNQMGGIDEAALDRLSLVTEMTKHIRVRANGGRSTASELGQFSPIFIWLLRIRESIRALFPDRECFTLVRPLNSENELQRLDQIPLEKLRPEFQAGLDELTRFIFERTRPKQVAGTIMTGPVLAGVTQSFLDAINNGAVPTISSSWQICLGGESMLDRSAWRLAINVPEP >Sspon.05G0001800-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:1438749:1441842:1 gene:Sspon.05G0001800-2B transcript:Sspon.05G0001800-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GRGEGGAAVGADAGTGEDDKDPRIWFLDHNYHESMFSMFKRINAKEHVVGWYSTGPKLRENDLDVHALFNSYVPNPVLVIIDVQPKELGIPTKAYYAVEEVKENATQKSQKVFVHVPSEIAAHEVEEIGVEHLLRDVKDTTISTLATEVICHEWIFRLHIVVFKAHPKCPFPLSIKVTSKLAALKGLDARLREIRSYLDLDVFNLLPNLNVNELIKAFAVKTNDMMLVIYLSSLIRSVIALHNLINNKMLNKEHEKAEDSKPTAIPTAAGS >Sspon.06G0011670-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6A:64000337:64001901:-1 gene:Sspon.06G0011670-1A transcript:Sspon.06G0011670-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSGSQGVSGLPRLAVYAADQTHSTFFKACRLAGFDPANIRSIPTGPETDYALDPAKLLEIMQADVDAGLVPTYICATVGTTSSNAVDPVGAIADVAAMFNAWVHVDAAYAGSACICPEFRHHLDGVERVDSLSMSPHKWLLTCLDCTCLWVRDTHRLTDSLETNPEYLKNDASESGNVTDLKDMQVGVGRRFRGLKLWMVMRTYGAAKLQEHIRSDVAMAKMFEDSVRSDDRFEVVVPRNFALVCFRIKPHGGGMTEEDADEANRELMERLNRTGKAYLAHTVVGDRFVLRFAVGSSLQEERHVRSAWELINKTTTEIMQEQDQIIAAV >Sspon.01G0043070-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:71291058:71294806:-1 gene:Sspon.01G0043070-1B transcript:Sspon.01G0043070-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAASRPPPPHGAGVRVRAPLVESVSCYCRLDTGLKTVVDARKFVPGAKMCMQPEVKQNKRKSRGPRKERSRKQEPLLPGLPDDLAIACLIRVPRVEHPNLRMVCRRWNRLLSGNYFYSLRKKIGVAEEWVYVFKRDREGKISWHAFDPLHQLWKSLPPVPHEYSEALGFGCAVLSGCYLYLFGGKDPLRGSMRRVVFYNARTNKWHRAPDMMRKRHFFGSCVINNCLYVAGGECEGIQRTLQSAEVYDPNRNRWACITEMNNGMVPFIGVVYDGKWFLKGLDSHRQVTSEVYLPSSNTWSAIDDEMVTGWRNPSISFNGRLYSADCRDGCKLRVYDENTGTWTRFMDSKHHLGSSRAFEAAALVSLNGKLCVIRNNMSITLVDVSDPTMSVETGSARMWETVSRKGQHRSFVANLWSSIAGRNLKSHIIHCQVLQSMTSLK >Sspon.01G0028570-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:99656856:99666287:1 gene:Sspon.01G0028570-1A transcript:Sspon.01G0028570-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAAAAVRHPRLFPPSPGRAARHRRAPSTVRMALREDGPSVAIVGATGAVGQEFLRVITDRDFPYRSLRLLASERSAGKRLAFEDREYTVQDLAAPGAFDGVDIALFSAGGSVSRKYGPAAVASGAVVVDNSSAFRMDPEVPLVIPEVNPEAMGNVRLGQGAIVANPNCSTIICLMAATPLHRHAKVLRMVVSTYQAASGAGAAAMEELKLQTQEVLEGKAPTCNIFKQQYAFNIFSHNAPVLENGYNEEEMKMVKETRKIWNDKDVKITATCIRVPVMRAHAESVNLQFEKPLDEVSDKDDVAVGRIRQDLSLDDKKGLDIFVCGDQIRKGAALNAVQIAEMLLK >Sspon.05G0025210-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:21850875:21855639:-1 gene:Sspon.05G0025210-1B transcript:Sspon.05G0025210-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPKVVAVAAGEAHTLALTGDGQVYSWGRGPFGRLGTGREDDELVPTAVAPAVSASGTPRPRFVAVAAGAYHSLALDDGQLGYGDQNSLFPCLVEQFQDLGSPETLNDEDQDTCDRTCLKLSSVKAGGMMSFAIDSLGGLWIWGSCPQQTDAGEFCIASSSIPLPVWDFHGHTVVKVACGNEHVVAAVSVGETYTEGDLVCYAWGNNNHGQLGLGDKESRSRPVLISAFSEGSSWEVYEIACGASHTAVLANKKSSDQIESRCWTFGLGDKGQLGHGTTATICSPQPVDGLPTGSFLISLDCGLFHTTVVSSDGEVWCWGMERGLGLCPDASFSGVDEGDALYPIRVQSPETNGFKFLGPVQIACGAAHTVLVAGDGYRMWAWGRGRSGVLGRGQTADSYIPCVVMWPPLDENFQEIHEDQEGGSTSRVNDRTSTKLNQKLSAASEELQFLRSKLTLMERYANILHISIFRKPLDERALPRLLQESSVFDIRKEFENILDAADTDELNRLEIFYRSMLSGVKNKLLKRRVQEMVQQCIISLSAGRQNPQDK >Sspon.01G0018250-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1A:68172115:68172779:-1 gene:Sspon.01G0018250-1A transcript:Sspon.01G0018250-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGFEVDCIIIAVHANCWEMVVHFLIGESLCRLSSEVNIINIHDQPGRPDLCTDDPRPCHKPRNPLTDPFRIVRGLGGYIH >Sspon.01G0012000-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:32721027:32724483:-1 gene:Sspon.01G0012000-1A transcript:Sspon.01G0012000-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAAPAAAGGGIGDILATAERDFLVRNSGEQVKISSIEASPVAIYFSASWCPPCRRFTPKLIEVYKELASQGKSFEVVFASADQNEEAFNEYFAKMPWLAVPFSDTEGRAALDGRFKVSGIPHLVILDAKTGDVCTEDGVEFVSEYGVEAYPFTPDRINELKEQEKAEKENQTIQSVLGTPTRDYLISNKGDKVPVSELEGKYVGLCFVVDGYGPVIEFTNLLAKIYEKLKELGEKFEVVAVSLDSEESAFNESFAKMPWLAIPQGDQKCEKLVRYFELRSLPTLVLIGPDGKTLNSNVADIIDEHGFEAWEGFPFSAEKLEILAEKAKAKAASQTLESLLISGELDFVIGKDGAKVPVSELVGKTVLLYFSAKWCGPCRAFLPTLVKEYNKIKEKNSDFEIVFISSDRDQSSFDDFFSQMPWLAVPLEDERKASLEKTFKIRGIPSLVAIGPTGQTVSRDAKAQLMIHGADAFPFTEERLEELQKKLDEMAKGWPQKLKHELHDEHELVLLRRGTYGCDACEEMGSTWSYRCDECDFDLHPKCALGEEKKGEEEEGKSTEEAPA >Sspon.05G0016640-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:64029131:64035349:1 gene:Sspon.05G0016640-3D transcript:Sspon.05G0016640-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNKRKRTCDNPWKLSFQLIKRITNNFSEELGRGAFGQVFKGVLEDGEEVAVKMLRFMGNINDNQFENEFEILKRLEHPNIVRLVGFCDEAEEELAEYKGKLIVCQRIHRALCLEYMPNGSLGKLLSDLKPDNILLNEEMMPKISDFGQSRLIGEENSKRTLTPLGTLGYLPPEFTNYQVISKEYDIYSLGVIITKIITGIKGYSDVADMGAQEFVEHVHEKWKKCLQEIPMYASLEVDCYQVKRCIVIARRTSSYYPVRLQNCLFMDDWSPDNCGRRKRSRSSWRWSYYNPRPGQQNVCEAPKQTGTEKQKRGNVHIDPHPSEISHPAHPEHKLKLMTDAGAPFLCDGCKEPGYGPRHACDCGGGGHTFDLHTRCALAGDPLFDLHTLVHPLFGYGKHFEFRFLHEAPSAVDDDRICDACGEPTRGYVYHCSEQDVDLHPCCASLPDRIIQDGRAFDLHRRASRPCALCPDNEGQRRWFWAYRCLAYRCPELHVACLKEMARRSWEACYRNQDDVGGDALNVDSVLENMFSSTFGDIAGTLASFITALVFGSLIVKM >Sspon.01G0052500-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:23112534:23114911:1 gene:Sspon.01G0052500-1C transcript:Sspon.01G0052500-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSSPSSAPEKKRKWLLSNRKVIDKYLREARKILAAAPDGGGGDAVAALGLVDAALELSPRMEAALELRARALLALRRYREVAEMLRDYIPSCGKSCSGEDTSSSSSASLLSTGSGDLGTISRAKLLSPDRHRSDDAEPDARPVRSFRCFDISELKRRVLAGLSKNPNTDTQWRYLVLGQACFHLGLIEDAMVLLQTGRRLASAAFRRESVCWSDDSFSSSAAAAEASSVPSGKTSKSGSAFVIPAMESEAVSQLLAHVKLLLRRRAAAMAALDAGLPAEAVRHFTKILEARRGVLPHPFAAACLVGRAAAFQAGGRPADAIADCNRALALDPAYIPALRARADLLQSVGALADCLRDLDHLKLLYDAALRDGKLPGPRWRPQGGVRYREIAGAHRKLTARIQGLRSRVAAGEACNIDYYLLLGVRRGCTRSELERAHLLLSLKLKPDRAVVFGERLELVDEHRDLEAVRDQARMSALLLYRMLQKGYSFVMSAVLDEEAAERQRAKDAAAAAAAAAAAALAAKQEAAKQEPPEQPVPGKSKNTEGGRPRSPPGRAQTAKPKPKPKAKAATTATLPKAPAAVTSTAPVYQGVFCRDMAVVGTLLSRGSGFDRALPVKCEAMSC >Sspon.02G0011060-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:33976263:33980025:1 gene:Sspon.02G0011060-2C transcript:Sspon.02G0011060-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKRLLSRLLGMFQSRTQVGIDKAGNRYFTRVEEVDGAMKERRWVEFKGADQDSTTVPVLKKKEEEERKTSTRPVKKIGKAESPNLQSFVKQQFSGTPPDQQKGLEEVSRPKDATHTEDATTDNERFAIMGP >Sspon.02G0035310-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:12743941:12748330:1 gene:Sspon.02G0035310-3D transcript:Sspon.02G0035310-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSVAADMELDRPNLEDYLPPDSLPQEAPRSLHLRDLLDISPVLTEAAGAIVDLIVTTLVNMKKYYLGQDKLRSKIERKLVEMMCSVFVASWTGVIKYHGPRPSTRPHQVFVANHTSMIDFIILEQMTAFAVIMQKHPGWVGFIQKTILESVGCIWFNRNDLRDREVTARKLRDHVQQPDNNPLLIFPEGTCVNNQYTVMFKK >Sspon.01G0035930-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:34336424:34339211:1 gene:Sspon.01G0035930-2D transcript:Sspon.01G0035930-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding KALNEIKSSLGWRVVYSWVGDDPCGHGDLPPWSGVTCSQQGDYRVVTELEVYAVSIVGPFPTAVTNLLDLRRLDLHNNKLTGPIPPQIGRLKHLRILNLRWNKLQDVLPPEIGELKKLTHLYLSFNNFKGEIPVELANLPALRYLYLHENRFTGRIPPELGTLKNLRHLDVGNNHLTGTLRDFIGNGNGFPSLRNLYLNNNELTGVLPDQIANLTNLEILHLSNNKMIGSISPKLVHIPRLIYLYLDNNNFIGRIPEGLYRHPFLKELYIEGNHFRPGTRSKGTHKVLELPDADILV >Sspon.01G0013720-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:37847664:37851372:1 gene:Sspon.01G0013720-1A transcript:Sspon.01G0013720-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIERCRIWWPRQELQLKHKPFSLRLVLFGWFFSSAGSIDIVISAVVPQDHILRSFATLDTLQTIILSSNKRMPVSLQESAAFTILGDCGRHPPKELEEYCFNKLEQLPLDANFVQKEHFGTRRNNAMIGSVGNGDQGPSYDHRRWGCDCCLLDGFLDACRKSAVKEGSWVHLCWKFGKNFKSNLNQVPVLHHLYLMANRLQTIVATYVILYEVPTIGRNHFSLGVDAPRKLNVSFKKPNWINDLQKQPAFLDLDSIVLALNCSNAARLPDTQECSTTSSGAYFIFASVYDVLVQVTWHCVGIFLASASTILYIMILMFRKCLSHMPQYLMLNKVFRHSWNNIHLRSCQILYWPIVLQDASLSSTVNVEYAHKAAIRKHALWSSIIVDLLMGFVLGAAFLLNTETICIWTIALVHHMTEAILRSGCVWLMGVPAGFKLNTELAELLGMISLNAVQIYSTLWFFVGGYLRHIIQGIAVSGIILGLTTPVSFFIDIIQLATLHVTMLHWLISSLYSRQIQTVASLWRLF >Sspon.04G0008990-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:26138426:26140547:1 gene:Sspon.04G0008990-1A transcript:Sspon.04G0008990-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQCPMDTAASGTSPVTQFHSNADESTSHSSPLPTLIERSQRHCYGDGIPGEFPLAVSPSIVLHSGGNKPANFAPNFALSLPELAAFDMCQKRTMFKLMKQEDQECLKQRCGGSWKHVLKYILVREKNGSRVIAGPGHSIVITSNGDVYSFGANCSGQLGLGDSEDRFRPCIIRSLQGIRITQAAVGSRWTMLVSDTGSVYAFGKDNFRGAELVDDAPIQTTTPKVVESLQGVFVVQAAIGGYFSAVLSREGRVYTFSWGKAERLGHSSDPSDVKPRLLSGLEDVPVAYISAGNCYLLMLAYQPNGMSVYSVGCGLGGKLGHGCKTNKGMPKLIEQFQSLSFKPVSIAAGTWHAAALGSDGRVCTWGWGHTGCLGHGDEEYKAVPTVVEGLRNVKAVHLSTGEYTTFVVAENGDVYSFGSGESLVFQEDDEAEEGPDFSTPSIVSSLKALNKKVVQISPTNASYWLNSEMGHPHTFAVMDSGDLCAFGGGIRGQLGVKLLEGVEKVSIPMHVPIGLN >Sspon.02G0032340-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:87026787:87027254:-1 gene:Sspon.02G0032340-4D transcript:Sspon.02G0032340-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQQEELAAVLKPPAAVVFSWEPVAVTTKPAAAEARGDTIVMPPGSPTKKAAAAPLARRLSVPPPPGRAATRSLSSRAGGRAVRPEDDPFLAAYLACTKSSRGSRDAGGTPGEGKQGRSRFTWARLGLSCKSSAGVVERSMVKVAKRPELDPRDA >Sspon.04G0020820-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4A:72949708:72950200:-1 gene:Sspon.04G0020820-1A transcript:Sspon.04G0020820-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPRAPRRPESPSTVTAPYARETSLSLPPDCEMSRCRVLCLCGAPVQEAQNRSGKGYGVLQAPAAAAPVMAVGLLLRLATSPGAGVGKQVPVQVLSSIALILRKGT >Sspon.06G0017490-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:77383286:77389341:-1 gene:Sspon.06G0017490-3D transcript:Sspon.06G0017490-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding EMAEYDERYQGNGDTATAEGGYAQAEYADAAPPAGGSPPAGFPDHADGRSSQPQHETQSHDSGSSKSRERDRERDKGKDRERDRDRGREKERDRDRDKDRERGDRDRDSDRHHRDRRERSERREHRDRSDDRDRDRHRDDRDRHRSHDSDRRRDRDRDRDGHRRHRSRSRSPSKSRDHDLRSRSRSRSRSNSKRVSGFDQAPPQQALPIVAAGAIPGQLPGVTAPITGVGVLPNLYNLAAGQFNPLVIQPQAMTQQATRHARRVYVGGLPPTANEQPNPNLNLAAVGLTPGSAGGLEGPDRIFVGGLPYYFTEAQVRELLESFGPLRGFDLVKDRETGNSKGYAFCVYQDLNVTDIACAALNGIKMGDKTLTVRRANQGASQPRPEQESILLQAQQQVQMQKLVYQVGGALPTKVVCLTQVVTADELRDDEEYDDIVEDMREEGRKSGNLVKVVIPRPDPSGAPVAGVGQVFLEYADVEGSTKAKTGMHGRKFGGNQVVAVFHPEDKFAAEQYD >Sspon.05G0009320-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:29599521:29604671:-1 gene:Sspon.05G0009320-3D transcript:Sspon.05G0009320-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLECKDKSRPNCFTALGFLVPTVAAADLGGAAKAFIRCYPSAGPGVSADPATSAAEEPASQDPRDLVQPYPKFSIRDYVFASRSKGIKRSWPFHPHSLELCLNHGVKDPLPPFEPPDLIRSQPLNTSRDVEQSAACPEAIISVGLVKNRDAGSSNEYTGDINFQSCQPVDESLAPTPYTSPEDGKSGIDQVGSTNESDHTDEAIPIDLQDNSCTKASRRTEVAVPLRRLRNIDSSCEPSEKKCKFVVKLGASTDIRRAEDIASNSSSVSDPMASKTCPVCKVFASTSNTTLNAHIDQCLSAESNTEHVETVLVKSKVKPRKKRLMVDIYKTALPYTLEDLDRRNGTNWAVELAMSPVSKEVCTENRSPEVVSFDRRDDEREGDVYVDSNGIKIRILSKCNDVPLVRDDLGSRKVAKHETGKGILMSKRMLKSKVLKNKKLKMHGKKYSKTSHLNSQVQAYPHDDINEETSEEEQQAWNPSESTSNCGSRTMQRWVCSKRSDINKSLSRKHSGKTFDKVTPGAQKSDRSSMLGFNDSQVPESPAGCFLLSHQNTWRQLQKPLSNGPSKVLRSIPTWSSKTHLQSTVMPKVPRSAAALAKRKIKEIGRREATKLDNYDIVRNPTSAKRSEARSLSFSTAGPSNGPNRLASTSKKIRKHRSPLRTGKRAFSPSSTRLVHGFGEEHEPDTSHVNKKFRVTSNEGPKKFLKHTEEDTADNDFSFASDMPVSGQQNDQYDVAQETEGTQMDCEGEDAETDVPYDSVSRSDPADCCNQISDVSLSPENNRTADDDVLVEGYSVALEDPDFSEQLAHGHESNSAANNEMDEWQMEPTSTKESSPCLTSNRDMGPGGPQDNSSITSNGENSNQEHGLPLGRDSLDSPISTASTMSPQAALKDSRTNEEEPGPSTGRTVEEQITGCLNQETKSIPVATEGEQLPNEKPFRCSCQENISRESHQSAVARPMLNFTGKQVPQLHIGLRHHHLSARFSGIYYQPPSYATDCISPSLQTQLPSPSNPILRLMGKNLMVMNNEESLHPQAPSSDYILRGNYAAPVGFMPPNYQHLSNSAFINMPPTTASHQIPLPSVQAGSFVAPPLHGGSVMQSDHHSQQKSYRNIMPVMHHPTYMMKEVIVINDSPERRSEPQASMPFPPATPPTLSVPNNMPPHPFYRLPPQSPVLPRERAVGSMPVYTNVGSVVGVNSSSQGSQTEVANPYMPNPFFVQSRTGYINPPVYYSQNLR >Sspon.04G0001920-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:7244579:7247802:-1 gene:Sspon.04G0001920-3D transcript:Sspon.04G0001920-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSHSREDIDLTSSDEEEEYEDDYDARSPIAETAASAGQRDDDLLRTATPSSLEAIDAKLRSLDLKYQRPTSAKLYLHVGGASPSAHWVPAERRATYAFVDKSSDDDSSTRWFLEVGPGPRVSAPVDSALQLKTIPAQRRADFAASGSVWALRLPTDAELRRFRVEYERCLFENTYGVEATDEGRKEVFGADFAAWARPAEADDAVWADAEASLTPPAAAPAKDLLEEFEEEAGDGDSIQSLALGALDNSFLVGGAGIQVVKNFRHGLHGKGASVRISGGRGGSGSAYSTPKKALLMRGETNMLLMSPGEALHSSGVHHLDIETGKVVAEWRFEKDGVDITMRDIANDSKGAQLEPSGSTFLGLDDNRLCRWDMRDARGRVQTIGSSSESPVLNWSQGHQFSRGTNFNCFASTGDGSIVVGSVDGKIRLYSKSSMRMAKTAFPGLGSPITHVDVTYDGKWILGTTDTYLILICTIFKDKDGKEKTGFSGRMGNRIAAPRLLKLTPLDSILAGTDNKFHGGQFSWVTENGKQEKHLVATVGKFSVIWNFQQVKDSNHECYRDQQGLKSCYCYKVVLKDESIVDSRFMHEKFASSDSPEAPLVVATPMKVSSFSIANQR >Sspon.05G0010940-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:33693478:33698696:1 gene:Sspon.05G0010940-4D transcript:Sspon.05G0010940-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LMMMGAREPVAMEIPAEEGPAAARAPPRRIRRRLVEGARGGGAPASVEEIEARLREAELRRQQFHEWLACKARKKPRSPSWSSQEEDHGQRLEAKLLAAEQKRLSLLAKAQNRLAKLDELRQAAKNDVEMRFEKEREELETRVESRVRQAEENRMRLLHADMQKRAALKERTARSLVQKATSESKYMEQVRSAISQKRAAAEKKRLRLLEAEKTKAQARLLRIQKAALTVCSRRESERRKLKEQLDNKLQQAKRQRAEYLKQRGSPRGSTHADYIKHADALSRKLARYWRIFVKSRKTTFALVQAYDALGINEKSVKSMPFEKLAMSMESPIVLQTTKALLDRLEKRLVISHSVTSSSVENVDHLLKRLGSPPRRKVPLSREGKTRAVVKRSAKSSEASIRLPRYSLRVVLCAYMILAHPSAVLSGQGEREKQLIESAANFIKQFELLIKTILDGPGQSSDVTGQRKFRIQLANFDKAWCMYLYCFVVWKVKDARLLEEDLVRAACKLELSMMQTCKLTADGHSPNNLTHDMKAIQKQVTDDQKLLREKVQHLSGDAGLERMNSALLDTRSKFFEAKENGSPLAAPVANVSTPLSINSSGKVPVSEVNESSRTNAVGTSSVVRSLFGASSSSVSSSPAKQPTENEQMVNEMLHEDASAFAGRSDSANTAEEEFQKKVRETMEKAFWDMVTNSMRGERPDYSQLINLVKEVRDSLHDLAPKEWKEKILENIDLEILSQVLGSGSQDAQYLGQILQYSLDMVRKLSAAAKEDEMKKNHDKLLSELSTNSEVNDNGINSFAIAVIKGLRFILEEIQELQAEVSKARIQMMQQIIKESAGVEYLQKAFADHYGPPENASASLPLTLQWILTSKNIAEVEWSEHSDCLSIMPSAGQAPALVPVLRAGHGAPVGQPSSSVADTSGQPECKGEKLDKLIRIGLLQLISSVEGLQLQTTPESFQINLLRLRAVQSQFQQVIVIATSMLVLRQVLMSENSKATPLELENAISELFKALTNILDSSPDAGTEEIVQAMVNASASVGSPSEEKIQSRMQMITRVFLKSLQPGDVVFKKVSHVVYCAFRGIVLGGSGPKGQKLADAALRRIGAAKVVDRVLKSAEVLIKVATVSEKVHGPWYKA >Sspon.03G0041100-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:36312405:36316015:-1 gene:Sspon.03G0041100-1C transcript:Sspon.03G0041100-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTGAGRVFLLALSCVIFFSAFPASLNALLATITNDFKILPYDLRAISGVALQIDESFLPLLRQLPGVIAVIPDTLYKPHTTHSWDFLGLASDGEITPAWSSAKLGVDTIIGVIDTGVWPESRSFQNDTMPDVPLGRWRGTCEKGNDPTFQCNRKLIGARFFSEGIQASRALGDGGGQQQPSQADLSSPRDYVGHGSHTLSTAGGSFVRGASVSGHGKGTAAGGAPGARVAMYKACYEPGCSGIDILAAILAAVADGVHILSLSLGAPPGDYLTDLTAIGAFFAVQSGVTVVCSAGNSGPQPSTATNLAPWIFTVGASTMDRDFPAYVSFNGETIQGQSLADSTLPIGQPCQIISGENANAVNQPTSNSSLCLPGSLDPDKVKGKIVVCVRGVNARVEKGFVVKQAGGAGMVLCNDASTGDTVLADAHVLPAAHCPFRSASGSSPTSSLPTKAKLLPVHARTVTFTGLTRWTEPTDQRFHFHCSNPLGYINATDASFGVKPAPKIAAFSSRGPNAITPQILKPDITAPGVNVIAAYSGAVSPTELPFDDRRVAYNIMSGTSMSCPHVSGIVGLLKTKYPAWSPAMIKSAIMTTANTVANDGNPIQDEAGAVATPFGYGSGHMDPVRALDPGLVYDTTLGDYTNFLCSLKPTPTTQDPVPSLPVDLPMMGSLSPPVTSLLLPLFNAAGEPCKCSQGPYGRPEDLNYPSIAVPCLSGSATVKRRLKNVGGAPGKYKVTVTEPAGVKVMVVPSELEFRGGEEKEFTVKLDMDVNAPAAASNYVFGSIVWSDADAYASDVKKAHRVRSPVVVKIKCG >Sspon.02G0013540-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:22437635:22438480:1 gene:Sspon.02G0013540-3C transcript:Sspon.02G0013540-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQCSNSSMMLGNFTLQMTSAGCSVTSCTYGGYVNGTILATLTTSLKPQCPGPHQFPPLMPPPTSSFFETYLGPSPTPMASEGGIGPQVAGMAPTSSPPVSSGPPMAGSHGSDRLALVALCLVASLLW >Sspon.07G0003960-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:9826429:9830897:-1 gene:Sspon.07G0003960-1A transcript:Sspon.07G0003960-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADWEGIPARERRQMEEILQLDMEELNVEVVDDNDEEEEEEEGDGNEEDDDIDAFLRDNNGDGVVSTSGPFTFNTSLASLHTYLGEVDDTRGRVTLLDGGTVLNLPMFYLQGVVLFPGATLPLRVIEDRLAVTIDKALRLVDAPCTIGVVLMRRLANHRHYATASVGTTAEIRQLGRLDDGSLNVVARGQQRFRLRKHWIDVDRVVWGEVQIIEEDTPLRTPRDAFAQLAACNSFNLHASSSFGYVSYQTRHIDSELECDTPSPNSNISNPSSMDTRLCYLGSQSSDSMRRRFDAHTVLEAEATFCEGKWRIKSFDKKTNMSNDNDLCLTPLQSLPIAKTRDAKRRRQYHAYSKQASQAPLSFWPRWVYEMYDSYTLARSAAELWRQIIANPSMDDHVRKPDILSFHIGSKLPVSESERQKLLEIDGISYRLQKEIQLLKAFNHIKCRNCQSRIAKRSDMVVMSTDGVLGAYVNPHGFVHETITVSNATGLALIGNPSKVHSWFPGYSWTMAACMSCESHIGWRFRATKKNLRPRSFWGIRSSQIADDTEVDQSD >Sspon.02G0022780-4D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2D:70032160:70033224:1 gene:Sspon.02G0022780-4D transcript:Sspon.02G0022780-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPNFSSYYHSYTISNAPYAHDRRLQEQDDGICSGLPAQAGSYHYHVVDTNYSSNTIADAAFQPSSDPAGTNGSAGGYRHQSFASCRSSSSSGTPNTHRHQLQQLHFGSCCCTDEFCSRQQDGMGGMGAGALDDMHQFSGLMGAASISKKKSTSSRSCASTTFYAPTTAACLPHQHGEVMTPAHALPAMMQPDHAVNGARLRQKESADEAPAALIGVRKRPWGQYAAEIRDSTRNGQRVWIGTFDTPGAAALVYDQAAYSMRGPAAVLNFPVEHVEESLRALGLIRGAAGDSPVLALKRRHCIRKRLRKNKKRATTAGMEGCHGSAEARDEQTAATAGVLELEDLGPDYLEELLA >Sspon.01G0022860-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:81980276:81983683:-1 gene:Sspon.01G0022860-1P transcript:Sspon.01G0022860-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHSSAEDSDISDSDIAEHKEEICAQIRAGKLKVKRGEAFRCPFCPGRKRQDYNLKDLLQHATGIGAASKRSAKVRASHLGLAMFLEKDIASSLEQPLQIVPYKPKTPKDEEIFCELKGEEFSRESEERLTAQLSRFRPLQATILGDEKDQPFCAIIKFAKDWSGFKDAVAFENHFVLEHYNDYNSPGPIGEYLRKNGNLKSVADLEREGLKETGERVAHYALQIADTNTHMLELEEKKNVNAMKLARMLEENDRLVEEHNERILNMQKAARRNSWRIINDNIRLHEELETKKKEIARRHEELEKSVINSTDREKLEATKEEIAKENRLLDLATLKQKEEDEKFLKLVKEQELASKQKLELEREQLRGNLEVRKHMAEEDAKSKEMLDKLHEELKEKDEEMEGIDSMNQALIIQQRRTNDELEEAKKELITDLVNMSSARSIVGVKRMGELDEKAFLAACKEKTADEEELAILCSKWEDEIRHPEWHPFKVIHVGGQAKEIIMEDDEKLQALKAELGVKAHDVVVKALLEMNEYNPSGRYPIPELWNFREDQRAPMGEAVAYIVKRWKANKKKHTYY >Sspon.01G0045510-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:89937931:89938675:-1 gene:Sspon.01G0045510-1B transcript:Sspon.01G0045510-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKETSNGSPNSKDSGGPPEPSYILPEMSGLSRSQEKIIEAKVRAIKSEVPIYIAIMRKTNAAVSKLQFGTKYGAAHLPAREQTMVLQCKRKIWKVQMKISSGHKRFLGRGWTTFVRDNGLQVGDLCLFELKKNEKKLTME >Sspon.08G0011160-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:45969753:45974464:-1 gene:Sspon.08G0011160-4D transcript:Sspon.08G0011160-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHADALVRQHNRSLVDLLRRGRFSAARRLFDALPARSVVTWNSLLAALSRRSDVRAARSFFDAMPVRDIISWNTLLAAYARSLQPDHLGAAHRLFDEMPQRDAVSWSTLLGAYTRRGLMEEAQRLFDEMPHRNPASWNTMVTGFFAVGQMRKALNVFEAMPVKDSASLSAMVSGFIRNGWLHEADELLTKRLRVMDMDKAVDAFNTLIAAYGQTGRVTDARRLFSMIPKAQSQHKGHKRRVFERNIVSWNSMMMCYIRTGDVCSARALFDEMPYKDLVSWNTMIAGYTQVSDMEEAEKLFWEVPDPDAVTWNLMIRGFTQKGDVEHARGFFNRMPERSTITWNTMISGYELNEDYDGTIKLFQRMLQVGERPDHHTFSSVLAACASLAMLRLGAQLHQLIEKSFLPDTATNNALMTMYSRCGELTSAKAIFSQMHTQKDLVSWNALIGGYEHHGNATEALRLFEEMRSAKVMPTHITFISLLSACGNAGLVSEGRVVFHTMVHEYGLAPRVEHYAALVNLIGRHGQLEDALEVIKSMPIAPDRAVWGAFLGACTAKKNEALAEVAANALSKIDPESSAPYVLMHNLHAHEGRWGSASVVREDMERLGIHKHPGYSWIDLHDKVHVFISGDTSHPVTQEIFSVLECFYSSLWPYWTGAWPDSHLLEEEALLSGLSLPSLHFHSSCSTITSSNILQGELDAIFEDDVLRHWDDMEQQSDNKVQIEGNERLPLLCCYTEEEKEVSSNTKVVVRAGQRVRAEEPVLTFELVSQYFYMPIMQAARELNVGLTLLKKRCRELGIPRWPHRKMKSLQSLIKNVQVLQEAGKATDEEQLRAVVEMLQQEKQLLEQRPYVQLDEKTKRLRQACFKANYKKRRLLALKGGEAPR >Sspon.02G0036520-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:14698482:14711114:-1 gene:Sspon.02G0036520-1P transcript:Sspon.02G0036520-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGNGNGEVDLDATMTTLGPEDDTSQGLILTNKQRVMYRPPAGKSVLGLDTLADKKRAAGGGSVFKPPPPKVAVAAGSIDEDEKPGATENDATSLSTAIRSNSSRRYRGSGSDDKTSLNEPTVTDKGERAPTPSHRDEPHRQETHISGSSRGSRPHGTPRGYDYYDDRGSRDKHGDHERSASIGYSSSGRRRYHDDRESHTRRDERGRSTSIEYTNKRSRHEHSSRSSRTPARSDWDDGRWEWEDTPRRDYRDNRPGSRRQSSTRSPMLAAASPDARLVSPWLGGNTPRSAASPWDNVSPSPAPIRASGSSKGSSYSHSSGRSHQLTFTSTTSSNVIDADRSPSNPDRNYEITEEMMQEMDYNADRAWYDCEEHTTMFDGDNSMYLGDDNSYKKKEAEMPKKLTRRDGSLMTLAQSKKLSQMTADNAQWEDRQLLRSGAVKGTEVQTEFDDEEERKVILLVHDTKPPFLDGRVVFTKQAEPVMPLKDPTSDMAIIARKGSSLVREIREKQSMNKSRQRFWELAGSKLGNILGVEKTAEQVDADTAVVGDQGEINFKEEAKFSQHLKDKAEAVSDFAKSKSLSQQRQYLPIFTVRDDLLQVVRENQVVVVVGETGSGKTTQLTQYLHEDGYTTTGVVGCTQPRRVAAMSVAKRVSEEMETDLGDKVGYAIRFEDVTGPNTIIKYMTDGVLLRETLKDADLDKYRVIVMDEAHERSLNTDVLFGILKKVVARRRDFKLIVTSATLNADKFSKFFGGVPVFHIPGRTFPVNIMFSKTPCEDYVEAAVKQAMTIHITSGPGDILIFMTGQEEIEATCYALAERMEQLISSSTKTVPKLEILPIYSQLPADLQAKIFQKAEEGARKCIVATNIAETSLTVDGIFYVIDTGYGKMKVYNPRMGMDALQVFPVSRAAADQRAGRAGRTGPGTCYRLFTESAYQNEMLPNPVPEIQRTNLGNVVLLLKSLRVENLLDFDFMDPPPQENILNSMYQLWVLGALNNVGGLTEIGWKMVEFPLDPTLAKMLLMGEQLDCLDEVLTIVSMLSVPSVFFRPKDRAEESDAAREKFFVPESDHLTLLNVYLQWKSNQYRGDWCNDHFLHVKGLRKAREVRSQLLDILKTLKIPLTSCHMEWDVVRKAICSAYFHNSARLKGVGEYVNCRNGMPCHLHPSSALYGLGYTPDYVVYHELVLTTKEYMQCVTAVDPQWLAEMGPMFFSVKETDTSLLDHKKRQKEEKTAMEEEMEKLRQEQAEAARMEKEKEREKRAKQQQQVAMPGLKKGATYLRPRKMGL >Sspon.05G0021730-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:91056449:91066890:-1 gene:Sspon.05G0021730-1A transcript:Sspon.05G0021730-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKDSALGLPRSPTWAYARRSNPISAAASAVTAISYLVFHRCDENTDMKSHSLKLIPCFLSLSSIWIFVFQEYVLNARGINIFTCQWRPLNIEPKALIFLCHGYAMECSISMRGTRLAQAGFVVHGMDYEGHGKSSGLQGYISSFDDIVVDCSKYFASVCEKEEYKKQKRFLLGESMGGAIVLMLHRKEPTFWDGAILVAPMCKIVEDMKPHPIVISILSKLSNVIPTWRIIPNEDIIDRAIKSEEWREEVRNNHYCYKGKPRLKTGYEIFMASLDTESNLDKVTLPFIIVHGGDDAVTDPTVSEALYTLAKSKDKTLKLYPGMCHALTSGEPKENIDIVFSDIIKWLNER >Sspon.05G0033100-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:5C:26301177:26301421:-1 gene:Sspon.05G0033100-1C transcript:Sspon.05G0033100-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding QNLAAKRAEHCTAGFSRAVTVEKKDGSGQAAVRPLVSGCAAPDDRAHTVPPMSGASGGGDSNSPVGSASRGEAASAVQHY >Sspon.07G0003070-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:7561716:7564614:1 gene:Sspon.07G0003070-1A transcript:Sspon.07G0003070-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPFSRTRKPGPPDKRATAAVRPIHRRRLGSAISRQGCDDRLSALTDDILLLILRRLDTRTVLATTTLSKRWACLPRGLDSLDFRVSDILPPRYHRCIRVHHEGARYTYGLEVNLKAICASIERYQRRAMRTMAASIKNFLDADDDHDRGALGLRSVRKLKLDFFATQCSSGINRLITKAVDSWGVEDLEVSAQSMFRRRDAHSFSDHGLCNNPQKSRLRSLKLTACYFPPLKGFHALTSLVLQDLPKSTPTSAYESIFTLCPQLQSLHLKSCMLDQGVVAVDAPRSEIKQLIMEDCSFGWFKLHALPMLERMAIVDTTVIYRLSSFPYLRHFSLTKCHGFSKSRTIRFTPEWDLDRYLGGSLGITNLVVRFTGYDRWFKPWSPALLLPKLRRLLIADVPSSWDVSWPRLLIEAAPCLESLHIHISPWEEDPCDDIAWQSPKFCHNHLKELRPGHGPPLEFGTIVARIG >Sspon.03G0007690-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:20764848:20770593:-1 gene:Sspon.03G0007690-1A transcript:Sspon.03G0007690-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAPSSARGRAPPRPLHPLARGGSERQEMEAVAATHPGGAQRMRRKGRKQKQLWPRTVMRKWLNIRSPESDFSADEGETTGDDTDSEVEYEEMCAWERKLHDDERSFRGFGAEAIGNQLGVAPCGLHRRRKSETLRAQYIDVRELRICAGTWNVAGRLPPNDLNIQEWLDMEEPADIYVLGFQEIVPLNAGNIFGAEDNRPVAMWEHIIRETLNKISPDKPKYKCHSNPPSPSRFKPSDDAFVMEDELISESDSESDGEVHPLNEQDLVDSVDGNHGNKCEHPTDAPETILQDDEFSRLPSMKTFDRSNNLSFKESNLEEKICQKLLTKTFSNSERLGMIWPEPPLDMLAQCLPDSTKSFASGKALRSYLSFKSVNGDSGPFAEDNSVPDFNINYAAVKRKRPYFVRIISKQMVGVYLSIWVRRSLRKHIQSLKVSTVGGSISVSMSIYQTHFCFICCHLTSGEKEGDELKRNADVQEIHRRTIFNPVSRVNMPKTIYDHERIVWLGDLNYRINLPYEKTHELISKQDWNELFGKDQLKVELQKGHLFEGWTEGVINFPPTYKYKVNSEKYISDDHKSGRRTPAWCDRILSHGKGMRLLSYKTVDLRLSDHRPVIAVYMADVEVFSSKKLQRALTFTDAEVEEQLSFEEDTLYSFRLKSLEIFILHISSSGIEYPAEVPCWLLFEKQKY >Sspon.02G0005970-4D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2D:11969357:11970509:-1 gene:Sspon.02G0005970-4D transcript:Sspon.02G0005970-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DVLAGFCGRIRRLVAAGAASARRHPRVALHGFPGGPEAFELVARFCYTDGGRGEAVTAANACVLRCAAEFLDMAAADAPVVGVTSTAAPSLVRMTEKAIEEMPHWPWHTVVDAVKQCQRLVPLADSTGAFDAAVAALVSQMAVPPPAAGDTTPTGSSPESTAFRFSCDTKSSSLSLRGSCISRTWWFEDLVALGPATVERVASALVARGTDHGVVARFLFYYLKCRIAGASAEDKKAMLEASVAVMASLDRSAVSCKGLFGILRIAAPLKLADACQERLVAMIGRKLDHATLDNLLVPAPPGTASLYDVSLVLRFLAAFLRGGASDEPARLKKVGRLMDLYLAEVAPDPSLRPAKFLELATALPAPARDCHDALYRAIDVYFQ >Sspon.07G0010830-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:32633153:32642109:-1 gene:Sspon.07G0010830-2D transcript:Sspon.07G0010830-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQMLMLLSLRPPPAAAHLLAAAPARTPLLRARRPAGPPPASASASASAEFPGSVPDSAQMPPRRRRRRSVAGIDQDELLDPDALADPDSSFYEINGVRLHHKVCSHEDEDEVEDSSSDQPSGSSTIASDAVRNSQIGLPVLLLHGFGASVFSWSRVMRPLARMVRAKVLAFDRPAFGLTSRASWSADDTKPLNPYSMAFSVMATLAFIDYLGAEKAILVGHSAGCLVAVDAYFEAPERVAALVLVAPAIFAPRKVMKEDQSGEQEGQQTQNVPNDENSPPNLFARIWGGFLQLWKHIAGLVFKIITAIQGVVKSFCIKALVAFLRSSLGAALVRWVMDKFGVLGVRNAWYDPSKVTDHVIQGYTKPLKSKGWETALLEHTISMIIDSASASRAPVSKRLSEISCPVLVVTGDTDRIVPAWNAERVARAIPGATFEVIKSCGHLPQEERPEEFLSVVENFLRTIFANPNEQEFQAACPRRHREEWLCQPRSGLRLWTRPQPQGRTLRRKEEPLEEAGRRSREDEGLEPLERQDEGLEPLDAEMAPEKADGILQLLGVGGRQRLARWEGRQRHRQQPPPPPRPRQPGQ >Sspon.02G0009820-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:26564597:26565409:1 gene:Sspon.02G0009820-2B transcript:Sspon.02G0009820-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMGRLQHHATSSSSTSTSASSSSSKQNKAVWSPSSSSSPQPPKKRPAGRTKFRETRHPVFRGVRRRGAAGRWVCEVRVPGKRGARLWLGTYLAAESAARAHDAAMLALLGRGAGAGAGRLNFPDSAWLLAVPPPSALSGLDDARRAALEAVAEFQRRFGGAAAGGASVDEATSGVSAPSPSSSLPGISFGSPAAPEVEQVPVKAGETAAALDGGVFERHDWFGDMDLKLDVYYASLAEGLLVEPPPPPATAWDHGDCCDAGAHVALWSY >Sspon.06G0015020-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:82202683:82203149:1 gene:Sspon.06G0015020-1A transcript:Sspon.06G0015020-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVDEHNITCSNCIIICTTKGCWSQRDYVGSTVLLMTSKYPNKANVAYATLLSTNPKAIVRSQFYKARMNHAIAKDEPLVRPMPGCNNIGVAQAKGVPIAWPLMLNFYINVYKKQ >Sspon.01G0039340-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:33793750:33796629:1 gene:Sspon.01G0039340-1B transcript:Sspon.01G0039340-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding EPDVNNNGRSFVFNYTLAKAVVEYAAANWIKDIIWKQVNLNDPNMPNAKVHIGFYTSYNNTVLRSAITNAVRKARKLHGHSDIIVTGHSMGGAIASFCALDLAMSFGSNNVHLMTFGQPRVGNAAFASYFAKYVPKTIRMTHERDIVPHLPPYFFFLPKLTYKHFPREVWEHEVDGNTTYQVCDGSGEDPNCCRSVFALLWSASDHLTYMGVEIEADDWSTCRIVLGRSVEQLKGSLASDIVMSGLSVDVVIADDSVQVE >Sspon.08G0009710-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:42567201:42568610:-1 gene:Sspon.08G0009710-1A transcript:Sspon.08G0009710-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPASGDHTTARPHVVLLPSAGMGHLVPFGRLAVALSEGHGCDVSVAAVLPTVSSAESRHLDALFAAAPAVRRLDFRLAPFDESEFPGADPFFLRFEAIRRSVPLLGPLLDATQASALVTDIVLASVVLPVAKARGVPCYVLFTSSAAMLSLCAYFPAHLDANAAAGRVGVGDVHIPGVYRIPKSSVPQALHDPKHLFTQQFVANGRGLVAADGILVNTFDAFEPDAITALRQGSVVSDFPPVFAVGPLQPVRFQAANDAAHYMNWLSAQPARSVVYVSFGSRKAISTDQLRELAAGLEASGQRFLWVVKSTVVDRDDAADLGDLLGDGFLERVQGRAFVIKGWVEQEEILQHGSVGLFISHCGWNSVTEAAAFGVPVLAWPRFGDQRVNAAVVARGRLGAWEARWTWDGDKGLVSGEEVAEKINAVMGYDVVAEKAANVGDAAAAATAKGGTSYQSLADFVGRCRDAGR >Sspon.05G0013580-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:41221824:41225422:1 gene:Sspon.05G0013580-3C transcript:Sspon.05G0013580-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPRVVLLFLAAALRPCAALVRLHSATFSFTFLDAPARFGPRVGGDGICGSLRAAEPAEACEPIKARGGRRSAGRKAFVLIARGNCSFEEKVRAAQQAGFDAAVVYDDEEKASLYSMVGESEGIHIPAIFVSKMAGETLKKFARGEDDECCINSSMDETAGTVLVMSFVSLVVIISVLASFLFARNCRLLRHGVDNHPTYVKKHVVEKLPCSAYVLPVLVKIIFRKLVQFA >Sspon.03G0009780-3C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3C:40161571:40162170:-1 gene:Sspon.03G0009780-3C transcript:Sspon.03G0009780-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VAGVCRDWRKAVHLRLGASQQDQLPWLLQPSHDDAPPSTITSYVSGTTGRQICLPEGVRRTDRFCGSHDGGWVAVAADRRGPYYYKYKLVNLIKQAIASIPLPNALRSHRPTRSEMVDIRMVTLSDVPTAGSCIAAAHTVGGYPSIIFCRPQVDGHWVPPLMDTDPLQDTLYHRGELYEGFYSISNRDNLYLFMPMEINN >Sspon.06G0034540-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:46758432:46759939:-1 gene:Sspon.06G0034540-1D transcript:Sspon.06G0034540-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MELVDRLQRLGVDYHYKKEINDLLCSVYNDKDGGSDNLYITSMRFYLLRKHGYGVSSDVFEKFRDEQGNISSDDISCLLMLRVKRVEARRYISVYEKKATRDATILEFAKLDYNILQAIYCDELKELTVWWKAFQSQTDLSFARDRMVELHFWMLGVVYEPYYSYSRIMMTKFIVFASLLDDLYDNYSTTMRSTTFTAAMQRWDEQTTQQLPAYLKALFINILNTTNKIVEELKLTKNKHADLIKRL >Sspon.06G0033120-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:9353270:9356388:-1 gene:Sspon.06G0033120-1D transcript:Sspon.06G0033120-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPTESSLPRRPPLGSAALGPPGIAIGVSRNHKAGSVESVRPGPTHLRPSVAPCKMGLEEGCEVTVPAPCPQNTHHYLGQQQCTNSESNNLTDAIVMSVRAQSFLPHVGRCLEYPQILKLSLAVISKQK >Sspon.01G0017290-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1B:67857644:67858135:1 gene:Sspon.01G0017290-2B transcript:Sspon.01G0017290-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSPMAEAGGSGRRRFAVACSVLSQCVRAETAAAHSRPVVQPQAAASPMLLMPGADVVSDETVPTPTPAPAPAPASAKLTIVYGGRVLVFDDVRADRAAEVMRVAARQDMPPGGLAADLQVARKATLQRVMEKRRDRLRTRAPYAVKWLRLGGFNGDDDGPR >Sspon.07G0032050-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:42871181:42872686:1 gene:Sspon.07G0032050-1C transcript:Sspon.07G0032050-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding METMVAAPAPQQRTGRIARRLARLLRRKSSPAGAGGMAYSVAGDDDFDDSLDTSINSLSKLKLSGNLAAAYTLDALFKNATEKKGPTAAAAPQTQPSPSPAPVPPPGAQDAAKHAFLATLFARASAVKAAYAQLQLAQHPYDAESIQAADAGLVAELTKLSSLKRRYTRDPAAAAARSSAAALALAAQADEQRHLLRTYEITARKLEAELRARDAETERARAALADELRAARGLEERARTRTLAALDNLHLSGLNATHFLTALRHAVKSVRAFASAMLDAMRAAGWDPAAAAAAVHPGARLRDPAGDARFALESYVALKMFAGFHHKDLGLSSLHERGSSHDRRRFFEEFAEAKSAAAAELLVQDDDASGDPRRWGSLREFLRDRYASVVHERMEAAFFGRSGKAVPCAAWLDEFAEMARRVWLLHCLFWAFDGAASVFQARPGDRFSEVFMESVSDADGGGTTPAPAHVAVGFTVVPGFKLGRTVIQCRVYLSHPPEHRP >Sspon.02G0000310-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2A:1407740:1408170:-1 gene:Sspon.02G0000310-1A transcript:Sspon.02G0000310-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKQIVLGGDRDAKKLMRAVMGPERSGLRRGNGGGCRTEPNCRRGCSCCSRPPAPRSRSSASSTSATAAGSEEASVNRHSALDIFYGLALADRGAAVPRREGAVACSGRWATTACRSVSPATATSPPPAAPSPSAASSTTHTP >Sspon.03G0024460-4D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3D:59214303:59215493:-1 gene:Sspon.03G0024460-4D transcript:Sspon.03G0024460-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VAHRRLLSNVPESTIYGGPSPQESSAARRMTVTTLRGKHRRGEPISMVTAYDYSSAVHVDSAGVDVVLVGDSAAMVAHGHDNTLPISLDLMLQHCRAVVRGAPRPLVVGDLPFGSYESSPAQAISVLGGFRAQGKTVDGALKVVEAALALQDAGCFAVVLECVPAPVAAAATAALQIPTIGVGAGPLCSGQALVYHDLLGMFQSPEHSKVTPKYCKQFGDVGAAIGKALSEYKQQVEARAFPDANHTPYKISSVDVDAFADALRNIGFDGAAAAAAAAAEKTEKI >Sspon.05G0017440-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:69420900:69424736:-1 gene:Sspon.05G0017440-3C transcript:Sspon.05G0017440-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding NSNKMFSKKFATIAILLVCTSLTSLNLVTGACTMGQKEEIIRLCKFHIRHVSPILNVPRGSACCVEVRKVRDMRCIIKQMGHKEKKSYSRKRVAGLEKNHVACVYALNNFPQPCDRSMYHTTEGRNHQAMQVSHQAWFTHAYCATKECLLRGVTRIRDMQCIIQRMERQERMTYDMDRVLGLEKQCGLRTAFSSSKSK >Sspon.04G0004120-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:7867549:7870962:-1 gene:Sspon.04G0004120-2B transcript:Sspon.04G0004120-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATAGATPATARKTLFTTTVTLLSSSLTRSSGSGSGSRSRSLSCSAASAAAPRLAPQPPDLVRWVQREGGFVHPALRVANHPEHGLGVSAAASDGDIPLGDVLIALPGRLPLRLRRPTGAADDVLVRLAQQVPEELWAMKLGLRLLQERAKSDSFWWPYIANLPETFTVPIFFPGEDIKNLQYAPLLHQVNKRCRFLLEFEKEVQQKLHTVPLVDHPFYGQDVNSSSLGWAMSAASSRAFRLHGEIPMLLPLIDMCNHSFNPNARIVQEGSVNSLDMSVKVVAEKKIEQNAAITLNYGCHPNDFFLLDYGFVITPNPYDQVELSYDGALLDAASMAAGVSSPNFSAPAKWQQDILSQLNLHGEGAILKVSLGGPDIVDGRLLAALRVLLADDPEAVHKHDLKTLMSLDVQAPLGPAVEASALRTVLALCAIALQHFHTKIMDDEAILRGGPPLTTELAVQFRLQKKFMIVDVMQNISRRIKMLSPQKSTA >Sspon.07G0020220-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:75167849:75169699:1 gene:Sspon.07G0020220-1A transcript:Sspon.07G0020220-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMANGRLQKQALLPPRSPFPAPAPAPHAEFGPIARPRDAHHRHGHQRTSSESFLADEQPSWLDDLLDEPETPAARAHGRPGHRRSSSDSFALFEGGSSAAAGGMYDNVLDGMRGGGGGGGQVASWAGAPEFFPEPTSFARPQGRPWESRQMYRHGGGMPMPGREKNGGRHGPSSSFADHEHGHLPNGVDRKGHGDVGIVAERKEGLRHSQQYAQRSRVRKLQYIAELERRVQSLQTEGIEVTAEMDFLGQQNIMLDLENKALKQRLESLSQEHLIKR >Sspon.05G0004240-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:12693327:12696361:-1 gene:Sspon.05G0004240-1A transcript:Sspon.05G0004240-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTSTLSTVKVSNVSLKAAQRDIKEFFSFSGDIVHVEMQSGDELSQVAYITFKDNQGAETAMLLTGATIVDMAVIVTPANDYELPGSVLAALEPKDTKPSALQKAEDIVGTMLAKGFILGRDALDKAKALDEKHQLTSTATARVSSFDKRIGLSEKISVGTSVVNDKVKEMDQKYQVSEKTKSALAAAEQSVSTAGSAIMKNRYVLTGAAWVTGAFSKVTSAANDVGAKAKEKIAVEQEHKNAEAGPAQANISEIPATHRELGSEFTKIHVAETPEEIPISTVTVPAVTDEEPSEASPPADVPKKPEAAQGLFHGGCTFALLKQQRFITEAALLCS >Sspon.04G0000520-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:2709308:2714698:-1 gene:Sspon.04G0000520-1P transcript:Sspon.04G0000520-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLRRRAGRAIAAAPGGFLHLSLLASLRRRARAAPAPGPPASPAAASRLLRPHLCAGHPLASLRLFLRMLRDHQPAPNVRTASLELEEAVPDSRSLSAALAACSRLESPSAGFCTHAFLLKSGFASDVFVVNSLLHFYASFGLHALACKLFDEMPARDTVSFNTLIVGCVERALGVFRNMVEGGFRLDEWTITALLGACAGLGDLMVAKAAHGFASRALRHTLFDSAEVVIGLVDMYVKCGAVQFARKAFNLFGEKAKDARVWSVMVSGYARAGEIDMARKLFDEMPNKDLVAWTVLIGGYVQAGRYKEALRLFEEMEATGLEADEVTVVTVLSACVQHGAIGLAKRLHRRVNQNGLVSRNARVATSFVHIYAKHGCIQTAMDVFRGVADEFKTVELFNAMIHGLAHHGHGEKAISLFDEMETLGLQPDDITFVGVLCACSRSNLVEQGCKMFSSMSDKYGVKPNVKHHACMADLLGRAGRIDDAYNFIQNMPFKANPVVWSSLLTACKIHGNNKIKNLVERQILRKELSARVRNAIKHKSEHRRCFGRRLLGISRDNAPAFPLINPVPETPTEVTNNWGGDSILASAPPLASCMGLEDPGQCKAGSSSKTYVLKLDMHCQCTGCVKKIKDGVKEISISQGVERADVSAETGEVKVRVTGGVDMDPEKLCCLLQEATKKKCVRIVQEESVPSPGPGPRPGRIARPGQTKNTSMSGQQVPSDWVASDSAGWWRHDTSTFPATAPSAPPLPVPEEEEDAWSETTVPSDRCRYRWAAPGSTHGVWAASEITGTLAMYEL >Sspon.01G0033080-2D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1D:113971246:113971713:1 gene:Sspon.01G0033080-2D transcript:Sspon.01G0033080-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVSGAGAGGKAKKGAAGRKAGGPRKKSVSRSVKAGLQFPVGRIGRYLKKGRYAQRVGTGAPVYLAAVLEYLAAEVLELAGNAARDNKKTRIIPRHVLLAIRNDEELGKLLAGVTIAHGGVLPNIHSVLLPKKVAEKAAKEPKSPKKAAKSPKKA >Sspon.02G0047370-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:16172881:16173364:-1 gene:Sspon.02G0047370-1C transcript:Sspon.02G0047370-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVSLQHRLDPPHAAAAATRCRFAIDPVAAGEVKPSKRSRHSNKGGEEGQEDGDFGGGEHLVDPPCELDASERQRRRGEERERKRRNARG >Sspon.06G0004830-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6C:13413614:13416425:1 gene:Sspon.06G0004830-2C transcript:Sspon.06G0004830-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASASSAEGAHETNPEKVKLVTVLSIDGGGVRGIIPATILAFLEEKLQELDGPDARIADYFDVVAGTSTGGLLTAMLTAPGQNGRPLFDAKDLAQFYIDHSPKIFPQKNWILSKIASTLRMVRGPKYDGKYLHGLLRQYLGDIRLDRALTNVVIPTFDIAFLQPTIFSSFELKHRPSKNALLADITISTSAAPTFFPAHYFETKDEDGKTRAFNLVDGGLAANNPTLCAMSQVSQDIILGDDCFFPVKPVDYGKFMVISVGCGSNRDQRYSAKAAAKWGIFNWLIKDGTAPIIDMFNSASADMYDQLTGSAGSIDDCSKENMDKLVQIGKDLLGQNVSRVDLETGKNVEVPGAGTNAEQLAKFAKQLSDERRRRQKLSK >Sspon.03G0028580-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3B:9861779:9864373:-1 gene:Sspon.03G0028580-1B transcript:Sspon.03G0028580-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AT4G30480 protein [Source:Projected from Arabidopsis thaliana (AT4G30480) UniProtKB/TrEMBL;Acc:Q9M0B2] MVVIELEPEEPTRPSWPAAEEQAAAAAGGEAAHSSSSAGTEEAAAAAEGEASRAAEEEEEAFEDALTDEQLREKSRSQANDAKAEGNKLFGAGQYEEALSQYEMALQIAAELESSEDIRAACHSNRAVCFLKLGKHDETIKECTKALELNPTYLKALLRRAEAHEKLEHYDEAIADMKKVIEVDPSNQQATRSLFRLEPLAAEKREKMKEEMIAKLKDLGNSVLGRFGMSVDNFKAVKDPNTGSYSIQFQK >Sspon.08G0015620-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:62598071:62604563:-1 gene:Sspon.08G0015620-1A transcript:Sspon.08G0015620-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAAAPAAVGSGRELANPPADGISNHRFSNHSNNLLVSSWDKTVRLYDADANVLKGEFVHPGAVLDCCFHDDSSGFSAGADHTVRRLVFSSNKEDVLGRHDGPVRCVEYSYAAGQVITGSWDKTVKCWDPRGVSGPERTLVGTYAQPERVYSLSLVGNRLVVATAGRHVNIYDLRNMSQPEQKRDSSLKYQTRCVRCYPNGTGRVSMEFFDLSESAQSKKYGTFATGGCDGFVNVWDGINKKRLYQYSKYASSIAALSFSKDGHLLAVASSYTYEEGEKSHEPDAIFIRTVNEVEVKPKPKALAAPQ >Sspon.08G0024870-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:3059909:3064015:1 gene:Sspon.08G0024870-2D transcript:Sspon.08G0024870-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPKRRGMVVGPASPTWQLLVLHFGFVPSGVFWSIRCVALGDRTNRFSGSSPPRAGRDKARNGRRHTSAPHSHPSSLTLPTSPSRSPLPTCMAYYSGGGKLSAVDAILAEAADLVALEQIAKLNTAHLADDSALPSSLEARFRKLKSLPSPAASPPVRTLTRSVTAPGPAAALAGPNPPAPPEDHPPAAPAPTGHEDPPPGDAETKRGGSSPPQARAPAPAPAVLDADDAEDLERLFGSGPRGRPTLRERNRGRDSSSSHSPSPPPPRQACCFGFSPKKPLQRAPSSKAKKKVHHGSGDVLGVDADEWGDENRRMATELREQQRRLRKALEDQVQVSKETAKMARWVKQASARMTHVEAIDDLLSDCEDDEELR >Sspon.01G0062780-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:107334807:107335667:1 gene:Sspon.01G0062780-1D transcript:Sspon.01G0062780-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MESAPAQPSRVQSREPLQCSLQRHGFTVPRRCLAPTVPSNPPLLVVLSPFACASPTHTAQPTVSFPPPLPTRGRHSVVVVDSALSPDSPPCLVYVPGDGTAGACACPLDPRLARCAVPTAVQGPSLMHCLAEEVKGHEPSGPQPTCPVLGFLLHLPFGEQAAFAGTGRSNLILDRLIPDFGSSLSLHLLAKHCRAATLFIQPSWPAFIERYPVFIERLSSHSFG >Sspon.05G0020170-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:86018490:86022857:1 gene:Sspon.05G0020170-1A transcript:Sspon.05G0020170-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEESTAPAPAAKVYYDGCPGCAMDRKKETQSGVPYKELLFVATTTFASGTSTYYYILSLMLMLLLLCSAHFFIDPSIPTATQHVTQRPDRPCRPTVRGRTTDALPITSLFPFLYFMIKDLHVAPREEDIGFYAGFLGAAYMIGRGVASVFWGVAADRVGRKPVLAIVFNTLFGLSVKYWMAIATRFLLGALNGFLAPVKAYSIEVCPPDQQALGISVVSTAWGMGVIIGPAIGGYLAQETLHKHKGLEGAVEMVEGTTTQESAEPPKKSLLRNWPLMSSIISYCVFSLHDTAYSEIFSLWTVSDRKYGGLSFASKDVGQVLTVAGVSLLVYQIFAYRWIDKVLGPINSTRVSSALSIPIIAAYPFMTHLSGIRLDVPLYIAAMLKSVFAITRTTGTSLLQNNAVPQEQRGAANGIATTAMSLSKAFAPAGAGILFSWAQKRQHAAFFPGDQMVFLLLNLTEVIGLVLTFKPFLAVPQQYKQ >Sspon.01G0046960-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:101430682:101433711:1 gene:Sspon.01G0046960-1B transcript:Sspon.01G0046960-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLAPASWALPLQTGGAGAAAAAAGPSCRAMLAVAEPRWAAALRRPRVLVAPARCAALDGPGASGEEAKIEEQKKKKPARGRPVWRRILFASKKTRSIIILNALTVIYASDIPVLKEVEALTEPAVFNMVRFVVAAIPFVPFAVRAFGDRRVRYAGLELGVWISLAYLAQAIGLLSSDAGRASFITAFTVIVVPLIDGLLGASIPKLTWFGAIMSLFGIGLLECGGSPPCVGDILNFFSAVFFGIHMLRTEQISRSTDKKKFLALLSFEVLMVAFSSVLWCMFKDGYVDTSESNFDSWTFGMLWDTAASFPWIPALYTGVLSTVLCMWAEMVAMGDVSATETAIVYGLEPVWGAAFAWFLLGERWDNTAWIGAALVLCGSLTVQLFGSAPEKSKKIKKRSSNALETPVKQQDYLSLSPIPVDSGKFIGRQLERRNKAL >Sspon.05G0018090-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:76105020:76107558:-1 gene:Sspon.05G0018090-1A transcript:Sspon.05G0018090-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding CMEHGPWLTGIRVNGASPLTPPRSLLHPSSYKTNHHSPPLPRRRLPCLLLFIHERLAPAARSLAAAHHAIATTAAAPTHRAGALVPPTPTRRRRRRRRRRETERRRSESVRGCRASICAGAGGMGRSPCCEQAHTNKGAWTKEEDQRLIAYIKAHGEGCWRSLPKAAGLLRCGKSCRLRWINYLRPDLKRGNFTEEEDELIIKFHELFGKRLPGRTDNEIKNYWNTHIKRKLLARGMDPQTHGPLAATVAGAPAGQQHYQLEPQKRAAAGGGVGPRGHPHHHHQAPQQDVISISPEAACTSRSSDDEPRSATPPPQPRRHLDIDLNLSISLAAYQPPEETSSIKSPLMMMKQEETAAAGGTNATAVCLCLNSLGYRPGVECVCGGGGGGSSSQSQQWARSFLQAAAPCYTEKLGAGFWLQKSLSCRGRGTGKRGSWPWPMATGQGDEMPFLALVGLVGRDVNARDKVPRRRSGVDWIGRGAEQLSMGTVVVGQDRCCSSSSTSLLAGSSSKDKIQGAV >Sspon.07G0017780-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:68864292:68867843:-1 gene:Sspon.07G0017780-1P transcript:Sspon.07G0017780-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLQIFTAHRSAGLCFIVRLHIVQKPLIMNPTPVHPPKIYNKGVVNEDGLVPKFEDLVATPVHPAKDGHTIVDNTRHPVSLRKQRKFKLEGNLPVQTGWRTYRRPDDKSGGHGVGWSPIIPYSFKVPDGWDEAGIEKTQQHMPDENRFLHEIVDFHQFTTHNVVWLDDNGDMEDGEPEEDNNLMYAEKVLPVVEENSADEGHDLVLSRLNL >Sspon.05G0015050-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:56614241:56616119:1 gene:Sspon.05G0015050-2B transcript:Sspon.05G0015050-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSKAGNDGGVAWQRPAPRRPPLLEPGHKRCPGANATTARLTRQTSGTCERLDPCDGKEVRQLASSMMEAAAASGQHGAAVGERREVDLQPIKERPGGRTSSPDPPFEMERPASLSMAIFLVVLIHALALLPSNNIDQAQAAAEKAKRGGAPELIPLNLVGRDGDKITLALQPHDLSLAGFANGSHHWYAFPGHEHVIRNGTTLPFGNTYRDLIGGLANLPSLPLGREPTLRAIGAVSACDDPASADNDTLGALKRGLATLTVTRCETLRLTPVRDAVSGGWESGDARLTEQHLRYIEHWDTISFELIRANKTGEWSGPFTELLKKSANIHSMEEALAVVGVIADPTLAQCLTG >Sspon.03G0035020-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3B:78210369:78212382:1 gene:Sspon.03G0035020-1B transcript:Sspon.03G0035020-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVASDLKTSVSVPSTPPLVSRADPSSSRGHSQPTDSDSTKKARRSPGYQLYRQVSDSKIPSLRSLNEISSPEGRPSSSMLSVCSNDLSAAGSYGESSDGWSMRTFSEMVATSQRERWSLDSELLGSISSKMTRSSASNSTSLPPDQEVCKLCLKLLKERSTWNAQELAVVAVLLCGHVYHADCLDSITTEADKYDPPCPVCTHGEKCTVKLFGKLESKIKNKIPKNVAVDMNPDGNSNKHQKKGRREPRLGTSSSMKEGFLRGGELPSMQANGVQTGTRAAEQPTYLEVSPSHHIQILVQSAW >Sspon.07G0013980-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:50234438:50239452:1 gene:Sspon.07G0013980-2D transcript:Sspon.07G0013980-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYQGDNLRDFLQTNGRQVLQRVENNYTLKYFTENEIRNITDGYKYILGRGAFGEVYKGTLEDQSSVAVKRYIHGTHKEVFAKEVIVHSQINHKNVVRLLGCCTEENALMIVMEFISNGNLNSILHGNSANGQVPFPLEKRIEITIEVAEVLWCMHSMYSPVLHGDIKPANILLDKNFTPKISDFGIARLLRANGPQQTSNVIGSIGYLDPSYCETGILTPKSDVYSFGVVLLEVITRKNAVDGTITLAQSFNEALTKGEDVQHMFDEEISVAENKKFLGDIGQLAAKCLQRDVSTRPEMVEVATSLRMVRKAMQRELDVLLAAYQFGDLKTFNKTEMKKMTKNYSMAFRKTRRACLYNGVLGMNRPIIVRRLKTGSETDREIFLNTMSILSQKNHKNIANIVGFHLGKSSLECVYESCCDLSKTMFGSISFSNRNLYDTICSMEKLPIHQRLSIAVECAEGLVHIHSLAAENPDSCSTSLLGSFRSANIFLDKNFVPKIFNVNLSTFLGISVARKNTVFHIHGNGPEKYYSDPRVVSDQLFNQTSDVYSFGVVLLELSTWKTVRYMYDGMAHVLTTYFLDSYRRDRNAIEFFGKDCYEHVGYFVHEAIAIAIDCLHLDIQMRPKMDDVLSRLRIIASRSKFVGTRNKISSHILFVSLNLIHIKKQIEPVVFKVEELNEVTRNFSNDVLIGEGRYAKCFLGVLKDGQNLAVKKLDTYAEIQVEVTTIFRMCYHDNIVQLLGYFLEGNTRVLAYEYAPRGSLHDILHGKKGVKGAQPVPPLSWLQRMKIAISAAKGLEFLHMKVDPPIIHNNIKSSNILLFDNDVAKIGDIGVSIDPERFGNYYEDVWPFCMSGLEAPEYVTDHTFRITLMPRLINDMAAFLCYLCAASFFELLRRAMTGRYSTKSDVYSFGIVLLELLTGRKAIDSTMPPGERSLVTWATPRLSEDKVEQCIDPRLGGGYPRKAVSKYESDFRPEMNIVVKALSSIPNS >Sspon.04G0004430-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:14246403:14247243:-1 gene:Sspon.04G0004430-2D transcript:Sspon.04G0004430-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAVSLLLLSPPRQLHRDGTSRLLSTPHRRVLLAAPTSATPRRLLAAAPRASNNNEAVEAPDRLVAAVAYLYPFLDGAHHGRFLLAQFPFFSAVLHPLAPAARLFHSSPLTPFLLFLTLYFAVVRNQQAFSRFVRFNAMQAVVLDVLLIFPDLLAQSFAPSGGVGFEVFQSMESTVFLFLLVSLVYGGGACLLGKTPRLPIVADAAERQVINHKLSIVYNCLAVYIGLVTTSMHELWKVDTMTLQPS >Sspon.03G0038940-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:8499325:8500268:1 gene:Sspon.03G0038940-1C transcript:Sspon.03G0038940-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPVKVISHYASPFGHRVEAALRLKGVPYELIAEEDLSNKGELLLASNPVHKKVPVLLHGDLAVCESLVIVQYVDDAFDGPSLLPVDPYDRAMARFWVDFMENKCSKSFWLAHWAEGEAQKAMVKEAMENLALLEAQLDGKRFFGGDTPGYVDIAVSALGPFLSVLEEVTGLTLVDAKEFPALCQWSKEYNSNEALKPCLPDRDRLVAYFTENIEMYKMIAKTMLQQ >Sspon.02G0003390-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:11118493:11121863:-1 gene:Sspon.02G0003390-1A transcript:Sspon.02G0003390-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MIASAPDTTMWCDVQLTKDGVGVCLRDINMKNCTTVGQAYLARERTYVIDGVQKTGWFVSDFTIAELQSVSLTQASWSRTDRFDGIYPIVSVTDFRSLVMPSPVWLNVQHDIFYKQHGLDMRNYILSIKKRVSMDYISSPEVGFLKNISGRVGHKTKLVFRFLDKALLDHSINQTYGSLSSNLTFIKSIASGIIVPKSYIWPVTKDNYLLPSTSIVTEAHNAGLEIYASDFANDRIIPYNYSYDPLAEYLNFINDGGFSVDGVLSEHPITASEAIGEPLVISHNGASGDYPDCTDLAYHSAIDDGADVIDCPVQVTSDGVLMCMSSTNLLDTTNVQRTTYSSLVSVVPEIQPQPGIFTFNLTWADINSNALKPHKMHKLISILLIGPLYTAKMSSPVSGYSLVRNPRYTNQGKFLKLSDFLAIAMDKDLYGVMIIIENAAFLVNSLGIDIVDSVTTALSAAGFNNHPTKEVLIQSKDSTVLVKLKQQKTKCKLVYTLPSGIGDVSSSSLKDIKKFAEAVVVDSKSVFTLSSDFIIRQNSLVQDLQSAGLALYAEVFRNEFVAQPLDFFGDETVEINYYVQSFNLSGIITDFPKTVRRYK >Sspon.05G0037990-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:30661576:30662495:1 gene:Sspon.05G0037990-1D transcript:Sspon.05G0037990-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGNKNGVSDAACLKPLNRVSPAYVTGAGAGAVYFLVGSALGVMAVLHASGLAEVGGEWASAARWAEAGGGDVWRLAKRCAAVEGRVGSTDSAVRALHVGGVVCAVCGSKIRALKRGRRGVERARSEDSSACPDKPVSRSLAAEFEQAADREEEDNAGETSDSEEGNVQYLRRRLEVALEELEKERHAAASAADEAMAKIACLRSEKALVEREARQFQEMMKQKQMYDRQVIESLQWVIVKSGMQGWEPRPYLIEPCRKQARMTEIRSSQLLVI >Sspon.06G0004470-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:11695190:11697857:1 gene:Sspon.06G0004470-2D transcript:Sspon.06G0004470-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAAASPPVPLEPEASALLDGDRRPKPAVAISWDSQGKNNGLPIVEPFGSFTMDLFTPKSDLDLSINFNTDTNDQYPRRNKISAIRKLANVLFSHQR >Sspon.08G0007220-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:20865373:20870034:-1 gene:Sspon.08G0007220-2B transcript:Sspon.08G0007220-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAFDEFGRPFIILREQEKKTRLRGLDAQKANIAAGKAVARILRTSLGPKGMDKMLQSPDGDVTITNDGATILEQMDVDNQIAKLMVELSRSQDYEIGDGTTGVVVMAGALLEQAEKLLERGIHPIRVAEGYEMASRVAFDHLERISQKFEFSADNIEPLVQTCMTTLSSKIVHRCKRALAEIAVKAVLAVADLDRKDVNLDLIKVEGKVGGKLEDTELIYGIVVDKDMSHPQMPKRIEDAKIAILTCPFEPPKPKTKHKVDIDTVEKFQTLREQEQKYFDEMVQKCKDVGATLVICQWGFDDEANHLLMHRNLPAVRWVGGVELELIAIATGGRIVPRFQELSPEKLGKAGLVREKSFGTTKDRMLYIEQCANSRAVTIFIRGGNKMMIEETKRSLHDALCVARNLIRNNSIVYGGGSAEISCSIAVETAADRHPGVEQYAIRSFADALDAVPLALAENSGLPPIDTLTAVKAQQVKDSNPHCGIDCNDVGTNDMKEQNVFETLIGKQQQILLATQVVKMILKIDDVISPSEY >Sspon.01G0011530-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:31436767:31438177:1 gene:Sspon.01G0011530-1A transcript:Sspon.01G0011530-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAVVLDQLADGLPAVKAVLALVFPLILFVLLRHSRDAARSNSIREHKRMPPSPPAMPLIGHLHHIAGRLPHVSLRDLAARQQGDGGLMLLHLGTVPTLVVSSPHAAQQVLRTHDASFASQPGSVVGDILSYGPSDVGFAPYGEWWWQAKKLVTMHLLAPKKVQSYRPAREEVGAVIARIRGAAAAAHGPVDMSKLLSSFTNDILCFAVAGRSFRVEGRNKVFRELIDAGMVIVGGFNLENFYPRLAKVAGGMLTWPACRRAEKLRGRWDNIFDTLIDEHASEMAGADGGADLQESDFIHVLLTSCPYKKSMDSPETASRDMFTGGTDTAYLVLEFAMAELMLHQDALAKLQAEVRKTMPDGQETINEGNLAGMTYLKAVIKEILRLHPPCSTALPGGL >Sspon.01G0017040-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1C:63649146:63649568:1 gene:Sspon.01G0017040-2C transcript:Sspon.01G0017040-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding QQGAIPENTVSTKELRIRDELAAEIEEDLEHEIINSMCRLAHHLQRLYQQRNIREFTSSVTDYQFSLPQAENALLEMNIRIKLDDQCQIDITKVEKDAATIQPNSCPSTDQSDKRSLKKRHSDDAVHCKKNHNHPVVPWR >Sspon.01G0006070-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:15893102:15896964:-1 gene:Sspon.01G0006070-2B transcript:Sspon.01G0006070-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCCSSRSSDSPASRVTRWRSTGIVALRDDRLKEVPNEVLQVGNSLRTLDLTNNKLVEIPQEIGRLVNMQRLVLAGNLIENIPANIGYLRNLKILTLDRNRISILPEELGLLSNLQQLSVSQNSLLCLPKSVGDLRNMSLLNVSDNKLKELPESIGACSSLEEFQANGNAIEDVPSSVCNLVCLKSLSLNGNKIRQQPVTQPELVFSASAKLTENCTALQNLSLHDNPITRDQFQQMDGFEEFEARRRKKFDKQIDSRVMMGSTALDEGVDFH >Sspon.06G0025680-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:68517637:68547273:-1 gene:Sspon.06G0025680-3D transcript:Sspon.06G0025680-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMVTPPTVEHEDEEMLVPAQEVAAADTDAAQPMEVVAQTEVVSTAESQPVEDPQTSRFTWTIENFTRFNGKKHYSEVFVVGGFKWRVLIFPKGNNVDHFSMYLDVADSANLPYGWSRYAQFSLAVVNQIQPKYTIRKDTQHQFNARESDWGFTSFMPLSELYDASRGYLVNDTVVVEAEVAVRRMVDYWTYDSKKETGYVGLKNQGATCYMNSLLQTLYHIPYFRKAVYHMPTTENDMPSGSIPLALQSLFYKLQYSDSSVATKELTKSFGWDTYDSFMQHDVQELNRVLSEKLEDKMKGTVVEGTIEQLFEGHHINYIECINVEYKSNRKESFYDLQLDVKGCRDIYSSFDKYVEDAKKGVLFLDFPPVLQLQLKRFEYDYMRDTMVKINDRYEFPLQLDLDRDGGKYLAPDADRMFLFIAVGYKFDDERVTKEDAKKALEEQYGGEEELPQINPGFNNAPFKFTKYSNAYMLVYIRESDKEKIMCTVDEKDIAEHLRVRLKKEQEEKEHKKKEKAEAHLYTIIKVARDEDLKEQIGKDIYFDLVDHEKVRNFRIQKQLPFSSFKEEVAKEYGIPVQFQRFWLWAKRQNHTYRPNRPLTLHEETQSVGQLREVSNKAHNAELKLFLEVELGLDLRPLPPPEKGKEDILLFFKLYNPEKEELRFMGRLFEIKFEPNVMCEIIDKKLTFRSSQLEDGDIICFQKSPGAEYDAQVRYPDVPSFLEYVHNRQVVHFRSLEKPKDDDFSLELSKLHTYDDVVERVARQLELDDPAKIRLTSHNCYSQQPKPQPVKYRGVEHLLDMLIHYNQFLKTLKVAFHHPTKDEVCFATRHVVIHSIRLPKNSTIADVINDLKTKLYDASRGYLVNDTVVVEAEVAVRRMVDYWTYDSKKETGYVGLKNQGATCYMNSLLQTLYHIPYFRKAVYHMPTTENDMPSGSIPLALQSLFYKLQYSDSSVATKELTKSFGWDTYDSFMQHDVQELNRVLSEKLEDKMKGTVVEGTIEQLFEGHHINYIECINVEYKSNRKESFYDLQLDVKGCRDIYSSFDKYVEVERLEGDNKYHAENHGLQDAKKGVLFLDFPPVLQLQLKRFEYDYMRDTMVKINDRYEFPLQLDLDRDVANTLLQMQIECSSVGYMVVTTMHSYGQLYLSNGRLLYKFDDERVTKEDAKKALEEQYGGEEELPQINPGFNNAPFKFTKYSNAYMLVYIRESDKEKIMCTVDEKDIAEHLRVARDEDLKEQIGKDIYFDLVDHEKEEVAKEYGIPVQFQRFWLWAKRQNHTYRPNRPLTLHEETQSVGQLREVSNKAHNAELKLFLEVELGLDLRPLPPPEKGKEDILLFFKLYNPEKEELRFMGRLFEIKFEPNVMCEIIDKKLTFRSSQLEDGDIICFQKSPGAEYDAQVRYPDVPSFLEYVHNRQVVHFRSLEKPKDDDFSLELSKLHTYDDVVERVARQLELDDPAKIRLTSHNCYSQQPKPQPVKYRGVEHLLDMLIHYNQTSDILYYEVLDIPLPELQFLKTLKVAFHHPTKDEVVIHSIRLPKNSTIADVINDLKTKVELSSPNVELRVLEVFYHKIYKIFPLQEKIENINDQYWTLRAEEIPEEEKNIGPNDRLIHVYHFMKDINQTQQIQNFGDPFFLLIHEGETLAEVKKRIQSKLQVSADEFSKWKFAFISMNRPDYLQDSDVISTRFQRREVYGAWEQYLGMEHTDTAPKRAYTVNQNRHSYEKPVKIYN >Sspon.08G0000860-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8C:677808:681358:1 gene:Sspon.08G0000860-2C transcript:Sspon.08G0000860-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCASAIDAFVQRGNRASPSPSPAATPGTVPRQHFLLSSSSLLKLQSLACWCCAAAGSFWPTRLGMQPAIIMHLKLLTSYQLTQELTFFPTSRSVMSASRRTSSSATTGKLSTLSSTSTFMPSTVSGVSVDDDYPEGQILECPNLKIYTFAELKSATKNFRPETVLGEGGFGKVYKGWVDEKTLNPSKASIGIMVAVKKLNPESVQGMEQWQSEVNFLGRISHPNLVKLLGYSMDDNELLLVYEFMSKGSLENHLFRRGAVYEPLPWSLRLKILIGAARGLAFLHSSERQIIYRDFKASNILLDSHFNAKLSDFGLAKHGPDGGESHVTTRVMGTYGYAAPEYVSTGHLYVKSDVYGFGVVLLEMISGLRALDPSRPSEKVNLVNWARPLLSDRRKLSQLMDGGLEGQYNPKGALLAAQLTLKCLNGDPKSRPSMKEVVEALEKIESVKSRAREPRNSSLSSRRGQVQSPRSDSARNNSSSRGR >Sspon.07G0008100-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:22048263:22059924:1 gene:Sspon.07G0008100-1A transcript:Sspon.07G0008100-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDLKEVFRSLQELFPQVDHRILKAIAIEHRKDVDSAVVTVLDEVMPSMTGSAGALSTHQEVFPSMDDSVGNLFANRSTREVGSLSSAGHDIRIDEIDNTSMEFFSGYEDINFDDDLLPLGSNDQISSGILGTEKDSFAPVLDVPGRDKEESSAETSGFVEPKDTSNVVDMLPDLNLNHFASTASTHSSHSVSIESLEDSVADARSNKNDLLPSLELVTKMIEDVELLEEKAKVAKHEASVAGTGILTKVEELREMLTHAKEANDMHAGEVFGEKAILTTEARELQSRLQRLSDERNKYLVIIEENDLLPSLELVTKMIEDVELLEEKAKVAKHEASVAGTGILTKVEELREMLTHAKEANDMHAGEVFGEKAILTTEARELQSRLQRLSDERNKYLVIIEEIRQTLDERLAAAQQEIAAAEKEKIEKEAAAQALLDEQEKTMNSIVEESRKLQKEAEENLKLKEFLVERGQIVDMLQGEMAVVFEDVSLLKRVVDERLSLSRLQRSAMSSLSSSLHSSHHKSWSSSDRTTEAIESPDKCTLVEPASPVCKDLDGDECAVEVSDGNDAGKGILKRVNSKEDGDGWELC >Sspon.02G0004460-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:14002353:14003047:-1 gene:Sspon.02G0004460-1A transcript:Sspon.02G0004460-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKQRLLHSRASASRHVLCERQGRINEAIRNKKLQDGWLDNGDKVPSAQFAKFVPYSLPEFVKFPSV >Sspon.01G0001330-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:3867007:3878767:1 gene:Sspon.01G0001330-3C transcript:Sspon.01G0001330-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGIARGRLAEERKAWRKNHPHGFVAKPETLPDGTVNLMIWHCTIPGKQGTDWEGGYFPLTLHFSEDYPSKPPKCKFPQGFFHPNVYPSGTVCLSILNEDSGWRPAITVKQILVGIQDLLDQPNPADPAQTDGYHLFIQGFVAKPETLPDGTVNLMIWHCTIPGKQGTDWEGGYFPLTLHFSEDYPSKPPKCKFPQGFFHPNVYPSGTVCLSILNEDSGWRPAITVKQILVGIQDLLDQPNPADPAQTDGYHLFIQDPTEYKRRVRLQAKQYPALV >Sspon.04G0031790-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4C:17412597:17413127:-1 gene:Sspon.04G0031790-1C transcript:Sspon.04G0031790-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVLYVCVYLIALGTGGLKSSVSGFGTDQFDELDAREHAAMGLFFNRFFFIIISSARLGPRRAELGLWHLRWRHARRHRRLPIGRYRVEKIGIGLVTSGGLAAASRPRSANRSHPVTQCQTTVHTPLADYNLISLHFITEERTCVIMGSNMSVHRRVKRTFFQPGPTCQSRRTAKT >Sspon.03G0009030-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:24635704:24644685:-1 gene:Sspon.03G0009030-1A transcript:Sspon.03G0009030-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRGGAGSATPTLLRKRSLSVNTTASAAAAAIDQFGSMQAGGVAAAVEEEPVSPTGRLFREPHFRCHIVSVFGLGAPVDLPALRAGVAATLARHPRFCSVQVLNELEKDARPKWVRTAVNVDDHIIVPELDPGATSVDPDKALEDYVSSLSTRPMDHSRPLREVHVLDFPTAEATAAVTLRVHHSVGDGVSMLSLFMACTRSAADPAALPSLPPARRRAGPVYAVRRRLLSLSSAAGALDALAALAVWLLSFLVLVWHTVVDVACFFATATVNDVLLGITSSALSRYYFRRTGEQVLKWNAFGSAMFDGFVRLTEQLTPKPKSSGESDSKSITVRSAVLVNLRATPGIHALASMMESSKDNGARWGNKLGYMLIPFHLAKHDDPIEYVRKATKVARRKKSSMESVFTFWSGDMVLKLFGIKVRWISFPISIRCRDRRRTERSCRVRVCDLDSSPRPDSCRVCENLFVQAAAALCYGMFTHTTLSFSNMVRQVLFCGNPIVYIAPGTYGHPHALTVHYQSYMNSVKLVLSVDEAQFPDSHQLLDDFAESLRLIRKAASGKPAGETQDAASS >Sspon.01G0003750-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:8810662:8811339:1 gene:Sspon.01G0003750-2B transcript:Sspon.01G0003750-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFGGRPGAAAAQGQGRRPPAAPQLPGGGVPRLRLQYTGVTRGPSGGGWMARVLVDPERGSCRAIGPLSDPHAAALAHDRVAIAYLGDRARPNFPAAFHPIEQRFLRLCREREGQIDVCALVADPGTYEARYATFLRSVLRLKQWGDFKGLVVEFFISRASEIGDDILKEGGEKLEARFVEMHRNKAVDPQWRASYIRRVLQEQQNQHRQQQRWGGSAIAVPMQP >Sspon.01G0034620-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:5515445:5520614:1 gene:Sspon.01G0034620-2D transcript:Sspon.01G0034620-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MCDIWEEFRAALCISGGNRRPPPSLPPPDLTGRGDEGGGGGEDGEEDRLSALPDDVLLFILSLLHSAAAAARTSVLSRRWRRLWAQLPVLWFPLPAEPARALSSPATTARTRVRFHRWRRLLAHLPALRFRLPAEPARALPTPVSARAALAQHSAPSLWMLSIVANDADPGDTAGVLRLAAPRLTSALFFHNVVPEDRKKAVVREVIELPCFDRTIQLFLHLGYLRLALPPSGVFTKLTTLVLSHVRFQGALDIGDTLSSARFPSLRLLYLFDAQGVSDLNICSESLVHMFVHNLKGLQQLTVVSPMLRDLHIFNCFFKRQPVAHITAPVLQRFGWLDEYDPRWVKLGELVHLQVLATSFFAGAWYGLAEHQYNRRIVKLLQCFKKIPALHITIFYPIVSIFPVIMDFIQELYICGYSGSDYDFTFVERLLGWTRVLKSVTINFDPKATVNEELCKELLGLAEPETCMKIYLYRNGAKVMYTPVG >Sspon.02G0003490-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:10256758:10258907:-1 gene:Sspon.02G0003490-2B transcript:Sspon.02G0003490-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNEREDQEPEAATTSDWLDESIAFLTANLDLSFNDYGWLSASEAQEQHDVGSMVVETLAPTATTLLQPSLNLDASVASSSPMTSLQEFGQPKKRKSPGHSSSQRPHVGIGGRGGGQQEKGGASCVRSKPSKKGSGKGTATNSDREARWAEQLLNPCAAAIEAGNLPRTQHLLYVLGELASFSGEPNHRLAAHGLRALTRRLPHAVGQAAAATVKMPACECPTPSFSGVDPRLFRASLIRFNEVSPWFAIPNALANSAIAQAESTKGAVTEPRQVHVVDIGVSHGVQWPTLLEALTRVPRGSTPPSVRLTVAGPTATPPVPFSASPPGYDCSPQLLRYAKSIDLDLAIVQAPCLDTLRGILTPGETLIVCLQFRLGLATAEEQAAILRTVRDLNPELMVLTELDGRGRSDYSAASEFAARLELLWRFLDSTAAAIKGMDTDERRVMEAEAGTALAPTARRGATVDGEREAWRARLAAAGFEEAAFGGEAVETAKALLRKYDSGWELVPPPSSAAAAVGLRWKGQPVSFCSLADGAWRISDKGFMVHVLMRARACPMVWTGLALRAAMAAPCDPSDTDGPPITPPLAVVATVIVIVRPAPSHHPIHDQPLLQAQLCSR >Sspon.04G0024660-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:21120396:21122464:-1 gene:Sspon.04G0024660-1B transcript:Sspon.04G0024660-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHERVHDELRLVSILRHKNFVNFLGYCLAVEEGNEDEGIEDESFRITQVSSSFMVGEYMPNGSLDRIINGIGSRLHWSSVFHIIQGIVHGVKILHDRHIVHLDLKPSNILLDSDFNPKIGDFGVARELDLGLDDLSDNHFVGSMRYTAPEYYGNRRLKSSMTKGESLMKKDVYGFGVTLLDILSSMCISEAARRQPSLEWAWNLQQASRMNFLMNKTRQMEKLFDPSLCENTQLKMIRRCIEIGLLCTQENLMDRPTMREILDMIDGKNKLPTPRKPRYIK >Sspon.07G0000510-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:1184743:1185671:1 gene:Sspon.07G0000510-1A transcript:Sspon.07G0000510-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding TMHAVASRCSNCGSYGHGSIACRIATADHQRGSEAAAAGLRLFGVQLHAASTSGGGAAAPPAPASQLHRSYSVDCCLSLQGGWPLPVSPSPSGLLLSIDESSAQRAGDSGGYLSDDGGRGSAALRERKKGVPWSEEEHRLFLVGLEKLGRGDWRGISRGFVTTRTPTQVASHAQKFFLRQNSAGAAKKSSKRRSSLFDQDQDCESGSRHVVLVVSNPSGCRAAGPSNSLSPKASVSEMDKEQAHGQYHCSPLNLELGMSLSSSSTPSIET >Sspon.08G0012960-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:64355710:64360621:1 gene:Sspon.08G0012960-2B transcript:Sspon.08G0012960-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSVEETKRSLTVAPFECAWGEELRFGEPGRGCIAFEASAQNDVTLVFRHQLGSQHYHYKTDTSRHYTVILGSHRNKRLKIEVDGNTAVDVAAVGLCCSSSFQSYWISIYDGYISIGQGKHPSNNLLFQWLDPEPNPHVQYVGLSSWDKHVGYRNISLLPSAPPNSIFWTQIEYANVHWVADAGDYARKRLSRDDCDQRVLGDFLENWDFSDAVFVVGTERKVVPAHKLVLCASGDFPFEIGDGATIVLPSASYPVLHSLLEYIYTGSTQIAEWLLSSLLELSSQFKVEPLVKCCQEIINCLEVDKKPSDSGKILEVSKSGLQDHKFDSSPLKAPLNVQKVGEFLANGEHSDINIYVNGHGLVAKGHKLILSLWSAPLAKFMYYGELKLDSRDTTSVLVQLLLLADQFAITVLQFECCKRIMECLSEMEISNLANHIQAFRQLVAEAIEFSHAGQLIPTSYECERFQHRRSSYKELQYISDGDNNGVIYYAGTSFGKHQWMNPVLTKNITVVASSPNSRHTDPKALVSKNYQ >Sspon.03G0016080-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:81980253:81987793:-1 gene:Sspon.03G0016080-3C transcript:Sspon.03G0016080-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRSVNPSRRVSEGALPSVGGLFHPKSRSPPVLTIALVVLGVILLIAYFNSGSGVTVTSREALTRSEEREGRMSRRSVNPSRRVSEGALPSVGGLFHPKSRSPPVLTIALVVLGVILLIAYFNSGSGVTVTSREALTRSEGNPGQQKAKVSELPKFGRPAKLRSSSWWTRYFVQTGLTENEGPLKKFEEATSKNKYKPDCQIFHLSSPR >Sspon.04G0021420-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:204673:206760:-1 gene:Sspon.04G0021420-1B transcript:Sspon.04G0021420-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AWRGAGGHRRGDRQHAAGMGQRHHRGRRPLHQARLPPRVPARRRGPPRRHLPHRGHPHHHLLRARLRRRRPPPHAHRLLAALLPRGPPHALVPRRARPPPRAPRRRLRHRTRRHARPRLHLRDGAARDPRPPQHAPAVHGIRRHVPRLLHGLLHDAGAATGLAPHARRPRPPLPPLPAAHRLLPPGVAALARQQGQDEGGQGRAPDAQGTGRRRGGDGAPRRRPGNRRRHGHRGVHRRAPGPRRRERQLDSPVRARARHVVGGAAVAARRAGQHAGKRHRPGFAPGQHAGPPQGPRRRAPRQRARPQAPRRGRRHEHPRQHALLQPRQHAQLPRQQAGAPGLGRGDRRRPVGRRCQRAAAGRPRAEQHDGRRRHGHHHGHRRRLAAGVEVHGRAGGRREEDVPARGGERGRRGRRARGGAGEPVGAVPARRRGFTRTGVRAPGGGVGGDRRRGAVARAPGAWRAARAGVRRHHAGAAAVLGHQRRTLLHAADPGPGRRQRAAGEPGAERRLHVHPHQRAHHAADAAHHRRGDAAHGRVGAAEPAAVDDPGADRVAGGAGGGERGADGGDGARGGGHGERHGVPVLLRHGVRAHPQHPVRRDLPDAGAGALHRHLLARLLARRHRRHLQPAGDAQMGGAHGGVRLLRRRLLPGARLRGAAGAGDQGPAPRGHHRVLQRWSKRAATHGGRCRAGSPLN >Sspon.01G0055820-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:83641126:83645598:1 gene:Sspon.01G0055820-1C transcript:Sspon.01G0055820-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding PWRWELVACRRDAGSAAWTPAATWRTTATQKPRATTRSPPPTNPPLPSHPWNEGRKPGRHDTGVPVPRKSAGSLPTSAAGGRATVMAPNMETYTTDDALSMMGFGKFQVLVLFYAGMGWVAEAMELMLLSFLGPFIREEWNVSPENESLLSSVVFAGMLLGACAWGFVSDKYGRRTGLIFSTLFTSGMGFLSALSPNYLCLVALRFLVGVGVGGSHVFISWFLEFVPAQNRGTWMVIFSLFWTLGTILEASLAWVVLPALNWRWLLIFTALPCFLLLPFFGLTPESPRYLCAQNRMSDATAILERMANANQSALPPGILTYNRQTKFDNDALAPESECLLPVREKECDNAKSSESGSLAALRILLSRKLLRSTLLLWFVFYANSFAYYGLVLLTSQLSDANKSCASGVNVGLHQKVTNLYKDTFITSLAEIPGLFLSAVLVDWFGRKASMWSMMFACCAFLGPLLLQQNELLTTILLFGARACAMGSFTVLCLYAPEVYPTVVRSTGAGIATAVGRIGGVVCPLVAVAMLRSCHQMEALIVFEVILCLAAVACIFFPVETKGRGMD >Sspon.06G0014200-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:60624928:60629685:-1 gene:Sspon.06G0014200-2B transcript:Sspon.06G0014200-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSLLDVQIWPTRPIETSGSSPRSQKPIGTPSLADSLAARAPWSGAGNRGRKRRRGRDGRRRSGTATAASPGARSSSCSPSRPCAASRLGPAGQRPNTSGQRHARRRRPLDGHPLAPGGTDSRHPIGHLRPSHSQLQSQSQLELRLKQALPAMYGTSDPTAAERTAFRRAEKQYKLYKPLNLKGRPRSRSKPSGGEGGGADLSAVVDFHTLLAADGELPVGIGRHDCAGFDRPVFCFLDRPGFYFIPGALSTEEQCCWIRESLKTFPQPPNRTNLTAIYGSISDLLIAAENQKILVEAENPDIQERNEQNNCGGKTESKYFKFVDSESQKGEEHRSTAATTLVRKLRWSTLGLQFDWSKVCIRLLPWQC >Sspon.07G0011330-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:39390087:39400461:1 gene:Sspon.07G0011330-1A transcript:Sspon.07G0011330-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSNSTSDLSGEKLKDQRRSTPASRRCPSCDHELDWNDMVGFPAGVKFDPSDQELIEHLESMVKEGGSGAHPLIGDFIPTLEGNDGICYTHPEYLPGVTRDGVSKHFFHRPSKAYTSGTRKRRKIQSKRGDDVGEARWHKTGKTRPVIVGGRQKGCKKILVLYSNYGKQGNKPEKTNWVMHQYHLGRDEEKDGELVVSKVFYQQTQLRSTATMMEQNDDEKVEVTSEAMKDMLPGCGAEATETADAMKQRQQHQQQRQADGHSRFTSAKMSRELKFYMENNQQVGVGDPLAGSAEQKKVHCRRPCSSQEAMIACQTTSTEEVRMCRKLQLSTRRYNGLMNTGLLITTKMDSTSSYTIKSS >Sspon.01G0049490-2P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1D:96409243:96411765:1 gene:Sspon.01G0049490-2P transcript:Sspon.01G0049490-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSMLEWKRVPMFIILSILGITSVTSTNAIASQKGLFVPQDNYLISCGASGSVQLDDGRTFRSDPESVSFLSTPVDIKITAKNSPTAASPLSPLYLSARVFSDVSTYSFFVSQPGRHWIRLYFLPIPDKKYNLTSATFSVFTDNMVLLHDFSFIASPPNPILKEYIVVTQGDTLKIIFTPKDSIAFINAIEVVSAPPTLITNTTNGLPPQEQFDISNNALQVVYRLNMGGALVTAFNDTLGRTWLPDAPFLKLEAAAQAAWVPPRTIKYPDDNVVTPLIAPANIYSTAQQTASTNTSQARFNITWEMEAEPGFKYLIRLHFCDIISKALNSLYFNVYINGMMAVSNLDLSSLTMGLAVAYYQDFTVDSSSIINSTLLVQVGPSTTDSSNTDAILNGLEVMKISNQANSLDGQFSPKTSSQLGKRILTGIGLSVAVIAATLAIVVCCRQNRRPEWQKTDSFQSWFLRLNSTQSSFMSSCSRLSRNRFGSTRTKSGFSSLFASSAYGLGRYFTFAEIQKATKNFEEKDVIGVGGFGKVYLGVLEDGTKLAIKRGNPSSDQGMNEFLTEIQMLSKLRHRHLVSLIGCCDENNEMILVYEFMSNGPLRDHLYGGTNLKPLSWKQRLEISIGAAKGLHYLHTGAAQGIIHRDVKTTNILLDENFVAKVADFGLSKAAPSLEQTHVSTAVKGSFGYLDPEYFRRQQLTEKSDVYSFGVVLFEVLCARPAINPALPRDQVNLAEWALTCYRKGELNKIVDPHIAGQIRPDSLEMFAEAAEKCLADYGVDRPSMGDVLWKLEFALQLQEKGDVVDGTSDGIPMKHFNDSVYDDMEKSSSAGPPVQGR >Sspon.05G0006740-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:21144563:21145098:-1 gene:Sspon.05G0006740-1A transcript:Sspon.05G0006740-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPRLHRQPEHPRRRRGQHMSLHVGVQLSRRARAPAGYQDRELPGAGGRQCPHGFEDRP >Sspon.02G0011850-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:35522092:35527440:-1 gene:Sspon.02G0011850-2P transcript:Sspon.02G0011850-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAMLTAQFTALPLSQSPSPQASFRLGLRPSPAARARSVAPVAAASATAVSAKPAAASSPLVADRTAVRIGLPSKGRMAEQTLSLLKSCQLSVRQLNPRQYTADIPLIPNLEVWFQRPKDIVRKLQSGDLDLGIGTEDLVVFMTLLTLDIVVPKEGIFENIITLEDLLKMPEWTEERPLRVVTGFEYLGDKFLREKGFKHVHFLSADGALESYPPMGMADAIVDLVSSGTTLRENNLKEIDGGVVLESQATLVASRKSLHKRKGVLEVTHELLERLEAHLRATAELMVTANMRGNSAEEVAERVLSQTSICGLQGPTISPVYCRRDGKVDVEYYAINVVVPQKLLYKSIQQLRSVGGSGVLVTKLTYIFDEETPRWRNLLSELGL >Sspon.06G0027130-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:67666201:67674168:-1 gene:Sspon.06G0027130-2C transcript:Sspon.06G0027130-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNEMAMKIVACPVNANSSHAGDNTYARSSGRTSLNANMRCVSSSGTIHLMATILFPFAGSYIKKLQEIITSEAIQILGVKQELSDLQQTMIQIQCFLKDADRRRIEDLSVSNWLAELKDAMYDADNIIDLARFKGSNLLGENRSLPSGKLTTCNGFPVISCFSNIWTRREIAIQIRSLNKRIEKIEQLGTQFKFEEEHVASISDQRKTSHLVEPNIVGKEIIHATRTLVGLVEDNREDKAYKIGIVGTGGVGKTTLAQKVYSDERGYFEKHAWICVSQQYSQAIGVGHMHQVELLSEEVGWELLWRSMNISHEKEVHNLHDTGIEIVRKCGGLPLAIRAIASVLATKETTENEWQKILNNDAWSMSKLPAELRGALYLSYDQLPQNLKQCFLYCALYPEDWSMHRDNLVRFWVAEGFIEKQGNQLTTTFVVESLTIEPSLFKRFLYVHVLDLSGSNIKIIPNYIGNLIHLRLFDLQGTSIIYLPESIGSLKNLQVLNLQQCGDLHNLPLAVTRLCSLRSLGLMNTSVNHVPKGIGGLKSLNNLGGFPIACGNANTATMQDGWNLEELGPLMQLRSLELINLERADGPYSQDAVINIEKTFDLLIPAHNLEDLGFFRFFGRRLPTWLDTATHLPSLKYLQLIDCKSCVHLPPIGQLPNLQYLQIHGATAVTRIGPEFVGCGVDNLRSTEAVAFPKLEKLIIWDMPNWEEWSFVAEEKQGAEDEAVANQKGHAPPPRMQLLPRLKKLQLVYCPKLRALPWQLGEEATSLKELHLKDVHNLKVVENLRFLSEEFLIVDCEGLQRVSNLPQVRLLCVQQCPNLRFVERMANLHQLFQTEDMQDVSSLGWLPGLQEQHQQLHGEDMDVYTWT >Sspon.08G0011070-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:46023088:46025665:1 gene:Sspon.08G0011070-2C transcript:Sspon.08G0011070-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVPPLPTAAAEEERRSVATGPCLPRLLSGVLSGALTGIFAVAGGLTGAFTGALAGRASDSGVLRGAGLGAFAGAVLSIEVLEASRAYWRADRSSPQSTSSMVRELLLQIHPA >Sspon.01G0047060-3D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:89603471:89605584:1 gene:Sspon.01G0047060-3D transcript:Sspon.01G0047060-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTKATILALVLLFLLCSGYLCGVADGAEARKGKKAASGPVIGIDLGTTYSCVGVYRNGHVDIVANDQGNRITPSWVAFTDDERLVGEAAKNQAPLNPQRTIFDIKRLIGRRFDDEEVQRDVKYLPYKVVNKGGKPYVEVPMKGGERKTFSPEEISAMILSKMRETAESYLGQRVTDAVVTVPAYFNDAQRQATKDAGTIAGLNVPRIINEPTAAAIAYGLDKKGAEMNVLVYDLGGGTFDVSVLSLDHGVFEVLATSGDTHLGGEDFDQRVMDHFIRLIKRKHGKDISKDGRALGKLRRECERAKRALSNQHQVRVEIESLFDGVDFSEQLTRAKFEELNMDLFKKTLGPVKKAIADAKLKKSDIDEIVLVGGSTRIPKVQELLTEMFDGKEPNKGINPDEAVAYGAAVQGSIISGEGGAETKDILLLDVTPLTLGIETAGGVMTKLIPRNTRIPVKKSQVFTTYEDHQTTVSIKVFEGERSLTKDCRELGRFDLTGIPPAPRGVPQIEVTFEVDENGILHVTAADKAGGRSKSITITNDKGRLSQEEIDRMVREAEEFAEEDRRVRERVDARNRLENYVYRVRATVRDGGSMAGKIGEEDRERMEVALAEALEWLEEQDGAAGRTAEKEDYEEKLREVEEVCGPIIKQVYEKSSGSAGAADEDDVNEL >Sspon.03G0003640-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:16664835:16666207:1 gene:Sspon.03G0003640-4D transcript:Sspon.03G0003640-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMRALPLTPSKSSSFGAHQIRQISGSPRSVRAYAKGNEEDNKQSLFGSITEALDFSQVRSEKDAELLYEARESTKGGGRMTREQYGALRRKIGGTYQDFFKSYVDVDGQYVEEGWVDKTCKICKKDTRGEPRQVDKLGRYAHVACLENPKPANFFAKIFAR >Sspon.03G0009630-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:40933072:40937897:-1 gene:Sspon.03G0009630-3C transcript:Sspon.03G0009630-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding IEFDGKMNAVEEQQPPQVSSMSKTLDGVTKPSLGKEPILGSELWTDGLICAFELVKSHRKPVRHKSWPAIEQMQEKGPTMYTRKHSRRDGHQITVPKVDESIVLENPHQTDFSNDPSVLKDRPLYSGEILDHKWVPIGWSRIAELVLRVQSDSSWENELMEMSDSEDDYTVADLAAPYWQRPVGPTWWCHVTAGHPSIDAWLNSAHWMHPAIRTALRDESKLISDRMKYLLYEVPVRVAGGLLFELLGQSIGDPNHEEEDIPIVLRSWQAQNFLVTTMHVKGPSSNINVLGVTEVQELLLAGGSQTPRSVHEVIAHLVSRLSRWDDRLFRKYVFGEADEIELKFVNRRNHEDLSLVSIILNQEIRRLATQVIRVKWSLHAREEILLELLRHLRGNATRAILESIRKCTRDMLEEQEAVRGRLFTIQDVMQSTVRAWLQDRSLRVTHNLAIFGGCGMVLSIITGLFGINVDGIPGAQNTPYAFGLFAGLLFFIGIVLIGVGMLYLGLQNPVNSEKVKVRKLELQQLVSMFQHEAEQHGKVREREGLSRHGSPPSSSAASDEGYIHIS >Sspon.04G0028180-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:69488545:69491693:1 gene:Sspon.04G0028180-3D transcript:Sspon.04G0028180-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEIFLSRAYLDHGILIGRNSSLCNLVPRTAKASVSGAGPSSDGEDLNEVIDTVEVESTTPGASLLAKVAVAIGIAATATVISLVMKEPSSGPSFRLPQIVDASTQSDAAAATIGYTFSLFGKKFIIPEYTPGWVYFWLLMAAGFGLFISEEALNVWAGVSLARTLCLDGTWQSLVMSFSTNASYIVSTVLWIYWGVCISDMIPFYLGKLFRQTRASEDISSKIGIGKEKALSISRAVQKYGNLIGFVERFSIGFRNPTAFLAGALGISADFFFAGVCFGCLFTLPIQLAVGFVLRERPVVALASVAAAVGIWTMFPYAAAACTALFFYFRQRKSSS >Sspon.05G0025490-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:20219580:20222904:1 gene:Sspon.05G0025490-2C transcript:Sspon.05G0025490-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSAFLNALVLRAPSPSPSLSCSSKRRSAGAFAFPNPPRLPSLRSVRRVVLARAAAAAPGGDPEPEDDEWGPEPEGGSAATGTAVAEAPEAREVAELKAQLKDALYGTERGLRASSESRAKVLELITQLETRNPTPAPTEALTLLDGKWILAYTSFSQLFPLLGFGNLPELVKVEEISQTIDSENFTVQNCIKFSGPLATTSVATNAKFEIRSPKRVQIKFDEGIVGTPQLTDSIVLPEKFELFGQNIDLSPLKGIFTSIENAASSVAKTISDQPPLKIPIRTNNAESWLLTTYLDEELRISRGDGSSIFVLFKEGSTLLN >Sspon.03G0026190-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3B:2175869:2178807:-1 gene:Sspon.03G0026190-1B transcript:Sspon.03G0026190-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVCGVARCVKRDIGTPSGAFSWAERRVVRGPQGGGWKPNWALHLKKGQSLSFCTGRATNRAHHCRDAAVATSPFAGMMLLTPEQQASGAAACTSICSTCGVVAPNPANTCARCLRARMAIAESVPRHNDVVHCPACSSYQRPPRSWIQAAPDSAELMQILIRRVDRHTARHGVAIAAAEFVAVPTEPPHSNSKRLALRVRLRRETTHGVTVEEDHVAEFAVHDRLCDACGMARARAAGPDQQWSAVVQVRQHASHRRTLIHLDQQIIRHGAADSAVRVDGSSGGLDFYFASRSHAARLVEFVSSVAPARVDGVARQLVSPNSFRDAFFVELCAICLDDLIFLPREASRALGGLGPLVLCVKVSKSVSLLDTNSMRLVAMSIAEYDRYRFEPLLSSRRLVEYVVLDVELDLRHHDNDTIFTVKTHFGWRLNPGDHALGYDLCNVSSCNNHDLESYGQRHELPDAVLVKKKYKRSARKMMQEQDGSERGCAEIEELAM >Sspon.04G0008520-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:25541887:25543006:1 gene:Sspon.04G0008520-4D transcript:Sspon.04G0008520-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTAVELEQKPAVGYWSVVGARPCDACAAEPARLHCREDGAFLCPGCDDRAHGAGSRHARVWLCEVCEHAPAAVTCRADAAALCAACDADIHSANPLARRHERLPVAPFFGALADAPQPFPSPAFAAAAAAGAQAQGEAAAADDDDGSNEAEAASWLLAEPDNSHEDSAAATAADTLFAESHAYLGVDLDFARCMDGVKAIGVPVAPPELDIAAGSFFYAEHSMIHSLSSSEVAVVPDAQAAGVPAVMSRGKEREARLMRYREKRKNRRFDKTIRYASRKAYAETRPRIKGRFAKRCSAEAEDDALEHDEGACFSPAGSAHAASDGVVPSFC >Sspon.06G0019420-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6C:1415613:1417266:1 gene:Sspon.06G0019420-2C transcript:Sspon.06G0019420-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAVRTPLLALAVVLLLAAAMAVGGHEHALARLADDHPTAERPHKSIVTPRTQQQLKKFFKNHASDMADLLPSQGQQGGGSYDDDQSEPGSGRQGSKLGDGSNGGGQSRKAPATHSSTYLYSYQVGTAPQSVTGVLDISSELLSTTMAVVQCTSDTCQNFAAQNCSADVSPCGYNIYMYSRGGAGEANRPNTTGYLATESFTFGATRVDDVVFGCGGLDNKGDLGGASGVIGLGRGPLSLTKRALTTPLLASDDYPNSYLVGLTGIQVDGKDLGIPRGTFDLRKDGSRGVVVSIAVPVTLLAESAYKLLGQALVSRIRLPTVNGSALGLDVCYASQSLATAKIPAMALVFDGNAVMELEVGNYFYMDASRGLECLTILPSLDGDVSLLGSFIQAGTHMIYDIHRSTQAFESPPPPQHRLSSSAPPPHILPLLVVFAHFLWVAVYMIL >Sspon.01G0027910-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1A:97987779:97999060:-1 gene:Sspon.01G0027910-1A transcript:Sspon.01G0027910-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNPRPSYAHHQLQQHLSSLLSAAAGDPPHPSDDASRTAALSNLRLSFLHPPNRPLLPALAPFLAPPLSVLLADDASYAVRRAAVSAYAALCAVLCSHEAPGGLPDGFVAWALPLLGDPSSAALVAEGLRELLATGDVAPVERFVPPLLAACRDVLEDERTSLAVLRCLLSLLVLVAAKFPHCFRPQFVDIMDLLLGWAFVPDLADADRSMIIDSFPQFQWHWLGNLQFSLGLLPKFLADMEVLVHDPNLAASHNSGRLRPLFACFSTVLQIMASGVAERNNLRELVAEPLEGLAPQLLRCASVIASNLGWSERMEEASKCLVLLAEILQERFAEFYVHFVDVLAQSLEVASSAQLVAALKTNLQVLSLQNLGLRAASVGALLDFSSILSKLRLHPNHTVVANSAATYLFCLQHGSEDVVDQAIASLMNELELIKSLLEEGHVLLDKLSDPDSNVKDAFLRLFSITLPITTYAFGLFADKHKYLNSSDIANMSNHCMNWRHVLAVKQQPRKLHWQQLVSVLSYLSLRLKLPLSSWVQRLVFSYRGKKDMLSGQTDMFGDADGNELPKGPGVDRNTIDRIYSVNNHAAVWWGIHEAARHCINLRLRTHLGGPTQTFAALERMLLDVTNVLTLEAKEGEGRYIGPADICLLPMRLLLDFVEALKKYAYNAYEGSFVLSPPPKASSLFFHANKRVCEEWFSRICDPMLNAGLAMHCSDAVIHYCSLRLVDLRNLAASSLRGNSHTGGATESHHAFRERLEADVLKVLRHASLAFCRCHETDALVGLQKWAASTFYSYFEQDNQLVRGVPDRDNHFSWISGLIYQSQGQYEKAAAHYSHLLQSEEALTSMKSDGIQYIIERVIECYTSLSDWKCLESWLAELQVLRAVHAGKPYSGALTSAGNELNAIHAMACFDEGDFHSAWGYLDLTPKSSSELTLDPKVALERSELMLLRGMLQSNSKLEGVKAELDKAKLMLDEALSVVPLNGLPEAAACAGQLHCIFAFEEASGLTCGNGPNQSQSIMDSLLKALHDPVDKMHQDCSMWLKVFKVYRNTQPSSLSTLLLCQKLTSLSRKQGNFMLATRLNQYLTDHPLKASDEMDKEILELNIKYEGALLKHEKGNNEEALSDLWSLVRASILSTVSCSSGIGTSHSLVARACLKLSTWMEQENSTPTLNSIIPKVIKDFSDSDGFQEKLLSGDSVSVSTLDYHALAQEIIGTARKISWQLCPSMGKAWLAYASWCFAHASYSLSGTDSNLQNSLFPVLQSELSPDRYHLTDDEKSEVGEIIRSICADKHANHVGCEYPVTPGRYNSAPEYPISLLIEQAISLIETAAGAPGFEARDGDDPSAVLASELVVLCKCDSGKDTAPLIGKLTEIWRSLRKRRVSLFGHAAHAYFQYLCHSSTEFQSSYHHDALKGKTRSYTMRAMLYLLHIMLNYGVELKETLESGLSTVPLLPWQEIIPQLFARLSSHPEKIVREHLESILLKLGKLSPCSIVYPTLVDISACEGEPSEELQRISDFLIKLYPNLVKDVKLAIEELGMITVLWEEQWLSTLQDLHSDVLRRINILKDEAARVAANSTLSSAEKNKINAAKYSAVMTPIVVALERRLASTSREPKTPHEMWFHKEYNAKLKSAVTTLKTPPGSPAALGEIWQPFDSIAASLATHQRKSCVMLSEIAPQLAVLSTSDIPMPGFEKKILGSSESFSGNHDTVTVSSFCKEVTILSTKTRPKKLILQGSDGQRYTYLLKGREDLRLDSRIMQLLEAINSLLYSSSNTRSRNITLRFYSVTPVSGRAGLIQWVENVSSIYNVYKSWQKRSQLAQAQAEAQLSSVSTGNIHNPVAPVPRPSDMFYGKIIPALKEKGIKRVVSRRDWPLDVKRKVLLELMNETPKQILWQEMWCASEGFRNFNSKVNRFSSSVAAMSIVGHILGLGDRHLDNILMDFSNGDVVHIDYNICFDKGKRLKIPEIVPFRLTQTIESALGLTGVEGVFRVTCEEVMDVLLKNKDIILMLLEVFVWDPLIEWTRGNIQDEAGIAGEEKKGMELAVSLSLFSSRIQEIRVPLQKFLDSLDQYEAASAIFYHAEKERSSVLQHEMSANSVLADATSVAEKSRTSFEIHAHELAEAKAAAVDEANKLAIWVEKHALVLEAIRENSVACVESCMQLNCKDEALSLISAVLESGVPLTVVPEPTRAQCSELDRE >Sspon.08G0021050-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:31195028:31196422:-1 gene:Sspon.08G0021050-1B transcript:Sspon.08G0021050-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAERGGSWGDGTPCRAQQRHERALALGFPGMAQPAGPYGCLPGPTCPPSPAPDPSWRALELVQLPAVIRSEKSGNEVWLGPLHLSFQDLVNAFMPSHAYF >Sspon.02G0004290-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:13013396:13014775:1 gene:Sspon.02G0004290-1P transcript:Sspon.02G0004290-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMQFTHTAPPPPPLHPNGHAAGGLGLGLFLDVGAPRAARGPWPGSFPTPASKISLGNLNSTSCMEQLLVHCANAIEANDATLTQQILWVLNNIAPPDGDSNQRLTAAFLCALVARASRTGACKAVTAAVAAAVESAALHVHRFTAVELASFVDLTPWHRFGYTAANAAILEAVEGFPVVHVVELGTTHCMQIPTLIDMLASRAEGPPILRLTVADVAPSAPPPALDMSYEELGAKLVNFARSRNMSMDFRVVPTAPADAFTSLVEQVRVQQLVLDGTEALVVNCHMLLHTVPDETAGSVSLTQPVSLRTMLLKSLRTLDPNLVVVVEEDADFTADDVVGRLRAAFNFLWIPYDAVDTFLPKGSQQRRWYEAEIGWKVENVLAQEGVERVERQEDRGRWGQRMRSAGFRAVAFGEEAAGEVKAMLNEHAAGWGMKREDDDLVLTWKGHNVVFASAWAPSS >Sspon.06G0011550-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:63175659:63177696:1 gene:Sspon.06G0011550-1P transcript:Sspon.06G0011550-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding PMAMKHTSLLQYLLFLLTTLASCDDQFLFSGFTQSSLNLDGCATVTNSGLLDLTNGTEILNGHAFYPNPLHFRKSPDGKVQSFSVNVVFSIFITYPYLSADGMAFFIAPTKNFSDARAAKYFALLNEKSNGDPSKHIFMVELDTYKNVELQDINDNHIGININSVISLNSSTAGFYEDDGGTFRNLTLNGNQGTQLWVDYDEGSTQINVTLAPTNMAKPSRPLLSATCDLSTVLSNSTSYIGFSSVAAPINTRQYVMGWSFGLNKPAPPLDVSKLPKLPSLGPKAQSKVLAIVLPIATATLILSIGTIVVLIVRRRLKYAEVREDWESEFGPHRFSYKDLFHATGGFKNKHLLGEGGFGKWEKIVIHRDIKASNVLLDGEMNGRLGDFGLARLYDHGTDPQTTHMVGTKGYLAPELLRTGKASPHTDVFAFGMFLLEVACGQRPVKRNAEGNEFFLVDWVLEHWNNGLLTKTMDSSLQGDYNINEAHLVLKLGLLCSHPLPSSRPRMREVIQYLDGDMPLPELRPTELSSNMVALMKNSGLNSAVMSYPQISSSFCTISGVSGG >Sspon.06G0031670-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6C:70846486:70847500:1 gene:Sspon.06G0031670-1C transcript:Sspon.06G0031670-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTATSRRRPRRGSKGPNADLCRTLTDCTRRGDAAAAMAAFDADADTDTPLRLAAHQYNQLLHLLAAADRSSFPGPTAAAAARRVFAHMLQAGAPPSEATITSLARVTAADDDPGSSAADEAFELVATMKDKYGLSPRLRSYGPVLVAFRRAGEAAKAYAVEAHMTASGVAPEEPELAALLDVSSRAGDADKVYEYMHKLRQTVDCVSEDTAGVVEAWFRSDKAAMAGKSGWNAAQVKDAIVANGGGCHCLGWLGTSPWTVQRVRVGADDHCGGCRYRLACIDIDMEETQRFADSVAGLALERETKTNFSRFQVLSLSYCCEPVTTYLSTFYDTMAK >Sspon.04G0012600-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:37543280:37546666:-1 gene:Sspon.04G0012600-2B transcript:Sspon.04G0012600-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAGAYTVHQSLTAEAAAVLKVSLGLARRRGHAQVTPLHVAYTLLGVSEPSSSPRLFTTTTVAGASTPAYGLLMRACARSRSQSQTHPAAQCRALELCFNVALNRLPTGNAGLGMGSSPSTSFAASLLQQPSPTLSNALVAALKRAQANQRRGCPYGGGFPAHASGSGALWAAAPFLNNNHPPDVESESPSPCKAEDVRAILEVMLTRRQGRRRANPVVVGDSASVAEASVAVLMRRMERGDVPDELRGARVLRLHLSHVHVRLMTRADVDAWAADLRRSVGAATGTDNTGAGLVIYVGDMRWAADSNDDAARGFSPAAHLAAELARVLGELRLRAASRGGRAWLVAAASYGTFMRCQRSSLEVTWDLQPVSVPAGAGGGLDLELGPRAATASPADGKAAQRAQFPLPDLAPKEDQEDGVPMPTLCAECAKYYENEASVVRAKAAGTNLALTFFPGWPQADEPQTSHKDDLMELKRKWSRLCQLRVHSQWNQPTRPCHANATTSSNSSSNPGLCLSFEEAPTFHGTGRSKIKHQDVKTTLSLLPDSVETSDEFHSHESEGMDEAMARPAAQEPDQAAAAKPSDMKSVPAWLSTSEMLPPSGDLKRKAESVRMPSESKRWMGGGGGGGLDLNLRADDEEEDGGGGSGSGSSEDELVPSDLTNDGEGASGDVTDDSLDSHRQAAVTFDFDH >Sspon.02G0027080-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:108480605:108484630:-1 gene:Sspon.02G0027080-3D transcript:Sspon.02G0027080-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGLLGGAFWATRALEVVKRNDSPGLLWKRIKLTTTRKNNAKKRLKRLWQNEAVIRACGESESKSGSNKASPAEKQQ >Sspon.07G0021700-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:4411210:4413420:-1 gene:Sspon.07G0021700-3D transcript:Sspon.07G0021700-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFTASPVVELPVGGAVLTFEQDNDSFEVGTSVWPSSLVLVKFVERCLRDPALPFADVLRFPGTRAVELGAGCGPAGLGLSRLGLTDLVLTDIAAVLPALRRNLRRNRVHLPRAPRLAQLHWNCPAHLATLANPRRFDLVVAADVVYVQESVPHLIAAMDTLADAERGVVLLGYQIRSPEAHQAFWDSVPAAFPVIEKVAREHLDPEYAYEESDSSMTTEMQIVS >Sspon.04G0034180-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4C:71848021:71848456:-1 gene:Sspon.04G0034180-1C transcript:Sspon.04G0034180-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLKVGQQQNPTNKRLSQIESPHARAYQTAHRDLPAPPRREHRLLARTGPDPDPTPPGRSERPQNKTNPAARSSRPDETRLTGSDETNERQIWGGAPGHGALPDETSGGSDEDDGGRGDGDGSESEIGVSDSIRRWRLRGAEGL >Sspon.02G0009140-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:26074177:26074973:-1 gene:Sspon.02G0009140-1A transcript:Sspon.02G0009140-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHAGAVVGITFTFTNRCGGTVWPGVLANSGSSALQTTGFELGPGETRSLTAPPGWSGRFWARTGCAFDASSGKGACATGDCGSGEVECRGRGAAPPATLAEFTLGSGGSGGSKDYYDVSLVDGYNLPMVVEAAAPGCPVTGCLVDLNERCPAELRAGGGGGQACRSACEAFGTPEYCCSGQFGNPDTCHPSVYSQMFKAACPRSYSYAYDDATSTFTCTGTDYSITFCAPRAGTPNSQVPAGNSNT >Sspon.06G0026210-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:77648047:77649658:-1 gene:Sspon.06G0026210-2P transcript:Sspon.06G0026210-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQRGKGVGEEGGEEGRKGEGREEGRLATGAAQKARAGRSAWAERINREKKWSGEEGGDRIDERIRTSGQGGRVHPTSSRRLHPINSDIKSGIKFHPDGDRVENADWIAIFLQHDHTDAVDVKARYKFGVLDYSGESVPTFSQETSVDDTFSSKNPSWGFSKFVKRKDLEESSYLKDDCLKLPSALETVMATESFDHLVTSCPSLIKEMLTGMATCPQCLHTLVPASDMVKGVAHPDMA >Sspon.08G0023530-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:56095658:56096872:1 gene:Sspon.08G0023530-1B transcript:Sspon.08G0023530-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPNPAERNSRNPSSRASAAAAAAASPPAAACTIYGPREVRDNFHRNLKEDEFKRVVAKIEMIYREVELDSDAELESGHCCCLGLLNPKANILVNSSIFCSNASLSPAGKGGDMAQRSLDGLITFLTCLFPYLPVAEALAYLDAVDADPLASATLIIARRGRNYCWNYCWMDPTIAAATMAMALNSAQHPDPSLLVKGWTSLSHYLHQIARPPSVPRPDFITGSLVLRSLRNEYCNLEVQTTWELADDRLHAKLKFSGGGLLRLPTGLPPVRAAMERMLLAKIHGFYLKALCSLPKDELTGRYHRALIMGGYCYGPLQPAANIIVYLIWYEQTFPTTKGLKIAMISTGFLWQVAARSLYGLVSFLCTRYPSLTPDHAL >Sspon.06G0004280-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:13527393:13528854:-1 gene:Sspon.06G0004280-1A transcript:Sspon.06G0004280-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQNGTYLRNGPGVWEVGDHVFDHVFDGYATLVRVSFQGARGRATGAHRQIESDAYKAARAHGRPLMREFSQLCPSEPGSLVDRLHHVVSHVSGAATSDNANTAVLPLGDGPVVCLAEVTKSSVLIDAETLRTVGKLRYTDKLWCPLQCAHPVVTRGGAEVLTLLPDFTRRGYHLARMTAGSNKREVIGRVRCRGGTTAPAWVHSFAVTEKYVVMPEMPVASVEVPPFVAFHFINAYEEKGDDGARASAVIADCCEYYADPSIIQALALHRLRSPETAKDFPDSRSRIFEKMGRVARFRIPLDGSAMGELETVLDPDEHGRGVGLSTINPSYVGKEYRYLYACTAHRPCNFFNALTKMDLVEKETRSWHEEGTVPSEPFFVARPGATNEDD >Sspon.04G0020060-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:70587783:70601502:-1 gene:Sspon.04G0020060-1A transcript:Sspon.04G0020060-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVEGAAGRRYRLATVDNLKRLCIDHYFVEEIESSMSGKCMNLLHIEDLLDATLSFRLMRETGHHVSADDGLGRFTNGAGEFSLALSKDTMGLLSLHDMSHLDIGEEAALLKAKEFSAKHLASSISNLEPGLARYVRQSLDHPYHLSLMQYKARHHLSYLQSLPSRSTAAMEELAVAEFQLNKMLNQMEMKEIKRWDLEAADSLPCYMRSCYRALYPVTNEMADMAEKEHGLNPVDHLRKAWTVLFDGFMVEVKWLANDQVPSADEYLRNGVINSGVPLAFVHILFLLGHHHAVSGNAAELTDSIPPAITSSSKILRLWDDMGSAKDEAQEGLDGSYRELYLMEHPAAAAGDVEEHMRRLIAREWQELNRECFSRRTFSPCFAQACLNAAWMVSVMWDLEAADSLPCYMRSCYRALYTVTNEMADMAEKEHGLNPVDHLRKAWTVLFDGFMVEAKWLANDQVPSADEYLRNGVITSGVPLAFVHILFLLGHHHAVSGNAAELTDSIPPAITSSSKILRLWDDMGSAKDEAQEGLDGSYRELYLMEHPAAAAGDVEEHMRRLIAREWQELNRECFSRRTFSPCFAQACLNAARMVSVMYMYDKEQRLP >Sspon.04G0007310-4P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:21187054:21189529:1 gene:Sspon.04G0007310-4P transcript:Sspon.04G0007310-4P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHQTLIPSSFSPSVSASSSSPFLHASNAATTTAPAPGGSCTPAFPRGSSAMPSYAHHHSSPLDDGRMDALKCSNSVPPEEDAAAAGALVEKDGFSVEDLLDLEEFGEPDKDGAEHEDGAPPVAAAVQEKSKADSQPLSVVTYELPPPPEMVDLPSHDVEELEWVSRIMDDSLSELPPQPQPPAAVVASLAGRPPLAQQRRPPLAHDGAYRAVAPPPPPGPLRTPTICALSTEAMIPVKAKRSKRSRGSGWSRSGASFLSDSASSSSTTTTSSCSSSGSFSPFLRLDSPPFGGLELGEGFYSHLLPRPPPSKKSKHGAKGSKHKPKKRGRKPKHLPPHHPSAAGAAASQQPGPSDRRCSHCGVQKTPQWRAGPEGAKTLCNACGVRYKSGRLLPEYRPACSPTFVSSIHSNSHRKVLEMRRKKEGGMVTTAAPAVASF >Sspon.05G0018920-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:80790335:80791003:-1 gene:Sspon.05G0018920-1P transcript:Sspon.05G0018920-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MACHQRSTSLPSLPHSTESNLEGEMQTLKSSISSPSATIGTTCDGLRRLGVLYSCVEEMLCLPSNQALAQQRKMAEEELDRSLALLDLCSAMQESLAELKTRVQELRLALRRGDDAAVQLKIESFVRLAKKAHKPMKKTTSKATAEGCRVVRLMAEARETAVYLLESMLRLLPEQVGSCPNASKWSLVSKRFQKRKVVCEERQLRALERSMGDLGDGVAILFR >Sspon.01G0049740-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:96444140:96444916:-1 gene:Sspon.01G0049740-2D transcript:Sspon.01G0049740-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding PHLAVALNETFSVPTRTSVDECKDRSSGGKASSKRQFGSRDGLPTELEFHMSVSAKYLLLSAFLASRNPATLDAALFDSTGGSDNHRRKRKSSQASMNMKDTMAEEMLLKGPGTFPLERLLAIFQCITSVSEDYLGDVECPDSMMNGSGMTGLMSDVLLQLSTLCNSNFLSKSRSCPLEGSARYRSNIDEDLALKVARSVSFPLS >Sspon.02G0020210-3C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2C:67608526:67609584:1 gene:Sspon.02G0020210-3C transcript:Sspon.02G0020210-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDDGSLGIRNWGFYDTVKGNLGLQLMSSVPPDRDTKSLLPNGAFLQHHGHHNAPHQLHMQHPQHSRGPAGGRASVGMPAESQSQPIHMDFSRNEAWLHQLHHQRPPEQKVFHSRPIGPAGHVGHPGHGGHPAHGGHIVHHHPTGYGMISDAQHTLQMMQPPLESQLQEPPPCKEEEAPPPLVEDHSVPVVTTGPPVKKRQRGRQQNRQPKSPKPKKPKKAAVPLEDGAPNGHAPRRRGPKKTVGMVINGIELDLANIPTPVCSCTGAPQQCYRWGAGGWQSACCTTSISTYPLPMSTKRRGARISGRKMSQGAFKKVLEKLAGEGYNLANPIDLKTFWAKHGTNKFVTIR >Sspon.03G0009840-3C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2C:109898623:109899102:1 gene:Sspon.03G0009840-3C transcript:Sspon.03G0009840-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKASGVLCDKRVPQKLKGKFYRTAIRPAMLYGAECWPTKRRHVQQLSVAEMRMLRWCCGHTRRDRVRNDDIRDRVGVAPIEEKLIQHRLRWFGHVQRRPPEAPVRSGVLKRADNVKSGRGRPKLTWDESVKRDLKEWNISKVLAMDRSAWRLAINVPEP >Sspon.01G0023160-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:81490504:81491290:-1 gene:Sspon.01G0023160-3D transcript:Sspon.01G0023160-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DGRGQAPASDRRDPPPPAARRGRVVAPDRRRRFRVLLGQVQRAVRAGERAGAGRVHEVLRPLLRGVQLRAHGAGARRQRVPLLPRHAHRRPQEEAQVPL >Sspon.03G0010970-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:45923572:45946382:1 gene:Sspon.03G0010970-3C transcript:Sspon.03G0010970-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGGEEERRERSVGLMAGLVDKAKGFVVEKVTQIPKPEAALDRVSFRSISREGVELHSHVDVSNPYSHRIPICEITYTFKSASKVIASGTMADPGWIAASGNTKLELPVKVPYDFIVTLMKDLGGDWDIDYLLETPQAGGGAMAAASDLESKAKEAFVDDDFELAAELYTQAIDAGPATADLYADRAQAHIKLGNYTEAVADANKAIELDPTMHKAYYRKGAACIKLEEYQTAKAALELGSSYASGDSRFTRLLKECDERIAEESSQAPVKKVEAPVAATVEDKEDAANMENTPPVLSVSIEVPGEEPYHFQPRLFSKIIPEKCKYQVLSTKVEIRLAKAEQVTWTTLDYSGRPKAVPQKISTPAETAPRPSYPSSKAKKDWDKLEAEVKKEEKEEKLDGDAALNKFFRDIYKDADEDMRRAMMKSFVESNGTVLSTNWKDVGSKVVEGSPPDGLLPGHVLGTARGHLNYRSAKINAHRLLGDYASLRAAANMGIARVILETDTSLVKATMEGVEYRLIRISCIQFQVPGMYDGAQKFGYGGGLDESDMRFTANKLMSNSTVFPDGNYLKAFAEKEKAAPVAKIKVVVRKRPLNKKEVSKKEEDIIDIEQNSLTVHETKLKVDLTEYVEKHEFVFDAVLDEDVSNDEVYRETVEPVVPAIFNRTKATCFAYGQTGSGKTYTMRPLPLKASQDILRLMHHTYRNQGFQLYVSFFEIYGGKLFDLLNDRSKLCMREDGKQKVCIVGLQEYRVSDVETIKELIEKGSATRSTGTTGANEESSRSHAILQLAIKRRVDGNDSKPPRPVGKLSFIDLAGSERGADTTDNDKQTRIEGAEINKSLLALKECIRALDNDQTHIPFRGSKLTEVLRDSFIGDSRTVMISCISPSSGSCEHTLNTLRYADRVKSLSKGGNAKKDVSLAMPLRESSPSPLPSVVPSFSASEVMNDITERSNFGWPKQQYVKEQPALTFVERMPKVKDAVEFTSSNGAYSREQRSKGFMAPNIVEIPDIMYQQGRQPARKAKDTTLGNNIRNSIAYPTRRVEPDEDEHLNNLLQEEEDLVNAHRKQVEETLDILKEEMNILGEADQPGFQLDDYLARLNTILSQKAAGIVDLQARLEQFQRLLNENNVLLYDQSP >Sspon.01G0051330-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:16448880:16449423:1 gene:Sspon.01G0051330-2D transcript:Sspon.01G0051330-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding CSASSSRITPPPLDATAFAQVAPNSWLLDLSSLALATAPRSAVVFLLPAAVAALPPGKAVAVYFQAATNGPFAFL >Sspon.05G0022090-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:6080778:6086386:-1 gene:Sspon.05G0022090-3D transcript:Sspon.05G0022090-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPIFRRATIGWMSLQLLAAAALALAGPPVTLPGCRESCGEVSVPYPFGIGAGCFHEGFGLTCDDTQQPPKLLLLGDGAVQVLDISLPDGTVRINSTVFSSASDSDSPEFSATWSLALPHPQASGPFVMSIEYNWFVAIGCNILAQLVPLTRHGTAFTDTTCPAIPLPCIGRRAFRQCWSGVTPTKIMTKNGATVPKDTKAIHTSEMDAKVLLLSHFIHFKKISIYDFLKFFCSAFSPGLAIYNLFPFQTSMSVYSRMFIHAVEPASMCQEHTNAHQTKAPGAIQCANGTSKARRNDPRRAGLNAVMESK >Sspon.08G0008770-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:37750879:37753352:-1 gene:Sspon.08G0008770-1P transcript:Sspon.08G0008770-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCFLAQDGIRREVQTMSLIDHPNLLRAYCSFTNGHQLWVVMPYMAAGSALHIMKTSFPEGFDEPVIATLLREVLKALVYLHSQGHIHRDVK >Sspon.02G0037060-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:24708833:24711324:1 gene:Sspon.02G0037060-3D transcript:Sspon.02G0037060-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGATVCVTGAGGYIASWLVKLLLSRGYTVHGTVRDLSDKKTAHLKRLESASENLKLFKADLLDYDAMAAAIAGCQGVFHVATPREMLGPAVNGTINVLKAASAANARRVVVVSSMVAVEINPKDWPKDKIKDENCWSDKEVCRNDENWYSVAKISSEEAALEYGKQTGLDVVTVNPALVFGPLLQPTLNTSCQFLVYFLKGGPDQMRNKLWHIVDVRDTADALLLVYETPEASGRHICAPHFISARDLLDLLKTMESIYDMDHPSPMTSDKLKKLGWKVRPLKETIAETVEFCQQAGFLADLEGTPCRFPPLYNRI >Sspon.05G0010610-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:24714523:24721451:1 gene:Sspon.05G0010610-2B transcript:Sspon.05G0010610-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDQSGYLPDAAFFSFVAGSCTNAGLLDAAATLLMKGSRFGCRIEPAKQVNRGHEVLRRLQRDGVCMPNVVTYTSAGMPNEVDQIMLIASGHSSKETQYWFSFTIFDHKLSLGSKYSTTIYDEYNVMTESPKPRSNEESSVGWCGSRVYNGKSLQEPFLASWTKALHKMELLFVAAKMLVADAKWTEDSQKLKTGDNTF >Sspon.04G0001110-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4A:4352421:4357663:1 gene:Sspon.04G0001110-1A transcript:Sspon.04G0001110-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLTPPVGPEWIADSGATYHTTPDPGILSSLHSPSSSLPSSIMVANGSCLPVTSVGTAGAHGSFRLPDVLVAPSMVHNLLSIRRFTADNSCSVEFDSSGLTVKDLASRRPLLRCDSTGPLYTLRFPAAHSSSSPSLLSAAFAASTSSTTWHRRLGHPGRDALIQLSRSSGLPCTRAHDEQLCHACQLGRHVRLPFSSSSSHAARIFDLVHCDLWTSPVISISGYKYYLVVVDDFSHYSWTFPLRAKSDTFTTLLHFFTWVSTQFGLTIKAVQCDNGREFDNSTSRAFFLSHGVQLRMSCPYTSSQNGKAERMIRTTNDTVRTLLFQASLPARFWAEGLHTSTYLLNRLPSAACPAPTPHHALFGTPPRYDHLRVFGCACYPNTAATSSHKLDPRSTLCVFLGYSPDHKGYRCFDLASRRVLISRHVVFDESVFPFSGTSTSPVPDPPTLLPTDPVVQPPFLWSPAGTASPRSAPATGPGSPVSPGPSSSGAAPSPSVGADPGPSSPASAPGGSCRLPTPDPVSAPAPAPPSRFAAPVRVYQRRPRPPPIAVPSPPGTPTPPPLSPPARGPSPVYHPPLLHRHPRHVHPMVTRHAAGTLPPRVLAASTDDAVVSPVPSSVRDALLDPHWRRAMEEEYAALLANQTWELVPRPPGANVVTGKWIWTHKRRADGSLERYKARWVLRGFTQRPGVDYDETFSPVVKPATVRTVLSLALARSWPIHQLDVKNAFLHGLLTETVYCSQPAGFVDTTRPDMVCRLNRSLYGLKQAPRAWNHRFATFLMTLGFVEAKSDTSLEPLLRRIIAALQQEFAMKDLGELHHFLGVTVEHRPAGLLLHQQQYTRDILERAGMSDCKPCSTPVDTQGKLSETEGPPVADPTAYRSLAGALQYLTFTRPDITYAVQQVCLHMHDPREPHLTALKRLLRYLRGTLDYGLLLHRASSTDLVVYTDADWAGCPDTRRSTSGYAVFLGGNLVSWSSKRQPVVSRSSAEAEYRAVANGVAEAAWLRQLLAELHTPPSRSTLIYCDNVSAVYLSTNPIQHQRTKHVEIDLHFVRDRVAMGEVRVLHVPTTSQFADIFTKGLPSSTFAEFRSSLNITGG >Sspon.04G0034280-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:72179350:72181142:-1 gene:Sspon.04G0034280-1C transcript:Sspon.04G0034280-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEICTDKDRMALDSSFEDLEEGDDAKTVPPVGIVKFVVLSSQFYFINVEIPAYGTFDDQLRLVLLVKLASNVLSSNCISKEADLICELLKHGAEPTDGIIQQSSMIRMCALSLMHLQGSRAIDAAAAMVDKLITFSFFTRHKFEECRLIRIRTLDVMLSILKESSFPSSKVSGMGDQNFAAVGFLLAAPCFGGAVHLLNAVMPF >Sspon.02G0059840-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2D:101209445:101212250:1 gene:Sspon.02G0059840-1D transcript:Sspon.02G0059840-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKGGTHRGSVEDGGVVGVGAETSRRATAPRRQPPHGVGKRWRQSASLTPPRSPSLQSPSAIALFLSKKGKHVRRREAGLPRARRVSNATRCDRLGSVVLTLGELRRITKNFSDDQLIGEGAFGKVYKQIQPALRNPAPLLGHQFLPFLPSKLDLSSPPLPLCWLLGAAATKAYKGAPRPCLRRTGAALAQLPSASSPSLPLLYSPGPTTAAHTGTQAAAPFSTCLPHHGRARSRPPRPWPPWTPRSSDAAVLPRRSPSWPEPPANALPSAARPSPRQGHCSPEPVHPRAASSITRSLRPPPCRWCSASLPPELSIARARFRSRWRRHGPASPPRHLAAAAPRRRDEAAMPPAPLLRPARCHRWPCPPPPSLPSSLFSSLFWPRVNGKLGTTKGLGTLGPVQAQGHVGQPTGVRHLGTHAPHGSHGRPSPFTCSLRGHEAHCRPPPPLLWFSASRRATVASLCSASPLLRPRPAAPGAAPPRASFTGGLLALLLLSRVPCLGRADRREKAKSGFQDT >Sspon.01G0008450-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:24236988:24241209:-1 gene:Sspon.01G0008450-2D transcript:Sspon.01G0008450-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHTEKVAGPAPSFRTQACGLRCWIAAKLKLRKALKRHHGWQLQRNLDARGNDKVTDYLEAASLTEKRSHWNMHLAYDSGGEMANTSSDTLDSSTDQEKSVPQGHIPSEISSPVEKEESAVPRRHSNNEPSLCIAVIGATGELARTKVFPALFALYYSGFLPRNVGIFGYSRKKLTDEGLRSIIEANLTCRVDHHENCDGKLSEFLKRTYYVDAGHDNKEGMVRLNSRMAQIEGIGAANRIFYLAVPQEALLDVALPLADSAQTKQGWNRIIIEKPFGFTGLSSQRVTQSMLSRFEEKQIYRIDHLLGKDLIENLTVLRFSNLVFEPLWSRTYIRNVQVIFSEETSNEIQGRYFGNYGIIRDIVHSHILQTIALFAMEPPVSLDGEDIRDEKVKVLRSIRKVDLEDVVLGQLKDTSGKVDRYTKSMTPTYFAAAMYIDNARWDGVPFFIRTGMGLMMNRAEIRIQFRHVPGNIYRERFGHDIDLDTNELVLRDQPEEAILDELAAAWNVLTPIIHEIDQDRVAPELYEAGDRGPINAYYLAAKHGVQWDDDW >Sspon.01G0013450-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:37960107:37961126:1 gene:Sspon.01G0013450-2C transcript:Sspon.01G0013450-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein SAR DEFICIENT 4 [Source:Projected from Arabidopsis thaliana (AT5G52810) UniProtKB/Swiss-Prot;Acc:Q9FLY0] MAAAPSHPFVYIDAAALHSLLPFTALIPHLRAGLAHPDLSACIQCPQRVSFPLPTAAPSAALLLMPSWCAHPSLPYLALKAVTSFPSNAPRLPSVHAAVSLFSAATGVPLASIDGTALTLRRTAAVSALAGSLLLASRSRPPSVLALAGAGALAPYLAEAHLAALPSISRVLVWNRTRAKSAALVARLRESHPGLAVEEVDGMDEAVAAADVVSCATGSRDPIVRGALLRPGAHLDLVGSFTPAMRECDDDALRRGRVFIDFEAAMEEAGELVGAVQRGVLRREDVAGTLSELAAGTVVGRRSDDEITVFKSVGTAVVDLLAAQLAYETHVAATKDVHA >Sspon.07G0017590-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7A:63264638:63266058:1 gene:Sspon.07G0017590-1A transcript:Sspon.07G0017590-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSPTDFNECVFKGELTTFMTEQCNLMTELTRNVNNLVTRIEQLEQRPPPHRADDDDAGDFGDDDADADGYARRRLNFNRRGMRGNNHGNNDPFAKIKFSLPSFAGNVDPEAYLDWELAVQQKFDSHNVPAEHRVRLATSEFTNFALFWWSDLCNANNAAVVPQTWNALKQRMKSHFVPPYYQRDLRLKLQTLKQGDKGVEAYYQELLIGLARYGINEDDNDASARFFGGLNHDIQNILDYKEWRNFSQLYHLAIKAEREVQGRKQHQPFRSNNGRNFQQRSELETPKLSVAPHGVSKLSDVQKKGATLGVSTSSSSSSAKIICHRCKGMGHVMKDCPSHRAFIATEDGYVSTSDVEDDLALATNIDADSTEGDQDKEAIIIDSVAATADYPSLLVQRVLSTRVGHEEETKIQRNNLFHMYFIVQGCRVLTIIDSGSCNNLVSSDLVEKLGLTTRQHSYPYKLQWFNNSGKT >Sspon.05G0031890-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:20333093:20334507:-1 gene:Sspon.05G0031890-2D transcript:Sspon.05G0031890-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAPGGARRRGGGSGRRRDAAGGGEAVRKGHWTAEEDAVLLEHVRVHGPRDWSSIRSKGFLPRTGKSCRLRWVNKLRPDLKTGCKFSAEEERVVLELQAQFGNKWAMISTYLSGRTDNDVKNFWSTRQKRLARLLGTPLRGRSSRSRSARAQAPVASSLESRPATVVPCLDQVPLEGSSSGVHLCSAATPFMDAQSAAQAPYDWAGSGLVSFDGALLPLASDSHACSSSNAAALPPLLPFDQPPYPLLDFPGLPAAGWNMAPGFANAGAMDNLAYQELLPVTQPAPMMLPFFGTEYPHGGVKAELPDAAPDNFFDDLPPD >Sspon.06G0009810-10P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:40333805:40334967:1 gene:Sspon.06G0009810-10P transcript:Sspon.06G0009810-10P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPATYFLLVSFLAMVTSQAIASDPSPLQDFCVADIHSPVKVNGFVCKDPMAVNADDFFKAANLDKPRDTNKVGSNVTLINVMQLPGLNTLGISLARIDYAPLGQNPPHTHPRATEILTVLEGTLYVGFVTSNTDNGNKLFAKVLNKGDVFVFPQGLIHFQFNPIHDKPAVAIAALSSQNPGAITIANAVFGSKPPISDDVLAKAFQ >Sspon.07G0030300-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:5426929:5433726:-1 gene:Sspon.07G0030300-1C transcript:Sspon.07G0030300-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLPRRRARGVEEGEGWPHQHQEPWRRKRRGWLAWRRKRRGWLGCTGLFRFADGSDAVLMAAGASGAVANGVAQVLMTLIFGEVVNAFGSGSRHDILHRVSGVCLKFVYLAIGSWFACFLQVACWMITGERQAAHIRGLYLEALLRQEIAFFDKEMITGKLVESMSGDTILIQDAIGEKVGKFIQLTATFVGGLVIAFSKGWLLAAVMMLSVPPIVVAGAAISWTVSKLSSQGQAEYNEAGIVVEQTIGAIRTVASFNGENRAIALYNKYIRNAYVSAVQEGMATGLGFGFVMFILFCTHGLTAWYGAKLIIDKGYEGGQVVSVWMAFMTGAMSLGEATPCVTAFASGRAAGHRMMQIIQRKPQIDPNGTDGIVLANMKGDIELRDVYFSYPSRRDQLIFDGFSLHVLSGKTMAIVGESGSGKSTVINLVERFYDPQAGEVSIDGVNIKSLRLGWLRENIGLVSQEPLLFATSIRENIVYGKEDATDEEIKAATKLANAANFIDKLPNGLDTMVGQHGAQLSGGQKQRIAITRAILKSPKILLLDEATSALDMESERVVQEALNRIMQGKTTIIVAHRLSTIKDADTISVVHRGKVVELGTHTELLQDPNGAYSQLIQLQDITGEPDASDVDYQRSTSAIRNVESLSKSLHAPSLKRKITGGASFGSTSVHLITSANMIVPESTDTEPLLKVSDEGEECRKVALSRLISLNKPEMPVLLLGTMAAVISGVMFPMLGLLMSSSINSFYEPPHQLQKDSRFWTLMYVASGVASFIILPVENFLFGVAGGKLVERVRSLSFQSIVCQEISWFDRSSNASGNVGARLSVDASNIRRLVGDSLALMVRSTVTVIAGFVIAMVANWRLALVATVVLPWGGSPGIPPNKVLGGIQCRCQGSMLNAMHLCYSFSLNGGLQAMYEQATQVANDAVSAKFILDGKAMFTEVFRVFFALLLATAGVSQRSVLGSDYAKAKASASTIFALIDRKSKIDPSSDNGMVLVDVAGELELRHICFSYPSRPDIQIFRYLNLRIPSGKTVALVGESGCGKSTIIALLERFYDPDSGTITLDRVDIKDLNVRWLRRQMGLVSQEPILFNDTIRANIAYGKEGEVTEEEITAAAKAANAHQFISALPQGYGTVAGERGAQLSGGQKQRVAIARAVLRDPRILLLDEATSALDAESERAVQEALDRAAVGRTTVVVAHRLSTIRGADVIAVLGNGEVVALGTHQQLMAARDGAYGAYASLVELRMRSERAGVSTSA >Sspon.02G0008550-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:24868990:24873041:-1 gene:Sspon.02G0008550-1A transcript:Sspon.02G0008550-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQPRRRGYPHGHGRHHRCPRRIALPAAGLGLLFLAVSLLSVSLLSAPPLADPRPGLGTSSSSSSSRRFLRRHPTKGSGGELEDSESGDALSVPAHGWTGHDDLWRSKLASNFHACSNSSSKFLDSGITTQPDRYLIVVTSGGLNQQRTGIVDAVVAAHILNATLVVPRLDQTSFWKDSSNFSEIFDMDWFISFLEKDVRIIKEPPEKGGKAIKPYKMRVPRKCTPRCYLNRVLPALLKKHVIRMTKYDYRLSNKLDTDLQKLRCRVNYHALRFTDPIQELAEKLILRMREKSRYFIALHLRFEPDMLAFSGCYYGGGEKERRELAAIRKRWRTLHIRDPEKGRRQGRCPLTPEEVGLMLRALGYRSDVHIYVASGEIYGGEDTLASLKALFPNFHTKETLSSQEELAPFLKFSSRMAAIDFIVCDESDAFVANNIGNMAKILAGQRRYFGHKRTIRPNAKQLYPLFMKRGNMSRDAFASQVRTVQKGYMGEPMEITPGRGEFLANPAACICEKTGRNSVVVKSISGSSQEPVTDTGIRKAIGIGRPTYPVYTDEEADGSDTEEDPDSSGRGEVIDTEPNDDSVVRQEDPELEEILSD >Sspon.02G0011680-4D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2D:25179323:25179520:-1 gene:Sspon.02G0011680-4D transcript:Sspon.02G0011680-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQKLDDLLVEMSSLQQQYVKCDSYISDEREMNEIAGSKKIGDGEGSRCCVCTKLEVAATPQKAKV >Sspon.07G0006990-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:5273221:5273934:-1 gene:Sspon.07G0006990-2P transcript:Sspon.07G0006990-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding PCRARVRRPGGGGGSAAASRCGSRRWWTSAATAAAPSCSPSSPRRRPCSAAGASPSPPTPPPPPPPRRSRPPPRAGAAAPRPLPPTPPGAPPRSSPPTRCTRSRWPWRWRRCSRTGSSSRRRGGGGGSSTAAAAAGVRRRHPPRATEWRWWRRRSTGGGWRARAWRWRWTRRSRTRTSASPWCRWWWRRRSTRGTTSTTSSTSSSPSTRRATTRSSSTPSPTSGPATASSARRLPASS >Sspon.06G0018850-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:63257025:63259498:-1 gene:Sspon.06G0018850-2B transcript:Sspon.06G0018850-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLRGAKRRRKAALEKKAAAVAMAAAAAGEPPADWWDAFCMRMSGTLSSIEDAQRFESVFKMPRRAFDYVCNLVKDEMMVRSSSYTFLDGTMLCLEDRVAIALRRLNSGGSLVTVGSSVGVNHSTVSLITWRFIEAMEERASHHLRWPDSIEMEKIKSKFEKIHGLPNCCGVVDTTHITMCLSSAEPNCKVWLDQEKNYSMVLQAVVDLDTRFTDIVTGWPGSMKESSILHSSGLFKLCEKGERLNGSKMKVSDGSEIGEYLIGDSGYPLLPWLLTPYQEKDLTESSAEFNSRHSAARTVAPRTLAKFKDTWKFLQGEMWRPDKHKLPRIIHVCCLLHNIIIDLQETAVDEARAWSSDHDAKYRQQVCQLADENGVRVRDKLSQHLIS >Sspon.02G0042930-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:87329461:87331987:1 gene:Sspon.02G0042930-1B transcript:Sspon.02G0042930-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MELKHCNLWRLTIVAPILQKLSVKFNSLADHPSEQIVNITAPRMVSLVWMDSYDPRYFHLGNLGQVRELQTKRILEGPACASGCICDQSKNWKTDELVLGHLEDVRIIGLQGAEHEVAFVQRFLGWATVLKSLRITLDNEMTEGKIGEFCEALAGFSRPETCVQFDRIDD >Sspon.02G0036540-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:25463996:25467870:-1 gene:Sspon.02G0036540-1B transcript:Sspon.02G0036540-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding HHAIGRVPAIPHGRPPPQPAAPFLPRRRRRRQPSASPASPPASPPPPAPPPLDDFRDPPRLPPHHHLDDFRDPSRLPPGHLDSFQEPPPPHLRHFVGHGGPLPPQPHVVAALEERLGTEIDEAHALLAQNQRLAATHVALVQEVAAARHELGRTAHALTSAQEESDLRLREVYERSMKMEAELRAVHDMRAELAQVRMDIQNLGTVRQELMGQVQGLTQDLARSAEDLQKVSALKAEIQEIKHETQQLRSGIELEKKGYAESYEQGQEMQKNLISVASEVEKLRAEVANARRGHGLLCLQAIKVKLICLLQVILEAMGTPRPIMLQILLTLDMALIKQMLPLILVPSMELVRHMVLGVLMTCKGRPGVDKYC >Sspon.03G0011950-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:48888304:48891515:1 gene:Sspon.03G0011950-3C transcript:Sspon.03G0011950-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGSIVVDFPSMGAALCFPSLESLLRDSASGFLAAVSAAPAPGAADLTNFHRVFSRVLSAYPDPPLEAVWFFSALSFHDRPDDLRSLLQLLSAFTASSPGAAKPLALLAPVVSELFHSDKPRRETEALVEAVLSYISICSSRPAPSSTEGATADAVRLLPAFGELVKVWSVRHSRERCPFQVLFPLAGDEARRELMKEGCSVDYLAGVVLAEAFLLRLCLKVQNATGVPRSELQKELKIWAVSSIPVFQNHQFFGVLLNMLLNSPLPVYSLVSADDEILLRDVLYDSLILVDYSFINNGAGVDLLPIYVSRLVITLDAVNDARRKGDQGRAMSFINAFSTSNVPNFLIKWATCQAGSGALSKPIANTPQAILKWLVNLEDKGLKVFGDYGSWIMGRFAYDEVKNGYGNMIHSDVDLFFVDKQSGREFMDTKGSEDEAVEEMETAGNAFMAAAQSMKGVTNGIRKRKSCGNEDAAAVKFVKYKVEDSSVKDYLSAANGMSSGSEVENPQSDDEMEESD >Sspon.03G0000040-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3B:6815955:6818866:-1 gene:Sspon.03G0000040-2B transcript:Sspon.03G0000040-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRHGGTRRCPMGSRPTSCIASARIPILHTTTRRRRLLFARAPAAELKDMASAAGGGAAGEGEWLKVAQLRAMVEAQDPRAKEVDNLTLRRFLRARDHDVDKASAMFLKFLKWRREAAPGGSVPEEQVRRELAQDKVCMGGVDRAGRPFLVAFPARHFSAGRDMAEFKSFVVYFFDKICARIPRGQEKFLCIVDLKGWGYSNCDIRAYIAAIEIMQNYYPERLGKALMIHVPYIFMKAWKMIYPFIDTNTRDKFVFVEDKSLQETLRREIDENQLPEFLGGKMDVIPLKDYGVQQHPQAV >Sspon.02G0008020-3P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:16042606:16044611:-1 gene:Sspon.02G0008020-3P transcript:Sspon.02G0008020-3P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding EIGSLIFDKDDQLAVEFVTAAANIRASSFGIPLHSLFEAKGVAGNIVHAVATTNAVIAGLIVIEAIKVLKGDYQDYRMTYCLEHPARKMLLMPVEPFEPSKSCYVCSEVNTKTTKLREVIEKVIKSKLGMNLPLIMVGATLVFEDGEDLEEDEIANYALNLEKVLAELPAPVLNGTTLTVEDLHQELKCSINIKHRDEFDEEKEPDGMVLAGWSGPVDKQITSNGENRSVPSSSNTEDVDGTAEDISANLE >Sspon.06G0020960-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:12525735:12530617:-1 gene:Sspon.06G0020960-2D transcript:Sspon.06G0020960-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSSALSKRGGDGGDGILAAISRSQVAAHGREAAAVAKKLLRSTGKAAWIAGTTFLVLVVPLIIEMDREQQLNDLELQQQTLLGGPPPPAAAANIEIGHNSNFVIWMTTLAFGIPERKRRRRAHRLSTTGNKRKAHQLPATGKTRRACWPRRRRRRHVRRWMVGGSVSRVKGLGKEGDLGNDPGAEAEACSCSEGVARVAPSLHLEGEAADLVVGVGEGGDRGQIVYGRGAAAQLQTTKLTAGR >Sspon.06G0030790-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:54018447:54019587:1 gene:Sspon.06G0030790-1C transcript:Sspon.06G0030790-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLKGKLPLELYPYRSGNEVGYTSGKNQFKDIMLIIIKGQQLKYDNGLEYFVSIDLSGNSLTGRQLDTLSADNPSLMYIGNSGLCGPPFQKNCSGNDKSIRPPLQNNGSGNDKSIHGNHRSSKQEFNPMSFYCGFVVGLWMVLCALLFNNIHGGFLISGCLIRSMKKSMCLSWAKLTRNSAAKIMCTLPATNEEDAGDLHQIREACPADPQIESN >Sspon.06G0012620-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:66659209:66660159:1 gene:Sspon.06G0012620-1A transcript:Sspon.06G0012620-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribulose-phosphate 3-epimerase, chloroplast precursor (EC 5.1.3.1) (Pentose-5-phosphate 3-epimerase) (PPE) (RPE) (R5P3E) [Source: Projected from Oryza sativa (Os03g0169100)] MRSQAFQVRASARVDKFSKSDIIVSPSILSANFAKLGDQVKAVEVAGCDWIHVDVMDGRFVPNITIGPLVVDALRPVTDLPLDVHLMIVEPEQRVPDFIKAGADIVSVHCEQTSTIHLHRTVNQ >Sspon.05G0018110-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:76157747:76187658:-1 gene:Sspon.05G0018110-1A transcript:Sspon.05G0018110-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPPRLAVDDFYDDDGFDWEAAVQEIDRACALASASAFAPAPVHHPLPPGPPEPSATAPLQRPPAAGGGAARQSTLDRFVDSFTKRRLEKERPAPAPAQAAPWGVGAEPGGSGVGRPGVCAGEGCSRQADEKAVEDRFVESFTRRQREKERAAAEVAPAGGRGRPAARAGKGCSGRGRGRGKRAGKGCSRRPNVEVEYDPCAVALDHEAVKTWIYPRYTFPEVLAGTEVYQILRDAEPDSKLCFVKHVSSHTSFFYLFEEILDFVAANVEVREYQKYMVAKALFTNTLIALPTGLGKTFIAAVVMYNYFRWFPEGKIIFTCPSRPLVTQQIEACYNTEWIIDMKGGLCPSTRSVHWKSKRVFFVTPQVLQNDIQSGICMVQQIVCLVIDEAHKASGNYAYCMVIRELLAARVPLRILALTATPGSKHSKIQSVLDNLNISELIYCDEEDSRVNQYVNTRKVEVVQVPSGSDATQVADMLLDIVRPHINRLRDAGVIDHRDYANWTQYELLNFKDKFKEAPPPNIHEIERGEIERSFVILGPLCHTRRLLLSHGTQFAHGYLDKKLKGGFLNLMRKNDLFWRLKQKMKLSSSQVLMASHHLDHLQKFRSGVYNVLVATSIGEEGLDIVEVDLVICFDANVSPLRMIQRMGRTGRKNEGRGYRKKQGDCRTMRKLLHNSERFEYHASPRMVPHVYKPEVKYVKLTIDKYIPHLKKTRVAAKEASPSPWKMSEADGQMIARYFGACKEVWRPSLVAFPRFQLYPSVVHKVPHSFRTTDMLTDAMQQLQDPSLFRTKEWIIDMKGGLCPSTRSVHWKSKRVFFVTPQVLQNDIQSGICMVQQIVCLVIDEAHKASGNYAYCMVIRELLAARVPLRILALTATPGSKHSKIQSVLDNLNISELIYCDEEDSRVNQYVNTRKVEVVQVPSGSDATQVADMLLDIVRPHINRLRDAGVIDHRDYANWTQYELLNFKDKFKEAPPPNIHEIERGEIERSFVILGPLCHTRRLLLSHGTQFAHGYLDKKLKGGFLNLMRKNDLFWRLKQKMKLSSSQVLMASHHLDHLQKFRSGVYNVLVATSIGEEGLDIVEVDLVICFDANVSPLRMIQRMGRTGRKNEGRGYRKKQGDCRTMRKLLHNSERFEYHASPRMVPHVYKPEVKYVKLTIDKYIPHLKKTRVAAKEASPSPWKMSEADGQMIARYFGACKEVWRPSLVAFPRFQLYPSVVHKVPHSFRTTDMLTDAMQQLQDPSLFRTKCEIPLQEPANVAAVEEGLEHEVYVADILAL >Sspon.02G0023200-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:77087782:77090250:-1 gene:Sspon.02G0023200-2B transcript:Sspon.02G0023200-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding EFNPRPVTEVQSASPNHIEAALRDVHMRAPNLQLLIVILPDVSGHYGRIKRMCETDLGIVSQCINPKKNKNKQYFENVALKINVKVGGRNTVLERAFVPNGIPFVSDVPTIIFGADVTHPTAGEDSSASIAAVVASMDWPQVTTYKALVSAQAHREEIIKNLFWTGTDPEKGTPVNGGMIRELLTSFYKRTGRKPQRIIFYRDGVSEGQFNHILLHEMDAIRKACASMQDGYLPPVTFVVVQKRHHTRLFPEVHGRRDLTDKSGNILPGTVVDTSICHPREFDFYLCSHAGIKGTSRPTHYHVLYDENHFSADALQMLTNSLCYTYARCTRAVSVVPPAYYAHLAAFRARYYDEQDSTDGTSVVSGGAAAAGGGPTAFRRLPQIKENVKEVMFFC >Sspon.01G0022990-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1A:83559163:83560511:1 gene:Sspon.01G0022990-1A transcript:Sspon.01G0022990-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEVLPVNLPMVFRGLGLEYDMSGLTQVLLDAARKVGIEGAEELLEDPTKGVDEVQEELKKYSSGISGVPHFVINNKYQLSGGQPPNVFMRAFEMAAKDGA >Sspon.04G0015660-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:64456539:64457417:1 gene:Sspon.04G0015660-3C transcript:Sspon.04G0015660-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRAAAACQPPQISQRPRRRRKKTPDDAAAASGLSSCSCCSATSQAERRGPLRSCATATATAQARLRLHALALSLSWGAKRRRTVRSGPAVGSRWRDWAGLDEGPAGLIAERVLANDVADYVRFRAACLPWRRCCADPRAHGVLEDPRLYPRQWIMLRDGYERLGTAAASHRSCRRRFLNTRTGQCVQVDVPELRDHGVLRATADGLLVLHCKQTEAVSLLNPLTCQMAELPRTTGLVEFSLRCIGECTPCCAGLLDHSTVYLYFYSSYAGTMAIVQPGDDRWVLLTPGES >Sspon.07G0014180-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:56640501:56643053:1 gene:Sspon.07G0014180-2B transcript:Sspon.07G0014180-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATQLATRGLPLASRGRPSCAASCFSCPPSSPQLGRRPSRRFRCSLPQVDAAAAAPPGKGGEYRPSFADDLLLAFFRSKMVEEVGWDSEKPGYAGLMEVANRLMVKGKSALETEQAAVCHCSCSFLVCAHLSVMETLIPESTLVFCVSLFCNRQVRVLQSLFPPLLLVLYKALLSPIANGQLAAMMLARATALSCQWLMGPCSVNSVTLPDGKSWSSGVFVEKCKYLEESKCLGICINTCKLPTQTFFKDHMGVDLYMEPNFEDYSCQFNFGVPPPPLDTDKALKEPCLDICTNARRRRELGRNSSPDVLSCPQ >Sspon.04G0017260-3P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:12812941:12816974:-1 gene:Sspon.04G0017260-3P transcript:Sspon.04G0017260-3P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSHAAGTSNGGSGDAAAGGAARRNTRMPKYSKFTQQELPACKPILTPKWVVSVFFIVGVIFVPIGVVSLLAARDVVEIIDRYDEACVPGNMTDNKLAYIQNETISKECIRNLTVTKFMKQPIFVYYELDNFYQNHRRYVKSRNDAQLRDASKANQTSACEPEKTTANGQPIVPCGLIAWSLFNDTYNFSRGNENLTVDKKDISWKSDREHKFGKDVYPSNFQNGALKGGATLNPKIPLYGRIYFDLKENDTITVRLNNNYNTYSFGGKKKLVLSTATWLGGKNDFLGFAYLIVGGLCIFLAFAFTLLYFVKPRKLGDHNYLSWNRPPAGR >Sspon.06G0005620-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:19018095:19033252:1 gene:Sspon.06G0005620-1A transcript:Sspon.06G0005620-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVVDAVSTDAAGAPAAAAAPVQPAGNEQTVCVTGAAGYIASWLVKLLLEKGYTVKGTVRNPGMLAFCYIMHIYAAELRSDLWRLTFVLLMAMAADDPKNAHLKALDGAAERLILCKADLLDYDAICRAVQGCQGVFHTASPVTDDPEQMVEPAVRGTEYVINAAAEAGTVRRVVFTSSIGAVTMDPSRGPDVVVDESCWSDLEFCKKTRNWYCYGKAVAEKAAWDAARQRGVDLVVVNPVLVVGPLLQPTVNASIAHVVKYLDGSARTFANAVQAYVDVRDVAGAHLRVFESPRASGRYLCAERVLHREDVVRILAKLFPECSDEVNPRKQPYKFSNQKLRDLGLEFRPVSQSLYDTVKNLQEKGHLPVLGEQTTEADDKEAAPAAAELQQGGITIPRGERADVCVTGAAGYIASWLVKLLLEKGYTVKGTVRNPGMLAFCYIMHICVVELRSDLWRLTFVLMAMAADDPKNAHLKALDGAAERLILCKADLLDYDAICRAVQGCQGVFHTASPVTDDPEQMVEPAVRGTEYVINAAAEAGTVRRVVFTSSIGAVTMDPSRGPDVVVDESCWSDLEFCKKTRNWYCYGKAVAEQAAWDAARQRGVDLVVVNPVLVVGPLLQPTVNASIAHVVKYLDGSARTFANAVQAYVDVRDVAGAHLRVFESPRASGRYLCAERVLHREDVVRILAKLFPECSDEVNPRKQPYKFSNQKLRDLGLEFRPVSQSLYDTVKNLQEKGHLPVLGEQTTEADDKEAAPAAAELQQGGITIRA >Sspon.08G0014890-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:57255037:57256747:1 gene:Sspon.08G0014890-3D transcript:Sspon.08G0014890-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAPNCSASGHRGCRLKWEWDNREGGGNQKQGKSLNIPQSVPVQGLRRHALFLLGEMAVRHLHLEIDSRTLVRASHPPPGFPSVLTVSNLDLVLGPFSIFLVSIYAAPAAGLDAVLATVRATLPSYLSRLFPFAGRVVLDPETKIPEVVCNNAGAELVVADAAVPLAAVDFSEVDQSLGLIQIPFEASLALSVQLVRFACGGFALTLATSHLLADGRAFAFLLTALAEMIRDGGLSREPLLDRSLFKPRSPPRYSASLDAEFARFTPETMINPLLTATIRRRLYRIEAADLAALQAAASSPGGGGHRASRFVALCAHVWKLLARAVGDANPSCRMAWIVDGRKQVEPWDGALDRYIGNVVTYTAREASVAELLRAPLPEVAATVRAAIARVMTAARFQELADWMEERKAAFRDGGKWTEAVNLGLGSPALIISGLLPFAIDGDLGFGKPRLVMPWVRHGRLGSASVTVVPSPSGDGSWFFGATRMWPRLMEVVESDPLLKPAANLGMAKPTAAASRL >Sspon.07G0020610-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:66513860:66518303:1 gene:Sspon.07G0020610-1P transcript:Sspon.07G0020610-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLVGSLLVSMARLLDPSGLHFLGWLVTAGSIGLAALIYALLRLQREAALYWTKAAREKRAAWRRSRCPSSCHSWSEDHFRGGQPSTCCVCLSSLGRDDVVHRCSVCGVAAHSNCSPSAEKDCKCVAQAGALPLLHHWSERWVELDDNPEISSFCYYCDEPCGVPFLGVSPIWRCLWCQRQIHVDCHAKLLKETTNTCDLGLLRRLIVPPQSVKEVGQGSGISGMLNSIKDGFANSTVGNRRRSRNKKRVNNHPGGKTNSIPAKGSVLDSVLEGFARLKGLDGKYALAKPLSQNSLKQTYRSGIPNERVRKYELVDLPQDSRPLLVFINGKSGGRNGPSLRRRLNMLLNPIQIFELSASQGPEVGLQLFHNVKHFRILVCGGDGTVAWVLDAIEKQNYESPPPVSILPLGTGNDLSRVMRWGGGLSSVERQGGIYALLNDVDNAAVTVLDRWNVAIKEKNGTEGQCTKQVKFMTNYLGIGCDAKVAYDFHTTREEKPDQFCSQFVNKLIYAREGAKDMMDRSCSDLPWHVSLEVDGENIEIPEDAEGVIVMNIPSYMGGVDLWQNDNDHDDDFSLQSIHDKILEVVCISGTWHLGKLQVGLSRAHRLAQGKVIRLHLHSSFPVQVDGEPWIQPPGCLEISHRGQMFMLRRTSEEPTGHAAAIMSEVLVNAECNGVIDASQKRLLLHEIALQMGRVGYEK >Sspon.03G0036680-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:92218097:92220132:-1 gene:Sspon.03G0036680-1B transcript:Sspon.03G0036680-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKCSSCGNNGHNSRTCSGHGRTTVFENGVGGGGGGGGVRLFGVQLHVGSSPMAMKKCFSMECLSSTTSSSAMAPAYYAAAAALAATNSNSPSASSSASLVSVEEAGTPEKMANGYLSDGLMGRAQERKKGVPWTEDEHRRFLAGLEKLGKGDWRGISRHFVTTRTPTQVASHAQKYFLRQSSLTHKKRRSSLFDVVENADRAATSSIKDREQRLRLKEAIATTASAAAPGTTTPELPALSLGIISRPARRPDHVALPPTSLSLQLPRYSAAMGSASPSLALAAPKHHHPSSTLTAAAKASSSQALPDLELKISTARQSDVHQVQTGSPPPPTTRTPFLGTIRVI >Sspon.07G0028200-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:64802752:64806032:-1 gene:Sspon.07G0028200-1B transcript:Sspon.07G0028200-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMLDVSCIQIAIALLVLTHTKSSIEASAQTNDTIHIQQRCVAHEKSALLTFKAGLSDPASRLWSWEGDNCCQWKGVRCSNTSGHVIKLDLQGPDCEYSDVDVLGGNIRSSLIGLQYLQYLDLSCNQFSMVKMPEYLDLSWSSFVGRIPPQLGNLSNLRYLNLGSTAGYSTDITWLSRLSSLEYLGMTGVNLSTITNWVPVVNKLPSLVSLHLSFCQLSTSPDSLLDSNLTSLESLDISNNKFHKPIAPNWFWDVTSLKQLDVSINRLYGPFPEYDLHGGLVGMIPSNLKSLCSLEVLSLFGTNINGSIAEFFKRLPSCSWNKFKTLYLSESNLKGNLPDKLQPFKNLKWLYLPHNKLTGSVPLWVGQLTNLVRLDLSSNSLEGIIHEEHLSILVNLKRLSLSGNPIAITVNSSWVPPFNLLSIELGSCRLGPEFPPWLRWQRDFFLLDISNNAISDTLPDWFWVVASSLVSLSIQMQNNQIRGVLPHAMEFMSIQEMNLRSNQFSGPIPKLPTNLISLDLGRNNISGPLPVDFGALGLESLILFDNSISGTIPSSLCQLQSLKLLDLSGNELSGSCPDCLVDASMTNMTYLGLVNLILRDNHLSGEFPSFLQYCPLLRFLDLAHNQFSGSLPLWIGEKLPYLAFLRLRHNFFYGDIPVVPRNLFNLQYLDLAYNNLYGIIPTSIDKYTGMTLNRDNNKDRYFDDEFGGPVITTWEFGYMAMDEYTENVTVVTKGQERLYTGEIIYMVNLDLSSNNLSGDIPEEIGTLVALTNLNLSWNGFTGKIPEKIGALVQGRYHQEINCKCSMTRHQSILATRAFEALPCPRNVTKLENNNNVLMYISTSSGFAMGLWTIFSILLFKTKWRIACFALSDRLCDWVYVHVALGLSSLTRKM >Sspon.05G0005040-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:15636855:15638507:1 gene:Sspon.05G0005040-1A transcript:Sspon.05G0005040-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVLPDDMLADVLARLPPSSLAASRCVRKHWCSIIDARRLLRADLLPLRLDAFYCINLGLIDRLTYFFARPSAARRIPGGCLDFLEDYFDLGVQDHCNGLLLVCDMVANPATRQWTNLPTSPEPSIGDMLGVAVWGTTMGEEKDHHYLYSSFYLVYDPMVQSPQHFEVFLIPLLGRDLGNRENVTIDIEEQEWPPSTFRTHVFSSRRWRWEERSWIRQGEPAGTMADMLQSDCWDRKAVYFRGALYVHCQNDSVMRIALSNDNKYQMVRSPATRSKLGAERATFYLGKSEKGVYSALLYWVDFGSCPQFRVWLLKEEEEINDGNHIIHMEWVLKTNISLEPLLAKPPPLHGHSFVHDEWRVIRNYNEEELEAPPPAAAHDGDEFADDDEWDFDNADEIVLEANDDNNKAEADTYPVDFLGFHPYKKIVFFSLSSRTISYNLNTSKVQQLGGDVCLPVGIRTYFPYTPCWLTMGLA >Sspon.03G0002350-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:5878531:5880725:-1 gene:Sspon.03G0002350-1T transcript:Sspon.03G0002350-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTLRSATANGSPVGTPSPAAASTPRSVKRRLTPGRTGESPDASRHTSPHRFPHAGTGTVCMPKLLSASPKSSRKRLYGDLVAAEKPKWNPRDASQMRAVKEALHVATVPSSELVCRDNELRRVLELCKACVEQEKAGSLYVCGCPGTGKTLSINKIKDSLVCWADEMGVQTPDSLAINCTNLANTSEIFGKILGKFQNRKKGSSKLPPLQQLQNMFSNKDSAPRRMMLVIVDEMDYLITRDRAVLHDLFMLTTCPFSRCILIGIANAIDLADRFLPKLESLNCKPLVVTFRAYSKDQISDIVKHRLKDLEYDVFEPLALEFCARKVAAASGDMRKALGVCRSAVEVLEAKLQDSPDQELGIVSF >Sspon.02G0038950-3D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2D:39741574:39742556:1 gene:Sspon.02G0038950-3D transcript:Sspon.02G0038950-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VCESFKYGFPIQWQRLVNPKMVPDNEHARSPSETTTGAPSPSVEDYMAYFLSDSFSSSITYDYDLTENDLYSSVGYSGNTDGLTTQSPSNLPDDNAGNITASLGLYGLRMGVPEKPLAPPGEACNCGQESYQHESTQIDASKQEIVNRSISSVSVKQSTGSISSNSKVDGNILAPSKISSVVNEGYRSTVGCGQAEEDADIQQENMHSCSTEHGMVTLPIDCTSSQLGEPRIPKSEKDSVNLGTTDALKLPTEGMTTPKLGAIRGSEDSTGRRLRSGKVLEMPSGGPMKRGHKQKKIQLEASSEQMVNQGATSTADLTSHENVNVG >Sspon.02G0026030-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:91889020:91891431:-1 gene:Sspon.02G0026030-2B transcript:Sspon.02G0026030-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDSEEHHFESKADAGASKTYPQQAGTIRKNGYIVIKGRPCKVVEVSTSKTGKHGHAKCHFVGIDIFTGKKLEDIVPSSHNCDVPHVNRVEYQLIDISEDGFVSLLTENGSTKDDTRLPSDEALQAQIKSGYDEGKDILLTVMSAMGEEQICAVKEIGGKN >Sspon.02G0040250-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2C:69770770:69771519:1 gene:Sspon.02G0040250-2C transcript:Sspon.02G0040250-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGSSLAPLLLIAVAVAQIVGSQAGSISIYWGQNGGEGTLADTCATGNYKFVNLAFLVTFGNGQTPELNLAGHCDPTSGGCASLSNDIKSCQSNGVKVMLSIGGASGSYYLSSAADAKDVATYLWNNFLGGQSSSRPLGDAVLDGIDFDIEGGTTQHWDDLARYLKGYINSGRRVYLTAAPQCPFPDAYVGGALNTGLFDYVWVQFYNNPPCQYSSGSTTDLADAWKQWLSIPAKQIFLGLPASPEAAG >Sspon.06G0001130-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:3739546:3743321:-1 gene:Sspon.06G0001130-1A transcript:Sspon.06G0001130-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAERAPVLVRHAGGGLEELRQLPPGFRFRPTDEELVVQYLRRKAFGVPLPAAVIPVVRDLYNLDPWDVVVPAPAPDASSEGEKYFFAVRPAGAGKSGGARATASGRWKPSGKEKPVVLPRPCGGGRLLVGVKRAMTFVPRRKKKASPSASAALAIGWVMHEYRLAAPLHKNGCSLAQGEWVVCRVFQKGSSRPTRRRRAVPAAHPAAAASPSPSPSSASSCVTDGSNSDLDEVSG >Sspon.01G0063390-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:114955036:114955501:1 gene:Sspon.01G0063390-1D transcript:Sspon.01G0063390-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSRADSFSSTHSSSLSTPVWNSCSSWENPPRCSSVFLPLPDAILVEIRRQANGYRGLYHHPAATGAGAASEPSWAKLYRHRAGERAGRSSAAERRWSTVSRRGRCNGELGEARQWRCDGSVECERGMAWPKRE >Sspon.07G0012050-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7A:43032641:43033249:1 gene:Sspon.07G0012050-1A transcript:Sspon.07G0012050-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVDRTNNASKNDCENANTHAHGVDHLVMLARLRRRLSLGGREGLRSSLPPAELARRDSGVFEELKRLFSTTPKVGNRTEANGGHSGDRTLDQTRSLFDRTRPVSVQLLRISRLSDRTRWRVRSWSTGRVRSIRELTGLHPDAGTVASGQFSSASGRCFVRALLWLDQRIRSVTGPARSVEPSASGSRDQRVRSVVRERAVV >Sspon.03G0012680-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:35076885:35077523:-1 gene:Sspon.03G0012680-1A transcript:Sspon.03G0012680-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RFDLKKGIEERIGEGSIDRINKEGYLDVKDKSENVNYRVTKESLMERLNTDMRAASVSISKECRFLTVHGSADKTIPVEDAHEFAKHIPNHKLHVIEGANHNYTAHRKEVADAVVDFLTSN >Sspon.01G0043650-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:76552527:76557273:-1 gene:Sspon.01G0043650-1B transcript:Sspon.01G0043650-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPKPISRLVSHVILDLDGTLLNTDSVVSKVVKPFLVKNGKTWDSKKAHKLVGKTPYEAAAVVLEDYGLPYSTEEFLSMITPVFSEQWCNIKALPGATRLIKHLRSNGVPTALASNSPRSNIEAKISCHQGWKECFSAIVGGDEVEKGKPSPDIFLEAAKRMNATPSNCLVIEDSLMKYFSEDITFNIVSNGCARPGVTAGKAAGMHVIAVPSIPKKTAEFSSADVVINSLLDVRPEKWGLPPFNDWIEDTLPIEPWFISGPVIKGFGRGSKVLGIPTANLPAENFSDVVSEYTSGVYFGWAGLSTRGIYKMEPWLLHNFGEDFYGEELRLAIVGYIRPEANFPSLKSLIERIQEDGRIAEKALDLPMYAKYKDSPYLRNTLQLGSTTDDSQAELNSK >Sspon.02G0011790-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:29924629:29926810:-1 gene:Sspon.02G0011790-2B transcript:Sspon.02G0011790-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPRRGYWESSSEDVTRPLLPTHDEDRPATTGELSSWSGLRTLLASKYLAVASGPAACALICALVDLGGHPAARNMLGVLAWVFLWWITDAVPLAVASMAPLFLFPAFGISSADAVAKAYMDDVISLVLGSFILALAIEHYSIHRRLALNITALFCGDPVKPHLLLLGICGTTAFISMWIHNTPCTVMMMPVATGILQRLPRDELEGGSGSDACVVQRFSKAVVLGVVYASAIGGMATLTGTGVNIILVGMWSTYFPEQRPITFSSWMSFGLPMALILFAALWATLCLMYCSKNTGSALSAYLDRSHLRRELSLLGPMAFAEKMVLAVFGGLIVLWMTRSLTDDISGWAVLFDGKVGDGTVTIMMATLLFIIPSGKNDGEKLMDWGKCRKLQWNIILLLGAGFAIADGFKSSGLTDILSEGLGFLRGAPVLAIAPVACVFSGVITEFTSDDATATLVLPLLAELGKSIGVHPLLLMVPGAVGAQLSYLLPTGSPGNVVGFSTGYITIRDMVVTGMPLKIVGVAALTVLLPTL >Sspon.08G0001610-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:3039598:3042671:-1 gene:Sspon.08G0001610-3C transcript:Sspon.08G0001610-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGARGSRAGGAKRGAASSSASSAAAASACVYYATTALLVALCVAGAYFLTSTSTSAAAADGDAATVTAYRHTTRSSFAYEVTRERAAPAPPRGVETRDTPAAGKAVGAEEEPRPDDRSAVAAVAALDDPHARPDEERVLDEAAGVEEEHRVSAAGVEDVKGEDESSREQREQEQEPQLEMPHERARAAAAAVEEKNLDGGIEEESNAGQRQREEEQSALEEQQAAAAGNQLRREAQEDPQADGGDEGTEEEQRGREQPQGEEETRSSSVSESSRDADGGDGDKLLAVSESEHTGGAEGNASQDDGLSVEDSLVAEDRAEEQKAWATQADESHRETDRREEGSENDGNGADNAGGEEREWRVPPPDYIPCLDNEKAIKKLRPENFRRYEHRERHCPDEGPTCLVALPTGYRRPIEWPKSRDRVWYSNVPHTKLVEVKGHQNWVKVSGQYLTFPGGGTQFIHGALHYIDFLQQSVRAIAWGKHTRVVLDVGCGVASFGGYLFERDVVTMSFAPKDEHEAQVQMALERGIPAISAVMGSKRLPFPSKSFDLVHCARCRVPWHADGGALLLELNRVLCPGGFFVWSATPVYQKLTEDVEIWKGTNDVPDEIPLTSIKKDRLNGVGVAFYRKPTTNECYEARKRQQPPMCADDDDANAAWYIRLNSCVHRVPTGPSERGARWPSEWPRRVRTPPYWLNGSQAGVYGKPAPEDFTVDYDHWRRVVDGSYLNGLGIDWSRVRNVMDMRAAYGGFAAALREKKIWVMNVVNVDAPDTLPVIFERGLLGIYHDWCESFSTYPRTYDLLHADHLFSKIRERSVASNTSLSVSFASSF >Sspon.03G0013490-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:38288995:38290699:1 gene:Sspon.03G0013490-1A transcript:Sspon.03G0013490-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MENQMNVVASRRQPGDWSCRSCQYVNFCKRDACQRCGEAKLGVDRTDYAALGGDWDVKPGDWYCYRCSVNNYASRGSCFKCGAAKNESPAAVAQGWGYTVAGQPGMKPGDWICPRLGCHVQNYANRTECFRCSMPKSYYG >Sspon.08G0026050-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8C:15951070:15951615:1 gene:Sspon.08G0026050-1C transcript:Sspon.08G0026050-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLAFPWMSVNSDSSPYMSMTMRDSDAAMDGLRFPMLATIVVITSAVDLRGSGSLLHGGAAFTVAACSTAACATASVATQAYAAARAARPRARVCWVGLGRDRRGRCRPSTGERGRHGRAGLLRTGAVAAGEPSRRPECTRSGSAGELRQPASGRAFATCEPSSGAGEYSRRPTSERTAE >Sspon.07G0017160-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:66226926:66228846:-1 gene:Sspon.07G0017160-2P transcript:Sspon.07G0017160-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVEQSPSISSSSVVEPVDTKQQIIRSAAMFPSGVPRPRPLRNNGGAPPMILYSPPPPYGAIGYGPIGGPLRLPPPYAAALPYPPYYGGPAGFGNPAPMPAVHAVQVQLQSVTAANFAAELDIIGSLLPSYPYVVIDTEYPGTVHRPPAGARYALVKANVDELPIVQLGITLCDAHGNLPCVPDYRYGYYHGYAERAWEVDFSDFDVSRDRHAAQSGVDFDAARAHGVSSAAFGAKLAEILSAPRGDVELTWVAFGGAYDLAYLVKMLDGGQPLPETRQGFMERFMAENCGRADLRGVGLRSVAANLGAAMPAADLPVTAYRIHTILRLHVLSQDTAAGFEGVIDGSQRCEMHACL >Sspon.05G0004850-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:9304985:9307521:1 gene:Sspon.05G0004850-2B transcript:Sspon.05G0004850-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding QMAGGSSAEHHLLSRIAAGDGHGENSSYFDGWKAYEMNRFDLRDNRDGIIQMGLAENQLSLDLIEQWIMEHPEASICTAQGASEFRRIANYQDYNGLPEFRQAMAKFMGQVRGGKVTFDPDRVVMSGGATGAQDTLAFCLADPGDAYLVPTPYYPSFDRDCCWRSGVKLLPIECHSANNFTLTQEALVSAYDDARRQGIRVKGILVTNPSNPLGTIMDRATLAMLATFATEHRVHLICDEIYAGSVFAKPDFVSIAEVIEHDVPGCNRDLIHIAYSLSKDFGLPGFRVGIVYSYNDDVVACARKMSSFGLVSSQTQNFLAKMLSDAEFMARFLAESARRLAARHDRFIAGLREVGIACLPGNAGLFSWMDLRSMLRDKTHDAELELWRVIIHKVKLNVSPGTSFHCNEPGWFRVCHANMDDETMEVALDRIRRFVRQHQHQQSKAERWAAARPLRLSLPRRGGAAASHLAIPSPLALLSPQSP >Sspon.02G0058530-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:77469050:77470779:-1 gene:Sspon.02G0058530-1D transcript:Sspon.02G0058530-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSVSLRGAILQRPHLTPRPSPAARRAPTTRRRAVPPKISCIGWVTLLSVSVDCGLPRRDNLSGRPSPVSLGWAGPRGHPGRAAGRPHRAPRVPPPPREGLRGARGLRAPGARGEGAPPQRARGACVIPPFLPTGVPSSGHGAAAPPLLTRSCCPTAAARIGAVIPDTDAGLVEFFLDTDAREIEIEIGRLRPRLNQDFFDHISREIAQIKFAVTRTAEMEDRLIELEAMQKVLLEGVEAYDKLQNDLVTAKNGS >Sspon.02G0041910-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:74163646:74167788:-1 gene:Sspon.02G0041910-2D transcript:Sspon.02G0041910-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRVPPSEWVPHVEAYVDVSRPAAQHSASVDALAALVNKDNLTLFDLVSKMDMYLTTTDHIVRSRGILLLGEILSRISFKCLDVNTITTLSDFFISRLSDWQAIRGALVGCLALLHRKQGVGCIVIADVKRLVESFLQNVPVQSLAAADRKLCFEILSCILDRYPEAVKQMDGEQLYGICEAIDEEKDPECLMLSFHVVEVVMKLFPDPSGLAAQFAGDVFEILSKYFPVYFTHGVGNGLDATREDLSRALM >Sspon.05G0022490-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:5002523:5008361:-1 gene:Sspon.05G0022490-1P transcript:Sspon.05G0022490-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLQPRAVPALALLGKPRPRRRLVPPPLASASGSIVVSSDEDAFTRCSGYLFEEGAATESELPTAYDLPGIAAVYRRRPLLVLRRSLQIGTSFGRWFALRYLDRVNERADDMFELRAAQLRRILLELGPAFVKIAQAVSSRPDVVPPAYLDELSLLQDRIAPFSNDVAFNIIEKELGLPLNMIFSEITPEPVAAASLGQVYQARLRSNGKVVAVKVQRPGVQAAISLDIYILRFLASLARKAAKLNTDLPAVLDEWASSLFREMDYREEARNGLKFRELFGKFRDVSVPEMYLEQTRRRVLIMEWIEGEKLSEVRDQYLVEVGVYCSLSQLLEYGFYHADPHPGNLLRTVDGKLAYLEFRQDLRDGFIEACLHLVNRDFDALANDFVTLGLLPPTAQKGEVTKALTGVFENAVNRGVQNISFGDLSGNLGRTMYKFKFQIPSYFSLVIRSLAVLEGIAISFNPNYKVLGSSYPWIARKVLTDSSPKLRSTLQTLLYKDGTFQIDRLESLLTESLRARTEQSLVRNQQEDVDSTRYAIKQVLSFTLTDQGAFVKDLLLQEIAK >Sspon.07G0012220-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:43672893:43673466:1 gene:Sspon.07G0012220-1A transcript:Sspon.07G0012220-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLARYDATVLLAAVTALSAAVAFVAALHLYARCLLQRRIALAEGSPRVVVLHRPPDGYVVEVVGVVEGAGGACGQQAAGLDAKALRALPCIKGG >Sspon.03G0035420-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:80995408:80995977:1 gene:Sspon.03G0035420-1B transcript:Sspon.03G0035420-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRPQPMPWDHLTATLLLLVVVAAAAAAVVKADDEKCSNPCGNPCGEPCVYASPPPPVYYPPPAPVYSPPPPAPEYYPPPIPTPTPTTPYCPPPPSGGGGYEPSPGYTPATPYTPGYNPTPSGGGGGWFTPPYPYTPGGYNPQTPGTLYPQDPGFQPNAAPARAGAAPWRAVIFLAAASAVACACFDL >Sspon.01G0005410-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:14563761:14566863:1 gene:Sspon.01G0005410-1A transcript:Sspon.01G0005410-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDINLFRTDKGGNPDLIRESQRSRFASVELVDEVIALDKAWRERQFELDKIRQELNATSKKIGKLKAQEEEAKKLMESTDEIKKKLAAKEVEVQEAKSTLDAKVTTIGNIVHESVPVSDDEANNKIVRTFGEKRVEENLKNHVDLCRMLDIVALEKGADVAGGRGFYLKGDGVFLNQALINFGLAFLRKRGFEPMQTPFFMRKETMAKCAQLAQFDEELYKVTGDGEDKYLIATSEQPLCAYHLGDRIYPAELPIKYAGYSTCFRKEAGSHGRDTAGIFRVHQFEKIEQFCITSPNGNDSWEMHEEMLKNSEDFYKEIGLPYQVVSIVSGALNDAAAKKYDLEAWFPASKTFRELVSCSNCTDFQSRRLGIGYGQKKNDEQSKQFVHMLNSTLTATERTLCCILENFQKEDGVEVPKALQPYMGGIDFLPFKQPLDVKQAADSKSNKSKTK >Sspon.07G0008370-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:19794119:19797484:1 gene:Sspon.07G0008370-4D transcript:Sspon.07G0008370-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLVSLVVVCSVLTPVEKLCWVYVAQFLLVAAFSWDLVDLQERDMYRKYIPQEDYFSEGLYMFDIGQNDLAGEFYSKTEDQVIASIPTILLEFENGLKKLYDQGARKFWIHNTGPLGCLPQNIALFGKDPSQLDELHCVAKHNRAAKLFNLQLHALCTKLRGEFAGASITYVDIHTIKYSLIANYSRYGFEHATQACCGYGGPPLNYDGNVPCGQTVSLNGKLVTAKGCSDTTEFVNWDGIHYTEAANFHIASQILTGKYSDPSFVDKMPFHAMVHSLLGHVGVVLRHGHDEGDDEQAADDKRELPALLLRLLLGLEVGLTLVLLERRAPGVR >Sspon.08G0002200-4D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8D:5215983:5220191:-1 gene:Sspon.08G0002200-4D transcript:Sspon.08G0002200-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGPVDIYIMAPRSRASSRRPLWIIVLVAFVCAVAIGAYVYTPRHYTSCYLVPSEACNSRPPPEPARVYTDDEIAARAIMRDIIRARPVQSKNPKIAFMFLTPSSLPFEKLWEKFFMGHEDRYTIYVHASRDRPIHSSPIFAGRDIRSEKVIWGTISMVDAEKRLLAHALQDPENQHFVLLSESYLMETNVSFVDCFDDPGPHGAGRYSDHMLPEIVKKDWRKGAQPGNEWRNCYSDEHYLPTLFNMVDPTGIANWSVTHVDWSEGKWHPKVYRAVDTSFELLKNISSIDESVHVSSNAKHVAQRRPCMWNGMKRPCYLFARKFYPEALDNLMNIFSNFTII >Sspon.05G0012080-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:37123886:37125517:-1 gene:Sspon.05G0012080-3D transcript:Sspon.05G0012080-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SMSMHQPTSAAATQLHHAAMEASLMSLSFLQLAFTAVAAIAALAVAVAVTRYNRRYMGLRLPPGPPVWPVVGNLFQVAFSGKLFIHYIRDLRKEYGPILTLRMGERTLVVISSAELAHEALVEKGREFASRPRENTTRNIFSSNKFTVNSAVYGAEWRSLRRNMVSGMLSTSRLREFAHARRRAMDRFVSRMRAEAAASPDGASVWVLRNARFAVFCILLDMTFGLLDLHEEHIVHIDAVMKRVLLAVGVRMDDYLPFLRPFFWRHQRRALAVRREQVDTLLPLISRRRAILRDMKSSSPPDPNVAAPFSYLDSVLDLHIEGRDGAPTDDELVTLCAELINGGTDTTATAIEWGMARIVDNPSIQARLHEEIMQQVGDARPVDDKDTDAMPYLQAFVKELLRKHPPTYFSLTHAAVQPGSKLAGYDVPVDANLDIFLPTISEDPKLWDRPMEFDPDRFVSGGEMGDMTGSGGIRMIPFGAGRRICPGLAMGTTHIALMVARMVQAFEWRAHPSQPPLDFKDKVEFTVVMDRPLLAAVKPRNLSF >Sspon.06G0024200-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:52392251:52396079:1 gene:Sspon.06G0024200-1B transcript:Sspon.06G0024200-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding PRPRAHARCSTEVGPATHAERREAAAAVDVAEERGGGAPRWSPAAGTPAAAGGPRPARSRDAARERGLLRHARHAADERRARVTPPSTGGCSSRPPRPPPSLDSPYVRAKQAQAIRSFRDRCPYEAQESLDNILLDLYKKCGRTDEQIEMLTIKLQIVDEELASGRWKTKLSKSHGRVVYLSLRDEKARLLGNLAWAYMQSENYEEAEMLYRQALAIEADYNKECNLAICLMKTGKLAEAKYLIQAIPYNCDDESHVKSLSRATEMLRELDLQSLPSPITQAKSKESRIFVADDVEMLVDLQPQTLSTPLSELKYKEPHISISQNAEKHENCNSGLPSPITQLRHEAMVTAGAEKNEGFAEFQDLSRLFNDAATPHSILEKLRKRLVKEAPKISIHDQIQTPTPTECLPNSERNLDASETPMLEGKLLTKGVRKTWADMVDEEEKQLGDDNPWADMVAKDEQQLGDGKSTLGVGTTEQNGSGKHASKLEYRTPLSCQESRAHQRPVMGGQLQGSSAGSWRQNDSKISMDKNVNRDLVRTASTWSKHKVQDHNNRVWQRLDTVHPHERASGTKQVPRRSNTSQRALFPDWKSKGEGYGHGCVLFDDNEHTQCSSHIEATHRWHNNEASTGSWRPQNRLQVFQEITNEINQNVV >Sspon.05G0025030-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:27738587:27739612:1 gene:Sspon.05G0025030-3D transcript:Sspon.05G0025030-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVADDLRSLATVAAAVIACMGYARFAARRLRPGLPRFAAFLPVIAVLPFLPLAFRALHPRAISGFFLAWAAEFKLLLLACGQGPLQPTLPLPTFVAIATFPVTLQDPKSSASRPGLGLVESAVMAALLAAIVSLYQCEERMNGYILLTLYSVHVYLALELVLAAAAAASRALVGLELEPQFDRPYLSASLRDFWGRRWNLSVPALLRQCVFRPVRARLGAPAGVLAAFVVSGVMHEAMFSYITLLPPTGEAAAFFTLHGACAVAEGWWAAHEGWPRPPRALATPLTLAFVGVTGFWLFFPPITRPGADKQVIAESEAMVAFLRNAAGLAVDSAWSILSSRS >Sspon.04G0016690-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:12018493:12022181:-1 gene:Sspon.04G0016690-2P transcript:Sspon.04G0016690-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIIYMHSVISGQYATTMTAPFGMFAWSGVFLATAGLVMFYKCSRKDPGYININTRGSQNQRDDEPLLKMELENPALLSGNWSQLCITCKKNKWEFFMFLTLEVFAMIITGSAAIIILTVVQASQIARNITTNEMANSMRYAYLRGPGGRFRNPYDHGIRKNCSDFLLNGYNEDTERLDQTLHTDEEMGMIQMTSAVSQNGDNHLHHANGTDHSCADSQANSKPHSQVGSSQCCDHSKKTDRTPLGLGLGLGRNSASRQYVRSLIPL >Sspon.03G0026960-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:4541599:4542757:1 gene:Sspon.03G0026960-1P transcript:Sspon.03G0026960-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLPSLVHCFKLLVLLALARLTMHVPDEDVLSSLGALRLDGHFSFHDVSAMARDFGNQCSFLPAAVLHPGSVSDIATTVRHVFSLGEGSPLTVAARGHGHSLMGQSQAAQGIVVRMESLQGARLQVHGGVSPFVDAPGGELWINVLRETLKHGLAPRSWTDYLHLTVGGTLSNAGVSGQAFRHGPQVSNVNQLEIVTGRGDVVTCSPEDNSDLFYGALGGLGQFGIITRARIALEPAPKMVRWIRVLYSDFESFTEDQEMLIMAENSFDYIEGFVIINRTGILNNWRVSFKPQDPVQASHFQSDGRVLYCLELTKNFNSDDADIMEQ >Sspon.04G0001740-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:6925312:6931219:1 gene:Sspon.04G0001740-4D transcript:Sspon.04G0001740-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKAGKAKPKAKGDKKKKEEKGHINGQDPRHPEAPRRPRGHLPPHQLLPVHEVRGAQLKDTVEVASLKPCHLSIVEEDYTEELAVAHVRRLLDIVACTTAFGAKKPEQKPASPDAAAAESVKTGSPGKTAPGGGEEPMYPPPKLEQFYDFFTFSHLTPPLHYIRRSSRPFVDDKREDDFFQIDVRVCNGKPVTIVASQEGFYPAGKRALISRSLVGLLQQTSRAFDGAYKALMKAFVEHNKDTATLGVVIVKHCGYTAVVQVPVGTELITASVAQQEIHIEDQPEGGSNALNVNSLRMLLHKSCAQVPGVQRLQTSDPQDNETTQTFVRKILTDSLQKLESESPIVTRPIRWELGACWVQHLQNPTSEKTETKKSDETKDVPTVKGLGKQFGQLKEIKKKTDDKGGKSTYVKENTSPNTDNGHTDNTASIKDDKDVVLQRLLPEAAFQRLKESETGLHAKSLDELIEMSHKYYDDTAAQIGRRFWLT >Sspon.06G0009320-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:49852599:49856791:-1 gene:Sspon.06G0009320-1A transcript:Sspon.06G0009320-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVLVNVPTEWKDGRQVGESGNWLKEQLSRFCPQKVSPLWNHRGHTGNAIVEFAKDWTGFNNALAFENHFEAEGYGKRDWKLKNFRGPEMFGWVARADDHRCQGPVVAYLRKNGDLKTAGDLESEGAHKTDKLVANLASQIEVKNRHVEELKSKYNQTTASLDMMMEQREKILQKYNEEIHKMQQDSRRYSQKVFDENKKLRKELESRMHELDLRSKHLDDIASQSDSDIRNLQQEKEKNKSENKHLKMATMEQQKADENVLKLVEEHKREKQAAHERILKLQQDLDAKQKLELEIQTLKGKREVMKHMLGEQDSESKKKMDELTEELKEKVAESEDLEALNKTLVIKERESKDELQHAWKELIDGFQVLVSSGQANISTKRMGELDPKAFRKACRKRLSKEDAEVTSALLCSKLDAEIRNPKWYPFIKVKVVDGKETEFLNEDDEMLRKLKEEHGEEVYGLVAKALLEINEYNPIHRYPVQVLWNKKEGRRATLKEGIQYVIKQLKTRKRKR >Sspon.03G0013870-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3A:40264228:40264566:-1 gene:Sspon.03G0013870-1A transcript:Sspon.03G0013870-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLDAREGRPEGVRDAIVRLAAERPGDTTLCLFTAAFCRVWGHHEEGPSGCTIPPCPTSPASFVLAVHVATIGSAPRPVAGSEELVLVTTLGLHEMSMWYIFTHGDLPGRLQ >Sspon.02G0024100-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:82577588:82578079:-1 gene:Sspon.02G0024100-1A transcript:Sspon.02G0024100-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRMEVQQAESGAGAGGMADAAAEADAMAMAVSGAPHSHHPHHAAPPLPPSALAVYERVARMASGNAVVVFSASGCCMCHVVKRLLLGLGVGPTVYELDQMAGIAGGGREIQAALAQLLPPGQPPVPVVFVGGRLLGGVEKVMACHINGTLVPLLKQAGALWL >Sspon.04G0023220-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:202312:204841:1 gene:Sspon.04G0023220-2D transcript:Sspon.04G0023220-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSPPPPSSAGGAQYAQQFLNTALSQRGPSALPYAEDVKWLIRNHLVALAEAFPSLHPKAALFTHNDGRAAHLLQADGTIPIHHAGACYNLPAVIWLPEPYPRSPPLVFLSPTRDMVIKPHHRLVDSSGLVANAPYLRSWVFPSSNLVDLVRSLSHLFGLDPPLFTKNPPAAAPVPPPNPSPTPTPTLPPRVAPSPSPSPSYRLGGFPASPQLAPRPPPTEDPAEVFKRNAVTKLVDMAYADAAALRTAREAEVDALFAVQAELRHRGDIVAQGVRKMGEEKEALERHLQDVMMATDVMEAWVRDNNRRGSNQATEDAIEPADVLSRQMIECTAADLALEDTIYALDKAIQEGSVPFDGYLRGVRALSREQFFQRALSAKVHSAQQQAKVASMAARALQSPWKDRMLL >Sspon.07G0022770-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:10413787:10415067:1 gene:Sspon.07G0022770-1B transcript:Sspon.07G0022770-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRHAHHDPAPPACCSCCCGCAGAAPCYYPAPAPAPPTSAASDQLLHAIAAHLLLSSPAPAQPQPQPQPPPPPAAQHATNPYPYPHPQQYQYQYQQQEAKPHAYTHPPPPQQLKPNPSGDHGHLLLHSLLRRVAAIESALPRCFPAPPPARRPPHPNSRPRRAARYQEEEEEEQEVEEGDESEPESPPSPPRPRRPARTGPLPSAASDRAARTIQVHFRRFLARRSRTLRQLKELAMLRSKAAAIRGSLSGRRGGADPAAVSEAAMGLLLRLDAIQGGDPMIREGKRAVSRELTRILEFVDKVLIKEHEQMVMADKYHDGCNAALVAGRPSVSKKVHTLNGKTENGNEVDEGSEGSSSAESDEVKPSKRSAYGKPGLAAPMPVHMESRPVA >Sspon.05G0007550-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:15273213:15276424:-1 gene:Sspon.05G0007550-3C transcript:Sspon.05G0007550-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MACYSAVLQHPLGSSLTPCSASRAPPRLQRVGVATPLVASARPVARVRIAPRCAYSGATPGPGELPAAALRRVLEAPGAHQAPACYDALSARLVERAGFRACFTSGFSISAARLGLPDVGLISYGEMIDQGRLITEAVSIPVIGDADNGYGNCMNVKRTVKGFINAGFAGIILEDQVSPKACGHTQGRKVVSREEAIMHIKAAVDARNESGSDIVIVARTDSRQALSLDEALWRVRAFADAGADVLFIDALASREEMKAFCAIAPGVPKMANMLEGGGKTPILSPVELEEIGYKIIAYPLSLIGVSMRAMEDALIAIKGGRIPPPSSLPSFEEIKNTLGFNHYYEEDKRYAVTPAQSFYETGYDNYTSKPKSPGDSRSRAEKPQEPVIDILPQLYDIGSSGSRGPSTGMWSRTLRLKITGRDGVQKIDARIPAGFLEGMTRIIPGLAGANIMERLRNAPIDTDNPQNGQILLDFEDAMGDRIQVFIA >Sspon.02G0055000-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:123003943:123009523:-1 gene:Sspon.02G0055000-1C transcript:Sspon.02G0055000-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELMATMVVGPLVSMVKDKASSYLLDQYDVMEGMEEQHETLKRKLPAILDVINDAEEQAAAHREGAKAWLEALRKVAYQANDVFDEFKYEALRREAKKKGHYKKLGFDVIKLFPTHNRVVFRYRMGNKLRMILNAIEVLITEMHAFRFKFRPQPPMPKSWRQTESDIIDLKEIASQSRHKEKEEVINKLIGDKASNLQLTVLPIVGMGGLGKTTLAQLVYNDPQIKKHFQLQFWVCVSDNFEVNLLANSIVEAKAEEKSNSNTSGKSPLDKLKEVVSGKRYLLVLDDVWNRDAKKWGKLRSYLQHGSSGSTVLTTTQTILNGCLEKGSMAVQTLICNGDAYEDPKHLSKHRSIRALRILRGSFLKPKYLHHLRYLDLSSSDIEALPEDISILYNLHTLDLSSCSNLNRLPKQMKYMTALRHLYIHGCGTGSGCSNVRELRQLDQLGGPLELRQLENVTESDARAADLGNKKELTRLTLTWTSSLEEEQHNSKVLKALKPDDGLKVLGIYGYRGGTYPTWINTLQQMVKLTLSGCKNLKELPPLWQLAALKVLSLAGLESLNCLCSGDAAVTPFKELKELSLHRMPNFETWWVNEVQGEESIFPQVEKLSIYDCKRLTALPKASMIKEPLPPCGVINTRWEAVQGEEVTFPRLEKLVIQSCPELTSLPEAPNLSELVIQHGSQQMLVQVASCIVTASSLSKLFLWINDDREATWLDGNSLIQLVDGEEKQNQNKSPSPLTVMWLSGCNVFFSHSSALALWACLVKLEDLKIWDCETLVHWPEEVFQSLESLRSLTICNCNNLTGRRRASSEQSTPERSSVLLPRLESLYTRVCMFGRGPHQCPTGISQLEAIAFSKQLDTASAMLASAQGVAAAHDEDGKSALIAGSCSCSDATASTPNIYAYNRCDGLSEVLDLPPSIKTLEIRWCSNLQALSGQLDAVQKLSIEDCSSLKSLESLLGELALLEKLYLYDCKSLVSLPSGPQAYSSLRRLTIMSCPGIKLLPQSLQQRLGDLKDEDKDLDAHHYHVPQANTELISQVEGTSQQDGYIWLRFLGFGLAVVMANRAVAPVDGTAMFAGPQITPIWLILPLGALCQFLIVPNVSMRVPGSVFAREQGRSRSVK >Sspon.04G0011200-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:34402784:34406027:1 gene:Sspon.04G0011200-1A transcript:Sspon.04G0011200-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHTSEEESLNNFQQQAKLEACPAGSSKADTAMPVVKKRRGHPGILDADVEVVALSPKTLLATNRYICEVCHKGFQRDQNLQLHRRGHNLPWKLKQRSSTEAKKKVYVCPEVTCPHHDGSRALGDLTGIKKHYSRKHGEKKWKCDRCSKKYAVQSDWKAHTKICGTKEYRCDCGTIFSSMLKQISLPIPVDVKLYQQLKQCNVEFLDILEHCWEYYILVFISPTSTLKNLPSNSIVYICRKDSFITHRAFCDALAEDNSKANHSLATMVGNLHGHQHDIFSHGVTAFPTSPTDCVELPLYVHEFSIHVCYRTASESCSDGSKTSQDPISPLLLKSFPSNVTTPSPRDHMDISSGSQGDSLGNSATNSIGIKAAEDEGSYMSGRGNILMSTPWVNSYRPTTVPLIGLMNNPFGMRAEKESSGIFSGSQMQHNRQENISGVGDAGLTQDFLGLGGNGNLEMSSETYNADVTALSYSDEQQKSQEHIYSYHQSSLDSIALEKPIWES >Sspon.02G0008630-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2A:25006998:25007351:-1 gene:Sspon.02G0008630-1A transcript:Sspon.02G0008630-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MISAKRIAHLAKKWQRMAAQGRKRLTWAAAAKETDECCSSVASKGHCTVYTANGARFEVPLAFLSTAAFGELLHVTGRVRLHGRRWQDHAPLRRGGHGVCHVFAQERHLCRTRAGFP >Sspon.02G0000600-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:22943353:22949519:1 gene:Sspon.02G0000600-2B transcript:Sspon.02G0000600-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPHGPEEDADAHLFAGVRFVLHGFDQVSASQYRLEIERCGGVHVGGWDGDCTHVIVSNTLYVSPIPLLNAMRFSRKAGKKVVVDQWVEDSIQLGELADADRVLYAPVRDFKGIPGCDKLHICLTGYQKNWRDDIMKMVSLMGANFSKSLAANIITHLICYKFEGDKYELAKQVNIKLVNHRWLEECLKAWEILPIDHYTKSGWEVEIMEAQAKDSEYEAEDAGRGSSSSRRIGRRTPIREIRSKSHVDSAVHAPSGGPTISASNVVGATGKHLGTPEQIMNADDTSTKSPDIRADSGSALDTKCAATSIDHGAIKSTHSRIYHSENDEATADQASRDEAKDDHRRELDTRASTLNTPSVHKSIALTIPADNIENTDGNCFHCSSQINVNNDLQSISSEENFSKKILHSKDLSRKVDQKDDGHVPDPKPNISQSSVEENLNTCGFNPRLEGNSASRNDQTLGYSRRRSSKSVSPKVTKHVDRSGGGLAHRRKSILSSVSSKAPNEAPDSGSGISSSPFSGKESALEAAASNVGRSPTESTKVDGHVNSGPTTNSTEKQMSGCKGHLLSYRRTSLKRVSSAEVEKLPANSANDKNMGAPNVVKTPALHEATTEERCNISPSVSSEVRKESLGVHQNRDAEMTDAQRVSKIEAAAPCSKPDKEVSCQNLGEDPKDVPVNKITDEHGTFPSKVSTSRVRKAGSKQSHNADSKAAGEFINSKSEVTPSKTNHGKVASHENVEAQQREGCCSPNAAENTPSSLAEALNTKSRNEVLTSSQGPNRKTNESLVASKAESVNMTLQRNKKGNRRKLSNTSSADENQRSSSRTVPNSKSSNLVAKGSWTADVNISGSPTVDETETLPSNSSFNEAVPPGNGDENHKRLSSSASADDPETCTANKAPNNRIRKVVAKRKLSAVQNHKSGSEPCKTAKVLVSEDKVVSPARVVQSSRNANKVTVDKDLQNTNEGRTNDTVGSFCKDATEERSKIMQSSKTRSNRRQKVADLVDGSTDHDKENIPVSSNFTSNTKCGKNSKSSKSITKALQSSKAVLDENGMIKRNDYGTLNVPEPTWFILSGHRLLRKEYRTILRRLRGRVCRDSHHWSFQATHFVTTELRRTEKFFAAAAAGRWILKPDYLTACNEAGKFLEEEPFEWHGQGLNIGDTISLDAPRKWRQLKQRTGYGAFYGMQVIIYGECIAPTLDTLKRTIRSGDGTILATSPPYTRFLKSSVDFAVVSAGMPSVDAWVQEFMRHNIPCISADYLVEYVCKPGHPLSKHVLFNMHDLAERSLQKLLKNQEDAIAMDAEPESCSACGSNNREGLMLMCGAAMGIGPVVESGCMPIAATLPWKAVRVVTGCATGATSRNRQRRLRRPLPNQGAETKMIL >Sspon.03G0029040-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:20037962:20041683:1 gene:Sspon.03G0029040-2C transcript:Sspon.03G0029040-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADTASSSSAAGPSAVDGASLAAVAEAAPPEEMTLVVKWRGQEQTVRMVGDDTLGELKLRICEVTGVLPKRQTLLYPKLILKDIDDSTLLSSIPFKPNGKISMIGTIEEEIFVGQEDDPELLDDFDFEQNEATAIKDKDVYKQKLKRRASQYRIKLLNPCRKGKKLLVLDIDYTLFDHKSTAENPMELMRPYLHQFLTAAYSKYDIMIWSATSMKWVELKMEQLGILSNPDYKITALMDHLAMITVQSENQSRKKTFDCKPLGVIWAQFPEYYNEKNTIMFDDLRRNFIMNPQNGLVIKPFRKAHSNRHDDHELVKLTHYLLSIGDLEDLSKLDHGKWESFVDESVKRRKRS >Sspon.06G0003360-1T-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6B:8483890:8484303:-1 gene:Sspon.06G0003360-1T transcript:Sspon.06G0003360-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRLDLLPQPVVEEEEEVDMEAEEEMCDLVTRRTQKVLEWVVTERLAGRVTTDTTTACCWAAVMATAGPQKRRAGPPPSLRVLRSDKVKEEKVVEEMAQPQPPVKCVGREEEAPDATAAAQIGEEEDGRESKQQSS >Sspon.07G0023210-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:13599328:13603498:1 gene:Sspon.07G0023210-3D transcript:Sspon.07G0023210-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFGTARQYDTTDPFFTDNWLYDNHLFHSKPESDGSEGEDKFIVGPDVSLQRSEMRELGDGCGRRHVHGDCNADSDGCTEGNACSSSPCGCCCYNEELVGDSCSAVYGRYQIMDDMEMLHECVAEAFRFRLNAFDASDLLVDFKKGLDDGLDLSALEKELQMLSPYLVDADALENTGLENDLIGNDDLDVCMVTNEENADGKEFLKDSYCIHPFPESAVPCDVYGVEDFKTADIDTQNSTTHKFQEDPKVDPVLSKFQQEYEVFDLKIFHRKNRTGFEENKEFPIVMDSVIAGRYRVTEYLGSAAFSKVVRAHDLRTGVDVCLKIITNDKDFFDQSLDEIKLLKFVNKYDPDDDHHILRLYDYFYYQLFLNLQNADSETIRQEHLFIVTELLRANLYEFQKYNQESGDEVYFSLPRIQAIARQCLEALVYLHHLNIVHCDLKPENILLKSYSRCEIKVIDLGSSCFLSDNLNLYVQSRSYRSPEVILGLPYDQKIDIWSLGCILAELYTGEVLFPNESVPIILARMIGTIGPIDMEMLASGQETQKYFTDDYDLFHKNEHRVLIFPIPFPAKK >Sspon.04G0009710-5P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:30679212:30680912:1 gene:Sspon.04G0009710-5P transcript:Sspon.04G0009710-5P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQELHRPMELPPGFRFHPTDEELITHYLARKVADARFAALAVGEADLNKCEPWDLPSLAKMGEKEWYFFCLKDRKYPTGLRTNRATEAGYWKATGKDKDIFRQGNGKALVGSKKTLVFYTGRAPKGEKSGWVMHEYRLHGKLHGAVVPTKAAAGSKNEWVLCRVFKKSLVVGGAAPAAGKRGGMDMSEMADDVAAISHLPPLMDVSGAAVANSAVAAHVTCFSDALEGHQFFNQQTLPPEAATDHPGLAAASSSFLLSGFAHYGPLHHGGASLVQLLEGSVYGSGIPDMSNDKQQQPVLAPPCKGGRERERLSASQDTGLTSDVNPEISSSSGAQRFDHDHFCWGY >Sspon.02G0000760-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:3315565:3316700:1 gene:Sspon.02G0000760-2B transcript:Sspon.02G0000760-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding KKMAARDHRELSSDEDSAAAAAGDLRRGPWTVEEDMLLVNYVAAHGEGRWNALARFPGLRRTGKSCRLRWLNYLRPDLRRGNITAQEQLLILELHSRWGNRWSKIAQHLPGRTDNEIKNYWRTRVQKHARQLNCDVNSQQFKDLMRYIWMPRLLERIGSGDGSDDSGDGAVVIDAVAHDDATMTPTPTPPALLTAAAWRVDDVELSCTTAVSSSSVSTDSMHHHHHQLVVVSTPPPPAVASAAFGESNNDASYYGIDNSNAAMSMWDSLCQPPHSTTAQLASAGTCGWSDESLLVVPSGLPGGGGGVYADMGFPDLCDTDTTWTAGVSGADDLWYTQIMG >Sspon.05G0006460-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5A:20556703:20557913:-1 gene:Sspon.05G0006460-1A transcript:Sspon.05G0006460-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDASSWGAPASRVPVDGTGGALVEVTIGAEGAEADKLLSPEFQPSIEQLIRYLPSNRQILMFSATFPVTVKEFKDKYLPKPYVINLMDELTLKGITQFYAFVEERQKVHCLNTLFSKKITELGYSCFYIHAKMLQDHRNRVFHDFRNGACRNLVCT >Sspon.03G0016470-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3A:52177839:52178225:1 gene:Sspon.03G0016470-1A transcript:Sspon.03G0016470-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCASCRAPWPCLAPHAPARASRCALPHLVPWALAPHNSHFPQKNSCLTQSHGPTLRHAAPRLPASCHAPLNLAPRMVALDPPRAACTPRLASRAMHPTHALCRPRLAPHAPLVPRAALPCAASTSLH >Sspon.01G0056800-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:93282069:93282407:-1 gene:Sspon.01G0056800-1C transcript:Sspon.01G0056800-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSAHADAITAATSATTSRGASRVTSCAASSTVITAYAAIGRHPSTGGPDAWVRVFLVPVNDKRAARICRGLTDGRPIAFLVRNHQRHGSCERALQSGRQAGASYSFCLGS >Sspon.01G0005380-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1B:12805573:12805922:1 gene:Sspon.01G0005380-2B transcript:Sspon.01G0005380-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPITVRTTPVPAARAVAPWALWLATHRDGLAGRARALNLTHRLQSCYSLASSSTALCRVAPYPGDRIATVRAAVCAQAAPGQDQEALRRRVQPEQQGVRESARAGGRGPGSPRPVA >Sspon.05G0009660-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:19124490:19125497:1 gene:Sspon.05G0009660-3C transcript:Sspon.05G0009660-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEAIRGSASTLLHPQATGTASLWLFNPCQLSPSPVHHTRRGGPSLSTALEKPPSSPESRGTTPMAPSKLAVVIALVASLLLLTNSNTKAAPGGYPPAPPLGPPPHQIVDPAKGQPLFCVMIFVCSLASPDPLLKFSSVLRRPAGRMQTAGARATCEPVHPGVPQVLQRLPLRAGGHGRQPADVRQVLHRLDHARQQDQVPVTPAWGWQRQLSSWAHHWRHVLYDPTPSS >Sspon.05G0005960-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:12953137:12966682:1 gene:Sspon.05G0005960-2B transcript:Sspon.05G0005960-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPAVAAASPGLSHLPRYHRLGHRFHLRRHRLLPATPARPSCGATRARRLLLAGAFASGCGEGPSGQDVDYSVGATNSGSAYLGLFVRLLGLDNDARDREHAVCTLYQYSLGGRKSIDEIMQFPGCIVLIISLLKSESTPACEAAAGLLRNVTSVHIYRKMAGESGAMEEIISLLCKSTITPEILEQCLCTIWNFSIDENWRYKILRSDVLMKIVSYLDEEDIKVKEAAGGIISNLALSPSNHGALVEAGVIPKLVRLLQTKEDDYKIIRKEAKSTLIQLASDDCYHSLIIEEGLVRVPLVGSAAYKAFKPLPHSWPSFPDGSEIQRSSRPSKYGATELLLGLSVNENDTKPDEAKINAMIGRSNQQFLARVGAIELDDQGKEESGSEVNNLYTILPWVDGVARLVLILGLEDVSAIKKAARAMGDASINEHMRTSFKEAGAIKPLLQLLKHKDVHVREAGAYALEKLSVSATVCRNIKTEGGLELLVNVVKDPDTPVELLEKIIYILSRMSDMGICMVAAPDTEGDTDSGNSSIPQTSVNQDMASELIFDFDAISRLTKILKEVSPRLQAKVCCVLDHVAASEQHATAMTAACTGSVIETILEIGVIHGTRSDSENFDETPSVVIEELSEAVSSAVRLLTKLLNFDLFIRSVNSEKITSLLRRMLKSSFPLQSKDCLAACLIKLESRAGLSGDHDLSSIDMEIAIYQTIPRLIEQMMNSLSFENKRSAVIELNKIISGGVLEYTRALAEAGGIFPLVKMLEEGDGDALEATLAILYNLSMDPENHPAIIAAGAVPLLKRIVLTEGPQWSSAIQLLRTLPE >Sspon.02G0003410-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:11213458:11226130:-1 gene:Sspon.02G0003410-1A transcript:Sspon.02G0003410-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exportin-T [Source:Projected from Arabidopsis thaliana (AT1G72560) UniProtKB/Swiss-Prot;Acc:Q7PC79] MDDLEQAILLASDSPAAAAASASVRAEALAFCDRARNESPPSSLLRLCLSGIASSPHAQVHFWCLQSLHDALLRRRIVLPDDLALLRSSLLSLAVSSHAASPPFLRNKLAQLVALLVRLDYPHVYPSYFLDLLPPAPPQPGPTDMFARVLISLDDDLLSQEYPRSSDEAADAMRVKDSMRAQCVSQIASHWHTAAVTLRTADPAAAAVALEAARRCISWIDVGLVANDVFVPLLFDIAMSPGSAPPLAAAAVGCLSAVASKRMDSRAKVGLLRSLLAAQQGLGSPDSGLKMAPLVTAYAAEALECHRRLGPSDADGAAALEMLEEVLPAVFAAAESGNDEDVDSGSVLEFLSGYVSIMKAPSEKQLGHLGRILEVVRVQMSFDPVYRGHLDVLDKIGKEEEDLMAERRKDLIVLFRSICRVAPAAAQLFIRGLIVTALSSAEASVEDVEVALTLFFRLGEAVGEEEIRTGAGLLGELVPMLLSARFSCHAHRLVALVYLETVTRYMKFMQEHVQYVPHLLGVFLDNRGIHHQNAHVSRRAGYLFMRAVKSLKAKLVPYLDTILQSLQDVLSQFTSMDWANKDTKFPSSEDGSQIFEVNLPVRLFVYVLFSGNTNLDQSLMAVGLLIGIEEVSPEKQAQCLTALLNPLCHQIESLVMDAKARGLEESSPRAISLQQIIVALNMVSKGFNERLVMVNRPAIGVMFKKTLDVVLQVLVSFPSVRPLRSKVISFLHRMIEILGISVLPCIPIALRQLLLDNEAKDMVEFLVLVNQIICKFKSSASAILEEVFPTIASHLSVILSQDAFSTGPASNTEEMRELQELQRTLYTFLHAMATHDLSTVLLTPSSRQYLETIMQLLLFTSCKHKDILLRKIFVNLVKDWCSNSEDKLPGFRVFMIEKFATNCCLYSVLDKSFDLRDANSLVLFGEIVLAQKIMYERFGEDFIVNFVAKGLPEVHCPPDLAEQYYQKLKGNDIKAFRSFYQSLVEKIRPQGNGSLASCAINVHRATISEIG >Sspon.04G0009860-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:24810659:24816248:-1 gene:Sspon.04G0009860-2B transcript:Sspon.04G0009860-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVASAAAAAAASLVAPSSLSTTSASAASAPGPRLLHTRASAGDHGACLVRAVLEDRAPPPAEEDAKRYGLNGNGSGLGYDDAAVQAYLGSNGNGDGSASGDGAAVTQKPAAPASSVAVAPVPVPPAEDERRRKERVEEIGREDAWFKQSSGEVSVAPGGRWNRFKTYSTVQRTLEIWGFVFTFIFKAWLNNQKFTYRGGMTEEKKIMRRKVLAKWLKESLLRLGPTFIKIGQQFSTRVDILPQEYVDQLSELQDQVPPFPSETAVKIVEEELGASVNEIFDRFDFEPIAAASLGSSGTSEWPRGCDQSATAWSEGAVIAEYLQKVDPKSDGAKRDWVAIYDECASVLYQEIDYTKEAFNAEKFAENFKKLEYVKVPEIYWEYTTPQVLTMEYVPGIKINRIKQLDKLGVDRKRLGRYAVESYLEQILSHGFFHADPHPGNIAVDDVNGGRLIFYDFGMMGSISQNIRGGLLEVFYGVYEKDPDKVLKAMVQMGVLVPTGDMTAVRRTAQFFLNSFEERLAAQRKEREMATAELGFKKQLTKEEKFEKRKQRLAAIGEDLLAIAADQPFRFPATFTFVVRAFSVLDGIGKGLDPRFDITEIAKPYAKELLRFNEAGVEDAKKRWERQSRAFYNLFRQPDRIEKLAQIIERLEQGELKLRVRTLESERAFQRVAAVQKTIGY >Sspon.02G0001520-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:5974855:5975671:-1 gene:Sspon.02G0001520-3C transcript:Sspon.02G0001520-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFFSNSGSKADSGGYNLNEKADDEGIYESVRDGNVDLNSRQWNLNEKAEDAYHSEAEQYEAGQSGFYSSENASGQHAQRAGTLSGGPWGTNFLKDSSSKQTAGEVPSNSGRGMDAASSHDDMDGSGEDDELNRANGEVPAEEMLSDDYYEQDGEEQIESLHRGGTKQSSCSTSEDDPADVDFEPDSETDKATDK >Sspon.02G0016610-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:46283770:46288129:-1 gene:Sspon.02G0016610-1A transcript:Sspon.02G0016610-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVKPEDMVHHQPMDQLQGFEYCIDSNPSWGEGIALGFQHYILSLGTAVMIPTLLVPLMGGNDDDKAKVVQTLLFVTGIKTLLQTLFGTRLPTIMGGSYAYVVPILSIIRDPSFAQIADGHTRFLQTMRAIQGSLIVSSSIQIILGYSQLWAICSRFFSPLGMVPVVALVGLGLFERGFPVVGKCVEIGLPMLILFVALSQYLKHVNIRHIPVLERFSLLMCIALVWVYAHILTASGAYKHTALVTQINCRTDRANLISSSQWISIPYPLQWGAPTFSADHAFSMMAAVMVSLIETTGAFKAAARLASATPPPAYVLSRGIGWQGIGTLLDGLFGTGTGSTVSVSMSKSMLNLCLQHSENVGLLGSTRVGSRRVIQISAGFMIFFSILGKFGALFASIPFTIFAAIYCVMFGIVAAVGLSFMQFTNMNSMRNLFIIGVSLFLGLSIPEYFSRYSTSSQQGPAHTKAGWFNDYINTIFSSPPTVALFVAVLLDNTLDVRDAARDRGMPWWARFRTFRGDSRNEEFYTLPFNLNRFFPPT >Sspon.04G0006060-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:16690796:16693470:-1 gene:Sspon.04G0006060-3C transcript:Sspon.04G0006060-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MWSWLTKIASACLGPVRSCARTRKDEDGGSDNGRGVADDLLWSRDLGRHAAGEFSFAVVQANEALEDHSQVETGSAATFVGVYDGHGGAEASRFISDHLFAHLIRLAQESGTISEDVVRSAVSATEEGFLTLVRRTCFIKPLIAAVGSCCLVGVIWRGTLYVANLGDSRAVIGCLGRSNKIVAEPLTRDHNASMEEVRQELISRHPDDSQIVVLKHGVWRIKGIIQVSRTIGDAYLKRREFALDPSITRFRLSEPLRRPVLTAEPYICTRVLNPQDKFIIFASDGLWEQLTNQQAVEIVHSNPRRGIAKRLVRAALKQAARKREMRYDDLRKVEKGVRRFFHDDITVVVVYIEHGLLQERDTSVPELSVRGFVDAVGPSSLSGITAIS >Sspon.03G0012340-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:50688432:50689060:1 gene:Sspon.03G0012340-3C transcript:Sspon.03G0012340-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASCKPKGAAKGGVAAAPEACGGVADIDPKVEWHDGANSYIIRLNLPGFKKEDFKVQVDSGGRLTVRGERPAGYVRFHKAFQLPQTANLEGVAGRFDGTVLSLTVPKQPVSGTDMVLARLIEAKECAAARAEATTWAEALGGRGQMVAAAVAGFALGAFLAHRLLSATNN >Sspon.01G0035180-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1B:8782209:8782780:-1 gene:Sspon.01G0035180-1B transcript:Sspon.01G0035180-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAYGLDVECPHIFDGTHFARWRNWMTCNFKFISPQLWWIVDVGFSFAIDKKNATQAQSKCLHLDSQATNVFYRSMDSSILCEIIDFESAHEIWVFLNEKYGAISNDDEPKEKAHEDVEHDHNKVVVEDCSTSRSSDDKNDHITRSLDKKNDNATSDASNDSTSCTLDGEDDGYESDAQQALQYHHMVTL >Sspon.04G0003170-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:47499350:47502121:1 gene:Sspon.04G0003170-1T transcript:Sspon.04G0003170-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNQDVVVPDMGIAAAAALPAPGRALFACRGAAGAAVSSLRGAYGGLGLPGGAAADGGEFLAAGARGAPAAAAAAANAPPSRTCTSRVVEAIRASSPTRCPAVDEYDAWTRKHPSALGSFDQIAAAAKGKRIVMFMDYDGTLSPIVTDPDMAFMTSEVTVRCLLCSLIDVAMKSNPLVPAASILLYRVINGCAQMRAAVRNAAKHFPTAIVTGRCIEKVCSFVGLPELYYAGSHGMDIKGPSSKEDNTVLLQPAREFLPVIDKAYRALEEKTKDTPGARVENNKFCLSVHFRCVDEKSWSSLADKVKAVLRDFPELKLTEGRKVLEIRPSIMWDKGKAVEFLLKSLGFDDRTNVLPVYIGDDRTDEDAFKVLRERGQGIGILVSKCPRETDASYSLQDPTEVMEFLVRLGQWKPLRSPSRHAQ >Sspon.01G0052070-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:54572349:54575464:-1 gene:Sspon.01G0052070-1P transcript:Sspon.01G0052070-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTAARRLRELQAQTGNKTCVDCAQRNPQWASVSYGVFMCLECSGKHRGLGVHISFVRSVTMDSWTEAQLRKMEAGGNDRLNAFLTARGVPKETPHVAKYNSNAAAAYRDRIAALAEGKPWTDPPVVKETPGSGAPAPARKPPVHTSSGGGGGWDDWDDDFRPDMRRNQSVGSFAAAGAQSGRQPPRSKSTQDMYTRQQLEASAANKEDFFARRMAENESKPEGIPPSQGGKYVGFGSSPAPSANRNGGAAQGDVLQVVSQGFGRLSLVAASAAQSAASVVQVGTKEIQSKMRDGGYDQKVNETVSVVANKTAEIGSRTWGIMRGVMALASQKVEEYAKEGGMSGWGEDWQRSEQNSEPYQRFEHETNSNSWNSSQNSSLKNNNSSSWDDWDDQCKKDEPAKPHQSSDSWAGWDDGKDDGFDSPSYNNHSTSNKGSNQNGTSGGTFWTEGGFR >Sspon.03G0033870-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:64931692:64944761:-1 gene:Sspon.03G0033870-1T transcript:Sspon.03G0033870-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLLCVPLVGRTVEEMIADAAAAAATGGDMVEIRLDFIQGFRPREHLPPLLRGCPLPALVTYRPVWEGGQYEGDDTTRFETLRLAMELGVDYVDIELKVADKFMSFISGHKPEKCKLIVSSHNYEYTPSCEEITNLVSRIQAVGADIVKVATTAKDIVDVSRMFQVMVHCQVPMIGLVMSERGLMSRVLAPKFGGYLTFGILNATKTSASGQPTVEDLLDIYNIKCIGPDTKVLGLIANPVKQSKSPILHNKCLQSIGYNAVYLPLLGDNLASFLETYSSPDFSGFSCSLPFKVDAVQCCDEHDPVAKSIGAINTIIRRPDGKLVGYNTDYIGAISAIEDGIGGPGSKDAASSPLAGRLIVVVGAGGAGKAIAYGAKEKGARVVIANRTY >Sspon.02G0018900-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:57338889:57343202:-1 gene:Sspon.02G0018900-4D transcript:Sspon.02G0018900-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Delta(14)-sterol reductase [Source:Projected from Arabidopsis thaliana (AT3G52940) UniProtKB/Swiss-Prot;Acc:Q9LDR4] MEAAAAVVPALVPSGSAVVVVLLAYLGYLAAAGAILPGKLVDGAILPDSSRLHYRCNGLLSLLLLLGLSAFGVYMGWMSPTVVADRGLELLSVTFIFSVIVSFALYFAGIKSRHKSSSLRPHVSGSFIQDWWLGVQLNPHFMGVDLKFFFVRAGMMAWLFINLSLFAKSYLAGSANLSWYIIDYFIHEEFMTSTWDIIAERLGFMLVFGDLVFIPFTFTIQGWWLLRNKVELSLLAGLANLCIFLIGYLVFRGANKQKHVFKKDPKAPIWGKPPKVVGGKLLASGYWGIARHCNYLGDLLLALSFSLPCGVSSVIPYFYPTYLLILLIWRERRDEARCSQKYREIWAEYCKLVPWRILPYVY >Sspon.04G0010260-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:31123171:31124182:1 gene:Sspon.04G0010260-3D transcript:Sspon.04G0010260-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MACPAQSMLSASGCIFLRSKPQAAAASHVRGGIIGGGCSSRPFLLTCNASSPPAPTQEDPDCNEEECAPEKEVGSLSAEWLAEERTQVVGTFPPKKRKWGYVEKDTAGQTNIYSVEPMVYVAESAISSGTAGTSADGAENTAAIAAGLVLITVAAASSILIQVNKSQPPVPPEAAYNGPPLSYYVAKFQPAVAQTLEAPAPAEATSTVEASAAPEAQ >Sspon.01G0017560-3C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1C:66526215:66528292:-1 gene:Sspon.01G0017560-3C transcript:Sspon.01G0017560-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSAAPVQPPPPRPVCFGILGCADIARKISRAMRMLPPGAATIAAVGSRSEDKARRFVAEIGFPAARVHGSYESLLGDPDVEAVYLPLPTSLHVPWATAVAARGKHLLLEKPTALCAAELDAILGACDAAGIQFMDTTMWIHNPRTAKMREIVSDKDAFGDVRVINSMCGFRASEEFLKNDIRVKPDLDALGVLGDVGWYCIRSILWAVDYEMPETVIAHRHPVKNQAGVLLACGATLYWADGKTANFNCSFLTNLAFDVTLYGTNGTLHVTDLVIPYEENSGEFSVASKSNFVKPTIGWDPLPRKHVVTTDLPQEALMVQEFTRLVRNIRDAGGRPEGKWPAITRKTQVVLDAVKASIDKGYEPIEVAS >Sspon.05G0003110-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:10688996:10690120:1 gene:Sspon.05G0003110-3D transcript:Sspon.05G0003110-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNNNSASSVNHGQRLSETWSRFVTGTVTATHTFEVTDFSLLDGMGIGKHVDSSTFSAGGHDWNIRVYPDGWKEEGKSVYVSVFLNVKRGAVGLRVKCTLNLLDKHGHVSKLPKDTQFIQTFEWANGGIWGWPKYMEKSKLQPLLLLNNDCLTIRCDLTVRQDSRAEIVGSIIVVPQPNLQQHFERMLKDGRGTDVTFSVAGELFRAHRCVLAARSPVFQAELFGPVKEQATHTRPIRIDDMEPAIFQALLHFIYTDAISDNASGNVAMQHLLVAADRHGLDRLKAICEDKLCHAIDVETVASTLTLAEQHNCVQLKDGCLRFIIASPDVLGAVMKTDGFKDLVASCPLVMKEILDKMAGVISSDKVVVSAETS >Sspon.02G0014890-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:42604673:42608854:1 gene:Sspon.02G0014890-3C transcript:Sspon.02G0014890-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAHHLPVVPHRLAPMRQISSSPPPPHPASRCSATGKLPVQLQAAPARAERASGFAARVAFNPSGNYDLSLSMGQDETPQVQPPPPPTEGRFEIIINNDTIRTLDLSLVQEALGDLSSLTAADSRTLLDRTVGFTINYEREDEYDTRELSEFPDIRLWFVRLDAAYPWFPVVLDWRAGELARYTAMLVPHQMSMRMGVVFNPEALELFVMKKVFAVETWLKQQNHPKPRLKTADMARMLGFGVGDELFELIEKYPVHRS >Sspon.01G0000720-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:17041599:17045563:1 gene:Sspon.01G0000720-3C transcript:Sspon.01G0000720-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTLTPTQRYAAGALLALALRQAQIHQSAPLGSADPGPDDEERASSASGSSSSTTSGSDTASGAGLWTHDSRGLLRPVFRCRVADSPPWPLASLTHLRSVPPVSCFRFLEIEPMAWAGMEETAASPDAKHHIGAFLRILFEEDGESSSDRLEQELALAKAVDVMVMSLGSDNVPDEKIKEDSKDSTACTSATKESLEAGSSENLLGIDKLSLEDVPANDHRKMALLYVLLSACVADKPVSQEEQDRKSSHFRKGYDARHRVALRLIAAWLDVKWIKMEAMEVMVACSAMAAAKEQEQERENASPKSKWEKWKRGGIIGAAALTGGALLAITGGLAAPAIAAGFGALAPTLGTLVPFIGASGFAAMAAAAGSVAGSVAVAASFGAAGAGLTGTKMARRIGKVKEFEFKPIGDNHNQGRLAVGILVSGFAFDEEDFWKPWEGWKDNLEKYILQWESKHIIAVSTAIQDWLTSRLAMELMKQGAMRTVLSGLLAAFAWPATLLAATDFIDSKWSVAIDRSDKAGKMLAEVLLKGLQGNRPVTLIGFSLGARVIFKCLQELALSNDNEGLVERVVLLGAPVSVKGERWEPARKMVAGRFVNVYSRDDWILGVTFRASLLTQGLAGIQAIDVPGVENVDVTELVDGHSSYLSAAQQILEHLELNTYYPVFVPLPEARK >Sspon.05G0018860-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:75499811:75505873:-1 gene:Sspon.05G0018860-4D transcript:Sspon.05G0018860-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSALLSDIGLNIREAHVFSTTDGYSLDVFVVDGWPIEETDGLHKALEASILRNEGSWSGSESSASERSVPFLAQDCETDIDTRLLKIVKKVASGSCGDMFLGTYSGEEVAVKVLNPENLNQNAWSEFKQEIYMLREVDHPNIVRFIGSCTKPPQFYIITECMSRGSLFDFLHNEHNVLDLPTILKFALDVCRGMSYLHQKGIIHRDLKSANLLLDKDHVVKVADFGLARFQDGGGAMTAETGTYRWMAPEVINHQPYDNKADVYSFALVLWELMTSKIPYNTMSPLQAAVGVRQGLRPQVPENAHPRLINLMQRCWEAIPSDRPSFAEIIPELEDIQAQAQVSPQSPRSQFQMDPTLVGSENMPCLTAVSLLQRTSGETSQKQKDDNPGS >Sspon.04G0010250-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:31138382:31143263:-1 gene:Sspon.04G0010250-4D transcript:Sspon.04G0010250-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLFDKKPFSLLEPPKDLDSKEKVFQIRFTKEIFRDYEMLLYFMRTFVSGTLGLHELINRIYASLLEEVFEGIELYANKDGAVAPCKILKILDSGGTKMCEVGWIRQGKVVTNTSVIKAADLFYRRAPVSRNTLKIFIRDATSQSNPWVIHENLAKIFGISMDPPDSVMKKGRKRQENGTIEDGRKKLKTDEEQAYVMIKYPIDDLLVRPNADDPALFKRPPLATDFRVPRCSVGDLLMVWDFCSSFGRALNLSPFPLTDLENAICHKESNVLLVEIHAAMFHLLMKDEGDYFTVLQNRKRKSKVSSVTWVEYLCDFLEMTKNEELSTNIATVRRGYYGLIDTDIKLKILRELVEEAIQTSAIREILSDRVDQKQVLNATKRENTRKDKQEQNLNTEIAMKKEENQTDAVQGGHEGVDELVRGKENDKSNISRSRTEGKQHLVRHLETEIEKLSIRSSPLGKDRQYNRYWFFKREGRLFVETADSREWGYYSTKEELDALMGSLNVKGIRERALKRQLEKFYSKISNALEKRSKDIANKMLLEEGVLRRSTRVRANPKDSPSMAFLKYVNKWKDN >Sspon.04G0003240-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:9777645:9781386:1 gene:Sspon.04G0003240-1A transcript:Sspon.04G0003240-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPPPPVPSHWIFLPRHGQSPPGFASSRARRKKRVGGGRGRGRSRILPRPRRLPPLERLAEGTMSSVSGTTNGHGKEAALYEEQLSKIGKVRTDLGQLSGKSALHCSDASIARYLIARNWDVKKATRMLKKTLKWRSEYKPDEIRWDDISDEAVTGKIYRTDYFDKIGRSILVMRPGCQNTKNPNGQVKYLVYCMENAILNLPHGQDQMVWLIDFAGNLSIQVTKMTADVLQGHYPERLGVAILYNAPKFFEPFWKMASPLLEKKTRNKVKFVYSDRPDTMKIMEELFNMDELECAFGGKNPATFNINDYAVRMREDDKKMPSFWSPENSALASEPYQMSSQKALESISGVKTEDAGSGKRENMETAPEKSEESDTESEKEEQTRTESSTVEQKTLPGEDNAPADKSGL >Sspon.08G0019230-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:13444507:13450133:1 gene:Sspon.08G0019230-2C transcript:Sspon.08G0019230-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATIQHKPTPTAPSTTTGGGLRAMDLYEKLEKVGEGTYGKVYKAREKATGRIVALKKTRLPEDDEGVPPTALREVSLLRMLSQDPHVVRLLDLKQGVNKEGQTILYLVFEYMDTDLKKFIRGYRANHEKIPAQTVKILMYQLCKGVAFVHGRGVLHRDLKPHNLLMDRKTMALKIADLGLSRAITVPMKKYTHEILTLWYRAPEVLLGATHYSTPVDIWSVGCIFAELVTNQPLFPGDSELQQLLHIFKLLGTPNEQMWPGVGKLLNWHVYPQWKPTKLSTLVPGLDSNGYDLLEVNPSTTTTPPHLYHAQVRLSREGEDRIRGRMATIQHKPTPTAPSTTTGGGLRAMDLYEKLEKVGEGTYGKVYKAREKATGRIVALKKTRLPEDDEGVPPTALREVSLLRMLSQDPHVVRLLDLKQGVNKEGQTILYLVFEYMDTDLKKFIRGYRANHEKIPAQTVKILMYQLCKGVAFVHGRGVLHRDLKPHNLLMDRKTMALKIADLGLSRAITVPMKKYTHEILTLWYRAPEVLLGATHYSTPVDIWSVGCIFGTISMSSEILCLMLMAYPSCSLDERLLGTPNEQMWPGVGKLPNWHVYPQWKPTKLSTLVPGLDADGYDLLEKMLAYEPAKRISAKKALEHPYFNGVNKE >Sspon.07G0034060-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:112720149:112724685:1 gene:Sspon.07G0034060-2D transcript:Sspon.07G0034060-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLLAASAMNHTAHPGLRSHIGPNNETSSRHLPSSSQNSRKRRSDLNLRSRAQRISSQLGSQKLSPWEIPRRDWFPSNFLFGAATSAYQIEGAWNEDGKGPSTWDHFCHNFPEWIVDRSNGDVATDSYHMYAEDVRLLKEMGMDAYRFSISWPRILPSIEPYVTIFHWDTPQALVDAYGGFLDERIIKDYTDFAKVCFENFGKKVKNWFTFNEPQTFCSVSYGTGALAPGRCSPGLSCAVPTGNSLTEPYIVAHNLLQAHAEAVHLYNKYHKDADGRIGLALNVFGRVPHTNTFLDQQAQERSMDNCLGWFLEPVVRGDYPFSMRASARDRVPYFKEKEQEKLVGSYDMIGINYYTSTFSKHIDISPKYRPVLNTDDAYAKQETIGPDGNVIGPPTGNEWINMYPKGLHDILMIMKNKYGNPPIYITENGMGDIDKGDVPKPVALEDHTRLDFIQRHLSVLKQSIDLGADVRGYFAWSLLDNFEWSSGYTERFGLVYVDRENGCERTMKRSARWLQDFNGAAKKVHQNNKILLTPAA >Sspon.08G0007660-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:25590424:25591251:1 gene:Sspon.08G0007660-1A transcript:Sspon.08G0007660-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALYDACWFRRLVLLPSPTPALAASAPRPALPSQQQAPPLEREVLDTHTPHAQQQPASSPTAGGGLRHRRTRSDEATAAAFQGLEPLRLPDSGHRARLETILSGKDGLVVAAPQPERRTRPELARRAAAGPGRRRLRRRGRSMSELEFEEVKGLQDLGFTFSDAEVDAELASIVPGLLRRKRSEEENSRATASAPAAATTSLARRAAEAVNDDDASAAGVAPAPRRPYLSEAWDDEEEAEVRRALRNWRIPPAGDGNQLKEHLRMWAHTVASAVR >Sspon.03G0012990-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:46170484:46176791:1 gene:Sspon.03G0012990-2B transcript:Sspon.03G0012990-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHHSEPLDPAAAADVPSDNNPELPCPPYHIVTKPGQLPVEFLEPSAAQKLVIGFDCEGVDLCRNGALCIMQLAFPDAVYLVDAIEGGKELIQACKPALESDHITKVIHDCKRDSEALYFQFGIKLHNVMDTQIAYSLIQEQEQEGKKKTSDDYNYISFVSLLADKRFCGILYPEKEEVRTLLRQDPNFWTIRPLSDMMVRAATDDVRFLLNIYEKMMEKLSKVSLWRLAVRSELYCRCFCLNDNQFADWSPLPPVPDDIEADVYVPEADILSVLDVPPGKMGRVIGRKGSTIMAVKESCNVEIHIGGAKGPPDRVFIIGPVKEVRKAEAIFRGRMLEF >Sspon.06G0034870-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:57402407:57404464:-1 gene:Sspon.06G0034870-1D transcript:Sspon.06G0034870-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATGSGPTSMAGGFFNPSCTSARANPARADQFYFSVRFRSSQVHPKLLTTQEYKDKFARTHVTALLPARTSAPLVSSQVDQPVNPMSCASLVVKLNESQLYAQLVKN >Sspon.05G0024420-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:12278965:12280609:-1 gene:Sspon.05G0024420-2C transcript:Sspon.05G0024420-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial intermembrane space import and assembly protein 40 homolog [Source:Projected from Arabidopsis thaliana (AT5G23395) UniProtKB/Swiss-Prot;Acc:Q8GYJ4] SFDEDDTAEVIDVKVQKALDCPCVADLKNGPCGGQFVDAFSCFLRSTEEEKVSDCVIPFITLQDCIKANPEAFSKEILEEEENDEEAEKSNLKVRAPSWSRESKPKV >Sspon.06G0008820-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:47333636:47345166:1 gene:Sspon.06G0008820-1A transcript:Sspon.06G0008820-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RCRRQCLRAQRRQSNACLSLRSLITASTGVWGSVSLSDPAPSPSVATTGPSASYPDGDQQNYSGYISVYLELLSKDTKVRGSCDLRLVDQTTGLSTSVHKTELRVFKSSDSSRFAPQAGSFMNRSQFEASSYLKDDHLTIQCITNVKRPQVSGPELLNEIEVPPSNIAELLGKLLDTGEGADVTFSVGGETFTAHKIVLAMRSPVLKAELFGQMKEATEQLVTIQDMQPDVFRALLHFIYTDSLPDMDDQDGKGNRDMIQHLLVAADRYAVDRMKLVCASILCKNLDVETVSTTLALAYQHSCDRLKDVCLEFITSSSDLMDSLVATQGYQNLKATCPSALVVTRLMPPLRRFCQFLPWSSPSMARHVPTPMTASTCTPETVQGRHLFEVTGYSKHRGMGHDKFIRSGTFSVGGHQWSIRFYPDGIIKDKDYISVYLELMKENFGAKVRASCDLRLVDLRTGLSASVHKTGPRMFNSSDVSKSQIRRRRLPTAHGSMMTTQVKTASTCPPPETAQGTHVFDILGYSKHRGMGADYFIRSGVFNVAGHQWVIFFYPDGYGEELAGGFDFVSAYLRLLSTSGKVRASCDLRLVNPATGVATSVHPTLVIMRELDPEKNK >Sspon.01G0015960-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:27148899:27152523:1 gene:Sspon.01G0015960-2B transcript:Sspon.01G0015960-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSFKARRSEPQLVSPARPTPCETKPLSDIDDQHLLRYYETVVGFFRNCPAGRTDRPADLKGTFKAALAEALVYYYPVAGRLREETGGKLVVDCTAEGVVFVEADADVRLQDFGEPLLPPYPCLDQLLCDPGDVKAVIGRPLLFMQVTELKCGGFVVGFHMCHNIADGFGMIQLMTSVTELMVKASPSLLPVWKRELLTTAHNTTPIPYPNPAYKPLLNSLDSTSDDVMLSIPPNRMVAQYFVFGQREVGALRSHIPGHLADSTTSFELLTAVMWRCRTIALGYEPSQRVRLMVTMNARGRWNRHTLIPWGYYGNAHVSPIVELTVDDLCRQPLADTVELVRETKLSVTKECLASMVDTTAYIRQWPPLTMDRTYEVSDTRWIAAGKGLQLGWADMWAVAYHSPATLLPSWEAIT >Sspon.05G0026440-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5B:35723750:35725555:1 gene:Sspon.05G0026440-1B transcript:Sspon.05G0026440-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHQTMCIPVIVFACVCVLLLVAAVSCVLAVRALRRAMALNADLVWQKEALWQAERKSMNKSNAFACSSHNIRSALSAIAGLVDMSRSESQSLPGIMENLDQMGVCTNKLFDILNSILDTSKVQSGKMQLEEAEYSMADVLQESVDMANRLKQILDNLLGNALKFTDEGHVVLRGWATWQIAGSSVSAPSRFAHPWHSGGGSLGCLFGAREDPGDQEHVQNDPNLVEFYFEVVDTGIGIPEEKRMSVFENYVQERLASRTKGPGKGEHAPEDKRGASVQLLQDIEGPSVPSGTLNRSNFIASAFQEARNFKGVHCVLYFHGYETRRILQTWMESIGVKVWLVPQAEFIGSTLEKVQSNSMATAAADCSGADWCFNSKEM >Sspon.01G0008880-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:25775176:25777710:1 gene:Sspon.01G0008880-3D transcript:Sspon.01G0008880-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAQAFAKGSVVAPCGNRAAPGLLGRRRGAVAARMAPSAVRIGGSWRKNAFPGGRLTLGLGTRRSRPASRSLFASPAQMNMNLAIGKSMRWWEKGLQPNMREIESAQDLVDSLTNAGDKLVIVDFFSPGCGGCRALHPKICQFAEQNPDVLFLQVNYEEHKSMCYSLHVHVLPFFRFYRGAQGRLCSFSCTNATIKKFKDALAKHKPDRCSLGPTRGLEESELLALAANKDLQFTYTREPELIPRGDAPGEVIAPEPAKLPAATKPLVRLGSEERSLVSSGR >Sspon.02G0000170-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:32193240:32194086:1 gene:Sspon.02G0000170-2C transcript:Sspon.02G0000170-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRGLQRVLRPATLGVVNGGFSSSSSAADSQRLAGKVAVITGGASGIGKATAAEFVRNGARVIIADVQDDLGRSVAADLGPDAARYVRCDVTDEAQVAAAVDLAVQLHGRLDVMFNNAGIGGDMALPPLGAIDLANFDRVMAVNIRGVLAGVKHAARVMVPCRAGSIICTASTTVVLGDMAAPLLHLQGGGPRPRVRRGRRDGAFRGPRQRHLSAHHPDAAGDGYHGAVVPDKGVEERRRIVKET >Sspon.01G0033150-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:112045054:112049530:-1 gene:Sspon.01G0033150-1A transcript:Sspon.01G0033150-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQAVEEWYRQMPIITRSYLTAAVVTTVGCTLDIISPYHLYLNPKLVVQHYEIWRLVTNFLYFRKMDLDFLFHMFFLARYCKLLEENSFRGRTADFFYMLLFGATVLTGIVLIGGMIPYISETFARILFLSNSLTFMMVYVWSKHNPFIHMSFLGLFTFTAAYLPWVLLGFSILVGSSTWVDLLGMIAGHVYYFLEDVYPRMTGRRPLKTPSFIKALFADDNVVVAQPPNAGIGAGARFGAVGLDPQAQ >Sspon.01G0007520-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:20788248:20792021:-1 gene:Sspon.01G0007520-1A transcript:Sspon.01G0007520-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGFRFALVRGARSRSRAELQTVQRRRPSDLVQQCFSHSASAPARPSFGIAFDIDGVILRGRSPIGGAPRAIRRLYSEEGTLKIPFLFLTNGVNISPTQVVHGHSPYRELVKRFEDDLIVAVGKGEPAVVMSEYGFRKVLSIDEYASYYKDIDPWLLSRLGNYMSAKVHPSYDVYSERVKGVFVVSDPVDWGRDLQVLCDILSTGGLPGTEKGDQPPLYFAADDLEYQAAFPSERLGMGAFRIALESIFNQINDNPLKYTSYGKPNPFVFKNAANILEKLVMSMYPNSQTSMEVKDYQFSTIYMVGDNPKVDINGAMKAGHPWSSVLTRTGVFRGKDNDPQFPADAVVDTVEDAINYILEKE >Sspon.04G0030590-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:1856058:1860782:-1 gene:Sspon.04G0030590-1C transcript:Sspon.04G0030590-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MACWVAAAMHLLLLCNAEAAARAAIALFAAVDGTTPLPPRLSLAEATAAAGTGDYDDGTTGDKGSQAIVGSPIVAGAMNDRLRALTSSFAIAIGKKLDYCIKDTETEWNQAFDFSKDTTFLTNCMKETKGDLQQRICTAAEMRFYFDSLMGGDDTAETNYVKPNVNCNRSSWIDGCEPGWACSAGPDQKIDLQNSKDIPYRPLKCQSCCPGFFCPHGLTCMIPCPLGAYCPRSSLNTSTGICDPYNYQPPPGNPNHTCGAADIWADVVTADDIFCPAGFYCPSTIQKLPCIIAGRDQPHRLVSLPSLVHRDGNPDHQYQVLLFHSSPTTPPKSTAAHLTAGCYKKSSCPPNSATQDITIFGALLVVASCLVLLIIYNFSGQILTNREKRQAKSREAAARHARETAQARERWKSAKDVAKKAGVGLQSQLSRTFSRKKAGQAQTGPSSVGDAGGKKNNLTDMIRSIEDNPESDEGFNLEVGDKALKKPTGKQMHTRSQIFKYAYGQIEKEKAMQQENHNMTFSGVISMAKDHDVSTRPSIEIAFKDLTLTLKGSKKKLLRSVTGKLSPGKVAAVMGPSGAGKTTFLSAIAGKATGCGTSGLVLINGKIEPIRGYKKIIGFVPQDDIVHGNLTVEENLWFNARCRLSADMSKADKVLVVERVIESLGLQPVRDSLVGTVEQRGISGGQRKRVNVGLEMVMEPSVLILDEPTSGLDSASSLLLLRALRREALEGVNISMVVHQPSYTLYRMFDDLILLAKGGMTVYHGPVKKVEEYFTGLGIVVPERVNPPDYYIDILEGIVKPNLSPGVSVKDLPIRWMVHNGYDVPRDMLQSSSQSESTSRGSMDHASSHDDAAPSIVSVLWGNFKDILGQKKDEYDYNKTSEDLSKRKTPGILRQYRYFLGRCGKQRLRDARIQGVDYLILCLAGICLGTLAKVSDETFGALGYTYTVIAVSLLCKIGALRSFTLDKINYWRERASGMSSLAYFMSKDTIDHFNTIVKPIVYLSMFYFFNNPRSSIWENYIVLLALVYCVTGIGYTFAIFFQPGSAQLWSALLPVVLTLIATQQKDTILADLCYTKWALEAFVIANAQNYSGVWLITRCGSLVRSGYDIEHEALCIAVLIANGILFRCVAFFCMVTFQKN >Sspon.08G0006800-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:21501519:21504867:-1 gene:Sspon.08G0006800-2C transcript:Sspon.08G0006800-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFIQKAWRTAAFGLYGFTQFTKSGFLEHAKKFREEDMQIRLDGKNCLVTGANSGIGFATAEGLASHGATVYMLCRNKDRGEAALNQIRSKTGNANVHLEVNNAGLLEHKRETTAEGLELNFAVNVAATYTLTELVIPLLEKAAPDARVITVASGGMYTEPLNKDLQFTEGTFDGTQQYARNKRVQVALVEWWAEKYSDKGVGFYSMHPGWADTPGVAKSLPGLSEKLSGNLRTNDEGADTVVWLALQPKEKLASGAFYFDRAEAPKHLKFAGTTASHAQINSIVDSIRSICGSSVEG >Sspon.07G0004080-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:6253383:6256798:-1 gene:Sspon.07G0004080-3C transcript:Sspon.07G0004080-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDEDYSWVRRTRFSQSIVRSSSGREQYGAFIEQFSRGAELRQNGLGTGYRLPRQSLQPPLVKGSGVSNSARLPIPKAKSAVAQSEGKLKHVSSDGQLNRDRSGDRSSQESSAKQDRKGVVGLNLDIPQRHVVRPSKESPDALDFSFHSDEHSQRLQRVCSSPAPFFMTDATATADDSGVRSASMKVTGEVSKPTLKPKRRAKSPIPKRVISDVFKEAKAATKRFSSPQRQRKPTSPRSPDDSPPFGFASQRTPSKLKINSRTTSWPRRNVDSGLAKVAALEILEKWTVDRSELLIGHKFASGAYSRLFHGIYKEQPVAVKFIRQPDDGEDDELSAKLEKQFTSEVTILARLQHRNVIKLVGACNCPPVFCVITEFLSGGSLRAFLRKLDRKTLPLEKVISIALDIARGLEYIHLKGIVHRDIKPENILFDGEFCAKTWISFQNLRPAIPLSCPAALKLLIEQCWSWNPEKRPEFQQIVSILENFKTALETDGTLDKIPISICQPLECNDQNKKKSGNWIQRLSYAQPDFSGPPPPKLL >Sspon.05G0008010-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:26996269:26998330:-1 gene:Sspon.05G0008010-4D transcript:Sspon.05G0008010-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAVAAPPPRQLSLEDLKAVSVLGRGAKGVVFHVVHAPGGEPDGGAAAAAMALKAVSREAARHKKAASGDGDGHRRIWFERDVLLALRHPLLPSLRGILATDAIVGFAIDRCGGGDLNSLRRRQTEKMFSDSVIRFYAAELVLALEYLHSLGIVYRDLKPENVLIQDSGHIMLVDFDLSTRLPAPPQEPDAPATSPKPAPPVTAPSPSRGKARKPAGAALCFPFRTGSAAKPAAKPVADSPSPLSTSRTASSSSSSSTATTACSFAASAGARTPAKSNSFVGTEDYVAPEIIAGRGHDFAVDWWGLGVVLYEMLYGRTPFRGQNRKETFYRVLVKQPELVGEQTPLRDLIARLLEKDPEKRIGARGVKAHPFFRGVDWDRILQVARPPFIPTPPPLDEGGDEALDVEKVVREVFASSEAEAAKAGEGEREGGKASPVADGGRVVGGDGDGRRDQSKDGDFSVFF >Sspon.03G0026360-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3B:2543784:2544746:-1 gene:Sspon.03G0026360-1B transcript:Sspon.03G0026360-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VNPHPHPLAARSQAPRTPHAHGSHRRTPARDTQHPATRRGDALSPRRPTATGDLAGLSTLPPSLSRSPPSLISLSHGGSGAAPSLPHPLSLVRRRGLLRPVLATAAGGRTKHMRRPTPDPASVGGGAAVAVPDPVPPPPLGQIRAAAASLAVHGAGAHGGGAAHPPQRGYRSSGFGGIHRVSVIRREQMRGIGYKVTDLPNQTGQTTDSAGLWMTWLPAPPASSPASDPGFWKHATHTKRR >Sspon.07G0004500-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:11313739:11314717:1 gene:Sspon.07G0004500-1A transcript:Sspon.07G0004500-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding FELSDAQYVHALSIEHLVPGLSDLKVQVCSTDMTEACFWKIYFVLLHSKLNKQDAELLSTPQILEAREQLLQSLQSQNKPGSKVPGESSESSNASSAPPEEKVIQPSIIRDKADTPEKSSFEEPSSDITPETVSEKFPISTTEVEMVDKSVVEEELAVTNESRTSPVESKLRFETDEEEVDEWPDDDPTDEWPDDDPTDEVGQAGNRASLGREEDVSFSDLEDDEDDDDRNRRDGR >Sspon.03G0006180-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:17052168:17053808:-1 gene:Sspon.03G0006180-1A transcript:Sspon.03G0006180-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSSSRTGSWARLLPCCDGDNRNRFGGRNLSGDLLPSLGATAQQPPRFRRNLVSPYDPRYKVWEIFLILLVVYSAWICPLEFAFLRYLPRAPFIVDDVVNGFFAVDIVLTFFVPYVDSKSYLLVDDHKKIAVRYLSTWFAFDVCSTFPFHSISLLFNRHEHGLGLKFLNVLRLWRLRRVSSLFARLEKDIRFNYAVIRCTKLISVTLFSIHCAGCINYLIADRYPDPRRTWIGAVMPDFHEAGLWIRYVTSLYWSITTMTTTGYGDLHAENSREMLFGIAYMLFNLWLTAYLIGNMTNLVVHSTSRTRDFRDMVQAASQFAARNQLPQQIEEQMLNHICLRFRTEGLKQQETLDILPKAMRSSISLYLFFPVVQGSYLFKGVSSGFIQQLVRET >Sspon.05G0010160-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:29086350:29088148:-1 gene:Sspon.05G0010160-1T transcript:Sspon.05G0010160-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding KYLFYHRNHFYTHSVAVHLKGGVTQMESFLVKFFPEVSSGTKNAKHDPYCKYDDQRLTAFTSSLYIAAMLSSLVASRVTRTVGRSAVMLIGGVLFLVGSAINAGAVNVAMLIIGRMLLGFGVGFTTQAAPLYLAETSPARWRGAFTTAYNIFQVLGALAATVTNYFANRIPGWGWRVSLGLAAVPATIVVLGALLVPDTPSSLVLRGDTDRARVSLRRLRGPGAETDAELKDIVRAVEDARRNDEGAYGRLCAKGYGHYLVMVVAIPSFFDLTGVIVMAVFSPVLFRTVGFSSQKAIFGSVILSLVNLASSLLSSFVMDRAGRRFLFLAGGAAMMICQLAMSCILAGHLGKHNDEAAMPRDYAVAVLVLMCLYTFSFGVSWGPLKWVVPSEIYPVEIRSAAQALTVSIALCLSFAQTQVFVSLLCAMKHAIFLFYAGWVLVMTAFVAAFLPETKGVPLEAMRSSVWAGHWYWRRFVRDAKQEVQVNCL >Sspon.01G0035950-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:16212642:16215637:1 gene:Sspon.01G0035950-1B transcript:Sspon.01G0035950-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTSAATPTRPPHPVAASPSPSSSSSLRLWRSAAQRNVRNQWSHLRTAKDQWLAAVADGRSHASALVNIHLSRRNMPATDLGVLKDMPGIRDKANSKLARREEQYSGMLLSAYKEMVRQLSYLVKASHSMRRFSKATPNCSIMQFSDCQDDLNDSGDGGGAPVFKWFSILEFESLAQELVEMFVSEQKLKRLLLLGFLSITLNEGVEHQTSLDWGEELYDSESNELQSIGLQSGDTYQLPENWSAGILGSQRPGNTPSHEVLQVYLTTWHTNMNIKLSRIDEIFELVKEEMEIKLS >Sspon.08G0008900-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:37080234:37085328:1 gene:Sspon.08G0008900-3C transcript:Sspon.08G0008900-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSICSKLLALLRKSRALTTTSTAAAAAATASATASANRMEEAVAAAGPLRTRVCIIGSGPAAHTAAVYAARAELKPVLFEGWLANDIAAGGQLTTTTDVENFPGFPDGILGAELMDRCRAQSLRFGTKILTETVTSVDFSARPFCVASDDTVVHADSVVVATGAVARRLHFAGSDAFWNRGISACAVCDGAAPIFRNKPIAVVGGGDSAMEEANFLTKYGSQVYIIHRRNAFRASKIMQARALSNPKIKVVWDSEVVEAYGGAEGGPLAGVKVKNVVTGEVSDLQVAGLFFAIGHEPATKFLGGQLELDTDGYVVTKPGSTHTSVKGVFAAGDVQDKKYRQAITAAGSGCMAALDAEHYLQEVGAQEGKTD >Sspon.02G0023280-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2A:78974340:78974506:-1 gene:Sspon.02G0023280-1A transcript:Sspon.02G0023280-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding EVAKKYKIHSIPTFYFIRHGETLESFATTNPDKLEDTIKKYYAVGTPASASASA >Sspon.02G0038380-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2B:41016748:41017273:1 gene:Sspon.02G0038380-1B transcript:Sspon.02G0038380-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding CNLPAGSSLRPRREARVLSSSCAASAPPRPQDSRIRGPTRPRTRTPTPTRGSSARSAVCSATSARPRHDLRAALNGFAPRLTPAAAAAVLRRCRNLPVPSLRFFLFAAALPGFTHLPESLLILAGSLAGARLFPLLRSLLSDLPRPALSRDLFPLLFRAYARAGLPDDAIRAFS >Sspon.05G0006150-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:11189980:11199110:1 gene:Sspon.05G0006150-3C transcript:Sspon.05G0006150-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQERRRRQQQQQQQHRHHRPPPPRLELAMAHARDGGGHEEVAEAAVCLDGDYEAGEARGVGRVPPWRDQLTARGMVASLAVGAMYSVIVMKLALTTGLVPTLNVSAALIAFAILRGWTQALARFGVAARPFTRQENTVVQTCAVACYSIATGGGFGSFLLGLNKRTYEMAGEETEGNVPGSYKEPGIGWMTGFLFAVSFVGIVALIPLRKQVNGFTKYFAISFFWSFFQWFYSGGDNCGFSQFPTFGLKAWKQTFFFDFSLTYVGAGMICSHLVNLSLLLGALLSWGIMWPLISDLKGDWYPADMPESSMRSLQGYKAFICIALILGDGLYNFVKIVAFTVKSLLDRSRLKNAKKEEDIPVLDEIQHNEVFTKDSIPNWLAYSGYLALSVAAVFAIPLMFHEMKWYYVIIAYLLAPALGFCNAYGAGLTDINMAYNYGKVALFILAAWAGKDSGVVAGLVGCGLVKSLVSISADLMHDFKTGHLTLTSPKSMITAQAIGTAMGCVVGPLTFFLFYKAFDIGNPDGYWKAPYALIYRNMAILGVQGFSALPRHCLQLCYGFFGFAVAANLMRDLLPPKYGKWVPLPMAMGVPFLVGASFAIDMCVGSLIVFIWHMIDKSKASLMVPAVASGLICGDGLWIFPESLLALAKISPPLCMAFRSSH >Sspon.04G0002470-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:115427731:115430744:-1 gene:Sspon.04G0002470-2B transcript:Sspon.04G0002470-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELCGFVMASAAAAVMVVVAVVSVAPRGAEAKTTIEPCSGSDSCTALLGYTLYADMKVSEVAALFAADPAALLAANALDFGAPGAAHRILPMGLFVRVPTRCSCTDGVRKSVSVRYAARPADTLATVADVVFAGLASADQIRNENGLTSTDPDAPLDAGQKLVIPLPCVCFNSSDNNLPAVYLSYVVQVGDTVPAIAASYETTVTDVMNVNAMGSPVAAPGDILAIPLPGRCKSVDRIGKRMLCVASDSFDPFAEKPAGGSASAKRWSPTQMPSTTSVSCTDGTTNHIEP >Sspon.05G0003200-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:10316192:10319684:1 gene:Sspon.05G0003200-1P transcript:Sspon.05G0003200-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASERNKDVPNEALSVDQSSISGVKRKRGRPRKYEYPVYELPQKVQPIQSAPPLLHCTQDGSSMASHTSGGSAHGNWSAQPRNLASASLQGNSGKDDFLGKHFVGKLTKKVPGFSLITVKVKDNQVLKGWVPDEINLRPITPKDDLAPELPMLRPSQVRKRASAVHMQPALPVPMHLEDVTLAKPLQMRRPVEKSIAKHAVPLAPRPYIGSGVVAAVPISVAPSNPEMRTLARQDAELVIPQSSVAAVPIKSVRPVSVPCKQLANQNEFTGKKSVDEVQKDSEPPNVTKESPVKAEKPNIALVDVVVKDSPGERQQLNDQVTDVVRESSGQTQNADVTMSDEIKVASDITEQSEQLKTETDVEKGVDASKSDASDDIQPAHDEQEMKVGGK >Sspon.05G0003070-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:9195627:9198277:-1 gene:Sspon.05G0003070-1A transcript:Sspon.05G0003070-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CAD1 [Source:Projected from Arabidopsis thaliana (AT1G72680) UniProtKB/TrEMBL;Acc:A0A178WLT3] MAAESDHGNCDAWAARDPSGVLSPYKFNRRAVQSSDVSLKIIYCGVCYADVIWTQNKHNDSQYPLVPGHEIAGVVTEVGTDVKGFKAGDHVGVGTYVNSCRDCENCNSSLENYCPKSVFTFNRIDTDGTITKGGYSTHIVVHERYCFKIPDGYPLAKAAPLLCAGVTVYTPMARHNMNQPGKSLGVIGLGGLGHMAVKFGKAFGLKVTVFSTSESKREEAISLLGADNFSLKNSLHFIVDTASGDHPFDPYLSLLKVGGVMTIVCFPSEIKMHPASLNRGGRTLSGSIVGGTKDIQEMVNFCAENKIYPEIEIIKMDYINEALARLVNRDVKYRFVIDIENSFK >Sspon.08G0017880-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:5075927:5082052:-1 gene:Sspon.08G0017880-2C transcript:Sspon.08G0017880-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEMLPPTPTAAAEVLSILRGADPAHLLPASGIAPTPELLQHLRPALPTLPDSAIPALARWAGAATAVSLLASRRLFAAAWRFLLLPPAAASPPPPLAAFAPLLRRYARLGRTDSAARAFRFLQRHPDCYAVESDDGAPSAEAAVSPLILAVDALCKEGHPRAAARLVAQVRREDDGWTPDVRVYNVLLNGWSRARRLDKVEKLWAAMRDEGVRPTVVTYGTFIDAYCVMRRPDQAMALLDQMREEGIQANLLTCNPIVYALAQAGRFGDAHKVLEKFPLYGVSPNISTFNSLVFGYCKHGDLAGASGVLKAMLGRGISPTARTYNYFFMVFARNRSIELGMNLYAKMVSNGYEPDRLTYHLLVKMLCEANRLELTLQMLQEMRNSGFEPDLATSTMLIHLLCRRHHFEEAFAEFEQMFERGIVPQYITYRMLMKELKRLGLVKLVQKLADLMRSVPHSTKLPGSYRDKEGDDAIEKKKSILLKAQAVSDVLKDCKDPKKLHELKDPEETDVQIADRIVANIRRRTDRGSTLDELVEYLKSLEMQKVGFAPANAILGKLPPDLTDAISPLPREEYSAEASFSLCTLPDKAARENRAETSAVTDSRTGGLALGSCRVLGRRKCTPWTHRTRAMLEERFGSYSIRSTMPREEVPRFTSTFLCSLLAPPPRWKEVMRPDEFRPAVLDELRVRRFSAPTFA >Sspon.01G0025810-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1A:90336586:90336912:1 gene:Sspon.01G0025810-1A transcript:Sspon.01G0025810-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVPLPWPLAVSVRRSLQEGAAGAASYAQAQRAPQQGAARSVETLVVIVAAIVLAAVLAGVLARVCGGRHVMPSAEYHEEEGWVEKRCRSCLDSGLPAPPSAQGSSKT >Sspon.04G0028140-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4B:64286269:64287232:-1 gene:Sspon.04G0028140-1B transcript:Sspon.04G0028140-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding PGSWQRRAADAPRRLGASPVAGLAPSSKLTSSTARARRRRRRFDFSLSAQPGPKPPDHVTPSNLRRHRFPSPPPLLLVAGEDDSPEPEQLPAAPSTRREGNLTACTPISVVVSSFPYAGWMLVVRVQRIVRVLELAGAVMEELGNSQGPRTDAEIETTLREEIKSTCEYRPFEKCDYSARIANEICCKKLEYVIEKLDTMQHNLEQSTDDV >Sspon.01G0046600-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:92846719:92853748:1 gene:Sspon.01G0046600-2D transcript:Sspon.01G0046600-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEPATDDHGPRPPLADGAAAAGGDDASSAAAAAEALMSAASEQLTLVYQGDVYIFDPVPPQKVQAVLLVLGGYEVPPGLVNMAVPTANDEKNTTVAARRVASLMRFREKRKERCFDKRIRYSVRKEVAQKMKRRKGQFAGRSDFGDGASSSAACVSPANGEDDHFRETHCQNCGISSRLTPAMRRGPAGPRSLCNACGLMWANKGDTDDKNSIVLPVEQATVKTDSMMPKQEKKLDIRPPTEEDIKANEKLKRFV >Sspon.07G0026370-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:52968674:52973948:-1 gene:Sspon.07G0026370-1B transcript:Sspon.07G0026370-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTASGEGADAGGDGASSAAAAASAPAGRRIPPASSMPWVRNLRRFVGTGAGLGSEALMGQCASRLCSSLSQHPGLRPRLGSVGAPRFGFRGGGELETKRILLEIFKERQRKSAEAGSIPSFYKKKPEEGSISSRVQRLAKYRFLKKQSELLLNADDLDAMWVCLRENCVIDDATGAEKMNYEDFCHIATVCTEQIGQKCKRFFSPSNFMKFEKDDSGRIAILPFYLYVMRTVSLTQARIDMSELDEDSDGFLQPHESEEEVTDTEQAENWFSLTSAQRICDMFLALDKDTNGTLSKQELKEYADGTLTEIFIERVFDEHVRRSKVGGGNSREMDFESFLDFVLALENKDTPEGLTYLFRCLDLNGRGFLTTADIHTLFRDVHQKWIEGGNYELCIEDVRDEIWDMVKPADPLRISLSDLLSCKQGGTIASMLIDVRGFWAHDNRENLLQEEEEQVEEA >Sspon.06G0006190-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:21151577:21154119:-1 gene:Sspon.06G0006190-1A transcript:Sspon.06G0006190-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQINSISKLESLSYLYLSHVLAPYTNMIAHLGQDMEPCVSVGLQQAPSHEMSCPTPSLEFTLGRPNWNGAEHD >Sspon.05G0030840-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:10812745:10816398:1 gene:Sspon.05G0030840-2D transcript:Sspon.05G0030840-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MELITNVTEYEKLAKEKLPKMVYDYYASGAEDQWTLKENREAFSRILFRPRILIDVSHIDMATNVLGFNISMPIMIAPSAMQKMAHPDGELATARAAASAGTIMTLSSWSTSSVEEVNSVGPGIRFFQLYVYKDRNIVRQLVKRAELAGFKAIALTVDTPILGRREADIKNRFTLPPHLTLKNFEALDLGTMDKTNDSGLASYVAGQVDRTLSWKDIKWLQTITSLPILVKGVVTAEDTRIAIEYGAAGIIVSNHGARQLDYVPATISCLEEVVREAKGRLPVFLDGGVRRGTDVFKALALGASGVFIGRPVLFSLAVDGEAGVRKVLQMLRDELELTMALSGCTSLREITRAHVITDSDRIGRSRL >Sspon.03G0011080-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3B:37230706:37237120:-1 gene:Sspon.03G0011080-2B transcript:Sspon.03G0011080-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LMVVAVGAVMKVAPELLAENLACVSSMVVAKGVRRRTVPKVQKVILDSALPMVVEGGASFQTAQRVLREAQSSARHMVEESAAHSSDAPEGLKGGGVCPKSVHGGTQYCVAHGGGKRCASFGCTKSARGRTEYCVRHGGGKRCKFEGCTKSAQGSTDFCKAHGGGKRCSWGQADTSFGAGAQQCDRFVRSKTDLCSAHSALVQDHCVHGGGTLGSAIYQFAVDVKPTEMKVASVKGDPRDINGDKILLDLGSSVPNSGVHPPVLAQSMVDPLPEGRVHGGGLLALLSWAGSRTSNGGSELCSGQDGVD >Sspon.01G0046490-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1C:95109168:95110872:-1 gene:Sspon.01G0046490-2C transcript:Sspon.01G0046490-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASASLASSLLCSSSASTSRIAAMPRVSRSPVFSKNQYRPPLRPLLRSSSVVRRSLQQEQEERVGPASSVSVASGEQQEEATASHHVGEVDEAKASAHVGEADKGGHGEGGGEEKRSTDEQQEVDWKSDEEFKKFMGNPSIEAAIKLEKKRADRKLRELDREPDANPVAGLFRGLAKDQLAREKQRLELAEQTFKALDLNKLMSCFGYDTFFAVDVRRFGDGGIFIGNLRKPIEEVRPKLEKKISEAAGTEVTLWFMEEKNADITKQVCMVQPKAEIDLQLEITKLSTPWGYLSAVALAVTTFGTIALMSGFFLKPGATFDDYVSDVLPLFGGFLTILGVSEIATRLTAAKYGVKLSPSFLVPSNWTGCLGVMNNYESLLPNKKALFDIPVARTASAYVTSVVLAVSAFIADGSFNGGENALFVRPEFFYNNPLLSFVQLVIGPYADELGNVLPNAVEGVACQWTRW >Sspon.08G0001200-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:1100351:1103193:-1 gene:Sspon.08G0001200-2B transcript:Sspon.08G0001200-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSVAAAAMATTSRSLPLPFSSTPLHRRRRAAFLPVAASKRHDDEEEAAKGKGSGREPTSLAPYGGLSISPLSKDAAMGLVLSAATGSGWTTGSGMEGPPTASKAGGAGRPEVSTLPWSLFTKSPRRRMRVAFTCNVCGQRTTRAINPHAYTDGTVFVQCCGCNVFHKLVDNLNLFHEMKCYVGPDFRYEGDAPFNYLDRNEDGDTIFPL >Sspon.03G0019070-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3C:79125511:79126164:-1 gene:Sspon.03G0019070-2C transcript:Sspon.03G0019070-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHNGGLSIVPEWAPGGRLSMADFTRFMREVYALPRDAPASLVREPGKRPRLLLVHRGHSRRFMNEQEILRAAEAAGFEAVAVDLRRDVTVDAQARTVNSFDVLLGVHGAGLTNAVFLPPGAVLVQVVPYGKMDTIATLEFGLPAKEMGLKYLDYVVSAEESTLLEMLGPEHPAIKDPDSIHRSGWDKMTEFYLNKQDVRVDVARFAPVLAQAFEHLRQ >Sspon.01G0010060-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:34404913:34408443:1 gene:Sspon.01G0010060-2B transcript:Sspon.01G0010060-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMATTAYIDDSCSEVIDPPKTEVLDVAELPGDYTQHPPKPNVVVSSSVRELLECPVCLSAMYPPIHQCSNGHTLCSGCKPRVHNRCPTCRHELGNIRCLALEKVAASLELPCKYQSFGCSGIYPYYSKLKHESQCQYRPYSCPYAGSECTVAGDIPYLVNHLKDDHKVDMHNGCTFNHRYVKPNPHEVENATWMLTVFSCFGQYFCLHFEAFQLGMSPVYIAFLRFMGDDAEAKNYSYSLEVGGTGRKMIWQGVPRSIRDSHRKVRDSYDGLIIQRNMALFFSGGDRKELKLRVTGRIWKEQ >Sspon.03G0002220-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:5470987:5472048:-1 gene:Sspon.03G0002220-1A transcript:Sspon.03G0002220-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVNKVKLYDADPRVLTAFANTGVEFIIAVGNENLQTMAASPAAARQWVATNVQPYIPATRITCVTVGNEVFSSTDTSMMASLLPAMKAVYAALGALGLGSQVTVSSAHSVNVLATSFPPSSGAFREDLAEYIQPILDFHGQTGSPFLINAYPFFAYKASPGSVSLPYVLFEPNPGVRDPNTGLTYDNMLYAQIDAVYAAMKAMGHTDVGVRISETGWPSKGDEDETGATVQNAAAYNGNLMQRIAMNQGTPLKPNVPVDVYVFALFNEDMKPGPTSERNYGLFYPNGSPVYALNAGSGGGSSPGGSLNPYYTSMFSSSSRSAVSTTFLTERVILLLTLQAIVILGQSYSSYC >Sspon.01G0012780-3C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1C:34263382:34264745:1 gene:Sspon.01G0012780-3C transcript:Sspon.01G0012780-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEIVRSAVAEKIAKQIVSGILDKQGRKPNEEKEHLERLEMAQIKLEAALETSDKWQISDASLLRWRKKLKRAAQECDDTLRRCKQRAVAVDDDEEKHQRVAVRRSSSLPTRLARATKSFISSIVSGRNRTDDADDGWSGGAVRRFEWLADGATEFLRFVELGGTPWPRYTFFDPLIARLLAGEEARYRLVRGSQYYLFCVRPIVLEGRGTEAKLIFFYEDDDAPEKNLCIGSMLRLSESTDIVGVTIKCLQLLVSLESVIEVYWQCQVPLSEYNMLQRNAVVEGPTTSSEDTPYLKLGLLFTPHGSLGDLTSKAESSALEVIDGEEQRGMHTNLSLQQLDETMLPKALQCLYQKTEAMAYQMLWKSKHGAAYLQ >Sspon.01G0001290-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:2854213:2856119:-1 gene:Sspon.01G0001290-2B transcript:Sspon.01G0001290-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTAMATTSLSLQGRPSHAPTRKLSSPFLGAPASFLRPLAPAPAAGPSSRRTLAVRAMAPPKPGGKAKKVVGLIKLALEAGKATPAPPVGPALGAKGVNIMAFCKEYNAKTADKPGYIIPVEITVFDDKSFTFVLKTPPASVLLLKAAGVEKGSKEPQRAKVGKVTADQVRAIAQEKLPDLNCKSIDSAMRIIAGTAANMGIDIDPPILVKKEKVLL >Sspon.03G0022780-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:88232383:88234188:1 gene:Sspon.03G0022780-3C transcript:Sspon.03G0022780-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKAARWFRSLLGGGRKDQDRRASPAPAPPADRKRWSFARSSRDSAEAAAAATEGSVRGGAAAGGGNAAIARAAEAAWLKSLYDDTGRQQSKHAIAVAAATAAAADAAVAAAQAAVEVVRLTSQGPVFGGGGGGGAVLDPRGRAGAAVKIQTAFRGFLAKKALRALKALVKLQALVRGYLVRRQAAATLQSMQALVRAQATVRAARGCRALPSLPPLHHPAVRPRFSLQERYADDTRSEHGVAAYSRRLSASIESASYGGGYDRSPKIVEMDTARPRSRASSLRTEDEWSYAQSVSSPLQPPCHQHQHHLPPRIAVPTSRHFPDYDWCAPEKPRPATAQCTPRFAPPTPAKSVCGGAGGNGGYYGHHLAAGSPTCPGYMSSTQSSEAKSSSRSQSAPKQRPPEEQQPARKRVPLSEVVLEARASLGGAGVGMMHKPCNTRAAQPQEAFDFRAAVVSRFEQRASDATAAAAAERDRDVFFLQRRW >Sspon.04G0012000-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:38056139:38056654:1 gene:Sspon.04G0012000-1A transcript:Sspon.04G0012000-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding PMPPQLALRAHPSSSASPLPMRALPFLRSRLRSLTTAYAVKQDAALWTPAPVAAVSAATADGSISHFAVDLSDAADLVDSYTTPGQYLKIRVPSAAEELKPAFMAIASPPGAGPRFEFVVKTVPGTTAERLCTLRDGDVVELGAVTGDGFALDKINPPDVAQTVLMFATGTG >Sspon.07G0005090-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:8666574:8667629:1 gene:Sspon.07G0005090-3C transcript:Sspon.07G0005090-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFLSPLGGEDAADFYFGYDAGYHRSGGAGKSAKKEEKKEKGFLSCLPCFIPCSPGASDPTAHRRLLSSDSSDSDNAAAMDIAADLGRLSERYSRLAAGPPVRPRDVPGLVARPDDPPLAVSALSWLGGDLRPSCMLLALLPALFPSLPAHARHALSAAARRLSAREAALDGEVAEYQSTYAMKLACEKTKDRVAETAAEEMCKMARAARRADKLRWRAVEAAAEVLAPAQAKEFLKAVEDVSGKVARHGARWHARAGTLTVPVEAFERVRTNARAAADDA >Sspon.06G0032800-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:1763245:1775062:1 gene:Sspon.06G0032800-1D transcript:Sspon.06G0032800-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKLPEIDRDTEMRQVCFSGTLVIIQKIKFAQKVAAGITMEDVKKKLVVPSTHAPYLQNADNITLEKVEESVEAVKDALHMLENGASIAAAKSVCPPHVLFQLVKWKVHGHVELSSWLFYTNYVLLLFFYIFTALDGKVQMCNEWLNMMFYVLENKLNVFLAPFLHGMRYTSYGRHFTKLDKLQLVVDFCCGSNDFSLLLKETLESSGKNCFYKNYDLIQPKVNDFNFERRDWMTVQPDELPTGCRLFINKALTFKPKLIILIVPKETERLDKKYPPYELIWQDSDQLSGKSFYLPGSLGVDNKVMEQWNMSPPPLSLWSRSDWAKRHSEIAKSMKHIPNEIASSGHGVESSLWVKASLANQFINKALTFKPKLIILIVPKETERLDKKYPPYELIWQDSDQLSGKNIAIFFTDIFCWETMQSFYLPGSLGVDNKVMEQWNMSPPPLSLWSRSDWAKRHSEIAKSMKHIPNEIASSGDLQMDMAASVPTTEHVDIDDAEVAGIPPCFLDQLMSDTFHDTTTSPGDCWNDTNGRSRQPCNYETAGPGRSDPTYDHTETCSDMSISLSESDFQRKDQALSMPEHGGTNSEASDAVGSASAEKPTVDADHDEVTSAPGPYHLPGNASEAGRQAAGVHYWMREDSPLLEDGELSDAPPVGRPAAGTHHQRTEHRPAAATPGAASWCGQPDHSPPVARHNARTLPPRNTFPGLRLWQGCNTSRQFLSQGMGHQAVHQGPSNAWIEDEDY >Sspon.07G0029640-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:77122160:77126639:1 gene:Sspon.07G0029640-1B transcript:Sspon.07G0029640-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHGTGFWGVVGRDAEFAADFYVSMQADSRFVAQIIVRECGEVFAGVNSLVDVGGGDRTMAKAIAKAFPHVRCSVLDLPQVVGGNGMQGEGEGTIEFIAGDMMVFIPPADAVLLKAQAELWCHMFGFLKPMALQCAIKLGIPNTISRHGGAASLSELCAALPVAPSKRTCLSRLMKLLATMGIFREEKETTPPGGEGEEGSYLYHLTAVSRLLVDDDDGGHPCLSAFMANTAAPFHVVASLRLADWFENDDGGAAAETPFMMAHGTSFWGVVGRDAEFAADFYASMRADSRFVAQIIVRECGEVFAGINSLVDVGGGDGTMAKAIAKAFPHVRCSVLDLPHVVGGNGMPGEGEGTVEFIAGDMMVFIPPADAVLLKVWVVING >Sspon.04G0037260-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:65716212:65720036:1 gene:Sspon.04G0037260-1D transcript:Sspon.04G0037260-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MYPGFGVIHAGAKVTQLGAVGVGAKPPCHLADDAELALTWQHLDAITIDAVVIDAKPGAMDLGVELLCANDDGAKLGANGDGVKVLPRQRQLDVVGQVAWGLGANANGAKLCYLGASADAGTPRRSTDMPRGGDVEIPAGSLRRRSPDGEKTVGSSAIDVILCVKTQCTGPTKTCYCCMLLPPDDSPCFSDQQECWRLLSCPCQTLSEQIQLPILFLPGYCVISMPGQVAIPIKGGAADLPYEPPSRCCWPRPSPWGQTSPSTRRWPDHGHEMIRKSIRRPAFRPSPSTPTTRNKQSKALKHLQDPIDQGVD >Sspon.08G0017290-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:1023115:1028685:-1 gene:Sspon.08G0017290-1B transcript:Sspon.08G0017290-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATYINELRALIASTSTSTAPDGAEPVSAHLEVKLREVLPNLLRDYVVPSPKAAGRELREVSAILKLVAFTALKFPGVFYNGRAADVLSVIARIITLSHEIIFKAVWNLLSILRTGDREAYREFFLDAMVAVEDTPGPYSELPASCRPKNGPGVLVDLTGDARWRPFATSLIKLVNKCLTDGTLYVDGLVNMPFVSAACSILCYGDGSLHKVCFDFARIVATVMTPEILPLENIIRSITCILSQQISELSDIRDADYDFSMGACLRALHSSCPDYIVESTAVDIVNILEKAVKTSKSAELQVALYTAYKRIVELCSVQVWKPEILLNLLCLPKPCSKLIQCIRAVISKFGQTFFTLDDGNGQSSPQARSEKIDLPKVGHKRISRHEESSSAKRQKMTESGFSAATGFEREDYGYAFLQSLNSLINCLSPDNHETYPLDPEAAIATAQRNLHSFDAPLYFEALHTVMLLQFNLPGHTKLLEDDSQLIGDGTHFVHPTYADLISMLKLMWDVGHAVTETSSNYKIEYLLLQ >Sspon.02G0015780-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:37316526:37317644:-1 gene:Sspon.02G0015780-3D transcript:Sspon.02G0015780-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AMKKAVAVGGGGKGGTAPTDLLVCFPARQHLALMPKPICSPSRTTMDKAAAARRRQLQLPGASAAAGGGGRVRGSSPMFRGSKAKQRAEEEEEPQSPKVTCAGQIKVGRPKKVKPGPGSTAATKHGKDGVGVSDARSWITVVEEIERLHGRRKKVGWLETLGIRRDALPFLGAALRSLSFKARCFGSGSLHAAAVDSSVDSDDDGNDDAGERGSGHGAGGSAPASVFSKWLMVLEGGQEPQLEQDEARHDEECDQEEDVERQQGEETDEGTNGPSAPPPNALLLMRCRSAPAKGLSMSRRRTCEQLAGEVDGQEKGAADGVPGPGDGETEEDKEELVFMSTAPGFMKLSLDIAKETWVVGGWDPIARSRSWKR >Sspon.03G0015140-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:71978032:71979045:-1 gene:Sspon.03G0015140-2B transcript:Sspon.03G0015140-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHPIAEADERSPFGRLTPDEFYARHGVTHSTSSFVNPRGLRIFTQRWVPRGDAPVLGAVAVVHGFTGESSWMVQLTAVHLAAAGFAVAALDHQGHGFSEGLQGHLPDIEPVLDDCDAAFAPFRADYPPPLPCFLYGESLGGAIALLLHLRNRDLWRDGAVLNGAMCGISPRFKPPWPLEHLLAAAAKVVPTWRVAFTRGNIPERSFKVDWKRALALASPRRTTAPPRAATALELLRVCRDLQQRFEDVRLPLLVVHGAEDTVCDPACVEELYSRAGSSDKTLRVYPEMWHQIIGEPEENVEKVFDEIIDWLKARAATATATATATTTAAGAHHGEQ >Sspon.02G0012830-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:13147725:13150386:1 gene:Sspon.02G0012830-2P transcript:Sspon.02G0012830-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPVPRKRKGAPPACSAARSLQDLASRKRACRGSEPQQSPRRADVAAPAVVMTAPAASGASASARVFLPGRGLKRKVGCIDSATRIGRRKRLESEYDLGEEIGHGKFGSVRVCRPKAGAGAPRGGEDEFACKALPKNGGDTAHREVEIMQHLSGHPGVVTLRAVFEDADAFYLVMELCHGGRLLDEVAREEKLSERRAANVIKELMTVLKYCHEMGVVHRDVKPENVLLTKSGRLKLADFGLAVRVADGQQLIGVAGSPAYVAPEVLLGNYSQKVDVWAAGVLLHVLLMGTLPFQGNSVEAIFDAIKTVELDLHSSQWASVSMLARDLIGRMLNRDVSSRPDAEDVLRHPWVLFYTECPQKAEFSDLWGTNKTATPMIHRERVRFDYCESSSSESSSDNSEEQDECGIVDALATAITQVRISEPKRTRLFSPANGLLPPSRNTLRT >Sspon.03G0041960-2D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3D:36787587:36793750:-1 gene:Sspon.03G0041960-2D transcript:Sspon.03G0041960-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAKSPFSPSPSFRRRFREIHPAPLLGLFFNPPGAIQDPGLPAFPSFVPTRGTDRDQAAAVRGGDFFLTSLQERHGVHNGWDIHDCRGGYLLLANADQETMAVTNPLARRSESFFDFGHEDTLEGHRVHAVVHNACLLCSDEDPTSFRVVIIAHDKSRVRATVFSSVTGEWSVRPWVDIPARPRRGEFWLLSGNMQANGFLYWFYKNCKYMVSLDTATMDFSVAELPVFLKNRLCSFVVGEMNNGEPCIVYAINFTVGVLLRRTERDGVERWVLDRAEILETQLGLVLGKLMEDYNEVQVVAVRDGFAYLVTSERPNGSRTPSWFLSLCLETMKLEKLFQRTDDSSVQPYVMPWPPLVGNYGRFALEDGV >Sspon.01G0050540-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:4469173:4472331:1 gene:Sspon.01G0050540-1C transcript:Sspon.01G0050540-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRRRLLRGNSVLVSVYDRKMGYPVFRFDVKRLFSDDDDEESRSRSRRRRPREVLPLPPSHVACFRRPLSFPMDLAACPGGDKIVAATTDGHIMVYDATRSQYTYDHDLRCWKPWTILLALSSSRRHDDDIDEVEVTSYFVAGGRMLLSVWCRCNGVKGTYSLDMAAPTKLGWRREGSWVLPLVGRAVYAPDLGLLFSFNCQQQALRAIDIKARTRPMSTREAKTDADPLWLPKVMPEAKEAASHRVMAKTTRH >Sspon.06G0000600-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:2548897:2552399:-1 gene:Sspon.06G0000600-1A transcript:Sspon.06G0000600-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAVADGACVPVASRGAAPGVVRPLWRAVGLACWAGRLKIYSLGSAQMPLVSGCSSNRRQAGNRRGTSALLRKCNFHFPPRPTSPINRWFTMATPIRLAAAPPARPPQPLWPPPPPPPHHDTTLTLSLALPPPPFVCAISPRPVPRPPEGGVARVRSSSLTEDTPPSPCSECGKQFPSWKALFGHMRCHPERQWRGIKKPLHFRHQAVVAAAAAADLQFTEQERETATSLLMLRQGEPGKGKKSELRRQHVDFFAAAAKRLRSGVRHRAGSRRAQAMPLGEDDLCGGDDGGCNIQRLQRVANVVVTSGGGHHAGPQPAAARDAAIAKETEARSRRGLGRDLAPRSPAPTPPSPFDTPSPHYLPRPRIKVSGRPIVDDFDAEQRGKGCGSRANELCAYYQPPALHDECSSKQARGASCDAAPLVSCLRRCRTDIELYNDEYPPVQLASTRGRSCMQASGVGSPRVAFFLGRAFDRLGTDGGVKSALMQPQCGAHGGGFLSNRLLLRIRAGRARDTELARASRRGYLSGR >Sspon.03G0011230-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3C:46641984:46644040:1 gene:Sspon.03G0011230-2C transcript:Sspon.03G0011230-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNQAPPVPRVKLGTQGFEVSKLGFGCMGLTGAYNSPVDDEAGIAVITHAFSRGVTLFDTSDVYGPLTNEILLGKALKQLPREQVQVATKFGIIRRDERGAPTICGRPEYVRACCEASLRRLDIDCIDLYYQHRIDTTIPIEETVSAACLHIRSALKQSALLLKKLVEEGKVKYIGLSEASPDTIRRAHAVHPITALQMEWSLWSRDIEPEIVPLCRELGIGIVPYSPIGRGFFGGRGVKEQVSAESILHGHPRFAAENLERNKQIYLRMEEQANKHHCSPAQLALAWVLHQGDDVVPIPGTTKIKNLDSNIDSLKVKLTDEDLKEMGSQIREEDVAGERQFASFQHATWKYADTPKKQS >Sspon.04G0027090-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4B:55781139:55783249:1 gene:Sspon.04G0027090-1B transcript:Sspon.04G0027090-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding METAKIVTTLLLNLALFSPSLSAAASMFNPIKLTGSMPIRHDYTRFADVERHCQSVLSSAADAELKADADYISRLMTYQLSFMNGDWSQDAGQAPLLPFHGSYADPAVAAGPELLEAVPLASFRLTHMETAPRRGRGARAAFNVSGVLSLTIARNRICSWSHMEMEPSPSPEFELRPGIARLHLVFQGVYTETRSSPGSDDGGGERVLCMVGDALLPVRGSNSTDPWDWAKNGGGGSNLEPPVVSDGNILLVLRYPKTPTLTTRAVHGVMASTNAKSDGAYFDTIRLVSQLSAGGYGSGYQFRQEDAESSDFAWCIKDDSPFHEGDAMDMEQHLNSVDSLGDFIHDSQMMEVVPNWNCKGTDEFCSQLGPFVSTPPATGRALEDMAFTRPAIVVSMGFQSKPFGAGSMDGRAAATARLAAVFRYVPPWEHQPTAAKRTGMSSMTLSAEGVWIPSMGRVCMVGCLGVGVAKEACHYRVSLSVGTTMSMTRRSIIAGQITAMDGESHPPLFFQQRVDPRSYRPLPRRMSYSYTKVQQAQELLLRLRASQPAEFRNSFVARSLLSYPSIVAGAGANDEIVSLANLADDLDLRFQCTVKPPSVPFFELQILSIGTMVGRYSYRQCQTMVGLKRVHAVKKQHILLNVSAEFMAYTNTNCLRPSPVMSLEGVYNPEDGRMYLIGCRNVDAPQRVLATIRDLEDGMDCSI >Sspon.08G0005680-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:17503032:17511457:1 gene:Sspon.08G0005680-3C transcript:Sspon.08G0005680-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMRYWWNWCNVEGEVKKMGSETFDLNQQPHENQGGNLNYVLLQKDCKNICHTKVCDLPIEVPTIWPIISFVPTKAYQKSDLPKFSLLPDPEDGRQRSEWGKFMRFLSDNKRMLLEVYLQKALFWPSGSWVPWEQAAIARCGSSTFHILAPEPDECSNFPHAVLLYECGQNGPGDCKQMARTSGKHVNGPNASKRPFKSDFQYGSRNSKPPYFKEEVCDSLPKPKEMETSPKHHDPIVTSSPCESVEDGPRVLNPVVNKRTASPTKNFIVADPSYLRTLSQTHASWIFGAIAELIDNSRDAGASRLSISIEHLFSKKAQKKIPVLSVIDDGHGMTYPDMMRMISFGHKRPNEHREDQIGRFGIGFKVEISQLKMASSSVSTGAMKLGKDAIVLTQTKSSRSVAFLSQSFNEEKDVCIVLIAPHPPCLPRSNLEIPVVAYRKEGQYMEVDLSVQSDATAEYNLSAIKNFSSFNEYFIGEKLGLFGEDRTGTQIYIWNLDRWGTDCTLEWNSGKSSENPVHPGRGDILIRSRRVRSRPGQTSNKVLLDYSLQSYLEVMFLNPRMKISVQGSLVKSRPLAKTLNKTSVVSGEIMGRTILLTLGRSKVEWDRTNCGIFLYWHGRLIESYKRVGGQKHSTDMGRGVIGVADITNLIDDEDGNSWVLNNKQGFQDCEMYAKLEEWLGRKVDEYWDTKFDSLELRKGDERHKPDSDWVQCCSCRKWRMLNAGFNVDNLPEEWFCYMPPFNGKCEIPEQQMGRGVIVIGEKRSGHDEQNKAALQGGTPKKEMRPQDLEIQMITQDEEDVKGMPEVVNKRKKPSSGTPSKPKNNSDDDLEGMSSQTEDDAPLLKLKRLRRGPAKTSKLKF >Sspon.05G0012100-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:35327662:35330103:1 gene:Sspon.05G0012100-1A transcript:Sspon.05G0012100-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLAQPHGDKSSSSGGGRQRQGMAAAQAQQRSASFHGRGTEQQRHLQPQQKQRPKTLPDLLAGVRGASFRSGSPPPDGGDTGRSPTRTPSKVLVSVAVQQSMWPLHVMASAEWTVADLVAAAVALYVKEGRRPLLPSTDPAAFGLHYSQFSLESLNPKEKIMELGSRSFFLCPRSSAAGQDVSSSSGTANGVIRAASGKTPAWLIYMPFWPTM >Sspon.04G0006980-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:20935337:20937766:1 gene:Sspon.04G0006980-4D transcript:Sspon.04G0006980-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMAGAAEADTPSKEPVRAGRSNTILLPIVGILFAYLLYRFLRPRLRGLRLDRYVPSWVRMPAWLRRRAPSGSTVLPYFAPIADRLGALPYLGPFADRLGVGPHGGAGAAQQALVKFPGGEALSVAAILEAPGEVVAKSAHSTLYRAAMRSGEAAVLLRFVRPACAVGAEEAYAAARRIGAVSHPNLVPLRAVYVGPRGEKLLVHPFYAAGSLHRFLQEGIAESHRWTIVCNLSLGIAKGLDHLHTGLDKPMVHGNLKTSNVLLDASYECKLSDYSLYLLLNPAAAQEMLEASAAQGYKAPELIKMRDATRESDVYSLGVVLLELLAQKESPDDGRPNPRDILLPASFKNLVLERKISDAFSSDLARHCKRSGKEKNLNAFFELATACCSPSPSLRPNTRQILKRLEEIAR >Sspon.07G0025260-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:40107483:40112001:-1 gene:Sspon.07G0025260-2C transcript:Sspon.07G0025260-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLPWRICGWVWGCAMVIAPAVRQQSLIEGLMSCEDCEEDEVIIQMVNKHGPKKWSTIAQALPGRIGKQCRERWHNHLNPGINKDAWTQDEEIRLIHAHQTYGNKWAELTKFLPGRTDNAIKNHWHSSVKKKVDSYRSSGLLAQFQGLTPVECTPGGLNVDSSSAMTNQISEDSGFNVFREAEDSMELSQSSFAKGSCSQEEQTDVTLGSHLHMHESLCQDGFTNAENGASALPDMHHHLPTSDMDQDKHLQEGIDLDKHLQQEFSQGIDLHLDIDEVPNNFVIRDSQASNELAVQFQDTQIMNSSENDGVSLIPYAVTPCVPILPSVSGCEHNINVMSEVGIKNDNCFQSEKWQDISIQSDGASNASGVKFETSHNPVSRQDLEIKTCHNPSGDPDQNSYISSEDDRNRTSEPMDSIPESEKKQQVDVEQSCLEPAAYSGKEPLSSHGDTILSEKEDAGALCYEPPCFPSFEVPFVSCELVNSSDLPEYSPLGIRELMRTSLNFPTPVRLWGSPPRMVVLMLC >Sspon.06G0023400-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:43439475:43444594:-1 gene:Sspon.06G0023400-1B transcript:Sspon.06G0023400-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGEAETVVGSSSKPCGQLEDYYIPDYILKPGAQQVLVDHAPPCPIVVFINSRSGGQLGSSLIKTYRELLNEAQVFDLSEEAPDKVLHRLYANLERLKMEGDILAVQIWRTLRLIISIRLQAVMVQLAGCLGTFPPTSSCNCALGTGNNLPFSFGWGKKNPSTDQEAVKSFLGLVKHAREMKIDSWHIILRMRIPEEGPCDPIAPLELPHSLHSFHRVSSSDSLNMEGYHTFRGGFWNYFSMGMDAEVSYAFHSERKRNPEKFKNQLTNQGQYAKLGLKQGWFCASLSQPLSRNLAQLAKVKVMKRAGGLWEELHIHHSIRSIVCLNLPSFSGGLNPWGTPGTRRAADREFTAPFVDDGLIEVVGFRDAWHGLVLLAPNGHGTRIAQAHRIRFEFHKGAADHTFMRVDGEPWKQPLPKDDDTVVVEISHLRQVTMLANEPCRSKSVNDPSSPVPPHDSHSDDKDTAAEEDEDEWEDGRKKFGAADTFKLPDEIDIAHLS >Sspon.06G0001060-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:27920829:27922224:1 gene:Sspon.06G0001060-2B transcript:Sspon.06G0001060-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RRALLHGAPMGLCFWHSRYDHLLPGVPRASVSVPSRVPEEVDGGVQLGAVRGGSVQLHAVDPVRAGEDQLQPAADHQRLRLRGGGHLHPPLPHLRAARRQAEDARLLLPPRRGGLRARRRRRRRARRGAAPRQGARQHLPRLLHGRLRRAPQRHRKCDQDQECRVHALHPLLLPHPQRRRLVLVRPLHQGPLRHGNERGRLLLRVHPDGALLLLPQAQVGVVRGPAHDDVRRRRGAAGGGDGAAPRRPPGGGRAAHVRGPGAGRAAEAGGGHGVAAQARRRQGRLTM >Sspon.04G0021750-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:2071257:2076273:1 gene:Sspon.04G0021750-3D transcript:Sspon.04G0021750-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEGISGDAGLAAAPYDQWVLLSPAGGSPRPPARYKHAAQVVQDKLYVVGGSRNGRSLSDVQVKWKNYLVVIAGNTRSSSSSNKVSVWLIDVQANSWSAVDTYGKVPTARGGQSVSLLGSRLLMFGGEDNKRRLLNDLHILDLETMMWEEIKSEKGGPAPRYDHSAAVHADQYLLIFGGSSHSTCFNDLYLLDLQTLEWSQPDAQGAHITPRSGHAGAMIDENWYIVGGGDNANGSTDTIVMNASKLVWSVVTSVSARDPLACEGLTLCSTTVDGGKVLIAFGGYNGKYSNEIFVLKPKARNLVQPRLLQSPAAAAAAASVTAAYAVITATDEKTRDIVATDDFDIKRAQPASNSKKFVGEIDVLNGENGKLASRLAEVRDENSKLKDKLDMANLSYGELAKELKSVQDQLAAEGSRCQKLESQIAAARKRLESAGSLENELDVLRQQISQVEQTIATTQRRKSGGVWKWVAGSAEVDKQLPNRK >Sspon.02G0025880-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:89973553:89977555:1 gene:Sspon.02G0025880-1A transcript:Sspon.02G0025880-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GPRRRRGRGRRPGGLRAGVPAAQHRPLRGPAVAAAPHSPAGARLRRAPRRRAPRRARPPRHDHAGRRHRPHPRRHRRLPPGLHRGGQRRRGSQPVLLALEGGAQAQPRRLQGQGTRAILARSIRGPGYQNQER >Sspon.05G0009640-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:27726709:27728039:1 gene:Sspon.05G0009640-1A transcript:Sspon.05G0009640-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASPALLSLTPAVSRHPHLLFSPCPPPRHVRLAPLAATPSSPSGTGPGGPGVFLSPRALSQLDELAAFRYEHAFPHGLLTVRALRRGPDDDAVAEALVRLLASSFSETVRWAPAQRYAQLLTFVIRRYLHDRRGLAPHSAVLVGFYRPADADDDGAATEGDRDDEGGEGEGEDEGEMACTAEVSFDAVGAPGAPPTPTPPLDFPYICNMTVKTPLRRRGIGKQLLKACEDLIFKMNARRRVYLHCRIIDQVPFNMYRKAGYIIVQTDSILVWLSLQKRKYLMSKELPQASVVSETSTKNFDDNI >Sspon.01G0022240-3D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:79361674:79364371:-1 gene:Sspon.01G0022240-3D transcript:Sspon.01G0022240-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VCLIPNQQAEDELVSRYKHRGKPSATLRRAQWMKNLLETSKAAKISLFFLTILATALAISDSMLTPPISVLAAVNGLKLRAPHLTTDAMVWITVAILVVFFSVQRFGTDKIGYTFAPVVFVWLLLIAGIGIYNTVKYDISTLKAFNAKYIIDYFRRNKKKGWVSLGEILLCFTGTEALFADLGYFSIRSIQLSFTFGLLPSVLLTYIGQAAYLRKHMDRPEIIPNVFFESIPKICVVLVMVITTLLMTIVMLLVWKVNIWWIAIFFVVFMSTESIYTAAVLYKFTHGPYVPVAMSAVLMFIMIVWHYVHVKRYKYELEHTVSRDEAKDLLERRDLKRVPGLGLFYTELVQGIPPIFPHLIEKIPTIHSVIVFITVKNLPVPHVDVTERFLFRQVEPKEFMVFRCVARYGYRDTLEAAGDFVKILVEYLQYYVRT >Sspon.08G0015930-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:57997688:58003563:-1 gene:Sspon.08G0015930-2B transcript:Sspon.08G0015930-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MCVLQLERRVKEVVDFYDGKKHGSGGRKGSGGGRHGAYSRGMPDLMRQFGVLLKEITSHKDAWPFLEPVDVVTLHIPDYHKIITQPMDFSTIQKKMERKDGTCYTNVREICSDVRLIFANAMKYNDDQNIIHLMAKSLLEKFEEKWLHFLPKVESEEKRQKEEESKGVAATNTSREVAIAKLAKDTDDELNQINRKLEELRKMVVHRCRKMTTDEKRKLGAGLCHLSPDDLNKALEIVAQDNPSFQTKAEEVDLDMDAQSETTLWRLKFFVREALERQANLASGKMDENAKRKREICNALAKTASKRIKKQP >Sspon.04G0014130-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4D:58355394:58357166:1 gene:Sspon.04G0014130-2D transcript:Sspon.04G0014130-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQQQARKQQQASKGHEEAGQGQAMNLEEIGKYRAEAQQRSADTIRAAEERFNKANNQQVRSGGAHGTVTVTQETAKQGESHGRGSHGSEAQQWLADVAADARERCNKALGTSPAVAHGGKASAGAAPHQQTRNEQEGGQARSHLTRQDKMGKHGTEGQKNSTAKAARATVDKHDDKGKETGATAGQGVKDTAAKHDKGRETGATAGQGVKDTAEKTQEATGTAADYTMQAPAKVKDVTASAAGMPMEYAKQAAVKAKDVTLGTGETAAEYAKQAAVKGKEVTLSTGETATEFAKAATEKAKEAAVVTTKTTAGYTQQAAIKAKDVTVSTGAQVAQKATEVTADTARKVAEYAKEKAEQGKERAARAADQAEEPGCGATTQAKDSASQTASKVKDSASQTADKARDMTRQHKDMATDTAGDMARKAADTAVQGKDKVKDTAVSMFQKAGDTSKHAKETAKDAAGGMAQRSRDTATQAGDEANDTTWSAEQKAGEAKERASVTGTGKTGGGCGDATTKAKGGDDEDTTVLGDVLEAVGATVVGLAQHAKGIVAGEEELVP >Sspon.05G0029000-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:69603487:69606492:1 gene:Sspon.05G0029000-2C transcript:Sspon.05G0029000-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPRKAPKNASAAAAAPVEGLDHISNLPDGVLQHILSFLPAQDVVRTCVLAKRWRHLWKSTTVLRFVCGGMKEPKSVKEIQDFIDQLLRCKSAEARRSIRASSVDDDDMSRTNLWIRIALQCKVRVLQLNYAGFGEFPSLDGHPFFISQYLKKLEVEGLDTLSNRLLDFSCCPNLEGLEVNDCNLGDLLLISSQSLKRLTIQCCYFGEEWRLPICARILRDLKFCSSFNKLKSLLLDEYWCEPADFSALACLLKLSPVLEKLTLQLYSKPRWQSIHQEETAAKHPPEQRNVRDKAWGLNAHSGAPEGEPKSVLNACSAAPEGEPRSGLNAHSAAPEGEPKSGLNSHSTAPA >Sspon.03G0021520-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:88212089:88215446:1 gene:Sspon.03G0021520-2B transcript:Sspon.03G0021520-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSIYRFLCGLCAPSSSEHGLHGAHPAVAALGRDILSFESTSQVPDELSRHVVSSKKAQANWYKKLLVAWKKARPPPKTPEEAAAFVVQTLKNHQKADVEGLLSFYGLPHPNAAAGAPAAPPPPKKPQGAKFELHTLPIDPKSVADGDTVNVYVDTADPREASSVPREVQKAAAERAKARAAKNYQKADALQKIIVDAGYRPVPNARGEEVLAKKYRIRLRGIDAPESAMPYGKEAKEALLKLVQGKSLKVYVYDEDRYGRCVGDIYCDGVFVQEQMLKKGFAWHYTAYDQRPELAKWEKQAQTGRKGLWASSKPQKPWEWRKDKRNGTA >Sspon.08G0006230-4D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8D:16553053:16558186:1 gene:Sspon.08G0006230-4D transcript:Sspon.08G0006230-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GFDVAGLKKLAGACFSGSTSELVIKHTMIKRFIMNHWKLFINHSTTNICEQDVQLASGRDETLRLIEIMRSRTPDFSVEDQRPSVSTAKGFETSFSSPAKLIEDQPSLRTDIVPSSNVHDIGSSPIEIAKAFMEAQTSAFVHESKRRKFRALSHGVEADNSTSKVFPTITNDSSNSRHGDTYNGQTQFSTPFSIGSKTILEDKRASTSGGMVQPSSTRGEIDVFGSIPREGSAAMKNVAFNLQGSDGKSMTENRAIFGRASGVDNISRGASVSVHPKSSETAFKILKQLDRTIPSPTSKPLGLRQTLANRNTSSVATNRQIKGPDFSIGNGNKQSSINESGSANSETTYGKKVQQPQSSPIAEESSERVQRSGANSDVSEAGTSQQPLKSNLTPTSVAEVLDNKNTSKGFSFTFPIPKAPSSLLEPPPTPTMASPPRTLPITNEDIPTFTFGSPSTANKLVFSFNSTSSSLGAGATDPTFKFGSDNKRELVF >Sspon.07G0000810-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:1865817:1866943:1 gene:Sspon.07G0000810-1T transcript:Sspon.07G0000810-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding CSYCPCFSGGGYCSDKCGCQPCFNKEAFSETVQTTRKVLLSRQKRMSMKINRRPEANAEPMEDAHHSSSSTPPRRGCNCKKSSCLKKYCDCYQVRQDYQTHCYGQDGTGCSLFCRCDDCQNPFGKNANDGFPTAEGIMADDSKRYLYTGADLDHSEGEHDFVVERSPRLQSPISKESSFHQTPPHLRASSRDTHVFPQAISQWQALPRSWQHCSNKRNSNDRAMDDSANYKNSNHDWQLSKHEDSYSISKCVQILNGMVELSQVEKSVAPDVFLQPGNREIFISLSGDVRAMWLKRKIQHLA >Sspon.04G0027780-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4B:61496502:61496900:-1 gene:Sspon.04G0027780-1B transcript:Sspon.04G0027780-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRQSPSLVCTNPTHGTRITTNLRQRPWKVASDMVCGIENCQNSNLPIRFVEDLVGGWQRCEGRLDPCFRTTSIAVVWQGEFAEQASLRGQTVSLSGHGSFIYSIFSLIFRASSTEAVGGVCTTLKLQTTWA >Sspon.08G0004600-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8B:11230980:11233569:-1 gene:Sspon.08G0004600-2B transcript:Sspon.08G0004600-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRCSNGLLGLLNAGVLVLAVVTLGGGAWLSHRASTTDCERFLERPVIALGALLLVLSLAGLAGALCRASCLLWLYLLALFLLILLLFAFTVFAFVVTNRGAGWVVSGRGYKEYRLGDYSTWLQRRVENSQNWAKIRSCLQDGNVCQKLAERKETVAQFVNSNLSPIQLINLSYVQSGCCKPPTGCNFTYQSETVWIKPTGFNTTTDDPDCTTWSNDQTVLCYDCMACKAGVLANLKNDWKKIATINIIFLIFLIVVYSVGCCAFRNNRQDNSYPVRK >Sspon.07G0029310-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:74756252:74762901:-1 gene:Sspon.07G0029310-2C transcript:Sspon.07G0029310-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGSPEQQQRSVVVRETVELTETEERIFNRLLEVVRHFGLGTQLRVAAVRKDSADIDIALDNMTGQNFCEKVNEYSKLIGEQQKGIGVIHNPDQSKHLETARMLILDIWIDFVNLRSEQYAENSRIPTMEIGTAKEDAYRRDLTINSLFFNINNNSVEDLTGRGIEDLKKGLIVTPLPAKATFLDDPLRVLRAIRFAARFNFTLDKDLKEAASDEKVKSELGSKISRERIGHEIDLMMSDKHPVRAMCDIRDLGLFYVVFSFPEKSNPPVFDKCDWQCVSHIEAAWDLAYSIGSSVFSSGSDPKSQVEQRRLCLYSALFNPLQNMFYLDKRSKKVPVSTFIIKDSLKLKTSDAETVVNIHAASEKIAELIPLLESNADVCTLKEKLEDEYLEIPGDSVKRVFAGLVLREIKDFWRVALLLSILSYPEAENAAETFNKQDELQRRREKYTRVERSITDLDLDGVWKLKPVLDGKSIMGVMQVKGGPLIGKWQQRVLKWQLAHPNGTVDECIEWIKQSQSKRQKLESST >Sspon.04G0018590-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4A:66832172:66832559:1 gene:Sspon.04G0018590-1A transcript:Sspon.04G0018590-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRSGDGAAVAAVVLVCWLCFAAAGVGAIGANWGTQASHPLPPETVVRMLKDNGFQKVKLFDAEEGTMNALKKSGLEVMVGIPNDMLATMATSMKAAEKWVDTNVSSYLNDGVSVRYLLLCVRVASDY >Sspon.01G0024770-3D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:86483607:86486175:1 gene:Sspon.01G0024770-3D transcript:Sspon.01G0024770-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRNRSRRAVGGAGAGANQGGAGGLMAELPAATAAQSPRHPSSSSAAAAPVFPSPMPFVAMALPQAGLLLDGSSEGPSSAVSPTSILETKQFCCSALPPFLSERSLRRAHTDAAAAPKPAGVGLADVLRDHGDAKVAGGKVVFGSQLRIQVPSGRAVELVSSPIEFGAKNRDAQLAVLSPARRFLPEVVSSPSARVFAGGVAVVPPGEMAMSEDYTCVISRGPNPRTTHIFDDCIVESCGDLLVDKTDKAAAAAAAGGAAVACGFLSSCHACNKQLGHGNDILIYQGDKAFCSSECRYQEMLFDEAVDNLR >Sspon.02G0021840-3C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:74527778:74529955:1 gene:Sspon.02G0021840-3C transcript:Sspon.02G0021840-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GTAVVARARSCRRFRVPPDSRRGAVVHHRYRRQLRHQGRQPPAADHGGVVPGQPHPHRPREAIRHEPGHGPRLRRHGHRADGHRGQRRHPQAGDQGRRGGVGGRQRGAVLPLDGHLARPRRERDHGHGRQGAHLEPGARHARAQGGAGGGRVPQDPRLDAALAGHSGRRVGAAVREPVPRRLGPRCVRADAGVPPAEQVAVHGEPVPVLRVQRRYAPVRAGAARQPPGRDGPRHGHHVHQHVRGAAGLGVLGDEEARLRRRGDRGGRDRVADQGDGRADRREQRRGGGVQPVPHRRGRRRVRHAADAEAHLRDVHFRALQREPQPGPVAERNFGLFYANLTPVYDVGLMKDGVRYYTRARLGLAIAETTGTRSGTALTGAAPSPAPAATHLASAP >Sspon.08G0018730-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:7954440:7958413:-1 gene:Sspon.08G0018730-1B transcript:Sspon.08G0018730-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGACDAITSANRLIGQHLRAGRVDAVREVFDRMPQRDVVSWNSLMAAYACSGVHDSAVTAFLEMRREGFCADHTSFSTVLSTCAGMEALALGRCIHGLAIKTRSSLNVFVGSSLITMYANRGVFSCLERIVDDVDSPNVALQNALISGLVMNHRVEDARRVFNQMPERNVVSWTVMIKGYFTVHEVEWALELFNLMPTKNSVSWCVMIGGLVNHKRFREVIELFNTLMSSRDAVTNAILVKIVNAYAGLKSIGGGRCVHGFAVKSGFIHDHIIEASLVVMYCNCLDIDEAQLEFYKMERKHVGSWNAIISGCIHAGKIDEARKLFYSMDGRDKISWNLMVNGYVKYGKIPDAIELYSKMPEKNLEASTTLMSCFIDNGMLDKARDVFYSMPQVDVMSCTTLLVGYVKGGYIHDALDLFRRMHKRTVVTYNVMIAGLLHHGKVTEAYKLFDESPTRDLVTWSCLINGLAQNGLNNDAFKLYKKMLLSNIRPIDINPNFNPGKA >Sspon.01G0015970-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:55538330:55541196:1 gene:Sspon.01G0015970-1P transcript:Sspon.01G0015970-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRLVSRSLEESRLLWSLAFPVLLAEMFQFSIEFVTTAFVGHLGEVELAAVTVAENILDTSAYGLLFGMGSALNTLIGQAVGAGQLDTLGTYTQQSLIICGTIALALAPVYIFATPILRLFLHQPVDVSRAAGQYARWAIPRLFAHAMDIPLLMFFRAQSRVWTLAAISGVALAVHAMLTYIAVRQLGYGLPGAAVAGDISQWLIVVAQFTYLTSGRFSDTWKGFTMHAFNNIGAFVRLSLGSAVMICLEFWYNTTLLILVGLLKHAKLQLDIMSVCLNYEFMAILVALGFSTAVGIRVSNELGAKRPMETKFAVLVAVSTSMFMGAIFMGVILVWRTSLPKLFSDSEEVIHGASKLGLLLALTVCMISICPVLSGVAVGAGWQVSVAFINIGCFYLVGIPMGILFGIKLKHGTMGIWMGMLTGTFLQMVILLTVILTTNWDKQAALTEVRMVEWGGKENLPLMKSPHTDDQMTPAKERILAQDSKKNVDLLRTE >Sspon.05G0022280-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:7058022:7059726:1 gene:Sspon.05G0022280-3D transcript:Sspon.05G0022280-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASPDHLFGLRNSFYIGAYHAAITSSQSLPAHGLSPDDLVERDALLYRSYIAIGSHQLVIGEIGPSAATPLQAVKLLAVYLSGDAGNRESVVSRLRELLSDAAVGSNPILRLMAGTVFMHERDYAEALKHTNSGGSMELLALNVQIYLQMNRADHAEKQLRVMQQLDEDHTLTQLANAWVDLVMGGSKIQEAHLIFQDLSEKYPTTCTILNGKALCSMHMGNFEDAEGLLLESLNKDAKDAETLANLTVCSLNLGKPATRYLNQLKLAQPDHALVKRMSSAADSFDRACQAMA >Sspon.08G0003160-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:6850242:6850745:-1 gene:Sspon.08G0003160-2C transcript:Sspon.08G0003160-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RPQCSRWHWPWHWPPSTSPLRPAASARRRRGSGWTRRCGSCTRRGSQSTRRGRRAAKTTTTSCGWRCSVTTSATSTPTTPRPTWGSTASASASPLSPTSPWRSSAAASLASSRAAATTRRQTPPPTGGAPASTCTALASRRPSQPPSTGARAAPSPASGTKANAVRKH >Sspon.04G0027040-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:58619252:58622216:-1 gene:Sspon.04G0027040-2D transcript:Sspon.04G0027040-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LRSHRRPGGRDGSCRRRRRRRRRRRLPGVRHGGARLPRLRLRPHRPHGGLLLPPRLRQRVRGRRRGREWRRTHHCQRQKPVRAEPR >Sspon.05G0030290-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:88670521:88671525:-1 gene:Sspon.05G0030290-1P transcript:Sspon.05G0030290-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAAATATASILLFALFFAGAHAEPAEIPCALPACKTVGGGSQFFDVQFCLAALGSDGRSISHCMDYQAYSVIATDLLAANVTATAAKIDGLLRESASGGSRDDGGVDEATTRCLRSCQALYGGTVRRQPGCVAAVRGVRKGEATRCLEEAAVAAKQCEDGFRSSKVASPVTAENQNAFMLAKLAVALLGEFGLSQGEIERAEGVRSQRDLHLLCSIA >Sspon.02G0004720-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:14953287:14953849:1 gene:Sspon.02G0004720-1A transcript:Sspon.02G0004720-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RHASCCHPRRALGHHGRWGSGFLPSSPPARPALSRGDHGRAHCGPQAPTLPLRRPRRARQARRPRLLLRHGRLPTVVPVPPPPPAARARDRPRGWPVPRAPRGRHRRLVPLRALPRRPPRRGVPDAHARGAARAAGPSAGLPAVRQVRAPPRGAHGRRRLLGPPRRLVRLPDGLGVGREEAGEH >Sspon.03G0016670-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3A:52758378:52762709:-1 gene:Sspon.03G0016670-1A transcript:Sspon.03G0016670-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGIDEANYGQWRCFFDSALSKFGLESHQDDLSINDYCTKLKRLADQFRDIGHPISEPSQVLNLLRGLNPRYHDIKLVITSKFPPHTFISARFFLILEELSAQHAPTSKVLMVTAALARGADPVPSRRVDAPLKGLLGGGGTAVMAVLDGSWPGIRASGFVGESLGDGDTEEPRGATEQARSAAQKAADELAASRRDQQVHEATTPGGILSSVQESARTVMGAVRGTFSGSGGGARDDDDATAADKATAAVERAKEYAVETKEGAWLALAGDAVARKGETDESAWYRGRRRGGARPRRPRRRRGACTSHLRHSHKVFGSMGSRYGVANIYGMATGAMAGTFAEKMVMPTDVVERKLAEAAGGGDREAAAASPAPGIGDDDDREPAEEEDVMLRVKAADQMMGQAFNDVGKMGEEGTGMPRRR >Sspon.01G0035650-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:12789252:12789947:-1 gene:Sspon.01G0035650-1B transcript:Sspon.01G0035650-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGSSRGKGTGGCSESKENCGFTRWVDPRPIYPHAQYIYYLQDRIFELEREVSNGYKDDEADDTSSGAVSQDGPCHDPYCSCPNHKNNGPPPPPPPPPPSTMGGYCGEGATQFAMWPHY >Sspon.01G0048000-1P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:108213847:108262879:-1 gene:Sspon.01G0048000-1P transcript:Sspon.01G0048000-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMLLLLERGDELPGDACDTNRRAEAAWVRVGEAGRVGRDAEKEAEGEGRDVHRQRVLGRGFAGWPASNRNSKAGHLHCSKGGFCGAGKAGLQAGPRRLHPDPCGSVPASSLPFASHGKLGGDREEGEEATRTANKRTTPPEMAAPAPKQEELQPHAVRDQLPSVSYCLTSPPPWRTPFLSSFLPPVLAVAACARCFLDLSPGLTSHTTDAVSLVCNAAEAVLLGFQHYLVMLGTTVIIPTALVPQMGGGNSFLGTRLPAVMGASYTFVAPTISIILAGRYNGIADPHEVRLLAFLSCPVPVPTEVHLFAAPVVAEIRAHHAGDARRAHCGLHPPNHHGLQWPLAHCRQVSLLLSPPWLLSPLSAAPLVALVGFGLYELGFPSVAKCVEIGLPQILLLVALSQYVPHLVPLLSTAFERFAVIMSIALIWLYAFFLTVGGAYKNAAPKTQFHCRTDRSGLVGGAPWISVPYPFQWGAPTFDAGEAFAMMAASFVALVESTGAFIAVSRYASATPCPPSVMSRGIGWQGVGILLGGLFGTANGTSVSIENAGLLGLTRVGSRRVVQISAGFMIFFSILGKFGAVFASIPGPIIAAIYCLLFAYVGMAGVGFLQFCNLNSFRTKFILGFSLFMGLSVPQYFNEYTSVAGFGPFNDMINVVFSSKAFVGGAVAYFLDNTLHRRDGTVRKDRGHHFWDRFRSFKTDPRSEEFYSLPFNLNKFFPSF >Sspon.08G0015230-2P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8D:58198373:58198729:1 gene:Sspon.08G0015230-2P transcript:Sspon.08G0015230-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADNNRRNRGLTLVAGAGLGVLTVNSGLAMYRARGDAASVLFVAGSYLTLLLLFVCLRAYERAAPGSPARARARRAVWPLTTLLTVAFAWKVAAVMPSAVAAAVVWGLAIATTVAGFVA >Sspon.02G0005420-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:11221898:11227161:-1 gene:Sspon.02G0005420-4D transcript:Sspon.02G0005420-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRRGRGKGRGRAKPRAKATEPEPEPELEEAVESEIEAGDAKAEEESGAESEDAKEDESESDAESMDAEANEEAGENGADEMDAEPEAKAGEAKAEAAATEAEAKPDASDAEDEEGGETGSDREADAKGADPKAKAEASDGEDEEGAAAETDGESEEEAAETGGENEEDGGDSDTEGDAAESPPSPPSRTRRRKRAATPDDEPEETPTPSRRRRRRKSGERGDSPPPLPDHLRCRRSDGKKWRCSGRALPTVSFCEYHYARANKGKKLPADGEVLAVALQRQKNKRKGRRSINPPMSPQAATTDRQTRDLPNGLMTISPGSSGPAALSSPVTTKVGVEIPAPIQRCYRSKNAEPLPVGPVKVVPRAMSMTKAAARTCHCCGIKKAARVANCKNCDKNFCNSCINKWYSKLSRKDIKARCPACRGLCNCKQCSLGHTRGATHEEPPSGERKILSIKISNHQFYKLLPVKLDQEQLDELELEAKIQGTKISNVRVQVAENGQNESLYCNNCKLSASQFLRCCPTCPFKLCLSCCQKIREGNMSDSTPEDKFKNRLLQQESVHEDGSITCPSIELGGCGDAMLNLIYASPSSQSEELSSDCELDAAGNHSGVKDAQVHGSPVPESNGRLSAAAQTETMST >Sspon.05G0031630-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:7136338:7137936:1 gene:Sspon.05G0031630-1C transcript:Sspon.05G0031630-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQVQSTGIKQVNMGELVRSMKQELDYYWSLDSNLDYATGSCLIYKVQQHIRDTDRFSYEPCIVSVGPYHHGSASTLGMEKIKWGYLDVVTKLNCQRNLLDFLTEIGGLAKQARSSYSEDIKMNNEAFLQMLLLDGCFILCSLDELAKYVEAALRWYPKAITDSNRPKDFHHLLHLSHIYFQPTKRLEEDCNYQFAPRYIHRFLSFGQKYFRISYFTENSEHDSSFHHELDQSHGNQLNRWRRAAQYIEAGIKFNKREYDTSNPHSLLDIKYSSGVMDIPCLIVDEYTGSLFRNLIAFEQTCPQFGDDFTAYIVFLSQLISMPEDVTLLAQRQIIVHHLDSDDSVSDLFTLLSKDVVFDFNGNYYLKFLCQTMEAHYQSRINRWMAWLWLNHFSNPWLAVGAFATIIVLICTIVQTVYGILAYVEPPK >Sspon.02G0040630-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2B:70976047:70976847:-1 gene:Sspon.02G0040630-1B transcript:Sspon.02G0040630-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVAIGAGQGGGLGQSAIDDAIEDPKDFAQVASHPATPTLEIERPEEREKIRHVRLGDGLDELLHELLEPLRRLAADVDDVAGEQRTGDEVNHTAVHGPLVALLNCIH >Sspon.05G0028090-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:61290169:61291005:1 gene:Sspon.05G0028090-1B transcript:Sspon.05G0028090-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLTVGHSTRVPASGLRNLLALELLRMNVDSANIAEELGHLTQLRVLSAIVTKDKEGRWDESMCRVLAGSLGKLHKIQTLTVRISDDVEVDLEGSMDSLWNLSHLIISGTSRLPTWIDPTSFLVLSYMYIKVGQVRSEDILVLSMLQALRGLRVEVAGTKQVFERFIISADAFPCVTRCEFSGFSTVPSMFPLGAMPRLQRFKFDMRPEDFCDSEFTVNDLALSHLPSLQAVRVRLVGEETVSEESAMKVKEALRNEARAHPNHPVIDIRIDDEWIQLC >Sspon.05G0029840-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:74229367:74230198:-1 gene:Sspon.05G0029840-1P transcript:Sspon.05G0029840-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRGSGSGGVRSTRLELQLNLSPPVGMEVDGGGGVHDDSDSSSPSSCVSSDGRSSSGGGSPGGKSPMVIGACTRCLMYCMVAKKDYPTCINCKQPCLVDLLHGEAGGRGGGGSEAAGDKKQQQRGKRK >Sspon.05G0020360-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:86643027:86645192:-1 gene:Sspon.05G0020360-1A transcript:Sspon.05G0020360-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGAVIGASGDKGPFKFVLAWNEIHHRIAHICVYSSETGVWGDVISTAVEPLSSVGYGNVLVGHTLYWILFGHQVHILQFDLGSQNLAVIEGPPVEEDHWGIFLCTLGKGGGLSLIVMSANLKAQLWLWETSSDSDGVARWMHGGTIELDKLLSLRSGEYQQVLWLAGDDNVMFVSTNRGVFMVDLESMQFQKIFETSIVTNQCIHPFKNRYAQGLFGLLATTALWLLLQQAISAATDNLVK >Sspon.03G0025770-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:734118:735785:1 gene:Sspon.03G0025770-1B transcript:Sspon.03G0025770-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMALRRLRPWAFLLFFLVLFSYDESGLWSRSRTRSGVAQATQRVFLYPQAPKVSSIVSSKYRTAYHFQPPKNWINGPMYYNGIYHQFYQYNPNGSLWGNIVWGHSVSTDLINWIRLEPAIERTTPSDINGCWTGSATILKSDQPAIIYTGADTESRQVQNIVFPKNLSDPYLREWIKPDNNPLIQPVGQGLNPKQFRDPTTGWIGPDGLWRIAVGAELNGYGAALLYKSEDFVHWTRVDHPLYSSNASTMWECPDFFAVLPGKNIGLDLSAVIPNGAKHVLK >Sspon.02G0000590-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:22969418:22972145:-1 gene:Sspon.02G0000590-2B transcript:Sspon.02G0000590-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPSPSSAPAKKRIKWLRGNKKVIDRYLGEARAALAAAAQDTEDGDDAAVAALGLVTAALEMSPRAEAALELRARALLALRRYRDVADMLRDYIPSCGKSCSGDDAATTTTSSSSSCSSGSGAELLSPDRDRFDAGATRFLCCLDVSDLKRRLVASFSRSSTTEAQWRYLVLGQACFHLGLMEDAVVLLQTGRRLATAAFRRESVCLSEDSFSPSNLLTANAVPAPANRRTSSSSSTTASEAESVSQLLAHVKLLLRRRAAAVAALDAGLPGEAVRHFNKVLDTRRSVLPHPFATACLVGRAAAFRSAGRPADAIADCNRALALDPAFIPALRARADLLESVGALPDCLRDLDHLKLLYDAALRDGKLPGPSWRPQGGIRFGEIAGAHRTLTARIQQLRGRVAAGEGCSVDYYALLGVRRGCTRSELERAHLVLTLKLKPDRSVSFAERLELVDEHRDLEAVRDQARMSALFLYRMLQKGYSFIMSAVLDEEAAERQRAKDAAAAAALAAMAESEPKQEEEEEEVATIMPEKPESVPLAIANGGNVLVTTKPEAMAPVIPMPRTVVTAATPLSPPFQGVFCRDMAVVGTLLSRGGFDRPIPVKCEAMSC >Sspon.03G0018460-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:76933410:76934385:1 gene:Sspon.03G0018460-2B transcript:Sspon.03G0018460-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding PKWLMRWLVSSALLHQWPLCLRSMRGKQRAMAIVAAALGTREATGGQPRMPSSGTSWRSTAPRTGTSSPTNSTTEQVRAAGCGGSTSWTRGSTAGRSRRRRRSGSWRRTAPTATSGRSSRASSPAAPTTPSRTTGTSSWRASSASSSPARPDPDDASPPRRRPRHQPSSTFATSMRPLPCRSAPASTRRLRRRWPPVPARTATAASPTSPCPPPAPTSPSAPLAGPAPSPASTTRARTTPARRASSAPRHYCFTACFAPFPRRRPIGQRPPTMDAERESSPCPSSTSWALAR >Sspon.02G0056600-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2D:29732511:29733599:-1 gene:Sspon.02G0056600-1D transcript:Sspon.02G0056600-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVTRPARRGHPSSCPHPAHALPLLSPYLTLYLASADCRVPPQPLPQLQCRQDASLQPSLLMAAGHTCRSASVARGVMAARHGRRGMGLGEPGPADVARGVLGAGGRGLTGWGAHAGRGERRGGWRRVESRQTERIACGRRVVWTRGATIGARRINRWGQAAQAFPDIGALAMPFPLKSWVKDGNSNRFMVVSSAEGGPEASGETLVHSKTLNT >Sspon.02G0052480-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2C:92883330:92884071:1 gene:Sspon.02G0052480-1C transcript:Sspon.02G0052480-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DSRTWV >Sspon.02G0034070-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:11689013:11690491:-1 gene:Sspon.02G0034070-1P transcript:Sspon.02G0034070-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRSPGNSPRRLSPSPTPAPSTPRPPSPTPSTASALATASSKRRRPEVLDEDTYVAAIERIIERDFFPDLPRLRDRLDWLQAVRSRDPLILRDAQLKILDRRRRVQRRGTGPVPTPTPATSTALRSPSFLTTPAGSVAGGVGAPEEEEDDIADALSLDGFFCRFTSEDNESFSRILDKVNQRRRERYAHLLEPAEVGNKPLLEDAERDRITDGYGTSGQPPSTLEGAKFVAKNLLMYYPADRGEAPLTEEERAERLKAMTKEIDKSNTRLHGRATADDARPREEEAAILYAPVASSTPGGMAYHDPDKLKKYDLEDLRKTPNPFYLESDKKADNGYSFVRTPSPAPGVDESPFMTWGEIDGTPLRLDPNETPGGSERARFKIPPPPARDVKAHLLSRDAARKIKERSKIFHRPPLPSPARGGSASPRTLSPAAQKFVRNAISKSAKSSNTIDESLRASYRGSTPSASTPKTRFSRDPGLASRSPSTRQGSTPP >Sspon.05G0025640-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:25427623:25431686:1 gene:Sspon.05G0025640-1B transcript:Sspon.05G0025640-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQPAATVAAEAQPQGRGPAGRQGGGGGGLGQSIAGIVRMAVFWYFAAKFFGPKRPPADPGMLMSNLFQKGEPMDMWMYLSENEKFNDFANEDALIWHEANIPYAVWGPTSTRTRSLTYYPSEALKHNGSLYAHVYFARSGYPVDPTDPEYEQKSAFGRTHPVVAFLPKSKAGKKKSLLGDSEEPEEQAPPKENKEFEDKDEGPTEYISYWKPNLPVGDKTLYEFPVYPNNNVPPNVAPSRMFLVFLYDRLTDLNVDPATGDYYPTVFFNEFWLLRDKLIALNETVEELPLNLEVGPISMTKWQLFLQIEQSFQVHRSYGSMLEGEADELKRVFLEGNPYLLGLTMVVSLLHSLFDFLAFKNDIQFWNKNKSMEGLSAKSVVLNFVCQLVVFLYLLDNDTSWMILASSGIGVCIEFWKIGKAMHIEVKMHHSYPLYLLFK >Sspon.05G0020600-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:87495925:87496798:1 gene:Sspon.05G0020600-1A transcript:Sspon.05G0020600-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding PRLLHSDKTETRPPPLFSPPRPTTPRPIHAGHNPGCPRCPRLSSPPLLDAALPPHRRLISSLLLQVLDHK >Sspon.07G0027830-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:62386252:62390141:1 gene:Sspon.07G0027830-1B transcript:Sspon.07G0027830-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFGNVFIVLGSGIAGSILTGDAKLPKLGDVFSGAAKLVKKHGKEGGDAKSANSDQNQLLSQIDSLWEQIHTLATTPNTIVTPAANSGPGVYTITAVVVAGAIGYAYIKWKGWKLSDMMFVTKRGLSDACTAVGNHLDQVSDSVVVARKHLAGRIDRVDISLDETQQIIEGTRDEESKMGRLESSQASCLPRLALESPISSPVAESPRAETSLQVSPAAERPETTSQEQEQEGVSRTCRTRNREGSSEEKSSHSHGASSLSSASLAAKAASMKTQNPSSSRLRGLWMPVLDTLLRASALKAQVSTLLSLPMDNSEAQVSRDDTAKPRLGTMDDSEAQVSRDTAKPRLEMVVL >Sspon.02G0028450-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:116645748:116649700:-1 gene:Sspon.02G0028450-2C transcript:Sspon.02G0028450-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPKRRREGSGGSGGGGGGGGAGAGVGGGAGGRGGRRPTQPPPPPPRAPALKRRCRSFDLEIRGCRHIQELTTRVEAMEAAISRIPEELRKVLWSHFNNIPGARTEKNLPPTYKLIFMNSLSDEIFTKRDVRAADGSQIKVKMVVSNQQDNNCSRLLSSNVRIVVLDGDFNADNHEGWTPDEFDDHIVRPRDKVGAVLTGKLDVKLKDGEACLHGITFIDNSSFTRSRKFRLGVKLLEDLGERVQEGVTEPFTVKDRRGEGYRKREIPRLDDKVWCLKKIGKGGVFHKALEANGISSVEDLMRLYYKDEKALRNILGNASPSAWKAIIDHAKKCDPGRSLYSHFIEDKNIRVYVSPLGQIVGATIAGQYNAFDDLDTLRKAQLEELSKDAYRSITYHHHDYEMYNGQPKPINCSTLEESIIPGHKPTEPDDQTIHEADEQGTSEVNRFSGTLSQQCTFERVGSVRVRTLSSVPENNETDVSFDIDVQTDSATGFQYEAPEANYTAGVSLGHWYPEQPMVSLYASPFPLSMQAGDPVLLTQSSFNMDEFFKDLPHDKPQFCAPIVSKLPTDVGSSMTKLPACRRWVKLSALVKWKAIMRASKRARLMFEQEKLEIELKVMTFVQNKPEKIYMRVWKVNIKANSKEHTHSKE >Sspon.02G0039100-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:48772474:48776139:-1 gene:Sspon.02G0039100-1B transcript:Sspon.02G0039100-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding REKAEAVPTGAGGPHVLVDGRSAGHETGGSCAGVRTGASWSCQSSCPEWAVVELQGVVQPQASFAGDIRGLHIGRLCSSPSPSSSSKARDRQAGYTFTVGYHELAGTKVTLKKPLLVLRKKKDECWLWGTGATGGGAGEPPTKEKKTVQPAAKPSKAVVIGAVGVAEALAGVSFADAICAPAATFGGERMEMVAAFRHWLRPSRIGMGNIGGEACRPPPLFIAQCDGAHQPCRVGRP >Sspon.06G0001080-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:3695039:3700476:1 gene:Sspon.06G0001080-1A transcript:Sspon.06G0001080-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLIIAPVVAFCVFVARHLINDFPDSWGISVMVVAVVFTVYDLMLLLCTSGRDPGIIPRNSHPPEPESIDGINDTGVQTPQQFRLPRTKEVVVNGISVRVKYCDTCMLYRPPRCSHCSICNNCVERFDHHCPWVGQCIGLRNYRFFYMFVFSTTLLCLYVFAFCWVYVIKIRDAEQLSLWKALLKTPASIVLIIYCFLCVWFVGGLSVFHLYLMSTNQTTYENFRYRYDRRDNPYNRGILNNFLEIFCTAIPPSKNNFRARVTVEQGLQQTRSQSRGFMSPSMGKPIGDLEMGRKPVVPWDEPRSAADIRDLEAGLGGMFDEKEGRVAHASPDLSRDLPAEFVEGRSGMHSRQSSWVQRAGDAFEASAVQMANARTAEASSNVARSGTR >Sspon.01G0015850-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:49129651:49132997:-1 gene:Sspon.01G0015850-1P transcript:Sspon.01G0015850-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat receptor-like protein kinase (Fragment) [Source:Projected from Arabidopsis thaliana (AT2G01950) UniProtKB/TrEMBL;Acc:C0LGJ7] MDMLNLLLLISSIYVLSAFTPVATTDADALLRFKASIQKDPGGVLSSWQPSGSDGPCNWHGVACDGGDGRVTRLDLAGNGLVAGRASLAALAAVDTLQHLNLSGNGAALRADGADLLSLPPALQTLDFASGGLGGSLPGDLLTRYPNLTTVSLARNNLTGVLPESLLAGAPSIQSFDVSGNNLSGDISRMSFADTLTLLDLSENRFGGAIPPALSRCSGLRTLNLSYNGLTGPIPESVAGIAGLEVFDVSSNHLSGPIPDSIGNSCASLTILKVSSNNITGPIPESLSACHALRLLDAADNKLTGAIPAAVLGNLTSLESLLLSNNFISGSLPSTITSCTNLRQQDLRPAAGDLCSPGAALEELRMPDNMVTGTIPPGLANCSSLRVIDFSINYLRGPIPPELGQLRGLEKLVMWFNGLEGRIPAELGQCRGLRTLILNNNFIGGDIPVELFNCTGLEWVSLTSNRITGTIRPEFGRLTRLAVLQLANNSLEGVIPKELGNCSSLMWLDLNSNRLTGEIPRRLGRQLGSTPLSGILSGNTLAFVRNVGNSCKGVGGLLEFAGIRPERLLQVPTLKSCDFTRLYSGAAVSGWTRYQTLEYLDLSYNALTGDIPEEFGDMVVLQVLDLARNNLTGEIPASLGRLHNLGVFDVSHNAISGGIPDSFSNLSFLVQIDVSDNNLSGEIPQRGQLSTLPASQYTGNPGLCGMPLLPCGPTPRATASVLAQPAGSRSDRRALWGVILAVLVAGVVACGLAVACFVVARARRKEAREARMLSSLQDGTRTATIWKLGKAEKEALSINVATFQRQLRRLTFTQLIEATNGFSAGSLVGSGGFGEVFKATLKDGGLCFLHHNCIPHIIHRDMKSSNVLLDGDMEARVADFGMARLISALDTHLSVSTLAGTPGYVPPEYYQSFRCTAKGDVYSLGVVFLELLTGRRPTDKEDFGDTNLVGWVKMKVREGAGKEVVDPELVVAAVDGEEREMARFLELSLQCVDDFPSKRPNMLQVVATLRELDDAPPSHEQAPASA >Sspon.02G0058450-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2D:75777002:75777898:1 gene:Sspon.02G0058450-1D transcript:Sspon.02G0058450-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEHEGGDRRGRPEISSVISHRATLDGSPNQPNRRRHACSDLLPSSEQRQTFTDARGTTTEIRREAGEIDAGRLHGNKSTGRHSSVVITTPPARALPMDEDAGGASPPVPLAQVPPPPPQHPTAPSQRDMAASPTSSRSVTETVNGSHRFVIQGYSLAKGMGVGKHIASETFTVGGYQWAVYFYPDGKNPEDNSVYVSVFIALASDGTDVRALFELTLLDQSGKGKHKVHSHFDRSLESGPYTLKYRGSM >Sspon.05G0017180-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5C:67127942:67128906:1 gene:Sspon.05G0017180-2C transcript:Sspon.05G0017180-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKAAAAVASDVEDRISELPDDALHHILSFLPSDDAVRTCVLARRWRHLWRSTRAVRVTQRRGRPWDAAWTPRTLNHFVNRLLLLCGGAPPLEEFEISCGEIHDAETHDYEMESNGSRIRRDEELSHSAGPWRSARPGRSRSPSALSGAAFRLLTMSSSPSCPALQDLDMVRCRIRVDRILSQSLRRLGINGCDFDEILRCRISTPRLISMRLAVNYGRTPVLDSMPTLFAAQVRLQDDCEDICQHNSYYGDCVDGKCGGCYGIGSDGSSSVLLQGLSDATDLELTSYPNVVCMGANFSA >Sspon.02G0042970-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:80209616:80214634:-1 gene:Sspon.02G0042970-2D transcript:Sspon.02G0042970-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASARDSADAAERVVDDHDGWASDGEMDVEGEFQDRDADRRDGGADGDDEYSLLTRIRDTSAAEARAGKDIQGIPWERIHITRQDFRKARVEQYKNYENIPQSEQLMDKLCKQVEKSSKNLLWATSKHDVYFMSNSTVSHWSSLSHKLSEVLDFSGHVAPAEKHPGSLLEGFSGVQVSTLAVNEGLLVAGGFQGELIGKDLEGCNIKFCARTTLSNNAITNAIDIHRSTSGSLRITVSNNDCGVREFDMERFQLLNHYHYNWPVNHISVSPDKQLLAVVGDDPDALLVDPRNGKVTSTLVGHLDYSFATAWHPDSRTFATGNQDKTCRIWDTRNLSTSLAVLRGNIGAIRCIRYSSDGRFLVFSEPADFVHVYSVAADYKKRQEIDFFGEVSGITLSPDDESLFIGVCDRGSEIRSSPEFLDKS >Sspon.02G0027300-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:123081937:123089244:1 gene:Sspon.02G0027300-1T transcript:Sspon.02G0027300-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTAGDDDDDGPPPAYAAQRGPRRDPRLKDLGISCMLNTEVGALLAVIRRRPDPYSYLPPAVAAAEEATFAALINSLKALRGLLFQPRHGAWRCSDPSTYLTPFLDVVQSEEAPPAAAGVALSSVLKILRIDVFDECSPGARDAVHAILTALTNCRIERISDAGAEEAVLLRVLQVLAALLRTRAAPLLSDSSVCTAVNTCFQIVQHAAGSRGSELLQRTARHCMHEILQAVFARLPDIRDDADGDDLSLGSSAGFGARCMVDVFNFLCSLLVNASDMVITPDGQGAFTSEEDVLLFSLVLVNSAVELGGEAIGKHPKLLRLIQDDLFFHLIRYATEYSPLVLSMICSTALNLYHFLRRFLKLQLEAFFMFVLLRVCGGGNGPQLQEVAVEGLISFCRQPTFVIEMYVNYDCDPLLHNVFEEVGKLLCKAAFPVSGPMTTIQLQAFEGLVNMITTIADNVEIDKTPEHDAYAVDVSEFRLFWTERWDSAAAGGGVGGDGGHRETWVDFVRKRKLRKKKVAVAANHYNRDQKKGVEFLKLCHLVPTPPDARSMAYFLRYSPGLDKIKIGEFLGDPDEFNLKVLKEFTETFDFTGAILDTALRTYLETFRLPGESQKIQRVLEAFSERFFEQQTTGVFATKDAAFILCYSLIMLNTDLHNPQVKKKMSEEDFIRNNRAINDKKDLPREYLSELFHSISTNAITVFSTSSAAVEMTRSRWADLVKRSRALEPFTPCDFKHKLSREVFIAVSGPTVATLAAIFDCADDEETLNQCVEGLVSVARIARYGLEDVLDELLCCLCKFTTLLNPYATTEETLFTFSNELKPRMATLALFTIANRFGESVRGAWKNVVDCLLKLKRLKLLPPSLIDPDGSGAEQRPGHRHRSSASDAGVIFPPTHRGAGTSRHVSGMIGRFSQFLSLDGGESLLSVGSEFENNLKIIKQTSGGRSSSPRGGKGQKFSTPIEEEDTVGFCWDLLALLATANLQRFATFWPPLLECFSAVSQLPLFSPSPFAEKAIVALFRVAVRLLSTPPPQRPSDSRVPEELVFKSINMMWKLDKEILDTCCEGISENIVKLLTEHADGVQTPLGWKTLLHLLTVTGRHPETFDQSVAAMIKLMTDGSANVTRFNYAAVIEAAFGFAALKISPLDVSTKILELMAESVNWLIQWHKSGYSDPGNSGGFSGSSSSSSSVDEASRMGNLAANMFIKLAEALRKTSLVRREEIRSQAVFELGRAFNLAATGDLDFGPAGCLACFNLVIFAMVDDLHEKTLEYSRREGAERETRSMEGTLAAAAELLADVFVLLLPTVAQAPGFRTFWLGVLRRMDTCMKCDLAAGGGAGLMQELVPRMLKRMIMEMKAKEVLVPREGDELWEITHIQIQWIAPAAMDELFP >Sspon.08G0005840-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8C:18382653:18383597:1 gene:Sspon.08G0005840-2C transcript:Sspon.08G0005840-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MILAVAFLAAGRRPRSPQCLTPKCGKDFKCFPRCYRFARF >Sspon.06G0011550-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:29274894:29276931:-1 gene:Sspon.06G0011550-2B transcript:Sspon.06G0011550-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMKHTSLLQYLLFLLTTLASCDDQFLFSGFTQSSLNLDGCATVTNNGLLDLTNGTEILNGHAFYPNPLHFRKSPDGKVQSFSVNVVFSIFITYPDLSADGMAFFIAPTKNFSDARAAKYFALLNEKSNGDPSKHIFMVELDTYKNVELQDINDNHIGININSVISLNSSTAGFYEDDGGTFRNLTLNGNQGTQLWVDYDEGTTQINVTLAPTNMAKPSRPLLSATCDLSTVLSNSTSYIGFSSVATPINTRQYVMGWSFGLNKPAPPLDVSKLPKLPSLGPKAQSKVLAIVLPIATATLILSIGTIVVLIVRRRLKYAEVREDWESEFGPHRFSYKDLFHATGGFKNKHLLGEGGFGKWEKIVIHRDIKASNVLLDGEMNGRLGDFGLARLYDHGTDPQTTHMVGTKGYLAPELLHTGKASPHTDVFAFGMFLLEVACGQRPVKRNAEGNEFFLVDWVLEHWNNGLLTKTMDSSLQGDYNINEAHLVLKLGLLCSHPLPSSRPRMREVMQYLDGDMPLPELRPTELSSNMVALMENSGLNSAVMSYPQISSSFCTVSGLSGGR >Sspon.03G0025710-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:4661530:4662350:-1 gene:Sspon.03G0025710-1P transcript:Sspon.03G0025710-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEAVDDYDLHMVCYGGDDDGRVMEWESGLPGADELTPLSQPLVPPGLAAAFRIPPEPGRTLLDVHRASAATVSRLRRAPSSSSSGSGSSFAPFHPQAGPARGTRGPTPVGGAATSKRPRLVWTPQLHKRFVDVVAHLGIKNAVPKTIMQLMNVEGLTRENVASHLQKYRLYVKRMQGLSNEGPSPSDHIFASTPVPHSLVHEPQPQQVPVPASMYAMHGVAAVGMVPMASGGQAYHHHHHHHHNGTGGGGYPHPHPQAAYHHYHHADK >Sspon.07G0016410-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:46322154:46324635:-1 gene:Sspon.07G0016410-3C transcript:Sspon.07G0016410-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAATSFATLAVARARRGSGPSSPQGRPLAAPLPPGAGLPSQAVSFSGASRRRPPTAGRFATSASAEPKEADLQSKITNKVYFDISIGNPVGKNVGRIVIGLYGDDVPQTAENFRALCTGEKGFGYKGSSFHRVISDFMIQGGDFDKGNGTGGKSIYGRTFKDENFKLVHTGPGVVSMANAGPNTNGSQFFICTVKTPWLDGRHVVFGQVLEGMDIVKLIESQETDRGDRPKKKVVISECGELPVV >Sspon.02G0000290-1P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3A:55328959:55333490:-1 gene:Sspon.02G0000290-1P transcript:Sspon.02G0000290-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDHLPAANNEVRHGLGCCQGGFGCTCLSHPEMMVRPECSCSPSRSRTSMSDGGISRDSSENHGSEDATASEVNTGAFTWGHPKTINSFQSISQDASIMSRPFPTRQDMSESQIIVNGIEHVLSGCVFPAHESWCDQTLTTSGQFDRRV >Sspon.07G0026810-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:55643627:55649205:-1 gene:Sspon.07G0026810-2C transcript:Sspon.07G0026810-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAAVNGLAGAGGGIIAQIITYPLQTVNTRQQTERSAKKRKPGSGASTTHTSTLFQMLQLIQTEGWGGLYSGLKPSLIGTAASQGIYYYFYQILKNKVEDTAVARSNKGLGDGTVGMLSWLGIAAVAGSINVLLTNPIWVLVTRMQTHTQAKRKILESKTELLLKEMSRANSLEVAVLKDRLVKLESEKPRPYGTLQAIREVYHESGIRGFWKGLVPTLIMVCNPSIQFMIYETLAKRLQSKRSGKQLPKRNLTAMEVFLLGAIAKLGATVVTYPLLVVKSRLQAKQEIGSNVMSRYTGTLDAIIKMVRYEGLHGFYKGMGTKIVQSVFAASVLFMVKEELVKLAVLLVARSRTMLITTSKKQ >Sspon.02G0027260-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:109229341:109232085:-1 gene:Sspon.02G0027260-2B transcript:Sspon.02G0027260-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHVSFYRNYGKTFKKPRRPYEKERLDAELKLVGEYGLRCKRELWRVQYALSRIRNAARHLLTLDEKNPRRIFEGEALLRRMNRYGLLAEGQNKLDYVLALTAENFLARRLQTLVFKAGMAKSIHHARVLIKQRHIRVGRQIVNVPSFMVRVESEKHIDFSLSSPFGGGPPGRVKRKNQKKASGGGGDAGDEDEE >Sspon.07G0033320-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7C:59633030:59633398:-1 gene:Sspon.07G0033320-1C transcript:Sspon.07G0033320-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRNSRTAARLPAAAALLLLPPLLVLATTVSLASAARPAAPSPQPAAPTPVPMTDVEPGEVSVAAGIGMLLVTKASPSEAPPGIETSVVVSPPLPILLPIPPSGPSNGHNNEPPRASPGVM >Sspon.02G0019920-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:67018857:67028932:-1 gene:Sspon.02G0019920-2C transcript:Sspon.02G0019920-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASAGLVAGSHNRNELVVIRRDGDPGPKPLREQNGQVCQICGDDVGLAPGGEPFVACNECAFPVCRDCYEYERREGTQNCPQCKTRYKRLKGYQRVTGDEEEDGVDDIDNEFNWNGHDSQSVAESMLYGHMSYGRGGDPNGVPQPFQLNPNVPLLTNGQMVDDIPPEQHALVPSFMGGGGKRIHPLPYADPGLPVQPRSMDPSKDLAAYGYGSVAWKERMESWKQRQERMHQTRNDGGGDDGDDADLPLMDEARQPLSRKIPIPSSQINPYRMIIIIRLVVLGFFFHYRVMHPVNDAFALWLISVICEIWFAMSWILDQFPKWFPIERETYLDRLSLRFDKEGQPSQLAPIDFFVSTVDPLKEPPLVTANTVLSILSVDYPVEKVSCYVSDDGAAMLTFEALSETSEFAKKWVPFCKRYNLEPRAPEWYFQQKIDYLKDKVAASFVRERRAMKREYEEFKVRINALVAKAQKVPEEGWTMQDGTPWPGNNVRDHPGMIQVFLGQSGGFDCEGNELPRLVYVSREKRPGYDHHKKAGAMNALVRVSAVLTNAPYLLNLDCDHYINNSKAIKEAMCFMMDPLLGKKVCYVQFPQRFDGIDRHDRYANRNVVFFDINMKGLDGIQGPIYVGTGCVFRRQALYGYDAPKTKKPPSRTCNCWPKWCFCCCCFGNRKHKKKTNKPKTEKKKLLFFKKEENQSPAYALGEIDEAAPGAENEKAGIVNQQKLEKKFGQSSVFVTSTLLENGGTLKSASPASLLKEAIHVISCGYEDKTDWGKEIGWIYGSVTEDILTGFKMHCHGWRSIYCIPKRVAFKGSAPLNLSDRLHQVLRWALGSIEIFFSNHCPLWYGYGGGLKFLERFSYVNSIVILEMRWSGVGIDDWWRNEQFWVIGGVSSHLFAVFQGLLKVIAGVDTSFTVTSKGGDDEEFSELYTFKWTTLLIPPTTLLLLNFIGVVAGVSNAINNGYESWGPLFGKLFFAFWVIVHLYPFLKGLVGRQNRTPTIVIVWSILLASIFSLLWVRIDPFLAKDDGPLLEECGLDCN >Sspon.02G0039860-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2B:62077963:62078591:-1 gene:Sspon.02G0039860-1B transcript:Sspon.02G0039860-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VLGPSDGALLRSLHRLTRDLSATAAETPAPPFLRAVLASITRQPKLLAAAFDDLVLCTTETGDMPRSASLCLIGAPRAAALQGAGRRLRRAEQMRLLLQSDEFEVEVRELHQDLATLLDLFPVVELPRTSWTCWTLRCASASGSRRRSSRPGGVGSQGQSALAGDRAGAVEAAGDPGGGHQTRRPAVKRSTASSGRSATTPRRGGRMP >Sspon.08G0016470-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8A:64979557:64981387:1 gene:Sspon.08G0016470-1A transcript:Sspon.08G0016470-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GLRTLRTLPPAGLPTVPAVSRGRSSTRSRTSAAEGFIRPYCTAATGIYTSVVFSDEPVLVSYEIRTRLASVKAAKKQRQQQTATLVQLRPAAGSATEWKMRSRQATRLFLLASDSCARLPRRGRRAGGRTGKLRPCPRLGLAVYGRARSRALRPARPSHLLGPVGSSDAPRRGQPIPPHCQCQMEQGQATTWVPTPRTGAGRASAHFSDSTLVLLWCRPSTF >Sspon.02G0028200-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:119089145:119095623:1 gene:Sspon.02G0028200-3C transcript:Sspon.02G0028200-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAIISAIIGDVVSRAVSLLIGRFSNNQESTEDKLQRLRLLLIRIHSVVEEAKGRQISNHGTLQWLSELIDGEYQGCYLLDSVGCSGGQQEVDRDDDDKVIPQVSTLSLFNPAKRIRVAAGYTIRLHHDDIDISVEEIERVLQRLQGMSHDLREFILLLQDCKPVRRPLATSIFRDGQIGVGKTTLVQYACDDARVRSHFPVIMLYSFSSTYDVKKNEGTCSDDPLELVNGNTFRDKHKRCLVIIEDVDMHRKQMLEEFLRRSSCSKVGIIRMIITTNNRRVANIIGTVEPIMLKPLPCPEYWFFFKAHAFAGGDVEDNPRLVSAGKAIARKLNGSFFGAKIIGAVLRDHPDPRLWCKVLRSSIGGMSPLGDGISYISDLADSLLPGHVDMCHVTLSKDPSFPSQRTQQLAMFKDLHGAVPHDSMLACWADDIRFAKVASQQWKPHVQDE >Sspon.01G0019680-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:71465252:71471620:-1 gene:Sspon.01G0019680-4D transcript:Sspon.01G0019680-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSGGPPMSSGADGSDPSTGLVPGTIPELPDREPPLLQAPSPSPQQEAVASQTEAPVPAVTIVISRPAEEVQTPDAKRGSPPCSPQAGDGKATATSVSLTASAAAKEAELARSDNFDDYEQCRAAKEEQEVAGSGGPPMSSGADGSDPSTGLDPGMIPESPGREPPVLQAPSPALQPEMVVLQAKVLVPAVTIVISQPGEEVQIPDAKGGSPACTLQASDGKAMATSASLTASAATKEAELARSDSFDDYEQCRVCQQKTEEPLVDLGCRCRGDLAKAHRTCIDVWFRTRGSNKCEICQQVIGFGGLIQLMEGDEEDGWFSPLWVAFAILIGGLLLDVLISVSLGVSALPVNIIIGVLIVLGLGTALRLALECCQEWGSRRNVSNMPSLENIPPTGYHPAVV >Sspon.01G0057040-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1C:95698728:95699539:-1 gene:Sspon.01G0057040-1C transcript:Sspon.01G0057040-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSMELLHALIPEKQSEEEAVALMAPVAATTTTTSSSATVMSGDEVAHVPQGWAKKKRSRRQRSEEENLALCLLMLSRGGNHRVQAAPPLVPSAAPVAAEFRCSVCGKSFSSYQALGGHKTSHRVKLPTPPAAAAAVVPAAVPLVEVVTVIPPRQSRSSSEFPTGQALGGHKRKHYDGGVGSSAASTDVLATAAAAAAETSEVGSSGNGSSAARAFDLNLPAVPEFVFRCGKGGKMWEEDEE >Sspon.02G0000260-1P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3A:55378320:55378632:1 gene:Sspon.02G0000260-1P transcript:Sspon.02G0000260-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LYGGASAWTSASEHPRLLSVSSSCSVSCALPARISRCPDDLPHPRCSHAREAVRALPSPPPELELTRPNGGKGREGKGREGRGGGGEERARPLHHNTASPSDI >Sspon.03G0036530-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:90419100:90422588:1 gene:Sspon.03G0036530-1B transcript:Sspon.03G0036530-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVPVNPKPFLNNLTGKTVIVKLKWGMEYKGYLTSVDSYMNLQLANTEEYIDGQFSGNLGEILIRCNNVLYLRGVPEDTEIEDAE >Sspon.07G0031320-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7C:21482219:21482710:-1 gene:Sspon.07G0031320-1C transcript:Sspon.07G0031320-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKGEATHMSEDQEPQLAFEHDDVHEREENVQEQEMEQNASFPHDSCYGGGVHGDDGGGGGGGGGAEDDLDKA >Sspon.08G0001830-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:4032930:4037128:1 gene:Sspon.08G0001830-3C transcript:Sspon.08G0001830-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVELAARTSHTSKAGEETPPPSPSSAAASPPAEDAPLLPDAGVRRRPACGRFAQRSGSFRREVGRAAAETFLLTRLTLILLRYLGIGYRWIRQFLALCCYTLLLMPGFIQVVYYYFFSSQVHRSVVYGDQPRNRLDLYMPTSTTGLKPVVAFVTGGAWIIGYKGWGALLGRRLAERGIIVACIDYRNFPQGTIGDMVEDASQGIAFVCKNIASYGGDPSRIYLVGQSAGAHIAACALLNQAIRECGEGDTSSWSVSQLQAYFGISGGYNLLNLVDHFHRRGLYRSIFLSIMEGEESLQKFSPQVMIMQSSARSAVSLLPRIILFHGTSDYSIPSVESQAFIDALQQQGAKADLFLYEGKTHTDLFLQDPLRGGRDKMLEEIAGVIHSEDPDVSAQHLVVPVARRLVPEFMLKLAGKVY >Sspon.06G0011470-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:49219921:49224598:-1 gene:Sspon.06G0011470-3C transcript:Sspon.06G0011470-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:MDAR6 [Source:Projected from Arabidopsis thaliana (AT1G63940) UniProtKB/TrEMBL;Acc:A0A178WFH3] MTSALRRKAAVMASAAAQCFSYSSSPATWALRQRGGGSGAGVLRLSSRRTFSVSAAAVFDNQNREWRSAVLKVKWNAHGWLVGGSSDCRYVIVGGGNAAGYAARTFVEHGMADGRLCIVSKEPVPPYERPALTKGYLFPPDKKPARLPGFHTCVGSGGQRQTAEWYKENGIEVLYEDPVVAFDGKTQTLKTSSGKILKYGSLIISTGCEASRLPEKIGGKLPGVHYIRDVADADSLVSSLGSAKKVVVIGGGYIGMEIIFPEDHIMPRLFTPSLAKKYEELYQQNGVKFMKGALIDKLEAGSDGRVIVGIGAKPVVSPFEAVGINPEVGGIEMYNRTARVEHVDHARKSAHHCVETLLTSQTKAYDYLPYFYSRVFEYEGSSRKVWWQFYGDNVGETVEVGNFDPKIATFWIDSDSRLKGVFLESGTSEEFSLLPQLAKSQPVVDKSKLEAATSVENALEIARSSLQSSASV >Sspon.06G0011120-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:47767484:47775334:1 gene:Sspon.06G0011120-1T transcript:Sspon.06G0011120-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDARFPYSPAEVAKVELVQFGILSPDEIRQMSVVQIEHAETMERGKPKPGGLSDPRLGTIDRKIKCETCMAGMAECPGHFGHLELAKPMFHIGFIKTVLSIMRCVCFNCSKILADEDETKFKQALKIRNPKNRLKRIYDACKSKKVCAGGDDLDVQEQDTDEPVKKRGGCGAQQPNITVDGMKMVAEFKAPKKKSDDQDQLPEPVERKQILSAERVLNVLKRISDEDCLLLGLNPKYARPDWMILQVLPVPPPPDDLTHQLAMIIRHNENLRRQERNGAPAHIITEFAQLLQFHIATYFDNDLPGQPRATQRSGRPIKSICSRLKAKEGRIRGNLMGKRVDFSARTVITPDPNINIDELGVPWSIALNLTYPETVEGTCRIWASPSPREDRCKYIIREDGQRLDLRYVKKSSDQHLELGYKVERHLNDGDFVLFNRQPSLHKMSIMGHRIKIMPYSTFRLNLSVTSPYNADFDGDEMNMHVPQSFETRAEVLELMMVPKCIVSPQSNRPVMGIVQDTLLGCRKITKRDTLIEKDVFMNILMWWQDFDGKIPAPAILKPRPIWTGKQVFNLIIPKQINLIRFSAWHSEEEKGFITPGDTMVRIEKGELLSGTLCKKSLGTGTGSLIHVIWEEVGPDAARKFLGHTQWLVNYWLLQNGFSIGIGDTIADAATMESINKTISDAKDAVKELIKKAHEKQLEAEPGRTMMESFENRVNQVLNKARDDAGSSAQKSLSESNNLKAMVTAGSKGSFINISQMTACVGQQNVEGKRIPFGFIDRTLPHFTKDDYGPESRGFVENSYLRGLTPQEFFFHAMGGREGLIDTAVKTSETGYIQRRLVKAMEDIMVKYDGTVRNSLGDVIQFLYGEDGMDAVWIETQKLDSLKMKKPEFDNLFRYELDDENWRPNYMLPEHVDDLKTIREFRNVFEAEVQKLEADRYQLGTEIATTGDNSWPMPVNLKRLIWNAQKTFRIDFRRPSDMHPMEIVEAVDKLQERLKVVPGDDPMSIEAQKNATLFFNILLRSMFASKRVLKEYRLTKEAFEWVIGEIESRFLQSLVAPGEMIGCVAAQSIGEPATQMTLNTFHYAGVSAKNVTLGVPRLREIINVAKKIKTPSLSVYLKPEVNQKKELAKNVQCALEYTTLRSVTHATEIWYDPDPLGTIIEEDAEFVQSYYEMPDEDIDPDKISPWLLRIELNREMMVDKKLSMADIADKINREFDDDLSCIFNDDNADKLILRIRITNDEAPKGEIQDESAEDDVFLKKIEGNMLTEMALRGIPDINKVFIKEGKVNTFYQDEGFKAANEWMLDTEGVNLLAVMCHEDVDATRTTSNHLIEVIEVLGIEAVRRSLLDELRVVISFDGSYVNYRHLAILCDTMTYRGHLMAITRHGINRNDTGPLMRCSFEETVDILLDAAVYAESDHLRGVTENIMLGQLAPIGTGGCALYLNDQMLQQAIELQLPSYVEGLDFGMTPARSPISGTPYHEGMMSPSYLLSPNIRASPINTDASFSPYVGHMAFSPFPSPGGYSPSSGGYSPSSPVFTPEKGYSPLSPSYSPASPSYSPTSPTYTPGSPTYSPTSPNYSPTSPTYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPVYSPTSPAYSPTSPAYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPAYSPTSPGYSPTSPSYSPTSPSYSPTSPSYNPSSAKYSPSHAYSPSSPRMTPYSQTSPSYRCA >Sspon.01G0015880-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:1205360:1207497:-1 gene:Sspon.01G0015880-2B transcript:Sspon.01G0015880-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSTVTTPSRPLAAGSRPRRSGPAVLSLSKGSSGPRRRQAPSTSCAALASPEKERTRNKLPPPPPSRAAAAATATEQQKEEEERATDYNEVAAALESIYKLSPAVEVEEEKRHGGDEDDEGGKKAKKKGKSRVAGRSTVIVKSRRRRRGRRMDLGKRVEMKSAAATSREQQQGEGDEGEREFEEMLLREHSVSTDMGSLDWKRMKIPPVLTSSQSARLFKTMQPMKAIFEVQESLREELQRDPTDAELAEATGMTVHQLRRRLDVGRAARNKLIKHNLRLVPYAINKYYPDMGTDERFDDLCQAGANGLITAIDRFEPKRGFRISTYALFWIRHSIVRAMTLSSFTRFPFAMESERQEIGKAREELAFELGRPATDEEVRRKVGISPQRYRDVLRMTRPTYSLHARNRVTQEELINEVTDDDAIGVDAGDRHNTLLRLAIDDLLDSLKPKESLVIRQRFGLDGRGKRTLSEIAGNLSISREMVRKYELKALMKLKHPTRVEYLRRNSGMVRACGIPDE >Sspon.01G0048170-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:107330512:107333994:1 gene:Sspon.01G0048170-2D transcript:Sspon.01G0048170-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding FRGGLMGCASQMRNWTKEEFVHILRRQSTGFARGSSKYRGVTLHKCGRWEARMGQLLGKKYIYLGLFDSEVEAARAYDRAALRFNGREAVTNFEPSSYNAGDNSLPDTETEAIVDGDAIDLDLRISQPNVQDPKRDNTLTGIQPTCDSPESSNTMASQPMSSSSPWPGYQQNPAVSSHHQRLYSSACHGFFPNHQVQERPMERRPELGAQPFPTWAWQTQASPHVPLHQSAASSGFSTGAGANGGMPPPSHPPALFPTTNPFFFP >Sspon.05G0016560-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:68119536:68121611:1 gene:Sspon.05G0016560-1A transcript:Sspon.05G0016560-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCSPQGRASEHETRASARRAATIAVLVAASSSRMAEAALLALSKIGFYLAGEAATFVATKFSNLTELPNIVQRVRRQLLMMNLFIRKTGALYLSDELLKGWISEVRMLAYRVEDVMDNFSYHYLRYKNDQFLKRLSKGINYTAIFSGISDELIQIEKEIVHVSMLKDQWLRPVQELLPTQIHNSELQFPQYSVPQFMKGEDLVGIEKNSEQLTKWLRSDTQDLKVVSFCGMGGIGKTTLITNTYNSVEALLRKLLEMTRADIDNMEWGRGNQKALTAWTSARLKQS >Sspon.01G0027360-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:99213263:99215788:-1 gene:Sspon.01G0027360-2B transcript:Sspon.01G0027360-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MIMPRTGAASAAKVLPIDVPAVALSELNRLTGNFGDRALVGEGSYGRVYRAKLATGETVAVKMFDNGSSSGQSELSVVSRLKCEHFTQLLGYCLELNNRIVLYQFATMGSLYDILHGTSASHDMTIDCIAGKKGVQGAKPGPVLTWSQRARIAYGAARGLEYLHEKARPSIVHRDVRSSNVLVFDGHDAKIGDFNLTNQSPDSAARLHSTKVLGTKHAWRATPSDSLDTTLPQRVFIALLSIDQKSDVYSFGVVLLELLTGRKPVDHTMPKGQQSLVTWMPTSHLTAHAVSLYQYAVFLASAEDKVKQCVDPKLKDDYPPKAVAKLAAVAALCVQYEADFRPNMTIVVKALQPLVNARPGGESPRVGIIVSPLAARPVQLDSRQRGVPNDRDNRGGPKAREQH >Sspon.02G0023440-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:78960159:78964533:-1 gene:Sspon.02G0023440-2B transcript:Sspon.02G0023440-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LMEGAAQTLLSNTGQLLGSEYQQLRGVGGEVAELRDELDAINALLIMQSEADDGAVDRFLQVCMRQLREVAYGAEDCIDIYRLRIRSRPSDGVRAWLGRLLGTLLSRRRLACEITALRARTLAISERQARFGVNRDALRRSPAVLPAVPMSAASSSTPTNNDADHRWLVGIEDQANALVARLKAPVGEESTERMAVFSIVGFGGLGKTTLAMEVCRRLETEFPWQAMVSVSQAFEPSRGLKTLLKDLLRQIVKPETADDRGIKEEAALGAIDGLDDNGLAKKLEELLADNRYLIVIDDVWSVRAWEAIQSKLLENNKSSRIIVTTRIEMVANACSGASVTGLCIHKMEPLKLEDSKKLFVSRVFGSMDAAYPKEFKDVMGDILKKCGGLPLAILSIASVEVMVSKSLEANFLSLHGGQYKGILYDKIRRLSIHADVESVDHYVAKRHVEGDRGEDNLNIQHVRSLSMFQLHGQHKLLKKLGNFVLLRVLDLEDCEGVRNKHVRYACNLYLLRFLSLRATNITKVPRQIKNLEHLQTLDLGTTLLTELPKIVTKLEKLEYIFFSNKNNYWGTMWTMPRGMSKMKALRVLRRVCLGNDSKVAQEVGELEELEELDLSVNNNKAIDEEVLKELALSISKMHSLRWLTIGRHGSSDDGGKILNFLHDLPTPPRLLRTLWITGHIVNGLPRWIGSLAHLVSFTTVNTTLSDDELFGVLHKLPNLKTLYVTWDCYRGDELVAGTIHKFPVLRDLILGGFLPKVIRFEEESVALLEMLDLDFDPRSRHVAERSIVGIEHLTNLKKVTLRCVEDNSALMDAALEQLKAEND >Sspon.08G0001240-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8B:1290873:1294312:1 gene:Sspon.08G0001240-2B transcript:Sspon.08G0001240-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine protein kinase domain containing protein [Source: Projected from Oryza sativa (Os06g0717200)] MPALRHLNLSNNNLSGPFPSPPSASASASIPYFPALELVDVYNNNLSGPLPPLGAPHARTLRYLHLGGNYFNGSIPNTFGDLAALEYLGLNGNALSGRVPQSLSRLTRLREMYVGYYNQYSGGVPPEFGALQSLVRLDMSSCALTGSIPPELAQLSRLDTLFLAMNQLTGVIPPELGALTSLQSLDLSINDLSGEIPASFAGLTNLTLLNLFRNHLRGEIPDFVGEFPFLEVLQVWDNNLTGSLPAALGRNGQLKTLDVTGNHLTGTIPPDLCAGRNLQLLVLMDNAFFGSIPDSLGDCKTLTRVRLGKNLLTGPVPPGLFDLPQANMLELTDNMLTGELPDVIAGDKIGMLMLGNNGIGGRIPAAIGNLPALQTLSLESNNFSGPLPPEIGRLRNLTRFNASGNALTGGIPRELMGCGSLGAIDLSRNGLTGEIPDTVTSLKILCTLNVSRNRLSGELPPAMSNMTSLTTLDVSYNQLWGPVPMQGQFLVFNESSFVGNPGLCGAPFTGGACPPSFGGARSPFSLRRWDSKKLLARKAWREAARRRSGAWKMTAFQKLDFSAEDVVECLKEDNIIGKGGAGIVYHGVTRGGAELAIKRLVGRGCGDHDRGFTAEVTTLGRIRHRNIVRLLGFVSNRETNLLLYEYMPNGSLGEMLHGGKGGHLGWEARARVAVEAARGLCYLHHDCAPRIIHRDVKSNNILLDSGFEAHVADFGLAKFLGGATSECMSAIAGSYGYIAPEYAYTLRVDEKSDVYSFGVVLLELITGRRPVGSFGDGVDIVHWVRKVTAELPDGTAAAAEPVLAVADRRLALEPVPLLADLYKVAMACVEEASTARPTMREVVHMLSTSAAAQHDVLHAF >Sspon.01G0024160-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:92242684:92245013:1 gene:Sspon.01G0024160-2B transcript:Sspon.01G0024160-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPQSFLIALAVVLSFAGPAVVVVVGQPYIPLGPYCSTTGNFTTASDYQVNLGDLMSSLPQSAIANRGFDKGSSGQAPDEVFGLIMCYADRNWTQCQNCLRAAAAGVQQICPFSREMKACYDACVVQYSNVSFFSVADLTVAFYVWANAFVTDMASMNATRWSLMTRLAEEAAGNGNSLRLANGSQAYTDSQSSSHVMYGLAQCTRDLNGSECARCLAKFVAELSSSRPNNTYGTVKGYSCYVAYNIDESLGITIPPETAAPPRPPPSPTTVQVRPPAIIFFLLRRRRRRKARERQLDVFDDEPLDDESFEKGTGPRRFRYSELAMATSFFSEKEKLGEGGFGILDAADARLDREQLDGEEMERVMVTALWCAHPDRALRPSIRQAVNVLLLEAPLPCLPANMLVATFLPQFNRLQAESGAVTGSSSGSGGTTRSSIATEASSLLR >Sspon.02G0043570-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:92935417:92938925:1 gene:Sspon.02G0043570-1B transcript:Sspon.02G0043570-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSCAGARLHGRTGVGGAKCRPGAAALLGWRGTCFRPTARRWNTAAPRGIRADLPPPRASADGAAATSGPAVAVPEAGDATEQVAAVAQPVVLPERLGVDDGAGGNGKLPPAGGGGGGDGENGGGSGGGGDGDDGEDEFGPILSFDQVVQEAEKRGVSLPSLPADMVEAAKSVGIQKLLLLRYLDMQASAWPLGPAIRSCSLLRNRMLVDPAFLFKIGTEIVIDTCCATFAEVQKRGEEFWSEFELYAADMLVGVVVNVALVGMLAPYARFGSRSASEGLLGRVRHAYDALPSSVFEAERPGYKFSVQQRIGTYFFKGILYGSVGFFCGLVGQGIANLIMTAKRSVKKSEDDVPVPPLLKTSALWGAFLAVSSNTRYQIINGLERLVEASPVAKRVPAASLAFTVGVRFANN >Sspon.04G0010720-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:35065969:35066508:-1 gene:Sspon.04G0010720-4D transcript:Sspon.04G0010720-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding TATCKMSPWQTLLVATAALATLLAADATVETTCKAAAAIDVRIDYGFCVSELSKHRDSPGVDTWGLAKVAANLGVNNAGGAVREADALLARPPGTGADDAKARAALGQCRRLYFDMELAFAGTHDEIDARQYAAAKEMAVEGIALARRCDAVFAEAGIPSLLARRGEYAEQITVLCIAIT >Sspon.04G0000290-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:2114690:2116371:1 gene:Sspon.04G0000290-4D transcript:Sspon.04G0000290-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin carboxyl-terminal hydrolase [Source:Projected from Arabidopsis thaliana (AT1G65650) UniProtKB/TrEMBL;Acc:A0A178WM46] MQVKGVQVEELYSLDVDSLSLLRPVYGLIFLFKWIPGEKDERPVVRDPNPNLFFAHQVITNACATQAILSVLMNRPEIDIGPELSQLKEFTGAFTPDLKGLAISNSESIRTAHNSFARPEPFISDEQRAATKDDDVYHFISYLPFEGVLYELDGLKEGPVNLGQCSGADDLDWLRMVQPVIQERIERYSQSEIRFNLMAIIKNRKEVYSAELEELENRREQILQEMNKTSATESLNNLLTEVISAIETVTEKMMMEEEKFKKWKTENIRRKHNYIPFLFNLLKMLAEKQQLKPLVEKAKQQKSSSPSTR >Sspon.08G0021420-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:38516351:38517758:1 gene:Sspon.08G0021420-1B transcript:Sspon.08G0021420-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAHGDQRWLVECLTATLDTARDVRAFAEESLRQASLLPGYGAALTKVTINKEIPFGLRQISFQPFMYIFIKQHWQEDEENFVPPVVSASEKV >Sspon.04G0015460-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:63965681:63977962:-1 gene:Sspon.04G0015460-2C transcript:Sspon.04G0015460-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRAWLLKMLALALHISDISSSVYREACVAILYHTFGQCAENFQSTSLFDSRALTSISDVPAKRNKVLDLLEVLQFRCPDTSMKYPQLLSNLGIESKMSQELNSQLSESEKAGLKESVHHLLKWAWRYNKNLEEQAAQLHMLTGWSQIVEYCSSILDSDVPPSVLRFLLLEEQEGDDEDITLQKVLKEHNELAHANFSIIRKEAQAIVDLVTKDAVHGSEAGKPISFYVLDALISIDHEKYFLNQLQSRGILRSCLSDVTNYLSKGNPRAVSKIVKERIGEIDKKRSLITPVLRIVTSFTSLVDSADFLEVKNKIVREIVDFVKQHQSVFNSILRENMTGANLLTLEQLSMAVSILSKNHKSELIAFGLCFSLVSYLYVLATKKNMRFQVSYGHNSDQQHPTLQMVSDLLNSVTLALERIRDLNELSRKEVDEIIKIRYYTQGDDHQNHDPMKPV >Sspon.01G0024240-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1A:87097273:87099106:1 gene:Sspon.01G0024240-1A transcript:Sspon.01G0024240-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding QVVCTGNEACSGKPVTVVITDECPDCPCPDEQVHLDMSGTAFGALAKPGQESQLRGAGAIQIQYTRYVHKSMERGFEDFLDLREKIFFLKAEYPEAIWPPRIRVFNNLYPILCRVQCEWPGVDVTFSVESGSNPNYLAVLIEYEDSDSDLYSVDIMQQGETTGQWVPMQQSWGAVWKINSGSVLQGPFNIRLTFSSGRVLVASNAIPAGWNAGVAYRSGGVAVTRARPRSGGCRGYEAAGTLSGLVYRHLVVLLVRRGWIMRRIFLGDANLIHNYLSSTAGPSNLLDWAIQRCGDRRHTRRSRTQPPSRFPDRSSRLVLGRRRTRRSCTLIEKNDRRSCSQAVSRRARLGEAELQAHGERRPAAGAPHDGEGEAAAARAEGRAGWRRRRRARARAGRRQRRAMAMASARAGQPRVLRSRRVRVRLRLGEGELRGTKKYPQPL >Sspon.01G0027420-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:95810966:95825055:-1 gene:Sspon.01G0027420-1P transcript:Sspon.01G0027420-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAQEAAVEEVLRAAAAEVSTSSAKRRLRLFRHTLPPLIAKATESPSDTALLVDLIFQTLPIYDDRASRKAVDDMVIQALGEPTFMKPFAAVLVQSMEKNLKVTNPLTSFKLLRWSHYLLKWSQFATLSKGAFTRLANAQAVLCQVLMDGSFRRRRTCKQLFIHLFSEPNGIYKMYVEELRDLRISMRDSPAFLNLILDYTITSPSLSTEYKSVFLDLYVKTILSSKDRPPEAANEAFKPLFLEIGHEDFKNTVIPSCIKMLKRNPEIVLQSIGYLLKTVRLDLSKYSMEFMPVVLHQARHSDEERRINALSIIGTLSEKSSDPDALPSMVNAIKAVLGGSEGKLSLPYQRIGTINALEQLSRSPPKQIGRLAPSVSSFLLTCYKDDGIEEVKLAILSAIGSWALVSAEAVQPDVVSFIAAGLKEKDTLRKGHLKFLRVICKNSDSLTKLSKTGFSKATQRLDGIYALFAVSRLAAVDTKADGAILKEKLWTLIAQNEPSLISLQLLPKLADDDCLAVLDLLQSLLVEHFFRVREYFSIQSLLQLLIYLLCHPSWEVRKVASDATKKIFSSSSGLAEDILFLFTDWLSLVGERLSILKQGDVDSSSDSQLPFIPSTEVLVKCLFLIAPYAVVHSPRSYSRIILCSHHPCLSSSSSPAGVYKRLQRRLRQEQIFFVDLITPNISVICKELLSQDGLFSSNKQVQTAALCSLSTLMTITPNDTFLEFEKHFIGLQERTLHDSFSESDIKIFYTPEGQLSTEQGVYIAEAVASKNTKLAKGRFRAYDDQDTETARSVVPAKNEKRESSGTGKRETGKSTKKTAPIDKAKTAKEEARELLLKEEASVRMKVGQVQKILSLMLDALGELAIANPIFTHGQLPSLVNYVEPLLSSAIVSDAAFCTMLRLARCTAPPLCNWAPEIAAAIRVISVGDFEMVLDLTPVIMEDDSKKKPSSGLFEQIVNGLTIACKAGPLPADSFTFVFP >Sspon.02G0013950-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:36954858:36956116:-1 gene:Sspon.02G0013950-1A transcript:Sspon.02G0013950-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Photosystem I chlorophyll a/b-binding protein 6, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G19150) UniProtKB/Swiss-Prot;Acc:Q8LCQ4] MAHPRLSLSRLRCYPKAAAVRLCALYFCSSASIRACHAPRPPPHLKPGAAAVSFARTRQQRRANATKGGVSTVCEPLGPDRPVWFPGKSPPAWLDGSLPGDFGFDPLGLGSDPESLRWFAQAELMHGRWAMLAAAGILIPDLLARWGFIDAGYSWFDAGSREYFTDPWTLFISQMALMGWAESRRWADYLKPGCVDIEPRFPNRKNPVPDVGYPGGLWFDWANWGRGSPEPVMVLRTKEIKNGRLAMLAFVGFWFQAVYTGQGPIDNLLAHLADPGHCNIFSAFTSH >Sspon.06G0015630-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:85794361:85799067:-1 gene:Sspon.06G0015630-1A transcript:Sspon.06G0015630-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPPCCDNGVGVKKGPWTPEEDIVLVSYIQQHGPGNWRSVPENTGLMRCSKSCRLRWTNYLRPGIKRGNFTPHEEGIIIHLQALLGNKWAAIASYLPQRTDNDIKNYWNTHLKKKTWMMRPSPAAAATAANCKIAMQEFQEEQDAAAASIVRQEQMGMTGGGDVNRSSALEMVVAPVMGAASTATFSLLEDWLLDDMPGQAMDGLMGISAVLGIQGVEHAVDVPDRHHRAVHHDPIRRLRANDINSQEIQIESTERNETSHNTKNKNRTLHRSSRVLSGDPSSAVFRASTGLDLPPTPRRNEQGRSGEGRVRGEGKGGVLLEEGLDLSGLLLDAGDDGLQVLVRVGVCRRRSHPAGAGDTEGGVGCRGCYEKKGRPASVND >Sspon.02G0035090-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:11103697:11105006:1 gene:Sspon.02G0035090-1P transcript:Sspon.02G0035090-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSVAAAASTFLGTRLADPAPQNGRIVARFGFGKAKKPAAPKKVSKTSSDRPLWFPGAVAPDYLDGSLVGDYGFDPFGLGKPVEYLQFELDSLDQNLAKNEPGAVIGTRFESSDVKSTPLQPYSEVFGLQRFRECELIHGRWAMLATLGALSVEWLTGVTWQDAGKVELVDGSSYLGQPLPFSISTLIWIEVLVIGYIEFQRNAELDPEKRLYPGGSYFDPLGLAADPEKKERLQLAEIKHARLAMVAFLGFAVQAAATGKGPLNNWATHLSDPLHTTIFDTFGASS >Sspon.01G0002790-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:7555126:7559894:1 gene:Sspon.01G0002790-3C transcript:Sspon.01G0002790-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGPESRSHSRQEGEDNVNARNSWKEEHENQENADSRNYSDISRKHGYEYEVGHQDDNRMITPSNDRNESRRNADRSSGLARGADDDVYNLRRDSRSPKVPRRSPDDSKDRDYDRRRGREDKNDWEPSRRFSPDASARSSERREGSREKQGQRDQEERASVRRADEVYVDENGGSLRVDTREAYRDDKSDRGRDRNWNEKTRDIEGSKDYGRNTQLRDTKEPNDSEWRNAQERLDGGNFHGRGGYRRDSRGRSESIRGPSTYGNRYDNSDSIEIRPNSNLNFGREGSVSGRRFEVGAHRDSVPGGTDDKSSDHPESDPSGSTGMVSSFPQQGPKGDRPSRGGRGRPNGRDSQRIGVPMPIMPPPFGPLGLPPGPMQHMGPNIPHSPGPPLLPGVFMPPFPGPLVWPGARGVDVNMLSVPPNLPIPPVAPEHRFAPNVGAGSGHNIHLNQIDTGLGAPTDVSGLGYNNMGPQSRDMLHDKPGWTPHRNSGPNRKAPSRGEQNDYSQNFVDTGMRPQNFIRELDLTSVAEDYPKLRELIQRKDEIVAKSASPPMYYKCDLREHVLSPEFFGTKFDVILVDPPWEEYTHRAPGITDHIEYWTAEEIMNLKIEAIADTPSFVFLWLWGFRRCEDVCWVKTNKKNAAPSLRHDSHTLLQHSKEHCLMGIKGTVRRSTDGHVIHANIDTDIIIAEEPTDGSTKKPDDMYRIIEHFALGRRRLELFGEDHNIRPGWLTLGKNLSYSNFNKEAYIRNFADKDGKVWQGGGGRNPPPEAPHLVVTTPEIESLRPKSPPAKN >Sspon.02G0032190-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2B:110875293:110875796:-1 gene:Sspon.02G0032190-2B transcript:Sspon.02G0032190-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSSSILLLLLAATSVACASASTFTIRNNCGFTVWPAATPVGGGRRLDPGQTWSLFVPAGTSSGRVWGRTGCSFNGNSGSCQTGDCGGALSCTLSGKPPMTLAEFTLGGSQDFYDISVIDGYNLAMQFSCSTGVTLNCGSSSCPDAYLFPNDNTKTHACSGNSNYQ >Sspon.06G0016060-3D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6D:69619823:69620381:1 gene:Sspon.06G0016060-3D transcript:Sspon.06G0016060-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLPTRCGDGKLSGDGGAEYPCVRRYRHRRLLTFLWLQGFRDTHDSMLSETDAMMWVPYLKHFVLHDQWQDANRYLSGFLLLDTRRRTSVEAMVLSRVFTAFSTLANIVGGGEDDLSKQYLDHKRTIYHGQIRLRSIILNVQQARSGLVSTEIQ >Sspon.02G0005000-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2A:15752313:15752564:1 gene:Sspon.02G0005000-1A transcript:Sspon.02G0005000-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGDTAVAVVYVGDRENDGDDEEVEEEKKNTMMTLGFIEMVEQRDDDMRANWPARSSCWQSRACLEAGRRPPASGGRWRPSVG >Sspon.02G0031980-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:111824774:111828209:-1 gene:Sspon.02G0031980-2B transcript:Sspon.02G0031980-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRSLTTRMWGPAAAYALRRHPGPGVPPALSRFLGTTRGQNDNAILRTPFDVERIRDDVKRYKIEDEIMRENLSWASRYYKTMEWSCYVILSVSTAAVIACEVVVRSRKDKGHVRST >Sspon.06G0020520-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:9919131:9920358:1 gene:Sspon.06G0020520-1B transcript:Sspon.06G0020520-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPPLSAPEEERSHSDAEENGGGLPEDQVFELLTRVPFDDLPACRMVSTRWRRLTYEPAFAPLHCRRAAAVSGYLVQSMSRNRYHADFVSMHGSPGAAISLDFLPSAHVRVEAVSAHRGLACCVDADTARPPCYYVCKPATRQWRALPSPRVRFRTAAVAMVARPSAASSSTAAAEFKVVRFSVPALRDRLRCEVFDSRRFAWRRAPDVPLCPDSLFRPAAPAVRAHGAMHWLRWPDRLTGAQDVFAFDVRAEAWRLIALPREVDEMDDPWARKRVAAVEGRLCLLVLTDAAVEWRKKMTVSLKSLHAREGPAVILGDLHSSDVAFLHSFCRVMWYDLRKGKMAEVQVKH >Sspon.01G0035080-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:7739432:7741255:1 gene:Sspon.01G0035080-1B transcript:Sspon.01G0035080-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding NMDSIIITTTRNESVADACRSSYHLGNFVYSLASMNDSDSKALFFGRIFGSEDNCPHDLKEVSMKILKKCGGLSLAIVCISSLLAATRPQATRWEKVYSSLWSEIESSGSLYRLKQALQLGFDDLPQHLKVCVLYLSSFPENSKIQRDRLTRRWIAEGFVAEKPGMSVQEVAKSYFSELIGRTMIQAVDVDCHGEAHACRIHDVMFELIAMKSFEENFVTLVGDRWGSSTQRRNVRRLSLNCRTAADGFDLSGLDMSHARSLTIYGDIGSINSISEFRFLRMLDFECCEGVDNRHLKNIGDLFLLKYLSLKSTWISELPMQIGDLKCLETLDLTQTNIRELPKEVTRLQKLVHLLAGVAELPRGVGNMMSLQTLCIRAASKRSREAMEELLRLINLRKLDLSYVHPNYERLDTRLPLVISKLGNCKLQSLHLSLLGDSMGLFLELQSSLSAPPDTLQSLKIKGGYGFLRVPKWISSLTYLADLELTVAAMDERDLEILTELPRLIRFRLTVKEPSAQGITIQESCFPNLKELYINCRIMPVFFSRDAMLKLQKFELQFHAHPEDLEFVQFSTEHFQSLKEFRFMVVCKGLSDPGIEFLKEAFKNAVFI >Sspon.05G0014590-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5B:53136915:53137910:-1 gene:Sspon.05G0014590-2B transcript:Sspon.05G0014590-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGGYFTDRTTKGLGLAGQSENPPPAEEVVAQDEVQAIGKRNQRRTKNFTVEEDEQLVKAWLNVSLDPVKGVDQPHTTYWKRIHAYFHAHKDFSSDRTQGSLMNRWSGIQHDVNLFAGCLTKIECGNQSGVSIDDKQADALKMFIREDRQHRQFPYMHCWKILKDQTKWADRRKKMETQKTISKKQKVVANSSPASAPPLLPAATVDENQHSNSALQRPPGQKKQKLRQHSSIKALDYLLAKKKEADAEKELKKEERCKKAFALQEERIRLEKEKLELQRDQFEFNKKLEEERIMNVDTSHMCPD >Sspon.06G0002690-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:8486064:8487061:-1 gene:Sspon.06G0002690-1A transcript:Sspon.06G0002690-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MERERGASAALWGHAHLPLLARAGSKESVEYILQALWRTRRTGLDAADRTVARDALQLASDAELDPLLVCLRILIRRCVNEKVDKDDIPKLFPEEVPPELQKLLTLLLQKFQPEWQQDAAKDQESARHSSAAECQLNQNGDTSEHPGAANAELQNGAAPVEDSVESSGQKEVKKSSLAKDSLDKMLKDLFSTKDQMATD >Sspon.01G0052310-2D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1D:20855593:20856891:-1 gene:Sspon.01G0052310-2D transcript:Sspon.01G0052310-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRQGAFGTVHLAVDTATGRAFAVKSVDAKSAPAAAMACLESEIRILKRLSSPHVVAYLGDDGVTGATRNLHMELVPGGSAAEAAAHGGLGERGARGVLRSVAAALRYLHEEAGVVHGDVKGRNVLLGCRDAHGCGGAKLADFGAARLVSDAATTPRGPRGTPAWMAPEVARGGAATPASDVWSLGCTALELLTGKRPWSELGGASEVGELLLLVGFGGKRPAIPACLSDACRDFLDKCLRHDAAQRWSCEQLLRHPFLSTDAGDDASEPWPSPSPSPSPSPSPRAVLDWAPSSDSDSEALDDAETESKHEVMARAKGRVAELASNEPRTSWDWEEVEWGTGATWAADTWAPPPSSEAPRNGNATAPVPSAAAFSDGRDRDVLVLVVGSGSGSSGLRCGPRPGCHSHICRYKCGFGVVGVGLGWPPLAVVPVL >Sspon.08G0002670-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:4463903:4465216:-1 gene:Sspon.08G0002670-2B transcript:Sspon.08G0002670-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SMARLWLVLSVAVVVSAALLPPSAVAQLRTDYYASTCPNLEAIVRGSVRQSMAQSQIAAPAALRLFFHDCAVQGCDASIMIVNSNGDDEWRNTDNQSLKPDGFQAILSAKAAVDSNQQCQYKVSCADIMALAAREAVYQSGGPYYQVELGRFDGRVSTRDSVRLPGVNFTLDQLNAFFSGLGFSQAEMIALPGAHTLGAADCPFFQYRIGSDPSMDQGLASQLRGTCGSNPSGGFAFLDPTPGSFDSAFYRNLQGGRGLLGTDQVLYSDQRSRGAVNNYASNQGAFFADFVAAITKLGRIGAKTAATGEIRRDCRFPN >Sspon.04G0004200-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:14035389:14035991:1 gene:Sspon.04G0004200-1P transcript:Sspon.04G0004200-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MANDSLAHHLLLPLAGGCWSDVDEEEKEPGNVSFPSFWPPFPALSPDSDSDAASFVRPRMDRPRETAASSFFGLGFRDGDDDEWAPPDEDGELALPLCWDCLQLEDHDDDHRRWDVGVSDADEWEQVAGREEEEEAAAASAVRSLEWEVLLAANSLGSLAVDDGDGDDDLDAGIETYFLDDADDLLFGQLAAADADHEPPG >Sspon.03G0013740-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:39291006:39295107:-1 gene:Sspon.03G0013740-1A transcript:Sspon.03G0013740-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPVAGVVRLAAASRVLVLSLYLLARLLFRPYDTSATLHPPCLSSPPLSPDPNTPVSTAISSLAVWDGVHFARPAECGYEYEQSFAFLPLLPASLALLARSLFAPLVPILGYRAVLVLSGYVLNNVAFVAAAAYFYRLSMLILKDQKAAYRASILFCFNPASVFYSSLYSESLYALFALGGMFYLFSGAHTVAVIMLALSGSARSNGALNAGYFCFQALLQAYDAALAMQALVIGALRSIFIFVPFFAFQAYGYLNICVHGSSEELRPWCKAKVPLLYGFIQSHYWGVGFLRYFQVKQLPNFLLASPVLSLAVYSIVHYTKLLHRLFQTTSIHKQIITALEERPVVSYRRSDDVTSLSELSAGLTKKAQGNSTVKHRKSVATNTASATFHDTMSPNQNMEENQDACSILLLPFVLHLVFMTFTAFFVMHVQVSTRFLSASPPIYWAAAHILASPSRSSKRWGYLICVYFIAYILLGSLLFSNFYPF >Sspon.03G0008010-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:21606031:21607574:1 gene:Sspon.03G0008010-1A transcript:Sspon.03G0008010-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding HMAGLQRSSETYRRSGSSGTVWEKKHQSASGELTARPARPKDARQQRSGHGGYRTTGHVQPALDPPSPRVAACGFCSLFGKDKHQQPPRRASGRGRLES >Sspon.03G0041070-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:36022766:36023769:-1 gene:Sspon.03G0041070-1C transcript:Sspon.03G0041070-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AQNDMRTLAYWLSNLSTLTVLLQRSFKTTRTAISTPQRRRFSSERIFHGNQTSNAGLAYLSGQSVVGSAGLPQVEAKYPALLFKQQLVDLIEKVYGMISDSVKKELNPLLELCIQVPSILVHKLFTQIFSLIDVQLFNRFSVGGIEAY >Sspon.01G0019490-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:72974233:72976767:-1 gene:Sspon.01G0019490-1A transcript:Sspon.01G0019490-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAPCCEKEGLRRGAWSPEEDQRLVAYIQQNGHPNWRALPRQAGLLRCGKSCRLRWINYLRPDIKRGNFSADEEALIVRLHRELGNRWSAIAAQLPGRTDNEIKNVWHTHIKKRLEDGDDGEDKKKPRKSKTAAAAKRSSAAKKAADADAVDISEQQQPFLTASPGLSSSVSSGVTTFSTATDSAAAVSSADNAATTSHQQQVGASNKAEMETSFSSAELFPPIDDSFWSSADVMDMGLGAMDEELGLGLADPLSSSSTRDEDMEFWLKMLLEAGDMRDLSVL >Sspon.01G0046060-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:20990555:20995064:-1 gene:Sspon.01G0046060-3D transcript:Sspon.01G0046060-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNNFLTTIKSLKLIEGCKAAQLYALSSVGASTSGSADAGGSSIGKPHPPPPPKTISMRSGSLYYPHTAPSTSGAFVPEPHLPCGLPVADALEPALDACLRPVDHVGALAASYRRVSAATAGGDDDLCDAYLEQHALFQSIGDARLIRRALRAARVHADNPHRRAVLAAWLRYERREDELDPAPPPLAPCTATTPLLECPRAAVFASVSHSVDPVCPCRRPPPPPVTPPPHRLRRNTSGAASEMSEEEEPETNDLWFIIGEEEVACERSCIAALSKPLNTLLYGGFAEAHRDRIDFSRDGITPRGMRAVSAYSRHGRVDDFPPDIISQLLAFANKFCCEDLKAACDNQLAAMVRGLDNARSLIDIGLEEASHLLVASCLQAFLRELPKSLTYPDIARLLCSPEGRERLDISGNASFALYYFLSYVAMEQDMRSNTTVMLLERLNEFAEQPWQKQLALHQLGCVMLQRGEFEEAQEWFEAAVAEGHVYSLAGEARAKYKRGHKYAAYKLMNSVVGDCDEPAGWMYQERSLYCVGKEKLADLQAATELDPTMTFPYKYRACALLEEDNAESAIAEISKVVGFKMATDCLELRAWFYLALEQCELAVQDVRAILTLDPTYMMFHGRMHGEQLIELLRGQVQQWDMADCWMQLYDRWSAVDDIGSLAVVQQMLAREPGNSSLRFRQSLLLLSLRYARNSSLHEHERLVYEGWILYDSGHRDEALAKAEQSIGLQRSFEAFFLKAYALGDSSLDTESSLSVVQLLEHANSCASDNLRKGQAYNNMGSIYVDCDMLDEAAECYGIALNIKHTRAHQGLARVHYLKNRKKAAYEEMTKLVQIATNSASAYEKRSEYGERDAARSDLNTATLLDPTRTYPYRYRAAVLMDEGKEEEAIAELSGAVAFKPDLQLLHLRAAFFDSMGDTECALRDCEAALCLDPTHGDTLELYSKASTKADEPQS >Sspon.06G0018920-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:63264512:63267472:1 gene:Sspon.06G0018920-3C transcript:Sspon.06G0018920-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDVSTTSTARARPSSCDAMDGISTNSSDVRLHFLGWLTTELLRENKRMLDKSIREIERERQGLQTQEKKLINEIKKVAKQGQMTLKSTQAMGEAMKGVTKAMGQMNRQMNLPALQKIMREFEMQNEKMEMVSEVMNDAIDDALEGDEEEEETEELVNQVLDEIGIDVNSELVKAPATAVAKPVAAGKVAAQAEAAGGPDGGIDDDLQARLDNLRKM >Sspon.04G0029930-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:81030580:81032412:-1 gene:Sspon.04G0029930-2D transcript:Sspon.04G0029930-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding KEMVRASRCCRRRLPLRLRSQGKGTGSFGKRRNKTHTLCIRCGRRSFHLQKSTCSSCGYPAARILSDNWSVKAIRRKTTGTGRMRYMRHVPRRFKSNFNPGTEAAPKKGAAAA >Sspon.01G0049060-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:95955553:95959720:1 gene:Sspon.01G0049060-2C transcript:Sspon.01G0049060-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LPITRPQPALSIGNVGQLAVDLLISSARARRVAYLDEPSVLPCAGNDAFGPDAVGDLALALEAYESTSHRLAFIQQRSPVITGMMVSFAKNVADFISSIGKNHIVIISSLDSGKRRVIDASSDMQVYYLSSCNEDGSDPEYEKLGWKKLEDYNPSQKRWSYLASLVEGGGLSEDMDDDTDEMTINDYYASLPFAALFSACKAKGLKVSCVLCYCSEGDNMPESFQLAEAVCKLLGYGPEKFHGNGSNGWIVPLSWKSVYGPPPDMSIF >Sspon.08G0024960-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:4301681:4305986:-1 gene:Sspon.08G0024960-1C transcript:Sspon.08G0024960-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRQELCRNFQRGRDPSAPTKQQEAAQTPPAAHTSCTDPESCRHQIAEDFKNETPLWKLTCYAHLRSGPCDITGDISFEELRAKAYEEGRQGHPLQSIVEGERNLQNAKLMEFNNFLNNPHVSVSQTPSFPTVTSFPEVKNNSSFGVSQTNGPPVFSSFSQVGAANNIGPGSRTAPGVSTNSIFGQSSQPNHSAFPAPTFGRSDMKFGVSGSFGSQTSQQPSGSLQGSSMSSFGNFPKSHAGYQQPPASSSHHRDIDRQSQELLSGIVAPTSAINQAPVEDNKNENQDDSIWLKEKWSIGEIPLGEPPQRHISHLRSHHGI >Sspon.01G0031440-2D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1D:108004402:108004697:-1 gene:Sspon.01G0031440-2D transcript:Sspon.01G0031440-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding KKNERVLVVIARPGQGTAPISHCAAQTQRGRPGSMNTEHSPHAWVRLRVYKRNAEMRVPAGRRRAVVWSPAYRRTVPALTNDNDKTRLSLGAAERAV >Sspon.06G0007010-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:26364272:26366529:-1 gene:Sspon.06G0007010-1A transcript:Sspon.06G0007010-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable transmembrane ascorbate ferrireductase 2 [Source:Projected from Arabidopsis thaliana (AT5G38630) UniProtKB/Swiss-Prot;Acc:Q9SWS1] MAAAPAVRFPVFGLVRLLGLAAAAGILFWAVHFRGGMALSTDEESKLPLFNVHPVLMLIGLVALNGEALLAYKTVPGTKKLKKLVHLAVQFLAMFLSLIGLWAVWKFHDERKIDHLYTLHSWLGLTCFIFFSLQWAAGFWTFWYPGGSRSGRAFLLPWHVFFGIFIYVLAIATSVTGLLEKSIFMQSAKMIERFSTEAMFMNSLGMLLVLLSGLVILAIVSPGPSKIDTYRGSSE >Sspon.03G0021880-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3B:89505684:89509196:-1 gene:Sspon.03G0021880-2B transcript:Sspon.03G0021880-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQILLHGTLHATIFEAESLSNPHRASGGAPKFIRKLVEGIEDTVGVGKGSTKIYATIDLEKARVGRTRMISNEPINPRWYESFHIYCAHMAADVIFTVKIDNPIGASLIGRAYLPVTDLLDGEEIDKWLEICDENREPIGDSKIHVKLQYFDVFKDRNWARGVRSTKYPGVPYTFFSQRQGCKVTLYQDAHVPDNFVPRIPLADGKNYEPHRCWEDIFDAISKAQHLIYITGWSVYTEITLVRDTSRPKPGGDVTLGELLKRKASEGVRVLMLVWDDRTSVGLLKKDGLMATHDEETANYFHGTEKIVVVDHELPNQGSQQRRIVSFIGGIDLCDGRYDTQYHSLFRTLDTVHHDDFHQPNFEGGSIKKGGPREPWHDIHSRLEGPIAWDVLYNFEQRWRKQGGKDLLVRLRDLSDIIIPPSPVMFPEDKETWNVQLFRSIDGGAAFGFPETPEEAARAGLVSGKDQIIDRSIQDAYINAIRRAKNFIYIENQYFLGSSYGWKAEGIKPEEIGALHLIPKELSLKIVSKIEAGERFTVYVVVPMWPEGVPESASVQAILDWQRRTMEMMYTDITQALQAKGIEANPKEYLTFFCLGNREVKQEGNMNPRNTRNLTLITSGLKRLGVDDEYIIIGSANINQRSMDGARDSEIAMGAYQPYHLATRQPARGQIHGFRMSLWYEHLGMLDDVFQRPESVECVQKVNRIAEKYWDLYSSDDLEQDLPGHLLSYPIGVTADGTVTELPGMENFPDTRARILGNKSDYLPPILTT >Sspon.05G0014630-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:5A:55188532:55189431:1 gene:Sspon.05G0014630-1A transcript:Sspon.05G0014630-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTTAAPPIPPTTTLPLLTSSSSITLSAAVGAPADVAAGSSAAVPLTLESLAAVVAEMSTNMAQMSRSITAMQAAWMGLMQQPPLPVSSLPPPPPPHSALPVPSIAAPAAGASPPLSSGLPLHMIQWPTSPSPIPEWALARSAVVRLQAAARGLLARRRLQEMCQPMHEATLATVDLSSAKRDLAPWDGHQQPRRPTAVFRREHGVFPARNDLQLCGLLVTGGDALPSATAFHRRPPRGRLRWSLSQLIPGGCTHAPLSFRWAPWDPGGYTRAGPLRGGCPLCLQKIKNKESQSISGQ >Sspon.05G0010430-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:30068191:30070872:1 gene:Sspon.05G0010430-2P transcript:Sspon.05G0010430-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADASPLLPPAPGKSPATAARFARSASSANNAPQLPRLPRLAVRGPLLLPARGGRGLLGGLPPPRRRRARGRPPPAPADSPPRPFDGQVQVSLTLAASLAYVSLRALLHRAGGLRRLLYLDSLRRDSEDVQAGYAAQLARSFRVLACFVLPCALAEAAYKAYWYYHVRGGGSRSRRWWWAAAACCAVEVASWVYRVALFSMVCVLFRVICYLQILRMVGFAREFGRFADVATVLQHHRRIREQLRKISHRYRKFIVCSLVLVSASQFAALLATTRPHAVVNLATAGELALCSINLVAGLLVCLYSAAKITHKTQALTSVAAAWHADATVHAFDNDQENPDPDLPPTSGYLAPANAYRVAAGDESASDDDDDSRSECSSLDDPKYVPFQANNISFQKRQALVTYLENNRAGITVYGFVVDRAWLHALFMIEFSLVMWLLGKTVGIS >Sspon.04G0017660-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:65730912:65732333:1 gene:Sspon.04G0017660-2C transcript:Sspon.04G0017660-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPRPSPPIPAWAEANSLFRRHRRLRPLLFPAASLRDHLPVLSHCLVSGLARNPFVASRLLLASSSLSLPFSLALLAYLPASSLSPFSFNSLIRASSPRLALRLFDQMRSRGVPTDPYTLPLLISTCSCSGPTLCQSLHGQGFRLGYNCHLFTQTALMNAYLACGSAVTARRVFEEMPVKDVVAWTSMVSGYVDSGLYLKAVEVFNEMRGADDLVWPNEVTVVSLASACAGLGSLEHAKALHSYVEKTGLEGELIVRNALVDMYGKCGSIESARRLFCLMREKDLHSWTAMISGLSSHGHGDEAVDLFFRMREEGVLPDSTTFIVVLSACSHAGLVDKGIRIFNSMVSEHNVPRDIKHYGCMVDLFSRAGLVRRAYEFISMMPFEPNLEILGALLSACSIINELEIGELVLNKIESLCSYKGGSGVLLSNIYANQNLWHEVDTLRRKIRTEAIVRKPPGQSSIAAEVPCMSF >Sspon.08G0011110-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8B:44381025:44386369:-1 gene:Sspon.08G0011110-2B transcript:Sspon.08G0011110-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAAAMASLTAMAGAWLDLDGPTALLPRRLWPSSGGGGRAGLFPFPMLGWPWPASGGEALRAAWDAARAAAVAPALAAASWVCLALSAMLLADAVFLAAASLLARRRPYRAPGPIAGSTAQEDGDGDEEAGCSVGYPMVLVQIPMYNEREVRVLSLYFGHQRNAMLRTVYKLSIGAACGMSWPSDRVIVQVLDDSTDPTVKDLVELECKFWANKGKNVKYEVRNNRKGYKAGALKQGMLYEYIQQCDFVAVFDADFQPEPDFLMRTVPYLVHNPRIGLVQARWEFGTAGVWRISSIKEAGGWEDRTTVEDMDLAVRAGLKGWKFIYVGDVKVKSELPSNLKAYRRQQHRWTCGAANLFRKTGAEIILTKEVSLWRKLYLIYSFFFIRKVVAHVVPFMLYCVVIPLSVVIPEVTVPVWGVVYIPTMITLLYAIRSPSSIHFIPFWILFENVMSFHRTKATFIGLLELGSVNEWVVTEKLGNSNGTNSVPQILEKPRCRFWDRCTISEILVAIFLFFCATYNLVASTAEKLLVLGSSTIGDAGIASGDHWSSIVNWWLKNIKRVSKTDDRSFDTLFLLVLWMIWKECNRRVHDNSANSPAILAVRINKEACT >Sspon.01G0011980-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:32468461:32469294:-1 gene:Sspon.01G0011980-2C transcript:Sspon.01G0011980-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFGKRLKKQIEESLPEWRSHFLNYKELKRRVNAVSSPAAAAAASPSSSRAAEADFLTLLDAEIDKFNAFFLEREEEFVIRQRELQERIQRASSASEATMARIQREVVDFHGEMVLLLNYSSVNYTGLAKILKKFDKRTGGVFGLRLPVIAGVLRQPFFTTDLISELVRDCEAMMEAVFPPAAVSAASKDLHERRHAVAVAEQSIFRNTVAALLTMQEVRSGSSTVGHFSLPPMQPLPESDWLVQSVSNTPTPSPLIPRSDCLPPHFCCFSCCSSPS >Sspon.06G0027730-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:88881530:88882088:1 gene:Sspon.06G0027730-1B transcript:Sspon.06G0027730-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MWISEGFVEGNSDELEELATEYYNELILRNLIEPDLLYVDRWVCNMHDFDHLHKGKLDIGKLNSKNFIRLSVETEGTESEELEWSSLQSQKSLRTLLVAGHIGIKVGNSLGTFPSLRTLHLDSTNFDVVAESLCQLRHLRYFSITDSITSRLPDNIGKMKFLQYISPDGCENLVKLPRSIEKLQ >Sspon.06G0011400-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:49722586:49724468:1 gene:Sspon.06G0011400-2B transcript:Sspon.06G0011400-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSRVKIGHWGGRGGQQRDVQYDPIQLVRVIVYSEGQAINGISFTYMDKHGQHHEEGPWGGADEDETPHRDIELSHADLKEISGTCGKVGNMNNIITSLRFVTNKGKTYTFGNSTGTPFHVPMQEGKIIGFFGRAGDYLDALGIYCAA >Sspon.08G0007550-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8A:24985522:24986528:-1 gene:Sspon.08G0007550-1A transcript:Sspon.08G0007550-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding PHVTIYHFDLPQALQDEYNGLLSPRIMSFGDRVKHWSTVNEPNVEPIGGYDLGDFPPCRCSYPFGQLGVTCTRGNSTTEPYVVAHHLLLAHASAVSLYRRKYQGVQGGRIGLTLLAWWYEPATPKPEDVQAAARANDFTIGWFMHPLVYGDYPPVMRRNVGSRLPVLTAQESAMVRGSFDFVGINQYGAILVEADLGQLKRELRDYYGDSAFNYVT >Sspon.03G0002870-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:14763641:14766714:-1 gene:Sspon.03G0002870-2B transcript:Sspon.03G0002870-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding KLPRVQEQVTEPGLVDRIVVSNKSVAKVFRNSPLPKSQGQNSDTHISTTDVLGKPAPSRCKYYFNIGSVDSFEEKLEEAQEALGIDPHDFVPVTYVTEVNWFQEVMRFAPTAFLVGLIYFMGKRMQSGFNIGGGPGKGRGGIFNIGKATVFFKDVAGCDEAKQEIMEFVHFLKNPKKYEDLGAKIPKGALLVGPPGTGKTLLAKATAGESGVPFLSISGSDFMEMFVGVGPSRVRNLFQEARQCAPSIVFIDEIDAIGRARGRGGFSGSNDERESTLNQLLVEMDGFGTTAGVVVLAGTNRPDILDKALLRPGRFDRQITIDKPDIKGRDQIFRIYLKKLKLDNEPSFYSQRLAALTPGFAGADIANKEETQITMQHFESAIDRIIGGLEKKNRVISKLERRTVAYHESGHAVAGWFLEHAEPLLKVTIVPRGTAALGFAQYVPNENLLMTKEQLFDMTCMTLGGRAAEEVLIGKISTGAQNDLEKVTKMTYAQVAVYGFSEKVGLLSFPQRDDGFEMTKPYSNQTASIIDDEVREWVGKAYKKTVELITEHKEQVAQIAELLLDKEVLHQDDLTRVLGERPFKALEPTNYDLFKQGFQDDDDKSQAPAENTELPDDSSPPLGEVVPT >Sspon.02G0049010-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2C:32091307:32091654:-1 gene:Sspon.02G0049010-1C transcript:Sspon.02G0049010-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPTERDQFTDEEEDDFLEDGVQGLGSEDGEEGGEGAQSKWKRLGNSLGGIGKRGVCYLSRVPPHMNPSHIRQMLSKYGELLRIYLVPEGNQPPGFDARALFCLGEDSQLQSVGK >Sspon.06G0015810-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:78883722:78904806:1 gene:Sspon.06G0015810-2C transcript:Sspon.06G0015810-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHELINLWPNFQAADVVPCQMDERSTSRRTDSLTSVLAINLKQGNYRSSKGPEERMSSDQKRQQQLGYCRLTVIKAGQGRSASRQQNARSQFGRTVGQSCGRRCIAKLNIQNHMPVTQVETILMLLNGYELAPQSAKLKLTNLVHPIVVPQDFDRAAAMSWYRKERKNTMKADYSVRREVALRDIRLDDVYFFAHACICRLRITRRGGKFAPSEKSSQNSVGTEAAELESGKSEMSKILNPDMEEASEVASTPTRLSKETSGKWTVEFFASEM >Sspon.01G0043160-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:71907100:71909747:-1 gene:Sspon.01G0043160-1B transcript:Sspon.01G0043160-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MERFFSNPSNAPRRDADTDTGITLLSGPPGKTSLLFQFAVNRAAESGRGVVFICSKGRLESNPPFLSQGVDPSMSLLQRIQVKYIEDVDDIRKYFAAFHLLDDFPVAVIVDDFADFFSERSCQQRYGTTRARDLAMVRVLALCHNAIGHANAKLGTLGSCNLLLSDVHQGDTPRSLFIYQRWINSIYTIRGDGKGSYILQNIGSSSSETGSKKAIKAKYSIALQYLVK >Sspon.01G0011950-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:32609806:32610012:-1 gene:Sspon.01G0011950-1A transcript:Sspon.01G0011950-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding HVLLGAKHIGMKFLHMNYLVQIEPTDDLYKVFSPESKHYPFIMMPVSLCCLQGLYTDDLKKIHVGQQI >Sspon.03G0011290-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:38346838:38352735:-1 gene:Sspon.03G0011290-2B transcript:Sspon.03G0011290-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHSMKPPPAAGSSAASSARRWVLATSMCSLACLFLLSACILLAAAGYRPFQPRTAAAWDRFSRVQQKAAPASPPPLAPRGSSHHAAAPAPGAISPSAGGGPADYRSPEEEDGGPPAPAPAPAPSEEAGEDDEGAQCDLFDGEWVEEPTGSYPLYGAAECPFLSDQVACRRNGRPDSGYERWRWQPRGCGGRTRLGGAEALELCRDKRLVLVGDSLNRNMWESLACILYAAVPDRSRTSIVDDAGSEYRIFRAMDYNCSVEFFWSPFLVKLETKDDRTKALMLDQLPPMLQRTLGADVLIFNTGHWWTHTGKLRAWDHLEWDGKKVQMAVEAAFDGALRSWARWVDYNIDPSRTRVFFRSVSPEHKRCIFRTTIEKTHPTTLSLTTPLLSCSINWCYNQTAPISKGNGNIAPSFPKSLINIIEKNIKKMKTPIVYMNITRLSELRIDAHPSIYTITREGKPLSKEQQQQPLTYSDCSHWCLPGLPDTWNVLLFNFLIRPLPRIPNLGDPDKTTN >Sspon.03G0026010-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:6533984:6536062:1 gene:Sspon.03G0026010-2C transcript:Sspon.03G0026010-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRLLANLIVMGGTVVGRAMLQAYRQAIVNANKTGAAQEAITGIRRASKAMTEQEARQILGISEQSTWEEIVQKYDTMFERNAKNGSFYLQSKVHRAKECLEPLYQKPDVPN >Sspon.03G0013130-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3A:36548707:36550399:1 gene:Sspon.03G0013130-1A transcript:Sspon.03G0013130-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPCGSPQLHCEATPNSVAGPVHDLAAPTLHRTPQPHSAAGEEEEDGARGPWSRSTADGGGVEQIGEHRGHPREARHHRRDGLVRNRWIEISPGENYSDPHPCEIIVFEDFYWHGFGNPCHPFLRKLCDYYTVSICNLHPNSLLVVSIFITLCESYLGIQPNFNLWWHFFCLKKKGSVGGSKIAGGAYLNLRDGMKAEYQNIPKQQESWLARPSSAEMVQVEELLKIFDRNQLDEPTVALNFICRQVQPCKERMHLLYEYSRSDDPTRESARNLTRDEVNWWLAQLFDLLGYCLLPNAMKAYKLTSPLPQGRGHFGLHHYVINICLNELISNLVLEALLDGLLIGCISVFEPKQHGHVAVSTKGHDK >Sspon.06G0018770-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:84972269:84974129:-1 gene:Sspon.06G0018770-4D transcript:Sspon.06G0018770-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding YVEVVPPMDKQRRLEQILRDQERGSKIIIFCSTKKMCDQLARGIGRNFNAVSIHGDKSQAERDNVLNQFRTGRAPILVATDVAARGLDIKDIRVVINYDFPTGIEDYVHRIGRTGRAGATGVSYTFFSEQDWKYAGDLVKLLQGANQHVPPQLQDMAARSASGGPRNQAAGMSRWDGPGGRCFEPGAGPVGYGDVREGPGGFSGREGPGGFGVRESPAMFGGREGPDGLGGQGGPGGFGGREGPGGFGGHEGPGGFGGREREGPGGFGGRKGPGGFGGRDGPGSGGFGGRGERGSGGFGSRGGSSPGGFGGRGGRGDSPGFGGRGRGVILPVLVGVAGEIFLVDVVAGAVDLAGGDVLTEAHMTALAAHQLANLSKGLTLRSPVFLLAKHFQAQQFSRPLL >Sspon.01G0047600-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1B:104322620:104323039:-1 gene:Sspon.01G0047600-1B transcript:Sspon.01G0047600-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAGGGTKASANGWAVLTDEDPGGHNEAAKRRESREAAEYRHQQAVVTAAASEAAVSNAQSSAAGTYGRNEKKAQNERSKKQRKKLQPVASGSDDESDGEESTSCCQTVIGSLLRAAVAAVLIAFWVHAAAPAHTTT >Sspon.02G0016610-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2B:46442533:46446126:1 gene:Sspon.02G0016610-2B transcript:Sspon.02G0016610-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GEGIALGFQHYILSLGTAVMIPTLLVPLMGGNDVRIPSLLVLVWFLDFVASCSSYHDDKAKVVQTLLFVTGIKTLLQTLFGTRLPTIMGGSYAYVVPILSIIRDPSFAQIADGHTVGKCVEIGLPMLILFVALSQYLKHVNIRHVPVLERFSLLMCIALVWTTGAFKAAARLASATPPPAYVLSRGIGWQGIGTLLDGLFGTGTGSTVSVSMSKSMLNLYLQLSENVGLLGSTRVGSRRVIQISAGFMIFFSILGKFGALFASIPFTIFAAIYCVMFGIVAAVGLSFMQFTNMNSMRNLFIIGVSLFLGLSIPEYFSRYSTSSQQGPAHTKAGWFNDYINTIFSSPPTVALFVAVLLDNTLD >Sspon.02G0035010-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:15784326:15791526:-1 gene:Sspon.02G0035010-1B transcript:Sspon.02G0035010-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVNTRRLEWFLFSPRTHIYPYDHTRCDSQLVILECHHHKSITPLELSHLHINHSSSPQLPYSRIMRQRSTLVCAIWQGTLFFLSSFAAIILDSATSGRGGIAPFVGICIIAAAFGIADGHVQGAMTGDLSLMCPEFIQSFFAGLAASGVITSALRLATKAAFESSRDGLRKGAMLFSSISCFFELLCVLLYAFVLPKLPIVKFYRSKAASEGSLTVAADLAAGGVENSQNPMSEEDPACVERLSTKQLLLQNRTKGSTHAMYALILIASYNVWDLIGRYIPLIEQIKLRSRKGLLIAVVSRLLLTAKYGDQGWMIMLTSFLGLSNGYLTVCVLTEAPKGYKGPEQNALGNLLVLCLLGGIFCGAVLDWVWLIGKGW >Sspon.01G0012840-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1B:41854911:41855546:-1 gene:Sspon.01G0012840-2B transcript:Sspon.01G0012840-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:C2H2-type zinc finger protein, Abscisic acid-induced antioxidant defence, Water stress and oxidative stress toleranc [Source: Projected from Oryza sativa (Os03g0437200)] MAAGFEAIPDPTALSLALPTPAPGPGLKLNKEDYLAICLAALAGTRKFGLGRERDQEQHQQQSTNKWCPPTHAPAQQELRFRCAVCGKAFASYQALGGHKSSHRKPPTPEQYAAAAAQPQAVSTADSEETTSSSGGTAGGPHRCTICRRGFATGQALGGHKRCHYWDGTSSVSVSLSASATVSSGVTVRNFDLNLMPVPENAGMKRWVEEEE >Sspon.03G0024470-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:59274369:59279853:-1 gene:Sspon.03G0024470-2D transcript:Sspon.03G0024470-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAGAAGRGNTAGGGAGPAFVGQVFTMLDPSGNGLMAVTTRFELPRFLTNRTPMWFKRMLSPLKKSENSPVFRFFMDLNDAVSYVKRLNVPSGMVGACRLDVAYEHFKVSCHRSDMILYAHNVRYTPYFFDKNLLDNILEASVDQHFHSIMQNRHTQRRRDIVDDSLTSEIIEESAESLLEPPEVQELMNEIGPAGIPLNVVTKAAEIQFLDVVDKVLLGNKWLRKASGIQPKFPYVVDSFEERTAVSIANIATTSSLTASNDENCCQNNQQTQSSEPSVDNSSYGNHNNEDHNHFPFSNLLPNIWPGHDRKFKAQESDNKFSRYDADMKSNLQANPLLPKITMVGISMGDGAQMSKANLKKTMEDLTKELEQTSEENMFSDEKDPLFVANV >Sspon.02G0053860-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2D:94190168:94192688:-1 gene:Sspon.02G0053860-2D transcript:Sspon.02G0053860-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GETPIFVATDTQWVVNGYAVPGVVPLLTYFLDHGVDPAALDAKGCMALHNAADLYLRSRAQRGYRTAAVQGFPVDPLNHHGTPLHLAAGNGNAKALKILLDHGADYGKFPIMQAAVHMRHELVEILLPRTNPIPSLPDWSEDGAIGTMKTLPRELFVEPIANAKSDGKEAFANGDYGYAIFCFSWAHAIDPCDATLLANLSLCWLKLREGKQAALDAQRCQMLRPRWAKPWFREGAALSLLKPP >Sspon.01G0032470-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:112995130:112996457:1 gene:Sspon.01G0032470-3D transcript:Sspon.01G0032470-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPMALLPLSSTSASAALPHIRPCPPAALHLRLPSHSLNARARAVSTGYAASFYGGSAMSAAGGADEEEVGDESGFGPGLGLGGGGLGMSAALALEEREMPPCPPGLRQYETMVVLRPDMSEEERLAYIQRYEELLVTGGAMYVEVFNRGVIPLAYSIRKRNSRTGLPSTYYDGIYLLITYFTKPESMDALQLRLNADDDVIRSTSFKVRQRKAV >Sspon.04G0005620-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4A:16072746:16074585:-1 gene:Sspon.04G0005620-1A transcript:Sspon.04G0005620-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGSRSISSEKSRGAVPRPPLQEAVSRPYMPSLGSGCPNPSAKKCYGDRFIPDRSAMDMDMAHYLLTEPKKDKENAAASPSKEAYRRLLAEKLLNNRTRILAFRNKPPEPENVSFADAASSNLQAKPAKQRRHIPQSAERTLDAPELVDDYYLNLLDWGSNNVLSIALGDTLYLWDASSGSTSELVTIDEDSGPITSVSWAPDGRHIAIGLDSSDVQLWDTSSNRLIVNNDVRIRDHVVQTYEGHSQEVCGLKWSGSGQQLASGGNDNLLHIWDVSMASSMPSAGRNQWLHRLEDHMAAVKALAWCPFQSNLLATGGGGSDRCIKFWNTHTGACLNSVDTGSQVCALLWNKNERELLSSHGFTQNQLTLWKYPSMVKMAELTGHTSRVLFMAQSPDGCTVASAAADETLRFWNVFGAPETPKPAAKASHTGMFNSFNHIR >Sspon.01G0059710-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:66323229:66323642:1 gene:Sspon.01G0059710-1D transcript:Sspon.01G0059710-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRGQGRPGTTMPAPPCCWSRRSHTQTRSDSTSTMLSMHARGSGSCAASGIDLDHHASSSTEPNVPNEDRLGHHKCKVTRSLASTMMHA >Sspon.07G0002600-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:4991893:4994192:-1 gene:Sspon.07G0002600-2B transcript:Sspon.07G0002600-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGTTASVAAALIVLGVASVATAQLETCNGDLPPVLAANYSGLACQPVWNNFVLRYHQDKNNVLRIVLSTMYSTGWVGMGFSRDGLMIGSSAMVGWIGKKGLPHIRQFALRGKSSSKVVVDRGFLVSNDHDHTVVVQQAKIYLAFQLRFSYRLTHQHIIMAFGNSIPVKNKLTRHQDKTSFTFDFTTGRASVDGSFPYGLRRAHGALNVFAWGVLMPIGAILARYFRRMDPLWFYLHVGVQFVGFIIGLAGVVAGVALYNKIQADIPAHRGLGIFVLFLGILQILAFFLRPNADSKYRKYWNWYHHWAGRLVLFFAAVNIVLGIHVGGADNSWKIGYGFNLAVILVAVIALEFMLWTRWSKNSTSTPTY >Sspon.07G0004680-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:12127216:12129371:1 gene:Sspon.07G0004680-1A transcript:Sspon.07G0004680-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEAPVTTMREATAAAVPETHRELLEYIERVTAGAAQVQRRVLSEILAQNAPAEYLRRLGVSGDAPGAVDEFRRAAPLVTYEDILPDVLRIANGDTSPILSGKPIREFLTSSGTSGGERKLMPAIADEMDRRSLLYSLLMPVMSQAVPGLDKGKCMYLYFVKAESRTPGGHPARPVLTSFYRSRHFLERPHDPYTVYTSPDEAILCVDAYQSMYAQLLCGLVHRADVLRVGAVFASGFLRAIRFLEKHWQRLCRDICTGTLDAEAVTDRAVRAAVEQRVLLRADPALADAVEAECAKAVGIIRRVWPNTKYIDVIVTGAMAQYIPQLEFYGGGLPLTCTMYASSESYFGINLNPMCKPSEVAYTLIPTMCYFEFLPLPQPDDDAGVPDQRDLVDLVDVKLGHEYELVVTTYSGLYRYRVGDVLRVAGFKNQAPMFNFLRRKNVVLSIDSDKTDEAELHAAVSGAVQHLAPFGASLVEYTSYADAGTIPGHYVLFWELRLRAAAAGGAATTTPVPASVFEDCCLAVEESLNSVYRQGRAADRSIGPLEIRVVSDGTFDKLMDYALARGASINQYKAPRCVRPGPVVELLDARVQASYFSPKCPKWSPGVGKQWSSDAAAAKKAAGGGSNGGGGGAVVVA >Sspon.02G0028520-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:116483173:116486177:1 gene:Sspon.02G0028520-2C transcript:Sspon.02G0028520-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative pentatricopeptide repeat-containing protein At3g16890, mitochondrial [Source:Projected from Arabidopsis thaliana (AT3G16890) UniProtKB/Swiss-Prot;Acc:Q9LSQ2] QMRSRRLLRDLLPRLPKPPDTPAQIRQKLQLSTKSGQDAAQSAAADPARAVSLLSEREWFARINSEFAAPLQQLGPRFVVRALHHAAAEPLLCVRLYVWASRFGQRFARDRSVRRALGDALWRRGPVVLSAALVADVRGCGCEVSEELLCALVESWGRLGLAHYAHEVFVQMPRLGLRPTTAVYNAVIAASVRAGAVDAAYLRFQQMPADGCRPDCFTYNTLVHGVCRRGIVDEALRLVKQMEGVGIRPNVFTYTMLVDGFCNAGRIEEAAGVLERMKEKGVVATEATYRTLVHGAFRCLGKERACRMLSEWIESDPTVHSSAYHTLLYCLSKNDMAKEAVELVKKMGKRGHLLDNTMFSIVIPCAVKCLESSDLCELVDDFIRKGGNLGFDMYITIIKSLLRCKDISNAKRYLSQMVSDGLLSSVASYNMVIDCLAKAGEVERALETIKVMQESGFSPNLVTFNTLINGYLKLGNVHDAKAVLKMLMEHGFMPDVITFTSLIDGLCHTHQLDDAFTCFSEMSEWGVRPNVQTYNVLIHGFCSAGHVNKAIEVLNKMKMDGITPDAYSFNAPILSFCRMRKIEKAQKLFNAMSRYGVSPDSYTYNALIKALCDERRVDEAKEILLSMESSPGIASNQHTYWPIVGALTKMGHFSEAGMFMNKLHRRNAHLGSSAYLRARAGNV >Sspon.02G0018780-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:60327839:60328755:1 gene:Sspon.02G0018780-1A transcript:Sspon.02G0018780-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHDAASAMGLPTPVAYKLRRGAARGLLARGMNFAVGGAGVLDTGNFQRNISAQIDLFQAQQQHPVPAAAAAHGGGVALVVVSGNDYSYAADKDNSTSVRDALTLAMARTQAAIAYIPTVVRELGEQLRRLRDDVGMRRVVVTNLHPLGCTPLFTRALNYSGCDPLANAGAAQHNAALQSVLAALDPANRTFLLLDLNAPFAAFADAPPAWAASSRFAEPSRPCCETFLSTAGGGYCGQQDDDGRRQYALCDDPSKHFYWDNVHPTQAAWAAVAETFRPKIREFVS >Sspon.08G0001610-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:5094628:5097927:-1 gene:Sspon.08G0001610-1A transcript:Sspon.08G0001610-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGARGSRAGGAKRGAASSAAAASACVYYATTALLVALCVAGAYFLTSTSSAAAAADGDAATVTAYRHTTRSSFAYEVTRERAAPAPPRGVETRDTPAAGKAVGAEQEPRPDDRSAVAAVAALDDPHARPDEERVLDEAAGVEEEHRVSAAGVEDVKGEDGGHVAAGDDEAASARGGEEEEEKEREAAVLEESSREQREQEEEPQLEMPHERARAAATAVEEKNLDGGIEEESNAGQRQREEEQSALEEQQAAAGNQLRREAQEDPQADGGDEGTEEEQRGREQPQGEEETRSSSVSESSRDADGGDGDKPAVSESEHTGGADGNASQDDGLSVEDSLVTEDRAEEQKAWATQADESHRETDRREEGGENDGNGAENAGGEEREWRVCNVKAGPDYIPCLDNEKAIKKLRPENFRRYEHRERHCPDEGPTCLVALPTGYRRPIEWPKSRDRVWYSNVPHTKLVEVKGHQNWVKVSGQYLTFPGGGTQFIHGALHYIDFLQQSVRAIAWGKHTRVVLDVGCGVASFGGYLFERDVVTMSFAPKDEHEAQVQMALERGIPAISAVMGSKRLPFPSKSFDLVHCARCRVPWHADAMTSLTKSLCWELTSIKKDRLNGVGVAFYRKPTTNECYEARKRQQPPMCADDDDANAAWYIRLNSCVHRVPTGPSERGARWPSEWPRRVRTPPYWLNGSQAGVYGKPAPEDFTVDYDHWRRVVDGSYLNGLGIDWSRVRNVMDMRAAYGGFAAALREKKIWVMNVVNVDAPDTLPVIFERGLLGIYHDWCESFSTYPRTYDLLHADHLFSKIRESLMAHMAVFFRCAVLPVVVEVDRIVRPGGSIIIRDEAGAIGEVEKLLRSLHWDVRLTFSKNDEGVMYAEKSDWRPELLEEPLL >Sspon.05G0033620-2D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:5D:42971365:42971863:-1 gene:Sspon.05G0033620-2D transcript:Sspon.05G0033620-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding HPEIPAFGDWETTGNTPYTQKFEDARKNKKTGIPTQPNDPRNPEHPRKSPLHPMAYKTDPEDQGPRNPPPRPRPETDHQRHSDRPTHREPAPRRHANPQREQGSNAGAPRSPYRTAVGSASPMQPNNQSKPKHRSTGMQTPERRPSSEGHGQHTPGRSRMKPGGYE >Sspon.03G0006140-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:32628152:32636341:-1 gene:Sspon.03G0006140-2C transcript:Sspon.03G0006140-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MWPGTEHSLNKPDQQSMDESRKRAVSTANAKNISSALDEDFGNDFLSSWKLPKSGKDTIDFTVPKSSKKLSFDNLDDFGLDGAFDKLPSFQMGMSDLDFSCPQKKKVKHSSSNADLSEEKKETDKDNFSFSFDFNELGKFSLDGKLGIEEKSMSRFTGKSDPVSSEVKKDTQRGLSAKGNAILEENNSTDTAHTLDTCTLRPSHLTNHESVKNVSQPASNIDAADSSDKMQEHTSVNPATMEQTKVDSVLDNPGEQPKEIYPTKAAVNIPYQDFSCTAISSEDPTQGQADPVNSNDAPIVNSGKVHVSRESNDDEQSNGLRSRDTSIIIPNVSRRPVGQFNSRNEVLEESVSLNEGSQDNQSFSGAPKKFLKKTSHGTKNTEEEISGPKSLSCSMQREIRSVEPALTKERGSFSLLSKSVHMKATRVDLASEIALNQLSSASKVIKKMTTHPTDLKREHMQANAVPDKCKTALSKTYSKPASNGLLATSMNVKDDRNAKLGLEPPISGNSSLLNARRSTANSSGHKVVANHVLLKSSNASDSLQGVPSKDNKISTISQLTGARITKLGIRSPKSDMVPEKESVQVSGTKGSPVTTSRILDSIPEGKPALPSPSIMQKVPEFETLCITEETHHESVLDPKAPKVLKHIMRSPAVRLLILGSGTPKAHVDNAISSRMPPGMEAISDLELPVLLENDGNIEKAEACRKELEDICILLKRKHAEAKELAVRAIVNNNTMLMLSHPMIEEKIS >Sspon.02G0055090-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:125919034:125920056:-1 gene:Sspon.02G0055090-1C transcript:Sspon.02G0055090-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALASRRPEELSNPRPPPRGTESPNCTAPTPGPCAALVSCGLRFLLSRGVQESTACCPEEPSHRTTQHRRQDAGTPLGSIAAVPTHRRHMKNLVPAESFFTACMPPDNNVCCHLHHSSEELRLLQDGVVPESSSVVPRRWSSSARLPHSPVRRECRRGAGLPWLRLPHPPGRPEWQRGAGALQRRDFPRWREERSGSCSGAARRLVGGPGERRLNLRLQRPA >Sspon.02G0022010-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:81205880:81211801:-1 gene:Sspon.02G0022010-2B transcript:Sspon.02G0022010-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTPARKRLMRDFKRLQQDPPAGISGAPHDNNIMLWNAVIFGPDDTPWDGATYVIYADGSICLDILQNQWSPIYDVAAILTSIQSLLCDPNPNSPANSEAARLFSENKREYNRKVREIVEQSWTAD >Sspon.06G0025410-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:68006848:68011065:1 gene:Sspon.06G0025410-1B transcript:Sspon.06G0025410-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGFSTGQLLVILGACSVMMKPSDMVKIARAAGRMTGRAVGRLIVARRQLDEILGQSAATQVHKELKEAMTQLDSIRYEVQNLSRLTPGQFMMKQHSTGAGMAEAGKSDSSDGSATKQEEFRQEIRSIIRDEIKNFVSTTEARKFDVADEPTTLKSKDMKMANSGLTNLHSQAMTYARLSEAPGLKMGSSSSGNYQEQFKESNGLLNVLPISAESAGLLPSRPEQNIVRITIEHVLVLLDQAKLGADGVLTK >Sspon.01G0048280-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:108094741:108096921:1 gene:Sspon.01G0048280-1B transcript:Sspon.01G0048280-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPFFLLQLPRASRPPLPVATAAAPLSCRRLNVSGGARPVPRRLQRRAARLSVSAVATETPRTEEEQPSPSPSGKDRFDWLDQWYPFAPVCDLDPRAPHGKTVLGLSVVAWYDRGAGEWRVFDDACPHRLAPLSEGRIDDKGRLQCVYHGWCFDGAGACKFIPQAPALGPPVHTNPKACVASYPCVVQNNILWFYPRADPKYKDVLQRKRPPLIPEIDDPEFVTVYGIRDLPYGYDVLVENLLDPAHVPYAHKGIMRGIRKKEDPGRYVPDLTRVSSLMFGIANEVVLPVHTIRWAYSVADNACCLVCCIADRSCLFFFTVEYDKEGGGPAKMKIEQANIQGFVSPQERGYFQFIAPCTSLDVSQEERKFAAAGLDNWQKACYVPTSSDSMVVAFRNWFRKFSKNQIGWATPQIDQLPQHLPRISSWRGKQASNLHTGLKHHTVAEELNSDAHDRYWSHVAQCTSCSAALKAMKALEVVLQVASVAVVGFLAVAKGTLVTSTVQRAAVVSAAVLCFAASRWLANFIQKNFYFQDYIHAY >Sspon.01G0060580-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:81035018:81043008:1 gene:Sspon.01G0060580-1D transcript:Sspon.01G0060580-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding HQVSAVVRLQAAARGLRARQRLQEMRQKMQEAVLAAVRLQAAARGLLARRQAREMRGLQLVPVPRAPLLRHQAALRHMEGPDLVRCVMEIGRGIATSGGELGVYSAGVWGRGCVATH >Sspon.03G0028770-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:8745501:8752863:1 gene:Sspon.03G0028770-2D transcript:Sspon.03G0028770-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALASEALCEQLRYKRWLWWTRFGMVITVLQFVLAIYLMCIILKDVLDGGSSKQCFSGQNQNSDWRRILLITFLVSMWVSTIVQCATGSDVLRSVLEDDDICVVAKLLGDLMAYRASGTGHLELVAGLSLLQKSKSSTIISKELVEAPQDLIHEAILFHPFAEAAYTGPLLDFGRNPLMFPCVWLNRQGVLTPWTRARRPVLEGDNWWRGHAAAFLKYANVTPEVLRKGRVNQTKREAAYFVVVLHYLSTVVIAIRGTETPEDVITDGLCKECSLNIDDLDGLINSDHLSPQVKNAVLSSPHYGHAGIVESARELYAELEGHPIHQDKSDTVKAGFLSSLLGDGCECHGYNIQIVGHSLGGSVAALLGIKLYGRFPKLHVYAYGAAPCVDYVVADACSQFVTSIVHNDEFSARLSMNSVIRLRSSAVTALSKGASPNSTKVGKLVGGIMSARTDDKNALDHCASAGALQTVSEAERSNDQTHGRNPMHTIRGGLFLFGQAISCLINTPKHRVSSTAAINYELGRSRMTTVSDGRKSIVAYRGFMDVSHCGEGNAHVDSQFREDDLYECGGAYRSPHSNDGPGLMSASDDHMITISSSEGQSPEVYLPGLVVHVVPVKKGASPLQKTIVTRQKNKSYKAFIACRKDFMDLVVTPRMFLDHLPWRCHYAMQKVLETRKQDQHTSDPSTAEEAV >Sspon.08G0005750-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:17963164:17964107:-1 gene:Sspon.08G0005750-3C transcript:Sspon.08G0005750-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKASSSLSPCIRLMEESLLLPTQDVGLFTKTFLLIFAHTFIFIAVAVHFAHPLATSILADIKAHKITTDTASSNHSKELSLLAIYLSYLASKLATQLVTSLATATTYSGERLTRKVVKERIGGLLGTAALAGVLELSFIALLVALLVATWTYADSGIKSLCGYLMFLVALLLYIYLATVIPVSVAVSAVDRGCQGVWALRRAWRLMRARRKEAAVLVFVVNTSCLPPVYAFSSVYPADEYSLYYGQDLADRFSLHNSWLRSQGVWLTGVVSGSGLPSVGAQLFSMVVATVFCCLSMETSDGGARTSV >Sspon.01G0051030-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:10078741:10083517:1 gene:Sspon.01G0051030-1P transcript:Sspon.01G0051030-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAAAAAAATSTSTAAALLAPGLKLCAGRARVSCPYRLPLHRVAAMASAPNSSFRPEEARSPPALELPTPPLSKFKVALCQLSVTADKSRNIAHARAAIEKAASDGAKLVLLPEIWNGPYSNDSFPEYAEDIEAGGDAAPSFSMMSEVARSLQITLVGGSIAERSGNNLYNTCCVFGSDGQLKGKITFKESKTLTAGQSPTVVDTDVGRIGIGICYDIRFQELAMLYAARGAHLLCYPGAFNMTTGPLHWELLQRARAADNQLFVATCGPARDTSAGYVAWGHSTLVGPFGEVIATTEHEEVTVIADIDYSLIDRGGNFFLCNTNAVAISIGW >Sspon.02G0010660-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:27924056:27926705:1 gene:Sspon.02G0010660-2B transcript:Sspon.02G0010660-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMASSSPMAMMAPAAVLMAPLVLLMLSGAEAKFLANNITVVGSVYCDACSNNTFSKHSFFLKGARVLIKCSFKVNTTSSEELSLEAERTTDQHGVYKLDVPPVDGFECREGHEVRSACRATLVRSSSAACNVPGLGGSTQHIALRSRATSACFLNLNALNFRPAKRDGALCHGHGDGDGGDAFGSSLFFWPFLPLFWPPFRVPGGGAGGTVSFPWPFPVPDWLVPFLRPPFLPFPLYEPAPSPPPP >Sspon.02G0052350-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:83436585:83442473:-1 gene:Sspon.02G0052350-2D transcript:Sspon.02G0052350-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMSGGTASSIVPKLVDDPEAVPAVEPRPVREKVCMCHRALLAPGSLMTLLLLACFVLGRGSASSAAWRNIDLAKLTGMNNGTAGKYGLTTNAPHGRDELLGGLLPAGMDRRACRSRYKSWRYYKYFPYAASPYLQRKLRAYEARHRRCAPGTPLYAKSLERLRSGRSADGMDCNYVVWLPFDGLGNRMLSMVSGFLYALLTDRVLLAALPPDSADLFCEPFPGTTWRLPLGDDFPVANLVPRGQDIERSYTRLLDAKVVGPAANATAGVPAYVYLSLGWQLKDPLFFCGEHQLVLGKVNWLLLYSDLYFVPSLYAVAEFQDELRRLFPAKESVSHLLSRYLFHPTNPVWSLTTRYYRSYLASSKRRIGVQIRMFSYGSIPDDDMYSQILACSRQEHILPETDDDGGGAGDNGVLATRSDDNGDGSNSTAILVASLYADYYERLRSRYYEHAAKGGERVAVFQPSHEGRQATDNMAHNQRALAEIYLLSFSEELLTSGSSNLAGVRPTILLTAYGHKVPEPPCRRAVSMEPCNLTPPLGVECQGKPVDKEDLARHLRVFWPSLIGIDVWLPVWSLGGNACRLAERSFGHRLSALTSGYQYGHWEVFRPSLIGIDVWLLAWSLGGNACRCKAEGTRRGSRLLGEVRGRLDQKPRWDIRTSSVMRPLQIAPSVSTGTYACFPRQFHAFAPPPFTGVELAGGVELAAPVEKAATGRSDGEDDMMEREEDRRPCLGAVEMLAGGHSDAVENAESARQSGCSATPSRKSVGANGRAANRRVVGLASQLTNGSTGPQGNIENL >Sspon.04G0010760-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:29333129:29335396:-1 gene:Sspon.04G0010760-2B transcript:Sspon.04G0010760-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPLLMAVLLHCTGTAMVWASHAVYPELQSLEAEKVDETSRTGYHFQPPKHWINGPMYYKGLYHLFYQYNPKGAVWGNIVWAHSVSTDLIDWTALDPGIYPSKKFDIKGCWSGSATMLPSGVPVVMYTGIDPDEHQVQNVAYPKNLSDPFLREWVKPNYNPIIAPDNGINASAFRDPSTAWYGPDRHWRLLVGSKVDDKGLAVLYRSRDFKRWVKAHHPLHSGLTGMWECPDFFPVAVHGRSRRHHHRGVDTAELHDRALAEEVKYVLKVSLDLTRYEYYTVGTYDHATDRYTPDAGFRDNDYGLRYDYGDFYASKSFYDPVKRRRILWGWANESDTVPDDRRKGWAGIQAIPRKLWLSPRGKQLIQWPVEEVKALRAKHVNVSDKVINGGEYFEVTGFKSVQSDVEVSFAIDDLSKAEQFNPKWLTDPQALCKKRGAREKGEVGPFGLWVLAAGDLTERTAVFFRVFRTNSNGSRLVVLMCNDPTNSTFEAQVYRPTFASFVNVDIAKTNTIALRTLIDHSVVESFGAGGRTCILTRVYPKKALGDNAHLFVFNHGEVDIKVTRLDAWEMKTPKMNAPAQ >Sspon.01G0009100-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1A:25418747:25419067:1 gene:Sspon.01G0009100-1A transcript:Sspon.01G0009100-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHTGDPPGGGGGPPPSTTSRCGGRGCMRRRVAESSVGRQDPTAVGRSPGTGGCVAVAVVHSPSTNSRCGGRGRNGCGQPDPAAGGYSPGAGGCACGGGASASDTSGP >Sspon.01G0061730-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1D:96430266:96430663:1 gene:Sspon.01G0061730-1D transcript:Sspon.01G0061730-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding HDACSKSASDGHGNRRRLPSAPCFPSAPVGRRAQLCIRLNPASRRSYPIGGASAFPIPNPAAASSSAGGEGATPKWRRSIGGSAEWRAGLLLPTSTPAASSASMAHGRRARADDAVGIGLLAVLEEDDTRSG >Sspon.02G0021310-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:71460928:71461720:-1 gene:Sspon.02G0021310-1A transcript:Sspon.02G0021310-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGQLDDSDLVGLLGLSLSGLVLVTASMTVNIALTSRVIFSRLGGKPHFKERGMSAIWATCCCWRAMGRDCTEACLYGQSQYKRSNRAIDRASNIQKNISSPLKSTIHLLPQVFPLCTRISFPQVF >Sspon.03G0002230-1P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7A:18097052:18097516:1 gene:Sspon.03G0002230-1P transcript:Sspon.03G0002230-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTATAPRLSVPKSGASYQTAAAPAGKTVSFVGYTRRQAPHTSGLLRLSSRFVASAAAVHKVKLVGPDGAEQEFEAPEDTYILEAAENAGVELPFSCRAGSCSTCAGKMSAGEVDQSEGSFLDEGQMAEGYLLTCISYPKADCVIHTHKEEELY >Sspon.01G0045550-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:85941500:85942537:1 gene:Sspon.01G0045550-2C transcript:Sspon.01G0045550-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGLRSRILRTLQSFPNAAAQSSNLLLALPPGASHSPQPPAAPWPCGRLQEPAQQEVLVDEAGAAPEVPGGGGDDDDDDKENVSPGVTPRKAKKMKLSSDHHGEDPADGATRYRRPDLASATLFDPDLLAAFRRAVDAYAQALEEAKRRDDDIDDGEDGDGGEGGPGVADPLEAFELRCPPGGERAVVLYTTSIRGVRKTFEDCARVRRLLEGLRVAFLERDVSMHAAYREELRALMRCGQGQEEGGGAFPLPPRLFVDGRYLGGAEEVVALHERSQLRSVLRRAPRRGAGEGPCAVCGGAWFVVCVGCSGSHWLHDAGGAVAAASRVPCSACNENGLMPCPLCS >Sspon.05G0019600-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:78537241:78539792:-1 gene:Sspon.05G0019600-3D transcript:Sspon.05G0019600-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQLAPTPRPSPAAAARRRPRPSTSACICCSSVHELERSPSPRPRPGASLREAKRVVLVRHGQSTWNAEGRIQGSSDLSVLTPKGESQAETSRQMLLSDSFDACFTSPLARSRRTAEIIWQGRDDDLIPDSDLREIDLYSFQGLLKHEGKERYGLLYRQWQKNAANFSIDGHYPVQELWGRAQSCWERILAHQGKSVLVVAHNAVNQALDLARSTLDFAAEQLWRQCAGLQPTDWGGPPSVCLNRLNQTPNSPVAGGSSAGRRTSKRIILACQGATQSSSEISLGGMGYAPLNMLGTIQSQKTAELLLDLKVNSIICSPQVAAVDTATVICEVQEAADCLGADCVPRYVEMKKMIELEIEDAYQAKQKSFGEIVQSGWVGGMEYKLLERLWAQSKDAWKALLNELADDTSERVLVAVGHPAIHLALICRCLDLPMEYMSSFHLDDGSISVIDFPDGPKGRGIIRCTNYTAHLGRWSIPITRPTENDEEF >Sspon.01G0008470-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:23585241:23585762:1 gene:Sspon.01G0008470-1A transcript:Sspon.01G0008470-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding NMDSKLGVQHGHHHSHNNGISKPPVHHHPAGRKGGGGAGSGARQGGGGGRGNKGIKVVYISSPMKLTASAEEFRAIVQELTGRDSNVADHDLDGAAYYAGAPSSSSSSYSSFGRVSPTAAAAGARALSSPTMVAATNAGPGRRAPAEYAAEMAAPQPFQSMYDQTAGSLLYGQD >Sspon.04G0013190-2T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:50350204:50351591:-1 gene:Sspon.04G0013190-2T transcript:Sspon.04G0013190-2T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable xyloglucan endotransglucosylase/hydrolase protein 26 [Source:Projected from Arabidopsis thaliana (AT4G28850) UniProtKB/Swiss-Prot;Acc:Q9SVV2] MIIMGEQRNPSIRQVSSSLLALAFASSVLVGLAAGGSFYEECDATWEPQNCWAYDDGNRLSLALVSSSSGSMIRSKRQFVYGTVSTMIQLVPGDSAGTVTTYYTSSLGDNHDEIDFEFLGNVSGQPYTIHTNVYAAGVGNKEMQFKPWFDPTADYHNYTISWTPCMIVWYIDDVPIRVFRNYAATHGVAFPTSQPMYAYSSIWAAEDWATQGGRVKADWSKAPFVASYHGIDLDVCECYGGGCVSTCAAAFAGSDCSSLSDAQVGKMQWVQSSYRIYDYCVDPKRLVNGQKPVECGLPQY >Sspon.03G0015710-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:50032292:50036251:-1 gene:Sspon.03G0015710-1A transcript:Sspon.03G0015710-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSVGLLSSGYEASTSGTTDRLPDEMNGMSIRDEKEVEAVVVNGNGMEVGHTIVTTVGGRNSQSRQTISYMAERIVGQGSFGVVFQARCLETGERVAIKKVLQDVRYKNRELQTMQVLDHPNVVCLKHYFCSTTDKEELYLNLVLEYVPETVHRVIKHYNKMNQRMPLIYVKLYMYQICRALAYLHNCVGVCHRDIKPQNILVNPHTHQLKLCDFGSAKVLVQGEPNISYICSRYYRAPELIFGATEYTTAIDVWSAGCVLAELLLGQPVFPGDSGVDQLVEIIKVLGTPTRDEIKRMNPNYTEFKFPQIKAHPWHKIFHKRMPSEAVDLVSRLLQYSPNLRCTALEALVHQFFDELRDPNSRLPNGRSLPHLFNFKPNELRGVPREFVVKLIPQHAKKQCAFLGI >Sspon.05G0036210-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:82811850:82821863:1 gene:Sspon.05G0036210-2D transcript:Sspon.05G0036210-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQAEAAYLMTSRKNMVGVEASVLSAAVIGMLKIVSNKLAPLVIKQYSYIVGVEKDLQELQDLVQEINCHLETAGDRATGDAPWLKKLKEVAFDVDDVVDEFQLKAEKHDADGGSGFVSRYLHTKTELFIFQFKAAKKIKKIKKRFAQIVKQRTDLTAIFGHDPVSHITMSAVNMQTLPVGDEASMLGRDQEMHQIISNLVETNNKQEIKIVSIVGLGGSGKTTLAKLVFNDGKAIEKHFEVRLWVHVSQEFDFEKIIMKLFEAFADKDLGQPSLPYMSKRIQEGLTQKKFLIVMDDSQNQWDKILGYLKTGAPGSGVLLTTRSANVAEVVRSTHQFCLQRLSPDDSWQLFQQSFRMPAKFLESEFIKVGKEIVETCCGLPLAIIVLAGSLGGKELVGEWQAMRDILLDVEGEDSVSACLKLSYFHLPSNLKQCFTMCSLFPKGHLIDRQQLIDQWIAHDMISLTPGVDDLEHIGLRYFNSLVQVYFLQDVNEDFDGRVTCKMHDLIHDLACSILCEEISTIVPEDATSSTKGYRFFSLIEPRTLLPKKVIENARAIYIDKGNDMLFASKLKNAKHLRSIIIENTVLSTPVLTTIFEVKNLKYLKVSKLQCEALSEVITDVWTLQALYLESSNLIELPESIGKLKKLRTLNLSWCSKLKSLPDSIGDCQMLSTLDLRDCDAFEDLPNSIGRNKRLRVLRLVSTGICRLPSSIITLENLEYLKIKRCHQLVRLPEGIGNLTKLKELDLDSFKRLPPGIGQLTQLEKLNRFCVETDKKYARISELATLSRISGKLSICFLRDLTHQSEAHQACLKQKEKLHELKLSWGFLHDRENLGHEVVALDGLEPPLGIKRIKICNYGGGRFASWMLKQASGGVWGHDLIELPEYLGNLSSLQRMTIKNCDRLSSLPQSIGHLTSLQELIIQECHALVQFPESLCHLTFLKELDIGDCKALVQLPEGLGELCSLSKLNIWCLPGLTCLPQSLCNLMSLQELQIGGCDALGELPKCLGELHSLRKLKISELGCMTCLPQSLCRLTTSLKELEIRYCPGLTSLPEGIKGLTALQRLVIDCCPDLERRCERGNGKDWHLISHIPNLQIGEPCQPHLLT >Sspon.07G0013650-3D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2D:70255153:70256570:1 gene:Sspon.07G0013650-3D transcript:Sspon.07G0013650-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLDFAMRLRNPELVGPARQTPRETKRLSDIEDQVGLRWHVPFVLFYRGRGAAAGNDDPVAIVRRALGEALVPYYPLAGRLREVERRKLVVDCTGEGVVFVEADADVRLAELEAAGLRPPFPCMDQLLFDVEGSGGVLNSPLLLIQFMRAVGKLARGLPEPTVAPVWLREILDARSPPRPSFPHHEYDPVPPPPSSFPPPGDMVMRTFTFGPDHVAAIKKGLSAQRDGSKATTFEALAAFIWRARTAALEIPADEDARLVIAANVRGVRDLGLPAGYYGNACVYPAVVATAAALRVRGGGTLGAAVELVREAKRAAVSAEYVRSTADLMALCERPSLATANMLIVSDNRHAGFRHVDFGWGEPVYGGPAGALFVLSFIVAVKNGDGEDAIDVPIALPRTAMDRFASE >Sspon.03G0043400-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:81074591:81085628:-1 gene:Sspon.03G0043400-1C transcript:Sspon.03G0043400-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHACPAPTVVLLLCPTMLLLALLVLTTPTTAVAAVNTSTLPCAPAPCGNLNISYPFWLDGTHQPCCSYKAFQVTCEERKKQVYLKNSYWTYQILDISYENSSFVVTNVNLPEDDSYCDLSTFIGNASSDLSAAPFKISNKNQELFFLSECQGQASSWAPVNCAANNTPDSFAWLTGNYSPDDNPKQLPGNCTVASLMPVLGYQGAVAADYDRLIKGGFLLEHNYTAEDCTPCAQTGGKCSVDTGEDLLMCQCSDGEDWFICGQYICLCLRFSDSFCFSTVSSILICLRYIYSTRLLKSNAPYCGYPSLGIACDDPTSGAPPVPNGSYLRVLDIHYGNSSVVAFHASLADDPTGGCRATRFNVSATLALSSLLAVSRANWELLLCSSLQLLADAARGVGSDELHSHRPRPRPVARVPEPPELERLMHPTVLFAPFLASLLLLLHDHASADCEPAACGNLTLRYPFWLGSSTSSQPPSPCGYPAFEVWCSGDGRVASLKGSSIHVLSIDYTTNSLVACHAKLAGDDGVCKIDFNVSSSIALSQFKISPQNRALCFLYDCNNGTAPSGPEYVNTTSNCSTPIYAYLAGAYYWDKPPAPITTWRCEFAYMPVLGSEAAVMTAANYSRLLKDGFVLDWEVAGVGDCRACNASGGQCRYDGAAAEFWCLCPDGRRARSTCV >Sspon.03G0013640-3C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3C:55635386:55636123:-1 gene:Sspon.03G0013640-3C transcript:Sspon.03G0013640-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWGDPALTSFVADSSYRHLCCYGAGIAGNVFAFVLFISPLYVPPLFLLIELSDYSQIKRTDLPPSFRPQSVPAVNARRLHCSPTFKRIVRNGSTEQFSAMPYIYSLLNCLICMWYGLPFVSYGVVLVATVNSIGAVFQLAYTAVFIAFADAKQRLK >Sspon.04G0004760-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:9363218:9367868:1 gene:Sspon.04G0004760-2B transcript:Sspon.04G0004760-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chloride channel protein CLC-f [Source:Projected from Arabidopsis thaliana (AT1G55620) UniProtKB/Swiss-Prot;Acc:Q8RXR2] MSSCDDDHASLLRSHAGASSSPSCPSPRGPVGHQHHADVEADEATVTASRRLASSGGGVRGLLRNLERRMTARGSGPGRRQHQHYQQLDRSAAIESPSQRQRERAAAGDDDELGDGAPPEWALLLIGCLLGLATGICVAAFNRGVHVIHEWAWAGTPNEGAAWLRLQKLADTWHRILLIPVTGGVVVGMMHGLLEIFEQLKLVKSGQRQRINFVGAIFPTIKAVQAAVTLGTGCSLGPEGPSVDIGKSCANACSEMMESNRERRIALVAAGAAAGIASGFNAAVAGCFFAIETVLRPLKAENSPPFTTAMIILASVISSTVSNVLLGARPAFIVPAYELKSAAELPLYLILGMLCGAVSVVFDGLVGWFSRFFGRIKEKFDFPIIVYPALGGLGAGIIALKYPGILYWGFTNVEEILHTGKSASAPGIWLLTQLAAAKVVATALCKGSGLVGGLYAPSLMIGAAVGAVFGGSAAYLINSALPGNAAVAQPQAYALVGMAATLASVCSVPLTSVLLLFELTKDYRILLPLMGAVGLAIWVPSVVNQPNDTEPSGFRTPRRGYSSISPEDRNGSSRQGDVVDDLELSIIQTDISNYGTYNEEMLLDDLKVSQAMSKIYVKVLPSATVTEALKLLHDKQQNCALVVDPEDFLEGIITIGDIRRMGYGLHMESFIDGDHPKADDNSSSISSCLTRGFQYRGSERGLLTCFPDTDLTTAKSLMEARGIKQLPVVKRGVAHRTAGKRKPIALLHYDSIGCCVREEIENWKTIYQRLA >Sspon.01G0046150-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1B:96610794:96611375:1 gene:Sspon.01G0046150-1B transcript:Sspon.01G0046150-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DSPSTRPKAPKPKTDSNNEKAKRRPTLGFRLCTANGSPTQKLRFPIARRQRRSPRGPPVSLLIEVCSSPSPPLCMRRPPPSPPTSLPEKREPPRPSSPRKRAWARSGLSARASSGDRTGELWPAAARVTSDPPPRGQARGGAPAPPPRVAEPPKLYGPHAPIIVTKTSDGYACTPDNLRRFVGCPCRTRFNYV >Sspon.04G0007150-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4A:20377699:20383013:-1 gene:Sspon.04G0007150-1A transcript:Sspon.04G0007150-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKPPAPAVPSPEPTPRLAGGCGPRGLRSLPALSYNTHRALVLGLTFLAYALYHASRKPPSIVKRELARSWPPFADPALLGATDVAFLTSYSLGMFVAGHLGDCLDLRRFLAFGMVTSGAAVALFGAGYFLGLHSLAFYVVAQVIAGLLQSTGWPSVVAIVGNWFCGRRRGLIMGIWNAHTSVGNITGSLVAAAMLGYGWGWSFVVPGGLIALGGVLVLFFLAPYPQYVGFGPSPIEPVTDESTDGEDITAAGGAGKDRRDAVGIFKALAIPGVVIFALCLFFAKLVAYTFLYWLPFYLSQTAIGGQHMSAASAGYLSVLFDVSQSVVVQSRQPVIGVKSREVLAAGAAVGQTPINSLPVVISMSSTMFNDDKYRGMHQVKKDRDEGTPGRDGQAATNERPRGTTTERLQTPDEMPEGMGGGPAVVDGRGAAAALGLEVEVVGL >Sspon.02G0047340-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:15516649:15522595:1 gene:Sspon.02G0047340-1C transcript:Sspon.02G0047340-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEEAIIDDVDVVTSRSSYLRRLLSESSDVAIALPAGLTFDAFAQAIATCYGSDDAAAALSPASLAAAWAVTGWLELVPGPGPGRDPYGLAHTAEDYFFQEVATDHGRAAGVLRCCAAFLGGEAAGPAADLLVRCLEVLAASDSGSGRWLDDVAALPVEEFLVAVEAMRARFAHDHDLMYTVVDHYLELTCRVEFCCLDSIYYRLYALTSYCTWYQAVKVELSFEKSHDSSALRNNHKGKLTEEDKSRLCYNVNCTKLSQRLFMHLVQNPRLPLRFVVQAMLVEQLHSHHTMLLSQHHQAAAPAPTRSAAPLLKPSLSGVFRGGGVAVAGEDASMSLGDILQRDAALRQSAHIRASMQATSLRIETLEHELAGLRTRLRRSEQQQAEAEAAAAAVTGASSIDRAPGKSASFRIPRSRLWDGEELAPVGPRRAAARDSNARGFKSRLVHGFKNLFGRRQGAAGAPSACGEDAGTRCFGDKGAAVAAPELESDDDEVNSRALPRLSIMQDNKDSLQHIMGFTSPS >Sspon.06G0003420-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6A:10261445:10265224:-1 gene:Sspon.06G0003420-1A transcript:Sspon.06G0003420-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKDKTPIAGGGGGDAGGGGGAGNGPEMQSSSDAVAPSPPTPHTECDISSMPDSPLRKPGHRRALSEIIGLPDDLDLGPPGAGDAPALSDENEEELFSMFLDVDKLNSRCGASESESSCAMAGGRGEATETSAAPRAGQGQRHHHSHSMDASSSINAEHLFGTTSMDGVSPAEVKKAMSAAKLAELALIDPKKAKRIINNRQSAARSKERKMRYIAELERKVQFMQREATALATQLALLQRDTAGLTVENSELKIRLQSTEQQVHLQDALNEALKSELQRLKVATGQMGNQMMMNFAGPPHPFGGGNQQVFHHPSQAMPPFLAMQQHPNQPLHPLQTQQLQQAALNLNVKGPAAPPPGQWQWG >Sspon.02G0012540-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:33043098:33049031:-1 gene:Sspon.02G0012540-1A transcript:Sspon.02G0012540-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFLLTPGARAAPSPSTLSRLLRPLHLQITNGRHNHQHRHVSVSASASPLLYPRLRNRRDRFFASSSSSQMAAPADAPGGSSDAFEVIRVHQAKAARLSPVEEIRTILDRSVRGVLATHSQDHAGYPSGSMVDFACDQDGSPILAVSSLAIHSKNLSGNPKCSLLVAKDPEDRTDTVITVYGDAVPVSDEEKDSVRTAYLRRHPDAFWVDFGDFSFLHIKPKAVRYVSGVATALLGSGEFSAAEFKEAKVDPISQFSTPITSHMNKDHADDTKLIVQHSTTVKVDFAYMLDVDSLGFNVKAGCDGSVLKLRIPFPRQAQDRKDVKTLIVEMLQAARASSSDPE >Sspon.03G0046170-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:33038066:33038269:-1 gene:Sspon.03G0046170-1D transcript:Sspon.03G0046170-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLLPAHCTVGPESRPNHARNASGLRAWSSGIRHARVGSGLGAYRVRTCLPTVTLYYCVAWSIDPP >Sspon.04G0011690-1P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4C:7302380:7308596:1 gene:Sspon.04G0011690-1P transcript:Sspon.04G0011690-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VKDKSNGAVYVIAESRLGQLPVKAKASGKKQAPSKGSIAEAVQDGLDKESYELLAKIPGSSLVGLKYTPLFDFFIELQGTAFKVIADNYVTDDSGTGVVHCAPAFGEDDHRVCLAAGIIETAGLVVAVDDDGCFTEKISDFKGRHVKAADKDIISAVKGQFLGNAEARALRLDASFRTFVQGDLSVGEFCRRMKGMADSLGDLGWPVEDRILVLNVLRGLSDRYAHLRTWITRQRPFPTFL >Sspon.06G0007620-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:27723845:27732166:-1 gene:Sspon.06G0007620-3C transcript:Sspon.06G0007620-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASGEGASLPSPAGGEDAHRRRVSYFYEPSIGDYYYGQGHPMKPHRIRMAHSLVVHYGLHRLLELSRPYPASEADIRRFHSDDYVAFLASATGNPGVLDPRAVKRFNVGEDCPVFDGLFPFCQASAGGSIGAAVKLNRGDADITVNWAGGLHHAKKSEASGFCYVNDIVLAILELLKFHRRVLYVDIDVHHGDGVEEAFFTTNRVMTVSFHKYGDFFPGTGHITDVGAAEGKHYALNVPLSDGIDDATFRDLFQCIIKKVMEVYQPDVVVLQCGADSLAGDRLGCFNLSVKGHADCLRFLRSYNVPMMVLGGGGYTIRNVARCWCYETAVAVGVEPDNKLPYNDYYEYFGPIILFIYNQKNTTKDLENINTWNVMFCHVGQDIHPQSKDGKRSESGKWLDWRQIEGNLGNMILENLSKIEHVPSTQFHDRPSDPEAPEEKEEDMDKRPPQRSRLWSGGAYDSDTEDPDNLKSESKDVTANLQMKDEPKSDL >Sspon.08G0019360-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8B:11341056:11342057:-1 gene:Sspon.08G0019360-1B transcript:Sspon.08G0019360-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding STQKCKWESYDDVFKFKDEYKTRVALCKMRGYPANYVTDFVKNHKKKMLRRAQDMRAQIGCTGNWQADVARARYTKNRTLKRDAGVGGTASRPIVVEEEAEPEEDDDTGRIGELICLAEELGYPQDEDAYDMGRIAELLRLAEELGPMPEEDGDAFVTGPVRDLEEGQAVYHSQKTPLFDAWGPTDMTEEEAKLYSQAAEEAEAAYYRRQASQAKAVKASKGKEVVVEDSESEDELLTQWCTQFD >Sspon.01G0051490-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:18301575:18310742:-1 gene:Sspon.01G0051490-2D transcript:Sspon.01G0051490-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-galactosidase 8 [Source:Projected from Arabidopsis thaliana (AT2G28470) UniProtKB/Swiss-Prot;Acc:Q9SCV4] MAATARLLPAFAAAAAALLLLLCLAGGARATNVTYDHRALVIDGVRRVLVSGSIHYPRSTPDVRILLPLFISRSLPAPAARSFLCSWQARYVLTLAAGMACRRQMWPGIIQKAKDGGLDVIETYVFWDIHEPVRGQARLPTWQYDFEGRKDLAAFVKTVADAGLYVHLRIGPYVCAEWNYGGFPLWLHLIPGIKFRTDNEPFKAEMQRFTSKVVDSMKGAGLYASQGGPIILSQIENEYGNIDSAYGAAGKAYMRWAAGMAVSLDTGVPWVMCQQTDAPDPLVREFLTPSSPDHINTCNGFYCDQFTPNSAAKPKMWTENWSGWFLSFGGAVPYRPVEDLAFAVARFYQRGGTFQNYYMYHGGTNLDRSSGGPFIATSYDYDAPIDEYGLVRQPKWGHLRDVHKAIKLCEPALIATDPSYTSLGPNAEAAVYKTGSVCAAFLANIDGQSDKTVTFNGRMYRLPAWSVSILPDCNNVVLNTAQINSQVTSSEMRYLESSNVASDGSFTTPELAVSGWSYAIEPVGITKDNALTKAGLMEQINTTADASDFLWYSTSITVKGDEPYLNGSQSNLVVNSLGHVLQVYINGKIAGSAQGSASSSLISWQKPIEIVPGKNKIDLLSATVGLSNYGAFFDLVGAGITGPVKLSGTNGALDLSSAEWTYQVGLRGEDLHLYDPSEASPEWVSANAYPINQPLIWYKTKFTPPAGDDPVAIDFTGMGKGEAWVNGQSIGRYWPTNLAPQSGCANSCNYRGSYNSNKCLKKCGQPSQTLYHVPRSFLQPGSNDLVLFEQFGGDPSKISFVIRQTGSVCAQVSEAHPAQIDSWNSSQQTMQRYGPALRLECPKDGQVISRIKFASFGTPSGTCGSYSHGECSSTQALSVVQEACIGVSSCSVPVSSTYFGNPCTGVTKSLAVEAACS >Sspon.07G0011500-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:47642979:47645370:1 gene:Sspon.07G0011500-2D transcript:Sspon.07G0011500-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLANHYLQKKVRSDISAEYWLHKKCAYPERQLFDWGLMRIRYPLSMYGIGDILSMDADDVHRKKRFTERMSRLEEEEKNQADIRKRKFFAEILNASREHQVQLATTFKQRKQRNDGVQAWHVRARQRISRQEKSRLNLLKIGDQEAYMKMVEESKNERLKMLLDKTNELLEGIGKAVQRQKDAEHVSQPEGSEVPKGSESEDCSQISGVKSESPGESPSDDDADFAGPADESKFNAGRRLDFTVHSIEEKDPTAHNCCLIMSHV >Sspon.07G0019810-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7A:73503488:73505918:1 gene:Sspon.07G0019810-1A transcript:Sspon.07G0019810-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding QTPGTAAQACRHAPRHRPSPRCRARPPSHHRTPRPAAPHATDTPYPTIRGPRAPVAATRAALGPTRPGFLLTPPRSFSSTPRLLAHPAAGASGGKGNTKGNQKKKGSENKKQSRTHKHERERPQAAAAPEQRARRSGTRVQRAPPRNVSLVLGAHTGSATWRARASERLAGGHRGTLTESNAVVRIFYCYYYCLLGFSPLRFASSSPSLARSLARFRLPPPPAAARLASARGEVQRSRRRGSAEPGGAGGGTFARVELRPGSPDSAAGSLGSRRRGGRARAAAPPAAIPLVSALLSETRGWGGSSRACFGLANPRFLPSLPPRPACSVPLLARSLAWFRCWSTGRKVVGEMVPSGQPNPMGGPGQQVGASLLRTSSSLLGGGGVGGVGAGGQPGMGMGGGVLPSQSPFSSLVSPRTQYGGGGGNGLLAGASSVASMLSRQQSYGNGGTGVMPGTGAGLPIGGMQHRGGVGDLVGTGGPDSMVFSPSGPGSLGNQLGADGLQQQQQLDAPQDSQNQQQQQQQQQMSMTYNQQHMMPQTQQQQQPQPQAAVKLENGGVLGGVKLEQQMGQPDQSVSTQMLRSSSGGVKLEPQLQAMRSLGAVKLEHQSSDPSVFLQQQQQQQQQHMLQLSKQNPQAAATQLSLLQQQQRFLQLQQQQQQQQQQQILKNLPLQRNQLQQQQQQQQQQQQHQHQQLLRQQSLNMRTGKTPAYEPGTCAKRLTHYMYHQQNRPQ >Sspon.01G0041800-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:55101936:55106530:1 gene:Sspon.01G0041800-1B transcript:Sspon.01G0041800-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKELDGILDSSDAETAKSCTSEGSTSFLERIITPIYDTMAAEAENNKNGKAAHSAWRNYDDFNEYFWSRSCFELGWPPAEGKTNFVEHRTFLHLYRSFHRLWIFLLLMYQIYLMDIHIWYTLLSALVGGVMGARDRLGEDI >Sspon.05G0012370-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:37766676:37772394:-1 gene:Sspon.05G0012370-1P transcript:Sspon.05G0012370-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGALVQSAIVPTVYRSSSGRLRARARARTNATMVRNMPTRTLTLGGFQGLRQTNFLDTRSVIKRDFGSIVASQIVRPRGSASRGVVRAMFERFTEKAIKVIMLAQEEARRLGHNFVGTEQILLGLIGEGTGIAAKVLKSMGINLKDARVEVEKIIGRGSGFVAVEIPFTPRAKRVLELSLEEARQLGHNYIGSEHLLLGLLREGEGVAARVIRMVGESTEAVGAGVGGGSSGQKMPTLEEYGTNLTKLAEEGKLDPVVGRRDQIERVTQILGRRTKNNPCLIGEPGVGKTAIAEGLAQRIANGDVPETIEGKKVITLDMGLLVAGTKYRGEFEERLKKLMEEIKQNEDIILFIDEVHTLIGAGAAEGAIDAANILKPALARGELQCIGATTLDEYRKHIEKDPALERRFQPVKVPEPTVDETIQILRGLRERYELHHKLRYTDDALIAAAQLSYQYISDRFLPDKAIDLIDEAGSRVRLRHAQAGELRDREMELKAQITAIIDKSKEMIKAETESGEVGPLVTEADIQHIVSSWTGIPVEKVSSDESDRLLKMEETLHTRIIGQDEAVKAISRAIRRARVGLKNPNRPIASFIFSGPTGVGKSELAKALAAYYFGSEEAMIRLDMSEFMERHTVSKLIGSPPGYVGYTEGGQLTEAVRRRPYTVVLFDEIEKAHPDVFNMMLQILEDGRLTDSKGRTVDFKNTLLIMTSNVGSSVIEKGGRKIGFDLDYDEKDTSYNRIKSLVTEELKQYFRPEFLNRLDEMIVFRQLTKLEVKEIADIMLKEVFDRLKAKDINLQVTEKFRDRVVDEGYNPSYGARPLRRAIMRLLEDSLAEKMLAGEVKEGDSAIVDVDSDGKVIVLNGGSGVAEPLEPAL >Sspon.04G0007160-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:21190033:21194616:1 gene:Sspon.04G0007160-3D transcript:Sspon.04G0007160-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATSATAVSGVVLPHAFLSHRSPPPQVLSVASSFRRLSLCASPRRTTHIIARADASAEAGEPEPEEPVTASAESEEEVAEGAVAVAEAEEEEAEEPPPPSKPKVKFGEIIGILNKQFIEEAEKVKTVPDLRPGDIIELRMQRPNKRRLSLFKGIIIAKHKAGVHTTIRVRRIIAGVGVEITFPVYSPRIKEITVIRHRKVRRAKLYYLKDKLPRFSTFK >Sspon.03G0042270-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3C:57180895:57185845:1 gene:Sspon.03G0042270-1C transcript:Sspon.03G0042270-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LLSPRRRTRAPSCARAPRPPRPLLLRPWPPIPLPPVTPAPVSRPPPLRPSFVMKLPPPPSCWRPRPSPSAPPTPSAASSSNRRPTS >Sspon.04G0015720-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:67394823:67398749:-1 gene:Sspon.04G0015720-1P transcript:Sspon.04G0015720-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNPRLPSRSPPSTTRPQAQQASPSIVAPRPCRWSECRRSIRRWISPRKKKAVIVFGTLNPSVLFEGKEGLAPYKIVKIAEMGGVISGDSPRHSSPSSKLEKKMVEAMQQRALKGTSVKSFNSVIMKFPKIDESLRNCRTIFQQFDEDSNGEIDQLELKHCFQNLGIESTDEEIKDLFQACDIYEHMGMKFNEFIVFLCLVYLLNDPAVSEAVSLPQYKNIYCSKFTLSRKRMGLGSLEPTFETLVESFVFLDKNKDGYVSKSEMIQAINETIGGERSSGRIGMKRFGELSPLAPSSTLPNFYSISFSIKRSVEEMDWDKNGTVTFKEFLFAFTRWVGIDNEDDDEDGEEEE >Sspon.02G0057710-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2D:63781534:63781722:-1 gene:Sspon.02G0057710-1D transcript:Sspon.02G0057710-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASADENMVPFVGLPMYGGSAWLLGPSDGDDPCCISLVPERGPGELVWELPALGPEATSFPT >Sspon.02G0041890-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:82890064:82893450:-1 gene:Sspon.02G0041890-1P transcript:Sspon.02G0041890-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVPGAGGGGGHGERYVPRRPALPWSHQSAAQCLALIGNAFRGRVESRSRGRGVALRRPPGVQLRGSGSAGAEAAREGGPAGGRAVVLGDPGSGGVRRFGRGSVPPERAPKRGGVSAYEQSLKDYVDRMSAIVISPSVGMSFDSRAEAYEFYNLHSWELGFGIRCNMTVDKSVVSQDIVCSCEGKPELSNTASVQTDCKAMIQLHRSDGNCWYIQEFRGDHNHPLSGICSEHFCWPSHNHLKPYTKNLVRRLRDDNVDLSKKRHSIYEYFWGMENYKFVKGDLESLCKNISSDLSDIDADKTIELHKWANPYLKEKFCAKQTSTQRNESAENMFKGFVPLNRSIHMFVRHYNKLQSDLNSKESSEEDRSRKRPRFMSKGLPIVEHAAKVYTRAMFEKFEGIISQSGSYVVHEKEKGKAYLAWHIRSDRQESWSQVEFEVIIRAEDGAVVCECGFWEHMGMPCCHAVKVMIHLGMQEIPGGNIVKRWTMDARDTVPVHLIENDGAAENSKSYRTSELFIVEMKFAKSGSRSDQAFEVAMAHLDRLEQELLELGVDEDVSELSEQSSISAATTDDAASELSSSETD >Sspon.01G0006950-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:57730605:57731306:1 gene:Sspon.01G0006950-1P transcript:Sspon.01G0006950-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKNPGSNGVLTTTAFSDNKLARPESGVGGKAAAARPYKGVRMRSWGSWVSEIRAPNQKRRIWLGSYATPEAAARAYDAALLCLKGSDAVLNFPTSSPSSSASSSHRRRADRDDDPAAGGGMSPRSIQRAAAAAAAAIDAGISADDRCSSSACVTTPTSASLSSTQGSTDHVQQEQHATTTSSAAASTGSPPEGRSCGRTWRRSPRPSSWIWWTPAPPRRSRRRGRSPRTTAS >Sspon.01G0035780-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:14564201:14565580:-1 gene:Sspon.01G0035780-1B transcript:Sspon.01G0035780-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGLRGVPAAPPAASAPSKGRCSAAPPPPAMTGRVLLALPAAVSLSLVLWSSPVNAGILSGFSGLESTPGPDLPRLEFLEKWNAENQKKYAEFDNRFKKSKVLQELLEKSKKNKEKNERLIQDKYCLRGAEWGVGDCSTEGMTDQEREDFISELKKRTGAE >Sspon.05G0029940-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:85773376:85781730:-1 gene:Sspon.05G0029940-1B transcript:Sspon.05G0029940-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMHKRSCNNFKSDNPTTKKNRVANNMSRNTKMDGENGDGEILPINAKMTLDLPPLVERKEYLPLPKWLHNDYKSNVADLEGWKCVEIARADGSGRKDKVESSFSLFDAVDCGDVMIRWQFWAVFTREFVYYTHKDYAHTFRSKKEVQHFLDTGEVKGKGLLQKKLADDPRGQNSGNSETVVQGVQPKEPCYCLQTMILLKGQQEALTEITIPFRIVPEGFRMVEANHSHVNPSSMKIRQAEPTYQNVKVPIAY >Sspon.02G0040340-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2B:67574634:67575747:-1 gene:Sspon.02G0040340-1B transcript:Sspon.02G0040340-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MELVGPNAKRRRSKDLAAGEPSMRTTKTTEVANPKPTIHDKELPPSLPNAGDGSGGDDDIDRISGLPDAVLDEILLLLSTKEAEHTQILASRWCHIWVASPLVPDGTNLYNKPKALLGDSSDNTNSDNDKKEFTATYEALYYMLTHILSAHPSPICRFSIPSLYLHNLPPPWMPGSAPRRLTTSMSLSFAKDLPPPPSSLSEAASGGGGEDDVDRINGLPDAILDEIVSLLSTKEVAHTQIFTSWWRHVWIASPLILDGTNLSTEPKAYHHEDKKFNASNEALTSTVSRILSTHPGPGNCFYVPTHFSLGPSHHRGCLA >Sspon.04G0016000-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:58959171:58961433:-1 gene:Sspon.04G0016000-1A transcript:Sspon.04G0016000-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAITMAAMNTDMGGLGGRPTNPQANPFGTALHGAGPGLIRTGLEAYGGRFLDSSSEFMQSNDFSYCDLVKSHNICLTFVVVLKGHWTRITEPVGGRLSYKPPVQDINAPDLYIPLMAFGTYIVVAGYALGVLGRFTPEALTLQFSKGILGWFLQVILIKGLLYSLGNGEAPLLDIVAYAGYGFAGISLAMLARIFWNYLYYFIMPWFCICTGVFLVKTMKRVLLGGPRSYERHPSRNHYFLLFLAVVQFPMLFWLGNISG >Sspon.01G0009800-1P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6A:96856431:96856880:1 gene:Sspon.01G0009800-1P transcript:Sspon.01G0009800-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPQKLKGKFYRTAIRPAMLYGAECWPTKRRHVQQLSVAEMRILRWCCGHTRRDRVRNDDIRDMVGVAPIEEKLIQHRLRWFRHVQRRPPEAPVRSGVLKRADNVKSGRGRPKLTWDESVKRDLKEWNISKDLAMDRSAWRLAINVPEP >Sspon.02G0032900-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:5679763:5686934:1 gene:Sspon.02G0032900-2D transcript:Sspon.02G0032900-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNMQHLPISRPEVDQQQLPSTSSGDGLPFSSFFSLLGTLLKRANQIDQDEVSPAKESAVVSSVADDIMTVPASSPVPSSVNLPIEENTNSPTVPLCIETAEAKVSSAGGNSSIDIPESSEASHASTEPQGTQEHASTFVGSFPADNSSAGFSLAQSSETHSPSSSTVEANHSQLSSFSSQYVLPKLVVNNIDLSDEAKDLLQKEAFVRILESDKQEASGGSIARLPLLAHLGVEFPLELDPWEILQKHVLSDYANNEGHELTICILNRLYREAEQDQDFLSSRTATSVYESFLLNIAENLRDMFPASDKSLGKLLCEIPYLPEGVLKLLEGLCSPGSNEKQDKDIQSGDRVTQGLSAVWNLIMLRPSNRDRCLEIALQSSTHHLEEVRMKAIRLAVHRQVPILIRTIGSSSDLLGIISDPPADCRDLLMQVLPVFPSIVNLPIDKFQSAISRILQGSPRNGPLLDPSEILIAIHVIDPDKEGIPLKKLPAPQLENALSKNPTLKAPLAEHAEQPNIRSTLPRSTLVVLGLAEDQPQQPAATQAQSSQNQAAETSSSAADTATEVTQESSAAS >Sspon.05G0004500-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:13433612:13434802:-1 gene:Sspon.05G0004500-1A transcript:Sspon.05G0004500-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSSPSLQPPPAGAVGGTSAYSASTSFLPSFMIIAALLAFVFLASVSIHLLLRFLSDRSSSSSSSGPPLPRTHRDEAAGSAGSTADASVARPAATAAAPADAGKKAAAAGDDEKQRLIDSLPLFTMASALAALPKSSPDCAVCLSPFTPDAELRLLPACRHAFHAACVDAWLRTTPSCPLCRAAVVALPHPSLSAMLAAAQAQRPPSSPRTRDRSGSSFLVEMGTVSSSRGSPAAAGCGGHRNRNRNSRTYSLGSFDYQIDEEVEAVVSRVARITARESSAVKEEMEKPSAEEGSAPAPSPSPSPPPPGETVAEAAGSSRGWLRECVDRLASSAYTFSERWSSRWSQGQSQQQRQEEPWLWDPEAADMSAAPGSDEEEEETAFMVMYRWIAGV >Sspon.07G0033370-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7C:59682330:59682782:1 gene:Sspon.07G0033370-1C transcript:Sspon.07G0033370-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVGKSRAAGGHLNDERRLSHRGHRMRRRRRRRRLPRVVRRRIAADHGIPTPRRRVEDGLDLDNDAFTQPVRRRRCRGQHQRQQQECGDRRCRDPWRPFGRPLLALAEELRVWVVVWVTFSGSIGSWISVATLNAACTAGGFLYIACSQ >Sspon.02G0011320-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:34433775:34437549:1 gene:Sspon.02G0011320-3C transcript:Sspon.02G0011320-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSKSPQSSKNSQITVPSESNGPRFDNDGFASETASNQMVVFNTEAGDKEQDELGENHLQKSVITRGISPSIGAFTVQCAKCFKWRLIPTKEKYEEIRERIIQEPFVCKRAREWKPDVTCNDPEDISQDGSRLWAIDKPNIAQPPRGWERQIRIRGEGGTKFADVYYTSPTGRKLRSLVEIDRFLQENPEYVAQGVTLAQFSFQIPRPLRQDYVKKKPKLINPSDEASTITSKSFQPEEVNPIAWAVPTAHEGDASEEASLADETLASEVVLTRKRKVESFSSVEPNHLSDELEPKLADAQNGESN >Sspon.01G0033910-2D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1D:114964617:114965702:-1 gene:Sspon.01G0033910-2D transcript:Sspon.01G0033910-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMSRAAVDDMIRRLLLQDTRRSRPGNKTAQAQAQLAEGEIRSLCAAAKDVLMRQPNLVELDAPINVCGDVHGQYRDLLRIFDATGGFPPRSKYLFLGDYVDRGDQSLETICLLLAYKVRYPEKLFLLRGNHECASINRIYGFYDECKRRYSVRLWRLFSDCFNCLPVAALVDGRVLCVHGGLSPHLRSLDQIRRLPRPCDVPHEGLLCDLLWSDPSPQDSGWADNEDRGVSCTFGADVVADFLRSHDLDLLCRAHQVVEDGYQFFADRHLVTVFSAPNYCGEFDNDGAVMSIDADLVCSFTVIKPEATDSGRNSSRSMGISISSAGLRRTSSAPPPPAAAPAPPNNSNRSTRKGLLRYWQ >Sspon.04G0025700-1T-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5C:26187025:26189554:-1 gene:Sspon.04G0025700-1T transcript:Sspon.04G0025700-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRALVASFAWACLLLLQVAGASHVVYENLEAVAATAKVPPSIVNPQLRTGYHFHPPKNWINAPMYYKGWYHFFYQYNPKGAVWNNIVWAHSVSRDLINWVALETALRRSIPSDRYGCWSGSATVLPDGTPVIMYTGINHPNIDYQVQNVAYPKNKSDPLLREWVKPSHINPIIVPERDINVTQFRDPTTAWRAADGQWRLLIGSATGGGSRGVAYVYRSRDFRRWTRVRRPLHSAATGMWECPDFYPVSTDGRRVGLETSVSSGPRVKHVLKNSLDLRRYDYYTVGTYRQKVEQYVPDNPAGDEHRLRYDYGNFYASKTFYDLAKRRQILWGWANESDTAADDVAKGWAGIQAIPRTVWLDPSGKQLLQWPIEEVEALRGKAVTLGTAVIKPGHHVKVTGIQTAQADVEVSFEVSSSALAGAEPLDPALAYDAERLCGIKRADVKGGVGPFGLWVLASANLKERTAVFFRVFKAAGSNKPVVLMCTDPT >Sspon.03G0037450-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:93820508:93821840:1 gene:Sspon.03G0037450-2C transcript:Sspon.03G0037450-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFGRRKSFSFFEEDRKSSSRPGAHTPVHQYYARAGGGGRSPAREVAEPARLSMSSAVPGLGVEVPLPPMQQMQAAAGSCSPWGDVHALAVARGVLFTASDSGRVRAWAAPGCFNRGYLDVGRGRVPALAACGGTLVTSHARDHRVRVWTVCAAAVCDHVRAKKAATLPAKSSLLLHNPFGKRRQNQHRDTVSCLVLHAVAGLLYTGSHDHTVKAWRLSDGTCVDSFVAHDGPVNAMVVNEADGCVFTGSADGTVKMWRRVYGGTAHALIIVLRSELSPVNALALCHAQGGGGARRCFLYAGSSDGYVNVWEKEATVGRPAHAGYLKGHRLAVFCLASGCGGRVVVSGSEDATMRVWRREGISKGGGGGGAAHTCLAVIEGHRGPVRCLAVGGGEAGEVEGSMVVYSAWAGQERQ >Sspon.04G0029780-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:79824232:79827892:-1 gene:Sspon.04G0029780-3D transcript:Sspon.04G0029780-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKKGTKSKKKEVDDVPDQQENKLPDYLELQRTRVVCNDDAPIYTQGFQSSGSFAASGVDNSVSAEKFCKNFKIEINRLTEDDMEFDMIGIDASIANAFRRILIAEVPTMAIEKVFMVDNTSVIADEVLSHRLGLIPLDADPRLFDYISENDVPNERNAIVYKLHVSCPKGSQRITVKSSELEWLPEGSQLNMSAPAQSGDKQKTFTSFSQSQKEILEKPLGVKFKDITIARLGPGQAIELEAHAVKGVGKVHAKWSPVATAWYRMLPEVVILKEIEGAQAEKLVKKCPVNVFDIEDLGNGGKRAVVAKPRACTLCRECIRPEEPTEGMSEAQESSAYWNLVELRRVRDHFIFTIESTGSLPPEVLFTEAVKILEEKCERVISELS >Sspon.04G0007290-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:21473341:21476279:-1 gene:Sspon.04G0007290-4D transcript:Sspon.04G0007290-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVRLAFLVFFLLAAAEFAAATRSPSAFVQNAIYSNRITIFSKTYCPYSMRAKRIFRDLKEDPYVVELDTREDGRDIQSVLLDLVGRHTVPQVFVNGQHVGGADDTVNALSNGQLEKLLGKSQSQ >Sspon.06G0033870-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6D:26254015:26254480:-1 gene:Sspon.06G0033870-1D transcript:Sspon.06G0033870-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLRSSHLSSRSASRSSRRSRCSFCTSTPAQSTPPGPSTRCTPSTPASLSTSPPLTRSWRWWRWTRRYPHPPAMRCSRPTSRSSTPTRSFARPPA >Sspon.01G0019410-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:72653101:72671912:1 gene:Sspon.01G0019410-1A transcript:Sspon.01G0019410-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGFPGGAPDPQQLQATMLAIEQACSLIQLHMNPSEAEKVITSLHSSLMPYQACRFILETSQMPNARFQAAGAIGDAAVREWGILTDDNKRSLIIYCLNYVMEHASSPDGYVQSKVSAVAARLLKRGWVEFSDQEKAAIFFEVEQSIRGIHGPNRQFAAINFLETLDFYCWAQAAVFNTADKILNSTVTIPDERACSAALRLMFQILSWNFKHTVEHESSDAKINSGLRIDTINLKKFEHNGDAQIKHLMLILSAVVLWIEPPDVIAASIRNGGSERPYGTVNLLSALTSEAVKSVLNNQSEEETWGIDSLDILLETWNVILGDIDADKSPISVDGALAASSLFKIIVESHLKGTNLFIFSFKCNLRLEECYRCCKRFSILFTSCILITLIFFDIAAADSAFEDTDDTEYFHVSVSKRDEQLALYALIARAAADTTIPFLAQLFSERFARLNQRNGESDPTQTLEELYWLLLVTSHVLTDSGEGETLLIPEALQAGFPNVIEAAQHPVVTLSWSIINFSRQCLDPGIRAKYFSPRLMEAVIWFLARWVATYLVPLDVSRGKVSREIDSEGTNGSQHSRKLLNSFAWENNQGELVLDFVVLISMLALTTYQGETELQTLTCQKLLATVVRRKHTCTYLVQLDSWRDLTRAFASGRSLLSLSGRLQRSLAETLACAASCIKDPEASAHGGVPVENASRSDLKSVAQQADVVYMVCCLLERLRGAARATQPRTQKVLFEMGRTVMNPLLTLLEVYKNHVMLFFKHHTITPQSSVVYMILKFVVDFVDGQAVFLDAKETSALVNFCLRLLQIYSSHNIGKVMLSLSSSLRSESQAEKYKDLRALLRLLTNICSKDLVGFLSDCDGEGSPDIAEVIYVGLDIVTPLISLDLLKYPKLSRDYFVLMSHLLEVYPEKDSDVVERCLAAVNALASYHFKERLGGRGGLNSQLMESEGSNGKLQESISSHFLRLLLQILLFEDFRLELAGYAADALLPLLFCEQELYQRLVHELLEKQQNPTLKSRLATAFHNLTSSNNLSSSLDRPNRQRFRKNLLSFLVDVSGFMQIK >Sspon.01G0031730-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1A:108436122:108439002:-1 gene:Sspon.01G0031730-1A transcript:Sspon.01G0031730-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAPANGKRSPPPPPPPPPGRCHFWLPNKRRHCANSSLPSSHYCGNHLPESASGAGRRVPCPVDPSHTVLEENLEAHVGKCPLKKQVAALTAQPYYSKGINSGAGEAGYVVTSAEKRAAVYRLTEEEFRCLLGKIRSVHAAAAVAIRESYLITDACDKWMSGQVDRKVPYQEKHVAQQASIVGNMEAFGLLRKGSDEVVDGENATVSAQAVVEFGAGRGYLTQVLVDCYGITNVFLVERRSYKLKVDKSFLLGLGITEEEFHAMTWFSSWAVDGDHSYPDSPVDVEDTSSEVREPENPDQVIGIGIERIIRSIPAGERASLGSMCKDIIDTGRLLWLGQKGLIADLEFGL >Sspon.07G0013700-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:49407843:49410297:-1 gene:Sspon.07G0013700-1A transcript:Sspon.07G0013700-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVSISVCRTGPGDELAVLLWDHGPALRRAPAPPPFQPFTCSAAGSSRSQELKRHAAATKAAAFVTPIVPVPLGMHGLGGLPVQDDDDDDAVPWLHCPVVDDDDGDTAPLPPEYCASLLSEYSGAVAPAAASHAAAVVLAAPPPPEAGAANKLAPPSAAGPSSVVNFTFFSRPLQRPQAASAAASHPVESTVVQAATNRLRSTPLFSEQRMAWLQPPKAPRTTAAAATPPPPPPPLAPLLPDSRHGETVAQPRPQPETRPPDAAAVTTSSVCSGNGDRSQLKRSSHLPADCSVSPDEDLDDEPGATRRSAARSAKRSRPADVHNLSERVRAAPLDANACALACLAISLTDLAACVRALQRRRDRINEKMRALQELIPNCNKIDKASMLEEAIEYLKTLQLQVQMMSMGTGLCMPPAMLLPAMHQHMQALHHHPMAHAHFPHLGMGLGFGMGMGATAAPGFPCVAAGAHFPCTPMAMPPPGAMFGVPGQGMATLPAAFAHMAGTVPAEQMEAGAGAAAAAPARPGEAEHPPGHGVAQVQRCCSSDQNCLAAATRCASATDV >Sspon.01G0019110-4D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:69256719:69258232:-1 gene:Sspon.01G0019110-4D transcript:Sspon.01G0019110-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MELDQGKVFIGGISWETTEEKLSDHFSAYGEVTQAAVMRDKITGRPRGFGFVVFADPAVVDRALQDPHTLDGRTVDVKRALSREEQQASKAANPSGGRNTGGGGGGGGGGGDASGARTKKIFVGGLPSTLTEDGFRQYFQTFGSVTDVVVMYDQNTQRPRGFGFITFDSEDAVDRVLHKTFHDLGGKLVEVKRALPREANPGGSGSGRSGGSGGYQSNNGHNASSGGYDGRSDGGRYGQAQQGSGGYPGYGAGGYGAGAAGYGYGANPAVGYGNYGAGGYGGVPAAYGGHYGNPGAAGSGYQGGPPGSNRGPWGSQAPSAYGTGGYGGSAGYSAWNNSSGGGNAPSSQAPGGPAGYGSQGYGYGGYGGDPSYASHGGYGAYGARGDGAGNPATGGASGYSAGYGSGGANSGYSSAWSDPSQGGGFGGSVNGGAEGQSNYGTGYGSVQPRVAQ >Sspon.01G0003270-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:8420297:8423427:1 gene:Sspon.01G0003270-3C transcript:Sspon.01G0003270-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ca2+-permeable mechanosensitive channel, Regulation of plasma membrane Ca2+ influx, ROS generation induced by hypo-osmotic stres [Source: Projected from Oryza sativa (Os03g0157300)] MASWDNLGELSNIAQLTGLDAVKLISLIVRAASTARLHKRNCRRFAQHLKLIGGLLEQLRVSELRKYPETREPLEQLEDALRRGYLLVNSCQDRSYLYLLAMGWNIVYQFRKAQSEIDNYLRLVPLITLVDNARIRDRLEYIERDQCEYSFDEEDKKVQDALLNHDPCTNPTIVLKKTLSCSYPNLPFNEALRKESEKLQVELQRSQSNMDLGSCEVIQHLLGVTKTVESTIPEEETNAKASEKKGSNYSESKGIVQNRLTMMTTILRSKRIPAAHNDVLHKFHMAMILSQVEDRTVMSGMQIYLAAVQNRLCVCLKTLFFPCGTFSRISSVAKDRPMSSGEACNDIMAYSLILSCCCYTCCVRRKLRQKLDIAGGCCDDFLSHLLCCCCALVQEWREVEIRGAYSEKTKVTPPPCQYMEH >Sspon.02G0011850-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:31693347:31697978:-1 gene:Sspon.02G0011850-1A transcript:Sspon.02G0011850-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTAQFTVLPLSQSPSPQASFRLGLRPSPAARARSVAPVAAASATAVSAKPAAASSPLVADRTVVRIGLPSKGRMAEQTLSLLKSCQLSVRQLNPRQYTADIPLIPNLEVWFQRPKDIVRKLQSGDLDLGIGTEDLVVVHDALDFGHCRLSLAVPKEGIFENIITLEDLLKMPEWTEERPLRVVTGFGYLGDKFLREKGFKHVHFLSADGALESYPPMGMADAIVDLVSSGTTLRENNLKEIDGGVVLESQATLVASRKSLHKRKGVLEVTHELLERLEAHLRATAELMVTANMRGNSAEEVAERVLSQTSICGLQGPTISPVYCRRDGKVDVEYYAINVVVPQKLLYKSIQQLRSVGGSGVLVTKLTYIFDEETPRWRNLLSELGL >Sspon.08G0002020-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:4525921:4526640:1 gene:Sspon.08G0002020-2C transcript:Sspon.08G0002020-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQQPEPEPVKLITAFGSPFAHRVEVALALKGVPYELLLEDLSAKSDLLLTHNPIHRSVPVLLHGGRAVCESLLIVEYVDEAFSSHGDDATPRILPADPYARATARFWADFIATKCLKPLWLSMWTDGEAQAGFAAETKASLAVLDAELLGHGKRFFGGDDLGFVDLAACTLAHWLGVLEEVAGVRLVADGEYPALRRWAKEYTSHEVVRRFLPDRDQLVAFFAANQERYRSMVKAAAQ >Sspon.02G0059530-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2D:94957537:94959406:-1 gene:Sspon.02G0059530-1D transcript:Sspon.02G0059530-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAHESGASNAGGSASSATALRDEALAAAKALEDEAASLRASNSERSQQLQEEADLLKSAAAAQERVRVAAAALDAERAAADALERQAATLRERLRGRDEDVHDDNDASTEAAAVAHLHSQAAAVQNIKNLIPIVLDLQSSNYSKWRGHVLLVLGRFALKDHVLSDTSHPADTAWSRMDCVVVSWLYNTVSADLLDVIHERDGVTARYCRKMKTMADALADLGEPITDRAMVMNVPRGLNERFQFVAQLISRQRPFPSFVDVRADLRLAELTMGTTSAPSMALVASSSSRPPAPPPPSGAASSRPPQPAGGAAGGASSGGHRNRRRRGGRGQGGHNSSPGTAQWPSLLNPWIGSIHMWPGSTTGSPRGPPPRTGAALSPQQHALLTGGSGHYYSTPQAPPPAWSPAPPPAWAPPPPQAPSSAWAPWDAQSLASAFNTVSLTPPLSSSDWVLDSGATSHIASNPGMVTMSSTSSFPSSIVVGNGATLPVIGTGYSLIPGPFHLNNVLVAPDIIQNLLSVRQFTSDNLVSVEFDPLGVSVKDLHTRATLLRCDSSGPLYTLQLPSMENNVHMHSNSSTFLDIHHTGHF >Sspon.04G0014360-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:56154061:56158878:1 gene:Sspon.04G0014360-2B transcript:Sspon.04G0014360-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAVQAAATAEAPIRVEAKVAVAPEAEAEVEVEEVKGDAAAVAGETEDYKSDLRKLEELMSKLNPRAQEFVPSSRRTAPVAVPAAAAKPAAGGGGVLSADAPVFVSAAEYYGAAGGRLEIGGGGGRDSSSDGSSNGGGGGGHPLNRRRRNSFNQGRRRVGGRPRRADREDSVRRTVYVSDIDQHVTEQKLAEVFSNCGQVVDCRICGDPHSVLRFAFIEFADDAGARAALTLGGTMLGYYPVRVLPSKTAILPVNPKFLPRTEDEKEMVSRTVYCTNIDKKVTEDDVKVFFQQACGKVSRLRLLGDYVHSTCIAFVEFAEAESAIMALNFSGMGEPFQDTSPSAVSSRDVQLSPFQATVTLEAATNGKSSQAELLLAMTALEPLLRGSVMPSLS >Sspon.07G0007080-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:18602197:18603524:-1 gene:Sspon.07G0007080-1A transcript:Sspon.07G0007080-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQENGATNGHAAEEHQDVMEVEPKQRRAPRLNERILSSLSRRSVAAHPWHDLEIGPEAPAVFNVVVEITKGSKVKYELDKKTGLIKVDRVLYSSVVYPHNYGFIPRTLCEDNDPMDVLVLMQEPVLPGAFLRARAIGLMPMIDQGEKDDKIIAVCADDPEYRHYNDISELSPHRLQEIRRFFEDYKKNENKEVAVNDFLPAAAAREAIQYSMDLYGQYIMQTLR >Sspon.08G0015800-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:57672778:57679286:1 gene:Sspon.08G0015800-2B transcript:Sspon.08G0015800-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRGERMEIEEEAGGGEMGEMEAPTVSTVAVAVSGGRSSRHALKWALDKFVPEGRVLFRILHVRPAITMVPTPMGNFIPISQVREDVASAYRKEAEWRASNMLVPFQKMCAQRKVEAEAVLLESDDVASAISEEIGKFNICKLVLGSSSKNIFRRKLKGSKTATKISECIPSFCTAYVISKGKLSFVRSATSDIAETPRSISSSTVSSPSTRSLSSCAPSEWGDTYGTSNVPLHQPSLPLQRDQALAIINKLSNRRASYSSSVVSEISYNDEPALSRSHSIISEMQFSSGSSGNSVYKSFHRDNLPDNSDQASVSEISENVNHLSDQDDLRLQIERLRVKLQHLHKLRERAQHESLDTTQKLHKLGTRQIEEEIKLKEIQLTEDTIRRLVRSQEMEEREAAREAEFNRRSDEMEAKHSYDIEEANENEMGKKIAGRSFDDYNRYTWEEIRASTSSFSSALMIGKGSYGTVYKAKFHHTVAAVKVLNSPEGCGTQQLQQELEVLGKIRHPHLLMMLGACPEHGCLVYEYMENGSLDDMLQRRKNTSPLAWFDRFRIAWEVAAALMFLHSSKPEPIIHRDLKPANILLDSNLVSKIGDVGLSTLLPSMGQYLSTMIKNTAPVGTFCYIDPEYQRTGVLSMKSDVYALGIVLLQLLTARSPMGLAHLVETALEDGCFVDILDATAGQWPLSETQELAVLALRCSEMRRKDRPDLNDHVLPTLERLKDVAAKAREDAFQGQTAPPSHFICPILQEVMVDPYVASDGYTYDRRRLSCG >Sspon.01G0046270-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:87297136:87301821:1 gene:Sspon.01G0046270-2D transcript:Sspon.01G0046270-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMPEAGQAAERDEQQQAQVIKALMQGICAVRYRKADNTPCPIAQGLYLGSIGAAFNKEALKSLNITHILIVARSLDPVFPAEFNYKKIEVLDSPDTDLLKHSDECFSFIDEAVSSGGNVLVHCFAGRSRSVTIVVAYLMKKYQMSLESALSLVRSKRPQAAPNEGFISQLENFQKSLQVEKQERRMQSVQN >Sspon.08G0007270-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8C:23941148:23946711:1 gene:Sspon.08G0007270-2C transcript:Sspon.08G0007270-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHQYHLGDKEEEREGELVVSKIFYQTQPRQCGGAAEPATAAASSDTVEEAAADPPVPEGMVAPPPDVVAGAFHGAAGIDEFNFAQFRSSFEEVDVGASVQVSMRDDEEVHAGHPNLHQEHNLHQHQFGNQEQRQRMAAAAAAFHINKPAEPITTMITSSPVVHHGSAVLQHPDAYGHGTTYRHHQQVEDELLHQQPQNFDGRSTAGLEAVIMGCTSRRSKRGSGSGGNKESTGWPYPSFWPSDSQDHHG >Sspon.06G0001020-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:1552424:1555711:1 gene:Sspon.06G0001020-2C transcript:Sspon.06G0001020-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLGALRKLCAAFDAVALTVIAAGLSRPPSGRHPFSSAHAHSPHPVDFPTISACRAAVSASKGDRRRRPGGGQPSPYPSPAAAPAEEKAEEEEERPVLVRIKHERDPERLYQLFRANAHNRLLVENRFAFEDAVARLAGARRNDLVEEILEQHKALPQGRREGFVVRIIGLYGKAGMPGHALRTFQEMGMYGCPCTAKSLNATMKVLLRARMFDEALWLFEEGPEKYGVELDDISCNTVVKMYCDMGDLLAAYRVMQGMEGAGVLPDVVMYTTLMAAFYKCGRREVGDGLWNLMRLRGCEPTLATYNVRIQFLINRGRGWQANELVRKMYAAGIKPDEITYNLIIKGFFMMGEHEMAKTVFGAMHGRGCKPNSKVYQTMVHYLCERRDFDLAFRFCKDSMEKNWFPSVDTINQLLKGLMAISKDRNAREIMKLVTGRKPSYSDGEMKMFFTVLETNYERHGSWKQWELGRDFDLALRLCKDSTEKNWLPSVYTINWHLNGLMVISRNAREITNLVTGRKPSCSDDEN >Sspon.02G0034030-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:11976284:11984359:1 gene:Sspon.02G0034030-2C transcript:Sspon.02G0034030-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAMLRSAARSLRLRQPLEPERCLLARRFLSSSFVPTEAFLPRRIREARRLIQSIVDYCGNVGSELFELAPMLASNLGQSMTPLQVYATVIRIFVESSMFEDALLTYVEAKNVGVDRRLCNFLLKCLVEGNQIMYARSLFDDMKSCGPSPNVCSYSILMSMYTHGERLCLNEAFELLCEMESNGVRPNATTYGTYLYGLCRSRQVTSAWDFLQTLSQSGGPCSNYCFNAVIHGFCSEGQVDKAIEVFHGMKKCGFVPDVHSYSILVDGLCKHGDLLKGYDMLDEMARNGICPNQVSYSSLLHGLCKTGQVALALKIFKNLQDHGFEHDQINYSIILHGCCQHLDLKAISDLWFDMIHHDIAPDVYNYTSLIYALCRHRNLQDALGVFELMLENGLSPNIVTCTILIDNFSKEGLVGEAFLFLDRIHQSLGIVPNLCMYRVIINGLCKTNKYSDVWKFLADMIKRGYVPDVVLYSIIIDGFVKALKLQEALRLYHNMLDEGVKPNTFTYSSLINGLCNDDRLPEAMGLIRDMIGEDLLLDNVLYTSIIACYCRRLNMKAANEWLREMERSGVFPDAFVYTCMIDGYSKVLAMDGACLMMEEMEKRKLKPTVVTYTALIIGYLKTGDEKEACMMYDSMRHAGIAPDVKLRCILGIGNDRGDCDDSQKANGVT >Sspon.06G0002050-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6B:5198469:5198999:-1 gene:Sspon.06G0002050-2B transcript:Sspon.06G0002050-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARVPRGSWVARRRALDRLCCGSAHSAASRSHPQGCTWMGVRERVRGRWAAQIRVPGTRTRLWIGRFEQALQAALTYDTALFCFYGPHRLPSPRRFNFPAAPRPKITEDVRATLTRADIKRIAESHARFLANFYVGPLPAVSPAAASPPPPPAAADGAAAVADEATATTDGSNGNN >Sspon.01G0006120-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:15962619:15965030:-1 gene:Sspon.01G0006120-1A transcript:Sspon.01G0006120-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTAAAAKTPTKPLRPAAAAARTPTKPQAPAAAKTLCPSRARSSHTSENSNPNIPGTPPAPQPTPSKPVLKSPAAAGARSATAKKKPSTPAPAAPPPERERRFLVAKKGARRRRNVGTLGGGGGGGEIDFDKVREAAREALRASQGEFFRKEVAASTATVEEQLGQEEEEGKPVAKEDVKGGAFEERQEEETDAELEGSSKVRAMRTKAMAKAMTSVPDPGSGRVKHMVQAFESLLNISGATSDAERAGEGSWALPGLQLWKEKAEEGDLGFPPVSVFSSADFQNAGSNRLCSSLDGNTDRLFVTHFRLSWDSRTSAGERRDRRSSSESLRSSWNKKLKVTSQHPFKLRTEQRGKFKEQQFVQKVQEMLVEEEKKRIQIAQGLPWTTDEPECLIKPAVKERTEPIDLILHSDVRALERAEFDHHVLERNKFAEQQRLEWERQQELEEEERIRQLRRTELIPKAQPMPYFDRPFIPKRSTKPTTVPMEPKFHLRPEKLS >Sspon.03G0022180-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:86490981:86496433:-1 gene:Sspon.03G0022180-2C transcript:Sspon.03G0022180-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATIVNTTEEESMLAVVRFTAELAWADAGPEVADPEVTRLCLEAQEHILAGRWLDMANLMLASADLLLTSPSRVADKDLECVLSVICSLVTKAGSEDQALQITDLICTKLTQQPGDKPALRLKVLFSLYNLLPSPYGKAFVYKKALELATAGKAAEYIIPSFKNIDSFVSEWGIGNLEQRELYLAVTSILKNHKGMAKEYFNFLNKYLATFKGSDDESATIGDAKEEAVAAIIEFVKSSNLFQCDLLNMPAVSQLEKDEKYQLVYELLKILLTRRFDSYLEFQSANSALLKDYGLVHEECVTKMRLMSLLDLSSRCSGEIPYSAITVELRISDDEVEQWIVKAIASKILDCKVDQLNQTVIVSRHTERIFGMAQWHGLRTKLGVWRGNIASAVNTIQANKVTEEGTQGMQGLMIR >Sspon.06G0018950-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:88711541:88712402:-1 gene:Sspon.06G0018950-4D transcript:Sspon.06G0018950-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVLDALAPYVKRLITDMAQDEVSMLLGVSSGITKLEDNMEGLKAFVADAERRRITDKSVQRWVTKLKNAMYDATNILEHCQLESNKWRESIGGSMEEKAPSCFQSLLFYLGILRIKELNQRLEGIHKEAEKYRFNIGLGSNPDARMQTATERYNGAEFHESSIVGNKIEMDTKELGQLFITNDNHDNVKVLSIIGTGGMGETTLAQKIFNETRIQQHFRLKIWLSITQIFNKVELLRTTIEHAGGVHDGVQDKTLLVRTLTNTLSPGKFLLVMDD >Sspon.04G0018840-1P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3B:24886593:24890355:1 gene:Sspon.04G0018840-1P transcript:Sspon.04G0018840-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVREAASQHDINTSSGKKHKKNKKASSCEDNKRNLRNFCEVVIEGSLLLSSHDRKHLAFSILLSLLPKLSPSAIEVVLSSKVVHGLMDILSNESSWLYNAGKHFLKELVSVASHDNDRCAAVIINLQKYSGGRFDSMTKTKIVKELAGKFHSVEDCLYLVQNLMALFVDEESVTDEPSDQSQTTDENSEIGSTEEQELLGQGNTDLLKSWVVNTISCVLKNLKLTSKGNSDSEMAKCIEEKFQVQTEILKFLAVQGLFSASLGTEVTSFELQEKFKWPKNPISTSLRKECIEQLQFLLEDAQKDEALHVPSEVKSNDLGYYFMRFINTVCNIPSVSLFRILSGNDDNAFKKLMAVESMLFHEERKTGPGLESAKMHAMRYLLIQLLLQVLLHPDEYWEAAVDVTICCKKSFPVIAQGDNSSAQESAEHGSQESDEDGSEESDEDGSEDPNEEVSLEFMDVLVQTFLSVLPHASGPVFRVFCDDITETGLLDMLRVVKIDLKGHRQTDSDDEDDGRVDIEDDDETVMEDEEVGEIDDVTDGEDNSSDEGDMDQDDFNKAVPNETKGGDKAEATKDGDDSDDSDGMDDDAMFRIDPYIARIFKERNLPGSETKQSQLMRFKLRVLTLLDIYLQRNPGKVLVLEVYSFLMQAFVKSHGADGTEQFRQRIAGILQRRVFKGNEYPEGDVVEFGKLESLLEKALRLASRSRYNTVASVAQNATFWILKIINSMNCSDQELASVVDKFRSILNDYDRKKSRLKLGFVKEVAKRNPWIGQELFGFVLQRTENTKAQYRRNQMLELVDYILKSWAGDASEVFLNHLAQLCGLIQEALSAVPENKSRRKE >Sspon.03G0023960-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:58094990:58095385:1 gene:Sspon.03G0023960-4D transcript:Sspon.03G0023960-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Secreted protein with a CLE domain, Maintenance of the floral meristem (FM) and the vegetative shoot apical meristem (SAM [Source: Projected from Oryza sativa (Os02g0324400)] MRRPHVAATALAVLLLLLCLAVALIHGNGGLARRRPTRWPAAVPRKMLLAMTSFDAAASSSSTAGHHHHHLHHQHHHHLHHHHHHADRRWNRHRVIPSLPPSAAGEGDEAIDPRYGVQKRLVPSGPNPLHH >Sspon.04G0012540-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:41870406:41870747:1 gene:Sspon.04G0012540-1A transcript:Sspon.04G0012540-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHQRSNSMEIEPTIWQSARLQATGSDELGQSCRVYCPPATGRVPFEWEDEPGKPKGSARLDVVPPLCPSPAMQSARLTDRHSPRRKSKQSAGTVAGGFEGCIPLRFHLGRAMK >Sspon.01G0049180-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:111813826:111814722:-1 gene:Sspon.01G0049180-1B transcript:Sspon.01G0049180-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding CRTCCSRHHQQGSPSAATLPTTKPTTCRPRGYPTDPAQLLGSSAGHLATGTAGECPGNATTIIAAGHLATGARHPQLHHHTSRSPRQRRRHLNSSNLSRPDSQHPHTMAQRIHGSFHLTGATHRWFMHTTKDACMTKWALFSQSLLHDFGPPICHDTIGDLAPPRHTGTMNDYIDNFIAYMLHVGITSELHQVNLFVTGLQDALQAAVVQHHPREMEVAILLARAQENLVPTTIDPTRSTPDTDTDTNSDHPAEEGGATEDDSAHLPTAAAPTP >Sspon.02G0009900-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:22018116:22021495:-1 gene:Sspon.02G0009900-4D transcript:Sspon.02G0009900-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAAAVLKRAHLAGVHSLARRRRQPGADRVSVLLSPAIPPPRVSWQQGSGVVAKRRLWATASGSFEKDNLGEDEVLPSQVVEESKVDLFKILKSANSIIPHIVLGSTILALVYPPSFTWFTTRYYAPALGFLMFAVGVNSTVKDFVEAIKRPDAIAAGYIGQFVIKPLLGFLFGTLAVAVLNLPTALGAGIMLVSCVSGAQLSNYATFLTDPHMAPLSIVMTSLSTATAVFVTPTLSYFLIGQKLPVDVKGMMSSIVQIVVAPIAAGLLLNRFLPRLCAAIQPFLPPLSVFVTALCVGSPLAINIKAVLSPFGLAIVLLLFAFHTSSFVAGYHLAGTWFHKSDDVKALQRTISFETGMQSSLLALALANKFFPDPLVGVPPAVSVVLMSLMGFALVMVWSTKTEA >Sspon.05G0012890-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:34723150:34723362:-1 gene:Sspon.05G0012890-2B transcript:Sspon.05G0012890-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AGLGAGGCGRRALRAALAGPAHRAPRPAPLGRLRQPPRHRQGRHRPHHPFLRHLPRRHHGVQPPHLHRRVA >Sspon.01G0008390-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:62030690:62032389:-1 gene:Sspon.01G0008390-2B transcript:Sspon.01G0008390-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRPHEQRRPRLASRLALLLACLAVLGTIRVAGGAGMPPNFIFGDSLVDAGNNNYIVSLSKANYPPNGIDFFGHQPTGRYTNGRTIIDILGQEMGLGGFVPPYMAPETTGDAVTRGVNYASGGGGILNQTGSIFGGRLNLDAQIDNYANSRHDLIARHGEVQAVSLLRGALFSVTMGSNDFINNYLTPIFSVPQRVTTPPVAFISAMIAKYRQQLTRLYLLDARKIVVVNVGPIGCIPYQRETNPSSGTGCAEFPNQLAQAFNRRLRALVDELGAALPGSRFVYADVYRIFSDIIANYRSHGFEVADSACCYVGGRFGGLVPCGPTSQYCADRSKYVFWDPYHPSEAANALIARRILDGGPEDISPVNVRQLI >Sspon.08G0015770-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:60109926:60111578:-1 gene:Sspon.08G0015770-3D transcript:Sspon.08G0015770-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGVVAVEGGQDRRYGGRITAFVVLSCMTAGMGGVIFGYDIGIAGGVSSMEPFLRKFFPDVYRRMRGDTRVSNYCKFDSQLLTAFTSSLYVAGLLTTFLASRVTAGRGRRASMVLGGAAFLAGAAVGGASVNIYMVILGRMLLGVGLGFANQAVPLYLSEMAPARLRGAFSNGFQLSVGVGALAANVINFGTEKISGGWGWRVSLALAAVPAGLLTLGALFLPETPNSLVQQGRDRRDVARLLQKVRGAGVDVDDELDDIVAAAGEAGAGGDGLRRLLVERRYRPQLVMAVAIPFFQQVTGINAIAFYAPVLLRTIGMGESASLLSAVVTGVVGVASTFASMLAVDRFGRRTLFLAGGAQMLASQVLIGAILAAELRDSGGVGKGWAGVLILLIAVYVAGFGWSWGPLGWLVPSEIFPLEVRAAGQSVTVAVSFAFTVFVAQAFLSMLCHMKAGIFFFFAAWLAVMTAFVYLLLPETKGVPIEQVARVWRAHWFWSRVVGPDPDADE >Sspon.08G0018310-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:6960727:6966109:-1 gene:Sspon.08G0018310-2D transcript:Sspon.08G0018310-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYQLHGGGGVAPDLNPLPTIGGEQAPPAAAEVDRISSLPEKARLRILSFLPLKTAVKLGMASKRWRRLVNNPQWPCDSILAIHIRPATQGPCPCLVPTHIRSDQIVPLLDNELDRRGRGPGHRLLRFYLKVDDAQTRPADFYSLLDYAADCDVEDQQFFVNVELGPPEASFNFQRASHRLLRLVLFGVSVGVNEAHRRMSQVRSINTLEVICIRSTSLGDLDLKRVLLLCPRLCTLILRNCRILTCVDVTAASKRLVRLTVAECPQVDKISVSTALGLHSFSYSGGFLRSVSLPPNCLGDLYICFTKTTFVDPMQYHNWLDALPNLSYLFNLTICSNALRLMSGLRSRGNFQPQVAKLSNLQNLRELQLVMYGMKTAMLSYMYEFLGMCRCSRLRNLFVELPKARTDSFVDAVSGLAEEAPMDGFENLVKVKITNFKWQCNEIELVHFLFRKARSLQKLILVVPKGTNPERDQSGNAIFPDLSLLCLEKAPENVEWGTERAATANGYRIGRRWVDEQGGQRKEVAAGPEAAPCFRISALPDDLRRRILTRLPLKDAIRTGALAQGWRDLWKSRWAEPTSCLDIHLLPGDKPTEVLRLLESGPPRRLDRFSLFVDNQKLRNHHLRRLLAYAAECRVEDLQIDLRCCKPSEKFNYHLPLSSPLLAHLSLRNINISDLYYKDAQPFCALEVIRLHSVTSSVSFTRVLALCPRLRILDLRRCYCGHVLLVNRHIPSPNSLSRINIAECYGETRLHTVGVPRLRSLRYSGDFVLYPYRLPKGAAPTDLYICLGEPIPPYRWNRMLPDDLSGLTVLTVCSNALKVASCLLNDGPNCRWDKMSKLPNLKELQLLMLEMEMHNLADIYLLLKSCHFSNLEKLFVQLPATSDVPLRDLVEEVSVELPGDNLVNLGMVKVMNFNWRRFEVQLVSLLLRKATSLNKLLLVSPNVAPLQVPGVQEDNLLLLKETLASGRIIVSEFDDPAIQPFHPEVFIEE >Sspon.07G0007840-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:21128339:21130381:1 gene:Sspon.07G0007840-1A transcript:Sspon.07G0007840-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin-like fold domain-containing protein MRL7L, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G31840) UniProtKB/Swiss-Prot;Acc:Q9SKB6] MPVHCSLPATCSTLCLRAAARPDPRCPSSHARLVGLALSRPRHRRLEHTGCSRAGTSDSKAVQLVLGGRARGDDGDTDSESSDGEDSQDRMTDEERKTLRRKIREMMDRVPETAELTDPEERRAKMRELLTEYELVVEEEDPEWPEDAEDGMGFNLGQFFDRITIKAEKKDDAEEEDDSGYQSDKEIVWEDDNYIKPVRDVRTQDWDASVFTDFGPMIVLVHNRYKRPQENEMARAELAKAIEMFWEHNLPSPRCVAVDACAEPDLVDALKVSGFPEILFTNAGRIIHREKVVRSAEAWSRMMTFFYYKAARPPFLCEADGKGQEKVPLMS >Sspon.02G0031300-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:114510077:114512389:-1 gene:Sspon.02G0031300-1A transcript:Sspon.02G0031300-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPTDDPTMETCDVVSDVPMVSRSFPIGVVSANFKVTRGFAGTVENTKVNVGKLYLRQIFGGPGANQSDVIRREGLGKTVVSNWGIYDGAGPKAKLVANTHGMQTYAGNWYQWFTIVFKVERFKGSTLQVMGANDEDEENEWAIVGGTGEFAIARGIINRRVYSFAVPHLTQELTMEFFCRMKVPAPTKRGTVGESRGSLWEMEGKSQRLENLTIYHHGAVEGFQFSYVDEHGQIHTTGTWGQISPVPQNKMEIKFGPSEFVKQIKGAKRAGVGWLSKFEIVTTHKTYGPFGFSDGDPYFSYTVPEGETVVGFFAKTDYSPNYVTTIGVYTI >Sspon.07G0010040-3C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7C:26271411:26271626:-1 gene:Sspon.07G0010040-3C transcript:Sspon.07G0010040-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding QNSHRANEMLLRRKLEEQQQQAAELQQAMELQSRRLMGLQLLDLKTRSSPSPMCLRTLIGRNRMNVNSTDDA >Sspon.02G0035840-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:16338356:16341011:1 gene:Sspon.02G0035840-3D transcript:Sspon.02G0035840-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFALSQIEHDLPMPPHLLSRPLPDAIKAELERLFLDKVIANLGLCVSVYDIRSIEGGSIHPGEGCSTYKVSFRLLMFKPFNGEVLVGRISGYDDKGLQVSLDFFSDICIPGHLMQYGTVRGPDGRWMLNTEDGDELYLDLDDEIRFLVSSTKYPPIPIDQKEDDPPFAPMQIVVSIYIYPHSVW >Sspon.05G0028650-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:65656072:65667450:-1 gene:Sspon.05G0028650-2C transcript:Sspon.05G0028650-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARVAAIVVLVLLLQLASLYPVTAHADAAGVLGRKAGVINDEPPMENAPVGPGRYAVIFDAGSTGSRVYVFRFDRQMDLLSIGDEIEFFAQAAKSILPLLDKAKSIVPSWLTKRTPLKLGATAGLRLIGNQQADQILDAVRYVVHKKSKFQYKPNWINVLEGSQEGSYLWVALNYLLDKLGGDYSQTVGVIDMGGGSVQMAYAVSPYAAARAPTVPDGQDPYIKKKYFKGKEYNVYVHSYLRYGSFASRAEILKSKNGPFSFCMLRGFNGKYTYNGQQYDATARPEGALYEKCREEIAKAMKLKAPCKTKNCTFGGVWNGGGGAGLNNLYIASGFYYLASHVGFIDSKAPSAKAAPAAFRAAAKKACRLDVKKAKVSYPNISDSDVPYLCMDLTYTYTLLVDGFGLQPTKKITFVSK >Sspon.01G0030750-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1A:106157215:106157746:-1 gene:Sspon.01G0030750-1A transcript:Sspon.01G0030750-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAVGPPASFACPPIRQHHHHHLGPGGRQRPSASASSLAHPTRHPARHVRPRPNSKESHEPRLAAAHQRVPAGV >Sspon.05G0030420-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:85861954:85862751:1 gene:Sspon.05G0030420-2C transcript:Sspon.05G0030420-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARARPNAIAPEPPAAAAAAATITTTSTSRGADAGGHQQQDQERPAAVLTVWRKSLLLSCSGFTVYDADGALAFRVDCYDSARRGLRRRAGDVVLMDVAGTPLLTVRRRSRLTSLGLAPDRWLIFDGDAAAAGAGCRGPNKAKPFLAVRRARLGLGLLGASSSGKAPLAYVTPLQAQAGEAYVVEGSYGARACAVRDARGDAVAEVRRKERVGDDVFRLVAGPRLGAPLAMALVIALDEMFAAADAGSGSGSARRPSLLRRTWSA >Sspon.02G0057450-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2D:58279778:58282960:-1 gene:Sspon.02G0057450-1D transcript:Sspon.02G0057450-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPTKLKRLRPSIDSPSKGLNLSWVPEGMDSSSALRIAVRVPGYYEACDDGRNVAHNAADFQLIVDRDTLNLIDLSKDVAAKVSIGKNQGFNLTYFDKNTQRYTNLTSDAALMDCINNYWDLRRLPLFVNFFNMIPIAYSQSVSLDNEANVESLSGGESDDDSVHSSNSDDSAEKSTPAQGRSKKKHADDDVSWEEDVEYVGVNDEGHYMPEQQDQQASEGDTDSDSLIHDDLYVDDDAGCEINEHVTNLENPTIECGVTFEDGDTFKRAIRQYDVLKEFEIAAPYSESKRYRGHFKGFKSKKKRCKWRIHASQLQDGKTWQIKKLGKHTCASTSQLEKNCMANNGWVRDRAITILKDEPTIQAGKLRKDLQNKYNIQLSYYVVWDGLQMALEQIQGKWDDSFEDVFRFKAEVDRTNPGSIVDIEWILAGNKRRFTRMFVAFKSCVQGFLNGCRPFLGVDSTVLTGRWRGQLASASAVDGHNWLFPVAYGVFESETAENWKWFFEKLKVAIGTPPGLVICTDAGKGIDKGVTYVFPNGVEHRECMRHLVKNFNKRYRGVVFKKHLWPASRAYNQRHFDHHYNIMKQASPRAMNWIEDNHKHIWSRWKFSHASKCDYVTNNIAETFNSWIRNEKSLALIPLLDRIRQMLMEKQDLRRSLSLKLRDKILPHVTKELHAMSRNLQYAIHRGPNNTAEIEGTTKELKTWRHTVNLDNRSCSCQRWQITGLPCTHALCFIHSMHNRSVEDYIDDYYSVEKFKKAYEHVISPMTDRNQWPDVDLGFKLWPPRLKRAVGRPRSRRIKGSEEGGKQKSQRQCKRCGGFGHMMKTCNETIYDSDAPPPAPPKPKRAKKKKSTATTTVSTQQSQIEGAQETTVCPALTNSPPNIAPPAVTNSPAANTRSRKRALELGGETSSPIVEVQHQKKKKKKVVTTKATGEEMQAGEKEASKAGKRISKKKLILDL >Sspon.02G0027220-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:98751433:98755472:1 gene:Sspon.02G0027220-1A transcript:Sspon.02G0027220-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEVPGNQDNQPFLGNITGAMFADHGEQSAGCSSLEAGWVPMSERSPARNGLGTIANANLFDDQSLASAFGNMSLSFTGSAADSSANSGTVGSRDALRFADYLFTSADNPTNLPLQPAFRQDEFVPSYLMVNNAGHMKPKFGAQNPPIHSPKGLLEDCISNKVKSSPSSLDSEVAMKSAQLNCNSVDEVVGELYHLAKDQNGCRFLQRIFTEGSQEDAQKVFDGVIEHIDELMVDPFGNYLIQKLLEQCNDNQKMHILYEITKIPGQLVKVACNMHGTRVVQKVIETVSTSDEVSMVVSALSHGAITLMMDGNGSHVAHRCLQKLSPECKAFLLNAATKYCVELAKDRQGCCIIQKCIIHANKEQKNKLLYSITTRALDLAEHQYGNYVIQFILDLKVTWATNEILDKLEGSYGYLSMQKCSSNVVEKCLKEAQEPKRAKIILELISDPKLKNILLDQYGNYVIQTAFRECEDAEVEAALVRAIKPHVGALRNNMFGKRILSKTCLKSRKL >Sspon.06G0011800-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:51377137:51378570:1 gene:Sspon.06G0011800-3C transcript:Sspon.06G0011800-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTYDKAIESYKKAVTMAASLAAWAMLVRGVANELVPNEVRDFLCSGLGYLRSRMSSQHTVVIEETEGWASNQLYDAARTYLATRINTDMQRLRVSRVDEGKSLMFSMEEGVEMTDIHDGAEFRWRLVFGNVAGAGNANANGHAAAAATTASRKHKEKAITSYLPHILAEAKKIKDQDRTLKIYMNEGESWFAINLHHPSTFTTLAMEHKMKQSVMDDLERFVRRKEYYKRIGKAWKRGYLLYGPPGTGKSSLIAAMANYLKFDVYDLELTEVNWNSTLRRLLIGMTNRSILVIEDIDCSVDLQQRAEEGQSPSASEDKVTLSGLLNFVDGLGSASGEERIIIFTTNYKERLDPALLRPGRMDMHIHMGYCCPESFRILASNYHSITDHDTYPEIEALINEEEVMVTPAEVAEVLMRNDDTDIALEGLIQFLKGKKGDACQG >Sspon.07G0000680-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:1536708:1537181:-1 gene:Sspon.07G0000680-1A transcript:Sspon.07G0000680-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRRLQTLAPSLRRAAASSSRASASARAAPLSTSPASAFRRTSALLSSPGDNRAPTKVEDVMPIATGLEREELEAELQVRARSGFGISP >Sspon.02G0037890-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:36977589:36978998:1 gene:Sspon.02G0037890-1B transcript:Sspon.02G0037890-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-specific serine/threonine protein kinase [Source:Projected from Arabidopsis thaliana (AT2G25090) UniProtKB/TrEMBL;Acc:A0A178W078] MARSGREGGEERKLVLGKYELGRLLGQGTFAKVYYARDHHGGTGSSSSVAIKVIDKARLRRTEGMVEQLRREISIMRMVRHPNVVGIREVLASRSRVFVVMEYARGGELFAKVARGRLTEDHARRYFQQLVAAVGFCHRRGVAHRDLKPENLLLDEEGRLKVTDFGLAALPEQLRHDGLLHTQCGTPAYVAPEVLRKRGYDGARADLWSCGVVLYVLLCGFLPFQHDNYVKMYQKIFKADYQVPPWVSGDARRLIARLLVVDPAKRASIAEIMCTPWFRKGFVPPVLSPPVTPPKKRLDAGDDDGGALFERGGDDADDSSSNSAGTTTSPRSCNAFQLISSMSSGFDLSGLFENEQKAATVFTARAPAATVVEKLESVARALGFEITRGKGWKVRMEAKAEGTNGRLAVTAEVLEVAVDVAVVEFAHDAGDALDFNKFCAVDVRPGLAEIVWAWQGDSPPVPAAAVGTA >Sspon.04G0024640-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:20953174:20962343:1 gene:Sspon.04G0024640-1B transcript:Sspon.04G0024640-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPTTSAAAAAAAVTTDDPSPSPSDSTSATFTVDRRGDASASCRWTLPDFPRTRARTFYSRYFEVGGFDCRLLLYPRGDSQALPGYLSLYLQVLDPKTPVSSSSSTTTTTSSKWDCFLSYRLSVVHPTDPAKSLGRDSWHRFSSKKRSHGWCDFAPSSSAAFLFQPHDALVIAADISVLSEAASFADADGRFTWKVLNFGLFREMIRTQKIMSPAFFPAAASAGGTDCGLRISVYQSNVSGAEHLSVCLESKEPVVQVASGSSASVLASGGTGSGVPDGDRGCWCLFRISILNQRSGGSHIHKDSYGRFGADSASLGWGEYIKMDEFLAADSGYLVDGAVVFSASVHVIKESNSFTRSLPMVPGICGAGGGRAGARKSDGHFGKFVWRIESFTRLKELLKKRKIVGLCIKSRRFQVGNRDCRLIIYPRDPRNTTTEWSCFVSHRLSVINQKVEEKSITKESQNRYSKSAKDWGWREFVTLTSLFDQDAGFLVQDTVVFSAEVLILKETATMQELTDEDSEICSSTYGCQIEALPKRPSFTWKAGGCELRIGVYESFDTICIYLESDQSSGYDPDKNFWVHYKMAIVNQKNSAKTVCKESSICTKTWNNSVLQFMKTSDMVDTDAGFLVRDTVIFTCEIIDCCPWFDFSDLEVWASDDDQDELSTDPDELVDSEDSEDMSGDEEDIFRSLLSRAGFSLTYEDNYTQPQVTLREKILTDATAIAGFLTGLRIYLDNPAKVKRMLLPTKVSTKSGGKKDASKCDSSSSSTSLISLLMGVSALKQAIIDLLLDIMVECCQPSEESGSSASTKASPDSNGASSPPELSVEGELTECACNDVYVRVESNSDDIRDSPAMRNTDLAATEIAVNNLEHSCFPPETSAADLPADEGPELASWSKWPEQSEELLGLIVNSLRALDSAVPHGCREPRRRPQAVRKIALVLDKAPKQLQQDLISLVPKLVDGSEHSLAACALLDHLQKPDADPSLRLPVFGALSELELESDIWKQASVHALELLSDSNDEPLVAAITYVLKAASQCQHLSVAMGFQLKGCILGKSKLCMEEVIYQIRTETKVQDFVRMLYAIIFKIYAEDHYRYRILKGLVDRATNTSDNCRAVDIDMDVLVFLVKEEYGIARPVLNMMREVAEVAQADRANLWHQICATEDENIRLREDMEMEQTNFTNEKIALNQQRTELEATIGGLRSELKAERDRFTREKKALSDQMREIENQLEWVRSEKGDQIAKLSAEKRNLHDRLNDAESQLSLVKARKREELKKVTKEKNTLAERLKNAEASRKRFDDELKRYAAETQTREEIRKSLENEVRRLTQTVGQTEGEKKEKEEQITRCEAYIDGMESKLQVCQQYIRTLETSLQEEMARHAPLYGVGVESLSLDELEALANIHEQSLRQIKAIQQRKGSSHLLGGPALSHIPALFSSPPSVAVGPPASRIPTSPMAPNGAGIHGNGHLNGTTGRWFNPS >Sspon.03G0000230-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:588055:589274:-1 gene:Sspon.03G0000230-1A transcript:Sspon.03G0000230-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPKFPTPPPLNKTEPTTATTTTTTTSTAQQLDPKDYQQQQPAQHHLQIQIHQPPQQDGGGGGKEQQQQLQVVAQPGERRQQALAPKRSSNKDRHTKVDGRGRRIRMPALCAARIFQLTRELGHKSDGETVQWLLQQAEPAIVAATGTGTIPASALASVAPSLPSPTSGLARPHHHHHPHHMWAPSAASAGFSSPSFLNSAAAGTGDAAGIGGIMQRMGIPAGLELPGGGAAGGHIGFAPMFAGHAAAMPGLELGLSQDGHIGVLAAQSISQFYHQVGAAGGSGQMQHPHGHQHHHHQQQEDGEDDREDGESDDESGQ >Sspon.05G0000970-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:531220:539538:1 gene:Sspon.05G0000970-2B transcript:Sspon.05G0000970-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chaperone protein dnaJ C76, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G23240) UniProtKB/Swiss-Prot;Acc:Q9FMX6] MPALLVNTVSVSPAAPRLRSSRPATARRARARHSHRCRAQASGSGTNRPGGVRASWVSDYDLYELLGVERSSPQSEIKAAYRSLQKRCHPDVAGAAGGHDMAVVLNEVYALLSDPAARLAYDQEQARRSEFAGYTGRPLYSSWLGPESERRAVFVDEVRCVGCLKCALHASRTFAIESVYGRARAVAQWADDEDRIVDAINTCPVDCISMVERSDLAALEFLMSKQPRGRVRVSEGNAVGARAPNIFNEVAKFQKRFDEMKQKTATRESQKSERCAQESEAARQSRTSAVHTIRSMSNWWYWRPFGFGASAPATIVRASRLLPPPPPQQQPAAAPADPVTERLQEAAAARRKTGGAATAPSRRDDYWTPQLDLPSIDLTAPLLLGIVAAGFVGYNGEGVAGGGSGIQEHVGGAIALGVVNSFEMKVMLAGVTWFIIGAAIAGVIQ >Sspon.07G0008360-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:21170912:21172234:1 gene:Sspon.07G0008360-2B transcript:Sspon.07G0008360-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding METLLSPSTLFTPSLRGSRRPSLAASVSSRSTVASCALRRPQAGAVVAASSHGDGGVVGRSWMSFLHHGLAAAALSLAISLAPAPAPAVASEFDVLNDGPPVDSYVVDDAGVLSRVTKSDVKRLARDLEARKNIRLNFITVRKLTSKADAFEYADQVLEKWYPTIEEGNNKGIVVLVTSQKEGAVTGGPAFIQAVGDQILDATVSENLPVLATDEKYNEAIFSTARRLAAAIDGLPDTGGPSFKENKRESNFKTKEETEEKRGQFTLVVGGLLVIAFVVPMAQYYAYVSKK >Sspon.01G0036250-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:13283671:13289498:1 gene:Sspon.01G0036250-2C transcript:Sspon.01G0036250-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSAGALLWQPPPQLHPGGVLFRQSRPLLRQRNLPLLSLAAAALEPERRRRQRLRCAAVDGDGGSREASPLPQKESPSSGIGAALEDPPPVENGSFRGPSEEEQSALYNFLYPSKDLLPDDKEMSIFDHLEELRERIFISVLAVGAAILGCFAFSKDLVLFLEAPVTVQGVRFLQLSPGEFFFTTLKVPVIQLLLGQLGLVSSDQMLSIWRYVVVGAVVVAAVLTPSTDPLTQMLLAGPLLGLYLGGAWMVKLIGR >Sspon.04G0029750-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4B:73913407:73919307:-1 gene:Sspon.04G0029750-1B transcript:Sspon.04G0029750-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRLRIAEGRGDPWLRTTNAHAGRQVWEFDAAADPDPAVDAVRQAFVDRRHQLKHSADLLMRIQFAKENPLELDLPAIKLDEHEDVTEEAVTTALKRAISRYSTLQAHDGHWPGDYGGPMFPIPGLVLGVFEWSGNNPVPPEAWLLPYLLPFHPGRMWCHCRMVYLPMCYIYGKRFVGRITPLVLELRKELFKDPYGKIDWDKARSLCAKEDLYYPHPFVQNVLWATLHKFVEPVMMHWPGSKLREKALETVMQHVHYEDENTRYICIGPVNKVLNMLACWIEDLNSEAFKLHIPRIHDYLWLAEDGMKMQGYNGSQLWDTAFTVQAIVATNLIKEFGPTLKLAHNFIKNSQVLEDSPGDLNDWYRHTSKGAWPFSIADHGWPISDCNAEGLKASLLLSKISPEIVGEPVEANRIYDAVNCLMSYMNGNGGFASYELTRSYAWLELLNPAETFGDITIDYPYVECTSSAIQALTSFRKLYPGHRRKEVDNCISKAANFIESMQKSDGSWYGSWAVCFTYGTWFGVKGLITAGRTFENSPVIRKACDFLISKELSSGGWGESYLSSQDQVYTNLKGNRAHAVNTSWAMLALIDAEQAERDPSPLHRAAKVLINLQSEDGEFPQQEIIGVFNKNCMISYSQYRNIFPIWALGEYWCRVLGAGKH >Sspon.07G0002540-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7A:6180156:6180781:-1 gene:Sspon.07G0002540-1A transcript:Sspon.07G0002540-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SSGHPASVRVGRGGVTTPGRRMGQGGVPATVAVFEARGDSGGGGGVAGAAPPDPARGRGGEGAGVAGAL >Sspon.04G0014450-4D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4D:60543087:60545301:-1 gene:Sspon.04G0014450-4D transcript:Sspon.04G0014450-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRRLLLPALLLLLAGGAGAQLSAGFYSSSCPTVQGVVRQAMSQAVMNNTRSGAAMLRLFFHDCFVNGCDASLLLDDTPTTPGEKGAGANAGGSTVGFDLVDAIKTQVEAACPATVSCADILALAARDAVNLLGGPSWAVPLGRRDATFPNSTGAGTDLPGPDSDLDGLVAGFAAKGLTSRDLAALSGAHTVGMARCASFRTRVYCDDNVSPAFAAQQRQVCPVPAAGGDDAVAPLDSLTPDEFDNGYYRSLMAGAGLLHSDQELFNNGALDSLVQLYGTNAAAFSSDFAASMVRLGNISPLTGAAGEIRLNCRTGYGNRIGRRSWRHKSSQRRRSLSPLPVALPLPVAPPSPLPGSRLQRRRAGAPGSLHPDPPSWLMPTAARLGASPSAPTDGGSTNGATDLQARQPLGCGAANPWGLWPLASAHSSHSTHIP >Sspon.03G0005640-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:16031692:16037976:1 gene:Sspon.03G0005640-1A transcript:Sspon.03G0005640-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAIISREEAIIQKESILDKRESELLVLQETIASKERAEIERLRQEQEVALVRRRQEFDTEMEIKLASFEEEIDARKALLDQRETAINEQEDAVAQREQNINLRLAELANKEESLVKKSDELREEEKRLSSERETLHMELQKEKEEIQNMKLDLEKEKSFFEEEKREAIQAQENLAITQNEREDLQSLQVKLKDEIDSLRAQKVDLMVDAERLLAEKERFEIEWELIDEKKEELQKEEARIAEERRVMDEHLKNELDIIKQEKENLRVQFKSSAESLAREHDEFMNKMQQEHASWLSRIQQEREDLKKDIDIQRIELLNSAKARQMEIDSYLREKEEEFEQKKSKELDTSILKRKLSSQH >Sspon.02G0027490-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:99557976:99560044:-1 gene:Sspon.02G0027490-1A transcript:Sspon.02G0027490-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQREKSAAAVAVAAAHGAAVSGSPSPSSSSGGAAAAASSSGERWSAAIGNLGELGANVDALQKLLGRKAVFVDDDIFSKASLAADQARTIKVLDQRVQSLERELDAAISAAARARTEKRQAEAAQRAAELRTQEVTRELENTARVFELHMEELRLKQEEIAKKDSDIKVLEAIIRTLSTKDDTLSSKDDDGSSE >Sspon.03G0039440-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:15182350:15191523:-1 gene:Sspon.03G0039440-1C transcript:Sspon.03G0039440-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding CPVVTRKAVVSRAFLWLSEALLSSASTPCLLLSAASSSSSCIGSELDIRCFKTVKQSVIDPNGILQSSWNFDNTTDGFICQFTGVECWKAFEPNENKVSALRLSSLGLAGQFPRGLKYCTSLDVLDLSNNNFSGPIPSNITRQVTYLTSLDLSYNSFSGEIPVGIGNMALNALYIQPNQLSGQIPREFDGLLRLTSLNVADNRLSGLISLSLSKFPASSFAGNQGICGPPLDDCGDRTTIRLQWRPHTISKESSIGAAAGFVVGVVVSFYFPHCFVCSRRLHPMADNTKFVLWLLLLSGSSSCFGSDLDVQCLKTVQQSIIDPSGILKSSWIFDSSTNGFICRFTGMECWHPDENRVLILRLSNLGLQGQFPQGLHNCASMTGLDLSSNDFSGPIPSDIAWQLPSLTSLDLSYDSFSGEIPVVFNVADNHLSGPIPLSLANFSESDFAGNQGLCGAPLLTECGSRSKWRLRLYRINNESSIGAAAGFVAGFVVAFYFPHFLSSCSGTASDILCLQSLKQSLGDPNGALSSWEFSNNGTEGYICQFTGVECWKPSESRVLALYLDNMGLQGSFPQGVQNCSSMTALDMSSNSLSGPLPGDIARRLPFITNLNLSYNSFSGEIPSGVGNLLYLNQLSLGHNRRRFHVRIRVRPVRIHLRLHRVNDASSIGGTAGFVVGFVVAFYFPQ >Sspon.05G0039980-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:5D:83843284:83843464:1 gene:Sspon.05G0039980-1D transcript:Sspon.05G0039980-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GGSNSKTKLVDVASSVAASDASPPAAVLLVVATVSPPRAEDAYGVAGDTMLECQQGVPLV >Sspon.08G0028330-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:9562442:9583982:-1 gene:Sspon.08G0028330-1D transcript:Sspon.08G0028330-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding XXXXXXDLSYNNLSGTIPETLARLTGISSLNISFNKLQGGVPTDGVFENATIILITGNDDLCGGIPQLKLSPCLNHTTNKAHQKLAMIVGICCSDEVPTDRPSIRDALKELQAIRDNNTTDHLALLSFKSHVSMDPSGALLQWGNLSVPMCQWPGVACGFKGRRLGRVVALNLARLVSLKELVLQYHNLTGEIPTEIGSIVNLTRLSLGVNQLTGTIPVSLGNLSALTLLSLVRNELEGSIPPLQGLSSLGVLQLGENKLEGTIPPWLGNLSSLVSIDLQKNSLVGQIPESLGNLELLTTLSLSRNKLSGSIPHSIGNIYSLNGLYLNFNELEGSLPQSMFNLSSLEILAINYINLTGVLPIDMHSKLSKLKTFSISVNQFHGVLPSSICNASMLQEIEISSTFISGTIPQCLGTHQMNLSVVVLAGNEFEATNDDEWSFMSSLTNCSNILELALDSNNLKGVLPNSIANFSMGMEFLSIENNKITGTIPEGIGNLVNLEALGMGDNILLGTIPSSLGKLKKLNILYLLNNAFSGPIPVALGNLTQLTKLFLSGNHISGPIPSSLKNCPLELLDLSHSNLFGSIPEELFFISTLSIYMNLSDNSFSETLPSELGNLKNLNEIDFSNNRISSWDLLFRLDTNESPINWRCSKRSHVSMDPSGALVQWGNLSVPTCQWPGVACGFKGSQLGRVVALNLAGLNLVGTITAALGNLTYLRLLDLSLNHFHGIMPPELGNLSSLVSIDLQKNGLVGQIAESLGNLELLTVLSLSVNKLSGSIPHSIGNLHSLNKLFLHENGFEGSLPHSMFNLSSLEILTINDNNLTGVFPPDMGNELFKLKTFIISYNKFHGVLPASVCNASMLQYIEIISTFLSGRVPECLGAHQMNLSVVALVESEFEATNDADWSFMSSLSNCSNMRQLGLESNKLKGVLPNSIANFSIGMEFLSIEDNKITGTIPGGIGNLVNLEILVMGPIPETLGNLRQLTKLFLQVGNHISGPIPSSLKNCPLEVLDLSHNNLFVSSLHQKSQETKVGICCSDETPTDRPPIGDALKELQAIRDKFQKHLCHKGGRHQLA >Sspon.08G0022950-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:51993641:51995335:1 gene:Sspon.08G0022950-1B transcript:Sspon.08G0022950-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VFDEVRWVVQIRQSLEEDAPGDDDDDDTGIPVSVFNVPKPLQMHKPEAYTPQFIALGPYHHWRPELYEMERYKLAAARRAQKRLRDGGVRLYALVDQFRRLERKVRAYYHRYLDFSGETLAWMMLVDGAFLLEFLQVYAVAAANEGDVATDGKALRRVSSRMQHLVDFAGRKSAHSLILRDMLMLENQVPLFLLRRILEPQCASPGEAGELLPRMVTGLMKELCPFKMLDKFPAIDVGKHAHLLEVLYYLLVPKQADDGAAEADVHGGSRREDGYDIEEQQPVDGGGGGGDEEQKPATGCEYVKQLLLTVSSMASGLNSGRMRYVTRPIAFAVKAPWKMLTVVPGFSAMKQPVEAFFMSGADGSTHAHDANGAGYLTRPPLIEEIMIPSVSELANVGIQFCPTSGDLSTIAFDARTVTFHLPVVTLDSNTEVMLRNLVAYEASAASGPLVLARYTELMNGIIDTDEDVALLRRRGVVLNRMKSDGEVAKLWNGMTRSVRLTKVEFMDRTIEEVNRYYNSRWRVKTKRFMRKYVFSSWQLLTFLAAIMMLLLTTLQAFCSVYTCSR >Sspon.04G0027640-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:61197700:61198401:1 gene:Sspon.04G0027640-1B transcript:Sspon.04G0027640-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGGKRFGGGRVPTGTPSLAWSSVVIVASLLAGASIVHNIYKPDMTIPPVESASGGRDQER >Sspon.04G0012030-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:38184570:38185183:-1 gene:Sspon.04G0012030-1A transcript:Sspon.04G0012030-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VNAGGCSSHGEAEHEAVPAELLHHEGERAAAQGGPAAEPGEPGPALRAQAPPRQVRRRWWRRREQQQLGSGGEPRLTQARQRRRSAVFSSGRRQGHACHQAQAQVG >Sspon.06G0011560-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:50016462:50021327:1 gene:Sspon.06G0011560-1T transcript:Sspon.06G0011560-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASAAEVRYGIVGVGMMGREHLHNLAHLAAEVEREQSVRVRVTGLADPHQESLRLGLQLAAELSLPAPQTFSGHRELLDSGLCDAIIVSSPNMTHYEILMDIISHREPHHILVEKPLCTTVQDCKKVIEAAKQRPDILVQVGLEYRYMPPVAKLIDIVKSGTLGQVRMVAIREHRFPFLVKVNNWNRFNCNSGGTLVEKCCHFFDLMRLFAAANPVRVMASGAIDVNHKDEVYDGKVPDIIDNAYVIVEFDNGSRGMLDLCMFAEGSRNEQEICVVGDIGKGETFVPESIVRFGKRAEGRDGVVTIMAEDERIKYQGLHHGSSYLEHLNFLSAVRAQGASGPSVNLSDGLLSVAIGVAGQLSIEKGRFVTMEEVLEGV >Sspon.06G0008440-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:33271570:33276664:1 gene:Sspon.06G0008440-4D transcript:Sspon.06G0008440-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQASSQQQQLQPPPSHAGMSDGGAFANAGNLEHCARYLNQTLVTFGFPASLDLFATDPVSIARTCNCIYALLQQRQRDIEFRESTNDQRQRLGEEMQSDISRLEAKIERMDAQLAAKDRELATLTRTEAKNTAALKSQIDKLQQERDEFQKMVIGNQEKLNQVLMEKKKESSRSGMEIMNLLQVDAYEVKKQELMQENADLRALLRSMQMDMREFLNAPNGSSQPTVTANGRQESGSPQSPLGGKTDVFDLPFHMARDQIEESLRTKMTSIKARMTQLQDAQKGAEVTSEATDRELELEAQLASIMSKHFTKSDKPSGRRHSGLDGEREASAEEHLLRHMANPVTSNSHM >Sspon.02G0003090-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:8632939:8637234:1 gene:Sspon.02G0003090-2B transcript:Sspon.02G0003090-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGPDLSSSSSGAAAAAARKDRHIVSWSAEEDGVLRAQIALHGTDNWTIIAAQFKDKTARQCRRRWYNYLNSECKKGGWSREEDMLLCEAQKVLGNKWTEIAKVVSGRTDNAVKNRFSTLCKRRAKDEELYQENGVPCSNTNAKRVLTETGCLIPGGAGSLLHIKQMRSSSSDLKENLVPNMRLFEQEKSTQDARQPLATISSNNQDNVNTVKSQNCVKREGNFLNKDDPKVATLLQQADLLCSLATKIKSDNTSQSMDEAWQQLQDHLVKKEHNEVPENSGSEIGSLLEELDDLIVDPYESQDEDEQKLSEHIGQTDVNNDHCNGSSQTSIEVTSNMVPEEMMEDCPVDNCKEDSSLCRNVLSESTEPCPGAKIPACGNLSEDQVAEDSMLQRVESTSPVITDIDDLIIDPYEREEEDEEKSGEQNGQIDVHDEQCFGSSQTIMEVISDMPPDEVMKTCPADNCEEHNSICQNVLSGSMEPYPGAEIPASEKLSELAEDSRLQCMEFASPVLTNFESKDCGETPAPQNLNEIAEDSRLRCIEFTSPAHTVLRDKAGAENFASPSFAVVAKDSKPPSLEFTSPAHTVATFQPYADDMPTPKFTASERSFLLSVIELTSPGSRPETSQQPSCKRALLNSL >Sspon.03G0030350-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:29054713:29064435:1 gene:Sspon.03G0030350-2C transcript:Sspon.03G0030350-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VFSRAAARSHTRAAGAVAVARHGWFLPARLQQLPAAPRTARAPRAALRHRRLVLGGASAAAGDDDARELRGQVGVQDGEPEGAGLVPPPEPLPGHQCRQHRRRRGRGAAPRRGVRPGHRLRRPQARRLRLGRHDHAFQLQVFWMSSEWSELRGDRRPGESPAPLPLPCKGAQYLTSDPGYMGCKNAGCGKRDASGACKARTCAATLTFHVVNFRTDVEFVLFSGGFKTPCLLKRSGVLRFANPASPLYGHLSSTDSKATSMKLTWVSGDGNPQQVQDYANSGSVYVTPDSGGECGVAYESYFPMPAVSKDKPWYSIEQGTVHFIVMSTEHEWSEKSEQVDLVFFGHVHNYERTCAVYQGDCKGMPTKDKSGIDVYDNSNYTAPVHVIVGAGGFSLDSFPNNGEAWSLSRVSEFGYGKVHATRTDMLVQFVNSSSMEVRDQFRIVKGASSHACVTVYVAMGLSGSGVALLVFLALCTAVSCWTPPPPEMLHESFAGKSEFRTVNRRRLGVCSNPSPYLAISVSTGGAPLPDEAFVRVTVAGVLRPDADDWIAMITPSNYSSVQTLAIRMVWYIVITCITCVAWFKWATCSRTPMIFKAVPNNGPNYAWAAKEAMFRAELSLSLFDAVLLPGVVQAQYLTRDPGYLGCKTAACQKRDASGACNVRTCAATVTFHVVNFRTDVEFVLFSGGFRTPCVLRRSGALRFANPASPLYGHLSSTDSTATSMRLTWVSGDRRPQQVQYGVGKSATSQVATFTQNDMCSSPLLPSPAKDFGGMTLATFTRLHDRAPAFSVLHLPLRK >Sspon.06G0021320-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:15077505:15078747:-1 gene:Sspon.06G0021320-2C transcript:Sspon.06G0021320-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPGRHNAAVGPLLDAALLLSAAVPGARAQAETGELFDQDEDFSYIPGADNGPENWGRIKPERANCSVGRMQSPIDLSDERAKLVQSLGYLNTSYRRAEATIVNTGHSVMVSFKGDAGSLVINGTTYNLKQLHWHTPSEHTIDGRRYDLELHLVHQTSANKTAVIGILYEIGPIKDTFLHRLEPYIRRIQNTKDQEEDIGIVDPNGARGIGSVYYRYMGSLTTPPCTEGVVWTVVNKISPVAEYQ >Sspon.01G0049520-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:96520052:96522194:-1 gene:Sspon.01G0049520-2D transcript:Sspon.01G0049520-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEALVGLRVAAPAVPQPRSHRSRLLPTARLAAFRRGRLCTARAAVAGPPEVDEDDSMSIDNLHRFFDLNVGKWDGSFYQFDAHGRVLQEISTRLSVSSYGEDNLISLLQSLYIKQASSAISIVDEEDSEPEWVEYKIKETNMFTVDKYQQAFALRYQTAGMLEIVLRVGVLGEDDTGEESPKNLKIPSRKPSIVCENCLYSLEGNGRVRAFHIMDPKGVLDTLLVFHEKRQGSISGNSDRINALLGRWEGHSVTKRSGVYGATLAEADTAVVLKMDSNGQLIQDTLSTKFGTGTTTTVNWTGSANDDLLQFDGGYEITLLPCGMYMGYPSDISKSVAQLDSFHLEFCWMESPGKRQRLVRTFDSAGLAVSSTYFSETKV >Sspon.07G0010810-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:32690511:32693854:-1 gene:Sspon.07G0010810-3D transcript:Sspon.07G0010810-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPLELDYIGLSPAAAAAAAAHADDDLKGTELRLGLPGSGSPDRRVAAATTTTLDLLPAKGAKRGFSDEVPPPSPAAAAGKGKKVAEDEDDDKKVAATPQPAAKAQVVGWPPIRSYRKNTMATTQLKGSKEDAEAKQDQGFLYVKVSMDGAPYLRKIDLKTYKNYKDLSTALEKMFSGFSTGKDGLSEYRKDGEYVLTYEDKDGDWMLVGDVPWEMFADSCRRLRIMKGSDAIGLAPRAADKSKNRN >Sspon.02G0013150-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:28784851:28786146:1 gene:Sspon.02G0013150-3D transcript:Sspon.02G0013150-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAAAATAVPRCSKREGDIAGAGTPKKGKIGRSPPAEEVEAFLAAAESGMARRFAAKYNYDVVKDAPMDGRYEWVRVGP >Sspon.01G0006590-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:17349107:17359757:1 gene:Sspon.01G0006590-1A transcript:Sspon.01G0006590-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAQVAATFLSFLSSSSSTHHPAPSSSVSLGTNPVLPVSLRAAVTGGPRVASRLRGRRVGAAVAQLPTTHPEVVSGEKKIRWSSRAVRSFAMAELEARKMRYPTTGTEGLLMGILVEGTSGAAKLLRANGITLLKVREEAANVLGKSEMFYFSPMHPPLTEAAQRALDWAVNEKLKSGEDGEVTANHLLLGIWSDKESAGHKILESLGFDDEKASLLAKT >Sspon.07G0027840-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:62428946:62429158:-1 gene:Sspon.07G0027840-1P transcript:Sspon.07G0027840-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RTGHRCSSRLCSSSCSPQGCSSRCLPSRASSPLATSTPASPPSSSTPSSSSPSTPSSSSPSASGSSSATRQ >Sspon.02G0044940-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2B:106183649:106183816:1 gene:Sspon.02G0044940-1B transcript:Sspon.02G0044940-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSLKPAAALVLQLRRAPPAAAAAPALQPLLRRHMATSTTRPHGGDVVDGDGNS >Sspon.06G0000380-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:451098:454441:-1 gene:Sspon.06G0000380-3C transcript:Sspon.06G0000380-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLAPSTPALPPNPALPSPWRGRGGRGALLRARAVRAAPRPPSQWSVGSWRARPALQQPEYPDKADLNEVLRTVETFPPIVFAGEARTLEERLAEAAVGRAFLLQGGDCAESFKEFNANNIRDTFRVLLQMSVVLMFGGQMPVVKVGRMAGQFAKPRSDGFEERDGVKLPSYRGDNINGDAFDEKSRLPDPHRMISAYSQSAATLNLLRAFATGGYAAMQRVTQWNLDFTEHSEQGDRYMELAHRVDEALGFMSAAGLTLDHPIMTTTEFWTSHECLLLPYEQALTREDSTSGLYYDCSAHFLWVGERTRQLDGAHVEFLRGIANPLGIKVSDKMDPAELVRLIDILNPENRAGRITIITRMGPENMRVKLPHLIRAVRGAGQIVTWVTDPMHGNTMKAPCGLKTRSFDRILGEVRAFFDVHEQEGSHPGGVHLEMTGQNVTECIGGSRTVTFDDLGSRYHTHCDPRLNASQSLEMAFIIAERLRKRRIASSPLYTNQLGSIRL >Sspon.03G0030230-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:20080067:20084108:1 gene:Sspon.03G0030230-1B transcript:Sspon.03G0030230-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAEAAPAPAPEASDFYGSGGGLSTGRKLVPWSNWTEWRFVRDGLFSPFPAAALRRVTPSSPEPEKASIFQQKGVNFLIAAWRSRGSLPIPVDVTAAFVEIRLRDPFFRYDVCTEFEPVPSCGVWMVLYMPKLFVLPTRNGLAGDDALESEEMLAMLYSMAIMRLVNGFVENPYKKTGLSISELAEAVGIPRVLVDIRHESSHRSLPSLRLLHLASIKAFDWLKCIYWDRQANSIPDAQLELRLRLHEIAGFLKENNSKESKSGSKRKRSEKLISKAMKYARRLYYACPSEVVSVLLDLMELDAADSESIDMEETDSLAVNHSSDIQLSNSDMKTIILKLSEKEPRLLLSVLKSVIEMIDAKEELTDKVGPSKVKRLCSLVLWLVTNIKELKDSGYIGLIHEIGVLSSDKNAIPRFCLAKLLQKLLNLSTIGESCLIDAALLLIEMVNDNNVKEKLRKLPVLCLGRLARSSSLSESRTIRNQQESVENATETLELFKVQLKRQKNGTNEGSFNTSTPEKHDRWSVAKSWTPCPIGTVPCSFSSCAVLPAFDVISHEQVSALEHETFEEVNHSERFEPQTEELEDESILEIPRSSPEYEISDVTELICPLKGRLLVGGVWKMVAEEELLLIKSKMKILLYSPASAES >Sspon.04G0007430-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:21993812:22000580:-1 gene:Sspon.04G0007430-3D transcript:Sspon.04G0007430-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thylakoid lumenal protein TL20.3, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G12250) UniProtKB/Swiss-Prot;Acc:Q8H1Q1] MTPASTFPLAAATVLPTNLSSFSRCPPRRLPRISCLAAPERGGGNASNASPAPRWHAAVSAALAAAVVAASMPAYADLNRFEAEQPGEFGIGSAAQFGSADLKKAVHVNENFRWVLNFTCADLSDTLMDRMVLNEANLTNAVLVRSVLTRSDLGGAIIEGADFSDAVIDLPQKQALCKYASGTNPITGVSTRKSLGCGNSRRNAYGSPSSPLLSAPPQKLLDRDGFCDPATGMCDAN >Sspon.06G0016340-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:70856564:70859005:-1 gene:Sspon.06G0016340-3D transcript:Sspon.06G0016340-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAGKPPPFVCFKWPWSPNPNPSPSLSPSPCGDLELPWLFKSIRTLAQGLLIAGDLPSSPASSSAGGGLWGRQRRSTGAAVVEADRGDAEQRALAAALATGRPATVLEFYSPRCRLCASLQDLVRELEDGAGGSASFVLADAEDDRWLPELLHYDVRYVPCFVLLDKHGRALAKTGVPTSRQHVIAGLHHLLKMQQPSGLDGNQSAPPS >Sspon.01G0029720-1P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1A:103275486:103276685:-1 gene:Sspon.01G0029720-1P transcript:Sspon.01G0029720-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAVGDGAAGAGDAAASAPAGRSQQGAKRGRGGAVRGGEADAATAAWGQPPPPALPPPPAPGAGSRIYRVRASGGKDRHSKVYTAKGIRDRRVRLSVPTAIQFYDLQDRLGFDQPSKAIEWLINAASTAIEKLPELDPAAFAALPAPGDADAAVKDKQQQQQGSKSAGSSTSETSKGSELSLSRSDGRGAAAARDREVTVASTSAQAASFTELLTGVASAGSISAAEHKQSWHQQQPNVSAAAADCVGIAHHGKGAHGLSAHGFSAPAAAKFGNAPPFGLVPAQPFNFTSPIEMPHFSLGQDTLAASSAAAGDYSLNFSMSSGFLGANRGTLQSNSQSNFSGHHHQQLQRLDGPILFGHAHAAAAAHPASENQLTASAALQLWDGLRHSGMKEKSKN >Sspon.04G0008120-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:14396876:14397648:-1 gene:Sspon.04G0008120-2P transcript:Sspon.04G0008120-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding METASTSPDTMEGARPPGLIITVEKNPSEARLLQLGVKSWPKWGCPPGRFPLKFDAALTCYLVKGRVRAAVKGSRECVEFGAGDLVVFPKGLSCTWDVVVGVDKHYNFDPS >Sspon.02G0052320-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:80198012:80199446:1 gene:Sspon.02G0052320-2D transcript:Sspon.02G0052320-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDPNYAALLFQQQADGSTRWIGAASGGWDQQFGWEQQADGRSQLQQDSLVLPWLENTVQGGQRRQVLPPNSAAATASNPFLDVCSAEMDSGRPLTDMIMEGLTPGGVSDNTSPHNEIQAGDEVPAALKGNKRRSKKFHY >Sspon.02G0038290-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2B:40821339:40822893:-1 gene:Sspon.02G0038290-1B transcript:Sspon.02G0038290-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SPTPTCGHQPALQQHRHETAVQLSGFTCRGGTASSSTSKARGGPGPRAIENASPTAARSASLPIRLRATSELVPATASAIYHIHVHPKLRKSLALLAPGSLVDLSAASFSFHWPWLPCPGLVPDCSTSPDTPLRRSYSCGCLGRTADECGCTGGEPGTETGGERGTSRRTKMVAAAGLRRAGGRFLLARDIKSSGANVHFLGRGFGGGVTMPRALLEDPAPAPAPAMDAPATTTTGTGPHPASAAASRITPAVLFVTVVLAVVLLVSGLLHVLRRLFLKSHHASAGAGERQLQHLFFPAHDDGAGGSGGGGGGGLGQAAIDALPEFAYGELSGGGGGAAASRKGKEKAARPFDCAVCLCEFADHDRLRLLPLCGHAFHVACIDVWLRSSATCPLCRTKLSARHLAAAAAADALPSSVGQDVEEQKPQQDQAPPDAAEAASSSVVLPVRLGRFKNSDDDAAESSSSTGDATSRIDRRRCYSMGSYQYVLADEHLLVSVYLRHGNAGGGGAGTACGTSAA >Sspon.02G0023430-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:79861895:79864022:1 gene:Sspon.02G0023430-1A transcript:Sspon.02G0023430-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RPQPPRAHLLTSWERPTPHPAFKREARPRLRPFPPAPALVFSLARTRWNRNRSAAATRRGARRGPLPSLLLWLHSCRGELRLDLLNLSMLSISHCGRLWRVPARSDDLLVAVHGGSRSGSSSAALGSRIDHLHLLFLPAPPICRIVAARVIFRVRWRACSPSVVASATRVILLPQKAPGWMRPFPRFRPVVSRVDLVAVGPRFPCTGELPTAANGGATVIPLNSGGSLTSPPFHLIQANLCRVLKTVITVVLTVTSGLKNSQNKWLPIMLLIHDDDDDDDDDDDDDIKLINDSI >Sspon.01G0032540-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:113018518:113020816:-1 gene:Sspon.01G0032540-2D transcript:Sspon.01G0032540-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAEMEDAGAQAEIEWDGGGGGADAVLGLAAGGAPVSLCYHQAFGPHNDLVLLEAADDLLPDLLQGRVTVRGRPEEEAVLCTPSATYSMKFVGTSNSMFLIPPGEPSAKCLRPDSTNGDANAVAATIKLAPGSIELVRTAPRLDKLRSLLRERPYILDEDLGNDFQHMKGLYTWQDLCKLIQASDGELLDGLNALSAVEIDGFWRTVDANSVNTILDMILHNSVLHDWPLNAMPENDVLSVMESDGFTHKIVTHCLNRFGTKVEQEARSFWNLDEKRVCLQFAQRALGAGKMKLANFMDKWERSIPSGMRADLQMLEGEVLCEKLGAETWVHAFSVADLPLTPADRFAALFRERPKWEWKDLQPYIRDLRLPGVSSEGLLIKYTRRTQPSAEAEPIFTAR >Sspon.06G0011100-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:47659691:47660416:-1 gene:Sspon.06G0011100-2C transcript:Sspon.06G0011100-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVPPLLSAPGAGKPSRSASAVVAKATRGFQVFRIDGYSWTKALPGGERITSEQFNVGGRFWQIDYYPNGTDGSTDSAGSIALYLRLLNTYKVERVRAQYKFSLLDLAGNEAYELPAETGIFRSTGHSNRGEVSPEDPGCGYAGFITKEDLEKRRDSLLKEDCLAIRCDVGVTEVTTMAVGPKNGRPASTRNYGGGYGYGCADDSPDEDLEDDGGSHKGRRGEPDDKEYIRRCLSAQRRK >Sspon.01G0039360-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:27664700:27675590:1 gene:Sspon.01G0039360-1P transcript:Sspon.01G0039360-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDNIRYLVPEGYDKLASSLNLPVSSDIRVEEHFLKGMLDLRTLAAMLGTDQRFEASNRGGLSEPLPQFESLKERIKVQKFSLQVTEDPFAIPEGAAGRIRRSIISEAGNLQVHYVKVLEKGDTYEIIERSLPKKQIVKKEPSVIVKEESEKTYKLWQSLATKSIPKHHRNFTALMKKRQVDAKRFSDSCQREVKLKVSRSLKLMRCAAIRTRKLARDMLIFWKRVDKEQYELRKKEEREAAEALKREEELREAKRQQQRLNFLLSQTELYSHFMQNKAGGSAPPDEEDVPDEDEEEDPEEAQLKREALRAAQHAVSQQKMKTNAFDSEIVRLRQTSESVLPTDDSSSMDPSKIDLLHPSTMPEKSSVQTPELFKGVLKEYQLKGLQWLVNCYEQGLNGILADEMGLGKTVQAMAFLSHLAEELIRFCPDLKILPYWGPERMVLRKNINPKRLYRRDASFHILITNYQILVNEEKLLRRVKWQYMVLDEAQAIKSSSSQRWKTLLSFNCRNRLLLTGTPIQNNMAELWALLHFIMPTLFDSHEQFNEWFSKGIEGHAEHGGALNEHQLSRLHAILKPFMLRRVKIDVIAEMTKKKEEIVPCKLSSRQQVFYQAIKNKISLNELLDGSRGNLNDKKLLSLMNIVMQLRKVCNHPELFERNEGSSYFYFADIPNSLLSPPFGELQDVHYAGKRNPIIFEIPKLVYEGIICNTENSGNICGFQNGYLNRLFNIFLPSNIHRSAIPEVNSSDESVLSSGAFGFTRLSNLCPVEASFLATASLFERLVFSVMQWNRNYTDEIMDAFLDSEDPNIQSSQNDSTKVRAVARLLLSPTKAKPSLLRTKIGTGPSDDPYEALVLSHRGRLASNIRLLRSAYAFIPPARAPPINVWCADRNFAYKFTDEMHDPWAKKLFLGFARTSEFNGPRQPVALHPLIQELNTDLPILEPMLQLPYRIFGSSPPMSNFDPAKMLTDSGKLHTLDMLLRRLRAEGHRVLLFAQMTKMLDILEDYMNFRKFKYFRLDGSSAISDRRDMVRDFQNRNDVFVFLLSTRAGGLGINLTAADTVIFYEIDWNPTQDQQAMDRTHRLGQTKEVTVYRLICKDTIEEKILQRAKQKNAVQELVMKGKHVQDDHLMRQEDAKDRQKKRRAKGIKVDKEGDLTLEDLDDATATATATAEAVDQDKTTSKKKKSSHKKHTNTHDNDNMDKTGELDVGGDHPGSSHTENEQIAEPRPKRSKRLMKSITDDKELAAAAVDHEEPANEAENHRAHDYDGTEEAQDGTPA >Sspon.02G0028110-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2B:98672107:98677538:-1 gene:Sspon.02G0028110-2B transcript:Sspon.02G0028110-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Golgin candidate 6 [Source:Projected from Arabidopsis thaliana (AT3G27530) UniProtKB/Swiss-Prot;Acc:B0F9L4] SEEDFYVRYYTIQLLTALLTNSLKRCPVIRNEALLLLTYLTRDAEEIQKIVVFEGVFEKIFSIIREEGYSDGGVVVQTVNLLGALNTVELLLMGGPSSEAGKDANKNANQTALAQRNPNGQALLASTIAPHPNQGDTHGPASDMPFGSVLLQALVSSDVNGDMEVLQIQLETPTPSLGRTEPVLHRIVTCLSIAASTDGENDQNNQPEEPYIQPVILRLLIIFLVDCSNAVNCLLESAVHLNYIIELASSKRYTACVRGLAAVVLGACILYNASREKGRDAFAVADAISQKIGLTTYFLRFDELRKSLAHPLSEQHHRKELSRSSANSMSDFQEIEEDETNKDDQHPVLSEIFDSQFVNFLSKLEADIRENIMDIFSRTKTATAVLPTELEQKNGEVDGEYIKRLKSFVEKQCNEMQDLLARNAMLAEELVRTGGATTDTSQKPSSGRERVQIEALRQELEGTKRQIEALKAEKSQIEAEANNQRNLSVKLESDLKSLSEAYNSIEQANYRLDAEVKTLRQGGSVPYPDLEAIKAQAKEEAEKDSEAELNDLLVCLGQEQTKVEKLSTRLAELGEDVDTLLQ >Sspon.08G0012700-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:52828774:52840542:-1 gene:Sspon.08G0012700-4D transcript:Sspon.08G0012700-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLAATPSRSKSKPRSSPAKPIAAPAESRMDLCTPSKPTPRRKSKSTASPAPMSPATPSTVRRSRRLLETPTKASLEVQVKATPTPTSRAKRAALSPKTPAQRETKRQKRHPRKRAYYRKVVYDGGEFDVGDDVYVKRREAAESDAEDPEEEECRVCFRTGGGVMVECDACLGGFHLRCVRPPLRRVPEGDWACPYCEAKRAGKVVERPRPPEGKRIMRTAREKLLSSDLWAARIESLWREPDGTFWAKVRWYIIPEETAAGRQPHNLRRELYRTNDLGDIELSLSQFFFACPFVVLSSLCLWPVQMETILRHCSVMSPKDFRDANDGDDVFYCEYEYDIHWHNFKRLADIDDELETKEDPNDEPYNAGDDYSSDTDEDSEYDEEEEPTSSFSARRNQSHELAANSRKGRIYGLQKIGIRTIPEHVRCHQKTELEKAKATLLLATLPKSLPCRDKEMEEISIFVKDAICNDQCLGRCLYIHGVPGTGKTMSVLAVMRRLRSEFDSGTLRPYCFIEINGLKLASPENIYKVVYEQLSGHRVGWKKALHYLTEHFSGGTKIGKQANQPIILLIDELDLLLTRNQSVLYNILDWPTKPNSNLVVIGIANTMDLPEKLLPRISSRMGIQRLCFGPYNYRQLQEIITSRLKGIDAFEEQAIEFASRKVAAMSGDARRALEICRRAAEFADYRVKQSQQSAQCTVSANKGDSVVCMGDIEAAIQEVFQAPHIQVMKNCPKFGKVILVALVHELYKSGLGEIMFDKLATTVFSWCHANRELVPGYDTLVKICCKLGESKIILCEEGSKYKLQKLQLNYPSDDVTFALKESPDLPWLSKSAKEPAKDVGMSLHPTNRTYLIAHQE >Sspon.08G0010870-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:43302965:43306884:-1 gene:Sspon.08G0010870-2B transcript:Sspon.08G0010870-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFLAGRLAAKEGAYFLQESKTAVGRLAEKLPPSASAPGGASAQPSPDVLPEILRHSVPIKGTPPPSEASLSASSRWALPPGGAEAAGLHPDALNPLRSYVSLPQATFGPKRWQLPNEQPNYLASTANERRRDRTPPPMDPEKLKAVIAGYSQSIFSCLKCLSSSVLEDLLFSFWIVTVGKAFIAATFMVFGGATAVMLYTADKLQLHSVDDVKTKGKDALQPQADMIKEQIAPLRSWAEEMSRKWHFEGDKEAKEKSVIIRELSRALGSRTTPS >Sspon.01G0011780-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:31890082:31894431:-1 gene:Sspon.01G0011780-1A transcript:Sspon.01G0011780-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVHADVDGSGVPLAVLLKREMCNQKVERPDILFGEANKSKKGEDFTLLMPRCHRTTGEGGSSGGGGGGENAGDDDTISVFAIFDGHNGSTAATYTRENLINNVLAAIPPNLTSEEWTAALPRALVAGFVKTDKDFQTKGIINGMSASYISWDPQTVLLQCKLTVTMFSAARSGTTVTFVIIDGWVVTVASVGDSRCILESAEGSVFFLSADHRLDANEEEVERVTASGGDVGRINIAGGAGVVTLRAISLRSLFRLVRSDAGQVDCAYQGLMPTLLQLSNAGGRLVIASDGVWDALRFQEALNYTRGLPAEAAANRIVKEAVTSKGLRDDTTCIVVDILPPEKLSPPLKRPGKGVIKALFRRRPSDEMSEDQMDRGCLEPDVVEEIYEEGSAMLARRLNINYPAGNMFKLHDCAVCQLEMKPGEGTSVHGNMPKHSRVDPWGGPFLCSSCQVKKEAMEGKLHSRSMDIPNLLSNLCLSRPSLTVYIHWFIHSNYH >Sspon.06G0030780-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:53870032:53871179:-1 gene:Sspon.06G0030780-1C transcript:Sspon.06G0030780-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVPPPPTPPTLPSFPSHNGRSLPPPSPARLSLAPATNPKYHNAKVDPGDEDVDGEDLLRRFNWQVSRADVMGRLVAEARGHGGQAPAHGAVGGEEVPPHRRHEDARDKRKLGAVLAGGNGRFTAHIHP >Sspon.03G0026910-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:4205222:4206165:-1 gene:Sspon.03G0026910-1T transcript:Sspon.03G0026910-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFQPSEQGESACATADVVQLYQSNGINQIRIYFPDTNALNALSGSNIGVIMDVPNSDLSSLASDPSAAATWVQSNVQAFPGVNFKYIAVGNEVSGGDTNSILPAMQNVNSALANANLGSIKVSTAVQSGVTQGFPPLQGSFSQGYMGPIAQYLQSTGAPLLCNVYPYFSYTGNEAQMDLSYALFTLPGTVLQDGGNTYQNLFDALVDTFVSALENAGAGNVGIVNQNLINHVGQGTPKRPGAIETYIFAMFNKDQKTGAETERHFGLFNPNKSLAYLINFS >Sspon.07G0020620-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7A:76815921:76817777:1 gene:Sspon.07G0020620-1A transcript:Sspon.07G0020620-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEYGLDVDCPHMFDGTHFARWKNWMICNFKFICPQLWWMVDVGFSHVLDEDNLTQAQEKCLDLDIQATNIMYRSLDDSIFGKIMDLKSAHEIWAYLNEKYGAITDDDDDVKPKEVAHDDVEHDHNTVVVEDCSTSWSSDDDDRSTTSSLDKDGDDASSVASDVSTPSTLNGDNVGSCSGLDDATTSPSTTPHCFMSQGDTKVSNDNVVDHVDSYDELVSRLASMTTSLENEKAKTMKLENENSFLKTTCEQQKHLLYVTTCSHEELKLAHEELSVAHDNLAQEHALLTKKFSNEETKTTESSSFGSNDQSHITNPCDVGKKHVSTSCDDLLDMPCSSHIDTCSTSMSCETNLLKENNELKNEVKNLSNKLERCYNSKVTFEHMLNNKRSYGDMSGIGFNKSNIKGKRWGKRRYEREMKKQEQEKLSHFMCFKCHKMGHLANGCPNEEKLKLKKEEERLKHVKCFKCRTWGHLTSMCPTKKLVKQQKEPQPKPHVEQETTPQSQVKINHKDDDGDLKKKKKKKTRRGGRGRHPMQIQDVKMMSKIQDKKRDLAHIKCFKCEDMGHFASGCPTKLEKKAQATHERQGNEEHHMSKEEKAQPKRRCYSCRERGHMAHSCPL >Sspon.03G0001860-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:18130352:18132475:-1 gene:Sspon.03G0001860-2B transcript:Sspon.03G0001860-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MACVQHGAFCEVIRVVTVSEAAGRPEPEEKRMALYGEKEWYFFSPRDRKYPNGSRPNRAAGAGYWKATGADKPVGTPRPVAIKKALVFYAGKAPKGDKTNWIMHEYRLADVDRTARNKNNSLRLDDWVLCRIYNKKGVPEKPTGAAGGAEASSQGAQLGSMGSPPEQKPSVLPPPAAAAAAGTGLYAPPPFSELAAYCEVRPSDSMPRAHGADSSCSGQGHALAATSSSCGGGERPEVQSQPKIAEWERTFAGAGASAGPGINPAGSMLGLGGHQHGPAAVGVGVGLPAGDPLLQDILTYWGRPY >Sspon.02G0036710-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:26282181:26288889:1 gene:Sspon.02G0036710-1B transcript:Sspon.02G0036710-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPRSPLPAVLPVLLLVLLAAGGAGGVEVLAKSLLESCVDDSGAGGRLSCDRKVVVDMAVPSESSGGEASLVAQVAHVNDTDQTKTIRNPPVITVNKGAVFALYALDYIRDVAYKPEEQFVETRKCEPDAGADVVGACESLFAVPVVLTAVYLHLVETFLRKFSKEKLIRLTVYDFQVTGTFHVFGIGTRSLGFNIRVQVKKGSSVSEVVVGPENRTVVSKDNFLRVNLIGDFDSYSSIPSFENFYLVTPRKGAGSGEPQNLGAEYSKWMLLERVRFTDGIECDKIGVGYQAFQNQPYFCASAFGSCLYNQLWTFLESDKNRINRSQQPQYVVQGRFQRINQHPVMFPSLFLSCSHLLTLDQVFDTPPTQNASVHTFSIGVTEVRNSNLRIELSADDIEYMYQRGIFVDHGLTHFKLACTYRFIFIRRMSNMLYFHIRSPGKITNISVPAFEALSQYGTAKVTTKNIGKLEASYTLTFNCLSGISFVESPEVCITDFQEQYYVLKPDEASTRLFYLRASTDKAAKYQCTAILKASDFSELDRQECLFSTMATVLDNGTQKGFFDPVYDWWEDLLGLDDRTHRRHKKGRRRRRHHHHRHHHHHGHSHRHGHHPHSHHHTHQRSKSEPSHHHVLHRQQPEAAAEGHRHRHDPALGVQHRETGHLGHKRRHGKAVVAEDALEFREQTQRRPYE >Sspon.01G0006470-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1A:17039810:17044329:-1 gene:Sspon.01G0006470-1A transcript:Sspon.01G0006470-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEANGGVVANEKGAGTVGVGRYVEMEQDQDSNTVKSRLSGLLWHGGSAYDAWFSCASNQVAQVLLTLPYSFSQLGMVSGIVFQLLYGLMGSWTAYLISILYVEYRTRKEREKADFRNHVIQWFEVLDGLLGRHWRNVGLAFNCTFLLFGSVIQLIACASNIYYINDKLDKRTWTYIFGACCATTVFIPSFHNYRIWSFLGLVMTTYTAWYLAVASLIHGQVDGVKHSGPTKMVLYFTGATNILYTFGGHAVTVHKSFSHSPDRTVPPWHHQTRAREIMHAMWRPQKFKAIYLMATLYVLTLTLPSAASVYWAFGDQLLTHSNALALLPRTPFRDAAVVLMLVHQFITFGFACTPLYFVWEKLIGLHDCRSLCKRAAARLPVVVPIWFLAIIFPFFGPINSAVGSLLVSFTVYIIPALAHMITFRSATARENAVEPPPRLVGRWTGTYMINAFVVVWVLVVGFGFGGWASMTNF >Sspon.03G0033900-1P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8D:39867250:39867504:1 gene:Sspon.03G0033900-1P transcript:Sspon.03G0033900-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSKFVAAVILTVVVVMALVATSCSARPLATGADDAGWGAGDAAAVSGKQILQLLRRLYLQQLGAEPSCQTNSSNGGCPTPSSG >Sspon.02G0033660-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:8124528:8127632:-1 gene:Sspon.02G0033660-1B transcript:Sspon.02G0033660-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKDVATTTAKKIKPDQIDGQGHPKISNPAGDQGLDFIGRLPDKVSKILSDHLGPSHHFSLPDIRLHDRYAKINGWLHSQALNGLEKIHFSYEIEDLHLRCPLPTSVLCFAPTLRVAEFGYCDFPRDIAPSIKFPCLKQFTMCRIIVLEDALHSLLRGCPILESLLLEGNVGGGVENPIKLQDLIIEDAPCLERLLPLYPGNGPATIQVVWAPKLEILALLSDGITKLHIGTTVFQVAPDFHSFVLHSGIICASDQICRLLILVQKMIALSLTTSMHTVKVLALESWGPNLDSVVDFLKCFPCLQKLYVDIQSHLHKGKKNKRSYNPLDPIECLESHLGQVVLMNYWGMRPDVDFAKFFVLNAKVLKKMVFGCFNNCNDKWMANQHRRLQLDNRASQGAQFKFRGCSWFNSFTHNNTHRIASPLAIHSSAFLLLPFASSGLPCMHVCKFEL >Sspon.01G0028070-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:93792989:93795411:-1 gene:Sspon.01G0028070-3D transcript:Sspon.01G0028070-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA repair protein XRCC4 [Source:Projected from Arabidopsis thaliana (AT3G23100) UniProtKB/Swiss-Prot;Acc:Q682V0] MAAASSAVPARHSCAKLSVPVEDPKALPAGAGTVFVKATWLPSRFSLAVTDGAGAWVADASDHEVRLRAEQWDQPVADYLALAERYLAFQQPDSTYSFHDAGKGNRRLSWTFERQGTKLEWRWKLQPSPNTQQTISEILDFLMDANIRLSEEVVRKTQSFDKLKQEAEKCLQQSERFNNEKAEFEQATFSKFVAVLNSKKAKLRQLRDKVVELESTGKPSKEVEQEEENSTDRTELFEGESDKEASVKDEPSETGSDNIHSSPEKSASTSRGRGGGRKRAKK >Sspon.01G0022280-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6B:19324932:19325615:-1 gene:Sspon.01G0022280-2B transcript:Sspon.01G0022280-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYFVSVHASLVQSLGQAQATAHLAKSLFAITIGSNDIIHYAKANSATSATADPSQQFVDALIQTLTGQLQRLYGLGARKVLFLGTGPVGCTPSLRELSPTKDCSALANGISVRYNAAAASLLSGMAARYADMHYALFDSSAALLQYINQPAAYGFTEAKAACCGLGDMNAKIGCTPLSFYCDNRTSHVFWDFYHPTETTARKLTSTAFDGSAPLIFPMNIRQLSAI >Sspon.01G0028120-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:50765835:50769587:1 gene:Sspon.01G0028120-2C transcript:Sspon.01G0028120-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQHSAAHILVGTRDQRKHFGRSFQICRECIMEKINDEEVDCCPVCDIDLGCDPEEKLRPDHNLQDVRNKVFPIKKINVDAPKAVTTLPAKRKQRSLSSLVVDTPRVVKRTGLTGKRTKAKRRAAASRATSPVNNGAMKLPSKSENRDQKTEKSSASQSTKVAASANKTENQDQKKTRKTLAKQSTRAATPANKKQRNTDVELSSKASSENRKNGKTADKDELRKSSKVPRSTPKIHAVNEEQIKEKESELPTRKGEADNKVPRSTPKIHAVNEEQIKEKESELPTRKGEADNKVVIPGTSVREHSNISHLKEKNDGSSPESSPLKNKIKTEDDSYQGSLGSASDLHDPITTPVWFSLISLPNQKEDPQLPQLSKTYMRIKDRSLQISSVQRYIMKKLDLANENEVEIICHGEPICPSSTLHGLMELCHRRQPTEPVEALVGTPANEFVMVLGYRRRHRPNSVPSTVAVPPEPS >Sspon.08G0006460-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:17397747:17400644:-1 gene:Sspon.08G0006460-3D transcript:Sspon.08G0006460-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLRCNGSFAQFPKQNDPMRFFKGSKVEVLQEAEVPFGSWRPGEIISGNGHTYLVRYDESPVDSSVAVERVPRRLMRPRPPVDDPVRWSLGTILEAFDSYSWKVAEVVRVLGKNQYLVRLLGSSLELSAHASDLRLRKLWLDDRWVVTQKYSARCLDGGAFRGQSKDGNVDHNHNFRMDRRIQLENQNAFEGDTSRGMKRKSSAMSTHPQCSEITKRLRTPNREGRYSKLVDRGFFPLAEEKVDAVDSPCFMLGGKYMHASHRGHTRTTEEFSDTESISSSVGSSSPNSTPHRSQYSNLVYQSGDTCSRTDDDEASTSERETSEHDNDGLREETHLLEETHLLELHAYRSTMLALYACGSISWEQEALLTNLRLTLNISTDEHLAELRSLEDLNDSDK >Sspon.02G0026820-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:75403058:75412855:1 gene:Sspon.02G0026820-2B transcript:Sspon.02G0026820-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFISIPQDVEYYPVLAMIINRKGSDVNDLSLFGYDTSSCVIDHISSYSETGPLALDISEIPEMFGFALLLRVGDALLLDLRNPTNVCCIRRISLMTSLIGERITVEDSCPGLDVDDDVAACALLELRDSANNIMKDDGYMDIDGVDSRGNVKPRIVCSWSWEPPEPVRQGWARLLFCLDDGEFHILEFTLDVDGVKLYTFEYIDRSFPCRPLFWMKNRMIIGFVEMGDGMIFKLGDRKLFHKSTIQNVAPILDLAIADYHGEKQDQMFACCGMSPEGSLRVLRNGVNVDRLLKTEAIYQGVTGLWTLRMKTTDAYHSFLVLSFVEETRILSVGLSFNDISDAVGFQPDVCTLACGLVADGLLVQIYSKGVKVCLPTVYAHPEGAPLTSPICTDWYPAITISVGAVGRNIVVVATSNPCCLYVLGVRSSSSYQYELYATHHVQLQYEVSCISIPQEDWRHDNVAFSCGEGDDICNNSPPKANVRKFAVIGTHRPSVEIISLEPGEALRVLTIGTISVNNAFGAPMSGCIPENVRFVAAERFYILAGLRNGMLLRFESEASDYLPGFFYKDSSIPSVNTFLQLISIRRIGITPVLLVPIHDSANADIIVLSDRPWLLHAARHSLAYSSISFLSASHVTPVSSVDCPNGLLFVAESCLHLVELVHGKRLNAQKFSIGGTPRKVLYHNESRTLLVLRTGLSGASSSSDIVQVDPQNGVLLSRYKCEPGETAKCMQIAKIGNDQVLIVGTNKSAGRPMMSNGEAESIKGRLIVLSLEAVESPRESSSFIPTSSFNPSSHSGSPFHEIVGYTTEEFSSNSLCSSPDEFCCNQIQAEQMAGQLRSLTHAILNGAVLAVCPYLDRYVLAAAGNMIYVFGFTNENPHRMKKCAVGRTRFTITCLKTFASRIAVGDCRDGVLFYSYNESHRKLELIYSDPAHRLVGDIALLNCETAVVSDRRGSISVLSCTRLEVSESPQKNLAVNCSFYMGETAMSIQKAAFRYRLPIDDDTDPVLETVYDCIVASTMLGSLFVMIPLTSEEHQLLQDVQERLSVHPLTAPVLGNDHAEFRQRGAPSVVPPILDGDMLVQFLELTGEQQQAILAHTLPGKGPHRPLSVFEVLRTLERVHYALN >Sspon.05G0033340-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:30780640:30780960:1 gene:Sspon.05G0033340-1C transcript:Sspon.05G0033340-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSRARPSAAPRGSRPWLSPPWRAACTRRRATPSTLSSASTRTTASRSWRSCSPPGGGARPWRRCHRRGSWPRTCTTLSCRRPSARTHTARSSTYAGEVMHGRAP >Sspon.07G0034780-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:80763609:80765360:1 gene:Sspon.07G0034780-1C transcript:Sspon.07G0034780-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDAEFLALLKHYAFSGAEIKDQLLRMKLEHTAKEIAKKFRQCPLAAKVLGSRLSRKKDITEWKAAQNFRDLSEPFTVLLWSFKKLDPYLQRCFLYCSLFPKGHRYKPDELVHLWVAEGFVGSCNSSRKTLEDVGMDYFNDMVFGSFFQLVSERYGSYYDSYYVMHDILHDLAESLSREDCFRLEDDNVTEIPCTVRHLSVHVESMQKHKQIIYKLHHLRTIICIDPLMDDASDLFDHMLRNQRKLRVLYLSFYNSSKLPESVGELKHLRYLNLIRTLVSELPRSLCTLYHLQLLWLNCMVASLPKKLCNLSKLRHLGAYACDDTDDFVGERPICQIPNIEQYESRENIMNINDLASKLASLWEVDSGSHIRSVLSEDCSSLKQFMTLMDDAWLLYRLRH >Sspon.03G0018020-3C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3C:76897725:76902111:1 gene:Sspon.03G0018020-3C transcript:Sspon.03G0018020-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVYIELDGAPVMGSPFPVFFSGSTATPSAAFPTALPAVSSAYPNMVNQTMPNMPNYTGAPSSAFPSLLGVMPSSSVGSPGGVILPGVGASLGEICRDYMSGKCTKLETDCKFMHPPQQLLMSVLAATTSVNSLGHAPLAPSAAAMAAAQAIMAAQALQAHAAQAKAAGSINKADEADALKRTVQISNLSPLLTVDYIKQLFGLCGKVVDCTTTDSKHIAYVEYSKPEEATAALEFNSKNVGGRPLNVEMAKSLPPKANNNLPMMMQQAVQLQQMQFQQALMMQQSIATQQAAARAATMKSATEAAAARAAEISRKLKAEGFGGDNVEGKDAKGKSRSPSPPTRRSKSRSRSPIKYRRSRRSRSYSPPVRRSREHRSRSPSRSRHSKYGSDRSHRDDKDRYSRSGRRESERPRDHHSSSSRRNRSKSRSPRYKKPSRVDSRSPKKQREESLSPSKSRSVRAGSRSPRHHRGSKSSPTRDRHSRHSRHSRSRSPDRKHRHSDKKDSRKSEIQDDKRRSHRGSRRDKDESSVKDEGERSHRSNRGDKDERSVKDPVEDKRVDTDAVAHKRSSTVSEDEILNNNRSNHKKSRQEVGLEVDEANDAGSAVADLNRKHETGVDGSLGGAAESAI >Sspon.03G0035050-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:75707084:75712081:-1 gene:Sspon.03G0035050-2C transcript:Sspon.03G0035050-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPYAAAKGGGADAGTGLEGFWISDATAWTRRVLTVDDAFAESMRRLGLGEDGEAGEEKLPERPGEADCAYYLRTGACGYGERCRYNHPRDRPAPVNGVGKTAGMVEYPERPGQPLCEYYAKNGTCKFGSNCKFDHPREGGFVPVTLNSSGFPLRLGEKECSYYMKTGHCKFGATCKFHHPELGFLTETPGMYPPVQPSPISSSHPYPHLANWQMGRPPVVPGSFLPGSYPPMMLPPTVMPMQGWNPYVSPMNQVTPAGGQQAVPAGASYGLSHQGPTSAVTYGSHYAQLYSSSGTSSSNIQEYAFPERPGQPECEHYMKTGTCKYGAVCKYHHPQYFSGPKSNCILSPLGLPLRPGSQPCAYYAHHGFCKFGPTCKFDHPMGTPNYSISASSLTDVPVAPYPHSFPVTPMPPYLPSSDLRPQYTLVKDSSANPPAPGTTYGPVGSISKVYAPHTLIRSPASAAAGMQAS >Sspon.03G0020930-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3B:86781132:86782151:1 gene:Sspon.03G0020930-2B transcript:Sspon.03G0020930-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGITAPHVVEDFVGVVQLLSDGSVVRADESVLTPPGATFPDVPGVQWRDVVYDPAHGLRVRLYRSPEAEAAPKGGRRLPVLVYFHGGGYCIGAYDQPGFHAFCQHVAAKVPAIVLSVQYRLAPEHRLPAAIDDAATFFSWLRSQAAPGAATDPWLAESADFCRTFVSGVSAGANLAHHVVVRIASGQIVPGPVRVAGYVLFSAFFGSDERVASESHPPAGVSLTVESLDTAWRMALPLGATRDHPLANPFGPDSPSLEPLPLPLPPALVVAPGRDVLYDHVLRYAARLKEMGKAVELAEFAGERHGFSVGQWSEATEELMRILKRFINHGAGAAAVLN >Sspon.04G0021920-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:5786692:5787225:1 gene:Sspon.04G0021920-2C transcript:Sspon.04G0021920-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDGGDWITARYLLSSILGRNPLVVDYVDEESFPVVDPSSPSSPSSSGAGSRRAEAPPPVRAPAGVAGTVCAVCTEEIAAADAVVRLPCAHWYHHGCIAPWLGIRATCPMCRAELPPSEPDDDEEAGGEGAGRAKPARTRGAAAAGTSAGASATATARARREAPHEYLAVAGGVLSG >Sspon.08G0020720-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8B:25436839:25437036:1 gene:Sspon.08G0020720-1B transcript:Sspon.08G0020720-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGTSGDSFRLAQAPMTLSKRPTPSAYFCLARGTCGTLGQDGEPWPPPFAAPAPADGTDMTVHSQ >Sspon.01G0062720-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:106886194:106889055:1 gene:Sspon.01G0062720-1D transcript:Sspon.01G0062720-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRRRRSTCSPWPPAPARIFRGDLLDGAALMDAARGCSGVFHLASPCIVDAVSDPQKQLIVPAVEGTLNVLRAAKEAGSVRRVVVTSSSSAIMPSPGWPAGEVRDERCWTGIDYCEKNGVSLSLLQAAVLPTYCSVSRYHGAMNDGTISLGNSVWYPVSKTLAEKAAWKFAEENGLDVVVINPIVENILLVCSLCRSLDEENTEAHPNSCTEEYKDIWMGAVHVEDVALAHLLVFENTSASGRHICAESINHLSDFAAKLTELYLSYKVPKFPKDTQPGLVRAEAAVASKKLIALGLQFRPLEKIIRDAVESLKSRGYIS >Sspon.01G0026230-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1A:91195674:91197150:-1 gene:Sspon.01G0026230-1A transcript:Sspon.01G0026230-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWCRRRSVWTRPCTGSDTHTQWRSPVTFSRASTTAFTSRSTRAHRLGSSGAAPVPSAAAVVTYSTPAARPLCVHACPNATWHPLSTSTDVMDDRSPARSCTVNSSAAQSDTSGPPGPTTLPRHVVNLGDGPLPGRWNGTSARWMNSGSDGSVSLRPIWSVTWN >Sspon.05G0002070-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:6648981:6652284:1 gene:Sspon.05G0002070-1A transcript:Sspon.05G0002070-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLSGVAKIPGGSLRCPAPSFSSSVPNARARRMLQVVFKVHRCQAVSRCRSICCRRTDLGVRRSACLTVSVHQSMMEAEQMGLWPRPPRDPWRWPWMAHAWMSTREKRGRLRQSGSQPNYTCPSGKAKTKRDRDSTLNRAGNANASANAPTEVARLAWRRRILATPRYPPHVFIFVCNCKCLLTGSQQLLGLHENQGATPTDPGPAFLSTRQKSPPAHAQGLRAGLSKPPTILSHGYTSQYPVVQAFRVSGFLQGRWPMAHHHGSHSLEVAAAGPELDDDGHAARTAPPRLASNESNSLWLNFFLSFFARRRHRWAAAGNIWTCFAHIITAMIGAGVLALSWSVAQLGWVGGPVAMLCFAFVTYLSAFLLSHCYRSPVSDGDDSQKRQRNYTYMDAVRTHLGEKRTWLCGLLQYLNLYGTAIAYTITTATCLRAHCGRAIMRANCYHSQGRDAPCGAGGDHLYMLLFGAAQVVLSFIPNFHNMAWLSVVAAVMSFTYSTIGLGLGLAKTIGLDVNILVRIYRTDQKMGRSKEATPAQKVWRVAQAIGDIAFAYPYTIVLLEIQVYITAMFTFQSGNVIAVLATTFFYLAVSCFGYAAFGNAAPGNLLTGFGFYEPYWLIDFANACIVLHLLGGYQMFSQQIFTFADRSFAARFPNSAFVNKSYAVKVPGVPASWSYRLNLQRVTTGLALLFPYFNEVLGVLGAIVFWPLAIYFPRGVRPWTRTWVALQAFSAVCFVVGTFAFVGSVEGVIRKRLG >Sspon.02G0039180-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2C:52378731:52378963:-1 gene:Sspon.02G0039180-2C transcript:Sspon.02G0039180-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYIIGQAFLDISTAVSVIAVLVSLMTKRLLQQLADNGAQSKNARPRPRQERPAGTGSARAPQDDAAAGGTLWALPR >Sspon.01G0008210-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:26505272:26505702:-1 gene:Sspon.01G0008210-2C transcript:Sspon.01G0008210-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSVTAVAALAAFSAPRSLPQPPAQPPSRQNVVSFFVRPARAHRRLVAVATSSPATPPDLANKVSESIKQAKETAECVAAWDEVEELSAAASHARDRQKGVDPLEEYCKDNPETDELDSAIAVA >Sspon.02G0028700-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:104464520:104466107:1 gene:Sspon.02G0028700-1A transcript:Sspon.02G0028700-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVALEAGGFLRALVLLLLYPAIRCCRLGGGGDLAVRTMAAVAFCGLRARTFRAGRAVLPRWLLEDVAAEALDAARRVGDDDPARVVWASAMPRVMVEPFLREYLQVPAAAAVAAREMKTAWGFYTGLMEGDEDGEAVSPVTRKRTTAVASARDGGDAVGFSASGSVEFLSSPPASICKEVYVVSAEEQSKWRRLARRDYPTPLVFHDGRLAILPTPLNTVAMFTWLPLGAALAVLRLAVALALPYRVATALLAATGQSWRLRGSLPPPPRNGSSGGQLYACDHRTLIDPVYVSIALDRPVRAVSYSLSRVSDLLSPIGATVRLARDRARDGAAMARLLARGDSVVVCPEGTTCREPYLLRFSPLFAELGGAAGVVPVALAVDTSMFYGNTASGWKAVDPFYYLSNPRACYTVQFLDRVDTADVVSGGKADSAVVANRVQRLIAEALGYECTMLTRKDKYLMLVGNDGVVAAPRRAANK >Sspon.07G0000920-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:1972116:1976064:-1 gene:Sspon.07G0000920-1A transcript:Sspon.07G0000920-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Jacalin-related lectin 3 [Source:Projected from Arabidopsis thaliana (AT1G19715) UniProtKB/Swiss-Prot;Acc:F4HQX1] MNSPASPMSLPSSQSRNSYGFTGGDTGPDMVLAVRDRGDSYAVYASNQPKQQYTNPSPDYNDGALWNKMVSFPSYYGDTGAAAMSSPQTYGPWGGSGGTIFDDGVYTGVWQINLTRAVGISSIKVLYDRNGQAVWGNKHGFSGGVIPDKIIFDFPSEVLTHITGFYDSAIIMGPTVVRSLTFHTNKRTYGPYGDEYGTYFSTSFTNGRIVGFHGREGWYIDGIGVHVQEGKLASQRFVSRPTTATSPSVHYNMLAQAPSNTYTDNEVAYGMVKEPVPIGPGPWGGEGGRAWDDGVYTGVKQIYIMRGAFIGSIQIEYDRSGHSIWSSRHGNSGHITHRVKLDFPHEVLTCVYGYYNTNREDGPRVLRSLTFITNRGKYGPFGDEYGAYFSSATTEGKVVGFHGRSGQHLDAIGVHMQHWLGDRRPAPKYVLSKYLF >Sspon.08G0019280-2D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8D:10664148:10664468:1 gene:Sspon.08G0019280-2D transcript:Sspon.08G0019280-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RCFLLHLRKAAPVAGGAPAHGRWSRARARWISRPRPVETRPAELPPVGGGVKRGRLVFVGKQRPGNLRFSFDLEDLLRARRISRSAARDEQEVAMKGRKALSAGFTW >Sspon.08G0002570-2T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:7504564:7505563:1 gene:Sspon.08G0002570-2T transcript:Sspon.08G0002570-2T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRRVSVLLSVLLAYSAAVAVAAAANFNQEFDITWGDGRGKILDNGQLLTLTLDRTSGSGFQSRHEYLFGKIDMQLKLVPGNSAGTVTAYYLSSQGNTHDEIDFEFLGNVSGEPYTLHTNVFTQGQGQREQQFRLWFDPTKDFHTYSILWNPKHVIFMVDEMPIRDFRNLESKGVAFPKNQPMRLYSSLWNADDWATQGGRVKTDWSHAPFSASYRGFRADACVAVAGGKTRCGAAAAVGTEGAGAAAGDWYNQELDLTLQQRMRWVQRKYMIYNYCTDPKRYSQGLPAECSMQ >Sspon.01G0014410-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:41058135:41060792:1 gene:Sspon.01G0014410-1P transcript:Sspon.01G0014410-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative dual specificity protein phosphatase DSP8 [Source:Projected from Arabidopsis thaliana (AT2G35680) UniProtKB/Swiss-Prot;Acc:Q9ZQP1] IEELPGYPGGGRGGEGGEDELLLPRREDGDAAEVESGVVMRVAVDAKRAAVGVGARMLFYPTLVYNVVRNRFEEHFHWWDQIDEVRLLGAVPFPSDVLRLKALGVCGVVTLNESYERLVPASLYEVSGIENLVLPTRDYLYAPSFVNLCEAADFIHSKNLLRIHIELLQDMKVTYKNMTPAEAYEHVRLRRPRVLLAPAQWQVS >Sspon.06G0005420-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:17018759:17032048:1 gene:Sspon.06G0005420-2C transcript:Sspon.06G0005420-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MIAAGAASMGRAATPAPGAQSSRLPRAVSRLRLVLRSSEAAARSGTERWMGCLRPAPSPATAAAVKEAKGKRPEVEVEPAHGGGEDVWSAGADAEVAQGGAFPEHLVIMVNGLVGSADDWKFAAEQFVRRMPDKVIVHRSKCNSATQTFDGVDLMGERLANEVLSVVEQRRGVKKISFVAHSLGGLVARYAIGRLYEHNSRTKSSGGRDDVEHLEGHIAGLEPMNFITFASPHLGSSGNKQLPFLCGLPFLERRASETAHLIVGRTGKHLFLTDNDDGRRPLLLRMVDDCDDLQFRSALRSFKRRVAYANANFDHMVGWRTSSIRRQHELPKHRLLVRDEKYPHIVYVEKEVTDNNGTEAHADLYDPEEEMIRGLTQVPWERVDVSFQKSSQRLIAHNTIQVKSYWLNSDGADVINHMMDNFIV >Sspon.03G0027770-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:6710065:6715337:1 gene:Sspon.03G0027770-1B transcript:Sspon.03G0027770-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDGEAQRDAITARMRGQDYAGARALLLRTLQTNPRLEGALEMLPVLEVLCCAAGSAAGGRGGVDWYRVLQVLPGDDAARIEARYKSIVAQVEPAMGALPGAELALKLVDEAYAVLSDPEKREGFDSSNVFARFIRSGVVDAPPPSGTVVRSNRVNNLHTKEIRGADGTSNAASHVNRAADRPCFGGRDPLLSNVASSSRTKRMDPCFRGDDGELQSPDDTHVDKRQKSVCEKDVYCLSPSQEDWDTCFDDPSPAMEEDLDACFDDPSGAKEDELCSSKQYEYHNFEDDRAIKNFAAGQVWAAYDWERFPRRYGLIVKVLTDKMQLHVSWFKPCPQTPEEKKWSHAGLPLVCGTFIAEEHRCTVGHLVKVDGHGSVFQRHFKSGTEHLFTPEAGTMFELEHSAVPENIHQENTSAYLHDDTNGFPETAVAQFSNPSSTSKMEAGSPMQAVMSYNTKWSPKDFLEGQIWAVFDSRDRMPSWNLTLCLMKRYSGLKMVYQLLLGTGIDKCEPRMVEILSDYSDENGVNVCSLARGSNGRFPFDKVDFKDEAL >Sspon.05G0017480-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:68981365:68986749:-1 gene:Sspon.05G0017480-4D transcript:Sspon.05G0017480-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADTVDGKPKDGGLCQITGIVDPEALNWRYQKHYQGRLSAIQPSRHPAKLHLLGIWRGSLPNMAGIEGALASGLLTVAGNKLGSLVSSEFASITGLKKDLSELQDIHTKIMSWLSVVRGRTIDHEASGRGVMKLRSLANEIYDLLDDVYIEDEKHKVNNNHDKPAITDNFSAKPELLLFRHKVAHKIDEIKVTFDTIVMENTLHNLQVDQPVQSRNKETSDQSLLSYVEDLKIPSRDHVKANIISKLVQPNKGECNHIVSIVGLGGSGKTTLAQHICHDDKIKEHFSNTIFWVHVSQEFCKDKLIGKLFEAVLWLVGKDIVKKCGGVPLAIKTLGSVLHEKRTINTWRAIRENNLWEEENIEGRVFASLTLSYIYLKDHLKECFTFCSIFPKGYKINKDYLIEQWIAHGFIKLKNEELAHDIGNEYFDALMKAGFLQSPVQTWPEKSVVCEMHDLIHDLTRYILQYEVMASLPMNITTHNWSQKCRYLSLMSCSEKVEGGLFDKVRAVYVSGGNPSFDNHEICYIRSVVLDYAVDTLFPQFILKLEHLGYLEIHNLRCTELPEAISGCWNLQSLHFINCKGFVMLPKSIGKLKKLRTLELNDITDLESLPESIGDCQDLQFLQLNYCGKLRDIPSSMGRLGNLRVLHILRCSCLQLPSEFNGELSNLQTVNLHGCWGLQDLPSTFACPMLRTLHLSETKVTVLPQWVTSIGTLEHIDLHNCKELVELPKGIANLKNLEVLNLVGCSKLQCMPSGFGQLTLLRHLALFAVGCGRDDARISELENLDMISGRMEITNLKYLKDPSEAEKAMLKQKNIWSLELTWSSNQTEEEIVSDVEQDQGVLNALEPPSQIENLKICGYRGSILPCWMTQLNDSSFCAGIVFKQASLCQFLSLTKMTLDEFANLKYIRGLQEFRSLKSLSLVKMANLEELWTTTSSSGIEGEESEAQYCFPVLSEVHITGCPKLNVKPYFPPSLVTLSFEESNEQLLSPGSLSHPLPPPADESSSSFNVHSAASCLRELRLRKMTGSSSNWEFLQSHTELETLHIECCNDLKELPDNIRNLTSLRALCIQGCQTLTMLPEWLGELRSLQFLFFFMTPMLDSLPESTKHLTSLTSLQICRWDEMKQLPDVIQHLTSLELLNLVLCDALTELPEWIGQLSALRTLKIQYCPGLECLPQSLQRLTALRELHIGGCPGLVSRYKQGVGPDWQLISHIPNIRMY >Sspon.01G0008190-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:22936029:22940292:-1 gene:Sspon.01G0008190-2C transcript:Sspon.01G0008190-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFTSQSLIFRAPASPPCARIAPSVGRVTLRDYRAAFPPVAAVSTSMASSESEDKREAKLWGGRFEEGVTDAVERFTESISYDWQLYKYDIMGSKAHASMLAGQGLITATDRDIILEGLDQIEKLIQEGKFEWRKDREDVHMNIEAALIERVGEPAKKLHTARSRNDQIVTDLRLWCRDAIDKILIRIKQLQVSLVILASKYVDLIVPGYTHLQRAQPVLLPHLLLSYVEQLERDAGRLVNCRERVNFCPLGACALAGTGLPIDRFQTAKDLKFTAPMKNSIDAVSDRDFVLEFLSANSIAAVHLSRIGEEWVLWASEEFGFLTPSDKVSTGSSIMPQKKNPDPMELVRGKSARVVGDLMTVLTLCKGLPQAYNRDLQEDKEPLFDSVKAVLGMLEVCTEFAQNISFNSKRIQSSLPAGYLDATTLADYLVKKGVPFRTSHEIVGRSVALCVYKQCQLAELELDDLKSVHPVFEGDVYEYLGVENAVNKFISYGSTGSEQVKKQLEDWRIQLGISS >Sspon.01G0032990-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:111905350:111906997:-1 gene:Sspon.01G0032990-1P transcript:Sspon.01G0032990-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AMMLHSVNTLADFLDQWNSVVLDVATFAVLFPGPRSNPKPYLPAPEPTLAPQPEPGPNAAPEPRWEREREPSPFPPEPAPAPQPESAPAPEPVPNPDRERKDGGGDASAADLEHRCQQMNCRGLRRFVTAHVRDGGGEWLRQVGPGALRRAPDPAALVLRAVGRYYIRAESPDVEAACTLLLELYVRAGCPRLRWGQGRDAELLLLRQEAREVALTWRSRLLRVSGAVGDAGGAAGARGLAFFMAAFGVPVEFPAQELCDLVDAADVSACVEVLKASKLFVRKMPDVVIEMINKALYLQAMHIILAFEFQEAFPLAPTLALIIEKLEHDTKDDSEGQAQERDEEDLALLSSISKCMEDHKLSPSEFTSFTAKIALLEERVGKPKQACTGVKRKRAEECVE >Sspon.06G0009810-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:40549157:40550280:1 gene:Sspon.06G0009810-4D transcript:Sspon.06G0009810-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPATCLLLVSFLALVTSQAIASDPSPLQDFCVADIHSPVKVNGFVCKDPMAVNADDFFKAANLDKPRDTNKVGSNVTLINVMQLPGLNTLGISLARIDYAPLGQNPPHTHPRATEILTVLEGTLYVGFVTSNTDNGNKLFAKVLNKGDVFVFPQGLIHFQFNPIHDKPAVAIAALSSQNPGAITIANAVFGSKPPISDDVLAKAFQVQKGTIDWLQAQFWENNHY >Sspon.05G0014230-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5A:51590041:51590929:-1 gene:Sspon.05G0014230-1A transcript:Sspon.05G0014230-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSKAFLLAILVCACLCSSVLAARELSDAAMAERHERWMVEYGRVYKDAAEKARHFEVFKDNVAFVESFPIRTTSCCWAFSAVAAMEGIVKLSTGNLISLSEQELVDCDTHSMDEGCEGGWMDSAFEFVIKNGGLATESSYPYKAVDGKCKGGSKSAATIKGHEDVPVNNEAALMKAVANQPCICRRRCE >Sspon.01G0018740-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1A:69615143:69617157:-1 gene:Sspon.01G0018740-1A transcript:Sspon.01G0018740-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVARRVLPMVVVAVMVAASHGGAVEFAYNGFGGAGLSLDGTATVTSAGLLQLTNDTNMSKGHAFHPDPVKFHRPAAAAVSSFSTTFVFAIVSEFLDLSTSGFAFLVAPTTDLSTAMPQQYLGMFNGTDNGDARNHVFAVELDTVRNPEFADINNNHVGVDVNSLNSSAAAPAGYFDDGGGDGAFRNLSLISREPMQVWVDYDAATAEVTVAMAPARQPRPRRPLISTKINLSTVITDTAYVGFSSASSVVLVKHYVLGWSFALDGAAPALDYDKLPTLPRIGPKPRSKALTIALPIATTVSVLAAVAVAFLLLRRRRRYAELREDWEVEFGPHRFAYKDLYDATGGFREKRLLGAGGFGRVYRGVLPASGTEVAVKKVSHESRQGMKEFVAEVASIGRLRHRNLVPLLGYCRREGELLLVYDYMPNGSLDKHLHCHGGDNKPVLDWAQRVHIVRGVAAGLLYMHEEWEKVVIHRDIKASNVLIDGEMNGRLGDFGLARLYDHGTDPQTTHVVGTMGYLAPELVRTGKATTLSDVFAFGAFLLEVACGRRPIEEEEQDAAAAGSIAGAVDARLGLEYDATEADLVLRLGLACLHPSPPARPTMRQVTQYLDGSAPLPELPATYLTLDTLAGMEGHPSPFESWFIWRPTSTAATS >Sspon.06G0016530-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:89343707:89348410:-1 gene:Sspon.06G0016530-1P transcript:Sspon.06G0016530-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSRTSWADVADAEPAPPPPVTAPAPAPASNGPARSSYVPPHLRNRPASSAAAPASSAPPPRSTAGLLSRPGAASFGASSGGGGGGFGGGRPRGGRSWDREPDPFADSDPAPTSLSAPSDTPFEEHQNTGINFDAYEDIPVETSGRDVPPAVSTFAEIDLGEALNDNIRRCKYVRPTPVQRHAIPISLAGRDLMACAQTGSGKTAAFCFPIISGIMRGPPTGRPQRGGGMGMRTAYPSALILSPTRELSMQIHEEARKFSYQTGVRVVVAYGGAPITQQLRELERGVDILVATPGRLVDLLERARVSLQSIRYLALDEADRMLDMGFEPQVRRIVEQMDMPLPGVRQTMLFSATFPKEIQKMASDFLDNYIFLAVGRVGSSTDLIAQRVEFVQEADKRSHLMDLLHAQRDTGKQTLTLVFVETKRGADSLESWLCMNGFPATSIHGDRNQQEREYALRSFKSGQTPILVATDVAARGLDIPHVAHVVNFDLPNDIDDYVHRIGRTGRAGKSGLATAFFNDNNSSLARSLADLMQESNQEVPAWLLRYAARPSYGGGGGRNRRSGGGSRFGGRDFRSDSSSFGKGGSRGGGDYYGGGSSGGYGGGSSYGGGGYGGGAGAPSAWD >Sspon.07G0033650-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7C:62634218:62634720:1 gene:Sspon.07G0033650-1C transcript:Sspon.07G0033650-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding EQEKPQRALKHSTPATTTSFADRRYACPPMKAATKHTFKVTNGASKNLAPEHDQEENDKLGASASQHVVTELIYQYNYADVGEIAANPKRSKMNHVHMVHSSKVYNSKISIPMPSDISGVRNQWPGKTKCEDATEVTKEDHGATLKMAGDMATTLMMRKRRMEIDL >Sspon.02G0004420-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:13874439:13875267:-1 gene:Sspon.02G0004420-1A transcript:Sspon.02G0004420-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAIDEFSAVWCAGTAPGERPRARRRRRRAARCGGGELDGGGDPKKRRLSDEQVEMLELSFREERKLETGRKVHLAAELGLDPKQVAVWFQNRRARHKSKLLEEEFAKLKQAHDAAILHKCHLENEVMRLEQRLVLAEEELTRFRSAGSHAVSGDGGDVMGRAVCSGSPSSSFSTGTCQQPGVDVGGGDHLGDDDQLFYVPDYAYADNSVAEWFSLYGL >Sspon.01G0006850-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1C:24490643:24495927:1 gene:Sspon.01G0006850-2C transcript:Sspon.01G0006850-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYSPQNTWPSLPKPSHSTVPRRRTVGVRDAGPPGPGIWNLGSRTNLNPSSATDSIPSRRATLVTLTQPKPSRAPEPCSDPTPPRLLLDPSTASMGSSEADEDQLLKSFLAEVSEAERDNEVLRYLLVRSTSFDLVAGLGLELSAARRWAAIAGPLHARTPCSGRPLERPGAGQQAGNCTPAGPVVGTRQGIPCQLVGRRMCQLLPDAGNSARQPDFPILTHPKFIRILGCFKLNPFEHLKLSFDSSPDEVKKQYRKLSLLVHPDKCKHPQAQEAFAEELRAKRKKELKKDSASKIKSLVDEGKYEEQFERSDEFQKQLIIKISEEEGRLKKDEEETKEMWKRKREHEEKWEETRDQRVSSWRDFMKTGKKGRKGEIKPPKLKTEDPNKSYVQRPVKRN >Sspon.04G0014190-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:57488050:57501098:1 gene:Sspon.04G0014190-2D transcript:Sspon.04G0014190-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAADWHLMAGVVKWKPASYEQMERTRVAPERLSLRSNSAKFHDTGHLGHFGKDDNLICRSLKSISRPKARQYVIVKVHNKDVDEGCTSKDDEIISGPFQRKEGNQLRALESYFSKLYPTQQLYSLPQKKHKSGPSSSNEVDVIIADEDANFKNRVGSLQVQIERGNTGIKSYQSLLDMHTDDQASGFCLTNLLAAINIAVLLFEIASPVKNSENEYLSLPLLYGAKINNLILSGEWWRLLTPMCLPDNYIFQNVNEHA >Sspon.07G0005220-3P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:8856650:8857497:-1 gene:Sspon.07G0005220-3P transcript:Sspon.07G0005220-3P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPKLIALFFAFAMAAAALQPSEAARVQAVQQAFKPAAAGQDAAEKVADQAAAGGVARPSTPPPAGIPAGLPPNFLATILGLLFPGLGGIIGLLQPLIPLLPPPGSSPPLQGGAGNLGASLTSFSPPPPQPQPEECMTPLAGMLPCTDYLTNITVLTPPGECCDGLKSVIRDAPICLCHGMNGDMNQFLPKPVDPIRMLILPLACGTVLPLHTLFACNCAADNASYASRAAGDNSFSVTVDGFVEFN >Sspon.01G0006370-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:18449471:18462275:-1 gene:Sspon.01G0006370-1P transcript:Sspon.01G0006370-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCVPPVVWHVTMQNVTRLCTTRAIPTVNGKFPGPKIVTREGDRVVVKVVNNVKDNVTIHWHGVRQLRTGWSDGPAYVTQCPIQTGQSFVYNFTITGQRGTLFWHAHVSWMRATLYGPIVILPKRGVPYPFPVKPYKEVPIIFGACGTVAVACSLHVGASTVVDTALGAAGEWFNADPEAIIAQALQTGAGPNVSDAFTINGLPGPLYNCSSKDTFKLKVQPGKWYLLRLINAALNDELFFSIANHTLTVVDVDAAYVKPFHTDIVLITPGQTTNVLLRAEPDAGCPAATHLMLARPYGTGQPGTFDNTTVAAVLEYAPAAGHIKSLPLFRPWLPALNDTAFAANYTARLRSLATPEYPASVPRAVDRSFFFAVGLGTNPCPANQTCQGPTNRTMFTASMNNVSFTMPTTALLQAHYDSLAGVYTTDFPVAPREPFNYTGTTPNNTNVSSGTKVVVLEYNTSVEVVLQGTSILGAESHPLHLHGFDFFVVGQGFGNYDSSKDPANFNLVDPVQRNTVGVPSAGWVAIRFFADNPGVWFMHCHLEVHTSWGLKMAWVVNDGPLPEQKLMPPPPDLPKSLPQSSHGTTRYYTFNVTMQNVTRLCTTRAIPTVNGKFPGPKIVTREGDRVVVKVVNNVKDNVTIHWHGVRQLRTGWSDGPAYVTQCPIQTGQSFVYNFTITGQRGTLFWHAHVSWMRATLYGPIVILPKRGVPYPFPVKPYKEVPIIFGACGTVAVACSLHVGASTVVDTALGAAGEWFNADPEAIIAQALQTGAGPNVSDAFTINGLPGPLYNCSSKDTFKLKVQPGKWYLLRLINAALNDELFFSIANHTLTVVDVDAAYVKPFHTDIVLITPGQTTNVLLRAEPDAGCPAATHLMLARPYGTGQPGTFDNTTVAAVLEYAPAAGHIKSLPLFRPWLPALNDTAFAANYTARLRSLATPEYPASVPRAVDRSFFFAVGLGTNPCPANQTCQGPTNRTMFTASMNNVSFTMPTTALLQAHYDSLAGVYTTDFPVAPREPFNYTGTTPNNTNVSSGTKVVVLEYNTSVEVVLQGTSILGAESHPLHLHGFDFFVVGQGFGNYDSSKDPANFNLVDPVQRNTVGVPSAGWVAIRFFADNPGVWFMHCHLEVHTSWGLKMAWVVNDGPLPEQKLMPPPPDLP >Sspon.05G0008000-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:19128239:19135772:-1 gene:Sspon.05G0008000-2B transcript:Sspon.05G0008000-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AAMAPGAGDSAAAAAAAASFRVGMVRVVSFLVGGLNLAVLLFGLYLIDAVLPSGCRGMLAFAAAPAMAGVRVLAMIGAARAQHATADAIAKRHLHEGDASVAADAVARHEAKVVRHIGREETEKMIKYELNEQVRYKRWLWWTRFGMAVGALQLVAATYLMFGQDEADQVSGRALIALFLILSWVVVIVQCFTGSDILRWRSFYATHDMAWKAHYREVFDHGIREALCCLGRAKFRTVLEEDEVYSVARLLGDLVAYRASGTGHLELCIGLALLQKHGNLPESQTDLMEASHELMQEAAFLHPFAEACMQGPLLDVGRNPILFPCAWVYRQGVLTPWARCRRPALDGDNWWRGHAAAFLRFVNIPPKALLRGRVMQSKREAAYFVVVLHDKRTVVIGVRGTETPEDLITDGLCRECAFTMEDLDGLCSIVCNDEFSSRLSINSILRLRSAAISALSDNSPADTAMIQKLARRILHVNRYHDNGPDDGIIQGYADHTRITGTAVPNERQISHQVPLCNTEPDLQNTQNGFVGYNGSNASIDEHLSCEGINSGHDVQIIPLDGADSGFEEHPTSYREIPVEPPEMFLAGLIVHIVRQRRSIFPLWKCWSIQETEPPYKAVLAKRENFRDIAVTPSMFTDHL >Sspon.04G0018530-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:75890162:75912875:1 gene:Sspon.04G0018530-4D transcript:Sspon.04G0018530-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQSPASSGVAPAPVPAPAAAVASTGAAPCEGERKAPAINADLWYACAGPLVSLPPVGSLVVYFPQGHSEQVAASMQKDIDAHVPSYPNLPSKLICLLHSVTLHADPDTDEVYAQMTLQPVNTFGKEALQLSELALKHARPQMEFFCKTLTASDTSTHGGFSVPRRAAEKILPPLDFSMQPPAQELQARDIHDNVWTFRHIFRGQPKRHLLTTGWSLFVGGKRLFTGDSVIFVRDERQQLLLGIRRASRQPTNISSSVLSSDSMHIGVLAAAAHAAANNSPFTIFYNPRRKALYSNQISLGMRFRMMFETEELGMRRLLSHTIDGRFGLYLIHRCSVGWDESAAGERRNRVSMWEIEPIAAPFFICPQPFFGVKRPRQIDDESSEMENLFKRAMPWLGEEICIKDAQTQNTTMPGLSLVQWMNMNRQQSSTLANTGIQSEYLRSLSNPTMQNLGAAELARQLYVQNHLLQQNSVQLNASKLPQQMQPINELAKGSLSCNQPDAITNHQELKQEVGNQQRQQQPLNQAIPLSQAQANLVQAQVIIQTQMQQQQQQQPSPTRCQQGTSEQQLLLSQQHQDQNFQLQQQQPLLLQQLQRQQQQNQQQLNKLPGQLVNLAGQHAQLSDQELQLQLLQKLQQQSLISQPAVTLSRLPLIQEQQKLLLDMQQLSSSHSLAQQRIVPQQDSKVSLQASQAPPPMKQEQQKLSQKQVALADVSDIAFPPISSTNVLSKAGSQLMIPGATQSVLTEEIPSCSTSPSTANNGNHLAHPTIGRNEHCKVNMVKVPQSSALMSIPTSGEAVTTPIMMKESSKSNHNQKENVITSKSPTVGTGHDNLLNIVPSTDNLETASSATSLWPTQTDGLLHQGFPISNFNQQQMFKDALPDVEIQEVDPTNNAFFGINNDGPLGFPMETEGLLVSALNPVKCQPNLSTDIENNYRIQKDPQQEISTSMVSQSFGQSDIAFNSIDSVINDGAMLNRNSWPPPPPPQRMRTFTKVYKRGAVGRSIDIGRFSGYEELKHALARMFGIEGQLEDRQRIGWKLVYKDHEDDILLLGDDPWEEFVNCVKCIRILSPQEVQQMSLDGDLGNNVLSNQACSSSDGGNAWKPRCDQNPGERRNRVSMWEIEPIAAPFFICPQPFFGVKRPRQIDDESSEMENLFKRAMPWLGEEICIKDAQTQNTTMPGLSLVQWMNMNRQQSSTLANTGIQSEYLRSLSNPTMQNLGAAELARQLYVQNHLLQQNSVQLNASKLPQQMQPINELAKGSLSCNQPDAITNHQELKQEVGNQQRQQQPLNQAIPLSQAQANLVQAQVIIQTQMQQQQQQQPSPTRCQQGTSEQQLLLSQQHQDQNFQLQQQQPLLLQQLQRQQQQNQQQLNKLPGQLVNLAGQHAQLSDQELQLQLLQKLQQQSLISQPAVTLSRLPLIQEQQKLLLDMQQLSSSHSLAQQRIVPQQDSKVSLQASQAPPPMKQEQQKLSQKQVALADVSDIAFPPISSTNVLSKAGSQLMIPGATQSVLTEEIPSCSTSPSTANNGNHLAHPTIGRNEHCKVNMVKVPQSSALMSIPTSGEAVTTPIMMKESSKSNHNQKENVITSKSPTVGTGHDNLLNIVPSTDNLETASSATSLWPTQTDGLLHQGFPISNFNQQQMFKDALPDVEIQEVDPTNNAFFGINNDGPLGFPMETEGLLVSALNPVKCQPNLSTDIENNYRIQKDPQQEISTSMVSQSFGQSDIAFNSIDSVINDGAMLNRNSWPPPPPPQRMRTFTKVYKRGAVGRSIDIGRFSGYEELKHALARMFGIEGQLEDRQRIGWKLVYKDHEDDILLLGDDPWEEFVNCVKCIRILSPQEVQQMSLDGDLGNNVLSNQACSSSDGGNAWKPRCDQNPGKKNEAYLELWRVEMSDRGKFTNGQP >Sspon.07G0026460-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7B:53699696:53704138:-1 gene:Sspon.07G0026460-1B transcript:Sspon.07G0026460-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding IDHQDRENLSLLRFEALWEAEFSQDSLLVFSTGRTPISYKGLRKDKPLITPDITIMSVGTVIAYGEEMIRDVGWEEYLNNNWDRTIVVEETAGFPQLKPQACPPERNQGPHKVSFFVDKQGAQEVMDYLPQKLEKRGLDVKIVYSSGEALDVLPQGAGKGQALMYLLNKLNSYEKPPKNTLVCGDSGNDAELFSVPSVHGVMVCNAQEELLQWYEENARDNPKIIHATERCAAGIMQAIGHFKLGPNVSARDLEFPYLKADIAKPADVVVKFYVLYEKWRRGDLPNSSSVMQYLKSITHLNGTIIHPSGSERSLHASIDALSSCYGDKQGNKFRVWVDRLVTSPIGTSNWLPETPEGLELIHIHKTWVEAHSAGSEHTFILESNSRNTQHSLPAILARASMEKLDASACLMIVSDLDQTMVDHDDPEDLSLLRFEALWEAEFSHDSLLIFSTGRSPISYNDLRKNKPLITPDITIMSVGTVIAYGADMVRDADCDWEEHLSSNWDRDIVVEEAAKFPQLKPEAGFYLVACIVMFAFCFVLDAELNPLYGHSQRRIRVPISYGVLIDVVPQGAGKGHALQYLLNKFTSQGRAPSNILVCGDSGNDAELFSVPSVHGVMVSNAQEELLQWRKENAMYNPKIIHSTKRCAAGIMQAIGHFKLGPNVSARDLELPHPKLGIIKPADVVVKFYIIYEKWRRGELQKSSSVIQYLKSIATIQVGVCRR >Sspon.03G0027670-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:6538760:6542600:1 gene:Sspon.03G0027670-1B transcript:Sspon.03G0027670-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRLPYVTALTTLFSYGLLFAFGQLRDFFRRILDARKPSNLKGYAPICLGLEDFYTRRLYLRIQDCFGRPIASAPDAWFDVVERYSNDCNKTLHRTTATTKCLNLGSYNYLGFAAADEYCTPRVIESLKKYSASTCSVRVDGGNTKLHTELEELVARFVGKPAAILFGMGYVTNSAIIPALVGKGGLIISDSLNHNSIVNGARGSGATVRVFQHNNPAHLEEVLREQIAGGQPRTHRPWKKIIVIVEGIYSMEGELCKLPEVISVCKKYKYWSYWKNREGVCELLGVDPADVDIMMGTFTKSFGSCGGYIAASNEIIQHLKLTCPAHIYATSMSPPAVQQVISAIKVILGEDGTNRGAKKLAQIRENSNFFRSELQKMGFEVLGDNDSPVMPIMLYNPAKIPAFSREEDLIKGLEVISKVGDLVGIKYFPVEQEKTTAVDKLKKIQ >Sspon.03G0014270-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:44787427:44788785:-1 gene:Sspon.03G0014270-1A transcript:Sspon.03G0014270-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VMMHQTCWRENLSGVDGMGMGLFMHHKALGRIFELRCMHVPLHDRTPFADLVTMVEDAVRKEHATSPNKPIYLLGNSFGGCLALAVAACNPHINLILVLVNPDNEVDMAVSSMLNGKHPLAALSRLTNNMTSFLKHQELTELITKRANGHVL >Sspon.01G0014670-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:22445411:22449722:1 gene:Sspon.01G0014670-3C transcript:Sspon.01G0014670-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPGPRLPPLLLLLLLAASCSCGLTGAGEGGSCEFSVERGGELYSFALAAPTPAHRHGVLSEDGFYKVTVNDSILWFQLCDEMLFNFDPPMCLNCEDCGGPLRCGTQCSALVSNYIRGYDVCTTIGSLSKSHISLVDESNPQKGIIVKMFSSKCSISVSVLCDSTAAQVPDKFVISGLCDYVGTKKIAMVQFSTAATTLKHPSGCARSVSASGSGWGWLSTSFMTILCLLGGYILIGAVYRYYFLGIHSVEAIPNLEFWIGLPQRIKTIFVPATRSH >Sspon.07G0022560-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7C:6303811:6304514:-1 gene:Sspon.07G0022560-2C transcript:Sspon.07G0022560-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDEGWLCPACDCKIDCIDLINDLQGSDLSIEDSWEKVFPEAAAMANGSKQDDAFDLPSDDSDDNDFDPNMPEEHVVSKEEGSSEDEEDEDGGSDSDDSDFLTCSDDSEPLMDKKKVDDLGLPSEDSEDDDYDPAGPDSDKDVEKKSSSDESDFSSDSDDFCKEIAKSGGHDEVSSPPLPDAKVVIWKRALLRLRQQVLLMTLWRLK >Sspon.05G0017610-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:69778430:69813721:-1 gene:Sspon.05G0017610-3D transcript:Sspon.05G0017610-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein translocase subunit SecA [Source:Projected from Arabidopsis thaliana (AT1G21650) UniProtKB/TrEMBL;Acc:F4HY36] MAAASAGGSLSTASASLVPTPTPAPGPRLLRRRSTKPTTRASPTPRPKKPPPLSCAAAATPTPAPAPAAASKSAGSWRDLCSLNAWVVRDYRRLVDSVGALEPALRRLSDEQLKAKTAEFRGRLTRGETLADVQAEAFAVVREAARRTLGMRHFDVQIIGGAVLNDGCIAEMKTGEGKTLVSTLAAYLNALTGEGVHVVTVNDYLAQRDAEWMGRVHRFLGLTVGLVQAGMKSDERRASYRCDITYTNNSELGFDYLRDNLSRNKEQLVMRWPRPFHFAIVDEVDSVLIDEGRNPLLISGEDNRDAARYPVAAKVAELLMEGVHYTIELKGNNIDLTEEGVAHAEIILGTDDLWDENDPWARFVMNALKAKVFYRRDVQYIVRDGKAIIINELTGRVEPKRRWSDGIHQAVEAKEGLQIQADSVIVAQITYQSLFKLYPKLSGMTGTAKTEEKEFLKMFKMPVIEVPTNLPNIRVDLPIQAFATARGKWQYVRAEVESMFQLGRPVLVGTTSYLKFANIPHNVLNARPKYAAREAEIIAQAGRKHAITISTNMAGRGTDIILGGNPKMLAKEIVEDNILPFLTHEPPDIDMEGESTSHKGLSNIELGPSSVGLLAKAAIMCKYSTKYIHKSERNEWSLSKAKSTIAESIEIGQMIGMEKLQARLTEESEMYPLCDAIGLAYLSVLRDCEIHCSAEGAAVKRLGGLHVVGTSLHESRRIDNQTNLSEYFQIIILQDEIFQKFNLDTEWAVRLISRITNDEDIAIESNVVVKQLLGLQINAEKYYFGIRKSLVEFDEVLELSESPDNITCLRCQGTKAVFSKAAHPLQELGFDYLRDNLSRNKEQLVMRWPRPFHFAIVDEVDSVLIDEGRNPLLISGEDNRDAARYPVAAKVAELLMEGVHYTIELKGNNIDLTEEGVAHAEIILGTDDLWDENDPWARFVMNALKAKVFYRRDVQYIVRDGKAIIINELTGRVEPKRRWSDGIHQAVEAKEGLQIQADSVIVAQITYQSLFKLYPKLSGMTGTAKTEEKEFLKMFKMPVIEVPTNLPNIRVDLPIQAFATARGKWQYVRAEVESMFQLGRPVLVGTTSVESSEYLSELLKVRNIPHNVLNARPKYAAREAEIIAQAGRKHAITISTNMAGRGTDIILGGNPKMLAKEIVEDNILPFLTHEPPDIDMEGESTSHKGLSNIELGPSSVAKYIHKSERNEWSLSKAKSTIAESIEIGQMIGMEKLQARLTEESEMYPLCDAIGLAYLRIAKFIVPEGAAVKRLGGLHVVGTSLHESRRIDNQKFNLDTEWAVRLISRITNDEDIAIESNVVVKQLLGLQINAEKYYFGIRKSLVEFDEVLEVQRKHVYNLRQVILSGDSESCSEQIFQYMQAVADEIVLLNIDPQKPPKAWNLVKLLDEFVSLGGKLLSEAFKDIQEQNLQSALEEMQGWGPVKADNFALPNMPMPPDSLRGIRKKTSSIMRWFAICVDDTSMKGRYTNTANLLRKYFGDFLIATYLNAVQESRYDDGYISGIEREVLLKTLDTLWKDHLVNVRSFGHRNPLEEYKIDGCRFFISMLSATRRLTVESLLHYWSSPMESEEIFNTEEQ >Sspon.01G0046320-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:96951162:96957217:1 gene:Sspon.01G0046320-1B transcript:Sspon.01G0046320-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMSSSVPTAAAAVMMVLLSAVATTTVTGQALVPGVMIFGDSVVDAGNNNRLATLVRANFPPYGRDFPATHAPTGRFCNGKLATDYTVESLGLSSYPPAYLSEEAQSNNKSLLHGANFASGAAGYLDATAALYGAISLSRQAEYFREYQSRVAASAGERRARELTSGSIYVVSAGTSDYVQNYYVNPMLSAAYTPDQFADALMPPFTSFVEGLYGLGARRIGVTSLPPMGCLPASVTLFGGGNTGCVERLNNDSLTFNRKLGVAADAVKRRHSDLKLVVFDIYQPLLDLVNNPTNAGFFESRRACCGTGTIETSVLCHQGAPGTCTNATGYVFWDGFHPTDAANKVLADALLLQGLQLIA >Sspon.06G0020980-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:11767242:11768118:1 gene:Sspon.06G0020980-1B transcript:Sspon.06G0020980-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVSFSRVRALPTWSSSVSGDDHYSYSSVLAMSVSARPRSGARPLRSPARMMGNVNEGKGLFAPLVVVVRNIVGRKRFNQLRGKAIALHSQVINEFCKAIGADNKQRQGLIRLAKKNGEKLGFLA >Sspon.06G0010170-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:47541539:47544413:1 gene:Sspon.06G0010170-2C transcript:Sspon.06G0010170-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGGGGGGGAGVGGCLGLGHGGEAQIKGTHTHGGRYVQYNVYGNLFEVSAKYVPPIRPVGRGAFGIICAAVNAQTREEVAIKKIGNAFDNQIDAKRTLREIKLLRHMKHENVISIKDIIRPPRRENFNDVYIVCELMDTDLHHLLRSNQALTDDHCQYFVYQLLRGLKYVHSANVLHRDLRPSNLLLNAKCDLKIGDFGLARTTTETDFMMEYVVTRWYRAPELLLNCSEYTQAIDMWSVGCIFGEMVTREPLFPGKDYVHQLLLITELVGSPDDTSLGFLRSDHARRYVRSLPQHPKQQFRVRFPTMSSGAMDLLERMLVFDPSKRITVDEALCHPYLASLHEINDEPVCPAPFSFDFEQPSLTEEDIKELIWRESLKFNPDPIH >Sspon.07G0027800-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7B:62314987:62321318:-1 gene:Sspon.07G0027800-1B transcript:Sspon.07G0027800-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLIYTVSLDATRRRGFIIQQFPDGLRFTGTFPGWMNIHEDGDYALTFNDAQTYLQLNSSGFIRFAKQGECNSVLWSAPDRLCNFDSDCGPYGLCTTSGSCGCPFGFDPPSTTAGCSRRIPLNCGNVEVTFHPRDVHAYPQSALKLEAANLSECQSSCSRNCTCTAFAYNTSCLLWFWELRNTVVLDSGPNGNRMYIRIATNQQSGSRTAPLFSFRKRVVLGSTIGVIAVFAISLILLLRCRRKLFKATTTGGNGSLMVFSSVQIRKSTKTFSEKLGEGGFGCVFKGVLPDCTVVAVKKLKCLRQEDKQFRAEVQTIGMIQHVNIVRLLGFCAKDSGRFLVYEYMPNGSLSNQLFSRSRSSCKLSWEQRYSIALGIARGLAYLHEECKDCIVHCDMKPDNQGTDDNARDHRIPCARVDLRATNYTQGCVYSYGMMLLEIVSGRRNSEKIKEGVFTYFPTYAAVKVSEGDVMCLSDSRLEGSANAEQLERACRVACWCIQDAEDHRPMMGQVVHMLEGVMGVDVPPVPRLHLAVAPVSSVLSLRYKKCDTSIEIGSLEVVDLPYSPLLVVESVIVSSLPMYHNYTRWASTGLGTRPSAGSVGGGSLGWAQPCLFGPIRRIPPVGRACDSQFLAAAGRRRGGCGWCRRHCRSQTALTWPTPLTRRPTTRGRGERRKKSCRLVPCPLLLPSLAFFLPHLSSCVQLKQQQKRNLPSPPLLHLPHPNSINHHRRIEAAMASGIEYDYLFKLLLIGDSSVGKSCLLLRFAKIRTVDLDGKTVKLQIIVYDVTDMESFNNIKQWLSEIDRYASDNVCKLLVGNKCDLVDSKVVDTEKAKAFADSLGIPFIETSAKESFNVEEAFLTMSSEIKKRMATQPTVERRPTVHVHMKGQPIQQKSSCCSS >Sspon.01G0028090-3D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:93810951:93812766:1 gene:Sspon.01G0028090-3D transcript:Sspon.01G0028090-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQPVSVAPPAGTPTPALPAAHLHFLQQHQSYQRFQLMHQMKIQNEMMKRSNLGDQGGSLGGGSGGGGKGVNLKFDSSNCTASSSRSFLSSLSMEGSLVSLDGNRASRPFQLVSGSQTSSTPELGLVHRRRKLRIRRSIKVPAISNKVADIPSDEFSWRKLQILTSTLPCRGYYKCSSVRGCPARKHVERCVDDPSMLIVTYEG >Sspon.04G0003390-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:10406947:10410855:-1 gene:Sspon.04G0003390-1A transcript:Sspon.04G0003390-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:tRNA (carboxymethyluridine(34)-5-O)-methyltransferase [Source:Projected from Arabidopsis thaliana (AT1G36310) UniProtKB/Swiss-Prot;Acc:Q94A09] WHRACMILVFSRTTARSLHKAIPASHSHSSIFWIKEFFSCKPTFQHLSTNNLMSPNDLLEAPDMVTAEGNHSCSSSVQSTPEIEKKYVHHVYDAIAPHFSATRFAKWPKVAGFLNSLRPGSIVLDAGCGNGKYLGFNPDCLFIGCDISPPLIEICAGRGHEVLVADAVNLPYRDDFGDAAISIAVLHHLSTDDRRRKAIEELIRVVRRGGLVLITVWAREQEDKSLLNKWTPLCEKYNEEWVEQSSPPVRSQSGTLLESIAETDEDTGVMKQTDDRLKKCHDGVEDKIIDCSNSKTDENEKNQQEYFVPWHLPFHRAEIGAASAALENGFAKKDEKKGTVVYNRYYHVFVDLLNVSSFDSEYLISLTVLIS >Sspon.08G0020360-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:22823464:22826517:1 gene:Sspon.08G0020360-2C transcript:Sspon.08G0020360-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAALGTLVFDFVGTHMYESKQHSADAEEAAAVAGDASANASGHDVTVALLEHGALVGSVASGIGRDGALMGSVGSGIGGGHNDPMHIVGMRAHAAAHRHSYAHGIGPCDDGHNGHDEEPSQARHVVVSQILELGIVSHSVIIGLSLGVSQNPCTIKPLVAALSFHQFFEGFALGGCISEAQFKSFSALLMAFFFAITTPTGITVGAGIASFYNPNSPRALVVEGILDSISAGILIYMALVDLIAADFLSKRMSCNLRLQVGSYIALFLGAMAMSSLAIWA >Sspon.07G0014790-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:59043821:59046494:-1 gene:Sspon.07G0014790-3C transcript:Sspon.07G0014790-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASRRGAQKKKKLLANPLHPPRRSPDPSLRLASAARQGARLLPPLPHLSSVPMGFLKSTFSLLIGTGCGIYIAQNYEVPNIKKLMWTLMGKAKEFEESYKKQGNGKNKDNE >Sspon.07G0005580-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:14268662:14277635:-1 gene:Sspon.07G0005580-1A transcript:Sspon.07G0005580-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHASRNLEYREAYSYTIWASGSSENRIGGGKAMRQSKLGAVAARPWLLLICLALARAATATETGGFISIDCGFPGTTSYVDDTTTLSYAPDAAFTDDAGENYNISAAHVTPELARIYRDVRSFVDGARNCYTLRSLSVGLKYLLRASFMYGNYDGLSRPPVFDLYIGVNLWKTVNVSDPPDARVVAEAIVVVPDDFVQVCLVNTGSGTPFISGLELRPLKSSIYPQVNATQGLVLLARRNFGPTDSTDIVRYPDDPYDRVWIPTIDATDWAVISTTETVQNEYKDLFEAPSKVMQTAITPRDAANSINLHWDSKLQSKGPSLGYIPVFYFSDVLQDRGSRQFNIYINNKPWYKDYTPKYLYSECVFGTNPYSDQTQYIVSIVKTATSTLPPIINAIEVFTVISTTNVGTDSEDVMPTIQTFAPTGFMSNGERKEQERGLGWRFKRVIGRGGFGYVYEGFLEDGTQVAVKMRSQSSNQGAKEFLAEAQILTRIHHKNLVSMFGYCKDGVYMGLVYEYMSEGSLQENIAGLEYLHKGCNPPLIHRDVKTTNILLNAKLEAKVADFGLSKALDRDIYTHASTNRLVGTPGYVDPEYHATMQPTTKSDVYSFGVVLLELVTGRLPILHSPQPTSVIQWTRHHLARGDIEGVVDTTMGGDHDVNSVWKATEIALQCTEQASAQRPTMTDVVAQLLECLDLEKGCTGGDANKSFFSNGDSGVMATGPATR >Sspon.04G0036180-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:24652802:24654265:-1 gene:Sspon.04G0036180-1D transcript:Sspon.04G0036180-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding QMDQNILTGNIPTTFSNLSSLRELNFSHNFLFGPMPAFLNNVELLAKVDLSYNNFKREIPRNSLFNNATIVSLNGNPGLCGGAIDFHMPSCQVVSRRVGTINNLFKTLIPIFGFMSLIMLTYVTFHWKKKTSKRPYLLLLSFGKQFPKVSYNDLVQATGNFCESNLIGRGNYDSVYKGKITLAKMQVAIKVFDLGMRCADRSFISECEVLRRIRHRNLLPILTACSTIDNNGNDFKALIYVFMQNGNLDTWLHHKPSSVALTEYGQSVHASTCGDVYSFGIVLLEMVIGKRPTDPMFGNELNIVSFVESNFRDKILGVIDAHLQEECKCFIQTVPEAEQEIYRCLLSVVQVALS >Sspon.05G0022680-2D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:5D:7990042:7990410:1 gene:Sspon.05G0022680-2D transcript:Sspon.05G0022680-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVEKEDPEQVRAAQILVNLRYRKLRRWPEWVPMQPSGEASSSPPGTVAAGVPLRRRPERVSMQSGVASFSLAGTVAAGVPERWPKAKRSGSRGETSKELTEQGPAAAAAKGSGAPRSSEQE >Sspon.07G0008460-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7A:23108528:23110819:1 gene:Sspon.07G0008460-1A transcript:Sspon.07G0008460-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTEKMHSPDSRSTTSAGLAFSKDSQTLAPGLPENSLLPSFASAYEQARRRRSFSASFPVLAAAGSSTHHCGFVVALVVEWSGGRDLSACPNVWFGRPHLHPVLYCDAHNSLSPAPILLRRRRPSGRSSRAYVQRTQLPRPTPILLRSSSDCHDKMEGMGGRGEGEVRCARKMKHLKQKSKTSETLIMSPPATTYLLGNCNSLQARVRGDINNPASTQAQAQASPSSRRREVDGGAGACLPRHRRRPPCLTFHDVRGGWGRMQRRRAGEGYDKLAHAACDEEPHAREGSIAFFLKRTDERRWIRRSAGKRRPDRRTPASYHYRY >Sspon.07G0016860-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:60526900:60539293:-1 gene:Sspon.07G0016860-1A transcript:Sspon.07G0016860-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDLMVSRLVHSSSPSPSPSRSTAAAPAPPLPNHHHHLLNRNHAVDGLLAANGPEPRNGLEAAAEVEKPAPVAYLPQVVVLCEQRHEPDGIDEAAAAAAAPSTSGLVSKWRPKDRMKTGCVALVLCLNISVDPPDVIKISPCARMECWIDPFSMAPPKALDSIGKTLHSQYERWQPKARYKLQLDPTVEEVKKLCNTCRKYARSERVLFHYNGHGVPKPTSNGEIWVFNKSYTQYIPLPITDLESWLKTPSIYVFDCSAAGIIVKAFLERLDWSSSSSASAQKDCILLAACEAHQSLPQSAEFPADVFTACLTTPIKMALHWFCKRSLLRGSLDLSLIDQIPGRQNDRKTLLGELNWIFTAITDTIAWNVLPHDLFQRLFRQDLLVASLFRNFLLAERIMRSASCSPVSYPLLPPTHQHHMWDAWDMAAEICLSKLPELIADPNAEFQPSPFFTEQLTAFEVWLDHGSEDKKPPEQLPIVLQALSVGIFPYVLKLLQTSAMELRQILVFIWTKILALDKSCQVDLVKDGGHAYFVRFLDSLDAYPEQRAMAAFVLAVIVDGHRTGQEACMNAGLIDVCLRHLQPENPHDSHTEPLLLQWLCLCLGKLWEDFPDVQLLSLQTNTPEIVICLLSEPQPEVRAAAVFALGTLLDITSNGADDDSDDDEKVKAEINVVRSLLQISSDASPLVRSEVAIALTRFAMGHNKHLKSVAAEYWKPQSNSLLKSLPSLANISNPSNVYSPNNILQGSIGPVLRVGSDSSAAGRDGRIYTSSPIATSSIMHGSPQSDDSSQHSDSGILLRENASNGGLGYTRSRPVDNVIYSQFISTMCSFAKDPYPRIAAIGRSALSLIGVEQVVMKNTRFNSGGAHRGETSASNFGMARSSSWLDMNSGSSLIKFRTPPVSPPQHDYLPGLRRVCSMEFGQHPVNSPDGLADPLLSSTAAPSNAELSVLPQSTIYNWSCGHFSRPLLTGSDDNEEANARREERERVALDYIAKCQRSSCKMTSQIASWDTRFELGTKAALLLPFSPIVVAADENEQIRVWNYDDALPVNSYQNHNLSDRGLSKLLLINELDESLLLAASNDGNVRVWKNFAQKGGQKLVTAFSSVQGHRAAGRSIVMTGSSNLYSSGDMSSILVWDLDKEQLLSTIQSSGDSAISSLSASQVCSGHFAAGFADGSVRIYDVRSPDRVVYMARPHAPRTEKVVGIGFQPGFDPYKIVSASQAGDIQFLDVRRAAEPYLTIEAHRGSLTALAVHRHAPVVASGSAKQMIKVFSLEGEQLTIIRYQPSFMGQRIGSVNCLSFHPYKSLLAAGAGDNALVSIYAEENYNFEEARMRGNSALEAAMGSVYRS >Sspon.07G0013500-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:48135078:48137135:1 gene:Sspon.07G0013500-2D transcript:Sspon.07G0013500-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA replication complex GINS protein SLD5 [Source:Projected from Arabidopsis thaliana (AT5G49010) UniProtKB/TrEMBL;Acc:A0A178UAX9] MSSWDDDDSAAAAEAAATDVELLKRAWRNEKAAPEILRFDSPLVSRVREQIQLLEETLDDFADSGVDDLVVSLYQMDLDRTLFLLRSYLRLRLQKIEKYTMHISRSDDLLIRLSQQERRFAKSCAEIMEKHLEQSVLSKLPYGYDSVTRQSLSSTEDDMVPEPQLDTFVFCKTKSDVGAFQLDDIGEEVVDLVADDLYVLRYKSIKGLVEGGRIDLI >Sspon.02G0032950-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:3277345:3278510:-1 gene:Sspon.02G0032950-1B transcript:Sspon.02G0032950-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPNMSALGKQHQAAAAIAPKRAYVTFLAGDGDYWKGVVGLAKGLRRVRAAYPLVVAVLPDVPEEHRRKLRDQGCVVREIEPVYPPESQTQFAMAYYVINYSKLRIWEFVEYDRMVYLDADIQVYENIDHLFELEKGKFHAVMDCFCEKTWSHTPQYKIGYCQQCPERVAWPEQELGPPPPLYFNAGMFVHEPSLRTAKDLLDALVVTPPTPFAEQDFLNLFFRDVYSPIPPVYNLVLAVLWRHPENVVLDEVKVVHYCAAGSKPWRYTGKEPNMDREDIKALVAKWWDIFDDESLDYQARLPLRQALAEAGAVKYFPAPCANGWWLRRSSTTALKEALN >Sspon.06G0033140-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6D:9419995:9421749:1 gene:Sspon.06G0033140-1D transcript:Sspon.06G0033140-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWASSLAVAVKETGVDGPGFRVDRLSLQASAELDEVRQLMNQTQLTDAEDARRGAFCQPNGKFDSGGLYRLLKSRDGTPVPAAAFVWDSCAQQRVQLFTWLLVQGRIQSRSNLLRNKIMGNASICEVCNQEEETAKHVQKAARLDRIQGPSRTRPARRSASTALQLRLIRGTPTGTPRPRPVSYNKAPRLRSDSHEARSATGKTPTRMTPGRSV >Sspon.05G0000170-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:993858:1001499:-1 gene:Sspon.05G0000170-1A transcript:Sspon.05G0000170-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNMTRSDSPVSRRIVLSFLDFLNSVELAPGVDPEALEVAKDCLESIFSVNSSASSEAIQPILLLELFASLEANERDRARAALLSQSVSNKPSQNAGTSNVEEDSNKCTTSNSNSQMEDTFDLDHSGDEIFAKFYAALDEINFFKTSPAGTEDPGLLSKATQYFNDALLAMQKSGTKKASLVDLAESFKSRGNEFMRSNQHLKAVELYTCAIALNKKNAIYYCNRAAAYTLLNMNNEAIEDCLKSIEIDPSYSKAYSRLGSAYFAMGNYHDALYKGYLKAADLDPSNENVRQNIEVTKKKLAEQVPPGEQNTHARQAQGPHPIFTSGGVPFNLFPPGQEFFAGWSSDISAPPPGHSISINLNDIFGQENVNASGQGPSQTGNSNSHTPPASFPTGAAVPPPFAFSGSGNEGTQARQASYGHGGEHGEPGVRRDDGIHINVAGPEQAAEALRAVMQMLGPQRGPHEGAPRDRYCNKYI >Sspon.04G0016990-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:65766200:65767520:1 gene:Sspon.04G0016990-2B transcript:Sspon.04G0016990-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPPRGEGDRCSMDPPRGKAPMPPVEKDHHVARSASSLHGSTTGSPVHHGSATGGAHHAAIVETPTLLDLHLPPMDPLGGGWCSMDPPRGEPLPPSTPVHEGSDETRREQRSLREKGEPGERKGGRGRRGGESHGAACGRMVGRARRGKKTKDGEGEASEWGGEETSEWSDHPGAHAIPGNATPRDPPRSARRASWATGSRAGTRRFRERPPRHPRSLRCPPLLRLLRPQLIPMGGGSRALSLSSLCATTLAAAKPPQHPFPFAPAHRALPHRLAAAMSSSSSPTPAAPAASGDAGAPAPAPSASSAIDFLTLCYRLKVSAGAPI >Sspon.04G0016950-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:14294479:14296229:-1 gene:Sspon.04G0016950-3C transcript:Sspon.04G0016950-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAEFNNPTPAPKKGRTENASPTFVSSGDPCLDFFFHVVPGTPAASAASLLADAWAAEPNTALRLACNLRGVRGTGKSDREGFYAAALWMHGCHPTTLALNAGPVAEFGYLKDLPEILHRIIHGGVSTRTPGKKARLAALGGFVVRSRDGSRRRFVHNRQESRPRRKGNAPRGAETREARIAAANERDRKLSADAAEEARGAADAAARAVDRYARDPKYRLLHDCTADLFAKLLAEDLQKLADGKLSDISLAAKWCPSLDSCYDRSTLLCEAIARRLFPKGSASDLPDDLEDAYYAYRVRERLHKALVPLRRALKLPEIFISAKAWGDVVYKRVASVAMKNYKDLFVKRDKERFESYLADVKSGKAKIAAGALLPHEILASIDDEGVADLQWQRMVTDLRALGKLNNCIAVCDVSGSMDGLPMDVCVSLGLLVSELSSEPWHHRVITFSSRPQLHQIPDGTLMEKTNFIRRMDWNMNTDLQAVFDKLLHIAVAGKLTPEQMVKRVFVFSDMEFDIASSRPWETDYEAITRKFTEAGYGAVVPEVVFWNLRDSNSVPVTALQKGGGAGERLLQEHGEVVP >Sspon.04G0008260-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:24134997:24142600:1 gene:Sspon.04G0008260-3C transcript:Sspon.04G0008260-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPDSIRVVFSIVRKVGQEEAINSASLLHQSGLQVPAKCSRSFPNEHLSTGADDNSSSRKSNATSLKMLLEKEMSKEAESKRRPPSVIARLMGLEEDLPTKEPIVHHTVSDLSRDLNATNNTLHGKERHQFIMLKTQNDQSYHEKIEYNDVYEVSEGQSGTGYFHDQTSQKGWSSENKSKQFDIAQDNIMEPEHFAVEEKLLCTKELPEALVFPCSNRDLFLEFPEEHNPTCSRQSSGLHANRARPRTKRITVLKPIKSVDVNDIRQSRAEIGKKNVLKMRRFHQIPSSKEEIPSQPSRIVLLRPTPGKPGISKAKLTSRATSFQLTNRNSLSGSLDDNQASIGSAGLAHGIMQRRQDGCHHRDDSLLSSAYSNGYGGDESSFSYSEVDYTSGSEIKYIEDGDTLSDTEGGSPLSKHSWNYIRRYEGQCSSSSFSKISHFSESSVITEAKKQLSERWSMVTCNETSQVQLSRRKCTLGEMLSIREAKKDEATTGMFSVSSNQSCGLENELSTRSTNVTTSRKNDDNGERSSRKLPRSKSVPVISSTSDNMVVNVQASNPENCKLKVAVVSNKGKSAFKGRVSDFFLSKSKKPRRQMSTYHPSDCFAERLEGCIFHSRQDCNHEMDAIEKAMHCEDRSTSTSDRTTSGASVSLDCSSRNLDKQGVNKGLNSNRDQPSPTSVLDAPSEDSSCNEPETPGTTTSKNAISRSSTIETVARFLSWDDTASESKSPCNPRPSSLLPDVDDDESECHVLVQNIMSSAGLGTSQSCMVFTGWHLPDHPLDPVLCNKVSELQEKSSYRRLLFDCVNVALIEIGENTLLCAFPWSKRHSRTWRGTSSPDLGVEVWSILKDWIYGARMFVVSKRDNAGMILDRIVKQEVEARGWVKLMMAQVVDITEELEGGVMEELLEETFVISDEISIVTGKNFQGAAGNIKQAKSEVSMPMEETGPDGWGNRRRQRCSGLLGGCRRGNENNSSW >Sspon.01G0053490-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:37590297:37592954:-1 gene:Sspon.01G0053490-1C transcript:Sspon.01G0053490-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRPYLHSLLLMAASASSSAAAAGGDSGLLFAARRRLPVAAASTAAAGGHRIRLLHSSAGRVPRRPEVACCPDGVTNISQGNTDRESETDQEVKAKKTANKVYRKVSEEDLNLEPLCEEVSKSTFDLMEALDAKVVAPIMQKLDPVAGQVDDLSKKVDAIVEKLDAAAGQVDDLSKKVDAMVEKLDAAAGQVDDLSKKGDAKAEKLDAVAGHVDDLSKKVDAMAEKLNAPTADNCQNLLSNYYSAM >Sspon.07G0021610-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:4188841:4192068:1 gene:Sspon.07G0021610-1P transcript:Sspon.07G0021610-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MELGLALRFVAPPPLLPPCLSHRALALPPDFISPCVLQGRRVRASRLKHGAGAVCNAIMAYSGVEEDEMVEEEVEEEEEEAEPAVSTRPRLELIEKPDRSLALLDEYESEELGTSLCANHRSGYVAVLGKPNVGKSTLINQMVGQKLSIVTDKPQTTRHRILGICSEPEYQIILYDTPGVIKKEMHKLDSMMMKNVRSAIGSADCVLVVADACKAPEKIDEMLEEGVGNKDIGLPVLLVLNKKDLIKPGEIAKKLEWYQKFTNVDDVIPISAKFGNGVDDIKEWILSKLPLGPAYYPKDIASEHPERFFVGEIVREKIFVQYRQEIPYSCQVNVVSYKSRPSAKDFIQVEILVEKESQRSIILGKDGKAIKMLATASRLDIEDFLQKKVYLEIEVKVKENWRQDERLLKRYGYGGEIQAL >Sspon.03G0026950-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3B:4262662:4263006:-1 gene:Sspon.03G0026950-1B transcript:Sspon.03G0026950-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDENEEGGARIREETEEVGGGEKELTSCPAAAAREREREREREREAAGARAEAEETGGDGVWLGALLEEDVAPFRMAHAPGSTAIGLRLLPFGARGPRMYYRDGMGHFSYWASY >Sspon.02G0046630-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2D:1510995:1512609:-1 gene:Sspon.02G0046630-2D transcript:Sspon.02G0046630-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELPLHYSYYLLLILIPLLAAIPLLGLYRSSRRRRSGAAPLPPPSPGALPVIGHLHHLALAGALPHRAMQDLARRLGPLMLLRLGELRVVVASSADAAREVMRTHDLAFATRPVSHTARALLGDDSLGLVFAPYGDGWRQLRRICTTELLSARRVQSFRAVREDEVRRLLRFMAAAPAPVDLSEMVSAYVADASVRAIIGSKFRDRDTFLRLLERRLRNVPAQSLPDLFPSSRLATLVSPMLRLLKRERQDMMAFIDTIIQEHQDSRGAASTDNDEDLLDVLLRIQREDELDPPLTTDNIKAVIIDIFGGSSETSATTLQWTMAELMRNPRVMRKAQGEVRRVLTGQETITEDSLSGLCYLPLVIKEALRLHPPAPLLIPRECRTPCRVLGFDVTAGAMVLVNAWAIGRDPRHWDAPEEFSPERFEGDDAVDFKGTDFEFIPFGAGRRMCPGIAFGLANMDLALASLLYHFDWALPDGVEPGELDMTESPGITTRRLSHLLLVPTIRVPLQE >Sspon.07G0022570-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:9208650:9209207:-1 gene:Sspon.07G0022570-1B transcript:Sspon.07G0022570-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEELEFQEADVLWPWPDTPPPSPEEEEGSHDYDLPAAAARELYEYDTAIAFSCEPFSEPAASWSASSTNSTLSALPSSDWSDGGGGFFLSGPSTVSAAGLELDATEEFLEAEVLWPDTDADDEAEDDEFLWRRRRSRRIEEAAASAAAAVFCGKREGCSRPLVASSPIDIPMATRGAAASRRRRP >Sspon.06G0013240-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:57250949:57253962:1 gene:Sspon.06G0013240-2B transcript:Sspon.06G0013240-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Adenylate kinase 2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G47840) UniProtKB/Swiss-Prot;Acc:Q9FIJ7] MASSMAATATATALSPSTATAQRPSAQGRLVFPAAPARSHSLRLRAAGRRSPRATKVVVAALADPLKVMISGAPASGKGTQCELIKTKFGLVHISAGDLLRAEIAAGSDNGKAAKEFMEKGQLVPDEIVVNVGHVFFWVFHLYMHSKLLLVLIKKNGLGFCQMVKDRLLQPDAQENGWLLDGYPRSYSQAMALETLEIRPDIFILLDVPDELLVERVVGRRLDPETGKIYHLKYSPPENEEIASRLTQRFDDTEEKVKLRLQTYYQNIDSLLSTYEDIIVKVQGNVAVPDVFAEIEKLLSSSLEKKTEMVSSS >Sspon.03G0030680-1P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3B:22927308:22932665:-1 gene:Sspon.03G0030680-1P transcript:Sspon.03G0030680-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRREPPPSPSFFHSFPSLPTPSWAAVVGAGLPPAAAGHQASHHPPPLGSQPPHLQAPLGTRPPHLQAPLLGPFSPGAATFPAPGAATFPAPGAATFPAPGAAAFPAPGAATFPQPAVGHPLAGTPVPSAPPSAAALTAPAVEGAAGGSGSSSLTAPADEGVVALSERPADAVHLPGAGTDTGNHLPAGAPTFPASTPPLLSQIYAGSQPYDGRDTSSLAAALVAARAAAAEGQARVRAAALVWEHEREAADALARQIAEAERLLSPASPAGAHSSASPGRRASHTAVLWHDPADPLVAQLHYQAGGVQNIRLLVPVVLEPESPSYARWRDLVVLTLRRYALDDHVLLDASAAVQTPSWLRLDSIVLSWILGTISLDLHDLVRTSPDARRAWLALEGQFLGNAEARALRLDASFRTFVQGDLSVAEFCRRMKGMADSLGDLGWPVEDRILVLNVLRGLSDRYAHLRTWITRQRPFPTFLEVRDDLVMEELTQGLQPVSTPAGASASSTALAATSPRPPTPPRTTAPPPSSLLGPPPSGPSGGGGGRGGRRRRGGGRGGGRSGHTQAQAPGRISMWPYHATGAESRPPVAFLTGAPPAGTPPGAFPAAAWTPPGAAGWDTTALARSFSTMGLTPPVGPEWIADSGATYHTTPDPGILSSLHSPSSSLPSSIMVANGSCLPVTSVGTAGAHGSFRLPDVLVAPSMVHNLLSIRRFTADNSCSVEFDSSGLTVKDLASRRPLLRCDSSGPLYTLRFPAAHSSSSPSLLSAAFAASPSSTTWHRRLGHPGRDALIQLSRSSGLPCTRAHDEQLCHACQLGRHVRLPFSSSSSHAARIFDLVHCDLWTSPVISISGYKYYLVVVDDFSHYSWTFPLRAKSDTFTTLLHFFTWVSTQFGLTIKAVQCDNGREFDNSTSRAFFLSHGVQLRMSCPYTSSQNGKAERMIRTTNDTVRTLLFQASLPARFWAEGLHTSTYLLNRLPSAACPAPTPHHALFGTPPRYDHLRVFGCACYPNTAATSSHKLDPRSTLCVFLGYSPDHKGYRCFDLASRRVLISRHVVFDEYRFPRSAPATGPGSPVSPGPSSSGAAPSPSVGADSGPSSPASAPGGSCRLPTPDPVSAPAPAPPSRFAAPVRVYQRRPRPPPIAVPSPPGTPTPPPLSPPARGPSPVYHPPLLHRHPRHVHPMVTRHAAGTLPPRVLVASTDDAVVSPVPSSVRDALLDPHWRRAMEEEYAALLANQTWELVPRPPGANVVTGKWIWTHKRRADGSLERYKARWVLRGFTQRPGVDYDETFSPVVKPATVRTVLSLALARSWPIHQLDVKNAFLHGLLTETVYCSQPAGFVDTTRPDMVCRLNRSLYGLKQAPRAWNHRFATFLMTLGFVEAKSDTSLFVYHHGTDTAYLLLYVDDIVLTASSEPLLRRIIAALQQEFAMKDLGELHHFLGVTVEHRPAGLLLHQQQYTRDILERAGMSDCKPCSTPVDTQGKLSETEGPPVADPTAYRSLAGALQYLTFTRPDITYAVQQVCLHMHDPREPHLTALKRLLRYLRGTLDYGLLLHRASSTDLVVYTDADWAGCPDTRRSTSGYAVFLGGNLVSWSSKRQPVVSRSSAEAEYRAVANGVAEAAWLRQLLAELHTPPSRSTLIYCDNVSAVYLSTNPIQHQRTKHVEIDLHFVRDRVAMGEVRVLHVPTTSQFADIFTKGLPSSTFAEFRSSLNITGG >Sspon.03G0047070-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:51946639:51950149:1 gene:Sspon.03G0047070-1D transcript:Sspon.03G0047070-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SMTSTQPDPTTEATTHAAERSHRPVPVPVPYRTGPAPHTSSVHLPRRRRLTGRAEQRSIQPQWTPPAIMSQEYFIIYPSSLPCPALHVGVELSQRAQAPDRDQDGELLGAVGVLVGLKIVQLRLNGLCCKG >Sspon.01G0038490-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1B:30521971:30523099:-1 gene:Sspon.01G0038490-1B transcript:Sspon.01G0038490-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MERQRSSSSSSSSYRLFSPKCLLLLSFGSSSLLFSFLFALFVVRHGRPLHLPFAMPNASTAAFARSPPLGSGGGSMGGAVALAPEAGYAVRGRGSGDSVADGARHAVSGDLSARGVGSPMEVEEAVTGGGNGGAPATGKVLEVQEIAEAGKNSVGASDFATVAKELNGVGGDDEKLGKFSVRKENLAEGKDLSRQHVGSETKTQLNAGNASASQEVAASTVKSEGGESLRTVNLSMEASGTAMEVRGESVQDDHVVVGDKHNSSVQAAYASQQGEQLESSDHSAGNNNSGAAPANQNKQDPNLIEEAVTIKMDSTRSNTIHCDVYDGSWVFDETYPLYTSDSCPFIDEGFSCGANGRMDQRYMKWRWQPKHCNIPR >Sspon.07G0008800-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:22720469:22725789:-1 gene:Sspon.07G0008800-2B transcript:Sspon.07G0008800-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKPQKSWAASLPLLLVRRCSRSAASPSPAGDRRLSFLPVCKRRMSTLAQPRFAPLPTEQIESQTDAVAAGYQFRLVSYNILAQVYVKSSFFPHSPSACLKWKSRSKAILTELKSFDADIMCIQELDEYDTFYKKNMENSGYSSIYIQRSGDKRDGCGIFYKPKSAELVQKEGIHYNDLVEKYVPSDHVNTALENNSSTEKNTKPDNSKRGDPNDPRVRLKRDCVGLLAAFKLSDPCDHILIVANTHIYWQVKQKIYSLWNMLSQSTLYWLTSVQQMDPEWIDVKLGQAKYLLSRVSQFEQLISNKYNCKPSVIIAGDFNSTPGDKVYNYLVSANLESTDETPIKLRSLYAANGGEPEYTNYTPGFTGTLDYIFLSDGSSIKPTSLLCLPRGDSEDVRGGLPNFQHPSDHLPIGADFLAMIT >Sspon.05G0011940-3D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:5D:36745838:36746203:-1 gene:Sspon.05G0011940-3D transcript:Sspon.05G0011940-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQSQVTRSHHKDRLLASHGQLIDPAKMREYIPLEAGAGGGGRFSPDTVTAMVARQPLVEPARHLRGYGERRWLGGWGKQQGWQVVSKYQHEGGCFTAVYRSPEPSRQTPVSLKLLLSAGH >Sspon.05G0016800-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:64865441:64871560:-1 gene:Sspon.05G0016800-2D transcript:Sspon.05G0016800-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVESKQGSKGDAMAAAAAVSVDWRGRPCDPLKHGGMKAAVFVLGIQAFEMMAIAAVGNNLITYVFGEMHFPLSEAANIVTNFIGTVFLLSLLGGFLSDSYLGSFWTMLIFGFVELSGFILLAVQAHLPQLRPPPCDMMAAAAAGQACEQASGVKAGIFFAALYLVALGSGCLKPNIIAHGADQFRRGGGGGDARRLSSYFNAAYFSFCVGELVALTVLVWVQTRSGMDVGFGVSAAAMAIGLLSLVAGVAFYRNKPPQGSIFTPIAKVFVAAVSKRKQVCPSTASVQAVAGVTVREMSVNTTDICHINKFRFLDKACVNSPDGPGKESPWRLCTPAEVEQVKLLLCVVPIFACTIVFNTILAQLQTFSVQQGSAMDTRLAASGFHIPPASLQAIPYIMLVALVPAYEAAFVPAMRRLTGVATGITPLQRIGVGLFAVTFSMVAAALVEARRRHAVAAGGRLLSIFWIAPQFLVFGLSEMFTAVGLIEFFYKQSLAGMQAFLTSMTYCSYSFGFYLSSVLVSLVNRITSSSAAGNGGWLANNDLNKDRLDLFYWLLAALSILNFFNYLFWARWYSKSVETVQVVGVGSGGGEQQDDEKDTPEC >Sspon.01G0002520-3C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1C:7102187:7103783:1 gene:Sspon.01G0002520-3C transcript:Sspon.01G0002520-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRSAANSIVQLLVKSARKAAGGARSRRAFGTKGIGINAAANVLPRLRILAVLGVVAWTFFLYVHFTVISNTVEVSDGDGLAADPCRGRYIYMHDLPPRFNADIIRDCRKTEDHWGDMCGFVSNAGLGRPLADDDDGVVTGEAGWYGTHQFALDAIFHNRMKQYECLTNHSAVASAVFVPFYAGFDFSRYHWGYDNATRDAASVDLIEWLMARPQWRRKWGHDHFLVAGRIGWDFRRSSNVNPDWGTDLLAMPGGQNMTVLVLESTLKYTSDFSVPYPTYFHPRSDADVLRWQDRVRGQNRTWLMAFVGAPRPDVPMSIRIRDHVIAQCKASSACAMLGCARTLGSPQCHSPANIMRLFQKATFCLQPPGDSPTRRSVFDSMVAGCIPVFFHTGTAYEQYPWHLPEDGHLKYSVFIPDADVRRRNVSIEAVLRKIPPATVERMREMREEVIRLIPALLYADPRSKLETLKDAVDVAVDGILDTVAGTKNPPSLFDAIVS >Sspon.08G0005810-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8B:14965400:14968585:-1 gene:Sspon.08G0005810-2B transcript:Sspon.08G0005810-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVATARELINVIINPTALPILLLVAGLTLLYVLRRRGSGSGGLRLPPSPFALPVLGHLHLLAPLPHQALHRLAARHGPLLYLRLGSVPAIAACSPDAAREVLKTHEAAFLDRPKLTAVHRLTYGGQDFSFSPYGPYWRFMKRACVHELLAGRTLDRLRHVRREEVSRLLGSLSRSAADGAPVDVDAVLMGVTGDVISRMVMSRRWTGDDSAAEEMRSVVTETAEITGMFNLQDYIGLFKHWDVQGLGKRIDAVHRKFDAMMERILTARDAERRRRLKEAADGAGHGDKKDLLDMLFDMHEDEAAEIQLTRDNIKAFMLDIFAAGTDTTAITLEWALSELINNPAVLRRAQAELDAVVGASRLADESDIPRLPYLQAIAKETLRLHPTGPMVVRRSMEPCKVSGYDVPAGATVFVNVWAIGRHPASWAPDPLAFRPERFLEEEQGGGDSAGLDVRGQHFHLLPFGSGRRICPGASLAMLVVQAALAAMLQCFEWTPVGGAPVDMEEGPGLTLPRKRPLVCTVKARLHPLP >Sspon.03G0025170-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:87787774:87790018:1 gene:Sspon.03G0025170-2B transcript:Sspon.03G0025170-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding YHLPSTPPPSPAAVLVSNGAISPHAPPSAAAFLDSTPGAYTTARGSLYWWPRHLRRLAESATLLARSHPHLLGLPLPLPRSRALDLQSLVNPSVRVAIREMRTRPPMTKDEHLALTALVRGAGADSISDSGDGLDVFVHVGMYSPPVFGESGARLAVAGRGRDAAAAKYAPWARIRKSMEKMRPPGVTELLLTNDEDHILEGAVTNFFVEERERNGQFSNQTMATKFEVQTAPLSDGVLPGIIRQIVIEVCHDIGIPVREMSPSWSSNELWKEAFVTSSLRLIQHVESVQVPLFWEDIQSKTWSDVPWAVKKFQGAGCITTQIQVSSSSQDSENLLYCKLVTS >Sspon.05G0029850-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:83960063:83961115:-1 gene:Sspon.05G0029850-1B transcript:Sspon.05G0029850-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding HGAHRDGLVRHRRRSVPGTQVHRQPPRRPPLHHQPRQSQQRRQDPVHAGVAGVRQQEVRDAVVPAAADAGAAAEAGGLPAAQQLGALPRVQQGRLRVPRELHLPVLLRRPVLDHVEAAHVRLHRRVAGVQGAAGGHRVLPGRLRPHPGLRQHQADAVRQLHRLQARGQRV >Sspon.06G0005210-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:16551464:16551883:-1 gene:Sspon.06G0005210-3C transcript:Sspon.06G0005210-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding NGEGRAGQAAVHDQEVALVQPHRPHATGQRRRRRHHRLQRRRARRRRVVVPRRGRGAQGPAPGVRRQVAAPVPHRRGARGPPAVPDPRRPHRRRHRGSSGGYRYRRRLRSRPLRAPAVDAGERGPAAGVPRRARRLLRVL >Sspon.04G0011690-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:36332096:36353524:1 gene:Sspon.04G0011690-1A transcript:Sspon.04G0011690-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLWSPGHRRIQGNGQMRSDDNLVPYLSPPPHHRSKPQPPSAPPLETPAPFGATATVGVEAMDDVCEGKDFSFPQQEERVLELWAKLDAFHEQLRRTEGGEEFIFYDGPPFATGLPHYGHILAGTIKDVVTRHQSMRGRHVSRRFGWDCHGLPVEFEIDKALGITNRQQVFDLGIGKYNETCRSIVTKYVSEWEAMVTRTGRWIDFKNDYKTMDINFMESVWWVFAQLWEKDLVYKGFKVMPYSTGCKTALSNFEAALDYRAIPDPAVMVSFPIVGDADNAALVAWTTTPWTLPSNLALCVNANLMYAKVKDKSNGAVYVIAESRLGQLPVKAKASGKKQAPSKGSNAEAVQDGLDKESYELLAKIPGASLVGLKYTPLFDFFIELQETAFRVIADNYVTDESGTGVVHCAPAFGEDDHRVCLAAGIFETAGLVVAVDDDGCFIEKISDFKGRYVKEADKDIINADKGRLVSKGSIEHSYPFCWRSGTPLIYRAVPSWFVKVEKIKDQLLECNKETYWVPDYVKEKRFHNWLEGARDWAVSRSRFWGTPLPLWISQDGEEIVVMDSIEKLEKLSGVKVTDLHRHYVDDITIPSKRGPEFGVLKRVDDVFDCWFESGSMPYAYIHYPFENRELFEKNFPGNFVAEGLDQTRGWFYTLMVLSTALFGKPAFKNLICNGLVLAEDGKKMSKSKKNYPPPKDLIDEFGADSLRLYLVNSPVVRAESLRFKRAGVFAVVKDVFLPWYNAYRFFVQNAKRLEVEGLASFSPMEQAVLLKSSNVLDHWINSATESLVSFVHQEMDAYRLYTVVPYLVKYIDYLTNIYVRLNRKRFKGRTGEEDCRISLSTLYHVLVTTCVVMAPFTPFFTEVLYQNLRKVSSKSEESIHFCKFPSTTGERDERVEQSVNRMVTIIDLARNIRERHNKALKTPLKEMVVVHPDNDFLEDITGKLKEYVMEEINVKTVTPCNDPLQYASLRAEPNFSVLGKRLGKDMGKVSNVVKKMTQEEILAFEKSGEISFFGHCLKLDDIKVIRQFKRPENVSEKEIDAAGDGDVLVILDLRADQSLFEAGVAREVVNRIQKLRKTAQLEPADPVDVYYESVGNDKNTLEEILKSQDQYIRDALGSPIVPKEMAPKDVVVLGEESHNVHDMSFVIRIARSTPILSPDLLSHASGNSNHVEALRVFLLSRSLSRLKNQFQTGNGMITVTVDCIEGYPPIRLQLGKHVFLSAGDFYLASRS >Sspon.04G0018670-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:66963076:66964342:-1 gene:Sspon.04G0018670-1A transcript:Sspon.04G0018670-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTMLAKGYVLSKDALAKARAFDESHGLTAAAAARAAELSKRIGLTDRVNAGVGAMRSVDETYRVTETTKTVATATGRTAAKVANGIVTSSYFSAGAMMLSDALTRAAKAAADLAAHGRQS >Sspon.07G0021540-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:4157761:4158084:-1 gene:Sspon.07G0021540-2D transcript:Sspon.07G0021540-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNRASGGEYESGSDHDGALQKPLLPNSGSWYRMGMGSRQSSLNAAGTSSMAVLRESHVSAFLCTLIVALGPIQFGFTGGYSSPTQASIIRDLNLSISEACPSLCL >Sspon.03G0012960-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:46152646:46154666:1 gene:Sspon.03G0012960-2B transcript:Sspon.03G0012960-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGSFTEKGKQYPGKMTVFVFLACLVASSGGLIFGYDIGISGGVTSMDPFLKQFFPSVYAKEQEVVETNQYCKFDSVLLTLFTSSLYLAALVASLFAGYITSRCGRRVSMLGGGVIFLVGAVLNGLAQNVAMLIIGRIFLGIGVGFSNQSVPLYLSEMAPAKMRGMLNISFQLMITIGILIANLINYFTAKIAGGWGWRIGLGLAAVPAVIMVGGSIFLPDTPNSLVARGKVESARAMLRRIRGTDDVSLEFDDLLAASEATRAIENPWRTLLQRRYRPQLVMALLIPTLQQLTGINVVMFYAPVLFKTIGFGGTASLMSAVITGLVNMFATFVSIATVDRLGRRKLLLQGGIQMILAQFVLGTLIAVKFGTTGVAVISRSYAIGVVFCICVFVSAFAWSWGPLGWLVPSEIFPLEIRSAAQSAVVVFNMIFTFVIAQIFLMLLCHLKFGLFYFFGAWEIAMTLFVYLFLPETKGIPIEEMDRIWANHWYWNRFVDGGRKVELTSTAV >Sspon.02G0015530-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:42342723:42348493:1 gene:Sspon.02G0015530-1A transcript:Sspon.02G0015530-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKALTALAAALVVLLACCPCRVRGDESPDVTASLVIFLTVLAGDDGGQTAIRLGWNASINPCVPGDKISLWGKTVQCFDEGGNNGHIKRIDLDVQGLNGTIDAALLCAAPALRVVNLYNNSLRGSLPEGISACSGLTHLIVSGNQLSGNLPPSVAQLKSLQVIEVSRNNFSGELPGDLSKLGLVRFLANDNHFNGTIPDFNLSNIQGLSFDVSNNNLTGSIPKNATRFGKRDSGLTPLAYVAGPYSLLARRRRARAPSPTTATGRRMTRTRTRKEQYDLLQEEAEQAGAEVQARRRQEGRVRQQQADDGHDHDVGDDPSKTPAYSLPTSGEHSAAAEADGAPAASLVVLRRSGTASITSNAAAAAAKELRFEDLLKSPAELLGRGRFGSSYKVVVPGGAALAVKRVKDAAVDEEEFRRRMERVGLAKHPVVLPPLAFYCAMQEKLVVYEFQSNGSLAKLLHEQPGPLDWPARLHIAAKVADGMAFMHTTLRGGGASSNSSSGEKAAADGPIAHGNLKASNVLFTAGMDPCISEYGITAPPTGGRDSAAAFRADVYAFGVLLLELLTGKATSAQGDGAELARWVTSVIREEWTAEVFDRALLAGSGTGSTEQRMVRLLQVAMRCVDASPGSAPPPTMREVASMINSIRDEDD >Sspon.05G0037750-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:21878889:21881626:-1 gene:Sspon.05G0037750-1D transcript:Sspon.05G0037750-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGMEAEEGVMATDFFWSYTDEPHASRRREILAKYPQIKELFGPDPWAFLKLVEATDGGLLLRLLSKPQPVPCIHELSHNLAFTTPSLNRWLGIFANLPIGVPMSITFQKYHLEHHRAWTASTWTSRAKEAHAVRNAVSKSIWVVLQLFFYALRPVFLKPKPPGLWEFTNLRFQVGLDAGLVCLCGWRSLAYLILSTFVGGGMHPMAGHFISEHYVFSPEQETYSYYGPLNLMAWHVGYHNEHHDFPRIPGTRLHRVKEIAPEYYDSLKSYRSWSQVIYMYIMTRRSALSAGSRGRRPRKTRSCSV >Sspon.04G0026570-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:44829633:44839427:-1 gene:Sspon.04G0026570-1P transcript:Sspon.04G0026570-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMPTTSSASPCKARSPAGLGLPLLSSLGARASILACSRRLRPHGIVISAPTGCLFLGLPKSCCSTSAFGDVAAVSDDYVESSPSSSGYLNSAMDASSHEDNQSERAVKMNQNSRDNNKMIKICDKLIGVFLVDKPTPTDWRKLLAFSREWDNIRSHFFKRCQEKADTELNPEMKHNLLRLGRKLKEIDEDVQRHNELLEEVKYTPSDKLDSIVAKRRKDFTLELFNHLYYVAESYHDEPEKQTELAKLGNDCVDALQAHDDTTGSLEALNAAELKLKDILNSPSVDAACRKIDDLAEKKELDSALVLMLSKAWSAAKGTDITKSEAKDIMFHLYMTAAANLQRQMPKDIRILKHLIMIEDPEERLSALTDAFTPGPELQGDNVDTLYTSPKALHTWARGIVDAYYNSREGTLLGQARDMMNPKIIKRVEEMLQNKDFAYSNGVEATNTGLPLVPGP >Sspon.07G0036350-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7D:32149333:32149914:1 gene:Sspon.07G0036350-1D transcript:Sspon.07G0036350-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATLPINTPGNLLPSRARSPPVRIFTRLATATGAPRPALRAFAAASCGAAGTPRPTLQAFAAASCSAAPKALSLIVQRRRSQLYQQGTRDHVNTSGKMARWSRRQGTGGSVWAAPAAWRHMRQGGAMAQRKPEKATPMRRSQRAHSGRAAASDRGAQPPENHDRCVHPAHPQSMPPSPIPDPAEPPPQGTAG >Sspon.05G0011050-3D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:5D:33812536:33814359:1 gene:Sspon.05G0011050-3D transcript:Sspon.05G0011050-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At3g26540 [Source:Projected from Arabidopsis thaliana (AT3G26540) UniProtKB/Swiss-Prot;Acc:Q9LRV2] MFDAMPRRDGGSWNAIISASSRAGHPAEAFSLFADMNSLGIRPKDVTLASVLACCAECLDLRGAQQLHGHIAKRDFQSNVILGTALVDVYGKCLLLTDARQAFDGILQPNDISWNVIIRRYLLAGMGDMAVHMFFRMVWAGVRPLVYTVTHAMLACRDNGALKEGRCIHTFVLRHGYEHHVHVRSSAVDMYAKCGDIDAAQRLFNLAPKKDVVMSTSVVSGLAACGRIAGAKRVFDGMEQHTLVSWNAMLTGYVKSMDLTGALDLFQQMRHETKELDVVTLGSVLNACTRLLDLGKGEELHALALKCGLFGYPFLMNALVRLYSKCGCLRNAERLLLFEMGSERERFSWNSLISGYERHSMSEAALHALREMQSEAKPSQSTFSSALAACANIFLLNHGKQIHAYMIRNGYDIDDILRSALVDMYSKCRLFDYSSRIFELGLSNDVILWNSMIFACAYNSKGDYGLELFDEMRKQGIRPDSVTFLGALVSCICEGHVGLGRSYFTLMTDEYSIVPRIEHYECMIELLGKHGYMVELEDFVDHMPFEPTTAMWLRIFDCCREYGNRKLGERAAQRINDSKPLTPVIFVESTRDYECSGSDDAEPMSFC >Sspon.01G0002560-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1B:4879336:4884260:-1 gene:Sspon.01G0002560-2B transcript:Sspon.01G0002560-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTRHAAGTLPPRALEASTGDALVSPVPSSVRDALLDPHWRHAMEEEYAALLANQTWELVPRPPGSNVVTGKWIWTHKRRADGTLERYKARWVLRGFTQRPGVDYDETFSPVVKPATIHTVLSLVLARSWPVHQLDVKNAFLHGLLTETVYCSQPAGFIDSSRPDMVCRLNRSLYGLKQAPRAWNHRFAAFLLTLGFVEAKSDTSLFIYHHGAGTAYLLLYVDDIVLIASSEPLLRRIIAALQQEFAMKDLGVLHHFLGVTVEHRPAGLLLHQRQYTRDILERAGMTDCNPCSTPVDTQGKLAEAEGPPVSDPTAYRCLAGALQYLTFTRPNITYTVQQVCLHMHDPREPHLTALKRLPRYLRASSANLVVYTDADWAGCLDTRRSTSGYAVFLGGNLVSWSSKRQPVVSRSSAEAEYRAVANGVAEASWLRQLLAELHTPPSRSTLIY >Sspon.06G0033150-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:9769506:9770574:-1 gene:Sspon.06G0033150-1D transcript:Sspon.06G0033150-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMEAAAIFGTASASFSPAPSSSRSPGGGRSISRLPARVAGAVVRSLLTVVFAAVGTVLGAVTGALIGLATESGLVRGAGIGAISGAVVSMEVVDSSVAIWRSDESGIWSVLYVLDVLWSLLTGRLVREKVDPAVQSAVDSQVTFTVQCHLSIDETLRSPAKLTCSRSAAQMNAADSGDMASPTLADMFETGAAAAAAPKGMAAAAIAALPVTAFTESTVADASGEPIGCSVCLQDFEAGETARSLP >Sspon.05G0028000-3D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5D:56113234:56122631:-1 gene:Sspon.05G0028000-3D transcript:Sspon.05G0028000-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GKDFVTNVRAVANAHACSIYCTSIPSEEPHPPAVFPVKSHPIVSISISPSSLYAHRHSPPSRSSPSPTGSASPPAAPSPAASAPTPPALPLTYLSSSPPQPDLAAPVAAPTTLAATTSTNPPPPQPLAVSLAPAATPPPPRLPLPYPSFPPATHVPLFHAGVLSTTPARWGRRRPSTTTSAVWIWTMATGSAARRPSPSSRAPACPSPSSHRRWSQRSTSNVGSEFCSCKISKRGYTGSQNGPAMPYYGHAVVVPQGVNPVMMFEGEEEHGVQQPRADPEYGGDFNFDELLNIDVQEQMPVIKADSINAAAERILDELKEGSNSSRSITGGREHVIYFDGWAGLGASAVLRAVAQRLSPGAWEAQGELRFDQIIHVDCSKWESRRAVQRAIAEQLDLPAQVMELFDKQDEEDDFHGVTHDSRVEIPRVLEAMNDHIHKLNHRFLIIFQNGSSKEINLSIFGFPLSGYSRNKVLWTFQGRLRLFPRLKVDGAMTITKVTDVFLSASQHEKDPQELRSYLVHQEAVESAPRNQPSQVAEYFLDNVATSSHDDDELWHAAGALQREMRLDVDYYNHSHHQSLPSHLTTMASMPHCASPSHGVLGLLLIPARDMLRHFNKLAVLKLSHCSFSFSSPPFLCCSSLRFLWLDHCQDQVISTVEEGDEDNIRRCFKRLWVLDVRYTPCDRILSAQMLDFMTQLRELNVIGAQDLDVGQLHGCLPNIRKLRVTKSAFRCSGHSEQDLFQGMNKMELLDFSGNRAMQGVKISTSNNCLETAVIIDGCVGIQKFSFRGCARLKNLLLSGLFEDLCTLDLSGTAVKTVDLSAMTAQRLAEVILDNCDMLCAILWKPEGKRKTYLQKLHINTTQSASTSRSGDEKMKAGSTAATGSSSSWPMVLVHGARAPSEFNWYISIKDARLLKSIVPSFENYFEANLVHLEISSPSCCPTVHVGGKENEGIQNGTGEEPRVLSNRRRQQQPQYNNNTSMYYTDVASIIVEDRLLQGGEGNDNDAATITRTRPCPPPYRRLGSSNCNLYTQDQQMKTKATMDGGAIANIPSIICNNTSILHVHDSLYNTSIPGPAGWNYLRWCRVERCPRLDFIFTAPELGGGSNVRMCWFLRTFWLSQLPRTRFVWDMRKLPLSQIEGLSFQELTMLHLDFCPRLIHVLPFSSSVIQKRYLETLEIVWCGDLRVVFPLLDTNNTRSHQEQQQKPPGAMITMVDFPKLKHIHLHELPMLESICGLGRMYAPKLETLKIRGCWSLRHLPAVSSDRSSNKVECDCEKEWWERLEWNGLNGKHEPCLYKPIHPRYYKKKLLRGSVLI >Sspon.08G0018880-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:9145107:9145355:1 gene:Sspon.08G0018880-1P transcript:Sspon.08G0018880-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DEGADAAPELLGPRERRQRRRAPRGACRCWCAATATATASGSWCAWRRCATRRSRRCWRWRRRSSGTSRRASSGCPATSATSR >Sspon.07G0015810-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:61444401:61447108:-1 gene:Sspon.07G0015810-3C transcript:Sspon.07G0015810-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MESGGGGGEEPPCSGDPLEEEQRMASSLSDLEQHLAAAPAGAGGGAPDRAAVAAAIQRRKAAQRARAATDAFSAKLAPVRSLANQTFSRREHLKGLKDRLRDLQSQLSEAHTVQSSKESKCKRATESILEATATNERLRSLVAYSREKRDLRAAITSEELKALESLEAESKAESNEDAEREKALKTLLWYDKFLGFRIIVGEGGVKFVFDKIDPQRPEKEYTFCLSSSFQLIECDPRVKDIEELAKDLDLNVHLFKFARMAREKFHSSFMNGTLPVSLDVFVETLPVSPVIGSDVSAAPLPSPVMSVSNRSEDAHNQTQSSSKKNMQLLPVKREATDLSGASPGVLRRSPRLEGTR >Sspon.04G0023470-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:13073648:13076866:1 gene:Sspon.04G0023470-1B transcript:Sspon.04G0023470-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LQSANEGDMKMRAIVVEGGGEMSAPTPKQDKCCEYTLDGSVDIKGRPAIKGKSGGWLAGALILVNQGLATLAFFGVNVNLVLFLTRVLGQSNGDAANNVSKWTGTVYMFSLIGAFLSDSYWGRYKTCAIFQAIFVLGLALLSVSSHLYLIRPDGCGVEHAPCGPHSGKELAIFYIALYMIAFGNGGYQPNIATLGADQFDEDDPAEAHSKVSFFSYFYLALNLGSLFSNTFLSYLEDKGSWALGFWASTAAAATALLLFLSGTLRYRYFQPGGNPIGRICQVAIAASRNWKAGASTGVVCLYEGDEKADAAGGRKLLHTQGFSFLDRAAHADTDSKLGVGARDPWKLCTVTQVEEVKSILRLLPIWLCTILYSVVFTQMASLFVVQGAAMRRTTPFSGFSVPPSSMSAFDILTVAATIFLYRRAICPFLARLTGRPAGPTELQRMGLGLVVGALAMATAGTVEHFRKARATAAMSSDLHIMWQVPQYALIGVSEVMMYVGQLEFFNGQMPDGLKSFGSALCMMSMSLGNYFSDVIVSAVTRLTTTRGRSGWIPADLNEGHLDKFYFLLAVLAVADFAVYLVCASRYGSGKVDGRSSDDEEEGAA >Sspon.02G0056750-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2D:30846320:30848338:1 gene:Sspon.02G0056750-1D transcript:Sspon.02G0056750-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTGTYTPVALVTKLLQFITYERRSIPLDGRNGLVQRIRPGGHGVTARCGRSKLMMGVLAGSARGDRHESVTPFRSRRRRVALQPPAWVCCVFILRLVFRHVRLLASRQQEQPNRPGRCPAAARHSKQLRVWACAHRRPGRRPRPRASRAAEVMVIITFAKKKMVIITGRILEDGGPCRCRRAESERVGRFLRDDAERETLMIPRRRGFGSAFADRGGRGV >Sspon.07G0019610-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:72407545:72410873:1 gene:Sspon.07G0019610-1A transcript:Sspon.07G0019610-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTCLNPFDPDDFISCYNTLVGNSIYWLLNERTTSILEFDLDRQSLAIIEVPPEVIDIDPVVREESEFLIMPTEGCGLGLLMIAGFSARIWKRKYSCDGNAGWVLINTIKLDNHLRLKPWAYTFPPVILGFAEDHNVVFLLTGGRVIFMVHLDSWQFKKLPDKKMYRLCYPFTSFCAAGLGPLSPVTSVPQVMSAMGSWSPLEAINGEPNSLVEVGVADRWDPMVLEASINATSVQNQSYQVEVIQKNYRDEARSLLKLSVMMHMLTAGLLASCLMFRLL >Sspon.08G0001170-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:4298270:4303800:1 gene:Sspon.08G0001170-1A transcript:Sspon.08G0001170-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSAKRFLLSFRRPPQPPCPVPDPNPVSEPTPFSVFSQFKAHAVEVGLCPVRVAGDDDAGGSNTLGFRRENQCHFLTVVSFLVQILKRLQRQAFYDIMQLRERQEKVERVISLFKATKVGPFAEESTRVKGVINVAGSLPRDISEAESGISSRFVFEAPVRKNDSLFAELSTDHRCMAQENDQIETPFALSKLMYLSNINDSFSVAAVPKGARCKDFSTDQNIREEHWLASLRSSLRPPLLSKSHRYGGGLILRSKNFAVSLAELISVAGRPVNNGGASRVYTEFGQISYQFPDDMKLTLSAALHGPSVIPRKRKPTAGGCVDLELEIDEDTRFGAWIEFNKKSNSRLLRWAVTLSDTPQGDLGWGLSFRRGTEAKPQLFQVEGFLNLHLGKTAAVQPGIVFNMDGGRCRPGLVERKQFIDKRTVNKNWKQGLGSHMVRGRIVQVEQRIVFTVGEHEELKSVSKPMHHIAKADLITWCEKIDLIEPEAAEQIGHCCVFPDQHGSLRHICKFIKWEAMYCYKPPYTNPFSSSTVNLYGGVLLNKIKRYFIHHHQEAEQLLRPTTNIGWLMGNF >Sspon.02G0013770-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:36607143:36613419:-1 gene:Sspon.02G0013770-1A transcript:Sspon.02G0013770-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGLSQAVQISDNALFQTLIKICDMVAVAKILKATLVLPSLDHTSYWADDRYEKDMLAFTGCSHNLTSEEEEELRKMRYEVSHWKEKEINATERRSLAFGNGSMKALKDDFPNIYSHSTLATEEELAPFKNHQNMLAGLDYIVALQSDAFIYTYDGNMAKAVQGHRRFENFRKTINPDRMRFVNLINEFDEGRMPWDIFSSEVKRLHKDRIGHQSGKWPQAIEVKR >Sspon.03G0020000-3D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3D:48303870:48304973:1 gene:Sspon.03G0020000-3D transcript:Sspon.03G0020000-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQLHPQVPVLAQQQQHQPSLFSSSDPCGHPGFEVWCGNDGRVASLKGSSINVLSINYTTNSLVASHARVAGADGVCQTNFNMSSSIALSLFAISAQNRALCFLYDCNGTEPSGPEYANATSNCSAPIYAYLGGAYYWDKLPAIATGGCKYTYMPVLGTEAAIMTAANYSRLLKDGFVLDWEVAGVGDCWACNASGGQCRYDSYTEEFRCLCPGGRLAAGSTCAAECSPGVNFTCQCH >Sspon.06G0028910-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:12183219:12194356:1 gene:Sspon.06G0028910-1C transcript:Sspon.06G0028910-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSTAYSPVGSHLVPAPLGAGAAHRRSAQLHRPRRALLATVRCSVDAAKQVQDGVATAEAPASRKDCFGVFCTTYDLKADDKTKSWKKLVNVAVSGAAGMISNHLLFKLLGSERSFQALEGVAMELEDSLYPLLREVSIGIDPYEVFEDVDWALLIGAKPRGPGMERAALLDINGQIFADQGKALNAVASRNVKVLVVGNPCNTNALICLKNAPNVPAKNFHALTRLDENRAKCQLALKAGVFYDKVSNVTIWGNHSTTQVPDFLNAKIDGRPVKEVIKDTKWLEEEFTMTVQKRGGVLIQKWGRSSAASTAVSIADAIKSLVTPTPEGDWFSTGVYTTGNPYGIAEDIVFSMPCRSKGDGDYELATDVSMDDFLWERIKKSEAELLAEKKCVAHLTGEGNAFCDLPEDTMLPGELLLSIRHAQHPSTPLLQQSSSSMGLSTAYSPAGSGLVPGPLGAGAGAARRHSAQVRRPRLATVRCSVDAAKQVQDGVATAVAAEAPASRKECFGVFCNIYDLKAEDKTKSWKKLVNIAVSGAAGMISNHLLFKLASGEVFGQDQPIALKLLGSERSFQALEGVAMELEDSLYPLLREVSIGIDPYEVFQDVDWALLIGAKPRGPGMERAALLDINGQIFADQGKALNAVASRNVKVLVVGNPCNTNALICLKNAPNIPAKNFHALTRLDENRAKCQLALKAGVFYDKVSNVTIWGNHSTTQVPDFLNAKIDGRPVKEVIQDTKWLEEEFTMTVQKRGGALIQKWGRSSAASTAVSIVDAIKSLVTPTPEGDWFSTGGDGDYELATDVSMDDFLWNGLKRVKLNCLLRRNALPILQERGMHFVIFRKIPCYQEKIPGENTDDS >Sspon.01G0029480-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:102509432:102513409:1 gene:Sspon.01G0029480-1A transcript:Sspon.01G0029480-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTVSRALGSAFAGFTRAPASAQTATTLPSPCGSSVLLQHWRWSRASRARRFSSGRSARISMSLRAGIVGLPNVGKSTLFNAIVLSKLSKSQQTVPTSIELVDIAGLVKGASKGEGLGNQFLSNIREVDSILQVVRCFEDDDIVHVNGKVDPRSDIDVINLELIFSDLEQIEKRLDKLNKSKTKDVQVKVKEQAEKSGLEKIQQALMEGKPARSVDLAEHEKEATQHLCLLTMKPVIYVANVTESDLAEPDSNPHVKEVAKAASDLQSGMVTISAQVEAELAELPLEERVEYLKSLGVAESGLGNLVKATYNLLGLRTYFTTGEKETKAWTILSGMTAPQAAGVIHSDFQKGFIRAETVSYDDFVAAGSLGVAREKGLLRLEGKDYVVQEGDVMLFRFNV >Sspon.02G0059020-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:87828904:87832956:-1 gene:Sspon.02G0059020-1D transcript:Sspon.02G0059020-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPSGPGFGMAKLLSNIKRIRQEAAVYMLELEYLLVELEKATKVAKQRTAELEQVRHQKKKAQKIFGFTLVAASEEKLAHIHGNTITDYKDIEHAHPYGAAVIAVQTRLDLDAAINNLAVNKQQVMIATNNSFVNTTMEESLPKIAREASQNSFAAPPALQLPLLPCPDLIIDNKDAPITNVGATIAANSSNNNHMLNDATKNVTASHLHSNIAIEIWAIEARHDDERPGSNLDEHLDATNNLNAETKHVLISTDTSLPNSNREDHHPSGTPKIVIKCLHTPYQRKASPTATQPVQDGQQPRQPALWPPAQQAPRSTPQTPFPVVPPMPPIWPPVRQAPSSTLPIQMHHVPENQQTWYAVFHLTGIAHL >Sspon.07G0008840-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:21090296:21099058:-1 gene:Sspon.07G0008840-2D transcript:Sspon.07G0008840-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AYDDEEAAARAYDLAALKYWGAGTQINFPVSDYARDLEEMQMISKEDYLVSLRRKSSAFYRGLPKYRGLLRQLHNSRWDTSLGLGNDYMSLSCGKDIMLDGKFAGSFGLERKIDLTNYIRWWLPKKTRQSDTSKTEEIADEIRAIESSMQQTEPYKLPSLGLGSPSKPSSVGLSACSILSQSDAFKSFLEKSTKLSEECTLSKEIVEGKTVASVPATGYDTGAININMNELLVQRSTYSMAPVMPTPMKTTWSPADPSVDPLFWSNFVLPSSQPVTMATITTT >Sspon.03G0000820-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:8251896:8252948:1 gene:Sspon.03G0000820-4D transcript:Sspon.03G0000820-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DMQPATDAEVVGGAVKTEPEEAEEVEAEKVVVKRRRRRKTACDPHKKRACVDCTKRCARIHGRPASSNARPVPAVPSFFKVMMGYFSEDQDIPPPFARTVWDLAGSNIFLEDAFGLRWRVRLCLRDGVLSFGHGWKNFVLDHAVSCGEFLVFRQIARSVFTVQMFAPSAVERLYLCEKNKRQSRKRKPRQKTSFPSIKTVKVTKNSVKNCKKRQRTDDHQNGIRPRGRKIKMAAQILHLNRNFQTHQTEYRRLEPQNHKKLSVKLRRC >Sspon.08G0009360-3D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8D:64366348:64367529:1 gene:Sspon.08G0009360-3D transcript:Sspon.08G0009360-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSPSKISGASASTTTPAVCGIQGWADLPEGLLHSIVPLLNSFLELLAFAGTCRSWRAAFASYSSKSTLCTLLPPLLVQPHISVRAPNLLSRRDDGHELRTCQVLDPANLKSTLRCQIPEETFEDLCFAGSSYGQLICGGGTNCLVIDVFTGAKVLPPQLPFNDDTYFYSGLLTAPLSSNNSHLLVCAASNLYSIQSSLLDWPVGSDSWSELRLSDTRIEQIVEFNGQFIALDGSYNLHTLSLVPQLGLQEIATVWWDDMDECPYLRPWLVVCGDMLLMVDHYMTLSFDGAPVNYKAYCLDMSSVPAVWVEVKKLENYSLFIGSDVRSPAFSCTSPGRWSGRSNCLYYGYYYQPWVLHGLGDDADAVWDPENDPKLVFKRNGYIRLQPFWVYPS >Sspon.07G0029810-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:79658761:79661340:-1 gene:Sspon.07G0029810-1B transcript:Sspon.07G0029810-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASKLILYSAWISSCSFRVRIALNLKGVDYEYRAVTRTDLDYEKINPIKYVPALVDGDFVISDSLAIILYLEDKYPQHPLLPQDLKKKAINLQGLLDGKLGSDESLQIVRNYTDKGFKAIEKLLEGCDSKYATGDEVQLADVFLAPQIHAGVTRFQIDMSNYPLLERFYKAYMEIPAFQVAAPEKQPDAPASPY >Sspon.01G0017020-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:61489501:61492170:1 gene:Sspon.01G0017020-1P transcript:Sspon.01G0017020-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ASCQDGSAPASRPSTSPQFTLPFLILVPTPPGDLSEEHASLPSSVIVIVIVIVLVIPACVSRMARLGCFPALLPGKRKKTTRKIADANKASGNECPKVKPVELIAATLAAGIGGCEENVALAPRDVKVVPVEDNNKEADQLASVKGSDLSDFDFHFHAAKKPIRSDSDVALDTAVAEAADPSPKLKRSCSNIETKRPGSRAAPEMPARSRSYGDLGNLITGLALETTATPHGAPEASPASVKTSRTADRVMLKKRSSSQVLPSRSRKLWWRLFLWSHRNLHMPRSARTAHQAACSPGRHGGYTSDTFEEGPATDRKNKKVMVDDSPPPSVPNQWVAFSAENSIHDRISAWVSSIESEPPFHIAEEDDNYDGEDDEEHGGECASERPRHLELGEPSSGKGGHGKSKRCAAADEVVQANTIVQSLNAFSSVAHISGMGLKVVPMIAPFSTLRAVNLSSNFIVHISPGSLPKGLHSLDLSRNKIANIEGLRELTKLRVLNLSYNRISRIGHGLSNCTAIRELYLAGNKISDVEGLHRLLKLAVLDLSFNKITTAKALGQLVANYHSLLALNLVGNPVQANVGDDALRRAVTGLLPSLAYLNKQPVKPQRSTREVATDSIARAALGGSGSRSSRKKTSRRLTQSPRSSSMTR >Sspon.03G0025150-3D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3D:60575342:60583736:-1 gene:Sspon.03G0025150-3D transcript:Sspon.03G0025150-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDDDDEKEEQKLARHENVRWTTTYGRALGYNGVGVGVGYLDRVALLSVRGRCGGRLQRCGSRANECEPCDVDMTEEQQRGGQETPQLHHHHHRCGDHTACFFSSPLPQFRSLRSPPRDRDQDQEAKPRIANPQAVAMAQGAGRDPELFAELWRACAGPLVELPHTDERVFYFLQGHLEQLQEPTDPALLAEQIKMFQVPNKILCKVVNVELRAETETDEMYAQITLQPEPDQVDLPTLTDPPLPETSRPVVHSFCKILTPSDTSTHGGFSVLRRHANECLPPLDMSMPTPTQELITKDLHGSEWRFKHIYRGQPRRHLLTTGWSTFVTSKKLIAGDAFVYLRLSQSQYIVSLNKYLESSKIGFNVGMRFKMSFEGEDVPVKKFSGTVVDKGDLSPQWQGSEWKTLKVKWDEATNFNGPERVSSWEIEPFDASAPAINIPVQPSMKNKRPRETAESLDIHALEPAQEFWLSGRPEQHEKTIIGNWFKDFNSSSKGASPSLPEISQKLFQVTSNDARVPPWPGLSAYQAEEPSSKLSCNTAPCSYQTEEVAPKFSIAVKEKKEPGMFRLFGVNLVNHTRSSATADKTTVGVGETSMRGAGSFEDSGQLSALSRVTKDHTHLMNESPREIQSHQSCSGRSRIKVQMHGNAVGRAVDLGNLDGYEQLMGELEEMFEIKDLGSKEEWKGVLPGGEEDRDPSHWRWEPYGGLPLPGAGWEEGLLEEGRVAGQGWKGWGFGAFGMVVLLAKGM >Sspon.07G0001420-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:3325224:3328558:1 gene:Sspon.07G0001420-1A transcript:Sspon.07G0001420-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAPRGGGYGYPPPPPPPLQPSPSTPSPPAAPSSQGTVFNVVDFGAKGDGVTDDTQAFQAAWAAACKVEASTVLVPSEHEFVVGPVSFSGPYCKPNILFQLDGTILAQTSARVWGSGLLQWLEFTKLTGIAIQGSGVINGRGQEWWTYSDPNDDDNDDAYYVELDKMPQIKPTALRFYGSSNVTVTGITIVNSSQCHLKFDSCQGVMVHDLTISSPENSPNTDGIHLQNSKEVNIHHTNLACGDDCVSIQTGCSDVNIHNVNCGPGHGISIGGLGRYNTKACVSNITVRDVKMFRTMTGVRIKTWQVSEVQTPIIIDQFYCDKTTCRNQTSAVAVSGVQYENIRGTFTIKPAHFACSDSSPCSEITLTGIQLKPLLVPQYHLYNPFCWQAFGELYTPTVPPISCLQIGKPAGNSVLSDGDLC >Sspon.04G0025140-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:28763832:28770451:-1 gene:Sspon.04G0025140-1T transcript:Sspon.04G0025140-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGVLQVPVAVYLLCKSKRMTPSALVLDISLCADVVVTVLLASGVGAGFGATNDILRFVRGRYTRWEDNSVKDDLEDYYNKAFVPLIFILLGMVLSMAATVVSARLRARATHDADADATFVIGQSSAVANCRGIGPVASSTRISGQPLPLELMEE >Sspon.02G0007890-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:20218833:20223436:1 gene:Sspon.02G0007890-2B transcript:Sspon.02G0007890-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAATFGFLHPPIRKPAIPPLHILPLPTQPHSKTHPRPLPLPRCRRRGPIAAFPNATSSSTNASASPTYDVREAEAAVADLLREGGASPADAAAIAARAPAYAAMLADGVRELDELGLWASWSSGTGARLSLSGAVEMEMGRLGFRRKVYLMGRSRRDHGVVPLLESLGVRLSSAKLIAPYVAAAGLTVLIDRVKFLKEMLFSSSDYAILIGRNAKRMMTHLSIPADDALQSTLSFFEKMEARYGGVSMLGHGDVSFPYLIESFPMLLLCSEDNHLKPLVDFLEHIGIPKPKIASVLLQFPPIILSDVENDIKPRIREWEKISSTVLGIAVKSWPHILGCSSKRMNSALELFHDLGISKKMVVPVITSSPQLLLRKPDQFMQNVLFLREMGVDKKTTGKILCRSPEIFASDVDNTLKKKIDFLINFGVSKHHLPRIIRKYPELLLLDINRTLLPRMNYLLEVGLSKKDLCSMIFRFSPLLGYSIELVMKPKLEFLLSTMKKPIKAVVEYPRYFSYSLEGKIKPRFWVLQNRNIDCTLTEMFAKNDELFAEEYLGLGGLLEKPLQSSIGGLRGNYKDVVSN >Sspon.07G0024520-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7B:25767522:25769977:-1 gene:Sspon.07G0024520-1B transcript:Sspon.07G0024520-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSTVPISEKLFIGGDLNGHVGVTNVGFERVHGGFGYGSRNQEGEDVLNFALAYDLFIANTLFRKRESHLVTFRSGQHSSQIDFILARREDRRACFDCKVLPEECVVPQHKLVVTDFRFRVRVHRDKRVKMARTKWWKLRGEAAQTFKERMLGEGPWEEGEDADDMWLKMATCVRKVASEVFGVSRGGKREAKDTWWWNDEMQRAIREKECFKRLHHDKSAANIEGYKIAKRVAKRAVSVAKGQAYDGLYQRPGTKEGEKDIYRMARIRKRKTRDINQIKSIKDETDRLLVKDEEIKNRWREYFDKLFNGENEGPTLELDNSFDNTNRRFVRRIQEAEIGEALKRMKGGKAMGPDGIPIEMSEEWRSIIVPIFKNKGDVQSCTNYRGIKLMSHTMKLWERVIEHHLRRVTRVTQNQFGFMPGRSTMEAIFLLRQLMERYREQKKDLHMVFIDLEKAYDKVTRDIQGDIPWCMLFADDVVLVDDSRTGVNRKLEPWRHTLESKGFRLSRTKTEYMRCDFSATRHEEGDVSLDGQVVAKKDTFRRDRVRDDDIRNRVGVAPIEEKLIQHRLRWFGHVQRRPPDAPVRSGVLKRADNVKRGRGQPKLTWDESVKRDLKEWNISKDLAMDRSAWRLAINVPEP >Sspon.07G0007030-3C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7C:14101935:14104010:-1 gene:Sspon.07G0007030-3C transcript:Sspon.07G0007030-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNHELLRAAATGDKALLEQVLGLRSTTDNGGELEATQRGSRSCLKGVTSEGNTALHIAAGRGYLEHARIMCDLDASLVRARNNLRNTPLICAAKAGHVDVVCYLIEHALATATVAAAPAWDAGASSDEESMLRARNSEGATAMHEATRNGHEAVLAKLMSADGGLAAVVDGKGFSPLYLAAALGRADMVDVLIAGSPPDGVKSPAYYAGPDGQTALHAAVLASEEMSKSLWCWEPTLAKKVDNSGNTALHHAASAGKIGAVKLLLQEDSSLAYIPDVDRLFPVHTAAKMGKIDIIEQLMETCPNSDELLDNRGRNVLHCAIEHKKEKVVQHMCKNPRFGRMMNARDIRGNTPLHLAVKHGCDRIAMLLVRDVKVNLSIMNNDGATPLDLAINELDHGYTYPMNPEVLIVQCLVWCGAHRSPRRRDEYLNKRTGVGGSEKELSKFTNLTQNRAIGSVLIATVTFAAPFTMPGTAADAAERPAFWAFILSNALAFLCSTVATCLLMYAGLTTVHPRYRSRYHVWSSNLLHVGVLLVIATFAFGVHLALSPPGTGIPGGNLNAAVCAMACVSVVFAHPGTWWPTVLAKPIWARLGLKGLLGVLLGPRPIPCQKLLLSRTPWLNLFKMLATLLILALILVTFLLDMSYLQPPAAQHRFSSNLLL >Sspon.07G0035570-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:9170409:9174765:1 gene:Sspon.07G0035570-1D transcript:Sspon.07G0035570-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNEKSKIEERRKLSEQDVHRLMKEKEHSENIIANLKKDMEAMNRLHEQQLEQIERKAKEMEEQLTTRIKEVECLLLQSNKKVEEVEIASRLKSQLWDKKENNFQSYMDNQQLIIKDIRILSRSYENDMYSLQMQWRNEISNLGSGLKCLVDAAENYHKVLAENQKLFNEVQELKGNIRVYCRVRPFLSGQDKKSTTIDYMGENGELLISNPFKQGKDGYRMFKFNKVFSPFASQAEVFSDIQPLIRSVLDGFNVCIFAYGQTGSGKTYTMSGPSTSKQDWGVNYRALNDLFDISLNASLHSVKSTSDVLDLMEIGQANRAVGSTALNERSSRSHSILTVHVRGLDLKNGSTSRGCLHLIDLAGSERVEKSEVTGDRLKEAQYINKSLSALGDVIFALSQKSAHVPYRNSKLTQVLQSSLGGQAKTLMFVQINPDAESYSETISTLKFAERVSGVELGAARSNKEGKDIKELLEQVASLKDTISRKDMEIEQLQVIKDKVKSPNLLLDRNGPGLTKITVDQPSQLLSGERMLKSSDRVLSDPQSYGEVNGESNHNLTDTAPVSLDEAEYEDNASDDGLSGETENYNSAAEMTVERPHRFPSRISRFTLTKNGQPSMSRSKSKDAKIPSNTKAPPSQFTGGSSVRGSKRWQ >Sspon.03G0007050-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:19175951:19177360:-1 gene:Sspon.03G0007050-1A transcript:Sspon.03G0007050-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKKSVVLYPGLGVGHLTPMVELAKLFTQHGVAVTVALAEPPTKSSDFSAAAARAAASNPSVNFHVLPPPPDSSLDDTHSDSVVQMLGYLKAMNAPLRDLLRSLPAVDALVVDMFCGDALGVAAELNLPVYYFYASGASALAVFLNLPRMMATGFQLETTGGDSVLSLPGAPPFKASELPESIRNGGQAGKAILRMFYLFPEANGILVNTFESLETRAVRALRDGLCVPDRSTPPVYCIGPLVSGGGEKEHECLRWLDMQPDNSVVFLSFGSLGTFPKKQLEEMAIGLEKSGQRFLWIVRSPRNNPQDVSQPLPEPDLKSLLPEGFLDRTKDRGLVVKSWAPQVDVLCHRATGAFVTHCGWNSTLEGIMAGLPLLCWPLYAEQRMNKVFIVEEMKIGVEMNGYDEEMVKAEEVETKVKWVMESQGGRALRDRLAEVKDRAVKALKEGGSSHAAFVEFLKDIDSMAHLHP >Sspon.03G0016520-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:72413621:72417292:1 gene:Sspon.03G0016520-3C transcript:Sspon.03G0016520-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNTGRFVILVLAYRLLVPLLSASAEPDHNTKESYVVYMGSPSGGGNGGVSDPEAVQAAHLQMLSSIVPSDEQERVALTQSYHHAFEGFAAALTEKEAAALSGHERVVSVFKDRALELHTTRSWDFLEAQSGLQSGRLGQRASGDVIIGIVDTGVWPESPSFNDAGMRDVPARWRGVCVEGPDFKKSNCNKKLIGARYYGAQPESSAPNASSSAVATPAATGSPRDTVGHGTHTASTAAGAVVSDADYYGLARGAAKGGAPSSRVAVYRACSLGGCSTSAVLKAIDDAVGDGVDVISISIGMSSVFQSDFLTDPIALGALHAHQRGVLVVCSGGNDGPNPYTVVNSAPWILTVAASSIDRSFQSSIALGNGDVVKGVAINFSNHSLSGEKYPLVFGAEVAAHYAPVAEASNCYPGSLDAQKVAGKIVVCVSTDPMVSRRVKKLVAEGSGARGLVLIDDAEKDVPFVAGGFALSQVGTDAGAQILEYINSTKNPTAVILPTEDVGDFKPAPVVASFSARGPGQTESILKPDLMAPGVSILAATIPSTDSEDVPPGKKPSAYAIKSGTSMACPHVAGAAAFVKSAHPGWTPSMIRSALMTTATTTNNLGKPLASSTGAAATGHDMGAGEMSPLRALSPGLVFDTTTQDYLNFLCYYGYKEEHVRKISGAARFSCPAGAPSPDLIASAVNYPSISVPRLQRGKPATVARTAMNVGPSNATYAATVDAPAGLTVRVSPDRLVFSRRWTTARYEVSFDVAAGAGVSKGYVHGAVTWSDGAHSARTPFAVNVL >Sspon.05G0000800-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:1781956:1783270:1 gene:Sspon.05G0000800-2D transcript:Sspon.05G0000800-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAERLLQAHQVSDLCIGKPALRWLPPPSTVADAIADLEAAGGGGDAAVAVWDGSEGSDVAGRVCMADALLFLCADDANLASPAAVLQATLADLLAAGTLQPVRRIDPDASVLEAVDAFLDGAHTLAVPIRERWRGADRGKLCWLTVEDVVRFLLSSVGVFSATASRSVSELGAVRPAALAVAAGDSALSAVPLLRAALASHASVAVVASTGSTARCLVGEISPSALCSGGIASVAAIAALWAGQLVSFLDWGGAPPAATIHAVHSHLRRRNFLGMVDLLYGGTTDASSSSSSSSSSSSASSSSSSSSDEDEDIVDANGRKLITSPAMGRGSSFLGRRRAEEATVCHRGSSLVAVMVHRATHVWVVEEQELVGVVGLLDVLRVLRHHLVAGSGCGMHPDLD >Sspon.08G0009130-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:39136365:39140765:1 gene:Sspon.08G0009130-3C transcript:Sspon.08G0009130-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:EXT-like protein [Source:Projected from Arabidopsis thaliana (AT4G26750) UniProtKB/TrEMBL;Acc:A0A178UUB7] MGSESEPAKGLLPYLQRADELQKHEPLVAYYCERRFSPRRLYAMEKGLRIPQKERTKTTNSILISLMNQLEKARAYIWDKKSLTLGPDDNLHLEGFALNVFAKADKQDRAGRADINTAKTFYAASIFFEILNQFGELQPDVEQKQKYAIWKAAEIRKALKEGRKPEAGPPGEDKDEAPLNTTTISQDMGQSPSFSSVHQGSEASPRPVTMDFSRRDSFSAVQPGNNVPRQSTEFNDHPSTQLPYSPPPPSQSQHPSPSQSYSSPSYQATDYPSSDFHKPSPNYSSPHYTSTDYPTNEVHKPPSNYSPPPYTRTDHPSNDGYNPHSNDKPDVSAYPQAYQPPPYTIDPQHTSQNYYSTEAPAAPYNYPNFQSYPSFQDSTAPSVPTHQSSFYPASDGPAATSYTTASNSSAPTHYRSTAESSPQLTPAAPPASQYKYDSSYQPEVEKIAEAHKAARFAVGALAFDDVSIAVDHLKRALDLLTNPSAEPH >Sspon.05G0027660-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5B:55767341:55770253:-1 gene:Sspon.05G0027660-1B transcript:Sspon.05G0027660-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding NYQSNHTYPNTNSGSRRNPPPSSVLSPAAGRHPPRSAPHPAARRALRSLSNPNGTPRAPSPPSLLYWGPDMESKQWGKAAQEAVGGGGGHQVDLDEEDDLEEFRLPMSHRPTENLDTEGLEQASVHTQLTASNVGFRLLQKMGWKTGKGLGKNEQGILEPIRADIRDAKLGVGKQEEDDFFTSEENVQRKKLNIELEETEEHIKKREVIAEREHKIRSEVKEIQKVFFCNLCNKQYKLAHEFESHLSSYDHNHRKYMLVCTILNTFVAQRFKEMREMQSSSGSRDDRQKREQQREEKELAKIAQLFSADAHRKQQQQEKQEKSETEGVAPKNMAAPRNQDQRGTLKFGFSKMAPSKVLVGSASKKPKVATKVSSVFSNESDEDS >Sspon.02G0034780-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:14049053:14050935:1 gene:Sspon.02G0034780-1B transcript:Sspon.02G0034780-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPIHHCSSVLLAVFLYLSTCYVHGDHANGGHQDLRALLSFKAYNPNATALATWVGPNPCSGTWFGVRCYRGRVAGVFLDGASLAGTVAPLILGLGRIRALAVRNNSLSGTLPPLDNSTVSPWLRHLLLSHNRLSGSLRISLGALLTLRAEHNGFRGGLEALRVPMLRSFNVSGNKLAGEISGDLSRFPSSAFSGNLALCGQPLPKCAHAYDALGSDSSSNATASTVAAQSPNASVGSVSSSNGGFSKISLTALMATGIGNAVLITVSLAISVATFVYVRRKLRSAKDAPDAALCFEEEEKRDDRCHKTGGLVCFEGGDELRLESLLKASAEVLGKGVSGSTYKAKGGSVLDGEGAARNLDWTARKSVLFGAAQGLNYIHTFPARPALVHANVKPSNILLDERGGACVSECGLMRYATNIQQCITPQATRTRCPPELFLDQASSGGWHGYAAPELASGAAARATQESDVYSFGMVLLEVVTGHKAADGGEGTDETMGMVKIGMLCTAEAPEERPTMAQVLAMMSEFV >Sspon.07G0000640-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:1415919:1417955:-1 gene:Sspon.07G0000640-1A transcript:Sspon.07G0000640-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEILKYNITVVVPHQNLSNLRRRSGPGGTPLAFIPLLQLNAAVNVFNHENGAVAGPDEQPPEVGVGLDLSELKVVDVKLKEVGHGGNKAGLAGPRRAVEQVAPLPGPADPPVVVLPPDEPLEVLDDGGLELVIHGERVEGGRVAEVDGGPGVVLHHVHLEAPLPVLDGLGRGDDVREVGSQGLVLVPPVEADLERPGLRAAGLVAAGAGGPGCCGVAGDDEGPGVVDAVVGVDHLLPLLHPHGDALRVVDAAGAEARHVGVTRAAR >Sspon.02G0017430-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:45738179:45743998:-1 gene:Sspon.02G0017430-3D transcript:Sspon.02G0017430-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPAPAPGGVDGRSGYCEVTRTFRSLRPPVPLLPPDAPLSFPEFAFSFLTSSPVPAHPALLDAATGEAVPFPAFLSQVRALAGALRSVVRLGRGDVAFVLVPTRLDVPVLYFALLSFGAVLSPVNPALTADEIARLVALSGASVAFAVSATATKLPAGLPTILLDSDRFRSFLQKQNDGRGEERLAVVRQSDTAVIQYSSGTTGRVKAAALPHRSFIAMVAGAHAVLGKPRHGRERTLLGAPMFHSMGFYFALKGVALGQTTVVLTDAVARRGVKGVVEAAERWAVTEMTASPPVVVAMAKEQCHQLQALERVVCGGAPLPRTAAESFRRRFPNVDLCMLHRWLHFFQGYGSTEAGGISLMISREECARIGSAGRLSENVEVKIVDHVTGKALSVGQEGELLVRGPAVMTGYVGDEEANATSFDSEGWLRTGDLCYIDQDGFLFVVDRLKELIKYKGYQVPPAELELVLQSLPEVIDAAVMPYPHEEAGQIPVALVVRQPGSKVTEAQVMDHVAKRVAPYKKIRKVLFVESIPKSPAGKILRRQLTNHVQAGAVSR >Sspon.07G0008240-3C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7C:18487056:18487904:-1 gene:Sspon.07G0008240-3C transcript:Sspon.07G0008240-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHCGRLHRFLSSAAARAAAPIPARHVPRSPGTSAQSARIRELGRLGRLREAREVFDAMPFRDIIAWNSMIFAYCNNRMPDTARSLADAISGGNLRTGTILLSGYARAGRVRDARRVFDEMGVRNTVAWNAMVTCYVQNGDIALARKMFDAMPSRNVSSWNTMLTGYCHSQLMVDARNLFERMPERNLVSWTVMISGYVLIEQHGKAWDMFRTMLCEGMPPEQPNLVSVLSAVRHLGKPGILESIHVLVHKTGFERDVVVGTALLNVYTKDVNMLDTAVKFFE >Sspon.02G0020030-2P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2C:69553106:69553915:-1 gene:Sspon.02G0020030-2P transcript:Sspon.02G0020030-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATNRSPLQLPLMALAVAALFIVGSHAGSISIYWGQNKGEGSLADTCATGNYKFVNIAFLAAFGNGQPPVFNLAGHCDPTNGGCASQSADIKSCQSRGVKVMLSIGGGAGSYYLNSSADARNVATYLWDAFLGGQSSSTPRPLGDAVLDGVDFDIEGGTPLHWDDLARYLKGYSNSGRRVYLTAAPQCPFPDAWVGGALNTGLFDYVWVQFYNNRRASTPPAAPLILLMRGSSGSRSRRSGSSSASRRRLRRLAAALFRPMISSPRCFR >Sspon.07G0014240-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:50855586:50864034:1 gene:Sspon.07G0014240-3D transcript:Sspon.07G0014240-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRLLAAVGPLAGPSVAMVALFCLLGHLQAQPQPNYRAALTNSLLYFEGQRSGRLPPDQRPVARRLRARRRPRPRRGSHGGYYDSGDNVKFGLPLAFTVTMLAWSVVEHERPLAAAGELRNALAAVRWGADYLARAHAADETLVDASRPGSDVAGETAAALAAASVAFRPLDAGYSAMLLGHAEQLFRFAKNHRGLYQNSVPGAAKFYPSSGDEGHLEEFLCGVLQHNSNDGRLSPGGVLWLEPWNNLQYVTSAAFVLAAHSDHLAAAGASASLRCGGATLPPQQLLAFARSQADYILGANPERMSYMVGYGTRFPEQVHHRGASVPSIKSSPGKITCKGGFGYYSRDAPNPNVLVGAIVGGPDGSDRYDDSRRNYQQAEPSTVTVAPMVG >Sspon.02G0003220-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:9243403:9245748:-1 gene:Sspon.02G0003220-2B transcript:Sspon.02G0003220-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRFGRSGPPPIRDTYSLLVLNITFRTTADDLFPLFDKYGEIVDIYIPRDRRTGDSRGFAFVRYKYEDEAQKAVERLDGRLVDGREIMVQFAKYGPNAERILSPARRSPSRSRSRSYSPDDYKRRGRD >Sspon.03G0024530-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:93258425:93259825:1 gene:Sspon.03G0024530-3C transcript:Sspon.03G0024530-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MESFTFLKYLRGGVVAGAQRAPAAAAATTTIAASASASACEDGNDDDASFFDLEFAEPGDESAASDAEEERVEFNFAVAAAGGEDVVAPGAAEAVAVDAPPADAEAETEAEAAVVPPPATLLRPATRFRVLLLKLRKPKAPATADATGASAALAPRQQAASRFLVKFRVEDAPLASLFTRDTSSRTSDAGVDRPATAAAAEPQQEVAASASITAEERRFAKEVVLRYLSKFKPLYVKVSRRYGERLRFGGTASEGEETDVEPDPSPAPSPSPSPSPSPSQAPSSSSATAAAMTPAPAPQPVVVACGVRAPRASVPAGLKQVCKRLGKSRSASSAVAAAPSPGAHAPAAAGTGTGPHPQPPQRRDDSLLQVQDGIQSAIAHCKRSFNASKGSESPLLRSMTMTTTTGGEARAAGAGEGA >Sspon.07G0023330-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:15084520:15094851:1 gene:Sspon.07G0023330-1B transcript:Sspon.07G0023330-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATADRTRTFMKDVKRVIIKVGTAVVTRHDGRLALGRLGALCEQVKELNALGYEVIIVTSGAVGVGKQRLKYRKLVNSSFADLQKPQMELDGKACAAVGQSGLMALYDMLFTQLDVSSSQLLVTDSDFENPNFRERLRETVESLLDLKVVPIFNENDAISTRKAPYEIASLAKSIRTLAHMEDPINQILKRTEVAEDLVLEKTSCPLGVLLIVFESRPDALVQVVFNHNLPFTFHHMTCSCLVLKHIYKLSLSFDIVV >Sspon.01G0012440-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:33746491:33761979:-1 gene:Sspon.01G0012440-1A transcript:Sspon.01G0012440-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVPAAETLDGHKDRFDLGVFVGDLALDEEVTSVRCFIVASDDESLEGLQQELDNCKNDQEVANILANGIKLREYTKGVENNIRQIELDSIQDYIKESENLVSLHDQIRDCDNILSQMETVLTGFQTEIGSISSEIKVLQEKSMDMGLKLKNRKAAESKLSKFVEDIIVPPRMIDIIVDGEVNDEYMKTLETLSKKIKFIDADPMVKSSKALKDVQPEVERLRQKAVSKIFEFVIQKFYALRKPKTNIQILQQSVLLKYKYTIIFLKEHAKEIYAEVRAAYIDTMNKVLSAHFRAYIQALEKLQMDIATSTDLLGVETRSTGFIFSIGKEPLKTRPSVFALGERINILKEIDQPALIPHIAEAKSQKYSYEVLFRSLQKLLIDTATSEYFILLPSRPIQVVDEHFNAVLLNCYDAIGIMLMIRIIHQHQLIMFKRRIPCLDSYLDKVNMSLWPRFKMVFDLHLNSLRNANIKTLWEDDVHPHYVTRRYAEFTASLAHLNVEHGDGQLDLNLERLRMAIEDLLVKLAK >Sspon.01G0031710-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:108399949:108402401:-1 gene:Sspon.01G0031710-1A transcript:Sspon.01G0031710-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SMAPACPLALTFFFCCILLLQTICCSGVFVELSYDSTQVKTLSSSVVTEYRVMVTDKQRAYLFLKPFVCREKPCRSEPLDAAGSFFNEVLGPNRRLNVSSIIVTATDTQLGALRRTLQSFQASLGDAGLAESVKVSPELSLSSLRIVVKDRARAGKKRWGKVVEFVRRTGSFVLVRVETEAEADSELAVDAGIEEAVAEVAALLGAGAGAGVVIHIKSRAAPSAVAMAKLRGDTGREKRLFGVLVDVSSPRRQLGEARATAHDEFSPVSNPATTPVSNPVTVPATNPVANPMAPGFVTVPSTNPGNGFATNPNLPPLYPEPTTPVTMPMPDPTTPTMPPVTVPPPFTNPVAAPTTMPGTVTNPAAPAVTNPATTPSQFPGTSPVTNPVTTYPYPQQGGVGVGGGMPATQPAYQPPATTMPGTGTVQPGAPTVAGQVWCVAKSGIMDTTLQDAIDYACGIGGADCSPIQPMGTCYNPNTLQAHASYALNSYFQRNPSAASCDFGGAGMLVNVNPGSGTCMYQTSASFGAGYSPGTTGGGGMSGTGYNPAGGMSGTMGGGSGSTVLNANNPGGNSMYGGYDNPAGLTAGSAPLSCGGWVVLCLVWMVTFAFVKEKV >Sspon.07G0012410-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:44192130:44195383:-1 gene:Sspon.07G0012410-1A transcript:Sspon.07G0012410-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQRQVVSENYANPITCFFHVLFKAGALAFYILFSLFVKSFVIIFVITVLLAALDFWVVKNVSGRILVGLRWWNEIDDEGNSVWKFECLDGEVRACFACAVNPTSLARMNKKDSWLFWWTLYLTAAAWIVLGIFSLIRLEADYLLVVGVCLSLSIANIVGFTKCNKDAKKNIQTFAQNALASRVTSSLQSAFGII >Sspon.01G0026770-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:93601095:93618095:-1 gene:Sspon.01G0026770-2C transcript:Sspon.01G0026770-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFKGRFFSSRHKSSESSSSPDGSNSPRTPTSAPASASAASPASSSSSRSDKKKTKSETPKKRDKLFGSAATASKSAASSASSSPAADGRKGSVPHHRDAGGGGGGGASAAALSPILASSLGLNRIKTRSGPLPQEGQRMAAALGSSNLSRGQGQAEPSAGSAGVGGRKGVSSWADTSSNSRGKGKTTELPTRSAVGTSLGAGGKSAVKAKPSAQRNHSGDLRTPTHIPEAASAYDPCETPKESESPRFKAIMQATSAPRKRHPADIKSFSHELNSKGVRPFPFWKPRGIYNLKEVIKVIQVRFEKAKEEVNSDLAVFAGDLVSVMEKYADSHPEWKETLEDLLILARTCCVMTPGEFWLQCEGIVQDLDDHRQELSMGVLKKLYTRMLFILTRCTRLLQFHKESGFAEDEVVIDQRDKIIQSADRQILTQPGADDTTSRASKSDARKSYSQEQHNMKWKRSQEIKPVKLLPPLDTDVKKEADSPTKERISSWKPFPSPVTKVPKESTPTKLESPNKKTDAHSTISSHVELSSPVESLPQQQLPVKHQHKTSWGHWSDQPNISEEGSIMCRICEEYVPTHYVEDHSRVCAIADRCDQKGVSVDERLIRVAEMLEKMVESYSPKDLPNAAVSPDVAKVSSSSINEESDGPSPKLSDWSRRGSADMLDYLQEADNTISLDDIKNLPSMTCKTRFGPKSDHGMATSSAGSMTPRSPLTTPRSNHIDMLLAGKNAINESDDLPQIVELADIARCIANTPLDEESALSQMVTCIEDLQEIVNRRKHEALTVQTFGTRIEKLHREKYLQLCDSVDMDKVDSASTIMDEEDDVVRSLRASPVHPVKDRTSIDDFEIMKPISRGAFGRVFLAKKRTTGDLFAIKVLRKADMIRKNAVESILAERDILITVRNPFVVRFFYSFTSRENLYLVMEYLNGGDLYSLLRNLGCLDEDVARVYLAEVVLALEYLHSMHIVHRDLKPDNLLIAHDGHIKLTDFGLSKVGLINSTDDLSGPAVSGASLYGDDEPQMNELEQMDHRARRQNRSAVGTPDYLAPEILLGTGHGCSADWWSVGVILFELIVGIPPFNAEHPQIIDRRSSSTTRSKWCLRDSASDTSYFTSRYSWNPSDENIYEAYELRIPGEDSGGLNEFESSANVNYSFSNFSFKNLSQLASINYDLLTKGLKDDQPPRTETSKCEAWSVLIEAIPTSRGAEYSFAVTFPIMSLGFAEVGQGYCIQNTTPED >Sspon.05G0037650-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:18917925:18928831:1 gene:Sspon.05G0037650-1D transcript:Sspon.05G0037650-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MENAGTGRGQTTPESAHQHRFSTAASRFACNTGEAAESPPVPVEDKIFVAVPEELKHGKSNLVWALQNLARDASRSRIVIAHVHVPAQMITMGLGASVHYSTMRPQELRAYRQHEREKMEKKLNQYVLMCRRLEVNCDIVAIQNDDIANGVIELISLHGIKKLVVGAASDKKYSKTMKAPTSNTALKIMERADSPCKIWFTCKGSLIVIRLVPLDLLLPILKEPNISISRTEVVDDDDISEVGSNMLLSTDNSYDHISPTPHDLDKLKETLTEIQLLKKEVQEECNKQRNAERELQSALQKTKELEKSYINELRQQKALKEMHERQRQEIDVMRRQQEEAYAALYNANEQKVTLEQRISEIELSVKDNEDKLARNKHQLEALQADCDRIQQERDVAIREAAELHEKNRQGVFAPSEALNTKFSLIELQQATQDFDPMLKVGEGGFGSVYKGFLRNTTVAIKLLHPESMQGQSEFHQEASVLSTVRHPNLVTLIGTCPEAFGLVYEFFPNGSLEDCLACKNNTLPLTWQTRTRIIGEMCSALIFLHSNKPHPVVHGDLKPDNILLDANYSSKLGDFGISRLLIQTNTCSTTLYRTTNPRGTFSYMDPEFLTTGELTPRSDVYSFGIIIMRLLTGKQPQRIAEIVEDAIEKQNLHSIIDPTAGSWPFIQANQLAHIALRCAELSRRRRPDLTVDVWKVVEPLMKAASMRARPLSCAAPSDDTCIPSYFICPILQETMNDPYIAADGFTYEGEAIRGWLDSGHSTSPMTNLKLEHSLLVPNRALRSAILEWNQREMQ >Sspon.01G0052710-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:25120902:25121889:1 gene:Sspon.01G0052710-1T transcript:Sspon.01G0052710-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding HGSDGANRGAGRPRRRGHAGPAAASERADISPAPGRPRGRAVAVAGLRGCAAEPDAVPDVHGAPQRADAAGEGLLRGARGRRRRRRRRLPVRAPRRVRRARRPRRHRARARAAHHLPRRRAAAQALRRARHARRRVAWRSRRADGLRVRRAVDNTSDGCRERRPGDAPASLDTAVPGGCSLARLSHRPPLHAAAV >Sspon.07G0001370-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:1968226:1972685:-1 gene:Sspon.07G0001370-2B transcript:Sspon.07G0001370-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQQMSVRKKRSNPTGPETEMLCASAMQFSSVFPLEGKACMRPVRRGGEGSWSERMRIGNSCSIRRNKALRRMCFGARGAVSSAQCVLTSDAGPDTLVPIGGCYRLIDIPMSNCFNSGINKIFVMTQFNSASLNRHIHRTYLGGGINFTDGSVEVLAATQMPGEAAGWFQGTADAVRKFIWVLEDYYKHKAIEHILILSGDQLYRMDYMELVQKHVDDNADITLSCAPVGESRASDYGLVKFDSSGRVIQFSEKPKGAALEEMAYVFTDYWEDIGTIRSFFDANMALCEQIKDAIISHGCFLRECAIEHSIVGVRSRLNSGCELKNTMMMGADLYETEDEISRLLSEGKVPIGVGENTKISNCIIDMNARVGRNVSITNTEGVQEADRPEQGYYIRSGVVVILKNATIKDGTVI >Sspon.05G0011100-3C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5C:23191534:23192437:1 gene:Sspon.05G0011100-3C transcript:Sspon.05G0011100-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutathione S-transferase TCHQD [Source:Projected from Arabidopsis thaliana (AT1G77290) UniProtKB/Swiss-Prot;Acc:O80662] MQLYHHPYSLDSQKVRMALEEKGIDYTSYHVNPLTGKNMNVDFFRMNPSAKLPVFQNGAHVIYRAIDIIQYIDRLAVHLSGEIPPVNTEVHQWMQKVDAWNPKMFTLTHTPTKYRAFVSKFIRRVLIARMADAPDLASMYHVKLREAYETEDKVKDPDIMKQSEEELSKLLDEVESQLSRTKYLGGDEFSPADSMLVPILARITLLDLDDEYINCRPKILEYYNLVKLRPSYKIAIGKYFSGWKKYRTLFKTSFFLCVRTLFRKY >Sspon.07G0014740-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7C:58668521:58669199:-1 gene:Sspon.07G0014740-2C transcript:Sspon.07G0014740-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RTGTEANQVLRSKLSSAASSTLEDIDVANKALLSSIDSSLKLDHDACANIGAILTPCHGEMRELKGEHHHKVVEISQNAGKCLEEEYLVDEPSCSTPRRRQIDLPSVESIEELRTPDYNELLKSFRESRGSWKQANGDTRYLSEAAQEQTVIDSRIPLIGRN >Sspon.07G0012800-1P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7A:46400689:46402447:-1 gene:Sspon.07G0012800-1P transcript:Sspon.07G0012800-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALQAGGEATGKRARDPTALTPAAEHSESAGIRKEKKGFSALAAAPAPVPKSAAAPLVDVTVEDAATMDCGVCYHPLKRPIFQCVIGHMICSLCHDTLKFKRKCHVCNTSGIYRRCHGMEDLVESIRVPCPHAAHGCAMRLSYHDQESHGLVCPHTPCHCPGEACGFVGSMEALLDHFSGRHSWPCKTARQGVSSFSVCLHDGFNFVFSSAADEHGRKYLFLLNVVRHSFCRAVSVICIRPHSAAAKEIRFVLSYPARSNANQLVKHEQKAEYFEVPCSDLSDGLPDPSGSYQFIVPNHAGGGDDEHDTKVMVDIITDNTSDQ >Sspon.01G0019540-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:73166561:73170082:1 gene:Sspon.01G0019540-1A transcript:Sspon.01G0019540-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to carboxy-lyase [Source: Projected from Oryza sativa (Os10g0479500)] MTMRQSRFKRICVFCGSSQGKKRSYHDAAIELGNQLVARSVDLVYGGGSIGLMGLVSQAVYDGGRHVIGVIPKTLMTPEVRPVADMHQRKAEMARQSDAFIALPGRAELSPSRWFHCMHAGGYGTLEELLEVITWAQLGIHHKPVGLLNVDGYYNSLLTFIDKAVEEGFINPSARRIIVLAPTAQELMDKLEEYEPYHDRVASTLNWGMDHQLGY >Sspon.03G0030170-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:28212162:28215655:1 gene:Sspon.03G0030170-2P transcript:Sspon.03G0030170-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSIGTGYDLSVTTFSPDGRVFQVEYATKAVDNSGLGFTASHFLFCFASLVPFSINLIDEAMVFVNRTVVGIKCKDGIVLGVEKLVTSKMILEGSNRRIHSVHRHSGLAVAGLAADGRQIVSRTKSEAASYEKVYGEPIPVKELADRVASYVHLCTLYWWLRPFGCGVILGGYDRDGPQLYMIEPSGVSYKYFGAALGKGRQAAKTEIEKLKLSELTCREGIVEVAKIIYGVHDEAKDKAFELELSWICDESKHQHEKVPNELLEQAKAAAQAALEEMDAD >Sspon.01G0039380-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:30813637:30815512:1 gene:Sspon.01G0039380-2D transcript:Sspon.01G0039380-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:50S ribosomal protein L6, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G05190) UniProtKB/Swiss-Prot;Acc:O23049] MHYYLLGRLVFSSKAQYANFIGALTFSEITSNSRTGFVGKTHGIRPRVSPPGRVGFVRTVVECKESRIGKQPIEVPSNVTLTLEEQFVKAKGPLGELSLSFPGDVKIVKEESGKLRVYKTAETKRANQMHGLFRTLTDNIIVGVSKGFDKKLQLVGVGYRAAVEGNDLVMNLGFSHPVRMAIPEGLAVKVEENTRIIVSGYDKSAIGQFAATIKKWRPPEPYKGKGIRYMDEVVRRKEGKAGKKK >Sspon.02G0002650-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:7073827:7074956:-1 gene:Sspon.02G0002650-2B transcript:Sspon.02G0002650-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LLAAATAQAQGSYAAPPATSPESAPVPVPLPPSPSPPVQAPPPASARPKLRYGFYKRSCPYAEEIVREAVRNATNVNPGLGAGLIRMAFHDCFVQGGRFDGSVSFENETLRFLPPPFFSLSQLIQSFKVKGLDVDDLVVLSGAHTIGHSHCSSFSDRISTPPSDMNPRLATVLKKQCPAHPNFTNDPTVVQDVVTPNTLDNQYYKNALNHKVLFISDAALLNSTETAKKVIENAFIRGRWERKFAKAMVKMSLLDIKTAANGEIRKNCH >Sspon.03G0032400-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3B:37569858:37570220:-1 gene:Sspon.03G0032400-1B transcript:Sspon.03G0032400-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHPPAVSLSFLCRFLEVDGGRGLLAFLRWIHGAPTSPRWIHGSRCRSSGVGSPPPWSILLHLDGGMEASPRGGSTGALGSPWWIHGGGADPAAWVAFSAPTRLPHLDGGMGASPGGGSM >Sspon.01G0032200-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:109305796:109310156:-1 gene:Sspon.01G0032200-1T transcript:Sspon.01G0032200-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSRRRCFAAVANRIRPLARAFCDAPASGVPRSQDHSHTEKVARVKAPPDVLDVAIVGGGMVGLAVACALCRVAIIDSNPALKSRGYLDKNSIPDSRVSTVTPATISFFKDIGAWEHVQQQRHAFFGKMQVWDYTGLGYTRYNARDVGKEYLGCVVENKVLCNSLLLRLQEELDDIENVIYPTRLVSLTFPLKSRQAGMKPTLSEPLSVGHTTEELHRSNLVKLDLSDGRSLHSKLVIGADGSKSNVRQIAGIKTTGWNYPQSAIICTVEHVVENDCAWQRFLPSGPIALLPIGNNFSNIVWTMSPEESLRHKSMSPEEFVKSVNHALDFGYGPHPRSTTLDHYMEQFFSGIGNTAASTKECFEVPPKATSVVSERMAFPLSLMHSHDYVSKRLALVGDAAHTVHPLAGQGVNLGFGDAAALAKVIAEGVSVGSDVGDLTLLQRYEKDRKAANVAVTAVLDGFQKMYSVDFGPLI >Sspon.02G0057570-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2D:62104999:62106426:1 gene:Sspon.02G0057570-1D transcript:Sspon.02G0057570-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSTAQQKPHVVLVPLPAHGHVAPHVQLARALHARGVHATLVHTELHHRRLLCAANDAATAAPPDEAFAVEVIPDGLSLDDPPRTLRAHHEAMERNCLEPFKALLRDLLSRPGVPPVSCVVADTPMPFAAVAAREVGVPDVQFFTASAAGLMGYLQFQELVARGIVPLKPTYETDGSLDAPLDWVPGMKGALRLRDMPTFCRATDADDWLLHFHVHQMKTAATSKAVILNTLYDMEKDVLDALAPLLPPIYTVGPLASVVAALASTAARGDDGSSSVPASSASTTTMVGVLQEDKECMAWLDGKAARSVVYFSVGSHASMGDAQLKEIASGLARCGSPYLWVLRPDMAAEVEVGEDGLVVPWCPQEAVLNHPAVGLFVTHCGWNSILESVVAGVPVLGGPVLSEQTTNCRQVCMAWGIGGELPQGAGSDEIAALVREMMTGTKGKDAREKTLQWKRLAQLSAQQGGSSYDNIGRL >Sspon.05G0006850-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:21475275:21480668:1 gene:Sspon.05G0006850-1A transcript:Sspon.05G0006850-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATALLMLALATASASAAVSGAPWRSRSPRPEADLVIGLPGQPAVGFSHYAGYVDVASGGGGGKALFYWFFEAEREPDKKPLLLWLNGGTNERFLSHSAVNLLFLESPVGVGFSYTNRTSDLRRLGDRVTAQDSYSFLLTWLDRFPEFKGRDFYIAGESYAGHYVPQLAELIYEGNKGASRDRAISIKGFMIGNAVLNDATDQLGMVEYAWSHAIISDELYSAVRRECDSFKEVADGGRPGKGCSPALRAFLGAYDDIDIYSIYTPTCLLLNNVSAAGATPRRRRRPARLVAAPRLLSKHEEWHRLMKRVPAGYDPCTEAYVIKYFNRGDVQRALHANRTGLPYPYSPCRSASTPHEVIRKWNDSPATVLPILKKLMAAGLRIWVYRYSSTCEHLPSSLVVVPVDAELKVKLKPRDGMSRCSGDTDGRVPVTSTRYSINTMGLRPRRQRAAASASAGGVAAPEWGGWRAWYYRQQVAGWAVEYEEGLTLVTVRGAGHQ >Sspon.03G0012950-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3A:36256446:36257191:-1 gene:Sspon.03G0012950-1A transcript:Sspon.03G0012950-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding TSLEAEGMGPAGSSLVSHWPVSEVNTRRSNRPPGFSQFSRPILWAACEGVVAAGRGGEAEEALGEQAREDLLHHQRVAHNIHGQRRGRRRRWMKDLALSAERTEDLQETAWFKSRTENSEFFDAVKIVNGPAVPRVAGQLWSPAPSRISPVCVSSATTAPQQRERTRANRGRGHEVQEPPAQREAE >Sspon.01G0029520-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:111665213:111668375:-1 gene:Sspon.01G0029520-2B transcript:Sspon.01G0029520-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phytochrome-interacting factor-like bHLH protein, Stress-responsive transcription factor, Regulator of reduced internode elongation under drought condition [Source: Projected from Oryza sativa (Os03g0782500)] MDGNARSAAANQKKLIVADDDLIELLWHNGSVVAQPQAHHRPAPPSDRDRPGTSGLTGEETAAWFPDSLDDALEKDLYTQLWYSTIADAAPQPEGTLLGPTSQPSPPLPPVASSGVESSWAGDICSTFCGSNQVPRSPAGTSGKDVALQSEGPSGAGAHDGTSSSGGSGSNYGGSGLPSHSVHVHKRKGRCRDDSDSPSEDAECEEATEETKPSRRHGPKRRTRAAEVHNLSERRRRDRINEKMRALQELIPHCNKTDKASILDETIEYLKSLQMQVQIMWITSGMAPMMFPGAHQFMPPMALGMNSGCIPAAQGLSQMPRLPYMNQPLPTHIPLNSSPAINPMNPLNAANQMQNSHLREASNHFLHLDGRTAVLPQVWSAGDELATRQSVHASSHDVDCGHAPRQRFSILLAILWLPAAARICVEQDARLSSSA >Sspon.08G0002810-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:6168534:6182451:-1 gene:Sspon.08G0002810-3D transcript:Sspon.08G0002810-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTVTCNACNAGFDEEEQQRLHYRSEWHRYNLKRKVAGVPGVTEALFLARQAALAEGSKLASTPMLYSCALCGKEYRSSKAHEQHLNSRSHLLKASQEPNASLAGITIVKPLPERVPRKAPSAVEEDEDDDEEEEWVEVDPSEMELADESTSNMQEDEQSAKSDDDMADLEELDISSCFMCDLKHDTIEDCMVHMHKKHGFFIPDSEYLKDPSGLLTYVGLKVKRDFICLYCNDRCQPFFSLEAVRKHMNAKGHCKLRYGDGGDDEDADLEDFYDYSSSYVDAEGKQLVAVDDSNNNIELGTGGSELVITNKSEKGTRVRTLGSREFIRYYRQKPRPSVATDRALALSLASSYKSMGLVTVQSKEQMQLDDALRRAYLLVRSCGEGLPLPAAHGGPDRREAEIDRYILLVPVIGLVATGRRVQVKRDFICLYCDDRRRPFQSLEAVRKHMDAKGHCKVRYGDGGDDEDADLEDFYDYSSSYADVDGKQLVAAADGNCGIELGIGGSELVITNKGEKGTRVRSLGSREFIRYYRQKPRPSSSTTGRALALSMAS >Sspon.02G0000610-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:2374363:2375361:-1 gene:Sspon.02G0000610-1A transcript:Sspon.02G0000610-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding NQMGGRPAAGRQSNKKASTTACACLGRQLLHGHLLHLLVRPGFNLAAEAGPAEHGAVRMRVSQFGYSHPAGGVGGLARDDDGVDHLPFRVVHGEHVEAAAPDLLGVHHGVQEPARAVRAPHHQRRSGGHVPAEVLHHAGLLLGGHAHQRRQEHGVVGGQVPGHVRHVGGAERHARLERAVAAHQATRPLVGVPADVVVVERGAREVARGEHQGAQRQQAVLQLAQQDVVVEVGEERQVLQRVVEGGQQVGVVCLQQALRVGAEADQAPAHLLQLRAQALHVHGAARDARRHELGEERVHLRRRAQGRKLPDGG >Sspon.01G0012840-4D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1D:38035803:38036465:-1 gene:Sspon.01G0012840-4D transcript:Sspon.01G0012840-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLVIDPTALSLALPAPALSKEDYLAICLAALAGTRKFGLGGGREREQHQHHPTDKWCPTHAPAQQELRFRCTVCGKAFASYQALGGHKSSHRKPPTPEQYAAALATAAQTASGGDLDETASSGSAASGGPHRCTICRRGFATGQALGGHKRCHYWDGMPVSVSVSASASVSASGGTGSSGVTVRNFDLNLTPLPESNAGIRRWAEEEEVQSPLPIKKRR >Sspon.01G0034250-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:1997714:2012550:1 gene:Sspon.01G0034250-1B transcript:Sspon.01G0034250-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSQSVQIEKPPKSGCLFLGLPKSCCSISAFGDVAAVSDDFVESSPSSSGYLNSAMDASSHEDSQSERAVKMNQNSRDNNKMIKICDKLIGVFLVDKPTPTDWRKLLAFSREWDNIRPHFFKRCQEKADTELNPEMKHNLLRLGRKLKEIDEDVQRHNELLEVVKSTPSDKLGSIVAKRRKDFTVEFFNHLYYVAESYHDEPEKQTGEAFEYWSMIMDLFILVELAKLGNDCVDALQAHDDTTGSLEALNAAELKLKDILNSPSVDAACRKIDDLAEKKELDSALVLMLSKAWSAAKGTDITKSEAKDIMFHLYMTAVANLQRQMPKDIRILKHLIMIEDPEERLSALNDAFTPGPELQGDNVDTLYTSPEALHTWARAIVDAYYNSREGTLLGQARDMMNPKVIKRVEEIILLHSYKNIVLSADVLYLQLHSLQLQNKDFPHSNGVEATNTAQALELGPISSKQGLLLLNPLFDSEAKSTWIWLMDVRNVWPTNPPHLGKTLSPRSRTRERHTHVVEPSTTPMSKSTSVAARRASAMRMPCLRSARSP >Sspon.08G0022420-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:49198193:49200770:1 gene:Sspon.08G0022420-1B transcript:Sspon.08G0022420-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSEPVQENLVMDYSQPSKPRVLLAASGSVAAIKFENLCRSFSEWADVRAVATMSSLNFIDRSSLPSDIVLYTDDDEWSTWKKIGDEVLHIELRKWADIMVIAPLSANTLAKFHFVQKLMQQDYSVQIAGGLCDNLLTCIVRAWDYSKPLFVAPAMNTLMWNNPFTERHLQTINQLGIILIPPVTKRLACGDYGNGAMAETSQIYTSVRLACKTQPHDASSSLAILAYLFTSVAVKNMNAVDEYLFN >Sspon.03G0036050-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:51569112:51569318:1 gene:Sspon.03G0036050-1T transcript:Sspon.03G0036050-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATRSAFRRRPRAVAAAAEAAAGERSAEVRRFRDWIARMASVERERPRWRGPRLSRGPQVMETGLGL >Sspon.01G0028120-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:93931213:93936868:1 gene:Sspon.01G0028120-3D transcript:Sspon.01G0028120-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTARGLARVRREALAACMTCPLCRGLIREATAITLCLHTFCRECIMEKINDEEVDCCPVCDIDLGCDPEEKLRPDHNLQDIRNKVFPIKKINVDAPKAVTTLPAKRKQRSLSSLVVDTPRVVKRTGLTGKRTKAKRRAAASRATSPVNNGAMKLPSKSENRDQKTEKSSASQSTKVAASANKTENQDQKKTRKTLAKQSTRAATPANKKQRNTDVELSSKASSENRKNGKTADKDELRKSSKVPRSTPKIHAVNEEQIKEKESELPTRKGEADNKVPRSTPKIHAVNEEQIKEKESELPTRKGEADNKVVIPGTSVREHSNISHLKEKNDGSSPESSPLKDKIKTEDDSYQGSLGSASDLHDPITTPVWFSLISLPNQKEDPQLPQLSKTYMRIKDRSLQISSVQRYIMKKLDLANENEVEIICHGEPICPSSTLHGLMELCHRRQPTEPVEALVGTPANEFVMVLGYRRRHRPNSVPSTVAVPPEPS >Sspon.01G0060050-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:72870527:72871559:1 gene:Sspon.01G0060050-1D transcript:Sspon.01G0060050-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPPPLSIDTLCGAAASREAEEPLSPTARMFHDFYIVAVLGLGKPIDLEPARAGVGATLARHPRFCSIRVVTDGAEPRWVRTTVNLDDHIIVPDLDRAAISADPDKALEDYMASLSTLPMDQSRPLWEVHVLDFPTSEAASAVVLRIHHALGDCTSLVSLLLACTRSAADPMALPAMPAAQPPSPPSARRK >Sspon.03G0023700-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:72503227:72507242:1 gene:Sspon.03G0023700-1A transcript:Sspon.03G0023700-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRNRTPLYRKYRDALRHVRAPAGAPSSSGGGGGGGGPVIEMASLLRSDRPYAPLSTDDPSASSRGAVTVGLPPAWVDVSEEISANMQRARTKMAELAKAHAKALMPSFGDGRDDQRAIEVLTHEVTDLLKRSEKRLQKLSMKDSSEDSNVRKNVQRSLATDLQNLSMEFRKKQSSYLKQLRQQKEGQDGVDLEMNINGTKSTFEDDEFDDVGFTEVQMSKLKKSEAFTREREREIEQVVESVNELAQIMKDLSVLVIDQGTIIDRIDYNIQNVAASVEEGYEQLQKAERTQKKGGMVMCATVLVILIFIMI >Sspon.04G0005530-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:11888617:11891198:-1 gene:Sspon.04G0005530-2B transcript:Sspon.04G0005530-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At2g15820, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G15820) UniProtKB/Swiss-Prot;Acc:Q9XIL5] MATAPPCTCACGAPSPSLRCPLALPLPFASPHPAVRLATPPLVAQRRLAVSRLRAASALEALVLESDDEDEDEDEETEGAGAGLFQGEEWTATADERDAVRSPELEVFELEELPEQWRRSRIAWLCKELPAYKHSTFTRILNAQRKWITQDDVTYVAVHCLRIRHNDAAFRVYSWMVRQHWYRFNFALATRVADCLAREGKVEKCREVFDAMIKQGRVPAESTFHILVVAYLSVARGRCLEEACTIYNQMIQMGGYKPRLSLHNSLFRALVSKTGGTAKHNLRQAEFVYHNIVTSNLAVHKDIYAGLIWLHSYQDVIDRDRIKALRDEMKRAGFEESTYVLVSLMRAFSKEGDIEETEATWHWLLQSGCELPAQAYICRMELYARTGEPMKSLEMFKEMKNQNIPPNVASYHKIIEIMAKAREIEIAEKLLDEFVESDMKHLMPAFLDLMYLYLDLDMHEKLEQTFTKCLGRCRPNRILYTIYLESLVRIWNVSKAEEIFGEMHKNGMIGTNAKSCNIMLRGYLSAGDYQKAESIYELMCKKKYDIPVDSLEKLQSGLLGSKKVVKPPKPMSMKLDEEQREILIGLLLGGTQIESHAQKGVYTVNFKFQEDSNAHSVLRVHIHERFFEWLPSACRSLNRESEIPYRFSTIPHAHFGFFADQFFQKGQPVLPKLVHRWLSPRVLAYWFMFGGFRLQSGDIVLKVSGGNTDGVERIVNSLQTQSLTCKVKRKGRFFWIGFQGSNADSFWKIVEPYVLDSFASSTEERHNVGSDGLQDIDTYYEDDMQRHDRESEE >Sspon.02G0037270-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:31747740:31751648:1 gene:Sspon.02G0037270-1B transcript:Sspon.02G0037270-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVENGVQLTLTSTLKSTGKTRISADGLNNGSHATKEKHEHNGTLQPSKGQKQQLCTTCAKGHTCQSVINRTRQMRALMDSKKPYQAHSVFKHLMDEGHLPSLVTYTILLTALTNQRMFESIPSLLAQVELAGIRPDSIFFNALINAFVEAKRMGSVRPNLTTYNILVKAWCDQRNLEEAWGVVGKMQAGGVEPDIVTYNTIANAYANNEETWRAEELIVEIQTRVRTSERTWGIIIGGYCREGRLEEALRCIQQMKDAGIVPNVVIFNTLLKGFLDANDMAAVNNILGLMEQFGIKPDIVTYSHQLNTFSSLGHMAKCMKVFDKMVESGIEPDPQVYSILAKGFVRAKQPEKAEDLLLQMSHLGVCPNVVTFTTVISGWCSVADMESAMRVYEKMCKSGVYPNLRTFETLIWGYSEQKQPWKAEEVLQMMRETGVKPKQSTYCLIADAWKAVGLIENMNNSNGSPNGPFAIDKLDHSDDNCNVQISEDNNKLQSFDESNGRAMNGRSRSSFLQITNALGSSGIVSAKVLKAGEFPSKRLNAIKNTSFLQRSQRFQLLHFEFCRKQLQKNGGFYSQSISSFKMVLYSRGCIY >Sspon.01G0031510-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:108025763:108027299:-1 gene:Sspon.01G0031510-1A transcript:Sspon.01G0031510-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding FGRHNRARAAKAITPPPAPTMAAVHGGRRRHVLLFPLPYQGHINPMFRLAGLLHARGFAVTVFHTQFNAPDPARHPDYRFVPVPDGSPVPVAMKDVSAQIMELGVACEAGFRDRLASVLEEYSRDAIACLVADTHLLPIFEVAARLSVATLALRTGSAACCACFLAYPMLFDKGYLPVQGMRVLSCPVHIRSQRDMPVLEVPPYRVRDLPVMDEDGGGLVREVISRVTTAVKISSGLILNTFDALERRELEGLRRDLAVPVFDIGPLHKFSSAGDSSLLLPDRGCLEWLDAWPPQSVLYVSFGSMACMSPRDLVETAWGIAGSGVPFLWVVRPGMVSGSADHHQQQLPEGFEAATHGRGMVVSWAPQEEMLGHRAVGGFWTHGGWNSTAESICEGVPMLCRPYFGDQMGNARYVEHVWRVGLEVGGDLERGSVEAAIRRLMTGEDGAEMRTRAGELKKVAAECTGEAGSSCLAIDKLITHMMSL >Sspon.03G0003190-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:8049442:8050065:-1 gene:Sspon.03G0003190-1A transcript:Sspon.03G0003190-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHAQSRPRILACTALALLLAVTMTSTVASARPARHNSPPPSHSSGQTITLYTAGVTSPETAAPSTRHPVFTNQGPIGHSGSWLRALTRPDALRPGAVTVIDEQLRGRKEFGLPLEGRLQGVLVTSLADNSSHMVAVKASFAGDGADDSLRFFGVRRDDQEESHIAVVSGTGRYSGATGFAVVRVAGVPEMGGNVSSSRALSFSVHLK >Sspon.05G0007390-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:23113990:23125878:-1 gene:Sspon.05G0007390-1A transcript:Sspon.05G0007390-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNLLLPRRLLSAPTMARASLSGSASAAKALVPLNPTRGGRLPSLLPARLPVPRSGRVFRGASLRCYAAAAAVAEQSRIRVQNPIVEMDGDEMTRVIWKMIKDKLIFPYLELDVKYYDLGILNRDATNDEVTVESAEATLKYNVAVKCATITPDETRVKEFKLKSMWRSPNGTIRNILNGTVFREPILCKNIPRILSGWKKPICIGRHAFGDQYRATDMIINGPGKLKMVFVPDGADPMELDVYDFKGPGVALSMYNVDESIRAFAESSMAMALSKKWPLYLSTKNTILKKYDGRFKDIFQEVYEEQWKDKFEESSIWYEHRLIDDMVAYAVKSDGGYVWACKNYDGDVQSDFLAQGFGSLGLMTSVLLSSDGKTLEAEAAHGTVTRHFRLHQKGQETSTNSIASIFAWTRGLEHRAKLDKNDSLLDFTHKLESACVETVESGKMTKDLALLIHGPKVTREFYLSTEEFIDAVAQQLRGKIQLPATV >Sspon.02G0005070-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:15452140:15453825:1 gene:Sspon.02G0005070-2B transcript:Sspon.02G0005070-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSMQSTDSSSGELPPQRQPSSAPDLPPGFRFHPTDEELVVHYLKKKAASVPLPVAIIAEVDLYKFDPWELPDKATFGEQEWYFFSPRDRKYPNGARPNRAATSGYWKATGTDKPIMASGGNREKVGVKKALVFYRGKPPKGIKTNWIMHEYRLADAASSTTSRPPPPPPCNVVGGKATSSLRLDDWVLCRIYKKINKLGAGERSMECEDSVEDAVAAYPTHAAAAMAAVAGGGRAAHHNGNNYTSLIHHHHEDNFLDGFITTEDTAGLSAGASSLSQLAAAARAAAPADTKQLLVPSSSTTPFNWLDASTIAILPPAKRFHGYNRDTTDGGGTSLSSPSERNNLAAAAGAVDSGACSGGTNAIVPTFLNPLGVQGATAYHHHAIFGTPVTPEAAAAAATATCGFQHPYQLSGVNWN >Sspon.03G0023540-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:95382294:95386908:-1 gene:Sspon.03G0023540-2B transcript:Sspon.03G0023540-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSAARRLSTTASASSAAAAAPPKLSSLFRNPKPRPRPDSGVEAPRRGPRPRPRQPWEEEAGALLWRLHEGGYLPGPDLSSAPHAASPDAVKTAAERFGHDPSPSPRSDFKKVALFRCPTVERRTVFASKRLRAFFNLQEEKICSSCKLRSSCKFVNQEVARHNKVILSDTMRVISLFVLDACEQQLQESK >Sspon.08G0026810-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:32791176:32792257:1 gene:Sspon.08G0026810-2D transcript:Sspon.08G0026810-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAFHAASPATVPASPSPLPSKKAGGGLANLQWLLRKRANKVQQGRHVAAERDADDGCDDDECASMFAGATPYIGPVSGAGPTTPDDAPVGRKRRGEALSRLRSAILAVLARARRGRGRRPLGSCATVTGTIFGRRRGRVHLALQTDPRSPPALMVELAAYSTGALVREMASGLVRLALERPRQQASALVEEATWRAYCNGRKCGYAVRRDCGADEWRVLRAVEPVSVGAGVLPDGDNMGTGGAAAGEGDLMYMRAKFERVVGSRDSEAFYMVNPDGGSGPELSIYLLRV >Sspon.02G0006250-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:18715594:18736213:-1 gene:Sspon.02G0006250-1A transcript:Sspon.02G0006250-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRACTASTVVLALALLPALAAAAATTAYRRVAPSPGHECGAQGTYAPNRTYEANLRTLAATVPAQANASSCKCSPGNHAGERSDMVAASVYCYWRPDAGWSPDCGACITRAFGEAQRLCPYHRQAMVVVDGGECSVSFHDVQQMEQSMGLGSPGRRELPCRFLSKCYEFKSVLLNGDIHYVQSKSIILAFRCRLKVSMVNSKMIGSITHMNMCLTAIYQYIGVANVDLAPSIWYQLNKSRCLPPVMLRAAALVALAAALLPALASTIVEPLVDRLLVLRPGHMCGRSGNILKQVMALGLVFQAIGFGCLFFLLFQEWRSRKRGTLM >Sspon.05G0026250-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5B:32705040:32706680:-1 gene:Sspon.05G0026250-1B transcript:Sspon.05G0026250-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGEGPAIGIGLGITYSCVGVWQHDRVETIANDQGNRTTPSYVAFTDTERLIGDAATNQVAMNPTNTVFGHSSSPGEKNVLIFDLGGGTFDVSLLTIEEGIFEVKATAGDTHLGGEDFDNRLVNHFVQEFKRKHKKDMSGNPRALRRLRTACERAKRTLSSTAPTTIEIDSLFEGIDFYSTITRARFEELNMDLFRKCMEPVEKCLRDAKMDKSSVHDVVLVGGSTRIND >Sspon.01G0032570-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:109974745:109979097:1 gene:Sspon.01G0032570-1A transcript:Sspon.01G0032570-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKQFLGRLPKKPSKSGDKDPIGRSSPSVSHPPLGPRAGDRAANLSSYPPVISSSGLSYGSGMHAGNANTRAHVNGDSATSAFLSLPSFKDVPNTEKQSLFIKKLNLCCMQFDFTDPTKNIKEKEIKRQTLVELVDYIASATGKFSEASMQEITKMVSANLFRTLSTPPRENKVDGFDLDEEEPVMDPAWPHLQIVYELFLRFIQSQETDAKLAKRYIDHSFVLRLLDLFDSEDPREREYLKMILHRVYGKFMVHRPYIRKAINNIFYQFIYETEKHNGIAELLEILGSIINGFALPLKEEHKLFLVRALIPLHKPKCIAMYHQQLSYCITQFVEKDCKLADTVIRGLLKYWPITNSSKEVLFLGELEEILEATQPAEFQKCMVPLFRQIARCLNSSHFQVAERALFLWNNDHIESLIKQNSRVILPIIFPALEKNTSGHWNQAVQSLTLNVRKLFSDHDPGLFTECLRKYEEEKAKEKEVKLKQEATWKRLEEIASAKATSGAAVLVSRPLSRQSSAV >Sspon.04G0026790-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:50574800:50580887:1 gene:Sspon.04G0026790-1B transcript:Sspon.04G0026790-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRQVVLAYGAESDRSLGIPGEGNVALDVARFLLRCKAELATTDITDYALDALRGSTIRKVYLVGRRGPVQAACTAKELREILGLKNVRICIKEADLVTTPADEEEMRNSRIQRRVYELLSKAASAHGGNNYNDQKELHFVFFRRPTSFIPAENGSTIGAVQLEKTVLKGDEVTGKQVAVGTGEFEDLKCGLVLKSIGYKSLPVQGLPFDKNRGVVPNLRGRVLSSESETATVERGLYVVGWLKRGPTGIVATNLHCAEETVASILEDDKKGVLRTPSDSKKHGRTGLLEILEQKNVRFVPFSGWEKIDSMEKMAGQMRNKPREKITTWDGLQKAANE >Sspon.07G0015370-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:60670329:60678550:-1 gene:Sspon.07G0015370-2C transcript:Sspon.07G0015370-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEERLRWKKNNITNSSIKIPRLGAALATLNVVDIAANGLNAPASTSSSQPPCPVTAIVFACPHVGDRFFKAAFASFRDLRALHVKNAGDVVSVVPPLTYVDVAVVLPIDTGRSPYLRPPGTPQTLHNLECYLHGVAGEQGSAGGFRLEVDRDVALVNKDTDALRDEYPVPANWWVPENKWMVRGADGHWMLKDFEEI >Sspon.03G0004560-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:11637587:11637841:1 gene:Sspon.03G0004560-1A transcript:Sspon.03G0004560-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MANRGTAALVFACLLVAVTLADAAVPPVSPLVCNKVHGLQERETCFAVAQAAGLTLKQFLSFNPNIICEKLFVGQWICLDAAHA >Sspon.06G0013040-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:68040937:68043605:1 gene:Sspon.06G0013040-1A transcript:Sspon.06G0013040-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSLVRNVCRAGSRGAAARLLELAAAPVGAAHPGAAQPSSAVKHLRQPYRFARPIGVGQSGRVQSRALPAAAASGFGLCAKALVATRGLSTVGNVAEVASDSDDSTSLAVEHPPRIKFKRPDKTARHIMNILNKEAVEKVRSEREIPDVQPGCMIQMRLQVPENKRRELTLRGIVLGRRNAGINTTFRLRRLVAGVGVESVFPLYSPNIKEIKILDRKKVRRAKLYYLRDRVNALKK >Sspon.02G0036100-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2B:22777228:22779516:-1 gene:Sspon.02G0036100-1B transcript:Sspon.02G0036100-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARADLPPGFRFHPTDEELVNYYLKRKVHGLSIELDIIPEVDLYKCEPWELAEKSFLPSRDSEWYFFGPRDRKYPNGCRTNRATQAGYWKSTGKDRRINYQNRSIGMKKTLVYYKGRAPQGLRTNWVMHEYRIEESECENTMGIQDSYALCRVFKKNVALGEFQKQKQGECSSSQAKEKQEQLQVSGMLDSHLVQMSMFGQDDH >Sspon.06G0018640-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:100457582:100458809:-1 gene:Sspon.06G0018640-1A transcript:Sspon.06G0018640-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVLVHPYTILGGPAAGDGGGLRGGGTPPSPSTCGAGRSTGRASLTGSTEVRDVIAVCRWVTENIKPRGILLVGSSAGAPIAGSAVDKVDEVIGYVSIGYPFGQMASVLFGRHHDAILKSEKPKLFIMGTKDGFTSVKQLQNKLKSAAGRVDTHLIEGAGHFQMVGPAFDAQMVEIIVKFINSLPN >Sspon.01G0035290-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:10203174:10205278:1 gene:Sspon.01G0035290-2C transcript:Sspon.01G0035290-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450 704B1 [Source:Projected from Arabidopsis thaliana (AT1G69500) UniProtKB/Swiss-Prot;Acc:Q9C788] MEEAQLMPATPFFPLAGLHKYIAILLVVLSCVLVHRWNLRKQKGPRSWPVIGATVEQLRNYHRMHDWLVGYLSRHKTVTVDMPFTSYTYIADPVNVEHVLKTNFTNYPKGNVYRSYMDVLLGDGIFNADGELWRKQRKTASFEFASKNLRDFSAIVFREYSLKLSGILSQASKAGKVVDMQELYMRMTLDSICKVGFGVEIGTLSLDLPENSFAQAFDAANIIVTLRFIDPLWRVKRFFHVGSEALLAQSIKLVDEFTYSVIRRRKAEIVEARASGKQEKMKHDILSRFIELGEAGDDGSGGGGFGDDKSLRDVVLNFVIAGRDTTATTLSWFTHMAMSHPDVAEKLRRELCAFEAERAREEGVMLVPCGGADAAADDKAFAARVAQFAGLLTYDSLGKLVYLHACVTETLRLYPAVPQDDVLPDGTKVRAGGMVTYVPYSMGRMEYNWGPDAASFRPERWINEEGAFRNASPFKFTAFQAGPRICLGKDSAYLQMKMALAILFRFYSFQLLEGHPVQYRMMTILSMAHGLKVRVSRAV >Sspon.03G0033000-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3B:43725357:43725521:1 gene:Sspon.03G0033000-1B transcript:Sspon.03G0033000-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVKAYVLLFTAFFFSGLMQLSMAQDKQPAAVRVIDAKGVDQAIAYLLMFAALF >Sspon.03G0022320-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:90966184:90968583:1 gene:Sspon.03G0022320-2B transcript:Sspon.03G0022320-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFVRTLPAHYGLCTRQIRRRRLRAAAIGVRIERETSPNSPPFLPQPNHTDESNGGSHHTTPNYLQIQALAMAAATADEPTVPVEEQPVKESEEAAEAMEDQLGKESEEAAEAMKEQLGKESEEATESAEAMEQIEEEPEEVGDPAEAMEKDGEEAEAEEGASLRPALPVGRVKRIMRVDRDIKKVTSEAALLIAAATELFLGSLATGAHTAAARRGRRTVRAVHVRAAARAHRPTADFLLDCLAAEEEVPHARKTAGSAAKPLPRGTRRIDAFFQKCFNCLSTTHRVATCRLPRRCLRCKGFRHLARECKQWRKVTFGAPDGRSRRPVQEPTPANRPRVQGGAPDATGAGPGTAADVPVGASDGGAVNVGPEGRGGIAAARGFGRVRPSDVKLHHRGAHALPPHARPAWPSGIGRLLRSDAGGATRNRPLGFAETPTVAAILSPLPSALTPPWVGHPDVDLGNVDAPPGIAETTPVAAVPSPQPSAQELALRTPPRVGQPHVESGDETPTPREAARRLARFLDEVQVEREPPLIASPPRQRARANRPLPVRRRSTRIAAQPLAHIPASQRGEVLLKQRLGIAPPAAMASPAPKGYSTTCVEALDALFPAFNGRACMLFMEDP >Sspon.07G0000580-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:145140:148438:-1 gene:Sspon.07G0000580-3C transcript:Sspon.07G0000580-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVICQAKSGMGKTAVFVLSSLQQIDPVAGQVAALVLCHTRELAYQICHEFERFSKYLPEVKVAVFYGGVHIRKHKDLLKNECPHIVVGTPGRILALARDKDLSLKNVRHFILDECDKMLESLDMRRDVQEIFKMTPHDKQVMMFSATLSKEIRPVCKKFMQDPMEIYVDDEAKLTLHGLVQHYIKLSEAEKNRKLNDLLDALDFNQVVIFVKSVSRAAELNKLLCECNFPSICIHSGMTQEERLTRYKNFKEGHKRILVATDLVGRGIDIERVNIVINYDMPDSADTYLHRVGRAGRFGTKGLAITFVSSASDSDVLNQVQERFEVDIKELPEQIDTSTYMPS >Sspon.07G0032340-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7C:48495413:48496211:-1 gene:Sspon.07G0032340-1C transcript:Sspon.07G0032340-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding METARAGRGEDHRLGLKYSWGTELRLQADDDEARNNSKAAHEKFDEKIKKKIKFTETGILIPKRARKIFQRDRNVALSYS >Sspon.03G0013450-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:30996705:30998571:-1 gene:Sspon.03G0013450-3D transcript:Sspon.03G0013450-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein NRT1/ PTR FAMILY 6.2 [Source:Projected from Arabidopsis thaliana (AT2G26690) UniProtKB/Swiss-Prot;Acc:Q9SZY4] DGKKVQERAAWGTSDGGGNLVQDAVDYRGCRADKSSTGGWVAAALALGIELCERLSTMGIAVNLVTYLTGTMHLPSAAAANVVTDFLGTSFLLCLLGGFLADSFLGRYLTIAIFALVQAIGTGLLAVSTEVRQLRPPPCGPGAAGPCEQATGLQMGVLYMCLYLIALGTGGLKSSVSGFGTDQFDERDARERSAMGLFFNRFFFFINVGTLLAVTVLVYVQDHVGRSWAYGICAVAMLVAIAVFLSGTRRYRYKRSSGSPIVHILQVLVAAARKRNIVKQPLTASAFYEDRPEHARIPHTAQFPCLDRAAVMPGDDDNEAGHDGRPTPNPWKLCSVSRVEEVKMVARLMPVWATTILFWTIYAQMITFSVEQATTMDRRMGGFEIPAASLTVFFVGAIMLTLAFYDRVFVPLCRSLVTGRQGFTNLEKIGIGLVLSIIGMAAAAICEKKRLAVAATSGGAGHGSLPISVFVLTPQFLLVGAGEAFIYTGQLDFFITRSPKSMKTMSTGLFLTTLSLGFFLSSALVSLVKSCTQWLGDTINHSRLDYFYWLLAVLSVVNLVAYLVCAMWATAPASSQAEQPQSAMAADEKC >Sspon.05G0036110-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:5C:83949238:83949559:-1 gene:Sspon.05G0036110-1C transcript:Sspon.05G0036110-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GHRLGSPSQFPARLTRTHGSRRREPCVAGAQNHGSSPAHRCICIRPPPTRIMSNEERKGAPIPQTASSPLQDAPILHRRHRGLRQSPGSGRRFRFVFAGRAQSSTA >Sspon.07G0013390-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:53918456:53919363:-1 gene:Sspon.07G0013390-2B transcript:Sspon.07G0013390-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPNFNSMWSTSEINMVKSLITSHITNNTYTSSARVNNNFGMPMEAPPMDNMDMLQGYLMDEIRATRRVEGQQHMPNVVPKQRRHAVRFWTTDEHRNFLRGLEVFGHGKWKNISKYFVPTRTSVQISSHAQKYFRRQECTTKKQRFSINDVGLYDTQPWVQNNSSSWEALTFTGGAYNTNYNGFDGKHVAFNSLACASQASVNQVATWITDQQTTISSFVAPVMEVNGSQMTSNGHQLGGFLHN >Sspon.02G0022100-4D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2D:67266454:67267395:1 gene:Sspon.02G0022100-4D transcript:Sspon.02G0022100-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVPYGAPPPHQAPAPLAVVSPLFCAPYAVPLTVTKKAISRSGRDFVVTDANGAEMLRVNGALFSVHDRRVLLDAAGQPLLSMREKVFSMHNRWEVFRGDSSNASDLLFTAKKASIFQLKPEVDVFLAGNSEQQGCDFKIRGSYFERSCGFYLGNSDTMVAQINRKYTATNILLGKDTFVVTVFPHVDYVFITAVVVILDEIHKERFDGTTIFDVITDM >Sspon.01G0033550-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:112957100:112958886:-1 gene:Sspon.01G0033550-1A transcript:Sspon.01G0033550-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLLLLLLVAVASSSLSQEGVVVVADVPPSNKLIFILAGQSNMAGRGGVVANRWDGVVPGDCAPSPAVLRLSPDLRWEEAREPLHAGIDADRHAVGVGPGMAFANALLRSGHAGSPVVGLVPCAVGGTRMAEWGRGTQLYAEMLRRARVAVETGGRIGALLWYQGESDTVRWSDATEYGRRMGMLVRDLRADLGIPHLLVIQVGLASGLGQYTQVVRDAQKGIKLRNVRFVDAMGLPLQDGHLHLSTQAQVQLGHMLAQSYLNYGTSQL >Sspon.01G0004460-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:11962321:11976048:-1 gene:Sspon.01G0004460-1A transcript:Sspon.01G0004460-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polyadenylate-binding protein-interacting protein 7 [Source:Projected from Arabidopsis thaliana (AT2G26280) UniProtKB/Swiss-Prot;Acc:O64843] MSIEERKISMISKSTALNPNAEEFVPSSLRSVNDSSKRSDATMVVSGPSKESSIDKPESILQSNSDEEAHQYWQQQLPDDITPDFKVLGQDESTGPDSLSLAGLSINDGIGTSIFSPNQTLSMQHRASPFIRDKLNTRPKINLSGPTYMDERSQATILSPTAGSMSPNAAPWVKTMRNGGHYNTSRRDASHYNGDSSIGASLHNLTDVYHGSRRSLSSTMDIMSQLESKVDGRLSQNLRSLSFGNSSPPSPASYAQNGLGNYSNESFGLPNSPYRSHSAILADDIVSPSAGREHISLDVPRGRYKMTSLPVPGLGSSRGSQLLGGSYNGNHDMISTNTLQNMAGIQTGPAWLESDAAASAYLESKDEVHDFASLRHAVLEQDRQAFLMGNNPLTKDLTLKELYSIQTRFQMPELQGLIQEQNPPIDLCGLHVSEAIHVLNYELNNRRKIVRSTGRRLQALIISSARTPARLTATVEQYLMEHGLQYTQEMTYEDWMVKEYSAETDKLDIQQKFIKEKVARDTA >Sspon.05G0000650-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:1727069:1739241:-1 gene:Sspon.05G0000650-2D transcript:Sspon.05G0000650-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQVMVVARNFMDMVAALPAGKLDMLYDSAFICEAVLSGSLPREPLPLSVTGRLPTPADLEAYALDQWECFLLQLINSSQVEKGTSFSSSMMKTFQRGLLSSRDGEASKLTENGFQFLIISFLRQNAHPRVADKIPAVPENVTDQIRLWETDRNRVEMIPSHLYEDFPSKEWFEQCCDYARDNGYLLWEDSKRMRLIVRGEFHPEMREFLRRQR >Sspon.07G0003780-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7A:9126064:9126849:1 gene:Sspon.07G0003780-1A transcript:Sspon.07G0003780-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEVTRDIQGDIPWCMLFADDVVLVDDSRAWVNRKLELWRHTLESKGFRLTRTKTEYMRCDFSATRHEEGDVSLDGQVVAKKDTFRYLGSMLQKDGDIDEDVRHRISVGWLKWRQASGVLCDKRVPQKLKAEMRMLRWCCGHTKRDRVRNDDIQDRVGVAPIEEKLIQHRLRWFGHVQRRPPEAPVRSVLKRADYVKSGRGRPKLTWDESVKRDLKEWNISKDLAMDRSA >Sspon.07G0008220-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:20437388:20446778:-1 gene:Sspon.07G0008220-2B transcript:Sspon.07G0008220-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYGMSRLEDEYYEPEGQNTDGSGSVQVNDEFAKLHNDIFHMTRMRSRLTERYKSMDTNRGIISTAKLLSRREIDCSGKGMFSSCDRAFVLGRYVPMNGPELLDRMDSRAYVSQFSADGTLFVAGFQGSHIRIYDADRGWSIHKDIHARCLRWTISDVSLSPDQRYLAYSSLAPIIHIVNVGNAARESYANVTDIHDGLDFSQHEDVQYSFGIFSVKFSSDGRELVAGSNDESIYVYDLQANKLTLRLPAHTSDVNTVAFADETGHLIYSGSDDTLCKVWDRRCLSTGQAAGVLTGHLQGVTHIDSRGDGRSFISNGKDQAIKLCVDGAPTWDYRYSRYPQQHKQLKHPHDQSLATYRGHSVLRTLIRCYFSPAYSTGQKYIYTGSYDSCVHIYDVVSGSQVAKLKWHQMAIRDCSWHPFEPTLVSSSWDGHVAKWTSARDQEASDVD >Sspon.07G0035400-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:6809718:6817711:1 gene:Sspon.07G0035400-1D transcript:Sspon.07G0035400-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNIEVHEFSYHWQVVPMHDEWGPPGSSSPPLSLSPPHSSLLSFLPFLLRGFFSEPASSFVAPLEARAARLQGETTAMAMADKGGEAAELPPDIHGWAATDVLVQSKASTHGQAPRDSGSVGVQVTARHGGGGGCGGGGGRKRMPWSLKQQAGREGMKPYALPAMSMRARVGRHAAGLAGSRSRGVARGRAARDARGRGLAPCPRPSSPVGAGGRPAGLDALLSLDACGCSSQQGVEWSGSVCLRAVPTQWQRSARRWVHAAAGPATMPWNTGRDIPWPTRTSLFTPDLHLSGDGVRGELWTSFFFFSAWVERDPFLHSPFHPNVQSQPSDLKPLLHATPHILFPISISTLAPHPHPPRRREREGGRGFPMENGEETFASPTAAAADFEFSTNGGDGTPKLHNAADEIEALRAAKRDLEEKLDAVGHENRFLSSESRRLEVLVSQAREEVAAAEQAAATNESEAATLRAEVERLQGLLAAEKASHEEEMRRGAGLGDQLQTAYQEKAALEEEIETLKGSAAADGKGGEEEKCDSVAARAGTPKDEGVVPPVLVAAAAAGAAATAAIAVVLLNLKR >Sspon.08G0024030-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:60972290:60973293:1 gene:Sspon.08G0024030-1B transcript:Sspon.08G0024030-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADVLVDSQRRVLISGYGYGLPPQPAESLLLGRLDQIDLRLRQLEEQQQRRPPHAEHGAPAPARRAPAAQHQHTKSMPAALQHVQVRGDLMDRLNLLESRIRQVSCELGLDGGGGGVAGKAVHHQFGLGLGSSSSEPPVEDPATWSDSAPVAVVDPAFGGGKVQSKPAAAAGGSWSAVEILQRGARQLHRSTSSISSISKSNPPVKVSSAPTIHRRRRQKNLSDRFCTLIRDSFQRRENVEKSDLVVSFDLKKVNKLKETKSACEKEKRKAERSKSLTKAERNKSLTSRLWLMVGCKH >Sspon.02G0012760-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:34184843:34188121:-1 gene:Sspon.02G0012760-1A transcript:Sspon.02G0012760-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MESQSDVPLLPKLPRPPPEKRGGGKVQFQGRLAREVWEESKKLGEVVGPAVFMNLVFSSMNLVSQSFAGHLGDLDLAAFSIANTVVDGFNFAMLLGMASATETLCGQAYGAKQYHMLGIYLQRSWLVLLAFAALLAPVYVFSGQLLAAFGQPAELSRAAARMYFLPSHFMYAIHLPVMTFLQCQRKNWVPAVATAVVFAVHVAATWLLVNYLGLGIFGVAMAFNLSWVVLAALLLSYALGGGCPETWSGFSASAFVDLKEFVTLSASSGVMVCLENWYYRILIFLTAYMKSAELAVDALSICMSLTGWEMMIHMGFLEGTGVRVANELGAANGNGARFATIVSTAISFLISLFASFLALIFHNKLAVIFSSSGAVIDAVDNISVLLALTILLNGIQPVLSGVAIGSGWQALVAYVNVGSYYLIGVPLGVLLGWCFNYSVPGIWAGMISGTTMQTLILALITLRCDWNKEALKAGNRVRQWSST >Sspon.01G0054110-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:47636934:47637789:-1 gene:Sspon.01G0054110-1P transcript:Sspon.01G0054110-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFFTISNNLVTYLTTVLHESKVTAARNLSAWVGACFFTPLFGGFIADTYWGRYWTIVVFFPLYVVAMVVLIASASLPIFSTSSDHGGSVHRAVVYFGIYLAAIASGGIKPCTSAFGADQFDTNDHAELVTKGSFFSWYFFLISTSSLLSGTVIVWLQDNVGWAVSYVIPTVLMLICFPAFLAGSRVYRFRKMGVSPLTSILQVVVAAVRKWHIKLPDDSSLLYEPTSMPSGTNASYKIEHTNEF >Sspon.04G0011760-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:36184881:36189547:-1 gene:Sspon.04G0011760-2C transcript:Sspon.04G0011760-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MACCRALALHLLPASAHFRARINFRRRLRCCAAAGDQVEAPQDAVLKAISQVATSKGRIAQTTNVIMGGTVTDDTTDEWLVLDKKVNIYPTVRGFTAIGTGGDDFVQSMVVAVESVIEERIPEGQISQKVSAKGKYVSVKIGPIRVVSKEQVQAVYNAMRKDKRMKFFL >Sspon.01G0036970-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:16612049:16613835:-1 gene:Sspon.01G0036970-3D transcript:Sspon.01G0036970-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTQPSCSTTTTNQLPWLELGMSGHAASALDYYSTVLNKLKWSVWRWRRPPWAGAAFGDGCAQWPALVMRAVLEVVRLTLEGDEEKLKVLEDEKSNGNCPTPLFTPHVAFEQKAMAAW >Sspon.01G0032910-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1A:111478634:111482933:1 gene:Sspon.01G0032910-1A transcript:Sspon.01G0032910-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVQVLVEGSSSSPQQWQLTVVMAVLVPLLLLLLARKRRAGKSWSSKKGRRVLHLPPGPPRLPILGNLHQLGALPHQSLRELARRHGPVMLLRLGSVPTLVVSSAEAAREVMKARDADCCSRPDTPGARRLSYDHKDVAFSPYGDYWREMRKLFVVEFLSARRVPAADYAREAEVEQRRRQGGAAGGPHLRAHGRRHRHGGVREDLRHGAVRAQEALPRRAGRAMSAKAGFSAEDYYPNAAGRLVDRLTGAAARRERVFRDLDAFFDTIIDQHLVDPSSRASAAPPGHGGPDLIDVFVDLMEERRQRRQVDGSLRFTRDHIKGLLSNVFTASVDTSSVTMVWAMAELIRRPAMLRKVQEEVRSIVDGGGRERVHPDDVAKLRYLKAVVKETLRLHPAVPLLLPRETLRHVSICGHDVPAKTRVLVNAWAIGRDPRSWGDRPEEFDPDRFDGDDGVVDFNGTHFELVPFGAGRRMCPGMGMGVATMEFALANLLYCFDWELPEGVQVEDVSMEEAGGLTVHKKTPLLLVPTRYKCASHTSMTARPFTPPARFLFPSGGLLPTRSRHCSVARGLVVLRDHTNRVCGVAATVYQREQGPRRFGRKLDSEDGGEQSGRGLLEGTPETHLHVGKARGYPRSYPTRSLALARYSLRGTPTRTRGKLERFSIPRSFSPPLPSRSSFGTTASSRDCSWQFSPRQDYSVLGEASPCKSLPHRSFPGGPRDSLTRRLPSFGEPLPRCTASATLLFCCRNMSKSSIEKKIRWRTTGGSSYVSFPLTMNLRATNRV >Sspon.02G0005380-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:16924627:16929663:1 gene:Sspon.02G0005380-1A transcript:Sspon.02G0005380-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFCLFFTFLKQKKNHPTRVLTLVYQPFALGVSALLAYHEAKINTRLRNLTGYTIYFLRRGGFGAFVGICVTSAAFGIADAHAQGGMIGDLSLMCPEFIQSYLAGLAASGAITSALRLITKATFENSQDGLRKGAMLFFSISCFNELLCVLLYTFVFPTLPIVKFYRSKAASEGSKTVAGDLAAAGVPTQDDEQAMEDPKQYVRLSTKQLYALVLITMFNAWDLIGRYVPIIVKLKSRKCTMAAALARFLLIPAFYFTAKYGAQGYMIFLTSFLGLSNGYLTVCVLTEAPKGYNGPEQNALGNVLVVFLMIGLFSGVVLDWLWLIGK >Sspon.01G0016730-3C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1C:72418076:72420987:-1 gene:Sspon.01G0016730-3C transcript:Sspon.01G0016730-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FMN/FHY [Source:Projected from Arabidopsis thaliana (AT4G21470) UniProtKB/TrEMBL;Acc:A0A178V341] MTCARWKECFSAIVGGDEVEKGKPSPDIFLEAAKRMNAIPSNCLVIEDSLPGVTAGKAAGMHVIAVPSIPKKTAEFSSVDVVINSLLDVRPEKWGLPPFNDWIEDTLPIEPWFISGPVIKGFGRGSKVLGIPTANLPAENFSDVVSEYTSGVYFGWAGLSTRGIYKMVMSVGWNPYFDNTEKTVEPWLLHNFGEDFYGEELRLAIVGYIRPEANFPSLKSLIERIQEDGRIAEKALDLPMYAKYKDSPYLRNTLQLG >Sspon.06G0022760-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:33487576:33488729:-1 gene:Sspon.06G0022760-1T transcript:Sspon.06G0022760-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVDHGAAGNGNHVQQSRRTTAELSSSGPPRKPPLVDDDGRPLRTGTLWTASAHIITAVIGSGVLSLAWGVAQLGWVGGPAAMVLFGAVICYTSTLLAECYRSGDPMFGPRNRTYIDAVRATLGDSKERLCGAIQLSNLFGIGIGVSIAASGDQEGRVFPLQGSRGPLPRLHQPIHRHLRRDADLLLADPDLDKVWWLSTVSAIMSFSYSTIGICLGVAQIVVHRGPRGSLAGVIGAGAGVTVMQKVWRSLQAFGNIAFAYGFSLILLEIQDTIKSPPPSEAKVMKKATAVSVAVTTVIYLLCGCVGYAAFGGKAPDNLLT >Sspon.06G0005070-4P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:15489497:15489952:1 gene:Sspon.06G0005070-4P transcript:Sspon.06G0005070-4P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding NGAQGGEEARGEEADGGGTRGREGARREEAQGREAAPRGQVRRQGGRRRGQAGPEEGQEERGDVQDLHLQGAEAGAPGHRHFLQGHVHHELLHQRHLREARRRGRQARAVQQEAHHHLPRDPDLRAPRPPRGARQARRLRGHQGRHQVHLVL >Sspon.01G0010650-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:23391272:23391859:1 gene:Sspon.01G0010650-2P transcript:Sspon.01G0010650-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LPGRTDNEVKNFWNSYIKKKLRQRGIDPATHKPLPLAAETSRAAAAGSSRTAVFSDAELILSSTTAAGQHMPPPPVTAAAAAAAESYDVYSYSRSSGGGGASDGSLQSLSGYNSSSKKTAADHLGAAAAAGSYLQQQDPAAADALCCGPSGVPAVVLPSVSSSSTLNSMAGLSPAATTTTPPTNSATTTTVAAATT >Sspon.05G0022750-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:8343630:8344613:-1 gene:Sspon.05G0022750-2D transcript:Sspon.05G0022750-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding WLHCSVIRSRAAASFSSSPSPSKHQSPEHRRTTDRPCRSRSRAMQHITSACKPSHASCAAAAAALPPRPLLRRAAAVPCRRVAGPDPARDAASRLAVRRRCQEEDKQQQQEAATDDEQQKRTFLSLEEAGLVEMSGLSTHERFLCRLTISSLNLLRVISEQEGVPIEELNAGRVCDWFLKDKLKREQNVGTAVLQWDDPGF >Sspon.05G0017370-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:68160135:68162462:1 gene:Sspon.05G0017370-3C transcript:Sspon.05G0017370-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding PPKSRPKEEEDHLAAAAPVIALADRLSALPSDVLPQILSCLPAHEALRTCVLARTWRNLWKEKRIFPRRLLITAAAEDPAVQQEVLGFVDRLLDLRLREIKDAPLESCEISFCWFAEEDILRVSRWIRRALECQIQALRVNIWPKRPQPGNLYDFLTNSKPLASQNLTRLALARIVFDETDGGQPDFSSCPALQDMELDDCLFLAGVMASPSLKRLTIRRSHFIGRCYIRVPRLVSLCLEALTGTDPKLESMPELVLAYVNIGQQDCFDAYSSSDTDGTNDDSELDSCDDVEADTEKCVLIRSDLRWCPTFPKLKTLVLNDCWCKPVDSTSLACILEHAPILEKLTVEFSQQVRRNYRVEMTGHRHATEESTMISQHLKIVQFKCETVNETLLSILNFMGTLNICKLITNTSFVFYISIWFIVARGSNTIHVFGTLLKDGHEDVN >Sspon.08G0018840-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:8236513:8239964:1 gene:Sspon.08G0018840-3D transcript:Sspon.08G0018840-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGQQDRASKSSSSSISSSTQESEEEAPVRSVTIGSLLAQANSSSGHSLGKRLSHLGSIPYTPRVNGKIPNVDNATLDHERLLERLGTYGLTEFQIEGDGNCQFRALADQIFRNPEYHKHVRKAVIKQLKEFRKQYESYVPMEYKVYLKKMKRSGEWGDHLTLQAAADRFGAKICLLTSFKDTCLIEIVPRDLTPTKELWLSFWCEVHYNSLYGIDDLLTRKAKKKHWLF >Sspon.03G0025680-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:4430693:4435539:1 gene:Sspon.03G0025680-2C transcript:Sspon.03G0025680-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPQHVRAPLARALRATATATAPAPIKSQGISRRALLALSEPQLRQLALDLGQKSYRGKQLHDLLYKSRAKQIEDFNHVPKAFREALLGAGWSVGRSPVHHAVTASDGTTKILLKLEDNRLIETVGIPVDDDNKGSSRLTACVSSQVGCPLRCSFCATGKGGFARNLQPHEIVEQVLAIEETFKHRVTNVVFMGMGEPMMNLKSVLEAHRCFNKELKIGQRMMTISTVGVPNTIKMLASHKLQSTLAVSLHAPNQKLRETIVPSAKSYPLGALMDDCKNYFLETGRREHAEELAELLRTCGGGYHVNLIPYNPIEGSEYKRPYRKVVQAFVDALEGRKITVSVRQTRGLDANAACGQLRNEFQKNPLLEIEASPSTEPTLGRLAGGGDPEVLAGGERLSKAPGWSGDVPGGEGAAVAGGDAEGERPAHEDGAALPVLSPVARQGQPLRVRGLDGGADHVADEHQVEVAEAVDAEPDAAGLAARHPANTSL >Sspon.03G0002040-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:20016939:20017754:1 gene:Sspon.03G0002040-2C transcript:Sspon.03G0002040-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGEMDEDVLTEILERLPCRSLARFQCVSTSWRRIISSDYLRRRLPLITSGVLYHDDTHRGGDDLDGGRRQQAYTYAFASGGGGGTAEAPDMGFFPRHETSTIIDGCNGLLLYYASRPTAFHVVNPTTRRWAELPPPRARTLLSVLAFDPCASPHYRVVCFTGWLPRGATIEVFDSERGAWRDHEVDFGLDTDAMSATMHYSGGALHVLAYSGHVVRVDLDTMASAVTALPAPSAAGRARGTAAAACGSRPATARASRSGSSGTPPEATGR >Sspon.02G0057150-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:45144516:45148038:1 gene:Sspon.02G0057150-1D transcript:Sspon.02G0057150-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNAIPGDSFWRRLRASEQHRHWAAPPPLDLSHVMSRRHPNMLQLMNRRCPAPARRSAYERWTERHPTKIDSYNSSSECSNRVGVQQCSSVINYNNSIGFIVAKDVMARYIRPSMCRLSDARREKS >Sspon.06G0016580-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:71541337:71542243:1 gene:Sspon.06G0016580-2D transcript:Sspon.06G0016580-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLRLAVVAALALCCCLIHAPSTTGAATDASFPPGLEVLQQDTRVAPPSSSCGADDQAVVAGEAEAAGGRTRMDLELEDYPGSGANDRHSPWAQQRRN >Sspon.07G0008310-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:18839202:18841930:1 gene:Sspon.07G0008310-3C transcript:Sspon.07G0008310-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVQRLLRLRMASHSCSRPAPAAALAPRAARPLSSLLLAPSPSAARPRSAPLSCAARPCRGHAAASASRGAAGRRPPSVAAMSSSTPPPGPVQKSEEEWQAILTPEQFNILRRKGTEYPGTGEYDKFFEEGIYKCAGCGTPLYKSSTKFNSGCGWPAFYEGLPGAIKQTPDPDGRRTEITCTACGGHLGHIFKGEGFKTPTNERHCVNSISLKFTPS >Sspon.02G0026610-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:94440768:94442173:-1 gene:Sspon.02G0026610-1A transcript:Sspon.02G0026610-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSLLRLSRPRRALLPISSLLQPLSTQSHAPSPSPTPSSARRLPHFLSFLAAAAAAAAAAAGGGTVALCDSGLDHHRCPPHLTPVGGKDSADLVVRGERKLVPQEFIDELASFLGDNMTLDYEERSFHGTPQNSFHKAVNVPDVVVFPSSQDEVQKIVMACNKYKVPIVPYGGATSIEGHTLAPHGGVCIDMTLMKKIKSFNVEDMDVVVEPGVGWIELNEYLKPYGLFFPLDPGK >Sspon.05G0019280-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:15287741:15293699:-1 gene:Sspon.05G0019280-2B transcript:Sspon.05G0019280-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFAASPSLSALASSSSAAAAATSSSTPSSNAFHEPRPLHLSLKPVSRLPKPHALSCSAPHIPRAAAGDGLGAGNRGDDSGGNGGNDGGGDGEDDDDYEEAEFGPLLFFDEVLRLAAARGVALPGDMMEAAKDAGIREVLLLRYFDLQAAPWPLGAMIRAFSMLRNRMLADPSFLFKVGTEIVIDSCCATFAEVQKRGKDFWAEFELYAADLLVGVAVDIALVGLLAPYVRIGKPSASTGFFGRFSRMAGSLPSSVFEAERPGCRFTIQQRIGTYFYKGVLYGSVGFVCGIIGQGIANMIMTAKRSVKKSDEDIPVPPLVKSAALWGVFLAVSSNTRYQIINGLERVVEASPVARRVPPVAMAFTVGVRFANNIYGGMQFVDWARWSGV >Sspon.01G0015410-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:49822049:49824080:-1 gene:Sspon.01G0015410-2B transcript:Sspon.01G0015410-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plastidal glycolate/glycerate translocator 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G32080) UniProtKB/Swiss-Prot;Acc:Q9FVQ4] MQILGVAHLVVSLGIMLAADKFLKKAFVAAGIKFPSALFGMFCVFSVLVVFDAFVPPLAKAFMNFFEPATMFIKRWLPVFYVPTLVVFPLAITEIPAASALKIFAITFGGWFATLTVAGYTVLAVRNLVRTELIPDTEPVSKPSPFSTSEIWSWTAIFVASFGVAYFNPTALGTTAKTCLPFLLAANVLGYMVGSGLPAGVKKVLHPVISCALSADLAAAAYGYLSGSGFDALVKRHAPEIFTSVAIGATFSLYSTAIIGRLVGLEPSLTISMLPRCITLALALSIVSFFEGVNSSVTAVVVVLTGLLGANFVLAAMEKLGLNDPISRGIATAASAQGLGTAALSAKEPEALPFCAISYSFTGIFGSLICSIPAVRQSLIFIAG >Sspon.02G0016860-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:47466751:47469278:1 gene:Sspon.02G0016860-1A transcript:Sspon.02G0016860-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GDSL esterase/lipase CPRD49 [Source:Projected from Arabidopsis thaliana (AT3G11210) UniProtKB/Swiss-Prot;Acc:Q9SRM5] MTELAEFDGRARQRCTIERATVKLLRSVYLSISRQKRRKSRVLLDRASQVDRSGHPVGALGEVAGGSTMLGVGGRPLFVLFGSSIVQYSFSNGGWGAALADIYARKADILLRGYIGWNTRRAVQVMDKVFPKDSAVQPSLVIVYFGGNDSIAAHSSGLGPHVPLDEYIGNMRKIAEHLKSLSGKTRVIFLSCPPLNEEMLRNSTSSTILSEIVRTNETCRLYSDACIALCKEMNLKVVDLWHAMQKREDWMTACFTDGLHLSEEGSNIVVEEILKVLKEAEWEPCLHWKAMPTEFAEDSPFDLVSSSGDTTVNPSEWTIHRKLPWD >Sspon.01G0007760-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1A:21439836:21442256:-1 gene:Sspon.01G0007760-1A transcript:Sspon.01G0007760-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding QTVKGPITDPSQLPKWNYDGSSTGQAPGEDSEVILYPQAIFKDPFRKGDNILVMCDCYTPQGEPIPTNKRYNAAKVFSHPDVAAEDLHCLNSNRYGIEQEYTLLQKDGPYYCAAGADKAFGRDVVDAHYKACLYAGINISGINGEVMPGQWEFQVGPSVGISASDEIWVARYILERITEIAGIVLSLDPKPIQGDWNGAGAHTNYSTKSMREPGGYEVIKKAIEKLGKRHKEHIAAYGEGNERRLTGRHETADINTFKWGVANRGASIRVGRDTEREGKGYFEDRRPASNMDPYVVTGMIAETTILWKGN >Sspon.01G0048750-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:100269258:100270716:-1 gene:Sspon.01G0048750-2D transcript:Sspon.01G0048750-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAMAAYEAEKNMHKLTDIYAIKARHERWMAKIRPFTAILERYERRAMRRYVKQVNAFLLAPKNVRQHRPVHKLRLQMLGHWHENIDEWVTAAIAKWGVEDFELVIDGDCGGYNLKQLDRYRNVHLERLTLSNCQPICSWNCLTVQRLTKLTLGAGSYMGMISEILRNSMELRDFSITSSRVCQAALRFFVPTSKIKSLQVDRCCFGKIYLMSLPCLETLVCRGRPTKLSYGEVPRLRHVSLDYLQTEDNDIDDESGTSRTYPPSKFFKRIPPLDSLVLQFKGPRMWIEPFVVPGDQLKKLFIANVPVNWDVLWILLLLGAAPALESFHVHIDNNSEQRGSGDLCDSLDADAQQHQNRRLKELVVAGFEGLGWQTGFVRLIMKRSPLLRHVHLLDGEVRDDEQELGALQIVPRRREWHECERAE >Sspon.06G0016340-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:88495964:88498413:-1 gene:Sspon.06G0016340-1A transcript:Sspon.06G0016340-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAGKPPPLVCFKWPWSPNPNPSPSPSPSPSPCGDLELPWLFKSIRTLAQGLLIAGDLPSPASSSAGGGLWGRQRRSTGAAVVEVDRGDAEQRALPAALATGRPATVLEFYSPRCRLCASLQDLVRELEDGAGGSASFVLADAEDDRWLPELLHYDIRYVPCFVLLDKHGRALAKTGVPTSRQHVIAGLHHLLKMQQPSGREGNQSAPPS >Sspon.03G0039450-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3C:15507542:15507903:1 gene:Sspon.03G0039450-1C transcript:Sspon.03G0039450-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRQLVKDCLDHYNRQHPGNEYEPVLGDVTQNPHMHNGICWIHGNFVARKKGSGVFSFLTAPRSVFFYELVYMDGFEGVVTCTPVGMVYFPLARCIFSSSFSYVFAGRSCISFASANRR >Sspon.03G0015850-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:50374591:50377079:1 gene:Sspon.03G0015850-1A transcript:Sspon.03G0015850-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCASTITHSRPFALRQPAQRVGSTAIITAFPFAVPFLSPPRIAAAAAKLRSTKMAELDTFLFTSESVNEGHPDKLCDQISDAVLDACLAEDPDSKVACETCTKTNMVMVFGEITTKANVDYEKIVRDTCRGIGFVSNDVGLDADHCKVLVNIEQQSPDIAQGVHGHFTKRPEEIGAGDQGHMFGYATDETPEMMPLSHVLATKLGARLTEVRKNGTCPWLRPDGKTQVTVEYHNDNGAMVPIRVHTVLISTQHDETVTNDEIAADLKEHVIKPVIPEQYLDEKTIFHLNPSGRFVIGGPHGDAGLTGRKIIIDTYGGWGAHGGGAFSGKDPTKVDRSGAYIARQAAKSIVASGIARRCIVQVSYAIGVPEPLSV >Sspon.05G0009630-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:19114347:19115751:-1 gene:Sspon.05G0009630-3C transcript:Sspon.05G0009630-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKAELVVEVKSPADKLWAALRDSTELFPKIFPEQYKSIETVEGDGKSAGTVRLLKYTGAVPMLTFAKEKLEVVDDENKVVSYSVVDGELVNFYKNFMITLKVAPAKVAAEGEAGAGAVVSWAMEFDKANDQVPDPDVIKETATKTFHDLDDYLLKN >Sspon.07G0026510-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7B:54069275:54069691:-1 gene:Sspon.07G0026510-1B transcript:Sspon.07G0026510-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding METSADQAPLAPQQDTLEDLAEKFGEFFISSPTRAWKHLKQFESYLDMTRFFEPASKPSDNHGPSLARLPLGLRNTASVYQTMVSRLMLSTLIMEEEQEEIPLMGAQLDLVLTATSQGNIVHWLGARLLGNSIDAQDVP >Sspon.02G0014890-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:33725304:33730024:1 gene:Sspon.02G0014890-4D transcript:Sspon.02G0014890-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAHHLPVVPHRLAPMRQISSSPPPPHPASRCSATGKLPVQLQAAPSRAERASGFAARVAFNPSGNYDLSLSMGQDETPQVQPPPPPTEGRFEIIINNDTIRTLDLSPVQEALGDLSSLTAADSRTLLDRTVGFTINYEREDEYDTRELSEFPDIRLWFVRLDAAYPWFPVVLDWRAGELARYTAMLVPHQMSMRMGVVFNPEALELFVMKKVFAVETWLKQQNHPKPRLKTADMARMLGFGVGDELFELIEKYPVHRS >Sspon.06G0004210-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:10830321:10834689:-1 gene:Sspon.06G0004210-1T transcript:Sspon.06G0004210-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ELGAGAGSLLRWAVARLNCVALLVWHPAPTEPSVGKALWEDHHTGEEGQEEECGAGAREDVLVSCLFPQAAASLELLPLKLQSEVLKDAISQIVGDAKEKNRKFTETVELQIGLKNYDPQKDKRFSGSVKLPHIPRPKMKVCMLGDAQHVEEAEKMGLDYMDVEALKKMNKNKKLVKKLAKKYHAFLASEAIIKQIPRLLGPGKFPTLVTHQESLESKVNETKATVKFQLKKVLCMGVAVGNLSMEEKQIQQNIQMSVNFLVSLLKKNWQNVRCLYVKSTMGKPYRATLFARQIV >Sspon.03G0036130-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3B:88153291:88155826:-1 gene:Sspon.03G0036130-1B transcript:Sspon.03G0036130-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGFKLTCNTSFHPPGLFLDRDGTLQVIDIFLQQSMVRIIHHTRTGENNPISYLDPNATVQDASFQLPEIGENYMLSTGNEFLFFGYSNAQATLYGESSGPFEENYRHCSGSDGCCHVPILAGSTPKIIEFKGLMNTRQWAFISEEGLTDQWWYMIFNRTEELLSIMPLFSGPYFSSPLVLRWAVKQGFQAPAARNSGQCPGDIVSSICNKDSFCRQENEGFTCHCNTRYQGNPYIADGCKDVDECSSNTTKRCFGVCENLPGTFKCQCPLGTFGNPHKSNGCFRLSTILSTFIKKNKIGLSAASGLVLLLLVLGIMLVPRKIGEHRMKVLKQKYFKQNCGQLLQQLMSRKADNAERMIIPMEELAKATNNFDKTRELGGGGHGTVYKGILSDLHVIAIKKSKITVHKEIDEFINEVAILSQVNHKNIVKLFGCSLETEVSLLVYEFISNGTLYHHLHVEGPRSLSWVNRLRIATEIASSLAYIHTAVSIPIIHRDIKFSNILLDDKMTSKISDFGASRGEERPTMRKVEHTLEGLWGSKKYKREDTVAAEFEDDSIVTNCPSSTKGGQNFKESSR >Sspon.06G0019410-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:1668013:1668851:1 gene:Sspon.06G0019410-1B transcript:Sspon.06G0019410-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSGDTAIAQVHTGLDSSNKTLLKSEALYKSSSNDQRSRLLAQYVLDTSVLPHEPDCMRELRLVTDKHEWGFMQSSPDEAQLLRMLLKLTGARNTLEVGVFTGYSLLATALALPDDGKVIAFDVSREYYDIGRPFIEKAGVAHKVDFREGPALEGLDALLADEANHGAFDFAFVDADKPNYVKYHEQLLRLTPMNDLDRRFSAAIRDLNVRLSKDKRVEVCQLAIADGITICRRLV >Sspon.02G0022880-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:70271752:70273546:-1 gene:Sspon.02G0022880-2D transcript:Sspon.02G0022880-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAADYGKLFVGGISWETSEDRLREYFGRFGEVTEAVIMRDRSTGRARGFGFVVFADAAVAERVTMDKHMIDGRMVEAKKAVPRDDHSIVSKSNASSIGSPGPGRTRKIFVGGLPSNVTEADFRRYFEQFGVITDVVVMYDHNTQRPRGFGFITYDSEDAVDKALHKSFHELNGKMVEVKRAVPKEQSPGPVVRSPVGVGQNYAMNRVHSFLNGFNQGYNPNPIGGYGMRVDGRYGLLSGARNGFSSFGPGYGMGMNVEGGMSGTFGASSGFISNSNGRQMGSYFNASSNRLGSPMGYLGLNDVPGSMLSSMSRNVWGNGSLNYPSNPTNMSAFASPGNGGQVGITGDSWGGLPSAHGMGNISSLGSGNLGRGAGDNTFGLPSGSYGRSNSTGTIGEPFSASGNTYEVNNPDTYGSSSIYGGTAW >Sspon.07G0011290-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:37177576:37179545:-1 gene:Sspon.07G0011290-1T transcript:Sspon.07G0011290-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLLAAVLAVVLVALAAGATGMPSEIDDGMPLIHMLRPLLHSGGHLGRRGGVPCDSWRFAVETNTLRDWETVPARCEKYVGNYMLGGHYRSDSRAVVDEAIAYAEGLKLSGEGKEVWVFDVDETTLSNLPYYAQHGFGSEPYNSTAFGAYAKEANAPALPETLRLSFIKPVILTGRREDKRESTAKNLAAAGYTGYEKLLLKPQDVRVTSVEFKSGERKKLVDAGYVIVGNIGDQWTDLLGEPEGDRTFKLPDPMYYVG >Sspon.03G0019700-1P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3B:83867031:83869223:-1 gene:Sspon.03G0019700-1P transcript:Sspon.03G0019700-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPNGSTSLLPLLLLLLLPPLHSFANAGSLDADVAALSDFRLVADPSGAALATWNLSANPEPCAAGAWRGVTCAGGRVTRLVLEGLGLSGAAALPALARLDGLRVLSLKGNGFSGGIPDLSPLAGLKLLFLAGNALSGPIPPSLGALYRLYRLDLSSNNLSGVVPPELGRLDRLLTLRLDSNRLSGGIDAIALPRLQELNVSNNLMSGRIPAAMASFPAAAFGGNVGLCSAPLPPCKDEAQQPNASAAVNASAAGDCPPASAMVAASSPSGKPAGAEAVGGGGKGKMSRAAVVAIVAGDFAVVGLVAGLLFCYFWPRLSGRRSGRRLQQGEKIVYSSSPYGAAGVVAAAGGGGPTFERGKMVFLEDVSCSNGGTRRFELEELLRASAEMLGKGGCGTAYRAVLDDGTVVTVKRLRDTTAPAAASKKDFEHHMAVLGRLRHPNIVPLNAYYYARDEKLLVYEYMPNGSLFSVLHGKSSLSACNRGPGRTPLEWAARLRIAAGAARGLAYIHHSGRRGSGTPKLAHGNIKSTNILLDRFGVARLADCGLAQLTPAAAAARSAGYRAPEAPPPPRPWASHKGDVYALGVVLLELLTGRYPGSELPNGGVVVELPRWVQSVVREEWTSEVFDLELMKDKGIEEEMVAMLQLALSCAAAAPEQRPKIGYVVKMIDEVRACGVEASPSHESSLDESSGVSDSPA >Sspon.07G0009640-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:27465586:27476342:-1 gene:Sspon.07G0009640-1A transcript:Sspon.07G0009640-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGTTTMEVRANGVAVITISNPPVNALSLDVIASLQRNYAEALSRNDIKAIVLTGAKGRFCGGFDISAFGNKPKNEKPGSMSIDFLTDIVEDAHKPSVAAIDGIALGGGLEVAMVCHARISTPSAQLGLPELQLGIIPGMGGTQRLPCLVGLPKALEMMLMSKTIKGTGAQEIGLVDAVTSANELVNAACSWALEIVEKKKPWFRSLHRTDKLPDLAEVKDVLKFARVQAKMKAANVQHPIVCIDVIEEGIVSGPRAGLMKEALSGKMLEQSETSKSLRHFFFAQRATSKIPNITNMGLTARKIKKAAIIGGGLMGSGIATILILNNFNVVLKEVNEQFLSAGINRIKANLQSFVKKGQLTVEDYKKKLSLLCGVLDYEQFRDTDVAIEAVIEDVFLKQQIFSDLEKYCHHNCIFATNTSTIDPNIIGQKTASQDRIVGAHFFSPAHVMPLLEIVCTHHTSSQVIVDLLDLAKKIQKTPIVVGNCTGFTVNRMFFPYAQAACFLVDHGLDVYHTDHVITQFGMPMGPFRLADLVGFGVVIATRKQYYQNYPERCYQSMLLQILVEDNRTGESSRKGFYVYDDKCKASQDPDLGKYIEKSRNMAFVMQDPKLMKLTDNDIVEMIFFPVVNEACRVLDEGIALKASDLDVASIMGMGFPSYRGGVMFWADSLGAKYVYDRLDAWSKDYGEFFRPCEYLAVRARQGASLAAKVDGVKSRL >Sspon.01G0019610-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1A:73224038:73224199:1 gene:Sspon.01G0019610-1A transcript:Sspon.01G0019610-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSLVPKPLPEVTWIMPDPHLILSIWVVAEESVEGVYREPEKTDQLVQASK >Sspon.03G0014540-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3B:68148554:68149063:1 gene:Sspon.03G0014540-2B transcript:Sspon.03G0014540-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEISASAATAASSPPARPLLLRLLASSSPHPATTSSSTTIRRRPSSSATATVRRRARTRPRTGRSKPPADAGDYFSGNDGSGLGGSSGGGGGGGRWNWNSGFGSGSEGPGDWEPDVPAPRRSAADAAIEVVYELMCLIAFSNCTQFAVRRLAGLLAARVAALRFVPTVC >Sspon.03G0001880-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:4498562:4500493:-1 gene:Sspon.03G0001880-1A transcript:Sspon.03G0001880-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to GA C20oxidase2 [Source: Projected from Oryza sativa (Os01g0883800)] MHVYVHRRVYQRYCEKMKELSLTIMELLELSLGVERGYYREFFEDSRSIMRCNYYPPCPEPERTLGTGPHCDPTALTILLQDDVGGLEVLVDGEWRPVRPVPGAMALSNGRYKSCLHRAVVNQRQERRSLAFFLCPREDRVVRPPASSATPRQYPDFTWADLMRFTQRHYRADTRTLDAFTRWLSHGPAQEAAASTAAAAPCT >Sspon.06G0022570-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:33483262:33483876:-1 gene:Sspon.06G0022570-1B transcript:Sspon.06G0022570-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding IAAARSQGASGSCGRRIGLTFDRLPFLLVYTIPNLLLPSLSSATTSAAELLRRRRFLLYTIPKDFVRGGNTGLGQSSFWCCSPWLWLWPWLMSTWKAAASLVSQRIRSAARRLPERRTRVAGSAWSCDCGGLGALGMKTRVERRAPAAGMGFPSPASSSSSPPSSTLASVSSGPGLLPGRQPRRQRPRRGSDCDQIPHGEVKVD >Sspon.02G0012950-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2A:34730617:34731378:1 gene:Sspon.02G0012950-1A transcript:Sspon.02G0012950-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSFIDGPTFRALLRPSTNGRRTTKISDSGRGGSGGGIFKMFKLMPMLSSGCKMVALLGKHNSRALLADHATTVTLFGHRRGRVSLAIHEDTRAPPLFLIELPMLTSALHREISSGTVKLALESDTRSARRRLVEEYVWAVYCNGRKAGYAIRRKEASDDERHVLRLLRGVSMGAGVLPPAAAGAPEKEGGGGGAVPAGAGPDGELTYVRARVERVVGSKDSEAFYMINPEEGGNGGDNNNGGGGGAPELSIFL >Sspon.06G0004760-4D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6D:12876818:12884463:1 gene:Sspon.06G0004760-4D transcript:Sspon.06G0004760-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEAESSSAAALALALVALVVVPAVALLVRARWRRAAARREEVRRLARLAAEEAELAERESVLAYYSELFPGVVHAAEVPVAPEWGPPPVVAVPGPAAQEEFDAQPQPQPQPPVGAKGVCAVCFKPTTFRCKQCKAVKYCSFKCQIAHWRQGHKDECHPPSVNTRPDDEGKAEQGRAAEENVSVGVKQVAEMNRPVVGSGASDANHNLKSSNGKSKHMPSEVVCTSAEVPGGHQSNSTVEISQNVPVSVDNSKMGSSIKHTNFVEDGSSTVDLNGASPCKSQASPPNINGHSSFVSEEFCNHSKEHQRAKDASVVEDCSQTNNNREVEDSSIPQAAGSVVLEPKSSRTPIHVELERSKTKPVGNDSIQSTKPVPSALTVDKATSVRGSSSITPNPSKVADNLPDRSFKKPSDRSGSTANNLATSLKKMVRQQTAPKVVRHYPSESTLFPYELFVKLYGKVELHPFGLHNVGNSSLGPGKQEDAHEFLRCHVSSEQCEPMLDLTVEIDGDISSLDEALVRFTSTEVLDGENKYHCSRCKSYERAKKKLTIEEAPNILTIALKRYQSGKFGKISKAIRFPETLNMLRYMNPDTDDMPIYSLYAVVVHHDVMNAAFSGHYVCYVKDTQGKWFKADDSQVKPVSLDNVMSKCAYMLLYARCSPRAPNSVRQAMGDPTHPKKAKQKVVLGGTTWRGGSLNRHQAGQPHRDHMADDLTHAFDEYRDAPYSPSDSPSPSESSSLFSNSDAGSHSTVSTDSSESTRNSTSTEEYEYVFGAADQMYPGGPIRGPAPAESDYPTYSRSRSSLNTSSSGREAYSAYSSAEHKLQGGSGGLWVEGNDSPSLLYTDRSNQQLSSKLTDQYRQLDRSRHDPGETRGGVLLRRPSRDRTAQMMSRVLGCREI >Sspon.07G0002090-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:3649440:3651473:-1 gene:Sspon.07G0002090-2C transcript:Sspon.07G0002090-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAHRFHQYQVVGRALPTPTDEHPKIYRMKLWATNEVRAKSKFWYFLRKLKKVKKSNGQMLAINEIFERNPTTIKNYGIWLRYQSRTGYHNMYKEYRDTTLNGAVEQMYNEMASRHRVRSPCIQIIKTATVHFKLCKRDNTKQFHNSKIKFPLVYRKVRPPTRKLKTTFKASRPNLFM >Sspon.06G0018980-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:62839301:62842034:-1 gene:Sspon.06G0018980-1P transcript:Sspon.06G0018980-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable GTP-binding protein OBGM, mitochondrial [Source:Projected from Arabidopsis thaliana (AT1G07615) UniProtKB/Swiss-Prot;Acc:F4HSD4] MWRRQQQTLLRRLSSLRAVAQAPSSWAPDRLGCYYGSAPEGRKGKTAPLQARGMVDKFRMRAKGGDGGNGCVSLRRSRSSRLGMPDGGNGGKGGDVILECSRSIWDFSGLQHHMRGGRGGNGVSKNQIGTRGSDKIAQVPVGTVIHLVEGEQPSLTVNKPTRALDPWDIPGAAGQSSDSDQIGNAVTKGFDGGLSNRHIAPKHNTDGNESVKGSNSQSENSKYLHTYSKLSSQALIVEGTDEKDQTESEDEEFWEDEDEFDMDKEDDEEEDERKEQDVQYCVAEMTKPGQRLIIARGGEGGLGNAFIMKEMRPSKANRQEKIAHLSTGQPGTESFLILELKSIADVGLVGLPNAGKSTLLSALSRAQPEIADYEFTTLRPNIGSLTYEDYFSVKVADIPGLIKGAHENRGLGHAFLRHIERTKVIAYVLDLAATLNGRKGVPPWEQLQVLVAELEHYQEGMTRRPSLIVANKIDEEGAEEIYEELKRRVHGVPIFPVCAILQEGVPDLRVGLRNLMDDSDPQGIDFEKND >Sspon.04G0006830-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:19082231:19086778:-1 gene:Sspon.04G0006830-2C transcript:Sspon.04G0006830-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAAADLEARQLRILGRIADLELAAQQHRLGALSISAAPSENGEADAGATEARLSALLAARGVRDFAFRRVPADYYDRSLEERRGLLRADSVAQLCKSIVMVNTQAAADVVDCSNPKNSKYYVVVVQYNARLNAENIKNFLYELNEKQIPKKRFNMRLAPEEESHKLTGFVHNAVTCIGMETNIPVIIDEAITKLDEDFFWLGGGEVDLKLGMRTSQFLSAFSPFVVKCS >Sspon.01G0038040-1P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:27955704:27957369:-1 gene:Sspon.01G0038040-1P transcript:Sspon.01G0038040-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MENAAPAIAVPSLSKKPSPSFRFRNGSLNALRLRRVFDLFDRNGDGEITLDEMASALDALGLGADRSSLEAAVAAYIPAGAAGLRFEDFESLHRALGDALFGPILEEVPEEEDDEGDMKEAFRVFDEDGDGFISAAELQAVLKKLGLPEARNLATVQEMICNVDRNCDGGRPRRLRRIQEHDAGDNRVGRLAVARLRCHLRGSEASKDYRADHKSVNWCQV >Sspon.01G0045720-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:92784639:92789679:-1 gene:Sspon.01G0045720-1B transcript:Sspon.01G0045720-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPRFGRATRHPGPPPPWRASTPTPTPSGPRSTGTTSRSTSSGGRSTELELGFTLFEGCRVFVLMCLSVQGAGWVRGAEESGEGEVQRGVRRLPGWERRRCVIKILKPVKKKKIKREIKILQNLYGGPNIVKLLDVVRDDESKTPSLIFEYVNNTDFKALYCHSRGIMHRDVKPHNVMIDHERRQLRLIDWGLAEFYHPKMEYNARVASRCYKGPELLVDLLDYDYSLDLWSLGCMFAAMIFRVDPFFSGQDNYDQLVKISEVLGTGDLYNYLEKYGLQLDPQLERLVGRHNRKPWPKFVNARNRHLATPEAIDLVDKLLQYDHQERPTAKETMVPLLPTFLKKAHPYFNPVRSSESKTNS >Sspon.01G0053980-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:45784616:45787771:-1 gene:Sspon.01G0053980-2D transcript:Sspon.01G0053980-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTTAAPGLAVAATDPIRSFLSSVAASVDLAADLRDLAADLTSDPAVSYRSLRAIWCATSPDTRPPLRDLLQGADFVLPSPKPREKSAELKARLEKLREIQERKEYAELVKDVAPPSKDDAPEPFSSYKDQIGFGLHVVVIMFTGYLVGFAMFKALFNNSAVLNAAGGILGLVGGMLVETVLFIIRSSSKELASSVPRSKKAQ >Sspon.08G0021140-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:33735065:33736071:-1 gene:Sspon.08G0021140-1B transcript:Sspon.08G0021140-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AMARSDSEEALLAAGRSKENLDVREEVKKQLWLAGPMIAGGLLRYVIQMISMYVGHLGELPLAGASMANSFATVTGFSLQKSNYVTFEKKL >Sspon.04G0029630-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:73197344:73200385:-1 gene:Sspon.04G0029630-1B transcript:Sspon.04G0029630-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEAASIEAPPLHMPSSLRGAALDDETRALVVPDAADLPASPPSAVEANFARYFIADFLNPGYDQYVYRHPNGLCVVGLAPTHVVFKEEGVITAVDFNVGKSDRSEMKVTGKRKRNAQHLQENSALCKVCVNDKSFIVRCCVKGSLLEINERLIKQPDLLNTAADREGYIAIFQPKPADWLKIKDKFLSYEDYKNLRGDKKAKERNTPFQDI >Sspon.02G0008200-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:17744682:17745699:1 gene:Sspon.02G0008200-1P transcript:Sspon.02G0008200-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding HMTYILAFCKSSLKLQAFIGTYMQVHSLARTIKSSCCCFWWFELWKCLQTPVCPSHHPEPWLGVCLLHIWASWDCQVLRI >Sspon.08G0012450-4D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8D:52020966:52024341:-1 gene:Sspon.08G0012450-4D transcript:Sspon.08G0012450-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSKWDISVF >Sspon.06G0006410-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:22873510:22876654:1 gene:Sspon.06G0006410-1A transcript:Sspon.06G0006410-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYLLPHLHSGWAVDQAILAEEERLVIIRFGHDWDETCMQMDEVLAAVAETIKNFAVIYLVDITEVPDFNTMYELYDPSTVMFFFRNKHIMIDLGTGNNNKINWALKDKQEFIDIVETVYRGARKGRGLVIAPKDYSTKYRY >Sspon.02G0007430-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:15574856:15576313:1 gene:Sspon.02G0007430-4D transcript:Sspon.02G0007430-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKAEAAAHVLVFPVPAQGHLNSFLHFSTGLLRAGLHVTFLHTDHSLRRLGASVAEATAASPRLRFLSVPDGLPDDDPRAVDGLPDLLEALSTKGRAAYRALLASLRAGGGGAAEDGFPPVTCVVGDGVLPFVVDVAEELGVPAISYRTVSACAVLAYLSVPRLLDLGELPFPEGGDLDEPIRGVPGMESFLRRRDLPIQFRQLTSTHEEPLIKGVVEATVHSCKARALMVNTTTSLERPSLDHLAKEMRGVFAVGPLHAMSPAPAVATSLWRPDDGCMAWLDSQADAARSVVYISLGSLTVISHQQFTEFLHGLVATGYPFLWVLRPDMLGASQDAALQEAVAAVGSDRACVVPWVPQRDVLRHRAVGCFLTHSGWNSTLEGIVEGVPMVCWPFFADQQINSRFVAAVWRNGLDMKDVCDRGVVERTVREAMESAEIRRSARALAEQVKRDVADGGASALEFKRLVSFIRELSTSAAHTPSPKS >Sspon.03G0037450-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:60273415:60275007:-1 gene:Sspon.03G0037450-3D transcript:Sspon.03G0037450-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFGRRKSFSFFEEDRKSSSRPGAHTPVHQYYARAGGGGRSPAREAAEPARLSMSSAVPGLGVEVPLPPMQQMQAAAAGSCSPWVQSPLHGRLRFPPSPAAIYHCLAALHRLEGDVHALAVARGVLFTASDSGRVRAWAAPGCFNRGYLDVGRGRVPALAACGGTLVTSHARDHHVRVWTVCAAAVCDHVRAKKAATLPAKSSLLLHNPFGKRRQNQHRDTVSCLVLHAVAGLLYTGSHDHTVKAWRLSDGTCVDSFVAHDGPVNAMAVNEADGCVFTGSADGTVKMWRRVYGGTAHALIIVLRSELSPVNALALCHAQGGGGARRCFLYAGSSDGYVNVWEKEATVGRPAHAGYLKGHRLAVFCLASGCGGRVVVSGSEDATMRVWRREGISKGGGGAAHTCLAVIEGHRGPVRCLAVGGGEAGEVEGSMVVYSAGLDKSVKVWRIRVVGKEEEEEDDDDELDGDGGEDDDPEAAAEILMAGGKADAGDAHAHAIPVARDDVEDTEEPEFVGPTPVLSPVWVEKRRHTSR >Sspon.01G0063270-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:113572185:113575335:1 gene:Sspon.01G0063270-1P transcript:Sspon.01G0063270-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTHQANTLRLYLTCIRNTLEAAMCLQNFPCQEVERHNKPEVELKTSPELLLNPVLICRNEAEKCLIETSINSIRISMKVKQADELENILAKKFLRFLSMRAEAFQVLRRKPVQGYDISFLITNYHCEDMHKHKLIDFIVQFMEDIDKEISELKLSVNTRGRLVATEFLKQFI >Sspon.07G0033200-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7C:57831967:57833317:1 gene:Sspon.07G0033200-1C transcript:Sspon.07G0033200-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLMRDAASGGVQLAMRRQRRRSGQRTVVCEAPIPRHSLPPVALSHPAPLAPACCAHRLPARAKPGAANDGGGGGGACTALPLTQRAGIVRLRALPCCKRMFQMFCSVSHLPQLSTTADGAPCMEGSGVASAEGRRK >Sspon.07G0020390-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:70816699:70817025:1 gene:Sspon.07G0020390-2C transcript:Sspon.07G0020390-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAIIAGKLAGNSVANATISFWISKAFTCLTDYWKADGLEDVKGRVLQSVKKVQVVFDIVDPEYIKEQSSALDLWLWQFRDAVEAAEDVIDELHYDELREKAKDHK >Sspon.02G0047010-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:10338103:10338492:-1 gene:Sspon.02G0047010-1C transcript:Sspon.02G0047010-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding EAPDAQHAGVERPGRNHRLPVDAGGDQVVHQGGGAQRRLHPRPPPQDRLARARRRHPRARPPRAPPRGAAPRGGDLRRGRRRRRGQRHPPHPPRAPRGPRPGGLPRLPRHQPLLPHQQGHPQHDAPRGRG >Sspon.01G0053340-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:35133799:35135980:-1 gene:Sspon.01G0053340-1C transcript:Sspon.01G0053340-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYYGQQQPPVGVPPPQGYPGKDAYPPPGYPPAGYPPPAQGYPPQGYPPQGYPPQQGYPQQGYPPPYAQQPPPQRPQSSGPSFMEGCLAALCCCCLLEACF >Sspon.03G0005820-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:23772957:23778312:-1 gene:Sspon.03G0005820-2B transcript:Sspon.03G0005820-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPPLCCAPLFLLLLLHLSFARPLFPLPSKTKNEEKKPIQTFRPYNIAHRGSNGEIPEETAAAYLKAIGEGADFIESDILASKDGALICFHDVTLDDTTDVARRKEFANRRRTYEVEWFNVSGWFVVDFTLEELKTLKVKQRYSFRDQQYDGMFSIITFEEFISIALDADRTVGIYPEIKDPVFINKHVKWADGKKFEDKFVDTLLKYGYKGEYMSENWMKQPLFIQSFAPTSIVHVSDLIDSPKVFLIDDITVRTQDTNQSYWEITSDDYLAYIAKYVVGLGPWKDTIVPASGNYLMPPTDLVARAHAHNLQVHPYTYRNENQFLHFNFHQDPYTEYDFWINTVGVDGLFTDFTGTLHRYQELTSPHPKDETANSLLVKISQMISAYEGL >Sspon.06G0025910-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:74521095:74539005:1 gene:Sspon.06G0025910-1B transcript:Sspon.06G0025910-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding QMTYATHLMRTNLMHVFQWSALNAWPLQKMLSVQAELRNKGVNCFAASICFHSPFVITTSLYSPITSHLTMNVFDEKDDQPHVRVPKIGSWIIP >Sspon.08G0000040-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:11512428:11516065:-1 gene:Sspon.08G0000040-2C transcript:Sspon.08G0000040-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVQNLVNTFLTLLFFRVLYIILFLFADEKSTSLLSVCKGVLNQNPVVVLVFISTLVGWAVKQVTNVIQMKTAADACVVYDLKRSK >Sspon.01G0019200-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:72016156:72018861:1 gene:Sspon.01G0019200-1A transcript:Sspon.01G0019200-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKYMRKVKVSGEVAIMDLSSAPLGVRTRARALALQRLQKQQAQGEEGAGGEYLELRSRRLEKLPPPAAPMRRKAAAAAAAAKEEVEASYGENMLELEAMERNTRETTPCSLINSEMIGTPRTPGSTTRSSHSSHRRVKAPPVQAVPSSREMNEYFAAEQRRQQQAFIDKYGPFMYNFDPVNDCPLPGRFEWVKLD >Sspon.01G0012390-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:27854995:27857884:1 gene:Sspon.01G0012390-4D transcript:Sspon.01G0012390-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVPRVSSADVAPAYDAGLSLAPASAWLPMALYNAPYASVWNRVSGLNQPADIILWRRGRVTIGVIFGATMAWWLFEKSGLSLLTICCDIFLILIVLQFLRVKIAGLLNRQPRPLPELVLSEEMVSNAAASFRVKVNNMLMIAHDITLGKDFRLFFQVVLVLWLLSVIGNFCSSITLAYIGTIALVTIPALYNKYQRPVDRYAGMLHRNISRHYKIVDENVISRLPRRIK >Sspon.04G0010020-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:29571436:29573314:-1 gene:Sspon.04G0010020-1P transcript:Sspon.04G0010020-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPSSSRTRSRSWGSGPWTTTPPPLSPSPRQMEPRHERSRSTTSTYAPLVVSQSQQQVQAGGDASGSATEQEVVALAQPEEGVVPTTTTTEMEESPRVSDGGAANKERVRLLEREAALAKQREMKMLESLVQQTKELEQAKIALEEARLEVAALRQQQQQGEGATATATGAQAQTQPQQWSVMDLMFGGVDEEINGLRARLRASAQAEERSRKAADELTAALSAVTMEAKQVKSWLCDARDELEAAHAERDRLRGLLQGAEAELWCATERVDALNADWKDAAAGWRAREKALLARARKAEEEAAVARAESAEIDAARRAMGDDNAALRRALEQAVEEANAAAEALEAAGADNAGLQEAVAEKERALEALRRDNEGLRASEAAARERAEELQGQLAATKEREIPLVEKWRREDAQGKLSAAFLDSGRLVPGGRKDRMFASLSNLAELKSAAAAAAAMDDYGYEFDHLDVAGQYGDAATEHAGMRHKIKQRRSILRKFGDLFRRRSLYKPNLAPVLHNHY >Sspon.01G0025330-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:89533107:89559222:-1 gene:Sspon.01G0025330-3C transcript:Sspon.01G0025330-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVGGGRDDEEVSRKLKSMDVDKLENGGGEESPRPPRPAVKYHGWKAMPFIIGNETFEKLGTLGTSANLLVYLTQVFHMRSVDAATLLNGLNGTTSLAPIIGAFLSDAYLGRYLALAIASVASLIGMFLLTLTAGADSLHPPECGVGETCEKATSYQFAVLFIAFAFLVLGSAGIRPCSMPFGADQFDPNTESGKRGINSFFNWYYFTFTAAMMISATVIIYVQSNVSWPIGLGIPTALMFLACVLFFMGTRLYVRVTPEGSPFTSVVQVLAAALKKRSLKQPKDPKQDLFDPPHTSAIVTKLAHTDQFRCLDKAAIVVSQDEVRSGGAAPADPWRLCSVQQVEEVKCLIRIVPVWSTGIIYYVAVVQQSTYVVLSALQSDRHLGRGGFQIPAASFTVFAMLAQTLWIPFYDRLLLPKLRKITGKEEGFTLLQRQGIGIALSTVAMVISAIVEDRRRAIALSQPTLGTTITGGAISAMSSLWMVPQLMILGLSEAFNLISQIEFYYKEIPEHMRSVAGALAFCNLALGNYLSGFLVTIVHRTTGSGQNWLAQDLNKGRLDLFYWTIAGIGVFNLIYFVICARHQPQERRGPSARAGPLGRSIRARWLRRHGMDGGGSVNLGPLADDGRSGRGRRLWRQGVDNREAVQGGIHGGSVRRWRRHGRLGVLQRRRRAGGADPARAGVTRVKAVAEETGDTGSEDGATAEVGLGGGGGVEGRGGAGALDPSRMAADLLLLAAAAASSVGFRAGRMRVGGGRDDEEVSRKLKSMDVDKLENGGGEESPRPPRPAVKYHGWKAMPFIIGNETFEKLGTLGTSANLLVYLTQVFHMRSVDAATLLNGLNGTTSLAPIIGAFLSDAYLGRYLALAIASVASLIGMFLLTLTAGADSLHPPECGVGETCEKATSYQFAVLFIAFAFLVLGSAGIRPCSMPFGADQFDPNTESGKRGINSFFNWYYFTFTAAMMISATVIIYVQSNVSWPIGLGIPTALMFLACVLFFMGTRLYVRVTPEGSPFTSVVQVLAAALKKRSLKQPKDPKQDLFDPPHTSAIVTKLAHTDQFRCLDKAAIVVSQDEVRSGGAAPADPWRLCSVQQVEEVKCLIRIVPVWSTGIIYYVAVVQQSTYVVLSALQSDRHLGRGGFQIPAASFTVFAMLAQTLWIPFYDRLLLPKLRKITGKEEGFTLLQRQGIGIALSTVAMVISAIVEDRRRAIALSQPTLGTTITGGAISAMSSLWMVPQLMILGLSEAFNLISQIEFYYKEIPEHMRSVAGALAFCNLALGNYLSGFLVTIVHRTTGSGQNWLAQDLNKGRLDLFYWTIAGIGVFNLIYFVICARWYRFKGASN >Sspon.07G0017000-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:65656016:65658578:-1 gene:Sspon.07G0017000-2B transcript:Sspon.07G0017000-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase BLUS1 [Source:Projected from Arabidopsis thaliana (AT4G14480) UniProtKB/Swiss-Prot;Acc:O23304] MLRASGQFAPAAAAPSSAMAPANTASSGGSDEARYPLNAESYRLLCKIGSGVSAVVYMAVCLPLGSSAVVAIKAIDLERSRVDLDGVQREAKAMALLSHCNVLRAHCSFTVGSHLWVVMPFMGAGSLHSILRHGFPGGLPEPCVAVVLAETLRALCYLHGRGRIHRDIKAVNILVYSDGAVKLADFGVSASIYETMHASASAATAAALGLGSSVSCYFNDVVGTPYWMAPEVIHSHFGYGINADIWLFGITALELAHGRPPLSHLPPSKSMLMRTTSRVRLEETAAVSSKRSKFSRAFKDMVSACLCQEPAKRPSVEKLVRHPFFKACHRRSRDFLKDDDDAGSDLCGCVGARGGARCVPPCRRHTDDVVIVKNRRISGWNFNEDNLEFDPTTEDPAVEKRCVLFENQVELDDSDSTGDGDRRPSPQRPHGDHDEDRDHGKARRRR >Sspon.02G0040360-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:70810031:70810561:1 gene:Sspon.02G0040360-2C transcript:Sspon.02G0040360-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VGTVTRNTTVAAYAQSYANQRAGDCAGWCTPAAPTGRTSSGARRATPGRRRTPWGPGWRRSSTTTTPPTPAPRRPASHAATTRRWCGVPPRPSAAPASSAATTPASSSSAITTRPATSLDRALTSRNLYILAIQYIHIHTYIHREQQAAASYARDAMHDACQHDVLLMRPAYAFQD >Sspon.02G0048360-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:24504865:24506882:-1 gene:Sspon.02G0048360-1P transcript:Sspon.02G0048360-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAYKYVSELWRRKQSDVMRFVQRVRCWEYRQQPAIVRLTRPTRPDKARRLGYKAKQGYVVYRVRVRRGGRKRPVPKGIVYGKPKHQGITQLKFQRNKRSVAEERAGRKLGGLRVLNSYWVNEDSTYKYFEIILVDVAHTTIRNDPRINWLCNPVHKHRELRGLTSAGKKFRGLRGKGHTHHKNRPSRRATWKRNQTLSLRRYR >Sspon.01G0000280-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:712894:714618:1 gene:Sspon.01G0000280-2B transcript:Sspon.01G0000280-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MREILHIQGGQCGNQIGAKFWEVVCAEHGIDATGRYGGDSDLQLERVNVYYNEASCGRFVPRAVLMDLEPGTMDSVRSGPYGHIFRPDNFVFGQSGAGNNWAKGHYTEGAELIDSVLDVVRKEAENCDCLQGFQVCHSLGGGTGSGMGTLLISKIREEYPDRMMLTFSVFPSPKVSDTVVEPYNATLSVHQLVENADECMVLDNEALYDICFRTLKLTTPSFGDLNHLISATMSGVTCCLRFPGQLNSDLRKLAVNLIPFPRLHFFMVGFAPLTSRGSQQYRALTVPELTQQMWDAKNMMCAADPRHGRYLTASAMFRGKMSTKEVDEQMLNVQNKNSSYFVEWIPNNVKSTVCDIPPTGLKMASTFIGNSTSIQEMFRRVSEQFTAMFRRKAFLHWYTGEGMDEMEFTEAESNMNDLVSEYQQYQDATADEEGEYEDEEEGDLQD >Sspon.02G0050160-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:43905846:43906447:-1 gene:Sspon.02G0050160-2D transcript:Sspon.02G0050160-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RMGSCFGVFRRGKEAPADAGDKKNELPTGTETKEGVSTMAAPPSAAAAAGEEDAAKPPTLVTTIVFPS >Sspon.01G0019750-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:72391336:72394375:1 gene:Sspon.01G0019750-2D transcript:Sspon.01G0019750-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LTAASRRHVQGICDILANTMKRDHGLDVDPLTDFVICCGQSEAFAAAIFAIIDQGDEVLLFDPAYETYETCIELARGVPVYVPLDPPSWTLNEDNFLKSFTSRTKAVVLNSPHNPTGKVFSKEELLIISQACQKMDCFVITDEDYEVKRDFILQLLKDFGFKINFKPQGSVFAFAELPRSCQLSDIEFVMKLINDAGVAAVPGRGFFHKTCDGESYSHRYVRFAFCKGDDTLKAAAMKMRKLANRQGEPWLTGREDQTMPASN >Sspon.02G0020010-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2C:67038951:67040333:1 gene:Sspon.02G0020010-2C transcript:Sspon.02G0020010-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAAVVSLNVGGELFQTTTATLSRAGASSPLASLGPSSPSAPHFLDGDPRLFAHLLSFLRHGRLLAPSRPSAALLAEARHFALDGALLASLSPASAFAPLSLRPSALLPLTGRVAPSAVALCPSPLHPASLVAAHGGVVTCFDAGLASRNSVLTPLPAVDSLVAVSPALALAGARDFPGVHLCRFSDDASAASADPDVLSWPGSPSATVLSMATATASGAPSPPWLFSSFESARRNSSAVVVFDLNSLSPVAEIGRKEVFGADVEAAIPATKLAWLGGHSLLLAAGSHSGPAGVVGDIRLWDVRASATVPVWEVREKEDCFADVAASDALSAVFKVGALSSEVFMADLRRLGDGGGIGLEPWVCIGDGQKAAAAASSGRKERNGCRIECYCSWVFVARGADVEVWSQVELAPEAGGKKVMRRNWVGSELSTKPKIVSWAFGGSRMALARADKLSVEIWD >Sspon.02G0008740-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:25114017:25115655:1 gene:Sspon.02G0008740-1A transcript:Sspon.02G0008740-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKEEFGFTGDNGKITLPCDAMVMEYALCLLKRGASTELEKAFLSTMAMSYHSANHTLATNFADYPSRDPQAPNPGAPRLRAQVRNTGTLFAAVT >Sspon.03G0008240-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:22296033:22301556:1 gene:Sspon.03G0008240-1A transcript:Sspon.03G0008240-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLEIVGRHALLFDDDATAEVVNSGGSLVPWAAVGAADLLLDRHDVRHLLDRVPPRPRRAYSVALLSAPSPDGVSETELDRERYLDLPAGDGEYEGSRDAATSGNGTDTGHADYNAVPFSYGYPAGSDEPSSSDLCYLPSFYVPESLLNKLPPSEKVHQIIARTALFVSEHGGQSEIVLRVKQGDNPTFGFLMPDHHLHSYFRYLVDHPQLLKDGADAVGTDKRNTESEHASSGGALSLLGTAYDSEDEAEGTLPRSSEGMNPGNSMTPDVQGHVKSASIMPDKKELGKDQNAAAPAVKSKTILTKKNPIITSNTIVAVQREKVNDTITTSTISKSHTNSGLSETKEMILEPPSFMKRTMEKIIEFILTNGKEFEEKLIEQDRTTGRFPFLLSSNPYHSYYLKFLQETEESKSRGTSPNHKDRRGSSDWRGRRSPESDDRSSRERADRSSHERADRSSRERVDRSSRERGDRRCSMERKDSSYGMVSCASDRSSARPSEKQLYDKQGKGRFHPVCGVKKEPTRKVTADEAAAIVMAATRGLGAANDSLNTMKGTKDNVRTHVSNDPSSSFGSFSSLQDRDALSKHISNSEADASLTSSGQPQKEGFGIIDDDWIANTIAKAAAAAASKEADSSEASMTKEQKLKAERLRRAKMFAAIIKTAKAIPDTNISGLDLQSVAKEREGSSAPFEHEGPNLTKHNKDSDDEQNRVRKYRKKHQESDEEEDESQDSYKQSRKRHRPEHSRARSKDVHKHKHKSRSKDRESRHRRHRFNSSEDEQEQEQERRSSKSRHRHRDDHRYSDEEEHRRSHKHWRREHHSSSKRKLEEDRDQSEQSQGRLEVSPSRSGAKFESDKLPDDTVQSTQGTTEVPSELRAKIRAMLLERL >Sspon.01G0053420-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1C:36063328:36064157:-1 gene:Sspon.01G0053420-1C transcript:Sspon.01G0053420-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding CRCASRARSTRGSSQARAPASRGSRAPASASTSASRATRPRPYAPCQSALRPPSKARSRPASKPRRSALRVAHRSSASRPPGPPKPPASCRPRPSWFPTPRRPTSRASRLSKAPASRPPRPSAPRRRAAAAAAARHRSCIRVRPSAVLVLLAGAHLRTERSRCCCCLPCLRCCLVGGFSHTRCSELRLGDASRPLRCCRTLGRRLLAPGSCSTCAGGGGTVGGR >Sspon.03G0041230-2D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3D:29630261:29635009:-1 gene:Sspon.03G0041230-2D transcript:Sspon.03G0041230-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding PEGQKPEPRQTRAPLSGPGSRARHCFPKPHRL >Sspon.06G0011970-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:47311321:47314695:1 gene:Sspon.06G0011970-4D transcript:Sspon.06G0011970-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MERGNGGSGRPPSPPPPAAPAERKAAWRDGAWVLSSKEINFPYPVALTLLHMVFSSVVCFAITKVFKIIKIEEGMTTDIYISSVIPIGAMFAMTLWLGNSAYLYISVAFAQMLKAIMPVAVFLLGAAFGLEEMSYKMLSIMSVISVGVIVASVGEITISWVGVVYQMGGVVAEALRLIFIEIFLKKKGVKLNLISMMYYVSPCSAVCLFIPWLFLEKPKMDDSISWNFPPFTLFLNCLCTFVLNMSVFLVISRTSALTARVTGVVRDWSVVLLSAAIFADTQLTFINIIGYAIAIAGVVAYNNHKLKAKPQGNPQQGDENKVITGSTRDVVLSMNSGKEAS >Sspon.03G0022780-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:92029711:92031516:1 gene:Sspon.03G0022780-2B transcript:Sspon.03G0022780-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKAARWFRSLLGGGRKDQDRRASPAPAPPADRKRWSFARSSRDSAEAAAAATEGSVRGGAAAGGGNAAIARAAEAAWLKSLYDDTGRPQSKHAIAVAAATAAAADAAVAAAQAAVEVVRLTSQGPVFGGGGGGGAVLDPRGRAGAAVKIQTAFRGFLAKKALRALKALVKLQALVRGYLVRRQAAATLQSMQALVRAQATVRAARGCRALPSLPPLHHPAVRPRFSLQEQYADDTRSEHGVAAYSRRLSASIESASYGGGYDRSPKIVEMDTARPRSRASSLRTEDEWSYAQSVSSPLQPTCHQQQHHLPPRIAVPTSRHFPDYDWCAPEKPRPATAQCTPRFAPPTPAKSVCGGAGGNGGYYGHHLAAGSPTCPGYMSSTQSSEAKSSSRSQSAPKQRPPEEQQPARKRVPLSEVVLEARASLGGAGVGMMHKPCNTRAAQPQEAFDFRAAVVSRFEQRASDATAAAAAERDRYVFFLQRRW >Sspon.02G0022580-3C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:77376463:77385143:-1 gene:Sspon.02G0022580-3C transcript:Sspon.02G0022580-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VSQKLATLTKLGSCSSEATIGADNFVTSTEIHESQFPGDNKKIVTKSLTRIGTITGALMESQLIMGREKEKSDLIDLISKQGEPMVISVWGMGGLGKTTLVKEIYESQELSGLFEKRACVTIMRPFILKDVLDSLWMQLDPESYNRKGNDFGLLRRKIAENEAKIEELSKLGRKVTDEEVLIEKLGNLLKGKKFLIVLDDLSSLEEWGAIIRSLPKMNSTCRIVITTREENIARHCSEKQENIYKLQVLEDSDALDLFTKKVFKEAINLNEYPTLIEEAKMILKKCNGLPLAIVTIGGFLAKQPKTPIVWRKMNEHISAELEMNPDLGIIRAILMKSYDGLPYHLKSCFLYMSIFPEDYSISRRRLVHRWKAEGYSSEVRGKSMGELADGNFMELIERSMALPSKESIGSRKGISSCKLHDLMREISISKAMEENLVFRMEEGCSLNTQGTIRHLAISSNWEGDQSEFESTVDLSRIRSLTAFGKWKPFYISDKMKLLRVLDLESTSGLVDHHLEPIWKLLHLKYLSLRECGGIFHLPESLGNLKQLETLDVTNAGIIKLPRAITKLRKLQYIRAGMLYKPAFGDYFTYEESMECTPKLIRNKLCVWTVISFLFCLSSCSLEIAKSIIDMEDEEPINRSDVCTLYCCAVVPFLARLADPIGVAVPRGLRKLKAMRTLGMVNIARGGKAILQDIKRLARLRKLAVTGINKKNCQEFCSTLAHLSSLESLSLHSKEEEGLRDCLDSLRTPPENLQSLKLDGTLGKLPEWVAGLQNLVKLKLESTYLTELDGSIQVLGKLPNLAILRLLGLSFKAEEPRHLSCRREAFPSLTALETWWGTSIESVLFEEGTAPKLELLLARGGISFSGLSCLPSLKEVMIPNYPELMLLCDEAMSRVHIQWLTINQFRPGSDDLFLRRAGQKVPARLPAALLRSASPNRRPAPRHCGSSEVVPSSKVSWRLTGLQAIITVQYFDSHFTELIIQETLFAWLKKTGVKRTRLTEVDGTKLPNLVILRLLDESFEAREPHHFSCRGEAFQSLTVLELDSPRIGPLEFPMAHSLFEGYHISRA >Sspon.01G0029540-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:103769870:103773713:1 gene:Sspon.01G0029540-1P transcript:Sspon.01G0029540-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAAAAANVAVIGAGISGAVCSSLLATRGVAVTLFDSGRGAGGRMAQRREVMEDGTELRFDHGAPYFTVSNNEVARVVSGWEARGIVAEWKATFACFDLATGNFTDFEKEGTAKKYVGVPAMNSICKSLCVEDGVVAKFGVTVGKMDWLQDRSSWSLASLDGKDLGYFDYVVATDKNVASTSFSGLTGRPPPLDLSSFPHLPTILQDIPVRPCFALMVAFSEPLAMVPVHGFSFNNSNSLSWAFCNSSKPGRACVPPNRQSWVLHSTTEYASKVVKNIGPRKPSAEALAKVAEELFSEFQATGLSIPQPIFMKAHRWGAAFPAIAISGDDKCVWDMSTKLAICGDFCTNPSVEGAILSATRGASKILECLSLPSGLKIHTVTLTTDKLTIV >Sspon.02G0041300-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2B:75187768:75188810:1 gene:Sspon.02G0041300-1B transcript:Sspon.02G0041300-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTSGRLLGPRRIRDPPHRIKLFLAESSSSTRKRAWGIEKQDRGGARELSVGPILFSVRQIDARPVFSVRQFDVNDDNL >Sspon.06G0010670-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6A:58145193:58145825:-1 gene:Sspon.06G0010670-1A transcript:Sspon.06G0010670-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMYMCSLSSLLVVVVVVPFLVSLSPELALKAGGAAAGADDDKAAMLAFKAAAVSGGYDDPLASWNDSSSTAVVALSLHSRGLVGYLSPAIGNLSSLRVLNLSSNAFSGAIPASLGRLHHLRALDMQNNTLTDDLPVNLTSCTRLTAIHLHSNQLQGRVPHDLGTKLMLLQMLNLRDNLTGAIPASLANL >Sspon.03G0045310-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3D:14809128:14809571:1 gene:Sspon.03G0045310-1D transcript:Sspon.03G0045310-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQMVAWGRGWTIFFQNGGVSVWTSERTRASRHPAGLLPSTDFSAPHTHPAPLQHSRIRRTRFALASLPIRLDLRPFAPPHTRTPPPAAGPKRAASAGHRRATHPVRRPPARRAPFPGARAVPPSLPVPLTLRTTLKMCNMKHLNAT >Sspon.01G0001900-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:4504128:4505168:-1 gene:Sspon.01G0001900-2B transcript:Sspon.01G0001900-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGFRRRLTALSVPKASSLLRRTRHKKLSYTRVRCNSLPGRFHPVVAGLHESANALIIWTEEPAQAFSPAWVADGAAHLGRLLSGLTDLLHHPQARDPLRHRHRGNSKPAAPWAERLLDDLLLLADAHGCVREALLARRQLLAEAHAALRRRDAARLAAALRARRRADRDLSRLASTLRCLSHRSSSASTAAAAATDHGEAAVADAFAAATCAAAAASAAIFAGLASASASSSASRAMPSPLASVVSPAKVAAAPVWWVADLLRWRRRTVAVAAASESSGPGAKEQVPLEAECCSEEEEEERQAAMERLRSLEDCVVAAENGCEQVYRMLVNARVSLLNVLTPCF >Sspon.08G0003190-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:6933298:6934308:-1 gene:Sspon.08G0003190-3C transcript:Sspon.08G0003190-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGINKIVTNNLVSLSEQELIDCDSEDYGCHGGEMQKAFQFVIDNGGIDTEADYPFIGTNGTCDAIREKRKVVSIDSYENVPTNDEKALQKAVANQPVSVAIDSNGPAFQHYSSGIFNGPCGYILDHGVTAVGYGSEDGEDFWIVKNSWGPEWGEAGYIRMKRNVLLPMGKCGIAMYASYPVKNGR >Sspon.02G0013760-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:34287265:34290683:1 gene:Sspon.02G0013760-2B transcript:Sspon.02G0013760-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPVKWLMHWHPSPGATVNSQILSEACGCAESLGGSKDGRWKTSIFFYRAMTRDGAGGPAGQQHPDLPRELLGVALHERPGLYFSIVRAQRLVLQADAAFPQVMEKLQSYRIRVALNFEGFQYQLGDFCLRIGKCVPNNSETLRGIMMEVEYYPLSSIEKSKAVMEDFFDIWKETVAKKSLPGQFIHVDSSFSDYGLSDQYSFQHTAVQYATCLQQLIAAVTVRG >Sspon.05G0004850-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:15158340:15160680:1 gene:Sspon.05G0004850-1P transcript:Sspon.05G0004850-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGSSAEHHLLSRIAAGDGHGENSSYFDGWKAYEMNRFDLRDNRDGVIQMGLAENQLSLDLIEQWIMEHPEASICTAQGASEFRRIANYQDYNGLPEFREAMAKFMGQVRGGKVTFDPDRVVMSGGATGAQDTLAFCLADPGDAYLVPTPYYPAFDRDCCWRSGVKLLPIECHSANNFTLTQEALVSAYDDARRQGIRVKGILVTNPSNPLGTIMDRATLAMLATFATEHRVHLICDEIYAGSVFAKPDFVSIAEVIEHDVPGCNRDLIHIAYSLSKDFGLPGFRVGIVYSYNDDVVACARKMSSFGLVSSQTQNFLAKMLSDAEFMARFLAESARRLAARHDRFIAGLREVGIACLPGNAGLFSWMDLRSMLRDKTHDAELELWRVIIHKVKLNVSPGTSFHCNEPGWFRVCHANMDDETMEVALDRIRRFVRQHQQSKAKAERWAAARHLRLSLPRRGGAAASHLAIPSPLALLSPQSPMVHAS >Sspon.01G0040410-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:36811565:36813559:1 gene:Sspon.01G0040410-3D transcript:Sspon.01G0040410-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSFTAAPVRVLLAPPLLLLLFLLGLLRPAAANVGDSCSTSADCGAGQWCFDCEPKFSGSHCVRSSATNPFQLINNSLPFNKYAYLTTHNSYAIVGEPSHTGIPRVTFDNQEDTVTDQLNVVYLLILRLFQMSCSASFHPEWNSHSRGVCNGMQNGVRALMLDTYDFKDDVWLCHSSGGKCNDFTAFVSTLNMPYNISTEGVSDCAEPALDTFKEIEAFLSANPSEIVTIILEDYVHAPNGLTNVFNASGLLKYWFPVSKMPQNGQDWPLVSDMVASNQRLLVFTSISSKQSTEGIAYQWNFMVENNCEASIPVSFSFSFFFVWWIAAKLNATGMLEYNMLFHLVSDGDDGMDAGKCSNRAESAPLNDNTKSLVLMNYFPSVPVKLTACLQHSQNLVDMVNTCYSAAGNRWANFIAVDYYKRSDGGGAFQATDLLNGRLLCGCQDIRACS >Sspon.02G0027110-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:98456127:98459835:-1 gene:Sspon.02G0027110-1A transcript:Sspon.02G0027110-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RGHRSSPVVHPQLRPRPARLHTTARLVARRTGPSDGVDRISSLSDTLLRDIVSRLPFKDAARTAVLATRWRGLWLAAPLVVADVHLLNHWPPTPADATPVTAAVSQALAAHPGPFRCVHLVSTRMDAYQPQLKRWLRLLAAKGVQELVLVNRPCPREVPLPNTLFRIATLTRLYIGVWKFPGAAHLQGASFPNLRELGIFSVVVEDGDIDSVVARSPVLEIVNIQGAIKGLRIVGQSLRCVQICASVVENIAVVHTPCLERLILWDVRGSPNPASGLHQDQDWKSPQAAHFGISGARKTRARDWRHHHHGNSTASYISLFHFVHIATMSCGMQSLIVLVELCASQAGIKPSASTMLTTVKVLSIKSCFGSNDTKMVPDLLKCFPNVEALHIINMNDARWASKFGLVIMGSNVPEGGRPWMFERGSNFSDDDPFAPVKFIEHVAELNRWPGSIVEDVR >Sspon.03G0015810-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:19253090:19255541:1 gene:Sspon.03G0015810-3C transcript:Sspon.03G0015810-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASMSDGPAVGIDLGTTYSCVAVWRHDRGEVIANDQGNRLTPSCVAFTSTERFVGEAAVNQAALNPMNTIFEVKRLIGRQFSAESVQEDVKLWPFKVVAGRDDRPMIMVQYKGKERQFMPEEISSMVLTKMRQTAEVYLGKTIKNAVITVPVYFNNSQRQATIDAGAIAGLNVMRIINEPTAAALAYGLEKMPVSNKQRTVLVFDLGGGTFDVSLLKIDPGTNKDKGLFEVVAIAGDTHLGGADFDNEMVKYSLQEFTRKHRKMDIHNNQKALRRLRTACERAKRMLSSTAQTTIEVDSLHDGIDFSTTITRSRFEELNKGLFSKCMKALDKCLCDAKMNKSSVHDVVLVGGSTRIPKVQNMLREFFCGKELCRSINPDEAVAYGAAIQASILSGGTDNGRLVDMLLRDVTPLSLGIQTGFDHKMSVVIPRNTAIPTKKKAPFTTLYDNQTSVLCSVYEGESASTKNNNLLSKFELTGITPAPWGQPRLEVTFDIDANGILNVSEEDLDTGRKNGITILNHGGRLRKEEIARLVPKAEK >Sspon.03G0025750-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:1179983:1181916:-1 gene:Sspon.03G0025750-2P transcript:Sspon.03G0025750-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLTEEQAAREAGTNATSLNLTHRALSDVSCLSSFNNLERLDLGYNCLVTLEGLSSCANLKWLSVIENKLVSLKGVEELSKLQVLNAGKNKLTKMDEVASLTSLGALILNDNNISSICKLDRLQQLNTLGISSKNPVFTIGNALVKANYPCSLPIENIGSSLAECVELKELRLSHNKISTIPSDLAKNVKILNLDLGNNFIERSSDLKGTF >Sspon.02G0005990-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:19248219:19250181:-1 gene:Sspon.02G0005990-2C transcript:Sspon.02G0005990-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding KQQHLWIRKETAGSGKKALRLIDAVSKLPNGREAIYGALDKWSAFEPEFPIIAAAKALGMLKRRRQWLRIIQVTKWLMSKGQVLTWTTYDTLLLALFMDKRVDEAESIWNTVIQTHTRSVPRRLFSRMILMYDICQRPDKVLEIYVDMEELGVRPDEDTARRIGKAFVAFGQEEKEKHVLEKYLKKWKYIHFNGERVRVRRDGPLA >Sspon.04G0017780-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:68054365:68058376:1 gene:Sspon.04G0017780-2B transcript:Sspon.04G0017780-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLGFVLLLVAAAALIKPSGVVGAEVEGWERFLLQWRQHTSLPAPLLNGDLVDRIWSICLPDMLGAAEILGSSLQFASDELLSQSSENALKAMLFLEFLSLLSPEKLSSTYDCIHANYFGLGIPQEFSLSLATYLESHQLLLGSNFYARRHLAENSIGDAPSMAPEFAPSMSSGDEVKSPQSVTETPYAPSSFHNNENPNQPHHSKPAQKHRGVPPVSLLEKHKDYVRLVLIVVLPTAAFSFIAAFLIFYCCGCNKNKVSVSEQRDDHPLLHMQLANVPGSSPDARVPASPLHKDNQNHSGVSMSLCFSCCFKRSVDATPPSEVIGGTPENNVTSDAPKPMPPPPPPPLPPLIKKAPPPPPGPPKGSKARLAQLSPVESSRSEGSSAGEQTSESSEAEVHAPRAKLRPFYWDKVLANPDQSMAWHDIKFGSFHVNEDMIEELFGYSGGNRNNLKDKELPSADPASQHISLLNVKKSCNLAVVFKAMNIRVQDIHDALMEGNELPRVLLETILRMKPTDEEEQKLRLYNGDFSQLGLAEQVMKALIDIPFAFKRVDTLRFMSSLQEDASSLRDSFHQLEAACGELKHRLFLKLLEAVLKTGNRLNDGTFRGGANAFKLDTLLKLSDVKGADGKTTLLHFVVQEIIRSEGVREARLAMESGLSPTRSTSDDNSNGSLQEDGDYYSNRGLSIVSGLSSEMDNVKRVAALEAEPLFATVVTLRQELLKSKEFLNEIATTEETSGFRRSLECFVEHADNETIFLMKEEKRLRSLVKKTIRYFHGNDSKDDGFRLFVIVRDFLVMLDKACKEVGASQKKGTNKSRSSGNGNPTFPSILQEQQFPAVIDGHSDSSRSDD >Sspon.04G0024190-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:18143691:18152174:1 gene:Sspon.04G0024190-1B transcript:Sspon.04G0024190-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFHTGDLTVEEGARGALILALVPKGGMTGVFLNRTEQHHGLLVRHSSGTEAWSRDTLIWGAATADDKTEKSTMPPLRPASMAKSFAANSKHRCRHQSSPSQWPGGKQQNGAASGYARWQVLWRRGDDVDWWVTADVDLAVAKVDLDQADIAGHTRQLAVMCTSLSLSSRGDPRSPWPGWLMGKVAAAGRGRWAACTAVGRVWRFLLPTLYAYGLRRVDAGTGRSPAAIVLRQQAKDRFYWRFSSDGIYLASSAYKAMFVGSSTLRSAKELWKTRRKEGCKTAIFAPFVIRSRKRCVYMREVWARLRAALGFQQPAQVAQMVEANAEGFEEGIRFIVPACLLVSLEGEEQPASGLAPSPPCRSVQQANRPWGPGPMRSKPPRKQHLKKDVGAALVHLVEKLLDAAAKPGNAWDSYQLYTRDACGSRTLAMRSTIRASVVPDIALAAPKVACATSRFPHDLSAATDELLRSSRRQGGRVRSPAVEATAPLATSRQVGGTVESATKDACAW >Sspon.01G0022850-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:85075727:85077218:1 gene:Sspon.01G0022850-3C transcript:Sspon.01G0022850-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RTELCRFSGQKIYPGKGIRFIRADSQVFLFANSKCKRYFHNRLKPAKLTWTAMYRKQHKKDIHAEAVKKRRRTTKKPYSRSIVGASLEVIQKKRAEKPEVRDAAREAALREIKERIKKTKDEKKAKKAEVTKSQKSQSKGAVQKGSKGPKLGGGGGKR >Sspon.04G0010370-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:31095715:31096914:1 gene:Sspon.04G0010370-1T transcript:Sspon.04G0010370-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSAPATVHEMRRAQRADGPAAVLGIGTANPPTCLAQDEYPDYYFRVTNSEHLTDLKGKLTRICNKSGIKQRFIHLNEELLAANPDFTDRTRPSLDARVDIASAAVPELAASAAAKAIAEWGRPATDITHLVFSTYSGARAPSADRRLASLLGLRPTVSRTILNLHGCYGGGRSLQLAKELAENNRGARILVACSEITLIAFYGPQGGCADNILGQALFGDGAGAVIVGADPIAPVERPLFEMAFASQTTIPETEDAISMQINKGGMEYHISNQVPRLLGCNVERCLVDAFRALGVSAAWNDLFWAIHPGGRAILDHIEGVLGLDDGKLAASRHVLSEFGNMSGTTVIFVLDELRRRRAATAKQGGEAPEWGVMMAFGPGITIETMVLHAPSTLDLEGN >Sspon.02G0018460-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:55368737:55371290:1 gene:Sspon.02G0018460-4D transcript:Sspon.02G0018460-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSEEEVAKMEGMAPRRRCSSPGQGGVPVTVVYYLCRSGRHLEHPHMMEMHLTSPNQALYLRDVIYRLDALRGKGMATMYSWSCKRRYKTGFVWQDVMEDDMLLPAQESEYVLKGSLLPVRHSTPPIVVAAPPPKDHDQPNVDTTIIQKVPCVEPNPDEESPTHSQEGWTANSSSPPTVKVDVEDEAPPLALHQGSQPTLSSISPSSCCTIGDGDEETASARSSCSGSPSSPNKPTTKGSSGGTSSPTPSSLTLHNKQRMPGCSSVIAAAQDLATQTQGTSTGRELHKDTSCSTDSTPAKATIPTNDKQPGNAGSFSSSRSGRSGTLESLIRAEALGRRGATAKRTTAKRILEEDDDKEAVQSLATKLNPANLLIRLVACGSTMSARQHFPACGLMRTTHKPRYLTQHVEFLPSSPVLSPLGTLIMRPRNADGARGDSDSGDCSHCRGRLLQTADNRCESGKVMSTIVRPSSYCDHNSVSEKEVSIANLDNLEDRSKLTPQKIRVAPFQQPTSGTVVTITTDVGGEQESKTLSRSTSKKMIDPSSRPSRVVSFRDEREKVIKIEESVTEAVSSCFPSIFSFLIVQQLLELRSVQLYWISGQDNNM >Sspon.04G0015790-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:58650227:58658739:1 gene:Sspon.04G0015790-1A transcript:Sspon.04G0015790-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Outer envelope protein 80, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G19620) UniProtKB/Swiss-Prot;Acc:Q9C5J8] MGRSRDVRFVSSGVKLPSAPAPLPSPSPAPALLSAALPFAHIGRAIDAAARRLGSCLPRVPVARADPAVPPPLARRHGKDGGGPEERVLISEVAVRGKDGEPLERAELEAAAAAALRACRPNAALTVREVQEDVHRIVESGLFRSCMPVAVDTRDGIRLVFEVEPNQDFHGLVCEGANMLPSKFLEDAFRDRHGKIINIRHLDKVIKSVNGWYQERGLTGLVSYAEILSGGVLRLQVSEAEVNNINIRFLDRKTGEPTVGKTQPETILRQLTTKKGQAYNRAQVKRDVETILTMGIMEDVTIIPQPVGDSNKVDLVMNLVERPSGGFSAGGGISSGITNGPLSGLIGRLNFTDPWIDGDNKRTSRTVMVQNSRTPGTLVHGGDHPDHGPITIGRVTAGLEYSRPFRPKWSGTLGLIFQFVFNIEQGLPVLPEWLSFNRVTARLRQGYEIGPARLLLSASGGHVEGNFPPHEAFAIGGTNSVRGYEEGAVGSGRSYAVGSGEVSCRLFGPLEGVIFGDYGSDLGSGPKVPGDPAGARGKPGSGYGYGVGVRVDSPLGPLRLEYAFNDKQASRFHFDRDVHCGIVPMPKEVVKSFDP >Sspon.04G0016940-1T-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4B:65486859:65491291:-1 gene:Sspon.04G0016940-1T transcript:Sspon.04G0016940-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGSAVTGGGAEEAAAAVPLGQKLMVHVAENGNTLEFQCGGDTLVEAIQHSIQLHCGIPPSDQLLLCGNTSLDGANGHALAYYKLPRDDREVFLYNKARLLADSRPPAPESLYIPEPNIPPPPRPQDSPPVDASADPALKALVSYETRFRYHFQVANAVYQSSLAKFELCRRLLREGQQHRSHVEMLANFERDVQKLRAVRLHPALQSEGRHCLMDLLKENDLRKLADGCLSSHKKFEVKVSQLKANFLELKKRVEGLFNAMSSGGCKDVEKLIKEHQGVIGDQKIIMQALSKDVDTSKKLVDDCSSCQLSASLRPHDAVSAVGRIYEVHEKDNLPSIRNFDHRLTKLLEKCKDKKNEMNTLVHVCMQRVKSSQISIKGMMSELIAFQEVMGHQEDFDNLKIVSGLGHAYRACVAEVARRKSYFKLYTGLAGTYAEKLATECQNEKTRREDFHRTWSRYIPDDVMCSMGLFDSPSQCDVKVAPFDRDLLPIDVDDVEKLAPQSILGSFLKSERSQLAKPLLSNSSTSGNLNKSEQNPLSADDKMDFQDFLGGYDSIDIAGTSKLEVENARLKAELASAIAILCNVGAEYGYESIDEGQIDAVLKKAREKTAEALAAKDEFAYQLQSLLTAKQEKCLAYEKRIQDLEERLANQYMQGHMVSGSKGTSDSLLSAFKSNDCNLDVCGGRQTQIRDESSVAMDETSSTSEQPSKQTEGGDENMTDISGALNLQLLDSAACTNLDAFMTELPRDNEHKIVNIDKEGHMLTQLTMADTSDVPIEDPLSILNSRTNEHHALELRNKELLVSELQNTLDQKSKQLGETEIKLSAMMDEVNSLNKELEQTQGLLDESQMNCAHLENCLHEAREEARTNKCSADRRAVEYDALRSSALRIHGLFERLNNCVTAPGVTGFAESLRSLAISLASSVKKDEADTTVQFQQCIKILADKVYLLTRQSAELLERYSAMQAVHGGITKELDEKKELIKNLYNKLQLEKQASKEKISFGRFEVHELAVFFRNPAGHYEAINRNCSNYYLSEESVALFTEHHPQHPAYIIGQIVHIERRIVHPGQMGGAPRPDSSGGRRLPASMLNPYNLPGGCEYFVVTVAMLPDAAR >Sspon.07G0012940-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:53721315:53722449:1 gene:Sspon.07G0012940-3C transcript:Sspon.07G0012940-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLQHYRVQDISMATVVILGQVETTKMGNTSPPSWGQRLLELESGNRRPAHLCEVDIADRFGRVIVNRVGVSIMDDHSTWLDQISVAVDLRTAKKRAHRASDSVVSKHWEMLLHVCTYHHILLSCCTDYVPNIDDRGTWLDPIPFDLMTNQEHETVSNAGSSAKSDPLTSDSTAEKTHPPWITQDVGSRIGLEKEQRHRQADDVAAANHNCDFASDTDPAP >Sspon.05G0023370-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:4201428:4205617:-1 gene:Sspon.05G0023370-2C transcript:Sspon.05G0023370-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATASSSSTPPTPHLPSMERRKGPTGLEKLVLRESRGWSAEVHLYGGQVTSWKNDHGDELLFVSSKAIFKPPKAIRGGIPICFPQVWQLHFYFTKSISLKCLLQSSERTTYMQFGTHGNLEKHGFARNRFWSIDDNPPPFPVNTAIKTFADLILKPSEEDLKIWPHSFEFRLRVALAPRGDLILTSRIRNTNIDGRPFSFTFAYHTYFLVSDISEVRVEGLETLDYLDNLNAKRRFTEQGDAIVFEAEIDKIYLDVPSKFAIIDHEKKRTYVLRKDGLLDTVLWNPWDKRSKIMQDLGDEEYKHMLCVEPAAVEKPITLKPGEEWKGKMELTAVPSSYCSGQLDPEKERLASLTLLGGCPGVEAVSTY >Sspon.02G0044710-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:103776333:103780462:1 gene:Sspon.02G0044710-1B transcript:Sspon.02G0044710-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding IIMVFSSLPIFLDPPSWMQMQQQPPLQCLLGGGGGSDHHHLMPPPSGLAPLPGGPADTAASAPAGGGSSTSVQAAAGAGAGAGAAQPRPVVSMAERARLARVPLPEPGTLRCPRCDSANTKFCYFNNYSLSQPRHFCKACRRYWTRGGALRNVPVGGGCRRNTKRSSKKSSRGGQGGGAGATAATSSSSTTSTSTTATTTTATTTSAAMAAAEAIASMQAQLPHLGLPPAAAAAALEASLEGYHHYLPLQMQPQFLQQAGLYGYHFADDGTGVLADGFPRGVAASGLLAQLAAVKMEEHSSNGGGAVAAHHEQSYWPGSTGGGSGWPAEFLSGFSSSSSGNSRRSSDAKMDGFAYINNKNYNHCFKKSPFSC >Sspon.08G0004110-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:9924627:9926709:-1 gene:Sspon.08G0004110-2B transcript:Sspon.08G0004110-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:HISTIDINE TRIAD NUCLEOTIDE-BINDING 2 [Source:Projected from Arabidopsis thaliana (AT1G31160) UniProtKB/TrEMBL;Acc:Q8GYJ9] MNVSWAHWLSTGPRHCGPAAAASPHGRGSSTALSSHRPSPPMATRAAAATITAVTSSLLRFVRHIASSTNEEAAAKAAAATADTGGPTIFDKIIAKEIPSSIVYEDENVLAFRDINPQAPVHVLVIPKVRDGLTGLDKAEPRHAEILGHLLYAAKVVAEKEGVANGYRVVINNGAEGCQAVYHLHLHVLGGRQMKWPPG >Sspon.02G0008170-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:22453933:22456587:-1 gene:Sspon.02G0008170-2B transcript:Sspon.02G0008170-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADPLLALLAGALLAAALAPAAAAPSCPHTNLTANFSADLTMLQHQLRGTVRLADGGKCALELTRFDLLAASPSARFWAADGPAMADLAAGRAFSPLPLNKTFRNASLELPFARPLPRLLALYDPDTSSDFGHVFLPNGTGPDLDTAAEPAPTMFDNCIPLSETETYRLRWTLNASAGTVEIGLEAAVGSEYYMAFGWADPKANSPAMIHADVVVAGFTEEGTPFAEDYYISDYSECTLGKEDSPVSGVCPDKVYEDGKNDSVLVYGHRRDGVSFVRYVRKLDAEDAKYDVPVGATEEMAVLWAIGKLRPPDTMRPHYLPQNHGGPRDTTFGFARLNLSETVDNCLGPLDADNKEDQERIIADRKTPLVVTSAPAVRYPNPPNPDKVLYINKKEAPLLKVERGVPVKFSVQAGHDVALYITSDPIGGNATLRNKTEVIYAGGPDAHGVLATPKELVWLPDRNTPDLVYYQSLYDQKMGWKVQVVDGGLSDMYNNSVVLDDQQVTLFWTLSADYKSISIAARGEKKSGYLAIGFGSGMVNSFTYVAWVGNDGVGRVKTYWIDGKSAAGIHPTSENITFVRCKSENGIITFEFTRPLNPSCTGKVECKNIIDPTTPLKVVWAMGASWSGDDLTDSNMHSVTSSRPIRVLLLRGSAEAEQDLRPVLAVHGFMMFVAWGILLPGGIMAARYLKSLKGDGWFQIHVYLQYSGIAIMFLGVLFAAAELRGFFVSSVHVKFGVLALLLAVLQPLNAKFRPSKPANGEVPSRNRILWEYLHVITGRSAVIVGIVALFTGMKHLGHRYDSENVEELTWALMLWVLSVIVVVLCLEYKEVKRRIGDRSTRGHWVLGNTEEDDSVDLLHPDGTARNSESSASGVMEVQLEPLTR >Sspon.08G0006370-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8B:16924886:16925569:1 gene:Sspon.08G0006370-2B transcript:Sspon.08G0006370-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASFNADVPRHGGAAAGTGRALGVHAASRKIGKPASAGHGHGQQERKPVIIYMVSPKVIHVEAHEFLPLVQRLTGPEAAGRGDKKSSRTSTSGGGAAGSSSPREESEGARNKNRAAPSVRVKARALNRPAGPDVSVSVTATRQQQQAAAPSAAAAASASPSGLMFRDLSPLRGAALKGEHHPLVSPGWLHHVGDHHFLSPGAAATLGSPSASFLDIFGPLSSQQQ >Sspon.07G0036880-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7D:45532891:45534314:1 gene:Sspon.07G0036880-1D transcript:Sspon.07G0036880-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRPLHVKFLKTMYGLVVNNFLVVVALAAAAAVVPRTSPEEVLALAREIRPVHCLLALLLAAAVAKLRRMGRPKDVYLVEYGCFRPKPWFRAPFATCQEHAHLMPYLVDEESVSFAIRLLERSGLGEETCVPEAYHYMPPDRSLEASRDETELVIFSAVDEVFARTSVKPEEIDVLIVNCSIFTPTPVFADMVVNRYKLRADVQNVNLSGMGCSAGLVSVGLAKNLLQTARPGTHVLIVSTEILSSQLTRLVRTVTAARDADYRCVFQEEDDKGNTGIRLSKDLATTAGHALKTNIAAFGPLVLPASEQLLVALSLLKRKLLSGRAKVRLYRPDFRTAFEHICIHAGGRGVIDEVQHGLGLSDQDVEASRMTLHRFGNTSSSSVLYELAYIEAKGMMKKGDRIWMISFGAGFDCNSVAWECVKPPADGDGPWVDSIHRYP >Sspon.02G0018890-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:60631890:60633221:-1 gene:Sspon.02G0018890-1A transcript:Sspon.02G0018890-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAVLDAFSATPRHQLRPAAWWRSTRLASQACRATAPFARADWQTACAILASNSTGGGGGAGHDTSSSSSSNNNSQPAPRVNGQKPLPAPAPALEATPAPTPAELDLVPVSNLPRPLSISDLSPAPMHGSQLRVAYQGVPGAYSEAAAAKAYPGCDAIPCDQFEVAFQAVELWIADRAVLPVENSLGGSIHRNYDLLLRHRLHIVGEVQLPVHHCLLALPGVRRELLTRVISHPQALAQCELTLNAMGLNVAREAFDDTAGAAEHIAAGGLRDTAAIASARAAELYGLQVLADGIQDDAGNVTRFVMLAREPIIPRTDRPFKTSIVFAHDTDGTSVLFKVLSAFAFRDISLTKIESRPHRHRPIRLVDDANVGTAKHFEYMFYIDFQASMADVRAQNALAEIQEFTSFLRVLGSYPMDMTPWDAALSSSSRADDSSRQYYE >Sspon.02G0027140-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:98578958:98583672:1 gene:Sspon.02G0027140-1A transcript:Sspon.02G0027140-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQLRLHLAPTPPLLLPHRRPHLRHLPRPLCPTLNPIRARLPALPVPRVLSHARPARAVGGGVEPKEGVVAEGEESGGEPELVGEDSAAFELKDQSVASWAYFAVILTAVLVGLNVLWIDPGTGVGTKFLDAVASVSDSHEVVMLLLTIIFAVVHSGMASLRESGEKIVGERAYRVLFAGISLPLAVTTIVYFINHRYDGIQLWQVQGITGIHELVWFSSFISFFFLYPSTFNLLEVAAVDKPKLHMWETGIMRITRHPQVIWCLAHTLWIGNSVAVAASVGLISHHLFGAWNGDRRLASRYGEAFEVLKKRTSVVPFAAIVDGRQKLPKDYHKEFFRLPYVAITMLTLGAYFAHPLMQASSYQLPWIIAL >Sspon.01G0037770-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:20663729:20664494:-1 gene:Sspon.01G0037770-1P transcript:Sspon.01G0037770-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYGNASTGTTAAPQQYNYCRSVSPPSRVSSCSPPPPPPPAVQVVGNVPPMVVMSPCAACKILRRRCADGCVLAPYFPPTEPAKFTTAHRVFGASNIIKLLQDLPESSRADAVSSMVYEAEARLRDPVYGCAGAVCRLQKQANELKVQLARAQADLLNAQAQHANLLALFCVEMANRRGSQQQHPSSPLTVDGGGGGSGFGAAYQQTFYDSDMDSATWPDHEAQLWT >Sspon.01G0034300-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:2921455:2923662:1 gene:Sspon.01G0034300-2C transcript:Sspon.01G0034300-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAGHMVCGVAIVFATVLGSFCIIVVTASPEAQRYNFRFVRHARDAPLVSYYNYIVVGGGTAGCPLAATLSERSRVLLLERGGLPYASRNVSSEYHFANALADASPLSPAQRFVSEDGVVNARARVLGGGSCLNAGFYTRASGGYVRAAGWDHRLVNASYRWVEHALVFRPAVPQWQCALREGLLQGGVTPDNGYTLEHVQGTKIGGTIFDRRGRRHTAADFLRRAHPRRLTVFLHATVSRILFRRAEGAAKPVAYGVVFTDPMGVQHHVYLRRGGGGGAKNEVILAAGTLGSPQLLMLSGVGPRAHLEKHVIRTVHDQPGVGQGVADNPMNSVFVPSPVPVALSLVQVVGVTRFGSFIEGVSGSQFGIPLHGRGAAHHAARNFGMFSPLTGQLGTVPPKERTPEAMRRAAEVMRRLDRRAFRGGFILEKVLGPLSTGHIELRSADAHANPAVTFNYFRDPRDVERCARGIEAIERVVRSRAFSRFTYANHTAMDAAFRRAAGTAYFPVNLLPRHPRDTRTLQQYCRDTVMTIWHYHGGCHVGGVVDRDYRVIGVQGLRVVDSSTFRYSPGTNPQATVMMLGRYMGLRILKERWIRKGTEDKH >Sspon.02G0033220-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:6425640:6427322:1 gene:Sspon.02G0033220-2C transcript:Sspon.02G0033220-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AMQTLVLPDAMAVAAAAADVPSRTQPLPRRVSVSMARAPRRESDPKKRVVITGMGVVSVFGNDVGAYYDRLLAGSSGAGPIDRFDASGFSTRFAAQIRGFSCEGHIDDESDRRLDDCQRYALVAARKALASAGLALGSRASAADQIRLGRADVMVAGGAEAAVAPVCLGGFAALRALSRRNEDPGAASRPWDRDRDGFVLGEGAGIQVMESLEHARRRGAPILAEYLGGAASCDAHHLMDPRPDGRAAAACIRRSLEDAGVAPEQVNYVNAHATSSLAGDLAEVKALKQVFKNPSQIKMNATQSMIGHCLGAAGGLEAIATVKAITTGWVHPTINQ >Sspon.01G0019070-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:68263668:68278545:1 gene:Sspon.01G0019070-3D transcript:Sspon.01G0019070-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plastid transcriptionally active 3 [Source:Projected from Arabidopsis thaliana (AT3G04260) UniProtKB/TrEMBL;Acc:F4J3M2] MATPSTSAPAPSPSSAFPLTTTARFPRSCAVRASALAERRRTRRRRAPEGGGAGGDRSAAAGAVEKGLRLAFLEQLAERARAADAAGVADTIYDMVAAGLSPGPRSFHGLVAAHVLAGNAEGAMQSLRRELSSGVRPLHETFVALVRVFAKKGLSTRGMEILAAMERYKYDIRKAWLILVDYMKPDTESYNWVIQAFTRATSYDRAADVAELLGMMVEDHKRIQPNARTYALLVECFTKYCMVNESIRHFRALQRIPGGTKVLYNEGNCGDPLSLYLRSLCLDGRPVELLEALEAMVNDNQSIAPRAMILNRKYRTLVSSWIEPLQEEADVGFEIDYVARYIEEGGLTGERKRWVPRRGKTPLDPDEFGFAYSNPIETSFKQRCFEELKLYHRKLLITLRNEGPGILGDVSEEDVRRVIERLKKLVVGPKKNVVKPKAASKMVVSELKTELEAQGLPTDGTRQVLYQRVQKARRINRSRGIPLWVPPVEDEEEVDEGLDELISRIKPEDGNTEFWKRRFLGETRNYLCEEDSKEDDQDLDDELEDDDDDDDDDEDDDESVKAEDDIDDEEVVEQTENQVGDETKDKPAKGPNHHLQMIGVQLLKDMEKTPVSSKKLKRMPEIDDDEDWFPEDPIEAFKVMREERMFDVSDMYTTADAWGWTWEREIKNKMPRKWSQEWEVELAIKIMHKVIELGGTPTIGDCAIILRAAMRAPLPSAFIPILQTTHSLGYKFGSPLYDEVVLLCLDLEEIDAAIAVVAEMETCGIKVPDETLDKVLASKQSGNSALPHLQRSNKFGPFAAHA >Sspon.05G0006880-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:22053850:22059718:-1 gene:Sspon.05G0006880-4D transcript:Sspon.05G0006880-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABC transporter G family member 11 [Source:Projected from Arabidopsis thaliana (AT1G17840) UniProtKB/Swiss-Prot;Acc:Q8RXN0] MRRAGGDLQGDGTTPRSAAAGQAMVELQANGSAAAAGGAMVVGLSPLSETLWRDSKALPPSAGPAALIGDVSARLTWKDLCVTVALGPGKTQTVLDELTGYAEPGSLTALMGPSGSGKSTLLDALAGRLAANAFLSGDVLLNGRKAKLSFGAAAYVTQDDNLIGTLTVRETIGYSALLRLPDKMPREGKRALVEGTIVEMGLQDCADTVIGNWHLRGVSGGEKRRVSIALELLMRPRLLFLDEPTSGLDSSSAFFVTQTLRGLARDGRTVIASIHQPSSEVFELFDMLFLLSGGKTVYFGQASQACEFFAQGGFPCPPLRNPSDHFLRCVNSDFDKVKATLKGSIKARAERSDDPLDRMTTSEAIRRLVASYSRSQYYYAAREKVNDISRMKGTVLDSGGSQASFLMQACTLTKRSFINMSRDFGYYWLRLLIYLLVTVCIGTIYLDVGTKYTSILARASCSAFVFGFVTFMSIGGFPSFVEEMKVFQRERLNGHYGVAAFVISNTISATPFLILICFLSGTICYFMVRLHPGFEHYIFFVLNLYASVTVVESLMMAIASVIPNFLMGIIIGAGIQGIFMLVSGYFRLPYDIPKPFWRYPMQYISFHYWALQGQCQNDMKGLVFDNQYPDQPKIPGDFILKYIFQINVDRNKWIDLSVIFSMIFIYRILFFLMIKINEDALPWIRGHIARKRMQKKGPSPTFGKTPSLRGYVVDPELGSNEG >Sspon.02G0009380-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:21196567:21197927:1 gene:Sspon.02G0009380-4D transcript:Sspon.02G0009380-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Senescence-inducible chloroplast protein, Activation of the chlorophll-degrading pathway during leaf senescenc [Source: Projected from Oryza sativa (Os09g0532000)] MAAAAAAAASAMSLLPISQLRQQQHGAGAVVVFRRRPRDARRRRYVVPTARLFGPAIFEASKLKVLFLGVDEESGKHGHPAPAGKPPLPLLPRTYTLTHSDVTASLTLAVSHTINRAQLQGWYNRLQRDEVVAEWKKVRGRMSLHVHCHISGGHFLLDLIAGLRYYIFRKELPVVLKAFVHGDGDLFSRHPELEEATVWVYFHSNLTRFNRVECWGPLRDAAAPPAEEDSTAPAAANSKEVQMPPVGEWPYRCPQQCDCCFPPHSLIPWPNEHDMSDASAAGQAQQQ >Sspon.03G0008860-1P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3C:37607357:37612392:-1 gene:Sspon.03G0008860-1P transcript:Sspon.03G0008860-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARVFQSRPVGTAGAHGSFRLPDVLVAPSMVHNLLSIRRFTADNSCSVEFDSSGLTV >Sspon.02G0008740-3C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2C:27630784:27631091:1 gene:Sspon.02G0008740-3C transcript:Sspon.02G0008740-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SFAGSEGSNNTSLCPTIYRDEPLLIQLQLTASCHIRRRMVCSVARHCHGAQECLLQLCRGAPPQQAQGILHDHGITGQCDSAVTAREAELLLGHLQELGED >Sspon.02G0014650-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:39099160:39100609:1 gene:Sspon.02G0014650-1A transcript:Sspon.02G0014650-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gibberellin-regulated protein 6 [Source:Projected from Arabidopsis thaliana (AT1G74670) UniProtKB/Swiss-Prot;Acc:Q6NMQ7] MATTMAGRLLLLLAVAGLLAVSMAEHEVLAKGSDEHDDNVYQVSKGGQGSLKSYQCSPQCSRRCANTQYKKPCLFFCNKCCNTCLCVPSGYYGNKGECPCYNNWKTKRGGPKCP >Sspon.08G0016510-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:65010375:65016451:-1 gene:Sspon.08G0016510-1A transcript:Sspon.08G0016510-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARAAAVSAAAAVLLIAAAVSASAAAGGGGGDGDGDGQALMAVKAGFGNAANALADWDGGRDHCAWRGVACDAASFAVVGLNLSNLNLGGEISPAIGQLKSLQFVDLKLNKLTGQIPDEIGDCVSLKYLYVARSSKDLSGNLLYGDIPFSISKLKQLEDLILKNNQLTGPIPSTLSQIPNLKTLDLAQNKLTGDIPRLIYWNENKFSGDNISVILILRGLRGNSLTGTLSPDMCQLTGLCDVRGNNLTGTIPEGIGNCTSFEILDISYNQISGEIPYNIGYLQVATLSLQGNRLIGKIPEVIGLMQALAVLDLSENELVGPIPPILGNLSYTGKLYLHGNKLTGHIPPELGNMSKLSYLQLNDNELVGTIPDELGKLTELFELNLANNNLEGHIPANISSCSALNKFNVYGNRLNGSIPAGFQKLESLTYLNLSSNNFKGQIPSELGHIVNLDTLDISSNNLSGYLPEELGQLQNLDSLILNNNNLVGEIPAQLANCFSLITLNLSYNNFTGHVPSAKNFSKFPMERNPYLNAASRVIQCSMCTAKIPAVDILMVQKVPNKSCAAMVCLCLSSNSTFYITFTLVNISRTAVACIILGFIILLCIMLLAIYKTNQPQPPVKGSDKPVQGPPKLVVLQMDMATHTYEDIMRLTENLSEKYIIGYGASSTVYKCDLKGSKAIAVKRLYSQYNHSLREFETELETIGSIRHRNLVSLHGFSLSPHGNLLFYDYMENGSLWDLLHGPSKKVKLDWDTRLKIAVGAAQGLAYLHHDCNPRIIHRDVKSSNILLDENFEAHLSDFGIAKCVPAAKSHASTYVLGTIGYIDPEYARTSRLNEKSDVYSFGIVLLELLTGKKAVDNESNLHQLILSKADDNTVMEAVDSEVSVTCTDMNLVRKAFQLALLCTKRHPVDRPTMHEVARVLLSLLPAPPAAKPPATKAAAGDYTRFLATAADMKHGGLSDDIGDNSSSDEQWFVRFGEVISKHTLS >Sspon.01G0041040-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:45376347:45377924:1 gene:Sspon.01G0041040-1B transcript:Sspon.01G0041040-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGLGFLKGVALVLAPVVLAVVLYSPRDFSPAPMPPEYSYGPDVSAPRHERRALQHSERVGEGRLPGPEDLAYDGAGGWLYTGCADGWVRRVSVPGGDVEDWVRTGGRPLGLVLASDGGLIVADANIGLLKVSPDRKVELLTDSAEGLKFALTDGVDVAADGTIYFTDASYKYNLDNHMADVLEARPHGRLMSFDPSTGRTAVLARDLYFANGVSVSPDQSSLIYCETVMKRCSRYHIAGEKKGTIQKFIDNLPGFPDNIRYDGEGRYWIALSAGRTLQWDLLMKYPFIRKLVYLAEKFVAVPHALKNSGAMSVALDGKPVSMYSDQGLALATGWLKVSEHLYYGSLTESYLSKIDLTKSSVESLE >Sspon.02G0044640-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2B:103289258:103289425:-1 gene:Sspon.02G0044640-1B transcript:Sspon.02G0044640-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RLRLAIRGYNPLGNAPNPHLDSNPRLCLPGTPGKSTFGTYHFPKTSMDSSISTVA >Sspon.07G0028070-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7C:85679906:85681617:1 gene:Sspon.07G0028070-2C transcript:Sspon.07G0028070-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DGEYIRVRRSTFAVLIVFAFLVVGIAITITKYLRNKKKANETTTESSLKSSQGNYRVQKGEVNSKWSGLYKFTKEEIERAINYASSRIYLGSGSAGQVYQGVLPSGQLVAIKHIHKTAMSGSFMREVEQLSKVRHPNLVCLFGYCDEEGDQYLVYEYCANGNLAQSLLRSDSVLPWETRVKILRDCASVLRFLHTHPDGCIIHRDIKLTNILLTENMVPKLADFGLAKILEMEETKVFTDVRGTIGYMDPEYITHSKLTCASDIYSFGVVALQLLSGRKVIELDIVARDSLTKKAKDVVSGKKPLEEFIDPRVRDDVIIEDFVLILKIAVLCVASSSVGRPTIKDVLEEMDKALRNTTIKVQILLSIA >Sspon.08G0002340-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:7032441:7033754:1 gene:Sspon.08G0002340-1A transcript:Sspon.08G0002340-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVTMCWNMFSVKTRVMAAFVSLVILRYNQKYHKANITTEVGSSRDEQGQTMQMDD >Sspon.02G0032570-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:98741237:98744353:-1 gene:Sspon.02G0032570-3C transcript:Sspon.02G0032570-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHHPPPQQQQSGKKTAELDPVLHSIGFEIEEVSPSQVTGRLPITSKCCQPFQVLHGGVSALVAEGLASMGAHMASGFRRVAGVSLSINHFRSAKLGDVVLVRAAPIHVGRSTQVWDVKLWKQDPLTQGKGPQISESRVTLLCNLPVPDNLKHAGDALKKYATDAAAAEAPKTTTFTSRL >Sspon.03G0040020-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:24641028:24643981:1 gene:Sspon.03G0040020-1C transcript:Sspon.03G0040020-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LCVVAGKEGLTYCLSSAMHEGRGLCDGLVCMSPQSGISNALHQFDTPRTQFRLYPACAQLLIRFHAMPYAEYIYPPWAPPKAIALGLKPAKPTAQHSAVVAPRRPRVVLAMDPYHYQSMYDPRGFPIIHPQQHLHHPVAVAGALSDSRVRGGGGGGVGVRRRPGAKLSTDPQSVAARERRHRISDRFRVLRSLVPGGSKMDTVSMLEQAIHYVKFLKAQISLHQAALMQHEEGCHAELAAAYSAAAVAAGDDEVTLASHGRTGACDEMMQLQVPAAEETLSYGVVAAHQPYGLDPRHQLSGGHELPPLPASCVFVEEPADACYSVCDLDDGET >Sspon.06G0021440-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:15656561:15657454:-1 gene:Sspon.06G0021440-1B transcript:Sspon.06G0021440-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGGVRRAVARVRGARLRCIAGPGGARWRRVGRQRSAVGRSFSGEGGSRSDHGRARSSDGGSRSDHRGARSGDGRARSDDGGEPRARCGNVVTTAAGSIGLDNGCSARGPASPWHAGVTCDEYQRLARGREDTKLHEMTEAMKWKRCLKCQFFVEKVDGCQHIRC >Sspon.03G0027280-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:9390241:9395729:-1 gene:Sspon.03G0027280-2C transcript:Sspon.03G0027280-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAEAGVAGADQLPRRQYYMNLLLLAYQSFGVVYGDLSTSPLYVYKSTFSGKLSQYQDEETVFGVLSLIFWTFTLIPLLKYVTIVLSADDNGEGGPFALYSLLCRHAKLSLLPNQQAADEELSSYYRNGFAPRNGSSPWLRRFLEKHKKMRTVLLLIVLCGASMVIGDGVLTPAISVLSSMSGLQVRATGLEHKVDPLVFHTQFVCYPGSVVLLSCIVLVGLFALQHRGTQKVAFMFAPIVIIWLLSIGGIGLYNILHWNPNIYQALSPYYMVKFFKRTGKDGWIALGGILLSMTVKQCLLTLVAFITVIYPCLILQYMGHAAFLSKNTFHMPTSFYDTIPEPVFWPVFVVATLAAVVGSQAVISATFSIVKQCHALGCFPRVKVVHTSRWIYGQIYIPEINWILMVLCVAVTIAFRDTTLIGNAYGIACMTVMLVTTFLMALIIIFVWQRNIIFALVFLVFFGSIEAVYLSSSLMKVPQGGWVPLVLAFIFMSVMYIWHYGLRRKYQFDLQNKVSMRSILSLGPSLGIVRVPGIGLIYTELVTGVPSIFSHFVTNLPAFHEVLVFLCVKSVPVPYVSPDERYLVGRIGPKEYRMYRCIVRYGYKDVQRDDDNFENMLVMSIAKFIMMEAEDASSSASYDIANEGRMAVITTTDASASPLAMGDFNGLADSMTTRSSKSESLRSLQSSYEQESPSVSRRRHVRFEVPEEDDMGQQVKEELMALVEAKHAGVAYIMGHSYIKARRSSSFLKKFAIDVGYSFLRKNCRGPSVTLHIPHISLIEVGMIYYV >Sspon.05G0024200-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:20569733:20575015:1 gene:Sspon.05G0024200-2D transcript:Sspon.05G0024200-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSRELLAAAAEMTEALRCRDAELEADDDLLIGPPPPAVVAEAASANEAERFEEVTRILAADTNSPYDVLGVNWKMSTDNMKKRYWKLSLLVHPDKCPHPSAQEAFVKLNNAFKDLQDPDKRGAIDEKIKKKEEMEQFEIELKAMREAAEWRRLQGVSLAGDDELLAGPKESQGPKRDEWMTTLPPERKAGVPMHSTKSFSMNGKEGRGDTTYNKTKAIAEGDDVKNKNPDASIVDKYNTSKRSVSLVQKHRDSKKEKKKQKQHEKEEWEGNHPWKPWDREKDLTAGRQKVALDPENMSQGLTSRFASGSVQRNF >Sspon.04G0029880-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4C:77599459:77603014:-1 gene:Sspon.04G0029880-2C transcript:Sspon.04G0029880-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDTTKVRHKGTAGEPCRIRTQRRSPFHLDPTARLAAALSSNHQSSCRPVHTPPGLSKIRFPPLRSSHMAGELRHRRVPPEDGDGDEGVAASFQRLDSASSSAADGNGKAGTSSGGGGGGGEGVEPRSGKRDALGWLEWGRGWMAVVGEFLFQRIAASHLANPLELTPLDGVSIVVTGATSGIGLEIARQLALAGAHVVMAVRRPKVAQELIHKWQNENSETGRPLNAEVMELDLLSLDSVVKFADAWNARMAPLHVLINNAGIFAIGEPQRFSKDGHEEHMQVNHLAPALLAMLLIPSLLRGSPSRIVNVNSIMHAVGFVDAEDMNLRKHKYRSWLGYSNSKLAQVKFSSMLHKRIPAEAGISVVCASPGIVDTNVARDLPKIVVAAYHLIPYFIFDAQEGCRSALFAASDPQVPEYCEMLKSEDWPVCACINYDCNPMNASEEAHNLETSQLIWEKTLEMIGLSPGALEKLIAGETVQCRYGQQEAE >Sspon.03G0022160-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:86564675:86567231:1 gene:Sspon.03G0022160-1P transcript:Sspon.03G0022160-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVPAVVAAASSCEVDLGNLLAYDPSHHVAAAAAASREELRQECLRKGTELAQVVADALFALPPSEDRDGPIVHLPPPTVRLPREKHLPKPKPPTKWELFAKAKGITKRKKNKREWDEQTQSWKRTYGYDRVNDDKDIPIVEAKLTDEPGVDPFAQRRDEKKKRVEKQEKNRLENLKKAAKIGALPSHIQLAAKALPITGTKADLPKKSRKEDLESVVGMASSATASGGKFDEKLPGEKPPKHPGKHRKFLPVAEGKGMGSLEKQQNDKILNSLLARNSDEPLDVGKAITMYKVKKEKQRRKEKDMSSKSDKLKPQKKPHKKSSKKKA >Sspon.02G0001680-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:5500596:5525117:1 gene:Sspon.02G0001680-1A transcript:Sspon.02G0001680-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVRRRPAAAAAGDPPAQAPPADVAPAPDESVLRPPNLRVPAVAAVLFLAPFSYLAFVHYPLAADLQRSILKCGAMSLVGFCVALKLIPVAVRYHLRRRMFGYDINKKGLPTGQIKVPEALGLVVGIVYLVIAIIFQQFHYAPDSIWLVEYNAALTSVCFMILLGFVDDVLDVPWRVKLALPTFAALPLLMAYAGGTSIIIPKPLTPYVGLTVLELGLFYKLFMLLLAVFCTNSINIHAGLNGLEVGQTVVISAALFHFVPCPRHRLPRFDPETGLLTGTKDGNLVNIFLSLINVKYGRPLRVSRPATLPTVPSPSSLSTPIARRSRPTEARASRAKGKMLSSLSSSASRREAAAARATKSGELPKSATISWKDVAATARNGELSKAVAGTELSKAVAAVREAADVHHEGWMVRYGRRKIGRSFFHTRYFVLESRLLAYYKKKPKDNMVPLKSLLIDGNCRVEDRGLKNHHGQMIYVLCVYNQKEKEHQITMGAHDIEDALAWKKKIELLIDQQPDSAAKTHKAFATMDFDMELGGQFSLSDRDSAAEDEEERPTLVRRTTIGNDDIHITPRIPAMESMADVNTAQKDEKLTEIDSNTKPADQEHVENKNMGTIDEESDDDEEYRVPEADIEIPAASYLMELAAIDWYKDTKRMDNVGRQKNCVAQVAAEKGMHTFIVNLQIPGSTHYSMVMYFVTSSLKKGSLLQRFFDGDDDFRNSRLKLIPSVPKANTYEELPEQVIGAARLSNVEPSTAVVPDLENNSDSNKDNSSNDATSSEDDSPLLSFTSICGGFTVNQSMQARQVMDGKIAQERAEEDRTLQQQRGDMDRKIHLQRVEMDKIHREDRENMARVLHQQRAKHERDEMDRKA >Sspon.02G0053930-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:108927192:108927796:-1 gene:Sspon.02G0053930-1C transcript:Sspon.02G0053930-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GDEQRGNGGRVVLLLSGPPPTPTPFLASAGAGADEASTTSTSASAPAPAPALDQVCGRLGSYYV >Sspon.01G0051530-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:16285615:16296551:-1 gene:Sspon.01G0051530-1P transcript:Sspon.01G0051530-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALKKQASKLREHVAKQQQLRLTSSIRIDCKPFPCFLACMASLRELCVMMQAVLKQFSARYNQDPSLVDEAELECHQNLQRLYSTTRAAKHFQRNIVRGVEGFIAISTKQMEIVKKLAEDCCKYGNDNQHFGFALARASEEFGKSHKQIEKEREDLLKSLGEQVFEPLREMIMSAPLEDARLLTYRYQRIRQDMESQAWEPAVRVQEAAGQAWEPAGQRQQPAPMLKRTGDADCRQTGCPWHLEPGQPLEEQQRLLVEQEAGYGRETRSRGLLTTLSLQVDAERTYHQNAADILNKLHDEMLYAKHHNQSENHYDEQSSEPESDTGPAQVHSHSTSEDPVLTKPRESTGNSQEVQFLGEVIHPFEAQADGELNLAVGEYVVVRQVAANGWSEGECKGKAGWFPSAYVEQRDKAPASKVIEPGLLTT >Sspon.04G0019280-3C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4C:74316828:74318659:-1 gene:Sspon.04G0019280-3C transcript:Sspon.04G0019280-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ankyrin repeat domain-containing protein EMB506, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G40160) UniProtKB/Swiss-Prot;Acc:Q9SQK3] MLPWAATATVPPPSTPAAVSFAPPLRVAAPRPPPPLCRPLPRLLCAGLKLPPRAAASDGDVFWEEPDDGSGSDYEDDGPEQKRASRFPSYSPSSRLEAVRQQEQDLRREIELLLTPEEKATLDQHETPDPKWHPLHSYALALQIPLMDKLLDSGVDINLLDRDGFTPLHKAVIGKKEAVISHLLRKGANPHVRDRDGATTLHYAVQAGALQTVKLLIKYKVDVNVADNDGWTPLHLAIQSRNRDIAKVLLVNGADKTRRTKDGRTALDLSLCFGRDFKSYDLAKLVKLIPANRGV >Sspon.02G0006830-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:20523422:20524610:-1 gene:Sspon.02G0006830-1A transcript:Sspon.02G0006830-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal RNA small subunit methyltransferase, mitochondrial [Source:Projected from Arabidopsis thaliana (AT5G66360) UniProtKB/Swiss-Prot;Acc:Q9FK02] MKRAVSVLWARCAVRQAYFYTTAAAAATSSSSPEVGASASEAWDGRFRLHKPRGQHLLTNPRVLDAIALRAAISPGDAVLEVGPGTGNLTVRLLASQAARVAAVEIDPRMVEAVTARAAALGLEDKLTVIAGDAVEVEFPEFDICVANIPYGISSPLIAKLLFGPYRFRTATLLLQKEFARRLVATPGDGEYNRLAANVRLVADVRLLMDVSKRDFVPMPRVDSSLVEIRPRGIAPGVDVSEWLAFTRVCFGQKNKTLGAIFKQKRMVMELLGRSQRAEGCAGGVSLGALDGDSGEDDCGETDDGSNRVVVGFSEEEVAAFKERVAGALDTAELAGKRPSKVSNDELKLLLRLFNERGVRFQ >Sspon.02G0038910-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:47077912:47081201:-1 gene:Sspon.02G0038910-1B transcript:Sspon.02G0038910-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLISFIIHVWVTCSRAFGFLMGDSSRQEPWVSLQADGWLRKPALGLSSLMQIPDKIQSSLKVHFGRFLNKDGHGRRMSAEISSEKAKQSCSAAAAAASAEIRLDRQLQAWWDNPSWTDEPPEIKEVISRKVLLDEGSRQIVEVEQAAIWKFLWWSGILSVHVFVDQNRKNHTVKFRQGRTGFMRKFEGCWKIEPLFVDKELCLPLDPHTLEEYESCTGGRGRVGSAITLDQLIEPALLPPPPISWYLRGITTRTTEMLVNDLIAETARLRGISNNAVTKQDTEEMCGASPSDPTRECGDIKERWRQRRKGGRHGSSLRLTSNMLPNSRFILSPKLQAKEKPQFLAFLDMIKATPCCKQSTTEDNGNL >Sspon.02G0003160-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:9293569:9299275:1 gene:Sspon.02G0003160-2B transcript:Sspon.02G0003160-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MANGGERSALLLHLKSWPSSATDRPLLSPQKILCRRLSLSFLRRGIHSSAPTSADAATDATLLGRLTRLLLLHRFSAAARLLSSSGPLTPALLHAALRRVRLDPDAALHLFRLAASRPSLLAHAQLLHILARARRSADARAILASLLSARPPAPPLFPHLVEVYKEFSFSAASFDLLLRAHVNAGQLDAALHVFDEMRTLGCRPSMRSCNSMLNRLAQTGDLSSAVAVFEQMQRAGTLPDEFTVAIMAKAYCRVKGVGHALEFVEEMRKMGVEVNLVAYHAVMNGYCEVGQTNDARRMLELLPGRGLSPNIVTYTLLVKGYCNEKNMEEAEAVVREIRKNKQLVVDEAVYGAVINGYCQMGRMEDAARLLNEMMDAKLQMPVTYGLYEEANHEELFISKDDMYSKQSLPILFCLLPIRWNDNNQLLLRICWANWPQTVMPSSVLSSDSMHIALLAAAAHAASTNSRASPSDFAISLAKYVEAVYHTRCLLRQKTEESRVRQEDDLANSQANQKDKYHVKSVVKGPLSSIEAVGIYSKHRF >Sspon.06G0023790-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:47949004:47949566:-1 gene:Sspon.06G0023790-1B transcript:Sspon.06G0023790-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVVTRKWLAEWELLHHMANLGPLTPFYVLSDSKSSPSLPRVSACIFYRLFKQCCNNMMIGHVKSDVELLVFRSVLN >Sspon.08G0005890-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8C:18468321:18474869:-1 gene:Sspon.08G0005890-2C transcript:Sspon.08G0005890-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPWRAAAAVLVAVAVAVAAVTTTTAKECTNIPTELSSHTVRARLQASPGAGAAEWRWRELFHEHLNPTDEAAWMDLMPPPKGGLRAAAAGHHQHRQEEELDWVMLYRSLKGQLVGAASPASDAAAVPPPFLEEVSLHDVRLDPDGDAVYGRAQRTNLEYLLLLDVDRLVWSFRSQAGLPAPGNPYGGWEKPDSELRGHFVGTDPRSSSYLVHAGHYLSATAKMWASTHNGTLAGKMAAVVDALDECQRAAGTGYLSAFSVEFFDRFEAIKPVWAPYYTIHKARRRSPLDSIYLCRHTCIAGRAGERQLICMLRFLQIMQGLLDQHVVAGNGKALGMVVAMADYFAGRVRNVIRRYSIERHWTSLNEETGGMNDVLYQLYTITHDQRHLVLAHLFDKPCFLGLLAVQADSLSNFHANTHIPVVIGGQMRYEVTGDPLYKEIATFFMDTVNSSHAYATGGTSVSEFWSDPKRLAEALTTETEESCTTYNMLKVSRHLFRWTKEIAYADYYERALINGVLSIQRGRDPGVMIYMLPQGPGRSKAKSYHGWGTQYESFWCCYGTGIESFSKLGDSIYFEEKGETPALYIIQFIPSTFNWRTAGLTVTQQLMPLSSSDQYLQVSFSISAKTNGQFATLNVRIPSWTSLNGAKATLNDKDLELASPDDRPEYASIQAVLFGPFLLAGLTTGDWEAKTGGATAAATDWITPIPPESNSQLVTLVQESGGKAFVLSAVNGSLTMQELPKDSGGTDAAVHATFRLVPQGGAGAATNSTAAAVLEPLDMPGMVVTDKLTVSAEKSSGAPFNVLPGLAGVPGSVSLELGSRPGCFLVASGSGEKVQVGCTGGVQKKHGDGGDWFRQAASFARAEPLRRYHSMSFAARGVRRNFLLEPLFTLRDEFYTIYFNLVA >Sspon.05G0020540-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:63167789:63168691:-1 gene:Sspon.05G0020540-1P transcript:Sspon.05G0020540-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPPWCECDKTGFKKGPWTPEEDLTLVSYIHEHGAGRWRLVSVNTGLMRCSKSCRLRWTNYLRPGIRRGNFTPREERVIVHLQSLLGNRWAAIASHLPQRTDSDIKNYWNTHLKKKLEEEKEKQQAISVPPPATAGHVDDRRHRHDVTASSPLSKDDGYGYARPACSSSAADEVTQLLIARRHSSSSSSSYPSSVDNISKLLKGFMKSSPPPVAQDEDAAVDSMPPSAAVDIRPFLSTFDHMSGRALSVSPTSDVPPTTTSPPPQQVFTGRQGHGGFFHESQQQLSSIENWLFDEPKQQR >Sspon.05G0006400-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5D:21487032:21488382:1 gene:Sspon.05G0006400-2D transcript:Sspon.05G0006400-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRGAAARAPGEQRAGRHGRTGESGTSAARARSEQDGAVGRPRVAALARRTRCELRPGGGRGWRTSAGGLHPTQNRSVVTFPKTEVFPNRVTEPNCRLKPNAQGELRQLLTFLGSENTRHLDVAIAWLLTNVSTWPQLSFAAGIFVDRTLFLSTEFVSSAVSAHHAVTRSVDFKNQPAAATAEVNAFIEQATAGRIRNLLSDGAVHGDTKVVLANGMHFKATWARRFDPSDTVRDNFYRRDGKPVRVPFLSDAGMQYGESFDAPGLGFKVLQCFYKMVGPDGRLDSKAPCFCMLMFLPHRHDELPDLLRLAVTEPDFVMRCAPRREQVVRPCKQGSQVQVLLHVRRGERALPDRAVRAVRGRCRPVADGVEHATRRALRVGREADVRHGGRRGRYDSGCSDVRRYEPDLQSTGETPAATDE >Sspon.07G0017130-3P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:82765277:82768740:-1 gene:Sspon.07G0017130-3P transcript:Sspon.07G0017130-3P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding FPWNFELWKMPRRTGSAASTKSVELIKQDQLEFDDPDEVDEEEEVEYEEIEEEVEYEEVEDDDDNEEEGEEEDEEEEDEEIEGVREVDANHDSKMVVDDLKDENEKEKHAELLALPPHGAEVYVGGLSSDVSSEDLKRLFESVGEVVEVRMRGKGDNKAYAFINFRTKEMALKAIRKLCNKDLKGKKIKVSSSQAKNRLFIGNVPRDWTPDDFKTAVEEVGPGVLQVDLMKAPGSGRNKGYGFIEYYNQACAEYAKQKMSTPEFKLDTNAPTVSWADPKNANEATSTAQVKSLYVKNLPKTVTQEQLKKLFEHVGEITKVVIPPAKSGHENRYGFVHFKERSMAMKALKNTERYELDGQLLDCSLAKPPADKKDDTVSAPTAKGGPLLPSYAPLGYGLVGAYNPLGNGLAGAYNPLGNGLAGAYGVLSARAAQPMLYAPGAPPGSTMIPMVLPDGRLVYVPQTAGQQPVHVTSPLPQQGGRRFGGSGSSSGGGGSSSGGKRQRGDDRGSNSNNSRRGRHRPY >Sspon.03G0006280-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:17337165:17340762:-1 gene:Sspon.03G0006280-1A transcript:Sspon.03G0006280-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding FSSDSTKRHTLSAVADSLKHRSVFHISYNPRATASEYIIPYHKFLKSLNHPVCIGARINFQYHNEDVSERRSGMVVRISEIDPMKWPGSKWRSLLVRWEDGAECNSQDRVSPWEIEIAGGSVSVVHSLSASSSKRTKLCAQGNLDVPTMYVTGNGCTDSVETGKLPRVLQGQELMGFRTRHVPCAPQTAEAAKLQSSDARFLSNARGCALSGPTSRLAVHNSGFTYQTVGFNESIGFSEVLQGQEISRAVPMFQGMMSEACSLKGGYGLRSYMHTPVAVNGLSATTQECCLTLSTPPGAQVPSLYPDNIFNRTVVPQLGLANKFGGGGTNGQQSGPFDRPREIWTRPQHETPDQMNLDQFETRRASAPGDAAKLGSGGGEVRKTSCRLFGFSLTEKILPTDDDGVKEVSYEPECQNPRMLDLFGYNCSTPSAALPALCAAPIGM >Sspon.02G0014670-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:42018108:42023432:-1 gene:Sspon.02G0014670-3C transcript:Sspon.02G0014670-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGMAALGVKTERAAQYKGRMTLAVAMTCLVAAVGGAIFGYDIGISGGVTSMDPFLEKFFPAVFHRKNSGGKNNYCKYDNQGLAAFTSSLYLAGLVASLVASPVTRNYGRKASIVCGGVSFLIGAALNVAAVNLAMLILGRIMLGVGIGFGNQAVPLYLSEMAPAHLRGGLNMMFQLATTLGIFTANLINYGTQNIKPWGWRLSLGLAAAPALLMTLAGLFLPETPNSLIERGRVEEGRRVLERIRGTADVDAEFTDMVEASELANTIEHPFRNILEPRNRPQLVMAVCMPAFQILTGINSILFYAPVLFQSMGFGGNASLYSSVLTGAVLFSSTLISIGTVDRLGRRKLLISGGIQMIVCQVIVAVILGAKFGADKQLSRSYSIAVVVVICLFVLAFGWSWGPLGWTVPSEIFPLETRSAGQSITVAVNLLFTFAIAQAFLSLLCAFKFGIFLFFAGWITVMTVFVCVFLPETKGVPIEEMVLLWRKHWFWKKVMPADMPLEDGWGAAPASNNH >Sspon.07G0015940-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:56692901:56696168:1 gene:Sspon.07G0015940-1A transcript:Sspon.07G0015940-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRQQRCRAHRRKCKPSGSQSSVVGAWLAVVEDLGNDSDIIRDDQNIKRVSHLDKEIAGRTF >Sspon.03G0003890-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:19161392:19163314:1 gene:Sspon.03G0003890-2B transcript:Sspon.03G0003890-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATESSAVAVPGDANSTEPWSARVRTLTRLGWHRESLALLRHGNPSPPPHALALPASVISCAALSLPAGVAQIHALAAKRGLLPAADAYLLSALLTSYSRLGSLQLAHQLLDEMPLESTPHTTLRTAFNSVISGCALHALPVACFALFRRMRAVAVRFDAVTLLALVPAAPLSVVPQVHALAARVGLATETSVANCLISTYARGGAFGAALSRRVFDEMPLASRDLVSWNAVLSAHAQNGLAVDALDLYRRMRSLEGGGVEPDAVTIVGVLSSAAHLGARGVGFDVEHYVRQRLPGFRTNVQLCNALINFHARCGSLPRAQQLFDEMPRKSIVSWTALITGHGMHGNGEVAVSLFERMVSEGIRPDNVAMVGLLSACSHAGLYDEGRRYFSTMESVYKLQPTLEHYTCMVDLLGRAGRLEEARELISSMPMPADGAVWGALLGACKIHKNLEVGEEAFAHVVELEPRNVGYYVLMSNIYTDTRQLDGVARVRAMMRERGLKKEPGCSYVEHKGRVHLFMADDHSHPQARRIYELVIRLELMVKEKSGVRESEIAVAKGRMEKAAAQPLVGIHSEKLAVAFGLLNTEAGSEIVVIKNLRVCGDCHSFLKAVSATTNRAFLVRDASRFHRFDGGVCSCKDYW >Sspon.05G0036150-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:84127691:84130074:1 gene:Sspon.05G0036150-1C transcript:Sspon.05G0036150-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQDLYLLFLILFIALNLQSVLSWRMQNSTNDISDDQCPPQPHPFGMCKSRVAAYGYPCEEYHVTTEDGYILSLKRIPHGLSNATSNSTEDTRTPVLLFHGLLVVRTLFNITQHDGFCWVLSTPKQSLGFILADGGFDVWIANCRGTKSSRRHTTLSPEDPAFWDWTWDQLADYDLPAVLQFIYNKTGGQKVHYIGHSLGTLIMLAALSDNKLTDIVRSAALLCPIAYLNRMKSRLILLAARIFLAETIHMLGYHEFNPLGRVAQELLGEVCVDPDVDCYDLFSSVAGPDCCLNASTTCIFLQHGPQSTSVKNMIHMSQLVRKSSIRKYDYGNEKENMKHYNQTRPPPYDLSSIPRHVPLFFTHGGQDFLGDVPDTRHLLRTIIREHDSDDIEVCTCLTMRTATLSWATMLHSSYTSPWWNSSSVTENLLHNDRRLDV >Sspon.05G0000350-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:5783535:5783991:-1 gene:Sspon.05G0000350-2C transcript:Sspon.05G0000350-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVANAIAAGYLVLSLPFSAVIVLRPQAIGLRHLLLVCDMIIAALLTAAAAAAAAIVDLAHSGNLRANWVPICMQFHGFCQRTSGAVVASFLAVLVLLFLVILAAFAIRKR >Sspon.01G0053410-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1C:36055926:36058588:-1 gene:Sspon.01G0053410-1C transcript:Sspon.01G0053410-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMASLPLQLLRQSMDGESKVEPSAPRKGNGDRQISLRRHATSRPCSTGCRRAHMPGRSLPTCVSTDDESRGERAHAVVPLPSRPPASRAVPMPCLRCLPHAVMPNEASVREWWPEMLVVDLGVWLIPLTFVLVPCRRIVLLLSRLEELRRSMMTRPRLPAADMWTRFATLNSMAFML >Sspon.03G0032970-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3C:50042542:50042724:1 gene:Sspon.03G0032970-2C transcript:Sspon.03G0032970-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATAKACILLFTAFFFSGLMQLSMAAQEKPGTAVAMARVVVDAKAIDQAVAYLLMFAALF >Sspon.04G0031290-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:10328812:10330621:-1 gene:Sspon.04G0031290-1C transcript:Sspon.04G0031290-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding METQQRMQQLRTPMDPLASLASSFVSALSPLLSSSQQHGSKFLLLPLPVAAARALTVLRRLLLLATQAFIFLFFMFLSLLAPTPTLQRMEPGCPAGDTCVGRALAHVLSVASRLPVASRKYELVRGLAERLLDDNVRAGGARFGAVNRAALAGAFARTLRQLESAAGGGEWPGMELAVRAVRKGMRWWRPTAASSLDDEGLGGPAAEKLAAELLWLGQKMAECGAAREAVVQFGAAERLGSRALVAEPALQVSLLRLAVFLFKHANSREFEQGAGGKDDKAAVAEQRMAMLRSWLPLLCRGSNGTDAPVLTSRERPEMVAVLEDLIDKLSWEQREEVLSLWLHHFAACPDTDWPNLESCYTRWYAESRRLLA >Sspon.03G0013170-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:46292224:46295591:1 gene:Sspon.03G0013170-2B transcript:Sspon.03G0013170-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVYYALLVGAELDGLTNLQPSRGCDDPNFPYYLKALIAAFARPLLCAGSQLKCENCGEVTAKSTYVTLSEQVDLPKGHGTAHLVQKCKLCGRDGTIVMIPGHGTPLTIEQSQKEEKTCLMVFDCRGYEPVEFSFGAGWKAESCAIFFKVHGTPFEIDCSEGEFSEYDEKGECPVELSKLQSTFKV >Sspon.05G0018320-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:75925045:75928165:1 gene:Sspon.05G0018320-3C transcript:Sspon.05G0018320-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAAATGRFLLVLMACSVHAVTCSSSNTTPGNQTDRLSLLEFKNSISLDPQHAFASWNDSTHFCNWEGVTCRTTSNRVTNLDLRNRGLVGQISPSLGNLTFLKHLSLATNRFSGQIPASLGHLHLLQTLYLSNNTLHGVIPTFQNCSNLEELWLNGNNLVGGFPGLPLGLKHLELRYNNLSGTIPPSLANITTLKGLGFNYNNIEGNLPHEFAKFPELQNLHTSANHLVGSFPQAILNLSTLVSFGIAGNHLSGEVPPGLGISLPNLQLLAIDNNFFHGHIPSSLANASNLRLIDMSNNSFTGVVPSSIGKLGNLYLLNLELNKLEAGNSQDWEFVYSLGNCTNLQTLSLYSNQLEGYVPTSLGNLSVKLRTLLLGNNQLSGGFPSGIANLRNLMWLGLHGNQFTGNVPEWLGTLNSLQSQLSYLGLQSNKLEGHLPASIGNLQNLQVCNFSNNLLHGGIPKEMFGIPAILRIDLSSNHLHGQLPNEIGNAKVLGYLNISSNMLFGDIPTTIGKCENLVYIGLGQNRFGGSIPITIGNISSLQVLDLSHNNLTGSIPTSLSNLQYLEQLDLSFNNISGEVPLKGIFSNVTAVRIDGNPGLCGGPLELHLLACHVMPANSSKKRHSIVQKVVIPLSSILALVIVITVMQQHLSPETSLAMKEKSLECLLSVLNIGLLCTKPSPNERICMQEVTARLHGIKKAYIS >Sspon.03G0047290-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3D:57833023:57837209:1 gene:Sspon.03G0047290-1D transcript:Sspon.03G0047290-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTVAWPCASAPSIHNSGAGAGAGGARRASGSERACGGAQAGASRACRGRRVELLFVWMRLDASEHRKQSIIKKNIGKRKLCSRLHAMRTHSTDETT >Sspon.08G0006740-3D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8D:18274916:18280011:-1 gene:Sspon.08G0006740-3D transcript:Sspon.08G0006740-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSTTTNSATATAANNAANGAPAAGRDHVVIFPFMAKGHTLPLLHFAMALSAHHKSLSVTLLTTPANRAFAASRLPSSVRLVELPFPSLPPLPAGVESTDSLPSMSLFPSFLRATARLREPFAKFLTSLPSPPLALVSDFFLGFTHRVATDAGVRRVVFHGMSCFSMAICKALITRPPAAASGVDLSSPFHVHGMPEHVAITADEIPDAVVKFADLEDPVTRFFIDEIGYSDVLSWGVLVNSVAALDEDYVAPLESFYLQPGARAWLAGPLFLAAGDMSGLEEEEEDPEGCLAWLDERAARQLGSVVYVSFGTQTHISDGQLDEIAAGLVQSGHPFLWVVRSDTWSPPVDMGGSHGMIVRGWVPQRSILGHKAVGGFVSHCGWNSVMESLAAGKPILAWPMIAEQHLNARHVADIVGAGIKVHTKPRGTAATVDVVIGRAEVEEKVRKLMDADSEAGKKIRARATWAQQAAKSAVSEGGASRVALQKLVDELRRTYRGIVEDKVRGRVGGHTLVPPVPMALALARRDCARQPLCDPRPELRPSAYLAYLHRFFLAASFAASLKPPPLQDGGVNYKTWRPNHAAMPCMAARQGHHRGSDQTRLPLTWQALPHPDPRRGTDAPSSTAQQCVIQEIASSRPLAPLSRRPHPCCRCWFLPAPLSPTALPSPLSPHSPEPAAVSPHPAALMDCCIRVFYGGSVRNEDGMFEDMEEELEWFDEPPSFNDLCIHLNAKFGGDFTLKGRFHSGKTRARYVLMPLGDHAHWSHYSRVIQCSNVPMAKVVVKNGYRMQGLQEGPSIDGVGGNEQERGSKGMQLWVT >Sspon.05G0018810-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:80387796:80391889:-1 gene:Sspon.05G0018810-1A transcript:Sspon.05G0018810-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RRIRHPLRAHEPSAAAVCAHCPPVPPLAHAATEPPRHHRFPFLFGSSASPPQFPTLPPLSSPFLPSFLGSRTIPSLRSGWCLRNPARRSSVARARPGSGPRRAAPFRSQLQLQMSFRSIVRDVRDGFGSLSRRGFEVRFLSHRRGKSHGAVHELHDPVPVLQSSCWASLPPELLRDVIERLETSEDTWPSRKHVVACAAVCRTWREMCREIVKSPEFSGKITFPVSLKQPGPRDGTIQCFIKRDKSTQIYSLYLSFSSAVPAENGKFLLSAKRNCRPTYTEYTISINSGDTSRSASNNTYIGKLRSNFLGTKFVIYDTQPPYNATSPVVAGKTSQRFYSKQGSTKVSSSRYSIAQVSYELNVLGTRGPRRMNCVMPSIPASCLEAGGSVPCQPDSIGACTVGGGSFSSVSLSKPSTMDHSMHFSSSRISDAITVDGIVSRGQALSDGDDEECKDTPLVLRNKAPRWHEQLQCWCLNFRGRVTVASVKNFQLVAATTQPAAAGAPTLSQAAPPPPAPAPGPPPPNQEKVLLQFGKVGKDIFTMDYCYPLSAFQAFAMCLSSFDTKLACE >Sspon.01G0058360-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:26137276:26138308:-1 gene:Sspon.01G0058360-1D transcript:Sspon.01G0058360-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHEINNEVSSLLRGLIEKRMQAMKEGESHGKDDLLGLLLESNMRDTDEHGQSSLGMTIEDVIEECKLFYFAGMETTSVLLTWAMVLLCMHPEWQDRAREEVSGLFGKNKPEYDGLNRLKTTYKEMEIGGVRYPAGVVIDLPVLLIHHDPDLWGSDVHEFRPDRFAEGVSKAPAAFFPFGWGPRTCIGQNFALLEAKMALSMILQRFEFELAPSYTHAPHTVITLHPMHGAQIKLKAVV >Sspon.06G0015620-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:85794221:85796408:1 gene:Sspon.06G0015620-1A transcript:Sspon.06G0015620-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSAAYPDADENLEAIITRIEQKSRKIETLLKQSKPVEALKTALEGSPLKTRDERCKSANWIVVHRAMMAIRDVDGMFNSLDPEYYDILMKYLYRGLSTGDRPTCDQCLKIHEKLTEKAGLGCILRSLADTVNTV >Sspon.01G0001560-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:3377855:3384585:-1 gene:Sspon.01G0001560-2B transcript:Sspon.01G0001560-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHSPAPSGGGGQQPLVVSLNCLDDPSLEQEVLAGVAAVEHVPLSAVASGRVEAASAVLLPSLAFLPRAAQRRLRPWQLLLCLGSADRAADAAAAADLGLRLVHVDANRAEEVADTVMALILGLLRRTHLLSRHASSAPAAVAAGWLGSVQPMCRGMRRCRGLVLGIIGRSAAARCLATRSLAFRMSVLYFDPRYVKASGKTKRPSIVFPSAARRMDTLNDLLAASDLVSLHCGLTNETMHILNADCLQYIKPGAFIVNTGSCQLIDDCALKQLLIDGTIAGCALDGAEDYSEEVWMEIREKAITMLQSFFFDGVLPSSAISDEDEEISEARNEDDYLDPEAKDSQSQIFDAEIDESHLTLEYEKKRAISHHKAPQVSGKSVNIGSRPEGRRSRSGKKGKKRPAHRRPQQKSDDLSAAESGSNYSSRRDDDTAMSSRDQVVSSSSRFASPEDSKYKHKSLAESPMEMTSEKKVPVLLSRKYPDKLKDGFVVALRARDNSGYHVARQRAVGGGGWILDVVSNATNRDPASQFLVTFKNKDTMGLRSFVAGGKLLQINRKTEFVFASHSFDVWESWMLDGSLLEGSKLINCRNPSAVLDVCIEILAAPSEEDGVTRWLD >Sspon.08G0008370-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8A:31167228:31168065:1 gene:Sspon.08G0008370-1A transcript:Sspon.08G0008370-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFKSAHEIWMFLNEKYGAISNDDEPKEEAHEDVEHDHNKVVVENCSTSWSSDDKDDHTTRSLGKIDDNATSDASDDSTSCTLHGEDDGYESDASTSSSTSPHCSMSHGDTKELSNEKTKTSESSSFGSNDQSHIITNPCDVGKKHVSTSCDDLLDMPCSSQLDACSTSMSCETNLLKENNELKSEVKNLATS >Sspon.08G0008320-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:31936975:31940946:-1 gene:Sspon.08G0008320-3C transcript:Sspon.08G0008320-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPAEENVASPPPTPAAPAEGASDPPLQPAADGASTEKVSAPAPEVRSRGFRLLGEDTSVHKALGGGKTADVLLWKDKKTSAVVIGGATVIWVLFEVLDYHLLTLISHVLIGVLAVLFLWSKATTFIKKSPPDIPVVQIPEDLVVNVSRALCNDINRALHLFREIAMGHDLKKFLFVIVGLWVNSVFGSSCDLLTLIYIAVLLLHTVPILYDKYQDKVDHFAGRAHTEALKQYEVLDAKVLSKIPRGPVKSKKQN >Sspon.08G0019650-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:13908386:13913437:1 gene:Sspon.08G0019650-1B transcript:Sspon.08G0019650-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHRARSAPKRGGLGILDGLGRLRFDDESTGDDLGTGTTGQVHRGAVHGNVSRADASRRYGVAAAAAAARRVCSQHSQTVLLPPHRRTQPCIDTGAGRGHLRLSGGVCLLPGVRSRGKLAGSAFGAQGSTSYRPMRRRRRANCRAPSRPKLLYDRSVPCDEPCPHTRGWWRTKRQSMIRQQASCHGRKLGMWLRHGGVRLRLLLRVRLRFSGIRRGTQVPPRRQGRLQVVGAESAIRACARDQSSYAEAIADCLEFIKSRSS >Sspon.02G0008520-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:27394094:27400102:1 gene:Sspon.02G0008520-2C transcript:Sspon.02G0008520-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSKRVGIVGAGVSGLAACKHALDKGFRPVVFEADGTIGGVWAHTLESTRLQAPTTAFRFSDLAWPDSVREAYPSHHRVMEYIRSYARAFDLLKHIRFNSQVLGVEYLGATEEEIMSWEQWSGNGTAFGAVKGGGWRLTVQDLKVGSTEVFMLDFLILCIGRHSGTPNIPEFPVNGPELFKGKILHSLDYSYMDDVAQFVKGKRVTIIGSGKSAFDIAAEVAKVNGATQPCTMIYRTKHWLVHKSSICGVDLSYFYLNRISQLLVHKPGEGFLRYMLATALSPLRWAISKVIETYYKWSIPLQKHGMVPDYSFSFAMSSCLIAMLPEGFYDGVDKGSIILKKSKAFNFSNDGIILQDRNESIKSDIVILATGFRGDQKLRDIFTANCLLVKVNSIECIHPRIPQLAIVGYSESLTNIYASERMSNWVAHFLAGGFQLPSIRCMEKSVAEWAEYKNLYNGKYFRRSCISTTNIWLNDLLCQDMGCNPKRKKGFLAEWFQPYGPGDYADRHHNSEQNDKHLGESSVLTYPVLVDHIDDDDEAAIVLAVVHQGHAPDLHEPLERLHT >Sspon.01G0000840-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:2362099:2365415:-1 gene:Sspon.01G0000840-2C transcript:Sspon.01G0000840-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPRLYPTGSGSDDRRDAAYPGSERRLYNPYQDLNLPYRQLYDLPTSPEFLFQEEAVAQRRSWGENLTYYTGVGYLGGAVAGAALGLRDAARGAEPGEPAKIRANRVLNSCGSSGRRVGNTLGVIGLMYAGIESAMVAARDRDDWINSVVAGLGTGALFRAANGPRSAVVAGAVGGVLAGAAAAAKQVYFGMEVYFVITSSPLRLGDFGTVMERVMIFIIGAM >Sspon.04G0010190-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:25696131:25699684:1 gene:Sspon.04G0010190-2B transcript:Sspon.04G0010190-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CNX2 [Source:Projected from Arabidopsis thaliana (AT2G31955) UniProtKB/TrEMBL;Acc:A0A178W0P6] MMRRCVSELARRRRPDRTVDTMKAGFQCLVNVSSSIRATDSQRCSSTCATSCATDPDVLSRETSSSSEMLVDSFGRFHNYLRISLTERCNLRCQYCMPAEGVELTPKSELLSHDEIIRIADLFVTSGVNKIRLTGGEPTIRKDIEDICLHLSGLKGLKTLAMTTNGIVLSKKLPKLKECGLNALNISLDTLVPAKFEFMTRRKGHSKVLESIDAAVELGYSPVKVNCVIMRGINDDEICNFVELTRHKPINVRFIEFMPFDGNVWNVKKLVPYAEMLDKVRQSYKGVARLQDHPTDTAKNFRIDGHVGTISFITSMTEHFCAGCNRLRLLADGNFKVCLFGPSELLFDLPRHLAVIEVSLREPIRSGVDDAGLKEIISAA >Sspon.01G0055830-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1C:83870605:83870775:1 gene:Sspon.01G0055830-1C transcript:Sspon.01G0055830-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLGAIPRRPSKEAAYKQLRSHLVIMASCAAVIRAAPYILHFLTRDGDIQELKLEL >Sspon.05G0008720-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:26035227:26037427:-1 gene:Sspon.05G0008720-1A transcript:Sspon.05G0008720-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKKKAKQTPPPLSPTADGAGGDDRISALDDDLCELILRLAHLNVRELARTSVLSKRWRSLWKRLPVLDFFGWPELRSAGDVPQYIATVNDVLEQRAGATSEARIDEVKIPLLLDAYSLRGERQQLLTPAMEAVEAWIRYAMHHQVKGLQLNLRLPPLVKKERWKLKKPVMDLDELLPSPPKLECMRLGLSHTKVRLPRTAVFTSLKDLTLEFVELAAGSGHLLARLSSAACCPSLQKLRMMRINFVEWTKELVLDAGELLELSMEGVNLELLELRTPKLLHLEINECDHLESLRVSAPGLKELTYIGNTAFIHDPLPCLRRLKIDLLAHMGVDDDDEEEDPNSSNICLLNRCSSSIRCLLVHLYVPRFVLANATDLQSVTKKKKKKKTAGCVIFYILCLEMERFR >Sspon.01G0040120-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:38984466:38989187:1 gene:Sspon.01G0040120-1B transcript:Sspon.01G0040120-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVSAAAFAFRQMEPTPLLQEALTDCGTTIGEDVDMECQLGVWGCTPRTCVGEALSGTTTELRCIIIRGQGHIYHWSDPLIIGGPPSFFTSHRLVPLTPLHNHRIRSPRHRYTGGPRGYDCGYEGKERSPQWPLATSSPSAHERKSGASRAGQLSALIRGPSKIRARPPGVRPGPTPEAPRQLRAPRARSVPGASASPRPRAFLLLLPRRAHFSVNGRSAPRVRNPNPIALSIHRLLLLLLLIGSGDPDRTAFAPVLAYSALRREPGRLCIMMVAEKPALGFQQAHAEGDDELELELELASPAGSPAPPPPRKMHSLDFEHIGSLAAVAESLAPGSRWRRALTSVRVVIFQAKINVLLPFGPLAIMLRYLSGTHASAVVNSGLLLMAVLGLMFPAVLHFTHSEAQYGKSEVALSRFSSCIMLVAYASYLFFQLKSHRSMYSPIGDEEEATEEEEDEKEITQWEAICWLFILTIWISVLSGYLVDAIQEGTSNYFKGLMLILCYLIVAASFFVHVDPDATESTDGNNVMTAFETAGCVKRQVPLVFYNV >Sspon.05G0012290-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5A:37053052:37057037:1 gene:Sspon.05G0012290-1A transcript:Sspon.05G0012290-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTTYIHYMRQDSWARTLHLAFQCIGVIYGDIGTSPLYVYASTFTSGISNVDDLYGVLSLILYSIILLPTIKYVFIVLYANDNGDVLSAVSGLQEKAPQLKQEQMVWISVAILVVLFAVQRFGTDKVGYSFAPIILMWFLFIGGIGIYNLIKYDIGVLRAFYPKYIVDYFKMNGKDAWISLGGILLCFTGTEAMFADLGHFNIRSIQISFSFILFPSVSLAYIGQAAFLRKHPEHVLDTFYKSIPGPMFWPTFIIAVSAAIIASQAMISGSFAIISQSQTLGCFPRVKVLHTSKLYEGQVYIPEINFVLGLLCVIVTFGFKTTTNIGNAYAVLLTIMGVWHYVHVKKYWYELEHIVTNKDMRELIQAHDVKRTPGVGFLYTELVQGVPPIFPHLIEKVPFVHSILVFVSIKHLPIPHVEVSERFCFRKVESRTSRMFRCVARYGYSDTIERAKEFAASLVEGLQSYIEEGHFITNMQIQETEAEEATSITDSNTRTRKASGSTVYIEEALMQSETTDLTQPPISSYSAHSSGRISEDQSRTIAEEKQFIQRELQKGVVYILGETEIRAGSNSSFFKKIVVNYMYSFLRKNFRQGEKVFAIPRQQ >Sspon.02G0040660-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2D:65772347:65776231:1 gene:Sspon.02G0040660-2D transcript:Sspon.02G0040660-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGSMDLPAKGGFSFDLCRRNNMLEKNGLKLPGFRKTGTTIVGLVFQVYPHGSTDTLPFATMGSGSLAAMSVFESKYKEGLTREEGIQLVSEAICAGIFNDLGSGSNVDVCMITKARKRLLVLDMEHDIKIVRHFVASEVAQEELAPDSATVVGGSSRTERAAERRVERRLSGGRHAGVVHLSVEPDSVAADGGKTEYLRNHQLPNPRTYASSKGYSFTKGQTEVLSTKITPLKQKVEVTGAVMPWRSDR >Sspon.01G0055030-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1C:72452548:72454015:1 gene:Sspon.01G0055030-1C transcript:Sspon.01G0055030-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKQPPAGTRGRGSPGATGGRLGVGTLGVGMPGPGLCGPWGAGATKRHGLRKRERRARWPKDHQCALSRHHVVDAGGSEPEPNLSACHGMPVVRGVGDKLHARHTQQEFEAPSGMDMAMPELLGPTISLPTAQEVQTMPPNPSPRHSAA >Sspon.02G0001000-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:4338944:4342881:-1 gene:Sspon.02G0001000-2B transcript:Sspon.02G0001000-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPEFGVGTAPRKREPWRTTLLLAYQSLGVVYGDLSISPLYVYKSTFAEDITHSKGNEEIYGALSFVFWTLTLIPLLKYVTIVLRADDNGEGGTFALYSLICRHANVSLLPNRQVADEELSTYRLERPPEAAGRSGVKAWLEKHTKLHTALLVMVMIGTCMVIGDGVLTPAISVFSAVSGLELSLSKDQHEYAVIPITCAILVFLFALQHYGTHRVGFLFAPIILAWLLCMSTIGLYNIIRWNPQVYTALNPSYMIRFLRKTKKSGWMSLGGILLCMTVYPSLILGYMGQAAYLSQHHNLDASYQIGFYIAVPGLAVITVMLVTTCLMSLVIMLCWDRSPWLALAFFLFFGSIEALYFSASLIKFLEGAWLPILLALILLAVMFVWHYTTIKKYEYDMHNKVTLEWLLALGDKLGMVRVPGIGLVYTDLTSGVPANFSRFVTNLPAFHRVLVFVCVKSVTVPHVLPAERYLVGRVGPPGHRSYRCIVRYGYRDVHQDVDSFETELVESLATFIKLDALFRCSDAGGEQRDSSYYERENALTVIGSNPLRRHMGLGYDDSHDGASSGSDRVDGIELAAAPAVVKKQVRFAVPPPRSPGVDESVLEELHELCEAREAGTAFILGHSHVKTKPGSSLLKRLAIGVGYNFLRRNCRGPDVSLRVPPASLLEVGMVYVL >Sspon.06G0005320-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:18022682:18038758:1 gene:Sspon.06G0005320-1A transcript:Sspon.06G0005320-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGSPDGRLVELFGAVKSWMPRRGDHSPPPPASQSQSAAAAAGLPHDLSRDFWMPDQSCRVCYDCDAQFTILNRRHHCRHCGRVFCARCTANSVPRSPGDAAREDGERIRVCNYCYKRWLEEDAAARGDGAGLQPSSPSLSAASVGSDKSSFTGTNGQMSSYANISYSDFASVPVHGEGNCGEDDGYPEKQQPAMDPAPAIEPAAYADKSSDRFNFCLQRSDDEDDDYTVFRSDLEEQHMQNSDEYYGPMYFDGHHVDCSDDAKESTSPRNDLTTLADSLGADKNEDHTVDECCNARSSSMYSMEVLDNDPVDFENNSSLWVPPEPEDEEDDHDGEHDDDEGVDATGEWGYLRSNSFGSGHCRSRDKSAEEHKKAMKDIVDGHFRALVSQLLQAEKVPLVDKSGKESWLDIVTSLSWEAASLLKPDTTSKGGQMDPGGYVKVKCLACGHPSESFVVKGVVCKKNVAHRRMSSKKEKPKILILGGALEYQRVSNLLSSFDTLLQQETDYLKMAVAKIKAHQPSVVLVEKSVSRHAQDLFLEKNISLVLNIKRPLLERISRCTGAHIVPSIDYLSSQKLGRCDLFHVEKYVEEHGTAGEGGKKMLKTLMFFEGCPKPFGCTILLKGANGDELKKVKHVVQYGVFAAYHLALETSFLVDEGATLPELPLKSPIIVALPDKPSSADRSISTIPIIPVPSASSPNSCLQAFGLQNDDLTFNGTKRMEQTALGAPYDETASVQINSQNGHMTCLLDMVPQPPVGPLAQQSNMHCPDGTGDVNIKMNLQDSLPGTTRNALVNDFSVSPAHPMNLVSAKGDKVADKPAAPLNVQISHDDDSAKDNSVAKTDEIPASPADNQSILVSLSSRCVWKETLCERPHLLRIKYYGNFDKPLGRFLRDQLFDQSNLCQSCELPPEAHVYCYVHPQGSLTISVRKLSVKLPGDGENDGRIWMWHRCLCCPRVNGLPPATKRVVMSDAAWGLSFGKFLELSFSNHAAASRVASCGHSLHRDCLRFYGFGEMVACFRYASIKVHSVYLPPPKLDFTSEHQEWVEEEAKEVDDSAELLFSEVLNALHKISGGRPITGSFDGNLKILELRRNIGELEEILVAEKTDFMESLNNLLKKDMRKGQPFIDILEVNKLRRHLLLLSYLWDQRLKFIANSGGKYCDALAGLRIGSGNSDFNGKSVGATPAPKLEKGSKVTEILSTAKEGLLQQSSCPPHGEDEVFNQANESNENSSGNVAELNGTEDSIAKINHVTSADVKDQLDNQESRTGVRRVVSDGQFPVTTDIPDTLDAKWRGQNGPAPDSNLAKPLPSVEDTAVDVKSQTKAVHTSTFTVRSGDAAEELLRWLKMPYMTSNSSLITTTSSPLRFTSLADYIPKYVELFCELSQKGGARLFLPTGDNDIVIPVFDDEPTSVISYALVSPMYCFQLSDESSKNRDKDSSLPLPVYDSGNFNPFHLFEEFGSHYDVTSSVSGVRGSLAPDQVHLSVSFEDGGPLGKVKYNVTCYYAKKFEALRRSCCPSELDFLRSISRCKKWGAQGGKSNVFFAKSLDDRFIIKQVTKTELESFLKFGTEYFKYLSESISTGSPTCLAKILGIYQVTIKHVKGGKESKMDLLVMENLLFGRNITRLYDLKGSSRSRYNADSNGSNKVLLDQNLIEAMPTSPIFVGNKAKRLLERAVWNDTSFLAGIDVMDYSLLVGVDEEKHELVLGIIDFMRQYTWDKHLETWVKSSGILGGPKNASPTVISPMQYKKRFRKAMSAYFIVIPEQWMPAIINPSKSSSNICQEDSQNGSQE >Sspon.07G0029870-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:73236712:73243968:-1 gene:Sspon.07G0029870-2C transcript:Sspon.07G0029870-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MECRMDKKMLHPTGRLIAFAILHQSYSPQTANPYIPILLNAACDETSEKSERAFVQLLLTSSSGNNNNEVLNQSAVDYINGSVSASQDFLPREQLEKQYCSTSVHSRPQISSFRAAMVRSAIPDPDVPQSCANSSEYDLVEHNPLIAVEDKYMQNRLVRLVCVFLQSLIRNKIINVQDLFIEEDAMSMTRWDDIGGKKKKKKE >Sspon.02G0046320-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:2351850:2359776:1 gene:Sspon.02G0046320-1C transcript:Sspon.02G0046320-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAQISTHCGVPYTASLLAFDSVQRLLAVATLDGRIKIFGGDNIEGLLISPKSVPYKFLQFITNQGLLVAISNENEIQVWNLEFRQLFYSSQWDVNITAFAVIEGTFMMYLGDENGLLSVLKYDVDDGKLQIMPYNVHIHSLIERAGVSLQDPQPIVGILIQPDTFGTRLLIAYERGLLVLWDVSEDRAVSVRDYGDLHMKGQIDGAQRDAGEDQLDTTTDDSEEEREICSLCWASREGSTVAVGYITGDILLWDMTTRSSRQGKQSDVSSNVVKLQLASGSRRLPVIVLHWSAGSAIHSTKGGHLFVYGGDDMGSEEVLTKFYVRKNAGCFIPANMKWPLTGGVPSEMSLNEDHAVERIYIAGYQDGSVRIWDATFPVLMPMFVLDGKVADVNLDGANASVSSLAFCSLNMTLAVGTTSGLVHIYKLREHTGGSSFHFVSESKQEVHVVHHGKGFHCYVAFLSSYSSVRSLLFMASGELLAAGYQNGQVAMLDPSELSILFTVDGASGTNSPVVSLGIYSVAASAAKADQSKKESPQNAKLPRDVLLSLTKDARVTVLDCTTALDEKQTQLSEDKIPSQDQAGKEGKDLDRIETQGVEKHLKNASQLSHNGGSDSLVGSSKHLQKTKLTKPCCWSAVFKNMDGKICGLILAYQTGIIEMRSVPNLAIVAESSLMSLLRWSYKTGMDKSASSSNGQITLVNGSEFAIISLMASENDFRIPESLPCLHDKVLAAAAEAAISFSTDQRRKQNPAAGIIGGFIKGMKGKAEENAKMRGSLTMQTPSEQLESIFLKEPFAEPSIPDLDDPIEELSIDDIEIDDEVPVAPAPAASSTSQGNKRTTVEEERAKLFEGSSNVDKPRMRTPQEILTKYKFGGDAAAAAAHAKDKLMQRQEKLERISQQTAELQNGAENFASLAQELAKTMENKKWWKL >Sspon.06G0017720-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6A:96220385:96221182:1 gene:Sspon.06G0017720-1A transcript:Sspon.06G0017720-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWLEWRWNIRVKTMWDSWRYTKKIAVSEPLKRLVFEQLKSKASSSMDPKSYRKLGEHRGQWALQRKGLYQQLGWSVDCEFDESILLWHIATDLCFYANPENASGGPERQDALPALSREISSYMLFLLVMRPFMMTASIGQIRFGDTCAEAKSFFRRADEAGDEAGCADRLRAVDTSIAEPRDVKGDRSKSVLFQACKLARQLLELEGATEAKRWRLVASVWVEMLCYAAGKCGGSAHARQLSQGGELLTVVWLLMAHFGVGDQYR >Sspon.04G0028900-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:69041712:69043165:-1 gene:Sspon.04G0028900-1B transcript:Sspon.04G0028900-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding NAGIKLITHLLLLQSAPTTSHARDYTTPRDAAIASLPDTFLSPMRSSSVSDFLFPWAVEVKEVPWRGRIHGGADGSAATPISNCSTPTALFVRRTWLASAVAISLAVLLSSPRRSAASLSAIVVLGGDGDLDPCLLATEYALALRSDIADGEPVVDLCAALSHAHGLQWPHQGLTRLPQLPCANHV >Sspon.02G0015930-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:37637925:37639235:1 gene:Sspon.02G0015930-2D transcript:Sspon.02G0015930-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNAMNKDKVHDKETHGTSSDITEKTSVEKVKGPDLLERAKEEIEALAGAVHTKMEHHSSPHEKKEESHKEDKEGSLHNIKTHTNETHGTSADINENTPVDKVKGPNVFERAKEEIEAIVEAIHPKSGSD >Sspon.01G0049490-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:113266173:113268626:-1 gene:Sspon.01G0049490-1B transcript:Sspon.01G0049490-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEWKRVPMFIILSILGITSVTSTNAIASQKGLFVPQDNYLISCGASGSVQLDDGRTFRSDPESVSFLSTPVDIKITAKNSPTAASPLSPLYLSARVFSDVSTYSFFVSQPGRHWIRLYFLPIPDKKYNLTSATFSVFTDNMVLLHDFSFIASPPNPILKEYIVVTQGDTLKIIFTPKDSIAFINAIEVVSAPPTLIPNTTNGLPPQEQFDISNNALQVVYRLNMGGALVTAFNDTLGRTWLPDAPFLKLEAAAQAAWVPPRTIKYPDDKVVTPFIAPANIYSTAQQTASTNTSQARFNITWEMEAEPGFKYLIRLHFCDIISKALNSLYFNVYINGMMAVSNLDLSSLTMGLAVAYYQDFTVDSSSIINSTLLVQVGPSTTDSSNTDAILNGLEVMKISNQANSLDGQFSPKTSSQLGKRILTGIGLSVAVIAATLAIVVCCRQNRRPEWQKTDSFQSWFLRLNSTQSSFMSSCSRLSRNRFGSTRTKSGFSSLFASSAYGLGRYFTFAEIQKATKNFEEKDVIGVGGFGKVYLGVLEDGTKLAIKRGNPSSDQGMNEFLTEIQMLSKLRHRHLVSLIGCCDENNEMILVYEFMSNGPLRDHLYGGTNLKPLSWKQRLEISIGAAKGLHYLHTGAAQGIIHRDVKTTNILLDENFVAKVADFGLSKAAPSLEQTHVSTAVKGSFGYLDPEYFRRQQLTEKSDVYSFGVVLFEVLCARPAINPALPRDQVNLAEWALTCYRKGELNKIVDPHIAGQIRPDSLEMFAEAAEKCLADYGVDRPSMGDVLWKLEFALQLQEKGDVVDGTSDGIPMKHFNDS >Sspon.04G0020240-1P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4A:71287847:71290647:-1 gene:Sspon.04G0020240-1P transcript:Sspon.04G0020240-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MYNFIDRVEWRSHEDSVAELLPKLRAAVYDAEDLLEDFRCYEQKVKIEGSATSAEPGNKLFHDVIQDNFKKLTHIKERLDNQFGLLERMGLHQATPRFDRSVRPETTRFPTEAKIFGRDDEIKELIRLLGVPANNRRGPSRPKRKRSAISSSAGNQVCATLDNNEATITSVPVLPIFGIGGVGKTTLAQNICSHPQVQKHFDVIVWICVSDDFDVKRLTKEAIEQFSGKAAMKDNLDSLQVDLAGSINKRRFLVVLDDMWAENGELWKRFCAPFKYVLEGSMMLVTTRSEKVANIVRTTDPFLLEGLKDNVFQNFFKLCVFGSDSSNNSPELESIGEQILPKLKGSPLAAKTLGRLLGMSFDPAHWNMILNSQLWVHKQENTEIMPALGLSYIYLPFHLKRCFSFCAVYPKDYNFVKEDLAEIWVAEGFVEPRCNIPLQQIGCEYFEELAHLSFFQKLRGKYVMHDLIHDMAQLVSNDDCFIIEEKKDLERIPQNVRHLSVLKSRDVEWSDLENLCKQTKLRTLLCNMSLKGKKGNNVMEKWCAELLCLRVMVCASFSKSGLPDSISNMKNLRYLKISEACLFDSLPATFCHLYNLQLLSARKCRIKVIPKGFSNLINLQKFEAQVLKIDAGLLNNFNLITGDLYICHLCEISKDQAAKIELMKKKDTFNLTLDWSKEINLSPGPQVHNEIEVFEALHPPTDIKCLCLRCYPGEYLPSWFHGSDVPTIFSSLTEIRVINCSRLSSLEQFLQPAYMPAIKKMLLEDCTSLESVPVERFGGLPSLEELVVRNCPKINSQCLLAPSLKRLSLEDSGNLGDDIECRSLTTFFLSSYHVASLTLNREKVPLLTELIIRDCRELETLNDDKMQTHRVHSTRPVE >Sspon.07G0017180-4D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7D:82124279:82125451:1 gene:Sspon.07G0017180-4D transcript:Sspon.07G0017180-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTSTISAATSRQPAASSSLAGTGTDAEKLAFIEEVTTDVDAVQEGVLAEILARNADAEYLATRCGLGGATDRATFRAKVPMVKYEDLQPYILRIAHGDRSPILSGSGHPVSEFLTSSGTSGGERKLIPTVKDEVDRRQLLYNLVMPVVKRYVPGLDKATTGLYFCFVKSETTTPGSLPARTLLTSYYKSEHFSKSCQDMTSPVAAILCEDAFQSMYAQMVCGLCHRHAVLRVGAIFATGILRAIRFFQHNWEQLAADIDAGTLTDRVTDASVREAVAGVLRPDPELANFVRCEGSNSKGGDGAAGIIARVWPNAKYLDAIATGSMAQYVPALRYLPIISTTYASLEGHFGLNLWPMCDPLEVSCTIMPNLAYFGHGICSAVSSLSLNS >Sspon.03G0002020-3C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3C:19998129:20000649:-1 gene:Sspon.03G0002020-3C transcript:Sspon.03G0002020-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding IAGHDKPSSNGNNSVMLNHVPSSNGNNPVMRKLNPIPLPKQTQIMHCSDMPVSRTPAYPVVNGRIGGYGFSPTPYPYGFHPQAAFFCTVSAPSTFLRRSSSRLCTSDRFEAVLFTASATDATATHARTRAPVRQPRLSVHDLGTSRRSGPRTHTRRVPMEVETDGTQEATAGAGDGGVHDVFFCVAATSRSNRNNISCFHTNAVGKDAKSALELAELCLGHAPEHHRWHHHTVDGARTFAFLSADDGCTYFAAADPTPGAAEVVRFLERVRDACDAAPRKRLRDEAVAPVARQFARTLLRTAAGSSSGVADAELPGASLAPACEKDEDHQRAGERRRALQPGESALPGWRSWWRHAAVVIVVDV >Sspon.07G0007940-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:21450641:21454990:-1 gene:Sspon.07G0007940-1A transcript:Sspon.07G0007940-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASAARPLALLASASASLGVGRRAWARLAVTSSSARSALPSLRGRRSFAASAGGAVMGKPGPGAVDADAGMDAVQRRLMFEDECILVDEQDNVIGHESKYNCHLMEKIEAGNALHRAFSVFLFNSKYELLLQQRSATKVTFPLVWTNTCCSHPLYRESELIEENCAGVRNAAQRKLFDELGIVADELPVDQFIPLGRILYKAPSDGKWGEHELDYLLFMVRDVKLNPNPEEVADVKYMNRDQVKELLRKADAGEDGVKLSPWFRLVVDNFLMGWWDHVEQGTLQGAADMKTIHKL >Sspon.03G0024410-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3A:74320680:74324254:1 gene:Sspon.03G0024410-1A transcript:Sspon.03G0024410-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTPPLRVAALLLCYLCLSLGPRLASSEPDADKAALLAFLSSRGRRARRGAAPPGARPLGRGPAGHAGPPHRAAAAQPALQQPVRPVPRRPPPPPALAGLHLHRNAFSGALPRDLAGLAALQVLDLSFNGFDGGIPAELANLTNLVALNLSNNSLSGRVPDLGLPALRFLNLSNNHLDGPVPASLLRFADASFAGNNLTRPAQAPPVVLPPPISGLAPSPPAAARRRVRLSEAAILAIAVGGCVLVFALAAVLLLAFCNRDGRDDEIGSDGVVVGKGGGDKKGRESPESKAVIGKAGDGNRMVFFEGPSLAFDLEDLLRASAEVLGKGAFGTAYRAVLEDATTVVVKRLKEVNAGRRDFEQQMELVGRIRHDNVVELRAYYYSKDEKLLVYDYYSRGSVSNMLHGMIGNIKASNVFINKHEYGCISDLGLASLMNPIMARSRSLGYCAPGRRHKESIAVVRRLQLRRLHTGAPHGEVACPDNRRWQRGRAPGEVGAVGGPGGVDRRGVRRRAAAVPQHRGGDGGDAPDRDGVRVEDPRAAAQDGGRGEDDRGGAAQRHWDAAVHRGLHSGCHGSSPEPGGELIGGAGTV >Sspon.07G0023230-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7C:10919200:10919832:1 gene:Sspon.07G0023230-2C transcript:Sspon.07G0023230-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LEPNCGFCGYALNLSSSTRNTANIGSKYGKHIRKGVVSFFAIDESRFTQTDEVSCTPYFHSSRSWGFFRNRTRLLCRKCSGHIGNAYEDEDPTLCEGSDDLDMSSKGSSTSPRKKYVIKINALQPSSDDSGALFS >Sspon.02G0013640-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:33892178:33894950:1 gene:Sspon.02G0013640-2B transcript:Sspon.02G0013640-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLNYEQVGNFRKDLVLAYKTLGVVFGGLVTSPLYVYPSMNLSSPTKDDYMGLYSIMFWTLTLIGVVKYVGIALNADDHGEGGTFAMYSLLCRHADIGILPSKKVYSEEEPLLQNQSGRAGRPSWLGKFLERSITARRALLFVSILGMCMLIGDGILTPAISVLSAIEGLREPFPSVSKRKWFDSCIANRLILPFNITAVIEALSAVILIGLFLLQKYGTSKVSFLFSPIMAAWTFTTPIIGLYSIVHYYPGIFKAISPHYIVRFFLRNKKEGWRMLGGTVLCITGAEAMFADLGHFSKKGIQIAFLSSIYPSLVLTYAGQTAYLINNVNDFSDGFYKFVPRPVYWPMFVIATLAAIVASQSLISATFSVIKQSVVLDYFPRVKVVHTSQHKEGEVYSPETNYILMVICVSVVLGFGAGNAIGNAFGVVVIMVMLITTIMLTLVMIIIWRTPPVLVALYFVPFFIMEGSYVSAVFTKIPEGGWLPFAVSIILALVMFGWYYGRQRKMEYEMANKVTVERLSELLARPEVQRVPGLCFFYSNIQDGLTPILGHYIKNMSSLHAVTVFVTLRYLLVAKVDERERILVRRLGPNGVYGCTVQYGYADSLGDGDLAAQVTSSLRRYFETTEAGAEEAARLEAARMTGVVHVRGKMRFYVGEDAGWFDKVMLGFYEFLHGICRSALPALGTPLQQRVEIGMLYKV >Sspon.05G0012880-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:5A:40507146:40507337:1 gene:Sspon.05G0012880-1A transcript:Sspon.05G0012880-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ETFEGPRSHVVRVGAVVLHRHRASVNAPVASPPVCLPLDTWQTNKALKTQAPTAGRGRCSLSG >Sspon.07G0002480-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:4880768:4885628:-1 gene:Sspon.07G0002480-1T transcript:Sspon.07G0002480-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding FIQAGLLTDSKQIRKLACKAVLHLLDKAEDGAAAVETVVQHNLYPLLINCLIEGDEEISAIVLDTVKRLAQIPKGAEVIFPPDGQGSVQLGKVAAQSSSLPRIRILSLIAKLFTVSSYTATAIRDSNLLSIFEEEIKDRRDMLKTLSALEVLYELVEHPHSNIFLLKTNLLQLIIDIINDSSADSVIRSRAALISGRLLSSADAFTAIDKSCVTNLLVAIDKILKMEESQNADEIESALETLGLIGTTSQGAHFLLTSSSVARHVVESSFDRQGRGRQLAALHAFGSICGVDRQEDQMKLDGEAEGNLKRLVYTTAANSPKLTPSALLLSVLQQDPDIRIAGYRVISGLVIREWCLREVCLNSEIIRFVTDQQRRQQNLAWKPGITAVWQSTRPYHHPIFCMKQAFLDSLGS >Sspon.04G0009320-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:26940828:26942606:1 gene:Sspon.04G0009320-3C transcript:Sspon.04G0009320-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSAPSTPAAHTAVYVAAVPLRAPRGPAQLLMSAGYSLGMWDLQHFMVLLRPDPALAQALVFDFQPRDPEDALAALAVLSRREIPGVVRRRTLRRVPDRRCWLVGHCCCDGDGDGDDAVAAAGRFSERWPTGLVVGEHDCRDYTNGLVEVLTGEKRVLESLRLRGNGTTTSSTSGAAPP >Sspon.01G0046610-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:99500070:99504530:1 gene:Sspon.01G0046610-1B transcript:Sspon.01G0046610-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKIARPRRPNITVKSTIPSVAFHGSAKEAAPTPKKLDSTVPKEKPKEDTCTGQYYPKW >Sspon.01G0063150-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:114197057:114199939:1 gene:Sspon.01G0063150-2P transcript:Sspon.01G0063150-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNRKNFRRRADDDEDANGDGGSHSKLSTATATKTKSLTVPKPKSPPRRQGASRLSFADDEDEDDAEEAPFAQRRRPPTASVRPAHTASPAAGALHRLTPARDRIRSSPAPAVAAVSAPKPSNLQSHAGEYTPERLRELQKNARPLPGSLLRSQPQTPATEPRSQKLAGTPASSTPATTTAAATETVVILKGLVKPMSEASIGPRIPKHDMEEDKSEEEEEGDEEDEGPVIPDRATIEAIRAKRQQRQQPRHAAPDYISLDGGGVLSSRGGGGDESSDEDDNETRDRIAMYTDKPSDGPRSTKSVFGGISNRGPATSLGALSDGSRKVDDDRDDDDDDEEERRWEEEQFRKGLGRRMDDASTQRSANGVPAAMQVQPQPFGYPVGSHYQPSLSGVVPVASVFASGTADFLSIAQQADVANKALQDNIRKLRETHKTTISALVKTDTHLNEALSEISSLESGLQDAEKRFVYMQELRDYISVMCDFLNDKAFLIEELEEHIQKLHENRALAISERRAADLADESGVIEAAVNAAVSILSKGSSSAYLSAASNAAQAAAAAARESSNLPPELDEFGRDINMQKRMDLKRREENRRRRKIQSETKRLASAVKNKDIEKIEGELSTDESDSESNAYVSSRDEFLKAADHVFSDAKEEYSSLRTVKDKFEGWKSQYPSAYRDAHVALSAPSVFTPFVRLELLKWDPLHETTDFFDMDWHKVLFDYGMQDNESPSGSNDSDVVPVLVEKVALPILHHRIKHCWDVLSTQRTRNAVDASKMVIGYLPTSSKDLHQLLASVRSRLTEAIADLSVPAWGSMVTRTVPGASQYAAYRFGVAVRLLKNVCLWKDILAEHVVEKLALDELLKGKILPHMKSIILDVHDAITRAERIAASLSEVWPKQSQKLQPFVDLVVELGNKLERRHTSGISEEETRGLARRLKNILVSLNEYDKARAILKTFQLREAL >Sspon.01G0014780-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:43643526:43647778:-1 gene:Sspon.01G0014780-4D transcript:Sspon.01G0014780-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GWTGSASRTRSRSTWRGRRRPPPGASCPRRGSASLPGSCRHRFARRTRCLMEEFETDSEDSDVSGSEGEDTSWISWFCTLRGNEFFCEIDEDYIQDDFNLCGLSNQVPYYDYALDLILDIESSNGDVFTEEQNELIESSAEMLYGLIHARYILTSKGLAAMLEKFKNYDFGRCPRVYCCGQPCLPAGQSDIPRSSTVKIYCPKCEELNYPRSKYQGNIDGSYFGTTFPHLFLMTYPHLKPQKPSQQYTPRVFGFKLHKPS >Sspon.04G0000050-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:509551:530505:1 gene:Sspon.04G0000050-1A transcript:Sspon.04G0000050-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTASAADDGSSLAPTVTEGEATAEAGAGAAVEECAEGKEMKVGASAAVEEPDEEAREEDPEEEEEEEEEEEEEEEEEEEEEEEEEEERGCGEEAELMEPLPVLKPGEEAEPEELEGKKVELDEEPEETEPSEEEPEEVEECKEGDGVAEVDGSVANETNEMSKLEYGKSGNTNKDKDADQLSSGSDSGDDMQNSELAGGLEIFVDDLPHDCVKEDIAMAFSQSGEVKSVRIIKNSSTEKNKDVALVCYASIEAAKKVLAEFKEGIEVKGKMVRVSACQDNNTLYLGNICKGWTRDQVLNTLKSIGIQECKITFPTYKGGSRGFAFLKFASHYYARAAFRRLMKSDAIFGTDRSAKVSFYETPTKSSKSLLEAKKVYLEHVPLSWDENRIKEYCEEYGEILKVDLFQISKNLENETISFVEFSSSKGALACVAGINKAKIVDGSFKLCAYLAWPKSALKVNSAAPSNATTTEKDRNHTEKVVVDKNFPHKFRKGDKSKLTSRTKEAIMKTNSSSKLPNDNDTKLTSQGNVDESQLTYRGAVLQTSKTSKGKRKASENRRVKDGLMDGKGALDQGEASTSSYSGYRSHAGYEAVHTYEYARNRAPTPPSGSYIPRRGRY >Sspon.04G0010190-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:30401319:30409213:1 gene:Sspon.04G0010190-4D transcript:Sspon.04G0010190-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CNX2 [Source:Projected from Arabidopsis thaliana (AT2G31955) UniProtKB/TrEMBL;Acc:A0A178W0P6] MMRRCVSELARRRRPDRTVDTMKAGFQCLVNVSSSIRALTAKGAQVHVLSRETSSSSEMLVDSFGRFHNYLRISLTERCNLRCQYCMPAEGVELTPKSELLSHDEIIRIADLFVTSGVNKIRLTGGEPTIRKDIEDICLHLSGLKGLKTLAMTTNGIVLSKKLPKLKECGLNALNISLDTLVPAKFEFMTRRKGHSKVLESIDAAVELGYSPVKVNCVIMRGINDGEICNFVELTRHKPINVRFIEFMPFDGNVWNVKKLVPYAEMLDKVRQSYKGVERLQDHPTDTAKNFRIDGHVGTISFITSMTEHFCAGCNRLRLLADGNFKVCLFGPSEVSLREPIRSGVDDAGLKEIIGAAVKRKKAKHAGMFDIAKTANRPMIHIGG >Sspon.01G0050210-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:121822589:121829991:1 gene:Sspon.01G0050210-1B transcript:Sspon.01G0050210-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRASRPAVHPVEAPPPAPAAAAQALGEGEGAAHPRGVRMKDPPGAPGTPAGLGLRLAQAFFAAAALAVMASTNDFPSVSAFSYLVAAAILQCLWSLLLAFVDIYALLVKRSLRNARAVCIFTIGDGITGTLTLGAACASAGITVLIGNDLNICAENHCASFETATAMAFISWFALAPSCILNFWSMASR >Sspon.02G0031010-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:106960814:106963028:1 gene:Sspon.02G0031010-2C transcript:Sspon.02G0031010-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPPPDVDLGKLSYEIFSLLESKFLFGAGSVPGTPGRAAPAPSQGNGEDRGRVRVLAIDGCGPGPCDALLAAAALARLEAALRARAGDPDARVADFFDAAAGAGAGGVLAAMLFLKGDDGRARYTAADALAFVAPASGGTVVGGAAPAAAGPGPGSAKGSGAGGPRCSGAGRGRRGRPRRCAGCSGTPRSGTPWRRCWCPARPRHGGAVPLLPRRRRRERQLRLPPPRRVRRHLRAGSAAAAVRSVDGRTAIAAASGGVAAMGNPTAAAITHVLHNKHEFPFAAGVDDLLVVSIGSGSSAGAAAAASATPSAGGWRTPIPPLSPSPAEMVRLTAEGVADMVDQAVAMAFGHTCGRNYVRIQAASPAACSGKALRSLDAKKAVAAADGMLTQRNVEAELFRGRRLSEKSNREKLDAFAAELVKEQERRARSPGLPTVVIKQAPTATPRPSSATTASSATATGRTASTMPSPASQGSYQH >Sspon.01G0050770-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:7552573:7554922:-1 gene:Sspon.01G0050770-1C transcript:Sspon.01G0050770-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTYNHLWSIAKRNAMAVVIGVSISDRCVTFTSVTGESMYPTFTAASNVWGEKRCIEQYKFSHGDVVLFKCPSNHKQLFVKRLIGLPGEWIQVPGSLKLTKIPEGHCWVEGDNSARSWDSRAFGPIPLGLVQGRVTHIIWPPSRTGRVERKIPEGRISPD >Sspon.02G0041800-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:72505282:72506193:-1 gene:Sspon.02G0041800-3D transcript:Sspon.02G0041800-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ASMAVASKRPASSLLAAVAALIAVAGFLAGPAAATGKTGQVTVFWGRNKAEGTLREACDTGTYTIVVISFLSIFGHGNKPPTLELSGHPISGIGDDIKHCQSKNIMVFLSIGGFGDQYSLPSAKAATDLADYLWYAYFPAPTPRAGVHRPFGDAYVDGLDFFLERGPPEYYDVLAARLWSYNKQFRARTPVQLSATPRCAFPDRRVQKVLATGLVTRINVRFYGDGHCAAYWQQEWDKWTAAFPDSGIYVGLPASEQTVGYVHPKNLYYGVVPVVQKAANYGGIMIWDRYADKQTNYSSYAIQW >Sspon.03G0046460-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3D:38043946:38047296:1 gene:Sspon.03G0046460-1D transcript:Sspon.03G0046460-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MANRPAYRSPAPGARFRPPQQKSQNAQQPQRNQKPFKMALPQAKAGQGSSSGAVTQVRGPCFNCNQTGHFAKFCPYPKKQQTQYQARVHHTTVDDIPEGEPVTAAKIPVVCEFPDVFLDELPGLPPDRDVEFAIELIPGTPLISRRPYRMPPNELAELKKQLQDLLKKGLIRPSLSEWGCPALFVKKKKDNSLRMCVDYQPLNAVTMKNKYPLPRIDILFDQLSKAKVFSKIDLRSGYHQIKIRPQDIPKTAFSTRYGLYEYLVMSFGLTNAPAYFMYLMNSVFMPELDKFVIVFIDDILIYLENEEDHAEHLRIVLTRLREHQLYAKFSKCEFWLKKVPFLGHVLSESGISVDPGKVQEVMDWKSPTTVHEVRSFLGLAGYYRCFIQDFSKIAKPMTSLLQKDHKFAWTEECEAAFYTLRKLLTTAPVLAQPDIEKSFDVFCDASKNGLGCVLMQDGRVIAYASRQLRKHEVNYPTHDLELAAVVHALKIWRHYLLGNISCTLESKIIEGQKTDKEIFHIKEKIKRELTKHFRVDEQGVLWFKDRLVVPKDRELKNKLMDEAHHSKLSIHPRSSKMYQELRPRYWWTKMKKEIAAYVARCDMCCRVKALHMRPVGLLQPLSVPDWKWDDISMDFITGLPTTPKGHVSIWVIVDRLTKSAHFLPVKNAFRPPQYAEKYIAEIVRLHGIPKTIVSGRGSQFTAHFWEHLHKGLSTSLIRSTAYHPQTDGQTERVNVVLEDMLRACVFHLEVKPGERRFYRIDFVDEAEKKVRIIQQNMQAAQSRQKNYADKRRRPLEFQVGDYVYLKVTPMKKKWFGIKKKLAARFVGPYRIIEKKGLVAYKLQLPEAMSSIFPVFHVS >Sspon.06G0006130-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:20848409:20850807:1 gene:Sspon.06G0006130-1T transcript:Sspon.06G0006130-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFRTAREEGSEEFSISGFRPAGDAFDGIKKQSSLPVISQHQQVRHSAVLAVAVLLLLRLRYGAGHRSSRQFGLSSSQVTAQQYPAAAHVGQRLQGMDYSTAALHQLPGGSRLVQPLSVRHPAPFNQANLMVRSQSFHNGAGIHSRNQQPFTMSNGFGGSTVGVYGARNPRNQTSTQLTIFYNGSVNVFDNVPVDKAKELMMLASRASIPSPPSASHKPDSPISAPAKVNVPEVFPARQIVIQKPEPSVPHLSSTSSPIPIVPQVVTLSRSTSHCTTEACGSKPAVQPPVAATISQATSSQPLATTSAAAVTPRAVPQARKASLARFLEKRKERVTSIEPYPTSKSPLESSDTIGSASAPTKSSSTDIAPVSNNGTEPVRLGQPSNISFSSEKWQTELGG >Sspon.05G0013910-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:49772587:49779782:1 gene:Sspon.05G0013910-1A transcript:Sspon.05G0013910-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRFVYINDDSCRYSYCDNRMSNTKYTLWNFVPKNLWEQFRRFMNQYFLLIACLQLWSRITPVSPATTWGPLIIIFIVSASKEAWDDYNRYLSDKKANERKIWLVKDGIHRQIKAQEIHVGDVVWLHENDEIPCDLTAALDGETDLKTRIIPPISANLSVEQLGKVKGVVECPNPDNDIRRFDANMRLFLPIIDNEKCPLTINNTLLQSCYLRYTEWACGVAVYTGNETKSGMSRGAAEPKLTAADAIIDKLTIAIFMFQIVVVLVLGYFGNAWKYTQGLKQWYLMYPVEGEAPWYDFSIIPLRFELLCSIMIPISIKVTLDLAK >Sspon.04G0034680-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:77446429:77451434:-1 gene:Sspon.04G0034680-1C transcript:Sspon.04G0034680-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQGSGVEDTRQPSLDHKLATQAGDMICVYGSPEINLSALELMELMAMITQVLNEKGWSVETSLVHVCVLPGEEECGTSKVKVEAAECSACFAELSPAMPTSHESQRTPMTDPPQPHPMKHRAVYPHSVGSGAAYKMKTRTEGEVTTRTAARGILQFLKMPRQPYEH >Sspon.01G0029480-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:109953742:109957513:-1 gene:Sspon.01G0029480-2B transcript:Sspon.01G0029480-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTVSRALGSAFAGFTRAPASAQTATTLPSPCGSSALLQHWRWSRASRARRFSSGRSARISMSLRAGIVGLPNVGKSTLFNAIVLSKLSKSQQTVPTSIELVDIAGLVKGASKGEGLGNQFLSNIREVDSILQVVRCFEDDDIVHVNGKVDPRSDIDVINLELIFSDLEQIEKRLDKLNKSKTKDVQVKVKEQAEKSGLEKIQQALMEGKPARSVDLAEHEKEAIQHLCLLTMKPVIYVANVTESDLAEPDSNPHVKEVAKAASDLQSGMVTISAQVEAELAELPLEERVEYLKSLGVAESGLGNLVKATYNLLGLRTYFTTGEKETKAWTILSGMTAPQAAGVIHSDFQKGFIRAETVSCVQTDGNLMTDFSLQYYDISLQMPILQLYGILIYRCLFFLIFTNCTMITTTPQVSYDDFVAAGSLGVAREKGLLRLEGKDYVVQEGDVMLFRFNV >Sspon.01G0052820-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:21690592:21694052:1 gene:Sspon.01G0052820-1P transcript:Sspon.01G0052820-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxisomal membrane protein 11A [Source:Projected from Arabidopsis thaliana (AT1G47750) UniProtKB/Swiss-Prot;Acc:Q9FZF1] MASSQSPDPTPAAAARQPARDFLAHLEAYLARRDGVDKLLKISRYAARLALAAGPPPPPGASARLKSFESSVGLSRKAFRLGKFVQSLNALRAHPHPPPVLALLAYGGEGVYYFVEQFVWLAKAGLLPAHLLPRLQRLSAWAELLGYVGSIAIKLEEVAKIESSIKKRLAEDCDKESEPVKTMQGKLLLKRMSVVQDVADAVMALGDVTDGKGLLGSSTLMASAGLLSALISTHKNWNSFLWLREFYWEHRAILACYLSFVVGLKKLGIHALKRVKTRVLSKAAGTSVRRRQILLSLPVSTSDQTLSRVTDT >Sspon.03G0007880-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:21324878:21334797:1 gene:Sspon.03G0007880-1A transcript:Sspon.03G0007880-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRGGGRGAGGGGGGLGGCALLAAALVASTLVIQGAGGTYYVRAASGVGPVEFGGFFFPVGLKQSHAPAVARKVLLSITNWHPQNYLDDVLHPSQVQDQRPERLDPQPSPTPTSQETSKVSVASPPAEFPNQRKPPLGGPAASPETSVHPAKHGKDHGAPVTAPSNVSHHHSVHANNTHGKTHGPPVVAPVKRRHHHLPANNSYVKVNVVQDLLFLPQSLPSSTGKDMALLHLQRNIRAIYHLQIIDTTKVLLVLLRVKVTILLHQAMDILVVLHLHLYVCLRQKEKDTEILLMLHVIPINTIHHHILQDQLYRLRIHLTLLHLESPRLWHQRLVNRCYLHRQIHLWSIHLRFDCTCSDPLTNSPPGMTCLCVSPIKVELRFGIALYTFFTLVPELAQDIASGVFMNQSQVRVMGANAAPDDPEKTIVFVDLVPLGPKFDNATAFLVFERFCNKQVIINPAHFGKYDVLYVLYQGLPPSPPAASMNNGLSNVNDPSLHPLAADVGNHRERKGRGIIIIIILSSVFAIILCAGAALVVYFKLRNRSHLTEASLVPTKPADCTCSDPLTNSPPGMTCLCVSPIKVELRFGIALYTFFTLVPELAQDIASGVFMNQSQVRVMGANAAPDDPEKTIVFVDLVPLGPKFDNATAFLVFERFCNKQVIINPAHFGKYDVLYVLYQGLPPSPPAASMNNGLSNVNDPSLHPLAADVGNHRERKGRGIIIIIILSSVFAIILCAGAALVVYFKLRNRSHLTEASLVPTKPAGPDSAMVGSRLESRPISASPSFSSSLVAYKGSAKTFNLVEMERATLGFDESRIIGEGGFGRVYEGILEDGERVAIKVLKRDDQQGTREFLAEVEMLSRLHHRNLVKLIGICTEGHSRCLVYELVPNGSVESHLHGSDKGAARDGLESIIDPSLGSSIPFDSIAKVAAIASMCVQPEVDQRPFMGEVVQALKLVCNEGSEFNESTSFSQDLHIQDVEAMSRASMDIDVDPPLSAELYTSSARYDAMDASGSFRRYSSSGPLRVGRAGFNKERGLSTGSSSEHVGLQRFRIDSE >Sspon.06G0000920-4D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6D:866916:867755:-1 gene:Sspon.06G0000920-4D transcript:Sspon.06G0000920-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSGDTAIAQVHTGLDSSNKTLLKSEALYKSSSNDQRSRLLAQYVLDTSVLPHEPDCMRELRLVTDKHEWGFMQSSPDEAQLLRMLLKLTGARNTLEVGVFTGYSLLATALALPDDGKVIAFDVSREYYDIGRPFIEKAGVAHKVDFREGPALEGLDALLADEANHGAFDFAFVDADKPNYVKYHEQLLRLVRVGGSIVYDNTLWAGTVALPPETPMNDLDRRFSAAIRDLNVRLSKDKRVEVCQLAIADGITICRRLV >Sspon.07G0013630-3D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7D:48612462:48613120:-1 gene:Sspon.07G0013630-3D transcript:Sspon.07G0013630-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRLNHTMCDAYGVAQFMSAVAELPRGLPAPTVSPVWSPELLEARPELAVLHSHRRELDVLPVPQPPPPPLPPPGDGDKIVRTFTFGPRGVAAIKNCLPPRLRDTASRRPYGAPARRRWSSRLARNGGSLGLGLGNAVEPVREAKAAVTAERVRSAVDRLALARRHGWPPELATMAN >Sspon.03G0032540-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:47086314:47089579:1 gene:Sspon.03G0032540-2C transcript:Sspon.03G0032540-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGGGYEQPPAEAEPLELVLFQVAECYVYLVRPLALPATLRIPPRMTAASYRADEWNVNKWAWEGALKVVSKGEECIIKLEDKNTGELYARAFLREGEPHPVEPVIDSSRSRHAFIGLGFRERPEAYDFQAALHDHMKYLNKKKAAEEMVQHYEKQSSVDYSLKEGETLVLQLKNKETGTKTTSAFFEQGLNKLSVNEKTNTKEAPVSLKLPPPPPSPVSPTDSGVAASPFKAEFPPQEQPAAEPISRTSTLPSKGEPAPELPAAAEKMEHETVDDDFGDFQAAG >Sspon.01G0009820-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:27497231:27501237:1 gene:Sspon.01G0009820-1P transcript:Sspon.01G0009820-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFRSLIQDMRDEFGSISRHSLRSRSHRSAGNAGRAAAAGPSEMDQSCWSQLPPELLREVLMRIEVSESWWPARKDVVSCAGVCRTWRGIMKEAVRVPEVSGKLTFPISLKQPGPRDGTLKCFIRRNRTTQTYYLYIGLTEALADDGKFLLAARKCRKPTCTDYLISLDKVDMSKGSSTYIGKLRSNFLGTKFTVYDAHPPYDGAVVSKSRSARVVGLNQVSPRVPAGNYPVSHISYELNVLGSRGPRRMNCVMDSIPASAVEEGGKAPTQTEFPLSSLDSFPSIPFFRSKSARIDTSTSQSSSSDRLVLKNKSPRWHEQLQCWCLNFRGRVTVASVKNFQLVASDENGPGNQENDKVILQFGKIGKDLFTMDYRYPISAFQAFAICLSKAGQQWQIAGQHT >Sspon.02G0002320-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:6432182:6436478:-1 gene:Sspon.02G0002320-2B transcript:Sspon.02G0002320-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSIGTGYDLSVTTFSPDGRVFQVEYATKAVDNSGTVVGIKCKDGIVLGVEKLVTSKMMLEGSNRRIHSVHRHSGLAVAGLAADGRQIVSRTKSEAASYEKVYGEAISVKELADRVASYVHLCTLYWWLRPFGCGVILGGYDRDGPQLYMIEPSGVSYKYFGAALGKGRQAAKTEIEKLKLSELTCREGIVEVAKIIYGVHDEAKDKAFELELSWICDESNRQHQKVPNELLEQAKAAAQAALEEMDAD >Sspon.01G0036410-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:18322993:18324985:-1 gene:Sspon.01G0036410-1B transcript:Sspon.01G0036410-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASTMALPATMRSMLRARSNLAPFAARDLQAGGDGCSLLPRRWQSSLPQLDRVDRSDEESGGGEIDWDKLGFGLTPTDYMYVTRCSPEDRGDFPRGELCRYGNIELSPSSGVLNYAQGLFEGMKAYRRPDRAGYTLFRPEENARRMQHGAERMCMPAPSVEQFVHAVKQTVSPTGAGYVPPQGKGALYLRPLLMGSGPILGLAPAPEYTFLIYAAPVGNYFKEGLAPINLVVHDEFHRAMPGGTGGVKTIANYGPVLRAQTDAKSKGFTDVLYLDSVHKRYLEEVSSCNVFVVKGGVVATPATRGTILPGITRKSVIELARDRGYKVEERLLSIDDLMGADEVFCTGTAVVVAPVSTVTYQGKKYEFRTGPDTLSQELYTTLTSIQMGLAEDNKGWTVAVE >Sspon.05G0018890-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:82914556:82917251:1 gene:Sspon.05G0018890-2B transcript:Sspon.05G0018890-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPDPDLSGGEQAEPPDDEVDDPDVDEVDPTGRYFRVRAAPSIRRPFSSAANVDMLFGLDGLPGCYKGFDVVEGIEVAWAKVEISGRTMGSPKELQRLKTEIQLLRSLQHKHILKLYASWVDNKKRTVNLITELFTSGNLREYRTKHKKVDMKAMRRWAKQILTGLAYLHSQKPPIIHRDLKCDNIFINGNHGKVKIGDFGLAMVMQERKTQSIQGTLEFMAPELFGENYNELVDIYSFGMCMLEMVTGECPYSECQGFVQIYKKISEGIKPVALSKVKDAEVRSFIKSCLASAADRLPASELLKSPFLMKDDIIINDKTSNPVQEPIAFPPNLDLDLEATPIFVSLLPNGTVDNGKGSFSLVLRRGGFVLEGDMSGSNPVKLLLRIPVPNGKCKNIEFAFDLENDTSLSVATEMVQELELPSWSMPIVAKLVDAFLLKTVRGWRPCVQVGQMIQAVQNTASANGKCI >Sspon.02G0015340-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:41782688:41786145:-1 gene:Sspon.02G0015340-1A transcript:Sspon.02G0015340-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDDAYLLCAEDAAAAFVFGASGSTLCAAAGDGGDDDDDDGCCSAVVEEESAASIAELIGGESQYSPRPDYPDRLRSRSIDPAVRAESVAWILKVQEYYGFVPLTAYLAVNYMDRFLSLHRLPQEDGWAMQLLAVTCLSLAAKMEETLVPSLLDLQARQLGHTIALQVEGTSRYDFDPGTVGRMELIVLTALNWRLRSVTPFTFIDFFACKVDPGGRHTRCLIARATQVILAAMHDIEFLDHCPSSMAAAAVLCATGERPSLESVSPGAAVSWCIGLAEEGISSCYTLMRQLVTGNVQTRVASTTIAAVNLCCSDEALSSHSSSTSSPPPAKRRKRSPTTAT >Sspon.08G0023430-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:55389339:55392186:1 gene:Sspon.08G0023430-1B transcript:Sspon.08G0023430-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRHRHSEVADLGFSSDDWRPETADHGNPSRHQCPEVKDLGLPSKDWCSEVADLERSSDHRRLEAANLDLLSMTDEGESSVEVEKYKSSYELQSSNNDINDSGVYVLELLKSYDSLTHLYLKEEHAKPICEALTYYLCTHEENELLLPAIRDIAKQHYRL >Sspon.02G0029810-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:108322025:108323691:-1 gene:Sspon.02G0029810-1A transcript:Sspon.02G0029810-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAATGVSSSGDALLLLALAVSLLLAAAVWARRGQGHRNGAPSPPSRPLLGHLHLLGKPLHRSLAVLASAHGAAGGQQLAPLLSLRLGARRALLVSSHGAAEECFTAHDAALAGRPRVLAGDRLGYGYTMLVWASHGDHWRALRRLLAVEVFSASRVAARAADRRAEVAALVGNLLHLQGGGNAVTLRPRLFELVLGVMLRALTGDRAHGGDVRRFQEIVEETFAVSGAPSVGDFFPALRWVDRLRGVDAALVRLQARRDAFVGGLVQDQRRRRDAGNGRDTAEKKSIIAELLSLQEIDPEYYTDTIIKGLVLMLLTAGTDTSALTTEWAMALLLTHPEAMRKVRAEIESNVGTTRLVEESDITNLPYLQCVVKETLRLRPVGPVIPAHEAMEDCTIGGFDVRRGTMILVNAWAIHRDPKLWDAPEEFRPERFLDAGMVTAVTTPMLPFGLGRRRCPGEGLALRLISLTLAVLVQCFEWDVGEGGVIDMTEGVGLTMPMAVPLAAVCRAREFAKSMLST >Sspon.02G0036350-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:28930185:28935073:1 gene:Sspon.02G0036350-2C transcript:Sspon.02G0036350-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-(apurinic or apyrimidinic site) lyase 2 [Source:Projected from Arabidopsis thaliana (AT4G36050) UniProtKB/Swiss-Prot;Acc:F4JNY0] MVKIVTYNVNGLRPRVAQHGSLRRLLDALDADIICFQDMRPLFHATALQKVVEHTLVCCYGVATFCRVTSSFSSQEVALPVAAEEGFTGLQDYAKNSETVGDFIIAMPVEEEGLGEITREDLLRVDNEGRCIITDHGHFVLFNIYGPAVEEDDKERVRFKLLFYKILQKRWEHLLALGKRVFVVGDLNIAPASIDRCDAPPGFEKQMFREWLRSMLREHGGPFFDAFRSKHPERIGAYTCFNQKIGAEEYNYGSRIDHILISGSCLHHCDSVEDHSIFCCHVEECEIMNHFKRGNSKNLSKWKGGRSSKLEGSDHIPVYILLKEIPELPVHNIPPSAARYLPEVRGRQQSIVSFLGKGKIYELQNAANLIQSEDTVVGSYCSDGLENTIIAKEGLTTGITEFAKGRNLPSLMCKGTNLDQWTNEGLIGVSHSSQKASPSSAKFVPKKKIKRNLSSQPTIKSFFEQPGSKTVNVSTSTLVTPAETLDLTNQTCVSNDDSLPENMQCTTSAAKDQDNTNVSSCSLSTDKSNAAALEWQRIQQKMKMTLPRCKGHSEPCIPRSVKKGPNIGRLFYVCARAQGPASNPEANCGHFQWAPGKSKEKSSKEKRQ >Sspon.02G0009800-3P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:9717745:9724992:-1 gene:Sspon.02G0009800-3P transcript:Sspon.02G0009800-3P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARQIKQEPSACSESAASTSPSPPAQHTEDAAGSSPKRPAGRTKFRETRHPVYRGVRRRGRAGGRWRWVCEVRVPGRRGCRLWLGTFAAAEDAARAHDAAMLALRGGHGAARCLNFPDSAWLLDVPVPVPVLPHGAGPRAGAEAAGVRRAVALAVEGFLRARAAAEDAMSATSEPSAFANDDGAATETETETEASSSSYSSSGSDDAREASPFELDMLSDMGADLYYASLAQGLLMEPPPLDAPCADDDSDCDDLAGVALCSNEPPAAAASPSSSLGSSGQQASPPKRPAGRTKFQETRHPVFRGVRRRGRAGRWVCEVRVPGSRGDRLWVGTFDTAEAAARAHDAAMLALCGDAASLNFGDSAWLLHVPRAAPAAGLPDVQRAATEAVAGFMQSQTQMQRQRGGDAHAPNAASSQANAATAAAAGVDRADDAPPAMDSGGGSMLELDQDVFGGMDDPGSYYASLAQGLLIDPPPPAVECPEEDEDCCDGEME >Sspon.04G0001270-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:5048154:5050757:-1 gene:Sspon.04G0001270-4D transcript:Sspon.04G0001270-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSCASSTLPWTSASSSRTSAERRLTASRRAPSLVIVAQGKVKKYRQVILTDDIEAVGKKGDTMKVRAGFYRNFLLPKGKATLLTPEGNAARTERIEAEKKRVKEDAQQLARVFETIGAFKIPRKGGKGKQIFGRQVLSWIILYVFSLVLLSVFLTISFIVHSVTAQDVVDIIKSQLNRDVDKKLVTVPEIREIGEYVAEIKLHPDVTARVRLNVYAK >Sspon.02G0019410-1P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2C:65706155:65706765:-1 gene:Sspon.02G0019410-1P transcript:Sspon.02G0019410-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKEMDVSTLEAGGARDYADPPPAPLIDIDELGKWSLYRAVIAEFVATLLFLYITVATVIGYKHQTDATASGADAACGGVGILGIAWAFGGMIFILVYCTAGISGGHINPAVTFGLFLARKVSLVRALLYMAAQSLGAICGVALVKGFQSGFYARYGGGANEVSPGYSTGTGLAAEIIGTFVLVYTVFSATDPKRNARDSHVP >Sspon.03G0000870-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:11786644:11789500:1 gene:Sspon.03G0000870-2C transcript:Sspon.03G0000870-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLALAQLQDLRDRISDRLRPWSRSAQFWVRAADIYTSYKACQLRAGFVKDEDEREAMWEQQHELGAQKMYSLCSELGGLFLKAAQILGKPDLAPMAWVKRLVTLCDQAPATPFDVVRDVVEKQFGKNFDDIFEFFDVEPVGSASIAQVHRARLKLSKTDVAVKVQHPGAEHLMMVDIRNMQAMALFLQKYDINFDLFSATKEMEKQICYEFDFVREARAMERIREFLRVTNKKPPVMVPRVIPGMET >Sspon.08G0011460-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8B:45518956:45519917:-1 gene:Sspon.08G0011460-2B transcript:Sspon.08G0011460-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGELQDRSQDEDVRVGVDRFPERQPIGTAADDLGRDYIEPPSAPLFEASELSSWSFYRAGIAEFVATFLFLYVTVLTVMGVSKSPSKCGTVGIQGIAWAFGGMIFALVYCTAGVSGGHINPAVTFGLLLARKLSLMRALYYVVMQCLGAVCGAGVVKAVVGSALYESAGGGANAVGPGYTKGDGLGAEIVGTFVLVYTVFSATDAKRNARDSHIPVLAPLPIGFAVFLVHLATIPITGTGINPARSLGAAIIYDSPHGWHGHWIFWVGPFTGAALAAVYHQ >Sspon.02G0034550-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:8375931:8378191:-1 gene:Sspon.02G0034550-3D transcript:Sspon.02G0034550-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDRVDGEEAFEEVDPTGRFGRYADVLGLGSVKKVYRGFDQEEGIEVAWNRVRLRALADRDPGMVDRLHAEVRLLRSLSHDHIIGFHKVWLDRDAGVLNFITEVCTSGSLREYRHRHRHVSVKALKKWARQILEGLNHLHTHDPCIIHRDLNCSNVFINGNNGQVKIGDLGLAAIVDKTHVAHTILGTPEFMAPELYTETYTESVDIYSYGMCVLEMVTREVPYAECGSVVHIFHNVTRGVPPAALKRLKDPELRGFIERCIGQPRNRPSAAELLQDPFFNGIRGGDDDDALADACSVIAGTPVPRPRSYVDDLAGLRLD >Sspon.05G0003570-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:9411926:9415894:-1 gene:Sspon.05G0003570-1P transcript:Sspon.05G0003570-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAYLPIFVFLFMAVLCQSDDRLTPAKPLLPSEVLISDGGVFALGFFSLKNSSSSYVGIWYNNIPERTYVWIANRDNPITTNVPGKLVFTNSSDLVLLDSTGHTIWMTTNNSTAGGGETASILLDSGNLVIRSPNGTDIWESFNYPTDTIVPNVNFSLSVAYGATRLVAWKGPDDPSSSDFSLGSDPSSDLQIVAWNGTQPYWRRAAWGGELVHGIFQNNTSFMMYQTIVDTGNGYYLQLTVPDGSPSIRLTLDYTGMFTFRRWNNNTSSWIVFNQFPSPSCDRYAACGPFGYCDDTESVPACKCLDGFEPNGLDFSKGCRRKDELKCGDGDRFLTLPTMKTPDKFLYIKNRSFDQCTAECSHNCSCIAYAYANLQNIDTMLDRSRCLVWMGELVDMEKFNNDFGENLYLRSPSSSVTVSKKKSIVLKIVFPVMASLLLLITCTWLVFKSKGKHKSKKSQYTLQHLDASNRFENENLEFPSIALEDIIIATNNFSDYNMLGKGGFGKVYKAMLEGGKEVAVKRLSKGSTQGVEEFRNEVVLIAKLQHRNLVKLLGCCIHEDEKLLIYEYLQNKSLDAFLFDAARKSVLDWPSRFKIIKGVARGLLYLHQDSRLTIIHRDLKASNILLDTEMSPKISDFGMARIFGGNEQQANTTRVVGT >Sspon.08G0028320-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:9206929:9212693:1 gene:Sspon.08G0028320-1D transcript:Sspon.08G0028320-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYCPAVPSPTVCRPPPPLVPYGHLPPPSTAHPQLKEMAYCTPQPPLLPHRYRMDLAASHLTFHLKPAVLGLNGHFMGFNSAQEVLSGLVPFDLVGKSWVEGPSTDTGVEGWMRPINQMIRVPSTGYFQELLRNVSVINWNWQQKDKTTNPSEEGGKEINGVPRGNESAQAGGNDLTDAEEVSEEEEEYHDADDEESDGINEIGKEKSGAIKKRRRFPKGFIVPDFREPRNIGTIERAMRNASKRGESHIFEPKIGMVFDSRAEIYNFYNLYSWEVGFGIRFGCFARNRVNKMRTMQELGFDSRCINNSKRMHCKAKIRLNRTEDLGWYMLTIDEFERAWDYLLECYNLRDNEFMKRTYEKREKWAKPWSRNTLCARMASTQRSESANSILKKVVPRNSSMNRFVEQYNKLMFLRTRAEQKAEHETKQFVHRTKRMYAIEKHAISIYTKNVFQLFSAEVDKATEYGVEEGEEDDTYIVIHNNADTRKHWARVIFKVKLEDDGQKYACECGQYEHFGILCCHAIKVLIATGVKKIPKSHIMKRWTRDARDYDYPDELGREDLTKKHKTTCNMMDSAIKECWEFFFKVNRNNDEIWETEYIRINGGEVESSDTGLYTMFYARYFDGEFNTRVLTREATQLQRINMMYQLLSMEGNIGKLPESFQYAMY >Sspon.06G0019330-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:1408227:1408778:-1 gene:Sspon.06G0019330-1B transcript:Sspon.06G0019330-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MWCAAIVCFAFSILLIVAGIVILIIFLAVKPRPPSFDTANAILNSIYVDSPAPYFNNDMTLVANISNPNQKIDLVFRSATIELFFQDRPMAVQALPPFLQRRGQSQVLNMHLVSSRVLLPPEVAVKMVNQVRSNRVVYTIRGAFKVEARFGFGHYSYWMYTICEMELTAPPCGVLVARRCRTK >Sspon.01G0028050-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:64415881:64417313:1 gene:Sspon.01G0028050-2B transcript:Sspon.01G0028050-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADSGARRQPTFTKVDQLRPGTHGHNLIVKVVDSKMVVQRGREGGPQGRQMRIAECLVGDETGIIVFTARNDQVDVMKPGTTVELRNAKIDMFKGSMRLAVDKWGIVKAAESSAEFTVKEDNNLSLIEFELVTVVE >Sspon.06G0020780-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:11793569:11797309:1 gene:Sspon.06G0020780-2C transcript:Sspon.06G0020780-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPAAPTALHHRTPLLLPRRAAAPGATATARAACRAASLAVVRAQPDTTAASASTSAPQPPPPEFKPPPGFKVPEPKRFEVKPGQQNSVLGASLAIPLRLGTGVFVLGYSPSLVSPSEIPSDQYALEFGAWKVKEESKIGQCKRPEKPIEIYEFEGCPFCRKVREMVSVLDLDVLFYPCPQKGPTFRPKVLEMGGKKQFPYMVDPNTGVAMYESDDIIKYLADTYGDGTVPIMLSLGLLTTFTAGLATLGRFGKGNSYTASKVPPQPIEIWAYEGSPFCRLVRETLVELELPHLLHSCARGSPKRQEFLKKKGVFQAPYIEDPNTGVQMFESAEIIDYLKGTYALVKCGRVDQVAVTKAFAYTQQKKPSPSSTPGFPTRATGHLTRVTYAMGSASPPSSHRRWSWGSALAGAATTAAATALVLCRPRDPRFELISISLSAFHFRAPAALDIGLTLTVHATNPNVVPAAVLLWSRPFSVRIDSHITVDPVFLEVVEQENHSEMQLYLA >Sspon.06G0035500-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:75643417:75653172:1 gene:Sspon.06G0035500-1D transcript:Sspon.06G0035500-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGIGVGKRVKSGAFTVGGHSWCITFFPDGFSDETADWIGVGLCLVDQIPTNGDVKARMTMSLLDQVGEPVQAYCRRSTVLTFSITDLKARAITDFIKRKDLESSILKDDSFQIRCDVTVHQEIRTKAITMESPLAPAPTDLQRHLVDLLASEVGGDVTFEVNGELFTAHWYVLAARSSVFMAQIFGPTKEKQTEHVQIQDMEARVFNIMLQFIYTVSLPEIEADERTAIAQHLLVAVDRRKAPADLARRRSSGGAGAAVRGGPALRGGVLVKEEEDDATQCSGGSDESGSPIYAAVFFLRQLAQNTPIGQHR >Sspon.03G0032740-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:37021379:37022173:1 gene:Sspon.03G0032740-3D transcript:Sspon.03G0032740-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATMALTSRAFVGKPATSTRDVFGEGRITMRKTAAKPKPAATGSPWYGAERVLYLGPFSGEPPSYLTGEFPGDYGWDTAGLSADPETFAKNRELEVIHCRWAMLGALGCVFPELLARNGVKFGEAVWFKAGSQIFSEGGLDYLGNPSLIHAQSILAIWACQVVLMGAVEGYRIAGGPLGEVVDPLYPGGSFDPLGLADDPEAFAELKVKEIKNGRLAMFSMFGFFVQAIVTGKGPLENLADHLADPVNNNAWAYATNFVPGK >Sspon.03G0021010-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:83756471:83758456:-1 gene:Sspon.03G0021010-3C transcript:Sspon.03G0021010-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLQTAYRVNVGGPAVAADGDTLWREWTTDERVLVGSAVTREEAYNGSLNYLAGEATRDDAPDVVYATARELTLSSWLDSSKQMTWQFDVEAQPSSYLIRFHLCDIVSRAPHLLRVDVYVDSYTVVKYLDLSTIGNGTLAFPYYRDFILDSSNPSGKIAIYVGSSSVMNISSSTTLQAPILNGIEIMKMHFSSGSVVVVEPTAGSKTQHLAVVLGSICGAFAIVSIAAVLVIFLRKKEEKVLPTSSSSRSQSPTPWMPLLNRLSLRSRRPDASGAGSSKFAVDRDMPGAIPIAASPVPNYRFPFAMLRDATNDFDEGLVIGAGGFGKVYRATLPDGTSVAVKRASPESRQGAREFRTEIELLSGLRHRHLVSLVGYCDEGDEMILLYEYMEHGSLRSRLYGASAATAALGWAQRLEACAGAARGLLYLHTALLKPVIHRDVKSSNILLDGGLAAKVADFGLSRAGPELEETHVSTGVKGSFGYVDPEYVRTRKLTTKSDVYSLGVVLLEALCARPVVDPRLPKPMVNLVEWALHWQGRGEMDKIVDRRIAAAVRPQALRKYGETASRCLAPRGADRPAMEDVVWSLQFVMRLQDDNGLEFSDVNSLSLVRELMPPLDSRRTSSDQKEAGCEEGEGVTDANFTDVDVSMRGVFWQMVNVGGR >Sspon.02G0007310-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:20970974:20973045:-1 gene:Sspon.02G0007310-2B transcript:Sspon.02G0007310-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleoside diphosphate kinase [Source:Projected from Arabidopsis thaliana (AT4G09320) UniProtKB/TrEMBL;Acc:A0A178UXQ6] MEQTFIMIKPDGVQRGLIGDIISRFEKKGFYLKGMKFMNVERSFAQQHYADLSDKPFFPGLVEYIISGPVVAMVWEGKDVVLTGRRIIGATRPWEASPGTIRGDYAVEVGRNVIHGSDSVENGKKEIALWFPEGVAEWKSNLHPWIYEA >Sspon.04G0005050-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:10253003:10254464:-1 gene:Sspon.04G0005050-2B transcript:Sspon.04G0005050-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCYPPLGKIVSKALGKCNGRERWREGRLDFDYSLAYPPGPPTEIHYMRPVARTVTFASNNSVNVIPPSPPPPPQPQQQSTPEPQQPQTPPRHEPEQHHDTPESQPPQPQTEAPAQTQDAPPQAEPKPPKGPKRGKKKQPGRVRFGPEPPPQQQQEQAQQEHAQGPGNIEKAPDQWPHGASPAPAPTQGQGYLLRYTPSPLPRWEATPRRHEYFSGEYRSYYPTPVREGIYRIATDANRLTTIFSEENPNACTIV >Sspon.04G0019820-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:78382270:78384587:1 gene:Sspon.04G0019820-3D transcript:Sspon.04G0019820-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSSSTATVSRLALAAAILCLTAGGNTVAAFNYADALDKALLFFEAQRSGKLPAGQQRVTWRGDSGLSDGSAAGVDLAGGYYDAGDNVKFGLPMAFTVTMLSWSVLEFMPGGAAGRAAVRWGADYLLKAAAAAPDALYVQVADPNRDHQCWERPEDMDTPRDVYKVTPDKPGSDVAGETAAALAAASLVFRTCDPAYSSKLLQTAQKVFDFADRYRGSYSDSLSSVACPFYCSYSGYHDELLWAAAWLHMATAAAGNSSDVYLSYIYSNGHNLGAEQDDFTFSWDDKRVGTKVLLSKAFLQGIGKGKSDDALRLYKAHADTYVCSLVPGAAGFQQSQYTPGGLLFKEGDSNMQYVTSTAFLLLAHAKSLSSAGPAGVVSCGGGGAVPASALVAVAKRQVDYILGANPAGMSYMVGFGARYPRHVHHRAASMPAVRDHPARIACDEGFRYLHSADPDANVLVGAVVGGPDGSDAFTDSRDNFAQTEPSTYTNAPLVGALAFFAATRHP >Sspon.01G0044920-1P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7C:13771663:13771992:1 gene:Sspon.01G0044920-1P transcript:Sspon.01G0044920-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMLRWCCGHTRRDRVQNDDIRDRVGVAPIEEKLIQYRLRWFGHVQRRPPEAPVRSGVLKRADNVKSGRGRPKLTWDESVKRDLKEWNISKDLAMDRSAWRVAINVAEP >Sspon.03G0001790-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:4396017:4398541:-1 gene:Sspon.03G0001790-1A transcript:Sspon.03G0001790-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MECVERELEMRRLQLSGTTPGYSYSSANKNMAVIWEENTLYDYLLNPKKYIPGTKMVFPGLKKPQERWMNKKVKNSRCALSSKQVIVRCIEIS >Sspon.05G0010080-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:20134753:20136006:-1 gene:Sspon.05G0010080-3C transcript:Sspon.05G0010080-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding EAEAPLPLRPSPRLLCRRGVRGAGGRRQGRGLGRFPAAVGVGEPVRVHGVRADGVHLEGRHGLDDRRHAAGRGRHGDPDPGPGGVGRAHGRRPRLLRARQPGHLQRPGALHEPAPLRHEPHLRRHRRAPRLVLQLPRGHGHGAPPGLRADALHRRAVARHRRVALPPVRCRRQVQHEAQAGGRAHRDRALS >Sspon.05G0033400-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5C:31979485:31981958:-1 gene:Sspon.05G0033400-1C transcript:Sspon.05G0033400-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSYELTACARCLCFVCRSRSNVPEVVYVQHLMGVDGVIVDLLSEKKLKPAIAARQGASPFPPCPHPAKTPPPLSLTHRNRAAARFHRRKKKRRPCTRSPATALGRPLPAATSAPTGDGLSPLPAVTSAHRCPQASSAQLGPSRRRPRLTRSPPATLARRVFSNVPEVVYVQHLIGVISEAVSAFTVTAVQASGSQDGGGIVVGEGMETAAVAVARTTPSFSPREMSFLLRLIPELV >Sspon.01G0041750-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:54590779:54598258:1 gene:Sspon.01G0041750-1B transcript:Sspon.01G0041750-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFDLLPALHPRAWRSTICVRVCRKWEYRGGTDDGLIQHVDLVLVDEKGNSMYGEIPGSEVETKSPLIEKGGIYVISRFRVSNAKSDTIGLLVEKSEAYMVHLPNKPAPTLTRHVVLRDLSYSEMKVTLWGQRAAAFNTDAISGGADDKPIVVLFVGGLMKSYQVTRIRESRSGLFLRQLSSRVRCRHLHLLKRRLYASSMRWIRMNLLNVGTAAPSLSVVWFPMYPSGSPHATDAASPVLWMDLATGAVGAQQLRSNSNEAEMICFGDIARHMIGSRFSKFLEQLQSYYRVQKTYQVVSVVTSHGQQTIPHAPANEGGAHPSTPEVDQSLSIATDGEADQMSPNSVPGDDVPSPLVASVSLPDKDFQEQAIPAQSLDDTHVDATINRVSKKEIFALTAKELRLTIQSEQTTQIDDDMFITRLGIGNMLNKESCDRETREFLGNSAPTKNLSIENAYHTALKYLESENLVQIDDYNGQHVSQLRTDMLCCSTWLMLYEEKANQLKNDISAKNMALREFFPSFDSVCQQAAAVLPLLPPKQKASPNKKPRHDMVPSTSKTDPASVYCDLSKALTRLRAELLLLLGPSSHNIPRFPFDLVAFPRISSAIHTTWPTAVFLGFLLFHPNSATEAAEARLANAVVAIDVNPNNANPSIRDVQLAIHSQFGSEALPLSITRFRSDFVIQFATLHERDLVASSQILYGEGFDMLLVTWSNKYGAKTIKWQTEVAIDIHGFPPHTFDPSALASLLDRHFSIQAYKFSESRGVCRVDAYALNTQSVPKSGDIGLQYPDVNGVSNVVFSVTMATYNYSEAPEFLEDEVLANPTNFDLADSVASFDTAEELGYDREVLQETYAWQHRANQRLENAEYHGHAWGSSSSCYTDSSEEPFYLPRDYSPARSFESDRSWKLY >Sspon.04G0003970-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:10819761:10824014:1 gene:Sspon.04G0003970-1P transcript:Sspon.04G0003970-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLTLRASTAAAAAASPLQQRRFPGRQRATYVRATASASAAGEPDLSVRVNGLQMPNPFVIGSGPPGTNYTVMKRAFDEGWGGVIAKTVSLDAEKVINVTPRYAKLRAEPNGAAMGRIIGWQNIELISDRPLETMLSEFKQLKKEYPDRILIGSIMEEYNKAAWHELIERVEESGVDALEINFSCPHGMPERKMGAAVGQDCDLLEEVCGWINEKATVPVWAKMTPNITDITQPTRISLKSGCEGVSAINTIMSVMGINLKTLRPEPCVEGYSTPGGYSARAVHPIALAKVMQIARMMKEEFADGQSLSAIGGVETGNDAAEFILLGADTVQVCTGVMMHGYPLVKKLCAELQDFMREHNFSSIEEFRGASLPYFTTHTDLVHRQQEAIKQRKAIKKGLQSDKDWTGDGFVKETESMVSN >Sspon.07G0033780-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7C:65881290:65885333:-1 gene:Sspon.07G0033780-1C transcript:Sspon.07G0033780-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPTPAATAPAPAARAAVAQGGEAAAKRMTGCRCGWWWCCCAASGGCHCGATGGFLTLSNSLLMSLPPPRCPPAAGTRPARAGRPPAARPAAGGGVGRFRPAAGGAQPGKKVGACSSGGSAAGATGAQEWGPVGGDWWSGWGPARGCGWSTALLPHSHGLAPSAARASGGKARPAGEGAAGGEFAGGSSGWRGSRGGRRSSRAKGATKSWTAGRGVGSEVDEGWGGERGGQQDMAAGGCPPGGGGAPGGGGGQMTGEGRGGGKKLARYQPRAAPEDQAFSFQPQRLRWLVVAAGGSCVYCVLCSLSLALERSWPVHQLDVKNAFLHGTLTETVYCSQPAGFVDSSRPDFVCQLNKSLYGLKQAPRAWYSQFATFLQTLGFTEAKSDTSLFIYHYGGETAYLLLYVDDIVLTASSESLLHRVVASLQKEFAMKDLGVLHHFLGVTVEPQPSGLFLHQRQFTCDILERAGMTACQSCSTPVDTQGKLSEAGGPMLRPADSTAYRSLAGALQYLTFTRPDITYAVQQLCLYMHAPREPHLAAMKRLLRYLRGILDYGLLIGRSSTAELVVYTDADWAGCPDTRRSTSGYAVFLGGNLVSWSSKRQPVVSRSSAEAEYRAVANGVAEAAWLRQLLAELHRPLARSTLVYCDNVSAVYLSTNPVQHQRTKHVEIDLHFVRDHVAIGDVRVLHVPTTSQFADIFTKGLPSSTFSEFRSSLNVHGFVQDNRTGQKVAMLIGKWDEAMYYVLGDPSVKPKGYDPMSEAVLLWERDKSLNQTRYNLSPFAISLNELTPHLLNKLAPTDSRLRPDQRHLENGEYEMANAEKLRLEQLQRQARQLQEKGWRPRWFKKDDDDSYRYVGGYWEAREKGNWDGIPDIFGQNSLSPWLH >Sspon.02G0031310-3C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:106126971:106128064:-1 gene:Sspon.02G0031310-3C transcript:Sspon.02G0031310-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MELDQLRRQRRKESKDAVGAEDVRSIHDVSDDHLRRFFPIWVIRAACACKRWRRSPCLPLPRQLAPHAHRRRPVPQPCQWRSSVRALLVDAIAHRRQPLRHRLPRQELKVGTSLTAMVASSSHDIWAVRTLRAKHHRLRSVDTPTPADLPSASASQHRKIYSPPPPHKQLDGTIIRDAFLLDREDGNISISNFRVFYCCFKSVDADADPDACVFTTANGGDWHFLNEDRFSYCFGYVAGHVDRSLYLGMFTGFVAALDNTSLVFSKVALPIRLDMLKIPHLSTFTVVHAAGLGPTSQPRTSIVHVCGEELELFRRVHGSGQWTLEHIISPTCRRRPRHVVS >Sspon.01G0040640-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:42360920:42361536:1 gene:Sspon.01G0040640-1B transcript:Sspon.01G0040640-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLGRAVEEDPAAVEEWSGEAIVYVNGVRCVLPDGLAHLTLLQYLRGPNG >Sspon.01G0036290-1P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:21388276:21389335:1 gene:Sspon.01G0036290-1P transcript:Sspon.01G0036290-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIDEHGKEFSILVATLCKDRVSGSNYTQYSLLTMLYKPNQKFSSEDNVAKVERFLEKKAPSQVIIPKARVEDDEFLFSMRLKTGGKPSGSVIILSGDGTATVAMYWRGSTRLYQFDLPWDAGKVLDASIIPSAEDRDEGAWVVLTEKAGVWAIPEKAVLVGGVEPPERSLSRKGSCNETIAEEKRRSQGFSASVVPRRVSSEAWSAGERQRPALTGIAQQSVVDEESEMLLNRLFHDFIISSAVNEALQKLRAAGAFEKEGLSIEARF >Sspon.02G0055190-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:953355:954527:-1 gene:Sspon.02G0055190-1D transcript:Sspon.02G0055190-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGQGPDGHRHRAAHYFHTPLRNGLKIGLQDHSALLPHAFPPLPANHRRRLAMSAEPISPPQPTAEVRGSLIPALPDDLAVHCIALLPRAAHPSLALVSRAFHALLCRHPEPLLAARRALRRSEPHILLSLRPPSSASPLFFLLLPHPGWPPLPLPSPPVPVSSSASAATDGTRLFLVGGSVSAILDPRARSWSVGPRLSSTREFAAVVAHSGALFVAGGCVPSSPFWAEALDLSTPNAKWRAVASPAHLREKWMHGCASVAGKVLAVADRGGLAYDPAAPPAEAWAPVSPVLDMGWKGRAAVVEGILYSYDYLGQVKGYDPDTDSWSTVEGLERELPKFLCGATLANVGGLLYLVWEGKWKGKG >Sspon.04G0024350-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4B:18975633:18976132:-1 gene:Sspon.04G0024350-1B transcript:Sspon.04G0024350-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MACAAPRRASPLKLWVDPAGGRAAGVVVPLRASPLLAVPRALDALRHAGVSPVVASGCVGARPSSLLPLFGPPQIEGAVAQLRSGQV >Sspon.01G0019440-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:78772109:78775143:-1 gene:Sspon.01G0019440-2B transcript:Sspon.01G0019440-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCPYTSSQNGKAERMIRTTNDTVRTLLFQASLPARFWAEGLHTSTYLLNRLPSAACPAPTPHHALFGTPPRYDHLRVFGCACYPNTAATSSHKLDPRSTLCVFLGYSPDHKAHPPPLLFLIPPPYFPLTRWSSHLFRGLLQVLLRRAPCRAPVPAPRRVRARRPLARPRPPVWRRPGTSSPILPRGGACRSPSPGAAPAPPSRFAAPVRVYRRRPRPPPLVVPSPSGTPTPPPQSPPARDTPPVYHPPLLHRHPRHVHPMVTRHAAGTLPPRALAASTGDAPVSPVPSSVYDALLDPHWRRAMEEEYAALLANQTWELVPRPPGSNRPGVDYDETFSPVVKPATVRTVLSLALARPWPVHQLDVKNAFLHGLLTETVYCSQPAGFVDFSRPDMVCRLNRSLYGLKQAPRAWNHRFAAFLLTLGFVEAKSDTSLFIYHHGADIAYLLLYVDDIVLTASSEPLLRWIITALQQEFAMKDLVCSTISSGSLLSLILPGYFFTSGSILGYPGAAGMTDCNPCSTPYLTFTRPDITYAVQQICLHMHDPREPHLTALKRLLRYLRGTIDYGLLLHRSSSADLVVYTDADWAGCPDTRRSTSGYAVFLGGNLVSWSSKRQPVVSRSSAEAEYRAVANGVAEASWLRQLLAELHTPPSRSTLIYCDNVSAVYLSTNPIQHQRTKHVEIDLHFVRDRVAMGEVRVLHVPTTSQFADIFTKGLPSSTFTEFRSSLNITSG >Sspon.06G0000620-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:2715849:2716619:-1 gene:Sspon.06G0000620-1P transcript:Sspon.06G0000620-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEASAETGRRPAQQQHQFAGVDLRRPKGYAAAPVEASPAVKVAEAVEGGNPCPRCASRDTKFCYYNNYNTSQPRHFCKGCRRYWTKGGTLRNVPVGGGTRKKPSSTSSYAAAADADHKPPKKKPASKKRRVVAPAPEPAAAADASAATDPVAAADSARTTTTTDGASEITTETAAAAAPAAEEDSDSLAHLLLQPGAEDAVALGLGLSDFPSAAGKAAVLEDDSFVWPAAFDLGTCWANAGFADTDPASLFLNLP >Sspon.06G0025190-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:63158418:63160002:-1 gene:Sspon.06G0025190-1B transcript:Sspon.06G0025190-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIEDVKKVLSLTSITEIPNEIGNLQLLQFLDLNMTNIKALPPAFVQLRKLEFLCVDNRTRLPECLGNLISLQKLSPRITISSPTMLRELSRLTELRRLMLRFDDWDDESYEEPFVQCLSNLVNLESLQIFDCHNGLGSNSNIGMLLTPGPQQLRSMNIGPGTVGCVPRWMPSLFALSVLDVTLLTLEEEDLQVLGSIPSLRSLYVWVKEHRKDRHKRIGPGAMEVEFAAGAMRKLQTIRLDLHVRHTLDQFGDFDCGLESLSSLERAVVHMNCCRAELEEVEAAEASILKALELNPNRPTLELDKGEGKTKEHLQDSRCCAGPNFVKTTKG >Sspon.01G0050860-3P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:8320371:8320601:-1 gene:Sspon.01G0050860-3P transcript:Sspon.01G0050860-3P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKAVLVAVLLMQCCDVMIVAARPLLHVTAGPDTRATMMTMQVQDKSNGPRRPGGGNCNWKKPGHPGCPPNNHRPR >Sspon.03G0000100-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:6901348:6904211:1 gene:Sspon.03G0000100-1P transcript:Sspon.03G0000100-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGTVSKLAAPRPAAAPLRPASLRTAALAFAPSPRRVSVSIAGRARSPIIAMASAKEGNGAPTKKTALHDLYELQGLSPWYDNLCRPVTDLLPLIASGVRGVTSNPTIFQKAISSSSAYDDQFKQLISSGKDAESAYWELVIKDIQDACKLFEPIYNETDGADGYVSVEVSPRLANDTQGTVEAAKWLHKVVNRPNVYIKIPATAECVPSIQEVIANGISVNSRYEAVIDAYLDGLEASGLSDLSRVTSVASFFVSRVDSLIDKMLEKIGTPEALALRGKAAVAQAKLANQLYQKKFSGPRWEALAKKGAKKQRLLWASTGVKNPAYPDTLYVDSLIGPDTVNTMPDQALQAFIDHGTVSRTVDANVSEAEGVYSALEKLGIDWSEVGKQLELEGVDSFKKSFDSLLVSLQEKGNSLKTASV >Sspon.08G0011370-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:10320062:10322998:1 gene:Sspon.08G0011370-2P transcript:Sspon.08G0011370-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DGGFVLVLWPGWVPGPRTSGQRRRRGRGAVSPPVAVRRHDADQGVRGRVGVGDAVRGAAPALRSLRRHSRGRRHHRSRDGPLEGLRFGDFPGPGCGGAGGAEPEPGDRRPARQLQHCGVRPAAGRAAAERRKRRRCRPRAARAGSAAAGVALQDALADDPASGRHGVLQFPVRV >Sspon.03G0010930-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:37130461:37133239:1 gene:Sspon.03G0010930-2B transcript:Sspon.03G0010930-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding FLRSERCLEFRYDDGVHRQQGRRADGQAEHRAVVERRRRAPALLIKVPSQTIAGFDCVVGADDATVSLDELDDKVEELHQQGAGTTTRDIVISIPAAAPASAPPRVVVAPAKAYDDDARVPYSVSLSMPASPSGFHLSQFMCADHHARVAPADAHHHPVAVEEQQTEAVHSPRLIKQTRFHSQPILNLHPPSSKYVVDEARRGDGSSTRDKRFDPFKTFSGRLERQLSNLRGRPQDPVDGMFPDSKISEEETDQVPAADRYFDALEGPELDTLRATEVPVLPKDEKWPFLLRFPISAFGMCLGVSSQAILWKTLASAPPTAFLHVSPVVNQVLWYAALALILLVSVIYLLKVVFYFEAVRREFYHPVRANFFFAPWIACLFLVLGAPRLVAEMHHGVWYAVMAPIFCLELKIYGQWMSGGQRRLSKVANPSNHLSIVGNFVGALLGAKMGLREGPIFFFAVGLAHYMVLFVTLYQRLPTNVTLPKELHPVFFLFVAAPSVASMAWAKINGQFDAGARIAYFVALFLYMSLAVRINFFRGFRFSLAWWAYTFPMTSASVATITYATEVTNVLTRALSIGLSGISTVTVAGLLVTTVFHAFVLRDLFPNDVSIAITRKKPKFSKILAHFRSSSSDMKELVFSLSNSKTAAQSDSGDTETDPSVTTAKSRAEP >Sspon.08G0011820-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8A:50981454:50983142:-1 gene:Sspon.08G0011820-1A transcript:Sspon.08G0011820-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSAGATKGERDLPEAEKRRPTGLGTVTVVFLLSLPLLILFFLFGDRAVASIAGDSLVWQRAGVQSTCSFRSLGYTHLMHGNGPALTVPFVRIAGSGNASSSPVNAHHDLLLGGLLSPDFDAATCLSRYEASKRWKTPPPFPVSSYLVQKLRQYESNHRKGADRAPRTTARPWRSSCPAATATAPNAGTWCGSPSRAWATGCSASSPPSSTRCSPAASSSSTNPPEMEGLFCEPFPGTSWILPPEFPYKDGFWVGSNDSYLRMLENNVIRYDDGGGGDASALPPVRLLPPGADTAPAPEAYLLRGGPPRARQVQLDGAPVRQLLRRGAVPHAHVPGGAGPDVPGEGVRVPPPRQVSPPPGEPGVGDRGEVLRRVPRRRRRASRHTDPHRAVPSAHVRDHVRADHPVHAGARPSATSDRHQRAGCPPPSNGMAAKVKAVLVVSLKPEYYDKLHSVYYTNATATGEVVTVYQPSHDQDQHTEARAHNERALAEIFLLSYSDRLVTTGFSTFGYVAHSLAGQRPWLLTLPDRTTQRAAVACVRPASGGAMPALAAVARLPGGTGS >Sspon.01G0012210-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1C:33181683:33182480:1 gene:Sspon.01G0012210-2C transcript:Sspon.01G0012210-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAASIALHPLCSAAAAVCPPVGLTAGVFGAGVALGATAAICPPLGLAAVFGAGAVLGAAFRVKATHAIATDFDALDDVASVASDAATGCLRVVKNAAYSAYEGAKRFARSACHGAKKEGGTERSSAKNKKEAPAAKPELEMDHGAAQHAGPDFWTVVSEAALFDVACSSANASGALASVDPSASQLLAARAAWRASEVMAVLETLKPLEEKLGANIPREAKSCICVMAAAAGVCLDVFKPPSGPVWDSGLRTRGAIAEPRLRGH >Sspon.03G0035700-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:80731106:80734302:1 gene:Sspon.03G0035700-2C transcript:Sspon.03G0035700-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLHVNTNTLVVGDHCVGHGSATLDSRNGGNMKTYSDVDRKLWIPASFNVRLHVDHLNSLRKLPKDFSLENFKESCGVHFILRWAVAYVHSRSSPAILAASALAGGLAIACALRRNYWKQS >Sspon.06G0012090-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:52780637:52785273:1 gene:Sspon.06G0012090-2B transcript:Sspon.06G0012090-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQGSQREQKNARLITRATLSSFTGEVMNIFALAQEETQRLAFKTGISQVFQIIDGRVGRGSRESPVTIRSSDASLETRSSKTSAETKNKIKGKNNPCLIGEPGVGKTAVVEGLAQLIAMRDVPETMQGKKVISVDMGCLLAGTKYRGEFEERLKNLLEEIKQCGNIILFLDEVHTLVGAGAAVEGAIDAANILKPALARGELQCIGATTTDEYMKHIEKDPALERRFRQVKVPEPTVDEATEILKGLRERYETHHKVQYADEALSAAAELSHKYISDRFLPDKAIDWIDEAGSLVRLRHAQPKLPKELEGFEAEIKKIMKEKCDAIRSENFKRAKELRDRELELKSQMTTLIGNDEVSPGMSAVPVVTKEDIRHIVSLWTGVPVHEVSTDETTKLLRMEEALHGRIIGQHEAVTAVSRAIRRARVGLSDPRRPIASFVFAGPTGVGKSELAKALAAYYYGSEDAMVRLDMSELMEKHAVAKLLGAPPGYVGHGEGGQLTEAVRRRPHTLVLLDEVEKAHPEVMNVLLQVLDDGRLTDGMGRTVDFSNTLIIMTSNIGGGSGDGSSSKEVVEEEMKRYFRPEFLNRLDETIVFRPLTRHDAKEIAAVMVSDVAARVRDDMGMELRVTERFMDLVVEEGFDPCYGARPLRRAVVRLLEDTLADKVLDGGIKAGDEVIVDADAAGNVVVVGRRDSRAMQ >Sspon.01G0039480-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:35080743:35082118:1 gene:Sspon.01G0039480-1B transcript:Sspon.01G0039480-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPRLLFARRLCSATASDPAGALTPSTISNAADLAAAAALRTPRPRLCSPHALPPSSGRGSIAPLPTLSVSTPPRPRPRPAPDTAPANVYPPLPGVDKFLLRLPPHLAADVAELLTSHLGIHLSLHAFNVASRSALCAGRPDLVFRLFSAFSSSPEFPGNATTVGLLARAYAAEGRPLDGLGLLRDAARRGSPPSAKAAADLIGAFAADGNFGKVSDTLHLMISTGCTPDKVIYQRVIHGLFRRRMGGEALRVFREIKQRGYQIDGITYCTVIHGLCEMRLIGDAQQMWDEMVDRGIKPNEYAYCSLVTYYCRVGDLEKARKVYDEMLAKGFKQTTVSCNILIKGFCVHGRVYDALEVFEEMSVKGIKHDVITYDTLIRALCKVGKLDSAIQMYEWLLSSGLEPTVSTFSPLIGAMCENGQVHAAVDLINLMRAKGLEPL >Sspon.01G0024460-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:88495888:88498193:1 gene:Sspon.01G0024460-2B transcript:Sspon.01G0024460-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIPYPFGIAGDGGSPFLSQGFQISCRSRRSAALSGPALPIGNRVFGILDISLLDGFVTIAANASSQQCKGNSSFNLEGTIFTYSDTRNKFTALGCNVVAMLLNGSSGYSGGCASFCSSKDNIVNGSCSGVACCQAPVPKGLTKMELEFNIISNKYNSTPPCGEAFIVQQNSYVFSSLDLDNKPQYRPVVLEWSIHGGSCEEAQQSTSYACRENTYCYNSLNGIGYRCNCSDGFQGNPYLPGPGDIDECTTRNPCTYKCVNTKGGFHCRCPAGMSGDGFKEGSGCNGVGTLTIAGVSEEAGMRHIETVAKLALRCIKLKGEEIPRMIEVAIELEALRRLMKQHFIMKSESLLHGSWCHEEMSIDAPSNFCLGDDGILGDESQEIILLPSN >Sspon.08G0001070-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:4028944:4033584:-1 gene:Sspon.08G0001070-1A transcript:Sspon.08G0001070-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSMKNEGNATAAACRRCHCGLANGMLVNASMAPHWGAGYPTARRREGGISTKADGGRRPPAADGTQARAPDFDDRLWAHFHRFNVRCRVGVELPRSIGFWRTDRFLSAIQQPKGPSRQFGRVHESTALDPSSIAPSPSSSSWRSHASSSHSSSSGVTGTRTERAEDVLVHMQLLDRAKSSETEDRPAFSVIVVQLCLLKVPPEVDATETDSAEPNSTEEGVRMLLNLEALVREASSRNLVSWMMAMLTQMLGDSAAAAALGPCSPRLRPTGGEEERDRIAGGCVVVFGDDMLFLERSRHVGLVLLEEGLDLLFPFYGIVFPAAGDATGGNGSALPAAGGDACGCRVQIPYSNFVDTCELWLHIRYSHHYYRASLGRLGFEEEHLPCRHPCSSAHVGHHLSSPGWTVFSVTPPRCRSRHMQREFEAPSGMDVAMLGPFGLNG >Sspon.05G0015130-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:58252126:58262803:-1 gene:Sspon.05G0015130-1A transcript:Sspon.05G0015130-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPPAAGADAKPLVLLSQPSDSGLTPVMEGQFRFVLAADADAATAAEARVLFVPGLRPVTAGLIDRLPALELVSTISVGLDHVDLDACRRRGITVTNAGATFSVDSADYAVGLVIAVLRRVAAADAHLRRGRWAMDGDYPLATKVSGKRVGIVGLGSIGSLVARRLAAFGCPVAYHSRTRKPAPCPYTFFPTVRALAAECDVLVLACALTEETRRVVDREVMEALGAGGVLVNVGRGGLVDEPELVRCLREGVIGGAGLDVFENEPDVPAELLDMDNVVLSSHKAVATAESIRGVLDAVSGNLEAFFAGRPLLSPVSL >Sspon.07G0010400-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7A:32135305:32136855:-1 gene:Sspon.07G0010400-1A transcript:Sspon.07G0010400-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AZG1 [Source:Projected from Arabidopsis thaliana (AT3G10960) UniProtKB/TrEMBL;Acc:A0A178VPT2] MANSIIPSTSSSGEHAAALPSATTKLGQLNAAVERSWVGRRFRLAARGTTFTTELRAGTATFLTMAYILAVNASILSDSGATCTVDDCDAPSPGCKFPPVDPGYAACVARARRDLIVATAASSVIGSFIMGAFANLPIALAPGMGTNAYFAYTVVGFHGSGTLSYRKALAAVFLEGLIFLLISIVGLRSKLAQFIPKPVRISASAGIGLFLAFIGLQSNEGVGLVGFSSSTLVTLGACPASQRASVAPVLTFPNGTVALMPGGTVSGGILCLSGRMTSPTFWLAVVGFLIIAFCLIKSVKGALIYGILFVTFVSWPRHTAVTAFPDTPAGDDSFHYFKKVFDVHRIQSTAGALDFSGIGHGYFWEALITFLYVDILDTTGSLYTMARFAGFVDDVTGEFEGQYFAFMSDATAIVFGSLLGTSPVTAFIESSTGIREGGRTGLAALTTAAYFTAALFITPVLASIPSWAVGPPLVLVGVMMMRAVAEVDWDDMRQAVPAFLTLALMPLTYSIAYGLVG >Sspon.01G0002440-1T-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1C:6836154:6838056:1 gene:Sspon.01G0002440-1T transcript:Sspon.01G0002440-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGADGRQAIFTEECSHTFHFYCISASVAHGHLVCPLCNAQWRELPSVRPSQPSSMPPTLPRQPLPRVELMHGVQQPPPPRQQPVPAVQSPSQPAEPEVFDDDEVELPSGEENQRQAAASSGTLAVKTHVEFSAVARDSSHNNFAVLVHVKAPAYEAAAGDRDAPRAPLDLVTVLDVSGSMHRNKLALVKQAMGFVIGSLGPHDRLSVVSFSSGARRVTRLLRMSDTGKSLATEAVEALRAGGTTNIAEGLRTAAKVLAERRHRNTVLVPPSFVPGTASTGELSAPIHTFGFGSDHDAAAMHVVAEATGGTFSFIENEAVIQDAFAQCIGGLLTVVVQEARIDIACGHPRVRISSVKSGRYESRVDEDGRSASIAVGELYADEERRFLLFMAVPTVEAADVGESETLLLKARCSYREAAGGTHVDVTAEDTVVARPEHAADAERSAEVERERARVEAIEDMAAARAAAERGAHQEAAEILGNRGRAMARAAERVGGYPNGALRAEVSRMRKRVANRESYERSGRAYVLAGLSSHAQQRATTRLLRPLQGVVQPSAGSVGGGEATNSVADAGDAEAVDMGKDKNLMGYFGVGAPAGEAGTSDAASAD >Sspon.06G0012830-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:67600798:67602659:1 gene:Sspon.06G0012830-1A transcript:Sspon.06G0012830-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLLRPMELCFAARAGTCQRAPTISLNKRRAGGSTVRCVATAPMGEKTEYRDGPLERAFMGLFARKMEKYATKKKQPQPEQPEKKAVWEWDYESFVDVSRRVMVGRTRAQQQEAVREVLLSMLPPGAPEQFRKLFPPTRWACEFNAALTVPFFRWLVGPSEVIEVEVDGVRQRSGVLIKKCRYLENSGCVGMCVNMCKIPTQDFFTNEFGLPLTMNPNFEDMSCEMIYGQVPPPLEKDPVFHVDAVCPGLSQTSGVGRTLLGDRWLEIEGFCTRKLVW >Sspon.08G0001720-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:3323983:3327794:-1 gene:Sspon.08G0001720-3C transcript:Sspon.08G0001720-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMDAGGGGVRSQGVNPDCPNAANPFHRCADYCPVPAPAANKAASAAAAPPGKPAPPRPRTTTVAQNGTAKHGDGAARVVPTADAEEEEEDRGEREESRAVNPGYPVSKASPPRQGPAPVLGYAAQNGTARRDDEEECEITVVDDSEEQGESVEDYGAEEVSGGRTRTSARSPATDGEVGGGRTRTSARSPATDGEAGGERQWQAVNPDCPNAANPFHRCAEYCPVPVPKVPPPPPRPRGYEGSTHSDPGELHPRPRRREKGGSGGLPLYVFLREGSDGDGKKVDPRCPNAPNPFHVCTDHCLAKIADAGRSSEGAKSPISLFSRHFRRSSSSSEEGSLKSPGSRKVDPKCPSAGNPFHECGEHCAAKMQQAEQHKGVNIKSPRRKGGKNAAVIPNWKVDPRCPNASNPFHMCAQYCFDHLSQTAQTSASKSDKRRGKDVSKDEQRGEINPDCGNASNPYHKCGDHCKRKG >Sspon.04G0005820-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:16481234:16483243:-1 gene:Sspon.04G0005820-1A transcript:Sspon.04G0005820-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ALHEKDKSAKASRQISRSKFFLVVLVCSFAWYVVPGYLFPMLTSISWVCWVFSKSVTAQQLGSGMLGLGLGAFTLDWSTVSSYLYSPLISPFFATANIFFGYVFFLYVILPIGYWGFNLYNAKTFPIFSSHLFMSNGTEYNIPSIVNSQFQLDTDTYDKNGKINLSVFFAVGYGFSFATIAATITHVGLFYGKEIYQRFKSSQKEKPDVHTKLMKKYSDIPAWWFHSLMALSIAVALLLCTVLNHEVQLQWWGLIFACGMAFIFTLPISIITATTNQANSPWTCPSDRVFFDASVIWGLVGPRRIFGPEGNYGALNWFFLIGLAGPVIVYAFHRMFPNQKWIPLINLPVLFGATAAMPPATAVNYNSWLLIGTIFNFSVFRYRKMWWTRYNYILSAALDAGVKFMGVVLYFSLTMQNKSIDWWGTAGEHCPLAKCPTAKGVDLEDGVCPVF >Sspon.05G0013720-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:5A:48669303:48672020:1 gene:Sspon.05G0013720-1A transcript:Sspon.05G0013720-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKCGHKNHKSKAHHQNRNMVPGVLDSKSPSPHRHAHFFLCSLFILLSSTTVPLSSAQTSNRSEDDRQALLSFKSGISGNSAGVLGSWSNESLNFCNWQGVTCGTALPIRVVSLELRSVQLRGKLSSCIANLTSIVKMDLSNNSISGEIPDEKGSLPGLQTLMLSAKRLEGNIPPSLGMAASNNSMLTTLILSKNNLSGEIPASLLSNPSKLIVVDLRSNSLSGAIPHFHKMASLQFLGLTGNLLSRSIPASLGNISSLTSIVLAQNNLRGSIPETLGQIPKLNILELSYNRLSGNVPDLLYNVSSLISFNISNNRLAGKIVSDIGRPLPNLVSLIMSGNAFTGEVPASLANISKLQVIDLSSNSLRSSLPSLGSLRYLNQLLLGSNNLETEYLAFLTSLTNCRQLLKITLDRNALKGSLPKSAGNLSTSIQWLNFSGNQISGTIPAEIGNLVNLTLLAMDQNMLSGIIPSTIGNLSNLFVLVLSMNRLSGEIPSIIGNLPQLNKLYLDDNMLSGHIPASLAQCTRLAMLNLSVNNLDASIPSEILSISSLSLGLDLSNNNLTGTIPPQIGKLINLGLLNVSSNKLSGEIPSELGQCVLLLSLQMEGNMLSGVIPQSLNTLKSIQQMDLSENNLSGYIPDFFENFETSYHLNLSYNKLEGPIPTSGIFTNSNAVMLEGNKALCQQIDIFALPICPITPATKRKINGRMLLITAPPVTIAFLSLLCVVVTVMKGRITQPSESYRETMKKVSYGEILKATNWFSPVNRISSSHTATVYIGRFQFDTDLVAIKVFHLDEQGSLNSFFTECEVLKHTRHRNLVQAITLCSTIDFENNEFKALVYEFMANGSLDMWIHPRLHQRSPRRVLTLGQRISIAADVASALDYMHNQLTPPFIHCDLKPSNVLLE >Sspon.01G0025990-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:91272674:91276162:-1 gene:Sspon.01G0025990-3C transcript:Sspon.01G0025990-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MYFPTEGSQYTPPHHSVNFFWKDYCPMVFRNLREMFHIDAADYMMSICGDDSLKELSSPGKSGSIFYLSQDERFVIKTLRKAELKILLKMLPKYYSHVRAYDNTLITKFFGVHRITLKGGRKVRFVVMGNMFCTELRIHRKYDLKGSTQGRSTKMQNINENTTLKDLDLSYVFHVDKPWRDALFRQISLDCMFLESQSIIDYSMLLGIHFRAPYHLKTSSSHQSSLERCGIPDSELLQYEEKSSWKGFLLVAHEPGTTVGGSHIRGSMVRASEAGYEEVDLVLPGTGRFRVQLGVNMPARARKVHEDMNTELENPNTIEEYDVVLYLGIIDILQEYNMSKRVEHAVKSLKFDPLSISAVDPNSYSKRFVKFLESVFPEQD >Sspon.01G0002360-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:6489819:6494816:-1 gene:Sspon.01G0002360-1A transcript:Sspon.01G0002360-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKLAFSQDSPPISIICAAKFVGLPLTIDPSLAAGSAPTLRFSSGESLQGVNPILLYIASSSSISCFSGKNDIEFGHVVEWLEYAPTFLSGSEFENACSFVDGFLVSRTFLVGHGLTIADIVVWSNLAGIGQRWESLRKSKKYQNLVRWFNSIDSEYKDTLNEVVAAYVGKRGIGKSPAPSLKEKVHDSKDPSAPEVDLPGAKVGKVCVRFAPEPSGYLHIGHAKAGLLNKYFAERYQGRLIVRFDDTNPSKESNEFVENVLKDIETLGIKYDVVTYTSDYFPKLMEMAESLIRQGKAYVDDTPKEEMRKERMDGIESRCRNNTVEENLSLWKEMVNGTERGMQCCVRGKLDMQDPNKSLRDPVYYRCNIDPHHRVGSKYKVYPTYDFACPFVDALEGVTHALRSSEYHDRNAQYYRILQDMGLRRVEIYEFSRLNMVYTLLSKRKLLWFVQNKKGASKNLNLMEWDKLWTINKKIIDPVCARHTAVLKDQRVIFTLTNGPEKPFVRILPRHKKFEGAGKKATTFANRIWLDYADAAAISKGEEVTVMDWGNAIVKEIKVESGVITELVGELHLEGSVKTTKLKITWLADIEELVPLSLVEFDYLISKKKLEEEDDFLENLNPCTRRENPALGDANMRNLKRGEIIQLERKGYYRCDAPFIRSSKPRTPSYKTTYHLAGRRHPPESQPAASQRPYSLQPHPSGPQPLRVLKQVATWLFSMQSPSRPPRQRPLDQTQAKKRAESCRLDEALLFQLLEA >Sspon.05G0035120-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:69233677:69236486:1 gene:Sspon.05G0035120-1C transcript:Sspon.05G0035120-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQFSLSNMSGMEAALGSGLSNVVGNKLGSLISSEFVAITGVKKDLSELHGVHAEITSWLSMLCDRAIESDQSLRWLMKLRNLLNDIYDLLDEVYLEDEKHRINRDHDKHAIAVWFCGKPKLLLFRWKVAHKIKAIKEKLVVQFLVLDDAWHEDRLDWKNFMVLLDNGAPGSKILLTTRTQSVAKVVESKAIFKLAFLSNIEARVFTSLKLSYVYLKDHLKQCFTFCSIFPKGCKINKDYLIEQWMAHGFIKLKKEELPQDIGNEYFDSLMRAGFLQDPVETWPQRSYILRNEVVTSLLKNMTTDCSQNGRYLSLTSCSGKVERALFYKVHAVYVSGGNPSFDNLVKKSFSVCSVVLDYAVDTPFPLFLLKLEHLAYLEIHNVSCPKLLEAISGCWNLQTLHLISCKGFVTIPESIGKLKKLQTLDPFGNCRDLQSLQLNYCGKLREIPSSVGRSIEKTKGASYNRVFFATTIQLTHSKLTWLSWSPRPTKHTFLSEASYSHFGVALLEIASGKRPASRQPNEASALLVWVRDLYDRLKVLDASDAKMNGEFDRQQMERVLVTGLWCAHQNPSQRPPIEQAMDVLRSADATLPVLPATRDPQRVRILEEQAYGDLTDEGASVDAVVATCTCYLTSKDDSAYLLAEE >Sspon.08G0026060-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8C:15967543:15969036:1 gene:Sspon.08G0026060-1C transcript:Sspon.08G0026060-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGLRFPMLATIVVITSAVDLRGSGSLLHGGAAFTVAACSTAACATASVATQAYAAARAARPRARECAGSGSGEIGVAGAGRARASEADMGELGCCGQAQWRRASPRGGRSARVAVAQGNFGSQRAGEPSRLASLAAAPVSTRGGQRARGQRSERESSGAVTDTELQSTAPYHILIHDSSFNTILRQATPNTITVLEKQWPSSSNFMVVLLIAVAVVSIPSAHGARTLETVEQHVNLPVVVASSPTRATTEITFDVPEEAVEAEGPTAPGPDT >Sspon.03G0018170-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:55767195:55772281:1 gene:Sspon.03G0018170-1A transcript:Sspon.03G0018170-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHCYLRQPISRAEAMPERRSRFWQMDAPPPPRAEVICPQPRRATRIPFAVETVNKASPKANGAFPLYRSDSTADILDLILSKNDSDGDSSSQVGFLCGSPPVRTNNPVIHDPQFGIRVPSFSPLGSSYGKAPTLRVEVGSPSCGVSSSPKVRIEGFACGNSETHYAVTFPEFCLKRCAKSSNSSRSKCCANRLPAPHGNERWYPNPEAVRQNEICPEVEWTDPEVEAIQVRSGEVNKAPVELAQADEHSGNEFVKPTDAYVRDDEKQVIHCKQ >Sspon.07G0036930-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7D:46286479:46287142:1 gene:Sspon.07G0036930-1D transcript:Sspon.07G0036930-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQYSGVALCRFGHPRVGDLNEAGACWGWGSKRVQRPMPPAHAAMAPLPTLPGTTPPLPFRILCARKTKGLVHKENINNDDVICSTKLAHENLKKNPNK >Sspon.03G0004440-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:29704048:29705202:-1 gene:Sspon.03G0004440-1P transcript:Sspon.03G0004440-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVRHIVRRRLSTVATTTPVPTPGSILNPSSPSTPLTSREKTRLAISLLKSSPPPPPDQILSICRAAALTPETHIDRIALSLAASKLSSAPDTLRDLASTVLTPRHAPHAIVLFGQANLLPDAISTFQSSPSTRSLNALIFACIVTGNHTEAARVFQTFPDAHGVKPNTETFNTIIKSFAESGTIRSFYSVFDEMCKKGLKPNATTFTTALAGFYKEERFDDVGKVLELMKKNGCGESLPVYNVRVQSLCKLGRSGEARALVDEMVKKGTKPSWVTYNHLIYGFCKEGNLEEAKRLYKEMGRKGLVGDNNFYFTLIHYLCKGDDFDTALGLYNEIAAKNWVPCFSTMKMLVNGLAGSSRIDEAKGIIEKMKEKFPDKTEGWKE >Sspon.07G0016630-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:64102552:64109837:1 gene:Sspon.07G0016630-2B transcript:Sspon.07G0016630-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPQESNSLCLKRKLVDDCLSKDCKSRRVKSENGPSFDSLAKRCNCCCTRPNLANDCVNFLKSGTPSRVMYYKKGSWLNFPEQIMKSLIDEFKGNKSSVVSVMDDEPILVDFLSMTLVNLKTRKQRSVAWFDDTGKRFFPSLFFDEESDEMDKRDSSNVDSTAQGIMLDKVANSPPEVVKQVVLESSPPVPQKPSTVDVLRKKITSVERGSESFLFVQKLFLSGMGPFAAPNNILHVHRYSPNDITAQCRFEAFERQMKSTKEARGDANVKYGWLGSRKSDIVRILINGLGTTANTVEKAGLSAGVYLSPENRAFTSVGLCDVDEKGVQYMLLCRVILGNVEAVEPGSQESFPSSEIYDSGVDDCSNPKCYVMWPSHLSTHIRLEYLVSFKLVPKVRNYLLDLKGLWFNPSLKEVGMDISTLRPVMCETGEEGPTSPWISFRVLFAVIQDNISSVSRELLFHHYEELKESIITREEMVKKMIIIVGEKVLLEALKKLHYCPSLWYKPSVEAVSSDSVMAAPEQLSLDKAGGDCSLTLRVNHADSHAPNAVSEHATILSTKRCDTLAADMVPKGQDCLAPSGVPETSSSAVAMCGASTSAEPKCRGPPVQMVPPGNSATSCAKNQDSFVGRVAPIVHEGLLRTISGSSSSPVREVCKSATPTTGCPGYASLAQANTSQTHGVSAPGVAPKGYESAVPSLSLGNSKSTGVKQLNSAPRMTPEGQKFLSLGIASRSPAPRDLVKCQGSSTLVAIPPVLAPGHGKSPSMKIEGHDSLVPSVKPSLAPSKALKLHEPVIADTSIKGCDSLALSITPNGHDGPASSNKTPKRHESAMVDTMPESSRSQGESVVTKAYGAPKPRTAEPKKEQAAVTGSQGKSSVAGLDASSHVTGAASALIALSTLRENCGR >Sspon.06G0004350-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:64123223:64124664:-1 gene:Sspon.06G0004350-1P transcript:Sspon.06G0004350-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPVAIGTAVFQRGPHPVDVKHVDHSQVPSVPKPLMVVTPTDAGDYPVAVFLHGCSMFNSWYESLLSHVASHGFIAVAPQLGGILPPLNMKDLKDIDATRQVTSWLADKQQGLAHVLTNILHLHGVRPDLSRLALAGHSRGGDTAFAVALGLGSSSDTATATATATSPASPLKFAALIGVDPVAGLSKALQLEPKVLTFKPRSLDLGMPALVIGTGLGPQARRLVAVRPPRASTTPSSTTSARRRGTTSW >Sspon.08G0006470-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:20260141:20265324:1 gene:Sspon.08G0006470-1A transcript:Sspon.08G0006470-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASYGSGDGGGPWTTVPVRSRRAEPLAPSPRGGSRGGGGGGGGDRVPGVGGLAEAVDGLEIGGDEERRLDKYDIPVEVSGEGAPAPADGFEAAGLAEAVLRNVARCGYDNPTPVQRYAMPIVMAGRDLMACAQTGSGKTAAFCLPVVSGLVAAPAGGGSGYAYGGGTGAPKPRALVLAPTRELAAQINEEAKKFSFQTGLRVVVAYGGTPMYNQLRDLERGVDLLVATPGRLVDMVERSQISLEAIKYLVMDEADRMLDMGFEPQIRKIVDMMNMPKKSVRQTMLFSATFPPEIQRLASDFLYNYIFVTVGRVGSSTDLIEQKIEFVNDGEKRGFLIDLLQKQSVGVANSKQPLTLVFVETKREADSLRHCLQTKGFPATAIHGDRTQQERESALKSFKSGATHIMVATDVASRGLDVPNVAHVINYDLPKSIEDYVHRIGRTGRAGKAGIATAFFTESNHPLAKGLLELMTEAKRDVPEWLVEYANRPCYG >Sspon.05G0008070-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:5A:24799055:24799582:1 gene:Sspon.05G0008070-1A transcript:Sspon.05G0008070-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRRVLGLAGWGSPWDLGTRRDHDYRRRRRTRTRTPGSRVPKGSSCERVRAGPRCHEMRGLSLGAHTSPGAGICAVSSRPQGCAGCQGMLFRCWLSGGCRGWRRRWRPRYSRDRNTAVCSWRMAEWATPGVMWPGVFPRGKRAASWPRPRAVGFQTCRRGGPWKLSALVSGEITK >Sspon.03G0014370-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:67078737:67079075:1 gene:Sspon.03G0014370-2B transcript:Sspon.03G0014370-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPARALPLLMLLALVALARASSGGVPAAASLGWDLGAVGAGEDEEFGFLPGTGDSVARRVLQGGGYLSYGALRRDNVPCSVRGASYYNCRPGGQANPYSRGCSAITRCRG >Sspon.02G0034500-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:8054569:8055489:-1 gene:Sspon.02G0034500-2P transcript:Sspon.02G0034500-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVQPADTAVKADEILARFRPIAPKPTLAAEGVVAANRVLCHLQSRPCRARKRGRPTVVPVSPPKSGSGAQSPAKRKRAATLYPPLPCTHASAVVPVSARLPLPSLPPASEGAEDHAKVAAVGRDVPVERDLLRKLLEPKVISPRAARPVCSAIHVGCIHRTDATCTAAASKTAVQVEAELEVDALPAVVSDSSNRVRLVNDAYKQMVGQPECPWLDAVSATSRRISGEVALVVADQSSLPETYGAFTCTAEIEWEDDGKLTSIAVPCDVSRLHCESRDYLFTWRFRTADADASVGHSSEEISES >Sspon.04G0015700-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:64506777:64509865:-1 gene:Sspon.04G0015700-3C transcript:Sspon.04G0015700-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSANMSKLKELLQRSENHICADCSAPDPKWASANIGVFICVKCSGVHRSLGTHISKEFLKPSLRIVSHHPSDSGKHAGSASHSNGSKSQVGMIEFIGILNVKVIGGTNLAIRDMSSSDPYVVLTLGQQKAQTSVITGNLNPVWNEELKLSVPQQYGPLKLQVFDHDMVSKDDLMGEAEIDLQTMIDAAAAFGDPELLGDIQIGRWLKSEDNALVRDSSVVVSGGKVKQGVALKLQHTESGELELEMEWMPLNQEYAVLSKVAG >Sspon.08G0016050-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:60617292:60620507:-1 gene:Sspon.08G0016050-3D transcript:Sspon.08G0016050-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding EMVFVWLTAFFLVVALIVLVIYQLMCLADLEFDYINPFDSSSRINKVVMPEFVLQALLSVLFLLSGHWAMFLLSLPLVYYNYTRYQRQQHLVDVTEIFNQLGREKKRRLFKQLTTGAL >Sspon.04G0015460-3D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4D:66796954:66804657:1 gene:Sspon.04G0015460-3D transcript:Sspon.04G0015460-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQELNSQLSESEKAGLKESVHHLLKWAWRYNKNLEEQAAQLHMLTGWSQIVEVAVSRRMSLFEDRSQLLFELLEASLNATTSPDCSVKMAYVLTNYCSSILDSDVPPSVLRFLLLEEQEGDDEDITLQKVLKEHNELAHANFSIIRKEAQAIVDLVTKDAVHGSEAGKAISFYVLDALISIDHEKYFLNQLQSRGILRSCLSDVTNYLSKNIRDRVGVAPIEEKLIQHRLRWFGHVQRRPPEAPVRSGVLKRADNVKSGRGRPKLTWDESIKRDLKEWNISKDLAMDRSAWRVAINGNPRAVSKIVKERIGEIDKKRSLITPVLRIVTSFTSLVDSADFLEVKNKIVREIVDFAKQHQSVFNSILRENMTGANLLTLEQLSMAVSILSKVSYGHNSDQQHPTLQMVSDLLNSVTLALERVGEEKYMLLNKIRDLNELSRKEVDEIIKVCMKQDCISPNDNIRKSASAKGLSSFSDELLPVLERLEHLKEVEDDDPVSMLDEMHRPREWAQHVLVLLPTTPCLRPCM >Sspon.08G0018250-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:5621036:5625553:-1 gene:Sspon.08G0018250-1B transcript:Sspon.08G0018250-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGIFEYWAYAAIAAVAHGKSECSVTDKVEGDESSLKGVVVRPGATVKCDPGEFCCHVSQIALQDSKGNEDVSVFVKVDGKEFPIGTLSGDKYPQYTTSLVFEKEFDGLAIDISDDESDEEVPQAIPLVPNADDGKSKESKCGVEKPAATESSKPKVSLEETKDHDKHKADVGGTDDDESDEYFVDSEEGESGDDENSSDEDDGESSNEDDDEDSPKSTKRKNRPAETPLKTPPGKKARITTPSMGKKPVSDDAKKSNHVHVATPYPSSKQVKMTRSIIDNSNQSTGYACKLCSKTFYSSVGLETHCKNVKNNIRINPKCRIRNDLNT >Sspon.05G0022290-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:7303618:7304010:1 gene:Sspon.05G0022290-2C transcript:Sspon.05G0022290-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRRQATAAATLAFLLLSVSAASSSSSPPALLTTAASRKVLHWKLGCPWDAVKFGACVGVLGAVGLQAGAQLGSKCCDVVQGLAAAEAAACFCTTVKETVLGIPTEWDVGVGVLASACKTELPDGFKCV >Sspon.04G0010270-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:31124504:31136074:-1 gene:Sspon.04G0010270-4D transcript:Sspon.04G0010270-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVPEAVALEIPAAEEGSPTPLARDQAEAPPGGGSGENGGKAPTAEEIEAKLRQAHLRRQQFHEALSSKARRSIKSPSGSSQEEDRRQLLEAKLVAAKQKRLSLLEKEQSRLAKLDRQRQAVKSDAEMRFEREREELGMKVESRVRKAENNRMQLLHARLQRRAALEERTKRYFKQRLTWENKYRERVRSAIQKCNAAEKRRVGLLESEKKRAQGRLLQVQLAAKTASNQRETERSKLKEQLEEKLQKAKQQRAEYLKQRGNPHGSMHSSSVKNGEFLSRKLAKCWRRFRTSRKTTVVLARAFDALGINQRSVVSMPFEELALCIESPAVLQTTKALLDRLESRFVFSQSSSSSQPENIDHLLKHLGSPKRSNAGRSKATLKKAVGNYDSSKLSRYSQRIALCAYMILGHPKSVLSGQGEQEKLLMESATIFVKEFELLVKTVLDALDGACILSPSVLDDATPGCSSYEESSSIVADLKKFRTQLVAFDKAWCAYLYHFVAWKAKDAKSLEDDLIRAACKLELSMIQTCKITNEGESDNLGGDLKAIRKQVAEDQKLLRERIQHLGGEAGIGRMESALSETRSKFFQAKENRSSVATTANVASPSVTCSSGQSNVSGTGENCNMDAEKTSRVVKSLFGASSSQYESSKGGKLMGNTATEKMHTENEQIVNEVLHDIHGSFVDISDGTGTVDGDFKLKVKETMEKAFWDVVADSMRRDMPDYGYLVSLVKEIREALEELAPTAWKEEISDNINLEILTQLLESGSQDRQYVGHILQYSLDKLQKLSSPAKEHEMKKSHDKLLGELIEDSESNYTDPNSFVLSVIKGLRFTMEELEALKAEVGRARIQLLEPIIKGSGGVEYLQKSFADRYGSPSDALASLPSTAWWISSLKDVVEEQWNEHVQPLVATLRTGHAVPGQLQSLISSMEGVQRESVPETFMLNWLRLRSVQSRFQQVIVLATSMLVLHQVLVSENPKITPPELESASLELFNVLTRLLDNFPDVGTEKIIEAMMYSSTSRSSPDHEMMDARKEILTRVFLRSLQTDDTIFKKVSQSVYCAFRAITLGGSGEKGRKLADASLRRIGATKLTARLVKAAEVLIKATMVSEQVHGPWYTQLL >Sspon.02G0005080-3P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:15481757:15488777:-1 gene:Sspon.02G0005080-3P transcript:Sspon.02G0005080-3P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAGTRIFCARCHLDRWGRRGGRRLRACAGGAPTREAEREREVGGEDPVAAAAVRHRDCGRDRAEEKEGTIGHVAHGKSTVVKAISGVQTVRFKNELERNITIKLGYANAKIYKCEDDRCPRPMCYKAYGSGKEDSPPCDVPGFENTRMKLLRHVSFVDCPGHDILMATMLNGAAIMDGALLLIAANESCPQPQTSEHLAAVEIMRLQHIIILQNKIDLIQESAAMNQHEAIQKFIQGTIAEGAPVVPISAQLKYNIDVICEYIVKKIPIPERNFTSPPNMIVIRSFDVNKPGSEVDEIRGGVAGGSILRGVLRVNQKIEVRPGIVMKDETGNIKCTPIYSRIVSLYAEQNELQFAVPGGLIGVGTTMDPTLTRADRLVGQVLGEVGSLPDVYVELEINFFLLRRLLGVRTKGTEKAGKVSKLTKGEILMLNIGSMSTGARVLAVKNDLAKLQLTAPVCTSRGEKVALSRRVEKHWRLIGWGQIQAGTTLDIKDFVSTNNESKNSAATIDHDGRGRGEAGDGEESDDADALRSRLGAGRKLHLLIRTGPLRPRPRAAGGASARLTSSRFPFPVGTRAHDAALLLLGRLGAAAAVACCRAPAPHQLHSGPARPDGDGPTGLGVPGRGPAAPGKGLMGRGSPPHATVTC >Sspon.01G0002190-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:6048459:6050684:-1 gene:Sspon.01G0002190-3C transcript:Sspon.01G0002190-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding NVSTRNLERVRSLKSNLTSLLAGVHKVRDEVEHLLDHNENMAQLHLSRKQIKSPQDEALLVSSALNCNFPSKTNLDIRNSVINQATGIAVVAPLDDDVGDLEMLLESYFMQLDGIRNRIMMVRGYIVDTEDYINIQLDNQRNGLIQLHLILIIVSFGISINTLIAASFAINMPQNGDYKKWLLAICWGHIIFLFVGHRCFVGICMEEQATRQLRSALDKSTKVVFAMPHDLKETGLVTSNNFGRID >Sspon.08G0002370-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:7075418:7078387:-1 gene:Sspon.08G0002370-1A transcript:Sspon.08G0002370-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable inactive purple acid phosphatase 28 [Source:Projected from Arabidopsis thaliana (AT5G57140) UniProtKB/Swiss-Prot;Acc:Q9LU72] MASSHLAALVSLLLPCLLALLLLRLAAVLDPDPDAAVPRVKAAAPLPSASAMTAPSRSSSVPPIRSPRVVSAADELISLSVAAAARWRTCTSATAPPRAAGTWARRRRRAVLGPQHHAVPRRVIEAERPDLIAFTGDNIFGGSATDAAESLLRAISPAIEYKVPWAAILGNHDQESTMTREELMTFMSLMDYSVSQVNPPGFLVHGFGNYHIGIHGPFGSELVNTSLLNLYFLDSGDREVVNGIKTYGWIKESQLAWLRATSLELQVRGLWYSGFKGQYQEGVACSSVNSGVLGTLISMGDVKAVFLGHDHLNDFCGNLNGIWFCYGGGFGYHAYGRPHWPRRARIINSKLKKGQWLWMEVESIQTWKLLDDEKLSKIDEQ >Sspon.07G0023560-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7B:17906121:17911160:-1 gene:Sspon.07G0023560-1B transcript:Sspon.07G0023560-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAWRVPAGLPLFLPQSFPSHNCASHLSSPSLLLLPHLEHPPLPRSSPRRQWRTRGLLMGVSRPMGTLSPTSRLLMANSLPSSSRGSTFPAPGWTPATSLLPVPRPSRPRHHFFPRSTPAVTPHPSPLPLPPPEPRPRRGRPECGRPPSCGSTSAKRPMPWLARSPRLSGYSPTPGARTLGPTPPPRRVAACLTPPSSGTTRPTRSWLSCTTRRGGVQNIRLLVPVVLEPESPSYARWRDLVLLTLRRYALDDHVLVDTMVAVQTPSWLRLDSIVLSWILGTISLDLHDLVRTSPDACRAWLALEGQFLGNTEARALRLDASFRTFVQGDLSVSEFCRRMKGMADSLGDLGWPVEDRILVLNVLRGLSDRYAHLRTWITRQRPFPTFLEVRDDLVMEELTQGLQPGSTTSSSSSTALAATSPRPPAPPRSSAPPSSSLLGPPPSGPSGEPVVRSHLHVALPRPRRRYSPTGGHAHRSSACRCFTWLLPGYAVGSTLRDSTGGAGWDQAALARSFSTMSLTPPVGPEWIADSGATYHTTPDPVGHRLRLPCESGPLVLRRGPVFSSRCRPGAFVPRFCPGGSCRLPTPDPVSAPAPAPPSRFAAPVRVYQRRLRPPPLVVPSPPGTPTPPPLSPPARGAPPVYHPPLLHRHPRHVHPMVTRHAAGTLPPRALEASTGDAVVSPVPSSVRDALLDPHWRRAMEEEYAALLANQTWELVPRPPGANVVTGKWIWTHKRRAGGSLERYKARWVLRGFTQRPGVDYDETFSPVVKPATVRTVLSLALARSWPVHQLDVKNAFLHGLLTETVYCSQPAGFVDTTRPDMVCRLNRSLYGLKQAPRTWNHRFAAFLQTLGFVEAKSDTSLFVYHHGADTAYLLLYVDDIVLTASSEPLLRRIIAALQQEFAMKDLGELHHFLGVTVEHRPAGLLLHQRQYTRDILERAGMSDCKPCSTPVDTQGKLSEAEGPPVADPTAYRSLAGALQYLTFTRPDITYAVQQVCLHMHDPREPHLMALKRLLRYLRGTLDYDLLLHRASSTDLVVYTDADWAGCPDTHRSTSGYAVFLGGNLVSWSSKRQPVVSRSSAEAEYRAVANGVAEAAWLRQLLVELQTPPSRSTLIYCDNVSAVYLSTNPIQHQRTKHVEIDLHFVRDRVALGEVRVLHVPTTSQFADIFTKGLPSSTFAEFRSSLNITGG >Sspon.07G0001670-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:2735978:2738741:-1 gene:Sspon.07G0001670-2C transcript:Sspon.07G0001670-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEAEADDGSGSGGRKELDPRSLPINNIRRVMRSAVPENRKITKDAKESVQECVSEFISFVTSESVPGAPARDPRDPASHKCKEEGRKVINGDDIIWSLGTLGFVEYVEPLMLYLKKYREGGTKGSKSSDDQNGKKHNLLNGDPASSFDGMWDGGWWK >Sspon.08G0020400-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:20796386:20798416:1 gene:Sspon.08G0020400-1B transcript:Sspon.08G0020400-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEDPEYEEDPEEIEIYEDDEDMDDAHAEEQIAEQNENTNDREVKPEEVATADAGNNKSTTQPEVENITNIHEKAASIEEKQSVAEKGDSVEGGEKVVSKEVKPAKDEVVDKDLLQAFRYFDINRAGYLKVDDLKCILHNLGKFLSSREVKDLVQIALVESNSARDNRIIYPKLVKIADL >Sspon.01G0050380-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:912776:917062:-1 gene:Sspon.01G0050380-1C transcript:Sspon.01G0050380-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRGVSYVSATQLVSMASDARVAIVDVRDEERGYDGHIAGSHHYASDTFAERMPELAQATGAKETLVFHCALSKVRGPSCAQLFHDYLSEAKEDSGVKNIMVLERGFNGWELSGRPVCRCKDTPCKGECSLGGLIPQAEDSKAPILADRDRTMTEPSLIEAATNVLGVHLHLASEEGKDVPLSLLWLRCASSTQPTKHRNKLSPEKVGSFSPDTLTMGWKQAPKSWEATKTWSAPAERFQYGIRARTRSTASASRPRACDSEGKPLGVEKLQET >Sspon.05G0031830-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:10212175:10212980:-1 gene:Sspon.05G0031830-1C transcript:Sspon.05G0031830-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFSVRKGWWEKTAKSSNRSRVYVCSREGFRSKNDTKRPRSETRVGCPARIAIKVTPSGKYRVTEFVEDHNHQLAAPFDIEMLKSQRVLTKVRPGSQNASNIPPGYKNYLRSRSTKDMKSGDLRILMDYFRRMKSDNPSFYYAIQVDENDKAANVFWADASFEGSSGSYLAWYYSPFLCVANIPEHC >Sspon.03G0022760-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:54995615:55001905:1 gene:Sspon.03G0022760-4D transcript:Sspon.03G0022760-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLPLQEPLIKTPPRVWTARAVDDDWIPRRSDRLAAKSAFRDPQPEKQARRVMLNKWAGRPDHVVTNTPDATISTKFHETFGDPISSSKREALREIFPMRGAGLVVWNARGLNNIGCSGGYYAAMVPFGVDGAMGPWPWPLGCTSRLQFVVGQPAPPPRPSARRLQPCGKDSSTFRLPVGCFPCRGGTRPAASLQRERRSTGDAQRETHTHSWLAALLPASSCRPAPVPLPGALLSSYSCLCGLAPGFYRRPKLRLVRAHSSLLSFRATGPCSPAALNAQGSAVEPASRRSTCAVLCCFCPVLTPLLFTALLSSRWPARQGGSLSSAVAILIYISPFLSLLVHCSLLRLAASACEVLLSVPCVGGGELEGRGGDHGPETPGTAASSSASASRPTSPSHHQESGEASAFALQAAKGSNGSKARLGHDSSRGAGGGVAAGAVVPEEPGLVVLQAVAAVVVQDCGGAMDGAHKGGLFVKINMDGVPIGRKVDLTAYGGYADLSAAVGKLFRGLLAGTYARPIPVRLLQERRRRTAYVATCFSVRDPAATAGGEEAEEVEEPVIGGDYTLVYEDDEGDRVLWKRHQDPPPTPTCTTQHVRVTMVNFIRIRISRARDVRGHRQEAARAQELRLLACPDRPFRRAKLSLGKRTFSHLMSRKRAAADC >Sspon.05G0021220-2P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7D:76799034:76800371:-1 gene:Sspon.05G0021220-2P transcript:Sspon.05G0021220-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MESRGKTLVERYEMGRLLGKGTFGKVHYGRNLKSNQSVAIKMMDKDKVLKVGLSEQIKREITTMRLVAHKNIVHLHEVMATRNKIYIVMEYVKGGELFDKIDKSGKLTEAAAHKYFQQLISAVDHCHSRGVYHRDLKPENLLLDENENLKVSDFGLSALSESKRQDGLLHTTCGTPAYVAPEVISKIGYDGAKSDIWSCGVVLFVLAAGYLPFQGPNLMEIYRKIQHGDFRCPSWFSHKLKKLLYKILDPNPSTRISIQKIKESTWFRKGPGEIRAVKEKILSENATTNAAPVLATRRKKIAHEDMKPLAATNLNAFEIISFSTGLDLSGLFIKKECRKETRFTSDKPALAIISKLEEVAKALNLRIRKKDNGIVKIQGRKEGRNGVLQFDTEIFEITPSYHLIEMKQTSGDSVEYQKLLEEDIRPGLKDIVWAWHGDDLQQKQE >Sspon.02G0027690-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:100320507:100322182:-1 gene:Sspon.02G0027690-1A transcript:Sspon.02G0027690-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AMATSFQGTTTKCTACDKTVYLVDKLTADNRIYHKACFRCHHCKGTLQLANYNSFEGVLYCRPHFDQLFKRTGSLDKSFAGTPKVVKPERNVGNQNATKVSSAFAGTREKCVGCSKTVYPIEQVTVNNTMYHKSCFKCCHGGCTISPSNYIAHEGKLYCKHHHIQLIKEKGNFSQLENDHEKTSQAGSLEDEEEY >Sspon.06G0007540-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:27000970:27002675:1 gene:Sspon.06G0007540-3D transcript:Sspon.06G0007540-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDLQARMELKRKRRMESNRESAKRSRQRKQQHLDDLNSQVDKLRTTKQQLMTALNITTQNYAAAEAQNSVLRTQMMELESRLCALREIICYMNANHVANAATTMNAHPATIMSGAANYDTFGASAPAWNSGIQMVQQPIDHLLYQCF >Sspon.01G0001320-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:2929054:2930978:-1 gene:Sspon.01G0001320-2B transcript:Sspon.01G0001320-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding CILNSDIILVTLFFSLFSVQKTIERYRTYTKDNVSNKTVQQDIEQVKADAEGLAKKLEALDAYKRKLLGERLEECSIEELHSLEVKLEKSLHCIRGRKTMLLEEQVNKLKEKEMNLRKSNEDLREKVMAGKHFTAMGRRCMRHANNPTTGFDYTAYLDLP >Sspon.02G0032820-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:778863:779366:1 gene:Sspon.02G0032820-1B transcript:Sspon.02G0032820-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKGSLAFAVLCVALALHAAKAARTVPVPVPGVSSTSPGSTASFPVPAAAAAATGTKNGHNTGSGAGVADKKNLFVGVGGMGDLPGFPAVGAGYGGGFGNNGGGVFSGVTGPLGGVGVGVGGVGPMGGVGGFGPLGGGGVPFGGFGGGGNPFGGGYGGGGAGGVTP >Sspon.05G0007280-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:22670214:22676516:1 gene:Sspon.05G0007280-1P transcript:Sspon.05G0007280-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPEHPPQASSEPTTPPQEGSGRTKKKNKKNRPKKQPKRAAAETGGFSSGASTTVEDPFLVLAGGKEGGFLDLEEIDEADFGIFGSVLDDVGQGVEEAGKDHGKKNKKKKRRSGSVGVMTMASVSTNEQVDSEKADEKAEDREKGEKKGKRKRNRKKRKVKDNETSRESDEDVASDNAEDMQDDTENMEQDRDDELILGEDDVSAWRELRLHPLLLKAMHRLGFKEPTPIQKSCFPAAAHQGKDVIGAAETGSGKTLAFGLPILQRLLEEREKAPRLHQEGEKMEECSGGSPLRALILTPTRELAKQVCDHLKDAAKFLGIHVVPIVGGLSMEKQERLLKKKPEIVVGTPGRLWEHMSMNNQHLVELHSLSFFVLDEADRMIERGHFRELQSIIEMLPLTNGSDEQVAKTMPNCETVPILQIKKRQTFVFSATLALSSNFRKKLKRGLSTSKASTPDDVSSIEALSKQAGMKPNAEIVDLTKASILPEKLEESFIECSEEDKDAYLYYILSVHGQGRTIIFCTSIAALRHISSILRILGINVLTNHAQMQQRARMKVYIHRSGRTARKSLAGCSIALISPTDKSKFYSLCKSLSKENANKSWLQRNAESMGLILDASDSEEERVQGHKKRKATSAHLQKLQQELSDLLQRPLQPKTFSRRYLAGAGISPLLQKQLEELAKRNASNNSSKSNKGSGFVIGQDRVEPLQALQNSGQEVCVNIDKQREKRRVAENWRRKKHEEKKRTREQKRKDKRKAKEMA >Sspon.06G0026190-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6C:74826382:74826849:-1 gene:Sspon.06G0026190-2C transcript:Sspon.06G0026190-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAADALAGEAATDNGGAVTKVKMAEMPVEFLRWVLAQDKEDYRVPTLEDYTQEELAEAKDTICTVIRCLQISYDEFDEFRAWVGDVIEDNGRVMIHEDMLFSDPKEWQESVDQEWAEARQELEMELTRPLGPHLYMLSTYLSLLYHYAGPIPTF >Sspon.05G0026960-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:48252491:48267456:-1 gene:Sspon.05G0026960-1B transcript:Sspon.05G0026960-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMRSAARQAILSPPLGPRGPGPFLCGRSMPVAPRVEPCRAASSATAPAAGKHLPPLFSVAPMMDWTDNHYRTLARLISRHAWLYTEMVVAETIVHQKDNLDRFLAFPEEQHPIVLQIGGSNLENLAKATELANDYSYDEINLNCGCPSGKVAGHGCFGARLMFDPEFVGDAMSTIAANCDVPVSVKCRIGVDDRDSYEELCEFVDKVVSKSPTRHFIIHARKALLSGLSPAENRKVPPLKYEYYFALLRDFPEIKFTLNGGITNVGQVSASIRQGAHGVMVGRAAYNNPWNMLGHVDGEIYGKQTRCISRRQILESYQAYGDSIIDQYGPSRPNVRQVVKPLLNLFHSEPGNSLWKRKADSALRHCKTVKTFLEETLDAIPDSVLDKPVNREPSSDEGYFGNVDSLLPPKYTTPINCSYESLELVAAST >Sspon.02G0054110-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:110277674:110279079:-1 gene:Sspon.02G0054110-1C transcript:Sspon.02G0054110-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GMFKFISKNMKSGGFSGTKRYLGDLGKTVKTASSNALFAAVTEISDSAVRGAETNGLNGMVTGFHQGILRLAMELSVLGQAIMEGGPDRKIKLDHSPGLDELYIEGYLQAMLDVMYKQEYLRVRVIDDQDILKNLPPNSALINEIVDNVKSFLVSKALLKGDSSTVRPLRHLRNEPEWRIAPTVLTLCEHLFVSFAVRVLHREASKAISGVMSRVKKPTVDEGEGDSSPSDGVLCKQNKLWTVGRFAVSGMVAYVDGRLCRHIPNRIARRIVSGFLLSFIENRGSE >Sspon.03G0032410-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:37584434:37588791:-1 gene:Sspon.03G0032410-1B transcript:Sspon.03G0032410-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospholipase A1 PLIP1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G61680) UniProtKB/Swiss-Prot;Acc:Q7Y220] MVASVAAAAAAAAAGPRRHGGSRREPATMHAGIRRSRSDPHLRCPRRGGAAGAALTTSRSIGVFPFQFGAAPLRPPPLPDGGGDGSRLLTVADADDPPPEPEPEMPAARRPEQAHWLDRLLELRSRFHDPTKRDVLDDEDDDEDLYRLDGADHHDGGCGVSYEDDGEEEAEDARWDRDSFAKLLARAPLGEARLFAQLAFLCNMAYVIPEIKVEELKKHYGLRFVTSSLEKKAKAGIISAKLDADSTRPRTAPAYEVASGPQPRRPIRSSHLAYEVAASAASYVHARARGLLSFGATPPPQQQQAGQQGRLYNSGVAAYMAASTVTAVVAAEDEARQEAARDLRSPLSSPCEWFVCDEADARTRCLVIQGSDSVASWQANLLFEPTEFEGTGVLVHRGIYEAAKGIYEQVMPEIEAHLRAHAGARLRLTGHSLGGSLAVLVSLMLLARGVVTPDALHPVVTFGAPSVFCGGNQVLEALGVGEAHVRSVAMHRDIVPRAFSCRYPGHAIALLKRLNGVLRTHPCLNTHKALYTPMGATYILQPDSGVSPRHPLLPEGAALFRLDPDEAGQRGGSERPARALVASALRAFLNSPHPLETLSDLSAYGAGGAILRDHESSNYFRAISALARAPPRRRKQPEIVWQLPGVERLQQYWWPGIAGTVIPAPVAVSNKELVSEA >Sspon.03G0001400-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:9376028:9391386:1 gene:Sspon.03G0001400-4D transcript:Sspon.03G0001400-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGNIGKIPIIGDITGSNKNAHLKGNVVLVRKTVLGLDVTSIAGSIIDGVGEFLGRSVTCQLISSTVVDPNNGNRGKVGAEASLEQWLLNPPPLLSGDNQFRVTFDWEVEKQGIPGAIIVKNNHASEFFLKTITIDNVPGHGTIVFVANSWIYPQSKYRAECLDMNTFFSLTYLPSQMPAALKPYRDDELRNLRGDDQQGPYQEHDRVYRYDVYNDLGLPDSGNPRPVLGGTTELPYPRRCRTGRKPTKTDPSSESRLTLVDGDVYVPRDERFGHIKKSDFYGYAIKALVNAVIPAIRTYVDLSPGEFDSFKDIMKLYEGGIQLPKIPALEDLRKQFPLELVKDVLPVGGDYLLKLPMPQIIKGRSSLRANDAELIFNLVVKYGYQFHLHYSGYAEDKTGWMTDEEFGREILAGVNPMIVKRLTEFPPRSSLDPSKYGDHTSTVTEAHLENKLEGLTVQQALDGNRLYILDHHDNFMPFLVRINSLEGNFIYATRTLLFLRGDGTLVPVAIELSLPELRDGLTTAKSTVYTPTSTTGAEAWVWHLAKAYANVNDYCWHQLISHWLNTHAVMEPFVIATNRQLSVTHPVHKLLLPHYRDTMNINSNARQMLTTVFPRQYAFEMSAVIYKNWNFTEQALPDDLIKRGMAVADPSSPYKVRLLVEDYPYASDGLAIWHAIEQWVTEYLGIYYPNDGVLQADVELQAWWKEAREVGHADLKDAAWWPEMQTVAELVKACTTIIWIASALHAAVNFGQYPYAGYLPNRPSVSRKPMPVPGSDEYAELGKNPEKVFVRTITSQFQALVGISLLEILSSHSSDEVYLGQRDTPEWTSDAKAQEAFRRFGTRLTEIESRVMTLNADPRLKNRNGPANNKNAHLKGNVVLMRKTVLGFDVTSMASAVIDGVGEFLGRGVTCQLISSTVKLTLTLTGARARADNGNRGKVGAEASLEQWLLQPPPLLSGENQFRVTFDWEVEKHGVPGAIIVKNNHASEFFLKTITLDDVPGHGTIVFVANSWIYPQYKYRYSRVFFSNDTYLPSQMPAALKPYRDDELRNLRGDDQQGPYQEHDRVYRYDVYNDLGNPDGGNPRPVLGGSKDHPYPRRGRTGRKPTQTDPSSESRLTLTDGDVYVPRDERFGHIKNSDFYGYTIKAFADGLVPILQGYFLGLEFNSFKDILQLYEGGIKLPDIPALEEFRKQFPLQMVKDLMPAGGDYVLKLPMPKIIKDCTVANESFLASAKCLLHYIPVNVNAEDKKAWMSDEEFARETLAGVNPLIIRRLTEFPPKSTLDPSKYGDQTSTITEAHIAGSLEGLTVQQALDSNRLYILDHHDHYMPFLIEVNSLDDNFIYATRTLLFLRSTGTLAPVAIEMSLPELRDGITAAKSTVYTPVPVTAGAEAWVWRLAKAYVNVNDYCWHQGISHWLNTHAVMEPFVIATNRQLSVTHPVHRLLLPHYRDTMNINALARQKLINAGGIFEMTVFPRKYAIEISSKVYGSWNFAEQALPDDLIKRGMAVPDPSSPYKVRLLIEDYPYASDGLAVWHAIEQWVTEYLAIYYPNDAVLQSDVELQAWWKEAREVGHADLKDEPWWPKMQTVAELIKACTTIIWIASALHAAVNFGQYPYC >Sspon.01G0030120-1P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1A:104726021:104729361:1 gene:Sspon.01G0030120-1P transcript:Sspon.01G0030120-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPLPARTPADGGWMPAMRAALLDLQGGRPAALPVPCGLLARPPAMRAACTTAHCTRLVHLAPARLAEGASSSPLIRSRRSCISLAVRSSRACVSHASRPLAEVARWRVSLSSSPCGFMTHKIQPSSVQQACPSGTGRRAWQCEAQPSPAGARLAARPGDAHSSGGAPTWAPRVNPGTACHVTETLARRDDPTAPPPPTSTTPPLPTSSSSASRYGGAIDAPSARGRSSHVAVTPTDSWLPAAGGPSPAAAFLPSASRNSHLCSGLRAPQLLPFKSSSAFRIRPCLPPFPSLRVLIAVSVQPSFPPLPSLVCFLPSPPLACETSPLPFRLPVSVTRIRWEWDGTSKKPRFVYSLPSHHLPSASSFKKPALFSIGSLSISLLGQKSKAFLFRPWSFLVWVAAGHKPLHPAGAGAGVTCPARLLRSIGSSDRVGSRDLARLRSTGGVGDPMANLPALRPWLCLLAAMAAVSAPWPPADALGMNWGTQATHLLPPKIVAQLLRDNGIKKVKLFDADQETLSALAGTGIEVMVAIPNVMLDTLTDYDTAKEWVRHNVSRYNFDGGVTIKYVAVGNEPFLAAYNGTFDKVTFPALQNIQNALNEAGLGDTVKATVPLNADVYMSPKDNPVPSAGRWRADITDLMTQMVQFLSNNSAPFTVNIYPFISLFLNDDFPVNFAFFDGDATPLVDPGTGVSYTNVFDANFDTLVAALKSVGHGDMPIVVGEVGWPTDGDKHATSAYAQRFYNGLLKRLAANAGTPARPNQYIEVYLFGLLDEDIKSVAPGNFERHWGILRYDGQPKYPMDLSGQGQNTMLVPARGVEYLPRTWCVVNTNAAADAMDKLADNINYACTFADCTALGYGSTCGGMDSNGNASYAFNAFFQVQNQKDEACGFQGLAVPTQTDPSTTTCNFTIQIATTSAGHRRPLGVAVFVVLAQLVLLLLLLH >Sspon.01G0018800-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:69826647:69828272:-1 gene:Sspon.01G0018800-1T transcript:Sspon.01G0018800-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGGDGLQVLSALDAAKTQWYHFTAIIVAGMGFFTDAYDLFCISLVTKLLGRIYYTDTSKENPGSLPPNVAAAVNGVAFCGTLAGQLFFGWLGDKLGRKSVYGMTLMLMVICSIASGLSFGHTPTGVMATLCFFRFWLGFGIGGDYPLSATIMSEYANKKTRGAFIAAVFAMQGFGILAGGIVTLIISAAFRAGYPAPAYKDDHFNSTVPQADFVWRIILMLGAAPAVLTYYWRMKMPETARYTALVAKNAKQAAADMSKVLQTEIVDEQEKLDEMVTAESNTFGLFSREFARRHGLHLVGTATTWFLLDIAFYSQNLFQKDIFTAINWIPKANTMSALEEVFRISRAQTLIALCGTVPGYWFTVALIDVVGRFAIQLMGFFMMTVFMLGLAIPYHHWTTKGNHIGFVVMYAFTFFFANFGPNSTTFIVPAEIFPARLRSTCHGISAAAGKAGAIIGAFGFLYAAQNQDKSKTDAGYPAGIGVRNSLFVLAASNMLGFVLTFLVPESKGKSLEEMSGEADDAEEEAVGTRAVRPSETQMV >Sspon.01G0034800-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:5496225:5497181:-1 gene:Sspon.01G0034800-1B transcript:Sspon.01G0034800-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LSATALHCAASVAALSNAPPTSSRLHSACRHLLRAKAWQPVFLPLHRASSLATGFSNARTRRSLLHRSSELRATMFRRYVQRVMRAWPRRWGKGRGEPGAGRWGTRVSGPVDGACSSSSGLLGPAHAKRGGGLGEGVAGAGEVCVWGKAKWLGAGYTCGAGRGEEDGGQTCACSSDTATG >Sspon.06G0002460-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:8014661:8018461:-1 gene:Sspon.06G0002460-1A transcript:Sspon.06G0002460-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEDFEMPPAGADEMMGDDEMGDFGGDEGPVLKVGEEKEVGKQGLKKKLLKEGEGWETPEVGDEVEVHYTGTLLDGTKFDSSRDRGDPFKFKLGQGQVIKGWDQGIKTMKKGENAVFTIPPDLAYGASGSPPTIPPNATLQFDVELLSWTSVKDICKDGGIFKKILKEGEKWENPKDPDEVLVKYEARLEDGTVVSKSEGVEFTVKDGYFCPALAKAVKTMKKTEKVLLTVKPQYGFGEKGRPAAGEEGDVPPNATLVIDLELVSWKTVTEIGDDKKILKKVLKEGEGYERPNEGAVVEVKITGKLQDGTVFTKKGHDKEPFKFKTDEEEVIDGLDRAVLNMKKVALVTIPPEYAFGSTESKQDLAVVPPNSTVVYEVELVSFVKDKESWDLNNEEKIEAAGKKKEEGNALFKLGKYARASKRYEKAAKYIEYESSFSEDEKKQSKQLKISCNLNNAACKLKLKDYKEAAKLCTKVLELDSQNVKALYRRVQAYIQLADLELAEADIKKALEIDPNNRDVKLEYKTLKEKIKEYNKKDAKFYSNMFAKMTK >Sspon.06G0029860-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:36288608:36288878:-1 gene:Sspon.06G0029860-1C transcript:Sspon.06G0029860-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LLSTLAPSRPRSRAGRSRAGGHRRWGGRTSCPLEARRRPRSRKLKPSLKNRVPGTRYGGRPRSPQPSQAAAVGVHG >Sspon.01G0013160-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:38563330:38564834:-1 gene:Sspon.01G0013160-3D transcript:Sspon.01G0013160-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQHKDPTNRAASDGLPDGVAPRKKTNVRFAFACAILASMTSILLGYDIGVMSGAALFIKEDLKISDVEVEVLLGILNLYSLIGSFAAGRTSDWIGRRLTIILAAVIFFVGAFMMGFSVNYPMLMAGRFVAGIGVGYALMIAPVYTAEVFINFGILLGYVSNYAFAHLSLKLGWRLMLGIGAAPSVVLALMVLGMPESPRWLVMKGRLADAKVVLSKTSNTPEEAALRLADIKEAAGIPADLDGDVVAVPKRTGGEERVWKELILSPTPAVRRVLLSALGIHFFQQSSGIDSVVLYSPRVFQSAGIADKNKLLGTTCAVGVTKTVFILVATFTLDRFGRRPLLLTSTGGMVVSLVGLGFGLTVIGHHPEGTTIPWAIGVCIASILGWWPSSPSGSAPSRGSEIFPLHLRALGCALASA >Sspon.04G0004970-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4A:14357147:14358484:1 gene:Sspon.04G0004970-1A transcript:Sspon.04G0004970-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VFGYEPHSVLPIAVGILGDLVGFMPLPKMKILASSAVFYTPFLRQIWTWLGLAPASRKSFYSYLGAGYSCIIVPGGVQEILHMDHDSEVAFLKSRKGFVKIAIEMGCPVVPVFAFGQALKHATNEKLSVTNFRRLANVNPEMTPIPFATPMHVVVGRPIEVVKNPQPTIEEINEVHRQFVVAMQDLFEKYKTRTGYPDLQLRVL >Sspon.05G0019190-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:84144898:84149685:-1 gene:Sspon.05G0019190-2B transcript:Sspon.05G0019190-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRLPTYAAFSLLATASAAYYAFSSREQFYPAMVYLSTSKICFVLLLNTGLVAMCVAWQLARRLFLGSLREAEVERLNEQAWREVIEILFAVTIFRQDFSVSFLAMVAALLLVKALHWLAQKRVEYIETTPSVPLLSHVRIVSFMVFLLTVDCLFLSNSLRSLIQKREASVAIFFSFEYMILATSTVSTFVKYIFYVSDMIMEGQWERKAVYTFYLELISDLVHLKITSNMNERFPDATTEELDASDATCIICREEMTTAKKLLCGHLFHVHCLRSWLERQHTCPTCRAPIIPADNGRAASARQHGAQAGGQPGTATPSSEGAPGENMSRRQAKLEAAAAAASLYGRSFVYPPANTLNRSSPPQFTSSTPQSEASSSNQSQEDQEMQFRNSNDGLVPLPFNANGAVGSGTSTRDLENSLQKAQENFIKSQIQLNRVNVET >Sspon.07G0014210-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:56690625:56695142:1 gene:Sspon.07G0014210-2B transcript:Sspon.07G0014210-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEAVPALATGQASTDAVTEHPVNVYIWDMDETLILLKSLLDGSYAGAFDGLKDREKITEIGKRWENLILELCDEHFFYEEGLEKILDQHMVKVCNDQYSLTDKYTDGWLSSAHKLLEEALGKSASALTANSSSINCIVTSGSLIPSLAKCLLYRLDDVVSSENVYSSWEVGKLQCFKWIKERFDGPNVRFCAIGDGHEECTAAQVMKWPFIKIEFRPEAHNRFPGLDMPTVQTYMDVIYESSSKDG >Sspon.05G0017000-4D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:5D:66492324:66493325:-1 gene:Sspon.05G0017000-4D transcript:Sspon.05G0017000-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRVCRGWRSAAATPAFASARAQAGANEDLVYLMQFGNPSAADDGPKDDDGPANTPAYGVAVYNVTTGEWRRERGAPPVVPVFAQCAAVGTRLAVLGGWDPRTFEPVADVHVLDAATGRWRRGAPMRSARSFFACAEAGGKIYVAGGHDKHKNALKTAEAYDPEADAWDPLPDMSEERDECDGMATVAGDRFLAVSGYRTARQGGFERDAEWFDPAAGAWRRLERVRAPPSAAHVVVKGRVWCIEGNAVMEWMGMRRGWREVGPFPPGLKAGTARAVCVGGGEKVVVTGALDGEGGGGRHALWVFDVKSKSWTVVRPPPEFAGFVFSVASVRI >Sspon.01G0062140-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:101806339:101809157:1 gene:Sspon.01G0062140-1D transcript:Sspon.01G0062140-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGARTGIWTQGRNFQTAFIPFITAGDPDLATTSKALKILNSCGSDVIEVGVPYSDPLADGPVIQASATRALKKGTTLDSVIEMLKGVTPELSCPIVLFTYYNPILKRGVGNFMATIRQAGIHGLVVPDLPLEETALLRSEAIMHNIELVLLTTPTTPTDRMKEIAKASEGFLYLVSAVGVTGARSNVNLRVEHLLREIKKVTDKPVAVGFGVSTPEHVKQIAGWGADGVIIGSAIVRQLCEAATPEDGLKRLEEYTRSIKAAMP >Sspon.05G0033880-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:50570853:50575459:1 gene:Sspon.05G0033880-2P transcript:Sspon.05G0033880-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LDDCFPWLAKVGGVFARLLFAKAFTLKKQWDVLLDEIITEHAAKPEEERHDKVSDDAGQSQEEDADFVHVLLSLQQEYRLTRQQVKSILVRQEPNNRETKMVLYLVFLALLTFVLLRRMGASRRSGNGIHRLPPSPPGLPVIGHLHLLGSNPHLSLTDIAGTHGADDGFMLLRLGQVPNLVVSSPRAAEAVLRAHDHTFASRPPSAVSDIVFSYSDVALAPYGDYWRLVRRLVTTHVLSASKVQSLRRARQEEVALVVAKIRDAAAARGAPVDMTEVFCVFTNDMVCRAVSGKFFRRLEGRHRAFQEIINSQVILIAGFNLDDCFPWLAKVGGVFARLLFAKAFTLKKQWDVLLDEIITEHAAKLEEERHDKVSDDAGQSQEEDADFVHVLLSLQQEYRLTRQQVKSILVDMFGAGTDTSSILLEYAMIELIRNPHIMAKVRDEITKNTPKGQEMVKEENLTNMSYLRAIIKETLRLYPPTPLLLPHLCMEDCEVNGYTIAAGTRVIVNAWALGRDASAWERADGFIPERFLDSGSSAATDFRGRDFKFVPFGAGRRMCPGINFGMAVVELMLANLLYCFRWELPAGMAPEDVDMSVKYGLTSRRKEKLLLVPRLP >Sspon.04G0000520-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:2787769:2792539:-1 gene:Sspon.04G0000520-1A transcript:Sspon.04G0000520-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding CPCAAVPAAPSPPHQAASSTSPCSPHSAAVPHSRRTRSSCSWASRCPPPRRPASSARTSAPATRSPRSASSSACSATTSPPQPAPPLPRRRGAVPDSRSLSAALAACSRLVSPSAGFCTHAFLLKSGFASDVFVANSLLHFYASFGLHALARKLFDEMPARDTVSFNTLIGSYVQSGCVERALGVFRNMVEGGFRLDEWTITALLGACAGLGDLMVAKAAHGFASRALRHTLFDSAEVVIGLVDMYVKCGAVQFARKAFNLFGEKAKDARVWSVMAGRYKEALRLFEEMEATGLEADEVTVVTVLSACVQHGAIGLAKRLHRRVNQNGLGSRNARVATSFVHIYAKHGCIQTAMDVFRGVADEFKTVELFNAMIHGLAHHSHGEKAISLFDEMETLGLQPDDITFVGVLCAYSRSNLVEQGCKMFSSMSDKYGVKPNVKHHACMADLLGRAGRIDDAYNFIQNMPFKANPVVWSSLLTACKIHGNNKIKNLVERQILRLDATYKPENLTLSGLFSDKKRRELSARGQCKAGGSSKTYVLKLDMHCQCTGCVKKIKDGVKEISISQ >Sspon.01G0029900-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:77261114:77266643:1 gene:Sspon.01G0029900-4D transcript:Sspon.01G0029900-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CPK20 [Source:Projected from Arabidopsis thaliana (AT2G38910) UniProtKB/TrEMBL;Acc:A0A178VWI7] MGNTCVGPSTPSDRHSFFNSVSLAVLWRPPAAARAEPSSTTEPSSSTPSSTPSRAPDPVTISDSEHPPHTISTAASGPSAVPPNPNGKPKPKVKRVQSAGLLAGSVLRRDSERLKDVYTLGKKLGQGQFGTTYQCVEKATGKHFACKSIAKRKLVTEEDVEDVRREIQIMHHLSGHPNVVSIVGAYEDAVAVHLVMELCAGGELFDRIIQRGHYSEKAAAQLARVIIGVVEACQSLGVMHRDLKPENFLFVNQKEDAPLKAIDFGLSIFFKPGEIFLDVVGSPYYVAPEVLKKHYGCEVDVWSAGVIIYILLSGVPPFWDGEDTSTLLLYFLPLLFASDSAALFYFLLDIGLPFAESEQGIFEQVLKGDLDFSSDPWPSISESAKDLVRKMLNRDPRKRLTAHEALCHPWVCVDGVAPDKPLDSAVLTRLKQFSAMNKLKKMALRVIAENLSEDEIAGLKEMFKMLDTDNSGQITLEELKSGLKRIGAYLKDSEITTLMEAADIDNSGSIDYGEFLAATLHLNKVEREDNLFAAFSYFDKDGSGYITHDELQKACEEFGIEDAHLEDIIRDIDQDNDGRIDYNEFVTMMQKGNNPLGKKGHFQMSFGLREALKLG >Sspon.06G0024100-1P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6C:51015946:51017992:-1 gene:Sspon.06G0024100-1P transcript:Sspon.06G0024100-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEDPYMSSSLTVDTGRDANTWSCVRMIASAASGDDTMRVGTTPRRRSIRPSRPCLAERSWRETCGRSVADEVKVADDGQPRRRRRKLLLVVAAAAA >Sspon.05G0035040-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:68177993:68178992:1 gene:Sspon.05G0035040-1C transcript:Sspon.05G0035040-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLTRRPKEDEDLAAATPVIALADRLSALPSDVLPQILSFLPAHEAVRTCVLARTWRNLWKEKRIFPRSLLITAAAEDPAVQEDVPGFVDRLLDLRLREIKDAPLESCEIRFGWYFDGEIIPSLSRWIRRALECQIQVLRVHMWHDLYNGYPYFPYDFLTSSKPLASQNLTRLELAGILFNEMDGGKPDFSSCPALEDMELVDCLLNAVMTSPSLKRLTFRRCKFFDSCRIRVPRLVSLWLEALTGRGPQLESMPELVLAYVYISHYYDGCDNEYSSSDPGVTDDDSALDSGDDAGADTENGILSMTLKRIQKRVFFLEVYRKQGI >Sspon.01G0005370-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1A:14534789:14535724:-1 gene:Sspon.01G0005370-1A transcript:Sspon.01G0005370-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRLFYSCRSPSAAAAFSPTTTLLPTTHPPVVPAPSGGACCPRRAPRRRGRPQTPAACGAGGCAVDYGADDLPSARGTPAYRWLKSSRWHVIEAANAYASDGDGDDTPRLKIDARRRVRHSRRRRRRMLHRRLAGPVSWSSGDSGWFSSDDDDDDGDEPFGAAGEASSSALHAAAAASTTTTESSSTGASRNSAGAAKGEEAAAAALAGGFAVVKRSEDPRADFRRSMAEMVVGRGIYDADGLERLLRCFLALNDRRHRRDIVAAFGDVWEAVFSNPPPQSHSYGTAAAAAATTTSYDATSCKAAATSHP >Sspon.04G0036900-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:53187460:53194440:1 gene:Sspon.04G0036900-1D transcript:Sspon.04G0036900-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding METERPPRRQQPPSGLPAAVAVATAAAMSTVLGDDSLLREILLRVCLPSSLLRAALVCKRWLRHAADPAFLRQFRARHSPYLLGAYLSTAGGASATPRPQS >Sspon.07G0034820-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:81619560:81620360:1 gene:Sspon.07G0034820-1C transcript:Sspon.07G0034820-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEAVASKSCDDGALEKEEGDNSLFKEGEMLKLREGVMGGGASVEREACPRGIGSLVGEEEDHTGARCRQQEEEEGGQAVQHTFLVFDA >Sspon.06G0000010-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6A:112093:113294:-1 gene:Sspon.06G0000010-1A transcript:Sspon.06G0000010-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNQDRSPTDNKASISLLRRLRAGDDDDVHFVHRVDVCAAPPEVLVADLEPVPGTGLEDDGYSRIWYFYHAKKYKNTRGDTSGHRQRAVTGGDGTSWHSEISRRDVQGSGGGTFCTFSYGRKTEPSSRSIDRMGWCMAEYDLVAADSSNYVLCKVYRSPRAKGKSALASSSSSKNASSKQTAKKRKAGGGEHPEAPPAKSIQRQEQVQQETAYYPMPNYRYQPEADVQEVAVQQPAGPGGDFNFNIEEINLYVDDECMLRADESEQGRRRGLQQSISEPERHEVEFIRLPCGPVVPVVAEATFEDMLGLGPGPETMYCGEWSGGMATARIPLPWSSYAPTSSSYTACSGLLQGSDPLLQLPPYLM >Sspon.01G0048170-1P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1D:107203874:107204089:-1 gene:Sspon.01G0048170-1P transcript:Sspon.01G0048170-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding QVQERPMERRPELGAQPFPTWAWQTQGSPHVPLHQSAASSGFSTGAGANGGMPPPSHPPALFPTTNPFFFP >Sspon.01G0053730-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1C:41210553:41213260:-1 gene:Sspon.01G0053730-1C transcript:Sspon.01G0053730-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAREIILEEKRVCVPTPMIQEPLFELPVLVAPTVPDTVVPTPVVSSPMVTVNNDEEPVLREPIQTDATDEGGQQQPQTEDVPNVEAPRRSQRVRRSAIPDDYEVYNTKEFQMEGDPTLFEEAMRSDNSSKWLEAIDDEIKLMSTNKVWDLEPISKGAKTVGCKWVYKTKRDSQGNIERFKAGLVAKGFTQREVIDYNETFSPVSCKDSFRIIMALVAHYNLELHQMDVKTTFLNGDLEENVYMAQPKCFVVEGKERMGCRLKKSIYGLKQASRQWYLQFDRTIKNFRFKENIEDNCVYAKFKNGRYIFLILYVDDILLASSDVHLLLETKKFLSSNFDMKDLGEPSFVLGIEIHRDRTPVAQRYIKEVGSRGSNDKVHLSRFSPPTTLIDLEGRVVSDGKHRRRHCTAPPPPLRHHISVIADQLHRDGRHQLQLQRHYSSFGWSAHTSIPSLSLPLGSGRPHTRFLLFIPEMQKFYPTDLLHEILKVQHQKLDWADMFHLMLRPSDSRDMRFWPIHPPSFRYA >Sspon.04G0016890-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:67606562:67610870:-1 gene:Sspon.04G0016890-3C transcript:Sspon.04G0016890-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAGGEQLSLAAVRDALVRLEDSVVFALIERARHPRNAPAYAPAAAGGGHSLVEFFAGHYQKPEDVPFFPQDLPSPLFPTKPSLKVLHPFASLVTVNDAIWKMYFDELLPLFTVDGDDGSYAQTVALDFACLQVLSQRIHIGKYVAEVKFKDAPQDYSRLIKAKGP >Sspon.08G0008650-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:35031444:35033704:1 gene:Sspon.08G0008650-1A transcript:Sspon.08G0008650-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGLPEDVLMKIFATLEVPDLVRAGSVCSSWLHAYNCLRKLGASIQPQTPSLIYTNKSSGASAAGFYSLVENKPYTFTLPDPPIRSRYLIGSAYGWVVTADERSELHLVNPITRDQIALPSVTTIEQVKPIYDSNGAIHMYKYSRYTGTHGELDPPSVLRLDKLRDYIFLKAFLSSDPSTGNYIVVLIHHPYCQISFARGGDEHWTWLPYHSYYTDCAFKDELLYALLVDGGIHAYNLYDPAVKPRVVMEGLKGCLPPKVYIAQAPCGDLLQIWKVSEYSDQEVEDVSEPGLDPPDDDEYFSVSEDESESEKEKKEEKTVTNRPARCRRWSGVVCSDVWLKLSRPWARELGELWGENHGQLSGGGSQEEERLR >Sspon.06G0034440-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:45386486:45386861:-1 gene:Sspon.06G0034440-1D transcript:Sspon.06G0034440-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding TSLKYTNNIQGLWLLSMVILACVLHAHIISGNPTEDINTGRVRMTMTEGTTKTIGDQKDLGCFLQALMHCCQKTGKCFHELLECLKHCNAHV >Sspon.05G0009400-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:27095043:27104622:1 gene:Sspon.05G0009400-1A transcript:Sspon.05G0009400-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRLVSTALAVRGLLRSRGAPSTTAVMSRPAFQQFMNYSSPHGGDPNANTNSTAARIAADPDTHQDFEPKSRSSDMSLHDIVAQDIKDNPVLIYMKGFPESPMCGFSALAVKVLQQYGVPICGRDILGDLKLKESVKAHTRVNLRIFLGILHKEMNKKQMLMIHEAIHSSRHPATSQTNASASGRGCGSGGDQVGVPALDCVRQPAAVLHGRGPAAIGGVAAAVAELRAAFAAGIQAIV >Sspon.07G0030320-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:5719812:5727224:1 gene:Sspon.07G0030320-1C transcript:Sspon.07G0030320-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHARATGRRRRGRRSCCTSSTGHDPIVLPPPAPPVGDRSKWHCTIARARRALLHLQSVQLCRACHPAPSTQQMAVNTHPTNEPPVEAEQMQITGGTAQGTLQLLCPFLSSCRSSASSSPQLTVLSCIRKETLPAHGAAYLSLQYLYDRIEALSTKPGHKLLASLLSHAVSIWKTSSTLGARNTPLQHGVHRTPGLGTPIVVTIINGFTVSIVRPKQVGSPHIVVEESLTTKSQTRHTALQPPPIALPHLAPPSPKSYTARRAAPGRCSPLLRRATTAIRCRKSDTGPHAELSVVTAAAALAGLEADLMLFLLFAVVMNRTSSSVVVRASSLLPGGLRPARQASWPARGVAWRVIGRRVGTGHGERAAIAGTTCRQGELDSSEARDSANASPPGCLPLFLTRRYFCLEWLRGLGSTLNAQDEAKPKSLNPDTTGARLPRSPPPPLQPTRRDPDPRADPSPGGRHDPDAARAGFFRAAVESARRHAAPSSSSAPAAASSSSTGTLDGLIAATSARSEFNNRASKIGLGIHQTSQKLSRLAKCMASVLFLP >Sspon.04G0012380-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:36411904:36416634:-1 gene:Sspon.04G0012380-2B transcript:Sspon.04G0012380-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:High mobility group B protein 15 [Source:Projected from Arabidopsis thaliana (AT1G04880) UniProtKB/Swiss-Prot;Acc:Q9MAT6] MSEPAPAAEEEEKKAVADADAAVVAKGKEKAEVAPTVTASAAGTRGRFVAYPARVAEHADVVADAARFRAALEGLHAQMGTRLKVPIIGGKDLDLHQLYKEVTSRGGIDKVKAENRWREVTASFIFPATATNASFMLKKYYMSLLYHFEQLYFFRVQGWHQQEIDSRTNSSIEVKTEAQAYHKRKRGINASPSDPASSSDNVDVDVIVDGKFEHGYIVTVIMGSKSTKAILYNCTEEPALPTPEPPVASNSTDLKGGRRRRRRRKKLSTTDPRHPKPNRSGYNFFFQDQHRMLKPQYPGQDRLISKMIGERWNNLSPEDKAVYQERGVQDKERYRTQLAAYKELRTGQPISNAVPIQQRLPLTEVTIDEVDSKVSEGDMLLSNQGYSSSDESDHSGEKTVEDELNTETSPEVSMETTGSPGHPDPSADVDHFELRRRENPKADEKDNAPPDS >Sspon.01G0014550-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:36060337:36062663:1 gene:Sspon.01G0014550-2C transcript:Sspon.01G0014550-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGNLHLPVVDLASPDLRAAAESVRQACVEHGFFYVTNHGVDRGLLEAVFAQSKGFFDLPMEEKMALLRSANHRGYTPPYAEKLDASSQFVGDLKESFYIGPIDDGDMHNDINQWPSEERLPSWKETMKLYIAAVLDTGTRILSLIALGLDLDADFFHKIGALNCPSTFLRLLHYPGGTREKQTGKEKQSSLVHLAWKWAAAGVGDDTASVQQYVNEN >Sspon.06G0018610-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:100331964:100334427:1 gene:Sspon.06G0018610-1A transcript:Sspon.06G0018610-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKSRLLLAHKLFSAMLSLLLHGRRPPPHSKSSSTASPVVHRGAAGPPTVQDAAALVVDVDTALLRSGGDLFPYFMLVALEAGGFLRGLLLLLLFPLIRLLMPHGVAVRAMALVSFCGLRAGGRFRAGRAVLPKWLMEDVAAEAFEAVHAAGARRAVCVTAMPRVMVDGFLREYLGVETVVAREMKVVWGFYTGLMEELPEGGEMVMKAAVAAAPVEEESGDKAVVGFSGSMEFLNHPLARCCKEIFIVNADEKSRWQPLARDKYPKPMVFHDGRLAFRPTAADTAAMFAWLPLGVALGAARLAVALTVPYRYSTPILAATGMSWRLKGSRPALPGGGRGQLFVCNHRTLIDPVYVSVALDRQVRAVSYSLSRLSELISPIGRTVRLTRDRDSDGAVMARLLDRGNLVVVCPEGTTCREPYLLRFSPLFAELSDDVVPVGIAVETSMFYATTAGGLKCFDPLYYMVNPRMCYTVQFLEKVDTSAVRSRAAPSTDMANLVQRKMGEALGYGCTMLTRKDKYLMLAGNDGIVRSTGDKSAPSAGAAAAEIGRKSN >Sspon.03G0019510-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:60979252:60986213:-1 gene:Sspon.03G0019510-1P transcript:Sspon.03G0019510-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGDHKEGLNKAGGGMAGPAASWRLNVSDFQMPERPKEPPFVTRVFLRSHVQFLNSGKQRKIAKYYKKQENLLKDFSEMETMNELGGLDPNAAPSEEEQKQLEKSERFAINLSNVINLILFVTKVVASIESVSMAVIASTLDSLLDLLSGFILWFTAYKMKKPNKYNYPIGKRRMQPVGIIVFASVMGTLGFQVLIESGRQLITQEHAKFGLKQELWMVGSMSSVAVVKFFLMLYCRTFKNEIVRAYAQDHFFDVITNSVGLVSALLAVRYKWWMDPVGAILIALYTITTWARTVLENVGTLIGKSAPAEYLTKLTYLIWNHHVEIQHIDTVRAYTFGTHYFVEVDIVLRATCRSARHTTSASRCRRSWSSCPRSSAPSSMWTSSSRTGPSTRPRSECVVTSELLCCCRFHFICY >Sspon.05G0026460-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:5B:35790017:35790391:-1 gene:Sspon.05G0026460-1B transcript:Sspon.05G0026460-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMAHLLLGPPVRERTVQQPAGARRTLPSYSPYSCSAPRPPIPRLPSPLTAAVAYVHPSMLHHHQPAVPTSSNHTRHHPRQGGTAHARCPAGPGLDLEQIDTYTHAYTIVHASFNRVSSEFYRH >Sspon.06G0033480-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6D:15603450:15603890:-1 gene:Sspon.06G0033480-1D transcript:Sspon.06G0033480-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVPFFVAFSAILLLGKYLPLALPLNLRPALLADNAARPPARAAKCTISVAIGGLALLVSMQQQGSGAGAGAPPVGVSMMMEARVLWFNSAALFLGTLLLGAVAVARVPPLFVQQVAVDHLTVVTEIVAINAFAHNLCVFFNMFKV >Sspon.06G0004980-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:14506233:14508477:1 gene:Sspon.06G0004980-2B transcript:Sspon.06G0004980-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein PHOTOSYSTEM I ASSEMBLY 2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G34860) UniProtKB/Swiss-Prot;Acc:O64750] MAGAGCHSLLSPASPISPALFSRHRAAAVGGGTRWATQSQIRCLAKDEDSKGCTETSKGKTGEETTPSRRKCLVCLGAVTLISATGPTLCTPNGLAADMMNKAGIQKAVCRNCNGSGAVICDMCGGTGKWKALNRKRAKDVYEFTECPNCYGRGKLVCPVCLGTGLPNNKGLLRRPEAKQLLDKMYNGKILPRS >Sspon.01G0016390-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:51629120:51630688:-1 gene:Sspon.01G0016390-1A transcript:Sspon.01G0016390-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGMKVRKTIATPADFLSLASPWFLEARGDIAAFCYREVPTADTHQRPPAFSIPPTALLCPVPPPDGKERWDIKEEDDRVTLWLQVPGLSASDIEVTTSEDVLEIKRKVTAQQPALPEDAHGVGAFHIRLLLTKEYDGSNVTADLKAGMLVVTVPKNPQRPSERVVLGAPRPTPRGNESSTRKGPPQPQQERKPEQTPGRQNTNRSSF >Sspon.03G0028190-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:8370706:8371869:1 gene:Sspon.03G0028190-1B transcript:Sspon.03G0028190-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DAAAAAAVHRHPLLLRLPLPVQRRRRRHRAPRQEAPPARCVRARRARRRVGETAAFRGGGVCGGGQGGVAGSAAPARVQEPVPDLRGVRRGRRRGRGEGDGDRAPHGREARGPHRLGRQHQHHHQPPLLEPRRAACTGLLLLVRRRGAPAAAGAVPAAPAGTVHAAVRARHGRPGRHDHERRRSCPPASQLASDRAR >Sspon.07G0030160-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:2587168:2596131:1 gene:Sspon.07G0030160-1C transcript:Sspon.07G0030160-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SCQYDQQPVNSTVPIAAEVFKKAGTYDEKKLFGVTTLDVVRAKTFYAAKAGVPVTEVNVPVVGAMRATPASNSLSQEDIEALTKRTQDGGTEVVEAKAGKGSATLSMAYAGAVFADACLKGLNGVPDIVECSFVQSTVTELPFFASKVWLGKNGVEEVLGLGELNDFEKKGLENLKGELKSSIEKGIKFAHGN >Sspon.03G0024210-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:92275308:92286352:-1 gene:Sspon.03G0024210-2C transcript:Sspon.03G0024210-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDSQDIQSTTELQSSAQGTNEVQSQQPNPMATDAPAGNLGSLSVASNDNKKVSREDIELKLEEENSEFFRAYYIRLKLKRQIILFNHLLQHQYNLMKYPAPPNIPLAPIQNGIHHMPGSEFDRALSTAIHEQRRIWQKLEEENSEFFRAYYIRLKLKRQIILFNHLLQHQYNLMKYPAPPNIPLAPIQNGIHHMPVSNLPMGYPVLQQPIMPAPGQPHMDPMACGLSSGHVVNGIPAGGYHPMRMNSGNDMVVDNGAPEAPHAGATGSAMSSEMAVSPSSAASSNHAPFTPSEIPGMTMDVSALDSAFGSDVGNPGSLQLGPDGSSRDFNRSFGQLWNFSLSDLTADLTSLGDLDVLENYTGTPFLPSDSDILLDSPDHDDIVEYFADAINGSQSDEEKS >Sspon.08G0023480-2D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8D:58343885:58344235:1 gene:Sspon.08G0023480-2D transcript:Sspon.08G0023480-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFHRHGLLTKLGFAALTGNSALAIYRSRDDPRAVAFVVGAYGGIALLFHFLRRFERGEGDGGRTRAVVWVLTTLLTAMFASRVAPLMPPTVGLFVYLMAAGTAGTGFWALFLHRH >Sspon.02G0029720-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:97320090:97328475:-1 gene:Sspon.02G0029720-4D transcript:Sspon.02G0029720-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSILVDWLVEVAEEYKLVADTLYLAISYVDRFLSVNALSRDKLQLLGVASMLIAAKYEEISPPHPEDFCYITDNTYTKEELLKMESDILKLLKFELGNPTIKTFLRRFTRSAHEDKKRSILLMEFLGSYLAELSLLDYGCLRFLPSVVAASVMFVARLTIDPNVNPWNTKLQKMTGYKVSELKDCIVAIHDLQLNRKCPSLMAIRDKYKQHKLMHFNKPDLLMHFSSLSEPEVQVDLTLFRRLLMLCVLCSQPEAPRPRRIGRLLAGDRAPGGRHAAVLEEPRRRRSDGRRLLARRLVDRRRGRVDPERVHERGVRVQRQAHDHPLRVDRLAALRSRLCAFWCPPSACELKNLRRQKLQENTLCGAAADGLLAPGSDPDPDSAEQLAAAAVAAAAASGEGDSLAPSVRFSHVAPSSSLWETVAVTSALEADHLNIAVDKIVDSGCGSSLYPYPSDCLLLCSNERTDLSQAFFPSEKDGESFAVKFSLEERTKTRNISVDKIVDSGSSLYPYPSDCLPLCSNERTDLSQK >Sspon.06G0031700-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:70989045:70990334:1 gene:Sspon.06G0031700-1C transcript:Sspon.06G0031700-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAAGPERWTLAGATALVTGGSKGIGHAIVEELAAFGARVHTCSRNAAELDECRRRWVEEKGMQVTVSVCDVAVRADREALMDTIKDVFAGKLDILLSLLTLAWRTGGMNQLTRSLAAEWARDKIRVNCVAPGMVMTDMVVKN >Sspon.03G0006710-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:33704234:33704710:1 gene:Sspon.03G0006710-2C transcript:Sspon.03G0006710-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding HRTRPSQVTLDKDKSVASSNSSSIPGSLPARVDLNKAIEAKRFAVLQAQHEGCLGSYKSFDSLFGNYLFPVIPTNDFFDQVGTKP >Sspon.03G0020690-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:64076679:64078496:1 gene:Sspon.03G0020690-1A transcript:Sspon.03G0020690-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNHLNSSIPREIFQLPFSYLDLSYNSLTGPLPSQVGSLQNLNQLFLSGNQLSGEIPESIGKCTALTTLNLSVNELSGSIPDAIGSISGLEQLCLAHNNLSGPIPAVLQNLTSLSKLDLSFNNLQGEVPKEGIFRNLANLPITGNNKLCGGIPQLHLVPCKTDSVKKKRRGKLKYLKIALATTFALLLLAIVVALKCLVHVIALGVSCSRKQSRERTPIQDAVNEMHSIRDSYLKFARHLAVEDGAGMIL >Sspon.01G0043290-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:73541821:73545311:-1 gene:Sspon.01G0043290-1B transcript:Sspon.01G0043290-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPRLFKHNNFSSFVRQLNTYGFRKVDPDRWEFANEGFLRGQRHLLKMIKRRKPPSAVPPSRQKQAPASCLEVGEFGFEEEIDRLKRDKNILITEVVKLRQEQQTTKDHVRAMEERLRVAEQKQVQLMGFLARAMRNPEFFQQLVQQQDKRKELEDAISKKRRRPIDNAPFYSPGETNQSEQLDSQFMFESDVLNGLSEPGMPELENLAVNIQELGKGSIDGGKVAQASDQSELNDDFWAELLVEDFGDEAGQLELEGRTEDVDDLAQQLGWEMRGVLANVSNVDWQVAK >Sspon.01G0013350-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:36514381:36527922:1 gene:Sspon.01G0013350-1A transcript:Sspon.01G0013350-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAGGGVELKLLGSLASPFALRVKLALSFKGLSYEYIAEDLQNKSNLLLSSNPVHKKVPVLIHNGKPICESQIIVQYIEETFESTGPSLLPADPYERANARFWAAYVDDKLLPSWLQSFRGKTDEEKAEGLKQTLAAVDQLEAAFKECSKGSKPFFGGDSVGYVDVVLGSLASWVYAGEKLYGFRLFDAARSPLLNAWLERFGVLDAAKAVLPDADRLKMAGGGDELKLLGMWASPFVLRVKLALSFKGLKYEYVEEDIFGNKSDLLLSSNPVHKKVPVLIHNGKPVCESQVIVQYLGEVYSSTGPSFLPVDPYERAMARFWASFIDEKFMASWLKAGRGKTEEERAEGLKLTFAAVETLEGAFKECSKGKPFFGGDSVGYLDIALGTLLVWMHTAEVRHGLRLFDASRSPLLEKWVERFGKLDEVVAVMPDIDRLVVAVDKGQDSGGKGQRAEGMKQTLVAAENVEAAFKEISAGKPFFGGDSVGYLDVTLGALVAWVHAAEKLDGTNLFDGARTPLLNAWRFGVLEAAKAVLPDVDRLTMAGGHDDELQLLGMWASPYALRARLALSFNFQGHQYIEEDLSNKSDLLLSSNPVHKKVPVLIHNGKPVCESQIIVQYIDDVYGGTGASLLPANPYERAMARFWAAFIDDKVSSPTSSIPAFPICIHQFSSSIYADRRVYILQLLASLVQASRGKTMEEKMERLKPTFAAIETLEAAFGECSKGKPFFGGDSVGYLDVMIGGLVAWVRASKARHGLELFDASKSPLLNAWVERFSALDETRAVQLQEMAGGELKLLGTWASPWACRVRLALHLKALSYDYVEEDLGNKSDLLLASNPVHKKVPVLIHNGKPISESLVIVQYIDEAFASNGGSSLLPADPHERAIARFWAAYIDDKVSPPLANFRASMAHSASIITASWKQAFSAKTEEERLEATKQMLVAVETLEGALRVCSKGKPFFGGDSVGYLDVVLGGLLSWLQGTEALCGVEFFDAARTPLLSAWAERFGALDAAKAVLPDVGRLVEFAKARRAQQAAAAQAAAAAAAKN >Sspon.08G0004450-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8A:12709808:12711931:-1 gene:Sspon.08G0004450-1A transcript:Sspon.08G0004450-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHARRPVLTAEPKSRATAPLLQSPPRRRSDRRLKHLPSSRPAAAAEQPVHLLHLHAAHAPPPRSHPRVPLLHPPHIPRALQRSRSCSHSTRCGAALGRGPRAAPPPLSLLCLHPPLPLPPPPPLASIRPPLLRAPPKPPRAPIPPHPAQPPPITIAAAAAAFSSPSSHLRLLLPSRLKGQPLPVPTLPLRLALRCAASVLDAVFAPRAATFAYRGRHAAVRYLKSIPSASWFFRVAIPRQPFAPRHVRRLLGAISSKVDDPGFLEFLNELFVSDAVAFELGSCELGRGLPQESELTGTLVNIFFNLVDGEIMAIREEVHKKHPRMKDDSVRHTPVRVYAIRYLDDILVVTSGSKMLTIEIRDRIIAALERDLEVRVDRLGSSIHSAVSEKIEFLGIEFQAVPPSVLHPPMSEKAKRARKKYLKMKAEKAQELKNARETRRKKLGLKILNHLFKRMRRGEEFEFDFRIEKEVQQVFRDWAEETVAEYFESREHCQYWHRLLASGDFLSLNRVRDQLPPALVDSYDKLQETIDKFLMPMGGHDMTEQEERLAEEEEEKQYEKRTVEDLTELKMRANVPIDLVRKTVKLAGFTNSMGQPRPIKLLLCLDDADIIKWYAGIGRRWLDFFCCCRNFKMVKTVVTYHLRFSCFLTLAEKHECTKRQAISHFTKDLKVANDDGMAEVHFPTEREIKMMGDKNLSDPKPVDGALTM >Sspon.08G0002680-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:5890570:5891858:-1 gene:Sspon.08G0002680-3C transcript:Sspon.08G0002680-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGRLSFVVVVIALVVSACLMPPYAVAELRTDYYASTCPNLEVIVRSSVKQSMAQSPISAPAALRLFFHDCAVRGCDASIMIVNSNGDEWRNSDNQSLKPEGFQTILNAKAAVDSDQQCRYKVSCADIMALAARESVYQSGGPYYQVELGRYDGRVSTRDGVVLPHANFNLDQLNAFFSGLGFTQAEMIALSGAHTLGAADCPFFQYRIGSDPTMDPGLASQLKGTCSSDPNAFAFLDPSPVSFDNAFYRNLQGGKGLLGSDQVLYSDTRSRGTVDYYSSNQGAFFADFVAAMTKLGRIGVKTPATGGEIRRDCRFPN >Sspon.03G0042050-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3C:49953005:49953820:-1 gene:Sspon.03G0042050-1C transcript:Sspon.03G0042050-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAGRKKTCGIQSGAGKVDGVGGLRSEGSSSSTPCSRSGSRGTHPARRRSNFGKKAGGEGSDARTWGWGGATERPESREEGRGAWGGGGGLRRAGTGRPQVVLDRGDTGAAEGGRRAGAGWPQVVLDRGDTGAAEGGRRAGAGRPQVVLDRGNTGAAEGGRRTGAGRMGGFTPRGSGVGAGRPAAGGRERSGERRNHARQSGVVWGGALAGVGSHSGICTRKRNEHRRWPGDSSSSNRRPGGGGKRWEGREVGGEGRRRPAGHGGGGGGSS >Sspon.01G0020520-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:78256562:78268217:1 gene:Sspon.01G0020520-3C transcript:Sspon.01G0020520-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LVQQIIEAILVLDIDDPPSAIAAGALLFVLASDVQDNNSLDSETCVHFLLKLLNPPVSVVDAKAPSIGSKLLGISKFQILNGSNKDADSSSEDILLKVEEILLNCKEIKPLDRDDKRTTRPELCSKWLALLTMEKACLSAVALEDTSDMVLKLGGNFKETLRQSGGLDNIFNVMVNCHSELEKLVKDASTTAVEGKEGAPPQSTALLLKCLKILENATFLSDNNKTHLLSMSRKLSPKCPSLPFVGVILSIIELLSALSLLQKPSTVSRKADPKNTKVCNGACSGDSRGLSLLNHHSKCNNSKKKSLLLNRRHQDCSSSKSEASHVTISSSSVVSQSQRTLNSSSFSSDGASSGSLGVKHSNGANLKLNVRKDRSKTNTIRGSSGWISIKAHTSDWNSREMAKKRRLSENVHTDLSNGGDDPFAFDDIDQEPSNWDLFGPKRKSPRKRAKRSNGEVLDDCGTAVMGSPELCQPEDIYQSGATSDSKAVDESNLLEDCLLASVKVLMNLANENPSGCEQIASCGGINTMASLIIKHFPSFDFSMDSNNQMKERVFSGDFSSSQNSKSLQIKTKQLRDYELDFLVAILGLLVNLVEKDSLNRVRLANARVSVNLSQNPDSKEDDEESLLQGEREAEMMIVEAYAALVLAFLSMESMKVRGAISSCLPDNNLKVLVPVLERFVSFHLQLNMMTRETHSAVTEVIERCRQS >Sspon.08G0014550-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:59530420:59532613:-1 gene:Sspon.08G0014550-2P transcript:Sspon.08G0014550-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGAPAAVALVALVWSSLALAAAAQNYNAIFNFGDSITDTGNLCTNGRPSSITFTQPPYGETYFGTPTCRCCDGRVIPDFLSSKFGLPFLPPSKSTTADFKKGANMAITGATAMDAPFFRSLGLSDKIWNNGPISFQLQWFQQVSSAVCGNAQTARATWQLPVRVRRVRGNDYNAMLFGNYNADQASTYTPQIVSTIANGIEKLIAMGATDIVVPGVLPIGCFPIYLTIYGTSNSGDYDSLGCLKKFNDLSTNHNNQLQTQISSLQAKYKSARIMYADFYSAVYDMVKNPGSYGFSTVFQTCCGSGGGKYNYQNSARCGMSGASACSNPAAHLSWDGIHLTEAAYKQVTDGWLNGPYCRPAILHS >Sspon.02G0021750-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:68537534:68537994:-1 gene:Sspon.02G0021750-2B transcript:Sspon.02G0021750-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSQRTLSATAAVILVLIIVVTEMSPVGAGRALCRHLSSGFTGVCGFDTDCRTQCLDESRNNIGGACDGFPAKCYCVTSCLP >Sspon.03G0014040-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:43494219:43497786:1 gene:Sspon.03G0014040-1A transcript:Sspon.03G0014040-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VKSTIERYKKANSDTSNSGTVAEVSAQHYQQESAKLRQTISSLQNANSRTIVGDSIHTMSLRDLKQLEGRLERGISNIRARKNELLYAEVDYMQKREMDLQTDNMYLRSKIAENNETGQPVMNMMGVPSTSEYDHMVPFDSRNFLQVNIMQQPQHYSHQLQPTTLQLG >Sspon.07G0009570-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7B:25601438:25603467:-1 gene:Sspon.07G0009570-2B transcript:Sspon.07G0009570-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGLAKRINAKFLLTSTSEVYGDPLQHPQVETYWGNVNPIGVRSCYDEGKRTAETLTMDYHRGANLEVRIARIFNTYGPRMCIDDGRVVSNFVAQALRKEPLTVYGDGKQTRSFQYVSDLVEGLMKLMEGDHVGPFNLGNPGEFTMLELAKVVQDTIDPNAQIEFRQNTQDDPHKRKPDISRAKELLGWEPKIPLREGLPLMVNDFRRRIFGDQDTAATTGNQQG >Sspon.02G0020690-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:67361957:67363861:1 gene:Sspon.02G0020690-1A transcript:Sspon.02G0020690-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding FAASDDQFVYNGFAGVNLTLDGNALVTPDGLLELTNDTVNLGHAFYPTPLSFNQQLNGTVQSFSVSFVFAILSVHADISADGMAFFVAPTKNLSNTWAQYIGLLNSGNDGNTSNHMFAVELDTTQNDEFKDIDNDHVGININSLTSLQAHHTGYYEDDSDSFSNLTLISGKAMQVWADYDGETTQIEVKLAPVGAAKPIRPLLSAVYNLSMILKDKSYIGFSATTGAISTRHCVLGWSFAMNGPAPAIDISKLPKLPRLGPKPRSKVLEITLPIATGLFVLAVGLVIVLLVYRRLRYKEVKEDWEVEFGPHRFSFKDLFHATGGFRKKNLLGVGGFGKGVAFGLFYLHEKWEKVVIHRDIKPSNILLDSEMNGRIGDFGLSRLYDHGTDPQTTHMVGTMGYLAPELVRSGKASPSTDVFAFGILLLEITCAQRPVKQNAQGAQHTLMDWVLEHWHNGLLTETVDPRLRNDYNFIEACLVLNLGLLCSHPFISARPTMRQVMQYLEGDTPIPELTSTHFSFTMQALTQDKGFESPNMLHPPLTT >Sspon.06G0000280-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:101428:110918:-1 gene:Sspon.06G0000280-2B transcript:Sspon.06G0000280-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAVASQPRHLPLGAGGEPRCTARFDVMMVQTVCVCSTSKVHTLEKVYGFRFVCRSIVDVKSYKFHSRISKRKCYLRNSPSECDRTIHSARWLEFRRHKGLFQRTRRMVHIIPLASDDDGNSVSVNGAPQVGSTSNIDEIRLKLNKALQSEDISNGLVQSVHDAARSIELAFIEHSKSSKSSWFPKTWLGVENNAWIKSLSYQVLFIIDVSSRGNGRDRDINVFVQRSDVLFDCSLSRLLTPLESVIKNELSKREPTLYEWYSSDQNPLVVRQFVNIFENDPMFNSATAICREGEPMNTSESDLSLLMLGLICLAAITKLGAAKVSCQQFSSMVPDIIGRFMDMLLEFAPLSKAYNLTKDIGLQREFLYNFGPRAAVPKLGNDHGLEISFWTELVQKQLLRALDREKIWSRLTTSESIEVLEKDLAIFGFFIALGRSTQGYLSSKGLTDLDDSLNGIVRYLIGGSVLYYPQLSSISSYQLYVEVVCEELEWLPFYNDDVPSAKTDTEGREEVSKGEVISRVLNVCSYWMTSFIKYSSWLENPSNVKAAKFLSKGHAMLSDCMKELDISRNNMSKGCGFQKPEEELDTGTELASFDKSLESVEEALVKLENLLQELHVSSSNSGKEDLQAACSDLEMIRRLKKEAEFLEASFRAKAEYLEADAPAEEGRVKTGSRTNDTSAPQKSGNRVDNKRHPFWDFFGRSLGKKVDPALADQDGTFANVEKKDAESNDILRFEQLRRELIELEKRVQKSADEAQKEEEMVVADETTAPSPGSSVPSGQATKKENVITKSVEKVKETTTTVLQGTQLLAIDTGAAMGLLKRALIGDELTQKEKQALQRTLTDLASVVPIGILMLLPLTAVGHAAILAFIQRYVPSMIPSTYAPDRLDLLRQLEKVKEMEVAEGSSEDILEAVGSRTEQVK >Sspon.02G0047780-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2C:19716644:19717138:-1 gene:Sspon.02G0047780-1C transcript:Sspon.02G0047780-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding METKPRRGSTHVDAQKLHLGDAGLEKNPLHPSRSKGERNILIRGRELAPLLLATRASRSAGDSAAATAPDRDSTARSAGEGTTTACALIQVRGLRLLLGLILTSIPFSSLFPLLGFGYTAAAAAGFLPASRETVVALGPEVRISPSQFPSSSLVFLTLRQWMDI >Sspon.05G0019010-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:80757882:80762873:-1 gene:Sspon.05G0019010-4D transcript:Sspon.05G0019010-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRDSLRRSQGNTDGMVAILGSFDHRLSALEAAMRPTQVRPVSSRTISNASSPSFRWMEMKFEAGMAVSLCAWWGWLQVRTHAIRTAHENIDRTIKAADGILSQFDLARRAEATILRGPHEDLESYLEAVDVLKGIVRFFSSNKNFKSSEGVLNHVNNLLAKSTLKIEEEFKQLMGTYSKPIEPDRLFDCLPKSLRPTKGDHETDGGGRSDHPSKGLETAVYRTPTLIPPRILPLMNDIAQQLVQAGNQQTCYKIYRDSRASALEVSLRKLGVEKLTKDDVQKMQWEALEAKIGNWIHFMRIAVKLLLAGERKICDQIFDGVNFNKGHCFAELTANSIITLFSFGDAVAKSKRSPEKLFVLLDMYEVMRELQPEIEEIFEGKPCTEMREAASSLTKRLAQTAQETFSDFEEAVEKDASKTIVQDGTVHPLTSYVINYVKFLFDYQSTLKLLFQEFDSGTEAESQLAAVTTRIMQALQNNLDGKSKQYKDPALTYLFLMNNIHYMVRSVRRSEAKDILGDDWIQRHRRIVQQNANQYKRVAWAKTLSVQGAGSSGDLTSSGVSRATIKERFKSFNTQFEELHAKQSQWIVPDQELRESLRLAVAEVLLPAYRSFIKRFGNLVENNKNPQKYVRYSPEAVDQLLGQFFEGQQWAEQKR >Sspon.03G0043460-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3C:81374710:81375283:-1 gene:Sspon.03G0043460-1C transcript:Sspon.03G0043460-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADHHALVGSCSAYLQACSGNASLTSITTCSDHWDKDEQRPQEARRLRLRRPWLGMMALAARPQRSMP >Sspon.07G0027220-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:60562492:60566017:-1 gene:Sspon.07G0027220-2C transcript:Sspon.07G0027220-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGPSRAAHATRPRPGLADPALTQPPHRRYEAPPPADAAPPAPPPPIVLEFYPTPAEKSRGEGEDRGPPPDRTRTGPAREVGERDRGGGSGISPASGVVMLVGEVAARRGGGGGAGGMVLGGGMGMAAAAVGGDDAVVMQLAAAEGEETVVTVNCPDQAGLGCDLCRTILEFGLRITRGDVSTDGHWCFVVFWVVPRSSSIKIRWASLKNRLMSMCPSSYSIPFYPDISQPGPSQFYLLKLLSPDRKGLLHDVTHILSDLELIIQRVKVSTTPDGRVVDLFFITDGMELLHKKERQEETCSTLIATLGPSISCEVLSAEGFQQGFSSLPPKIAEELFRVELADSEICSSSLSAELKKVQTATINFDNSLSPAHTLVQIICADQKGLIYDILRTMKDCNIQIFYGRFRSDKKGSVSKGCREVDLFVKQVDGKKVMDPEKQDALQSRLRSEMLHPLRVMIVGRGPDTELLVANPVELSGKGRPRVFYDATLALKALGICIFSAEIGRQAASERQWEVYRFLLDDSKEFPLANSLTNRNRVVDRVRKTLMGCYN >Sspon.01G0005690-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:12897349:12911501:1 gene:Sspon.01G0005690-2B transcript:Sspon.01G0005690-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVPLLLSPFSLPSPPQPLLRNGAPWRCPTLLRAALRLPPPPHLGLAAARGDGGRRFVGTLGSKRARAAGAVRISAMSGDGGGGAGVYFSILFIRFRAGIVTREMLALPKSQFMLIGLLEALGAASGMAAAAAATVVFAVMNRVLYKLALVPMKNYPFFLAQFATFGYVLVYFSILFIRFRAGIVTREMLALPKSQFMLIGLLEALGAASGMAAAAMLPGPSIPVLSQLILSVLILGRKYRANQILGCLLVTTGVILAVAGGASDGRILSEVKFFWPAVMMTSAAFQAAASIIKELVFIDGAKRLEGKRPDIFVVNSFGSGFQHD >Sspon.06G0001630-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:3765126:3767234:1 gene:Sspon.06G0001630-2C transcript:Sspon.06G0001630-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVLTVLVAAATAVSTAAALNTDGLALLALKFAVSDDPGNALSTWRDADADPCFWAGVTCASSSSGATGRVSAVELANASLAGYLPSELSLLSELQALSLPYNRLSGQIPAAVAALQRLATLDLAHNQLSGQVPPGIARLVSLQRLDLSSNQLNGTLPPALAALPRLSGVLNLSYNHFTGGVPPEFGGIPVAVSLDLRGNDLEGEIPQVGSLVNQGPTAFDGNPRLCGFPLKVECAGGASGEDGPRIPDSSGAGGGVSDPGAAAEVGRRAPKPRRRSSPTVPVLAAIVVVVIVAGVALQWQCRRRCATTAQDEEKESGKEKGSGAVTLAGSEDRRSGGGEEGEVFVAVDDGFGMELEELLRASAYVVGKSRGGIVYRVVPGRGPAVAVRRLSEPDDGDSDGSGWRRRRAFEAEAAAIGRARHPNVARLRAYYYASDEKLLIYDYLPNGSLHSALHGGPTASPTPLPWSVRLSIVQGAARGLAYLHECSPRRYVHGCIKSSKVLLDDELRAHVSGFGLARLVAGAHKAAGHHSKKLGSAALCALRGGTGAASYVAPELRAPGGAPAAAATQKGDVFAFGVVLLEAVTGREPTEGEGGMDLEAWVRRAFKEERPLSEVVDPTLLGEVHAKKQVLAVFHVALGCTEPDPEMRPRMRAVAESLDRIG >Sspon.05G0021510-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:63819224:63821665:-1 gene:Sspon.05G0021510-2B transcript:Sspon.05G0021510-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MWTDSPLLRDAGAAVLTGVAAAVVLRFWEEVANRALLDQETGAHQCRISVFPYVAFVQVNSDDVFAPFLAPLIIIVNIIKVTVIGLGLVKDEGVVNSMTRHGDHRFMCYFNIFGFVEKSWTMVAAFGIISLVAAVVESLPISTRLDDNLTSSRKEESHLIGQVEKGIEIEKKNLSGK >Sspon.06G0030310-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:41198564:41200459:1 gene:Sspon.06G0030310-2D transcript:Sspon.06G0030310-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLPHGLLRRARAPSALPPRRHLSRLLDRYGFVAPDSLTPAPREPPSDTAAAKKRRAKKPPYRPPSSLDCGGRPPAHSNLPFDFRFNYTESTPASKPIGLREPKYSPFGPGRLDRPWTGLCAPAVDATLRDVEAEDPLPDAERGLEEARRRERESVLGEPLTPAERAFLVDKCQKNRTKRQINLGRDGLTHNMLNDIHNNWKTCEAVRIKCLGVPTVDMQNVCHQLEVAKSPKSIALLLSVADKTGGLIIHRHGSLLILYRGRHYNPKKRPVIPLMLWKPAEPVYPRLIKTTIEGLTVEETKQMRKKGLQVPVLTKLAKNGYYASLVPMVRDAFLMDELVRIDCKGLPKSDYKKIGVKLRDLVPCVLVSFDKEQIIVWRGKEDGSLQDQTQKSFLSFIDSDGASVKDETSDQVQIPSDWSSDECSGISSSDEVPNDKPVISDLDSSRSI >Sspon.02G0006300-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:18845112:18852688:-1 gene:Sspon.02G0006300-1A transcript:Sspon.02G0006300-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVLLLLLLLAVASSPATASGDGGAAVPVGTTVLSSFCSPTNSSVLQTYQPNSTFAANLQKLVAELPRNASASGFSAGRFGAAGPGAAYGIVLCRGDFLGQQCVDCLAEGFVQAASRCPSSVDATMYYDQCQLRFSDQDFLAGAGAGAANMPESAASNMNKVSTGNAAAFDSLVTRLVDAVSEAASNRSTRYATGQAGFPPENMNVYALVQCTQDLTTGQCRECLTDLIDQMPRLFAGKVGGRILGVRCHIRYEKDVFFAQTQDMLTLTPLLGSTKGSSTTLWIVAVVVPVSVLLACFLACFLWIRKRRRRGTVSVPTMSMEMEQVLKLWRVEESDSEFSIFDFDQIADATNNFSDDHKLGQGGFGPVYKGELPGGLEIAIKRLSSVSVQGLMEFKTEIQLIAKLQHTNLVRLVGCCVQADEKMLVYEYMHNKSLDCFIFDSEKGKALTWERRFRIIDGVAQGLLYLHKHSRLRVIHRDLKASNILLDRDMNPKISDFGMARIFCSNVTEANTTRVVGTHGYIAPEYASEGLFSIKSDVFSFGVLLLEIISGKRTAGFYQYGKFFNLTGYAYQLWQDGKWHELVDPALGDDLPVGEVLKCVQVALLCVQDSADDRPNMSEVVAMLGSEGITMPEPRQPAYYNVRITSLAVSSDSFGESSCRISSITLTDHEEGR >Sspon.07G0038070-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:69516255:69516816:-1 gene:Sspon.07G0038070-1D transcript:Sspon.07G0038070-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MELGNPAQRRGIAFITDKGCLNFVHLEITEEVFPETDHETGSTLVRVDDWALTTSAPAPTRIGKESVVRASNITIDDPGILLVLEDTGLFRGLAEQRNLQNLSIYQPSPCINGEDVVYLVARQKFSHPKAWILVVDMKNEGCSLPLTLACIRRYRGLEVIYCPSRISKYINPAWSRKK >Sspon.03G0017730-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:55099708:55102460:1 gene:Sspon.03G0017730-1A transcript:Sspon.03G0017730-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding QMARPRSSLLLGAVAVALVLAAAPSTLAGDPDYLQDLCVADLNSEVKVNGFPCKANATADDFFSSILAKPGATNTTSGSVVTGANVEKVPGLNTLGVSLSRIDYAPGGLNPPHTHPRATELVFVLYGTLDVGFVTTANKLVSKTIAQGDVFAFPRGLVHFQRNTGAEPAAVISAFNSQLPGTQSIAMTLFGASPELPDEVLAKAFQIPDEEVDKIKAKFAPKKG >Sspon.06G0015920-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6A:86909731:86912252:-1 gene:Sspon.06G0015920-1A transcript:Sspon.06G0015920-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AQLLAFLGAPSAEGLANFGRRVANRVLADRSDAGGPRVLFGGGVWVDAARGELTDAFRDVAAEAYKSEARTVSFTDELRPCIDSMISTDDINTATDLVLANAVYFKGMEPFQPHFTSPGTFHRLDRGHVEVDFMAKYWRMDVACMDGFKVLKLPYKPGAAPPAQVEPAHGQLKRRRGQVTAKSKDTPSTEVNESTQYSMFVFLPDTRDGMATMVDVVTASPAFMYSILAEMKLRVVDLKLPKFKITFNWGNLKETLCQLGLTLPFSPEAADLRGMCNGDEGDGKSRRPTFLTKVAHMAVVNVNEKGTEAGAVAFHVRGGGGPPPDLVEFTADHPFTFFIMEERSGVIVFVGHVLDPT >Sspon.04G0031360-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:12157911:12161364:-1 gene:Sspon.04G0031360-2D transcript:Sspon.04G0031360-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding KMITATDFYHVMTAVVPLYVAMILAYGSVRWWRIFSPDQCSGINRFVALFAVPLLSFRFISTNNPYTMNLRFIAADTLQKLMVLAMLTAWSHLSRRGSLEWTITLFSLSTLPNTLVMGIPLLKGMYGEFSGSLMVQIVVLQCIIWYTLMLFMFEYRGARLLITEQFPDNAGAIASIVVDPDVVSLDGRRDAIETEAEVKEDGKIHVTVRRSNASRSDIYSRRSMGFSSTTPRPSNLTNAEIYSLQSSRNPTPRGSSFNHNDFYSMVGRSSNFGAADAFGVRTGATPRPSNYEDDASKPGNKYPLPVVNAASVPGAAGHYPAPNPTVAAAPKKKAAGTNGQAKGEDLHMFVWSSSASPVSDVFGGGAPDYNDAAAVKSPRKVDGGGAKDRDDYGERDEFSFGNRGAMDRDAEAGDEKAAAAGGDPSAVAAPTAMPPTSVMTRLILIMVWRKLIRNPNTYSSLIGVIWSLVCFRWNFQMPAIVLQSISILSDAGLGMAMFSPGLFMALQPRIIACGNKVATFAMAVRFLTGPAVMAAASFAVGLRGTLLHVAIVQAALPQGIVPFVFAKEYNVHPDILSTRVIFGMLIALPITLVYYILLGL >Sspon.08G0030200-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8D:56549983:56550682:1 gene:Sspon.08G0030200-1D transcript:Sspon.08G0030200-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPKVSLSSTSTTQASRYGGPKGPTTLELAHSWLTHTSYVSISLSRPPPIPHHDIEAKGAGGLRCAAPKRATVTPELLMPLCIPSNAGPLLPLAYAAPAAAAPVPLAACCSAAAAAPSWCLPANCCCAVWRRGWGGARGDGGGEQEALRKVDFLKETLAVQVDQPRREGDELPGTSACAAE >Sspon.03G0001920-3P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7C:15989053:15990076:-1 gene:Sspon.03G0001920-3P transcript:Sspon.03G0001920-3P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AIKRANCFHVEGHGDPCNISSTPYMIIFGVAEIFFSQIPDFDQISWLSILAAVMSFTYSTIGLGLGIVQVVANKGVQGSLTGISVGTVTPLDKVWRSLQAFGDIAFAYSYSLILIEIQDTIRAPPPSESKVMRRATIVSVAVTTLFYMLCGCMGYAAFGDNAPGNLLTGFGFYEPFWLLDVANAAIVVHLVGAYQVYCQPLFAFVEKWAQQRWPKSRYVTGDVDVPLSLAGAAGRCYKLNLFRLTWRTAFVVATTVVSMLLPFFNDVVGLLGALGFWPLTVYFPVEMYIVQKKVPRWSTRWVCLQLLSLSCLTITVASAAGSVAGIISDLKVYKPFVTTY >Sspon.05G0003790-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:4000056:4001316:-1 gene:Sspon.05G0003790-3C transcript:Sspon.05G0003790-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVALLCSSKLRCSMLLVGVALMVGMHLSAASLDDDIEIRWGASHTYFFMDGDTESLALSLDDEQGGSCFRSKEMFLYGTISMEIKLVEGNSAGVVATAYTISEGPWSYHDEIDLEFLGNLTGEPITLHTNIFVNGVGGREQQFYLPFDPTADYHTYTIEWNPKYILIRVDGKAIRAFKNYEEYGVAFPTWQQQRVYGSLWDADEWATQGGRIKTDWSEAPFVAYYRNYTFTWCQPSPGVSWCGAEPRDSTRFDLDQKTLGELWWASQQYRIYDYCSDHKRFNDNESDFPKECSLQRQGL >Sspon.06G0003110-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6A:9662547:9664339:-1 gene:Sspon.06G0003110-1A transcript:Sspon.06G0003110-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAGQRAAWAPVSRRRRAGQFHGLPELHDGHLELLTVSCLISSLSASIKPSPMPPPTFFFSSFARHRQSFAGQIRPPCSTLALSISPSASPSPRDSFAFPCAVATARDRVCAVIFNLGPLPSKVAPPPWAALYAHGETRRDVGVSSERAAAIAVATVPPHASNLIITDSCRRSAEHRATVPPWSGEASSVASAGGSSVQNGAVGCVGHVARGSLVEASTSGSWPLAGRRAAMAGHGGEQRGRRGRLGLVLLGERVGERERVDGPRVCWAELREKRRIPAFEEVDFVEQASGEELSSVGSGPDVGVVEPEPIVSFEQGKPRCI >Sspon.03G0020330-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:85344675:85349336:-1 gene:Sspon.03G0020330-2B transcript:Sspon.03G0020330-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLPPSQAAAAAYGCCHRLLLASTTVPARSGCVGRARRPTLALRCLPITGHRLSSRKVACQAMTETESEGDGDKEEKEEFGDDASSPSVDSVAEANGPAESDSSIDNKKDETTNAELLSSSDTVQNIDGDATSTNDIQENVEIVEVASGSPLPGMKQQLDDSVRIPKATIDILKDQVFGFDTFFVTSQEPYEGGVLFKGNLRGKPAKSYEKITNRLQNKFGDEYKLFLLINPEDEKPVAVVIPKQTLQPETTAVPEWFAAAAFGLVTIFTLLLRNVPILQDNLLSTFDNLELLKDGLSGALVTGLIIGVHEIGHILAARESRIKLGVPYFVPSWQIGSFGAITRIVNIVCNREDLLKLAAAGPLAGFSFGFVLLLLGFILPPSDGLGLVIDPTVFHESFLVGGLAKLLLGDVLKEGTQLSINPLVLWAWAGLLINAINSIPAGELDGGRIALAIWGRKISSRVSSLTIGLLGIAALFNDVAFYWVVLIFFLQRGPIAPLSEEITDPENNYIGIGVAILLFGLLVCLPYPFPFDPSQLTDIDFNF >Sspon.07G0013180-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7A:47756381:47756514:1 gene:Sspon.07G0013180-1A transcript:Sspon.07G0013180-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RHNNVENDFKKHIKVGIESLSKVAFLLNHVLLVAAHVIFCDVP >Sspon.08G0004780-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:13671823:13674579:-1 gene:Sspon.08G0004780-1A transcript:Sspon.08G0004780-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLLLVAAALLACAGGARGFYLPGVAPADFRKFVDLRESSPHALSLCGLLQKDPLAVKVNQLSSIKTQLPYSYYSLPFCKPDTVVDSAENLGEVLRGDRIENSLYVFEMMEPRLCQIVCKITPSQDEAKDLKEKIEDEYRINMILDNLPLVVPIKRLDQEAPTVYQQGVHIGIKGQYSGNKEEKHFIHNHFTFLVKYHKDVNTDLARIVAFEGDATPLKTCDPHSRHLVVDSDSPQEVDANKEIIFTYDVNFEESPIKWASRWDTYLLMADDQIHWFSIVNSLMIVLFLSGMVAMIMLRTLYRDINKYNQLEDQEDAQEETGWKLVHGDVFRPPANADLLCVYVGTGVQFFGMLLVTLLFAILGLLSPSNRGGLMTAMLLLWVFMGLFAGYASSRLYRMFKGSEWKNVTIKTALMFPGIVFAIFFVLNALIWGEKSSGAVPFTTMFALVLLWFGISVPLVFVGSYLGFKKPAMEDPVRTNKIPRSIPEQPWYMNPVVSVLIGGILPFGAVFIELFFILTSIWLHQFYYIFGFLFLVFVILILTCAEITIVLCYFQLCGEDYQWWWRSYLTSGSSALYLFLYATFYFFTKLEITKTVSGVLYFGYMLIASYAFFVLTGTIGFYACFWFTRLIYSSVKID >Sspon.01G0028960-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1A:100872390:100872607:1 gene:Sspon.01G0028960-1A transcript:Sspon.01G0028960-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GRIVMEMVMVTCRSCSIKYVTYRVGSSVWPSINASLVVVVHVILPQPPPPPPATRDFLNIGLAYRRNMKSK >Sspon.01G0032920-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1A:111488123:111488576:-1 gene:Sspon.01G0032920-1A transcript:Sspon.01G0032920-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSMQSQRLHAASCYRQIIPGYQRWPLTSMRGLSSEFCLPLVVLLGWSDDDFAKGIWRGRILAFDRKSSSCSHWLTRACTTGRQAEAQANIALVRGQGAAGQAYRIYVHVKKNIAAASLYCRR >Sspon.01G0017890-4D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:63275420:63277309:1 gene:Sspon.01G0017890-4D transcript:Sspon.01G0017890-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSPARAGGAVEDQAEADVEETGRGTWRHAAFHVATTIATPAAYAPLPFAVASLGWPLGACSLVIGRLVTWCSSLVVASLWRWNGEKHTNYRLLAESIFGPWGYWYVSFFQQVASVGNNIAIQIAAGSSLKAVYKHYHTADDGAMTLQQFILVFDALELLLSQLPDIHSLRWVNAICTASTIGFAGTTIGVTIYDGYRIERKEISYSLQGSTATKIFRAFNALGTFSFGDAMLPEIQSTVREPVRANMYKGVSAAYTIIVVSYWTLAFSGYWAFGSQVQPYILSSLTAPRWATVMANLFAVIQIAGCFQIYCRPTFAHFEERIQAKNMSCRSCLCRLTYTSAYMAVITLVSAAMPFFGDFVSVCGAIGFTPLDFVLPAMALLKTWMMPDNPGLQCAVKMLGTAVAILFSIIGALACIGAIRSIALDVKTYKFFHDM >Sspon.01G0005540-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:12855580:12860495:-1 gene:Sspon.01G0005540-3D transcript:Sspon.01G0005540-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASLSKHLRLRLRGGGEHCLLPSRASTSHTSSPAPPPPSRPPAAAAPPPPGAGKEASAWSKLFLFAPGAITFGLGTWQLFRRQEKFPARPQIEMLDYRTRRLEMDPVAWNEVASSAALRDPAALEFRKIVYEGDFDEEKSVFVGPRSRSISGVTENGYYVITPLIPRSTESGSLQSPILVNRGWVPRGWRVKNVKDLQILDEASESPEAVKKPDEKGAWWKFWSNRPKSSPEIEKPRIPPVRVIGVIRGSEKPSIFVPANEPSSGQWFYVDVPMIARACGLPENTVYIEDINEDVSPTNPYPVPKDVNTLIRHSVMPEDHLKYTFTWYTLSAAVTYMASRRIKAKK >Sspon.07G0007910-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:18478752:18481233:-1 gene:Sspon.07G0007910-3D transcript:Sspon.07G0007910-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDHFALGLGALLLLLLSTSGFSSELDVQCLRDVQKSVIDPSGILKSSWIFDNTTVGFICKFTGVECWHPDENRVLTLRLSNLGLQGPFPKGLKNCTSMTGLDLSSNNFTGAIPSDIEQQVPFLTTLDLSYNGFSGEIPVLIYNMTYLNTLNLQHNQLSGEIPGQLSALARLQVFNVADNRLSGTIPSSLRNFSASNFAGNEGLCGPPLGDCQASAKSKSTAEFIGVVIGVVVVVIIIMVVFFCLRRKPAKKKAKDEDDNKWAKSIKGTKTIKAISKMKLSDLMKATNQFSKENVIGTGRTGTMYKAVLPDGSFLAVKRLQDSQHSESQFASEMKTLGQVRHRNLVPLLGFCIAKKEKLLVYKHMPKGSLYDQLNQEEGSKMDWPLRLRIGIGAAKGLAYLHHTCNPRVLHRNISSKCILLDEDYEPKISDFGKGADSELMQFLKVACSCTLATPKERPTMFEVYQLVRAIGERYHFTADDDLVLPPLSTDGDGVTLDELIVANSRFLALFPAPGCIGISQGRQRSVFCEGALCQLETILGPKSPVLRARQVVKRFDSDH >Sspon.04G0000650-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:3025295:3027872:1 gene:Sspon.04G0000650-1A transcript:Sspon.04G0000650-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCKACDKPKPNYRKGLWSPEEDQKLRDYILLHGHGCWSALPAKAGLHRNGKSCRLRWINYLRPGLKHGVFSPEEEETVMSLHATLGNKWSRIARHLPGRTDNEVKNYWNSYLKKRVEGKEGPSTSPAPAASNSGAAADSDDSHCVKPGDDGTAQEAAGANRPADSAGSWEPRESSSADSSCLTDPPACRPHAAPVAAPKVMFADWLDMDLDTDYYMGGGGPPPAAAAPGLGAAAAAGVAGTGDGDHPQVMSQGSVQQVDGPSGVDVSLHGFADSGASCWEFQEHFDDGIDEMQTAGFCDLLSMSDYFGLN >Sspon.01G0018800-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1B:75694937:75696562:-1 gene:Sspon.01G0018800-2B transcript:Sspon.01G0018800-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGGDGLQVLSALDAAKTQWYHFTAIIVAGMGFFTDAYDLFCISLVTKLLGRIYYTDTSKDNPGSLPPNVAAAVNGVAFCGTLAGQLFFGWLGDKLGRKSVYGMTLMLMVICSIASGLSFGHTPTGVMATLCFFRFWLGFGIGGDYPLSATIMSEYANKKTRGAFIAAVFAMQGFGILAGGIVTLIISAAFRAGYPAPAYKDDHFNSTVPQADFVWRIILMLGAAPAVLTYYWRMKMPETARYTALVAKNAKQAAADMSKVLQTEIVDEQEKLDEMVTAESNTFGLFSREFARRHGLHLVGTATTWFLLDIAFYSQNLFQKDIFTAINWIPKANTMSALEEVFRISRAQTLIALCGTVPGYWFTVALIDVVGRFAIQLMGFFMMTVFMLGLAIPYHHWTTKGNHIGFVVMYAFTFFFANFGPNSTTFIVPAEIFPARLRSTCHGISAASGKAGAIIGAFGFLYAAQNQDKNKTDAGYPAGIGVRNSLFVLAASNMLGFVLTFLVPESKGKSLEEMSGEADDAEEEAVGTRAVRPSETQMV >Sspon.01G0016030-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:55207149:55211025:-1 gene:Sspon.01G0016030-1P transcript:Sspon.01G0016030-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELMIGPLVSLLKDKVSSYLLDQYKVMQGMEEQREILARRLPVILDFIEDAEKGTSRSRPGVGAWLDAIKKVSYEGIDVFDEFKYESLRRDAKKKGHYVKLGMDVVSLFPARNPIVFRYRMSKKLHKIVQKIEVLVKEMNDFNFTRRQHVPVPPSVQWRWRQTDSIMVDSDMDIISRSRSEEKTKIVKILLEQNGHGSGGVPMVLPIIGMGGLGKTTFVQLIYNDPEIEKHFSLRRWCCVSEDFDIANIASKICQRHEEDREKALQELRKEVSGKKYLIVLDDVWNRDLDKWGKLVTCLKQGDRGSAILTTTRDAQVARVMTMGAPGAYNLQKLGDKYMKEIIQSRAFSVQKPTSDELDEVLHKIVDRCVGSPLAAKAFGSMLSNKTTIHEWKDMLTKSNICDEKTEILPILKLSYDDLPSDMKQCFAFCALFPKDYEIGVERLIQLWMAHDLIPTQAEDNPEMIGKEIFSQLAWRSFFQDVKQTPPPHDYCGNREQLRGRKICRMHDLMHDVALSIMGKECATIADRANVMSLSEPARHMFISYQLPGTRLDDFLKKHSSTLRTLLYSDPGVFYGSAPHLSKYNSLRAMQLCLLVELPIKPRHLQHLRYLNLSSNFLIEELPQEISLLYNLQTMDVSHCRSLCRLPNDMKYMRSLRHLYTNGCTSLECMPPDLRELTSLQTLTYFMVGSNSSCSTVGELEHINLSGELYLNGLENATEEHAKAASLGTKEKLTHLSLKWNSEDNGELISDCHSKVLDALKPPGGMEMLSIVNYRGNGVPRWVKDLGLFHQQLTELHLIGCTLCEDFPEFSHMSVLQVLHLKKLYKLRSLCRNVEFMEFSALKELELCDLKSLERWVATQGKGDEVTFPVLEKICIEDCPKLTSLPEAPMIKEIKIREDKAQLSLSLISSRCMSSLSVLELQVRDTEAALELDQNQELPIAEMNITGCSFLFVPSPSRHIVGIWKWFGQLQALEIRRCSSVIYWPEEEFLSLVSLNKLKLVCCSKITGRAQVNGAGTRARDDLLPRLKRLEIEECESLTELFVLPRSITHIRIDRCRSFEFIWGKDDTEPLSVQVEHGNDVTATTRAPEQSQGSTNSLPCLETLCISDSDKLAALPNIPPSLKMIYVYHCPELRSISGHLDALMHVSIVECNKLESPEWGNMPALNGIRLDKCKRLTSLPGNLGSYSALITVDVRCCPAIDMKPLYEHLPQRLDSLESIYLSHAQSSDPEEGDQDNRRQGTTDPLINFIQMHVFIVCVELA >Sspon.04G0029240-1T-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4C:73807579:73811399:-1 gene:Sspon.04G0029240-1T transcript:Sspon.04G0029240-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVPVGGGGSKDRMVTTAAACLLLLQLCGCVAVAAALPIKDGGGGGGYLRYKDPKQPLNTRIDDLLRRMTLAEKIGQMSQIERENATADVINKYLIGSVLSGGGSVPAKNAPAEAWVKMVNGMQSGALSTRLGIPIIYGIDAVHGHGNVYKATIFPHNIGLGCTRDPELAQRIGAAVALEVRATGIPYVFAPCVAVCRDPRWGRCYESFSEHPEVVRNMTSIISGFQGEIPTTGGRPGAPYVAGQRNVAACSKHYVGDGGTTKGVNEGNTVASFHELMAVHMPPYYNAVIRGVSTVMVSFSSWNGVKMHANHFLVTDHLKNRLRFRGFIISDWQGLDRITTPDHADYLLSIKLGILAGIDMVMIPYTYTEFIDDLTLLVQNGTIPMSRIDDAVRRILRVKFTMGLFENPYADTSLVGELGKQEHRDLAREAVRKSLVLLKNGKPGTKPLLPLPKKLSYGGSVLVAGSHADDLGSQCGGWTITWQGLTGNNLTAGTTILDGIKRAVAPGTDVVYSENPDADFVQNNKALFDYAVVVVGEPPYAETFGDNLNLTIPAPGPDIIRNVCGSIRCVVVLISGRPLVVEPFIDDIDALVAAWLPGTEGQGVSDVLFGDYGFTGKLSRTCFRSVDQLPMN >Sspon.01G0051370-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:15004628:15013226:-1 gene:Sspon.01G0051370-1C transcript:Sspon.01G0051370-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAGPPRPSGAEAEDARDGSGPGAGSGSRRLEEKAAPREQRGERTLNLICSLKIFQNVLMTSACQIEDVKKWLEEKLKAPKTKAGGWTLVLTGQTGVGKSIAFNPVTTNSIKKILVRICKEESCNASEELLHQMATSSGGDIRHAIMSLQYYCLDPRRHSSALATSSTRTGSKSHDSLVPGHESYGPSSALPSPCGRDETLSLFHALGKFLHNKRETNSDVDTVIDFIDSEAVDDAWAVVSYLSEADCLLTASPIASYNSENIAQLIAASVAARGVLFGNAHVTSSRWHTIRSPKLWQIEQSFRSNKDHILKERFDCSRMCGFSNFTDLVTEFRPFERWIGPCNDGHRSSCLPHGIGGSPLGVADGNNSEEDDDMIEDC >Sspon.02G0018390-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:61956110:61957961:1 gene:Sspon.02G0018390-3C transcript:Sspon.02G0018390-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNFGFSSFRSDSTGSSKSSLPRRVLSIGAISLAGGLVLSAVNDLAIFNGCTTKAIEHAADNPAVVEAIGAPIVRGPWYEASLEVGHQRRSVSCTFPVSGPHGSGFLQIKAARNGEDGLLSFLRHHDWEILILEAHLHVPSDDEEQKKLVKVNLASDGRGEDGDPESEC >Sspon.01G0003570-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:9992979:9993542:-1 gene:Sspon.01G0003570-1A transcript:Sspon.01G0003570-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATWTGRQGWQPPQRLRHVGGDDPGPTSPRRAASPLPSQDQEYPSSSLVVGATPPLIPLAGVHCSTGGGEEQEEEEKQRGGEGPSSPAPGAARRRAHGRPRARGQQIPLVARTAAPGSEAEQGTAADELARPREGERARASD >Sspon.03G0044060-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3C:86543040:86544520:1 gene:Sspon.03G0044060-1C transcript:Sspon.03G0044060-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSRYRRLADAVGNKGGPAGPDGGTHRHHIAAAASTTSPDSSSPEAVESELSASSWQPLPRSVLHLYHVGTSPTTGYAAPFVPWASRAGEMFYSWRNKTSSLPWMRRRSLVGDSDVPLSPKPSTASRGVPAQKPFIPWFPGDPRAHTPSGPPSQKPFIKWTHGDPRAHTPMLPAWSRFALANLQGAPLLAPPPRPQSNQDASHPPSPAEASKDCQGFLAQLAFAAIVIMGVRDGLDDLLSGWNEALKVIFVLSLIVGAGTLAVGLMATSPVVSTGYSYTRTVLSTCARFCTCLAAFVLILDLSVLMGSHGYIAGIILGLVSVLFSVSIWIKGDPAAYGVYTRARNGTKHLCGCFKWSRQRGPILPT >Sspon.03G0013640-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:39057315:39059899:-1 gene:Sspon.03G0013640-1A transcript:Sspon.03G0013640-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWGDPALTSFVADSSYRHLCCYGAGIAGNVFAFVLFISPLPTFKRIVRNGSTEQFSAMPYIYSLLNCLICMWYGLPFVSYGVVLVATVNSIGAVFQLAYTAVFIAFADAKQRLKVSALLAAVFVVFGLIVFVSLALLDHQTRQMFVGYLSVASLIFMFASPLSIINLVIRTKSVEYMPFYLSLSMFLMSASFFGYGVLLRDFFIYIPNGIGTILGIVQLMLYAYFRKGSSEEAKLPLLVTHT >Sspon.08G0022760-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:52073773:52075020:-1 gene:Sspon.08G0022760-2C transcript:Sspon.08G0022760-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFFIFGLLNLLAQNLLRLRRARRRRRRVGDAAAGAPDGSSPTAFQGQLQQLFHLHDAGVDQAFIDALPIFPYGAVAAAAVGHRHGAAKDHDGAEPFDCAVCLCEFADDDKLRLLPTCGHAFHVPCIDAWLLSHSTCPLCRGSILAEADYSYSSPSSSSLLLLHHSYGLAETVARDGGDPGARDGDESPEDVEEIVEVKLGKLRCVDGNASARDLEADGTGSSSGNGRGSLGQRRCLSMGSYEYVMDDHAALRVTIKATPKRRPASSRSSRRRHALSACDLGCPKKAGAWETAVTEAAAASADADGRCGDGAASLSRDSFSTSKIWMASAAKREEDGRRPGPAAEPVAGERRVASFRWPAMASGCKKHRRDEEPCDVEAGGSGDSAVSSVVEERPPSVARAAMLWVSGGRQGSHS >Sspon.08G0016390-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:64892081:64897121:1 gene:Sspon.08G0016390-1A transcript:Sspon.08G0016390-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLELERPRRRPPPDPVAVLRGHRAAVNDACFHHSLPLLFSGAADGELRAWDTASHRTASSVWAHAGSAGVYSVAAGAGLGNKIISQGRDGTCKCWAIEEAGLSRKPLFTVKTSTYHFCKMSLVKSSFTQATKSGSTCSASDVEPKRVSSENTECHGVNPTVAPQEHDQCTTSNGHNMLAIAGEESSQVELWDITSARKIVTLPQTCSTNTTNHPTKKKGLCMAVQAFIPHESAGNVNILSSYEDGSTLWWDVRKPGLPLSSVKYHSESALSIAIDGFCNGGISGGADDKVVMFTLDHPKGTFILRKEIELERPGIAGTAIRPDNKIAATAGWDHRIRVYNYNKGNALAVLKYHSASCNAVTFSSDCKLMASCSADTTVALWDLYPQKPQSKVDITETG >Sspon.01G0011690-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:39055893:39057440:1 gene:Sspon.01G0011690-2P transcript:Sspon.01G0011690-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEEGWFSVTPEPIAKHHASRVGTGCKHVIAVDIDPQKIDCAHNNASIYGVNDRIDFIVGDFVRIAPHLKGETAFMSPPWGGPDYAKVDVYDMKSMLMPCDGLVLSFKLGTMIASRVVMFLPRNIDLNQLADMSLSVDPPWVVEVSPFLLILCQADFSYRCHNGLSLQVEKNFLNGKLKAITAYFEEQDG >Sspon.05G0012670-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:32646253:32646750:-1 gene:Sspon.05G0012670-2C transcript:Sspon.05G0012670-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding METYVRRSRSSTSLQRRASEASEKKRGFLAKTLERCWSLGGRRRPRQPMTPPGCFVVLVGPERERFAVRAERANHPLFRALLDEAEAEYGFPRPAAEPLLLPCSAHEFLQVMSEVERDHLEHDVACGGAAAAAAPLSSSPAWSLFLKGGAARAGFQRISPGRFLN >Sspon.02G0009650-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:21461959:21464183:1 gene:Sspon.02G0009650-4D transcript:Sspon.02G0009650-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATPDDCGSWLLYLSLAAKCAGGDQPYRLAGFLAVCAVAFVVTCLLHWCFPGGPAWGRWWWTQTRRRVAGVPGPRGLPVIGSMWLMTGLAHRKLAAAADSLRARRLMAFSLGGTRVVVAAHPDVAREILNSPAFADRPIKESAYGLLFHRAIGFAPYGAYWRALRRVASTHLFSPWQVAASAAQRAVIARQMVAAMKELSAAASASGRDGFEVRRVLRRGSLHNVMWSESPETRELRSLVDEGYDLLGQLNWSDHLPWLARFDLQSTRSRCDRLVPLVNRFVGGIIDEHRARNDLRSAPPAVMDFTDVLLSLPADDRLTDPDMIAVLWEMVFRGTDTVAVLIEWVLARLVLHPDVQARVHDELDRVVGPDRAVTESDSASLVYLHAVIKEVLRMHPPGPLLSWARLATSDVHVDGHLIPAGTTAMVNMWAITHDPDVWAEPTEFQPERFMGSTTAEFPIMGSDLRLAPFGAGRRSCPGKSLAMATVAFWLATLLHEFELLPARGVDLSEVLKLSCEMAVPLAVTARPREAV >Sspon.01G0000870-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:2823065:2824591:1 gene:Sspon.01G0000870-4D transcript:Sspon.01G0000870-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPWPRRPVLALVLLLLLALLCSHVALCSSAEPGKPKPKAKASGGRKALPADDDGEEVPATKPAKTATAGAAAGKTKKKLLAGDAASKNQTKVAKAKKPESAAAAKGAAKKPAGKAAAGGDAAIAKVSKAPKVPKADKADKAKVPKPDKAAAAAAGAKAKGADSTKPAKVSKTGAKAVKPPKTGAKSEVAAAAGKAKKPAAANSTADAGGKPAKSGKKATQVVADAKAKANATVVSKEESAATETAEVEVEEDVVLAKEAEEGTDDLISEFRDLPSRLQETLMPDLARLSHHSKAYLSAANAGIADGVRPILGGRWAAVAASAASVAVLLLPLFMLTALVRRMGPYLPLLHRALLLAQAYLAIYFATLALAAAATGLEPLRFFHAASPAAYAWTQAAQSLGFMGYLVLQMVDLVAVFSGAASPEDDGNGDAAKALGLAQMVVGLAVGLHYYAAVFHRAAAGEAPRANWRVYAVYAACFAIVCACARAERRKKAYLAGTDGAAEEWKKS >Sspon.04G0030390-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:863998:866559:-1 gene:Sspon.04G0030390-1C transcript:Sspon.04G0030390-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLRNMVELIGAMRIRPVLAQIITLGMILTSALIIWKGLIVVTGSESPVVVVLSESMEPGFRRGDILFLHMNKEPVRTGEIIVFNVEGRDIPIVHRVIKVHERHDTTEFDILTKGDNNRGDDRMLYAPGQLWLQRQHIIGRAVGYLPYAGWLTIAMTEKPVLKYLLIGALGLLVVASKE >Sspon.07G0026280-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7B:52490806:52491137:-1 gene:Sspon.07G0026280-1B transcript:Sspon.07G0026280-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding FQPPLPDIKHGAVLPAPDRVTQARLARGVTQVLVFWRGEPASSASWEDLDAFRASYPDFQLEDELGLEGARDVMWGNSYHRRRRNRDVRRAAERDAQASTRDAQGTSSG >Sspon.07G0035150-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:2134599:2140165:1 gene:Sspon.07G0035150-1D transcript:Sspon.07G0035150-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding PNQQPAFCEFPLLGFLPPSFLPAQHDRDHPPSPPPPAVASDLHHPQQHQPAPPLRTRILPTRSPRHCARAYKSHIPIALASPHPPRPYCAARTSPPRSVRRPWIWADRSPPASAWREDRDLGSGVPAEMEVFGPVTPGQVSFLLGLFPVLIAWIYSEILEYRKSLSHGKVHSDTNLDNGTIKEDDKSVLLEGGQLKSPSTKFRNLSTKANLLRFITMDESFLLENRSVLRAMAEFGIVLVYFYICDRTNIFPESKKSYNRDLFLFLYILLIIASALTSLKKHHEKSAFSGKSILYLNRHQTEEWKGWMQVLFLMYHYFAASEIYNAIRVFIACYVWMTGFGNFSYYYIKKDFSIARFAQVERWMEKLEESETKVRLSIKGTIVTLSLTAGFLWYEYIYKLDKVTYNKYHPYTSWIPITWLGKITLETYISQIHIWLRSNMPNGQPKWLLCFIPDYPMLNFMLTTAIYLLLSYRVFEITGVLKVAFIPSRDNSRLYQNFIAGIAISVCLYLCSLILLKIPVV >Sspon.01G0011060-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1A:30482379:30482771:-1 gene:Sspon.01G0011060-1A transcript:Sspon.01G0011060-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTCAVAFLTYCTQATCLPGLSRLSILSHSFQVSASSRICPGKQSVGSSSLPMRAVVLFAAGPHFCAGIEVAGPGDGPRLLAGEMMTCNFARTHAAQLLAPLTRSHPLSQSHQRSEKLGTRGYGDCHSGM >Sspon.03G0022820-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3A:69650693:69652494:1 gene:Sspon.03G0022820-1A transcript:Sspon.03G0022820-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPAALITSHTVPDKSIASKADTLPAPGFSAGAYSLEDALLDVVLDKEEAPGVLVGAPS >Sspon.05G0001310-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:5396310:5398997:1 gene:Sspon.05G0001310-1P transcript:Sspon.05G0001310-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LLWRRRNASAAAVVGATAVWFVFERAGYSFPSVLSNALLLLVAILFFWAKSASLLNRCGRGSSCLPFSYSMSVIMYYQYMIPSLQREDLALLYQPLPPLPNLEVSDAIVEKVADHALVWINRLLAVGHDIAIKRDRKVFIKVILILWVVSFVGMLFNFLTLVYIGVMLSLLVPPLYEKYQDQVDEKFGVAHSVLSRHIDTIVTRAGQSAKQKKTE >Sspon.07G0019800-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:77542031:77545930:-1 gene:Sspon.07G0019800-2B transcript:Sspon.07G0019800-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTTRSASTAAAAQRRRSRRKAPPPRQPWCCSFGLDPSTAAAASQSPGPLPAPPRAKPPPHQLAPPLSRRIRSPGRVSPIDDPTLPGAAGSSSVSARLSSVTECPHPALLPPPPPPPPAAAVEKPKAILKLRLVDKGVILEVDELERVRRESKVVRNVLGERGGEVTVEGMVEVEAFREAVDIMLDDEDEAAAMRRLARGGVARAIDVLEVSLSLMFDRGVNNCLRYLDAVPWNESEEEAIKKLMYQHSSYRSAFRNLLARLQPESPASSADLVVELADSITKGTNNNARKELRNLVNGILSKSSVYIKGDKELDSRSIYCICHSCVNSLVGLFEESLELAHADETSLSSVGKGPLSRIYKLVEDINWLLQILIDRQMGEEFVDLWADQKILSSMHDRVSPMVCHELSRISATVFIAMGSGKLHCTRDRRFAVFQAWFRPMLVDFGWLRRYPKGLNMTALEEGIGQALLTLTLKQQQALFLEWFEAFSGQGRECPDLIRAFQVWWRRSFVRSLGRSNS >Sspon.01G0049050-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:97367202:97367678:-1 gene:Sspon.01G0049050-2D transcript:Sspon.01G0049050-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRLAYAMRAPSITSGPTPCSFMAAMTRVNVAKDRPARSSNQVAPAAAPVLRRWRSPALASSAMGHPKSAVGVDVAALAATASTQCDTRPRSSARGRRPSQDLRARMASLGFLSTVCDMKRSARETRLSSEWSSDMPRRSAAQRSGAAVAGVRSSFTP >Sspon.05G0000220-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:1618993:1621373:1 gene:Sspon.05G0000220-1A transcript:Sspon.05G0000220-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASRGTLGERDRARARRLPPAREQQARTINKALFIGHPRLWNLNEAEVGQEPSPPRSAAAAAPFVCLPVAESAPPSGTLAQTQPSIGPQLHTRGSKRTDGQLGGVETVALPALVAKERQRRFPALLLLHGDFTPPPLYTRRSPNPTALTPPLHASIKQNPPPFSTPPLYRRFPIRSRPNREGARLLKEGRKEARVAMGELAATTSPPPPASAAAVVPVVFFDGEQSVDLGTVTVQPSLGGVKRLQAVVADRVGVAPHQISASLARPRRARRVPLDDATDLAAAVAREGNGCYVVAGLRRSRRERRGGRSRRDRKGGASGGAGAVEKTILKRLPPSDLASLVGPPPPLSLPLPLPRAGAAAPPLVLDGGWGYDDYEARLRELQRQRDWYLMSTAAAAAGPDPSYFHLAAAGHPEHEDPAALWSPRLRPSPCPECEAAAAAMRQPAFHWCVRDAVVSAGFRSHVGPIERPPKKIPSPPPTLPPPSPGRLPGLLGMPQPVAPPCAPRPLIHP >Sspon.01G0038880-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:32049660:32052458:-1 gene:Sspon.01G0038880-1B transcript:Sspon.01G0038880-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLAPPQFKWPPSTRAAVFREPSGGAGSRPSRINCYFTSTAVVDAELLECLSVEPPSSPHRTLPGCFGEALLNKEAMVAAAAAEAVALARAAAEVAGEVARMAQKDHPADLPRRVDTEDSFLSREVLRTEVGLEARRAGLGLLEGDEFSIIFSDESEDDDDDSGHSIAVAVKSARRSERRARRVRAAMKAAKCFSSSRNAVGASSTRKKRLKGCQTPLGCFYKMTGPRLLTAEQEVEFSEGIQDLLKLEAIQKEVAQYNGGEPTFSQWAAAAGTDENTLRRRLNYGVYCKNRMVKSNVRLVISIAKEHEGPGVEFSDLIQEGMQGLIRGAEKFDASKGFRFSTYSHWWIKQAIRKSVLEQTQIIRLPAHMAEASSRVKECCRRLRRELKRLPSNEEIAVDTGMPIRRVEAAMSLPKYSVSFTSKVGCTDVTYQVGSSLTSFSCKRYGGTYSMTIIMAPESNGVRFASPTWQEIMPDTSAETAEEVLHRWLMKKDVNTALESLSPREKKVMRYRFGIEGGRPRTLHDIGQLMGVSRERIRQIELGAFRKLRSKKRVQSLQHYLQPAESW >Sspon.02G0002820-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:8750611:8752646:1 gene:Sspon.02G0002820-3C transcript:Sspon.02G0002820-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRASGYWFSSSRATTGSPAVPGGRPEEPSRAGGDGGGGPARTAEGDERARLVVTTMPPPPLGATPGVPVAVPETRPWARSTSMSSVPWARLVVGLLLLVLLGYAFFKWGLPFLSEKVIMPIIQWEAKSFGRPVLAVVIIASLALFPVVLLPSGPPMWLTGIVFGYGFGFLIIMAGVTVGMSIPYWIGLLFRDRLNLWLEKKWPRQIALIKLAGQGSWFQQFRVAALLRISPFPYALFNYAVTVTEMKFIPYICGSVVGMVPDVFINIYSGRLIRTLAELNYRKHRMTTVEIVYNVVSVIVAIVFAIGFTVYARRALDNMERSEAICTEPVGAPAGSTEFRDQLQGCSTVRSVPIDVV >Sspon.01G0023260-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:84135160:84140861:-1 gene:Sspon.01G0023260-1A transcript:Sspon.01G0023260-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPGWQGVILVSACRWLSGEMLILSPQLSTVGTCLQGSISPSAPMTAAPANLPIDTETRRLVARVSQAVVGVASMDADGDEMWKGSGLVAEFDETSMIGTVFSSATVARRDSCFPSIEKIKVYLFDGASYDATIAACDYHWNLLVLSMSFDRVVKPMKLVEISENRNLRDPRLERCIFCLIQVNGQKSPLSFERIVDFTGCNWRSNDKQKWEGCWNAFSKSDLYSFPIPSNIILRCWEHFKNTGKYCRPTIRVLGVNMHNAQSSPWVKVPVSLHGGLDSILVELLFEILAENIGKMVELTVVKAENGSMESVCLPVEEAEEENFH >Sspon.04G0023990-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4B:16797372:16797689:1 gene:Sspon.04G0023990-1B transcript:Sspon.04G0023990-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHHDHEARGTAEEDITPVRHARHVKEEAESAGCGPLEGGRREGILGHSAFTDRDVVFRARPAPGCGGLVARVRNCTVRSGSFLVHAFDCGLLEDEPEPLERGEQ >Sspon.01G0001600-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:4574822:4579297:-1 gene:Sspon.01G0001600-1A transcript:Sspon.01G0001600-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPAAASAGRKTRVGPYEVGKTIGEGSFAKVKHARDSRTGAVRAIKVLDRNHVLRHKMVEQIKREISTMKLIKHPNVVQLHEVMASRTKIYMVLEFVDGGELFDKIVRLSKLSVAHIVNSGRLGEDEARIYFHQLINAVDYCHSRGVYHRDLKPENLLLDSHGALKVSDFGLSAFAPQTKEDGLLHTACGTPNYVAPEVLADKGYDGMAADVWSCGIILFVLMAGYLPFDDANLMRLYKLICQAHVSCPPWFSSGAKKFIKRILDPNPDTRITIAEILEDEWFKKDYKPPCFEQGEDVSLDDVDAAFNDSEDRLVSEKREKPESMNAFALISRSQGFNLGNLFEKEMMGMVKRETSFTSQCTAQEIMTKIEDACGPLGFNVRKQNYKMKLKGDKSGRKGHLSVATEVFEVAPSLHMVELRKTGGDTLEFHNFYKNFSSELKDIVWKAESDASKKQTK >Sspon.04G0017020-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:65914623:65915183:1 gene:Sspon.04G0017020-2B transcript:Sspon.04G0017020-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPAPAGAAAVPELALAPGPGEAKQGRAGEPRHRQCVGARLLSLAVQAAVTAAALAIFVLFAAASALLLLVLVLAARAFRHHRGSRYRVPSLDPPPPLRAGLSPADISLLPSFAFPGGSGGAGDESASASSSYSCAVCLEAARAGERWRAMPACTHAFHAACVDRWLARTPACPVCRAAVAVPMS >Sspon.01G0022430-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1A:82042682:82043122:1 gene:Sspon.01G0022430-1A transcript:Sspon.01G0022430-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEATGDLRWRQGWLDGGGMPPGPVLALSSIVAFFLYLTWQINEYEEQLRRRAQAGFCVLVLLGLLALVVLAHHALFDADGRLVVPAVSGWWRGQSDEGGGASDADTDRGSSGTSPWAVAAVVALLLVLASHKSSFQMFRPPFYLR >Sspon.02G0011790-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:31471173:31479758:1 gene:Sspon.02G0011790-1A transcript:Sspon.02G0011790-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tonoplast dicarboxylate transporter [Source:Projected from Arabidopsis thaliana (AT5G47560) UniProtKB/Swiss-Prot;Acc:Q8LG88] MDPRRGYWESSSEDVTRPLLPTHDEDRPATTGELSSWSELRTLLASKYLAVASGPAACALICALVDLGGHPAARNMLGVLAWVFLWWITDAVPLAVASMAPLFLFPAFGISSSDAVAKAYMDDVISLVLGSFILALAIEHYSIHRRLALNPHLLLLGICGTTAFISMWIHNTPCTVMMMPVATGILQRLPRDELEGGSGSDALVVQRFSKAVVLGVVYASAIGGMATLTGTGVNIILVGMWSTYFPEQRPITFSSWMSFGLPMALILFAALWATLCLMYCSKNTGSALSAYLDRSHLRRELSLLGPMAFAEKMGLIVLWMTRSLTDDIPGWAVLFDGKVGDGTVTIMMATLLFIIPSGKNDGEKLMDWGKCRKLQWNIILLLGAGFAIADGFKASGLTDILSEGLGFLRGAPVLAIAPVACVFSGVITEFTSDDATATLVLPLLAELGKSIGVHPLLLMVPGAVGAQLSYLLPTGSPGNVVGFSTGYITIRDMVVTGMPLKIVGVAALTVLLPTLGSLDNRNHKAKPND >Sspon.08G0012710-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:54192527:54202468:1 gene:Sspon.08G0012710-1A transcript:Sspon.08G0012710-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LSGAIPPALANSSMLEWIDFESNYLAGELPSQVFDRLPRLQYLYLSYNNLSSHGGNTDLDPFFRSLRNCTRLQELELAGNDLGGRLPPFAGELPRGLRQLHLEDNAISGSIPPNISGLVNLTYLNLSNNLLNGTIAPEMSHMRLLERLYLSNNLLSGEIPKSIGEMPHLGLVDFSSNRLAGAIPDTFSNLTQLRRLMLHHNQLSGAIPPSLGDCLNLEILDLSYNGLQGPIPAYVAALSSLKLYLNLSNNHLEGPLPLELSKMDMILALDLSANRLAGTIPSQLGSCVALEYLNLSGNALRGALPASVAALPFLQVLDVSRNALSGPLPGSLLLSTSLREANFSYNNFSGAVPRAGVLANLSAEAFRGNPGLCGYVPGIATCEPPKRARRRRRVVLAVAGIVAAVSFMLCAVGCRSMVAARAKRSGRQSVRLVDVEDQQAEREHPRISHRELYEATGGFVQEGLIGAGRFGRVYEGTLRDGTRVAVKVLDPKGGGEVSGSFKRECEVLKQTRHKNLVRVITTCSTASFNALVLPLMPRGSLDGLLYPPHGDNGCATAGGGGLDFGQIMGIVSDVAEGMAYLHHYAPVRVVHCDLKPSNVLLDDEMRAVISDFGIARLAVGEASSTSDESAPCNSITGLLQGSVGYIAPAPHAPMELAPMSAAILFTFLLLFLPYGPISPAVSAAAGGLDDDRAALLSFRSGVSSDPNGALASWDALHDDVCNWTGVACDTATGRVVNLTLSKQRLSGEVSPALANLSHLSVLNLSGNLLTGRVPPELGSLSRLTVLAMSMNAFTGRLPPELGNLSRLNSLDFSGNNLEGPIPVELTRIRSMVYFNLGENNFSGHIPEAIFCNFSTTTLQYLDLSSNSLDGEIPFRGDCSLPELTFLVLWSNYLVGGIPPAISNSTKLRWLLLENNFLAGELPSDMFGGMPRLELVYFTYNSLQSPQNNTNLEPFFASLTNCTELKELGIALNEVAGTIPPVVGRLSPGLQQLHLEYNNIFGPIPASLSDLANLTTLNLSHNLLNGSIPPGIAAMQRLERLYLSNNLLSGEIPPSLGTVPRLGLVDLSHNRLTGAVPDALSNLTQLRELVLSHNRLSGAIPPSLARCVDLQNFDLSHNGLQGEIPADLSALSGLLYLNLSGNQLEGPIPAAISKMVMLQVLNLSSNRLSGTIPPQLGSCVALEYFNVSGNELQGGLPDTIGALPFLQVLDVSYNGLTGALPLTLETAASLRHVNFSFNGFSGEVPGTGAFASFPVDAFLGDAGLCGSVSGLARCGGGGGAKHRPALRDRRVVLPVVITIVAFTVGIIGVVACRTAARAGVRRDSRRSMMLTDADEPTERDHPRVSHRELSEATRGFEQASLIGAGRFGRVYEGTLRDGTRVAVKVLDPKSGGEVSKSFKRECQVLRRTRHRNLVRVVTACSQPDFHALVLPLMPNGSLESRLYPPDGAPGRGLDLAQLISIASDVAEGLAYLHHYAPVRVVHCDLKPSNVLLDDDMTAVVADFGIARLVKDVGGDSDDLGSTAGSADPCNSITGLLQGSVGYIAPEYGMGGHPSTQGDVYSFGVMLLELITGKRPTDVIFQEGLTLHDWVRRHYPHDVGKIVAESWLTDAATAVADERLWNDVMVELIDLGIVCTQHSPSGRPTMEEVCHEIALLKEDLARHQGAAAATTGRVMTASATMTASERSYSTTD >Sspon.07G0001840-3C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7C:3291840:3292143:-1 gene:Sspon.07G0001840-3C transcript:Sspon.07G0001840-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AAAVRRAAGGSAAWAWSLLAASKGKARPRRAQQASAFRRPAAGARPRGAARGGARPRCAARRYGLPAAGSGYARADGGEAGGRRLPECRSNCELTSYPTVQ >Sspon.08G0027470-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:49369457:49374020:1 gene:Sspon.08G0027470-1C transcript:Sspon.08G0027470-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding IMDEKSLIKKYQNEIHQLKEELDQLKRGILSGTPSKDATEDNVILWKQKSRLEQEEAAKAALLARIQRLTKLILVSTKATQTPRLSQRPGPRRRHSFGEEELACLPHRRRDIVLDGESNDLFVPMEGFDETVEASSKGENKNRKGLLNWFKLRKHDGGSNAPSLGGDQSSLRKASTAPSTPLGNGLNSQTGQGMSNSLLPENVSANLLSVDHEEFHSDELHGEEAPLVTRKTSDHVDLLREQLKILSGEVALHKSVLKRLMEEAGRSTTSGHIEVFLLLKTVNCVHLKMEMKKVSDEIKGKQQQIAHLERQIKGKLDQLEHTPSHAKLLEQVNEKAFELEVPKLFSERAFSYVKTADNRVLQDQLQQKQYTDISLQNGSQVHKENPASIDVSDELHQKAEQSEIDELKQRLCELTEAKAQLEAHNQKLQEESMYAKGLASAAGVELKALSGEVTKLMDHNERLTNELALARNSTQRRVSNGQRVGRRDSYNKRLDPASRRDVHASYEREQALEIMLMGKDQRELELQKKIEESKQKEAFLEGELANMWVLVAKLKKGQVNQDDIDAKP >Sspon.01G0009830-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:27480432:27485246:-1 gene:Sspon.01G0009830-3C transcript:Sspon.01G0009830-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to Superoxide dismutase [Source: Projected from Oryza sativa (Os03g0351500)] MVKAVAVLGSSEGVKGTIFFTQEGDGPTTVTGSVSGLKPGLHGFHVHALGDTTNGCISTGPHYNPASMEHGAPEDENRHAGDLGNVTAGADGVANISVTDSQVIRHLVDPFDSYVTLAFSLTPLMIFFQIPLTGPNSIIGRAVVVHADPDDLGKGGHELSKSTGNAGGRVACGIIGLQG >Sspon.03G0025580-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:191464:195222:-1 gene:Sspon.03G0025580-1B transcript:Sspon.03G0025580-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-conjugating enzyme E2 11 [Source:Projected from Arabidopsis thaliana (AT3G08690) UniProtKB/Swiss-Prot;Acc:P35134] MASKRILKELKDLQKDPPTSCSAGPAGEDMFHWQATIMGPPDSPYAGGVFLVNIHFPPDYPFKPPKVSFKTKVFHPNINSNGSICLDILKEQWSPALTISKVLLSICSLLTDPNPDDPLVPEIAHMYKTDRPKYEATARSWTQKYAMG >Sspon.02G0040520-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:70233543:70235053:1 gene:Sspon.02G0040520-1B transcript:Sspon.02G0040520-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGDGPEAPAGGARVVLFPLPFQGHISPMLQLAGALHARGLAVTVLHTAFNAPDPARHPAFTFIAVPDAIPEAVAATNNGLAELLALNAAMEASGRVHDALALLLADEEEPRLACLIFDSTLTAVHKAAAGLGLPTLVLHTSSAACFLMARSYDMLLDKGYLPSTESNLHMPIKELPPLQVRDLKLPNEEIGKKILNLATETTANSSGAIFNTFEALESHELEMIRDELAHNGIPPFAVGPLHKLTRSNRNGAEASLLNQDRGCIEWLDTQAPGSVLYVSFGSVVHVTRDEFMETAWGLANSGKPFLWVVRHGLVLVVEKQELPEGFDLAVEGRGKVIEWALQREVLAHPAVGAFWTHNGTPRWRVSMRGCLCCRGLSLEINCQPEERGEVEKAIKRLLEEDEGVEIRERAKVLEKMRTCLESTGSSQQAVDKL >Sspon.06G0003320-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:10182542:10183245:-1 gene:Sspon.06G0003320-1A transcript:Sspon.06G0003320-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAEDERELLKKIRALEEGQAELKRQVSKLQRPDRRGSSSSHQPAGAESSPASESRRTGLSASHHVMAMQSLGQAAHVLDLQGKVLYWDRNAERLYHYSSTEAVGQDITRLIIHPDDIPALNTIIGDIFTGKCWRGKFPVKKKSGERFFVVADATPLYDDDGSLMGLICLADAERAH >Sspon.01G0019670-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:79202433:79204615:1 gene:Sspon.01G0019670-2B transcript:Sspon.01G0019670-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIILGAYHVTGGISPSGQRRGNRRRGGQREREPTAPISRPAGGHHHHRQSDMISILAQERLLGFALGSVSMGGFVLHQRRAIYRSIAEADGSPYFYQPGEIASRRSSTELAHVWNKAVDETLGRLVVYLSSRGW >Sspon.02G0047770-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2C:19712690:19713646:1 gene:Sspon.02G0047770-1C transcript:Sspon.02G0047770-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRWRCRGRARAPRPSPGWASAGHRGPTQPYRSSTCAGGTYQSNLQLVAVALPANASATPAGFAAGTVGALPNQISALALCRGDTDAPTCRACVADTFPGAQQDCPNSKDVTVYQDASIVRFSDQRFLEFPGVNSPYAVSSWDSDNLTVPAAWFDAAVAALMNATADRAVSVSVSVTAASSNSSARKYFATGVEDFDAEQYPKIYGLAQCVPDLTAVQCRGCLGSLVASMPGFLDGKPAGRSLGVWCNLRYSVSPFDTGPAMLHLPAPALAPAPAPTAVPSVVTPKTGAGSFPPWLVLLEENLSEASFGTEGSELTW >Sspon.07G0003530-1P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7A:9128955:9133470:-1 gene:Sspon.07G0003530-1P transcript:Sspon.07G0003530-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALHDELPFDLDFHPSSPLVVTSLITGELCLFRYGPESQPERNAINRLVCLTESTIATGDDEGCIKVWDTRERSCCNSFEVHDDYISDMTYVADSNQILATSGDGTLSVNSLRRNKVRSRSEFSEDELLSLVVMKNGKKVVCGTPSGALLLYSWGYFKDCRLVGILPNRIIQPLAEHSEYPIEALAFSNDKKYLGSISHDKMLKLWDLEELLNGPQVVNGDEPAEAGSDDSDDDSDDDAMDVDMAATSSKGTWDARFPL >Sspon.02G0001070-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:4503015:4504301:1 gene:Sspon.02G0001070-2B transcript:Sspon.02G0001070-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CBL-interacting serine/threonine-protein kinase 4 [Source:Projected from Arabidopsis thaliana (AT4G14580) UniProtKB/Swiss-Prot;Acc:Q9SUL7] MPPATGAVAGTVLLGRYELGGLLGRGASAKVYLARDLLTGRRSPTPRAGAAGDRPVAIEREAGILRRLRHRHVVRLHEILATRKKVHFVLDLAAGGELFSLVDASGRMTEDLARHYFRQLVSAVRYCHARGVYHRDIKPENLLLDEAGALMVADFGLGAVATADGSSLLRHTMCGTRRYEPAKVDIWSCGVVLFVLAAGYLPFNDASLVNMYRKIYAGRFRCPGWFSPALRDLLRRVLDPDPSARIDADGIVAHPWFRHGASDEEMGRLMHGGGGEQEEEWFGPGESKDEAADREPTAFDILSFSPGCDLSALFVGAGRGGKERVFVGDTPAAVLARVEAAGRKGGYRVRRDGKRATAVYVEEEESVVAKVSVFRLADAVSVVEVVKGDGADASLFWTELLEPAVKPPALSC >Sspon.07G0022370-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:56498498:56501426:-1 gene:Sspon.07G0022370-1P transcript:Sspon.07G0022370-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVQTICHCSCLRLAPALALSLVVVARTIPNSIPVIWFLEAEKMVLKTELCRFSGQKIYPGKGIRFIRADSQVFLFANSKCKRYFHNRLKPAKLTWTAMYRKQHKKKRRRTTKKPYSRSIVGASLEVIQKKRAEKPEVRDAAREAALREIKERIKKTKDEKKAKKAEVTKSQKAQTKGAVQKGSKGPKLGGGGGKR >Sspon.03G0007320-3C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6C:33038918:33041433:-1 gene:Sspon.03G0007320-3C transcript:Sspon.03G0007320-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Stromal cell-derived factor 2-like protein [Source:Projected from Arabidopsis thaliana (AT2G25110) UniProtKB/Swiss-Prot;Acc:Q93ZE8] LKRAFQKLQCPVPCLLEATTAAAVAPSATQPLQGLSRRRAAFADQQPNRSEAGATTSLASALARERIRRRGAGPEEQEALPFWQRTWFLALLLAMAAASFALALLLYLGLDLPEAAPAQSYAADPDTVVEITYGSVIKLMHERTKFRLHSHDVPYGSGSGQQSVTSFPNVDDANSYWIVRPQPDSSAKQGDPITHGTTIRLQHMRTRKWLHSHLHASPITGNLEVSCFGGENESDTGDTGGLLEIEGSGKTWRQDQRIRLRHVDTGGYLHSHDRKYTRIAGGQQEVCGVGDKRPDNLWLAAEGVYLPVIQRK >Sspon.03G0020020-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:62070923:62071285:1 gene:Sspon.03G0020020-1A transcript:Sspon.03G0020020-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MIISNTEYVNATSNCSAPIYAYLGGDYYWDRPPAIATGGCQYSYMPVLGTEAAMMTAANYSRLIKDGFVLDWQVAGVGDCQACNASGGQCRYDSAAAEFRCLCPDGRRAGSTCTGESHLT >Sspon.05G0011120-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:26367420:26368077:1 gene:Sspon.05G0011120-2B transcript:Sspon.05G0011120-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PsbP domain-containing protein 2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G28605) UniProtKB/Swiss-Prot;Acc:Q8VY52] MPPLVGCPRRGPPLSLACRHSRSLYRARAVAPKCEASSSPPLLTRRLSAGSLLLAVLPIPASSPQLPVASASEAEAAEGESGISEGLELERYTDQEQGFTLLKPTSWPKVEKAGATALFQQEGKGSNNIGVVVNPVRLNSLTEFGTPQFIADRLLQAEKKKVEMPEQCFLGIA >Sspon.01G0021450-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:78705720:78707365:-1 gene:Sspon.01G0021450-1A transcript:Sspon.01G0021450-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MWSPINFLLLLEQAEQQKAFRMAAEAQLLLLVLLLAWPWPFFVGSLASLHPVVLLPAYSCSQLDARLTHEFEPSTAPSCGAPMGKGWFRLWENYTADPALVPCYAELLRLVYDPVAGDYRNVQGVETRVVPFRAKRGFGSDDPATKEGENLFGAPYDFRYAPAPAGQASRKFSRFLSNLRMLVEQASERNGDMPVILVTHSFGGLNANVFLSRSPLAWRRRYVKHFVMVSTGAGGGVFPLQFGGSSNSSSPPTDPLSFANTRLSFATAFSVLPSPKVFGHAPLVVTRAKNYSAYDIPEYLKANGFSDDEVARYVTRVVPVTLSFGAPGVPVTCINGIGVPTVERLVYWDGDFGAKPQVVYSDGDGAINIASMLALDTLIGAQPEQDYFRSILIHNTSHVGVISDDFALRRVVNEVLDANANSMNAVDKKQQC >Sspon.03G0045940-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3D:28540352:28540801:1 gene:Sspon.03G0045940-1D transcript:Sspon.03G0045940-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPILFPSSLSRSPPLPLPLPRDPRPTRWSPPRNKRACIPASTNAILGLKEVVLTATTMDDDDCAICLKALAANQDAQEKDAIADDDVLKALADLAADQDAHRKEEEEDDAADDEKKVIVTSTARLRPLRLRAMPCSHVFHQHCIFKWLS >Sspon.03G0016270-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:51812939:51815505:1 gene:Sspon.03G0016270-1A transcript:Sspon.03G0016270-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MREILHIQGGQCGNQIGSKFWEVVCDEHGIDPTGRYVGTSDLQLERVNVYYNEASCGRFVPRAVLMDLEPGTMDSVRTGPYGQIFRPDNFVFGQSGAGNNWAKGHYTEGAELIDSVLDVVRKEAENCDCLQGFQVCHSLGGGTGSGMGTLLISKIREEYPDRMMLTFSVFPSPKVSDTVVEPYNATLSVHQLVENADECMVLDNEALYDICFRTLKLTTPSFGDLNHLISATMSGVTCCLRFPGQLNSDLRKLAVNLIPFPRLHFFMVGFAPLTSRGSQQYRALTVPELTQQMWDAKNMMCAADPRHGRYLTASAMFRGKMSTKEVDEQMINVQNKNSSYFVEWIPNNVKSSVCDIPPRGLSMASTFIGNSTSIQEMFRRVSEQFTAMFRRKAFLHWYTGEGMDEMEFTEAESNMNDLVSEYQQYQDATADEEAEYEDEEDAIQD >Sspon.01G0005480-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:14936059:14939953:1 gene:Sspon.01G0005480-1A transcript:Sspon.01G0005480-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGVRSESPSPEVRRIRMALPTTSSKVASARSKLAERAKTPANSKAKGRLDDQEKRGKHGRLVPSLGCRTSPPLMPVGSDAEKKRCSWITANSEPHYVAFHDEEWGVPIHDDRMLFELLTLSQALGELTWASILSKREEFRGVRMSTDGFSLCTREIFDGFNFALVSEFTEKKINLLRSNGIVLLSEQKIRAVVTNAKQMQKVVKEFGSFSNYCWSFVNHRPIKNGFRYARQVPTKTPKAEAISKDLMRRGFQCVGPTTIYSFMQVAGIVSGLQPAQGHSENDVRTEPALPDRRLGSPSSEDSDTREDSLKVHWDATEQQITR >Sspon.05G0010890-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:31045206:31046010:-1 gene:Sspon.05G0010890-1A transcript:Sspon.05G0010890-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAPCKRSRTNDDAGTGSLAGGGDRLSALPDCLLHEIMSRMRARQVVQMCVLSTRWRHLWRSVPCIDADQGEFEVVTAAAPAAASFSDFLEMRWEKFEDFMDILLSPGYRWIRRAIKYDGPAADGPGIQRQGFSCTRSWRLRKLHLNNVCLDALFSEHVRSGCPNLEDLELTDCTLEFHAITSGSLKNLVLKNCCMIDGVREITSPTLNSLVIDDGSNTEDCPLVITAPAAASVFLSVAAYGFLVVFR >Sspon.03G0003200-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:8545457:8548916:-1 gene:Sspon.03G0003200-1P transcript:Sspon.03G0003200-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRAASNAYSWWWASHIRSTQSKWLDNNLQEMETRVKSMIKLIEINADTFAKKAELYFKNRPELVNLVEETYRSYQALADRCDRISGELHKSNHTIATAFPEQVQLSLQNDNGDGFPRGITGINISKGTSPAPKRTQTHKRITSQMSKEKAQEEIERLQKGILVLQTEKEFFKSSYESSLDKYLDIERRATEMQEEVWSLQETFNTSAVIEDNEARALMAARALICCEDTLINLQDQQKRSSQEAKTEFQRVIDAKMKLKALKSECGHPDNQKELSDQQYVVTSSAHPSTENNDSIPQDCRLQLQEVCQKIKLQFESCSEASVVHLAGMVDEFVDKVIALEIAATSQNAQINRMRAEADELHKRLNSLEEEKASLVGDSSKLSERLRQVEEVLQTIQQIEKSVHTENGNIHRQLIDACNSPTDFVEKVDAPLSEETVDSSEGSKGIASEEDADKPGTFSDPFQADSGTAGKSMDEDSLDSFDISSEAQEEDADGTLGWQQVVLNGLEGNDKILLRDYTSILRNYKDTKKQLSEIEKKNQEYHLEAMSEMKELKSANVTKDDEIRSLRRMLSSLQSKINTYPIQCAEKSEESSKANNDPCLEDKEIGEIEEYMKHCRNEEPHVSSLEEKFREEISKVLEESLDFWLRFSTSYHYIQKFQKAFDKAKTELDRLTDAKAQEGLDTGSAGQSVRKQESAALEKKLRDLSTDLQVWLEKNVLLQGELESRFSLLCSIQEEISKVTTLDRTDEAHFTPFQAAKFQGEVSNMKQENNKVTKELQAGLDHVRGLQVEIGRTVLKLRDNIELSIGRSNRAQKNFRSLSAKAGVPLRTFLFGSKPKKASLFSCMPAMPKPVSDMRPGHFR >Sspon.02G0009360-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2A:26505510:26506130:1 gene:Sspon.02G0009360-1A transcript:Sspon.02G0009360-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKQLCEMHRARRRARIIATALLVASVTIATATVLAVYLVFRPLKPQASVVRAAVYHMAATAGNSSEGRGPPYTLAASVRFTTLLHNPSDRATVFYDSLFAYVTYRGEMVAPPVPLPGVVQERGADVALSPRFGLGGAVPVPVLADTAQALKGDCAAHRVELLLVVMGRVKYRSGPLMTRWRGLYLRCDVTVGLGVDATVGGDEAGD >Sspon.01G0033240-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:112103679:112106462:-1 gene:Sspon.01G0033240-1A transcript:Sspon.01G0033240-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMQSFSVSFQQDVTAQVTTFKGRVFMTHATKAIYKLLLSDYVKVSKVSVEDMLYDESDIARSMEKIEVIDFHQTLEVNGIRFWCYTAGHVLGAAMFMVDIAGVHILYTGDYSREEDRHLRAAELPQFSPDICIIESTYGVQQHQPRIVREKRFTEVIHNTVSQGGHVLIPAYALGRAQELLLILDEYWSKHPELHKIPIYYASPLAKRCMAVYQTYINSMNERIRNQFAQSNPFHFKHIESLNSIDNFHDVGPSVVMASPGGLQSGLSRQLFDKWCSDKKNACVIPGYVVEGTLAKTIINEPREVTLLMGSLLLFISHADFPQTSNFLDELRPPNIILVHGEANEMSRLKQKLIAQFDGTDTKIASPKNCQSVEMYFTCEKMAKTIGRLAEKVAEGGESSSGLLVKKGFTYQIMAPEDLHVFTQLSPANITQRIAVPYSGPKVDPVEEAAKTKEETEKVAQKVVYALMVSLFGDVKVAEDGKFVISVDGTVAHLDGRSGDVECENATLKERIKMAFRRIEGAVRPIPLSAS >Sspon.03G0028680-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:13882338:13882981:-1 gene:Sspon.03G0028680-2C transcript:Sspon.03G0028680-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEVPQQMTPPRLDLPCIAFHNLDRSTHVRAQAIVHGDADEVLRDKIICPTAQGSLLVRDPDTMATFLWNPLRSDDKVQLPPLRGGDDVVLMDGHCLLSDKLAAPGSVVIMVEAWHGNTTIWYCRPGDDLWEKYGYDIGSQVVPYPDRTEVEKKVVCPIAACQGKFYFNCTATELRMLDFSCHEPTLGAVTIDDTVTADGSYGYDDNHPG >Sspon.03G0046650-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:43210999:43213227:1 gene:Sspon.03G0046650-1D transcript:Sspon.03G0046650-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKGTHWHAARHDNIFTATQRQQYTSIHGREAHAHMAERLVRIEWRIICEMLRGSDVIDLATSLAKVADVERNLGNESAAVEGFEEAIQCLEKLKLDSEQASLEQRN >Sspon.04G0015890-1P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4C:65059748:65065641:1 gene:Sspon.04G0015890-1P transcript:Sspon.04G0015890-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLSSSSSERREQQWRRHGKRDAVDVESSTGGAQSFGRQDTEKARAEQGGRKEKQAQKEEAAWFDMEHARWQEEHGKMMRHLRAALEAEHATAAAATSTSTAADAQLLRQLVDAAAAHHGVLAELKAVAARADAFHLVSGAWVSAAERCFFWIGGFRPSELIKIAARHAEPLTEQQAMGVCGVQQWARDAEAALDHELQAMHRSVSEAVSSDAAALLFPYSDVPGFMATMSLAISKLASLEAFVRQADALRLQALHQLPQILTVRQSARCFLAIADYSHRLRALSELWHTRPSQDPAASNPAAGYSSQRPPYQSRDGLL >Sspon.01G0034930-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:7780033:7782866:1 gene:Sspon.01G0034930-2C transcript:Sspon.01G0034930-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPSKRREMDLMKLMMSDYKVEMVNDGMQEFFVEFRGPNESIYQGGVWRVRVELPDAYPYKSPSIGFINKIYHPNVDEMSGSVCLDVINQTWSPMFDLVNVFEVFLPQLLLYPNPSDPLNGDAAALMMRDRPAYEQKVKEYCQKYAKPEDAGVIPEDKSSDEELSEDENDSGDEEIMGKPDP >Sspon.01G0000080-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:553802:557543:-1 gene:Sspon.01G0000080-2C transcript:Sspon.01G0000080-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMPSRSPRRSARAPLPTAAEPPPGLFPAREDLVRLLAVISIAAAAAAACSVLNRRPEHFCDSPQSPHDYADDSCQPCPLNGRCVDGELECVQGFKRQGKACIEDGLLSQTANKIDLQSACSCFMWPTCRNPGPFSSPVPPHLMAFLSRSLLVFAKAFQEHDVSNAIDQLLSKIPAGLTEDGIQLVKTRVLDSSWGFFDTTFTSNKYESKYLNALRSSRSFICPWLVEFANGSLEIPSLWQPFVSWYASTIAILGTLLAAQVMMEPKFAALLWILWIIYRRRALSNRAEQIYEQVCEILEDNAVNAKIDNSNCEPWVVTSWLRDHLLVPRERKNALLWKKVEELILEDSRIDQYPKVIKGESKVVYEWQASGSLSAKIKKVQGARVKSRTGGGAIKLAEEMGACLGE >Sspon.02G0029570-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2B:104694059:104696090:1 gene:Sspon.02G0029570-2B transcript:Sspon.02G0029570-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVLIELKGFLQAHNQINRGAYDGWLESEASPCNWQGVGCDRNGRVSSLDLSSSSISGPFFGNFSCLKSLIHLDLSDNSITGELPVDLNRCLGLKHLNLSYNLISGVLNISSLTKLRTLDVSRNRFEGGIGMNFLATCDELTILNVSSNNLRGNISSLLDNCSRLEYVDLSLNRFTSQVTQGIASLIQFNAAENGLTGSIPLDMFPDGCKLQFLDLSSNHLFGNMPNSIANCSSLTYLSLSGNGFDGQIPPGIGAIPGLEKLILGSNNFAREMPLSLMNCTALKYLDISDNGFGGEVQGFFGKLESLTHLILHSNNYTDGIVSSGILRLPKLIMLDLSLNRFFGKLPTEVASMTSIKYLVLAENNFTGQIPPVYGQIAQLQVLDLSYNNLSGGIPADIGNLSSLLVLVLAGNQLSGEIPKEIGNCTSLLWLNLAANKLSGQIPPEIAGVGRDPSPTFARNQKDAAQLEIGTRKCLSVMPWIPLGYPGFNYVESEMSWKDCRSLGDRILKGYGIVTPPYVQPCIILGYVRFSGNLLSGQIPPMISAMGNFNLLLLDDNLLSGVLPSEISQMSLVALNVSRNIISGEIPSEIGQLVLLETLDLSYNNFSNELPSSLNQLYKLSKFNVSYNPLLSGNVPSTGQLSTFDEQSFLGNPLLSLHSSDNGPRLESNNDELTTEG >Sspon.04G0019450-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:77675675:77680560:1 gene:Sspon.04G0019450-1P transcript:Sspon.04G0019450-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding WAPASPPPPLPPPAPPTSAACPRMTTASGGAAPPEPRRKARRRPPVRVEFGYERDFEARYEVGRLLGHGQFGYTFAATDRASGDRVAVKRIDKAKMTRPVAVEDVKREVKILKALKGHQNIVHFYNAFEDDSYVYIVMELCEGGELLDRILAKKNSRYSEKDAAVVVRQMLKVAAECHLRGLVHRDMKPENFLFKSNKEDSPLKATDFGLSDFIKPGKKFHDIVGSAYYVAPEVLKRRSGPESDVWSIGVITYILLCGRRPFWDKTEDGIFKEVLRNKPDFRKRPWSSISPGAKDFVKRLLVKNPRARLTAAQALSHPWVREGGEASDIPVDISVLS >Sspon.01G0034560-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:5269588:5275703:1 gene:Sspon.01G0034560-2D transcript:Sspon.01G0034560-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPPRRRADPSPSPSSPPPLLSRLRSAASSFLVSRRANLSATHRLSSISSSTTPAWRLVEALLFFPLTPSCFSQARQYSTKKGGDGTGPPKPKGGGSSAPRHLRPGFVDPSSWRHFDSRAVGIKPDAIHTDAWAVLKKLRREGFQAYLVGGCVRDLLLKRVPKDFDVITTASLEQVLVHSNIMIIFSFPESFDVLIPLTYFCPLQLKKNIFRRSMIVGKRFPICLLKMRDSVIEISSFRTVAKYGNRSEAVDYVGELNGSDVRDILRWKDSMRRDFTINGLFFNPMNFKIYDYVNGVRDMRKNKVCTVIPAHISFMEDPARILRGFRIAARLGFQFSNETSNAIHDLSSSIINIDKARLMMEMNYIMSYGAAAPSVILTMPVATNAAYLSDQMKGGSSDRHLMLMKLLANLDRLALLVFHSTLVISPQDTLVIRAFAAVLYFGTWETTVKFLEEEVGPEVTFAPETMGPSRTKLDDLMEQTSHLASLVNSSIDTLTCVHGLEQSLARFSEPPQFSGVVLTSNNDRKRLSVIFEGLASDLPSYVERRGMRGIDYWSLKDGDPAEVRFVLGKVIMDTMYDKLPCESTEDEDAAATVEPAADLADGRSLPPLPSLF >Sspon.02G0009430-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:26541683:26542675:1 gene:Sspon.02G0009430-1A transcript:Sspon.02G0009430-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding IAVKRLKAMTAKAEMEFAIEVEILGRVRHKNLLSLRGFYAGGDERLIVYDYMPNHSLLTHLHPQRGAPSSQQHQPLDWARRVSIAIGAAEGLAYLHHEANPHIIHRDIKASNVLLDADFVPKVADFGFAKLIPDGVSHLTTRVKGTLGYLAPEYAMWGKVSESCDVYSFGVLLLELVSARRPLEKLPGGVKREIVQWAAPLVDRRKWERIADPRLAGRFDAQQLRAVVEAAMLCTQSNAESRPAMVEVVEMLRFSGERRTTKEIVPVVAASSELTTPDLQDVTGSSEPLDRRSWKVAKL >Sspon.06G0001450-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6B:3325238:3326888:-1 gene:Sspon.06G0001450-2B transcript:Sspon.06G0001450-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSTLPRLLPPLPSLRHQHRPHHPNTVPRRRDGPGGGSSRMLPAARVKTGRRGRDAGRFRAQGLFGDGGGGDGLRTVMRMVKLNSAIQNRSVRELLELLGDECLYFFGNLRSVDVPQLGKDMFLLLHALMVRHHVSFVLKPSPNEPGFDLGLKWSLEWKGKKLPWDLDCNVSTSHVYRGLVLIRNTKFWNMATHDAQ >Sspon.01G0001910-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:4597101:4598594:1 gene:Sspon.01G0001910-2B transcript:Sspon.01G0001910-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to Chitinase 11 [Source: Projected from Oryza sativa (Os03g0132900)] MRKVFAPLLAVAASAAVLLAAAGVVVSAQQGVGAIITASMFESMLPHRGDNPCPGAFYTYDAFIQAAGKFPDFGTTGDDGIRRRELAAFFGQTSHETNGGWPAAPDGPFAWGYCFVEERGQQTDPPYYGRGPIQLTHEYNYEQAGQALQQDLVGNPGLVSSDPVVSFETAIWFWMTPQGAKPSCHAVMTGGWTPNDDDRSAGRVSGYGLLTNIINGGIECGQGQQTGGDANRVGYYQRYCQMLGVTDDDNLSCENQKPYPN >Sspon.08G0011690-4D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8D:50248453:50251285:1 gene:Sspon.08G0011690-4D transcript:Sspon.08G0011690-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDSCGGRTQRGDEPISSWLGIEEVVSPLTRKKDGKQQAPASGGRRWSSVVSATVVVLIMTTPALLFLLSGRLGAPAVWIRSTMASVGAQRQTESKKDALLGGLLLPGFDEQTCASRYQSVYYRKNMTRLPSPYLIKRLREQEALQRRCGPGTEPYMRASERLRSGETGAVDDVDGCSYLVLISYRGLGNRMLAITSVFLYALLTNRVLLVDRGYGNTLPDLFCEPFPGTTWALPLDFPLDSQFRQLDEAAPESYGNVVVNRSGSVSGMRFVYLHLDHAASPANRLVYCDNHRESFLRRMQWAIIRTDQYMAPGLFFNPAYQEELGRLFPRKDSVFYLLSRYLLHPTNEVWGMVTRYYNSYLRDADERLGIQIRVLFDGADKPAQHVLDQILACTSREHLLPGVVTTEGAAPQLPPPAGARRSKKAVLVTGLSAWYHDNIREMYWKSATVDGEVVSLFQPSHEEHQQWYHNKHDMKAAAEIYLLSLTDKIVTSAWSTFGYVGYAMGGLRPYLMFMPENQTAAPDPPCTRAMSMEPCSHGAPSFECTRKEINTIINTGVLLPH >Sspon.06G0008430-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:44455805:44456728:1 gene:Sspon.06G0008430-1P transcript:Sspon.06G0008430-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLEEIKNEAIDLENIPIEEVFQSLKCSRQGLSSEEAEARLAVFGPNKLEEKKVVIFSSIIFLLCNNGGSSH >Sspon.02G0043910-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:116994250:116998567:-1 gene:Sspon.02G0043910-2C transcript:Sspon.02G0043910-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dihydrofolate synthetase [Source:Projected from Arabidopsis thaliana (AT5G41480) UniProtKB/Swiss-Prot;Acc:F4JYE9] MLGHRIPAALRFPRGLLLLRRRSTIARRGLSVMARGEAEEGPLGGFFEYMERLRNYERSGVPRGAGTDSDDGFDLGRMRRLLRRLGDPHTHFPAVHIAGTKGKGSTAAFLSNIMREQGYNVGCYSSPHLLTIRERISVGNDGGPVPVGLLSDLFDEAKEAIDEAIESENGSLTHFEVFTALSFLLFSQENVDIAIIEAGLGGARDATNVIQSTELAASVITTVGTEHLVALGGSLQSIAIAKSGIIKQGRPVVIGGPFAADIEQIIRDRAFLTQSPVISACDPGMKSFTKCIDWDNAHTEESAKALSGVLKTVRPEGPLALVVGMASDKEHLAFAEQLLSGQTPDVVLLTEASIAGGTSRAMPALSLKEVWIAAARDRGIEYVDIGGISGAETPEHIGDLLGSLSSSSGRKPMVIGCQDGAPFSSNLIIAASQLLESRGRTPGLICVTGSLHLVGAVLQQLGRN >Sspon.04G0024720-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:21305178:21309444:1 gene:Sspon.04G0024720-1B transcript:Sspon.04G0024720-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GrpE protein homolog [Source:Projected from Arabidopsis thaliana (AT5G17710) UniProtKB/TrEMBL;Acc:A0A178U8H4] MAALLRTAAALAPPPSSPLSAREPRGRCLRLACSRRAPARPLRAWLLPTPHVFCRDGSRFRRLAATEADEAAQTATQEDSETEVTGDSAADDGAGSTDETPFIIGTALQSYREALINDDEAKAAEIESFLLSIEDEKNSLLNKITALNAELATQRERILRISADFDNFRKRTENEKLNMIENVQGELIESFLPVLDNFERAKMQIKVETEGEEKINNSYQSIYKQFIEILNSLGVEDVETVGKPFDPMFHEAIMREESSEYEEGIILQEFRKGFKLGERLLRPAMVKVSAGPGPEMSAGPGPQTSEDNDPTIVEDSVAPQKVEDVEDDGVDGDAE >Sspon.07G0013370-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:48153519:48155682:-1 gene:Sspon.07G0013370-1A transcript:Sspon.07G0013370-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTEIEYPENVHLIRGNHEAADINALFGFRLECVERMGESDGIWAWTRFNQLFNYLPLAAMIEKKIICMHGGIGRSINTVEQIEKLERPITMDVGSIILMDLLWSDPTENDSVEGLRPNARGPGLVTFGPDRVTEFCKRNKLQLIIRAHECVMDGFERFAHGQLITLFSATNYCGTANNAGAILVVGRGLVIVPKLIHPLPPPVNSPESSPERGDATWMQELNIQRPPTPTRGRPQAAGDRSSLAYI >Sspon.01G0035010-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:6661435:6665390:-1 gene:Sspon.01G0035010-1B transcript:Sspon.01G0035010-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RLGMPYPKNLQTAMEVEAIVRDNGAIPATIAILDGVPHVGL >Sspon.05G0028310-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:66067489:66067827:1 gene:Sspon.05G0028310-1B transcript:Sspon.05G0028310-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIKLFPYAMSCVFGGMGRTWGMAMIGLHVMICIIKVLSFTTYPEVARFWIPIFRIWIYLGIAAIVLMTCVNIVYYMPVYGVYARKFDPLQQQPAAAAAVQLPPPPPEMDMC >Sspon.04G0023500-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:13272481:13274243:1 gene:Sspon.04G0023500-1B transcript:Sspon.04G0023500-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MANSCLSTTAAHSALRLLADAAGAQLQLQLQLHHASSSVFPRPARAHHRLVAAPAVPVHRRRALAVTAMASQEEAAAMAVEELEEFTEREGQLQEQDEGQEEGGAVEASSDDSVPSVAASTTTTKLYFGNLPYNCDSAQLAGIVQEYASPEMVEVLYDRTTGRSRGFAFVTMTTVQDCELVIKNLDGSLYGGRTMKVNFADRPKPKLPLYPETEHKLFVGNLSWTVTSEMLTEAFGRCGNVVGARVLYDGETGRSRGYGFVCYSTREEMDEAISSLNGMELEGREIRVNLALGKK >Sspon.05G0020540-4P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7C:82435789:82436685:1 gene:Sspon.05G0020540-4P transcript:Sspon.05G0020540-4P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPPWCECDKAGIKKGPWTPEEDLILVSYIHEHGAGRWRLVPVNTGLMRCSKSCRLRWTNYLRPGIRRGNFTPREERVIVHLQSLLGNRWAAIASHLPQRTDNDIKNYWNTHLKKKLEEEKEKQQAIFVPPPPAAGHVDDRRHRHDVIASSPLSKDDGYGYARPACSSSTANEVTQLLIARRHSSSSSSSYPSSVDNISKLLKGFMKSSPPPAAQDEDAAVDSMPPSAAVHIRPFLSTFDHMSGRALSPTSDVPPTTTSPPPQQVFTGRQGHGGFFHESQQQLSSIENWLFDEPKQQR >Sspon.06G0018030-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:80387074:80389601:-1 gene:Sspon.06G0018030-2B transcript:Sspon.06G0018030-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVAGGEMWSLTGATALVTGGSKGIGHAIVEQLAGLGVRVHTCARSAANLEECRRRWSEKGLSVTVSVCDVAVRADREKLMDTVKATFDGKLDILVNNAALLMLKPPAEYTAEDYSRIMATNLESCFHLSQLAHPLLRQSAVAGGGSIVHISSIAGFLAFPHEALYSVTKGGLNQLTRSLAAEWAQDKIRVNCVAPGVIMTDMAKELSTVVVEQELSRIPMRRFGEPAEVASVVSFLCMPAASYVSGQVICIDGGRTIAGQ >Sspon.07G0022910-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:11465065:11470115:-1 gene:Sspon.07G0022910-1B transcript:Sspon.07G0022910-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTTCFNRQVKEKAGSRNKDGSEGKDHGDDLELPVYDFETIAAATEGFSTENKLGEGGFGPVYKGKLEDGQEIAVKTLSRTSTQGLEEFKNEVLLIAKLQHRNLVRLIGCSISGPEKILIYEYMENKSLDFFMFDTTKSKLLDWQTRYRIIEGIARGLLYLHQDSRYRIIHRDLKTSNILLDKDMTPKISDFGMARMFDSDDTEINTLRVVGTYGYMAPEYAMDGVFSVKSDVFSFGVIVLEIIAGKRNRGVYSYSGHLNLLAHVSIHPTQQLFTIDENLNGTFNSDEVLKCLKVGLLCVQENPDDRPLMSQVLMMLASTDTASLPTPKHKQPGFAARRAAAEDTSWSKHGCSIVNSMTITMVEGR >Sspon.01G0014140-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:42564456:42565716:-1 gene:Sspon.01G0014140-3D transcript:Sspon.01G0014140-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEWEMAAMGVELGMGMGTYQYHHNHASSITTAPMSSHHSGGASYSTAHHHHYYGMPPMGDAMRVDDLLDLSTGAGAHEFFPTAAAATDKGHQHHSGAMGEPSPTANSSDHQTSLLSFADEFYIPSEEAAELEWLSKFVDDSYSDMPNYSSAAHAAMAAAAAANAAAGNGGGGTSAGQDNSCVTAAPGRGARSKRSRATAAAAAAWHSLVPRPPSQSSPSSSCSSSDFPSSNKPGGARGANGSRGKKSPAGPGSPAGAEVGLEGGVRRCTHCASEKTPQWRTGPLGPKTLCNACGVRFKSGRLMPEYRPAASPTFVLTQHSNSHRKVMELRRQKELILIRGSHRDAAAAAAAAAAAAGSGAGPRPELMFRDYGVC >Sspon.02G0030050-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:96392145:96394626:1 gene:Sspon.02G0030050-3D transcript:Sspon.02G0030050-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytoplasmic tRNA 2-thiolation protein 2 [Source:Projected from Arabidopsis thaliana (AT4G35910) UniProtKB/Swiss-Prot;Acc:O65628] MAAAASACGGAGCGPQCSSAGGEGDAPADSMGRLSVSSAAAAAAATKCGKCDGGGAAVAVAGGVGMCTDCFRAHLFGKFKLAVTSNAMVRPTDAVLLAFSGGPASRVALQFIHEMQSKAIQSWETSNSQALPAFSLGVAFVDESVLSLSPGHEIDTAIKDIKSIVSSLSPGDKQLHVAPLEDVISSGSDDKAARLKELVGVINDETGRDDFIRCLRMLSLQKIALENGYTKIMLGTCASGIACHVLSATVKGQGYALPADVQYVDTRWEVPVVLPLHDCLAQELNLLCELDSLKTQQLLDRPCSGINGLVASFVSRLREENPSREHTILRTAQKLKPFSFNKFSANGYHDFLPSRLRPKFQNIDANESTFSEILCLICGSPFSESELQNLENTKHKAQKKIDLTAHCCQSCHFQILPAATDMHGHFFSLLPKFWTEKVDTASASHSSVRDQIADYLLDEDDEN >Sspon.02G0031400-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:114969827:114977920:-1 gene:Sspon.02G0031400-1A transcript:Sspon.02G0031400-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGLKLSNKVTLATAVWKAVRLGEMAVSQHPFPLHGAPSDVWLMPSQYEKHPATESPRALQPMAMKRFRVLERMSGSIGVWLEAAIADGGIDAHLAMLQGDIIGRGGWKVLLQITAKLGFRLIAAQPSPMPKGDRAGSDGVADNDAAFTGKMMSIRRTNASCMANEFTAKAHGERIGVGDGARKIRTAAAAARFLGAPMRAHVDASGSAQLSWAPALLLLSDDHVIRPVELFWWAAPIRAHGAEPRAALGDSRRRRQSAGGGGGDGEVSGTARAPMGCASPYSYAVFEHLLREHFPFPRRVFQVHALLLTSGVLLLDLDLDPQCSSAAFPYNCLAHAHLRVPAASSSLRPSAPLRLFSAMLARGARPNRHTFPSLLKSASASGSAAAAGALHAQCLRRGLAADRFVACSLVSTYGRTGHPARDARKVFDEMEAPADLASCNALLDALCHAGDLDAAGDFFERMAARDAVSWTTLVSGLSRGGRHRCALEVFRGFLLGNLGRRLEEATLVSVFSACANLDGGEGLAAGIAVHAYLVRHEIELTAFLGTALVDMYGKHGRLGCCSSAFEVVCKEVSMWNALLSALANHGKETEALVKFDVMRGEGFLPNQITFLALLTACARAGLVEVGLYWFEAMVAEYKVAPLMVHYGCVVDLLGRAGRLGEAIEVIERMPFAADASVWGALLGACKIHGNVELAVEIGQKLMSLGPQQSGDAGGWNHEGSRAEQRCACAMSTESQSARHRRCGDQPRCRRSRDTPCCHSGGGRDGQAMVAAAVALRAEMERVEDCSPSPERHRGHRGRSPVLQTVYRDSGLGTPWPMLTKLNYHEWSLLIKAVHVGGISYDDDRRALEALCAAVPTELGASLANKATVKLAWELIAAARVGGDRRLRGEWEGLAFQPGEQVEDFALRLTNLACNGDTDLTEECGGEILPLHAEETLLDFKQLTVEDMTRRLKAVQDREEGPHAEPGAAGGKLLYTMEQKDPDHPIPPRSEKGARAQAGADGGAAGECKATRDDICNNCGKSGHWAKDCRLAPRRVGEEDAALFLVHGCVEPQQEAWGGDESSTSTNRAPRLPWQSSGAQGSCAQEPGLDSSESAMESSVLGSCARARCHKRWQHREDGSTGRQGRLEQGRQEQGQGRLEQGRKGSAAAERRRAGAPATQGAQPTAAAARTGEPSVVVPAAAAPAPGAAEAPGNQTAAGGGLGPDRLAAGGAAGGGWGAARLAAGGGRCGARTWGNSGKEDGGRRWLGVYSSSDWCPGGGENRWEGMEVGGGGRRRPAVHGGGGGGASQKGRRLRRGGENLA >Sspon.01G0022160-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:81323834:81330797:1 gene:Sspon.01G0022160-1A transcript:Sspon.01G0022160-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGGDGEGGTSSSSHELSGQLEGILADREAPWARRASKAAMIELRLLAPIAAPAVVVYVLNNVLSISTQIFSGHLGNLELAASSLGNNGIQVFAYGLMLGMGSAVETLCGQAYGAHRYEMLGIYLQRSTILLVAVGVPLSVIYAFSEPILVFLGESPEIAKAAAVFVYGLIPQVFAYAANFPIQKFLQAQSIVAPSAYISAATLALHLLLGWLVVYRLGMGLLGASLVLSLSWWIIVAAQFLYIVTSERCRRTWTGLSCRAFSGLPEFLKLSTASAVMLCLETWYFQILILIAGLLDNPQLALDSLTVCMTLAGWVFMISIGFNAAASVRVGNELGAGHPRAAAFSVVVVTALSFVITLAMAVVFLVFRDYLSYIFTEGETVARAVSDLCPLLATTLILNGIQPVLSGVAVGCGWQKLVAYINVGCYYFVGIPLGILLGFKFHLGAKGIWTGMLGGTCMQTLILFWITFRTDWNKEVEEARKRLNQWEDNKQPLLLVPSD >Sspon.03G0015480-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:69725054:69726533:-1 gene:Sspon.03G0015480-2C transcript:Sspon.03G0015480-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLKVFGPLLSPNVTRVILCLEEVDVEYEIVNVDFDTGEHKSPEHLQQSRAISRHVLRKYSVRPEDDTLDKCISRLVSCILGQTEDKTSEVNLLREGNLEETALVDVWLDVEANQYEPTIAPIVYQLLLVPMNGGTPDQKLIDDSLEKMKKVLDVYEARLSKCKYLAGDFVSLADLSHFPYTVHFMATPFASVFDSYPRVKAWVQGLLSRPAAQRVISQFPKY >Sspon.01G0023140-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2B:87631692:87632020:1 gene:Sspon.01G0023140-2B transcript:Sspon.01G0023140-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding KQEAAAAEEASPQNGMEVSHVDREASNESQSAFERQASPNSPPPLEHVLPLPPAKGPPRPCYGWISEESETESEPEDGDMLSDVARPIIFPKKDVPNPVEKLPPKRKRP >Sspon.02G0054190-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:110564734:110573797:1 gene:Sspon.02G0054190-1C transcript:Sspon.02G0054190-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHINLGSDPRWTRGVAPTALTYFRFPSIIVPIRLTASSPSPAAAQTLTLALPPARPGAPGAPALPPTPEASSPAAVNVTSMMTQTLQGKVMAYTPSRFPIQQQILPEDLDMLQLDNMNQDTSPIRAVIIQKIEACLRKMEEFCNLCPDSCLKASRIIDNMLYNNAPQLHFYLDLETVEGRVNDLLRSFPYRKQRDPWISSAVPSTKDLRQLPGIQMTDSSVYHNRVAPAFTNLPAHSGDVPPHTVFTSQRYVPQNHNMTAAHLALVERPESFMSTVVAPSVSGLPNCISGLVGIDSAGLQNGYLKDHFPGDAYHVDSPQPSMSGSSSPLSAVCDPTTSSGAMIRSPVDSISKANGQKLFTGEGQLFQQYREYEKELDGAWSHPAEKAVHSNRTTQSNEIYFKEECGPDTFMEMKENYWRTSDSRDSCREIYSNLSTPNAQYHQCFMSDCDPCDPEREIVERSEQTSNSTVSKPNSPISDESSGKRPAKRLKADAPTLVNVNQESPKEQKPVMNENHVSVGETVQSEITELPAKSPCSSLGDINADSNNTLEQGCEDAPNTEIVKEEEFYCAKGDIEMKEAKTVALDQTPRGVNLSTRRKRGASILYALTAEELRDHMSSLINQHTCLSKVISQEFPSIDGLPDQNTCSLCGMERLLFEPPPRFCALCFKIINSAGCYYVEVENGSEKTSICSKCHHLSSSRAKYQKKFNYAETDAEAEWWVQCDKCKAWQHEICALFNRKCEGAKAEYTCAKCFLNEKDSGDIQALESSTVLGAQELPRTKLSDHIEQRLSERLEQDRQQRASASGKVAEEVPGVKGLTVRVVSSAERILQVQPRFRDFFKQEKYPGEFPYKSKAILLFQKIEGVDVCLFAMYVQEYGSDCPSPNQRHVYLAYIDSVKYFRPEIKSASGEALRTFVYHEILIGYLDYCKKRGFVSCSIWACPSTKRDDYVLYCHPTVQKMPKSDKLRSWYQNLVKKAVKEGVVVERNTLCDFFLQPTNECKANISAACLPYCENDFWPGEAERLLEKKDDNTSQKKETQVGRLLRVAKRDDRKGNLEDILLVHKHFCGNHHLIFHYCQLGERMRTMKEDFIMLCLQEFCKHCHQPIVSGKSWVCTSCKNFHLCDKCHAEEQNTAQKDRHPATTKQKHAFQRWLTVRQMEVEPLPETDDGDPTMESKYFDSRIDFLKHCQDNQFQFDTLRRAKHSTMMILYYLHNSTCSACHRAVDQCLVWRCLECLGCTFCDQCYKQNGQSLHSHELRQIHTSETLQKHTLQDYIDGLVHASRCYDPRNCTHPVCLTLKKLFFHGVRCDIRARNWSGCNKCVFMWKLLLCHSKDCNDVDCSVPRCRDIKAYIAEKLKLVGPVL >Sspon.06G0016560-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:89928309:89930885:-1 gene:Sspon.06G0016560-1A transcript:Sspon.06G0016560-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding CSRSRGAAGRGSPASGWARSPAAASPSRRRRRKSGSAAAVRPAPQPLGPSRSRTRTRREGKRRVLARSASEPALWLGDARVHAVPPHGVEHELDCPPSPPAPPLERPHTCFDVFAPEESVFGRSPSAASLTKLGSRDREEAKVVVSVTVEGSVGPVKAMVRLGASVGEAIAAVVERSEQERQNRRRGGRNFYLHKNDSSNGLYLQGQEPDANSSRSDISQNSSLGQPSGGATNQYQVLTIVISKLDKIGRRTRRIWRFITCNNCT >Sspon.06G0016000-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:77840468:77844241:-1 gene:Sspon.06G0016000-3C transcript:Sspon.06G0016000-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEDERRGGSGEDRISGLPDELLHDISSASARPGRRRSSVLSRRWRHVWAHLPELRLVAPRAVAVVYSQAPRAPDSFLDTVDGALAGYLAPTLRYLSISLEQRDVPITARRVAPWLQFAAEHVVGEIHLYVPRNLPQLAEAVLELPACEGAERIELSLAYEWRLQLQASSLFSVLTSMKIMSARMKGTELTSLVCTQCPCLRDLTLFLELIAVSDVSMHSNSLRSLVLLVRHTQRLEVVAPNLEELTVYYQPVEAHISAPKLVKVAWNADVGRRLNRFADVGRSLRLLEIGSNSAVPFLTKQFNEVDELKLSIYIPQGVAGYGSFLNETNKLPKCKSFRISLTWGNHALVPSVLQLLKNCSSTKKFSMCYVSRHNL >Sspon.05G0019650-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:85828597:85829451:1 gene:Sspon.05G0019650-2B transcript:Sspon.05G0019650-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGRSSSWPPAARALLLLLLVCFSHGAAAARRLPLQPAAVVVPPLVLQQAENGATAAAADANGLVLQEGEAAGDGDELSISEMMGAEEEEAAACEEDNNDECLQRRLLGDAHLDYIYTQHKGKP >Sspon.03G0008230-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:27255873:27257161:-1 gene:Sspon.03G0008230-4D transcript:Sspon.03G0008230-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVAVSGHWRRLRTLGRGASGAVVSLASDAASGELFAVKSAGASDAATLRRERAVLSGLSSPHVVRCVGGGEGAEEGSYQLFLEYAPGGSLADEVARNGGSLEERAIRAYAADVLRALAYLHDDRSVVHGDVKARNVLIGGDGRAKLADFGCARAFGSASTRPIGGTPAFMAPEVARGEDQGPAADVWALGCTVIEMATGSAPWSDMGHDVLAAVHRIGYTDAVPEVPFWLSAEAEDFLACCFARDAADRWTAAQLLEHPFVAFADHDDKARRRASPKSTLDAAFWESESESEDDAADEVSSGNASERIKSLASSACALPDWDSEEDGWIDVLGVGEQQQVEEACAAVQVARCAPGKVSNALAVPAGEMAVGGGRGLPRRDDELEADDVPFGGDDVPAAADASVERQEKHDLSSHCHVALSFSAL >Sspon.01G0001840-4D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1D:19083626:19084543:1 gene:Sspon.01G0001840-4D transcript:Sspon.01G0001840-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVMLNFLKDFESKLGIKITCSQETEPLGTAGPLALARDKLVDGSGDPFFVLNSDVISEYPFAELIEFHRAHGGEATIMVTKVDEPSKYGVVVMEEGTGKVERFVEKPKVFVGNKINAGIYLLNPSVLDRIELKPTSIEKEVFPRIAADEALFAMVLPGFWMDIGQPRDYITGLRLYLDSLRKKAPARLASGAHVLGNVLVHETAVIGEGCLIGPDVAVGPGCVVEAGVRLSRCTVMRGARVKQHACVSSSIIGWHSTVGKWARVENMTILGEDVHVCDEIYSNGGVVLPHKEIKSSILKPEIVM >Sspon.05G0021390-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:85004502:85010087:1 gene:Sspon.05G0021390-3C transcript:Sspon.05G0021390-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative pentatricopeptide repeat-containing protein At3g08820 [Source:Projected from Arabidopsis thaliana (AT3G08820) UniProtKB/Swiss-Prot;Acc:Q9SR82] MSAAAADEAIRRVLLTGISPSSHLPPLTVKLIHGRLLRLDLLLTDLSQLLLRAVSSCGLHLHALRLHSFLPNPSHLTFPFAIKAASRLPDPLTAGVQLHARSLKLPSHSNPHILTSLLNLYAKCGRLHDAQKAFDEMPHPSTVSWTALITAYMDAGRAQEAIGVARRAFASGMRPDSFTVVRVLTACARVADLVTGEEVWRVAEQEGIAGNVFVATAALDLYVKCGEMDKAREVFDKMRNKDVVAWAAMVGGYASNGHPQEALELFFVMQVEGMRPDCYTVAGALSACTRLGALDLGRRVVGMLHWDEVLNNPVLGTALIDMYAKCGSTGEAWMVFQQMRKRDIIVWNAMILGLGMTGHEKIAFALVGQMKKSGMTLNDNTFMGLLCSCTHSGLVKDGQRYFRNMSQLYHISPRIEHYGIMVDLLSRAGLLEEAHQLIEDMPMEANAVVWGGLLGGCKIHRNADLAEHALKQLIQLEPWNSGNYVMLSNIYSNSGRWEDAAKLRLEMKAKGVEKVPASSWVELDGKVHQFHVRDKSHPLSDQIYAKLDQLGMEMKAMGYKPTTEVVMFDIENEEKEHTLVHHSEKIAIAFSLLTTEPGETIRVTKNLRVCSDCHTAIKLISRITCREIIINCIE >Sspon.04G0029740-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:73803886:73805216:1 gene:Sspon.04G0029740-1B transcript:Sspon.04G0029740-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSFASMKLRHIQQQVAGFAGFCGCFGDCYHGCREGHAHPGWFCTTCTVIVSDEVHDATFGAAVPTAPRSASPPSAA >Sspon.04G0016660-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:15171771:15181242:1 gene:Sspon.04G0016660-1P transcript:Sspon.04G0016660-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGNDNWINSYLDAILDAGKGAAAAGASAGAAARGRGGGGCGDRPSLLLRERGHFSPARYFVEEVITGYDETDLYKTWLRANAMRSPQEKNTRLENMTWRIWNLARKKKEFEKEEANRLSKRRLETEKPRNDATAEMSEDLFEGVKGEDAGDPSVAYGDSTTGNTPRISSFDKLYIVLISLHGLIRGENMELGRDSDTGGQVKYVVELAKALSSCPGVYRVDLLTRQILAPNFDRGYGEPDEMLASTSFKNLKCERGENSGAHIIRIPFGPKDKHLAKENIWPFIQEFVDGALGHIVRMSKTIGEETGSVCPVWPAVIHGHYASAGVAAALLSGALNVPMVFTGHFLGKDKLEGLLKQGRQTREQINVTYKIMRRIEAEELSLDASEIVIASTRQEIEEQWNLYDGFEVMLARKLRALVKRGANRYGRYMPRMVIIPPGVEFGQLIHDFDIYGDEDNPSPASEDPSIWFEIMRFFTNPRKPMILAIARPYAEKNIATLVKAFGECHPLRELANLTLIMGNREAISKMNKISAAVLTSVLTLIDEYDLYGQVAYPKRHKHSEVPDIYRLAARTKGAFVNVAYFEQFGVTLIEAAMHGLPVIATKHGAPVEIHQVLENGLLVDPHDQHAIADALYKMLSEKQFWSRCRDNGLKNIHQFSWPEHCKNYLSRILTLGPRHPAFACKEDQKVPVKCRKHIFVIAVDSVNKEDLIQIIRNSVEATRTGTMFCAVDFTDNSRATVCNSTHRHAPY >Sspon.07G0016590-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:59143481:59145809:1 gene:Sspon.07G0016590-1A transcript:Sspon.07G0016590-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAAGDGDHLAALLRRQASLHSDADLAYRLQVAEAIQASLRARPSRDAAASSSSSPSSSQAAARPCWSGASVPPYRILFKGMTSKEVLGPMDRDPGVAVAVLAAAVVGPLGDVLYMTQKPVEGFVGGRKTIEVMALMEGLHAALRLGIRNATILTDYMLLHNHMLGIWRPKKKLADMIDQVMSLRRQFFDRCEITLVEHSEVSYVLKLATDYIDAQITKALAERRERCTICLEDTEVSKIHAVEGCAHRFCLSCMKEHVRIKLLHGTLPSCPRDGCTSKLTVEGSKVFLSPQLLEIMEQRISEEQIPPTQKIYCPYPKCSALMSLSEMMKPMQGTCSNYTVADVVTLRKCVKCRGSFCFRCKVPWHDRMTCNDYKRRYPHGGPEDAKLQEKVLACDTGTSSSSSSSSQAAARPCRSSASVPHYRILFKGMTSMEVLGPRDQDPGVAVAVLAAAVVGPLGDVLFRVQKPVQGFVGGRKTIEVMALMEGLHAALRLGIRSITILTDYMLLHNHV >Sspon.08G0015620-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:57290442:57292972:-1 gene:Sspon.08G0015620-2B transcript:Sspon.08G0015620-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAAAPAAVGSGRELANPPADGISNLRFSNHSNNLLVSSWDKTVRLYDADANVLKGEFVHPGAVLDCCFHDDSSGFSAGADHTVRRLVFSSNKEDVLGRHDGPVRCVEYSYAAGQVITGSWDKTVKCWDPRGVSGPERTLVGTYAQPERVYSLSLVGNRLVVATAGRHVNIYDLRNMSQPEQKRDSSLKYQTRCVRCYPNGT >Sspon.02G0024710-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:85296498:85297726:-1 gene:Sspon.02G0024710-1A transcript:Sspon.02G0024710-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding QTDASHRLLPHTLFALTSSFPFLSLSRSCPTPPRCVAMVASLAGGDALTHPDTRAPPTRCPPPPPGGSFPYPGGAPSLTPASSPTGSTKRLRIWWPSPMSSSPTPTAPSPTPAASSSPTPVSSPTERWRGDVVEESAALPISARCPLSPQRPSSLHPGGHGAPPLPIPHYRCQAKPGAVRAGGGARVHRWCWCWVVLAHMHVAEVLHVATLTGAESGRMRRRSLRTQRSPHGWQAKQIWVVLTCMHISRHGRAALRAPACWGWVCRHNRCMLMRRARYVFPEHIRFRPTKLQWRKK >Sspon.07G0003300-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7A:8029245:8034747:-1 gene:Sspon.07G0003300-1A transcript:Sspon.07G0003300-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPSLVSLLPATSISSRRRQAKHHKAPTVSHFLFSRRVVSQPPLHASPSPAAAGGSGSDELHLLEKPLPTVAEDDEAEEEPEPAPVLSTEEALAPFLKFFQVKSTDPDACTDTAAGARADGDVKEEASRRDVLSAAGGRGVSYYDPKPGDFVAGVVVRSDGRTLDVDIGSGGEPALMLAKESAPVPGEEFGYVACDVASERAAEFAAEGRVGVVVRQVGGGGEGDEEATIGRNGKEKGARVLRAGTIVFAEVLGRTLGGRPLLSARRLFRRVAWHRARQIKQLNVPIKVKIYEWNASGLLSRIEGLRAFLPKPEMMTRPRNFTDLKNKVGQEMHVCITRIDQGNNELIISEKEAWAVTYLREGTLLQGTVRKLFPYGAQIRIGETNRGGLLHISNMTNGELRSVADVLKVGESVKALVIKSTPDRIALSTKELESEPGLLITNKEKVFSEAEEMAQRYREQIAEPPGSITAEDSCDDAVPFDDEARSYANWKWLKFSKSDDKFWSIQYSFPCLQMFTVAACRLRPDSLPGETLRLLDRALVRMVVEGFSARGHRMVNVLVDRESSRRANAIPSYGDWNWGAGLPVPRAPAGPTGCRQRGEVRPRAARQGQMHARVRRRLTLGRLAAWGLGPGHVPRLLSVTYVTPGRRTAVSVGSSRSRT >Sspon.03G0002920-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:22967526:22970818:1 gene:Sspon.03G0002920-2C transcript:Sspon.03G0002920-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAISSALPCSLLMAALMLLASAVEVQGITRHYDFNVTMANVTRLCASKSIITVNGQFPGPKIVAREGDRLVIRVTNHAQHNISLHWHGIRQLRTGWADGPAYITQCPIQTGQSYVYNYTIVGQRGTLWWHAHISWLRATVYGPLVILPKLGVPYPFPAPYKEVPVIFGEWWLADTEVVINQALQLGAGPNVSDAHTINGLPGPLYNCSAKDTFKLKVKPGKTYMLRLINAALNDELFFSIANHSLTVVEVDAVYVKPFTVDTLLIAPGQTTNVLLAAKPFYPGANYYMSARTYSTGRPATFDNTTVAGILEYEYPDAPSSAASFNKALPLYRPALPQLNDTSFVGNFTAKLRSLATPQYPAAVPQTVDKRFFFTVGLGTHPCPANTTCQGPTNTTKFAASVNNVSFVLPTKALLHSHFTGLSSGVYESDFPPRAADAVQLHGDAAQQHQRGQRHQAHGHPVRYQRGAGDAGHQHPRHREPPAAPARLQLLRRRPRLRQLRPGERPAPKFNLVDPVERNTVGVPAGGWVAIRFLADNPAQETSSACVPLSYTCAYLLYERAGVWFMHCHLEVHTTWGLRMAWLVLDGNLPHQKLLPPPSDLPKC >Sspon.02G0013590-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:36037792:36038289:1 gene:Sspon.02G0013590-1A transcript:Sspon.02G0013590-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MESATKVDDEQWLAYWIIYSFITLFEMAAENILYWIPLWYEAKLLLVAWLVLPQFRGASFIYDKFVREQLRKNGVRLHDHHGHAADHVPHVFQ >Sspon.08G0030510-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:60667312:60680314:-1 gene:Sspon.08G0030510-1D transcript:Sspon.08G0030510-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARQPPPSSASAPAAGLSMKEYLKKYQSGPGADGDQKKAKKKTKKKPKPAAGGGGVLIVDEDPVWQKPVQVDEEPASSGDEKPLVDEDIEVKRMRRLEAIRAARPYNAIAEDGSGWVTVAAPEEQGGGSTRQRRNDSPSPERGGAVREDLSPVRRRQRRDTPSPVQGDATGKDLSPPRQRRKRQDTPSPKRSGAGDQDDMSPPRKSRRKKDPSPPRKGARHISEEPQDTSPPRRRMRHDSEEPQDMPSRRRVRHDSMESEDISPPRRRTRHDSEEPEDISPPWRRKHQDQHQDPDDDLSPPRRNNLGQSRKFASDELSPRKKRDISPSRKSKKEGASKQVRKAGLMTAEEVKEDIRKIKEAEMVKFASQDPSLVGKGAKAVFRDKEGRRISEEEMRKAKEPEKPKEIHIEWGKGLVQKRQAEARLKEIEAEKEKPFARTRDDPELDNMLKERIRWGDPMAHLVKRKDPEFILEDLGDDEKMKESGFIVPQNVPPHSWLKRGVDAPPNRYGIKPGRHWDGVDRSNGFEKDMFKLKNEKQAMEQEAYLWSVSDIRSALYLLSNEGSGRLYGGSGGI >Sspon.05G0018170-3D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:5D:73378761:73379135:1 gene:Sspon.05G0018170-3D transcript:Sspon.05G0018170-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAASRRAPPAWRSSCLALCLLPVALPLLLLCLPLLCVAVAVARFRRRRRRLMVKSRRSCPGERLEEAEGEGRRAAAALLHKYLDDQMELVGADAGAGDATAPTAVAVDPTPSSQPQRSHLQQQ >Sspon.01G0007640-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:14515180:14519312:-1 gene:Sspon.01G0007640-4D transcript:Sspon.01G0007640-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Superoxide dismutase [Cu-Zn] 3 [Source:Projected from Arabidopsis thaliana (AT5G18100) UniProtKB/Swiss-Prot;Acc:Q9FK60] MAGKAGGLKGVALIGGGANSTVAGVLHFFEDPSTRYTEVRGKVTGLTPGRHGFHIHVFGDTTNGCNSTGPHFNPHNKPHGAPFDEERHVGDLGNIIANEDGVAEVFIRDLQISLSGPHSILGRAVVVHADPDDLGRGGHELSKSTGNAGARIGCALLLHRNQMPGMRQLMTSKHQPATHPCDVRLQSQDTEHYSFAREKQTRTREQATSIRLKGW >Sspon.01G0021550-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:78921571:78924683:1 gene:Sspon.01G0021550-1A transcript:Sspon.01G0021550-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA excision repair protein ERCC-1 [Source:Projected from Arabidopsis thaliana (AT3G05210) UniProtKB/Swiss-Prot;Acc:Q9MA98] WTGGREQQAPPEAQPGKNLIKIPSYQEVFGTGAASSSSKPASYNPPLASSGAAAAASSSSSSSGSFSQAFSFLKSSEFYSPPPPPPQPTTTTPRPPQASPSAPTSQSKNAILVSHRQRGNPLLKHIRNARWTFAEVVPDYVLGQSSCALYLSIRYHLLYPDYLYYRIRELQKNFRLRVILCHVDVEDVIKPLHEITRTALLHDCTLLCGWSLEECGRYLETIKVYENKPADIIREHMDNDYLSRLTHALTSIRHVNKTDVVTLGSSFGSLSQIMNASMEELARCPGIGERKVKRLYDSFHEPFKRVSARPNLVVPDTPDREKAKGQPSSTDDSLQDAVEKPDVSKKKKGSDVRSALTAAFAKYSEKIRSQGRDAANEAGEGTSSSTMEADSARD >Sspon.02G0059110-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2D:89206074:89206408:-1 gene:Sspon.02G0059110-1D transcript:Sspon.02G0059110-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SCEAAAALIRSSQGNGAVSWSWHPFDHHGLSSGAPRMDRPGQQITNVLWGSGAPLMTPPIDVHGTRCLIACRGYPYFFQGQEGGRSRAGGSSGDAMAMLASLSSATSGVV >Sspon.02G0019300-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:65308870:65313630:-1 gene:Sspon.02G0019300-3C transcript:Sspon.02G0019300-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SSMDLVLLEKALLGLFAAAVVAIAVAKLTGKRYRLPPGPPGAPVVGNWLQVGDDLNHRNLMSLAKRFGDIFLLRMGVRNLVVVSTPELAKEVLHTQGVEFGSRTRNVVFDIFTGKGQDMVFTVYGDHWRKMRRIMTVPFFTNKVVAQNRAGWEEEARLVVEDVRRDPRAAAEGVVIRKRLQLMMYNDMFRIMFDRRFESEQDPLFNKLKALNAERSRLSQSFEYNYGDFIPVLRPFLRGYLNRCHNLKTRRMKVFEDNFVQEYRKVMAQTGEIRCAMDHILEAERKGEINHDNVLYIVENINVAAIETTLWSIEWGVAELVNHPAIQSKLREELASVLGAGVPVTEPDLERLPYLQAIVKETLRLRMAIPLLVPHMNLNDGKLVGYDIPAESKILVNAWFLANDPKRWVRPDEFRPERFLEEEKTVEAHGNDFRFVPFGVGRRSCPGIILALPIIGITLGRLVQNFQLLPPPGQDKIDTTEKPGQFSNQIAKHATIVCKPLE >Sspon.05G0002210-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:6937731:6939602:-1 gene:Sspon.05G0002210-1A transcript:Sspon.05G0002210-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHVNVVYQLLQASLAQPLLLLVPLLLMLLHFASRSRRGSNEKQKRPKHIPPPSPPALPIIGHLHLVGDLPHVSLRSLAAKHGGLMLLRFGTVPNLVVSSARAARLIMKTHDHAFASRPASKISNTLVYGSSDIAFSPYGDHWRQLRRLVTTHLFTVKKVNSYRLSRQEEVRLVIKKIQEAAAASKEVDISEMMNTFANDIVCRAVSGKFFRGEGRNKLFRELIEMNTILFGGFRLEDNFPGLANVLGLLTRWFVSNKADEAHKRWDDLLETIVSDHERRRRSEHGHGGGGGADQEDSDFIDVLLSVQQEYGITRDHIKAILMIELINNVLEIQDMFAAGTETSSLVLELAMAELMCHPQLMSKLQAEVRKNTPKGQEMVEQDNLASMPYLRAVVKETLRLHPPAPLLLPHLSMVDCDVDGYRIPSGTRVIINAWAISRDPESWESAEEFVPERFMDAASAAAIDLRGNDFQFVPFGAGRRICPGLNFGLATVEIMLANLVYCFDWGLPIGMKEEDIDMTEVFGVTVRRKEKLILLPEPHACTC >Sspon.04G0008980-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:27469716:27471129:-1 gene:Sspon.04G0008980-1P transcript:Sspon.04G0008980-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKLRCSKKLFRRSSSKGSTAGGSSSSNGGDAGSGGRGEIEWEVRPGGMLVQKREGRPDVEVITVRVATFFSWHDVSIGATCTFAELKALLSMVTGLEPREQRLLFKGKEREDGDHLHMVGVRDRDKVLLLEDPVLKDMKLRATLAAQTAQSPYRPFIK >Sspon.08G0017510-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:4765002:4769556:1 gene:Sspon.08G0017510-1P transcript:Sspon.08G0017510-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTSPSPASLSTPLLSDSIAPAHAANGHATNHRHHHHHHDSDGAAAASVFGDDGGDPFAFLSEDRPPRDRGPSPADPFRNGTPAWGGGAYAWARTLLLLPVAAVRLALFGLAIAIGYAATWVALRGWANTHGRPREGGGPMPAWRRRLMWITRISARCILFSFGYHWIRKKGRPAPRELAPIVVSNHISYIEPIFFFYELFPTIVSSESHDALPFVGTIIRAMQVIYVDRFSPASRKAAVNEIKRKAACNSFPRVLLFPEGTTTNGRFLISFQHGAFIPGYPVQPVVVRYPHVHFDQSWGNISLLKLMFKMFTQFHNFMEVEYLPVVYPPEIKQENALHFAEDTSYAMARALNVLPTSYSYGDSMIMARAVEAGKVNCSNYMVEMAWVKDIYGVSTAEAMELLEHFLAMNPDNDGRVKAQDFWAHFGLDCSPLCKKIFHYFDLGIKESITFRQFLVGCAHLRKQPLFQGACETAFEKCRDPETSEISRAQLADVLRLSMLLPSDDKMLELFKTFDIDGDEKISKDDFMTCLGKFPFLIAFFAAPINGEVYIEIV >Sspon.02G0017110-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:43775477:43778605:-1 gene:Sspon.02G0017110-4D transcript:Sspon.02G0017110-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQCALLLLDTRKAYGSLNIDCEESLDPAGTGSFYNNGEADIVAQHVLNLIQCGVSPTSIVVQSPYIAQVQLLRGRLEEYLVASDVEVSTIDTFQGREADAVVISMAPSPYPATWSGQTCGARFLGWGLRSTSLTLLRYHLE >Sspon.06G0000660-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6A:2675635:2676081:1 gene:Sspon.06G0000660-1A transcript:Sspon.06G0000660-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVEAAKHNVPGHQATKPAADHAADPAPAKEDMKAMDGAPELATSKNVLEEQKPSHRRQESSASMLDKGPSSVCSDCGVLDEPPTPQGDSGELKDIKSLDCSGGNQEKNTSQKSSMSESFVSAKASDGANSLRKTSGSAKISDRADFPE >Sspon.02G0031280-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2A:114488993:114489277:-1 gene:Sspon.02G0031280-1A transcript:Sspon.02G0031280-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SLTNRADGSVTSTTSTTAHEAPILPDEILEDIFLHLDAATDVIRASAAYKSHRRVVCNHRFLHPSPVLGLLECMGKGEFHHAETPHKSAPAASSL >Sspon.06G0012480-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:54123317:54123922:1 gene:Sspon.06G0012480-2B transcript:Sspon.06G0012480-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATIATMALLKPAKIVARSAPSTSGSSSAASCVSLRVPTQAKKAVLAVSSTATPAAAAALAGAFFSALASSDAALAAQRVADVAAADAGSDNRGQLLLFVVAPAIGWVLYNILQPALNQLNRMRSQAVVAGLGLGAAAAAGMASPPEASAAAQDLAALAAAAPADDSRGLLLLIVVAPAIGWVLFNILQPALNQLNRMRSD >Sspon.08G0012520-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:50080974:50089541:-1 gene:Sspon.08G0012520-2B transcript:Sspon.08G0012520-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEVRGTEEVAWAGLLAKSWLGCGLKPSTESRAGINPKKKKNLHARTQFSGGADLDAGAVAAAPLLSPPPLRERGRYDPVDDDVEEDEIEFCPFLREGSSSETSSSLTSEAECEVYSSDNQPSGQTYLQNSVVNENTSDSALPQNRLSSQGLVNEIFPEEKSTQVNLENGLEKDVLASEAACSPTVQNPLQISEEDAICRRTRARYSLANYSLEELETFLQESDDDSGLQNVDEEEEYRKFLASVLSGIGNDTQAFQGDENQDEDDNDADFELEIEEALESDGDENAENYGDTNHRKEKCGRRPQTRQRRPFTELSGTRSCHRESDKTNFRSILPYIPSALVTPAHAFGWQYPTQNALSPSSLVSVPCAPLACGFTDQQLGQLHVMIYEHVQLLIQTFSLCILDSSKQDVANNVKKMIVELVGFRDQALARSAPQQHIVFESRHLSSSFVSSENLECQWMPLIKSPVISILDVAPLELALSYLSDVTTASSPSSGQLQQKKSLAATLLERTKKGTVALVPADIARLAQRFFSLFNFALFPHKPPPSPMANRVFFTDAEDRLLALGILEYNNDWEAIQKRFLPCKSKHQIFVRQKNRSSSKAPDNPVKDVRRMKASPLTVEEKELSRRIFKNDWTSVWKFVVPQRDPSLLQRQWRVASGVQKSYSKSDAQKERRRTYEAKRRKLRVSMPDSRRGQELIRVERSNNQITGSTENGTVNANTIDFHPLLQRTEVDVHNEVPEYDNNLDCHQSDNNMSEIPVDDQSTAGQASTSPSERETSIDLNIHLCSPTVINGSNDFRSSFSRSNVQDEISRKDKSSVPELEVVNSYSHYCIQEPNEESMQGIVMEQEELSDSEEDSQHVEFECEEMDDSEEEQVQCPEASPIQNKGISASVVCGEFHSQIQQGSVQKDKQGASLMQKMQVPSRSARAKLKPETAKCTGSRTSQCSSTSRTAETSRSKTRNSKVPQGQSSAERKPNDSRTRKTLAPT >Sspon.02G0012820-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:27255258:27258579:1 gene:Sspon.02G0012820-3D transcript:Sspon.02G0012820-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVETPAQAQPCKNVAQSAGTTRTTLRNPLLVVNFVLMVVGSAGGPLFLRAYFLHGGARKWLSAFLQTAGFPLLLVPLCVSFSRRRRRDRDRDAPANKAGSGTPFFLMTPRLLAASAAIGLMTGLDDLLYAYGLAYLPVSTSSILISTQLAFTAAFALLLVRQRFTAFSVNAVALLSAGAAMLGMNAGGDRPAGVSPAQYGAGFAMTLAAAALYGLVLPVMELSQARHAARAGAAAVTYTLVIEMQLVIGLTATVFSAVGMLANNDLHAIPGEAREFDLGRSAGYYLLLAGSAATYQCFFLGTIGAVFFGSALLAGVVMTVLIPVTEVLAVMFFHEPFNGTKGVALALSLWGFVSYFYGELQTSKAHHHQQSDKTPNADEHLDP >Sspon.04G0030350-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4C:448401:448735:1 gene:Sspon.04G0030350-1C transcript:Sspon.04G0030350-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPPAALAVSPITEDAACELDDGAIVCGVATTSGSSFSFASAATSQLIMEQILAQSIGTSRFLLCP >Sspon.08G0011900-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:48763048:48766775:-1 gene:Sspon.08G0011900-3C transcript:Sspon.08G0011900-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGRDDFFSDFVVLRPDKGGVRSLLHLLCSCKVADNDAVDCPVGTEVAERQRRWAIFVSLVVQMLLLSVKTPLAAFGRAVEYWMNLLTDNGGGVIGLVTKAVQGKVRTPDRTSPKYRSFIGLVDTRIELDKKIKPRDSSYNAALGIMAAKLAYENKLVIKNVVESNWKVQNSAVNFFITFSKENMILMLSMSYISPPLFVALQMTFLEFFNCWNEFRGDYTTQAFMLADKPADAELAVIAFRGTHPFDAEQWCTDVDFSWYEIPGVGKVHGGFMKALGLQKNTGWPAQPTEAETKRQYAYYAIREKLRAFLAANPRARFVVTGHSLGGALAVLFPTVLALHGEDALLERLAGVYTYGQPRVGDAQLGRFMEARLDRRYFRFVYCNDVVPRVPYDDATLLFKHFGLCLYVDSLYRPAAMAEEPNKNSFSPLFVVPKYANAAWELLRGFLIGHVCGAVYAEGWVMRAARAVGLVVPGLPPHSPQDYVNATRLGAASLELLL >Sspon.02G0014110-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:39997858:40004874:-1 gene:Sspon.02G0014110-2C transcript:Sspon.02G0014110-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVLLVALALLALAASAASTLTSGGCGCQTPHLPPPVHLPPPVHLPPPVHLPPPVHVPPPPPSCHYSTQQPRPQPPHPCPCHPTSPCHPGHHVGSPPILGQCIEFLRHQCSPAATPYCLPQCQALRQQCCQQLRQVEPLHRYQAIFGVVLQSIQQQQPQGQSPLGTLMAAQIAQQLMAMCGLQPITSPCPSCSAPAGVVHHTEDVMVGECLARKEWGRIEWGPGLARGRDRAKEGSRTWPRPSPGERNRTAAQRADFSLFNQAMLGKQGVLKGKYYPHSDFLSATKKKRSSATWRSILRGRDVLQKGLIKRVGPGDFNAWQEKWIPGLRSMSPLRIVRNSFMALEAAEVLKIKPSSRLQEDVTAWALERNGVYSVKSAYRPLKEEQEANAMASRNEASASNESQSWDAVWKLMAPPKVRVFWWRVLHNALPSKTELKRHHVKKLTGVAAPLLHPVSWATDIFKLNLCSSKEAAILVCGAWTLWTGRNARRHGRKVWEPGATARFIASMLEDLISLKAMARWCPPEEGWLKINTDAAFDPNTCCGSAGVIIQAAAARWYDDIPDVLTAEAMAAKEGLELASENGYDRVILENLIDGKLSPLSSTGGLCFDITEIGRSFGEFRVEWVRRATWSSACSPGMIGGLILCMQAHRRLCLVGTSAISTQRTTAREIGTAYARAHDAGLAPKERKPLRVPTEPGSVTPPACGWPGPSAKAPRRGHDPHDDTDAGARSYPSGQKPRTSGHWEDAGRGRVELPERMNYDRGIGARLGETSRASKLTLTLSIVFVW >Sspon.05G0020960-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:88462202:88464522:-1 gene:Sspon.05G0020960-1A transcript:Sspon.05G0020960-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHACVSSLRLRVLWLTLLPAVLICVLLLSYLWLRPERIRQRLRRQGVKGPKPSLLLGNIPEMRRIQKQLAESDHQEQQAAGGSHHFSSNYMAALFPYFHHWNKVYGSIYLYSTGSIQSLFVTDPDMVREVANCKSLDFGKPRYLQKQLGALLGTGILTANGDLWAHQRKVIAPHFFMDKVKGMVDLMAESANEMLVSWEDIIDRGGGSAEVVVDEFLRNFSADVISRVAFGSRFSEGKEIFSKIRQLQVAMAKQDIFAALPGSRYLPTKRNREIRRLGASIGDLILHIARRHAEEHDPPATSSASNGDGLLRSIVEGAKAAGAFSPCTAEDFIVDNCKNIYFAGHETTSTTAAWCLMLLAAHPEWQSRARAEVLDVARRGKPLDADALRKLKTVTMVVQETLRLYPPAPFVTREALRDLTLGGLHVPSGTSVRVPIALAHRDPAAWGAGGDPDGFDPGRFANGVAGACRPPHMYMPFGVGARTCAGQNLAVVELKVVLALLLPRFELALSPGYVHRPAFRLTVEPGSGVAL >Sspon.02G0005070-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:15922595:15924278:1 gene:Sspon.02G0005070-1A transcript:Sspon.02G0005070-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSMQSTDSSSGELPPQRQPSSAPDLPPGFRFHPTDEELVVHYLKKKAASVPLPVAIIAEVDLYKFDPWELPDKATFGEQEWYFFSPRDRKYPNGARPNRAATSGYWKATGTDKPIMASSSGGNREKVGVKKALVFYRGKPPKGIKTNWIMHEYRLADAASSTTSRPPPCNVVGGKATSSLQLDDWVLCRIYKKINKLGAGERSMECEDSVEDAVAAYPTHAAAAMAAVAGGGRAAHHNGNNYTSLIHHHHEDNFLDGFITTEDTAGLSAGASSLSQLAAAARAAAPADTKQLLVPSSSTTPFNWLDASTIAILPPAKRFHGYNRDTTDGGGTSLSSPSERNNLAAAAGAVDSGACSGGTNAIVPTFLNPLGVQGATAYHHHAIFGTPVTPEAAAAAATATCGFQHPYQLSGVNWNP >Sspon.01G0002330-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:6403362:6404912:-1 gene:Sspon.01G0002330-1A transcript:Sspon.01G0002330-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding PMAPPWVSFLELCLSFLCFVVFYYFHIKSKRKNPVVPLDWPLVGMLPALLGNLHHLHDWITSLLVASPLNFLFTGPPRSGMQFFVTADPANVRHVFTSNFANYPKGPEFEEIFDVLGGGIFTADGESWRRQRAKAQLLMSSAPFRSFVSRYSRAKVDTALLPLLSHFAATGEAFDLQDVFLRLTFDTTTTLVFGVDPGCLSVGLPEVPFARAMDDAMNVLLLRHVVPMTWWKLARRLRIGHERKMAEAWRTIDQFVADTIAKRRAEKARHGIRDSADLLSSYINDDEDTAVDAFLRDTTINLMLAGRDTTGSALSWFFYLLTRNPRVVAKIRQELESVKSKSTTADADGGGMVTFDDPDELSRLTYLHAALCESLRLYPPVPQELKEAVAADVLPCGHEVRRGDKILVWLYAMGRMEDVWGSDCREFRPERWVAEDGRVRYVPSYKFMSFNSGPRTCLGKDMAFVQLKAAAAAVVSNFDVEAVPGHVVEPKLSIILHMKNGFMATVKRRQAPGACLA >Sspon.01G0034270-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:2167629:2173733:1 gene:Sspon.01G0034270-1B transcript:Sspon.01G0034270-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNKRGDGCAGENGKHKKQKAHTTVHGDLIHVFEEQFGDLYDSGQGVWSEFSKGVASMLSESVVAIASFKGDKMVCSCSGIIILCKPLVTTLLTSASLVRSSHDESQIDHDLMVKVRNVHDQYWLGTVKHYDLDYDILLVNIQTPYLCVARLYHEVQLEPGSKVVAVGRVFNTKKLMAASGLVKDKRDISDQKECTISTCKISKVGIGGPLIDLDGNFHGMNLYGEGETPFLPTNIILECLKCFGLSRVGNRQGDYCTIGVHLVNSFEEEFRPLDPCSGDFMNELNVELASKLSQCVVSLAAFNGKIRRFACSGILIKYGRCTSVLTSASLVRYSDDESKINNDLQIEVRLPNGQCVKGVLQCCCLEYNIAVIKITGFSDLCAIKLERRRQFKSGSKVVAIGRIFDHGELTATHGMITDKLSKLDCEVLMISTCKITKAGIGGPLVDFSGNFVGMNFYDDDETPLLPRNEIIMCLRRFRTKGTKAVGYIDESPRPNKWPVPEPYWSYTSDVKRLSLEEVMSRVPKFDWSSTFC >Sspon.08G0024420-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:63570066:63573492:1 gene:Sspon.08G0024420-1P transcript:Sspon.08G0024420-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTVMAAAVSFPSSSAASAVVKASPTSPCTALHFLSYRPRAARAAIRAQASATDTAVEAPAKSKKESKKQEEGVVTNLYKPKEPYVGKCLLNTKITGDDAPGETWHMVFSTEGKIPYREGQSIGIIADGVDKSGKPHKLRLYSIASSALGDFGDSKTVSLCVKRLVYTNEAGEIVKGVCSNFLCDLKPGADVQITGPVGKEMLMPKDPNATIIMLATGTGIAPFRSFLWKMFFEKHDDYKFNGLGWLFLGVPTSSSLLYKEEFEKMKEKAPENFRIDYAVSREQTNVAGERMYIQTRMAEYKEELWELLKKDNTYVYMCGLKGMEKGIDDIMVSLAEKDGIDWFDYKKQLKKGEQWNVEVY >Sspon.01G0045370-2D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1D:85037550:85037760:1 gene:Sspon.01G0045370-2D transcript:Sspon.01G0045370-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding IYICNTSQIKYQVDDEDVRLELSNSAVRNGALGSSGGVDKLTRRREEWRGQGVVDRTWERHRTGMKQRL >Sspon.07G0004220-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:9515743:9520201:-1 gene:Sspon.07G0004220-2B transcript:Sspon.07G0004220-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:TPS7 [Source:Projected from Arabidopsis thaliana (AT1G06410) UniProtKB/TrEMBL;Acc:A0A178WH64] MMSRSYSNLLDLAAGNFAALGPSGGGTRRLGSFGAKRMPRVMTVPGTLSDLDDDDDEQAATSSVASDVPSSAVGERLIVVANQLPVVARRRPDGGRGWVFSWDEDSLLLRLRDGVPDEMEVFFVGSLSADVPPGEQDEVSQTLIDGFRCAPVFLTPELNERFYHHFCKRYLWPLFHYMLPFASPLPPTAEAAASGDGGRFDRSAWEAYVLANKHFFEKVVEVINPEDDYVWVHDYHLMALPTFLRRRFNRLRIGFFLHSPFPSSEIYRTLPVREEVLRTLLNCDLIGFHTFDYARHFLSCCSRMLGLEYQSKRGYIGLEYFGRTVGIKIMPMGIHMGQLQSVLRLPEKEDKVAELRQQFQGKAVLLGVDDTDIFKGINLKLLAFENMLRMHPKWQGRAVLVQIANPPRGKGKELEAIQAEIRESCDRINREFGQTGYNPVVFIDRNVSSAERLAYYTIAECVVVTAVRDGMNLTPYEYIVCRQGIPGSESAPEVSGPKKSMLVVSEFIGCSPSLSGAIRVNPWNVETTAEALNEAISMSEQEKQLRHGKHYRYVSTHDVAYWSKSFVQDLERACKDHFRKPCWGMGLGFGFRVVALDPHFTKLNLNTIIMSYERAKSRVILLDYDGTLVPQTSIDKKPSSEILRIINTLCSDSNNTVFIVSGRSRDSLGSLFASCPKLGIAAEHGYFLRWTRDEGWQSSTHTSDFGWIQMAEPVMNLYTESTDGSYIETKETALVWHHQDADPGFGSTQAKEMLDHLESVLANEPVAVKSGQFIVEVKPQGVSKGLVAEKILTLMKEKGQQADFVLCIGDDRSDEDMFENIADVMKRNVFAPKTPLFACTVGQKPSKARFYLDDTLEVVNMLSLLADASEPDPVIELEDDLATSVSAIDISDEPPQLGKFLPNNTVWCTVTSPNMAHNLVRTLTQQHR >Sspon.08G0002990-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:8321317:8321796:1 gene:Sspon.08G0002990-1A transcript:Sspon.08G0002990-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAPGRPLTVDFEALSYISSLVEAFQAFDSDSDGLVTAPELRGLLASLGLDKSEAEARDMLARADADRDGRLSVEELLDVMNAGELGLGALGAMLQSALPQLEAAGAALVGADELARVLGTLGDASPEDCAAIVECLDGDGDGAITIEEFRLMADLL >Sspon.01G0060490-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:80010000:80010389:-1 gene:Sspon.01G0060490-1D transcript:Sspon.01G0060490-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLAFSVLNKTENEWKATTRSILWHAATFPTATTRYQYIVFFLVGIMCSLIWMVVIYVMYCCD >Sspon.06G0007060-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6B:23265813:23267051:1 gene:Sspon.06G0007060-2B transcript:Sspon.06G0007060-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPPPPPTRTVLTATLLLLLHLLPHNAAAGADASPAVNPFTAKAAFIRYWNRKVPNNRPHPAFFVAKLSPLPAADSASFPTALPDIRARLPELCTKAALLCPGPANTDAASLAASGPRGGGPFKGYSNANFSNYGTGGVAGGDSFQNYSPDLNIAADSFRRYGRDSSGRADSFASYEADGNVVTANFTSYAGGATGGSGSFAAYAAETNVPDSTFTNYDASANGRGQSFASYSQEANHGENGFSGYGKDGNGVRETFASYGNESNVLASAFANYGQSANGATDTFTGYGVEGNVPENTFRNYGAGGNAGVDTFKKYRDDANVGDDRFTSYAKGANGGAAEFQSYGNSANPGSTIFKGYGEGTNPNHHIGFKEYAGENNTFKGYAKSGVSFKEYHNTSTAALTVSAEAAASM >Sspon.02G0022160-3D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2D:67386223:67386855:1 gene:Sspon.02G0022160-3D transcript:Sspon.02G0022160-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVVGAQFCSPRASLLTLTMNLTRARRHRNRRSQRRGRAANGRAPLPQVLRPLRPRRRRRPAPPLRAEKGKPLATASMDHFTPSSSSSRLHALESRPSLLANSGMYLGFGVDRRCCQQAFSLYREWEAFRGDGHGHNGGELLFTAKKSTIVQVRTHMDIFLASNRAQEVCDFKIKCSSVYEGSADIYLGNSSTVIAQVNNGKSVCSWFL >Sspon.03G0031740-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:30405651:30410830:-1 gene:Sspon.03G0031740-1B transcript:Sspon.03G0031740-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMVNHGSASRETTLSSLYTTPPRHGVKLKPRELCESTTIIRRIRGRRRLRHRAQGHLPVPRLPVLLFAFLSVHVHVHAIPPPLPTTYDGSICSESFICGSVRISYPFYLADATRETTDYGYNYSCGYTDLKISCQGEGSTGTPVIILGDENYTVQDIIYDTHTIILADSDVLAGGSCPAVGHNVSFDEVWLYNTSTFHNLTFFFGCDTVPFGFEEYRINCPGFKSPPGARAGDAFVLMTDEQELASKCSKVVTVPVISDVLKAASNNHSNFTSGGYGDVLKRGFELEWSWSRITADGCHLCEASYGQCSYSQNREFPGCLCHGGKVGNPDCERIVPASTATASSIVAGTSSLLFLCLVILKFFYTSRDGLLPFKSKDEPRIESFLQKNANLHPKRYTYADVKRMTKSFAVKLGQGGFGAVYGGKLYDGRRVAVKMLKDSKGDGEEFMNEVASISRTSHVNVVTLLGFCLQGSKRALIYEYMPNGSLERYAFTSNMNSENLLSWEKLFDIAIGTARGLEYLHRGCNTRIVHFDIKPHNILLDQDFCPKISDFGLAKLCLNKESAISIVGARGTIGYIAPEVYSKQFGTVSSKSDVYSYGMMILEIVGARDKNTSANSESSSQYFPQWIYEHLDDYCISASEIDGETTELVRKMIVVGLWCIQLVPTDRPTMTRVVEMLEGSTSNLELPPKVLLSYQA >Sspon.03G0018300-2T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:43402354:43405775:1 gene:Sspon.03G0018300-2T transcript:Sspon.03G0018300-2T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFFSVFDVPVFWPILLCYWVVLFVLTMKRQIVHMIKYKYVPFSIGKQKYGGKKSVASTSSSKD >Sspon.03G0028040-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3B:7776663:7777504:1 gene:Sspon.03G0028040-1B transcript:Sspon.03G0028040-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNGPGRPGPARHWTGTARWGSGRHGPPRRASCLTYGPGTACWAGPKAPCVPGGPRCRRNLRGREEAKSRRRRLLVSRGEGGGGGRRVLALEVLRCAHTGLALEVLRMPRARRPRVGRGREQANLFRSWPLEEHEDVSRSGRLRAGRWSGPCRVPGVRPSTACSLGPCQPGPVGHQAEPCSGRANGPRAAWPSIVCTVHALRCRRRLAGGVC >Sspon.02G0006700-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:21023234:21025848:-1 gene:Sspon.02G0006700-2C transcript:Sspon.02G0006700-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWSAAAVSRFAATSPAAAPISVRLGAAASRSSLSFSREHRRPVAASLWTSATAVKEAVQTEKAPAALGPYSQAIKANNLVFVSGVLGLNPETGKFVSENVEEQTEQVMKNMGEILKASGASYSSVVKTTIMLADLQDFKKVNEVYGKYFPAPAPARSTYQVAALPLNARIEIECIAAL >Sspon.01G0000400-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:1651445:1659411:1 gene:Sspon.01G0000400-1A transcript:Sspon.01G0000400-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSDDMAMADLVSTLRRLGCAGPLVQPSRCVIVMPGLVAKLQMHMQETGEEEEVLRRLGTAEEAGLTDNEAARRLRLHGPNVVVLSHHEGSMLQRFLTALFSLWGWDHVFPKYNNMVRIILNSMSWLTVLTTMVSLAVTSAGQRSCELAIVIFIGATSLIACFTAKLVLEYAKAPLETKAHAPRAKVLRDGRWRDVHAANLVPGDIIFLKVGDIVPANARVLRFQKIDTMTCWAKRSVDCAHGFLIYYAWTVSCGQGTAVVIATGRGIPRSTLRLYPQRYARPGQLKEGVMLAGCFCASLVLVGTIAEIVLSFLFQKQNCSGIPLNAHFMPLIGGIPMAMPAFLYLALALGSVRLCFLGIASRGTVALEDLASMDVILFNMTGILTCNQPCFVRDKIEMFADGVNKDRAIILAARASRSQHELYIEPIDAAILRLLDDPEQARFGVEVLEHHACFFVALKQMFATTKHTIDESNGSKCCVFKGDPAKASWCCNFKHTVTLKGTDMHASSSNISLHIQTRIHAVACILFVERVAHQCGCSKEVKEKISMIMDELALDGYQAIAVGHQVDSCWEFIALLPYTDDLRSDSADAIESLIDLGLDIRVLTESPLSATKQVCGKLGKLGTNVVPAHSVFELARNNREVHSNINGISDLFPGTSFAMLFERVELNKSPDKWRVQKIITSVTMTFVPQMVTTQKVVHGDLNFALTKGRKWRRTKMTSEKFEPFQ >Sspon.07G0012910-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7B:52407047:52407499:1 gene:Sspon.07G0012910-2B transcript:Sspon.07G0012910-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADEEQAPSSRKRVAGTQINKDNPEPDDDGPEQEISTFKKASEEVMATRRIVKVRRQQTSSAPSSNPFSAIRFAPTDSGAQTSAPVPEAQPSDVKADEGSNGNGKDTLSVPDKNAGSGVNTDSVPQLKHHLNLLKPVTRQKTQRMNLVETK >Sspon.04G0020650-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4A:72536007:72536755:1 gene:Sspon.04G0020650-1A transcript:Sspon.04G0020650-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DDSGFPRTPDRLLKFCGTHVWLLEVFKTITSICEPYYLGGSATRDATGFHLSQHRYAEDILDRARMANCKPAMTPIDAKGKLSAEGAKIDDTKGYRSLAGALQYLTITRPDLAFAVQQACLHMHDPRVPHQALLKRILCFCVFLGDVLVSWSSKRQPTVSRSSAEAEYRAVAECIWLHQLLGELGCWISKATVAYCDNVSTVYMSANPVHHKRTKHIELDIHFRPGTRSSR >Sspon.05G0019190-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:82099528:82113044:1 gene:Sspon.05G0019190-1A transcript:Sspon.05G0019190-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRLPTYAAFSLLATASAAYYAFSSREQFYPAMVYLSTSKICFVLLLNTGLVAMCVAWQLARRLFLGSLREAEVERLNEQAWREVIEILFAVTIFRQDFSVSFLAMVAALLLVKALHWLAQKRVEYIETTPSVPLLSHIRIVSFMVFLLTVDCLFLSNSLRSLIQKREASVAIFFSFEYMILATSTVSTFVKYIFYVSDMLMEGQWERKAVYTFYLELISDLVHLSLYMLFFIAIFLNYGVPLHLIRELYETFRNFRIRIADYVRYRKITSNMNERFPDATTEELDASDATCIICREEMTTAKKLLCGHLFHVHCLRSWLERQHTCPTCRAPIIPTDNGHAASARQHGAQAGVQPGTATPSSEGAPGENMSRRQAKLEAAAAAASLYGRSFVYPPANTLNRIFCGQAAAPGGEDDPTTDVRGVQPAGDGVGGVLRVQQPGAVLPAMVYLSTSKICFVLLLNTGLVAMCVAWQLARRLFLGSLREAEVERLNEQAWREVIEILFAVTIFRQDFSVSFLAMVAALLLVKALHWLAQKRVEYIETTPSVPLLSHIRIVSFMVFLLTVDCLFLSNSLRSLIQKREASVAIFFSFEYMILATSTVSTFVKYIFYVSDMLMEGQWERKAVYTFYLELISDLVHLSLYMLFFIAIFLNYGVPLHLIRELYETFRNFRIRIADYVRYRKITSNMNERFPDATTEELDASDATCIICREEMTTAKKLLCGHLFHVHCLRSWLERQHTCPTCRAPIIPTDNGRAASARQHGAQAGVQPGTATPSSEGAPGENMSRRQAKLEAAAAAASLYGRSFVYPPANTLNRSGPPQFTSSTPQSEASSSNQSQKDQEMQFRDRSDGLVPLPFNANGAVGSGTSTRDLENSLQKAQENFIKSQIEMLQIQLQMVQCGAAASPSNNGNAEHTKND >Sspon.06G0008470-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:44653874:44660737:1 gene:Sspon.06G0008470-1A transcript:Sspon.06G0008470-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAPERPSTRTASMCAAAESARVTHSFTVVGSSVHKGFGVGMFVRSATFSAGGHNWSIRYYPNGNIDKHKDYAAVFLELMSQNTEATVLFDFRLVNQATGLSASFFSTKMVFNHEISNWGAQKFIMKSDLEALGYLKDECLEMECDLAVVKVDEIDVVKEEAFRAHRIVLAMRSPVFKAELYGPMRNNNKGSIVVEDIEPPAFKALLHFIYTDSLPIMNDLDATDHQEMSKHLLVAADRYGLERMKLMCENILCKKLAIDSVATTLILADQYHCSKLKDACFRFINSSNRMDEVVASQGYKDLKRECPALTIEILEEAAKSRKI >Sspon.02G0004060-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:15626565:15626768:1 gene:Sspon.02G0004060-2C transcript:Sspon.02G0004060-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLIPFVIDVIRKSHERSGYRSVSSDGGSFRGGGSRRHLIDYSELPDAAPANVGVDRPSGTLHRRA >Sspon.06G0024510-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:51135879:51138259:1 gene:Sspon.06G0024510-2D transcript:Sspon.06G0024510-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSWRIAKLNHDYHMDGTDSMTDMMAALNIFYSLIIFQGAMFLVLLLPMYIYEANVVRRLQSLEQLSEKWGEDAIGRYISDICTKCRKDPLSIKGMDLIKYAAVLLDSESQEDYQYGARLLSAFVNKGEDVSWVLLPSRHRIQRLIDSLMISSSSRRHLDEACVQFCRGRCYAVTGSLEDKKEIRELAATIVADVAAHIDDLSNYPGAMRCISSLFQDPVPDDPPLHQQDEDIGPDWLERRKLMMDKQRMAIREHRHRNKREGGRSNQLVQQGLTILERLASNSDDNRRIICSTPGLLPKITAPVYSATLIEAVKNKAWADIVTRCLKVLYQLIRTPDGSHEIFSNNVQALSNLKSILELGNNEAHHQELKLVAMEILTELALDLSINLTEEIKKVLVTKQLQLFFLANGDGAAMAGRTLVSLSADRESNSALIMTTQDGIIGCLTGILDGYNITRRTIAAKIMANLYAHSNVDSTMKELLPKVLRKIMSIKTKSQQRKISAGENSPTALGDEENQGNSVSTNNEERQSISSDGDHTETEEISDQEREVQEAFLSLAQVICDKLDKADLDGAIQIAVQNENNAGSAEQRREAFVEKLRTIIDDNRHATADCLRIVKLCRQIAKSVMLCEQYVEIFRNKGFKSSHSEASKTMSELESCMLFAGTDFGLRKTVKPLFSEIEKT >Sspon.03G0025900-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:5421763:5425100:1 gene:Sspon.03G0025900-2C transcript:Sspon.03G0025900-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKITALLVLKSPGDSSASASGGGEQAVVLANASDVSHFGYFQRAAAREFILFVARTVALRTPAGSRQNVQHEGTSRPPTLLLTPAEYMVHCYNQNGLCAIAFTDAHYPVRSAFSLLNIDPAEADKLLKIQRDLDETKIILHKTIDSVLARGERLDSLVEKSSDLSISSQMFYKQAKKTNSCCTIL >Sspon.03G0009670-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:26039067:26044795:1 gene:Sspon.03G0009670-1A transcript:Sspon.03G0009670-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTGRVALLLLLSAALMQALLPSRAEGLVRILLKKRPADKKGRLHDERCRGFLGSNAAAAAASEKAEAEAEGDIVALKNYLNAQYYGEVGIGTPLQKFTVIFDTGSSNLWVPSSKCYFSDSVKIGDVIVKKQDFIEATREPGLTFMVENSTAFLGLDSRKSQLEMLYLYNMVNQGLVDDPVFSFWFNRHADEGQGGEIVFGGIDPSHHKGNHTYVPVTRKGYWQFDMGDVLIGGKSTGFCAAGCAAIADSGTSLLAGPKAIITQINEKIGAAGVVSQECKTVVSQYGEKILDQLLPETQPAKICSSVGLCTFDGTHGVSAGIRSVVDDESGKSNGLFNDAMCNACETAVVWMQSQLAQNQTQDLVLQYINQLCERIPSPMGESSVDCSRLASMPDIAFTIGGRKFVLKPEQYILKVGEGAATQCISGFTAMDIPPPRGPLWILGDVFMGAYHTVFDYGNLKVGFAEAA >Sspon.04G0002530-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:8773644:8775714:1 gene:Sspon.04G0002530-4D transcript:Sspon.04G0002530-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATVSFPVVNMEKLETEEKATAMEVIRDACENWGFFELLNHGISHQLMDEVERLTKAHYASFREAKFQEFAARTLEASDKGAHVKDVDWESTFFVRHLPASNLADLPDVDDHYRQVTKQFASEIQKLSEKLLDLLCENLGLEPGYLKAAFAGSNGPTFGTKVSAYPPCPRPDLVDGLRAHTDAGGIILLFQDDQVSGLQLLKDGEWVDVPPIRHAIVVNIGDQLEVITNGRYKSVMHRVLTRPDGNRMSIASFYNPGADAVIFPAPALVGAAGAEEERAEPTYPRFVFEDYMNLYVRHKFEAKEPRFEAMKSAIATA >Sspon.02G0000470-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:5513919:5516745:1 gene:Sspon.02G0000470-3D transcript:Sspon.02G0000470-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPPFLLRILLPLASLSWLLLLARGSAATGVLGGIGADEDEWSRQPAAAAHLILGDSRNMQARRLLQSGKNEHPQPPRQAPPPNPHQKKPKTNERPHRPKQHQHKVHAPSPSPFSSAPKALPSPSASAPAISSSGSHHPLAAPPRPLSSSPSISPPHKHPSRKYSLVAAGSAVFVVMAAASVMYCRVKKVGTVRPWATGLSGQLQRAFVTGVPALKRSELEAACEDFSNIVGSTPSCMLYKGTLSSGVEIAVVSSSVTSVKDWSKECESHYRKKITSLSKVSHKNFMNLLGYCEEDTPFTRAMVFEYAPNGTLFEHLHVREADNLDWATRLRISMGIAYCLEHMHQLNPPVVPRNFDASTIYLTDDFAAKVSDLDFWSDTATATATNTKGSDSSSTTDDEFSSVSDIDVMVHQYGMLLLEILTGKVAYSEEEYGVSLEQLASRYFDGNMPLVELIDPSLGSFPQEAAHAMCEVARSCVDPDPKKRPRMVQVAARMKEITALGPEGATPKVSPLWWAELEIMSSEAS >Sspon.05G0008960-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:28201074:28205012:1 gene:Sspon.05G0008960-1P transcript:Sspon.05G0008960-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTSDLKPSLDRDEGAAWLVKYGDTLKRFNASVNGSHFDHDLPALRLKIASAFKFSPDAEFTLTYTDDDGDDVMLDDDNDIRDAAVNQKLNPLRIIVQLKGSNVGAAQTKQHTTNFNSPRSTSLEDQLAQVKSAIDEALKFVPEQIPAVFAKLSHDLRSRAALSAPSLAELLDRFAKLIARSSNMQPSGGSEVGSQKLGNSKGKLESAPMTVSASEPSDTQNSGTPENGLKSVLLENPTAIIDQVALCPSVEDSLVFTSLGGMKSELKRSADNGIKIKSDARSKGKSVISSAPPASTTSHVAPTQRPIPVPSMRESKLMYGTNPTYTSCGSNGTANGGLRSLFPPPAVFHPRSPVFPPYNPIFGANGKTSGDMLSTFSPPPNIYPFEYTPSSVGTRFPNPYPIGSSHERMASLHCNVPNPEEKYFGSSYRGLGANYGCIPQGEQHRWVQCDGCGVTPIVGPRYKSNFLFILTCFLADSKEDYDLCGPCFSHIGNEAEYTRMDSPASRCNIKILERVPAAKTNSLFIKDVTVPDGTPMAPSHPFTKIWRVAYGTQLVWVGGDHLASPSSVRLAILVNGRINPCEETDVTVDFLAPARPGRYISYWRLALPSGQRFGQQIWVHIKVEQPIQSSGGKQAAAMNLNQLPEANSTKLKPFTIDLETNKANNTKLKPFILDLETNSVSSEPLRCPLSFRETMKLEESKPAPGDMSSVPTIVEPVQNPVTDVSAKSLLASIPDGVPASEAFPQPNPVPMLPVSSSAPVVDHVSMPAPAATVAPVPAAPLPEQIINHLEEKLMTELEGLGFMQADLNKQILRQNNYDLEQSVVHLCDYDEWDALEFCELGFGDAEMNKEVVDNSDEEGFIVADLVTKAANDH >Sspon.02G0026850-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2D:64370942:64371619:-1 gene:Sspon.02G0026850-2D transcript:Sspon.02G0026850-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding NRRRKPRSARMRRQSLQTREARADEARGVVRWEAEEERFDEGFYLHQRRRRHSASSSPESSGARVEVHRALEFVSAAKQRRT >Sspon.05G0035160-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:69608786:69610032:1 gene:Sspon.05G0035160-1C transcript:Sspon.05G0035160-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRKNARKNALAGADAVGGRHLISNLPDGVLQHMLSFLPAQDAVRTCVLAKRWRHMWESTTVLRFVCGGMNEPKSIQDFVDQLLRLRISGSTPLDTCEFRLGEIDEFNIDEDGIDVDGTSRINLWIRIALQCKVRVLQFSCVVTATCEGGRVSICAPNLVSLWLEVCCGRTPSLQRMPYLLTAVVKILGYDYDRVPLHSDNDNNQSILLQGLSEVRNLVLLSHYTEMCVFRRDLKFCSSFNKLKSLLLNEYVLACLLKLSPVLEKLTLQLNSKGPDRKVEIKLSCKPL >Sspon.06G0012050-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:52372925:52375844:-1 gene:Sspon.06G0012050-3C transcript:Sspon.06G0012050-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSQLVCSGCKRLLQYRRGATGVCCPTCSTFTTANPSGPEMSELVCGGCFTMLVHSRSATNIRCPHCGRLNSTRSGNQMGHLSCGQCRTTLAYPPGATTVGCPTCRNINPVRDARPQTVLVENPKTLDAKGKLVSNVAVGVTSWKR >Sspon.07G0032230-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7C:47441825:47442124:1 gene:Sspon.07G0032230-1C transcript:Sspon.07G0032230-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHRRSRTPVSVHSLRCSGCIAARPRAANTTRQAQNVLLKKLGIVVEEDAADLEIESKLKAAFHHMSTRKQQALQILFKGDFDPAAMDLDLTGLGTDVV >Sspon.08G0017190-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:5016033:5016766:1 gene:Sspon.08G0017190-2D transcript:Sspon.08G0017190-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKREGRQHGTVRISRSKTPPTPTPGAAEPLAVALAVAATEKAAGKPTNASRSTGKCRRPRCAGCHAHPVTKARDKAKGAHKLRACDVALNHRLVSWRVVDQGQGYRGKSASSLLVYLAGSGNSWHEDDDDAAGLEAPDAGLSDLYDLFVGRRADADADAGGEEADPDPAARDTDVDDVGEIQAIGEQGLLLDDKEDGDDDEEEEEEDMGFCMVGITIAVEFSDGEEDWIVVEEI >Sspon.05G0012170-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:30373845:30379680:-1 gene:Sspon.05G0012170-2B transcript:Sspon.05G0012170-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPQQPDRPYTSGSLGYFHLRLVGLAPALLLLRTDRLYSLSHSRRRGYRLRLLASPHRRRPRDLLLSTYGCGLRLTHRSGTGSPSVNGRPLRAGTPTELAVGDEVSVLRRGTRYGFIVERFVSCGGVEVGAASTAGSCAEGIVFRAESLRKRLRAISESEDPLSLLRDCSGIGSLDVGPKKWRQDGAGELCLDNPITPASEENVLQGDCNFDPDKLEHHLDVVNDGGGELLFNGSKGCRDDNAEQPGCGSGNEEQYRSEGCYSDGSTFFLNRLAGTGSDTQVEPQSVVTLPQLLHPVNSLVRVFIATFTSDISWFLNYCKIPQHLPVTIACHNKERCWSASSENRTAAPFENHPKLLLVFPRFPEEIAFGKDRKKQGVACHHPKLIVLQREDSMRVIVTSANLVPRQWHLITNTVWWQDFPRRMSLDYAALFSAAEKQKSDFAAQLVSFIASMVNEVPSQAYWINEIAKYDFEGAGAYLIASVPGIHAQSPPYLESNYFLSAKHNVHAKSAHRMFIGSVQTSVVGLSHRFHMPSDAGKQLRALSAFLGKCRENMYGTTEVILKRNTNIPADANAVSVLVADLDKFTEEDSVQLGFLPREVAKWVAPLSDSGFFNFSGFIYPREALEAAYGVTNTKVQLLLYVSKGPEFSQISGLISNEHFPPLCSLIASLQRCLGLWRLEEFIASFASAAGKRPHQDFDSQESDPEWGCWTTNHELKKPSINLLFPTIDRVKKGACGIQLCRNLLSLPEKTWQRLRSTGIFHDAIPQPYARIGHPMHVKVAQRRFKSCFGEHSFGWTYCGSHNFSPAAWGQLLSPPSKANPSKARPAPSSPMLHICNYELGIVLIALPPGMSKHASESRHRIEGITLPFVVPPPRYKGSDRPATRLAMREAVAEACVLQGNDVVDLSEDTDEDVPDEDDEQVVELSHCSQQEKEEEKIYAETLWGQVDSSQSQEKD >Sspon.04G0037200-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4D:62739821:62740348:-1 gene:Sspon.04G0037200-1D transcript:Sspon.04G0037200-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVISIPTPSPRPPVLHPTLTTPNWFPSVLHRPSSRRSRRRRPRTSFRRHRRRCPRTSSRRRPCSLPRSSQRLSYSRPHSAQRPQCPWPQFNGGTKAAAASRHAAYVAPPRSGVAPGNDVVPRHRHAPQAAADPGAYSSPPISTRRQGLQGDELFHLRHGSRGRVIKVCALKPYLL >Sspon.04G0012300-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:39970071:39971988:-1 gene:Sspon.04G0012300-1A transcript:Sspon.04G0012300-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSYCGKYKGADNHHLLLICANLLGSAQSAMMGIVYLLSFRGFVIHAETTTQGHDDLGKRCAKYYEAGAALPSLARYAIICQENGLVPIVEPEILVDGPHDIEHCAYVTEMVLAACYKALNEHHVLLEGTLLKPNMVTPGSDSKKVAPEVIAEYTVRALQRTVPAAVPAIVFLSGGQSEEEATLNLNAMNKLNTKKPWSLSFSFGPCPSGEHPQGLGWQGGERGEGQGCLPHQANSEATLGTYKGDAAAGEGVSESLHVKDYKY >Sspon.03G0033990-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3B:67701792:67702051:1 gene:Sspon.03G0033990-1B transcript:Sspon.03G0033990-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPLCRPTPRGSVANRYPQPSLPKAPQEPTSKCGSLMTRSTRVALHNPHGVSTIPLTKLLRSLAQSSYELRRSHKPPNRLGGGNLQ >Sspon.02G0033110-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:2720935:2721481:1 gene:Sspon.02G0033110-3D transcript:Sspon.02G0033110-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding WRRRRFSSWRPPWSRRRAWSAPRTPSSAAWAGSSSPASCPATPAASSTSPPPPAFPTLAHGGLDRLFLVRHPDADVELRCAGKVVAGATTNTNGTFSMELDMTNALAAFIGGCTLVVDTPLIKCNADLTDVGSLVSYLQGPLTRLLGGIFHLFPAGFSFHARRA >Sspon.02G0020550-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:67015423:67020337:-1 gene:Sspon.02G0020550-2B transcript:Sspon.02G0020550-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFRFQLPWLKNPNTTAGTCNRDTNPSPRFPNPFLPIQAHVTSFLSSLPQALPPPPSWARIPSPSTASTSLSLPTSEIEERLAGVPVYALANASQEFVLVSSTRVGGQGGEGVKPPPALGLLCFRKEDADALLEQMEGDMRAGSSVVPVALNKVIQLKSDGVAFRFMPDSSQVANAIKLMQDEGLYAREGFPGVPVFQSRSLVLMSDNKRYRPVFFRKEDLDNSLHRTSRDQQKPNPAVRFGDTQVSSLEDIIKSMKDSSSSKWDDVVFIPPGFDLATGSTPSHLSKYTLLPELLYPFKYLARSSQCWVVPPSMSKSMPSRTASPKGREDDCPPKKLFHKYVATSLASAAEER >Sspon.07G0036580-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7D:39856261:39856559:1 gene:Sspon.07G0036580-1D transcript:Sspon.07G0036580-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARCNSGVTMIEVNRGRDDEAERGEEKGWRGGEEEGVLAESGRTAVELASVAMENGMRRKEEVDTSVAWRSREKPEIRR >Sspon.02G0022250-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:68001531:68002702:-1 gene:Sspon.02G0022250-4D transcript:Sspon.02G0022250-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAMAAVQQQQQQPQRRDAEAELNLPPGFRFHPTDEELVAHYLCARAAGRRPPVSIIAEIDLYRFDPWDLPERALFGRREWYFFTPRDRKYPNGSRPNRAAGSGYWKATGADKPVEHRGRTVGIKKALVFYHGKPPRGVKTEWIMHEYRLADAGARTKKSGTGTLRLDDWVLCRLYNKKNEWEKMQQQKEKQEMESEASHSHSDTRTPESEIDDDPFPELASLPALDDMVGPAPVAPAAGAILPKEEVEDFGDLGGDDWLAGINLDDLQMPGDADFFGNMLVSPMAAKMEQDAGFPFF >Sspon.05G0004750-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:8805961:8808584:1 gene:Sspon.05G0004750-2B transcript:Sspon.05G0004750-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPLPLRLHILLVLLAASAAQATDPSGCGGGDRCGDLVLPYPFHLNSSCVSAGANSSLFRLSCNASATLTLALGSATFRVLAFLPSGSLLLDYAPASPSPCDAAYAAFSRPTSPAAALDAAPFLAITPANVLRLYACEDSSLCRAGCDDVASCGGKSGCCYPLSDGSVWKPGNGLDVFADYGCRGFSSWVKNRSALAGAASGVVRGIEVEWAVPRGSAMAKCADGAALVNATALHDCVRCVCAAGLVGDGFAQGTGCSKGTSCSNGEHAGDGRDCCQGRFCSKKAVVLAGFFVSLFFLAAAVSFWLFLRQPSKDSRWDLDPACIPKILRSVCDTKQFTYEQLEEATKRFDSDKAVDTVDGTVHAGVLDDGSLVAVQRIGYETQGKLRLVLDRIELLSEISHPNIARVVGFCLDSSNALLLVHERFAGGTLEEHLRQMKCRVLSWYHRVNIAIELASALTYLQAHETAPTFLYDLRSSEIFLDTDFAAKIAGYKLTRPATYYSASYDQDVVCNFGHLLIELLTGLRQQIPLDSVAPKVREGRLHEVIDPTLLSGKQLPASHDEVRKVFELAVRCLSSAENGLCMLAVARELMHILRDNNGSSSKIEISLDETLCQFT >Sspon.05G0015640-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:61282975:61289625:1 gene:Sspon.05G0015640-1A transcript:Sspon.05G0015640-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATAPATDPPDALPAVTADAPTPARPTPAELVARAIAPLKPAFLRSPPVREAPKEEGGGGAVVKVEKKSKRQLKRERHQEQKSTSHLCIEVGKSGNVDSCKYGTSCRFSHDINAYLSQKPADLEGTCPFTSLGQLCPYGITCRFLITHKDTLAPQNHPEGNHERNPLSKEIQKLLWKSKYKFPKATAQIKLLGLKDGNKNKAEAANDDNPDETCELNGDDKTSLSSIPVNVEPDPTCKEIDNSEGQPLVVNSVESVEPRPSKKSKVEVDETQDHGTGIHDNEAESEVVNLINGVKVSSNNQSSCKVDLITTPHLREKKIIDFREKLYLAPLTTVGNLPFRRLCKTLGADVTCGEMAMCTNLLQGQASEWALLRRHPSEDLFGVQICGPYPDTVARTVELVDNECSVDFIDINMGCPIDIVVNKGAGSSLLTKPMRIKSIVQAASTVTEKLLTVKVRTAFFEGRNRADSIVSDIYSWGASAITIHGRSRQQRYSKLADWDYIYQCAQKAPDYLHVIGNGDVFSFTDWNKHVSDCSKISTCMIARGALIKPWLFTEIKEQRHWDITSGERLNILKDFVRFGLEHWGSDSKGVETTRHFLLEWLSYTCRYIPVGLLDVIPQRLNWRPPSYYGRDDLETLMVSDSAADWIRISEMLLGKVPEGFTFAPKHKSNAYDRAENG >Sspon.03G0036750-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3B:93790848:93791794:-1 gene:Sspon.03G0036750-1B transcript:Sspon.03G0036750-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTPFATSGVSAATTLPASTVHSEISESSGAPLTLEALASVVNRMGANIDVLSRNMAAMQAALASLLPPPPAPDIQSYLSIPPLQPVSWPLPQSAVASLPPVFPYGMLGYSTTLLPGTTLLPFQDVQPTVQPTLQQIEQAMDITPEPVRKMLTCKVSAAVQLQAAARGLLARRRLQEMRQPMHEATLATVDLSSVKRDLAPWDCHQQPRRPTVVFRREHGVFSARNDLQLCSSGGRGVAPLLVTGGDALPSATAFHRRPPRGRLRWSLSRLIPGGCTHAPLSFRWAPWDPGVKNKES >Sspon.02G0054840-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:105381109:105382751:1 gene:Sspon.02G0054840-2D transcript:Sspon.02G0054840-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKMLHAAPVHATLPECFIFPADKLPQAKATSATVSLPIIDLSLGRDEVRRAILDAGKEMGFFQVVNHGVSLEAMRDMEAVCQEFFALPAEDKAGLYSEDTGKTTRIYSSTMFDTGAEKYWRDCLRLACSFPDVGDSPKNWPDKPARLREVVERFTVQTRGLGMQILRLLCEGLGLRPDYLEGDISGGDVVLHVNHYPPCPDPTATLGLPPHCDRNLLTLLLPSMVRGLEVAYSGDWIKVDPVPGAFVVNFGCQLEVVTNGVLKSIEHRVMTNLGVARTTVATFIMPTTDCLIGPAAEFLSDGNPPAYRTLTFGEFKRIYSVVKLGSSLNLTTNLKDVQKEL >Sspon.05G0032500-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:18417511:18418055:1 gene:Sspon.05G0032500-1C transcript:Sspon.05G0032500-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALGEGGDHGAATLPLIADQAKHGGGIVRSGEPLSPPLLSVGVRVRPPLEADQPYPPRRQRADGTCGFCHSRHAGSMWTAAAHVITAVIGSGVLSLAWSIAQLGWVAGPAAMLVFAAVTALQSTLFADCYRSPNPEHGPHRNRTYAKAVDRNLEDTVYCSQVP >Sspon.02G0021330-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:71532782:71533459:-1 gene:Sspon.02G0021330-1A transcript:Sspon.02G0021330-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MCCFDDCCCCGGCYDSFCDRCCPCISYDTRETIFGCCICFLVLAAVVLFVVLLAAYGFIRHVEVAVEAASLTRFALVTSPATALAYNLSLTLTVRNRNWAMSIKNTEPLEADYSFDGQRFDRIKLAGEGEVHPAGKTRVYHLDSGADGLYVALGNAGEAEFRKENATGLFQVEVALAGEVRYQAHFTKCKLAATCPLKLQLAPPGTTAVVFQKVKCKLAKPDKNC >Sspon.05G0002500-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:13322460:13322921:1 gene:Sspon.05G0002500-3D transcript:Sspon.05G0002500-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTTNRRRIVALLLVAATLLAQAAACPYCPTPKPPPPPPPKVKPPPSSTPCPPPPYSPTPTPSTPTGKCPVDTLKLLACVDALNGLVHAVVGTKASDTCCPLLSGVADLDAALCLCTTIKAKALSVSVVLPVAISVLVNECGKHVPSSFTCP >Sspon.05G0028430-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:63318236:63321283:1 gene:Sspon.05G0028430-3D transcript:Sspon.05G0028430-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MIAVKRLAENAPVPAGITFETEVTNLMALKHENIVELVHYCHESQKKVVQHNGRYVIVDVIESCLCYRYLPKLSLDKHVYDSATSINWDTRFKIVKGICQGLHFLHKELVGGPLIHMNLVPNSIWLDDNWVPKIADFGLSRLFGKEQTRMYTVNVKGHKGEISTMSDIYSLGMIILEITTRERNYSASEDKSARQFVDNIHQNWKTDEQIMYKYPLLDPNGLQQVKACIIIGLKCVEADRNKRPSIADIVDKLNGKRVQIFDQV >Sspon.08G0009470-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:41480201:41490135:-1 gene:Sspon.08G0009470-1A transcript:Sspon.08G0009470-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQPRLHRCPQLLLVSPPPRPAAACRQSATAFSRVLRSPLAVFSVPGARAPGPPRLAAAAPAREEQWGIAAGDDDGGEADLGEALSRTRELVECAMFAAVAGLAYFLSNSLAIENYFSCFFPLPIVISSLRWGLEAGRKTVLMHGVVGLIMGTVWRLETNWIVSILLCSIITVNIHASLTYILTAAGVNTIPSMDAIYVLFGTLLLLNCAFFIFLLHIMYTVFLMKLGIKSSLRPPRWLDKVI >Sspon.02G0034520-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:13105910:13107258:-1 gene:Sspon.02G0034520-1B transcript:Sspon.02G0034520-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQPQQQQQQEGGAVSAAAAANGTGGAELIGYVDVHVRSARDIQNICIYHKQDVYARLSLPGDGAPAASTQVVNGGGRNPVFDQSLRLGVRSGDVDAALRCEVWMLSRVKNYMQDQLLGFALVPLPEVVAADGGTLAREFPLTTSDLFQTPSGFLQLELSYIGVVPEVVPISPTPKPALADPEEEEPENNAADGVGNGKEYEKIEFPDLNLVEENQIMSSDSLLTSEHGDGATTMSHDAGVRLVETFSTDNSTADSVGAFRSDTPVSSVSTTESPAAAAFPATPQSNSSSEPSGNAHSSADRKEKAAGKSTPAAATPDADSAGADSSAVKKPAAAGQQEKPSPKVFYGSRAFF >Sspon.06G0010510-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6D:41506246:41510001:1 gene:Sspon.06G0010510-2D transcript:Sspon.06G0010510-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQYLGSINVKVWEVTHHDYAIIDPDNLTNNDRINNQCNTIALNTLYNGIDAKVFEQIKDLEMASEVWTRLEETYEGTSTVKSAKLYMLKDKFASFKMKDDESIPEMFYRLQVIINDLKSLGEDVKDKDFSYKFLMNLPKKFAILRKMIQREGLDKVTPNQVLGDVMTDAQYDDDEDEDEKKEIKVKQEKPIAFKASSSKGKSKVESDDDDPFDDETMALLVHKMGRFMKKKGYGARKRRDFMKAKEVLCYNCNSPDHVVAKCPYEDKRYHDGELKLKKNKKDKKEKKDKKSFTINKKKKGGGYVVSWDSDNSDSDDDSSSDDERTTRDSCRSDDEEDEDYSKDDLLGIIDQMSKGYKRTTKKCKILEKELIAKSNENDALIEELVALKKKASRECLKEDHEDLEIAHTRLKEAHSTLLELIKEKDLKLEKFMKEAKEEQVIVTCDIGLTCDIIDDSLCVGPTNASCSSSSSTTTNSISTTSDSSLVVENETLKREVDDLTRALGNAYGGDARLLKCLGSERFSLNKEGLGYTPKKGKAAFATHKPSFVKSNGRFCNRCKQVGHLEHNCNKMNKNKKNANVPYIPFDSCYVLTKAKNRPEPNRRTERDHNVRGSCRLTSQPGAGRPPSQIWPQPGRQPCAGQPASRQLASSASQAAVGQQPRRASTNTSRPCPRARIRPACHYPTVAAEPLQIRGKGREAPAPTRGHRRRRRFKRQEEGGLRRIRPRSAPPAGPNAGTVGEEVGCQGRGGGGRIGGRSANGASFKDLPRAAAAARRRAAAAAGGEAGGGSGPRSSRPLRRAAAATPLAGGSAPTNHGSRRHRPPPARCAAPRCADAAHQQQPAADPSGLPLLRHGEAARPAPSGVGEGRAGVRVRRTRRVRPLRELRPLPLHGRRR >Sspon.02G0010480-1P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:32958423:32961731:-1 gene:Sspon.02G0010480-1P transcript:Sspon.02G0010480-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPLISSSVVLRRLRAHIMLPRLLVFLLAAAIVVPRSASAITRRDFPEGFVFGAGSSAFQVPPPVVLREDVKLMHEMGLDAYRFSIAWPRLIPGIQPHATIYHFDLPQVLQDEYGGLLSPRFIEDYLAFAEVCFRSFGDRVKYWVTVNEPNIEPIGAFDTGTEPPRRCSYPFGENCTGGNSSTEPYIAAHHLLLAHAAAVSLYRDKYKASQGGQIGITLLGWWHEPATNTPQDAAAAARMNDFHIGWFMHPLVYGDYPPVMRSRVGDRLPALSAEDSARLRGSFDFVGFNHYLILRVRSSSEKKDSGQRLGDYYDDAAIHLWPLRRYGTMQRKLAAAEAEAHPLGRIETPPWALGKLLEHLRLNYGNPPVMIHENGLGDAPGTPSAIEYDDGDRSKFLQDYLEVLYLSTRNGSDARGYFVWSFLDVFEFLFAYKVRFGLCGVDMNAGARTRYVRSSARWYASFLRGGELRPPPAAAPDDDVRPYAVRRDGHPQATTTTAHYDGRWEII >Sspon.06G0010210-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:55796930:55800681:-1 gene:Sspon.06G0010210-1A transcript:Sspon.06G0010210-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKRPRVDPSSSASEPKRQYGAGGGYGGQQGCSEERSSARRVADHYSARSNQTLQERESSPIIHLKKLNNWIKSVLIQLYARPGHCVLDLACGKGGDLIKWDKAKVGYYVGVDIAEGSIKDCMTPYNGDTDQQRRKKFSFPARLLCTDCYEARLDEYLYEDAPFDICSCQFALHYSWSTEARARQALANVSALLRLGGIFIGTMPDANVIIKRLRESEGLEFGNSVYWISFGEEYAEKKFPASRPFGIKYKFHLEDAVDCPEWVVPFHLFKLLAEEYDLELVLMKNFHEFVHEYLQKPEFTELIRRLGALGDGRQDQSTLSQDEWEVSYLYLAFVLRKRGQPSTQRRANNANKGKMFLTEGDIEFLGMILYLAAAAAVTARKVSRGIVNSG >Sspon.02G0051390-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:76504471:76504772:1 gene:Sspon.02G0051390-1C transcript:Sspon.02G0051390-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGARFDDDKSLLMSQMSLLKRFGQSAAFVASTLMEYGGVPQSATPESLLKEAIHVIRCGYEDKTEWGTEGEATDIHWELE >Sspon.08G0007200-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:22539348:22549118:-1 gene:Sspon.08G0007200-1A transcript:Sspon.08G0007200-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPPGPGDEGEATQPQPLEWRFAQVFGERAAGEDVQEVDIISAIEFDKSGDHLATGDKGGRVVLFERTDARDFDYLKSLEIEEKINKIKWCQTANNALSLLSTNDKTIKYWKVQEKKVKRVAVMNLDSSQGVGSSTTAIASTSSSKAPLPNGGCSDKFDCLNNDLSFPPGGYPSLRLPVVTSLEANPVARCRRVFAHAHDYHINSISNNSDGETFISADDLRINLWNLEINSQSFNIVDVKPTNMEDLTEVITCAEFHPSHCNTLAYSSSKGSIRLIDLRNQHCVTTIPKWPLNRFEEHEAPGSRSFFTEIIASISDIKFSRNGRYILSRDYMTLKLWDLNMNSGPVSTFQVHEHLRPKLCDLYENDSIFDKFECCLSGDGLRVATGSYGNIFRVFGCTPGSMEATTLEASRNPMRRQIANPARPTRTLASFTRGVRRGGENQGVDANGNSFDFSTKLLHLAWHPTENSIACAAANSLYMYYA >Sspon.05G0033840-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:44416484:44417389:1 gene:Sspon.05G0033840-1C transcript:Sspon.05G0033840-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKILVCVVLMLVLISNCTAEMPPIMAAEKSSRDEVAGRAKAYAATFKKIGNNNKVYDGYRCNGCHEDPTPHHPTIGRP >Sspon.07G0016560-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:89730601:89735773:1 gene:Sspon.07G0016560-2C transcript:Sspon.07G0016560-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAVFSGEVVEVPAELVAAGSRTPSPKTRASELVARFLGTCPAAVSVRLAADLGHLAYSNANQALLRPRSFAAKDEVFCLFQGVLDNLGRLSQQYGLSKGANEVLLVIEAYKTLRDRAPYPASFMLSQLAGTYAFVLFDKSTNSLLVASDPEGKVPLFWGITADGCVAFSDDIDMLKGSCGKSLAPFPQGCFYSNALGGLKCYENPKNKVTAVPANEEEICGATFQVEGSTVLTALH >Sspon.05G0002150-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:4500842:4503765:1 gene:Sspon.05G0002150-3D transcript:Sspon.05G0002150-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGFLQAADAKDWVYKGEGAANLILSYTGSSPSMLGKVLRVKKVLKDKSQPAPSCMVFSSHEQLLWGHIPELVESVKQDCLAQAYAVHVMSQHLGANHVDGGVRVRVSRDFLELVEKNVLSSRPAWRVNASSIDNTADAALLIPDHSLFSGNPKGSSCIAVEIKAKCGFLPSSEYISEDNSIKKQVTRYKMHQHLKFHQGEISKTSEYNPLDLFSESKERISMAIKSFFSTPQNNFRIFVNGSLAFGGMGGGADSVHPADTDKCIEDLSKVSGLELPDFTELLSETIFRSGVLGKLLTTQKLDDHDIEGAIHLYYNIISQPCLVCKNLTDVELLRKYTLLHSLPLDKSLKIVRDFLISATAKDCSLMISFRPKENGSTDSEYDSVFLESVKRTYEYKAYFVDLDVKPLVKMEHYFKLDQKIVNFYTRNGEVVPSPKGSKSKDTSPIQLQQ >Sspon.05G0012240-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:29160612:29165200:1 gene:Sspon.05G0012240-2C transcript:Sspon.05G0012240-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAEGDAALSLPSPADLDDNGFPALPSSPAASSSGFAEDFYRSGTDWSSLRAPPPLRPPGVKEKERGGGSLVQSSLFQAWGIERPRREGVEAGDSSLVQRSLFQAWGIERPRREGLGARDSSPSPSLSGSWLGRKRRRGGTEEEGVAAKKPLACPFYKKIPARRYLKKQPKTLIVVGAYSIGKENVYLAISQDLENLKKYLETLNGRFLAVLAFRPTGWTFSEATGKHLDLIKPSSNGSVTIYGVPYSEHSSFTELRDFVMIRINFVLISFERCGNWEETGDTSDKVVLDEEAMVHERILLPEGKWFKCKVQQRRQ >Sspon.04G0018080-1T-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4D:74426219:74429437:1 gene:Sspon.04G0018080-1T transcript:Sspon.04G0018080-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPLHFSYKKYRSRLPIPVLAIALVLLISLATPTSSCTEQEKKSLLQFLAGLSKDSGLAKSWKEEGTDCCKWQGITCDGNKAVTEVSLPSRGLEGSIRPSLGNLTSLQHLNLSYNSLSGVLPLELVSSSSIIVLDVSFNHLSGDLHELPSSTPGQPLKVLNISSNLFTGQFTSTTWKGMENLVALNASNNSITGQIPSHFCDISPSFAVLELCFNQFSGRVPPGLGNCSTLRVLKACHNHLSGTLPDELFNATALEYLSFCNNDLHGILDGARIIKLRNLEILDLGGNNFTGRLPYAIDQLKRLKELHLDHNNMTGELPSTLSRCTDLITINLQSNNFSGVLHNVNFSSLQNLKTLDLLYNSFTGTIPESVYSCTNLSALRLSGNNFHGQISPRIGHLKYLTFLSIAINNFTNIRNTLQILKSSRNLTTLLMGSNFMGDAMPDDAKIDGFENLQVLSIEDCLLSGEIPLWISKLVNLEMLFLSGNRLTGSIPTWINTLNYLFYLDISNNSLTGEIPKELMNISMLTSDMTEAHLDLRIFDLPVYNGPSRQYRIPIAFPKVLNLSSNKFTGMIPPEIGQLKALLSLDISYNNLTGEIPPSISNLTNLLVLDLSNNNLTGKIPAALENLHFLSTFNISNNNLEGSIPTGGQFSTFQNSSFAGNPKLCGSMLSRRCSSADAPLVSTKGRDKKATFAIAFGVFFAVIVVLLLLWRLLVLIRVNSLTAQSTRKDNEDLETTFNSSSEHEVIMMPQGKGDVNMLTFTDIMKATNNFGKENIIGCGGYGVVYKAELPDGSKLAIKKLNGEMCLMEREFTAEVDALSMAQHENLVPLWGYCIQGNSRFLIYSLMENGSLDDWLHNSDDDTSTSLDWLTRLRIAQGASRGLSYIHNVCKPHIVHRDIKSSNILLDKEFKTYVADFGLSRLILPNKTHVTTELVGTLGYIPPEYGQGWVATLRGDIYSFGVVLLELLTRLRPVPVLSTSKELVPWVLEMRSQGKQIEVLDPTLRGTGHEEQMLMMLEVACECVNHNPSMRPAIMEVVSCLESIGAGLQTQKSAKTYNADTIQLHMMILQPFR >Sspon.05G0034700-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:62653124:62654435:-1 gene:Sspon.05G0034700-1C transcript:Sspon.05G0034700-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPPPPAPPTVAEDEERPWPPMKMKLFPYAMYCVFGGMGRTGENLLCAYLGIHYLNIIVNLLSFYTDGEQARFWTLASLVVQSLCYASTWLIACVFIRYYMRVDGVYHVTFDPPQQHRAPAAAAEAERLPPPPPEMDILCDERNDGKDQCAEKILVSIAPPAEAKIEDRWWQTSVKAHHLMARSELKEQPVECHDNKNASVLNQDRTQVELENTSNQVGEEPSSKFLTGIAITFVQFK >Sspon.08G0021330-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:42797889:42803120:-1 gene:Sspon.08G0021330-2C transcript:Sspon.08G0021330-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASILQDAQATPYIFSYIHLLLLHEKDLQKLRDARIQQDMLDREASILRAKTQAALAEGISWGMAEDAIEESAEDDADEITWQTYKGQLTDRQEKTRSKIVKRMEKIGNMKKEIDAIRVKDISQGGLTQGQQTQIARNEQRISQIMEELDNLEETLNDSIRESIGARSGKAKRGSHKASLEEEDDILSDDDEFFDRTKKKSSQKSSEQQSVETADSLLEKKDVITNDIESKTKLLEEEKHKLSQGDTSDLRDDLDAYMSGLSSQLVHDKIARIQKELSDLQDELDRVVYLLKIADPMGEAASKRDLKPREAKPPTSNDNPRLESKKQNKIGKATAEEKPKDSSSEVGTDKPAKLQTDVSKNQEDGSRPAFAMPKPQWLGDKRIIEPEENFINKEKSDAEEPDNFVDYKDRKTILSSGSGKELEEAAPGLILRKRKSADQSVASEANSSSVESEASVADAVALLLKHKRGLQTSEEMENENEPDSSKREGKKSKQKRVLGPARPDFLEARPESETWVPPEGQTGDGRTALNDRLATTPLGEVQYGAYGVVSLFGAIFCEDIAIEAEIAYSVDGKFGEGTRFR >Sspon.01G0000330-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:12556121:12559344:-1 gene:Sspon.01G0000330-2C transcript:Sspon.01G0000330-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQDAGFKRKGHSGLGAGPETLKASCCTSEENESRNRRYHQLKCSESNSGQLHLDYIPNFHCKSLPTRSRKTNAEQSVIGKRGSMYQSSSEISRIRKIQEGRRKIDSAFDGDAFLSFDIVDASSRPSTSEAYLHSHQNRRSGAKPSVETARKINRASKDFLDLSFRELPDENFKLDRPRLDSTLLKNDGDDGFLEISLEKEITKGGPCRNAAPVLLNTESGKCTQTNYLHKTRGCPSENNCGERGRDSASSSKSTPVKASSFDGTCQSNGVQHHIIENNTKARSSPFKKMLDPIMKSKSLRSPVLMEKGDSNSITGIGSKKNSMSRKSLLGDFSRTEHCQPNGQTQRVKSALSPAHLQAVLRLDSKNGVQVFEFCVEGPEESISARNWKTGDELKSIYTFHSGGKRSSAAGRISKDGGLNLPPIVGQVQVSSYLCSEVGKDGTVNNSVITEFVSYDIAHARRIVEEKTQCTETPQQPLCGVVDKSISCDSPQRINPMEENKIGRNNSDASTSCPWSEEDLYPHLEIAATVIEVPFSKDKSKEMKNGSSPCTVKVVTPSGLHGSPSDDEASPSPLLDRWRYGGGCDCGGWDMACPIEILGNAYDNNWAESITTNAKHPMELFVQGSKEELPVLSMKENGRGQFLVDFHGRLSALQAFSVCISLLHCSEASIAISLEKGKQKLYSSSLKLLLEEDVRHLIEAVTAEEKKQQKKKRREKAPPSVLLDPPFSPIGRGSWNAVPVPGLGGVICLTAVGLGQEVGVKAVRNVVGILRVVGSCHVRTRSAEEWNPMV >Sspon.04G0009390-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:23561820:23570568:1 gene:Sspon.04G0009390-2B transcript:Sspon.04G0009390-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo repeat-containing protein LFR [Source:Projected from Arabidopsis thaliana (AT3G22990) UniProtKB/Swiss-Prot;Acc:Q9LS90] MQKQTGKSGGTGGGTPAKRGRPFGSTTGGGAAAAAAAGAVVDPGASAALVGPSLQVLSALSDQNNKRIVLALQSGLKSEILWALNALTVLSFKEKDDQRRDTTPLAKVPGLLDALLQVIDEWRDISMPKDHMKPPRVRTLGANTTLSGFGQESMEKVYSDTATTSNNEQSKMEDSSVTKKRAASFWFDEDGLFNNDDEGRAERQQCAIAASNIIRNFSFMPENEIIMVQHRHCLETIFHCLEDQNREDDELITNMVETLVNLAPVLDLRIFSSSKPSFIKMTEKGAVHAIMGMLSSSVKPWHCAAAELIGRLIINPDNEPFLLPVIPQIYKRLVDLLSVPAYDAQAAAVSALYNVAEVNMDCRLKLASERWAVDRLLKIVKTPHPVPEVCRKTSMILESLVSEPQNRMHLLVHENTFAEILTSEGKYSDTFARILYELTARPSNKVTSGQAIWGNIN >Sspon.02G0016930-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:48546610:48548978:-1 gene:Sspon.02G0016930-2B transcript:Sspon.02G0016930-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MACRLALAGATATATAAAARAPRRAVIAAASASSAGEPARRIIRRRGPPGQQGSATAAPAPAAQPSVAEVRRAIGVSDDDASAAASMEAKNSAFMDLIGTTPIGKPESEPERRLREAAEWVVDNTETRACEGQKSFLVLCMMTFPAWFLLMVIALGVIKLPFDIPVLDNLLMV >Sspon.08G0000340-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:1889188:1892225:-1 gene:Sspon.08G0000340-1P transcript:Sspon.08G0000340-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKKASAAAPADAEADERRRLRSLAVSNGLLQRGDPPAPRAPLAPSGAVTRLQGRDVVRRGGQRKSRYLFSFPGLLAPAASGGRVGELADLGTKNPVLYLEFPQGRMKLFGTHVYPKNKYLTLQMTRSAKGVVCEDVFESLIVFSEAWWVGTKEDNPEELKLEFPKEFQNDGTAADCDFRGGAGAAIDEATGSKAGKEIAEPHSPKFASDDDATEDSDHKDENNTQTMSGTPVRQSARNAGKTLKRYTDLSSGGDSSDNDDETAEVPEDLDDKEMESPEVKDESESEDVKPADSSTVALSSKKEPLIQATLSSMFKKAEEKKRSIRSPKGSPATKGAAAKKQRASPMAKQPAGIKKASGTRGKKKPKVEEDEIKELSSSSQDNALDDDSDEDWAERMDWEDGTETAVFID >Sspon.01G0004960-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:13314396:13324064:-1 gene:Sspon.01G0004960-1A transcript:Sspon.01G0004960-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAGSRLEKALGEQFPEGERYFGLENFGNTCYCNSVLQALYFCVPFRDQLLEYYANNKNTGDVEENMLTCLADLFSQISNQKKKTGDAHEFLNFLLNELVDILEKEHNAARESLQNLSFPKNSNGPIDGQPNGSHKELAATWVHKCFQGILTNETRCLRCETVTDRDETFFDLSLDIEQNSSLTSCLKNFSSTETLNAEDKFFCDKCCSLQEAQKRMKIKKPPNILVIHLKRFKYIEQLQRYKKLSYRVVFPLELKLLNTVDNSDLEYSLFAVVVHVGSGPNHGHYISLVKSHNHWLFFDDENVEMTDESMVQAFFGSPQEFSGNTDNGYILFYESLAERSCINSRIMFGEDRYYH >Sspon.03G0030770-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:22405569:22410172:1 gene:Sspon.03G0030770-1B transcript:Sspon.03G0030770-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRKMSAAAPPSRSWSNVGESVIPAMLQYLKRLATYILSKGGFFFLLIALLVASGILLAVSDGQNKKLVQEFLNYAKFVLWWVSLGSGLHTFVLYLGPHIALFTIKAVQCGRIDLKMAPYDTIQLKVGPSWLDKKCSEFGPPEYPASAHSVRIPVFDLLPQIQLEAVLWGIGTALGELPPYFISRAARLSGSESKAVKELDAATSKEDGPVASTLNRTKRWLLSHSQHLNFFSILILASVSLLSSILCYQILQCGYFTPVIYELFDLVPSQLIFFAPLDH >Sspon.02G0007770-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:39599838:39609249:1 gene:Sspon.02G0007770-2P transcript:Sspon.02G0007770-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLFGNGGYSYSSGSEGEDEDEGTEGYRKGGYHAARPGDRFAGGRFVAQRKIGWGNFSTVWLAYDTLHSRFVALKIQKSARDYAQAALHEIELLSAVAKGDPTNSKCVLRLLDHFKHAGPNGKHVCLVTEFLGDSLLRLIRYNRNEGIGLSRVKEICRSVLVGLDYLHSELGIIHTDLKPENVLLDSTINPAKDPVRSGLTPILDRPVGNQYGGTVISFSEKMLKMRARRAVAKISQRRVSLGGVGAELEKERCLDGISLKCKIVDFGNACWADQQLVGEIQTRQYRAPEVIIGSGYSYSADMWSFACIAFELATGDLLFAPKNCQGCSEDEDHLALMMETLGKMPRKIATSGTRSKDYFDRHGDLKRIRRLKFWPLDRILVERYNFTEPDAKGFTDFLRPMLDFTPENRPSAAQCLKHPWLN >Sspon.01G0027930-3D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:93538632:93539245:1 gene:Sspon.01G0027930-3D transcript:Sspon.01G0027930-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DSKNIRVWRKQKDSGGFKSSSGLVKAIVISGERIFTGHQDGKIRVWKVSPKNGMHKRVGSLPRLRDFLRGSLNRPTTWSPTDPAQGFLYSGSWDRTFKVWRISDSKCLESVVAHDDNVNSIVAAFDGLVFTGSADGTVKVWRREQQGKGTKHTPVQTLLKQEHAVNALAVSAVAPVLYCGSSDGL >Sspon.07G0009340-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:24696128:24696995:1 gene:Sspon.07G0009340-2B transcript:Sspon.07G0009340-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding TWSTVSRGSTATAPRAVSTSTAIQLAASSTAPPEPAAWASTAALAWVAGSSSQRGRSTRPAASCIAPAAPAPASLRTTAWAEGGRRESRRRSRRSCPEATRTTSTPRRPAAPTGSRDTPAEPTVPRAPARRKASWTRSRRSCPDST >Sspon.01G0007780-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:15891914:15898455:-1 gene:Sspon.01G0007780-2D transcript:Sspon.01G0007780-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VEYSPFFGIEKGAVLQEARAFHDPQLDVRRCSQVITKLLYLLNQGETFTKVEATEVFFAVTKLFQSSDAGLRRLVYLMIKELSPSSDEVIIVTSSLMKDMNSKTDMYRANAIRVLCRIIDGTLLTQIERYLKQAIVDKNPVVASAALVSGIHLAQANPEIVKRWSNEVQEAVQSRAPLVQFHGLALLHQIRQNDRLAVSKLVSSLTRGSVRSPLAQCLLIRYTSQVAMTRPLAVTNCNVDLESLMSDQNRSIATLAITTLLKTGNESSVDRLIKQITNFMSDIADEFKIVVVEAIRSLCLKFPLKYRSMLNFLSNSLREEGGFEYKKAIVDSIVTLISEIPDAKDIGLLHLCEFIEDCEFTYLSSQILHFLGNEGPRTSDPSRYIRYIYNRVILENATVRASAVSTLAKFGALVDTLKPRIFVLLQRCLFDTEDEVRDRATLYLQTLSGEVAIGNDEKDAKDFLFGSFDVPLANLEASLRTYEPSEEPFDISLVSREVRSLPLIEKAAPGKRPPAAAATATAPAPVSTVDAYQKMLSSIPEFSGFGRLFKSSEPMELTEAETEYAVSVVKHIYDGYIVLQYNCANTIEEQLLEDITVCVDASDAEEFSEICSKPLASLPYNSPGQVFVAFEKPEGVPAVGKFLNLLKFTVKEVDTTTGEADEDGVEDEYQLEDFEIVSADYMLRVAVSNFRNAWENMDPESERVDEYGLGVRESLAEAVNAVINILGMQPCEGTEVVPRNARSHTCLLSGVFIGNVKVLVRLSLD >Sspon.07G0022040-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:6087934:6096143:1 gene:Sspon.07G0022040-1B transcript:Sspon.07G0022040-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTDKPMEESRRRIAASPARISSGFSPSIGGNGLSRYKTGFHEIEDCELWFCIELTLVDGM >Sspon.04G0021790-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:4568840:4583604:-1 gene:Sspon.04G0021790-3D transcript:Sspon.04G0021790-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKMLVDGEVADAGQYDYDLFVIGAGSGGVRGSRFAASFGAKVAICELPFHPISSEWLGGHGGTCVIRGCVPKKILVYGASFSGEFEDSKNFGWEINGDINFNWKKLLENKLSKTADGIKVVTDKGEELIADVVLFATGRTPNSQRLNLQAAGVEVDQIGAIKVDEYSRTSAPSVWAVGDVTNRINLTPVALMEATCFAKTVFGGQQVKPDYGDVPCAVFSIPPLSVVGLSEQQALEEANGDILVFTSSFNPMKNSISKRQEKTIMKLVVDAETDRVLGASMCGPDAPEIIQGIAIALKCGATKANFDSTLSKTADGIKVVTDKGEELIADVVLFATGRTPNSQRLNLQAAGVEVDQIGAIKVDEYSRTSAPSVWAVGDVTNRINLTPVALMEATCFAKTVFGGQQVKPDYGDVPCAVFSIPPLSVVGLSEQQALEEANGDILVFTSSFNPMKNSISKRQEKTIMKLVVDAETDRVLGASMCGPDAPEIIQGIAIALKCGATKANFDSTVGIHPSAAEEFVTMRTVTRRLSPTSKPKTNL >Sspon.06G0032660-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:51517883:51519585:1 gene:Sspon.06G0032660-1P transcript:Sspon.06G0032660-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AHDGFLGGFHDLSCPLDHAAAAGAAPFDPLRVVVHVPHALMGAGVGWKHDAAVGALVPSQSLSPSPSSLAAAAAGGDAVEAALMEQLASRLGVSVPSPPSSRYASCHSTPVGSPSKPAAPCALAGDAVLAERAARLSSCFPVSGGKLSRVASSQSLLGEQAPAPAPTPGAVKQHASDGSCTDGPCRKRKASGGKSKAKDVVTTATPKVRETETRAKKCKLSTHATHGEEQKPAATGEGWHGNGKGKEVAAEPPKDYIHVRARRGQATDSHSLAERVRREKISERMKLLQDLVPGCSKVTGKAVMLDEIINYVQSLQRQVEVLSMKLSTVNPRLELDVDSFIPEDVNKLCAPATSSMAQPLPAVYAHEGSSSAFCYTSSPGTAAQSVVTNAKGFEMPSSFVNHGGTDRSLEGPQSPNPQV >Sspon.07G0008190-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:18971520:18976566:1 gene:Sspon.07G0008190-3D transcript:Sspon.07G0008190-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding PHAPSQPPPHAERSRPARHRKIARRFVPVPALRGLLSVPSLVSRSPARPPAVSLASSRLASSPFPPHPATTPASTNQTLEGSRPPSPIPRRPPIPAARPQAKTLRLSLGAGVITLSEMPSASKSKSKDRSAAKVAKEQPKVAAKPMGNGMLASSYNNLSGKFHVLEPSASLLGSQGIDKFRNTDEIDEHSRSSHGTGDFDCASNNGSCSGESEDPKEKPTSTASRADSVPGCDIDKREKIRQKNEKKHQRQKERRAQELHERCKGYLMSRKLEALAQKLVAMGFSADQATMALIQNEGCVEESVTWLCNFDGSEEAKQQLAADQQSGANLKIDIADELSKIVSLEAKYKCTKQEVERAVVSCEGDLERAEEVLKTHKQESTAVPPKPEGSGDSSGLPNKQQVVLAQNPARPQTNGFSSVGSQQMRREEKDINYKLLMNGSGPKEPAIKGFQPLVTPIKPDLGRQQFVQPEKRRLNPNSIPSVPYVASSPLPVAVPQLKSDMRHVAGTNEVKSSMPNGSLRESVIVMQRPQSAGTKQSLPSTSHSMFAAEQSARDWFLNGASGVDMMLNGGLGHGLRNMSLDSVSSARSFGHANHQQSFVSNPIELAANGWGGTWSSGGTSSSRSVASSLGAFRGWNSSESSSALPHSDWRTNGPAPYDYTSVDWSVDTTDFGLMLKYNVENVLEVEKRKNGCCYFQQGFIFLYKVRIEVLVQVCDRPLMAAAEVCEIRAIY >Sspon.03G0038050-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3C:2876319:2876477:-1 gene:Sspon.03G0038050-1C transcript:Sspon.03G0038050-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSNVLGLCLRAVAAAGVTDAIADHATTQSSCHRMKPRTSSLLPRSLHRAVMV >Sspon.03G0020940-3D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3D:51335563:51336561:-1 gene:Sspon.03G0020940-3D transcript:Sspon.03G0020940-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGDTAPHVVEDFFGAVQLLSDGTVVRGDEAALMPAEPFPDVPGVEWKDAVYDATRGLKVRLYRPPAAADAGDGGSSRKLPVLVHFHGGGYCLGSYDQLGGGHYLRRRFAADLPSLVLSVQYRLAPEHRLPAAIEDGATFLSWLRGQAMLAAAGGAGAEPWLAESADFARTFLSGVSAGANLAHHLAVKAGSGQIDLAPVRLAGHVLLSLFLGGVQRTATESTPPDGVSLTVAMSDQLWRMSLPVGASFDHPFANPFGPDSPDLEPVALPPVLVEAPEVDVLRDRVLLYAARLKEMGKDVELAEFEGEQHGFSVRRWGQANEELVRILKRFVH >Sspon.08G0026400-2D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8D:19283793:19286246:-1 gene:Sspon.08G0026400-2D transcript:Sspon.08G0026400-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVAVSTLVFLLTLIHLILQISALNVLSSGSSLSVERSSDVLLSPDGTFTCGFHNVSPNASTFSIWFSKSSKRTIVWTANPLHPVYTWGSKVELDVDGSMVLKDFNGRIVWTNNVSASDAGHIQARLLGTGNLIVKGKDGAILWQSFDSPTDTLLPTQIITAPTKLVSTNRLLVPGHYSFHFDDQYLLTLFDDEKNISFIYWPNPSRTIWAKLRVAFNSSTSGALDTWGHFLGSDNANFTAADWGPGITRRLTLDYDGNLRLYSLNMEDRTWSVTWMAFPQLCEVRGLCGENGICVYTPVPACACAPGFEVIDPSERSKGCRPKTNISCDAQMVKFAKLPHTDFFGYDMTAHHLVSLDFCKNKCLHDCNCKGFAYWEGTGDCYPKSVLLGGVTLHNLGSTGTMYIKIPKGLEVLEASIPQSQPFGPKYGPDCTTTDKYIIADFLDVLKRQQSESKFLYFYGFLSAIFLAEIMFVVLGWFTLRRERMELGGVWPAEPGYEMVTNHFRRYTYRELMSATRKFKDELGRGASGIVFKGVLEDNRAVAVKKMAEINQCEEEFQHELAVISRIYHMNLVRVWGFCSDGPHRILVSEYFENGSLDKFLSDTKSSEILLGWKQRFDIALGVARGLAYLHHECSEWVIHCDVKPENILLDENLMPKITDFGLAKLLNRGGSNINVSKIQGTRGYLAPEWVSSLPITAKVDVYSFGVVLLELLKGARVSDMENNEDEEVEMVLGRIVRMLNENLQLDGTEQSWIPDFIDARLNGDFNYLQARIMMMLVVSCLEEDRSRRPTMEDVAQMLVSVDEVTNATRAEGAV >Sspon.04G0002750-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:5026550:5029099:1 gene:Sspon.04G0002750-2B transcript:Sspon.04G0002750-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTVTAANSHGSVLRTRTAPSKPLAGRSSRRTLAMPAHHARSPRARVVAVRAAGTQRPTWLPGLDPPPHLDGTLPGDFGFDPLGLGEDPASLKWYVQAELVHCRFAMAGVAGILFTDLLRVSGNSDLPVWFEAGAAKFEFANTTALFFVQLLLMGFAETKRYMDFKNPGSQAEEGTFIGLEAALAGQQPGYPGGPLFNPLGLAKDIENAHEEKLKEIKNGREVGNGSHAWLHGASIRNSCRPHRQSLDTPFGPVQQKYHSRILLFLSTRPNSNSQKLCFHCPVLCHKKVIMFANRKWPLLALLFAKFSVMKTE >Sspon.05G0016650-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:63552770:63553858:-1 gene:Sspon.05G0016650-3C transcript:Sspon.05G0016650-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSSSAPGGYYSGPPAAPHDKHKAPPSGPEPADGQVYASVPGYYYSGGPAAKPGAGGGQGSAAPKEPGFFASCCACFSGGETAR >Sspon.08G0005090-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8B:12257277:12261761:-1 gene:Sspon.08G0005090-2B transcript:Sspon.08G0005090-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAVVELFSKLADVTFNAAWKEIARQLKFSEDLGSIKDNLSLIQSFLRDAERQSSKLQSVRHTLKKLKAAAYDLEDMLLLFESWTTTHKGEVLPLSAKATKELVACSERLKMPHKLKIMRETIEEIIDHQNKFNFIEDTRKNDEEVFRKRETFSDADEVPIGRIEEKERIISMLQADDSNCFIIFIYGFGGVGKTTLAQMVFNDDRTMQFFDIQVWVYVSLKFDIKTIGQSIMSQLDKSSSSADISLQAIRDRLKTIVKGQRFLIVLDDLWEEDPDELEKLRTLLRGAKAGSKIIATTRSVKVAKLMNGSLTIELGALPDNCCWELFKAKAFPHGKVDADKESIGREIVNKCSGMPLAANSLGRLCRRTNEWEAIRDSDIWAEDGDDGPFIDTKVLPSLKLSYQYMPYHLKPCFAYCAVFPKGSHIEKSSLIQQWIALGFVQLPAASQSFTMQQAGERYFEELREMSFLQDVAGMSPTQSLWLRYLNLSGCSRINNFLNLIPHWKFDKLEYLNLSGFDAKAYPEAPGTSVANVESSEDLNRELELGMLQEDIITQRLHHLKYLSVGGFTLFSKQGIASLVDLLTLPNFNVRVQPADNHSNIMLLQQILDLTHHELNIKCLENVVSPEEAKKVELSRKQQLHFLSFEWSCFLSSLTPDGAGEEKAVAVLEHFRPYYNLQCLSLKGYNGTRFPNWVNKIDDTLPNLVKIVLSNIKGCDHIPTLGHLPNLQELEINDMPLIRHARIVPCKKLRRLTLVGLQDITVLIFYDDNSDTQVNDVDETGVHEVVLSCDFDEEERETRDKHDKLPGSLPVNKQVKRKAAAAGLVAKVKGCLKAPRCGTSTETKRHYIGAGNDAPAVTCKPVLTPAPSKERREQEAVPTLDYLKIESCHNLKLHPYVPMCKEYFIKNSFLNLDHIEEDAIGIQRYDMLHLNPTFKSKMWIEDCVERRDDSVRWIMKVVTNINVEELFMTRCNIASRPPRMEERPSGTLRKVKITDCHGPLPKILALFTSLQEIEVDCIRYFFWRDSLHKMTRLEKLTTPSPELLISMLSGYHHIPYVNTREV >Sspon.05G0024750-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:25142727:25144127:1 gene:Sspon.05G0024750-2D transcript:Sspon.05G0024750-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGIHASFNPWTVLDSNDPGDVIGKTKTKDKEDAAAGKKPATAGSWAPSSKAMEASTPAKKQYKKKLPAAVARDQAKKKGPARRRREAERRGEGGQEPGVVPAMSTVMSTVSMKHVVYNHHIN >Sspon.02G0012500-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:85517376:85517731:-1 gene:Sspon.02G0012500-2B transcript:Sspon.02G0012500-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GGGAGGGRRAGHSGLAGAPGGRVLPEDGGRRRRPRQEEQQQGAAVAAGQPLEQQQEARQEEEGCRSRQWVLLAEDVLVGGGRRGPRQRPEGAALQGPVPASGRRWGRSASAGLA >Sspon.08G0005350-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:16256950:16262801:-1 gene:Sspon.08G0005350-1A transcript:Sspon.08G0005350-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSRTATVAAGLLLLVALVAVAEADTDAGDAAALGNLYSSWNSPAQLTGWSASGGDPCGAAWTGVSCSGSAVTSIKLSGMELNGTLGYQLSSLQALKTMDLSNNYLHDAIPYQLPSNLTYLEHRNLAKNTSRVIFRTLYPTWFHLSTCKLLSIAKIKHNLTPMNHCVQQSQPQLEIGELFGSLSSLSELDLSYNNLTGNLPFSMGSLSKLSSLYMQNNQLSGTVDVLSNISLATLNIANNNFSGMIPQGFSSIPNLIVGGNSFVNMPASPPPTLKPHDQPNDPEGPISAPTIPDTPIDQDDKKMQTGPLIGIAVGSIAAASCVLFVLVFCLHNARKRNDDASSEPKDIVGSLAVNIERGMGSPEHNHENAVVATSDLQPAGKMTPDRVHGTNGSSAKKPKVPVTVTSYTVAALQVATNSFCQDSLLGEGSLGRVYKADFPNGKVLAVKKIDSASLSLYEEDNFLEVVSNISRLRHPNIVPLTGYCVEHGQRLLVYEYIGNGTLHDILHFSDGMSKKLTWNTRVRIALGTARALEYLHEVCLPPVVHRTFKSSNILLDEEYSPHLSDCGLAALTPNPEREVSAEVVGSFGYSAPEFAMSGTYTAKSDVYSFGVVMLELLTGRKPLDSSRERSEQSLVRWATPQLHDIDLLAKMVDPALDGLYPAKSLSRFADIIAICVQSEPEFRPPMSEVVQQLVRLMQRASIIRRQSDDLGYSYRVPDREADVL >Sspon.04G0004760-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:13880701:13890475:1 gene:Sspon.04G0004760-1A transcript:Sspon.04G0004760-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSCDDDHASLLRSHAGASSSPSCPSPRGPVGHQHHADVEADEATVTASRRLASGGGGVRGLLRNLERRMTARGSGPGRRQHQHYQQLDRSAAIESPSQRQRERAAAGDDDELGDGAPPEWALLLIGCLLGLATGICVAAFNRGVHVIHEWAWAGTPNEGAAWLRLQKLADTWHRILLIPVTGGVVVGMMHGLLEIFEQLKLVKSGQRQRINFVGAIFPTIKAVQAAVTLGTGCSLGPEGPSVDIGKSCANACSEMMESNRERRIALVAAGAAAGIASGFNAAVAGCFFAIETVLRPLKAENSPPFTTAMIILASVISSTVSNVLLGARPAFIVPAYELKSAAVYPALGGLGAGIIALKYPGILYWGFTNVEEILHTGKSASAPGIWLLTQLAAAKVVATALCKGSGLVGGLYAPSLMIGAAVGAVFGGSAAYLINSALPGNAAVAQPQAYALVGMAATLASVCSVPLTSVLLLFELTKDYRILLPLMGAVGLAIWVPSVVNQPNDTEPSGFRTPRRGYSSISPEDRNGSSRQGDVVDDLELSIIQTDISNYGTYNEEMLLDDLKVSQAMSKIYVKVLPSATVTEALKLLHDKQQNCALVVDPEDFLEGIITIGDIRRVGYGLHMESFIDGDHPKADDNSSSISSCLTRGFQYRGSERGLLTCFPDTDLTTAKSLMEARGIKQLPVVKRGVAHRTAGKRKPIALLHYDSIGCCVRFVVPRFWAESFEPQLELVCELAAASRPAPNSRPVLLVSASRTWAWQVERETPQPGVQESTQGEPRLLPERPSPLRRPHQVHLLGVVPR >Sspon.03G0003970-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:9290359:9293203:1 gene:Sspon.03G0003970-3C transcript:Sspon.03G0003970-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATELPAAGMAGNMLPPRNATAQVAPGNNISGAASVVCYSPMMVTAYGIWQGVNPLEFSLPLFILQTAIIVATTRLLVLLLKPIRQPRVIAEILAGVILGPSVMGQVEVWGTTVFPVRSLLTLETVAHLGLLYFLFLVGLEMDVNVIKRSGKKALIIAVAGMALPFCIGTATSFIFRHQVSKNVHQASFLLFLGVALSVTAFPVLARILAEIKLLNSDLGRIAMSAAIVNDMCAWILLALAIAISEHRLLLPVGAPLRGLLRARLLLHRAPAHVVDRPPCPEGEAISDVHVTLVLTGVMIAGVCTDAIGIHSVFGAFVYGLVIPSGQLGVVLIEKLEDFVTGLLLPLFFAISGLRTNITRVHDPVTAGLLVLVFTMASFAKVMGTILIAVSYTMTFRDGVALGFLMNTRGLVEMIVLNIGRDKEVLDDESFAVMVLVSVAMTALVTPVVTTVYRPARRLVGYKRRNLQRSKHDAELRMLACVHTTRNVPSIISLLELSNPTKRSPIFIYALHLVELTGRASNMLAAHHSATNQNRSSSSAPGSSDHIFNAFENYEESVGGVSIQALTAVSPYQTMHEDVSVLAEDKHVSLIVLPFHKQQTVDGGMEPINASIRGFNESILSSAPCSVGILVDRGLSAAAARMASVHHVALLFFGGPDDREGLAYAWRMVEHPGVCLTIVRFIPPDYKAPALAPPQQPMPPRVPASNVQARAITIVPDAAKSERQMDEEYLNEFRTRNIGNDAILYMEQVVANSEETLAAIRDLDSAHELYIVGRHPGEAGSPLTSALAEWMDSPELGPIGDLLVSSEFSKMVSVLVMQQYVITTPQPAVGPAVPVTDDPVRQYVTNANQRTSPSVGLGGNQMARGRWGGGAGGF >Sspon.07G0006780-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:17545253:17548224:-1 gene:Sspon.07G0006780-1A transcript:Sspon.07G0006780-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGEAAPVYRDASAPVEARVQDLLGRMTLREKAAQMAQIERTVATPRALTDLGAGSVLNAGGSTPRKRASPADWAAMVDGMQRLALSSRLGVPILYGTDAVHGHNNVYGATVFPHNVGLGASRDGELARRIGEATALEVRATGIHWTFAPCVAVCRDPRWGRCYESYSEDPEIVRSLTTIVSGLQGQPPADHPHGYPFLASPRENVLACAKHFVGDGGTDKGVNEGNAICSYEDLEAIHMTPYPDCIAQGVATVMASYSKWNGEPLHSSRYLLTDVLKGKLGFKGLLISDWEGIDRICEPQKPRGSDYRYCIAQSVNAGMDMIMIPHRFEKFLDDIVFLVEAGEIPMSRIDDAVERILRVKFISGVFEHPFSDQSLLDIVGCKEHRLLGREAVRKSLVLLKNGKDQKEPFLPLAKDAKRILVAGTHADDIGYQCGGWTIAWHGDSGKITLGMSILEAIQESVGVQTEVVYEKCPTEAMIETGGFSYAVVVVGEVPYAEWTGDRTDLSIPFNGSDLITCVASKIPTLVIVISGRPLIIESQVLEKIEALVAAWLPGTEGMGITDCLFGDHDFVGTLPVTWYRSVDQLPINAGDANYDPLFPVGYGLKMFRSDYDSA >Sspon.05G0025080-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:28267503:28268051:-1 gene:Sspon.05G0025080-2D transcript:Sspon.05G0025080-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding WAPSPSSSPRCSSSPFLSSAPPPPPPPRPPDPATPTPTRFVSPATLLLVVDRGSAATGLPRTRRSGRRSGAATTWWTSAPPTASSARRRRPATASSAVTGSSACSSPRSARRGRGTAATSPPAPGTTSPTAGAPTRWSRARATAKSASSWSRRSRTLLATVASTSSTAIRAPSARHGSVRATS >Sspon.01G0024450-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:87550203:87558090:-1 gene:Sspon.01G0024450-1A transcript:Sspon.01G0024450-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to Myb-like DNA-binding domain, SHAQKYF class family protein, expressed [Source: Projected from Oryza sativa (Os03g0654600)] MAATATVAAHLPLRGPARAPSRPSVAAATRLRGRQGRRGLAATGGRGLARVRAEAFSGGGGVGRRDPMVPPYNVLITGSTKGIGYALARKFLEAGDNVIICSRSAQKVESVVGDLKKEYGEQHVWGTVCDVRDGKDVKALVEFARNKLKHIDIWINNAGSNAYTYKPLVETSDEALMEIITTNTLGLMICCREAINMMRNQPRGGHIFNLDGAGSDGRPTPRFAAYGATKRSVVHLTKSLQAELQMNEVNNVMVHNLSPGMVTTDLLMSGATTKQAKFFINILAEPPDVVADYLVPNVRAIPTNQSMKPTYIRFLTGLKAYSRIFSRLAFGARRNKIMLNNKPAQSNDVPDMSSMVASRIIWAMQPTRSFLRWSDDLHMIFVKAVAYQGGPHEAKPAALQKTMEAMGVRGLTIKKIKSHLQRYREKCVLGPEAPDDIPRATSSSIAAPNMASEILMDTEAVMPEIEVVNSFLMDDTEMVDNNFSVDQLIERHSECSQTAIDEYMDGLAGYAFGHLDYPNFNL >Sspon.03G0023470-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:62696905:62701310:-1 gene:Sspon.03G0023470-3C transcript:Sspon.03G0023470-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-directed DNA polymerase [Source:Projected from Arabidopsis thaliana (AT1G78650) UniProtKB/TrEMBL;Acc:Q9SYL7] MAGGAVDQSLLDLLPQIHALFSDPLRVISYKWLSRNFSVSSNDAKRLLQEFVNKHGTGLQVIYSVSGWLKNNPQSYCVKLISGSKLEEARQGFKDSCSVQIYSIQACIPKDTAVLWNPEFVQAEELFNQPFDDENCLRDNRFCGVLNSFVKRTSNGKHVSSLPPKPLNSAAAVAQSKPSVTPKEQFVTARQQDLPVSSKQGAGNKSEKDNCTVLDKAGNAPVVKEQSIDAHASKSKAQNGKAMPSNGGSLANMWGRASAKPKPPSTTNSTAVASVAATADAQICAKEEADGDSSDDEQGIKYKRGSTNANNKKRRAVFDFSDDEEDDNIVSIASPELPKQHTPDPVIGTAEDAEVNQKNLENKDDVPNSEKGSSMGMDSDFTAECKIKTVNTMNHSGITLKEKSSDPPINDKKQDSAAEPASTSPKRRKVLKTCIDERGREVTEVVWEGEGSADDKTEKNVNTTAATASGATLPSKPKPAANTDRSKAPSKTAAGNKKPAKAGTKRGSIMSFFKKV >Sspon.01G0005040-3D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:11785065:11785861:-1 gene:Sspon.01G0005040-3D transcript:Sspon.01G0005040-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLQPSALLPEATKRPPWLSLVGSVVASSTGTGTAAATSKKRKRDGGGDDDRGEVVDGIELNFDAAPLPPEWQRCLDIKSGQIHYYNTRTQKRTWKDPRGEPDYRAAAPTSAEDDDDDEDSANCAPPGLDLELNLTFEPRRALAHDKKKPKHTTATKAAADDRRRLQLAAEEAEDSGGGGGSREMVAGVCARCHMLVMMCRASPACPNCKFLHPPASRAAPPPPVPLKLGLQLLCCRD >Sspon.07G0015670-3C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7C:61305264:61307871:1 gene:Sspon.07G0015670-3C transcript:Sspon.07G0015670-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATDRVLHLLRGPTGSPAAAAPARAARRGLPQTRRLHFGQAPAGTWRASVSCRTSSTSSSGGEGRLTYKDAGVDVDAGNELVRRIARMTPGIGGFSGLFALGDDYLAASADGVGTKLKLAFETGIHDTVGIDLVAMCVNDIITSGARPLFFLDYYATSKLDVDVAEKAGNIDDAEMRRTFNMGIGMVLVVGRESADRILEDTRGANPAYRIGEVILGEGVQYV >Sspon.02G0012410-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:32749547:32754000:1 gene:Sspon.02G0012410-1A transcript:Sspon.02G0012410-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPALSRTLGASSVAALRPSPSSRGLPRAALVPQGRGAPARCAVGVRWEAARRRTVGVRCDAAVAEKPAGEEETAGEKFEYQAEVTRLLDLIVHSLYSHKEVFLRELVSNASDALDKLRFLGVTDPSVLADGGELEIRIKPDSEAGTLTITDTGIGMTKDELKDCLGTIAQSGTSKFLKALKENKDLGADNGLIGQFGVGFYSAFLVAERVVVSTKSPKADKQYVWEAEADSSSYVIKEETDPEKMLTRGTQITLYLRDDDKYEFADPARIQGLVKNYSQFVSFPIYTWQEKSRTVEVEEEEESKEGEEATEGEKQKKKKTITEKYWDWELANETKPIWMRNPKEVEKTEYNEFYKKTFNEFLDPLADTHFITEGEVEFRSVLYVPGMAPLSNEEIMNPKTKNIRLYVKRVFISDDFDGELFPRYLSFVKGVVDSNDLPLNVSREILQESRIVRIMRKRLVRKTFDMIQEIAEKDDKEDYNKFWESFGKFMKLGCIEDTGNHKRLAPLLRFYSSKHETDLISLDQYVENMPENQKAIYYIATDSLQSAKTAPFLEKLVQKDIEVLYLIEPIDEVAIQNLQTYKEKKFVDISKEDLELGDEDEDKEKESKQEYTLLCDWIKQQLGDKVAKVQISKRLSSSPCVLVSGKFGWSANMERLMKAQTLGDTSSLEFMRGRRIFEINPDHPIIKDLSVACKNEPGSTEAKRAVELLYEAALISSGYTPDSPAELGGKIYEMMTMALGGRWGRLDTEEAEVATSIDADSSEGATTEVVEPSEVRTQSDPWKD >Sspon.02G0035130-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:18146542:18148618:-1 gene:Sspon.02G0035130-2C transcript:Sspon.02G0035130-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATEEWELPEEDAYDYEFDFDLENPFTSPADEPIASLLDAEGHHAPSFSAAASAARRDAVAFISKVRGRPHAPPHSLSLLSGFSVWDFRCSLTRSVQVRFGGELAVHPRVAYLALNYVDRFLSKRQLACEQQPWPRLLALSCLSLAAKMQRVATFSIDHIQRDEDFMFDAATVRRMERWVLGALEWRARSVTPLAFLGFFLSACYPPPRHPSQVAAVKARAVDLLFRAQPEVKMAEFSPSVVAASALLAAAGEVAAANLPAFQAGVAACPFVNSEKLRECGEVLAAACGVGPGRAAASADTPVTVLRHHRSASSASESDWTIGSATNGRGGNGDAKKRCMGPPSQRG >Sspon.05G0016080-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:63826967:63835100:-1 gene:Sspon.05G0016080-2B transcript:Sspon.05G0016080-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRGRDKGQALIDLDSDAEDRRVSRHGTSRRNEANQDKLNTDIFELYMDGHWSLLVLCHFDDANCSDIKKGPRMIVLDSLNTTGPTRLQSAIRKFVADIYKTEEREESKQFINNIRLEFPKVPQQNGDECGIYVLYFIHCFLQNKKLAEVLENKRLEEDFTQLLDDVWFNPEELENFRKDIHSFQANRNNKIAE >Sspon.03G0031240-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:25327249:25329546:1 gene:Sspon.03G0031240-1B transcript:Sspon.03G0031240-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKSQLQEQLTITRPDDWHLHLREGGVLEAVVPHSARHFGRAIIMPNLKPPVTTTARAVEYREEIMRALPPGSSFVPLMTLYLTDNTSPEEIKLARKSGIIFAVKLYPAGATTNSQDGVTDIFGKCLPVLEEMVRQEMPLLVHGEVTDPHVDTFDREKVFIDKILAPLVQKLPQLKIVMEHITTMDAVNFIESCEEGHVAATVTPQHLLLNRNALFQGGLQPHNYCLPVLKRETHRQAIVSAVTNGSRRYFLGTDSAPHDKRNKECSCGCAGIYSAPVALSLYAKVFEEAGALDKLEAFTSFNGPDFYGLPRNTSKIVLRKNAWKVPATYRHSS >Sspon.02G0009120-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:24411645:24414087:-1 gene:Sspon.02G0009120-2B transcript:Sspon.02G0009120-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-prolyl cis-trans isomerase CYP21-1 [Source:Projected from Arabidopsis thaliana (AT4G34960) UniProtKB/Swiss-Prot;Acc:O49605] MLRKAVVAFIACAALYLAFSAYSRRQSIAEVQLPAVTHRVYLDVEIDGQHIVFFGNIYEIKSPSQIIIHLLNFFVLHFAENFRALCTGEKGVGSNGKPLHYKGTPFHRIIPGFMIQGGDIVRGDGKGSESIYGGIFPDENFTVKHTHPGVVAMANSGLDSNGSQFYITTIKTSWLDGEHVVFGRVIQGMDTVYAIEGGAGTYNGKPRKKAVITDSGEIPKEKWGDQEA >Sspon.01G0005340-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:12786156:12786688:1 gene:Sspon.01G0005340-2B transcript:Sspon.01G0005340-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNPPPATGEPRAAQTQSRMQMYYVVVVVDRRRYEEAVPRGLIVVLPPTAAAAAMVNGHGHNNRHRALVPILVRPRSRGGLLMHAGAAFAVSRLASAFLCYWLCFIVVQGVLPRSI >Sspon.02G0020880-2P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2B:68214596:68214817:-1 gene:Sspon.02G0020880-2P transcript:Sspon.02G0020880-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVYPLFATTGVAVGICVMQLVRNITGNPEVRVTKENRAAGVLDNHDEGRRYSQHGVRRFWLSKRRDYMQAMDN >Sspon.01G0048670-1P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:100524248:100525610:1 gene:Sspon.01G0048670-1P transcript:Sspon.01G0048670-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATATPRTQEEVKASTGKVTVAEVTLRKFELSDVDAMMAWASDPQVAAPCRWDAYESTEPLLAFIRDVVLPHPWFRAICLESGRPVGAVSVSPTGDPCRSELGYVLARAHWGRGVATAAVKRTVATVFAEVQGLERVEALVDVANPASQRVLEKAGFTREAVLRKYAAVKGVVRDTVMFSFIDTDPLASSEVRSQPQTSDHDHRNLPLTPAAPMAEEVTLRRFELSDMDAMMAWASDPQVAAFCRWEPYESTEPLLAFLRDSVLPHPWYRAICVSGAVVGAVSVSPTPERCRGELGYVLARAHWGKGVATAAVRRALRDVFADLEPQGLRRVEALVDVGNPASQRVAEKAGFRREGVLRRHYWHKGRARDMVMYSFLSSDLFPE >Sspon.04G0022520-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:7197872:7198102:1 gene:Sspon.04G0022520-1B transcript:Sspon.04G0022520-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLMLLAVSGSSARQLDLDGGDRSTSTGGESAFGVGRPIIQIMKRLYLQQLATVGPSCSTYDKNTPKPPNCPPNP >Sspon.05G0005600-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:19769164:19771214:1 gene:Sspon.05G0005600-1T transcript:Sspon.05G0005600-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASSATSVHDFTVKDASGKDVDLSTYKGKVLLIVNVASQCGLTNSNYTELAQLYEKYKDQGFEILAFPCNQFGGQEPGTNEEIVQFACTRFKAEYPIFDKVDVNGNNAAPIYKFLKSSKGGLFGDSIKWNFSKFLVDKEGRVVDRYAPTTSPLSIEKDIKKLLGSS >Sspon.03G0019670-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:47154181:47159725:-1 gene:Sspon.03G0019670-3D transcript:Sspon.03G0019670-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plastidic glucose transporter 4 [Source:Projected from Arabidopsis thaliana (AT5G16150) UniProtKB/Swiss-Prot;Acc:Q56ZZ7] MIRCAVTGGGCVASWSGDRRSPAVNPCSVRMPTGNGGGWCGGLRSRAADLAGLEMASLRGGIGGLFRASPRYGRLQATAAVDPEDIPLEKVQVKSSGHVLPYVGVACLGAILFGYHLGVVNGALEYLAKDLGIAENAVLQGWVVSTSLAGATLGSFTGGSLADKFGRTRTFILDAVPLALGAFLRIICSATAQDIRTMIIGRLLAGIGIGISSALVPLYISEVISPTEIRGTLGSVNQLFICIGILAALLAGLPLAGNPAWWRTMFGIAVVPSVLLAVGMAFSPESPRWLFQQGKVIQAESAVKRLYGKEMVTEIMYDLRASGQSSSEPEAGWFDLFSKRYWKVVSVGAALFLFQQLAGINAVVYYSTSVFRSAGIASDVAASALVGAANVFGTMIASSLMDKQGRKSLLMTSFSGMVMPFCSTNQVFNFSLNQQMEGSYSLFSPLKGASMLLLALSFTWKALAPYSGTLAVVGTVLYVLSFALGAGPVPALLLPEIFASRIRAKAVALSLGMHWVSNFFIGLYFLSVVNKFGISNVYLGFASVCALAVLYIAGNVVETKGRSLEEIERELSVAE >Sspon.03G0000590-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:9587120:9589742:-1 gene:Sspon.03G0000590-2B transcript:Sspon.03G0000590-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASAAAEANGESKDEFLIRDDAPGLLAALKEMKDGLDLVRGKVEAITRKVQENQLPTANGIGYLEAKNHLLLGYCQDIVYYLLRKAKGLSVDGHPVVRSLVEIRLFLEKIRPIDKKAEYQIQKLTNAADNATAREKTGNAEAKGKDEHSDEEDPLKYRPNPDMMDTKAGPEGQDADGVYRPPKFMPTSIDDEEKRRKKDSRRDKALARVAIENPYIKEIIDDAADRPEEWKETVGDESREFGRYMRQREEQEKQEEELFTRAPVTKRDKQIEKRIRRQLHGLGGLTDGFDLGMNMLIGGDKEDDGSSSKSHGKSGNRKKHLKSSKKRKMH >Sspon.01G0060710-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:82117796:82119326:-1 gene:Sspon.01G0060710-1D transcript:Sspon.01G0060710-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSIPRRRSSPLLLSPSPLVKASLADCVSAPLSRGPDPRRLAAAHRHSPLLLHGRTPALIRPRLLLLLTMAIAAATAFVLLHPHPHYCDCGVRCHVATLQAPLSLWCLLISSDGFRYGYQYKALSCTSVTSSPSTPASSSSPPLAARDCKGVVAMPYFTTASRLVKHGGRRPLEGDETLGQGKGRGRDRARQMMGARLGWRRGEGRSAAGLTPGRYQDGIESWVAGHGRWRGMGRGRLDEIRWREI >Sspon.05G0005700-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:10055819:10057715:1 gene:Sspon.05G0005700-1P transcript:Sspon.05G0005700-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable pectinesterase 68 [Source:Projected from Arabidopsis thaliana (AT5G47500) UniProtKB/Swiss-Prot;Acc:Q8LPF3] MARILLLLLVTIASLLPPIASQQAATKCEYKRHSGHRYKHPVGVRKIVVDASGAGDFVSIQQAVDSVPEGNTMRIIMQINAGTYIEKVVVPASKPYVTFQGAGRDVTVVEWHDRASDRGPDGQPLRTYNTASVTILANYFNAKNISFKNTAPAPMPGTQGGQAVAFRISGDKAFFFGCGFYGAQDTLCDDAGRHYFRDCYIEGSIDFVFGNARSLYKVRTATASCTRRRSGSARWRAHGRRDPCERTGFAFVNCRVTGTGRLYVGRAMGQYSRIVYAYTYFDSVIAPGGWDDWDHTSNKSMTAFFGMYRNWGPGVDAVHGVSWARELDYFAARPFLGKSFVNGYHWLTPD >Sspon.08G0018640-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:8134945:8142734:1 gene:Sspon.08G0018640-2C transcript:Sspon.08G0018640-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GGDCALGHCRAASAAGARPSEVAVGALFTYDSTIGRAAQLAIELAVDDVNADAKVLAGTKLNLMPMDTNCSGFLGTMKALELMEKNVVAVIGPQSSGIGHVISQVVNELHVPLLSFAATDPTLSASEYPYFLRTTTSDYFQMNAIASIVDYYQWKRVTAIYVDDDYGRGGVSALGDALALKRAQVSYKAAIPPNSNTDVIRDVLFRANMMESRVMVVHVNPDTGLRVFSAAKKLQMMASGYVWIVTDWLAAVLDSSPASRDPKYISNIQGVIVLRQHTPDSDAKKKFISRWNTVARNRSMTSGLNSYAFYAYDSVWAVARSVDQFLNAGQQINFSTDPRLHDPNGTTLRLSTLKIFDGGDQMLQQLLLTNFTGLTGPVRFDSGGNLVRPAYDILNVGPSGASLVGYWSNYSGLSVAAPEILYQMPSNASSAYQLKTVVWPGDSTDIPRGWVFPNNGQPLRVGVPVKPSFKILVSGSSPDTVRGYCIDVFKSAIKLLPYPVPYQFVPIGDGTTNPSYVGIVGMVASNTLDAAVGDFAIVRNGTRLAEYTQPYIDSGLVIVAPVKHVSSSAWAFLKPFTWEMWFVTGALFILVGIVVWLLEHRTNEEFRGPPRNQIITIFWFSFSTMFFSHRQNTGTALGRFVLIIWMFVVLIITSSYTASLTSILTVQQLATGITGLDSLISSSLPIGYQTGKFTKKYLMINLNVPESRLVQLDTIQEYADALTRGPKNGGVAAIIDEKPYIDIFLSHYCNFKIVGQQFTREGWGFAFQKDSPLAADMSTAILQLSESGKLQSIHDEWFTKPSCATDDESNLGATRLGLGSFWGLFLICALICLLALVMFFIQVCWQYKQYSNSEDADEPSAADADGAGKRQRRLSGLGSFKGIVKFVDMKEEEIKKKSMKRRSGEKDNHAAGFSDAQSVASA >Sspon.05G0004050-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:15734312:15738713:1 gene:Sspon.05G0004050-3D transcript:Sspon.05G0004050-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRWLPRLLSHAAAAGRASAAARSTPSLVKGGSHGFASGGWDGSPAVPREWLRKLWVDELRKQKEAARRWGVGASSGNAGSVAEPSLGAFASSAKLAPLLARANLIIARDIEWANIMFAFEQESRYIIMDPLFPQSPVGFIREKSNVIFRQLLRTRRPFVAEITDARGNEIFKVRRPFWWINSSIYAEVDGKEIGVVHRRWHLWRRIYDLYLGNRQFAVVENPGFWNWTFSLVDEDDKLLAQIDRNWRGIGFELFTDAGQYAIRFGDEGQSHKFALAADVDELHVVRQLTLPERAVALALAISLDSDYFSRRGGWGLPFLIATE >Sspon.08G0021850-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:793284:793555:1 gene:Sspon.08G0021850-2C transcript:Sspon.08G0021850-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTYGSGFFHLRMKLPSGYTAGVVTTFYLISQPEDGTRDEVDFEFLGDKAGVPITLQTNFF >Sspon.01G0005390-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1B:12808016:12808385:-1 gene:Sspon.01G0005390-2B transcript:Sspon.01G0005390-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding IGERKARAGRFRSSGWEPVVSGALPTLVDTLGSAREDLRRHGTCAPAAIAVSAAPDGDGQRTDCEGASGARSEGAQHGRRRKGTVWAADEHVVGKRGRTDERPRYFGTEPISIPKWRFVFVF >Sspon.01G0031450-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:106802013:106804383:-1 gene:Sspon.01G0031450-2B transcript:Sspon.01G0031450-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFPSPSTSTPTSLSPARGADHPCPPYTGARETQALAHRSGPEAITINRAQPEFAGCYAALTCEITILFQLHHGGGGCYCRARAGARPAASSGSRRRFTFTGFARENVTTSGAGAAVTSAGLLQLTNATNWVFGHAFYPAPLRFKDAATGAPLSFSTTFVAAILPRYPDAHGHGLAFALGPSAAGPALAVAGKYLGLFNMSDNGGNGTTSEVVAVELDTALDAEFDDINDNHVGVDVHSLKSVASKPAGYVDAATGGSVDVTLASGRLLQVWIEYDGATTRLEVTVSAAAVGVPRPRVPLVSCEVNLSSAVADQTYVGFSAANGAASSSHYVLGWSFRLGGGRAPDLDLSKLPRLPPPSGHKKATELPLLVSLVLLAVVVLLAVSAALTVLVVWRRRRFAEEQEDWEVEYGPHRISYKDLHAATRGFRDVIGGGGFGVVYRGVLPPRPDGVEVAVKKVSHDSRQGLREFVSEIASMSRLRHRNLVQLLGYCRRRGELLLVYDYMVNGSLDKHLFGAGGSEPALSWEQRAKIVRDVAAGLLYLHEGWEQVVVHRDIKSANVLLDADMNGKLSDFGLARLYDHGSDSRTTHVIGTLGYLAPEMIKTGKATPSADVFAFGAFLLEVACGRRPMESLGNNGDPAGLVDSVLERWKAGRIKDARDPRIGKCDEDDLELVLKLGLLCSHPDPRCRPSMRQVVQILEGAAPVPETPPEDLGGAGGRIFGYYETFDEFVNMFPTTTFDIAAATTPPPCSPSSAEHQQLISG >Sspon.01G0060400-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:103929840:103934872:-1 gene:Sspon.01G0060400-1P transcript:Sspon.01G0060400-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MCPRARSRLVRTRGGLPAAAPLASIVRPLPLIATLPPLRSDPKTLAKLAFPFPQPAAAATTMCPAAATTSSAKPSKKRKQPVVAPPESDSEEESVYDTASDDGEEEEERQELESEDEDEEVEEGSEDDEEGEYDDESEVEEEEVKEEKEKEEEVKEKKEKKDKKEEEKKAKKDKKQEEKKAKKDKKEDEKMAKEDKKEDEKKAKKKSEGSGILSNKLFSELPISELTAKAIREMNYTHLTQIQARSIPHLLEGKDVMGAAKTGSGKTLAFLIPAIELLYHLHFSPRNGTGVIVVCPTRELAIQTHNVAKELMKYHSQTLGYVIGGNNRRSEADQLAKGVNLLVATPGRLLDHLQNTKSFIYKRLKCLVIDEADRILEQNFEEDMKQIFKRLPQNRQTVLFSATQTPEVEKFAKLSFEKNEESKEKPVYVGVDDDKSKATVEGLQQGYCVISSEKRFLVLYAFLKKKQNKKIMVFFSSCNSVKFHAELLNFLGIECSDIHGKQKQQKRTTTFFSFCKAEKGILLCTNVAARGLDIPDVDYIVQYDPPDEPKDYIHRVGRTARGEKGKGSALLFLLPEELKFLIYLKAAKVTLTEYEFNQKNVPNLQSHLENIVGENYFLNQSAKEAYRSYVLAYDSHSMKDIFNVHQLDLQKVAASFCFRNPPKVNLDLDSSAAKHRKKMRRVDGGKRHGISASNPYGRKDKDGGDKQQFARF >Sspon.04G0003420-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:6829268:6830395:1 gene:Sspon.04G0003420-2B transcript:Sspon.04G0003420-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCTGSRHALRGGVRSPHARSRSGGVHHTVALKSSTLGSLSLDRDEEEMMKWRDDGGVVGAAKTPPLPLKPQLMRQQKQVPSSPAKTQVREPEVINVWELMDGLDDKDEEGDADGEERREKSAPGSPEFDPDVIAAFRKALDEIPPPPDDPGNEECITKPDDGPGGGGEEVGVKKREIQRFPGIVRARVSAFQQRIDAKLAKPQPQPPALPPPPDSARKVVLYLTSLRGIRKTYEDCWSTKSILQSYGVRVDERDLSMHSGFKDELHAALGSTAGSRLPQVFADGRHLGGAEEIRRMHEAGELSKALEACEMAPPPSSGGKSIALEACSGCGGVRFVPCEECSGSCKVFLEEVGTFRRCPECNENGLVRCPLCSL >Sspon.02G0053370-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:104518816:104519789:1 gene:Sspon.02G0053370-1C transcript:Sspon.02G0053370-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LQFTPQRGGRSEIVFVSPTGEEIKNKRQLSQYLKAHPRGPAASEFDWETGDTPRRSARISEKVKVFDSPEGEKIPKRSRNSSGRKGRQGKKEEAPEPEEAKDAETGKEAPSEDAAKETDVEMKPAEETKEAPAETEDTEKSADKADDAPAPAPMEEDKKETEKPAESVVAPPAPTEEKKEDAKPAEPEAAAPAENSPPAPAEPVAVPAPAPETKPDAEPAVVPAPAPETKSDAAAADPAPGTKADAAAADPAPGAKPDAAPVENSADKGGNQESQPVNNGQVPHSTVKC >Sspon.03G0012480-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3A:34601426:34603655:-1 gene:Sspon.03G0012480-1A transcript:Sspon.03G0012480-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAAGLGGREVGASPRKGEDDNDDHHDGAPLELALLLRGLPRTQAIIAGGHEGHHDSDGEGQRSSPWTCLGQSKILTVVGPCWRDENSKPPTGKFCTTSRAPTIVGIFKRKEQQREEGAAAQQREGATASSGEA >Sspon.05G0024150-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:20290027:20294688:1 gene:Sspon.05G0024150-2D transcript:Sspon.05G0024150-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGRAPRRSNNTKYYEVLGVSNTASQDELKKAYRKAAIKSHPDKGGDPEKFKELSQAYEVLSDPEKREIYDQYGEDGLKEGMGGGSDYHNPFDIFEQFFGGGAFGGSSSRVRRQKRGDDVVHSLKVSLEDVYNGATKRLSLSRNVLCSKCKGKGTKSGAPGTCYGCHGVGMRTITRQIGLGMIQQMNTVCPECRGSGEIISERDRCPSCRASKVVQERKVLEVHIEKGMQHGQKIVFQGEADQAPDTVTGDIVFVLQVKEHPRFKRKDDDLFIEHTISLTEALCGLQFILTHLDGRQLLIKSNPGEIIKPGQHKAINDEGMPQHGRSFMKGRLFVEFNVEFPESGALSPDQCRALEKVLPQRPRAQLSDMEVDQCEETIMHDVNIEEEMRRRKHQRRQEAYNEDEEDAGPR >Sspon.01G0022530-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:79816196:79818248:-1 gene:Sspon.01G0022530-3D transcript:Sspon.01G0022530-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRYQKATELFEEIARKSINNNLLKYGVRGILLNAGLCQLCRGDTVAINNSLERYQDIDPTFSGTREYKLLADLAASMDEGDVDKFTDAVKEFDSMTRLDPWKTSLLLKAKNELKKKDEDEDDLT >Sspon.08G0024180-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8B:62549012:62549708:1 gene:Sspon.08G0024180-1B transcript:Sspon.08G0024180-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RACVAVSEGVFAAGPGGWLALSGCNGPCLGSLDPPSAWLPRSQNGLSARPQKNAPPFVFLGHGSARPLPWRFRGSWRREACARAGSRGKDETSSRHSTFPIFAAFIRCRPSPLHPSAFPFLLHPNLMRDLRRCGELGARRAGVGDRRASSPFPVAGSALRRSAPAASRGLWEAVVFFLVFLGSGGARSGAVDRICFHSVSICSFSVWICYFSVRSSSSSRLLGSCLIRRPFR >Sspon.04G0024710-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:24965983:24971085:1 gene:Sspon.04G0024710-3D transcript:Sspon.04G0024710-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGGGERGALLPVSADDGKGNGGGGGGDDAVLFKGSAMTRRGAAAALSYMACSVLLVMFNKAALSSYNFPCANVITLLQMVCSTCLLYVLRRLKIISFTNSDPSVPSDSLFFVPLLLRTTPLSLAYLLYMLASMESVRGVNVPMYTTLRRTTVVFTMTMEYFLAKQKHTPPIIGSVTLIVFGAFVAGARDLSFDARGYAIVFVANITTAVYLATINRIGKSSGLNSFGLMWCNGLVCGPSVLFLTYIQGDLRRTMEFPYLYSPGFMAVLLFSCILAFLLNYTIFWNTILNSALTQSMCGNLKDFFTVGIGWVLFGGLPFDLLNIIGQGLGFLGSGLYAYCKIKGK >Sspon.01G0009590-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:28741598:28744128:1 gene:Sspon.01G0009590-4D transcript:Sspon.01G0009590-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVETRRSAAAKRPAAGEEEEEKGAPATPAPAPAEDAAAGPGAGDDVASSQPPKRVKVGCTEADTAKPSVAPAAGAVAGPLPNTAGLQALTGAMDKLEALLRSKEAQSNPAGHKRGTNNDKDLSAKIKRAMDLSEDLSAKIKKTKDKMAGILNKPQAAATSRRQEPWCRLISQYSMHPTLSIYGALFTIGHGAHHDFRLGESSTASPVCRLKQAKRGALLEVFESKVVRVNGKSLDKAAKVTLNGGDEIIFRSPVRHAYIFEQLHQEKSSTSALSSTCSSVQQGQRSHFKDIQDLLSSKGRKVSTFYFGRGRSSLLPSGETSYLSSLFLCKLLLVKEDLINATVDASDISESFDSCPYYL >Sspon.01G0039270-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:29241337:29247432:-1 gene:Sspon.01G0039270-2D transcript:Sspon.01G0039270-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Metal tolerance protein C1 [Source:Projected from Arabidopsis thaliana (AT2G47830) UniProtKB/Swiss-Prot;Acc:Q8L725] MAESASPKRPERQRTKQAFPFPDKMGFRFARLAARAAASRRGMIPAASARRALSPPALPAEPRCGPHWLVPARGHVGHSHHHGGEDEAETSERIFRLGLAADVVLTVGKAVTGYLSGSTAIAADAAHSLSDIVLSGVALLSYRAAKAPRDKEHPYGHGKFESLGALGISSGIAWHAFEVLQGFMSSAPDIIGNTLHAHHDHGSSGHHHGIDLEHPILALSMTTLAISIKEGLYWITKRAGEKEGSGLMKANAWHHRADAISSVVALVGVGGSILGLPLLDPLAGLVVSGMILKAVDAAVDPSLLEPIKETILRVHGVKGCHRLRGRKAGTSLYLDVHIEVYPFLSVSAAHDIGETVRHQIQKEHNQVAEVFIHIDPSYSVGLSMDLKRNLKNSDGTNSEAIPRQQSAEAIKMELEHLMLHYVQGRVLLQVQVSMSPEILIRDAMEVAKQAEEEILRADASISQVSLQLRLGQQIKQLQLASSKNVAGLHAGDH >Sspon.04G0000450-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:36463651:36469743:1 gene:Sspon.04G0000450-4D transcript:Sspon.04G0000450-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEYHHHHRMGAAADFRRDLEDLVCDHLGGCFSPTPSSSSSCSAAAGGGAADHEPDGEAESSAARRRRRESRLLSRWVARQAEEVLSSMEREVERRNREAELLALARLHPVSTLDPSPFLLSSPAAPPPPRPQPPSPTAPSSLLQMWRELEHRRADAHPFDREPSPDNADRDRERVRQIARRLTDTADGPTAAATATGEWLGETERQRVRLVREWVQMASQPRDSRGGARRDEPTAGADRDRRGEPPRLRGRQARLDVISRMARERQRELQGISGYHVVSEFPRRSRNRIQGLLRGRFLRNGALPVEEERPPSVAARELGQLRQSHRMPALRSESVASSQDVSQSDASVAESVRLLGNDESQQGADVAFTDSEDTAQTLLENVDLQEMGADRAEAHSPSIPLDGMAVMQESLTQGDNMRQDETEDDTGFWQSSLDGRLDRWPSEIDEGADRTWEDNAEDLHSETVEDDDREHGHLQEEHDGWHDDESHGTMENWQDDYQDSALDTGPIPRTENRFIPPDDDNVYSMELRELLSRRSVSNLLSNGFGESLERLIRSYVQRRGHGPLNWNLDAAMPASNAPNANQEQERNPETRQFQAPVNRPALVIPPPPLPPRQPLWHRELRHNNWSSRHREWDAINDLRADMGRLQQGMSSMQRMLEACMDMQLELQRSVRQEVSAALNRFAGPEGFSMDLSEDGSKWNQVRKGTCCVCCDTQIDSLLYRCGHMCTCSKCANELVRSGGKCPLCRAPIVEVVRAYSVM >Sspon.02G0001770-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:5879179:5886035:1 gene:Sspon.02G0001770-1P transcript:Sspon.02G0001770-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPFVFPSSLRDLERDTDGGGDEEPSLRPQNPVAIATLRAADLEEFVKGASFDLSDKELFCIEEQEVFDAIYSIVRDFNCLPPALKFNIVETLRSNLSVLLPNIDSLSRASMSSPSDTTPINDRIASHRNAFKIYSFFLISIVLTEESAAESGTGAKVTAHGRKKNRVYAWNWEAQRGRIMSLVANSLEADLSLLFGPGGTDERYLSFVSKCTFVLCENQSVLKDEDTRNGLCRIIGAIATKHQRISQISASVSHLIHKFDFTVPHLAEAVASAEKKFGDGSLAISLVREIGRADPKEYARDSVGADNVGRFLVELADRLPKLMSTNIGVLIPHFGGESYKIRNALVGVLGKLVAKAFKDVEGDSNARLRSKQAMLEILIERCRDVSAYTRSRVLQVWSELCEENSISIGLWNEVASVASGRLEDKSAIVRKSALQLLITMLQHNPFGPQLRTATFEATLEKYKEKLQGMEPSNPDKDEVVNDCSPGEVIVGQNESISDSCLASSQDQNDRDATIVDITNLEQIRALVASLEAGLRFSKCITLLMPILVQLLASSSATDVENTILLLMRCRQFQIEGSEAALRKMLPLVFSQDKSIYEAVESAFITLYTRNSPTETAKRLLDLAIDCSIGDLAALESLISSLVSKAEISSSTVSALWDYFCFNINGVRPVQSRGALSILCMAAKSSPSILGTHLQDIIDIGFGRWAKEEPLLARTACLALQRLSDEDKGKLINTNSRVFAALQGLVTSFSIPEKIWYGAADKAISTIYTLHPAPEIFATETAKKSLSSVFSVLGTEDVSNGDETENDASLSSVSPSKLGRFLFVISHIALNHLVYIENSVRKIQKQIRKNEKSQSTTEDLQSDASKSSEAQGINAELGLGATIDIAIESLAERAEKEIVCCSSEKNLIGHCGPFLSKLCRNLTLLQKFPDLQASAMLALCRLMIIDAEFCEANLQILFTVAESAPSEIVRSNCTIALGDLAVRFPNLLEPWTEYIYARLRDPSVSVRKNAVLVISHLILNDMMKVKGYINEMTVRIADEDERISSLAKLFFHELSKKGSNPIYNLLPDILGRLCNQHLKEETFCNIMQFLINSIKKDKQMEALVDKLCNRFAGVNDVRQWEYISYCLSQLTFTEKGVKKLIDNFKMFEHALSENSVMNHFRSVISKCKKFAKPELKVCIEEFEEKLSKVHKEKKEQEETTKNAEAHRQRIGSLDEFLATEEVSQNSGNSAEEETSEVVDPSVDSGTEHMENTPECSDHTSTEHFQTSPPVTESGGAGEIESTQPVRKGVSRSRAKKTRDPVSDPLVDRSTEYKENMPEHSADTSMDNSQTSAPLTESGDAGDIQSTQPVGKGDS >Sspon.03G0019410-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:60287245:60292895:-1 gene:Sspon.03G0019410-1A transcript:Sspon.03G0019410-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MESRPNGSVNNTEHNQENEKSMDASEPEEARDVFVYREDVVSLKSKEDVRGLVLEVAGEYDSEGSITDDDINTEEHKDKSAHGAENGGADGDNASNGAEVESQSSLPDDKVRVLWIDGSEKTEDIDEVVVVDRSFLHGDLVASASDPTGQMGLVLDVNLVVDLQGANGDTIKGVSSKDLRRIREFNVGDYVVSGLWLGRVDEVLDNVNVLFDDGSVCKVNRADPMRLKPAFGPIHPDTACPFYPGQRVKAVSSSVFKTSRWLNGLWRASRLEGTVTKVESAAVIVYWMASAHFATDQQPVPPEEQNPKDLTLLSCFSYANWQLTDWCLPHRSTSCTNDTMINSDKHTRQICTCSQSSAPLSDIPESQADVQTEQDQMTDTDAGHQQTDVDSTADGLSMSDGDNSCIAKDSETGTSVSSIPKLGPQDNATSRKKFRKVFLKKDKRTKKRDDSFERALLIANTCTKVDVVWQDGTKECGVAATSLIPIHSPNDHDFFPEQYVVDKVTNDDDSSEPRRVGLVRSVNAKDRTVTVSWFKPSLRLEEPMEIGCNEVVSAYELDGHPDYDYCYGDIVVRLPPVSPVIESTNNKDQMELDKTVDSSEGFAASNDAPPDASVDEQLSQKESCSQFTSLSWAGNIVGFQDGEIEVIWGDGSISKVGPHEIYVVGREDDGASLDDGTASDGASWETFDDNEMDVLDDSAKHFEDFVKSHFRKRGHYILKACEAYLQGNVVGTLTDDACPTERSKEHSSSVGFKLALAKILPRLITALKDAGANCDQYEHLGKTETVRES >Sspon.04G0007530-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:18504020:18508192:1 gene:Sspon.04G0007530-2B transcript:Sspon.04G0007530-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQASARLSSSAAFRKVIAGVSSATTRSCYRTSRGKAHVAPLPAQEPPPKGRKRITKEEKRARIVEFVENYRASNEGKFPSITNIRQQIGGSHYTVREVLQEMVFNHAKLPLDNPKAAPLQGTDEVAEHPMQKDEARVLENQGILEVPEDDAEMAQRQGTVEVYENSLPKDEGKVDQFQGTESESFKDNPKLEEAANTVQQNSKPEQRGRMTIQSNLCNHALEGDVLIVDLVLPFPKLPVCACTEPNTPIQELEKVGVGKSTNQRKEARTFGVAEIGVLADLAPGHGWG >Sspon.02G0018290-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:87957224:87958255:1 gene:Sspon.02G0018290-2C transcript:Sspon.02G0018290-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKGRRSKRRRSPAASLTDDLVVEILTRLPARSVCRFKCVSMTWRDLISAHNRKHPQSLAGIFTMHDAENMGQFHISPMFWAETAHWSPPGSTSCQQTGYIFWTAAMDLSFATTGLPSMTATYFDPAIAVVDPQGKACRSIPVADNKEYGFIQQSQGRLYYANFEADDEDQVVRFVVYVLEDYDNQRWTLKYTAEAFDVLGRESRNLARDFEWVAIHPDCNMIFYTVGWEKTLMSYDMDRRQVQVICALGQDTRE >Sspon.06G0018210-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:80952178:80955142:-1 gene:Sspon.06G0018210-3D transcript:Sspon.06G0018210-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNIAMVRAAVPPPSSSCDSDTYLLFHGETLLSSGVRASLYTVALAYCFIGLSAITARFFKSMEQIMKHSREVVVSVDPHTKAPVVKHEKVWNYAVADIALLAFGTSFPQISLATIDAIRNLGQLTAGGLGPGTLVGSAAFDLFPIHAVCVVMPRAGSKKKISDLGVWLVELFWSFWAYIWLYVILEVWTPKVITLWEALLTVLQYGLLLLHAYAQDKRWPFVSIPFMRGERPEDWVPPEDVPVDYHNCDDINETLPISADRNDGIVDVFSAHSYHNAEYSRVPEKDMEGSSTMDQVVKNTQEDMSWLSIWWQQFVDASMPGVEEDGFVFLRSTTIFWNLIIAPWKFLFAFVPPYHIAHGWIAFMCSLIFISGIAYGVTKLTDQISCVTGVSPYVIAFTALAAGTSWPDLVASKIAAERQVTADSAIANITCSNSVNIYVGIGVPWLIDTVYNFFVYQEPLYIDNAAGLSFSLLVFFATSFGCITVLVLRRIILGAELGGPRLWAWVTSVYFMILWVVFVVFSSLRVSG >Sspon.04G0012730-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:52516666:52518553:1 gene:Sspon.04G0012730-3C transcript:Sspon.04G0012730-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSPDTIRTVIGVIGNGTALVLFLSPVPTFIRIWKKGSVEQYSPIPYVATLLNCMMWVLYGLPLVHPHSMLVITINGTGMAIQFTYVTLFLLYSAGAVRRKVVLLLAAEVAFVGAVAALVLTLAHTHERRSMVVGILCVLFGTGMYAAPLSVMKMVIQTKSVEYMPLFLSLASLVNGICWTAYALIHFDLYIT >Sspon.02G0013770-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:34359321:34364764:-1 gene:Sspon.02G0013770-2B transcript:Sspon.02G0013770-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYQPMHGNGGTAGAGTSGSCSGSGGGGDHLHHHHHQRLHSPRMAGGGGSMTRRASSFKRGGGGEIELQIGSPRSPRCDGLGSPPSDSVEPSGGGLLHHHQSQQNQNLRFRLFKPPVSGGGSAVGLGLGIRERRKLGNMLFLAFCGVCLLLGVAKILAGGWFALPGKDKDADLKDLSVSFSGEKVHQVDRHFVYMGGKESDRTLMTVESNIGGREDSVAEASSISLPRPPFTSDVWSQPSSTNFRQCIVSNSHKNQDSHTNGYIIINANGGLNQMRFGICDMVAVAKILKATLVLPSLDHTSYWADDSEFKDLFNWRHFIESLKEDIDIVETLPPEYSDIEPLAKAPISWSKVHYYRDEILPLLKKHKVIYFTHTDSRLANNGLPSYIQKLRCRVNYRSLKYEKDMLAFTGCSHNLTSEEEEELRKMRYEVSHWKEKEINATERRSLGGCPLTPRETSLLLKGLGFTRSTRIYLVAGEAFGNGSMKALKDDFPNIYSHSTLATEEELAPFKNHQNMLAGLDYIVALQSDAFIYTYDGNMAKAVQGHRRFENFRKTINPDRMSFVNLINEFDEGRMPWDIFSSEVKRLHKDRIGAPYFREPGEFPKLEESFFANPMPGCICEKHKLHN >Sspon.05G0024750-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:17570079:17571830:1 gene:Sspon.05G0024750-1B transcript:Sspon.05G0024750-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASFNPWTVLDSNDPGDVIGKTKTKDEEDAAAGKKPAAAGSWAPSSKAMEASTPAKKQYKKKLPAAVARDQAKKKGPGGGAAKQHGAGKGAKNDPTRQKQRHP >Sspon.03G0021960-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:66888176:66891164:-1 gene:Sspon.03G0021960-1A transcript:Sspon.03G0021960-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWGWTALAAAAVAYVAVKLMEVLWWRPRRVERHFARQGIRGPRYRFFVGCVREMVALMVAASAKPMPRPYRSHNVLPRVLAFYHHWKKIYGSTFLIWFGPTPRLAVADPDLIREILLSRADHFDRYESHPMVRQLEGEGLVSLRGDKWAHRRKVLTPAFHMENLKLLLPFVGKTVVDMVDKWHDMASASSGEVEIDVSEWFQVVTEDAITRTAFGRSYEDGKAVFKLQTQLMAFASEAFRKVFIPGYRFLPTKKNTSSWKLDKEIRKNLVTLIGRRQEAADGEKLSGCAKDLLGLMINASSNGGKVSPITVNDIVEECKTFFFAGKQTTSNLLTWTTVLLAMHPEWQELARQEVLQVCGAHDIPSREQLAKLKTLGMILNETLRLYPPAVATVRRAKTDVELGGCLIPRDTELLIPIMAVHHDARLWGPDATQFNPARFAKGVAQAATHPTAFIPFGLGARMCIGQNLALLESKLTVAILLQRFDFRLSPSYLHAPTVLMLLHPQYGAPVIFRPRSSKPSDRDNRD >Sspon.02G0037920-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2B:37262147:37263103:1 gene:Sspon.02G0037920-1B transcript:Sspon.02G0037920-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLCIRSTDTDCLAGRAFFGAHRGVRAAPARTPRSPMQQQRQHESQTLATASPPHVAMNSRSARGRANGQQRRRRSPPAAGAGWPPHKRVMENVVILRRGQVLMNKATAPLTATTSAPGPSVAQAVPEKAETALAGKDADAGVAAEARRGEREKVDESVATAKQSSAEAEKCETAAVVDQRGAQEAAAAETAVATDNMGTETEEESVVATNQSGAETTTEENEPEAGAGQSGVQAETAEPVPTVDQRGPDTREKEAEAAEYHGADAMNAAEPAPAAHQTLSLAKEEAEAEQAYSGASFAAVAPDPRALPIPVLLLKPR >Sspon.08G0011150-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:44432421:44440445:1 gene:Sspon.08G0011150-2B transcript:Sspon.08G0011150-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPTTMSLTTTSRLPICRAQDASKQAPVQKRAPPAAKVAPPSSSLSDTTGFSRRRLLHQSAGLGLGLGLAARDPARARAETAPAPEEVTSNRMSYSRFLDYLNAGAVRKVDFFENGTVAIVELDDPALTASRVHRVRVQLPGLPAEAELVRKLRDRGVDFAAHPVEPNLGLMFLDLLLNLGFPLLFIASLIWSCDGLALFLGRSHTISRVVADTSLPFGLGKSKAKLQMEPNTGITFDDVAGVDEAKQDFQEIVQFLKSPEKFTAVGARIPKGVLLVGPPGTGKTLLAKAIAGEAGVPFFSLSGSEFIEMFVGVGASRVRDLFNKAKANAPCLVFIDEIDAVGRQRGTGIGGGNDEREQTLNQLLTEMDGFSGDSGVIVIAATNRPEILDAALLRPGRFDRQVSVGLPDVRGREEILRVHSSNKKLDPDVSLSVVAMRTPGFSGADLANLMNEAAILAGRRGKDRISVKEIDDSIDRIVAGLEGTTMTDGKSKMLVAYHEIGHAVCATLTPGHDAVQKVTLIPRGQARGLTWFLPGEDPTLVSKQQIFARIVGGLGGRAAEEVIFGEAEVTTGAAGDLQQVTQVARQMVTTFGMSEIGPWALMEPAAQSGDVVLRMLARNSMSEKLAADIDRAVKHIVDQAYEVAKEHVRRNRAAIDQLVDVLMEKETLTGDEFRAILSEHVDIGKEQRETAARTELVTA >Sspon.03G0040290-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:27659589:27660497:1 gene:Sspon.03G0040290-2P transcript:Sspon.03G0040290-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIDSHERLEEQPMMHGNGHGRVHPAASSSSDFSGEMSQSVSEPSSSPLYSFHLEKPVPQQQPGTYVVQVPKDKVFRVPPPENARLFDHYTRRAKRRRGRSCARVCACLLAGAVALAVLAAALAGVAYLVLRPKQPAYTVQALAVSGLAGLGNASSSSSPGAFSPGFDATVRADNPNGRIGVRYEGGRRSRVSVSYDGVLLADGAWPAFYQGPRNVTEFVVKAKGSGIRFSQSVRGQMAAAERLRSVPFDVDVEVPVRLQLGKVRTWAVPVRVHCTVAVDRLAADAKVVSRSCDVKVSSWRN >Sspon.02G0008650-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:18595381:18595713:-1 gene:Sspon.02G0008650-2D transcript:Sspon.02G0008650-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding TMICTKRTAQLVKKWQMMAALGRKRFTCASVAVKGHCVMYTTDWRRFEVPLAYLSTLVFLELLRMSQEEFGFIGNEQITLPCDAAVMEYAMCLLRGGSSTEAEKAFLSTMP >Sspon.01G0001100-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:2686681:2689816:-1 gene:Sspon.01G0001100-2B transcript:Sspon.01G0001100-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:MEE50 [Source:Projected from Arabidopsis thaliana (AT4G00231) UniProtKB/TrEMBL;Acc:A0A178V4E4] FQSATCLPSRQSSVTVPDQHVSIRRRRGDPRRAHRSLQDPGGPGGPLRRARRHPLPPPGLPSRLLLLRLRLLRNLLAGHELNQYAFIEHCGPAAVAASVLSFPSLAPDVARAALQALGNAALAGEFHRDAVWEALFPEALREFAGLRDQGVLDPLCMVLDTCCGGEGGRGRLEELSRSWACQSSSKLSLRPRKEEWLGWLLFKVCVEEQKFESLFYALCSANDAERTDNGEYNAKHVFLLGTLSRCLNSRPEEVTVSDSFAHDVFNLHKHAAETVNFTHRGTSPLPTGSPAIDVLGYTLQLLRDICAWESTSSDTQRPVDSLLQTGFVKRLLRYLGELEPPSTIRKSMAGGQGDNHPALGNAKVCPYIGYRRDLVAVIANCLLRRKKVQDEIRQLGGIMLLLQQCVIDEDNPYLREWGLLAVKNLLEENEENQKEVSELEMQEPVITPEIANIGLKVEIDKETGRPKLVNTSDDSADCIF >Sspon.05G0003300-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:9914656:9915090:1 gene:Sspon.05G0003300-1A transcript:Sspon.05G0003300-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHAGGHTLGTSHCSSFADRLYNFSGTMMADPSLDKRYLPRLKSKCSNPGDTTTLVEMDPGSFRTFDASYYRHVARGRSLFASDQTLMNDAFARAYVQRQAAVAAAGAYPAEFFADFAASMVKMGGVQVLTGAQGEVRRHCAVVN >Sspon.02G0046650-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:5545079:5548824:1 gene:Sspon.02G0046650-1C transcript:Sspon.02G0046650-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAHIVHRRLLDVALVAFAAAMLRAAPAAAEDTILGHKCGMPAPAGAGAGNSSTSSDAAYRSNLNALAAILVAGAWANGSAVSAAGASPSSPSPDAAYGLALCRGDFRGDACARGLRDALSSAINDSENALGCGPPRLRDITLFYDRYQLRLSGGGGNAPRWAGNNTNFVAPPTRRAGSTRSSANWYATGRSWFEEQRLTLFALVQCTVDMSPDRCRACLDGLISAFPATFPSGQHGGRILVPRCTVRYETDDTFFNTADLSVDLHKQKQAKPSKAWLWATIAVVSLLLLSASFLLHRWIKLRRKRVLARSELRRLSIAVKNVINLWRLEEGNSGFSLYDFSQMKGATNGFSIENKLGQGGFGAVYKGVLPDGLEIGVKRLGPCSLQGLLEFKNEIQLIAKLQHRNLVRLLGCCIEGEHEKILVYEYMPNKSLDLIIFDSKKGASLDWPKRLNIIEGIAQGLLYLHIHSRLCVVHRDLKASNILLDSEMNPKISDFGMARIFSSSVAESNTTRIAWLLWRSGQWPELVERSLLGNGTYDYTMERHVHVALLCVQESADDRPAMDEVVRMLGSGEGAVLPEPKQPAYFNVRPVGTEMSASCDMTISITLSR >Sspon.06G0000280-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6A:1808098:1815936:-1 gene:Sspon.06G0000280-1A transcript:Sspon.06G0000280-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSFIKYSSWLENPSNVKAAKFLSKGHAMLSDCMKELDISRNNMSKGCGFQEPEEELDTGTELASFDKSLESVEEALVKLENLLQELHVSSSNSGKEDLQAACSDLEMIRRLKKEAEFLEASFRAKAEYLEGTFANVEKKDAESNDILRFEQLRRELIELEKRVQKSADEAQKEESSPVFQEMVVADETTAPSPGSSVPSGQATKKENVITKSVEKVKETTTTVLQGTQLLAIDTGAAMGLLKRALIGDELTQKEKQALQRTLTDLASVVPIGILMLLPLTAVGHAAILAFIQRYVPSMIPSTYAPDRLDLLRQLEKVKEMEVAEGSSEDILEAVGSRTEQVK >Sspon.01G0030550-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:95549778:95554351:-1 gene:Sspon.01G0030550-2B transcript:Sspon.01G0030550-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASARGSVWEIQPRDVEAAGLAAADAAAFLAALRSAAAAAGSGATLDAVWAAMTAAGVLRPEHPHALHQLVYYSAYAGWDRDARGPPPYWFPSPFSVENQEVYWSMVLKQLAVNFKQEPRSILSTSDRSKKGGIWLQGAVLNIAECCLLPCPSLKRTDDSTAIIWRDEGLDDYPVNRMSLKELRTQVITVAHALDAMFEKGDRIAIDMPMTCNAVIIYLAIILGGFVVVSIADSFAPQEIGSRMGVSKAKAIFTQDFIVRGGKKVPLYSRVVHGTSSKAVVIPAIGDSLGITLRNGDMSWKDFLSRAAGRSSSYSPVYQSVDALTNILFSSGTTGEPKAIPWTQLSPIRCASDTWAHLDDAGVTVLGSVPSLVKSWKAGNCAEGLDWTKIRVLGTTGEASDIDDNLWLTSRASYKPIVECCGGSELASSYIQGSLLRPQVFGAFSGASMSTGFVILDEQGTPYTSSVEIERVCNRADECLLETAAVSIKPSGGGPEHLAILAVLKDRSAQYDVNLLKRKFQTAIQKNLNPLFKVSYVKVVPEFPRTASNKLLRRVLRDQLAQELSNRSRL >Sspon.03G0023970-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3C:91550511:91551022:1 gene:Sspon.03G0023970-2C transcript:Sspon.03G0023970-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DCQSYFNNSLFVVGEFGGNDYNAPLFGGKAMAEVRSYVPEIVDRIASGVEVRIICNTTCRRRRAPPADLNVHARTYALQTLIGLGAVDVVVPGVLPIGCFPLYLTLYPSSSKDDYDEIGCLKSFNNLSSYHNELLRKAVSDLQSKQPGVRLMYADFYAQVADMVRSPETF >Sspon.02G0000650-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:2447914:2455110:-1 gene:Sspon.02G0000650-1A transcript:Sspon.02G0000650-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEASARERKRPREGDASEDGARMREVWKRLLEAAAGKPQYTYLPIADTLKVPGDRVCLFAVVAEIGAAVRSRGTDFTVTLRIVDESCKAGISATFFAETAALLPCVKSSGDVISLHNVVIQHHGEFCVTFNKKFSSFALFESKVSAECSPYQTSMKYHGRKHDRELLTQIRKWLPNNPLGLKDLELQLRSLKSGSTFDLVCKVLHVRENSGKWIFYVWDGTDTPAAEFQAMKDFRMLRQLHHLICLKDHLYPGRYVNKVSHMQKGIYWARFFNITCKQDKFGIWKGVFLDSSGVRLLSHEDGSVVDRLKMYDSRNANKVHRQPMASFPSNVADEYEKEGYSTLMESLTHDEVTHKVKTLVRVVAFYPCRARELHLLSTDNHCIRLTLEDPTARINAYVHKDDLVKFFGGSLTAEVIIKKMNKLLGVPEPEDSEEVAPLTRNPPWIWCILMSYYREKNDPWGSRRYRIVTHKVKTLVRVVAFYPCQARELHLLLLLLSTDNHCIRLTLEDPTARINAYVHKDDLVEFFGGFLTEEVIIEKMKKLLGVPEPEDSEEVAP >Sspon.02G0045400-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:109339578:109344916:-1 gene:Sspon.02G0045400-1B transcript:Sspon.02G0045400-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MECLDDRGCDYHHQMVILPRSMEEICKLKKAVWYFKSPHCIFTCQLIILELPYDRTRVIFLVVNEGNTTKASECSTPAILNMSDDNGPSEMGVGQPMVVGRKLLPPIVPGDEPIFVCEKQYAAILRLRERRLRIKEARERRLLQVKKLRPRGPNGRFVPIKDDQEGPNGGSMVEWNPALTNQYQQVTTVGSEAQSSTPLGFYWYVFTTNEGGESSTPAGIGFYYPFITTNGGENVGDVASGSEVPSSNAPSGFFWPLIMASGYEENIGNPAGYFCWPVVATNEYGEDIGESAYGSEGPISNPAGDYCWPVVATNDDEENVGEVAYNSILNLESPDPTDLLRIMMGNRYSIDEVSEQFQNVARLQAPGFTTLLTIMNNAGYDPAADYGPYDVHKVMTKLEGW >Sspon.04G0006070-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:13475878:13483449:-1 gene:Sspon.04G0006070-2B transcript:Sspon.04G0006070-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNTCGVTLRSKYFASFRGSAAGYAPVAATAAADEPAPHGNGKRATRPAEARAAADGSAPPPAPGMRRGVPAPAELTANVLGHPTPSLRDHYALGRKLGQGQFGTTYLCTDLATGVDYACKSIAKRKLITREDVEDVRREIQIMHHLAGHRNVVAIKGAFEDQQYVHIVMELCAGGELFDRIIQRGHYSERKAAELTRIIVGVVEACHSLGVMHRDLKPENFLLANKDDDMSLKAIDFGLSVFFKPGQIFTDVVGSPYYVAPEVLRKRYGPEADVWTAGVILYILLSGVPPFWAETQQGIFDAVLKGVIDFDSDPWPVISESAKDLIRRMLNPLPSERLTAHEVLCHPWICDHGVAPDRPLDPAVLSRIKQFSAMNKLKKMALQVIAESLSEEEIAGLKEMFTAMDTDNSGAITYDELKEGLRKYGSTLKDTEIRDLMEAADIDNSGTIDYIEFIAATLHLNKLEREEHLVAAFSYFDKDGSGYITVDELQQACKEHNMPAAFLDDVIKEADQDNDGRIDYGEFVAMMTKGNMGVGRRTMRNSLNISMRDTPGAL >Sspon.01G0037590-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:23587171:23590475:-1 gene:Sspon.01G0037590-1B transcript:Sspon.01G0037590-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQRRIGDVTIMQKGKADVISDGQIGILESFFPANSERLCAETGLQFRRKFDAYHGMIDLEKTSENTSLAESLQLCRDWNTSHGTEPIGGKEISINDLVIKSLANPGSAPTPPSSLAPTSETPRASLLRP >Sspon.02G0003900-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:11386944:11392443:-1 gene:Sspon.02G0003900-2B transcript:Sspon.02G0003900-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLCTYPVKHKCLIMWGEDDGIISSKLAYRLHQELPDAILRQVRQCGHIPHVEKPREAVKHVLEFLARNTSNKSDQSPSELSEPLNILQTLEGRLYKVKCADG >Sspon.01G0030140-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:100242342:100248891:1 gene:Sspon.01G0030140-2D transcript:Sspon.01G0030140-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable zinc metalloprotease EGY1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G35220) UniProtKB/Swiss-Prot;Acc:Q949Y5] MAAALASSTLVHLTASRLRLPRPRASASNSAPGPGCSSGVCLGWRLTVGWRAVRRFDRLRCFSTDGGGGEEGEKHGEEEASAPAETQVGAAEELASERSRSGSFSSSSSSSGTPGVSSEPPLLSFSVDNIDTVKLLELLGPEKVDPVDVKAIKEKLFGYTTFWLTKEEPFGDLGEGVLFIGNLRGKREEIFAKLQRQLRELTGDKYNLFMVEEPNSEGDDPRGGPRVSFGLLRKEVSEPGPTTLWQYVISLLLFLLTMFSCVELGIASKISSLPPEIVSYFTDPNATGPPPDMQLLLPFVESALPVAYGVLAIQLFHEIGHFLAAFPKNVKLGIPFFIPNFTLGTFGAITQFKSILPDRKTMFDISMAGPVAGAALSFSMFFVGLLLSSNPVGASDLVEVPSQLFQGSLLLGLISRATLGYSAMHAATVSIHPLVIAGWCGLTTTAFNMLPVGCLDGGRALQGAFGKEALFGFGLTTYSLLGLGVLGGPLSLPWGLYVLICQRTPEKPCLNDVSDVGSWRRAALIASVFLVVLTLIPLWDELAEELGVGLVTSF >Sspon.03G0033790-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:61154001:61165068:1 gene:Sspon.03G0033790-1B transcript:Sspon.03G0033790-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RTKVSRDTWYFSKRALKKRKGAVSHRIGLGTWRTPKLRHVLDSTVPPEAAPLKSPPPSLRARASPHDPHIPSPAPRSALVEIVSTGAVAMRSAVARLIRSSSASPSRLSSSSILLKSGNAFFSNATPSDQKHIEEPFNATPSDRKHIEEPFKVKEAEPVNATKSSPEKLLVLGGSGFVGSHVCKEALDKGLVVSSLNRSGKPSLNEPWADKVIWNQGNLLEPASLKDAMDNVSAVEPRGFTVHVVQALCACGRRSHEENGLPLSRVDVHHCTPSALSSTSTADVALVVAAAVMVAKPSGEATKCEVVSGKQQGKQ >Sspon.08G0003190-1P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8C:6904821:6905678:1 gene:Sspon.08G0003190-1P transcript:Sspon.08G0003190-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVAMSPPRSAAPAAALLALTVALAAATVAAAAPLERADDEVRRMYEAWKSEHGRPPCDVSSDRLRLEVFRDNLRYIDAHNAEADAGLHTFRLGLTPFADLTHEEFRARALGFRNSTNKRRVASNRYLPRAGDDLPDAVDWRLRGAVTGVKNQQKCGGCWAFSAVAAMEGINKIVTGDLVSLSEQELIDCDTQDSGCNGGQMDNAFQFVINNGGIDTEADYPFIGTDMACDAIRVSTLINLCMNNLHALVVTEQ >Sspon.01G0043580-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:75729660:75730513:-1 gene:Sspon.01G0043580-1B transcript:Sspon.01G0043580-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSDIIKEDEKHSNHSPIIMLLCLPYTREGDYIRTNEAVTIADHPTIRHIRGPAYIAPSSERGPSDQTDINRTVFLDPLGRWSIIRETEPDWLSGQGGALGAGRAPCLRARSASVRSRGFWSLLDDRKSVNT >Sspon.01G0021030-3C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1C:76954975:76956118:1 gene:Sspon.01G0021030-3C transcript:Sspon.01G0021030-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQVCRILPPDLVLQFLRLLIALRCLIGPQQKVVLRVPTMTDDKIKQKAIEAVADIYGIDSIAADLKENKMIIIGEMDAVAIAKKLKKIGKIDIVSVGPAKEEKKEEKNEEKKEEKKEEKKEEKKEEKKEEK >Sspon.03G0009070-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3A:24843423:24843845:-1 gene:Sspon.03G0009070-1A transcript:Sspon.03G0009070-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPATPSRVVVTARKQGQDDDGDGDGDVEEETTRAGRPRAGRALRASGGDGAAPAGKVLTVKIVMTRKDAEALVARLNLKAQSARERKARMAELKGELRAGSCGGGRARASPAWSRVARRPMLPPIKENRFERITPVA >Sspon.08G0003410-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8B:5829405:5833545:1 gene:Sspon.08G0003410-2B transcript:Sspon.08G0003410-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTESCPLDSSCLLHRSRGGGGCGSWAAAGRPGILSPAALPPASSTGLFSLMSFDPSCLSWLSELSPFLSSASTRAAAAGLGQAPFAPSLLLSTPTMPSPSPSPCSSSSAAPTSRTCKSLDDY >Sspon.05G0003030-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:5036200:5041969:-1 gene:Sspon.05G0003030-2B transcript:Sspon.05G0003030-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFSAPSFSLGFDWDDDDDPPAGSDRREQPRGYEAPDPPSFSLGIDDDVVEEPRVRAAGCRKEHARGSTAPDPPSFSLGFDDDVTEEPRIRAGGRRKEHARGYTAPDPPSFSLGFDDEDGDGDMLAADQRCEQARPPVAPGAPSSTGAADDDDKEDGFVLTGGRRPVRVERHRLDPDPLPPLRSETNQFAAPDPPSFSLGFDDDDEDGYCDILAADQRREQARPQVAPGAPSSTGTEDDFVLAGCKRPVRVERDTLETDPPPPPVETNRFKRLRKGPAPAHPAPTPQVLHCEAPSSSRIISDDSLAGGQHHEQSKPQAAPRVLSSLSIEDEDGDFFLAADRQPEQTLPEVTQLKRLRKGPAPPHLAPSLPPLKVPGQPTVEACPVMSENATRAAVGSWEDEIEDWTTDEDRPVRDVPPSVGSCSTSSNSKFSLLNRGVLMTQSATKANRSKFTETPNTSAPTSLEESCTKKLLPKITVSPMRKIYLLDSDTDADDNHNQNKAKTLQQNRKPQGSSTVLKSGAMMNDNWATPALDEFCNEYFKSPKDAGFSHQKEGNTHYKVSQPKNSGHFQQQTSSSGAELDDGPPAMHYLFHPDPKVGNLFRNRLQHFVPIGAGSTRGNEQNRAESLRRCTSWKQFSSSAAANDDWVTPGRMSIPTDASKRRVHASGSHSGSGHWFTGDSGRKVYVSKNGQELTGRDAYRQYQKESGRGFGRYKKKGSSGTKRGAAKVKTETAAKRGTSRAKRK >Sspon.02G0011680-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:31182930:31185885:-1 gene:Sspon.02G0011680-1A transcript:Sspon.02G0011680-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAAVGEATPPPDLPPSRVSVSSPSPYPRRRCALASRFREPAAPRRHAWVSLQGRLIGAEEASSAASAAPGLPPDEAVAWELFSPLHRVLLVATVAAASSRSHAARRIEQLQRSIHLRDEVLESMQQKLDDLLVEMSSLQQQYVKCDSYISDEREMNEIAGSKKIGDGEGSRCCVCTKLEVAATPQKAKDLRGTDDARSDIVDRSSLSFMDHEERRMSDLSDFCWSVVSSVDNQINGDNQLSFLAADQQLYNLQKECEEKDATIKDLAAAAHASSTADAKRIAELQEVLKRKNMVISKLKKDMAALKHMVVELSRAKRASSAISPICTDLPVMSNNVLYGMSSSSSSSDSESPVALREYLDEHLVDSTPGDGDSIGSCEVSAAKASLPSKTSFVHKLRSTSPLKEIRINPKVETNSFGRQKHPTSSNGDFKRTRRQSQQDPRNKATRRWSASELPPYGVSASLSSRSPSFYRC >Sspon.08G0015980-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:58037353:58044116:-1 gene:Sspon.08G0015980-2B transcript:Sspon.08G0015980-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MYDLVSFLCTRYPCLTPDLALQRLLAAGASLRAADPNLFNHTPQPHTPNGVGGDEVLDWSGCPQVVSGSGAAHITQAQGTPSATVQEAYAAAAIAAFHACIPPELPDEEIIRRADSDGSFCLEDYRHLFSKEQFDLLSLHTELLGSPDSVQKLWAATEALRLHEGRPILKEQLDSLCVQVSRCSSSSDGISHRQREPQPEEVSRRIFLQVSGQMQRFWGQHDRVSSRVAAALEKFNRTEVDKEPDDDENATKLFFAECSNDDYHDSWCVPVNWSHPDAGQASRCFYCETRQSRIIHPAVPCFRGSDFGETFFGSYKKAFDNDGKIRSPWVDWVHHLEDDAIYENYRVRDDDNRCGDQFPYRLWDHFLLARYNSHKWTVYVRSANNEDLSVIVKRVVFQLHPSFQNPTRVVEQPPFELSESGWGEFEIAITLYFHSDVCDKRLDLFHQLKLYPEEEAGPQSTKKPVVVETYDEIVFPEPTEAFFQRVQNHPAANVPRLPPGITLPPPGAGSYSQAEKAVNHDRRNAPAIESFFWSVKLLVFH >Sspon.02G0021720-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:74253348:74258432:1 gene:Sspon.02G0021720-2C transcript:Sspon.02G0021720-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGAAQTLVSNAGRLLSKEYQQLRGVGGQVIELRDELEAINALLIMQSEAEDGAVDRFVQVCMRQLREVAYDAEDCIDLYTLRIESRWPSDGVRSWLGRLLGTLVSRRRLACEIGALRARAVAISERQARFGVNRDALRRSPPLLPAPMAVSAPANGADRRHRLVGIAEQADKLAARLKAPVGDGGERKAVFSIVGFGGLGKTTLATEVCRLLEAEFPWQAMVSVSQAFEPSRDLKVLLINLLRQVVKPETADERGIKEEPKLEDSRCILLYQWSQWYLIVIDDVWTARAWEAIQSKVPENNKGSRIIVTTRIETVANACSSGSASGHCIHKMEPLKLEDSKKLFISRVFGSMDATYPKEFEDVMGAILKKCSGLPLAIVSITSVLVGYKSPGSKDKWDRVCKSLGSQMEIHPTLEAMKHIVTLSYNHLPHDLKSCMMYFSIFPEDYVIRKDRLLNRWMAEGLVHQKRGLTMWEVAESYLDELLSRNMIQEADHLEGHAWREQRYRVHDMLLEVMVSKSLEDNFLSLHGGQYKGMLYDKIRRLSIHADIESVDSIEKRNVEGRRGEDNLNMQHVRSLSMIQLHGQHKLLKNLGNFVLLRVLDLEGCEGVTNKHVSYACNLYLLRFLSLRNTNVSKVPRQIRNLEHLQTLDLAHTLLTEMPQTITKLEKLEDIRFSNKDNFWGTVWTMPQGLNKMKALRVLCRVCLGNDSKVAQEVGELEQLQELDLTIDNHKTIDDEVLEELALSISKMHSLRWLLIGRPGSSGDGGKILNFLHHLPTPPQLLRTLWIIGDIVNRLPRWIGSLAHLVSFTVVRATLIDDDLFGVLCMLPSLKTLCVGWECYKDRDELVARTIHKFPVLTDLILGGYLPKVIQFEEGSMSMLEMLELGFDSRSRHVVDRSIVGIEHLTNLKKVTIDGDEDNRALSHAILEQLKAVNDRSS >Sspon.05G0023810-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:10186035:10188801:-1 gene:Sspon.05G0023810-1B transcript:Sspon.05G0023810-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKFSASTLQKTKQTVDEVRATVTELQNAIRVFSLLSVASTYHSHGFDERKIETHVEYCKHLLDAAKVHRDAAEQAEQQNKQKMEVARQIALAEEARRRAEEQRKFQLERRREEDELKQVKQQEEHFERVKEQWKTSSHTPGKRKDRSKTEDEEVGNEKRRRKGIRRRKDQKTREEEEDEYKDEPEADDDYADLARYNGADNSERAPDHLLAAAGLDDSDAEDDMGHPQSAIERKRRAWSESEDDEPVKKPAAPPSPGADGLSE >Sspon.01G0019560-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:71150384:71152292:1 gene:Sspon.01G0019560-3D transcript:Sspon.01G0019560-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-xylose transporter 1 [Source:Projected from Arabidopsis thaliana (AT2G28315) UniProtKB/Swiss-Prot;Acc:F4IHS9] MSDGAGSRTGVAGALGLSVTSSVAIVICNKYLISTLGFFFATTLTSWHLMVTFFTLYVAQRLHFFEPKPIDARTVISFGLLNGISIGLLNLCLGFNSMTKLAIIPFTVVLETIFLNKKFSQTIKASLMVLLLGVGIASVTDLQLNLLGSIIAVLTIAATCVGQILTNQIQRRLKVSSTQLLYQSSPYQSAVLLVTGPFVDKLLTKRDVFAFNYTTQVVVFILLSCSIAVCVNFSTFLVIGTTSPVTYQVLGHLKTCLVLSFGYIILKDPFSARNVVGILIAIFGMGLYSYYSVVESRKKTEAASSLPVAAQMSEKDSAPLLGAKNSPRTENKAEETFDYMPRTAKSAFTGR >Sspon.03G0025810-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:5585769:5587608:-1 gene:Sspon.03G0025810-2C transcript:Sspon.03G0025810-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEANGKKEEEEFSTGPLSVLMMSVKNNTQVLINCRNNKKLLGRVRAFDRHCNMVLENVREMWTEVRYLKTGKGKKKALPVNKDRFISKMFLRGDSVIIVLRNPK >Sspon.08G0016980-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:66410486:66412381:-1 gene:Sspon.08G0016980-1A transcript:Sspon.08G0016980-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAKRLHARLLRHGEHRLQPLLLCVLAAGDLRYAALLLDSYPSCPPSAPLHNRLLHALAAARHPLLLPCFARAHRLRLLTPLSFTLLFSSPSSSSSTRFAACAHALLTKSGHASSSGDPYLASALVASYARSGLLAESWRVFDELPLKDAAARNALLSAYARGGRVGDAEDLFAGMPDRNVVSWTAMVSGYAQNGRHEEAVRTFLEMWEGAGVWPNEVTVSSVLPACAAVGALALGKKVERYARGRGMLRNVYVANALVEMYAKCGSIRRAWMVFRGIGTRRDLCSWNSMIMAFAVHGLWREALGLFHKLRMTGAKPDGITFVGVILACTHGGLVDEGKLLFNSMREEFGLKPRIEHYGCMVDLLGRAGLLKEADSLIASMPMEPDAVIWGALLGACSFHGNLELAELAVEKLMHLEPQNTANLVILSNIYASRGKWDGVAQVWKLLKEKDHKKSAGYSFIELDGRMHKFLVEDKSHPRYEMVYDTLDSITLTMKLVNLENSEDKLHSRYEMVYDTLDSITLTMELVNLENSEVES >Sspon.02G0040020-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:59438914:59445829:1 gene:Sspon.02G0040020-3D transcript:Sspon.02G0040020-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING/FYVE/PHD-type domain containing protein [Source: Projected from Oryza sativa (Os07g0446100)] MGLQQSKEELLYQQVNYGNVDGIRTLRAQGAGLEWIDKEGKTPLMVAWSHCGTALHHAAKKGLQQTVHLLLSHGANPFIPNDDCNTALELAREKGHVNVVRAIEGRLSLFCGWMRENYAPAFLDAIAPQFMTRKIWAVILPREVRTPTRPLKLELAIYPELQASKPRVLLKLWKCQIEQPKLNQADPSIIIFDKGTKTRYKILPAYEGDKQQLQWFYNACCGLAQVFNTAPVPPANLPMPNPAPAISSVAPSELSAPSKEDVELAMAINASIQSAIAEGVPNVQPNASTPNNNGWGIPSSNSHNGWGPPVTPAPSKTSGQSQARVDAPSSSTYNGWDVPGTSSSQSSSKPQKTETNPPVLIPQEALQALPTPTAPPFAEETFYSGPVHYPSIDSTPVDVTMPATTEGGTTVSTTAAPPKQEENEADASDGGKTPSGTCVICLDAPVEGACIPCGHMAGCMSCLKDIESKKWGCPICRATINQVVRLYAV >Sspon.01G0027220-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:93925408:93927186:-1 gene:Sspon.01G0027220-2C transcript:Sspon.01G0027220-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable transmembrane ascorbate ferrireductase 3 [Source:Projected from Arabidopsis thaliana (AT1G14730) UniProtKB/Swiss-Prot;Acc:Q67ZF6] MAHGIIGGHHPSMLASRVGMVAHVLFLTTAVLMLVWLLHYRGGINIQSEDPEQIFNVPILAYSTIPSHIVEHRTQKMAHMLIHLVGLILGIFGVYAAFKYHDAAVAPDLTSLHSWLGITAIALFGLQWLFGFVTFWLPGAHEDTRAAAAPAHVMAGLVIFMLAVCAAQTGLVEKSAAAASTTETKLINVTGIFILLYGVAVASAVALRKAFL >Sspon.01G0030440-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:105626285:105627761:-1 gene:Sspon.01G0030440-1A transcript:Sspon.01G0030440-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSMVADNDSGESLMSQVRTSSGAFLAKHEVITLHHGILLARSRAECVRSNSLLIAIAMCTCIGTVETKYLCELLSVDPSKDEIVSAIEKRVAAWTFLPEENAESMQVLRYEIGQKYDAHFDYFHDKNNVKRGGQRFATVLMYLTDVKKGGETVFPNAEGSHLQYKDETWSECSRSGLAVKPKKGDALLFFGLHLNATTDTSSLHGSCPVIEGEKWSATKWIHVRSFDNPPNVRTDAPCSDDNELCPKWAAIGECYKNPTYMVGTKDTHGFCRKSCGLCDA >Sspon.05G0006170-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:19893764:19896339:-1 gene:Sspon.05G0006170-1A transcript:Sspon.05G0006170-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:COBRA-like protein 6 [Source:Projected from Arabidopsis thaliana (AT1G09790) UniProtKB/Swiss-Prot;Acc:O04500] MERFVFLLLVFLCNSSFRFTEMAAQAAIIADRFDGVESDAYDPIDPNGNITINWDFQVLNVKDTSPYTVMVSIHNYQMYRHIEHPGWRLSWNWTGKEVIWNTVGSETTEQGDCSRVGAANARPHCCQRRPVMVDLPPGTPYNRQVANCCRGGVLSSLVQNNLTSTAAFQMVVGEFALAKDDGSGNMEPEKPWHFDIGVPGYTCSNATTVAPTRVKVDKNRYEQVLRKPFFFSFCIALSRPFKDRAARVLLHLHPPYTAAPPEDRAELRVVSLLLRCLPCSDMAGDLLVLAVPGVGGAVVLHLHVDVLQRHDRGLPALQLRLPRVPNVATMRQAKPVPHQFFVDQPGTPALPASSDDEPAAPIVWCSEHMCPIRVHWHVKVNYRRYWRVKVTVSNYNLVKNYSDWNLVLQHPNLRSLTQLFSFNYKPLVEYGSFNDTGMFWGLRFYNEMLLQDGNVQTEMILEKESDFTYSGGWAFPRRVYFNGHECVMPPADQYPRLPNGASALRGSLIADSDWRHVTLLRKCATQTAWPACATHTCGLVYLRGLPTRP >Sspon.08G0012040-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:50518517:50521714:-1 gene:Sspon.08G0012040-4D transcript:Sspon.08G0012040-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lysin motif-containing protein, Pattern recognition receptor, Peptidoglycan and chitin perception in innate immunit [Source: Projected from Oryza sativa (Os06g0208800)] QMPTPATALLLFLAAAAAFRGATAKTTIEPCAGADACPALLGYTLYADMKVSEVAALFGADPAAVLAANALDFASPGAANRILPKGTPLRVPTRCACADGVRKSVAVRYAARPSDTLGSIAEVVFAGLPSADQIRTANGLAAEDPDAPLSPGQKLVIPLPCVCFNSTDNNLPAVYLSYVVQVGDTVESIAASHTTTVTDISNVNAMGSPIVAPGDILAIPLLACASAFPNSASDYGLLVANGTYVLTAGNCVECSCGPADLSLYCTPASLTASCSSMQCSNSSLILGNVTAQPTTGGCGVSSCNYAGYVNGTIATRLSSGLQPMCPGPHQFPPLTAVPTVANHGSYSPSPAPGPGDAGGAVPGGSSVSPSNGPAGNASQAPAINQPCRFLLIFILSLTLSLRMWIPV >Sspon.03G0022950-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:88647397:88652456:-1 gene:Sspon.03G0022950-3C transcript:Sspon.03G0022950-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAHVVTPLTICRLRRGVPLHHSRRLLAVAAAAPEAPAPIPALSQSPPSPVPPRKGYFPKRGETVELTCEALAFKGKGVCKVAGSTFVLLCDGALPGERLVARVSRLRRGAFAEAAKLRTLEPHHDAVEAPCPLAADCGGCKTQSLAYAAQIRHKHLQVRDLLVNFGKFDPKRLESSEPDAILKPIVPCDEIFRYRNKMEFSFGTKRWVQKELKDKDEELEVKQETNENDGYALGLHAPGFFDKVLHVQKCFLQSEPADKVLAVVQETWMDPAIGLTPYDVHKHVGFLKHLMIRTGRNFSTGTPEVMVNFVTSCYKPDLLMPLVDKITKIPEVVSVINNVNSSVGNTSVGEQEYTLYGKPNITEMLRGLTFQISANSFFQTNTKQADVLYRLIEDSAGLKGDGSEIVLDLFCGTGTIGLTLARRAKHVYGYEVVPEAIADARKNAKLNGINNATFVQGDLNKINESFGKEFPKPDIIISDPNRPGMHMKLIKWLLEVKAPRIVYVSCNPATCARDLDYLCHGVEEKDLRGCYELKSVIPVDMFPHTPHIECKLSIYSDIERTRLWHEYHATMYQAAPKKLGLLKKKN >Sspon.03G0020820-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3A:64402501:64403176:1 gene:Sspon.03G0020820-1A transcript:Sspon.03G0020820-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMGRYLELKKMSTTTTAALSMKLLIDRKAQRVLFAEVSKEVVDFLFSLLALPVATAVKLVGKEAMVGCVGNLYATVDKLDSTYVQTGAARDALLCPTVLSPAASTNSSLLRLPAALSSGQPKSYFKCTYGCYVVTDASGTVCSGCGNRMQAAVTFVAPTSGQQAQNASTGGAKGFVQGIVTYTVMDNLTVTPMSAISSITLLSTFAVRDIGDHQEKTVQLGYNE >Sspon.06G0031110-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:59240170:59252950:-1 gene:Sspon.06G0031110-1C transcript:Sspon.06G0031110-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPASGSVTQSGVADSVKKGSSMKEDASRVDRQLVKPKRRACRFDDDENEGQRTPLHRTSAKSIGEIHRTSAKSIEHVPSEKAGTRDMLAMLLEKKSGPAREEKSRSVRMSPVKHEPVFSSPSQDKVHARHQVTGRRLITGSVETPAVIGNKMNLVDCKSSAQVKMPASSEVKKLHSSSSKPSHLTSGNSHSRNYPASEKIALLPKSEETKAKSKPTASVEHKVGTNLSTEWTGKRDHLKEERSSSVDKAASSEPNPDSAKSIKHLIAAAQARRNLMASAHAKFDGSSTDNAAITSTPYGLPGLSPSPVFRIPSPPRIAFPESPGQRILKSPMELDNGHGKSPKSRQASGSPSGGTDAAIARDALEGMIETLSRTKDSIGRATRHAIECSKYGIAGEIVELLIQKLESEPNLHRRIDLLFLVDSITQCSHSQRGVAGASYVPTVQAALPRLLGAAAPPGAGARENRRQCLKVLRLWLERKIMPEDILRKYMGDIEVPNDGTSTTLMLKRPSRAERSVDDPIREMDDMLVDEYGRY >Sspon.08G0004140-3C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8C:11909553:11910650:1 gene:Sspon.08G0004140-3C transcript:Sspon.08G0004140-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSFSNLTDAACVVYQFKINGYSATKSMARTDSLPSKRLAVGGYEWEVHYTPSHVVVSGGWIAFRLVLLSAPRRNDVKAAFRCRLLYTSSNSYYGHERGACVRDSNGNVEGQMSHAFKRAKESSGWIPLRKRNDVEAARVIENDSFTAECTITVVTELPPEPDTAKTIVVRPPIPPLSGLHSLHHDLGELLGKATGSDVVLVVSGETFAAHKAILASRSPVFMAQFFGPMKETRSERVEIMDMEAAVFGAMLRFIYTDMVPELERQEDGVVIAQHLLAAADRYGLDRLKSMCEDKLCDGTRVETAATTLALAEQHGCPKLKARCVEFIAANLDDVMATEGYKHLMTSSPLVLNDLLRAVRGRKN >Sspon.07G0031400-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:4174435:4175678:-1 gene:Sspon.07G0031400-2D transcript:Sspon.07G0031400-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGSNRGGAGEESGSDHDGGLRKPLLHTGSWYRMSSRQSSVAPGASSMAVLRESHVSAFLCTLIVALGPIQFGFTSGFSSPTQDAMVRDLNLSISEFSAFGSLSNVGAMVGAIASGQMAEHIGRKGSLMIAAIPNIIGWLAISFAKVLNKYTFPEIIFTHCCF >Sspon.07G0037650-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7D:60606909:60609979:-1 gene:Sspon.07G0037650-1D transcript:Sspon.07G0037650-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding TV >Sspon.01G0000200-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:1449240:1462504:-1 gene:Sspon.01G0000200-2D transcript:Sspon.01G0000200-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGAPKRLHEEGSHTTPAKRPLDDTSLYSSPGKVIQSSGSDFHSSFEHDGRFAKMQRVEPRDDKRPSLTHRMPDSCTNFADHPISSDSRSESKQNKDARDTKADDRETKADARDVYSDSRIEIQANKIQGDVKVDNRADESEIKADRKGHPDYKGDIKFDKDCHSAVPANIGWKDNTEHRGKRYFEQPADNVDGRLTLPHPSLQGTDETLKFPISVEERNSKDAHESVGDNKAEPRSEDKFKDKDRKRKDEKHRDFGAREGDRNDRRTGVQLSGSGVERREMQREDRDADKWDRERKNSLRDKEDNDRGKDSARKDSSVVIEKDNTILEKASSDGAVKSAKHGNTATESKAPKHDLWNTHDLWNTHDRDPKDKKREKDVDAGDRHEQRKIYNDKESDDNGTEGGMEKDKEVSGSFQRRRVVRPRGGSQASQREPRFRSRMRDGEG >Sspon.02G0018800-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:63472948:63479314:-1 gene:Sspon.02G0018800-3C transcript:Sspon.02G0018800-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LVGTMEDTSRIPGYFKNKGILITGATGFLGKILVEKILRVQPDVKRIYLPVRAPDAESAKKRVETEVIGKELFGLLRETHGKGFQSFIEDKVVPLAGDIIHENLGVEGPQLSQMTRELNVIVNGAATTNFYERYDVALDVNVMGVKHICQLAKQCPNLEVVLHVSTAYVVGEKQGVILERAFKQGETLRETETEAEAELDIDAELRLARDYQRQVAGDDAEQKNERKAMKELGLARAREFGWPNTYVFTKALGEMVLARELAGGVPGVIVRPSIITSVQKEPLPGWIEGTRTIDAILIGYAKQSLSCFLADLQLTMDVIPGDMVVNAMMAATVAHASSSAPAPDQTPTPPPTVYHATSSLRNPAPYAVLYRTGLRYFSDHPRVGKDGRPVRTRKVHFFGTVAGFTAYMVLRYRLPLELLRLLSLLCCGLLFSRLYADLDRKYRFVMRLVDLYGPFALFRGIFDDANVERLRIAMPAADRVEFNFDPKTVDWDDYFYKIHIPGVM >Sspon.01G0042600-3D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:60283573:60284048:-1 gene:Sspon.01G0042600-3D transcript:Sspon.01G0042600-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHPLLSTLVCSRLLPVGKGNCVPRPRLCATSLRQSGRVRSLSVRCEQGAKGGGGGGGLDVWLSRGAMLGFVGTVTVELTTGKGVLQNVGLTAPLPTVALALTAVVGVLTAFLIFQSGSRD >Sspon.01G0002270-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:5406668:5413343:-1 gene:Sspon.01G0002270-2B transcript:Sspon.01G0002270-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRKIHGENDSERITSEGTAARTRPLSIKDIMLRREKKAASESKKAKEGLENGKGKSTHLEQGREHKSRKDPRDMPVEGSRKEKSSDATREGSKKENPRHIPRENPKKEDMRYAPKEVSKKDNSRDRPNTGAKMDDLKDAPKVPEKEGLRDAPKKASKKLPSGDDNHSVRKDKGIHHSQKLVTNMSGRADESKDRNLSEIRERKGDVMRSEYQKEPGKRWNDEAVGDDEIKFKSEKLRNETKRKDRSFDNERSSEVERPMLKKHDSARFQDSKHSDRNAGRNEYAKPYHGEPGFKRRRSRSRDHDRERYGRSISPPLREERHNYRGRDFGNYPPYYSMEKSRRKYAEVDKQRSSGSGGYIGGSHQKYESRLGGYSPRKKKTSLQAEQATTKIPSKVIQSPEKKSATWDQPPVKANQSNFPTTFLPTVGQMAPTPFSFSAIKKDPSTTVGTVLAGNSLTADSVQLTQATRPLRRLHIENLPDSATEDKLIDCLNDFLLPTGIKPQRSKPCLSCTINREKRQAFVEFLTPEDATAALSFDGRSLNGSALRIRRPKEYVEMVNVTPKKPAEETALISDIVADSPHKIFIAGIAGAISSEMLMEIVSAFGPLAAYLFLFNSELGGPCAFLEYADRSITSKACAGLNGMVLGGCVLTAVHVFPNPPVEAANEASPFYGIPENAKSLLKEPTKVVQLKNVFEREEYMMLSKSELEETLEDVRVECTRFGAVKSVNVVEFPAAGGSAAEDNIVELKIECTEFADAENTAKAVSEYSVPINQSIDVLNHSEASETKDVDLIPESQDQKDKHFPSNAALCESKAPVADEDEELDETQSRAVLPTSQHAEAGHTEAAVDENKHTVGEVTATVMDDDAVEKSHQDPRTSETCSPAEPTDKVEKPGGYSEQGADDVTENRPEKVPAVETSDTGFVFEPGSVLVEFMRKEAACIAAHSLHGRRFGNRTVHAGYAPYDLYLQKYPR >Sspon.02G0022630-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:76523066:76527471:1 gene:Sspon.02G0022630-1A transcript:Sspon.02G0022630-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSWADSVANAEESAPATAAAANGGSVATHGNSRPTRSSYVPPHLRGRPAGAGFDTQAGLVAPAQGGPLPSAAAQPSGQGAAVGGPRWAGIVNGGGGGGSVGAPHQGYGGGGGGGGRGAWNSRPGGWDRRDREPDPFAKAEAEEVDFEGQENTGINFDAYEDIPVETSGHDVPAPVNTFAEIDLGDALNENIRRCKYVKPTPVQRYAIPISIAGRDLMACAQTGSGKTAAFCFPIISGILNSPRPQQRSRSTRTACPLALILSPTRELSVQIHEEARKFAYQTGVRVVVAYGGAPITNQGVRERCGNPVATPGRLMDLLERARVSLQMIRYLALDEADRMLDMGFEPQIRKIVEGMDMPQRGERQTMLFSATFPKEIQRMAADFLADYIFLAVGRVGSSTDLIAQRVEFVLDSDKRSYLMDLLHAQKANGTHGKHALTLVFVETKRGADALEDWLFRNGFPATSIHGDRTQQEREHALRSFKSGATPILVATDVAARGLDIPHVAHVINFDLPNDIDDYVHRIGRTGRAGKSGLGTAFFNESNTTLARPLSDLMREANQEVPKWLEGYAARSGYGGGGGRNRRQGGGARFGGRDFRRDRGSGGGYGGGSYGGGGGGGGYGGSSGYGGGYGGGGGSGSGYGGQSSWD >Sspon.01G0020700-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:81712977:81715930:-1 gene:Sspon.01G0020700-2B transcript:Sspon.01G0020700-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWTGQKNICMWWAARVLVNSVEACRHGRKTEAASLGDKPLVKPNSFLNMLGIGSTTSKQGNGREIEISETKGSSTRHLCNISLPLTVGGQRTIMIFSSTPVKVRPVKPARIWSPRTTEPQDNSDRKINSSGSNVIADLDAQSQSISVLLSRPIFALEFSSLRMHVDAPKIVVPHCKKKKSYAIIRSVMIKPRQEAISVVEPVDASQQLRFHEVQRDRRQGSADEVREPLSNLITGRWWRWRQRLLQRQSAHQGRLLLDPHHRSTNWDRRDRFLAGGGDRNRRRAGQDGLDGAGGPSRGALLAAVDNAGSAPEPRRRRTTCCRPGRSGGGSRRWEQVLPLERAVPVVLDGEVGPPGKPSGDDRPAVG >Sspon.07G0016200-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:57801169:57805981:1 gene:Sspon.07G0016200-1P transcript:Sspon.07G0016200-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEVFDPPETERKAETKIGTTIRKDDYQAQFVDTKYVTERGSHACSKDPLPLGRDYHRQKPHFPTSACSWEKSSALEAASSSPDALGHALGTMRTKTNTISARPDYLASYPTSAPHMRKCPGAVELDYGLDHSEHCYRRSDRSTSFSSRNGSRYADEINPVPCQWCFEDEIPSLSRKKYDEAPSVPRGLQYGDEIPSLSRKKYDEAPSRSRQWHFGPQTALSSGRLDYGDEIPSLSLHHGYRDRIPLRSGHWCHDAEARILSRYQQGTSHGNNHSRQNFARINTNEQAKVITSKHSFAKPRVVNRVVNSSSHHMINMKGNRWRNSEDLRDQVRGPRANKLNNASVSSTVKDIVSPLIRRNQYNRSDFPVEYKQAKFFMIKSYSEDDIHKGIKYNVWASTPNGNNKLDAAYHEAQNLMKDNGERCPVFLFFSVNTSGQFVGLAEMLGPVDFKKTMDFWEEDKWNGFFPIKWHIIKDVPNRLFKHIILENNDNRQVTFSRDTQEIGLPQGVQMLKIFKDHPQGTSILDDFDFYEEKANARRAEKRGNSESTYEARFSDDLKPLENLEASMESWSLFENWE >Sspon.02G0026980-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2B:88320689:88322248:-1 gene:Sspon.02G0026980-2B transcript:Sspon.02G0026980-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSAVIQESFRQILSGLVKKYDDKEEINGIRNIERLEMAHIRLEAALETSNKWQITDTSMLRWQKKLKRAAQECDEKLHKCKQRILEEERMEQDVMNSSIPKRIGHATKSFVFSIFNRNNNELNPSVVKRFEWYADGASEFLRFFELGGTPLCHIMPSGSLIKNLFAGKQLHHKIVRGSQQPLCQLWLIPFSTAEQGTEVALFFIKKDGTTEGNIYFSMIVQFSESTDIVGIAVRSLQLFAPHVRFIVENITNELTQLPTQDFSWAPFAYSYDSHLYNLHNIASQWFRPKPLCCKQQQHHDVPHFSNLNMAGLSDVSLEPVIEFNLQWQVSHSVYCKGKTSLSEGTMSLQNSPYLKVGIAFAPHGSSEDMLPVNKSSETMEIVGGQQHVLHTDFSLEQLEEIMLAKAVDYFCHNGEVSIYQMIWRSKHGAARIHVEKPSINTRRTSMRAQRTLGGPSNRKLLRGQGQKIGNFIGVLTHFLDLWGAHVPIRLQSSLMDWMHKEKETLFAERKAKPVRNI >Sspon.05G0001450-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:3489287:3490801:1 gene:Sspon.05G0001450-2D transcript:Sspon.05G0001450-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQVQRMLPSSPLPVINLARLGKDPATRVLAIQDIARACGEQGCFQVVNHGVSKSVMAGAFEAASEFFQLSPEHKELFASTDIRRPVRYDTSSRDGISKARSFLKHYANPLEDWVEYWPLHPPSYRKKMGDYAVEMQRVSMQLMDAILQGLGLRPLYMQEKLEKGVQFLALNNYPQFSHRGDKVGLAAHSDYGFLTILQQSSPGLEVMPHDRDTWTAVPAIPGALHVHIGDHLEVLSNGRLKSLVHRAILNPDKARISIASIHGLSMDEKVCCAEQLVDEVNPAMYRESSFQDFLEFLPSNINNYRRFVESLKIDRA >Sspon.03G0015170-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:68863218:68869605:1 gene:Sspon.03G0015170-3C transcript:Sspon.03G0015170-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/arginine-rich-splicing factor SR34 [Source:Projected from Arabidopsis thaliana (AT1G02840) UniProtKB/Swiss-Prot;Acc:O22315] MVRLAAILPKLFNRTSAGALFAKVRHGSPCGHKTMSRRNSRTIYVGNLPGDIREREVEDLFYKYGRILDIDLKIPPRPPGYAFVEFENPRDADDAIYGRDGYNFDGYRLRVELAHGGRGQSYSYDRSSSYSSARRGGVSRRSDFRGCYGHWFTFIGIVARSEGLLLERTHLLHFRTTCGEPAMSVSLMYTVRPEIRKLDDSQFRNAFSRAYIRVRDYDARSRSRSRSCSYSRSPSYSRSRSPKSLSRSPSPVDESLLLQDLRAEALGAEAHPVLGLLFAWMSAVSMAMDILLSFVLLVVDGPLADEVAGNGLHGVDKISLPRVSSHVHLSDAGLV >Sspon.02G0031880-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2A:116582572:116584431:1 gene:Sspon.02G0031880-1A transcript:Sspon.02G0031880-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative pentatricopeptide repeat-containing protein At3g25970 [Source:Projected from Arabidopsis thaliana (AT3G25970) UniProtKB/Swiss-Prot;Acc:Q9LU94] MAPAPAMHARGLAASTFALGSALRSAAAARRPELGAQLQSFAVKSGLADNVFPASALLDVYAKCGRLSDARRVFDGMPARNTVSWNVLIAGYAESRKQTQAMELFLEMQRVGLVPDEATFAALLTTIEGPSWYSLMQQLHGKIVKYGSALGLVVLNAAIAAYSQCGVLADSRKIFDGIGCRDLISWNSMLGAYAYHGMDDEAMRFFIRMMQESGVQPDMYSFTSVISVCSEHGRDDRRGRSIHSLVIKIGLEGVTHVCNAMIAMYTRFTENCMMEDAYKCFSSLFFKDAVSWNSMLTGYSHHGLSSDALRFFRCMREENITTDEFALSAALRSCSDLAVLQLGRQVHSLVIQSGFASNDFVSGSLIFMYSKCGMLGDARKSFEEADKSSSVPWNSMMFGYAQHGQAQTVTDLFNEMLDLEVPLDHVTFVALITAYSHGGLVDEGSEILNTMETRYKIPLRMEHYACGVDLYGRAGQLDKAKELIESMPFQPDAMVWMTLLGACRIHGNMELASDVASHLFVAEPRQHSTYVLLSSMYSGLGMWSDRATVQKVMKNRGLSKVPGWSWIEVKNEVHSFNADDRSHPRMDEIFDMLRMLLQVAQRLCSSGDEEILMTISSDT >Sspon.07G0001790-3C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7C:3270563:3271210:-1 gene:Sspon.07G0001790-3C transcript:Sspon.07G0001790-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDGGDDFTFAAAQPPPRLLSGGGGGGCMGPPLLYPIFGRPRSPPRAAPAPAPEPETATARVPLGRLLLVDRDPQPPPAPADDVDDDEGLDSVPAEMFCPWSPGWSAAAAAPSPARCKKSGSTGSVLRWRPWLVVGRSQSDGKEKFVFLSPAASSSSGRSSGSRNQKGICAVAAAAGGGAHAWSCYVNDNRGGGARCRRSFLPYKQDLVGLFANAT >Sspon.07G0009320-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7A:26238513:26241381:-1 gene:Sspon.07G0009320-1A transcript:Sspon.07G0009320-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGSKNGPSKGHISDKNKNSKEEPSLDHGFSCKNKCDSDEYNDQPCASSSQLQNIPNKTSSLVRDRGKVLHMEDEPSQYKSKENRGPFSADSMAVMVGNVDRNSLRMMKGKKKKVSSPQAALSGKKLKFKTKKQLNDNIDRKSYGEDEDYALDHRIDLANSYPTDKSVRLEKKTISSGEIGNKSDVGNDCDPNISAMFDEKSDPLPLVYRNGTMESSATLPSPEPILINEQWVCCDKCENWRLLPYGMNPDILPKKWLCSMQSWLPGMNSCKITEDETTTALRALYMVPAPENNIKDGGHDNAMSGIGTAIAPTFQGNIQSISSLGKLKGSLDGANVANTLDSAGEIIGKDQSHRMRSSVGVDHDNLRASKKMKKESNGPVMKHQPSEFEISKCSPASETLKNIQKRSGISPGMGKYGLSSSENSGPRDSSSIKKRKLKQRQSNQHDLNPRCSNTDTDGITKQNISETNAVKIKTRPELKLSKTDRTATDSRGTVTGVDDSISTDKECLSEQLQENTHFQHPSLSEGTTKRKIGHAQTSTAATSSSSKMSNSHKYKADFQETRASPVESVSSSPLRSSDKNPLGRHRSSSWTVTENVQSQESGKKGSSCSNRNYDLGFDCDKAKARISGCFNGDVGHHVQKDRDLLKDKQDMMNACLINKGSGLGIRNVQLNPEHKVNPDVLSSHDNHGHKQPTGCQNGKTPPHFGSNQGDHANLTYGNIKPDKGNIPHKDQKTNPSAVKGSKQQPSLNNASNGDASYKAKQIEKAVIENLETRKQVTLNGDASNLTSASVLLKEARDLKHLSKRLKGMTLRVQACALKRV >Sspon.06G0008180-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:36709781:36714061:-1 gene:Sspon.06G0008180-3C transcript:Sspon.06G0008180-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASASRLLPPVPPQAAQLRGPRDRLAVPRLRCRAAAAAASATDGAVLLERGGLAAVAVREFVTLDELRAAVRLRVRTFYEYAVDSVGTEDHRKALADREFEALQDRISGKMINFQRVSCINGTVPLAPSLISAEELCSTCKFVEDGEERVVVGSLDLNQCLWLPDELTGKRPGVNEDIQTRAYLSNVCVAKELQKKGLGYTLVDKSKKLAREWGITDLYVHVAIDNIAGQKLYEKSGFVYEGEEPAWKARYLGRPRRLLLWLDMSERKNKTFER >Sspon.03G0004860-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:13665252:13681878:-1 gene:Sspon.03G0004860-1A transcript:Sspon.03G0004860-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVGSKSLPARRGNEGHENGDGAVAREEEEEGDMARGGSVGGSRSRKQKAARYDRCFSGLELSIGPGPLKDVDAGKLKGQIKRWAKAVVAFARQISFGSPRSVRTPGGDDDTPRSAPLRASRSSSRLGARGGAKEGASDLSRLPNSFPSSSVVASPESRSRLVLPAPRPIPSVAMNPEYDYLFKLLLIGDSGVGKSCLLLRFADDSYLESYISTIGVDFKIRTVEQDGKTIKLQIWDTAGQERFRTITSSYYRGAHGIIVVYDVTDQESFNNVKQWLNEIDRYASENVNKLLVGNKCDLAENRVVSYEAGKALADEIGIPFLETSAKDATNDGKSTSCNQRAATTSSMSVGSKSLPARRGNEGHENGDGAVAREEEEEGDMARGGSVGGSRSRKQKAARYDRCFSGLELSIGPGPLKDVDAGKLKGQIKRWAKAVVAFARQISFGSPSDYLFKLLLIGDSGVGKSCLLLRFADDSYLESYISTIGVDFKIRTVEQDGKTIKLQIWDTAGQERFRTITSSYYRGAHGIIVVYDVTDQESFNNVKQWLNEIDRYASENVNKLLVGNKCDLAENRVVSYEAGKALADEIGIPFLETSAKDATNVEKAFMTMAGEIKNR >Sspon.02G0004110-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:12663808:12665098:-1 gene:Sspon.02G0004110-1A transcript:Sspon.02G0004110-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VVEVSTSKTGKHGHAKCHFVAIDIFNGKKLEDIVPSSHNCDIPHVNRTEYQLIDISEDGFVSLLTSDGNTKDDLRLPTDETLVAQIKEGFESGKDLVVTVQSAMGEEQICALKDIGPK >Sspon.02G0037990-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:37942472:37949019:-1 gene:Sspon.02G0037990-1B transcript:Sspon.02G0037990-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPPGAHLDQHYVVDHHFFPGHGHFNSETLEAVLRPTRAAPECEAAVTAPQGGRRNGAPAAVQGQGHARARKRPFRTDRHSKIRTAQGVRDRRMRLSLDVARDFFSLQDRLGFDKASKTVDWLLTQSKPAIERLAATEPSQRSVGGSGDAALSPPTSGAADGSGKRGGGVAEKLGSRSGGSASMEIEHTCELDRLVSAAPVLREYYYGLSEMVSNDNGGDGDDDGEYEEDGDFLDEPPHPNPTGFPMLPPGAHLDQHYVVDHHFFPGHGHFNSETLEAVLRPTRAAPECEAAVTAPQGGRRNGAPAAVQGQGHARARKRPFRTDRHSKIRTAQGVRDRRMRLSLDVARDFFSLQDRLGFDKASKTVDWLLTQSKPAIERLAATEPSQRSVGGSGDAALSPPTSGAADGSGKRGGGVAEKLGSRSGGSASMEIEHTCELDRLVSAAPVLREYYYGLSEMVSNDNGGDGDDDGEYEEDGDFLD >Sspon.02G0007730-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:22611868:22613626:1 gene:Sspon.02G0007730-1A transcript:Sspon.02G0007730-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVLLCICVVLLLVSAASAASERCVRQGKAAYSPSLSPVPHGSSGACGYGAMAAEINGGFLAAGGPRQHRGGLGCGRCFQVLPPAVSFPNHSPMRCRDAKLCSAGGVRVVLTDFHRSNRTDFLLAGPAFAALAKPGMAQQLNRLDALSVEYKRIPCEYKEKNLSIRVEEASDKGRGNLVVKLLYQGGQTDVLAVDVAPVGPSAEWRFMTRVYGPVWSTPRAPAGPLQFRAVVTGGYDGKWVWADQEVLPADWRPGQVYDTGVRIADVARDDACRGCAAATAAAEMDDWK >Sspon.03G0034790-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:39795545:39798030:-1 gene:Sspon.03G0034790-2D transcript:Sspon.03G0034790-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKRPNLGFFDYSLKLNSAVPLKKPSFASARSVSSIRGTAVKSASIKPAPPVSRHGSAIQKHNVPPPKVPTIADVPSRAPALVSCTGLVSPGRSGDFVSIDETMSTCDSMKSPDFEYIDNQDSSMLASLQRRTNEHLRILEDRDVEENKWKKNAIAPMKIDRICDVDNEYEDPQLCATLASDIYMHLREAETKKRPSTDFMETIQKDINPSMRAILIDWLVEVAEEYRLVPDTLYLTVNYIDRYLSGNEINRQRLQLLGVACMLIAAKYEEICAPQVEEFCYITDNTYFRDEVLDMEASVLKYLKFEMTAPTAKCFLRRFARAAQACDEDPALHLEFLANYIAELSLLEYNLLSYPPSLIAASAIFLARFILQPTKYPWLPSEMSCKLTYTSQYLIVNWKQNSTLAHYTQYKPSELSDCVKALHRLCSVGSGTNLPAIREKYSQHK >Sspon.05G0020480-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:86985637:86988272:-1 gene:Sspon.05G0020480-1A transcript:Sspon.05G0020480-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKAIKRRPCSTETDKTAEKDMDTTLSDPITEPLLGNTPQEEKSKIYEPITRSGFLDGTRQECLRWVHLLATFVAQSARNIETDVHEERLRLLRQRIDVPYDCSSVKHQDALKELWRLAYPNRQLPPLKSDLWKEMGWQNSDPSTDFRAAGFMSLENLMYFARNYPDSFHRLLHKSDGKRAEWEYPFAVGGVNISYMLVQMLDLQSGKMRTKAGVHFVQLLEDDDVLDSQWLARRASYMEFNDNNICMLMVSHVIIQFELIISMSILSGGSEVYTGSVRARAYYRRYFSHSRHAIIQNAEKLALFILDRKRDTF >Sspon.05G0014420-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:53668166:53668510:-1 gene:Sspon.05G0014420-2B transcript:Sspon.05G0014420-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding IMVIPPPERAARVTRFLKPYLLRMHFTNKYVSAQVIHTPTSTVACSASSQEKLLRPNMESTRDVAAAAKIGKLLGERLLLKGIPAVSIHMKREQKYHGKVKAVIDSVRESGVKLL >Sspon.06G0006750-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6A:24558102:24558357:1 gene:Sspon.06G0006750-1A transcript:Sspon.06G0006750-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding KDHTEEAKPAKDKKEKKPKKEKKEKSKDKEKEKAGEITDTTKLRAKLEKLDAKIDDLKAKKQEIVAQLLELEGTSPGSGEAAAQP >Sspon.07G0032220-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7C:47434913:47439933:-1 gene:Sspon.07G0032220-1C transcript:Sspon.07G0032220-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPSDRFSAVSSWYFLMIFWFTAVRGLITCGTCARSSTSFAATSSSSSAPNALFGATAVAYLGHVISAAGVAMDPAKVQAIHDWPTPRSARAVRGFLGL >Sspon.05G0023090-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:9974937:9991409:1 gene:Sspon.05G0023090-3D transcript:Sspon.05G0023090-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVGIEAARWVVGKALGPAAGGVLEAWAASSELGTNIRGLRMELLYAQGMLNNARGRGHGREDIQNPALAELLQELRDLAFSADDALDEVDYFRIQDELDGTYHAADEHAGGCLRNHALNARHTIRGIGKMFGLSSSSRSASRGDSDEPSEDTRGVSCGAWPCRGHGSPDGGEQEYKANRGVLCCAWPCRRATTPQPTQAQPRLPSTEQGDQEEVHSGCMQQLASAARNTINIVGKHIPCNSVPSPVQNDANSNMASNGRRFLCCGRPNRAPQREHAIKVPKLKFDRVEMSKKIKEITEQLKPVCAKVSTILNLELLDSNCNIAQCIATGLDTMLSNKQGHRPFITNRAATSRPVTTPELIEPTIYGRQGEISKIAYDITTGDYCDKDLTVLPVIGPGGIGKTTLAQAIYKQLYHHFEEKIWVCVSTTFNVYKLTQEIVDNMNLGKNDSPEKLIEEKFKSKKFLLVLDDMWSCSDYEWRRFLMPFTKGQIKGSIILVTSRFPSVAQIVQTTKQWIDLEGLNSESFKELFIAFVFGDKQSGDEYSGLLDIGFKIVGKLKGSPLAAKTVGRLLRKHLELDHWNRVLESKEWELENGEHDIMPALKLSYDYLPFHLQQCFSYCALFPEDYKFKAEELIHLWIGLDVLHSHGGNRRIEDIGLNHLTELVNSGFFRKEEDDKLPCYVIHDLLHELALKISSHECLSIDSANVGSTQIPPSIRHLSVNIDDTSVKDKMTFDTCQKDLSTLVKRLKVGSLRSVMLFGQYQGNFVKTVDGLLKEAKALRVIFLSNARYNFKDLLHNFPYCVHLRYLRIRSFHERRILPDIISRFYHLRVLDLRTCYTQPYSTTKDMRNLVKLRHFLVEDGEMHSSICEVGKLTSLQELTRFVVKKECQGFELNQIGHLSELCGSLDIRGLEKIELLEEADEAKLIQKTHLHELILRWDNGHSNRDPTQEERVLESLKPTSNLLKLCISGHAGFTCPSWLGANFSVPSLEFFRLDDVSWKNLPPLGEMWLVDEQGKEYQSCIPRQSFDGLKRLELVEIPKLKRWIGNSPCKLFSHLKELIIRNCLELTELPLTHHTGCEPEHEDHMNWFPKLELIEIVDCPNLSSLPCVPSSAMCSVKIEQVGSTLKHLNLRKNYRSEYRLGIEGKDALDNSFWRVLSFHNLSNLEVLEVTRCPPLSLDNLQMLSSLKTLEISDMTNAFLVPEGDGQVGYQFPVESVSIKRSGATGETLTHLLSYFPKLRDLEINSCEMLTGLSVVNQQKKTEALQRLVTSSVIEVEKEAHIVPQEEQQYDTSGEEEIAVAATTSEGLLLLPPQLQNLQISKCPNLVLCTDSLDYDKDAGVSRGWGLHRLRSLRSLGISDCPRFLSSYSSSSSSSSCPPFPTSLERLSLGGAVGTATLSPLSNLASLTDLTIWGCEDLRVEGLRYLLAQGRLTTLTVRGTPNFWAGPEPPLPHEQEFPSSSSKLQELETDDVAGVLAAPICTLLSPSLTELRFWGDKEVERFTKEQEDALQLLTSLEAIRFWFCDKLQCLPAGLHGLLNLKRLNIYRCLAIRSLSKDGLPSSLQELAIVYCPAIRSLPKDCLPASLQKLEISRCPAIRSLPKDFLPSSLQKLVIRGCPGIQSLPKVNDLPSSLRELDVQYSENDELRRQCRKMEFVIGAASWALSKALASAKDTSAETWAATKGIGDNFDALEMELLHAQWILNNPHVKQSHSPPIKELLVKLRQVAYDADDVLDELEYYRLQDDLDGTQHATNVDDDGGGGGLLLHARSTANAIGKRLTCCSFPSVDDDPRTSVLEEDPNTKANGWGFFSCAWPSRSQQRKHDVKTQKLKFDRVDMSTKMKNISLEQLGTNPLLGKTRYTIHGNAMNRATTSAGTIEQKLYGRDQEVRNIVDGITSCKYGTNQLTVLPIVGPGDRLAEELLKQIPRVNGERGNASDQELITQRLEAKRFLLVLDDVWTCTEDEWLKLVAPFIKAGEKGNMIIVTTRFPSVAKLVSTVDFPIRLERLDEDAFMNFFEVCVFGRYGESSWEDHPELRDVGIEIMKKLKGFPLAAKTVGRVLGKQLTLDYWRRVLEWEQTSGDHDIMLSLKLSYDYLPFHLQQCFSYLAMFPEDYEFGHEELIYLWMGLDILNSHDENKLAEDIGQSYLIDLVNHGFLTKNESDYGSSYYVVHDLIHELAMKVQSKECIRIRSSKVMSTQITPSVRHLSIIVDETDVKDRVSFERYKKDLSTLDRRLKIQNLRTLMLFGKHHGSFAKTFDDLFRNAKALRTIFCTEHHMLLVHLRYLKIESSYNEDICIPALCRLYHLHTVDLHGWKGRRLGSTRSVSNLGNLRHFVVPDDAMHSGIFEVGKLKSLQELKRFVVKNESRGFELGQLGDLVELGGSLQISNLERVEGKEEEAEAELSQKKNLRKLIMDWDSNRSNKDSTLEDQVLESLMPSRNLRELCIRGHGGIDCPSWLGANISNRDLELLQLHDVSWKNLPPLGELWLVDEHGEEYQSYIQEQRFSFLKRLELVKISKLKRWVANGPRELSFNLEVLIIKDCPQLTVLPFRHHTECGPEHNDHMTWFPNLLELEIVDCPKLSSLPRIPWTHSLCSAKIEQVDSSFEQLLYRKHRSECCLEIKGKDTSADTAFWTVLAFGNLTELQVLEMKRCPPLSMDHLQMLRSRQTLKVHDSSNAFWLAEVDGGVRYEFPIQHLTIQQCGASGEQLTHLLSCMPNLHSLNMGECEKLTVLGVVDQQETQAPAQPPSDSIEELEDTQIGGMHQHQHQHDAGAEEEILASPQGLLLLPPQLESLRISNCPNLVLCPVSLDAGIGGGGGGLQGLSSLRSLKIQDCPRFLSSSSGFPFPASLEELSLQGAVGMAMLLPLFAGYQPSSSKLQKLWTDDIAGVLTVPICTVLSSSLTELYFWGNQEVHRFTKEQEEALQLLTSLWEIRFQECNKLRGLPAQLHRLPNLQRLYIDSCAAIRSLHKDRLPGSLQELVIKDCPAIRSLPKVDDLPSSLLVLDVQHCNSKRLRRQCCKLIGTIPIVKLTK >Sspon.03G0011290-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:30638246:30646020:1 gene:Sspon.03G0011290-1A transcript:Sspon.03G0011290-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHSMKPPPAAGSSAASSARRWVLATSICSLACLFLLSACILLAAAGYRPFQPRTAAAWDRFSRVQQKAAPASPPPLAPRGSSHHAAAPAPGAISPSAGGGPADYRSPEEEDGGPPAPAPAPAPSEEAGEDDEGAQCDLFDGEWVEEPAGSYPLYGAAECPFLSDQVACRRNGRPDSGYERWRWQPRGCGGRTRLGGAEALELCRDKRLVLVGDSLNRNMWESLACILYAAVPDRSRTSIVDDAGSEYRIFRAMDYNCSVEFFWSPFLVKLGTKDDRTKALMLDQLPPMLQRTLGADVLIFNTGHWWTHTGKLRAWDHLEWGGKKVQMAGEAAFDGALRTWARWVDYNIDPSRTRVFFRSVSPEHKSINWCYNQTAPISKGNGNIAPSFPKSWINIIEKNIKKMKTPIVYMNITRLSELRIDAHPSIYTITREGKPLSKEQQQQPLTYSDCSHWCLPGLPDTWNVLLFNFLIRPLPRIPNLGDPKHQDKTTN >Sspon.07G0038590-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:82826652:82849772:1 gene:Sspon.07G0038590-1D transcript:Sspon.07G0038590-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSTSALMGSKQVYSCAILCSLLLFSVTCRGVATEPGSGWTQSATLDVDASWWYRREIPKTLFGLFFEDINHAGAGGIWEELVSNRGFEAGGPYTPSNIDPWNIIGDESSIYVTTEPVSCFARNIVALRMEVLCDKCRTGALAFTTQDSGACDNDVSNWTRVELQLLAHETCRTSRLDLTTSKRGVIWFDQVSLMPSDTYRGHGFRKELIHMLLDLKPRFLRFPGGCFVEGNRLRNAFRWKETIGQWEERPGHYGDIWNYWTDDGLGYFEFLQLAEDLGADPIWVFNAGISHSEGVDTTSIAPFVKDVLDSLEFATGSAESTWGSVRAKMGHPEPFPLKYVAIGNEDCYKKFYEAIRKAYPDVQIISNCDGSSQPLHHPADLYDVHVFVSEYAVTEPKDAGNGSLLASLAEAAFLIGVEKNSDIVQMACYAPLFYGTPSYWMQTFFRESSGAEFHPVKITSRYYDSLAASAIIWRDTDNSFLRVKVVPVTRALPNAGEKMQALLAPYSFTSFDLALEEYGQSTWGSVRAKMGHPEPFPLKYVAIGNEDCYKKFYEGNYLKFFKAIRKAYPDVQIISNCDGSSQPLHHPADLYDVHIYSNASYLFQMKSMFDRTSRKGPKVFVSEYAVTEPKDAGNGSLLASLAEAAFLIGVEKNRWHVTRHSSSITTNLDAIVFNSWQQYGTPSYWMQTFFRESSGAEFHPVKITSRYSDSLAASAIIWRDTDNSFLRVKIVNFGPHAVNLTIHAHQLRATVDARGSRVTVLTSSDVKDENSFRNPRNVVPVTRGLPNAGEKMQALLAPYSFTSFDLALEEYGRVAEM >Sspon.02G0019930-3D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2D:60307016:60309000:-1 gene:Sspon.02G0019930-3D transcript:Sspon.02G0019930-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGKRSFFAPKKKAANPFDSDSDDDKPQQRQPARASSVPPPDEQQRGGSSLFGGAGGDRAGLFASSTNHHYRNDFRDAGGLEASRAGAGGVRGVQGRGDHAPHAGLRQDRRGDAGHRVQDARHRPPAGAADPPHPHDGRRHRQDLSRSEKLLGDLGGLFSKKWKPKKNGTIRGPMLTRDDSFIRKGSHLEQRQKLGLADHPPRSNARQFRSEPSSALEKVEMEKAKQDDALSDLSDILTELKGMAVDMGSEIERQTKAMGDAEKDYDELNFRVKGANTRARRLLGR >Sspon.05G0027860-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5B:57630858:57633261:-1 gene:Sspon.05G0027860-1B transcript:Sspon.05G0027860-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVTEVEGPPPPAAALEVSLLGLICFCCPGMFNALSGLGGGGQVDATTADNANTALYACFAVFGVLGGAAHNLLGPRVTLMLGALTYPLYAGSFLYYNHHRHSQAFPVTAGALLGAGAGFLWAAQGAVMTSYPPPNRRGTYISLFWCLFNLGGVLGGLLPFSLNYNSGDEAKNVSDSTYIAFMAFMLVGAALTVLVLPPTRIVRDDGTKATRVTFSSPATEGAEILKLFANWKMLLVLPAAWASNFFYTYQFNNVNGGLFTLRTKGLNNVFYWGAQMIGSAGIGYFLDFGFASRRKRGLFGVVAVAVVGTAIWGGGLANQLKYRSVPLSDPIDFKEGHRYAGPFLLYFSYGLLDAMFQSLIYWIIGALANDSQILSRYVGFYKGVQSAGAAVAWQVDKQHTPLISQLIVNWGLMTISYPLLVLLVFLAVKDEDYSVSSVEEDGKEKD >Sspon.08G0012080-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:50625408:50626942:-1 gene:Sspon.08G0012080-3D transcript:Sspon.08G0012080-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTKKKRFVQKRGQVLKRVLGSLFSWLPRCRLQLFRKNRAPRGRRGNRVPANGGHVEPEPDVANNAPLATTQTQQ >Sspon.01G0024210-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1A:87088023:87088356:-1 gene:Sspon.01G0024210-1A transcript:Sspon.01G0024210-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAKLQADLRPEDPTRAVGHVAAKAAARNDEAAAIGGCGFGLGVDTGEETNLRCCNARRTWARRNVDGGGEGVDAAATSRRGETDGHCDEWSFLLARARAERGSESEEGAE >Sspon.06G0016880-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:75756088:75761979:-1 gene:Sspon.06G0016880-2B transcript:Sspon.06G0016880-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMIDSEIMNLLAFVLLLWGCQQLSLSLAIEFQVEALVEMKMQLVDNRGVLSDWKDNQMSPCYWEYVNCQDNKVTTITLSSSGLTGTLSPSIAKLTTLQQLKLDNNNITGGIPLEFGNLSSLTILNLGRNNLNGSIPDSLGQLSKLQILDLSHNHLSGNIPSSFSNPPSLNDINLAYNNISGEIPQHLLQAAHYNFTGNHLNCGQNLFPCEGGSTRTGGSKNSKLKVVIGSIAGAVTLCVTVALVLLWWQRMRYRPEIFIDVSGQNDHMLEFGQIKRFSWRELQIATNYFSEQNVLGKGGFGKVYKGVLPGPDSIKIAVKRLFNVESREGEMAFLREVELISIAVHKNILRLIGFCTTPTERLLVYPFMENLSVASRLRDIKLNEPVLDWSTRMQIALGAARGLEYLHEHCNPKIIHRDVKAANVLLDGNFEAVVGDFGLAKMMDIGRNTVTTGLRGTMGHIAPEYIKTGRPSVKTDIFGYGVMLLEIVTGERAIAFHPDRMEEAGEIMLIDQVKLWMEEGRLLDLVDRNLGGVYNLEELEKVTQIALLCTHMEPNQRPTMSEVVQMLEGEIVPAERWEEWQLAELQRRQQHEMRQQRKLFDFSEESLNIQEAIELTTGR >Sspon.07G0020340-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:75721434:75724756:1 gene:Sspon.07G0020340-1A transcript:Sspon.07G0020340-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Translocase of chloroplast 90, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G20300) UniProtKB/Swiss-Prot;Acc:Q6S5G3] MLMNFRDWISYRLGSSLLSARPFALSPGAEDAASEGDAHGTTHNDFVDTVTASTFSSNDTLASEVTTNSNTGVIYSGTVQQDDDNKESDPLMKVEALQIKFLRLVYRTGVSPSADVVAQVLYRLQLANLIKAGESDARRPNLAINKARVIAAQQEAPGGPDLDISLRILLLGKTGVGKSAMINSIFDEWKVATDALVPATHRIKKIEGTIKGIRVTVIDTPGLMPHYHGQRRNRKILNSVKRFIKRSPPDIVLYFERLDHINSRYSDYPLLKLMTDILGSSMWFNTVLVMTHCSSSPPEGPDGYPLEYDTYTRYCKNVVQRHIQAAVSNTQLDNPFVLIDNHPMCRRNTKGERVLPNGQVWVSELLLLCGATKLLTEANSLLKFQDSFLLSQANTRLPSLPHLLSSLLKPHSSSSSDAIDSELTEMSDEEDEYDQLPPFRILKKSEYENLTNEQKSAYLDELDYRETLYLKKQWKEGIRRQRLTEAQNDEVDDDYEESASPEIVHMSDMDIPLSFDSDYPVHRYRHIITDDQLFRPVLDPQGWDHDIGFDAINFEASQELKKNVSGAITGQMRKDKEDMYIHSECSVSYNAQRGCSLMGGMDMQTASRDLVCTVRGDAKFRNLPWNTTGGGISVTKFGNKYFAGAKLEDSVTIGKRVKLVANAGRMAGCGQVAHGGGVEITARGKDYPVREESVTAAVSALSFEKETVIGANLQSDFRVGRGSKISVSANLNSRNLGKLSIRTSTSDHSEIALIAVVSLIQFILRRRSAAADKGEQEIDDTYLDD >Sspon.02G0021630-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2D:65091656:65092014:1 gene:Sspon.02G0021630-2D transcript:Sspon.02G0021630-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSFIYIFSHHKTPFHLKDRMGLSTKLFAVLLLLLIGYTETQLGPVTMAMARKCESPSHRFQGPCSRDANCATVCRTE >Sspon.07G0006410-3D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7D:14075049:14078613:-1 gene:Sspon.07G0006410-3D transcript:Sspon.07G0006410-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHDPHAGVVGNEADGDPAGGGHADGVPLHRVDEVVLGGVVVGVVVAEPCPDDEEVVAVQVQRVALGAQDAGVLQHHLDGGVEAERHHPGAVRDERVVGRRAGVVERGRRHRGEVGREHAAVPPLVVRLEERGLGHGEGHVVHGRREPGAVGALARPVHPALARVRAEPDGEEEPAVYRPRHQRRVLRAREAPELRREVGHRARVVVQRQRGGREVPERALLRRAVVLEDGDGGGVVERADRVGVGRDGDGEGRRGWGAGGRGKEHVHGLPRRDDQRVGRERLDVAGVRLHHRQRVVGDREEELVVERRVHEPQQVRLAGLDLQLERVAGGGAVVGRARQAVESVGVGDVRPPPVCSACLITASGSALN >Sspon.01G0063540-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1D:116187969:116188415:-1 gene:Sspon.01G0063540-1D transcript:Sspon.01G0063540-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEINPNPKGHRRTRTGGNGLYLGSPLATATAGSLARGKIHPGPRRACPFHRTALCLGLGSKDATAEPLDGAARGFGRTRAPARGTPAAPTSRKARFRRRLHVRRRLHVREKRGEKRKEKEKRKGDGLLRQPASFTGADGRHGRLRCEL >Sspon.03G0021630-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:84485573:84488763:-1 gene:Sspon.03G0021630-3C transcript:Sspon.03G0021630-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLNCLMRCCCCIAKWFSLLASHVSLPGQQHADDEDKIDFGGGNVHVVTNKEDWDQKIAEANKDGKIVVANFSASWCGPCRVISPVYAEMSQTYPQLMFLTIDVDELMEFSSSWDIRATPTFFFLKNGQQVDKLVGANKPELEKKVAAVAGASSSQADAAGSKTV >Sspon.04G0000110-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:10965091:10966687:-1 gene:Sspon.04G0000110-2P transcript:Sspon.04G0000110-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRSPQLSLSGCSSLFSLSSTSTSCDNDDSAAALTAPVPAPPAGASLHPLPPRRLPLLSLSVGGEEEDEDEEEYLLGAGALDLQLTGAGGSSNSSGGGCDQERKNIRMMKNRESALRSRARKRAYVQELEKEVRRLVNENLKLKRQCKQLKVDMAALIQQSSSKSSSHIRRTSSSTQL >Sspon.02G0010860-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:33667137:33677324:1 gene:Sspon.02G0010860-3C transcript:Sspon.02G0010860-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRCHTPPQCGRAPLRHHGRRESPPAAVPGVVVRCAGAPQVSGIAAASPGHAAATAERGDARPSLTERLRLGSLLEDGLSYKESFIVRCYEVGINKTATVETIANLLQEVGCSHAQSLGFSTDGFATTTSMRKLGLIWVTNRMHIEIYKYPAWGDVVEIETWCQEDGRIGTRRDWILKDLASGEVIGRATSKWVTMNQNTRRLQRVSDDVRDEVFIHCPKTPRLAFPEENNGSLKKIPNLSDPAQYSRLGLVPRRADLDMNQHVNNVTYIGWVLESIPQDIIDTHELQTITLDYRRECQYDDIVDSLTNIEGGEEKSMNGSASAAPHKEERQQFLHCLRFAANGDEINRGRTRPPPMLRCHTPPQCGRAPLRHHGRRESPPAAAPGVVVRCARGAPQVPGIEAASPGHAAATAAKAEGGDARPSLAERLRLGSLLEDGLSYKESFIVRCYEVGINKTATVETIANLLQEVGCNHAQSVGFSTDGFATTTTMRKLGLIWVTNRMHIEIYKYPAWGDVVEIETWCQEDGRIGTRRDWILKDLANGEVIGRATSKWVMMNQNTRRLQRVSDDVRDEVFIHCPKTPRLAFPEENNGSLKKIPNLSDPAQYSRLGLVPRRADLDMNQHVNNVTYIGWVLESIPQDIIDTHELQTITLDYRRNEGEEKSMNGSASAAPHKEERQQFLHCLRFAANGDEINRGRTVWRKLAR >Sspon.07G0026300-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:52644244:52644756:1 gene:Sspon.07G0026300-1B transcript:Sspon.07G0026300-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MERLVDCIRVPCPYVAHGCDATPAYHGQESHRQVCPHAPCHCPGESCGFIGSTAALLDHFVGEHNWPCTTKVRAREAFSIRLHDGFNFFLLADHDCGDGEQAAATCCSVPHRLFLLNVTKEQLGRAIFMLCIHPHASAAATANSAQQLPLTQCELVFSHYGDRSSCRSHY >Sspon.02G0001100-3C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2C:4511085:4511726:-1 gene:Sspon.02G0001100-3C transcript:Sspon.02G0001100-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding PPATTRDGLLAAARRRLLSVSTEAAGAGDPAVHSGDDYMDRPPRSFGAEEATGAGGRVGKHPVGKAAATPGHAAAAAERVPPFAPSGKKPPLAGSEHELADPATPGGSESAARKVREEDREYYRTHKPSPLAEVEFADTRKPITRATDRYADVPGLMVEDTVDDSLARAEAMFREAAARGNPEWPHSRALAEMLARRELGKDAAGSGKPCWGS >Sspon.03G0001120-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:9009662:9017006:-1 gene:Sspon.03G0001120-1T transcript:Sspon.03G0001120-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVMSRRVLPACSSLCYFCPSLRARSRQPVKRYKKIIADIYQLPPDGEPNDRRIGKLCDYVSRNPTRIPKITEYLEQRFYKDLRHENFTLAKVVPCIYRKLLCTCKELRPLLATSSLSTIRTLLDMKAHDDLQVLGCLMLVDFLNGQVDSTHMFNLEGLIPKLCKIGQQLREDDEGLRLRSAALQALASMVVSVIINCYEANQTLSIKEVVRFQDDDDLFINENLAVLPVSGQNSAKVASDTMSASENPAHWARVCLRNMANIAKEATTVRRILDPVFRLFDSHDYWSPESGIALSVLQEMQKLMDKSGAAIFTHVGDVGPILDMVGVMLENLSHTATIARTTISSVYRTSQIAASVYKSSYHQKASAWHANYCMRTFSSEAIINETQTKNKIQASLQENNKSEAIVDAENGYAQTEPDKRKYPGSPCLNEHYAFNDENLKFMKLNNHQIVLLLSSIWSQASLNDNSPANFEAMGLAYSIALLCSKSKPSRRRCLYTMASAMLIFSAKIADLHQIIPLVKATAPEKMVDPHLCLMDDCQLVNTSAESSNSEMDTVPSELDDDDIIFEHSGSQSDRKTSGSMASSDVLTVNQLIESVHETARQVANVPVSANPVPYDQMKSQCEALVMEKQQKMSVLLSFKHSRTDSHGSAGVDGLETNESSLRSEPELRKGRMRRCDSASSESDCSFRLPPASPYDKFLKAAGR >Sspon.01G0023120-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:82359377:82362888:-1 gene:Sspon.01G0023120-3C transcript:Sspon.01G0023120-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAAAAAAVPGDAPAGTTVLDSLGEDITRIVYPVSACMLLVVLLVSLLSSPSSPSPLSASIAAATGGVPGGGDDDIPTALITALTFVVAVTAATFLLALLFYLRCTPCLRAYLGFSALAVLLVLGGQVALLLLSRLRFPLDAVSFALLLPNAAGALALAALAPASVPIALHQAALVAVAVLTAFWFTLLPEWTTWALLVAMAIYDLAAVLLPGGPLRVLLELAIQRNEEIPALVYEARPVDPRHGRNWRLWREGRQSGADLDASSTVEVIGEVLGRNLDANSGNSSSSHDNEAAGDVSNSRPRVTLVAAFSSSDSTVAQAREVSALPEHRVAVAEMSVPLIQPRLQRSGEEAVEDEDGIGLSSSGAIKLGLGDFIFYSVLVGRAAMYDYMTVYACYLAIIAGLGITLLLLAFFRKALPALPVSIALGVRFTFPMRQHIAAGDCIGI >Sspon.05G0010730-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:21732764:21735116:1 gene:Sspon.05G0010730-2C transcript:Sspon.05G0010730-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSNDDANNVPLLTPYKMGKFHLSHRVVLAPLTRQRSYGNVPQPHATLYYQQRTTEGGLLISEATGVSDTAQGYKDTPGIWTKEQVEAWKPIVDGPNGQAPISCTDKPVKSQHVDKFTPPRRLETDEIPFIINDFRVAARNAIEAGFDGVEIHGAHGYLIDQFLKDHVNDRTDIYGGSLENRCRFAVEIVQAVVDEIGADKVGIRLSPFANYSGAVDSNPEALGLYMANALNNFGVLYCHVVVPRTVKNGENSETPSCIGLMRSAFKGTIIVAGGYSREDGNHAISSGYADLVAYGRLFLSNPDLPRRFEIDATLNKYNSETFYTPDPVIGYTDYPFLPSDV >Sspon.06G0009420-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:47843652:47844575:-1 gene:Sspon.06G0009420-2B transcript:Sspon.06G0009420-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heavy metal-associated isoprenylated plant protein 21 [Source:Projected from Arabidopsis thaliana (AT5G17450) UniProtKB/Swiss-Prot;Acc:Q9LF57] MSFVGGSGLVDLGGDTLAVASTIYTIPSSSSLLLPLDWPAGFINQYTNPSSVFTVHTSKAAAAAAYTAVASMGILDHLSHLCSITETKEALKLRKKRPLQTVNIKVKMDCEGCERRVKSAVKSMRGVTSVAVNPKQSKCTVTGYVEPAKVLQRVKATGKNAEMWPYVPYALTTYPYVGGAYDKKAPAGFVRSAPQAMADPSAPEVKYMNMFSDDNVNACTVM >Sspon.01G0025320-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:89771471:89777402:1 gene:Sspon.01G0025320-1A transcript:Sspon.01G0025320-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CBM48 domain-containing protein, Compound granule formation and starch synthesi [Source: Projected from Oryza sativa (Os03g0686900)] MCRSAKPGAFPTREELLAAGRSDLAAAVSSYGGWLSLGWSSSTAAEGPATTAAPRSSGGGHPDYPPETGVYYRGDLVPGSVEDSEWWGGGGDDDDDEEEASSSGREPETEETSELRFKAGIEGMLTRLQKDRERARPPPRSSTHDTQSQSDDDAGNSGAPSHTAAGGRHIPRVPENGSVHGSHSQNGTIEGNNTLQSSSNDAWKTWTLGKGGLSHFEAAEVLPTERRKLSQHDDIASVQNDVQMSSNGVAVSDYPSDGVGTERDEIHSRLQTLELDLSAALKTLRSRFDKVLSDMSNSNGATVLDDISDDWEFEETKVMQAQEELRSIRAKIAVLEGKMALEIFERNKIIEDKQRRLDEVEKALSELRTVCIMWANPASDVLVVGSFDGWTSQIGVYNINSGPHDHPIDSNERTTNKIIK >Sspon.03G0029000-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:20141696:20146584:-1 gene:Sspon.03G0029000-2C transcript:Sspon.03G0029000-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MIDATSLSRRRGLPSADAHLPSPTRSTAPVPRPDAFLLTSGHLAASPLRLSNLLLTLAAAHADTVFARLPVPAAATQQQRQMGATPVRWPRVLTPAQLAGAIRRQKNPLEAAHLYWDAPRRYPPSCYRHNDDVRSTLLGAAAGSPALPSLLRRVLPSSPSADSLLAASIPNLSPAAAVSIFRSSLPSSPSPSWSLSFSALLWRLVSQALLPEAARLFADFAGRPEVLVASADLTLLIVGLCRVRRPDLALQVLDEMPNLCLAPERDAYRAIVPALCDAGMLDEAIHVVYSMLWRVSQRGCDADVVVYRALLVALCAAGRGEQAELVLDKVLRKGLRSPGSRRSLRVPMLAVLNLEDARESIDQALAVRGGRTVCSFESMILDLYEEGRFDQADKLFEDMAKKNFKPTICMYEAKIAALCREGNVDDAVEVMEKELPKNDLVPTVTTYNLLMKGLCDTMQSMRALEYLKKMDKQLGCVAQKDTFSILVHGLCSETKFIDASKLMERMVKGHHRPDRSAFNSVIEERNGCGFIYSQYQEHSFKSPNASYTKIQRHVVSKRKGRGITVEGIRLYIIMALFRRSFEQSKITHTHTNDKSDIDGKRSVMNFRIFSKMICLFNVNSPNQDDMDDEIADENVKDEAGGQQWERKCGSRWAVTVMAVKAIQQAVEVDDGDDRVLTEEPRLFVRNILKA >Sspon.05G0016690-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:63645270:63647989:1 gene:Sspon.05G0016690-2C transcript:Sspon.05G0016690-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEALLHVVSKIGATLTEETTKAVIAKLSDKVKNLKELPEKVEEIGKEFKMMSTVVKQFSTPGHSNELVKEWIGEVRDLAHRVEDVMDKYSYHALKLEEENTMKKFFSKAYYVTVFSEIADEIIQIEKKIENVVKRRDRWLQLPHLIPNPLADIERKQPHSSFQEVVQADIVGIEDNRRQVTEWLYSDSDKQGSTVITVSGMGGLGKTTLVANVYEREKVNFTTHAWIVVSQTYGLVDLLRKMLRKIGDQEHSQLIDLDTHDLEVKIKETTEYVWNETYNQLRGELANNDHLRAILNLSYHDTPGELRNCFLYCGLFPEDHEFSRESLVRLWVAEGFAFPKEQSTAEELADRYLRELIQRNMLEVVENDELGRVSTCKMHDLVRDLALSIAKEEKYGSAIDFSSMSHMDKDVRRLSSCGWKDKNAVKAKFPRLRTLVALGIIASPSQLLSPILSESHYLTVLELQDSEITEVPASIGNLFNLRYIGLRRTRVKSLPESIGKLSNLLTLDIKQTKIEKLPRGIVRVKKLRHLLADRYDDEEQSKFRYFIGMQAPKQLSNLEDLQTLETVEASKDLAEQLAKLTKLQSVWIDKIHAVDCANLFAALSMMPLLSSLLLSASDENEELHLQALRPESDKLHRLIIRGCWADKTLECPIFLDHGRNLKYLAISWCGLKDDPFKLLAPYVPNLTYLSLNRVHSANTLVLYEGCFPQLKTLVLKRMPDVSELKISDHALPQIEGLYVVTLPKLDKVPHGVESLRSLKKLWLLGLHQDFKARWNMNAMQQKMQHVPELRI >Sspon.01G0017190-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:64156672:64161408:1 gene:Sspon.01G0017190-3C transcript:Sspon.01G0017190-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAWAACWRPHVLRRLDPAANLGRAATDANDVTALNTLFTSMNSPGQLQGWKVSGGDPCGESWQGITCSGSSVTAIDMSQNNLGGGQQIPYNLPNKKLERLNLAGNQFSGAVPYSISTMPKLKYLNLNHNQLSGDITDIFSNLPSLTTRPFLQFSYWQSTTKFHIFVKPENTNNQLTGSINVLANLPLDDLNVANNRFTGWIPNELKKINSLQTDGNSWSTGPAPPPPPFTAPPQARNRRKSPGQRSNGSNNSSSGGSSGIVVVAFFLIKRNQRKGAMPEHYEQRQPFNSFPSNECDRLMSVRFCNLVDMKPIEEATTVEVESLPSPAAVNLKPPPKIERNQSFDDDDFANKPVAKKSNAAPVKATVYSVADLQMATDSFNMDNLIGEGTFGRVYRAQFSDGKVLAVKKLNSTALPRPSSDDFYELVSNISKLHHPNLSELVGYCMEHGQHLLIYDFHRNGSLHDMLHLSDDYNKPLSWNSRVKIALGSARALEYLHEICSPSIIHKNFKSSNILLDTELNPHISDAGHSSFVPDAEFQASDQGSGYSAPEVDMSGQYTLKSDVYSFGVVMLELLTGRKPFDSSRPRSEQSLVRWATPQLHDIDALDQMVDPALKGLYPAKSLSRFADVVALCVQPEPEFRPPMSEVVQALVRLVQRANMTRRMIDGEEASRRPDARNRNSSNKL >Sspon.05G0028340-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:66474175:66475483:-1 gene:Sspon.05G0028340-1B transcript:Sspon.05G0028340-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRHLDEPVAGVRGSRAYSTARTADFINKPEDAAKQINTWVKESTKATVTSLLPDGLIDQNTGLVIGSALYFRGRWLDRADIRSTAVQKFCCLDGTCVDVPFVEYDRTRPFAVHDGFKVIKLPYQQGKNERKFSMYIFLPDAHDGLFELTKKIFAEPSFLEQHLPTEKRHVDIRVPKFTISFQVDMKEFLKEMGLELPFLRDADFTDMVKEDESRSPLYISNILHKAILEVNDKGIKETSVTMGIGKPSPGEHFVADHPFFFVIKEEVSGS >Sspon.04G0012530-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:41862547:41863320:-1 gene:Sspon.04G0012530-1T transcript:Sspon.04G0012530-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRSPTIAVVLILAYLSSPATAFNVTRLLGEFSDFTTFNNLLSQTKLAEDINRRQTITVLAVDNSAAGGISSLPSDVQRKVLSMHVVLDYYDTAKLGAIKNHSALLTTMFQSSGQATDRMGFLNFTKRSDGVMVFGSAQPGAQMTSHMVKSVASRPYNISVLQVSAPIVPPGVGGSADSGKATAPAPAPATKGKKGAPPPKDKEAPAPAPSDDASTDAPADAPGPAADGPTADGPAAMAQRLMALRQMAPRGRPGS >Sspon.08G0000940-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:3581269:3583804:-1 gene:Sspon.08G0000940-1A transcript:Sspon.08G0000940-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVASAWPWRRAWMRPRGGGGHDADGGGGSMLPTGGDSNSTTSGTNYTTSTSAAATTTATSGSAGEPQLRDLHPFTAPVVEENAEEEQYVAKELAEDKAKKLEHIKGLVEEFSGDDSTAVQRWLSELDVGWVLHLAGTHESSGSVVISHGFQFLVETWILALRKIGESILTSLDGWYSQSRSQRQRQDQDDGARKQPSSEFAGLVQATVLKMLPFVDIIATTKTTQRPGAVAPGEKLQVLIDMHDTLSMVSQHILSLQVESTEATTRDLLSADLTKLDEAIWGTIVEIMNIIMSWIWTDDDGSSSDIHKVTNSIVSYTEVLWANYQSVNRILDDAVLRGEFVPENENVSHLSNLIVEMVSSTITSFWESSFQDESLRFLFLINNSYYLLQELCTIRCLGFITGFLIYKVDDYIENYLHESWAPVLKCLQDPFLPCCLTTYPSPLPKFESKFQKTYATQKLWKVPDPEMRRRLRKAIVDKVVPVFTEFLEDNNITTPRLTPRKLQKMLGKAIVNLIYNLDVVTINEGTLVADWLVPLPSAILLLELPRSYEK >Sspon.02G0013380-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:35644539:35645951:-1 gene:Sspon.02G0013380-1A transcript:Sspon.02G0013380-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHRSERRLSSAVVRLPGRSRVSASPSPRHRSQSPSPRRDRRRRDRSPIPYRDRSPSPYRNRRRDRSPSPYHDRRGRSPSPYRDRRRQWSPYHNDRGRDRDRVLPVRSGGGVWSDEDDDDKELQGLSYFEYRRLKRQKLRKSKKRCIWNITPSPPRVEGDEENYGYSDVEEEKVSPKGSPEASEEESKDASESESGESDSLSESSESEASKRKKKGRKNSRRSSKRSRRRHRHRSSNSEIEDESESVDDSVGSYDSEDSRDRSKKRSRRHNRSKKRGRSSRRKKRKSQDTASEQSSEEEVEEDSKKKSKSSKRKRSKRSDSEESAPSVATPDDVEEVEETNVPEIDPEAIKFKEMLEAQKKAALENDMPVGPMPLPRAEGHISYGGALRPGEGDAIAQYVQQGKRIPRRGEVGLSAEEIQKFEDLGYVMSGSRHQRMNAIRIRKENQVYSAEDKRALAMFNYEEKSKREHK >Sspon.02G0023710-4D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2D:74956031:74956516:-1 gene:Sspon.02G0023710-4D transcript:Sspon.02G0023710-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LRRLPHIFAKVLELPFAADADVSVEEDAAALRFVVAAVDVDGLFSPAGARAHAVEIHPGVTKVVVRGLFSSGGGAHGGDGGGDDDDGGGDDDDDGAAAAFELDRWRFRLPPCTRPAMATATYAQGELVVTVPKGAGPDDGAGDGDAAAAALSGTERVLVLV >Sspon.02G0033520-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:8284085:8284678:1 gene:Sspon.02G0033520-2C transcript:Sspon.02G0033520-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGLTPSYKLSLISVIVLLLGLTSGDVAHGRKKLVSSSDGEPCHKMTVYYHDILYDGTNTANATSAVAAQPTLLSRSVSINDSYFGEVVVFNDVVTAGRALASEPVARAEGFYFYDKKESPSAWFAFSLVFNSTAHRGTLNLMGADPIAEKTRDISVVGGTGDFFMARGIATLRTDTFEGLYYFRLQMDIKLYECYV >Sspon.06G0030070-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6C:41177759:41186415:-1 gene:Sspon.06G0030070-1C transcript:Sspon.06G0030070-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTTCAFKRNIYLLLTKWKLAACGVHRRQLRRRHHRPAPPSTDRLRLPRPLRGHRYPEHHVGHAASHSYRHSRHHQISRRAMDLVEGAARQSWAKAYDVGERGCPGERCERVGPSVWTDARNMWRLKIAKGGPWLKSGNSHIGRETWEFDQDFGSKEEREAVDSAREEFKKNRFRMRHSSDILARMQLAKENGFSLDLQRTRDESPLVINSSTVSEILRKALNYFSAIQAHDGHWPGDFPGPLFTTATMIIVLYVTQSLSSTLSSEHCKEICRYLYNRQNMDGGWGLHAEGESSMLSTALNYTALRLLGESIDDGPDMSMLKARKWIHDHGGATMIPILGKVWLSVTILAVELASSYSLVLQKTFVVTAYLIMQVLGVFEWSGVNPIPPELFLLPSWVPIQPGRLWSHFRMAFIPMSYLYGKKFVGPITRLVMSLREELHIHPYKKIDWKQARKLCAKEDVYNPHTWLQECLSDCLYSFGEPLLTRWPISYMRKKALQQVAEFLKYEDENSQYICIGAAQKVCAGQLWDVAFAVQAILACNIAEEYRSTLKKAHDFIKASQIMDNPSGDFSRKYRHISKGGWGFQVADQGWQVSDCTAEALKVLLMLSKFSSDIESDQMETCRLYDAVNNPNGGYGTWELARTYPWMEIFNMTEIYADIMVEHQFGSWGICFTYGTWFSIEGLSAVGQSYGNSTSIRKACGKRSHSTAQSCKTYHEYAAQQW >Sspon.02G0006850-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:21628487:21631516:-1 gene:Sspon.02G0006850-1P transcript:Sspon.02G0006850-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MENLDESQQIFFLSIPSIAELGVMMMTTVLVTIIMLLIWQVNIVIVLCFLTLFLGLELFFFSSVLGSAADGSWVLLVFAAVLYLVMYIWNYGTKLKYETEVKQKLSMDLLMQLGCNLGTVRAPGIGLLYNELVRGVPAIFGHFLTTLPAMHSMIIFVCIKWVPVPVVPQNERFLFRRVCPKNYHMFRCIARYGYKDVRKENTQAFEQLLIESLEKFIRREAQERSLESDHNDDTDSEEEIASSSSRVLVGPNGSIYSLGVPLAEPGGTDNSALGSSMSFDGSSLDNELSFVHKAKESGVVYLLGHGDIRARKESFFLKKLVINYFYAFLRKNCRRGIATLSVPHTRLMQVAMQYMV >Sspon.08G0011120-3C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8C:46453581:46457395:1 gene:Sspon.08G0011120-3C transcript:Sspon.08G0011120-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSASSSFFLALASASPGGRRRARVGSPPFHTGAGPNFAFWRAPRDAALVRAEAEAGGKDAPPERSGDAAAASRLPRARRKAVSKRRDPLQPVGRYGSGTGNTASRSGAASIQNAALAAVEIKSIVAAPPTSIVKFPAPGYRVILPSGDIALETVLPVPKPLLHESPGENSVRPASNPNLDDTDGNVELAEKKPAQVDGDSNGVAAPVPADPVVQEATWDFKKYIGFDEPVEAKDDSRVAADGAGSFEHYENNDSGPLAGENVMNVIMVAAECGLGDVVGALPKALARRGHRVMVVVPRYGDYVEAFDMGIRKYYKAAGQDLEVNYFHAFIDGVDFVFIDAPLFRHRQDDIYGGSRQEILKRMILFCKVAVEVPWHVPCGGVCYGDGNLVFIANDWHTALLPVYLKAYYRDHGLMQYTRSVLVIHNIAHQGRGPVAEFPYMDLPEHYLQHFELYDPVGGEHANIFAAGLKMADRVVTVSRGYLWELKTVEGGWGLHDIIRSNDWKINGIVNGIDHQEWNPKVDVHLRSDGYTTYSLQTLDAGKRQCKAALQRELGLEVRDDVPLLGFIGRLDGQKGVDIIGDAMPWIAGQDVQLVMLGTGRADLERMLQHLEREHPNKVRGWVGFSVPMAHRITAGADVLVMPSRFEPCGLNQLYAMAYGTVPVVHAVGGLRDTVAPFDPFGDAGLGWTFDRAEANKLIEALRHCLDTYRNYGESWRSIQARGMSQDLSWDHAAELYEDVLVKAKYQ >Sspon.04G0036250-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:26100653:26102729:1 gene:Sspon.04G0036250-1P transcript:Sspon.04G0036250-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTFIKRPLVRQIPPPGFESCSSGGATMHNNPFSFDLDIAPPYSTASSWSFSGGDMGRRTDPFSFGHDIARPPISLSDLCDDYFPRPAQFGPFPTMNDPHNDYVPAVGEVPALPVSPAGFKPTPVYDYGNPFDADGRAAALAMDIPAPWDPCYDDDVVQPGVEEPVSCRPLLRLLPKLCCPYDGYDEDIEATLRAQEDEAKPSPDYLETTQGSRMSQDTRATLVGWMKRFTQCYDLAPGTLHRAVSYADRFLTVRPLEDVGMHRLRLLGAVAVYAAAKYEDQGTVELLDAAEIASYSRRCGGGSGGFASSKEEVLDMERALLVALDYRLGRPTAHTFVEHFTRHYGQEELRLELRSCAHDFADMSLLHYSCLQHNPSAVAAAAMFLARLTLKPTYGQITRWNRELKELTGTRINRGCVGTDHKRQIAAEQAREGAGTAVSGLRAAEARLEGSRGGSGGPA >Sspon.01G0062550-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:105820317:105824478:-1 gene:Sspon.01G0062550-1D transcript:Sspon.01G0062550-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding EGEVGGLSRKQAPAPPDLMLQLHAGEKTLEEEICKVDGGTRGVDSGTPSKGEETAEIRGGCRQTEHYLQSPMEGALPSMVEQAVQIGGVWMATVQHVDNPLPASGKSVEDQIYYIDGATQGWRPRRRQWRSKEHTSVKDAGLRSSISRVQCRRQRAAAPSMPEEAIQIGGACKVTVQDLQNPVFHLPQDSGKEAQVQQALPEGIGHYGSLQELLLGFTSGALCIVTEEEHVVLRRSSLEQSYFEYETKDDDFNAEPELEAPEMCLQEGYVESEHDNEHEESSDAAECADSDSDGDKETNKISTPNEKDLESVDWDIFCSTGELMKKKDSIMALCTAYSDSSDQHEGNHRSVCPREEFGNWDAGIG >Sspon.02G0032550-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:121017990:121020812:-1 gene:Sspon.02G0032550-1A transcript:Sspon.02G0032550-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LAKLSSASVAQDGKFVLKVEKRTSDFVDYINANYVLVATGSSQQGYSIAAQLGHSIIAPVPSLFTFKIADKRLADLAGVTFPIVKAKLKLDGVQKSVPELTQTGPMLVTHWGLSGPVVLRLSAWGARELHQCNYQGKLMVDFVPDIHIEDVKRILFQYKDQHAKHKVNNTFPTEFGLVKRFWRFLLEQESLNGDTHWASMPNNHLNAMALWLKQWMFEVVGKISLGTMESKKQPNLFFAGEVLNVDGVTGGFNFQNAWTGGYIAGTSIGTLASTSNLSEQQSLQL >Sspon.01G0020760-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:81431533:81433804:-1 gene:Sspon.01G0020760-2B transcript:Sspon.01G0020760-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding TMVGKELVVKRNGPVDIREIAAKATLREVRQSGHTYVELRRVGKKDPLLLDSSSQSTRELALVPQPEVSRNDTEVTSRLRDGSSSCNGVKGARNGGKGRANGRVAPVSENYALSNSGTDGPLVIPGVLLKDVVSDLPVHLLGYGNISYRICEASKNCKKISKIWCAWVGQEGSHGSESCNTNEQSGFAIVNFSYTYDLGRKWSSDEQDLSISAGSFFVIDDAGHRGKRMKKSFSDQEASSEESNGQNSSPQGSSQAIVTSSPAGTSHNLQVGLLSSKSARRELRKQKRIAAEKVCDICGRPMLPGKDVATLLNCNTGNLACSSRNSNQAYHLFHTSCLLHWTILCQYEMLNDQIARKGKSNRGRKAKNALKKSKITSIVCPECQGTGIHVNGDELEKPSISLSQMFRFKLKAIEAHKAWLKSPEVLENCSTGLHFPSEHVENSQEKVMPLKSLRFYAADG >Sspon.05G0024490-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:15586353:15586865:1 gene:Sspon.05G0024490-1B transcript:Sspon.05G0024490-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSARSSAAAKHAYRMFAPSRGGAAARGPGAGAAEEFDESDVVWGSFGGGADSHSNPGAELQAAAGWARPVPTSRAGAGRKKPAADGSGAAGSLPMNIPDWQKILGVEYRDHYHAGEWEPDADDDDGRARGGGGGAEMVPPHELAWRGRAASMSVHEGIGRTLKGRDLSR >Sspon.05G0024810-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:26180711:26183171:-1 gene:Sspon.05G0024810-2D transcript:Sspon.05G0024810-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSGTRSVRLLLLSLLGFCVALSHQESSSSTDSCATAKVAVASLVPFDSTSFHCNAAWKQQDFVLRYKNTGPSEWSFILSAPDKGSYVAVGFSGKGAMVGSSAVVGWASNGKGTVKQYYLGGKSPEDCAPNRGLLKLVRNRSVVVSRSGRLYLAFQLSTDYPQPYLIFAVGPDGNLPQSDSLRLPMHQSMASRSFNYTSGMSYNTAGSGGDAAFPTERKHGLLGMMGWGVLMPIGMITARYFRQLDPCWFYTHMAIQVSGYAVGIAGVVLGFRLNEDGLKNVDVHKALGIAILAMASLQVLAILARPDKTSKVRRFWNWYHHNIGRATILLAIGNIFLGLSIAQEISAYIVSYGVFVAVWVVAVAAFEMKRCYADDD >Sspon.03G0033150-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3B:46271215:46272522:1 gene:Sspon.03G0033150-1B transcript:Sspon.03G0033150-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding PQPCHASLHRCLRITIPHHATISRRATIPHRPLQQQPDTSGEHHNDRPSRFQKRDFPRYDGKSDPHNFINCCESYFRRECIMAEEKVWMASCHLEDGARTWFLQVQDEETPAWRRFTELLRLRFGSPPAATIGHADGMRHLQENMDRIAEMLNRCRAHLEKFEHERLAAVRIQAAARGFMVRHTTRKLREHLAAVRLQAAARGFLASRRVQSLCGERHLAVVSRAIPWPSSQEQAAVRLQAAGRGFLVRRAVRKLRLLIRSSLHQLAACAPNNQVSSIFFAPPAEVEIWVCSPPARPKRVVSFIRASAWVLDRPNIRTGWFLLHLSSNMKSAVQLFPWDPGGQEDIAAVQIYILVPQLFRIFVLNNKGKPRCKRLNLTSCQVSLWQLEDELVFKGGGDVTGIIGRGHHAVRTSRKGKQQSVPRLGGKIGLVAIQG >Sspon.05G0007250-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:16865056:16869154:1 gene:Sspon.05G0007250-2B transcript:Sspon.05G0007250-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVEQMLRAAASRCARGAVRRLSSSAAVEASPVAVGSRRQPLLDEGDWSYYREWWGEEDGPGEGRRPSSAATPSAATASSPSPPTPLPASEHWPATERWLQERNAKLYPESASSDQFKVLGYQWRVMRFNDHTRQSTAKVMTCYRTSGQRSLFLMQQPHVLAVPYVKSMVSAGLTALPCSSYDLPQAASGQNTMKILCIGHGGGTLPLFLASKFRGATIHIVEIDPVVVSASIESMGFPMSSVKGLSSESMLPADADDLLWGGIHDRIFLHIADAEDFIANDSNEYDIVFIDAYDGDDVFPRKLWDVDGAFMKNLEKKVHPVHGTVVVNLHSDSELPASEAEGNAHFQSMLPMGRRVSQICSAYKQHFGLAFTAAVPWLCNITLVACRDKGIASGARLGLSHRDFVLVKLLSKSDTVERSLGLPFPCLPYIKNGFTLVH >Sspon.02G0045780-2P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2D:87619961:87623641:1 gene:Sspon.02G0045780-2P transcript:Sspon.02G0045780-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GLGSGLRRRLGRPGLVVLVLRRRPRHRALVRLHHPHLLRHLLRLGARPRRRRLLHQVPPRPRRRRRRPRRPRGHVRAVGRRGHARDPRRRPRRCPSRSRASSTTRWPGAWRTPPSTRSRPPPRTTTTSASSWSRTPRSPPSSPTSPSPRSPPRGASSASAAPSPTPTTSPTRPPSSTSASRPPARDHRAHGEPAVVIAFDLDPRDSDPERAADAECKPFYRHYHVAVAFPIGDGIYAFESFSSRARKWTMGADVADADTVEPGSGVGALGCAFWRTTMGPFLCYEPVSRCADLVPAPAEVTQWRYWELGEMEGTLCVTCMDERVQAVVVIRLDFARRGAVSWSLAGHFEGGCLRGRQHVQLLRSQGKAEVVMWDPSSETVVAMDIEGRTTRTIKFIPGSAYYADFIPYVRSLAAVSGSGSS >Sspon.05G0002570-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:2634680:2637986:-1 gene:Sspon.05G0002570-2C transcript:Sspon.05G0002570-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding KREIFQDLAPLLWHSFGTIAALLQEIISIYPSLSPPTLSPGASNRVCNALALLQCVASHPETRILFLNAHIPLYLYPFLNTTSKTRPFEYLRLTSLGVIGALVKVDDTEVISFLLQTEIIPLCLRTMEMGSELSKTVATFIVQKILLDDVGLRYICATAERFFAVGSVLANMVVSLADQPSTRLLKHIIRCYLRLSDNPRACAALQSCLPDMLKDGTFNNCLRDDPATRRWLQQLLHNVTGGGMGGAPQPGMDPMSMGI >Sspon.08G0010420-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:44115669:44128469:1 gene:Sspon.08G0010420-1P transcript:Sspon.08G0010420-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSGGLGVRPINGGRGGAVEQRGLGDNGPPVSPPERVCTPPAPSLAAWRRMSSPGPLRCPTPRSIGCEDSEDLDRYFSPQSEFSQDTSDTDSMSTRHGIDPVDGWPDIVASLAWQAATFVRPDTSKGGSMDPTDYVKVKCVASGNPNDSAFIKGVVCSKNVKHKRMVSKHENPRLLLLGGALEYQKVTNKLASINSILEQEKEYLKNAVAKIEAQRPHVLLVEKSVPLHAQQLLAKDISLVLNVKRSLLERISRCTGAQIASSVENITSTGVGQCQTFWIEKVTECSSPKVSNKKTVKTLMFFDGCPRRLGCTVLLRGKSYEELKKVKLAVQYALFAAYHLSLETSYLADEGATLPKVPSDLQFEKQNASSRYYQQNLNEFQTIEGETFGSGCIMPCLNGSANQSHSRDDIIHEEHAHCHSRADLSQEEYTRGTIKVYPYSTEASMYGSRVPPVCMTVQTPKSSLASVRKRTPGNLYFLHNGHIDEAGGGMSKVDSDFLDLDNDLNHISDEDSVAIRDHNGNHNEYFPTSDSPQSILVSLSVACPLRGIVCKQSQLFRIKFYGTFDKPLGRYFREDLFVQTSCCESCKEPAESHVRCYTHQQGSLTISVRTLPSVKLPGELDGKVWMWHRCLRCKPKDGIPPATQRIVMSDAARGLSFGKFLELSFSNHTTANRIACCGHSLQRDCLRFYGFVASYCILFSFLSNNDQRNSSHSQLNRLGSMVAVFRYSPVDILSVNLPSSVLDFAYPKAQDWVIKEAVDVCARKEHLYREIVVKLDCIENIVKDQNIGMKSGLHKHVADLKELVKVEWKKYDVVSGFSIIDDLQTFEPYIDVLELNRLRRELVLDIHVWDRRLYMMHSLTKENCRTVPNDTQCSEKLSESLLEQSKDVTSGKHLNIENSLEQNQPSTLEVAAVSLKSSPMTEQTNTSVSHLGLETNIMGDVSMHSGSTVISFAPGPCEMQSEGVLAELEAGKTLQKSQSSASNLSDRIDLAWTGSGQFVNDSSSSMEAASFIPASLKDDPAYQKVIAPIRIKSFDSAVSSRNVDDSNASIRRSYSQRPPKAIERTGKGLSPTFLNKLSLPGMIDGESRLLLSQNDSDVTVPIYDDEPSSMIAHAMTVPEYRSFLLPLLDQNIESSLLNCGSDQPQPITGNESKDNHLTVSFEDEDSYSVDKAKFSVTCYFAKQFDAIRRKCCPDELDYIRSLSRCKRWSAQGGKSNVYFAKTLDDRFVIKQVTRTELDSFEDYAVGYFKYLTDSVSSGSPTCLTKVLGLYQITAKNLRDGKELKMDVMVMENLFFKRKVSRIYDLKGSLRSRYNPDTSGNNKVLLDLNLLETLHTKPIFLGSRAKRRLERAVWNDTSFLASVDVMDYSLLVGIDEERKELVMGIIDYLRQYTWDKQLETWVKATGFLGGSKDVLPTIISPDQYKKRFRKAMSKYFLALPDQWQDELCGGLWPLLSIQAEENKHIEVPNLQDSRTLFSLCCCNNSFNSLK >Sspon.05G0019860-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:5A:85224802:85225560:1 gene:Sspon.05G0019860-1A transcript:Sspon.05G0019860-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AHDRCLELERAAIGRARSGNLGLDDALKLFDELLIHARPASVRALNQLLSVVSRAKCSSSYKLVVSRFNLMLRDCSNKVAPDRCTYNILIDCFCHMGRLEHGFAAFGLILKTGWRVNDIVINQLLKGLCDAKRVDEAMDILLRRMPDVGCTPSVVSYNTLLKGLCDEKRAKEALELLRMMTDGQGSSCPPDVVSYNIVIKGFFSEGQVDKAYSLFLEMGVRPNVVTYNTIIDGLCKAQAVDRAEGVFQQMIDG >Sspon.07G0021500-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:3295588:3296918:1 gene:Sspon.07G0021500-1B transcript:Sspon.07G0021500-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPPLFLVPVLLLGMLASRAPAQQPPATLHERDAAALRDLRASLRDLPASRFFDTWDDARSPCAYAGVVCAPDDDNPDSDSSSTTVLRVSVLTLGTGLADSPGLTGTLPDSLSTLAALTDLVLYPGRVSGPIPSALGAGLRRLRLLSLAGNQLTGAVPASLAGLPDLTRSTSAGTASTAPLKVLILANNGGISGEIPAGFASSGLFHVDLARNALAGGLPPLPATLRYFSVAGNAMQGTLDGAFGTGGDDGSASPPPLPADLAFLDLSMNNFSGPIPASVFALPGLSSLLLSRNNFTGALSVPPAQQEWAVVDVSHNGISGEVPEALAAAGSLYVNNNRLSGEVPAAVARSVLAGRMTTLYAQHNFLTGFPVPPEAPLPDSAALCLSYNCMDLPSASAADGCPTIGGPLEARPAEQCRSTTTSNGGGGDG >Sspon.02G0017110-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:52642287:52654076:-1 gene:Sspon.02G0017110-3C transcript:Sspon.02G0017110-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPQHQHFRSLISSYATVRPQRRRELKLLSLPDYFSSCFNTPQISCYHSGHLRLVSSPGTCERALSALVGCHSSYSTSSHGGRDNNAIENKEKSKRRKRKQTAKQGVLSRPSEEELSTGMVNNLYQSGDPLGRKELGQHVVQWLKQGMHLMASKFASSDQSVTQDNDPLWFDGHMGFVMQAQAYLSATPMPKGHEALCLKASTHYPTLFDNFQRELRDVLLQQQEEGLVTDWRSTRSWMLLKEMARSTEHRTAARRSATPVMHSPLGISLDRTRLMQSKIDEFVQKMTGLLQLERDAELEVTQTTQDELSASSMMDGKSKSQWRLTGQHLVLFRVEGRHKLPPTRLSPGDKVCVRTCDSQGEVATTSCMEAFVHNLGEDGCSITVALKSRRGDPTFSKFLGKIARIDRLQALADAVTYERNCEALMILQKRGLQKRNASIGVVATLFGDKEDVMKLEGNNLMDCGGSEVPDDGLLERHSYSFDASQSRALALALDKERPVLVIQGPPGTGKTSLLSYLIACVVRQGERVLVTAPSNAAIDNIVESLSRSGLNIVRVGNPSRISPSVTSMSLGEIVTKRLEKFTQDFERKKYALRKDLKRCIQDNDSLASGIRQRLKKLGKDYKNEEKEAIREVLSNAEVVLSTNTGAADPLIRGIGCFDLVIIDEAGQATEPSCWIPILQGKRCILAGDHRQLAPVVLSREAMEGGLGMSLLERASSLHDGLLTTTLTTQYRMHESIASWASKEMYDGLLQSFPSVASRLLVDYPFVKATWMTQCALLLLDTRKAYGSLNIDCEESLDPAGTGSFYNNGEADIVAQHVLNLIQCGVSPTSIVVQSPYIAQVQLLRGRLEEYPVASDVEVSTIDSFQGREADAVVISMVRSNSLGAVGFLGDRRRMNVAITRARSHVAVVCNTSTICNNAFLARLLRHIRQHGEVRHVEPGSFGWGL >Sspon.02G0010730-3C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2C:33622286:33624043:1 gene:Sspon.02G0010730-3C transcript:Sspon.02G0010730-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to Peroxidase 55 precursor (EC 1.11.1.7) (Atperox P55) (ATP20a) [Source: Projected from Oryza sativa (Os09g0507500)] MRVKDLAAMFAKHNLSTLDMVALSGAHTVGFAHCTRFTDRLYHHGVGDGGNGASVDPSYNPAYARQLMEACPPDVGADIAVDMDPITPTAFDNAYYANLAGGLGLFTSDQALYSDGASRPAVRDFAKNQRRFFEAFKDAMVKLGSVGVKTGRHGEIRRDCTAFN >Sspon.05G0013880-3C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:5C:43127457:43127975:1 gene:Sspon.05G0013880-3C transcript:Sspon.05G0013880-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVAAALDRTDELRALDATLAGVRGLVASGAKQVPRIFRVPCPEELHLLHHHEQPPAAAATVPVIDLSGDDRAAVVDAVRGAAAEWGFFQVTGHGVPEQVMSAAVAAMRAFHEADGGEGSDKARLYSREPGKAVKYHCNFDLYQAPVANWRDTLYLRMAPDPPAADELPEICR >Sspon.03G0021570-3C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3C:84288971:84289717:-1 gene:Sspon.03G0021570-3C transcript:Sspon.03G0021570-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAITSKEKEEALQLKQGESKVFSKLFTRESSAAAPSFRVYYGVASAGSVPFLWESQPGTPKNDAISTATLQPPLTPPPSYYTAARQQQAAPPHSLSHRRRNRSSSSSSSSSAAATATGKNNKKLSTKHYISIFSAMLPKMILHRRWSSKPAASSGSSPSAASSSCSSSSWSAFSSSASSSLSLSSFRSAQSPAACSSMRSRVFAFSAADDDSEGEQAAPPMCFSVRHESFRAFRGCRVAMTVKSALAS >Sspon.06G0006790-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:22781413:22784953:1 gene:Sspon.06G0006790-2C transcript:Sspon.06G0006790-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHHEPDEATADETSISTQPPSYNPSQAPTVYKVGYPQKKNLATEFTNALRETFFHDNPLKQYKDQPGSTKLKMGLQFLFPVFDWGRTYNLNKFKDSSFIPPLIYAAMGSSRDIAIGPVAVVSLLLGSLLQNEVDHEKNKEEYLRLAFTATFFAGITQAALGFLRLGFLIDFLSHAAIVGFMGGAAITIALQQLKYVLGIRNFTKETDIVSVMESVWGSVHHGWNWQTVVIGFAFLAFLLFAKYIGKRNKKYFWVPAIAPITSVILATLFVYLFRADKQGVQIVNKIKKGVNPSSLHKIYFTGPFVAKGFKIGVVCGMIGLTEAVAIGRTFAAMKDYQIDGNKEMVALGTMNIVGSMTSCYIATGSFSRSAVNFMAGCRTPVSNVIMSMVVLLTLLVITPLFKYTPNAILGSIIISAVIGLVDYEAVILIWKVDKMDFIACMGAFFGVVFKSVEIGLLIAVSISFAKILVQVTRPRTALLGNLPGTTIYRNTEQYPHGRHVPGVVIVRVDSAIYFSNSNYVRERILRWLTDEEDKVNADGLPKINFLVVEMSPVIDIDTSGIHALEDLYKNLQRRGIQLLLSNPGSAVIEKLHSSKLTEHIGNNHIFLTVADAVRFCTSKSMQEP >Sspon.06G0005090-1P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6C:16174566:16175003:1 gene:Sspon.06G0005090-1P transcript:Sspon.06G0005090-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVPFFVAFSAILLVGKYLPLALPLNLRPALLVDNAARPPARAAKCAISVAVGGLALLVSMQQQGSGAGAGGTAGAATMMEARVLWFNSAALFLGTLLGVVAVALHPPAPFVQQVAVDHLTVVTEIVTINAFAHNLCVFFKMFKV >Sspon.04G0007240-3P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:11233704:11235121:-1 gene:Sspon.04G0007240-3P transcript:Sspon.04G0007240-3P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to Homeobox-leucine zipper protein HOX22 [Source: Projected from Oryza sativa (Os04g0541700)] MDRPDHHQHQFFMPAPAQVPQPQQQLCVPMMDELSSFLAGMGGGGGPPSSAAARGERKRRFTEEQIRSLESMFHAHHAKMEPREKAELARELGLQPRQVAIWFQNKRARWRSKQLEHDYALLRAKFDDLHARVESLKQDKLALATQLNELSERLREREDRAGAGAGGATTASSSCCNGGGGDAEAEDDKRNVVLGCVNNMEPPPESCVLVGSCATPADVVSVESECDDHHLDYGDGFPESYCAMPELWEPWPLVEWNAVA >Sspon.02G0019490-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:81785015:81785251:-1 gene:Sspon.02G0019490-2C transcript:Sspon.02G0019490-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQLVAGVAVLLLFLGSGVMTASVAARSAPVASKGGSGSAVAQSETTAAAAAADSSAQPSGCTNGSGPGGYCHPPSEH >Sspon.02G0013570-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:29175845:29183039:-1 gene:Sspon.02G0013570-3D transcript:Sspon.02G0013570-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPAVVAALLLVAVAASPAAALYSAGSPVLQLNPNNFKSKVLNSNGVVLVEFFAPWCGHCKQLAPAWEKAAGVLKGVATVAALDADAHQALAQEYGIRGFPTIKVFSPGKPPVDYQGARDVKPIVEFALSQVKSLLRERLSGKASTGSNGKTSGGSSEKSEPSASVELNSRNFDELVVKSKDLWIVEFFAPWCGHCKKLAPEWKKAAKNLKGQVKLGHVDCDAEKSLMSKYKVEGFPTILVFGADKESPFPYQGARAASAIESFALEQLEANSGPAEVSELTGPDVMEEKCASAAICFVSFLPDILDSKAEGRNNFVWTAAGKQANLENQVGVGGYGYPAMVALNVKKGAYAPLRSAFQRDEIIEFVKEAGRGGKGNLPLNDAPTVVASEPWDGKDGEVIEEDEFSLDELMGDSSSVNDEL >Sspon.05G0037410-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5D:13208978:13209437:1 gene:Sspon.05G0037410-1D transcript:Sspon.05G0037410-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLLIPRRQWVYKSNGSPLGCFLPYALVFALLALPVPPHASGAPKNVRSPHCGLGIFPCPDASCLGCDSAKGGVTGNSSWLCNGTVAWCSAPIRPRMLPWLWG >Sspon.02G0019950-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:65031922:65032518:-1 gene:Sspon.02G0019950-2B transcript:Sspon.02G0019950-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GRGWEEHGGAADGAQPHHVPHRHRLRELEPQPLHQRADQLPRCGRQRRDVLLPGVRDPGGRGGRGVEAGRGAPRPRVAPRQPGHQRGVVAHRLGHHRARLRVGLQGDQRRGTPRVAPPRAGGLRHHPRLHAAPLRAHAPRGTLRRQRRIPGPRLRRRRRRGRRAQGRPQSLM >Sspon.03G0024880-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3A:75496719:75497578:1 gene:Sspon.03G0024880-1A transcript:Sspon.03G0024880-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKFALGHHREASDAGCVRAVLAELILTFLFVFAGVGSAMATGKLAGGGGNTVVGLTAVALAHTLVVAVMVSAGLHVSGGHINPAVTLGLAATGRITLFRSALYVAAQLLGSALACLLLAFLTAGGAPVPVHALGAGVGALRGVLMEVVLTFSLLFAVYATVVDPRRAVGSMGPLLVGLVVGANVLAGGPFSGASMNPARSFGPALVAGVWADHWVYWVGPLIGGPLAGLVYDGLFMAQGGHEPLPRDDNDF >Sspon.03G0025420-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:77099214:77100853:-1 gene:Sspon.03G0025420-1A transcript:Sspon.03G0025420-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEPLLTSLSMENSNSHPCTLLSMDPAGSHPASAESSGGGSAANNGVGVGVGGGGDRELFIIPRRESAHPGPPDINLPLSADPSPPPPTWSLDTFDILDVTLGTHNYESEVALTLPKLTGNGNGNGSATIGVGARKRQHLGCMFFFNHYFKPALVEKPKGKVTRDPSGSISGFDKSDLRLDVFLVQHDMENMYMWVFKERPDNALGKMQLRSFMNGHSKHGEPSFPFSADKGFSRSHRMQRKHYRGLSNPQCLHGIEIVGSPNLSAVPEADLKRWAELTGRELNFSIPSEASDFESWRNLPSTDFELDRPHPPAAKSATHGSHSHKKGLNGSGLNLSTPPSSDDGMDLSPKCAKRRKDFFGHGVEEDCVMANNSCSDREQEVEAHTGEPSWMHEFTGVAKHASGPVTAAKTIYEDEEGYLIVVSMLFSDPRSVKVSWRNTLTHGIVKITCVSTARMPYIKRHDRMFKLTDPFPEHCPPGEFVREIPLATRIPEDAKIEAYYDETGTGLEIMVPKHRVGPEEHEVQVCMRPPHLGDNDLVLS >Sspon.02G0055640-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2D:9764493:9764837:1 gene:Sspon.02G0055640-1D transcript:Sspon.02G0055640-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVALDAVEVIAAVKWRHTAVVESVAAGLPGRYGSRMAVRKDTAKELGNEDDGMALSLSAVDPLQGAGLICAGRSAQRVWSEASGACGVLCLCSGSMRPDENGCPNAINKVLPFE >Sspon.02G0032990-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:4031370:4038967:-1 gene:Sspon.02G0032990-3D transcript:Sspon.02G0032990-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VLCEDRGDMRVTGEKPCTHQELDIDQTNSSSLNNSSECENQTPSNDEISGSESNLEIAKNEGDVPSGEKVLKKPDKILPCPRCNSMDTKFCYYNNYNIKQPRHFCKSCQRYWTAGGSMRNIPVGAGRRKSKSSSANSRSILIPGSSIATPGGEASLFPLSIKGNQAAVSFGPDSPLCNSMASVLKIGGEQIKSSNPASAAQLRNGENQTCPPSTTSSDGPGKESQKGTASAHQSGMIGQSNGVTSVHPIPFFPGPPFVYPWSPAWNGIPTMAAAVCPAPAEAANSSENGSTSGNVQWNVPPIVPVLPPGFCGSIPVPVMPPSVLAPFAASTTWFTGFTRRVDLRLQDILDAHVFVSEASASGIGVQVSASGVISNCRGWGSRCSRSSHGRRFSKVLGSNLMLMIFAEVESEKGRGRLPCAVRPDVLGREVEVKYWRSLRELFLKV >Sspon.07G0003570-1P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7A:9088736:9092804:-1 gene:Sspon.07G0003570-1P transcript:Sspon.07G0003570-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VGPTACRPRIISIRPSIHVVSSGTSKTLSPSQRLPITQHQSTTTTEPASSSPHLSASRNLAPIPLEAYGLGGLRSNRIGSMEISLEASWVGVQRHGQDLADRLAQGFSGLLLHAQPPQLPPWSPPALLPPKIVIPFDIDLPVVPFVGGVRRAAGAVDLPAVAVSSLVEIGGRLGQAGSELGAAVQQLARLVPVPVPVPFLKWEAAQPPTAVTADEGEVALAVRTVGSTNVALERAVDIGSLEVAAAAAAAATGSATAASAGAVGAMGDDGLDEEEDGFGCEIGTLENFEKAKNGMHSLCPAIWSKHRKWMLMSMMCLNPVACSFMDVQFPNGQLTYVAGEGITASGLIPLFGGLLQAHGKCPGDTRVSFSFKLGRSKWNGQVGSSGLVITLETPLDNMARPSLSVQLNGGFEF >Sspon.05G0004590-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:8642845:8643436:1 gene:Sspon.05G0004590-1P transcript:Sspon.05G0004590-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GGLRPVRGRGKEEVVSKFEEQEEDIGCPSESSAVRSTSSSSDGVDLADDASSSGSNSHFEMASLMTHLPIKRGLSKFFDGKSQSFASLAAVGGLEDLPKPPAKRLKTSRSCGVSLKDAHRGPNPATGKKQAVLGSAPRRLVRARPLVTAR >Sspon.01G0046690-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:99874567:99877402:-1 gene:Sspon.01G0046690-1B transcript:Sspon.01G0046690-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPICREDTLPEEKAVKIRRREKHEFSYHKWAHLGVPMLISGGDDTKLFAYSAREFTQFAPHNFCPAPQRPLIKLARDSTVNGDSVMLVQSPNWLDVLLVTVQNKLTTPSTSSSTGDATVRQLARLKSKGSRKIISSAASANGTLLAYSDGVRPCLFALRHKGGKKYTLDKLELPKGLPCSQSMLFTVDSSNLILAGRNGKIYIIDIATREISNVFHPTRKADGAKISRESPVTKMFLSADGQWLAAVNCYGDIYVFNLEVQRQHWFVSRMNGSSVTSGGFCPKNNTLVVTTSKNEVYVFDVEAKQLGEWSKRYTHQLPRSFQEFPGEVIGLSFPLQSSSSVVVYSTRAMCYIDFGSPVVEDVDLPNGPVGPAEKSDVKKSTKTRQKRKAHDEESKQEKRSNFNFFAFKEPVLFVGHLLDSSILIVEKRWMDVVEGFSAPVHRHIYGT >Sspon.07G0004540-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:11443370:11446643:-1 gene:Sspon.07G0004540-1A transcript:Sspon.07G0004540-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKISPFSIRFLLAMLSVHSSAVDMFNCNPGAQAYSTVGTPDYIAPEVLLKKGYGMECDWWSLGAIMYEMLVGYPPFYSEDPMSTCRKIVNWRSHLKFPEEAKLSPEAKDLISKLLCNVEQRLGTKGAHEIKAHPWFRGVQWEKLYQMKAAFIPEVNSELDTQNFEKFEETGTQIQSSSKSGPWRKMLPSKDANFVGYTYKNFEIVNDDEVAGIAELKKKSSKPKRPTIKTLFESMDEEEPVQGSFLGMLPPKEGQPSSHSSIPPEKYQPRRK >Sspon.02G0043770-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:107646826:107649438:-1 gene:Sspon.02G0043770-2D transcript:Sspon.02G0043770-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFNIANPTTGCQRKLEIDDDQKLRVFFDKRISQEVSGDALGEEFKGYVFKIMGGCDKQGFPMKQGVLTPGRVRLLLHRGTPCFRGYGRRNGERRRKSVRGCIVSQDLSVINLVIVKKGENDLPGLTDTEKPRMRGPKRASKIRKLFNLSKDDDVRKYVNTYRRTFTTKSGKKVSKAPKIQRLVTPQVLQRKRARIAEKKKRIAKKQAEAAEYQKLLAQRLKEQRERRSESLAKRRSKLSAAAKASAATSA >Sspon.03G0013800-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3A:39766410:39768878:1 gene:Sspon.03G0013800-1A transcript:Sspon.03G0013800-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCPYTSSQNGKAERMIRTTNDTVRTLLFQASLPARFWAEGLHTSTYLLNRLPSAACPAPTPHHALFGTPPRYDHLRVFGCACYPNTAATSSHKWDPRSTRCVFLGYSPDHKGYRCFDLTSRRVLISRHVVFDESVFPFSSTTTPPVPDPPSLFPTDTVVQPPFPWSPAGTAAPSSLPASCPGSPVGPGPSSSGAALPSPVGADPGPSSPASAPGGPCRSPSPTPAPAPPSRFAAPVRVYQRRPWPPPLAVTSPPGTPTPPPQSPPTRGAPPVYHPLLLHRHPRHVHPMVTRHAADTLPPRALAASTGDAVVSPVPSSVRDTLLDPHWRRAMEEEYAALLANQTWELVPRPPGSNVVTGKWIWTHKRRADGTLERYKARWVLQGFTQRPGVDYDETFNPVVKPATVRTVLSLALARSWPVHQLDVKNAFLHGLLTETVYCSQPAGFVDSTHPDMVCRLNRSLYGLKQAPRAWNHRFAAFSLTLGFVEAKSDTSRFVYHHGADTAYLLLYVDDIVLTASSEPLLRRIIAALQQEFAMKDLGELHHFLRVTVEHRPAGLLLHQRQYTRDILERAGMTDCNPCSTPVDTQGKLSEVEGPPVADPTAYRSLAGALQYLTFTRPDITYAVQQVCLHMHDPREPHLTALKRLLRYLRGMLDYGLLLHQASSTDLVVYTDADWAGCPDTRRSTSGYAVFLGGNLLSWSSKRQPVVSRSSAEAEYRAVANGVAEASWLRQLLAELHTSPSRSTLIYCDNVSAVYLSTNPIQHQRTKHVEIDLHFVRDRVAMGEVRVLHVPTTSQFADIFTKGLPSSTFAEFRSSLNITGG >Sspon.04G0003990-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:12959165:12964684:-1 gene:Sspon.04G0003990-1P transcript:Sspon.04G0003990-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGGGEEGNASAHKGSARRRGPVQAGLDADDLLTLMHGSDPVKVELNRLENEVRDKDRELGEAHAEIKALRLSERAREKAVEELTAELEKVDEKLKLTESLLESKNLELKKTNDEKKAAMAAQFAAEATLRRVHAAQKDDDMPPIEAILAPLEAELKISRKEIAKLQDDNRALDRLTKQKEAALLEAERTVQIAMAKAAMVDDMQNKNQELIKQIEICQEENKILDKLHRQKVAEVEKLSQTVRELEEDVLQGGAAANVVRDYQRKVQEMNEEKKIRDRELARAKVTANRVAVVVANEWKDANDKVMPVKQWLEERRFLQGEMQQLRDKLAIAERTARSEAQLKEKCQLRLKVLEDSLRGPPSGSSRPPMEGKSISNGPPRRLSLGGYIQNLSKRCVNEEVSIFQFKIPLSTSSCLVLKHAKGTSKSFDGGTRSLDRGKVLGSGPHSLNRSTDAVKDCETTDNWKANAEEKSNETTNNDSADMVSGVLYDVLQKEVVSLRKACHEKDQTLKDKEDAIEMLAKKVDTLHKAKEVEAKKKRQEIAALEKKFAAVCLEQERLGNLRGPGTSQTVSGRTASRGGLTRNLQ >Sspon.07G0032120-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:45599557:45605063:-1 gene:Sspon.07G0032120-1C transcript:Sspon.07G0032120-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPLSSTDSLVPPLRRRPRRLVFDRRYGWIFDEWTDPADQALSGGRGMFCAVTVARSLVNAAASSINYAASSISRVLERPKRLPLPAHMSTLAFRKKQQAWFRELECSGVVADLKLIHCCTHSVLECTATDCLCLTKKHGHLWISRDGTLIGDTVIKP >Sspon.07G0005940-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:15527559:15528329:-1 gene:Sspon.07G0005940-1A transcript:Sspon.07G0005940-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MWPSWVKTRSSDSAAASTSTALVPAAGASPRLSIPNPSLKDLRSLLAPDSAAALPSASPSPRVFHRIRVAASALRVLRTLQHSPSPAAAPGAGGKELVPGAWGGGGRVVLYFTSLRVVRGTYEDCRAVRAILRGLRAAVDERDLSMDPGYLPELAALLPPHAQQLPQVFVGGRHLGGAEEVRRLHESGELRRIVAPAPAFSGNCARCGGERYVLCGACDGSHKRYSLKGGGGFRACAECNENGLVRCPACCVVPAA >Sspon.08G0007110-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:22610193:22614330:1 gene:Sspon.08G0007110-3C transcript:Sspon.08G0007110-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATHGGGPRPCHLLILLAAAALYSASSPAGAFYLPGVAPRDFQKDDELQVKVNKLSSIKTQLPYDYYFLDYCKPEAIKNSAENLGEVLRGDRIENSVYNFKMRRDESCKVVCRRHLSQEAAKNFKEKIDDEYRVNMILDNLPVVVPRQTREGSQTPSFEHGYRVGYKLKDDKYYINNHLSFKVLYHEDQTSPDARIVGFHVIPSSIKHEYGAWDDNNPTAQTCNANIKITPGSHTPQEVAPDAYVVFSYDVTFESSDIIWASRWDVYLLSSDSQIHWFSIVNSLMIVLFLSGMVAMIMMRTLYKDIANYNQLDNQDEAQEETGWKLVHGDVFRPPVHSGLLCVYVGTGVQFFGMTLVTMMFALLGFLSPANRGGLMTAMVLLWVFMGVLAGYTSSRLYKMFKGTEWKKITLKTAFMFPGIIFSVFFFLNALIWGEKSSGAVPFGTMFALFLLWFGISVPLVFVGSFLGFKQPAIEDPVKTNKIPRQIPEQAWYLQPAFAILAGGILPFGAVFIELFFILTSIWLNQFYYIFGFLFIVFVILIVTCAEITIVLCYFQLCSEDYHWWWRAYLTAGSSALYLFAYAIFYFFNKLEITKLVSGILYFGYMLIISYAFFVLTGTIGFYACFWFVRKIYASVKID >Sspon.06G0004380-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:11825555:11827162:1 gene:Sspon.06G0004380-3C transcript:Sspon.06G0004380-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKKDKAPPPSSKPAKSGGGKQKKKKWSKGKQKEKVNNAVLFDQATYDKLLSEVPKYKQITPSVLSERLRINGSLARRAIKDLMARGLIRMVSVHSSQQIYTRATNT >Sspon.01G0042710-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:59669782:59670270:-1 gene:Sspon.01G0042710-3D transcript:Sspon.01G0042710-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAGSVQGHGARFAAACDVLSRYVKAAAVATTTVDQPRPLAGTVVGVLPLMPGADLSTAEAEADAGPAPSAQQLTISYGGRVVVLDDVPADRAAELLRLAAAAPRVLRKDDLPMARKASLRQFMEKRKGRVAKRASPYSRPADAGKAAAASSFPDHLALTL >Sspon.06G0000520-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:2375178:2376056:-1 gene:Sspon.06G0000520-1A transcript:Sspon.06G0000520-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSIHIHHPRLASDEIYRTLESSYSPSASYCSDDAFVPVFRPDPSAPSASASAAVAAAADRVRSLFSSVDVALFRDALFAPGCDGAGDSHRDGLGFTDADAEAAEYDGDLTSICWDCLEIEDADDPLVASPAEEFEWEEVASPSGAAAGEAPDPEWEVLADVPPPPADAEEGFVYTSHHREEAAAYEVLVAGGEGLFLKNKPPAARSAVEALPSAVVAAGQEGEGDECAVCKDGVAAGQRVKRLPCSHRYHDDCIVPWLQVRNSCPLCRYELPTDDPEYESWKAGRTVAA >Sspon.02G0004500-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:13681592:13686181:1 gene:Sspon.02G0004500-2B transcript:Sspon.02G0004500-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-conjugating enzyme COP10 [Source:Projected from Arabidopsis thaliana (AT3G13550) UniProtKB/TrEMBL;Acc:Q0WNL1] MSSSSSFPNSRFPFASGAGAPGRSGGGGGSSVRPWGRAAGRRCLRLGNGYRRSFWTSTPPTAPQGPRATTSTTGSLLSSDPKKELLDLNASDCSAGPKGDNLYHWLSTIIGPQGSPYEGGVFFLDIVFPPDYPFKPPMVTFKTRIYHCNIDSTGKVHLDILKDGWSPAFTISKVLLAIKDIISNPDPYTPLVMSISRHQKYVKHAIHIFHLKPNPAGYHLGGGVGQGFSYTGMIKLPRQALILQMTKLDFTSEVDASPFH >Sspon.07G0002550-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:5452439:5454536:1 gene:Sspon.07G0002550-2P transcript:Sspon.07G0002550-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MWKQFLSKLPRKSSASGDSGQCSNGTGIQRTSSCGSIPPGRPASAIRRMSSAVFPSSVVAGIEPLVSFKDVPNSEKQNLFVSKLSLCCVVFDFSDPNKSSVEKDIKRQALLDLIEFVESTNARFSEAAIAACSRMCAINLFRAFPPNCRSGSSGGGEGDEDEPMFDPAWCHLQLVYELLLKFIGSSSLDAKIGKKHFDHSFIVKLLNLLDSEDPRERDCLKTILHRVYGKFMVHRPFIRKAVSNIFYQFVFETDRHNGIAELLEVFGSVISGFALPLKEEHKIFLWRVLVPLHKPKSVGLYLQQLTYCVTQFIEKDPKLASSVIIGLLRYWPITNCQKEVMFLSEIEEILESTSQAEFQKCMVPLFRRIAHCITSSHFQVAERALFVWNNDHIISLIAQNHKVIMPLVVPALEHNIQNHWNQAVLNLTANVKKMFSEMDDDLSSSCLAKYKEEEEKRVSIEAKRKLTWEKLEAAAAFQPVTGHTAVLVGHQPSANMIATLI >Sspon.01G0034720-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:5233552:5234944:-1 gene:Sspon.01G0034720-1B transcript:Sspon.01G0034720-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MWLHLKSQSLLQVDGLTISKSEPKSSSSTPAARAEQISSLPGRYTTGRKTAAGCYSPSTRLPHTDRFYTAWGSSSSAALSILKGRNASCHVAWTGREARDKKSSQSAKGEWQRELLWSERRTISSRRFFEPSFLPTLALSVLVAHAPSFRTQVDVMGGEVGPAAMG >Sspon.06G0025800-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:77817443:77822612:1 gene:Sspon.06G0025800-2C transcript:Sspon.06G0025800-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSLLLPQLGRPRLSIRASGRGARVVRGGGGGARPWAQHATRGAGAREGGIECMGTAPGLPLPCPASLPTQLSFASAADTLADAAEEWLAKARRIEDTLQEQLWRLGNCSRCSRLSSPLVQQQVQSWLLKSSANVQAAVVFWDAYVECSSGCYGSVCEETEGKAGSCSRSDNRREGRSERMDWARSVSDGFNAVTMNRWIGLVCGFTGFNPEGDITTSGGGGGWRRTIIQVTTLNGIVNV >Sspon.07G0001400-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:3275734:3280675:1 gene:Sspon.07G0001400-1A transcript:Sspon.07G0001400-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LLCPSHIEPSWSHLLRLRGSNATADPSKEGEVPFQREEEGGRAKTSATCSEKPGQGQPAQMASETVGGQEQVGPRVLDCIICSEPLRPPIFQCEVGHVLCFVCRGKLCSNLCPTCFQAIGFNRCFAIEQMADAVKVPCSNSNFGCDEYITYYQKEKHEKTCMHAPCFCPEDGCSFEGSTASLLNHFVTEHKWSPTNFHYDKAQRISIPRHSRFVLLVGEDQSMFLMVNNCVHIGNALTTVCIRPHESGSCYSSKISAIHRAEADKGRYLFQMDPHVASSSLHGGVQLGSKFFLLVPRDLVDESTDELTINVSIEKIKCAVHH >Sspon.02G0009870-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:26688677:26690180:1 gene:Sspon.02G0009870-1T transcript:Sspon.02G0009870-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGYWRKTRVVSMDVEHKDHLLAAAHRVLGVAVLFLCLFAELLVFAFRRHAALYLVPACAMLLLWRFNRRAAADVGLVDFSCLRPPRRLRIPIPGLLEHLRLIGCFDDGSVEFMSRVIEACGMGDETYFPPSLHYIPPSATHADAVAEARGMYLPTLDALFARTGVPPSAVGALVVNCSGFCPAPSLAALIAGHYRMRADVRTVSLSGMGCAAGVVGVDVARGVLSAHAAARYALVVSAEIVTVGWYSGRDRCKLLLNCFFRTGCAAALLTSTSAVSVPPNCKYRLVALARTNRTADDRSYASAVREEDGEGITGFSIGRGLGGVARDLLRAHLLALGPAILPWHEKLRYAAALLLFRRRQQRFKKLQDDGDGNTDGPKPNFLTAASHFCLPSSGKPMIRRLAEGLGLGEREAEAALMTFHRFGNQSAASLWYQLAYHEAAGRVRRGDRVWQLGMGSGPKANSVVPRARGRGQWPWTDCIDRFPVRE >Sspon.01G0045680-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:84162709:84171366:1 gene:Sspon.01G0045680-3D transcript:Sspon.01G0045680-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKAGGGAADEEEFRAEVEERLINEEYKIWKKNTPFLYDLVITHALEWPSLTVQWLPDRTEPPGKDHSVQKMILGTHTSDNEPNYLMLAQVQLPLDDAEADARHYDDDHADIGGFGAASGKVQIVQQINHDGEVNRARYMPQNSFIIATKTVSAEVYVFDYSKHPSKPPLDGACNPDLRLKGHNSEGYGLSWSIFKEGHLLSGSDDAQICLWDIKANSKNKSLDALQIFKHHDGVVEDVAWHLRHEYLFGSVGDDHHLLIWDLRSPAPTKPVQSVVAHQGEVNCLAFNPFNEWVLLQLVLLTRLSNYLIRKIDTSLHTFDCHKEEVFQVGWSPKNETILASCCLGRRLMIWDLSRIDQEQTPEDAEDGPPELMFIHGGHTSKISDFSWNPCEDWVIASVAEDNILQIWQMAENIYHDEDDLPISDEPAKTS >Sspon.01G0010940-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1B:37606143:37606522:1 gene:Sspon.01G0010940-2B transcript:Sspon.01G0010940-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GKTFKKPRRPYEKERLDAELKLVGEYGLRCKRELWRVQYALSRIRNAARELLTLDEKNPRRIFEGEALLRRMNRYGLLGEGQNKLDYVLALTVENFLQRRLQTIVFKNGMAKSIHHARVLIRQRHI >Sspon.03G0035480-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:45368892:45369368:-1 gene:Sspon.03G0035480-2D transcript:Sspon.03G0035480-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LMAAASSLSLPVPATPAALRHWAARHGPALPRRSPPAAATSGGRGHYGGALVDEGMSVLRRRIREARMAETNYEAPAEWAPWEKRYYPAYVSDVSSLVGALQLLLMGTRPGVAIAVATLVLASVPVSAVAALHHLALVAEAVLQSVHHIS >Sspon.02G0039830-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:62000434:62003560:-1 gene:Sspon.02G0039830-1B transcript:Sspon.02G0039830-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPSHKDRIQASSQNEDEARKALENALGKKKAEFDKWGVEIERRQRGQPGGPAAGGGGWSGGGRWFRRLTGGGFWDAAKQTVFTILGIIGAFFLIANFNVLVAAVINSLLLVLRQIRRILSFIALCVSQGALVEKSGPKSSTLGSSNVAGVPAKERAGMSAKERAGMSAKERVAFHITETFLDE >Sspon.03G0029730-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:24159992:24163339:1 gene:Sspon.03G0029730-2C transcript:Sspon.03G0029730-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMSHQFAALVVVLLLVLAGVAAMVTADQESDRIRELPGQPPNVGFSQYSGYVTVNPARGRALFYWLVEAVPAAGPIAPLVLWLNGGPGCSSVGYGASEEVGPFRIRPDGQTLYLNPNSWNKAANLLFLESPAGVGFSYSNSSLDLYTAGDAKTALDAYAFLVNWLERFPQYKYREFYIAGESYAGHYVPQLAQLIYQKNKGVQNPTINFKGFMVGNAVTDDYHDYLGTFEYWWTHGLISDKTYHNLKATCLLDSSQHPSPDCVKNLNLASAEEGNIDPYSLNTKPCNDTASLKLGLGGRYPWLSRAYDPCTERYASIYYNRPEVQMAMHANTTGIHYPWQTCSDIVGSYWADSPRSMLPIYQELIAAGIKIWVFRLAAYEDVCTY >Sspon.08G0014160-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:58254542:58256198:1 gene:Sspon.08G0014160-1A transcript:Sspon.08G0014160-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASARHCLSWADLHPELLALVLKRLPSLADRARLGAVCRSWRCVVQQEPLLPSLPWLTLLDGSFLSIPDGEVHRMRVPGDVSIHGSVGHWLFLRRCLSGELVLMNHFSKLVVRLPMEANVSPGESMLFLKLVPLSTRDLLPDSLFAILMVTTRGLELRSEISICRRRSLAATTFRVSKYIDDITCFDGKLYALSLGELFVLKIVSSHQGNPRISSMKRVANAVQDHRTLCRLTEDNTYMGNYWNYLVESRGKLLHVRRLVGWLSTLPLQLEMERTRTVSFEVFEFTTGSRHNKWRRLYTLGDQALFIGPNSKSLSASECGAREDCICFACDYDHENWMAHPLRDCGIFNMRNGRITPLLRDGAVVRPQGRIGYDHQYYK >Sspon.03G0024230-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:92306752:92307573:-1 gene:Sspon.03G0024230-3C transcript:Sspon.03G0024230-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKQQQEVYFVFMNFDPVYERHRADRSKEGSATLDAYLSHKHDKLLAKLLQPDSYRKRSSLAIVDGFAVEITEDQASVLRSAKEVRVVEKNQELA >Sspon.06G0032750-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6D:1154494:1154806:1 gene:Sspon.06G0032750-1D transcript:Sspon.06G0032750-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAVGGMGDGAALEELDWGSIDDEDGAFGQLSEASKTQDGDLEAPEATQLAAGQATPAGGLGAQSPLLQPSPT >Sspon.07G0008260-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:19608469:19613101:1 gene:Sspon.07G0008260-1P transcript:Sspon.07G0008260-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIPRSLFEEKLEHMKESKGVKNDTDLTAADLKELVGQYKEVYLTAKGEPFPSDPKKQLELAVRAVFNSWESPRAKKYRSINQITGLVGTAVNVQSMVFGNMGNTSGTGVLFTRNPNTGEKKLYGEFLINAQGEDVVAGIRTPEDLDAMKDVMPQAYEELVENCNILESHYKEMQDIEFTVQENRLWMLQCRTGKRTGAGAVKIAVDMVSEGLVERRQAIKMVEPGHLDQLLHPQFENPAAYKDQVIATGLPASPGAAVGQIVFTAEDAEAWHAQGKAAILVRAETSPEDVGGMHAAAGILTERGGMTSHAAVVARGWGKCCVSGCSAIRVNDAEKTVAIGDHVLSEGEWISLNGSTGEVILGKQPLSPPALSGDLGTFMSWVDEVRKLKVLANADTPEDALAARNNGAQGIGLCRTEHMFFASDERIKAVRQMIMAPTVELRQQALDRLLPYQRSDFEGIFRAMDGLSVTIRLLDPPLHEFLPEGNVEEIVRELCAETGANEEEALERVEKLAEVNPMLGFRGCRLGISYPELTEMQARAIFEAAIAMSNQGVEVFPEIMELGHQVNVIKQVAEKVFTSMGKTIGYKIGTMIEIPRAALVADQIAEQAEFFSFGTNDLTQMTFGYSRDDVGKFIPIYLAQGILQHDPFEVLDQRGVGELVKFATERGRKTRPNLKVGICGEHGGEPSSVAFFAKAGLDYVSCSPFRVPIARLAAAQVLV >Sspon.05G0030560-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:91260932:91271622:1 gene:Sspon.05G0030560-1B transcript:Sspon.05G0030560-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding FDELLGKGAMKSVYPGFDEERGVEVAWNQASLADVLRSPDAVQRVYSEVQLLSSLRHDGIIGFHASWVDVPGRSFNFITELFSSGTLRSYRLRYPRVSLRAVRSWARQLLGGLAYLHARDPPVIHRDLKCDNIFVNGHQGQVKIGDLGLAAFMAPEMYDEEYDERVDVYAFGMCMLEMLTVEYPYSECSNPAQIYKKVTAGRLPDAFYRVEDDDARRFIGRCLVPAANRPSAAELLLDPFLIDDHGHRHHHHVAAAGTVVPVPPSLPAAVAAAGAPPPSTCSSSVDDVVSSSSSYDEVEHQQPQHPPPRNDMTITGKLNAEEDTIFLKVQIADEASGHARNIYFPFDMASDTAAEVAQEMVKELDITDRDASEIAAMIQQEIGRLLPLPGRAQQQHEYTYAERDDDDDDENDEERPPTFCCYLSSSPASSHGSHCGVGPYASRGFSGPRGGGWSKDHHHWYALSDDDDMSSVHSGDSATVQLARQLQRQCSMSPQHQHAGRLRQREDDDGTSWRRRMTRNRSMVDMRSQLLHRTLVEELNRRLFFNTVGAVENIGFRAPTTTSPSASSSSASAAARGGLDRGIRRSGGRQPLDDKQ >Sspon.06G0030700-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:52510272:52514118:1 gene:Sspon.06G0030700-1C transcript:Sspon.06G0030700-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEEIMFDNQTKPCRSRVDSKSNSSSLKPKFGSSWGSQIVKGFTADKKTKKTAAVASKKPPLASVENVNQTIQQIPYHSRVKRSLIGDFPCSPAGAQVHPMEQLRESKDRELALQSELRQCRENPKVSELEKELDSMRDEVDRLARLKTSLEAEKTSISEQLSALSSMVEHHEENVRLDGHGNRIPNVNGDNTPSENLEFEVVELRRLNKELQFQKRNLAIKLSSAESKLAGLEKNAESDIVAKVQAEASLLRHTNANLSKQVEGLQMSRLTEVEELAYLRWINSCLRHELCNSDQAARAMTDIDCNGVMAFNEDDGGEGDAKNAEDSSDIKFSIAERIKQWSQNDKSCQASKKEALLDRAWIEAAEARSPTRRHSLGGPKGCAQDFNIIKRRQSDTFISLPDATDESFACNKDPTIREKRDLLVDKYDFGRSESSRFVLSKPEVCKSQCLDVEKRALRIPNPPPRPSVSVSNSGPSNGSTVNPPRPPPPPPPPKFSSKSTGVMKRAPQVAELYHSLMRRDSKKDTSSGGICEAANSANVRSSMIGEIENRSSHLQAIKADVETQGEFVKSLIKEVTSAAYKDIEDVVAFVKWLDDELGFLVDERAVLKHFDWPERKADTLREAAFGYQDLKKLESEVSNYKDDPRLPCEIALKKMVTLSEKTERGVYNLLRTREAMMRQCKEFNIPTDWMLDNNLISKIKFASVKLAKMYMKRVAMELQYMGPLNKDPALEYMLLQAVRFAFRMHQFAGGFDPETMDAFEELRNLVHVRNSTQ >Sspon.01G0027280-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:95715285:95722344:1 gene:Sspon.01G0027280-1A transcript:Sspon.01G0027280-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAWGKKLINDPKDVVTQFIEGLVETYPGLQYLDGFPEVKVVLRSDVESGPMIRLQLYAEVEVVTNQPMAIRAVTGPKGCLLVVTNYTGDRLNFGLAAEEAKSEGYKVEVAHMVIVGDDCALSPTQGIAGRRGLAGTILVNKVAGAAADAGLPLEEVAEQARHASKSVGTMGVALSGCTLPGQGTSDRLGPHQMELGLGIHGEPGAAVIELQPVDAVVTRVLKQILSSETQYVPISRGDRVILLTNGLGATPIMELMIATRKTVRELRLEYDIATDRVYTGSFMTSLDMQGFSISLMKSDTTILKCLDASTKAPCWPAGTDGNKFAS >Sspon.07G0004470-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:22228324:22231477:1 gene:Sspon.07G0004470-3C transcript:Sspon.07G0004470-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGGGGGGGASGPELSTDNMKGIVLALLSSGFIGASFIIKKKGLRRAAVASGVRAGVGGYSYLMEPLWWVGMITSKGLWSSLSTLHRSRRLLQLGKYGTWRHNLVMSVKALGTSLKLTFEGTNQLIYPETWFFMLVVATCVLTQMNYLNKALDTFNTAIVSPIYYVMFTTLTILASVIMFKDWSGQSPGSIISEICGLVVVLSGTILLHVTKDYERIPQSRSVYAPLSPSLTTRLNGELLKHVEDERTSDEEKALRRQEMY >Sspon.08G0012950-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:64346505:64349333:1 gene:Sspon.08G0012950-2B transcript:Sspon.08G0012950-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPAALRFYCHQCDRNVSIAPPASPDADVLCPLCGGGFVEELPPNPTPPPPPPSAAFFASPSFDLRHPSDVSAFFGPTSPEPLAQGLFDPSNFLHDHFGGLLSGGATIQIVLEGGPALAPPPGLNLADYFVGSAGLEQLIQQLAENDPNRYGTPPAAKSAVASLPDVSVSADMMQADGGAQCAVCMDDFHLGAAAKQLPCKHVFHRDCILPWLDLHSSCPVCRFELPTDDPDYNHTQQQQHAASPAPAPAASSPRVAERRFRISLPWPLRAAFGAAQAESSNPNNDDTPPSGSGGNDNHNDAGQSKEGQWRYAMQQKSYYNVVNRTGGC >Sspon.02G0033170-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:5022397:5028872:1 gene:Sspon.02G0033170-1B transcript:Sspon.02G0033170-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPPSTTGAPAFRFLPSEVAEMEARLQQLNNGIPSRGVLQTLADKFSASPERAGKVAIQPKQVWNWFQNRRYSHRAKSTRAAPSPPAKMTPSGADHHQHAANASAFRAAQPSSVAAAHHGSSPTGKNPVEGVSVEFEAKSARDGAWYQNIIISHTSFIVPSLVMYDVAAFLSQRLFETGDPEVRVRFSGFGAEEDEWINVRKCVRQRSLPCEATECVAVLPGDLILCFQEGKEQALYFDARVLDAQRRRHDVRGCRCRFLVRYDHDSSEEIVPLRKVCRRPETDYRLQILHAARAAATNADVHTPPKEIKVELTSNDKSPAEQKPQKQHKMMDVNTDEVTMVSTLEQDETQGKKVAPIPSSTAETRNSSSDTVMKDVEASSVFEDDDEVQVVDKMKEG >Sspon.06G0003770-2P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2B:26381609:26382825:1 gene:Sspon.06G0003770-2P transcript:Sspon.06G0003770-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRARPKGTFADAMRESSPPHPPPASAAAAAVKEDEWEVRPGGMLVQKRSPDADAPAGAPVPTIRVKVKFNGVYHEIYINSQASFGELKKLLSARTGLHPEDQKLVYKDKERDSKAFLDMAGVKDRSKMVLLEDPAAQAKRLLEQRRTDKAERAAKSISRISLDVDKLATKVSALETIVSKGGKVVDADVVTLTEALMNELVKLDSIAAEGEVKVQRRIQEKRVQKYVETLDAIRAKNAASAPKANGNMNGHAKPRAPHLPPRPPPVSQRRNFQQQPSPAPGAVAPPTQSWESFDLLSSVPSTSSAA >Sspon.02G0002250-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:6209658:6212323:1 gene:Sspon.02G0002250-2B transcript:Sspon.02G0002250-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:bZIP transcription factor 49 [Source:Projected from Arabidopsis thaliana (AT3G56660) UniProtKB/Swiss-Prot;Acc:Q9LXX4] MAEPALLAPDPFADLPFPEFQAPVDGESFAFEDFDLEDLDLDVDFDLDLFASDGQLSQPPPLATSSSSAGSPERGSSSSGAGRDGGGLRNEESSESSSRSASGTDGSGQGKGEEDEAKRRARLVRNRESAHLSRQRKKQYVEELEGKVKAMQATIADLSARISCVTAENAALKQQLGGAAGAVPPPMPMYPRCILCRCRGCTRRIPCVPLVPIPRLKPQQSAPAAAEPPAKKARKTKKVASVSLLGFLCLAMLCGCLIPAVNRMYDSVDAGEGAAFRPPHHGRVLAVEGPRDNVLDGIDPKPPQSASETLPALLYLPKNGKHVKINGNLVIKSIVASEKASLRLSGYDGNSPQNQKKEETSLAIPGYVTPLEAGEVMESTKGMMKNELMALAPADGNVHREKDGLLPQWFSEAMSGPLLSSGMCTEVFQFDASPSSAHSNGIIPVYSNAMSNSSQNLTQNLPSAHPHTVKNRRISYSEAIPLRGSTSNDTEHLKTPPKNESFGSTKPVSSVVVSVLADPREAGDGDGEGRIPSKSLSRIFVVVLIDSVKFSVLHDVFLPSGLG >Sspon.03G0032420-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:46587348:46595419:1 gene:Sspon.03G0032420-2C transcript:Sspon.03G0032420-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTTHALRPCPLLSTIRSTPPRATAAARQGAAPALLVARCSSAGTPSAAQALKINSIPTKPVEGQKTGTSGLRKKVKVFQQENYLANWIQALFNSLPPEDYVGATLVLGGDGRYFNKEAAQIIIKIAAGNGVQKIIVGRNGLLSTPAVSAVIRKREANGGFIMSASHNPGGPDNDWGIKISEIKTAGIPDTDLSSVGVVSYGDFTLEVIDPVSDYLELMENVFDFQLIKDLLSRPDFRFIFDAMHAVTGAYAGPIFVEKLGADPDCILNGVPLEDFGNGHPDPNLTYAKELVFTMFGTHAPDFGAASDGDGDRNMILGKRFFITPSDSVAIIAANAQAAIPYFQFGTKGLARSMPTSGALDRVAKKLNVPFFEENCLFVERKVLGLDLITLERRMASGKKAVLAWLSILAHRNKDKKVGERLVSVEDIAREHWKTYGRNFFSRYDYEGTGSAGATIRLYIEQFESDISKHSLDAQTALKPLIDLALSVSKLKDFTGRDKPTVIT >Sspon.02G0016060-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:37910644:37914978:-1 gene:Sspon.02G0016060-4D transcript:Sspon.02G0016060-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKKRKAEAARLEETDRALYGAFRGAANSLSQLYTLAMGAQKASFHAGERHAMEKLYEWILRQHENGLRLTVADVASHIQHEIQYGGDNASASPRSQYPSQSTAPTVYIPNTSSQQPSPSLFAPGNTGLTQSKNSMVFSNALSSPIRRSLQPYHLEQGGDTGYFANGASRDVNPTASNDSSMDMHSDSPAHDSY >Sspon.03G0009560-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:32866232:32871537:-1 gene:Sspon.03G0009560-2B transcript:Sspon.03G0009560-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAPEQQHQQQQRRKGSSEMDFFSEYGDANRYKIQEVIGKGSYGVVCSAIDQHTGDKVAIKKIHNIFEHLSDAARILREIKLLRLLRHPDIVEIKHIMLPPSRRDFKDIYVVFELMDTDLHQVIKANDDLTREHHQFFLYQMLRALKYIHTANVYHRDLKPKNILANANCKLKICDFGLARVAFNDTPTTVFWTDYVATRWYRAPELCGSFFSKYSPAIDIWSIGCIFAEILTGKPLFPGKNVVHQLDLMTDLLGTPSLDTVSRALADPYFKGLAKVEREPSCQPITKMEFEFERKKVTKEDVKELIFREILEYHPLLLKDYMNGTEKTNFLYPSAVDNFRRQFANLEENGGKGGAHTASSEGSMFRSQARPGRVIGPVIPFENATAVDPYSQRRVARNPVLPPTTSNLSAYAYHRKSDNSDTELQQELEKDRMQYQPAQRFMDAKVVSQMSPDLRSSYY >Sspon.02G0001340-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:2230988:2235861:1 gene:Sspon.02G0001340-1P transcript:Sspon.02G0001340-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRASIPTNNSALIAIIADEDTVTGFLMAGVGNVDLRKKTNYLLVDNKTTVKQIEDAFKEFTTREDIAIVLISQYIANMIRFLVDSYNKPVPAILEIPSKDHPYDPAHDSVLSRVKYLFSAESVASDRR >Sspon.03G0006820-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:34284451:34286498:1 gene:Sspon.03G0006820-2P transcript:Sspon.03G0006820-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRWGLPTTERYQASYQLKCLMAVMAQKTVILYPSLGVGHLNPMVELAKVFLRRGLAVIIAVVDSPDKDSVSAEALARLATANPDIAFRLLPVPSCGGTEPCSSSPVMRAIDVLRVANPVLLGFLRALPAVDAIVLDMFCTDALDVAAELNIPAYIFFSSALGDLAIMVHMPYYYPTAPYSFKDMPETVLHFPGVPPIRALDMGAAMQDRDSDVAKARLSQCARMLEARGILVNSFDWLEARALEALSRGLCTPGRSAPPVHCIGPLVLPGNRGGAGERHACLEWLDAQPDQSVVFLSFGSLGRFSAPQLREIARGLENSGQRFLWVVRNPPEHRSNSVEPDLESLLPEGFLERTRERGFVVKNWAPQSEVLRHRSISVFVTHCGWNSALEGIASGVPMVCWPLYAEQKMNKVHMVEELKVGVVMEGYEEELVKAEEVEAKVRLVMAPGSGDGKELRQRLLTAKEMTVEVLKKGGSSDVAFDAFLTDLLKNTCTENSGL >Sspon.05G0008840-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:17828659:17830396:-1 gene:Sspon.05G0008840-3C transcript:Sspon.05G0008840-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEARSLSYVDGPVPIPADNSFLLENVKRIRICDTVGLKQRLLRYAASALLFTERGVDTCLVSWNRIVLLHGPPGTGKTSLCKALAQKLSIRFKSRYSMCQLIEVNAHSLFSKWFSESGKLVAKLFQKIQEMVEEESNLVFVL >Sspon.01G0010090-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:27982982:27996902:-1 gene:Sspon.01G0010090-3C transcript:Sspon.01G0010090-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAYTALLTLGLDRPPRLDSLVRFRSGLPTACSLKILPGLAAGRRSRTPPLRSLLQEKLYGKEITVADREMVEEERGGQVLREAAGADIALLVVGHPFGSDEPFRKAAHIDLVVRAKRMGIQVKVIDSASVVNAVGVCGLQLHRYGEGITIPFFTETYKRDFFCQAIRDQFYPVIVKNRWLGLHTLCLLGTKVYEPPRFMTVNTAISQLLELLEMRGEPEPAYDADSLCIGVARLGSDDEKILAGPMGKLVEADFGPPPHCLVIVGETTPEEEEMLEFYMIK >Sspon.05G0020050-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:82561590:82576168:1 gene:Sspon.05G0020050-3C transcript:Sspon.05G0020050-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPHWSRRRGPPPEKIRLALYVQKAAITFIDDELASITSKHDVKALKMHGGADGISKKIRSSFDHGISASDLDTRQNIYGINRYAEKPSRSFWMFVWDALQDMTLIILMVCALISAVVGLASEGWPKGMYDGLGIILSILLVVMVTAISDYRQSLQFKELDNEKKKIFIHVTRDGSRQKISIYDLAVGDIVHLSIGDQVPADGLYIHGYSLLIDESSLSGESDPVYISQDKTFILAGTKVQDGSAKMIVTAVGMRTEWGRLMSTLSEGGEDETPLQVKLNGVATIIGKIGLMFATLTFVVLMVRFLIEKGLTVGLSKWYSTDALTIVNYFATAVTIIVVAVPEGLPLAVTLSLAFAMKKLMNDKALVRHLSACETMGSAGTICTDKTGTLTTNHMVVDKIWISEVSKSVTSSNSLEDLTSAISPATLSLLLQGIFENTSAEVVNEKDGRQTVLGTPTERAIFEFGLKLAGLDAEDRTCTKVKVEPFNSVKKKMAVLVSLQNGVYRWFTKGASEIIVQMCDMMIDGGGNSVPLSEAQRKNILDTIYSFASDALRTLCLAYKEVDDFDDDADSPTSGFTLISIFGIKDPLRPGVKDAVKACMSAGIIVRMVTGDNINTAKAIAKECGILTDGDVAIEGPEFRSKSPEEMRDLIPKIRVMARSLPLDKHTFVTNLRGMFREVVAVTGDGTNDAPALHEADIGLAMGIAGTEVAKESADVIVLDDNFTTIINVARWGRAVYINIQKFVQFQLTVNIVALVINFVSACITGSAPLTAVQLLWVNMIMDTLGALALATEPPNDDMMKRPPVGRGESFITKVMWRNIIGQSLYQLVVLGVLMFAGEQFLNIKGADSKSVINTLIFNSFVFCQVFNEINSREMEKINVFRGMVTNWIFIAIIAVTVVFQVVIIEFLGTFASTVPLNWQLWLLSVGLGSVSLIVDELASITSKHDVKALKMHGGADGISKKIRSSFDHGISASDLDTRQNIYGINRYAEKPSRSFWMFVWDALQDMTLIILMVCALISAVVGLASEGWPKGMYDGLGIILSILLVVMVTAISDYRQSLQFKELDNEKKKIFIHVTRDGSRQKISIYDLAVGDIVHLSIGDQVPADGLYIHGYSLLIDESSLSGESDPVYISQDKPFILAGTKVQDGSAKMIVTAVGMRTEWGRLMSTLSEGGEDETPLQVKLNGVATIIGKIGLMFATLTFVVLMVRFLIEKGLTVGLSKWYSTDALTIVNYFATAVTIIVVAVPEGLPLAVTLSLAFAMKKLMNDKALVRHLSACETMGSAGTICTDKTGTLTTNHMVVDKIWISEVSKSVTSSNSLEDLTSAISPATLSLLLQGIFENTSAEVVNEKDGLDAEDRTCTKVKVEPFNSVKKKMAVLVSLQNGVYRWFTKGASEIIVQMCDMMIDGGGNSVPLSEAQRKNILDTIYSFASDALRTLCLAYKEVDDFDDDADSPTSGFTLISIFGIKDPLRPGVKDAVKACMSAGIIVRMVTGDNINTAKAIAKECGILTDGDVAIEGPEFRSKSPEEMRDLIPKIRVMARSLPLDKHTLVTNLRGMFREVVAVTGDGTNDAPALHEADIGLAMGIAGTEVAKESADVIVLDDNFTTIINVARWGRAVYINIQKFVQFQLTVNIVALVINFVSACITGSAPLTAVQLLWVNMIMDTLGALALATEPPNDDMMKRPPVGRGESFITKVMWRNIIGQSLYQLVVLGVLMFAGEQFLNIKGADSKSVINTLIFNSFVFCQVFNEINSREMEKINVFRGMVTNWIFIAIIAVTVVFQVVIIEFLGTFASTVPLNWQLWLLSVGLGSVSLIVGAILKCIPVTKSDGVPASPNGYAPLPSGPDDI >Sspon.07G0022410-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:7903814:7905907:-1 gene:Sspon.07G0022410-1B transcript:Sspon.07G0022410-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNNISCSLFSTPGRPKPPKTLLAARRPSPKPKPNRGRRMSLSKPVSARRLAPALFPLAHADAASAAASRRERRKDTFVATPPTPAPSPRAVRLAEPLPTLAPSRLAFHNRILALLSGPQADLPEAALLTRHALHSNCRPSSFTCAAVLAALLRARRLEDFFALHRFALQAAVPPTAATHVLYLSALAARRLADDALHHLRLLARPGSPVPPSPTAYRVVVECLVDHGRLADAVELKDEMLDSGFVGPDPKVYRILMAGFLGAGDGAKAVELYQELKDKVGGEPVLDGIVYGTLMKAYFLMGMEEKAMECYKEVLGVESAVRFGAESYNEAVDALGQNGRLEDALNLFDRMLGEHDPPLRIAVDLRSFRVMVDAYCAAGRFEDAIAVFRRMGEWKLVPDVASYNNLIRHLQINQLIDKVEVLYSEMCESGVGADEETYLLLMEACFSVNRIDDGISYFDKMNNLELKPDATAYHKLVGGLVGFSMVDKAQEYFDQMKEKGVSPSISTYETLLKAYIAADRLDDAVKVAKGILLDEKVVFSDGMWELLEGALRGDGRENDIAKLYEDVEREKAEAEARALEEKARAEALAREERERRRAEIAAKDEAAAKASAAAIEAILAHKRKTENEGAPAPDANTLDGGFLSKLGLKSAGEGALQGNPTESGDDEEGEFQGNPTERNGDNVPYL >Sspon.01G0032000-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:105671209:105673644:1 gene:Sspon.01G0032000-2B transcript:Sspon.01G0032000-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSVFRRIKTAEGSTRKQTSRAALPTPLLAGCPAHSPLPRPSTSSSSSSLLERGVDGSAASARAGAAGRGARAGVRGVAARRAAPDPPAPGAGQRLAFQEHRTSALVRAELDAIGVPYAWPIAQTGVVATIAGPAAAGGPVFALRADMDALPIQVRALEFKSKEDGKMHACGHDAHVAMLLGAAKLLQSRRHDLKGTVKLVFQPAEEGHAGGYHVLKEGVLDDVQVIFAVHVDTGLPVGLVGSRPGPVLAGAARFTATITGKGGHAAGPQHVVDPIVAASSAVLSLQQLVARETDPLQGAVVSVTFIKGGEAFNVIPESVTMGGTFRSMTNDGLSYLMKRIREVIEGQAAVSRCTATVDFMEEKMRPYPATVNDEAMYAHAKAVAESMLGEANVKVRPQVMAAEDFGFYAQKILAAFFSVGVRDEGTGKVHHVHSPHLQIDEGALPIGAAFHAAVAMEYLNIHVS >Sspon.05G0014760-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:56568121:56568874:1 gene:Sspon.05G0014760-1A transcript:Sspon.05G0014760-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-directed RNA polymerase, RBP11-like protein [Source:Projected from Arabidopsis thaliana (AT3G52090) UniProtKB/TrEMBL;Acc:F4J5R0] MNAPDRYERFVVPEGTKKVSYERDTKIVNAASFTIEREDHTIGNIVRMQLHRDPNVLFAGYKLPHPLQYKIIVRIHTTSQSSPTQAYTQAINDLDKELEYLKQALE >Sspon.07G0027920-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7B:63548733:63548922:1 gene:Sspon.07G0027920-1B transcript:Sspon.07G0027920-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding APNNHLLVLSSFLAMIEAKWPIEQLPTTVFWPLMSSSLAMMDDQHAIEQLPAKGIAMVLGLD >Sspon.01G0007750-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1A:21431636:21433147:-1 gene:Sspon.01G0007750-1A transcript:Sspon.01G0007750-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LVLRTWRARSIISKARQAGPTYPPGLEPYPLIGHLPQFLANRHRVLDWMTEFLERQTTCTFVLRRPGGVRGAITANPANVEHFLRTSFDRYPKGPRFSSLLHDFLGRGIFNADGEAWRVQRKVASHEFNTRSLRAFVARCVHAELHGRLLPLLWRAAASGAPLDLQDALERFAFDNICRVAFDHDPRELPDDGDDTADSSFADAFRDAANLSAGRFRYALPGFWKIKKALNVGSEKRLRESVAMVHDFADRIIQSRREEMLSADFEKHDLLSRFMASQDETYSESEVPLRDVVISFLLAGRETTSSALTWFFWLLSSRPDVQRRIRDEVAAVRARRAQGDVDSVVGFDLDELREMHYVHAAITESMRLYPPVPLNTLRAETDDVLPDGTSVRAGWFVAYNSYAMGRMKSVWGDDAREYRPERWLNPGDGTFRPDSPFRFVAFHAGPRLCLGKEMAYIQMKSIVACVLEELDVAVDGACRPRQVASLTLRMADGLPVSVKQRRD >Sspon.01G0006070-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:15925485:15934352:-1 gene:Sspon.01G0006070-1A transcript:Sspon.01G0006070-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCCSSRSSDSPASRVTRWRSTGIVALRDDRLKEVPNEVLQVGNSLRTLDLTNNKLVEIPQEIGRLVNMQRLVLAGNLIENIPANIGYLRNLKILTLDRNRISILPEELGLLSNLQQLSVSQNSLLCLPKSVGDLRNMSLLNVSDNKLKELPESIGACSSLEEFQANGNAIEDVPSSVCNLVCLKSLSLNGNKIRQLQQNCNSLSPNLNLYFQLPQNLLKDCTALQNLSLHDNPITRDQFQQMDGFEEFEARRRKKFDKQIDSRVMMGSTALDEGVDFH >Sspon.04G0026040-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4B:34545441:34546297:1 gene:Sspon.04G0026040-1B transcript:Sspon.04G0026040-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLEICLPLSSHLPCLMFEVRLPSGTTTDGFLGLYVDDVAIVTSLGFLAGVHPVDLDLDAPASAPEGSTIAAGRAFKSGTLMTMNASATGSKYTWISDSPNLSEAVLGGPLVEMENPSKGNGMTLHGMNLDRYPHHNDDAN >Sspon.03G0005400-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:22104165:22106856:-1 gene:Sspon.03G0005400-1P transcript:Sspon.03G0005400-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLTTTTSSPAALPAATTAAAASSISPHAGSKRPLLAGDDAPWRATAATARAGDPARPAHPPRPLLRVATQEDSAAYALAIMKHPDPIGEGLAMEAFAEAAGPECIVPGQQAPLRLMGLKVWPLDIDMKFLEPVGRELQSMKKFMDKSCSVMDSSMANK >Sspon.08G0020460-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:21625481:21630352:-1 gene:Sspon.08G0020460-1B transcript:Sspon.08G0020460-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMVEDPGTDGVIGWGKGNNSFVVADPFVFSQTMLPAHSKHNNFSSFVRQLDTYGFRKVDPDRWEFTHASFLRGPGRPTSCATSSSGGAGAGGGDGGKRKDAAASGSPAEASASASGDDMAMVATEVVRLKQEQRAIDDRVVSMWRRVQETERRPKQMLAFLLKVVGGRDRLHRLVGETATPPRQGQITGSPPAAPVPPSRPPRRARARLLLDGDSMVALGPEAVDFTGFYSGEFGDVAVDAAAGSGGVGGCSFAFGGGY >Sspon.08G0013520-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:54824049:54826280:-1 gene:Sspon.08G0013520-2D transcript:Sspon.08G0013520-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNSTMDLAMGPGVLLPRSAVPYASFARGRGRSASGRRSRNLALSASFSNGAAVPSLTTDSEKKGPVIMEIPLDQIRRPLMRTRANDPVKVQELMDSIRIIGLQVPIDVLEVDGVYYGFSGCHRYEAHQRLGLPTIRCKVRRGTKETLRHHMR >Sspon.02G0047730-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:19227431:19230814:-1 gene:Sspon.02G0047730-1C transcript:Sspon.02G0047730-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCNSGRGRDTPIRRAEAAAAADGHLPASTSSSATAPAAAMSKKKAVTTMTLKDFHGGSIPSELPLPSAPGV >Sspon.05G0005400-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:11513406:11517006:1 gene:Sspon.05G0005400-1P transcript:Sspon.05G0005400-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEQAPPYAPLPLVSSLAPDPSSTPSPNPTLVLPNPAFPNKRKRTGFRRKVPSGSPAPSPVASSQPPPPTSAADDIIVINREPTAEAITALTAGFPADSLTDEEIEAGVVSDVGGIEQVNYILIRNHLLCRWRETYNSWLAKEPFATLIPPHCEHLLTAAYSFLVSNSYVNFGVAPAIKERIPKEPTRPTTVVVVGAGLAGLAAARQLVAFGFKVIVLEGRKRCGGRVYTKKMEGGGRLAAADLGGSVLTGTFGNPLGIVAKQLGLPMHKIRDKCPLYRPNGSPVDPEVDKKVEITFNKLLDKSSNLRASMGEVAVDVSLGAALETLRQADGGISTQEEMNLFNWHLANLEYANAGLLSRLSLAFWDQDDPYDMGGDHCFLPGGNGKLVHALAENVPIVYERTVHSIRYGGDGVQVVVNGGQVYEGDMALCTVPLGVLKNGGIKFVPELPQRKLDSIKRLGFGLLNKVSMLFPHVFWSTDLDTFGHLVEDPRRRGEFFLFYSYATVAGGPLLMALVAGEAAHNFETMPPTDAVGSVLQILRGIYEPQGIEVPDPLQSVCTRWGTDSFSLGSYSHVAVGASGDDYDILAESVGDGRLFFAGEATTRRYPATMHGAFISGLREAANITLHANARAAKSKVDKSPSTNTQACAAILTDLFRQPDLEFGSFSVIFGGKASDPKSPAILKVELGVARKKNATEGVKTEQNHSNKLLFQQLQSHFNQQQQLYVYTLLSRQQAMELREVRGGDDMRLHYLCEKLGVKLVGRKGLGPGADAVIASIKAERNRSRTRPGPSKLKKALVNVRIDESKILELITELAEGWKNLAANTAP >Sspon.01G0000750-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:2125470:2128749:1 gene:Sspon.01G0000750-3C transcript:Sspon.01G0000750-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQPAMTPPFSPAAAGQHATPISSRPPPESQQHQVDEVGGGSASAGSSFVVHHDGGGASAGGDDGDRNGPSGGNRWPRQETLALLKIRSEMDAAFREAALKGPLWEQVARCVVMRVQKLEAMGYKRSAKKCREKFENVDKYYKRTKDGRAGRGDGKAYRFFSELEALHGASSPHPPVVVSLAPTPVAVAPPATALVMERQEEMQRRFIEAIERREQDRMIREEAWRRQEVARLAREQDALAQERAMAASRDAAVVSFIQRVTGQTIPMPMPSSVAPPPAFITALTHTPPPLQPTPVASAAPAPAPAQPPPPPAHQLSPTTPKPHTTMPMTAQLQPHQAPSPSTHPQGNKEIVVRAPPPAESQDTAGSGGGAPSPSRWPKAEVHALIQLRTELETRYQDSGPKGPLWEDISSGMRRLGYNRSAKRCKEKWENINKYFKKVKESNKKRPEDSKTCPYYHQLDALYRSKALASLSSGAAPAAAPPRPDQQAAAAPVTVLAAVPLSQTAPHGNGNGCASRGSSDNGGGSSGAMQKQQASNGAGVAARFSTAVDGAGGNGVVAANKREEGIIVTKETTAAVETRPQPVSMNDSYVNDDAVDSDSSMDDDDDEEEFDEEEEEGDVRGGGGNSKMQYEIQFQRQQHQQQNQSGGGVRPNASASAGAGPAATA >Sspon.01G0018660-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:66693356:66701570:1 gene:Sspon.01G0018660-4D transcript:Sspon.01G0018660-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPAAAAATATAAALRLRAASSSLSPPLAALLPYRRASLILPPLRRICSPAPPHASAAPDSQPPPRLPSPIMDAQFELFRAQLDESSTLRDRIRAVVAEIESASRVATAALLLVHQPVPLADVLVKAKAQVEVIKGLYAQLAEVLKECPGQYYRYHGDWRTETQMVVSMLAFMHWLETGGLLLMHAEAQEKLGLSSGEFGLDVEDYLTGLCFMSNEFPRFVVNRVTAGDYDCPKRVLGFLTDLHASFRMLNLRNDFLRKKFDGMKYDLRRVEEVYYDVKIRGLVPAELKQETQS >Sspon.04G0024430-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:23057240:23058677:-1 gene:Sspon.04G0024430-2C transcript:Sspon.04G0024430-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAELKRDYEIGAEIGRGRFGVVHRCTSRATGEAFAVKSVDRSQLADDLDRELAELEPKLAQLAGAGNPGVVQTHAVYEDEAWTHTVMDLCTGPDLLEWVGRRRGAPVPEPLAAAIVAQVAQALALCHRRGVAHRDVKPDNILISGNDGEDEEEDGGEADEAEAETATAPPRVRLADFGSAAWVGAGGLGRAEGLVGTPHYVAPEVVGGGEYGAKADVWSAGVVMYALLSGGALPFGGETAAEVLAAVLRGSVRFPASLFSGVSPAAKDLMRRMICRDEWRRFTAEQVLAHPWIVSGGGARAMERPT >Sspon.02G0018330-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:58843192:58844290:1 gene:Sspon.02G0018330-1A transcript:Sspon.02G0018330-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLGHETWRRSGPAGGAPGAHVARLRVSSATSWPSRMLPMRPFPSLVPSARSSHTSWERVVPLGDGDALSSSVRSVVASYWVRPSGRYPLPANTEWTGTDIPLCTLFTRTVSYPGKGGGEAIFSVCGNAAILPANSPPRPVAARRLPLAESKPRSRPPVPALESCFRTSPSPGIQV >Sspon.03G0000280-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:658256:661663:-1 gene:Sspon.03G0000280-1A transcript:Sspon.03G0000280-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVLFETPAGFALFKVLDERKLDKVEDLWKEFTTSDSARKAVELKAFNKFENTSDALSAATLIIDSKPSKGLRKFLQKHCEGETLAVADSKLGNAIKEKLKIDCLHNSAVMELMRGLRNQLTELITGLGAQDLGPMSLGLSHSLSRYKLKFSPEKVDTMIIQAIGLLDDLDKELNTYAMRVREWYGWHFPELTKIVADNIQYAKVVKMMGNRANAVNLDFSEILSDEELETQLKEAAVISMGTEVSDLDLLNIRELCDQVLALSEYRAQLYEYLRSRMNTITPNLTALVGELVGARLIAHGGSLLNLAKQPGSTIQILGAEKALFRALKTKHSTPKYGLIYHASLIGRASQKHKGKISRSLAAKTALAIRYDALGDGEDNSIGTESRLKLETRLQVLERKELGKSAGSTKGKPKIEVYEKDRKKGAGALTTPAKTYNPAADLVLGQSTEETPKKSELASKKRKHHEVETTPSAEPEEEAIQEDGDQEDRKKKKKKKSKETEETPAVEADGEKKKKKKSKEGEEPAVAASEGEKKKKKKKTSDVDGKDVAMQIEVPGKKDKKKKKKRHADDE >Sspon.05G0014840-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:56872216:56874068:-1 gene:Sspon.05G0014840-1A transcript:Sspon.05G0014840-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPGAGAGAPPASSVHGNVDYDDFHWDDAAEAELQAIEAAYASASAAAKRRRLPDWTSPSPTLSSRPRYSQSPVSGRSTPSWTLTPHTPQGNVRARRQQISFSGKIVYCRTPSEAEKAATDILHKIERMKTPGQVSLGFDLEWRPFPRRGEPPCKVAVMQLCMEKTVCYVLHIAHSGVPPILKTLLEDSSSIKVGICIDNDARKMLNDYDVCVQPLMDLSTLANVKLATPPKRWSLASLTEMITCKELPKPSNIRMGNWEVDVLSKQQLQYAATDAYISWYLYETFPDYTAEAETES >Sspon.01G0061500-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:94338670:94345654:-1 gene:Sspon.01G0061500-1P transcript:Sspon.01G0061500-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDATGLRMGNKGSTKGAPMPGMEGSVAKDLKKAPASLEENKSSGDEHLGGGPALTGKKRKDRRGSADEDRSGVTKRVLRSDAMKLRAEAEAACGVAVEVSKTDSLERKHYEAIVEAEACKSRVLMEVTCNGEEANGLVNLDVSIVSEESARCSENNMGMPGVPATDFSQGDGLGTQGSNAESDDKTVKSDEKVSAVTHSEQNDSKAGINSLSVDEAQDNIVRHGPCQGEVIDSAIANDADTSTYLTKISPTSGSESVKQEDSKQENNVVHTEEVILHSSDPKVEKHSHIDDVCTETEISLTQNGRCAVDNHTDLTGCTKQEERGSPVNEANDVSSHDIVFTRRGRKTCEAKQGTCEESWFEKRVTRSATVRQREVSGSSRKTTTNEAALGSRGRKGGIVAHYTRKVSSAVSPKGHHAELGERNTSMEKQTVKEKVVDRRDSGVTENDNHVNATEIKESENETEINLKTQPPVGSVRIVKKTTGAAVSAVDQNISGSAITERNDMEHTDSDGVKSENKTPVQKPLLSVGAKIVASKKRILEAGLDKITGRSPIALPSMKKTRNSSSDPEIDQPDKSSGEKLIGNNSDLGNKRVLRERQHRNQTNLSSRSSNHSNQNAIKQTQDQSDDDEISSDTSYRRTRSGRRRGAARLVVPKQEDSSDSEEVVVKQNRRKRKKSVHKQRAGSKLKHTSGPSKAGRGRPPLIKSESSSLSLQPGKGKMNVPEGTGTLREEKQKISDQIKAMLLDAGWTIDLRPRNGRDYMDSVYIPPSGKGSYWSVTKAYYAFRASMESEQKESTKDQTLSKKSVGSPGKRQVSSSPGCTLTEDILSKLKRVVVNKRTTKVEIQRLRQKRFKKEKKKNTANSRRLHLGNERKKRGGCALLVRGSNKESGSGTDGFVPYEWKRTIFSWLIDLDVLSVNTKLKCMDESHSKVLLEGIVTRDGIDCSCCSEVLSVLEFVAHSGSEVNKPYRNILVDGQDIDLLHCLINAWNMQSDAERQDFFPVSIEGDDPNDDTCGICGDGGNLICCDGCPSTFHMSCLGLEELPSDYWCCANCLCKFCHEHSSDGAEDTADVDSSLHTCSQCEEQYHEACSPENDSIANLSSQTGNLFCQQSCRLLFEELQNLLAVKKDLEPEYSCRVVQRIHEDVPEEVLPLDTRVECNSKIAVALSLMDECFLPIVDQRTGINLIRNVVYSCGSNFARLDFRGFYIFILERGDEIIAAASV >Sspon.04G0025360-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:26229732:26230094:1 gene:Sspon.04G0025360-1B transcript:Sspon.04G0025360-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MENLDPMRKVLAIDDMVTEIVVCIPPCDPVRLVRVILVCKNWQRLLTSPDFLLAYAKRYGRPLFLGYFHSCPSPVSGRQIDHFVLAAAASQASRRTSALTAGTCSTSARVACFCSAPLPI >Sspon.07G0038750-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:84462667:84468933:1 gene:Sspon.07G0038750-1D transcript:Sspon.07G0038750-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAIIGPLVGRLQEVAVGEARALVGVNADIHRLRDKLMWLQAFLREADTRRRAVSDEITRVWTLQTRDAVFDAEDALDHYHLHVDMSRYPRWARPTMICLETFTIQLRMRRGLSRKIRAINMRLDGIIENKDKYKIEDSNKKTDVTWKPSTSTSINYTHRKLLRYHEK >Sspon.02G0021880-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:96670547:96671784:-1 gene:Sspon.02G0021880-3C transcript:Sspon.02G0021880-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SMASMAPTMIMAGVAAVLVVLSSATVMAAAAGLDLDFYSSTCPRVEAIVKEEMTEILKVSPTLAGPLLRLHFHDCFVQGCDGSVLLDSTPTSTAEKDATPNLTLRGFGSVQRVKDKVEQACPGTVSCADILALMARDAVVLANGPSWPVALGRRDGRVSIANETNQLPPPTANFTRLVRMFAAKGLSVKDLVVLSGGHTLGTAHCNLFSDRLYNFTGANNLADVDPALDATYLARLRSRCRSLADNTTLNEMDPGSFLSFDASYYRLVAKRRGLFHSDAALLADPTTRAYVQRQATGLFAAEFFRDFADSMVKMSTVDVLTGAQGEIRKKCY >Sspon.01G0057810-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:16110689:16113198:-1 gene:Sspon.01G0057810-1D transcript:Sspon.01G0057810-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSNIVFGFGSNATSFTRREQRAVGEDGRWRLEEARRRWRRAARASVGPGASEEPLGSARGDPRPAGHGNGGTGDWCSGRGRLRATGRFAGSGGAVRKHQGVCANTKMAAARPVMAYGVLATHTGVCGGHGTGGRALRRRGEAGKGCYELGIAGRSCWWYSWEARMAETMETTVVAEAPAWLGNGAAALCVHVRREWERGGEVSEEKGGARAGHSGDPPRRGRCGHMAERRTRGVHGEDALVLGRHALPKETTVEQVAGTVQGVL >Sspon.02G0048460-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:25417968:25418378:-1 gene:Sspon.02G0048460-1C transcript:Sspon.02G0048460-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQCMAQEGSEASVASSPPPPPPSASSSTSSSAAAVASWWRDSMHPAAYGAWPPQPPAARWPPMPAPTSHHHQQHGGRTTTSSGGGADDDLSASNATMTSFTNTSTTNHSGLSMDSGGAEAAAAVAAESHLWNQVLM >Sspon.02G0009640-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:25359907:25364308:1 gene:Sspon.02G0009640-2B transcript:Sspon.02G0009640-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ALYGYRGGIKSPWSRRKRKRALSREQWERLFSANGKLRDGGKKFLKKVRSQGVEPSIRAEVWPFLLGSYDLNSSEEDRNSVKIKNRKEYEKLRRQCQQILSGYKGNGLKAITEVNNEECSSLEGTAEGSESPCFEDANAICAPASLEELKPEQSEADQPENIPCAVVECMEEDADELAYAYPCIAESESSDSESSDEDDPGRISVSGEENCDPDPKFTRSTSFKADFFRSSRTSEDFATWQRIIRLDAIRSNSEWILFSRNQAEVPKERALQSAASVGLKDYDHLEPSMIYHAARLVGLLEAYAVYDPEIGYCQGMSDLLSPIIAVMEEDDEAFWCFVGFMRKARHNFRLDEVGIRRQLKIVSQIIKRKDSHLYRHLQKLQAEDCFFVYRMVVVLFRRELTFEQTVCLWEVMWADQAAIRAGIGRSTWGRIRLRAPPTDDLLLYAIAACVLQRRKLIIEKYSSMDEILRECNSMAGQLDVWRLLDDAH >Sspon.01G0038320-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:29733168:29736262:1 gene:Sspon.01G0038320-1B transcript:Sspon.01G0038320-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEFPQFDGTSDPEAFINQCELYFRRERIMVEERVWIASCNLKVDARVWFLQYQEEEGTPAWRHFTELLQRRFKPPPGPDLLDNSMRRLDKMSAQLDRIRACLEARDKRDRECRAAVRLQAATRGLLALRRARALRATKALKEQATVRLQAAVRGLLVRRMVRKIRMLLSSSLSCLFIPSAPSIHHAAPIEGEVQVWALPVQRPRISIQHQASKAMAISFILVPAVVIDTSSIRAGCFTLHPSAYMKPTEALFPWDPGGYSCIFVLNKNGKPRCKRLNLRSSQISPRNLSSSRTSWVSKGGGDVMGIKRGAVGRKGREFTKIKSLKRGCPAWSLKAVSWTPKKHKVGDHEEHHELCGAIHLMNNGSPIFLTLEERSSSLCANEAR >Sspon.02G0018310-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2A:58830385:58830521:1 gene:Sspon.02G0018310-1A transcript:Sspon.02G0018310-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLSLKPSPFLDRSRFTGVQPSARSSTFRVMAKKTKKIQTSQPF >Sspon.07G0008000-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:18315371:18319928:-1 gene:Sspon.07G0008000-3D transcript:Sspon.07G0008000-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSPAAAADEAARATETVVVDERVASHVDPFLVEALDNPRHRLMVLRMELDIQSFMQNSQLQEFEFQNYPTSYLRCAAHRVAQHYGLETIVADSLVEGSVSRIVARKTPESRYPAIALSEVPSRQARNDHEAAEKLKFVIYQRPKAFQNGSADSRNMNGAPKTVEERIEEYNKARARIFNGSISADSDAASVLGTLSTGRDEPVNVEPSVDEIKVSTMNSRSRVAVFKDTEKDRSDPDYDRNYTRYVRSPVPDFNLSPGAFNFVVPQFMQYGVGYVQSPGMSTNQPTVYFGQPDLAMGSSSGAAVYPHWPTPAMMYPHCYDNVGPMISQVPLYQSFNHVPANEPVAQR >Sspon.04G0010730-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:29008887:29009453:-1 gene:Sspon.04G0010730-2B transcript:Sspon.04G0010730-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPLPQTVHLLPVAFVLALIGCLVGGASATVVTTCRAAADSDARVDYGFCVAELGMHRESPDADIWGLAKVAALTGVNNADNAVYDIKALLAMRPGGAGGADGPKRAALEKCGKLYDSVGFAFAEADDEINNRRYAAGKGKVAEAVSLARQCDDALAKAAAVPSPLAQHSSYNVRIANICTAITNLIK >Sspon.03G0012370-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3B:44248467:44250341:1 gene:Sspon.03G0012370-2B transcript:Sspon.03G0012370-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGLYTDIGKKTRDLLYKDYNTHQKFSLTTCSPHGVAITAAGTRKNESIFGELHTQIKNKKLTVDVKANSESDLLTTITADEFGAPGLKSILSLVVPDQRSGKLELQYLHKYAGVNASIGLNPNPMVNLSGVFGSKALSVGIDVSFDTATSNFTKYNSALSLTSPDLIASLHLNNHGDTLVASYYHLVKHHSGTAVGAELSHSVSRNESTLIFGSQHSLDPHTTVKARFNNYGMASALVQHEWRPKSFITISGEVDTKAIEKSTKVGLSLVLKH >Sspon.07G0012870-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:46178336:46180566:1 gene:Sspon.07G0012870-4D transcript:Sspon.07G0012870-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LELMWQLRWSLEAAAKFPVPNQPSNSTSSKPKQAAMARARDAALHLLVAAGALLLLAVVAQATLVAPEDPRCRAMAPRRGALAVYPSDMEQLQFLLNAKFVEAEWFLHAALGRGVDFLDRNLSAGGPRPSGARKADLDFRTTEVAAELGYQEVGHIRAIRQAVGGFPRPPIDLAPDRFAMVMDDAMGVRLDPPFDAYAGPVNFLLASYVFPHVTAAAAMGIGPSLMGYASKRLQASILAVEAGQDAVIRLLLYQRADEAVPPYQGHTVADFTRRISDWRNRMSGCGAKDEGVKVLDRKQGAERRTISNILGAGEDSLGFQRTPAEVLRILYGSRNEQIPGGFLPRGANGTIARGFFQ >Sspon.04G0004610-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:13657057:13660704:1 gene:Sspon.04G0004610-1A transcript:Sspon.04G0004610-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRVPNGRHKVSNRPVVVLSDSDSDSDSEGFVEELTPVHSKSNGKASSASLKTGGKASSFSKGEASNGKAYSSGKGGKGSSSHAVPTKSDAELKLELDVPPNSRMLMNCEAAELLQEIHEHMAILSEDPKIKIPESFDKAFQYAKDGNHFTTASSVKQALEPLKKCGVSDGEICMIANIGPETVEEAYALVPSLKANRSATEGSVTEVLAALANIKAAK >Sspon.02G0022240-4D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2D:67979484:67981600:1 gene:Sspon.02G0022240-4D transcript:Sspon.02G0022240-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ALASRHGTEPTRHAPPRLFPPGARAITRHRRGGGHGIGAPGPVNTASASCPYDLATAAQMIPRECHANATAAQGATGCCWYVFAAYIFAAANHANRTGEAFLPRGPAAACSDAFAALLLAGGLVPRSLLAGNDGSCDLTSDDPGKQLAAGARPCQLATIDARAPPVRPDTPGCSECRGAVIATTYEMLASARTKEFVPCGMAATVAVWSRAPPPLERYSDYALCMLQVLENVNSLGTGNLVPSPPPPPAAASATKSPPAAGSASSSSSSKNTVPIAAGSAAAGVAAVVCLGFAAVAVARNRRRRRMTAGGDSESGNDSSDDDDSVASLPPLPREGLYIFTKAELMQATNGYDKKLLLGTGGAGKVYLGHLPSGQRVAIKKIYRSKKVSEFYAEVAVLAKLRHRNLTTLVGYCRGGRGGGDHALVYEYMAGGNLWRALFHGEPPLPWRRRLEVAVDVAEGLAYLHGFREGAVVHRDVKPTNVLLSESGAAKLSDFGVSRIVPEGGTHVSTEVRGTRGYVDPESFSAGTVSEAADVYSFGVVLLELATGMRSVVPTPSGGAESIVQAAHWAVAEAGGASGTATAAEAMVDRRLGPAWDRATVCAVFALACRCVRPYKHERPEIAEVLAQLKAVLADYNARVDGDGDVLLPDAESSEGTDTASSSAATPKPAGSVPSTSSSSTLNTE >Sspon.03G0002110-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:5106087:5107709:1 gene:Sspon.03G0002110-1A transcript:Sspon.03G0002110-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEQGKASVGTWAGHACIASHGQHSDTQSAGAAAMEEHHSSAAAQEGPETPRRRYRGVRQRPWGKWAAEIRDPHKAARVWLGTFETAEAAARAYDEAALRFRGSRAKLNFPEDARLHPASTTAGATAAASSAPLAAAAASTSRPAVYPGGVQGASDYDILRYQMLLQTSTGSQGTLLPFYGGGMSNPYGGGGAAMTNPYGGAGGGSTSGFLGSYYSFPPSSVSVATVPSSTSSASGYYYSSPQSEASAAVADWNWESTLAWPDSSQYPPPPHTQ >Sspon.07G0028040-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:3819346:3823099:-1 gene:Sspon.07G0028040-1P transcript:Sspon.07G0028040-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEWVRQAEVWVRQAESWIRQQPPEQIYIAAAVVALTILLLILASCLKSSKPNTIVLSGLSGSGKTTIFYQLRDGSSHQGTVTSMEENDDTFVLHSEQERKGKVKPVHVVDVPGHARLKPKLDEVLPKAAGVVFIVDAQDFLSSMQAAAEYLYDILTKATVVKKKVPVLIFCNKTDKVTAHSKEFIKKQLEKEINKLRESRNAISSADISDEVQLGVPGEVFNFSQCQNKVAVAEGAGSTGNVSAVEQFIREHVKA >Sspon.07G0035590-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7D:9537681:9539647:-1 gene:Sspon.07G0035590-1D transcript:Sspon.07G0035590-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGATPPPASPSRPPPLAAPVPAPDRTADGLVELPLSSVAMADTNRAGAGCLARADARDATEPAARSPEPKPLDPTAATPHGVLAELLGRAAMPPSAVDSGEVCDLQLGPGWGPTFQQALCVVVGKISCPLPVASRRSRPRLLRCRDRQLLTLGPVSSSNNQSPVGLSDFEVQIPKPTEIAPFRLDPMLCFIDQLGALSDKGASPNPVSCSDVLSSTEPEMALQSGHSQTSWAAHLVAEDHGITAWPATPPAIYGGAPVVVAADTSCPQSDEPPASPTIRNMSPTIVGSPTVQPVTIQEFITGLKLPLEQPLIQLSPRFRVSRVPVDLVPRRSDRLAAKSVYHDPNPEK >Sspon.02G0026830-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2A:95765811:95766292:1 gene:Sspon.02G0026830-1A transcript:Sspon.02G0026830-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding FHGIPPLLGSIRNNFVNDSTVQFVPTTSIADSPIRMPAFDYYCWTHSALDCRHGRVLIQAKHKHSSLEVRLIVWDPITGDQQQLRVPTYLHSPYNHIHAARGAVLCAKVVRFSSSWWGLEMKTDGNGRKNPISTSVSIFFWWKRERVRKMWVRKRNRNM >Sspon.04G0026400-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:43101632:43126067:-1 gene:Sspon.04G0026400-1B transcript:Sspon.04G0026400-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoglucan, water dikinase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G26570) UniProtKB/Swiss-Prot;Acc:Q6ZY51] MASLRPFDLSLAGGRGEPRGMAGAGAHPPAPPLAAPLASALIFPVRPRIPVRTTLSVQKRGTRVTASTTHITRTKEKKQIDPSKQDIVRLRVCLDHQVMFGEHVGIIGKDKIWEDGDNRVVNLPKNGTSEIKLSGDTEKEIDEDAKLSGNIALEEMGNIGNAGDGNLTPELESSTLGGLWQGSDTVFMRSNEHRNNESDRKWDMTGLDAVSLKLVEGDKASRNWWRKDLLVIRKIHPCLPSFKSEFTASVPLTRIRDIAHRNDIPHDLKQVQSIEQSLNESGLEALSSFLKTKKEKKQIDPSKQDIVRLHVCLDHQVMFGEHVGIIGSTKELGSWKSQVEMDWTPNGWVCQLDLPGETLLEFKFVIFFNKGKDKIWEDGDNRVVNLPKNGTSEIKLSGDTEKEIDEDAKLSGNIALEEMGNIGNAGDGNLTPELESSTLGGLWQGSDTVFMRSNEHRNNESDRKWDMTGLDAVSLKLVEGDKASRNWWRKLELVRGLLSEYVHGQSHLEALTYSAIYLKWIYTGQIPCFEDGGHHRPNKHAEISRQIFREIERIYYAENTSAQDLLVIRKIHPCLPSFKSEFTASVPLTRIRDIAHRNDIPHDLKQEIKHTIQNKLHRNAGPEDLIATEAMLARITKTPGEYNEAFVEQFKTFYSELKDFFNAGSLLEQVQSIEQSLNESGLEALSSFLKTKKNLDQLEDAKDFDENGGIQVLLKTLLSLSYLRSVLMKGLESGLRNDAPDSAIAMRQKWRLCEIGLEDYSFVLLSRYINALEALGGSASLAEGLPTNTSLWDDALDALVIGINQVSFSGWKPNECTAIVNELLSWKQKGLSEFEGSEDGKYIWALRLKATLDRSRRLTEEYSEALLSIFPEKVKVLGKALGIPENSVSKLCTVLLKATRAVLGSSVWDVLVPGVAHGALIQVKAAGDNIVGVILLQELPHLSHLGVRARQEKVVFVTCEDDDTITNTRLLEGKYVRLGASSNNVDLSVVSNKDECAAMSSEPSSGGNLFAQQSSQLLTTDKKLKLSEGPSLQQKNYTSGANGMSGVLELSEASIESSGAKAAACGTLSVLSSVSNKVYNDQGTPAAFRVPAGAVIPFGSMEDAFKKSGSLKSYTNLLERIETAQIENGELDSLSSELQATVSLLSPSEEIIESLKRIFDQNVRLIVRSTANVEDLAGMSAAGLYESIPNVSLSDPSSFGAAVGQVWASLYTRRAILSRRAAGVPQRDAKMAVLVQEMLQPDLSFVLHTISPVDHDPKLVEAEVAPGLGETLASGTRGTPWRLSCHKFDGKVTTLAFANFSEEMVVLNSGPTDGEVIRRTVDYSKKPLSVDATFRVQFGQRLAAIGQYLEQQFGSAQDVEGCLVGQDIFIVQSRPQP >Sspon.04G0012930-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:42888573:42889135:1 gene:Sspon.04G0012930-2B transcript:Sspon.04G0012930-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSGFSSVFETCCGSGGGKYNYQNSARCGMSGASACANPAAHLSWDGIHLTEAAYKQITDGWLKGPYCRPPILHN >Sspon.06G0019370-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4C:14647153:14647900:-1 gene:Sspon.06G0019370-2C transcript:Sspon.06G0019370-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DTTVLPNEPECMRELRLLTDKHERYTVTCVCAIAHRRRYMATPPDEAQLLRMLIKLSGARNAIEVGVFTGCSLLATALALPDDGKVVAIDVSREYYELGRPFIEKAGVAHKVDFREGPALERLDALLAEDANHGAFDFAFVDADKPNYVRYHEQLLRLVRLGGAIVYDNTLWDGTVVLPPDAPMSDRDRRFSAAVRDLNARLAADPRVEVCQLAVADGVTICRRVV >Sspon.01G0006860-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:24517149:24519960:-1 gene:Sspon.01G0006860-2C transcript:Sspon.01G0006860-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DVLEKQKDVLDTVLNIYIKTMTEDDDKEVVAQACMSVADIVKDCGFAAIEPYMLRLAEATLVLLRQESSCQQVESDGEDDGDIDHDEVLMDAVSDLLPAFAKVMGSYFDPIFAKLFDPLMKFATMVVATLAEVAQEMGAPIAAYVDKIMPLVLKELASSDATNRRNAAFCVGEICKNGGAAALKYYGDILRSLHNLFGNSESDDAVRDNAAGAIARMIMVQPQSIPLNQVLPVFIKALPLKEDHEESMTVYGCICSLLLSSHPQILPLVPDVIHVFAQVVVSPDESDEVKTNIGKAVSHLISVYGQQMQPILSALPPAHASALASFASRR >Sspon.03G0038700-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:6994309:6998780:-1 gene:Sspon.03G0038700-1C transcript:Sspon.03G0038700-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVWTSPGAKRSVTTALREAGLESSNLILGIDFTKSNEWSGRHSFRRKSLHAIHGTPNPYEQAISIIGRTLSPFDDDNLIPCFGFGDASTHDHSVFSFYPENRLCRGFEEVLVRYRQIVPHLNLSGPTSFAPLIYAAISVVENSNWQYHVLVIIADGQVTANTNDGRLSPQEQATIQAIVDASHYPLSIVMVGVGDGPWDAMQHFDDCIPERAFDNFQFVNFTDIMSTSKDMSKKEAAFALAALMEIPSQYKATQGLRPPEKHAQRIDSPRILPPPNKVLENDNAAASHPPQTASSKSTGIGKSTADEQVCPICLTNPKDMAFQCGHLTCKECGPTLSTCPLCRAPITMRVRLYS >Sspon.08G0004530-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:12956753:12960372:1 gene:Sspon.08G0004530-1A transcript:Sspon.08G0004530-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAIPARLNHLLLLVVVVFILLLVPSLTAAQSSAFGGPPPAYARYLVDAAATPAVELYDYIVVGGGTAGCPLAATLAGPGGGRVLLLERGGAPSEFPALATAGGFVRTLAMADPAPESDAPAQGFTSEDGVPNVRARVLGGGTAINAGFYSRAHPEWFRGTPRSDAEVTNWDMPLVNASYEWVERLMTFQPAVHGWQAAVRAALLEANVTPWNGFTVDHVTGTKVGATTFDASGRRRSAADLLAFARPSRLRVAVRATVTRIITNPIDPAARRGRSPQPTIAAIGVVYQDRLLDQHQALLRPGGEVILSAGALGSPQLLLLSGIGPATDLSNLGIPVSADIPDVGKHMYDNPRNGISFIPSVPIDHSLIQVVGIPSANGTASYLEAASYIVPLAPELRSSSPFLGSSSPLYVTVATIMEKVPGPLSEGSLWLSSTNPLESPALRFNYLSRPEDLARCILGVRHVAEVLEGRALDGFRSAVGLTNRRGGSVRRDFRIVGTALPVDWRTNDRALANYCQQTVATLWHYHGGCVAGKVVDRNFRVIGARAIRVVDASTFSETPGTNPQATILMMGRYVGLKMIEERHSRRP >Sspon.07G0029510-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:76764176:76766820:-1 gene:Sspon.07G0029510-1B transcript:Sspon.07G0029510-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANGWQQHRIPAFGDWNYNYNYPRDDGAGVDDWPPVTPCFDFASATRTAPAPRPAAQQKCEKRSSMPNGRRMRIPAFGEWNHHGADGDGIGTWPVVMTPFFDLATPQKAAPKTGRRRGGGDGFGAAKLVPTAAEAPQGHVQTRRGKVADSGAYAAAAARRSCFTVAKPVDDDLYGVPPDTMLYGKPPGARKDGWLRILRLLGCSCCLSPS >Sspon.01G0001410-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:3097536:3099202:1 gene:Sspon.01G0001410-2B transcript:Sspon.01G0001410-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGGGAIVMHAAAGKQVPKSKTSFFLYILLLYVLLPVLVLYVVALAVSPFYSRPCQQDGGAIARLAAAADGKWHNGSSSSTSVTRLSAPKARPKPKPSADDDAAPTGLRHIVFGIGASSSLWKSRKEYIRVWWRPRKMRGFVWLDKPVPELYSRNASSGLPGIKISANTSRFPYTHGAGSRSALRITRIVSESFRLGLPDVRWFVMGDDDTVFFPDNLADVLSRYDHTQPYYIGNPSESHIQNLIFSSGMAFGGGGFAISAALAAQLARMQDGCIERYPALYGSDDRIHACMSELGVPLTRHPGFHQCDLWGDVLGLLGAHPVAPLVSLHHFDFLQPVFPTVRSRTQALRRLFAGPVALDPAAVAQQSVCYDAGKEWTVSVSWGFAVVVLRGVLSPREMETPMRTFLNWYRRADYTAYAFNTRPVARHPCQRPQVYYMRQARLDRRRRNRNATTVTEYERHRVPPAPCRWRIPDPAAVLDRIIVLKKPDPDLWKRSPRRNCCRVVSSPTVGKDRRMTIDVG >Sspon.05G0011010-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:9784221:9786867:1 gene:Sspon.05G0011010-3C transcript:Sspon.05G0011010-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMPTHRSSGMPQRWCRLPRRRGKGIAKIRQMRRWQMISPTSWRRTRRWKRRRISVPKRKKKAGDGGAGGGISLAGTWWDKEALALAEQVSASFDDDLKIYAFKTAANLTIRVRIEKMSTRYGSPTIDDIEAYTIAYRAKLDDAESTGKIPKNISLEVSSPGVERVIRVPEELERFKERAMYVRYTTTSDESATLQEGDGVLTLISYDMDLRECTWGIADVKINRQQSGKGRPLSKKQREWRLQTPFESLKLVRLYSEC >Sspon.02G0023170-3D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2D:71452637:71455632:-1 gene:Sspon.02G0023170-3D transcript:Sspon.02G0023170-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding METEVGGDKLKEATIPGRGKKRPPSPASSPSDDGEDSSMSTSDDDLWEFSDEEQEEEEEENDDEDDQVSLNNAQTIDCSEHCHCKATDLLQLIDIKIAGYRHTQPGHAKIFGFFAARDKIEPLRNYVYRRGIGNYEAVSVKLKTETLDCSGGIVHAWFSSRRLGVQALKNTGCPHRHDLNPAKQGGGEGEERGRTRGGLAGFGEGQAPISAPSPSAPMAMAAFLTGQLQHQGCERGSNRPAALDLQSGEGSARGLHQHGRRGGEAAGRTGGEGMARLSLTSPARGICISSHALFEFELCIRTEDPSEDEPKGDILIKGCTEISNMFGTESFVKTGRLYGEKCALDVKFAVLNIAVQATVDVEILRAPACGFDLKLYAKTSGFSDVIRLFEGVTEAGHRFSSVVAVVLRRHLDLRITGSLIDNSHLSNEEWTCRFNAWYHGTVDKEVNLDDFATISVKVTWKAVADTRRLLKD >Sspon.06G0010490-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:57399415:57405415:1 gene:Sspon.06G0010490-1P transcript:Sspon.06G0010490-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALTPSVCSINDVQGLQKDRTFHPSLWGDFFLTYQPPTAPKRAYMADRAEVLKEEVRKIVKSAKEIQNILDLILTLQRLGLDNHYENEIDELFSFVHDSDYDDKDLNLVSLRFYLLRKHGYNISSDVFKCFQDKEGNFVAKDTKSLLSLYNAAYLRIPGEQVLDDAIIFTRGQLESVLDSLETTLADEVSLALQTPLFRRVRILETRNYIPIYEKEVARNQFILEFAKLNFNLLQLLYCLELKKVTLWWKQLNVETNLSFIRDRIVEMHFWMTGACSEQKYSLTRAITTKMTAYITILDDIMDTHSTTEEAMLLAEAIYRCEENAAELLPEYMKDFYLYLLKTFDSLQLKILVRGYSQEIKWRDEHYVPETIDEHLDVSRATVGAFQVACSSFVGMGDIITKEILDWLLSYPELLKSMTTFVRLSNDIASTKREQTQGHHASTVQCYMLQHGTTIHDACKKIKELTEDTWKDMMKLYLTPTEQPKVIIQTVLDFARTAEFMYKKTDAFTSSHTIKDTIALLFVEPILI >Sspon.07G0010280-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:31256323:31257873:-1 gene:Sspon.07G0010280-1A transcript:Sspon.07G0010280-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVDNSRHDQDHRAAAAFSVELEVEHVGERRNGAAPARTVLEQEEPEPRTEGRRRPGRARRLARRLHPATVARACWRWLKHPAHLALVAWALCVAASGSMLGLLLLGSLNGAFPRRSIRNRWIEINNQVLNALFTLMSIYQHPALFHHAVLLLRWRPGDAKVLREAYCRKGAGVGARRGERVHMSVVVALLHAACFAQYAMCGLYWGYSRRARPDDALTSLTVVGTVTPVIAGLYMYFSPLGRKRGGQSVHQEPGERSAASPDHNGGIVAVEVAAGAEWAGGLLDVGDDPTACWLSCLCTFCVFGWNMERLGFGNAHVHTAMFALLCFAPLWVLSAAALSIRNDDVSFAVGATGVVLCALGLLYGGFWRARMRRRYGLPATNACCVASPSLADYGQWMFCWSCALAQEVRTADILLDVEAGSVSRPDSDGRRVDAADAQALLPLPRESGVKSFHQGGSSHLAKSATIDTHSVQLASYSTSRGDESPLLLHDQGSSASSGEMTPPVPPSIPEGERR >Sspon.07G0013030-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:47153124:47154055:-1 gene:Sspon.07G0013030-1A transcript:Sspon.07G0013030-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVPVIDFSKLDGAERSETLAQIANGCEEWGFFQLVNHGIPLELLERVKKAGFKASEPVRTLEALVDAERRGEEVAPVDDLDWEDIFYIHDWPSDPPAFKETMREYRTELRKLAERVMEAMDENLGLDRGSIKAAFSGDGRHEPFFGTKPLPAVPAPGPHHGPAAHTDAGGVILLFQDDRVGGLEVLKDGQWTDVQPLAGAIVVLSNGRYRSAWHRVLPMRDGNRRSIASFYNPANEATISPAVVASGGGEAYPKYVFGDYMDVYAKQKFQAKEPRFEAVKAAAPKSSPAA >Sspon.05G0007100-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:16363023:16364740:1 gene:Sspon.05G0007100-1P transcript:Sspon.05G0007100-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADEAVSAACSCLEPLSGCLLEAARREVLAFLRIKSNWGDLEKARDSLRAVETTVRAAVMAEEDKLNVCDPQVEVWLKRVDELRQDTIDEDYSSLLGFSCLCQCTVHARRRASIGKRVVDALDEVNKLTEEGRQFRTFGFKPPPRAVSWLPQTETVGLEPMLTRLHDLLEKGESSIIGVWGQGGIGKTTLLHAFNNDLEKEDHNYQVVIFIEVSNSETLNTVEMQQTISDRLNLPWNESETRFRLEDVGIPTPDTKSQSKLILTSRFQEVCFQMGAQRSRIEMKVLDDAAAWNLFLSKLSNEAFEAVESEFQQ >Sspon.07G0026210-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:52258643:52260850:1 gene:Sspon.07G0026210-1B transcript:Sspon.07G0026210-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQPRDYCAGARRDELPAGTDMNRQGVPEATRPAGSHVPCHVGVSSPSFGTQGRRRWAGAPLPAATSTIPIRFKVEPMTGLTSVDGCARAAAPDLPCSVKAVAPSGNGRAPDAIANVNASERETHKDATGADGAETGTGPQPEEVRPPNFVPLPR >Sspon.08G0006680-4D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8D:17598810:17601755:1 gene:Sspon.08G0006680-4D transcript:Sspon.08G0006680-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWLDAKPANSVVYVSFGSLATMAREHLDELLRGLEESGRPYLCVVRKDNKAALLADAETKVLDEDELKNGVVVEWCDQVRVLSHAAVGCFVTHCGWNSVLESVVAGVPMVCVPRMSDQRTNAQLVVREWRVGVRAQVDDGGVLRAPEVRRCIDEVMGNLEAAAEVRRMAAEWKRVVTKAMGKGGSSDRNLMAFVDGARSALPATASSSKASDGRGSEMSSRRPHFLVLTYPLQGHIAPALRLARRLIAVAPDVLVTFSTTTAAHSRMFPAAKSTDDGERGAPEEDGRLEFDPFSDGTELGYAGGSDDVAEFNAYMASFHAAGPRSVGQLVDALAARGRPVALVVYTLMLPWAADVARERGVASALYWIQPVLVLAIYHHYFHGYAGVIAEQYRRGDPSLLVELPGLPPLAVRDLPTFLTESTDPGDYFHTVFLTFRDLFDTLDRETSNSKATILVNSCEELEVGALAAIAPHDVLPIGPVLPTGDETSMFKQVDARYMEWLHGKPANSVVYVSFGSLATMAREQVEELLGGLEESSRPYLLVVRKYNRAMLAAKLHGYGYAYRYRTWIRVSDTPTS >Sspon.08G0016480-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:59568245:59574590:-1 gene:Sspon.08G0016480-2B transcript:Sspon.08G0016480-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDDEEMCDAASSAPSSPAAAAAAREREGEAEEAGAMDDGGGGREGLMIMDVMWFQVDLDYEFDAPRWFDLTQEEAPRDAAAAQEWFAAAPSYPPSPLITKMLAEDLGLQTIRSIADTNALHCSTASHECSSGVEQKIHRFEGRKQCNGASENERQSRFRTTMKGTSLRGSTLMKPTASHLARQNRQVEVKNLTQSKKLVGVRSEKSTISSNDCTYQSAKRQRLENGHLNKAAATATQHEFIHKNHEKNVMNQNMDRPTGLPRMKITIPRSPNLATKLRAERSKALRSVVQPLRATGHQHASRQHEVVGSNVPACTSNHARHLKSVDNKPEDCRDDLFKFKARPLDKKMLVSKGDGVFQCAKRNTTVPKEFNLSTGRRVNPAPLSELFNKLSLTAGAHQNRGLGRQISDLPNYITTKTHFNEEVYRISLLIVSAWFRLNVSPPAERYIFYRITIATDIIALSS >Sspon.02G0049580-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:31970190:31974298:-1 gene:Sspon.02G0049580-2D transcript:Sspon.02G0049580-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGRKILLREKTTLLTLKQGLTLPSSAAAALADWNESNGNVCSFTGVRCDWRREHVVGLSLANMGIRGAIPKVIGELSHLRILDVSNNSIVGLVPTSVGNLTRLESLFMNNNGISGVFPSIFGNLVRLRSLDVSYNRISGAIPLAIGSLGQLQSLNVSGNNISGTVPPSIGNLTLLEYFYMHDNIISGEIPLAICNLTSLKDLEMSVNHLTGQIPAELSNLVRLRTLGVSYNRITGVIPPALGSLGQLQILNVSGNHISGTIPPSIGNLTQLEYIYMDNNFISGEIPLAICNLTSLWDLEMSVNQLTGQIPAELSNLVRLRTLGVSSNHITGAIPSALGSLGQLQILNVSGNYISGTIPPSIGNLTLLEFLCMQNNFISGEIPLAICNLTSLWVLEVYSNQLTGQIPAELSKLRNIGAIDLASNQLHGGIPPSLSELTDMFYLGLRQNNLSGNIPPAIFLNCTGLGLVDVGNNSLSGEIPRAISTTTRCQFVVINLYSNKLEGTLPRWIANCTDLMTLDVEDNLLDDELPTSIISDKQGLMYLHLSNNSFRSHDGNTNLEPFFVALSNCTSLQEVEASAVGMGGQLPSRLGSLLRSNIWHLNLEMNAIKGPIPESVGEVINMTWMNLSSNLLNGTIPTSLCRLKSLERLALSNNSLTGEIPACIGDAMHLGVLDLSGNALSGAIPSSIGSLAELRYLFLQGNKLSGIIPPSLGQRATLLVIDLSNNSLTGVIPDIPGIAKMTLLTLNLSRNQLGGNLPTGLSSMQQVEKIDLSWNNFNGEILPGLGDCIALTVLDLSHNSLAGGLPSELGNLKYLESLDVSNNHLSGEIPVSLTNCYMLKYLNLSYNDFWGVVPTTGPFVNFSCLSYLGNRRLSGPVLRRCGGRHRSWYQSRKFLVIMCVCSAVLAFALTILCAVSVRKIRERVTAMREDMFRGRRGGGSSPVMKYKELVEATEEFSEDRLVGTGSYGRVYRGTLHDGTMVAVKVLQLQTGNSTKSFNRECQVLKRIRHRNLMRIVTACSLPDFKALVLPFMANGSLERCLYAGPPAELSLVQRVNICSDIAEGMAYLHHHSPVKVIHCDLKPSNVLINDDMTALVSDFGISRLVMSIGGVANTADVGASTANMLCGSIGYIPPEYGYGSNPTTKGDVYSFGVLVLEMVTKRKPTDDMFDAGLSLHKWVKAHYHGRADAVVDQALVRMVRDQTPEVRRMSDVAIGELLELGILCTQEQASARPTMMDAADDLDRLKRYLGGDTTATFASTLGFSSTTLEDID >Sspon.01G0053070-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:30059705:30064599:-1 gene:Sspon.01G0053070-1C transcript:Sspon.01G0053070-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONTAINS InterPro DOMAIN/s: Hepatocellular carcinoma-associated antigen 59 (InterPro:IPR010756); Ha. [Source:Projected from Arabidopsis thaliana (AT1G02330) TAIR;Acc:AT1G02330] MPRNFRKRGIEPDTDDRSDDEDTRRVALEEIKYMQKLRERKLGIPAGPAAASTNGSSARGRVGSGAAAAGEAEKEDLVLQDTFAQETAVTIEDPNMLRYVETELAKKRGKMVDVGHKEEMDHVDELYTVPDHLKVKKKNSEESSTQWTTGIAEVQLPIEYKLRNIEETEAAKKVLQEKRLASKPKSDANIPSSYSADYFHRGKEYDEKLRRENPGLYKDNDSRPSGSSGGKATDTKKPDGVGAGRREAASDEFMLQRFRKREKFRGLRR >Sspon.04G0015490-2P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8C:19515764:19516375:-1 gene:Sspon.04G0015490-2P transcript:Sspon.04G0015490-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLVERSKRRVWLGTFETAEEAARAYDEAAVLMSGRNAKTNFPVPRSATGELAPVPAARGGSSSAAPGGGGGGGTTTTTSLSQILSAKLRKCCKTPSPSLTCLRLDPEKSHIGVWQKRAGARADSSWVMTVQLNKDAPAAAASSGEEPAPSDGAAATTPTSTSTSSTVTTGSPPPAMMDDEERIALQMIEELLGSSHSHGMLQ >Sspon.01G0037580-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:23556240:23558778:-1 gene:Sspon.01G0037580-1B transcript:Sspon.01G0037580-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRRARQHPGAGHAAQPPQPGGRGAARAHQPPQQYPRGGGATPTPLQPAAPSSSSPLAPELRQAMEEAPRGLAPKAPPGPSQPSPEAPPPVQPREEHAPAEPSAGHEIVPTAPPQSSKSFRFPLRPGKGIIGTRCLVKANHFFAELPHKDLHHYDVSITPEVTSRVVSRAIIKELVNLYKQSYLGGRLPAYDGRKSLYTAGPLPFTSQEFHITLFDDDGGPASERRRRNFKVVIKFAARADLHRLELFLAGRHAEAPQEALQVLDIVLRELPSSRPRYAPFGRSFFSPDLGRRQPLGDGLESWRGFYQSIRPTQMGLSLNIDMSATAFIEPLPVIEFVAQLLNCEIHSRPLSDAERVKIKKALRGVKVEVTHRGNMRRKYRISGLTTQATREL >Sspon.06G0005000-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:25104569:25109068:1 gene:Sspon.06G0005000-1P transcript:Sspon.06G0005000-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTCIACSKHLPGGAPPLREPPEEDDDEDHAIAGGGGESATAPGTRHAVKSLTAQIKDMALKASGAYRHCKPCARSSSPAASRRQQPYYYGAYAESGSDRFHYAYQRAGSSAASTPRLRTGGAISSGDVTPSFSARTVFLAGDEEGDDEEETAAGSSEEDEAKEWVAQVEPGVLITFLSLPRGGNGLKRIRFSREMFNKWQAQRWWTENYEKVMELYNVQKFNSQAAPCQAFQGLKMSSKDDNPATAPLNKGQLLDTLHRPLKGSGAIGYSSSDCLQHQPNHLGNVYRQDRYLGHQCCDSVGLASTPKLSSISGAKTETSIDASVRTSSSPEEVDRSGELSASVSNASDQEREWVEEDEPGVYITIRALPGGIRELRRVRFSRERFSEMHARLWWEENRARIHEQYL >Sspon.06G0007100-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:23360320:23363884:1 gene:Sspon.06G0007100-2D transcript:Sspon.06G0007100-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEWIRQAEVWVRQAESWIRQQPPEQIYVAAAVVAVTILVLILASCLKSSKPNTIVLSGLSGSGKTTLFYQLRDGSSHQGTVTSMEENSDTFVLHSEQERKGKVKPVHIVDVPGHARLKPKLDEVLPKAAGVVFVVDAQDFLSSMQAAAEYLYDILTKATVVKKKVPVLIFCNKTDKVTAHSKEFIKKQLEKELNKLRESRTAISSADISDEVQLGVPGEAFNFSQCQNKVAVAEGAGSTGSVSAVEQFIREHVKA >Sspon.01G0018330-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:69802169:69807046:1 gene:Sspon.01G0018330-3C transcript:Sspon.01G0018330-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGKMPRVKLGRQGLEVSKLGFGCMGLTGVYNAPVPEEAGIAIIKHAFEAGITFFDTADAYGPHTNEVLLGKALKHLPREKVQVATKCGIAGFDASGMCVKGTPDYVRACCEASLQRLAVDYIDIYYQHRIDQSVPIEETMGELKKLVEEGKVKYVGLSESSADTIRRAHAVHPITAVQLEWSLWTRDIEEDIIPVCRELGIGIVPYSPLGRGFFAGRAAVERVPSESLLSKHPRYTGENLEKNKVLYTRLEMLSKKYGCTPAQLALSWVLHQGEDVVPIPGTTKVKNLDDNIGAVKVKLSKEDLEEISGAVPA >Sspon.05G0036720-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5D:778040:778852:1 gene:Sspon.05G0036720-1D transcript:Sspon.05G0036720-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNVSPSLLLELLQRIARVTKDYLGVLNEDSLRKNFILVYELLEVIQGTKRMPGTAVTKSVVATERGGKKREEIFVDIIERISVTYVTRIREVGHVSRIGYVSDTDTHRIRSGYVSMKYRQKNK >Sspon.03G0026700-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:3667883:3670211:1 gene:Sspon.03G0026700-1B transcript:Sspon.03G0026700-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVSSQGILQPQPLKLDFLDALSPRPNVDPLTDPGPEGRHICLVGLVTATAARRLPAAALSAAGHVNGRSHPDGSVALLIYVGSARPNGFLDGGSCAMEAVQLGEFRIQISIFTAPNGKVSDAQRSHGCTSDQTRTEHRFTSHSAGLGFLNPLGRPEPATDEPRIARTDFSLSTACACWKGKGMTPFAGHGSPLPVVVYIKPGAWLVKVATAPRQVGNHVPYPMENNSSRQQAPPPPPGQRIDYGVSPSYPTAVAGAEEQQGGSRKGRRGKTTSRGEKGFIEGW >Sspon.02G0005210-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:16282775:16283478:1 gene:Sspon.02G0005210-1A transcript:Sspon.02G0005210-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GTERGESSSVPPLTSGRRAERGHRRLQRQDGEAQGPGPGRDQHGEHDVHRARQGGAVGPPVPSPPARPGPRRRRRRRRQGGEGGDVPGAGGGRDRGGALPTVGRRVRRVRTGRHRAAHGRHLLVPQGERPGATGGPPRARREGGRVHHALRGDAQHERGPVGDRPQGRPEDGAGGRRLALLPDLQAAAVI >Sspon.07G0037220-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:51320264:51322774:1 gene:Sspon.07G0037220-1D transcript:Sspon.07G0037220-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding FVYIEEPRKANTPEQIARNLHTQEHKQTQWNSDGMEYITYARARRCWESEKIVIKRFCLKYARHGVVLSSSVCLGGTSEH >Sspon.08G0008580-3C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8C:32362362:32374076:-1 gene:Sspon.08G0008580-3C transcript:Sspon.08G0008580-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding WLAALLLLLPSTLISPLSLPPTPGPSLGRIFPISWRRCSSP >Sspon.03G0016660-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:52738324:52739397:-1 gene:Sspon.03G0016660-1A transcript:Sspon.03G0016660-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQAGAGRYWCHMCSAVVSPAEGEAEMKCPHCHSGFLEEMETARGAAAAAADDGDGDGAVAQVYPGGADRPSSIWAHAILSTVDSSVRRRRNRRQQEAAGDVYDWNDPEFSLRRRRVTAFLRLLHELRDRQLQRLEAAAGVALEGDQLTPFGRSLFIGAAGGGGSEHGVALGDYFLGPSLDALVQQLAENDAGRQGTPPAKKEAVEAMPTVEIASGNDDDTASCPVCLEDYAAGERAREMPCRHRFHANCIVPWLEMHSSCPVCRFQLPATDDKSSCNSGDGGFVSVDADREGNDNGGGDGTGRATSPSPGNAEPAVAEESGRRLPPSLQWLNSLFSPSAQSSGSGSSSSHGRIEQ >Sspon.02G0022720-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:76790621:76791838:1 gene:Sspon.02G0022720-1A transcript:Sspon.02G0022720-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPEPRHTHTQQRPRLPAPATPAAGALPSDALCEILLRLPGKQLCRLRTVCRAWRSLLSAPWFAAAHAARRPEPYIVASYSDDVDLDRDGLVDVLDMSSGRIVRHVAPGNASDMVVSVAPASGLVGVKTIDSGSFRLLHPVTGAVHNLSDRLAPEHAARGFSLRDYGEPAYMVGQVAGTGELKVLRMLPFRQRRDSGGGDRDDDLFEVCTLSSSARWRGMQGPPRSFVWNEWTRVVVGGVVYCLSVAAYLAVVNRRADEQGWIVRFDLEAEEWKPSIKGPSSLVDGGGRHNSYLKQVTLANLKGSLVIVHGSSRIMDLWFLKDCEEGLWVIQYSVQIERSGHLSPMHPLLVLEDGRIVTVIRSMGLLQIYDPRRGTFASLMMLRHSSRVSVHTGSFLSLHHGEN >Sspon.02G0005310-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:16027753:16029491:1 gene:Sspon.02G0005310-2B transcript:Sspon.02G0005310-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIGQGDAKKGSLATSLSFSNCRSSTRIFGRKRVAVSPTPGSRSPHSPVRTLRKQRSVRFHMDDAVNLIESLPQDVLIKILCKVNHSDLRQLLLVSKPVNEATTVARELHFKFSTPSAKSVFRDEETGGDDEDGPGAPKQRRVARSRLRGKNLEGIAVNLSASFESLLSEV >Sspon.01G0056290-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1C:87947708:87952327:-1 gene:Sspon.01G0056290-1C transcript:Sspon.01G0056290-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GSQSPDSPPLLSGRSPDRLPRRSAPPFSSSVVARRRPYVLPVVSSLILRRVPSADLASSSGSPCWGAGRTDPEIPPPLGARRCPVLPLPAGRGGCQPVRQGCWCPSLDRQHNADAECRSRTQPASPSPACCTMLEVKQLGPPDDSICRRGIIRKIKRKEKEMRILMVGLDNSGKTTIVLKINGEDTSVISPTLGFNIKTIKYHKYSLNIWDVGGQKTIRSYWRNYFEQTDGLVWVVDSSDIRRLDDCRAELHNLLKEERLAGASLLVFANKQDIQGALKPAEIAKVLNLEVMNSSRHWKIVGCSAYTGEGLLEGFDWLVQDVASRIYVLD >Sspon.08G0016740-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:65732344:65736214:-1 gene:Sspon.08G0016740-1A transcript:Sspon.08G0016740-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSIHQLEEHGDEEGENDDRHGSQITSPLRILTSLFPCSGKWDSYCSVRFISVLCPCHAVQPSSRRRREVGDDDDDSSPIEQVALTVPVGDDPATPVLTFRMWVLGTASCAALSFLNAFFGYRKEPLTITAVSAQIAVLPLGRLMAAALPEGAFFRGRPWEFTLNPGPFNMKEHVLITIFANAGAGMVFGMNLVTSVRVFYGQHMSFFVALLIILTSQVSEVNEELVFLVVFDNHEPFQTSGSLDELGWFGYLIVHQVLGFGWAGIFRRYLVEPAAMWWPSNLVQVSLFRALHEKERRRKGRMTRNQFFLVAFICSFAYYVFPGYLFQMLTSLSWICWVFPSSVIAQQLGSGLHGLGIGAIGLDWSSISSYLGSPLASPWFATANIAAGFFIVMYIITPINYWFNVYKARNFPIYSDGLYTETGQKYNISSIMDSQFYFDTDAYEKNGPLYISTFFASSYGLGFACLTATVSHVLLFHGRFCYQLPLLVRSAKVHMKLKLQLSKSAFKDKKMDIHTKLMRRYKQVPEWWFICILVASVAVTMLTCEYYIEQLQLPWWGVLLACALAIFYTLPIGIIKATTNQMHDTYYNIYGPGAETQLTIQAPGLNVITEYIMGYLYPGRPIANMCFKVYGYVGPRQALEFLQDFKLGHYMKIPPRTMFMAQVVGTLIAGSVNLGTTWWLMDTIPNVCNRELLPADSPWTCPYDNLFYDASVLWGLIGPRRIFGDLGTYSAVNWFFLGGAIAPLLVWCTQKAFPGQKWIRHVNVPVLIGATSLMPPGTAVNYTTWILIAFLSGYVVYRYRRNWWERHNYLLSGALDAGLAFMAVLLYLCLGLENKSLNWWGNDLDGCPLASCPTAKGITVDGCPAHN >Sspon.05G0029070-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:75177670:75180395:-1 gene:Sspon.05G0029070-1B transcript:Sspon.05G0029070-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGSSTWTRHHLLLLFFLPILAVATSSSHTTTINITNRCSYTVWPAATPEGGGVQLDPGNTWVLQVPGGTGGGRVWARTGCSFDGPGNKSCQTGDCGGVLACKTSGQPPITMAEFTVGGPGSTDFFDITVVDGFNVPMDFLPVPVPSKGSSGCSKGPRCVADITSQCPDELKESGGCRGACESCNGSTVNSNTVFYARMCPDAYSYSLDQGPLRRQEMQEKKEAEFGRLPGMPKRFTFEQLEEATDQFREKLGEGGFGSVFKGRFGEEAIAVKRLDRADQGKREFLAEVQTIGSIHHINLVRVIGFCAEKSHRLLVYEYMPKGSLDQWIFRRHGDDDTPHLDWQTRCKIIAHIAKGLSYLHEECMKRIAHLDVKPQNILLDDNFDAKLSDFGLCKLIDRDKSQVVTRMRGTPGYLAPEWLTSHITEKADVYSFGVVVMEIISGRKNLDTSRSEKSFHLITLLEEKLKSDQLVDLIDMCSSSDSQAHKQEAIQMMKLAMWCLQIDCKRRPKMSEVVKVLEGTMSAKTDIDHNFVVINPATLAWDKALRTR >Sspon.07G0004580-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:11523739:11534313:1 gene:Sspon.07G0004580-1A transcript:Sspon.07G0004580-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAPATGREQRASLLQQHLFTPSATRPSSSSRVAPLLPAAHLPAGLLPDATAPGAAPAALTDQPADAVYLLGAGLDAAGLLPAGVQPLSQQHPPPVPGAAPLPATAADASPATGGESEADPWCKKTSAEDDSDPWGKKTDGDSDPWGKEAVSAADGDSNPWGKETVPSASGNSDPWGKKVVSSADGDSDPWGKKATSSAVEVWNTSATQKEGSSGNAWDKQAGVGGCDAAGSSWDRAVVNKESEKSDNWGEACRVMDMGTGADTDPWGSKVKAVDMEGPNSWEKATVPPDSKLEDVSQGWGRPKGNSTEDQTKDNVSKDVDNNRAWGSSLPVTEDGNWDKSKENTSDGAGGWDASAANWNKSSVVANAQDEGWGKGNWSSAKSEETNNEIGNWNKAGASDQAGDSNWDKPKSFGGDGWNKGDDQNSSWNRPGNFGGGRGFGRGRGRGRGQESGDFNGGNDQGSWKNSWGGDNAERPSWRSDNQVDKEAGDSGGYRGRGRGGRGQYGGRGRGRDNGWRNDDRSDSVFGREQNSGDGPKWGGGGNWNATNPPSNQPWSSSGGTKSYGQNQPTWNNSEDNKPSVDEQGDPWASKVSSTEGKEQQNDPWSSKMTSGGAEDTSGGWDTKAKDSSCNDGGEGLQNDPWANKFGSNEGKEQENDPWASKMSSTVGADDKDGSWSTTAKGTPSKEKADDPWNSKGGNDDNKKSDTWGAGSSGGNQESSWCKPNFSLGDQEPTWNNPRFGDDDNGNNRGGFGRGNRGRGRGRNFGDGGGGSSWNGGNRNDESGGGRSGEPWNRRDFDGGRGRGRGRFGRGDRNQDNFGSGDGGSWGSGRGDGGSWGSGRGDGGSWGSGRGNGGRGGYRNWNDNNERKPFGQGGGWSHQAVRKASPAGKRKTPLVEMIRQERVMQTTPGVRTDRPHLSWVSQVVVLTSLAPGALPVEELAVEGSWGKSNEDNWNSSGGSAKEKPSWGGGSEVPPKKEVDPWGKGGQGSGSQGGGGGSSWDKAADDAWNGNKGTDAGGGGW >Sspon.01G0041350-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:45163213:45173963:-1 gene:Sspon.01G0041350-2D transcript:Sspon.01G0041350-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding CAASSIPRDVSSPTGAPLRLAGAHQRWCDEALESWRRHPIRLSVVYHGMSSCSNPKACAFGLFLKFCTRIATNFNFQVLFGKRPTLCIPPMIYMAGFLQHLPATGGMNNEGTRSPIKEFSACYGDGCFANGEQDGRQIEDAVHGDRDASRTITEVTDGEQDLCEALADGEEDSTEATEDVSAGELVKLPVDGGPVELYGYIAARDHVDSLLNYIVNISRDDPITVDQGSFIPMTGPKRGIDLYETVLIEYDMRIKTGDREEDDQQLIDGASLMDPLLPYFEPLTCRIHGNNSAVDMTEVYVHCAVDATVEVIVSEVQSSFDLCVSCYTSGFDEEIRLFDGVIGESHVLRRHVIAVTVYGCLDLKFKVGSGSYFSEEHCRSFKVMDDGYASQQIKTEFASIFVK >Sspon.07G0009090-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7A:25275610:25278996:1 gene:Sspon.07G0009090-1A transcript:Sspon.07G0009090-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRWWSPASAAVELRSVQLLLLGVALVAASFYAGTLFSSFASPALVLPPLRPRSPDSFRAKVTDVPVFTNRVSRKYRAKPVTVPDNGVDVCPLEYNEYVPCHDAAYIRSLKNLDRSRHEDLESICPPREKRLFCLVPPPNDYKIPIRWPTSRDYVWRSNVNHSQLAEVKGGQNWVHEKGKLWWFPGGGTHFKHGASEYIERLGNMTTNSTGDLRSAGVVQVLDVGCGVASFSAYLLPLDIQTMSFAPKDGHENQIQFALERGIGAMISVLATKQLPYPESSFEMVHCSRCRVDWHENDGILLKEVDRLLRPNGYFVYSAPPAYRKDKDFPVIWEKLVNITTALCWKLIAKHVQTAIWVKPEDESCRQRKANMKLLNICESNDNVSPSWKIPLMNCVKLNKDKSNIQKLPSRSDRLSFYFKSLEMIGVAPERFEKNNQFWKNQVHKYWSFLGIEKTSIRNVMDMNANYGGFAAALSSDPVWIMNIVPHTMTNTLPVIYDRGLLGSYHDWCEPFSTYPRSYDLLHAFHLFSHYEGRKEDCLLEDIMLEMDRIIRPQNVFLLILQ >Sspon.07G0014470-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:57820629:57824245:-1 gene:Sspon.07G0014470-2B transcript:Sspon.07G0014470-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKGKWFGAVKKVFSPESKEKKEERLRRKSAASNPTPLDLTPSTSLEVNVSVPPPPAPAPPPVLHQDEEVRIPEAEQEQSKHVTVEEAPAAPAQASVLPPGVPTEELAAIKIQTAFRGYLARRALRALRGLVRLKLLVEGNSVKRQSASTLRCMQTLSRVQSQIRSRRAKMSEENQALQRQLLLKQELENFRMGENWDDSTQSKEQIEASLISRQEAAIRRERALAYAFSHQWKSTSKSVNPMFVDPNNLQWGWSWLERWMAAKSWEGRNGADKESNIDRGSVKSMSLNLGEGEITKAFNRRDSKPEKPSPPTPKPTRPASRQSPSTPSAKVAPIPARRKSATPKNGLSQVDDDARSVLSVQSERPRRHSIATSTVRDDESLASSPSLPSYMVPTESARAKSRLQGSAMTNGAETPEKGGFTGPVKKRLSFQGGTAAASPMRRHSGPPKVESAVKDIVAPPQPEALVVNGGSK >Sspon.01G0001100-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:3301229:3303003:1 gene:Sspon.01G0001100-1T transcript:Sspon.01G0001100-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:MEE50 [Source:Projected from Arabidopsis thaliana (AT4G00231) UniProtKB/TrEMBL;Acc:A0A178V4E4] MSRSDDGEETLAALIEASRTPEGREGLSDVLADTLFLLPASPSRLLLLRLRLLRNLLAGHELNQYAFIEHCGPAAVAASVLSFPSLAPDVARAALQALGNAALAGEFHRDAVWEALFPEALREFAGLRDQGVLDPLCMVLDTCCGGEGGRGRLEELYHEELGLPILVQVVTTASQVGHKEEWLGWLLFKVCVEEQKFESLFYALCSANDAERTDNGEYNAKHVFLLGTLSRCLNSRPEEVTVSDSFAHDVFNLHKHAAETVNFTHRGTSPLPTGSPAIDVLGYTLQLLRDICAWESTSSDTQRPVDSLLQTGFVKRLLRYLGELEPPSTIRKSMAGGQGDNHPALGNAKVCPYIGYRRDLVAVIANCLLRRKKVQDEIRQLGGIMLLLQQCVIDEDNPYLREWGLLAVKNLLEENEENQKEVSELEMQEPVITPEIANIGLKVEIDKETGRPKLVNTSD >Sspon.03G0008410-3C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3C:37594181:37597782:1 gene:Sspon.03G0008410-3C transcript:Sspon.03G0008410-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFGADGTRLPQPRGDTAEAPPPPPPGDHGQIASPRFDSSRALRLLRELGRNVTEDLVVLMPNLLSFLKHDDPVVVKQSIASGTNLFAAVLEKMTLQVNKCGKLETWLEDMWAWMKQFKDAVCGVMNEGKWLSIDKYTFHTGKNWRFNTSRLSQFHPSLDPAVLEADAHRALLLLLDILRTAYAHRGSFLVGTINS >Sspon.02G0015490-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:40699785:40708759:1 gene:Sspon.02G0015490-2B transcript:Sspon.02G0015490-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative potassium transporter 12 [Source:Projected from Arabidopsis thaliana (AT1G60160) UniProtKB/Swiss-Prot;Acc:O80739] MDDGGIQEEPPSARFLTPTRSGGTRWVDGSEVDSSESAPSWSLEDERSAGAVSSNGGAAAASRVSSGAFRRRLGKRPRRVDSLDVESMNVRGAHGHSAKEISMLSTLAMAFQTLGVVYGDMGTSPLYVFSDVFSKVPIKSEVEILGALSLVMYTIALIPFAKYVFIVLKANDNGEGGTFALYAKVSMLPNQQRVDEDISSFRLKLPTPELERAISVKDCLEKKPLFKNILLFLVLMGTSMVIGDGILTPSMSVMSAVSGLQGQVPGFDTSKVGFMFAPILALWFINLGSIGIYNIVKYDISVVRAFNPVYIYLFFETNGIKAWSALGGCVLCITGAEAMFADLGHFSVKSIQVAFTAVVFPCLLIAYMGQAAFLMKNPLVVERIFYDSVPGVLFWPVFVIATLAAMIASQAMISATFSCIKQAMALGCFPRIKIIHTSKKVMGQIYIPVMNWFLMVMCIIIVATFRSTNDIANAYGIAEVGVMMVSTALVTLVMLLIWQTNLFLVLCFPILFGALEFVYLTAVLSKIQEGGWLPLAFSSLFLCIMYTWNYGSVLKYQSEMRGKISLDFILDLGATLGTVRVPGIGLVYNELVQGIPSIFGQLLVTLPAMHSTIVFVCIKYVPVPYVALEERFLFRRVGQKDYHMFRCVARYGYKDIRKEDHGFFEQLLVESLEKFLRREAQEIALEASTMEAERDDISVVSEVPQSPACEGDLQTPLLSDQRSGDNNRMVTTDGSDPVLPSSSMSAEEDPGLEYELAALREAMASGFTYLLAHGDVRARKESLFTKKFVINYFYAFLRRNCRAGTATLKVPHSNIMRVGMTYMV >Sspon.01G0031130-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1A:106881050:106881407:1 gene:Sspon.01G0031130-1A transcript:Sspon.01G0031130-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding QRDCHDVPRPTIFFPSHPSVHPREPRELPAPAPITLLVHARQLAAGVSGDRLPPGPRNDRAALPGSGTSRAGRMSMPLALTVSVVDMVFSVLEAWVSACISAAVAVARAARTGPLHFA >Sspon.04G0007440-1T-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4B:17867354:17868771:-1 gene:Sspon.04G0007440-1T transcript:Sspon.04G0007440-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSIPSSSPDSVLILGNQSNGTQSAVVGAASSGAEEEAEENGGSKSGEKARDFGRGEKLPWLLPRTGDVATQNIRVNGHVRAREAGRLSVHRSEARRGGCAWVSFPSPSYELLLLSICPPVFLRRREDGGRRTGGVRRAAPGPLYEPNEYRGIRVPIVSELRTREAVGCRVYIRGRFASASRRTCAAPGGPAGSTGSTGFPSVATDARLGSDSNPGLSFRATAPGVNGVFLLPAAATRKSREVSRSGPHVCRGRGAIFPCRHLRTTDL >Sspon.02G0009650-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:25269304:25271130:1 gene:Sspon.02G0009650-2B transcript:Sspon.02G0009650-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATSDDCGSWLLYLSLAAKCAGGDQPYRLAGFLAVCAVAFVVTCLLHWCFPGGPAWGRWWWTQTRRRVAAVPGPRGLPVIGSMWLMTGLAHRKLAAAADSLRARHLMAFSLGGTRVVVAAHPDVAREILNSPAFADRPIKESAYGLLFHRAIGFAPYGAYWRALRRVASTHLFSPWQVAASAAQRAVIARQMVAAMKELSAAASASGRDGFEVRRVLRRGSLHNVMWSVFGRRYDLELDPAKESPETRELRSLVDEGYDLLGQLNWSDHLPWLARFDLQSTRSRCDRLVPLVNRFVGGIIDEHRARNDLRSAPPAVMDFTDVLLSLPADDRLTDPDMIAVLWEMVFRGTDTVAVLIEWVLARLVLHPDVQARVHDELDRVVGPDRAVTESDSASLVYLHAVIKEDAPAGPLLSWARLATSDVHVDGHLIPAGTTAMVNMWAITHDPDVWAEPTEFQPERFMGSTTAEFPIMGSDLRLAPFGAGRRSCPGKSLAMATVAFWLATLLHEFELLPARGVDLSEVLKLSCEMAVPLAVTARPREAV >Sspon.06G0006860-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:23440902:23442923:-1 gene:Sspon.06G0006860-3D transcript:Sspon.06G0006860-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLMISVAACLTVAVFFVTTKMIQRRRTLPETKQGPSLPPVVSTVSLLAYVPTLVRSGLPAVIDHLHTKLGSVFTMSLFGLKKVTFLVGPEDYFAQWGQHGVVDIKHEMGHLILLIANRCFLGKQFRENVFDEEVSTLVHELFENGFHLISLFFPYLPIPPHRRRDKARAKLGEIIHEVVRSRRSLSGRAAENDDVLQRLVDSKYINGRSMTESEIAGLLVCLMFTAQYTSSSASSWTGACLLSHGESSYLAAAVEEQKRIMERHGERVDYSVLQEMGTLHCCIKEALRLHHPANLLIRHASKGFSVRTREGDRFDIPKGHTLATCTTVGNRLPYIYKDPHVYDPSRFGPGREEDKVGGKFSYTPFSAGRHACSGKDFAYMQIKVIWSHLLRNFDLELISPFPEEEWEKLAPGPRGKVM >Sspon.05G0017650-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:73717989:73720974:1 gene:Sspon.05G0017650-1A transcript:Sspon.05G0017650-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LFFNLHLQINLELKVCGGSSIRKTLVKEAAACGAAHLILGVANNSRSFGSSFTSVAKYCSKRVPASCSVLAVNNGKVVYHKDAGHGLQHELYQSTSTIPETPRRSYRKLFSTMIGDKLWDENGKDRRSSNWLAPTEEEVRARPESFRADKSKMSVVQWAMRLPGRYSAVSPVHSEYRTTRPDSTSASHVLRDRVVVPSRSDSGKSCVVIEELEKETPEELTLLKEKFSSIYSSFSYSELAEITSDFSPECVVGQGGTSQVYRGCLANGRELAVKILKYSDEVLKEFVSEIEIVSSLSHKNVISLVGFCFKNDDLLLVYEYLQRGSLEEILHGEKECKSIFGWTERFSVAVGVAHALDYLHSDNNSPPVIHRDVKSSNILISKCFEPKLSDFGLAVWAADVTSQMTCNDVAGTFGYLAPEYFMHGKVNNKIDVYAFGVVLLELISGRKPLCTGCPKGQESLVMWANSIIQGGKLTQLVDPNLPTEGHTDEVERMILAASLCIRQAPQSRPEIDAVLKLLEGDTDILKWARSQVGLSCESSDSDEFVMTPPAPRSNTNIQSYINLAFDVDDDSASVSSNDFIAANTSLEEYLKGRWSRSSSFD >Sspon.05G0004790-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:17278305:17283837:1 gene:Sspon.05G0004790-3D transcript:Sspon.05G0004790-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARGGDRPARAKAVTPQAEADQIPLLPAEESCRYIPLYWGAGDRRGARSRWGGGRVELKRIENKINSQVTFSKRRNGLLKKAYELSVLCDAEVALIVFSSRGKLYEFGSAGVNKTLEKYHNCCYNAQNSNTGFDGEPQSWYREMSRLKDKLESLQRCQRHMLGEDLGPLSIKELQQLEKQLDYSLSQARHRKTQMMMEQMDELRRKERQLGELNKKLKNKLEAEGCSNYRGVQTSWATDVAITSDSGALSTPNAEPPAAAVDCEPTLQIRFVAPEAAAMPRSNTEGGENSHFMLGWAL >Sspon.01G0021420-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1C:78553597:78554496:-1 gene:Sspon.01G0021420-2C transcript:Sspon.01G0021420-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMGGALFPWLAWLLVSLAGIYLLGHLVHGRRRRGLPPGPHPLPIIGSLHLLGNQPHRSLARLAKVHGPLMSLRLGSVTTVMASSPAAAREILQRHDAVFSNRSVPDAPGAHAKNSSVWLPNAPRWRALRKIMGAELFAPHRLDALQHLRREKVQELVDHVGRLARGGEAVNVGRVAFTTSLNLVSRTIFSRDLTSLDDDGGSREFQEVVTDIMEAVGSPNVSDFFPALAPADLQGWRRRLAALFARLHRIFDEEIDGRLRGREAGEPKKNDFLDLLLDAAEDDDNTAGLDRDTLRSLFT >Sspon.04G0016890-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:61764182:61775697:1 gene:Sspon.04G0016890-1A transcript:Sspon.04G0016890-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAGGEQLSLAAVRDALVRLEDAVVFALIERARHPRNAPAYAPAAAGGGHSLVEFFAGHYQKPEDVPFFPQDLPSPLFPTKPSLKVLHPFASLVTVNDAIWKMYFDELLPLFTVDGDDGSYAQTVALDFACLQVLSQRIHIGKYVAEVKFKDAPQDYSRLIKAKDSNSLMDLLTFKAVEEKVKQRVEKKARTFGQNITLEDNATAGDSECKVDPKVLSKLYDLWVMPLTKDVEFLEPQADKIPMTKLSTDEEYQGRS >Sspon.01G0017030-3D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1D:57791328:57793157:-1 gene:Sspon.01G0017030-3D transcript:Sspon.01G0017030-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHLNQQQLPNGGEGQGEDDAYKILVMDQPCISVLSPVLKLGDLRHHGVTLTLNIDRPRQAVPDAPTVYFVRPTPGNVDRIAADAAAGLYGSFHVNFSTSVPRPLLERLATACAAAPPACAGRVARVADQYIDFVCLEDGLFSLAQPRAYVALNDPAAADADITSLVDAVALGLFCVVATLGVVPVIRCARGGPAEMVAGALDARLRDHLLAKPNLFTEAASAAVTSFQRPVLCLFDRNFELSVGVQHDWSYRPLVHDVLGLKQNILKLPAEKYELDDSDKFWVANSWSPFPKVAEEIEAQLAKYKQDVDEVNQRTGGGKVGVEFDGTDLIGNTKHLMNAVNSLPELTERKKMIDKHTNIATSLLGHIKERSLDGYCDCENDMLVNGTVDRNTLLSLLRGKGTKEDKLRLAVTYLLSFETPLSSELEQVEAALRESEVDMCGFQYVKRIKALNTQFASASGIATKSNIVDWAEKLYGQSISAVTAGVKNLLSDGRQLALTRTVEALMEGKPNPEVDDYLLFDPRAPRSGTGGQFKGPFREAVVFMIGGGNYIEYKSLMELEQHSQPSKHVIYGATEILSGAEFIHQLAELGQKAGLGGGSSNLPPGSAQ >Sspon.05G0002060-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:4687943:4690809:1 gene:Sspon.05G0002060-4D transcript:Sspon.05G0002060-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSSPAPPQEGAAAPSSWAEAASSAALRHYRSLPKKGKPQGRESTVLAAFLLSALQDPHSLTVLSMGTGTKCLGASMLSARGDLVHDAHAEVIARRALLRLVYSEIGRGAPPEWLVASVDGGRWRLRDGHCLHLYITQLPCGVMPVPLSQSELPREQLDSVNGDISFVQRKPGRGDTTLSMSCFDKITRWSLVGIQVVTKCPSGINALSVHSSTGALLSHILEPLYLSTITIGQLPDGAPERFSIENNIKKALDARLSSLSSKLPPPFKAQKPKVFEAPVPPTEFQQISGDVPPLTCGYSICWNKSGLHEVVLGTTGRKQGTSSKAAHLPSTESLLCKRRLLEAFMSLEHPLVGQLKCEELSYRALKVLPIYSPTTIQESIIDVSDFLFLLQDTAHDYRHTLELLRKAPFFGCWRAKPTFVDSFAVPR >Sspon.02G0053060-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:99256938:99258219:-1 gene:Sspon.02G0053060-1C transcript:Sspon.02G0053060-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAAISPWEPLGRTRATMGLKFVRPVCHLAEISLDSDLQGEVEPRDCRGSDSVLFAHSVQRYIRERDISNCSQVSEKNSNCDVVARCDEDGWFGRQDVCDAVAAAVAD >Sspon.04G0002010-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:7299862:7300239:-1 gene:Sspon.04G0002010-1T transcript:Sspon.04G0002010-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCDLFAAWWSSSTRLVSRIFRGSAADAPGPSPMRPAIPLHQKQAGLAASKLGVGTSKKHRAFVASDEQWYNKIFDPSSDFILTWNRIFLFSCFVALFIDPLYFYVPKISYGSPKFCVGTDTRFAV >Sspon.04G0003460-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:11317227:11318414:1 gene:Sspon.04G0003460-2C transcript:Sspon.04G0003460-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVAGDGGGGRRPNFPLQLLEKKEEQPCSSSAAVGTSAGGNGNGSGAGGEVQVRKAAPKRSSTKDRHTKVEGRGRRIRMPALCAARVFQLTRELGHKTDGETIEWLLQQAEPAVIAATGTGTIPANFTSLNISLRSSGSSFSAPAHLRAALPSPAAAARFGRADAWDRVVGLGFPSEGPASSSSSPSPLLLNFHSGSGGGAPSSGGSGGSGGGSGESIWTFPQMGSAAAAAAVYRGSVPSGLHFMNFPAPVALLPGQQLGLGPVGGSGGGGGGEGHMGILAALNAYRTQAATDPAASQGGGGCGGGGSGQQQHGGGRGDRHESMSTSDS >Sspon.03G0044500-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3D:114256:114702:1 gene:Sspon.03G0044500-1D transcript:Sspon.03G0044500-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGKFRRHQPLTTIEVVVGTDTGRVSSQPRPASTAAGVWRAGLFGAAAAAATAGSNSATQVYPAAAAAKIYGVTNGGSAGEDSEEDWSREEASCGGAHGPRKEGTSGFHSTDRRSVAALMGREWDACGPFNWVGSKNPKKSNLSLAFF >Sspon.03G0003550-3P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7D:13143845:13145830:1 gene:Sspon.03G0003550-3P transcript:Sspon.03G0003550-3P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exocyst complex component EXO70B1 [Source:Projected from Arabidopsis thaliana (AT5G58430) UniProtKB/Swiss-Prot;Acc:Q9FGH9] MAEDGEEKLLATVQHIVQTLGSSDTMTEDILKVFSNYDGRLSLDKLYAARAAAAAAAASGGGGGGGGGGIVGERSMPTSPPQPPPPAAAAAVSAAGPRPPITSMERTVRTLDRQISQFVAMDRLIWADSADADAFLEAVDDLVGTVQELDAAGTNRALLDRADELLSRCMARLEDEFRALIERPDDAAPVVPGGFGSDGSDDDDDFGGGDGYGDEPIPIAKPVTDYDVVIDALSPGSIANVHQIARRMVDAGFGRECAEAYAAARRSFVDESVARLGVRSRTAEEVHASPWEELEFDIARWIPAFNMVFRILIPSERRLCDRVFDGLAPFGDLAFIAAVRTQALQLISFGDAISSSSRAPERLFRVVDMYEAVRDILPDLDPVFSDPYSAALRAEVSAMCNTLGSSIKGIFMELENLIRRDPARVAAQGGVIHPITRYVMNYLRAACGSRQTLEEVMEGDFGANGGAPVAVDPDRPTSSLAVHIAWIMDVLQKNLDTKSKMYRDPSLASIFLMNNGKYIIQKVNDSELGVLLGDEWIKQMTTRVRRWSLDYQRTTWGKVTSVLQTGSPGIGGLPAKAMLQKLRMFNTYFEEIYETQSQWVIADDQLRVDIRAAVEDTVMPVYASLIAKLKSSPETGRDLYIKFTPEDVVAHIQHLFEGAAK >Sspon.02G0042650-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:51996856:52000672:-1 gene:Sspon.02G0042650-2D transcript:Sspon.02G0042650-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRGSAALNRNARFRRLLRDADSPAFSIVRAARHRCSALVAAAACFDKATGASCRSSPSPSVPVPVLWALHLRGGSLFRNVPATQLVLQAHKEAVNSLGENGPAKLGTVATVVAVANATAIEATKEVEAAMKISLRAALGSTTNKLTKGQLDDLTIMMDIRARDSTINEIADKLLETAEAAETAASAARSIDEERRFFTSELERLKQDHEKQVEASLLRLRESEEKAKLLVEERDHLLTERDSALQEAQMWRSELGKARGNAVILEAAVVRAEEKARVSAADADIRIKEAMSRLESAVKEKEDLLALVDALQSQIQRQETSTIQVCEESSELCCTASKHMEDDNVDKACVSDTDPIPVTENIVELDDEGVDIPTIGDTEWDNPHSSEVSDVREVTTEPEENSLDIPVDT >Sspon.02G0023490-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:80115132:80118320:1 gene:Sspon.02G0023490-1A transcript:Sspon.02G0023490-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPAVTERTKAFSSRYTNTTRLRESRGFCNFPQLNVALHFVSGRFRQGSVPPERAPKRGGVSAYEQSLKDYVDRMPAIVISPSVGMSFDSRAEAYEFYNLHSWELGFGIRCNMTVDKSVVSQDIVCSCEGKPELSNTASVQTDCKAMIQLHRSDGKCWYIQEFRGDHNHPLSGICSEHFCWPSHNHLKPYTKNLVRRLRDDNVDLSKKRHSIYEYFWGMENYKFVKGDLESLCKNISSDLSDIDADKTIELFDDFGSLRRDDPSFMFRIELDDIEDQFNTVLWTNGRSRMQYAHFGDTITFDTTYRTDLYGIPFGLFVGVNNHHQSIILGGALMRHKTVESFKWLFREFVILMGGKAPSTILTGTCFISKLDYAFSSWFTAITIFQLISNLWIVLNVDWCHEMEVAIQEELPETIHRWCKMHVLNNENEFLEPICLKKSGFKDDFQKITDSMLTVREFECAWQHLLDKYNLHDNAFLSQIYDSRHKWANPYLKEKFCAKQTSTQRNESAENMFKGFVPLNRSIHMFVRHYNKLQSDLNSKESSEEDRSRKRPRFMSKGLPIVEHAAKVYTRAMFEKFEGIISQSGSYVVHEKEKGKAYLAWHIRSDRQESWSQVEFEVIIRAEDGAVVCECGFWEHMGMPCCHAVKVMIHLGMQEIPGGNIVKRWTMDARDTVPVHLIENDGAAENSKSYRTSELFIVEMKFAKSGSRSDQAFEVAMAHLDRLEQELLELGVDEDVSELSEQSSISAATTDDAASELSSSETD >Sspon.02G0009200-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:24623581:24624558:-1 gene:Sspon.02G0009200-2B transcript:Sspon.02G0009200-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTLLLLTMSESNTQPGRNRLNQSSGLNRNHSANRPSVYTKRKIDHRVASRAGGLTRYRPVSNLARRHGRFEGERTAESNPSLNPPPPTAAAVEATDDGGAAAAAAAAAEAARRPFTALSQEEADLALARVLQEQGSLPPAINPCFGSVQERAYMLLRMNGAGGEGSDYGSSDAGSYEYDEEGEEDYEEELEHHLRVHHHGHPSGDGEGEGEGEGEGEGAEGSDYDEEFEEDEEGEAEVDPAEFEDDEAYARRRAAYGSRWSQRL >Sspon.06G0032160-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:79305897:79310485:1 gene:Sspon.06G0032160-1C transcript:Sspon.06G0032160-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAAPTGPRVLHETLAPCASRPPLHHELLPLLMHWSEGLIPFIQEKTDPSSYLHDKMDNWAIKVQFGVLLFTEIKLPWLLVLRLQTQFDWCSATREEMLEMELQERHYLISQHDPQHPCFFLHGREGARPDTGLLYGDSHAIAEHRCAPDRTGALKTSLQASL >Sspon.01G0027370-3C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1C:94496099:94498502:1 gene:Sspon.01G0027370-3C transcript:Sspon.01G0027370-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GMSILTLSASVPMLMPPSCEGSFCPPASPFQYIVFFLGLYLIALGTGGIKPCVSSFGADQFDDTDPAERIQKGSFFNWFYFSINIGALISSSFLVWVQDNVGWGLGFGIPTVFMGLAIISFFSGTSLYRFQKPGGSPITRVCQVIVASLRKWNVPVPEDCSLLYELPNGVSTIEGSRQIEHTDELRCLDKAATVTEVDVKTADFNNPWRICTVTQVEELKILVRMFPVWATTIVFSAVYAQMSTMFVEQGMVLDPSLGSFKIPPASLSTFDTLSVIICVPMYDYIVVPIARRFTGNERGFTELQRMGIGLVISILAMSVAAVLEIKRLAVAREAHLVDQNVPVPLSIFWQIPQYFLIGLAEIFTFIGALEFFYDQSPDAMRSLCSALNLLTTAGGNYLSTFILTMVAYFTTRGGNPGWIPDNLNKGHLDYFFWLLAGLSFLNLIVYVICAGKYKGKKAA >Sspon.04G0009530-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:28329493:28330127:1 gene:Sspon.04G0009530-1A transcript:Sspon.04G0009530-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTEMLIRMEGGSEKGHAAKAMKVAAAMQGVESVTLSGKDKSLLRVVGDGLDCNHLTTRLRRKVGHADVVELRTLHGAGNYSGHGSGSGSRTGSLSRDAAAAYGNGSRGGSYYGGYPYSTTTADSDYYYGQQQHQPSFQYSYPPPYQYAAPAAVHYEYYPSATDPNGCSIM >Sspon.03G0021230-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:65302873:65308460:-1 gene:Sspon.03G0021230-1A transcript:Sspon.03G0021230-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGAPPRLSLLDALTVDESLNRDERFLHVRWNSHNKKPSLVDGSRILGCHLERLDKLSKQSANDKQPAPSKSGGSASRSLASMPAELLIVRVQRAAGLVSDALHGGGVERFVLESVQPHYLVVGEVERALHAAPAPVRRVAVGIPPARLAPDEVRDERPAVVAEAPGGAPLPPQLLVPVHYPFPEAVELVGALDERLVAPPAPLREEQVHERRLVVRLEWRAPGELAGEELAVAVADGAARPHGRPRIEEVERPLAVADEEAAGVDADPAPLLEHLGGPAVEHEVVALVPLPRRLERHVREHRVGVHPPEELHLRVRQHQRAQQRQLRPESGHLGVQERRVVEHVEAVHAAVVRLVLERPQQQVVAVRVGAPGWRRPRHHQHPRPPPPRRRMHLYLHLRVRREPRGPPRVVVQDGSAQRVGRVRQLPRRREAAVLFVVGRVAGGRRGGSRRCWDDDGGFVEHDVDARHGENEVEVGVGRAEDEVKHAERDEEEGDGDVGRCRRAAAIVPPDADRLAAAVLLPHCG >Sspon.05G0000610-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:1562794:1565989:-1 gene:Sspon.05G0000610-2D transcript:Sspon.05G0000610-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKKRKSGSEKPAKHRLPLGADADAVAEASKRRRSGAAKQHQADEEASIPPSLSAKILREARKQQQEEMRADDSSDEQRPSAAEAAAGPPTSSSFPVPPADGEEEDDDVDEFDGFDALSEYDGGEVEINEEDEKALAAFMSKDKAAERTLGDVILQKIREKDAEVATEGRPRVKLDNSIIELYKEVGKFLSRYTSGKIPKAFKRIPSLECWADVVQLTEPENWSPNAVYQATRLFSSNMNTKNAERFYEAILLPRVRNDIRKNKRLHFALYQSLKKALFKPAAFNKGILLSLCRERDCTLREAVIIGSIIQKVSIPFLHASVALVKLAEMEYCGTTSYFIKLFLDKKYALPYRALDAVLAHFMRFLDDERIMPVIWHQSLLAFVERYKNELEKKDKEKLVRLLDHQKHYLPIEEDKWDVPQVPMEED >Sspon.05G0018580-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:79115600:79119066:-1 gene:Sspon.05G0018580-1A transcript:Sspon.05G0018580-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFYYPSSTSSKDRAQPAPAPATDSKNGNGDKQSNGSSTGKKKRSISSIGRSMTCAGSSICSTKESSVMSRDHDRGAGRSASSRSLRAPDVDVVDAVYAAAISATSSFNSETTAATTVTSSSSPLSSALSSPISSVASSFRGVQIRKLSGCYECHSVFDPRSFAAAAAAFPCADCDEVFNKAESLELHRATRHAVSELGPQDTSRNIVEIIFQSSWLRKQTPVCRIERILKVQSSDRTVKRFEQYKESIKERASSDQGQGKKNPRCVADGNELLRFHCTTFTCSLGLAGSTTLCRSPSSAQCNLCSIIRDGFRVDGDGKIATMATSGRAHDMAQVLSDSDKRAMLVCRVVAGRVKRASDEKSSEDDCDFDSVSPSTEGKAL >Sspon.05G0006860-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:15106936:15118336:-1 gene:Sspon.05G0006860-2B transcript:Sspon.05G0006860-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMHKGVSDPLDVVAAEISDEAIILCLDEFMILVSTSNRAPDKLYEGGLQRDLFLPFIDTLKERCIVHPIGSAVDYRQLGSMHKGVADPLDVVAAEISDEAIILCLDEFMILVSTSNRAPDKLYEGGLQRDLFLPFIDTLKERCIVHPIGSAVDYRQLGSQAEQGFYFVGKHYSTLLKQKLQSLIGHEEPSPQTVEVIMGRKLQVMYENKARLLCTAEAGPIGLFENIVTVAEAQKVSPRYSRSQKSDDPDLCVDNELGFAKDRTISRLTEINSREYLEDFEMRLRRQQQLPLQGLDNNGGDVVLA >Sspon.06G0034230-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:41719862:41727766:-1 gene:Sspon.06G0034230-1D transcript:Sspon.06G0034230-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKQPDAQGAEKVAEEKQLDEKELERKLKKDQKAKEKEEKKLKAKQKEAARLQAQATSDGTKKTEKKQRKKAVEDENPQDFIDPDTPNGRKKLLAPQMAKQYSPSAVERSWYAWWESSGYFGADPASTKPPFVIVLPPPNVTGALHIGHALTVAIERSLCHVNGIDIDYTMVLQPMQDAMIRWRRMSGYNALWVPGVDHAGIATQVVVEKKLMRERKLTRHDIGRENLFLKDYRLVNWDCTLRTAISDIEVDHIDLREETMLKVPGYANPVQFGVLISFAYPLEEGLGEIVVATTRIETMLGDTAIAVHPEDERYKHLHGRYAVHPFNGRKLKIICDAELVDPTFGTGAVKITPAHDPNDFEVGKRHNLEFINIFTDDGKINSNGGAQFDGMPRFAARVAVIEALKEKGLYKDTKKNEMSLGVCSRTNDVVEPMIKPQWFVNCSTMAKAGLDAVRSKKIEIIPQQYEQDWYRYYIQCVVTYGLKIYVIGVSRDNFGGDIVYLHVLGWPSDTADLRAFYPTSVLETGLDILFFWVARMVMMGMQLGGDVPFQKVYLHPMIRDAHGRKMSKSLGNVVDPLEVINGMSLDGLLKRLEEGNLDPNELNIARDGKKKDFPDGIAECGTDALRFALISYTSQSDRINLDIKRVVGYRQWCNKLWNAIRFAMGKLGDHYIPPATVDVSLMPPICKWILSVLNKAVGKTVTSLEAYKLSDATSAIYSWWQYQLCDVFIEAIKPYFFNDSQEFESARAASRDTLWICLDTGLRLLHPFMPYVTEELWQRLPQPKDSCRKDSIMISEYPSLVEEWSNGGLENEMDIVLDAVNKIRSLKPPTDSNERRPAFALCRGQEIAATIQCYQSLIVFLSSISHLKILTENDETPADCATAVVNKDLSVYLQLQGALNADAEREKLRKKKDEIQKLQNALAQKMDASGYREKAPQSVQEEDMRKLTALLEQLEVISEAEKKLDAN >Sspon.01G0010090-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:30820248:30822790:1 gene:Sspon.01G0010090-4D transcript:Sspon.01G0010090-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLYIVGLGLGDERGVTVRGLDAVRRCARVYMEAYTALLTVGPDPPSRLDSLVRAFSIRAAHRLFAQNPAWPGRRPSLTHSPEKLYGKEITVADREMVEEERGGQVLREAAGADIALLVVGHPFGSDEPFRKAAHIDLVVRAKRIGIQVKVIGSASVVNAVAVCGLQLHRYGEGITIPFFTETYKRDFFCQVIRDQFYPAIVNNRWLGLHTLCLLVMFNSRGTKVYEPPRFMTVNTAIRQLLELLETRGEPEPAYDADSLCIGVARLGSDDEKILAGLMGKLVEADFGPPPHCLVIVGETTLEEEEMLEFYMIK >Sspon.03G0009220-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:32153086:32158089:1 gene:Sspon.03G0009220-2B transcript:Sspon.03G0009220-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LSVVYSSVSLTASGASTQLLLPESSRRACVGARGGEAAPHHPSPTRCAGVSMADGEPSLTRWTFEDFVVYYETRLGIRREPNGDDGDDRDEGPTPRGGSDHAASHHPAAAVRANGGADLAVFEQFERMERKVEVRSGAIEDGPPKKPLLPSFESAEMRNLAETLLRYFTGLLSPWKGILLFGPPGTGKTMLAKAVATECKTTFFNISASSIVSKWRGDSEKLVKVLFELARHHAPSTIFLDEIDAIISQRGEARSEHEASRRLKTELLIQ >Sspon.02G0009800-4P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:8865784:8869940:-1 gene:Sspon.02G0009800-4P transcript:Sspon.02G0009800-4P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARQIKQEPSACCESAASTSPSPPAQHTEDAAGSSQKRPAGRTKFRETRHPVYRGVRRRGRAGGRWRWVCEVRVPGRRGCRLWLGTFAAAEDAARAHDAAMLALRGGHGAARCLNFPDSAWLLDVPVPVPVPVLPHGAGPRAGAEAAGVRRAVALAVEGFLRARAATEDAMSATSEPSAFANDDGAATETETEASSSYSSSGSDDAREASPFELDMLSDMGAGLYYASLAQGLLMEPPPLDAPCADDDSDCDDLAGVALCSSTEPPAAAASPSSSLGSSGQQASPPKRPAGRTKFQETRHPVFRGVRRRGRAGRWVCEVRVPGSRGDRLWVGTFDTAEAAARAHDAAMLALCGDAASLNFADSAWLLHVPRPAPAAGLPDVQRAATEAVAGFMQSQTQMQRQRGGDAHAPNAASSQANAATAAAAAAAAAAGVDRADDAPPAMDSGGGSMLKLDLDVFGGMDDPGSYYASLAQGLLIDPPPPAVECPEEDEDCCDGEME >Sspon.04G0020380-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:71605906:71613060:-1 gene:Sspon.04G0020380-1A transcript:Sspon.04G0020380-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYERIEKPFPVQQGGGFSPKRLRAMLLGVDKRRKGQPDGGADYEEDDGDGGYGAVPRAHARSDAGDGGGGAMCEEYKDVDVVSTISESSTSLEIGGGHRSRDAYPIPLGSRIRVPEEDSCDSESVASNFEFHKERGATARSAVASVVPPFSKPAPSKWDDAQKWIASPTTNRPGRGGGGVPVPKKIEKPSAGIGRLPATKVVLEATEEIDTKRIDPSQEKREIGWRKAVSWAPPDPCPEVEPCPKTTLAAESTMATSAAWASKKEEEKDASLSLKTVPMDQSTQNITAVRAAAWEEAEKAKYLARFKREEIKIQAWEDHQKAQIEAEMRKIEVEVERMRARAQDKLMTQLASARHNADEKRATAELKRNRAAARTAEQAEHIRRTGRVPPSFGCWNWSTTAGGTEEHGRTWGEVVEHLLDLLVPGVADEPAAGLEGGDERGGLAAGVGAEVAERAELELGEAVAAERLADLAHGVGRLKRFTYCSDFSNTCDTCDDGSDSDECCRICSYLCSRMIATSQFIRHLALRNSAATGLRVSRVREGRNPSRPPKDWTVVGRGKGGQGRAAWKRERTFADLELRGVLLLLAGHPAAALALPTGAKSLAGVDEVGNLELRLESTTAGKTSGVDSGRAVRWAGSPWAVI >Sspon.02G0034050-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:12481982:12489198:1 gene:Sspon.02G0034050-2C transcript:Sspon.02G0034050-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEFARAVEDGLKLSKRLVLPGAVPPPRPPAGMDRTVSAAAASGPDPRLLPTAPMAYAVVTDPAAVDTPDVPSYQPYVYGHLDPPALIPLQMKEVDLAVDCALDAAHVTLRARWWLHCITRSRECDVRLVVPLAEQGSILGAEVTIGRRSYNTQVIEVEDTLENHAKIEIGGLLKPHLFFLTIPQVEGGADICATFRWSQKLLYDSGRFSVEIPFRFPYFVNPLPKVFMKNEKIQLTVNSGFSKEVLLQGTSHPLKEKSRQGDKLFFHHEAIVENWSCKDFNFSYSVYSGDLCGGMLVQPATLRDYDERDMFCIFLLPGSGNRKVFRKAVVFIVDTSGSMQGRPLENVRRAVSTALSELVEGDYFNIITFNDELHSFSSCLEQVNDKAIASATDWMNENFVAEGGTDVMHPLSEAMALLSSVHDTLPQIYLITDGSVDDEHNICQTAKTELTNRGSKSPRISTFGLGSYCNHYFLRMLASIGKGHYDAALETASIENRILKWFRRASSTIVANISIDAMTHLDEFEVDSEYIPDLSANSPLCISGKYQGKLPDTVKAKGYLADMKEISIELKVQQLKEIPLDKVLVTQQIDLMTAKAWLSADKQLERKVIKLSIQNGVPSEYTDMILLETNLDKVDGTQKVRQKLKGQKGRDEQRILLHGLKLGFGNKDATRENLMTGFGDMNPAEKLVMLQKGNGCCSRVADCLCCMCCIKACNRMNDQCAILMAQICAALACLGCYECCAEVCCGGSES >Sspon.01G0023470-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:84658220:84662895:-1 gene:Sspon.01G0023470-1P transcript:Sspon.01G0023470-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LLVTHPTHPPRWDDLICPLALLHARLALLANADPPVAALAASCFELAWRADAPGREALVAQTLPYLVALALTSGSSARPVLRRLFAFRDALPLLDYDDDQSISDFKMLLLRCFASPLFLKAEEGRKFLALVLGVSEGIAREGLELIRAQVVMTGGKRAAVVAYGEVIFRAWKDGGWVRGEVGEAFLQGMVEGAVHAGSKEVAKAARKILSPFVEQRAVAGVEKLVFRLAEPVLFRSLQVANSNVRHNALHLLLDLFPLEDPDVTKDVNDPLLEKQFFLIDKLLMDEYPEIRAVAVEGICRILNQYWEVVPAPTISKFLSKIVDDMSKDSCNEVRLSTLNGLIYLLDNPQSHDILKVLLPRLSDMISDTAMSIRAAAVDLLLAIRDLRSFQYNKVVGLGILLSSLANDHPRIAQKITKLLIPSYFPSKLSPKEACARCIALIKRSPTAGARFCEFALSEGSPPRSIVDLVKYSITLALSQTGLNSDQIDGLIIASVNLIKSLSNERSSLSALREFFANAKLRLVLQIAVSEGARAALLSIAPVVLPHDLSVLHEECMDIVVNAARISKQEEYQETVLEAHKLIVLGDWSDELFEGLTNTLQSKASDFAEIYGVEPPPCPVASSRRKKGKALKKIPVRDAVVGKGSSKSKVSNEELAVAAGAAWQINEIVKSKDLRDAFLQSSYSEIAFSSLKVISQVYVEQCLYLDTLDLAPILAYLSLATCNDLPDVNQTGSCFESSTANQSLDHLLNCFDKLLNGTVDNPPSKSNKNGKASRSKDQQKGASEVKGTFNVIMLGASILKFIVDTTMKPVNDDKIRCLKFASSYIKYAVSSIKKHQEQSSSFKGDDLKDALLLVRSSFTYAAKLLHLVLSSSPEESSPPEEAFFLANDLLDLVPVVESFAGSRFALSIVSVLKQWLPVLLLGLVCQWLIGPHNEMAPNVFHFADSVLPLWVTAVAKNELLDSKEPGQDEQSNLAAEGEDSPLCRKLAEMMTILLKKGSPRILDCVSGVLLSTFQLMLQRSEYDIVLGITRFVCDKLLGNNSLALEKLQLARDFLRENFLEIDRYVRDELVDDDDSRQQLEKAKALIRSVLTDV >Sspon.01G0019840-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:75640021:75642616:1 gene:Sspon.01G0019840-3C transcript:Sspon.01G0019840-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAEKPAAVRRAEELVEREMGGRDASHDAAHALRVRDLALSLAAEEGLSAPSRLLTVELAALLHDIGDYKYTKNNVEDMSVVDMFLQEVGLDEGPKDEIVAIIKGMGFKNEVSNKSIVDPTLEFAIVQDADRLDAIGAIGIARCFTYGGSKNSALHDPRILPRDSLSKEKYMSKEEKQTSINHFHEKLFKLKDMMKTEAGRRRAEKRHKFMEEFVAEFYEEWSGRA >Sspon.05G0021010-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:88652569:88653006:1 gene:Sspon.05G0021010-1A transcript:Sspon.05G0021010-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFFSIRASLLRLLLVLFFATAGTSPAAAQSQPPSPARILDAALQDYAYRAFARPRTGIVYNATLPGNLTGIAVSAVRLRSGSLRRKGFAGYFQFGVPTGVVVQPYVERVVLVYHDLGNWSDYYYPLPGYTYLAPVLGAAGLRRR >Sspon.02G0011130-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:34145878:34148554:-1 gene:Sspon.02G0011130-3C transcript:Sspon.02G0011130-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGNMIKHDHFSPVTEELGLEATGSESNTVKLDNNAEMNSIDTAGVEDLMDAIEEVKPCWTKPSPKNQPSNGFVTFSLTMGPEYHISQITDAVVVARYLGATLVLPDIRGNELGNKRKFQDMYNVDKFVRRLDGVVEVIDEIPDEVSAKKPAVIRVPNRVTESFITDTIQPIFQKNKYLRLAVIFSSVSLRPKETSNKDLDATACLAMFSGLELKHEYSEVARKMLDRLQELSKKSDGKVLAIDLRTDLLEKKSCKTTRGARRKGCYNPDEYIFVFLQDDIMPAEDKGEFLKSSNADLARALDLEICSQSDVFIPAVAGLFYGHVTGKRIASGRTQIIVPSQSSTSTHASDFISTYISNKNHIAYSCYC >Sspon.01G0047760-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1B:105638387:105638716:-1 gene:Sspon.01G0047760-1B transcript:Sspon.01G0047760-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMLRWCCGHTRRDRVRNDDIRDRVGVAPIEEKLIQHRLRWFGHVQRRPPEAPVRSGVLKRADNVKSGRGRPKLTWDESIKRDLKEWNISKDLAMDRSAWRLAINVPEP >Sspon.03G0021190-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:87835203:87836200:1 gene:Sspon.03G0021190-3C transcript:Sspon.03G0021190-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAACQPLQGKELQPYDGFDPSVFGGPVLLPRQASSAPPAVRVAPPEMSSSSGSGRSATEARALKIHSEAERRRRERINAHLATLRRMIPDTRQMDKATLLARVVEQVKLLKRKASEATTQSTPLPPETDEVSIELHTGDTNAGADKVIYIRASISCDDRPDLVAGLAQAFHGLRLRTVRTDMTSLGGRVQHVFILCKDEGWGGAGVGASASL >Sspon.01G0013110-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:35802122:35802711:-1 gene:Sspon.01G0013110-1A transcript:Sspon.01G0013110-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPAEADAAAAAAAAAAVSHRRPTASERRRMYRDLALSLRCGLRDAAAGFSFLRLRGLRALLRALRSAADAGLFRDSQSIRDLQGKVPASRSRSLIPVLFEHNLRKAAATGAGDAVLTVAQVLGMEPAAARLRNPATDSEVVLALRVLEGCCLLCPACAAAAHRYNAVK >Sspon.07G0001370-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:3168796:3172559:1 gene:Sspon.07G0001370-1A transcript:Sspon.07G0001370-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRCGVASVTFYVTVRRAPPLPHGQARAHGEHGARVAPSEAAATSPHRSSVHSCASPSSFPTPFQRNGRAPLYKPPPAPHHHRLAPSRPPILSSLPPTPGAIDPSVRRLLRPSVAMQFSSVFPLEGKACMRPVRRGGEGSWSERMRIGNSCSIRRNKALRRMCFGARGAVSSAQCVLTSDAGPDTLVVRTSFRRNYADPNEVAAVILGGGTGTQLFPLTSTRATPAVPIGGCYRLIDIPMSNCFNSGINKIFVMTQFNSASLNRHIHRTYLGGGINFTDGSVEVLAATQMPGEAAGWFQGTADAVRKFIWVLEDYYKHKAIEHILILSGDQLYRMDYMELVQKHVDDNADITLSCAPVGESRASDYGLVKFDSSGRVIQFSEKPKGAALEEMVSNHLTSGTQIYIGTFQE >Sspon.03G0030630-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:21931314:21933057:-1 gene:Sspon.03G0030630-1B transcript:Sspon.03G0030630-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSWGYFVILSWMPVYFKTIYHVNLREAAWFSALPWVMMAVLGYVAGVVSDMLIRNGTNITLTRKIMQSIGFLGPGIALLCLNAAKSPIIASAWLTIAVGLKSFGHSGFLVNLQEIAPQYAGVLHGMSNTAGTFAAILGTIGAGFFVDRMGSFRGFLILTSLLYFSSALFWDIFATGERVDFDGTG >Sspon.03G0006400-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:22527218:22532411:-1 gene:Sspon.03G0006400-3D transcript:Sspon.03G0006400-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MESAAAQACAACGDDARAACRACSYALCRACLDEDAAEGRTTCARCGGDYAAIDPAHGNEGAEAEEHHAAGGLRERVTMGSHLSDRQDEVSHARTMSSLSGIGSELNDESGKPIWKNRVESWKEKKNEKKASAKKAAAKAQPPPVEEQIMDEKDLTDAYEPLSRVIPISKNKLTPYRAVIIMRLIVLGLFFHYRITNPVNSAFGLWMTSVICEIWFGFSWILDQFPKWYPINREAYVDRLTARYGDGEESGLAPVDFFVSTVDPLKEPPLITANTVLSILAVDYPVEKISCYVSDDGSAMLTFESLAETAEFARKWVPFCKKYAIEPRTPEFYFSQKIDYLKDKIHPSFVKERRAMKRDYEEYKVRINALVAKAQKTPEEGWIMQDGTPWPGNNPRDHPGMIQVFLGETGARDFDGNELPRLVYVSREKRPGYQHHKKAGAMNALVRVSAVLTNAPYILNLDCDHYVNNSKAVREAMCFMMDPTVGRDVCYVQFPQRFDGIDRSDRYANRNVVFFDVNMKGLDGIQGPVYVGTGCCFYRQALYGYGPPSLPALPKSSICSWCCCCCPKKKVERSEREINRDSRREDLESAIFNLREIDNYDEYERSMLISQMSFEKSFGLSSVFIESTLMENGGVPESADPSTLIKEAIHVISCGYEEKTEWGKEIGWIYGSVTEDILTGFKMHCRGWRSIYCMPVRPAFKGSAPINLSDRLHQVLRWALGSVEIFLSRHCPLWYGYGGGRLKWLQRLSYINTIVYPFTSLPLVAYCCLPAICLLTGKFIIPTLSNAATIWFLGLFMSIILTSVLELRWSGIGIEDWWRNEQFWVIGGVSAHLFAVFQGILKMIAGLDTNFTVTAKATDDTDFGELYVFKWTTVLIPPTSILVLNLVGVVAGFSAALNSGYESWGPLFGKVFFAMWVIMHLYPFLKGLMGRQNRTPTIVVLWSVLLASVFSLLWVKIDPFVGGTETVNTNNCNTVIC >Sspon.05G0014730-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:5A:56504556:56505563:1 gene:Sspon.05G0014730-1A transcript:Sspon.05G0014730-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMASGGEPRATKVVLLLVPRASFHAALRERFRVLDFFASSERSQLPAFLAAAAAAPEPPRAAIVSGAGQIPVDAAFLDAVPSLRCVMCLAAGVDFIDLDECARRGVVVANSGRVFSADVADHAVGLLIDVLRRVSAAERFVRRGLWPVQGDHPLGSKIGGRRVGIVGLGNIGSQIAKRLQALGCTVCYNSRTRKDSVPYRFFPNVYDLAAESDVLVVACALNKATRHIVGKDVLEALGKDGVVVNIGRGANVDQAELVRALKEGRIAGAGLDVFENEPGAPGELFSMDNVVMTPHVAVFTAESMSDLQENTVANLEAFFSGEPLLTPVLPHSPVN >Sspon.06G0022950-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:37637282:37643489:-1 gene:Sspon.06G0022950-3D transcript:Sspon.06G0022950-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAVMVSSAGSLLAMLQEPAPELKLHALASLNSLVHAFWHEISTSVSSIESLYEDEEFVQRQLAALVASKVFFYLGELNDALSYALGAGPLFDVSDDSDYAQTLLAKALDEYAAIQSRATGEEKTMDPRLRPLWKGCWTSMIVSSWSIVSCSELTHAWLRFRCILDGKYQQAMGMSVECRRLDKLEGAISRCDNLHGALSYCINLSHQYVSHREYRLEILQCLVKIYQTLPNPDYLSICQCLMFLGEPESVANILDKLISGSNDDALLAYQTAFDLTENENQAFLLNVRNHLDALSSHTSAHVDPDSGPAVPSNQTNAATEPSGDVQMRDDINMPNGSATTVDPNAATHADRLTKIKGILSGETSIQLTLQFLYSHNRSDLQILKTIKQAVEMRNSVCHSATICSNAIMHAGTTVDTFLRENLEWLGRATNWAKFSATAGLGIIHRGHLQQGRALMAPYLPQNGAGGSGSPYSEGGALYALGLIHANHGEGIKDFLRESLRNATSEHGACLGLGLAALGTSDEEICEDIKNILYTDSAVASEAAGIGMGLLMVGTASEKATEMLAYAHDTQHEKIIRGLSLGIALTVYGREEEADTLIEQMTRDQDPILRYGGMYALALAYRGTANNKAIHQLLHFAVSDVSDDVRRTAVLALGFVLYNEPEQTPRIVSLLSESYNPHVRYGAALAVGISCAGTGLSEAISLLEPLTSDVVDFVRQGALIAMAMVMIQTNESYDSRVGAFRRQLEKIILDKHEDTMSKMGAILASGILDAGGRNVTIKLKSRSKHDRLTAVVGLAVFTQFWYWYPLTYFISLAFSPTALIGLNSDLKVPKFEFLSNAKPSLFDYPKPVTQQTATTSVKVPAAILSTYAKSKSRAKKEAESKAKEKAEDSSIASTSMQVDGAAAEKKAPEPEPTFQILMNPARVVPAQEKFIKFLEDSRYKPVKAAPSGFVLLQDLKPTEAEELTLTDAPSTAATTNAPASSASEPAAMAVDDEPQPPPAFEYTE >Sspon.04G0001490-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:52914532:52918281:1 gene:Sspon.04G0001490-2P transcript:Sspon.04G0001490-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPHGVAVWYFRVSSPRRAPGTPRAHAETKPRTRGAPRRPGTGSQKKSSVSRGHRPRPLLPIRRPRPCNGQCRLRPSTERERQRQAAVRAPPSLPRDRPDGARPFGLSEAPRTHSNKRKQEKEATMASISPETIGGDVNLSKIPVEEVFKTLKCDRKGLSSTEGENRLRTFGPNKLEEKKENNLLKFLGFMWNPLSWVMEMAAIMAIALANGGGRPPDWQDFVGIVSLLFINSTISYIEEANAGNAAAALMAGLAPKTKLLRDGSWEEQDASILHQARRHHPRRREAARGRPAQDRPVGAHRRVAPVNKHPGQEVFSGSTVKQGEIEAVVIATGVRTFFGKAAHLVDSTNNVGHFQQVLTAIGNFCIISIAAGMLVEIVVMYPIQHRAYRDGIDNLLVLLIGGIPIAMPTVLSVTMAIGSHRLSQQGAITKRMTAIEEMAGMDMLCSDKTGTLTLNKLTVDKSLIEVYSKGVDKDMVLLYAARASRVENQDAIDTCIVNMLADPKEARAGIQEVHFLPFNPVEKRTAITYIDGNGDWHRVSKGAPEQIIELCNMGAEAEKKVHALIDGYADRGLRSLGVSYQQVPEKSKESAGDPWQFIGLLPLFDPPRHDSAETIRRALHLGVNVKMITGDQLAIGKETGRRLGMGTNMYPSTTLLGDKNSTVNGMHIDELIEKADGFAGVFPEHKYEIVKRLQDRNHICGMTGDGVNDAPALKKADIGIAVDDATDAARSASDIVLTEPGLSVIVSAVLTSRSIFQRMKNYTIYAVSITIRIVLGFLLIALIWKFDFAPFMVLIIAILNDGTIMTISKDRVKPSPTPDSWKLDEIFATGIVLGTYMAIVTAVFFYLAHDTDFFTDVFGVTSIKENDRELMAALYLQVSIISQALIFVTRSRSWSFVERPGFLLLFAFFAAQLVATCIAVYADWDFCRMQGIGWAWGGAIWIFSVVTYIPLDVLKFMIRAALRGKATGNNVQNKASLATLTQTAPLFDTFLGACLARTAFTNKDCGKGEREAQWAVEQRTLHGLNQLATSSDLPPSSELTEQARQGRKVPISGAADLNGLLDACRLVELQTLKRRFESVVKLAEGDRPVAGGGVLCSSFP >Sspon.06G0005200-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:17482101:17484518:-1 gene:Sspon.06G0005200-1A transcript:Sspon.06G0005200-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Subtilisin-like protease SBT1.5 [Source:Projected from Arabidopsis thaliana (AT3G14240) UniProtKB/Swiss-Prot;Acc:Q9LUM3] AMPPHYYPVLLPLLVLAAVAGVSAGGGGGGGEGLERTYIVRVDADAKPSVYPTHAHWYEAAVLAAAGDGAGWTEGGPLIHTYSAAFHGFSARMTPAAAEALASAPGVAAVVPERVRQLATTRSPRFLGLLSSPPSALLADSDFGADLVIAIVDTGISPAHRSFHDRGLGPVPSRWRGVCASGPGFPPSACNRKLVGARFFSRGYEATSGRMNESAEVRSPLDTDGHGTHTASIAAGRYVFPASTLGYARGVAAGMAPKARLAAYKVCWVGGCFDSDILAAFDAAVADGVDVVSLSVGGVVVPYYLDAIAIGAFGATEAGIVVSASAGNGGPGGLTVTNVAPWMATVGAGSMDRAFPANVRLGDGQVLDGVSVYGGPALESGKMYELVYAGASGGGGASSASDGYSASMCLDGSLDPAAVHGKIVVCDRGVNSRAAKGDVVRRAGGVGMVLANGAFDGEGLVADCHVLPATAVGAAAGDRLRKYIASSTKQRPATGTILFEGTHLGVHPAPVVAAFSARGPNPQSPEILKPDLIAPGLNILAAWPSGVGPAGIPSDIRRTEFNILSGTSMACPHVSGLAALLKAAHPTWSPAAIKSALMTTAYVRDNSNGTMVDESTGAVAGAFDFGAGHVDPMRAMDPGLVYDITPGDYVNFLCNLNYTEQNIRAVTRRQVDCRGARRAGHAGNLNYPSLSATFLAPAAGATAKTTTMRTHFIRTATNVGGGGRAVYRASVAAPEGCNVTVQPRQLAFRRDGQRLSFTVRVEAAVAAPGKRMEPGSSQVRSGALTWSDGRHVVRSPIVVTVQAPLQ >Sspon.05G0013530-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:47667760:47685077:1 gene:Sspon.05G0013530-1A transcript:Sspon.05G0013530-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCLWLLSSYLKLPHDAYQLLAVPPPISGILVICANSIHYHSQSTSCSLALNSFSSQPDGSPEILKTSFHVELDVAKATWLSHDIVMFSSKNGEILLLTVVYDGRAVQRLDLMKSKASVLSSGATTLGSSFFFLGSRLADSLLVQFSCGMPASVLPDLTDESADIEGDLPFSKRLKRIPSDVLQDVTSVEELSFHNKTVPNIVDSAQKISFVVRDALINVGPLKDFAYGLRTNSDPNAAGIAKQSNYELVCCSGHGKNGTLSALQQSIRPDLITEVELPSCTGIWTVYYKSSRGNTADNNEYHAYLIISLESRTMTGDDLGEVTETVDYNVQASTIAAGNLFGRRRVIQ >Sspon.05G0014270-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:52387949:52401390:-1 gene:Sspon.05G0014270-2B transcript:Sspon.05G0014270-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVPSSALPWWLATTACTAPPTPAASSIPGRLAFLFLSPCPQRALLAALDLLFLVAVTLRLSRRRNDDGPDLGHRAREPLLAKPATTDQAPAPAPPPLRFRHGLALAASAVQAAAAALVLLLLLLAQFLRRSGRTAAGLVAAECAFLAAHAVAHLAAAWVVAAEKNKQAAGAPRPARVHPLHLRLFWLATAAFAALFAGCAAARYAAAEPLVPDDPLAFAWLALSLPLLYFSATGSTGLIVDADGGGGRAAAEETYATASWLSLATFGWINPLIAKGSRATLAADQVPPVAPPDTAEAAYALFASNWPAPAPGSSKPQRPVLTALLRSFWPQFLLTAVLGVAQLSVMYIGPSLVDRFVGFVRRGGELTEGLQLVTVLLVGKAAETLASHHYEFQGQKLGMRINAALLAAVYRKSLRLSTGARRAHGAGVIVNYMEVDAQEVADVTHQLHNLWLMPLEIAVALALLYTHLGPAVLTAVAAIAVVTVVVAFANKLNIEYQFKFLGKRDERMKAITELLNYIRVIKLQAWEETFGDKIRQLREEELGWLAKSMYFMCANTVVLWSGPLAMTVLVFGTCVLTGVQLDAGKVFTATAFFRMLDAPMQSFPEAIAAVTQATVSVGRLDRYLLDAELDDSAVEHVDDTGIDTSAVVVEVRDGVFAWDMRGKKQSEEGEDGESEEEKDVEGAPVLETVLKGINVEVRKGELAAVVGMVGSGKSSLLSCVMGEMEKISGTVRVCGSTAYVSQTAWIQNGTIQENILFGQPMHAERYKEVIRSCCLEKDLEMMEFGDQTEIGERGINLSGGQKQRIQLARAVYQHCDECLRGTLKGKTIILVTHQVDFLHNVDSIFVMRDGMIAQSGKYDELLEAGSDFAALVAAHDSSMELVEQRCQVEKPEHFQPTAVVRIPSLRSRSIGKGEKVVVAPEIEAATSKIIQEEERESGQVSWRVYKLYMTEAWGWWGVVGMLAFAVVWQGSDMASDYWLSYETSGSIPFNPSLFIGVYVAIAAFSMVLQVIKTLLETVLGLQTAQIFFKKMFDSILHAPMSFFDTTPSGRILSRASSDQTTIDVVLAFFVGLTISMYISVLSTIIVTCQVAWPSVIAVIPLLLLNIWYRNRYLATSRELTRLEGVTKAPVIDHFSETVLGATTIRCFKKEKEFFQENLKKINSSLRMYFHNYAANEWLGFRLELIGTLLLSITAFLMISLPSNFIKKEFVGMSLSYGLSLNSLVYFAISISCMLENDMVAVERVNQFSALPSEAAWEIEEHLPSSNWPTHGDIDIKDLKVRYRSDTPLILKGINISISGGEKIGVVGRTGSGKSTLIQALFRLIEPAEGKMIIDGIDICTLGLHDLRSRFGIIPQEPALERCQLKDVVVSKPEKLDAPVADSGENWSVGQRQLLCLGRVILKQTQILFMDEATASVDSQTDATIQKITRQEFSSCTIISIAHRIPTVVDCDRVLVLDAGLVKEFDSPSRLIEQPSLFGAMVQEYANRSSN >Sspon.02G0011780-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:31447705:31452223:-1 gene:Sspon.02G0011780-1A transcript:Sspon.02G0011780-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MENPYTSFINSHPQHHRYYYHPATPPAPAPAAAAYPSLPFFAAHHHQAPAPTPPSPPLREALPLLSLAPAPRGITRQEEQRRRAPAAVSEEERDEGVEDEEEEGPTASCNHGQLQRLGRGGLFADLNAKAMGDPMDVEEAANGSGPGSAVGDVTVALRIGLPLPAPSTGAADLVSDLSAGARRQQQHNHDGGEEEDDSRENGGGEEEEEEENIAVAAPLGFPSTAIGRLNKGQYWIPTPSQILIGPTQFSCPVCFKTFNRYNNMQMHMWGHGSQYRKGPESLRGIQPTAMLRLPCYCCSPGCRNNIDHARAKPLKDFRTLQTHYKRKHGLKPFLCRRCGKAFAVKGDWRTHEKNCGRLWYCLCGSEFKHKRSLKDHARAFGHGHGFVGGAGAAGSGCGGGGLDDDDDGAVSDVEHDGATAASRS >Sspon.03G0009930-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:26728074:26731867:1 gene:Sspon.03G0009930-1A transcript:Sspon.03G0009930-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRLGDFRLPPFFNYPPYFTLFVTQDLLAMKQRKCSLQLSSVKVVRSGWTKVKDNGLEVMTIEEIRSGIDTRGTELEGIDRGVLMRALRQLEQKGKAAIFKGTSADDEATVVRKGV >Sspon.04G0004590-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:13614596:13626031:-1 gene:Sspon.04G0004590-1A transcript:Sspon.04G0004590-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONSTANS-like protein, Heading promotion under long-day conditio [Source: Projected from Oryza sativa (Os02g0724000)] VSGWGPLIPFPFPLAFSPVASLHLFHPIFSSSPHLASLIPPDPTPDPDPCSTRSLFSLSARQQRKLLDLSPPAAPPLGGWFRALAAPARVGSELRGEAPPRYGYRKVDLVVALNSKWNFSIQSRIMASLCDFCGKQRSMIYCRSDAASLCLSCDRNVHSANALSRRHTRTLLCDRCGSQPASVRCLEDNASLCQNCDWNGHDAASGASGHKRQAINCYSGCPSSAELSRIWSFIMDIPTVAAEPNCEDELSMMTIDDSDVTNHHGASDDKRLLEIANTTLMSDPPSADKLKPLIGFSSGDGFDVLLLATDQPAGPVSVTPKVPYARDDDKFNDGMYEDLCVDDADLTFENYEELFGTSHIRTEELFDDAGIDSYFETKETPPFFNEQPKTMQLECSNVVSADCGMSNPGARADSSLCIPVRQVRSSISHSLSGLTGESSAGDHQDCGVSPMLLMGEPPWHSPGPEGSVAGGSRDSALTRYKEKKKRRKFDKKIRYASRKARADVRKRVKGRFIKAGEAYDYDPLSQTRSY >Sspon.01G0020060-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:74510675:74513766:1 gene:Sspon.01G0020060-1A transcript:Sspon.01G0020060-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRCSTAASDVVDDGAVKDQELDIIEMAVYGDVIRPGKQCRCRTVAEMLGQVKSMNRMAACELPDKVTGFWAADWMVGTFALLVGAPTRYGEFPDMPFIPRPRGLVAMGCAMGFVAFLFCAERWMERWCGMAMEF >Sspon.02G0008070-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:7435138:7436930:1 gene:Sspon.02G0008070-2B transcript:Sspon.02G0008070-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLAWMVAAAVAAVLASWAFNALVHLVWRPYAVTRRLRAQGVRGPDYRFLTGNLGEMKRLRAEGAAVTLDVGDHDFIPMVQPHHRKWISLYGRTFVYWNGATPNVCLADVNVVRQVLFDRTGLYPKNLMNPHVTRLLGKGLVLTDGDDWKRHRKVVHPAFNMDKLKMMTTTMSDCALSMMSEWEAQLAKGGSDAEAEVELSSRFEELTADVISHTAFGSSYEEGKRVFLAQRELQFLAFSTFFNVQIPVFRYLPTEKNRRTWKLDKQVRGMLMDIIKARLASKDTAGYGNDLLGLMLEACEPEHGETPVLSMDEIIDECKTFFFAGHDTTSHLLTWAAFLLSTHPEWQDRLREEVRRECGDEVPTGDGLNKLAFVNMFLLETLRLYGPVSLIQRKAGSDLDLGGIRVPEGAIFTIPIATIHRDKEVWGEDAGEFKPERFQNGVTRAAKHPNALLSFSVGPRSCIGQNFAMIEAKAVVAMILQRFALKLSPKYVHAPMDVITLRPRHGLPMLLRRL >Sspon.01G0038340-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1B:29744799:29745192:-1 gene:Sspon.01G0038340-1B transcript:Sspon.01G0038340-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTHVRRRRNATRTVDGGAAECRANNRRQRPRTPRPRPLSACGIATVAFGVRLSCSVPLPVPRCAPAPDRQAGSQSQGWAKRARTAVEHPHTWRGRTGGFGLSLTWKSGIPAERSRADRSDREGFVWSWTAV >Sspon.01G0001720-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:3735312:3738729:-1 gene:Sspon.01G0001720-2B transcript:Sspon.01G0001720-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA polymerase delta small subunit [Source:Projected from Arabidopsis thaliana (AT2G42120) UniProtKB/TrEMBL;Acc:F4IM00] MPVPKPMERKQAAYSNLDERYAIQGERYQGQQYSHIYFTRLHHMRNLLRALVPSWKPQLPVTTVLGLEKGKDCIIVGTLYKHMKLKPSILDEYTKERSVIPLVKPHNFMHPDDHLILEDESGRVTLAGAIPPAAFVTGVVVALHGKETSAGNFLVENVLEAGLPPQTALSSAAEDKYVVFVSGLSVGSDTFNPLQFQLLIDHVTGHLGDENEQSIASNIVRVVVAGNSVHISPRFVNGRTVASKDQSRIAEPIKELDIMLTQLVASLPVDMMPGCHDPANFSLPQQPLHRCLFSGASTYNTFSSCSNPHQFELDSVQFLGTSGQNIDDLYKYSDAKDKLAFMERTLRWRHLAPTAPNSLGCYPYTDKDPFLVESCPHVYFVGNQDKYETRLLEGPEKQKVRLISIPRFSESGVAVM >Sspon.05G0005750-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:18364671:18365837:-1 gene:Sspon.05G0005750-1A transcript:Sspon.05G0005750-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding PFKSMIAAGGPSLFKNGKGCGACYQRCHARLTTRACPSTNSGVRTTTLQIRCTGNRACSGRPVTVTITDSCPGACLAESAHFDMSGTPSAMANRGMADRLRSAGILKIQYKRVPCNYNGRTINFKVDAGSNPYYLAVLIEYVAGDGEISAVDIMQAGCNSWTPMQQSWGAVWRVNSNNGQPLRAPFSVRITSGSGKVIVARNAIPAGWSRDDLLKLQNYWSHTVLVKSVLMHQD >Sspon.07G0024020-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7B:21248448:21249254:1 gene:Sspon.07G0024020-1B transcript:Sspon.07G0024020-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPPRPPLQDSKSIHYTCWEKARTLRTSLTRGSTEWRKVRGLSTNWQMGVAAAPEMSLSTCSGPAADPAPAAARGIHSTHFAATDAAAPSPPEDEGDAGAGEDGEQRSRATRLPLLRSPGFAGSGSQLGAAFAAGRGRANEWRGRRWTAAAIGWDVRGAGGLRTSAYGSFFFLRSTRSAQPPRPCSRSGPAHPTRLPAPAPGRAQPPRLLLPRRAARNRRGRCGSLLPRRCCRPRPHGERRCALAAAAAVVPRWSPPLPLANRAVATR >Sspon.07G0017680-4D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7D:79223587:79228616:-1 gene:Sspon.07G0017680-4D transcript:Sspon.07G0017680-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RYFIVVDDIWDVESWKAVRYALRDNNCGSRIIMTTRNFEVVTKVEEVYRLKPLSDKNSQKLFYKRVQKQKGESLDGVSGELPSKIIDKCGGIPLAIIAIASLLVERPYEDWSKVYDSIGFGNGDNTSKILSYSYYDLPSYLKPCLLYLSKFLEDSITDTKSVIWMWIGEGFVHPDENEEGSLFEVGQRYFNELANRSLIQPMDDTYDWFTQFFRIHDIVFDFICKLSGVENFITVLDRRGQHASPDSLRNEKKTGMPRSDSKVRRLAIQNHHLQCFPVDSMEMPEVLRSLNIEGSKIENMAPIHRFRVCRVLHLQKCDVPISLKHIGRLLHLKYLDISFTAVDELPKELGHLKSLQSLVLIDIGLDELPLIVCSLTQLMCLVAQGFKRFPANRMGNLTSLEEIQLKTVVGQSTMEDLVVELGKLTRLRMVRIIFSEQLYESLQKALVRTLCNLRKLQELVLALTGVCVGAIVWEDWEPPMQLRHLLIGGIRFSRLPRWINRSRLPHLCFLSLGVYVVEVLDLDNLGRLPELSYLELVSYSWPSGYTIGTDSFRNLRFCYVGTTLKFHMGAMPRLEELQFGVYAGYWSWEENGVPLELFPTKDVIEDLDLGLDNLLSLEQVTITVNCSGATVAEVQEVEAMLQHRIELHFGVLEWKDEPDTLFISNLRLYRHLEKAIISIDCAGASICEVEKVEAALRHAAELHRNHPTILLIRTNAYEMVSSSDHPNTELCLIAEGFRRFPTDKMGNLISLEELRLKSVVGQSATEDLVVELGKQTRLRVVTITFSEELEESLQKALVQSLCNLQELQELELSFTGLSQQGATVWEEDWEAPRQLHRLIIVGITFSRLPRWINRSRLPHLYFLSLAMDVVEVHDLDNLGRLLELIYLDLVGSSWPPWYTVGTDSFRNLRVCNVGTTLKFHMGAMPRLEELEFGVYAGYWSWEKNGVPLEQLPTKDGIEDLDLGLDNILSLEKVTVIVDCSGATAAEVQELEAMVTRAVENHPNRPTIKMDRLQHHIETHLGVLELKDEPDAIFISGLWSYRRLEKTVISIDCAGASMCEVEKVEAALRYAAKLHRNHPTIQLIRMNTEEMVSSSDHPDTELVDIKFWDKS >Sspon.02G0018650-3D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2D:55990271:55991345:1 gene:Sspon.02G0018650-3D transcript:Sspon.02G0018650-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPVETMATTPQVLDEPAAAVVTSVFQPGKLAVEVISVDHDARPTPPIPVLIAAPKDAGTYPVAILLHGFFLQNRYYEQLLKHVASFGFIMVAPQFHTSLISNGDADDIAAAAKVTDWLPEGLPSVLPTGVEADLSKLSLAGHSRGGHTAFSLALGYANTSLKFSALIGLDPVAGTSKNSQLPPAILTYEPSSFDIAVPVLVIGTGLGDERENALFPPCAPVDVNHTEFYRECRAPCYHLVTKDYGHLDMLDDDAPKLVTCLCKEGNSCKDVMRRTVAGIMVAFLKAVMGEEEDGDLKAILQHPGLAPTTLDPVEYQLA >Sspon.07G0037010-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7D:47725815:47729883:1 gene:Sspon.07G0037010-1D transcript:Sspon.07G0037010-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VAHTKIGGKRNQKTPQSSSPAIVSHKSPRGVSKKLGRSPLSRVDDGNDGVKNAQSASRAVPGLSKVATARDKHHVKASSLDDNGNGGTKDTPVLVEEGPVLASKPTTKRRKVKTEVVEGTTGAAGLSTNKIENTSAVTTPVDKTNMKNNAPYFNSPSMPSFRIFEDEDDLGNYVEDPNLRRPVGLVSGTACPIDGVKSVYPHAVASDTQAKVNSVLKTTCTSEDNHGANVSHGAIPVNVQFETSFYFQFCPGPEKWTFIDQMRSNPFGRPINVSNQESVEAETNVNVENDFLHMESLPFTETPPEDPDAPAPMPLAAVPTPLPSHRGGSFVSAKSMGSNGLSEESESFSNQVPWCSSSAKLVVHRPKRTLIPSKYKLSPYMMPQSKIIVSRLEADMYDVVLKMAENEHSNLSVIDYGHVVVKLGVLASSLKPQGNVHYFVMNALCRLLFHRKHPKKSYKHYFFSKVGDYLIGNHGPNDSKEKELFDNAVKCFKGASLARSLAYSQYLYFPILFQDRWYVVVVYFNRRFIYVLDSCTLHFGAGSHFDKAVREEFVANLTVVWKEAVNIDYGFHGYEIKYADVHQQTENFTNDSGILAMKNLELWDINASLGDKFSEADTGHIRIKYVNDMIFNEYNYSADGRSQVMEYDAKVYEKYYK >Sspon.03G0044400-1P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3D:49063349:49064554:-1 gene:Sspon.03G0044400-1P transcript:Sspon.03G0044400-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding PDPLFCAGDINTAPYGHYWRLVRRNLAADALHRARVGLFAPARRWACEALVTNLHNAARVSSFETELVTVRPFLRRAMFELLVYMCFGARLSQGALDEIEALEQHVLASFTAFPVFAFFPPLTKRLFRKRWEAHVAVRRRLDELFAPLVHAARGRGEDDHPPCYADSLLELRVPDEGDRPLTDAEIVSLCSEFLNAGTDTTVTLVEWIMAELANNPDVQAKVYEEVTRVKPDVLDDAGNLQSLPYLKAVVLEGLRLHPPAHFLIPHGVRSDEAEIGGYTVPKGAEVNFLVAEIGRDEAVWTTAHEYRPERFLDGGEGYDVDITGSREIKMMPFGAGRRMCPGYALGMHHAEYFVGSLVRELEWLPAAEGEAVNMEETVDFTTVMKYPLRARVIPRNNSLLT >Sspon.03G0012240-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:33818206:33820724:-1 gene:Sspon.03G0012240-1A transcript:Sspon.03G0012240-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKRKTDAAPRLDEADRTLYSTFCGAANSLSQLYTQAMAQQKLSFQAGERHALEKLYQWILRKHDEEARLTVAEIMSHIQHEIDYGGTDAHVSPRVHQHPQIANPFTNSVVPPGTGLYGQSAAGFAPRPSITDQSKNTIFSNALSSPVRRSLQNYHITQGASNGGRNAEANLAGANRETNSASSNDTSMDMVSDSAGNEFYQ >Sspon.06G0018030-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:97625003:97627317:1 gene:Sspon.06G0018030-1A transcript:Sspon.06G0018030-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MISYSVFSLHSAWALRSPPALRHSSNVLDVWPLISLIGRSMSGRQAAATRRIAPDSSRNDRVSQVLDIMRWSQTMTWFGLPRRFTLWVCLSAKRTFTATAARMIEEFPYLRAGSQGMPWPYRHTLWVHPCRATTPNPNWVNGTAAGSMSGTPTMLVGGSPSHRRSCTLPCSQRPLSQVPPRIPEKKVWVGKPSLRGLRPAAWSVIRPGAKVGRRGGCQARVTFPNTSIVTGRHPWLAYLLPTVLHAPGRAYALMAPAKGRSSGSERWSMAGTTTLVTGGSKGIGAQRGGPGGVPPVVGLEGSPRVTVSVCDIAVCADREKLMDTVKATFNEKLDILLLRAPGSGDPIDQSIARTGEQWGAANPEAAGGVHGGGLLPNGNKIMEFVCSAVTDRPIIHLGTRPPWHKPEECRIHECAASVGGVHGASIVIVEAVSAAACGRP >Sspon.05G0009320-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:22150416:22156910:1 gene:Sspon.05G0009320-2B transcript:Sspon.05G0009320-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKRMLKSKVLKNKKLKMHGKKYSKTSHLNSQVQAYPHDDINEETSEEEQQAWNPSESTSNCGSRTMQRWVCSKRSDINKSLSRKHSGKTFDKVTPGAQKSDRSSMLGFNDSQVPESPAGVFSSESPEYMATTSEAIGIEQSNGPSKVLRSIPTWSSKTHLQSTVMPKVPRSAAALAKRKIKEIGRREATKLDNYDIVRNPTSAKRSEARSLSFSTAGPSNGPNRLASTSKKIRKHRSPLRTGKRAFSPSSTRLVHGFGEEHEPDTSHVNKKFRVTSNEGPKKFLKHTEEDTADNDFSFASDMPVSGQQNDQYDVAQETEGTQMDCEGEDAETDVPYDSVSRSDPADCCNQISDVSLSPENNRTADDDVLVEGYSVALEDPDFSEQLAHGHESNSAANNEMDEWQMEPTSTKESSPCLTSNRDMGPGGPQDNSSITSNGENSNQEHGLPLGRDSLDSPISTASTMSPQAALKDSRTNEEEPGPSTGRTVEEQITGCLNQETKSIPVATEGEQLPNEKPFRCSCQENISRESHQSAVARPMLNFTGKQVPQLHIGLRASSSFSTYQRTSTKPNPCLDSHDHLLAAKVSAESTISLPSYATDCISPSLQTQLPSPSNPILRLMGKNLMVMNNEESLHPQAPSSDYILRGNYAAPVGFMPPNYQHLSNSAFINMPPTTASHQIPLPSVQAGSFVAPPLHGGSVMQSDHHSQQKSYRNIMPVMHHPTYMMKEVIVINDSPERRSEPQASMPFPPATPPTLSVPNNMPPHPFYRLPPQSPVLPRERAVGSMPVYTNVGSVVGVNSSSQGSQTEVANPYMPNPFFVQSRTGYINPPVYYSQNLR >Sspon.05G0039270-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:66996017:66997248:-1 gene:Sspon.05G0039270-1D transcript:Sspon.05G0039270-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAYALYSLVCHRSLRLLLYLNRLRHDSQGVRAGYIVQLAGSFRLLACFMLPLLGKLLRGNSR >Sspon.04G0007950-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:15486611:15487509:1 gene:Sspon.04G0007950-2P transcript:Sspon.04G0007950-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKDIEAAAAHEGGEYTAKDYSDPPPAPLVDVEELTKWSLYRAVIAEFVATLLFLYITVATVIGYKHQTDTTANSAPDAACGGVGVLGIAWAFGGMIFILVYCTAGVSGGHINPAVTFGLFLARKVSLVRALLYIVAQCLGAICGVGLVKGFQSAFYVRYGGGANELSDGYSKGTGLAAEIIGTFVLVYTVFSATDPKRNARDSHVPVLAPLPIGFAVFMVHLATIPITGTGINPARSLGAAVIYNNDKAWDDHVRPTPAKAL >Sspon.01G0026070-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:90699993:90701147:1 gene:Sspon.01G0026070-1A transcript:Sspon.01G0026070-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADWAGLHEDLLDLVVVRLSSLDLLRFRAVCGSWRTAAAAFTARRGCPRPDRPWLLLPTDVSADLALDRGRLIVCSDREVSVGTLPARLGRVNPRQFVPLGSARGAIVAADDRGEMHLLDLVSGARKPLPAVATLPLVARVEALQVQHLGGGVLPIDAVIQKAVPVPTPGGGVMVLAIYRQLNHRNQWATARPGDRAWKSVAPTSIPSVVDVVVHRGQLYANTRYGMMYVFPEVYDLNSAFPEIIPSVTRRPNAYVERSFLVESPRGELMQVELLRPVTAAGGEGFVVRVLDECGETWEDTEDIGDAAVLVDAAGAVAASTRVCPALRPNTVYYAVDLEGETRVWAYSLAGKHKRIEVVEVLPTADGYRAPCFWFAPVYSQRQP >Sspon.01G0028270-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:98907382:98910556:-1 gene:Sspon.01G0028270-1A transcript:Sspon.01G0028270-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAVFDPTVAKCPEGLRSPPVAGAAAAAGGAGAGALMKGFSDSHDGAVTVSLGPSGALAYSAANQNPLVPRLFGAVNDIFCLFQGHIENIANLKQHYGLSKTANEVTILIEAYRTLRDRGPVPASQVVRDLGGKFAFILYDTLSKSTFVGADADGSIPFFWGVDSENHLVFSDDAGLLKTGCGNSHAPFPKGCFYTTSGGLQSFEHPLHEVKAVPRVDSQGQMCGSTFKVDSESKKKQDASIPRVGSAADWSNQF >Sspon.05G0028020-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:59787670:59790666:-1 gene:Sspon.05G0028020-1B transcript:Sspon.05G0028020-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGICCSKAAAGELDDDEGLGFPWMHDDLFHSHLWASAGVSVHTKQGWKGANQDAMTVSQDFAGQKGHIFCGVFDGHGPLGCEVARHVRDTLPVKLASALKPKSGGEDSSKDPSSNTDLDSFDKSDSSSFSDDTSDESQLLSTWKNIFVKTFEQVDEELRQHSGIDCICSGTTAVAAVRQLARILNCKGRVFAMDDEPDVPRMWLPDQDAPGLAMARAFGDFCLKNHGLICTPEVYCRKLSEKDEFLVLATDGIWDVLSNKEVVKLVSSATDPSKAARQLIDRAVRAWRRKYPTSMVDDCAVVCLYLNRASPGAGPDKSLRVPGTGEDVKPPAVPFTGSSFRRALSNSGEASEEGATVWRALEGVARANSVIRLPRMGRVLSWRRRSSSLDEDDGEERD >Sspon.08G0021490-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:43526525:43533655:1 gene:Sspon.08G0021490-2D transcript:Sspon.08G0021490-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGILAVLGVADVSLAKRSRIIELSRRLRHRGPDWSGLHCHQDCYLAHQRLAIIDPTSGDQPLYNEDKTVVVTYEEYGEEFVDMLDGMFSFVLLDTRDKSFMAARDAIGICPLYMGWGLDGSVWFASEMKALSDDCERFITFPPGHLYSSKTAHIAVIKRLMTDVPFGVLLSGGLDSSLVASVASRHLNETKVARQWGNKLHTFCIGLKGSPDLKAAKEVADYLGTVHHEFHFTVQEGIDALEEVIYHVETYDVTTIRASTPMFLMSRKIKSLGVKMVISGEGSDEIFGGYLYFHKAPNKKEFHEETCRKIKALHLYDCLRANKSTSAWGVEARVPFLDKSFINVAMDIDPEWKMINRDLGRIEKWVIRNAFDDEERPYLPKHILYRQKEQFSDGVGYSWIDGLKDHANQHVTDSMMMNANFVYPENTPTTKEGYYYRMIFEKFFPKPAARSTVPGGPSVACSTAKAVEWDASWSKNLDPSGRAALGVHDAAYEDTPGKAPASADPVSDKGLHPASLIAAIPEEGQGIG >Sspon.05G0025190-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:6558341:6560478:1 gene:Sspon.05G0025190-1P transcript:Sspon.05G0025190-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMKARGMYVCRTLSYKGADFDIVEAPLEERMMNMYKKAAEFWAELRLELLSASELFADGNSNQIWRLYWASHQRFFRHMCMSAKVPAVARLAKEALAENKCVVIGLQSTGEARTEEAVTKYGVEMEDFVSGPRELLLKLVEENYPLPPKPDSFQQGEEKVREIQRKRHSALDVSFKGRVRKVA >Sspon.04G0015040-1T-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4D:62431268:62431783:-1 gene:Sspon.04G0015040-1T transcript:Sspon.04G0015040-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFTFGGFGDPVFSAALQQLMDLPDELERQMNAPTRAYVRDRRAMANTPMDVKELPSGAIVLAVDMPGVSPADVKVQVEEGNVLTISGERKRPAEDGGAAEGKQQAAAGADGEKQGVKYLRMERRMGKFMRRFPLPESADLDSIRAAYKDGVLTVTVDKKPPPEPKKPRVVQ >Sspon.04G0037070-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4D:60554548:60561294:1 gene:Sspon.04G0037070-1D transcript:Sspon.04G0037070-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPKLNGTNYKTWREQYELALVMTDNDLALNEPCPQEPEEPVIAQNETDEAFATRKREHASVRMSYDLAKKKWDNSNKKCLTLAKATISEPIRGSLPECTTITEYLEKVKTQFHGTTKTQASVLIREFINKKYTGGGVREHILRMNTLASKLASMKMVLPDPFVVHVIIASLPKEFEAFVVHYNMAPEDWNIEKLLGQATQEEERLKESRGDSALFVKHNNNKKFHHKNARPKGKPKWDGSSSSSAQAPNSQGKAPQNPQNQNSQNNNGAQDQNRPQNPLRKKPWLAPDQCMFCEKTGHMQKDCLGFLKYLNRNGEDLVTFVDESLYISYAKSTWWIDSGATIHVANSLQGFHTRRTLQRGERTIKVANGVQADVEATGDLTLALLDGFVLRLYDVLYVPSLHRNLISVSKLDDDGLACHFGNGRCKILSNDKCVGLAFRQDKLYLLSVSENVNAISAENEKVPSSTNVNRKRKNIQIDSSKLWHCRLGHISRGRIDRLVKAEILPPLELSELDQCIDCIKDRSKGYRFYCPDRHTKFVETRHAEFLEDDLIRGSKVAQEINLEEKRVYAPTPMLQEQFFVLPIAVAPTVLDTAVPTPVVSPPLPTSNENLEPVLQDPLEQHVEHQEEQQQPQIAPNDQNLRRSERVRRSAISDDYEVYETEEFHMEDDPTTYEQAMRSEHCEKWLEAMRDEIRSMDSNKVWELMEIPKGAKKVGCKWVYKTKYDSQGNVERRKARLVAKGFTQREGIDYNETFSPVSCKDSFRIIMALVAHFDLELHQMDVKTAFLNGDLEEDVYMAQPKGFVMEGNENMGCRLRKSIYGLKQASRQWYLKFDNTIRKFGFKANVEDNCVYVKFKNSKFIFLVLYVDDILLASNDVTLLMETKKFLSSHFDMKDLGEASFVLGIEIHRDRNRGVLGLSQKAYIEKILKKYSMHKCSPSPAPIVKGDKYGEHQCPKNQIELEQMRSVPYASAVGSLQYAQICTRPDIAFVTGLLGRYQKNPGAEHWKLVKKVLRYLQGTKGFMLSYNKMDSLEIVGFSDSDYAGDERKSTSGYIFTLAGGAISWKSSKQTLVTSSTMYAEFVACYEASGQVADLTLPELRPPPAVRKPAGDLSVAAGLSNSRAGCSLAPPVMPLDGGVLSRGRARPPARGLTAAPSSDERTESFSSAATAGGGRKWGLTNWVQMVPKLNGTNYKTWREQYELALVMTDNDLALNEPCPQEPEEPVIAQNETDEAFATRKREHASVRMSYDLAKKKWDNSNKKCLTLAKATISEPIRGSLPECTTITEYLEKVKTQFHGTTKTQASVLIREFINKKYTGGGVREHILRMNTLASKLASMKMVLPDPFVVHVIIASLPKEFEAFVVHYNMAPEDWNIEKLLGQATQEEERLKESRGDSALF >Sspon.05G0031550-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:5972812:5975603:-1 gene:Sspon.05G0031550-2D transcript:Sspon.05G0031550-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVLLLLHVLLVAVAARAPATDAWGKEGHYMVCKIAESFLTEEASTAVKDLLPGWAGGDLAETCSWADTQRFRYRWSSPLHFADTPGDCEFDYARDCHNTKGEKDMCVVGAINNYTAALKDSSSPFDPTESLMFLAHFVGDVHQPLHCGHTDDLGGNTIKVHWYRRQSNLHKVWDVNVIETAMKDFYGNDQSTMIQAIQQNITEEWANEEKQWETCRSRTKTCAEKYAAESAKLACTAYEGVEQDSTLEDDYFFAALPVVQKRIAQGGVRLAAILNKIFGGKSRLQIQSS >Sspon.04G0006240-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:17674578:17678705:-1 gene:Sspon.04G0006240-1A transcript:Sspon.04G0006240-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLSALLLLFFLCLCAFPAPARSQNTTTATAAPASVEGFNCSANRTYPCQAYALYRAGFAGVPLDLAAIGDLFAVSRFMVAHANNLSTAAAPANGQPLLVPLQCGCPSGSPNTYAPMQYQIASGDTYWIISTTKLQNLTQYQAVERVNPTLVPTNLDVGTMVTFPIFCQCPAKADNATALVTYVMQPGDTYASIAAAFSVDAQSLVSLNGPEPRTQQFAEILVPLRRQVPNWLPPIVLRNNASATPPSPPPSASPNATVVSNDRNGVVTGLAVGLGVVGALWLLQMLLLACLCRRLKAKGRRGDAVASGDGVEGGMFAKNWSAAADGGAGERFLVSDISEWLDKYRLFKVEELERGTGGFDDAHLINGSVYKADIDGVVFAVKKMKWDACEELKILQKVNHSNLVKLEGFCINSATGDCYLVYEYVENGSLDLWLLDRDRARRLNWRARLHIALDLAHGLQYIHEHTWPRVVHKDIKSSNVLLDGRMRAKIANFGLAKTGHNAVTTHIVGTQGYIAPEYLADGLVTTKMDVFAYGVVLLELVSGREAADESGEPLWADAEDRLFRGRDERLEARVAAWMDPALAEQTCPPGSVASVVSVARACLHKDPSKRPSMVDVAYTLSKADEHFADYSGESVSVDGSGEIAAR >Sspon.03G0033660-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3B:54360554:54361037:1 gene:Sspon.03G0033660-1B transcript:Sspon.03G0033660-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKFDLPDNYLDNLEALFKKTRAKLRKAEPSSSLSQLESSSDSEDRTFVRNLTPAFEAKANKSLRELSAPTTDNIRTRPAADIDKSFELKPALINMVQASQFCGKAHEDACAHLQHFLEICSTFTIEDVPRDAILLRLFPFSLLGRAKQWFYANKEKNNIW >Sspon.06G0002520-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:7872994:7883658:1 gene:Sspon.06G0002520-3D transcript:Sspon.06G0002520-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSQVDKKMLGELEAMGFPTARSVRALHFSGNSNLESAVNWLLEHDSDPDIDQLPLVTREIIIECGDTSNEVRNDVQETRKWNYQMISDHVSSRKCACISMIYLLCIMDTVQEQKPEEQTETGRQNETSQLEREPNADEQEQQDRKRILALYKQKRDEEGKARGRIRNQLQEDQRERIRAAKDLMEAKQTLEENQRKRMMESRIADQEEEKRARERIRQRIADDKAERRRRLGLPQENPSASAAVITPTKVKPVERVVTSEQLRDRLRTLKKNHKEDPAAVTRAYQILLKIVANIVKSPEEEKFRRIRLSNPVFKDRVGSLQGGVEFLELCGFQRLSAMGYLVMPRDKVDMALLNAAGVEIASAMENPYFGLLSK >Sspon.05G0021120-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:88812939:88813454:-1 gene:Sspon.05G0021120-1A transcript:Sspon.05G0021120-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPATARRPRLLAARHRLNLVKGRLSGTAQMPCTSCWNSADSSRRTAAASFPTSPKRTVLMTSKVSVFIDGITATLPRPRQCASRWRRTSASMPATCLLMASSLRNCTIMALTRACSSPTTSRTVRRPTMRLKASLFMVVSAGLVKRNLLAEGPTRKAVRYRKRDSRDTGP >Sspon.03G0011590-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:38839166:38839753:1 gene:Sspon.03G0011590-2B transcript:Sspon.03G0011590-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANSAEKAWALEQCERDLDVAIERLVNLRLDAAEHGAHRDDVHPTAAAAAAAAAEEEEQRTVNVHVPSDGSDDRTDQWVERLMEAMASAENWGDARARAARLLEDFDASVATACRAERDVALWQNGLLKKAVRVQHRLYKEKEAANRELQRQLAGCQERVRSLETDNYALSMYLRNAQPQGGAITGRFHHPEVF >Sspon.05G0016990-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:70751157:70756364:-1 gene:Sspon.05G0016990-1A transcript:Sspon.05G0016990-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGQEIAASDGEKGPEQHQHAIDVGHAEHGDGKGEDIEKERVVVAEDVQKKKSRRVATLDAFRGLTIVLMILVDDAGGAYERIDHSPWNGCTLADFRVPNIGAAVKKITIRTLKMLFWGVLLQGGYSHAPDDLSYGVDMKKIRWMGILQRIALVYFIVALIEAFTVKVRPTTVRSGPYAIFNAYRWQWLGGFIAFVIYMVTTFSLYVPDWSFVYHNDGDVNDGKQFTVKCGVRASLEQACNAVGYVDRQVWGINHLYTQPVWIRSKDCTSSSPNMGPLRSDAPAWCLAPFEPEGLLSSISSVLSGTIGIHYGHILIHFKTHKERLKHWLLLGFSLLVLAIILHFTNAIPINKQLYSFSYVCFTGGAAGIVLSAFYILIDVWGLRTPFLFLEWIGMNAMLVFVLGAQGILAAFVNGWYYESEDNNLVNWIVKHVFVNVWHSQRLGTLLYVIFCEIVFWGVAAGVLHKLGIYWKL >Sspon.01G0021920-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:79393313:79395241:1 gene:Sspon.01G0021920-3C transcript:Sspon.01G0021920-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLAAGDDFLILEFIAGNRRIPHAVFDSLLACLSSPSASPRTSQRLRQALVLRALDAALHTEGASCSSSLLLCKARKVLADPDAAACFPHQISVTDNEENDEARTAAAVADLKRLLDLEWANLPPSTLERAADRIAGDGAHQTWAAADHTKRAKLRLLVGESTEREILSKLVQDGSASHQPIVTEVADNAGNANEADGARRDDEAHSSNENSEADRGQEGMAGHQNASWDSSDDDQPVRKRRLHPNERKSYSSPTCPHKIRKKWSEIEEKTLLEGVEKYGKGNWKDIKLAYPDVFEERSTVDLKDKFRNLERHHHESA >Sspon.01G0010500-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:29548059:29552214:-1 gene:Sspon.01G0010500-3C transcript:Sspon.01G0010500-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRGTKADPTGPLHERIRTFCKRTPGLSDYYVAIPSTIAPETGFANKSLNSPVFTTGRARLRPTLHTNAGLQSEAPANRQANEHRRAKTNPWARANYHGGVSTAGKYQELIVVRAMVTVETEEGRGGLATCAQARRRHPEADTALWPERCEHQLKGGQEEFTVTSNQTTTSPTGLTPNFSGTTALLTGDEQNAKLAKPRARRNGRAERRCSCHGHVVERAYDRVKAGRLDCGSSGTCALVTRQEGLARSAEVQWRLVGEQGDAGRRLLATAAGVTDPGGFDVWKGHDNHATALGCDASVLLDPTPANPQPEKLGPPNNPSLRGFEVIDAAKAAVERSCPGVVSCADIVAFAARNASFFLGGRGVDFDMPAGRLDGRVSNASRTLAFLPPPTFNLSGLVRSFGAKGLGVEDMVVLSGAHTVGRSHCSSFVPDRLAVPSDISPSFAASLRSQCPASPSSSNDPTVLQDVVTPDKLDNQYYKNVLAHRVLFTSDASLLSSPATAKLVSDNANIPGWWEDRFKAAMVKMASVEVKTGNTGEIRRNCRVYEAPSTEYLDRAPAPTRPRPRPAPYSEVPRPRSDPREAHPPHEKNSDSRDLGSRCSTPSLYLPQDKSHTFNAITYYHLPAWLRPGVSPHCFTAHPVMGGENRHCAHLTVHCTSLSVHRNPGDSDLSARSRPGLDPASTPSMAQQGRKDGMARRSGSFQCLVLYVTTVSRDGLPFLGHVVTVTLTGIDKTSSQGSPSSWPPLAL >Sspon.06G0021560-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:17374663:17376903:1 gene:Sspon.06G0021560-1B transcript:Sspon.06G0021560-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAVRPPTAPPLAAAGDVSEVELSEAGSPDLGSRSSGSGSGGSGRSAAEYSGWVYHLGVNSIGHEYCHLRFLVIRGKSVAMYKRDPHDHPGLEPIRKGAVSHTLMVEELGRRRVNHGDVYVLRLYNRLNQTKKGEIACASPGEARKWIEAFEQAKQQ >Sspon.02G0022860-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:70361018:70365972:1 gene:Sspon.02G0022860-4D transcript:Sspon.02G0022860-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proline-rich family protein [Source:Projected from Arabidopsis thaliana (AT5G62640) UniProtKB/TrEMBL;Acc:F4K7R6] MKTTKGGKVMNPTDAFRKEQRKKELKRNKKERKKVREVGILKKDPEAVREQIEKLEKMKADGALDKARKHKKRQLEDTYNLIVKKRKEYEQKMKEKGEQPIMFSHLAPPKRRPTADEDDRANPKPEDSVYYHPTLNPSGAPPPGKPPMYKSSIGPRIPLPPSSSTGASSSMSESEAGPSALPPPPPPPPLPATSESVDPSAPPFPLPPLPPPPPPPPKPNSDAALPGLPPPPPGPPPREPVSGHTVLPPPPPPSNPSPASSANESKTNSAQPSVVLPPPPPPPGLPPKSTDVEAASTSKDTPGLKEDTAARVLPPPPPPSLPPLPPRPPMQSEMLAPGVMRFPPPPPPPDSRPPLMAPGVTRPPPPPPGFPPSQMPMPPYGVLPGPPPMLRPPFLPGPPMQLDEFAAFAPRPQLPQQPSYVKSAAPTVVKRPLAQHTPELTAMVPASVRVKRESALPKPKPKVQQQQSSTASISKPSVTLIRSDAQPSSAAPKPPSMDDSYMAFLEDMKELGALDG >Sspon.03G0015870-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:74558190:74568577:1 gene:Sspon.03G0015870-2B transcript:Sspon.03G0015870-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTASEQRESPRKHRRLRTSTPAFPSLLLSLLRRIFLPRAGRRGYPAPPPPSNAFREDKRSWLSLNMSCLACCGGEDTQRTPDNGGPYPGGYPARDDAYRTADPIPRGAQPVKVQPIAVPTIPVEEIREATKAFGDEALIGEGSFGRVYFGVLENGRSAAIKKLDSSKQPEQEFLAQVSMVSRLKHDNVVELLGYCVDGNTRILAYEFATMGSLHDMLHGRKGVKGAQPGPVLSWIQRVKIAVGAAKGLEYLHEKAQPHIIHRDIKSSNVLLFDDDVSKIADFDLSNQAPDMAARLHSTRVLGTFGYHAPEYAMTGQLSSKSDVYSFGVVLLELLTGRKPVDHTLPRGQQSLVTWATPRLSEDKVRQCVDSRLGGEYPPKSVAKFAAVAALCVQYEADFRPNMSIVVKALQPLLNARASNHLG >Sspon.07G0021360-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7B:2261835:2262410:1 gene:Sspon.07G0021360-1B transcript:Sspon.07G0021360-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAQSREEEGVAVFFLCQSCLGIGATRGGSQRCGYGQKRKLLHAAGLPLRLLALTRAYGHTHRHSPQVAGHPLPATATAAASQGTDAATATVRGGKDRIGSAGRRRRSGPGEDVTRRTSRRPLVLVAMTGTIASAAGCGSAAAGPSLPPRDHDAVPVLCYAATATSSVGVRPSSPCSSVLYSIVLSSLPLI >Sspon.04G0022730-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:8520490:8529290:1 gene:Sspon.04G0022730-1B transcript:Sspon.04G0022730-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSPPPPAMSGCASLARRWEPRPGYLLEKYSSYNSSDVRVRLCRGTLQQVLLRNNVFCCFGVDAVMLSVATTNVSKGNSMESVMATMERQTARRTQTNVTHMIESLSASVNLAKDLSARCKGRTYTADEIQSIAQDLENVLQNIYDDLCRIPASAVGSNAYMDVLIKSQSMMDYCKADIPINVTGNRPRRRSLRDNDTPRLVDFLQGMYHESHEFGGQMFNTLPEVAEYIEPLYDAFICPLTNEIMTDPVTIDSGVTCDRRAIEEYIERFSDSSEPVYCPVTKMTMQSKTMVSNASLKSVIEEWTTRNEAMRVRIARTALSLSTADTMVLEAIHELKLLAKLRVKNRELMHKIGVTKFLARLLDNHNGQIQFDALKLLCLLAEDEEGKDIIGKTKAIARTIKLLSSNSTDERHAAISFLLELSKSQLLLGNIGSTPGSILILTTMKINSSDDPISAEKAGAVLKNLEKRTKNIKYMAESGYLEPLQSHLVEGSEEMQMEMVGYLCELVQEQELTVDINRSTSEILIKMAHGCNPMVREAAFNVLAQLSLHRPNSKMLVDAGAVPVMIEELFIRKMDDEPVNSMATAATVLANIVESGIDPETTVVNKEGHVLTSKYSIYNFVHMLKCFMPDDVNLSIIRILLALTVLDKPLATVVSVIRENHRGHSIIELMSSPTEVLSLAATRLLITLSPHIGHTIVERLCKTQGQPCKVVKSISHAGRITERQAALATLLSKLPYRNTSLNIALVQDGAVPAILTAIKEVQNGAARSSRHAVPYMEGLVGTLVRLTATLYSPEVLKAAMDHDLASLLTELLDGAAGSNEVQRLAAVGLENLSYLSIKLSQPPPDELQSKKNTILKLLKDSKAHSNNKKSSHHQVNICPVHRGVCSPVTTFCLLEAGAMEGLLGCLENDNIQVVEAASEHCALVDVKKSVAALAELDAARRVLAALRQHRQNLLWQKCFCVVEKLLEHGDDRCVREVTGDRMLPTALVSAFHRGDESTKLAAESILRRLHKMPDYSATYISMEF >Sspon.03G0008070-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:29117285:29127658:-1 gene:Sspon.03G0008070-2B transcript:Sspon.03G0008070-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTGAGRVFLLASVLLFALQTTPASADKKAMHDAMMLPLLSTFCLLIMFFDMHTDTVLISTDLIYMPAAVASLRKKCVLISYGTKCSFTILPYDLRAISGVALQIDESFLPLLRQLPGVIAVIPDTLYKPHTTHSWDFLGLASDGEITPAWSSAKLGVDTIIGVIDTGVWPESLSFQNDTMPDVPLGRWRGTCEKGNDPTFQCNRVSVLRQAGGAQRSACEKPDASYSRTRRKLIGARFFSEGIQASRALGDGGGQQQPSQADLSSPRDYACYEPGCSGIDILAAILAAVADGVHILSLSLGAPPGDYLTDLTAIGAFFAVQSGVTVVCSAGNSGPQPSTATNLAPWIFTVGASTMDRDFPAYVSFNGETIQGQSLADSTLPIGQPCQIISGENANAVNQPTSNSSLCLPGSLDPDKVKGKIVVCVRGVNARVEKGFVVKQAGGAGMVLCNDASTGDTVLADAHVLPAAHCAFSQCVRLFTYLQSTNNPLGYINATDASFGVKPAPKIAAFSSRGPNAITPQILKPDITAPGVNVIAAYSGAVSPTELPFDDRRVAYNIMSGTSMSCPHVSGIVGLLKTKYPTWSPAMIKSAIMTTANTVANDGKPIQDEAGAVATPFGYGSGHMDPVRALDPGLVYDTTLADYTNFLCSLKPTQTTQDPVPSLPVDLPMMGSLSPPVTSLLLPLFNAAGEPCKCSQGPYGRPEDLNYPSIAVPCLSGSATVKRRLKNVGGAPGKYKVTVTEPAGVKVMVVPSELEFRAGEEKEFTVKLDMDVNAPAAASNYVFGSIVWSDADAYASDVKKAHRVRSPVVVKIKCG >Sspon.02G0009130-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:28743998:28746744:-1 gene:Sspon.02G0009130-3C transcript:Sspon.02G0009130-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAAALDAMAGTRWGRWLGLVTAVWVQCISGNNYTFSNYSHALKTLMGLTQLQLNGLSVAKDVGKAFGLLAGLASDRVPTWLLLAVGSLEGFLGYGAQWMVVSETVAPLPYWQMCVFLCLGGNSTTWMNTAVLVTCIRNFRRSRGPVSGLLKGYVGLSTAIFTDTCSALFADDPASFLVMLAVVPAAVCALAMVFLREGPAGGGAAAGDDEDDGRCFAAINSLAVAIALYLLAADLTGIGGNGGVVSAVFVAVLLVLLASPATVPALLAWKSWVKTRKAANADLEEADSLAAVAAPLLLAAKAAARTEVEARAPGERPRLGEEHTIAQALTSLDFWLTFASFLLGVGTGLAVMNNLGQMGVAMGYVDVSLFVSMTSIWGFFGRIASGIISEHFIKTRAIPRPLWNAASQILMAVGYIVMALAMPGSLFIGSVVVGICYGVRLAVTVPTASELFGLKYYGLIYNILILNLPLGSFLFSGLLAGLLYDAQATAVPGGGNTCVGAHCYRLVFLIMALACVVGFGLDVLLCVRTKRVYAKIHESKRLSRSAVAQRV >Sspon.04G0023610-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:18800233:18801666:-1 gene:Sspon.04G0023610-3D transcript:Sspon.04G0023610-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G74900) TAIR;Acc:AT1G74900] MPPPPPPPPPTSAAPVPPASPNKPIPSPRQIAALVLNHPSSTLTAASVRSLSASLLAAGPASALPIPAPVANAVIKLLWHHAPRALLFFHALLRLPERSRDLSPCTVDLALDLAARLRHPRQLTSSILALFPRHGLAFTPRTFPILFERFAVSHRRPDLAVRLFLSLHRSHGVAQDLPLFNSLLDALVKSRHAGKAASLVRALERRFPPDAVTYNTLADGWCRVKDTSRALDILRQMVESGIAPTKATYNIILKGFFRSGQLQHAWDFFLQMKKRGSNDENCKPDVVSYTTVLHELGVAGQLDKARKVFDEMSREGCTPSIATYNALIQVTCKKGNVEDAVAVFDDMIKKGYVPNVVTYTVLIRGLCHAGKIDQAMKLLDRMKREGCEPDVQTHNVLIRYSFEEGEIEKALDLFETMSKGEECLPNQDTYNIIISAMFVRKRAEDMAVAARMVVEMVDRGYLPRRFMFNRVLNGLMLT >Sspon.05G0014700-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:52937047:52945613:-1 gene:Sspon.05G0014700-2D transcript:Sspon.05G0014700-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLRSVLAVSLAVALFAVAPASFALDEKELHLSLYLNQTYSGNGLNEVVVVEPGLPGEFGNIAVQDWPVTKGEGSDATVVGRAQGIQFKPSQRNDQAWYTTLTIVFERTSLKGSTLQMMGYIPQDGQWSIFGGTGQLTMARGVVNHKGRRNQRRRGEPADPVAEGRPYREWHVRAGVSRHGPRLWRALAACDGNAIEAWMLLELSPVLALYSTFGSPALHFAIAKVHLDVHANSSMFSVLPPSIPVQIVTKLLEKGAGINARNYCGQGLRKHESHSTTATPGHSTRD >Sspon.01G0006700-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:16123221:16125386:-1 gene:Sspon.01G0006700-2C transcript:Sspon.01G0006700-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ATASVSPALWQPPSGIFMTNDTTDNNPEEAVHCFALSKNDSYVMSASGGKISLFNMMTFKTMTTFMPAPPAATFLAFHPQDNNIIAIGMDDSTIQIYNVRIDEVKSKLRGHSKRITGLAFSNVLNVLVSSGADAQLCVWNTDGWEKQKNRFLQIPSGRQSNILDTRVQFHQDQMHFLVVHETQIAIYETTKLEPVKQWPVRENSPPITHATFSPSVHPVVVAAHPSEASQFALGLTDGGVFVLEPLESERKWGNPPPVENGWVSKGGATNLGRSVPRESDNVVNKNKLNSSLSVAYIYF >Sspon.07G0018370-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:66438844:66439506:-1 gene:Sspon.07G0018370-1T transcript:Sspon.07G0018370-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADESFELEDGELVAAAVTGPSSSAADVQLRAQLREAAMVLRQRGQPDLARLALGLVDADLRHAMWVLDDEVRGGGDFSSDPALFDAFRHKIRMDLLSLQETGYDEDEIPEAFPPMVLGAAQYAARLVLAYDIDDTFGAVPASAAAVRSLEKQAFRAAGGEDDGITECGICFEEFVDGGQVTVMPCPCRVHKFHPDCISKWLAISNMCPLCRHELPAYYE >Sspon.03G0027740-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3B:6656077:6657101:-1 gene:Sspon.03G0027740-1B transcript:Sspon.03G0027740-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQCKCVAGPLCLSLLIVLPAWCLVMHAKESEAKRKAKALRQAGQGNWRRRKQGRLPPCRGNQPTNQACVADARPACLPRPCAPAWQPVSLPRLPRGKTRACGTGVSVEKPSIHLVSSHSHSWRHWCRVVFDPSAWVALPVALVLPGRASHLAPAVNVAGSRGRKLERDLPFARVVRESLSARRRPKRGGKVRCMLIRCLPLWVRTPEDMLGWGLGGPPVGLVEATTAGQQQPWNVPTANPKGVTHCRGLVAVLVATLDVDPWSGEQMVQCAAPRT >Sspon.04G0008110-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:19662225:19665542:-1 gene:Sspon.04G0008110-2B transcript:Sspon.04G0008110-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETVFTPSLEAMKHVKAENGVILTKPFLDVCKQILPVLETGKQVFFRPIKVRTLVQHGSGRSSKQDRKRFLKLHKWASVAYEVAMKLAPNRDKFMEVISGTGDINADIEKFCTTFSPFLKENHEFLASVGLDDMKAS >Sspon.07G0022810-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:10710431:10714642:1 gene:Sspon.07G0022810-1B transcript:Sspon.07G0022810-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPPQEMDPEQHGDNMAGKGGAGQVYWSNAMSMTETDNAPIVTETDNAPTVTGTDNAPTVTGTDNAPTVTGTDNAPKPTSSEPSEQIGADDGTHSGNNGAESSGTKQPPSKKQRIYTDDDLVVIMSRTLGELASSIKKLSEQPDLPVPKGLYEELKSIQ >Sspon.04G0004920-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:14153107:14165470:1 gene:Sspon.04G0004920-3C transcript:Sspon.04G0004920-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDYPEELRTPPVSLVSIVGCPELHPSISAALSSQQPPMNTLALPDFAKASILARSAPRPSRTAATPAGILKKDWLLKHRTRVPAAVAALFRADQVSGDPAQWLQACSDLENLKSAIQGKNTKLVVVLVQAQASDELSEDVTVALRKRAEIDSKHLVVLVEHDESEWNRSLNKLKNVFAELCAAFYKEEGRRIKARIEKRNFASVELSIRYCFKVAIYAEFRRDWPEALKFYEEGVRVLREMIGTSTRLPPTQRLVEIKAVAEQFHFKISTLLLHAGKVVEAITWFRKHIRSYERVVGTPEVAFLHWEWFSRQFLVFGELIETTSTTIPDTLSPRFGTADNALTEWEFQPAYYYQLAATYLREKRYAIECPSSMANLTTEANGVPESVMPSVYVGQYVRLFEQGDTVSVLPLSDTEYTSYALSEAERFQDSYEIIALFRKAYESFQSLGATRMASACSGGMAIEYYAAGDFSNAKQLFDSVAGLYRQEGWTTLLWENLGYLRECSMKLNSPKDFISYSLEMAALPLFSGSGEENRENKIKSGPAGSPTISRRENIQQEVINVLERKQSSEGTDDGFNNAMEEVTHLDIDQISPLRMVLTASVAFHDQSVKPGSPLLVSVSLLSHLPSPVVVDQLEVQFNQSDCNFVIHSTREDSPPSDSNLHDQVVQDTSLTLFTNRWMRLTHEVKSGQSGKLECLSVKATINKHLVICCHAESPASMEDFPLWKFENQVETLPTKDTALAFSGQKLIQVEEPDAQVDLVLNSAGPALVGELFTVPVTIESKGHAVHSGELKINLVDARGGGLLLSPREAEDSESHHVELLGVSTVSEDKESKEEADSIRKIQYSFGVVSVPTLSVGDSWSCKLEIKWHRAKSVMLYVSFGYSLGSSEEEALHRLNVHRSLQIEGQIPLLVSHQFLRPFRREPLLLSGIRSLGSDDKKCSLAMNESNMLIVTARNCTDVPLCLHSMTIEPDRDGEQLCSVQQISGISNGHAVVAPSEEYKGIFSVNPRAISTNFNLGEICLNWSRDSSLGEDQDRLIIMKEQLPEVSIEEPPLVVGMECPPYAILGIPFTIYVKIHNSTSLLQEIKYSLVDSQNFVFSGAHNHAAFILPKSEHTVSHKLVPLGSGSQQLPKITVTSVRYSAALTPSASAATVFVYPSEPKFNLETSHSTSDEIVT >Sspon.08G0001440-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:4896881:4897318:1 gene:Sspon.08G0001440-1A transcript:Sspon.08G0001440-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding HGGSPAEEAALGAVAGRQRVRVGVRVGVDAAQGVHGGARGGACRRQRRPGRGGGGAVRGARGVPEAPAVRGAAQGGGGGVRLRAEGRHHHPLRRRPLPPRPGHHPPPAAPPQPPRLRRLRLWERRRRTPWPPQQQPLPHRRLLPRL >Sspon.06G0028740-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6C:11213090:11213554:1 gene:Sspon.06G0028740-1C transcript:Sspon.06G0028740-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MCAPAFTVQGAGLRRGSPVASRDRDRKQRHCVAPLGLGHAMYPDRKLRFPAVSVTLQPAESEAAGVFILSFAATTVYCGRREAWKSQDVFAMSSGSGGFTAQDGPAAVSPPSCLISLWEKTERYPSLCGGAPCPVKQNAKASTSSGAWKEPSTE >Sspon.06G0017880-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:105645876:105649689:1 gene:Sspon.06G0017880-2P transcript:Sspon.06G0017880-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQMQSKLCKRDDGGGGLLSLPEAIISEMLLSLPAKDLRRCRCVCKDWRALISDPAFHAARRSRGRTGPLIVAVFGSAPELELRLLDDVGNVFRVFDVRAPALLASTRLDLIFVDRMQLGATIIDPASRRDFTVVGTDDPPARRPWWDDSWSKTTVNDYSFGRAVPSGDYKVLRLYVIETSSRWNGRRHSPWNLIATFNLESEEWKAKTIKGPLLGRKNEEDKWRITLTELKGNLCMVHNVQHLTDLGGHYADIWLLKDPNRSVWVKEYKIQMPERLLLFTKPLDVLMDGTLLLLNTFRKAGNNEKCHRYILQFYNSSTKAFTDYMETAEGFNAKPGGGAATANPTSTATMQANLCKHDGAGGDDDSDSGGISLPDDIIFEVLLRLPAKALCRFRCVCKGWCALISDQAFVAAQRSRATGPFIVAMFRFTTEHELRLLDKGGNVLRAFDIRGSSVILAPTHLDLIFIDRAQLGAMIIDPASGREFTVAGTNDPPPPAWWDKSPTSRTGSDYSFGRAAASGDYKVLRLLVFLSLWHDLRQWCEVATIMDGGAEPTWRRGPWGPFYDTSFSQEHKATVNGVLYFLPSNTYSASHARNRIAAFDLESEEWKPEMIKCPPLQCKDDQWHMSVSLTELKGTLCVVHNILRLDSYRGCYVYIWLLMDPNKSIWDKKYRIQMPERSLIFTEPLDILDDGTILLLLNASRKAGNGESYHRYILQFYNFGNETFTDTDYMEMPEGFI >Sspon.04G0015320-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:61375803:61377546:1 gene:Sspon.04G0015320-2B transcript:Sspon.04G0015320-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKNLGPGVDGWAISLKAMMSIVVILGLVITGIYWGVNKFVIDNSSMPVVERKKKDKPKLSMGESMKVLLSSRYVRDLATLVVAYGISINLVEVTWKSKLKAQFPSPNEYSSFMGDFSTATGIATFTMMLLGRVILRKFGWGVAATITPAVLLLTGVGFFSLILFGEPLTPLMTKFGMTPLLAAVYVGAMQNIFSKSAKYSLFDPCKEMAYIPLDEDMKVKGKAAIDVVCNPLGKSGGALIQQFMILSFGSLANSTPYLGGILLVIVLAWLGAVRSLDSQFSSLAKQDLEREKMLKAQAVETTAKVVGTGNGSLQETLASEPSANGAATKQSQEPESTTTEKSGQQSQ >Sspon.01G0030480-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:105764023:105769415:1 gene:Sspon.01G0030480-1A transcript:Sspon.01G0030480-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAKSSRSRPAGHSGVFPVNAAAGVGGSDGGVQLADKLKIFKTDNFDPDAYVQSKCRAMDEKEIRHLCSYLQDLKKASAEEMRRISWYRTSKEISDLEGELLSVRNLLSTQSALIHGLSEGVQIDSLTIGPEGSAEQDISSVEDQEPSEIWKWSTDFPDMLDVLLAERRVDEALDALDEAERIAADAKQKGTLTTADILALKRAISENRLKLADQLAEAACQSSICGVELRAAASALKRLGDGPRAHSLLLSAHNQRLQLNMQTIQPSSTSYGGEYTASLAQQIFPVIAQALNDSAEVFGDEPAYTSELVTWATKQTMSFSLLVKRHALASCAAGGGLRAAAECVKIAIGYSDLLEARGLSLTSVLMKQFRPSVEQALDSNLRRIEESTAALAAADDWVLTYPPTGIRPLARSSAGNLALQPKLSSSAHRFNSMVQDFFEDVGPLISLQLGGSAMDGLLKIFNSYVNLLISALPGSVDDEVNLEGLGNKIVRMAETEDQQLALLADASLLAEELLPRAAMKLYSMNPVSMDSLRRRGPEKQNRAAEQREWKRKLHRMVDKLRDSFCRQHALDLIFTEEGDTHLSAEMYINMDNTVEDPEWVPSPIFQELYAKLNKMASAATEIFVGRERFATLLMMRLTETVMLWLSDDQSFWEEIEEGPRALGPLGLQQFYLDMQFVILFGQGRFLSRHVHQVILEIIDRAMRAFSATGMDPDRVLPSDDWFIDVAQESISRISGKPRFANGDRDREREVNSPTASVSAQSVSSARSIGSS >Sspon.01G0038080-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:26970348:26972732:1 gene:Sspon.01G0038080-1P transcript:Sspon.01G0038080-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAPATLALRPSAAPAPPRAALPRARAWFAPAIRTSPSVAASYPPRRFSGVRRAVAVDADQQGSPEPPEQEKKPKTYYFLVANAKFMLDEEEHFQEQLAEKLRNYGERDKEQDFWLVVEPKFLDRFPNITKRLKRPAVALVSTDGNWITFMKLRLDRVLQDQFDAESVEEALASNPVELKFEKPEKWTAPYPKYEFGWWEPFLPPKSSNGTA >Sspon.04G0011620-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:7429575:7432555:1 gene:Sspon.04G0011620-3D transcript:Sspon.04G0011620-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRLLTRSAAARLVSHLHCKRPGTTHCLLTHGAALAALLGPTDGLPHAADSTLLRVPVRWLSSPSTEAVAEAPMTADGLTVDSIADKGWTILPEAESDWRSHAAAVAQSVKLIKKRLKWAWILERTKQLAVVLERPDLWEDPVFAGRVSRQQGELMGKIKSVNQFEQELIEHIEMLRLAREENDNELEMESMRALAGMRRSAKEKELNALLSGDNDSCSCFIEVQAGAGGTESMDWAAMVMNMYKTWAQRRGYTLTVVEEMPGEVAGIKRATIKVDGEYAFGYAKAEVGVHRLVRISPFDSGKRRHTSFAAVAVIPILGDASSRYQIKDSDLRIERFRSGGPGGQHANTTESAIRIVHIPTGISATSQNESAILLIEKCRSQHMNKASAMAVLQSRLDQLEIARQAQMNAEHTQSLNEISWGNQIRSYVLHPYRMVKDLRTNYEVSDPDSVLEGDLDDFILNYLSSSLDEADVST >Sspon.06G0001370-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6C:2615536:2617733:-1 gene:Sspon.06G0001370-2C transcript:Sspon.06G0001370-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SAVQCTSMRERGERWRVTWAPPPASSSFTSSPPAATPPHGLSLSFTPSIPTTTTHHLLYGTLTQPHPSIPPPSCSASGLITPLLPLRLSDSTMEFKTGIFTVAVLAIVLSSPAVAQKPKSPPAPSATVLPPAPAPAPSPHHVDLADLLSVAGPFHTFLDYLQKTNVIETFQNQANDTKNGITIFVPKDSAFAALKKTTFANLTQDQLKSLLLYHAFPKYYSLAEFDKLSTLNPVTTFAGSQYTLNLTYNMGTIQVKSMWSNPKISSSVYSTRPVAVYEVNKVLLPMQIFKSDPPLAPAPAPAPDAKASDVAPSPTSGKSASAKAKAEEKSSSYQLGAGIAHYLALAVSGGLMLL >Sspon.02G0040200-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2B:66270678:66272247:1 gene:Sspon.02G0040200-1B transcript:Sspon.02G0040200-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding EELGEGGAAGRGGRRGAARQRGRPPGLRLLHLRHRRHRRRLGLPREGPDGRRRRRRGQGRRRRGRGRGRRGARRGRAAHRAGARHLRLRQRRAWCACIAEAGRAVVLRRRGEEGRPGAGAGPGLAHQRPRQGPGALLLPERRAGGLRRGAQPGVRALPVRPHLRARPLPGVGRGAPGAPGGPLGRRAGDPPAAADAPRRGVRGPRRHLGALGAERHLPLRRAQRHHARLHRRDARRRRRRRQGRLAVAAPRVPPPDLPRRQRALPLAGPPLAQALLRLRLRPLRHVAAPRRRRGARGPPPRRQRQRQAGALRYGGLDPAGPHHPGRRQDQRPGAHVPGHLLLQLRQSPHGQGAGRRRRHRAMCRWRYPAARHPPYPGYRDEDWEDNDGALNTFSMTHPRIPVEHPSVQVENDEDCHPLRPGIWYYKIVEADHMAFVINRRRGGVQFDLVYDSIFHNCRKHVFRTAPPPTLPDQSQS >Sspon.04G0003030-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:9239201:9240615:-1 gene:Sspon.04G0003030-1A transcript:Sspon.04G0003030-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ASPPSPTACRLPRTTTSRRTSPRCASPPPRPASVPSAPARRLNDAATGHPPVTCVVSDVVMGFSIDAVKELGLPYVQLWTASTVSFLGYHHYRHLKSRGLAPLKSVEQLTNGFLDTPVEDVPGLRNMRFRDFPSFIRTTDPDEYMVGYVLQETGRSVGASAVIITPWTSSRARRWRPWSRWAWRARCTRWARSAAGTRGPAHPALLHQPQPEQEECLRWLDGRDAGSVVYVNFGSITVMTNEQLVEFAWGLANSGRPFLWIIRRDLVKGDTAVLPPEFLAATADRGLMASWCPQQAVLDHPAVAAFLTHSGWNSTLEAMCGGVPVISWPFFADQQTNCRYQCNEWGVGMEIDSNVRRDAVASLITELMEGKQGKEMRRKALEWRDIAIEVAKPGGTSHRNFDDLVRNVLLPKN >Sspon.03G0019790-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:80965297:80965704:1 gene:Sspon.03G0019790-1P transcript:Sspon.03G0019790-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLVRLMDTLALDSWVRNPFSIFGTAVAADAWLASDTSAFANTYIESRDTARAYVFSAAPARREEGGGDGEVDEGNVLVITGERSVSREERVGDRWHHVERCCASFLGRFHLPDDAAVDGVRAAMDAGMLTVTVPK >Sspon.06G0016970-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:92453356:92456081:-1 gene:Sspon.06G0016970-1A transcript:Sspon.06G0016970-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPEKHYPPSLGDSVCMLNNITKEGTFHNNLERSNIKTVQEFLRMLMVKPDRLRAILGDGMNDAMWGATTKHAKTCSPGDKVYVYTAGGGTIYMNSVFNLVKVEIGGIECPLQHLNFDQMMLVLPLIMEAYEQRHHLQETNAVTFDGNDATDNIELLRVCSEALTQGWPLGHCRGAACRTGLGVEEVLDVAGDTTKTGAESKDSRTAGNRGRQRQIIGSSWTMNLPIHYQQMGIAGNGQHRHLLLRIRDGGEMIRRQG >Sspon.05G0031490-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:6248608:6251662:-1 gene:Sspon.05G0031490-1C transcript:Sspon.05G0031490-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAADAPAEAAAIARRLASCNTGTRERAVRYLLSDFLPASSPRLSATDLLKLWKGLFFCFWHADKPLYQSSVATRLASAVSAPPSPADGAAFLAAYLTTLRREWAHIDVHRLDKFYLLNRRFLHHAFLLLSANSFAPDVTSQVVSVLSDKALLPEADNVAAGTSRGLGYHVAEAFLDELLPVLPVSLETMDALLAPFFTVLEKSTDRVMVSKVKAGVFERLLESGSRLLETVKKGEEVEKGSAEEKLGKVGLLFGFSKRFLDIGAKAETVQSNRKVVFGLRDAFVKIEKGLQLSGLEITVPKFEATEVPVLPNAGEEKAQKRKKKAKKAALAQGEMEEAKDLKREKKVKKDKKEKKEKKKKRKVEVVDEGYATEKSTDAPVDDQTMGDGTDGITFDETLKSNLQKQFEMAAAEAGMAKGGSSSSASPVTPVSGKVATKRKRSKSADKLSEASDGHDGSEGNLLSQDGEKSGKRVRFSMKNNLVWKPHNPLPPQCLRLPPSATPRGSALKKGVQPGPITPTPLKKAKPKAKSAKKVLKKQPSSAVKRLRKLFCFGMNARNFVAGNAIY >Sspon.05G0013180-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:43334165:43339209:-1 gene:Sspon.05G0013180-2D transcript:Sspon.05G0013180-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eukaryotic translation initiation factor 3 subunit H [Source:Projected from Arabidopsis thaliana (AT1G10840) UniProtKB/Swiss-Prot;Acc:Q9C5Z2] HIRAVHQLDSPVSALCSPRSQKTLDSPAAALLSLAPRLAPFLQARTHPPACAPPPTDLRAAMANPAAPGGMRSFLQAVSTVTEEAPTPLRVVQMEGLAVLKIIKQCEEFAPALVTGQLLGLDVGSVLEVTNCFPFPIREEDDEADADGANYQLEMMRCLREVNVDNNTIGWYQSCLLGSFQTVELIETFMNYQESIRRCVCIVYDPSRSSQGVLALKALKLTDSFMDLYRNNGLTGEKLREKKLSWVDIFEEIPIKVSNSALVSAFMKELEPESPVTQCDFDRLKLSTAPFMERNLEFLIGCMDDLSSEQNKFQYYYRNLSRQQSQQQAWLQKRRQENMARKAAGEEPLPEEDPSNPIFKPIPEPSRLEGYLVTNQISSYCNHINGYRFLTFTRLYRVPKLLHDSILGKHPLDVGIF >Sspon.05G0025670-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:26195447:26199147:-1 gene:Sspon.05G0025670-1B transcript:Sspon.05G0025670-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDPHSKERLKQLNQEIDMLRQLSHPNIVQYHGSELSDEALSIYLEYVSGGSIYKLLREYGPFKEPVIRNYTGQILAGLAYLHGRNTVHRDIKGANILVGPNGEVKLADFGMAKHISSFAEIRSFKGSPYWMAPEVAAIFKIANSKDIPEIPDSFSEEGKSFLQLCLKRNPASRPSAAQLMDHPFVQDHPAVRAAKSSVLRNTTSSPADGRHTMSNRELPSQKIITPLKDIGLSMRDFTGFSTAVPSPHSSPIPGRANMSTPVSPCSSPLRQFKQSNVRCMRSPPHPLLSPGLGNTLSYTQNQTRRIPAPAISDSWLDVGQMKLQSLNISPKRF >Sspon.06G0003230-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:8626970:8628949:-1 gene:Sspon.06G0003230-3C transcript:Sspon.06G0003230-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMLRVLDLEGTSGLNDHHLEHIRKLVHLKYLSLRGCRDICYLPNSLGNMHQLETLDVKHTHIIKLPKTITKLRKLHYLRAGGIGFLGANSYEEAVEDLPKLLQRRLCLLSICSVAYCVACCAPQLMKNVCNGDPNRRDVCTACCCTVFPFVARHLDLSGVLVPSGFNKLTAPHTLGTVNIGRGKATLQDISRLTRLRKLGLAGINMGNRKEFCLALSALSNNLESLSVRSVGNPGLVGCLEFDDMYSPPENLKSLKLYGNLVKLPAWIDGFKNLVKLTLKRSMIEHANAMQILGKLPSLKTLRLLENSFQAKELLFVKDEKVFPNLTRLVLILLSNLTSVEFEQGATPNLKMLQCYGLPSKSKVSGLKYLQSLREFMLDDDAMYDKSFVEGLRKQLAENTNSPILKSLKVVELNSIDGLKSLDFGGAIVKLELLDFCGSSEESSTGLFSRLSFILSLK >Sspon.03G0014610-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:66316937:66319058:1 gene:Sspon.03G0014610-3C transcript:Sspon.03G0014610-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLFRQGDVAGSLAEFDRAIEMDPRQKQYLWQRGLSLYYLDRFEEGAEQFRIDVAANPNDTEESIWCFLCWFGLKTFANFSSGSDGEIFYSSLYAGLYYESQKDADMAKSHIVAACKSPYGSRSGDYMASLACVHCQCRNWNLV >Sspon.03G0009840-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7B:66751313:66752263:-1 gene:Sspon.03G0009840-2B transcript:Sspon.03G0009840-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLKPTRDSLGRNPLSDALHRNPGVVLNGQGPGRHPLSGASYRDLGTMKNEQGSGRRNLSGALHLRPGVVKNEQGSSHFPRRVRRGQKAKEVEDTGFKLWYTGTTSGRNGVGILIDRSLKDGVVDVRRQGDRIILVKLVVGDSALNVISAYAPQVGLSEGTKRQFWEDLDSMVSTVPISEKLFIGGDLNGHVGATNVGFERVHGGSGM >Sspon.04G0016650-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:70043717:70053322:-1 gene:Sspon.04G0016650-4D transcript:Sspon.04G0016650-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAVVCGKRSSIFSDDLVASPSSPPSPSHHHHPAKRARCSPSRRREVLLHHHLLPLFPDMDPQLLEKALEASGDDLDSAIERLNELRLESAGAMLSATVCESEKGISSALKLSAEGTTSNGHLDVATGNPPATDNSQTNHHSSEWVELFVKEMMSSSDIDDARARASRALEVFEKSIMDRVGAEAVQNLHRENVMLKEQLAIILRENAVLKRGVAIQHERQKDFDVRTQEVDSLKQLVLQYQEQLKTLEANTIILEATVVALIIGGAHE >Sspon.04G0008880-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:25886830:25891486:-1 gene:Sspon.04G0008880-1A transcript:Sspon.04G0008880-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGSSESSPLSGRNSFSSPLSSFKDLELSSESGCLSIIVLGASGDLAKKKTFPALFHLFQQGFLQSGEVHIFGYARSNLSDDGLRERIRGYLKGASDEHVSQFLQLVKYVSGSYDSGEGFESLNKAISEYETSKNNESRSYRRLFYLALPPSVYPSVCKMIRSYCMNPSSHTGWTRVIVEKPFGKDLDSAEELSAELGQLFEEEQLYRIDHYLGKELVQNLLVLRFANRLFLPLWNRDNIANVQIVFKEDFGTDGRGGYFDQYGIIRDIIQNHLLQVFCLVAMEKPVSLKPEHIRDEKVKVLQSVEPIKHEEVVIGQYDGYKDDPTVPNDSNTPTYASVVLRVHNERWEGVPFILKAGKALNSRKAEIRVQFKDAPGKKQGRNEFVIRLQPSEAMYMKLTVKKPGLEMATEQSELDLSYGLRYNDIKIPEAYERLILDTIRGDQQHFVRRDELRAAWEIFTPLLHDIDGGKLKSVPYKPGTRGPQEADELSKRMGYVQTHGYIWVAPTLSKV >Sspon.04G0013150-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:46479740:46487657:1 gene:Sspon.04G0013150-1A transcript:Sspon.04G0013150-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGPWCHADTRHWCFRGDSAARTRTSTRGAKERQRVLLAGRRSSSFRRPLASPNGQLLIPHAGCSPPLAGGWAPAPAGRSPLRWAAGHLTPAPTGRSPLAEQLLMQELGTGRTSILHQVKASKTTKKDMEMNGCVAAID >Sspon.04G0017580-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:69686997:69689615:1 gene:Sspon.04G0017580-2C transcript:Sspon.04G0017580-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQDDPVVSAQWLHEHLGMPDVKVLDASWYMPVENRDPWEEYQVAHIPGSLFFDLDGIVDRTTDLPHMLPSEEAFAAAISELDIKNQDKVIVYDGKGFFSAPRVWWMFRVFGHKKVWVLDGGLPQWRASGFNVENASPDVAVLKSNAANKAVERQKQSHLRLNFSLIYSGHWKRLVAHNVTAKTYQQIDAWAKGRFDGVAPEPRKGVRSGHIPGSICIPFPEVGKIQRSSTSHDIWITIDC >Sspon.02G0009070-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:25867840:25873596:-1 gene:Sspon.02G0009070-1A transcript:Sspon.02G0009070-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MELVIRWEPKMGVISTVLGFSGFGFGFSAGIVIGYFLFIYIQPTDVKDVKVRPLVEYDSKSLEGILPEIPLWVKNPDYDRIDWLNRFLELMWPYLNMAICRTAQDIAKPIIAENTAKYKIDSVEFETLTLGSLPPTFQGMKVYVTEEQELIMEPSLKWAANPNITVVVKAYGLKATIQIVDLQVFASPRITLKPLVPTFPCFAKILVSLMEKPHVDFGLKLLGADVMAIPGLYRFVQETIKKQVASMYLWPKTLEVPIMDPSKASKRPVGILLVKVVRAQNLRKKDLLGKSDPYVKLKMSDDKLPSKKTTVKRSNLNPEWNEEFKFVVTDPENQALEVNVFDWEQAWLPFLFKYCCSFSANIITKQYVGKHEKMGMNMIPLRELPPEETKVTTLSLLKTMDPNDVQNEKSRGELTLELTYKPFKEEDMEKEDTEGADVIEKAPDGTPAGGGLLYVIVHEAQDLEGKHHTNPYAKIIFKGEEKKTKVIKKNRDPRWEDEFEFVCEEPPVNDKLHVEVLSKAGKKGLIHGKETLGYIDISLADVISNKRINEKYHLIDSKNGQIQIELQWRTS >Sspon.07G0019320-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:70714:72527:-1 gene:Sspon.07G0019320-2D transcript:Sspon.07G0019320-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVRPAPEPKLRVRRALQGHLVLQVAVVLRPEQRSYWGQLESGIKAKFLSFSLPVDSVALHDPSVNSVNNLQVALEVFPSGKTLFGEQDIFDIGFILSNQTYKPPAVFGPYYFIGQQYSFANDLLIPSKSKANRLPLIIGVSAGGAVLVAGVLALVIFVARRKRRPKQNEERSQSFVSWDMKSTSGSGVIPQLRGARMFNFDELRKITNNFSEANDIGNGGYGKVYRGTLPSGQLVAVKRSQQGSLQGSLEFRTEIELLSRVHHKNVVSLVGFCLDQQEQILVYEYVPNGTLKESLTGKSGVRLDWRRRLRVQLTEKSDVYSFGVLMLEVATARKPLERGRYIVREMKAALDRTKDLYGLHDLLDPALGAAPSALAGLEQYVDLALRCVEEAGADRPSMGEVVSEIERVLKMAGGPGPESASNSMSYASRTPRHPYGGDSPFADYSSGGLPSARVEPK >Sspon.04G0012520-3C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4C:43951275:43952855:-1 gene:Sspon.04G0012520-3C transcript:Sspon.04G0012520-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSAARVAVATAVSLAVHWLLRSFLQAQHPSLGMLLPAAVFLGIAATGNADAANAPPGPAAVPVFGNWLQVGNDLNHRFLARLSARYGPVFRLRLGVRNLVVVSDPRLATEVLHTQGVEFGSRPRNVVFDIFTANGADMVFTEYGDHWRRMRRVMTLPFFTARVVQQYRDMWEAEMDAVVSDIFADGAAQRAGFVVRRRLQLMLYNIMYRMMFDAGFDSVDDPMFVEATRFNSERSRLAQSFDYNYGDFVPILRPFLRSYLSKCKDLQTRRLAFFNNNYVEKRRKVMETPGDKNKLRCAIDHILQAEKSGEITSENVIYIVENINVAAIETTLWSIEWALAEVVNHPAVQRKVRDEIKAVVGDDEPITESTIHRLPYLQAVIKETLRLHSPIPLLVPHMNLEEAKLGGYTIPKGSKVVVNAWWLANNPELWEKPEEFRPERFLGEEKSVDATVGGKVDFRFLPFGVGRRSCPGIILALPILALIVGKLVRSFEMVPPPGVEKLDVSEKGGQFSLHIANHSVIAFHP >Sspon.08G0008860-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:38404935:38408009:1 gene:Sspon.08G0008860-1A transcript:Sspon.08G0008860-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LYAAYAPTALSGRGVGEASVAASAEAAAVVDMPVLPDDAEECAGAADRALRAAGAALPRPHGGGSTSTGNGTAACDVAFCYCGVRLRRPACTAPQGRMARRLERDCALPGLAGCSRCLRALNKLGGKSNATSSASAKAKQEQREECHLMGLTWLLSRNATRHREAATAVIQALMAADEAGAGHPPTCSLPADDELPVAVGSSQINAATSASISSAVGSLLLVLLGAAVVFLSCCF >Sspon.04G0022760-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:8690149:8692380:1 gene:Sspon.04G0022760-1B transcript:Sspon.04G0022760-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCGTPAREVFDGFSDPPVLQEKDKLVAEVMRYVLFKTHQNSDCPIKREELTGIVTKNYRQRALPTLVINEAKDRLAATFGYEMRELQRTRAPATRSGRPSQPQLNVEAKSYVLVSNLDPEVYSKYVEDKGTAHLSGFAFAVLKRVGLNENDETHPVLGNNKQKLEHLVQQRYLLKEKVAGPEGHFMMYELAERALDESISAKIKDHISQVVGASLQK >Sspon.02G0028660-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:69566243:69569165:-1 gene:Sspon.02G0028660-3C transcript:Sspon.02G0028660-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:WD repeat-containing protein VIP3 [Source:Projected from Arabidopsis thaliana (AT4G29830) UniProtKB/Swiss-Prot;Acc:Q9SZQ5] MKLAGLKSVDGAHEESIWAAAWAPAADHRPTAVLLTGALDETVRAWLPDDLAALGSPARGHALGVVSLAAHPAGALAAAVSLDSFIRVFDVDTGASVATLEAPPSEVWGVQFHPKGNALAAAGGGSGSVKLWDTERWQPITSLTVPRPEGARPDRTGSGKFVLSVAWSPDGKLLACGSMDGTIAIYDAVRMKFLHHLEGHHMPVRSMVFSPVDPHVLFTACDDCHIHIYDAKEKSLIGAMSGHASWVLSIDVSPDGLAVATGSSDRTVRLWDINMRTSVQTMSNHSDQVWAVAFRPPGGAGIRSGRLASASDDKSISLGD >Sspon.05G0001900-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:6125406:6125804:1 gene:Sspon.05G0001900-1A transcript:Sspon.05G0001900-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin-responsive protein IAA33 [Source:Projected from Arabidopsis thaliana (AT5G57420) UniProtKB/Swiss-Prot;Acc:Q9FKM7] MSSGGAASASASGSFEQHAKRRPPAGPPPQQPRKLLTLSVQDGDVAAGVVPPVTVVLDGRCICHRVHLNRHTGYRSLAGTLRRMFVDADDHDRQRGGEDDDDLDLANAVPGHVVAYEDLEDDLLLAGDLKWK >Sspon.03G0015610-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3A:49667001:49667390:-1 gene:Sspon.03G0015610-1A transcript:Sspon.03G0015610-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVLMDRSAGGGNSRGAAAVAYAASADLCSEEVVEVSQGNAGSLPNGVPSYSVTITNTCLDCTVRDVHVSCGEFASTELVDPSDFRRLSYGDCLVRNGGPIGPGETISFEYSNSFIYKMEVATVSCADI >Sspon.05G0034870-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:66122442:66127689:-1 gene:Sspon.05G0034870-1C transcript:Sspon.05G0034870-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVHADVDGSGVPLAVLLKREMCNQKVERPDILFGEANKSKKGEDFTLLMPRCHRTTGEGGSSGGGGGGENAGDDDTISVFAIFDGHNGSTAATYTRENLINNVLAAIPPNLTSEEWTAALPRALVAGFVKTDKDFQTKGIINGMSAARSGTTVTFVIIDGWVVTVASVGDSRCILESAEDHRLDANEEEVERVTASGGDVGRINIAGGAGIGPLRCWPGGLCLSRSIGDIDVGEFIVPVPHLSNAGGRLVIASDGVWDALRFQEALNYTRGLPAEAAANRIVKEAVTSKGLRDDTTCIVVDILPPEKLSPPLKRPGKGVIKALFRRRPSDEMSEDQMDRGCLEPDVVEEIYEEGSAMLARRLNINYPAGNMFKLHDCAVCQLEMKPGEGTSVHGNMPKHSRVDPWGGPFLCSSCQVKKEAMEGKLHSRSYSQTLGKPARTKAFVLDFWLLLACLRLLMEMESIVGLQDFHNFFHLWRGMRSSFWSLTQHDIGNSEDAAAASKLEDVLMTGDWKRSGGDNEHDPDERVEFGAGAGARRQSPDLGAASHLPRANPRLPRLPLPASRGRGGGGSGRRGGRLGLGEGHGAHGNALPLSRLASLALALPLRVLLCERWRAEHLRLLLLLLAAAAAEEVGAGEEVRLRGRDMAAVRVHLLPDL >Sspon.04G0027510-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4D:55589953:55590565:1 gene:Sspon.04G0027510-2D transcript:Sspon.04G0027510-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGATDAVVQVQAGRITRATEETNDILSQKMYVLYGEHASKCKAAVAVHFTSKEMKAPAIYFYLSVQRLHRTFLGARALSRIPTHAHKK >Sspon.02G0010270-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:31651121:31652225:-1 gene:Sspon.02G0010270-2C transcript:Sspon.02G0010270-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding QGIGTGTSITPVSMNHGRPDCHGHPSSDLGTADNLQSRSDVGVATKTTNLEFVGKHHCSPANIIDQICPPIIQKYPSNGNGQASTPFAHMNDNTDKGCRLSNKISSNTTVQFSVMSDEGIDLVVDLNSSPATWAKNFMAEMRITPPSEHGNFSSFISSLATKDDHSTAAPSGNIIVDIQNKGPEKIVPSTDSSLASDVGENSRSVLYPVDTTTVNSVSSTSTVAGTPVELSGYQEGAPVVSSSCLTADVQNNVTSDMPGALDNKVLPPEPADVFMQSERITAPAGMGLEVTPMKTPVQNLQENKLEMFPQEISLLIMVALMKP >Sspon.05G0027110-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:49380388:49394924:-1 gene:Sspon.05G0027110-1B transcript:Sspon.05G0027110-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VRFVAANMDSNRKSDPFEMGRVGVFVSNPGARSAAVAAAAEMDYLKTVVPSQLMAERGSNLVVINPGSGNVRMGFASQDVPFNIPHCIARCINPQEGEEPRFSVRDQMLNCHATSSQNAERESAYDIIAALMKIPFLDDEEMPSPNQPLPPKMGRVDGFSSQQNRDDIKFTWTDVTERIIKPSTSIDRSVYKDAGEDTLPSTSGDDNGHDFKENKYKEMIFGEDALKIPPSESYCLMRPIRRGHFNVLEDLRTIWNWVLTEKLHINPRDRGLYSAVLVLGETFDNREIKEMLSIVLNDLGFSTAVVHQEALAAAFGNGLSTACVVNIGAQVTQVVCVEDGVALPHTALALPYGGDTGSIDAVALVHSYDNDRSGGHQKTRLSALNVPPMGLLYPRVLVPEEFQDYDDMLEDTWQTSDGLYPSGNGGFGMWDSYPMFPTRLKKFENIGLVEAIISSVLSTGRVDLQRKLFCSIQLVGGAASTAGLAQVLEQRVRTKISANQSIEKVEAQEGQTEIGCRRRLNGNKEAG >Sspon.02G0003190-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:10363670:10368254:-1 gene:Sspon.02G0003190-1A transcript:Sspon.02G0003190-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSEPQPANKRPHVLLIPYPAQGHVNPFLKLAKALHARGFHVTFVHTEYNHGRLLRARGAGAFDAGAEGFRFETIPDGLPPSDLDATQDIWALCEATRRTGPAAVRGLVERLNRTDGVPPVSCVVADGAMGYVVHVAKEMGLPAYLFFTHSGCGFVAYLNFDQLVKRGYVPFKDEMCFTNGYLDTPVDWISGMLPGARLRDFPTFIRTTDPNDTMLTIIIKQCELDSPAADGILLNTFDDLERRSLDAIRARLPNTFTVGPFGPEVSPPSYLPSLTSSLWKDDDRCAAWLDGHADEEGSVVYVNFGSITVVTGKQMDEFAWGLAAAGCPFLWVVRPDTVRDAGGWALPEGFAEAVAGRGLTVGWCDQEAVLEHRATGGFLSHCGWNSTLESLRAGVPLLCWPFFSEQVTNCRYACDEWGVGLEMPREAGRREVEAAVRELMGTQGRGAAARRRAAEWKEKARAAVAPGGSSRVNLDKFIQEIARAKC >Sspon.06G0016900-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:75736716:75741524:1 gene:Sspon.06G0016900-2C transcript:Sspon.06G0016900-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKGRNSADTTDQDVLESWMIRLEKDSSQMRQQTQLLEIGYKEQIKVCFKILQRCTRPEPKDRPTTEDILLTLEETEARVKLVAGPTPNACQLELAKFGPWGGDGGKPRDIKMVPYLLDNITISSGTIIDSIGFSYTDHYGQHHTIGPWGRKKGKNKIQLGPSEFLTGVSGTIGPFKSLINVITSLTFVTNTRSYGPFGKGRGTQFHIQMQNNGRVIGFFGRSNKYLNAIGVYTNQDARVARIGPLGGDGGVLHDIIDHNGQQHSAGPSGECGGSDHKPDVYAKFGPWGGDGGKQRDIKMLPYHLDRVKISSGVIIDSIEFSYTDHDGQYHTTGPWGGHGGDKNSGNGCIVGFFGRSGRYLNAIGVYTNPELKLIRKEEAEVARIGPWGGDGNVLHDITEKPRHLQRVTIFSGTIIDSLEYIYSDHCGQQHTAGPWGGCGGNGRK >Sspon.02G0019190-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:58334911:58341246:-1 gene:Sspon.02G0019190-4D transcript:Sspon.02G0019190-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEKAPRAFSIEELPGHLIGEVLTSGGLAAADLARLEATCRALRPLAEYAASKLCAARAAFAAMGPAARGELLERCGGSWKKVLRFLQSVEQSSGTVETSSGSVATGLSFTVILTRDGQVYTCGSNTHGQLGHGDTIDRATPKLIELFEGPTQVVQIAAGASYTFAVTDDGTVHSFGSCTNFCLGHGDQHDELLPRAIQSFKRRNIHVVRVSAGDEHAVALDGLGYVYTWGRGYCGALGHGDENDKTSPELIGSLKGQVAVQVCARKRKTFVLTDEGSVVAFGWMGFGSLGFPDRGSSDKVMRPRVLESLQDHYVSQISTGLYHTVAVTNKGIVFGFGDNERAQLGQEYIRGCLKPTEIMFQKSMEDIPIAAPMRRYIVVLWKGCKDCVPIEPGQQGIKSNELRA >Sspon.07G0014730-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:52665729:52674297:1 gene:Sspon.07G0014730-1A transcript:Sspon.07G0014730-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPPYTHLATPITMSSSSSAATAAASSASVPASSSAAPPMILQANRDPESNWEVDVAKSLEEYLLKICSGEVSGEDRAHSVNFAEAALLLQGSVQVYSRKVEYLYSLVLHALEFLSQKKQDQLENCSAQANQNDPSTIASEEDDIFMGLDDVPAESRTSLDNNVNRDDLQRKIVRPPANLLVFEGDCLDSEAIIYVLQLATCDFYGDFLLLDPCDAPAVFDFLQGKHSGKENSVAHQGSSAPSKSRANVFTSPNARSTGTGRKSAAGKVLGGLDPTQENPDQSSTQETIPDDNHWSDPVEPSFADDVEMPHPDDIEDPVGDYSDDEDPWKPLNPHEPGNLKIRPYRRVKGSPRVVIGTLKKKTLTFLFPMAKMDGVVIPEHAKSFEAQQSQQEEHYGSQSPPRFEKFLRSFEFGEENPNVFGDLKDDNGSNTGINFDNDDPDMPNDIDVDPDVPTYPDETIAATPNGTQDDIDTHASLTTCVGHICSSYTPIFILRTPQHNMHCAKTVPRESKLQNALLASIAEVEKQSEMDARVSTWKERIEDALEEQDKNPPFDIGSYGEQILDILSSRTDNTGTASFSEIVSGRPKYEVARTFSALLQLVNFPFLP >Sspon.07G0000960-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:7194657:7197558:1 gene:Sspon.07G0000960-1T transcript:Sspon.07G0000960-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAIRVPKTQRARRELLKHAPKLVETSKKTLILHGTKTSAVLNSVLSDIYHLKRDNAVKYTKKNDNIRPFESGGESSLEFFSLKTDCSLIVYGSHSKKRPNNLILGRTYDHHIYDLVEVGVENYKSMESYVYDKKLAPKLGSKPFFAFIGEHFESAEELKHLKEVLLDLFRGEVVENLNLAGVDRIYVCTAISPTTVYMMHCALRLKRSGTSIPRMELVEVGPSMDLVVRRHRLPVESLKKEAMKTAEHAKKMKNVTKDPVQGRLGKVYMPDQQVGKLTLSNDIKGLKRERREAKKNKEASKKQKVNPE >Sspon.03G0014890-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:70007663:70011696:-1 gene:Sspon.03G0014890-2B transcript:Sspon.03G0014890-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLAAAAAAAVRPCRRRLLSSASAAGASKTAAGTATRLFPRLPHPHHPPYGRRLPFLVSAASQSQASQTGPATPVPVPVPLPSDPRAAVSGNLPFFDRVLFPDSFPLETPPAKEEEDAAAVQTDEAVEPAAPLREETETEREAWRLLRRAVVSYCGEPVGTVAAEDPECTETLNYDQVFIRDFVPSALAFLMRGETEIVRNFLLHTLQLQSWEKTVDCYSPGQGLMPASFKIRTVPLDENNEGFEEVLDPDFGEAAIGRVAPVDSGLWWIILLRAYCKITGDNALLERVDVQTGIQLILSLCLADGFDMFPTLLVTDGSCMIDRRMGIHGHPLEIQALFYSALRCSREMLVVNNGSKNLIRAINTRLSALSFHIREYYWVDMKKINEIYRYKTEEYSHDATNKFNIYPEQIPSWLVDWIPEKGGYLIGNLQPAHMDFRFFSLGNLWAIASSLTTPKQAEGILSLIEEKWDDLVANMPLKICYPAMEDDEWRIITGSDPKNTPWSYHNGGSWPTLLWQFTLACIKMGRPELARRAIAVAEERLSDDKWPEYYDTRSGRFIGKQSRSYQTWTIAGFLTSKMLLENPELASILTCDEDLELLEGCACCLSTKRTRCSRRAAKSHTG >Sspon.02G0050060-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2D:48564948:48568266:1 gene:Sspon.02G0050060-2D transcript:Sspon.02G0050060-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLWLSGGPGCTSFTGLVYQNGPLSFDIDSYMGGLPRLLYRPETWTKWFDEHPEFLSNPLYIGGDSYAGMIVPTVTSEIAKGNGVGSAPALNLKGYLVGNPFTDFSNFDEPSKIPFAHRMGLISDQMYKCVRDISEFHVLEPNCAYASPHQYNVLKLKTSSGVQKMQQLLDSTTEGLHLSEISTQCRTMLYTLSRLWANNVTVREALGIHKVRKPEADAEQPVSACFRTLSWAKIMPDLLGTVPLWLRCNKGITYLKDIQSSVKYHLNVTTKGYRSLVYSGDHDMAVPYIGTQSWIRSLNFSVVDDWRPWYVDGQVAGYTTLYSNNLTFATVKVRTLDVPNFI >Sspon.01G0016200-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:51408426:51426363:-1 gene:Sspon.01G0016200-3D transcript:Sspon.01G0016200-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGMTPTPRWRRGHSPSPSPPVPLPLPLDHDDLLSEILLRLPPHPACILRATLVCTRWRRLLRDPGFLRRCYAFHRTPPLLGLFRISQRSGCFVPVGEAPDCVPSVGFALPDPASWLLLGCRHGRALLRSRPGWLQLLVWDPITGHRHCVRLGRLGGHVQACNATVVGDPVGLGRRAGSFRVAFVFTGNGRASACLYSSETGAWGRLITADAFCHNVCMKPSALVGDMVYWLLEDDDGGILELRLGNESLALVELPPGAQSFYQWNLQLMEAEASVLGFAAVKEYSLRLWAREADCDGTANWVLRTIINLNVFAPPPGVTSWIMLMPPIKIVGVDEGSNFVFLRTIFGIFTVILDDTALLEKVSDDELMGLVHPYSSFFVAVKHKWMWVASRFVFLYKMANLVAHLQLNILPRKNKVEGEDAALQPRGFVDQCPVQHVPHQSDRSVADAYPQAARQVSGGHLVPEPQLRGVEVGQCGARVRGRDEHDAERCGGTRHLGAVELGTADEAVQALAGERGTREGGGVRWDADENLAEGVVRDVLHRMRRAHGGTHTAECGCGVVYGSMLVLVARRAGSMRRLCGWLTTARN >Sspon.01G0040540-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:37562926:37563279:-1 gene:Sspon.01G0040540-1P transcript:Sspon.01G0040540-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSFLLAMAALAALLAVGSCGTLSTWTVGKGSSSTRLVLVANAAISEVSVKDKSTTEWSDDLKESPAKTFTLDSKVPIKGPISVRFAVKGRGYRTSDDVIPAGFKPGSVYKTKET >Sspon.03G0028060-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3B:7784246:7784555:-1 gene:Sspon.03G0028060-1B transcript:Sspon.03G0028060-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SQAKFPFIRIKTNGGKDKSTDLSDARAAVLRETSRRRSAEEPATAITLFRASGEANTWAIGWTPCVSLGTTVASSPGPCWPIRPRHTASGLIEELLGCIWYV >Sspon.03G0038840-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:3910322:3913894:-1 gene:Sspon.03G0038840-2D transcript:Sspon.03G0038840-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAAVDVEDLLVRVKTGAEDELAAVAGRWPRWPGTAGSGRTTTRTGSSSRRCSRASLPRAQPTPGSACWPRSGASRAASPARASTFASLDAPQERLASIEALSSIVRSLSRDVDERTEAIAVLLDLSDIPQVRQRIGRIKGCIVMLVTLRNAHESGTVDDAEKLLSILSSNPQNVLLMAEAGYFLPLIQYLKQGSDMNKVLMATAISKMFLSEHMKSSLGEDGAIEPLVDMFKYGNLEAKHSALGALRNLSSSLQNAEILINSGVTGPLLQLLFSVTSVLMTLREPASAILAAIAQSERILFHKDVAPQMLSLLNLSSPVIQLHLLRALNSISGHANAKRARRKIRQNGGVQLLLPFLTEKNVDIKIAALHLMFHLSKDSSQELAEQFRETHLDILVKIISSPTSGDEKAAAVGILSNLPVTDKKVTEILMQANLIPTLITLFEANIAASVTPQRMWLLEGIAGVFIRFTVTWDRKLQSSAVGYGVVPCLVKLLSEGSVDAKSKAATSLAQLSQSTMALRKSKSPRWLCVPPSAESYCIVHSCQCTVKSTFCLVKAGAVNPLVQILEGEERGADGAVLEALGTLMQDEIWENGSRVIEKASGIHALLRIAEAGELSSQDKAIWMLERMFRLEAHRERYGEIAQALLIDLAQKGDPALKPMIGKILAHLELLQTQSSYF >Sspon.01G0024190-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:85232058:85238157:-1 gene:Sspon.01G0024190-1P transcript:Sspon.01G0024190-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATALARRGAAALARWRGMCSSSASAPAAGRAAALSSEELMRMERDCSAHKALIQVMILVQEVYLFEEQIVVFNKLVTHNLMKWQKLSDDRSIVVVTMLNASGYHPIPMVFSKGEGSHIVDPEGNKYIDFLSAYSAVNQGHCHPKVLRALIEQAERLTLSSRAFYNDKFPIFAEYLTSMFGYDMMLPMNTGAEGVETAIKLARKWGYEKKQIPKNEALLVSCCGCFHGRTLGVISMSCDNDATRGFGPLVPGHLKVDFGDIDGLKKIFEEHGDRICGFLFEPIQGEAGILGKALGAGVVPVSAVLADKDVMLCIRPGEHGSTFGGNPLASAVAVASLKVVRDEGLVERAAKLGQEFRDQLQKVQQKFPQIIREVRGRGLLNAVDLNNDALSPASAYDICIKLKERGILAKPTHDTIIRLAPPLSIGTITCSEPETVRQLIPKPYMAFSPEELAEASKALSDVLEHDLPQMQKQIKKPESEAEKPVCDRCGRDLYG >Sspon.05G0023590-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:7882715:7884968:1 gene:Sspon.05G0023590-1B transcript:Sspon.05G0023590-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPLDVEAGGNTISADDAVAAAADMVEATHDVAKLRRVLVGGGVGKAAAALYLMLFRAPAGLFLLSEPLFRTYYCVLAAIVILGVAEAWTGLWLSHDDDDDDPAPPPRRRALADAAAAGHDDAKLRRALVGGGTAKAAAALLLALSSSRSPPAAGVFLRGGGALLCAYYGVLAAVALFGGLEVAVGFWVAGDPDRRRGWGRRVIWVSVVPLVVVAGLGGFAVLK >Sspon.02G0014210-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:37836665:37840417:-1 gene:Sspon.02G0014210-1A transcript:Sspon.02G0014210-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPNFSVSSIVICLHIFGSLGNTAGVDLLKNVRVQAIVGPQTSTQAKFLAELGNKTSVPIISFSANSPSRSSSQTPYFIRTAWNDSCQAEAIASLVQKYNWREVIPIIEDDDSNTRFIPDLIDALGHVDTRVPYRCKIHPSAGEGEIKHAISSLKENWTSVFVVRMSYQLALKFFQLAKDEGMMGQGFVWITAYGLTDIFNVVGYPALDVMEGVVGIEPYVQETAKLDKFRQRWREKYRSENPGTSINEPITSGLYAYDTVWAIALAAEKSGYVNSDFVLSETNNGSTDFDKISTSRSAEKFRDAFLKVNFTGISGQFVIQDMQLVSTTYKIINVAGGERRAVGFWTPGLNISEFLSEKTPRGWLLPVNKELKIGVPVKPGFSSFIRSEDGIPKGFCIDVFEEVIGKLPYKVPKHYVEFGNGKGESNGTYDELVYKVYLKEFDAVVGDITILANRSLYVDYTLPYTESGVRMLVPVRDRRQKTAWTFLEPLTADLWLGTGAFIVFTGFIVWFIEHRTNQEFRGPPASQIGSVFYFSFSTIGEDCEQFIKNCSSCLAFCGANIAAELYCKLKLNSHGRTTSTTVTNIDEVIRRGDYVGYLNDSFMPELLKRLKINETKMIAFSSPEEYNYALSTRKVAVIVDEIPYLKVFLSKYCHKYTMVGPTYKFDGFGYAFPRGSPLTPEISRGILELASNGRMDELEKQLYGDTSCPDKDDSQTSSSLTLHSFLGLFIITGTTSLLALILHVIITLYDHRSHWINGSGQISWHELLAILFNIFHERDNNSSNTPEEEEPGMEDIDPTTAESPWSMSNHIIEHVDSDTDTGSTPEEGTPGREVSNQDPGPPSFAYMHSEGAME >Sspon.07G0005780-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:13277389:13279544:1 gene:Sspon.07G0005780-2B transcript:Sspon.07G0005780-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPSSVHWLSLVGSVWLQTINGPNTDFPVYSSQLKEIKGISQVRLNFLAFASDAGKLFGWFAGVAALHLPLWVVALTGATFGLVGYGVQFLFLDRAGLAYWHLFVLTSLAGNGICWINTVCYLLCIRNFPSHSRVAVSLATSYLGLSAKFYTTMAETIPRAARARYSTTEVYLLLNAVVPMVVTLVAAPSLRVVDVKDKDKDRSKRTEAPFLAMFVITLATGACAIVGSIGAKSIGLSSREHMVSLYVMLALPLLIPVWLRVWESTAKIRETMWPENRVHDHDSDGPETTAVSASVSVVEIEAEEVEQSRSSQEEVGGLRLLRQLDFWLFFFSYMFSGSLGLVFLNNLGQIADSRGLADASTLVSLSSSFGFFGRLLPAFLDYYTAKSGYSLSRTASMAWLMAPMPGAFLLLLHPKDMFLYASTAVVGTCTGAITSVAASTTNELFGTKHFGVNHNVVVVNIPLGSLCFGYLAAFVYQRGAHGGNRCLGAACYRDSFLLWGATCALGTALCTVLYARSRRSAAGRLPR >Sspon.03G0012830-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:35605058:35610910:-1 gene:Sspon.03G0012830-1A transcript:Sspon.03G0012830-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding PMAWRRVLTQIARHRPTKAIYNELVASSPLGALQSDITAGARIRNLQERNQSSYIGSLARRVRDLESPSETSLLKEIYRSDPERVIQIFESQPSLHSNPAALSEYVKALVRVDRLDESALLKTLQTGVASSTRGEESFSSIPALIGAGQVTKDGALGTANAPIHMVTAETGQFKDQLWRTFRSIALTFLLISGIGALIEDRGISIGLGLNEEVQPSMESSTKFSDVKGVDEAKSELEEIVHYLRDPQRFTRLGGKLPKGVLLVGPPGTGKTMLARAIAGEAGVPFFSCSGSEFEEMFVGVGARRVRDLFAAAKKRSPCIIFIDEIDAIGGSRNPKDQQYMKMTLNQLLVELDGFKQNEGIIVIAATNFPESLDKALVRPGRFDRHIVVPNPDVEGRRQILESHMSQILKSDDVDLMIIARGTPGFSGADLANLVNVAALKAAMDGAKAVTMNDLEYAKDRIMMGSERKSAVISDECRKLTAYHEGGHALVAIHTEGAHPVHKATIVPRGMALGMVAQLPDKDQTSVSRKQMLAKLDVCMGGRVAEELIFGDTEVTSGASSDFQQATAMARAMVTKYGMSKQVGLVSYNYEDDGKSLSSETRLVIEQEVKNFLENAYNNAKTILTKHNKELHALANALLEHETLTGAQITNILA >Sspon.02G0028190-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:98583836:98586489:-1 gene:Sspon.02G0028190-1T transcript:Sspon.02G0028190-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGGKMNSSGAGGGVNDGAGGGGDGTAGGGGELTGAGGGGELIGAGGGGDFTEGGGELTGVGGGGEVTGGGGGELIGAGGGGDFIGGGRDLTRVGGGGEDFTGGGGELTGTGGGGDFTGGGGELTGAGGGGVFTGGGGEITGAGGGGDFVGGGGELTGAGGGGVFTGGGGEITGAGGGGDFVGGGGELTGAGGGGDFMGGGGEITGAGGGGNFTGGGGELTGAGGGGDFTGGGGELTGVGGGGDFTGSGGELTGAGGGGDFTGGGGELTGAGGGGDFTSGGGELIGAGGGGDFIGGGGELTGAGGGGEFTGGGGELIGVGGGGDFTGGGGDLTGTGGGGGDFTGGGGAFTGVGGGGDFTGVGGDGDGGGELTGTGGGGDLIGGGGELIGAGGGGDFTGGGGELIGAGGGGGLTGRGGGDFFGGCFSGDGAGGNGCGLTSAGLGTTFFAGGAGPLEHTFVRQSTGCASTGAHSSDGFCSGRPPSRQLPLSSSATGESSDGMHASASTLKKLCAKLKLARAGSLQTRSATAPASWFRDTSSCSNLRANERVPANVHHRGVDELGELRRDAAIEAVVEKHELVERVGHAADAARDASDEGVVREHHHGGRRVAEVLRDEADEAVAVDEDGVEVLVEEVRRQGAVEVVEPEVEVLEHGDLQHDVGEPADEAVVAHVELVEEREAAEALGDDAAEAVGVDVEEGDIGEHAELSGEVPRDVAAVEVDACDDGGSGVVEGRDARDAEVGAHVCSDPAAGEVLGVGVHGAAPGLERDVRTAQVIVGEGDVHVDVELEIVGEVAIALPQGQQLPPCNVGRLGVRERGCRGCREQDGGEVDESEAAAVVPAAAAQANHRGRGAAGGLHCWRGRGSAGSHPSQGFL >Sspon.01G0042510-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:66099772:66102753:1 gene:Sspon.01G0042510-1B transcript:Sspon.01G0042510-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTHPPAKNRSPKIEAAGETSPSDWFPCRGLFAGFLPLFFGDVGGACGMVAAAPDALVTFSTTEASHVGISTTEAALVTFSTTEAAHVGCWASERRRGYVGAAGWRSGGGGIGGFGGCRMVERGCGTAELRDGGGCETAELRDGGGCGVDLGAIVWAKWRIGEFGGTVACKTLEWSPGGRGIMVNSLPNIGGGKAARRENFRARAEIVEGTAPRSLWPELPAPAVLRPPEQTRFRREGAQNSAATLACSPVAVVPRAFAGGDAREEGVRARIPRSPEQTRRGRSARSPRHQLPGGGSSGQDPTVAGADALIDDAGCHDGNLSLTAAAEDADALIGYGWITAHLLEKETKLYAKDAALNELAARKTAGRLNFSMNTEMVMLKTEMVMIWPVIT >Sspon.02G0042180-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:81487647:81492773:-1 gene:Sspon.02G0042180-1B transcript:Sspon.02G0042180-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proline--tRNA ligase, chloroplastic/mitochondrial [Source:Projected from Arabidopsis thaliana (AT5G52520) UniProtKB/Swiss-Prot;Acc:Q9FYR6] MASLLRLPSLLSPSKPLLRRRLPAARLAASAASRGQASATAGAAAPAAAETRGGDREGQVTPRSADFNAWYTDVIAAAELADYGPVRGTMVIRPYGYAIWEAIQDYLNVKFKETGHSNMYFPQFIPYSFIEKEASHVEGFSPELALVTIGGGKELEEKLVVRPTSETIVNHMFTKWIHSYRDLPLMINQWANVTRWEMRTKPFIRTLEFLWQEGHTAHATLEEAEKEAMQMIDVYTKFAYEHAAIPVIPGRKSRVETFAGANRTYTIEAMMVTTSAKTSRAFGTQFMDENGQIEHVWQTSWAISTRFVGGIIMTHGDDAGLMLPPRIAPIQVIIVPIWKKGDEKASVLEAVDSVQKILKEAGIRVKVDDSELRTPGWKFNHYEMKGVPVRIEIGPRDVTNKSVVVSRRDVPGKQGKEFGVSMEPSILVNHIKGRLDDIQASLLQKAIKFRDSNIVDVSSYGELKEAISEGKWARGPWSASDANELKVKEETSATIRCFPFEQPEGTKKCFMTGNPAEEVAIFAKSY >Sspon.01G0014320-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:40375913:40376728:1 gene:Sspon.01G0014320-1A transcript:Sspon.01G0014320-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTKCTARKSTGGKAPRKQLIAARKRVPVIGGVKKPRRYRPGTVALREIRKYQKGTELLIRKMPFQRLVREIAQLHKSDLRFQSHAILALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDVHLATRIRGERH >Sspon.01G0037680-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:24773643:24775763:-1 gene:Sspon.01G0037680-1B transcript:Sspon.01G0037680-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPDATALSEIETNIMDSEVQIVESSFIVPNEPTPWAGLCLSPLDLILANRGHTPIVYLYSSSNVAAAADDFFDVARLKEAMAKALVAFYPLAGRLGMDNHGRAEITCNGEGALFVVAQADLTVDDIKDDFSPSPELRRLFVPRVEPTSVILAIQVTFLKCGGVVLGTALHHVAIDAFSAIHFIQTWSAFSKHGNHATVELPCHDRTLLRARSPPAVHPDALSRFYPKLTFSDPSGPLAVEVFAISKDQVASLKSLCGGTSTFCAISALVWQCALIARRLPPDSEACLTFPASIRRKVRPPLPNRYFGNASVRMGVTGAVRDIASEALASVAGRIKGAIDRMDDEMVRSAIEYYDEMAMAETDSRPVKGTLLQTDLQIISWLGMPVYDADFGWGKPWMMLRAESIRGGFVYLMNDGPADYDAGVRVLICMEAANMKELERLIYEKL >Sspon.02G0026530-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:102851970:102856728:-1 gene:Sspon.02G0026530-2B transcript:Sspon.02G0026530-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAEWDSRSEASPTGDWPPVAAASPVKGKAAMPDSDAGASASGSSEAKVDDGNIQEAESSLREGLSLNYEEARALLGRLEYQRGNVEAALRVFDGIDLQAAIQRFQPTLSEKPLSKRNNKLRSDSSNSGSQHAASLVLEAIYLKSMSLQKLGKAMEAAKQCKSVLDAVESIFQCGIPGVMVEQKLQETVSKSVELLPELWKQAGAYQEALASYRRALLSQWNLDDECCTRIQKRFAVFLLYGGVEVASPSLASQTEGSFVPRNNLEEAILLLMILLKKWFLGKTHWDPSVMEHLTFALSLCGQTSILAKHLEEVLPGIYPRTERWYSLALCYFAASHNEAALNLLRKSLNKNESPNDIMALLLAAKICSSSYLLSSEGVEYAKRAVKDAESSDGHLKSVALHVLGSCLSKKSKVALSDHQRSLLQTEALKSLNEAISLDCHNPELIFDMGIEYAEQRNMHAALKCAKEFIDATGGSVSKGWRLLSLVLSAQQRYSEAEVVTDAALDETAKWEQGPLLRIRAKLKVVQSLPMEAVEAYRTLLALVQAQRKAYGSAKNGTEDNEDKVSEFEVWQGLANLYSSLSYWRDAEICLQKAKTFKTYSATTLHAEGNMHEVHEKIQDALAAYFNALSMEVEHVPSKVSIGALLSKQGPKFLPVARCFLSDALRLEPTNRMAWFYLGEVHKQDGRLADAADCFQAASMLEDSDPVESFRSL >Sspon.07G0006330-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:14248390:14249061:1 gene:Sspon.07G0006330-2D transcript:Sspon.07G0006330-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding KHAMAEEKKKHKHKHKEKEKEKQSGGTGTEQAAHFKPCADVKGIRFGGQFIVKSFTVRRASPLELLRLLDIPPSYLSECQSLPFPSTTTYMPTSFTILAHQAWHTLTLGLGTKKSKVVLFVFESESMKAAVDQLWPAMIPLGDVNKKLIRGLTGSEMARFKFRKGCLTIYVYAVRRLGAAGFMRTDDLRRILQSVVELKDFLDHTAMLAIPSQKSITLQSRTAV >Sspon.05G0019410-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:83336720:83337842:1 gene:Sspon.05G0019410-1A transcript:Sspon.05G0019410-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSRAVIGLLVAASSLAVALSDGGATLFSYTEGSSDGPQNWGKLSPAYKACGQGKQQSPIDIVTKQAIPNANLDSLNRTYGAVSATLINDGHDITLAFGGKVGTITVNGKVYNFDKMHWHSPSDHTINGQRFPLELHLVHKSADGAVAVIGILYQLGAPDSFYYQLKRQLVEMANDQCHFGEEESHVEVGLIHLRSLQKRTGSYFRYTGSLTVPPCTENVIWSVLGKVRQISKEQLELLKAPLPGSDNRPTQPLNGRKVQFYNPSNSTISFQM >Sspon.08G0006400-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:17105849:17107387:1 gene:Sspon.08G0006400-1P transcript:Sspon.08G0006400-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVNRYVSTRHHIEGAPTEADFEVKEETVRWAPDSGEVLVRNLYLSIDPYQLNRMKRSSASHLAVDSILPGQRIAAYAAGEVVASACEEYKAGDVVAGVLGWEDYTLFKPSPAVLMSKVADAAGFPLSHHISVLGTSGMTAYGGLFEVGKPVKGEKVFVSAASGSVGSLVGQFAKLAGCYVVGCAGTKAKVDLLKDKLGFDDAFNYKEEPDLKSALKRYFPDGIDVYFENVGGEMLEAALANMNTYGRVALSGVIAEYTGGGRRAVPDLLDVIYKRITIRGFFAWDFLPKFAEFNAIIAGWIREGKVKVVEDVSDGLESVPSAFAALSSAARTLARSSLSWR >Sspon.04G0009150-7P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4B:23371719:23373245:1 gene:Sspon.04G0009150-7P transcript:Sspon.04G0009150-7P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:TT7 [Source:Projected from Arabidopsis thaliana (AT5G07990) UniProtKB/TrEMBL;Acc:A0A178UNZ9] MDVPLPLLLGSLAVSVVVWCLLLRRGGDGKKGKRPLPPGPRGWPVLGNLPQVGSHPHHTIAEVVVAASARVAAQFLRAHDANFSNRPPNSGAEHVAYNYQDLVFAPYGSRWRALRKLCALHLFSAKALDDLRGVREGEVALMVRELARHQHAPVVLGQVANVCATNTLARATVGRRVFAVDGGEEAREFKDMVVELMQLAGVFNVGDFVPALAWLDPQGVVGKMKRLHRRYDDMMNGIIRERKAAGEGKDLLSVMLARMREQQPLADAEDSRINETDIKALLLNLFTAGTDTTSSTVEWALAELIRHPDVLKKAQQELDAVAGRDRLVSESDLPRLTYLTAVIKETFRLHPSTPLSLPRVAAEECEVDGFRIPAGTTLLVNVWAIARDPEAWPEPLQFRPDRFLPGGSHAGVDVKGSDFELI >Sspon.01G0044670-2P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1D:79263487:79264071:-1 gene:Sspon.01G0044670-2P transcript:Sspon.01G0044670-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKSPRALQELMTAVDAGHGADVNVDSASSDSSKLPGPRRRPTYNGRGTGGARKSAPAVAVVGAATDASFEFSAVVSYSSASPASMVFSDGQLRAHQFPAVRSAASAGSSQATSPVRSSSVGSSYSSTKQQQAGVTGSKKRVSFAAEGADKAGGRQGKKSGGLLGCMGSVCGLSSRNEAVEPVARNDNRKVVAF >Sspon.04G0006120-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:16890311:16890622:1 gene:Sspon.04G0006120-4D transcript:Sspon.04G0006120-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VGARQGRQGAGQGRRQAPPQGAARQHPGHHQARDPEAGEEGRRQAHLRAHLRGDPRRAQDLPRERHPRRRHLHRARPPQDRHRHGRRLRAQAPGPHPLRLRRLG >Sspon.01G0024750-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:88123946:88130583:-1 gene:Sspon.01G0024750-1A transcript:Sspon.01G0024750-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQDPWAPLLPIPCAPLLPQRQATARLLSSLPRRPMTARPVSSLPPHPLARLLLSRARPSSFLSLDSMAIDEGKADWDALFEPYPFFESYKNYLEVNITARNEDDLRSWKGWVESRLRTLVLKIERYSHEMILAHPYPKDFSDKSRPLHCFYFMGLWRKQTTQTQEAEQFDIRGIVNEFKNTICAYQQWKEGMDIEVSHVKRKEIPLFVFPGGVRPSRSSRTAYKNSRTIPTCDVSADDQVVNLLGIASCSDAQPVPCKGSYMKQPEPDCAGGSLLPGSTSVLPPSLPNKVALNGSANFHAESVEREHPEHYQESKFATVQNVVCHVVKQPNSLLPNSNNGWQLYGSDSSLSSAQRECGGSAANNLLNLSPAIPATPDELDELVSHHQVNANQKDVNAERRPSLEIGSENNLEQIYSLRPQDSNNNLKRKANQELEPLELTAPSIGAVLQSTATAPRKPLRLRLTTLGKPKPAEGTS >Sspon.01G0035510-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:11379233:11381864:1 gene:Sspon.01G0035510-1P transcript:Sspon.01G0035510-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTRASNRSYGYPDNTQLPYHNGNAMHVGGNGNYYVQQNHDNVNYISSDDGSQSSNSRAQGFQAQFCTLDSSSANCVYPAHSSTSSQSISGSPLSQQESHSDHAYGSSPSASCVTQVPTWTLKDLENVMLGPDSDIGSPDSSFLPGTALYDNNWRELLGIQTGDLRQLIIACGKAVDENAFYIDALMSELREMVSVSGEPIQRLGAYMLEGLIARLSFTGHALYKSLKCKEPVATSSELMSYMHLLYEICPFFKFGYMSANGAIADAVKGEDFIHIIDFQIAQGSQWMTMIQALASRPGRRPYLRITGIDDSNSAHARGGGLDMVGQKLDRMAQSCGLPFEFNAVPAASHEVVFEDLCVRPGEAIVVNFAYQLHHTPDESVGIENHRDRILRMVKSLSPRVVTLVEQEANTNTAPFFLRYMETLDYYTAMFEAIDVACPRDDKRRISTEQHCVARDIVNLIACEGAERIERHEPFGKWRARLAMAGFRPYPLSPVVNRTIKTLLDSYHSYYRLEERDGILYLGWKNRKLVVLSWHCVQHMNQHESKVEPKLQYEDCTIINKLVASLLFVAQLCNSPMLTIISD >Sspon.03G0035170-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:60643606:60649017:1 gene:Sspon.03G0035170-2C transcript:Sspon.03G0035170-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRPSERVEVRRNRYKVAVDAEEGRRRREDNMVEIRKNRREESLLKRRREGLQAQVPAPPSGVEKKPPAMVSGVYSDDNNLQLEATTQFRKLLSIERSPPIEEVIKSGVVPRFVQFLTREDFPQLQFEAAWALTNIASGTSENTKVVIDHGAVPIFVKLLGSASDDVREQAVWALGNVAGDSPKCRDLVLANGALMPLLAQLNEHAKLSMLRNATWTLSNFCRGKPQPSFDQTKPALPALARLIHSNDEEVLTDACWALSYLSDGTNDKIQAVIEAGVCPRLVELLLHPSPSVLIPALRTVGNIVTGDDMQTQVCIIDHQALPRLLNLLTQNHKKSIKKEACWTISNITAGNKDQIQAVISAGIIGPLLQLLQTAEFDIKKEAAWAISNATSGGSHEQIKYLVAEGCIKPLCDLLVCPDPRIVTVCLEGLENILKVGEHDKTMGGATADGNLFAQMIDEAEGLEKIENLQSHDNNEIYEKAVKLLEAYWMEEEDDAMATAGEAAPAVFDFSQGGNPPAGGVFNQKGVN >Sspon.06G0002340-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:4642655:4645031:-1 gene:Sspon.06G0002340-4D transcript:Sspon.06G0002340-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GSFQSAPPPPACNCNSVDHRAALRLPDSQPLNTVDQQQRLPQAQHHLRRWLRRTGHCSPVTKGCLLWSFFAAGFFCPSAPCDNGAFLFAVFIVYTNSGAGITSVVNGIPQVVWSANRLHPVKENATLELTGDGNLILRDADGAGVWSSGTAGRSIAGMMITELGNLVLFDQKNATVWQSFEHPTDALVPGQSLLEGMRLTANTSATNWTQNQLYITVLHDGLYAYVDSTPPQPYFSRLVNKNKTGNHPTQVLCTIHTDPDSSIPLPAAKSTQYMRFENDGHLRLYEWSNTEAKWVVVSNVIKMFPDDDCAFPTVCGEYGVCTGGQCSCPFQSNSNSSYFRLIDRRKPNIGCRPLTPISCQEIQHHELLTLKDVSYFDINTSHTIANARNSDDCKQACLKNCSCQAVIFTYGQNESNGDCLWVMRVFSLQSIQPQILHYNSSAYLKVSPSATTANKKKAILGAIIGGVTGIVLVLIVVTLYVQRRRKYHEIDEEFDFDQLPGKPMRFSYAKLRECTEDFSQKLGEGGFGSVFEGKLNEERVAVKRLESARQGKKEFMAEVETIGSIEHINLVRLVGFCVEKAHRLLVYEYMPKGSLDRWIYYRHNNAPLDWSTRCRIILDIAKGLCYLHEECRRKIAHLDIKPQNILLDDNFNAKLADFGLSKLIDRDQSKVVTVMRGTPGYLAPEWLTSQITEKVDIYSFGVVVMEVICGRKNIDNSQPEESIHLINLLQEKAQNNRLIDMIDKQSHDMVTHQDKVIQMMKLAMWCLQHDSSRRPLMSTV >Sspon.05G0003250-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:970052:987378:-1 gene:Sspon.05G0003250-2C transcript:Sspon.05G0003250-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPELAAGDGSYDFHLRSLSAASRDSAAASDPASDPNLLQSVRRVCEMCRTAKEAKDEMVARAFPVMGKLFQRCAAAPTQAVASTGVLLLTILQFFLDFGEAVLHDADGSLKTFFRSCLSREFADPVVAERTVEFLVTNKTKILSSFPNLIPQFYPLLLKLIASNGERLENKFSEFYPLLLKLIASNGERLENKFSEVLPLMMSAGSFLPLFLSLMDLPMLVVALEKVERSSGTLIGSSSDDSGPLDLADPMFLDLLKDENDGIAAKHWISPTISSTLQAAFNSPQSDRLKQSLEMAPRFLTLYFATALRDVNDSLLCALIPVVMSRYAAMFPDKVFSFKVRKRLSDFILAAFQRSPDIIAVLKTELALHLCWAIGEHGAGGIDRKDVARELFENLELLLYENLATSRVVLNQEPGSDSMGASSRKSSQARLLCFVVTAIAKLATCHSELLPRARVSLGKVARSPTSDRRVCQRACDYLGLMNEPAICLSVLGPSTAQGNGPGIVNWSEGGTKMGRPEGSCWRWRGMSREGSSRRGRGTHLGE >Sspon.02G0022680-3C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:78013789:78015455:1 gene:Sspon.02G0022680-3C transcript:Sspon.02G0022680-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETQHQQEGGAGASPDLHVVVFPWLAFGHMIPFLELSKRLARRGHAVTFVSTPRNAARLGAVPPELSARLRVVALGLPDVEGLPDGAESTADVPPEKVGLLKKAFDGLAAPFADLVTALACADAAGGSGDAVSGVGFSRKPDFIVLDFAQNWIWPIAEEHEIACAILLILPASILAFLGPRHENETHPRTTTEDYMVQPPWIPFPTTMAHRRHEAEAIAAVFRQNASGVSDMDRFYEMQRPCCRLIVLRSCPEAEPRLFPLLTDLLAKPVVPSGLLLPDEVADDDDDAAPGGGDDGDDQSFADVMRWLDEQPPGSVIYVALGSEAPVTADHVRQLALGLERSGARFLWAVRRPAGHPSGGALPLLPDGFEARVAGRGVARAGWVPQVRVLAHAAVGAFLTHCGWGSTVESLFRFGLPLVMLPFVADQGLIARAMAAHGVGVEVPRDEHDGSFRGDDVAATVRWVMAEEEGRELARNARELQKVVGDRARQEQYVDDLVEYLQRYK >Sspon.01G0006210-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:16737002:16745274:-1 gene:Sspon.01G0006210-1P transcript:Sspon.01G0006210-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFCSNPWIGGKIQLILNSANLVDGKVQWEIEYSHSERLKVEAYWSLMLWSHLTYGAVWREFVWGGIAGAFGEGMMHPVDTLKTRLQSQAIMTGAKAQKNIFQMVRTVWASDGLRGFYRGISPGVTGSLATGATYFGVIESTKTWLEIANPNLSGHWSHFIAGAIGDTLGSFVYVPCEVMKQRMQVQGTQKSWASAVAKGSISQTHGTQMYGYYNGMFHAGCSIWRDHGLKGLYAGYWSTLARDVPFAGLMVTFYEAMKEVTEYGKTKYLPHSDLDISNSCEGLVLGGLAGGCSAYLTTPLDVIKTRLQVQGSTSKYNGWLDAITKTWASEGVRGLFKGSVPRIIWYIPASAFTFMAVEFLRDHFNDKGFPGSVTPDYVPFQMWDTLQGLSTYIRAMLSTQVKFSILSLQPPDSLLVSEGLDRNAWRDIVHLLSGMLMDLLSPLFPSSLIVIMCLGSLSRSFTGVASGATRAALTQHFALAKNAADISAKEGSQETLATMLGMGLGMLLAHITRGHALSVWTSFLSLTVFHMYANYKAVQSLSLTTLNYERASILLQYFKECGEVLAPQKVSQQEHILPFWSTWRKLNTIKLPHERVHLGAKASMLTHSDMLVIAKTRSHYENTNYLLLDKQGSVYVFIHKQATPADVLRSFVHGLVLASSTQNSKSQHLEARRWMDEMYTNFISKLQSEGYSTERLLSHSILWRAHWLHAP >Sspon.08G0026130-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:17197993:17198832:-1 gene:Sspon.08G0026130-1C transcript:Sspon.08G0026130-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSKWWEQAALDFPPPQQHQQPQPHQAVPMPPALATAPAAPTAPAGASPEGGKQQQGQQQQAAGAAAGAIVPLRRPRGRPLGSKNKPKPPVIITRDSPDALHSHVLEVAPGADVTACVAEYARRRGRGVCVLGASGAVGDVAVRGAAAPLRGRFELLSVTGTVLPPPAPPEASGLAVLVSAGQGQVLGGCVVGPLVAAGPVTIFAATFANAVYERLPLADAPELDVKPDLSTATSTGGQDVQQPQLPMAPSHQQPPAAMGAGGYADHRSPPYPWGGGV >Sspon.06G0009900-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:53369411:53371126:-1 gene:Sspon.06G0009900-1A transcript:Sspon.06G0009900-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGEEILDPGRPTADAVYSYPGGGGSRTTPEAHDDGVMLLVLGPGASSPSTDGPVTYHDVATELLITSARPPATRMSKVTYTDWSTAHVPSGASVAPSQSVVGAYAYPGVVMAMEHSGSSAPAPATRSSESYTDMLMAHLSSDMSPAADLGSERLPPTGTGTAAAAAATEPGGGYYYNNSAIALPFAAQVVDEADSDGLVAAQDEVAGAVAEPGRGGGLGGLGLAATSLAMAGLIAGGMDTPVTAIALFGMLIAGVILVTVRVFHA >Sspon.02G0033670-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:8150095:8153847:1 gene:Sspon.02G0033670-1B transcript:Sspon.02G0033670-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIVSTRRAEACWPPSARAAAEGEWQEVKRVAGPPFEGPICPVLTNLQKIFLSTKLIVLFPIVPLGPMRAHRPDSTVQTTPLAWIKSPSETHRDCFKKEFWKIGKGKYNLDT >Sspon.02G0041490-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2B:75916759:75917121:-1 gene:Sspon.02G0041490-1B transcript:Sspon.02G0041490-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPASSVAAELWRPPHHHHLASAAGRPPHLEASSSVVTAAARPGSEGGSSSRRRPRRDAAAPSSEEEPSKLVSTSGTAASSSAAGGRDSVRLPPPILLPSRAALARCVICVALVGLRCWV >Sspon.03G0019460-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:60694895:60700957:-1 gene:Sspon.03G0019460-1A transcript:Sspon.03G0019460-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDPPALAPPEPEPEATPAPPQRLLRLRCAVQPYEWGQRGAASLVARLADQNPDPARPYAELWMGTHPSGPSTLLGDGALLRDWLARNPDALGPAVAARWGGDLPFLFKVLSVAKALSIQAHPDKKLAEVLHALRPSTYKDDNHKPEMAIAITEFRALCGFAAIEELKDVLRTVPEIKGLIGHEDTGKLMNMKESDGVSEVKSSLQSAFAKLMMASKDMVSEAVAKLISRLNTDSKIRTLTDKEQLVLSLERQYQDDVGVLAAHFFNYVKLSPGEALYIGANEPHAYLSGECIEIMATSDNVVRAGLTPKYIDVQTLCSMLTYKQAFPEILRGVPVQPHVRRYTPPFNEFEVDCCLVPPGELVVIAPVPGPSIFLVMTGEGEIQLDSMSDGEKAKEGDVFFVPAYAEVKISACGPESVQLYRAGVNSRFFS >Sspon.04G0016530-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:69506457:69516662:1 gene:Sspon.04G0016530-4D transcript:Sspon.04G0016530-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribonuclease J [Source:Projected from Arabidopsis thaliana (AT5G63420) UniProtKB/Swiss-Prot;Acc:Q84W56] MVALASLSSLCPCGVARRRAASASPSSSAAVSISCCAVATPSSGKGPQESRTPRRRLRKTEGVTKSLEDSVKRKMEQFYEGVDGPPLRVLPIGGLGEIGMNCMLVGNYDRYILIDAGVMFPDYDEFGVQKIIPDTTFIKKWSHKIEAVIITHGHEDHIGALPWVIPALDSTTPIFASSFTMELIKKRLKEFGIFLSSRLKVLRIRKRFQAGPFEVEPIRVTHSIPDCCGLVLRCGDGIIFHTGDWKIDESPVDGKIFDRQALEELSKEGVTLMMSDSTNVLSPGRSISESVVAGSLLRHISEAKGRVITTQFASNIHRIGSIKAAADLTGRKMVFVGMSLRTYLEAAFKDGKAPLDPSTLVKAEDMDAYAPKDLLVVTTGSQGEPRAALNLASYGGSHALKLSKEDVLLYSAKVIPGNETRVMKMMNRLTDLGPKIIMGKDSGLHTSGHAYREELEEVLRIVKPQHFLPVHGELLFLKEHELLGRSTGIRHTTVIKNGEMLGVSHLRNRRVLSNGFVSLGKEDLQLMYSDGDKAFGTSTDLCIDERLRIASDGIIFVSMEIFRPQKEHGLAQSGLKGKFKITTRCLWLDNGRLLDALYKAAHAALSSCPVNCPLSHMERMVAEILRKMVRKYSGKRPDVIAVATENATAGFPEHLDAKSSGNFGPSSATSHLSRSPATSLEGSYKTHPDNPEVDAEETLPEAVRTTPDDATTSSNGEAFFSSDLHQPKTLEHFWESFKSPTAVKIARIVNGGNKQNLGKISIMDKDSPIQSAPAPVKSSKKNKWKPEEIKSLIQMRGEMNEKFQSVKGRMVLWEEISDTMLNQGISRTPAQCKSLWTSLVQKYEESKKDTESMKTWPYFSAMDRILSQQGEMATKG >Sspon.03G0011860-3D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3D:36728474:36728673:1 gene:Sspon.03G0011860-3D transcript:Sspon.03G0011860-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTAAGYGCCSAGLRPSALLPGILSTRREPPTPPRVSLAASSSKFRAKAPRCAPFLVLLGSARFA >Sspon.08G0012040-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:51684178:51690296:1 gene:Sspon.08G0012040-1A transcript:Sspon.08G0012040-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lysin motif-containing protein, Pattern recognition receptor, Peptidoglycan and chitin perception in innate immunit [Source: Projected from Oryza sativa (Os06g0208800)] MPTPATALLLFLAAAAAFRGATAKTTIEPCAGADACPALLGYTLYADMKVSEVAALFSADPAAVLAANALDFASPGAANRILPKGTPLRVPTRCACADGVRKSVAVRYAARPSDTLGSIAEVVFAGLPSADQIRTANGLAAEDPDAPLSPGQKLVIPLPCVCFNSTDNNLPAVYLSYVVQVGDTVESIAASHTTTVTDISNVNAMGSPIVAPGDILAIPLPACASAFPNSASDYGLLVANGTYALTAGNCVECSCGPADLNLILGNVTAQPTTGGCGVLSCNYAGYVNGTIATSTTSIPPTHGGANCVKPWVLFSITCTRTWRRWWCRPRRFQRFSFKWACWERLASACDKSTLPFPPHFHSFSDIVLADVDSCVEYCWLYLY >Sspon.05G0014510-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:5A:53832528:53833019:-1 gene:Sspon.05G0014510-1A transcript:Sspon.05G0014510-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEDKFSSPREFLAAFRSLSQPFRILSGSLRILPLARRSSSHPLGYFSIWLTPGCLDASPCPDVRATYPRTQFLFLPHTRTRHVVAPMRIDDSPCPRSTPCLPRFEAAHPVPHAHPALVAPRPALARLVCRTLAHRAPCPSASNSPRPIPQGPCRATILPTPP >Sspon.01G0038440-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:23059994:23062182:-1 gene:Sspon.01G0038440-2P transcript:Sspon.01G0038440-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRYLWMPRLVERIQAAAANANNAAGAPQQQQQPGAAADTPLSSSWQHGGADDGLYASPDELPTDACWPPADYYPAPVGDHQLLNNPAAAVPELSSTTAGSSSPSSDSGAGAQPCWLAPVGGAEWFTTACDASSAAAVLAGQQQQQSSCLLGETTWASSELPELGVADFEIGSFDVESIWSMDDNLWFTQAQGV >Sspon.08G0018280-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8B:5707190:5712282:1 gene:Sspon.08G0018280-1B transcript:Sspon.08G0018280-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VEIEGVERLDLGSRESERSRGAVEPRAGRNAVEASRRGGASYESEMGRGRGIPSSRPRTSADLSRRVKNDAVEMAIVGRWTPPTWCRWMERLRQRAVRWGRQIRTSEVDGVGRWRLVAVMARRAGKRGSMARAGVSGASVLAGNTERHHATEPRQACSAGGGLVTDADLLKALRLVADQPAQQASFLKPTERNPMCLGIPSRLQAQEQQAADGGGAVSSRRISERVKKPRPGRDGRPAGAPVRAWRAAAAIATPCACGSSRPVPWICRSRCTLYTAVARMLVSQAAPAAPVTERATVSVPGTPARRISYTSPYRGLLRLALPGETDQTGGREGRRGERKLAAGFGFRSGGGGVTPASNDDKDRNPVAMQASASSWMTAMT >Sspon.08G0000890-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:3075243:3075909:1 gene:Sspon.08G0000890-1A transcript:Sspon.08G0000890-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MINLRVIHLSHCTFSFSSPPFSSCSNLRFLLLDHCKNNDAQELGEEKEFHHHNNCSQQDDRACFRNLWVLGLSYIDWYWLLSKDMELNVKGAGSQSMMHLHHCSGAGSNTHKLLELRVVVESYGNHYGAGNLSSWHILKTIILDGCGDTEIIGSDALPLSLELFSLTGNETTKIKSISFRGCAQLKSLLLREDCFAASRS >Sspon.01G0010060-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1A:28276425:28278573:-1 gene:Sspon.01G0010060-1A transcript:Sspon.01G0010060-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MYPPIHQCSNGHTLCSGCKPRVHNRCPTCRHELGNIRCLALEKVAASLELPCKYQSFGCSGIYPYYSKLKHESQCQYRPYSCPYAGSECTVAGDIPYLVNHLKDDHKVDMHNGCTFNHRYVKPNPHEVENATWMLTVFSCFGQYFCLHFEAFQLGMSPVYIAFLRFMGNDAEAKNYSYSLEVGGTGRKMIWQGVPRSIRDSHRKVRDSYDGLIIQRNMALFFSGGDRKELKLRVTGRIWKEQ >Sspon.04G0005900-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:12840191:12843374:-1 gene:Sspon.04G0005900-2B transcript:Sspon.04G0005900-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor bHLH71 [Source:Projected from Arabidopsis thaliana (AT5G46690) UniProtKB/Swiss-Prot;Acc:Q56XR0] MSALVDALCAAPPSDAAALVYDTFNAASFLFDTAAFCDGAGIVAPAYAHQHQQQQQQQQAAVEAPAATTSAAAPTTTRVRKRRRRARSCKSREETETQRMTHIAVERNRRRQMNEYLAALRSIMPEAYVQRGDQASIVGGAIEFVKELEQQLQCLEAQKRTLLVHQHVAAAKPDATTPMHATSGTSTTKSCCADSAAAATTSNCSSSVTEDAADHAHAPPPPFAHFFTYPQYVWCHSPRNPAAAAAAAAGEEEDGRRSGMADVEVTLVETHASVRVMTTRRPGQLLSLVTGLQALRLGVLHLSVTTLDALVLYSISVKVEEGCGLATADDIAAAVHHVLCIIDAEATEQQLLAAAGPR >Sspon.03G0029270-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:14620245:14624017:-1 gene:Sspon.03G0029270-1B transcript:Sspon.03G0029270-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding KKGHEAVLSSRSRCINHAKVSLSAFAFLFSELVQYNQTQVDNITELERRLEDAGYAVGARVLELLCHREKGNRRETRLLGILSFIHSTVWKVLFGKVADSLEKGTEHEDEYMISEKELLVNRFISVPKDMGAFNCGAFVAGIVKGVLDNAGFPAVVTAHFVPIEGL >Sspon.07G0030150-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:2430984:2436147:-1 gene:Sspon.07G0030150-1C transcript:Sspon.07G0030150-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLAEPPMSSPGGDDAVDLPQRVEPVSSSSVSVAVDLPDSMLQERYATLRSISEECIEDEELLNLLRIKPNPICYDGFEPSGRMHIAQGVVKAININKMVSCGCKVKILIADWFAMLNKKMGGDLDAIRTVGLYMIEVWKSLGVKTDEVEFLWSSEEIFNRPNEYWPLVMDIAQKRSINRILRCCQVMGRSEKDDLSAAQFLYPLMQCADVFFLKVDICQLGTDQRKFYPLTSILDMLPGLKEGQAKMSKSDPSTAIFMEDDESQINCKIKKAFCPINVVEGNPCLEYIKYIVLPWFGSFDVARKEANGAVIVIRVNRTYRGMDEVIADYSSGALHPADLKPALTKALNKILQPVRDHFNSNNEAKVLLEAVKGLHAYFIACFKTSGFWDSGTVAKVLMTNLFTALLFCLTTNQTFGVLKTVTMALPHNEVKLERVEAGERAAVGLWTDIIKGAGGRDRQG >Sspon.04G0008150-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:20244130:20254635:1 gene:Sspon.04G0008150-1P transcript:Sspon.04G0008150-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPVVVHGARRVLARGWGDIDLTFALILPSLLLRMVHNQIWISAARYQTARSKHRIVDRGIEFEQLAVGSYRSLTKKMDMDMHARRDDQIILNGLLFYVGYLTIPSARHLPAWRSDGAAVMALLHAGPVEFLYYWFHRALHHHFLYSRYHSHHHSSIVTEPITSVIHPFAEHMVYHFLFAIPMLSTIYIGNASVLGIVVYIAYIDFMNNMGHCNFELVPKWMFQVFPPLKYLMYTPSFHSLHHTQFRTNYSLFMPFYDYIYNTMDKSSDQLYESSLKGTEETPDLVHLTHMTDLQSAYHLRIGFASIASRPSDSSMWYMWVLWPVAWLSMVLAWVYGSSTFVVERIKLRKLKMQTWAVPRYNFQYGLSWERESINDLIEKAILDADARGVKARCLPQCGLFLICTFWVQAKQLNGGGELFRQKYPKLGVRLVDGSGLATAVVLKSIPHDTKQVFLHAGPSKIACAMAFALCERGVQVIMNPKKEYDMLKSQIADSKASYLKHSSNHTPQIWLVDNIDDKEQKMAPQGAIFVPISQFPIKKIRKDCAYLSTPAMKIPETMQNIPACENWLPRRVMSAWRIGGILHALEGWTMHECGDAMMHTEKAWSAAIRHGFIPLTKA >Sspon.03G0002690-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:22080149:22083540:1 gene:Sspon.03G0002690-3C transcript:Sspon.03G0002690-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rop guanine nucleotide exchange factor 7 [Source:Projected from Arabidopsis thaliana (AT5G02010) UniProtKB/Swiss-Prot;Acc:Q9LZN0] MGSAGEEDREARSEAAFTDSASADGSSSSSDAASTDEWPPAARNSDTDVARQQHKHKRRAPSEMEMMKERFAKLLLGEDMSGSGKGVCTALAISNAITNLCATIFGQLWRLEPLPPEKKAMWRREMDWLLCVSDHIVELVPTWQSFPDGTRLEVQQLGFDRSVECSTTVYNGPLDAYGPLENDILNEILESFRDPEFWYVEQGIAAPDCDGSASFRTAFHRRDEKWWLPVPRVPPGGLHNKTRKQLQHKRDCANQILKAAMAINSNALAEMEVPESYLDSLPKNGRATLGDIIYRYITSDQFSPECLLDCLDLSTEYQALEIANRVEASVYVWRRRVAAKPVNGLGRSSSARSSWGMVKDMMVDSEKRELLAERAEGLLICLKQRFPGLTQTSLDMSKIQYNKDVGKSILESYSRVLESLASNIVTRIDDLLNIDELNRHAEHFTATGDAGCKITCSQTAVPSFPVPASGTPFMTAYATPSFSPAQLASPSKKERTLLTPGRRSQHSRGAGTKK >Sspon.08G0022180-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:46219318:46222288:-1 gene:Sspon.08G0022180-1B transcript:Sspon.08G0022180-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSATPLPPPGPDGAVALPPPPGTDMTGICFRDQLWLDTYPLDRNLVFDYFALSPFYDITCNNESLRSRQIHPLDMSHLTKMTGMEYLLSEVMEPHLFVIRKQKRESPEKSNPMLAYYILDGSIYQAPQLCNVFASRISRAMHHISKAFTIACSKLEKIGNVETESDAVASESKTQKEAIDLKELKRIDHILSSLKRKIGAAPPPPPYPEGYVPPSAEQEKAPDDVAASEAPPQLDPIIDQGPSKRPRFQ >Sspon.03G0015210-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:68893486:68898558:-1 gene:Sspon.03G0015210-3C transcript:Sspon.03G0015210-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVASWAVTNRDRPSAAIIVAGYTLILWLSDRESLNSSTTGTGENMDYNLGGTSPDPYGGGGGSIHLVCDHCGTSDNYNTDDADDGQFTCRTCSAVHTTQATAADPHDFPVTGNISVRRVATQPTPKLGARTPAPYPRTPQAAPVPAAAAFDDFTELSEPRDFAPGSGTWGEPEDLAVRVRWRYVRGLQVILQRQLEVLVERHQVGAFVCAVAGVVWVRWVAASRVFDGIWVPQVLKDHKAAGRENCSGSRDNKKPDEVKYESDDDMLLQRKDRRKVEFAFLRSLRMMLPVYSTLAVCFLACHIAREAILPSDLYRWAMEGNIPYVAAFTEVDRFLGSSFQDCPLDARQLFRPVRVIGAWQLEAAAGSIAQKVGLRLPSVNFYAIAQRCLKDLLLPIDRILPTHAEFMSGQCLRNYGCLKICEEGRNPSESHHDTNSSTSRKLEASNSEEFGTRELLCAIAAAYDKINTTHDYSSDLRSYLKYCKEVIFTGITFSDEENHLIEIFWDMYKAKEDDNPKDHVKSQSHSVEDIPITDGVKKRYRDGAFVEASSFSASSGHDAIQMLKSEMQDHGFHYMAPRKPRKSDGYLRYRRKRLSGGFIYVAHADYYMLLRAFAKLAEIDIRIMHISVLKLERRLACIEERIERSLNTLQNFSTGTGDELRS >Sspon.06G0024910-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:58886757:58890836:1 gene:Sspon.06G0024910-1B transcript:Sspon.06G0024910-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GQEARHLTCPLSLRLLRPFCFLLIRSDRSTRHRSARGRRNTERSSHHKIKGLFSPHSSFLETLRSRSITHRRSAHLQILRLAFRDSCEMPPEHGMPTRTAADAVVNRRPRRLFGRSSERKNPLNLQFERQVARLEARQQQQRCIIMTVIPFNFCYDFKSPSLTESASDDPSSQPTSPEESPETSSLSPSSPSILFLHLNAQDGSRRRWLDNSSRLLEDKPTTSNSMSDSDFLVNSFTKPSDNARHSSRRKSKRKSKKHRQRCRKPTDGSEAKFRESNGAAPAVDVGDCEDSTLSPKHVGGIRFEETSPSSSVKEASEEAPESDNDNEYRCCSGGSVSSASYCDEMELSRSATLCPALFGQCDSSSFRHLDNTQNSVSTGSSQETCYAGSSANCNHDTKALFIFRNERGPDPCEVTEFCSSNSGFDENWLEKSDYDSGICSPKSVGTCGGVQAAHLCSDTSSDNDFCLVISRKRARKEKKMSLWKSYEHASTFTLDRNKKYVGCSSTQMTKEVNSNDWSHRQNHVSRIHPQHGIALKNSTKNFMQRPSNVCRETQYGIPAKDSKLGTNLNHFTGPREKTCGKSTTGFDKAAQQLYLNRELSNALNSRESVRCETRSISSSEPTTPESLKGNCTSESGESTDITIGALPMQKRRLQDSVRTDDASETISGRSSPRSESSTTELMVGRSTVSSIEGSHGCQGLCNSRTHLAQTLRVVNDAYKVQVAADVLLAAGYPISDLETFIYSATPVIGRAPCMISSTCSWDQVVSNSVCQHDISNVSLKRIWEWYEEPGCYGLEVKALSNLSSKTSRNSNSEFFAYFVPYLSAIQLFGWSMNNMNHSFGVQGRELLKASNTSSSMSSHPVNEVHTLFEESNACLPESSLDVEDHGELMFEYFETEQPSFRPPLFEK >Sspon.01G0009870-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:30275190:30280060:1 gene:Sspon.01G0009870-3D transcript:Sspon.01G0009870-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARSLLLRHLRLAVAPSAASVRPTASLQEALWGRRWMSSEDAKGSFLDKSEVTERIIKVVKSFPKIEDPSKVTPDAHFKNDLGLDSLDAVEVVMALEEEFRFEIPDNEADKIDSIKVAVDFIASHPQAK >Sspon.06G0007210-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6A:26898083:26898865:-1 gene:Sspon.06G0007210-1A transcript:Sspon.06G0007210-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLDKGSLDLVLVPCGLVVMLSYHLLLLYRILRHPATTVIGYENHNKLAWVRRMVQATPDETGLALSVISSNISASTNLASLSIALGSLIGAWVSSTTKMFMTELVYGDRSQATATVKYISLLVCFLASFTCFIHSARYYVQASFLITTLDSDVPAAYVQHAVIRGGNFWSMGLRALYLATTLLMWVFGPIPMFACSVLMVAILHMLDTNSLPLHQHQFTVRKRHEQRALTSTIAARQQQPSPQNPMLSNPVLSPVTFFS >Sspon.01G0011300-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:30983634:30989958:-1 gene:Sspon.01G0011300-1A transcript:Sspon.01G0011300-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding IFERALELLALLNDGVPKLPLDIAPIGKGNGSLEEGSFLDILLWYLHPFLELANLRACSVPMKNRMSASIEQAEGAANNNYLVQYALAQGFVYVALSYFYLCFLFPVGCQTTVQFFEMPKHEALKALHIYRRAGQQPPQTFLSTMEEYVKEAPLRSSRWSSSTKSLESPCPCVTGEDMPGSSTSWLESFLDFVDDITEELNPCLIGEDVPSNQASTLMFAAVLCYILFLK >Sspon.07G0030580-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:9103132:9103476:1 gene:Sspon.07G0030580-1C transcript:Sspon.07G0030580-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHFSSIHLNPFFFLFALAASIFLVQQRSFLWLSQNICCLSMKINYRSGDYLMLSDGYCSGMLFEQLVYSSGYVMLLQFTGSEEAPEGFILDMVSNANLHYVYSCTCIMCADALC >Sspon.02G0056290-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2D:21273269:21278313:-1 gene:Sspon.02G0056290-1D transcript:Sspon.02G0056290-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQFFAKVDLDVVVIFFEPANRQRLLDRGAINEGGRDFHFAPWSERRNATNTNWEFCVKVRIEGIPIHCWAEEVAAMALGKSCAEVWLTVTEPDRELPPTSIPLHQAPPHYEAPVELKRGHVYNLRNHLEVVEDLSFLQGRRRIDGPTNRKPLRDMVWNYGVPDSVGERLHGRSGDDHGQDMGRHQRRDDDDYDHDRGHHNHGIRRHCSASSWARNAHCRSGMEDCVSSNRKKVVQEGKKLKKVSFADPIATVLKPPKPSVQDDSIMLIKGTYNASPFADILEVENCITAHPPLLTHSEKEAGIVMVQGEALRDNNSKAYGQLNMSEQTTKSSPVNSTESSYDVYANHADPVETTVTEKEGMHSNSLSNGHLIMSEQITNSPPVSNMVTAELSYDVYANPAESMTGKEAMQQVESSMIEQEGMQQALTETLKLMQMKLAMPSDKAVSNPPHTSLDVTDNIVAVEEERNLQMPTLNTPADVTVESESQVLHGGHEEINSIVAVDLQPNHNIHEHTFTAPEPSGLVHLNQDHTTGGSSQGQNNSTNVAEDIDAFLDSIAMPIQQPIIQEDYHMAQPPEASTSNDIGHLNQNSVKRKSDRLAKKAALNVGKDPFQVAQDLLVKKLGELAGEEANPDEPDFDFYAQHFERPIDKCKMDAIQTLIEQGRKKQKKGISNKKMQETKITTWTHTLLVETVGIDMSQNMAFLPSVGASGGILLAASERYFRLDNPHLTTNTVSATITMLSDNKEWSITGVYGPQSDSEKLLFMQEITDLKDLMLPAWLLLGDFNLIYRAQDKNNGRLNLSLLNGFKTTINNLLMAPIELRGKKFTWCNDQRTPTMTKIDHLFVSSEWLNIFPRTHLQALASLGSDHCPLLMQGDVVFDFYKGFRFESHWINWPGFIETVKEVWDKPVNTQDAILRIHVKLTRTAKALKRWRRKHFADWKIRWAILNITLANLEKAQEARTLTQDELVFKRYLKNKSLGLAAMQKARARQHSRLTWIRKGDTNTRFFQLHANARRKKTFIASLCGQSGTVVSQEEKSNLIHTHFSQVMGKPNIRTKGINWQELGYVQHNLEDLDTPFTQDEIASVIKEMPTEKAPGPDGFIGLFYKKCWTIIKEDLTQAIWSFYSHRTARLSLINEATIVLLPKNQVMQALGFSTKWRDWVAALLGTASSRVLVNGQLTRGIKHARGLRQGDPLSPLLFILAIDPLQRIIEVAAQKGVLKPVLPKTAHLRCSLYADDAAIFADPSTLELERLYKILTFFGECSGLKINISKTEIFPICLQDGMVSQLLQNFPGKVCKFPGKYLGLPLHIRRLRRIEVQPLLDKIGARLPGWKEMANTKDRSIEAKLSLERGNTRQGIWGTLSGKLAYDMSPKD >Sspon.02G0042620-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:85111063:85116486:1 gene:Sspon.02G0042620-1B transcript:Sspon.02G0042620-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWYSDDSDPDIDEDLREDLDALRRSCILSGADPDAAVAQVSSGLAGPSTPALAAAAPGAAATNHHHASSSDDEEEDEDLALVRSIRANLHHLHNNKASPVAVPRGDDAPSSSPRPICTWPPSDTDEEEDDLETLRAIQRRFSHYQSSTSTASPKTMKPEASQGVHSDLFTDRSDDDLAAQKQNANAPHRDGFPKAALLLVDALKNNRACQKFIRRKMVNIEAKIEENKDLRDRVKCLLGYQLSCRKSVGRSLGQKEDPRVRLISPLKSTQPCSKNKYRKMPALFLGPAENPHVSKYEMVLKQFPMSFKKQPWSDAEKDKLAKGIKQQYQETLILDSLNNASADGDFSAVDMAYALTNGAGNFEVTPENLRSVLPLINWDKISAMYLPGRSGADCESRWLNCDDPLINHEAWTADEETKLLLIIQEKGMCNWINIAVTLGTHRTPFQCLVRYQRSLNPHILNKAWTKEEDLQLQAAVETFGQKWQLVSASLDGRTGTQCSNRWRKTLHPERTSVGRWLLDEDKRLMVTVKLIGPGRWSLIAPFIPGRTQTQIFERWCNILDPDLYLDDWRPEEDSMLLASVSEFGPCWSKIAKTIIPGRNDSMCYRRWRKLCKHEVQKVREARQLKKAIFQTNFVDREKERPAIRPRDLLSLLPSKGEGCDEAIKDKIPE >Sspon.07G0001130-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:1603940:1604146:1 gene:Sspon.07G0001130-3C transcript:Sspon.07G0001130-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQRSVLVSALGVGVGVGLGLASAKWAVQPAPNADGFGAAELEAELRRLVLDGRECDVTFDKFPYYLR >Sspon.02G0044260-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:100630306:100634734:1 gene:Sspon.02G0044260-1P transcript:Sspon.02G0044260-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSRLMFLLDLFHWSSWVCPSCRSCEVGCLSISIYYPELVCRRPGDPNKLMFCKRCDGAYHCYCQQPSHKNVTHGPYLCPKHTRCHSCGSGVPGSGHSTRWFLGYTCCDACGRLFVKGNYCPICLKVYRDSEVIPMVCCDVCEKWVHIECDGISEEKYQQFQADQNLQYTCAACRGECSQIRDTEDAIRELWKRRDVVDHELMVTLRAAAALPSPEDVSPPYPNSDDEKLGAYVLKNESRNTLKFSLKSNTSKPPSDTPEQEKIVFKSPGSNKKSSKKKGGQGNKTDDGHDEIFLERRHDVKLSNSRLGDQSMDGNHDRSPFKNDDNAYISSSTRSSEKNLKSPSKKAVPNNADMIPKVKIKGSKVSSLHYKDGEENTPKNDTGKATKLVIHLGSRHKTRSGSPKSELSNSQREQDLGSIHGGKIDVTSQLKSSRSEVKERSVMKLLVRETGAQQRNSLLGDLGTSKKHATGKRSNALISGMENANETGSRNRSFAQKQSHSSQVDENQGTADSPDNLKPSLLKLKFKRPHFEQLNTQASQPEEPTSWVSQQEEQLNVAKGQRSKRKRPSMEKADGLDGTTPAKRHQQSTDDEVMDANWILRKLGKDAIGKRIEVHLTSDGKWHQGMVSNVIGGTLCIQLDNGRSENVELGKQAIRLIASRSKGGKR >Sspon.02G0019190-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:62171638:62175223:-1 gene:Sspon.02G0019190-1A transcript:Sspon.02G0019190-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEKAPRAFSIEELPGHLIGEVLTSGGLAAADLARLEATCRALRPLAEYAASKLCAARAAFAAMGPAARGELLERCGGSWKKVLRFLQSVEQSSGTVETSSGSVATGLSFTVILTRDGQVYTCGSNTHGQLGHGDTIDRATPKLIELFEGPTQVVQIAAGASYTFAVTDDGTVHSFGSCTNFCLGHGDQHDELLPRAIQSFKRRNIHVVRVSAGDEHAVALDALGYVYTWGRGYCGALGHGDENDKTSPELIGSLKGQVAVQVCARKRKTFVLTDEGSVVAFGWMGFGSLGFPDRGSSDKVMRPRVLESLQDHYVSQISTGLYHTVAVTNKGIVFGFGDNERAQLGQEYIRGCLKPTEIMFQKSMEDIPIAAPSG >Sspon.07G0010160-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:26647160:26650397:-1 gene:Sspon.07G0010160-4D transcript:Sspon.07G0010160-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQVLNKVGSYWFSKRASKEIDSIGDDISSISSSIGGSAKWMVNKIKGKMQKALPDLLKEYDMPAGLFPRDATNYEFNEETKKLTVYIPSACDVGYKDSSVLRFFTCVTGYLEKGKFSDIEGMKTKVLVWTKVTAIKTEGPKVHFTAGVKKTRSRDAYDVVRDGITIDKF >Sspon.06G0013350-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:69289074:69293045:-1 gene:Sspon.06G0013350-1A transcript:Sspon.06G0013350-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AMAHSTKAAHADDHDASPLPLPLPLPLPWPRPAPLLVILSLLAAASYLALTRVPAFASGRLVPAALLPIAPPRPRPHDAPAPGDSCAGFYAGAGPARAVTASVEEFGAVGDGATPNTAAFRRAVAELDARAGGGGSRLEVPPGRWLTGSFNLTSRFTLFLHRGAVILGSQVTDSFIACAILLLLDSMQFVFFVRRDASASLCDSNVVMKDLTILAPLDAPNTDGIDPDSSSEVCIEDCYIESGDDLVAVKSGWDQYGISVGKPSTNIVIQRVSGTTPTCSGVGFGSEMSGGISNVLVRDLHVWNSAQAVRLKTDVGRGGYITNITIANVTMEKVKVPIRFSRGADDHSDDNYDRTALPRISNVLISDVVGVDLQRAPMLEAVPGAVYEGICFRNFSLRGIRRQHRWHCESVSGEAHEVFPAPCEEFRKNRSSSSTYMYTRV >Sspon.08G0011190-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:46771801:46774591:1 gene:Sspon.08G0011190-2C transcript:Sspon.08G0011190-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGRAFRPSAPRRAAFAALLTLLLLAALSFLLSSTPASSARSSPPPSARLAAVRRHAADHAAVLAAYAAHARKLKEASAAQSLSFSSLSSDLSALSARLASHLSSSLPEDALRPLEKEARERIKFARALAADAKEGFDTQSKIQKLSDTVFAVGEQLSRARRAGRMSSRIAADSTPKSLHCLAMRLLEARLANPSAFADDPDPSPEFDDPALYHYAIFSDNVLAVSVVVASAARAAADPSRHVFHVVTAPMYLPAFRVWFARRPPPLGVHVQLLAYSDFPFLNATNSPVVRQIDAGNRDVELLDYLRFYLPDMFPSLRRVVLLEDDVVVQKDLAALWQVDLDGKVNGAVETCFGGFRRYRKYLNFTQPIVRDRFNPSACAWAYGVNVFDLETWRRDGCTELFHQYMEMVSCSELCSQLTCGIVNEDGELWDPTSILTAGLMSFYGNTKPLDKSWHVMGLGYNPSISPEAIRSAAVIHFDGNMKPWLDVALNQYKALWSKYVDTEMEFLTLCNFGL >Sspon.04G0005030-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:16643243:16665684:-1 gene:Sspon.04G0005030-2P transcript:Sspon.04G0005030-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MESPAKEEAGGELAMEIESSVTAEDWRRALSRVVPSVVVLRTTAPRAFDTEVAGASYATGFVVDKSRGIILTNRHVVKPGAIKFLKYDEIPLAPEAASVGLEIRVVGNDSGEKVSILAGTLARLDREAPYYKKDGYNDFNTFYMQAASGTKGGSSGSPVVDCQGRVVALNAGSKSSSASAFFLPLERVVRALNLIRDSWDAFGTKPESVYIPRGTLQATFQHKGFEETRRLGLRNETEQMVRVVSPAGETGMLVVDSVVPEGPAHKHLEPGDVLVRINEEVVTQFLRLETLLDDSVGKEIDLQIERGGVPLTVKLQVEDLHSITPNHFLEVSGAVIHPLSYQQARNFRFKCGLVYVAEAGYMLSRASVPRHAIIKKLAGEDIAHLDDLIAVLSKLSRGSRVPLEYVKYTDRHRNKSVLVTIDQHEWYAPPHPMDLKCQYDSENLADGCIKMQTDDEIVVDGSHSNEDSLVEKKRRRVDEEIAAEGTLPSYGDLEDVKGGSLRHPSNAEGSELARTISSNASLAEQATSRKSIITNPCTAVNIGSADCPRYRAINMEVIELDTDFGSTFSGILTDEQGRVQALWASFSTQLKYGCSSSEDHQFVRGIPIYAISQVLEKIISGTQGPFRLINGIKRPMPFVRLLEVELYPTLLSKARSYGLSDNWVQALAKKDPVRRQVLRVKGCLAGSKAENLLEQGDMILAINKEPITCFLDIEKACQELDQSIGSDGVLNMTIFRQGKEIDLIVGTDVRDGNGTTRMVNWCGCIIQDPHSAVRALGFLPEEGHGVYVARWCHGSPVHRYGLYALQWIIEVNGQPTTDLETFIQVVKGLEDGEFVRVRTVHLNGKPRVLTLKQDLHYWPTWELSFEPETATWRRRIIKALQSIRRDTFMFCCDIFMLSEEHIGNEIRGSCLGLD >Sspon.07G0010290-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:27161371:27164549:1 gene:Sspon.07G0010290-1T transcript:Sspon.07G0010290-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRPPGVSFKLVLLGDGRVGKTSLVLRYVNNVFSDKQEATVQASYLTKRLVVEGVPITLSIWDTAGQEKFHALGPIYYRDADAALLVYDITDSDSFLRVTKWVKELKQMASKDIVMAIAANKSDLVRLKNIDTQDAVSYAESIATNLFVTSAKAGTGIDDVFSDIAKRRFCF >Sspon.02G0000410-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:2353173:2356244:1 gene:Sspon.02G0000410-2B transcript:Sspon.02G0000410-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoinositide phospholipase C 6 [Source:Projected from Arabidopsis thaliana (AT2G40116) UniProtKB/Swiss-Prot;Acc:Q8GV43] MGTTYKCCLIFKRRFHTRDAPPPEDVRALFSLHAGGGPHMGADGLRRYLDAHHQDQDQDQDQDQELDDAEVERLLEQIRLQQQQGRARLPRLARPLLTLDDFHRYLFSHDLNPPLRHHAQAHHDMTQPLSHYFIYTGHNSYLTGNQLSSDCSDVPIIKALQRGVRVIELDMWPNSTKDDINILHGRTLTTPVPLIKCLISIKEYAFVASPYPVIITLEDHLPSELQDKVAKMVLQVFGDILYYPPDSNRLKEFPSPEQLKGRVLLSTKPPKEYLEAKADDTMKEDDADLRLGKGANDDAAWGKEVPDFQTEIQSAKKHDDDAPGHQRDDDDDDDEEEEEQKMQPHIAPQYKHLITIRAGKPKGSLADALKSDPEKVRRLSLSEQQLAEVAEEHATEIVRFTQRNILRIYPKGTRVTSSNYNPFIGWVHGAQMVAFNMQGYGRALWLMHGFYKANGGCGYVKKPDFLMQTEPQVFDPRKAQPVKKTLKVKVYMGDGWRMDFKQTHFDQYSPPDFYTRVGIAGVPADSVMKKTKAIEDNWMPVWEEEFSFPLTVPEIALLRVEVHEYDMSEKDDFGGQTVLPVSELRPGIRAVALFDRKGNKYNNVKLLMRFEFA >Sspon.01G0031980-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:105711421:105714663:-1 gene:Sspon.01G0031980-2B transcript:Sspon.01G0031980-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNLSVLRRLDLAVADILITAAHVVAYSFDEHEREWTRKPVEGSLFVVKRNTQPRFQLVIMNRINTENLVEDLLTDFEVEVQVPYLMYRNAADEIIGIWFYDPQECQEVAHLFSSVFEEPEAASGPYALPVVEDTLEQPTSPTMDDDDVEEFLLTPSKAATCVHTIGGTGAVQPNQSFRAIPSPSHGSHNSTAPHSSSLHSLLPSQTSSVTLRAFDAHRPNSSTTIQAASLLDSKPPLLAPMASTHSTVANAASSLPTVPPLHPPFADRQPKSAPLLHPYPLPTVPPVPPYGMPLLQPFPPPNPLPLLTPSASYSQVVTREQVGAALLRLAQVFSLPWTLLVASQLRLVISTRIF >Sspon.08G0001590-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:3018810:3020248:1 gene:Sspon.08G0001590-3C transcript:Sspon.08G0001590-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RYRRRSPAPPSPRHQRRPRDPLPPQPKRRSSELPPPRRYEENPNPLPNGFAAAAAERRSRGDILLEAGRWQLTTWSPKASSPSTFSWQGRTQITIPVTAPSPPPFLLRFPLPLPLRLRLRLPPATGGRETRTTARGGGGVEVEPGTWAVSQSGTTTGKPRGRGGTGRATALTGGANTTMAVAVMLTGGRRTRDYNEPKRPPMSRSYSYNDRRASADGRVDRRRRSRSRSRSRSRTRSYYSGSRRDPDLRAGTRDLDRSKVPDSGVVPAGGGDGGVHNVDVDEIPRQLKVPSSVVVMEMNDSAVEAVAIEDKQVESETAGLDHAQDMSEGEDGEFAEEISEDEDGEFAASDLNDEDGDEMDDTRSQPSDVHVHISESIEEPVHRQSQLSNTEEGMETGIAHMDACMVEPLAENNVCSETRYEMEAPQNELKLLDEQEQPLTEDNGCSEVKYEMETPQNGAETAVSDLYRDEQGNH >Sspon.06G0032570-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:57122396:57123316:1 gene:Sspon.06G0032570-2D transcript:Sspon.06G0032570-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPPGRRGLLAAGACALLLAAFLLVGSLLVTTPLAPYLLPPLALSLPCLPAVTKPSGSGYGAAPGVAELAEAAVAYATSETVPQQSPEEISLSLAVLRRRAPLRLLVFGLGHDSRLWHALNPGGVTVFLEEDPAWYRVVRTQSPFLRAHLVAYRTRLDQADRLMATYRRHPACLPATSNNSNATGLLRVRGNWACPLALHNLPPEVYETEWDMFMIDAPKGYFAAAPGRMAAIWTAAAMARARRGEGDTDVFLHDVNRRVERMFAEEFLCDRFRVGGTGRLWHFSIPPVSRRGNATATAGDRRPFC >Sspon.03G0002840-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:63293082:63293504:-1 gene:Sspon.03G0002840-1P transcript:Sspon.03G0002840-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPSRSLATSHLTGASPTRPRSRSYSTHRFIWWWCPITYAVRGTMSAFPNVRHHTPSAPRCSPGTLYSSLSPATDISAPLNIRVTGGSLADGGERPAGTWKQVSSASWNAKLGSSSAGRRGFVCFKNSAFRAEYASRGGR >Sspon.02G0058010-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2D:68868690:68872467:1 gene:Sspon.02G0058010-1D transcript:Sspon.02G0058010-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MELDNERVDDEDAGDEVQNMKDLEMFEQLRLGNDNEDNIPPSDSVDYLGNGNVDSEGPSDRRRRSGRRRQLPPPPTTQREKDEEEARPGDDDELVQQTDEEEGGTRVKVLCLVLHMRISTFQGWWQDGHGDHDGHTWIWTQPYVIKAKAIHPPLAIKTFQRGGGGARGGRYGLRFLWNWMIVSGGGHGRLVNGVLGLLCKQRYPGLVDYASMRELAWTFDHYAAAPDVGYPNKAERVKAEFWENARRDLRLGRIWWLPKPVKKLITDMHHEARIQAIITYYGSKHGEKTCPWWCSSYPECWAMIMDKRFTPGWAKTHDAAWERRLQMQGPAHHQGNCSLTGYKQLWSEAHGGQQCSDFQAWCMSHKGKATSDVSYNPEDPLEAYTNPSVHTRINEYTSAARSVHGPEYDSSTEDFDAEIIMRVGGGKKHGRYWLSNS >Sspon.08G0004410-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:10392335:10395815:1 gene:Sspon.08G0004410-2D transcript:Sspon.08G0004410-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARVLSSRAAVLARRLGAQPQPVVVLSRRHNHTRRPAAEGLEEDAAGPSAPAADASALSRRLEEAIDSAMARAAEPDWAPFRPGTSYFVPPRPAGMAQGILALIGHGGGHIGSSASPRRGLSTDEARAVVADSRGYPCSTYFIKVHILVKHEALKKQSPVAEVPLVLPEAQQQVVALLQALQILVLHLPQA >Sspon.07G0015900-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7B:61912221:61914367:-1 gene:Sspon.07G0015900-2B transcript:Sspon.07G0015900-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GDGGKEALPDRHRHTAYLRRHVRRLQGSLRPWYQHLRLHLLQNGCRVSPPAACLHCPRKKTAMLRLSGRTDRLAHTAQLCCAPHAPAHAPARITCHMPPPHYCRLPHSQPRLVQSCPRPCLERPPQPDWASDQPSSRDPLCSERSGGGGDCGSGCGGCVSWEKPRNPGDQEGSPPASQYFSSVSGCFCKCLRYMFQRFHCF >Sspon.08G0007020-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:22306854:22307936:1 gene:Sspon.08G0007020-3C transcript:Sspon.08G0007020-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIPVIKMDQLHGEKRSETLSLLHNACAQWGFFWLENHGVNENLMNKMKDLVNKHYEQDMEKNFYSSEKAKILDYEKASSNVDWECSFMYCHQPKSNSDDIPELLRATVFEYAEEVIKLAEQLAAVMSENLGLDKDYIEKAFSKPSVGIKVAKYPRCSHPELVMGLREHTDAGGIILLFQDELVPGLEFLKDGKWIAIPPTEGNRIFVNLGDQIEVITNGIYKSICHRVLPNKNGSRLSIATFYNPSADAIIYPAPKLTYPSQYRFQDYLNFYSTTKFTDKVSRFQTTKAILK >Sspon.02G0028150-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:119208816:119209825:-1 gene:Sspon.02G0028150-3C transcript:Sspon.02G0028150-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GDGAVAGHQRGALRLEVRRVPPPPDVRGDGLLRLRRQGRRRDHQVQHGAHPAPGLPEHHHLAPVPDQARRRRPVQRQHQLPQGGRGRRGRRRRAARRDAPHVRLPAPAARQRRRVRAHEGLLRPAPDPELLVVREGRRGRHGRHHGRAHGHRLHAGAPVVQAREAQRGQPAAPPQRIQHVLVLPPPLRHRLRRLRRPWRLPLHQPDLVQASDMDVPRHPHPALRRRAASPGAEVPWPHHREDREGRPVPRKCHRHSHEQAARVQLQERAVHLRQLRRGLAVRM >Sspon.05G0002010-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:6563641:6568007:1 gene:Sspon.05G0002010-1A transcript:Sspon.05G0002010-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASASSPPAGEENRQEAAPVRHCKGVNDLDKVVLREVRGSSAEVYLYGGHVTSWKDEHGDELLFVSNKAIFKPPKAIRGGIPICFPQFSNFGNLEQHGFARNRTWCVDNDPPPFPVPTSNTAYVDLILKPTEEDLKIWPHRVALSPGGDLMLTSRIRNTNADGKSFSFTFAYHTYFKISDISEVRVEGLETLDYLDNLQDRARFTEQGDAIVFESEFMRFHIFFVTVVWNPWDKKAKAMSDFGDDEYKRMVCVEAAAIEKPVTLKPGEEWTGKLELSAVPSSYYSGQLDPDRVIQDSTVPEDSIS >Sspon.04G0016680-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:67230530:67233478:1 gene:Sspon.04G0016680-3C transcript:Sspon.04G0016680-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIFAILIFLFLGSVEGFSTKSQPCHYSKGKTCKPALANAIFSTIAFVLGAVTSLVSGFLGMKIATYANARTTLEARKGVGKAFITAFRSGAAMGFLLAASGLLVLYIAINLFGIYYGDDWEGLFEAITGYGLGGSSMALFGRVGGGIYTKAADVGADLVGKVERNIPEDDPRNPAVIADNVGDNVGDIAGMGSDLFGSYAESSCAALVVASISSFGINHEFTPMVYPLLVSSVGIIACLITTLFATDFFEIKVVNEIEPALKKQLIISTVVMTIGIALISWLGLPYTFTIYNFGDQKTVQSWQLFLCVAVGLWAGLVIGFVTEYYTSNAYSPVQDVADSCRTGAATNVIFGLALGYKSVIIPIFAIAFSIFLSFSLAAMYGVAVAALGMLSTIATGLAIDAYGPISDNAGGIAEMAGMSHRIRERTDALDSAGNTTAAIGKGFAIGSAALVSLALFGAFVSRAAISTVDVLTPKVFIGLIVGAMLPYWFSAMTMKSVGSAALKMVEEVRRQFNTIPGLMEGTTKPDYATCVKISTDASIKEMIPPGALVMLTPLIVGILFGVETLSGVLAGALVSGVQIAISASNTGGAWDNAKKYIEAGASEHARTLGPKGSDPHKAAVIGDTIGDPLKDTSGPSLNILIKLMAVESLVFAPFFAAHGGILFKWL >Sspon.08G0006210-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8A:19486072:19486332:-1 gene:Sspon.08G0006210-1A transcript:Sspon.08G0006210-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MCPAGCPENTRLACTPGTSKTNPFHSCQGVQVPVQARTLSPQHCRPGLSMVLRPPQPNIYNHPKKPVRKEPEPTTGEKQVFLAPIR >Sspon.05G0013580-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:47522165:47532022:1 gene:Sspon.05G0013580-4D transcript:Sspon.05G0013580-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Receptor homology region, transmembrane domain- and RING domain-containing protein 1 [Source:Projected from Arabidopsis thaliana (AT5G66160) UniProtKB/Swiss-Prot;Acc:Q9M622] MSPRVVLLFLAAALRPCAALVRLHSATFSFTFLDAPARFGPRVGGDGICGSLRAAEPAEACEPIKARGGRRGAGRKAFVLIARGNCSFEEKVRAAQQAGFDAAVVYDDEEKASLYSMVGESEGIHIPAIFVSKMAGETLKKFARGEDDECCINSSMDETAGTVLEACAICLEDYNNGDMLRHLPCKHEFHKTCIDSWLTKWGTFCPICKLEVTSGE >Sspon.07G0027130-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7B:58885004:58885978:1 gene:Sspon.07G0027130-1B transcript:Sspon.07G0027130-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHLSNDVPHRTSHCQAGLVAVTRCKLPNQHVMQHTFLLILTIFCPSFLLLSCDFRWCNQFGCISLGLQTSPTTTLIFTFLGSNFVLLVARQCSIPISLIFTFFGPSFLLIVRHTY >Sspon.03G0032230-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:44455004:44455324:-1 gene:Sspon.03G0032230-2C transcript:Sspon.03G0032230-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VMAGGSKSKVREWMRRRMAPRRKAAATATGSGDNNSGSSSESASASASAQSSPRRKLLATAVPSALRWRNVLAALFQRAAYHLLWLVESVVVVAQLCFFFLRFGFRL >Sspon.02G0024420-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:76846151:76848862:-1 gene:Sspon.02G0024420-1P transcript:Sspon.02G0024420-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAVSAAACCAAAAPANEVAEDLFGFLRVLSDGTVLRSPAGPVFCPKTFPGSHPSVQWKEAVYDKAKNLRVRMYKPTPTASAGKQKLPVLVHFHGGGFCLGSCTWANVHEFCLRLAADAGAVVLSAGYRLAPEHRLPAAFDDGAGFMRWLRDQAAADGWLAEAADFGRVFVTGDSAGGTIAHHLAVRAGSGSSSAAAEPQPGLVTVRGYVLFMPFFGGVRRTASEAECPEEAFPNLDLVDRFWRLSLPAGATRDHPAANPFGPRQPRPGLGGLPAGPRGGRRPRLDPRPHRRLRGAAGGDGQARGARRVRRQAPRVLSARAGVRGDRRADPDRHPVRRRLRRRRRQFDISLEYLGGG >Sspon.03G0017900-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3C:76687023:76688024:-1 gene:Sspon.03G0017900-2C transcript:Sspon.03G0017900-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLRGAEVPLRLTGAEPAGSVLVRNLYLSCDPYMRPKMSRPLRESYTAAFVPGDAITGYGVSRVLDSSDPRLAPGDLVWGITGWEDYSVVTPPVTKFLAKISHHSEGVPLSYYTGILGMTGLTAYVGFHEICAPKKGETVFVSAASGAVGQLVGQFARLAGCHVVGSAGSKEKVELLKTKFGFHDAFNYKEEPDLGAALKRCFPDGIDIYFENVGGAMLDAVLLNMRVHGRIAVCGLISQYNLADGDKDAVRNLGAVIAKRLRLQGFIEPDHKHLYPQYEAWVLPYIRDGSLAYVEDVAEGLESAPKALIGLFHGRNVGKQLVRVADDESAA >Sspon.05G0010210-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:31628387:31629948:-1 gene:Sspon.05G0010210-4D transcript:Sspon.05G0010210-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSIAADADRRPHAVCVPFPAQGHVTPMLKLAKILHSRGFHITFVNTEFNHRRLLRSRGAGALDGLPDFRFAAIPEGLPPSDADATQDVPSLCRATMENCLPHFRSLLAELNSSPDAPPVTCVVGDVAMSFTLEAAREIGVPCALFWTASVCGYMGYRYYRDLMEKGIFPFKAMIEADAEQLTNGFLDTPADWAPGMGKHFRLKDFPSFMRSTDPDDFMFHFALKVTEQIAGADAVILNTFDELEQEALDAMRAMIPSSASIHTIGPLAFLAEKIVPQGDPADAFGSNLWKEDASCFDWLHGRAARSVVYVNYGSITVMTNEELVEFAWGLANSGHDFLWIIRPDLVNGDAAVLPPEFLEAIKGRGHLASWCPQEVVLRHEAVGVFLTHSGWNSTMESLCAGVPMLCWPFFAEQQTNCRYKCVEWGVAMEIGHDVRREVVEDKIREVMGGEKGKEMHRRAVEWQETGLRTTRPGGRSYANLDKLVADVLLSGGGK >Sspon.04G0021840-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:5574836:5577400:-1 gene:Sspon.04G0021840-2C transcript:Sspon.04G0021840-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGSMKREISETHDTLRFGINAGVKADLAPPHPLQSAIQSEAKFWADKKKFGTEAIYGSALNIRKDLDAQILSRFQRPPGALPSSLLGYEALTGSLDDFGFEDYLNMPQDSDSFRQPDMHHGMEVRLGLSKGPICPSFN >Sspon.01G0044220-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:73887752:73889146:-1 gene:Sspon.01G0044220-2D transcript:Sspon.01G0044220-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSLFRRANKAPAVASLPAPIPMPMLSFPGKKALHFAGDTSAAERDSELAVLMHARNHVVLAMESAGAATAAETAAALRAYLRIIYASELMSGSKQQKLRFAWRDSSDAGTGTAKKKDKAAAAAQVQEHTSLEAERAVAMFALAAELARAAAAVDRRGPEGTRRACVALSDAAGALKAAARAHGAADQLCHITEPCLATFERLMLAQALECFFERAVAGGKQSALCSKIARQVSLDYQEVSVKLGSLQQQQQPIDKSWMPQVQAKAAYFHATASLHYARSLKEEGPGSFGEAVGRLRFAVSVLDAAGGKTGPLSKKSPCAAAVRDAAARLRKEVEAELADAEKDNCQVYFERVPAADVLTALPALASPLVQPTAVESVLREADGESALANGGAPTIRH >Sspon.08G0011180-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:48819524:48820063:-1 gene:Sspon.08G0011180-1A transcript:Sspon.08G0011180-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEMTEARLHGAWGSAHVAMARNALALKGVQTCSTKPRVPARAAPAGAPRARAAARFWARFFHDEVSPLSRPVVFADGEAERGELVREVKAQMAVMEAGIAEDFPSGEGPFVASCTGGTPGYSTSYWA >Sspon.06G0008380-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:34639578:34647162:1 gene:Sspon.06G0008380-2B transcript:Sspon.06G0008380-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:4-coumarate:coenzyme A ligase, Lignin biosynthesi [Source: Projected from Oryza sativa (Os08g0245200)] MGSTEEQQQPEPVVAAAVEEEASPEIIFRSKLPDIAITNTLPLHRYCFERLPEVADRPCLIDGATGAVRTYAEVDRLTRRLAAALRRAPLGLGRGAVVMNLMLNSAEFVLSFFAASRVGAAVTTANPMSTPHEIANQIAASGATVVFTESMAVDKLPVQADGGLTVVLIDARRDGCLHFWDDVMASVPDEEVDDEDQEAAGAAAGFDPDDVVALPYSSGTTGLPKGVMLTHRSLSTSVAQQVDGDNPNIGFTADDVILCSLPMFHIYSLNTIMMCGLRVGAAIVVMRRFDLARMMELVERHRITIAPLVPPIVVAVAKSDEAASHDLSSVRMVLSGAAPMGKDIEDAFMAKLPGAVLGQGYGMTEAGPVLSMCLAFAKEPFKVKSGACGTVVRNAELKIVDPDTGKSLARNLPGEICIRGQQIMKGYLNNPEATKNSIDADGWLHTGDVGFVDDDDEIFIVDRLKEIIKYKGLQVAPAEIEALLITHPSIADAAVVGKQVEPEIGEIPVAFVAKAKGSELSEDDVKQFVAKEVIYYKKVREVIFVDKIPKAPSGKILRKELRKQLQQQQQVA >Sspon.01G0005730-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:13483058:13484535:1 gene:Sspon.01G0005730-1P transcript:Sspon.01G0005730-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRSTHSPSGSSSAAPASSSSDAAMVGGGGAAAAAGGGAAAAAGSGGAPSGAKLLQILNVRVVGNGDRVVVLSHGFGTDQSAWSRVLPYLTRDHRVVLYDLVCAGSVNPEHFDFRRYDTLDSYVDDLLAILDALRIPRCAFVGHSVSAMIGILASIRRPELFAKLVLIGASPRFLNDNDYHGGFELPEIQQVFDAMAANYSAWAVGYAPLAVGADVPAAVQEFSRTLFNMRPDISLHVCRTVFNTDLRGVLGMVRSPCVVVQTTRDVSVPASVAAYLRAHLGGRTTVEFLQTEGHLPHLSAPGLLAQVLRRALARY >Sspon.01G0052220-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:20647872:20648477:-1 gene:Sspon.01G0052220-1P transcript:Sspon.01G0052220-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASATFLEVLLAIFLPPVGVFLRYGCGVEFWIDLLLTVLGYIPGIIYAVYVLVA >Sspon.02G0010670-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2A:29073541:29073858:1 gene:Sspon.02G0010670-1A transcript:Sspon.02G0010670-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKGRKPAGLIMKTLDRCRSARRSKPGPAPEGCFTVCVGAGRQRFVVRTECVNHPLFRALLEEAEKAFGYAAAGPLALPCDADAFVRVLEQIEEEDAAGHAAAVA >Sspon.07G0023300-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:14032444:14036890:-1 gene:Sspon.07G0023300-1P transcript:Sspon.07G0023300-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LTAASSAALGKPAAGKTVDWRYILASPQFRRLFSDESKKNYENYYPKGKKEVPKGDGSNKSESKQESNTDEGWNFQENAMKHLQNFLAPLLILGLMLSSMSSSTADQKEISFQEFKNKLLEPGLVDRIVVSNKSVAKVFIRNSPLPKSQGQNSDTHISTTDVLGKPAPSRCKYYFNIGSVDSFEEKLEEAQEALGIDPHDFVPVTYVTEVNWFQEVMRFAPTAFLVGLIYFMGKRMQSGFNIGGGPGKGRGGIFNIGKATVTKMDKNSKNKVFFKDVAGCDEAKQEIMEFVHFLKNPKKYEDLGAKIPKGALLVGPPGTGKTLLAKATAGESGVPFLSISGSDFMEMFVGVGPSRVRNLFQEARQCAPSIVFIDEIDAIGRARGRGGFSGSNDERESTLNQLLVEMDGFGTTAGVVVLAGTNRPDILDKALLRPGRFDRQITIDKPDIKGRDQIFRIYLKKLKLDNEPSFYSQRLAALTPGFAGADIANVCNEAALIAARREETQITMQHFESAIDRIIGGLEKKNRVISKLERRTVAYHESGHAVAGWFLEHAEPLLKVTIVPRGTAALGFAQYVPNENLLMTKEQLFDMTCMTLGGRAAEEVLIGKISTGAQNDLEKVTKMTYAQVAVYGFSEKVGLLSFPQRDDGFEMTKPYSNQTASIIDDEVREWVGKAYKKTVELITEHKEQVAQIAELLLDKEVLHQDDLTRVLGERPFKALEPTNYDLFKQGFQDDDDKSQAPAENAELPDDSSPPLGEVVPT >Sspon.03G0016740-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:76742469:76745516:-1 gene:Sspon.03G0016740-2B transcript:Sspon.03G0016740-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALPFSPRRPFSSPCFILCFLLGFVAGLFPFAHRHLHLDLHHLPLPEPPPAAPTKAAPPPPLPTTTTLIVVTPTRARPLQAYYLHRLAHTLRLVPQPLLWLVVDRGAATRETADLLRGCGLMYRHLPSSRRDAPDARRRAPEHAAERGLRRQRNAALDHIEHHRIHGLVYFADEDNVYSLDLFHQLRGIRSFGTWPVAMLGVGKSKTLLEGPVCDNSQVVGWHTDERDKRQRRFHVNTSGFAFNSSMLWDADKRAHQAWNYIRLLDTVRDGFQATTFIEQLVEDETHMEGIPTGCSKIMNVNLRLEDKHLVYPKGWQMTENLDVLIPL >Sspon.05G0010680-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:30159118:30161155:-1 gene:Sspon.05G0010680-1A transcript:Sspon.05G0010680-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQGVTMSSSMESSYLPATTESLAKAQEAKDASESISILYRVIQDPSSSADALRTKEVAITNLTNYLTKENRAEELRNLLTQLRPFFAVIPKAKTAKIVRGIIDAVAKIPGTSSLQISLCKEMVEWTRSEKRTFLRQRVEARLAALLLENQEYTEALTLLTSLIKEVRRLDDKLLLVDIDLLESKLHFSLRNLPKAKASLTAARTAANAIYVPPAQQGTIDLQSGILHAEEKDYKTAYSYFFEAFEAFSALEDPKAIFSLKYMLLCKIMVNQADDVAGIISSKAGLKYLGPDVDAMKAVADAYSKRSLKYFETALRDYKSQLEEDPIVHRHLSSLYDTLLEQNLCRLIEPYSRVEIAHIAEMIELPVDHVEKKLSQMILDKKFAGTLDQGAGCLIIFEDPKTEEIFPATLETISNVGKVVDSLYMRSAKIMA >Sspon.05G0010960-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:22832635:22836194:-1 gene:Sspon.05G0010960-3C transcript:Sspon.05G0010960-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA replication licensing factor MCM3 [Source:Projected from Arabidopsis thaliana (AT5G46280) UniProtKB/Swiss-Prot;Acc:Q9FL33] SLVRPKVVKSVHFCPVTGAFLSREYRDITSFVGLPTGSVYPTRDDNGNLLVTEYGMCEYKDHQTLSMQEVPENSAPGQLPRTVDVIVEDDLVDCCKPVTVSQLLVYTRLYQGKAREVTVLIANNVSLLNKEANAPVYTREDLKRMKEISRRNDTFDLLGNSLAPSIYGHLWIKKAVDINMMMVGDPSVAKSQLLRAVMNIAPLAISTTGRGSSGVGLTAAVTSDQETGERRLEAGAMVLADRGVYDRSLTPTKNIGLPDSLLSRFDLLFIVLDQMDPEIDRQISEHVARMHRYCTDDGGARSLDKEGYAEADDGDANAAIFVKYDRMLHGQDRRRGKKAKQDRLTVKFLKKYIHYAKNLIQPKLTDEASDHIATSYAELRDSSANAKSGGGTLPITARTLETIIRLSTAHAKMKLRHEVLKSDVEAALQVLNFAIYHKELTEMEEREQREMEMKQQADHDAGATGGTVDGHGSSRNDPMDVDVGNTSSDQDVPAERIQAFEAILGQHVLANHVDQMSIDEIEQTINRESTAPYTRSQVEFILERMQDANRVMIRDGIVRII >Sspon.07G0007270-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:17020246:17026526:-1 gene:Sspon.07G0007270-2B transcript:Sspon.07G0007270-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LSPSSRPEPDGVPPPTLLFSRPRRPFLRGSHRKPRATHTAVVHVDQIFRRIAFSPARVCEVAGEELAAQIRPSHPPARHSSSQWGFNQTTTMSLACLVCHGMSSPSHSRRSYSVSSSEEESRCGAAVACLGRRVTPAGTSASVGTSKVTPFPPMVSGQVGTEGTPRLQRSRAVSRDLVRDWNFDEEQTEGLRVLDGTKTFHLRPIVRAEVDK >Sspon.03G0017720-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3A:55088611:55088926:-1 gene:Sspon.03G0017720-1A transcript:Sspon.03G0017720-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GIKSMDINDENCTLTVVGTVDPVAIVLELKKARLAAAIVSVEDDKPKEPEPPKEDEDPCQCREACVQACVEACEKGCVPGCYCSPCVLPNCCYYTAFRPAPYGYG >Sspon.01G0000060-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:552426:568227:1 gene:Sspon.01G0000060-1A transcript:Sspon.01G0000060-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPVSADVAAELRLLLECATDSNFDSIRRELCQVPICTAGPSPLPASVTSPFPSIRRFPVWIRGFQSVLANAASPWLDGCILVLRVCLNQVLLNAGEVKNLQLQQKLLSDVFRYCLHKTCFATSFCEVLTTIALTDDFLESLSNLLELSVAEKVGVGLVLSDSEDSELKQKGQLFSIAQIEELCTNPIQSVSNDQIHEIVVFLHQSDGLSKHMDTLNNVISLLKVTERPTDDDFESLLSEIGKEISMADIVAKLGYGCTVDNTHCKEILSIVEPLDDVAVSKLLGAVVGTHSGLGEAHNTYATFVSAIRNSHTNDSPQLTKWNTDVLVDSINELAPSTNWVRVMEYLDHEGFDIPDETGFYLLMSIYARACKDPFPLHAVCGSLWKNTEGQISFLKHAVSAPPDKFTFAHSSRHLDLAGPSQGNHAWFCLDLLEVLCQLAEVGYTASVRSMLEYPLGHCPELLLVGLSHVSTVYNLLQYEVLSCVFPAVLKDPTKHNVVNYLWHTNPYFTLRGFVDAHSDPDCLLRIVDVCHDLKILSSVLDSTPFAFSIKLAAAALRKDYSHLEKWLTEKLSLYGKGFVEECVNFLKATMSDTDYVLEGTTQPQSIVKNIYWESCYVFIKVLQSHPGQLLSDVILDEIRKLCSLYESRNPSSAVKELTSSEGGSDDIEVEANAYFQHMFSGQISVDSMIQMLGRFKESTDKREVSIFNCMISNLFEEYKFFPKYPDKQLKIAAVLFGSLIKHQLVAHLALGIALRGVLDALRKSVDSKDLSDIVTFFYISNCSLMLQTCNADGVIGLQMFMFGTTALEQFMDRVIEWPQYCNHILQISHLRGTHFEMVSAIERALAKISSSQNEPNVGNLLSAEQHVSGSSSMEGIEVSESSWLMGTIPSQLGRPLSSSPLQHRQQGLLGERSKVSMSSLNKSIVSSQPPLASSSADLTINPKTFRCSGCFHFFLEYLYSRFLFACSLHLYIFTADNCSTLFTSFTSSVYQCVNECAYWISASSKYLRQPSYTTGFGTALNIETLVAAAEQRETPIETPPPEVQDKILFMINNISISNMEAKAKEFNEVIQEQYYPWFAQYMVMKRASIEPNFHDLYLKFFDKVNSKSLNKEILKATYENCKVLLRSDLIKSSSEERSLLKNLGSWLGKFTIGRNQALRAKEIDPKSLIVEAYEKGLMIAVIPFTSKILEPCHSSIAYRPPNPWTMGILSLLAEIYNLPNLKMNLKFDIEVLFKNLTVDMKDVKPTSLLKDRLREVEGNPDFSNKDVTASQTPVVAEVPSGTIPSLTHMEQQPEINITSRAMSLPNILNQYAAPVRLPTNSTVEDDKVALMMPEQVPSLTQVSPAQTQSPSPSPFSVNQLMAAIPRDEIRFKINPKLGSLGPQLQYSKYASKIMDLALDKANREIILPVIQRSVTIASRTTKELILKDYALESDNNMITRSAHLMVATLAGSLAHVTCKEPLRVALYSNLRNLIQNLMSGTETIEQLIHMLVNDNLDLGCAIIEAVATRQ >Sspon.03G0028720-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3B:10651354:10651544:1 gene:Sspon.03G0028720-1B transcript:Sspon.03G0028720-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GLICNNCKWLLSWTRGRRRTALARGDASMEDGKQRRIRRTEFPKVADSPRAVVPLSLQDLGP >Sspon.02G0026260-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:96737142:96739794:1 gene:Sspon.02G0026260-3C transcript:Sspon.02G0026260-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding WHRRAATSSSSVPPRPPRATKTGPPSLPRWRPRGRQQPAPPPRPSSSTCTRRSSCPCRPPPPAPSPAPCRRRRGGYYSPFMQMQPGLAPADWSFFHDHEPVFFMPDFAHAKFGAATATATATAAGAAGSNSAQAKGTAATTDVAQKIVKQVEYQFSDINLVANEFLLKIMNKDTEGYVPLSVIASWKKIKSLGATNQMLVKALRTSTKLNVSDDGKKVRRRQPFTEKHKEELQSRMIIAENLPEDSSRNSLEKIFGVVGSVKNIKICHPQEPSTARASKSDTLVSNKMHALVEYETSQQAEKAVEKLNDERNWRKGLRVRTVLRRSPKSVMRLKRADFDHFVGSDDDSPHSSQMSSDSPTADYSSPAAAAAAEAAAAHAHAHVQQQHQEDQQQNGGSKQKGWARGRGTTKLHITAPQSPQSAPAGMAGHFDPSSPRTSSSSSQKQCPSSPGSRQQVSAAASASSQKCPFSPRHQPHPPQGPRMPDGTRGFTMGRGKPAAAAPTSPAAAAARATPAPVLV >Sspon.02G0048560-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:17368720:17371760:-1 gene:Sspon.02G0048560-2D transcript:Sspon.02G0048560-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDGVSTYTVDEALVSMGFGKFHAFVLAYSGMAKISEAMEMMLLSFVGQSVQAEWELSAQEESLITSVVFVGMLVGAYAWGIVSDNYGRRQVLPHLLHWLLELDYECSNLNKMQSVLKHNGVVGFNFTAIVTGGAGLLSAFAPNYVSLIVLRFMVGVGLGGGPVLGSWFLEFIPAPNRGTWMVMFSAFWTVGTIMEASLAWAVMPAFGWRWLLALSSLPSFALLLFYPVTLESPRYLCMKGRIAEAVHVLETMARVNCVSLPSGRLVSGHRTELHDIGDSSETAQLVTSKKNDTADHGSKSEIGGFTAILKLLSPNLIRSTLLLWTVFLGHAFLYYGLVLLTSELNHGNRICGSEEGAEVTTTAHIHDENLYRNVFITLEANTDAEVPGLLLSAAIVDKIGRKLSMSSMLYISCLCISPLMFAQTESLTTVFLFGARVCISASFTVLHIYAPEIYPTAVRATGVGFASSIARFGGILCPLVAVGLVHACHQMAAILIFITVMLASGIAVSYFPLETSGRKLSDHVAFA >Sspon.01G0036390-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:13806921:13813510:1 gene:Sspon.01G0036390-2C transcript:Sspon.01G0036390-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding KYEQNVKRIREGPSATQSRGRSTPSSLQIPHGKLHLPPSPSRPRPCLSPPLPHVHVRIPPATCAPPLLLASSALPGVDTPTPSLSSPDARPWVGDLSGAAASYRDGSEEDENDADEEEDEEEDRSLDLLARFLHSVFRKASRRARRAARSVLPPSVPAELVKFSVNGVLVLTSLWILKGLLEVVCTFGSMVFASILLVRGIWSGVTYIRENRYGYIHRIDNDENRWSRVQTAG >Sspon.01G0025860-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:89308304:89309747:-1 gene:Sspon.01G0025860-2D transcript:Sspon.01G0025860-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LLFAPTITKIWWLFCQLFLDDSERNIAAGKALGLRTALVGKRVRSKEADYALENIGALRRAIPEIWGVVAGGSESERSDHSMDKLPMRSDLDSIIQPTSIQA >Sspon.04G0018270-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:65825113:65831840:1 gene:Sspon.04G0018270-1A transcript:Sspon.04G0018270-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSVRVSISFAVQYTSGQPDSRGFISIDCGIPENSSYQDLTSSIIYVSDHGFIGSGENRNISSDYISPSLAQRYYDVRFFLDGTRNCYTLRSLVSGNKYFVRAAFYYANYDGLNKPPVFDLYMGANYWHEVKFRDAGAINWMDIIVVAPADYLQVCLVNKGTGTPFISGLDLRPLKSTLYPEANASQSLVLINANRFNMGPTDKSVVRYPLDPHDRIWLTYGAIPTWNEASATSVVRNYLTDPYDVPSAVMQNAATPSNSSIINFSWDPSDHSVNISSRYFFVFYFAELQRVASNELRQFDIIVNNSTWNKKPYTPSYLFADSFSGTVQGQVQYNISLVATKNATLPPILNAMEMYLVKPIDEIATDPGDARAMIAIQEAFGVSKNWMGDPCAPKAFAWEGLDCTDPPTGIPRITALNLSSSGLAGPITTSFGDLKALQDLSNNDLSGSIPYSLLQKSQNRTLSLRLGNNSNLFGNGTNYGSGPKKMNGALLSAIIIPIVAAIALFVIFAVLLLRTLMEKARRRAADPKDETALLENREFSYRELKHITNNFSLEIGKGGFGAVFLGYLENGNPVAVKIRSQSSSQGCKEFLAEAQHLTRIHHKNLVSLIGYCKDKNHFALVYEYMPEGNLQDHLRDTSTHKPLTWEQRLQIALDAALGLEYLHVACKPALIHRDVKSRNILLTTDLGAKIADFGLTKAFSDSETHITTEPAGSIESIIDSSMGCDYDINSVWKVADLALHCKQEVSRERPTMTDVVAQIKESMELEARRRDRERSSALAGGDGLSYAVERNAFEVEGSVGEISEASLGPAMR >Sspon.06G0001060-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:3635095:3636060:1 gene:Sspon.06G0001060-1A transcript:Sspon.06G0001060-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCTHERTNRATFLQVYRKKSTGGFSSVPYVVALFSSVLWIFYALVKTNSRPLLTINAFGCGVEAAYIVFYLAYAPRKERLRTLAYFFLLDVAAFALVVVVTLFVVREPHRVKFLGSVCLAFSMAVFVAPLSIIVKVVKTKSVEFLPISLSFCLTLSAVAWFCYGLFTKDPFVMYPNVGGFFFSCVQMGLYFWYRKPRAAKNNAVLPTTTDGAGAVQVQGQVIELAPNTVAILSVSPIPIVGVHKIEVVEQQHKEAAVAAETRRMAAANPDGAMPEVIEIVPAAAV >Sspon.03G0036650-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:91839005:91844841:-1 gene:Sspon.03G0036650-1B transcript:Sspon.03G0036650-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLATSIPLSTLPAAKREEEDARCHCRAAPASLLTPSPDLDATMISARVAAASPASAQWKRGGGRSEGGGSCCDGCRTYRNTLRRRAAPAKVRVVPPKRVEAGLAFTEKERDAHYLRGLLPPAVVSQELQIKKIMHNLRQYQVPLQRYMAMMDLQERNERLFYKLLIDNVEELLPVVYTPTVGEACQKYGSIFRQPQGLYVSLRDKGRVLEVLRNWPHRDIQCLPITIDVGTNNEKLLNDEFYIGLRQKRATGEEYDELMEEFMAAVKQIYGEKVLIQFEDFANHNAFDLLEKYSKSHLVFNDDIQAGTGIAELIALEMSKQTKAPIEECRKKVWLVDSKGLIVDSRKNSLAPFKKPWAHEHEPLGTLYDAVQSIKPTVLIGTSGVGRTFTKEVVEAMASFNERPIIFSLSNPTSHSECTAEQAYTWTQGRAVFASGSPFAPVEYDGKTFVPGQSNNAYIFPGLGLGLVISGAVRVHEDMLLAASAALADQATDENFVKGSIFPPFTNIRKISAHIAAAVAAKAYELGLATRLPPPRDLVKYAESCMYTPVYRNYR >Sspon.04G0025180-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:28899393:28900300:-1 gene:Sspon.04G0025180-2C transcript:Sspon.04G0025180-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LPAAFLAGPKWARPDKIETGLGLGPPPEKTGHLVLCRLAEPEHLSRARLQTGEAHRRLPVSPAPPRMAGATTPASGPLVRVCLPPLLLLLFLYGCCRCGAVEGERTLAMIKPDGLSGKYKEKIKAAILDSGFRIVKETEVQLDAERASLFYAEHSQRSTA >Sspon.06G0026850-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:81259378:81262382:-1 gene:Sspon.06G0026850-1B transcript:Sspon.06G0026850-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGYTPNYGAGKPDDDPPTYPTFFDYLSNTFVDHCASLPARLKAAHETPRPLDRERAGKSAIILDRAKDSHKFFSEGTAYFHVNFKAGAGQHGPYYTFFAEENGPAGVPENVTMVVQFHTKEERRTRDNCLYCTGLRHPEQGGFVGHKNNAGTGDGYEEDSDDDDDGEEEEEEEEVEGSGDDDDDKDGNYEAK >Sspon.01G0031240-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:107497136:107499295:1 gene:Sspon.01G0031240-3D transcript:Sspon.01G0031240-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIQPKYHLSNPNNNLKNPLTVKSCSEIRDFSYELVRSAKRSASRKTGLTSTNCSLSGKMSLLRQPRHGDNHQNQNRISALNRRHKIVSPIGATDLLNKDKVHEHLNSSLERHSQALLNNALIREKQLCCLDVLNQKGPEQTWSSTYSESEKILCFSSSDNIDDLQVSSSSDTSDSSNLSSLGVIASDQWNTTFKKGYYPHAACLDSTSVIYARELGQASPISVLQPLSEDCSDSENIRREPADPYDLQLRLELGTFAPTETAAEASSIVRTSDCLSSDEVELSDEKPIQLVEDILEGFEDDEERDFSYLLDILIASGIHGTAEDQMYRVCQSLDYPAGDDVFEKLEKKYIKVVQWSRSDRKLLFDMVNTILSQILAPCLNMQPWVNTTRNLAPLWGSEGLLEKVLLVLVQRREQLAPSETKPEKKGFDTKWPDLADCIERAGRDIERMIKDDLLEELVLEFLCS >Sspon.02G0037780-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2B:35444981:35445371:1 gene:Sspon.02G0037780-1B transcript:Sspon.02G0037780-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DSYLLRNGSKQPRLAIVSAACSHRVRRRLEQSKQNGRTCRQGRESSNLTGADPGGPKPNESPALPSCRVRTERNRVHPPPARTKTMSTGTSRGGEEWKPCWRGRAREGFGDAGARVGVGAAASGGVGMS >Sspon.01G0022830-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1A:83211312:83218379:1 gene:Sspon.01G0022830-1A transcript:Sspon.01G0022830-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGENPVTAAEDPPPSANGESAASDKNDAEKYGSEENREVAVTSNDTNEVKSGCENGTECAADEGANIVETDDTNKANSDSANVVGAVDVKMADTRHEAVIEGVDVKMVETHREANIEAVDVKMIETQHEASLEAVDVRMVETQHEVSIEAVDVKMVETQHEANIEVVDVKMVETKHETNIEAEDVKMVDTKHEAVIEVEVVKMVDTQHEANIEAKDVKMVDTQDVKMVDTQHGASFDAGEDVCQVKEGLNEDNQYAKASEGEDAKMIEAKADTRNSEAQENRKPEKEGNAEEKTNHANGIEMAEKEDVCQKEDKEGKNEDSQDAKVAEADEMNMVEAKADARNAEAQGNGKKGNNANIEDDVKTFGNEDACQKEVKEGNNEDRQDARASEGDEIKMVEAKTDAGHAEVEGNEKKEDKAGQAEEKKNNASIETEELKVPDEEYACEEEDKNLKNEGIQDGKATDGEDMRSVEGETDAEIAEVIESRKNGEVEFKAEQKKNDANIEAENVIMVDEGNACQTEDKVRKNDDSEDANPAECEDIKTVEAKSDAGNAAVKENERKETKEEHNEEKENVVNVQADDVKIVDKEDACRKEDKERKTKDSHGAKAAEGGETMMAESKSVAVHAEVKENGQKEEGGNKTEVKQIICMEKQDEDKMAPAEVDKLELDNREQIGVEMQDGLKEEEKSGFDKHEVSDREESVEESQQELKGEAKGNVGKREVDDREQNTKEKQDGLEEVERVLSSKDEAAKNEQEGAAEEQEGEKWDRNVAAEKKEEEKQDAKLTAEEKDKTHDGKVAAEKQEEEEEEEEEEENENVTSEKNEVGVIERGVSDKDKEMETKGNTTADKQEGKKDNQIDDICKHEGQNKGTKRANAGIEEAENGSVSNKKEKDGEATVEQAGESDKNMEENKNGEPKSKKARIVTEKDHGKDKKQDDSKSREAKNLLCTPSPYSLDRPARARKTVERLVEVIEKEPLIVEKGRGIPLKNIPGVAKRISNKQPAELRLLHQILFGRFGKAVDFKSHILEFSGFLWHESDEKHRAKAKEKLDKCSIETLLDLCNLLVIPVSRDDIVAKLLDFIAEPRAKDDSTLSDDKGSNSRKRKREVGSATKNPEGTHKRSRKKLDDEHTSGKRWQKYSESESDEDAEEGHEEDDHMKSDSEENKDDGEEVDSEQEDRYGRRKVMAGKKSVDGKGSTAKTQRKAITGISPKTAPVATLSKCSSRVSSSPKSSKDKQRSAVDLNVVSRKSKPITPKHTTNSEKETNERRSSGKGSTSKGKSAEAEQALPSKDELQKTIVQLLKKVDFDNVSYS >Sspon.03G0022180-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:67600285:67605718:1 gene:Sspon.03G0022180-1A transcript:Sspon.03G0022180-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATIVNTTEEEPMLAVVRFTAELAWADAGPEVADPEVTRLCLEAQEHILAGRWLDMANLMLASADLLLTSPSRVADKDLECVLSVICSLVTKAGSEDQALQITDLICTKLTQQPGDKPALRLKVLFSLYNLLPSPYGKAFVYKKALELATAGKAAEYIIPSFKNIDSFVSEWGIGNLEQRELYLAVTSILKDHKSMAKEYFNFLNKYLATFKGSDDESATIGDAKEEAVAAIIEFVKSSNLFQCDLLNMPAVSQLEKDEKYQLVYELLKIFLTRGLIHEECVTKMRLMSLLDLSSRCSGEIPYSAITVELRISDDEVEQWIVKAIASKILDCKVDQLNQTVIVSRHTERIFGMAQWHGLRTKLGVWRGNIASAINTIQANKVTEEGTQGMQGLMIR >Sspon.04G0021990-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:2635588:2636832:1 gene:Sspon.04G0021990-1B transcript:Sspon.04G0021990-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIMAGMLPGVESARRRRIRQSSSGAAEARRASLCLYAGGRGRDLGSSSSAAASSKARTLLAISLFVHVVWSGACGETAAAMARAWTTTLGSDAREAKERLDHKLRGQRQPVVLKRHQMSTRPPPAKPHDDAARGGTGAGGDSDGHHRHHSATATPCGVLLQREVLSSPSRPRKGGGGRFGWCGLSGGRCAPPPEADTEAECAVCLEELRAGDVVARLPCAHRFHWSCAVPWVQAVSRCPVCRAHVHVCLATGGLS >Sspon.01G0032590-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:113190194:113202848:-1 gene:Sspon.01G0032590-1P transcript:Sspon.01G0032590-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPSHLHLHLPGCPQTLALPLPSPRGFSAPLGLRSRPRPRLRPVAALGPADAGDLLGRVEAFLYTVADAAVSAEPVVTAADGGTKEAAGDWLSGITSSMETVLKVLKDGLSALHVPYSYGFAIILLTVLVKAATFPLTKKQVESALAMRSLQPQVKAIQERYAGDQERIQLETARLYKLSGVNPLAVPSGLGLYWLTNNILSTAQQVWLQKLGGAKNPVNEYIDKLSREELTTVQKNESTVQSEPLPKLSKPQPSQEPKPSGPQRGERFRKLKEEESRRKEVLGQEKQSEQSSSESSFLDETQNSDASSGDKKDQQVKSY >Sspon.04G0016750-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:70221984:70228141:-1 gene:Sspon.04G0016750-4D transcript:Sspon.04G0016750-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASRAPPASVAAATDGGIEENAMAILDSSGIKDSRDLHDDRAAFLEAVRSACLATDNPSAPSWRMYDGVFQILQNSSSLELAVASFHLLTELGKQYPRTYVTDSGGHQCLVVVKECWLPFLLGNGAVSSEIGGNTRSSDHLFDPSRFSLLIEAIVEPTNATDDDNGIKAIKNMMLFQYLVNTLEADFVPRHIAYKESLDWVIFRVSLLNMLLGSRKIMFKSFVKNCMFILLNQYHPEAKDEVEDIVPSERKAKSASDHDFSLNYSSFESERTLVSLRKLFVMVMKLDLIRKEADTLGLTSRADGFRNPIMEIILDELTYNSSYLSPFLLGFVEGKWKLEIILQYFSKYCGKGPVRTRRRSDNSQEDLKLESVLSFFLTATSANAIVKKMGAEIAQLLLTHAYQVCLSVQDVTSNSTATSEKIGATLQEISSNFISAFQNIRKASPNIQMSPFEKEALFTAATLIRKLKSEER >Sspon.02G0014030-4P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:16226655:16227074:-1 gene:Sspon.02G0014030-4P transcript:Sspon.02G0014030-4P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGGLSKLRCMIKRWHSSSRIVARAPSPPAHDDGAISGDARPRGASFHGADEVPKGLHPVYVGKSRRRYLIAEELVGHPLFQTLVHRTGGGGGTGGAAAAGTVVVGCEVVLFEHLLWMLENADPQPESLDELVDYYAC >Sspon.01G0009020-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:27305831:27308526:-1 gene:Sspon.01G0009020-2D transcript:Sspon.01G0009020-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MANTQGFRLVRCPKCLNILPEPPNVAVYKCGGCDTTLRAKIRASNGQAKQVRQDSDSYSVATAVSNGVPSQNKDQGSIGAVTESSFNADAPSTEHDSNGTRSSENGDDMLAGQSAVVVEDAENKDNHNFEGQDTSSRMEGPADRTNLNANGTFLDSGETENHTVEQPTETCQVSGHDDTEYHLNTSENKMLSSEMSKAAISMQDPEQKEAGGAEHAANKKSYLVRVLSRSCDLRSSINSIDFHSARTSLQSKSFRASEPLQSKIMNTVDELKGDLSELFNKPADCKPKAHHPPRPSKPDGHTTRAAITSSAPLAAYHPAAKHSGYVSRLSRSGQVPPRGLPSLRYRRHRVYPFHHNVQTEMRPCRHECCHSCQPPCYRSCKQEPAAMHRPPVMEIRRRPPPRNHCLPVLRGAPFVICSNCVSLVQLPTDFAVPSRGTRRLQCGSCSEGPRQEEAAVTIRGR >Sspon.02G0035960-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:22255794:22256237:-1 gene:Sspon.02G0035960-1B transcript:Sspon.02G0035960-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPALRWSAQAVGARPRLRLQLFMTASPSGTTVSLNQLAKVSGNKYAQWRVHVEVMIPHIGGLQFTTIPQQICQCHCKLPKPLISMVSPPIHLASLLFLLFFPALQHQVEKGKNSISYPNNSFTRAQVFLCSCALITSEAIYMIRIL >Sspon.04G0027180-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:56404449:56405945:1 gene:Sspon.04G0027180-1B transcript:Sspon.04G0027180-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVTTSAAAAGPRPGRAHVVLVASPGTGHLMPMAELARRLVAHNALAATLVTFADLGADPDEHSAAVLSSLRAANVSTATLPAVPLDDLPADARIETVLLEVIGRSIPHLRALLHDVGNTAAPLAALVPDFFASAVLPLASELGVPGYIFFPSNLSALSVVQRAVELNDGAGAGEYRDLPDPLQLPGGVSLRREDLPTGFPDCKEPVYAHLIDEGRRYRAAAGFLVNTFYEMDPAIVEDINKAAEQGMFPPAYPVGPLVRSSSDEGGASSPCIEWLDRQPTGSVVYISFGSAGTLSVQQTAELAAGLEDSGHRFLWIVRMPSLDGEHSDDMGRKSCVGGGHENDPLAWLPEGFLERTRGRGLAVALWAPQVRVLSHPATAAFVSHCGWNSTLESVSSGVPMVAWPLYAEQRMNAVVLSENVGVALRLRVRPNGELVGREEIAAAVRELMEGEDGRAVRRRTGDLQQAADLAWAPDGSSRRTLQEVVGRWKVGAVGSS >Sspon.08G0016180-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:63878325:63880622:1 gene:Sspon.08G0016180-1A transcript:Sspon.08G0016180-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYRSEKLVPAVAESASALTLQETDVSSLVESFNTTSLEESIIGLGTVPHNTIGSSLRSSPFSCQKFVAAYAESSSPERDVSSSKELLDATLPEETIIAIPGILPHKTVDGSSSFFPLSCEKPVEGIAESASIQTPQEPDVSSLGAFLDSTSSEELITASPQAEPCDQKLVAAAVEYASMSSKGSTTASPQSVLDNTTDGSPPSDSSLGSKILTRSHRNWYQVFYIRMDRGGSFCMYPNLGGPFQSIDEADDAIDRYLDELRHRAGCKELAKLSYVERRIHNCKYYLDGTPKRGPNSPKEDEKLYLLQALLHKYNEDHNLFGYHAH >Sspon.04G0010100-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:28733807:28734554:-1 gene:Sspon.04G0010100-3C transcript:Sspon.04G0010100-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MIASSVLPLRSLFVLPSSAASRSCPTTATPLPFPRITLSCRRRRLAASATESSSEEEEAAAGSTNGSLPGLPPVEEEEEFCPVDCVTEFKTEEEFQRHLERSKATGALVVVDFYRPSCGSCKYVEKGFVRLCKGSGDDGAPVVFLKHNVIDEYDVQSEVADRLRIKIVPLFHFYKDGVLVESFATRDKQRILAAIRKYTSAEQEP >Sspon.06G0001510-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:11941964:11945457:1 gene:Sspon.06G0001510-2B transcript:Sspon.06G0001510-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SPALSRTRLVGGCRRSPSKSRGAAANRLGRRQNRAPPRRRTIAACVVPSYGRRQRESDGLSIWQHQHSRWRLPLDLKPPSRHMT >Sspon.05G0011690-2T-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5B:28187310:28189555:1 gene:Sspon.05G0011690-2T transcript:Sspon.05G0011690-2T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIDQMESGANGAGGGGGFRRARCWELICSAAGMLWAKVAGFARKLARIARDDPRRVAHSFKVGLALTLVSVLYYVRPLFNNWGVSTMWAVLTTVVVMEYTVGGTLCKGLNRAFGTLVAGFIAVGAHKVAYLCGDKAEPVLLAIFVFLLSSAATFSRFIPEVKARYDYGVTIFILTFSLVAVSSYRVVELIRLAHQRFSTIVVGVATCLCTTIFIFPVWAGEDLHKLAIGNLNKLAEFFEGIESECFRENATFENLEAKPFLQVYKSVLNSKATEDSLCNFAKWEPCHGKFKFRHPWSQYQKLGALSRQCASSMEALASYVIALTRTEPFPACSQYPEAHPELCLKVRTACRQMSLHSAKALRELSAAMRMMTLPSPANVHMSAAIKAARGLRDELSEDADLVQAMHVAVIASLLSDLVTKTKQITESVDILARLARFKNPENTQRDVGINVVS >Sspon.01G0014600-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:31906083:31907203:1 gene:Sspon.01G0014600-1P transcript:Sspon.01G0014600-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFIHRRTSKQTSKVKTLLGLALSRLAAARRPRLARKSISCSDVGQLLALGHLDRALHRAEQLIEEDNMLEAFDIIELYCNRLIEHAKQLDKPHECGVDIREAAAGIMFAAGRCSDLPELLFARTILANKFGGDFAMMAKEGTGIVDPMLVWKLSGNKRNMEMKKMVVKEIAAENNVLLDFSEFQKWLSWMAAATFRTITSSTTKLYTKLTWMKVQTETKEHENFSAHKKVNLQSIQ >Sspon.06G0008150-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:33547465:33550930:1 gene:Sspon.06G0008150-1P transcript:Sspon.06G0008150-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAGGHRAERAERPAGHRMERAEMTAGGHRAERAERPAGHRMERAEMPAGGHRAERAERPVGHRMERAEMQHATHHATERAGDARRTTITAAAAELPAWQTTERKKSLESLLDAAGDARGRRQQQHHHHHHHRGGGGGGGGGHVPERPAPVPGEKVINFPGQGLEFKELSYSVIKKQKKDGVKVKKEVYLLNDISGQALRGQVTAILGPSGAGKSTFLDALAGRIAKGSLEGSVSIDGRSVTTSYMKQISSYVMQDDQLFPMLTVLETLRFAAEVRLPPSLSRAEKLNRVWELIEQLGLQTTAHTYIGDEGIRGVSGGERRRVSIGTDIIHKPSLLFLDEPTSGLDSTSAYSVVDKVKEIAKGGSIVLMTIHQPSFRIQMLLDRIVILARGRLIYLGSPITLPAHLAGFGRPVPDGENSIEYLLDVIKEYDESTLGLEPLVAYQRDGSKPDEAAKTPIPKTPRTPHQKSVQFRQMQLKSNQFSVTTGTPHANTFSNFESYNIDDDEEEFDNSLERKSHTPLHTGTSTYHPRLASQFYKDFSVWVYHGVTGTPHRKPTWSTPARTPARTPMSSYQQRSRVATPHHAPPPQSPHEPVFKPEEPSYHEYQLELEPPLDAPEDGPKFANPWLREVAVLSWRTALNVVRTPELFLSREIVLTVMALILSTLFHRLSDSNFTTINRLLNFYIFAVCLVFFSSNDAVPTFIQERFIFIRERSHNAYRASSYVISSLIVYLPFFAIQGFTFAVITKYMLHLRSNLVNFWIILFASLITTNAYVMLVSALVPSYITGYAVVIATTALFFITCGFFLKRTKIPMAWRWLHYISAIKYPFEALLVNEFKGDHCYVGTQNQLSPGPLGQVNNLNATSPTCPLVGQDVLSTMDIQIDNIWIDVAILLAWGVLYRLIFYVVLRFYSKNERK >Sspon.02G0017050-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:48387534:48393729:-1 gene:Sspon.02G0017050-1A transcript:Sspon.02G0017050-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVMERGREGGAAATWRTGVAVRRMARGTTAKERGEEAGERSKRVGVGSRDRMGMDKEPGRGDARGSVESWGSVQTDRRPRRNITFLNNLMCQWNRASDAKSFCFPEMLGLVLADAVAWAAMELGVEAARWMIGKAVVANMLATHPWKWNPITPSTPRGIATGKGLCSSGRAIYGLPISEALKLQMEVQKRLHKQLEVQRQLQLRIEAQGKYLQKIIEEQQRLTGVKSETPAGGASVTVSSDQFPDSERTEPSTPAPTSESPTQVGASNRDTGDRTEAIKSTCHGDSLSRHEPLTPDSNCQNGSPAASPNHERAAKRQRGSGTEFLDSEAEFSLPRHIFESSSGSEFQQYSMSYSGQ >Sspon.04G0017930-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:8628646:8634042:-1 gene:Sspon.04G0017930-1P transcript:Sspon.04G0017930-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIGQYPASSCSKEHQKIYQEWFALADADGDGRITGPDAIKFFGMSKLSRPDLKQVWAIADTRRQGYLGFPEFVTAMQLVSLAQAGNEITQDSLKRDDLVSLNPPVMEGLDALLAVIKYDDNCFQKSKHLVKRVDPEMDGYPQEQSTLTNKWFSSTSSKKIPLTAVTSVIDGLKKLYIEKLKPLEVTYKFNDFVSPLLTSSDFDAKPMVMLLGQYSTGKTTFIKHLLRTSYPGAHIGPEPTTDRFVVVMSGPDERTIPGNTIAVQADMPFSGLTTFGTAFLSKFECSQMRHPLLEHITFVDTPGVLSGEKQRTQRSYDFTGVTSWFAAKCDLILLLFDPHKLDISDEFKRVIGSLRGHDDKIRVVLNKADQVDTQQLMRVYGALMWSLGKVLNTPEVSRVYIGSFNDKPVNESAVGPLGKELFEREQDDLLSDLKDIPKKACDRRINEFVKRARAAKIHAYIIGHLKKEMPTMMGKAKAQQRLIDNLPDEFAKVQREYHLPSGDFPYVEHFKEVLSGYSFDKFEKVKPKMIQAVDDMLGYDIPELLKNFRNPYE >Sspon.06G0033350-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6D:12779842:12780393:-1 gene:Sspon.06G0033350-1D transcript:Sspon.06G0033350-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLGKPLRKGREDCHSSGRVNRRVQSHPPIPDQTARGSRGASSSAGSSRAYGNARLSCTRRDRPPPPFPLRLSQFWRTTACAFPKYSPTSPRYKARAARGRGEGEAQPGSRTVQLHRTLPPPSVLPLVLLHSALPRSARYPNTRTSHRPAGYIAGGARQERRGRRSRKKAQALACRCPVGVGYT >Sspon.03G0000060-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:10609709:10617267:1 gene:Sspon.03G0000060-2C transcript:Sspon.03G0000060-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSFSAGASPQKQQQFARWRQLIRQQRKSLPIASVEKRLVEEVRKNDTLIIVGETGSGKTTQLPQFLYDGGFCQDGKVIGITQPRRVAAVTVAKRVAEECNDQLGKKVGYSIRFDDSTSNATRIKYMTDGLLLREALLDPLLSKYSVIVVDEAHERTVHTDVLLGLLKKVQHSRADANKNGKTLPDVQGHSQNLTLKECQGIRCAPLKLIIMSASLDAKCFSDYFGGAKAVHIQGRQYPVDILYTYQPESDYMDATLVTIFQIHLEEGPGDILAFLTGQEEIESLERLIHERARLFPPESSKIWVTPIYSSLPSEQQMNAFKPAPAGTRKVVLATNIAETSVTIPGIKYVIDPGMVKARAYNPVTGMESLIIIPVSKAQALQRSGRAGREGPGKCFRLFQESEFDKLVDSTVPEIKRCNLSNVVLQLKALGIDDIIGFDFMEKPSRTAILKSLEQLILLGALTDDYKLSDPVGHQMARLPLDPMYSKALIVSSKFKCLEEMLIVVSMLSVESIFFSMREKLEEARAARKGFESSEGDHITLVNVYRAAAECLEKSRNANAKEKTMEKALNRWCRENFINYRSLRHARDVHSQIQGHAQQMGLNLSSCGDDMVLFRRCLTSAFFLNAAMRQPDGSYRALATGQSVQIHPSSVLFRTKPDCVIFNELVRTTQNYVKNLTRIDPLWLAELAPQYYATEE >Sspon.05G0009340-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:18479182:18481379:1 gene:Sspon.05G0009340-3C transcript:Sspon.05G0009340-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIFGAAQLLLSFIPDFHDMAWLSVVAAVMSFLYAFIGFGLGLATTIANGTIKGSITGVQMRTPMQKVWRVSQAIGDIAFAYPYSLILLEIQDTLKSPPAENKTMKRASMISILVTTFFYLCCGCFGYAAFGSDAPGNLLTGFGFYEPYWLIDFANACIILHLLGGYQVYSQPIFQFADRFFAERFPDSGFVNDFHTVRFACLPACRVNLLRVCFRTLYVASTTAVAVAFPYFNEVLALLGALSFWPLAIYFPVEMYFIQRNVPRWSARWVVLQTFSAVCLLVSAFALVGSIEGLITQKLG >Sspon.01G0022130-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1A:81222137:81223887:-1 gene:Sspon.01G0022130-1A transcript:Sspon.01G0022130-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SSGTIPACSFYSLKEVQRYKMLEIKFAIVDNPSNAVEWALAEMMNQPEVMHEAMNELDTMVGKDRLVQESNIPHLNYLKACLREAFRLHPYHAFNPPHVATEDAIVSSYLIPKGSHVLLSRMGLGRNSDVWDAPLQFRLERHLMMNNKQQQGHHVGLMEPDLRFISFIA >Sspon.04G0006720-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:19163268:19168987:-1 gene:Sspon.04G0006720-1A transcript:Sspon.04G0006720-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGGVGEHETWEPMWSARCSPATSSAGSLQDELDERSARPGTGCSSRCPKSDGGSTLLWTLHNLVKDGSEVVIAHVHCPAPAIAQKRGHTSMKPEDQGVQKAEASKGREEPRYVCADCKMHKGRSRGAISSITFIVGCAKVIIETDNVAEGLEELISLHNITKLVMGAAADRHFSKEMNTPKSKTALRLMKTAAQSCKMWFTCQGHLICTREANESLPAILPSPEKSNEPLSPAYSISSQMGSMALTELEYEESSHKGYISSSSSATKMTEWDYLFGDWGMIVYGSSGTDDAVSFPETAALPRVIGDTHKTTPVVHVTTQEPDSVYLLLASAYNQEDEPSVDEEKYEKLQDPCTEAKLLKDEADDESNKIRKAEMDLLSALQRVQYDQNGEISLQIKALEDSYLHEVNQRKEIEKTLARQRLEIDEMRRQRCMHKLILEQRITQIKSAAKDHVEEITDHFIKQSSEESKKRQKIKMDLLSTLQRVKEVECLLQNEKAQREYMEEKVARQRTEIEETKRQRDKLYHDLQDLKEQKLKLEQVDMSEETNRRKKAERDQLSYLQRIKDLEHQHIHQVKKQEIMEETMTRQKEEIEASKRKLHEIHGKHMTELKSAVQVHEEKLANSKQLLQELQAKYDKLLHERDTAVMEAKALRKKNKQRALVTTETPNTEFSIIELLKATKGFDAEFKISEDGFASIYKGFVRNTNLAIKLFHPRSLKGQARFYQEVAVLSRVRHPNIVTLVGVCPDDFALVYEFLPNGSLEDWLSCKKNMPPLTWKARTRIIGEICSALAFIHSHKPYPIVHGDLNLGNILLDANFVSKLGGLGICYFLREADITTTSLQSHPTENHEGTLCYMDQGEFKSAAKLMLWSDVNSFGIIILRLLTGRSQQGIGEIVEEAMEKGNLHSIIDTSAGEWPFVQANQMAHLGLRCITLGSGRQPDLAGEVWEEVKQLMKAACLTTGPSRFASSSEDASPPSYFICPIFQEVMSDPHMAADGFTYEAEAIRGWLDGASTSPMTNLRLAHRKLTPNRALRSAILEWQQKQHGR >Sspon.04G0008230-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4A:23829814:23830238:1 gene:Sspon.04G0008230-1A transcript:Sspon.04G0008230-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPNNSTDVVALLEFKQAITYRPAEALSNWNRSTNILEFGDEFGKLNKAATERTKAE >Sspon.08G0005760-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8A:18037953:18039517:-1 gene:Sspon.08G0005760-1A transcript:Sspon.08G0005760-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MYTLSRSSSEDQTLPPASAQPGHRFIFQSWLFSHRNRKSSWHHPPVPPPPPDDGTAAAPPPKPICQPSPSQAQIRAPQVLIYSSMVVSLAAIHPWGHHRLRCVQARASPRQRMLRRRRLRSPRPSHPRSSRGVPRRAGPSCAVGPRTPATIAPTCRVRRGSPTSTSSVGSRVRLRHRLNEPSCALSWSLTVPFWGCAAEAEAARRPGGKDAIGHVLGSSMAYPSTAGSLCTSILARCAGHWRLTFLVSVHGLLMCLAEGPTSTPTSSTSSRAGDAGAAMGERPPNASALSRRSHSPPRMSCPQGPGTVGVPQCRVASVGQGDQACRRDVVLGQARHHPCRRLLECSNQPHRQCCRCSTTAPCRRHQQLWVTPIVVPGSTSSSSPGTELVAADEDLSLIMVALVGGNWPAISSDEVRVHLCAGVHRASRGPSTPPCHSCNDVAAADEHDTGGAHEIELDVPERTYRVESTPDPMLLEADLAA >Sspon.07G0016290-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:57975432:57982278:1 gene:Sspon.07G0016290-1A transcript:Sspon.07G0016290-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Methionine S-methyltransferase [Source:Projected from Arabidopsis thaliana (AT5G49810) UniProtKB/Swiss-Prot;Acc:Q9LTB2] MAAPAGGDKDVDAFLADCTSSGDTAYGAAKAVLERLHAPATRPAARRLLGAVRRRFASSRAAGEECFRTFHFRIHDVVLDPHVQGFQQRKKLTMMEIPSIFIPEDWSFTFYEGLNRHPDSIFRDKTVAELGCGNGWISIALAEKWCPSKVYGLDINPRAVKIAWINLYLNALDDDGLPIYDGEGKTLLDRVEFYESDLLSYCRDNKIELDRIVGCIPQILNPNPEAMSKIVTENSSEEFLYSLSNYCALQGFVEDQFGLGLIARAVEEGISVIKPSGIMVFNMGGRPGQGVCERLFRRRGFRITKLWQTKIMQAADTDISALVEIEKNSRHRFEFFMDLVGDQPICARTAWAYLKSGGRISHALSVYSCQLRQPNQVKKIFEFLKDGFHEVSSSLDLSFHDDSVADEKIPFLAYLASFLKENKSNPCEPPAGCLNFRKLVAGFMKSYHHIPLTPDNVVVFPSRAVAIENALQLFSPALAIVDEHLTRHLPKQWLTSLAIEGSADCNHADSTVTVIEAPRQSDLLIELIRKLKPQVVVTGMAQFEAITSAAFENLINVTKDVGSRLFLDISEHLELSSLPSSNGVLKYLAGKTLPSHAAILCGLVKNQVYSDLEVAFAISEDAAVYKALSQTIELLEGHTSLISQHYYGCLFHELLAFQIADRHPQQEFPFVMINEPSLSISQRQPAEVIPQQMIGFSDPAMSTLKAAEFFVPDSAESNIIHMDLDRSFLPVPSAVNASVFESFVRQNITDSETDVHSSIQQLVKDSYGLSADGGSEIIYGNTSLALFNKLVLCCMQEQGTLLFPLGTNGHYVSAAKFVNASTLTIPTNFGTGFRIEPKVLADTLKNVSRPWVYISGPTINPTGFLYSDNDIQELLSVCAEYGARVVIDTSFSGLEYQTDAWSKWNLEGCLSSLKPSKSSFSVVLLGELSFELTAAGHDFGFVILSDSSLAETFHSFPSLSRPHITLKYTFKKLLGLKNQKDEHFSNLMVEQKEELKNRANHLIKTLESCGWDVAIGCGGISMLAKPTAYIGKPFKADGFEGMLDASNIREAILKATGLCINSSSWTGIPDYCRFSFALESGEFERAMGSIARFKELVLGGSGQAQMN >Sspon.03G0022260-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:90758986:90768283:-1 gene:Sspon.03G0022260-2B transcript:Sspon.03G0022260-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTEDSAAAGEVRRLLAHLDSQQQILADCHGAWSRTLAYFASLEEDLASRSAALEEALAAADASTSESLAALEARESAVPARLAEASAALSAAVAEAETESTGPPPTDIKGALRWICRRMDAAALWRFMAAHCRELAVVRKEAGPAVAMAVDPPRLVLDVVSDFLAAGSGVGEDQCWVLGMLLRSLLDSNGRKPPEIGDTLVERAFAVTQEWQERFGINMDKLSSENKEVEMAEADGLGNSGATEKKEGKEEEEGQEEEEEEEEEGEEEEEVEEEEEEEEEEEDPEKTALVSGDEEDPEEVEEPEALEKEGNEVKGEGAEGKVSEEGEGAEKIGPEDEKKGAAVGTMEGKTGEEGNKGAPEQPEAHIFVQMVAAFGLKDKFDEEFLRRLFVANGRKRELARFACVLGFEESLGGIVDELIKSGNIIEAIFVAHEADLLERFPPVPLLKSYLRNSTDKAQVVLKSGKHSSSALEEANNLEGNAYRSIIRCVESCQLQSVFPIEVMKKKLANLRRRRQLAEQIPDKRSRGAAGPYPFPAAKAARGSNFGPRFQNPISRSLNYAAHAGYINPAAASSYYVPGSVSGRRGGVPFGGPGATYGGSPNFAAGGGQQSFRLYSYQ >Sspon.04G0022010-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:6915007:6921038:-1 gene:Sspon.04G0022010-2C transcript:Sspon.04G0022010-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MISIVAEANLLFLESPAGVGFSYTNTTSDLKTTGDERTAQDALQFLISWMSRFPQYRHRDFYIAGESYAGHYVPQLARKIVEYNEASPNPFINLKGILVGNAVTDNYYDNIGTVTYWWTHAMISDRTYKAILKSCNFSSSNISRFCNRAMNYAMNQEFGDIDQYSIYTPSCVAARSNATVLRFKNTLIRRRSFGYDPCTETYAEKYYNRLDVQKAIHANTTGIPYRWTACSDVLIKTWQDSEFSMLPTYKKLMKAGLRIWVFSGDTDSVVPVTATRFAISHLGLKIKTRWYPWYSAGQVGGWSEVYEGLTFASVRGAGHEVPLFQPSRAFRMFRSFLAGEPLPNLKIQSSKSDSYIMAQDYQSSPIARYLEIRSGVRLRLMDYMESELVAKKEALEADLGSSGLDVGLHLLPELGVGEGPVPAASAADDGVVLREREVAEREPLRCLARRHPVAHAAVRVAADGPRHVAQVDQQRARLAGSRRDVAPRAVVEHLQAADGVLVDDGEHGAVRVGLHPEVPGLGASRVAVHPQEPVALREVGRRLARAEAQRRGERVEERPRHPRHVRAVLRREVE >Sspon.07G0015050-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7A:54037053:54037189:1 gene:Sspon.07G0015050-1A transcript:Sspon.07G0015050-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding TSWLLENPFGLYKQGDLFKASKVLDATNWTALYQLHSTPSILKE >Sspon.05G0003720-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:15561592:15564755:1 gene:Sspon.05G0003720-3C transcript:Sspon.05G0003720-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHDHLKDRLLLPSSRAAATNGPHHRRATPAPGTGAGGPPCGGGSGGVSIDVNGLKRRGGGRRSWVRVDAATGAAEAVEVAKPALMRRLDLPARDLRLLDPLFVYPSAILGRERAVVCNLERLRCIITADEALVLREPDAAAEEAVRSYVDELQRRLVDRADDLPFEFIALEVALEAACSFLDSQAIELEAEAYPLLDELTAKISTLNLERVRRLKSKLVALTRRVQKVRDEIEQLMDDDGDMAEMYLTEKKMRMEASMLDDEDLQGIGYSHNGFDSSLSAPVSPVSTHPATRRLEKEFSFARSRHSSFKSSDSSQYNIEELEMLLEAYFVVIDYTLSKLTSLKEYIDDTEDFINIQLDNVRNQLIQFELLLTTATFVVAIFGVVSGVFGMNFEVPLFSVPHAFEWTLAITGVCGAVVFCCFLWYFKKRRFFPL >Sspon.06G0029170-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6C:16067203:16068027:1 gene:Sspon.06G0029170-1C transcript:Sspon.06G0029170-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKFSRNKHTLACFEFPIWQRRAGDEKHHFPPPSAALLSATSVIRVKSALRPSVGRSPNGPRRLKPAIRGTCPSTNQRSPVPSYIINAPPPRLLSHPTTANTSPRIPFLPTRSPSQTPTRIASMAPKAEKKPAAKKPAEEEPAAEKAPAGKKPKAEKRLPAGKSAGKEGGGEAKRGRKKGKKSVETYKIYIFKVLKQVHPDIGISSKAMSIMNSFINDIFEKLAAEAAKLARYNKKPTITSREIQTSVRLVLPGELAKHAVSEGTKAVTKFTSS >Sspon.02G0013850-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:39140474:39145205:-1 gene:Sspon.02G0013850-3C transcript:Sspon.02G0013850-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQRRLLVVAAVLALALALALAATAAADNSAFAESNPIRSVTDRAASALLESTVFAALGRTRDALRFARFAVRYGKIYESAAEVQKRFRIFSESLQLVRSTNRKGLSYRLGINRFSDMSWEEFRATRLGAAQNCSATGNHRMRAAAAALPETKDWREDGIVSPVKNQGHCGSCWTFSTTGALEAAYTQATGKPISLSEQQLVDCGKPFNNFGCNGGLPSQAFEYIKYNGGLDTEESYPYKGVNGICDFKPENVGVKVLDSDAVALVRPVSVAFEVINGFRQYKSGVYTSDHCGTTPMDVNHAVLAVGYGVENGVPYWLIKNSWGADWGDEGYFKMEMGKNMCGVATCASYPIVA >Sspon.05G0013230-1T-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:5B:37339492:37346762:1 gene:Sspon.05G0013230-1T transcript:Sspon.05G0013230-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTRQASRPQALTAAACEPEISPVPSSVRAALADPHWRRAMDEEYAALLANQTWDLVPRPPGSNIVTGKWIWTHKRRADGSLERYKARWVLRGFTQRPGIDYAETFSPVV >Sspon.01G0010340-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:28928369:28930782:1 gene:Sspon.01G0010340-2C transcript:Sspon.01G0010340-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDATAGEPVAASGDSESQEPPKTLVDWALEILSTADPDEKARLGDLAASRWLSGEIPLPYDPSRPAHPPLDRPARSAEVRLLPPSRMPKLGKGGSAQSRLAMLHSLAHTESWAVDLSWDIVARFGAAMRMPRGFFDDFARVAQDEGRHYTVLSARLRELGSHYGALPAHDGLWDSAMRTSHSLLARLAIEHCVHEARGLDVLPTTISRFRAGGDEQTARLLEDIIYPEEVTHCAAGVRWFRYLCLRSNGNHPTSYPVPELAPRFFLLHGDGTADGKTAREAEGEPTPILAKDVSNDDKMVQQVEDELPSRIAEDANGQVRVIEHVEDGLAKCKLDDSIDKDEEAVIRIFHGVVREYFRGPLKPPFNTEARKAAGFEPAWYEPLAVKEACIEGETIE >Sspon.01G0015390-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:44958941:44961636:-1 gene:Sspon.01G0015390-2B transcript:Sspon.01G0015390-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RAAVWTALPGPAVTATEFKGYVRWITTGYGPQYEARGKIKCARPQTCDARGASARQAGIQLQTCDARHDPTRAKLPLPYYTLATTPAHKRRAACQGMAATTARASRRLAPGDAHATPAVGPVSSGSGGGRAQEFNLSSGAATAVVFVSVLLCFILLCTYCRCARQRAVAGARRRVMRDLVPGVALFLRPSAAALPPVVPYAAAAAASAAKKKGLMPEDCPICLEPFGDDDGVRVVPACGHLYHAPCIDRWLDVRNSCPVCRCAVASLYAAADRERDAAAVAHGAHDDDDEDDQEAVLQRVVAMIEAIRDEQREDAVARRAPAGGGGGTRPLRRLAHRPPRPQQRCR >Sspon.06G0029850-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:35688455:35690022:-1 gene:Sspon.06G0029850-1C transcript:Sspon.06G0029850-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHSTTLALHLLVVVVVLALTFAASASVSAPVNKSCVTGSAGASVSIGYGGARASAGAGVSLGADAYRTTCPAPRRAVAADPRMAASLLRLHFHDCFVNPFFVGEKTAVPNANSLRGFEVIDAIKAELERECPETVSCADLLAIAARDSVVVSGGPSWEVEVGRKDSRTASLQGANINLPAPTSGVATLVQKFRSVGLSAKDMVALSARCTSFSARLAGVGGVSEGGAGAFKDLTFLQSLQQLCTGSAGSALAHLDLATPATFDNQYYINLLSGDGLLPSDQALASLSSGAGAMPGVEADVASLVAIYAFDASVFFQDFAESMLRMGRLAPGAGTSGEVRRNCRVVNSSG >Sspon.05G0004880-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:17603669:17617307:-1 gene:Sspon.05G0004880-3D transcript:Sspon.05G0004880-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTMATRLSKHTVAIQHPFLISFLHIEYPMLFELHNDATQRISHCGVLEFVAEEGMIIMPYWMMQNMLLQEGDTVHVKNATLPKGTYVKLQPHTTDFLDISNPKAILEKTLRNFSCLTTGDSIMVAYNNKQYYIDIVETKPASAVSIIETDCEVDFAPPLDYKEPEKPRQQTVPASKAPAQDGNTVVEDEPKFKPFTGSGKRLDGKALKLQASDVPSSSLSAPSDSNKRGNQQISAPAASGASNDSRQKTGKLVFGSSASNNKELQKFYGGYAYHGNSFEQTYRCYPASFFDKPHLEGGDKASLHIEYPMLFELHNDATQRISHCGVLEFVAEEGMIIMPYWMMQNMLLQEGDTVHVKNATLPKGTYVKLQPHTTDFLDISNPKAILEKTLRNFSCLTTGDSIMVAYNNKQYYIDIVETKPASAVSIIETDCEVDFAPPLDYKEPEKPRQQTVPASKAPAQDGNTVVEDEPKFKPFTGSGKRLDGKALKLQASDVPSSALSAPSDSNKRGNQQISAPAASGASNYSRQKTGKLVFGSSASSNKELQKAPAKEEPAKKDEPRFQAFSGKSYSLKR >Sspon.02G0018660-4D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2D:55981932:55983192:1 gene:Sspon.02G0018660-4D transcript:Sspon.02G0018660-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPVETMNPSTEVLETTVTSVFHPGKLAVELISVDHNTDPTQPIPVLIVAPKDSGTYPVAMLLHGFCLQNHFYEQVLKHIASFGFIMVAPQVHYTIRPAQRCDLSKLVLAGHSRGGHTAFSLALGHGKTNLKFSALIGLDPVAGQGKFLQISPKILTYEPSSFDIAMPVLVIGTGLGEEKRNILFPPCAPKDVNHKEFYHECKPPCYYFVTEDYGHLDMLDDDAPKFMTCMCKDGKNCKDMMRRSVAGIIVAFLKAVLSGEDGDLSVILKDPALAPTTLDPVEHRLA >Sspon.05G0005600-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:12017158:12019234:-1 gene:Sspon.05G0005600-2B transcript:Sspon.05G0005600-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable phospholipid hydroperoxide glutathione peroxidase 6, mitochondrial [Source:Projected from Arabidopsis thaliana (AT4G11600) UniProtKB/Swiss-Prot;Acc:O48646] MAAASSATSVHDFTVKDASGKDVDLSTYKGKVLLIVNVASQCGLTNSNYTELAQLYEKYKDQGFETLAFPCNQFGGQEPGTNEEIVQFACTRFKAEYPIFDKVDVNGNNAAPIYKFLKSSKGGLFGDSIKWNFSKFLVDKEGRVVDRYAPTTSPLSIEKDIKKLLGSS >Sspon.01G0032530-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:113041043:113041850:-1 gene:Sspon.01G0032530-2D transcript:Sspon.01G0032530-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LDLLKNAESNAEVKGLDVDTFYVNQAQKQRRRTYRAHGRINPYMSSPCHIELMLSEKEEPVKKEADTQIAPRKA >Sspon.08G0013460-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:56280198:56283365:1 gene:Sspon.08G0013460-1A transcript:Sspon.08G0013460-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRPLTVIAGASGGSERDLSASVVSVDALDSVASDSDLEAKEPSVATMLTSFENSFDKYEALSTPLYQTATFKQPSATDYGPYDYTRSGNPTRDVLQSLMAKLEKADQAFCFTSGMAALAAVTHLLKAGQEIVAGEDIYGGSDRLLSQVVPRNGIVVKRVDTTKISDVVSAIGPSTRLVWLESPTNPRQQITDIKTISEIAHSHGALVLVDNSIMSPVLSRPIELGAEIAFLQNAEGSGLAPFDCWLCLRGIKTMALRVEKQQANAQKIAEFLASHSRVKQVNYAGLPDHPGRALHYSQAKGAGSVLSFLTGSLALSKHVVETTKYFSVTVSFGSVKSLISLPCFMSHAAIPAAVRAERGLTDDLVRISVGIEDVEDLIADLDHALRTGPA >Sspon.06G0016830-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:91346856:91354303:1 gene:Sspon.06G0016830-1A transcript:Sspon.06G0016830-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLFDFFRARPDLQTPVELTTAAHRELCYRQLRALVREAGVRPLTLMVTDPAEYFAVMEAAGGADISLGVKLGVQYSLWGGSVINLGTKKHRDKYFDGIDNLDYPGCFAMTELHHGSNVQALQTTATFDPVTDEFIINTPNDGAIKWWIGNAAVHGKFATVFARLILPLQGKGGQPADMGIHAFIVPIRDLETHAVLPGIEINDCGHKIGLNGVDNGALRFRSVRIPRDNLLNRFGDVSRDGKYTSSLPTINKRFAATLGELVGGRVGIAYCSVGVLKVAVTIAVRYALLRHQFGPPKQPEISVLDYQSHQNKLMPMLASSYAFHFATVMLVDKYSEMKKTNDEDLIADVHVLSSGLKAYITSYTAKSISICREACGGHGYAAVNRFGALRNDHDIFQTFEGDNTVLLQQVAGDLLKQYQEKFKGGTLSVTWNYLRDSMGTYLSQPNPVTARWEGEDHLRDPNFQLDAFRVKSHIESVILAKFIESVKSCPDEKTREALKLVCDLYALDRIWKDIGTYRNVDYVAPNKAKAIHKLTDYLSYQVRLVARELIDAFDLPDLIIRAPIGMQSEAYAQYTQYGLAYLVGRKRFCHGKPVPAEDKYGRGDGLAGREGDLALAPASVLGWLQETLLEPCIEGLDIRFVSSDPVSEAGGEAVHLIPQMHCCLAAVLTLMQRATRDSLRPFNLLKFKVTPFSLLEEELPAASMGSKKSHDVHNSGGNGGGGGTKAETGDSCPTNSAADGSITKVKGAVAAAFMQWILVEG >Sspon.01G0017130-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:67712879:67714946:1 gene:Sspon.01G0017130-2B transcript:Sspon.01G0017130-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding CQKPFFRLSAAAAASSTCFKNKPSCSFKNKPSHHPPDHLHRRPFRLLGWISTLTTTPRMAGAYKASDPPPSNSAGYRTDKVRRKRLTAQKRKEIKEAFDLFDTDGSGTIDPRELNVAMRALGFEMTPEQISQMIAEVDKDGSGTIDLDEFVHMMTDKMGERDARDELHKAFRIIDQDANGKISDMDIQRLAIETGEHFTLDEVREMIEVADENGDGEIDLEEFMKMMKRTNFGSGF >Sspon.07G0008150-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:18990905:18991186:1 gene:Sspon.07G0008150-2D transcript:Sspon.07G0008150-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MCPEHCSWPRHGSPGPGIGSGTNRKLTAYALSFSPFIQNTSYTTQPGIYFLSEHLCTFKVQLFTSGRAHGRVSVGARSAVAGESLASCELRAF >Sspon.07G0011370-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:39535604:39539074:1 gene:Sspon.07G0011370-1A transcript:Sspon.07G0011370-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALDLLLLRHALVSLLVLLVVVHAPGATRARDLHLEMVTSTVASCASWRLGVETNNIRDWYSIPAECRSYVRDYMYGDVFRQDCAVVAGEAAAYAEGLELGGDGKEVWVFDVDDTTLSNLPYYADTGFGAEPYNATYFDEYVANATAPPLPEVLELYEKLLSLGIKVVFITGRHDTEKEATIKNLRSAGYHTWEKLVLKPSSLGSSVVPYKSGERQKLVDAGYRIVGNMGDQWSDLTGAPEGDRTFKVPDPMYYVG >Sspon.08G0010230-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:39574977:39576729:-1 gene:Sspon.08G0010230-2B transcript:Sspon.08G0010230-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPKFKGEWSASEIEMVKSLIAKDNANNNGASDMNKKHNQIVDELQAMFPSKEKHQVTNLYVDVFFLRIDLYVDVMVEMMQTLQSGNQHVEASSNLMNQPFGVFVGDPSMGNKEAFNGYQQVEMFGVKNVKDTPRRKPTPRKESQHTGRFWTTDEHRQFLRGLHVYGRGNWKNISRHFVTTKTPVQVSSHAQKYFLRKENSTKKQRYSINDIELHDFEPLSQTNASAWEGPTFGGGVYKTNHYSFGGHPTSMNNAQAWSPFLYHTSHGSSSNSQMVTLAIGQQQEQMGASSSLVAPTMEADGGHLDWTSDKLGDLLDTQWMMNYPMVLGFSHGIN >Sspon.06G0007280-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6A:26958878:26962166:-1 gene:Sspon.06G0007280-1A transcript:Sspon.06G0007280-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEVGPGADMEALVRRLRLHRPAPSPYEPSAAVAPAPGAGEMFRPRRAAVLVCLFRGAAGELRVILTKRSSSLSTHSGEVALPGGKAEESDADDAATALRESKEEIGLDPALVTVVTSLEHFLSKHLLVVVPIIGILSDINAFKPVLNAAEVDKIFDVPLEMFLKDENRTSNDLEWMGQEFTIHHFSYAKGNEKYMIWGLTAGILIHAASIVYKRPPDFAEKRAQFNLPKYSKECHSMPRA >Sspon.03G0006540-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:17944962:17945690:1 gene:Sspon.03G0006540-1A transcript:Sspon.03G0006540-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding PMEVSTKQLLPMPHQQDPNSPSPSTSSSSSSSTSPSHPHHRAQPHNLPQSPRPIPRTIDTTPFPTTFVQADTTSFKQVVQMLTGAEQPAKNDATTTAAAAANSGSAGTGSGQAASGGPCRPKKPSFKLYERRSSLKNLKMIAPLAMGPPPSPRTRATPEILSPSVLDFPSLRLSSPVTPLTGDPFNRSPASTSSSSEEAERAAIAERGFFLHPSPRGAEPPRLLPLFPVTSPRMAAPAAAPSE >Sspon.02G0029290-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:98445616:98450385:1 gene:Sspon.02G0029290-3D transcript:Sspon.02G0029290-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding PLSTDKKTLKSSMCGIALVLSSDRLVIVPCATAAAGASEIQHSCERKGVSDELKEALRRRGPDSLGYESRRLLADGTVVGGWLSCVAVGDGCNDGEGKVGVSDSGVADLFFIGATLHLTGAKLVSQPLVAPTGSVLVRYMEEFRLQMMKTTPKLFLPLWNLVVHVIAMLLVEIKHALVVGVVPDQFCNSFPQSKEVHLSNTQAMLNCQMKSNTIWFGRDAFGRRSLLVHWPTADDSRFILSSVSPPSFAKNNSDPTVNDFVSDHDISNHTKASYWEELPCGIYSIHMKDIGKYGARMKEGWIVEVNTHKWMASSLNELIKWERKLTVPTMENNSVHRGNHQLVLVALRESIMLRTKMNTLFQGTLNKFEDEESAPIAVLFSGGLDSMILAALLDQCIDSKWTIDLLNVSFDGQLAPDRISAIAGVKELQRISPCRRWRLIEIDTALTDLKGESEHVMSLIHPSNTYMDLNIGIALWLAAGGDGWVDGSTCRMQDGSRYKYRSRSRVLIVGSGADEQCAGYGRHRTKYRLGGWNALDQEMRLDVQRIWKRNMGRDDRCISDHGKEARFPFLDENVIKTLLDIPLWEIAKLDEPVGKGDKKILREVARLLGLQEAALQPKRAIQFGSRIARESNRKNFGSNRAANQASAGSVQIHQHMQ >Sspon.04G0035400-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:9669366:9678572:-1 gene:Sspon.04G0035400-1D transcript:Sspon.04G0035400-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFTEGLDRDALKWVREGQGAAALHSHDRMDALRAVRGAAGRGGLGMPTPEKFRSGHMPRGATVPLSRSSLRSDDGSAASGSDMDESSDNDEIEVCSGSYSVDSSPRRDDVTRRTAVPLYRYATMPGQQNSYSTDDGYSDLSSSRDTALPRAKAQPMGRPQARVVEYADEEYSDSPGTSEFSSQVEGQSNGVTSKGGYASEYSHTGPAPREVNNLVPKNRAAAAERMRYQHDSHSAHVPAREDVKSTRKLNGLSDVPSAPPINDYDQDHHPVTHDDTRPCDNSNFTNGLSAKKNHQEVNGGANLADKNDRSTSNAGPTSKPSSSIPVRVPTFHASLQGPWYSVLAYDACVRLCLHAWARGCMEAPVFLENECTLLRNTFSLLVIHHSCWLFYLSSFVTLLFNVTLG >Sspon.01G0035860-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:15770376:15772109:-1 gene:Sspon.01G0035860-1P transcript:Sspon.01G0035860-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVEALQKAVQELSGQYEKDCMDAHLRIAQLEAENISIMSQQSATDGECEALRGELAAVRADLDAARASVAFVLREVEAMETRAILEREGTKDALARILQLNEAVLSSAVAAIRAEEERSVFFQESTLQLLDSDRNLEVVRRQIEMMERMEMELLAKTVEVEYLRAELKQAKEIYVSPPRDSDATTVLSAAGCSCSNLDGHDQVQVLGRETAVEDTEAEPEFTFQHSPGPGVSFVSDEIFRMDSHAVPSGGSRMEIGISEDLAEHENKQGAAVMVGDTTVAEGNSDAQATRCLVAKISGEDNHANLPRVRFKCIEADSNQEPAESDGALPDFTTCQGNDVLVQDHMDTKANASFVLESSRDDFQSVHSDNKDISIAEPENVASAASQEPRAEPAAAPTTTPREGSSDTCAFATEIVSKDEDEFYTKELEPEPGQGTKQLDGYVLVSKGGDPGADVAVKDKQLDEARTEISDLRFSLEEAVRRAELAEEAKAALERELREEIRRKQTPSRRRATSDSEDGWRPAREGARPTTPARPRPTSSSTSGTASRAPRSARPVGEDMPTPRCLTLGKVLNMKYK >Sspon.02G0025690-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:94482477:94485146:-1 gene:Sspon.02G0025690-3C transcript:Sspon.02G0025690-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGGGSGSVRRAAAALLWVLVLAAAGGGSVCQAQLRRGYYAGVCPNVESIVRGVVAKKIQQTPATIGATVRLFFHDCFVEGCDASVMVASTANNTAEKDHPINLSLAGDGFDTVIRAKAAVDAAPGCRGKVSCADILAMATRDAIALAGGPSYAVELGRLDGLRSTASSVNGRLPAPFFNLDQLNQMFAANGLSQADMVALSAGHTVGLAHCGTFAGRLRGADATLDAGYAAQLAAWCPAGVDPRVAVAMDPVTPVTFDNQFFRNLQGGKGLLASDQVLHTDPRSRPTVDALARSRVAFERAFVDAVTKMGRVGVKTATAQGNVRRDCAVLG >Sspon.04G0017960-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4A:65074956:65076076:1 gene:Sspon.04G0017960-1A transcript:Sspon.04G0017960-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLSGLALAVLLLISMASSARPCTEQEKSSLLHFITGLSWDGGLAMSSWRNNGTAAADCCSWEGITCGGGGRVVTEVSLPGRGLQGPISPALGDLTGLRRLNLSHNSLSGELPLERLLKPSSPSRHRRRPTDLPGSSRQPRGRPWVIWSCPMPATTASMEGCLLPSACISSSTSFAVLDLRYNQFSGRIPVSLGNCSSLRVLRAGHNRFRGTLPDELFNASSLEHLSLPNNGLHGQLNGARIVNLRNLAHLDLGGNGLDGKIPDSIGELRRLGVLRLGHNNMSGELPPTLNNCTNLMTVDLKNNYFSGELTRIEFSALTNLKTLDLLFNGFTGTIPESIFSCSSL >Sspon.04G0017020-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:62064504:62067725:1 gene:Sspon.04G0017020-1A transcript:Sspon.04G0017020-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPAPAGAGAAVHDELAPGPGREAKHSGRAAAVPRHRQSACARLLSLAVHAAVMAAALAIFVLFAAASALLLLVLVLAARAFRHHRGSRYRVPCNKISSYGTTSAQYAAGIQPEQSSLLASRMLAATEDGKSKLSFPWLHSHWFS >Sspon.08G0007240-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:20944689:20950889:-1 gene:Sspon.08G0007240-2B transcript:Sspon.08G0007240-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAGWSSSWICGGEDSGQLTVASLCVQRTLIDCVNVVLLVAYVSTLAADCARRQCAATASQRSGAPGWVLLLVSLCCVAAAVAYGVTGLQDASDVKAAVPYFVRGLVWITLAASLHVQPTRPARAVAVLWWALFSLLVTAYNAEILAGGHSGLDLPETIAWQVNLLLLLCALGSLLLRGHGHHQRDASNDSSGLLEPLIGEDRTVPTSELYRAGLFSQFAFSWLNPLLRLGRSKALDLDDIPLIADEDTAHHTSRKFSEAWSRHVSDKARSRQSMGSNSLALVLCKCFLGEILLTGFYAFLRTLSIAVAPLLLFAFVWYSNQEERDLRVGLALVGCLLLTKLVESLSQRHWFFDSRRTGMRIRSALMAVIFRKQLRLSSQGRSNHSTGEIVNYIAVDAYRLGDAINWLHMGWSSPLQLVFAVATLFWALKLGALPGLVPLVIFGFLNVPFAKMMQGYQAKFMVAQDERLRSTSEILNSMKIIKLQSWEDKFRHTIESLRDGEFKWLRETQMKKAYGAVMYWMSPTVVSAVMFTATAIMGSAPLNASRLFTVLATLRVMAEPVRFLPEILTTMIQYKVSLDRIEKFLLEDEIREGDVKRVPSDNSDVRIQVQDGNFSWKASGADLSLRNVNLRVNRGEKVAVCGPVGSGKSSLLYALLGEIPRLSGSVEVFGSVAYVSQNSWIQSGTVRDNILFGKPFNKELYDKAIKSCALDKDIENFDHGDLTEIGQRGLNMSGGQKQRIQLARAVYNDADVYLLDDPFSAVDAHTAAVLFYECVMTALAEKTVVLVTHQVEFLTETDRILVMEGGQVSQQGKYSELLGSGTAFEKLVSAHQSSITALDTSASKQKQVQGQQASDEYIVPSAPQVIRQASDIEVTAKGPSAAIQLTEEEEKGIGDLGWKPYKDYINVSKAAFQFSGMCTSQVLFTCFQIASTYWLAVAVQMDSVSAALLVGTYSGLSIFSCCFAYFRSLFAAILGLKASKAFFRELMDSRYYVSSARELVRINGTTKAPVMNYASESILGVVTIRAFAATDRYIHSNMQLIDTDATLFFHTVAAQEWVLIRVEALQSLTIITAALFLVLVPPGVISPGFAGLYLSYALTLTTEQVFFTRFYSYLENYIISVERIKQYMHLPVEPPAIIPENRPPTSWPQEGRIDLQDLKIRYRPNAPLVLEGITCTFGAGNKIGVVGRTGSGKSTLISSLFRIVDPAGGRILIDKLDICSIGLKDLRTKLSIIPQEPTLFRGTVRNNLDPLGLHSDQEIWEALERCQLKTAISSTSALLDTVVSDDGDNWSAGQRQLFCLGRVLLRRNKILVLDEATASIDSATDAILQKVIRQQFSSCTVITIAHRVPTVTDSDKVMVLSYGKLLEYETPAKLLEDKQSAFAKLVAEYWANTKRNST >Sspon.04G0023580-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:13957214:13957873:1 gene:Sspon.04G0023580-1B transcript:Sspon.04G0023580-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFPVGYSEMLLPRVLLQVLLILGHLHRFLLWAFHAVGLGDLIDLGCNYPPPPPSAPTTAAAQEHQHQHQGRDDVAAPLQHRRPDFRSVPAVLIDEALPVVRFDELAGTACGDGDCAVCLSGIGGGDEVRRLSNCRHAFHRACLDRWMAHDQRTCPLCRAPLIPGAADPWAAGVVPWPDAGDYDMSYPSSLPSTPLLALPTPTLLRPHELLLTGLGGFQ >Sspon.03G0021320-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:65467793:65481907:1 gene:Sspon.03G0021320-1A transcript:Sspon.03G0021320-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHGLRAVALHLLLQTHLALTAVVVCSLFPSAGAFTSNHTATMPVPCLPDQASALLRLKQSFATTNYSVIAFRSWRAGTDCCRWAGVSCSNSDGRVTSLDLGDRGLESGGLDPALFDLVSLNYLNLAYNDFNGSQLPSSGFERLLKLTHLNLSSSSFSGLVPACINKLTNLVSLDLSNGFDIIEQVSDGFLLLIDGPGDSSDLVEENFGNLIHNLSNLRELHLGMVDLSNSGSQWCNIIAKSCPKLQVLSLPFCGLSGPICSSLSRLDSLAVIDLQLNHLSGPFPDFFTNLSNLTVLQLRHNHLQGWMSPAIFRHKKLVTVDLYYNLDISGHLPDFSNGSRLENLNVGRTNFSSIIPASVGNLMSMKQLGLAASGFSGELPSSIGSLKSLTVLEVSGMGIVGPMPSWVANLTSLTTLRFDSCGLSGSLPSFIGNLRFLKELLLCDCGFTGEIPPHFSNLTQLQVLLLYSNNLVGTIELTFFSKFPDLIALDLSYNNLVVLDGEYNSTITSFPTMFGLALAGCRMSKFPNFLRHQHELNRLSLADNEIPGAIPQWAWATWNDLSLLFLGNNKFTSVGHAPFLPIQLKVLDLSNNMFEGTIPIPQGSAGVLDYSNNRFSSVPYNFSYHLTDVSLFDASGNNLSGNIPSSFCARTSIQLLDLSNNNFSGPIPSYLEILDVANNQIGDTFPCWMSALHRLEVLVLKSNKFFGQVAQLLHEEENACAFPSAIIVDLSSNNFSGPLPRDQWFKMLKSMIFRDPDASLCINATPPNAVPHHSEKDPIDIRLFLFAGMGFGVGFAIAIWRGGSPSENDLEEGRKNLSDSQGWDSGVIS >Sspon.05G0017020-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:66162823:66166124:-1 gene:Sspon.05G0017020-3C transcript:Sspon.05G0017020-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MELTTNNSADQSLLDAQLELWHSTFAFIKSMALKSAVDLRIAEAIHLHGGGATLPQILTKVHLHPSKVSSLRRLMRVLTATNVFSTQQPHPLAGGSDDSEPMYTLTPVSRLLVGSQPQTPFAALVLNPTIVSPFFELGTWFRHELPDPCVFKHTHGRAIWEMTKHDATFDALVNDGLASDSQFILDVAIKQGGAEVFEGISSLVDVGGGIGAAAQAISKAFPQVKCSVLDLAHVVAKAPTRTDVQFIAGDMFESIPPADAVLLKSVLHDWDHDACVKILKNCRKAIPPREAGGKVIIINMVIGAGPSDLKHKEMQAMFDVYIMLVNGMERDEQEWSKIFSEAGFSDYRIIPVLGVRSIIEVYP >Sspon.07G0000300-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7A:765435:766963:-1 gene:Sspon.07G0000300-1A transcript:Sspon.07G0000300-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALQEESDILTTAATTLPGKNELKPRDVRVAPSMSIFKHADPKSPPPVTYLHFYDSSEFSFGIFCLPKSAVIPLHNHPGMTVFSKILFGSMHLKSYDWAKSLPDSNDNALQNSDGARLARVNTDAVFDASSETVVLYPENGGNLHCFTALTPCAVLDVMGPPYNRDEGRDCAYYSESPYSGSCGGDEQFSWLKEVHSTFEMQGIKMEQNFVI >Sspon.05G0022710-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:3879506:3885822:-1 gene:Sspon.05G0022710-1B transcript:Sspon.05G0022710-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA repair exonuclease family protein [Source: Projected from Oryza sativa (Os04g0635900)] MEKDEIRRFDSFQAFEEICALADQNKVDFILLGGDLFHENKPSRSTLVKTIEILRRYCLNDQPIKFQVVSDQTVNFPNRFGKVNYEDPNFNVGLPDNLSAIDILSACNLVNYFGKMDLGGSGVGQIAVYPVLVKKVQHFSYMQYLNGMTSVALYGLGNIRDERLNRIRLIQYSGCDLELKMGNQRLTGSIYWIKTNPKSAINEHFLPRFLDFVVWGHEHECLIDPQVVLKDEADVDPNDQASVLEHLDKIVRNLIEKSSQPTASRSEPKLPLVRIKVDYSGFSTINPQHHIDDSEKLRPEELNQQTIEALVAESNLKMEILPVDDLDIALHDFVNKDDKMAFYSCLQRNLEETRNKLSSEADKSKIEEEDIIVKVNECMQERVKERSLRSKDGTRFTSSSQNLDTGGKSFTAQSSLNSFSDDEDTRDTVLGARSTDVGRKSSGFTRPSKDTADVAKRGASKRGRGRGTSSMKQTTLSFSQSRSTTVIRSEDVSSEEEADANEVVENSEEESAQQVGRKRAAPRGRGRGRGSTAKRGRKTDIASIQSMMSKDDDDSEDEPPKKAPPRVTRNYGAVRKR >Sspon.08G0028560-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8D:13075505:13076131:-1 gene:Sspon.08G0028560-1D transcript:Sspon.08G0028560-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAQYTDRYWNKGEYRETPEIGLQWVMRCFSRPRYFYKMFRMSTEVFMALHDLLFSKYGLTGTNNVSSIELLAMFLWIVRGPQSFSQAENRFTRSLWIVHTKFHENLLAVCDFDMRFIYAVAGWPGCAHDTRILNHALAN >Sspon.01G0006600-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:15751307:15754419:-1 gene:Sspon.01G0006600-3C transcript:Sspon.01G0006600-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSFLSKDTHAQTRLNWPWRSQSPLSAQLLVDIPPEIELSDYRRLPSSGSESPSGLLHGEGIKEEHIPDLDIFFERLYEYFCAKGLRCIITKWIIEVLNVLFMVCCIGFFFLFVDWDNLIHLKCGVEALESGEKPCDLMKVIKHDPLVPFTLPKMIIVGSMVIMTAYGLTNFLKFFVQLRSTLNVRQFYYDRLKVNDLEIQTISWPKIIEKVVLLQKSQKLCVVRDLSEHDIIMRIMRKENYLIGMVNKGILSFPIHSCVPGAGPTVGSHEHGRRNYLILPKALEWTLNWCIFQSMFDRVFDKPRCVEKATYICWHCMIILSPCLVIFPLVYVILRHAEEIYNHPSTASSRRWSNLSRWIFREYNEVDHFFRHRMNNGAVHSLNYLKQFPTPLVSIIAKFVSFVSGGLAGALIIIGFVGESILEGHIFGRNLLWYTIVFGTIAAISRKVVADELQVIDPEGAMCLAVHQTHYMPKRWRGKENSELVRREFETLF >Sspon.05G0029710-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5B:81912110:81915382:-1 gene:Sspon.05G0029710-1B transcript:Sspon.05G0029710-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSCVALLREASPSPWSVLGGVAAVLVLWWAAQVLEWAWWAPRRMERILRAQGLSGTQYRFLWGDLKEESRLTAAALARPVHSDQPHDVFPRVSPLLHRVLEKHGKLSFTWFGTTPRITVTDPQLAREVMSNKDGCFVKTKITTRVTKLLFGGVAILDAEKWAKHRRILNPAFHAEKLKGMLPAFSAACSDLICRWENLVADSVGTKELDVCLLPTENNRRMKAIDRETKTILRGIIEKRHEATKNGEPTRDDLLGLLLESNMNYTDSDGKSSRGITLEEVIEECKLFYFAGTETTAVLLTWTIVVLSMHPEWQDQARDEVLEVFGQNDPDLSGVSRLKVVTMVLYEVLRLYPPALFINRRTHKQTQLGGVTYPPDVMVVVPIMFIHRDPALWGHDAGEFNPRRFAEGVSKACSDPGAFIPFSWGPRICIGQNFALLEAKLAICMILQRFAFELSPAYVHAPYNVLTLHPQHGVLVWCIETVDDNGEGQTCRWTGSGK >Sspon.07G0020620-3C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8C:20464429:20466279:1 gene:Sspon.07G0020620-3C transcript:Sspon.07G0020620-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEYGLDVECPHMFDGTHFARWKNWMICNFKFICPQMWWMVDVGFSHVLDEDNLTQAQEKCLDLDIQATNIMYRSLDDSIFGKIMDLKSAHEIWAYLNEKYGAITNDDDDVKPKEVAHDDVEHDHNTVVVEDCSTSWSSDDDDRSTTSSLDKDGDDASSVASDVSTPSTLDGDNVGSCSGLDDATTSPSTTPHCFMSQGDTKVSNDNMVDHVDSYDELVSRLASMTTSLENEKAKTMKLENENSFLKTTCEQQKHLLYVTTCSHEELKLAHEELSVAHDNLAQEHALLTKKFSNEETKTTESSSFGSNDQSHITNPCDVGKKHVSTSCDDLLDMPCSSHIDTCSTSMSCGTNLLKENNELKKEVKNLSNKLERCYNSKVTFEHMLNNKRSYGDMSGIGFNKSNIKGKRWGKRRYERDMKKQEQEKLSHFMCFKCHKMGHLANGCPNEEKLKLKKEEERLKHVKCFKCRTWGHLTSMCPTKKLVKQQKEPQPKPQVEQETTPQAQIKINHEDGGDLIIKKKKTRRGGRGRHPMQIQDAKMMSKIQDKKRDLAHIKCFKCEDMGHFASGCPTKLEKKAQATHERQGNEKHHMSKEEKAQPKRRCYSCRERGHMAHSCPL >Sspon.04G0001520-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4A:5263648:5264644:-1 gene:Sspon.04G0001520-1A transcript:Sspon.04G0001520-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GAHQLRRRRGVRSLVLESSPALRTSGFAFTAWQNAFRALDALGVGDKIRKQHPQAQALRVMSSLTGEVAQELDLTVQPKRYSRGCNEIRCVRRDLLVQALEEELPRGTIRYSSRIVSIQEDGNLKVLQLADGSELRAKVLIGCDGINSVVAKWLGLAEPTYSGRMAARGLARFPDGHGFQPKFLQFNGRGLRSGMRPCNDTDIYWFFSFTPTENG >Sspon.02G0026780-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:95030709:95033348:1 gene:Sspon.02G0026780-1A transcript:Sspon.02G0026780-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DGTCCSNQAGGELRILCIDGGGIRGLIPAKILEYLESELQRIDGSSTARLADYFDYIAGTSTGALVTTMLTAPNKDKRPLYTAKEIIDLYLTEGGGIFRNDHQATWIQLVFEAVLLYIKYYDGNNETLQFLHDRLALVASFEPILHAILNALLAEGRAPAAAASAATGGGALQLVAAAHDPVMNNNGADESEASAMTMQDYIQEHAGAILQALCHVQGRALDSSFQDGIKEFAEGLLKTLLNPDFVRYLLLRPKYDGEGLRKVVKEKLGNHRLQETATNVIVPTFDIKRNQPVIFTTSQARKDQLMNPCLRDICIAATAAPTFFPAHSFMTYSWLPPFMEDFNLVDAGVFANNPTTVAMNEIWRMIDRDEKLPVEISPLDCTKLRILSIGTGVVNHSYTADECNWWGLLPWMYNVRNKTQPLIDTLMYGSGSLIDYNVALLFNTQRCQENYLRIQEDNLDPAFGAMDDTSNMPKLIEIGEKLLERQVYRTDSETRKYQPVCGAGTNKEALTKLAEQLVAEKHRREATAAPHISSLSVTEITTVVEPRLKRFKPTYVLQ >Sspon.03G0040140-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:27601394:27608088:-1 gene:Sspon.03G0040140-1P transcript:Sspon.03G0040140-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFLQGQSIETTVAVAVAVIAIAAGGAFLLLRSRKPKGCLDPENFRKFKLVEKKQISHNVARFKFALPTPTSVLGLPIGQHISCRGQDATGEEVIKPYTPTTLDSDLGYFELVIKMYPQGRMSHHFREMKVGDYLSVKGPKGRFKYQVGQVRAFGMLAGGSGITPMFQVARAILENPNDNTKVHLIYANVTYEDILLKDELDSMAKTHPGRFKIYYVLNQVLFLHMQPPENWNGGVGFVSKEMIQSHCPAPAEDIQHERRDAAMAMAPPPACSLFLRVAAAAPSSVTSSSVLSPRGPGLLPSPRPASARRHLTAAWSSRAAASASVEIQDDYADEMDAVNIAQDVTQLIGKTPMVYLNSVADGCVANIAAKLEYMGPCRSVKDRIGLSMINDAEEKGLISPDKVIDHSRIISNIIMANIVNICRWFLPVQTILVEPTTGNTGVAIASVAAARGYKLIATMPSSLDVERRILLRAFGAEIVLTDPTKGLKGAFDKAEEIVLRTPNAYMFQQFNNEANSEIHFKTTGPEIWEDTLGSVDILVASIGTGGTITGTGRYLKRMNKDIKVIGVEPAETSVISGDNPGYIPSILDVQLIDEVVKVSTAEAVDSARELALKEGLLVGISSGAAAIAAINVAKRPENAGKLIAVIFPSFGERYISSILFRPIYDSVRRMRKR >Sspon.05G0009030-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:26386582:26389535:1 gene:Sspon.05G0009030-1A transcript:Sspon.05G0009030-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LQVGDSCSSVSDCGTGLYCGNCPAAGRTKLSCIRDLAIQPTSIVGLPFNRYSWLVTHNSFSILGEPSRTGVERVTFYNQEDSVTNQLRNGVRGLMLDMYDFNDDVWLCHSLQGQCYNFTAFVPAVDTLKEVEAFLSENPTEIITIFIEDYVQSPMGLSKVFTAADLMKYWYPISEMPTGGKDWPSVTDMVAKNRRLLVFTSDASKEASEGIAYQWSYLLENESGDPGIVPGSCPNRKESQPLNSRSASLFLQNYFPTMPVQNEACKENSGLPQMAQACYAAAGNRIPNFIAVNFYMRSDGGGVFDVQDRINGRTLCGCDTIAACQAGAPMGACKDTGAPNQTTSPSSSVNGNVYSGTIEFKTHSTSAASNTSIRSSFVFLLRLQMTSLLFLSFRL >Sspon.05G0022250-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:7173273:7187079:-1 gene:Sspon.05G0022250-2C transcript:Sspon.05G0022250-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKAGRGKGRGGGAKGDKRKKEEKVVPSVVDVTVVTPYESQVTLKGISTDRVLDVRKLLGSNARGHRLEDGVEMVALKPCTLRIVEEEYATAEQAEAHVRRLLDILACTTAFAKPRDGAAKHKSSKHGRPATPPSPPAPASTGAHGVGGGEAAPPISEGHDMAAIQPPPKLGEFYDFFSFAHLTPPVHFIRRKEANGASQEGDYFELDVKVCNGKLLHIVASVKGFYLAGKRHNVSHSLVDLLQQLSNAFANAYEALMKAFVEHNKFGNLPYGFRANTWLIPPIYVDSATKCPALPVEDENWGGNGGGCGRDGKYDRRRWSREFSVLARMPCKTEEERVIRDRKAFLLHNLFVDTAIFRAASTIRRLIDQSMNSMGPHSGTHGSNVFEERIGDMNIMVKKDVADASSKVEDKVDGVAFCQTGAMDIAQRNLLKGLTSDENVVVKDSSTLGVVIVKHCGYTAIVKVSGRAKDSNDVKQSNDISDNFDGMLNIDVHDHPDGGSNALNVNSLRIPLPRVIHPETAVGNQRPSPKSHASNPARKLARKILKDSLIKLDSMPSINSRIIRWELGSSWLQQLQKKDSPASENGKGNATKADKEPTVKGLGKHFEQLRKIKKKECNIEGSSSEKEECNSNCSSMNGTQISDIAVDETNKWADISELISEDAFSRLKSLGAGLHEKSLDELTKMAHNFYDDTALPKLVEQATKLPHIQSICIHEMVIRSFKHIIRAVIAAVDDMQNMSAAIAETLNILLGSPRLENGADTDAHIDNDLRLKWVKSFLSKRFCWKLKDEFAHLRKFIILRGLCSKALSKIIAVCGPYHRLTANAYSLLAVVLYHTGDFNQATVYQQKALDINERELGLDHPETMKSYGDLSVFYYRLQHIEMALKYVNRALYLLQFSCGLSHPNSAATYINVAMMEEGMGNVHVALRYLHEALKCNKRLLGADHIQTAASYHAIAIALSMMDAYSLSVQHEQTTLQILQEKLGQDDLRTQDAAAWLEYFESKALEQQEAARRGMPKPDSSIASKGHLSVSDLLDFISPDQERKERDMQRKCRRAKNNIRAHHGESVEEKENFQHNSGSPLEASKDGFQEEKLDVHPPAVLEENYAAHDEQKQCDVLSPEEYSDEGWQAASMRGRSANVRKKSSRRKPALMKLMVDRFEDGHTGSVYKTGLQPQTKGDREDSVSAPSQLSFGSFLKTDKLNGDPSIAEDKSCNGSAKPEQRTKPTGINRPTSIASKFISYKDVAVSPPGTVLKPTLEKKEAKEKDSSGHDIDLTLSSEEEDRKFTEKEKEKPSEDSSKEVLSSQQNLESHVEIAPDSNSDEGPSASKKASGSKLSASAPPFNPGSLLSMSHPYSTVAIYDASAVLQAIPSQAMQILPHAIDTRVPRGPRSTLYYRTGHSFQRKQGYTHSQSTIVRGSYSPTTMNPHAAEFVPGKTSQQSDVADREPSSPAVDVLSQTTDEVKAETPAAEKAGQVEKVVSSKGKENRGKDIVRNSYKTELARQILLSFIVNSVHDSLGSSQAEPERKPSGSDEASNEQSSNLGKNASGRKDSDKQAKATEVPKGVKDTEGFTVVSKRRRRPQPFMNPINGLYSQPSITSVS >Sspon.01G0031900-3D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1D:110533587:110533799:-1 gene:Sspon.01G0031900-3D transcript:Sspon.01G0031900-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPPQLPAGEGSWAHEVKQALREKLRRSYGAAATPAARPAGEPSRGTDCRGSAAEDPIRRVMFLAPWGHT >Sspon.02G0007530-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:21308984:21310265:1 gene:Sspon.02G0007530-2B transcript:Sspon.02G0007530-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAPATDSATAATGAAAVPLLLPLDDAVAGGGCWEDAAISLGLVGVQLASAAYMVVLTPVLALGLDPLFLIAFGSLCTGILTVPFAVKLERFELITRRHGLFVIFCTFFDRSANPGWTEQEEMAVGADQPALFPVRRAGSGRRVDLKCRYTRAKILGTLVCLGGAVAMSFLQSPDARSGHVLPRPADPAAAAASWVVGCLCLLTAVLVLSGTIVMQAATMLRFHAPFTLCSVTSLIGAALTAAFRVVTSGRLSPGTPQISLQIVLSLVFVVLPKCDDGGVVSSACIMFQTWALEKKGP >Sspon.06G0007620-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:28805848:28814191:-1 gene:Sspon.06G0007620-1T transcript:Sspon.06G0007620-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASGEGASLPSPAGGEDAHRRRVSYFYEPSIGDYYYGQGHPMKPHRIRMAHSLVVHYGLHRLLELSRPYPASEADIRRFHSDDYVAFLASATGNPGVLDPRAVKRFNVGEDCPVFDGLFPFCQASAGGSIGAAVKLNRGDADITVNWAGGLHHAKKSEASGFCYVNDIVLAILELLKFHRRVLYVDIDVHHGDGVEEAFFTTNRVMTVSFHKYGDFFPGTGHITDVGAAEGKHYALNVPLSDGIDDATFRDLFQCIIKKVMEVYQPDVVVLQCGADSLAGDRLGCFNLSVKGHADCLRFLRSYNVPMMVLGGGGYTIRNVARCWCYETAVAVGVEPDNKLPYNDYYEYFGPDYTLHIQPKSVENQNTTKDLENIKNMILENLSKIEHVPSTQFHDRPSDPEAPEEKEEDMDKRPPQRSRLWSGGAYDSDTEDPDNLKSESKDVTANLQMKDEPKSDL >Sspon.08G0020440-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:21546306:21552883:-1 gene:Sspon.08G0020440-1B transcript:Sspon.08G0020440-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVSDPPKQYGITKPLSLLGPVEADLQRTADLEKFLVEAGLYESPDESARREEVLGKLDQIVKDWVKQLTSQRGYTDQMVEEANAVLFTFGSYRLGVHGPGADIDTLCVGPSYVNREEDFFIVLHGILAQTEDVTELQPVPDAHVPVMKFKFHGISIDLLYASVSLLVVPADLDISQGSVLYDVDEATVRSLNGCRVADQIIRLVPNIENFRTTLRCLKYWAKRRGVYSNVTGFLGGVNWALLVARVCQLYPNAVPSMLVSRFFRVFTQWQWPNPVMLCSIEEDEVGFPVWDPRKNPRDRCHHMPIITPAYPCMNSSYNVSTSTLRVMMEQFQFGNKICQEIEMNKASWSALFEPFQFFEAYKNYLQVDIIAEDDEDLRLWKGWVESRLRQLTLKIERDTYGMLQCHPYPHEYADPSRQCAHCAFFMGLSRKEGVKIQEGQQFDIRGTVDEFRHDINLYMFWKPGMELAVSHVRRKQIPAYVFPEGYKRPRLSRHVNHQSDKNDTEDGTANRSPDSQLKRKHDSGGTDDIEPSRSAKRSSVSPVHPKNSPQSGSTGDETRCNNQIKRAPSDASGGSPASPQASERSPDTIVSAPRCTTTGAVCSGDAVSKHVPLVENCTTPTVAVCTTLKRVAEKVVSELVGSERLGSNNSSELLESMEKDVLAENVCFGGNGVTQGGLPEELEV >Sspon.04G0012170-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:40346387:40351130:-1 gene:Sspon.04G0012170-4D transcript:Sspon.04G0012170-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDDYDFPAAGGYDPMGMMGMGMGMGGLGLGGAMGMGGYGLGGPMGMGGYGLGGEDDEEAMKDLHAGAGAGAGAGDDPPPELKVGEEREIGKEGLKKKLVKEGDGWGRPGAGDEVEVHYTGTLMDGTKFDSSRDRDSPFKFTLGQGQVIKGWDLGIKTMKKGENAVFTIPPELAYGEDGSPPVIPPNATLQFDVELLSWVCIKDICKDGGILKKVLAEGDKWENPKDPDEVFVKYEARLEDGTVVSKSDGVEFTVRDGVFCPAISKAVKTMKKNEKSHLTVMPQYGFGVKGRPSSGEEASVPPNATLHIDLQVVSWRTVTELGNDKKILKKILKEGEGYERPNDCAIVRVKLIGKLEDGTLFVKKGHDGEEPFEFKTDEDQVIEGLDKAVLSMKKGEVALVTIPPHHAFGTNETNQDLATVPPNSSVYYEMELLSFDKEKDSWDLKNIAEKIEAAAKKKEEGNAWFKVGKYARASKRYEKALSFVEYDSSFSEEEKQLSKPLKISCKLNNAACKLRLNDYKEAKELCTEVLESDSTNVKALYRRAQAHMHLVDLDLAEADIKKALEIDPDNRDVKMGYRRLKEKVKEYKRRDAKLYGNMISKLSKLEDTEDNDQMSQALNKKRGLLWPLAEMLRRFFTTDGSKGSTLWLVLRLLIPVILLVAVCVGYYMHSSVEEIDCINC >Sspon.03G0018120-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:77069826:77072548:1 gene:Sspon.03G0018120-3C transcript:Sspon.03G0018120-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKIFGAKKDKGPPPSIQDATERINKRGETVDEKIKKLDEELARYKEQIRKTRPGPSQEAIKARAIRLLKHKRMYEEQRNMLYNQTYNLDQVAFAADGLKDAQQTMNAMKAANKELKGMMKTVKIDEIDNMQDEMTDLMDVSNEIQETLGRSYNIPDDVDEEELMGELDALESDMEFESAAVPSYLQPESDFDADLNLPAAPTRPAAVPAGGLQEDELGLPAVPRASLR >Sspon.06G0030950-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:57352340:57353012:-1 gene:Sspon.06G0030950-1C transcript:Sspon.06G0030950-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MACDRKLLCACLVLAGAAATPGAAAAAVNISGLAADIQSCQARGVKVLLSIGGAEGNYTLYSASDAKSVATYLWNNFLGGSSESRPLGNAVLDGIDFDIENDHSSHYDDLAKNLTSLYKGDRRGRKYLLTAAPQCPYQDASLGPALVTGQFDHVWIQFYNNPGCEYANGDDTNLV >Sspon.03G0006940-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:55830435:55831190:-1 gene:Sspon.03G0006940-3C transcript:Sspon.03G0006940-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSWVRTITSPFRKMLNPQRDSKKTPRHRHHHQRHQQSPSSSAMEHSGEMERSQLYGEVMACTYEDVQVMWSMLDKARICSTAAS >Sspon.06G0010040-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6B:43035878:43037426:1 gene:Sspon.06G0010040-2B transcript:Sspon.06G0010040-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRLGSVDHRAAVSSEQKQSYIYPWPAAPFVHTSFKLQAAADLPNPSLPPSRCDDRLPENRRRGATSGRSRSVLASRRPSPARASLSSTWRAPTAPLSSPPSGRPAIVARLLPGEMNGPGLNCHRQARKPTHDTLPVLNHGIHGDLTAVMMAVGRDFFRLPTEEKAKLYSDDRARKIRLSTSFNVSKETVHNWRDYLRLHCHPPDEFVPDPSTSSCLISSIQAVRCHVGLHEADAGRTGAARGRQLVPGTRAHLRRPPCPTDPDALTIFLMDQDVAGLQVLHGGGKQWVTVNPLPGALVISIRDQLRALATRSRDLSMDAAVFQREPGALGQGVLDPNGSVSVHALLCYSDGLVRPLDLESIIAARDTFMRSPPDAVLVQVRVDESWALRPANPLCWEEQEPRRPAKTTGAATDALAAVTVRTP >Sspon.03G0005820-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:20793647:20801067:-1 gene:Sspon.03G0005820-1P transcript:Sspon.03G0005820-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MWIIQGTLAWRTPPCWFAVDFTLEELKTLKVKQRYSFRDQQYNGMFSIITFEEFISIALDADRTVGIYPEIKDPVFINKHVKWADGKKFEDKFVDTLLKYGYKGQYMSENWLKQPLFIQSFAPTSIVHVSDLIDSPKVFLIDDISVRTQDTNQSYWEVTSDDYLAYIGKYVVGLGPWKDTIVPASGNYLMPPSDLVARAHAHNLQVHPYTYRNENQFLHFNFHQDPYTEYDFWINTVGVDGLFTDFTGTLHRYQELTSPHPKDETANSLLVKISQMISAYEEC >Sspon.02G0001860-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:6220602:6228181:-1 gene:Sspon.02G0001860-3C transcript:Sspon.02G0001860-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASAAPGSAERQARGGAASATGNAVFKSGPLFISSKGIGWKSWKKRWFILTRTSLVFFKSDPVSISALCPQCKLCVVVREDKRLLTVLFPDGRDGRAFTLKAESSEDLFEWKTALEEALAQAPNAALVMGHNGIFRNDTTDVYEGAIPNWREKRPIKSLVVGRPILLALEDIDGSPSFLEKALCFLEKHGIKVEGILRQAADVEEVDRRLQEYEQGRTEFAPEEDAHVVGDCVKHVLRELPSSPVPASCCTALLEAFRLEIKESRIKSMRAAISETFPEPNRRLLQRILKMMHTIASHTAENRMTPSAVAACMAPLLLRPLLAGECEMEDDFGMSGDNAAQLIAAANAANSAQGIVTTLLEEYESIFNDEHFRCSLSPDSQTGDSGSEESTDDETVDIKDNGFHDAENDVDQELDDAERILSGKLSETSASARADLNDYKEVNGKNSDAELSEDNTFESNVDLNDAPLSRLTENGKDPSNLVFTHETPLSVGEILLSLDAGIPLPGRAAEYSNDRHSSKPNGTQQHVKRTNLWGRSNARKGQRLELVDPSGEEELAIQRLEVMKNDLQIRIAKEARGNAILQASLERRKQALHERRVALEQDVSRLQEQLQAERDLRAALEVGLSMSSSQFSSSRSMDSKTKAELEEIALAEADVARLKQKVAELHLQLNQQRQHQYSSSADANDLPQHLPNHLSQNIVQTGFDRSIAFCNQEKKQRNEESLPSTSHWRSIKQHVLSHGSSRPFSLKHSLDTSLSDSREASISMPAEGGPMLVNIPRTTEQGVEYGRPPSVPSSTLVELTTRLDFFKERRSQLMEQLHSLDLGHGSTSHGFPYKPSSPWNSPR >Sspon.01G0000330-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:1321224:1324445:1 gene:Sspon.01G0000330-1A transcript:Sspon.01G0000330-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQDAGFKRKGHSGLGAGPETLKASCCTSEENESRNRRYHQLKCSESNSGQLHLDYIPNFHCKSLPTRSRKTNAEQSVIGKRGSMYQSSSEISRIRKIQEGRRKIDSAFDGDAFLSFDIVDASSRPSTSEAYLHSHQNRRSGAKPSVETARKINRASKDFLDLSFRELPDENFKLDRPRLDSTLLKNDGDDGFLEISLEKEITKGGPCRNAAPVLLNTESGKCTQTNYLHKTRGCPSENNCGERGRDSASSSKSTPVKASSFDGTCQSNGVQHHIIENNTKARSSPFKKMLDPIMKSKSLRSPVLMEKGDSNSITGIGSKKNSMSRKSLLGDFSRTEHCQPNGQTQRVKSALSPAHLQAVLRLDSKNGVQVFEFCVEGPEESISARNWKTGDELKSIYTFHSGGKRSSAAGRISKDGGLNLPPIVGQVQVSSYLCSEVGKDGTVNNSVITEFVSYDIAHARRIVEEKTQCTETPQQPLCGVVDKSISCDSPQRINPMEENKIGRNNSDASTSCPWSEEDLYPHLEIAATVIEVPFSKDKSKEMKNGSSPCTVKVVTPSGLHGSPSDDEASPSPLLDRWRYGGGCDCGGWDMACPIEILGNAYDNNWAESITTNAKHPMELFVQGSKEELPVLSMKENGRGQFLVDFHGRLSALQAFSVCISLLHCSEASIAISLEKGKQKLYSSSLKLLLEEDVRHLIEAVTAEEKKQQKKKRREKAPPSVLLDPPFSPIGRGSWNAVPVPGLGGVICLTAVGLGQEVGVKAVRNVVGILRVVGSCHVRTRSAEEWNPMV >Sspon.03G0004630-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:22846365:22850512:1 gene:Sspon.03G0004630-1P transcript:Sspon.03G0004630-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLAQLGGSISRALAQMSNATVIDEKVLNDCLNEISRALLQADVQFKMVRDMQANIKRIVNLEALAAGTNKRRIMQQAVFTELCNMLDPGKPSFTPKKGKPSVVMFVGLQGSGKTTTCTKYAYYHQRKGFKPALVCADTFRAGAFDQLKQNATKAKIPFYGSYMESDPVKIAVEGVERFKKENCDLIIVDTSGRHKQEAALFEEMRQVSEATKPDLVIFVMDSSIGQAAFDQAQAFKQSVSVGAVIITKMDGHAKGGGALSAVAATKSPVIFIGTGEHIDEFEVFDVKPFVSRLLGMGDWSGFMDKIHEVVPTDQQPELLQKLSEGSFTLRLMYEQFQNILKMGPIGQVFSMLPGFSAELMPKGHEKESQAKIKRYMTMMDSMTEAELDSTNPKLMTESRIIRIARGSGRPVRDVMDMLEEYKRLAKIWGKMKGLKIPKKGEMSALSRNMNVQHMSKVLPPQMLKQIGGMGGLQSLMKQMGSKEMSGMFGGMGGER >Sspon.01G0012130-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:33188831:33189211:-1 gene:Sspon.01G0012130-1A transcript:Sspon.01G0012130-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding WRAARRRCRGSASAAAAARGPPASTPRCCTRSRRASCRCRRCWTRTGSSSTRSTTTTSPRCPATSPATSASSGSSTTTSAASSTSTPTSPRSSPPPTAAPRPRAAPSAPSARRPAPGTRGSGPASTD >Sspon.07G0011780-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:42067130:42070548:-1 gene:Sspon.07G0011780-1A transcript:Sspon.07G0011780-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVRDDTPKPHFVLVPFMAQGHTIPMIDMAHLLAKHGAMVSFITSPANVSRIESTIDRARELNLPIHFVALKLCCVEVGLPKGCENVDKLHGGYFTGGEQSAAAHTQSGVLVHDLANQRYRKKERMKANSPVKKTKVVSARRRGTADNGGRWFPAHDGEVHESMTKLSATVNSSIPRARRSSGFDMIPGFPDCSVDSVEVVFEERTTDKRVLPVSDTGERGARPRLLPGPAHAGARRRGNERGEEQAGSDGFQAAGCTGDVARELGIPRLMFNGFCAFSSLCKY >Sspon.02G0015920-3D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2D:37633186:37636657:-1 gene:Sspon.02G0015920-3D transcript:Sspon.02G0015920-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AARAHLPASLPAPAPRDKRTPVRDPHPPPPLNRPLLLPLPPPPATTMPRAATGAIAAAFLRAQAPPASRIACAFPGLATAPAMRFAPSSSASSPRLALHPRLRSARSFYSSVARASSGGGTVSGSSTSEEDQWLQSELIFLGTGTSEGIPRVSCLTHPTKTCPVCTKAAEPGNPNRRRNTSILLRHATPSGTTNIVVDAGNLRTIDGVIITHSHADAIGGLDCLRDWTNNVQLSIPIYVAECDYEVMKMTHYYLIDTSVVIPGAAVSALQFNIIKEEPFMVHNLEVIPLPVWHGQGYRSLGFRFGDVCYISDVSDIPEETYKLLENCELLIMDALRPDRSSSTHFGLPRALEEVRKIKPKKTLFTGMMHLMDHEKVNSDLAKLMETEGLDIQLSYDGLRIPVRL >Sspon.05G0022340-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:3183671:3186122:-1 gene:Sspon.05G0022340-1B transcript:Sspon.05G0022340-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPASSSPGGVACLVLLLILAGASVAHGSFQARRALSDGQGKGIMALWRRSLANAAPPANNSLVLAAARTHRSDPFANLTAYSGGWNISDEHYWASVAYTAVPLFLIAVLWFVGFGVVLLVISCCCCFCRSKSNAYSPGCYFSSLVLLIVLTMATIAGCLILHGGSDLFHGSTIRTVNYVFGQGNLTVDHLRNFAGSLAAAKNITIDQIFLPADVQQKIDIVEEKLNSSANIFSSRMQENSRKIKRVLNHMEQELMAVAAVMTGLSVLGFLFSILGLRFLVSIWVIAAWILLTTTIISSGLFLLLHNVVADTCVAMGDWVAHPQAHTALDDILPCVDVATANESLYRSQEVTAQLVALVNNVVVNISNRDFPPGLRPLYFNQSGPLMPVLCNPFNPDMRPRRCAPGEVDFDSAAREWKRFECQTTGPPGSEVCATPGRVTPAAYGQMTAATSVSKGLYEFSPFLVQLQDCSFVRETFSSISNNNCPGLEQYSRDVYVGLVVISAGVMLSVVFWMVHTRHRRQRAMCKHL >Sspon.08G0017250-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:702372:707267:1 gene:Sspon.08G0017250-1B transcript:Sspon.08G0017250-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVCPSQRYGIRRPSSSLTLHPFQHRHSILLSSASSVFSTDTPSLLSSASSCFLYSFDSGSVFQLRREGDHGRKPLTSTSLQNMAIGCWCFVETRGTFLGASTKDAPSKDLVDPPRKDLYRFLFGTWRRSSGPPPKIRPFSEAGTERGPPMAEPTSGPIRPSYSFTYINEETLVPRHSHSPYSSAVQFLNRTTPPLLRRGVRVVIPTSLCLSFSALHTAPLPPDPSLSQDPRQPQIWPRWCECGLGGDNEVGPPLSSAGGMRGLAPSSSGGGAGVLGRSAPSSSGCRVWDPVPSSSGGGARWFDGELLVLWSRESDDEELGGGGSGTRGTSEQLRRQRRVDGLGRTMDGLMHVCPSQRYGIRRPSSSLTLHPFPPLCFFPLQHRHSISSSSASSCFL >Sspon.01G0055200-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1C:75187377:75187761:-1 gene:Sspon.01G0055200-1C transcript:Sspon.01G0055200-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHRRATDRRHSVPPRKPPPAVVPGTSAIDRKTRAHPPPSDASQNQQRAPSVARRVAGSCRNRRRRQTRNPAGSGGLEEREGTGTVAESAKRRQL >Sspon.05G0020790-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:85710030:85716029:-1 gene:Sspon.05G0020790-1P transcript:Sspon.05G0020790-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGNGCSARALAACVIGGIVLGASVLALHLAGGPAAIPPLPLPPPLGALRRRLRRRPRRPVRVYMDGCFDMMHYGHCNALRQARALGDELVVGVISDDEIKANKGPPVTPHHERMIMVRAVKWVDDIIPDAPYAITEEFMNKLFNEYNIDYIIHGDDPCLLPDGTDAYALAKKAGRYKQIKRTEGVSTTDIVGRMLLCVRERSSDAHNHSSLQRQFSSGHGQKFDDTGSGTGTRVSHFLPTSRRIVQFSNSRGPGPDSRIVYIDGAFDLFHAGHVEILRLARELGDFLLVGIHTDQTISSTRGRHRPIMNLHERSLSVLACRYVDEVIIGAPWDVSKDMITTFNISLVVHGTIAENMDFTEDDSNPYAVPKAMGIYRRLESPLDITTSTIIRRIVANHEAYQKRNEKKEASEKKYYESKSFVNGE >Sspon.07G0014720-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:52635883:52639810:1 gene:Sspon.07G0014720-1A transcript:Sspon.07G0014720-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding KFEAVNLLLALILLFTSYKLFAEEEEESDLSDNFIVKTCQKFIPVTGVVFSNLLATCLVELIPMFLLSDSYDADRFFTTQDGLWKATPLLLTLAVIELSDIAFAVGLRSLYVLISESMGELEYLQNPDEHGRIGSDLRAIDFSSVNLAIFALVVILIQVVSPCIEGQHLANKPEESSVKGKESGTTKQACLCSRALVIHVVVDVLAAVKSLGDSCPQQHCKSQG >Sspon.05G0005340-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:17173190:17180163:-1 gene:Sspon.05G0005340-1A transcript:Sspon.05G0005340-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLATGDEPSLPPLKYPQARRDDDIVDDYHGVLVPDPYRWMEELDSKEVKEFVDAQAAVASAVLSTCDHRGRLRGQLTALFDHPRFRAPFKRRGSYFYFHNPGLRPHSALYVQRGLGGEPDVLLDPNTFSEDGTVSLGMVGVSDDGEHLAYGTSASGSDWVTIRVMRVRDKEHLPDTLSWVSTSSTLTNEVKFSRIAWTRDGRGFFYSRFPAPRFAAGFYVILSVSETSEPVNKLYYCDLSALAHGLGGTKSTHGNGMLPFVKLVDKFEAYYGLIANDGTEFTFLTNKDAPRYKLARVDVDDEPGSWTDVVPEDDKAVLESACAVHGHKLLVNYLSDVKYVLQMRSLVTGELLRDIPIDIGTVNGISGRRVDSEVFIEFASFLTPGIIYRCDVSAEIPEMDVYREISVPGFDRNEFEAKQSGWNQDPNVHRIQEGHRPGRLTSSTTVRLRRFRHEHDAAVQRHPRVLMRNLGFVTCVANIRGGGEYGEDWHRAGSLANKQNCFDDFIAAGEFLVSAGYTSPARLCIEGGSNGGLLVAACMNQVLPLHNVRRPWEEKEKWAAAAAASGNPSCRGGQYPPTMLLTADHDDRVVPSHTLKFLADVLRAGAEGSPQTNPIIARIERNSGHCCGRSTQKI >Sspon.06G0028310-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:3927400:3928407:-1 gene:Sspon.06G0028310-2D transcript:Sspon.06G0028310-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAALMVARAEAGDFAEARSLWAQLLHSSAAPCLPAAAPRLLPAYARLGRFDEILLAVRELSARDRGAARALYPLAVSCLGAAGELARMEDAVLEMGRLGLRVDAATGDAFVRAYAAAGTIPQMEAAYRRHKKTGLLITRGVIRAVASAYISQQKYYRLGAFVADAGLRRRDAGNLLWNLYLLSFAANFKMKSLQRAFLEMVAAGFRPDLTTFNIRAAAFSKMCMFWDLHLSADHMRRDGVAPDLVTHGCFVDAYLERRLARNLTFAFDRLDGNAEPVVATDAIVFEAFGKGGFHASSEVLLEATAGKRRRTYYKLLGVYLRKQHRRNQVFWNY >Sspon.01G0033200-2D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1D:111707148:111707453:-1 gene:Sspon.01G0033200-2D transcript:Sspon.01G0033200-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MANWGRTRRARVSCVAGGGRRGGRGQPASGGHTGRLEACAWPAMGDAADGGGRHSGLGHACGRGRAHAAGEVVHAASEGLACRRRWATRRPKAGDAAVSGG >Sspon.04G0008500-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:25264312:25267638:1 gene:Sspon.04G0008500-2C transcript:Sspon.04G0008500-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAVEPFHPSTKQCVFRLGRGPAQDHEEAHLTVREEDNDDLIWEGKKERKRKTRGWKTTVSGVRRKRGEEGRRRGAARMACSTGLYFSASIPPLPASCSSGSSRFLTIERVSSAPSLSRWTIQYKQLGHTLYRRSHVLAFASADRRRQAEAINGALAMIGLTAGLVVEAQTGKGILGQLAGYLTAISSLFGQ >Sspon.02G0046220-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:1635456:1636405:-1 gene:Sspon.02G0046220-1C transcript:Sspon.02G0046220-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding IAGTRLRRRAAAEKDESSQGLQLPEDIRWERLDKARFFVVGAGLFSAVSAALYPAVVLKTRLQEGPLAFYRGFATSLAGTIPARALYMGALEATRSAIGPAALSLGAAEPAASAAAGAVAGLAAAVAAQVVWTPVDVISQRLMVQGNPCPASRYRGGLDAFRKIVASDGLRGLYRGFGMSILTYAPSNAVWWATYSLSQKIIWSGIGCYLCDYGVGVQEIDLGDGDSLLQPGCKTVMVVQGVSAAMAGGASAFVTMPLDTIKTRMQVMDGDSEPITVGRTVRRLIKE >Sspon.01G0025290-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:87478579:87483899:-1 gene:Sspon.01G0025290-3D transcript:Sspon.01G0025290-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGAPQEDVLGGGEAAQDEAPQSPQERGEVRQREEDGGVEEADEEEEAPSHLPFAPSSELLDDTTTVDPSYTISLIRQLLPQGSNVEKEFSVKQGVPEEKDANSSNGESAQPDYKDPWEECGCILWDLAASKPQAELMMNNLVLEVLLANLHVTQSPRVKVIDFLSTVIDNQDVTAILLQPFIKVGLINHTISLLASEIEKSSKEGKLDRLHSLDLILNFIEELSAIDSCSGVMSQSDQLIQVLESIVKLPDKFEVTSYCASVVIVLANILSDGKHIVPRLSHDLSFLEGLFDILPLVSDDNQAQNALWCILACLLAQAPQIDMTSSSLEQFVSLLLGRFTHIKDDLESHRVDKKKELSAEDAYLKHGVSASLRAICCVMERWIAEKSSLGEEDASLTESTLEDARHLLNYCQNYDL >Sspon.07G0007250-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:19059153:19061096:1 gene:Sspon.07G0007250-1A transcript:Sspon.07G0007250-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLNNHTLSNISGATTNLRDSTGRPFTSSFSGQSGSLPGFHHSGSHNIHGNLNLANISGSLAPRNNSMAGIPSPGVFFLGNHSLFLFTLSGIFVASILISLDPFFRFLMGILGSATEEV >Sspon.01G0008360-3D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1D:22562200:22562739:1 gene:Sspon.01G0008360-3D transcript:Sspon.01G0008360-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVPAKVLVEAAALGVVPVEVSAVVKAEEAGLVVVLVEAVVLVAEEEPGLVVVTAEEQVRVVALAVGMAEVLVQVVALEAAMEVVLVQEAVTVEELVGALVVDTVEVQAQEVAPEAATVEELVVATAEELVVATAEVLVVVLAVVQVEATVVVLALGVALALAAAVVMAVVPEVEVASA >Sspon.03G0042610-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3C:70986935:70987198:-1 gene:Sspon.03G0042610-1C transcript:Sspon.03G0042610-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDSVGNDAQRRGSARGEAGHRGGAGHGRGGPPWGELAVGERTHLLGARRSSSCPLGLFLHSLRSVYVRPVKGRRKGQRQIRKKKSF >Sspon.02G0005220-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:15824927:15834006:-1 gene:Sspon.02G0005220-2B transcript:Sspon.02G0005220-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAQGAHRWAGETRRVGVGGSNYIAKHVGIQNQVPVKPRPALAILAAAADRGGRSLRSLARITSKTVLKWLQHFSDRVEERAKEAAAEVNGLLEEAGALELDMKTAVLAFDNLTRQSKQSIYFSSSNKITIVAEWSFMPSTAENDAQTMTDDFSWLREFQGESSDSGPDDLYGSQMLGVQQGFGKGETDSLVSASREFRAMLEAALDPALATRMGGASGERTKADNAEEAGLLASLQNPDINVHDIYSALIREGLFDAGDEILAVDPASASDNPAVTDSAESRSLVNETVLNEDDEKLIEDDNVASPSEKDERSFNSAIDRRATTSRRITRLTDRSEFRNCAVRTPYKEKAPSSLQ >Sspon.04G0018750-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:67261846:67262328:-1 gene:Sspon.04G0018750-1A transcript:Sspon.04G0018750-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MCCFFPLLIYAALVNKTERSRVRKGNLTRFFLLPVVRRTLLGTGGFSGFAPAATNGGHQKALTSRSFCKAEIILISCVQIKMKPVEMYHPLVSWETE >Sspon.01G0053580-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:39574655:39581308:1 gene:Sspon.01G0053580-1C transcript:Sspon.01G0053580-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQETLCDLLDVEGKESLELAVCKEAAGKSPRAFWAFRRLGYLQALGLAYHRLGMFTAAVKLALARCFPWVDGKTKRGMDAQMFKDSVQEWRNTCLSAANGAKLSYQHALHLTPWEANVHNDTAICLDLICSMDDNNILNPNVWELPEKMSLGALMLEPVNKDFWVTLGSMSSYLALKQHCFIRALHFDMSLSEAWACLGKIYRQSGDKQLAKQAFDRARSIDPSLALPWAGMSVENYHQSGSSPVNESFESCLRAVQILPLPEFQIGLGTIAARSSNLLSPQVLMAVRQAVQRAPHYPESHNLNGLISEVRLDFQSAITFYLQARFALGMMYNSKSDNRQAFADVSVNLARALCKAGLATDAARECEELRSQGLLSVDGLQIYALALCKSGQSKEALSVSRSLAENLSGIKAESATAAWGFICTLMYGISGKDSAAAIIHKLPGELNYNSQLKFIISALDALHPTKRLQLPQLNMPPKRTAYEVMSEVHSNIALGKAIGAEFDKPLRVDGSLSYLKKVLHMYPDCSLVRWVHCEPWNQDARYLLVLAIFQKAREEKYPKHICVILKKLIMQVLSKISNPHEKEAMQYEVFLLLLLSSEVCLQSLDYENCIAQAKEALRTTPSSRVDTFFAHLQLCRAYAVQGDLLNSRNEYMKCLRNHTNTEIGWVMLKQLESACSLEGSSDEIDINLRECVKRNGSDSSKWASLFNLACAQCFLWDGNFESAEKALAQACTQVDPDSCILFLNGATCMEIARRFVAPQFISRAASSLRKAQQKSHASLPLVSLLLAQAEGSLGSKSKWEKNLRLEWFSWPPELRPAEVYFQMHLLARQSAAAVSQQNQLVETMQSPELWLLRAIHLNPSCPRYWKAMLQQMDV >Sspon.08G0021280-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:41374599:41379755:1 gene:Sspon.08G0021280-2C transcript:Sspon.08G0021280-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIGRSVDRCMIAVGQARQSIRASGATIDRASRLNKPSASVPTRLPEPNNLSKPSSEAEPQDQKPNNLLNESVDHTSLDKISADPIQDKLISECPVDEQKKDVNQGNMCRSLKPSSILDKECGLAKANESGGLIKRSDTGERGISSRYRPSNGSDISDESSCSSITKPHKANDSRWEAIQMIRTRDGIFGLSHFKLLKKLGCGDIGSVYLSELSGTKSYFAMKVMDKASLASRKKLLRSQTEKDILQCLDHPFLPTLYTHFETDKFSCLVMEFCPGGDMHTLRQRQRGKYFPEQAVKFYVAEILLALEYLHMLGIIYRDLKPENVLIREDGHIMLTDFDLSLRCAVSPMLIRLSNPDPESLKKYNQAHSTQQACVQPSCVMQLSCTAPTTCFGPRLFSKSKKVRKPKLEVVNQVSPWPELIAEPSDARSMSFVGTHEYLAPEIIKGEGHGSAVDWWTFGIFLYELLFGKTPFKGTGNRATLFNVIGQPLRFPEYPVVSFPARDLIRSLLVKEAQQRLGFKRGATEIKQHPFFEGVNWALIRCASPPEVPRHFDIEKPPKQPVSTSESAAQKGCDNYLEFDDGGVGLLDLLLLLTGEGDSGGVGSAVVSVNRNKFDDRVSNLIALDE >Sspon.07G0002920-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:7236992:7238466:1 gene:Sspon.07G0002920-1A transcript:Sspon.07G0002920-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Anaphase-promoting complex subunit 10 [Source:Projected from Arabidopsis thaliana (AT2G18290) UniProtKB/Swiss-Prot;Acc:Q9ZPW2] MESDAEEEAAATPAAAGAPGAGRLKGSPELTVDADMREMAKTAAWSVSSCKAGNGVAALRDDNLDTLLAPHLVNIQFQKKVQLQLVVLYVDFKLDESYTPSKISIRAGDGFHNLKEIKTVELAKPVGWVHISLSGADPRETFIHTFMLQIAVLSNHLNGRDTH >Sspon.01G0025970-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:89161963:89167664:-1 gene:Sspon.01G0025970-3D transcript:Sspon.01G0025970-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MISRQLVLTYLYLLIYICLSSGVILFNKWVLSPKYFKFPFPITLTMIHMAFSGIVTFFLVRVFKVVAPVKMTFHIYATCVIPISAFFASSLWFGNTAYLYISVAFIQMLKALMPVATFIMAVLCGTDKLRWDLFLNMVLVSVGVVVSSYGEIHFNVIGTLYQAGPNSGPSPKEGLNPESYHKLYYIAPCSFIFLFIPWYLLEKPEMDVSQIQFNYSIFFLNALSAFALNISIFLVIGRTGAVTIRVAGVLKDWILIALSTIIFPESVITSLNIIGYAVALSGVVLYNYLKMKDVKANQLPADNNSDRATKDKKSLSIFRPDNSMDNNDGTAVGGLASEAAAVDEEAPLIPSARLSYVTRTQTGSFINR >Sspon.01G0024410-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:87458047:87460549:-1 gene:Sspon.01G0024410-1A transcript:Sspon.01G0024410-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GIGGWDQRRRREGMGRRVPEPDAAEAGGLRSGPRRGPAAARQGAQHAGAGRHRGVGSTVGAGVYVLVGTVAREHAGPALTISFLIAGIAAALSAFCYAELASRCPSAGSAYHYSYICVGEGVAWLIGWALVLEYTIGGSAVARGISPNLALFFGGQDSLPWILARHQLPWFGIIVDPCAAALVFVVTVLLCVGIKESSFAQAVVTVLNACVMIFVIVAGSYIGFQIGWVGYKVSDGYFPHGVNGMLAGSATVFFAYIGFDTVASTAEEVKNPQRDLPLGIGVALAICCALYMAISVIIVGLVPYFAMDPDTPISSAFTKHGMQWAIYVVTSGAVLALCSTLMGSLLPQ >Sspon.03G0005010-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:38498259:38498818:-1 gene:Sspon.03G0005010-2B transcript:Sspon.03G0005010-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AQSPRMELGGGARGPGDRVRRQLQSVGRLAAYLGGGFLLLSAASSVAVRSLRALPALRQRKYAMPCGACEGKGTYACRLCRGSATIEWSPVHDPVFVNPCLCPTSCFIRVQRCLNCLG >Sspon.02G0055490-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:6606725:6607145:-1 gene:Sspon.02G0055490-1D transcript:Sspon.02G0055490-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LLDRSLATSFIPPGAPPPLTPPLRPAVHRCAGPRLPSSLARPPRRGPVRSITTVLGPSGGFLRCCSRSAMRLVNSCG >Sspon.03G0015320-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:48848534:48853507:1 gene:Sspon.03G0015320-1A transcript:Sspon.03G0015320-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRRDLFLATILALAACADTAAGKKAAIVPRKHEQLHPQAAAAAAGGSSSCDVYRGSWVVDESYPLYDAASCPFVRKEFDCRRMGRPDTTYLKYRWQPNPPCSLPRFDGVKLLNMWRGKKVMFVGDSLVVNQYESLLCMLHAAAPGARTNQSWASGENPSITVRFEDYGVTLVYFLSHYLVDLANDTAGRTVLKLDGMDEGRAWLGADVLVFGSWRWWWRKSWDYIQDGNTAVQDMDRTQAFTKALQTWARWVDANLAQTKWGAPPGKTCIGETQPLNNAASYHGQPNPQDAIVRRTLGGMSKPVLLLDITFMSELRKDGHTTKYNGDSLGRDCTHWCVAGVPDTWNTVLYAALAANS >Sspon.01G0056930-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:90486694:90495392:1 gene:Sspon.01G0056930-2D transcript:Sspon.01G0056930-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding IGGGSGHEPAHAGFVGPGMLTAAVSGDVFASPPVDSILAAIRAVTGPMGCLLIVKNYTGDRLNFGLAAEQAKSEGYKMEMVIVGDDCALPPPRGIAGRRGLAGTILVHKKIDFVGLLLAFQKEVLGLLGLQQMLVYLLQRLLQKQNMHLRLLHGEPGVAVVELQPVDVVVEHVLKQILSQETQYLPITRGCNAVLLVNGLGATPIMDLMIAARKAVPELQLVYGIAVDRVYTGTLMTSLDMAGLSITIMKSDESVLKRLDAPTKAPAWPVGSEGNRPPAKFPVPLPPSPSMKDDEILAPSQELSKQGCILEAAIEASATAIINLKDSLNEWDSKVGDGDCGTTMYRGATAILEDMKKRYPMDDAAGTINEIGATIRRVMGGTSGILYDILCKAAYASLKQSSTVTANKWADALEASVAAVSKYGGASAGYRTMLDALIPASAVLKQRLEAGDDPVTAFIASSEAASAGAESTKQMQAKAGRSSYIAPDLLASIPDPGAMAAAA >Sspon.01G0030820-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:106265967:106271768:1 gene:Sspon.01G0030820-1A transcript:Sspon.01G0030820-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRTNKPPQLVLWPSLLTLLFLSGALEAAAGTGTASTSSVSSISSSADGAAATGRSSLVAAASQSVFSLDRYGARGDGSHDDTQALAQAWNAACTSPRPAVLLVPGGKRYLLKLVTLHGPCKSSVTVTVKGTLVASPNRSDWSDEDRRHWIVFRQVDRLTVNGGGAVDGNGETWWKNSCKINKALPCKEAPTALSFHFCTSLRVQDLKVVDSQQIHMSIEDCTGVQLTGLSITAPGTSPNTDGIHITRSNDVQVTNCKIKTGDDCLSIESGTHNLDVSQVVCGPGHGISIGSLGDDNSRAEVSSITIDSVQLYGTTNGARIKTYQGGSGYAKDITFENMVMYDVANPIIIDQNYCDKATPCEAQGSAVEVSNVVFKNIRGTTVTKDAIKLNCSRNVPCHGITLQNINLRMQGNEGRCRRKHLPECEMDAPRGAPVAGGVIVVTERYGARGDGSHDDTQALARAWKAACTSPRPAVLLVPSAKRYLLKVVSLSGPCKSNVTFTVKGTLVASPNRADWSDKDKNHWIVFRSVNKLTVNGGGTIDGNGDKWWPHSCKINKALPCKEAPTALSFHYCTNLRVKDLKIMNSQQIHMSVEDCTNVQLARLSITAPGTSPNTDGIHITRSKDVRVTDCKIKTGDDCMSIENGTHNLHVSKVVCGPGHGISIGSLGDDNSRAEVSNVVFKNIRGTTITKDAIKMNCSKNVPCQDVTLQNIDLKMQGGKGNAESTCENAKWRKFGTVQPHPCTTTKH >Sspon.07G0013840-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:55819710:55824888:-1 gene:Sspon.07G0013840-2B transcript:Sspon.07G0013840-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTIDETAGLFSVTRTSRSLVPPSSATPRETLHLSVIDRVAGLRHLVRSLHVFENKKAAGTTPAKALREALGKALVDYYPFAGRFVEEDGETRVACTGEGAWFVEAAAACSLEEVRHLDHPMLIPKEELLPEPAPGVNPLDMPLMMQVTEFTCGGFVVGLISVHTIADGLGAGQFINAVADYARGVTKPRITPIWARDVIPDPPKMPAPPPRLDLLGLVYFTTDLSPDHIAKVKSRYLEATGQRCSAFDVCVARTWQARVRALAIPDPAAPVHVCFFANTRHLLPATAAPASGFYGNCFYTVKATRPSGEVAAADVVEVVRAVRDAKARLAADFARWAAGGFDRDPYELTFTYDSLFVSDWTRLGFLEADYGWGTPTHVVPFSYHPFMAVAVIGAPPAPKPGARIMTMCVQEQHLPEFQEQMNQPSW >Sspon.08G0010490-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:46237728:46238747:1 gene:Sspon.08G0010490-1A transcript:Sspon.08G0010490-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAAPTLVPPCDAEEPLLAESSDRFSMFPIRFPQIWEFYKKAVASFWTAEEVDLSADARHWDEALSPDERHFISHVLAFFAASDGIVLENLASRFMSDVQVAEARAFYGFQIAIENIHSEMYSLLLETYIRDDAEKDRLFRAIDTVPAVRRKADWAMRWIDGGERFAERLVAFACVEGIFFSGSFCAIFWLKKRGLMPGLTFSNELISRDEGLHCDFACLLYELLRSKLDDARVREIVADAVDIEREFVCDALPVALVGMNGGLMSQYIEFVADRLLMALGCKKMYNVTNPFDWMELISLQGKTNFFEKRVGDYQKASVMNSLNGNAAANHVFSIDEDF >Sspon.04G0005800-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:16436129:16437820:1 gene:Sspon.04G0005800-1A transcript:Sspon.04G0005800-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTAKLRERTQLRLSVLPPASPPPFMYQEHPFGGLPSWPPGSPDPVINSLTDLESMGVLGHGADGTVYKARHRRTGAELVVKSLRVRDDGGAALREADVHLRVAAAAPDHPHVVRLHGVFPGNPAACSDQRLLCLVLEYVPGGSLGDVLRRCGRLPEDAIAGVARHVLCGLRHLHRLGIVHGDVKPSNLLVGRHGEVKIADFGASRYVPGAGGAHRAASAEGTCAYMSPERLDPEGFGAASPSGAADFSSDVWSLGVVLLECHMGRFPLVAVGERPEWAALVVTVCFGSAPEVPVTASSEFRGFVRRCLEKNWRRRATVDELLGHPFVAGVPPSCATTTTNEWLTNFADELMTPPPLCYG >Sspon.03G0023420-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:71843275:71845919:1 gene:Sspon.03G0023420-1A transcript:Sspon.03G0023420-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding CSTSTCGFYGSRLPKLESDHSRQCFLASLFAVMEEQNSWLRTKFSHTVYTRVDPRRVAVAPVGKDVVLPFAPLSKDVERKLQKFANIKKSVSMPVDRDDEDTGTAIKHCTSLPLVRSSLQLDRDKVNKPKRASLKIPSSPPMNSESCKTPKARSLVKSPSSMMLLSYLNKAPSNQGYSPQKAYGPRPKPRSKSPLPSIVPSGVFKEAKSSSQRFASPPPQRRGSEKSIYGNHLVSDMGQSPDWCSTPVVSGKHKSQKDNAWTRKYSGGRRVSAVNPADDRRAQMIRMNQAVQTTVDWTLVPSKLLVGHRFASGAYSRLYKVAIKFIRQPVDNDNGKMAAKLEKQYNSEINSLSHLYHKNVIKLVAAYKCPPVFYIITEFLPGGSLRTTPSLLRKPYPLLSIARGLEYIHSQGIVHRDIKPENILFDEDFCVKIADFGIACEETLCDVLVEDEGTYRWMAPEMIKQKAYNRKVDVYSFGLLMWEMVSGRIPYENLTPFQVAYAVANRNLRPTISPECPSALRPLIEQCCALQPDKRPDFWQIVKVLEQFHSILSQGGCLETPKSGTCQDPKKRLMQWIQKLKPMHST >Sspon.08G0007660-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:23041388:23042215:1 gene:Sspon.08G0007660-4D transcript:Sspon.08G0007660-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALYDACWFRRLVLLPSPTPALVASAPRPALPSQQQAPPLEREVLDTHTPHAQQRPASSPTAGGGLRHRRTRSDEATAAAFQGLEPLRLPDSGHRARLETILSGKDGLVVAAPQPERRTRPELARRPAAGPGRRRLRRRGRSMSELEFEEVKGLQDLGFTFSDAEVDAELASIVPGLLRRKRSEEENSQATASAPAAATSSLARRAAEAVNDDDASAAGVAPAPRRPYLSEAWDDEEEAEVRRALRNWRIPPAGDGNQLKEHLRMWAHTVASAVR >Sspon.07G0006310-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:16576200:16580466:-1 gene:Sspon.07G0006310-1A transcript:Sspon.07G0006310-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exosome complex component RRP41 homolog [Source:Projected from Arabidopsis thaliana (AT3G61620) UniProtKB/Swiss-Prot;Acc:Q9SP08] MEYVNPLTGFRVDGRRPNEMRQLKGEVGVVARADGSALFEMGNTRVIAAVYGPREVQNKGQQVNSNEALVRCEYRMAEFSTGDRRRKPKGDRRSTEISLVIRQTMEASILTHLMPRSQIDIYVQVLQADGGTRSACINAATLALADAGIPMRDIVTSCSAGYLCSTPLLDLNYLEDSAGGSDVTVGILAKMDKVTLLQMDAKLPMDTFENVMGLAIEGCKAIATYIREVLLENTKKLECQRVYILALNLSGM >Sspon.08G0021750-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8B:42665749:42666441:-1 gene:Sspon.08G0021750-1B transcript:Sspon.08G0021750-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGIKIPLHPLTWARDLVDPNCVPPKDAAVILCGMWSLWMARNSRRHGNEPRPLRVAAQWAIDTPFDLWHLHQLSFTFLLETLDIASPRMNFHAILRLFANTGRTWSPCALPCRCRRPPPGIRPEWDTCAARSALPHSSPPTCRATACHCRGVLRGAHLLLVVVATSPLHRRRCLQAPRLATAYAAVEVEAVPSSQFQCERRRVLCSCEW >Sspon.01G0001630-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:4727545:4733156:1 gene:Sspon.01G0001630-1A transcript:Sspon.01G0001630-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPKWSARSSNSYRELSSHENGECKSTLTESVPSPTSCFGLHTANTMCSLSSQKKDGNVYKRRKMDKDSNSPIAFEEAKEMAAQSCTISDDHLSLLLPTISSEALLLNSTARMAGPILDCEEPADVSLEPNSGTNDRCFVSSMSPSSMTLGKKNAAECSSSHIGPIESITEHVSPRDLCIAILIKDGLINESRTRVTCKEEFSDNDANPLLACNNCGCLEHSSKMLICDSCEAAFHLSCCIPCIKELPTDEWYCAPCLCKKPKSLYGKLSEGKIKPSRNTNTRPHGMSHIEYMLKDAEPYVTGVRIGRDFQAEVPEWSGPSSRCGYLCKILTFAVIMELGHLCKLSNQNHSSIGNWIQCRETLNPGDSDKQVICGKWRRAPLYVVQSDDWDCFCCLLWDPAHADCAVPQ >Sspon.01G0029460-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:102482929:102484364:1 gene:Sspon.01G0029460-1A transcript:Sspon.01G0029460-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVQEFTVDLNKPLVFQVGHLEERYQEWVHQPIVSKEGPRFFGNDVLEFLTRTKWWAVPTIWLPVVCFMFVKSIFMGNAVQDVVLMALFGIFIWTLIEYTLHRFLFHIETKTYWWNTAHYLLHGCHHKHPMDSLRLVFPPTATAILCFPFWNLVAFFATPSTTPALFGGGLLGYVMYDCTHYYLHHGQPSTDPAKHLKRYHLSHHFRIQDMGFGITSSLWDAVFGTLPPSMTPGKKN >Sspon.01G0048820-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:110474449:110476922:-1 gene:Sspon.01G0048820-1B transcript:Sspon.01G0048820-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRYLCIQGDVVPRPSGSEMSRRRRTSSSGLRASGTKVMSPYIHELRHGAVIWHQLPVLPSPTLMRLPHCESHCAGPFRFIPCLPQSKDASRDAASPPAPRPAAVAEEEPPPVQKIEVPVAGKDDDAEKREYGEKPVAPAPAPGKSCLKKISCGDDECADKGNVKWLDLLGKDLTEIKEYEPRYISSFSMNLPQLSMNLEFWISVASA >Sspon.02G0000900-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:3295824:3301245:-1 gene:Sspon.02G0000900-2D transcript:Sspon.02G0000900-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCLNLGSSFHILLSIQLLLSLFNPLVVADLASEKQALLDFVSAVYHGNKLNWDKNASICSWHGVKCSADQSQVFELRVPAAGLIGAIPPNTLGKLDSLQVLSLRSNRLTGSLPSDVALLPSLRSIHLQHNELSGGLPSSFSPSLSVIDFSYNSFTGEVPASLQNLTQLTVLNLQDNSFSGSIPDLKLHSLKLLNLSNNDLKGSIPRSLQIFPKGSFSGNPGLCGLPLAECSFPSPTPSPESSSLPQSPPSPHHDKKLGTGFIVAVAVGGFALLMLVVVVLVVCSSKRKGKDEIDVESKGKGTAARSEKPKQEFSSGVQIAEKNKLVFLEGCTYSFDLEDLLRASAEVLGKGSYGTAYKAILEDGTVVVVKRLKDVVAGKREFEQQMELIGRLGKHANLVPLRAYYYSKDEKLIVYDYIDTGSVSAMLHGIRGVTEKTPLDWNSRVKIILGTAYGIAHIHAEGGAKLTHGNIKSTNVLVDQDHNPSVSDYGLSALMSVPVNASRVVVGYRAPETVENRKITQKSDVYSFGVLLMEMLTGKAPLQTQGIDDVVDLPRWVHSVVREEWTAEVFDVELMKHQNIEEELVQMLQIAMVCTAKSPDRRPAMEEVIRMIEGLRQSTSESRASSDEKSKESNPPSV >Sspon.01G0030140-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1A:104688194:104692258:1 gene:Sspon.01G0030140-1A transcript:Sspon.01G0030140-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable zinc metalloprotease EGY1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G35220) UniProtKB/Swiss-Prot;Acc:Q949Y5] MAAALASSPLVHLTASRLRLPRPRASASNSAPGPGCSSGVCLGWRLTVGWRAVGRCDRLRCFSTDGGGGEEGEKHGEEEASAAPASAPAETQVGAAEELASERSRSGSFSSSSSSSGTPGVSSEPPLLSFSVDNIDTVKLLELLGPEKVDPVDVKAIKEKLFGYTTFWLTKEEPFGDLGEGVLFIGNLRGKREEIFAKLQRQLRELTGDKYNLFMVEEPNSEGDDPRGGPRVSFGLLRKEVSEPGPTTLWQYVISLLLFLLTMFSCVELGIASKISSLPPEIVSYFTDPNATGPPPDMQLLLPFVESALPVAYGVLAIQLFHEIGHFLAAFPKNVKLGIPFFIPNFTLGTFGAITQFKSILPDRKTMFDISMAGPVAGAALSFSMFFVGLLLSSNPVGASDLVEVPSQLFQGSLLLGLISRATLGYR >Sspon.05G0002910-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:8687243:8687812:1 gene:Sspon.05G0002910-1A transcript:Sspon.05G0002910-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GMSRLPDKGLPQSLEELVIANCSAELNEECRMVATEKLQEPGHFDSSRSSYKLHPNVTHGETIQYRVHALLQISPLDGQRICTWRKKWPYADRHRGTHAADKLESPVTVCDELTNAVGT >Sspon.05G0024550-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:13507346:13512442:1 gene:Sspon.05G0024550-2C transcript:Sspon.05G0024550-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AMGVSLGSQQVITNAIAFACFIFLCSSFWAANGMYARVGAAAAAEGESRRMRLHTDGSRGDAHAWPGYLYTRAVGRCTPRFWSSGAEPWPNIVPQEAAVAKVFGSRSMERYGPRLTLLEATMRTDDIGGSAFAKLVKQGSAALLNAYTRRGFPFDSWEVKALLLEALVSEEAAVVQAERFEQANESCV >Sspon.01G0000470-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:1844618:1852365:-1 gene:Sspon.01G0000470-1A transcript:Sspon.01G0000470-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFKLHRHRSSDRVGERFDFRFSNFRAVQVPAVSDRLFLSIVSVDNGKTIAKSSKVASRSGICQWPDTILEPIWFSKDEVSKEFEECQYKIIVSLLKVQCLGTKSKLSGVRSLRDMAPRHDDRSPTPTNDEMDNRSDCSDGMFNKGVRSSSENHLGGTYQDESGNRETSFSASGSHRSSNSGDSTADRTNFSPRDNSNGGLYAGRQDSASSYASYVSAGRGDDGLRSNNSSFSSRASGPSLLQGNTPKTFSNGLSQLSMGASDSSKDLLEAAEETIEELRDEAKMWERHSRKLKADLEMLKKECSDKSKQQAELAVELSAAQAERDSYRHEIEELKSSLQDVNTRQIITGTPKRSDWIDLQKELEGEVKFLKESNTDLTIQLNRTQESNIELLSILQELEETIEEQRVEISKISKVKQTADPENELLVKEDKEWAKKLSMKEDEITILREKLDRALNIGNGGGAGSNAIYLELEKENEILRAKIQELEKDCSELTDENLELIYKLKENGDKRSDELQRKCADLELKLLNFRSQTCELEEKFQKSQDELEQRNLELSELRRKINGLHSTELEVCESGATWKYQSRIADLEDTEQPETDILKARFELQLQENDDLRRSKIEMENFISEIQAEKSQLEERLSASLKESSITSKCLDEVRKDILVLSSSIDSHVSTNKFLETNIVELESCKAELELHVSELEQENIELSERISGLEAQLTYLTNEKESSELQMHDSRSLIINLKDKVERQQSEMETQRLEFKQKQQESQRRLSEAQDDSEVLRRSNSKLQSTVESLIEECSSLQNLTADLKKQKLELHKGISSKEQSLLSELESIFQEHMEQEERINRAHFMLNKIEKEKTLEVENLEREVVSLTAQVSSTHEERESATLDAIREVSVLRADNAKLEANLQDVSAQLRHYESQLEDLRKESKNKIKGLVDSLNASKQSEEMLTSDAEHMKKLMEAAKSNEDALRKTSNELELKLKSSDYEKQQMLEEISSLKLQVQKIMNLQDEVFKLQSSLDEAKFEKGKLEEFLRSVTEDCEELKAQKAMLTDKVSDMQETLRNGEEEKRNRIAMQAKLVRLESDLSASEASHVHEAELKNELSRIKRSNSEYQRKIQSLEQENEDLTRRVQIMEKGFEQMSHVKENLGKQEIGGDNQAAIQSKIMLLETKLAEALEENKMYRAQQKSPMPDGQSAGGDGKEGNTDRILQLEGELRDMKERLLNMSLQYAEVEAQRERLVMELKAIKKGRWF >Sspon.04G0033820-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4C:66550687:66551059:1 gene:Sspon.04G0033820-1C transcript:Sspon.04G0033820-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKQNTGDARNSKGTVTMTVLQNFMKKEAELKELKKQLEATKLRGEELKAREKNFDPKLVPIMTGDFEGIGLEELEKYHDMLVEMKSMIDDQLN >Sspon.04G0017530-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:63501729:63502397:-1 gene:Sspon.04G0017530-1A transcript:Sspon.04G0017530-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRSCKLLLALLIAAMAASPLALAYDPSPLQDFCVADTASSVFVNGLVCKDPAQVSAADFAFSGLQNAGDTSNAFGSKVTLVDVRALPGLNSLGIAMARLDIAPGGLNPPHTHPRATEVLSVVQGQMYVGFLATDGTLFAKVMSKGDVFVFPKGLVHFEFNCGASPAVGIAGLSSQNPGLIRVADSLFGATPAVTDEVLAKAFRIDAATVQRIKAQFAAKK >Sspon.05G0018030-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:75931392:75932980:-1 gene:Sspon.05G0018030-1A transcript:Sspon.05G0018030-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAYPPWVIFEHYCTLEVQGCSSSIADTNTLARCRTTAGHPINVSLCLAAPPEGSRVCVQLPAGIVTPYAAVLAAHGDSVVVQFGPIEDRRTLFGTNSTDHFVYSAGDEAAEPPLLPSLSQLPPHSITYKTRRRPGCRYLDTDSTGLLRRGEGDFMVAELKMEYVKERDDKVHKEATLHLLRSGSCEWRVKRPLISGYADAGECHRYPRRRLDALLACWVDLARGLLFSDDVFDESPSLRYVPFPEDPNFGLRSVCVTADGSTIKFVNVFPRCCCVPRASHHAYGIHTWTLRMDVDMAWVMDGSLDASQVWALDGYKGLPRVELDSPVVSMDELDAICFVVCENHHEKHGDKMIVRRYDRSFATPKDGGAVVGGTVTFPAESPNTSTLNQARASHQAASPEAAILAALQEIPGLDRDDMMKAYRILTHDDSGRRLRSLMGLPMDLRKDWLVFCALHAQQTCK >Sspon.07G0002890-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:6472225:6477018:1 gene:Sspon.07G0002890-2B transcript:Sspon.07G0002890-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase STN7, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G68830) UniProtKB/Swiss-Prot;Acc:Q9S713] MAGSGLGLSTSFLPGHDTLLRRRRRRPASPAAASFRPVTAELGGVATELGRQLVEAVGVGLPCTVMQCGDVIYRSTLPQNDGLTITAPGVALALAAVSYLWATPGVAPGFFDMFVLAFAERLFRPTFRKDDFVLEKKLGEGAFGVVYKASLANPEAAKKQGDVVVKKATEYGAVEIWMNERVRRACASSCADFLYGFRETKAKGKGAEEYWIIWRFEGEDTLYDLMQSKEFPYNVETKILGNVQDLPKGIARENKIIQTVMRQLLFALDGLHSTGIVHRDIKPQNVIFSEGSRTFKIIDLGAAADLRVGINYIPKEFLLDPRYAAPEQYIMSTQTPSAPSVPVATTLSPVLWQLNLPDRFDIYSLGLIFLQMAFPSLRTDSSLIQFNRQLKRCDYDLQAWRNLVEPRATAELRRGFDIMDLDNGIGWELLTSMVRYKARQRTSAEGALAHPYFNREGLLGLSVMQNARLQLFRATQKDYSEAARWVIGLMARSGTEDVGGFTEAQLQELREIKPKKGSAQRNVLASVLRLQKKILKTINESMDELASQRKSIWWSRWIPREE >Sspon.05G0020320-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:83899228:83903063:-1 gene:Sspon.05G0020320-2C transcript:Sspon.05G0020320-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAKFAFSRSSSSSRRSNLSPLQPPARPGPALAEEDDDPAASWKFVDSELLTSSLEDWFSGHSEDCGFRKPAFDVPFDLTELQNFDYALEGVTFQQLVRMPNALYASTSDVFEATAYLALEDFLHAGIKGLWGAFWGLDEAMPSSVACIHSSSSKFYPAEKAISSGKLDGVCATSVLLKNLKHSQGRWDHIVVLALLRPDIGMVSAQGDQGPSPAVLGEALFFALRVLLSRSLSRSSTVLCNSDCIYLLLVDSQFGRVVKVQGDLNKLDFDLNNVYYCAAEWIKKHAEISVSSVDRVWNKLGNANWGDIGTLQVLMAIFLSVIQFYGEPKYSLDELATEHSSRLQSRRSERHLVDRQANGHGLFQFQQPSHSPEIVEVQEEPAVDVKPQETLKLEIGSVVLMDDAYIQKGFQINDILTDSDPPIYTSTPVEEPTKTYLLYVGSSPSHLEPAWEDMNSWYQVQRQTKVLSSMKQRGISSRYIPHMTSSGLVIHPGTCNKPNSNGNCGHPWCSTPILVTSPVGQTVSNLIRNGLFGVEEALRCCHDCLSALAAAASAGVRHSDIRPENVIRVSNGSSHPYFVLIGWGHAILEDRERPVMNLFFSSTFALQEGKLCSASDAESLIYLLYFSCGGVCPELDSVESSLQWRETSWSRRVIQQKLGEVSAVLKAFADYVDSLCGTYPMDYDIWLKRLRRTINEDHGKEVDTSSSS >Sspon.01G0025510-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:89987996:89991364:1 gene:Sspon.01G0025510-1A transcript:Sspon.01G0025510-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAVARAARGLAAAAAAARPSAMEAGHRGQVQQARGIVVQVRDGNLERALSVMERKMRSSGMERLIRARTHHHVKDSEKRVLSRKALMQRVRSQELGKKLREILIKKIRFL >Sspon.01G0027240-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:95665013:95666754:1 gene:Sspon.01G0027240-2B transcript:Sspon.01G0027240-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding NIFLCCTPWQSIAIQCLEALQFLHGLGLIHCDLKPENILVKSYSRCEIKVIDLGSSCFETDHLCSYVQSRSYRAPEVILGLPYDKKIDIWSLGCILAELCTGNVLFQNDSPATLLARVMGIIGSIEQAMLAQGRDTYKYFTKNHMLYERNQESNRLEYLIPKKTSLRHRLPMADQGFIEFVAYLLEVNPKKRPSASEALKHPWLSFPYEPISS >Sspon.06G0011470-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:45865044:45869419:1 gene:Sspon.06G0011470-4D transcript:Sspon.06G0011470-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:MDAR6 [Source:Projected from Arabidopsis thaliana (AT1G63940) UniProtKB/TrEMBL;Acc:A0A178WFH3] MASAAAAAAQCFSYSSSPATWALRQRGGGSGAGVLRLSSRRTFSVSAAAVFDNQNREWRSAVLKVKWNAHGWLVGGSSDCRYVIVGGGNAAGYAARTFVEHGMADGRLCIVSKEPVPPYERPALTKGYLFPPDKKPARLPGFHTCVGSGGQRQTAEWYKENGIEVLYEDPVVAFDGKTQTLKTSSGKILKYGSLIISTGCEASRLPEKIGGKLPGVHYIRDVADADSLVSSLGSAKKVVVIGGGYIGMEVAAAACGWNLDTTIIFPEDHIMPRLFTPSLAKKYEELYQQNGVKFMKGALIDKLEAGSDGRVSSAVLKDGSVVEADTVIVGIGAKPVVSPFEAVGINPEVGGIEVDSLFRTSVPGIFAIGDVAAFPLKMYNRTARVEHVDHARKSAHHCVETLLTSQTKAYDYLPYFYSRVFEYEGSSRKVWWQFYGDNVGETVEVGNFDPKIATFWIDSDSRLKGVFLESGTSEEFSLLPQLAISQPVVDKSKLEATTSVENALEIARSSLQSSASV >Sspon.06G0004830-3D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6D:12973228:12973981:-1 gene:Sspon.06G0004830-3D transcript:Sspon.06G0004830-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LKHRPSKNALLADITISTSAAPTFFPAHYFETKDEDGKTRALNLVDPTLCAMSQVSQDIILGDDCFFPVKPVDYGKFMVISVGCGSNRDQRYSAKAAAKWGIFNWLIKDGTAPIIDMFNSASADMVDIHLCVLFRALRSSENYLRIQYDQLTGSAGSIDDCSKENMDKLVQIGKDLLGQNVSRVDLETGKNVEVPGAGTNAEQLAKFAKQLSDERRRRQKLS >Sspon.03G0018230-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:43221870:43224069:1 gene:Sspon.03G0018230-3D transcript:Sspon.03G0018230-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAC transcription factor 47 [Source:Projected from Arabidopsis thaliana (AT3G04070) UniProtKB/Swiss-Prot;Acc:Q84TD6] MMTIDLGLTASAASSSSGGRRGGGVASPCTALPPGFRFHPTDEELILHYLRKRAAAAPCPAPVIAEVDIYKFDPWDLPAKAVFGEAEWYFFSPRDRKYPNGVRPNRAAGSGYWKATGTDKPITLSGGAAGSSESSSSRAMIGVKKALVFYKGRPPKGMKTSWIMHEYRLAEALNAANTYRPMRFKNASMRLDDWVLCRIYKKTTPQLAYMSPPHEEASPSMDGGGLDHGLQPDDSVSADDIVAATSSYASRLPRPASISDYLVDFSAVSELFETLPPETTTTTQPGTDAATRFYFGTSDPAASASSVAQQQSPLKRRSMEEDYSNSGMNMLHASSTSKRVMSDHHHASSMAANNAFSVFEPAGQTTLQDRI >Sspon.01G0001510-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:4487939:4491345:1 gene:Sspon.01G0001510-1A transcript:Sspon.01G0001510-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGARRRLLADAPPGHHHRQGAPPSDWSAGYLNGWLSQPTPIFGLRLWVLIGIAVGAAIVLVLLLILVCLSRRRRRRDDLLAANLYPAADTKLLKQHLQQQATPTPTKDIQEIVRRQQAQTPSPPPAAPQPAVQLAKAVAEPQTPPPPQQQQHRPPAQKTPGSGMSATTSGGSERDGATPRSTGSAGMPEVSHLGWGHWFTLRELEEATDGLAEENVIGEGGYGIVYKGTLHDSTLIAVKNLLNNRGQAEKEFKVEVEAIGRVRHKNLVRLLGYCVEGAYRMLVYEYVDNGNLDQWLHGDVGEVSPLTWDIRMNIMLATAKGLAYLHEGLEPKVVHRDIKASNILLDQQWNAKVSDFGLAKLLCSEKSYVTTRVMGTFGYVAPEYASTGMLNERSDVYSFGVLIMEIITGRSPVDYTRAAGEVNLVEWLKTMVAERKAEEVVDPKMTEKPSPKTLKRALLVALRCVDPDANKRPKMGHVIHMLEMDDLLFRDDKKPGRDAHPQGSDRYSSKEEGSFSKREHHH >Sspon.05G0036060-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5C:83916828:83917684:-1 gene:Sspon.05G0036060-1C transcript:Sspon.05G0036060-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding CLQASTHPPCNILHQPRLADLPRKGSSTSPASASSVSSSHGSVDVFNSYTDRYNNATKDLYGVPNLYMFMWCL >Sspon.02G0013530-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:33567261:33573646:-1 gene:Sspon.02G0013530-2B transcript:Sspon.02G0013530-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTLAAFLVLYLPLLLSAVGAAEAELTRGGFGFKATLRHVDANAGYTEKQLLARAVRRSRARVATLQSLAALAPGDAITAARILVYASDGEYLMEMGIGTPTRYYSAILDTGSDLIWTQCTPCLLCVDQPTPYFDPAQSSTYRSLGCSSPACNALYYPLCYQRVCVYQYFYGDSASTAGVLANETFTFGTNDTRVTLPGISFGCGNLNSGSLANGSGMVGFGRGSLSLVGQLGSPRFSYCLTSFLSPVRSRLYFGAYATLNSTNASTSVPVQSTPFIVNPALPTMYFLNMTGISVGGYLLPIDPAVFAINDTDGTGGTIIDSGTTITYLAEPAYGAVSAAFVSQITLPLLNVTDTSVLDTCFQWPPPPRQSVTLPQLVLHFDGADWELPLQNYMLVDPSTGGLCLAMATSSDGSIIGSFQHQNFNVLYDLENSLLSFVPAPCNLIEHNKNMEQRLVVLVLAMASLYACPAAASAFAGYDVRVALKHVDAGKQLSRPELIRRAMQRSKARAAALSVVRNRASASARFSGKNNEQQTPPTGVPVRPSGDLEYVVDLAIGTPPQPVSALLDTGSDLIWTQCAPCASCLTQPDPLFEPGESASYEPMRCAGQLCSDILHHGCERADTCTYRYNYGDGTMTMGVYATERFTFTSSDGDRLMTVPLGFGCGSMNVGSLNNGSGIVGFGRNPLSLVSQLSIRRFSYCLTSYASGRKSTLLFGSLSGGVYGDATGPVQTTPLLQSLQNPTFYYVHLTGLSVGARRLRIPESAFALRPDGSGGVIVDSGTALTLLPVAVLTEVVRAFRQQLRLPFANGGNPEDGVCFLVPAAWRRSSSTSQVPVPRMVFHFQGADLDLPRRNYVLDDHRRGRLCLLLSDSGDDGSTIGNLVQQDMRVLYDLEAETL >Sspon.04G0014100-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:52052139:52053416:-1 gene:Sspon.04G0014100-1A transcript:Sspon.04G0014100-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MYPSCSPLAVPPGFRFHPTDEELLYYYLRKKVAYEPIDLDVIREVDLNKLEPWDLKVVFLQPQGQEVSYGDTNQPGNNGWAIFLGNGGRRIGLRKTLVFYTGRAPHGKKTDWIMHEYRLNDDNVEEDGWVVCRVFKKKSIQRGLFDDQLGMAPAAGDDDELHSFHSPGGMTPVEQKYGLHLHQLMHGGVPAFDPSMHLPHLTTAEGTLGAPSFTSGTSAVAMNLLDMGCSPHNMVKMTKSCGSASDMPLNSGERFGAAADWSILDKLLASHQNLDQLFHCKFGGTTLAAPHHYQQQQMQQQHIEMSASSLHRLPLH >Sspon.04G0012680-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:43752129:43754109:-1 gene:Sspon.04G0012680-1A transcript:Sspon.04G0012680-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLPISTRRYQQFPLVRNNGLPIISRVKMSRLGTNQSNHHSNTVVSSSPSCGDTRKICPVVKMCGITSARDAEMAVKAGAELIGMILWPNSKRSVSLLEAKEISRVAQSYGAESVGVFVDDNEETILRVSHSCNLNFVQLHGDESRALVHTLSKNNRIIYVLNADDNEKLINTPDMEYELDWYLVDSAKGGSGKEFNWQKFQMPSVKSKNGWLLAGGLHADNVCEAFSALKPNGVDVSSGICAPDGIQKDADRINSFISNVKSLNFLS >Sspon.08G0001000-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:3883877:3885187:-1 gene:Sspon.08G0001000-1A transcript:Sspon.08G0001000-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSFARSISFPLSPSRSSSTKPRAASSAAAAYHARSVSLPCRSHPILAHLHAHIGAVRAWAQDPATSGAATGLAHVDALHAALGDLLDLPEAQAALTLSATGDRLLDAFLRLADAHGSFQEAVVELKRDVAEALAAVRRRDGARLASALRSQRKAGKDLARLAASAGRDSAAAGSGRPSRLGLGSAAEVEVTGLLAEAAAATAAASAALFGTVAAMSASASAAACSCKRTAALMCLTKKVSEEEKETVALVERLEELEECIDGLEAGSDKVFRNLVQTRCPRLISLNEKHTLSATLCSADPGSAPGSIKIGNVTYKAHKARVG >Sspon.06G0011890-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6A:64462685:64465171:1 gene:Sspon.06G0011890-1A transcript:Sspon.06G0011890-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRNVWSVLVILICISVCPVRIRGFSWNIFSSSSSTTATGGDRAPMMELDGAVADFAMDGANSDPRGLKLLENARNKLAGPRNCWQEAYRKLFASCGEIMADKERQSRLAWHLTSCFQEDSGRPPFPSCAEGSEMVHCRKRLSESEGKVFLEFFLETNTLCHQLQAESFKHNTERLINGLTRTSKSAEEKLEVIEERSDQIIKESSKVKDTLSSIEMQADNLAEESKHVGEQINDVLVHSKSIFEQSKEIATVQEELSKGQTEMKEKIEAGMDLQRTADDIGSVAGKSVENQKQLLNGQNQAMDGLNKLHSFQAQAIEESRETIQKLAQFGQRQQEELLSRQEQIRRAHEHLIQNSHSILEAQEEFRAKQANIFAALDKLYILHNAILSESRFIKAFFFYSCIVFLVYMLTSAKQTFGIRGQLYFGLCITLLLEIGLIKIGADDFDKQFWVMSKVFLVRMVFLGAATLQILHSVFTYRDYEVLNHGLLQTLVEKVRALEENAGGRALSYGSEEESLRDYSWVFDELADEVDSKMDPTYVPPPEWSPPTRRRSNDVVVAEEIGENSITASVFR >Sspon.05G0019500-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:83606180:83608473:-1 gene:Sspon.05G0019500-1A transcript:Sspon.05G0019500-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPAVRTRRSTVVPLPSAGGTGTPSVDVVVPLSPLDTRWAALPPVCHVFLFPAAAHQQQVPFSDVVRGLRSSLAAVLPAFHTLAGELAYSPELGTVTIVCGEDAGVAFVEADTDLDLASLVFEDDDGAADLDVDALPQLVPDIRREVLPAPMFAVYVTEFAGGIALGVALKHVATDGIGFFRFMQMWAASAVAAGAISSDWTWTQPLHDRRFVRFDGDQELARRLLRQAAPDLPRVRRPHSSSYSAGEHLPSPPSAHALRRLKQRLIAAGPGDVGAAPSTFATLAAHGWVSFALASGFTDAAPVFAVFLADCRAHMSPLVPDAYAGNCVVSCVVALSGAELTGGDGPALAFLAIRDAAAEVKRDPLAGSGSWITRFRAAPPGRKVVLAGSPWFSAYAVDFGFGRPARVERASLEQDGAMAIFAGREAGSVQASDPSVADAAGKMRAFHRMFEVKSSSDKARL >Sspon.05G0002430-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:6917511:6924501:1 gene:Sspon.05G0002430-2D transcript:Sspon.05G0002430-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGLKYRAGLCLIVAVVLIWVLSAEVTQGIFTKYKHPFAITYLGASLLVIYLPLSFLKDYIYNSMRRHSGNTSALKIASKSSFGGSAPLKNGEFQKVLEMESQKTIVINCTEVSIPVVEETKPLIYGITEMNDGVLKDKQLSTKEIATYGLYLCPLCTTVLSSTSGLFTLFIGVLLGQDSINAAKVIAVFISMAGVVMTTMGQTWASDESEVGKSGATQRTLLGDMFGLMSAIAYGLFTVLLKKFCGEEGEKVDVQKLFGYLGLFTLVALWWIVWPLTALGIEPKFSMPHSAKVDEVVVANSLIGSVLSDYFWYVLKKANIFLYYYYCILGVILTVEILVRALSVVWTTPLVATLGMSLTIPLAMVADMIIHGRHYSAVYILGSVQLGEQPKSAAPLIIEKIALSERDAIDSEGGSLSGIAQQRPGDPVDLGHLKAMLPQHVHAVQHAVPHLARRPPHGAAAAALLVLVIVRPPHPPQRGRHRRHILGVVEHVGQEHDADPLVVLELEPVAPAELAHVGARGAEPVGVRVGPEARQQRGHVREHAARGAEAGHGRDAREPRASRAELHDGGAKEAAPGAGVRGEVGGQDQGRVPQPGAREDAAGGRDKERTAHATHELHHGQRVPAHGYVQDLRLWTQHVHSRARLAGCLRAGPPVLSGCRRRLLEFSGWLVRTNSLGRLDAKAWGRSPPSVTSPWATSLG >Sspon.07G0016280-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7A:57964273:57964611:1 gene:Sspon.07G0016280-1A transcript:Sspon.07G0016280-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVGVVEADDMSSVVNEFLQRCEPSGDAAYGELRALLARLHDPATRRDARVFLTALRRHQQQQSSSSAGGGGGDRTHENFFRRFGFRIQELLLQDPTTTDITASTFLSTNAA >Sspon.01G0028370-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:94616516:94617374:-1 gene:Sspon.01G0028370-1T transcript:Sspon.01G0028370-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPNKPGFSSGRDAVFWSGEMNAYLIDSLLYQQDIGNRGESKFSTVAYDSIINGVGDKFGVTIDRNNIKNRLKYIKESFHECRNLLGEDTRFRWCSETRKFNADPDVWRELIERKPEAKKWMMKTIDHYDRLMVLFGKDREKRPDVENSKGTAKKKARTEPPKERLHRTPLNGKESAVAESSDKKVDKIE >Sspon.02G0059630-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:96951040:96952570:1 gene:Sspon.02G0059630-1D transcript:Sspon.02G0059630-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNHRKVYELTPSLPSENGGDAHSWNGVRKQQANLCDLEVYLYRKVILAIKAKGRTGNNVVGEALRAYACRRLFGSLDHAVSNGLDCTKHRAVLEAVADLLPVKKGSVSCGLWSPSEAAQSFLPAGLIKRIGAQQDRASVSDLLMPAEPGDDAMYNVDMVAAILEEFLLQHKISGSEAKMQEDDDNMDVAADNVITSSKLAAVAKLVDGYLSGQVHRPRRIHASPEHPGMSKGEKKRLCALMDCRKLTADASAHAVQNERLPLRVVVQVLFFEQVRQSAAACSAAAPARSLVLPRDDGASYGGSSRSATTTATEDEQWGGGAVGGAPTTSLRSVSQLSANKSGRSGGGDRKKAKGGAVAPAPARRVLGKLWSGKASSGENSGPAGSLNLEETKSTPSRITRHSVS >Sspon.01G0010880-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1A:30168236:30170437:-1 gene:Sspon.01G0010880-1A transcript:Sspon.01G0010880-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VFLFLPSPSRRPLAGAAAAISMAGRPPPPPPSYFHTFPPLPSSSWAQIAAPPSAAAAPTAPAARPPPAAAGHAAAAPAALGAAAPAAGRAGGQGAAGAAPTTALTPGAAAALAAAGAGAAAAEPPADAAHLPAAHLPGAGLDAAGLLPGALDAGGLLPGAVLSVAAATAGVHAFPAPPQPPPTLLYPGRADPALAAALVAARAAAVEGQARVRAAALAWERERDAADALARQIADVEQRLLHYQAGGVQNIHLLVPVVLEPESPSYARWRDLVLLTLRRYALDDHVLVDASVAAQTPSWLRLDSIVLSWIIETISLYLHDLVRNTADARQAWLALEGQFLGNAEARALRLDASFRTFVQGDLTVGEFCRKMKTMADSLGDLGWPVEDRILVLNVLRGLSDRYAHLRTWITRQRPFPTFLQVRDDLVMEELAQGLQPGSTAPGSSTSSTALAATPPRPFAPPRPSATPPSSLLGPPPSGPSGGGADGSTGCTMAVLPQPVVWAHLDVALPQVVEGRPWCTLWSRRHHLVGSATPPHVQRRGDGDRHGPPTPAWLGGGPRRDDGGVADGRGGANGVAEASWLRQLLAELHTPPSRSTLIYCDDVSAVYLSTNPIQHQRTKHVEIDLHFVRDRVAIGEVRVLHVPTTSQFADIFTKGLPSSTFTEFRSNLNITSG >Sspon.03G0016900-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:40194428:40200929:1 gene:Sspon.03G0016900-3D transcript:Sspon.03G0016900-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGGVSGFQNAPVTRAVVLASGLLSVVFSAQRRARALGISYQDTITNFRLWKIFPSVFAFQSTPELMFGLYLLYYFRVFERQIGSNKYSVFCLFTITASSLLEILSLVILKDTNYISTLASGPYGLIFASFVPFFLDIPVTSRFRIFGMNFSDKSFIYLAGLQLLLSSWKRSLIPGIFGLVAGSLYRLNVLGIRKMKLPQVISSFFARYFAPSPGSTPRPSRSLVGNTPSQTGRAVQNQPSTGFAPIVEPPESSVAMLVSMGFDGNNARQALVRARNDINVATNILLEAQSH >Sspon.03G0022850-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:92159995:92160977:-1 gene:Sspon.03G0022850-1P transcript:Sspon.03G0022850-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRTPCCDRAAVKRGPWSPEEDEALRSYVQRHGSGGNWIAMPKKAGLKRCGKSCRLRWLNYLRPDIRHGGFTAEEDAVIMSLYSQLGSKWSLIASQMEGRTDNDVKNHWNTKLKKRLLAAALSPSPPPHARLPAPAPTSSTAHASSLFPSLDIPTVKTEAYTCDDFLAPVLGDPFAAAADGSTSAASAASSASNWSTADNGASEASLFLLDFCAGPDLGAAADQLQLPGGYYYPLDPSLSPV >Sspon.08G0001810-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:5557889:5559965:-1 gene:Sspon.08G0001810-1A transcript:Sspon.08G0001810-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box protein SKIP31 [Source:Projected from Arabidopsis thaliana (AT5G45360) UniProtKB/Swiss-Prot;Acc:Q9FHK0] MADVQGDKEAGLEDDDFPDDGPLDPEEPGEGGEPRSGGDEEEEDDVDGLASFLESEILSGSSDQDPIDQLNWLPQQEQRQQEEDGDAAKNKRKQGSGPDGDGCSGSCSEGEQTKRARREEMRRQAKGKAVAPQIDTGMFSNIPPELFLQIFKFLSSEDLISCALVCRFMNAAASDETLWRRLYCMRWGLASNAKFRECAWKNLYIQRDREDMVEFVRNTPTEFKEYYIQMQAAKRSQVPRPSEVNDDKVMLDKTVADQVSSWKNSRGLTDEAVKGHCCSGNTCSYTQIGDAYICEKTGRVHVCDDACREFVLDQSSGLLLCTISGHCFERWLCPDDEWDADDTVRYATGCVADEAEPFMGSGRY >Sspon.08G0010730-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:47111392:47115737:1 gene:Sspon.08G0010730-1A transcript:Sspon.08G0010730-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding EMYGQGGSFNPHYRHGAPPPPQQQAGVPGSFPQRPVPPPPAPYPQHPGLRPPPGPYQHGVLPPQNQPYPFAQHGQMHQMPMLPQQRGYAPMPMPGMLPPQQAMHQAPPQYPPLPPPPPRSSAPPPSPPPPPPPPPPPPPPPPPASQSPHTPVVAQSWDAEAEAKVGASDGGRFAKTLEAPTQLIVSDDSDMDMAGDEDSPSRQPLTPENSSLVTAECTGNVNVSKSISDVSSPGKDLPPGSGENAKTAHATEVGGSAFRLIQGYASDDSANEADAGPEGTSTLVILPKITCTVIQVIEILRQKHVDAKGNVNTPHGTEQNGKAENYHLKDESNPVKHGTDVLGHLAKEDTSDSEFEGSQSSKRHGRRQKKRTRSKSPQGRSGSPVGANKCSPSHSSSPGKQSRPPFAKRVHPAGDGNDSGGKVAQQEGLALTSKLDSSNDLSGKVGDNAAFDVALGQHCHSDNLISEHSQPVAASAGTHKMQRPCPPSESRSDLNVSSSAGDPILMSQPAAGVPYISVQTTKSSMASDHLQPHPQSLCPPEHMSSSNMIQPPGQPTFATSEFPQMQFQHKVIAPANEFLQNQMRSYPPQDVSHPRPFNFHHHTLPPAIPSNQQPSGVGLSYSSHQPPYGQHQPPGNLDSGSNLVYPSFQRFPSNLPGSNNLGPLSDVDLTKSSIKPHYNPFASTFDKTDPSLDIGDPVSPNAIGSVSTTAEHMNTLSPFGRSRTHAQESSVAPVPNKQKLFRQEFASGAPYDPLLDSIEPSSSSINKVDLRKEKNWSAADSRDASKLMNLEVDSENMYGLGVVAESEVEGLGEVAADTEAGVVENASPEFLGAKDWNSDIPGDVDNDQTLDKNKKGEDSRSMKLFKIAIADFVKEVLKPSWRQGNMSKEAFKTIVRKTVDKVSNSVPSSHIPKTPAKMKHYVQSSQKKVTKLVQGYVERFIEAADINPHVPESRTHQNLRALLPAFLRIRKLKQNLEDASVYLHQALSGLEVTLCAFGAVPKTLQPDEHLCKPPWFGSLKL >Sspon.03G0020220-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:81491438:81492138:1 gene:Sspon.03G0020220-3C transcript:Sspon.03G0020220-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCEEREPVPRIPDTLVSERASRQALQDVHRRLTRLVEKLHDIACGKDIKMFILTVVSLYIASVIADCFSSLTLLYLVVLGTMTLPALYERYESELDHLVARGVHDLRTHFADMDSGVLRKIPRGTGAAAK >Sspon.04G0027790-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:63622923:63628404:1 gene:Sspon.04G0027790-2C transcript:Sspon.04G0027790-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVAMDISKPTPAASGDEAAAAAKGRSGAGGEGLRQYYLQHIHDLQLQIRQKTHNLNRLEAQRNDLNSRVRMLREELQLLQEPGSYVGEVVKVMGKSKVLVKVHPEGKYVVDLDKSIDITKITPSTRVALRNDSYMLHLILPSKVDPLVNLMKVEKVPDSTYDMIGGLDQQIKEIKEVIELPIKHPELFESLGIAQPKGVLLYGPPGTGKTLLARAVAHHTDCTFIRVSGSELVQKYIGEGSRMVRELFVMAREHAPSIIFMDEIDSIGSARMESGTGNGDSEVQRTMLELLNQLDGFEASNKIKVLMATNRIDILDQALLRPGRIDRKIEFPNPNEDAVCTEAGMFALRERRVHVTQEDFEMAVAKVMKKDTEKNMSLRKLWNFAVLVGFDSPDHCAIRPSEAYLKSDWSPSNIMEGSG >Sspon.07G0014390-3C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7C:57931876:57934201:1 gene:Sspon.07G0014390-3C transcript:Sspon.07G0014390-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAIEPAGAAASVVAAGGVGVVTPGSSGDGSTGLETGGGSTGVESGGAAAGAERGDGAGDAVEKERGVLDALPEVERRGQHGLRRALGRVRHGDLQDAPAGAFLVGAVVDPGVDCPPAGEVDAAAGVVAPEVGCGCRDHGAEIQADVVPVGVPELDVHERVELDGGGVGGDGHADVGTRVAERGPVGGQHERQPAADGRRVERGHREGELRREPAPDRHRGRVERPAGARGRDGQVPGEADGAVLEVRVAQQPQAAERQRGVVEAHRGGGGGAGAVREEEQEEGEEGRGGGGRERR >Sspon.02G0039790-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2C:62047521:62048048:1 gene:Sspon.02G0039790-2C transcript:Sspon.02G0039790-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-prolyl cis-trans isomerase CYP19-3 [Source:Projected from Arabidopsis thaliana (AT3G56070) UniProtKB/Swiss-Prot;Acc:Q38867] MAKNPKVFFDILIGKSKAGRVVMELFADKVPKTAENFRCLCTGEKGLGSSGKPLHYKGSAFHRIIPSFMCQGGDFTRGNGTGGESIYGAKFADENFKLRHTGPGVLSMANAGPNTNGSQFFICTAQTSWLDGKHVVFGKVVDGYAVVQKMEAVGSGSGATAETVLIEDCGQLADD >Sspon.07G0020890-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7D:63800524:63805143:1 gene:Sspon.07G0020890-2D transcript:Sspon.07G0020890-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGHSSPPPSYYAYFPSLSNPSWAQIAARPLPGAPGAPAAGAMAATGTELGLPGGSSEAASSPLVTAQPLAATDVEPHPGADLQPRPPLGADLQPRHPLGADLQPRPHLGADLQPRLPIQPTSQADHHLQPSITAPLADPLADPLAALRTRQPAPVLPSVLPSQTGLHPLLAPLLLGGNTSSLTTAPPPGPDSTLAAALIAARAAAAEGQARVRAAALAWERERDAADALARQIAEAEHLLVSPTPRDVGAHSSASPGRRATHPAVLWHDPADPLVAQLHYQAGGVQNIRLLVPVVLEPESPSYARWRDLVLLTLRRYALDDHVLVDTLVAVQTPSWLRLDSIVLSWILGTISLDLHDLVRTSPDARRAWLALEGQFLGNAEARALRLDASFRTFVQGDLSVGEFCRRMKGMADSLGDLGWPVEDRILVLNVLRGLNDRYAHLRTWITRQRPFPTFLEVRDDLVMEELTQGLQPGSTSSTGSSSSSTALAATPPRPSAPPRSTAPPPPSLLGPPPPSGPSGGGGGRGTRRRRGGGRGGGRGVHPRLRHRLGHTGGHHGLRFRTRGPVASPCGPTTPPEPILVHRWPCSPRRGFHLPGLPGGRRLGPGALARSFSTMGLTPPVGPEWIADSGATYHTTPDPGILSSIHSPSSSLPSSIMVANGSCIPVSSVGTAGAHGSFRLPDVLVAPSMVHNLLSIRRFTADNSCSVEFDSSGLTVKDLASRRPLLRCDSTGPLYTLRFPAAPSSSPSVLSAAFVASASSTTWHRRLGHPGRDALMQLSRSSGLPCTRAHDEQLCHACQLGRHVRLPFSSSTSHAARIFDLVHCDLWTSPILSISGYKYYLVVVDDFSHYSWTFPLRAKSDTFTTLLHFFTWVSTQFGLTIKAVQCDNGREFDNSTSRAFFLSHGVQLRMSCPYTSSQNGKAERMIRTTNDTVRTLLFQASLPARFWAEGLHTATYLLNRLPSAACPAPTPHHALFGNSPRYDHLRVFGCACYPNTAATSSHKLDPRSTLCVFLGYSPDHKGYRCYDLTSRRHYHPPAPDPPSLIPTDPVVQPPFSWSPAGTTSPRDGPAPGPGSPTDPGPSSSGVAPSSPAGADPGPSSPASAPGGSCRSPTPDPVPAPAPAPPSRFAAPVRVYQRRPRPPQLVLPSPPGTPTPPPQSPPARGTPPVYHPPLLHRHPRHVHPMVTRHAAGTLPPRVLEASTDDGVVSPVPSSVRDALLDPHWRRAMEEEYAALLANQTWDLVPRPPGANVVTGKWIWTHKRRADGSLERYKARWVLRGFTQRPGVDYDETFSPVVKPATVRTVLSLALARSWPVHQLDVKNAFLHGLLTETVYCSQPAGFVDTTRPDMVCRLNRSLYGLKQAPRAWNHRFATFLLTLGFVEAKSDTSLFVYHHGADTAYLLLYVDDIVLTASSEPLLRRIIAALQQEFAMKDLGELHHFLGVTVEHRPAGLLLHQQQYTRDILERAGMSDCKPCSTPVDTQGKLSEAEGPPVADPTAYRSLAGALQYLTFTRPDITYAVQ >Sspon.01G0024800-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1B:64820776:64821399:1 gene:Sspon.01G0024800-2B transcript:Sspon.01G0024800-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVQQGGAPAPAPAAELEVSLREFTLSDADADAEAFMSWASDPRVVRFQRRDAYEHVDQARRYIADHVLPHPWYRAICAGAGAPLPVVGSISVKPGPAEDGRLFRASVGYRVAYAHWGRGVATRAVRAAAEAVFAAWPWLLRLEAVADVENPASQRVLEKAGFVREGVLRKYILLKGRPRDMVMFSIVVDTDTDTDRRRQQNKPGGP >Sspon.03G0011270-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:47122494:47126189:-1 gene:Sspon.03G0011270-3C transcript:Sspon.03G0011270-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAAFPSGLLFPTRPSTPPLPSSSSSSRPSHSRPHLRIRSPNPTNPAAAPASSRMEVAQPQASDAQGGGAEPALKLLFVEMGVGYDQHGQDITAAAVRACKDAITSNSIPAFRGGTEQIRRPAAPCFSGIPAGDAKLGCFGWSIPGVNTDQMKLQIKLGVPRSTQHLLDAERVKAVFPYGKIISFEVVDGGMICSSGVCLEAMGDKNDDCYIVNAAVYVGY >Sspon.07G0024700-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:26348141:26348863:1 gene:Sspon.07G0024700-2D transcript:Sspon.07G0024700-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MWSSWVRRSKTSGRLTQSPSFSSPTVSSPTGKDYPGIGAVLDDAAANASPTAGAGSGSKIVLHRARSASRLRTCKSFAAAAEAAAASVAGERRVVLYFTSLRAVRATFEDCRAVRTILRGLRVSVDERDVSMDAAYLAELRALMRRDRPSLPQLFVGGRLVGDAEEVRHLHESGELRRVLAGAAQAAPTPCASCGGSRFVPCGACCGSHRRFSEKTGGFRVCGSCNENGLVRCAACSSGS >Sspon.07G0031610-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:24669726:24674149:1 gene:Sspon.07G0031610-1C transcript:Sspon.07G0031610-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VSHIMDPIDDSTFKNIWMATAKTNTMIYQDVFGCVPNDLIHSRYEFKPTLGLHFAPSAVVSETYSPFRAQFRQSFAHLRDKVGHTTIDLGVAQGKLEAYQDGDLKSTDPMDRLQLVRGHLVSFPLDFMCQEDLRPYFSESEYYTSPQDSGEVL >Sspon.04G0020900-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4A:73246697:73249159:-1 gene:Sspon.04G0020900-1A transcript:Sspon.04G0020900-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKASSLSELGFDAGDASSGFFRPVSDSFSADGLVTPSAHRRRLTKVSVIGAGNVGMAIAQTILTRDLADEIALVDALPDKLRGEMLDLQHAAAFLPRTRLVSDTDMSVTRGSDLAIVTAGARQIPGETRLNLLQRNVALFRKIVPPLAEHSPDALLLVVSNPVDVLTYVAWKLSGFPPSRVIGSGTNLDSSRFRFLLAEHLDVNAQDVQAYMVGEHGDSSVAVWSSVSVAGMPVLKSLQESHRCFDEEALEGIRRAVVDSAYEVISLKGYTSWAIGYSVASLAASLLRDQRRIHPVSVLARGFHGIPDETDVFLSLPARLGRAGVQGVAEMELTEEEAKRLRRSAKTLWDNCQLLGL >Sspon.01G0013810-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:45365133:45370352:1 gene:Sspon.01G0013810-2B transcript:Sspon.01G0013810-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNWNKIGSNKRRKEVAAISSIGELTISGYLLSGYGVRRTGAVRFSFDAAVGLRLDNEPAQLGSARSGSWLELAPNHESNELTSFEFFVHKLAMAMLCGRTSDWDQGRGAARLAREKNGKQPVSVRGRRRKERLTCIRSYTCTLSKTRPKSSDLGCWGSSSPRVLTMAAGLGFLKAVALVLAPVVLAVVLYSPRDFSPAPMPPEYSYGPDVSAPRHERRALQHSERVGEGRLPGPEDLAYDGAGGWLYTGVSVPGGDVEDWVRTGGRPLGLVLASDGGLIVADANIVSPDRKVELLTDSAEGLKFALTDGVDVAADGTIYFTDASYKYNLDNHMADVLEARPHGRLMSFDPSTGRTAVLARDLYFANGLPHLLRDRDVSAARLLTIVFTTPTYQQIREICVCRCLDDSHGMTCAPDLFHFRMGGNAGRGARGTIQKFIDNLPGFPDNIRYDGEGRYWIALSKGRTLQQDFLMKYPLIRKLVYLAEKFNSGAMSVALDGKPVTMYSDEGLALATGWLKVAEHLYYGSLTESYLSKIDLTKSSPTECAARLVTSLDFDRTPVHPVITFCTASSGNG >Sspon.05G0034390-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5C:54943521:54945921:-1 gene:Sspon.05G0034390-1C transcript:Sspon.05G0034390-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTQIIGERTSGDLSVIVVDENLCHANTASCMLANLQFQVMSADTTWSTMKRSIELGACFLIKKPLDANTIDNLWQHLDLKFQWIDNIKDLFPGIEGKMGNAFGEGTKQKATHLMWTPFLQRKFLQAVELLGEAATPKKIQLLMNVNSVGRKQISAHLQDEVMSLDHTKIIEETQSNMLYEAMRRALQLGTIFEESQLPNDPSSKDARKVEVDMMRDGNYRDAGTYAFGDKNEVSKTHNADDNAKVMSKDDSDKLDSYGDELRPVVTLVTYSDSED >Sspon.04G0024310-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:18772795:18775829:1 gene:Sspon.04G0024310-1B transcript:Sspon.04G0024310-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to 61 kDa protein homolog [Source: Projected from Oryza sativa (Os02g0633400)] MYGGGGGHYDGGGSGAGNANSLFGGGGFMPSQSTVVPESSGFSKGRSAQTLLPLTVKQIMDAAQASDDKSNFAIDGVEVSTVRLVGRMLNKVERVTDVQFTLDDGTGKIDVNRWENESSDAKEMADVNNGNYVIVNGGLKGFQGKRNVVAYSVRRVANFNEIPHHFLHCIQVHLDHTRPKAQINASMATPGHTNQVWLSNNQAAMFSASGNAAGNDVSKLVMSVFHDPAAIDREHGLHIKDVAACLKLPEDVVRAVIQEHIDQGNIYNTIDDNHFKSALNG >Sspon.05G0013880-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:49487516:49489730:-1 gene:Sspon.05G0013880-1A transcript:Sspon.05G0013880-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTPAPTPTPSTAAYDRLVELRALDATLAGVRGLVASGATRVPRIFRAPEPEQPPKPNATGQQEAPPPCIPTIDLGVADHEALVDSLRRAAAEWGLFVVTGHGVPEEVAAAALGAARAFHDADGGEGSEKARLYTRDPAKAVKYNCNFDLYESSVANWRDTLYLRVAPDPPADGEMPENCREAFFDYAKHTKILLDTLYRLLSEALGLNPSYLIDIECNRSQMILFHYYPPCPEPEVAIGTTQHSDTGFLTVLLQDEIGGLQVLHDDQWIDVPPTPGAFIVNIGDLMQMMSNDKFRSAEHRVVAKKAGPRVSIACFTSHSDSTRMYGPIKELLSDECPPLYKETLARDYIAHYYSVGLGRKKAIYDFRL >Sspon.01G0030580-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:104828319:104834607:-1 gene:Sspon.01G0030580-2D transcript:Sspon.01G0030580-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATALKSFLNSPVGPKTTHFWGPVANWGFVIAGLVDMNKPPEMISGNMTAAMCVYSALFMRFAWMVPREERARGPTVKRINHCEVLFWSLDNVILDRNISIN >Sspon.02G0016400-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:45064423:45086780:-1 gene:Sspon.02G0016400-1A transcript:Sspon.02G0016400-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPDGKNLTSNLRMTATVSYDNMTKLLAVDLEIDGTPYYVNSTIDLKSVLPEQVAVGFSAATGSSAELHRVLSWSFDSTLGQKSIAPAPAPQQVAAPEITPEPSAKLVYKVLVPALAVSVCAIIVGLLLWAWQRGRRNANVGTDSESDEQRGDADFERGVAGPRRFRYRDLAAATDDFVQENMLGRGGFGSVQGKILDAADARLRTGDEAAERQMERALVVGLWCALREAEQRPSVADAMYILQSENKLPELPSQMYRMAAATSFTAVRYAEHLPSVLRNISCTIPGRKKVGIVGRTGSGKSTFIQAFFRIVEQRVGTIEIDNVDILKIGLHDLRGRLSIIPQDRTMFEGTVRGNLDPLNEYPDHRMWELGDIVRQNPKKLDSTVVENGENWSVGQKLLFCLGRVLLKRSNVLVLDEATASVDSSTDAIIQETIREEFGKCTVLTIAHRIHTVIDSDLILVFSEDVGLIYREIKNSEFPGEVNPCDKALKCVGNAYFANSVIELTKNDRSRKSGGSQGRVWYANPMPLWDAATGELASFSNVFSFLITPDRDYKNPDGSFNTGDGMAFFLARYSDDDGVLNNT >Sspon.07G0002190-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:4810731:4813976:-1 gene:Sspon.07G0002190-1P transcript:Sspon.07G0002190-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPARPAAASVSGAFGLSPDPKRCSFDQALRQKDFQENRLLMSFVNFHEQEKISKEIVTDAIESCMKKQADNLLNSLEVISGRLSQLELYCYKLERSIGELRSDVMDYHNEANLNFQCLEKQVKEVQKSVQVVQEKQELAETQKEMSKLQIVHEDSAQKSEGTAPSVFMTRENEVALVPLHQVNAVQSPAVQFQSCSGLILQQLVPVQDHQRSNQTAVYCMRGQSHPEHRQAQMFQAAAQSVQTHTRKTQPQTVVEVPQVSSQAPEFYPQPQHQWQHQTGQQVQSQARQPQPQVVQQQQYSNIQQGPAQMIQLQTSSPHAHSTPQVTLVYPPYGAHQSACGNVEARTGGMVVPPSYSTISSSQRKHHEAAPIYVQSNTVSVPLAEQHQQLHSLNNGSFVPQPSKVNPCGVTSYTVQGNAQTYSPAYGSPSSNPATIVAVLNQQAHCSAPMVLHHLGPQSVQNHPVDIAEKVAWMGYSKDQAEGLALRMVAAGQPAEYNHLHDRLSSVGHGVAPHAWSG >Sspon.07G0004190-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:9568790:9571564:-1 gene:Sspon.07G0004190-3D transcript:Sspon.07G0004190-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRRRTLLKVIILGDSGVGKTSLMNQYVNKKFSNQYKATIGADFLTKEVQFEDRLFTLQIWDTAGQERFQSLGVAFYRGADCCVLVYDVNSMKSFDNLNNWREEFLIQASPSDPDNFPFVLLGNKVDVDGGNSRVVSEKKAKAWCASKGNIPYFETSAKDGTNVEDAFQCIVKNALKNEPEEELYVPDTVDVVGGNRAQRSSGCC >Sspon.08G0016900-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:61603727:61605823:1 gene:Sspon.08G0016900-2B transcript:Sspon.08G0016900-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKKEKEKRTVPHCNSTPTPSPGVGLLPAGPVLAFKSNYTNRGPHRPAQPRGARISRQHADGARSPPRGNRTDPTQVPNQIFVEPNRERTQRPAPWRGLSLPRRSSAVRPSSPPQPAARPRAHRPLSILAHSVSIFRGGGGWLIRPPLASLLVARHRPTAAVPAGAAPSAAAADGEEDGGASTASRSFFHKVTSAAAVTLLSATILTLVVQPSWAPPALAHFHPTAKAAGTTTLRSCWAARGRVFLAGCLHTLSGPDHLAALAPLSIGRSRVESAAVGALWGCGHDAGQVLFGLLFLGLRDRLHIEVIRTWSTRVVALTLLVIGALGIREASEVPTPCVALDNAGGHGHHSTMETLQSGKKKVNFATFATGIVHGLQPDALMMILPALALPSRVAGAAFLGMFLVGTVVSMGSYTVFIGSCTEALKDRVPRITEKLTWAASLLAISMGLAILVSQSFGITLY >Sspon.08G0015260-3D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8D:58399315:58402198:-1 gene:Sspon.08G0015260-3D transcript:Sspon.08G0015260-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTAPLLSPQHVRPAHLPRRLPPLHLASHLDVPARRVLLRRAPPPCTAKFGKFDASDAPAEAEGAEAAADGGVAQPAEEDDSCLPSDLEGAIRQSGKASADFVNSGGLRAIAELLIPQLEFLNEEGAQAELWALSKIFLDTLVQETGQKVTAIFPDAGAAALLKYQWKDAQFKCASLSDRKPVDPEDEVAVMIIPDHQMLEAVERIASQLSDDPIRPLVMWNPRLVSGDVGVGFNVRNLRRNFLRQWKVFYDDPNRPNRYLLARELTSRPDATDIEVVIVLN >Sspon.01G0013910-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:38446622:38449675:1 gene:Sspon.01G0013910-1A transcript:Sspon.01G0013910-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-N-acetylmuramoyl-L-alanyl-D-glutamate--2,6-diaminopimelate ligase MurE homolog, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G63680) UniProtKB/Swiss-Prot;Acc:F4I3P9] MATAPLASRLPFPFPSASRPPPSRILAPPNPRRLPLRLAAAAARRFRPPTADDEPPEAAEDSSHGLTRYDQLARSVERARIRQPEITPDNPLFSSPSSAGGGGGGSYDPDDEFFDEIDRAIAEKREEFTRRGLIKPSPASPPPSSSQSQPEDEDLADELSPEEVIDLDEIRKLQGLSVVSVADEEDEEVEGGEDEDGDDGLPLDEDGEGFDVAEELGLEGARMRQPAFRMTLAELLDESKLVPVAVTGDQDVALAGVQCDASLVAAGDLFVCVGEDGLAGLTEADKRGAVAVVADQDLNIEGTLACRALVIVDDILAALRVLPACLYRRPSTDMAVIGVTGTDGVTTTTHLVKAMYEAMGTGLVGVLGAYAFGSNKLDARPDASSDPIAAQKLMATMLHNGTEAVVLETATDGMPPSGVDSEIDYDIAVLTNVKHTDGEHGMTYEQYMSSMASLFSRMVDPERHRKVVNIDDPCAPFFAAQGGHDVPVVTYSFENKKADVHTLKYQLSLFETEVLVQTPHGILEISSGLLGRDNIYNILATVAVGIAVGVPLEDIVRGIEEVDAIPGRCELIDEEQAFGVIVDHARTPEALSRLLDGVRELGPRRIVTVVGCCGEKERGKRPVMTKIAADKSDVVMLTSDNPANEDPLDILDDMLAGVGWTMEEYLKYGANDYYPPLPNGHRLFLHDIRRVAVRAAVAMGEQGDVVVITGKGNDTYQIEGDKSEFFDDREECREALQYVDQLHRAGIDTSEFPWRLPESH >Sspon.06G0026450-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:79254312:79265357:-1 gene:Sspon.06G0026450-1B transcript:Sspon.06G0026450-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAATVSGGGAPPEEASPAAPPASTSASSCMGTRPEELTARLAAAGAGPAPVAGAGCGGAGPGPGGEEVEHERVRALREIKNQIIGNRTKKLLYLRLGAVPSVVAALAEPGASPAALVQAAAAAGSFACGVEDGARAVLAAGAVEHLTRLLAHPDDKVVDASARALRMIYQSRLAPKFDVNSGKNMDFVLSLLDSENENVTELAAAIISHSCESSAEQLSLCSAGVPQKLVSLFGGSMNLRDACLGSITAVIRNNRDVASRFASTDHGKGFRSVVGLIHDRSPRTRLLACLCLIALGHASPCLFQDKQIKTKLIMVLLELIEEPGQVGDEAPLALTTLIKDSFELQKQALTTNAVEKLSNHLLANPLETRRAVTILFALSELCSKLEESRSQLMSVEVVDASARALRMIYQSRLAPKFDVNSGKNMDFVLSLLDSENENVTELAAAIISHSCESSAEQLSLCSAGVPQKLVSLFGGSMNLRDACLGSITAVIRNNRDVASRFASTDHGKGFRSVVGLIHDRSPRTRLLACLCLIALGHASPCLFQDKQIKTKLIMVLLELIEEPGQVGDEAPLALTTLIKDSFELQKQALTTNAVEKLSNHLLANPLETRRAVTILLALSELCSKLEESRSQLMSVEVSTLLLEALKHDWADIRVAACSCLKNISRSPKVLSGGRLSCDTVIGPLVQLLNDSSTSVQVAALGAICNIAVNLTPRKSVLLHSGVVSQLVHLSKSMDPMLRLKSVWALRNIMFLLSPKDKDFIVKELTLSTLSSLICDSEHFVQEQTLALVHNLVDGYVDSANYVIGEDGMVMDAIARQLNNASAPGVCIQGMYVLANIAAGNELNKEAVMNVLLPHRADRIKPSFVVNFLQSKDKQLRVATLWCLLNLIYPKCEASSGRVVRLQNGGVILQVKSMINDPCLDCKLRVRMVLEHCVDNADDCFM >Sspon.05G0019940-3P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:81027592:81032522:-1 gene:Sspon.05G0019940-3P transcript:Sspon.05G0019940-3P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSEDSLKSLSLDYLNLLINGQAFSDVAFSVEGRLVHAHRCVLAARSLFFRKLFCGLDPNHPPPPPPPPPTSTGGARTPELVIPVSSIRYEVLVLVLQFLYSGQASVAAPKSGPLPGCGARGCWHTRCGAAVDLALDTLAAARSFGVEQLALLVQKQLESMVKEASVDDVMKVLMASRKFEMQELWATCSHLVARSGLSADLLAKHLPIDVVAKIEEIRAKSPVSGAGSASTPRSPFLTHHYLPINAASSAADRDHKIRRMRRALDAADIELVKLMVMGEGLDLDDALAVHYAVQHCGRDVVKALLELGAADVNSRAGPTGKTALHLAAEMVSPDMVSVLLDHHADPSARTLDGVTPLDVLRGLTSEFLFKGAVPGLTHIEPNKLRLCLELVQSAVMVTTRDEAGGGGGDAGGSDGGGNFPRSDADDSLVSLTMNSTLMYQGQEMAAAAVAAGEARKGNGGRGSPSNLCHVPCMCV >Sspon.02G0021340-1T-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:72892336:72893963:-1 gene:Sspon.02G0021340-1T transcript:Sspon.02G0021340-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding EMVDWEYKSKEDGKMHACGHDAHVTMLLGAAKLLQSRKDDLKRAAGAFFLIGVGNETTMERVRPVHSPYFVMDEDALPTGAAFHAAVAIEYLNKNHLAYLSKPPEKWASANVLIGYHVFSSAGDGWLAVPTVVAAVWHYDEKGYFFSDNDTLGASGQTDPPSVARPCAVRPCPSRSRPSHRTCLAPRVPCTSCFVPCTPPMPRAARCPRVVLRAPARDARYPRLTLRSPTLCQLASIGWQ >Sspon.05G0029690-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5B:81901919:81904102:1 gene:Sspon.05G0029690-1B transcript:Sspon.05G0029690-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAHGTCTSQPERCVFKLQRTAHRVRIAHHPDLLLCSLTLSHFAKKPRIMATRALPLILREASPWAVAATAVAAALLWLAAWTLEWAWWTPRRLERALRAQGLEGTRYRLFTGDITESTQLNRDARAKPLPAGSHDIVRRVQPMLYDTVKQYGKLSFTWFGPTPRVMISDPALLREVFSNKFGHFPKPKSSRVANLIANGIVSHEGDKWAKHRRILNPAFHHDKLKRMLPLFSACSIEMVTRWENSMPSEGSSEIDVWPEFQNLTGDAISRAAFGSSYQEGRRIFQLQEELAEYIVQPFQANIIPGYWFLPTKGNRRMREIDAEVRKTLRRIIEKREKALKNGETSNDDLLGLLLESNMRESNGASSLGMTTEDMIEECKLFYFAGMETTSVLLTWTLIVLSMHPEWQERAREEVLAHFGRSNKPDYDTLSRMKIVSPKPILILFYSHDCQRGPEVIPASNLRDEKNLQGNGARGIKYPAGVNLMLPILFIHHDPAIWGDDASEFNPARFADGVSSATKLQGGGGFFPFGWGPRICIGQNFALLEAKMALCTILQHFSFELSPSYTHAPYTVITLHPQHGAQIKLTKL >Sspon.05G0011120-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:23383280:23383956:-1 gene:Sspon.05G0011120-3C transcript:Sspon.05G0011120-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PsbP domain-containing protein 2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G28605) UniProtKB/Swiss-Prot;Acc:Q8VY52] MPPLVGCPRRGPPLSLACRHSRSLYRARAVAPKCEASSSPPLLTRRLSAGSLLLAVLPIPASSPQLPVASASEAEAVEGESGASEGLELERYTDQEQGFTLLKPTSWTKVRTNLNLDDIIAWLVKVEKAGATALFQQEGKGSNNIGVVVNPVRLNSLTEFGTPQFVADRLLQAEKKKVEMPEQCFLGTA >Sspon.04G0023080-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:15579117:15582104:-1 gene:Sspon.04G0023080-2D transcript:Sspon.04G0023080-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCSIRFRVRNPSPAASHFAGERRCPAGRVFLRTMASAAPVEEPTAAAEAKRRPTGDSFIRRHLRTLAPYQPILPFEVLSARLGRRPEDIIKLDANENPYGPPPEVATALGSLKFPYVYPDPESRHLRAALAEDSGLESEYILAGCGADELIDLIMRCVLEPGDKIVIALQHSQFPRLPDFSLDVDRIVEVVEQENPKCIFLTSPNNPDGSVINDEDLLKILDLPILVVLDEAYIEFSSLKSKMAWVKKHDNLIVLRTFSKRAGLAGLRVGYGAFPLSIIEYLWRAKQPYNVSVAAEVSACAALQNPTYLESVKNLLVQERERLFNLLKGIPFLKPFPSHSNFILCEVTSGKDAKKIKEDLAKMGVMIRHYDKKELKGYIRISVGKPEHTDALMKGLNALQL >Sspon.05G0020250-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:86344337:86346532:1 gene:Sspon.05G0020250-1A transcript:Sspon.05G0020250-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPTPAPGVGEELSTEVVVVRHGETAWNASRIVQVARRLSGEAKPAAVYSSDLKRAAETAEIIARACGVSNVVLNEALRERHMGYLQGLKWDDAVAKSPDSFRGFDIFKSTEGSDPDSRNQELPGGGESLNQLNDRCVSYLNKIAQEHVGNQQMSSSPHVQYGKH >Sspon.03G0038320-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:4139978:4143038:1 gene:Sspon.03G0038320-1C transcript:Sspon.03G0038320-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDDEKATPPDSSQAPPPSLPLSAVRLNRPLVAANRAMAAVHAALVAAVIAHRAMALLSDSAGSASSWSRHVAMALADLTLLFLWALSQSGLWRPVTRAAFPDRLLAAVPRGALPRVDVLVVTADPDKEPPLGVMNTVVSAMALDYPGGALSVYLSDDAGSPLTLLAARKAYAFARAWVPFCRRHSVQCPWPDRYFAGDDAHDGGGGDRREEIAEETTRVKKLYEKLKADIEAAKKDESISGSWTKAKRQDHDAYVEIITAKEDGGEDGEEEMPALVYVAREKRRAWPHHFKAGALNALLRVSGVVSNAAYVLVLDCDMACNSRASAMDAMCFLLDGSRRSSPPTAPENLAFVQFPQMFHNLSHNDIYTNELRYIFRTRWFGLDGVRGPLLSGTGFYVRRDALYGATPPPGSTDLSSMDAGELKTRFGHSDSLVASLRSNSGGDQHRRRLPLAPPEPESLASCAYEAGTAWGTGVGFMYQSVVEDYFTGYQRFFSRGWTSAYCYPEHRPAFLGSVPTNLNDVLVQNKRWMSGMLAVGVSRRHSPLACRPLLRASLLQAMAYAYFGFAALYAVPVLCYATLPQLCLLRGVPLFPCPAAAAAAFASSLLLHLAEVCVAKRSLALRTWWNEQRFWVLNALTGQLFGCVSAAQELLGARALDFDLTSKAADGRLYQDGVFDFTGCSTLLLPATTLSVLNAAAIVASTWKMTSSGGFQFAGAQLFLVCYGAALSYPLLEGMFLRWDAARVPPRITALSVALAAVLLAVFG >Sspon.07G0006240-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:16456951:16460030:1 gene:Sspon.07G0006240-1A transcript:Sspon.07G0006240-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPARARADYDYLIKLLLIGDSGVGKSCLLLRFSDGSFTTSFITTIGIDFKIRTIELDGKRIKLQIWDTAGQERFRTITTAYYRGAMGILLVYDVTDESSFNNIRNWIRNIEQHASDNVNKILVGNKADMDESKRAVPTAKGQALADEYGIKFFETSAKTNLNVEQVFFSIARDIKQRLAETDSKPEDKAIKINKPDQGSEAPAAQRSACCGS >Sspon.05G0007060-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:23843023:23845837:-1 gene:Sspon.05G0007060-4D transcript:Sspon.05G0007060-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEKKAAATAEEVVKQKEEAAAGDVSLKELSKKLNDFAKERDWEQYHSPRNLLLAMIAEVGELSELFMWKGEVRKGLADWDEAEKEHLGEELSDVLLYLIRLSDMCGVDLGDAATRKIVKNAVKYPAPSKEGA >Sspon.01G0028370-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:22388315:22394523:-1 gene:Sspon.01G0028370-2B transcript:Sspon.01G0028370-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPNKPGFSSGRDAVFWSGEMNAYLIDSLLYQQDIGNRGESKFSTVAYDSIINGVGDKFGVTIDRNNIKNRLKYIKESFHECRNLLGEDTRFRWCSETRKFNADPDVWRELIERKPEAKKWMMKTIDHYDRLMVLFGKDREKRPNVENSKGTAKKKVRTEPPKERLHRTPLNGKESAVAESSDKKVDKFEIPDEIITNVELDLSESCRTDNGIVAIPVRANSYGKGLPYAPENWPRSGDIWHWKVGSRASGAGHWADRYLTPPSRFRDATPKKLGFASRVQVEEFVKREFPDVDPSTFFSMFIWKIPAEGYRIQKGTQQVRRNEPEPEPEPEPVMADPGGLCKARNSLCNLESEGFVESSPARACNICCKEPGFCRECCCLLCNKTIDYSFGGYSFIKCEAVVEENLICGHSAHLNCALRSYMAGTVGGVFALDVQYLCRLCDNKINLMTHVEKLMETCQSLESRDEIEPILNLGLCLLRGSKQKRARSLENHMRSAMEKLECGFDLVEVWKLEGDEGRATLSAGENSPPTSGVTVLGAQQVPEAGALTGHPDLIDTLGDNDFETDIENLPVFITGDQNVASAKFEDEIDLALQELKKSQEMEYNLAEQKLYAQKDRILCLYRQLDTERAQLADPMPLSDASNYGAMLANVLRHVDQIKREEEKFKSMLKVAGGFAKAPQSVIKELFGLPADK >Sspon.02G0010090-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:22746374:22748039:1 gene:Sspon.02G0010090-3D transcript:Sspon.02G0010090-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRVKKFTGMAKGTPAVPVRRDEDESLVLFGELYKHEKERDMNLLEPMFSVEFEAIQGDGRMFKLPSGKRDYLLPDSEKHDYDWLKTPPATPLFPSLEMEANSSQMIFQKELPILQPIRTSRFSSKPDSTTASTTSESPTSSSTTSVTPTARPSSSSSSKKKLNREAPTPSKDQDSAYRMDKKSSYTPLTNRQHNSIPAAPTAAAAATTTATKASKKTSGYKSQPSNAVKNVAGLDKAPKKNVTATTTKPRLNDSSAGAKDQKVDAGTTRRLSCPPAGTTDNAQVTATLKGRSRAATSAVPTARKGAGATATDAVLKGRRRAGEQEQRPKLGSHAKK >Sspon.07G0005830-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:10456420:10459280:-1 gene:Sspon.07G0005830-2C transcript:Sspon.07G0005830-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFILSPSVSRSISTPPCKPPWKTQPDERGTMDDGINCYVVPQTTGTGRNIFQGGSPLQESLPLLGVQLVLIVAITRVLYFLLKPFKQPRVVPAFKEMVFPARGDSVLHTVATFGLMYVIFLIGVRMDPMLVVRSGKKGVIIGISGFILPLAMTTAGLSGAAMVSEPDVTRRSTFLFALATSLSVTSFAVLSPILLELSLLNSDLGRTAMSASMTTDGIAWLIMVGYILAEAFLVSAVTSLWAFLSVAALGAVILFAVIERTPPGKPVDENYVFFFLLIVLLVGFYSDVIGTNSFHGALMLGLAIPMDRRSAPRWGRRLTPCTDVWSLHWGRLQLVVLLGWFGKLVGVMVPSLFLEIPIRDAVSLSLFMSSKGIVEVITFTFFLTNKLIGKNSFSVLICSSVAITAVSVPVAGLLYDPARRYAVYKRRTLQHLKPDADLRILACLHDESHVSGTLALLEASHATPQTPIGLYLLQLVEIAGRSAPVFIPHNPRRNASRIGAPNAPSTDFDRIINAFFRHELRQPEGAVSVHPFTTISPYSSMHDEVCRLAVEKRTSLILLHYHKHHLLAGGVHASVGLRVVNRKVLEVAPCSVAVFVDRNAGNVGLFNFIPGPLQDYSGSSTGSSGLSMSGPQFHAAVAALFFGGGDDREAMSYVARMARHPGVTVAIVRFLPARGIKDDPADRRVDNRAIEEVKALAARSRNMQVREELVGDMEKIVEVLRGLDKAGYDLVIVGMRHRWYPVMPANGLSDWSECPELGVIGDLLASSDFDTPYSVLIMKQQDQAGLNAAVPGAQDQWHGALPPPPSQRTMSTAESSMSRR >Sspon.02G0047710-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:18949002:18954958:-1 gene:Sspon.02G0047710-1C transcript:Sspon.02G0047710-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDARTCMPAVGLGCLKSDHCRKPCLNDDPDNNRPFPQKIQAKLSTDLEVLASCTEDQRGKVIQYHEPIFGDPSTSAAPEQAVKPQGWVLAGQGSSPDDDPGNKSKWSCFRLWDNYCVKNKRCVDECQGVDGKGYHHGHCELWTCVCCKKKGEDDGIAAPPAPSWALSSEQRRSPWSWMLVLDTWLALSGNGSRTGAGRWARSRGGLEPPVAAGWSRTRAWRGRAEVGWIQRVGVPRVVAANASLEGEGGTAGDGGVESHNAAVGTSGIPDHDGQHTVLHGSIGRRLVSF >Sspon.07G0035410-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7D:6812438:6812932:-1 gene:Sspon.07G0035410-1D transcript:Sspon.07G0035410-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLPVFHGIVAAASYGAVLVQHGRPRRVPSGERCAATGWVPHASTRYRCVPALADDHSTPCWLLQPHASNESSASRPAGRPPAPTGDDGRGHGANPRPRASLEAHAACVQAPPRRPPAGHATGTGTGKACGVAPHPRAHAHRRQSSPVPIATKAPAGRGRFVRW >Sspon.07G0024080-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:21883001:21887631:1 gene:Sspon.07G0024080-1B transcript:Sspon.07G0024080-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAPAQPTFLRLWPASPFLLSPSLHVSSSRRPTPSRLNSLRPARPLFPISAVEKTNEAAAAGEDELEGMPPEFYDEEWQARQRERTKEWHAYRQKEEAEEERITNEYREIGMRLKAYPQEEVRKARILVSSFIRAGEDVEEEIEKAAERGELTELVLMVIWNRLDVARRDDERDAIRSLDLLYRRVETEILKSEATPAMSLLNELLNLHDGGDNEKWLKKCRKRMLEVFPREDPFTVVFPAGFNMENHEGRIELPPQDDDLLLRVDFVREVDELLKEVQAEQDKNKQQIGFDPESVAYMLKQQEKMQTIRQVESLLDLASSLKW >Sspon.03G0009120-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:25046355:25047272:-1 gene:Sspon.03G0009120-1A transcript:Sspon.03G0009120-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFREEQLDLVLVPLALAAVAGYHLWLLWAILRHPTRTVIGLNAIARKRWVAAMMANTEKNGVLAVQTLRNNIMASTVLATTAITLVSVISVFIGVTSPASSPRLVYGSKAGEVFAAKYLAVSLCFMLAFVCNVQAIRLYAHASFLLGGLPPGPGDGDEAQAREEFACYVARTVNRGSYAWSLGLRAFYVSLALFLWTFGPIPMLACSVLMCGLLYFLDTTSSSDHGHLHGQQGTAGAARKDSTV >Sspon.06G0019970-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6B:6233105:6233845:-1 gene:Sspon.06G0019970-1B transcript:Sspon.06G0019970-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKDGQPSSTAQQRTGVHLFQMEDWGWSQFVTQTDLVENHVKEGHIKIICGIMVVNDRSISVPPSDIVEHLGTLLDSRDGTDVSFIVGNETFHAHRALLGARSPVFKEELLGSMAEAKMASITLHNIAPATFKLMLRFMYTNTFPGDAELGECPSEMIRHLLAAADRYALDRLKIICARKLWDIVSVDTVAATLACAEMYNCSELKIKCIDFFAVEKNFRKAVLTDGFVQLVQQFPSIIAELKERPV >Sspon.02G0041580-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:77110279:77112252:1 gene:Sspon.02G0041580-1B transcript:Sspon.02G0041580-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFDASSSSSPATATAPSGNPLSVILTRGFARQVLLGRWFTVFASLLIMAASGATYIFGSYSGTLKSSLGYDQRTLNTVSFFKDLGANLGVFSGLINEVTPPWVVLAMGAGMNLFGYLMVYLAVSGRTARPPLWLVCLYFFVGANSQSFANTGALVTCVKNFPESRGVVLGILKGFVGLSGAVYTQLYLALYGGDDAESLILLIAWLPAAVSVVFVHTIRYMPYPRRRGGYETSSDPFFCFLYLSIALACFLLVMIVVQKQVTFSRDAYGVAATPLLILLLMPLCVVVKYEYKIYRERQLDAALRAADPTPPTITVVDDAGGAGAGAPPSPGALQMSAAATDDTTTKKQEQQQQPPPPATSSTSSSSCLGSFGKCVRTMFRPPPRGEDYTILQALVSVDMLVLFVATICGVGGTLTAIDNMGQIGESLGYPSKSINTFVSLISIWNYAGRVTAGYASEAVLVRYRVPRPALLTAVLLLACAGHVLIALGVPQSLYAASVLIGFCFGAQWPLVFAIISEVFGLKYYSTLYNFGGMASPVGSYILNVRVAGRLYDAAAARQHNAPMVGAGGGKHEKLCLGVECYKRSFLIITAATVLGAVVSLVLVWRTWSFYRGDIYARFRDGDGGEGSGKDGRLPVDRRPEEEEREKAAAAANGRKA >Sspon.07G0002340-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:5738405:5740660:-1 gene:Sspon.07G0002340-1A transcript:Sspon.07G0002340-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRIEPCAFGAARSLLPRTNDIWQTTSTDKNEDDADGNNLVECHLCLHCGGVSTKEIVVEVAKGTVGDIALTSFSLVRSTPITLCEAFV >Sspon.03G0019440-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:83049485:83050846:1 gene:Sspon.03G0019440-2B transcript:Sspon.03G0019440-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:C2H2 zinc-finger transcription factor, Floral organ identity, Cellular proliferatio [Source: Projected from Oryza sativa (Os01g0129200)] PGPEGSPLDLNNLPEEYGKQAVESSTTTATSSADAVTRIKKKSRGGKDAAAKVYECRFCSLKFGKETETLNRARQLVFGNESLAAIGAQMSFRDVSMAGAASPTVLGGNFRGGASATGGSSIGDPCLPFRLSPQPSYHYLYTAPPSTLHPMSYPATYPGPLRQPAAGDYVIGHAVSAGGGDALMQSTHRGNFSCFGAPLTAPPAAAAAAVAAGATTTNVQADKVNCNCSFGCGGHSRNNNVNAST >Sspon.05G0002810-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:5834277:5839248:-1 gene:Sspon.05G0002810-2B transcript:Sspon.05G0002810-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MACCFMFGKKAKQTVEGDEDLHSVKVFSYNDLRKATQDFSGANKIGDGGFGSVFRHFISVLCRQYEKSLAYILTLVKQGMLRDGTLVAVKVLSATSRQGIREFLAELTAISDIKHENLVTLIGCCAEGTHRILVYNYLENNSLAQTLLGDTLCFGYAIAGSRYSNIRFNWRARVKIAVGVARGLAFLHEEIRPPIIHRDIKASNILLDKDLTPKISDFGLAKLLPPNATHVSTRVAGTLGYLAPEYAIRGQVTKKSDIYSYGVLLLEIVSGRCNTNTRLPSEDQFLLERTWVLYEEGRLEEIVDIDIGDDLDVEEACRFLKIGLLCTQDAMARRPNMTNVVRMLSGEKRINIDKITRPAMITDFADLKISNKEQRQGETRSPTTTPTTKSFTTTEPFSSSETPTQSSTVYEGELLIFALLPLLPAKDAEAVESGDGDATAQVSHGLAPLAGSPLNAGVPEAHGVLLPAKAAETLESGDGNATVQASLDLALLDALPPNKHEQQQQGHGLIGSILTYDPSLLGAVQHAPPPIQESHAAPTPTGLIASALEADFSLLVGAPAPRIVPGPDPIPLEDATTPVAPPRTDVCASEDRRETWDAFPAMDQTVMSQNLEDALEDALSPGSRVTPADVTTQATVAQDAATPTATPSHVPDHSAQAPTNLGNGPGHDAGAAFIDNLKLPREDCLLVPEYKWEAMDELLRCQGLHTNAAIDLVC >Sspon.06G0011640-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:63809667:63814186:1 gene:Sspon.06G0011640-1A transcript:Sspon.06G0011640-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VKLVWYYNNALGRPKKKKIIARSQSYHGTTFISASLSGLPAMHQDFDLPGNFVLHTDCPHYWRFHLPGETEEEFASRLANNLENLILKEGPEMIAAFIAEPVIGAGGVILPPKTYFEKIQAVVKKHDILFVVDEVITGFGRLGTMFGSDLYNIKPDLVSLAKVSSLTRGIGLLIATEFTDNKSPHELFPFEWGVGEIFGAECKKCGMIVKVLGNLIVMSPPLIITHEEIDELVGIYGEVLKATEERVAELKSKKT >Sspon.06G0016880-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:75722817:75727258:-1 gene:Sspon.06G0016880-1P transcript:Sspon.06G0016880-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMIDSEIMNLLAFVLLLWGCQQLSLSLAIESQVEALVEMKMQLVDNRGVLSDWKDNQMSPCYWEYVNCQDNKVTTITLSSSGLTGTLSPSIAKLTTLQQLKLDNNNITGGIPLEFGNLSSLTILNLGRNNLNGSIPDSLGQLSKLQILDLSHNHLSGNIPSSFSNPPSLNDINLAYNNISGEIPQHLLQAAHYKYVIYIFTGNHLNCGQNLFPCEGGSTRTGGSKNSKLKVVIGSIAGAVTLCVTVALVLLWWQRMRYRPEIFIDVSGQKDHMLEFGQIKRFSWRELQIATNYFSEQNVLGKGGFGKVYKGVLPGPDSIKIAVKRLFNVESREGEMAFLREVELISIAVHKNILRLIGFCTTPTERLLVYPFMENLSVASRLRDIKLNEPVLDWSTRMQIALGAARGLEYLHEHCNPKIIHRDVKAANVLLDGNFEAVVGDFGLAKMMDIGRNTVTTGLRGTMGHIAPEYIKTGRPSVKTDIFGYGVMLLEIVTGERAIAFHPDRMEEAGEIMLIDQVKLWMEEGRLLDLVDRNLGGVYNLEELEKVTQIALLCTHMEPNQRPTMSEVVQMLEGEIVPAERWEEWQLAELQRRQQHEMRQQGKLFDFSEESLNIQEAIELSNGR >Sspon.06G0005530-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:18743565:18746690:-1 gene:Sspon.06G0005530-1A transcript:Sspon.06G0005530-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPVVGIVVSNKMQKSVVVAVDRLFHHKMYNRYVKRTSKFMAHDEDDSCNIGDRVRLDPSRPLSRHKHWVVAEILRRAKVYVPPAATVSSEHDSKSQPAGVATK >Sspon.05G0018890-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:78695955:78697389:1 gene:Sspon.05G0018890-3C transcript:Sspon.05G0018890-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQTNTDRASLLHSQKPPIIHRDLKCDNIFINGNHGKVKIGDFGLAMVMQERKTQSIQGTLEFMAPELFGENYNELVDIYSFGMCMLEMVTGECPYSECQGFVHIYKKISEGIKPVALSKVKDAEVRSFIESCLASAADRLPASELLKSPFLMKDDIIINDKTSNPVQEPIAFPPNLDLDLEATPIFVSLLPNGTVDNGKGSFSLVLRRGGFVLEGDMSGSNPVKLLLRIPVPNGKCKNIEFAFDLENDTSLSVATEMVQELELPSWSMPIVAKLVDAFLLKTVRGWRPCVQVGQMIQAVQNTASANGKCI >Sspon.07G0012340-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7D:42085033:42086615:1 gene:Sspon.07G0012340-2D transcript:Sspon.07G0012340-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQSQEAGSVFLLHASMQRSREVERLRQFLLRINVPSDFVSSSSASTGCDGSVLIDSTPTNKAEKDAVPNNPSLRFFEVVDRAKAALEARCPGVVSCADILAFAARDSVVLAGGLGYQVPAGRRDGRISLANQTGDLPPPFANATTLVRMFANKSLSLEDMVVLSGAHTIGVSHCSSFTGPVATNGPVDRLYNFSGSPDGIDPALSKAYALLLKSICPPNSGQFFPTTTTSMDLITPTKLDNKYYVGLTNNLGLFKSDAALLTDATMKALVDSFVRSEATWKIKFARSMLKMGQIEVLTGTQGEIRRNCRVINPRKATPAAAAAGVHRLLTWQGSSAGSTGLAAN >Sspon.03G0002430-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3A:6078474:6078755:-1 gene:Sspon.03G0002430-1A transcript:Sspon.03G0002430-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MYCDGDQQQAHRFQLLYRPRPKKILRRTDGRQWVAGQDGRRQVHVSAESSRTSGPRRAGPTATGRAPQPSLLASTSSPAANNNGWLGMENTAS >Sspon.01G0033100-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:111756187:111757123:1 gene:Sspon.01G0033100-2D transcript:Sspon.01G0033100-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MWTTALASTTDGSAYLTVHVSPLLIILCTCKCSSTSYLPSIYTKPPRLGSLGCYNQISRRQVMQMTRLEDLTPAPSMTLPIEHSSRPTLGFPLGTALLLLVIFALSGMFSCCYHWDKLRSLLRSRHPGLFEESEHTAISIASSPSKTTSDHKLEKVGKECGLPVIMPGDKVPKFFARPCPHETCLPEAQKAEVPLETKCSDHEPSVIRV >Sspon.01G0032970-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:111651860:111655479:-1 gene:Sspon.01G0032970-1A transcript:Sspon.01G0032970-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGDSTNLDAAIESLLNVEKQMRLAGDVAGTRKAVIDIVELCYKAGAWKTLNDQILLLSKRRGQLKQAITAMVQKAMDYIDLTPDIDTRIELIKTLSSVSAGKIYVEIERARLIRRLAKIKEEQGQIDEAADLMQEVAVRLCLDRQDYVRAQILSRKISTRVFEADPLKEKKKPKEGDNIVQDAPADIPSLLELKRIYYELMIRYYMHNNDYLEICRCYKAIYDIPAIKEDPTKWIPILRKICWYLVLAPHDPMQSSLLNATLEDKNLTEIPNFRLLLKQLVTMEVIQWTTLWEFAKHEYENEKNLLGGALGAKAAEDLKLRIIEHNILVVSKYYSRITLKRLADLLCLSLQLELQLRYLTGTTHYSFQEAEKHLSDMVNSKSLIAKIDRPMGVVSFQTAQDCNGTLNSWATNLEKLLDLVEKSCHQIHKETMIHKAVLKA >Sspon.01G0007860-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1A:21852815:21854638:1 gene:Sspon.01G0007860-1A transcript:Sspon.01G0007860-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GPCAPQLNQKQRTTSLSVAIFNLRAIPQADERSPIGTRAPGRRDQGRGSNRRGGTSPFIGRSANGSGRLEPELPNKSIHRSLSRHGNGSPRLAGPPHRNQRAGAELLARVQELENGNTELERENKMLLSKIAEKEVEKDALVNRLNDLERNVVPSLKKTLNDISLEKDAAVVAKEDALAQLRSMKKRLKEAEEEQYRAEEDSASLRAQLNTLQQQVMGHSYSGYTMGTSNEEFIAMEKEIQDLQAQLKQESLLRQQEQQKLAEESQLRQQEQEKLAKEQTRIASLEAEKQQLEDQITTLTKKAT >Sspon.07G0017100-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7C:84338922:84341496:-1 gene:Sspon.07G0017100-2C transcript:Sspon.07G0017100-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIVRYNNITKLLAADLNINNTWYNVNKTIDLRKYLPENVAVGFSAATGLSAELHQILSWSFTSTLQEEPPAPAPLPPLTPDSIQNPKKRSVGNLIIAVAVLVTLLFLLACAAVLAFLVRQQKHKRRRRSSGGRGIPPNGSSDDDNNYQEKDDSRAELERGVAASVPRRYAYRDLATATNNFAEDGKLGRGGFGSVYRGKLAVAGEERPVAIKMLSSESSTQGRKEFEAEVRIISRLKHRNLVQLLGWCDSRHGLLLVYELVAQGSLDRHLHSSDSDSFLTWPERYRIILGLGSALRYLHQEWEQCVVHGDIKPSNIMLDESLSTRLGDFGLARLSDHGARWHTTRAVMGTAGYIDPEFVNTHHPSTYSDVYSFGVVLLEIVSGRCPVILLELEGGAHFSLVKWMWGLYGRNTILDAADERLRAAGDEADDRCMERVLVVGLWCVHPDQSERPSIAQAMHVLQSEDARLTPQMYRTVSEFAVTGRAIGALSVQSTSSTTMTTGGHSKVSSESASSALLRDSKELA >Sspon.06G0005790-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:19356472:19361061:-1 gene:Sspon.06G0005790-1T transcript:Sspon.06G0005790-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSAGPTKAVSKEVERIDQFFYTYACPAVALPTLLSRLRACRSASHALQCHALLLTSGHLDASPLRLSNLLLLTLASVPGAATLADAVFARLPEPAARDPFPWNTAIRLHAPARPRAALLYFARMRCCGVRPDAYTFPAVLKACGCAPGCRAGLLVHAEAVRRGLGADLFTVNALISFYCRILDCRSGRKVFDEAGGVSRDLVSWNSMVAGYVGCGEMWPAQELFDEMPQRDAFSWGALIDGYGKQGGAGVDRARELFDQMPERDLVCWNSMLDGYARHGRMDEARSLFEEMPERNVISWSIVIDGHVRCGEAKEALEHFQSMLRCGVRPDRVAAVGAVSACAQLGALEQGRWLHSYLEKKKVLSDVVMQTALIDMYMKCGRLDLAMLIFESMAERSVVTWNVMIVGLGTHGYGLDAVMLFHRMEAERVAVDDLSLLAILTACTHAGLVSEGLEIFHRMKKDFGIDPKIEHYGALVDLLGRAGRLDQARHAIETMPMEPTPELWGSLLAACRSHSCVELAELSVEHLANLGADDSGVYVLLSNIYADEGMWGDVLRIRKLMSDEGMRKDIGWSVIEVGGEIHEFVNGGG >Sspon.03G0012100-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:50453018:50455150:1 gene:Sspon.03G0012100-3C transcript:Sspon.03G0012100-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRKRREGGGGGRGAGLGDHHGGGGKGSGAVTDAVSMDGGLREVSVSVVFSVWCLLFLLRSQFLHSQTDDPSSELYEDHHGRRESYCKVRPLEAYVLPYHNDSSTTCQSYSQPPQEAPPSSAPAPPGLPPQYNATTGGGNASSPEAAFVGLDEFRSRIMQGKAENDTGRPRPTDGGAAHRLEPNGAEYNYAAASKGAKVLAHNKEAKGAANILGGDKDKYLRNPCSADDKFVVVELSEETLVDTVALANLEHYSSNFRDFEVYGSMSYPTEAWELLGRFTAENAKHAQRFVLPEPRWTRYLRLRLVSHYGSGFYCILSYLEVYGVDAVERMLQDFIAGAGAGADAVGDASSRDRASIDLASRDADGNDTTAQQARQVHAKLDGNGGAGIGRNDSTAGDAKNNGSRSGDAKLPPQGKEAKPPQVAAPGSSSTGRIHSDGVLKILMQKMRSLELSLSTLEEYTRELNQRYGAKLPDLQNGLSQTAMALEKMKADVHDLVDWKDSVAKDLDELKAWKSTVSGKLDHLIKENQEMRQEMRSVQETLQNKEMAVLSISLFFACLALSKLACDRLLCLFAGKGSREEPDATEGHTRSSRAWMLVLASSSFTTLIVLLYN >Sspon.05G0003930-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:12023480:12029614:1 gene:Sspon.05G0003930-1A transcript:Sspon.05G0003930-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEESGGTSGRREDAAPPWRPSEATAFGRFAAAAASSEASPSASANGAAARVSRLHGVKRKPFVARLTAGIIQTYLQCDPEFKYSEVLNPKRFLTSPSTPAHNDGLDNANWDLILYVNLELVNKMSNRRFIVKEMLGQGTFGQVVKCWDTETNDYVAVKVIKNQPAFYHQAIMEVSLLRTLNQKFDPDDQHNIVRMLDYLSFQNHLCIAFEMLGQNLYELLKRNHLRGLKLKYVQAFSKQILDAMVVMREAGIIHCDLKPENILLAPRGQPPDELLRGAKNTIRFFKHAGSIYPGNEAPTGLSSAYRILSEEEVEVRDSKRPKMGKWYFPHLKLDKLICTYPWNNSELTETEKTDRLGLVDFLKGLLEFDPNKRWSPLQALYHPFITGESFTGPYEPVPETARIPVARAAAIDHNPGGGHWLHSGLSPQVGSVNRYLPVNNAYPPKVPFSYGSSYGSYGSHGSYTGNPGFGNSYGSTGDVNAINMYYSPLGSSGLAQIGSSPDVRLRMRFPHDRGIRLSPGSLGPMSLGASPSQFTPPNYQMQIPANSTGKHGSGSPASGGIHGSPLGKAAAVGPYNMRRNLPMPPNDYVSQHGQGRFGDGVSFSHSDAYARGHTGHSHNAAGPSSCHSGWRPQIGSRSGISLEASSSHVPSQAPSQSFDFSASSELDPANWDPNYSDESLLQEDNSLSADLSSNLHFGDAGGQGSGSIRSANFQGHVFTTSNPVPTNQRADQLFHVSSQGVSAHSSVPINYGGYNPPNYPQQNLLPRHGQPILHQRYNQATSSPMRPMGSHHSGQPAWPSSFGMGDGVPW >Sspon.07G0019330-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:70893255:70894043:-1 gene:Sspon.07G0019330-1T transcript:Sspon.07G0019330-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAVALFAPPSPAAASRAARAPVHGGDPTVGFTEVKLTENNFELQRPYDVPSGDRYSFHGGVRQLWVLSSDKPHDRNSHTSPRTEIRMTGYDYSSGVWQFEGYSYVPSGTTGVSIMHVFGAGAHATTLMLHVYDGALRYYDRQVVEDGIYDRWFRLNVVHNVGASTLTVYVDSVEKLRAPGRSGDSHYFKFGVYAQRHTSSCMESRWKNVRIFRKDD >Sspon.02G0031220-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:114125794:114129418:-1 gene:Sspon.02G0031220-1A transcript:Sspon.02G0031220-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAADPLEYELQREISDILIQNYVCALRHEFEMKLWEHQNCISTLNRKWSEKVSEITVLRDELHNVLSVIVASEPGTHHPHQSHGVLEDQMIIVKTKEDSEPPVMEKSSELSEVMLQIPDFSLLKHMHSEEITNFLKTEWLKLRRQHESELHEKTEELFRVKREHAKEKASLPLKKERELEFIKSKLLQTISRLGEITSRKDNSCFDGNENEEMCRLKDRIRMLLHDNNRLRGLLADKKQEVKHLTLQVSDSKSKITQYPLSEAKLLDNSEKLRAELEDLKVERHLNNLVDSSVFKEVLDNYENQIYEMDQEGSFLKELLDEKEDQLSIIYEDRQKLKYENSQLVSIAGSIMRHHDQVNLVNDELMMFKEKVCEQELLILESKGEYNSMKRCLYEAMQEIQVCKQEILGLTENLTSMSIALKEAKEQNASLDATIREMKKTPAQSIGSHWGQTGEFDLASMEKLSKTYADFESRLVETMKRNETRLTSLVCQFSPLAQQVAVLRKKEFWYKQILEIKCSNLRKAEAEVDILGDEVDTLLSVLGKIYIALDHYSPVLKHYPGVTEILMLVQKMNISLEH >Sspon.02G0008840-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:29143735:29146241:1 gene:Sspon.02G0008840-2C transcript:Sspon.02G0008840-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable inactive purple acid phosphatase 29 [Source:Projected from Arabidopsis thaliana (AT5G63140) UniProtKB/Swiss-Prot;Acc:Q9FMK9] GGGGVGLGRGRRGAYLPPLLLPSLLLLAVAGAAAGKEKGAFGKLRFRRESGTFKVVQVADMHYADGRTTGCEDVLPSQVAGCTDLNTTAFLYRVFRAEDPDLVVFTGRDNIYGADSTDAAKSMDAAIAPAIDMKLPWAAVIGNHDQEGTLSREGVMHHLVGMKNSLSSFNPEGIEIDGYGNYNLEVSGVEGTSMDEKSVLNLYFLDSGDYSTVPSINGYGWIKASQQVWFQQTSSSLQAKYMNENPKQKEPAPGLVFFHIPLPEFSSFMAANFTGVKQEGISSASINSGFFASMVEAGDVRAAFVGHDHINDFCGKLSGIQLCYAGGFGYHAYGKAGWSRRARVVSVQLEKTDNGEWRGVKSIKTWKRLDDKHLSTIDSQVLWNRGSNGKTLS >Sspon.06G0014320-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:87647789:87649491:1 gene:Sspon.06G0014320-3C transcript:Sspon.06G0014320-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVLEDYELSEQRSPGETTLSDMHAVLARRKDMCDKMSLNESRIPTTLVERLLAAGKKEHPPVCAILGGILGQEVIKSISCKGDPVKNFFYFDVADGKGVIEDIPPPPAN >Sspon.04G0024000-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4B:16807439:16810275:-1 gene:Sspon.04G0024000-1B transcript:Sspon.04G0024000-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGTGTSQDGDNGPNPPPIPPTLAEAITTSTTYVDFTNTRPPVFSKADEPLEADDWLRTIEQKFNLLQCTDYQKPVFAAQQLRGAAGAWWANLVAAQPAGHCISWQEFRDAFRAHYIPDGVMAMKLDEFLTLKQGDQTVLQYVGKFNHLSQYASEYVNTDAKKKSCFMRGLNTKIRTMMTASDNATYHEIVNIAIASEEMFRIHKESKKKKQVSSGFSSSNKKRQKFIYHPQNHFRPPYYPPQYQARQQMFVRPAVTQQYPQQPNAPGVRNQNPPTHNFPCYNCGKPDHFSKDYPYPRQYNPNYPRAPTPPQQQNQSKSNNLNAQKGKGEKKKTGHVFYTQASAIPEGEPVLMVFMPELDKFVVVFIDDILVYSKSKGEHAEHLRIVLARLRDHQLYAMFSKCEFWLKEVQFLGHVLSAEGVAVDPGKVKDILNWKPPTLVHEVRSFLGMAGYYRRFIPDFSKIAKPITELLKNQTKFVWSSECDKAFKILKKSLTTAPVLAQPDIENSFDVYCDASGIGLGCVLMQEDRVIAYASRQLKRHEEHYPTHDLELAVVVHALKIWRHYLSGNTCHIYTDHKSLKYIFTQSELNMRQRRWLELIKDYDLE >Sspon.01G0029050-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:101100646:101103944:-1 gene:Sspon.01G0029050-1A transcript:Sspon.01G0029050-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPDANTPSHRLARLAAHLNPQRPQMEEGASALRPTVCRAKGGAPGFKVAILGAAGGIGQPLSLLMKMNPLVSVLHLYDVVNTPGVTADISHMDTSAVVRGFLGAQQLDAALTGMDLVIIPAGLPRKPGMTRDDLFNKNAGIVRTLCEGVARCCPNAIVNLISNPVNSTVPIAAEVFKKAGTYDPKRLLGVTTLDVARANTFVAEVLGVDPRDVSVPVVGGHAGVTILPLLSQVTPPSSFTQDEIKYLTDRIQNGGTEVVEAKAGSGSATLSMAFAAAKFSDACLRAMRGDAGIVECSYVASEVTELPFFASKVRLGRGGAEEILPLGPLNDFERAGLEGAKKELRESIQKGIAFMSK >Sspon.07G0029570-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:70019796:70022492:1 gene:Sspon.07G0029570-1P transcript:Sspon.07G0029570-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQGGEEEGSYSYHLTAVSRLLVDDDGAGGHPCLSAFIAILAVPFHVVASLRLAEWLENDDGGAAAETPFMKAHGTDFWGVVGRDAEFAADFYSAMRADSRFVAQIIVSECGELFADVNFLVDVGGRDGTMAKAIAKAFPHVRCSVLELPQVVGAMPGDAEGEGTVEFIAGDMMVFIPPADAVLLKFIFHDGAMKTVRILKQCKEAISTQEPKGKVIIVDTVIGSASKPIFEEAQLLMDLNMMVLVPGKERDEEKWSKMFMDAGFTKYKISPILEPRSLIEVYP >Sspon.03G0027620-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:9941279:9942859:-1 gene:Sspon.03G0027620-2C transcript:Sspon.03G0027620-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSRAMNRLFVESASGSGGCRDAEPVLCAPRPRRVQVHPCSADLILGPPPFLLNKSSKEGGRTKPAEGEDEDGGCWAVFGGSPPARADNPLVHDPHFLLNQRHPAADPTPLELGFFDHRSRTSYVHRPTYTSSNSSSSSSNSFALSSFAPAVRIQGFDVAACRSSHSNGGSRVLSARA >Sspon.05G0011670-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:31493572:31495043:-1 gene:Sspon.05G0011670-3C transcript:Sspon.05G0011670-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRNGGTPANRNPPSPTTRIATRPPPIRLISASIPSNPTPLHPRATSRKIFGSRILPSFLPPTYYKYSPHPADSHHSQIPQQISSESPMARTKQTARKSTGGKAPRKQLATKAARKSAPATGGVKKPHRFRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSSAVAALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA >Sspon.06G0026350-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:78565001:78567367:-1 gene:Sspon.06G0026350-1T transcript:Sspon.06G0026350-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKISMLVLVVDLDCHKCYHKIRKILCQLQDHERIRTISFDNKSKTITIVGPFDPQRLACKLCCKGGKVIKDVHIVDANGGGGKPPPENMPDGPPMPAPALKKGKNKNKKNKDKPPPAERPSSPPPPEQAPGPPPETTMMPPPSSPVHQPPPPDQGMSAMVPQAQYVEEKTPRAELEPPMSPPPQEMKPPMDLPVPQPQHHPPPPHMKERLPPLMMPTCPGRQLEQPAAEYVIPTVEIPSWPAPPVGPCGCPCCAPCYQGYYDSCRCGCCGSRVYGTTVRPLPPPCGAYRGCRTFSDDDPSAACTVM >Sspon.04G0003390-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:10792457:10796185:1 gene:Sspon.04G0003390-1P transcript:Sspon.04G0003390-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGELLSGWKCELHLEQIGEENIMAKCVIPTFQHLSTNNLMSPNDLLEAPDMVTAEGNHSCSSSVQSTPEIEKKYVHHVYDAIAPHFSATRFAKWPKVAGFLNSLRPGSIVLDAGCGNGKYLGFNPDCLFIGCDISPPLIEICAGRGHEVLVADAVNLPYRDDFGDAAISIAVLHHLSTDDRRRKAIEELIRVVRRGGLVLITVWAREQEDKSLLNKWTPLCEKYNEEWVEQSSPPVRSQSGTLLESIAETDEDTGVMKQTDDRLKKCHDGVEDKIIDCKKNQQEYFVPWHLPFHRAEIGAASAALENGFAKKDEKKGTVVYNRYYHVFVEGELQRLVSRIKNAAIVDQFYDKSNWCIVLEKL >Sspon.07G0020170-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:4854145:4854701:-1 gene:Sspon.07G0020170-2B transcript:Sspon.07G0020170-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding HGVRSGSRSRSSGAPSSDSVIAVACWSWHLPFPCAAPGGLLLRLLPGRRGHRHRCRPGRRRQRPHHPPRAPPPPVPRLLRQGVRRSERQQRRRGGQRQEPGPARTGRGGRRQGAARGPVPRRRLLRRHLALAARDAVAMV >Sspon.04G0004830-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:9620363:9625753:-1 gene:Sspon.04G0004830-2B transcript:Sspon.04G0004830-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSHLSRRLLSPTAAAAAAHLPIPKTFAHGRDPFILLNPGRRFFSASSNPIPNPSSSSTPTEPNQGAPSAPVSPDEMRHQEIEGPTVERDTSPLADETRRELDALRRTVQRLSGSLALLGGAHLAAGAWIAYGAPPLGVGSAAAVQGVAAFAFPFAVALVLRRAIKPIAFFQKMEANARLQVLTLCLQATKNVNLMLLRTRVMAIACALGVSVASVAAVSFHSRKDAILNHQQFGTACQHVVLQPLFGAAILLDHQIKAYANIAYVLFPAPGWGNPYVIDVTFLTLQGQGVVAASLRGFISFLNVKAVFSSMKELGPFGSSPNIY >Sspon.02G0022640-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:78529547:78530331:1 gene:Sspon.02G0022640-2C transcript:Sspon.02G0022640-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SPSAATSSPSSLVSARRNLAKLRPVSPDVRPRIRLAHCPCRSWTASRTAPSQRPTSRRRRSSSLRSPSMALPPPSPPSSPRLVPAGRPGKGTPRSPTRSSLMSHSSGFHPSPMSTRHVPCAVFLIFPAALIALVGPKELNDAHPRSAAEDFTVAPPWIPSPSCVAFRGHEAKWIAGAWQPNASGISDFSRFWETTQRCPLMVCRCSHEVDGPLCPLLCDLYDNLVLLSGLLA >Sspon.03G0020730-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:50951123:50962752:-1 gene:Sspon.03G0020730-3D transcript:Sspon.03G0020730-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKAEKKPAAKKPAEEPAAEKAPAGKKPKAEKRLPAGKSAGKEGGDKKGKKRAKKSVETYKIYIFKVLKQVHPDIGISSKAMSIMNSFINDIFEKLAGEAAKLARYNKKPTITSREIQTSVRLVLPGELAKHAVSEGTKAAEKKPAAKKPAEEEPAAEKAPAGKKPKAEKRLPAGKSAGKEGGDKKGKKKAKKSVETYKIYIFKVLKQVHPDIGISSKAMSIMNSFINDIFEKLAGEAAKLARYNKKPTITSREIQTSVRLVLPGELAKHAVSEGTKAVTNFMDVKLPASLLDTLLNVSFINMKFNGMKIKTKANSKTAPTITKAIRCWDDPSEAVVPQVKTLEACELVTELPRNDSVELVEAHAHDREIGTGAQVGRELTGEGVVAQVDDSEAAEVADARGDLPVEPIVAEVEDSEAGQVADGGREDADISWQNTSSWAMFTTSRTSISSVSFWNKFHASHCRPNAPSSLAQSTGIEIRPPPESTPSSWWKASPAGISAADVVDALAAGRFAELVLGDVRDRAGEVLVQLVVRRGVQAHSLHRVLVGTEHVLVQGHLLAVEDGIAEGGEHAHVEVYCADESGMFVAVAEAAVVVTIMMLQTASVSTTTNVLAQPASGKGCHRSLGREADDALQAKQLDVLVFLEAAAFAVLGQSARDGAEGAASREAELDDNDDVDMGVHARDAARALHLAELPVGPQHHLDGLEDGVGAA >Sspon.02G0054930-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:122350233:122352396:1 gene:Sspon.02G0054930-1C transcript:Sspon.02G0054930-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMASFSLQVLLFFFSGFRKRYSSRALSVVLWLAYLSADSLAVYILGRLTLRGGGNRFALFWVPFLLLHLGGQETMTAFSMEDNALWKRHLLSLATQVPMAIYAVGRQLQGDDRRLVAPMVLVFVSGTAKYAERIWALRRAGSVAPGTSNSSSSNLVARASSDAFWNTQAYYSQLCIVVSEKQERNFELILHVATEGFKLSLYFLMDMTPSICLFPADINGIKQAVDVFKSSENIVHMAYKLAEINLSLIYDYLYTKFGTRHFHMVPVCIAFRRILALALISIRFPGTVAAMVRIARNLHPESRGEWSASMAQYSIIGDCIKEKQESGLLRRTLRWVGIDQRAVKHVGISPVMKKLVLDKLLEIAATPRVQEWDIGVGKFSGQWAHWAVEAMQDRHQSAARQVLQVSNIKGLEFVSSALLWHIVTDICLLEVTADAVDDVHSINSIQVPEEHLEGVSSLHENGNVGGSSTQEERLDGSSPHHDHENGDCSSTLEERLDGGSPNNDHENGDVNSIQEVHLDGSSSHHDHENVDGGSSFCHDKDVDGSISALRGAARELSDYIMYLVADCGAMAGSEGHYAVIKGKREMSNWLLEQMTTGAACDRRTVVEEIRDKPSSFFHEDYYPVLDRARRVASDLLQIAEAGDRWKLIAAV >Sspon.01G0021240-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1A:78029794:78031937:1 gene:Sspon.01G0021240-1A transcript:Sspon.01G0021240-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRARIVSLLLAFLFARASEPIAAAAAPAGSRHLTKDERWMNQRLDHFSPTDHRQFKQRYFEFLDYHRAPGGPVFLRICGESACDGIPNDYLAVLAKKFGAAVVTPEHRYYGKSSPFKQLTTENLRFLSSKQALFDLAVFRHAAVLHYAESGAPDSGADNPWFIIGVSYSGALSAWFRLKFPHLTCGSLASSGVVLAVYNYTDFDKQVGESAGPECKAVLQEITELVDEQLRLDSHSVKTLFGAQTLKNDGDFLFFLADAAAIAEAYAQFVKDFYIKKMETPPSSYDREYLKETTPDDSGK >Sspon.08G0002070-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:4851063:4851857:1 gene:Sspon.08G0002070-1T transcript:Sspon.08G0002070-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKNKSGASNYLCVAPIFSSCVSSGKQQSNADAARSRLSFSFPERQQQQKQQPAEEQSNSESIIDPAASVIARKEKQKDGGKHCTVIVGTIFGRRAGRVTFCVQRDAAVPPPFLFELSVPMQSLATEMGSGLLRIALECHRTGTGGTGGGESRNVWKASCNGRDVGHAVRRQPTDWDRRVLESMRTMTTGVGALPPAVALEGQGPNGDGNTQQDGAGEVLYMRATYERVVGSRDAVSYHLINPGGTAGGSPPQELSVFLLRTRG >Sspon.04G0034890-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:79878758:79880087:1 gene:Sspon.04G0034890-1C transcript:Sspon.04G0034890-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEPHQNQMMVIGTSRLLILLVVSGFAAVAEATVPAASTVVAGMVFCDQCKDGAKGLFDYPLYGARVAIQCGGGDTPLTVRESNTNWFGGFSVRMEGSPDMNRCTARVVQGTGHCGAATGGGGAPRELTLAFRMLGLALYTVPPLLSQPEETMDFCPGHDRSRRGGRPPVAQAPAPASSSSPLPPFWRRRPRLLPPVWRKPPTNLPQEQQPHQPEPEPQVLPPPPPPPPTAPSQGSACTYDMWASPDHRCHWKVLTPNTTVAMAFGPLAAQRYGSELTLGEALEGRGDMYRTLLREATAALLNAYYNAPGGPFLYPTTASVMDHMNGALLSSGQRVLLEGARFRRANAGGGGPAGRTRLPCDFTPCGTCAPPPAD >Sspon.05G0013030-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:41174480:41175540:1 gene:Sspon.05G0013030-1A transcript:Sspon.05G0013030-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor FER-LIKE IRON DEFICIENCY-INDUCED TRANSCRIPTION FACTOR [Source:Projected from Arabidopsis thaliana (AT2G28160) UniProtKB/Swiss-Prot;Acc:Q0V7X4] MEHQLHQLLHLEHFMPSSPAGFFAVDSGQEPAHFPNGGLFVEPQVGATDDGGWVEDLMQLGEELFGGQGDGDDVNGTGGMGDEHHYQQWQCDDDGGSPDGPPPTSISLDGDTSPPSGEQGAGEAGELASEPHHPHRDDGDDVSGATRKRRDRSKTIVSERKRRVRMKEKLYELRSLVPNITKVSKASIIADAVMYVKNLQAHARKLKEEVAALEARPMSPASRQEQLPQHGRRAGAGRRQQQLERRDAVTGALVTHVGAAQVGEGRFFVTVECERRDGVAAPLCAAAESLACFRVDSSSIGRSGPDRVVSTLTLKVL >Sspon.02G0042340-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:85510322:85512951:1 gene:Sspon.02G0042340-2C transcript:Sspon.02G0042340-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:TPR7 [Source:Projected from Arabidopsis thaliana (AT5G21990) UniProtKB/TrEMBL;Acc:A0A178UNB9] LTYDSNNVKAYYRRGQAYKELGRLEAAVADLSKAHEISPEDETIAEVLRDAEEKLAREGGRVSTRKGVVIEELVEDDASQPSSSQRSSPGYTVSQPPEGAGNIGLSGSSGRSRDDPASIRSSQNYVSKSDPEGLSKFGIEGMSPELVKTATDMIGTMKPEELQKMFEVASSLNGTSSVGPNMGSNMPEMSPDMLKMASDMIGNMSPDELQKMMSFASQMGGPGGVPRRSENSNFGPSSRATTSNSARGSSSEPILENPDELSNDQRVGQSSSSLPPSTADMQETMRNSMKDPAMRQMLTSMMKNMSPEMMANMSEQFGMKLSKEDAAKAQQAMSSLSPEDLDRMMRWMERAQKGVEVAKKTKNWLLGRRGLILAIIMLILAFILQKLGFIGRMESHVWLRLERDAVHSILGT >Sspon.04G0006410-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:18114185:18128319:-1 gene:Sspon.04G0006410-1A transcript:Sspon.04G0006410-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAAASIYTRRSERNRSSHPTASKADQILLHILSRARIWPPRPSAAAAAMGLEMEAMAASIGVSVPVLRFLLCFAATIPTGLLWRAVPGATGRHLYAGLTGAALSYISFGATSNLLFVVPMTLGYLAMLLFRRHAGLITFLGAFGFLIACHVYYMSGDAWKEGGIDATGALMVLTLKVISCAINYSDGILKEEGLRDAQKKYRLTKLPSLIEYFGYCLCCGSHFAGPVYEMKDYLEWTERKGIWASPTPSPLLPTLRALVQAEASIIISGLGFTGWSESSPPKAKWDRAKNVDVLGVELAGSAVQLPLVWNIQVSTWLRYYVYERLIQKGKKPGFLQLLGTQTVSAIWHGLYPGYIIFFVQSALMINGSRVIYRWQQAVSSSFLRGILAFLNFAYTLLVLNYSCIGFQVLSFEETLASYKSVYYVGTIVPIVCILLGNVIKPARKAKARKTE >Sspon.04G0030620-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:1930112:1932235:-1 gene:Sspon.04G0030620-1C transcript:Sspon.04G0030620-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin-like 3-2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G04260) UniProtKB/Swiss-Prot;Acc:Q8VZT6] AMAATPAHAAAAATSGGEAAPSHGRIGVRPGPAPSRLGFLAKPSSRWAAISLPPGPRRAAPAAAAARERVAEEDGPVWVELEPIASEQQLDRVLADAQQLDIPIVLLRMASWCRKCIYLKPKLEKLAAEYHPRIQFYCIDVNCVPQKLVNSAGVQVQLKLVPLFMVSIPLLSILRTVLCPMQLWSDSRKQAEVIGGHKSWLVIDDVRRMIEQEE >Sspon.03G0009080-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:38559652:38570408:1 gene:Sspon.03G0009080-3C transcript:Sspon.03G0009080-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTAQGTALKSAPMGAGRRARRGRPVAAPYRSGWQAYGGVSLEGSGFLGGVQRTEERVAPRAPRAAARDAEVVRPLSKLPNSSIGLYNPSFERDACGVGFVAELSGDYKRETVNDAIEMLERMAHRGACGCEKNTGDGAGIMVALPHDFFKEVAKDAGFELPPPGEYAVGMFFMPTDEKRREKGKAEFKKVAESLGHVVLGWRPVPTDNSDLGESALETEPVIEQVFVTKSSRSEAEFEQQLYILRRLSIISVRAALNIKRGGERDFYMCSLSSRTIVYKGQLKPCQLKGYYYADLGHENFMSYMALVHSRFSTNTFPSWDRAQPMRVLGHNGEINTLRGNKNWMTAREGLLEAEKLGLSKEQLSRILPIVDATSSDSGAFDNVLELLVRGGRSLPEAVMMMIPEAWQNDGNMDPEKKALYEFLSALMEPWDGPALISFTDGRYLGATLDRNGLRPGRFYVTHSGRVIMGSEVGVVDVPPEDVLRKGRLNPGMMLLVDFENHTVVDDEALKTQYSKAYPYGEWLKRQKIYLKDIVESVPETDRVAPSIFSSLPQKNENKDDVGINGILTPLKAFGYTVEALDMLLLPMAKDGVEPLGSMGNDTPLAVMSNREKLTFEYFKQMFAQVTNPPIDPIREKIVTSMECMIGPESDLLETTEKQCNRLALKGPLVSIDEMEAIKKMNYRGWRSKVLDITYPKKSGRKGLEETLDRICAEAREAIRKGYTILVLSDRGFSSDRVAASSLLAVGAVHQHLVANLERTRIGLLVESAEPREVHHFCTLVGFGADAICPYLAIEAIWCLQKDGKIPPNGDGQSYSKEELVRKYFYASNYGMMKVLAKMGISTLASYKGAQIFEALGLSSEVIGKCFEGTPSRIEGATFEMLARDALRLHELAFPSRTPPAGSADAKALPNPGDYHWRKNGEIHLNDPLAMGKLQEAARVNSRAAYKEYSKRIQELNKACNLRGMLKFKDISDKISLDEVEPASEIVKRFCTGAMSYGSISLEAHTALAIAMNKLGGKSNTGEGGEQPSRMEPLPDGSMNPRRSAIKQVASGRFGVSSYYLTNADELQIKMAQGAKPGEGGELPGHKVIGDIAVTRHSTAGVGLISPPPHHDIYSIEDLAQLIHDLKNSNPGARISVKLVSEAGVGVVASGVVKGHADHVLISGHDGGTGASRWTGIKNAGLPWELGLAETHQTLVANGLRGRAVLQTDGQLKTGRDVAVACLLGAEEFGFSTAPLITLGCIMMRKCHMNTCPVGIATQDPVLREKFAGEPEHVINFFFMLAEELREIMANLGFRTITEMVGRSDMLEVDPEVVKSNEKLENIDLSLILKPASEIRPGVAQYCVEKQDHGLDMALDNKLIDLTRTAIEKQVRVFIETPIQNTNRAVGTMLSHEVTKHYHMNGLPAGTIHVKFTGSAGQSFGAFLCPGITLELEGDSNDYVGKGLSGGKIVVYPPKNSSFIPEDNIVIGNVALYGATKGEAYFNGMAAERFCVRNSGAQAVVEGIGDHGCEYMTGGTVVILGKTGRNFAAGMSGGIAYVYDVDGKFSARCNNELVDLYHVEEEDDIITLKMMIEQHRRNTESVLARDILSDFDNLLPKFVKVYPRDYKRVLENMKAEKAVAKHAKEPKMANGISVTTKKVQPDQSASRPTRVANAKKYRGFITYERESISYRDPKERVKDWKEESSGAGCPLGNKIPEFNELVHQNRWREALDRLLETNNFPEFTGRVCPAPCEGSCVLGIIENPVSIKSIECAIIDKGFEEGWMVPRPPLQRTGRKVAIVGSGPAGLAAADQLNKMGHFVTVFERADRIGGLMMYGVPNMKTDKIGIVQRRVNLMAEEGVTFVVNANVGSDPLYSIERLRSENDAVILACGSTKPRDLTIPGRELSGVHFAMEFLHANTKSLLDSNLEDGKYISARGKKVVVIGGGDTGTDCIGTSIRHGCTSLVNLELLSKPPSNRAADNPWPQWPRIFRVDYGHQEAATKFGKDPRTYEVLTKRFIGDENGKVKALEVVRVKWEKVDGRFQLKEIEGSEEIIEADLVLLAMGFLGPEATIAEKLGLEKDNRSNFKAQFGDFATSVDGVFAAGDCRRGQSLVVWAITEGRQAAAAVDKYLSRYDQNAAGDITPSGAGLVQPVAA >Sspon.01G0008740-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:26579905:26582708:1 gene:Sspon.01G0008740-4D transcript:Sspon.01G0008740-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAYEATKVVFSRIQALDPDHAAKIMGLLLIQDHGEKEMIRLAFGPESLLHAVMAKARKDLGLLLPASPTSVAAAGHAPFLQLPRQNSGRAGAPSPLSVSSPSSWGHAPVFSRSNSTSNGTAEEAAGAGEELPSPVNGGAAPFFPHQTGDALLDDLQLQEQLAFLNEGSANPAHQLPGFDGGECRSPGPGDAGGMFAFGLGWPNGGPAHRRSSSVNELCLGSGGGDGFGWKPCLYYARGFCKNGGSCRFVHGGLPDDAAALAGAKMEAAADQQQQCQDFLIRSKGQRLGPGAFPYSPTGSLPGSPSAASKCLSFLLQQQQQQHDSSSLFRLCACRAAAAASLMLGGGDEAHKFMGRPRLDRVDFANMMNPGSRQIYLTFPADSTFREEDVSNYFSIYGPVHDVRIPYQQKRMFGFVTFVYPETVKLILAKGNPHFICDARVLVKPYKEKGKLLGLTDLLFSLRRKQQQGDFSGCTTPTGGLDARDPFDLHQLGARMLQHSNSANELLLRRKLEEQQQAAELQQAIELQSRRLMGLQLLDLKARAAATAAAASPLPTPIANAFASSQPVSTTAVESPLESGEHLKLSSGFALEGMVNDGDKEESACEASPDAADSDQSGEHNLPDSPFASPTKSAAFVHDSFTATETENTASRVGVDVGVGSKIDGGSNHLRPPALEIPSPSSYFFPMHRCAVLRSRSHGDVKFTTGS >Sspon.02G0041730-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:77692900:77699156:1 gene:Sspon.02G0041730-1B transcript:Sspon.02G0041730-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Actin-related protein 2/3 complex subunit 2A [Source:Projected from Arabidopsis thaliana (AT1G30825) UniProtKB/Swiss-Prot;Acc:Q8LGI3] MILLQSPSRFLLQILQDRVLSGEKGMDIDCHTVEFDDVRYHIQFTMRNPKVMVLSVALPLPPPEAILYDGLPLGAIEAIKAAYGPVVQILDPPKDGFDLTMKINLTKLPPDEEQRNAILTQIASIREVVLGAPLKLLLKHLASRTAAPNVDKLVALVHRPNESFFLAPQADKVTIMYPMRFQDSIDIVLATSFLQEFVEARRTAALNNVPSCMWSPAPPLELKGVSADALNANAGFVTFVVYPRHVEGKKLDRTVWNLLTFHAYVSYHVKCSEGFMHTRMRRRVEALIQALDRAKSDAEKLKKLVHGGSFKRLSLKNEGDSRF >Sspon.03G0028610-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:7981589:7987718:-1 gene:Sspon.03G0028610-1P transcript:Sspon.03G0028610-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSQGESVPPWLKSLPLAPEFRPTAAEFADPIAYLLKIEPAAAPFGICKIVPPLPPPPKRTTLGNLSRSFAALHPDDPTPTFPTRHQQLGLCPRRPRPALKPVWLSSHRYTLPKFEAKAGASRKALLARLSVPATKQLSPLDVEALFWRSSADRPVVVEYASDMPGSGFAPCAARPAQLPAANVGETAWNMRGVARSPASLLRFLREEVPGVTSPMLYVGMMFSWFAWHVEDHDLHSLNYMHYGAPKTWYGVPRDAALAFEDVVRVHGYGGEVNPLETFAMLGDKTTLMSPEVLLDSGIPCCRLVQNAGEFVVTFPGAYHSGFSHGFNCGEASNLATPEWLRVAKEAAVRRASINRPPMVSHYQLLYELALSLCLRDPSNGAMEPRSCRLKEKKKSQGDQLIKKIFVQNVIEDNKLLGHFLSDGSPCIILPVNYNDGSPLSTLLSKFQSTTDSSMSHDQCSKTEALKDSRRLPMDGADKNRELSSSNKIPLSVCSGKTVPPTTCIHDCANVSGSSYAHNAESDKRDMHSATGLLDQGLLSCVTCGILSFSCVAVIKPRECAAKWLMTADSSLINDRLASSGEHHINALQGGRTTGGILRSDSKMNGNSVISDADAAPLNGHSALDLLASAYGDPSDSDEDVMNKKDQVPNVSNELINRTIESQPNTSNNGDCDGTKVSSSSKERQQGPTSQSSKCIGNSNTLNGPKGVRTRNKDLLKMVLSEGFQPKDIYSETHKKVQCEPSSSNKTSTESPCGTDYHVSHNSATICMDSHRGSMTMVNNLVTSVVKPDKDSSRMHVFCLEHAIEVEKQLQAIGGADIFLLCRPEFPRIEAEARLLAEEMEFEYDWKDILFKEATIEDREKIHEVVRDEEAIPTNSDWAVKLGINLYYSANLAKSPLYNKQVPYNRVIYEAFGYGSPNDSPVKLKTYSRRQGRAKKIVLAGRWCGKVWMSNQVHPYLADRIKIHEPEEIDETFTSDQKSNAEPVEDSSREAASTRNSSSRAIEGKTTKMEKGPLEKANAKKPKFTEEDNSKSLEGTAEASTQKIKSRTVLEKTSKREKEHVEKANTKLKHTEKVISEALKGPSEASFPAPAGMVVRSSSRIANRKSILRSKMEEEDNGSANRPKSKVEDDKDNPAGRSRAKSLRQKTKVDVKKKTKETRAEKRKAPSPASWKDEEEQPYDVEGCSITKQQLSLSKKRAKIEEKQQMEKSRYRGRAPPSSPKRKEAPPSSPKRKEEYACDIEGCSMSFGTKQALSLHKNDICPEKGCCRKFFSHKYLLQHRKVHTDDRPLKCPWKGCSMAFKWPWARTEHMRVHTGDRPYVCPEPECGQTFRFVSDFSRHKRRTGHAGMPTKKAKGKK >Sspon.05G0004640-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:13856156:13860955:-1 gene:Sspon.05G0004640-1A transcript:Sspon.05G0004640-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLLLLTQLTYGCSFSLVHMVPLESQIVVEKNPSTSVDAKELVLTKDQNTSTTVVQDASSLTSPKGVQEEASFMGKGGEQQFGYQPNVYAPQPHTPFSGGYLNHLGQWEGYPYAVSAEGLDASYPVMYGAYSPLSAIGDSQTYFSLLCPMSSPCYQPPASSTGYSSPGTGISQFDPMHQYYFPDEVHYSPTPGFYQPFGSFNGIPMQSSGVPGFFEQGNILLASRMVNGSGQFCGVAEMTGPVDFDKSVDYWQNDRWNGQFPVKWHIVKDVPNNIVRHIILENNENKRVTNSRDTQEVKLEQGLKMLAIFKNHEAETSILEDFEFYEQREKAMLDDRQQQKQQCSKTGKQVQTSAPVDIVTGISDAFAEAVQLEETSDKENKPMIEDAAAADNVPAGPVKIEEATLKTAEVGGLLKESG >Sspon.04G0007080-3C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4C:19780817:19784107:1 gene:Sspon.04G0007080-3C transcript:Sspon.04G0007080-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAPAPTRHVHIEALQTALPTRAVEPGRARPVSVAAAAPLPAAALQRRSRVVLYYRAAAVADAPAAWSQEEALLVKESLSEAVADHPEMAGRLRRRADGSWEVKLNDTGVRLVLATVEATVDDFVGASVGEEDRERREAALAPWTHVDADDPDMCALCFVQWQPALVEVTRSARAAVLPHSAASVPPCAQHAILLGCQGEGQR >Sspon.02G0014660-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:33015174:33019473:1 gene:Sspon.02G0014660-4D transcript:Sspon.02G0014660-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSNIIDLLAHKGAVLVINHLRVPPHTQSKAVHLGQDVNIVPYYDGTFRPQDAASDETPGIKPLAILGGTALSLAGKGLIAPPATSLSSFTSGRGVTQNIRGCMIKLTVCSPWNQAPGTMGH >Sspon.04G0009760-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:37742522:37744452:1 gene:Sspon.04G0009760-2P transcript:Sspon.04G0009760-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAATLPTGQEPHAVCVPFPTQGHITPMLKLAKILHARGFRVTFVNTEYNHRRLVRSRGAAAVAGLTAFRFATIPDGLPESDADATQDPATISYATKHNCPPHLRSLLAGLDGVTCVVADNLMSFSVDAAREMGVPCALFWTASACGYMGYRNFRLLIDRGIIPFKDEEQLTNGFMDTPVNWAPGMSKHMRLKDFPTFLRTTDPNDVLMNFQLQEVERSEYASAVVINTFDELEQPALDAMRAIIPAVYTIGPLASVTEQVIVRRGPLDAVSCSLWREDQTCLAWLDARKPRPRSVVYVNFGSITVMSGKELAEFASGLASSGHDFLWIVRPDVVKGDTSSAAALPPGFLEATKGRGLLASWCDQEAVLRHEAVGLFLTHSGWNSTLESLGAGVPMLCWPFFAEQQTNCRYKCVEWGVAMEVGDDVRREAVEARIREAMGGDKGKEMARRAAEWKEAAAGSAARSLANLNRLINDVLLSPARLAAHSKWLQ >Sspon.08G0010300-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:43371612:43373458:-1 gene:Sspon.08G0010300-2D transcript:Sspon.08G0010300-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DRLGANPANSCPLTPLGFLERAATVFGDCPSVVYHDTVFTWSQTHRRCLRLASALVSLGITRGDIVSVLLPNVPAMYEMQFGVPMSGAVLNNINTRLDARTVAVLLRHSGSKLVFVDPASLTLISDALKQLPHGHPAPRVILVEDPHEKGLPAAPAGSLTYERLLEKGDPEFAWVRPASEWDPMILNYTSGTTSEPKGVVHCHRGLFLITLDSLIEWAVPPQPTYLWTLPMFHANGWSFPWGWPWWAAPTSACAASTPPRCTPPSRAAGSTTSAARPSCSTCWPTPRRAYGGAPGEGAHPDRRRAAAGCRAAPHGVHRVSHGYGLTETAGLVVCCAWKREWNKLPASERARLKSRQGVRMPGMAEVDIVDGETGRSVPRDGSTMGEIVLRGGCVMLGYLNDDRATRAAIREDGWFYTGDVGVMHPDGYMEIRDRSKDVIISGGENISSVEVESVLYNHPAVNEAAVVARPDDFWGETPCAFVGLKEGSAGAVTAADVIAWCRQRMPHYMVPRNGGVSRRAAKDLHRQDPKVRAPQPCQGDGVNPEGRQQHQQDVG >Sspon.03G0035850-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:85264738:85270082:-1 gene:Sspon.03G0035850-1B transcript:Sspon.03G0035850-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRRIREWDKTTPRALSSPPLSPPPLPAMSSLPPSQAAAAAYGCCHRLLLASTTVPARSGCVGRARRPTLALRCLPITGHRLSSRKVACQAMTETESEGDGDKEEKEEFGDDASSPSVDSVAEANGPAESDSSIDNQQLDDSVRIPKATIDILKDQVFGFDTFFVTSQEPYEGGVLFKGNLRGKPAKSYEKITNRLQNKFGDEYKLFLLINPEDEKPVAVVIPKQTLQPETTAVPEWFAAAAFGLVTIFTLLLRNVPILQDNLLYEIGSFGAITRIVNIVCNREDLLKLAAAGPLAGFSFGFVLLLLGFILPPSDGLGLVIDPTVFHESFLVGGLAKLLLGDVLKEGTQLSINPLVLWAWAGLLINAINSIPAGELDGGRIALAIWGRKISSRVSSLTIGLLGIAALFNDVAFYWVVLIFFLQRGPIAPLSEEITDPENNYIGIGVAILLFGLLGPALSLHNSSSISILSRHIRLLLTLGSELALLLVASGESETLIGLLLVDPERAL >Sspon.06G0003760-4D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6D:8956236:8957485:1 gene:Sspon.06G0003760-4D transcript:Sspon.06G0003760-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQEEAAARPSKKERGTAASGLTAFGLRLAKHLADAAEGADGVGRQNVVFSPLSIYAALALLSAGACGTTLDELLTVLGAASRDEIAEFVSAVVGRALADCSESGAPLVAFACALWHEKTMALKPAYRTAAVESYKAETHAADFVNKPEEAREKINRWVSKATKDLITSILPEGSVRSDTALVLANAIYFKGSWSMPFDKEDTETRHFQRLDGSHVRTPFMRGRGDQAVAEYDGFKVLKLAYHPYRLPHWQDKYGRGRNRNAKQQDGQGSDERSRFSMCVFVPDAHDGLPSLMDKMASCPSFLWDHMPSERVMVGELRLPKFKLSFSSRINGVLKAMGIMAAFEEGTADLSDMLEGGAELVLEHVFHKA >Sspon.07G0015250-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:60165795:60166895:-1 gene:Sspon.07G0015250-3C transcript:Sspon.07G0015250-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSLLCSRRNHKKDRDGEQVQVIMSTAAAVMRKGPWTDEEDEQLVRFVRLFGERRWDFLAKVSGLRRTGKSCRLRWVNYLHPGLRRGRITADEERRIVELHAQWGSRWSRIARSLPGRTDNEIKNFWRTRTRKRALEERRAAAGAAATAEPASSSSPSSSVTTSECRPGSPTSSGSSSSSLREGRVGHGDDDAELEEWTPTAAPATKHEQQQPQPQEYYDGCSSMDQLWNEIAAADAAAMSYLVGDACWGGAGHYYYGAAAEPPPASPPWEYCGSDFSLWRIDDQEYYKKMLDSS >Sspon.04G0022600-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:7690408:7698059:-1 gene:Sspon.04G0022600-1B transcript:Sspon.04G0022600-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLKNKKLVLPAVIMLAMVFSMMVLGSAAARPLPGEELPGEAAVGDSIVRLIRQLYWQRLSGPHPSLQKKTPIEDRT >Sspon.01G0050980-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:9904746:9908487:1 gene:Sspon.01G0050980-2D transcript:Sspon.01G0050980-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VHVSSEGMNSSRQMELHYINTGFPYTITESFMDFFEGLTYAHADFALMDGFQDQGNPYWAMMHTNSYKYGYSGPGNYYSYAHVYDIDDYMHRADGGRRVWDNTTPANNVDSANVVLQGSEAPRTTTNTTTEELYIYQFCSLELLDLGEVVGTQSRGLSQERISSLPVTKYKCGFFSRKKTRRERCVICQMEYRRGNLQMTLPCKHVYHASCVTRWLSINKVCPVCFAEVPGDEPKRQ >Sspon.02G0013460-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:38364440:38367122:1 gene:Sspon.02G0013460-3C transcript:Sspon.02G0013460-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGHGGGAGAGKLTRTPSSLLRSPTVRNCSSFQAVVVEDPEPDDKKAQAHPRPRRTTSTPGAAASAAPPTRCSSSRSRSPSCSCSSSSAAAAAGTATTSPSSRRPRPPRSPPPRGPRACSADACACAAPPAPAPSSGSSGTTTTSRRSGRTRPPPRRTAASCARASSSTAMGTATRASSTRAAATAAASTTSSARASTRATGSTASTTATASRAGRGAAATAASTARASATATASTASTAATATRASGPAARATASARRPAPTGAPTSGNSSAASSTASGATISEMAIVMRASTLGTRSTGLVSTASPMAIATKAPGTKARSRDLGCTHSGMATSDRGTGILGLSGPPCPQLILPFSAPYRPLSELRRTHFACLELMSRSTRRSWPPTGRPRPLE >Sspon.03G0009530-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:25872369:25874133:-1 gene:Sspon.03G0009530-1A transcript:Sspon.03G0009530-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSSQDRKQRSTWLAEHGKVLRADPRRPALHVRPAHDDDGVLAVGHEHDDGVSRWRIGRARDAVGADTAGVG >Sspon.01G0032970-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:113797958:113801883:-1 gene:Sspon.01G0032970-2D transcript:Sspon.01G0032970-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGDSTNLDAAIESLLNVEKQMRLAGDVAGTRKAVIDIVELCYKAGAWKTLNDQILLLSKRRGQLKQAITAMVQKAMDYIDLTPDIDTRIELIKTLSSVSAGKIYVEIERARLIRRLAKIKEEQGQIDEAADLMQEVAVETFGSMAKTEKIAFILEQVRLCLDRQDYVRAQILSRKISTRVFEADPLKEKKKPKEGDNIVQDAPADIPSLLELKRIYYELMIRYYMHNNDYLEICRCYKAIYDIPAIKEDPTKWIPILRKICWYLVLAPHDPMQSSLLNATLEDKNLTEIPNFRLLLKQLVTMEVIQWTTLWEFAKHEYENEKNLLGGALGAKAAEDLKLRIIEHNILVVSKYYSRITLKRLADLLCLSLQEAEKHLSDMVNSKSLIAKIDRPMGVVSFQTAQDCNGTLNSWATNLEKLLDLVEKSCHQIHKETMIHKAVLKA >Sspon.01G0033680-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1A:113240036:113244460:-1 gene:Sspon.01G0033680-1A transcript:Sspon.01G0033680-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVAASSDQYRSSSSSASSPARRYYLPKPGALRRPISFEDSPDWDDIHPDDTIHLATASISIASSAYPSPSPSLPPGPSSASAACRDRKLAGATLVWKDLTVSSLAATTNRFSDRLVKSSNGYALPATLTVIMGPARSGKSTLLRAIAGRLSATERIYGEVFVNGAKSPLPYGSYGYVDRDDVLIESLTVREMLYFSALLQLPGFLSSKKSIVEDAIAAMSLGDHADKLIGGNCFMKRLPTGERRRVSIARELVMRPHVLFIDEPLYNLDSVSALLLMVTLKKLASTGCTIIFTMYQSSTEVFGLFDRICLLSNGNTLFFGETLACLQHFSNAGFPCPIMQSPSDHFLRAINTDFDRIIAMCKNLQVRISAIFAFVSFVVLLSASGVPAHIDEVKIYSHEEANRHSGTMVFLLGNFLSSIPFLFLVSILSSLVFYFLIGLRNEFSFLMYFVITIFVCLLANEALMMIIAYIWLETYKCTLTLIFLYVIMMLVAGYFRIRENLPYPVWTYPLSFISFHTYAVQGLVENEYVGTSFAVGQIRTIPGVQAVRGSYDISSSGNAKWVNLLVLLLMAIGYRIVLYLLLRLDVRKHARMLGNWRSWWPSVHSATGAK >Sspon.02G0027520-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:102133641:102135346:1 gene:Sspon.02G0027520-2B transcript:Sspon.02G0027520-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nitrilase-like protein 2 [Source:Projected from Arabidopsis thaliana (AT4G08790) UniProtKB/Swiss-Prot;Acc:Q94JV5] DTIVAVDSPFGRLGLTVCYDLRFPELYQILRFKHQAQVLLVPSAFTKITGEAHWEILLRARAIETQCYVIAAAQAGKHNEKRESYGDSIIIDPWGTVIARLPDRLSTGFAVADLDLSKVEAVRTRMPISEASVGYKA >Sspon.01G0033180-2D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1D:111712022:111712453:1 gene:Sspon.01G0033180-2D transcript:Sspon.01G0033180-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPRLFVGLVHIEGLDHSPSPPAFHLTLDAQVRISVSGCCNRIGGGNSMLRVTYHGMLLARGHVPTFCVCGGVASVEAKNDAVLLREEVRALIQSEQHVVGKAEFDVEGEVARLGYLHCKAFLLQGNAKEGSKGTCQVDYCH >Sspon.08G0005420-4D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4D:68785905:68790504:1 gene:Sspon.08G0005420-4D transcript:Sspon.08G0005420-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MIYGRSPFRPNGTAHEQLQNDSIARLPLVEPTHSCRTSLAGPGCRVPPRCRPASPSALPPSLPLLRPPCDPALPSDPAPAPLTGDEDGSGGDSDGIHISMLHYVVFLYVYDVACSRALGTESAMREQGDTGVNRFLIVFPQETGRFEKRGMFRSIPAGTDGLKKRHGIRARLVGRRSGRQDCGYRFHGSTIYRTWLRQRRTQPASQEQSNPASKRKLKRKGQVETGRVNRHCGGGIDPALRKGRKEARIGTQPELESWAFSSERDGSAANRGGKIALGRFLGFGLVLRKELGFLKVKQMGIGNGSSSNVSHKAMQDETTPLLPIKAEEDAVHEFDGASFSGAVFNLSTTIVGAGIMALPASIKMLGLIPGILMIILVALLTEASIDMLVRCSHQGKITSYGWLMGDTFGQWGRIALQGSVVINNVDSLRYTSALSVALAVVFVVITAGVAIVRLIQGTVEIPKLFPEIDGVSSIWKLFTAVPVLVTAYICHYNVHSIDNELEDKTQIKPIVQTSLGLCSSVYIATSFFAYLLFGEATLADVLANFDSDLRIPFSSVFNDIVRVSYVVHIMLVFPIVFFALRLNLDGLLFPTARHISHDNKRFTIITISLVAVIYLAAIFIPSIWDAFQFTGATAAVLIGFIFPAMIILRDPYGVSTKRDKVLAVTMIVLAVVSNSVALYSDALSIFYRKQEA >Sspon.07G0032180-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:38579726:38584294:-1 gene:Sspon.07G0032180-2D transcript:Sspon.07G0032180-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGENRENEIGIGICVDLGWKTKGNEIEMGISVDLWRTASFSVLWKNYATVLMGIGPAPRLYRGWSIQEVEEEAIMHKLYELMSAEGLLPKFTYS >Sspon.03G0004980-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:14182776:14184068:1 gene:Sspon.03G0004980-1A transcript:Sspon.03G0004980-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVSDRAHDLKHRRHQEARVPDGASLAAMYLYGDVLESVVGRVPAADLAAAARVSREWLRAVRAALRRRPRRLPWLVVHLQGPRGVGGGGGLRCAAAYDPCSGAWLAVPPAPRHATPSHVRLLRGARGDRVCALSLSGLAVAADPLGTTAGCVAPLDAPGVWRVDPVFAAVGDRVVALGGACRLALADGGDAAAVEVHEAGAGWTACDPMPDPLRDSAAATWLSAAATDQRVYLVERTTGWASWFDPANRRWGPTRRLGPDPAVTAWGVAPGRVVGAKERLVLFGAKRADKEAECTVLWEEGKAKGSSTAVARWDWVPCAPAVQAQPLGRAILACSPVGLDELALAVGAGGPR >Sspon.01G0001580-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:3484912:3486008:-1 gene:Sspon.01G0001580-2B transcript:Sspon.01G0001580-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNAKVSLPFSPSLCIAFPSSVLSRQSKRMTNSLCAAMAMNWCAVDHACVQKIVVKLDLHDNKDKQKALKAVSVLVGIDAVSVDMAAHKMTVIGTVDPVDVVSKLRSKSWAAHIDSIGPAKEPEKKEEKKDGGGEKKDGEGKKEEGDGGKKDGEGKKEEGDGKKEEGDGKKDGEGKKEDGEGKKDDKKEEGEKKPAAVAPPWMHQLPPQYMHMLTADYMNQYHRPPPPPPVYHPYVPPPPQQYYYMRNMSMEENPNSCAIL >Sspon.01G0050470-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:2906291:2913019:1 gene:Sspon.01G0050470-1C transcript:Sspon.01G0050470-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQSTGIYYSRQRKPFEHNSSVFHTELQCVPVVMHFPMQIGSTVWDLADWDGIRLRKVQLINFLSLPTEQKEKADIIVLDSDDEDGNRSGYSKLASEIGKGLATSELARNITERVTSNGSQAFVTMHADGDKNTHIVPHGQCSALVNQLPLQTSWQPSIQFERVVLQKRPEEQRMQDVVAASIAEKRAETQVFLSPPMEKKRRRSDLSLHVSEDTTTVPKQRRRRKGATDLAAANLSLDLQQTDTSSEPDMAIEKEENKKSESDGLEDYWKDFALAVESTKLDEVDEAANEKEEGNGMMGDIDCNHDIRIHEDLGHVCRVCGMIVRRADSIIDCEWKKASRRRTNCYGGHSKDADEIDCGTVKLFRENIYAYKLRSKLLGEVSICKAPCCAAQRDIPLHDFYSVKAGKRAEQLQILKYWEDKMGILFLGYKQFSTIVTDDGGSNVTAACRDRLLKVPNFLILDEGHTPRNRETDVLESLNRVETPHKVVLSEVNAENRANTLRDDLIDSLLDSITVRDGEKALEYILPMKFFERLLVKKKGWHMGKEIFTISGDTNQEDRELAVEQFNSSADAKVLFGSIKACGEGISLVGASRVVILDVHLNPSVTRQAIGHAFRPGQEKKVFVYRLVATDSDEEKVHETAFKKEVIPKLWFEWSEHCTTEDFKLGQ >Sspon.03G0044440-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3C:94359764:94359988:-1 gene:Sspon.03G0044440-1C transcript:Sspon.03G0044440-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVRRTEHGIARSRLRGHGGGGWQRGRRLCGPSNRAHACGGGNSSKRVVRPRTASTPWRCGHNDDGEEGRRETR >Sspon.01G0001540-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:3334040:3335605:-1 gene:Sspon.01G0001540-2B transcript:Sspon.01G0001540-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKAAQKFGMKRALVVHSKGLDEISPLGPGYILDVTPGKIEKMLFDPLDFGIPRCTLEDLKGGDPAFNAKVLQDVLAGQRGSIADALVLNAAASLLVSGKVKNLHDGVALAQETAVRESH >Sspon.07G0004400-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:9681546:9685563:1 gene:Sspon.07G0004400-4D transcript:Sspon.07G0004400-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding PGPRDAPMHCFIRRDKKNSTFSLYLSLTQALTDKGKFLLAARRFRQGAHTEYIISYDYDDLHPRSSSYVGKLRSDFLGTKFIIYDSQAPYDGAKPSRSRSTRRFASKQISPQVSGGNFEVGQLVATAGSGGPWGVGDEETVILQFGKIEDDAFTMDYRQPLSAFQAFAICLTSFGTKLACE >Sspon.03G0006820-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:19044821:19048516:-1 gene:Sspon.03G0006820-1P transcript:Sspon.03G0006820-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTERTVVLYPSLGVGHLNPMAQLAKAILRHGGVAITIAVVDPPEKHAVLAAALARLATVSPSITVHLLPIPPCASKQHSHPIVPILDALRTANPALRAFLASRVPAVDALVVDMFCIDALDVAAELAIPAYFLYPSAAGDLAVYLQIPDLCGAGPSSLKDMGKAALNFAGVPAVRALDMPDTMQDWESDVGSVRLRQLARMPEAAGILVNSFEWLESRALKALRDGHCLPGRSTPKIYCVGPLVDGGDAEGNGERHACLEWMDGQPKQSVVFLCFGSLGAFSAAQLKETARGLERSGHRFLWAVRSRSSREEQNSREPDLEALLPDGFLERTRGRGLVLKNWAPQTQVLRHEAVGAFVTHCGWNSVLEAVMSGVPMICWPLYAEQRLNKVHVVEEMKIGVVMEGYDEELVTADEVEAKVRLVMESEEGKKLRERTAMAKEMAADVIKEGGSSHVELGDLVVLYTWMVRGHLHPMVQLADRLAGHGVPITMAIADVPSSSDSRETVTRLSATYPSVSFHLLQPATSRSGDEADPDADPFITLIADLRATNPALLAFMRSLPSVKALVLDFFCGCALDAAAELGLPAYLFFTSGASPLAAYLHIPVMRSDVSFGDMGRSLLHFPGVHPVPASDLPEVLLGPHNEQYKATIGLFEQLPRAKGILANTFEWLEPRAVRAIEEGSPRPGEPVARLFCVGPLVGEERGGEGKHECLTWLDAQPARSVVFLCFGSASSVPAEQLREIAVGLERSGHAFLWAVRAPVAPDADSTKRFEGRGEAALEALLPDGFLDRTRGRGLVVPTWAPQVEVLRHPATGAFVTHCGWNSTLEAVTAGVPMVCWPMYAEQRLNKVFVAEGMKLGVVMEGYDEAMVKADEVEAKVRLLMESQQGKELRDRVAVAKDEAAAALETAGSSKAALLDFINNLEISTHQ >Sspon.04G0023560-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:16893003:16893851:1 gene:Sspon.04G0023560-2C transcript:Sspon.04G0023560-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AMRDTFVARPTDIILATTPKSGTTWLKALAYCVVHRGHHAPADERHPLLASSPHDVVPFLHSIYENHRSALPGPLLEEMPSPRVLAVHVPFTALPASVRESACRVVYLCRDPKDAFVSLRHYLDEIKPEGSAMTPFAEAFGLFCDGVSPFGPVWDNMAEYWKESVARPEEVMFLRYEDLKEDAVGSVRRLAAFLGCPFTEEEAERGVPVAIVEQCSMDKMRSVEANRDGMHRNDHLSIKNSAFFRKGEVGDWKAHMTLEMARRLDDIVEEKLRGSGLSVIGQP >Sspon.04G0022650-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:8005025:8006485:-1 gene:Sspon.04G0022650-1B transcript:Sspon.04G0022650-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VHGHFGAAQAAEASVPAGGEQHPGHRGRAARRRGPAQGGVGRARGDPGPGPRAPAGAHVPVRVPAGRGHGAVPHAPAARGVPGGLRVLPHQPQLPRPVRDVPGALPRVAGLRRAQRPVHDAPAGALGARGGDVPAVRAALHPAVARPRVLPRRGRARHLLRHRRPARQDRRLPAGHRHPGGELQPVRADAVLHGHDHAPVQAPQGRAQRTPRRDGVQRGAHLRGAGPEPAAGGSPGRARAGGVHGDHHPELLHGQGARDAAPQLPVPHGRRRGAAVDGRRRRAVPPGARGAHAARRLGRRVPLRVPGGGRPGQRGHQPVQGPDEHRGRRAEGEHHGDGALGAAGVGAAAVRAVLHRAQGAQQPDHALHPGLPHGVRALLHPRGRARRDRRAPAQPHAVGRAGGGIADDAAPLRQHLQQLPLVRARLHRGQGAHAQGRPRLDDRLRLRIQVQQRRLGVHPPRRQRRRAVGQLHPPVPRPHSRRAQALI >Sspon.06G0033040-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:7835647:7844018:1 gene:Sspon.06G0033040-1D transcript:Sspon.06G0033040-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGVAKPSTSSGSGSGVTDGASSAAASTEERFADLCKSKLGLDESTTRQAMQLFKETKNILKSSVSSLGGGSAEEIERFWSACVLYCVSRLSKAGRSNEDGSVSLCQILRASKLNIVDFFKEMPQFCLKVAHILTGLYGSDWEKRLELKELQANVVHLSLLSRHYKRAYQELFLSNDGKCSDNSSESNNQEASDYFRFGWLLFLVLRIQTFSRFKDLVTSTNELVSVLRKYDVMASPAKSISSPNPMSPPRFCLSPKGNSFCNSKMAPITPVSTAMTTAKWLRSTVSPLPSKPSGELLRFFSACDKDLTDDIAHRAGIILGAIFTSSSFGERICTNMRSANRMDAIWTEQRKMEALKLYYRVLESMCRAESQILSGNNLTSLLSNERFHRCMIACSAELVLATHKTVTMMFPAVLEKTGITAFDLSKVIEGFVRHEDTLPRELKRHLNSLEERLLESMAWEKGSSMYNSLIVARPALSVEINRLGLLAEPMPSLDAIAAHHNISLGGLPPLPFQKQDRLQDKDEVRSPKRACTERRNVLVDSNSFRSPVKDIIKSKLPPPLQSAFASPTRPNPAAGVFIPAVKPLLVEVGSGASPKKKEEEKGSVDGPYPESPRLARFPNLPDMSPKKVSATHNVYVSPLRSSKMDTLLSPSSKSYYACVGESTYAFQSPSKDLKAINNRLNSFQQQITDAQITCNVCSGKKVNGRLNFDVVSDLVVASSLSSDQNAKPAATEVVPIKTPETTPVAAPERHSALAAKASANFTDVTAKASHRKALLNSLWLLGFSEEAGFHVGQSLRVFSRDGDHGWDAM >Sspon.06G0022740-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:46928850:46931861:-1 gene:Sspon.06G0022740-1T transcript:Sspon.06G0022740-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MISPDTIRTAIGVIGNGTALVLFLSPVPTFIRIWKKGSVEQYSPIPYVATLLNCMMWVLYGLPAVHPHSMLVITINGTGMAIQLTYVTLFLLFSAGAVRRKVVLLLAAEVAFVGAVAALVLSLAHTHERRSMVVGILCVLFGTGMYAAPLSVMKMVIQTKSVEYMPLFLSLASLIPNGLGVLFAVAQLVLYAIYYKSTQEIIEARKRKADQVAMTEVVVDGGGKTNNHAGAGRY >Sspon.06G0032540-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:57604435:57606690:-1 gene:Sspon.06G0032540-2D transcript:Sspon.06G0032540-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDVWNERSDYWELFCKPLLASRQCHIIVTTRSKAVARLVQTTHIYNLNCLNKSIQVSNAPQSLRVITMMEPTDVENPEVLFLNCKKLRIIDVVPGRLANAMLDFIGDMKLLRHFSLIGYKDDVLPNSVDKLFNLRSLGIEANILCGIGRLVNLHTLPDIHFSRCGSFFNIRELRNMNEIRKLVMYGLCDVIIGDANEAHLHCKKNLEILELYSTDTTVCGVQLLESLRPHHQSLKILKLRNFNCEIYPSWLGSSSFTMLTEILLHNCRSRHLPTLGELPSLNTLDIREMEDVQCIGQEFCSSLDDPRVKGFQSLKELSFHDMYQLSEWIGVEGGEFPRLETLWIWSAFELGSLPLVPFLSLSSLLLYDCRTLLTFPASPALRELSISTCATLNELPGLPSLRSLVLFDCPSLATVGHFPSLSVLELHAPFKEETFYRLVNSHLSLQRLSVWSHTLTSINLEPQNLPSLIELEISCPNLNYCGGLVSLTSLKTLNIGRSPQLHVPGSLRTQLERLLTPLSTEWVIQSPYPKITHPILSIPKAVGYLMHPMGKQ >Sspon.07G0027280-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7B:59411362:59417827:-1 gene:Sspon.07G0027280-1B transcript:Sspon.07G0027280-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding EHTTLVFPPHALPSPPPPPPRYRSAVAAPPPPSPNLAATRLRRPRPRPRPYHRWPAASHLAGPPATCPRPPLTSPQCASAVLVLPPREVPAAPPRHRLARFHRRVMAAAPKAPSKPAGNKYPNPIAAGTLTGRVLSIEQAQSSSSSPAGRGHGRERERKRKSAGGRGSGVVLERNDRFAWHPPRRPPPFPRRRHLPLITSSSAATAPPTPPSFPSSFSSLRDFPPSTQIYVLSFPNSLTLTLGRLLVAPASRALRPPPPASLSLQPPASPPRGPWFPQVHRLCHRNHHHPLAAPPHIPQVRPPASPPSLSRFRPSDPATPLPVLGGRDGSLRECGDRCACAPSFGNQRIQRGVVVRLRMVSHLYKWWGLHAAEALGCRQFVCDLWLSHAPLPPPFLCFSPKTEHNFSRNPTLDPVLSPVLPCTEEKGRKVGGREEELRSTSLPAAVALSCPRYDVVVSAFQRSSMGGPFVINSQRQLSASVLEQKQVDSNTSAAWLLSQVASDSRFDPETGLLTGTKDGNLVKHIP >Sspon.02G0053640-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2D:92481904:92483405:1 gene:Sspon.02G0053640-2D transcript:Sspon.02G0053640-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAPAPARTAPTLPVEILEDIFLRLHEAADLARASAVCSSFRRAACDRGFLRRFRSLHPPPVLGVLDFRNPGLLLHAEPPHRSAPAARALAQAADFSFSFVPKPRDPKYSDRDWRVWDALDGRVLLWNATFEDLGFLYGRYRNTAFEHLVVCDPLHRRNNTLDLYCKTWWDNDDGAEDWQHKIIPLPELGYQWYIKCAADGYLLLKVIRKGSQIPKQVFYILEVKTLLFERLRVYVSDMNFGPPSFFASFPPPLSLPTI >Sspon.03G0003850-1P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7A:14353340:14354595:1 gene:Sspon.03G0003850-1P transcript:Sspon.03G0003850-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MWHLKLIAFLYGSLFFMHLPYARGSDLNTDKQALLAFAASLPHGRKVNWTSTTQVCTSWVGITCTPDRTRVREVRLPAIGLFGPIPSGTLGKLDALEVLSLRSNRLTINLPPDVPSIPSLRSLYLQHNNLSGIIPSSLSSSLTFLDLSYNSFNGEIPSEVQAITELTALLLQNNSLSGPIPDLRLPKLRHLDLSNNNLSGPIPPSLQKFPATSFLGNAFLCGFPLEPCPGTPPPSPSSPSPQSGKRSFWKKLSLGVKIAIAAGGGAVLLILILILLDLLRASAEVLGKGSYGTTYKAALEDGTTVVVKRLKEVVAGKREFEQQMELIGKVCQHQNTVPLRAYYYSKDEKLLVYDYVPL >Sspon.06G0030080-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6C:41195612:41196052:-1 gene:Sspon.06G0030080-1C transcript:Sspon.06G0030080-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLFPIAPTPVFLLLSPDASILHSANTSLHTTLSPSATPCPHASLPGGGPVLARLAPQQEPCARTPRSSVAERLLPLGCAASTPSCRGGLRPQLWRVLRPCVLATPPPCRPRRPQRDGPTLASTPSSALALVAPRPSTFTRAPTSA >Sspon.03G0023130-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:70728336:70731129:1 gene:Sspon.03G0023130-1P transcript:Sspon.03G0023130-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DRMHLAADLPPHRGRGGPGAGPIALASALLRRENHRLRALACGAVLTSALLLVATPRFRHTPALHLFADMRNLLGVPNTLNVLTAYPLLLAGVPGLVLCICGSGCFGCSLRWEALGWFLFYAGNVAAAFGSAYYHLKPDDDRLIWIRVLDDMRLWVILNFVPCIAIPAMLFLFPPKYTHSRFWFLGAGFYLLARFEGLADRKVYSVNRYFISGHSLEHLCFSLVTFILTVMLSFRNIKIHRD >Sspon.04G0004000-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:40031580:40038566:-1 gene:Sspon.04G0004000-3D transcript:Sspon.04G0004000-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGSDPVRVELTRLENELRDKERELGEAQTEIRALRLSERAREKAVEELTDELEKMAEKLNLTESLLESKNLEVKKINDEKKAALAAQFAAEATLRRVHAAQKDDDMPPIEAILAPLEAELKLSRQEIAKLQDDNRALDRLTKQKEAALLEAERTVQIAMAKAAMVDDLQNKNQELIKQIEICHEENKILDKLHRQKIAEVEKLSQTVRELEEAVLQGGATANVVRDYQRRFQEINEEKRTLDRELARAKVTANRVASVVANEWKDSNDKVMPVKQWLEERRFMQGEMQQLRDKLAVAERTARSEAQLKEKYQLRLKVLEDGLRGPPSGSSRPPTEGKSFSNGPSRRLSLGGADNISKLSPNGLLSRRSPSFHSRSSLSSNSSLVLKHAKGTSKSFDGGTRSLDRGKVHGNGAHLLNRSTDAVRDKETNDSWKGDMDERTNEIADSNADEKSNETTNNNSAETVSGFLYDMLQKEVISLRKTCHEKDQILKDKDDAIEMLAKKVDTLTKAMEVEAKKVRREVAAMEKEVAAMRASKEQEIRAKRLGTKGSGSSQLLPGRNAPRSGSMRNFQ >Sspon.01G0011640-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:31439648:31443512:1 gene:Sspon.01G0011640-2C transcript:Sspon.01G0011640-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFSCARGGDSSSLLCEEEDVGDIFGCNGDEEELPVLGMDMAFAALPSQSDEVVASLMEKEKEQLHSVATEDYLQRLLSAGGLESSCRIAAIDWIKKATAYYYFGPLSAYLAVNYLDRYLSTNQIPADQPWMPQLLSIACLTIAAKMEETMVPRRLDFHDEDSNEKYTFELVTIQRMEIHVLASLNWRMQAVTPFSYINYFVDKFTEGKPLSCGFISRCTEIILGTLEAMKFLQFRPSEIAAAVVLSAAAESYVIVFSSAFLASDIPVSKENVKRCHEALQEVGLVKKKTDYGVMSPSRVLDAACFSFSFKTDDNQTAVSRTFFNDDLRKKDG >Sspon.01G0031240-1P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1D:107373753:107376664:-1 gene:Sspon.01G0031240-1P transcript:Sspon.01G0031240-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRVCQSLDYPAGDDVFEKLEKKYIKVVQWSRSDRKLLFDMVNTILSQILAPCLNMQPWVNTTRNLAPLWGSEGLLEKVLLVLVQRREQLAPSETKPEKKGFDTKWPDLADCIERAGRDIERMIKDDLLEELVLEFLCS >Sspon.05G0038700-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:52705081:52709713:-1 gene:Sspon.05G0038700-1D transcript:Sspon.05G0038700-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKGGSTSSAAMLARLLPLLLLALASNSYDGALGSHRSAGGASLRDKIVDRSNGDVAVDSYHLYKGMGMDAYRFSISWSRILPNGSLSGGVNREGIRYYNNLIDELLLKEPYIVCHHQILAHAETVRLYKEKYQVEQKGIIGITLVSSWFVPFSRSKSNDDAAASSWLFIYPRGFRELLLYVKENYGNLTVYIIENGIDEANNKNLSLEVALKDDTRIEFHHTHFLALQSAIRDGANMKEYFAWSLLENF >Sspon.03G0012870-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:52657197:52659690:-1 gene:Sspon.03G0012870-1P transcript:Sspon.03G0012870-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAKTLARAGSSLFGRILATPSPSLSLSVLRAGLPLARLQPHVPPPAPAGVDAYEAETIARLNSLPGEISFPCGLPSLRFFIDDVEDPVANDPLQLLPKRTYQPSTIKRKRTHGFLTRKSTKGGRKVIARRIAKGRHRISV >Sspon.08G0007170-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:21900586:21919291:1 gene:Sspon.08G0007170-1P transcript:Sspon.08G0007170-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASELEASRGHRGSADVRKESLHTMHILIGKVGSADALAFFLPGIVSRLGKVLYTAKTMISGAGSSLSIEQAILGLTEALMIVLNDKENLSALAVSEAAQDALDYLFNQRHNLVIAARFLDCLGLCIGHSSQFSGSIDKLIVSKPLSVGYLYSVAELKSGAYAKDTTNKFLHAMSTSAASKISVIHDNALPNALLGTVEYELPHVPPCFFHAGNQKLYGVLAAIIRLVGLSTVSGKGTAASLSLFVDILLDQFRRLSTELRDKDIYKDGLQRWYMKSEAGKTLHQASSAVCMLNELMYGLSGRSLGMFLQLFNNRSAQMSRTVCQKNQLLACVKHNGVINEREMWGFNEQKGTKDNILHCIGSILHEYVSPEVWDLPTEKDTELRLIELNLPLHFYRDTTALHTVGQFVVANADYIIDSLCRQLRHLDLNPHVPDVLASMLCYIGASRDILPFLEEPMQAVSSELEVLGRHDHPHLTVPFLKRLQLARIDTFSSNMSSPNEAQSLYVKVSSEGQEVKSMIKKRMESSAISGIPQGEPGQVGVRARRLPRGQRHLLVNIRRRRGGGTGSTASRSSAGASSGDRDNSELERLRRDREALARELMRLRREQEEARAQLLDMERRVRGTERRQEQCTAFLARAIANPAFLDGLLARFGRGGAHVEAAGRKRRLLDVAAARRTQR >Sspon.02G0002670-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:7723325:7727418:1 gene:Sspon.02G0002670-2C transcript:Sspon.02G0002670-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Xylulose kinase 2 [Source:Projected from Arabidopsis thaliana (AT5G49650) UniProtKB/Swiss-Prot;Acc:Q949W8] MSGRGSLPEGSLFLGLDSSTQSLKATVLNNELTIVASEFVNFDSELPHYKTEGGVYRDPADDGHIFSPTIMWVEALELLLEKLKPNINFGKVVAVSGSGQQHGSVYWKKGSHAVLSSLDASKSLLSQLKDAFSTMNSPIWMDSSTTKQCREIENAVGGALELANLTGSCAYERFTGPQIRKIYQTEPDVYEDTERISLVSSFMASILVGSYASIDETDGAGMNLMDINQRTWSKSVLEATAPGLEAKLGNLAPAYSAAGRIAPYFVERFQFDKNCLVIQWSGDNPNSLAGLTLNTPGDLAISLGTSDTVFGITAEAKPSLEGHVFPNPVEPDGYMVMLCYKNGSLTREVCMPLCHYYIADDTYILVSDVRNRCADKSWDVFSNYLEKTPPLNGGKLGFYYKDHEILPPLPVGFHRYAVENLNDVSSDTLLEREVEEFDPPSEVRAIIEGQMLSMRGHAERFGMPNPPKRIIATGGASSNESILKSIAQIFGCPVFTVQRPDSASLGAALRAAHGWLCNAQGSFVPISCLYQGNLEKTSLGSKLVVPAGDKEEDRELLQKYTLLMRKRMEIERRLVEKIGRS >Sspon.04G0026290-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:41725268:41729139:-1 gene:Sspon.04G0026290-1B transcript:Sspon.04G0026290-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASCSSFYFVPLLLLLMLLLASPPATAALASSESSGNATTGTLRPGKELLKHKRIRALLTKLNKPALKTIQSPDGDIINCVPSHLQPAFDHPKLRGQKIMDPPERPKNCNFTLGSSSGNSRVGEVVVQAWHATGEACPEGTVPIRRTTEKDLLRASSLRRYGRKPVRRGVRRDSTSSGHEHAVGYVNSEHYYGAKASVNVWSPRIGDPSEFSLSQIWVISGSFGNDLNTIEAGWQVSPELYGDSNPRFFTYWTTDAYQETGCYNHNCRGFVQTTNKIAVGAAITPESDPKHGHWWLELGPGLVVGYWPSYLFTHLARHANMVQFGGEVVNTRPSGSHTATQMGSGHFPREGFDRAAYFRNLQVVDWDNNLIPAANLKLLADQPGCYDIQGGSNSYWGSYFYYGGPGRNVKCP >Sspon.04G0022550-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:12501855:12502292:1 gene:Sspon.04G0022550-2D transcript:Sspon.04G0022550-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AMASALPQEQQQHQQQARPRPRPMHAGGGGANGRHHAYSRKDKSLGLLCSSFVVLYNRDDVESIGLDEAAKCLGVERRRIYDIVNVLESVGVSSFSFCLSGIQFLISYAVCSGYEV >Sspon.02G0045080-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:107183514:107186752:1 gene:Sspon.02G0045080-2C transcript:Sspon.02G0045080-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDLPNHVLEDIFLHLEDAADLARASAACASFRRVVSNDRFLCRFRSRHRRPVLGLLENQGGGKIGFYPIQSPRRSSLAAGACAEAADFTFGFIPGPKCCWRVRDARDGRVLLSRYKRLWHALNRRPPLLVVCDPLHRRHVEIPPIPDDLLDAAASTEHGGAPDRPTRPFFAPAPAADEEVDELSFLVICNALSVTKLVAFTFSSASGQWRAVVSFSNPNYVLKNLCSLWFRQPAHGLFYWTNFSETFMLVLDTREMKFFVISDIPHKSYGQSKLVVEAAGEGRLGLLLLLEDKLELYSRAWQGNNGGVVVPEPADQEWRHDKTIPMFAGLWNLSGTANGYAFLRWLPRDHVELWAEAHYFTVDLKTLLVERLCVLEFSNVSKFLYASFPPPLSPPTHSAMRCHFGGGALS >Sspon.02G0042590-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2B:84998103:84998654:-1 gene:Sspon.02G0042590-1B transcript:Sspon.02G0042590-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAASSRFFTTALVMMALIMLGGSTTCHAARRLADDTTTAPAAAPAAVPGIPAVPKPTVPAATLPPMPAVPTVPAVGAVPPIPALPKIPAVPAVGAVPPIPAVTVPAVPTVPNTAALPPIAMPAVPATVPKVTLPPIPAAAVPKVGALPPIPAAVPKLTLPPMPSTIPAGVPMPFVAPPPSA >Sspon.06G0021390-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:15681279:15684618:1 gene:Sspon.06G0021390-2C transcript:Sspon.06G0021390-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytokinin dehydrogenase 7 [Source:Projected from Arabidopsis thaliana (AT5G21482) UniProtKB/Swiss-Prot;Acc:Q9FUJ1] MMLAYMDRATAAAEPEDAGELSPATMAAASAGDAMAATRVAAMDFGGLVRAVPAAVVRPASADDVASAIRAAALTPHLTVAARGNGHSVAGQAMAEGGLVLDMRSLAATSSSSSRRGGAQAQMQLVQCPSEGGGGGGGHCCFADVPGGALWEEVLHWGVDNHGLAPASWTDYLRLTVGGTLSNGGVSGQSFRYGPQVSNVTELEVVTGDGECRVCSRSSHPDLFFAVLGGLGQFGVITRARIPLHKAPKAVRWTRVVYASFADYTADAEWLVTRPPDAAFDYVEGFAFVNSDDPVNGWPSVPIPGGARFDPSLLPAGAGPVLYCLEVALYQYAQHRPDDEEHRPDDEDQGVASLSRRMMAPLKYVRGLEFAADVGYVDFLSRVNRVEEEARRNGSWDAPHPWLNLFVSARDIADFDRAVIKGMLADGIDGPMLVYPMLKSKWDPNTSVALPEGEIFYLVALLRFCRPGGPAVDELVAQNGAILSACRANGYDYKAYFPSYRGGEAEWSRHFGAARWRRFVDRKARYDPLAILAPGQKIFPRAPAGRPSRAV >Sspon.03G0017470-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:74350749:74356307:1 gene:Sspon.03G0017470-3C transcript:Sspon.03G0017470-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFQDLEAGHVRGAPLGGGRRNGRGPAGAAGAGASQAVASGVFQINTAVATFQRLVNTLGTPKDTPDLRDRIHKTRQHITQLVKDTSDKLKQASEADHRVEVSATKKIADAKLAKDFQAVLKEFQKAQRLAVEREAAYAPFITQAGLPQSYNSSEVNNGADKLAEQRTQLLESRRQELVFLDNEIVFNEAIIEERDQGIQEIQHQITEVNEIFKDLAVLVHDQGAMIDDIDSHIENAVIATSQAKGQLSKAAKTQKSNSSLICLLLVIFGVVLLIVIIPGMNPGIRLEVSRR >Sspon.08G0020270-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:19516858:19518452:-1 gene:Sspon.08G0020270-1T transcript:Sspon.08G0020270-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKENLDVGATATVFGFAALLGGLFLPKKAKHPGNAVRLTVSLLLSLATFLSGKALVLLSLNMMDRQALVSGSHRVAAKCLVAACAVLSVLTLLSLLALWSGGAYLYIGLSVVTAVTLSAAGAHWWLLRRRNGGGGGGEAGAVYDDDEDKGKEELDAAAKTTCGITNSAFGGLVGVLFSASSKVSGVAAVGSVESAAHAAIFFTFATAILGVFVMTVSKVVTNQRRGCRRRLLAIATAMRLANALLLCSLACAAFAAAFVVLRYRVFAAFAPLVLAAVLCFLLRHCVVVRHDRDGEKARIKALEDIASKVTAATLGGILTILGGALGEEDRDKRGSTSTGVLMVVLTSAFVSGFGFMVLAAAPGSATAILAPVARVLVWSTVALFTATAVAVYGVE >Sspon.07G0020330-4D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7D:68139985:68143924:1 gene:Sspon.07G0020330-4D transcript:Sspon.07G0020330-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MACFSSSTTKASLKFGAKAFARNCLPGYLHSVVSFPVSRTLDGGLCSPIRVENENRAYGSNLNFGALKGRHLNTTLSSTNGHVKVPGKIRFGAVLGYTKFYLLSLIKQREERVTVLVIGGGGREHALCYALERSPSCDAVFCAPGNSGIAQSGDATCIPDLDITNSENVISFCRNWGVGLVVVGPEAPLVAGLANDLVKAGIPTFGPSSEAAALEGSKDFMKKLCDKYNIPTAKYQTFTNPADAKQYVKDQGAPIVVKADGLAAGKGVVIAMTLDEAFEAIDTMLIEGSFGSAGSLVIIEEFLEGEEASFFALVDGETALPLESAQDHKRVGDGDVGPNTGGMGAYSPAPIVTEELKKKVMESIILPTVEGMAAEGCKFVGVLYAGLMIEKKSGLPKLIEYNVRFGDPECQVLMMRLESDLAQVLLAACRGELGKVSLTWSPELATVVVMASEGYPGPYKKGTVIKNIDEAEQVSPAVKIFHAGTAFDTDGNFVAVGGRVLGVTAKGKDIEEARAKAYDALDVVDWPEGFYRHDIGWRALKNQHLAAH >Sspon.05G0008500-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:27546619:27552336:-1 gene:Sspon.05G0008500-1T transcript:Sspon.05G0008500-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMDMRRHSVSVDVPLSRTLVQLKRVRSLRDPATNSMSKYASPSDHMIWETASSNGVTLELGRSAHHHLVEEDEDVGAEPTMGSERSFRGPNARTASYRKSSTVRIRGLNPPRNKQVHRVRQDGHRKSLDSNHSNHSSIRQLANNMVNNVDADKEEEEVNSYERPKFAMPDKADEEVKMPDYSKFRSKSSAAMSRVGSPCMSASEARSVGSRRSTLGHGTEDTRLRSNDVVGSNFSGCGISYCWSGASKYRELYSDSDGPDQPLLSTDGTEAAFQGNVPYTETPRCLSQKFRPRSFSELIGLNVVAQSLLYSSCKGKVAPMYLFHGPRGTGKTSTARIFAAALNCVSLEEQRPCGFCKECVILFSGKSRDVKELDAAKMDRLGRVKALLKSASLVPYSSRFKVFIIDECHLLQEDAWSAILKSLDEPYRHTVYIMITSDIESLPRTSITHCQKFHFPKIKVADIVYRLEKICIEEGLEFDHDGLYFIAAKSNGSLRDSEIMLDQLSLLGKKITISLVHELVGSVSDDELIELLDLALSSDTTNTVRRARELMGSAIDPLQLVSQLANLIMDILSGRCQSALTEVSKSFLGRYSLSEVGIKKLRHALKILSETEKQLRTSRNQATWVTVALLQFSTNEPNLAAEPNDMHAHSVTGYTDDWVSKVHSSANFCQACNSSKSNCSERHCRRLKLENIWRRAIGKCRSRSAKSFLRKEGFLSSVHVTEELAIAEVGFGHPDHLSRAEKMQSLIECSLQHVLGCNVEIRFKLVPCPVRKDARLKRQSFSFLNCSGRKQELSDSVVTDEDEAVRPGARETPLKGYTSSQQESPYTMQRADSKPTVHGCEDDARSTLTSNRSMTDDLTRTCRSETNYSKGHFNSIQEPDLQPNCFSRTLKLQKKLLSSGAAHTICLRIQPHNKMDFLPKKEFDTYFCAYEPYEQCSRSNSRATYSSRDDDLWSKNSRFGSNLSAGGPQNNPCNRGKDEHTRWNMEDSNSAMTFTNFARSWRHGHHLRGQFLKESPGGVCVILVLGRQLRNYLVIFSWKCRSFIMLLVGSCTARQ >Sspon.03G0000950-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:2615896:2617506:-1 gene:Sspon.03G0000950-1A transcript:Sspon.03G0000950-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTSEQPCTSTPNLFTASSYGASQQIHHLLPQHDSVICTEPGLGFPYYCGTDQQDAAFDGDGVELGFQVSNKATRVDYYSSPYQPSWPLARAAAAESSRVRKQRFRDVLESCKQKVEAMEAMESPVAFQEGEDGVAVGDGCGAGAAAGCGGGSGGGADGMRLVQLLVACAEAVACRDRAQAAALLRELQAGAPVHGTAFQRVASCFVQGLADRLALAHPPALGPASMAFCIPPSCTGRDGARGEALALAYELCPYLRFAHFVANASILEAFEGESNVHVVDLGMTLGLDRAHQWRGLLDGLAARAGAKPARVRVTGVGAPADTMKAVGRELEAYAEGLGMCLEFRAIDRSLESLHIDDLGIAADEAVAISSILELHCVVKESRGALNSVLQTIRKLSPKAFVLVEQDAGHNGPFFLGRFMEALHYYAAVFDALDAALPRYDARRARVEQFHFGAEIRNVVGCEGAARVERHERADQWRRRMSRAGFQSMPIRMAARAREWLEENAGGGGYTVAEEKGCLVLGWKGKPVIAASCWKS >Sspon.08G0007070-3C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8C:22558698:22560636:1 gene:Sspon.08G0007070-3C transcript:Sspon.08G0007070-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MESVVAALPAGGAAAAAAALGGLVAAAALAGKAGLAGPKKHLNAPPAVPGLPMIGNLHQLKEKKPHQTFTKWAEIYGPGRWCVCRSRKMRFLKITVGGLEGEYKYIPYPLI >Sspon.05G0027750-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:56275492:56288012:-1 gene:Sspon.05G0027750-1B transcript:Sspon.05G0027750-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSILQQRHSHLRVTLRLIIILCSLLSSSNAQSCKSSGSNLALADSSLTWTTVDYDRVNQGYLFLTDSGTLLLGTQSAIPQEETLSSYRNDAESPTASRSSSLIVAILPAYDFSGNQNRDMSIVLPPDSWSQQNTSGSTDSSPQMGSCTWPLYAAASTACVQIPIDPGRNTVEAFLAYDPLGKRLSVSVGDAARNPEAPYAVATSMAFDLGEMLAGDRVRGQIGLFSSVGQLAQLQSWNLTVDRPLPKQGSQKWVVILSSVLGSVAATLVAAAAVYCYLNSKYRRWKKELDELAKTMQSLPGVPMHIDFADIKKATNNFDDTMKLGRGGFGAVYGCTLPAAASRTGQAIKVAIKKFTRELQDLRYQDFLAEVSVINRLRHKNVVPLVGWSYNKGEPLLIYEYMKNGSLDQHLFRSGGGFGQGRQQQDTAFGQWHTRYGIVRDIATGLHYLHHEHEPMVLHRDIKASNVMLDSTICARLGDFGIACTVAADRSSITGVAGTIGYIAPESVYNFRAARQTDVYALGVLILEVVSGRKNSDVPAEDGHISLWARRLHQEGTLLEALDGIIVFSGKDQSHVVEEAKRLLLLGMACTSLNPSSRPSMTDVLQGSRSAGPDINISSVNPSLAVVVVPWNLVPTPE >Sspon.05G0023440-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:15902595:15903548:1 gene:Sspon.05G0023440-3D transcript:Sspon.05G0023440-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDANRRQSGIQQLLAAEQEAQQIVNAARAAKSARLRQAKEEAEREIAEYRAQVEAEFQRKVAESSGDSGANVKRLEEETATKIEQLSQQAASISPEVIQMLLRHVTTVKN >Sspon.01G0023880-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1A:85678498:85678719:-1 gene:Sspon.01G0023880-1A transcript:Sspon.01G0023880-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding PLAFALPSPPRGLMGPISARAEEKQQEEDLDPEARGDDVQAAVRAGAARGSSARLSDGTTSTAAPSSRRSGTA >Sspon.06G0020050-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:5820358:5822965:1 gene:Sspon.06G0020050-3D transcript:Sspon.06G0020050-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNPEMQRFLQQEQQKAMMNEMVGKLTSVCWDKCITSAPGSKFSSGESTCLTNCAQRFLDMSVLIAKRFEMQ >Sspon.08G0004520-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:12872862:12883012:-1 gene:Sspon.08G0004520-1A transcript:Sspon.08G0004520-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPGPAIKVDLGGRNFVRFMPVHLPFDGSVLILMLIRAPEVTGFGCPVGLFWKQGSPSVKWADQIRQLHTFSSLLKQKPPKTSPTKSRGPMAVSMREVDPVFQGAVILFFEQTTALKNGSFRHDIHYWLGKDTSQDEAGTAAIKTVELDAALGGRAVQYREIQGNETEKFLSYFKPCIIPEEGGVASGFRHAEVNEREHKTRLFVCRGKHTVHVKEVPFARASLNHDDIFILDTKSKIFQFNGSNSSIQERAKALEVVQHLKDTNHDGKCEVAAVEDGKLMADADAGEFWGLFGGFAPLPRKTFSELNGKDSTSTSKLICVNKGQSASIDCEILKRELLDSSKCYLLDCGSEIYVWMGRETTLEERKQGGSAAEELLREGNRPKSHIIRLMEGFETVTFKSKFDKWPKKADAVVSDESRGKVAALLKRQGFNFKGPAKAAPVKQEPQPQIDCTGNLQQCKFYSGDCYIFQYTYPGDNGDECLIGTWFGKKSVQEERSAAISLADKMIESLKFQAVLVRIYEGKEPIEFFPIFQNLVIYKGGTSTGYKKFVSDNGIEDDTYSENGVALFRVQGSGPENMQAIQVDTAASSLNSSYCYILHDGDTVFTWIGNLSSSMDQELAERQLDVIKPNLQSRMLKEGSEYDQFWKLLGVKSEYGSQKIVREIFNFTQDDLMTEDIFILDCHSCVFVWVGQRVDTKIRAQALNIGEKFLELDILMENVSRETPLYVITEGSEPQYFTRFFSWDSAKSAMHGNSFERRLSIVKDGKPKRRPTTSTHTGRSSVPDKSQRSRSMSFSPDRVRVRGRSPAFNALAANFENPNARNLSTPPPAVRKQLPKPVSPDSSKLPPRTASIAAISSTFERPKATLIPKSIKASPDASKPKIEASKPKLETKAKEINPTKDSQIATPTVEEDVKESQADGQAGHPIYPYDRLRTSSTNPPTDIDVTKRETYLSSSEFREKFGMTKEAFGKLPKWKQNRLKIALQLF >Sspon.08G0004460-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:12713103:12727400:-1 gene:Sspon.08G0004460-1A transcript:Sspon.08G0004460-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VDLGGRNFVRFMPVHLPLDGSVLILMLIRAPEVTGFGCPVGLFWKQGSPSVKWADQIRQLHTFSSLLKQKPPKTSPTKSRGPMAVSMREVDPVFQGAGCSCSQGIIWEFFTGDSYIVLKTTALKNGSFRHDIHYWLGKDTSQDEAGTAAIKTVELDAALGGRAVQYREIQGNETEKFLSYFKPCIIPEEGGVASGFRHAEVNEREHKTRLFVCRGKHTVHVKEVPFARASLNHDDIFILDTKSKIFQFNGSNSSIQERAKALEVVQHLKDTNHDGKCEVAAVEDGKLMADADAGEFWGLFGGFAPLPRKTFSELNGKDSTSTSKLICVNKGQSASIDCEILKRELLDSSKCYLLDCGSEIYVWMGRETTLEERKQGGSAAEELLREGNRPKSHIIRLMEGFETVTFKSKFDKWPKKADAVVSDESRGKVAALLKRQGFNFKGPAKAAPVKQEPQPQIDCTGNLQQCKFYSGDCYIFQYTYPGDNGDECLIGTWFGKKSVQEERSAAISLADKMIESLKFQAVLVRIYEGKEPIEFFPIFQNLVIYKGGTSTGYKKLVSDNGIEDDTYSENGVALFRVQGSGPENMQAIQVDTAASSLNSSYCYILHDGDTVFTWIGNLSSSMDQELAERQLDVIKPNLQSRMLKEGSEYDQFWKLLGVKSEYGSQKIVREIFNFTQDDLMTEDIFILDCHSCVFVWVGQRVDTKIRAQALNIGEKFLELDILMENVSRETPLYVITEGSEPQYFTRFFSWDSAKSAMHGNSFERRLSIVKDGKPKRRPTTSTHTGRSSVPDKSQRSRSMSFSPDRVRVRGRSPAFNALAANFENPNARNLSTPPPAVRKQLPKPVSPDSSKLPPRTASIAAISSTFERPKATLIPKSVKASPDASKPKIEASKPKLETKAKEINPTKDSQIATPTVEEDVKESQADGQAGHPIYPYDRLRTSSTNPPTDIDVTKRETYLSSSEFREKFGMTKEAFGKLPKWKQNRLKIALQLHRHSQSLVVNSEV >Sspon.06G0001600-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:5101524:5110246:-1 gene:Sspon.06G0001600-1A transcript:Sspon.06G0001600-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSCSRMENVRISPVTNSMLWDHLSLNMHLYVQAQEQTQEVKDDEAPKEVSGREKSSDVLPRSKCQTHSEHTIESSAASTRRRNKREWKGIGWEGNEKFPLRNVLTDILHGEEKRSQKPIEIRHPPSKQQNGRKRDRDDEPQQTKRDVSSRPMLGGGASRRLLQFAVRDAVKAVQPTSTSAEPASKRLRSVVATTSAENMRDRRSERSQDYPNDRRSERSQDNLSDRRSERTRPMLQVQGAALALRAAAEAAADSTKVRSTGSVFKRLGQGNVVKQPSRSREEKRDYEDFEPVTTADEHDSDRYVNNEESEEESGELTMADRVAGMNVDSSSEDDMDRDEGITRYQTSLSHEDTFSPFAEKKTVSAQCSVEPETNAIRPSSVNDKEQPVPSSTKTASKTVAISVDVNMVEPPSYETPKDVHVVEKPYVAPMNSNATIVATNAKELGHAEVQKDSQRSAPAVPVSYSTAHPTEDADSRTLYVSNVHFAATKDSLSRHFNKFGAVLKVVIVTNAATGQPTGSSGEVLMRQLTFMVGLVVDGHHYMAGMVGWHTQELFSLAVPLEGVLR >Sspon.03G0038950-2D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3D:3512758:3513207:1 gene:Sspon.03G0038950-2D transcript:Sspon.03G0038950-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GHWDALPRLLLSRPPPISSIRSPRHNPERRLRLVEHHLGPSVLATSSGAACALCSPGVPATVAAVLLRHSPPMFFTAEEAVAGKALRELVAYPFLYAHESRLLGLKVMCSRLLPPSDFVIVCYTFGLIIQLAFFSGPEGCCSTAPWHRKG >Sspon.04G0002570-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1B:115421957:115423468:-1 gene:Sspon.04G0002570-2B transcript:Sspon.04G0002570-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At1g05750, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G05750) UniProtKB/Swiss-Prot;Acc:Q9MA50] MTQGSLALAQAVLEEKTKRKHMAVAAAPSLALPPPPRSTKESQRTPRHAPRDVVSWTSTIARAARQGDLHAAAASLCAMLSSPAAPAPNDVTLLTVLSACAGSPSSPLARPLALTLHARALKLFPSHLLLSTCLARFYLASRLPHLALQLFDSMPIRSVVTYNTMISGLMRNGLVDAAFEVFDGMPGPDKVSWTALIDGFAKNGRHDEAIDCFHAMLLDGVKPDYVTLIAVVSACAEVGALGLGMWVHQLVLRQGLERNVRVANSLIDMYARCGQVNLAVQVFRSIRKRTVVSWNSMIVGFAANGRCTDAIELFEEMQRQGFKPDAVTLTGVLTACSHAGLTEHGLRYYDLMTTEHGVPARMEHYGCVVDLLGRAGRLDEAMHVVETMPIQPNEVVLGSLLAGCRMHGDLDMAEQLMQHLFELDPGGDANYVLLSNIYAAVGKWDGAGKVRSLMKTRGVKKWPGHSNVEIDGDVHEFVSSDRSHPQAGEIDQMLGLLRHEMAVY >Sspon.04G0017650-4D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4D:73085104:73086809:1 gene:Sspon.04G0017650-4D transcript:Sspon.04G0017650-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFIKYYLLGGAIELSILSEYYGREIAAYDIQTSRCDLYGQEKNYSERAMLIYDGLHYDALAMSPAEGAPEEFDQTIFPVNHNRSIGPAEGLALNLVKEAQRYLTIAI >Sspon.07G0022270-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7B:7060350:7060604:-1 gene:Sspon.07G0022270-1B transcript:Sspon.07G0022270-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MCPAGCPENTRLACTPGTSKTNPFHSRQGVQVPVQARTLSPQHCRPGLSMVLRPPQPNIYNHPKKPVRKEPEPMTGEKQVFPLP >Sspon.03G0020300-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:63078310:63080064:1 gene:Sspon.03G0020300-1A transcript:Sspon.03G0020300-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding TMSSSSPPHVFLVSAPLQGHVNPLLALGRHLASRGLLVTFTTAPHAGLKFGHGDGATVDVGRGTIRFEHLKGGALWAPDDPRYHDPTGGVMRHLEEAAPQVLAELIRGQSKAGRPVSCVVANAFAPWATRVASGMGVPHAMLWTESCAVLSLFYHYFHSLADFPSREAGPSATVAVPGLPPLAAADLPALIYAPEEFLWRQVLIADLRSLHETVTWVLVNTFDELERPTIEALRPHLPVIPVGPLCSGTENHGGAHDDDDCVAWLDAQPPRSVVFVAFGSLLQISREEMRELAEGLAATGRPFLLVVRDDNRELLPDDGDDCLAAATGSNSRGKVVAWCEQARVLSHGAVGCFVTHCGWNSTVEALASGVPVVTYPAWADQPTNAKFLADVYGVGVRLPKPMARDALRRCIEEVMGGGPESVQMSGS >Sspon.04G0003290-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4D:10722531:10722720:-1 gene:Sspon.04G0003290-2D transcript:Sspon.04G0003290-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding WISTFSSLSFCSRAGPPGAALRFHCGHWTVSSCGCHSSSSSPPRAYLRGTIFFLFGGLLSKVL >Sspon.01G0028310-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:99022425:99024744:-1 gene:Sspon.01G0028310-1A transcript:Sspon.01G0028310-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMLHETVPFVRPSSCRDLKLSENSASVFHCKLGCSAVCSSAEGHHVQKSPIFHSHKVNFTRTSHLLCKSLNERTTRHCLNRFHVNASPDDEFRSSRNIAISLFKRYKKIIDRGGGGENLKEFVSAGVTAYALGCTDEGLRKELMDIEDSGLEIEGLGSLGGPARSSKSTLLSIVFITILCTPQLTVTRWSTNPPVSADVLHQWKGFCAIIANAYYVKGMAWLPVKTLQLEQMAVTGNSEEPSVVASRMQLVFSTLEVVSPQWPRV >Sspon.06G0020640-1P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6B:10505065:10505700:1 gene:Sspon.06G0020640-1P transcript:Sspon.06G0020640-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFHLRSVSLPSKRFSNEAEVEAELQSLEAAVSSPSATIEAMCNGLRRLGDVYSHIEEIIHFPSNQACTIQQRKELDEEMESSLELIDLCNAMQENLAELKATIQDLLVVLRRGDDASIQAKIQSYIHLVKNAQKQFKKASKKTTTTTSEKEECKLVRLLLKARLVAASLLDSTLCLVSKQVAMPKRSLVSKALQKRSVVVCKEDQLQALEC >Sspon.08G0002260-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:5163752:5167115:1 gene:Sspon.08G0002260-4D transcript:Sspon.08G0002260-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDVPCHTCERHYPAPPPSVAMVKATDKKKTKKKSLGTIYEEGSPESANGDTGALALPETGYTGALELPETGLGLSLNTDGVLKAWRGRGSVFADGNGPDLPLSSAHVVVKHEDSDLFPKNGTSAVIREGNILKMQRKQKPCTPLPSNKQSRYYRPRVKRYSAAGVEMPVEWQVVIMGI >Sspon.02G0042720-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2B:86213196:86216696:1 gene:Sspon.02G0042720-1B transcript:Sspon.02G0042720-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRTLLQVNMLVLADAVLAGVIVAIGAFAQRYRHHPITSTNTNDYVNNKNLATLAASCHGGFHYFTAVSWAFLIQITMINTSVIVAVDDREGRNKGPPIQLLFQCLGPSTLARQSFAIGRNPRLIYGHMAQQQRQEAIQPNGPLVWSSEAVVDGEVKIFVTARSSVLALGCSVRVEVGCMVAVTRVNRFLVRLGPERPLAERLQRWAHQACWWMRSLPFPSPRRRLRGLPDLDRNVKIPNAVKASIIHILRSYRHEWNRLGNGMEFLRKSTSDTILTWHIATCILELDNPAYQDGQEQGFPPNLNHKVTATHLSRYCTYLMDWSPDLLPDDGEWSKSLYETVKEDAKRALVGFNALAPESEYEQVV >Sspon.02G0009870-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:26710264:26711727:1 gene:Sspon.02G0009870-2B transcript:Sspon.02G0009870-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMDIAHRDYLLATLHTFLGVATLLLCVLAELFIFAFRCRAALYLLPVAAQLLFNRFRSRAAAATEDIGLVDFSCLKPPRRLRLPVAGLLEHLRLIGCFDDGSVEFMAKVIEASGMGNETYFPPSLHYIPPAATHADAIQEARMLFFPTLDDLFAKTGVPPSRVGALVVNCSGFCPAPSLAAIIASRYRMRADVRTFNLSGMGCAAGVVGVDVARRLLLTHSISYAVVVSAEIVTVGWYSGKDQGKLLLNCYFRTGCSAALVTNSTATVPVKYRLASLTRTNQIANDRSYRSGYRDEDDEGITGFTLGQGVGRMVSELLRAHLVTLSLSILPWREKLRYALALLLSSRSRRRRLAGGGDNKLARPAVPVPLPDFREAADHFCLPSSGRPMILRLGQGLGLGEREMEAALMTFHRFGNQSAASLWYQLAYLEAKGRVRAGDTVWQLGIGSGLKANSLVWERVAGHEDEGERELGPWKDCIHRYPVKET >Sspon.08G0006170-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:19463850:19466512:1 gene:Sspon.08G0006170-1A transcript:Sspon.08G0006170-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RRRRSQDSFFLTYPSFVFALPLLVVWFVVAVEARDPRFLWILFSFSIAADSLPCDSTSGGVGRAAAVPGLGGPLSVYGTCRPGATPRPGTEALSKALLACPSDSAGAHCGSLLFDSCSPLIDFWTAMMVPRTVLLLCCCLLVFPPPGTPAVEAFVGGYGINYGRIANNIPSPDKVVELLRRAKIRNVKIYDADHSVLDAFKGSGINLVIAIPNELVKDMAANSSRSMDWLNQNVQPYLPQTRIVGITVGNEVLGGQDQSLYQPLVDAVKNVYDGLKRLHLESKIELFTPHSEAVFATSYPPSACVFKEELMAYMKPLLDFFAMIGSPFYVNAYPFLAYISDPEHIDINYALFKPNKGIVDPNTSLHYDNMFDAQIDAAYAALHAAGYDDMEVRVAETGWASSGDQNEAGASSENARTYNFNLRKRLFLRTGTPLKPKRPVKAYIFALFNENQKPGAGSERHYGLFLPDGRISYDIGVSGLLPSSASSSVLSLRRFELGVGFYTIGLQFF >Sspon.03G0002230-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8B:40566693:40567163:1 gene:Sspon.03G0002230-2B transcript:Sspon.03G0002230-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAAATMYSVPAPRAIRCCRTFSPSIKTDAPRVASRARPPNLAWGADARVVTRSRFRASAAVHKVKLVGPDGSESELEVAEDTYILDAAEEAGLELPFSCRAGSCSACAGKLASGEVDQSEGSFLDEAQMAEGYVLTCVSYPRADCVIYTHKEEE >Sspon.08G0004560-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:11117290:11120604:1 gene:Sspon.08G0004560-2B transcript:Sspon.08G0004560-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALATPLRLRHLLPAAASAPTRAPDPAGPSLASHRALSFNRLRASLSAAPRPGLVAAAAAGGRPTVLVTEKLGAAGLELLRAFANVDCSYELTAEELRAKVSLVDALVVRSETQVTREVFEAARGRLRVVGRAGVGIDNVDLQAATEAGCLVVNAPTANTVAAAEHAVALLAAMARNVAQADASLKAGKWQRSKYVGVTLVGKTLAVMGFGKVGPEVARRAKGLGMDVIAHDPYAAVDRARAIGVDLVSFDEAISTADFISLHMPLTPSTAKLFNDETFAKMRKGVRIINVARGGVVDEEALLRALNNGTAALDVFTEEPPPRDSKLVQHENVTVTPHLGASTTEAQEGVALEIAEAVIGALRGDLAATAVNAPMVPAEVLSELSPYVGLAEKLGRLVVQLVAGGSGIKGVKVVYSSARDSDDLDTRILRAMVTKGIIEPISSAFVNIVNADYVAKQRGLRIVEERIFLDGSPEIPLDSIQVHLANVESKFAGALSDAGDIRVEGKVRDGTPHLTLVGSLSVDVSLEGNLILCRQVDQPGIIGKVGSILGKMNVNVSFMSVGRTAPGKQAIMAIGVDEEPEKEALKLIGDTPSVEEFVFLKL >Sspon.03G0001130-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:2999106:3000972:-1 gene:Sspon.03G0001130-1A transcript:Sspon.03G0001130-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYNTPPTWAAVAGLALLAICSYLATVRRSRSGRRYPPVVGTVFHKLYHFRRLHDYLTDLSRGRKTFRLLAPGRRLIYTCDPAVVEHILRPNFGNYGKGAFNHDNTSDLLGDGIFAVDGDRWRQQRKIASHEFATSAMRDFSGAVFRANAARLAAVVAVNAASKQSMEFQASAVKSTLDLGLLQKAAMNTILAVTFGSDLDALGAAGSGGGGGDEGSRFAAAVDDASEFTLLRYVNPFWKAMRLLNVGPEAALRERVKAVDEFERIRTRSEELRAAARRDMLSRFIEAATTTTTGGDGAAAAGAGTAAADHNDLRDIVLSIVIAGKDTSVEALAWFFYMACKHPRVQERVFREAGEAAGETALSVDEFARSLTDEALGNLHAALTETLRLYPALPLVRIGWSRSISFHYSVNNKECFSDDVLPGGFSVGKGDVVFYVPYAMGRMEYLWGNDAEVFRPERWLDDNGKFQQESPFKFTAFQAGPRICLGKEFAYRQMKVLAAVLLRFFVSSLRDEEASVNYRATITLLIEHGLHLTATPR >Sspon.03G0030790-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:22465387:22470589:1 gene:Sspon.03G0030790-1B transcript:Sspon.03G0030790-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPSLIRLLVLLTVGAAVSQVAAWVDCGDALPSPPPSSSPSPSPLSTPTNGSSNAPFRANLLTLLGALPRAAAPTGFASLSLGAGRDRAFVRGLCRGDFQPPRCLTDLQEAVRTLGESCPSRRRAAVWLDVYVAYADTDASIPREDDFRMVLYDTRLVDDPGAYLQAYGALMRTLVARAVGVGGGGGEAGTARQLFFATGEARYASDDPNGTMYGMVQCMRDITAADCDRCLQASVPRLPCCEGNQGGVVLAAYNCFLRIQVYTYYDLALDAPPSAAAQPPPVPSPSSPTPTGETSGRRQQQALDWSKRYTIISGIARGLLYLHEESRLRIIHRDLKPSNVLLASDMTPKISDFGLARAFWGDESREVWEKWRRGGSVAEMVDASLGGQYARTEALACVQIGLLCVQKDPRSRPDASEVVLMLDGRSAIQQKPSRPAFCSGSISISGASARRAARGNAASYGRRCAIGPVSENSVTISELEPR >Sspon.08G0022580-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:49944870:49947685:-1 gene:Sspon.08G0022580-1B transcript:Sspon.08G0022580-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LCSLLLGRRLASTGHWPLGSQAQWQGRGRRLAKRTLLLLLSSMSQPDRSVVPCRHNPHWAVFWLDTCCPLSVCYPVRLLLYKTPNKLIAPSRHDPKIHSLQYHAGPALAAAFTTALAAVKTRGPLHPLLHLLWQKHVREVEMNSIKNLSASINKYMPSSALALTISATNYGRFGLLYGIGSLSHLIISSDQQHWGEFVTPGLLMGC >Sspon.06G0031260-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:63336752:63339638:-1 gene:Sspon.06G0031260-1C transcript:Sspon.06G0031260-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding HGLQHRRVPDRRQPVLQHAAGAIAPHLSVLQGARRRRRQPGRHRPARQAAPFLAALAEGCAGGRRHRPSWTMAAERQRTPPRQSCSAVAAATVAGRGGRGDVLVPRMHTGYI >Sspon.07G0015990-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:61995349:61998352:1 gene:Sspon.07G0015990-2B transcript:Sspon.07G0015990-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSTFSGDETAPFFGFLGAAAALVFSCMGAAYGTAKSGVGVASMGVMRPELVMKSIVPVVMAGVLGIYGLIIAVIISTGINPKAKPYYLFDGYAHLSSGLACGLAGLAAGMAIGIVGDAGVRANAQQPKLFVGMILILIFAEALALYGLIVGIILSSRAGQSRAD >Sspon.04G0003840-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:12212087:12228628:-1 gene:Sspon.04G0003840-3C transcript:Sspon.04G0003840-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAVGRFTEFGGRFLVCGALRHSLQDRLSKGASSGSGRSRSDEIYLKLRTSTAPPLKLIDLPGIDQRVMDDSTISEYAGHNDAILIVVIPAMQAADVASSRALRLAKDIDPDGTRTIGVLSKIDQAAADAKTVSCVQAILSNKGAPRAAADIEWVALIGQSVSIASAQSGSVGSDNSLETAWRAEAETLKSILTGAPQSKLGRIALVDTIAKQIRKRMKVRLPNLLTGLQGKSQIVQDELARLGEQMVQSAEGTRAVALELCREFEDKFLAHITSGEGSGWKIVASFEGKFPDRIKQLPLDRHFDLNNVKRIVLEADGYQPYLISPEKGLRSLIKGVLEMAKEPSRLCVEEVHRVLLDIVNAAANATPGLGRYPPFKREVIAIASNALDAFKSDAKKMVVALVDMERAFVPPQHFIRLASSPQTGSDEAGGNLKSMKDKSNQQEKDTKEGPNLQVAGPGGEITAGMIMCHRLGYTKKQEERHFRGVIVLEECNLEEIEEEEVSKSSKDSKKANGQEKGPSLVFKITNRVAYKSVLKAHSAVILKAESMADKIEWIKKIKGVIQSRGGSVKGPTEDGSMRQSRSDGSLDTMARRPADPEEELRWMSQEVRGYVEAVLNSLAANVPKAIVLCQVEKSKEDMLNQLYSSVSAQSNAKIEELLQEDHNAKRRREKYQKQSSLLSKLTRQLSIHDNRAASYANDSSGAAHGPLATLVRTGSLPLTQQQMALLTGLVHSMRQGQEVLTVEAGATKMGMPTLAADAHLTGCHRHHQALSSATGIGGRTHLGPKNRAYWKIWMDAAVDVTGTGVVDPCSRSCRAIRCSLANMPTIGAVLYVQASVCM >Sspon.01G0015640-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:45382234:45382653:-1 gene:Sspon.01G0015640-1A transcript:Sspon.01G0015640-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LVPWHRRLAPWRRRAPHGDRIEIGSPPKGYYVHVDTGSDILWVNCIRCDGCPTRSGLGIELMQYDPAGSGTTVGCDQEFCVANSPDGVPPACPSTSSPCQFRIAYGDGSSTTGFYVSDSVQYNQVSGNGQITPSNASITF >Sspon.08G0000450-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:1935542:1941608:-1 gene:Sspon.08G0000450-1A transcript:Sspon.08G0000450-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLSEGEKHFIRGGIAQDLRTDGRRRLQFRALSVQTGVIPQANGSARVRLGATEIIASVKAELGKPSILHPDKGKVSIFVDCSPTAEPMFEGRGSEELSAELSVSLQRCLLGGKSGAGKGLLDLYIDGLVVSSDGNLLDALAAAIKVALSDTGIPKVNVSVSAASDEEPEVDVSDEEFLQFDTSSVPVIITLTK >Sspon.02G0002540-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:7485938:7489994:1 gene:Sspon.02G0002540-1T transcript:Sspon.02G0002540-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLAWMVAAAVAAVLASWAFNALVHLVWRPHAITRRFREQGVRGPDYRFFSGSLGEIKRLRGEGAAVTLDVDDHDFIPMVQPHLRKWIALYGRTFVYWTGARPNVCVADVNLVRQVLFDRTGLYPKNLKNPHVSRLLGKGLVLTDGDDWKRHRKVVHPAFNMDKLKMMTVTMSDCARSMMSEWEAQLAKETTGGHVEVELSSRFEELTADVISHTAFGSSYNEGKRVFLAQRELQYLAFSTFFNVQIPAFRYLPTEKNLRTWKLDRQVRGMLMDTIKTRLANKDTAGYGNDLLGLMLEACAPEHGETPVLSMDEIIDECKTFFFAGHDTTSHLLTWASFLLSTHPEWQERLREEVRRECGDEVPTGDALNKLKLVNMFLLETLRLYGPVSLIQRKTGSDLDLGGIRVPEGAILTIPIATIHRDKEVWGDDAGEFKPERFENGVTRAAKYPNALLSFSSGPRSCIGQNFAMIEAKAVVAMILQRFALELSPKYVHAPMDVITLRPRHGLPMLLKRFVHTT >Sspon.06G0010990-3C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6C:47462477:47463346:1 gene:Sspon.06G0010990-3C transcript:Sspon.06G0010990-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GYLWRVNCYPRGDKVDGDNEHLSIYLQLLTKSKNVRAIFDASMVCRDGTLSSSDALRSVEVYPPRRGIDLGWKRFVKRSDLELSYVTNGRVTILCGVIVIDSTLPVPPPPDLASHLGHLLDSALGTDVSFIVGGEVFRAHRAVLAARSPVFNAELFGAMADATMPSITLHDIEPAAFKVMLQFVYTDALPSDDELGDPLAEMMIHLLVAADRFALDRLKVICELKLCENVSAETVASVLVCAETYGCPKLKRECMDFFAVKRNFMKAMATDGFLMLLQKFPTLAVDLAVT >Sspon.01G0024510-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:85628605:85633761:1 gene:Sspon.01G0024510-3D transcript:Sspon.01G0024510-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein FAR1-RELATED SEQUENCE 5 [Source:Projected from Arabidopsis thaliana (AT4G38180) UniProtKB/Swiss-Prot;Acc:Q9SZL8] MDMERGAGSEQGSPDSEMGDGDNDSVGYGAEMEVDAGSGSAGASAPASSASASASVSASAYAARAGAYDGVDPFEGMEFDDEEDAWTFYNVYAHRVGFSTRISVMHRSRRDGSVMSRQFVCAKEGFRTYRGKNEGSRVDAVDAADDDSGRGRRTRAVTRVGCKAMIRVKKQDNGRWSVTKLETAHNHPLVPANQAHCLRPHKPLSECGKQRPFGGHRNGGSLLAIEPPPPPPPPPLTPPVPHTSIAQVVPQYVSDGIGNGTRVILDYVKRMQAEDPAFFYAMQFVEGRPVGNVFWADARARTAYKDFGDAVVLDDYCKRSKHELPLVTFTGVNHHCQPVLFGCAIMAHNNEASFVWLFETFLLAMTGQQPTSITMEHDNALKSAALKVFPLTRLQFCKWHIMNEAQDKLSYLLDAFPSFHEDFINCINMSDTINEFEANWKALISKVSSQKSEWLDLVYNCRHQWVPVYLRDTFFGDVSLKLQCSSRSSLFEGYISAKTDSQSFIQQYEKALDCCYEKEVKEEFETKYSLPDIKTSSPIEKQGAELYTRSMFLKFQQELIGASVYTAEMVKEEGNASIYTVARSEGSEKSVTVEFSSSGSSATCSCQMFEYFGIVCRHILAVFSVRGVSALPSHYFVKRWTKNALDRSSGKNVDEVSRVEEPKEEQRSSAEDDEQSLTWRYNSLCREALRYAEEGASSLEVYIVAMQALQEAANRVNMAKRGIGQVAPLAVMPIAAQLPECFARNPEISSNKQKKRKRNSSSSRENATSNQLMYVQQPVNFLFVAPGSSSALQGPSQLVAAAPVSLSSKYGQTSGANNSVDDNIPPASVVDKFSGLPDRNASAPSTGNLQGGETKSIGADSRIKESHELSQANGSRGCSVNTLNSSAVPQLVTVPIGLCLPSTDSSKISAAGMNSVNSGDISSNGKLSFGQSQSSAQQPTTPSQTKTLNRIDSRPNPEGSSIRAAAIAAGARIASPSDAATIIKAA >Sspon.06G0011780-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6B:51758464:51760380:-1 gene:Sspon.06G0011780-2B transcript:Sspon.06G0011780-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFRWRHRVLGKLSLSPVFLDHVTACCLVTMAAFEAINTQEEASKEPGGFAVSQLPSYPSQMSQHRYAFQNLMARAQASLVAAVVAIIAAVTVLGTASGASYTVGEPGGSWDLQTNLTAWSSTIDFHPGDQLVFKYDASAHDVVEVTQAGYGSCSAASSVAGGTHQTGSDAAKLNYVGRRYFICSKPGHCDAGMKLEVRVTPMCTNDKGFNTCYTMPLGAAPGYSSASLGSLLVTI >Sspon.08G0018230-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:27345249:27345479:-1 gene:Sspon.08G0018230-1P transcript:Sspon.08G0018230-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKVKGDVVGRYLRKISRRLRKARSDGKRSPSSMAAVDDTARERAELVRAISTARTRSEARHVAVAFPAAALLLA >Sspon.03G0010430-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:43707839:43708369:-1 gene:Sspon.03G0010430-2C transcript:Sspon.03G0010430-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPARPYRFPALPEKEEDEQVATRCTRQTCGTCSASAVASCVALCCCPCAVVSCLTLALVKAPYVAGRRCVARIARRRLRKARTRRVRDLDDDDEQGQGPRRSKELWGDLPRAAVDDGAGDGGSRAKVSSRMDVSEKVWVDMYQVGLWGFGRLSFSAAGGGGGDSEKDGDTAPES >Sspon.05G0019460-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:84829045:84832131:-1 gene:Sspon.05G0019460-2B transcript:Sspon.05G0019460-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVPATIAQTELATTDHQTHPNSSQNATSTNPKKQEVTLELRKYILLLASLVATVTYAAGFSPPGDVWQDTAAGHLAGDPIIRDSHHKRYVAFFYCNATAFAASLVVIVITLTLAYLDDNKDKYSGSKQIVFQYMEKQWIAVRTLQVAMVLDLLSLMGAYIAGTCQDTFTTVYSSVLVGFVIFYLVVQVAMASTDTGSGGVPEGQVEERQPVPDDALVSAAGGNPHHSGYDAPASLASGNPNSGSGGGVPEPEAERFRKVLMLLATFAVSITYSAGLSMPGGFWDSAGAGHGPGDAILKDRHNTRLAVFFGFNTLAFVASLLIIVVLLDTKTHRRRRHGLIVVALLSLIVAYNAGSCRQTDTTVYVFSLIPAVLLYISFLYFVADHIDAKWKAMKNHLRVTEGKTEKQKAADKARSLVLLLATLAATITYQAGPAGRCLARQQWWPHAGDPILLTTNARRYKAFFYCNSVSLVASLVAIVLVQSKSLLKHHVLEAVMILDLFGLIGAYAAGSSRDVNTSIHAMALAGAVLVYVVIHVIFITLDHDTRTRSESDKKKENELVEKTRKRLLLFAILAATITYQAGLTPPGGFLLQDDQSGHHAGDPVLLYNFPRRYKAFFYCNSVSFMLSIALIILLVNPNMYRPAIRTHALSVCTGVGLFGLMGAYAAGSTQHLKTSVYIFVLVAVVIFFIVLLFLVFWFTGCSKTPQGTPIETNTQDTEEDKDTKKKRANLKYLMLLGILVASVTYQAGLEPPGGAWQNSSGGYDAGDPVMHDNRRPRYLAFFYSNSTSFMASVSVMVILLLLLPPKQLVRNDHWHKRWLVVMNTTIVLDLLGLLGAYAAGSSRRWKTSVYVLLLVIAVLVYMGFHLLLSCIIIRRSQSAQPLPSDSSVTPEGIATLPA >Sspon.01G0024320-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:87327311:87328440:-1 gene:Sspon.01G0024320-1A transcript:Sspon.01G0024320-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VRADATVTAEQVDTFDEELKKLVGMGFEKTQAEVALAAADGDPNVAIEILMSQQG >Sspon.01G0014460-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:87130069:87135808:1 gene:Sspon.01G0014460-3D transcript:Sspon.01G0014460-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVRPPAGQVAPASGPQIQGKAPAGQVALSAPAGTLPPASSKAMVFPARPGYGTVGRRCRVRANHVHVQLADTDIYHYDVTITPESVSRARNRWIINELVSLHKQHLDRRLPVYDGRKSLFTSGPLPFKSKEFVLNLTNPDRASQGEKEYRVAIKDAAKIDMYSLKMFLAGRNRDLPQNTIQALDIALREFPTARYTSISKSFFSQQAFGNGGNLGNGVECWRGYYQSLRPTQMGLSLNIDVSATSFFKAQPVIDFAVEYLNLHDTSRRLSDQDRIKLKKALKGVRVATKHRHDISMRYRITGLSSAPLNDLTFDQDGTRISVVQYFKRQYNYSLKYTHWPCLQAGSASKQIYLPIEVCSIVAGQRYSSKLNENQVRNILKLACERPSEREGRTLEVFHKNNSDDSYAKEFGLKVMDQLTLLDARVLPAPRLKYHDSGKEKVCNPSIGQWNMINKRMVNGGSIKYWACITFASRMHPNDIGMFCEHLVGMCNNIGMQMSTRPCVEIKKAHQDNLEAEIRGIHLRSAQVLAQQGLTNQQLELLIIILPDMSGFYGRIKRLCETELGLISQCCAPKNVRKGGNQYLENLSLKINVKVGGRNTVLDDALNRRIPLLTDCPTIVFGADVTHPSPGESSSPSIAAVVASMDWPQVTKYKCLVSSQGHRVEIINGLYTEVRDPQKGTVRGGMISTDIYVLQRFACVIPQVNWLQAFKDYILSILHFCRDGVSEGQFSQVLLYEMDAIRKACASLQEGYQPRVTFVVVQKRHHTRLFPENHRARDQTDRSGNILPGTVVDTKICHPSEFDFYLCSHSGIQGTSRPAHYHVLMDENGFSADALQTLTYNLCYTYARCTRSVSIVPPAYYAHLGAFRARYYIEDDNSDQGSSTGATRTFDQSVPVKQLPKVKEYVQQFMFYC >Sspon.05G0015540-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5A:59865786:59867628:1 gene:Sspon.05G0015540-1A transcript:Sspon.05G0015540-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAFSRPLVTVNGDMVSRSIAKPRVFEVEIRPDLVSSTHQMVSCNKRQAYGVSLRAGHQSSAKSWGTGRAVSRVTRVSGGGTHRAGQGSNGNMCRGGHMFAPKKIYYKWHHRANINLRRVAIASAIAATAVPSLVKASGHRIETVPEMPLVISNSADSIEKTSQAVKILKQIGAYADVEKAMDSVAIRPGKGKMRNRRYTNRKGPLIVYGTEGSKVVEAFRNLTGVDVANVERLNLLDLAPGGHLGRFVIWTESAFKKLEEVYGSFDAPSQKKKGFVLPRPKMTNADLGRIINSDEVQSVVKPLNKEVKRRQKRKNPLKNMAAVLKLNPYLGTARKMATFAEEETAKVKAAGKA >Sspon.07G0019330-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:75565101:75565902:1 gene:Sspon.07G0019330-2B transcript:Sspon.07G0019330-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHAVLPLPWLASCACVCMVAVALLAPPSPAAASRAARAPVRGGNPTVGFTEVKLTESNFELQRPYDVPSGDRYSFHGGVRQLWVLSSDKPHDRNSHTSPRTEIRMTGYDYSSGVWQFEGYGYVPSGTTGVSIMQVFGAGAHATTLMLHVYDGALRYYDRQVVEDGIYDRWFRLNVVHDVGASTVTVYVDGVEKLRAPGRGGDSHYFKFGVYAQRHASSCMESRWKN >Sspon.04G0029550-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4B:72758854:72762588:-1 gene:Sspon.04G0029550-1B transcript:Sspon.04G0029550-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DARRARTPWRPLFLLSPHPPPRRATTHSTLSPPTSTTTTTSTHHHPGAETASLPPPPQPSPASPAPPSICPRPPSLPILTTRRAPPATRLPLPVSPSSSLKPDARPPFPPPLFFFF >Sspon.02G0018650-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:63024587:63025646:-1 gene:Sspon.02G0018650-2C transcript:Sspon.02G0018650-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPVETMATTPQVLDEPAAAVVTSVFQPGKLAVEVISVDHDARPTPPIPVLIAAPKDAGTYPVAILLHGFFLQNRYYEQLLKHVASFGFIMVAPQFHTSLISNGDADDIAAAAKVTDWLPEGLPSVLPTGVEADLSKLSLAGHSRGGHTAFSLALGYANTSLKFSALIGLDPVAGTSKNSQLPPAILTYEPSSFDIAVPVLVIGTGLGDERENALFPPCAPVDVNHTEFYRECRAPCYHLVTKDYGHLDMLDDDAPKLVTCLCKEGNSCKDVMRRTVAGIMVAFLKAVMGEEDGDLKAILQHPGLAPTTLDPVEY >Sspon.07G0037590-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:57456152:57484019:-1 gene:Sspon.07G0037590-1D transcript:Sspon.07G0037590-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding CSNALIGLLDGLLQSGASTAQKKKIEVGEQKKKRIKSDTDSARFSAASMRNQLDQAASLKGEQVAARVKSDDEKDEWYEVLDEEPGDDEESTQKYESYILEFDDDEEDGSLPQRAVPFYRVVALPEGHRQ >Sspon.04G0011520-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:31268406:31275588:1 gene:Sspon.04G0011520-2B transcript:Sspon.04G0011520-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLHAGLKPEERRAAAAAAGVCHRRFAWYGGAARGAGIVWQTPANPPESHDYIIRNGKSALREALLLRVHLPCEEQMGWKDIVDLFTDEFKGRSRDYYVHAVKCGRLQVDGQMVHTDYIVKSSQKISHFLHRHEPPVLAGDISILQNEIDVVTICKPASVPVHPCGQYRKNTVVGILQAEHGLTPLFPVHRLDRLVSGLLIFAKNADRAECFRQQIEAGLLQKEYVAKVVGVFPDGEQTVDANVNFNAREGRSTWRSISGGAGEEPNGKQALTRFQRICTDGTHSIVLCKPVTGRTHQIRVHLKHAGYPIANDELYLSGNFCPRSTKGTSNSKEIAPSDPDNSAADHGSKDAETDVEFDIDPMCTNCPNLAPVGYDGDEEGLWLHCVRYTGPDWSYECPYPDWAFLGSVSTKKMKS >Sspon.04G0002970-1P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8A:49843110:49844153:1 gene:Sspon.04G0002970-1P transcript:Sspon.04G0002970-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATHKHCVLLLLMALAAAMATPSTATRKLMFLVQPQPNLLTYHNGAVLSGDIPVSILWYGRFTPAQKALVSDFLLSLSSAALQGPSSSSPAPSVAQWWSNINQLYLSKAAAISKNGAQGGAKQKNARVVLSGQVSDEGCSLGKSLKLSQLPALAAAARPGPAKGQDSGVALVLTARDVAVEGFCMSRCGHHGSYSYEDARSRPRAAVAAYAWVGNPADQCPGQCAWPFHQPAYGPQAPPPLVPPSGDAAMDGVVINVASMVAGAVTNPFGDGFYQGDRGAPLEAATACAGVYGKGAYPGYAGQLLVDAATGASYNAHGAHGRKYLLDPGAVRPRHVGVLHAGVAQVK >Sspon.05G0038000-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:30762646:30763259:1 gene:Sspon.05G0038000-1D transcript:Sspon.05G0038000-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQDLSGLGVKGLQNLENQLEMSIRCIRTKKDQLLVDEIHELNQKGSLIQQDNMELHRKVNLIRQENAELYKKLYEKEAAGEVNRDSTTPYNFAVAENANIPIHLELNTPPQENDVEPPAAPKL >Sspon.01G0011430-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:31645915:31651318:1 gene:Sspon.01G0011430-2C transcript:Sspon.01G0011430-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGAKAEDKPAAAAVAAADDWCYQFGNKNAFDLKAPKKSPLALRMVVFAMTMLCGISICSMCMKQLGSDGWSRIIKIEVVEQPCNKSTVPPSEVQFVHYPQPITYSREECKCNAVRFFAIISSQRSGSGWFETLLNSHMNVSSNGEIFSRKERRSNISSIINTLDKVYNLDWNSSASKNECTAAIGFKWMLNQGLVANHVDVVDYFNQRGVSAIFLFRRNLLRQLVSQLANNHDRSLKQLNGTHKAHVHTKHEAHILARYKPRLNTTSLIWQLKQADEYTRDALQNLNNTRHIIKLFDVLDFLKVPRRNLVSRHVKIHTKPLSEQIENWDEVYSALNGTQYEGFLNAADYVLDVPGRNFDAGKALCHGCIIRVPGWPHKLYTSFPDGPFVGQDPAVEGCVMQLPFRLQLIR >Sspon.04G0009690-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:28745663:28758131:-1 gene:Sspon.04G0009690-1A transcript:Sspon.04G0009690-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGKIVIRRIDNSTSRQVTFSKRRNGIFKKAKELAILCDAEVGLMIFSSTGRLYEYSSTSMKSVIDRYGKAKEEQQVVANPNSELKNFHSPYYDFMESACNIVAQQYPLQYLNILLVDPKFWQREAASLRQQLHNLQENYRQLMGEDLSGLNVKELQSLENQLETSLRGVRAKKDHLLIDEIHELNRKASSFHQENRDLYNKINLIRQENAELHKKIYETEGSSGVNRESPTPFNFPVVETRDVPVELGLSTLPQQNNIEPSTAPKL >Sspon.04G0023310-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:16470282:16474064:-1 gene:Sspon.04G0023310-3D transcript:Sspon.04G0023310-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding EMAMPYASLSPAGADHRSSTATASLLPFCRSTPLSRGGGGLGEDAQVSARWPAARPVMPFTPAQYEELEQQALIYKYLVAGVPVPPDLVVPIRRGLDSLATRFYGHPTVGYATYFGKKLDPEPGRCRRTDGKKWRCSKEAAPDSKYCERHMHRGRNRSRKPVETQLVPQSQPPAATAAVTAALPLAAAAAAATNGSSFQNHSLYPAIAGSTGGGGGASNISTPFSSSMGSSQLHMDNAASYAALGGGTAKDLRYNAYGIRSLAEEHNQLISEAIDSSMENQWRLPPSQTSSFPLSSYPQLGALSDLGQSTVSSLSKMERQQPLSFLGNSDFGAMDSAAKQENQTLRPFFDEWPKARDSWPGLSDENASLACSFPATQLSMSIPMASSDFSVASSQSPNGKLRSC >Sspon.01G0043240-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1B:72615441:72616013:1 gene:Sspon.01G0043240-1B transcript:Sspon.01G0043240-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQALVIIPEQRNHHHRHPGPRSKSSGPRFSSPPTSRGFRGMNCRSFHSGGCVGVLPSPPPPPARTYSSPEPKTPKQQPRHGGKRSRPVSISPSTSPPTHSELWAGPAFSNSPPPSSLPIPKFSLRQKRSISLELPPVERSDDVEVRLHAKSAPSSPVEGSGYDFFNDDAIASAIATENLRRILQLDIADH >Sspon.02G0052420-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:92054493:92060149:-1 gene:Sspon.02G0052420-1C transcript:Sspon.02G0052420-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSSAVIEQSTSKVFSYISTKIEDKASRADTVARLEIALSRLDIALEKTGRIPITYVSLLRSRKVLKSVYTKGIDLLNKHKQQEENDGHVVVRSSSYLQWIADRAANFSISSLVGLGKEKKLSSSVAQIFERYADWAEKIVADVESGCPLRHDTFHYPFLKHLLEGKTLRCQIVKNPSQSLSFYLQPGIVEGRGVEVILCYEYNDSRKLQECFSINLSLRISEDTDIIGISIKCIQQLTSQFKLVAESAIGELTLLPNLQDTFHSYVHLPSFVKEKYGCLTDLFRPDAICCNGNSYGNLANKSSMEIPEPRLTTACVTHLWFCTETVSKSVSFVLGKREEKASQGHLMERLQMAVSELEFALERAQELPIRHLSLLGRRKQIKSAYNEAMELLDKHKQQQAVPAGQELQTGRGVKHKRWTFWANNMSLKPFVGLSTDAVRRFEWYADHAGRFVRDVESGCSLHHYTFCNPIVRHLLEDPACCKGSNGLCGNNNVSSELSDMFPEQVIFGGFECTSFDMVGRSKSRGGGKPPLSLSADFWPHAATETQDSYALERMGDVQEYRDTSTQQVAEGLKLDAINRLLCQPKLMEYRIDWYSKHGAAWFTVKKASTERADVPKTSRRYNTRRSTRRSSRRCTEDNTVHSFLYGGDGVVSPCPGGHQ >Sspon.04G0023020-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:9840742:9845173:-1 gene:Sspon.04G0023020-1B transcript:Sspon.04G0023020-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDPRGRAAGPDRDGDDPHDLPLAEDANGEEAWQTPEKEAVAVSEGAAAQGGDTTPDAEPESDDGEGGVGSPDQAEPNAGGERAPPVAAAQELEGIVGGAKVETNGEDAISHDADGEEDDDDDGDEEDDDDDDDSTPDASPRAEVKVEGESSTGMAQSGDGHRVEVEPDPFLDGDDSGTEEEQAAFMAELERFHREHSLEFKPPKFYGKGLDCLKLWRQVAHLGGHEQVTICKLWRQVGETFRPPKTCTTVSWSFRIFYEKALLEYEKHKVRTGQLQIPLPGLPPPSGADREVVVNQSSSARVRRDAAARAMQGWHAHRLLANGTHGDNIFKDKDSIPLSSRDKNLKGFGVLKRKKASSPEYALKSSRSKINKSQEDSMVIDVGEPADWVKINVRQTKDCFEVYALVPGLLREEVHVQSDPAGRLVITGDPEQPDNPWGITPFKKVVNLPSRIDPHQTSAVVTLHGQLFVRAPFGHPDM >Sspon.07G0022960-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:11472950:11475401:-1 gene:Sspon.07G0022960-2D transcript:Sspon.07G0022960-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGKNLSNKQQAEQKNPDAVTDSVPSQDQEALNPFPDSDDGNTSPLNGHEADVNMEAAISTEDVIRAGGFGAKDDIGSLLPTAIDSTDFEASLRDARDFEGEKEAPAHPGLGWKGEKVDDGSKLSDVA >Sspon.08G0027530-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8C:51333767:51334311:1 gene:Sspon.08G0027530-1C transcript:Sspon.08G0027530-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGAGERARLSSSLLSRRSWRGCEAMTLPGVAWWRGSTPAWFLLPLVILGRIFMDLVLLLWDFLISYLVVYSTAAPARETLGAAAPVRVAPGVPTAPTQRPSLTVRRSAGDTVLASAAHSKDRSFTSLPLLPTGLSALPEVDALPMSCFVPFD >Sspon.08G0002770-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:6132751:6139044:1 gene:Sspon.08G0002770-3C transcript:Sspon.08G0002770-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWDSESDGAGSVGAGEEEQEQEEEEEEERGGHGGGGDAGGMFTFAIEGMLRASGPCGLVVTDALEPDCPIIYVNRGFEEATGYRAEEVLVRNCRFLQLHLTPIYGDDETITHYMGIQFFTDAYVDLGPLPCSMTKEPVRSTRFAPDNSFRPISTGPEHSNFCREYSSLFQLTDEVLCQSILSRLSPRDIASVSSVCRRLYHLTRNEDLWRMVCQNAWGSETTRALETVLAARRLGWGRLARELTTLEAVAWRKLTVGGAVEPSRCNFSACAVGNRVVLFGGEGVNMQPMNDTFVLDLNASNPEWRHINVSAAPPGRWGHTLSCLNGSWLVVFGGCGRQGLLNDVFMLDLDAKQPTWREIPGVAPPVPRSWHSSCTLDGTKLVVSGGCADSGVLLSDTYLLDVTMDRPVWREVPASWKPPSRLGHSMSVYGGRKILMFGGLAKSGPLRLRSSDVYTMDLSEEEPCWRCLTGSGMPGAGNPAGAGPPPRLDHVAVSLPGGRILIFGGSVAGLHSASQLYLLDPTEEKPTWRILNVPGRPPRFAWGHSTCVVGGTKAIVLGGQTGEEWMLTEIHELSLASNSA >Sspon.01G0042880-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:68749678:68761032:1 gene:Sspon.01G0042880-1B transcript:Sspon.01G0042880-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGRAGRGRTTLGRQQGRPDPDVKKVDRDQGSEDTQKVAMEVEEKDEVISAPKERIMDVVCFNCGDIGHFSTGCSKARCCFICRGLGFYHIDVQPREGRFRHWKGLDNFGVLTIEQGDIEEKKIIDHLKNLFDDKWEWRLRQEDEYTYIIRFPPQKRVEDLVVGEATLFHLRGTRILASLKLWNGDVEPVGHLEDVWVQIKGIPPKWADWWTIKDEDEDLEDDDLLDDELLDNEKEKRGNGQSSKTNNQGCQSTGKKVALDATGNARRSLDFLTGMDDVGWEQAMELDGDEEEQSDKDSVLYEEESMQLPNEWRKNQWSIEIQEEGILADSVHEENGQMGERENLCSQPTTEQLEKSTMPEVAVRAKSVKNQWGPILVQKKSKRVPKDGRSILEKAQDYKKKCNLETGKGDKEFAGGRGDSTKEGGDSGAVDSLEMIKLDDWWLKGCADGILKLEVAI >Sspon.08G0017670-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:4017762:4018882:1 gene:Sspon.08G0017670-2C transcript:Sspon.08G0017670-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSIMDPNMKQLQEALVDIETDAEKVLLARHQLVENDKVRNANREALTALRKQARTTKTSMPSPFEVIMKEMEGSSGKQLIKEICPACGDHDPKEHTWLMFPGSDIFARVPFHVAHTVVEKDQERLDLDTKKLQSFVKEKSLVIAEKGALAGKFGVDTVKSLVRLTDTTKSTREGGEVKYQLG >Sspon.08G0022110-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:47679496:47679735:1 gene:Sspon.08G0022110-2C transcript:Sspon.08G0022110-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DGSGSGDDGVAAAIPGRWRRRRRALGWDDSAGAPRHGEGPAGGRGDLGRREADEAGARGVGQGCGVHGVRQEHHLLAVIA >Sspon.06G0002920-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:7827505:7829374:1 gene:Sspon.06G0002920-1T transcript:Sspon.06G0002920-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MCTLLPLRAMDIHHHHPMVCTHLRRRRLRGLTPHLSTLHRGTRATSTTSSALTTRRRMESISSTTTTTMEARVVPPPLGSSKDVWLLSAVAACWRNAAAASEMLDIR >Sspon.01G0037070-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:17035936:17037504:-1 gene:Sspon.01G0037070-3D transcript:Sspon.01G0037070-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MENVLLDRSSSRSLEFPKRSLGRSRRGPAGARPAVPGGGSYSSFPTWQPYNHLHKGGAWTSAPALPYARSPTPMIYSSPSLPLLPSNQPPLLPLPPIATKYATFPGLPAAPPPPPPAPRAAGRAAAATTTVSAAAAPAAAPPRQRDRRRRPARPPTTTTERSSTPRKKKPLERATPLPPAPVVMEALDDLEQEVARNFVQDLLHMLAPPPSSLPLPSFSLVVKASPARDTRVVAPAAPSCNVEAATADGIRGLLRLVLSAMANKS >Sspon.02G0047460-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:16762129:16763738:-1 gene:Sspon.02G0047460-1C transcript:Sspon.02G0047460-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAELTEAVEPRKKNNVKYASICAILASMASVILGYDIGVMSGAAMYIKKDLKITDVQLEILIGIISVYSLIGSFAGARTSDRIGRRWTVVFAAVIFFVDALLMGFAVNYGMLMVGRFVAGVGVGYMVGRFVACSWYGRARVHRRDLAGGIPRLPHVLPGTPSALLALMVFVMPESPRWLVMKGRLADARAVLEKTSASPEEAAERLADIKVAAGIPKDLDGDVVTVPKERNGGEMQVWKALIFSPTPVIRRILLSGVGLHFFQQASGSDSVVLYSPSVFKSAGITGDNKLLGVTYTVGVTKTLFILVAMFLLDRVGRRPLLLTSAGGTIISLIGLGTGLTVVGHHPDAKIPTGVALCIASTLAYVAFFSYHGRGITIGGSFFLYSGIATVGWVFFITCLPETRGRTLEEMGKLFGMADTDMAEADSIAAK >Sspon.01G0042710-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1C:64764125:64764538:-1 gene:Sspon.01G0042710-2C transcript:Sspon.01G0042710-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAGSVQGHGARFAAACDVLSRYVKAAAVATTTVDQPRPLAGTVAGVLPLMPGADLSTAEADAGPAPGAQQLTISYGGRVVVLDDVPADRAAELLRLAAAAQQGAAPRVLRKDDLHMARKASLRQFMEKRKGRVAKR >Sspon.08G0019420-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:12015361:12017750:-1 gene:Sspon.08G0019420-1B transcript:Sspon.08G0019420-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIFEPFRAIGYITAGGVPFSVQRLGTETFVTVSVGKAFHVYNCAKLNLVLAGPQLPKKIRAIACYKDYTFAAYGSDIAVFKRTDQVATWSRHEEKVNMLYLFGEYVLSADAKGNVFMWAFRGAEPNSEPVGSISLGDKFTPTCIMHPDTYLNKVIVGSEEGPLQLWNISTKKKLYDFNGWNSPVRCCVSSPALDVVAVGCSDGSVHVHNVRYDEELMSFNHQIRGAVTALSFRTDGQPLLASGGSSGVISIWNLEKRRLHSVIKEAHDGSIVSLHFFANEPIL >Sspon.03G0024730-3D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3D:59901135:59902016:1 gene:Sspon.03G0024730-3D transcript:Sspon.03G0024730-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAYEIEREIFHALDQIDGPSTEHSATPSDEGCPSADSDEASSVGGKELRICTFLNTLSVSTSFKAGHFCTEQPLSVTDILKDSGEPNETLLSAIHDYRISSADGDAIHPSTYRTPTKEAEIKDQISLLLLSDKSFLSRAAELIGIDVYGDLNDQCNRISKVEMKNHKLYLDAAGEQLELKHCQQNSPCYTRLQGQKCRSSEYFSLEELLRDISNGIRNLNGYCSEDARGSKDNVDMKVERDLSCTDALINSVWDMGWQGLICMEETDFFVRDAGEEILSLLIEDAVLDMCLH >Sspon.06G0023680-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:47049245:47049535:-1 gene:Sspon.06G0023680-1B transcript:Sspon.06G0023680-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFYKYGLAFLAGTGFGAAMTTTLRNSRCPLHKHDSSSCHRRRHRPVGGGAGESPPSETGREREEYYDDVQEKGKRCRKQGGKEKKGDKSEESSSD >Sspon.01G0052270-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:20925404:20926489:-1 gene:Sspon.01G0052270-1P transcript:Sspon.01G0052270-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMGAAAAASTCIRSASPGLPRCRVRAQATSWAGGAEALVRSGAVKAVRPRDAAEALGAEGFRLLDVRPEWERARASVRGSVHVPLFVGDDDMGPVTLLKKWVHLGYIGLWTGQGFTKMNDRFVEDVAAAVVAGDGSKDAKLLVACGEGLRSLIAVRMLHDDGYRNLAWLAGGFSKCADGDFTDVEGESKLQYATIGGASYIFLQILLLLGVVK >Sspon.03G0010980-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:46122286:46123424:-1 gene:Sspon.03G0010980-3C transcript:Sspon.03G0010980-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to Heat shock transcription factor 31 (Fragment) [Source: Projected from Oryza sativa (Os01g0625300)] MDGLHTELALGLLAGCGVGGGGDQLFQTAAFVAKTYQMVCDPRTDALVRWGRDNNSFVVVDPAGFSRLLLPCFFRHSNFSSFVRQLNTYGFRKVHPDRWEFAHESFLRGQTHLLPRIVRRKKRGEEGACSAASGDAQAQYAAAGCCIGMAEDHRPEPEGDPADEKESPALLEEVQRLRQEQTAIGEELAQMSRRLQATERRPDQLMSFLARLAEDPDGVTRSLVEQAAEKKRRRMQLASQPARPLPPAPPPPPLHHHPLLAALGGAAAKGLDGWQWEWAEQKPPSVVLPTVDPPAATYCEAGVQHVPNLGLGGGVNGGGGGIIGMGLTADETAVETQTTPFPFCLLGQGFF >Sspon.06G0026160-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6B:76898549:76899691:-1 gene:Sspon.06G0026160-1B transcript:Sspon.06G0026160-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding PEPKPPKPKPAGPEPPKPKPAGPLPERDGDPGGGVATSPPDLLCADTCSGACAYLPHCHLPPPPSSRGTTLHLRSSRLPTPLIALSASLLTVSAVLLLALLVHRLVAQRRRRRRARNAALALAHHGEEGGAGGHQVLTGAVAQEVGEEEADGGGGVHHVWYIRTKGLDERAIAAIAAVVYDAKRRGAGGTDGDDGDGSCAVCLAEFRDGETLRLLPRCGHAFHRGCIDTWLRAHVNCPLCRAPVQVALAAGANNPNAAATSGRRQERDLVGAVGGVQTEETARGGGVPDRAVRRAASMVALPRQAWPDVSLRAPASSSGREEDMTGLGKISRLLKFSDALEMAGIGVERSVSFGAGSCQRLPPRSGPSAAAGVSADETSQ >Sspon.06G0023150-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:41341529:41346930:-1 gene:Sspon.06G0023150-1P transcript:Sspon.06G0023150-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQQTGKRPRQHREYEREERKDQHKRPFPHAQESSNNDGLVLYRILCPDSLIGSVIGKNGNVINAIRQQTSAKVKVVDPYPGADKRVILVYCYIKHRDLDAEGHDNEPVCAAQDALLRVHNAIVDALHTLQKNRRDSDKKNTEEANILVPASQASNVIGKSGAVIKHLRSTSRAFIKVSPKDPSDATHSCAMSFDNFVQITGGAEAVKKALFGVSTIIYKHPSKENIPLETSIPEPTPSIIIPSELPVYPASNFYSAPDASIPSVHPSMSILGSTRHVPELALPANDHGRLPIYQSILPVIPTYSAPKCSGELEFRVLCPGGKIGLVIGRGGATIKNIRQESGARIDVDDTKNDKEESIITITSTEATDDVKSAAVEAVLLLQAKINDYEDDRMNLRLLVPNKVIGCLIGRGGSIVNDMRKKTKANILISKGDKPRRASSSDELVEVSGEADKLRDALVQIILRLREDVLKESVESQNSDRDGKLTVATSDSLYGSSLSLPALLPHNPQIAPLSYDRRGESERALEVFPRTSSYGYSSMQVTDDGYGGLPSYTSKSYEEYVSTELAINPVLILP >Sspon.04G0021240-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:74666458:74669485:1 gene:Sspon.04G0021240-1A transcript:Sspon.04G0021240-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYYNILKVNRNATLEDLKKSYRRLARTWHPDKNPTGGAEAEAKFKQITEAYEAMQVLSDPEKRAIYDQYGEEGLKGMPPPGSQSRSSTTAGPSGPSNFRYNPSDPDDFFAEFMASNKTYSFDQDRTRFQPRSHWTSARNSRSEAPSGSRKESGSSTSHVEKPPPVEKTLLCTLEELYNGTKRKMKITRNVAKPDGRVEVETEVLAVEVLPGWKKGTKITFPNKGDKLHGQLAQDLTFVLDSKPHDVYNLEGNNLLVKQEIPLVDALAGAEINLRTLDGRNLPVRVEEVVRPGYEVVLENEGWPIRKEPGKKGKLVIKFDVTFPMRLSSSQRAAIRRIMGS >Sspon.02G0002890-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:8965756:8968218:1 gene:Sspon.02G0002890-3C transcript:Sspon.02G0002890-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGRHLLKPLPLLTPKPASRPPAAHFRARAAAHPPTPRRGPLAEPDVGISRFASSIPGFRGALKQRYSDFVVHEVARDGALVRLTSFDLPDGEESGDNAEDGDPDAAADPSRALESFRLLCGEADYDALRGFLESVSEGGDGDMSPIILSADADKAHRSSGCPERGFSECLDDMCVTCSVVIVFGAGGARVHQENFKFLNTDTVEHIDGIQKCIRVRLGSGPRGGRGRNRRGMDSSGWRDDKPFDSRGSTSWPYHLGKFLRFHLYKENKDTQEALGVIGKMLGVQPRSFGFAGTKDKRAVTTQQVTLFKVHASRLAALNSKLAGIRVGDFSYVKEGLTLGQLRGNHFTITLRNVIAESADVINAAVNGLSKNGFINYYGLQVQETCFNGSVPTHFVGAALLRGEWRHAVSLILGTRVHYKWHGAVDAALRSMPRHLTVERAI >Sspon.02G0030070-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:96358517:96361286:1 gene:Sspon.02G0030070-3D transcript:Sspon.02G0030070-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Adenine phosphoribosyl transferase 1 [Source:Projected from Arabidopsis thaliana (AT1G27450) UniProtKB/TrEMBL;Acc:F4HSX1] MLFLQCQQPLPSPSPSAPPFRPRVSVAAAPLSVRVTGGRRAQAVVAMASADARLAGIASSIRVIPDFPKPGIMFQDITTLLLDPKAFRDTIDLFVERYKDQGITVVAGVEARGFIFGPPIALAIGAKFVPLRKPKKLPGEVISEEYSLEYGTDKIEMHVGAVQANDRALVVDDLIATGGTLCAAVKLIERVGAKVVECACVIELPELKGRDKLGDRPVFVLVEADA >Sspon.05G0010620-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:29953123:29957250:-1 gene:Sspon.05G0010620-1A transcript:Sspon.05G0010620-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWSAPDDILLSTSLAGFLDKKLIVLLRDGRKLLGTLCSFDQFANVVLQGACERVIVGEQYCDVPLGLYVIRGENVVLIGELDREKDELPAHMTCVSEAEIRKAEKAEREARDLKGTMRKRMEFLDFD >Sspon.01G0023740-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:110836008:110838249:-1 gene:Sspon.01G0023740-1P transcript:Sspon.01G0023740-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVEVANRAAVESCHRVLALLSQQQDPALLKSIASETAEACAMFRKVAALLGSGSGSGCGHARGRFSRRVRPLGLVNQKSPLGTGGGNPLEMMPSTAAAAAAAPSPSPSTSYAQMRARFNGVPDSRGLDLACSSSKSGGPHPFGAPKLVQPLSVHFQIGNVAHRYPFHQQPASRQKLQAEMFKRSNSGISLKFESPSPSGGAAGTMSSARSFMSSLSMDGSVASLEGKRPFHLVGTPVASDPADAHRAPKRRCTGRGEDGRGKCATTGRCHCSKRRKLRIKRSIKVPAISNKIADIPPDEYSWRKYGQKPIKGSPHPRGYYKCSSVRGCPARKHVERCVDDPVMLIVTYEGEHNHNQLPAQAAQT >Sspon.01G0009750-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:27715331:27723357:-1 gene:Sspon.01G0009750-1A transcript:Sspon.01G0009750-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVGGSGSGGGGGGDAEMGGWTGLLHSSTKLLEQAAPTPHFPPLQRNLDQLEALSTKLKAKTIRAEAPSQSLSATRLLAREGINAEQLARDLKSFELKTTFEDVFPSEATTVEEYLQQLHEMAIVSSIQEAQKDNLRSFNNYMMQVLEDDWQKEKRDFLQSLSRLSTLPKRNTNLSTSGLAHPALMPPSTSSLHAPSGLPSAEVMPIPNKTIIEKKSSVYAGVVRDLNDARGRSLPFNPATAFRAAYESLSVDAVGTKSVTMQKVWHLIQALVGEGSTHRIGSRKMSLVVGARRHLEWGHEKYILETINSHPALAALGGSVGNLQKIRAFLRVRLRDQGVLDFDATDLRRQPPVDTTWQQIYFCLRTGYYDEARQVAQSSRTAYNFAPLLADWISSNGAVSPETALAASEECDKMLRMGDRPGRPGYDRKKLLLYAIICGCRRQIERLLKDLPTLFNTIEDFLWFKLSALREYTNASSSNLMNEGLVPYTLDDLQSYLNKFEPSYYTKSGKDPLVYPYILFLSIQLLPAILYLSKEVGEDGYHVDAVHISIALADHSVLPDGIGSGQKIGVMDACAEAASIIRQYGSIYLRNGNIDLALEYYAQAAAAMGGGEVSWMGEGQADKQRQRSLMLKQLLTEILLRDGGIQLLLGPNGMGEEGELKKYMMDWRSRQQFLLEAAHRCQEAGLYDKAVEIHKRVGAFAMALQTINKCLSDAVCAMARSMLDGESRAAALIHSGNEILETARYSSEASIQEKDLISEQQTVLRQLEAILHIYRLARAGQTVDALRETIRLPFLHLDTQAPNVTVDIFRNLSPHVQACVPDLLKVALNCIDNVRDTDGTLRAVKSKIANLVASNMSRNWPQDLYQKT >Sspon.08G0008990-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:37752149:37762016:-1 gene:Sspon.08G0008990-3C transcript:Sspon.08G0008990-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPIRVLTALDQARTQYYHFKAIVIAGMGLFTDSYDLFCIAPVMKLIGRVYYAPADPSRVDADGRPRPGVTPPAVVSATVGVALLGAVAGNLAFGALGDRAGRRRVYGASLLLMLCASVGSGFSVCRTRGCALASLCLFRFLLGVAVGGDYPLSATIMSEFANRRTRGAFIAAVFSMQGFGILGSSAVTMAVAAAFDRCTGRRAPLDDTPEAADLAWRIILMIGAVPAAVTFYWRMAMPETARFTALVEHDVVKATHDIGRVLADLDLASITEEEVAAFRRTTPAAQFGLTASSYGLFSRRFLRRHGRDLFACASAWFLLDIPYYSSTLFQSQIYRPWFPPADHVNAFQEAFNVAKFQAIIAVASTIPGYFVAVLLIDRVGRRRLQMVGFFLMAVFLFALAGPYDRYWRGHATDAWYIVLYALTFFSANLGPNTTTFILPAELFPARFRSTCHGISGAAGKLGALVGAIGFLWASQDRDRRKVLAGAGYKPGIGMMYALIILGGICLLGLAVTYLFTPETMRRSLEENESDNGHRQTAEGEPQRFQELGELPKSPASMVSSHVSSSPIHPHRFSV >Sspon.05G0026470-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:34859060:34864345:-1 gene:Sspon.05G0026470-2C transcript:Sspon.05G0026470-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vacuolar protein-sorting-associated protein 11 homolog [Source:Projected from Arabidopsis thaliana (AT2G05170) UniProtKB/Swiss-Prot;Acc:Q9SJ40] MYQWRKFEFFEEKSAGRGGGGGGSAAAVPAEIAGRVTCCSGGRGRVAVGCDDGTVGLLDRGFRLSYGFQAYASSVLFLQQLKQRNVLVTVGDDDQPSSQSSAICLKVFDLDKVQEEGSSTATPFCVQILRVFTDHFPQAKITSFMVLEEAPPILLIAIGLDNGFIYCIKGDIARERITRFKLQVEAASDGSTCLPITGLGFRVEGQAHQLFSVTPGSVTLFSLHVQPPRRQTLDQIGCQTNAVAMSDRMDLIIGRPEAVYFYEVDGRGPCWAFDGEKKFVGWFRGYLLCIIEDQRTQKSTLNVYDLKNRLIAHSMPVGDVSHLVCEWGYIILIMADKKILCIGEKDMESKLDMLFKKNLYTVAINLVQTQQADPASTAEVLRKYGDHLYGKQEYDEAMSQYIHTIGHLEPSYVIQKFLDAKRIYNLTNYLEKLHDRGLASKDHTTLLLNCYTKLKDVEKLNHFIKDEDGVGEIKFDVETAIRVCRAAGYHEHAMFVAKKAGRHELYLKILLEDLARYDEALQYISGLEANQAGLTVKEYGKILVEHRPAETVEILLRLCTDVGDPTTRRGSNNMHLLMIPSPMDFVNIFVHSPQYLMEFLENYIKAVTDSPAQTEIHNTLLELYISNNLSFPSISQENEYENHYIKETKGKETANGYRPGVKEKADLGKEDPKIAKNIVDRRRKGLALLKSAWTSEMEDPLYDVDLALILCNTNAFKDGLLFLYEKLKLYKEVISCYKQAHDHQGLIACCKKLGDSSQGGDPSLWGDLLKYFGELGEDCSKEVKEVLTYIEKDDVVPPIVVLQTLSKNPCLTLSVVKDYIARKLEQESKLIEDDRKSIDKYQGETESMKREIEDLKTNAKVFQLSKCSACTFTLDLPAVHFMCMHSFHLRCLGDNEKECPECAPEYRSVMEAKQKLEQNARDHDLFFRQLRGSKDGFSVIADYFSKGIVSKTTVPPENGR >Sspon.03G0017410-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3B:78578954:78580606:1 gene:Sspon.03G0017410-2B transcript:Sspon.03G0017410-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein At3g57150 (Fragment) [Source:Projected from Arabidopsis thaliana (AT3G57150) UniProtKB/TrEMBL;Acc:C0SVF3] MSSATPAVASPASEHSKSKKKKHKSKDDPAAADPPSLAEAEEKTDGYLIKPQSLVPSLETSTWPLLLKNYDRLNVRTGHYTPLPSGHSPLKRPLAEHLRYGIINLDKPSNPSSHEVVAWIKRILRAEKTGHSGTLDPKVTGNLIVCIDRATRLVKSQQGAGKEYVCVARFHAAVPDTARVARALEALTGAVFQRPPLISAVKRQLRVRTIYESKLLEHDPERHLAVFWISCEAGTYVRTLCVHLGLLLGVGAHMQELRRVRSGILGEQDNMVTMHDVMDAMWSLDNYKDESYLRRVVMPLEVLLTSYKRLVVKDSAVNAICYGAKLMIPGLLRFENDIETGEEVVLMTTKGEAIAIGIAEMTTAVMATCDHGAVAKIKRVVMDRDTYPRKWGLGPVALKKKKLIAEGLLDKHGKPNEKTPAEWLRNVVLPTGGDVSIASIAAAPEPDKAKVEQDTAVTEEVKEKKKKRQKDEDNDADASVPAKKIKVEEAAEAVEGEKSEKKKKKKKDKGESGSTEAVEVKEEVDAADEKGSEKKKKKKKSKEGSDAAAPE >Sspon.02G0016050-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:46983633:46988040:-1 gene:Sspon.02G0016050-3C transcript:Sspon.02G0016050-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLAPPELGQFDGWESSGEEERERWGWCRRSRRSSSRRRAAAAPKGAGADDDAAVDTGCCIRLWPVGACPPQPPPPKSKVDTSTSSASTHGEKSTENGSRNQPVALVVSGSTTTSNAESSSSTSKVGEEIKVASQLRKFAFNDLKCATRNFRPESLLGEGGFGCVFKGWIEENGTAPVKPGTGLTVAVKTLNHDGLQGHKEWVAEVDFLGNLHHPNLVRLIGYCVEDDQRLLVYEFMPRGSLDNHLFRRSLPLPWAIRMKVALGAAKGLAFLHEEAERPVIYRDFKTSNILLDAEYNAKLSDFGLAKDGPVGDKTHVSTRVMGTYGYAAPEYVMTGHLTSKSDVYSFGVVLLEMMSGRRSMDKNRPNGEHNLVEWARPLLGERQRFYKLIDPRLEGNFSVKGAQKAAQLARACLSRDPKARPLMSQVVEVLKPLQNLKDMASASYFYQTMQAERMAHSNSMNGRSSHGFKTQSPFGRNGQPPVRSLSDGPRASPFRYSPKPN >Sspon.02G0010380-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:27526670:27538464:1 gene:Sspon.02G0010380-2B transcript:Sspon.02G0010380-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSFLFDPDSSDDEAAGAPARGGPAQSPWEFSSYAESVAAEHARRRTTSIDEKISHLRQGRGKPVLSDDSESDASGSGEDDSDEEEIEGESGDEEDELEESEDENGVDGGDDEEEEVEGSGDEEASGDREGDEDGSDEEGGGELEEEDGHEEEDTAEQNDTSGPVDPSNFFASSEGASFHANSFLELNLSRPLVRACEALGYQKPTPIQEREFRRDRSKYRCNSLVNAACIPLALTGRDICGSAITGSGKVQEVALRSMPDIVVATPGRIIDHLRNSLSVGLEDLAVVILDEADRLLELGFSAEIQELIRMCPKRRQTMLFSATMTEEIDELIKLSLNKPVRLEADPSLKRPATLTEEVVRIRRARESNQEAVLLALCLKTFKRSVIIFSGTKQSAHRLKIIFGLSGMKAAELHGNLTQAQRLEALELFKKQEVDFLIATDVAARGIDIVGVRTVINFACPRDVKTYLHRVGRTARAGREGYAVTFVTDDDRSLLKAIAKKAGSQLKSRIVAEKPVAECAQLIEQLEGQISIIIREERVEREARKAEMEIAKAENMIAHKDEIYSRPKRTWFATEREKKLLAAAAKESLDQGKSTYGVISAKQAEDLRLKEKKRREHEKNLPRKKRRRLEAQREMLEDEDEDDEEAKENDRGKKPKKGQSLVDAAYRKAKSLKAASKRGPGAGKGKKEKNEGRNQRKANL >Sspon.07G0006460-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7A:16827244:16827663:1 gene:Sspon.07G0006460-1A transcript:Sspon.07G0006460-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding EGFAPLLKFIEQNKNITNKRLEFLRKRRSPQQRERREELTSNGKEEKSSPAAGHGKEEKGSLAVGHGEEEKGLPAVGHGEEEKRHRTTTALRVVAVAATATSRGRRHVGRQVVAHRAVDGRGWCVESRSTSGGGGLVWH >Sspon.02G0048950-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:31405634:31409535:1 gene:Sspon.02G0048950-1C transcript:Sspon.02G0048950-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMLSKACSIVASSLPRCSSSAAPTMRGQPSLLPSVRKQWPGKPLLYGIGTLLVMPLRTLYGVGRVFGAGRFLCNMTSVSSSLQIELVPCLRDNYAYILHDVDTGTVGVVDPSEAMPIINALEKRNQNLTYILNTHHHYDHTGGNLELKAKYGAKVIGSEKDKDKIPGIDITLKEGDSWMFAGHQVLVLETPGHTSGHVCYYFAGSGAIFTGDTLFNLSCGKLFEGTPQQKITALPDDTKVYCGHEYTLSNSKFALSVEPGNKALQEYAANAAELRNKNIPTVPTTIGREKECNPFLRTSNPEIKRTLSIPDHFDEDRVLEVVRRAKDNF >Sspon.05G0001500-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:3440997:3442110:-1 gene:Sspon.05G0001500-2D transcript:Sspon.05G0001500-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQKVVLKLDLHDNKDKQKVLKAVSTLHGIDSVSVDMKDSKLTVIGLVDPVDVVARLRKVGSATIVSVGPAKEEKKDDKKKDSDKKDGDKKPPPPPVLLYPHQWYPYAAAQYHPHPYPPQYVVHSAEEDPNSCVIC >Sspon.02G0039020-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2B:47285765:47290984:1 gene:Sspon.02G0039020-1B transcript:Sspon.02G0039020-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MACSHQPPSTPSPHAVLLLLSRPHPCSFRDGPSGELGARRPAPSAPDRSRRVAVAAAHPTSARTAATPPIGRWLVDPSRRAVAVAVTSRPPSLLDGAGGSPRRRSTAVYACLEYSSAASAAGEAEESFIVAKSNHNKTEKRPEKIISWRRHHLRLDATVRKQKSPCRHVDEDADADVVTLIFNGAVMEKTIPPFSFTVDRDEEKEEIKLQYQCNMGGQCGELARWRRPCEMVATGGVRDGRGESMWAGASNGAHEACGVGGPGRAERITQKQAAQTGRVE >Sspon.02G0001820-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:5964204:5965803:-1 gene:Sspon.02G0001820-1A transcript:Sspon.02G0001820-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNGRARLRCTTSSPRGDGALGSRAGSRRAQQQSIWRTRDFSAPNSSPPSYIPASSLSPNPSPSRARVSDSVRPRCPWQPQLGRRRARHRRRWLGRPLWPQPIPSVAVAAWRRRLSPWVVVAARCRRPALSDVVAARQQPRPAQSTVVSVRARRRRHPQSMDPAEVASPSLHRPWMGFHSHLHRLKLGGMQPAVIPLLLDLGIQQL >Sspon.05G0027160-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5B:49722911:49723951:1 gene:Sspon.05G0027160-1B transcript:Sspon.05G0027160-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKWVSDCLPGSLSSPDHPPVVSTIKLSVEMPRALFLWYMVMVTPQKRLVWSCKATSPAEFNYGVRNHLRSQGVDHPDHPVA >Sspon.06G0004450-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:11370029:11374435:-1 gene:Sspon.06G0004450-4D transcript:Sspon.06G0004450-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Signal peptide peptidase-like 1 [Source:Projected from Arabidopsis thaliana (AT4G33410) UniProtKB/Swiss-Prot;Acc:Q93Z32] MESLWKLTYLLEPASLALIATAISVAYASASRALDYGKEMERNLDFSEASITLDRSQALMIPLASSCSLLLMFYLFSSVSHLVTAFTAVASAMALFFCLSPHITYLKTQFNLMDPFVSRCCSKSFTRLQGLLMLFCIATVLAWLVSGHWLLNNLLGISICIAFVSHVRLPNIKICALLLSCLFVYDIFWVFFSERFFGANVMVSVATQKASNPVHTVANKLSLPGLQLITKKLELPVKLVFPRNLLGGIVPGNNPGDYMMLGLGDMAIPGMLLALVLFFDNRKFKDVNVPSDVSPPKRQNYVWYALTGYGIGLVAALAAGILSQSPQPALLYLVPSTLGPVMYLSWLRNELWELWEGSGTILNEKARLLEV >Sspon.01G0061420-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:93339814:93342117:1 gene:Sspon.01G0061420-1D transcript:Sspon.01G0061420-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQSMARHEVFCVVPARHKCEDCAVPKISARWAIWPSPHVGPCLGQHDTKMTRSRNGLIRPLEEKSASSDPEGKASDSPDPKGVGPGLADPGAALSASPDPKEPGPAPTLQMGFLPRPTGFMQIDPRAGVTCVPEGSYRSQPLRMRPAHLGMTRNNDEPCLGTHDGPRRGIGQHYAALSPYGPRRHRLFDLSNPCRGMGHLVWVDRQHMLLQ >Sspon.03G0000680-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:13425041:13428921:1 gene:Sspon.03G0000680-3C transcript:Sspon.03G0000680-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLTCLSASGGGGGGYHSPASHLLELEGFRFLLDCPIDLSALAAFAPVPLAGDAAGLIRAVPRYWLPAAAKAGGVDAVLVSSATGMLGLPFLTGLPGFADTKVYVTEVAARIGKLMMEELVEMHREFVRYYGPDTDASPKWMEGEELNELMSMLQKAVIEGREYDSTSLVPLYSPGNIEECMHKVQPVKYGEEVCFNGIFMLKASSSGLELGNSTWAIKGPRASVTYLPSSVFVSAHALDFDYSSLKENDVILFSDFSSLNDMYEDNEKLNEHSMDETDSSLCCYSVLRDDGADADEKMPFMCNKDDITEEIERISFICSCIFDAVKSGGSVLIPIGRLGVILLLLELISEMLHSSSMKVPIFVISETAEEIFAFTNALPEWLCKSRQEKLFAGEALFGHVELLKEGKLFVFPHLHSKGLLFKSGCSIKEVPWSFLYYSKGKTIELPNLREDFEVHLATDVAFRLQPRQLNETTAVARLRTKLLVSSGRYQLASAEKQSDQSKRHLLHCSTIDPGSLLSALQEKGM >Sspon.03G0025340-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:76665885:76666958:-1 gene:Sspon.03G0025340-1A transcript:Sspon.03G0025340-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRALVALYITICSVLFLLSKMLISFLLYKKWARKKRIIDNSLAGGKMVIFRSATMAMPQSLTPKSFLAMLTGLSTKDVIGAGGYGTVYRLRLDDKTAFAVKRLNRGTAEMDRGFERELDAMGDIKHRNIVPLCGYYAATHFNLLIYELMPNGSLDQALHHANQFLLDWPARYRIALGVARGLSYLHHDCIPHVIHRDIKSSNILLDHHMEARLSDFGLATLMNPSASHVTTLVAGTFGYLAPEYFDTGRATTKGDVYSYGVVLLELLTGKRPTDESFLENGTRLVTWVRETMEEKREEHAIDEALLKLHHQLPTEEVRLVFSVADKCLDSDPANRPTMAQVVKMLEQGNTTHHHQQ >Sspon.01G0010850-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1A:30146767:30147237:1 gene:Sspon.01G0010850-1A transcript:Sspon.01G0010850-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSGEAGGKKRKASAAEDITSPAARREPRRGLGVAELERIRVALEMAERCYAAASSLSVPQHHPPPALPPPPTTLVVHAAGVAAAPAHHQHVRAPADSDAAHPLPVLRHCQKIKKNIFNFSTRIYRSEMELFITFKSQEGIWLRIASPSIITAMIL >Sspon.03G0032780-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:40151548:40153982:1 gene:Sspon.03G0032780-1B transcript:Sspon.03G0032780-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SFPQAILNLSTLVLFGIAGNHLSGKVPPGLGTSLPNLQLLAIGGNFFHGHIPSSLANASDLAEIDISSNNFSGVVPSSIDKLRNLYWLNLESNKLKARNSQDWEFLYNLGNCTNLQTLSLFYNQLEGRVPTSLGNISVELQTLYLGNNQLSGGFPSGIASLRNLIELGLSGNQFTGKVPEWLETLNSLQLINLDNNNFTGFIPSYLTNLSQLSYLFLQSNKFEGHLPASIGNLQNLAFCTISNNFLHGGVPKEIFGIPSIIYMDLSTNHLHGQLPFEVGNAKALVYLNISSNMLFGDIPTTIGNCENLEYIELQQNSFGGSIPSTLGNISSLQGLNLSHNNLIGSIPMSLGSLQYLEELDLSFNNISGEVPTKGIFSNVTAVQIDGNPGLCGGPLELHLLACHVMLVDSSKQRYSTIQKVVISLASILSLAIVITLLQEQQELSQETSFAMKEKRLECLLSVLNIGLVCTKTSPNERISMQEVAARLHEIKKAYPREN >Sspon.02G0043330-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2B:91132103:91132267:-1 gene:Sspon.02G0043330-1B transcript:Sspon.02G0043330-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DFQGDNDDDFLRALINVDLACRDLDLGVLKLLAVAALSFANVPALLGHLIQAAR >Sspon.06G0022670-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6B:33986448:33986826:1 gene:Sspon.06G0022670-1B transcript:Sspon.06G0022670-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVIGAELVSGTNLGSDSGRWMERSRDGRREYGRVQCQRVARAESVLRPRAGVHSIERCERRGRVDEDEP >Sspon.01G0048960-2P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2D:73030349:73034046:1 gene:Sspon.01G0048960-2P transcript:Sspon.01G0048960-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAHSLLHAPAARRAAATATRSPLSPPAPPTPCLRLRARRPHRLLRSTSPTAASDLTAFPPPNPNGIFASDPPPIDVDAATEAELRENGFRSTRRTKLVCTVGPATSAPDQLEALAVGGMNVARLNMCHGDREWHRDAIRAVRRLNEEKGFAVAVMMDTEGSEIHMGDLGGASSVKAEDGEVWTFSVRSFDLPLPERTINVNYDGFAEDVRVGDELLVDGGMARFEVIEKIGPDVKCRCTDPGLLLPRANLTFWRDGSIVRERNAMLPTISSKDWLDIDFGIAEGVDFIAVSFVKSAEVIKHLKSYIAARGRGSDLAVIAKIESIDSLKNLEEIIRASDGAMVARGDMGAQVPLEQVPSIQQKIVQLCRQLNKPVIVASQLLESMIEYPTPTRAEVADVSEAVRQRADALMLSGESAMGRYPDKALSVLRSVSLRIEKWWREEKRHEALELQSVSSSFSDKISEEICNSAAKMANGLGVDAVFVFTKTGHMASLLSRCRPDCPVFAFTTSTSVRRRLNLQWGLIPFRLSFSDDMESNLNRTFSLLKARGMIQSGDLVIALSDMLQSIQVMNVP >Sspon.03G0031060-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3B:24211783:24213102:1 gene:Sspon.03G0031060-1B transcript:Sspon.03G0031060-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MESDTERDVPNLSPAPNPSSHHQQPLRLVKSTAFKREERRKRKDRKRQERLADELAQWQPLGAPPPLPAATGSMSPSRAQPDTPWPCDPPPPPAPAEADSWSWGPPAIPSPTPPQPAVEVAAAVPLHPQAVAVRSCRAFFASQIEDDDEEENGGNAARFFSELLGSDAALRGFYDSTRRSGTRGSSCAWCARGAAPGWARGLPDAPRSCSTPGRSPEPRGGWRTARSPTPSDCCSDGAQAGSLLRRIRLDLKKRL >Sspon.04G0007200-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:20594075:20596209:1 gene:Sspon.04G0007200-1A transcript:Sspon.04G0007200-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNKVFSLRSRPPTSTAGDLAAAVAMDRWTGVLHVPLSRGGPLFRVAASLLLSPAKTLAVPRVNAILFTGDRVRGTGDPAIERLSDAVHLAGVLAGKLPVETNAWVIDAACFAGPFAVYRELVPTVDGAGDPKGYDPTGLPAAAGVANILAHSIGEIQNRFLGFSAKDLTGNQHPSASLSSYSPPRTVILGFSKGGVVVNQLVTELSRWASGSMKNSVDVSRPNPSHLTQNLLVPTSNSDVLSSISEFHYVDVGLNCAGAYITDYAVIERICDYVVHAGKNLRFVLHGTPRQWSDPNRSWVRKEKDIMLQVLREEAHRSERRLVSSEKLYFEGRPRSLLMHFEILEAMDIS >Sspon.05G0007770-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:24248236:24252561:-1 gene:Sspon.05G0007770-1A transcript:Sspon.05G0007770-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ECO1 [Source:Projected from Arabidopsis thaliana (AT4G31400) UniProtKB/TrEMBL;Acc:A0A178UZG9] MQPKISAFFKRQEADPDPNSVGAATEAKRKAKGCRPSEIVSKKRNYAQFHLELGQPDFLLHTCSVCGMMYARGNDEDEKVHRAYHKSYSEGITFKGWKNETVMARSEGGDRVILATDENSCVWKSKVREVITVVEKELGFGEGKLLHKLCKVYLYISGQRIVGCLVTEPIKTAHRVIPSSTEESHNNLPVDSTEPGKNGHTLEFGEISFKREIIRRHNHSIKNKEECQDPGAIICETEAVPALCGFRAIWVVPSRRRKRIASKLMDVARKTFCEGKTLGISQFAFTPPTSSGKGLACRYCKTSAFLVYKDGPVFPAKAFKISTSEQLGTLRNLPKIYILSQFHFPLSRIDLPHLAVGHTAPANQPEIMVFGIKLKKIKTYGI >Sspon.03G0023920-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3B:96443977:96449245:-1 gene:Sspon.03G0023920-2B transcript:Sspon.03G0023920-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHALDRGARRSRLGGLGRRLLLREPAGTAGNQRNAHTARPPARRVRCCRLICVAEPPPRSTVKKGTWMDFSPPTPLLLGQCPSIPGHHFVCYPSPCRGRHQRRRLLLLHSFDCVSFCVSCRPSYGLRRGGWTRIVGRGAAVAAAKQKQAGDLDPRHHHRAPHGGGGGEGGGGGAQQEADEQQRHHHNRLLQLHQQVQQDQDPPPVPLFQLQHLQAAAAVRQRGGLSAEYALLAPMGDAGQSHSHHHHHAFQPQLLSFGGVGQHHVHQFTAQAQAAPAASHSSRPRGGGASGEVVAATSASHSRVRGGAGGGGEIVAVQGGHIVRSTGRKDRHSKVCTARGPRDRRVRLSAHTAIQFYDVQDRLGYDRPSKAVDWLIKNAKDSIDRLETLPAWQPTATASNAAAPPSSSTHPDSAENSDDQAQAITVAHTAFDFPGAGGAGGGGSGAGFLPASLDSDSIADTIKSFFPMAGTGGGEASSSTAAAQSSAMGFQSYTPDLLSRTGSHSQELRLSLQSLPDPMFHHQHQDRSQQGHGGNGSSQQALFPGAASYSFGGGGGAMWAEQAQSQRMVPWNVPDPGGGSTGGYLFNVSQQAAHMQAALGGQSQFFFQRGPLQSSNQPSERGWPETVEADNPMQQQHGGGLRPTVSTIGFAPGVGFSGIRIPTRIQGDEEHNGGSGNGDKPPPSVSSASHH >Sspon.03G0044130-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:5710052:5711667:-1 gene:Sspon.03G0044130-2D transcript:Sspon.03G0044130-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLKDLAIQQHQQLAAAADENMSNLTSASGDQASVSSHPAPPPAKKKRSLPGNPDPDAEVIALSPRTLMATNRYVCEVCGKGFQRDQNLQLHRRGHNLPWKLKQRNPKEVVRKKVYVCPEPGCVHHDPARALGDLTGIKKHFSRKHGEKKWKCDRCAKRYAVHSDWKAHSKVCGTREYRCDCGTLFSRFPSLPFPSHVAHGHGPSYLSAFTVLAVNEMIHVHGRVACRRDSFITHRAFCDALAEESARAVTAAAAVVAGQHHPGMLFSQAAAAGGGDGSAGLHLPPGVLDPSQTLGGGHGMSLQELCLKREQQQQQQQQFAPSSWLTAHHQQELDLPGAGNSALFGSARPLDQQDYMGSSTPESTAGLSGFVGFSPSAAGGGAASAHMSATALLQKAAQMGATLSRPSNQGQMASTHSSSITNAGTGTANAAAAAASNVPGPGTGAGALGFGAPHHFGAEERTTRADRDAGNGGAMLPPEAATKASPGTSWG >Sspon.01G0013520-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:37633721:37634863:-1 gene:Sspon.01G0013520-2C transcript:Sspon.01G0013520-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPTAPAATGVWSPAAAPVAKGYRKAAARSVWPGLPALPPVPGLRLAAQGQTRAASSFCNRLARNVVAMATGEPSAAPLADNEELTEFVNALKQEVGRSTTMTRLLVAACVVVQWDRIEDKYAVTTLAVAATLGMWSAGGVVSAIDRLPVIPGLMEAIGWFAYRNLLFKSD >Sspon.06G0002500-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:6708456:6709681:-1 gene:Sspon.06G0002500-2B transcript:Sspon.06G0002500-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ADSCGTAAAEERARAQVRQLGQRRQRAVHALLRQRAQGQGRRQQDDQPQRPRREPGGLLHGRAVSEPLRGAGADASAARAPAQRRAAGVAQPLRRVAVPPPPRRKRRRAGRRWRRRVQRRTVPRAPVQLRECRVRPRGQLRRPVPRQGRLARQRDADEGLGGAQVRRLGLQPGVGGRLHAHLQQGEGGEADPGGEAGGVRQGRRAWQRRQAAPRRRLRLIEILVLWMVQV >Sspon.03G0022760-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:69521402:69522442:1 gene:Sspon.03G0022760-1A transcript:Sspon.03G0022760-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding IMAWRGRLGAGDAGDSGLELSLGLPAYFAKPSSGLDAAGEESGEASAFALQAAKGSNGSKARARTAAAAPVVGWPPVRSFRRNLASSSSRPSPQSSSGHHRHHKVQDCGGAMDGAHKGGLFVKINMDGVPIGRKVDLTAYCGYADLSAAVGKLFRGLLAAQRDPAATAGGEEAEEVEEPVIGGDYTLVYEDDEGDRVLVGDVPWE >Sspon.08G0010850-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:43147933:43157051:1 gene:Sspon.08G0010850-2B transcript:Sspon.08G0010850-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEVERNLRMVAGDGETSYAKNSRLQEKAMLEVKPMLAKAITEVCMALSGTSTMVIADLGCSSGPNAVLFVASVVRVVEEHCKSLLGCHEPLELLFFLNDLPKNDFNNLFRSLEQIKNMVDVHPCNYGGETTTVTPPCYVVGLPGSFYTRLFPCHSVHFFHSSYCLMWLSQLPEELDIKSTTHLNGGNIYITSTTPPSVVKMYQQQFQKDLSLFLKLRFEELSPGGQMLLTLLGRKNKDAFHGNLNHVYGLLGQAMQSLVAEGIVGKEKLNSFNLPIYGPSIDEVKEVVRQSGLFKIDHIQLFESNWDPYDDSEGDLVPDSIQSGVNVARSLRAVMEPLFASHFGEQILDELFKRYACNVAKHLEKEKTKYS >Sspon.07G0012980-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7C:53848762:53852997:1 gene:Sspon.07G0012980-2C transcript:Sspon.07G0012980-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding QEEGSLRLRLAGPAGNWATGLPPAPPYAKCPVLHRSLRQVFVGSSPATSTHQGPTFGLLLNFNPGILLLQDSGYIASYCFILSAAASGGGGSYKELGKYVQKLLSNLKLCLAHQCWRYVFLSVMRWMYKNQLQELAQRSCFNLPSYSCIREGPDHAPRFKATVNFNGEMFESPVFCSTLRQAEHAAAEVALNELSKRGPSSTLAAKVLDETGIYKNLLQETAHRAGLKLPIYTTIRSGPGHTPVFTCTVELAGKTFTGNPGKTKKQAQKNAAMAAWSELKQLPRVGEPSSSSCPADQDDEEQEQVIVTRTLVSLNQANSGKVPHQKEKQQSNNRPSSRRSYLKPNTSFYRPYMQNQACPSVPPDQAMYHLWHRMQVTQPTPRFPVVPAMGNTRFPPPPPAAMLPMYPPPRGQFSNLANQDALGLLPCFPEAAPALPRYFSPYPVSYVPRSPLPVTVHKIHEKRQDHTETVELPDAVVFSPCITLDSFRTSECGGPPRKVQEPTKNGKEGCTGSSTSPEEEHNTLTVPSSTTQSSSHKLEPNEDKQTLQAGLKQPHEQQLMPSSSCVSPSVAAQNSVQRKHYASSIQHDEPIHRRNAPHTNPPALLDLWSSRSQALPRFGSAPPVNSPSSVFQQRPPWLAAPVTVRTAVPVCSARPNVVNSSSGTAPARPAAQNRSAPTRADPESHTHNGDRDRNSTAAASSEFNKLHI >Sspon.02G0046550-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:2512519:2520453:1 gene:Sspon.02G0046550-2P transcript:Sspon.02G0046550-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MYFHYYGQLLHQQNMLQDFVRTGTYYAAVMENRSDFEGRVVVDVGAGSGILSLFADRLVPDMYMQFEASEMAEHAQRLISGNPSLGQRITVIKGKVEEVELPEKADILISEPMGTLLVNERMLESYVIARDRFLAPDGKMFPTTGRIHMAPFSDEYLYVEMANKALFWQQHNFFGVDLTPLHGSAFQGYFSQPVVDAFDPRLLISPPTYHTLDFTSMKEEELYEIDIPLSFVASVGTRVHGLACWFDVLFNGSTVQRWLTTAPGSPTTHWYQLRCVLSQPLYVMAGQEITGRLHLVAHSSQSYTIYLTMSAKMWGVGAEQGGILQTSTGKLELKEPYYRLSQPQSYMLPQDQQQQQLPPLQPQGSEQQMQEGLSPGITIDQVDQDCGLN >Sspon.06G0003480-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:10326802:10329601:1 gene:Sspon.06G0003480-1A transcript:Sspon.06G0003480-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAASAPVFERVAGIRAIAEHGSWTSLAFFTTGRSPTPVPFWHVILAVEKLAGNGAKMVIISNSSRRSSVTMEKLKSLGFDTSCFLATITSGELTHQHLQKRNDPWFAALGRKCIHITWGDRGAISLEGLGLQVVNNVDDAEFILAHGTEALGSPSGDPIPKSLEELEHVLMLGLEKRLPMVSIGGEVKWMGKPDKVIYTSAMSLAGVDAHECIMVGDSLHHDIKGANASGIASAFITGGIHADELGLGEFGETPGEDAINSLCSKHGSYPSYVLPSFT >Sspon.03G0034420-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3C:70311752:70315629:1 gene:Sspon.03G0034420-2C transcript:Sspon.03G0034420-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding TLCSFIGRETPSVAGI >Sspon.07G0007140-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:14678641:14680702:-1 gene:Sspon.07G0007140-4D transcript:Sspon.07G0007140-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LVGRSSAKCASSGQAATASRREQHRQPPPAMSPPPSPLLRNELSRRTAVLGLRLWVLVGIAVGAAFVLLLVLISLHLAAARRRRPRKGVAHAPAPAPAAPLSPSTIPPVSKEIQEVAVHVGSLRHYLEMGHAFLKDGGGGGAQAAQAPHHDGGDGESVAHGSQRVHIEAGKGHCMVAYADGEVGPVASDVSAAAAVVVGPEVSHLGWGHWYTLRELEEATAAFAPEHVVGEGGYGIVYRGVLADGYQVAVKNLLNNRRALPFATQKPHLLAGQAEREFKVEVEAIGRVRHKNLVRLLGYCAEGAQRILVYEYVDNGNLEQWLHGDVGAVSPLTWDIRMNIVLGMAKGCLNFVFHCFSFCSLMITYLHEGLEPKVVHRDIKSSNILLDRRWNPKVSDFGLAKLLGSDSNYVTTRVMGTFGYVAPEYASTGMLNERSDVYSFGILIMEIISGRSPVDYARPAGEVNLVEWLKNKVTNRDYEAILDPKLPEKPSSKALKKALLVALRCVDPDSQKRPKMGHVIHMLEVDDFPYRE >Sspon.04G0011150-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:29779766:29781570:1 gene:Sspon.04G0011150-2B transcript:Sspon.04G0011150-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDSKGGAGGMGMNMVTTVMAFSVSALFVLFVFTRLLCARLHLSRARAAAAGDAVVVERGIHGLEPSVVTTFPTVKLGDGDGGKQPPPVQEESQGPAAAATAHQVPASSSDLGASPPAVGHQHQTDDMGADGLLEIISEEPASSGDPSPAAAAAGIHPRCDDAARQSEGSAGASEHC >Sspon.06G0035440-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:74572343:74574220:1 gene:Sspon.06G0035440-1D transcript:Sspon.06G0035440-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGEKTSTAKVAELLLSKCGGLPRVITTVAGYLASKPRDLLGQEMRRLSDNFIQEVATNPVFDSLRGLLAWMHCYLDACPPHLKKFMLYLSIFPQDIIIGRRRLIRRWIAEGYSKGNDSSSMEKYAEKIFDEVAALSVMQPVLEASKVIGYRVNGFFREYVISRPAEERIFFPVEVSALGAGHDGHLTTEGIGQHLAIEILADLVLFESLDVSRLRSLTVFKRPRASLFISDRMRALRVLDLENADSEFFVRSTLDFEGIAKLSSRLKFLSLRGQREIYRLPDFMGDLVQLQTLDIRDTSIVSLPPFITKLRKLQYILAGSTISWAEDTDTIAAEEQLTPSLTSRPRTLASCLPKFHTRGPVGSRSGAGVGVPRGIWKLKALRTLGAVDINTTDVELLHGNLKFLFLLKRLEVSGISRKSRCRHFLSNLHDLIFLESLSLQFENNNHFVHWDSMHLPEWLRSLKMYGHVEQLPVGIKDHGYLVKLTLEMTILFTRDVIEVLGSIPDLRTLRLRVNKDQDGELKFHTNLFSRLQELEIACKSKLHVRFDEGAMEKLEQLNLHCLQGSEMRVSGLECLGYHLKQVWLLGSFDNALKEVAQQQLVKHPKKPAPKLELQPRSSQASRS >Sspon.06G0015030-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:63271553:63281733:-1 gene:Sspon.06G0015030-4D transcript:Sspon.06G0015030-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPARDEHLDATRALMAAHSPPLHALVVPSEDAHQSEYVSEQDKRRQFISGFTGSAGLALITMKEALLWTDGRYFLQATQQLSDRWKLMRMGEDPPVEAWIADNLANEAVIGINPWCISVDSAQRYEHAFLKKHQTLFQLSSDVVDGVWKDRPLVEPRPVIVHPVEFAGRSVPEKIKELREKLVHEKATAIIITALDEVAWLYNIRGSDVDYSPVVHSYAIVTLHSAFFYVDKRKITVEVQKYMSGNGIEIREYETVQSDASLLASGKLQSSVHVEKDMNEVESSKIWIDSGLCCLALYSKLSPHQVLALQSPIALPKAVKNPTELDGLRKAHIRDGAAVVQYLAWLDNQMQENYGASGYFSEIKGSHKKENLPTKLTEVSVSDKLEGFRATKENFKGLSFPTISSVGPNAAIIHYKPEANTCSEMDADKIYLCDSGAQYLDGTTDITRTVHFGKPSEHEKSCYTAVLKGHIALDIAVFPNGTTGHALDILSRTPLWREGLDYRHGTGHGIGSYLNVHEGPHLISFRPSARNVPLQASMTVTDEPGYYEDGSFGIRLENVLICKEANAKFNFGDKGYLAFEHITWAPYQTKLIDTKLLTPVEIDWVNTYHSDCRKILEPHLSEQEKQWLMKATEPIAASS >Sspon.04G0013320-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:44385949:44389010:-1 gene:Sspon.04G0013320-2B transcript:Sspon.04G0013320-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIFGAIFEFNPPSSKEASVANAPRFVIAFRGTITEKDTISRDLSLDLHLVQNGLHRTSRFNIAMQAVQNVASVFPGSTIWLAGHSLGAGMAILTGRNMVKKGVLLESFLFNPPFVAAPIERIRDERVKHGFRIARSVITAGLTIAMKAKSEGNSQRSVAEESFSILSSWTPYLFVNPGDHICSEYIGYFQHRKNMEDLGAGFIEKLATQNSIGDLFFKALGWESEPLHLLPSADLIVNVSPVTLRCYAMHFCMAIILHSIHIIIIMYDNGIIRLK >Sspon.02G0005240-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:18549569:18550812:1 gene:Sspon.02G0005240-3C transcript:Sspon.02G0005240-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYARTVKAAAAAAAALLTAVGVRFLGPAAAAFVAEELPRARAVATTWLTPPYLYLVINAIIISIAASSRFQTSGGGGGRPSAPSYAPAADADAIGGGGGGAAGEEMLQDGIQPAIAFQVPAAAAVPVVAVKTAEVAEPVMEIHTVAVAPAPAPEDEVDEDFSISRSTWTPRRRGAEPEVAADEVAPFADLTNSREKPLLSARFSRKAAKASPEGSRALRVARPRKEETLESTWKAITEGRGPPLARHLKKSDTWDTRPGRRPSGGGSSGEVDPAAVASTAGAMRKAETFNDSGAGRSKAAPPAPVRREPSLGQDELNRRVEAFIHKFNMEMRLQRQESLKHYNDMLGRGSRY >Sspon.05G0006300-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:11612288:11614310:1 gene:Sspon.05G0006300-3C transcript:Sspon.05G0006300-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor with zinc finger domain and helix-loop-helix domain (YABBY domain), Leaf developmen [Source: Projected from Oryza sativa (Os04g0536300)] MSSVPETFNMDQQHLVQQQQPPPAEQEQICYVHCSYCDTILAVGVPCSSLFQTVTVRCGHCSNLLYVNLRALLLPPAAAANQLPPFGGQALLSPTSPHGLLDAETMSFQAPSLQPSAEPPSACVSTITSINNTCGGNSASAMSSMAPPPPAKPALLQEPQLPKSNKTSEKRQRVPSAYNRFIKDEIQRIKASNPDITHREAFSAAAKNWAHFPHIHFGLMPDQGLKKNPMQNQEGAECMLFKDGLYAAAAAAAAAAAAATAASSMGISPF >Sspon.07G0005250-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:11920376:11923920:1 gene:Sspon.07G0005250-4D transcript:Sspon.07G0005250-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMYHTKNFSVPFAPQRAQNNEHASNIGGIGGPNVSNPANPVGSGKQRLRWTSDLHNRFVDAIAQLGGPDRATPKGVLTVMGVPGITIYHVKSHLQKYRLAKYIPESPAEGSKDEKKDSSDSLSNTDSAPGLQINEALKMQMEVQKRLHEQLEVQRQLQLRIEAQGRYLQMIIEEQQKLGASIKASEDQKLSDSPPSLDDYPESMQPSPKKPRIDALSLDSERDRTQPEFESHLIGPWDQEICGKNICGVAFPVEEFKADPGMSKS >Sspon.01G0011860-1P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1A:32133312:32133701:-1 gene:Sspon.01G0011860-1P transcript:Sspon.01G0011860-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAVALVKIGTWGGDRGSPCDITVAPQRLESISLRWGKVIDWISFSYRDRDGKLHTVGPWGGNGKGEGDETITLGPHEYVTEVVGTIGPIGEFTHTISSLKFVTNRATYGPFGRGAGASFNVPVLNNASI >Sspon.07G0021700-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:3937681:3938349:1 gene:Sspon.07G0021700-1B transcript:Sspon.07G0021700-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RFTASPVVELPVGGAVLTFEQDNDSFEVGTSVWPSSLVLVKFVERCLRDPALPFADVLRFPGTRAVELGAGCGPAGLGLSRLGLTDLVLTDIAAVLPALRRNLRRNRVHLPRAPRLAQLHWNCPAHLATLATPRRFDLVVAADVVYVQESVPHLIAAMDTLADAERGVVLLGYQIRSPEAHQAFWDSVPAAFPVIEKVAREHLDPEYAYEESDVYILGRSPRQ >Sspon.02G0015050-3D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2D:35347136:35349096:-1 gene:Sspon.02G0015050-3D transcript:Sspon.02G0015050-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHAYAEAAEIADILSQYGLGPSEYGPVVTSLRNNPKAWLEFMMKFELGLEKPEPRRALV >Sspon.05G0004590-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:13757644:13759003:1 gene:Sspon.05G0004590-1A transcript:Sspon.05G0004590-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIPRYSSFLSALRHRYRYLYSSGFLPPFPPHAFFVFVVSCSPATVVSLEHRTTSSFHRSFVLSRDLLGGSRGMEAYVLFARGKEEVVSKFEEQEEDIGCPSESSAARSTSSSSDGVDLADDASSSGSNSHFEMASLMTHLPIKRGLSKFFDGKSQSFTSLAAVGGLEDLPKPPAKRLKTSRSCGVSLKDAHRGPNPATGKNQAVLGSAPRRLVRARPLVTARPAAAGKPLLFA >Sspon.02G0009080-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:24263037:24265897:-1 gene:Sspon.02G0009080-2B transcript:Sspon.02G0009080-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:3-dehydroquinate synthase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G66120) UniProtKB/Swiss-Prot;Acc:Q8VYV7] MAASASSLLAAAASSSCAAISPRLPRGAPAAASVPSPSRHSCYSLRASPARCHQSRFVASAAPTMQPPAESRVSTVVDVDLGDRSYPIYIGAGLLDEPDLLQRHVHGKRVLVVTNTTVAPLYLEKVTWALTHNNPNVSVESVILPDGEKYKDMDTLMKVFDKAVESRFDRRCTFVALGGGVIGDMCGFAAAAFLRGVNFIQIPTTLMAQVDSSVGGKTGINHPLGKNLIGAFYQPQCVLVDTDTLNTLPDRELASGIAEVVKYGLIRDAPFFEWQEKNMLKLLAREPNALAYAIKRSCENKAEVVAQDEKESGLRATLNLGHTFGHAIETGLGYGAWFHGEAVAAGTVMAADMSYRLGWIDESLKKRVVDILKQANLPIAPPEAMTVEKFKNIMAVSTLILPAAF >Sspon.05G0010660-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:30114450:30117207:-1 gene:Sspon.05G0010660-1T transcript:Sspon.05G0010660-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGSGSAAIFPNTRTPEDVFTDFRARRAGILKALTTDVEKFYKLCDPEKENLCLYGLSNETWEVTVPAEEVPPELPEPALGINFARDGMPEKDWLSLVAVHSDAWLIAVAFYFGARFGFDKDTRSGSGKKQPKAPSSNGKTKSSSKKPNSNSKPAKQPPPKQEQQIIKEDGGDKDQAYLCGTCGGRYSNEEFWIGCDICEKWYHGDCVRITPAKADYIKQYKCPACSNKRSRE >Sspon.01G0000730-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:2443168:2449374:-1 gene:Sspon.01G0000730-1A transcript:Sspon.01G0000730-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAARLGFSRSFSGLLHEEARRRSPSHPHWPLPPTAADWNVFFSVRSSDFGGGTGFDVNYGRSENEMGLVMRPCAKDGQNNTSGEQQLDGGHANHSEPCSLASHATHAGTKASVSIRHPLAAPALLLEWKWLFVQFYMLPNQQGTPRPLRLIICAVCDPIVLASPPLFSLPSLRGLCLYQFVALATRGAFLSVPLICSMLQQSA >Sspon.05G0001220-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:4095358:4097853:1 gene:Sspon.05G0001220-1A transcript:Sspon.05G0001220-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding EMGGSRWLLLHFLLVSVLLHVVLSGRSLESQACDPADLKALRAFSDALDSKPAGLVGWGPGDAAACCSWTGVVCDLGRVVSLDLSNRSLHGGISSALASLDGLATLNLSRNALRGAAPEELARLLRLRVLDLSSNALSGPFPTAGGGFPAIVEVNISFNSFDGPHPAFPAAANLTALDISGNNFSGGINSSALCLAPLEVLRFSGNVFSGEIPSGLSRCRALTELSLDGNCFTGNIPSDLYTLPNLRRLSLQENQLTGNLGNDLGNLSQIVQLDLSYNKFTGSIPDVFGKMRWLESVNLATNKLDGELPASLSSCPLLRVISLRNNSLSGEIAIDFNLLPKLNTFDIGTNNLSGVIPPGIAMCTELRTLNLARNKLVGEIPERFKELRSLSYLSLTGNSFTNLASALQILQHLPNLTSLVLTRNFRGGETMPVDGISGFKSMQVLVLANCLLTGVIPPWLQSLGSLNVLDISWNKLNGNIPPWLGKLDNLFYIDLSNNSFRDIPTGGQFSTFSPEDFDGNPTLCLRNSSCAAKDSSVGAAHSKKSKAALVALGLGTAVGVLLFLFCAYVIVSRIVHSRMQERNPKAVANAEDSESNSCLVLLFQNNKEFSIEDILKSTNNFDQAYIVGCGGFGLVYKSTLPDGRRVAIKRLSGDYSQIEREFQAEVETLSRAQHENLVLLQGYCKVGNDRLLIYSYME >Sspon.01G0047670-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:105125650:105131293:-1 gene:Sspon.01G0047670-1P transcript:Sspon.01G0047670-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASAGLVAGSHNRNELVVIRRDGEPGVRCARVPGDEEEDGVDDLENEFNWSDKHDSQYVAESMLHAHMSYGRGADLDGVPQPFQPIPNVDDIPPEQHALVPSFMGGGGKRIHPLPYADPNLPVQPRSMDPSKDLAAYGYGSVAWKERMESWKQKQERMHQARNDGGGNDDGDDADLPLFDKEGQPSQLAPIDFFVSTVDPMKEPPLVTANTVLSILSVDYPVDKVSCYVSDDGAAMLTFEALSETSEFAKKWVPFCKRYSLEPRAPEWYFQQKIDYLKDKVAQEFVRERRAMKREYEEFKVRINALVAKAQKVPEEGWTMQDGTPWPGNNVRDHPGMIQVFLGQSGGHDVEGNELPRLVYVSREKRPGYDHHKKAGAMNALVRVSAVLTNAPYLLNLDCDHYINNSKAIKEAMCFMMDPLLGKKVCYVQFPQRFDGIDRHDRYANRNVVFFDINMKGLDGIQGPIYVGTGCVFRRQALYGYDAPKTKKPPSRTCNCWPKWCICCCCFGNRKTKKKTKTSKPKFEKIKKLFKKKENQAPAYALGEIDEAAPGAENEKASIVNQQKLEKKFGQSSVFVASTLLENGGTLKSASPASLLKEAIHVISCGYEDKTDWGKDIGWIYGSVTEDILTGFKMHCHGWRSIYCIPKRVAFKGSAPLNLSDRLHQVLRWALGSIEIFFSNHCPLWYGYGGGLKCLERFSYINSIVYPWTSIPLLAYCTLPAICLLTGKFITPELNNVASLWFMSLFICIFATSILEMRWSGVGIDDWWRNEQFWVIGGVSSHLFAVFQGLLKVIAGVDTSFTVTSKGGDDEEFSELYTFKWTTLLIPPTTLLLLNFIGVVAGVSNAINNGYESWGPLFGKLFFAFWVIVHLYPFLKGLVGRQNRTPTIVIVWSILLASIFSLLWVRIDPFLAKDDGPCWRSAVWIATRRSACGLPHQCVVEEVFLQMFCAHIFSIFFLCR >Sspon.03G0037240-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:58458378:58459919:-1 gene:Sspon.03G0037240-3D transcript:Sspon.03G0037240-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding TMPPPRRPLRMLAAVLLILCSLAVLSAVSAEAKVHHHTWDIAYHKKSLDCVEKLAVTINGESPGPTIHATQGDTIVVTVHNKLETENTGIHWHGIRQIGSPWADGTVGVTQCPILPGDTFTYRFVVDRPGTYFYHAHYGMQRVAGLDGMLVVSAPDGVAEPFTYDEERTVLLMDWWHKSVYEQAVGLASDPLVFVGEPQSLLINGRGVFEPFHCSHAPNGSSAAACNAPKPAGCAPPTLFTAVPGKTYRLRVGSLTSLSALNFAIEGHSMTVVEADGHYVRPVVVDSLYIYSGESYSVLVKADQDPSRNYWAASHVVARERNTTSAMSILSYAGNDPLAPPPTPRPEGPAWDDVRPRVEQSRSLAVAHPDHVMPVPPRPDRALLLLNTQNRIGGHTKWAINGVSLAFPATPYLVSMKRGLRGAYDARPPPETYDYRSYDIERPPPANGTVTSAVYRLALGSVVDLVLQNTVALNNRSETHPWHLHGHDFWVLAYGDGKFDPERDTARFNLRDPS >Sspon.03G0042220-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:52749745:52754409:-1 gene:Sspon.03G0042220-1C transcript:Sspon.03G0042220-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding CKSRKKNKMFFQRKNSKKVKDSEGSQKKGKDGRRGKNDLFDRAKGGLDALAGSLHSAKNDAETEEIGSHSVASRSKELEQGSEEQGNKDMDAFSAVMDKVKSHPEVVEKVKDEVKSLADALHLRRHGSKDKEPESEEKAEEGEAAQSVVDE >Sspon.08G0000050-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8B:15747557:15750969:1 gene:Sspon.08G0000050-2B transcript:Sspon.08G0000050-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPSMSLAAKGVLPFSVLTSSGVTQRPVSVTASLEHKTSDARRKFLKLALGNLGVGLPTLLGAKKALADEQGISSSRMSYSRFLEYLDKDRVKKVDLFENGTIAIVEAISPELGNRVQRVRVQLPGLSQELLQKLREKNIDFAAHSNQEESGSLLFNLIGNLAFPLILIGGLFLLSRRAQGGLGGPNGPGFPLGFGQSRAKFQMEPNTGVTFDDVAGVDEAKQDFMEVVEFLKKPERFTAVGARIPKGVLLVGPPGTGKTLLAKAIAGEAGVPFFSISGSEFVEMFVGVGASRVRDLFKKAKENAPCIVFVDEIDAVGRQRGTGIGGGNDEREQTLNQLLTEMDGFEGNTGIIVIAATNRADILDSALLRPGRFDRQVSVDVPDVRGRTEILKVHGSNKKFDSDVSLDVIAMRTPGFSGADLANLLNEAAILAGRRGRTAISSKEIDDSIDRIVAGMEGTVMTDGKSKSLVAYHEVGHAVCGTLTPGHDPVQKVTLVPRGQARGLTWFIPMDDPTLISRQQLFARLVGGLGGRAAEEVIFGEPEVTTGAAGDLQQITGLAKQMVVTFGMSQIGPWSLMEGGAQSGDIIMRMMARNSMSEKLAEDIDSAVKQLSDEAYEIALRHIRNNREAIDKIVEVLIEKETLTGDEFRAILSEFVEIPAENRVPPATPAAALPA >Sspon.02G0011780-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:29892261:29897060:-1 gene:Sspon.02G0011780-2B transcript:Sspon.02G0011780-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MENPYTNFINSHPQHHRYYYHPATPPAPAPAAAAYPSLPFFAAHHHQAPAPTPPSPPLREALPLLSLAPAPRGITRQEEQRRRAPAAVSEEERDEGVEDEEEEEGPTASCNHGQQQRLGRGGLFADLNAKAMGDPMDVEEAANGSGPGSAVGDVTVALRIGLPLPAPSTGAADLVSDLSAGARRQQQHNHDGGEEEDDSRENGGGEEEEEEETIAVAALLGFPSTAIGRLNKGQYWIPTPSQILIGPTQFSCPVCFKTFNRYNNMQMHMWGHGSQYRKGPESLRGIQPTAMLRLPCYCCSPGCRNNIDHARAKPLKDFRTLQTHYKRKHGLKPFLCRRCGKAFAVKGDWRTHEKNCGRLWYCLCGSEFKHKRSLKDHARAFGHGHGFVGGAGAAGSGCGGGGLDDDDDGAVSDVEHDGATAASRS >Sspon.01G0022940-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:82195800:82199889:1 gene:Sspon.01G0022940-3C transcript:Sspon.01G0022940-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLLLLVAVLGVVLASSLLLRWNELRYSRRRGLPPGTMGWPLFGETTEFLKQGPSFMKQRRLRYGSLFRTHILGCPTVVCMEPELNRRTLASEGAGFVPGYPQSMLDILGPNNIAAVHGPLHRAMRGAMLALTRPHMIRAALLPKIDAFMRAHLHGWAGRRVDIQEMTKEMALLSALRQIAGISAGPLSDALKAELYTLVLGTFSLPINIPGTNYSKGLQARKKLVAMLRQMIADRRSSGCAQDDMLDALLSGNEGTRAKLSDDQIIDLLITLIYSGYETVSTTSMMAVKYLSDNPKALEQIRKEHLDIRKAKSPEDALDWNDYKSMTFTKAVIYETLRLATVVNGLLRKTTQDVEMNGYVIPKGWRIYVYTREINYDPFLYPEPMVFNPWRWLETNLESHPHFMLFGGGARMCPGKEVGTVEIATFLHYFVTRYRWEEEGNNTISKFPRVAAPNGLHIRVQDY >Sspon.05G0007500-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:23337964:23341671:-1 gene:Sspon.05G0007500-1A transcript:Sspon.05G0007500-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRGRVYDQKKAMINLFDLSAGMSSTNVLTDRARTDGSPACRNKQVVKRTVDSAKVYANDKVGASNWSSSRNKSNASPLNVALEKEMSKELESKKKSPSVVARLMGLEEDLPGQEPALHSAKRNVKKRHLNGNLVETNNLHQHQEQYHSSMTTCDKPIGPKETVEFKDVYEVSEEPLRTYHLQDQTFPREMSSRSKRDIRMEIVRQKFMEAKRLATNEKLLHSKEFQEAVEVLSSNRDLFLKFLEEPNSTFSKQLPGLHRSPSPPQTKHITVLKPTKFVESECRREIRTHRINEENEHVMRRTHRRSHSAEVTLSQPTRIVVLKPSPGKPSRTMARLTPQATPARLTEQIGFYGGLEDDNYPPDGLHRRDESLLSSVYSNGYGGDESSFSRSEVDYIDEEDGNLSDSEIVSPVSRHSWDHFKRYNSPYSGSTFSRTSRSPESSVIREAKKRLSERWASVAYNEINQEKMQLPRSSSTLGEMLSLRGVKKEVGGMDSVSSGRPCDAENEMTLQATCITALRENEGDGQSSPKNLARSKSVPVSSSMFDNIAPNAPSSNCEGCETPNLATRSDKAKSSFKGIVSSFFFPKSKRQSKEKIILSSSSDGKVEVTCFGSMKPQGGHNIGAMPFCEDKDDSSATQTICSSKDIVSIEVPISSACPSEHLDGLRSGGLNGSCDKPSPTSVLDVSFEDSNINGSESSRSIPCSNERIALRSDAIESVTRSLSWEDMSSPSPLLDLTNLTPLSSVDNDELECVAFVQKIVSSAGLGDLQLGMVFTGWYLPDCPLDPALCDKLLDRKEEAAKSRERRSNQKLLFDYVNMALVEIGHDTLLCAYPWRQGQARSMAWKETLSQSLVEEVPQHMRDWLYGLGKFAVNENDDAGTILERIMQQEVEGRGWVKSMRWEVDEITEQIAGKMLEELVEEAADDLGICSPSPEMPMTIPNL >Sspon.08G0019260-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:11062146:11065080:-1 gene:Sspon.08G0019260-1T transcript:Sspon.08G0019260-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAGWLCSWLLRLIKVKLLCLFCSVLAGLARRIIENDLFKHDWRSRSNVEVLQYIFLKWAMAFLVGLLTGVIASLINLAIENISGLKMLHMVNLVREKRYWAGFLYFAGVNFALTFVAALLCVVFAPTAAGPGIPEIKAYLNGVDTPNMFGAPQLIVKIIGSIGAVSSGLDLGKEGPLVHIGACLANLLSQGGEGRWRLRWRWLRYFNNDRDRRDLITCGASSGVCAAFRAPVGGVLFALEEVATWWRSALLWRTFFSTATVVVVLRGFIEVCRNGRCGMFGEGGLILFDVSDVTVRYHVGDLLPVTLVGVLGGVLGALYNHVLHQVLRLYNLINAKGRLAKLALALAVSVFTSAGLYLLPFAVPCTPCDPAFGDACPTVGNSGNFKQFNCPAGYYNDLASLLHATNTDATRNIFSTGTAGEFRLDSLLIFFAIYCVLGLFTFGIAVPSGLFLPIILMGSAYGRIVALVLARFVRIDHGLYAVLGAAALMSGSMRMTVSLCVIFLELTNNLLLLPITMFVLLIAKTVGDAFNPSIYEIILDLKGLPFLEPKPETWMKDLAVGELAAAKPRIVTLQVIEKVSTVVEVLRSTPHNGFPVLDRPRPGVSELHGLVLRSHLMAVLKKRWFLTEKRRTEEWEARERFSSTELAEKSGSIDEVAVQLTPEELDMYIDLHPFTNTTPYTVVETMSVAKAVVLFRTCALRHMLIIPKFQGPEIAPIVGILTRQDLRAHNILGAFPHLANKRKAVNLVGQPER >Sspon.03G0038650-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:17814375:17818056:1 gene:Sspon.03G0038650-1P transcript:Sspon.03G0038650-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTVSSLSLVPHLLIKPSIACFSRKGVGRYGGIKVYAVLREDGAEFAKNNNLEALFHVDDPGPRCPIKKGKFLDVNEALEVVRFDIQYCDWRARQDLLTIMLLHNKVVEVLNPLAREFKSIETLRKELAELQQELEKAHNQVHLSEARVSSALDKLAHMETLVNDRLLPPDGASSTSTAEPTSLVPSTSSTAHVRAKKQPRRSLNVSGPVKPYNPSLKNFWYPVAFSSDLKDDTMVPIDCFEEQWVIFRGKDGRPGCVQNTCAHRACPLHLGSVNEGRIQCPYHGWEYSTDGKCEKMPSTKMLNVRIQSLPCFEQEGMIVMELPVEHGLLLDNLLDLAHAPFTHTSTFAKGWSVPSLVKFLTPASGLQGYWDPYPIDMEFRPPCMVLSTIGISKPGKLEGKSTQQCSTHLHQLHVCLPSSRNKTRLLYRMSLDFAPWLKHVLNEDLRLVLGQQERMINGANIWNWPVSYDKLGIRYRLWRDAVERGSDRLPFSNQAERGSDRLPFSNQAGSGS >Sspon.04G0034060-1P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4D:74199161:74199757:-1 gene:Sspon.04G0034060-1P transcript:Sspon.04G0034060-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMLKLDKTAAPSDLIVFELPVYIYTSIEYRKVSTSMKALYLGNNNFTGVIPPEIGLLKGLVGLNLSFNRLYGDIPLSICNLTNLLVLDLSSNHLTGTIPGALNNLHFLSQFNVSFNDLEGLVPTTGQLSTFTDSSFSGNPKLCSPILIHQCDSAEEGPMSTVYENQGSSKVIFTITFCLFFGVGVLYDQIVLSRYFG >Sspon.07G0001120-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:1506714:1507366:1 gene:Sspon.07G0001120-3C transcript:Sspon.07G0001120-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSESVQMAGMGDGASRARPLPEPLRLPLPPARATFVDGGRASGSPVAAAAGARTAESTDIPYSVAFSVPASPSGLHLGACASVRSVAPPQPVHQNAEAAALPQLLNQARYHSQPALTIRPRSRRRRCNGDSTRDRRFDHFKTFSGRLERQLSNLRGVAVDIEPTAADSNSNKMISEEDTAETDDDCGGREVPRRTATSPRWRGPSSRR >Sspon.04G0009450-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:27877727:27881757:1 gene:Sspon.04G0009450-1A transcript:Sspon.04G0009450-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQYEVVEQIGRGAYGSAYLVLHKAERKRYVMKKIRLSKQNDKFQRTAYQEMSLMASLSNPYIVEYKDGWVDEGTSVCIVTSYCEGGDMAERIKKARGILFSEERVCRWFTQLLLALDYLHCNRVLHRDLKCSNILLTRDNSIRLADFGLAKLLMEDLASSVVGTPNYMCPEILADIPYGYKSDIWSLGCCMFEILAHRSAFKATDLAALVNKINRSSISPMPPIYSSALKQIVKSMLRKNPEHRPTAGELLRHPHLQPYLAESCTCSPIYLPVKPNKSNLVDKQSKKPSSGRKRTVKANGSNETLETAAEHTVEARDSSTNFSDASTIGTQEALILQMPVELVPRSKEQQNSDVLSLQHAEENLMATTDREIDATIRLKAIRTSNVKEEVPVTVANQKFNEAPIPNEELTIGVVQEQRKDVKPRSYPAAKPELCDTTITEESSPISTLKLVHTESAPAEWDHLNIVQQRADALESLLELCAKLLEQERLDELAGVLRPFGEGAVSSRETAIWLTKSLMTPPKFGESPTKLL >Sspon.07G0014650-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7A:52552227:52554002:1 gene:Sspon.07G0014650-1A transcript:Sspon.07G0014650-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTWSVRAVPGAGAGQAAPFGVSSRLVSRVVCVPPPAQAPQQQQHSLSPHATNPRRSSQSPADQPAVSLSPSSASAPASGSVPLPRSMGQQAAHTHPDEAALPTTTPPAATTAASSSSSSSSSRLFTAWLVASWYASNIGVLLLNKYLLSVYGFRFPILLTACHMTACTLLSALVHHHRSSSSSSSSRSRAQLARVAVLGAVFCASVVAGNVSLRHLPVSFNQAVGATTPFFTALLAYAVAGRREACATYAALVPVVAGVVIATGGEPSFHLFGFVMCVAATAGRALKSVLQGILLSSEEWAIDFSHGPRPRCRPRREKMDSMDLLRYMAPVAVLLLVPATLAMERDAFGVVADLAREDPSFLWILLCNSCLAYFVNLTNFLVTKHTSALTLQVLGNAKGAVAVVVSILIFRNPVTVVGMMGYGVTVAGVVLYGEAKKRSK >Sspon.01G0003630-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:8898957:8904603:1 gene:Sspon.01G0003630-3C transcript:Sspon.01G0003630-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MANQQYRSSIAMDRELFRTVRQAALNHARLLYHRLVSRLPHLLAVTFLVAAAQLVPMPPSPSAPLPPVGALLREAAARARPDAGLVAAALAGVAACACAYAALRPRPVYLVDLAAYRPGPAHRATRAESVHHFALAGPFTDESVAFQTRMLERAGVGDATHFPASILAVPVDMSLRAAREESEAVVFGVVDDLLRTTAVRAADVGVVIVNSSLFSPTPSFTSLLVNRYGLRHDVVTHNLSGMGCSAGIIAIDLARHLLQVHPDTYALVVSTENITLNAYLGNYRPMLVTNTLFRMGGAAVLLSNRAADRSRAKYRLVHTVRTHRGGASDDSYACVTQEEDGEGNVGVSLSKNLMSVAGDALRSNITTLGPLVLPLSEQLRFLAAALLRRVAGVKPYVPDFKLALEHFCIHAGGRGVLDELERSLGLSAWHMEPSRMTLYRFGNTSSSSLWYELAYCEAKGRINKGDRVWQIAFGSGFKCNSAVWKALRTVDDAGRETNNPWADDIHDLPVHVPKVSPFASSDQQA >Sspon.02G0048970-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:22979278:22983372:1 gene:Sspon.02G0048970-2D transcript:Sspon.02G0048970-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to Transcription factor RF2a [Source: Projected from Oryza sativa (Os09g0516200)] MNKDKAPMPGDGGPSDGLPPQSTRRAGAPPSSSTPPPEYDISRMPDFPTRSTGHRRAHSEILGLPDDLDLSAAGGGDGPSLSDENDDELFSMFLDVDKLNSSCGASSEAEAESSSAAGGGGEGAELGHAPRPRHQHSQSMDESMSIKAEQLVGAPGMEGMSSAEAKKAVSAAKLAELALVDPKRAKRIWANRQSAARSKERKMRYIAELERKVQTLQTEATTLSAQLALLQRDTTGLTTENSELKIRLQTMEQQVHLQDALNDTLKAEVQRLKVATGQVANGGGGMMMNFGAMPRPFGGNQQMFHNNQAMQSMLATHQLQQLQLHSQPQQQSQHSAAATALAAGAAAPAGGEGPQDERASGRPRPVER >Sspon.06G0009450-2D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3D:20907000:20907506:-1 gene:Sspon.06G0009450-2D transcript:Sspon.06G0009450-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding QGLDPRSIANLFSDAVCIGEKVLNNEECFILKLEAGAATLRARSAPAFDIIHHTVWGYFSQRTGLLIQLEDSHLLRMKSGKGHRRSENIFWETSMESVISDYRYIDGINIAHGGHTNVTLFRYGEGSVNHKRKLEETWTVEEADFNVHGLTTDYFLPPADLKKDVEDQN >Sspon.01G0012360-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:33293782:33297268:1 gene:Sspon.01G0012360-3C transcript:Sspon.01G0012360-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:BRITTLE CULM1 [Source: Projected from Oryza sativa (Os03g0416200)] MGLRDSSALLALALALAYCSVAVVAYDPLDPRGNITIKWDVISWTPDGYVAMVAMSNYQMYRHIMAPGWTVGWSWAKKEVIWSIVGAQATEQGDCSKFKAGIPHCCKRTPAVVDLLPGVPYNQQIANCCKAGVVSAYGQDQAGSVSAFQVSVGLAGTPPTRRVHRGDSKRALSPGVNTPRKDGQALLQCTPHLCPSGCTGVKLNYKDYWRAKIAITNFNYRMNYTQWTLVAQHPNLDNVHRVVAYDPLDPRGNITIKWDVISWTPDGYVAMVAMSNYQMYRHIMAPGWTVGWSWAKKEVIWSIVGAQATEQGDCSKFKAGIPHCCKRTPAVVDLLPGVPYNQQIANCCKAGVVSAYGQDQAGSVSAFQVSVGLAGTTNKTVKLPKNFTLMGPGPGYTCGPATIVPSTVYWTPDHRRRTQALMTWTVTCTYSQQLASKYPSCCVSFSSFYNDTIVPCARCACGCGHGGHAGPGGCIEGDSKRALSPGVNTPRKDGQALLQCTPHLCPIRVHWHVKLNYKDYWRAKIAITNFNYRMNYTQWTLVAQHPNLDNVTEVFSFQYKPLLPYGSINDTGMFYGLKFYNDLLMEAGPFGNVQSEVLMRKDARTFTFSQGWAFPRKIYFNGDECKMPPPDSYPYLPNAAPVVASQLIVSAAASAFLLALLL >Sspon.07G0024250-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7B:23040530:23040746:1 gene:Sspon.07G0024250-1B transcript:Sspon.07G0024250-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding HSARSGRYRNGINNYACCTLAGLRATVRTPVPWWKTMAAAPQWPSTSSFDRATAVPSIASPPAVPADSREQ >Sspon.06G0010980-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:47538735:47541307:-1 gene:Sspon.06G0010980-2B transcript:Sspon.06G0010980-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Flavone 3'-O-methyltransferase 1 [Source:Projected from Arabidopsis thaliana (AT5G54160) UniProtKB/Swiss-Prot;Acc:Q9FK25] MGSTAEDVAAVADEEACMYAMQLASASILPMTLKNALELGLLEVLQAEAPAGKALAPEEVVARLPVAPNNPDAADMVDRMLRLLASYDVVKCQMEDKDGKYERRYSAAPVGKWLTPNEDGVSMAALTLMNQDKVLMESWYYLKDAVLDGGIPFNKAYGMTAFEYHGTDPRFNRVFNEGMKNHSVIITKKLLEFYTGFEGVSTLVDVGGGIGATLHAITSHHSQIKGINFDLPHVISEAPPFPGVQHVGGDMFKSVPAGDAILMKWILHDWSDAHCATLLKNCYDALPENGKVIIVECVLPVNTEAVPKAQGVFHVDMIMLAHNPGGRERYEREFHDLAKGAGFSGFKATYIYANAWAIEFIK >Sspon.01G0009780-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:27599849:27601279:-1 gene:Sspon.01G0009780-2C transcript:Sspon.01G0009780-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Divinyl chlorophyllide a 8-vinyl-reductase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G18660) UniProtKB/Swiss-Prot;Acc:Q1H537] MTSQLMCDVIHPLPSSLLAVSQTRITPPDSPHPATATNAASSSHSDTPPISRLPVHVARRSASRIPSNTAAAATAMAALLLSSRLPTTGTATPSPTRPAPRFLSFPGTATRRRGRGPLLASSAASPPAPAPAAQPFRALPASETTVLVTGATGYIGRYVVRELLRRGHRVLAVVRSRSGIRGRNSPEDVVADLGPAQVVFSDVTDPAALLADLAPHGPVHAAVCCLASRGGGVQDSWRVDYRATLHTLQAARGLGAAHFVLLSAICVQKPLLEFQRAKLKFEEELAAEAARDPAFTYSVVRPTAFFKSLGGQVDIVKNGQPYVMFGDGKLCACKPISEEDLAAFIADCIYDEDKANKVLPIGGPGKALTPLEQGEMLFRLLGREPKFIKVPIQIMDAVIWVLDGLAKLFPGLEDAAEFGKIGRYYASESMLLLDPETGEYSDEKTPSYGKDTLEQFFQRVIREGMAGQELGEQTIF >Sspon.01G0031750-3D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:109133582:109138642:-1 gene:Sspon.01G0031750-3D transcript:Sspon.01G0031750-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLPGSAPAEAAELVPTLSSLEPVYGAGAQLDEARLRFARLGDRFHAVYGARPALFARSPGRVNLIGEHIDYEGYSVLPMAIRQDMIVAIRRADGGQVRVANVDDKYPLCVYPADPDKEIDIKNHKWGHYFMCGYKGVYEYCRSKGIDLGKPVALDVVVDGTVPQGSGLSSSAAFVCSATIAIMGILEKNFPKKEVSQFTCLSERHIGTQSGGMDQAISIMAKPGFAELIDFNPIHATDVQLPPGGTFVIAHCLAESKKAETAATNYNNRVVECRLAAIVLAIKLGMDTKKAVSSVTTLSDVEGLCVSFAGKEGSSDPAIAVKKLLHEDPYTAEEIEKITGESLTSVFQSSQTSLDVIKAAKHYKLFQRATHVYSEARRVYAFRDTVSSKLSEEDKLKKLGDLMNESHYSCSVLYECSCPELEELVKVCRDNGALGARLTGAGWGGCAVALEMYYKSRIDRGVIQQGDLGLYVFASKPSSGAAIMTL >Sspon.05G0011650-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:35681748:35684604:1 gene:Sspon.05G0011650-4D transcript:Sspon.05G0011650-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRSESDSDDVFFDAFEDVRSPGEPSCSEDCSTSDEVSVPMTFEYEIWANEPMSVQERRQRFLKGMGFDDFVSARTDSFQCHGEITAVESSTDMEERSVSGHSSVDSSVCDNESEFDGACCIRDMDSGKRYIVHNGAHSSITDMLKEVGSDKVMSLLEFESLLGLSRSVQKLLRRGCGNSPAKQTKSAKKKDVKSLWKKFTTKRSFGSICKYDVHVKNCTNSIPTRTRVQHRKKNFLEFSAVYMDQEIRAHKGSIRVMKFSPSGWYLASGGEDCVVRIWQIIEVEASPKLYRGEDPCEKKVEKVQVINTNIEKGRNQGLAVIPKKVFRISEIPLHEFRGHTSDILDMAWSKSDYLLTSSKDKTVRLWKPGCDGCLAVFKHKDYVTCVQFNPIDEKYFISGSLDGKVRIWDVLDKRVTDWADTRNIITALSYQPDGKGFIVGTIAGACRFYDQSGENIQLEKELFVQGKKKSAASWINSLQLCTSDSTGIIITSGDSKIRVANGDTIQKFEGPWKSKALSSPSLTSDGRYLISAGKDSNVYIWNFANSGDAKSVHSCELFFSKDVTTAVPWPGVHQDGHTKPSCLTEKSSSTPILRHHGECQSPGPWSFVDCSKGSATWPEEKLPSTAKPESSPQLGDCLSLISAAWSTVIVTASRDGVIRSFPNYGLPVR >Sspon.02G0026810-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:95230805:95251202:1 gene:Sspon.02G0026810-1A transcript:Sspon.02G0026810-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:rRNA biogenesis protein RRP5 [Source:Projected from Arabidopsis thaliana (AT3G11964) UniProtKB/Swiss-Prot;Acc:F4J8K6] MRIKIKNISPNMKLWGVVIEVNQKDIVLSLPGGIRGFVRSEDVCDIALQENRKDSENSLCAEVVHVGQLVPCIVLRVDDDKKEGKVNRRVLTAQVKSIEDHGYILHFGVASFSGFMQKDDRENVKIEPRQLMQCVVKAIDKTRAIVHLSSDKDLLSKSIIKDLKGLSIDHLIPGMMVNARILFVDPSTRAVGLTLNKHLLHLEVPPINLKAGDIYDKSKVLRVDKKAGLFLEIPSPTPSPGFISIHDVSDKDAKNLEKFKEGSSLRVRILGVRNLEGVAIGTVKDSAFEGSVFTHDDVKPGMLVRAKVATVEPFGAIVQFSSGVKALCPLPHMSELEHVVKPPKKFKVGAELLFRVLGCKSKRVTVTYKKSLVKSKLDVLASYADAKIGLVTHGWITKIEKHGCFVKFYNGVQGFVSRVIPIDTPKLGSIVSGVVERLTPAAVVVSVNGFSKGTILNEHLADHHVGGSSVEVGSSVKGLVLDLSDGVVNLSLKPELIGSVRNVGKKKKRQRAAVAEDLELHEEVNAVVEIIKESYVVLSIPEYNYAIGFAPLMDYNSQLLPHHHYDNGQRITVVVGNIPSSDSSGRLILLPKASAQYSVLSESKRAKKKSGYKIGSLVEAEIIDIKPLELLLQFGGNLHGRIHITEVLEEDSDEHPFSKLRIGQKLIARIVAEAEPSGKSGKNFKWELSIRPCIVKGEFEELTARKEQKHTTNEIVRAYVVKVDKEWVWLTVSRNVMAHLFVLDSSSEPSELKEFQQRFSVGQAVKGRVINVNREKRLLRLKALDNQCTQPNIDEIQQSKSSVFEQTKQGDIIGGRVQKILPGVGGLVVQIGPHLHGRVHYTEIVDSWVAEPLSGFHEGQFVKCKVLSVSRSSEGSLRVDLSLRSSNLIRDSNNSGLVDDLATCTSRIEKIKDLLPGIEIKGYVKNVNSKGCFIMLSRMVEARITLSNLSDEYVENPQKDFPVGMLICSPVKLTKICRVLSTDPSSGRVEASLRKTTGSKLEKLDDISYSDLHVGDIIDGQVKRVESFGLFVTIRRSELVGLCHVSELSDEPVVDINSCYKAGDMVKAKILKIDEKRHRVSLGMKKSYFDSDLTADTNDDEDDESALMDISVAPQMVEYHNRSLVHRKAEPMVSVPPLQVSLDESEGSDLEDNNNNKGLQIANGTEATDKKNDKQLKKEARKQRELEISAMEEKALQGDVPQTPDDFEKLVRSSPNSSFVWIKYMTTLLDLADVEKARAVAERALKTIIPREEEEKLNVWVAYFNLENEYGSPREDAVKKVFQRALQYCDPKKLHLALLAMYERTEQYELADELLDRMTKRFKTSCKIWLCRIQFALKQGKDVEYIKSIVNRALLSLPHRKRIKFLSQTAILEFKCGAPEEGRSRFELILREYPKRTDLWSVYLDQEIRLGDIEVIRALFERVTCLTLPPKKMQIVGEVIGCCEPLHRNGGLNGGEDETVPGHRERRGDLRPRQAVA >Sspon.01G0002910-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:6510536:6513837:-1 gene:Sspon.01G0002910-2P transcript:Sspon.01G0002910-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVESSTQAICDLALRFGEGDEESMSRPFGVSLLIAGHDENGPSLYYTDPSGTFWQCNAKAIGSGSEGADSSLQEQYNKELTLEEAETIALSILKQVMEEKVTPNNVDIAKVAPKYHLYTPAEVEAVIARL >Sspon.02G0041640-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:71831043:71838682:-1 gene:Sspon.02G0041640-2D transcript:Sspon.02G0041640-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SHL1 [Source:Projected from Arabidopsis thaliana (AT4G39100) UniProtKB/TrEMBL;Acc:A0A178UWF3] MAKSRPPKRILESYTIKGSDKVIKPGDCVLMRASDASKPPYVARVEAIEAAGSRGTNVRVRVRWYYRPEESIGGRRPFHGSKEVFLSDHYDVQSADTIEGKCNVHSFRSYTKLDSVNAEDFFCRFEYKSATGSFVPDRIAVFCKCEMPYNPDDLMIQCEECSDWFHPVCIGMTIKEAKKLEHFFCQTCTAENGKMVENSHEATAQSEEKPVESKRRRR >Sspon.06G0030410-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:46961756:46964625:1 gene:Sspon.06G0030410-1C transcript:Sspon.06G0030410-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVPLAPYPTPPVPFTPPNGGQSQLVCTGCRNLLMYPAGATSVCCAVCSTVTAVPAPGTEMAQLVCGGCHTLLMYIRGATSVQCSCCHTVNLAMEANQVAHVNCGNCRMLLMYQYGARSVKCAVCNFVTSVGASPGAEQKPSS >Sspon.03G0003660-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:25858557:25859847:1 gene:Sspon.03G0003660-2C transcript:Sspon.03G0003660-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAANVLLLLLCSTFCFLAHRAAGDYGGWQSAHATFYGGGDASGTMGGACGYGNMYSTGYGTNTAALSTALFNDGAACGSCYELRCDNDGQWCLPGTITVTATNFCPPNYGLPSDDGGWCNPPRPHFDMAQPAFLQIAQYRAGIVPVAYRRVPCVKKGGIRFTINGHSYFNLVLVTNVAGAGDVQSVSIKGSSTGWQPMSRNWGQNWQSNSLLDGQSLSFQVTASDGRTVTSNDVAPAGWQFGQTFEGGQF >Sspon.03G0019930-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:84805612:84806337:1 gene:Sspon.03G0019930-1P transcript:Sspon.03G0019930-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPTLLLVPLLVSSLLQFHDHASADCEPAACGNLTLSYPFWLGSSTNSQPPSPCGHPGFEIWCSDDGRVASLKGTFIHVLGINYTANSLVASHAKAAGNDGMCQTNFNVSSSVALSLFTISPRNRALCFLYNCNGTEPSGPEYANATSNCSAPIYAYLAGAYYWDKPPAIATGGCKYSYMPVLGSDAAVVTAGNYSRFLKDGFVLDWEVAGVGDCRACNASGRRVLVSLPRRQARGINMRR >Sspon.08G0007720-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:26148061:26150795:1 gene:Sspon.08G0007720-1P transcript:Sspon.08G0007720-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding TSANEKKRNFVETVEAHVILGVDPRRGDQMVRGALTLPHGTGKTVRVAVFAEGPAAEEARAAGADVVGGDELIEAIRTGGGKLSFDKCIATPMFMPRLSKVARILGPRGLMPNPKLGSVTNDVSGAVKAAKSGRVDFKIDKTAIVHVGLGKVNFTEESLRENIGAFVHALLLAKPVGLKKSMIIV >Sspon.05G0016320-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:66362205:66368757:1 gene:Sspon.05G0016320-1A transcript:Sspon.05G0016320-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding FRLAARRRAALPPRPAPRARCLLPRARRKTRRRRRGRPHARLHLAPPPHSRTGDGERSVDLPSIAAAAAQFPALVPRGGDGCFAGIVAEVAPRDGSRGGRLGRLWLSEAAMVGAGMRPGCLVSVSLISSSSDQLDAFPLVNLFEECSRFFDLDLDNDLLYGEAGKNFVVATVLSSREVPKNGIKLSWDLACVLGQPLVGRSLFISPLCTLQAPKRSDDIHILRVMKCKNLYLSLVPPNVGSSNGIESESDYHHERSAMVMETPKRGIPSLCFQQWLFNVLGSNHCESALADEKVNELLHTSAVRWLNGRHLLKGNFVPLSICGKLSLFVVMGAEPDSSSQDVLCEKGNTLSNAEDSTKSGETPVFFLVDGTTKVHLSDSVCPKQLGSDKPGLPSELYEYDDKRNEDSNHAPTLGGLSKESATIKGIISFSLADQIGLPRYKGILLYGPPGTGKTSLASSCAYDAGVNLFTINGPEIISHYYGESEQSLYDVFSSAKQAAPAVIFIDELDAIAPSRKDGSEELSIRMVATLLKLMDEIGPSDRVLLIAATNRPDSIDPALRRPGRLDKEIEIGVPSPGQRMDILRRLLIGVHHSLSNEELGSVALVTHGFVGADLAALCNEAALSALRRYISLKENSTQQLGHPGCSFDNCSQDTEDPSSLSSSFSQLTMSSDDVACMKGGNIKGSESYDGTDEIPLLVTIKDFDKAKTKSSVKEQLIEAIQLPQKCPEAFERLGIRPPRGLLMIGPPGCSKTLMARAAASEAKLNFLAVKGPELFSKWVGDSEKAVRSLFAKARANAPAILFFDEIDGLAVTRGQENDGTSVADRVLSQLLVEMDGLDQRVGVTVIAATNRPDKIDPALLRPGRFDRVLDVQPPNEADRADIFRIHTCSMPCSADMNLNELARLTEGYTGADIKLICREAAVAALDESFDIQEVAMRHFKSAVGRTKPSDV >Sspon.01G0026770-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:89888426:89900588:1 gene:Sspon.01G0026770-3D transcript:Sspon.01G0026770-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFKGRFFSSRHKSSESSSSPDGSNSPRTPTSAPASASAASPASSSSSRSDKKKTKSETPKKREKLFGSAATASKSAASSASSSPAADGRKGSVPHHRDAGGGGGGGASAAALSPILASSLGLNRIKTRSGPLPQEGQRMAAALGSSNLSRGQGQAEPSAGSAGVGGRKGVSSWADTSSNSRGKGKTTELPTRSAVGTSLGAGGKSAVKAKPSAQRNHSGDLRTPTHIPEAASAYDPCETPKESESPRFKAIMQATSAPRKRHPADIKSFSHELNSKGVRPFPFWKPRGIYNLKEVIKVIQVRFEKAKEEVNSDLAVFAGDLVSVMEKYADSHPEWKETLEDLLILARTCCVMTPGEFWLQCEGIVQDLDDHRQELSMGVLKKLYTRMLFILTRCTRLLQFHKESGFAEDEVVIDQRDKIIQSADRKILTQPGADDTTSRASKSDARKSYSQEQHNMKWKRSQEIKPVKLLPPLDTDVKKEADSPTKERISSWKPFPSPVTKVPKESTPTKLESPNKKTDAHSTISSHVELSSPVESLPQQQLPVKHQHKTSWGHWSDQPNISEEGSIMCRICEEYVPTHYVEDHSRVCAIADRCDQKGVSVDERLIRVAEMLEKMVESYSPKDLPNAAVSPDVAKVSSSSINEESDGPSPKLSDWSRRGSADMLDYLQEADNTISLDDIKNLPSMTCKTRFGPKSDHGMATSSAGSMTPRSPLTTPRSNHIDMLLAGKNAINESDDLPQIVELADIARCIANTPLDEESALSQMVTCIEDLQEIVNRRKHEALTVQTFGTRIEKLHREKYLQLCDSVDMDKVDSASTIMDEEDDVVRSLRASPVHPVKDRTSIDDFEIMKPISRGAFGRVFLAKKRTTGDLFAIKVLRKADMIRKNAVESILAERDILITVRNPFVVRFFYSFTSRENLYLVMEYLNGGDLYSLLRNLGCLDEDVARVYLAEVVLALEYLHSMHIVHRDLKPDNLLIAHDGHIKLTDFGLSKVGLINSTDDLSGPAVSGASLYGDDEPQMNELEQMDHRARRQNRSAVGTPDYLAPEILLGTGHGCSADWWSVGVILFELIVGIPPFNAEHPQTIFDNILNRKIPWPHVPEEMSFDAQDLIDKLLTEDPHQRLGANGASEVKQHPFFKDISWDTLARQKAAFVPSSDSASDTSYFTSRYSWNPSDENIYEAYEFEDSSDNGSLSGSSSCVSNRQDDMGEDSGGLNEFESSANVNYSFSNFSFKNLSQLASINYDLLTKGLKDDQPPRTETSKCEAWSVLIEAIPTSRGAEYSFAVTFPIMSLGFAEVGQGYCIQNTTPEDVGGFQKIAILAYS >Sspon.02G0020360-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:66528413:66532314:1 gene:Sspon.02G0020360-1A transcript:Sspon.02G0020360-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDARLSLPCRLPAPLPPPVLRRPLPRAAAAASTSPRCRVGTTAAVHARGPCRPRASEGEAQGPVVQQQEVDNEVVDSNVLPYCSIDRKRKKTAFYYDQKAIMSNEEFDNLKEELMWEGSSVVMLSPDEQKLLEASMAYVSGNPIMTDEEFDQLKLRLKKEGSDIVQEGPRCSLRSRKVYSDLTVDYFKMLLLNVPAAVVALTLFFFLDDLTGFEITLLLELPEPFSFIFTWFAALPLIFWVAQAITNVIVKDFLILKGPCPNCGTENLSFFGTILSVSSGGSKNSVKCTSCGTTLEYDSASRLITLPEPAEA >Sspon.03G0001140-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:3003648:3006335:1 gene:Sspon.03G0001140-1A transcript:Sspon.03G0001140-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribonuclease 2 [Source:Projected from Arabidopsis thaliana (AT2G39780) UniProtKB/Swiss-Prot;Acc:P42814] METRRPRRGGKKRFLLPLALLCLAVLLPGALLPPSASASSSSPAAGGGSRKRRWVGFDYYVLALQWPGTICRETSNCCDTNGCCRSKPLKWFTIQTHGTCAYPEIQDEYDYFSTALYLYSKYNVTKALRKAHIWPRSGRKYAVGHIVAVIEYAFGAMPSLVCKNGSVQELRLCFHKDYQPRDCTLETGTAPNSRSYCPRYVTFPSYKPSVMANATEGISNSNQASKPMASYSEQRPGLQVLK >Sspon.04G0029270-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:77336958:77339274:-1 gene:Sspon.04G0029270-2D transcript:Sspon.04G0029270-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGSSIVTVVPESDCGDDDGLSEALGGIRLDVDSASKPWSTSLPNVALSSLSGLNDLLECPVCTNSMRPPILQCPNGHTICSSCKHRVENHCPTCRQELGNIRCLALEKVAEQLQLPCKYQSMGCTEIHPYKNKLKHEELCRFRPYNCPYAGSECLITGDVPFLVSHLINDHKVDLHEGCTFNHRYVKPNPYEVENATWMLTVFKCFGQHFCLHFEAFLLGMTPVYMAFLRFMGEESEAQGFGYSLEVGGGGRKLTWQGTPRSIRDSHRKVRDSFDGLIIHRNMALFFSGGGRQELKLRVTGRIWREQGQ >Sspon.02G0030670-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:93168720:93176427:1 gene:Sspon.02G0030670-4D transcript:Sspon.02G0030670-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMSPSNPMRKYSWWWDSHISPKNSKWLQENLTDMDSKIKVMIKIIDEDADSFARRAEMYYKRRPELMSLLEELYRAYRALAERYDHAAGELRQAHKKMAEAFPDEFQLDFDDDLPTETASTETETDNRDMTPFFLSFIKAGGDSKKRTKDDQDHEKLQKEISSLSQENQELKKKISSVLEKSNMAESEVLSLKEALAEQEAEKEAAFSQCQQSSDRLQSLKSEILHTQEEFNRLKEEMQNGLQNLSTAEERCLLLERANQNLLLELDKLKLASKEKHDELNEKHIELEKLSISIQEEQLKSMQAEMARLSVEKQLTQAQEKLRLLSLEKHGEASKIENIEATRVQLQKELESIREKNRKLDDQNHSSTSVIIRLQDEIISLKNAQRRLEEEVSRHVKEKKVLQHKLSHLKDNKGDLDRKHFSIKEQIQEVNFNVESLQSLAQEVRDGNVELKETIKNHEGVKALYVDNLMLLERTLEKNAHLERSLSAATTEIEGLREKKAALEESCKHLHSKVNGHQSERAMFVARIEGISHTMEKLSEKNVFLENLLSDNNTELELLRRKLKDSEESTHTFRNQNSVLRSEKRTLMREVDSINSALLSLETQYAELEGRYLDLEQDKDKALNEVIRLRELLRLEKEKHKEATNSDMIQFSAMQKQIGLLLKEVHCREDQLQEEEHKIVEAQTEIFILQRCLGDMAEANADVLARLQKQEVVCKDQEEKVDFLSQNNQQLTEGIGSVVEVLNLDEKYGSLDLMKIDVVVQLLLHEIKCLLNTISDAQDVKQNQILEKSLVVTLLEHFGREVADLRSERSALKQEWQTKSEELLKLQSERHDLLKISCELRKEMEARNRKVDELKSEAKFLVRQLSELQESRQSLQAEIVKLIEENTSLSSKVYGSKKKEKSFDDDFSTLIGETVRTDILGVIFRSLHEERTSQLQCLHEDFGSLHAAGNELYQEIKLMNKKLGDLQLENNYLEKELSRTLNICDGSGTEISSGRRRAMRRDTKLLKSGRKSQEIGQNIEQRKEVDNAGLEKSNEMLREELQKLKNELQVLRSKEQPVIDVKSCDAEITKLLANMQLATANASLFKEKVLELIVTCESFEISDMVQKEVLKEEITRRNSYVDELKDKLNAIEIENRRLKVDLNGNFTLLGALQTEVDALEKQTLSLAKDCLPQNMLKKEENPLSPQLSKIAVRPSEDQYTTKMVKDMELQKLHGTIKALQKVVSDTGVVLEQERLDFNSNLQDARKQIEMLKLKEILDSDASDVNYERMMKDIQLDLVQTPSRRAAILHGRHRKKNSVAAQSDDKMLALWSVDRVSSGSRRYDVDLRPPQSEAPENDKGKKRSSSEPVVTVKDLSVDKQEVLSRPMAVAAAATATTTEPHREWKKVIDRLSSEAQRLRDLRSIVQELRAGVEASSDAELDGVKAQMADAEDAIAELIDANTKLLKKAEEFTSAGDGGDVDLRSRSQRKILERVRKMSEKAGRLELELQRFQHALLRHEEERAARRAAKAAATTVQVQRRSRVQLVEYLYGRRRDSRRPKQKARGPSCCMRAKAIDD >Sspon.05G0012350-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:33660904:33667032:1 gene:Sspon.05G0012350-2B transcript:Sspon.05G0012350-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFEAGPACCLTESPAAAMSYRHRHRWLWLPRAFLSPVATITSRDLLSFATTATRRRLLLSTTTTIAAAMASSASSCKIIDSHLHVWATPQQAKEEYPYFPGLEPTLRGDDDFLLECMSEAGVDGALIVQPTNHMFDHSLVTSTLKKYPSKFIGCCLANPAEDGSGIKQLEHLIVHEKYRAVRFNRDLWPAGQKMTNDVGRSLFLKAGELGAPVAILTKKVKDAFLSKMYLQLL >Sspon.04G0008170-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:23547208:23554929:-1 gene:Sspon.04G0008170-1A transcript:Sspon.04G0008170-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:E3 ubiquitin-protein ligase PRT1 [Source:Projected from Arabidopsis thaliana (AT3G24800) UniProtKB/Swiss-Prot;Acc:Q8LBL5] MASDGHPPEPGKKERSPPRPPGLEPTIWMTRSSCAASVWIFCTNQLFYHVVICHVSGVSRKLCKFSGNPIALCVGSPIYTFRLLHHLLLKLETTDYKRREKEVLVGSDGENRNEDSKARPPQEVSMNGSILDEHSKKIKLEDVSCPLCTEMLYQPAVLNCGHVYCVSCLSSLNEETLKCHVCGSLHPGDFPNVCLDLDHFLEEYFPAEYELRGQKVQFKKDQCNREASSSGTSGRKGSTRALHDEDLLNVHIGVGCDSCGVYPIRGKRYKCQDCTELIGFDLCEACYNSCSKLPGRFNQRHTPDHRMEVDNSALLQGILRHHGIPEEGPEGLMMEEAVVAPGALLQVLIDVQGMEEGNDEGPGEAAIEEPVGAPGAMLDIVIHDQEMEGNEEDQAL >Sspon.03G0024330-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:92669622:92672483:-1 gene:Sspon.03G0024330-3C transcript:Sspon.03G0024330-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDADVSRQLKQMTDFIRQEAVEKAVEIEAAATEEFQIEKLQLVEAEKKKIRQEYDRKEKQVGIKKKIDYSMQLNASRIKVLQAQDDLVTHMLESARKDLLCISRDHQTYKKLLKILIVQSLLRLKEPAVLLRCRKEDLELVDSVLESASNEYADKANVYPPEIVVDRHIYLPSAPSHYQAPGPSCSGGVVLASRDGKIVCENTLDARLQVVFRKKLPEIRQSLFGQVAA >Sspon.01G0033920-1P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1D:114959613:114961214:-1 gene:Sspon.01G0033920-1P transcript:Sspon.01G0033920-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARQQLEVLSALDAAKTQWYHFTAIVIAGMGFFTDAYDLFCISLVTKLLGRIYYYREGSPDPGSLPPHVAAAVNGVAFCGTLSGQLFFGWLGDKMGRKRVYGMTLMCMVACSLASGVSLGRSPAAVMGTLCFFRFWLGFGIGGDYPLSATIMSEYANKKTRGAFIAAVFAMQGFGILTGGVVTLVVSAAFRAAFPSPAYQDGAVASTPAEADYVWRVILMFGAIPALLTYYWRMKMPETARYTALVAKNAKQAASDMSKVLQVDIVSGGDRDRDGDRQHDEFGLLSSEFWRRHGLHLVGTTSCWFLLDIAFYSQNLFQKDMFSAIHWIPKARTMSALDEVYRIARAQTLIALCGTVPGYWFTVALIDVLGRFKIQMMGFAMMTVFMLGLAIPYHHWTTPGNHTGFLVMYAFTFFFANFGPNSTTFIVPAEIFPARLRSTCHGISAAAGKAGAIVGSFGFLYAAQSRDESKADHGYPAGIGVRYSLFVLALCSLLGLACTPLVPESKGKSLEELSGDNHDQQTPAHARTMPVIV >Sspon.05G0021240-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:89482397:89483182:-1 gene:Sspon.05G0021240-1A transcript:Sspon.05G0021240-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGELASVRIGGLDNAIVGPETLPPEFNLSDPYNPQLCVALEPSNTSRFGRIVSRPANQNDPWQRFEKVVLADGSFELMNRHSEWSITISHISRFLFDACYFHPRVLGHPARWKAVVVKNATGELAPGIICAGSLQSEFLMLKIAQSGDGRQLAGDDEVVAMQAEDDDDAAVAGARTSTTSTRSTRASRRWYGCLFPCF >Sspon.04G0018880-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4A:67415474:67420332:1 gene:Sspon.04G0018880-1A transcript:Sspon.04G0018880-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGPPPPPSLYSFFPSSPSPSWAQILAGAPSLPPAGPSMPAGHLPPAGQDLAAGHPLPAGHPLAAGHQTPAGHPLAAGHPPAGHPLAAGHPSLPPGTARHPLAAERHLQHPSSPAAAAAQGPAHALATSSDLGTVGDGTGDVAPAGVVQPETVQPLLRPTADPGDPAGAGAGAFAPSVDLLLGGPHLPRATGTDSTLAAALVAARAAAAEGQARVRAAALVWERERNAADALARQIAEAEQLLVQPASRDIGATSSASPRPPLPGRGVQNIRLLVPVVLEPESPSYARWRDLVVLTLRRYALDDHVLLDAPVTAQTSSWFRLDSIVLSWILGTISLDLHDLVRTSPDARRAWLALEGQFLGNAEARALRLDASFRTFVQGDLSVGEFCRRMKAMADSLGDLGWPVEDRILVLNVLRGLSDRYAHLRTWITRQRPFPTFLEVRDDLVMEELTQGLQPGSTASPGASSTALAATPPRQSAPRSAAPPPSSLLGPPPSGPSGGGGVVVVAVDAVGVAGAAGNPWSGRISMWPYHATGTDSRPPVAMLTGAPPAGPPLGVFPATPPTTPWVPPSGAPPGLGAPPGVAGWDQAALARSFSTMGLTPPVGPEWIADSGATYHTTPDPGILSSVHSPSSSLPSSIMVANGSCIPVSSVGTAGAHGSFRLPDVLVAPSMVHNLLSIRRFTADNSCSVEFDSSGLTVKDLASRRPLLRCDSTGPLYTLRFPAAPSSSSPSVLSAAFVASASSTTWHRRLGHPGRDALIQLSRSSGLPCTRAHDEQLCHACQLGRHVRLPFSSSSSHAARIFDLVHCDLWTSPVISISGYKYYLVVVDDFSHYSWTFPLRAKSDTFTTLLHFFTWVSTQFGLTIKAVQCDNGREFDNSTARAFFLSHGVQLRMSCPYTSSQNGKAERMIRTTNDTVRTLLFQASLPARFWAEGLHTSTYLHNRLPSAACPAPTPHHALFGTPPRYDHLRVFGCACYPNTAATSSHKLDPRSTLCVFLGYSPDHKGYRCFDLTSRRVLISRHVVFDESVFPFSSTTTPRYLTHPICTASTRSEPAIGPGSPASPGSSSSGAAPPSPGGADPGPSSPASAPGGSCRLPDPVSAPAPVAPSRFAAPVRVYQRRPRPPPLVVPVPSPPGTPTPPPMSPPARGVPPVYHPPLLHRHPRHVHPMVTRHAAGTLPPRALEVSTGDAVVSPVPSSVRDALLDPHWRRAMEEEYAALLANQTWELVPRPPGANVVTGKWIWTHKRRADGSLERYKARWVLRGFTQRPGVDYDETFSPVVKPATVRTVLSLALARSWPVHQLDVKNAFLHGLLTETVYCSQPAGFVDHTRPDMVCRLNRSLYGLKQAPRAWNHRFAAFLLTLGFMEAKSDTSLFVYHHGADTAYLLLYVDDIVLTASSEPLLRRIIAALQQEFAMKDLGELHHFLGVTVEHRPAGLLLHQRQYTRDILERAGMSDCKPCSTPVDIQGKLSAAEGPPVDDPTAYRSLAGALQYLTFTRPDITYAVQQVCLHMHDPREPHLTALKRLLRYLRGTLDYGLLLHRASSTDLVVYTDADWAGARIL >Sspon.08G0017310-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:1975527:1976704:-1 gene:Sspon.08G0017310-3D transcript:Sspon.08G0017310-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPIASSENTTMDGQTGAATASLGPRVKANLVLGSESFAISPESSILSEQLAAMKDKSMAILKEYITKHNAPNDVPDEPVEGESDGEGEALVNNPPKKSKKQK >Sspon.01G0018310-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:1747539:1749687:1 gene:Sspon.01G0018310-1P transcript:Sspon.01G0018310-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRNKVLIRAAAASSSSSPSPLPPFLLRQLSLSAAVPIPAVDLEILCCRLSRRHRLACLPQPPADALLVFQRCQSLEAAEAVSEIAAAFPGASVEVGEEEALVCSGSLVAEAVRCELRSVMLDHGWKCLGENTYVDSKFDQNEERTDLCAVNVEVRLGRNDDFEFVVSPDAFRYTTHKISDVASRSMMETFHHSNEVVLDTSNFLTVCTTLPALQEGHVIGYSKILPSEQCLDKFMELCLLKHGLDTNCNYHVAVKLIYGASL >Sspon.04G0007000-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:16244990:16251545:-1 gene:Sspon.04G0007000-2B transcript:Sspon.04G0007000-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cationic amino acid transporter 9, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G05940) UniProtKB/Swiss-Prot;Acc:Q9C5D6] MEEAHDHRSSSTAGRPFLSGFCAAALRRKPLGAHGSAAATGEGLVRQLGALELVLLGIGASIGAGIFVVTGTVARDAGPGVTISFVIAGAACVLNALCYAELASRFPAVVGGAYLYTYAAFNEITAFLVFTQLMVDYHISAASIARSLASYFIQFLELIPFLKGQIPSWIGHGEEFFGGVISINILAPILLIILTAILCCGVKESSAVNTFMTTLKIIIVIVVVFAGVFEVHVSNWSPFMPNGFKSVVTGATVVFFAYVGFDAVANSAEEAKRPQSIDYNSVKCIVTSTHANKTFQRDLPIGILGSLLACVLLYVAVCLVITGMVPYTLLGEDAPLVEAFAAKGLKFVTVLISIGAVAGLTTTLLIGLYVQTGYSVVSACVITLRWNDKGTSRRSLGIAFVIAVAASFALQFRQRKTNENIHMTLEKLGKEPMQQQQHRGSAAAHRALLRSLLLLLALCPGQYAALLLRARTPRSARDGQGRDSAPPA >Sspon.04G0017720-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:9499791:9500861:1 gene:Sspon.04G0017720-1P transcript:Sspon.04G0017720-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DMAARPTALLALVVCAVAALAASAGATQFRVGGQSGWSVPGAGSESYNTWAGRLRFQIGDQPVFVYPKETDSVLLVDAAAYNACNTSSYVSRFDDGSTVFTFDRSGPFFFVSGNEANCRANEKLIVVVLADRSGTRTPPAAPPTSSAPLPSPPGSPPAAAPATSPPSSSPPSPGAAPVPAPAATPPSPAASAPAPAPTTTTPSSPPAPAAQTPSPSATPTPGGGSSSPPPSGSANAPAGEGGSPTTPPPPSAAAPVVAGFVGSLGAFIGYAMLAA >Sspon.01G0022780-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:82852020:82852613:-1 gene:Sspon.01G0022780-1T transcript:Sspon.01G0022780-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKELAQLARRPQDDALRLIMGVQSSEGQVMFSSIALLQQRFRELERIREKREERLLHVLAPRPAATTSPREMPVKWFFHPELLYPCRPLRDTTAAASLFPAVPTTVCECKSFQLHGDSKPVAVELWPSNKTYNYKHVSGEVDVDTSLHL >Sspon.04G0001380-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:5556971:5559998:1 gene:Sspon.04G0001380-2C transcript:Sspon.04G0001380-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNASSMLTQYDIEEVQEHCNYLFSQQEIVSLYERFCQLDRSAKGFISEDEFLSIPEFSLNPLSKRLLRMVDGLNFKDFVAFLSTFSAKASLRQKIELIFKVYDIDGKGKITFEDLLEVLRDLTGSFMSEEQREVIDHPGLKMEVEVPID >Sspon.05G0011750-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:25886005:25887267:1 gene:Sspon.05G0011750-2C transcript:Sspon.05G0011750-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DPMS3 [Source:Projected from Arabidopsis thaliana (AT1G48140) UniProtKB/TrEMBL;Acc:A0A178WDH1] MKHIFKIAAILVAISAIWIALLETSTVPRSYTWLLPIYLVVALGCYGLFMVGFGLMFFPTCPQEAVLLQQDIVEAKEFLAKKGVDVGSNYAFVNDGAHVDLLIQ >Sspon.04G0001190-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:5261371:5266991:-1 gene:Sspon.04G0001190-1P transcript:Sspon.04G0001190-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMREQSLLEATCGDLFDHIEDLLDFPKEDSAADVLLLDAPAPGSPLSARIIDVGRAGNALAPPLPRAGAAALAQHDASASAFFATAGPDAFDPKDVVGAHIGSCDDMDMDMDMAQLEWLSGLFDDASIPHEPAFPAAAAGCAAPIIKSSALAAGALLPPDKMEDALLFRSSSPISVLEHGSFNNANGGGSGSAGGSASSSSSSASSSSESFSGSGSGSGGGHAWSTPVSPRQAPPPPVLVIPARARSKRSRPSAFTGAAARAGVVEAPTILVPTPMYSSGSSHSDPESIAESSPHPAPPMKKKKKAKKPAPPAPAASSDDNDGDADYEEGGERAEPQGGAVRRCTHCQIEKTPQWRAGPLGPKTLCNACGVRYKSGRLFPEYRPAASPTFVPSIHSNSHKKVVEMRQKAVRSGDPSCDLLQFIRRRD >Sspon.01G0026000-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:90635506:90636402:1 gene:Sspon.01G0026000-1A transcript:Sspon.01G0026000-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SVAAAGDPSSAVVWYCGVYARVRLDTQRGAPVHPSARWFTSAGPLTLAQSATTPGQRPSKASSVTESENASPSRESESVDLTFAAGLLVVVGGDR >Sspon.04G0035080-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4D:1407215:1409022:-1 gene:Sspon.04G0035080-1D transcript:Sspon.04G0035080-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPHVTKDLVAAPAAAATAPPPASRSLADALALARPFLRGEEADVDPALPGLAAVLRAAGAGECWHKHGTFLAHLLDVHRILRLWGAPDAVARCGLYHSAYSNSYVNLAIFQPDTGRAHVADVVGADAERLVHLFCVVPRQQLVHDDLLFHYTDQDLVDDLASSEASLRDARRGVFDDAQPWRRKIQRLLPPDGITVKHISFLKLVNNESQLYKFVAVSVSGRRTLLASLFCRTGEDVALSRRIAATFLLMTIADFSDQLFDWQDRLFDNTNGRLEFSGNTWTSLWPGTGKPGLWVTSSSRMGALYTLIIREEEIYITQRAHATGQQGSNGSAGRDEDIDLVIPPVFNGCTEVLNADDQKVARDLYWEAVCSGDEASDWLKVEELLQQSIAKNPFVGEPHLVLAQVYLNMERYVDAQMQAEEGLKLLLEWGSSWDKRMPWEGWVSWGRAMLTKASEEDWPHTSFGI >Sspon.01G0014940-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:43917208:43919370:-1 gene:Sspon.01G0014940-4D transcript:Sspon.01G0014940-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DKGTNALDVLEGRAYRLQHPWVGIVNRSQADINRNVDMIIARRKEQEFFDSSPEYSHLASRMGSEYLAKLLSQVLEAAIRSRIPSITSLINKTIDELESEMDHLGRPIASDAGVPFDRHLSVQNVKRVVSQADGYQPHLIAPEQGYRRLIESALNYFRGPAEASVDAVRNLLPVLIVHSVLKELVRISIGETQVLKRFPTLQTELAAACYKALERFREDGRKTTLRLVDMESAYLTVEFFRKLPQEVDKTGTGDPRTANPPAPGNPRTANPPAPLDDRYSDAQFRRIASNVSSYIGMVSETLKNTIPKSVVHCQVREAKRSLLNDFYTQVGGKDVKQLAVLLDEDPALMERRLQCFKRLELYKSARDEIDSVSWTR >Sspon.01G0010220-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:28637389:28646915:-1 gene:Sspon.01G0010220-2C transcript:Sspon.01G0010220-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPQPNSAPRLALPAMARSQADLDFPPTSATATPTPLARAAIGACAGAAAGAFTYAALLPIDAVKTRLQVQAPSATSWQVFLDILRTDGPLGLYRGLSAVILGSASSSAVYFGTCELAKSLLRPHLPPFLVPPLAGASGNVSSSAIMVPKELITQRLQSGAATGRSWQVLLGILRADGFFGLYAGYAATLLRNLPAGVLSYSSFEYLKAFTLKRRAGESLTPGESVLCGALAGAISAALTTPLDVVKTRLMTRVGAEGSRTVLGTMREVVAEEGLVGLSRGIGPRVLHSACFAALGYCAFETARLAILQCYLERCQIKAKAAAQPELLGPCEARAKSIMREDLKAVVNYLRTDGNVSCIGLWGRSMGAVTSLMYGAEDPSIAGMVLDSPFSNLVDLMMELVDTYKYPLPKFTVKLAIQHMRKIVKRKASFDIMDLDTIQGQPTAHATTTEDAIAQLRSRRLMSRMEVPSGATTEDRADRTEGLDSDHGPSSSSVSTATPPNGRNGRLLTPTSDDGEYVEYSFDSLSDMPYTVEDEDRVREIDCCAGFVLAVRVRVMKRWCFSWPVRGGKGRVKMTAGMLMQAILESLKDYEQSNTKNVQSTASDAAPKENDTVKDSNGVVAAALEQDASSVSLDAPGKHTNVCNSGEKVSEGQSKIPTH >Sspon.07G0025800-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:47821779:47825639:-1 gene:Sspon.07G0025800-1B transcript:Sspon.07G0025800-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPQYVISSGSMPFTPDLALSRTRAILRWARQRESAEGGRCGREEREERGRSRVPRAAAYALAALTPTTATKVSRAAARAVSTPAPATVPACCARLLLCQPCCCCDMVNACTSGTTRQIGGRSAWGRVTAWQGRTCPRGFEWKAAPDVGSRTGSSAEKGGGSEREATVGRWPVRRRGGWAGRNHPTPPRRRRLIVAFLSRAHRGLNSTSAVRPPPPRFRLLPASLPLFPLLESRDTGGGGGESDPCPWRWSGIGAGSPRRPDFLLCSQIAILHLERYGLVYRDDGRFQVPAVGSRLLQGNARFVERVVVVFVVRVAEALKLFYIFREVSGI >Sspon.03G0038520-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:5181712:5184180:1 gene:Sspon.03G0038520-1C transcript:Sspon.03G0038520-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:tRNA dimethylallyltransferase 2 [Source:Projected from Arabidopsis thaliana (AT2G27760) UniProtKB/Swiss-Prot;Acc:Q9ZUX7] GMAHPSAAAAANPSPGAGEEGAACSPPSPSQRGRGKVVIVMGATGAGKSRLAVDLAAHFAGVEVVSADSMQLYRGLDVLTNKVPFHEQNGLSLTPHRLPQLEFPFRLNARTRSMRVQTLVSPFLFDDMAEEMQDCTLRDHIDDIDADLQALDSYVNKRVDCMMDAGLLDEVRNIYDADALYTQGLRQAIGVREFDDFFRLYLPRKESGEDSSASMFDIHDDQLKSLLDEAVSQLKASTRRLVRRQACDNRVLRGAHEWEQHRQGRGHRKRVQRLKQKSLSLRPWPSTSQDCN >Sspon.07G0038230-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:73371520:73377790:-1 gene:Sspon.07G0038230-1D transcript:Sspon.07G0038230-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRAAISRANAAVAISPSHCRARRSGLRRRHCHTPGARVLSNHAVRKADLGRTLETDFDADSIALPWHLIQISEDNLLIADRSFGTQWTLRISTGKKQDIGRDGQRVLKHDLDTKITSNIQFSNCEGAFIWTISRACTSSRLASWHVM >Sspon.03G0038860-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:8252395:8256121:1 gene:Sspon.03G0038860-1C transcript:Sspon.03G0038860-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMRDKKRNQKRVLARRTAAPRAGEGKDFLPLEGGPGKKLRKVQQPEEPENTATVVYIGHIPHGFYEDEMQGFFKQFGDIKRLRIARNRKTGKSKHYGFIEFESPVVAKIVADEMNNYLLFEHTLQVSLVPPEKVHPKLWKGVRRGFIPIDRVAIERKRHNKDKTVEEHKKMVEGIVKRDEKRRKRIKAAGIDYECPALIGSIQPSAKKIKFDEV >Sspon.07G0006410-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:14787927:14791690:1 gene:Sspon.07G0006410-2B transcript:Sspon.07G0006410-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidylprolyl isomerase [Source:Projected from Arabidopsis thaliana (AT3G55520) UniProtKB/TrEMBL;Acc:A0A178VDC9] MDSIDRLARGIPHFVMMSDFVAALFWRHTTTRSVKTSLLGAKVMAETIDLSGDGGVLKTVVRKAKDDAIAPSESLPLVDVHYEGTLAETGEVFDTTHEDNSIFSFEIGQGAVIKAWDIALRTMKVGEVAKITCKSEYAYGAAGSPPEIPPNATLIFEVELVACRPRKGSSVGSVSDEKARLEELKKQRELAAATKEEEKKKREEAKAAAAARVQAKLDAKKGKGKGKGK >Sspon.02G0043210-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:93716680:93718151:-1 gene:Sspon.02G0043210-2C transcript:Sspon.02G0043210-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding CIDFLASKRPNKPPALDDVEDRLRRVLLRAQIIVDEAMGRRITNQAMLRQLDALTDTVHRGYYALDTFRSCQQAEREEPRSSSNGQTTIVSRRLSSHFSRVDPCLSSLTSRAVHISKEMQEVLDTLSAMIVDAHELVLFLANYGRRMYRQPYSMYLLLGNCMFGRQMEAQYVIDFLLHTQPPGGVKKPVEVLPIVGPCIVGKSTLVAHVCKDERVCDHFAETVFLSDHDFGTLAFGSADPTSSHPRFINMAMEAATAMNGSMINANIIAHMMRDSFDVHFWGKLAAFVRLQFQKHVSRFGGHPYDLINQNKPVYFGRMRSEQMICHHQYRHSSQKEVPKITRHEMINGDAKLPAGRFEILAWSSQIPPYYSYIYDCEIQELKSTGNKRKRSTKNGGPPS >Sspon.07G0035550-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7D:8578069:8580465:1 gene:Sspon.07G0035550-1D transcript:Sspon.07G0035550-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIHSYSSSSSNRSTTSSARHRQAALATDEDAHHAELVATERREREAAERAAAAARAQRPAAAELIAARAAEEAAVAAAALREWRRVGGRADLERRGANAGAGRVGSADVARARHDADGQGGRGRRGHDPDGWTGRWRAESSQAARLTFPRPASRSPRSVRLPSRLPNRRQGRRHRRRMAHAHQDQLRQVVHGDGDEDAGVVHVGCGTVWYDDADFEEDRRALEALLATIPTKMHSSLANKRNAKDAWDAIAVAHISSDRARRSTLQELCQEWENLAFKPGEDVDDFALRLNTLMQQLARYGDNDIDEERAVEKFLRIVPKKYSQVAIAIEEVTGRLKDVDNRELLPPSELVTIGGKLLFIDEQWLACQRERKKGEASGSSASGSSSSRKRRPSIEPHSSPTLVAAALLHLDEPWAHVFLGNGSGGDKINGWYFDTGATHHMTRWREFFSELDSGIRSSIKFGDASAVEIKGFGSVIFTAKTDEHRLLTGVYYIPELRNPIIGLGQLDESGLCVEIEHGVLRIWDHHRRLLAKVNRGTNRLYVLHVQVVQPGMPRVEHVEQFFNTCVLTKQRQLLFLRQASSRAKEKLELVRGDLCGPMTPATPGGRRFFLLLINDVSRYMWA >Sspon.01G0017710-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:62528094:62530658:1 gene:Sspon.01G0017710-4D transcript:Sspon.01G0017710-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQQQQEVRRVLKVAPPGKGDGESFPTVQAAVDAVPLGNRARVVIRLAPGVYREPVHVAKTKNFITIAGASPEATVVSWDNTATRIKHSQSSRVIGTGTFGCGTFIVEGEDFIAENITFENSAPQGSGQAVAVRVTADRCAFYNCRFLGWQDTLYLHYGKQYLRDCYIEGHCDFIFGNSIALMEHCHIHCKAAGFITAHSRKSTSESTGYVFLRCTITGNGDGGYMFLGRPWGPFGRVVFAYTFMDRCIKPSGWHNWDKSENERTACFYEYRCSGPGSQPSNRVTWCRQLLDVEAEQFLAHTFIDPDVDRPWLLQMMAIRIPASA >Sspon.08G0000930-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:3572268:3575842:-1 gene:Sspon.08G0000930-1A transcript:Sspon.08G0000930-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRPAVRSFCKMLTVSDTSTNGGLSMLRRHAEECLPPLDMTQSPPTQELVAKDLHGMEWRFRHIFRDQKVMNFESALGGLLERGCLKTGPSAFIIPYDQYMESVRWDEPSIIPRPGRVSPWVIEPVSSPPVEPLPLPSRVKIPRQDAPQPSPESYVLTKEGKLIYYADHFSFKSKGITNMETDVYCSAANWRPPRPRLVAYATSSSSSGSLSTTYTSGSSHLQSSSDQGCSVTLSTHLVEEIANKLEHVQFPWLELEGEREKIHGRINGLVKEFCTTSNCRALVLERWFSDLGVSWVLSMVTDDEPISAFVFPRQQLGHLARSWIAALNVIQVYLYAYLDGSCNKEEGTFSPAASEFARFLQSTISKMLPFVDAVIAPKYTLSNSSNGEAMTEPTTEEKLQALIGVRDALSAASEQIQLDDCEAVQGSPNIHKVTRFIVNCIKVLSTNYALVRQIVSNAASFGKYVAEHGKTSPLTMNTDDPFAALITEMISCLQEKLLRVSQPFPDQGLRFLFLLNNTYFISFIQARLWNPI >Sspon.06G0005510-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:18637323:18638883:-1 gene:Sspon.06G0005510-1A transcript:Sspon.06G0005510-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATEEAAKPSPIPPYSEVRPRCPVDIRVFLRYDPGGDEGVSDKNGSNKLAISMYIEGKYGKLPPAHLAGMNATGELIFVKSNYFRVDAPDVPRKHGHGRPPKEPDPLEAAVKQATAGMPKARGRPPKKAKIEDGTSPAPAPALADDGSTPIKRSHGRPPKMFHGVAVDGSSSCIPGQAQFVEDADGDEEGEDEGGDGFDNNPMSTNSRKRGSSSIDTTTSPAKKSKAPLLNKAIQELQSDIKELQQELQSDIKELQSDIKDANQNLHQFRSNKAIKEKQEKEEVDQQIDKCLRMAMKCGATEESEEYFMATMLFEKEYNQKIFCISTQLKEGLCG >Sspon.02G0001540-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:5688289:5692159:-1 gene:Sspon.02G0001540-3C transcript:Sspon.02G0001540-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKPVASVASLLPQLWHRPFRRAPILSRARGLSSSSSILTAHSAPRRRSVLPRSTHLAAVSTAAAVEAPAATPYPVYDRLLPCPLQDDPPRIEHLVAREDEVAADFISRSLGLPPLYAADLIKFGAVYYALVAPQPPPYASPEHFKIFREVTEPSILRRRASIKGKTVREAQKTFRVMDPNHRLEAGTYVRVHVHPKRFPRCYEIDWKSRVIAVADEYVVLDKPAATSVGGATDNIEESCAVFTSRALGLETPLLTTHQIDNCSEGCVVLSKTKEFCSVFHGLIREKQVKKLYLALTTAPVSTGTITHYMRPVNRAPRLVSEDCIERWHLCQMEVLDCRKVPWPSSSIRKVHNVNGCGWPQQEAAYECKINLLTGKTHQIRAQLAAIGAPIIGDSAYMTAAMAAMANPSVNPFGRERLSYNSEEEKEGAIEAWIASHGKEPKSVIGLQASEISWDHEGEHHSYKARVPWWQQDSVESDLV >Sspon.05G0021250-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:89520755:89522940:-1 gene:Sspon.05G0021250-1T transcript:Sspon.05G0021250-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSTSAAATPPASPAPPALTSPKPGATKRQRKAVLLGDVTNLLRPETPTPIKPRRTARRPLPAPSDASAVSSSTCSSSASVTPALMPSSSASAAPAPEPSSSSAPVTPAPQPSSSATVTAAPRPPSAASATPAPAPSSTDTVTPAPEPSSAATVTPAPEPSSAATVTPAPEPSSAATVTPAPKPSFAAVLEEEGSVFESATICTVYARRMSTEAEAEGRSNPTITNKGKEPVGAAASCPLLGNLRGTSGEILKRPLARTPKLPEEFVKKQKAYFADVDAFELAEEEVSESELE >Sspon.07G0001100-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7A:2345443:2347429:-1 gene:Sspon.07G0001100-1A transcript:Sspon.07G0001100-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABI-1-like 1 [Source:Projected from Arabidopsis thaliana (AT2G46225) UniProtKB/TrEMBL;Acc:B3H5V3] QELKNLRPQLYSASEYCEKSYLNSEQKQMVLDNLKDYAVRAVVNAVDHLGTVAYKLTDLFEQQASDVSTYELKVARLNQQIFTCQVYTDKEGLRQQQMMGANIKHHKHYILPRKERSASPMRRPLQFNRSTSSDAMQKVGTKNQSGVKEFSTFHSFGNPKGRAIQKAPVGTKSMLAALFIKHKSSKMKKITVR >Sspon.06G0009950-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:42482541:42483484:1 gene:Sspon.06G0009950-2B transcript:Sspon.06G0009950-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNPPARYSRFIHKTKHQLYRRDQNPFSTEMASSNFYLLSVLLIMIASGARAMDPSPLQDFCVADKDSPVRVNGLPCKDVKDVKVDDFFLAADLDKPRDTTMSKVKSNVTLINVMKLAGLNTLGISMARIDYAPQGQNPPHTHPRATEILTVIEGSLYVGFVTSNPDNKFFSKLLNKGDVFVFPQGLIHFQFNPSHDKPAVAIAALSSQNPGALPFLMQYLDQNHRSRMTFLPRRFRWTRRLWTGYKLSFGRTTTTK >Sspon.04G0030660-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:2752064:2756129:1 gene:Sspon.04G0030660-2D transcript:Sspon.04G0030660-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKAIHRQRVLLAHLLPSAPPSAQPQLAASACAAGDSAAYQRTSSFGDDVVVVAAYRTPICKAKRGGFKDTYPEDLLTVVLKCSSGLQAVADVAAAIKAGFYDIGIGAGLESMSISSMGWEGQVNPKITALQKAQDCLLPMGITSENVAHRYGVTRQEQDQAAAESHRRAAAATASGKFKDEIVPVPTKIVDPKTGEEKKVVISVDDGIRPGTTASGLAKLKPVFKKDGTTTAGNSSQVSDGAGAVLLMKRSVALKKGLPILGVFRSFAAVGVDPAVMGVGPAVAIPAAVKSAGLEIGDIDLFELNEAFASQFVYCCNKLGLDRSKVNVNGGAIALGHPLGATGARCVATLLNEMKRRGRDCRFGVVTMCIGSGMGAAAVFERGDAVDGLSNVRDTQTHNFLSRDAK >Sspon.08G0002030-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:4787529:4797212:-1 gene:Sspon.08G0002030-3D transcript:Sspon.08G0002030-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRERAGITADGLGCYYRIPVEVWVVIAAGCEEILNIYFKKKLEELNTIMSGSYESDQLVDHEPFQRSSTSDWHSGMDVDGSEVSESSSLVKNIGKVVRDLRCIGFTSMTEDAYSSAIIWLLKSKVYELAGDDYRVPVLGCVKKWIQDLRIGKLFEIIVDYPESSPAIEDLKLCLEYTGQHSKLVDSFISSLRYRLLTAGASTNDILHQYVSTIKALRTIDPTGVFLEAVGEPIRDYLRGRKDTIKCIVTMLTDGSGGSASGTGNAGDNLLEELNRDAENQENADYDDHANIDEKQAWLNSESWEPDPVEADPLKGSRNRRKVDILGLMVSIIGSKDQLVNEYRVMLAEKLLNKSDFEIDSDIRTLELLKIHFGESSMQKCEIMLNDLIDSKRTNSNIKTSLLKTSQTVPGQEEAEVSHDVLDATIISSNFWPPIQTEDLVVPASVDQLLSDYAKRFHQIKTPRKLLWKKNLGTVKVGKTDSINGYYENISSYEKWLELQFEGRSMQFTVAPVHAAIIMRFQEKSSWTSKTLATEIGIPMDSLNRRISFWTSKGVLTESAGPDADDRTFTVVDSMSDVNKNSIVNERLSEYQMTEEEGESSVASVEEQLKKEMTVYEMFCIAEPSYDKSLQQLQSFLSGLVADEKLETRDGLYLLKSGGGLRRRRPSGRPEIPRRHRDAAFHDTN >Sspon.04G0014420-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:60246371:60247523:-1 gene:Sspon.04G0014420-1P transcript:Sspon.04G0014420-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFRTWHCLLASCCLFLVLASAAHGQLSPSFYATTCPTLGLIALLAERRMGASLVRLFFHDCFVQGCDGSILLDDVGSFVGEKGAGPNVNSVRGFEVIDQIKANVELLCPGVVSCADIVALAARDGTFLLGGPSWAVPLGRRDSTTASLTLANSDLPSPASDLATLVSAFGNKGLSPGDLTALSGAHTIGFSQCQNFRGHIYNDTDIDAAFATLRQRSCPAAPGTGDTNLAPLDVQTQLAFDNAYYRNLLAKRGLLHSDQELFNGGSQDALVRKYSSNPALFASDFAAAMIKMGNISPLTGTAGQIRANCRVVNSS >Sspon.01G0030830-3C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:76450209:76454462:1 gene:Sspon.01G0030830-3C transcript:Sspon.01G0030830-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGDADGVKSGRRGGGQVCQICGDGVGTTAEGDVFTACDVCGFPVCRPCYEYERKDGTQACPQCKTKYKRHKGSPAIRGEEGDETDADDASDFNYPASGNEDQKQKIADRMRSWRMNAAGSGDVGRPKYDSGEIGLTKYDSGEIPRGYIPSVTNSQISGEIPGASPDHHMMSPTGNIGKRAPFPYVNHSPNPSREFSGSIGNVAWKDRVDGWKMKQDKGTIPMTNGTSIAPSEGRGVGDIDASTDYNMEDALLNDETRQPLSRKVPLPSSRINPYRMVIVLRLIVLSIFLHYRITNPVRNAYPLWLLSVICEIWFALSWILDQFPKWFPINRETYLDRLALRYDREGEPSQLAAVDIFVSTVDPMKEPPLVTANTVLSILAVDYPVDKVSCYVSDDGAAMLTFDALAETSEFARKWVPFVKKYNIEPRAPEWYFSQKIDYLKDKVHPSFVKDRRAMKREYEEFKIRINGLVAKAQKVPEEGWIMQDGTPWPGNNTRDHPGMIQVFLGHSGGLDTEGNELPRLVYVSREKRPGFQHHKKAGAMNALVRVSAVLTNGQYMLNLDCDHYINNSKALREAMCFLMDPNLGRSVCYVQFPQRFDGIDRNDRYANRNTVFFDINLRGLDGIQGPVYVGTGCVFNRTALYGYEPPIKQKKKGGFLSSLCGGRKKASKSKKKGSDKKKSQKHVDSSVPVFNLEDIEEGVEGTGFDDEKSLLMSQMSLEKRFGQSAAFVASTLMEYGGVPQSATPESLLKEAIHVISCGYEDKTEWGTEVT >Sspon.03G0031290-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:8122931:8124373:1 gene:Sspon.03G0031290-1P transcript:Sspon.03G0031290-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAPSSSSRTLPLPLPLPPAVLYLLSLLLVLSMQVAAAVAAMDPAERETLLRVMDSVSADRDWREAAGDDPCASPWPGLECRPGTTTPGANNGGGAARMHVARLDFGVPPNPTCKDTATFPAAAFALPELRALFLVGCFKNPDAVAAFALPPASNLSSSRLQQLSVRANPSLSGTLPPQLASLRSLQVLTVSQNALVRGAVPRGIGALADLVHLDLSYNSLTGPIPSALGDLRGLVGLDLSYNSFSGPIPSRLGDLAQLQKLDLSSNNLTGGVPAALTRLRALTFLALSNNGLRGRLPAGLSGLRDLQYLIMENNPMGVPLPPELGNIVRLQELRLANSGYSGSIPDTFGLLSSLTTLSLENNNLTGRIPAGLSRLKRMYHLNLSKNGLDGVVPFDGAFLRQLGRNLDLSGNPGLCVADRAVVPDVGVGVCGDDVACETSAAAESLVVGRVVRGEVARGRWPAGLLRPAAVALCSCLLL >Sspon.01G0010440-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:31564696:31566072:-1 gene:Sspon.01G0010440-4D transcript:Sspon.01G0010440-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLAALCTDPVVVSCAFLCLLLHVALRSLLHPSSASSSSRSGHLPPGPTGLPILGALPLVGPAPHAGLAALARKYGPIMYLKMGTTGVVVASSPGAARTFLKALDAKYANRPAVASAADITYGCQNMVFANYGPKWKLMRKLASVHLLGARALADWAHVRRDEAGHLLRGVAEASAAGRPVVVPEVLVCALANIVGQITMSKRVFDAQGDESNRYKDMIVSLLTGAGLFNISDFVPALARLDLQGVQAKLRRVHHQFDGLITKLLAEHAATAADRARDGRQDFVDRLRAAIDAGADDESGETIIEVNIKGLIF >Sspon.04G0017930-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:64982731:64986593:1 gene:Sspon.04G0017930-1A transcript:Sspon.04G0017930-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIARAAAGVACSKEHQRIYAEWFALADPDGDGRVTGADATSFFGMSALSRADLKQVWAIADSKRQGYLGFAEFVTAMQLVSLAQAGNEITQDSLKREDLSTLDPPVMEGVDELLARSKAVVKRVHPDDNGTTQVQAPSIYHWFGSKAAQKVQMPLTAVTSVIDGLERLYVEKLKPLEVAYRYNDFASPLLTNSDFDAKPMVMLLGQYSTGKTTFIKHLLKTTYPGAHVGPEPTTDRFVVVMSGSDGRTIPGNTIAVQADMPFTGLTTFGGAFLSKFECSQMPHPLLEHITFVDTPGVLSGEKQRTQRSYDFTGVTSWFAAKCDLILLLFDPHKLDISDEFKRVISSLRGHDDKIRVVLNKADQVDTQQLMRVYGALMWSLGKVLNTPEVVRVSFNDKPVNDSVVGPIGKDLFEREQDDLLCDLKDIPKKACDRRVNEFVKRARAAKIHAYIIGHLKKEMPAVMGKAKAQQRLIDNLEEEFAKVQREYHLPAGDFPDVEHFKQVLGGYSIDKFEKLKPKMVQAVDDMLAHDIPELLKNFRNPYE >Sspon.02G0041030-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:73714604:73717870:-1 gene:Sspon.02G0041030-1B transcript:Sspon.02G0041030-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHHGRHASPVVTNISLPKAGIDGRLGELNFSALPFLAHIDLSFNSLHGEIPPDISSLPVLSYLDLSNNWLHGQIPSELGNMDNLRLLQLSYNNLTAHIPASLGNLRTLVVLDIGNNMVTGPIPVDLGKLTSLGYLRLSTNFLNGPIPESLGNLTKLREIYLFLNLLSGTIPGSLSNLLNLHYLSLDDNYLSGPIPWSLGNLTKLTGLDLDLFSNQLSGTIPSSLGNLINLVGLEIDHNHLCGEIPINLLSNLTELEYLYLSKNELKGLLPQEIWLRIKQRVLVLSTNQLRGPISPRLGNLTRLNQIDLSDNQLVGSIPGKIGRLVNTQFMSLSQNQLSGSVPASFGNLTGMRRLDLFSNMLSGNILPDFGRMSELQYLDVSVNKLSGSMPKELGSCTKLQSLMINYNSLSGDLPTTIGNLENLQVLLDVSNNKFIGELPVQLGNLVMLELLNLSHNQFNGSIPSSFARMVSLSTLDVSYNNFEGPLPLGRVFRNASIQWFIHNKGLCGNLSSLPLCSSTPIIEHHKGRVHTFVLSVSLSVCIVVILASVSIVIYRSGRQQKIIVTNTRDALSVWNFKGKLVFEEITRVTENFSDRYIIGSGGYGTVYKAQLQGRRFVAVKKFHPTEEVMSDEKRFLSEIEVLMKIRHRSIVKMYGFCSHPAYKFLVYDYIERGNLHVILENGELAKQLEWQKRVAIARDVAQAICYLHHECNPPIIHRDITSKNILLDAAFKAYVSDFGIARILKPDSSNWSELARTYGYIAPGIYFDAEHSYTSVVTTKCDVYSFGVVALEIVMGRYPTELQTFASIGEHHELAVEDMLDQRPSSPATVEQEEIAQFVEVAFSCLKTSPQSRPEMQEVYMKLSHHYPSYASATPSHAVTLEVVDE >Sspon.06G0026320-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:76031322:76031879:1 gene:Sspon.06G0026320-3D transcript:Sspon.06G0026320-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTIPTVLLAAAILVAAATYFRHDTRSSSSGLAAGTHLHFYMHDQYTGANPTAALIVPGRPPLSNATSNDDPRRFGDIAVMNNALTEGPGRGSARVGTAQGFTVRVAERGSVNALSMHLVMEAGEHAGSSLVVSGRVDTDLAVRESVVVGGTGRFRAARGYALSRSYDYDLAKGGIVEVDVYLY >Sspon.01G0055330-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1C:77852623:77857659:1 gene:Sspon.01G0055330-1C transcript:Sspon.01G0055330-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADVRVERGSEDLACRPVEDGGDTCRAAGDAYGASKPGRRFRGGTDGTWRHQGVRVEAKLPVRRGGGHRMKMTPGWTITPLGYDEPKGQQIIYEKLIRTCKKTARRYRTCPVSIPDVSGILDFQVSSSNFVETFPMVYRKHRRRVGVSQQSMSGHGFFLKTRNIQS >Sspon.01G0025570-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:100055740:100060222:1 gene:Sspon.01G0025570-2B transcript:Sspon.01G0025570-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDTRRKREKSTTHPNGPAVAATNPTHGRRTGAAEPTKPYVAAAAGAGRDPGGMVAISMYRGSLHIGGHDSGAPAPRRWEAPRPALDAKRFRRLLRNRSRAVARLAGTPPRQVSQSSSDLNGGRGTADSEREAPDEEEADDGGEEEQGQLQQDQEQEHQQHQEEQGNGEAEQQQQEEEEEQEEGAVEDADMEDAGEVVVEGEGNGDAEEGQGESEGVDPNLEEVSYPDGIDEKKRKLNEKLDILNKKKHGLVQMLKQPKQGCHGEGEDRVRAGAVEVGAWKSGWGECRRSRGGTPSRGGATDRNVRADGRVGAARLRERGLDDWLLELVVVLNAEEEIQRRSMQASLRAAMPQPSENATDGSSVSRLAPRMTVDVNFGDVAGDSDAGSNQGTPGRPLHHFHSISPSTASFARSPFGSVQGHTPRSPATFSTASPSRFATNIHHGQPPALYSASLPGSNYVASSPSPAASGGSSSVFRDPRPPNST >Sspon.02G0036420-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2B:24738956:24739183:-1 gene:Sspon.02G0036420-1B transcript:Sspon.02G0036420-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLMTTRASCVVLSTAPMRSCLSWLFIVSYDTSSCKLQPYGAARLIGMARPAANPSAAYLYRYLVKIEMKVPRVV >Sspon.07G0025330-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:33649981:33656501:-1 gene:Sspon.07G0025330-2D transcript:Sspon.07G0025330-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPPPPRKDFPAFPFAPYPIQSEFMSFLYAALSSGPGALALLESPTGTGKTLSIICSALQWLLDHRDAAATAPALPSGAAGPGGDDDEPDWLRDFTPLPPVKESTKKKSKPPALRKTVVSRKPDGFGEEDGGDDEREFLLEEYESDSEDVARRGVGKREHCGGSSSSEGEELDEEEDEEEVTPKVYFTSRTHSQLSQFVGELKRTEFAGRIRTVCLGSRKNLCINKDVLKMGSANRINERCLELQKNKKSTKVKLEDDKRKVRAAKRSCGCPMLRSRSLQKEFRSEVSNQGALDIEDLARIGKKIGTCPYYGSRDMVRSADLVVLPYQSLLLKSARESLGLNLKNSVVIIDEAHNLADSLTNMYNSKITFHNVLGAANRRYIQTLTVLTRSFIRVLINNQDGASTMSSMTINQFLFSLDIDNINIVKLCQFVKESNIIHKVSGYANKLTSIQNQFDLQLHDEGSSIACFQALVDFIRSLLNGNDDGRIIVAKQKLGGQPDEAYLKFVMLCADKIFSEVTRDAYAVILAGGTLQPIEETRLRLCPSLPPTDIKFFTCNHIVPPESILPIAVTRGPSGMTFDFSYNSRSSPSMIEELGRFICNIITVVPEGVVMFFSSYDYERRVYDAWMTAGTISKISKKKHVFREPRNSADVEAVLNKYKETIESCSKISQDTGVSGALLLAVVGGKISEGINFSNGMGRCVIMVGLPYPSPSDVELIETIKHIESISSSFLVGDDKASSRKYDDECELQPGYDILRKCTRGGREYYENLCMKAVNQSIGRAIRHINDYAAMLLVDSRYAQTSSTKNFSCPTDKLPQWIKARLSCAQNYGENAEVTSKRLGATI >Sspon.01G0030350-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:105483900:105488423:-1 gene:Sspon.01G0030350-1A transcript:Sspon.01G0030350-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ICDFMEMIFITVWKMSRKITLNINVQSKQGRFHLSQRHNRYEELPDTWYKPYADKFRTWHSKLRSGDKDIPKRTPEGMSDYLKICSIHKRKRAVFMDDPSISPPMLENGPSLHSKNAGEFSNSTDELIPEIRFPSDCVPESAIPKTSGISRANKIEVHGVLDNLPAPVNRNTAMLERFGMVPEYYKTGNKYRGKDGSRVEGKSLSQEQALLMTKKLVARYLANSGFESGTAVSIDVLSEIIIKHICKLGRNLKLLTDSYRKQFSSIELLKMFLQNVGYSNIGPLMEITKMGNRVANYPFIKMHKFFRHKMQIPFMHSRQLPPQMLQNLTPQQQQQLQNLTPQQQQLLQQQQWLRRSQLCSPRGPLTMADKNQPMVNVKQQQLQQQQQQLQQQQQHLTQQQQLQQLNQQQHLHQQQHLQQQQQLNQQQIQQQQQQMTMSGNQNAQLAQQFKQVPSMSAYGMRMPPVKVEAFHELVSGDSSLKHDNDPNKLTSP >Sspon.07G0012930-1T-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7D:43135008:43136932:1 gene:Sspon.07G0012930-1T transcript:Sspon.07G0012930-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LNGLSVGDSLPDNIMESPARSETASCFRDEILSQYSPMSEDSDDYRCYDTQLNPSGNHPDAMISPSTSPMSSPHRHQRPQSPLLPSNPYPLPSCSLSSVVCSHARRGSDNEGRFPSSPNDMCHGADLRRTALLRSVQMRVQGPHSYDLSFGMRQGQEHVHEHEDEHEHEHLEDLERTERSPSCNKSIVDEISYQRPDHDFGRPEHEIDYINNCTSDDCPSDLKFKQEDKSHSKFDARMDKNT >Sspon.01G0016970-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:57904668:57909756:-1 gene:Sspon.01G0016970-2D transcript:Sspon.01G0016970-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRCSRWSIDPVHPHARARRPPDLSPPASKMPPPPASLTPAGGGRRRWKHRLSPTVARDRCYTRSFRSAGLRPAAIPLPDGAVVHLWLPPPAADPARPLHPVLLLHGFGAQATWQWAPFLGPLLAAGLAPYVPDLVFFGASSSPAADRSPVYQAACVAAAMAALPGAPQRYAVVGVSYGGFVAYHLAHAFPAAVEQLVLVAAGVCLEEADLAAGLFAVEDIAEAASLLLPQRPEDLRRLVGLTFCRPPRFMPSCFMRDYIRVMCTENVKEKTELLYALINGRKLSDLPKINQQTLIIWGEQDRVFPLELGLRLKRHLGDTSELVIVKNAGHAINREKPAELCRLIKNYIVDPSVKYRDDRKVHN >Sspon.07G0012370-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:44170296:44176136:1 gene:Sspon.07G0012370-1A transcript:Sspon.07G0012370-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAGNGGGGGGGALKRSMGPLRVQYYIVMGAVAAAVVLATLRYMPGPAIPATTTASTTSGGGGVVRSSAPASAAEEEQQQEGTKRKRKKGDGVVLFNFGDSNSDTGGVAAVMGIRIAPPEGRAYFHHPTGRLSDGRVILDFICESLGTPHLSPFMKPLGSNYTHGVNFAMAGSTATPGATTFSLDVQVDQFVFFKERCLDLIERGEAAPIEEKAFPDAIYTMDIGHNDINGVLHLPYHTMLEKLPPVIAEIKKAIERLHKNGARKFWIHGTGALGCMPQKLSMPRDDDRDLDEHGCIATINNVCKKFNSLLSEALDELRLTLKSSTIVFVDMFAIKYDLVANHTKYGIEKPLMTCCGHGGPPYNYDPKESCMTSDKYLCKLGEKFISWDGVHFTDAANGIVASKVLSGEYNIPRVKLTSLLPKAKSDD >Sspon.02G0002930-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:6973809:6976370:-1 gene:Sspon.02G0002930-2D transcript:Sspon.02G0002930-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARRLGSPASRWLCPSALLLLVASALLSAPSPAGALRFDLESGHTKCISDEIKVNAMAVGKYHIVGPDPNFPDAQLPESHRISLRVTSPYGNSMHYAENVQSGHFAFTASEAGDYLACFWAPDHKPPVTIGFEFDWRSGVSAKDYPSVAKKGKVDMMELELKKLEETIRNIHEEMFYLREREEEMQDLNRRTNSRMAWLGFLSLGICLSVAGLQLWHLKTFFERKKLL >Sspon.02G0003590-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:10050412:10054346:-1 gene:Sspon.02G0003590-2B transcript:Sspon.02G0003590-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTRKSKTTSAWRSFLGGCLGGGGEGRSAGDRQRKVRPGGSGGGRLSFTDLSGAADQDLSVSLVGSNLHVFSVAELREATRGFVSGNFLGEGGFGPVYKGFVDDGVKKGLKPQAIAVKLWDPEGAQGHKEWLVQIPAVLPWSTRLNIAVGAAKGLAFLHDAEKPVIYRDFKASNILLDSDYKAKLSDFGLAKDGPEGDDTHVSTRVMGTHGYAAPEYIMTGHLTAKSDVYSFGVVLLEILTGRRSVDKTRPSREQNLVDYARPCLKDPLRLVRIMDPAMEGQYSARAAQSAAMVAYRCLSSSPKNRPDMSAVVQALEPLLDLNDDVPVGPVGPVGPVVLFVAAADEKKERAPRKDVRRRRPMSPKASPRKRPGAGPKEEFWVWHLPAEQKA >Sspon.01G0022370-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1B:84780079:84780533:-1 gene:Sspon.01G0022370-2B transcript:Sspon.01G0022370-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MWVPVPRRYQLEALERALAGNTLVFLETGAGKTLIAVLLLRRFAHRIRSAPPPCFAVFLVPTVVLVSQQARVVRAHTDLTVAEFYGEMGVDFWNADKWRDMVDGAEVLVMTPQILLDNLRHSFFRLRDIPLLIFDECHRATGNSPYACILK >Sspon.05G0009100-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:5C:17934783:17935481:-1 gene:Sspon.05G0009100-2C transcript:Sspon.05G0009100-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQTPEDTTPPVQQAPDRVPRPISELPAGYVFHPKGGSLLKSYLIARARGGAMKDPYGNTVADGVDVYAVRPEALPFPRRNRGLHGHVWAYFFATRPAGAGGGIGAAEGGDEDEDDDVRDVAAGGCWRRYGGGDKEYIGCDGEVYAFRRRFAFHEAGARSKKTVWRMKEFRLKETAPRFRGVVFHPDAKGLVIWKVWNQVIPEEEPAVDYYGNGGMNDDEEEEEEEIGPVVIT >Sspon.02G0014530-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:17617821:17621810:1 gene:Sspon.02G0014530-1P transcript:Sspon.02G0014530-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVDVVGTTLVAPSEDTPRRELWLSNLDLAVPKTHTPLVYYYPAPAADGAAFFAPERLEAALARALVPFYPLAGRLGVGEGGRLQIDCDAQGALFVVARADFAGDDVFNDDYEPSPEVRRMFVPFAASGDPPCVMAMFQTWTGVARGLDTAEACGPLPPFHDRTLLGARSPPTPAFEHPVYSPALLNGHPRPFVTRMYAVSPKLLGDIKSRCAPGVSTYCAVTAHLWRCMCVARGLAPGSDTRLRVPANVRHRLRPPLPRSYFGNAIVRDLVVARVEDVLARPLGFVAQAIRDAVDRVDDAYVRSVVDYLEVEAEKGGQAARGQLMPESDLWVVSWLGMPMYDADFGWGTPRFVAPAQMFGSGTAYVTQRANRDDGIAVLFGLEPEYLQCFEKVFYGE >Sspon.03G0036310-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:85434861:85439088:-1 gene:Sspon.03G0036310-2C transcript:Sspon.03G0036310-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDTTQPEYWLNWRFLLCALWVYSCMALAFFLIWKYEGASSRDSNGDNGEDREEALPRVRPGVVYLEDCWKTCIEGIHPGWLLAFRLVAFFVLASLLVVDIVTDGRHRYTQWTFLLVTLYFGVLICASQILIGTHSINVVFIVGDAALNKLVPGGGTAALPVLRPIHPGAEAQAYVVGKLNESSGRAVLSDALKGISPHKFVANTSSLQICVSLQAQGMILTKSCAVAANGRVFVGVLGIS >Sspon.02G0027340-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2B:102690420:102693764:1 gene:Sspon.02G0027340-2B transcript:Sspon.02G0027340-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding TRETEVPLLVRPIPTIHPVSLTSSVITGGHGSYNWLAHAAAAAAAFPGGADAADAAAPPPSSPGAAGGVIEEFNFWRRKPEAAEAVAAIMALAAVIRSSRATTMMELEIELKKASDKLKSWDATSISLSAACDLFMRFVTRTSHLEHEKFDAAKSRLIERGEKFGEISLKARKTIAMLSQDFIYDGCTILVHGYSRVVLEVLKLAASNRKLFRVLCTEGRPDRTGLRMSNELAALGIPVKVLIDSAVAYSMDEVDMVFVGADGVVESGGIINMMGTYQIALVAHSMNKPVYVAAESYKFARLYPLDQKDMTPAHRPIDFGVPVPTGVEVETSARDYTPPQYLTLLLTDLGVLTPSVVSDELIQLYL >Sspon.02G0006060-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:19570768:19580933:-1 gene:Sspon.02G0006060-3C transcript:Sspon.02G0006060-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGNALANYVQVYVMLPVSCLRIMQCNCSIISITNKRRRDLNESCQSEKGKTKLNDLPFSNSLLADMQLDVITVDNTFEKEDETRAQLKKLTEAGVDGVMIDVWWGLVEGKEPGVYDWSAYKQVFKLVQEAGLKLQAIMSCHQCGGNVGDVVNIPIPQWVRDVGKDNPDIFYTNREGLRNIEYLTLGVDDQPLFHGRTAIQLYADYMKSFRENMADFLDAGVIVDIEVGLGPAGEMRYPSYPQSQGWVYPGIGEFITDKGKFFLTWYSNKLIKHGDKILDEANKVFLGCTVQLAIKLDVITVDNTFEKEDETRAQLKKLTEAGVDGVMIDVWWGLVEGKEPGVYDWSAYKQVFKLVQEAGLKLQAIMSCHQCGGNVGDVVNIPIPQWVRDVGKDNPDIFYTNREGLRNIEYLTLGVDDQPLFHGRTAIQLYADYMKSFRENMADFLDAGVIVDIEVGLGPAGEMRYPSYPQSQGWVYPGIGEFICYDKYLQADFKAAATEAGHPEWDLPDDAGEYNDTPEKTQFFADNGTYQTDKGKFFLTWYSNKLIKHGDKILDEANKVFLGCTVQLAIKVSGIHWWYTVPNHAAELTAGYYNLDDRDGYRTIAHMLTRHPASMNFTCAEMRDSEQSSEAKSAPEELVQQVLSAGWREGLNLACENALSRYDATAYNTILRNARPQGINKNGSPEHKLYGFTYLRVSDELFEGDNYTTFKTFVRRMHANL >Sspon.03G0014780-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3A:47277944:47279236:-1 gene:Sspon.03G0014780-1A transcript:Sspon.03G0014780-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLSMKVSALAIVSPTCGHATFGTLTSKASAARCERFDHGSIARGGTDNGAERGYLVWPRGTSDGIEHDYLLSLGVDEAAKKRINDGRCDCSRVLAMRVGALGHDRG >Sspon.02G0015360-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2B:40361844:40362691:1 gene:Sspon.02G0015360-2B transcript:Sspon.02G0015360-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding PEQDFPNDSSQKNQKVDQNCSPEELVRILARHGHLPRMMEQDGFRKVAACLNPMVNMPSHSDFIGNICDLFQQEKSKLKEKLAALRSRVCLSAYMWHYDPHLAFLCLTVHYIDDEWEKQQKIITFSPMDPSCDAKQYSDIIVGAIREWDLHDKVFSIIVDDVFIDDSVASNIKTSLQKWNKVNANRNLFVARSATHLLDQVIHVGLDELNKIMEKSAKCPKYAKGSNCSAVQYPNIRYAASLEDWSTASEISEILERFHEYVNWMPNFPSPSDLFDTLKNVY >Sspon.07G0036710-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7D:41384816:41385924:1 gene:Sspon.07G0036710-1D transcript:Sspon.07G0036710-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKILPLFRHLNTAKVWLRIQRLQPANWEQTEDFGQWFLNLGNSCAATKRDVLRSLVMLAVWEIWKERNRGVFNNAARSTDQLLHAIQDEARTWIRAGNTGLELALQMADSTPYSYVILAHELGREGGQNPLGIVQRKCLQWLAHDARDALRLPLTHQVVTCTNARFRSSACGAVRIHTFLQRR >Sspon.04G0008580-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:25840893:25846307:1 gene:Sspon.04G0008580-3D transcript:Sspon.04G0008580-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALNFFGSSDLWSLVYSLGFTRCLALGLCVSGHSSPMRLTLFRDTILILNIYSLLPHINTGNKENISQDGDAPLDFATLPKEGSASTRWKKKPAGFNLRKSIAWNPAFFTEEGMILLRQLCALVCPGSGLIVTSMNFVGVLDNSELSVLTSSQPMMANRSPGSGQGDMVTHMYGRKLQRIHMENCLQDITGQKAREGNCFLQQKLLRETNRKNLLSVHHSTHSLLVSFKDTVYLLWLYHLQSSNNIAVFCPTNCREHKTEALQEASKYAHQEYQQDLYILTKVEQALVFSLDNSRVDLFVHALPQKKVPNSSASTAQMSRIPKQSRPSSPKVPRIKAELVHRVTGLPTRLKITSVSSGPSIEKDAVPAVTGVREEASASVKCKKIPHPQISPSSPFDSTASKFAKPSALRMPSPSVALDKPPRYRQSEESRPHLTKQLSTNCTAASNLVPPVTRESNLKSLVIPEKESLSKVITTYIEKPENVNNQARPKADFSLAETGATTTPQPMNLEKNDDAGNSVPLPIVYNETSHVEGRGGTREIEPLDNSNSLEAICSSTIEPVEDSFPLQASYSSTKPIVGSKLSPSCISSEVCSSSELSCQGKSDSDSSAAIDLENSNVGEIALRASLLKGSSCTPTPDLLQGFYSFDHQNIERPMLMESLSTICADQLPHCGSLRDEMTTLADSHSDLNDSLCDEAKPALSEEPNTEGEMELETNSTLDVKATPLLDVGCGRIHNYRTTDCSPMKLEASTPCVERRHALLVEPNIEEKMVLDTDRLSAIQDAPHIEKNKAPDRSPANTILKDHLKNLVPFTEEWLAVMEARGQK >Sspon.06G0003700-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:11360050:11364229:1 gene:Sspon.06G0003700-1A transcript:Sspon.06G0003700-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSFAQIARFVTSNQLKSPKGPARPTGGAAAIAMLIGPDAPISFERKYKASHMAHVYDFYKPDLASEYPLVQKSFARLFFNDFMRNCSSVDNDAKEKLLPFANLTSEESYQSRDLEKASQQVAKHLYDIKVQPSTLLPKQIGNMYTASLYAALASVIYNKHNSLAGQRIIMFSYGSGLTSTLFSLRLHEGQHPFSLGNIASMLDVTAKLDSRHVMSPEKFVETLKLMEHRYGAKDFKTSQDTSLLPPGTFYLTKVDSMYRRFYDKKASDDIDWRKTKSSYLDRLLVERGVPPPLLLHEVGVQELGHERPQPVGVLEVAQEPQRRIHHEDPHVSAGVLPLLPSGAHSNGVAGDPVLQDDAPLGLEAAGEGDVVAVGELLHQQRRHPLALPVEHVERQRRAPVELDARAVQLHQLVAVVGVRVPQLLQGHHQPVADAPQPVADGDEPQRVRVVQSPALHLHHAPVFLLLGQLLLCFVSVLCSGFLREEAVLCRAVVDLANLRGMGIAVLRRVRLQGPAASDGGGAERAGEGAGGLDVDVVQGRRVGGELGEAPRVLQLGGVAVVQRPAAGGHDADDADAARDDGALESGEAGLLDEEPREAGRVLTEHLEEVADDEVRADEREPERVEVLGAERARVQRDVPPLLVRRAHPQVRVPGARHAGGEREDEEPASPAAAAAPGQPLAEAKLADVTSAPRRRALSAMAATASLS >Sspon.01G0004330-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:11699730:11715005:-1 gene:Sspon.01G0004330-1A transcript:Sspon.01G0004330-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SHSFDETEAAENAKSADIDRRILQETKAEQHIHKLLLLGNANLLELEIKLLFQTGFDEAELKSYTSVIHANVYQTIKILYEGAKELAQVEPDSSKYVLSPDSQEIVEKLSEIGVRLDYPSLNKERVQDVRKLWQDPAIQETYSRGSILQVPDCAQYFMENLDRLSEVDYVPTKILQEDVLHARVRTNGVVETQFSPLGESKRGGEVYRLYDVGGQRNERRKWIHLFEGVNAVIFCAAISEYDQMLCEDETKNRMMETKELFDWVLKQRCFEKTSFMLFLNKFDIFERKIQKVPLSVCEWFKDYQPIAPGKQEVEHAYEFVKKKFEELYFQSSKPDRVDRVFKIYRTTALDQKLVKKTFKLIDESMRRSREGT >Sspon.02G0003120-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2B:9508346:9508843:1 gene:Sspon.02G0003120-2B transcript:Sspon.02G0003120-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDRRRDKEKPRDRDREKDRDIDRHRDRDRDRDRDRDRDRDRERDRRRERERKRSRSRSPSADRDRSHRRHSHSHRGRSSPSPDASRHKRRREASPATADHHHKEDKKAADSHATPKGGGDPAAAAAAVGDGDVDAEELEMMKMMGIPVGFDSTKGKHVPDADVSG >Sspon.03G0031900-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:31930346:31933477:1 gene:Sspon.03G0031900-1B transcript:Sspon.03G0031900-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRNAGAMQREGSAGSVKDWSEFDPSPSPKLAYSQSYVAMRGLLTSVASLDPVLMSSSLKSLWAALSSHRHARSLERPKYKGVNWKRPMFHLLVCFLVGVFIGFTPLFSLDLSNNIDSENETLPFDGDVVDRQMLELKKTEATEEQLVDESPPVPAMLDDEADFIEASHVQPSVNDSDFVARKQLIIVTTTSVRPHQAYYLNRLAHVLKNVPPPLLWIVAEWPYQSRETAEILRSSGMYRHLICNRNSTNIRKIIVCQKNNAIFHIKKHRLDGIVHFADVERVYSVDLFEDMRKIRRFGTWPVATHVGSRYKVVLEGPICRGNQVTGWHTNQRRGVPRRFPIGFSGFAFNSTILWDPQRWNSPTLESIILHSGGRGGLQESRFIEKLVEDETQMEGLADNCTRVMVWNFDLEPPQLNYPTGWLLQKNLDIIVPIT >Sspon.04G0023880-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:15811015:15811930:1 gene:Sspon.04G0023880-1B transcript:Sspon.04G0023880-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGLLSEEFFDACAVHPGERKNDKNHFCVDCAAALCRHCLPHEHAHDVLQIWKYASCFVVRVDDLKLFDCTGIQVRYGSPRRTPTVTEASHTVSDHEVVFLNERTARKRSASAENPCAACARPLFSGHDYCSLFCKVKHLGESEHGLRRALHVSRQEVANTPEPQSGKRRPSSSSDEGPSRGGSFRKRSRKQPEPAQAPFY >Sspon.08G0007870-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8A:27840311:27840932:-1 gene:Sspon.08G0007870-1A transcript:Sspon.08G0007870-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTPSVPSHDKAPARTHQPLYSIRRKDQHKDDGVPASRRSCSAGSMAASFVPTSTIPESENGPATSSIGTLIFGYTCVGLTGTALFAVLFFYCYHQLFRRRAPVTTAGSESNPGPEGHHIGVDITKLPGFAYTQSSRRRGNGDGAQCSVCLGTVQAGEMVRRLPLCKHLYHVECIDMWLASHATCPLCRSDVEPPGGSVDGAARGSA >Sspon.03G0024610-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3A:74781088:74781353:-1 gene:Sspon.03G0024610-1A transcript:Sspon.03G0024610-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding TSAWTSGRLCASGRTCLLRLGLSDSCAVRASSASRVRVRTAAFRSVAHAVRMTRPHLFHNNAPPASSTPALRGLRLLPTWTPPWLSR >Sspon.07G0011460-1P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7D:53778257:53778835:1 gene:Sspon.07G0011460-1P transcript:Sspon.07G0011460-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MENLNIDKDEALIVNCMYRTKYLGDETEDIDSARDRVLRTMKRINPEVLILGILNGLYSSPFFLPRFREVLFHYSALFDMLDATALQSHEDRIQIERDLLGPEVLNVVACEGAERMERPETYKQWQVRCLKAGFKQLPVDKAILKRSIDEKNKHYHEDFVIDEDSRWLLQGWKGRIMHAASSWKPKESYTNQ >Sspon.06G0035910-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:87381488:87388213:1 gene:Sspon.06G0035910-1D transcript:Sspon.06G0035910-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLASDLAATDNFSKGVPAPNRCEAAVGAVAAGCGGVPERGGAHRQAAAPNLLRFLGWCVERNGKLLVYEYLPNRSLDAFLFGDKYKDHEANAPSLFKDS >Sspon.02G0007950-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:23065376:23069998:-1 gene:Sspon.02G0007950-1A transcript:Sspon.02G0007950-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:zinc finger (C2H2 type) family protein [Source:Projected from Arabidopsis thaliana (AT1G30970) TAIR;Acc:AT1G30970] MGKKKKRVDKFDDEKILVQHQKAKHFKCHVCHKKLSTASGMSIHVLQVHKESVTKVPNAKPDRESTEIEIFGMQGIPAHVLAAHYGEEEDPSAKVAKVEVPQVRPVIMPNSLGMAFPPRPAYGVAPPIYNPALNPLMARPPIWPGPPAQAWYPQQPAYPQQPAVSVAPVVAGLPPQQPLFPIQNVPTPMTSAPANVLQTLLPMAPPGVPSPVAPQVSQPLFPVNTSAVNGAANSPFLASVAPGTIPASSPAAVGAAGIGYGANNQGTGGPAVGSAPAVSNNKASGAQPATNEVYLVWDDEAMSMEERRLALPKYQVHDETSQFLKQKGMNSVDAAIDRRISESRYLSRMLEVTS >Sspon.08G0007110-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:20244050:20247642:-1 gene:Sspon.08G0007110-2B transcript:Sspon.08G0007110-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFLDDELQVKVNKLSSIKTQLPYDYYFLDYCKPEAIKNSAENLGEVLRGDRIENSVYNFKMRRDESCKTREGSQTPSFEHGYRVGYKLKDDKYYINNHLSFKVLYHEDQTSPDARIVGFHVIPSSIKHEYGAWDDNNPTAQTCNANIKITPGSHTPQEVAPDAYVVFSYDVTFESSDIIWASRWDVYLLSSDSQIHWFSIVNSLMIVLFLSGMVAMIMMRTLYKDIANYNQLDNQDEAQEETGWKLVHGDVFRPPVHSGLLCVYVGTGVQFFGMTLVTMMFALLGFLSPANRGGLMTAMVLLWVFMGVLAGYTSSRLYKMFKGTEWKKITLKTAFMFPGIIFSVFFFLNALIWGEKSSGAVPFGTMFALFLLWFGISVPLVFVGSFLGFKQPAIEDPVKTNKIPRQIPEQAWYLQPAFAILAGGILPFGAVFIELFFILTSIWLNQFYYIFGFLFIVFVILIVTCAEITIVLCYFQLCSEDYHWWWRAYLTAGSSALYLFAYAIFYFFNKLEITKLVSGICTLVTC >Sspon.01G0029660-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1A:103107530:103120867:1 gene:Sspon.01G0029660-1A transcript:Sspon.01G0029660-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKRYTILGIYAICSDQVIRKLAKTRPSTLDELSFIDGVNLSFIDRFGVILIHSIQDFSKDLRLDLDNFGDASADVAETSPNELMNDRLSNYEPYDDFTQAVLDFICANPGSNIMTDSDSSIVEVDSRGVRKSLARNHGSRVESRNPPKKQGSSSTVSNPIDCDAIVFLSDPLYVSAVTSKFDQRKKNIVESIGFGGLLHLPDTTCHHNGFSLWLLRNLKWLSGAICVGENTILTLSPEHIGKVIGLNHTGIDVLDKALDSSSEKLAFLKSRLSFLHTEGDILQAAESYVKADWPEKIPQIQIDNFKVAFVIFAMGRFLAPGEHYAEGNYDCWGALEEPEAITCYNWGAYVLSNMLESARKANWDIVYNRKMQPITCCTLLLQIFYLDNIDFGTYNRAHNVFPRVKHYSCEVMTRMIDSDKLKNDDSSSPPSYGRIQMLRSSNTCYNPAAHPVSTIVPVGFTLSPAMYRPPRSASPKEPRKRTLEHTPSPNPCPSSHGLQSLIDNVPSACTDIIDISSFLREHYNGQCPPELLEAMSLHQAKCFHHVQSFSKALKAALSKENANFAMRIIQQANLNPGILAAGNTHSPLLARQIQYTDTPSCTPLRTGLSDEDNSKLKIPRTESFQHRKSTMSSPMHALGDDHPHENIAVRDQFTGAEVDYELCCCRMVNIQKFKELVLYEILDLEGNTARTPSPYIHVID >Sspon.07G0018180-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:65381296:65382248:1 gene:Sspon.07G0018180-1A transcript:Sspon.07G0018180-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding HGSHAACCGDLRRGRGGGGAGGDLGGRHQLRAGELGHRALPVLRPRPGLRALRGLLQRRQEPQLRRQHHRRQAHRLQLPQERRPRHQRPQRRQRRQHPLQVRRQRPLHHQHLHRLLQGEL >Sspon.04G0014080-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4B:55289443:55290031:-1 gene:Sspon.04G0014080-2B transcript:Sspon.04G0014080-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VPMFENMDDQLLDAMCDRVKPMLYTEGSCIIREGDPVNEMFFIMRGRLESMTTDGGRTGFFNSNVLQGGDFCGEELLTWALDPASGSNLPSSTRTVKTLSELEGFALRAHHLKFVANQYRRLHSKQLRHTFRFYSQQWRTWAACFIQAAWHRYCRRKLEDSLHEKERMFQAAIVTDASSSCSLGAALYAAHFASNM >Sspon.03G0024450-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:74466516:74472866:-1 gene:Sspon.03G0024450-1A transcript:Sspon.03G0024450-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSEPPPASSATAAEELAADLSAATLSKKQQKKDARKAEKAEKAAQRQQQQQQPADAEDPFAANYGDVPVEEIQSKAISGRSWSEIGDLDDSAAGRSVLIRGAAQAIRPVSKKMAFVVLRQSMSTVQCVLVASADAGVSTQMVRFATALSKESIVDVEGVVSLPKEPLKATTQQVEIQVRKIYCINRAIPTLPINLEDAARSEADFEKAELAGEKLVRVGQDTRLNYRAIDLRTPSNQAVFRIQCQVENKFREFLLSKDFVGIHTPKLISGSSEGGAAVFKLLYNGQPACLAQSPQLYKQMAICGGFERVFEVGPVFRAENSNTHRHLCEFVGLDAEMEIKEHYFEVCDIIDGLFVSIFKHLTENCKKELETINRQYPFEPLKYLDKTLKLTYEEGVQMLKEAGTEIEPMGDLNTEAEKKLGRLVREKYNTDFFILYRYPLAVRPFYTMPCYDNPAYTNSFDVFIRGEEIISGAQRIHTPELLAKRATECGIDVSTISAYIESFSYGAPPHGGFGVGLERVVMLFCALNNIRKTSMFPRDPQRLVP >Sspon.02G0035120-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:16595324:16595701:-1 gene:Sspon.02G0035120-1B transcript:Sspon.02G0035120-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LPSPVSGTTRVRGTAASGAAHWQCSPDPICQRGRRQNSGQQPFSQRPLPLPACDRRPRGTLGPDGAAAGFCSLRGSIQVCRLSHTHSRRFLLIRARLRLRSLSLNLRKNPTGDGRSWQCVTISTG >Sspon.01G0006620-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:15756191:15759727:-1 gene:Sspon.01G0006620-3C transcript:Sspon.01G0006620-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MELFHLYALCAILIVVSSLYLRFFFAGSRRNLPPGPRPLPLVGNLLDLGAHPHRSLARLAARHGPLMAVRLGAVTTVVASSADAARDVLQRHDAAFSTRSVPDAARACAHDEHSMGWLPPGSSRWRALRKVCSAELFAPQRLDAHQALRRDKVQRLVSHVAGLARQGAAVDVRRVAFTTALNLLSCTILSADLADLDDRGASGEFMEVIEEFPVAVGAPNLSDFFPAIAPLDPQRLRARLVRVFKKLHAIFDEQIERRMQERAAGDPPKNDFLDLLLDYRGAEDGRGFDRQTLLSLLTDLFTAGTDTSAATIEWAMAELLQSPSSMAKARAELAQALGPKQEIEETDIGQLKYLQAIVKETFRLHPPAPLLLPRQADTTTEIRGYTVPKGARVLVNVWAIGRDRELWAEPDQFMPERFLEMEKEIDFRGRDFELVPFGSGRRICPGLPLAARMVHLMLSTLLHRFEWRLPADMERKGVDMSENFGVTLGMSTPLQAIAKPI >Sspon.03G0021950-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:76807630:76809953:1 gene:Sspon.03G0021950-2P transcript:Sspon.03G0021950-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding TMVNTKGVEPVQRAAGLPLTALNHISVVCRCLESSLRFYRDVLGFVPIRRPGSFDFDGARLFNYGIGVHLLQAEDPASMPPKKTEINPKDNHISFQCESMEAVQRRLKELGIRYVQRRVEEGGIYVDQLFFHDPDGFMVEVCTCDNLPIVPLVPEGHAILGLPQQPAAPACKRPSAAALRQQAPSPQQPLPVPVAVAVPTPAKAGGGGSCVGEVELEVSIPACAMRSCPQHACV >Sspon.08G0016460-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8A:64975710:64977020:1 gene:Sspon.08G0016460-1A transcript:Sspon.08G0016460-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGALGSAADRTETRDGTTSIRRGGRCTLRHWSEWRGWTSGRWDCGSKERERRWAGARSEQHRGGRVWGDGCGSKCGVRRTNGRRHEACDSEQKRVKEIIPCGGAARTRKGHGGAFVAVVVPLDVVQKRTL >Sspon.01G0063140-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:111615579:111617050:-1 gene:Sspon.01G0063140-1D transcript:Sspon.01G0063140-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATARLRSAASLRGALLRHFSVGPASTPHAVSRVPDFHVPQSIMWRHFSTSRPNSLARHDNFGLVACLHTQIRWASQAAAVKETEASGSKISIGPKPKQIKEDDEDANLVYQGPISSTIKKVKLLSLSTCCLSVSLGPVITFMTSPDMNVILKGAVASTVIFLSATTTAALHWFVSPYIHKLRWRPGSDSFEAEVLTWLATPLKRTVKFADVRPPKQTGL >Sspon.08G0002470-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:7255423:7257197:-1 gene:Sspon.08G0002470-1A transcript:Sspon.08G0002470-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRCSPNSSSELELLGLARGHGRGGGHGGARRAPAGGARSAAGAPGALRGRLHTAAIVVHETDANGVPNELYDAAQLYLGARCLASAPALHLHKALGASDIVASLPDDHTAWDTFRGVRVRWASRRAESNGAYSPSGFFGGGGGGGGQQQRCLVLQFPRRHRDVVRDAYIPHVLDVAARLRLKMRERKLYTNSGYGGGFGGVGPDAHGTMWSSHPFAHPSTFDTLAIDPALRDGIRADLLRFVRRREHYARAGRAWKRGYLLHGPPGTGKTSVIAAIANLLEFDIYDLEVTTVQSNTDLRRLLACTRPKSLIVVEDIDCSASLTGRGLRKLRMYGEKISLSGVLNFVDGLWSSCVGERLIVFTTNHVDRLDPALLRPGRMDRKIELGYCKGPALRVLATNYLGDCGTGGDGDHEPTNGDHGYEELIGEAERLLEEVHMTPADVAEVFMGCDGDGALAALQKLVDDLSSKRVVDECGASD >Sspon.01G0027190-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1A:95569607:95571157:-1 gene:Sspon.01G0027190-1A transcript:Sspon.01G0027190-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ARTIGPPAAPLKPADRVPARLQLGLHPVTRVPVHQHLGQCLQASPPDGRHRRFMSNARRPLTPPRAQPHAGLPRHHVPRAATHVDADGFTLVQSRRRDHIIARCTSPSRCLFCLSTKYRARNCNHRRSPHRISSDSERVHAHPRGFDNGSGGQDALGAPSQQAASVLVDQILCPATSLSAVADGGTLPTPLPLHGGSGRSTLGTVGGPNASHRRRRRRRRKPPREITITATPVYTDIAAACSVAHCAPGTDPLLISLCMDIRPPVWVDPMLDEIAASLVVTPSTGTPVLSPPASLEVQAPLASTRSPPQMGLIDAEATASRTPPPLTTRLRPLTTSTSGPSSSTPFGYRYRKVSFTHLREPRARSPLSLVPRCSVRLAAKAPFRDPNPEKQAKQVLVKKWEHKPDDAPIDALDDRIAMLFHETFKEPVDSPTREVMRELMFPSPCERSSRRPDAAHSSS >Sspon.07G0017160-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:83701695:83703807:-1 gene:Sspon.07G0017160-3C transcript:Sspon.07G0017160-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPSGAPRPRPLRNNGGAPPMILYSPPTPYGAIGYGPIGAPIRLPPPYAAALPYPPYYGGPAGFGNPAPMPAVHAVQVQLQSVTAANFAAELDIIGSLLPSYPYVVIDTEYPGTVHRPPAGRRDGDLSPDERYALVKANVDELPIVQLGITLCDAHGNLPCVPDYRYGYYHGYAERAWEVDFSDFDVSRDRHAAQSVAFLRSQGVDFDAARARGVSSAAFGTKLAEILSAPRGNVELTWVAFGGAYDLAYLVKMLDGGQPLPETRQGFMERVKDLLGGRVFDAKFMAENCGRADLRGVGLRSVAANLGAGMPAADLPWLAGTKSVTAYRIHTILRLHVLSQDTAAGFEGRVLLFPLQ >Sspon.07G0005900-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:15479327:15481009:1 gene:Sspon.07G0005900-1A transcript:Sspon.07G0005900-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADDAARSSRRMDLNLYLGLPRAPRARRPDLGSDLALGTPMLSSSSPSSSAASADAPPLETDPLHPPYSPSRADLVRPPTPAHEPYNPFAPEAHPPYVPTPPLPVPGALPVLADELEFGFSDAHLTLVERLDRPSSSTASSPFRPDRAERYRRLMSLGGSRYFRPRRFRSDLPPLSSEAPSVENDAAAQPPEPEEPVHDTVEENKFHHLRPISRRLGEAHGFLSTWRRILDQHLANSMSRLEGAPEPSVSETAQHASRLETAQHASRLGRMTTRLRARRLQSEAESTTSVVSSAPDSGQPGNNTSDLARRSSSPFHSEGIDLMRHIAFAGLEDTERFATAFSELRRIARPSPYGASTSSNPPNPEPVDGTHIATALAADQASNSSTMAVIQEDAAFTESAGEPSNAGSSRSLRRRRGSDALGSLDVDGGDLHQNKRRRLN >Sspon.03G0009990-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:33453239:33460490:-1 gene:Sspon.03G0009990-2B transcript:Sspon.03G0009990-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNVVEVEPGRPAADGRPSVGPTYRSAFARDGFPPPVPGMDSCYDIFRMAVEKYPNNRMLGHREIVDGKAGAYVWKTYKEVFDIANKIGNSIRSCGLAKGSRCGIYGANCPEWIITMEACNAHGIYCVPLYDTLGAGAVEFILCHAEVEIAFAEEKKIELLVKTLPKSNEFLKSIVSFGKVTQEQKEEVRKYGLSVYSWDEFLSLAADQEFDLPVKEKSDICTIMYTSGTTGVDRLLSSQNEEDVKLLVEDIGVLKPTVMCAVPRVLDRIFSGLQAKISSGGFLKSTLFNVAYKFKHFRMMRGAKHNEAASICDKVVFSKVKEGLGGNVRVILSGAAPLATHVEEYLRVVTCAHVLQGYGLTETCAGSFVSLPNQMSMIGTVGPPVPNIDVRLESVPEMDYDALASTPRGEICIRGETLFSGYYKREDLTKEVLIDGWFHTGDIGEWQPDGCMKIIDRKKNIFKLSQGEYVAVENLENVYGLVSALDSIWVYGNSFESFLVAVVNPNKEALESWAAANGISGDFESLCKNPKAKEYILGELSRIGKEKKLKGFEFIKDVHLEPVLFDMDRDLITPTYKKKRPQLLKYYQGVIDNMYRSAK >Sspon.03G0019650-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3C:80676678:80676986:1 gene:Sspon.03G0019650-2C transcript:Sspon.03G0019650-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKAGGGPGSAPGPTAAAAAAAVQKQKSLLQKADADVSSLVDNFSSLINIARVRPCALLSPLESGSLKHSGLRMKTVGNGRKRTYDMEKRKRSVRDISTFSN >Sspon.01G0001400-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:3061139:3066834:-1 gene:Sspon.01G0001400-2B transcript:Sspon.01G0001400-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHDPSLGFADYFSAAAAGATAMDDDDGAPELYGLHADMEYLGMRGLAAMPAAAHHHHHHSHGHGKAGVLLDDDAGAGPDGSGSTDDATMRFLSEQQHPSQQAPLSLSLCRPDGGGVGVGVTTLHEQHLGGGSSRYHQQQQPTPAAWMQQHDYSPHGPQPQHAWHLRGSRFLVPAQQVLQEFCSLPVDRSSAAASSKRAKPSSHHQQQQEDGGGGGGEGSSSSASWAPSPQIQAMDALELQRLKDKLYIMLEEVDRRYRRYCEQMRALAGGFEAVAGERAAAAYTALASRTISRHFRSLRDGVVAQLQAARRALGEKDVAVPGMTRGGTPRLRVLDQCIRQQKALTQAGMMESHPWRPQRGLPERAVTILRAWLFEHFLHPRYPSDVDKHILARQTGLSRSQVSNWFINARVRLWKPMVEEMYVEEMKAEGQLEDGGSGGDQQQGVVSNNPNPSNAAAEAADDDCGDNGAVVVDRKPTRAQLLHDAGSLASVVNIGGGSRAGAAARPLENFGIMDHLDFDGYGGGGGGHHGGGGFGGGGVSLTLGLQQHDSHDGGGVNIAFGAPPPPTHHHGAAAGYLFAPTTTTAGHQMGGGLHPGQHHVQFGGASIHGEAAQHGQEHYRSLQGAGFHLLRDLAG >Sspon.06G0019450-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:1285551:1287197:-1 gene:Sspon.06G0019450-3D transcript:Sspon.06G0019450-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIVKIASREPAAKSGYSCGDEDLTQEDRMLLQSFPDPESVGSEQAEVDCELASSRGQMCNIPYELYDLHDLTEVLSLETWNSRLTEDDRLHLAAYLPDMEQQDFITTMKELFSGDAMFFGSPLGSFFLGLSGGLYSPQVSQARELLMMLQRRRHYHFLKWYHDGMVAKFASMSNLVRSSDTSATLGEKVRISHRRVYEKRFPCVSLSSTTPAVTIKDEIATVSSPMKRAKLTDGPLSTHCSTRHNERTHVAKSAEMNSLESQTFHPLSDPRQNCSKLPKGVLKIRTSCASVIDGSEGTHHRHGLVRADQPGTESSRFCTPPLVFAHDVHSFPENSSHINRINGMSASSQHTPLQWEGTLEPYALMGKIPLGVQMTVPEEHHAVYPSMMLKGFYQPAANCSLAYSSEAYDTRECAHMKDLLKNFGGQNIVVHQSSPDPYARVWDSHQMNGYSSSRNAESMSEMLSLGTRIYPPHNNVSEPLETMRKHHEGMKLEPPPAKPVTEAEESRQFAYTYARRKTHKRSTMAEDTVSPGGLDSMANIKAKAIKL >Sspon.05G0025190-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:21636194:21647273:-1 gene:Sspon.05G0025190-1B transcript:Sspon.05G0025190-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRLGLWGEGTSFHNFSQFLGALEKGGVGALELVAMDMKARGMYVCRTLSYKGADFDIVEAPLEERMMNMYKKAAEFWAELRLELLSASELFADGNSNQIWRLYWASHQRFFRHMCMSAKVPAVARLAKEALAENKCVVIGLQSTGEARTEEAVTKYGVEMEDFVSGPRELLLKLVEENYPLPPKPDSFQQGEEKVTEIQRKRHSALDVSFKGRVRKVAKVVDVSDDDTDDYSPSESDHESTESDEEFHMCQICNTEEEKSLLLHCSGCSRHVHPNCLTPPWTGMITDDWACYTCKIVEDEEKEQDIHVADCSKRYDSAVEKRLKILDLIRSLDLPNNPLDDIID >Sspon.06G0020730-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:10736956:10741019:-1 gene:Sspon.06G0020730-1B transcript:Sspon.06G0020730-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGASSTRQASSSSSGAFWNLLKRPARCIADVRADAGSTTFLAGTLSLKEENEVHLIRLSPAESELVCDGLFYHPNEIWDLKSCPFDHRVFSTVYTSGEGYGASVWKIPEVNGQSNSPQLEQLFELSGHTGKIRRVIWWPLGKHDKLISIDDRNIFVWNIDTSNKSAKVISQGSADMLPSLRGGAWDPHNHNSIAAISDSSLQLWDLRSMEKSTAIEHAHIRDVDYNPKKQNIIATAEDEFGIRLWDLRMLKHPLKDLPGHSHWTWAVRHNPEYDELLLSAGTDSTVNLWLAKVSSDDSESDSPSGSPNRQEEPLLNSYTDYEDSIYGIAWSSHDPSLFASLSYDGRLPIFFASYMFL >Sspon.01G0019980-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:80941484:80945386:-1 gene:Sspon.01G0019980-2B transcript:Sspon.01G0019980-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLARATSTTTATSSLLLRSRPLPSPKTLALAPPPTILPFCFRPRLSASSSSASAASPRPPTARAKRADAVPAAAAAGMSASGGGSSGKRTVADVLMGNARAAASKAKKAAPSPKKPPAKTDGAEVKPEAAAVAEKLPSPVRSKRVSSPAKSPKSLADGPTSPAKSKGPAAAAQLDAAKENSPSPKRSKTLAAKSDTQPSGEGVVSHTDEKKRTASPKKAKTTDSPKTEEKNTTLELKKKGSEFDPMAAAYWKPGEPVPFLFLARALDLISNESGRIVITEILSNVFRTVMATTPDDLLATVYLSANRIAPPHEGIELGIGDASVIRALAEAYGRKEEHVKKDLKELGDLGLVAKASRSSQKMMFKPKPLTIARVLSTFRAIAKESGKDSQDKKRNHMKGLLVAATDCEPQYITRLLQSKMRIGLAEKTVQMALGQAAVYSDKNSSPPKVQSPFEEAAKIIKQAYSVLPIYDKIVPAILEVGVWKLPETCKFSIGVPVGPMLAKATKSVSEIIDKFQGLEYTCEYKYDGERAQIHCMEDGSVEIYSRNAERNTGKYPDVVDAVSRFRKPTVKSFVLDCEIVAYDREKQKILPFQELRTSDRSPSLQILSTRARKGVTINDIKVSVCTFGFDILYINGKPLLQEQLKIRREVLYFCT >Sspon.05G0025300-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:30666985:30668217:1 gene:Sspon.05G0025300-3D transcript:Sspon.05G0025300-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPPPPRAPPRHPRPLPAWSDLMNRLPSVPEAYAFYLQLLDAGVPPEARQFNMLMRDMIRSGKLASARNMFDEMLRRGVQPTVVSFNTLMSGMCKVSDLNSANALRGLMAKAGVAPDVYTYSTFMQGLCKIGRIQDAVEMFEEMRERGVNPNTVVFTTLIDAHCKVGNVAAGLELHRDMATRGIKTDLVAYNTLVNGLCRVRDLKAANYIVEEMRNNGLKPDKVAYTALISGLSKAGRSVDAERILCEMVEAGLEPDNTTYTMVIDAFCKNSDVKTGFKLLKEMQNKGRKPGVVTYNVVMNGFCILGQMKNADMLLNAMLNIGYDITYNILLDGHCKHGKVRDAEELKSAKGMVSDFGVYTSLINEFVKKKSNK >Sspon.02G0015790-3C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2C:46350863:46351069:-1 gene:Sspon.02G0015790-3C transcript:Sspon.02G0015790-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLRVVQAVRGRKHLAAPAAGEKRPRDEGPGRDTMRGGVMGGGGAARRWCGGKPRKIGNTVGACGSS >Sspon.07G0030510-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:8727653:8728453:-1 gene:Sspon.07G0030510-1P transcript:Sspon.07G0030510-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGSETLQYDLGTLRAATNNFSEENMLGKGGFGPVYKLSWGQRYKIIEGISRGLLYLHEDSRLTIIHRDLKPGNILLDADMNPKISDFGLAKLFKIESSAENTRHIAGT >Sspon.01G0047440-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:17338587:17342054:1 gene:Sspon.01G0047440-1P transcript:Sspon.01G0047440-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MACPAATTARIGARRGPCVWRSERSASILVGSASLLPDRPARDTRARAASELQQAPRPASTTVPTHKVTVHDRQRGVVHEFVVPEDQYILHTAEAQDIKLPFACRHGCCTSCAVRIKSGQIRQPEALGISAELKDQGYALLCVGFPSGDVEVETQDEDEVYWLQFGRYFARGPVERDDYALELAMGDE >Sspon.04G0017290-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:66608518:66609237:1 gene:Sspon.04G0017290-2B transcript:Sspon.04G0017290-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASWGGFYGGGGAPATTEATVVTTAAAVAAAGGSVSSPTSGGSGGGSPTRAQQQPGVGVEGGRVGKPARRRSRASRRAPVTLLNTDTSNFRAMVQQFTGIPAGPYGPGGGAGGGPVISFGGGPGEYGPQLVRPSPTSAVMSFDHLAAAHAHHHQHRPTATSLQSQLFRPHQQHQQQYGGDVGYGMHGGGDGMAPSFLHGGFESSAEDRLLLQSMMQAAQTTMPTARPASTNNGNGYNFG >Sspon.02G0050690-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2D:58932158:58933440:-1 gene:Sspon.02G0050690-2D transcript:Sspon.02G0050690-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LTGTISPAIGNLTFLLSLNLSTNALQGEIPPSIGSLRRLQRIDLSENMLTGVIPSNISRCISLREIYMYSNLGITGTMPPSLGNISRLTKLSLSMNYLEGSIPAGIGNNPYLAVLQLSVNNLSGLLPPSLLSNLSSLYYFYVAENKLQGHLPSDLGNGLPSMLQMGIGRNQFTGPLPLSITNLSRLHKLYAGFNSFTGTVPSELGRLQDLQVFVLDYNMCEANNEQEWEFIASLTNCSRLQMLSIGGNRFAGKLPSSLANLTSNLQWLRTPSNYISGVIPSEIGNLASLANLDFDDNILTGAIPESIGKLTQLIQLYLYSNNLSGRIPSSIGNLTALSELNASGNSLEGSIPPSIRNLRKLSALDLSNNKLTGVIPNEIMELSSITISLSLSYNLLEGPLPSEV >Sspon.04G0028540-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:71262498:71265279:1 gene:Sspon.04G0028540-3D transcript:Sspon.04G0028540-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLLASYASSDEDAYEAPPAPDPAPSPAPAAAGAGGLFSSLPQPKSAPAPLFSSLPAPKSTPAPLFSSLSSPKSSPAPAPAPTPTPTPTFSSIPAPKSSGGNPKRIVQYRPQPIRQPTGDSSDDDEDDAKKRRASNNEARLPPVSAGSGPVSSFLPPPKHSLGLGAGSGAGARRSAIDTAAPEKPNLGAAVPSSSVVNTGAPERPDSGASDDDDSEDSGSEDDMPAPELEEEHQEHQSFNAAAGEQQQGHDAGAGSTSGYEAYAWDPNYYAQYGANYGWDPSSANYADGTQYAASASANYADGTQYATYGGGQSGGYVGSQGGEHGSGYDHVAAVPYGVDYTGGYGHEVAATTLPPVQEPVLPPEMGRIGGKRGRNDMPAQILEVNQAELMKNRPKQDKSKLTGLAFGPSYQPAPSAKGKPSKLHKRKHQIGSLYFDMKSKEMELAERRSKGILTKAETQAKYGW >Sspon.05G0013020-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:5A:41121298:41123130:-1 gene:Sspon.05G0013020-1A transcript:Sspon.05G0013020-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding PEPPGGEQEPSSSSSSLADFDAAEQLIHLWDTTPEALVFEAPEDDAAHYLSAVDVAVDHLSTPAVSGRAGVAVQLAMSRLEDELRHLMLRHSVPLDASGLYCSLRRLSLGSMDDLDTSSEFDPATPHSQDGGGGPDTARSASIAGNNPFEDQVFDLVRPEAVDEIRAIADRMVHAGYGSELAQVYCAIRRDLLDDCLTVLGVERLSIDEVQRIEWKQLNDKMKKWVHGVKTVVRSLLTGERRLCDLVLAASDELRDECFVESTKGCIMQIRNFGDAVSVCTRSPEKLSRILDMYEALAEVIPELKELFFGSYGDDVIHDLEGVLERLGDAVKGTLLEFGKVLQQESSRRPMMAGEIHPMTRYVMNYLRLLVVYSDTLDTLLDDSGAGDVDHNILHNGTDEDQEYLKSLTPLGRRLVKLISYLEVNLDEKSKLYEDGALQCIFSMNNTLYIVQKVKDSELGRILGDHWIRRRRGKIRQNSKSYLRVSWTKVLSYLKDDGHGSSGSGSFGSSGNSSSRIKEKFKNFNLAFEEIYRSQTLWKVPDPQLREELKISISENVIPAYRAFTGRYGSLVDSGRNSGKYIKYTPEDLENYLSDLFEGSLGSANHSRRR >Sspon.01G0003800-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:8921963:8924152:1 gene:Sspon.01G0003800-2B transcript:Sspon.01G0003800-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQQRTLVYSFVARGTTVLADHAEVSGNFASVAAQCLQKLPANNNRFNYNCDGHTFNYHIHEGFTYCVVATESAGRQLPIGFIERVKEEFSKKYSGGKAKNANANGLKREYGPKLKEHMRYCDQHPEEIDKLAKVKAQVTEVKGVMMQNIEKVLDRGEKIELLVDKTEDLRSQAQDFRKQGTKIRQKMWWENMKMKLIVFGIVVALILLIVLTIRRKHKKGKNKKNHRECISITTQDQNP >Sspon.04G0021890-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:5789099:5796373:-1 gene:Sspon.04G0021890-2C transcript:Sspon.04G0021890-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASGPPLEDCLRLLRGERDEQKLAGLLVAANVCRAGDADAVAKVYRAVGPRFLRRLLSTGLGKVEGGKEEEREAYLRLAVTVLAGLARVPEVAADEGVVSTVPLVAEVVAKSTDPVITEECFELLSLIAISSEDGAYKFCEAGVIDMIFLQILSLTDGSKCIELAINLMQLLVHKLKVDTMSLEKLQGMTSMVTCLARPFAVLHTAVKFHALHMLTTLLSQKESVRITLPLFYFTSPLHDSLRSIPASIWESHIRVGITAILQNRVVSSEKLHALLLAECMMSILGEDWLSEDFEVQDNQNVLPVDKIIKMISNASSGEGAPIQTIRESTIMQAITGLNETISLVLDFLQDAKDHGQRKGDDLLAAARIVGSYLAEAPYACKEKTGNLLEFIFSIEGQDESSPFYSICFMLPMLSQITMEVDGCKTLASFGSYKAVIDCLVKMTEQDGMMIDNGSMFLACDTIINFMSNRKSVHIPVDSRFIRLLKALVTWAGRTTDASSVTMTASCLCAMLLDLTSEEFLLSCSHFDTKTLGSLSELIIRSLQQDIPDDDREQFNQKQIIVSGYRRWADRFPHVKNAVEQHRQLMRYTNVGQKDGIVYPGNSQQRSVSKQI >Sspon.05G0004580-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:13750592:13753285:-1 gene:Sspon.05G0004580-1A transcript:Sspon.05G0004580-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Expansin, Al-inducible expansin, Root cell elongatio [Source: Projected from Oryza sativa (Os04g0583500)] MAPPLLLFLFLLLPALAAGHQHPSSYGSSALSEWRPAKASYYAADPEDAIGGACGFGDLGKHGYGMATVGLSTALFERGAACGGCYEVKCVEDLKYCLPGTSIVVTATNFCAPNYGLPADAGGHCNPPNHHFLLPIQSFEKIALWKAGIMPIQYRRVKCLRDGGVRFSVNGRHFFFTVLISNVGGAGDVRSVKIKGTELGWLSMGRNWGQVWHINCDMRGQPLSFELSSSDGKTLTSFNVVPKDWEYGKTYTGKQFLL >Sspon.06G0021220-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:12720548:12721240:1 gene:Sspon.06G0021220-2D transcript:Sspon.06G0021220-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRLGRVVSDLSHSNSRSVTTDHSLHHQSATTTSNNEGGNVSKATKQKLSLLISSVVSSWTRSESSGETGGREKNGSRKQQQANGGTGGRRRRGLEIVLAVRKYVAMLEQLLTSSYSASSSQTDVGSRRRDGRPHTFTSARHAGGDAAHAQSSKRHRGRLSSAPASLRGSPATSGHLCYVGESVNKASTSSSEVSTMEELQSAIQAAIAHCKNSVAAAGDKQQQQQRKC >Sspon.08G0006130-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:16495065:16500944:1 gene:Sspon.08G0006130-4D transcript:Sspon.08G0006130-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKPAARSASTVSEAAAAAAESARRVTPMKLLVRVVEARGLPAVHLNGSSDPFVKLKLGKRRAKTAVVKRSLAPAWDEEFSFLVGDVAEELVVSVLNEDKYFSNDLLGLVRVPLSQVMETDDLSLGTQWYQLQPKSKKSKKKCRGEVCLHVSLSTRTHVSDESQSVPRPASDDLASSSDSPIERKTLSTTCSCIDLSAVSSIEPRASHSSFERFPDNILDLPARSSVEEAASEPGPAAADTDATPPNPSSVVEVLSRYFFRKPENAAPTVQHPTASDTDQPVDHQFQEPNVSSSEDREIPEKGTVVPESSLDELLKVMESKDQGSEMPANLPGGVLVDESYVAAPTELNSLLFSPNSDFWPAVAELQGTSGFQIEPWKLDSNESCVQRTLTYTKAASKLVKAVKATEEQKYLKAAANSYAVFSVVSTPDVPCGNCFKVEILYCITPGPHLSSEEQTSHLTVSWRVNFVQSTMIKGMIENGAKQGMAEGYAQFSEVLNQKLKVAELDDANSNKEKILASLHAQKETGWRLIVRFLGNFTFIFSVAIALYVIAHLHLSKPDVTHGLEYFGLDLPDSIGEVVVCAVLILQGQSIVKVTRRFLSAWKQRGSDHGVKAHGDGWLLTVALIEGTGITATGSSDLFDIYVVFTCNAKRKTSSIKFQTSDPKWNEIFEFDAMDDPPSRMDVALHDSSGQCVIGHTEVNFLKNNLSELTDIWLPLNGKCDQASNPKLHLRIFLNNSRGTEVVMNYLAKMGKEVGKKINLRSTQTNVAFRKLFALPPEEFLIDDFTCHLKRKMPLQGRLFFSPRIVGFYANIFGHKTKFFFLWEDVDDIQVIPATLSIGSPSLMIILRKDRGLEAKHGAKGTDHHGRLKFNFQSFVSFNDAYRIITAIWKIRALSPEQQGEAIEKDEVKELLPEEGGSLFTNVDVKMSEIFSSVLSVDVSAAFYIANGVTKHEYLLLQVESLMEMFSGGPLEHKMMQKAGCIDYTATQWELVGCNIQQRQTSYKFDKNLSRYGGEATTTEQKYSLVNQDGWAIEKVMTLQGVLLADYFNLQMKYYITNIPSKPNTCSMLVLLGIAWLKSTKQQKKVTKTIISNTSNRLKELFAEVEKELTSKNDRRDAI >Sspon.08G0000460-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:1713099:1715809:1 gene:Sspon.08G0000460-1A transcript:Sspon.08G0000460-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGSYTSSISLHDVSVDDGQSALDNSSRASSPFDILTLQDVIPIETARSRFLDLVVDCFICEHVIEMVECPGSDCSQVDGKSNKRKQQEVRYEGDPRVALPLMYIANLYETLVSDVNVRLAALIGFHEKTIGLALEASGGLYRKLTQRFPKRGHCSFKRRELATSHATRTKFPELVVQEEKRVRFVVINGLAIVERPENMRMEDAEWFKRLTGRSEVAICSRDYKFYSPRHKFRRSPQAVFDIPDTSIQNQHQSTSKRPIEHLENQPFLHLFHQAEDDSMQQVQHCTQFPPIHQCTSTPHLSDNPQHQQQAYLSQHISCLQVGQGHLGGRMHIVPTSPAKFCDECGSPYLRATSKFCSECGTKRLG >Sspon.08G0019210-1P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8B:10930776:10933066:-1 gene:Sspon.08G0019210-1P transcript:Sspon.08G0019210-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RPPAGGCSRTSPPSRRGRGSGARSSRPRPRPRPRRRLPPRSRSTRSCSTSPRRQPWPRPPRRGGRTRHRRRRTPSSPRRAGARARHLLAPLPTLPAFLASRRDELLRADIPSLLKALELSGHWEWALALLRWAGAEGAADASALEMVVRALGREGQHDAVCALLDEMPLPPGSRLDVRAYTTVLHALSRAGRYERAVELFSELRRQGVAPTLVTYNVVLDVYGRMGRSWPRIVALLD >Sspon.06G0005000-3P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:34223594:34228111:-1 gene:Sspon.06G0005000-3P transcript:Sspon.06G0005000-3P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTCIACSKQQFAAAGGPPLHEPPEDDDVVDGGGGAATPSTRHAIKALTAQIKDMALKASDAYRHCKPCAGSSAAASRRHHPYNHRGGGSGFGGSDAGSASDRFHYAYRRAGSSAASTPRLRSGGAALSSGDATPSMSVRTDFPAGDEEEDDEMASEAAGGCGGGKDDDAKEWVAQVEPGVLITFVSLPQGGNDLKRIRFSREMFNKWQAQRWWAENYDKVMELYNVQRFNQTVPLPITPKSEDESSKEDSPVTPPLDKERLPRTFHRQGGGVMGYSSSDSLEHHSNRYCTDHHHHHGHQCCDSMGLASTPKLSSISGAKTETSSMDASMRTSSSPEEVDRSGELSVSISNASDQEREWVEEDEPGVYITIRALPGGIRELRRVRF >Sspon.06G0002570-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:6567508:6570507:-1 gene:Sspon.06G0002570-2C transcript:Sspon.06G0002570-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDTRPRLWEADESSRSRDSKAPRLDLLAAMATVAAEVDDLLGSRREDCTELTGNGDDDRCCDHVPTDSAHKDILSWSLLFDDAGMCEDCSREEGAGGRPKYRRILVCLECGRHFCGDSRSYLPYGHARDHARQEQHWVAALFDDPQTGFCFKCECERPVYPEPNDELGTITGEIQAGGGGGGHVFGFDMPGDLATGLLNLGDAWRVAELGSGNAQGYAIRGMPNGGNTCYMNATVQCLLALNKLWAWMLGPDPPPGHLGMALKELFVEASVADSAGRLLNPDRLLRSMRLHAGKNEAYKMHDSYELLESLRNALRDEESGIENPYRERGAPPVIDSIFRGELLETRSCIYCQFASAFHGHFWELSLSLPSKEDLSQSIKSQPMKAATQLLSASESNLGKIDAKSGDSHLLISEFNDLVADKTLDPMEVDSAKEQHTWQSKDVIVQDPLYTEEPLHSVGFVPHSISDAKVEQMVQMTTNSPYLEDMCQPPLVAPLKHDAWTTSCSYVDQNGKGIGDNSCIPTIEECLSLFFKEEVVERSCDCSKVPMEPSTNQSRKGKQMEVGTNDGVAVNGGRNEQSYSTTCPNRQPSELNSLLVECQSSCCRQQDGSDAESEIIQMADTNTVGANSRMSRGHKETEYHDGVQETTCSFLWTEKQSNLLRTQHNQNLIPLNQDLRKQVGLDLSANQLGDN >Sspon.01G0012640-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:34167455:34179785:1 gene:Sspon.01G0012640-1A transcript:Sspon.01G0012640-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable ubiquitin conjugation factor E4 [Source:Projected from Arabidopsis thaliana (AT5G15400) UniProtKB/Swiss-Prot;Acc:Q9LF41] MASPSPASARPQRSPDEVEDIILRKILLVSLTPPANPSSAVAYLELTAAELLSESRPLLALRDAAERLLIDRLSLPDPPAGSPTPFAFLVSAFRRAADEARKISTIRDAALRARLAASIAHLRALILSYSRIVAGNPDTFPTPPGAQHPAADLLVFLLAEAADPLDPTPAPGAPPPPGFIDEFFGGADYDSIEPAMGELYELLRQSVDKVSALGDFQRPLRVLRRLVGIPNCAKALVNHPKWIPKNQIMLIGEGRVMELYSVLGAFFHVSAIRDREFASKPDVGQQCFSEASSRRPADLLSSFTTIKTVMNGLYDGLKDVLLTLLKNLDTREKVLEYIAEVINKNASRSGMQVDPLKCASSGMFVNLSAVMLRLCEPFLDNMESKKDKIDVKYLFCNNRIDFKDLTAINASSEEVSSWIESINNEHAQNNASGEVRFVESQEATSAGKNSSASQLRCSKKENFSFICECFFMTSRVLNLGLMKAISDYKHISQQLARFEDDLESNRAVRDQGGGSPQLEQDITRLEKIVEILSQDKFCYEAQILRDGAFLQRALSFYRLMILWSVNLVGGFKMPLPSQCPKEFACIPEHFLDDAMDLLVLTSRIPKALESFVLDDFLSFIIMFMGSTSYIKNPYLRAKMVEVLNCWMPQRSGLNSTASLFEGHRLCLDYLVRNLLNLYVDIEFTGSHTQFFDKFNIRHNIAELLEYLWDVPSHRNAWRQIAKEEEKGVYLNFLNFLINDSIYLLDESLNKILELKEIEAEMADTVEWERRPAQEREERLRVFHQWENIVRFDMRLANEDVGMLAFTSEQIPAPFLLPEMVERVASMLNYFLLQLAGPQRKSLTVKDPEKYEFKPKQLLKQIATIYVHISRGDKESVFPAAISKDGRAYNDQLFASAANILWKIGGDPKIIREFMQLAGRAKAAASEAMDAEAILGDIPDEFLDPIQYTLMKDPVTLPSSKVTVDRPVIIRHLLSDSVSTVASFNYRYKLPFSAMLWYLASVVYIILQTDPFNRSHLTQDMLIPNTELKLQIEEFVRSQQSRKRSAAVSEIGEADGADDMAE >Sspon.03G0039700-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:11798537:11805899:-1 gene:Sspon.03G0039700-2D transcript:Sspon.03G0039700-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SDPPPSWWESVSQARSRILALSSILPATACHNVAALADADRPARALLRSPAAYTALSDALRAGGGADDPACHWLYDTLLSANPDLRLAAFAFLPPAGGALPPPSPARAPLLALRLRGCPPRRAKNRQGKPVLVQVPDLAVPSLYHTPASSPSCKSPRRSQPPPIPPPQATPVVGVLSPPLEPQAAVKSTKRAGIIGIAFEAYYSKISQMPAASKAENGKELEEEEADSFGSVSPMSSEAENGKELEDELARMRINGDSGGRNCSEEDKEARVPLPWELLQPVVRVLGHCLLAPLNPGEVRDAAAEAVRVVYARACHDLLSQAILAAQSLIELDKSARKVAKAAAAAAAAAPGQIVAVSTAGSNASSSRPSSKPNTPSKQWKPDTLLWVATNFLHKDKEVLSSLQKISSAVVLYYIRVNGEKKKKACRKQGMVFLSQLYRQNNTYFGDAIFHAVKAKAN >Sspon.01G0052690-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:25637291:25639565:1 gene:Sspon.01G0052690-1C transcript:Sspon.01G0052690-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVARRRPSSSGNSEFRSRSSWHLTAKFRMRSAAMDAPVLSISDGIPRRAGKGKARHSWPYLDLKKTKAARKERSSNTLDTALQLDCRTKAKLKSIAHYSEETRMENNSSRQQAPPPPPGQRIDYGVSPSYPTAVAGAEEQQAGGRRKGRRGKTTSRGEKGFIEGWHAAAARSGRPYIRMYTHGCMHDESLLL >Sspon.04G0003170-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:47532035:47534821:1 gene:Sspon.04G0003170-2P transcript:Sspon.04G0003170-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNQDVVVPDMGIAAAAALPVPGRALFACRGAAGAAVSSLRGAYGGLGLPGGAAADGGEFLAAGARGAPAAAAAAANAPPSDLHQPGRRGHPRVVTHPLPRRRRRKHPSALGSFDQIAAAAKGKRIVMFMDYDGTLSPIVTDPDMAFMTSEVTVRCLLCSLIDVAMKSNPLVPAASILLYRVINGCAQMRAAVRNAAKHFPTAIVTGRCIEKVCSFVGLPELYYAGSHGMDIKGPSSKEDNTVLLQPAREFLPVIDKAYRALEEKTKDTPGARVENNKFCLSVHFRCVDEKSWSSLADKVKAVLRDFPELKLTEGRKVLEIRPSIMWDKGKAVEFLLKSLGFDDRTNVLPVYIGDDRTDEDAFKVLRERGQGIGILVSKCPRETDASYSLQDPTEVMEFLVRLGQWKPLRSPSRHAQGLQQQ >Sspon.01G0011620-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:10641673:10645072:-1 gene:Sspon.01G0011620-1P transcript:Sspon.01G0011620-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFSGGGGGRSRPEPARWLEIAGKLLAARDLVGCKRLAERAVEADPDLPGADELLAVADVLLASQRLLPSGRPDPVAVLQLQPAPGLDPAAAKRSFHRLSQLVSSPRNPRPAADTALHFIQEAFADLSNNASADPPPAPAAAPPPIPAPAAAPSPIPAPAPASGGASASADADAFWTVCPYCCHVYQYQRALVGRALRCQSAGCRRAFVATEIPNAPPIVPGTDMYYCAWGFFPMGFPKAADLSTNWRPFCPMFPGNSESPPQPAPAVTVNADVQNVENNGGADVQNAENNGGPINANSTPASVQPPDKSGDTGRAAGPSRGRMKKTTARKKVGALPKKQGSSSVGSGIGPSMLGADSLNGNAGSGQTVGTREININEVAKPTDSTTMLNFGGDEDIGFDLDVDATDAILGNLHNLPFLREDDNNRRIYLDHSLVIEGAE >Sspon.07G0007390-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:19465178:19467988:1 gene:Sspon.07G0007390-1A transcript:Sspon.07G0007390-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADETKPEAAAAAAEVAVTEAENKAEEPAPVAEEEKAVEVAAEEKAAEEKAVEAAEEKAAEADSEEEKKAEEAEEAAAGDEAAVIDGTGSFKEESNLVSELPDPERTALAQLKELVATALANGEFNLPPPPPAKEEPAKKEEPAKEEAKEEAPVAKEAEPKAEEAAAEEPVKEEAKPEEPKTEAPAEAAAEEAKDEAPAPEEPKTEASAPEEPKAEEPAKEETKAEAAAEAVAEEPKAEAAAEETKPAEPEPEEKTVVVAEEEATKTVEAIEETAVAAAASEPEAEAAPAPAAEPKEELIWGVPLVGDDERTDTVLLKFLRAREFKVKEALAMLKSAVLWRKRFGIDELLGADLGLPELENVVFYRGADREGHPVCYNVYGEFQDKELYEKAFGDEEKRERFLKWRIQLLERGIREQLDFSPSGICSMVQVTDLKNSPPMLGKHRAVTRQALALLQDNYPEFVAKKVFINVPWWYLAANKVMSPFLTQRTKSKIVFCSPGKSAETLFRYIAPEQVPVQFGGLYKEDDTEFSTSDAVTELTVKPSSKEAIEIPATENSTVVWELRVLGWQVSYGAEFTPDAEGGYTVIVQKTRKVPAHEEPIMKGSFKVTEPGKVVLAVNNPASKKKKLLYRFKVKSTAESAAA >Sspon.07G0021450-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:2938596:2941867:-1 gene:Sspon.07G0021450-2C transcript:Sspon.07G0021450-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAMLLPFPSLPAALHPAAAAAAGRAPKSLFLGASLPICPPLPPFPLRLRPRRAAVAVVAQAAVKRRKEVPFDNVIQRDKKLKLVLKLRNILVAQPDRVMSLRDLGRFRRDLGLTRKRRLIALLKRFPGVFEIVEEGVYSLRFRLTPPAERLYLDELRLKNESEGLAVTKLRKLLMMSQEKRILIEKIAHLKHDLGLPPEFRDTICLRYPQYFRIVRMDRGPALELTHWDPELAISAAELAEEENRAREAEERNLIIDRPLKFNRVRLPKGLKLTRGEARRIAQFKEMPYISPYADFSHLRSGSAEKEKHACGVVHEILSLTVEKRTLVDHLTHFREEFRFSQSLRGMIIRHPDMFYVSFKGDRDSVFLREAYKDSQLVEKNKLVLLKEKMRALVAVPRFPRRGAARIGEEGEGINGSLQLSNEGSDDEYDEDEGLSDMEDLISELSGGNSDADYQWGDGWFGENDDVPPDFGDDDSSPQEVKVAMKNADGSANGMAPVPVFPDGRPRERCLICAFKTDCNLATEENIRRPFAVM >Sspon.05G0010180-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:20224385:20228890:-1 gene:Sspon.05G0010180-3C transcript:Sspon.05G0010180-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGVIVPSDGPAADYGGGLTLSVFMTCLVAASGGLIFGYDIGISGGVSEMEPFLRRFFPRVLERMAASAKGNEYCLYDSQTLTAFTSSLYVAGLFASLVASRVNRAMGRQAVMLMGGVLFFAGGAVTGGAVNIAMLIVGRMLLGFGVGFTNQAAPLFLAEMAPSRWRGSLTAGYQFFLALGVLIANLVNYATAHTSWGWRVSLGLAGAPAVVIFVGALFLTDTPSSLVMRGRNDQAHAALLRVRGADADVDAELRDIAKAVEAARQSEDGAFRRMATRREYRPHLVLAVAVPMFFQLTGVIVLAFFAPLVFRTVGFGSNAALMGAVILGAVNLGALVLSTLVIDRYGRKVLFMVGGIQMVICQVAIAWIMGAKIGKGGEAAMAHPYGVAVLVFTCLHTAGFGWSWGPLGWVIPSEIFPVDIRSAGQAMNVSIVLCLTFVQTQSFLAMLCRFKYATFAYYAAWVAVMTVFIALFLPETKGIPLESMGTIWGKHWYWKRFVHDGKSD >Sspon.02G0016040-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:46977069:46979678:-1 gene:Sspon.02G0016040-3C transcript:Sspon.02G0016040-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hypersensitive-induced response protein 2 [Source:Projected from Arabidopsis thaliana (AT1G69840) UniProtKB/Swiss-Prot;Acc:Q9CAR7] MRLFCTFASVRAGGEKAFATTEAKDLGDWFRWDRHASEFRACLVDQSTVAIKENFGKFSEVLEPGCHFLPWCIGQQIAGYLSLRVRQLDVRCETKTKDNVFVTVVASVQYRALADKASDAFYKLSNTRKQIQSATVPKLDLDDAFEQKNDIAKAVEEELEKAMSMYGYEIVQTLIVDIEPDDRVKRAMNEINAGKMRVAASEKAEAEKILQIKKAEGEAESKYLAGVGIARQRQAIVDGLRDSVLAFSENVPGTTAKDIMDMVLVTQYFDTMKEIGASSKSSSVFIPHGPGAVKDVAAQIRDGLLQAKLQ >Sspon.02G0025880-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:95761732:95762510:1 gene:Sspon.02G0025880-3C transcript:Sspon.02G0025880-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPGASAGAGGGGGGGRAGFERACRLPNTVHSEVLPSLPLPTLPRELGFDALRDDEPLAAPDRPDMIMQAADIARILADTDVSHLGFTAEDNVDVDPSRCSWLWREVLKHNPDAFKVKAPGPSSQ >Sspon.01G0040850-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:43401764:43403008:-1 gene:Sspon.01G0040850-1B transcript:Sspon.01G0040850-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding FYGLHLLPPPPQAPPPPQLPPDKAQALFLRPRLRGGQDQRLRERPSRAREAGAQRQGTTTHQRPRQEEGRQRARQRAGRQEGRQRAYGPDPPLGEPGRRGRGPPGRLGPPRGVPGRAGRLQRVRGQDGAARVRDGPVPRVRGRAVGRGARRRRPRCPPRGVHHGGNHAAQLRPAAAARPGGGAGDQPLRALLPARHARGARRRGAPRHQPPDGAGALRPRAGAARGGPGARGGHGGRRRDAALRTDARHRRHRQPARRRPVRPQRPRARAAGRVLERHALPAPLQAARRAARGRRRRGRQDQHGGRAPGRLHDGGAQGALRLLLPQHQPHQAGGHQQRRRRCRRRIWCAPAGDDPGHERPGRAHAARVPARPVRGLRGRGARPARPRGHPGDRDVRRLRARAWLLRRRLHRLRPA >Sspon.05G0004110-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:12537390:12539408:1 gene:Sspon.05G0004110-1A transcript:Sspon.05G0004110-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoribulokinase [Source:Projected from Arabidopsis thaliana (AT1G32060) UniProtKB/TrEMBL;Acc:A0A178WLP9] MAAFTVHTSAATSLYSPAGTAILLRSRSRAYYPTTTIRVSCSKTVVIGVAADSGCGKSTFMRRLTSVLGGGAEPPRGGNPNSNTLVSDTATVICLDDYHSLDRAGRKAKGVTALDPRAHDFDLMYEQVRAIKEGRAVEKPVYNHVTGLLDPPELITPPEILVIKGLHPMYDERVRDLLDLSIYLDISNEVKFAWKVQRDMAERGHSLESIRDSIEARKPDFDAYIEPQKQYADAVIEVLSTQLIPGPGDDEGKVLRVKLIMKEGVEHFAPVYLFDEGSTVNWIPCGRKLSCSYPGIRFAYGFGTYFGHEVMMN >Sspon.07G0014070-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:50353562:50356169:1 gene:Sspon.07G0014070-4D transcript:Sspon.07G0014070-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFTEEEVSSAIDNFDQRATIQELADSILARRIANSIEQKEVKIESDFLGEAEPDYSSYQPSYSAASYYDDDSNNTRVKRAKHIFTDDTGASSSHPGNPWSMGHCAGTSDMPVKVELEAMTPGCRANVQGDLAKPPFFLYGNVVDIPKDTWHQLKQFLYNVEPEFVNSQSFSALTRKEGYIHNLPVEKRRVVVPKSPMTIEEALPFTRQWWPSWDTRKHINVVTTEVAGIEQTCERLGSMVRESRGVLSEARQVQIIHQCRVSNLIWVDRDKLGPLEPRQVERILGYPHNHTNLFELNQPDRFGAMKFAFQTDTLSYFLSVLKGMYPDGIRVLSIYSGIGGAEVTLHRLGIPLKCVISVEESEVNRKILRRWWLKTEQTGVLRQHPGIWKLKIHVIEDLVKEFGGFDLIIGGNYTSCKGGNNTVNTTMGMDSNRFYEYARVVKRSPVWHVVIVESRDKDLIIFGKLKSCSNLCLEPDCCSFSHQNIVHLSSFCFDENFR >Sspon.01G0055800-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:83448294:83455909:-1 gene:Sspon.01G0055800-1C transcript:Sspon.01G0055800-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MITHGDKEMTEVPEEWENVDDAEPILDFGKIKYQQFLLDNLDLEEEQPEEENAEPSEQPECSMNVHSALYYHRKIYLTDYGLGRLYCLLLKLAYSKGSMLASLPLMMNLESKSGARSGSRTSANPMWPSRFRSLDDNIVASNFIL >Sspon.03G0013780-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3A:39739889:39742695:-1 gene:Sspon.03G0013780-1A transcript:Sspon.03G0013780-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Condensin complex subunit 2 [Source:Projected from Arabidopsis thaliana (AT2G32590) UniProtKB/Swiss-Prot;Acc:Q564K3] MPPAEDAPPQTPPPARGTAAGSRVLLQSPPPAFPLGSNDDQQERARARAAARAASVRRRSLAASIAPSKDPRHDLLNREQVMDLFHNCIKLASENKINQKNTWELGLIDHLSEIIQAGADEDEETNFQKASCTLEAGVKIYSLRVDSVHSEAYKVLGGINRAGRGEEADLEEGSNVEPAQDEGINKKDADRRISPASTLESSFEALNVKKFDLSFYPWTEQIVEMVTQMRLCNDISPTLRDIVAQFDEENQRPSHRLSPGQMPVMEDLMDDDNEADINDSMLPDSGTWDFGGCHDHEDAYNENCNPMDSISTNYQEEFNEYTVEIPQGTIVDERLDKIADLLLLGMVSSKANAWAGPEHWKYRKAKGTVFIRSPLGFLSR >Sspon.05G0008990-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:20495737:20498129:1 gene:Sspon.05G0008990-2B transcript:Sspon.05G0008990-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTFHSDPYSRSSLQLQVRDGSMSFENNSTVLDKHEVVSPRVGMTFETVDLAYQFYLEYGYRAGFGVSKRTSHSVDGVKYRATFVCYKGGIARIKPGLKARRRLVAKTGCKAMMVVKFNASENHWEVVFVELEHNHPCNPEMVRFMMCFKDLPDWQREHRPFNAKTRLNPKIHSGRGRPPNQNKDFMDQLKQLMNVNCTEVSRNGSIATYTVTVIGKERKFDYRVMYNSAEKEVWCICRSFQFKGILCSHALAVLKQELVMLIPPKYILDRWRKDYKCPEEPKETPISPKAAKDTGKSSKPENIREDQADNLYKHGHQYFADIVEMGATDPDAMEYVLSVMKEAKEKVRKFEESRKEKRPGESPVSAGKKGAKFSKPSTEVGNGTSVSTPTEAVASVTVVSSAPMAAASTMMAMAPPSAAVAPGMFLVPMHPHPLVFPPFTPAIPPAVAPVAPPAAPATNVASNTSKKRKKRKGNI >Sspon.07G0002280-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:5692767:5694741:-1 gene:Sspon.07G0002280-1P transcript:Sspon.07G0002280-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLPRAAVIAVALLLLASSGEAFDIFNIFRTRSETDYFQNAFEGTQEQTVPTQTEQEERGAAPATATGLTRVPPGGPPSKAAQDTVHLDADKVGGAVGSWTIVSENSGVSAMHMVVMRHGKAIMFDTSTTGRSLMRLPQDNCRIDPRAKEEGTMDCWAHSVEFDYNTGGLRPLKILTDTWCSSGALDTDGNLVQTGGYFDGEKVVRTLSPCDTCDWLELPNSFAEGRWYGTQVALPDGRFIVFGGRRAFSYEYVPFPGKSNDKAVRLPFLRETTDDVENNLYPFVNLLPSGNLFLFANDRSVIFDHKSGKIVRELPKLDGGSRNYPGSAMSTLLPLDLRNVTGDPEPEVVICGGAPKKAFRKGENNTFLPALRDCARINLVRPDAKWESEDMPVGRVMGDMLILPTGDLLLLSGAAKGCSGWGFGRQPILTPILYSPRKAEGPRFRALASSTIARMYHSSSAVLPDATVLVAGGNTNAAYNFSDVDFPTEVRVERFTPPYLSEGAAGNRAEIDAASLPAEGMRYGSPFIFRFSVLSEPTVAEADVKVTMYAPPFTTHGYSMNQRLLILPFTSYVQEGRSYRVCVDAPGKPELAPRGYYLLFVVAKGVPSLGAWVKV >Sspon.02G0022360-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:73876552:73880106:-1 gene:Sspon.02G0022360-2B transcript:Sspon.02G0022360-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SRIKTQEHEEFPCSPSLKQPSPPHRPLSRCRPEAIPLLRAGVLVSPSSVPVDRRGEGIRPGFVRFVLLLTMDLETENRLASLLLKEARRLQLEANREGVHAYLRKPNVRHRPNSRFLTATVRGVQQANRVVEVDEMWRAREKELELESKMKGRSGRSKDRDDFRGEKRKSDLRNHISSSRVEQEGTAYNNSYSDQEDGLRDDEIERFLHSRAKRGRGAVGSRMDEPGPYLDSLSRHQDNGPSPDIHVEEKWERRVQGPEKPSFFGSKSLDDHWRKDTLDGRPSSSEPQTKKEKKNKSEKRDEIEKKKEKDKKKSKYRHHHHHRSTRRLSLD >Sspon.02G0023460-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:80081205:80082921:1 gene:Sspon.02G0023460-1A transcript:Sspon.02G0023460-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding WDMMKLKSRRLPFYKDVKPEDCRSGSGLPHLAAQAKFAAMNMQTEDVKPRLPVQVRRSRWVLGDVTEVLDHNSWRIGKITEVLKNDCFVIRLAGCIQPRAFHISCLRIPHDSKQLTVGDMVIELNKPIRFADCSSHHSKIVMKQDHQAYEEVDHYAKRKAANICASTGARAVKRKLEASRIPPNDLVRRTGKERKVAAYESRQLTKNELPLKVSARNAIDGDHFHRPLSSRYNDLTKNISEIKPVCKVLPLSEKPLHIREENECSVASCSANYSEYCTSDNQQSVGNGSCFPDDVMSACQSGQEIRNAYGSGLFLNVHELELQAYQSTVRAFHAAGPLTWEQESLLTNLRLSLNISNEEHLLQLRHLLSL >Sspon.06G0020450-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:9190237:9194010:-1 gene:Sspon.06G0020450-3D transcript:Sspon.06G0020450-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GGIKSPWSRRKRKRALTCQHWNRLFSANGKLRDGGRKFLKKVRSGGIEPGIRAEVWPFLLGVYDLNSSEEDRNTIKIKKRKEYEKLRRQCHRVLHCNRGNGLNVINEFMNEDFSDGAEGSESPYSNGVSKRACVMPKELKSLGSKAEESESSNWDAVECIDEDTSELTSVDPCMVESESSESESSCEEDPDRTPVSTNMEENCDPKPKFVRTASSKSDIFISDRTPEDFATWQRIIRVDAIRANTEWVLFARNQAEVSKEKALQSAISVGLKDFDHLEPYMIYHAARLVALLEAYALYDPEIGYCQGMSDLLSPIIAVMEEDHEAFWCFVGFMKKARHNFRLDEVGIRRQLKTVSQIIKRKDSHLYRHLQKLQAEDCFFLYRMVVVLFRRELTFEQTMCLWEVMWADQAAIRAGIGRSTWARIRLHAPPTDDLLLYAIAACVLQRRKLIIEKYSSMDEILRECNSMAGQLDVWKLLDDAHHLVVDLHDKI >Sspon.07G0020270-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:75344643:75346837:1 gene:Sspon.07G0020270-1A transcript:Sspon.07G0020270-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADRRTVVYDAEAGDDHERQGTVWTATSHIVAAVVGSGVLALAWTVAQLGWVVGPLVLVGFSCVTYYTSALLADCYRYPDPVDGAANREYIDAVRCYLDRKNVVLCGCAQYVNLWGTLVGYTITASASMIAVKRVNCFHRDGYGAAGCNPSGSTYMVVFGLFQLLLSQLPSLHNIAWLSVVAVATSFGYSFISLGLCAAKWASHDGGSDIRGTLAGAAVDVPREKAFNVLLALGNIAFSYTFADVLIEIQATLRAPPAENKTMKKASFYGLGMTTVFYLALGCTGYAAFGNDAPGNILTGFAFYEPFWLVDVANVCVIVHLIGAYQVFAQPIFARLESCVACRWPDAKFINATYYVRVPPCLLLRSSTSSTSPPATVAVAPLKLVLRSIVIMFTTLVAMLLPFFNAVLGLIGALGFWPLSVYFPVSMHMARLKIRRGELRWWLLQAMSFVCLLISIAASIGSVQDIVHNLKASAPFKTGN >Sspon.01G0004430-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:11947020:11951050:1 gene:Sspon.01G0004430-1A transcript:Sspon.01G0004430-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQYHSLSLANQASMEVDIVANGGSDPHLSLRENPSIHIHEMKTVQLTGISKISGALALLLKAAVQFILLVWFLCFKIPRPDVFVVQNPPSVPTLAAVKLASWLRGAKFIVDWHNFGYTLLGLSHGRSHIIVKIYFWFEKHFGQMADGAFCVTKAMQHELAQNWGIRLGNSICSAMGNADCISVEEVVEDMSTTVFTSKIDGEVYLKPNRPALVVSSTSWTPDEDFSILLEAALMYDRRVAAALGEDDSMDEGQLWIDIKNGKQFVYPRLLFIITGKGPDRKKYEDQIKRLKLRRVALRTMWLASEDYPLLLGSADLGVSLHTSSSGLDLPMKVVDMFGCGLPVCAASFSCIEELVKVNKNGLLFSTSSELADELMMLFKGFPEECNALKSLKEGAMKSASSSKWSLDENCSIPENQRQVC >Sspon.06G0014890-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:62067141:62068740:-1 gene:Sspon.06G0014890-4D transcript:Sspon.06G0014890-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DDEGEAAVQEPSPPQLPLRYLQRLLGRRRHPPRLPSLEREAEIPVRRSAHLRPGRELHRAHGGAHHDAARALRRLRLVPPRRAHREAARHRRPGDGHGGRRAGRCGAGADVGAGPRHHPPERASAGARRRGDGQLLLRVPRDGRVVVAAPDARRVRERRGGEGEVLPHVHAVPSAALLALLRLQQLRGALRPPLPLGGPVHRPPELPLLLHVHRLHHLPVPLRVRLLLGQPAAHLPAVRRELRPRRRRVPGVRLPHRLHLRHGVVRRRAHGVPLLPRVHQPDHVRELPVPVRAQGQPLQPRRPQQHRRDLLLADPAVQERLPGQGVPRRPGRRRALLPRPAVVRVADQLLHQGQPQLRHGQGQLRPQLLRQAHQRRVLRLRRHLRQPRPRRGARPGLDDAPAAAAALHLRRARQGEQEGGGRGGRRHGGARGHDAHALRRRRRPPAREGVRGG >Sspon.07G0012120-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:40728422:40732133:1 gene:Sspon.07G0012120-3D transcript:Sspon.07G0012120-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVGGEKFQLGTVGALSLSVVSSVSIVICNKALMSSLGFNFGVRSDWFLPQFLDFRSIQMSLSVLLLGVGVATVTDLQLNAVGSILSLLAIITTCIAQIMTNTIQKKFKVSSTQLLYQSCPYQSLTLFLIGPFLDGFLTNQNVFAFNYTSQVVFFIVLSCLISVSVNFSTFLVIGKTSPVTYQVLGHLKTCLVLTFGYVLLHDPFSWRNILGILIAVVGMVLYSYFCTVETQQKNTEVSPQQVKESEAAPLISDSLSKVENGGGVDDEPLKVPMWSSKYSRE >Sspon.01G0031650-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:108223241:108228009:1 gene:Sspon.01G0031650-1A transcript:Sspon.01G0031650-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLTLPALALSNPATASDAVRLRAAAFRCWALRRRGWAAVAAVASPNSVLSEHAFKRLQLGSDDEDEEGPYGSDADEGFEAGEGDEEELAIARLGLPDELVATLEKRGITHLFPIQRAVLIPALEGRDLIARAKTGTGKTLAFGIPMIKQLIEQDDGRSTRRGRIPRVLVLAPTRELAKQVEKEIKESAPKLGTVCVYGGVSYNVQQNALSRGVDVVVGTPGRIIDLINGGSLQLGEVQYLVLDEADQMLAVGFEEDVETILQQLPADRQSMLFSATMPSWVKKLSRRYLNNPLTIDLVGDQDEKLAEGIKLYAIPLTSTSKRTILSDLITVYAKGGKTIVFTRTKKDADEVSLALTNSIASEALHGDISQHQRERTLNGFRQGKFTVLVATDVAARGLDIPNVDLIIHYELPNDPETFVHRSGRTGRAGKAGTAILMFTSSQKRTVKSLERDVGCKFEFISPPSMGEVLESSAEHVIATLRGVHPESTQYFLGAAEKLTEELGPHALASALAHLSGFSQPPSSRSLISHEQGWVTLQLTREQGYGRGFFSPRSVTGFLSDVYSAAADEVGKIYLTADEN >Sspon.08G0007130-3P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:22750600:22754637:1 gene:Sspon.08G0007130-3P transcript:Sspon.08G0007130-3P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLPLLALVCCALMAWQHCAAEAAKARHFKWEISNMFWSPDCEEKVVIGINGQFPGPTIRARAGDTIHVQLKNALHTEGVVIHWHGIRQIGTPWADGTAAISQCAINPEETFTYRFVVDKPGTYFYHGHYGMQRAAGLYGSLIVDVAEGEEEPFKYDGELNLLLSDWYHESIHTQMVALSSKPFRWIGEPQSLLINGRGQFNCSLAAAHTPGANQCAAAVNRQCAPVVLPVQPNKTYRLRVASTTSLASLNLAIGNHKLTVVEADGNYVDPFVVDDIDLYSGDSYSVLLTTDQDTSSNYWVSVGVRGRQPKTAPALAVLNYRPNRASKLPALAPPVTPAWDDYDHSKAFTYRIRARAGTPPPPATADRRIELLNTQNKMDGHIKWSINNASMVLPATPYLGSLKMGLKSTLAAARPAETFSRGYDVTLPPPNPNTTVGDNVYVLAHNTTVDVVLQNANALSRNVSEVHPWHLHGHDFWVLGYGDGAYRGDAADEARLNLRDPPLRNTVVIFPYGWTLLRFVADNPGVWAFHCHIEPHLHMGMGVIFAEAVDLVGKVPNEAVSCGATATALMAGGH >Sspon.08G0003740-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:10496431:10501210:-1 gene:Sspon.08G0003740-1A transcript:Sspon.08G0003740-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGRPRCPAKPEDEEAASAKAAKLRDLQAHVLHNHHARTYTKEAIGLSFKLLEINPEAYTAWNYRKLAFQHNVKELSDPEAIKSAVDDELRVVEVALRQNPKSYGAWYHRKWLLSQKLAPVDFKREFGLLDKLLKVDARNFHGWNYRRFLARFMGVPDEEELNILLSNLLLQQSKGFESKQKIFSEEFELVTQALFTDPSDQSGWFYHLWLLAQTSSPDNPQLIASWPSNAAKLSFPLIKEKAGQHTLSSIWSTTVPIVLYFNEPVKGLNQSSVNLKSDLEFGKDIQWRPLTMADSGKFGKLTLARLLLACAAIKSRGRSLIERKGYCEEALELFTDLIHLDPSHKQYYEDERSLVLMDKALQHLVFLNISNNQISSFTTLEPLTNIISLKVLDLSFNKIGAHSIDTTRYICSSPFSHKIEASEAFEEYQKLNINVEEYWDAILLFRSLKLAQLDIKGNAVASKENFRTLVVTLIPCLKWFDGEDERHLLFNGAINLARGPAGGRDQDEPQAALLRGRRAVAGGAAVLHGVLEDDVALLVDAVEQAVEVAPVVDADEHPPEQQLPELRDGRRAATGSIRGRGGAVAVRVSGNRDGLGWPW >Sspon.03G0004580-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:18522161:18530050:1 gene:Sspon.03G0004580-2D transcript:Sspon.03G0004580-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQRSTQTSDPICVGVQRKPKYASALLRLSPRPKSAPRRPYNRFAGGERRGKRTQRVGIGEICIELGFAGRGYCERIVHWTEVISWEPKAFVYHNFLARFCLYDRLPFIKTMDNIFSGSSGRPGESEEIFREDQWAFGYSATLHSLDVPPNPQFNMHGSSQMSCPPMNGQPSQGFSIDNNHGSEDPPSLRPQRAAKKKNMARRGTAFSKKEDLVVCSAFLNVSKDPITGVNQTSGGYYKRMHDYFNEHKPEGFNRSQIAVQHRWAVIQKAMNKFCGYKEAIDRQNESGKNEQDRIDDAIKMYERTKPFTIMHCWKKLCNEAKWNNKFLELNNSTSLDGMSSPTQGRTVAGHAESGNENIDTSRPEESRPRAPRWLLSSSTAAGDMGCCFSKKRKTRPVAGATAFPRRCEPEDRDPPSPEEETVKEVLSETPSAKPRAEPKPVGNVVVVPAADERGVEKAKKKQDISVDAAVSDLGSCVSLSLATDERSEAASESSVATSSVARPERSPGRKPARRRPVSADLGPARRDRDRAAAAASYNVRSRSARASASPPPPPRHVPRDRSVRRSPSPAAKRPASEPRRAASPAAPVVQ >Sspon.05G0034650-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:61414178:61415384:1 gene:Sspon.05G0034650-1C transcript:Sspon.05G0034650-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding HPPFPLRFHHNDSSRFLRNRSLLRPEMNTRGLSGFSVLQPNAITETAEAQLAYAVVATDISPATANPTTKDIRRAILEQFESEVTPVAITRFRSDFVIQFDNQEERDIFASSMVLEGRDFSALLVTWSNKYGAKVVDWQTEVAIDITGFPPHAFNPSALGPLLEHHCSIQAYGFNKAKGVCRVDGYALSTRSIPKNGELAFQYPTAHGVRNVVFPAALQTYPYSEAPEFQPDGYPADPDHSTATFDTAEELGYDREVLQETYEEQHRANQALEGVSPTDSESSSDSYYEGMPYQLRGMAPNA >Sspon.04G0002210-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:6914999:6918639:-1 gene:Sspon.04G0002210-1P transcript:Sspon.04G0002210-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHHHKPGLRVRLRITAARRRAWLSAGLRSTCRKPPRRDPSDSVHKVARREIGGGHRRPPRPAAPSSSAFSCPEKFRNFQLQEEYDTYDDEVHFLVQLPFLWTRTKIIEIVAAKDVIFALAQSGLCAAFNRTTNKRICYLNIIPDEVIRSLFYNKNNESLITVSVYESDRFSSLKCRTTPIEYIRRGQLNDGFPLFETESLKYPGFVEFDDVNGKVLTFSAQDSTYKVFDLKNYNFLYSICDKNIQEIKISPGIMLVIYQKTTCHVPLTILSIEDGTPLKTFNQLLHRNRKVDFIEQFNEKLLVKQDKENLQIIDVRNSDLIEVNKTEFMTPSAFIFLYENNLFLTFCNRTVAAWNFRGELVTSFEDHELWHPNCNTNNIYITADQDLIISYCKVSKQSTDCADSEAAEVLSMGSINMSNIFTGKCVAKISPSDPTLTIAPRKRGDNSRSTIRSTVPEALEDITALFYDEDRNEIYTGNSKGLVHVWSN >Sspon.08G0015540-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:51753627:51756874:-1 gene:Sspon.08G0015540-2C transcript:Sspon.08G0015540-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVGDLHKVWEVRALKTKPDAAAARATLDRVARQVQPIMRRHKWRVKVLSEFSPRNPRLLGLNVGAGVEVKLRLRRAGRDHDFIPYEEVLDTMLHELCHNQHGPHDAQFYKLWDELRQECEELVSKGITGTGQGFDGTGRRVGGFTVHPPPPSLRQATLAAAQKRAKNGALLPSGPRKLGGNSEIMSALSPVQAAAMAAERRMYDDLWCGSHDQSGIDDSDDVIIIQESPNLVTRDGKNTKASCSNTSAEPSTSSGIHIAARDDRTSDAFDSSKWECACFSLTHLQPLAPICEVCGTAKPKIAKAKYMTWSSLSLTNARHVINGDTHMGHLWPHMAQAMIE >Sspon.05G0028630-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:65921058:65925683:-1 gene:Sspon.05G0028630-2D transcript:Sspon.05G0028630-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MELVTSRSDAYAVEVTAWKKKSKEPSSETQAIEECLASCTNFCGNEDIRQESATKVNTDPMHSVKWKGINVLLSHLCPKCSKKHDDTASGSQTTGCTCWQWFFTPSTSNDSATVATANGGNTEVLTSQPEENGFAPSYKLDNEETSVPAVGHHRKPSMHHRLKIWISSGHNGIIGKYGNKLELGVPHVARPLSDEHAKPGWPDWLINVAPEAVQGWFPRRPDSFEKLDKVGQGTYSSVYKARDLKTGKFVALKKVRFLNVEPESVRFMAREILILRKLNHPNIIKLQGIITSSVSQSLYLVFEYMEHDLVGLAATPGLKFTEPQVKCLFQQLLSGLDHCHSNGVLHRDLKSSNLLIDNDGVLKIADFGLATSFDPDNQQPLTSRVATLWYRPPELLLGATKYGPSVDLWSTGCIFAELLAGRPILPGRTEVEQLHKIFKLCGSPSGDYWSKLEVPQTGMFKPSHQYSGCIAETFKDFPQSALITCLHYNQMLVEQLLRLSEFFRKKPLACSPSSLPKYPPSKEYDARLRREEAMRKRKAAESVSGFRSVETDGNPFASEKTKVAMIRPESADARNEVLGSQNSNLGSKGSVVRYKGKSRIYQHSGSMITAKGNMERMLKEHEKNIQEAVRKARLNKSREL >Sspon.03G0020530-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:42665871:42670854:1 gene:Sspon.03G0020530-1P transcript:Sspon.03G0020530-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGILSLITGKAGASGFGSASTAEQVTDGVDASRLTVVITGGASGIGLETSRVFALRGAHVVIAARNTEAASEARKTIMEKNPTARIDVLKLDLSSLKSVRAFADQFNSMKLPLNILINNAGVMFCPFQLSKDGVEMQFATNHLGHFLLTNLLLDTMKATAKSTGIEGRIVNLSSVAHHHTYPKGIDFDKLNDEKIYNDKMAYGQSKLANLLHAKELSRRLKGAATTCYVGLNPQLKGVTGNYFADCNVEKTSKLARSEELAKQLWDFSEELIKSAK >Sspon.07G0001060-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:1386704:1388555:1 gene:Sspon.07G0001060-3C transcript:Sspon.07G0001060-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGMGDGVAGRARPSPEPLRLPLPPARAAAFIVDGGRASPHALAAAGARTAESTDIPYSVAFSVPASPSGLHLGACASVVRSVNQARYHSHPALTIRTEEPPPLQRVRTVSRSDSTTRDRRFDHFKTFSGRLERQLSNLRGVAVDIEPAADSNSNKMISEETDDDGEVPTADRYFAALEGPELETLRPTEVSALPQDETWPFLLRFPINAFGMCLGVSSQAMLWKTLQSEPSTAFLHVSPAVNNALWWVSASLMALVSFIYLLKVVFYFEAVRREFHHPIRVNFFFAPWIACLFLVKGMPHPVTDVNHVVWYILMAPILFLDLKIYGQWMSGGDRRLSKVATPTNHLAVVGNFVGALLGAKMGLREVPIFFFAVGVVHYLVLFVTLYQRLPTNAQLPKELHPVFFLFIAAPSVASVGWARLCGDFNYAAKILYFTSLFLYMSLVVRINLFRGVHFSLAWWAYTFPMTSVAIATAVYSSAVTNVLTRALAVGLSGVASVTVAGVLVTTVYHVFVRKDLFPNDVSIAVTQRPKAKFGKILAHIRASGDGVKDLVSAISRHGSGSSDTNSASESPSPMARGRRRAE >Sspon.02G0013010-2D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2D:28328911:28329294:1 gene:Sspon.02G0013010-2D transcript:Sspon.02G0013010-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDTSVCLCFLLVCLVGSHANSSQEARLREFILSRRSSGNALSVHDELAFTATSSLKSEHSGTDQNAQKAADKITALRGRPGGGVGLDQYSGYVTVDERNGRALFYYFVEATHDAAAKPLLLWLNGG >Sspon.04G0018660-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:7461220:7465971:-1 gene:Sspon.04G0018660-3D transcript:Sspon.04G0018660-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCATSTEARRDMVWVGVDARARRSFSLPSVDRQRLRLRAVSMLGTLGLAGSARHSGSCRHATLSVEEMMKGDNDHAADAVLLADDAAKRPLKLRTPTLTPPNEPEVINAWELMAGLEDDVPTPRATYKSLPLDESPQEFVLEAQPLPQWMQADMDMPVALDFDPEVLSGFREALEGTPPSSEPAVVSTAEDETPREQERKDRNDADACDMPMSPATGDMPELSGLVRARIIAFQEKIERRRSKGRDAKVSPLWPPGGERKAVVYFTSLRGVRKTFVDCCAVRSILRSYGVRLDERDVSMHAVFKAELAELLGPGFAGAALPRVFVDGQYLGGAEDVHFLHEAGELGRALEGCEAAPLRKLGYMEACAACGDVRFVPCETCYGSCKIFVEDDDADDRYHDVGEFRRCPDCNENGLVRCPLGIE >Sspon.05G0022670-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:3798526:3809349:-1 gene:Sspon.05G0022670-1B transcript:Sspon.05G0022670-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:15-cis-phytoene desaturase, chloroplastic/chromoplastic [Source:Projected from Arabidopsis thaliana (AT4G14210) UniProtKB/Swiss-Prot;Acc:Q07356] MRRSPLSTPYHRPRTHQLLAIGLPRLHDTASASPSPPPPIPLLSSPLLRCFNMDTGCLSSMNITGASQARPFVGQLPQRCFASTHHSSFAVKNLVLRNKGRRSHRRHAALQVVCKDFPRPPLESTINYLEAGQLSSFFRNSERPSRPLQVVIAGAGLSGLSTAKYLADAGHKPILLEARDVLGGKVAAWKDEDGDYYETGLHIFFGAYPNIQNLFGELGIEDRLQWKEHSMIFAMPNKPGEFSRFDFPETLPAPVNGIWAILRNNEMLTWPEKVKFAIGLLPAMVGGQPYVEAQDGLTVSEWMKKQGVPDRVNDEVFIAMSKALNFINPDELSMQCILIALNRFLQEKHGSKMAFLDGNPPERLCMPIVDHIRSRGGEVRLNSRIKKIELNPDGTVKHFALSDGTQITGDAYVCATPVDIFKLLVPQEWSEITYFKKLEKLVGVPVINVHIWFDRKLKNTYDHLLFSRSSLLSVYADMSVTCKEYYDPNRSMLELVFAPADEWIGRSDTEIIDATMEELAKLFPDEIAADQSKAKILKYHVVKTPRSVYKTVPNCEPCRPLQRSPIEGFYLAGDYTKQKYLASMEGAVLSGKLCAQSIVQDYSRLALRSQKSLQSEGTVVPINRLIHPTWEDLSIVLE >Sspon.01G0012530-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:37252595:37263333:-1 gene:Sspon.01G0012530-2D transcript:Sspon.01G0012530-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPPLSADVLSFLASAPAAAAAAPTPVVAAAWGAARAGAVRGKAALRTTTRGGRGGVLAPVVGGRPRRTPLSVRCNATSRDGRITQQEFTEMAWQSIVSSPEVAKESKHQIVETEHLMKSLLEQRNGLARRIFSKAGVDNTRLLDATEKFIQRQPKVLGEEPGSMLGRDLEALIQRARDFKKEYGDSYVSVEHLVTVKTLKSAIESIRGKQNVIDQ >Sspon.03G0030740-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:22215981:22220220:1 gene:Sspon.03G0030740-1B transcript:Sspon.03G0030740-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRHGVDQIGAVAAFAWVALLPLLLVGVVCPGAQAGQTSAYRRQLGSAIDMPLDADVFRPPPGYNAPEQVHITQGNHDGTAMIISWVTTSEPGSSTVIYGTSEDNLNYTANGKHTQYTFYNYTSGYIHHCTIKKLEFDTKYYYAVGIGQTVRKFWFMTPPESGPDVPYTFGLIGDLGQSFDSNVTLTHYESNAKAQAVLFVGDLSYADNYPYHDNVRWDTWARFVERNVAYQPWIWTAGNHEIDFAPELGETKPFKPFSHRYPTPYKASGSTAPYWYSIKRASAYIIVLASYSAYGKYTPQYKWLEAEFPKVNRSETPWLIVLMHAPWYNSYNYHYMEGETMRVMYEPWFVKYKVDVVFAGHVHAYERTHRISNVAYNVVNGLCTPIPDQSAPVYITIGDGGNQEGLATNMSQPQPSYSAFREASFGHAILDIKNRTHAYYTWHRNQDGSAVAADSMWFTNRYWEPTDDSADDFQ >Sspon.03G0005780-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:16328452:16330883:-1 gene:Sspon.03G0005780-1A transcript:Sspon.03G0005780-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLQAEELFRKVLEVGSKDKAARLLGLDVGSKYVGVAVSDEKNRIALPLSVLCRTKTNINLMADDFKTLVSKYSIAGFIVGYPFNLHGQPSPDAVQVRLLAGELCKTGKLDDLSYTYWDENFTSKCVEALLHPLNLKNRDEAKTMTDKFAAVCILQGYLDNMNRKLRSTDKSEA >Sspon.02G0013380-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2B:33200130:33201626:-1 gene:Sspon.02G0013380-2B transcript:Sspon.02G0013380-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHRSERRLSSAVVRLPGRSRVSASPSPRRRSQSPSPRRDRRRRDRSPIPYRDRSPSPYRNRRRDRSPSPYHDRRGRSPSPYRDRRRQWSPYHNDRGRDRDRVLPVRIGGGVWSDEDDDDKELQGLSYFEYRRLKRQKLRKSKKRCIWNITPSPPRVEGDEENYGYSDVEEEKVSPKGSPEASEEESKDASESESGESDSLSESSESEASKRKKKGRKNSRRSSKRSRRRHRHRSSNSEIEDESESDDDSVGSYDSEDSRDRSKKRSRRHNRSKKRGRSSRRKKRKSQDTASEQSSEEEVEEDSKKKSKSSKRKRSKRSDSEESAPSDATPDDVEEVEETKVPEIDPEAIKFKEMLEAQKKAALENDMPVGPMPLPRAEGHISYGGALRPGEGDAIAQYVQQGKRIPRRGEVGLSAEEIQKFEDLGYVMSGSRHQRMNAIRIRKENQVYSAEDKRALAMFNYEEKSKREHKVMADLQRLVQRTIGHDVGPSHDPFATTD >Sspon.01G0003410-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:9298319:9306885:1 gene:Sspon.01G0003410-1A transcript:Sspon.01G0003410-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVFECAEAKGIQLNTNIFNALVNAFLSAGDLLSAMTLYETMEGMDGCNPNSATYDAFICAFSLLGSGHAMMSWYVAAKNAGFMPSIQAFESLITGFVQLNMLDDAKMIFEEMIGLEIKPSSTILEASLEIISRKEEASRVGEFLKRVSDGNWELNRAIVVRLARICLDGGEIDEMEQLLALIQKGPHLSSETQLHHGIIRFYARADQLADMEHAIYRMLDNGVMFMCPEDVEEPWLRRTVIDIWIRPHFDLLPRLRPQAWVAALCAPVSTSFRVSGVCPRPREPGALSPYARTLAVVFRDSAVRLPRLHPSPRLRPPYPGSPSAAFFPVSVQRRPRTNQATSTLLLLYNHQSSTNLASAAASPGNLTLPTVAAFTAAGALRHCDNELAVGVPGHGHGRTARLRQAGPPPVELHAVAGVSSRPLGAASGLHDDLGDPGVDPDGMHPHDAGTRTSGRRCDEVEGVGAFEHLDVGVCRERQLQHLAVHVRQTPEVLLGAASSGSCWVDPHVLVRWN >Sspon.08G0021350-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:42879869:42888654:1 gene:Sspon.08G0021350-2C transcript:Sspon.08G0021350-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGGGANDRFAGASDPLLPTKRDGDEDDAGASAFHEFDGASFAGAVFNLSTTIVGAGIMALPATMKVLGLVPGLVMIVLAALLTDASIELLVRFSSAVGARSYSAAMGNAFGLWGRRLLQVCVVINNVGVMIVYMIIIGDVLSGTTSGGEHHYGVLEGWFGIHWWNGRLFVLLVTTLCVFTPLACLKRIDSLSYTSTISVALAVVFVIITAGIAIIKLIGGQIPMPKLFPTIPDLASVWELFTAVPVLVTAYVCHYNVHPIHNELKDSSQIKPIVHTSLTLCSTIYITTSFFGYLLFGESTLSDVLSNFDSNLGIPYSSVLNDAVRVSYAVHLMLVFPMIFHALRLNLDGLLFSSARPLSSDNRRFGIMTALLLLVIFGSAIFIPSIWDAIQFTGATAAVCIAFIFPAAITLRDPQGIAKKWDKILAVFMIVLAVISNVVAVYSDAYKIFHKESAPSKA >Sspon.04G0031600-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4C:14651188:14655598:1 gene:Sspon.04G0031600-1C transcript:Sspon.04G0031600-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSPVILLFRTSDSEEEELTGGGHTHLMSSTSPTEMRLNSLRIKECSSNKSASCKRGSTRNEKTCVYFRKPSSVSVRNARLAGELARELATSTVASTRTGPSTPRFSLEPARTSLPPPCSSATCPSHRTPMPAGLEMRSENSSRPRPCSRPRALPRGDASPPRSGSQSPLGKREKPRFIPNLLDGSGWLPPLSLSLTTMDLETPVATSSSAARASTVTAWLEVTMCTGAGAMTARKIEALHPSLRALGSSVRLSVRRTPGTVRPPRLSPSTTARPNPNFGSLISAWRVSWAGPPMTGSSSDSSPFLSDTARAWLEDLPPQQIHDWDDLV >Sspon.02G0002360-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:9017573:9021584:1 gene:Sspon.02G0002360-1P transcript:Sspon.02G0002360-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSIVRLVSSMVGEVCSVMEKDKERHSKLYGGLRSMKLEMEAVIALMRDKDTGCRGAVQEIRFQQLQELAYDVEDFVAGLWDPAAYGKLLVAIRMDPRTEQLRSIEQFKETISSLANDLKHQSTEPDTDQGAAISSDSDEDDAEEEQLEAMDGPKSKIIELLKPSPGEGQQLRVISIVGCRGVGKTALARAVYDKYSSSDEFDCVAWVIASGCNKKKALLHKILESVRADLASRPAPHHTEGNAPSTEGASTAKLSLQDILSDKRCLVFIDDVQQVKVWKDTVDACPKLGSKSRIVVTTSVLSVATACSSGSYVYRMGYLSDGDSKSLFWRKVYGCQKEPPYSLVTDSESIFSKCGGLPLALTSVAKHLNIIGEKLESTHCREVGQNLGKDYLSAGNNNTAVSVFKEMRRALRHCYDSLPGYDHRSCMLYLGIFPKGHQIKSKSLVRRLKAEGLVANEGCKCFDELVDRCIVEPVEICNNSVVAKRCQVHSVVLEYIIQKSVAKNVVTLIQDHEPVLKGSTEACVRRLSVQSSTKERFDELDDDKSALRSLTMFNTEPPSDLQRCKMLRILDLEGCSGLDQEFLEGLCELLLLKYLNLRRTRINKLPPKIEKLQRLETLDIRETRVERLPIQVIMLPKLAYLFGKFQLPEVPKGKEADKLYEFLKKKSVLHTLAGFVTNKRRSPEHVILRLARNLKKVKVWCNDTPADVFPDPEPVSSSVAASDHPSSTRKGNWCPRPRSGKTRGVVSQDFIKLLKMRDTPLESVSIISSEVCNDFLGSLEGPCTISSIKLRGILNGLPDSNKLTELGRIKKLQLFSTGLTIKDLSALQCLRGLEYLKMVEHNTDRFCNGVFIVEKKGFESLKSLCIDAPKLPKMQFKEGSMRSLTSLYLLGPNSELPSGTIEGISHLANLSEVILHSAMEQAWETVANRHPNRPCVKRQPAEPTGNTVA >Sspon.03G0001310-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:3204848:3209855:-1 gene:Sspon.03G0001310-1A transcript:Sspon.03G0001310-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLPVCSPLCPVRPSPAISLSVPVLSILPPSGALNALVAAWQVTASHVVARVPRRLDVSAALRSYADPLVAQVPDRPPLADSSILSPYTAAPDDIARAFGAPASELPPLPSADPSPGLCCTGTDQPLTAVADLAAPDQAAAATAAVVSSAPTDAAERALSDAPFPRTFPSDASEVEDSVARLIDKIGKQVFQAEDALTEAYDKLRLSAYDALGAWRKTVRDAVGGLKASVDAGKEQAAGGVTDASGALQEKVAGAGAVAVDVLRKAIVAAEDSLGSAATFVVYSYGSAKESLPPNVRDLLSSSEEKAMRAVIQVYVAVEGVEKNVGLDPSDPIVQLAVVLGGSVTIGTTYWLFIYGGYSGDLSPESAFELLKNDGKAVLIDVRPEARYCKSHWPFSFFRAPFHLSSFFNPDLREKDGVPDLRLGARSKYASVDLPEIKGPVKSMLKGGREVDDALLAAVIRNLKLVKGDSKVIVMDYNGARSKAIARLLKKLGVQANFECFGLHQYCISMHSLPCLTLNVWVQRPYLVKGGFQAWSKNLRVKELKPETALTAINEDVEEILEQIKPTPTLVFGSLLGLSALSYALLEWETTLQYIAVLSVGLTIYLRFSTYERSEDLEQDLKLLLSPVRVGADAVSWAAKKIEPNKVGLPTSPSTTAVKDRVLQAAAKHESQPSDAEESSAQTAEA >Sspon.03G0034200-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3B:69541392:69541649:-1 gene:Sspon.03G0034200-1B transcript:Sspon.03G0034200-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MESRMTPIQGGEDDEDITTLDTPTLWSSPSCNSSPTQLPRHPRIQQTRRHCFGHNSRIRHQNGAFLDALERGRRRCRFGSGLSSRR >Sspon.02G0035380-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:18802141:18805314:-1 gene:Sspon.02G0035380-1B transcript:Sspon.02G0035380-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTLPMAPHLMAVAVAATIAAVAVLAPGAAGYPWPICGTSNDFKPNSTYQANLNLLAATLPKNVSASPTLYATSVVGAVPEQVWAMGLCRGDTNASSCLACLTQAFQDLPNDCSYNKDATIYYDPCVLHYSDVHTLPDDDTGPTTLSYTINNNANVTSDPARFERLLAALVNATAEHAAYNSTRRFATGEADFDQEFPKVYTLAQCTPDQTPAQCRKCLAGLISQSLDGFQNNIGGRVLWVNCTWRYETAPFFNGPAMVRLASPSPPAPAPATATAGTTAATGGGEKKYSVFIVVLAVVLPTLAALNLVFCFCFWRRRRPIAQAKQPQPMYSTKAEDTETVDSMMIDISTLRAATGNFDETNKLGEGGFGAVYKVWEHWEAGTVAELVDPSMGGSFPEGDVLRCIHIGLLCVQGDPAARPVMSSVVMMLGTNTVTLQAPSKPAFFARKSGTNTTVSAD >Sspon.07G0005850-3P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:13023906:13027783:1 gene:Sspon.07G0005850-3P transcript:Sspon.07G0005850-3P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding PHMHRLASSRRLLQAALAPAPARANSRLSAAAVAVAAPAPENGAAAVPKMPAFDYTPPPYDGPRAEEIFRKRAQFLSPSLFHFYDRPVRLPLPHRHSSLHFSGKDQIATTEKKNEKLQLNIVDGKMQYLFDEDGRRYLDAFGGIATVCCGHCHPDVVEAIVNQAKRIQHSTVLYLNHAIADFAEALASKMPGDLQVVFFTNSGTEANELALMIARLYTGCNDIISLRNGYHGNAAGTMGATAQSNWKFNVIQTGVHHALNPDPYRGAFGSDGEKYARDVQEIIEFGTTGRVGGFISEALKGVGGIVELAPGYLPVAYNMVRKAGGLCIADEVQAGVARTGSHFWGFEGHGVIPDIVTMFQGIGNGIPIGAVVTTPEIAQVLTRRSYFNTFGGNPVSTAGGHAVLKVLEKEKLQENAFVVGSYLKEQLNKLKEKPSVIGDVRGKGFLLGVELVTDREKKTPAKVEISHVMNHTTDMGVLVGKGGFYGNVFRITPPLCFTKDVADFFIEVMDIALSK >Sspon.02G0004340-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:13480928:13483069:-1 gene:Sspon.02G0004340-1A transcript:Sspon.02G0004340-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLSICLLLLALFTESVSASGSIISGSGSNGEQDYIVYLGHLPSSESDTSSEPDEGLSSLEAAHHDLLNQVLDDGSYASDRIIRSYKRSLNGFAARLTEQQANKLAD >Sspon.06G0000310-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:576003:578129:1 gene:Sspon.06G0000310-2B transcript:Sspon.06G0000310-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:U-box domain-containing protein 15 [Source:Projected from Arabidopsis thaliana (AT5G42340) UniProtKB/Swiss-Prot;Acc:Q681N2] MPPPTLPPSSPDDPAEPSMGREMDDEDLVEELLVTVNSARAFAEFRRTQRKECANLLRWLQLVLPLLEELRDAAPRLTDDAYRRLALLGRALAAARRLLRSCHDGSKIYLVRFRSTALRSDQSLHSACHAYALESETVLAKFRDVYEKMHSALDGMPYAELAISDEVKEQVELMNAQLTRCKKRTDTQDMELSMDLMVILQNKDEERNADRAILERLAKKLELQTLAELRAETMAIKKLINDKNYNGGGQHAVADSTRQMVDLLNRFKEIAGVDEKDVLGGDVSMPKSLDKCPSLMIPNDFLCPITLEIMTDPVIVASGQTYERRSIQKWLDSGERTCPKSRQPLAHLSLAPNYALKNLILQWCDKHMVELQKREPEPPRRRRSTRRTSRMSSIHPDVQRKAVKKIRRLSKECPENRTLIADNGGIPALIGLLACPDKKVQENTVTSLLNLSIDDKNKVLIARGGAIPLVIEILRNGTPEAQENSAATLFSLSMLDENKTAIGSLGGLAPLVELLRSSGTARGKKDAATAIFNLVLSPQNKVRATHAGIVPRCSGHGRQGARHGGRGAVHLPASVVPCHVPRRDRDDGVRGEAGAAHQGRQQHAQNKECALSCCWSWAPTTGRCWCTGSGSDSTRTSPRSPRAAPAGAEEANLLIQLARKL >Sspon.04G0021320-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4A:74853090:74854658:1 gene:Sspon.04G0021320-1A transcript:Sspon.04G0021320-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNMQFRPSSSHDTTVTTTNAGAPVWNDNEALTVGPRGPILLEDYHLIEKVAHFARERIPERVVHARGASAKGFFECTHDVTSLTCADFLRAPGVRTPVIVRFSTVIHERGSPETIRDPRGFAVKFYTREGNWDLLGNNFPVFFIRDGIKFPDVIHAFKPNPKSHVQEYWRVFDFLSHLPESLHTFFFLFDDVGVPTDYRHMEGFGVNTYTFVNAAGKSHYVKFHWKPTCGVRSILTDEEAALVGGKNHSHATQDLYDSIAAGNFPEWKLFVQVMDPDTEEQYDFDPLDDTKTWPEDLLPLQPVGRLVLDKNVDNFFNENEQLAFGPGLVVPGIYYSDDKMLQCRVFAYADTQRYRLGPNYLMLPVNAPRCAHHNNHYDGAMNFMHRDEEVDYYPSRHAPLRQAPPVPVPARPVVGKRQKATIRKPNDFKQPGERYRSWDADRQERFVRRFADSLGHPKVSQELRSIWIDLLSKCDASLGMKIATRLNMKPNM >Sspon.08G0005280-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:61694484:61698580:1 gene:Sspon.08G0005280-3C transcript:Sspon.08G0005280-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGYPEDNQHALNGYDEEEVDEEEGHPGRRGGRDGASGYGDAGGEDGRGTGGDSSGKIFVGGVAWETTEESFSKHFEKYGAITDSVIMKDKHTKMPRGFGFVTFSDPSVIDKVLEDDHVIDGRTVEVKRTVPREEMTTKDGPKTRKIFIGGLPPSLTEDELKDHFSSYGKVVEHQIMLDHSTGRSRGFGFVTFESEDSVERVISEGRMRDLGGKQVEIKKAEPKKHGSDHSSNGRSSHGGGGYRNSYRSGGGAGSASSGSSGGGGGYGYGGAYRSAAAGYGYDGGAGAGYGYGRGYGYGGNAGFGSGFGGGYGGSMYGGAYGAYGAYGGGAYGGGAYGGGAYGGGAYGGAPGGYGTGGYGSYGGAGGAAGGTGGGSTGARGSSRYHPYGK >Sspon.07G0015090-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:54091080:54096584:-1 gene:Sspon.07G0015090-1A transcript:Sspon.07G0015090-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding HFPFHLFPPLGAWEAAQAPLPRSSVVAAQGGPASDGPMVWSRCSSPHAPPGSPSCSSTSSTYHGHGCSSAKLPRPYLPSAALSLMSSFPLLSIYIPSLSVAGSEGSGSGGGGELERVGSTNLHGSMGWRCHQLARIPAPRTSRQLDSPLAMRTGY >Sspon.02G0030640-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:112524894:112533748:1 gene:Sspon.02G0030640-1A transcript:Sspon.02G0030640-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEESDAAEGVAVQLIDGEGEFAAEGAERFMAAAGVAGCGLSYAVVSIMGPQSSGIWIARCVGVEPCTVVLDLEGTDGRERGEDDTAFEKQSSLFALAISDIVLINMWCHDIGREQAANKPLLKTVFQVMMRLFSPRKTTLLFVIRDKTRTPLEHLEPVLREDIQKIWNSVAKPEAHKDTPISEFFNVQVTALSSFEEKEDQFREQVQQLRKRFSNSIAPGGLAGDRRGVVPASGFLFSSQQIWKVIRENKDLDLPAHKVMVATVRCDEIANEKFGCITSDTVRSCHFMIMQEWLDVESAVQSGPVPGFGKKLGNIVEVHLQEYDKEAIYFDEAVRKGKRQHLESRILNLVQPAFQKMLTHLRMKALEKFKTGLNSSLESGKGFAVSARENTECSLKDFEQGCAEKVRRDIEDHALSIRESKLSELTTHAKEKLRKALAEPVESLFDAADQTTWTSIRNVYKRETEAILPEFLKTLCGFEMEYAPAEEMVSKLRDYARSVVESKAKEESSKVLIHMKERFTTVFSHDKDSIPRVWTGKEDVRAIAKEARSAALKLLSVMAAIRWDDEPDRIESILTSTLLEGSVVSKIAHAASADPLASTTWEEIPPKHTMITPSQCKSLWKQFKSETEFTITQAVSTQVCISYDRALISDTSYHGMTFSLTGENCIYISFRCLTLPFCLSPQQAHRRGNSKLPPPWAIVAIAILGFNEIMVLLRNPIYVLLLFVGYLMFKALAMQLDVSREFQNGVLLPTIQNLVNKVAAEQQAEHQHPHAHPQAAEPPQPQMQPPPLLLSPRSPMSELRRLHMPSSPRKAASPVPSSSSSSSAVSSPRHVGDDQKPKPGVENQSTIADSIDTKTEITQDPSVATL >Sspon.04G0029970-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4B:75384807:75387734:1 gene:Sspon.04G0029970-1B transcript:Sspon.04G0029970-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLARARSRKNRKAESTPARPADGAPSSTWAHCSATCTRTMATYIILLCLTRTLVFVADRRLTHILLVQGGNTFADRTPQVDSSNLFTVSGRNVGTSPYGSYWQWACDGLVNSLLRHRQSASSGAKDDDAVTLRPFLRRAMFELLVYMCFGRRVARPGLTRRDREDMTQEKLNIALEAHPHCCWMCLEWVHCRRDNSILSLSLSAHVSIQHPMNMEEAHAPERHFRSRWEANIAVRRRHEEVLVPLIHVIATCRNNGDAGSPGFGSHQARNKQQREAYLPYILDSHHSANQIPSHPYTTPPARTFVRASQRRLRRCFHAARPDLVRPATEKMRSREERRGGVRIGRGAGTASTEAARPCWWNVRQTPKYS >Sspon.08G0015170-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:60899934:60901120:1 gene:Sspon.08G0015170-1A transcript:Sspon.08G0015170-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MCFAYMIGSLFGKDLRVFGAACHRWMCSCVTSKLQASPIVTWDAAIYMLPGYDHVFTCADGEEGNAWIAS >Sspon.01G0059830-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:68761474:68768501:-1 gene:Sspon.01G0059830-1D transcript:Sspon.01G0059830-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQQWASRSMQRRRWTSRRLQPILSIGLGKEVGQAARRGPTDWSSRLSIPDQDAVKGSILTVGSRWMRSFQHLVAVGGTNISKGLLVASEVLADRRYRNAVTSVILLSDGQDNLSGVGRNHQNLVPPLFRDAGSRPGSIHTFGFGSDHDAAAMHAIAEVARGTFSFIENLAVIQDSFAQCIGGLLSVVVKSGRYESSVDAEGRAASMDVGELYAEEERRFLLFVDVPRAEASEDVTQLVRVRCTYRNVATGGTAADVVVSDDAVVQRPVEVSNPEMSMEVERERVRVAVAEEIAAARAAAERGAFSEAGRILERQRYCMRMSAPAMAGDKVCLALEDELEELEDCMEDEKYYETTGRARVLERISSHAQQRASYGGVPGAAPQAYMTTAMESMLHKSKKSREKQSSPPPPKRKRGGSK >Sspon.05G0025280-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:30146895:30150770:-1 gene:Sspon.05G0025280-3D transcript:Sspon.05G0025280-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSCIRRTSAMSLLVVILLIVSLLTLHLPTACARHVVVLNPNNNGLDNRGNEVSENAQSTLIVGSRPRTVEMRAAAKHGDAVTEMYDMLRRDYASRASRRRPINNGATPLQRNVARISLLIRKNSFINADILDKMDTVIRRKNCVGQFDLYNILVIR >Sspon.01G0009680-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:28993330:28994916:1 gene:Sspon.01G0009680-2D transcript:Sspon.01G0009680-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGAAAPGVQTVVLKVAIHCHGWKKKVRKVLRSIEGVQNVTVDASQHKVTVVGTVDADTLIQKLYKSGKKGEPWQCHPPTKKTEPAPEAPPAPKPAGDGGKDAAPAAAAADKKPEEAVKPVKEPQGESSEEKKKKPEQEGGAAEKKPEAESKEAEKKKQPEQEGGAVEKKPEAESKKEEKVEAKKDGGDSKGAETKAKAAAEPAKEAAAPAPAPAPAAAANDKDEAKKSDKPKDAGKAEPAAVTTTERSLPTPPAPAPKHAYEHEYRHPYYAPQPVVSYHASHPSSSVSYTYFAPQAQPAYSTQQAHPHQAYSMHQPQPAYSYSTQQPQPEKQWSPSYLYMPYPHAAAPEPYYQQQDHYSPPGMHASPMHDSYRIFDDENPNSCSV >Sspon.01G0033560-2D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1D:114739021:114739587:-1 gene:Sspon.01G0033560-2D transcript:Sspon.01G0033560-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to Lysine decarboxylase-like protein [Source: Projected from Oryza sativa (Os03g0857900)] MDREHEQQKKKFRRVCVFCGSSPGKRSSYQAAAVQLGQQLVERGMDLVYGGGSVGLMVPKAVLPLELIGETPGELKPVAGMHQRKAEMARHSDAFIALPGGYGTLEELLEVIAWAQLGIHTKPVGLLNVDGYYDPLLAFVDKAVEEGFVTPAERSIIVDAHTPHDLLDKLQVQDDDDDDSSLAPDHIA >Sspon.02G0000300-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:1396681:1398293:-1 gene:Sspon.02G0000300-1A transcript:Sspon.02G0000300-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GEGNEPEEVAAPRGLARRSPRVTSHGAGPRSLEMRNVVPLVLCSSSMSISPTNALPRHMYSSTACRRRFNARDRRRFQRRRRDDPNNPDRQIALKPPRKFKPQTDTRVRRVADRRVRSLAEPARPVTHPEVLHGGQMRSTRGGAPGHIRSDVSGLDGSLLDRDRTLTLSRP >Sspon.06G0022850-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6D:36396708:36397102:1 gene:Sspon.06G0022850-2D transcript:Sspon.06G0022850-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LRMAGISLDENPNIESIIKKHNLEVDPVNNVRREKVKEAMLHAWNSYVKYAWGQDELQPQSKNGVNIFGGLGATIVDSLDTLHIMGLKDEFQKAR >Sspon.02G0039400-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2B:53474746:53484667:1 gene:Sspon.02G0039400-1B transcript:Sspon.02G0039400-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRAISIMRPTPVDPLVRSPLMQVCRCTLSDARLPQHTNGHPHAHACFCSPHVILGHRVTLSLTKQGVPTDASTTFPSLRIPRSPLLHAQARPAVASPFSSSLLFRRRFRSGLLHSPVPTTWCLLHHGAVEYLRTRHTPTRCSTSGCRNQRLALRLLSAGEATSWTVCLSIDIRNGATHHRGRGEQSIAVHLEEELARVWLSLGLSGCRGSPQECCHGTNGLCLLPFCFLSNVYALLPVFLSDVYGMDLCKAFLSITTAHNPGTHVNNAFKFDVIAPLWHILVMIGTWNVAGRVPSDDLDLDQWLCTQEPADLYVLGFQEVVPLSAGNVLGAEDSRPIQKWEALIRQTLNRSQNIKTICKSYSAPLSPLLRPVASGDGHEYTKSKPEDRVTGSLTQLRDWQTSKSKLHCNWFDETSSLEWPEYPLDTPSKVLVSGTGLRRVTSLGLFSTNFVENSRGLELQDVDLHAGMRRQYHSSGNLSMLWSEQQEKLDVLNSLDQLSDLASEEDSTFDDTVEDCATLGKRESSKHRANYVRIVSKQMVGIYVSVWVSRKLRRHVNNLEVSPVGVGLLGYMGNKCQGGARVRGVSRSGLLRSFFLSGMPWGSQIFWFGDLNYRIDLPDSEVRYLVAMKKWDDLLKSDQLTKELISGNTFIGWKEGLINFPPTYKYERNSTRYVAILGYLQPYGRKQETHFMVWVTHRRKVGHAKFRCSNRLRKKAEPVLSSTSFPHPAAPPLPQRPASSARHPILVAAAPSPHLLLRPAPGSRRWRLPKLARAAAPGSQRRWLPKVAHGGGRGSPELALGGNPRHSLLHPPPPLLPRRPAFSTPLAATLKIWVVGNAPARGHKPPPPRRTGAGHRRARKWHGRRHSAPPSCAAVSGHPLPSSPPPAPPRAVVWDRELRNGSRV >Sspon.06G0005830-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6C:18442429:18444216:1 gene:Sspon.06G0005830-2C transcript:Sspon.06G0005830-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At3g47530 [Source:Projected from Arabidopsis thaliana (AT3G47530) UniProtKB/Swiss-Prot;Acc:Q9SN85] MASSSPLARAHRPSTSTSSVAAALLASSSPIHPRRFLQLHAHLLRAGLLALSPATTTYAFLSLAAASLPSHRALTVLDHHLTAPSSLPSTFQCNSILRALSDPSDALRFLRRMRALGRRGNAFTLAILLKPRCALAHARQLHANVVAEGHLRDALLSTSLMSCYASRGDGDGARKLFDEMPVRDAVTWNVLISCHARNRRTKDALKLFEEMRGRDSGAEPDDVTCILLLQACTSLGALDFGEQVWAYAEEHGNGGELKVRNSLIAMYSRCGCVDKAYRVFCGTPQKSVVTWSAMISGLAANGFGHDAISAFEEMIRSDVAPDEQTFTGVLSACSHSGLVDEGFRFFDMMRCEYGLKPNVRHYGCIVDLMGRAGLLDEAYELVTNEMKVAPDATIWRTLLGACRIHGHVDLGERVISNLIELKAQQAGDYVLLLNTYAAVGEWSKVSEVRKLMQEKGIQTTPGCTTVEHNGEVHEFIADDDAHPRKVEIYEKLNEINKQLRIAGYVPNMSSELHDLNSEGKESALTYHSEKLAIAFALLVTPQHRPIRLAKNLRVCVDCHNFTKVFSGIYNRLVIVRDRTRFHHFQGGKCSCNDYW >Sspon.07G0002680-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:5493072:5494385:1 gene:Sspon.07G0002680-2B transcript:Sspon.07G0002680-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQLHHDTSGNCSIGQSESSGPTIAMSSSLYKQLGLSGAGSPLTGRHVALLILGTGFLALTVFVVHPNEFRIQSFFAGSSSCGGRPGPDAAAAAVASPHGATTTTEKEAAARAPAPAPDDDDVRVLIGIQTLPSKRARRHLLRDVYSLQAQEHPSVAGSVDVRFVFCNVTSPDDAVLVALEAIRYGDIIVMDCAENMDNGKTYTFFSTVARAFNGSTASRQRRPRYDYVMKADDDTYLRLPALVASLRGAAREDAYYGLQMPCDTENFYPFPPFMSGMGYALSWDLVQWVASSDLARREQDGPEDMWTGRWLNVAGKAKNRYDNAPRMYNYKGASPDSCFRHGFVPDTIAVHMLKDDARWAETLAYFNATAGLSRSGGLYHWPLPAASP >Sspon.06G0002220-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:5636746:5642014:1 gene:Sspon.06G0002220-2B transcript:Sspon.06G0002220-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGISRPLVAVVLALLIAVAGATAEEPVVAADSVAGAVEAAAALKAEEAAEAAALRAELGQLRAKISALESDIAERSQVLKNKDDAIANLEKAIEEKSKAITSMQGEIASLQAKGSVAAEELANKANAKAVELEKQIDKLKKDIEAQSSQKAALESRANDAEKKVEELNEKLNTIQKESDEQKRKIKKTERALKVAEEELMRLQLEATAKAKQLTEVHGAWLPPWLAAQYAHYVEVVSGHWNEHGKPAVHNVLHKASEKSAQAKKWAEPHFETAKMKWIPVKEKLVVLKKNAEPYVQKVSTRSVEFYESSRDAVTPHVVKVKEFAHPYYQEVKKFSKPYIDQIAEITKPHVEKVRTTLKPYTKRAVRAYGSFLESATTYHRQAQASIMDYLHQHDITKSFATKELVWFLASALLALPVFIIYRLLVETFCTKKNKRPRGGNGNHGHKRHKRRHADK >Sspon.08G0012440-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:49761391:49766202:-1 gene:Sspon.08G0012440-2B transcript:Sspon.08G0012440-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASHCGSIHDQEEASAPGADLYAVLGLNRECTDAELRVAYRRLAMIWHPDRCSASGSSALVEEAKERFQEIQGAYSVLSDSNKRLLYDVGIHDSEDDEADLSGMGDFLGEMADMMSRATPTETFEELQQVFVDMFQDDLDDAGFFGGLPTTRRSSQAPASTSLPPAVSSSSPLRPTPAGRNKGAQAPSSSFKGVERRGSTAKRPRPGSAGLGPDLGLSGFCFMVSKSKEREAAWASDDGDKRSGSKQRLSTSRDVSGGGMSRSRQGQSSRNLWQCMASKS >Sspon.08G0021450-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:39275684:39277203:1 gene:Sspon.08G0021450-1B transcript:Sspon.08G0021450-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLTPAEGQRRPHAVSTTGACSTRAGPTRSTACPGFRFDAIPDGLPPSDADATQDIPALCNATMTKCLPHLLSLLAKINNGDAGADAESSPPVTCLVVDAIMSEIGVPVVAFLTIGACGYMGIRNFRNLIDLGLVPFKHEADLADDVRGGGHLATVVTGAYGMCDDVQLRDFPSFIRTTDRGDVMLNFLLHESERISLVPDAVIINTFEDLERTTLDAMRGVLPPVYPIGPLLLRERHEIPAGSPLAGLGCNLWKEQEGVLEWLAGRAPRSVVYVNYGSITVMTNSQLLEFAWGLADSGYPFVWNIRPDLVRGDSAVLPPEFASAVESRALLTTWCAQEAVLRHEAVGVFLTHSGWNSTLESLCAGVPMLSWPFFAEQQTNCRYKRTEWGIGMEIGGEVQRDEVAAILKEAMHGEKGREMRRRAEEWKEKAAKAALPGGPAETNLDTIIHTVLLAKNEGKIVDA >Sspon.04G0029350-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4B:71782541:71782981:1 gene:Sspon.04G0029350-1B transcript:Sspon.04G0029350-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MWMLPLDTCWLCDASVWMLPLCACCHLNLATRWSALKWCWAPAATGTCLLVCLPDDCCWPPAAECYYY >Sspon.05G0028700-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:72010102:72014696:1 gene:Sspon.05G0028700-1B transcript:Sspon.05G0028700-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGPFRFLPEEVKEMEKRLFPVTSRRLDHILMDELAVKFSYFRGLAGMTPVKSKQVLNWFNNNRNKHCPKRAREAHAPASTREFWANHQQARGSSLSKLKPTVTTHAGSSSSSGNNYIDDHTKYEAKSARDGAWFDVEDFMAQRFCESGDLELLVRFSGFGAEEAEWINVRTCLRQRSVPYKTTECANVHCRDPVLCYK >Sspon.04G0000620-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4D:22268984:22269682:-1 gene:Sspon.04G0000620-2D transcript:Sspon.04G0000620-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPMERIETIHKHITEPYEEAEKCLRTKYNGIKAVTKALLPLLQSSSHGRIVNMASDYGLLRFFSGDELKEEINNIGCLSEQRLDELLFLEDFKDGQQEARGWPTGGFSAYKVSKALVNAYSRILAKEHPSLCINCVHPGYVQTDMNFHAGNLTVEEGARGALMMAMAPKGGVTGAYLDKTEVASFV >Sspon.02G0002000-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:6598869:6609181:-1 gene:Sspon.02G0002000-1A transcript:Sspon.02G0002000-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin reductase [Source:Projected from Arabidopsis thaliana (AT2G41680) UniProtKB/TrEMBL;Acc:A0A178VZE7] MRACVRAFRTEDDPDPVPDEPRAAADGAELRGFLRRFCEEGRVVVGQADRRREAEAKCMERDIVARKQISLDNFAIQSIRSDLPKLQFHGVWMIHNLLHEEPSRTLLLSKLHKDANAIARLISVLEWRSPKDAAIRLFAAKVTVVLAGTFHVVSIPGAMQAFSALLDADSEITKAADDLIPKIIQFTSYRNDGNDMQEQNTNEAAVAQKKRFLMESALQFLGRLWSISGKIGATLRHKLKEYPILLTNLSAISEDRFSSQKARKLAVVIRSNLTIRAPMSLQAAAGAVDEETPASSPPSDASKGVENLVIIGSGPAGYTAAIYAARANLKPVVFEGYQVGGVPGGQLMTTTEVENFPGFPEGITGPDLMDRMRKQAERWGAELHQEDVEFVNVKSSPFVIRSSDREVKCHSLIIATGATAKRLRLPREDEFWSRGISACAICDGASPLFKGQVLAVVGGGDVATEEAIYLTKYARHVHLLVRKDKLRASKAMQDRVLNNPNITVHFNTEAMDVVSNDKGQMSGIHLKRRDTGEESVLKVKGLFYGIGHTPNSQLLQGQIKLDSAGYILVKEGSAKTSVDGVFAAGDVQDHKWRQAITAAGSGCIAALSVERYLVANDLLVEFHQPVQEETKKDITDKDVEMGFDISHRKHKGQYALRKLYHESPRLICVLYTSPTCGPCRTLKPILNKVIDEYDEFVHLVEIDIEEDPEIAEAAGIMGTPCVQFFKNKEMLRFRRRGPPKQPAHPAASAAAARRAAEQEAEAEAEARQLVGCAVPLFRPYVAQLPWHGGARAWLSKLFPRYGHYCGPNWSSGKEAGSVLWDRRPVDHLDFCCYCHDMAYDTHDQAQLLRADLAFLRCLESSRRTPARDGIAAAAIYRAMCIFGEPNSPLPILALAVALKPSMSIE >Sspon.01G0031340-1P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:107877689:107881299:-1 gene:Sspon.01G0031340-1P transcript:Sspon.01G0031340-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHSRGELLLMLDADGATKVTDLEKLEAQVLLAKKAKSSPGPSTSSSQNVSDVEIAVFGSRAHLEKQALATRKWYRNFLMKGFHLVVLLTAGPGIKDTQCGFKMFTRAAARKLFTNIRLKRWCFDVELVYLCKRLRIPMIEVSVNWTEIPGSKVRMTSIMHMVFELLLIKLPMRTAAAPRLAAMRSAKCRRRLSTAPAWRHPPSAARAAEQHCLRLLERSSAPSAVLQSLAFLLKSGLHANPLVLTRLFTSSASAAPALLEPLVATLLGPSVPMDAFLVNTLIRAHAASPLPSARLRATAFFPLMLRSAVLPNKFTFPFLLKACAALPGSPGVGLQAHAAALKFGFATDQYVSNTLIHMYSCFGGEFLGDARNVFDRMAKSSAVTWSAMIGGYVRGGLSSDAVGLFRKMQASGVRPDEVTVIGVLAAAADLGALELARWVGRFVEKEGIGKSVTLCNALIDALAKCGDVDGAVAVFQGMEERTVVSWTSVIDALAMEGRGKEAVAVFEEMKTAGVRPDDVAFIGVLTACSHAGMVDEGYGYFDSMKMEYGIDPKIEHYGCMVDMFGRAGMVERAMEFVHTMPMKPNPIIWRTLVAACRAHGRLELGESITRNLLHEYPAHEANYVMLSNVYALTQRWKEKSEIRREMSKRGIKKVPGCSLVELDGEVHEFIAGDESHPQWKEIYMMVEEMARELRRVGHISATSEVLLDLDEEDKEGALQWHSEKLAIAFVLLRTPPGT >Sspon.06G0021590-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:17663692:17666301:-1 gene:Sspon.06G0021590-1B transcript:Sspon.06G0021590-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ARTRGPGPRAHGRGVENPLRCFLGRTPHVALAASVSVRSSGAIEKPRHAPPLLPCPFSTTEGEREEGRGRALFRVRLSAGRCRCSRASRPRFPLPQRAPGEPGKGAVKSPSRREHARSDMASTVTFSSVSAQAGLIQKPRNLGATSYAGLKVPSFGSESSFLGRNVSLRSAVTPRIVPKAKSGSQISPQASYKVAVLGAAGGIGQPLGLLIKMSPLVSELHLYDIANVKGVAADLSHCNTPAQVLDFTGPSELANCLKGVDVVVIPAGVPRKPGMTRDDLFNINASIVKSLVEAVADNCPEAFIHIISNPVNSTVPIAAEVLKQKGVYNPKKLFGVTTLDVVRANTFVAEKKNLKLIDVDVPVVGGHAGITILPLLSKTRPSVTFTDEETEDLTKRIQNAGTEVVEAKAGAGSATLSMAYAAARFVESSLRALAGDPDVYECTFIQSEITDLPFFASRVKLGKNGVESVISADLEGVTEYEAKALEALKAELKGSIEKGITFANKQQEAAAV >Sspon.05G0020710-1T-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:5C:85492815:85493828:-1 gene:Sspon.05G0020710-1T transcript:Sspon.05G0020710-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MELGDGSERAAKRQGLSSSDAAAGEDRLSALPDDVLVLILLKLTTRAAAQTRVLSHRWRRIWALLPVLRFTSPPEPHRLRDALDRHKVLLRDLLVGADGATAESLAVWLPAAARRVSGGLTLKTYGPVPVEGAGHDDGVEEEAAEGGAFELLCFEQATKISFFLGFQGLAVPLTGVFARLTRLHLSRVWFHGSGELGDAVSSLRCPCLQRLTIEYARGLRDLAIHSESMLRMELRGLCALSRLTVVAPALEELTVESCFRKSQPVANISAPLLTRLEWSDEYDPSSVHIGKMAHLQWLRTRFYLVYGNGGVLHNQSCLSLLQRCEGIKSLFLPLMYLQ >Sspon.01G0020430-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:18159859:18161004:-1 gene:Sspon.01G0020430-2B transcript:Sspon.01G0020430-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding QMAFHRTMARCLWAGKNAAASGAGAAIPKPAAPHPPARRSLPAVDDCPTLAFLRPKPTAVGYTTATVPVPAHCFPALPVGDHLFHRLQLDGLIPPVSTTARPPPEHAGVTVEQARKVARAAEMEVARARLRSNAQSVVSMSEFAALCVDIAGGVEGGRRLGRALDESGGVIVLGDAVFLRPDQVAEAIGSILPVKQQLQPAARAGDGSEAARKRELEAMEAQKAAIDAAAAAQVRRELWCGLGLVATQTLGFMRLTFWELSWDVMEPICFYVTSLYFMSGYAFFMRTATEPSFEGFFRSRFASKQRRLMRARGFDVHRYNALRKQQGLLPLGDPDALARSAMYNR >Sspon.07G0019500-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:76389750:76396117:-1 gene:Sspon.07G0019500-1P transcript:Sspon.07G0019500-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRKASSNSDARTKWRKRKRVAASASPSKQPADHSDDSDTAAAANGDDEASRAASANGGGGTLAGGGDDDPVLDLRAAEVLSSSAEPVSAFPAAVRRAVGRPHPSVLAVIAAERGAASSDGTPATPAPVPVLENISNGQLQVVSAMLPDHPSLSYDPDKPSTYVCTPPPLMEGCGVHKQFYGKLHIVPRHSDWFVPTTVHRLERQVVPQYFSGKSQGQTPEKYMMLRNKVIAKYLERPGKRLVFAECQGLVTSTPELYDLSRIVRFLESWGIINYLATGSVHRGLRMAASLIKEETTGELQLVSAPMKSIDGLILFDRPKCSIRADYISSSMSTSSAPFVANGDADSANLDEKIWERLSESSCSFCSQPLPSLHYESQKEADIALCSDCFHNAKFVTGHSSLDFQRVDGMKDGSDTDGDRWTDQETLLLLEGIEKFNDNWNHITGHVGTKSKAQCIHHFIRLPVEDGSQPGNQIPFINSANPVMSLVAFLAAEVGPRVAASCASAALSVLTRDDSRMHAEGIDAMGHATHLNYGPSSSISSETVKNAAICGLSAAATKSKLFADQEEREIQRLSATIINHQLKRLELKLKQFAEVETMLLKESERLEAMRQQLVTQRVRLLSTRFTSTGGTIPGGSSSMVSNPMNQATGLRPLMMPGSVSQSSMPAMYANNMQGHPQMALLQQRQQMLSFGPRLPLSAINPGSSSSTPNMMFNPGMPNSAAPNHHPLLRSPSGNNSN >Sspon.08G0002040-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:3386427:3386813:1 gene:Sspon.08G0002040-2B transcript:Sspon.08G0002040-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEYQQVQQQHQGGRGSNKIRDIVRLQQLLKKWKKLATVTPSAASGGKGGGRSSVPKGSFAVYVGEEMRRFVIPTEYLGHWAFAELLREAEEEFGFRHEGALRIPCDVGSFEAILRLVQQGGRKKEPAA >Sspon.02G0020140-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:65630654:65638075:1 gene:Sspon.02G0020140-2B transcript:Sspon.02G0020140-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Granule-bound starch synthase 1, chloroplastic/amyloplastic [Source:Projected from Arabidopsis thaliana (AT1G32900) UniProtKB/Swiss-Prot;Acc:Q9MAQ0] MGTAEGLHSQSMRSKTQLAKRRATNNGIHPKTTRPRAPIVCSTGMTIIFVATEVHPWCKTGGLGDVVGGLPPALAAMGHRVMTIAPRYDQYKDAWDTSVLVEVNIGDTVETVRFFHCHKRGVDRVFVDHPMFLEKVWGKTGAKLYGPTTGSDYRDNQLRFCLLCLAALEAPRVLNLNNSEYFSGPYGEDVVFVANDWHTAVLPCYLKSMYKPNGIYANAKVAFCIHNIAYQGRFARADFDLLNLPDSFLPSFDFLDGLVKPVVGRKINWMKAGIIESDLVLTVSPHYVKELTSGPDKGVELDGVLRTKPLEIGIVNGMDVYEWDPATDKYISVKYDATTVTEARALNKERLQAEVGLPVDSSIPVIVFVGRLEEQKGSDILIAAIPEFVGENVQIIVLGTGKKKMEEELTQLEVKYPNNARGIAKFNVPLAHMMFAGADFIIVPSRFEPCGLIQLQGMRYGVVPICSSTGGLVDTVKEGVTGFHMGSFNVECETVDPADVTAVASTVTRALKQYDTPAFHEMVQNCMAQDLSWKGPAKKWEEVLLGLGVEGSQAGIDGEEIAPLAKENMSQSKDERANCTGHRKFSVKNSKAAKVCRN >Sspon.04G0017070-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:62128019:62133040:1 gene:Sspon.04G0017070-1A transcript:Sspon.04G0017070-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEKRGLEDAGGAGAGAGDGRPDAKRARPPALASVIVEALKMDSLQRLCSSLEPILRRVVSEEVERALGRLGPAAIGGRSSPKRIEGPDGRTLQLQFRTRLSLPLFTGGKVEGEQGAAIHVVLFDAGTGCVVSSGPESSAKLDIVVLEGDFNNEDEEGWTGEEFDSHVVKEREGKRPILTGDLQVTLKEGVGTIGELTFTDNSSWIRSRKFRLGLKIASGFCEGVHIREAKTEAFMVKDHRGELYKKHYPPTLKDEVWRLEKIGKDGSFHKRLNKSGISTVEDFLRLVVRDPQKLRSLFADALVKKAYDNWTYVIEYDGKGLLNPKPKKKATSTGQAETHAPVGAPTSYQQHLSSTSMSGPSPSGGTDSVGYGVDQSATHPSQLQSSSANVQSAIVQPPYDDTFSFLPPNMLTGSANDAMGLELGQLQQVISQGQAIQPIQPANVGYGDWPRNRDSQYADDFTEDIRVKSHQMLESEDMQQLLRVFSMGGASGSLPDDTFNFQSYMPSPLPNLGFETERSHSSGKAVVGWLKIKAAMRWGIFVRKKAAERRAQLVELED >Sspon.03G0027170-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:4938299:4938811:-1 gene:Sspon.03G0027170-1B transcript:Sspon.03G0027170-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AMDCPKLKFLPYPPRSVVWVVGNSDHVLPENGFGNLSSSTSPFFLGVMDASLSSEGWRRAQRLSLIEGLHLDSIAGLRNLLETLPEWLGDFTSLREIRVKNCPELSSLPESIRDLTELKKLQIIDCLELLEKCKGEDKHKIAHIPEVTCEE >Sspon.04G0027750-2P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8D:17403485:17403910:-1 gene:Sspon.04G0027750-2P transcript:Sspon.04G0027750-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVPVDYTGDASALTAAHCFLEPDPLAEAWCVGAGPPVGLVSIDPMLDELAASLVVSRPAVAPAPGCLHATATVSQEVQALLVDTCSPVTAPQGTTDPPPSSPV >Sspon.05G0010370-3C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5C:20802454:20804372:1 gene:Sspon.05G0010370-3C transcript:Sspon.05G0010370-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQKKVMRSQSYLSPWRGSAARRRWCQAAARPTTVLVIAVTVFAPLLLAVVLFGFRVTPSGANSTWVSAGVRVVLKAVSDQGTSGPGPLATVPDPSDRLLGGLLSPDFDENSCLSRYSAALYRRPSLHAISTYLVSALRRYESLHRRCGPGTPAYARAVELLRANASFATSSSAAASGSSCSYAVWTPIEGLGNRILSITSTFLYALLTDRVLLLHSSGDDLHGLFCEPFPGSTWILPAADKDNKDDDFPIRSIEKLTDWWHHDSLGSVLRRGEDPGMAPWLYVHLRHDYTKDNRDQQFFCDDVQAKLGTVPWLVFRSDNYFVPGLFLMPRHEAELARMFPRRDVVFHHLGRYLFHPSNTVWGMVTRYHDSYFAKADERVGIQVRRFYWAPISTDDLFGQILNCTQREDILPGAAKGGSTAGGQPGKQKAVLVVSLHGEYSEKLRDLYHEHGAAGGEAVSVYQPTHLGSQRSGEQQHNQKALAEMVLLSFSDAVVTSAVSTFGYVGQGLAGLRPWVLTSPVDKKAPADTPCRRAATIEPCFHAPLDYDCRAKAKGDAGRRVRHIRHCEDFPR >Sspon.02G0037000-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:28725480:28731192:-1 gene:Sspon.02G0037000-1B transcript:Sspon.02G0037000-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIARRLFLAAPALLMVLLLLVAGPAAAQDAAVEGVAPVAEEIAANARAKEAAVLAAELGQLRAKISALAPSSRTSSDQKRRIQKTEHALKVAEEELMKVQLEATTKAKQLGEVHGAWLPPWLATHAARSVEVMSNHWNEHGKPAFDSLLQKASEKSAQAKKWAEPHLETAKTKWMPVAKEKWNILKKNAKPYVQMVSEKSLEVYQTSSDFIRPHLVNAHQVADPYFQEAKKLSKPYIDQIATVTKPHVEKIRTTLKPYTKRARHVYGQFLETATTYHQQAQATISDYLHQHEFTKQFVTEELVCIKKQKKKTSRSSNANHALASTRCKSLSSTFGCCGLEKV >Sspon.01G0011360-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:31395431:31399642:-1 gene:Sspon.01G0011360-3C transcript:Sspon.01G0011360-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine protein kinase, Hyperosmotic stress respons [Source: Projected from Oryza sativa (Os03g0390200)] MERYEVIRDIGSGNFGVAKLVRDVSTKELFAVKFIERGQKIDEHVQREIMNHRSLKHPNIIRFKEVVLTPTHLAIVMEYAAGGELFERICNAGRFSEDEQVCHRDLKLENTLLDGSVAPRLKICDFGYSKSSVLHSQPKSTVGTPAYIAPEVLSRKEYDGKVADVWSCGVTLYVMLVGAYPFEDPDDPKNFRKTITRILSVQYSIPDYVRVSTECRHLLSRIFVGNPEQRITIPEIKNHPWFLKNLPIEMTDEYQQSMQLVDMNTPGQSLEEVMAIIQEAGKPGDAVTHAGHLPGLGSMDLDDIDSDDIDDIDIENSGDFVCTV >Sspon.03G0021610-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:88367457:88372487:1 gene:Sspon.03G0021610-2B transcript:Sspon.03G0021610-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPPLERNPTKKRHSWWWDSHISPKNSKWLAENLEEMDKQVKEMLQLIEEDGDSFAKKAQMYYQRRPMLITHVENFYRMYRALAERYDNVTGELRKNIPTRLQSTTSSEYGSELQRSPSPSPEPLQRSWTREQSPRAAGFDFFLSNRNNDSPASRKEPEDLASQSESDAKSEDGEDDGIAYTLHQRVLELEDELNTTNQKLQDANEKLEVLEEKSLKCHCDYKANGNGAEQTTEVSDKERELEAEIVNLQEQVDSARRRFEEALSERNGEISKLKQELADASEKLQQEKYASGLQVKELEATNAEADKYGHELTQAAEKLSEEKFRHEAEILTMRQNIEDLKSGIESLAREKSLLKSCRGSSRAGGHHRSTTMVPSAAAPTSTNREQATMKLKPQVDEEKRNKQIIIPRALQWIMAASSSSPPTLTIEEANRKSGMRRAGFWFCAVYILPLYIGVASFLAYNFHRNDHIFPRSAPWRPTAVVAWGVHMALVMELFFFMSLYLPRAPVALRDAVVNVGVGCVGVPLGWIVVLAACLGGHTWMCVILAFVFAVVFTAVLALW >Sspon.03G0002260-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:5511511:5513834:1 gene:Sspon.03G0002260-1A transcript:Sspon.03G0002260-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLPVEVVGNILSHLGVARDVMVASAVCRKWRDACRRHLRSLSFNSDDFPRDMTTRQLEIVITQTIFQTMGLQCLSIHIDNTHEFSAAPVIAWLMYTRETLRSLSYNVRTIPNVNILEKCGRQKLEVLDLDHNTITGVEPSYQRFTCLKSLSLRHVSISALDLSLLVAACPRIESLALDVLEVVTSQSTMELTSHTLKSLYAKSVGVDKIILDADNLEVLHLNALNLDLFELNGKGTLKHLKIDDVSVTHLDIGDNTDHLEAVDVSNFTIVWPKFYSMISRASNLRMLRFWGVVFDDEDEIVDSETIAVSFPLLRHLSLSYELRDGLLHYSLQGSSPLENVSVLELACLYLPLNWSCNGTECVRERVTLSSEESVAKHERQRLRCM >Sspon.02G0024210-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:87499653:87505268:-1 gene:Sspon.02G0024210-3C transcript:Sspon.02G0024210-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:F20D23.9 protein [Source:Projected from Arabidopsis thaliana (AT1G17210) UniProtKB/TrEMBL;Acc:Q9SHI0] MREEVRSSSAPPDPPPGRSASPPPTPAASSAGASSPPAQTNVASIDWLGSDQVSKVGSSHIAPPTSQPALSTNANGAAADCFQSSCRPWERGDLLRRLATFKPSTWASKPKAASSLACARRGWVNIDMDKIECESCGAHLIFTALTSWSPAEVASAGEAFAEQLDASHQNDCPWRGNSCADSLVQFHLTPSALVGGFKDRCDGLLQFTSLPAIALSAIESMKLTRSVQIDRVLSQSVTILSGELGYRTDSTTGIDIGQQDETCCYSQAQKLISVCGWEPRWLPNVQDWEENSTRSARNAGSAEPDGQFHSQIPEHHQNSYSASVKKDKGKGKMRVKDSGCSMRSPLLDCSLCGATVRIWDFRSVPRPSHFSLNNIDMPDTGRKPVLIRGISATSGINGLVAEGAERENVEGRGEAGIDEGKSLSNAQVDLNLTMAGGLPSNYSSLPPMPGHLNYGGMGRDLIIGQPTGSELGGHAASFESRGPSSRKRNLEEGGSTADKPINRLQPADSIEGTVIDRDCDEVDDAAQDSGARSKRLRGFNLFDINRPSSSGAGPSRNLSLDLDIDVNKFDTSNAEGPSALRNPFPKDSMRASSVIAMDTVHGAEENSMESVEYHPCDVDDVNKPSSALRSGVMSDTLDLNYSNQAQQSSFVQPAAETESNAREIGGSSMNGGEEVLNAETTPAFARDQLSLGVSGGSVGMGASHEAEIHGTDVSEHKTGSVVGDADPIPELTETMGHTGESAPGLL >Sspon.04G0000650-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:22230070:22233265:-1 gene:Sspon.04G0000650-4D transcript:Sspon.04G0000650-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCKACDKPKPNYRKGLWSPEEDQKLRDYILLHGHGCWSALPAKAGLHRNGKSCRLRWINYLRPGLKHGVFSPEEEETVMSLHATLGNKTDNEVKNYWNSYLKKRVEGKEGPSTSPAPAASNSGAAADSDDSHCVKPGDDGTAQDAAGANRPADSAGSSEPRESSSADSSCLTDPPACRPHAAPVAAPKVMFADWLDMDLDTDYYMGGGGPPPAAAAPGLGAAAAAGVAGTGDGDHPQVMSQGSVQQVDGPSGVDVSLHGFGDSGASCWEFQEHFDDGIDQMQTAGFCDLLSMSDYFGLN >Sspon.01G0018350-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:68489612:68492977:-1 gene:Sspon.01G0018350-1A transcript:Sspon.01G0018350-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCGPSIGPPLADSTWHAATCAVVIVLFSPGKALSSPEPSEGRVLAAWSRTFHKPLDVSRRARTAGIFRGPATSTGRPSPDFTGHFPHFTAANISHLLSPFHFASSLQHLPPNPRRNILDRRPEHLIRSSSCFGLRAAIGALGSREPGSWAWAAAMDPSVAQGIVKEELLEQHQPMQDGVGGGGAAPRPMEGLHEVGPPPFLTKTFDLVEDPATDAVVSWSRAGNSFVVWDPHVFADAMLPRLFKHNNFSSFVRQLNTYVSPPFFFLSFEMIGFRKVDPDRWEFANEGFLRGQRHLLKMIKRRKPPSAVPPSRQKQAPASCLEVGEFGFEEEIDRLKRDKNILITEVVKLRQEQQTTKDHLRAMEERLRVAEQKQVQMMGFLARAMRNPEFFQQLVQQQDKRKELEDAISKKRRRPIDNAPFYSPGETNQGEQLDSQFMFESDVLNGLSEPGMPELENLAVNIQELGKGSIDGGKVAQASDQSELNDDFWAELLVEDFGDEAGQLELEGRTEDVDDLAQQLGYLSSSSPK >Sspon.05G0002820-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:8409486:8412614:1 gene:Sspon.05G0002820-1A transcript:Sspon.05G0002820-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable receptor-like protein kinase At1g30570 [Source:Projected from Arabidopsis thaliana (AT1G30570) UniProtKB/Swiss-Prot;Acc:Q9SA72] MSPFVLAVVSIAFLNLRFLQAHGSELLLSCGSNGTVDADGRRWIGDMTPQGNFTLSSPGLAASLVGKSNSDEVFGPLYSSARFFDAATWYTIGVLPGSYCVRLHFFPSTFGNFSANNSVFDVTANDFKLVSKFNVSEEIVWRATVSNSVISAVVKEYFLVVGARGLNIEFDPRPGSFAFVNAIEVMLTPDNLFNDTVSKVGGAGMQLPLGLRGRGVETMYRLNIGGPALKSASDQYLHRPWYTDEAFMFSTNAAQTVSNVSSIMYVSSNDSSIAPIDVYETARIMGNNMVVDKRFNVSWRFYVHPNFDYLVRLHFCELVYDKPGQRIFKIYINNKTAAENYDGWGINKAYHEDFFDNSTQQADSLWLQLGPDSMTSASGTDALLNGLEIFKLSKDFDLSYVLGHIDTGNERGSSKGGKNKGLWEEVGIGSASLVAVTSVVLFSWCYIRKKRKAVKKEAPPGWHPLVLHEAMKSTTDARATSKSSLARNASSIGHRMGRRFGIAEIRAATKNFDESLIIGTGGFGKVYKGEIDEGTTVAIKRANTLCGQGLKEFETEIEMLSKLRHRHLVAMIGYCEEQKEMILKFGEIAEKCLADDGRSRPSMGEVLWHLEYVLQLHEAYKRNVESESFGSGELGFADISFSLPHIREGEEERHSKPSSIREELDTESRSEDSNS >Sspon.01G0052840-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:27044988:27053396:1 gene:Sspon.01G0052840-1C transcript:Sspon.01G0052840-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GVHHKCVGRVGTARPCSHFTDHSGACEKRRSLGAGTQEKAAEQLALPPRRLSLFLGFSLSRGIARPSPVLAPSGPALSPSPPTPPHFPSHPSVPWPSPFWVLGSSPGWFGVGRRRRRSWEGEGLRYPAGGSLGALSAAGEARGEIYEGRCGVLAGPAEDMGFLSAKLLPSCESMCVCCPALRPSSRRPVKRYKKLLAEIFPKTPDGAPNERKIIAKFLEQRSHKELRSAHVNFVRIITEAYSKLLFICKEQMAYFAISLVNVLTELLESKQENIHILGCQTLANFINSQVDNTYARNIESLVHKVCALSRQQGEEHRLLRAASLQCLSAMILFMKEHSYIFADFNEIVHSVLDNYRMEESNGGGDDRHALQHNWVDEIARSEGRPGVGGGNDVNINTTTIRLRPARNSSALTRDERDSPEVWSHICVQKLAELAKESTTMRRILDPMLSYFDMKKQWAPRHGLALLVLSDMAYLEKSSGNEQLILTTVIRHLDHKNVSHDPQTKSDIIQTATSLARQLRSRGFAVELVVAGDLCKHLRKTLEAVESGNVEDQNLNESLQNFLEDCLMEVVRGNLPSMPTVARATLGSLLILSHIISLTSVSSNSPMVFPEALLQQILKSMIHTDIDTRVGAHHMFSAIIVRGPSHLRSESEYLYETKKQSRTTSVFASATALLEKLRREKESLSSDKTRNIMHDDVKEMHDEDKRKNPAYFSKLVSSFIERCAKRSSSVEETNIAMLTEDQTNQLLSSFWIQTNQTDNTPFNYEAIGHSYSLTVLSSRLKDSSNGNIIQFFQLPLSLRSVALTPSEVLPASCQRSIFTLAVSMLAFAGKVCHITELSDLLRCFLSSKMDPYLRIGEDLQLYVRLQSDLGSYGSESDQEVAKSMLSDCRTKVGINDQRVLDVIASALSNFIEMGKDVLTKELTEMFTPEEMPLFGSNSALDWANFNAQAFSDESLSFDEESSRTSSVDCGLHESPITNTASSISKITLPQSVPHVLGVGQLLESALHVAGQVAGASVSTSPLPYGTMTSQCEALGLGTRKKLSSWLVNGHESTPDNPMPSLPTAHHSAIPKVNPAMFRTSSEPCSAVKLPPASPFDNFLKAAYRTQPEL >Sspon.02G0030890-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:113244294:113247920:1 gene:Sspon.02G0030890-1A transcript:Sspon.02G0030890-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding NMATLIEDYRAGDRRETETDRVTDARVSETSQHIQNALGGKFSHAKNKIERRFLQLLPVAGVALGSLGDMHTNGTVGSERTARPTTQAHTQAGVRWKGTAVKRQLVTTCGAPKTISSSWLRPKEREGKGSRGSRGDERGASKHPILSLDFGGLTDHPRPWLDCNPLLQFGSHLRRIAVFPQPVWSLIPAAALSRSLVLLEKSSRYPPISHHHPPGAPRAQERMAEPGDAAAFIGDAPFEVLKALLGRLSPKWILRMRSVCRAWRDKLCNRALLTLLHRAEPPQPLLCFDRVACPDRYIHLSDYCVESLDLRSGKLRAVFRFTDNEDYFIDEYDLEGRDAPPIIDDLVDYERMKSYGDAILKPHVTVHASLDGYLLVSFSSRRRWYIINPATRHWVSLFDPTTFDLDVIGFYEHGRTGKYHVLCLSRRYTVRAQEAPTCSYHVIEVRPAQRRHIGRPLSPAVREDHGLRSGVERASISPPIQWKRGNMDLLWPPQQSQGYHMLVFDTWNEKFSWTRPPPVAMADDQDMRLLEFPDGNLGLSVSRENEATLDLWCLQDYRTEVWVLRHRIQLALQQMPALHLHENRPWIPAVVSAEGDVLIESRRGLFHCDRNGNLLHRFWFNQPWSGHRVLPIRHVLRKSLVQHPMFRPRPSNNAAEPPFFRWLCSDPSCSW >Sspon.01G0025300-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:89557402:89560828:1 gene:Sspon.01G0025300-1A transcript:Sspon.01G0025300-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRSSGRSAPRPAPRAAPVRNPPQPARQAPPPAPVRDGGGSILGGIGSTIAQGMAFGTGSAMAHRAVDAVMGPRTIQHETVVSEAAAAAPAAPAMNTDACGIHSKAFQDCLNNYGSEISKCQFYLDMLNECRRGGVSA >Sspon.05G0024230-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:13248900:13251139:1 gene:Sspon.05G0024230-1B transcript:Sspon.05G0024230-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAVVEVDAGQEAKRGKATDEEGQRTPPPQPVEVARKPRQPTPDHPPYCWMIGEAIDALAEDGGSSEDSISAFICARYPGVPPAHDRLLRHYLGKHVAEGIFVRTAAGRYERCPEENADEELPVEQAEAGSCKPASVEAKRGRGRPRRDGSSWTPPAGSSLTLAAAAKDSVPMSLVAVADKDGSQAPSLKPKRRRRLRRQGMATTTDSSGEALVAGKKNGSEVPYTLDKEHEPPRELGLVILGDSSAASTMDKACTEVSPTTPPVGGDQPLDLALMTTTEVPVPEPAPTPTPTPAMDKDGGQPLDLALVTTTEVPVPVPEPAPTPTPAMDKDGDQPLDPALVTSTDVPVSEPAPTPMPDMDKDGGDASSVMDKNESIWTTPTAPEPGSQACKLALMAAAAAGFVPVLVANKKGGLKEAPSATYKDVLQPRKAGSAPTAGTKAGGKALSATPKGCRRQHKSAAVATGDRSALTPGKKLGCKVSFASPKLTPVIAGDCPTPASVADQGGMEASAALKQHGQPRKLMLKKKPRKLYPVTADEISDDPGIVLLALPSQTLAASNA >Sspon.04G0019760-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:69711848:69712060:1 gene:Sspon.04G0019760-1A transcript:Sspon.04G0019760-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding EHSEDVVAGGGGLAGDPGGEADQQRQTGRGNRGDPDRHHGGTGVQRQARPRLLRRRQLSGARRVYSRRRLG >Sspon.01G0009670-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:28881451:28882502:1 gene:Sspon.01G0009670-2D transcript:Sspon.01G0009670-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQFALNLEYTEAEFFLHAAYGVGLDHIAPKLALGGPPPVGARKANLDEVTWRIAAEFGLQEVGHIRAIQRTVGAIPRPLIDLSAHNFARVMDEAFGHRLDPPFDPYINNLNFLLASYMIPYLGINGYVGTNPIIDGYEAKKLLAGLLGVEAAQDAVFRARLFERLGDAVPPYRNITVAEFTDRVSALRNRLGRCGVKDEGLTVPRELGAERAICTNVLSADRDSLSYARTPAELLSILYLTGDERVPGGFYPEGANGRIARSFLGKPHGDAHE >Sspon.03G0002130-3P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:16726986:16732132:-1 gene:Sspon.03G0002130-3P transcript:Sspon.03G0002130-3P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEDKEYAPVPLGQAAAEAAAPDPEDPVKSPPRPSSPGTSTRKACFAVLQSWVSRKFMTGWYASQGLIQTLCTGYNVSMLISSFVSLLFLCSVVLFPIAVTFFITWWFIQFVDGFFSPLYAKLGFDIFDNLFLEFVAFFMTIAYDNVSGLGFLTSLVFILLVGIFVSSWVGSTVFWVGEWFIKKMPFVRHIYSASKQVSTAVSPDQNTTAFKEVAIISHPRVGEYAFGFITSTMVLQTDKGDEELCSVYVPTNHLYIGDIFLVNSAEIIRPNLSIREGIDDKFFVVCVNHTHALISRNTSDYWARDFEIAS >Sspon.04G0001320-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:5647323:5652338:-1 gene:Sspon.04G0001320-3D transcript:Sspon.04G0001320-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGTGIRKYMGALKDTTTVSIAKVNSDYKELDIAIVKATNHVENPTKEKYIREIFYHLSPGRPRADVAYCIRALGRRLSKTRNWAVALKTLIVIHRALREVDTAFLEELISYGRSSSHMLYLSYFKDDSSAEAWDYSAWVRNYALYLEEKLESFRVLNYDVEKDPLDLDTSGLLDQLPALQQLLFRLLGCQPQGASSYNIIIQHALSMVALESVRIQTAINDGILNLVDKFFEMRRDDAIRALDMYKRAIEQAEQLSEFYEPPASFLATMEEYVSNAPLASTVQRNQAVLAIEYNKKSEVEEPSTLPPPPPPAQEPEPEPEPVQQVPPAVEPTDLLGINESTPDTSEIDQKNAVALAIVPQDNAPKAPAPTSTESVATSWELALVTAPSSNGNAVTSSKLAGGLDLLTLDSLYNEAHLRAQQNASYNPWETNPASGPVMQQPMYDPFYASNPMAAARSVQMAAMEQQQHAFMLQQQQQQQQQQQMMMMMAQQQQQASSNPFANPYMHAGAHPYGAGVQLHAGNAYTGTGMM >Sspon.05G0006190-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:19919730:19920490:-1 gene:Sspon.05G0006190-1A transcript:Sspon.05G0006190-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVQCDVCAAEAASVFCCADEAALCNACDRRVHRANKLAGKHRRFSLLHPCSSSSPAAQKPPLCDICQVAVTCYSYPSGVGCVQERRGFLFCKEDRAILCRECDAPVHSASDMTRRHSRFLLTGVRLSSAPVDSAGPSEEEEQENSSSPCNDGSCSAGAATTASASDGSSISEYLTKTLPGWHVEDFLIDDASAADVVGACSDDLYQYQVSSVPSSQPPIRCHANTTASF >Sspon.02G0020890-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:68304811:68305771:1 gene:Sspon.02G0020890-2B transcript:Sspon.02G0020890-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKAPPNLLNATSSIHMIVRQLFSRMVFSLQMECSLSMEIISFWLWLEGNGHPDFLASIESFDNHHLRGIASAGKMFIEALRRKCHLNHRSEQEGSFQKEAMEGIVFYLNNFCFKALEDILEIAEAKERIYRTNQQVQQQNMKGKAPMMSTKDLLSKIKASFTGTPSHEEGSSSRSNPSPKNQILRDIENPIDQCLSTYPLATLFDSLNLRDDPEAVPVRILLSFTVLGHLHTIYSIILLVTRPNTLLSHILLQIRVQQQPSIPRDERTLFVTFSNGYPFTADELYEFFE >Sspon.07G0006200-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:11210569:11218627:1 gene:Sspon.07G0006200-3C transcript:Sspon.07G0006200-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatidate phosphatase PAH1 [Source:Projected from Arabidopsis thaliana (AT3G09560) UniProtKB/Swiss-Prot;Acc:Q9SF47] MNVVGRVGSVISQGVYSVATPFHPFGGAVDIIAVEQPDGSYRTTPWYVRFGKFQGVLKGAEKVVTITVNGVEANFHMQLDNSGQAYFMRELVPGGKDSGTSSEEEAVNEPEPPARSKSDGDLYIGPSDRLGSQELDVEHQEKQTRDEFESYDGYGRLEESEDLPTQADGGNSEVVLVSVDGHVLTAPISSTEEGMEDVQLSDPQFHLGPGQSSSGDFTRSGEVWDAGILDDLYISQEKVKFNSHQSEVLIENGEVPVEKDGSHHISVNKDEAHVSVNEDEVHAVSTNEDGAHAVSTNDDEAHAVSTNEDEVQDVSRSGNSDVVYQTMTSEGESYGILGDIDVGYQTLTREDNSPGVSGDNVVGYQPLTNEYKAHDILENNDEDQPPLTNEDESCDVPVLEKAKDCNSPTNKDEVCDLNNEDTELEDTGASFGKNDTFQSCLDLTSQIDDGDSGNELFSPGSDYQRDSELSLGNCSVAETDLVEGETKTAYCGQYGPLQEGVDVSTFTSEVDKIQNKENSSPEGGSHGRDKEIASEIEAAGSDGLQSSMATSGKDKLGSIPEHPEAEEEQNKEEHSQSQKGLGVEISLCGNMLRPGMGRESAKEAFQQHLVHEEDFKSSGSTIIKNANLIVKVDNNYFPWSKVSHVILGKAVFGSKFSIEPTDAIPVEHQETPNSREDSLRMSPSSRRWRLWLNPFRITRSLQRSNSDSSEDIFLDSESVLSPMDEQTLENNKSQSPRKQFVRTLIPTNEQVASLNLKEGQNLVTFSFSTRVLGRQQVDAHIYLWKWNAKIVISDVDGTITRSDVLGQVMPLVGRDWSHSGVARLFSAIKENGYQLLFLSARAIVQAYLTKNFLFNLKQDGKALPNGPVVISPDGLFPSLYREVIRRAPHEFKIACLEDIKALFPSDYNPFYAGFGNRDTDELSYKKMGIPKGKIFIINPKPLKSHPMGSQGEVAVNSSVDVKSYTSLHTLVNDMFPPTTLVEQIGTVLELVKVARRKFNRHDHELQASAVKEIVER >Sspon.03G0005470-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:20006500:20007171:-1 gene:Sspon.03G0005470-2D transcript:Sspon.03G0005470-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GDRGRGVLRPRGTRPDGAQDLALLPRRRLRGLRRPHGRARLPRRHLRPGPRRGRRVGGRARAARPCRGAAPHRAPAAPVPAPPMGGLPRRPRRRPEGALLRPPPVHPRRRRGRRRHRAPPAAAAGRQGRPRAAPRGRVLPAPVLPRGGGAQGRGREGQGGGGDQAEGGRGGARCHGPRRVRPAGQPRLRRGVRHGDRACARPDRGRRGQRRRWSRRRRRQDLVT >Sspon.07G0028370-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7B:66061656:66064036:-1 gene:Sspon.07G0028370-1B transcript:Sspon.07G0028370-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLDAILMGVVVVIGAYAPRYRRHPVIGAVFMGAITLFLPIVSIIVTNIDSVHDLIGSLLTQVQCYDTSHLFLVFAWTGVVVAIGISASAVVAGDAREGRNIAPSMILLVKASWSAYLTFSSTTLYTRTALIFPSTTLYTRTALIFPSTTLYTRNALVQLFVIMFAKLFFKYAAFCKAQRSFALGRSPRLIAGYMAQLTDTTPPPPALIVMGEDKVPVEEQPRGYNLKQVPDDQGDIPLVTLDRVWGLDDRDIILQTSTARPKDLCYSFALFKLLRCRFAKYTVSEAGFIKTMLVLAEAREIVSYVCSNWTKVALICHHVNQACWQRSRVVQKCICSLLKYRRCKLVNNWDDKMKQCSILVLRPRKTPLLRRLLRSLDRGEKVEVQSTVKNAIFQALKGQSFSITTEQQVDHGVLCIGPPSSPVPLSPYGCSDKQPGYTILVWHIATSVFEVLYQTKTSVSEVSQLPQQPSDHKTVATHLSRYCTYLMTSHPELLPDDAEWCRKLYDQVKKDADRVLAKVQGVDLYDQLIADSNHEVLTNGARLGKELVDKDKAMGWEKLARFWVEMILYVAPSENLEAHAEAISHGGELITLLWAMLAHAGITGRRLDAAGVVAAAEEGRG >Sspon.03G0016200-3T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:71716512:71718035:1 gene:Sspon.03G0016200-3T transcript:Sspon.03G0016200-3T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRHSCCYKQKLRKGLWSPEEDEKLMNHITKHGHGCWSTVPKLAGLQRCGKSCRLRWINYLRPDLKRGAFSQEEEDLIIELHAVLGNRWSQIATRLPGRTDNEIKNLWNSSIKKKLRQKGIDPNTHKPLAEVEHSKAAPTISTERTSESSDVDPSSGGALGNLSHLLSETAQSPELLPVLGPIPNFPFQQLMCYNNEFGNKNGGSNNSFWFNQNESSGSTISTVMPPVSPSTLSTSTGLNRSPDNPHSGGTGLQNTQFYWETANPSSSSSRGSSGSNGLGFELQSTSSLLESSVFPWTDLTPDKNSQVHLEEELKWPDLLHGTFTDTPATMQNLSQSLYEDVIKAESQFNIEGLCAAWSQNLQPQQHL >Sspon.08G0004730-3D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8D:11421312:11422583:1 gene:Sspon.08G0004730-3D transcript:Sspon.08G0004730-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GDEEKSGLLRRLVPGAAESGRLVLFEADLYDAATFAPAIAGCQFVFLVATPFQHDATSAKVRFTTTVPQPIAVLKEILFTTDLVRTDSEQYKSTAEAALDAARVILRQCADSKTVKRVIHTGTMATCSPLKEDSTGFKDAVDESCWTPLDVEYPLRDPQFHEYILSKLLSEKALLAYNAAESPAFEVVTVPCPVVAGDTLQGRTTAGLESAASPVTRDARQFGALRMLQRLMGSVPLAHVDDVCDALVFCMERPSMAGRFLCAAVYPTVDEIVGHFAAKYPHLDILRETELGLPTVQAHSNKLGELGFRYKYGMEEILDGSVDCAVRFGRLDASKFSMQER >Sspon.01G0017530-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:64352802:64360957:-1 gene:Sspon.01G0017530-1A transcript:Sspon.01G0017530-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETDTQTAASGQQGLKKKKKKVVLPVEGRRNVLVTSALPYVNNVPHLGNLVGCVLSADVYARYCRLRGDNVLFVCGTDEYGTATETRAMEERCSPREICDRYHAVHGEVYEWFGVSFDHFGRTSSPQQTEICHGIFRKLLENNWLSENTIQQLYCNSCQRFLADRLVEGSCPTKGWGYDSARGDQCEKCGELLNSIELIHPKCKICGSTPCIRETDHLFLELPLLKETLERYIHEASADGSWSQNAVHATNAWLKGGLRPRCITRDLKWGVPVPHEKYKDKVFYVWFDAPIGYISITACYTPEWEKWWKNPDNVELYQFMGKDNVPFHTVIFPSTLLGTGDNWTLLKTISVTEYLNYESGKFSKNKGIGVFGNDVKDTNIPPEVWRYYLLTNRPEASDTQFTWADLQAKLNNELVNILGNFINRVLSFIAKPEGAGYGSIIPDAPHAESHARTQEISETVGKLVEQYIDAMNKVKLKRGLKLAMAISKEGNAYLQGSKFWDLYKQDPVGCATVIKTSIGLVYLLACLLEPFMPSFSKEVLHQLNLCPEEHLLFCDGKGQREKAKRPWDLIPSGHRIGKPTPLFRRLVILWVFFLSFEVLNTMVLGALHLSHYLDFTDTIHYMQDDSTVKGFKEKFAGSQAERRLRAEIAAQLEDTEIRISSNSVRASSINPNLACPTIMVVQETTVLLSILSNTDFANSKLPHLTYISITAFISLTVHLTPLLSTNSCSLFPSSKSPICAHPDTAPIIVTSSGLTALSSSANLQNNSSASRGRPLLTYPPISVFQETTSLARLSSNNLRARTMDPQTDQKCNAVFTTKTSALNPSFCTCPRTAAPYDLYCRRAQALSRNGK >Sspon.01G0029770-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1A:103378498:103379589:-1 gene:Sspon.01G0029770-1A transcript:Sspon.01G0029770-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLWERVIEHRLRGSTRVSTNQFGFMPGRSTIEAIFLIRQVMERFREQKDLHMVFIDLEKAYDKIPRNVMWWALDKHKVPTKYVTLIKDMYNNVVTSVRTNDDDVVLVDESQAGVNRKLELWRQTLESKGFRVSRTKTEYMRCDFGNATHEEGQVNLEGQVVPKKDTFRYLGSMLQKDGDIDVDVCHRIKVGWMKWRQASGILCDKRVPQNLKGKFYRTAIGPAMLYGAECWPTKRRHVQQLSVAEMCMLRWICGHTRMDRVRNDDIRDRLGIAPIEEKLVQNRLRWFWPCPKETFRGTSALWSSKSRYQCEER >Sspon.02G0030220-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:110556729:110561752:1 gene:Sspon.02G0030220-2C transcript:Sspon.02G0030220-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGASASDYSFAAEYDGPPLPYSLPRAIPLDLSRIPLAALSSSPPASPSVSSSPLPVVRPLTPSSLCSAIHAHAHPPPRSAAPAPAAGGAVVDSPTSVIENHHAAAHHSAELPSSPSDDEGAGAGPEALPLKPRHHHPAVTFAETSGSLLQSSDDEDEYEEEEDDDADAGDARPRAAAGQSSGSLSPAHWRGGRTRGCYRCGKGGGFWGLDKESCLACGARYCVGCVLRAMGSMPEGRKCLECIGRPVAESRRDALGRGSRVLRRLLSAAEVELVMRSERECAANQLRAEDVYVNGTKLSPEELVVLQGCPCPPARLRPGFYWYDKVSGFWGKEGHKPHCIITANLNVGGVQCAGKPHFWVNADGTYQEEGQKTVKGKIWDKPIVKLLSPVLSLPTPNKAANQSSEDAVNIVNRVIPDYLEQRTTQKLLLVGSGASTILKQAKFLYKSGKPFSVDEREDLKLIIQSNIYNYLGILLEGRERFEEESLADRRKISPCDPSSSGCCESGVCDEVTEYSLIPRLKAFSDWILKAMALGNLEDIFPAASREYAPLVEELWKDPSIQATYRRRSELPFLPPAANYFLDKAVDISRTEYELSDMDILYADGITSSDGLSSTEFSFPQLSLGGLGVDEPDAQDTLLRYQLIRINSRGLHENCKWLQMFDDVRLVIFCVAASDYDEYYEDANGTIVNKMVESRQLFESIVLHPTFEQMDFLLLLTKFDLLEQKINNSPLTSCDWFDDFTPLISRNLLSGCSSKPTRSSTGATLSQMAAHYMATKFKRLFQSLTDRKLYVSYVNALDQESVRSAIRYGREIVKWEEEKPVFGASETVYSEEPSSFTH >Sspon.01G0038770-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:22421188:22423293:-1 gene:Sspon.01G0038770-2C transcript:Sspon.01G0038770-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SMGRPPCCDKANVKKGPWTPEEDAKLLAYTSTHGTGNWTNVPQRAGLKRCGKSCRLRYTNYLRPNLKHENFTQEEEDLIVTLHAMLGSRWSLIANQLPGRTDNDVKNYWNTKLSKKLRQRGIDPITHRPIADLMHSIGALAIRPPQPAPSPNGGSTAYLPAPALPLVHDVAYHAAGMPPPMTPGQQQQVVIALVDADAPASPTTEHGQQQQLKWSDFLADDAAAAAAEAQQQQVVLGQYHHEAAAAGAGSGVAVHGAGSSSAAAAGGDDGGIIGVGGGGGDDGAAAFIDAILDCDKETGVDQLIAELLADPAYYAGSSSSSSEMGWGMGLLNAD >Sspon.02G0024000-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:82193898:82196448:1 gene:Sspon.02G0024000-1A transcript:Sspon.02G0024000-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGRKDGRGVAGAAGQERRRWRGDEEESSHGSSGGGVELSLRLRTGAADDADDGAASAPLPPAAAVEARKNMTIFYNGRVCAVDVTEVQARAIISMASEETLAGDHRRQRQQLMRGDDGGDGRHQDGDSSSSSTSAVARRCARDRGLVRPAAAAAVALAPSLLAAGGVVGSPQQGGFAGGAVAAPVLEIDPLAAATGLSMKRSLQLFLQKRKARTAAAVAPPYAGGARQAQAVRR >Sspon.04G0000330-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:2230800:2234793:-1 gene:Sspon.04G0000330-3D transcript:Sspon.04G0000330-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to Ethylene receptor-like protein 2 [Source: Projected from Oryza sativa (Os02g0820900)] MLRPRSGCSSRRRLLHSSSSPIAMRMRWLLLLALAAAAPAAGEMGYAHCGCDGGGGGGGGGGFWSLDNIFKWQKVSDLLIAAAYFSIPLEILYFVAGLRHLLPFRWVLVQFGAFIVLCGLTHLLAAFTYEPHPFMVVLLLTAAKFLTALVSFLTAITLLTLIPQLLRVKVRESLLWIKARELDREVVLMKRQEEASWHVRMLTQEIRRSLDRHTVLYTTLIELSRVLALNNCAVWMPSEDKSGMCLTHELRRGSEAVVAADDADVLEVKGTDGVKLLPPDSVLGSASGGGKEGTGTVAAIRMPMLKVADFKGGTPEVIKTSYAVLVLVPPSDRNWAPHELEIVKVVADQVAVALSHASLLEESQAMRDRLAEQNRELLQARRDALMANEARDAFQRVMSQGMRRPIHSIQGLVSVVQEEGLTSEQKLVVDTMARTATVVSTLINDVMEMSTANQERFPLETRPFHLHSMIRDAACVARCLCDFRGFGFAVHVENVLPDLVIGDERRIFHVLLHMVGNLIGRINAGNVTFRVRADDEAMEDQRWDPWRPSYSGGHSSVKFVIGVKRQQNADSSSSLAHFLRRPRTEGFDLRLSFSMCRKLVQMMQGNIWAILDGQGLPESMTLVLRFQLQPSLANSSLGGSFDLQYPSPSNQIAGLKVLLIDDDEINLVVARKLLERLGCTVSSLPSGSGFMNSVGPSSASFQLVMVNLEMSTINPLDAASRIRQYRSSHWPLVMAMTSEQNVWEKCAQSGINGVLKKPLVLQEVKEELTRILQNT >Sspon.07G0005740-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:25713141:25713698:1 gene:Sspon.07G0005740-3C transcript:Sspon.07G0005740-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGTTLLAAGHTLPCSPSAAEAAAHRRHILPSDAALRRARSVPVPISSQMAHSTPGTAITCVTARAPSRRHRSTSAAVAFSLAHSVPRRISATSRATAPSMPTIASAPPRFASAASTAAAVSSSLIADSAACATPASTSFPTFSLSPASDSSAASASFLVLVGPVRTRCTSFSTIDRFRPRRRR >Sspon.06G0001720-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:3015726:3022079:1 gene:Sspon.06G0001720-4D transcript:Sspon.06G0001720-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHRAAPPDDVIVQVNAAAVAAVDDRISTGQITEEDVGIVDDGKQIGGGRSTRRTFSQSYKMKHRNPLEFTGWQLALLGYQSLGVVYGDIGTSPLYTFSSFTLPDPGADDVLGILSLILWTLTLVSLVKYVFIVLHADDHGEVPVTRLASDANLKFHSKKSSLQPRMLKFLEGSPIAQAAITYLVLVGTCMVMGDGALTPSISGIYLINLLHHQFITSYQLFKESSQGLLALNKYGTSKVGFTFSPIMLVWFALIASIGLYNIIKYYPPVLKAISQHYIYLFFARNKRAGWEQFGTVVLCITGVAVIWVMLITTHLITVVMVIIWQSHSAIAGLFYVIYTVIEGLMTISLLYKIAQGGWVPFAITAFFLIITLSWTYGRSKKNEYEASNLMDRQKFIKTVNMSNRVPGICIFCTDLMNGIPPIVRHYVQHMGCLRELMVFVTVRHLPVTSVLPEERFLFDRLEPFGVYRCIVQYGYMDTQNMEDDEYVLSIIASLKEIAQSDDETMMMDSALANGTTFVLGRVILKMSSKQNCFKRFVINNLYRFLQKNFRSNISSLKIAPSKTLQIGMQYEI >Sspon.05G0014780-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:54454860:54456269:1 gene:Sspon.05G0014780-2B transcript:Sspon.05G0014780-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQESDRRVGGGSANLNWLWLPSLLLLRWNHQRLAWLQPFFFQWKDGEGKGEVEEAAAASGEHPRFAVLCGALLCDDHAGRAPLESFKVAVLRTDDVLLDADPHAFAFLCESKADVWSNTISASIRAPLSFAKPSILVGNSLYWLLLGYGNGGILKFDLDRKNLTAIDTPTDARDALRSQILRMEDSRLGFAILTDFSIQVWEKKPNSEGGAKWMLQKTINLDKLISLGPAFDRSWTLIQGYDEDGNTIFVKADRQVFMIQLKSLELKIIFEDDIILYHPFTSLYTT >Sspon.04G0028000-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4B:63402456:63402708:-1 gene:Sspon.04G0028000-1B transcript:Sspon.04G0028000-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LQRRCPDLRAPPPAAAAALPYPTGGGSGPLRAFTFTTELRAGMKRFLTMDYILAVNASTLSDSGAMCTGPLRRAVPSDLGLFR >Sspon.02G0009620-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:25319299:25320470:1 gene:Sspon.02G0009620-2B transcript:Sspon.02G0009620-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDKEDSVPHSVAEKDTEALLLRDVLLNGILAIGTLGHHVDSFCPEDCIEEDDLLIMGDEKVIEEEKDDEEPRNDQVKEDVALETEPCEPVLPIFEPAKMHSLSMKEGNFTCFVTEEILIHEVEDGGAANIQERPLLMVEKVEKVRTTLADLFAAEEFSSSAPGENSCQDIINVAGASTSKHTSCMKKMHQKKPRKPTPKPLKATRKLSRVMRKMLGKKIHPEQLNGRSDAEAPLTA >Sspon.06G0008580-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6A:45189176:45189562:1 gene:Sspon.06G0008580-1A transcript:Sspon.06G0008580-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGSSMAGDDGSPHRDHHSSPNLHQSNEMLRTNSPKQQKLATTTRIRRAATWRRWRHYYTTVSFKNTVCILSTKKKSTKRQRRKMRSHQCDENGLNFARRPHPRPTEIVDNALTLELDFPWLAYRFS >Sspon.01G0053790-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:1984896:1995630:-1 gene:Sspon.01G0053790-2D transcript:Sspon.01G0053790-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTAAGAPRAQTLRDLAEEGKKRAVLLLVFAFGLAFLMSRESSGTRIFMSLPVPTFASAPSGMGACASAIPPMRFSVSSAVIRVYAVCPLRSFSIGVRGFVTSSSVWFNLPCATALIVLFRYISLDYDLRRKSTTSTDHVSHSLVKTRSAEVKKVLHQTETDGKLDWRSKVNSPPVEAAFEQFTRHLITEWVTDLWYSRVTPDKEGPEELITIVNTVLGEISVRARNVNLIDLLIRDLVDLICNNLELYHFCQAKIGREKFVNLPSERRDAELKMILLAENKLHPALFSAGAEYKVLKSLADGLISITVKPQDLQCTFFRCTARELLACAVLRPVVNLANPRFINERIESLALSHTNKLEKGVAESLEDATTVKHREPHMPSIDEFSALTDHSSPGVELVRFHQGQSKTASDIQPSKSTNPYSLKLESSHASLISSSHPLESTSLASSSRVASDNGFSLHTKSNNRATADGHGRERAQPLGISSERTHQALALEHLEDMWTKGKNYKSENAKHITKARLQFSNQFLAALQFVKILTSSVRSVGGPAFGKTLNCTYISKWHPKSISAEMAEHAGPEDFGVESESSYATEDDEFNNVTGLDSPVTRVWESKSKGNATLSHIHHPLESPGFHRAKKNRSHVGKLKMSRTSSGRKRSRSNAQKPPIWQEVERSSFSVGDGMDILNTSANDSKTDELDEDPEVESMARMFSSSNASSLSLPSSDSSYASNYRGANVLQDSYLKLRCEVAFIHLIYFDSDNYWWYTSLSDFHIQVVGANIVKSGSGMFAVYSISVTDANGNNWSIKRRFRHFEELHRRLKEYAQYNLHLPPKHFLSSGLEVPVVRERCKLLDIYLKTLSQLSKHCQMKDQMGKYKSIELFWSFEWNLISGGQSLHGHIDDTVHKDSDIAGDGLRFRKGNVKLATQQIVLETQPPNHYQDNSG >Sspon.03G0033040-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3B:44546192:44547271:1 gene:Sspon.03G0033040-1B transcript:Sspon.03G0033040-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLQKHLSLHLQDVVPVHRSASLIQLSLQRALLSTAAATYSSPGHFAADDYLVSTCGITREQAAKAAKCISHWKSSSNADAVLSFLTGPALGLSKANITLLVAKDPRILNCSVDNTLRVRVDRFRSYGFSAAQISSFTRVVPYSFRTFNIDEKLGFWMPFLGSPDKFLRIVRRNSYLVTSDLHKVVKTNIRLLQEYGLSVQKIGKMCVSNPRLLTGNPDSTRAILVRADEMGVPRNTLLFGQAVTAVAGLGPETMASKLKLMAKILGCSDAEVARMVQRNPLVLVRSRERIQRICEFLTNVVGVDTKYIQGLPTILMYSLERRLVPRHYVMKVLQEKGLIRKDQSFYTMVALSDSVFCP >Sspon.07G0019190-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:74882854:74887897:-1 gene:Sspon.07G0019190-2B transcript:Sspon.07G0019190-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFVGDTVESIRSIQVRHVLSQIISLGMIVTSALIIWKGLIVVTGSESPVVVVLSGSMEPGFKRGDILFLHMSKDPIRTGEIVVFNIDGREIPIVHRVIKVHERQDTAEVDILTKGDNNFGDDRLLYAHGQLWLQQHHIMGRAVGFLPYVGWVTIIMTEKPFIKYLLIGALGLLVITTKE >Sspon.05G0029850-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:76693329:76694623:-1 gene:Sspon.05G0029850-3D transcript:Sspon.05G0029850-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTVMASSATAVAPFQGLKSTASLPVARRSATSLAKVSNGGRIRCMQVWPAYGNKKFETLSYLPPLTQEQLLKQVDYLLRNNWVPCLEFSKEGFVYRENSTSPCYYDGRYWTMWKLPMFGCTDASQVYKELQEAIASYPDAYVRILGFDNIRQTQCVSFIAYKPPGSE >Sspon.08G0010980-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:15765943:15769452:1 gene:Sspon.08G0010980-3C transcript:Sspon.08G0010980-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:12-oxophytodienoate reductase (EC:1.3.1.42), Jasmonic acid (JA) biosynthesi [Source: Projected from Oryza sativa (Os08g0459600)] MAASTDRSTPAEDQQQQQRPSLFSPYQMPRFRLAHRVVLAPMTRCRAPDAVPGPALAEYYAQRSTEGGLLISEGTIISPSGPGFPRVPGIYNQEQTDAWRKVVDAVHAKGAIFFCQLWHVGRASHQVYQPGAAPPISSTDKPISSRWRILMPDGSYGKYPTPRRLATSEIPEIVEQYRQAAINAIKAGFDGIEIHGAHGYLIDQFLKEGINDRTDEYGGSLSNRCRFLLEVTRAVVSAIGADRVAVRVSPAIDHLDAYDSNPLQLGLAVVDRLNALQQEAGRLAYLHVTQPRYTAYGQTESGQHGSAEEESRLMRALRGAYRGTFMCSGGYTRELGVEAVESGDADLVSYGRLFIANPDLVERFRRDAPLNKYVRKTFYTPDPVVGYTDYPFLGQPKARM >Sspon.02G0010360-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:28684151:28693104:-1 gene:Sspon.02G0010360-1A transcript:Sspon.02G0010360-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospholipase A(1) LCAT3 [Source:Projected from Arabidopsis thaliana (AT3G03310) UniProtKB/Swiss-Prot;Acc:Q93V61] MLGAALRLRVRVLRRRCRPRPHRGRRRGRRVGEDDDEEGGREPVLLVSGMGGSVLHARRRSDPKFDLRVWVRILLANLYFKKYLWSLYNADTGYVEPLDDDVEIVVPEDDHGLFAIDVLDPSWFVELLHLSMVYHFHDMIDMLINCGYEKGTTLFGYGYDFRQSNRIDKAMAGLRAKLETAYKTSGGKKVNLISHSMGGLLVRCFMSMNHDVFAKYVNKWICIACPFQGAPGCINDSLLTGLQFVYGFESFFFVSRWAMHQLLVECPSIYEMLPNPEFKWKKKPIIQVWRKNPEKDGIVELVQYEETDCVSLFEEALRNNELTYNGKKIALPFNMSVFKWATKTRQILDNAELPDTVSFYNICGTSYETPYDVCYGSESSPIGDLSEPAYTYVDGDGTVPTESALADGFSAKERVGVEADHRGLLSDENVFKLLKKWLGVSEKSEWRCVSKSKVVDLGT >Sspon.08G0023300-1P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8D:57100609:57103056:-1 gene:Sspon.08G0023300-1P transcript:Sspon.08G0023300-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLPSCASLAAAALAFARSAGYAPSVLAYNAVLLALSDASLPSARRFFDSMLSDGVAPNVYTYNILVRALCGRGHRKEALSVLRDMRGAGCDPNAV >Sspon.08G0028140-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8D:6353509:6356586:-1 gene:Sspon.08G0028140-1D transcript:Sspon.08G0028140-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DGTSIASAKREGARRCIMQRYRSQLEQEVKKLQRQLQEEIDLHLALADAITYNAALILKSSIKLPDKPEQMLRELRFKDYQCKEDFSTEPEDQQDDEKDAEDGERMQEACSTEKEGKEDQKIDALPFSQPNLKKSNMSGNLWNNPNQLSEEMVRSMKDIFLHLSTSSKISPEESFANSTSSAECLSGSTLTTLSDSSVIASVLRSPSIDLNHDDGIIDDVRNFDPYNVNGKEARRDIGSYCSVAEVSWMYIGNEQLEYASGALKEFRFLVEHLSKVDPSCMNCDERLAFWINLYNALIMHSLMLALHKFKTSENLKKYSIDDTEPRVLFALCCGMFSSPAVRIFSAENVQTELQESMRDYIRASVGINDKGELIVPKLLQSYAKGIVEDSLLADWICRHLTLDQVAAIQDTSSSHKQRLLGVRSFSVIPFDSRFRYLFLSDNSRCQN >Sspon.02G0048900-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2C:30997632:30997907:1 gene:Sspon.02G0048900-1C transcript:Sspon.02G0048900-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTHQECQCHRLNGERTTMLLLLLLATLLASPSASAPGFDYFVLALQWPGTVCRSSAAGPCCSSNAYCRSVHFLSFCFFGNQYLVHQTHPGN >Sspon.07G0033750-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7C:65477511:65478161:-1 gene:Sspon.07G0033750-1C transcript:Sspon.07G0033750-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding QIEPTPTASLASNSSTQVLINTVSDQRKNQEPHGSDQPGRPIINQCKKTMILHAHGFKSRPHGDVRPTPPRRNLRPLHPQAVARRGPRLQREAAGEGDQGKEMCVMGVGCHGRGAGGRGWGAHWRGDSRIIPWRSPSILALRHGPRKPEREVRSMFQSSVTVAIGDGLSTKFWTDSWLPDGPICRFAPHLFAAIGKRRRQKSVREAITNRSWIRDI >Sspon.03G0018100-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:55624332:55630634:1 gene:Sspon.03G0018100-1A transcript:Sspon.03G0018100-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADWGPVVVAVVLFVLLSPGLLLQLPAKGGRFVEFGNFQTSGASIFVHAILFFALAAIFLIAIGTSPPLIRICQQHRAQKVCRPFVCHRQEADQEKPQNPEVVHNADATMLELCCIPEKSHQRQQGTAAHWLRHHSHLMLAAFPLQLFEF >Sspon.05G0020560-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:89500576:89502535:-1 gene:Sspon.05G0020560-2B transcript:Sspon.05G0020560-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MCNHYDEVIRNVEQQSPCASKRLIWLQPPRHAWHPNPGEQAALLASWKGDPVAMNQLTSEDVHRWSQFMSPEQPPTSERVRKSGSVYVRAGKMCSEAQQRRVPRKVKAALTKHLLQDGKPAYDLQIISCMNENIGGPEYCGGIAKDCMSFAPCRYLYTHVNFLATRKDSLSSASYPTHFFAEFDNEKKDGAPLLCCPVHQPIAFAVTAEQVRCMYCEAAGARVVHPTSTEFHGGGDKFEKMIRGEHSLTNAWLICKNNFAVERMCAVEEDFMYVDVN >Sspon.06G0001340-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6A:4341022:4341417:1 gene:Sspon.06G0001340-1A transcript:Sspon.06G0001340-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGFPACQIRTYHRDTYGSFFARALLNPLMSRWSARRRARSIYGFAPKKNDDSEGEKGEAARGHRRGGGGGLSGRTGSGERRRRGWRRDGTSSLETVALVAASSSSFFVMSRRPVRSSCCWLRRHQESACV >Sspon.02G0052390-1P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2C:91977819:91979234:-1 gene:Sspon.02G0052390-1P transcript:Sspon.02G0052390-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSKKVCVVGAGVSGLVSARELLREGHDVTVMEQSCGVGGQWLYDPRTDGGDPLGMAGVHSGVYASLRLNVPRDSMGFSDFPFYPKNDGTGDARRYPGHAEFLRYLRDFCDAFGLMDVIRLNTKVLHVGLVPPRAADDGTKRWTVRCANANSMHGDCEGEGVTTGEVFDAVVVASGHNSQPRLPTINGMDKWRRRQLHSHSYRVPGSFRGEVVVVVGLGESGKEIALELRQVAREVHISVKSMDDATVVPGMRKALSRHHNLHLHLQVECLCEDGQVTFADGSCVVADSIIYCTGYDYSFPFLDTGGLLTVDDNRVYPLYEHTFPPALAPSLSFVGVPSQVKAPRFYEVQARWVAQVLSGRRPLPSSEEMLRSAEEYHRAKEMAGVSRRLSHALFLDLDYCDEFGEKRCGFPRLPEWKKELNRAGIARWRNDTESFRDDYRDSDLVLEGLRSEGWYFFPDARRQGECTWP >Sspon.01G0012760-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:34106407:34113614:1 gene:Sspon.01G0012760-2C transcript:Sspon.01G0012760-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSPGGVFSAALVAEDFPWVEREEEMGMAPDKYREVFGLAQRGTLAFRDRRFDEAISCYTKAQNLRPDPIIVGNRSLAFCRLSQLLRERSAADSEYQPLNGLDPTTHAELALKDAEKILSINSNSPRPYLLKAYALILMEHYHEAREALLAGLQVDPLSHVLQTCLNDLDRNTNIAAGARRARCEPLPDGRFYLEVEGTRRFRILRSWDQDGYRVAEVEWLQDIRLPEGSQERRELMEIANGASEMARAYIRRARETIRTARRTRHLDLESVPGPQDPENFSFWLVNLISLRPSDRLDMLRLRDTRERISSSIRLLSDAEQGCRVQ >Sspon.08G0003760-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:10505635:10509756:-1 gene:Sspon.08G0003760-1A transcript:Sspon.08G0003760-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MENAEGPSPDSGDRALNMFWLILRGVYSIELRLKEQSECQILSLHLSIIENERSDESHKLDEVSWAPVQDGDAQTTPGHNSRRPNLSLQIPARTLDTSMPTSTRVTISSSPSSTRVGLPPRPNSTRTKSSIKNIIPQNSFRARSSAQEGDRVVLLNSGTSSEGQQDNPTTARSFSFRKVISSLSAKRTHSLPVTPVGTTDKVASPANQLDTLPTTSNEGVEAKIRRSLSVPGNRKNRSLRRADSIGVIRVIPTTPRPVPVDATASNDGIEETIVVPEDGGEDIPEEEAVCRICFVELNEGGETLKMECSCKGELALAHQDCAVKWFSIKGNKICDVCKQEVQNLPVTLLRIPSQTANRWVANAAQQRTAQQYRFWQDIPILVMVSMLAYFCFLEQLLVTNLQSRALAISLPFSCVLGLLSSMIASTMVSKSYLWAYASFQFAIVILFAHIFYNVLRVNPVLAVLLSSFTGFGIAISTNSLLVEYLRWRARRNHRLAQQAANAAQHQESGNDRANDNDGAQQGHDPNSGNNAIMKCYGCEQLSVQ >Sspon.05G0000100-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:751697:752273:-1 gene:Sspon.05G0000100-1A transcript:Sspon.05G0000100-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RRRPPPTLLSSPLRRNKQNLIGLLIITPLSDPEDGRTQGRASKDRMLLSSSFVSVSPSPPHFSYGARPAAALRIEAARQMTGRVVTTKADKTVGVEVVRLAPHPKYKRRERIKKKYQAHDPDNQFKVGDVVELRPSRPISKTKHFLAIPLPPRDTRRKSQLLPPLQSQSQAQADDDDQAPPPSE >Sspon.03G0011070-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:34752557:34757771:1 gene:Sspon.03G0011070-4D transcript:Sspon.03G0011070-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLDNGAAAGSYKRGGPGPALRSGGVVGGGARKVRARSRLARFLLFEKVDYLQWMVAAAAFFFVAIVFVAFLPGSGVVVVERPRLTLPSRRAGAGRGGGGGGTAEDRGGLLVRWEAGVAFEFQPTRLREKWAKERREEAQSLAELGTPVTRLGVRKPRLAMVFGDLYPSAMQLQMVSVASVLEAMGYEMKVFSLEDGPCGNIWRAIGVPVSILPEDANLPIFVDWLDYDGILVNSIEARPVFSSPFSYGDNLMEEALVLQAVSPLLQRYRSEYSTQSELKVKVFTGNITEKHRMALESVALSVGFPRGAVEHVAAEDKDNLLGTADLIDDGVNGLLFPRKNIGMLAQVLLRAVSNGKVSVSGQKIASAGKAHAKNLMASETIEGYAVLLEHVVKFPTDALSPLTAGEIPLALKQEWKWHLFEDVKHLHRMNSSLSGYKILQKLEQEWHSNQMENSSLSTTKISDAFSVIAWEEQRANEVMDIKRKMEEDELKDRNDQPHGTWEEVYRNVKRVERLKNELHERDDKELERTGRRPGADDIDASSRLPLLNNVYYRDIL >Sspon.02G0032410-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:112714908:112720173:1 gene:Sspon.02G0032410-2B transcript:Sspon.02G0032410-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRDVSLPDAFNLVLGKDWDNWPPEAGLLVAAYYGDIRRLKEIAKIIDTEGKGLQDTLANTHFLGTYALHAACDSGCMPVLQYLVKDLKIDVNKADTLRGFTPVMHAVLYGNLPALRFLVDHGADVHQQHKGMSLFHSAAEGGRSEIAKFLLSKGVRVDGESSLLTPLLIATYRGYPSIVEILLEHGADPNERMNDEVTPLSMALKHSSMPCLKLLVQAGADVNGFGSYNPLAKAAEKGLTEAIKCLLEAGANPNVPDTFGRLPIELAAEYGTWEDVELLFPVTSKIPTVADWSVNGVISHVYMEVMQLEDDAFVKKKKSELKRQGADAFSKEDYLNASVFYTQQALKVDQFDATLFSNRSLCWLRLGDGKKALLDAMKCKHLRPKWGKAYYRQGAALMFLEDYDSAYDAFNRGLELDPESEEMEKLLWEAMDLKTT >Sspon.04G0024810-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:25936397:25937376:-1 gene:Sspon.04G0024810-2C transcript:Sspon.04G0024810-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGTGVRVAPPPSSMEVRGRRRTGTVTARRRAAVAIRCSFVGEAGVGAAGGLAEEHYRTLRLRPGSTRNEVKKAFRRLALVILMNNMREAEERLEYWRLKYGLTDQDLDRYRYYPNEDDDDWLDM >Sspon.01G0023300-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:84188581:84195182:-1 gene:Sspon.01G0023300-1A transcript:Sspon.01G0023300-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFQVVVLAGGTSDSEKLSPLVSKVDVPKALLPVANRPVLSYALDLLEASDLKDLIVVVEGQEAAQLVDAWVSSAYLDRLRVEVVVVSEDLGSAGALRAISKRLTANDILVVSGDLVTDVLPGAVAATHRRNGAAVTALLCSVPVSGPSDTASSSGKDKAKKPNRLNIVGLDRSKQFLLHIVSGTDVEKDVQVHKRKIQAVGQMEIRSDLMDAHLYAFKRTILQDILEQKEAYRSIRLEVLPYLVRSQLVCSCLMTCKHVTLSFEAVFNCCKLEQRSAPSGGSGTAVDETVSSAVQSSGNLQCLSQHRVIAPSAFKQDGCGHRCCAYIATKSKYCHRLNSIQSYCDINRDVIGEASHLSGYSFSAQNNIIHPSSVLGSKTTIGPHCILAEGSQLGDKCSVKRSVIGRHCRIGSNVKIVNSVVMNHVVIEDGCHIQGSVVCNNVQLQERAVLKDCQVGAGYIVTAGSEHKAESLARKYSEL >Sspon.06G0012980-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:51652433:51654574:-1 gene:Sspon.06G0012980-4D transcript:Sspon.06G0012980-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:MADS-box transcription factor, Regulator of both biotic and abiotic stress response [Source: Projected from Oryza sativa (Os08g0112700)] MARGKVQLRRIENPVHRQVTFCKRRAGLLKKARELSVLCDAHIGIIIFSAHGKLYDLATTGYVIVIVSSSSTMEELIERYKTASGEAADSSGDNRMDPKQETMVLQQEINLLQKGLRYIYGNRANEHMNVEELNALERYLEIWMYNIRSAKEGMLKAANEVLQEKIVEQSSLLDVGMVVADQQNGHFSTVPLIEEITNPLTILSGYSN >Sspon.02G0024190-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2B:84315301:84317085:1 gene:Sspon.02G0024190-2B transcript:Sspon.02G0024190-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At4g21065 [Source:Projected from Arabidopsis thaliana (AT4G21065) UniProtKB/Swiss-Prot;Acc:A8MQA3] MLDGAGGRTPSTHPALRHCVALLRLHLAAPSLATAKQLHARALRAGVPPAHPLLAKHLLFHLASLRAPPLRYAVAVLTRVLPDPDPFSLNTVLRIAAFSSRPSLALALHARRLAPPDTHTYPPLLQACARLLSLGDGERIHAEAAKNGLATLVFVKNSLVHLYGSCGLFESAHRVFDEIPVRERNLVSWNSMLNGFAANGRPNEVLTIFREMLDVDFMPDGFTMVSVLTASAEIGALALGRRVHVYLTKVGLVENSHVGNALIDLYAKCGGVEDARRVFEEMGTARTVVSWTSLIVGLAVNGFGKEALELFSVMEREKLVPTEITMVGVLYACSHCGLVDDGFAYFNRMKEEYNIAPRIEHLGCMVDLLGRAGKVKEAYDYIFTMPLEPNAVVWRTLLGACAMHKKLELGEAAWARLVELDPGHSGDYVLLSNLYAAVGRWADVHVLRKTMVKDGVRKNPGRSLVELRNSVYEFVMGDRSHPESEQIYQMLAEIADRLRREGYIPHTSNVLADIEEEEKETALNYHSERLAIAFALLKSLSGTPIRIVKNLRVCGDCHTAIKLISKIYDREIIVRDRSRFHHFKGGSCSCKDYW >Sspon.05G0019530-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:83738241:83739349:-1 gene:Sspon.05G0019530-1A transcript:Sspon.05G0019530-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LVAILLLAVAASAKPSDDDRPPKAKGPKPYKEKEEHDKEKEHDKEKPKPMKVKCHHRKLYPYCTGKPMECPAACSQSCYADCSSCKPVCVCSVPGACGDPRFVGGDGNAFYFHGRRDADFCLLSDRDLHINAHFIGKRGADGMSRDFTWIQAIAMLFDGHQLYVGARKTAAWDDDVDRMELTLDGEPVRLPEGADATWTSGAVPALSITRTRAANGVLVALDGRFSIRANAVPITEEESRVHRYGVTADDCLAHLDLAFKFDALTGDVHGVVGQTYRPDYVNRFDVRASMPTMGGEGNFTTSSLFAADCAVARFAPGGGHHDDDGVAMVSELAGIT >Sspon.01G0049950-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:117511904:117517245:-1 gene:Sspon.01G0049950-1B transcript:Sspon.01G0049950-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRSAARRSAQVRRLLSSSAPPAPAGAAVPGPCIVHKRGNDILHDPWYNKVGSQPHAESLPPRSRGCTRTRCGFSLPGLPFRLAAGHGVPMTERDRLGLRGLLPPRVMSFEQQYERFINSFRSLEHNTRGEPDSIVALAKWRILNRLHDRNETLYYRVLIDNIKDFAPIIYTPTVGLVCENYSGLFRRPRGMYFSAKDKGEMMSMIYNWPAEKVDMIVVTDGSRILGLGDLGVQGIGIPIGKLDVYVAAAGINPQKVLPIMLDVGTNNQKLLDDKLFNLDLGLRQPRLEGEEYLAVVDEFMEAVHARWPKAVVQGTAGVALAGLLGAVRAQGRPLQDFTNQKIVVVGAGSAGIGVLSMAKQAMLRMPGIHRTGEGHNQFWVLDKDGLITKARKDLDSAVARFARGFGPDEIPDLHEGASLVEVFDTNRQVKKVKPHVLLGLSGVGGIFDEEVLKAMKESDSPRPAVFAMSNPTTKAECTPDDVFKHVGENAIFASGSPFSNVSLGARHISDGMLQAAAECLASYITDDEIRKGILFPSVSSIRHITARVGAAVVRAAVAEDLAEGCCDVGPRELGSMSESEAVDYVARKMWYPIYSPLVSDK >Sspon.07G0002830-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:6424175:6430599:-1 gene:Sspon.07G0002830-4D transcript:Sspon.07G0002830-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LEDNPVGRLKVYVYDLPSKYNKKLLKKDPRCLNHMFAAEIFMHRFLLSSAVRTFNPEEADWFYTPVYTTCDLTPKGLPLPFKSPRMMRSAIQLIATNWPYWNRSEGADHFFVTPHDFGACFHYQEEKAIGRGILPLLQRATLVQTFGQKNHVCLKDGSITIPPYAPPQKMQTHLIPADTPRSIFVYFRGLFYDTGNDPEGGYYARGARASVWENFKNNPLFDISTDHPPTYYEDMQRSVFCLCPLGWAPWSPRLVEAVVFGCIPVIIADDIVLPFADAIPWEEIGVFVAEEDVPQLDSILTSIPTDVVLRKQRLLANPSMKQAMLFPQPAQPGDAFHQILNGLARKLPHGSNVFLKPGSAGDVLEDDPVGRLKVYVYELPPKYNKNILAKDSRCLSHMFATEIFMHRFLLTSAVRTLNPDEADWFYTPVYTTCDLTPWGHPLTTKSPRMMRSAIQYISKRWPYWNRTEGADHFFVTPHDFGACFYFQEETAIQRGVLPVLRRATLVQTFGQKNHVCLKEGSITIPPYAPPHKMRTHIVPPETPRSIFVYFRGLFYDTANDPEGGYYARGARASVWENFKNNALFDISTEHPPTYYEDMQRAIFCLCPLGWAPWSPRLVEAVVFGCIPVIIADDIVLPFADAIPWEEIGVFVAEDDILKLDTILTSIPMEEILRKQRLLANPSMKQAMLFPQPAEPRDAFHQVLNGLARKLPHGKDVFLKPGQKVLNWTEGTRDDLKPW >Sspon.08G0017090-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:66738027:66741911:-1 gene:Sspon.08G0017090-3D transcript:Sspon.08G0017090-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARQRRAPGRLPRFDSHVHLALDAAPLLLALASHAHLAPRCTPLPLTPSSLSAWTGPESIPPARCTAVCRSPALSCPIHRRPGHASGWSILVVGVGFGGDRWRASTTNIGYACGCPWLGREDLGHDRVSGVPDGNGRWTDDEGASDVGSEDARAADDGGRARRGRPTSAWWGEEGLRMSGHPLRRPQMGHILVSQPTGPISPTSESTRPSPFFNWAVGPVGPLVSAPRGKQWAPAISLRFTRRGGGFSLPRRARSPFRSTRAFYRHFLGAKLAYPSRHASPPPINSPTISLSSAHPATLAVTEIQRVTDLPESDRPTTERKEIAMVVALGPGRFYGSGLPRPRFFPGDRVDPPASVTDPLLEWAREAHWSMGSIGVKRLRLQGRIEGSIDKLRRSAPRRQGQGPLRRPHARLARRARLRRRRLRWDSEDEEEVAARERAMKRELVDDDEDSDGSDESEEEEDEPLAAIVTAAKKRRARKLSDEFDRIAVEQQLEKEKAGAATPARASPKRKAAAPAATTRASPKRKASASAAGAPARASPKRKAAATAAAPSSTTGARRTSPRNKH >Sspon.01G0049060-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:111203534:111206625:1 gene:Sspon.01G0049060-1B transcript:Sspon.01G0049060-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LPITRPQPALSIGNVGQLAVDLLISSARARLVAYLDEPSVLPCAGNDAFGPDAVGDLALALEGWGMMVSFAKNVADFISSIGKNHIVIISSLDSGKRRVIDASSDMQVYYLSSCNEDGSDPEYEKLGWKKLEDYNPSQKRWSYLASLVEGGGLSEDMDDDTDEMTINDYYASLPFAALFSACKAKGLKVSCVLCYCSEGDNMPESFQLAEAVCKLLGYGPEKFHGNGSNGWIVPLSWKSVYGPPPDMSIF >Sspon.01G0034610-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:5475553:5480442:1 gene:Sspon.01G0034610-3D transcript:Sspon.01G0034610-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAACSRGLAWPPFDLTTARGAASWPRRRAAIRCCCAGADSEPRRRLSRAAAVAPERAEEWRIDGNKPSAAAPGRRRASLTAMPPLPFPAPRSRRQLKQQDFYPRCTPRGSAPQSRDTPPKRDTGIASEKEWGINLPDEAVKESGINEDGSTWYRESGEDIGENGYRCRWTRMGGQNHDGSTEWKETWWEKSDWTGYKELGAEKSGRNAEGDSWWEKWKEVLYQDEWSNLARIERSAEKQAKSGAENAGWYEKWWEKYDAKGWTEKGAHKYGRLNEQSWTDKWAETDLGTKWGDKWEEKFFAGIGSRQGETWHAGQELGEKNTLVMEKSISMERAQLARAGIW >Sspon.07G0007670-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:15622792:15623382:1 gene:Sspon.07G0007670-3C transcript:Sspon.07G0007670-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRGAEPVGEADAERRRGYKGVRRRRWGKWVSEIRVPGTRERLWLGSYATPEAAAVAHDTAVYFLRGGTGVPGGGGDVAALNFPERAAAAYGAGGRLSPRSVQRVASDAGMAADAQLVAARESAPAPAPALAHGHRTGIRIIGSAHGGGVSARPRDQDAGDAYTGRAHASHHSTGAGREQPAVSGEISVDDMEILM >Sspon.06G0036070-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:89499399:89503182:-1 gene:Sspon.06G0036070-1D transcript:Sspon.06G0036070-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSGAEGTHTSPAEGEDRIKELLRKENKHKETALHEAVRFGNNDIVDLLMEEDWGLTSFPQEGGASPMYLAIMLKRGEIVKTLYDKSSHGMLSFSGPNGKNALHAAVLRYPHRAFLDLSDNKIMVFADLTKLLEWNETQLCPQNKDLTTERAENGSMPLHFAAALLGPSSICRQVLEANPDALYQPDHAGFFPIHVAASVLSRTSKCLLKSVLVASVCVMLREGHFFMLLLIKEGEHNQWCLQKPITVMDYEYDNDGNNELRLAVEAGSLHMFCPLLGNPQVNLNLPNSEGETPLDIAEYKIREFHYGQSSKAQICRTLRILGAAKGVCRWDKLLQDNETVRVKSHDEIKEMDVLKDSMGTLCIGSVLIATVTFGATFAVPGGYIADDHSNGGSPILARRMHLVIAVYLLSISIASLAAAFALGAYVVLAPVAHKTAVATCVLSPLVVLYKKMEFTGRCVRLLPPLCKRGLIWALLNLATVIMWNMVIENWPLIFIFGWAVHAIPTPKIQAPAPLA >Sspon.04G0026070-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:35932713:35990520:1 gene:Sspon.04G0026070-1B transcript:Sspon.04G0026070-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHLLCYVWLTQNGYWFSWERTRHLCQLRRRYVQLYATLLQQAPKVDISEIREIEKILDMKVIILWRLLAHAKVETVKSKETLHRKGAPKKRWWPFGWNTTGLPSEEATHPEPQLDEEEQLTKEEWQAINKLLSYQPDEDLSFPLEKVSPNVIRFMMDVSIGQAGARIINIDGTEVLCGRFEQLQVATKLYPKSTSCDVTLKYCGLSSPEGSLAQSVVSEGKSNALDISFVRAPIGMDLDWQLMAKISPCHVTVLKESYERFMEFIKRSNAVSPTVTMETATALQLKLEQVTRRAQEQLQLVLEEQSRFGLDIDLDAPKVRIPLTANQPSLGNEYFVLDFGHFTLHTRDGRHDEERQSLYSGFYITGRDMAAFLICDLAQDIYSIPENLDQDTLLGHTSDDNQFSSLLDRCGMSVIIEQIKVPHPNYPSTRVSFQVPNLDIHFSPKRYCKIVELLGVLSHMKGSNNEHSNNHESGSLAPWYPADLAGDARTLVWRGIGYSQAEWHTCYVVLSGMYLYILESEFSPNYQSMASRQVFEVPPTSVGGSLYSIGVCSRGGDTHKALELTSTLVIEFRNEIEKAMWMKALVQATYQASAPPDVNILGDPVSHPPESSTPRLNSLGSVDLVVNGSVIETKLSLYGKLDRKNNDPQELLMLELLGNGGKVNVVQSSRGLSVKTKLHSLKIMDELQGRLSMSSKYLACSVINESVEATCSDTIDKGGDLSTFPVDEDSFMDALTDFTPDQNFNLHDSQIPNFVSDANEYSEIVSKDGSWFDGDEQSVKPTEIFYEAQDNNVTDFVVLTFLSRSPDSCLYDGIDSQMSIRMSALEFYCNRPTLVALIEFGLDLSMVNSVPKSSPDVAPAIQTVKPTGKEEAAPTIVKGLLGHGKRRTIFNMKMDVDRVSMFLNKEDGSQLAMFVQEKFLFDLKVHPSSFSIDGMLGNMRFCDMSLGPDHRWGWLCDIRKPGVESLIKFSFQSYSIDDEDFEGHNYSLTGQLSAVRIVFLYRFVQEFTSYFMELATPHTEEAIKFIDKVGGFEWLIQKYEMDGASAIKLDLSLETPIIIVPKNSQSEDYIQFDLGQLKVRNEFSWHGGEETDPSAVRLDVLHAEINGINMAVGVNGTLGKCMIRDGRGINVEVRRSLRDVFKKVPILSMKVQIGLLHAVMSDKEYNVTTNCISTNLSETPNLPPSFRENVNRTKESIRLLADKVNLSNHSMVSHTVVVMTVDVQYALLELCNGPDAESPLAELAVEGLWVSYRTTSMLEMDLYLSILKFSIHDIRPDTKSEMRRMLGSYSETANLCAEDSSTDAGVSNLTMLILDYRWRSSFQSFVIRIQQPRILVVLDFLLPVVEYFVPSLGTITGREESLDPKNDPLMRADDIILSDHVFLQRENFIQLSPVRQLIVDGCGIDEFIYDGCGGTISLSEEFDKKGQVYSGIIIIIGRGKRLRFKNVKIENGALLRRCVYLNMGSSYSIASEDGVEVSVLESCFSNDDEDCLNLEEHKKRNLQNAIDGPTNQMLNFTFEAQVVSPEFTFYDSSKLSMDDSLHIEKLLRAKMDFSFMYASKEKDIWARSVIKDLTIEAGSGLLVLEPVDVSWKYTSVNEKTNIVLASTDVCIHLSLSVASLMLKLQNQTLAALQFGNISPLISCTNFKRIWSSPKGDLPGYNLTFWRPQAPSNYVILGDCVSSRSVPPSQVVVAISNTYGRVRKPLGFRLIHVLPGSLDLIDSCQSTEENECSIWIPVPPPGYLALGCVVNIGRIMSEFSIWRVDNVIASFCAHSSVEQPTRTEALDLHHVLLRNPNCYIVKDLNADSSIQNYQSSDQLTHHKSMSGWDVLRTVSRPSNYCMSTPHFERIWWDKGNDTKKPFSIWRPLSRFGFASVGDCISEGFEPPTLGILFKCDTVVSEKPVQFTKVTQIDRKGLEEIFFWYPVPPPGYASLGCIVTKTDEMPSKDSICCPKLSLVSQANISEDPISKSSSAKGPYCWSIWKVENQGCTFLARPDVKKPSTRLAYRIADHAKPKTRENITAELKLGCLSVGILDSSCGMVTPLFDTTIANINLATHGRFETMNAVLICSIAASTFNRHLEAWEPFVEPFDGIFKFETYDTSEHPPSKVGKRIRVAATSPLNANLSSANLELLIETLVSWRRQIDLENKSSTKNEDSVQNMKKAKDSLYSALNEDDFQRVVFENKLGCDVYLKKKLEDRENIIELLQHESKVSLLLPPPRFSDKLNVLSNSTESRYYVVVQIFESKGLPIIDDGNGHSYFCALRLLIGSHASDQHKVFPQSARTRCVKPVETTGLLTHCAKWNEHFIFEVPEQASANLEIEVTNLASKAGKGEVLGSLSIPIGRGATMLKRAPSMRMIQQVSDVKRVLTCPLTKKGQVPNFEDRKKCGVLILSSCYVERSTHSYFQSLKDSINNVESDFWIGLSPDGPWESFTAALPVTVLPKSLNNNHFAFEVTMRNGKKLATLRGLAVIVNDADIKLEVSVCPVNMLNNSMLNTRSASSTNAIDEVFENQWYRPITGWGHNPSIDHGNDLKQWSTRDCSYSSKAFFEPGLPSGWRWTSPWKIERSNFVDNDGWAYAVDFQNLNWPSSSWRSSKSPHDFVRRRRWVRSRQQLQEQSAEIPRKVLATVSPHSSTALPWTSMIKDMDLCLQVRPYSEKSEESYSWSQICSLGSESIPKQQQSSLSRQSTVKQSVVPSGNSVLKLAELEKKDVLSYCHPPVGTERYFWFSVGIDASVVHTDLNVPVYDWRISFNSILRLENKLPYEAEYAIWEISTKSNMVEKQHGIVPSGGSVFIYSADIRKPIYLTLFLQNGWILEKDAVLIMDLLSLEHHDLGASDAAPKTLRLFVPYWIKNHSSIPLSYRIVEGEPTESSEADSLSRPDSLSRVAKSSKFSLKYSSKSLVRRGTMSQRNMQVLEDIEDCSTNYVMLSPQDYLNRSAGVRFESRDNNNFSPARVAISVAVGGCTQYSIGVSLFELENKEHVDIKVFSSDGSYYWFSVQLKMASDRTKVVNLLPRVLLINRIGRNIFLSEYHDETEEPLQPYEPPKVFQWRSEFGSELLKLRLEGYQWSTPFSINANGVMCVLMNSTTGHDQAFVRVNVRSGTKSSRYEVVFQLDCWSSPYRVENRSMFLPIQFRQVGGGDHSWRNLLPNSSASFFWEDLSRRHLLEVLVDGTDPMNSMTYDIDVVMDHQPLTNSGALKKALRVIVLKEGKLHVVQVSDWLPDNRNRGQIIERMLSPIFQPSEVDYGQSSPDLDSEFHVTLELTELGISVIDHMPEEVLYLSVQQLLLAYSSGMGSGVNRLKMQMHWIQVDNQLPFVPMPVLFCPQKIENQSDYIVKFSMTVQTNNSLDFCVYPYVGVQVPENCVFFVNIHEPIIWRLHEMIQHLKFDRIYSNQPSAVSVDPILKIGLLNISEIRFRVSMAMSPSQRPRGVLGFWSSLMTALGNMEHMPVRIAQRYREELCMRQSALMNAAISNIQKDLLSQPLQLLSGVDILGNASSALSNMSKGIAALSMDKKFIQGRMRQDSKGVEDFGDVIRDGGGALAKGIFRGVTGILTKPIEGAKSSGVEGFVQGVGKGIIGAAAQPVSGVLDLLSKTTEGANAVKMKISSAIMAEEQLLRRRLPRAIGGDSLLYPYDEHKATGQAILHLAECATFLGQIDIFKIRGKFASTDAYEDHFLLPKGKILLITHRRVLLLQLPMMTQRKFNPTKDPCSVIWDVLWDDLVTVEMTHGKKDPPGSLPSKLILYLKAKPSNSKEVVRLVKCNRGSDQATIIYSAIDKAYKAYGPNCLKVHTLSGCQANDIFNIYTR >Sspon.06G0023950-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:45167064:45171938:-1 gene:Sspon.06G0023950-3D transcript:Sspon.06G0023950-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSTPPPEAAPGASSSSSAAAASAEDLAGGVAAMTLDERFDLLRGIGEECIQEDELRNLLEKKPVPICYDGFEPSGRMHIAQGIVKTINVNKMIRAGCKVKIWIADWFAQLNNKMGGDLKKIQTVGRYMIDIWKAAGMNLDGVEFLWSSEEINNRAHELWAEADICQLGMDQRKVNMLAREYCDDIKRKNKPIILSHHMLPGFKEGQEKMSKSDPSSAIFMEDDEAQVNVKIKQAFCPPKIVEGNPCLEYIKYIVFPWFGRFEVIRKESNGGNKTFTSMDELISDYESGALHPADVKPALSKAINEILQPVRDHFNNNNEAKVLLNTVKKYRVTN >Sspon.04G0033270-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4C:52746100:52747583:-1 gene:Sspon.04G0033270-1C transcript:Sspon.04G0033270-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MELPRLRSTKLPLLVPDETRSRPRIWRWPSAIWCGRRGKTDAVEAPDVVETATIDGWMCPNAVQTDGVATAGGTSSRGEVEASNPSEHGRTAWADGDPWRSESAAWAGRKKAPALLGFGGGAVLLFTRLAGLRQEPEPESSRGSLPNGL >Sspon.07G0002620-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7B:4945608:4946693:1 gene:Sspon.07G0002620-2B transcript:Sspon.07G0002620-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GGAIAGPTASATRRRLLLLTANYVALLVGSVASSLLSRFYFAHGGRNRWVVTLVQSAGFPLLVISVFFAGRPAAAPRPFTWFSRRFLTVCLVIGALMGANNLLFSYSTSFLPVSTSSLLLSTQLAFTLVLAAIIVRHPLTFVNLNAVVLLTISSLLLALRSGDSGENPEGGSAAHYFVGYVVTLGAAGLFAAYLPVMELLYRKAVSGGFILAVEVQVAMQAMATAVAAVGLAAVGGAGDDVARWEGSTALYWVVVLTLVLTWQACFMGTAGVIYLTSSLHSGVCMAAVLTANVLGGVVVFGDPFGAEKGIATALCAWGLASYLYGEYTKKKEVAVADPSAPDADSVLKSLTARGGPGDELEA >Sspon.03G0033230-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3B:46869353:46872817:-1 gene:Sspon.03G0033230-1B transcript:Sspon.03G0033230-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AKRKASRGLLREAKARARELFRSAPHRRPRPPNSRRSSRHPPASAASRCLPPPRTEPLTLPRRSPSTTGRFPRRSPSPIRRLPRQRPVNVSRLPGSFVLSLRAASPAAFHSFLASCGRGERREREARSHPGVGLGMGGGECALGDAAIGEETLNYDGDDVEMADAGSDTEEALAAEVSAATGGAAGGGGHAEKDGPEGKKKKKRKKRNKGKKNKGRQDGAPATIADINRFVLDTCKRLKEKKSYLVWNAVGCLGVTVVTDLVREVEAIQKCGGQTIADGSRFRTGGGILWNILKSREPKAYKEIMAKGKELEKQFRYTKRPQISRNEDASSQGSALIDDESEAQEPKEILNDPEQLDDVEEPPASDNKAHRKPLADRIRAPVAYDDLFEEGEIHEEPQS >Sspon.03G0032120-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:35145369:35170215:1 gene:Sspon.03G0032120-1B transcript:Sspon.03G0032120-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDGRPVVRRRQEPTTVVRTEDDDVASGDEDSDAEFEFPFVSRESAAGAGAAAADELFAGGRIRAFYPVFGRVLQDEAPAPAPASPRAPLGRLFQLEQARTSSVASTSSSSSSSTADAGAGLDGASPDSYCLWTPGSSASAASSPSRPPRKSGSTGSIARWRRIGELVVGRSHSDGREKFLFLSAPPSPARDREHSSPASKAKAKQPPKGSKAAAATELDTVAAGRRVSYGGGAKASTTGGRRTFLPYRQDLVGLFANGMDAVERLKVGFQKFKTEVYDKKPELFEPLKGGQAPKYMVFACADSRVCPSVTLGLQPGEAFTVRNIAAMVPPYDKTKYSGTGSAIEYAVCALKVEVLVVIGHSCCGGIRALLSLKDSAPHNFHFVEDWVRIGSPAKTKVQKEHASVPFDDQCSILEKEAVNVSLANLKTYPFVKERLAKGTLKLVGGHYDFVSGKFVTWEPYMVFACADSRVCPSVTLGLLPGEAFTVRNIAAMVPGYDKTKYTGIGSAIEYAVCALKVQVLVVIGHSCCGGIRALLSLQDGAPDNFHFVEDWVKIGFPAKMKVKKEHASVPFDDQCYILEKEAVNVSLENLKTYPFVKEGLAKGTLKLVGGHYDFVKGEFLTWKV >Sspon.05G0008500-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:17185101:17186090:1 gene:Sspon.05G0008500-2P transcript:Sspon.05G0008500-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DWVSKVHSSANFCQACNSSKSNCSERHCRRLKLENIWRRAIGKCRSRSAKSFLRKEGFLSSVHVTEELAIAEVGFGHPDHLSRAEKMQSLIECSLQHVLGCNVEIRFKLVPCPVRKDARLKRQSFSFLNCSGRKQELSDSVVTDEDEAVRPGARETPLKGYTSSQQESPYTMQRADSKPTVHGCEDDARSTLTSNRSMTDDLTRTCRSETNYSKGVSEQGHFNSIQEPDLQPNCFSRTLKLQKKLLSSGAAHTICLRIQPHNKMDFLPKKEFDTYFCAYEPYEQCSRSNSRATYSSRDDD >Sspon.05G0003990-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:15127681:15129528:-1 gene:Sspon.05G0003990-1P transcript:Sspon.05G0003990-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRGRNRRSAAAAAADAVARPLVLALALVSTLPRAAHSQDLAPPPLRPPGRRATTCDNVPEPFGISSRGASSLPGGFGVTCGPNREAILSIGEHEYRIDSVSVPGSYVVIFAEPITQVCYDGKGKPTPDTGTGAKSLDGTTFTWSLEGTPFTFSKSNKLVNFGCNRTLMANFNLPGDPSPLYTSCTTTCNTPNISGSCLGEACCEASTDQMNGVKAFSLSFERTTANGTGDGEEDETCSAAFFLDKAEPVFNFSGDGVRPLKKALSQQGERRMILDWAIGSTTCDQAQSYAFEPLCKYGYGTCVDAPSGAGYLCKCPDGYDGNPYVSDGCQDINECRNYNSNNCTYQNLCNNTLGGYTCSCPENNIGDGYRTGTSCNTTLVTP >Sspon.07G0017480-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7A:62770719:62771018:-1 gene:Sspon.07G0017480-1A transcript:Sspon.07G0017480-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding IHETSSSNHKAQAIYPPSVHARATKAPSSIHGGIAELPLRRCRQPDIDVRHDKGTPGHNIGGRYTGFLLLAHGGTAARVAAGPHAGCAPLPSTATKQDP >Sspon.01G0001000-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:3043417:3045114:1 gene:Sspon.01G0001000-4D transcript:Sspon.01G0001000-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFRGDDEEEETAEELLERVRGMVPVAAAAARAARGFPGRWKAIAAKLDTLPACLSDLSSHPCFARNALCRELLQSVAATLADAAELAARCRDPPADGKLRTQSAVDALSGRLDLGLRDCALLVKTGVLSTAGPSPSPLPPAEAAAAEAAPSADVRELLARLQIGHAEAKSRAVDGLLDALRRDEWSVLAALGRASVSAMVQLLTASAPVAREKAATVVCQVAESGGAACEALLVSEGVLPPLVRLAESGSLIGREKAALTLQRLSASSPDVARAVVGHGGAGALVEICQTGDSVSQTAAAGALRNLSAVPEVRQALADEGIVHVMVGLLDRGAVAGAKEHAAECLQNLTSGNDGLRHAVVSEGGLRSLLLYLDGPLPQEPAVGALRNLVGAVSPDCLVALGVLPRLVHVLRVGSIGAQQAAAAAICRMSTSAEMKRAVGEHGCIPLLVRLLEAKSNGAREVAAQALASLVSCAANAREMKKDDKCVPGLVQLLDPSPANTAKKYAIACLLTLSGTKRCKKVMVSHGAIGYLKKLSDMDVAGARKLHERLESGRLRSMFSRQQLV >Sspon.08G0007540-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8A:24984949:24985326:-1 gene:Sspon.08G0007540-1A transcript:Sspon.08G0007540-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding FLSPHLKLVWPVGHHLPPPGAGHEPDPSGGYLYDDGFRAHFLQVYIQAALGSVRNGSDVRGFFVWSFMDVFEFVFAYSFRFGLYGVDFAAEDRTRYARSSARWYAGFLRGSDLTLAQPLGHSSTYS >Sspon.04G0008730-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:25926497:25928993:1 gene:Sspon.04G0008730-3C transcript:Sspon.04G0008730-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:4-hydroxy-3-methylbut-2-en-1-yl diphosphate synthase (ferredoxin), chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G60600) UniProtKB/Swiss-Prot;Acc:F4K0E8] MATGVAPAPLPHVRVRDGGVGFTRSVDFAKVLSAPSAGTMRTSSSRGRALVAKSSSTGSETMELEPSSEGSPLLVPRQKYCESIHQTRRRKTRTVMVGNVPLGSDHPIRIQTMTTSDTKDVAKTVEEVMRIADKGADIVRITVQGRKEADACFEIKNTLVQKKQYGRAMRIGTNHGSLSDRIMSYYGDSPRGMVESALEFARICRKLDFHNFVFSMKASNPVVMVQAYRLLVAEMYNLGWDYPLHLGVTEAGEGEDGRMKSAIGIGTLLMVIASLLIYVV >Sspon.01G0054020-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1C:46049616:46049808:1 gene:Sspon.01G0054020-1C transcript:Sspon.01G0054020-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding CVASGGQELEACGCGSGVWQRCCGKWERKAATVRVVLHGRREATMRAMLHGRRAATPRGMPQCM >Sspon.01G0013260-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:36349235:36351147:1 gene:Sspon.01G0013260-1A transcript:Sspon.01G0013260-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGLASSAALGVLAVVLVSSCLVALSEDGSCRTAVHMPLENLRFVRHAQDAPLVSQYNYIVIGGGTAGCPLAATLSEHSRVLLLERGGLPYRNMSNQQHFTDALADTSPASPAQRFVSEDGVVNARARVLGGGSCLNAGFYTRASNDYVRAAGWDTRLVNSSYRWVERALVFRPDVPPWQAALRDALLEAGVTPDNGFTFDHVPGTKIGGTIFDNSGQRHTAADFLRHARPRGLTVFLYATVSRILFRQQEGVPYPVAYGVVFTDPLGVQHRVYLRDGAKNEVILPQLLMLSGVGPQAHLEAHGIQVLVDQPMVGQGVADNPMNSVFIPSPVPVVGITRSGSFIEGVSGSEFGIPVSEGARRLARNFGLFSPQTGQLGTLPPKQRTPEALERAAEAMRRLDRRAFRGGFILEKILGPVSSGHIELRSADPRANPAVTFNYFQESEDLERCVHGIQTIERVIQSRAFANFTYANASVESIFTDSANFPVNLLPRHVNDSRTPEQYCRDTVMTIWHYHGGCQVGAVVDDDYRVFGVRRLRVIDSSTFKYSPGTNPQATVMMLGRYMGVKIQAERWRK >Sspon.02G0021390-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:72936116:72936754:-1 gene:Sspon.02G0021390-2C transcript:Sspon.02G0021390-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding NMGKLGKCWDAWCDKWDEWKYCLLCVGIVAAVILFAVLLAAYGFVRHLDISVDDASLTRFELSTSPPALTSLSYNLSLTLVIRNPNWAMSLKNTKPLEAQYKFDDQVFDRFQLADKGAKHPARKTRVYHLSPAGTTRAVMLGNAGEAEFRKENATGTFQVEVAVVGEVRYTARYTKCKVEASCPLKLQLAPPGTAAVVFQKVKCKLTKPEKNC >Sspon.08G0006370-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:16976477:16977972:1 gene:Sspon.08G0006370-3D transcript:Sspon.08G0006370-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASFNADVTRHGGAAAGTGRALGVHAASRKIGKPASAGHGHGQQERKPVIIYMVSPKVIHVEAHEFLPLVQRLTGPEAAGRGDKKSSRPSTSGGGAAGSSSPREEWEGARNKNRAAPPVRVKARALNRPAGPAVSVSVTATGQQQQAAAPSAAAAASASPSGLMFRDLSPLRGAALKGEHHPLVSPGWLHHVGDHHFLSPGAAATLGSPSASFLDIFGPLSSQQHLLHILSASVMDTTSEF >Sspon.01G0020040-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:74518589:74519008:-1 gene:Sspon.01G0020040-2P transcript:Sspon.01G0020040-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKVKIAVVIAILALLQVSCATARRHSGGSGGTSAVMTVNGFEKGQDGGGPSECDGKFHSNDDLIVALSSQWYAGGKRCHKKIRITSADTGRTVEAQVVDECDSHRGCKNNIVDSSPAVWKKLGLDTDVGEVRVTWSDA >Sspon.06G0019940-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:5938350:5939459:-1 gene:Sspon.06G0019940-1B transcript:Sspon.06G0019940-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPTSPYKPKSILITGAAGFIASHVAIRIVQKYPEYKVAVLDKLDYCSNLKNLLPVSSYPNFKFVKGDIASADLVSFILATEGIDTVMHFAAQTHVDNSFGNSFEFTKNNIYGTHVLLESCRMAGPGQIRRFIHVSTDEVYGETDGDAVVGNHEASQLLPTNPYAATKAGAEMLVMAYGRSYGLPVITTRGNNVYGPHQFPEKLIPKFLLLAMRGQRLPIHGDGTNVRSYLYCEDVAEAFEVVLHRGEVGHVYNIGTKKERTVMDVARDICGLFGLDADEAIEFVDNRPFNDQRYFLDDEKLKSLGWRERTHWEEGLRKTMEWYVANPDYWGTCPGRCCRIPRRAAASCRTTAWDPRRRSRGCSIVSL >Sspon.01G0022420-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:82038859:82041051:1 gene:Sspon.01G0022420-1A transcript:Sspon.01G0022420-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ARILRRRRRARCISSAQRRGGVASRARHSKVVQIWLPDETHVTMTAWHRYGRALTEPPALAQGLSATMTETSSCRWISLQFQNVPKIALSYIYLCEPESSTCIVLNQHMVDDIAKAIL >Sspon.02G0017320-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:50293289:50299221:1 gene:Sspon.02G0017320-2B transcript:Sspon.02G0017320-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASASGEEKAAGRVALHRLFAFADRTDAALMAVGAVAAVANGMAQPLMTFILGDVIDAFGSGTADGVVHRVVQVSCWTITGERQAARIRALYLKAILRQDIAFFDMEMSAGQAVERMAGDTFLIQDAIGEKVGKSIQLLSTFIGGFIIAFTRGWLLALVMLSTVPPIIIAGAIVSKLMTGLSTRMQADYSDAGNVVEQTLGAIRTVVSFNGENQAITKYNTFIRKAYQSSLQEGVVNGLGFGLIMAILFSSYGLAVWYGSKLIVERGYNGGMVISVIMAIIMGAMSLGQTTPSVTAFAEGQGAAYRMFKIFERKPDIDIDDTTGIILEDINGDVELKDVYFSYPTRPEHLIFDGFSLQVPSGTTMALVGDSGSGKSTVISLVERFYDPQAGEVLIDGVDIRRMKLGWMRGTIGLVSQEPVLFSTTIRENIAYGTEKLTLEETKRAIELANAAIFIDKLPNGLDTMVGEHGTQLSGGQKQRIAIARAIIKNPKILLLDEATSALDMESERVVQEALNRIMVERTTIVVAHRLSTVKNADVISVLQHGKMVEQGSHVDLMKIPGGAYSQLIHLHETQQEAENVHNDMKITNSFGSRSIDSKPRSQSISRRSTSIVSSFGHSIPAPFGSPDPMGISDAPDIEEATDKVTSSQKKASIGRLFHLNKPETFVLALGSITAAMHGIMFPIYGILISTAIKVFYEPPEELLKDSRFWASMFVVLGACTFVLIPIEYFLFGLAGGKLVERIRSMTFRSIMRQEINWFDKPEHSSGSICARLSTNALNVKRLVGDNLALNVQTASTIISGFTIAMVANWKLALTTTVVIPFVAFQTYAQIVFLKGLNRNAKLRYEEASQVATDAVGGIRTVASFSAENKVMDAYEKKCGSPRRQGIKEGAVGGLGFGFSFLAFYLTYALCFYVGAKFVQQGTATFPEVFRVFFVLALATGGVSRTSAVGADSAKANDSAISIFEILDHKSKIDYNSEEGVTITSVRGDIDFQKVCFKYPLRPNVQIFKDLSLRIPSGKTVALVGESGSGKSTVIALLERFYDPESGKIFLDDVELQTLKVSWLRQQVGLVAQEPVLFNDTIHANIAYGKQGEVSEEEVIAAAEAANAHTFIAALPDGYNTIVGERGSQLSGGQKQRVAIARAIIKDPKLLLLDEATSALDAESERVVQEALDQVMVGRTTVVVAHRLSTIRGADIIAVLKDGAVLEKGRHEELMHVKDGTYASLVELSSSSA >Sspon.04G0035800-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:17076685:17078946:-1 gene:Sspon.04G0035800-1D transcript:Sspon.04G0035800-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLYFVAAQWRLQVVRRGRREARAGWRHEARGAGCTWREAGERCRARGARRVCPHYRYNNQEEASCTDQPSLPPETGFNRQVSMMKQWLVFACALLAASSAGVARASSPSLQVGFYEHSCPQAEDMVRNAVRRAVARDPGLAAGLIRMHFHDCFVRGCDASILLDSTPGQPHEAEKYSPANFPSLRGFEVIDEAKAIVEAHCPRTVSCADIVAFAARDGAYLAGGIDYRVPAGRRDGRVSVKDEVLKDNLPFPDSTGLSADDMVTLSGAHSIGRSHCSSITDRLYSFQGETGRTDPALHPAYAADLKRRCPPPTDDNTDDRTTVPLDTVTPNTFDNQYFKNVLTHKVPFTSDQTLLDSPWTAGLAWEAKFAKAMVKMGAIEVLTGHEGEIRQKCSMVNYY >Sspon.07G0028450-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:66614377:66630636:-1 gene:Sspon.07G0028450-1B transcript:Sspon.07G0028450-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGRRSGDPLAPLALRPRRWWGPVLLLLLLLLLLLLLLPTIGGSSESSSTWQMSLAACTLTPLARDIRFYEDPDHFILQNIEEGKTYNLVMYVRSLESVELTASLTCSRPSHALENLASASIQDIDVSNWTRVELQLLAHGTCSTSRLDLTTSKRGVIWFDQVSLMPSDTYRGHGFRKELIHMLLDLKPRFLRFPGGCFVEGDRLRNAFGWKETIGPWEERPGHYGDTDDGLGDFEFLQLAEDLGAAPIWAFNAGISQSEGVDTTSIAPFVKDVLDSLEFATGSAESTWGSVRAKMGHPEDQNLSR >Sspon.02G0045420-3D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2D:90263786:90264877:1 gene:Sspon.02G0045420-3D transcript:Sspon.02G0045420-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPYAASPYVLSLLLLVLSVPAVFLLAPRLIPPRTLPAIPDADETEDLALFRRAVLLSAAPSKTGPASASPSRFFGAAHRQRKQKVAFLFLTNSDLVFAPLWEKFFAGHHDLLNVYVHADPAAELLLPPTPSFRGRVIRGKATARASATLISAARRLLATALLDDPANHFFALLSQSCVPLRPFPALYRTLAADNAGPRGRHRSFIEILDAEPTLHDRYYARGDDVMLPEVPYESFRVGSQFFVLTRRHAVMVVRDRRLWNKFKLPCLVKRKFSCYPEEHYFPTLLDMQDPAGCTKFTLTSVNWTDSFDGHPHTYQPEEVSAELIRELRKSNGTYSHMFARKFSPGCLTPLMEIADSVILRD >Sspon.08G0006580-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:17872226:17876344:-1 gene:Sspon.08G0006580-1P transcript:Sspon.08G0006580-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEVLDMAPPPPPPPPQAQARHHHQGAANKGGHAERRKQPLQSSVTQPKAEPAAAAVLPEGGKRCGGGGRRRGGRGRAKAPAEPRAALAVAVAPAPAQAPPPRTVIGPPVPSKGLSFCRRPGFGTVGARCVVKANHFLAELPDKDLTQYDVKITPEVSSRTLNRVIIAELVRLYRASDLGMRLPAYDGRKNLYTAGTLPFDAREFVVRLTDEDNGTGVPPREREYRVAIKFAARADLHHLRQFIAGRQADAPQEALQVLDIVLRELANQRYVSIGRSFYSPDIRKPQRLGDGLQSWCGFYQSIRPTQMGLSLNIGELCWLGNTICCPHACDFFFCPVAAAYTAMLTADMSSTAFIEPLPVIEFVAQILGKDVISRPLSDANRIKIKKALRGVKVEVTHRGNVRRKYRISGLTTQPTHELIFPIDEQMNMKSVVEYFKEMYGFTIQHPHLPCLQVGNQKKANYLPMEACKIVEGQRYTKRLNEKQITSLLKVTCQRPREQEMDILQTVHQNGYEQDPYAKEFGINISEKLTSVEARVLPAPWLKYHDTGKEKECLPQVGQWNMVNKKVINGCKVSHWACINFSRSVPENTARGFCQELAQMCQISGMEFNSEPVMPIYSARPEQVVKALKNVYNIALNKLKGKELELLLAILPDNNGPLYGDIKRICETDLGLISQCCLTKHVFKISKQYLANVSLKINVKMGGRNTVLLDAISWRIPLVSDIPTIIFGADVTHPETGEDSSPSIAAVVASQDWPEVT >Sspon.03G0002770-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2C:109005268:109006584:1 gene:Sspon.03G0002770-2C transcript:Sspon.03G0002770-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAPELWWLWATLAVSTLICYLTHQHRRRRWCSVRRPPGPRPLPLIGNLLDLRRAPGNLHHTLARLARAHGAPVMRLDLGLVPAVVVSSRDAAREAFAAHDRRIAARPVRDSKRALGLCDRSVLSLPSSSPLWRHLRGVMAAHVLSPRSLAASRAARERKVGDMLRYLRARAGTVVDVKEAVYGGVANLVSTAMFSIDVVDVGAAADSPPLALGLQELLEGLMECMGKPNVSDFFLFLRALDLQGCRRRVAVQLAKVLQILDEITELRLAEASSSSTSRSNKGGDKHGDFLDVLLELESTGKITRDNLTLILFDIFAAGSDTMALTVVWAMAELLRNPGVMARLRAEIRDALGGKDAVEEADAAGLPYLQAVVREAMRLHPAAPVLLPHKAVEDGVEIGGYAVPRGSVANLEFWVRVCFSKNFIRNSENPLSNSVIRL >Sspon.02G0055530-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:7051341:7052321:1 gene:Sspon.02G0055530-1D transcript:Sspon.02G0055530-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARYARALLQRREVEEEEGKGMAKLQAAGRLPVILLALFLLVVAPAQAARFTNLNCSCGDFDVDAGGFRATRIGEAPELEGAQVAAEVL >Sspon.07G0029440-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:74162527:74162703:1 gene:Sspon.07G0029440-2C transcript:Sspon.07G0029440-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RWTGPISSAPEPGRRRPRAGATGPRRCSSRWTTPSCSPSPASSPTSSGRGSSPPPPAPP >Sspon.06G0020100-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6D:6882466:6886966:1 gene:Sspon.06G0020100-2D transcript:Sspon.06G0020100-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLPQPSAVKDDAPTALRTSISCPPHFGGVSACDLDGLPAGSSSSRVLSYPLIGDFNRKTTLDGPNESNGFSKDNHEFEHADLRGLNIDSRDGNSRSFPKLVPSVHMPARRVVGFDSGCGGSDGTETNMVDSSLINSNCHLPFDQHEFQARKRLLSPLKNVLPKQFNGDVLNLSSDDSRFRHSDSADKLYSTGSQDNKKANTGCLNSFETRDTPTSRCSSWSPEWDVTRSNSNSFTDGPLLGSKDSVSYYDHLAASAKLAHSPLSLSPLSPKYMNKIKETGGSDGARMQDVSKGTNLLHDELEVMTPKWSSLRRYRNWGPESSPTSPRPTSPRIGYGRSLSLHVRRSLVGSFEESLLSGRYSYGKDSQTIDGFLAVLNVTGGSFFPTTQKLPFSVTSIDEDSSLLYYSSIDLAGRLPSNNSKSPKLQRSYSNNDSRSAKSRLRIPVKGRIQLVVSNPEKTPLHTFFCNYDLSDMPSGTKTFMRQKVTLSPSVLPSNPVEEGSKTCNVNVGPKSSQSVSCGSEPSERGTLCSECCGGGQNCNSNDESEKGDPKTRCRSLDSDSNESNKSSSHGNKKDHSDSDGCCCQMNKFGIGEKKSCCSPSKINDSSGGAVLRYALHLRFLCPSKKSSKSMLRCKSDPSSAPYSSNTVPEEERRFYLYNDLRVVFPQRHSDADEGKSEIGSV >Sspon.07G0002710-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:5735164:5739324:1 gene:Sspon.07G0002710-4D transcript:Sspon.07G0002710-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEEELEFNQMLDELGPRFVDWWGTGILPVDADLLPQTIPGYKTPYRVLPTGMRSALTNAELTNLRKLARNLPCHFALGRNRNHQGLAAAIVKLWEKSLVVKIAVKRGIQNTNNKIMAEEIKNLTGGTLLLRNKFYIVIYRGKDFLPTSVAAVLAEREELTKDIQNMEEQRRNVLIAQPPDDGLDGHALVGTLAEFQEAQARWGREVTAKEQEEMKEASSRSEKQKLYRKLEHKLSIAQAKIHRAERLLSKIEASMVLADPCDDQEMITDEEKSVFRRIGLRLKSYLPLGVRGVFDGVIENMHLHWKHREVVKLISKQKTLSFVEETARLLAYESGGILVAIERVPKGYALIFYRGKNYRRPINIRPRNLLTKAKALKRAVAMQRHEALSQHIDQLESNIKQMKLDLGIEDYEEQDDDSSDSENEYGMAVTSGSYDEDQDDFDESADEDEYDDYDDDIEDEEIDK >Sspon.08G0016450-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:64962566:64967510:-1 gene:Sspon.08G0016450-1A transcript:Sspon.08G0016450-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDGGDDDGPATTTRRKPPPTSPSRDRRPRADGGMRIVVPLQGVVQGRGGLVLGSLIPCALFYFFQLYIKRNRPAPAPGSPPAPASSAFPIRRSHSRGLLTPSLSARGAVVRAGDEDSLYYAGLRRCADDPYHPASNPGGVINLGIAENHLSLDLVGRWMEEHAGAAMLDGLAGAGEEVRDLTIRGLATYQPYDGILALKMALAGFMQQIMHGSVSFDPSQMVITSGATPAMEILSFCIADPGNAFLVPSPYYPGWDRDIKWRTGVELIPVPCRSTDNFNISITALEIAYKQAKKRGVRVRGVLISNPSNPTGGIVPRETLHDLLQFAAEKNIHLISDEIFAGSTYGSDKFVSVADVVDELKDFDKGRVHIIYGLSKDLSLAGFRVGVIYSYNEDIVSAAAKIARFSSVSSPTQHLLVSMLSDQKFISEYIKVNRERLRKMYLLFADALKQVGIECFKSSGGFYCWADMSRYIRSYSEKGERKLWDRLLEEAKVNATPGSSCHCIEPGWFRCCFTALSEEDIPVLVERLRRVTDSHKSNR >Sspon.03G0009950-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:41709773:41711453:1 gene:Sspon.03G0009950-3C transcript:Sspon.03G0009950-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEGGSNLAVLDALDTARTQMYHMKAIVIAGMGFFTDAYDLFCISTVSKLLGRIYYPDDNLYIDKPKPGTLPVATNNLVTGVALVGTLMGQLVFGYFGDKLGRKRVYGITLVLMAACAIGSGLSFGKTRSAVIGTLCFFRFWLGFGIGGDYPLSATIMSEYSNKKTRGAFIAAVFAMQGVGIIFAGLVSMIISGILLRYNPAPAWKDNHDGSWQDQMPAADYMWRVVLMLGAFPALATFYWRMKMPETARYTALIEGNAKQAANDMQKVMDVEIQAEQDKLARYKAANDYPLLSREFAQRHGLHLIGTATTWFLLDIAFYSQNLTQKDIFPAIKLTSPAGDVNALKEVFEISKAMFLVALLGTFPGYWVTVALIDKMGRYLIQLIGFFMMSVFMLLMGIMYDDLKNKYTTLFALFYALTFFFANFGPNSTTFVLPAELFPTRVRSTCHAISAASGKAGAIVAAFGVQNLTLKGDVRSIKKALIILAVTNILGFFFTFLVPETMGRSLEEISGEDGNAGNGPGVPPARPRALR >Sspon.06G0028840-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6C:11739458:11739769:1 gene:Sspon.06G0028840-1C transcript:Sspon.06G0028840-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding APCMRARGPRVLAPPARPRKAARPCSAPLLRREEAAGAAPAQGRQPPGEGKGEKQRREAAGEGRAAPSPKSSTRKPSLRPWPPAAPRRRAHGHGGDPSPRSWM >Sspon.02G0017600-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:51544557:51550279:1 gene:Sspon.02G0017600-1A transcript:Sspon.02G0017600-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTAVRTGAGVRAAYSMFCSSVAATARVPVPMPPSAGLSNWSYMLMLHVRTARVNVMALPVSFPRDGKNIQYDRRHQYLLASATAGRNPVVLVADADRISAWEQSKHTKMWKPRP >Sspon.06G0018060-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:79591832:79594005:-1 gene:Sspon.06G0018060-2P transcript:Sspon.06G0018060-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATANERWSLAGATALVTGGSKGIGHAIVEELASLGARVHTCSRNTAKLEECRRRWAEEKGLQVTVSVCDVGVRAEREALMGTVKDVFAGELDILVNNAAQVVFKPAVECTAEDYAQITATNLESCFHLSQLAHPLIRKSAVAGGGSIVHISSVGSCLGLPNVAIYSTTKGGMNQLTRSLAVEWASDKIRVNCVAPGIVFTDMAKQVPVVLEKESLSRIPLKRSGEPQEIASVVAFLCMPAASYVTGQVIYVDGGRTISA >Sspon.02G0007020-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:15078814:15086655:1 gene:Sspon.02G0007020-4D transcript:Sspon.02G0007020-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAPLLGAESGGEPAAARPPPSSSWVERVVDTAEARAQLGFAVPMVVTSMAYYGIPLVSVMFSGHLGDVHLAGATLGNSWATVTGYAFVTGLSGALETLCGQAYGAGLYRMLGLYLQSSLIMSAAVSVAVSALWWFTEPVLLFLRQEPEVSRAAAAFVQAQVPGLFAFAFVQCLLRYLQTQSVVLPLVVCSVAPFALHVALTHLLVNVLGLGLAGAGAAVSATFWVSCLMLLAYVLRSGAVSETWKGFSAEAFKYVPPTVKLATPSAVMVCLEYWAFELLVLIAGLLPNSTVSTSLIAMCVSTEAIAYMITFGFSAAVSTRVSNEIGAGNVERAKNAVSVTMKLSVFLGVTFVLLLAFGHGLWARLFSGSAMIVSAFGAITPLMVISIVLDSAQGVLSGVARGCGWQHLAAVTNLVAFYFVGMPLAILFAFKLDLRTRGLWAGLICGLTCQASTLLVITVRTKWSNLAEAMQEKKANYVA >Sspon.02G0013660-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:1277197:1278615:1 gene:Sspon.02G0013660-1P transcript:Sspon.02G0013660-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MELGLSLGEAAVPDAAGRAAALELDLGLGVGIGASAAGTGRGGEGGRGISRAAGTGTGWWAALATPEPAVRLSLVSSSLGLQWPPSDVGFCHAGRGEAPAARGFDVNRAPSSVAVSGLAALEDDEDDPAGAAALSSSLNDSAGSFPLDLGGGPRAHAEGGAAAQAGGGERSSSRASDEDEGASARKKLRLSKEQSAFLEESFKEHSTLNPKQKAALAKQLNLRPRQVEVWFQNRRARTKLKQTEVDCEYLKRCCETLTEENRRLHKELAELRALKTAPPFFMRLPATTLSMCPSCERVASGPNPAFTSAPAASSTPLAAATSYAAAVAAPVVRGEHRPSSFAALFAATRSFPLPSQPRPPAPASNCL >Sspon.07G0015760-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7C:61622433:61622654:1 gene:Sspon.07G0015760-2C transcript:Sspon.07G0015760-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSIVMGATGGKNKTSWPEVVGMSIKEATEIILKDMPNAHIEILPVGSIVTQDFRLDRVRIFVDIVAQTPTVG >Sspon.08G0008250-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:27455531:27456281:-1 gene:Sspon.08G0008250-2B transcript:Sspon.08G0008250-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LCRATWRASISNMAELFGPCSPMWRSPTPVILPSLAEACTSIGSLVREETCATSADSITCEKIIEQAIAVPLEPSTVQLIERTLLDAVTPVEDVLVEDTSNDKEVVSHVTVDPVFLITIKDTPTLSTAKVNTEAPQQMEDPPIKATSSSSATVRMEKDVACVLKDFALPTVLKDLGIVGKDGKLDDVAVQDVVDCLKELLPLDLLKSLMGYRSCLLGPCGG >Sspon.02G0020560-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:66913078:66917548:-1 gene:Sspon.02G0020560-2B transcript:Sspon.02G0020560-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding TLDSMAKAQAVAALLIAVVALATMESTHAICGMSNEDFMLCQPAASVNDPTDSPSAECCAALGKADLGCICRYKGVAGIWMRIYHIDPSRAMALPGKCGLTMPFSS >Sspon.02G0022370-4D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2D:68452542:68455867:1 gene:Sspon.02G0022370-4D transcript:Sspon.02G0022370-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GEEASGRAPQAPRRSEPHDNTTSPPPPPPPPPTARPRLRRIAARRRPALAVLTREGRGSRKRGCWLGEAACVPPWDRKKRGRRACAIWWPARAGTCSATRTAVALWPGASVGGSPPFPTPNHHRNFPLPSTFLHPHHQCIPFRYRDINDEASDDEQKKLVEVLMISSQSGPGLLFPKGGWENDETVEEAAAREAIEEAGVRGDLVQLLGFYDFKSKQPEAMCRAAIFALHVKEELASWPEQNTRQRSWLTVPEAAEQSRYPWMQEALVTGFSAWLENWRNGGGCVDRSER >Sspon.01G0008510-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:24833587:24834596:1 gene:Sspon.01G0008510-1A transcript:Sspon.01G0008510-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Small and basic intrinsic protein 21 [Source:Projected from Arabidopsis thaliana (AT3G56950) UniProtKB/TrEMBL;Acc:F4J0V0] MSPAPSRPRIRPWLVVGDLALAAAWVCAGALVKLLVYGGLGLAGRPEAEAVKVSLSIVYMFLFAWLEAASGGASYNPLTVLAAALASHGGPAVYLFTAFARIPAQVIGAVIGVKLIQLTFPSVGKGARLSVGAHHGALAEGLATFMVVMVSVTLKKKEMKSFFMKTWITSIWKNTIHILSSDITGGL >Sspon.05G0001430-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:1135769:1136818:1 gene:Sspon.05G0001430-2B transcript:Sspon.05G0001430-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VTHNCAHREMRTNHKGGGIYQEHMNEALDVLKNGGWLHTFPEGKIAQDDHPIRRLKWGTASLIVRAPITPIVLPIVHSGFEKVMPEKLFFGRRPPVPLCSKKIDIIVGEPIEFDMPSLKQEASMVPDDSSSEWKGWPTITPDGLDEAAQRWLYQKMSDKVQSVMERLRKTVVNMKQH >Sspon.01G0044670-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1B:84443159:84443728:-1 gene:Sspon.01G0044670-1B transcript:Sspon.01G0044670-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAVDAGHAVDVYVDSASSDSRKLLGPRRRCSTYYGRGAGARKSAPAVAVVEASSEASFEFSAAVVSYSSSSPASMVFSDGHLRAHQFPAVRPAASAGSSEATSPLQSTSVGSSYSSTSTKQQAGVTNGSKKRVSFATDDGANKAAAAAAKAGGGQGKKSGGLLGCMGSACGPSSRNEAVEPVARNDNRK >Sspon.03G0018550-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:57404961:57409756:1 gene:Sspon.03G0018550-1A transcript:Sspon.03G0018550-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:High-affinity nitrate transporter, Nitrate transport, Auxin signalin [Source: Projected from Oryza sativa (Os01g0547600)] MGKKKQLADDEENCYGVGGAEAEGGLDAEGRAMDLRPLALSSPHTQAFHLAWLSLFACFFAAFAAPPILPALRPALVLAPSDASAAAVGSLSATLVGRFAMGPACDLLGPRRASGFASLLGALAVAVTASSPAGFVTLRFVAGLSLANFVANQHWMSGIFAPSAVGLANAVAAGWANVGSAAAQLVMPFAYELVLRLGVPITVAWGVTYLLPCAVLITTGLAVLAFPYDDLPRGAGVGGGAKTGKSFWKVVRGGVGDYRAWVLTLTYGYCYGVELIMENVAADFFRKRFHLPMEAAGAAAACFGAMNAVSRPAGGVASDAVARLFGMRGRLWLLWAVQTAGAALCVLVGRMGAAEAPSLAATMAVMVACAAFVQAASGLTFGIVPFVSKRSLGVVSGMTASGGAVGAIVTNRLFFSGSRYTIEEAISLTGAASLVCTLPLALVHFPCHGGMLCGPTTAAGDDDDDYAEYDDNNSAGDYMLLK >Sspon.07G0007690-3C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7C:16141654:16146399:-1 gene:Sspon.07G0007690-3C transcript:Sspon.07G0007690-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVALLLLSALGGAAAQVFPPWNGTFPMGPGFGGGSSGGGAGAAAGTGVPAMFVFGDSLTDNGNNNDLNSLAKANYPPYGIDFAGGPTGRFSNGYTMVDEIAQLLGLPLLPSHTDASSGDAALHGVNYASAAAGILDNTGQNFVGRIPFNQQIKNFERTLDLLSRRLGGASKLAPSLARSIFYVGMGSNDYLNNYLMPNYNTRNEYNGDQYSTLLVQQYSKQLGTLYNLGARRFVIAGVGSMACIPNMRARSPVNMCSADVDDLIIPFNNKVKAMVNSLNTNRPGAKFIYVDNYAMISQVLRNPWSYGFSVTDRGCCGIGRNRGMITCLPFLRPCLNREAYIFWDAFHPTERVNVLLGRAAFSGGNDVVYPMNIQQLAAWQP >Sspon.05G0021960-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:4835881:4836879:-1 gene:Sspon.05G0021960-2C transcript:Sspon.05G0021960-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSCLSAAGDDGGQASASSVSGTHQFTIQGYSATKGIGVGKSILSRYFTVDGRTWFVRYYPDGYNFQSPGFVAFYVQTLYKPLCRPVRARFTFELLRPDGSVAYALRSDRPCDFDTHCNSWGIRAFVARNDLEGAYLGVLHQDAIKVRCTVEVVNSQRKRRGGGRQATVASPSDYAANAIRFFISGTTPFDVKFSVGGVTFEAHALVVAAQSEWFAAALYGHGGGERWVEAGLPCIPISGTTPEAFQGVLHYVYHDALPEELIKAKGEAVMMPQLFEAADMFLVGRMKAMCASRLRQFINDDTVRSIMELAQAHSCEELQQACQNHLGRPRP >Sspon.07G0018980-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:74141923:74154974:1 gene:Sspon.07G0018980-2B transcript:Sspon.07G0018980-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding WWRRRRVGPSRRASGRARPRRARPLPPQRPPAPEAGAGAGAAEAPATSAPRCSSTSSPTATRRRPTPTSATGSPPISGGSRTGYQLDINVDKAADVLVHQNVLAEAKDPDRRPAFYVRFLRIEDMDPAYDSDASEEGDDDGDDLSVRQDTPYTHIHEVIFSTIDKPKLLSQLSALLSDIGLNIREAHVFSTHDGYSLDVFVVDGWPIEDTDGLHKALEASILRNEGSWSGSSHSSAAERTLPFQVKGGEWEIDKRLLKMGGIIASGSCGDLYHGTYLGEDVAVKVLRAEHLNKNVWNEFTQEVYILREVHHANVVRFIGACTKPPQFCIITEYMSGGSLYDFVHKQHNVLNLTTLLKFAVDVCRGMCYLHERGIIHRDLKTANLLMDKDHVVKVADFGVARFQDQGGIMTAETGTYRWMAPEVINHQPYDNKADVFSFAIVLWELITSKIPYDTMTPLQAAVGVRQGLRPGLPKKTHPKLLDLMQRCWEADPSNRPAFSDILDELEDLLAHVQGTSGKTVQDPSNNSNTKD >Sspon.02G0033160-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:4004687:4007281:-1 gene:Sspon.02G0033160-2C transcript:Sspon.02G0033160-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVAALGSSLSLDLAGDLVELGSLTEGDHVDATGQSPQCPRSEHPWCACQGGRDTTGLSAENAELKIRLQAMEQQAQLRDALNDALKQEVERLKIATGEMSKSNEQFNMGMQHVSYSPSFFQLSEQHAVQQHGNIQLPHHFQQPPPNVPSHQMLSHPNSLSDIMQQDSLGRLQGLDIGKGSMAVKSEAEVVVKSEGSSVSAGESNTTF >Sspon.04G0018410-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4A:66205183:66207842:-1 gene:Sspon.04G0018410-1A transcript:Sspon.04G0018410-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VSMPRSNVIILTDPGSKISVNQGSATLLPIEGNYSRGNLMLQRIRTYVAFLEQKLVEFDSMEGLNHFVLTDSDIGVVDDLGHIFKKYPHCHLALTFRNNKGQPLNSGFVAVRGTRDGITKAVEFLKQVLEAYSLRYIKASHMLGDQLALAWVVKSHLPSAFGKFSKHEAFTGEVSGASVLFLPCAVYNWTPPEGAGQFHGIPLDVKILYAVTAFFTCSVVLVLPSFCSNVVVNCPSCLMHNGWSAETRFFTSRVETRRRQTRFFTSRGGEKAATMAELLASGHLQWLEAVLGDIPFGSDEFGFLDVSPVSLSAMCPALLCWGEDARLEGSVQDIAWLIFPQCAHPQAQRVSAPTRLVRSPQGGARLISQPQCTPSAPLAHRAPPLGAQVVPP >Sspon.05G0032530-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:18604395:18614389:-1 gene:Sspon.05G0032530-1C transcript:Sspon.05G0032530-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding QTDNHIGELTVRETLYFAAKCQGASENWQECLKELCDLEGKRGIRPNPEIDAFMKTASVRGQKHNLVTDYVLRVLGLDLCADTAVGTDMERGVSGGQKKRVTTGEMVVGPRKTLLMDEISTGLDSSTTYQIVKCMRNFVHEMEATVLMSLLQPAPETFDLFDDLILLSEGQIIYQGPTVHVVDYFNSLGFSLPPRKGIADFLQEVTSRKDQAQYWSDKSRPYSFISASTMASVFKKSDHGRSLDSILSNSYDGTKSPKVLARSKFAVSKLSLVRTCFYRELVLISRNRFLYIFRTCQVAFVGVITCTIFLRTRLHPVDEQNGNLYLSCLFYGLIHMLFNGFTELPITISRLPVFYKQRDNFFHPAWAFSIPNWILRIPYSLIEAVVWSCAVYYTVGFAPTADRFFRFMLLLFSVHQMALGLFRMMGAIARDMTIANTFGSAALLAIFLLGGFLIPKALRKAQAIIPSNSEETKDALTDSVSDGHAIAESNGRNYEVKGQIEGELNKGMILPFQPLTMTFHNINYFVDMPKKMKARGVPEKRLQLLCEVSGVFRPRVLTALVGSSGAGKTTLLDVLAGRKTGGYIEGDIKISGHKKEQRTFARIAGYVEQNDIHSPQVTVEESLWFSSILRLPKDISTKTRHEFVEEVMALVELDQLRHALVGKQGSTGLSTEQRKRLTIAVELVANPSIIFLDEPTSGLDARAAAIVMRTIRNTVDTGRTVVCTIHQPSIDIFEAFDELLLLKRGGRVIYGGSLGVNSIDMIDYFQSIPGVNHISEGYNPATWMLEVTTQACEEILGLDFAVVYKNSDQFREVEELIKESSIPAIGTEPLKFSSEFSQNFLTQFRACLHKQRLVYWRSPEYNVVRLFFTAIAAIIFGSIFWNVGMKRDTTEDLMLVMGSLYAACLFLGVNNASSVQPVVSTERTVYYRERAARMYSSFPYAAAQGLVEIPYIAVQALIFGLITYFMINYERNIGKKIAFVSCFPVPYFHLLHILRDGGSRLDPNTRCGSSCIICLLLLVESSLWVPHPPILGPAFDGTVQEFLEESLGFQQGMAGVTVAVLIGFSLFFFAIYAISIKVINFQR >Sspon.02G0013320-4P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:13799180:13804227:-1 gene:Sspon.02G0013320-4P transcript:Sspon.02G0013320-4P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLKDGERRGAAGPGPLSRQGSIYSLTFDEFQNTLGGMGGGLGKDFGSMNMDELLRSIWTAEESQAIASASASASASASAAGAAGDGGAALQRQGSLTLPRTLSVKTVDEVWRDFVREGPPGTAAGGAEPQPNRQPTLGEMTLEEFLVRAGVVRDNPAAAAAAAAVPAQPVAPRPIQAVNNGASIFFGNFGGANDAGAGAMGFAPVGIGDQAMGNGLMPGVAGMAGGAVTVSPVDTSVAQLDSMGKGNGDLSSPMAPVPYLFEGVIRGRRSGAGVEKVVERRQRRMIKNRESAARSRARKQAYTMELEAEVQKLKEQNAELQKKQEEIMEMQKNQVLEVISNPYAQKKRCLRRTLTGPW >Sspon.08G0015050-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:57402392:57404262:-1 gene:Sspon.08G0015050-2D transcript:Sspon.08G0015050-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAQSSSWPDLQPELLGLVLMRLPSLTDRVRLRAVCLPWRSNALLQLLPPPLPWLTLLDGTFLAIPDGKIIRMLGSDDARCCGSANDWLFFVHHDGGCSLMNPFSKATRNLPKLDIKWFNASSSYNSYFTKLAVPSPMESSPGSLVAALILGSGRTILIFQQSIGTYLAIESNLGRFNHLSDIAFFNGKLYGVDLHCELSIFEITYGLDSEPTISSVKCITRPTKRLALLQPLPHGKLYMQMRYLVECCGRLLMVIRMVEWDCALSHGPSQGDRTVAFEVFEADWSTKPGQWRCVSKLGGQALFVGKHCSKSFPAGGCTGIQEDCIHFMCDYCPAGLAVDPLRDSGVYNMRNGIITPLLAETAITPQHSGGQGRPTKLYEIAR >Sspon.04G0024630-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:24723622:24726931:1 gene:Sspon.04G0024630-2C transcript:Sspon.04G0024630-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDKSDKPWEYTLRKYVLLLATVVATLTYSSAFSPPGGVWQDTDAGHLAGDPIIRDTHYRRYLVFFYCNATGFASSLVVIILILLLSVQHEDMSGASIWKWRHTLVPLRVVMALDLLSILGAYAAATCRDAVTTTYSTLLVVGVFAYLMVQVALSSAAARLRGDQQDDDDADREVLGERPRKVLMLLATFAVSVTYVSGLSTPGGFWDGSQAGHAAGDAVLQDYNRARLVTFFLCNTTAFVASLLIILLLLDKKLRANAGLRSHELYGCIVVALAGLVAAYAAGSCRDAETTAYVVVLVAVVLGYMLFQVYFAVKVVEATRKSNLWQCLVSVYAAASGEQVINESMDKARSLVLLLATLATSVTYQAGLVPPGDVWQDDGDGHLAGDPILLTTHPRRYKTFYYCNSTAFMASLAAIVLVQRRSALIKRHTLEAAMILDLFGLMGAYAAGSCRDVSTSIYVVALAGGVMVYVVIHVIFFTLDHGERIAGRHQDDDVSVEKRRKRLLLFAILAATITYQAGLTPPSGFWPKDDDQGHRAGEPVLLSNYPRRYKAFFYSNSLSFMSAIAHIILLVNPNLYRPAIRSYALSVCTAVGLLGLVGAYAAGSTQHLKTSIYIFVLAFLVILLMAVLFVVARRKKGKNKGKTTSNVADESSAVEAGGGGTGGGNPVAGDIAEPVAPRAGGAEVDEVKAKERHAKGKYLMLLGILMASVTYQAGLDPPGGVWQSDGDGHAAGDPVLRTNRRLRYLFFFHCNSTSFVASVVVVVLLLPRQLMDIGWWLTVTNVTIVLNLFGLLGAHAAGSSRGWETSGYIVAMIVAALAFVFVHVLTSCFGRTRGPRSSSHLPAGDVPPQLKEPGSIGRRQPLEVS >Sspon.02G0007430-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:21851467:21852924:-1 gene:Sspon.02G0007430-1A transcript:Sspon.02G0007430-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKAEAAAHVLVFPVPAQGHLNSFLHFSTGLLRAGLHVTFLHTDHSLRRLGASVAEATAASPRLRFLSVPDGLPDDDPRAVDGLPDLLEALSTKGRAAYRALLASLRAGGGGAAEDGFPPVTCVVGDGVLPFVVDVAEELGVPAISYRTVSACAVLAYLSVPRLLDLGELPFPEGGDLDEPIRGVPGMESFLRRRDLPIQFRQLTSTHEEPLIKGVVEATVHSCKARALMVNTTTSLERPSLDHLAKEMRGVFAVGPLHAMSPAPAVATSLWRPDDGCMAWLDSQADAARSVVYISLGSLTVISHQQFTEFLHGLVATGYPFLWVLRPDMLGASQDAALQEAVAAVGSDRACVVPWVPQRDVLRHRAVGCFLTHSGWNSTLEGIVEGVPMVCWPFFADQQINSRFVAAVWRNGLDMKDVCDRGVVERTVREAMESAEIRRSARALAEQVKRDVADGGASALEFKRLVSFIRELSTSAAHTPSPKS >Sspon.01G0030760-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:108987356:108990975:1 gene:Sspon.01G0030760-2B transcript:Sspon.01G0030760-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding QVSPDLYGDNNTRLFTYWTVNNQIAMGASIFPTSSYSGSQYDISILIWKDPKEGNWWMQFGKDYVLGYWPSFLFSYLADSASMIEWGGEVVNSQPDGMHTSTQMGSGHFPEEGFSKASYFKNIQVVDSTNNLKSPKGLGTFTEQSNCYDVQNGNNGDWGTYFYYGGPGRSSNCQDVQVGRRFVLARGQNSSSRSNVNSVRVGIWIVETLYVRGGQAIVRKQADVWRAVKEERKQSKWIWMRERWRRASTAACQIIIRDDAVAVTEWSRPASTCPGSEQCAWLGGSGCPHPGLWHRAIMIGWAGRHAIPKTKPRILLLYADSERGMESAFAVALEQSSKTQTPCPWLGPTQRSAARGCTSQRPTMLWI >Sspon.01G0015030-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:44041099:44045361:-1 gene:Sspon.01G0015030-2C transcript:Sspon.01G0015030-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARGQKALGALPQLVKSLRSDPVSGSARLRHLPSLRRTFSLYDQINLIDSVPEDELRFQSYDDTGFKINNVKYEGSLLIVENKIMTWAPKTFSEITAESLSIFKVVHPIPEILILGCGRHIQLVSPELRKFIQSTGMKLEAVDSRNAASTYNILNEEGRPVAAAVLPYGVT >Sspon.07G0008850-3C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7C:20107157:20109408:-1 gene:Sspon.07G0008850-3C transcript:Sspon.07G0008850-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAAATASTSLLAPAASSTAPAASGPNALFFPSSVPSLRAYPRLLLAFRRPAAAAQGAVLEEEEAEADQRGQYDDDDDDGYEGGRGPAFTAPTRPRTGKAALPLKRDRTRSKRFLEIQKLRESKKDYDVPTAISLMKQMSSAKFVESAEAHFRMNLDPKYNDQQLRATVNLPKGTGQSVKIAVLTQGEKIDEARAAGADIVGGEDLIEQIKGGFMEFDKLIASPDMMPKVAGLGKILGPRGLMPNPKAGTVSPNITQQEFRKLK >Sspon.02G0019920-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:65079523:65084417:1 gene:Sspon.02G0019920-1A transcript:Sspon.02G0019920-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASAGLVAGSHNRNELVVIRRDGDPGVRARASIPGWLARGASVTVLIFFGFYNMCVQPKPLREQNGQVCQICGDDVGLAPGGEPFVACNECAFPVCRDCYEYERREGTQNCPQCKTRYKRLKGYQRVTGDEEEDGVDDIDNEFNWNGHDSQSVAESMLYGHMSYGRGGDPNGVPQPFQLNPNVPLLTNGQMVDDIPPEQHALVPSFMGGGGKRIHPLPYADPGLPVQPRSMDPSKDLAAYGYGSVAWKERVESWKQRQERMHQTRNDGGGDDGDDADLPLMDEARQPLSRKIPIPSSQINPYRMIIIIRLVVLGFFFHYRVMHPVNDAFALWLISVICEIWFAMSWILDQFPKWFPIERETYLDRLSLRFDKEGQPSQLAPIDFFVSTVDPLKEPPLVTANTVLSILSVDYPVEKVSCYVSDDGAAMLTFEALSETSEFAKKWVPFCKRYNLEPRAPEWYFQQKIDYLKDKVAASFVRERRAMKREYEEFKVRINALVAKAQKVPEEGWTMQDGTPWPGNNVRDHPGMIQVFLGQSGGFDCEGNELPRLVYVSREKRPGYDHHKKAGAMNALVRVSAVLTNAPYLLNLDCDHYINNSKAIKEAMCFMMDPLLGKKVCYVQFPQRFDGIDRHDRYANRNVVFFDVRFDIHISEMFCCLHISEIRIFLYECFTNICVVQINMKGLDGIQGPIYVGTGCVFRRQALYGYDAPKTKKPPSRTCNCWPKWCFCCCCFGNRKHKKKTNKPKTEKKKLLFFKKEENQSPAYALGEIDEAAPGAENEKAGIVNQQKLEKKFGQSSVFVTSTLLENGGTLKSASPASLLKEAIHVISCGYEDKTDWGKEIGWIYGSVTEDILTGFKMHCHGWRSIYCIPKRVAFKGSAPLNLSDRLHQVLRWALGSIEIFFSNHCPLWYGYGGGLKFLERFSYVNSIVYPWTSIPLLAYCTLPAICLLTGKFITPELNNVASLWFMSLFICIFATSILEMRWSGVGIDDWWRNEQFWVIGGVSSHLFAVFQGLLKVIAGVDTSFTVTSKGGDDEEFSELYTFKWTTLLIPPTTLLLLNFIGVVAGVSNAINNGYESWGPLFGKLFFAFWVIVHLYPFLKGLVGRQNRTPTIVIVWSILLASIFSLLWVRIDPFLAKDDGPLLEECGLDCN >Sspon.02G0032450-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:99530747:99538607:1 gene:Sspon.02G0032450-3C transcript:Sspon.02G0032450-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAGVGEALASVVLKEVSRKLGSAIGQQIKARWNLERYMEEIKSTLGMVQAVLRDAERRSVREEAVNLWLKMLKDAAYDISDMFDEFEDKLSKGKISSSVAKFTMGKKLKKMREKLTNIVAQRTQFAFNLEACSTDHEEIKKRQTTSKINRATIVGRQKEKDDIVTLLKSDEEQETLVIPIFGFGGIGKTTLARLIFNDDRMLDFDMRVWVYVSPHFDLEMIGKSIISQIKRSVEGLDGLQSISNCLEETLGGRSCLIILDDVWESNCFQLAELRLMLSKFKEKSKIRIIVTTRTEEVARNIGTVTSYKLKPLSDDHCWTLFKHIAFQSGFPFREDKNVLDKIGWDIAKKCKGVPMAVQALGFMLRNKDVNEWKNVRDSDVWDGSSTTDMLPSLKLSYYQMTDYLKLCFSYCSVFPKGCEIHRGDLIQQWISLGFIPSSLGEHLTLEKIGENYVNELLGMSFLQYSRPTSLATKEDTNNSMLLSMHDLIHDLARSVLGDELLFVDGKKGYNCRNGNHRYALVVNGTRQIDLHNDVPTKLRSLHIFDYGEIHPSLYSKSLRVLDLSKFSSGNFPASIGKLKQLRYLHARGMQNKSVPKRVTSLSKLMYLDISGSSKISTLPKSVSKLRSLLHLDLSGCCNLCSLPESFCDLTNLTDLNLANCVLLSTLPDSVDKFRDLFRLDLSGCCNLCSLPETSGDLMNLSHLYLANCSLLKTLPESVEKLKSLLHLDLSGCSRLCSLPESFGEMINLSHLNLAKCIDLCSLPKSFGRLCELQYLNLSDCLRLNLWFDIETLCCLTKLQYLNLSRCPSLMHIPESVNNLKNLHTLDLSRCHWIERFPESLCGMASLKFLLIHECRPWLQQRVMEPHLKNDVLMLPKFIVQRTASGKSSNISRLQSVYPAELEIECLENVTSIEEANEINLADKLVLAKLVLAWTPAVERFVEDEALLQELQPPENIMFLKIQGYMATSFSGWMMGMESCLLHLVCIEMVNLPRCEHLPPFGQLQNLQQLILKRIPILKKLGTEICGGSGAFKKLKEFTLVDLDTLEEWVTKVPVNGEFMFPSLNKLEICQCPKLRLTPCLPRAFEWRIQASDEVIASQYDAGSSSSLILSKLHVTSCQLQPNQWALLGFLPALEVLEISNYQQGKLPDSISFLVSLRSLKIEVSTDDLEEQFNWLLFLSAISGDREDVIFLLPQLTALENLEISFNNEWQTWCKTHDRWTLQNAKNKSATFFERHLVMIQQKEEERPLSRYILRVKQQAEALSAVGQTLRDDVVIRCIIHQIEGGITNYEDRQALERILSVYYDYHYGVKWEVTRINGVTLNDLYVRVVMDEEGLQHSTGDNDDI >Sspon.03G0025210-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3A:76192318:76193351:-1 gene:Sspon.03G0025210-1A transcript:Sspon.03G0025210-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VLQKVQEKWAASSVPPPYPAMYSSVFGGIILDPAMMVLPIDDHMVHRGHGVFDTAMILDGALYELDAHLDRFLRSAAAARVGTPPFPRDALRSILIQMTAASGCRRGSIRYWLSSGPGDFLLSSRGCPTPAFYGVVIASEYEQCGRDGVRAVTATVPMKPPQFATMKNVNYLPNVLSIMDAEDRGAFASVWVDEEGYVAEGPMVNVAFVTPDKHLVLPAFDKILGGCTAKRMLALAPRLVESGLLAGVSTRNITVVDAKGSVEMAFVGSGLPVLPVVEWDGKPIGDGKVGPLMQALSDLLWEDMKSGPDRIPVPYKQ >Sspon.02G0044060-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2B:99026494:99027126:-1 gene:Sspon.02G0044060-1B transcript:Sspon.02G0044060-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEGGGWLPLDLSLATAGPSASAGERRPRARPNRRTVSSLYAELGAMLPNLPTDRVSPLLLGYADAGRVVPPQDEFFSITVCVFCLTTFAGNRRRRARAAGEQGGDRGGGGGAGEDAGGHVAVLETYRAVRGPGAGAAAAAGRRQPEVSVAVGAVCFCARLPARSPGALARALEAFHRRGVEVLVATVGRHGHGHGAAVLTVTAAAAPPE >Sspon.05G0017490-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:73093169:73095701:1 gene:Sspon.05G0017490-1A transcript:Sspon.05G0017490-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAALASGLLNVVGNKLDSLISSEFAAITGVKKDLSELQESKIPSRDHVKSEIISELVESKKGEAGHIVSIVGLGGSGKTTLAQHICHDDKIKGHFKDTIFWIHVSQEFCRDKLIGKLFEAISGQKSDHHTQQHMLRVISNKLSGNKHDWENFMVLLDNGAPGSKILLTTRNQSVAKAVESKAIFKLAFLTVDESWSFFLKSCGWIEEDLAYAFIQVGKDIMKKCGGVPLAVKILGFVLCERRGINTWRAIRESNLWDEENIEARVFTSLKLSYIYLKDHLKQCFTFCSIFPKGCKINKDYLIEQWMAHGFIKLKKEELAHDIGNEYFDSLMRAGFLQDPVKMPQTVECKMHDLIHDLTLYILRNEVVTSLPNNMTTECSKNCRYLSLTSCSGKVERSLFHKVRAVYVSGGNPSFDNIVKKSFSIRSVVLDYAVDTPFPLFILKLEHLAYLEIHHVSCRKFPEAISGCWNLQSLHLISCKGFVTLPKSIGKLKKLRTLEFNYITDLETLPQSIGNCQDLQSLQINSCGKLREIPSSVGRLGNLRVLHIIGCSSLQQLLLDFNGELSNLQTVSLHRCQVLRDLPSTFACRILHTLDLSETKITVLPKWVTSIGTLEHIDLHNCKELVELPKGIINLKNLEVFNLAGCSKLQCMPSGFRQLTRLRYIGRFAVGCGEDDARISELENLDMIGGYVEISNLKNLKDPSEAEKAMLKWKNIWSLELDWSSSQTEEELVSDVEQDQGVLNALEPPSQINSLKICGYRGPYLPPWMMKQNDSSCCGGK >Sspon.03G0012050-3C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3C:49896711:49898363:1 gene:Sspon.03G0012050-3C transcript:Sspon.03G0012050-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNTTLLLLLLLITPHLLATAAAAFASRGLSASDAAHIRRRQLLQYHGGGDDGGDVVVDPSYAFPNPRLRDAYVALQAWRRAILSDPYNVTGSWTGPDVCAYAGVYCARSPQDPDLTVVASVDLNHADLAGHLPEALGRLADLAVLHLNSNRFCGLVPRSLHRLHALHELDLSNNRFVGGFPDVVLRLPSLRYLDLRFNDFEGPVPSELFDRPLDAIFINSNRFRFQIPDNVGNSPASVLVLANNDFGGCLPASVANMSDTLNEIILMNTGLKSCVPPELGALTGLTVLDLSFNKLMGAIPDELASLHSVEQLDLGHNRLVGDVPEGICRLPHLQNFTYSYNFITGEPPACLHVKTLDDRRNCIPYRPDQRSPEQCSFFKNHHVNCDAFKCKKFVLPSPPLPPPSPPPPSPPPPSPSPPPPSPPPPSPPPPSPSPPPPSPPPPSPPPPSPPPPSPPPPSPSPPPPSPPPPSPPPPSPSPPPPYYEVSPEERYLSPPPPAYTEVPPPPYYEVSPEDRYLSPPPPAHLESPPPPAPVHHEPPPPPYYEVSPED >Sspon.01G0062930-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:108843594:108853795:-1 gene:Sspon.01G0062930-1D transcript:Sspon.01G0062930-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLLLLCSIQLGRRHAYIRAAAAAAAPSRAVGSSSNLQAQAVQASKQHRSVPASAPWSTHPPRHLNLIPSRAVAGLLHSPYCSTKVEKDRIGKHKVLCYLVEMIENIAQEDWIPVLKASEDHLKRANQTGPRFKPPLVSMRRRRALPSTSPMPRLPPARWDAASLLNFLITSRAGRAGDALSLSAPTTFTFAPPIALHYTTAFCTAQLYPHILKTRAAPREPYSGTSLLGLFRRSGRFVRRSAQDKRRRVQQMGVGTSKPELKPEFKDFHANTVEEAVKNLAPYLEDTRVLNQAIYFYGWGELGLGASAVLNSIAEHPPPSVRNKFQNIIHIDCSRWRNRRAVQRMVAHKLRLPQRVMAIFDTQNEEDDLAGVDECSRVEVKVVGEEISRALQEQSSLVVFHNGSDSMVNFNDLGIPLAADPSSNILRKVLWTFQGRLRATDARNKIQGTKAMDTSYLYLGSIFSKKSSDLSHRVEILREEAAEIAQYTNKLVVTREIAEVCCRYLLSLHSNAATSLDFNWVTHASNYWVCDGILQEFQREEAWEVATALHEELRLEGLSSYRMTYSFDNTASWIVVTEGIVPTVQPETTSFFLAIKEKDDRSVVSLPKDMFQISEQLHVLKLCHCTFSFSSPPFLCCRNIRFLGVNSCKDEPHDKPEEYKEQEEEGNVRPTIGEFFQSLWVLDVCRTDWELTLSPNTIEMVVTNIREINIKSGRIWHNNLSWTCLGNIHKLRVVDPTRPWETGNEDEFKDMVKLELLDLSGNSTIKLLPSLSGAIGLKTLILDGCIGLQHVVPETLPPTLETFSLDVGDQEAKISCISLAGCARLVNFRLHGSVMNLEELDLSNTSIKKLDLTDEVVQVPCLQRVILLGCERLGAVLWPKHGMPKLMVLEAVNSKTLHDSFVNKEREEGCHAFIAVSDMRFIQSLVLASANKFCWNTDPRYDLNICLYSTSKDVGQNYNNVKMSPLQKCSVNYDSNSVRQFHPLDRHVEIGEGISNSNVVTINGTRAVILLMNRVYSLLVHDNSSISTVIPKSMMSIEVETKKTKIYWSCLRWCRVERCPNIDTVFATNYDIVCFNELETFWAADLLTARCIWSKGRTINIKDTESFAKLQAIHLHSCPKLTFVLPLSWFYTLSSLETLHIVCCGDLSHVFPVEAEFLNKIGTGHPRGVLEFPKLQHIYFHDLPKLHQICEARMYAPELKTITVRGCCSLKHLPGTTDRPYDRPVVDCEKVWWEKLEWDGREASHHPSLFDPRH >Sspon.08G0011520-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:45988095:45991577:1 gene:Sspon.08G0011520-2B transcript:Sspon.08G0011520-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPPPAEMHPAAASASTAPADTTASAAVPASDPSPPPPAETVDPPPPPPAPAPKTVTWSEKLTSDSPTHVHAAAAAESSQYVSHGPASSSSKGAVEAMRETLSRWGKSWGETTKMVESLSRDTWQHFKTGPSFTEAAMGRLAQGTKVLAEGGYEKIFKQTFEVLPDEQLKICYACYLSTSAGPVMGVLYISTAKIAFCSDNPLSYKAGNKTEWSYYKVVIPLHQLRAANPSVSKVNPAEKYIQVVSVEGHEFWFMGFLMYDKAAASLQEALASARELQP >Sspon.02G0008740-1P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2A:25141490:25141903:1 gene:Sspon.02G0008740-1P transcript:Sspon.02G0008740-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MISTKRIAQLAKKWQRMAALQRKGLTWRTAAKEVEKCCTSMASKGHCAVYTTDGARFEVALACLGTSVFAELLQMSKEEFGFTGGDGRITLPCDAMIMEYALCLLRRGASAELEKAFLSTMAMSCHAANHAAPYVAAC >Sspon.02G0029940-1P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2C:111566557:111567464:-1 gene:Sspon.02G0029940-1P transcript:Sspon.02G0029940-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding TGVHSIGVCYGTQGDGLPSAADVVQLYQSKGINAMRIYSPDATILQALRGSGIGVIVDETNLDALISDAAGWVQTNVQPYRGDVKFKYIAVGNEVEGSDTQKILPAMQSLAGALSAAGFGDIKVSTAVKMSVLAASSPPSSGAFADPSIMGPVVRFLAGSGAPLLANVYPYFAYRDAGGSIDLGFSLFQQSSTTVNDNGKVYTNLFDAMADAIYSAMEREGESGVPIVVSESGWPSDGGGLGASVDNARTYNQNLINHVGNGTPKRPGALETYIFAMFNENGKPGDETEKHFGLFNGQNKSP >Sspon.02G0038720-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2B:44414605:44415729:1 gene:Sspon.02G0038720-1B transcript:Sspon.02G0038720-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQKACTVFPSRESKSFNLRVNNSTTKHLSSDECINIDVSIPAAAGFTCTATYWPNWHSSGHIKIAVVITRTDDQHHPHRHKLLVAHIDLPSRTGLTPPPVTVRRETVTTDCNCNEGIVRREPVTTDCKDGMGKRVDVEAKCVVDDHFTAICTVAVPKFWPPVPLPTPSRLEHDIHKASDLTDVSFQVDGEIFRAHRLVLAARSPVFRTELFGRRQMAESSQQQESSIAIQDMKASTFKSMLHYMYHGLLPAETAWPMSECQNLHVAADKYGLDTLKQTCEEILCASVTTDTVLSSLQFAEERACLKLKSWCLDFLADGEKFKAVAVTNEYIDLMKKVPSLLDHVQNRFKRPRL >Sspon.05G0007250-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:14290663:14294609:1 gene:Sspon.05G0007250-3C transcript:Sspon.05G0007250-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ASPVAVGSRRQPLLDEGDWSYYREWWGEEDGPGEGAQTVFRRHSECGNGVVSVSVYPASRPASEHWPATERWLQERNAKLYPESASSDQFKVLGYQWRVMRFNDHTRQSTAKVMTCYRTSGQRSLFLMQQPHVLAVPYVKSMVSAGLTALPCSSYDLPQAASGQNTMKILCIGHGGGTLPLFLASKFRGATIHIVEIDPVVVSASIESMGFPMSSVKGLSSESMLPADADDLLWGGIHDRIFLHIADAEDFIANDSNEYDIVFIDAYDGDDVFPRKLWDVDGAFMKNLEKKVHPVHGTVVVNLHSDSELPASEAEGNAHFQSRLPMGRRVSQICSAYKQHFGLAFTAAVPWLCNITLVACRDKGIASGARLGLSHRDFVLVKLLSKSDTVERSLGLPFPCLPYIKNGFTLVH >Sspon.05G0012930-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:34796245:34796795:1 gene:Sspon.05G0012930-2B transcript:Sspon.05G0012930-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSETPGAMQSGVLPLLGKPYFTCILCKSHVHQPFQVVVPRSLAPFLPATTVPAKVTWHGRSWEMRFTGGRQIQRLEAGWRGFALDNDLKLGDGCVFELLDGKAEGVVFRVQVLRAHIPEEIRERAGGYTSSTPILID >Sspon.05G0012160-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:30368903:30371700:-1 gene:Sspon.05G0012160-2B transcript:Sspon.05G0012160-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSPLLVRPPALANSPLPPPRCAAAVAATPAVRTAPVAPFSRLRTKCRFAASDRLQIVVLGGSGFVGSAICKAAVSKGIEVVSFSRSGRPSYSDPWVDQVNWLAGDVFYARWDEVLIGATAVVSTLGGFGNEEQMKRINGEANIIAVNAAKEYGVPKFILISVHDYNLPSFLLTSGYFTGKRKAESEVLSKYPASGVVLRPGFIYGKRKVNGFEVPLDAVGQPLERLLSSVENFTKPLSSLPASDLILAPPVSVDDVAYAVINGVVDYSFFGVFTIEQIKEAAAKVRV >Sspon.06G0018120-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:81067825:81071263:-1 gene:Sspon.06G0018120-1T transcript:Sspon.06G0018120-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAKRVASAAGTAAVVYVALSGSGRLSPVSGDDDADTARRRRRSAEEGEERWPERAPASWREAVAVLSRTAGFAYAETLGKWPLGDIAFGINHYMRIQGNLQHEYTGSNCVPLDGPGVRQELIGLLRYLRLCMFFSKKPYEVFLEFGGYGQSDILIRKSKSQFMKPAFTVVRDGSTKSFLLFIRGATSTKDRLTAATAAEVPFHHSVLLQDGRRSNLVAGHAHCGMVAAARWIADQAIPCLSKAVEQFPNYRVKIIGHSMGAGIAAILTYMLREDNKLSSSSCIAFGPAACMTWDLAESGKDFVTSVVNKNDMVPSFGKASAANLRTEVMASSWAPDLQEQIQQTRILGFVNSSVNFMRSHIPFVSNAGSDHTPMDELNLSANVRAAVQKHSALSCWSSVAVNTQILESLANPTQGIPALMSAYKGTEQNTEKPTIAGERVSCFPVELNRQRSDAVEIDLEEKATDQEHMDQLLKALRSSRMASQEPHQLYLPGRIMHMVELPAPEEPSTGEQCRQNEVVAIYETPRSMYGKIRLARTMIRDHYMPRYIETMEMLIDKLAEDEDEDDTDNRLD >Sspon.05G0028160-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:63193033:63196486:-1 gene:Sspon.05G0028160-1P transcript:Sspon.05G0028160-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MELAVLIVGAGPAGLATAACLRQRSIPYLIVEREDCSASLWRYRTYDRVKLHLSKEFSSLPYMPHPDGAPTYIPREEFLKYLDCYAEHFDIKPRYCTSVVSAAYDEGTSRWIVAARDTVAGTEILYAAKFLVVASGENGEGRIPEILGLESFHGEVIHSSTYKSGSRYAGKRVLVVGAGNSGMEIAYDLASHGADTSIVARSPVHIMTKGLIRLGMTLVQYIPITIVDLFIANIADFVFGDLSKYGIVRPRIGPLLLKSKTGRSSVIDVGTAGLIKKGIVKVFKGISKIIGNNVQFECGNDGEFDAIVFATGYKSTTNLWLKDDKCMLNSDGRPSKGYPNIWKGENGLYFSGFARMGLAGISKDAYNIANDIVSVY >Sspon.02G0032850-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:1114078:1117458:-1 gene:Sspon.02G0032850-1B transcript:Sspon.02G0032850-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHDCSAIGWSLQLTGRWEAAAALRQATIISDEIEMPLDKADRSVLGTTAKVVIIKESTTKIADSCYSVRDYQIAAIAKWGGLDLRLSRVVLPAAGSLDTSPNMRSYFIHIKEHL >Sspon.02G0012680-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:31329764:31336280:1 gene:Sspon.02G0012680-2B transcript:Sspon.02G0012680-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase 17 [Source:Projected from Arabidopsis thaliana (AT2G22420) UniProtKB/Swiss-Prot;Acc:Q9SJZ2] MATGGPNWEVRLGRDDSLTASQEDSDNIMPSPRANASALIRLFAGLNLSVTDLVALSGSHSIGEARCFSIVFRLYNQSGSGRPDPHMDAAYRRALDALCPKGGDEEVTGGLDATPRVFDNQYFKDLVALRGFLNSDQTLFSDNARTRRVVKRFSKDQDAFFRAFIEGMVKMGELQNPRKGEIRRNCRVANCSPVAPRVFDI >Sspon.02G0036760-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:31062087:31062908:-1 gene:Sspon.02G0036760-2C transcript:Sspon.02G0036760-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKLISPSSPLPASFSFSEPSCTILELPSSEDPEQWLLADDVLVGKNENDDIGACLWEMPADGGALSPDSELAKLLPSLSAPAPQRPAKRRGRKPGPRPGAGAAAGHVESERQRREKLNRRFCDLRAAVPTVSRMDKASLLADAARYIAELRARVARLEAEARHSAVARWERIRADGDGDEDQAAGVVDGELYVREVGRDTAVVRVTSGARHAPALLMGALRSLELQVQHACVSRVHGVTTQDVVVDVPPGATALQDDEGLRMALLQRLQASD >Sspon.01G0047210-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:101430434:101430645:1 gene:Sspon.01G0047210-1B transcript:Sspon.01G0047210-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSPVVFCPLVATDKLFAITTNANGFRHFDDPGLLPASFISYYHLFTPPGSKNTIPYQWLLHLLTPLH >Sspon.05G0014100-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5B:52035293:52037712:-1 gene:Sspon.05G0014100-2B transcript:Sspon.05G0014100-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLLLLPFLLLLSSPSVKAQQNILLGSWLTPHGSNSSWHSQSGDFAFGFRPVEGNSSFFLLAIWFNKISDRTVVWYAKTSDPDPAPIQVSSGSHLQLDSSGVLSLKTPPAQRYGIPKLWVLTPGMALRSRIIPTDYSNGRFLLDVADYGVSFHSVAVPSSYQYYPYFWIMPASANKTTRLLVFNETGVIYLTLNDSTEMNITSGEGITGPMEDYYHRATLDTDGVFRQYVYPINRGEWSVATAWTVVGFSPPNICETLTEVGSGICGFNSYCQFDSASSNVSCLCPPQYSFLDEERKYKGCKPDFQAQSCELDEASAMAQFQLTWQDNVDWPLADYEMYTPVTENQCRRLCLIDCFCTAAVFHDSDNTCWKKKTPLSNGKMMHSVQRTLLLKLPKNNISQTELNVSSKWKKDKTHWILGGSMLLGSSVLVNLLLILVLLFGTYRTITIIKIAQPLQSSSNLGLPLEAFSYTELEKATGGFKEVLGTGASGIVYKGQLEDNLRTCIAVKKIDKLQKETEKEFTMEVQAIGQTYHKNLVRLLGFCSEGSERLLVYEFMANGSLSRLLFSDVMPQWNLRVQLALGVARGLLYLHEECSTQIIHCDIKPQNILLDDKFTAKISDFGLAKLLQTNQTQTNTGIRGTRGYVAPEWFKSIGITAKVDVYSYGVILLELICCRRNVELTAEEDKKILTDWAKDSYRCGRVDLLVEGDAEAIFSLKVVERFVAVALWCLQEDPAIRPTMLKVTQMLDGAAAIPTPVDPSSFVSSVR >Sspon.05G0014050-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:51903214:51911214:-1 gene:Sspon.05G0014050-2B transcript:Sspon.05G0014050-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPFGEGGGGGGDGAEALRGWDPLRSGSAPPTMEGAAAAAAERVFGGGGASFFSGIDGLGFGARMDEVSRHRGAAGAQMIKVSVCNGVGRDVKEHFGNSASLSVGPPGLLLNGTGDLDEQQFGPSRVHSGGAMSNYSAFDMGSLWTDMDPDNAEYRRNIQNRFMSNIEKMNAYGNRDLNASYIADSDLSDALSGLRLSNSPVMDQRNHGEELLDEILKRQRDFSKIGDENRSPLVGNVFRAPRSDVHPPPMYGDGILRRQTSALDGSNVSRISRHHIKGVDDLSLAEQLAIMQSGNLPRGTNLSRNAAMTNMINPMSNRYNSNTDFDLVRSRRAFLEDLLAQHMDQYGSRFIQQKLEIASPDVREKIFPEILSNAIALTTDVFGNYVIQKFFEFATESQLIQLADLLKGHILELSLQMYGCRVVQKVCSMFSIVYIYYAIKALNKICAPSILCTFCVLEVVDMDRKIDIVHELKNYVLKCIGDQNGNHVIQKCIECVPEDCIPFVIDPILSQILALCTHQYGCRVIQRVLEHCHDPVTQSAIMNEIVQQTFHLTDDKFGNYVVQHVLEHGKPEERSSIIQKLSGQVVILSKQKFASNVIEKCLAFGTPEERDSLIGEIISSGQTFQELMKDQFGNYVVQRVLQTCDDKYLEMILSSIKLHLNELKNYTYGKHIVARVEKLIVTGATLGDLFRICLCSSCDLITAALFCSHYRGHLRSAIADEDQHENNTHKNLHCS >Sspon.06G0019380-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6C:1325574:1325963:1 gene:Sspon.06G0019380-2C transcript:Sspon.06G0019380-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGRLPFGSEGVAGGHDGERACWESHPGMGATASVRGDKLSLGNRCDLVWSGKGMPEHGAWYAWLRAAGHACVSSRPCAAAAAAAGSPARGGCVRQTWKGGGGGTCVEAKLRWSDDRRVRRDSSTGGKE >Sspon.02G0019760-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:64061209:64067898:1 gene:Sspon.02G0019760-1A transcript:Sspon.02G0019760-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGIHKAESTEFRDLLYLTSKQPFILRLAFSAGIGGLLFGYDTGVISGALLYIRDDFEQVEKSTVLQETIVSMAVAGAIVGAGAGGWMNDRFGRRPSILIADILFLAGSIVMAFAPSPPVIIVGRVLVGLGVGMASMTSPLYISEASPARIRGALVSTNGLLITAGQFLSYLINLAFTKVNGTWRWMLGVAGVPALLQFVLMLALPESPRWLYRKDRKREAEEIMRKVYPPEEVEEEIEALRASVEADMAQERSIGGGGLVGMLRKAFGSVVVRRGLTAGVLCQVAQQFVGINTVMYYSPTIVQLAGFASNSTALALSLVTSGLNAAGSVVSMFFVDKAGRRRLMLLSLTGVVACLGMLSGVFFAVDSHSPDVSLAGTALFGANGTCPEFDLATVAGVEWTCAQCIKAPSECGFCADTDKLLPGACLRMSDASRRACRAGRREWYTRGCPSSFGWLALVALGAYIVSYSPGMGSVPWLINSEVYPLRFRGVCGGIAAVANWTSNLLVTQTFLSLTQALGTAGTFLLFGGVSAMAFLLIFLLVPETKGLHFEEVEQMLGSKDYKAWKKFNSKA >Sspon.05G0028340-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:61823029:61824811:1 gene:Sspon.05G0028340-2C transcript:Sspon.05G0028340-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAASLRRALHPRRPGATQLFPFPVGTRLRAFSSASAAAAHSPKDARNAPPPITPTRPWGEALAAAQRAFCLPLAGRVLAASATGNAAVAPVAVHASLALAAAGARGDTRRQVLQVLGGGGGGRGAAADAANVASRVVKRVLKDRSTSGGPRLAFAGGIWADTSTSLSPGFVEAARSVYSSTARTADFINKPEDAAKQINTIHKSTVTSLLPDGLIDQNTGLVIGSALYFRGRWLDRADIRSTAVQKFCCLDGTCVDVPFVEYDRTRPFAVHDGFKVIKLPYQQGKNERKFSMYIFLPDAHDGLFELTKKIFAEPSFLEQHLPTEKRHVDIRVPKFTISFQVDMKEFLKEMGLELPFLRDADFTDMVKEDESRSPLYISNILHKAILEVNDKGIKETSVTMGIGKPSPGEHFVADHPFFFVIKEEVSGS >Sspon.01G0013120-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:35804214:35814386:1 gene:Sspon.01G0013120-1A transcript:Sspon.01G0013120-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MERDVVVSDPEAAGSSSTPASSSYSSFAETRVICRVCQKQFAQYTCPRCNARYCSLSCYKGHSVQCTESFMQSMLSEELIQKVMSGEEIRLEDLSDYEIKRFRQALASGELSKMIEPWTPWWKKPSARSISLGPDGSQLIRQVNAEVTAVPYPDPKTDQEDSINEIPEGPESPLPSLKQLTRTEPSPSLAVHLVDILYSYCFTLRLYNGDWHSDPLGASTVALSMSKVMGQDAKPETVPEALTACIEETCSPAYRHTGGFRFAIGLVDDVITILSLGQNALICALCDFHRLVEVSKSMLKAEKVGKTERAQSSTKLRSAARKLFFMTCWVHEQPNEAWPSLARIVQVQKASLEELDTGNWKADRKSKRQSTE >Sspon.07G0010740-7P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7D:12518949:12520118:1 gene:Sspon.07G0010740-7P transcript:Sspon.07G0010740-7P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAYGLDVECPHIFDGTHFARWRNWMTCNFKFISPQLWWIVDVGFSFAIDKKNATQAQSKCLHLDSQATNVFYRSMDSSILYEIIDFESAHEIWVFLNEKYGAISNDDEPKKKAHEDVEHDHNKVVVEDCSTSRSSDDKNDHITRSLDKNDDNATSDASNDSTSCTLDDEDDGYESDASTSSSISSHGDTKVSIGGFVVDCDGPNFELLYKLSKALRNEMAKTSKLKNENSFLKTTCEQQKHLLYVTTCSHEELKLAHEELSVAHDNLAQEHALLTKKLSNEETKTSESSSFGSNDQSHITNPCDVGKKHVSTSCDDLLDMPCSSQLNACSTSMSCETNLLKENNELKSEVKNLSNKLERCYNSKVTFEHIMKTQRNLVIKVALASRKN >Sspon.03G0012020-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:33238919:33246887:-1 gene:Sspon.03G0012020-1A transcript:Sspon.03G0012020-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRTNLRFIEEHRVYSLSKKGTATVLFLLHYDQTVDSKLKEMPLDALIERISGPIFESGPYPTTTSVVECYHLLPYKEVLLNILNRDWPLGSSLSAPKERVFQNGKSSSLSEIDESLKEQEANSNSKSKMKKITTDISTPKKNKQVVKAIGACGTNNCSTSKNRKDNNTNCKRRSEAFKTKVATYTEHGGGQSPTKDLQASVQMDKNRIEISKSRNVPQDIILLREHFVLGDRSAEYEMDIQTILTVCTPILADMYQASVHLTGPDFNLSVDGDMKVTPHEARDSAASNMLSQLQQKARED >Sspon.04G0022990-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4B:9705536:9705943:-1 gene:Sspon.04G0022990-1B transcript:Sspon.04G0022990-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGRGRGKKLTTVRSHEDKGSSGEEVVPAKKRRGRPQKRFANKIDQADVENFVEKVDGDQEEVDDAKLKNSAAAGGNKRGRPLKEGSNIVIEDSSNSIVRSSSDESTRTNGFRQIGSRRKNKPRRAAEAGLECK >Sspon.04G0019090-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4A:67917921:67920254:1 gene:Sspon.04G0019090-1A transcript:Sspon.04G0019090-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSVPHCDHAEVSDSQPTSSQLNLIERSTEHLASSEINPVTVDGDNENIDANEETHLVIQDVPQCRICLDNEVCVCFVAYPLGVGDDLIAPCRCKGTQKYVHRSCLDNWRSTKVVAFMGMVVYRFYGDELREMFGYEEHPYAFYAMAILAIVLVGLLYGFFIAIICGQRITERHYHVLAKQELTKEYIVEDLEGADQAPDLDPNHVTELKILGLY >Sspon.02G0056870-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:36790028:36793804:1 gene:Sspon.02G0056870-1D transcript:Sspon.02G0056870-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MELSIVDYKLALEGPVAYVDHYDVADPLSCKAVFQKLPYKKRLQHLNIYVIVQWRELIHIGPSLSIPSYINYRTRLFHLLKLSHIFPVNSNVSRFSYVNNHEIFSITMPLNSVCVFDFPFQIQCQSMSGSLYAEAVILPYVLKFFGQGNSGASMAKSCNIPCLLSFGSTNLCGTLVSTGSSGSEGTVLKETRILLEFKAGSFMKYQLPRINGSYILQCPSGGFTCTMGSCGCVRGGKVSIDSQDRLWSFAITIDGNVNIKGSTGDQSIGGTSVKMDELFSRNIIHDELRLVQPWNDFYSNSYFHLDFSCEAISKKMEEYNTVCHVLNGLCASSSEVLSVSSCVDIMMPKDASVSANFKTKEVVHGNLLVSVQGKVENVHSLVCKRERCVPGNEKYSLCIHIADNNHAVYIRGYSNKHSTVGIGPGATVTFHRVRLKQHGLLLTSETYIEVSSISHANFTEQSVILSPKSNRLKDDSLSTASPCLFFHHKYFTDSRPMQFHCRGPVGQMHSLVASSILSFALLILNLSSDAIIFLPATVLVLVLNKSTNHGETPNVKVRLAGFILDDGSSLCCGWADDARAELLLRLQEIAHLDASVNLKLSKGGNSTNLQYTIGSCLEKMLKKHTSVTVKNCGIPPDFSCRDLDASSVSDKVLSRLEDKLLKFIVLNACWKGSLNVITSALNPDDINGFNVELPVPRQNMRMLWIEEVFPVDPLEEARRLCDILENS >Sspon.02G0011640-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:41204431:41210073:-1 gene:Sspon.02G0011640-2C transcript:Sspon.02G0011640-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFDVEYGRWQEEHYRLMYELRAALQQHLPEGELQMYVESCLAHHDEMVGIKEGAIKGDVFHLISGVWRSPAERCFLWLGGFRPSEVIKMLLSHAEPLTEQQIVGVYGLQQSALETEEALSQGLEALYQSLSDTVVSDALSCPSNVANYMGQMAAAMNKLSTLEGFVRQLHSDEHHGCMTQAENLRQQTLHRLHQILTTRQMARSLLAVSDYFHRLRTLSSLWVTRPRAPQEQQQGHS >Sspon.01G0020440-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:76064579:76067609:-1 gene:Sspon.01G0020440-1A transcript:Sspon.01G0020440-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNLECRMYEQRFPEVDAAVMIQVKHIADMGAYVSLLEYNNIEGMILFSELSRRRIRSISSLIKVGRQEPAIVLRVDRDKGYIDLSKRRVSEEEAHACEDRYNKSKLVHSIMRHVAETLEVDLEPLYQRIGWPLYRKYGHAFEAFKLIVADPDAILDALTYEEKEVGPDGQEVTKVVPAVTPEVKDSLVKNIRRRMTPQPLKIRADIEMKCFQFDGVLHIKQAMKKAEAAGNDSCPVKIKLVAPPLYVLTTQTLDKEQGISVLTDAIKACTAEIEKYKGKLVVKEPPRAVSEREDKLFLDQIDSLIEQNAEVDGDADSEEEEDTGMGDVDITNSGVTAY >Sspon.02G0034810-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:17739810:17740535:1 gene:Sspon.02G0034810-2C transcript:Sspon.02G0034810-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGANTTAAVCPCPEYAEVDPTGRYGRFSDVLGKGASKIVYRAFDEYQGMEVAWNQVKLHDFLQSPEDLERLYCEIHLLKTLKHRNIMKFYTSWVDVSRRNINFITEMFTSGTLRQ >Sspon.02G0007000-3C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:22059497:22064789:-1 gene:Sspon.02G0007000-3C transcript:Sspon.02G0007000-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Receptor-like protein 4 [Source:Projected from Arabidopsis thaliana (AT1G28340) UniProtKB/Swiss-Prot;Acc:F4HWL3] MRWRSPAVLQLRLWLLAVSASSAALGVLAADLSKEPFTIRISCGSFDDVRTAPTNTLWYRDFGYTGGRFANATRPSFILPPLKTLRYFPLSDGPENCYNINNVPNGHYQVRLFFALLDNPNLDSEPIFDVSVEGTLFSSLLLGWSSDDEKTFAEALVFVQDSSLSVCFHSTGHGDPSTLSIEVLQIDDNAYNFGPPWGKGTVFRTAKRLKCGSGKPAFDEDLNGIRWGGDRFWLGLQTLSSSSDDQSISTENVIAETLLTPNFYPQSIYQSAIVGTDRQPSLSFEMDVTPNKNYSVWLHFAEIDNGVTAEEQRVFDVLINGDTAFKDVDIIRMTGERFTALVLNKTVAVSGTTLKIILQPVKGTCAIINAIEVFEIIPAEKKTLPQEVSALRTLKGSLGLPLRLGWNGDPCVPQQHPWNGVDCQFDNTKGNWIIDGLGLDNQGLKGVIPSDISKLQHLQNINLSGNSIKGNIPISLGTISAVQVLDLSYNELNGSIPESLGELALLQILFTDNAGLCGIPGLRECGPHLSMAAKIGMAFGVLLAILFLVVFAACWWKRRQNIIRAKKLAAAREAPYAKSRTQFTRDVQMTKHQRTHESARSSNNESSPHLLS >Sspon.07G0015230-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:58684940:58686952:-1 gene:Sspon.07G0015230-2B transcript:Sspon.07G0015230-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding YMCIYISLALTSASPSPSSPRKLHTDASSSSSDQACARAMAMELPLFLGDGPDAALFSSLWSSFPDDLQQPPQQSVAELKQTLLATTLELEAAREELKRKEQSIAKLAELVHEVAKERDDARDQLQSLRLLAAQAAAAAAAAAPPTAPPLVTSSLTDSDCSLVSSPVDPFFDPVTSADRRCKLSPATPPAATRKQQCQAGGGVGVGSAADAVLDMLASKRPLPHKGRLLAAVMEAGPLLQNLLVAGQLPRWRNPPTVRAPDTLPLGTARAGYVAAPVAAADAVTLASSACMKRPMSMLPLTPMLPAASCSPGFIAKRQRLH >Sspon.08G0010640-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8A:46877873:46877991:-1 gene:Sspon.08G0010640-1A transcript:Sspon.08G0010640-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VNLTADTTSPTEEGEEDDNNGDRCHQADDDGKGFPRFI >Sspon.07G0003880-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:8763168:8783823:1 gene:Sspon.07G0003880-2D transcript:Sspon.07G0003880-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGLRKRYLDVRSFPNGTRNCYTLRLPMAGLKYLLRAEFLYGNYDGLNRPPIFDLYAGVNFWTMVNVSSPDGLVRREAILVAPDDFVQVCLVNTGSGTPFISALELRPLKSSLHPQANATQGQGLLLSYRINFGADEIVRYPDDPRDRVWYPWVSSTIWDVISTTRTVQNLKDDPFEAPSKVMQTAITPRNGSDSIEFFWESVPQPKDPTPGYMAIMHFSELQLLPSNALREFFVTINDRLWSSSSSGFRPDYLSSDSMYSTTSLRRSAQYEVVINATANSTLPPFINAIEIFSVISTTNVVTDSSDVSAIMDIKTNYRLQKNWAGDPCSPKTYAWDGLTCSYAISDHPRITGVNISFSGVDGYILSSFANLKAIKNLYVWFFSTHFNPVNCNGSMQKKKCQTYHGPYVYVCRDLSHNNLTGSIPDSLSQLPSLTVLDLTDNQLSGSIPPGLLKRIQDGSLTLRHANNPNLCTNASSCQPAKGKSKLAVYIAVPVVLVVVIVSVQFPHLEHKTDVCCMCYSGSTTNTVKPQNETPMGHAPTPAPLPPAGDAYAQSSQQLENRRFTYKELEMITNKFQRVLGRGGFGNVYDGFLEDGTQVAVKLRSESSNQGVKEFLLEAQILTRIHHRNLVSMIGYCKDGQYMALVYEYMSEGTLHEQIAGNGRNGRCITWAGVSAQGCNPPLIHRDVKATNILLNAKLEAKIADFGFSKAFNLGNEAQMATSTLVGTPGYVDPEYQATMQPTTKSDVYSFGVVMLELVTGRRAILSDPEPTSIIQWVRRRLAQGNVEDVVDARMHGEYDVNSVWQGRGHRAQSTGQVDKVKSGGIDPLSWFPVKSLKEPKFYGLALGPDNWTRQILWVSNLQN >Sspon.07G0008620-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:23711223:23715340:1 gene:Sspon.07G0008620-1A transcript:Sspon.07G0008620-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DGGGRRRRGGLGEPGGGHLRGNRLARQHHRALPARHLQDQVPGRAPDAPGRAEVYYFKRLYLEKSGAKSIGTTANLVVAAAAGACTVIVTQPLDTAASRMQTSAFGKSKGLRETLSEDTEDCVDGILYSEQTLKYTVFDQLKQRIIQRQRRKNAGSAEDNSRVALSAFSAFLLGAVSKSIATVLTYPLIRCKVMIQAADPDEDDDDESERPSKPRTPKTMLGALHAIWSKEGIPGFFKGLNAQILKTVLSSALLLMIKEKISKFTWVSLLALRRYLFVSQKRIKSVTV >Sspon.07G0019090-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:72877640:72880409:1 gene:Sspon.07G0019090-1P transcript:Sspon.07G0019090-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSDGRLRRALAAFGGGGDVWGLVDAALAAAARDSPAELRARRDGISNGAVAAAPAAAAAASASPDEEVDADGLDDVDDDEADAGAGVESKILAIRDFLEDPDQSEDEMVSLLQNLADMDITYKALQDTDIGRHVNGLRKHPSSEVRQLVKLVVRKWKEIVDDWVRLHNSGGDGGGSIISDGDSPDKVQPKYHQNTHASDFKYSPAHRGIVSSHFPCPSLRERSGNHNMVESTMEKRRTSPAPAYHNTKQNNSNNYPTISSSAPATFDFALLTFSITVPILSCDVHNYAPQRAIREQKNTLLDAEKLDSARKRLQENYQEAQNAKKQRTIQVMDIHDIPKPKNRNTFIRKTGGGGFPGKHR >Sspon.07G0020690-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:68822523:68827400:-1 gene:Sspon.07G0020690-2C transcript:Sspon.07G0020690-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAPRTEGQEVPNRRVILKRHVTGFPTEDDMELVAGAAHLAVPPGSAAVVLKNLYLSCDPYMRGRMSRHERPSYLPDFVPGEALVGFGVSKVVAYGHPDFNVGDLVWGITGWEEYTTVDPATKLLFKIKHSEIPLSYYTGVIGMPGLAAYFGLYDVAKPKKGDYVFVSAAAGAFGQIAGQLAKLTGCYVVGSAGSDEKVNLLKTKFGFDDALNYKKTEPKRSIAEGVRNLTSLIIKRVRMEGFVASDYFVKYPEFEEEMVGYLKDGKIVYIEDVAEGIEKAPAALTGLFTGRN >Sspon.03G0023890-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:57625935:57637126:-1 gene:Sspon.03G0023890-3D transcript:Sspon.03G0023890-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPILMKGHERPLTFLRYNRDGDLLFSCAKDHTPTVWYADNGDRLGTYRGHNGAVWTCDVSRDSARLITASADQTAKLWEVSTGKELFSFRFDAPARSVEFAIGDALAVITTDNFMDHVPTVQVKHIAEDIDDQTDESALVITGIKGRINRAVWGPLNRTIITAGEDATIRIWDSETGQLLKESDKESGHQKTISSLSKSSDWSHFITGSLDKSAKLWDTRTLTLIKTYVTERPVNAVDISPTHDTVVLGGGQDAMNVTMTDRRAGKFEAKFYHKILQEEIGGVKGHFGPINALAFNPDGRSFSSGGEDGYVRLHHFDSDYFNIKMLFETQLVQFLGSDVAG >Sspon.03G0044860-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:4810049:4811325:-1 gene:Sspon.03G0044860-1D transcript:Sspon.03G0044860-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGEQNSEMLRGYGHLFSLGLAHFKSAAIKCAVELGIPTAIKRCGGAATVSDLIKEIGLVPAKAPYLSRLLRFLAFYGLFEEEDEESASAESQTVYKLTPTSRLLVQEADNDSTCDMSHMLLLFTRPSTTVSTFFNLERWFRDAADANTVFEAAHGKSIWSLTQSDASFNDAMNGASVADSRFTMEVVINEAGGYFKGLKTLTDVGGGHGAASAAIAAAFPDMQCTVMDLQQVVSTAPERGGTVKFVAGNMFESIPPADAVLLRLVLHSWDDATCVKILKRCKEAIPAMGGKVFVINTVLGHRGLTSKQVTEAQILLDLYMMRGHGFERDERQWKTIFLEAGFANYTVIPLQDPLAMIVLHPSPLVVETTVKVTGASGAKEDSFLSTDKAEAAP >Sspon.03G0046040-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3D:31991780:31991926:1 gene:Sspon.03G0046040-1D transcript:Sspon.03G0046040-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GKKEEQKRHFAGHLEETGRYGFKNYLDRFYRRHSRRISYASFAGRSVF >Sspon.04G0012900-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:44888758:44900771:-1 gene:Sspon.04G0012900-1A transcript:Sspon.04G0012900-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPNSGDVGAAGDLLETGLLAAASKEEAPAVETTATSTSSGRLAAEHERTATGSRVRQGVRRVAVQLQEVFLGTKLFPLFSAVPLAAWVFVFSLIGLAPLAERVSFLSEHIADTAGPTAGGLLNATCGNVPELIIALFALHKEKMEILKWSLLGSILSNLLLVLGSSLLCGGLANTGKERPLDRRQTDVSIGLLMLGVLCHILPLLSKYTTSTGDSTGSVLELSRLCAIVMLIAYFGGLVFQLKTHHQFFVQEESSQSSSSNSDDEVINNSVIGSAGTVIWLIGMTAVIAVLSNYVITTIEEASDALGIPVRFVSIILLPVVGNAAEHAGAIIFAFKNKIDITLGIALGSATQILLLVVPVILIVSWVNGVPMDLDLNLVETGSLVMTVFTTAFTLQDGKWHYLKGFNLTLCYIVIAVCFFTIKAVPTPKKIRLSFKNISAEKYITTHIEFT >Sspon.06G0008650-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6A:45577883:45578934:1 gene:Sspon.06G0008650-1A transcript:Sspon.06G0008650-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MCCPNNCNDRRAHPIMHAKKNVPHGPSPEGADLDATSIKRRHLLCFFEPQTKPPPLSKRITKELSSKAARMAPRSLAVLAVALALLQAASAKSWLGAKFTTDGTVREGYDASGQKVVMLSLDQHSGAAGFNSKEQFLYGEFSIEMKLIRGNSAGTVSCFYLSSGDGDGHDEIDMEFMGNSSGQPVVLNTNVWANGDGKKEHQFDLWFDPSADYHTYTIIWNPENVLFKVDNLFIRSFKRYADLPYPSSKPMTLHATLWDGSYWATEKGKVPIDWSNAPFVVSYRSYSANACITGGACRAGSDGWMHRQLDSAEWGT >Sspon.08G0022040-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:45342508:45343634:1 gene:Sspon.08G0022040-1B transcript:Sspon.08G0022040-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKALEPFIRSASSPTSSTCTTTSPFSYSYSYPYCSALPQDSPPRRTPLRGVVPDVVGGRRVGAGGAEPPGPGANPPDPGAALCAASAAAEGPAGGGLTAICQSLTTAEPASSKAAAASTTAAALPDSPKASASTTTTEGDESVHSACSPPSSLPAFPQQQQQNHPEMASLDFTEAPWTSPPPCTSTSTRPGRSTGTPSSRDQPNNKHMLNHALCCQWI >Sspon.06G0000480-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:816609:819649:-1 gene:Sspon.06G0000480-2B transcript:Sspon.06G0000480-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHFGRSGPPDIRDTFSLLVLNISFRTTADDLFPLFERYGKVVDVFIPRDRRTGDSRGFAFVRYKYADEAQKAIDRLDGRNVDGRNIMVQFAKYGPNAEPMHRDRDHRRRSRSRSRSRSRSRERHGHDRDRDYRRRSRSRSRSPSSSPDHKSRRRARDDGKHGSRSKSRSKSRSRSKSRSKSRSRSRSYHRFLNTNLQFHHLVILYMFPNSNLISFNSASPARRSASPHKSPPPRRSPTPEKHTNGKDSPLSRSVSPSPKRASSHSPGSDGKLSVREMGCCLLVECDPLDAVFIFVRKGSESNHEIPDGSHD >Sspon.01G0003390-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:8632878:8633773:-1 gene:Sspon.01G0003390-3C transcript:Sspon.01G0003390-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQGQPRRPSGHEETSGEQGAVRYGDVFPAVSGGLAEKPVAPQDAATMQSAENLVFGQTLRGGPAAAMQSAATANERMGAVGHDQATDATAVQGVTVSETRVPGGRIVTEFVAGQAVGQYLARDDDGATAGGGASAGAVDKDMTKVTIGEVLEATALAAGDAPVERSDAAAIQAAEARATGLDANVPGGLAAQAQSAAAANAWAWRAEDKATLGDVLANATARLVADKPVESADALGVAGAENRNRDDGTARPGGVAASMAAAARLNRDEA >Sspon.02G0047530-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:8875208:8877551:1 gene:Sspon.02G0047530-2D transcript:Sspon.02G0047530-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATIITRFLHHLALFLLIVQFADAVLIPKTKNYAALKLQSSSTTYIVHANDLAKPPHFGSLEEWYRSMVTTHAPFHSGGDLQRHPLTGDEARLMSSAPGVIGVYEDRVLYPQTTRSPGFMGLEPGNGAWKQTDFGDGVIIGIIDGGIWPESASFHDSGLGPVRSSWKGKCVDAHDFNANLCNNKLVGAKAFITAADAVAGRKSRGIPSPRDEEGHGTHVASTAAGAEVRNASLYTFSGGTAWGTAPKGRIAMYKACSEVGCLYADIVAAVDAAVKDGVDIISMSLGGNPPDPPFHDDVVAIALFGAERKGVFVVLAGGNDGPQASTVTNSAPWMTTVGASTVDRLFPASLTLGNGVVLAGQSLYTMHAKGTPMIQLLSADCRRRDEWKSWTPDKVMGKIMVCTKGASDGHGILLQNAGGAGIVGVDPRELSRDGSGTYSFTLPGLTLCYTAGEKLRTYMASVPYPVASFSFGCETIVRKNRAPVVAGFSSRGPNPVVPELLKPDVLAPGVNILAAWSGDVSVSGASDDGRRADYNIISGTSMACPHVAGVAALIKKKHPSWTPAMVRSALMTTAGTVDNSGGDILDNGVTVGRTDNVRVATPLVAGAGHVQPDLALDPGLVYDAGERDYVDFLCALNYTAEQMRRFVPDFVNCMRTLAGGPAGLNYPSFVVAFDNSTDVRTLTRTLTKVSKEPETYNVTVVAPEHVKLTVTPTTLEFKEHMETRSYSVEFRNEAGGNREAGGWDFGQISWVNGKHKVQSPVAFQWKN >Sspon.05G0020170-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:88269095:88273744:-1 gene:Sspon.05G0020170-2B transcript:Sspon.05G0020170-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEESPAPAPAAKVYYDGCPGCAMDRKKETQSGVPYKELLFVATTTFASALPITSLFPFLYFMIKDLHVAPREEDIGFYAGFLGAAYMIGRGVASVFWGVAADRVGRKPVLAFSVFSVIVFNTLFGLSVKYWMAIATRFLLGALNGFLAPVKAYSIEVCPPDQQALGISVVSTAWGMGVIIGPAIGGYLAQIFSLWTVSDRKYGGLSFASKDVGQVLTVAGDQMVFLLLNLTEVIGLVLTFKPFLAVPQQYKQ >Sspon.08G0012620-2T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:53970079:53974901:1 gene:Sspon.08G0012620-2T transcript:Sspon.08G0012620-2T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ELQDLSAGVLERNNYYSTSQGRPDGSGGGYTYSSRGGNTGGRWDTRSSGSSDRDGELPDRDSQTQAGRGGNQYRRNWQNTEHDGLLGSGGFPRPSGYAGQLSSKDHGNAPQLNRTSERYQPPRPYKTSTAKANAKTKNEKLDGSACHNATTEANMLTVAWLGGQLEGDQSATEFASESKEKGISNNLQNPAGQAAWLQELVELKMKASEVKSTIQ >Sspon.04G0006800-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:19308203:19314756:-1 gene:Sspon.04G0006800-1A transcript:Sspon.04G0006800-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DFVPLSFYSEPDGPIVGSGTFKSTVLVPGEPEAFYVGPPSREKLPKNAPPGAVLVGSITYGTVDDDKEKGVSVGTKSVSEQLDEEVRDTKIKFLSSVKQQTEEDKSAWSELVASLKSEYPKYTPLLSKILECVLQKGTDDDKISHEKEVIAAADEVVGSIDKEELAKYLSLNSDPEDEEAQSDESIEASGKDIFEENYKELIKWVDAKSAKYGTLTVLREKRCGRPGTALKILNDLIQNESEPKKKLYDLKIQLIEEMGWRHVSTYEKQWMQVRFPPSLPPF >Sspon.04G0007160-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:21205319:21209902:-1 gene:Sspon.04G0007160-1P transcript:Sspon.04G0007160-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATSATAVSGVVLPHAFLSHRSPPPQVLSVASSFRRLSLCASPRRTTHIIARADASAEAGEPEPEEPVTASAESEEEVAEGAVAVAEAEEEEAEEPPPPSKPKVKFGEIIGILNKQFIEEAEKVKTVPDLRPGDIIELRMQRPNKRRLSLFKGIIIAKHKAGVHTTIRVRRIIAGVGVEITFPVYSPRIKEITVIRHRKVRRAKLYYLKDKLPRFSTFK >Sspon.06G0013990-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:73299109:73301114:-1 gene:Sspon.06G0013990-1A transcript:Sspon.06G0013990-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:O-fucosyltransferase 1 [Source:Projected from Arabidopsis thaliana (AT1G04910) UniProtKB/Swiss-Prot;Acc:Q8W486] VMSIPEITTNGKTKKLKGHQIRPPRDAPVSWYATVALETMKKYGAIYLTPFSHRLAEDIDDPELQRLRCRVNYHALRFKPHIMKTSSEIVNKLRSEGHFMSIHLRFELDMLAFARCIDIFKPQEQKILLKYRKEHFAEKELIPMERRRMGKCPLTPEQVGLILRAMGFDNRTRIYLASGELFGGKRFMKPFKTMFPRLENHSTVGPGKLEENTQGLAGSAVDYMVCLLSDIFIPTYDGPSNFANNLMGHRMYYGFRTTITPNRKALAPIFIDRMEGRGISFERIRRVMFNTHFGGPHKRIHPESFYTNSWPECFCQADARNQADRCPPDNINDVLESQFQSEEEMEEARASNRTDSSSQIEEPTI >Sspon.05G0011910-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:28677732:28678787:-1 gene:Sspon.05G0011910-4D transcript:Sspon.05G0011910-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRVLEPLVVGKVIGEVIDNFNPTVKMTVTYGSNKQVFNGHELFPSAVLSKPRVEVQGDDMRSFFTLVMTDPDVPGPSDPYLREHLHWIVTDIPGTTDASFGRELAMYESPKPYIGIHRFVFVLFKQKSRQSVRPPSSRDYFSTRRFAADNDLGLPVAAVYFNAQRETAARRR >Sspon.04G0004230-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:13404847:13406150:1 gene:Sspon.04G0004230-2D transcript:Sspon.04G0004230-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding KMEIGWEDRCSNEKGAGLNQAPPQQVQCTHRTAGKAQDPPFGLRPVSQSETGSQLRATWARPFPLRAVSINPPRRASSPNEGFCSRSVCENRVKHALSLGLSVDGGRAREMGRQPCCEKVGLKKGPWTAEEDQKLVNFLLSHGHCCWRLVPKLAGLLRCGKSCRLRWTNYLRPDLKRGLLSEEEEALVIDLHAQLGNRWSKIAARLPGRTDNEIKNHWNTHIKKKLKKMGIDPVTHRPLAPAAQPLAPLTQQRDPAPQVREEARCDEPSKQQASSQGPAAGADEDEDEEETTPASAAQPHGAGETTSSPASAAAGAVSPSCSSSSASASAATPGADVAAWPDPIDLFFEVDGIMDMDWAGILSGCGDDAAADIGVDLFDHYPGDGFDEQAWM >Sspon.01G0015710-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:47886627:47895351:-1 gene:Sspon.01G0015710-3D transcript:Sspon.01G0015710-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSSPSSAAPARVSARKRAAKAEEIHQNQEEEEVAAASSAKRRRKAAASSEKKPKQGKSPKAGRKKKGEAEAEEPVEDDVCADEPDEEELAIGEEEAEAEAEEQAMQDEAAAAAAGSPGKKRVGRRTAAAVGDHEPEFVGEPVPAPEARSSWPKRYERSTAAKRPDEEEEVKARCHYRSAKVDNVVYSLGDDVYVKAGENEADYIGRITEFFEGIDQCHYFTCRWFFRAEDTVINSLVSINVDGHKHDPRRVFLSKEKNDNALDCIISKIKIVYVNPNRWAVDLNSFACQSLKYNHPQTEVRNEKADDFLALLKEWSVLCDKYVHQDMGSDLAGSENEEDGSSPLDKDEFVVEKLIGICYGGSGRDNGLYFKVHWEGYGPEEDTWEPIDNLRNRNEPLKDEKNKQMVTFMDIVAYLKPKYVLMENVVDILKFADGYLGRYALSCLVAMNYQARLGMMVAGCYGLPQFRMRVFLWGALSSMVLPKYPLPTYDVVVRGGAPNAFLQCIVAYDETQKPSLKKALLLGDAISDLPKASVENHQPNDVMEYGGSPKTEFQRYIRLGHMLDWSFGGEAGPDEGKLLDHQPLRLNSDDYERVQQIPVKKGANFRDLKGVKVGANNVVEWDLEIKRVYLSSGKPLVPDYAMSFIKGKSSKPFGRLWWDETVPTVVTRAEPHNQIILHPTQARVLTVRENARLQGFPDYYRLFGPIKEKPLNLSRRRGVAGRATALLSSASWPRPLPSPHSGDLPVTTEPQPLGATCVVVEDMAPLPLRSRRDPRLGGQGAR >Sspon.02G0035780-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:15845470:15846032:1 gene:Sspon.02G0035780-2D transcript:Sspon.02G0035780-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MANYCMQANSASGLAVNDECKVKFRELKARRSFRFIVFRIDDKDMEIKVDRLGEPNQGYGDFTDSLPADECRYAIYDLDFTTVENCQKSKIFFFSWSPDTARTRSKMLYASSKDRFRRELDGIQCEIQATDPSEMSLDIVKSRTN >Sspon.03G0007720-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:35725092:35728423:-1 gene:Sspon.03G0007720-2C transcript:Sspon.03G0007720-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRADHLPQLPIPLPCMGEPTAASRVSPGSSPARSEPSRGAPCYNADAEPDPEASNTAAADHYRCSSKLPTASRPMEPHLPADLARLLKTRPLHALVSNASTYCAARHLFDAVPRPTAALCCAFLSGLSKLSLHQEFIEVVSSLHRRGGAIPSGCIPLVLKSCALSAASCQGRQTHCHALVRGMLGDVFVQTALVDFYAKNGDMDSAVMAFKEMPVKDPIPMNCLITGYSKSGNVKEARRLFDSMPRRTSASWNSMIACYAHGGEFQEALTLFDQMLREGASPNAITITTVFSICAKTGDLDNGRRARAWIGEEDLQNVIVHTALMEMYVKCRAIDEARHEFDRMPRRDVVAWSTMIAGYSQNGRPHESLELFERMKATNCKPNEVTLVGVLSACAQLGSDELGEQIGNYIESQTLPLTSYLGSALIDMYTKCGHVARARNVFDRMEQKVVVTWNSMIRGLALNGFAEDAIALYRKMVGDGIQPNEVTFVALLTACTHAGLVDKGIAFFEEMKKQHVSPQVEHCACIVDLLCKSGRLWEAYKFICDMEVEPNAVIWSTLLSACRAHADVELAKLAAGKLVALEPNNSSIYVLLSNIYADAGLWGDVREIRDLMRSKNLQKLSAYSWIELDGEVHRFLVQDTYHPRSAEIYNVVHGLGLQLERTNPDHELISEVFMSQHETARAPDSVPLNPIEMESEADRAAAPAPAVAAETSDDAIQEESPATAPSSDGKPGSGAAAAAAPEVEVQLFRRGRPVTVFRSQLGGYTQDQLEVGDILEQHGLKSIFAFDPAAGKRGVAIRFNPRNGRSLLTYAPGSTIFLDGEPKDSLLKPITKMVIGVAAMTVVAAVLLKEAKMPEWLQSSKLGTVSFPPWVLACMVIVFMRLRKRTKYVMKKFGWAS >Sspon.03G0023110-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:70683771:70690454:1 gene:Sspon.03G0023110-1A transcript:Sspon.03G0023110-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPQTIALAGLILLNFVLAVAALCLHVLGRRRPRQQVPPPPPQPLEAAAAGEAEEEEGGGEERPQNQRRRRRARRKRQQQEQEEEGAAAEGGGHGADGDRDAAGKAALLPRRPEFPLASVAGPLQRRINARYDDLARASEAQCLTIEQPGRLILDIEDFAPDLPPQPAAMEKAYARFLLGFAMALMTPCRHQNHESGEPSIPERPPLPLLMLSCALKRRISSIYAEFSYARITKDLVNEFVNCLIDARNELLQRYENVQRSFKIKTAMLSNHRNYRSSYERLFEQVRRLETERDNLKKDAAIYNYIQERLQKSAAYKMIMELSAMEMEAQEISFEELLAKEKEDTAFWQRNGKLRSFSSK >Sspon.06G0013130-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:68621591:68625642:1 gene:Sspon.06G0013130-1A transcript:Sspon.06G0013130-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding PVSDFAFPMGNAFACMPRKEQRAAAAVSRSKRMGSARSARGGPKLTPAEEELLHRQALAMAIHQHLDAGGSMSRRIDAGAASLSRRMGPGSTSSRRRGDLPGAAGICFQAPIVLENLETKKIVLVHGEGFGAWCWYKTISHLEEAGLDPVALDLTGSGIDHTDTNSIATLADYSKPLIDYLVFVTTIMQVILVGHSCGGASVSYALEQYPKKISKAVFLTATMVKDGQRLTLIYISQLRSADVFLQESQFLVYGNGKDKPPTGLMFDKQQIKGLLHTLLKMQDMALAAVSMRPIPLAPIMEKLSLTPENYGTVRRYFIQTLDDHMLSPDAQEKLVRENPPNGIFKIKGGDHCPFFSKPLSLNKILLEIAQIQAPAVLLPAKASAEVETASVA >Sspon.02G0019020-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:61292571:61305788:1 gene:Sspon.02G0019020-1A transcript:Sspon.02G0019020-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKEKLCKQPSGRLIESLKMERMRNILTHRYPYPHEHSRHFIIAVFACWLFFISSDNLQNLIMKLDKNFKWWSMYACLIGFFYFFSSPFIRKTFKPNYSNFNRWYIAWIFLAALYHLPSFQSMGLDLRMNLSLFLTIYISSLIFLMVFHIIFLGLWYLGLVSRMAEKKPEMLTIIQNCAVSSVVTLVHVISIACCVFYSHCGNRTVSRDKSIDRRTASWIVFSLWTKHDDNTLISRLLRMHKFKEQICSSWFAPVGSASDYPLLSKWAIYGELSSNGSGSSNEISPVYSLWATFMGLYIANYVVERSTGWALTHPLTISEYEKLKKQLKPDFEDMVPWYSGYDISQYTSSCTASDMAKVTYRTSTDLFKTVFDLMISVTLFVGRFDMRMMQFFTNLDQAAMNKTPDEANSHGLLYDHLDGKDELWFDFIADTGDGGNSTYAVARLLAQPSLVIKSDDSRLTFPRGQLLLIGGDLAYPNPSSFSYERRFFCPFEYALQPPAWYKPEHIALEKPELPLGVSDLRRYRGPQCFMIPGNHDWFDGLNTFIRYICHKSWLGGWFLPQKKSYFALKLPNGWWVFGLDQALHGDIDVYQFKFFAELCQQKVGESDSVIVITHEPNWLLDWYWGDSTGTNVAYLIREYLRGRCKLRMAGDLHHYMRHSCIESKEPVHVQHLLVNGCGGAFLHPTHVFENFRVFYGNKYETKSTYPSYNDSSKIALGNILKFRRKNWQFDVIGGFVYFVLVFSMFPQCDSFRILHEDSWAGRINGFFSAMWNAVFEILERSYVSLGGVVTLLMVSFFFVPTKLSRRRRVLLGFLHAAAHLTSAVLLMLLMELAIEICIRNHLLATSGYHTLYEWYRKVESEHFPDPTGLRARLEHWTFGLYPACIKYLMSAFDIPEVMAVTRSTICRKGIESLPRGGAIIYYVCVFLYFWVLSTPVVSLVFGSYLYLCINWFHIHFDEAFSSLRIANYKAFTRFHIKKNGDLEVFTLAVDKVPKDWMLDPDWDMEPKQPLQMSYTRKFPSKWRAASGLDPINAVRIVDRFVIPRTPSSPRTPSSPTTPGGSVR >Sspon.02G0041620-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:77295493:77296886:1 gene:Sspon.02G0041620-1B transcript:Sspon.02G0041620-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGLGPPKQRAAAAAAPGACVVPDDALVEMFGLLPANSLHRFKCVSKAWCGLVTDPLHRQRYAQTLAGFLCADVDVAAAGGGACESCRRAGGVAGGHGAEESSRRTCPHSTLPEGKRTTTRRRFVNVSGMAEPFPDASFSFLPPPSDSAEAFRDVILDARDGLVLLARVRGPPTSTRRRATSSATRRRRGGRRCRAPAGSPAPRNGRRSPTCSSTPPAPLPCSTCSSSGSTTWTRCGRCTPTRHRRGAWVDRAGAWRDGGWRDWGRGMALIQPGTGAAVARGALHLVVDTDGTSGPNNLVAVDEEGRTRRAIPLPRRDVVEKDWHSVFVARGSRGALHYVMCVRPPHGQLSEEAPLRLLVWVLQDYDAGEWVLRHAVGFPELFGGRFACQFRVEYSVVAVHPDGSWVFFVRHWDRKLVAYDMDRREVRVVADLGPGGGDLSDELPTPYVPLYKESAALTNQQ >Sspon.01G0005110-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:11946900:11949097:1 gene:Sspon.01G0005110-3D transcript:Sspon.01G0005110-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNARALCLAVAIVLSVLSRQALAAGEGSKEADKIAALPGQPKDAVVQQYSGYVNLDDKAGKSLFYYFVEATADPATKPLLLWLNGGPGCSSFGIGAFQEIGPFRVDTDGKTLCNFKYAWNTVANVLYLESPVGVGFSYAANTDVYKVMGDNMTADDSLQFLLKWLDRFPEYKGRDFFIVGESYAGHYVPELAAAILAAKNAAINLKGIAVGNAILEFAAEQAALYEYLWQHAFLSDSAHTLIGQRCKNAEDNSPLCSGARDTAYNQLGNVDVYNIYANTCHDKNKVKPTGSNCMDLADPCAQYYVEAYLNQPEVLKVIRANTGLKYKWTRCRSVDAPASHARCVTPQLACAIDIWLAKQYNCSGDLDAMVPVIATKQSMEKLGLGVVEDWRPWSIDAKDPEVAGYVIEYKGVVFATVRGSGHMVPIDRPDRGLALFSSFIKGEPLPKAAPMVDG >Sspon.01G0027420-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:90688123:90690765:1 gene:Sspon.01G0027420-4D transcript:Sspon.01G0027420-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGGIALKPFLPQLQTTFVKCLHDNNRSVRTRAAAALGKLSALSTRSGDESVKESVLSALKGVIKHAGKSVSSAIRSRGCALLEDLLQAQADDVRSCAAKVIGTLSQYMEETEISDLVQILLNVSTSSDWCTRHGALLAFSSISMHSPSKLCHLASFPSLVDLLKDSLKDDKFPVREVATRTLGRILCFELQSEGGTLQLVQLLVLALHDDSSEVRRRSLSCIKAAAKINHSALATHHSILGPAIADALKDSSMPVRLAAERCALHVFQLTKGPDNVTAAQKYLGMTGLEVKKIAKLNEESDGSESSDDDKRT >Sspon.06G0002910-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:7490939:7494862:-1 gene:Sspon.06G0002910-2B transcript:Sspon.06G0002910-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGGGGGGGGGGQQWPCDYCGEAAAALHCRADAARLCVACDRHVHAANALSRKHVRAPLCAGCAARPAAARVSPVPGADPAFLCADCCEGCDAAMRVPVEGFSGCPSAAELAASWGLDLRRAAVGDDGHGRAEDKGGGDIDLDHEPFLSALDYSVLGVVDPDLRDLYVPCDPPRVPAPDAAGARPLRGEALSDQLAEMARREAGTAHAHPHSDLSPRTPRRTSAASSGRLPPGKMAPPAAMPTHHPPPAAAQEVPPPYTSLLMMASANCADLIGVADRVGDDDEQLLWDCAAPSVLPTQIWDFNLGRSRDHDEKSALEVGYGSNHGGFMIKGYSDMLKEISSGTTKDLEDIYDSRYCSTAEDIMSSNMCQLSSKNVSTASNKRKLSSCASTMDGPTTSGNHVPTSGPALTREISFGDQTVSAPAAERPAVRIDSETLAQNRDSAMQRYREKKKNRRYEKHIRYESRKLRADTRKRVKGRFVKSTEALNAGYGG >Sspon.03G0043650-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:50792375:50792806:-1 gene:Sspon.03G0043650-2D transcript:Sspon.03G0043650-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DDEAGGRVPVPVQPAAAAARRPRLRHRRRAARRDHGGGGGSGGGWPAVPGRRRGGDHVRDCRPARPHGPAAAPRRAHPRHPRPLLRPRRRRLARRRPRPRRVPGLRAPRRRIPRRRRARARAHRRPPVLPLRAPRRRRRRRRRV >Sspon.08G0019470-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:12199336:12201178:-1 gene:Sspon.08G0019470-1B transcript:Sspon.08G0019470-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSQPPPLLAAAGAIASRPPNGRGGDEEPRRHRHCDFTANCRRARAAARMRAARGRCAAAQAWSARVALGERRGRLGRLRLGTLAGMLDYPDEEMEFSRRRYGYGQKFHFRTCKKKSGSGTLWKLKITDCKSSLFIPRLLLLFTSLQELELDGFNTNADLKFFLRRNNDLESTLCKMTRLEKLTIPFPEHPPEEETNNIIQTLSNIHHIPYVNTREYENIVKSKGPNKFRNKGFAIFQVPEK >Sspon.08G0020930-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:28091965:28094211:1 gene:Sspon.08G0020930-1B transcript:Sspon.08G0020930-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAAAHQTAASHADDASPEWSVAVPAAGAEHENTKSSRRACCAPALVVVRRLFSWLVAPMHGMVSLATAACLWLLSLAAAARDRVVGVARMAWRIGADDPRKVAHGFKMALALTLCSVFYYVQPLYVFTGQNAMWAVLTVVVVFEYTVGGCLYKGLNRAMATVTGAALALGVQWIASKSGKELEPFILSGSLFVFAAAATYSRFLPTMKARFDYGVTIFILTYTLVAVGGYRVNEVAFMAQQRLTTIAIGAMICFAVCALVFPVWAGQELHDQVARNMDKLASAVESCVEDYFSEAAAGFDVAAAAAPKPALSDKSHGYKAVLNAKASEDSLANLATWEPAHGKFSFRHPYHLYQKVGAAMRSCAYCVDALAACVGSEAQTPAHVKKHLAGASAALGRHCSAMLREASGSVASMTRSGRLALVVGDMNAAAQELRDELRCLAPLLELDESTDTEQEHNITTSPAPAPSLIEALPLFTAASLLLEICMRAEGVVSAVDNLAITARFKKADHDAETTHDVEAAVPTTMSTILTADAPQETEAQVAVDHGKTETPTDESSADQTPRDQVDELLKVLMRRRSTKKWARGDTKVCPKPPLDFLVHAPSPRSRSMELTVTGHAQVAPSPRHRSVELTSHPPVAPSPRNRSVDFASHGPVLPSPRNRSVDFGNHGPVLPSPRHRSILGMA >Sspon.01G0055050-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:72501306:72502955:1 gene:Sspon.01G0055050-1C transcript:Sspon.01G0055050-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFNLAQCAAASASLAPRTPRPRSAAAASVSVSFSARKAAAAGSLRLQRQACCEPSVAPARSVLCQAAVSGKSAADRAASRRRSSGVPVFIMMPLDTVKKCGTALNRRKAMQASLSALKSAGVEGVMVDVWWGIAESDGPGRYNFAGYMELMEMARKAGLKVQAVMSFHQCGGNVGDSVNIPLPRWAVEEMEKDQDLCYTDQWGRRNFEYVSLGCDAMPVLKGRTPVECYTDFMRAFRDHFADYLGNTIVEIQVGMGPAGELRYPSYPESNGTWKFPGIGAFQCNDRYMRSSLKAAAEAAGKPEWGHGGPTDAGGYNNWPEDTVFFRGDNGGWSTEYGDFFLSWYSQMLLEHGHRILSGATSVFAASPVEVSVKVAGIHWHYGTRSHAPELTAGYYNTRHHDGYRPIADLLARHGAVLNFTCVEMRDHEQPQEAQCMPEHLVRQVGAAARAAGVGLAGENALPRYDGTAHDQVVATAAERCAAEDRMVAFTYLRMGPDLFHPDNWQRFAAFVRRMNGAGSCREAAEREAHGVAQATGSLVHEAAVALRS >Sspon.03G0021290-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:87880578:87883212:-1 gene:Sspon.03G0021290-2B transcript:Sspon.03G0021290-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMVSITGHRQQLLLYLHLLVTCSLGPNSSRTPSPPTATKLPHQHQQPFRAGRTSPRRCSGSGVPSPPPTPHAPSCRGGPARTAAAGRASPAPPLTAASPPSTSRSAGLHPALFELTSLRYLDLSFNSFNESELPAVGFERLTELTYLNVSYTDFVGKIPHGIRQLSKLVSLDFTNWIYLVEADNDYFLPLAEGRWPIVEPDIGSFVANLSNLKELYLGNVDLSSNGAAWCSAFANSTPQLQVLSLPNTHIDAPICESLSSIRSLTKINLNYNKVYGQIPESFADLPSLSVLKLAYNRLEGQFPMRIFQNKNLTVVDVSYNSKVSGLLPNFSSHSIMKELLFSNTNFSGPIPSSIGNLKSLKKLGIAAADFHQEQLPTSIGELRSLTSLQVSGAGIVGEIPSWVANLTSLETLQFSNCGLSGQVPSFVGNLKNLTKLKLYACNFSGQIPPHLFNLTQLGIVNLHSNSFSGTIQLNSFFKMPNLVILNLSNNKLSVVDGEYNSSWASIQNFDTLCLASCNISKLPNTLKHMYSIEVLDLSNNHIHGPLPQWAWDNWINSLILMNISHNQFSSGIGYGPVISANMFVIDISYNLFEGPIPIPGPQNQLFDCSSNQFSSMPLNFGSHLSSVSLLMAPGNKLSGEIPQSICEATNLMLLDLSNNDLIGSIPSCLMEDMSHLNVLNLKGNQLHGRLPNSLKQDCAFEALDFSDNQIEGQLPRSLAACKDLEVFDIGKNLINDTFPCWMSMLPKLQVLVLKSNRFIGDVGPSILEDQNSCEFGKLRIIDLASNNFSGLLRNKWFTSMGSMMTKDVNETLVMENQYDLLGQTYQFTTAITYKGSDITFSKILRTIVIIDVSNNAFYGLFLSQLGTLFYSVG >Sspon.02G0019050-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:61320585:61324245:-1 gene:Sspon.02G0019050-1A transcript:Sspon.02G0019050-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVVHIVAITVFFLLVVAFYAFFAPFLGKQVLEYIAIGVYTPVALAVFILYIRCTSINPADPGIMSKFEDGLINIPTNGSEIEGMNLPQKVNNATGTNSPTSTCRSSLDGHSNQRGSSIGEANMNLGSQLPKKRSSCWLLGGLLCAIFVKEDCRKTDDSEQQANGEEALFCTLCNAEVRKFSKHCRSCDKCVDGFDHHCRWLNNCVGRKNYFTFLALMAISLIWLAIEFGAGIAVIVLCFVDKNASRNIQDKLGNGLTRAPFAVIVGIFTLLSLVACIPLGELFFFHIILIRKGITTYDYVVAMRAMSEAAPEDEEGANIIYSPSNSATTGFSVGSSLGLHHKGAWCTPPRIFIDQDEVIPHLEPGMVPSTVDPDAAGYAERANKAKKAVKISARSLAKLDKNEVMKAAAKARASSSVLRPIDARRGREADVISSGNASVRSSMSVDYSGTKESNSEMKLSPLQNSYPQSLASQDEYDTGTPTASSLSSPVHIHKLASHSQFSAAPRPAPPERPVPAMVRPPVPTTQITNTGIPRPAVPATQIMNPMFQSATSYVRENRRASVVWDQEAGRYVSVPAQTRIGTGVEMPTRNRGFLANPSGEPSSHARSVAPGNASSSAMPSGQPSERLTYSGQSIFFGGPMLSTPGVGAQRNEAGARARPEGSRDPNAQQRDIRGEKARTGSFPIFAPGTFQRNPPFDK >Sspon.03G0004400-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:30302721:30303110:1 gene:Sspon.03G0004400-2C transcript:Sspon.03G0004400-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCTASQRAAESWTDDGEWEEEEEASSSSEGEHHHHHHHGRREDHHDHDSEVTIRITKRQLHELMEKNGGSGHGGLPLPGFGSGRRSAEQLLADIMNSGEVHHRDHHREEHWHWKPALQSIPETVESS >Sspon.04G0036030-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4D:22222379:22225019:1 gene:Sspon.04G0036030-1D transcript:Sspon.04G0036030-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding TGNSREGCPGRTPPARLAPKTRRRILSGRLNPHHSPKTHAKISPVLLSGLPSSRHYVPYTYTPLTHRHHITDI >Sspon.02G0004250-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:13146771:13150310:-1 gene:Sspon.02G0004250-1P transcript:Sspon.02G0004250-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAATQVGTYFLRNYYNLLQQTPDVVHQFYSEASTMVRVDDLTGTTAAANNMMDIHSLIMSLNFTQIEIKTANFVNSWGDGVLVMVSGLVQTKEYSHQRKFIQMFFLAPQEKGYFVLNDYFHFVDQEHVQPAPVIGQEDYESNLAPNTVVETAPEYIHEEEDQQIAPEGHDVVDNYTYSEPQQQVVSSDNWGEEPLTEEPPSFSNEMTVAPEEPVQAPPVPPPHVEEPVGEPVKKTYASILKTAKAPPAFPVAQQVPVSKPSHPTTESNQTQHSVMASSTGAEKPRSDVYGEGAAHDDEESKSVYVGNVPANVTEVDLESEFKKFGRLIPDGVAIRSRKETGGYYAFVEFEELSGVHNALKASPIEINGRQIYVEERKPNSGIRGGRRGGRGRFSGGGRGYARGGGDEYNSNRGRSNGYGRVPHQERGILGSHTPRN >Sspon.02G0042990-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:87871080:87871753:1 gene:Sspon.02G0042990-1B transcript:Sspon.02G0042990-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DTPCLERLLSLGPDNGLATIQVLRAPKLEILGLLSNGITKLDLGTTVFQKMIALSLTTSMHTVKVLALGSCGPNLNSVVDFLKCFPCLQKLYVESQLQNSRKNKRSYNPLDPVECLESHLRQVVVMNYRGMRHDVDFAKFFVLNAKVLKK >Sspon.05G0027780-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:54895784:54904318:-1 gene:Sspon.05G0027780-3D transcript:Sspon.05G0027780-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-binding family protein [Source:Projected from Arabidopsis thaliana (AT4G34430) TAIR;Acc:AT4G34430] MEPKPSPPPPPRPAAPSRRRGAATKRKERAASAAPSGSPSPKRQARDCGPVDPPSLPPPQPRSRQPARKPRRKPARKKSTQSSVKPPRAQEEDGPPPPPPPLPPPRPSLEKEIEAVLSRGAGVHVVPTFAGWFSWKEIHPIEKQMLATFFDGKSERRTPEIYLGIRNLIMNKFHFNPEVHLESKDLCELSIGEMDARLAILEFLAHWGLVNFHPFPPVTQERKLVESKSSAEIEDEISLVEKLFQFETVHSYLVPVSKKAEAISPVQFTSLLSEPTLAEDAIGAAESSVEYHCNSCSVDCSRKRYHCRTQVDFDFCSECYNEEKFDEGMSKADFILMESAEVPGSGGSNWTDQETLLLLEALEIFKGKEWGEIAEHVATKTKEQCMLYFLQMPISEPFLDGEDFNETPQKITEQDSEIGFSDVPDEMDVDGNAEGKVSTDEKAYKKANSTSSETRTKLADQNVSEKEDTRDAGGDDPVASIDDESNKSSLMDPAHKKNSANADVSGEHTSNFVIDVLRSTFEAVDHFLGQEDLGSFAEAGNPVMALAAFLASLVEHDDDAVSSCRSSLRAISEIYPALQLATEHCFILPDPPSDLKDPTSTFSACTGSECQEGADTTQNVNDTDKDSSEREENDLGLEKENAAFTSQKEHLELSDTKERGPEAEAKSNSTKDSDNPIAKVDSSVASDKMRDGCNANAISCSATSNSATEPSSIPSQEASAASTKDTTNPEQVEGDKPSSEELPADVSPSQGKIEPKKIEDAPAASSSVQQRECKQAGNGSTEEIIWSYVITEPKSNENIASGDDPIIRLQRAAGTAISAAAVKAKFLAEQEEGYIRRLAALVIEKQFQKIGTKMSFLTEVENLVLRSRELTERMRKKLMLERSMIIASRMGAATAAAASRTNQQGAPGTRLPVGVFIFTNRYIYDWNHTGNRKFGAGHFLRRDEQLK >Sspon.01G0031330-4P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:107670451:107674197:-1 gene:Sspon.01G0031330-4P transcript:Sspon.01G0031330-4P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGPLPGAATSGRMGGAVPALRRSLSHGGRRLRNFTRALLARAERFLGSRFPTPRRRGRLWRRSAGLQWAQGKAGEDRVHIVVSEERGWVFVGIYDGFNGPDATDFLVSHLYAAVHRELRGLLWDQRSSTMHIRPADQHHGLRSPGPAANRRRARRSRPPRGADDDQRRWKCEWERDCSSLKPPTQRPPRSSSENDHLAVLKALARALRKTEEAYLDVADKMVGEFPELALMGSCVLAMLMKGEDMYLMNVGDSRAVLGTMDSVDLEQISEGSFDGLVGDGTPLLSAVQLTSEHSTSVREVEMFIATTPEGDPAQHLVEEVLFRAANKAGMDFHELIEIPHGDRRRYHDDVSVIKK >Sspon.07G0021370-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7B:2264503:2264798:1 gene:Sspon.07G0021370-1B transcript:Sspon.07G0021370-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding TVTGRTQNSESYGCDRRGGTRPPGDTDVTRHGGGRQSVPTSLHEGTSVADTALRSTKQRKLAGHLAMYKRLALTGSNKSMLMLLYGASYWTKFYITL >Sspon.01G0030390-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:104233729:104235568:-1 gene:Sspon.01G0030390-3D transcript:Sspon.01G0030390-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GAVRASEGGAAQELPRQVPVRGRGRGARDAGPGRVVGQRAVDRGGVAAQPGGRHPPAEPVRAIPHRLRGAVPAGDDGAEGDADAARRVAPRQRVRGLGARAGRLPGAAPEQGGTLPPRQWRGPALEELRHARRATPHRHAGLGALGRRDRAAPHAGAARAHRLRARRQVAGLAARAGAQQAAAAETHAPHHRPSKSYAAPPPPPTLEPKAPPPPKLSKLESSLSFHAPLHKVEGRAIHYHIADDLGNVDDGTVGHSFTFNGCNLEELAHKLQEETGLEDIIICTRSPINGKLTPLRLQLPPNNAAMHIVLVQESSK >Sspon.03G0027760-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:39665740:39669707:-1 gene:Sspon.03G0027760-2C transcript:Sspon.03G0027760-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Inactive leucine-rich repeat receptor-like protein kinase CORYNE [Source:Projected from Arabidopsis thaliana (AT5G13290) UniProtKB/Swiss-Prot;Acc:Q9LYU7] MVVGARMTSRNLDKTLPLLATTLLLLLAFLALCAPASSQPLHSEPMATQSPPPRSSIPRAQAGGAARLRRIALGVLFGSLSGFLLALAFLYAIRLAILHAKSTPAIAKGPVSFAPQISAKNLLAALPAAQPLAHRPHGKYYKLALDNDLTVAVKRLEAAGRPEASPSMSPSASKSDMRRVQRQLEALARVRHQNVLSLKAYVREADRLSLVYDFVPGGSSLEDVMKRVRSQQVSLSWDARSRIAVGIAKGLRHLHFECNPRILHCNLKPSNVMLDEGFEPILADCGVARLIAAGSGDPELCSGLYAAPECYQSSRYTDKSDVYALGMILGVLLTGRDPTDSFFSGEAGQGGLARWLRHMQQSADPKEALDSSILGDEGEEEEMLMAIRIAIVCLSDSPTDRPSSDELVAMLTQLHSL >Sspon.02G0008750-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:25116312:25120464:-1 gene:Sspon.02G0008750-1A transcript:Sspon.02G0008750-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVHHAERCRWKWHEDPMFVGANVEHEGQIQPGKEGEATMISAKRLVQMAKKWQRMAALARKRLTLMPAKETEASFGTSSTAMASKGHCVMYSSDRRRFEVPLAYLGTVVLGELLHMSQEEFGFASDGGRITLPCDAAVMEYAMCLVRRDASEEVVRALLSSMVRPCHPVSVSGVAPSMELKQQVAVLMVSYLLAPEYVGTYTESLDKSYACDEEQRSTRT >Sspon.02G0015180-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:35821855:35823236:-1 gene:Sspon.02G0015180-4D transcript:Sspon.02G0015180-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endoglucanase 11 [Source:Projected from Arabidopsis thaliana (AT2G32990) UniProtKB/Swiss-Prot;Acc:O48766] MSRGRARRPVPGSVDIRAGALLLLLLASAALQAAASSSEAVDYGAALSKSLLYFEAQRSGRLPHNQRVPWRGHSGLTDGLQQGVDLVGGYYDAGDHVKFGLPMAFTVTMLAWGAIDFADDVAAAGEWGHTLEAIKWGTDYFVKAHTEPFVYWAEVGDGDTDHYCWQRPEDMTTSRQAYRIDKDNPGSDLAGETAAALAAASIVFRRSNPHYSHLLLHHAQQLFEFGDRYRGTYDSSIAEVRSYYASVSGYQDELLWAALWLHRATGRDDYLRYAVDKADSFGGVGWAMTEFSWDVKYAGVQVLAAKVCAPRALSFLITIQLGF >Sspon.05G0025600-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:40349292:40351112:1 gene:Sspon.05G0025600-1B transcript:Sspon.05G0025600-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MERCENSLCVDNKSENAWWSGVVVLYVMHLITYFTNIIGVVTIALISIVSILGLICLCRSVYFQLWIKRRGYQRLSYFNGPWLTRITLMLVAFWWGIGEVLRLTFVNGEGRLISNRAWQVNVCKFYIVSNLGFAEPGLFLLLSFLLSAALQKQELGTLNRKWNRKTIRAVVILCSPSLIWEACVVFVGGHVSSDDGQRSKKM >Sspon.03G0024790-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:94123986:94136309:-1 gene:Sspon.03G0024790-2C transcript:Sspon.03G0024790-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGPTPTYSAIVSTAAFLAELIADPLLRRHLLSAAAAAEHPAATLQALSLVSDALDTAASGSASPSSLRAADRLLRSLPAATPLSCLLLALGRAARRGGGAAAAAAVLDLFALDPALARHELAPAAFEALFAPRLLPVMRHFAARRAAAAAKAMDEEGGSDETTAVSAMRVLSLMSGAQAQEMRALEREYEKVLDANCKAYALYLRRILEAGEPSVAVSPSPSPSPSPSSQPPPPELVFGVGADEDSRGDEDAAPENDESVASSQSDLRDNPMWAETEEQPGDLYPRRQGSIRGRRDLMRPPSLYPQRVPPHLIVQQQQQAPPVGRGSPASRIRAEHPRSRSPAAPSDDSMEESSSELFASKEEKIAAPPLTSKQPRPRADAGRLSPEPASSSPARGNDDAAVDTPGPLPTPKDFVCPITSQVFDDPVTLETGQTYERSAIQEWLDRGNATCPITRQRLHGAQLPKTNYVLKRLIGAWRDQQQPQRQPSPSPSRSPPPATPPPATTGMAGDSPAPSPFIVPVKAAATSSPSPEANTSASAPSPTSVIAQASLESVVAELRAAVSCLCTSEDLAQSERSVLKIERLWRDATAAGISDAEPAILAALARPAVVNGFVEILFNSVSAQVLRVAVFLLAELASRDDAVVQTLTRVDSDVDCLAALFKKGLAEAAVLICLLAPAPEQLVEMDLAEALVATIRRGGDEDPLKMCVSPKAASVILLSQILVEAAGAADSSTSPVPRSALLSERFIRSLAASLEAEPLEERLAAMRILLRCIWEDGHCRSSIAEKASLGAVLDAFHAVGDADKMDIVRFLYELLKLKKRSTAERLLRTIKEGSSFSMMHTLLVYLQSVPPEHSPVVAGLLLQLDLLVEPRKISMYREEAVDCLIQCLKNTDFPRCQLLATETIMCLPGKFSSSGRPLARSTLLKLARVKERYRQSQDLNTARADGEDEMEEGKAASEWERKAAYAVVSHEFGLVFEALSECLRTKNAELFTTSLVCATWLVYMLSLLPDTGVLGAARVCLLRQFVVVLRSAKHGSDRVLAMVALRSFMNDREGMHDITTYIKDVLKTLRELKKSSGLAFEMLKLLSDGQESSVDMWSHKEINQVDCSANGEVTSVVYLKNYIFSGHSDGTLKVWEGSENILRLVHEAQEHTKAITSLSVLHSEEKLFSGSLDRSIRVWQFRDGMLRCVEVHDTRDPVQSLAVASAVACFVPQGAGVKVLSWNSGNSKLLNPNKYVRSMALVHGKLFCGCNDGSIQEIDLASGTLGVIQSGSKRILGKASPVYALQVHDGLLYTGSTPSSSVDGGASVKVWSCANYGLVGSMATAAEARSLVVSVDLVYVASRTAAVEIWSREKLSRIGTLQAGGPGCRVQCMAVDADGDVLVVGTSDGRIQLMRRKNMLTPSGLASKIFKKVF >Sspon.04G0037040-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4D:60509179:60510381:-1 gene:Sspon.04G0037040-1D transcript:Sspon.04G0037040-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSSTPPARAGAALAGMAPAHRSPERDPDPPPDEPTSTARRKHNPRRRKEATRGIGPRNHELEEFQGQRRGALAAVMARKDTVNSSDLKWQQHVCQTDPTRLGATLTKWGGQTRVESGKDMVGRARRVASNGARGHDVAAGQRASTKGRLRGWASGRRPQLGRRTRIWRGQPEQCPPMAPSGTSQPAGTPATRWGAAVSGARSEGSGTRHSGEGPGRFGQVAAAPQGRGMHGTPERGSAMSKAR >Sspon.06G0014870-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:83083825:83084490:-1 gene:Sspon.06G0014870-3C transcript:Sspon.06G0014870-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding HAVVHGVPSRHDRRGPARCRAAALRRHRSRRRLLPLRRHGGHHRRRAPPRGRPQGLRQHRRQQAAPPRRLRAGVRGAGHGRAVGDAGPAHGAAAAGARAQRLGGQEEAGDGARGGGAGARAGRGRALRGPVALPKGRARAGRPQPRRRRRRPRRRVPVRRRLSLDEEGGDAQGRGRGDAGDRAEQGGRPRQDLQEESRL >Sspon.01G0015200-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:44889518:44892053:1 gene:Sspon.01G0015200-2C transcript:Sspon.01G0015200-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLFAAASHASLLPLAPLDRPAGGRLARGTLRTHTSGLRLRRPPGATGRGRGRGRGGIPDEWGERSPPGAPEAPAQPDPPIDVDEWGRDDDSADGNSRPIVADEWGEPGAPEPEPPSAADPPSPAADDEWEEEPEAPAPAPAPAEEEEDEQAVKREELKRCLVDTVYGSDLGFRASTEVRGEVVELVTQLEAANPTTAPVETPDLLDGNWILIYTAYSELLPILAAGATPLVKVKQISQEIDSKSMTIVNASTLTTPFASFSFSATASFEVQSPSRIEVQFKEGSFQPPVISSSVDLPQQVDIFGQKISLGPVQQALNPLQQAFASIAGSISGQPPLKVPIPSNNRARSWLLTTYLDKDFRISRGDGGLFILVKEGSPLLDQL >Sspon.06G0018520-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:83908936:83911482:1 gene:Sspon.06G0018520-2B transcript:Sspon.06G0018520-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAMLRSAARSLRLRQPLEPERCLLARRFLSSSSVPTERHTCSPSSSKPLKGIMEQKSHVNEKPENIYPENIYKRLLMKVDKISEGLDEHSRLLKELEAEIKENNKHGGAIDLTPWVVSISSCLLVFGLYKYVS >Sspon.01G0031250-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:102702081:102703262:1 gene:Sspon.01G0031250-1T transcript:Sspon.01G0031250-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPEVVVEGVVFPPVARPPGSAVSHFLGGAGLRGLEIGGNFIKFTAISVYLEDAAVSALAKKCAGKTADELASDAAFFRDVVTGDFEKFTRVTMILPLTGEQYAEKVTENCVAFWKAVGLYTDAEGVAVEKFKEVFKPETFPPGASILFTHSPSGVLTVAFSKDSSVPAAGGVAIENKHLCEAVLESIIGEHGVSPAAKLSLAARVSELLTKGTAATADEPQAEPVSVTA >Sspon.03G0003330-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:24133679:24138547:1 gene:Sspon.03G0003330-2C transcript:Sspon.03G0003330-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKGKWFSAVKKVFSSSDPDGKAAKAEKADKSKCKRRWPFGKSKKHSEPSISTVPGTAPVAPLPSPPPTQPHSLEIKDVNPVETDSEQNKHAYSVALASAVAAEAAAVAAQAAAEVVRLTAVTTPAPKMPVSSREELAATKIQTAFRGYLARRALRALRGLVRLKSLVDGNAVKRQTAHTLQCTQTMTRVQTQIYSRRVKLEEEKQTLQRQLQLKHQRELEKMKWRNSGRTITPTFTEPGNPNWGWSWMERWMTARPWESRSADKDPKERALTKNPSIGTVRASVSRAISIQRPATPNKSSRPPSRQSPSTPPSKAPSTSGKTRPASPRGNWLYKEDDLRSITSIRSERPRRQSTGGGGSVRDDASLTSTPPLPSYMQSTESARAKSRYRSLLTEKLEVPERAPLVHSVVKKRLSFPVVDKPSVVPTDRPKERVRRHSDPPKVDPATLKDMQMAGKGKGKATEEPR >Sspon.03G0015730-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:50103931:50106606:1 gene:Sspon.03G0015730-1A transcript:Sspon.03G0015730-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGAEVMHQVVPLLEPPFHRCVVKSVDVMEEVVAVVPGQVQPATSPKAVVEVAVEVPDLEFKRASNAGSSVSAEQLQFVPSIRSGSFADIGPRRFMEDEHIRIDDLSGHLGSLLMVSAPSAFYGVFDGHGGSDAAAYMKTHAMRLFFEDAGFPQASQEDEIFAESVEESVRKAFLRADLALADDSVINRSSGTTALTALVLGRQLLVANAGDCRAVLCRKGIAVEMSKDHRPTYDVERQRVIECGGYIEDGYLNGVLSVTRALGDWDMKLPQGSPSPLIAEPEIHWTTLTEDDEFLIIGCDGIWDVMSSQHAVSMVRKGLRRHDDPERCARELAMEAKRLKTFDNLTVIIVCFVPDLAGASAAAPSSEQAPATAQAGRIRCCKSLSPEALCKLRRWLESDH >Sspon.05G0005600-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:19716394:19718525:-1 gene:Sspon.05G0005600-3D transcript:Sspon.05G0005600-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASSATSVHDFTVKDASGKDVDLSTYKGKVLLIVNVASQCGLTNSNYTELAQLYEKYKDQGFEILAFPCNQFGGQEPGTNEEIVQFACTRFKAEYPIFDKVDVNGNNAAPIYKFLKSSKGGLFGDSIKWNFSKFLVDKEGRVVDRYAPTTSPLSIEKDIKKLLGSS >Sspon.05G0007130-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:16376995:16393424:-1 gene:Sspon.05G0007130-2B transcript:Sspon.05G0007130-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGSWPRASAWRLLLAGASLLWLLDLPWATAAVRRSDFPASFLFGTATSSYQIEGAYLEGNKSLSNWDVFTHAPGRIKDGSTGDIADDHYHRYEVDIELMHSLGTNAYRFSISWARILPKGRFGKVNPAGIAFYNRLIDSLLLKGIKPFVTLAHYDIPQELEDRYGAWLSAEVRLDFGYLADVCFAAFGDRVKYWATFNEPNVFVTKGYMVGTYPPERCSPPLGSCARGNSDAEPYVAAHNVIMSHATAVEIYKRKYQSKQKGMIGIVMSTSWFVPLTDTQVDRLATERALAFDAPWFLDPIIYGDYPPEMRQLLGSKLPTFSPEERRKLGYKLDFIGVNHYTTLYAKDCMFSSGCPSGQEIHHALAAFTGEKNGIPIGPPTAMPKFYVVPDGIEKMVTYIMERYNNRPMFITENGYAQGGDDYTHVEDWLDDQGRIQYLDGYLTKLAKVIRSDFPASFLFGTATSSYQIEGAYLEGNKSLSNWDVFTHIPGRIEDGSTGDTADDHYHRYEDDVELMHSLGTNAYRFSISWARILPRIEPFVTLAHYDIPQELVDRYGAWLSAEVRRDFGYLADVCFAAFGDRVKHWVTFNEPNVAVTKGYMLGTYPPGRCSPPFGSCAQGNSDAEPYVATHNVVLSHATAVEIYKRKYQRRQKGMIGIVMAAFWFVPLTDTPVDRMATERALAFSVPWFLDPIIYGDYPPEMRQLLGSKLPSFSPEEKRKLGYKLDFIGINHYSTLYVKDCMFTSGCPTGQDIQYALAAYTGERNGIPIGPPTAMPLFFDVPDGIEKMVTYIMKRYNNLPMFITENGYAQGGDGYNHVEDWLDDQGRIQYLDGYLTKLAKVIRDGADVRGYFIWSLIDNFEWTYGYTLRFGLHYVDYQTQERKPKSSALWYKWFLLSLHEAQ >Sspon.06G0005200-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:16632152:16634566:-1 gene:Sspon.06G0005200-2C transcript:Sspon.06G0005200-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Subtilisin-like protease SBT1.5 [Source:Projected from Arabidopsis thaliana (AT3G14240) UniProtKB/Swiss-Prot;Acc:Q9LUM3] AMPPHYYPVLLPLLVLAAVAGVSARGGGGGGEGLERTYIVRVDADAKPSVYPTHAHWYEAAVLAAAGDGAGWREGGPLIHTYSAAFHGFSARMTPAAAEALASTPGVAAVVPERVRQLATTRSPRFLGLLSSPPSALLADSDFGADLVIAIVDTGISPAHRSFHDRGLGPVPSRWRGVCASGPGFPPSACNRKLVGARFFSRGYEATSGRMNESAEVRSPLDTDGHGTHTASIAAGRYVFPASTLGYARGVAAGMAPKARLAAYKVCWVGGCFDSDILAAFDAAVADGVDVVSLSVGGVVVPYYLDAIAIGAFGATEAGIVVSASAGNGGPGGLTVTNVAPWMATVGAGSMDRAFPANVRLGDGQVLDGVSVYGGPALESGKMYELVYAGASGGGGGGGASSASDGYSASMCLDGSLDPAAVHGKIVVCDRGVNSRAAKGDVVRRAGGVGMVLANGAFDGEGLVADCHVLPATAVGAAAGDRLRKYIASSTKQRPATGTILFEGTHLGVHPAPVVAAFSARGPNPQSPEILKPDLIAPGLNILAAWPSGVGPAGIPSDIRRTEFNILSGTSMACPHVSGLAALLKAAHPTWSPAAIKSALMTTAYVRDNSNGTMVDESTGAVAGAFDFGAGHVDPMRAMDPGLVYDISPGDYVNFLCNLNYTEQNIRAVTRRQADCRGARRAGHAGNLNYPSLSATFVAAADDAGATTMRTHFIRTATNVGGGGRVVYRASVAAPEGCNVTVQPRQLAFRRDGQRLSFTVRVEAAVAAPGKRMEPGSSQVRSGALTWSDGRHVVRSPIVVTVQAP >Sspon.01G0011250-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:25403952:25406303:1 gene:Sspon.01G0011250-2C transcript:Sspon.01G0011250-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGDRRAAAALQRYSWPWSVSGRRLLMPSGVDGDDNNNKAWRWRAHAGMVFVMLAYSGYHVLTKSVLNVGMNQVVFCVYRDLLALLVLAPVAFLHERRVRPPMTPQLLASFALLGFTGLYGNPLLFLVGLQYTNASYAAAFQPSIPVLTFLLAAIVGVEAINIFTKDGILKVIGTVVCVSGAILMALYRGPSLIGLTRSLPNAWTSTPYPAPNWFTSALLEYGVETWHLGVLCLIGNCLLVAVYLVIQAPVMIKYPASLSVTAFSYFFATIFMVLTGVSATNGLHEWALTKTEIIAVLYAGIVASCLSYSIMTWANKILGPSLVALYNPLQPAFSTILSTIFLGDPVYIGSIIGGVSIIVGLYLVIWARYNEEQRAPMDGYLDPLIVDNRRIPKTQDSSFI >Sspon.02G0028990-2D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2D:99664915:99665346:-1 gene:Sspon.02G0028990-2D transcript:Sspon.02G0028990-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADDMLPFVSGVWRLLLLQNKEEYEAILRDRGLLGPAATPEQGQGTGYLPAPTGFLIPEPVGNPEMVAAMEDLEHEMVAQKLAIAQLKTDIEDFKGKLGAGDKVQKVAKTDSLARLAKAIVKWFFVANVVVLLFGIAVNMSVK >Sspon.02G0031210-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:114119407:114124416:-1 gene:Sspon.02G0031210-1A transcript:Sspon.02G0031210-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MERSDNCADCPAKDAVFYNPSFFGKPLKKNQVGLAKIVLHKLSIITMVSATKVEGEYSFLSKNSHLDQSEGVKIHLYQFGAEHRNEKLTSFACSVQDGPSLLDMLLLSQWDNFAWKGCLDYDVTACQLKVIGGGRNFVGQLNNKWNPISLKEYDKFFESFACLKPNSMKSNDGLLLGIAQGENDRPEVAPSASPPKDGLHVIANPYPVEYGHIFLVPSAINQLSCYWDTRMIGLATKISSEVNNEAFRVFFDSGTSVVSGHMIFQACYFAIPLPVESASTFTVYDRKARSDIIVSETVDYPLKALVFTSSNLKTLVKSIKPFLRFIDNIISATMAWKHVKNLVTGCCLSAWECGGYFVYQTKFGFDNASETEISNRMGSVSLEDSTFEDLKHLCCTIADNLVMFLQAEGPEDEEATLPPGGALADAVLAACFAATQEQHP >Sspon.02G0022170-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2B:71984535:71984984:1 gene:Sspon.02G0022170-2B transcript:Sspon.02G0022170-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSSSSKQQEGPKLFSSRILSRDRSSVANASFRVYYSLGAGTVPFLWESKPGTPKSSVTPASAAARTTVPPISPPPSYQQSVSQSKANKTKFRRRPVASWPPVSWIMGWLRRSPPSSPTHHQQQRCLARDDHGVVAGDERRSRRPTLCF >Sspon.07G0033170-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7C:57370726:57371304:-1 gene:Sspon.07G0033170-1C transcript:Sspon.07G0033170-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAALRPAILRLLPIGPRATMFSHDARAARNGKKHVGINSKKPRTRGGGSVAETACRRLVAQLHGLACSRRLRRWRQLVQTWDSKGSRGQMGNRATPRPTHPTRWVNRYRLHWPNSDLSPTRMAHWPRFFGSGQLPNGPTRPIPILIPWRRVPETSGDEPSPRPSGKKNALPLLVLGCGGLVALVGLLLGT >Sspon.06G0005110-2P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6A:17123672:17124136:-1 gene:Sspon.06G0005110-2P transcript:Sspon.06G0005110-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFPFFVAFSAILLVGRYLPSALPVNARAVVLAGNHDVTPATRAAKCAISVAFAGLVLLVSSTQQCSGGGQQGQQCCPAEVAMEGRALWFNSAALFLGMVLGGAAVALPPHARQRRPIVDVAVEHLTRFTETVAITAFAHDVCIFFKVVEMIQK >Sspon.04G0011500-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:31350179:31353279:1 gene:Sspon.04G0011500-2B transcript:Sspon.04G0011500-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLNLELQTWAFTFGILGNIISLMVFLSPLPTFYRVYRKKSTEGFQSTPYVVTLFSCMLWIFYALLKSGAELLVTINGVGCVIETVYLGMYLVYAPKAARVLTAKMLLGLNVGVFGLVALVTMVLSNGGLRVHVLGWICVSVALSVFAAPLSIMRQVIRTKSVEFMPISLSFFLVLSAVIWFAYGALKKDVFVAAPNVLGFVFGVAQMALYMAYRNKKPAAAAVVLVEEVKLPAEHASKEVVAAAAHEGSRASCGAEVHPIDIDTLTLPVVEVHDPQAVVVIDVDAAAVAAGADDGAAGVVDGPGVLTAPEQPATIKPDMAIAVEA >Sspon.03G0007680-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:8150511:8153780:-1 gene:Sspon.03G0007680-2P transcript:Sspon.03G0007680-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTLNQSQQQQQSPAEAAPPTARSIPDEARWLLHELAAAWEDVAVAACGRREPEVVPLKGAMTNEVYQARWATTGGGAEAGRGRDARTVLVRVYGEGVDLFFDREDEVSTFEFMSRHGLGPRLLGRFPNGRVEEFIHARTLSAPDLRDPEISAIIASKLREFHSLDMPGSKSVLIWERLRNWLKTAKSLYSSDEAKEFCMDSMEEEITALENEFSEECERVGFCHNDLQYGNIMIDEETRLLTIIDYEYASFNPVAYDIANHFCEMAADYHSEKPHILDYNKYPDTDERKKFVQTYLSSSGEESEVEVENLIKSIEKYTLASHLVWGLWGIISDHVNDIDFDYKEYARQRFEQYWLKKPTILTSQTAE >Sspon.07G0031350-1P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7C:21748817:21749101:-1 gene:Sspon.07G0031350-1P transcript:Sspon.07G0031350-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding QQVFDKDTFSSDDRMGEAEVDIRPLIAATKEHENSSITEPTELYRWSASEDSNGVLAKDSVISVANGKVKQEITLKLQNVERGEVEIEIECVPLS >Sspon.06G0001030-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:3480523:3482955:1 gene:Sspon.06G0001030-1A transcript:Sspon.06G0001030-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box protein At-B [Source:Projected from Arabidopsis thaliana (AT1G55590) UniProtKB/Swiss-Prot;Acc:Q9ZWC6] MEDTVAEKKSRTAGDGDGCNGEPSGTAEIGGGGGGLVERLPEALLVEVLGRLDLDDACSAAASCRSLHAAANASLSAVTAIDLSAFAPSNAILGRILAGNGAVRSLTVNCSLLDDSAASVIARGSLRELSLLKCSFSMSFFVAVGAGCRNLRSLKLEMAVAPDILYSRYSGFGTCLAPIYTGCVYLETLWVKFPLLDPRTAEYETGTGLPLIPSNIKDLLLQPVSHSRAKTVFLKTTSLSKHITDSLESLSLVLDTITDELVMLITSNVHKLVELCLEDEPVTQPNLPEDLTNVGLQALGLCHNLRHLSLTRRCCDFRRVNDFGILMLADGCKQLRTIRFGGFSKVSDAGYAALLHSGKDLKKFEVSNGSCLSDLACLDLDKAAPNISEVRLLNCALLTSDTAISLAPCTNLKVLDLSGCKSIADSGLVSISQLPNLTLLDLAGADITDAGLSALGNGRCLISSLCLRGCRRIGSNGIASLLCGTGTINKTLVSLDIGNVPRISCRAVTVIARNCEQISSLCLRNCLLITDSSLEVLGSMGCDSSKCSLRMLDLAYCSKLSRNFLRHFEPPLFRGLRWLGVGKNVAQRRGCSPTVAEVLERKPGLTICCNACDMGCRNKCHPAIQ >Sspon.07G0026870-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:56644337:56645830:1 gene:Sspon.07G0026870-1B transcript:Sspon.07G0026870-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDDITVHVEWLARRLTQQQEDAAATEQHRIMVNTLLVSRVPDHVREHNRDAYTPGLVAIGPLHASDSRLRAGYRLKMAYLHRLISRGHPDPGRHLAVIQSYVRLVAAREQEARAMYAAEDVDMSAEDLIQMLVLDGCFIIEHLVNVATGVEEASLHATPFGPVQLSVDLVLAENQIPFFVLVDLIKSTRLPEFDSTGYQPTELLMKLVLYYLAGEKGRDMSEGLPAANDVCHILHLLHAMVTAARTGWEPPPRLQDAAPVEMMQEAARLLRRLPVLLLVPLLYPILPEESRWRASYGREVLPSASDLKRMWVQFKKARGSSTGKAPAGIASVLGPVPLAVKLAHEDRLRLPQLRIEFRTAPLLLNLMAFEQSADLRPGDVSAYVWLMAKLVQSPEDAGVLVAAEVVTSSGSASKEDIARFFKRMGAASEAAAELEKSYLGQTLQKLRERSQHPLFMMWADVQRNYFTVPWAVVAEFVAFITFISTILQTYGSFKH >Sspon.03G0039740-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:20422540:20426241:1 gene:Sspon.03G0039740-1C transcript:Sspon.03G0039740-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AMAENFQLQSRHGKSRVRVSRVWRRPAVAGGDVIVEWNVAVSIVSDCLPSYTSSDNSAIVATDSIKNQVYVKAKECTEVVSMEEFAVILGRHFTSLYPQVSEATVTIVERPWERVAVDGKPHSLGFKVGVEKHSTEVIVKKSGSLLINSGIQGYSLLKTTQSGFEGFVTDRYRLLPDTRERIVATEVTAWCRYPFEHVSQLPSKPFCFTQRYQDVKKVLAETFFGPADVGVYSPSVQNTLYLMAKEVLTRFPDISSIQLRMPNLHFLPVNLGSKETPLVQFADDVYLPTDEPHGTIEATLSRPMSKL >Sspon.03G0023850-3C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3C:91255382:91260096:-1 gene:Sspon.03G0023850-3C transcript:Sspon.03G0023850-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRFWKPGSEKPSTLLVDDEEGGVVFLPSSTSSASSSGFGYASLERQRQRLPVYKYRKAILYLVERHATTIVVGETGSGKSTQIPQYLKEAGWADGGRLIGCTQPRRLAVQTVASRVAEEVGVKLGEEVGYTIRFEDQTNPGMTMIKFLTDGVLIREMMEDPLLTKYRRKNSLLGSSDDLPSPEPAILSVEGKGYTVEIHYVEEPVSDYLQAAVNTVLLIHEKVTRDIQGDIPWCMLFADDVVLVDDSRAGVNRKLELWRHTLESKGFRLSRTKTEYMRCDFSATRHEEGDVSLDGQVVAKKDTFRYLGSMLQKDGDIDEDVRHRISAGWLKWRQASGVLCDKRVPQKLKGKFYRTAIRRTMLYGAECWSTKRRHVQQLSVAEMRMLRWCCGHTRRDRVRNDDIRDRVGVAPIEEKLIQHRLRWFGHVQRRSPEAPVCSGVLKRADNVKSGGGRPKLPWDESVKRDLKKWNISKDLAMDRSAWRLAINVPEP >Sspon.04G0005930-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:16894506:16895792:-1 gene:Sspon.04G0005930-1A transcript:Sspon.04G0005930-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AMEEPPHLFLCPISMELMDDPVTVSTGVTYDRRSIERWIFGCGRATCPATMQPLANLELTPNHTLKRVIGSWLDDRGGSPSTSASSSPSTSSLSSQAHELATPLSRVLEAERLRSALAGLEETPFKVTALKSMRACMAGDVAMQCEFVSSGGIQAVARVMAQALAESGAGGDFSAFTACEEAAAVLALLPLADAASMGLLLAPECMRPVVALLQRGSAEARLHAMDIVTKISNAGAGDWTACVEVDDVLKSLLELLADEVSISTRLSSRALDVLLDVVERSRIGPTKAVEVGAVHVLVELLANANDRHDAERILLLLKRLCKCPEGRLAFAEHDLSVAAVAKTMLRVSELATQLAVKVLWLVSVVAPSEKVLEDMVLTGAVAKLLGLLHVESAPATKQKTVRMVRINGVFWRQYPCFPTDLRDYLRLLD >Sspon.02G0034760-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:63776646:63781076:1 gene:Sspon.02G0034760-1P transcript:Sspon.02G0034760-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MWFNSRRKANTDPRSQGSCNRALASAITAATSSSSSACDLGSGWGSRGGDGGFLSWIFSAGMARAHEGKPKARDWDAHGLPVTRTPVTLSRLDGRKRYKVSELNFLDRRARAGASAGEKEKNPLYDDMTTLRPGGVYTWSQLHDELQTMTSSGMFEQVSLQGKPKPDGTLALTVTYAESIWPGAAKRLKFVNVGLMAPLGDGPDDDMTAREKMDYFRRQERDYKHRIRRAKPCILPQSMRQEVLGMVKKQGNLTAGLLRRIRDHVEKWYHDEGFVFAQVQNFDNLDSDEIVLEVAEGDITKVEYQFHDKIDNILGPGHIYNIGAGKQALQRINSLSLFSNIELTPRPDETKQGGIVVNIKLKEHEPKSAQASIRPGGTVSFEHRNISGLNRSLVGSVTSSNLLNPQGDLSFRLEYAHPYLDGVDDRSRNRTFKASCFNSKKLSPVFVAGPNMDEAPPIWIDRVGFKANITENFTRQSKFTYGLVVEEITTRDEDNNICTHGARVLPGGALSMDGPPTTFSGTGVDRMAFLQANITRDNTEFVNGATIGNRCIFQLDQGLGIGSKNPFFNRHQLTVTKFINLNKQEKGAGKPPPAVLALHGRYAGCVGDLPSYDAFALGGPHSVRGYGMGELGASRNLLEASNNPLEDLALLNYDTSFFISVHVAAEVRVPITVKNRQTQVYAFAEHGTDLGSSKDVKGNPTEFFRRAGHGSSYGIGVKLGAVRAEYAVDHNAGTGAFFLRFGERF >Sspon.04G0022350-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:803921:804289:1 gene:Sspon.04G0022350-2C transcript:Sspon.04G0022350-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHGGEEKKGKVKKGWLAVRVGAEGDEGGFQRFVIPIAYLYHPLFRRLLEAARDAYGYDYSAGPLRLPCSVDEFLRLRALVDRETQAAAPASSSSSHHRVHAGGGSHGHYSLSPCTRAKVTS >Sspon.02G0008050-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:12842339:12846276:-1 gene:Sspon.02G0008050-2B transcript:Sspon.02G0008050-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGGGRGRIPIPAYMCWPPSGALSTDDTAPALMRHGLDPRTLDVYEDTVLNFIYGFLPKPSVSVAATLSCAAAEEGDGVDRISGLPDDLLRRVVACLPAKDGARTAVLSTRWRGLWCSAPLVLVDTHFLPRGGAEGRPPSPGVVSRAVRRAVSDALGAHPGPFPFVSLSCQFMGAIDADRAVLARWFQLLATKGVEELAFVNRPSPLVDLRIPAALFSCASLRRLYLGAWRFVETANLPHGASFPSLQELVLGAVTLEDRDLDFLLSASPVLEILAFIGSVKDLRARLSSHSLRCAQFCLCLVEEVSVVDAPCLERLFIWRCPSSSVRVKIGHAPQLRMLGYLEPGVHVLEIGNTVIKSTTKPSPKTTVPSVRMLALHLQFRIHNEVKMLPSFLRCFPNVETLCIQSEKLVEPTGCLNVKVWEEAGPIKCVQSHLKRLMLWEFHGDDNEFAFLMYIAENAKVLESMVLVMKLRSRSEPEKLAAKMKALDNARWACGSSKLRYMISRLGEEEGSVWNFTVASDFMCNDPFFCG >Sspon.05G0002500-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:7618411:7618866:1 gene:Sspon.05G0002500-1A transcript:Sspon.05G0002500-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GHDHEPPPHCGPPAGHGHAARAGRGVPLLPDAEAAAAAAPEGEAAAVVHAVPSPALLADADAVHADGQVPRGHAEAAGVRGRAQRPRARRRRDQGQRHLLPAALRRGRPRRRALPLHHHQGQGAQRQRRAPRRHLGARQRVRQARALQLHVP >Sspon.07G0002480-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:6130013:6141277:-1 gene:Sspon.07G0002480-1A transcript:Sspon.07G0002480-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSPAAEELGAMLRAAADFADDTVRQFLERCPLPKLLGALQSEADVPGMVETVTECLDKIFSSRYGASLLPSYGAFIQAGLLTDSKQIRKLACKAVLHLLDKAEDGAAAVETVVQHNLYPLLINCLIEGDEEISAIILDTVKRLAQIPKGAEVIFPPDGQVSVQLGKVAAQSSSLPRIRILSLIAKLFTVSSYTATAIRDSNLLSIFEEEIKDRRDMLKTLSALEVLYELVEHPHSNIFLLKTNLLQLIIDIINDSSADSVIRSRAALISGRLLSSADAFTAIDKSCVTNLLVAIDKILKMEESQNADEIESALETLGLIGTTSQGAHFLLTSSSVARHVVESSFDRQGRGRQLAALHAFGSICGVDRQEDQMKLDGEAEGNLKRLVYTIAANSPKLTPSALLLSVLQQDPDIRIASNIRTGHPGMVLKGGLPKFRDNQICYRPTMETTKLGMEARYNCCVAINKALSSSHLLHEASLSGLIGKLNDAVKRGPYLSDRKRVEARPVVDTAERF >Sspon.06G0023600-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:42766992:42768743:-1 gene:Sspon.06G0023600-2D transcript:Sspon.06G0023600-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALALLISLVLLRLFAVQAAGVVFGVDDGGGDGGSLFTACLADAGVGNVTTRQSPAYAAALLVSVQNLRFAGAGAPKPAAVVVPASLQELRDSVRCARAAGLVLRLRSGGHSYEGLSYTTEDDDDRTAFAVVDLAALDRVDVDVDADRRAGTAWVQSGATLGQTYHAVAAAAASGPALAFSAGSCPTVGSGGHIAGGGFGLLSRKFGLAADNVVDAVLVDAAGRVLDRDGMGEDVFWAIRGGGGGTWGAVYSWRVRLSAVPERVTAFVVNRRGSVRSVASLVSTWQHVAPWLPDEFYISAFVGAGLPELKRLNRTGISVTFKGLYLGPAHEALQILTARFPEIGLSDLDPREMSWIESVVFFSGLPEGSTVSDLTDRVLHQKNYFKAKSDYVRRPMTLDQLVRAIDLLSEQPKAYVILDPYGGAMDRIGSGDLPFPHRKGNIHGIQYLIEWTAADDDHKEEYMDWLRRFYDFMGAYVPKKPRTAYINYMDLDLGTNSWSGHRAGGDVDESPNPEVEAARAWGERYFLGNYDRLVRAKTLIDPENVFRNAQSIPPLGGGAQGMTRSTRGVSPKVTSNGSTYDS >Sspon.04G0033540-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:61202218:61207002:1 gene:Sspon.04G0033540-2D transcript:Sspon.04G0033540-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPKGAEFNNSSISSFSASSATYSVLSVDVESKMSSSRVLLVSAVLVGLVTLSSCRSLGELSEQKTYSSAPSYGGSPTPTYGSGGGHEPTPTPTPSYGTTPSTPSTPTYGVPEIPKHGFVGSCDYWKSHPDMIIAVVGSLGNIGKTFGAACSLIVGKKLENLHDALSNTRTDGVGALLREGAAAYLNSIVNKKFPFTTQQVKDCIVVAVTSDGSGGGYKPTPSYGTTPTPSYSFTPSTPSTSSYGVPEIPKHRFVGSCDYWKSHLDMIIAVVGSLGNIGKTFSAACSLIIGKKLENLHDAL >Sspon.04G0006910-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:19838957:19843543:1 gene:Sspon.04G0006910-1A transcript:Sspon.04G0006910-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNPPGRHLLRLSSRAVRSSSSSSALGPGAGASTSAASPRPRAVAGGRPLRASSPPPPSAVAAAAYWESRALRRDGEEGDWQEVVAGAGGPGTGEMEEEEEYRVVFWSPPTIDEVTGAVTSIQEVFENPVGLDSDTTDRQTALLSASGHSSGNSSGSDDWIEPAAYVLNSTALLSREHRNKMVMSLSCDKAVWNAVMNNEAVQDFRRSLHDGKENDKKGNTDGPAEVLKWILESAQAKIVEFFENVMKIINMLIHPEEDEDKPDAYSDAVKVSFMLTVFVFIVVAIARINSQAHLSFAKNRPLGAFSKQLRPMSITTKPLLQTNNKAEDKHPALAGDHGAGALVREDLEQQRVRDAAVDDAGGARPLGDGTDAALHLGNHSAAHLPLGHHPPHPGDVHLPHQARWVVAVRQYAGHVREQQQLLSSHRRRDLPGRRVGVDVVGLPGLVGRHGGDDRDVPGRNDGVEDGGVHARHFADEAEGGGVRGGPRGEEARILAAQPDGGGPREADEGDELLVDLAHEHHLHDLHGDRVRDAEPVAELRLHADARQPGVDLGPAAVDEHGAEADAGQQDQVADHRRLQLRRLHRRAAVLDHHRLASEPLDERERLRQHVHAAQRRCSGRRCRLRRRHGGGRDPETGAAAAGRRGQGHNAVSARVMLRGRRGGGGSGGE >Sspon.01G0002600-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:6896441:6907496:1 gene:Sspon.01G0002600-1A transcript:Sspon.01G0002600-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSAAAAAPSALPLSIFPKDSRPLPCLLLTSLLLLLTLHLLSSSSPAPSPPPPPPRLAPLPSAASARPAPPSLAFLLTGSAGDADRLQRLLLATYHPRNVYLLLLDRAASADDRARLARSARAAPGRDNVHVVGDPGFANPRGASALAATLHGAALLLRVDQGWDWFVHLDADEYPLVTPDDLLHVFSYLPKDLNFIQHASYIGWKEYGHFIIFGSSLSLNLGLEVIYIVCLSVSASRERQLRPIIIDPGLYLSSRNDIFYATQKRDLPNAYKLFTGSSSVILSRKFIEYCIVGRDNLPRTLLMYYTNMPLPHRKYFQTVLCNSPEFNKTVVNHDLHYSTSDARSKNEPRLLTIDDVENMTDSGAAFGTRFPKDDHVLDHIDAEILHRLPGEPVTGGWCIGVGHDSPCDISGNPDVLRPGLKAIKLAKFLAERLSYQNFYGHQ >Sspon.08G0012560-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8B:50339211:50340892:1 gene:Sspon.08G0012560-2B transcript:Sspon.08G0012560-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTGGAALVAAALAVVVVTRLWTVLLHLVWRPYAVARAFARQGVRGPPYRVFVGNSKEIQAMRAATSGHTLDITSHDYIPRVMPQYRAWMSLYGKVFLTWSSSTPALLVGSYDMVKRVLSDKSGLYGKPDPGPTILSLMGMGLAFTNGDDWSRHRRVVHPAFAMDKLKSMTGAMAACAAEVIRAWEARAAASGDGEGVTVEVGHQFTELTADVISHTAFGSSYRQGKEVFLAQRELQFIAFASINSVRVPGMQYVPTKANVRRWQLERTVRGTLMAIIGERLAAAKEARGYGSDLLGLMLEANAVGDDGGKRQQAMTMDEIIDECKTFFFAGHDTTSHLLTWSMFLLGTHPEWQQRLREEVIRECGGSEVPLRGDALNKLKLVTMVLYETLRLYGAVPMIARTTTADADLCGVKVPKGTLLLIPIAMLHRDEEVWGADAGAFNPLRFRDGMGRAAAHPNALLSFSLGPRSCIGQDFALLEAKATLALILRRFAFEVAPEYVHAPVDFLTLQPSKGLPIVLKLLD >Sspon.02G0022720-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:78348780:78349994:-1 gene:Sspon.02G0022720-2C transcript:Sspon.02G0022720-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPEPRHTYTQQRPRLPAPATPAAGALPSDALCEILLRLPGKQLCRLRTVCRAWRSLLSAPWFAAAHAARRPEPYIVASYADDVDLDRDSLVDVLDMSSGRIVRHVAPGNASDMVVSVAPASGLVCVKTIDSGSFRLLHPVTGAVHNLSDRLAPEHAARGFSLRDYGEPVYMFGPVAGTGELKVLRMLPFRRWRDVGGGDRDDLFEVCTLSSSARWRGMQGPPRSFVWSEWTRVVVGGVVYCLSVAAYLAVVNRRADEQGWIVRFDLEAEEWRPSIKGPSGLVDGGGRHNAYMKQVTLANLKGSLVIVHGSSRIMDLWFLKDSEEGLWVKQYSVQIERSGHLSPMHPLLVLEDGRIVTVIRSMGLLQIYDPRTSTFASLMMLRHSSRVSVHTGSFLSLHHGEN >Sspon.06G0014590-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:80515075:80522328:1 gene:Sspon.06G0014590-1A transcript:Sspon.06G0014590-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLSAISAKAGYGYCRAPTLSWKGSRVSDRSVVSMATTRRGGLFISLRSPRFRVYAAKAETVSKVMDIVKQRLALAADVGLTAESKFADLGADSLDTVEIVMALEEEFKITVEEDNAQNITTIQEAADLIDKLVGQNPAAPAA >Sspon.02G0018260-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:54243451:54250630:-1 gene:Sspon.02G0018260-2D transcript:Sspon.02G0018260-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPTTASKGRGAARSAPPLFGPYLRRIVKWQQMDIEYTFWQMVHLCTSPKVVYASCTQSKTKNQWARDDPAFVVILILFLVFATSAYCAAYGESASHAALTITSVVFLHFLFAGIVLATLCWFLTNSYLREEPNSHVVEQRVEWLYAFDVHCNSFFPAFVILYVLQYFLSPLLVAHGFFPALLSNLLFVVAISYYHYLNFLGYDVLPFLDRTTFFLYPIGLVIILSPLMILIGFNPTRYFLSLYFG >Sspon.08G0018960-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8B:9721391:9721876:1 gene:Sspon.08G0018960-1B transcript:Sspon.08G0018960-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHLSHTTQSSAPVVVGPGVEPTTTATCFHGRRGADDDGEARGRRSPTRARLLGGGPEVGRAAAVPTLPHALARAVAGQSSPPCGRPSLPEMWSEPHASSSSASEVGSGAAVRPGGHRRRRRRRSRRHRTEETGSLHLGSLLEKDDFWVDDSFLYVTQIWE >Sspon.04G0000100-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:617872:622129:-1 gene:Sspon.04G0000100-2C transcript:Sspon.04G0000100-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:At4g35020 [Source:Projected from Arabidopsis thaliana (AT4G35020) UniProtKB/TrEMBL;Acc:Q5PNX9] MSASRFIKCVTVGDGAVGKTCMLISYTSNTFPTDYVPTVFDNFSANVVVDGSTVNLGLWDTAGQEDYNRLRPLSYRGADVFLLAFSLISKASYENWVPELRHYAPGVPIILVGTKLDLRDDKQFFVDHPGAVPISTAQGEELRKLIGAAAYIECSSKTQQNIKAVFDAAIKVVLQPPKQKKKRKKAQKGCTIL >Sspon.08G0020880-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:27374791:27378084:1 gene:Sspon.08G0020880-1B transcript:Sspon.08G0020880-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSASCLAPPAPRFRVRLPPLSAARPSLGLGPRCAAPAKGWTLWHVSCFRNDQDGPTTSDEGDGFKYVAQSQSSGGVEVKEEEIKENLLGRIVRFQTERWTVPWTGQTIAQVMILWIATFWFVGSWIVPFLAHAAGFSKETLTHRGQALYSLLTDITEGLAGIAILHQCLGRFQPLPPGWFEFNLKGKWHLDVAFGCLLFPLVNLLSHINISLVPMSPGPVVGVSSVEQSIVARDPVAMALYAVVVTVCAPIWEEIVFRGFLLPSLTRYMPLPWSILASAAAFALAHFNAQRVMPLVFLGVVMGGVFARSRNLLASMVLHSLWNGFVFLDLMK >Sspon.03G0023930-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3B:96462391:96465381:-1 gene:Sspon.03G0023930-2B transcript:Sspon.03G0023930-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPRLVLFGASITEQSFASGGWGAALTDRFARQADVVLRGLSGYNTRWALKVLPRAMEGAAAAGADPAAVIVFFGANDASLPDQAQAHQHVPLQEYQSNLRAICAYFKERWPSTAIILITPPPIYEPARIRDMYGEDDPSRQPERSNEAAGAYAQACIAVATELNHPAIDIWTKMQEFPDWQTSALSDGLHFTPAGNKILFDEVVKTLASIGFSQDRLPSDLPLFHEIDPKDPMKAFGA >Sspon.01G0061060-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:87981542:87983236:1 gene:Sspon.01G0061060-1D transcript:Sspon.01G0061060-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVGSVRKIVGAEESCAPPPPPRSGWQPPDTNLFPEDEDVGVHPSICPDVAHPFRLDAAALSPRACPILRHSLLASAFPAPYPCLSFPAPAAALLPLPSTMVRELLVATPLRSSLLSGSAASWPGQPSNSCAGASHRVARRPGEGAVVEPRPGVGAASCCQAAPPSSANGWPEPTVLPLCAASYGRRKSMLQVLHLVDRVMHLPPCLLLSRLGVSFSSSQRRLGIRYDATAETHHGSFRTRGVGGHCPLPLFSMLVTFGWHEPTRGWRETESRRGHPSGR >Sspon.03G0021400-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3A:65599629:65599949:1 gene:Sspon.03G0021400-1A transcript:Sspon.03G0021400-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEERRNPATADGDAMHLGVLIKGQGAKPVKGSRRTGDGWTGASAIYPWLATAMATACPAPTHVASLSLLAAASSMLLAAHGHLPVHCNASCLTLFSLPFLSHPVL >Sspon.06G0026170-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6C:74832728:74833411:1 gene:Sspon.06G0026170-2C transcript:Sspon.06G0026170-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKIFTLLALLALSVSATTAFIIPQCSSVTVAGYEHPVARAYRLQQVLAASILQQPIFQLQQQSSAHLLVQTIVAQLQQQQFLPVLSQLAVANPAAYLQLQQLLPANPLAAANAIAYLQQQQFLPALSQLAVENPAAYWQQQQLLPFNQLALPNAAAYLQQQQPLPFTQSAVATAAAYHQQLQLLPVNPLALANPLAAAFLQQQQLLPFNQMSLMNPALSWQQPIVG >Sspon.02G0018790-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2A:60329247:60329459:-1 gene:Sspon.02G0018790-1A transcript:Sspon.02G0018790-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIAQGMKKHKLLREDILPSMASNRKVQRLLNEFMDLLSEYEAAKSKVDPIDVTPAPQPAPEVNDDMVTS >Sspon.02G0031810-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:103751703:103754683:1 gene:Sspon.02G0031810-3C transcript:Sspon.02G0031810-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVAATTGAGGVQVQLDLGLEAAAPKSAAPPEPAAAPMDREAKRRRVHAVPGPPAGAGAGAGGGGAGRRGRRRRVQAATAVQRLFQACRHVFRGPGTVPKPAEVQMLRDMLVHGFPESEFLLLLFADRMRPEDVGLSPDLRYFRTRDATQGIPTITHTTIYKCPNFSMVILFLPRNAVIPLHNHPGMTVFSKLLLGTMHIKSYDWVDPEPDPSVSTCSSSSSSDGQLRLAKLVVDDVFRAPCDTSVLYPTTGGNMHWFTAIAPCAILDILGPPYSIEEDRDCTYYTDIPYTHHSMAADDTSDDLNGVDVEQSQGRLAWLKEIDMPTELKMCSVHYGGPPISDK >Sspon.05G0000820-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:3032469:3033281:1 gene:Sspon.05G0000820-1P transcript:Sspon.05G0000820-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VENQEGVVNFDEILRETDAFMVARGDLGMEIPVEKIFLAQKMMIYKCNIAGKPVVTATQMLESMIKSPRPTRAEATDVANAVLDGTDCVMLSGESAAGAYPEVAVKIMARICIEAESSLDHEAVFKAMIRSAPLPMSPLESLASSAVRTANKAKAALIVVLTRGGTTAKLVAKYRPRVPILSVVVPVLTTDSFDWTISSEGPARHSLIYRGLIPLLAEGSAKATDSESTEVILEAALKSAVQKQLCKPGDAIVALHRIGVASVIKICIVK >Sspon.01G0021990-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:80664012:80666441:1 gene:Sspon.01G0021990-1A transcript:Sspon.01G0021990-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MENTRPCGDFGKIMADLESENPIANVAGTNHASALIAEGKIPEPQLLCRLISCLASNLENPDHEFAVKRKVYKTLVAIREAIISNHKNMDSSARNKALHAIWCCSMDEGLRCLQDHASMVRMWTVQGQLVAVKMTRDAFRLSPASINNTRCVTTFASLMLSPYTTVVRACEDALLSLPPIPGFAFAIARAYCHILIAMPPQSSPQICSVVVMLGRLNQISMTTVEVDHPGFDDLAADVLKCLANCKLVVQKKVLNLVAGLLTPKNVCDVLGILNSELVMAASGNIHIEYQQMLEKAIRECHSAYPESIPEFTLDPKYAVFTDCIRYIMDIVNNSPLLRSQLLKGLLRTLRHVKSPLVCAAAVWIISVFSESLAEAKDAIAALSCLFKDLLDRRKIEKQILGSEMEDEYVLPTEYCGVTARGAQGERQQPWLMEMEELLFVRIGLARQADGSYDIASSSKSSASSANGYPHKPSLELTDNLAFLVHSGDALLADFVENSIKE >Sspon.02G0030670-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:112627233:112632802:-1 gene:Sspon.02G0030670-1A transcript:Sspon.02G0030670-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SMAMSPSNPMRKYSWWWDSHISPKNSKWLQENLTDMDSKIKVMIKIIDEDADSFARRAEMYYKRRPELMSLLEELYRAYRALAERYDHAAGELRQAHKKMAEAFPDEFQLDFDDDLPTETASTETETDNRDMTPFFLSFIKAGGDSKKRTTDDQDHEKLQKEISSLSQENQELKKKISSVLEKSNMAESEVLSLKEALAEQEAEKEAAFSQCQQSSDRLQSLKSEILHTQEEFNRLKEVMQNGLQNLSTAEERCLLLERANQNLLLELDKLKLASKEKHDELNEKHIELEKLSISIQEEQLKSMQAEMARLSVEKQLTQAQEKLRLMSLQKHGEASKIENIEATRLQLQKELESIREENRKLDDQNHSSTSVIIRLQDEIISLKNAQQRLEEEVSRHMEEKKVLQHELSHLKDNKGDLDRKHFSIKEQIQEVNFNVESLQSLAQEVRDGNVELKETIKNHEGVKALYVDNLMLLERTLEKNAHLERSLSAATTEIEGLREKKAALEESCKHLHSKVNGHQSERAMFVARIEGISHTMEKLSEKNVFLENLLSDNNTELELLRRKLKDSEESTHTFRNQNSVLRSEKRTLMRQVDSINSALLSLETQYAELEGRYLDLEQDKDKALNEVIRLRELLRLEKEKHKEATNSDMTQFSAMQKQIGLLLKEVHRREDQLREEEHKIVEAQTEIFILQRCLGDMAEANADVLARLQKQQVVCKDQEEKVYFLSQNNQQLTEGIGSVVEVLNLDEKYGSLDLMKIDVVVQLLLHEIKCLLNTISDAQDVKQNQILEKSLVVTLLEHFGREVADLRSERSVLKQEWQTKSEELLQLQSERHDLLKISCELRKEMEARNRKVDELKSEAKFLVRQLSELQESRQSLQAEIVKLIEENTSLSSKVYGSREKEKSFDDDFSTLIGEAVRTDILGVIFRSLHEERTSQLQCLHEDFGSLHAAGNELYQEIKLMNKKLGDLQLENNYLEKELSRTLSICDGSGTEISSGRRRAMRRDTKLLKSGRKSQENGQNMEQRKEVDNAGLEKSNEMLREELQKLKNELQVLRSKEQPVIDVKSCDAEIAKLLANMQLATANASLFKEKVLELIVTCESFEISDMVQKEVLKEEITRRNSYVDELKDKLNAIEIENRRLKVDLNGDFTLLGALQTEVDALERQTLSLAKDCLPPNMLKKQENPLSPQLSKIAVKPSEDQNTTKMVKDMELQKLHGTIKALQKVVSDTGVVLEQERLDFNSNLQDARKQIEMLKLKEILDSDASDVNYERMMKDIQLDLVQTPSRRAAISHGRHRKKNSVAAAAQSDDKMLALWSVDRVSSGSRRYDVDLRPPQSEAAENDKGKKRSSSEPVVTVKDLSVDKQEVLSRPMVVAAAATATTTEPHREWKKKVIDRLSSEAQRLRDLRSIVQELRAGVEASSDAELDGVKAQMADAEDAIAELIDANTKLLKKAEEFTSAGDGGDVDLRSRSQRKILERVRKMSEKAGRLELELQRFQHALLRHEEERAARRAAKAAATTVQVQRRSRVQLVEYLYGRRRDSRRPKQKARGPSCCMRAKAIDD >Sspon.01G0051820-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1C:18262558:18262929:1 gene:Sspon.01G0051820-1C transcript:Sspon.01G0051820-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADKDPVSPSGDSRPSSSSNMSNRSDDPKPSSDDKSSGSSNHEPGWWSGFYCLELGRGVCVLRKGILGRPASYRGWQSRRQWGRGGRDTVLRWWERLVRRYQRRGRQLERKDVEARPGLLTLA >Sspon.04G0011140-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:33243569:33244380:1 gene:Sspon.04G0011140-3C transcript:Sspon.04G0011140-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQGDQMECSGKEQQMQIVCVRSASTGGAVVGEEVVEWAEEQSSRSALSLFKEKEEEIERKKVEVRDKVFSMLGRVEEETKRLAFIRQELELMADPTRLEVDAIRKRIDKVNKQLKPLAKTCLKKEKEYKMCLEAYNEKSNEKATLVNRLMELVSESERLRMKKLEELNKTIESLY >Sspon.08G0012360-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:51461553:51463675:-1 gene:Sspon.08G0012360-3D transcript:Sspon.08G0012360-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSWRDKQRPDLVNFIATSSLPTCTASISCRFLRYALQSRDATGFAVLCDFLFNNGGLSVAFIFETDWLLKGKLLSSAGHNLPCVVSTLKRQFKYLYVVVVVRSAEQNESFNQSYFKYGMELGCPTFVPVCDPEMGFEKIVRIAHARGVCRQKNIVAAMRIEREQAVECMDAFLRVLTSIPGIDSHDANALAQAIGSIEAIAKASKEFILENTDLSTEKAERIVRFFRDPQQNQIVSIESVPG >Sspon.03G0036270-3D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3D:52796258:52798100:-1 gene:Sspon.03G0036270-3D transcript:Sspon.03G0036270-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding QYDPEVCLGHLKRYAFKELRAATNNFNSKNILGEGGYGIVYKGYLRDGSVVAVKRLKDYNAVGGEVQFQTEVEVISLAVHRNLLRLIGFCTTESERLLVYPYMPNGSVASQLREHINGKPALDWSRRKRIALGTARGLLYLHEQCDPKIIHRDVKASNVLLDEYFEAIVGDFGLAKLLDHRESHVTTAVRGTVGHIAPEYLSTGQSSEKTDVFGFGVLLVELITGQKALDFGRVANQKGGVLDW >Sspon.02G0042310-3P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:77249772:77253138:1 gene:Sspon.02G0042310-3P transcript:Sspon.02G0042310-3P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPSESVLFGNLFAKRANAPEAATWLRLAHPAVVCGLAQSLVSYQVPTPGCCTDTYGRCTSTTHIAHFAAQQTTTPPLFSRPQPSEAHRSIEAREQGGHRGGGRMWPSTTSWLPFLYPPPASAYVAAMSAVSLVSMANAGLAELRGDHMAYSKFWHVVAAAGAGGSTKQRGGAGGGTGALLRSRDGMLLAYAPALLAAAASFAVPGAVEGARAELLSAALAAHFLKRVLEVLFVHRYSGSMPLDTAATIACSYLLSTATMIYAQHLSHDLPDPAVDLLYPGVLVFAVGLAGNFYHHYLLSRLRAGDGGDDKGYKIPRGGLFGLVTCPHYLFEILAFFGFAMIAQTLYALAVATGTAAYLAGRSCATRRWYQSKFQEFPPNPRAGVASLAVILNALAIDPSRRWKGPWRWFDESMLDCCEPLDKVMAQGITFGKVACLAHCSAADVQSFRANRVTIHDLQRLSHGFSPTAPLTSPSSPRGPSTTRSLVESAPTGLRYRPDAQARIL >Sspon.03G0029330-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:21167387:21169643:-1 gene:Sspon.03G0029330-2C transcript:Sspon.03G0029330-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHAGSWLHCGYHLTTSIVAPALLSLPFAFAALGWAAGIICLVIGAVVTFYSYNLISLVLEHHAAQGRRQLRFRDMATDILGPGWGKYYIGPIQFLVCFGAVIGCTLLAGQSLKVGVFLLHFQAIYLLASPGGTIKLYVFVAIFGVFMMILAQLPSFHSLRHVNLVSLLLCLAYSFCAVAGSIYLGTDSSWTSTSAAQRENSSDQ >Sspon.01G0009330-1P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1D:28353768:28354277:1 gene:Sspon.01G0009330-1P transcript:Sspon.01G0009330-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRTVVKTRSVEYMPFSLSFFLFLSGGVWSVYSLLVKDYFIGVPNAVGFVLGTAQLVLYLGYRNKAAPAPARKDDDDEKEAAAASGDEEEGLAHLMGPPQVEMMAQQRGRLRLHKGQSLPKPPTGGPLSSPRHGFGSIIKSLSATPVELHSVLYQHGLGRGRFEPVKKDD >Sspon.01G0019650-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:71524156:71525793:1 gene:Sspon.01G0019650-4D transcript:Sspon.01G0019650-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFRVADASEYLAITGWGIDDVKLAKKAWVFVGQQCKKFDITPVNYEFEVHAMSSEKLPFILPAVFTIGPKISEDGTHRASLLLYAKLIAPHDKNSSHVRELVRGVIEGETRVLAASMTMEQIFQGTKSFKQAVFENVQLELNQFGLYIYNANVKQLVDVPGQEYFSYLGQKTQQGAANQAKVDVAEARMLGAVGAKAREGTTLQKAAEVDAQTKVFRVHQEAIGIKEQAKVEAEVKVFENEREAVVAAAKADLATKKAAWDRQTKVAEVEASKAVAIREAELQMEVEQKNALRLTEKLKAEQLSKATVQYDMQVQDSNAALYSRQKSAEAKLYEQQKAAEARKAQADAQFFEQKLAEDAKLYAKQKEAESLATVGKAKADYVAAMLQALGGNYHALRDYLMIDGGLYQEMARINAGAVSGMQPKISIWTGADGAGADGDVGASSGGGAAMQQVAGVYKMLPPLLSTVHEQTGMLPPAWMGALPKDEAN >Sspon.01G0051430-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:17570945:17572863:-1 gene:Sspon.01G0051430-1T transcript:Sspon.01G0051430-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGGFLSRVLLLAFGYAYPAYECYKTVELNKPEIEQLIFWCQYWILVALLTVLERFGDFTISWLPFYSEAKLMFFVYLWYPKTKGTTYVYGTFFKPYISQHENEIDRNLLELRARATDMVVLYFQKAASVGQNTFFDVLKYVAAQSPSQKSRQRPHQVWIKCN >Sspon.01G0034030-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:603977:616638:-1 gene:Sspon.01G0034030-1B transcript:Sspon.01G0034030-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable mitochondrial import inner membrane translocase subunit TIM21 [Source:Projected from Arabidopsis thaliana (AT4G00026) UniProtKB/Swiss-Prot;Acc:Q1G3L1] MASRVTRLLLHRRLATAAEASARRAPQAPCAGAAVSKDVSKAEASSLKNSRWYVTRSNTSGPLTTRYECRKVFPCSVRPCASYSTQASDQKAKQEGKDLSTVEHPFDDITYNIPEKPVTFTEGASYSLVILAGLGIAGVAGYAVFKELIFEPKEYKIFGKALARIQSDSQVTSRIGHPITGYGQETRNRAARQRIPNKIWTDEDGVEHVEVNFLIRGPHGAGKVYSEMFKDNSDRTWKFMYLVVDIVSPPHAKTQLMLESYLPA >Sspon.04G0015640-4D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8D:16332868:16335703:-1 gene:Sspon.04G0015640-4D transcript:Sspon.04G0015640-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding PGEGARGHLLLVHQAHQWLCRQPRARPRRRDRQVPRRGVGVPEQGPQAAHDEVVAVHGAREGRRRPALVGLGEGQVRRGHHHRQPRLRRVAGVQELRRGRDGAHPRRLERDLPERPRQEVPMQQQAHRRALLQQGLGGGVGGPARRRAQDAAGRERARHAHAVHGGRRRRARRERVRVRRRHGAGRVPARARGGLPRLLPPHQRQRVLRRRRPRGVRGRHRRRRARHFGLRRRRRLRLPPRRRRHRLAARRQGRRRGRLLRQQQRTGSRHRHQRRAVDPHRRRQLGRQGVHGLRRLQPHQGRGHEPLGEVAARERLLPDHQRRRSHTSWQHAERRSVVLDGVAGPREGQGKDRGVPERDHHEGGEGRGRPPRRRRCHDPRQRRGHRERPRDRPARAPGRAHLLRQRARPLGVHQEHQPDITAPGVNVIAAWSGAVAPTDR >Sspon.02G0042170-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:81478586:81487367:1 gene:Sspon.02G0042170-1B transcript:Sspon.02G0042170-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVASSPAVMMVLFSGPQTALLILPLMPVMTSADSSVSAYTTQGQGYWLAIQTKQQRRHKQSVVEKAKALTVVDVLGDVLDLDGGDVVHEVVGGDDSERVPDGELDGPGGRVEAEAEELLPRADLPELGGLARRVAGDVAGPDGAVVAPVGAEAVAVVGVPDRGGVVLGAGEEQERQRALVALHQDGPHRGALLLVVLAAAPQGEEEDWRRQRWLDLGLDASAAERKMETLARPVIGRLRLALNSERPIHPGRATRGLIHPLPGLLPSSPLPPCLAPPLLLSKCNAVAPSVHVQCRQSGGRRLRFRPAAAPPGVGSLADRASLLLQPALANMVRSCWSQLTIVDDGEMACLAPAQVVVNHGYLHEIIDSSITTCARVDGNVAYHGIFTPTGSRTQDLGCHHKSLVSMEMSPILKYSFPRGVKTKSEMLPISNDPWASFLGIVLAIALFLVSTLHRHRCQNPSCKYNLPPGPGPWLVIGNLNLIGPLPHRSVHELSKHYGPLMSLRFGSLPVVVGSSVDMARFFLKTHDLAFIDRPRTASGRYTGYNYSNMLCGRRASSARARSQEHVWAAEVQADTCHLEKHPLPGAQDAHHAGGAQRRACVERGLLFVAAEPFTDDDCLVISLEVRHGKEAFPVGSASISLATVERRVDDRKVASKWLDLLPSDEAAKRGGKKAAMHLHGGRLHVRACLDGGYNVADEPSYACSDFRPSARQLWPPPLGVVELGIVGCKGLLPMRAADGKGCTDAYAVAKYGPKWARTRTIADSYDPAWNEQYTWPVYDPCTVLTVGVFDDPPPLQPPNGSGGKDAAAAACSRPMGKVRIRLSTLERGRVYRGLHPLIMMLPTGAKRMGDVELAIRFSTSGSMLDVLHTYGRPALPAMHHQRPIPVVNREALRLAAARISAAHLARSAEPPLRREVATWMLDATEPRGFSMRKLRANWNRAVAALSWVADAERWVEDTRSWRNPTATAMAHAVLVLLAWHPDLVVPTLTLHAAAVGVWKYRRRPRAPAPHPCVRASMAEAPDREELDEEFDPIPSARPPEVVRARYDRARMVGARLQQMVGDVATQAERLQALVSWRDPRATGLFVALCVLVAMVLYMVPMKMVAVVAGFYYLRHPMFRDRMPAPVINFFRRLPSMSERIM >Sspon.07G0018310-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:76303054:76312456:1 gene:Sspon.07G0018310-4D transcript:Sspon.07G0018310-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHQGAGDGEERQQQLDDRDKGSVVQQQQQQLLLPSSSSSWRAAATSFRESLSRSLSFSLSQRHEQEKDDEVELRWAAVERLPTLDRLHTSLQQLHAAADKDQDQLQAPPAPWQAVDVRTLGAAERRTVVDTLVANIHRDNLRLLRKQRQRMDRVGVRPPTVEVRWRDVRVEAECQVVHGKPLPTIWNTVVSSLSVVSTVLGLNDRQQARVRILHGVSGVVKPSRLTLLLGPPGCGKTTLLKALAGKLSATGLKVTGEVEYNGVQLNGFVPEKTAAYIDQYDLHVPEMTVRETIDFSARFQGVGNRAEIMKEVIRREKEAGITPDPDVDTYMKAISVEGLERSMQTDYIMKIMGLDVCADIMVGDAMRRGISGGEKRRLTTGEMIVGPSKALLMDEISTGLDSSTTFQIVSCLRQLAHISESTILVALLQPAPETYELFDDIILMAEGKIVYHGSKSRIMSFFESCGFKCPDRKGVADFLQEVLSKKDQQQYWSHNGETYNFVTIDQFCDKFRVSQIGQNLAGEISKPYNKSNGKNALSYSIYSLSKWELLKACFSRELLLMKRNAFLYISKAMQVTCSNATITGTVFLRTYMGIDRVGANYYMGSLFYALLMLMVNGFPEIVMAVNRLSVFYKQRDYYFYPAWAYAVPAFILKVPVSLVVSIAWTSLSYFLIGYAPEASRFLRHLLVLFLIHTGALSMFRCVASYCQTMVASIVGGTMLLLLVLLFGGFIIPHPSMPNWLKWGFWLSPLSYAEIGLTETEFLAPRWLKFTTLLAELVSKVYMRN >Sspon.03G0015020-2P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7D:42403988:42405250:1 gene:Sspon.03G0015020-2P transcript:Sspon.03G0015020-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTKRHCLRFATVLASLLSATACLDVGFYDQTCPTAETIVQQTVAAAFTNNSGVAPALIRMHFHDCFVRGCDGSVLIDSTANNTAEKDAPPNNPSLRFFDVVDSAKAALEAQCPGVVSCADVLAFAARDSVVLSGGLGYPVPAGRRDGLISNATEALNNLPPPFFNATQLADSFASKNLSIEDLVVLSGAHTIGVSHCSSFAGIGNQGDRLYNFSGSADGIDPALSKAYAFLLKSICPSNSSRFFPNTTVFMDLITPEGFDNKYYVGLTNNLGLFKSDVALLTNATMKALVDSFVSSEATFRTKFARSMLKMGQIEVLTGTQGEIRRNCRVINPASVRAADVLADQSGSSGFHGVAAS >Sspon.03G0043670-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:83179150:83179835:1 gene:Sspon.03G0043670-1C transcript:Sspon.03G0043670-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSISTLAAASANEELATAISGRYGDPLASWNGSIIAGGYCSWEGVRCQGRRRQVVALSLPARGLTGVLSPAIGNLSSLRTLNLSNNGFCNDIPASLGSLRHFHTLDLSSNAFSGNIPANLTSCTNLTTVDLSSNQLSGSIPIELGDKLRRLKNLIMYKNNLTGVIPLEGTTTIPWGLGGVRSLRILDLAFNRLTGEPPASLYI >Sspon.04G0037550-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4D:74095308:74098361:1 gene:Sspon.04G0037550-1D transcript:Sspon.04G0037550-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPLHFSYKKYRSRLPIPVLAIALVLLISLATPTSSCTEQEKTSLLQFLAGLSKDSGLAKSWKEEGTDCCKWQGVTCNGYKAVTEVSLPSRGLEGSIKPSLGKLTSLQHLNLSYNSLSGVLPLELVSSSSIIVLDVSFNHISGDLHELPSSTPGQPLKVLNISSNLFTGQFTSTTWKGMENLAVLNASNNSFTGLIPSHICNISPSFAVLELCYNQFSGRVPPGLSNCSMLRVFKAGQNNLSGALPDDIFNATSLEYLSLPSNELQGALDGSHITNLKNLTTLDLGGNNFSGSIPDSIGQLKRLQELHLDHNSMTGELPSTLSSCTDLITINLKSNSFSGDLVKVNFSTLQNLRTLDLLYNSFTGTIPESIYSCTNLTALRLSGNKFHGQLSQKIVNLKSLTFLSMAINNFTNVTNTLQILKRSRNLTTLLMGSNFVGESIPEDETIDGFHNLQFLSMANSLLHGTIPLWLSKLTTLEILDLSGNQLTGPIPAWINRLKFLFYLDISNNSFIGEIPKSLMQMQMLTSKNTAAHFDPGILELSIYQGQSLQYHGIRAFPTVLNLASNNLTGAIPQEIGQLKMLLSLNISHNNLFKQIPQSICNLTDLEVLDLSNNHLTGAIPVALNNLHFLSQFSVANNDLEGPIPNGGQFSTFTISSFEGNPKLCGSILFRSCGSTGALPVSTKQHNKKITFAIAFGVFFGAVIILLLLVCFLISTKGTASIRKDTSTATEDVGASRSNTEEPFMIVSQRKGEGSNFTFIDIVKATNKFDKENIIGCGGYGLVYKAELPDGSKLAIKKLNGEMCLMEREFTAEVDALSMAQHENLVPLWGYCIQGNSRFLIYSLMENGSLDDWLHNSDDDTSTSLDWPTRLRIAQGASRGLSYIHNVCKPHIVHRDIKSSNILLDKEFKAYVADFGLSRLILPNKTHVTTELAGTLGYIPPQYGQGWVATLRGDIYSFGVVLLELLTALRPVPVLSTSKELVPWVLEMRSQGKQIEVLDPTLRGTGHEEQMLMMLEVA >Sspon.03G0004450-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:30078296:30082495:1 gene:Sspon.03G0004450-3C transcript:Sspon.03G0004450-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPAAASPARRLASIFSSTKPHARPPKPAPEPTQAPKAPAGQPGSKPDERRESRNLNKILKVIFRERDPEKLVSRFIAESTASELFRDKHRVYEVAVFRLASFGRHDAIAAIIDAQKTFIEASNVGFAARLIRLCGRASMPSHAAAIFHDLPPKHKSHMTFNALLAAYVDASDFDALATAFQQILASHPTIVPTVYSYNILISALCQKPDLSAALDVIALMEKRGLTPDIISFKTLLNGFYNNDRFDDAEKVWDMIKERNVVPDAKIYYNAKLRGLVSQGRVEDAVALIERMQKEGPKPDSVSYNELIRGYCKEGRLNEAKKVYDDLIKNECTPNSGTFHTLVPHFVEAGELDRALSCCHEIFSRKCRVQCSLLQGVVTALIAASRMEEARRIVHLGRTNYYSRKRLRMPPHTRKDEGLKMPQPAGEDNDVEGETDSNDSVSYEDGYEGEEESKNAQRGYTFVWQVEKTLGASVIPISERAARRNVETGFEHGIVGVECSVLYVRSNACECGGAGQWNRD >Sspon.02G0036430-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2B:24739312:24740536:-1 gene:Sspon.02G0036430-1B transcript:Sspon.02G0036430-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRSELIIFTWFEDCIMVYGARLGANDDGAKLGANGDGAKMLSRQRQVAWGLDAHVNGAKLCYLGASVDGAKLWIYFLKSFRYGRI >Sspon.06G0027870-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:90446858:90449329:1 gene:Sspon.06G0027870-2D transcript:Sspon.06G0027870-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MELQQEQESAGEHQEGGEEQLAQDLLHCFQRILHDDPLIDEVGFLHPTQFCSLQVQDDDSNSQHTRYLWCRDHKLAISAEILPRLYRAARDAYCNARDAPLSPTHLMRHTKALLILCPDLLTAWNSRKMVLSAEYDFTKLKDELQLCALILSYSPKNESTWSHRRWVIKQVAEQHQDMSEIIENESILVKEIAEKSKMNYRAWRHRCWLIPYMTQKQVLDELKKSTRWSELHVADNCCFHYRRHSTHPVCQPSLLVALLESHLGNGEDSLSWESETYLLWKEELRWDEMLIRRYQGRECVVAYASCGDVLVQSLWNHRRFLSQWWIQRLLTVEETCPSTMSQVDLFVTQEIYLLSECLNGPEDEFDESRQVPALKGKLEEKLHSISMGRLQDVVERACWPEKRRLWMNLLGLADQSH >Sspon.08G0003740-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:9692424:9693260:-1 gene:Sspon.08G0003740-3D transcript:Sspon.08G0003740-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGRPRRPAKPEDEEAASAKAAKLRDLQAQVLHNHHARTYTKEAIGLSFKLLEINPEAYTAWNYRKLAFQHNVKELSDPEAIKSAVDDELRVVSSLIYQHSCIN >Sspon.04G0010860-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:35568203:35570655:-1 gene:Sspon.04G0010860-3D transcript:Sspon.04G0010860-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSPLCSASPRPLRAPGQPPRDVRPPRTVVVAAGTRVSGAEARASLVLALASQALSASQRRFADLAGEAAKYAFPSRRFEPRTLEEALMSVPDLETVPFRVLKREAEYEIREVESYFIAETTMPGRSGFDFNGSSQSFNVLASYLFGKNTTSEQMEMTTPVFTRKGESNSETMDMTTPVITKKVDFGFHLCHCFELSLFGDSGYFIIKKVMKFYLSFLFVCHLQLAGKNKWKMSFVMPAKYGSNLPRPKDPSVTIKEVPSKIVAVAAFSGLVTDDDISQRESKLREFLHKDTEFRVKDDSVVEVAQYNPPFTLPFTRRNEIALEVERNDIAL >Sspon.06G0011940-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:64494291:64496240:1 gene:Sspon.06G0011940-1A transcript:Sspon.06G0011940-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIITQLQDQLDEMAVLAVNTFGTLQRDAPPDRLSNSYPDPLNPNPKPDDDSKPPQVQGQPGAPPPAQAQPPAPDLSEQPKAMSHALVLAAKKVGTLPLLSSLLGLATCSTKCPPGDPKSLPLSSEEDQVKRIQELQAENEVVGLELQKQLEAAERELKQVEVLFNEATDNCINFKKLD >Sspon.01G0001910-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:5436975:5437953:1 gene:Sspon.01G0001910-4D transcript:Sspon.01G0001910-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to Chitinase 11 [Source: Projected from Oryza sativa (Os03g0132900)] MRKVFAPLLAVAASAALLAAAGVVVSAQQGVGAIITASMFQSMLSHRGDSGCQGAFYTYDAFIKAASKFAGFGTTGDDQTRRRELAAFFGQTSHETTGGWATAPGGPFAWGYCRVKEQNPTDPPYYGRGPIQLTHEYNYRLAGQALNLDLVGNPDLVSSDPVVAFKTAIWFWMTPQSPKPSCHAVMTGGWTPSAADRAAGRLPGYGVTTNIINGGLECGKGQSTDGAKDRVGYYKRYCDMLGVGYGDNMSCKDQKPYGG >Sspon.07G0024590-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:24481283:24501164:-1 gene:Sspon.07G0024590-2D transcript:Sspon.07G0024590-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRVVRTVRMRNISDQVTEREAIQWRRGGWPTSNSKIPMLSRLHFSFRCYEKKDYLTDVLDRKKSLNRLLLRRPAMTTPRTGQRRSSLAEALGPLHPRTHRPL >Sspon.05G0018350-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:78775448:78790931:1 gene:Sspon.05G0018350-2B transcript:Sspon.05G0018350-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKPCTFLVHFDTGTPSMANEIKAELESGDGPTQADAMRRAISLLLNGEPLPHLFITVVRYVQSCNYHAVQKLLLLYLETVDKRDAATGKALPEMILICQNLRNSLQSPNEYIRGVTLRFLCRLAEPELLEPLVPSVLANLEHRHHFVRRHALSAVSAIYSLQHGDQLIPDAPEVVERALASEQDPAARRNAFLMLLAAGQDCAVAYLFSNADRVAEWPDLLQMAAVDLIRKVCRSKGRADKGRYITVIISLLSASSAAVVYECAGALVSLSSAPTAVRAAANTYCQLLSSQSDNNVKLIILDRLHELRSSHREVMVDVVMDVLRALASPNVDVRRKVLDLVLDLLTPRNVEEVVLYLKKEVVKTQAAEVEKGGEYRQMLVQAIHNCAKKYPEVAALVVHLLMDFLGDPNVAAALDVVLFVREIIETNPKLRVSMIQRLIDIFYQIRASRVCSCALWILGEYSLSLSEVESAISTIKQCLGDLPFYTVSEEGETTDSSKPSQPLVNSVTVSSRRPAVLADGTYATQSAATETANSAPASALGSLASSQNLRSLILSGDFFLAAVVACNLTKLVLRLEEIQPTKVEVNKASTEALLIMVSILQLGQSSYLPHPIDNDSYDRIVLCLRLLCNTGDDVRKVLLQSCCQSFVNMLAEKQFRETEEMKAKAQITYAQPDDLIDFYHLKSRKGMSQLELEDAVQDDLKAATGQFTKDADDTNKLNRILQLTGFSDPVYAEAFVTVHHYDIVLDVTVINRTKETLQNLCLELATMGDLKLVDHPQNYTLAPESSKQIRANIKVSSTETGVIFGNIVYETSNVMERSVVVLNDIHIDIMDYISPATCADVAFRNMWAEFEWENKVAVNTVIQDEKEFLNHIIKSTNMKCLTPPSALDGECGFLAANLYAKSVFGEDALVNISVEKQADGKSLILSGDFFLAAVVACNLTKLVLTLEEIQPAKVQVNKASTEALLIMLGQSSYLPHPIDNDSYDRIVLCLRLLCNTGDDVGKVWLKSCRQSFVNMLAEKQFRETEEMKAKAQITYAQPDDLIDFYHLKSRKGMSQLELEDAVQDDLKAATSQFTKDADDTNKLNRILQLTGFSDPVYAKAFVTVHHYDIVLDVTVIHRTKETLQNLCLELATMGDLKLVDRPQNYTLAPESSKQIRANIKVSSTETGVIFGNIVYETSNVIE >Sspon.01G0043760-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:76943557:76947001:1 gene:Sspon.01G0043760-1B transcript:Sspon.01G0043760-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPERERKRKRAAEEWVRRKRGRKRRKREGREGERQVGWTSDPMEALGEDVMGRVMEFLDARSVARCTAVSCAWRGVAADNRLWAPKAAPDYWRNLDPSWKHTGPPMRRYFHPDGYHSADPHDAVWGGHECTYTVITSFVDDGQIREHYVRINRWPPMKVSRKDDWSWELSNHLYRYNSIPDSDKKGCTGPLFPVW >Sspon.03G0017660-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:76160511:76164602:-1 gene:Sspon.03G0017660-3C transcript:Sspon.03G0017660-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAGVAPSGYKNSTSTSIGVEKLQDQMNEIKIRDDKTVSYMAERIVGQGSFGIVFQAKCLETGETVAIKKVLQDKRYKNRELQTMRLLDHPNVVALKHCFFSTTEKDELYLNLVLEYVPETVHRVVKHHNKMNQRMPLIYVKLYMYQICRALAYIHGTICVCHRDIKPQNLLVNPHTHQLKLCDFGSAKVLVKGEPNISYICSRYYRAPELIFGATEYTTAIDIWSAGCVLAELMLGQVFHKRMPPEAVDLVSRLLQYSPNLRCTAVEALVHPFFDELRDPNTRLPNGRFLPPLFNFKAHELKGIPADNVAKLIPEHAKKQCSYVGL >Sspon.01G0056950-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1C:94505161:94506641:-1 gene:Sspon.01G0056950-1C transcript:Sspon.01G0056950-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTATLSPVLASGAMKNMHFIFPKMPESRARKLDGREREPQTPLYRSNFAAFANVPTPSCVYHHVQHACDRRGHIHHAAHLLQHGGLPIRTPCTHSASLLSRAHLAPLAPARRARPSRTARPRLSHMHHPPGRAKPSATSDGGGGARVAPTPTPTAGIARPGLPHVATCKRMF >Sspon.03G0028560-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:13530982:13534469:-1 gene:Sspon.03G0028560-2C transcript:Sspon.03G0028560-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:MEI2-like RNA binding protein, Regulation of leaf initiation and maturatio [Source: Projected from Oryza sativa (Os01g0907900)] MEGGGGSGMGGFPGAPANLLDAAAQEFHPTVCAPYPLQPLPHQLYCPHPYPAMPVPAPQMAMLQPVPPMAMAMAPQPGYTLPVVDGPSSRVVVLCLVPPHAKERDVAQAMAPFGAIRSVDACAVASEGVATVHFFDFRAAELAVACVREQHMRQQSRLGQLYAAAWAPAPPTPQAWDWPHPHPNDDGRGLVLGQAVWAHFAPGADDGENRGSLVVLSPLPGVSVADLRQVFQAFGDLKDVRESAHRPSHKFIDFFDTRDAARALAELNGQELFGRRLIIEFTRPSGPGPRRRGYAPHHRPTAPTPPRFQQATWRPAQPTSPQPPASSSSSGSVRARKGVVLLRRPSDQSEGGNAGTSHERKSKGSKNVGSAAAAASSSTPTVSGKQAQKGAGSSSGGGNWKGRKSGWEARFLFKEPEAAGDADTQAAPSSERDTRTTVMIRNIPNKYSQKLLLNMLDNHCIQSNEWIAASGEGQPFSSYDFVYLPIDFNNKCNVGYGFVNLTSPEAAVRLYKAFHKQPWEVYNSRKICQVTYARVQLPFSYYATKDIYYYVVAHMLMLQSRCGVWAAQGLEALKEHFKNSKFPCDSDEYLPVAFSPARDGKELTEPVPIVGRSPAASSPSGASSPPKSRAASVDLLGRELIPAPSSSADGASSTTTSTHAPSEHADDDNEEDIRLAGELRRLGYTD >Sspon.06G0010790-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:46110935:46114543:1 gene:Sspon.06G0010790-2B transcript:Sspon.06G0010790-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATATRRLLPALLKTLAPAGARGLSTEKAVGAAAVVGSHTAKWMQDTSKKSPMELINEVPPIKVDGRIAVCEGASEGVGLGHPIEYICLDLEAPNVCKYCGLRYVQVHHH >Sspon.05G0021990-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:4869814:4874005:1 gene:Sspon.05G0021990-2C transcript:Sspon.05G0021990-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAKGQQSLVHPTNPRRPIPSQKARCLLPSRPLNAKYLYALRPDNPTPACPLRISSPSSALVTGLDCRVGGTAAGPLSGSGMAAQAVVPAMQCQVGVKAAAARARPAAAGGRLWGVRGRDGASGFKVMAVSTGVVPRLEQLLNMDTTPYTDKIIAEYIWVGGSGIDIRSKSRTFSKPVEDPSELPKWNYDGSSTGQAPGEDSEVILYPQAIFKDPFRGGNNILVICDTYTPQGEPLPTNKRHRAAQIFSDPKVVEQVPWFGIEQEYTLLQKDVNWPLGWPVGGYPGPQGPYYCAVGADKSFGRDISDAHYKACLYAGINVSGTNGEVMPGQWEYQVGPSVGIEAGDHIWISRYILERITEQAGVVLTLDPKPIQGDWNGAGCHTNYSTKTMREDGGFEEIKRAILNLSLRHDLHISAYGEGNERRLTGKHETASIDTFSWGVANRGCSVRVGRDTEAKGKGYLEDRRPASNMDPYIVTGLLAETTILWQPTLEAEVLAAKKLALKVMVLS >Sspon.06G0010130-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:43186133:43189420:1 gene:Sspon.06G0010130-3D transcript:Sspon.06G0010130-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFAGHIGDRMDLRIFLTIGMIGTAIFTTLFGAGYWLNVHSFYYFLVIQMLSGLFQSIGWPSVVAVVGNWFGKSKRGLIMGIWNAHTSIGNIAGSLLAAFLLKFGWGWSFAVPSLIMALVGLMVYIFLPVNPEVMEIDIDSGEFNCEKDTVKEPLLEPGQEVKHKAVGFVEAWRIPGVAPFALCLFFSKLVAYTFLYWLPFYISHTRIGGQYLSDAMAGSLSTIFDVGGVLGGVLAGHISDRLNARAITAASFMYCAIPALFLYRTYGSMSIMWNISLMFITGMFVNGPYALITTAVSADLGTHSSLNGNSRALATVTAIIDGTGSVGAAIGPLLTGYISSKSWSAVFTMLMAAALLAGLLLTKLVCAELKGKTPSNASKDVADAQGTYSDEV >Sspon.04G0028210-2T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:80254702:80262494:1 gene:Sspon.04G0028210-2T transcript:Sspon.04G0028210-2T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding EAMAAEPLPYLLLLPLVAIPLVILALSRRRDAQRRLPPGPWALPVIGHLHHLAGAPPHRALRDLARRHSPLMMLRFCELPVVVASSPDAAREIMRTHDVDFASRPIGPMLQLVFRGAEGLIFAPYGDGWRQLRKICTLELLSARRVHSFRPVREDEVARLLRPVASAAAAGLPVNLSERITAFVADAAVRAIIGSRSEHRDEFLRLLVDGTKIIPGLSLPDLFPSSRLAMLVSSVPGKIERRRRGLLDIVDPIILEHQEKRAAGGIDEDEDLLDVLLRLQKDMDSQYPLTTDNIKSVAQDMFGAGSETSATTLKWAMAELMRNPRVMRKAQDEVRGALAGHDKVTEDSLVNLRYLRLVIKETLRLHPPAPLLLPRECRSPCQVLGYDVPRGTMVLVNAWAIGRDPALWDAPEDFVPERFEESGRDFKGMDFEFIPFGAGRRICPGMAFGLAHIELALAALLFHFDWKLPEGMVAEEMDMAEAVAITAPPRSDLVLLQTQDAATREQTAAPTGAVGAAGDRAPAPPGPPGGSRLPHRVMRDLARRHGPLMLLRFGEVPVVVASSAAAAREVMRTHDAAFASRPIGPMSRLWFQGAEGILFAPYGDDWRHLRRVCTQELLTARRVHSFRPVREDELRRLLASVAADDASATVVNLTEKISTYIADSTVRAIIGSRRLKDRDAYLRMLKGLFGIMPGMSLPDLFPSSRLAMLLSRAPARIQAYRRSMRRIMDGIIQEHRDRAAAADGDDEEDFVDVLLRLQKEVDSQFPLTTENIKTVAQDIFGASTETSTTTLDWAMAELLRNPRAMEKAQHEVRQAFAGHDTVTEDSLAGLRYLRFVIKESLRLHPPATMLVPRQCQSACQVLGFDVPAGFTVIVNAWAIGRDPAHWDEPDEFLPERFEQSTRDFRGADFEFIPFGAGRRICPGMAFGLAHIELALAALLFHFDWSLPGGLAAEELDMTEAFGIATPRRSDLLVVAIPRVPLPM >Sspon.04G0003740-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:47934767:47948452:-1 gene:Sspon.04G0003740-1P transcript:Sspon.04G0003740-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCQAAEAATVVVQHPGGRVQRLYWATSAAEVMRANPGHYVALVTHRADDEKRSQQQQQHRHGAARVTRVKLLKPRDTLVLGQAYRLITVAEVTKALQAKKEEKTRRAQQHLVVQPKHAGGRTGSGDDSQPQQVVDDSLDQIRLIDNTDLTGIDHQITQLGPELATTLVIVISKSGGTPETHNGYWKYRKPSEMRGCNSRNRVFDIKEMLAGAALMDEESRNTVICWDDIPPHCLFDLWRSIMLQ >Sspon.01G0055730-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:82895717:82906387:1 gene:Sspon.01G0055730-1C transcript:Sspon.01G0055730-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA polymerase kappa [Source:Projected from Arabidopsis thaliana (AT1G49980) UniProtKB/Swiss-Prot;Acc:Q6JDV7] MSAAAGTPPEGGGNRPWQSYHTAYTNAKAGMEGVDKEKVQKVAEKKMLELEASRDLSKIWLHTDMDAFYAAVEILENPSLKGKPLAVGSMSMIATASYEARKFGVRAAMPGFIGCKLCPGLVFVPPNFERYTHYSELTRKVFQRYDPNFIATSLDEAYLNITNVCIERGTTGEEVATELRSAIHQETGLTCSAGVAPNRMIAKVCSDINKPNGQFILPNDREAVLTFVSTLPIRKIGGIGKVTEQMLRQVLGISTCQEMLQKAAFLCALFSEGSAGLYVNYTCLLFFLSFFKAMLSKQDLRIQVEILYFSDFFLSVGLGLGGTETPEQRQRKSISCERTFAATTSLAENLTDDLQKEGLKGKTLTLKLKTADFEVRTRAVTTRNYINSKEDILVYATKLLKAEMPLSLRLMGLRMSQLHDEKDDPSTSTQKTLDIFFRSSNSNSNGNGINVQRITNTSGQDNDSISLTTKEEYLVPDAGTGVSTDQQDFFLHDESCFIPEQRSLGNYNNEAVLSNPLGGTKLDDVSSSAKLNEPDNLISPKVVASSSKPDQQSWIDGYICSICGFELPPGFEEERLEHSDFHLAETLQQEEAVDGTRHISNERLAERPCSTTPTPKKKLKSSKEGKHIPIDAFFTKCNKN >Sspon.08G0018040-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:4727231:4729105:1 gene:Sspon.08G0018040-1B transcript:Sspon.08G0018040-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTLIEETVFFDVLFIIQHYVLYPVKRDEHGKAIISERVAPLIRPSDKPEEDNTISLFSPLK >Sspon.04G0003860-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:12304129:12308046:1 gene:Sspon.04G0003860-2C transcript:Sspon.04G0003860-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MREGAAARRQPPRAHAKAPRGDSPRAMSSAAATATSCHRLGVALLLLLVAAVLGGAEGKAHNYEDALQKSLLYFEAQRSGRLPHSQRVAWRHHSGLTDGLEQGVDLVGGYYDAGDHVKFGLPMAFTVTMLSWSLIEYGGDVADAGELGHALEAVKWGTDYFIKAHTRPDELWAEVGDGDTDHYCWQRPEDMTTSRQAYKVDRDHPGSDVAGETAAAMAAASIVFRDSNPHYAHLLLHHAQQLFEFADKYRGKYDSSIAEVKSYYASVSGYKDELLWAALWLHRATGRADYLDYIVDNADSFGGTGWAINEFSWDLLLRGEHATRHRSTLERYRAKAERYVCACLGRNTEGGADANVERSPGGMLYIRQWNNMQYVTSAAFLLSAYSDYLAEAGVATVSCAGGETVAAEEVFALARAQVDYVLGTNPRGVSYLVGYGSKYPNRVHHRAASIVPYKHSKEFIGCTQGFDHWFGRRSSNPNVLVGAIVGGPDRRDRFRDNRENYMQTEACTYNTAPMVGMFAKLHRMARQEREQGSTTPVPVTSTAAEV >Sspon.02G0054900-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:105552396:105554220:-1 gene:Sspon.02G0054900-2D transcript:Sspon.02G0054900-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GDGALQRVELAPQELRGRIPRQPGLREPSWTDQEVRADVLQAVLPQQCQGHWLHAVP >Sspon.02G0044440-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:101393506:101397703:-1 gene:Sspon.02G0044440-1B transcript:Sspon.02G0044440-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSEHRTVFVTVGTTCFDALVMAVDSPEVKKALLQKGYSNLLIQMGRGTYVPSKVSGDATLQVDHFTFSPSIANNMRTASLVISHAGKYSVSQW >Sspon.01G0017630-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:65224953:65226628:-1 gene:Sspon.01G0017630-1A transcript:Sspon.01G0017630-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLIKHPNVVQLHEVMASRTKIYMVLEFVDGGELFDKIVNSGRLGEDEARIYFHQLINAVDYCHSRGVYHRDLKPENLLLDSHGALKVSDFGLSAFAPQTKEDGLLHTACGTPNYVAPEVLADKGYDGMAADVWSCGIILFVLMAGYLPFDDANLMRLYKLICQAHVSCPPWFSSGAKKFIKCILDPNPDTRITIAEILEDEWFKKDYKPPCFEQGEDVSLDDVDAAFNDSE >Sspon.07G0019820-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7A:73513310:73514447:1 gene:Sspon.07G0019820-1A transcript:Sspon.07G0019820-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPSGQPNPMGGPGQQVGASLLRTSSSLLGGGGVGGVGAGGQPGMGMGGGVLPSQSPFSSLVSPRTQYGGGGGNGLLAGASSVASMLSRQQSYGNGGTGVMPGTGAGLPIGGMQHRGGVGDLVGTGGPDSMVFSPSGPGSLGNQLGADGLQQQQQLDAPQDSQNQQQQQQQQQMSMTYNQQHMMPQTQQQQQPQPQAAVKLENGGVLGGVKLEQQMGQPDQSVSTQMLRSSSGGVKLEPQLQAMRSLGAVKLEHQSSDPSVFLQQQQQQQQQHMLQLSKQNPQAAATQLSLLQQQQRFLQLQQQQQQQQQQQILKNLPLQRNQLQQQQQQQQQQQQHQHQQLLRQQSLNMRTGKTPAYEPGTCAKRLTHYMYHQQNRPQ >Sspon.07G0010740-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7A:34961287:34961904:1 gene:Sspon.07G0010740-1A transcript:Sspon.07G0010740-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAYGLDVECPHIFDGTHFARWRNWMTCNFKFISPQLWWIVDVGFSFAIDKKNATQAQSKCLHLDSQATNVFYRSMDSSILCEIIDFESAHEIWVFLNEKYGAISNDDEPKEKAHEDVEHDHNKVVVEDCSTSRSSDDKNDHITRSLDKKNDNATSDASNDSTSCTLDGEDDGYESDASTSSSTSSHGNTKIHVGGFVVIVMIQI >Sspon.07G0034270-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:71578934:71582765:-1 gene:Sspon.07G0034270-1C transcript:Sspon.07G0034270-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAPPVRRHGRPQPGGLIAAQRPGIMASARAGSHAEVVLGDWCYGKQWTKPTGFVPNIMALMSDDGSAGCMRLKGLSAAGILKLIAQPELGNNMYGEILGPEVETKMHSYRRMASTFSAVSEFQMQNRIELRP >Sspon.03G0036010-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3B:87170422:87173152:1 gene:Sspon.03G0036010-1B transcript:Sspon.03G0036010-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLAEPNTAEIFMLSACVTLAAKTLKLYVLRPPESASASAAMRNLDLQSPREKNRQMDVRRSRAARGCSDVPQEWLAIPGHHGDVRDETVKRLRVLCHVCLSSLLTGGGSRPGRCWVEAGRIRPNPRDHGVRTLSYPPSRVISGKTVRGKVCIVTGFHLPSRSSDSTRGSYESEFADSRDALLNGPRTSQNVVEVSRRACLVRVGVGARSRRPVEPASCESNVVELLGRFLENDKEALVAGVNTMLEIAVKLTSKKTAKKKDSTC >Sspon.02G0031900-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:103441731:103445267:1 gene:Sspon.02G0031900-3C transcript:Sspon.02G0031900-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQTVVLKVGMSCQGCVGAVKRVLGKMEGVESFDVDIKEQKVTVKGNVTPDAVLQTVSKTGKKTEFWEAEPVTSESAAAPADATTA >Sspon.04G0011870-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:36622509:36630677:-1 gene:Sspon.04G0011870-3C transcript:Sspon.04G0011870-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKETGKEGPSAEGGARREVAVRGGRPEDDDEPKIGAFSMLVTREAEGKRLDPERASNARHGAGFNAWHPQTTHDNPGVGRANTCGRTLAGSAAPGGTGKGDGRPGTTPPRADRPLARPNPRTPASKYGASCPDSSDPRRIVASRGQPNPISTCFPAMGAGRVCRGGPFSASPAADAATGRPFPRLATSSSASPSLAPSETASTSVTKTVNDAHHFKIAGYSLSKGIRVGKFIASASFNVGMALATSRYVGEAREGGWFLDASAVPGVPARPPKSSATARTESFAKRAGLATSPMGRKLFEVREAKQSNLCVAADVGTAKELLELADKRPCSTDDMLATYTSTPTSRRNRDAGSDSYALRFAHKDTWTACARCFTGVARRPRTHSRLGLHSDPTQRSKRAGTQRQRQRRNTRVSLPRAARIRKIPAAPHPEAVMSSAVPPPPPQQEAPSAGGDKVLAAAQHIVKSLATSKNAADDMIRILSGFDNRLSSITNDHLFPSPDLSAAAISAAAAFDAADQLIQLWDATPEALVFEAPEDDVAQYLAAVDVAIDHLARGGPGGARAGVAVQLAMARLEEELRHIMVRHAVPIDPTGLFFSLRRLSLEFMDDLDASPDFDPPRRTASPSTPPRRPGHRARRRPGIQSLRGPGVRPYLRAIAYRMARAGYARELADAYCAVRRDLLDEYLSVLGVERLSIDDVQRIEWKLLNDKMKKWVHGVKTVVRVLLAGERRLCDHLMEECFLESTKGCIMQILSFGGAVAVCPRSPEKVPRILDMYEALAEVIPEMKDLCVGSSGDGVISDVQAILDRLGDAGDDNWRDPSNDALCHELFEEHLENMTPFGRRLLKLISYLEANLEEKSKLYEDAALECIFAMNNLLYIVQKVKDSELGKVLGDHWIKRRSGKIRQYSKSYLRLSWTKALSYFKEDGHGSGSGSGSGSGSGSGSGSGSGHSSSRMSIKDRFKNFNFQILSSGKN >Sspon.08G0012590-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8A:53841834:53843127:1 gene:Sspon.08G0012590-1A transcript:Sspon.08G0012590-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SPGAEADHGHVQHGLQGGADGQTEGHGAAAVEDGGGAEDAGLADNRGLGHGDDRAGEGEGEGGHGGGGGVAADRGDGGAEADQRGEEAAQGGRGAQEPPRRQRHVARGPVPPLQHRGDRAGYQQLRRHAQGRRGGYGPVYKGFLDHTQVAIKVLRPDAAQGRSQFQQEVEVLSCIRHPNMVLLLGACPEYGCLVYEYMASGSLDDCLFRRSSGAAGPVIPWQHRFRICAEIATGLLFLHQTKPEPLVHRDLKPGNILLDRNYVSKISDVGLARLVPPSVADTVTQYRMTSTAGTFCYIDPEYQQTGMLGVKSDIYSFGVMLLQIITAKPPMGLSHHVGRAIERGALLDMLDPAVPDWPLEEAQCLAEMALRCCELRRKDRPDLGAVVLPELNRLRALGEDNMQYCGAIRGGGGMGMHSSPFHSNVSRSHA >Sspon.04G0029600-3D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4D:80138102:80138560:-1 gene:Sspon.04G0029600-3D transcript:Sspon.04G0029600-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RAFADTAAAVHKADARSVNFRSNPREATTQINEWFERTTGGLIKNMLSEGDCHASTAVVVGNSVYFDGYWRDPFIPKYTEEGPFYVVDDASRDHAVVRVPFMRGSFRHRRMQIGVHADGGGFKVLRMPYRGVGGGDTEFAMYIYLPDDRDGLP >Sspon.01G0031920-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:105579305:105581945:-1 gene:Sspon.01G0031920-2B transcript:Sspon.01G0031920-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MERIHDPIAKRDLAPNIDSNSKPSALIGDNQQSNLKESQALENPITELAKRLGIPLPEEVSASFVDEANDKELVELSSRLAGQSLPASDQVASIQVLTEHLLHVICGEPACLTKAHPWSHSSPSVSLLVQVIVASVIAH >Sspon.05G0002090-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:4717866:4718207:1 gene:Sspon.05G0002090-3D transcript:Sspon.05G0002090-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLGSVVRWCGGWRERRARRQKQLRLRRQHSGGTVWLGRRRRSCGRLAVSRLVRWRLVAELLRPIRKALMEMVAGAALAGSGSSSAPGRRQLVTLPQLNFPFVGTLTLPAIA >Sspon.05G0014020-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:49637719:49639492:1 gene:Sspon.05G0014020-4D transcript:Sspon.05G0014020-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIESRQMVRRQLRVLSTLDVARTQLYHFMAIVIAGMGFFTDAYDFFSISLVIDLISYHYYDGQMRSGVKAAISGIALCGAVPGQLVFGWLGDKIGRKRIYGITLVLMVVTSLASGLSFSKCQGKNVVTVLCFFRFWLGVSIGGDYPLSATIMSEYANKRRRGAFIAAGFGNLAAGIVGMVVSAAFMNSRKSNADYVWRIVLMFGAVPATLTYYWRMKMPETARYTALVAKDAKKVASDMSTVLNMHIVPEDEAVDELARHDQYGLFSVEFLRRHGVHLLGTSACWLALDITFYSLNLFMKDFFESVGLLPKLQYGDEPFGRMIHITALHTILTLCFSLPGYFFSVAFVDRIGRVRIQLLGFAMMSAFMLGLAIPRYDYWRRHKYGFAAMYGLTSFFANFGPNTTTFIIPAEIFPARLRSTCHGISGAFGKIGAIIGVFAFVYTGKHNHNRGMLFALNVCNLVGLGFTLLLPESKGKALEEITGEMEEPPQPEQEAAAFAAAEYVHVVPV >Sspon.01G0002940-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:6492472:6493377:-1 gene:Sspon.01G0002940-2B transcript:Sspon.01G0002940-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAAGAPIPPPDGGQGTAAFPIAIVIAIGFMATSLILISYYFLVVRCWLRGGGPGSGLLLHRARRDDRHLVERVSAVFFTDHEAAELPGGLDPDPLGGDARRIDAAGRVGYGSSCRFPTKTGAAAQEPITRSFSMDCFAGGLGRKPHTKEPAAAGSSGEAGPSLAASGGSSSNNVADRGAGETSGRFRRLLSSFGLGRSSRSTVLPIHLDL >Sspon.07G0007160-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7A:18874069:18877021:-1 gene:Sspon.07G0007160-1A transcript:Sspon.07G0007160-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCGTKPKTSDGDDAPPPPVEPQTAAGAAAEGERKDEEVAAPEATSQAVVVAPQNGQDRMLDAPHRRHGTPPLGDPGIQLRTCRLHLCAAGAALLHSAPVRMLSFGGSRSLFFAPHTRLRGHVELRRASGAYYISCRQATRSQGSAHVRVELWCSTAAHLIRSGVERLEADSVANMEPSLSRTQTSSICSCSTRTSTLRRRPILAVRHDASTRSKACHEGVRRCHCLRNLGPLRFGLFCNNWPMEATTTTVEEKEHAEPKEKVEVAKEDADHGKEKETPTTTTEEAAELPASTP >Sspon.05G0023180-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:10975734:10976706:-1 gene:Sspon.05G0023180-2D transcript:Sspon.05G0023180-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPDKGHGHPLPKFGEWDVKNPATSEGFTVIFQKARDGKKTTGPGNVRAGIPPAFRNGGGDGGYRPDFKYGDSSQYTPPKRIKKKWAFCGC >Sspon.06G0012040-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:64667613:64671721:1 gene:Sspon.06G0012040-1A transcript:Sspon.06G0012040-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMVETLDVSPDDANGSAAVQTEPSCHEDAAIEDMESFLDEVDEVNDKFHVFGVVTGAIIKEILSDVQQEAASQIALKDAEIASLNQKLQQLGNGSLSLYEGRDKRHDEFYSLRQQLDSISKSLLNSEWGLSGSQHNFEDSENVSKQQDKEKSSRNGVAKTIHPGDSKEEVFGDPKLLDHMDKVTLIAHFNKSMNEMKRQHDSVLYQRTEEIFKLKREILKKDGSNPFHLRNNKELEQTRKKIEEVISKLDVLLLENKRTSVRIKSNAIPGQQDKNHVLDSEIQQLESGASNNEEDHCSIPTHSSHFASREADHELNIIRLESDVEDARIAATFREEIERIIIKEFISEINIELHGYEIEGDMKQEVCSIIQNEAIAKSMSNIDSLLSKYEEKKSHAEEESLHKQKIEKLKLIVDSFTELVSEKEKFISQTGLEAMQTRVESMCHGLDLLRDKVAKQDSYLSEKNREFGVIMGRLEQAQQHVQHNDVTLVELNDRLRTISDSLKDLEKQNQALHDVIEEKEKMLTSAVSKDKDMREFMDNIVKSIRDFEIVMMDQQAVVANRVQQNESRFCFLKEQCKHLAKEGLLLRKKALRYKEISETRGSNLQKAELEVDLLGDEVEALTDLLAKIYTALDHYSPVLQHYTGVMETLNMIKKHISMAK >Sspon.07G0015250-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7B:58763188:58764357:-1 gene:Sspon.07G0015250-2B transcript:Sspon.07G0015250-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDHPHHQSMATPAAASMCGSKSKTKLQGGGERSSRGSGGGNSPPAAMRKGPWTEEEDAQLVWFVRLFGERRWDFLAKVSGLRRTGKSCRLRWVNYLHPGLRRGRITADEERLILQLHAQWGSRWSRIARSLPGRTDNEIKNFWRTRARKQKAAAAQQQGSRSSKTASASAFSGSSSSVTATTSSCSGSPSPSSGGCGTATTSSSAVTESALRQSSGGCDSGDDDAEFDEASTTTAASQHQHHHHHHHHQQQQQQQECYASDHFWNDIAAAEAASYMLIDGWAGAGPGPGQPAAGVEPPSSPMWEYCSDYSLWRIDDDEYYKQMLDSS >Sspon.04G0027360-3D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4D:62609970:62617657:-1 gene:Sspon.04G0027360-3D transcript:Sspon.04G0027360-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASNGGAPLVVFDFDKTIVDCDSDNWVVDALGETSRFDELLRHLPWNHAIDAMMGELHAEGKTAEDIRGTLRAAPLSPHVVAAIKTAYALGCELRILSDANAFFIDAILAHHGLAAYFSGTDTNPAHVDAAGRLRIRPYHEFGAGAHGHGCALPTCPPSMCKAIRSARAVASGSTTAPPPLHSRKPVVGQRRWRPEGRRDLLHRDIYAMDASGSAPSNDVELVPPPAHRSPPPPRDRARAPLREPPRNRARAPRSRPLDGGALIHRRARAPARGLGAAPSSSPAAARSSVSILRFRAVRDKKESKREEDGENDLGFPPPAGSHDQALNAMHFISAIPKLTGQNYVLWREELDAALALAEIDLALQEPKPTEPEEPERAQNETDEAFANRKRDYAPIRAKYDLEKYKWEKSNRKCKIVIKKTITEGLRGAIPECETAKEYLEKVKNQFTGSTKAHASTLIQKLTNMKFTGGSVREHILSMSTMAAKLDKLKMPLADGFIIHLALNSLPKEYETFVVNYNTQPEDWDLEKVIAMCVQEEERLKNANGGSVNFVKGKNKKPFYNKKAPDASTSHNKGGNSSQHKAQPKQDNQQKQEDPDRCRWCNETGHWKHDCPKFMRHCLVKGEDIITFVDESLYLSYARSTWWIDSGATVHVANSLQGFNGGRTLQRGERQIKVATGVEAEVRAIGNLSLDLASGFTLQLHDENGIVAQYSMPGDPQQNGVAERRNRTLMDMVRSMMSYSTLPLSLWMEALKTAIHILNRVPSKSVLKTPYELWTGRKPSVNHLRVWGSPAEAKVFNPNIGKLDPKTVSCHFIGYPEKSKGYRFYCPGRHTKFVETRHASFLEDQMIRGSKTAREITLEEKRVFVPIPMVQEPYFTLPVVVGPTQVVTTPAVISPTANSEPVLQEPNEPIVDEQQPQQDQPQEQEMPVAEPSGRPQRARKSPIPDDYIVYECEEVQMEDEPTSFEEAMRSTEASKWQEAMEDELKSMSTNKVWDLEEIPEGAKTVGCKWVYKVKRDSKGNIERYKARLVAKGFTQREGIDYNETFSPVSSKDSFRIIMALVAHFDLELHQMDVKTAFLNGDLEERVYMAQPKGFAVTGKERMGCRLKKSIYGLKQASRQWYLKFDKTIRKFGFKENVEDNCVYAKFKNGKYIFLVLYQSNPGMEHWNLVKKVLRYLQGTKGLMLTYRRSDDLQIVGYSDSDYAGDDRKSTSGYIFTLAQGAISWKSSKQTIVTASSTMYAEFIACYEASGQVNWLKKFIPSLKVVDSIDKPLKLYCDNEPAVLYAHNNKSSNAAKHIDIKYYVVKDKIRDHWRREAPPRRHLSTPVSPSSVNVGGGPKEDAIYFTATSTPWTPPDPLPPMTGKVMERILRQEEEAAAAAASAAGTAKTRRRRAVVYLGDGRGDYCPSLKLREGDYVMPRAGHPVCDLIAASPPAAAVRGWAGFEDLARVLLGIVDGEIASAAADAEEDAGAGVGVGVVVVPADCRAPLPLPLPARQEALMPQA >Sspon.01G0015050-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:43065439:43069293:1 gene:Sspon.01G0015050-1A transcript:Sspon.01G0015050-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase BSK2 [Source:Projected from Arabidopsis thaliana (AT5G46570) UniProtKB/Swiss-Prot;Acc:Q9LS26] MGCFQSKVAGPLPPKDAAALPADKPADPEAANGGADGGGDGDDKEAVKRAVPVFREFALAELRAATKGFSADLIVSESGEKAPNAVYRGRLDGGRLIAVKRFSRLSWPDPQQFLPLPWEMRLRVAYFIAQALDHCNAENRKIYHDLNAYRVLFDEEGDPRLSSFGLMKNSRDGKSYSTNLAYTPPEFLRTGRVIPESVIYSYGTVLLDLLSGKHIPPSHALDLIRGKNILLLMDSSLEGQYANEDASKLVDLASKCLQFESRDRPNIKYLLSSVGPLQKQKEVASHVFMGITKATSVLPTIYSPLGKACAGMDLSAVHDILLKTGYKDDEGAENELSFQEWTQQVQEMLNTKKFGDIAFRDKDFETAIDYYSKLVAMMSTPSATVFARRSFSYLMNGQAELALRDAMQAQVCMPEWPTAFYLQALALSKLGMETDAQDMLNDGATFEAKKQNGWRS >Sspon.02G0008360-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:24600945:24610016:1 gene:Sspon.02G0008360-1A transcript:Sspon.02G0008360-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGAAMADDDDFASPPPAPRARAEGGGGGVYQVGGVPVEFPYKPYGTQLAFMGRVIATLDRARRQGRSHALLESPTGTGKSLSLLCSALAWQRHYPLRSPPAPAPASDPFLHGGGFVPGDTQPQETPVVPEKAVKKKNAPTIYYATRTHAQISQVVREYRKTSYRVRMAILASRKHYCVNQVACASDNIDEQCKSLLDKTVQGCPEFKNAQKLSRHPSLQIGGCYEVHDIEDLVRVGQKAKGCPYFAAQHMAEAAQLVFCPYNYLISPVVRRAMDIDISGSIIILDEAHNIEDIARDAGSVDIDEESLHLLQGELQNLATDEAVAMIYQPLHDIIQGLIGWIAEREDNLHNHEFGHPASYWTGEKAMNELQRAGISPMYFPVLQECATKAIKTASDTESDGSHLSGGRAMTLESLFSSLSYFFGQKGHNSCDYQLALQRFVKKEGNDEISSRCTMSLWCLNPAVVFQEIADRTLSVILTSGTLSPMGSFTSELGVQFEACMEAPHVINADSQVFAAVLSSGPTRQILNASYKTADNSSFQDELGASLEEICRIVPGGALVFFPSYKLLDKLQVRWSQTGQWARLNAQKHVFVEPKGSSEELDPVLKGYYDAILGKAPVKKGRGGSKQIVKNRVTKKSSQESAKAGAALLAVCHTNIDSTWIRNDVQVKLKKRYNDSYKSSKHLLSGSEWYCHQAFRALNQAAGRCIRHKSDYGGIILIDERYQEGRNVAYISKWLRNAIKKYDSFQETMAELQKFFQNAEEKIKLKDCGIFPKVKLDSEALQSLSDDKRKLPWPELSSSNHSVSQCNQKVKTECFSQRVRNTDGVAVDQKVMGMCYTSPEVSKISLRSSLLVKKESSSSPESLPMAYARPPCKIQSDFEGVANTGANYGVKTEVINLEEDFKPRYAEITILNPLEDRSRQPTLVEETSAATPVASPSNYSEVNTSVRINNGDQIVDMPIFSTNRNLSSLSTPAATPEKTTDKDCHESFINRSVNSHCEKKRRLTSPMSCCTYTMRSSSPSKSFGHTGSAMPGDVNRNSELCCKNIGLSRCENVKFERNYKPEEVSAKKSMQKKLLINCIRCKTALGLEEDGFLVTCTRSSSSKLYLAYLLRHGLSTVGFPEDDFSASTPAEVQVVQCEASSLNQNIFGKFSSQGHVWSAKDGCVYNAMTCPFCSSENTSAMVLGVQVLATDKPNQQLVEKVLLFSDRLDVQSEPSKGQISRTRRDASNSVSLQSVIDLESFAYKPSKKDPVALNSRRSKVSCLLPLLLSFAVLLVITFPEFQV >Sspon.05G0014880-3D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5D:53757314:53765575:1 gene:Sspon.05G0014880-3D transcript:Sspon.05G0014880-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKASSLSSLALLFTHKYGNDKRLSGYCSKDDVVKPSKLKPRLRTSCPSLALGSHQQLQSFQQQYWETAQFPFHLIPKLSSLGFLGGIIKVGQRNLRLGLHISPFFQGHGCPGLSETAYAVCISEVARVDASIASFCLVQSCLAMLCIAAQMGSEAQKDKYLPSLSKQQKVCAYALTEPDYGSDASSLNTLATKVPGGWVLNGRKRWPGNSSFADVLVVLARNTSQGRSQEKWSPGSESEAEDGPDCWAVNLLGSYKFSTGVRRGEKLLATGGYGKVVGVSRPQRVHVASPLIPAPISFIVNGGSSGLKINKIENKVSMRMVQNCDIQLEDVFVPDDDRLPGANSFQDLVDVPWKEEAVWCATGHVPAEPREARQDVGRHPSHVSWEFLLQLLAFLGFPGRWTEWVALLLSTASTRVLMNGRPGRKIWHARGLRQGDPLSPMLFVLVMEVVNAMILAADTRGVFQPLPTTVIRSRASLYADDLVIFLAPVARDLRCLRAILSLFADAAGLVTNMEKCSISPIRCSEEEVATTLESFPGRLVPFPCTYLGIPLTLRRPSHAEEQPLIDKIAARIPTWKAGMLNYAGRTTLTKTTLSAIPVHVSIASGLSAWALRQIDKLRRSFLWAGTNNVASGKCRVAWRIVNAPTCYGGLGVRDLRVAGFAMRLRWEWLRRTRPDLSWCALPRKPERAVQSMFQASITVTIGDGHSAKFWTDPWLPDGPICRFAPHLFNAIPKRRRGKSVRDAITNRSWVRDIRGALVAHVLCDYVLVWHKVDGVLFDDLTSDRFIWKWAADGSYSASSAYRAFFVGMTTLRGASELWAARAPAKCKFFFWLLLHDRLWTAARRKRRGLQDDDGCALCDQEQETAAHLVGECVYAREVWFRVLGRLRAPGLTPQPGDLFLDWWLQCRTSLPSAWRAGFDSLVILVAWCLWNDRNQRTFHNARRTPLQLVGAIAEEAERWETVALGRELLGGNGIVTDFHVGKTVYTYEGSYDVNALIVAREITGISSIRPTSRL >Sspon.05G0038510-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:5D:47755581:47755904:1 gene:Sspon.05G0038510-1D transcript:Sspon.05G0038510-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIPHDPSPPGGQLHSLSCSLSLPYLSLVLMFLTTKICQTKEDERAGEEWGDDQGDHHQRGLEDPRKTDIHSCLALSLEELTLPCKRDLKENPRRRQRTNLEEQIQP >Sspon.03G0004610-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:11720504:11723522:-1 gene:Sspon.03G0004610-1A transcript:Sspon.03G0004610-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ETSVKDYQNFNFRQPYSQASYYVSLILEDKKWPVAEKLEALSKLESDSFAKFVPHLLSKTFLECYVQGNIEPSEAKSVVEEIENTIFNAPNSLFKSMSPSEYLTKRVIMLENELKCYYQTEGLNQKNENSSVIQYIQVHQDDAILNIKLELFSLIARQPAFNQLRTVEQLGYITSLSLRFDYGVLALQVVIQSTVKDPSYLDDRVDEFFKMFESKIYELSDKDFKRNVKSLIDSKLEKFKNLWEESHFYWREIGAGTLKFDRVESEVALLRELKKEEFIEYFDQYIKVDAPQRRTLSVQVFGGNHSAEFKKAIAQADPPKMYRITDIFGFKRSRPLYSSLKGGPGRITMD >Sspon.01G0001200-1P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1D:39814362:39814655:1 gene:Sspon.01G0001200-1P transcript:Sspon.01G0001200-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKVTVTGYVDRARVLQEVRRSGKKAEFWPSRGTPLWFTSPRSYFRDDGGSYRRDSYNYRRHGYSDGDRHGRMREPARGDGPVGNMFNDDDVNAACRI >Sspon.02G0004530-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:14329347:14335485:1 gene:Sspon.02G0004530-1A transcript:Sspon.02G0004530-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASSRRASQLLGSAASRLLHARGFAAAAAAAPSPVVFVDKSTRVICQGITGKNGTFHTEQAIEYGTNMVGGVTPKKGGTEHLGLPVFNSVAEAKAETKANASVIYVPPPFAAAAIMEAMEAELDLVVCITEGIPQHDMVKVKAALNRQSKTRLIGPNCPGIIKPGECKIGIMPGYIHKPGRIGIVSRSGTLTYEAVFQTTAVGLGQSTCVGIGGDPFNGTNFVDCLEKFVDDPQTEGIVLIGEIGGTAEEDAATLIQESKTQKPVVAFIAGLTAPPGRRMGHAGAIVAGGKGTAQDKIKALREAGVTVVESPAKIGSTMFEIFKQRGMNHPGDFQRPYLPRISY >Sspon.01G0023860-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1A:85609710:85611211:-1 gene:Sspon.01G0023860-1A transcript:Sspon.01G0023860-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GLIVLRDHTNRVVVWPPPCTGGNKACGVSARSLTMKTAASDPGELARRHNGDPLARCPRPPSFLLRQGLFGSNPGTKLPGRRGSWNLPVTRLVEGLRYCQVQATLSRPRRAKEVAWLGATLPEAFNRD >Sspon.03G0021520-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:52106797:52111516:1 gene:Sspon.03G0021520-4D transcript:Sspon.03G0021520-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSIYRFLCGLCAPSSSEHGLHGAHPAVAALGRDILSFQSTSQVPDELSRHVVSSKKAQANWYKKLLVAWKKARPPPKTPEEAAAFVVQTLKNHQKADVEGLLSFYGLPHPNAAAGAPAAPPPPKKPQGAKFELHTLPIDPKSVADGDTVNVYVDTADPREAGSVPREVQKAAAERAKARAAKNYQKADALQKIIVDAGYRPVPNARGEEVLAKKYRIRLRGIDAPESAMPYGKEAKEALLKLVQGKSLKEQMLKKGFAWHYTAYDQRPELAKWEKQAQTGRKGLWASSKPQKPWEWRKDKRNGTA >Sspon.01G0006350-3D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:558202:562943:-1 gene:Sspon.01G0006350-3D transcript:Sspon.01G0006350-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKVYAVNSPHKKRKSQYELSDPKLLPLKCKFRKRLSCHDDESATTESLGYDCIFMNKTSRTDMVSIPEELDSCENTISLFGGCIEVDSKNGIQEQSLRKMFEVRTSASSSSSNNFSSEAFSSSHSSGTRETDSWVMHDIEHHHPDVMLKPHNDDLERIYNVLEQYDGLMEDELMAGDVFGSAAQIMDEKLYLNGVDDFQILPTGQTGYHSRADEQSSRPGTQSAAALQLPTPLHGQ >Sspon.03G0036860-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:94849648:94850028:1 gene:Sspon.03G0036860-1B transcript:Sspon.03G0036860-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLIGWCLHEVHLKASYVTYRTLDGTIFVAARDKDVKDFNTVPKVTIMIMSPKAASLGLNMVVVCHVLMLYLWWNPTTEDQAMDRAHRISQTWAVMVSKLTIKDTMEAKMYSGSPGEKVGDGCFYV >Sspon.07G0000650-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:894261:895994:1 gene:Sspon.07G0000650-2C transcript:Sspon.07G0000650-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNPDDVRNVVGIIGNFISFGLFLSPLPTFLTIIRKRDVEEFVPDPYLATFLNCALWVFYGLPVVHPNSILVATINGTGLAIEAAYLSIFFAFAPRPKRAKMLGVLAVELAFIVAVVAGVVLGAHTHEKRSLVVGCLCVFFGTLMYASPLTVMKKVIVTQSVEYMPFTLSFVSFLNGICWTTYALIRFDIFITIPNGMGTLLGLVQLIIYFCYYGSTPKSSGTTAGMELPVKAGDGDNN >Sspon.01G0010410-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:29149424:29152334:-1 gene:Sspon.01G0010410-1A transcript:Sspon.01G0010410-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRLIPSLNRVLVEKLLQPKKSAGGILLPETTKQLNAAKVIAVGPGDRDRDGKLIPVSLSEGDTVLLPEYGGTEVKLAEKEEHDILGKLEE >Sspon.06G0024910-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:59303447:59308931:-1 gene:Sspon.06G0024910-2C transcript:Sspon.06G0024910-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPTSNAGFLVHLPPPIPLRLLLRDSCEMPPEHGMPTRTAADAVVNRRPRRLFGRSSERKNPLNLQFERQVARLEARQQQQRCIIMTVIPFNFCYDFKSPSLTESASDDPSSQPTSPEESPETSSLSPSSPSILFLHLNAQDGSRRRWLDNSSRLLEDKPTTSNSMSDSDFLVNSFTKPSDNARHSSRRKSKRKSKKHRQRCRKPTDGSEAKFRESNGAAPAVDVGDCEDSTLSPKHVGGIRFEETSPSSSVKEASEEAPESDNDNEYRCCSGGSVSSASYCDEMELSRSATLCPALFGQCDSSSFRHLDNTQNSVSTGSSQETCYAGSSANCNHDTKALFIFRNERGPDPCEVTEFCSSNSGFDENWLEKSDYDSGICSPKSVGTCGGVQAAHLCSDTSSDNDFCLVISRKRARKEKKMSLWKSYEHASTFTLDRNKKYVGCSSTQMTKEVNSNDWSHRQNHVSRIHPQHGIALKNSTKNFMQRPSNVCRETQYGIPAKDSKLGTNLNHFTGPREKTCGKSTTGFDKAAQQLYLNRELSNALNSRESVRCETRSISSSEPTTPESLKGNCTSESGESTDITIGALPMQKRRLQDSVRTDDASETISGRSSPRSESSTTELMVGRSTVSSIEGSHGCQGLCNSRTHLAQTLRVVNDAYKVQVAADVLLAAGYPISDLETFIYSATPVIGRAPCMISSTCSWDQVVSNSVCQHDISNVSLKRIWEWYEEPGCYGLEVKALSNLSSKTSRNSNSEFFAYFVPYLSAIQLFGWSMNNMNHSFGVQGRELLKASNTSSSMSSHPVNEVHTLFEESNACLPESSLDVEDHGELMFEYFETEQPSFRPPLFEKFCVAWYPVYRVPRGNFRAAFLTYHSLGKLVHQKCSLDTNDEHTRVVSPVVGLQSYNDKGEQWFQLRCPDLKQLPREDSSKADPAEVHKERLRTLKMGALAMARAVVPKGSGESVNHHPDYEFFLSRCT >Sspon.03G0035340-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:77263086:77264223:1 gene:Sspon.03G0035340-2C transcript:Sspon.03G0035340-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSPCCCHDAGVKKGPWTEEEDRALVEHIQRHGGHASSWRNLPKAAGLNRCGKSCRLRWTNYLRPDIKRGNFTADEESLIIRLHAQLGNKWSTIATHLDGRTDNEIKNYWNTHIRKKLLRMGVDPVTHQRLPPDDIASPEAAILSAAASLGGLSTALMQVQALQLLLQAVNGGGSAAAAAGLIPNSLNAAADNAILNASRSIVPSLQDQMNLLVSHANYASSDHLSNMASFAEQQHDVVVKRLNNSSAPVIGGAYAEPLAAALVSAAYPEEVAAAAADRPVQGFAELLTEPIEMPSMCSLEDDEDAFWKDMLLESSSLPL >Sspon.04G0031920-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:20726951:20728414:1 gene:Sspon.04G0031920-2D transcript:Sspon.04G0031920-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AMRHEEQCDTTYGQGNGRGGGEEELKMEGHLLMRMKRRDHLLLTDGGAGAGPLSVQSPQTPMEPMEFLSRSWSVSASEISKVLAAGGVGGRRSSNFVVDRLSGMLMPETLALATASGTNISHRKQTLRSRSTISAHQVHHTVHAIGKWFQHWDASSKVDKARAERARVHAAVSVASVAAAVAAVAAGAATASPQMEDIDGARMESALASATQLLASHCVEVAELAGADHDQVVSAVEAAVDVRSPGDLLTLTAAAATALRGAAAMRHRAQREARSRAAVAPYEKAGGSCRADVWCKEGTLLKRNRKGKQPSLFATLQADDSFGLESESNRTAVSTGALHWKQVAVYINKKSQVIVKLKSKHIGGAFSKKKKGVVYGVYDDIPAWPAHDEGGGVQSSAPETCHFGLRTAQGLLEFQCESRAQRQDWVEAVKNLIRQVAGGTAQLEHSFGSLRLSAS >Sspon.04G0011980-1P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4B:34021377:34022255:1 gene:Sspon.04G0011980-1P transcript:Sspon.04G0011980-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDSVSRAPPAVRSYRRSPPPAPVHHCTAHHHSSALHPPSPSCNARGSGGVRALKPSHGSRRREPRAGCRRPRSPPLPPRRRRGARCLRGRGRVRAVNGDRLRAEQIRKQASDAAASAAALAAASRRLHLDRARHLRLLSSLHRNLTNTLRALSIAADSASDPTSGSAPANNVSSSRQLDLQAKDLIRAARAAIADSKPLFDPQLKIQRLKDTIFAQNELLARAKKRGAFASLIAAKSIPKPLHCLAV >Sspon.03G0019510-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:60796349:60798970:-1 gene:Sspon.03G0019510-1A transcript:Sspon.03G0019510-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SPNQGHGEEGDAASWRLRMDSGGFSVPDRFHRQPPPFYARIFVGGSHGAQRKIAKYYKKQENLLKDFSEMETMNELGGLDPNAAPSEVQQKQLEKSERFAINLSNVINLILFVTKVVASIESVSMAVIASTLDSLLDLLSGFILWFTAYKMKKPNKYNYPIGKRRMQPVVRIVFASVMGTLGFQVLIESGRQLITQVHAKFGLKQELWMVGSMSSVAVVKFFLMLYCRTFKNEIVRAYAQDHFFDVITNSVGLVSALLAVRYKWWMDPVGAILVSLYTITTWARTVLENVGTLIGKSAPAEYLTKLTYLIWNHHVEIQHIDTVRAYTFGTHYFVEVDIVLPGDMPLSQAHDIGESLQEKLEQLPEVERAFVHVDFEFTHRPEHKAEV >Sspon.08G0016320-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:64653220:64656532:-1 gene:Sspon.08G0016320-1A transcript:Sspon.08G0016320-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHIATCVWQEKAAGGMGGAAVGGGEWGAARARAVAALGVAGCALNCAVSFVVFSALDVLDVVLCLVYKLVDYAVDAEWKSCYCAAAASGSAGPRGVQATAAAPAAGPKVVRLSSASASAKLQLEDVSDTLYVRPSLLADGTRTYIHAAPALTVSPAIAELIRGKMDRPRRPPRQAPCWSDCDCKVCHAWSGSPASSSHLYVHVQAPPPASEAPVETEDVVFIHGFISSSVFWTETVFPAFSPSARSRYRMFAVDLLGFGRSPKPAESLYTLREHVEMIERSVLRRYRLGSFHVVAHSLGSVLALALAVRHPAAVKSLTLLAPPYFPVPESVSAAGAGAAAQYVMRKVAPRRVWPPIAFGGSMACWYEHVSRTICLTICRHHRVWDRLFRIFTRNRVRTFLIEAFMCHTHNAAWHTLHNIICGSAARMDAYLDVVAHQLSCKVALFHGRDDELLPVDCTLAAGARVPRARVTVYDRKDHITIVVGQEKLFAAELEAIWRAD >Sspon.02G0031860-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:89133833:89138025:1 gene:Sspon.02G0031860-4D transcript:Sspon.02G0031860-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHIVGYPRMGPKRELKFALESFWDGKTTAEDLEKVATDLRASIWKQMADAGIKYIPSNTFSYYDQVLDTTAMLGAVPERYSWTGGEIGFDTYFSMARGNATVPAMEMTKWFDTNYHFIVPELSPNTKFSYASHKAVNEYKEAKALGVDTVPVLIGPVSYLLLSKPAKGVEKGFPLLSLLSSILPVYKEVIAELKAAGASWIQFDEPTLVLDLDSNKLAAFSAAYAELESALSGLNVLVETYFADVPAESYKTLTSLSGVTAYGFDLVRGTQTLGLVTSAGFPAGKYLFAGVVDGRNIWADDLATSLSTLQSLEAVVGKDKLVVSTSCSLMHTAVDLVNETKLDSEIKSWLAFAAQKVVEVDALAKALAGQKDEAYFAANAAAQASRKSSPRVTNEEVQKAAAALKGSDHRRATTVSARLDAQQKELNLPVLPTTTIGSFPQTVELRRVRREYKAKKISEEEYVSAIKEEISKVVKLQEELDIDVLVHGEPERNDMVEYFGEQLSGFAFTANGWVQSYGSRCVKPPIIYGDVSRPNPMTVFWSKTAQSMTSRPMKGMLTGPVTILNWSFVRNDQPRFETCYQIALAIKKEVEDLEAAGIKVIQIDEAALREGLPLRKAEHAFYLDWAVHSFRITNCEIQDTTQIHTHMCYSNFNDIIHSIIDMDADVITIENSRSDEKLLSVFREGVKYGAGIGPGVYDIHSPRIPSTEEIADRVKKMLAVLDTNILWVNPDCGLKTRKYTEVKPALTNMVSAAKLIRAELASAK >Sspon.02G0007440-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:15571745:15573667:-1 gene:Sspon.02G0007440-4D transcript:Sspon.02G0007440-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGATMHLTGVLGVSSPPLAPSHCSCSGAKKQRSSLRPPRQQQQRRRLRVARAVEVGAPSAGAPEPEQVEAPSIDFAFVSPRLLPDGTPDVHYRTAQGGQKLMDIMLDGYIDLYGPYDKVLLNCAGGGVCGTCLVEVVEGKEMLSPKTEVEKELLKRKPKTWRLACQATVGNADSTGQMIIQQLPEWKVHEWDK >Sspon.01G0004650-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1A:12416424:12416612:-1 gene:Sspon.01G0004650-1A transcript:Sspon.01G0004650-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCSEECSGQLLMRVRLQVRKLESQGVPTKQAEAITSAITEVLNDNLESISEPFVSKAEMQR >Sspon.01G0062190-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:101681321:101681506:1 gene:Sspon.01G0062190-1D transcript:Sspon.01G0062190-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFVLTRGPPTPSRRRCHARALISWPIPQVWCVHKQTSLAADVLMSFTLFFFLILMMCICA >Sspon.03G0035080-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3B:78521885:78522208:1 gene:Sspon.03G0035080-1B transcript:Sspon.03G0035080-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSFVYVSATSSATQFMSSDLGAMTYDAETCYLGAGYLGSGEGRSSPRTPPDEDTSVWYNSYRSDSLFSYKS >Sspon.02G0054440-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2C:113819840:113820070:-1 gene:Sspon.02G0054440-1C transcript:Sspon.02G0054440-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKICLDEKWKLSSSSKKGSRRSAAVAPATCEASPRGLKGRTSSRGPATRSAVPGRLASLVKEQRARFYIMRRCVTML >Sspon.04G0025440-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:30415149:30421116:-1 gene:Sspon.04G0025440-2C transcript:Sspon.04G0025440-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIAWGKNSKVKKQSVVVSTIPGLPFGVESDNDEAEREEKADENTNCPGTKPVETADLLQSQGDKLAEEGKYHEALAKWEAALILTPDDAILHEQKAQVLLEVGDAWRALTAATRATELDPFWPEAWVTLGRAQLNFGEPDSAILTFDKALAIKPDYDDAKADRETAARLVKKRGQLHTSGLSANKRRFTVGEYPEKGAEGEEKGKETAV >Sspon.02G0005500-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:16875632:16883735:1 gene:Sspon.02G0005500-2B transcript:Sspon.02G0005500-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQRGDRGEGHARRPGRSSSFGGHRGGGVGGAGKGGAGSSGQPPLSSNRSFRKPGNGHGGHQRVVNQPDTTGFQPAPAPGPHQTPARPPPAPQNAAAHVPVSAPRPQHQEPPNPPLPKATHAAPRAPPKSSNPPLPQGGSKGEPSKGFNFQFGSINMNGLPQFPARTSSAPPNLDEQKRNQALVEELKVTPAPVQPAPKQQLLQQHPQPQQKQQQQLSHQPQQVPQQPQQQLTRKEAVGSSQPNSINPHIASQLKREVHPSPSVPNVTSLRPTVQPMPGVQMPIPFHHPSAQVSMQFGGHGAQLQPQVVPSSFQMSIGLTGSSTPQVPQQLYAPPLQHHQLQQQAMMHPGPGMGYVPSVAHQFPQLGNIPMSMASQYPQQQPNKHAATRKTTVKITHPDTHEELKLDKRMDSSGQRAAPSLTQQSQPVGSYAPHMGFFHQQPNSYNQSGMYYSTPAGVNQVATGSSGPRFNYPVTQSGQAMTYISPSAAPPVSGQSQYTVKPHPVGLLPEKSGTHVTISAPPAKSEPPKLRAAEDAASSRQKDNEVVSGTIVSSKSTLEKEERNDPLVTVKHSTVISPSLPTHGAKPQTSVTASPVANSVSHVAGADGKSKESIQRTGSFKDNKKIVTKKDVRNSSEPPNLASSVEDKVQTAKVGDGDHQETKNLNNELDLTSSSSGSTVPLAARRIIPGAGTANADSTAVTAADVPGTDNSSRSPSSEGTGEPGEVESFAVSAVECDESKRTDEVTTDPSQDNISSDATKHGLPEACAVDPTEQASAVTSNTDDSYAAPLVTNQEQLLKESAPSASEEQAMMNNSSKNSETSVHFLDGNAVDVTASGTSEPTVQSSIDEGDLDISPESDLAVCNVPLVSSEGQPKSESRADDQQAAAPTVSVRPVSREKPSVEIISRTKSTAGKKKKKKEMLAKADAAGSSDLYNAYKGPEEKSEIVGTVEGADSSSTVDTTHVLPDEPERDVSTAADDCKKKVEPDDWEDAADMSTQSCHQILETRLASPKC >Sspon.08G0014070-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:55873314:55875426:1 gene:Sspon.08G0014070-3D transcript:Sspon.08G0014070-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to PIN1-like auxin transport protein [Source: Projected from Oryza sativa (Os05g0576900)] MISWHDLYTVLCAVVPLYVAMVLAYGSVRWWGVLTPDQCSGINRFVAVFAVPLLSFHCISTSDPYVMNLRFIAADTLQKVLVLAALAVWSYLPTARGCGGTNKREPFDWSITLFSLSTLPNTLIMGIPLLVAMYGQYSGDLLVQVVVLQCIVWYTLLLVLFEFRAARVLIAGQFPAGTAVACIADVRVDPDVVSLAGSQAEAQAEVAPDGRMRLVVRRSTSASRRSLAGAAAATPRPSNLTGVEIYSVSSSRNATPRGSSGIAQADIGWAAAAPPHGASSLRMSSFGAADLFSLHPTPRPSNFDEQGVRARSAATVAPSNDPRDVHMIEWSSGASATSEVRGLPVFHGGDLHRGMDSRRLVPSEGPPRAMRPGERVVTAFPADAGQDALAKLESGSTEEERKDAKQDAGGAGGQQTAAPAGVMMRLIVTMVWRRLIRNPNTFHVAMPALVKNSISILSDAGLGMAMFSLGLFMAVQPKIIACGNKVAALTMAIRFLFGPAVMAATSAAVGLRGTLLRVAIVQAALPQGIVPFVFAKEYNLHAAVLCT >Sspon.07G0007050-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:16666891:16670417:-1 gene:Sspon.07G0007050-2B transcript:Sspon.07G0007050-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADEDIQPIVCDNGTGMVKAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQAKRGILTLKYPIEHGIVNNWDDMEKIWHHTFYNELRVAPEDHPVLLTEAPLNPKANREKMTQIMFETFECPAMYVAIQAVLSLYASGRTTGIVMDSGDGVSHTVPIYEGYTLPHAILRLDLAGRDLTDHLMKILTERGYSLTTSAEREIVRDIKEKLAYVALDYEQELETARSSSSIEKSYEMPDGQVITIGSERFRCPEVLFQPSLVGMESPGIHEATYNSIMKCDVDIRKDLYGNVVLSGGSTMFPGIADRMSKEITSLAPSSMKVKVIAPPERKYSVWIGGSILASLSTFQQMWISKGEYDETGPGIVHMKCF >Sspon.02G0000810-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:2771209:2771844:1 gene:Sspon.02G0000810-1A transcript:Sspon.02G0000810-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEIKLRLPDAAAHRRLAAFLAPRLRRTHAQRNLFFDAAARTLAAATAALRVRLYDGPDDRAVLALKRRPRIDAGVSRVEEVEEPLDPALALACADDPARLGGLDSPIIRLVADEYGVGGDAAPFICLGGFRNTRAVYDYELEDGSGGGLVLELDETRFDFGTSYELECETAEADRVKEVLERLLTVAGVPYKYSRSNKFACFMAGKLLP >Sspon.04G0032090-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:21251578:21252451:1 gene:Sspon.04G0032090-1C transcript:Sspon.04G0032090-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAASSSPPAAITCRAAVAWGPGQPLVMEEVEVAPPGPMEIRVKVVSTSICRSDVTAWQSKAQPDLFPRVFGHEASGVVESVGEGVTEFQVGDHVLTVFIGECKSCKHCVSGKSNMCQKLGLERKGVMHSDQKTRFSVKGKPVYHYCAVSSFSEYTVVH >Sspon.03G0012790-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:35450209:35454891:-1 gene:Sspon.03G0012790-1A transcript:Sspon.03G0012790-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEVWCGLDLQLQAGDIDGLHPAASDLHGHAHDDPFWPALAECAASFLAGDDTASCFGVADIDLTAAAASANDAASASKAADYGMDTSGFFADDNDDHRRLTTMRQDEFEQQQPVYSSSSLSSKRSLSIDSGGSSSTFFPLDDAAAALPAAAIFSPPHPAPLPPAPLQPEQDPFAGDDEAIMLAMMAVLSSASPSSSESSSPTHGAAVVHVQPRLHLHGGDSASHVTVRSSSLAAVAPERTTSAAARQQQDSNNSSQVYHMMSERKRREKLNDSFHTLRSLLPPCSKKDKTTVLMNAASYLKTLEAQVSELEEKNTKLERYVPRDDGATGTTTAAHRRAKVHIARAASDEQQVSLTVMVMVECDIVDLVLHVLERLRWMSGVSVLSVDADTYSPQALLKALANIKLHIKDGDCWNEALFHEAMTKAVHDATSSPSSPSCAAVGPLVAAT >Sspon.01G0017520-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:65841126:65842948:1 gene:Sspon.01G0017520-2C transcript:Sspon.01G0017520-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At2g22410, mitochondrial [Source:Projected from Arabidopsis thaliana (AT2G22410) UniProtKB/Swiss-Prot;Acc:Q9SJZ3] LHLVDRHCVPLYRALLRSGSARPDHLTFPFLLKACARLQYRSYGAAVLGHVQKLGFSADVFVVNAALHFWSVCGSMVLARRLFDESLARDVVSWNTLIGGYVRSGLPREALELFWRLAEDDKAVRPDEVTMIGAVSGCAQMGDLELGKRLHEFVDSKGVRCTVRLMNAVMDMYVKCGSLELAKSVFERIDNRTVVSWTTMIVGHARLGLMEDARMLFDEMPERDVFPWNALMAGYVQNKQGKEAIVLFHEMQESKVDPNEITMVNLLSACSQLGALEMGMWVHHYIDRHQLDLSVVLGTSLVDMYAKCGNIKKAICVFSEIPDKNALTWTAMICGLANHGHADEAIEYFQRMIDLGLQPDEITFIGVLSACCHAGLVKEGRQFFSLMHEKYHLERKMKHYSCMIDLLGRAGHLDEAEQLVNTMPMDPDAVVWGALFFACRMHGNITLGEKAAMKLVELDPSDSGIYVLLANMYAEANMRKKADKVRVMMRHLGVEKVPGCSCIELNGNKPLTAINHVMKFLSVMPKGIPEIR >Sspon.02G0016280-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:48641585:48642460:1 gene:Sspon.02G0016280-2C transcript:Sspon.02G0016280-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LVARTRRAAEAGEAVQLKELLYGYTNSVVTRAAAGTAGTTAERLRQLLSHNTTLLAGFQADDVLPDAAARVFRWATGLEKKIDDMNAGWDRFLSEIVAEHKKKTRPAGQGQGGDFLDVLLQLREEGGLEGFELTDDDAIKAIAKDMIAAATETTAVTLEWAMAELARNPRVMAKLQDEIARVAGNSEQQTDYQLLPFGAGRRICPGISFALAALELALASLLRHFDWELPSGMRPADLDMVEAPGLSTPPRVPIVL >Sspon.07G0023070-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:12564507:12567427:-1 gene:Sspon.07G0023070-1T transcript:Sspon.07G0023070-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSQTGGGRGSDAGSVQRGQMQSLARQGSLYNLTLDEVQNHLGEPLLSMNFDELLKSVFPDGSDPDGAVTGKPDLTSSLQRQGSIMMPPQLSKKTVDEVWKGIQGGPETSVAADGRQRRERQPTLGEMTLEDFLVKAGVVAEGLMKDSADLPSNMDTVGSSVVVAGASSLNPGAQWLQQYQQQALGSQQLSLAGSYMASQLRPQPLSIATGAILDSIYSDGQITSPSFGALSDSQTPGRKRGALGEVVDKVVERRQKRMIKNRESAARSRARKQAYTNELENKVSRLEEENEKLKKQQELDEILSSAPPPEPKYQLRRTGSATF >Sspon.03G0044130-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:89030082:89032864:1 gene:Sspon.03G0044130-1C transcript:Sspon.03G0044130-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLKDLAIQQQQLAAAADENMSNLTSASGDQASVSSHPAPPPAKKKRNLPGNPDPDAEVIALSPRTLMATNRYVCEVCSKGFQRDQNLQLHRRGHNLPWKLKQRNPKEVVRKKVYVCPEPGCVHHDPARALGDLTGIKKHFSRKHGEKKWKCDRCAKRYAVHSDWKAHSKVCGTREYRCDCGTLFSRRDSFITHRAFCDALAEESARAVTAAAAVVAGQHHPGMLFSQAAAAGGGDGSAGLHLPPGVLDPSQTLGGGHGMSLQELCLKREQQQQQQFAPSSWLTAHHQQELELPGAGNSALFGSARPLDQQDYMGSSTPESTAGLSGFVGFSPSAAGGGAASAHMSATALLQKAAQMGATLSRPSNQGQMASTHSSSITNAGTGTANAAAAAASNVPGPGTGAGALGFGAPHHFGAEERTTRADRDGGNAAAGGGNEGLTRDFLGLRAFSHGDILSMAGFDPCMSSASSAAYEQGHHHQSSKQWHV >Sspon.01G0052440-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:21140901:21159515:1 gene:Sspon.01G0052440-2D transcript:Sspon.01G0052440-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTSSSRYAKHRRIGEDEESEEDEEEEAEEELERFDDFTIASSWERFISEIEAICRQWLADGAKILMQKGAEGVPPFENLYMIKRELKHGKRIIAPLSASGVILDDPESTKLLSSVAIALSNCGSNWPAFVPVHDPSRKAYIGIQNLGTVFTRRFEADRIGSQVPIRLMHLEGLHELFLSKFVLSSTDFPARVKVHFSMKLSYRTPEYDHDNETLDSEATEPLTENEVANHPRKLWDDDCPWAEWYSAEDPVKGFELTAIWERGHLKRPLRWLKWKMLRRLMLIAGSFTQLVDDSIGKFVGFASQLHLLVNAYESSVEAQFLEDFVADTSGQDNSKSTATVPPPSVIDRVMKDLFSDETGNYMEAENKYSRAMRGAPADSLFGQFCLHALWFGNCNIRAIAVLWIDFVREIRWCWEESERLPRMKSTSSIDLSSCLIHQKLQMLTICIERKKSLNHEKGAGYKDETSNSTAVNKSRKGSAGVVPKMMLLNTFQEMHAPYTQDAPLMTEDMHEERLHAAEAFGNAIGLSGQLERDILCSDMSAFKAANPDAVFEDFIRWHSPGDWVSEDKTDGNAGWPPKGKLSQRMSEHGNVWRKIWNDAPPLPVSEQKSLLDPVLHYLETLRPQQLLEQMVCTAFKSSADILNKTMYGGFKEMKTKMDQLYATMASTLKSLQGNSDVNDLAGDLKRLCQVFEHIEKLLILAASIHRKLIDAPRLAQAIFYDYFSYYLPKMGTSLESICYEKEFTTKEKVGMYERDAVSNLFPPPTANQSWRKVLSMGNLLNGHEPMQREIIFSVQERISNGYYSSPTPLCTNDEQIQTHRMYISGTSNDLWVALSVTSWD >Sspon.05G0019690-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:5A:84621263:84622618:1 gene:Sspon.05G0019690-1A transcript:Sspon.05G0019690-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVVMFPWFAFGHIVPFTQLARRLLASSSSVRVTFLTAAGNVPRVDAMLSSASSAGGVAAVVPLHLPHVPGLPEGAASMADVSPEGAELLKVALDAARPQVAALLAELRPDAVLLDFATPWAIHDAAALGVKSLLFCVFSGVTCAYLSVNARCPDETTTGAARPSARDLMSAPAGFPGSSPLAADFTYMFTSFCGQPCAADVVAAAIRACDGVVLKTCAEMEGAYIDYYATKLRKPVLLAGPLVPDPPRGELDERWATWLSAFPDGAVVFASFGSETFLSPAAATELLLGLEATGHPFLAVLNSPDGEVVVPPPGFAERVSGRGLVHTGWVQQQHTLRPRSVGCYVTHAGFSSVVEGLVAGCRLVLLPMKTDQFLNAALFARELRVGVEVARRDEDGWFGRQDVCDAIAAAVADGGEGEATKWADFLTDDAVQGRFADEFVRQLRELVSAAS >Sspon.03G0020600-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3B:86515734:86518026:-1 gene:Sspon.03G0020600-2B transcript:Sspon.03G0020600-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFEVQVVESSFVTPTEPAPRTGLWLSSLDLEQANQGHTPTIYLYSSNDVAAAADFFDVVRLKEAMARALVAFYPLAGRLGINNDDGRMEISCNGEGALFVVAQADDLTADDVKKFKPSPELRRLFVPLIEPSSSVILAAVQVTFLKCGGVALGTALHHVAVDALSTFHFLQTWSAFSKHGDRATVELPCHDRTLLRARSPPTVHPDALLTFYPKHAFSDPLALGPLAIQVLTISKDQIASLKHLCGGGTTSTFCAVSALLWQCTCVARRLPPDSQARLAFPADLRHRIRPPLPSRYFGNAVFRLCVTGVARDIATEALGSVAGRIRGSVDRMDDEMVRSAIDYFEMAEMDSRPPRGTLPQTDLHISSWLGRPQYDADFGWGKPELMSLAENHRGGFVFLMHDDDGAGSSGDVRLLMCMEA >Sspon.04G0027830-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4B:62117665:62118104:1 gene:Sspon.04G0027830-1B transcript:Sspon.04G0027830-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SRPAAQLPPLPQSPPLPLPVRPCPPPSPLPAPPPCITSRQPLLLLLNRVRAPPLRKSDWAATISPLPSSLPPFYAPRIPASTGARATVTATCAAFSLHLQVHRGRTAAPCDCSAGSRSYAYSARSALKTVAGVVSLGQQLQEAHI >Sspon.06G0003860-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6B:9862863:9863564:1 gene:Sspon.06G0003860-2B transcript:Sspon.06G0003860-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRGGGRRLPKPSLAPSTASEATPALDASVIRNLDSAFSRRDSDAASLCSSRPASTAGAGVGAAPNFSDRPTQVAALRVVNGFLAPAVTLRGPLPVARDIQAALRLLVDRLQLARNDATFEDDLIQDLRILGDDLDAQGDPSNDLLLYITQCYSHFLLGDDETVADLDEQCASKARMTGEASVATVRALEKEAEELETE >Sspon.03G0011230-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:30867840:30872255:-1 gene:Sspon.03G0011230-1P transcript:Sspon.03G0011230-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEQAAIQVVVPRVKLGAQGLEVSKLGLGCFGLTGAYGSALDDEAAAAVIVHAFRRGVTFFDTSDIYGPLTNEILLGKLLQAWLLLQALKQLPREQVQVATKFGLTRDASGAWTVCGRPEYVRACCEASLRRLGVDCIDLYYLHRVDTSIPIEDTIGELKKLVEEGKVKYIGLSEASPDTIRRAHAVHPITAVQMEWSLWSRDIEPEIVPLCSPIGKGFFGGRGVTEQVSAASNLQRHPRFSAENLEKNKQIYLKMEELAKKHRCSPAQLALAWVLHQGDDVVPIPGTTKIKNLDANIDSLKVKLTDDDLKEISSEIREEDVAGARQNTSFGPTNWNYADTPRK >Sspon.02G0048950-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:22925984:22930393:1 gene:Sspon.02G0048950-2D transcript:Sspon.02G0048950-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSVPRHAPRLAAICAVDHPTDGLRSRRRPRGARVPVWVRHGRASAINSRPTSPASFLSQYSTRRAVPSPAPSLSFLDRHATQRIGSSPRKAAALRLRATKGGRGDEDAVEGVLNRGLLPPALLLLRRPHDEGAAVLAAKRAEAMAREATAVWNRHPLGHATKDTVWSGSWRFLCNMTSVSSSLQIELVIGSEKDKDRIPGIDITLKEGDSWMFAGHQVLVLETPGHTSGHVCYYFAGSGAIFTGDTLFNLSCGKLFEGTPQQMYSSLQKITALPDDTKVYCGHEYTLSNSKFALSVEPGNKALQEYAANAAELRNKNIPTVPTTIGREKECNPFLRTSNPEIKRTLSIPDHFDEDRVLEVVRRAKDNF >Sspon.06G0024270-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:52562750:52567220:1 gene:Sspon.06G0024270-1B transcript:Sspon.06G0024270-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGGGGALTPTSTPSSPSSSSEPLPPEFAEYVAVSPVSDDDESDECCVCDDDEVDAIRYRQLREAKEVRFNRQLREAKEVRFNRQLREVKEIIRRYKPGDWVEGVGGAKAGHFLLPEITTLLLVGPRGSGKSTLVNRITRVFDKDDDPFAPARALVSCNSKSNGTIFLREYPIPRNSSAICVYDTRGWSNDPEKNFKMLHQWMTKGISHGETTMWDNDEGNKTGDIKPLGRQYRFLRYITRKVNFVIFVVDGVAVLESIDSNNKGYTEILHQTFMYPFLSIGDDKPVVVVTHGDRLSIQQRAHVQKELAELLNIPAQQIYDIPGSDDYQTDMAVLDMLHYCVRHAEQNLPVKLNYHLEVLGRETLNKMAEQLMGLRCSH >Sspon.02G0036020-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:17464767:17470258:-1 gene:Sspon.02G0036020-1T transcript:Sspon.02G0036020-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGSRVQDEVEMQRRPNNRIFPDERQNQPKPLYQTARADRFGANRIDVKNPEKLKVLNEGNKPWHQRILDPGSNIVLRWNRVYLVACLFALFIDPFFYYLPLIRQNDNGSSCVAKDQGLSIRITVLRSLADLFYMLNIAIKFHTAYVDPKSRVLGKGELVVDIKKIQQRYIRTDFFVDILAAVPLPQVTVWLIMPAIKSSDYNIRNTTFALIIVIQYVIRMYLIIPLSNQIIKAVGVVAKSAWGGAAYNLLLYMLASHITGAIYYLLSIERQITCWDQQCVAESNCNLRFISCENSGSDDYSEWAKKTGIFNNCDATTPNNISFNYGMFSSALSKGAVSSPFLDKYFYCLWWGLLQLSSSGNPLVTSAFITENLFAIAIGAISLILFAQLIGKMQTYLQSISKRLEEWRLRQRDMDEWMRHHQLPSHLQERVRRFVQVKWLATRGVEEESILQALPADIRRDVQRHLCLDLVRRVPFFSEMDDQLLDAICERLVSFLCPENTYISREGDPVNEMLFIIRGKLESSTTNGGRSNFFNSIILRPGDFAGEELLTWALLPKTNVHFPLSTRTVRSLTEVEAFALRAEDLKFVANQFRRLHSKKLQHTFRFYSHHWRTWAACFIQAAWRQHQRRKLAESLSRWESYSWWSEDHPPGDKPRQEGTSSGGTRTIAEGAIAHMHKLASASRRFRTEDITIRRLQKPDEPDFSADHFD >Sspon.05G0011990-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:36782326:36783339:1 gene:Sspon.05G0011990-4D transcript:Sspon.05G0011990-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LLSHSDGGGAKFGYIGSVGPAHWGSLSPNFRQCARGTHQSPIDISTAEAVLNPALQPLHRDYTVANATLVDNVFNIALRFDDDGAAGGVRIDGKVYRLKQMHWHSPSEHTINGRRFPVELHMVHASDDGNVTVIQDKLAALYAEGCRAEEGAGSVPAGNVSLWSLRLYSHTYYRYVGSFTTPPCTENVVWSVLAQVREMTVDQAAALMAPLEQAYRRNNRPTQPTNGRVVQVYH >Sspon.02G0014860-4D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6D:18606702:18607253:1 gene:Sspon.02G0014860-4D transcript:Sspon.02G0014860-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MWEGGGIHGSHHEALLLQAAGSGAADYGHGGGPASLLPWLGPSAAPGFSYMAPHHAHHQPGPLGAAEAAASPFGFGGGGGGYSDGGVGQFGVFGPETPLPLPPHGLLAAGGSSGGTALLPHGPRMVSGLLGTLQAELGRMTAKEIMDAKALAASRSHSEAERRRRQRINSHLARLRSLLPNTTK >Sspon.03G0042300-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:58641863:58649425:-1 gene:Sspon.03G0042300-1C transcript:Sspon.03G0042300-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGAAAPERSPSASPPPPAQAQAQAPPSPSPTQPLEWRFSQVFGERSAGEEVQDVDIISAIEFNKSGHHLATGDRGGRVVLFERTMSKIKDAEKADYSISRHPEFRYKTEFQSHEPEFDYLKSLEIEEKINQIKWCQAANGALFLLSTNDKTIKFWKVQEKKVKKVSEMNLDRSTTPANGSPGGMGYLSPSLSNGNALKPGGFLCFACLWLQAKKQVLPRAAEDDGETFISADDLRINLWNLEISNQSFNIIDVKPTNMEDLTEVITSAEFHPTHCNTLAYSSSKGSIRLVDLRQSALCDTHSQIFEQHEAPGSRSFFTEIIASISDIKFSKDGRHILSRDYMTLKLWDVKMNSGPVATFQVHEYLRPKLCDLYENDSIFDKFECCQSGDGLRVATGSYSNIFRVFGCGTGSSEATTLEATRNPTRRQLQNPTRATRSLSTLTRAVRRGGESTGIDANGNSYDLSTKLLHLAWHPSENLIACAAANSLYMYYA >Sspon.03G0038380-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:4319124:4324283:-1 gene:Sspon.03G0038380-1C transcript:Sspon.03G0038380-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLLGKEFALSPPPGVAPRRRPSARVAPTAARGGSPVPDLWLRTAAPAPASAADGFASSHSHDGDCDLAMLVTDFLENGAAAGSGDSRNSSDSESGLSDLAHLADTISMLKQGGDEKENELLSMVHSLLLSIHELQLQPFKRGQCGGSCIRHLLVKLLRYSGNDAAVCTSKWQGFDKIPGGDYEYIDVIMHSDTTGPERLIVDIDFRSHFEIARAVDSYGTLLNSLPVVYVGTLPRLKQFLHVMVDAAKWSLKQNSMPLPPWRSLPYLQAKWQSKYERKDSITEGAFHSTSTDHTLCIGHLKRLKTSLQLELETAELLMMPIKADKKRMPKPSERRRRRSLLSFLLLSGRDGYASGESAAYTTYKLRETCDEEGKEHVAGKACVKHAGQ >Sspon.01G0010070-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:34513141:34519694:-1 gene:Sspon.01G0010070-2B transcript:Sspon.01G0010070-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGHDSKYFSTTKKGEIPELKEELNSQYKDSQDPNPLIRALAVRTMGCIRVDKITEYLCDPLQRCLKDDDPYVRKTAAICVAKLYDINAELVEDRGFLEALKDLISDNNPMVVANAVAALAEIQDSSVRPIFEITSHTLSKLLTALNECTEWGQVFILDSLSRYKAADAREAENIVERVTPRLQHANCAVVLSAVKIILLQMELITSTDVVRNLCKKMAPPLVTLLSAEPEIQYVALRNINLIVQKRPTILAHEIKVLLEFKEYATEVDVDFVRKAVRAIGRCAIKLERAAERCISVLLELIKIKVNYVVQEAIIVIKDIFRRYPNTYESIIATLCESLDTLDEPEAKASMIWIIGEYAERIDNADELLESFLETFPEEPALVQLQLLTATVKLFLKKPTEGPQQMIQAVLNNATVETDNPDLRDRAYIYWRLLSTDPEAAKDVVLAEKPVISDDSNQLDSSLLDELLGNISTLSSVYHKPPESFVSRVKAAPRADDEEFADTAETGYSESPSQGVDGASPSSSTGTSSNVPVKQPAAASPPPAAMPDLLGDLMGMDNAIVPVDEPAAPSGPPLPVLLPSTTGQGLQISAQLTRRDGQIYYDISFENGTQAVLDGFMIQFNKNTFGLAAGEALQVTPLQPGQSTRTLLQMIPFQNISPGAPNSLLQVAVKNNQQPVWYFNDKIPLHVFFGEDGKMERAGFLEAWKSLPDDNEFTKEFPGSVISSIDATVERLAASNVFFIAKRKNANMDVLYLSAKMPRGIPFLIEVTAAVGVPGVKCAVKTPNREMVPLFFEAMEALTK >Sspon.02G0008260-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:24397076:24400448:-1 gene:Sspon.02G0008260-1A transcript:Sspon.02G0008260-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFFSLFLVASMPVVQVLLIGAIGAFLASGFSNVLTTSARRDMNKVVFTVFTPCLMFASLAKTVTLADVISWWFMPVNIGITFMIGGTLGWIACNILKPPQHFRGLIMAFCSAGNLGNLILIIVPAVCDEDGSPFGKDPSICRSRGLSYSSLSMALGGIFIWTHTYSLMQKSGKLYHKMHSKSIQCPADSDEEHSDSAAYADEEAPLPTSVKPGEHEHGEENQMIIGFVVGLVPWLKSLIIGDGAPLKVVQDSLQLMGNGAIPCITLILGGNLTQGLRKSGLKRTVIVTILCIRFVLLPLIGIAVVHAAYGLGFLSRDPLYRYVLMVQFAVPPAMNI >Sspon.04G0011030-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:29446886:29451046:1 gene:Sspon.04G0011030-3C transcript:Sspon.04G0011030-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAEAHSIVIVGGGICGLATALALHRTELRCLNRKDLIEALAKDIPAGTIRFGCRIAAIDADPAGGHGAVLTMADGTTMKAKVLIGCEGTYSVVAKYLGLSPVRTIPRPVLRGFTWYPHGHSFDNEFLRLRVGDFFIGRLTITDNLVHFFITMPKPPTDVSTKDLKKVRDVALKELQDVQCPAEIIDIVRDSDPESLNFVTEFWYRPPWEVVFGNFQKGTVTVAGDAMHAMGPFIGQGGSAGLEDAVVLARSLARAVDDADGAGKDAPAPAREKMIGEAIGEYIRERRPRVALLSLESFIMGALLVRSPSPATTLACVAVLILLGSKSLRHAHYDCGRL >Sspon.03G0046540-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3D:39942434:39944246:-1 gene:Sspon.03G0046540-1D transcript:Sspon.03G0046540-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLVYTYTAGSGSNATKVRTLSRTDHKPIRRCECTPQTVSDSDGEGYDHHCRQLTAEAEIGLRCGLRRGCERCLFVFGF >Sspon.01G0018130-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:42544056:42551695:-1 gene:Sspon.01G0018130-4D transcript:Sspon.01G0018130-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGLTRAAKRAGEMAFNAGGGAVNWFPGHMAAASRAIRDRLKLADLVIEVRDARIPLSSANEDLQPVLSAKRRILALNKKDLANPNIMNRWLNHFESCKQDCISVNAHSCSSVNQLLGFAELKLKEAISKEPTLLIMLVGVPNVGKSALINSIHRIATSRFPVKDKNKRATVGPLPGVTQDIAGYKIATQPSIYVLDTPGVLVPSIPDMETGLKLALTGAVKDSVVGEERIAKYLLSLLNIRKTPLHWERLLHREEELCEEICSSNKKDNSLRRRRLNNSDAVYVQDLVTEVQRTLCRTFLNFTGNTEEESELETLIDMQLVALRKVFRIPHKPFDETQGPTSKKLLTLFRSGKLGPFILDDLPDGSEN >Sspon.01G0042350-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:64955223:64957245:1 gene:Sspon.01G0042350-1B transcript:Sspon.01G0042350-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding TPSVSPPPAPQNSSAFPPPAPAARGSPAAPSRDSPSQPAPKRSGGDSDKSSSRSKSGSSSSNGSAQVGVILAGVVIGVLAFGLLMCIAACVCCAKKKRRKKPPHMNMPYYTDEHGNVFYANSMPKWQSSAMDHGWHAPYSPASGDMSGSHGQMPPSPGMPSLGFSKSSFSYEELAAATGGFSSANVLGQGGFGYVYKGVLAGSGKEVAVKQLKAGSGQGEREFQAEVEIISRVHHRHLVTLVGYCIAGSSQRLLVYEFVPNNTLEHHLHGKGVPVMEWPRRLAIALGSAKGLAYLHEDCHPRIIHRDIKAANILLDENFEAKVADFGLAKLTTDTNTHVSTRVMGTFGYLAPEYASSGKLTDKSDVFSFGVMLLELITGKRPVDPTNYMEDSLVDWARPLLARALSDEGNFDELLDPRLENRINRLELERMCASAAAAVRHSAKRRPKMKQVNFSFGFGFLNFAFETP >Sspon.02G0034780-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:9238962:9240844:1 gene:Sspon.02G0034780-3D transcript:Sspon.02G0034780-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding KRMPPIHHCSSVLLAVFLYLSTCYVHGDHANGGHQDLRALLSFKAYNPNATALATWVGPNPCSGTWFGVRCYRGRVAGVFLDGASLAGTVAPLILGLGRIRALAVRNNSLSGTLPPLDNSTVSPWLRHLLLSHNRLSGSLRISLGALLTLRAEHNGFRGGLEALRVPMLRSFNVSGNKLAGEISGDLSRFPSSAFSGNLALCGQPLPKCAHAYDALGSDSSSNATASTVAAQSPNASVSSVSSSNGGFSKISLTALMATGIGNAVLITVSLAISVATFVYVRRKLRSAKDATDAALCFEEEEKRDDRCHKTGGLVCFEGGDELRLESLLKASAEVLGKGVSGARNLEWTARKSILFGAAQGLNYIHTFPARPALVHANVKPSNILLDELGGACVSECGLMRYATNIQQCITPQATRTRCPPELFLDQASSGGWHGYAAPELASGAAARATQESDVYSFGMVLLEVVTGHKAADGGEGTDETMGMVKIGMLCTAEAPEERPTMAQVLAMMSEF >Sspon.06G0011840-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:47050767:47054916:1 gene:Sspon.06G0011840-3D transcript:Sspon.06G0011840-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLGPGQGLGCEAAEGSLVPSRKREYKPCGKHTEGKRPLYAIGFNFMDARYYDVFATVGGNRVTTYRCLENGSFALLQAYIDEDKDESFYTLSWARDHVDGSPLLVAAGSNGIIRVINCATEKLAKSFVGHGDSINEIRTQPLKPSLIISASKDESVRLWNVHTGICILIFAGAGGHRNEVLSVVSKFWLYVDKSYSWTDLPSKFPTKYVQFPVLIAAVHSNYVDCTRWLGDFILSKSVDNEIVLWEPKTKEQSPGEGSIDILQKYPVPECDIWFIKFSCDFHFNQLAIGKVDCSNNHPLLQTGNREGKIYVWEVQSSPPVLIARLYNQQCKSPIRQTAVSFDGSTILGAGEDGTIWRWDEVDHPSSKN >Sspon.01G0044910-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1B:86081966:86082142:1 gene:Sspon.01G0044910-1B transcript:Sspon.01G0044910-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MWCAPGAVAGAAPGAAAATCAQERVEGEGKRERKEGEEGGRSAMPAAAAAGAAPAGGG >Sspon.05G0002770-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:6612206:6613105:1 gene:Sspon.05G0002770-1T transcript:Sspon.05G0002770-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPADAGVLEQRSRPRNTTRPDALTRGLSAATAGRDDGREGPRGRHGPAPAPARGFLQESEEDAEDEDEDEARVRSGCAPAAAGSCRFGRSGASAAAAPTLSPVDLVLDMRWRRFATGADRVRSGEHDDGGGRRAFLPESTEDADEDDEESRERSGRKCVSRRAFRYPSAAHLLASPLLPLAAFGFLELETRRRRSRHLRPAHRGARGDVGSDAGSTSRHGGGEQGADAGAGRCKGTSFPPEKSSSAAESAAAAAGTTWNSNSSTSASTGAAVAMGQWNAKGSGEVTAAAAIAGVAGHERV >Sspon.06G0015140-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:67483506:67484921:1 gene:Sspon.06G0015140-3D transcript:Sspon.06G0015140-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding HGRVGVRAVLRQGRRRRRRAEAARPPGAPGGAGPRGAGVRGGRRGVRARAPLLGLRARAAARAQHRLPPRAVVVVLPLRLRRRRREGPAHVLRRPVAVVRRGGGAAAAARHHGLGREARGVAADAGPGRRGGGGGAGGDGDRVAAGALQGRGRRPRAAAVPQEQAGLLPAPRDRAALQHGAAGAVHGGVLGQDPRGARRDAGAPGRRVGVVGGRRRRVHRHGLRPPAGPVSPEEPGGVRVGAGGVRGGAAVVGGAERGRLPHPQLPVVAGPDGRMGAHGPGVPGVRAVGEDAAGGAGGEAQRRVGRPVGARVPAVQALGAGAVGQRDVPRVRVLLPGVLGGDRGPPRRRRGAVRGRGARPLRAPPPPRGARAPPVRGGAARGRKAARWERRPWARRRRAEGVAPALRDALHGVPALRRRAQPDVHAEAVRRGDPPRAGVRGRPGAPRVRVPTRRAAQRQRHAAAVRLPGRAL >Sspon.06G0010700-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:58244879:58247080:1 gene:Sspon.06G0010700-1P transcript:Sspon.06G0010700-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLQEEFEEYAEKAKTLPENTSNVNKLVLYGLYKQATVGIVNTDRPGIFYQKDRAKWDAWKAVEGKSKEEAMSDYITKVKQLQEEAATS >Sspon.03G0043670-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:50730562:50734300:1 gene:Sspon.03G0043670-2D transcript:Sspon.03G0043670-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRSPSLLLLLSSLVTVSALTATEGDEEATLLAFKAAAISHGYNDTLASWNRSTTGGYCSWEGVRCRGTHHGRRVVALSLPSHGLAGVLSPAVGNLSSLRILNLSSNGLSGNIPASLGRLHRLHTIDLSSNAFSGLLPANLSSCTSLIEMVLDFNKLSGNIPSELGDKLKQLKELSLENNSFTGRIPASLANLTSLTILDLAFNLLQGTIPTSIGVLKDLSFLGLAFNNLSGEPPISLYNLSSMEKLQIQYNMLSGSIPTDIGRRFPSMRILHLFTNQFTGTIPASLSNLTSLQALDLAQNMLTGYVPRTIGRLRALQMLVLSNNMLEANDGEGWEFITSLSNCSHLQRLHISYNTALTGHLPSSLVNLSTTLQFLDFRSTGIWGSIPSAIGNLVGLEHLGANDASISGVIPDSIGKLGNLTDIYLYNTNLSGQIPSSIGNLSKLAIFNARRSISGLEQLCLAHNNLSGPIPAVLQNLTTLSKLDLSFNDLQGEVPKDGIFRNLANISIIGNNKLCGGITQLHLVPCKTNSVQKNRRGKSKYLKIALATTFALLLLAIVIALLIYRKQRRKLKERTLIQDAVNEMHAIRDSYLKFAKTPVACHILPKEMY >Sspon.03G0017810-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:55285108:55286476:1 gene:Sspon.03G0017810-1A transcript:Sspon.03G0017810-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLQHGHAPRVAAAAGAAHRPGSRLPAQPTSLPCRGSRTRTTALVLVAATTREGAKSTASAAVATAADVVRAFYDGVNRRDLATVEPLIAEGCVYEDLVFPRPFVGRERIIGFFGEFMGTISPDLQFVIDDISADDSAAVGVTWHLEWRGRPFPFSRGCSFYRLLGSESEQQPLQIVYGRDCVEPAAKPGDLALVIIRGVTWILERFPSLASRL >Sspon.01G0004240-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1C:10764927:10765167:-1 gene:Sspon.01G0004240-2C transcript:Sspon.01G0004240-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding CTTPAAASAGPCPSPASSPGSGRPCTCRRSGPAAAALSLAVADDVPAEPPQLLPAAPTPVHRKPAQTFGQRTSQFRGVTR >Sspon.04G0008620-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:25909200:25925078:1 gene:Sspon.04G0008620-4D transcript:Sspon.04G0008620-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAAAKKMTRSISRQLSSGAARLWRQLSLDPHTPRRGGPGPAAGARQQTRFAIARQSSLDPTPHGGPDGSSAHQQLAVPENLDATMRLLFAACQGDAAGVEELLRSGVDVDSIDLDGRTALHIAACEGQGEVVRLLLDWKANINARDRWGSTSAADAKHYGHFEVYNLLRARGAKVPKTRKTPMAVSNPKEVPEYELNPLELEFRRGEEGTYLAKWYGSKVFVKILDKESFSDADSINAFKDELTLLEKARHPNLVQFIGAVTQNVPMMIVSEYHQKGDLASYLEMKGRLKPHKAIRFALEIARGLNYLHECKPEPIIHGHLSPKNIVRDDEGQLKVAGFGSLSLTKVSEDKVQMAQPVAKLDNVYIAPEVYKNEPFDRSVDVFAFGLILYEMIEGTPAFHPNPQEEAAKMICLEGSRPTFKNKPKYYPSDVKELIQECWDPTPSVRPTFAEIIVRLNKIHASCAKQGRWRDTFKLPCPKPHPSAARCANPLARQVGAAPFARIGSEAEPRIALRFLEQSCGDSRSEKEQYSVNLTKRNLAGLANRFLRRPAHGISLSYYGIGKNDFGLPLGARSILQSVRASSTATAGQPKLDIDDEQSEDQKQNRKKKEASPEECDQAVEGLSTAKAKAKAKQHYWLGTKLLWADVRISSRLLVKLAGGKSLSRRERQQLTRTTADIFRLVPFAVFIIVPFMEFLLPVFLKLFPNMLPSTFQDKMKEEEALKRKLKARIEYAKFLQDTAKEMAKEVQTSRSGDIKQTAEDLDEFLNKVRRGERVSNDEILSFAKLFNDELTLDNMSSIKNDDKMIQAEGVESLSEEELRQACQEREDDAEHLAAALVGGGAAVAPAVAGPAHPRRGGPGPAAGARQTRFAIARQSSLDPTPHGGPDGSSAHQQLAVPENLDATMRLLFAACQGDAAGVEELLRSGVDVDSIDLDGRTALHIAACEGQGEVVRLLLDWKANINARDRWGSTPAADAKHYGHFEVYNLLRARGAKVPKTRKTPMAVSNPKEVPEYELNPLELEFRRGEEGTYLAKWYGSKVFVKILDKESFSDADSINAFKDELTLLEKARHPNLVQFIGAVTQNVPMMIVSEYHQKGDLASYLEMKGRLKPHKAIRFALEIARGLNYLHECKPEPIIHGHLSPKNIVRDDEGQLKVAGFGSLSLTKVSEDKVQMAQPVAKLDNVYIAPEVYKNEPFDRSVDVFAFGLILYEMIEGTPAFHPNPQEEAAKMICLEGSRPTFKNKPKYYPSDVKELIQECWDPTPSVRPTFAEIIVRLNKIHASCAKQGRWRDTFKLP >Sspon.02G0028370-4D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2D:102083562:102085134:1 gene:Sspon.02G0028370-4D transcript:Sspon.02G0028370-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEAASAPATEAAPTGKMTMVVGVDDSDHSFYALQWALQHFFPPAPQPQQYRLVVVTAKPSAASAVGLAGPGAADVLPYVEADLKKTALRVIDKAKALCAQVSDAVFEAVEGDARNVLCEAVERHHAEMLVVGSHGYGAIKRAVLGSVSDYCAHHAHCTVMIVKKPKQHKH >Sspon.01G0003600-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:10018560:10022381:1 gene:Sspon.01G0003600-1A transcript:Sspon.01G0003600-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTLPLLRSSLFLNVECHAFSSHPGLGRNHVCVGVEFFHDHSRTTPKQLNLQGERRVASAGQTGGDDVDMPHSPPASVSSVPLKSAGYESASISWRHMGHQLFFCSLASPDDGDRKGGKIIP >Sspon.08G0021470-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8B:39771757:39775208:1 gene:Sspon.08G0021470-1B transcript:Sspon.08G0021470-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSCDQGGEDQDEAWLDGPVARVKGKLCDGLAPKDQGNGEEQVFVLQAGGACYSWRLPPPRRLGGLWLRRSSQEDCVRLRRSFVRGIVLTPRGSRRATLVERVIELPHLMSVVKVAQKIDEETKEFPQEC >Sspon.01G0034380-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:3367796:3369624:1 gene:Sspon.01G0034380-1P transcript:Sspon.01G0034380-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQASSERRAAAAGLLWVPGMSPWPTMDAGLSVRAQEIALRREEMLGMLHDLPESEYELSLTDLVEKAGGEAEAATAAPRGEDRGEGPGHRAVGVRRAAAGAAGSGEARAEGVGAAAGRRERRRGQLPQQQRWRPAQLLHAAVAHPELHRAAPHPDAVRLRLPEPQRHIRLQQERDPDAETVKCWSLLWDRRWRKSSRRDPGAPPSESDSAIRTASAAILKAAKHSAPPSKI >Sspon.03G0021680-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3A:66046805:66047384:1 gene:Sspon.03G0021680-1A transcript:Sspon.03G0021680-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLAPNMSSFAEDHKTSIIDDDITRRVARISSVARSLPPTSFSTRLAAPPTTRPHLSNPPTLIHTTSPPRRLRRQPDPSGCPPPHPLNPPPPPCHGRLSSDILLVPSVMPNPNSSEPPPLPLLWGTGSDEPPTPLLPLRRGAGAGMGTWAARVARSASSASDRIASRARRPARLIA >Sspon.05G0002260-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:5B:2218991:2219473:1 gene:Sspon.05G0002260-2B transcript:Sspon.05G0002260-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMFLLIALSASHVAFSLRPGAGLGTCRASGYLPGRSGNCEKSNDPDCCEDGKRYPQYRCSPPVTASTKAVLTLNSFEKGKDGGGPSECDNAYHSDEEKVVALSTGWFSNMARCGHQIKISANGNSVYAKVVDECDSVHGCDDEHNFEPPCDNNIVDASPA >Sspon.03G0015720-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:50080967:50088188:1 gene:Sspon.03G0015720-1A transcript:Sspon.03G0015720-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNRVAVLHLEERCQRAVEWALKMEPSIKYFVRGVASNKYVRTRLNQVAENNGLQLVSPPPSLCTDNGVMIAWTGIEHFVVGRFEDPPADDERDDMQYELRPRWPLGEEYSEGRSVARSLKTARVHPSLTSMIQGSLQK >Sspon.01G0006200-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:16428007:16429356:1 gene:Sspon.01G0006200-1P transcript:Sspon.01G0006200-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GQGTFCATCVFGSGNNARCQCKQDSRSRHNQMHHGNGATSTTKGIVEPDSQDKLKMARFSGGTYSKPDARVKLIPAEDITYLQHRKPYGRTVGSAGSQKRHCRRSVTPPPSSRRVSLLGSRSLPQNPMPSESSYNSCLPRKRLETAENRDPCAGGT >Sspon.08G0024680-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:838054:838980:-1 gene:Sspon.08G0024680-2D transcript:Sspon.08G0024680-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMPMVMTTRALAVVAMLATAFAVSALAEQCGSQAGGALCPNCLCCSKWGWCGTTADYCGDGCQSQCSGSCGGTPPPPPPPPPPTPTPSPPSGGGVADVQKRELAAFLAQTSHETTGGWETAPDGPYAWGYCFKEEVGGAQGPDYCQPSTQWPCAAGEKYYGRGPIQLSWNYNYGPAGEAIREDLLNNPGLVAGDVKIAFETALWFWMTPQPPKPSCHDAITGQWTPSPADVAAGRLPGYGVLTNIINGGLECGRGADDRVASRIGFYKRYCDMLGVSYGDNLDCYSQRPFGNTILAETTATSYHAEA >Sspon.05G0014250-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:44768696:44770498:1 gene:Sspon.05G0014250-3C transcript:Sspon.05G0014250-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFRLQARPGFTDRSIQGIARHGGRDPQELEALFGSVLSYTHRALPAPPVSADGDLCILFDADGGGVDRLSRLPDTLLCDIISRLPIKDAARTAVLSRRWSPIWRAAPLVLVDTHLLPGGDDEIPIDLDHAHSDAVAAAVSRILVAHPGPFRCVRLTCCYMEEDRARVERWLKLLASKGVQELFLMNRPFPLTIDKHLPATFFSMTALTRLYLGFWRFPDTTALPRGAAFPCLRELGLCSTVMDSGEMNFVLARSPVLEILNIEGHLLPPLRLRIISHSLRCVQIHGSTVDSVTVVDARRLERLLLGFRTNEDSCCKIKIIHAPALHMFGEIELGKNELQVGNNIIKAGTMVNPSVRLPAVTILDLHVRFGVRNDCKMLPTILRCFPNIDTLHIHSKKTTESTGRLGIKFWKESGAIKCVTSSINMLSVHDFRGERSELAFLKFFIESAQMLKVLVIVYANGCFSSRGEANSKVKALFAGRRATQCCKVAVCESRHPEGGSNWNFEQGSDFSFEDPFGII >Sspon.01G0004000-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:9198562:9207878:-1 gene:Sspon.01G0004000-3D transcript:Sspon.01G0004000-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDRRVLLVASPEVAIFGYDGIVSFASVQDAVDAVPLNNQVRTIIRIGPGVHRQPVHIPKTKNFITLYGSPIKDTVICWDNTTTRIKHTQSSQEIGTGTLNSATVIVEGDDFIAENVIFKNSAPQETLHLHGGKQFFKNCYIEVSLLLCSVLTSKRQELAYQSIFQTVFTISFYFLLRAYVPNDMAPSRCVITGNGEAAYMYLGRPWEPFGRVVFAETFMDHCIEPVGWHNWDKPENEQTACLYEYRCSGPGSSLSERVSWCKELFGDEAIPFLIQTFIDPDIENPWLVHRLGTQVP >Sspon.04G0005890-3P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:16357757:16359678:1 gene:Sspon.04G0005890-3P transcript:Sspon.04G0005890-3P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPLAISGGQPTWVPYEPTKDCSQGLCSMYCPQWCYFIFPPPPPFDVGGPSPDDSSGPVFSPLVIAIIGVLAIAFLLVSYYTFVSRYCGTFGSFRGRVFSSNSGGGARRRGNGGGGPRQSRSQESWNISPSTGLDETLISKITLCKYKRGDASVHTTDCSVCLGEFRDGESLRLLPKCSHAFHQQCIDKWLKSHSNCPLCRSHITFVTVGMGMATQEAEVRGPGESVGRDAAHEVVVVMDDLEIMCDEQQSMAGSTDGDGDGQEANGGQERMDEADSKAEIREERPPPLKLKSGPSSSDPDHDIRMSIADVLQVSMEDELTAARESGILAGGAGTSRRCHGENSKGGRSSRRALQDAMDTKRLPPAGRSCFSSKSGRGRDSDHPIITARSVLRS >Sspon.07G0017460-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7B:67547600:67547902:-1 gene:Sspon.07G0017460-2B transcript:Sspon.07G0017460-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDPQCSCCEHKEGTGSTPTVVPVGFCHITPTNIDDDDDDAASSPHYMEPPRELRDSFSFYLWHIPHFCHTAEQS >Sspon.03G0031290-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:24916912:24918222:-1 gene:Sspon.03G0031290-3D transcript:Sspon.03G0031290-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VPAFIALLLSLLPVAADAAAAAAAMDPTERETLFRVMEAVSSDRDWRVESPDPCGAPWPGLECKPAAADAGAAAARMYVTRLDFGVEPNPTCKDTASFPPEVFSLPHLQSLFFVDCFTNPAAATTLTLPAPANLSAASGLQQLSIRANPALSGTMPPALASLRALQVLTVSQNGLVRGEIPQGIGDLTSLVRLDLSYNSLSGPVPTQIGQLKSLVGLDLSYNSLSGAIPSQIGELRQLQKLDLSSNNLTGGIPDTVANLTSLTFLALSNNGLTGHFPPGISALRNLQYLIMDNNPMGVPLPSELGSLPRLQELRLAGSGYSGPIPEAFGQLASLTTLSLQDNNLTGPIPAALSRLGRMYHLNLSNNALGGAVPFDGAFLRRLGGNLDLSGNSGLCLDDRSVLRGVGVGIGACRGSGDGDTLSSSARTSSASRAPGRG >Sspon.02G0011780-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:4912285:4914906:1 gene:Sspon.02G0011780-2P transcript:Sspon.02G0011780-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPYTSFFKNSYYYYASSYPAAPPPHHLPPPLPPYTTLYPAAAAAPQYPAYFFQAPPTTLPPLHDSPPSPPLREALPLLSQSPTRAASRAQPLLHVAVDSDSDDDADDFVLREAVGSSVTPSTRAPLFADLNCMPSCCDDGDGDPMDLEAAAPTDDDAAVALRIGLPPAPVNGGCGGAEADLLSGLSGRGACGGMEPEEDEDECKVDTGDGEEVVPLGFASTPIGRLNKGQYWIPTPAQILIGPTQFSCPVCYKTFNRYNNMQVRSMHMWGHGSQYRKGPESLRGVQPTAMLRLPCYCCAPGCRNNIDHPRARPLKDFRTLQTHYKRKHGLKPFLCRKCGKAFAVKGDWRTHEKNCGKLWYCLCGSEFKHKRSLKDHARAFGHGHGAFGCNLDGGSGADGLDDDDDGAVSEIEHDLCAAACSS >Sspon.01G0021890-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:22777455:22779302:1 gene:Sspon.01G0021890-2B transcript:Sspon.01G0021890-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPAAVGAGAGQGKEYPGGLTLYVLLTCAVAATGGLIVGYDIGISGGVTSMDAFLHKFFPSVYRKEQTTRGGGSSSQYCKFDSQLLTAFTSSLYLAALVASFFVASVARSLGRKWSMFGGGVSFLAGAALNAAAQDVAMLIVGRILLGIGVSFAALCIPIYLSEMAPHRLRGTLNIGFQLMITVGIFSANLVNYGAAKIEGGWGWRLSLGLAAVPAAVITVGSLFLPDTPSSLIRRGYHEQARQVLSRIRGADVDVGDEYGDLVAAASEASGAVDVRRPWRDILGRRSYRPHLTMAVLVPFFQQFTGINVIMFYAPVLFKTIGLGGDASLMSAVITGLVNIVATFVSIATVDGLGRRKLFFQGGCQMLVCQIVIGTLIGVEFGASGDGDGAMPKNSAATVVAFICIYVAGFAWSWGPLGILVPSEIFPLEIRPAGQGISVAVSMLCNFAVAQSFLPMLCHLKFGLFYFFAGWVLVMTLFVAVFLPETKGVPVEKMGVVWRTHWFWGRLVADMDGGAGNCDSAFHKGNDIAVREP >Sspon.06G0010800-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:58633694:58636301:1 gene:Sspon.06G0010800-1P transcript:Sspon.06G0010800-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSGCSVSSLAARFAFFPPEPATYAVRKDEATGRLVASGVPRDSAMDVLLVNTTRGNKVVAFYFRNPCARLTLLYSHGNAADLGQLYDLFVQLKVNLKINLMGYDYSGYGASTGKPSEENTYADIEAVYQCLETEYGISQEDIILYGQSVGSGPTLHLASRLPRLRGVVLHSAILSGLRVVCHVNFTFCFDIYKNVKKIKKVKCPVLVIH >Sspon.01G0001710-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:83346958:83351297:1 gene:Sspon.01G0001710-1P transcript:Sspon.01G0001710-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVPSNLEARRRLTFFTNSLFMDMPDAPKVRNMLSFSALTPYYNEPVLFSIKELEEENEDGVSILFYLQKIYPDEWKNFKERVGLEEELKESEESGELKEELRLWASYRGQTLARTVRGMMYYKKALNLEAFLDMAKREDLMERYKAAESVNDEQWKIQQRSLFAQCEAVADMKFTYVVSCQQYGNDKRAALANAQDILQLMRNYSSLRVAYIDEVEDRVGDKKMETAYYSTLVKVALTKDSESADPIQNLDQVIYRIKLPGPAILGEGKPEDQNHAIIFTRGEGLQTIDMNQDNYLEEALKMRNLLQEFLTEHGVRRPSILGVREHIFTGSVSSLAWIMSNQEHSFVTIGQRLLANPLKVRFHYGHPDVFDRLFHLTRGGVSKASKSINLSEDIFAGYNSTLRGGNVTYHEYVQVGKGRDVGLNQISKFEAKVANGNGEQTLSRDIYRLGRRFDFFRMLSCYFTTVGFYFSTLLTVVTVYVFLYGRLYLALSGLEEGLSQGRLIHNHPLQVALASQSLVQLGFLMALPMMMEIGLERGFGQALSDLIMMNLQLATVFFTFSLGTKTHYYGRMLLHEGAQYRGSGRGFVVIHAKFAENYRLYSRSHFVKGIELMILLIVYQLFGQSYGSAIPYIFITFSMWFLVLTWLFAPFLFNPSGFEWAKIVDDWSDWSKWISNRGGIGVSPDKSWESWWEVEQDHLKYSG >Sspon.08G0000250-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:1560374:1563404:-1 gene:Sspon.08G0000250-2P transcript:Sspon.08G0000250-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPRAAGGRSIPPDPATARPEAAESEGNLRQGIVLVEELKERLRQSSANANALDRLALLNDPETEANDLIHGWGLDMKLGYCAQGDRTEKVGVIDSEYVVHQGIPSLGGPSLSSKTPRRSLDLRTHIRRQSSAELERFKERWNRAVREDEGWRDPFDS >Sspon.05G0003670-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:11012627:11018882:-1 gene:Sspon.05G0003670-1A transcript:Sspon.05G0003670-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPVTSSPTAAASFFHAHTLHPLPWGWIPRRRPRAGIWSDRTSLDASTRYAPYRILPALPPQHPSQEPRTLWQEAKAAAAAQGPGSKSFSLDSFSRGAVAGTGSVIGSYAVSVQELLVIDDLLSALVGIEGRYISIKRVRGKEGYVVFQIDSSMDLALQELTRRIFPLCEDYVLVSQFVESRSHFKNGLVNHALAAALRAFLLDYQAMVAQLEHQFRLGRLSVQGLWFFCQRMMSSLNALAVLVEKATSNNTNGSATLNLLQSQAKAMGGDSAVRSLLEKMTEYASGAYLRMLERWVYEGVIDDPYGEFFIAENKSLQKESLTQDYDAKYWQQRYSLKEGIPSFLTNVAAMILTTGKYLNVMRECGHNVQVSLSDNSKLMSFGSNHQYLECIKSAYDFASGELLTLMKDKYDLIGKLRSLKRYLLLDQGDFLVHFMDIAREELTKKPEEISAEKLQSLLDIALRSTAAASDPSHEELICCVERSSLLKKLATLKDLDCAYPADKLAAADVDQSMQLSITGLETFCLSNKVQWPLSLVISRKALTKYQLIFRLLFHCKHVSRQLCAAWQIQQVFRSVKILGTPILRSSILCRSMLKFVNSLLHYLTFEVLEPNWHLMHDRLQTARSIDEVIQIHDFFLQKCLKECLLLSPELLVYATSIQLLMPSIDVTNSENTSKSVKSRSRINKSQDRDQQLKLASENVVMSESILKFEAAFNSELQSLAPTLSNSSHAEPYLTHLAQCILGVRIDQ >Sspon.06G0030590-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:49956008:49979302:1 gene:Sspon.06G0030590-1C transcript:Sspon.06G0030590-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMKHTSLLQYLLFLLTTVASCDNQFLFSGFTQSSLNLDGCATVTNSGLLDLTNGTEILNGHAFYPNPLHFRKSPDGKVQSFSVNVVFSIFITYPDLSADGMAFFITPTKNFSDARAAKYFALLNEKSIGDPSKHIFMVELDTYKNVELQDINDNHIGININSVISLNSSTAGLYEDDGGTFRNLTLNGNQGTQLWVDYDEGTTQINVTLAPTNMAKPSRPLLSATCDLSTVLSNSTSYIGFSSVATPINTRQYVMGWSFGLNKPAPPLDVSKLPKLPSLGPKAQSKVLTIVLPIATATLILSIGTIVVLIVRRQLKYAEVREDWESEFGPHRFSYKDLFHATGGFKNKHLLGEGGFGKWEKIVIHRDIKASNVLLDGEMNGRLGDFGLAREGISSYREGTKGYLAPELLRTGKASPRTDVFAFGMFLLEVAFGLGLATAPISAGDDDDHYQFVFSGFANASLTLDGTASITQGGLLELTNGTIMSMGHAFYPAPQRLRDSSNGTVQSFSASFVFGIISIYDLSSHGLTFLVAPSKNFSAIAVQYLGLFSGSNNGNTTNHMFAVELDTWQNSEFKDINNNHVGIDINGLASVHHGQAKETNSVSHPKPLRCAHRCGVHWLLITNRQDPYTTLRAWMELCHERPCSIHQSYHASEASTTSSKGYAEVREDWELEFGPHRFSYKDLFHATCGFENKNLLGVGGFGRASNVLLDDEMNGRLGDFGLARLYDHGVDPQTTHVVGTIGYLALELARSGNATPPTDVFAFGMFVLEVTCGQRPIDHQNMQDSQLMLTDWVLDKVQNGSFGDTVDARLKGKYDVSEAYLVLKIGLLCSHPFPSERPTMRQVMQYFDGEIEPPNLSLEVLASMQSEGFDPYIISYPLATSSISTMSYISNHTSLLQYLLFLLTTLASCDDQFLFSGFTQSSLNLDGCATVTNSGLLDLTNGTEILNGHAFYPNPLHFRKSPDGKVQSFSVNVVFSIFITYPDLSADGMAFFIAPTKNFSDARAAKYFALLNEKSNGDPSKHIFMVELDTYKNVELQDINDNHIGININSVISLNSSTAGFYEDDGGTFRNLTLNGNQGTQLWVDYDEGTTQINVTLAPTNMAKPSRPLLSATCDLSTVLSNSTSYIGFSSVATPINTRQYVMGWSFGLNKPAPPLDVSKLPKLPSLGPKAQSKVLAIVLPIATATLILSIGTIVVLIVRRRLKYAEVREDWESEFGPHRFSYKDLFHATGGFKNKHLLGEGGFGKKWEKIVIHRDIKASNVLLDGEMNGRLGDFGLARLYDHGTDPQTTHMVGTKGYLAPELLRTGKASPHTDVFAFGMFLLEVACGQRPVKRNAEGNEFFLVDWVLEHWNNGLLTKAMDSSLQGDYNINEAHLVLKLGLLCSHPLPSSRPRMREVLQYLDGDMPLPELRPTELSSNMVALMKNSGLNSAVMSYPQISSSFCTVSGLSGGR >Sspon.01G0018890-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1A:70551482:70554232:-1 gene:Sspon.01G0018890-1A transcript:Sspon.01G0018890-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLLSIELDLLLAEREVQGRKQHQPFRSNNGRNFQQRSEPETPKLSVAPHGV >Sspon.05G0022510-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:7643966:7645380:1 gene:Sspon.05G0022510-2D transcript:Sspon.05G0022510-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SEP2 [Source:Projected from Arabidopsis thaliana (AT2G21970) UniProtKB/TrEMBL;Acc:A0A178VQ84] MAAAARAIVCELAPQKVPSTTASGPAAPKKRDAGTKVVLQPRLCTLRSYGAGSGVVTRRVLAGEEDGSGASNSGGSGSAASSFFASLADYIESSRKSQDFETISGRLAMVAFAAAVAVETTTGSSLFKKLDTMEIEEAAGVCVAVVACAAAFAWASSARNRIGQMFTLGCNAFVDSLIDNIVEALFSEGELQDWSDDI >Sspon.02G0013860-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:36697765:36700719:1 gene:Sspon.02G0013860-1A transcript:Sspon.02G0013860-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding WPAPSLGGRTCAARAAARRSRTTTARRRGGGGGSRGGGAARTARGRRRRRGCRSRASARRRCSPPEDLSLTLSGSNLHAFTYAELRSATAGFSRANYLGCGGFGPVYRGAVGDALRPGLRAQDVAIKYLDLEGGTQGHKEWLAEVFFLGQLRHKNLVKLIGYCYEAEHRMLVYEFMSFGSLENHLFKSVNGALPWMTRMKIAVGAAKGLAFLHDADPPVIYRDFKASNILLDSDYNTKLSDFGLAKDGPQGDETHVTTRVMGTHGYAAPEYILTGHLTAKSDVYSFGVVLLELLAGRQSVDCSRRTREQNLVDWARPYLKHPDRLYKVMDPALECQYSCRGAEVAAIVAYKCLSQNPKSRPTMREVVRALEPVLGMDDFFPAGPFVFTISVEEDKVVGVKVEVEVEEKPPQHHQSHQDRHRQKYPNSSIHAGIVLHSREGMVGGDYTAALRRQRRASSHHKERGA >Sspon.05G0006240-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:5A:20029451:20030071:-1 gene:Sspon.05G0006240-1A transcript:Sspon.05G0006240-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPMWSLGKVMKKKKNHHPTRLLLLLLSTRSHHYLVHPLHASWPKTKVLYDESDDESEMEYVHDSDNDNENDDDEPTKEQLYDLMQQTRGIATIAKDKECKKLSMKVAILEKVLSELKTTHESLVEDHEDLVRLIPSLKKAHSLLLEQQAKKEVVVSCDIGVTCDIIDESFMNLSSCQMLTLLVALHPPPPTLPPLLVMVSLVMPH >Sspon.03G0017510-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:75982503:75994042:-1 gene:Sspon.03G0017510-3C transcript:Sspon.03G0017510-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLVDWLYGVLASLGLWQKEAKILFLGLDNAGKTTLLHMLKDERLVQHQPTQYPTSEELSIGRIKFKAFDLGGHQIARRVWKDYYAKVDAVVYLVDAVDKERFAESKKELDALLADDSLANVPFLILGNKIDIPYAASEEELRYYLGLSNFTTGKGNVNLADSNVRPLEIFMCSVVRKMGYGEGFKWMSQYIK >Sspon.03G0006980-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:8539167:8541352:1 gene:Sspon.03G0006980-2P transcript:Sspon.03G0006980-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQYREESGASVMDKISDKLHGRGGGSSSSSDSDDERSSATAAVKAKIYRLFGREKPVHSVLGGGKPADLFLWRNKRISGGVLAGATAIWLLFEVMDYHLLTLLCHCLILTLAILFLWSNATTFINKSPPNIPEVKIPEDLIVNVARSLRYEINRGFATLREIGQGHDLKKFLIVIAGLWILSVLGSCCNFLTLSYIVFMVLYTVPVLYEKHEDKVDAFGEKAMVELKKYYAIFDEKCLSKIPKGPLKDKKQH >Sspon.03G0006020-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:32038288:32044044:1 gene:Sspon.03G0006020-3C transcript:Sspon.03G0006020-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAIVRAAQAPSADRRLSTLVRHLLPSSPRRTAADTSATLESFPTMASQGSSSVFAALAQAPEDPILGVTVAYNKDPSPVKVNLGVGAYRTEEGKPLVLNVVRRAEQMLINNPSRVKEYLPITGLAEFNKLSAKLIFGADRELIMFFLYKWNSPAIQENRVATVQCLSGTGSLRVGGEFLARHYHERTIYIPQPTWGNHPKVFTLSGLTVRSYRYYDPATRGLDFKGLLEDLSSAPSGSIVLLHACAHNPTGVDPTIDQWEQIRQLMRSKSLLPFFDSAYQGFASGSLDKDAQSVRMFVADGGELLMAQSYAKNMGLYGERVGALSIVCGSADVAVRVESQLKLVIRPMYSNPPLHGASIVATILRDSEMFNEWTLELKAMADRIISMRQQLFDALKSRGTPGDWSHIIKQIGMFTFTGLNSEQVAFMRQEYHIYMTSDGRISMAGLSMRTVPHLADAIHAAVTQLK >Sspon.05G0014390-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5C:47564618:47567854:1 gene:Sspon.05G0014390-2C transcript:Sspon.05G0014390-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKLGAFSVKRAVEAIIPYLEDTGRSAHKSIYFDGWDGLAASAVLRAIAEHPLPSLMNKFDRIIHIDCSRWKSRRALQRAIVDELKLPQSQRVMAAFDRQDIEDDFSGVDEGSRAEIAEAAREIYQTTRGISCLVIFHNGSDNTIDMADFGFLLLHDWFSSRTNKVLWTFSRRLRINPEIKEKVDSSHLCIYSNIYRGFDNDTSQLILEEATEIVKQMQHKQSTTPEIAAKCITYILWLHEMGGSNMDYNWATHASNYWACDGIIGQQGQLDDESWEVFAALHKQLQTMEFSSRPVNFRGHEYKDVWKSVAYTSDSGEKKNFTALSQNLTSFFLASKGGLYTTLPCDMFQQSERLRVLKLSGCRFNFSSPPFYCCRRLRFLGLDHCEDQGREEEDKQGRPIMEIFQSLWVLDISHTDWEFDLSQDVAEQMASNIREVHIKKGRIWRSHLPWRQLQNLRKLRVIEPTSSWETGKKDEFTDMKKLELLDLSGNSTIQVLPSLSSATCLRTLVLDGCVGLDHVGPEGLPPTLETFSLDASSDKLLKTSLSGCVHLESFLLHGELPGLEELNLSGTSVRRIDLSDKVVQVEGLKKVFLMGCKQLRAILWWQEKRQLEVLCIDTFEIEDTCPHYSDPSPIQHRNYVITADARIIQSFLTKEYGTIITSSLYLDLHMPPTSSSNKSKGPSRDKVIPKPCYYTYSDVLLPGVSTCDNEMRWPAPLNYHMEVGEGISLTAVESDKGIQAIHYVTLKCIYSLHVHDNSCILAVTPKRPLDSEYFIFVLKWCRVERCPKLETVFASSSLRYSFVVLEAIWVSDLPATACIWSKGSIYDYNRSFEALESIHLHKCPRLKFALPLSRSTYLPRLETLHITQCGDLKQVFPWDDDVNQQYREADPVKEFPKLKHVLLHDLLSLQEICEAKMLAPMVESVRIRGCWALKRLPTIGHRKQPSASRALPEGLVDEAGVGWTAGRPRSITLRAALFVGLLQEALPQGHGSSVKTHISFIQVCSGGANPVMDHVLPLSIPLQVAAAAIWTIKDLLLH >Sspon.08G0011340-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:49404271:49405371:1 gene:Sspon.08G0011340-1A transcript:Sspon.08G0011340-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDKLRFACDPARGAAAEGYGSRMLANDDSVLDWRDYFDHHTLPESRRDPAHWPDFVPGYRDTIAKYSNSMKDLAQKLLCIISENLNLPPSYIQEAVGEVYQNITISYYSPCPQPDLALGLQSHSDMGAITLLIQDDVGGLEVLKDGMWIPVPALRDGILVILADQTEVMYLNLRT >Sspon.04G0018660-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:66961248:66962602:1 gene:Sspon.04G0018660-1A transcript:Sspon.04G0018660-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCATSTEARRDMVWVGADARARRSFSLPSVDRQRLRLRAVSMLGTLGLAGSARHSGSCRHATLSVEEIMKGDNDHAADAVLLADDAAKRPLKLRTPTLTPPNEPEVINAWELMAGLEDDVPTPRATYKSLPLDESPQEFVLEAPPLPQWMQADMDMPVALDFDPEVLSGFREALEGTPPSSEPAVVSSAEDETPREQERKDRKDADACDMPMSPATGDMPELSGLVRARIIAFQEKIERRRSKGRDAKVSPLWPPGGERKAVVYFTSLRGVRKTFVDCCAVRSILRSYGVRLDERDVSMHAVFKAELAELLGPGFAGAALPRVFVDGQYLGGAEDVHFLHEAGELGRALEGCEAAPLRKLGYMEACAACGDVRFVPCETCYGSCKIFVEDDDADDRYHDVGEFRRCPDFCTEWRAL >Sspon.03G0027620-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:5192349:5194027:-1 gene:Sspon.03G0027620-3D transcript:Sspon.03G0027620-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSRAMNRLFVESASGSGGCRDAEPVLCAPRPRRVQVHPCSADLILGPPPFLLNKSSKEGGRTKPAEEDEDGGCWAVFGGSPPARADNPLVHDPHFLLNQRHPAADPTPLELGFFDHRSRTSYVHRPTYTSSNSSSSNNNSFAPSSFAPAVRIQGFDVAACRSSHSNGGSRVLSARA >Sspon.01G0013390-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:4066589:4068894:-1 gene:Sspon.01G0013390-2P transcript:Sspon.01G0013390-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSMESCVPPGFRFHPTDEELVGYYLRKKVASQKIDLDVIRDIDLYRIEPWDLQEHCGIGYEEQNEWYFFSYKDRKYPTGTRTNRATMAGFWKATGRDKAVHDKSRLIGMRKTLVFYKGRAPNGQKTDWIMHEYRLETDENAPPQASSLTMILEEGWVVCRAFKKRTAYPARSMAMAWDPSYAYRDVSAMGAAAAAEVAAFVDPNAAYAQIRRQSNKSARFKQEAELDGAAAALLQYSSSHLFELPQLESPSAPLAPANQSQASGADEVVDGADSGRRPGKKARADKVATDWRALDKFVASQLSPAAECGGSLEAAAAAASTVAASNVACSQLDHGEDDDMAALLFLNSDGREEAERWTGLLGPAGGDGDFGLCVFEK >Sspon.01G0043170-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:72512042:72515069:-1 gene:Sspon.01G0043170-2C transcript:Sspon.01G0043170-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAGDVVDISSDEEDLFMDTSLKLPEPLLDPVKLSRFMDHICSVADFRTEEDIDDLMIMSEISAPPVLQKTANPDELVVMGEMSSPPALQQKKASADGGCYEDDDDCVVLDGDPDKAVTVADEGGVGDGSSDELQIVAEKGPIACRDFPHSRHLCSNLPFSTTTHVKHCTMCHCFVCDTPAPCKYWGNSTSADDHCHATDKDSKWRNMRQIFKKKLLPASKHQNGVYSTMSPPRQQPMQCHVSVPQSPSISHGSHPSLSIQSPLLNEGSQNQQRHPSVRVSLSVEATVGSPRTGRGTGNAHIAQSTHSHAIFKRAGAVAPVLATRNASQLCSSAPDDLLMQQALSHASQPVQVAPTTNAFTGTSQNNHFQRSFSAPIAPQVQQGQPAGYYEVAINGMHATGPQLARSTSLTTQRTQCLPEPAIIDVGTSSWQDILATVASDLGVEDYNMGIPESQHVSVDSQTMHPTANHGFHLQHEPIAESENFTYPLVHDSSNDTAGGSVQADGPMQTSENLEHLIGQSNPIPSEAHLNDFAGVPADELPIEEEEEEEAHQPEIPNILFEFNWD >Sspon.08G0002290-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:6926722:6930426:1 gene:Sspon.08G0002290-1A transcript:Sspon.08G0002290-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSADSRTLSLSQATSKRHLRKKMNGESSRYDKRERMLQGGSFEPHNVKLEYLRNITNDFSDERLLGKGGFGTGVLQNGKTVAVKKFNSSIPGTKDRQFDNEANLLMRLKHPNVVLLVDWCSVTEYIPMEYNGKYVCAEKPERLLCLEYMPKGNLRGYLSDEFSGLGWDTRFKIIEGICYGLRYLHEECQFNAPIVHMDLKPANILLDNNMVPKIADFGLSRLFSEEKTWTCTRSRDGTLGYMSPEYINRGLVSTKSDIFSLGVIILEIVTGRRDYPDDTGASSEEFILMVLNNWTRRMVGTPEVDCQQIRRCIQIGLDCVKIDRFKRPSISQIIKTLHGPGIVDSSNIKRVRYFIIGV >Sspon.06G0020360-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6B:8843297:8844016:-1 gene:Sspon.06G0020360-1B transcript:Sspon.06G0020360-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDELVFPASSSSCPSPASFSTAGHHQEHEVVPCDVLEGWLGGDGWLDEPPNGVKVTWGGEGSGSRSPGNDNDHLSGEPPAPALKRRGRKPGSRNNINGPALFHVEAERQRRDKLNRLFCELRAAVPTVSRMDKASLLADATTYIAHLRQRVEQLEAEAKKAVAASATVAPSHSLISSSLGETLEVRMVGTEAAALRLTTTAAARHAPARLMLALRSLDLTVQHACVCLVGGMTVQDAVVE >Sspon.01G0015350-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:44533480:44539421:-1 gene:Sspon.01G0015350-1A transcript:Sspon.01G0015350-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVISTVLGFTGFGFGFSAGIVIGYFLFIYVQPADVKDVKVRPLVEYDSKSLEGILPEIPLWVKNPDYDRIDWLNRFLELMWPYLDKAICRTAQDIAKPIIAENTAKYKIDSVEFETLTLGSLPPTFQGMKVYVTEEQELIMEPCLKWAANPNVTVVIKAYGLKATVQIVDLQVFALPRITLKPLVSTFPCFAKILVSLMEKPHVDFGLKILGADVMAIPGLYRFVQETIKKQVAIMYLWPKTLEVPIMDPSKASKRPVGILLVKVLRAQNLRKKDLLGKSDPYVKLKMSDDKLPSKKTTVKRSNLNPEWNEDFKFVVTDPENQAFEVNVGKHEKMGMNMVLLKDLPPEETKVTTLNLLKTMDPNDVQNEKSRGQLTLELTYKPFKEEDGEIEDPEGTNVIEKAPDGTPAGGGLLYVIVHEAKDLEGKHHTNPYAKIIFKGEEKKTKVIKKNRDPRWEDEFQFVCEEPPVNDKLHVEVLSKAPKKGLIYGKETLGYIDVSLADVISNKRINEKYHLIDSKN >Sspon.02G0050860-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:68460163:68460600:1 gene:Sspon.02G0050860-1C transcript:Sspon.02G0050860-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALEVGREEELLAAGAAPRATVLAFPGAGGDDTSGSGAAIMSSSGGRRPAAVSSSAMAAADADASSCGGGGRRRRRPRQSSKRDRDDEGKAKDEQHEEEPGSLQLHSLIILLPAKEVANGVVHASNQ >Sspon.05G0032900-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:23556494:23561809:-1 gene:Sspon.05G0032900-1C transcript:Sspon.05G0032900-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVVTWSQYSGAEICPRSAVIWLSIGLPRHRAAGEDFPRDGRVIDLDESNFEAALGAIDFLFVDFYAPWCGHCKRLAPELDEAAPVLAGLSEPIVVAKVNADKYRKLGSKYGVDGFPTLMLFIHGVPIEYTGSRKADQLVRNLKKFVAPDVSILESDSVIKTFVENAGTSFPMFLGFGVNDSLIAEYGRKYKKRAWFAVAKDFSEDIMVAYEFDKVPALVAIHPKYKEQSLFYGPFEETLKMLNDDQRKVVLTILEDDSDENSTQLVKILRSAANANRDLVFGYVGIKQWDEFVETFDVSKSSQLPKLLVWDRDEEYELVDGSERLEEGDQASQISQFLEGYRAGRTTKKKISGPSFMGFLNSLVSLSSLYILIFVIALLVVMVYFAGQDDTPQPRRIHEE >Sspon.02G0023690-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2B:80599152:80604793:-1 gene:Sspon.02G0023690-2B transcript:Sspon.02G0023690-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTRHAAGTLPPRALEASTGDALVSPVPSSVRDALLDPHWRRAMEEEYAALLANQTWELVPWPPGSNVVTGKWTWTHKRRADGTLERYKTFSPVVKPATVRTVLSLALARSWPVHQLDVKNAFLHGLLTETVYCSQPAGFVDSSRPDMVCRLNRSLYGLKQAPRAWNHRFAAFLLTLGFVEAKSDTSLFIYHHGADTAYLLLYVDDIVLTASSEPLLRRIIAALQQEFAMKDLCVLHHFPGVTVEPRPAGLLLHQRQYTRDILERAGMTDCNPCSTPVDTQGKLSEAEGPPIQQVCLHMHDPREPHLTALKRLLRYLRGTLDYGLLLHRASADLVVYTDADWAGCLDTQRSTSGYAVFLGGNLVSWSSKRQPVVSRSSAEAEYRAVANGGEDGGHRGDDRPPTLARPGGGPRIDDSGGVEGRAGGVAARAVEDVEDPGAERGPGAGEGGERLLACDPRPEVGVAVTEPAEDVENEDAVLHGPAEVTEGVRHALHPAAVVADGEPRGGLGRDRGVDEDVVVEGVTTKGEEDQIPPAGVGGRLGLEDDRNEQPDVLDTPGLVAELCDEGIGRIMPDDCGGGRTMTGRAGGGGRTVVEDRKCETLLRIGDLAGQSFGGIPLALPSEGGGTDPSLALCSHNTGSIEGGSQGGVRTCDVEVGWGRWRGSREGRTTLGQHARPSGESSSSSGDGVCRSRRRRWRSRGRSRGRHPQAGRNGGGGGPGGGSCGGWVGRIGARAREDGARGRG >Sspon.06G0024230-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:51950388:51950768:-1 gene:Sspon.06G0024230-2C transcript:Sspon.06G0024230-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMKKGGAAATVAVALVVLLAAAASSVARADVSCADVDETLRPCVGYVTGKEAAPAAECYVGVKRIRAMPSGTADRRQACECVKQAAAKYQPLNADAIRDLPEKCGAPLPFPLTLNFDCTTCVYPN >Sspon.02G0041660-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:81736694:81736942:1 gene:Sspon.02G0041660-2C transcript:Sspon.02G0041660-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GQVRGAAGHGRAHRRAVPLPLPADRAPLLPPARRHRLPRGGGRRRRGRPQGRLCRRDRGDDDEAAGAEARRPLRDHPLHRRLV >Sspon.05G0004100-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:12529270:12534052:1 gene:Sspon.05G0004100-1A transcript:Sspon.05G0004100-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHHDPNPFDEGSADDNPFSNGGGGGGKQQYGFRPTEPVGFGGAGRGDAVVDVPLETMGDIKRREEALKNAGVPMEEKNWPPFFPIIHHDIANEIPANVQKLQYLAFASWLGIVLCLSWNFIAVIACWIKEGDSKLFFLATIYALLGIPLSYLIWYRPLYRAMRTNSAFSFGWFFLCYLIHIGFCIIAAIAPPIVFHGKSLTGILAAIDTFSEHVIIGIFYFVGFALFCLETLLSIGVLQKVYMYFRGNK >Sspon.01G0002020-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:5785895:5786471:-1 gene:Sspon.01G0002020-2C transcript:Sspon.01G0002020-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPLPPDPIEPCAPAPAMDAALPAAVVATILSRLDVRSLLLAAAACRGLRACASHALAFLPSFHLLDAAMACLARPGLHELLLLNCDNISGRLLCELGTTCRDL >Sspon.06G0024350-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:48952037:48955424:-1 gene:Sspon.06G0024350-2D transcript:Sspon.06G0024350-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SSLLDGFYIFVYFLSRTAAFLGSLSFRVCYPHLEDLSDDHVQDGDDHVEDGESTAWNDVDDIHGTSTPLLLKDSTQQGEGRLLKDSAKPPDETDFLEGTFYKINLDKQKATTKNKKSSKKQKKKKGKGKGGKKSHASEMQIEPPTEPSSTCADATGGETLASSSSRVAVRVPLSEYNSSPSSSRVTAKPIITPAVNSASSGNEKELSGSSSSNDDFKSFNSTTSYATASSSSVNDYAGSLSDARSERSLSASDSTDSRGFKKTSGEGNKPLVHQSFSLAIGPGCSQEALLCTDYASNDGDFQKVVSRKSAQKMKKMQRLQSPAPSSTKAASGSGPIITRELVPRAPFREVITIGHYINDDTFYGRKPNQKKGKYQKARSLSNLSNTGYTDGDNVSGSNTMLVTTTVHQAKPRNSHHVTLEAIEETNSPRCLPKEGELKAKAPVGDGIEDTDSKAPSSDKSSSLGSLANSSCANREEQKKAVALVVGDTEDIRAPSSDYPSSLGHLDNSSCVDREEQKKAMALVGGDTEDTRAPSSDKTSSLGHVDNSSCIDRVKQKKAVALVGGGTEDIDSRAPTSVKTSSLGHLVKSSCVDREEQKKKAMALVGGGTKNIDSRAPTSDKTSSGHLVNSSCVDREKQKKAMSPVGGDTEDTNSRAATSDKIFSLCHLVISSCADSEEQKKEENRIAFITHASSELDKIIKAANNAYEIQAASDVYMFSGIHADIENFLHAATPDIGQISCTKSKISLQDQRLWSVWSWIKQLRDGINLSGNPIFGDPKQLESVKLSDLHPASWFSVAWYPICQIPAAAGSCQASFLTYHSLGKLVPQTWSMDKADGHDRIVCPIVGLLGYKDQGEKWFQLRQQLRFKPTSNVSSKSKNDHAELLNKRLRALKQGASIMSKAVVPRASGEAMNYHSDYEFFRSRSL >Sspon.06G0013110-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:56816672:56819439:-1 gene:Sspon.06G0013110-2B transcript:Sspon.06G0013110-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MWGGDSNAKQMLKSRGGTGGGLPTAGDEESDYFPPTPRKDYWWSTGLLKLVTATVIFMGGVVLGLSVSGSVARYYYNASHAELFFPATTYGCDPRDRDCGMGLAFRAFVHPPRLAHSMTDDELFWRASLVPKAEEFPFQRVPKVAFLFMARGPIPFAPLWDKFFRGHQGLYSVYVHTVPDYKLNVSKNSAFYGRQIPSEEVSWGSITLVDAEKRLLANALLDFSNERFVLLSESCIPVFNFPTVYEYLINSAHSFVESYNIDTPQSAGRYNRRMAPHIMADQWRKGSEWFELNRELAVQIVADYKYYSIFRKHCRPSCYPDEHYIPTYLHLFHGPLNANRTITWVDWSRGGPHPASYGAADITEEFIQAIRNNGTQCFYNSKPTSVCYLFARKFAPNALGRLMNMTSTVLDF >Sspon.08G0003060-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:45560673:45561720:-1 gene:Sspon.08G0003060-2B transcript:Sspon.08G0003060-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLTGDHESSAQRVAKAVCIEEVHFSLKPEDKLNKVKAVSRERGGGLIMVGDGINDAPALAAATVGMVLAQRASATAVAVADVLLLQDNICGVPFCIAKARQTTSLVKQSVALALTCIVFAALPSVLGFLPLWLT >Sspon.08G0000340-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:1409737:1410126:-1 gene:Sspon.08G0000340-1A transcript:Sspon.08G0000340-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKKASAAAPADAEADERRRRLRSLAVSNGLLQRGDPLAPRAPLAPSGAVTRLQGRDVVRRGGQRKSRYLFSFPGLLAPAASGGRVGELADLGTKNPVLYLEFPQVTGRVGSGLAKLPPFQFGDSGHLT >Sspon.07G0008180-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:19552319:19569447:-1 gene:Sspon.07G0008180-2B transcript:Sspon.07G0008180-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Squamosa promoter binding protein-like 7 [Source:Projected from Arabidopsis thaliana (AT5G18830) UniProtKB/TrEMBL;Acc:F4JZI4] MLDGVQKRYCQQCGKFHVLLDFDEDKRSCRRKLERHNKRRRRKPDSKVTLDKEIDDQLDLSADVSGDGELREENMEGTTSEMLETVLSNKVLDRETPVGSEDVLSSPTCTQPGLQNDQSKSLQNDQSKSVVTFAASVEACIGAKQESVKLANSPMHDTKSAYSSSCPTGRISFKLYDWNPAEFPRRLRHQIFEWLASMPVELEGYIRPGCTILTVFIAMPQHMWDKLSDDAADLLRNLVHSPNSLLLGKGAFFIHVNNMLFQVLKDGATLMSTRLDVQAPRIDYVYPTWFEAGKPVDLILYGSSLDQPNFRSLLSFDGDYLKHDCYRLTSHDTFDHVENGDLIPDSQHEIFRIKITQSRPDIHGPAFVEVENIFGLSNFVPILFGSKQLCSELERIQDALCGSYSKNNVLRELLSASSDPHERRKLHSSVMSGFLIDIGWLIRNPTPDEFKNVLSSTNIQRWICILKFLIQNDFINVLEIIVKSMDSIMGSEVLSNLERGRLEDHVTAFLGYVSHARNIVDRRANHDEKTQIDTGGISVNSPNQPSLGASLPLASENTDIGGDNKLNSADEEETMPLVTRDVSRRQCCQPDMTAGWLKPSLIVTYPGGATRMRLVTTVVVAAVLCFTACLVLFHPHGVGVLAAPVKRYLSSDSAS >Sspon.05G0020650-3P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:79422649:79424095:1 gene:Sspon.05G0020650-3P transcript:Sspon.05G0020650-3P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSGGGSSSRVPGNLNLPAGFRFHPTDEELIVHYLMNQAASMPCPVPIIAEVNIYQCNPWDLPAKALFGENEWYFFSPRDRKYPNGVRPNRAAGSGYWKATGTDRAILSTPTSQNIGVKKALVFYGGRPPKGVKTDWIMHEFRLTGTAANHKSSTKRRAGSSMRKQQLHHQTMSKSCSLTDLLNSMDYASLSQMFLGIPAEAEEPQQSPPLIYPPATATQTTTHQALANNYDNNVMNNNLPTAAVDAVIGSDNNGVKKRKRVMAVDGAAAAESSFDDGSSSFSSKKLKLPSDSRSGGHFGFGTASSGYCNQQQLVDSGSAGFQYGTLLLSNPFFNQQQLLLNSHIGM >Sspon.02G0028230-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:102224382:102236193:1 gene:Sspon.02G0028230-2D transcript:Sspon.02G0028230-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDADEMDVDLDGAGGIGSPSSASPASASGSLPAVLSELASLHRRASSSSSSSATSPPLSLPSITFLSSGPVAVASIFPRLAEAGVPASSLLAPLEASLSAHPLPAAVAYLRLLLAPASPLLTLFSPLPFLSLLLAIRKAAAAAAASGASSAAASNPSSGSGAAAIPRKRKNQRQKPPAAPRAAPSLLPKALSLLADAAGRLPLGAHPDARRSLVDTAAELAAFDVLAAVLGSDYHAGAVQDVIRVLAPVVLSATKSAARVAAVQFLVRKLVPLGGEEGEEAVRKAVGYLPRYLAVKAPDKAEARALAVEAIVEVVRALEADGREGFAGYVVAMARGKAKGRLLAVDLVLALLPVLLPSEGDYCDTEDSWGLKFLRLLVERCSDSVGGVRARALTNAAQVLDVLSERGVEVDRLQEVMRIGDMRLGELLRLRCTDDKAAVRKAVLVLITKAIGLIGRPIDESLLCAMGAACSDPLVSIRKAALAAISEVFRKFPDEKVMKEWLQAVPPLVIDSETSIQEECENLFLELVLNRICQAGNSKLDDDSITLEEVFPEGTLDLLKSICDGEVAPCIKKICASLGKKKKLKPLLASSLQSIITLSESLWLRNCKPIENWTAPIGSWWLLSEVSSFAPKSVDWKFLSHHWKLLDNVGQDDRGKACSQVEPNSALWAVNRVSLLQTISNVSMELPVKPAAELAQSLLTRIEDFDMNLSEVDAHVKALKTLCKRKAKSANEGDALILKWAQQLIRSAFDILDQYIKEASESARGHSFVTPMTGKRKGTKQISSPKSTSQAVVAVFTAGSLILACPTADVKDITPLLHTIVTSGSSEPRPKNLVGGTISFKELAPSLYIQSWDTLAKICLVDDKVAKRYIPIFVQELERSDMATLRNNIMIAMADFYVRYTALVDCYMSKITKSLRDPCEVVRRQTFILLSKLLQRDYVKWRGGLFLQFLPSLVDESEKIRHLADYLFGNILKAKAPLLAYNSFIEAIYVLNDCTGHGVYSDSQSQGSSDRRPALFAIRGTDERSRSKRMHIYVSLLKQMAPEHLLATSAKLCAEILAAVCDGLLSVDDAGGRAVLQDALQILACKEMRIHPNILSENSEMDEEGGEGGGMASALLVAKGRAVTQVAKKNLIQIAVPIFIELKRLLESKNSPLIGCLMECLRALLKDYKNEMDEILVADKQLQRELLYDMQKYEAGKGKGKAAAQAKAEAGPSSTGRSPAGESARATVRSVLKEVNLKEPTPPLHSMSVPKVKSILGSAGPGSRRPDVLESVRRLQPFESDDES >Sspon.03G0015270-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:72311068:72314356:1 gene:Sspon.03G0015270-2B transcript:Sspon.03G0015270-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHMGSSTTACSASASPLCHAQSVAPLSAPSRHAAAAPAAPSPCVPGGCRIASSASPPCAAWDPPPPPAPPRLFLVPRPVRRAALSAPQRWLPSLASFSFLPHEFCSVAVATLLVIVFKEPCSMHSMYKAVSSSALPSKGSHFGHYYSHSNVNMECFD >Sspon.03G0038280-2P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3C:3974100:3975252:1 gene:Sspon.03G0038280-2P transcript:Sspon.03G0038280-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSRLVKCVVVVVAVAVLALSSCGVASAAGRGRPIPTRKTFLKPGAKPAGKFITLRPGRFGHKRDYQAACSDEGGPACYVGCPKDCPNKCLVFCSYCLSFCMCDIFPGTSCGDPRFTGGDGNTFYFHGKKDQDFCIVTDAGLHINAHFIGNHNPALKRDFTWVQALGVTFGDDQHRLYVGARRAVEWDEEEDHIQVTFDSEPVDVDAVKNARWASKAVPGLSVTRMDTVNTVMVELDGVFAISANAVPITDRDDRIHKYGKTGGDSLVHLDLGFQFRNLTKDVDGVLGQTYRPGYVSKLDIGAKMPVMGGAPKYLSAGLFSTDCAVSRFHHRSGAGGAGAGVVTTFAS >Sspon.03G0026620-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:3289364:3301023:1 gene:Sspon.03G0026620-1B transcript:Sspon.03G0026620-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAFVGLLVGKLGEALLNEASSCGTSLLGHEVSTLKGLFGQIREAKDELESMQTYLKEAERFKDTDKTTDLFVQRIRGFAIEIEDVVDEFTYKLEDKHGGTVKKIKHFRTWDQLARKLQDINARLQGAKQLNQQYAVPQMDRNAGVSAFHANQALNFTRDEDLVGITENKELLTKWLPRDKEQKCKITTVWGMPGVGKTTLSYDVQELLSKIARELGIKADVGNMEMGRVAEAIYDYLQGKKYILVLDDVWTADVWSEIRKVIPSNCIGRFIITSRNHEVSLLATSNSAIHLEPFEEDKSWELFCKSAFWDDRDRKCPSHLKDLAFNFVEKCEGLPIAIACVGSLLSGRGQTIAEWKKVYDELELQLLRNVMPRVETILKVSLEDLPYDLRNCFLHCALFPEDYPIKRRRVIRHWITAGFIKKKGNQTLEEVAEEYLIELVNRSLLQVVERNDVGRLKCCRMHDVIRLVALNKAEEECFGKVYDSSTEFCIGYINIDLLRPILVSSTLLSTLDLQGACINVLPSEVFNLFNLRYLGLRYTAIESLPETIGRLQNLEVLDALNAQLSYLPNNIVKLKKLRYLYACFVWHGGEIFKPCGGVKVPSGIRHLTSLQALQCVEASSEILREVGDLTKLRTFGVCNVRSDHSGNLINAINNMNHLVHLDISALGEREVLQLEGLHLPPTISFLQLQGQLANTSMPQVLSSWSQLKSLTKLKILFSKIDEESFSTLTVLRGLCHLALIKSFDGKRLHFTAGCFPKLRFLSIWPAPQLNRVQIEEGAMPSLAELCLQTCRELKCLPEGIEHLTNLEVLDLFETSEELTEKLRQKGEPNEGKVDLMSIRHIRKVTCEKAEARLQLKTKGNNLFDVYFGIVDLATETHFSLTAAK >Sspon.08G0013100-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:65642140:65644549:1 gene:Sspon.08G0013100-1P transcript:Sspon.08G0013100-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHRHFLRLLDDPFFTVPPPPPSSSCPFLLDGVSDYPSPFPAASPFPDLDDLLLPPAPVLDPFFAFPPTPSPYAPLLLRDLTDRVAALELAVATARRGPEPPATRKRTYVTEAGGRKVKWTSVEKPRAGERTLRWEAEIKSPDDDGFDRKWKWEAKGGSSAAGGAGARKLKWGAAVKGKGCLEPWSQAYTWEEDFTASDSDDEEEERAADKNKNKTKKVTVKKEKKEEVKAVKIEEISDDNDAGCVAIKKAFAKGNGKGKRKELSPQDAALLIQMTYRAHLAHRSQVLRCLRDLAVAKAKLKELRSLFYNISYRHRIAHDHEERQRFSEKIIVLLITVDALEGPDYMVRTAKKSMLEELEAMLEVVDPQPPGKQRSLSRRKFDLPEGGAISDEKMAAANKAVRIIEAGK >Sspon.06G0025090-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:88287390:88289963:-1 gene:Sspon.06G0025090-2C transcript:Sspon.06G0025090-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLYIEPSVVLPPGIGNMESLQLLSSVGVSSCANFTKELGSLTELRILHISLDGTLHESHKNPLVDSLCNLKKIQELHIDSTGISNEFIVDLAWFPKYLKSFLGKVPRLPRWMSPLLSDLTTLAITLNMIQQDDLQNLGGLPFLQFLCLTVDSAEERIITSTDQGKFHCLSEFHFHNDKMGLIFAQRSMQNLKTLEVTFRVRERKDAYGDFDLGLENLSSVKHVIARIRCTGSTVCEVEDADLALRKAIDLNPNHPMLEVIRYYEDEMLEDGQHWGKTLVEEDNEKVALQRRGPWGGDGGSTRDIMVAPQSLRSVKICSAAVVDALSFSYLDRYGREHSMPFWGGVGGMIRTIELGPSEYVKEVSGTYGLCSRHPDVVITSLTLVTNLCSYGPFGQPTGTQFHTEVDKTASIVGFFGRSGIYLDAIGVYLNSIDPENRGHNPT >Sspon.04G0000250-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:1724673:1727955:-1 gene:Sspon.04G0000250-3D transcript:Sspon.04G0000250-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRANIPTNSSALIAIIADEDTVTGFLLAGVGNVDLRKKTNYLIVDNKTTVKQIEDAFKEFTAREDIAIVLISQYVANMIRFLVDGYNKPVPAILEIPSKDHPYDPAHDSVLSRVKYLFSAESVASDRR >Sspon.04G0034720-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4C:79012945:79016171:-1 gene:Sspon.04G0034720-1C transcript:Sspon.04G0034720-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LSYTARVPQLQPAAVVSSPSPQNPPSSDLARATAKPNRKNAVPVSPPPRPSSLPSPSPSHPQRRFAPHSLTTSSPAARLRPLRLPHAAYTPRASVRAGDTRVADDLIPIARCYEGRLARLELTGAARREQAVAAAAAADGGARAEAHLAAGSDAMVVEAFLPGPHGGVTTASSTRVILQAKEVKDKASKIEKQFGSDFFFANEPDSESMLAMAFKQVVIQRLSNFRLEVFSPGSVRDFQDFGKPQKVELGNVLDMYFEDQFTLPGKTFHPHWNSDPSKIKKNNGYLNNLSTFLAGSCIFLFVAVFAQLCWPQSFRDKRLFKESSNASSSQNYCSDIKSLDNSEIQAYCTSLVKKMKDSYGCPGDVMVDAHIGAWVGELPNCFKAINSEDAAASGYFQHPDTLSQENQSQSVPINIKMSHLEQNDRTQETLQNIASFQEMSII >Sspon.07G0027410-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7B:60177601:60177972:1 gene:Sspon.07G0027410-1B transcript:Sspon.07G0027410-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPRPKLAVVSRRRARGGLLTVFGGHSGAACRLHPVPGGSGFQHRRQAAVQRSQLDTSSKIVAIAAQASTILSMRDILAVVVLHSQGHVVAVGVAGRGSISPAGGSNGAVPPWAALTGHGRRP >Sspon.01G0057700-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:14527775:14529638:1 gene:Sspon.01G0057700-1D transcript:Sspon.01G0057700-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVAVVAPMPVLEKPVDAPATAPANKKLPSSSNAKKRKGKSRRYQEAAPLPRGRGSRAVAKKPKTGDARTSAAEPARSGVAVAHTAVLPDVHKGWVDERTMSPTWNGAGVPSAVKKLNPESLQGVQEWQIWWKTPRLVIVYSVVVVLLVLLIKDWTFGDTSFCLSDMLALCKHKDEEKQFAAEEISSMVLIKMREIAEAYLGSKIRNAVVTVPAYFNVSQRQATKDAG >Sspon.06G0015910-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:86906158:86908412:1 gene:Sspon.06G0015910-1A transcript:Sspon.06G0015910-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDISNFGQLKSDACRDSINAASDSVVLALDSVLRRLKNAPFYESETFVSFLLQKSCVPLSPLLRQELDNLDKDADSRRAAMKALKSYARHLDSKSIPHFLAEVSDTTAGACGAGVPPGEFTISLYEVLARVHGRNIVPQIGNIMATIMRTLTSSGGSFPLHQACSKVVPAIARYGIDPSSTPDGEKAAIIASLCRPLCGALMGTTQPPGRRRRRALVESTNWRFASASRWPGPCTTGRRARTPHMGLAMALVKHNGLIAEAYARSIVRSGLQILDGDTAESSSQKRLSAIQMINFFMKFVDPRCLSSELGRVIDVMERCQNDRMPFVRGAAFEASQSAKNIAAQKGSRHEVGTSPMVGSNFHKRREKSPCRSLWGAKGSPAGSTVAASPAQFRSPESQVVDSSIMNGSTLTESPVSVGQLWNNDGVDVSLKDGLFIQLCSDSNSYEDDLGEVCDSEVTDANFDIDDVKIYSTPRKLLRLLQSSYDSDSASNVGQSTAKLSGLSPPDQEHKGLVISSEQMQPLHSDSKADEMKDENETIDMQNSNDRTETLSSADESGLSTTEAENTLSKASPEIEHREDDVCVTSSIGKSRKYRAKFTFALSMIVIVLAIITMFIRIENYDDSEYLVPT >Sspon.01G0030330-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:19753782:19755290:-1 gene:Sspon.01G0030330-2P transcript:Sspon.01G0030330-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LSGLNSGVVLSLIAVLWTVVWQNLQHLQLQQFFARHLSRHARRLAAMVDPYLSVTIAEYEGGRMKRSDAYEEIKAYLSDASARGVRHLRAEGAKDADKLVLSMSDGEEVEDEFQGARVFWGAYSKQPPRSDGAAAFWGGGGAAQEERRFYRLYFLERHRSLVLDTYLPRVRQLGRAVMVKNRQRKLFTNISTHQWSDGGYMRSAWSHVAFEHPKTFATLAMDPVEKKRIMDDLDMFKNGKDYYARVGKAWKRGYLLYGPPGTGKSAMIAAMANYLDYDIYDIELTSVHSNTDLRKLFIETTSKSIIVIEDIDCSLDLTGARKKKEAAEEDDKKDGGPFRPGEKKDTSSKVTLSGLLNFIDGLWSACGGERIIVFTTNHVKKLDPALIRRGRMDKHIEMSYCGFEAFKFLAKTYLDVDSHPLFDAVGELLREVQMTPADVAENLTPKSLDDGPDSCLEDLVKALEEAKEKKASGGDEQDQQDEEEQPHAQELGRRPGPMPRGLG >Sspon.06G0003570-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:8730713:8735548:-1 gene:Sspon.06G0003570-2B transcript:Sspon.06G0003570-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESLILPWVQGLVGKAADALVQRVTSMWGVENYRRKLELQLVYVRSLLADAEEKAEAKSEAGRAVKAWMKKLKAVAYKADDVLDDFRYEALRQEAQAGESTSRKVLYFSRDRLVFHHKASRDLKDILDEIDELVVEMNTFGLLQRAEAPQVLYRQTYSAMDESMEIFGRDDDKEVVDVIGQKRFLLVLDDVWNEEQSKWEDDLKPLLCSSNGGSGSMIVVTSRSQQVASIMGTLAPHELKCLGEDDSWTLDESNEISGLLKGTSPLRTLLTQSKHNDLKELKLMSLRALCCKDPFIIDSQLINVAHLRYLDLSLSDIVGLPDSLCMLYNLQSLRLNDCAKLQYLPEGMAVLRNLEHLYLLGCGSLKRMPPKLSLLHNLRTLTTFIVDTEDGCGIEELKDLRQLGNRLELYNLCKVKTGSESNIHDKQNLSELLLHWGRNLSYDRAIEEVSNAEQVLESLAPHGELKVLELHRYVGSEISQWMRDPRMFRCLKKLHISCCERCKELPMVWLSSSLEELFLSSMDSMTTLCKNVDAEDAGYNNHLQIFPKLNKMELSHLPELERWAENSAGEPIRSVVFPLLKVLIINNCPKLTSLPECPFLTRLDCCTSWLKGVAPVCMPLGFWPSLVHLGVGVLADVVMPLQNQQGQSQSPLDTLRSLKIRGDDAFVSIFNQSTLQLGLKDCFPFLERLEFNSCSNIVCWPVEELRCLPCLRSLYFLGCNKLEGNGSSYEEILPLPQLETLQIASCDSLLEIPMLPPSLEEMWILMCGSLLALPSNLGSLVKLRKLYLFRCDGLKALPNGADGLTSLEQLTICECPGIEKFPHVLLQRLPALKYLHIEGCPDLQRRCREGGEYFDSVSPIPMKTILSVESVLQKLTGLQCTVLFSNPYSDMSFEFASFNEIAHFYVLSFAYAYG >Sspon.05G0016260-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:66017757:66021707:-1 gene:Sspon.05G0016260-1A transcript:Sspon.05G0016260-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASAPIRLLSPSRSPWLPQDPSGPSPRPVPPLHASPARHRHLRSVRCALSPPPPSLDLPLLPFQPAEVQKLEIGALISIRGVCRVNISNLLDMEPYFRGTVSPMMDEPYDAIELGTRISKLKESMCNLHSLQMKLKVPEDEPLQTNIRASLLWSEKEIFEEYNESFIPGHPERLSFAAYQTVSGMSDEELLTLQNYKIQAMDSIDTLERLNNGIKFVEHNIGMIAARLAIQNI >Sspon.03G0007250-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:24957483:24958390:1 gene:Sspon.03G0007250-2D transcript:Sspon.03G0007250-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:HVA22-like protein f [Source:Projected from Arabidopsis thaliana (AT2G42820) UniProtKB/Swiss-Prot;Acc:Q682H0] MRAIESPSSLDDQQWLTYWCCSGKFNNSSAPMNKEFTISGSSRGGSFLRFPLWPYMKLLFCCWLVLPIFNGAAYIYEAHVRRYFKIGNYVSPNYNERQRRVLQMMSLDARKAVERFIETHGPDALDKIIRAAEEEAKRT >Sspon.05G0007450-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:14768786:14775175:-1 gene:Sspon.05G0007450-1T transcript:Sspon.05G0007450-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MYVRATARTCYRMFAKTWPERSRRLFMSFDPAIQRIINDEDGGVHKRYASPSLRDRVVQPSRASSHSSGTHVPGYGTSAIVAMDKSAAISSDSSFPSNNLRLSQSKTIGRSSERSLESVLSSSKEKVSAIESLLKGVSMSGQNFSAARSTSLDLGVDPPSSRDPPVPLAAPASNVLSLQNSALLNSSLPTIPPSSRNGGSRLLDTMTTHMPTKERSRSPYLSNMSSESMSGLSLPYSRRSLERLQEGGRMDESYDIRSTRRIPQMHLERNYVDMSYRDSSHRDSHNNHVPNFQRPLLRKQVMSRASASGRHSFDDSHVPSGDVSGYTDSLASLNDALSEGLSPSSDWVARVSAFEFIRNLLKQGQKGIQEITQNFEKVMKLFFRHLDDPHHKVAQAAFSALAEIIPACKKPFESYVERILPYVFSRLIDPKELVKKPCSVTLEIVGRTYAIDMLLPALVRSLDEQRSPKAKLAVLEFANKSFSKYTVDSDGYSNSGFLKLWLSKLAPLVNEKNAKLKEASISGIISVYSHFDSTAVLNFILSLSVEDQNLLRRALKIKTPRIEVDLVNYLQSKKERPRPKSYDQVDFGTSSEDGYALTSKNSYPFGRFSSSSLDAEGGKKINSMQEPVLHNVSIGRTASDMSMDHAIQSLESSTGAEVHLTRSREPKTSSNSVVEAARSWTNYPEKTDASLDGETATGTPRLDFSRFLTSDRHNTVGSTTEESVQEGDMIVNLSSIKTNLQTDNGLSIPQLLHQISNDTEVSSSEKREALQQLVDASLDNNSSIWAKYFNQILTAVLEVLDDSDSSTRELALSLIAEMLNNQKDSIEDSMEIVLEKLLHVTKDAVAKISNEANQCLNVLLAKYDPFRCLAIVVPLLVSDDEKILVVCINCLTKLVGRLSQEELIDQLPTFLPALFDAFNNQSPDVRKTVVFCLVDIYIMLGKAFAHTWKGLAARSSA >Sspon.04G0011580-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:7543741:7544284:-1 gene:Sspon.04G0011580-2D transcript:Sspon.04G0011580-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding NMPRRRFPLAGTVTAAALLLAIVVVLASATAPADAKTTSLASSFLEPHNAARRAVGVPALRWDERLAAYARWYAAARAGDCRLQHSHGRDDYGENLFRGSGGARSTNSCRGGGGACGHYTQVVWRGTKAVGCAMAPCARAGARFTFAVCSYSPPGNYVGMRPY >Sspon.02G0032690-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:113527735:113528392:1 gene:Sspon.02G0032690-2B transcript:Sspon.02G0032690-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATVGRQPAAASMMARVDRLDLLLGYLEEMHRNGHGNASPPSATTASSPSTPAAAAGGHRGLVSSDDDDSAASTPRGSKTWRRPRPAKEVLEEAQTKGSLIDRIAFLEHRVLKMEEDMEIAPADEDKASQQPRAMQIVSGYGHDTRKEKVQDPSSRKKKKGLKSLVKSCVRGNLKTKD >Sspon.08G0012390-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:49505311:49508798:1 gene:Sspon.08G0012390-2B transcript:Sspon.08G0012390-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRARETAGAAGTDHLWAKAAELERDFAGYKRRLAERRAHAVAEVASRAGGGEEGVGDAAGRGRRYEEYVRRRDERLRQEWRARMERKEAEVQALWARLDRTGSRGSSRRGGGDDHDGGEVAAAATSHAREVQVKPPQKPGNLAVKVKPSTPVTPRGGNPSHPPATKLARPRTSVASSLAAGNPSPRLSTPDPRRRPPHSHLHRGQPQAQAQAQPPATPRKENRLPPPPSAALAAAASPATPRPRTTTMLSRSRSMFKDRGCSSVAVGGESPRPTRFLPPRSTYDGASSNLKEPIPSPRADDAIALVRSSSCSREQTVVADRRKASAVAPEPFLVKRSSGNDIEPTSAPSKDWDLPYSSEITLASCGNADNKSNQQEYVDQSSVKFGSEEITGDSDTEPSYVYIKKDSDEHIPRPPQASAGVGTCPGPEPRSDTDNKEDSDNVEDTMESTGSNEVAGETPAADAEDELRRESSESLYSNVQSSFSPRSELDTSATGSPLPSATEQSTESNTSPRTGTKSTEVEGAEKRPPTPTTPRSSVQSPMDAVNGLKRLLTFGKRNGKASETAVADERAPRSEAPAPPAGGDGSVSGECPAGRSAKLTVDSSDDLDSIGSLHSIGPSYPASSELKEPVLHAKSPRGSSYTILACIHHKHCIDRSSRFHRSSLEQIDAEHWLRQLLCISDSRVFVAIHFASKTSWIT >Sspon.08G0010190-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:43043999:43056019:-1 gene:Sspon.08G0010190-3D transcript:Sspon.08G0010190-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLDGLRLDTLLKRVCKSLLKKRLGDLILGDLDLEQFDIQLGRGTLQLNDLALNAEFINRKVSFSSAPSRLLSRFPASCSPSHLSGSPITLKEGSIKSLLVRFAASCEIVVEELELVLAPSVASEVGDVYTECSVSGSTSDTQTLVKTQRNESDSNQCSTSVSRDVDEGVKRIASAVKWFLTSFNIKLKNVYVVFDPQISLNSGLPETSRSLVFRIKEVEFGTQLGLFKLDNFLTFHEAVIEFLKMDDVDAVLQNDPIRGTADISARYSTTAVLTGPISGFSGKLNLSIPWNKGCLNFEKIDADVSVDSLELRLQFSSIRWIMNVWDSLQRKPVDEQRCGHNIADISISSSSSAFCPPASSSLKPGSDSVIATSSEYLAQSTFSQSKQDKIQDSFLTRAHVITDWMEPVARKDLGDPDSDCDESIDQFFECFEELRNSQSSLGNSGIWDWTCSVFNAISFASTLASGSDQVPKEPVVERTLRASITEVSVLLLFSDDTDIENSSVHVSALDDLRNSEMFSSCLSSEHFEKSIISPAKASSLNMHHLKAKCENIHLDLQTYPKNVRFKASIAQIKLDEYYHAGNNNSDDSNLGNHFLNNNLRQGVQASLPQCLFAAGDHSVETYEFCGNSSSELTRVELLKTFGECTFYYDYQRSRWQFSKLNFYVIRLAPLLLWVHFHTIYMLLSFVSKVESDLSHGEHKIQKHGDEKGSRLTTSTNMSSSGSLKVQISLSPARIILCFPSEFSWDLSHPSVLDKFLVIDHTSCLNMVGNRQNEMQNEVHLSKPCTSIHLALGNIDVYLVKPVNNVLVGRICSSSRQTFSTMKILSVTGASYNDSGITLVRRKYPVTGPEILNNAWSLPKLHDEKITKKQNSKWAGISPSSTHDLAETGSSMRQELLKSTELLFHVQLSCVSVQLSKKDCELLNKLLDHVIEGISNEQTSISGNSKDQSVLINDVCIQTSVLFECSILEICTELNETVEVGPLLQAELKGSWNSLKLKVSKFSLFSCSNVGGLNNASFLWVNHGEGELWGSVSAKNDKVPGESKDFLIVACKDSACRRGDGEGTNVLSIGAAGCSVTHIRNPKLKENYTSVDVRSGTIVAPGGRMDWINAICLLFSSGSDRTEKSDDSNTVNSYQSGEPYSSSLFIELADVAVSYEPHFKNSTLSAGAADRKFFSCLLAASSFKLHNKSASASAATDFDIQLRDLGLLISQSSGSTNATCSYGVDYLRQAGYAKVAQNTFIEASLRLLEISDSQFDIGTCHDTTYGLIGLGSQLQQLYGPDMQDALDHLQSRWNSVQQANRQNMAADASDKSDSSLEISADSGDYQSDGLLDDIIENAFYTEDCLDNNFWESNCHHLFSSSETDDGFELNATTSSHEFLRSTPEGNVTQISPERNACPDQIIDSYYMPEFHQSLSALCDEEHKCTSGDNSRRTLESEDAGWYNNVPLTIVENHVLKKKNKQVEQVLQHEVASVCSLNPDEYCNLKGKWRMYAGDDWLLPQKDSTSLTCTDGRDKSSSLEFTLRGLGIQVDMYPNGDVSISKLSVAAEDLTLCDQSIHAPWKLLKKGEKKERREISEKTLLERSAKGHPKAGSHLYRLHLEILPLQLHLDQEQLNFLINFFKNDSCNNDPHLHCENETVDVKSTSNGSNTVVDEALLPFFQKFDVKPLVLHINYIPRQFDPIALGKGNYAELLNILPWKGIDLKLKHVSAMGVYGWNSIGDTVAAEWLEDISKNQVHKLLKGLPPIRSLVAVGSGTRKLVSLPIKSYKKDRKLLKGVQRGAVAFIRSVTIEAVGLGVHLAAGAHDMLVKTEHALTTVPPPLASCEAKRTKHNIRANQPEIYNRGAGVGSVLATAICGAPAAAVAPVSASARALHYALLGLRNSLDPEHKKESIRFNPSAQVYRSKPYTM >Sspon.07G0033780-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7D:61874485:61879650:1 gene:Sspon.07G0033780-2D transcript:Sspon.07G0033780-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEELTQGLQPGSTTSSSSSTALAATSPRPPAPPRSSAPPPSSLLGPPPSGPSGGGGVVALVVAVGGPRGWPGWSTPGSGTDWDTRGAPWPSFQNPWSGRISMWPYHAPGADTRPPMAMLTGAPPAGPSPGTFPATPWFPPSGTPPGVAGWDQAALARSFSTMGLTPPVGPEWIADSGATYHTTPDPGILSSIHSPSSSLPSSIMVANGSCIPVSSVGTAGAHGSFRLPDVLVAPSMVHNLLSIRRFTADNSCSVEFDSSGLTVKDLASRRPLLRCDSTGPLYTLRFPTAPSSSSPPVLSAAFVASTSSTTWHRRLGHPGRDALIQLSRSSGLPCTRAHDEQLCHACQLGRHVRLPFSSSSHAARIFDLVHCDLWTSPVISISGYKYYLVVVDDFSHYSWTFPLRAKSDTFTTLLHFFTWVSTQFGLTIKAVQCDNGREFDNSTSRAFFLSHGVQLRMSCPYTSSQNGKAERMIRTTNDTVRTLLFQASLPARFWAEGLHTSTYLLNRLPSAACPAPTPHHALFGTPPRYDHLRVFGCACYPNTAATSSHKLDPRSTLCVFLGYSPDHKGYRCFDLTSRRVLISRHVVFDESVFPFPAPLHLRSLTHPLCFPLTRWSSHLFRGLLQSGPLVLRRGPVFSSWCRPGAFVPRFCPGGSCRLPTPDPVSAPAPAPPSRFAAPVRVYQRRPRPPPLVVPSPPGTPTPPPLSPPARGAPPVYHPPLLHRHPRHVHPMVTRHAAGTLPPRALEASTGDAVVSPVPSSVRDALLDPHWRRAMEEEYAALLANQTWELVPRPPGANVVTGKWIWTHKRRADGSLERYKARWVLRGFTQRPGVDYDETFSPVVKPATVRTVLSLALARSWPVHQLDVKNAFLHGLLTETVYCSQPAGFVDTTRPDMVCRLNRSLYGLKQAPRAWNHRFAAFLLTLGFVEAKSDTSLFVYHHGADTAYLLLYVDDIVLTASSEPLLRRIIAALQQEFAMKDLGELHHFLGVTVEHRPAGLLLHQRQYTRDILERAGMSDCKPCSTPVDTQGKLSEAEGPPVADPTAYRSLAGALQYLTFTRPDITYAVQQVCLHMHDPREPHLTALKRSSATSGAHSTTASSYTGPPPPTSSSTPTLTGPGARILAAPPPATPSSWAATWCLGRPSASRWSPGPAPRRSTAPLLTVAEAAWLRQLLAELHTPPSRSTLIYCDNVSAVYLSTNPIQHQRTKHVEIDLTLSVIGWPWARCGSFMFRRPPSSRTSSPKASRPRPSPSFAPASTSPVASCVCGGLCVFFLVQPRTPLRR >Sspon.06G0011760-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:64090717:64093680:-1 gene:Sspon.06G0011760-1A transcript:Sspon.06G0011760-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At5g50390, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G50390) UniProtKB/Swiss-Prot;Acc:Q9FK33] MDLQLAPPPLANLRLFAHRPKAASTSTSTATAIPSTSCSSSTEQPLKPRPRLPRQSPAPRPNPNPARLPALCAAIERHAAAGRHAEALDLFRLARAGAPFTPLPARTYHALLLAAAALREPGAAAAVAWHVESSGFELDLYTHNHVLQAYLECGMLAEARRAFDGMPDRNGVTWGIMMGGLVDRGRPRAALALFREMMRAEEACGHAPPPRSLVVALRAATASGSARAGWQLHCCVVKAGACGDVADRYLACALLDMYSKCGLIDEARRVFDGLPQPHGTSVVAWNSMLAAYVLHGCSEGALELYQEMCRSRVSMDQFTFSTMLGVFSRLGLLEHAKQAHAGLIQRGLPLDIVGNTALVDLYCKWGRMEDARNVFERMPRRNLISWNALIAGYGYHGMGDKAIEMFERLIAEGVAPNHVTFLAVLNACRFSGLVDKGKKIFQLMTENLRIKPRAMHYACVIELFGREGLLDEAYSMIRRAPFTPTANMWGALLTASRIHKNMHLAKLAVEQLLAMEPEKLNSYLVLLNLYASSGRQDDACKVVETLKRKDTLHPQSAEIYRRLDTLMKEVREAGFVAEENELLPDIHPEEQKISRAYHSERLAIAFGLISTSPHTPLRITQSHRLCIDCHKQSAIKQVAAHNTIVSR >Sspon.08G0000540-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:245075:264824:-1 gene:Sspon.08G0000540-4D transcript:Sspon.08G0000540-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPGRRTADVSSSSPAPSPSPAGPSTGRRLLRTQTVGNLGESIFDSEVVPSSLVEIAPILRVANEVEATNPRVAYLCRFYAFEKAHRLDPTSSGRGVRQFKTALLQRLERENDPTLKGRVHQSDAREMQRFYREYYKKYIQALQNAADKADRALLTKAYQTAAVLFEVLKAVNVSQSVEVDQAILDTHNKVEEKKKLFLPYNILPLDPESTGQAIMLYPEIQAAVYALRNTRGLPWPKDQDKKPDDKNTGKDLLDWLQAMFGFQKDNVSNQREHLILLLANVHIRKIPKADQQPKLDDKALDDVMKKLFKNYKKWCKYLGRKSSLWLPTIQQEVQQRKLLYMGLYLLIWGEAANLRFMPECICYIYHHMAFELYGMLAGNVSPMTGENVKPAYGGDEEAFLMKVVTPIHKVIEKEAERSKTMKSKHSHWRNYDDLNEYFWSVDCFRLGWPMRADADFFKTPKVAYPNLLNGEDRSAGNVHWMGKVNFVEIRSFWHIFRSFDRMWIFLILSLQAMIIIAWNGGTPSDIFDAGVFKKVLSIFITAAILKLGQAILDLVFGWKARRSMSFAVKLRYVLKLISAAAWVVILPVTYAYTWENPTGLARTIKSWLGDGQNQPSLYILAVVIYMAPNILASMLFLFPFMRRFLESSNVKVITIMMWWSQPRLFVGRGMHEGAFSLFKYTMFWIILLAMKLIVSFYIEIKPLVQPTKDIMREPIRTFQWHEFFPHGTNNIGVVISLWAPIILVYFMDTQIWYALFSTLIGGIYGAYRRLGEIRTLGMLRSRFESLPEAFNERLIPSDANKSKGLRAAFLSRPKASGDEREREKRAARFAQMWNVIITSFREEDLIDNREMDLLLVPYCKDRELDIFQWPPFLLASKIPIALDMAADSGGKDRDLTKRIKSDPYFSFAIRECYASFKNIINTLVFGQREKHVLAQIFAVVDQHIQEETLIKDLNMRNLPALSKKFVELLELLQKNKEEDLGQVVILFQDMLEVVTRDIMEEQELGPLLDSVHGPHSRKHEGITPLDQQDQLFAKAIKFPVEESNAWTEKIKRLHLLLTVKESAMDVPTNLDARRRISFFANSLFMDMPNAPKVRNMLPFSILTPYYKEDVLFSLQNLEEPNEDGVSILFYLQKIYPDEWKNFLERVGCKNEEELREDEELEEKLRLWASYRGQTLTRTVRGMMYYRKALELQAFLDMAKDDDLMEGYRATEGMPEDSQLMTQCKAIADMKFTYVVSCQQYGIQKRSNEPCAHDILRLMTEYPSLRVAYIDEVEAPSQDRNKKIEKVYYSVLVKASVTKPNEPGQSLDQVIYKIKLPGNAILGEGKPENQNHAIIFTRGECLQTIDMNQEHYMEEALKMRNLLQEFEKKHDG >Sspon.06G0010930-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:42749550:42749894:-1 gene:Sspon.06G0010930-2D transcript:Sspon.06G0010930-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRHTCWPAPAAAVKAGAICVLLLLPAPVAAQAPVEAAAAIEAEGDGNGTTRGAGGSRGGGGGGGGGGTRKLVSSIDCQICEATCRVKCLVNNLFQWGVCYQRCKSDNCNDWCR >Sspon.02G0011820-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:31597587:31598216:-1 gene:Sspon.02G0011820-1A transcript:Sspon.02G0011820-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAGQRGQTRFVVHRNGAARHLIIASLQGQRRWAVATAAENDRAFLCASFSVLQTRPLRCGDDRQDFFAFRSKSPRPTSNMPSRKPP >Sspon.02G0040210-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2B:66276265:66276587:-1 gene:Sspon.02G0040210-1B transcript:Sspon.02G0040210-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQIQGGIQNETVAPSTTLPTKAAIALVSSKRAPTSVLAFADDLPFLAAGASLGVIAPGVGLRDIDVTFPSPSPRTRDGHRGPPLLASSPAASRAGPSRFESRRASC >Sspon.07G0001420-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:2088580:2099503:1 gene:Sspon.07G0001420-2B transcript:Sspon.07G0001420-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMPRLKGLTFLLLLLLLVLCSGVHQCDARSGKRWSWRRSSRAPPSTSALRRKGKAKSSSSSSSHKQNGKGSQSPHQPSPTAPASPSGDPVQGNGSQAPAMPAPRGGGYGYPPPPPPPLQPSPSTPSPPAAPSSQGTVFNVVEFGAKGDGVTDDTQAFQAAWAAACKVEASTVLVPSEHEFVVGPISFSGPYCKPNILFQLDGTILAQTSARVWGSGLLQWLEFTKLTGIAIQGSGVINGRGQEWWTYSDPNDDDNDDAYYVELDKMPQIKPTALRFYGSSNVTVTGITIVNSSQCHLKFDSCQGVMVHDLTISSPENSPNTDGIHLQNSKEVNIHHTNLACGDDCVSIQTGCSDVNIHNVNCGPGHGISIGGLGRYNTKACVSNITVRDVKMFRTMTGVRIKTWQGGSGLVQGIRFSNIQVSEVQTPIIIDQFYCDKTTCRNQTSVDSSPCSEITLTGIQLKPLLVPQYHLYNPFCWQAFGELYTPTVPPISCLQIGKPAGNSVLSDGDLC >Sspon.01G0022510-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:84761803:84765587:-1 gene:Sspon.01G0022510-2B transcript:Sspon.01G0022510-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRLVAAAASSSSSVRPWTCEGALVERSVEPEQVEGRALCSSKPLYVGALIYGGFKLFGGKKEDKTEVAPAKA >Sspon.01G0018320-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:68925519:68926229:1 gene:Sspon.01G0018320-3C transcript:Sspon.01G0018320-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSSKTQEALALVALAVLANAAVAADDASSCPKLTLHNLCPYPVWPLVTANAGYPSVAGTDARLDGNGEGLVTMALPQGAWSGRVVARTGCADDGMACGDGCSPPQCETGNAPPATVVQVSVGGYGGLAVYSVSLVDGFNVPMVVTPSDFAAGAQCPTMGCAVDLTQDQECCPAGAGAPHGGCGAGGTQAAPFKAKCPDTRTTSTDVEATPQDCIAPGELKVVFCPARDCTASCN >Sspon.06G0011940-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:51885127:51887076:1 gene:Sspon.06G0011940-3C transcript:Sspon.06G0011940-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIITQLQDQLDEMAVLAVNTFGTLQRDAPPDRLSNSYPDPLNPNPKPDDDSKPPQVQGQPGAPPPAQAQPPAPDLSEQPKAMSHALVLAAKKVGTLPLLSSLLGLATCSTKCPPGDPKSLPLSSEEDQVKRIQELQAENEVVGLELQKQLEAAERELKQVEVLFNEATDNCINFKKLD >Sspon.05G0004050-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:12397234:12408368:-1 gene:Sspon.05G0004050-1A transcript:Sspon.05G0004050-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRWLPRLLSHAAAAGRASAAARSTPSLVKGGSHGFASGGWDGSPAVPREWLRKLWVDELRKQKEAARRWGVGASSGNAGSVAEPSLGAFARCGSDQGGTVKELSAKLAPLLARANLIIARDIEWANIMFAFEQESRYIIMDPLFPQSPVGFIREKSNVIFRQLLRTRRPFVAEITDARGNEIFKVRRPFWWINSSIYAEVDGKEIGVVHRRWHLWRRIYDLYLGNRQFAVVENPGFWNWTFSLVDEDDKLLAQIDRNWRGIGFELFTDAGQYAIRFGDEGQSHKFALTADVDELHVVRQLTLPERAVALALAISLDSDYFSRRGGWGLPFLIATE >Sspon.04G0022420-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:5880770:5887146:-1 gene:Sspon.04G0022420-1B transcript:Sspon.04G0022420-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHCEATMELDLDLAQHDVSATCQVAYACTLSIRGRTGQEPARQRTTWHTRPGPMAGTPTEEATGQGATLSGDVARRKTVEAETHQRQRNRAVSYGGPTTIFDDLEPLGVDVGPMAGHMRGPWLPRCPRAEALGHRDPCRRLWTKATLRGHSCSSQPWRPTVVGRAVPTPMTPLPPDNRQVSSLHAGRVLRRSQGPLRRWRPASRYDGRVAEATSSSELPAQRSSSQRLNLPLPADWWAAGKKGVASGERDARVAPPASLSSSSSSSSSSSSLTVTASMTTGGSSSNCCFSLSAEATVSDEPTVAGGGGAGGSGGPNKGPTASDRRGPASIKAGGGPAKADPGRGGEVEVEGGPPGGAAVVAPASTVVVARRAAGSPQWSWAASVASPRAPPDEEGRRWRRRWGKEADAGGGAPARTRARGGEETTDPGGGVVDLVVGEAGADAGAGLAPSAAGGGGGEGARGGGYQQPATSRWLSHYYCTAQTINRGAGSLTLHHNDTHTKYKVGSSPQLTSFAAQFSESLAQLSAGSRRLMDLYIPPPSDQLTDHHGGVLSGDIRVTTLWYGSFTSAQKSIVYDFLLSLTTAPSAATPSVNQWWGTIDQLYLSSAAASASRVLLDARAQVSDEAYSLGQSLTLAQIEQLAARAGAKKGGIALVFTDENVAVEGFCSSRCGKHGSAAPGAEAGSTYIWVGNAVKQCPGQCAWPFAQPLYGPQGAPLVAPNGDAGMDGLVMVLAAMVAGTVTNPYRDGFYQGSKNAPLEACTACPGVYGSGAYPGFPGNLLVDQTTGGSYNANGVNGRKYLLPALYNPATSTCNTLGLQCTNCLIRSPPHSDAYTSTQRQATATLKIRQLLPLSPWMQGTTSQGDYWKMDESSQALLQVDGAAATRRL >Sspon.03G0031420-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:27730095:27730458:-1 gene:Sspon.03G0031420-1B transcript:Sspon.03G0031420-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPQALALASFLAAALLCLGAAAASPIMSVAGKFAMEGMGMTLDQGIDHLLVFAAVFVMCLFR >Sspon.01G0003700-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:8300708:8300905:-1 gene:Sspon.01G0003700-2B transcript:Sspon.01G0003700-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DGDEGGAGGRPADAVLQRVRCGEAVAGSTGGSDDSRRRLAGDDHAGATRAGRQQQQLPSSQRIVPL >Sspon.02G0029390-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:113427011:113436110:-1 gene:Sspon.02G0029390-2C transcript:Sspon.02G0029390-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPRGGYDEQDRRTAKGTEVFVGGLPRSATESTLREIFSSCGVIIDVRIMKDQNGHSKGYGFVRFSKREYANTAKRQKNGIELQGKRLDVDLSMDQDTVFFGNLCKEWTLEEFEELIRKTFKDVVSVDLAMASNRGSSNKRNTNRGFAFVRFSSHAAAARVIRIGSRTDFMLGDILHPAINWADKESHVDPDEMAKIKSAFVGNLPEDVNEEYLRKLFEQFGEVVRVAISRKGQGPVGFVHFANRSELENAIEEMDGKTVSVARPVADNDKKRSREEVRTRRSNVSGDRQDYSHGRYGHDSLDRQVKAPRLSNYAADASDPYESAVNSLPSAAKEVLLRILRLGIGTRYDIDIHCVKSLNELPESSALAVLNQRYPDEDYDFVTPGSSRYSSSGHHPSTYYVDDLPVSQSRIRRYAEERSTIVRNPEPRPRHDEIDIRMNPEPRLAYESRHNTGKHLDRRYIQEHSSNIERSAEEPDLSRERRFLPAAGYNKAGYNTDLGSDFRSRSPAEYSAERQQVRFDPFTGEPYKFDPFTGEPIRPDPNPRRSGS >Sspon.03G0027150-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:4832776:4840261:-1 gene:Sspon.03G0027150-1B transcript:Sspon.03G0027150-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSLARLCLITLRPKEEADLAADRPCASKTQCTAYPYNPVTGRCAAASLVHTRLIANTTDGRNPLSQVSVRAVAACAPKKLLARLPRNAAGVAGLADAGLALPAQVAASQRVANRFLLCLPRRGEGVAVFGGGPLFLLPESAIGDLTSTLAFTALRRRRGNPLYYIPVQGVAVNQAPVPLPASALATGGVVLCTRVPYTALRPDVYRPAVQAFDRALARNDAKVPQSSMLGNTRLGYAVPDIALVLEDGKSWTFVGSNSMVDVNSQTACLAFVEMKGVKAGDPAAAAVVVGGFQMENHLLQFDLEKKQLGFAKDAATSLYTIPIRDGANHVIDLAGPLLWSTCDHIPANIPCQDPVCKLANAYRAPSCGIAGQRCSKRCKAYPYNPITGRCAAAELVYTRLVANTTDGKNPLSQVSVRAVAACAPRTLLERLPRDVTGVAGLSAAGLALPAQVAASQRVANTFLLCLPRSGRGDGVAVFGGRGPFFLKLFVTGEPSSGDLTPTLQFTPLRSRPGNPLYYIPVTNVAVGRTQVPLPPHALAAGGVVLCTRVPYTALRPDVYRPVVEAFDRGLIRSDMRVAAVPPFEFCYNRTLLPPTRLGDGVPEITLVLEGGKEWTFVGSSSMVDVNAKTACLAFVEMKGVKAGDPAAAAVVVGGFQMEDHLLQFDLEKKQLGFAKVPIISACSNFNFTRGQ >Sspon.05G0009250-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:5A:26801016:26802587:1 gene:Sspon.05G0009250-1A transcript:Sspon.05G0009250-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRKIPQEGNRLHPLSPNPSIPNPPVAMAPFRRWADLPPDLLCRIGDRLDLKWYACARGACTAWRCALAPPSPALLVVADDARWCPYAASLPTRRSFELTAIVSGSRCVGSSNGWLALSIVLFSGQTVFVLLNPIAAVEIILPPLIYESRWVSKVVFTPSPAKDDFAAAAICDIDRIAYVTAGARRWAVMEPVRLTSGDQLTDVVYTDKGKVYCLTKCGDVHVLRLPERRRRKPANADEAGPSEQEFSVLQPPQPTERINFRPLRWQHQRNFRMLRYEQARTRDQDPTIPLRVTFCAEIPSYKKVPPESQGPHLNAPATVEPLLSEANLPFNPATVFAPPYDTVSSFTSAKNLVFCEGNLYQVWRNASCTVTLQLPAGGQRRVAENEILVLRYYPRRQPCWDVVKDLGGHSLFVGRNNAVSMYAEGVPGLKGNCVYWIGGRGRDQGMVFDMESGRSTPCRAPPVGFLPGHPHSTICWYFLSDVMSINNSYNNSVSSSSSSSSGGRKVYQTRARARADLADMEE >Sspon.05G0005930-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:13048702:13049583:-1 gene:Sspon.05G0005930-2B transcript:Sspon.05G0005930-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLNPASEALVLDTIRQHLLEEPAPAAEARPADESFGSLVADQWSGSLPFRTDDADDMVVFGALQDAFAYGWLPDGSFAHVKPEPVRSPDSSYSYDGSCCFGGIAAAAAAGDKRPSPEPATSSDSSFSSCSSSTSSSSTSGSPKRRKRGEAAAANMAMALVPPPSQLNRPVQPWFPAEPVEQAAMAPRVEQLVI >Sspon.03G0026490-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:7818199:7822834:-1 gene:Sspon.03G0026490-2C transcript:Sspon.03G0026490-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNIICNWDARKALLDFDWTSHDNTHCDDRSIWSCTDCHVLGCEDHKIASISNKERSFDLHEIFKTLPGVRMEKNMQVARIIPDAGALELGIWSLPDDVLHKVLILLKPRDLIRMAATCHHLRTLAASVMPCMKLKLFPHQEAAVEWMLKREQNVHVLAHPLYKDFCTEDGFPFHINVISAEISTGDAPTINDFCGGMFCDEPGLGKTVTTLSLILKTQGTMAYPPHGVDVSWCMHKPDKKCGYYELSASCSSNRNSSSSVSKKLLEEDVITDYPCPDDSVCSTRSSRKRGRLLSPDPTKVMLHAAIENSPSSSHSKVHSMPATHILKFTKNSRQVRKNLMDAYSDVSVGNKRKIGTSSELSETWVQCDACRKWRRLSNGTVLDSTTVWFCTMNTDPTRQKCTAPEESWDFKRKITYLPGFYKKNSLPGNEENVTFFTNILKDNVTMINSETKKALLWLAKLSPTKLLEMEFVGLTRPVLDTRATTGKGARPYYKIFQAFGLVRKIEKGVTRWYYPSMLDDLSFDSAALGAALEKPLDSTRFYLSTATLIVVPANLIDHWTTQIQRHVSSDTLNVFVWGDHKKPSAHNLAWDYDIVITTFSRLSAEWGPKKRSPLKQIHWFRVILDEGHTLGSSLALTNKLQMAVALVASNRWILTGTPTPNTPTSQVAHLHPMLKFLHDETYGENYQSWDSGIHRPFEAQMEEGRVRLVQLLQRTMISARKADLKNIPPCIKKITYLDFNEGHAKSYNELVVTIRRNILMADWNDPSHVESLLNPKQWKFRATTLKNVRLSCCVAGHIKVAEAGQDIQETMDELVQHGLDPSSDEYQLIRYSLLNGASCVRCRVWCRLPVITPCRHLLCLDCVALDSEKCTLPGCGNHYEMQSPETRARPENPNPKWPVPKDLIELQPSYKQDDWDPDWQSTSSSKVAYLIEKLRSLRETGNNITNSVGHANTPSYQTQAMFDKVIIFSQFLEHIHVIEQQLTVAGIIYAGMYSPMPLASKRSALMKFQEDPTCMALVMDGTAALGLDLSFVTHVFLMEPIWDRSMEEQVISRAHRMGATRPIHVETLAMRGTVEEQMLKLLQDSSACRKIVNKGTGSTDNEGGRSHRSLHDFAESSYLMQLSSV >Sspon.05G0029930-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:78535399:78536708:-1 gene:Sspon.05G0029930-2D transcript:Sspon.05G0029930-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYTTPHQVSRYQQHGYSGDSHYTGRPRGRATVESDDDALLFLAVPAGWLIRLLAFLGELVASAILSLVCPVAALVGALRALPAAVASSLRRTARGLLAAACTFVALVAALLVSVLLGFVLVRHWVEDPVTARQQLFFDYTEAQPSAAVVLDGAVLPAGHSVRVSMALLFPDSYHNRKVGMFQIKAEAVSVTGITMASATQPYMLRYKSTPVRLVQSALLSVPLTLGIRSETQAASLKVLQYREGHGRHRRTGVIRVFLQPRAATVQLPQSRGCCADSSSVGKEPGTRLEVDTLRVGVFVCVHCSHCSCCLLGLASRGVRENRRLSEIQADGKMASGLGSGDIGESSSKEVPEDFAVKWRERRSKRKAQFRTRLHGGSMELGSTEGSTSSVAVVETSEAMNDP >Sspon.02G0030620-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:112381258:112383896:1 gene:Sspon.02G0030620-1A transcript:Sspon.02G0030620-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGHNVESTTAGDEVQLQRRRHQDGFDSDGGSSGISFFEPWTEPATRGGRGSSGHGPRIGGGYKTAEKRMIRFALQLAVLEKAASMLGKLAFVWATVVLLGGFASSLSDLDFWSMTVILVGEGARVFGRGNELQWQRHSTARSSAGSSSALRVPGSRFCRRVLNAIAIAPSRAGGARPVEGEAAVVGAHGTVQVVVPLPHAEAEQRVRHTPDDPPLPYTGWVIVSKNAGVLLNSLQVLSSVACVALSVIGLCNCRQRSRSVDEARNQRPALVLFYSLALAEAALFLLEKAYWTWKVLCCGLLDEISSECELGACGLVALTRFFYDAYSQCIGKSIFDGVKMDLVTFAKELIESDFLDEQLIGVRILRQFARSKSSAPETLRKVGTSARSIERLVEMLNWKSPEEEEVRRCAAEVVSKLVGKSQNALRVAGIHGSIESVLSLLHSHTQRSAPGDGGAGAGAARARPAAAAAEVSSYDYEGFNLVGLLILKKLARDHDNCGKIGAVRGLLSRVVEFTHVPPALLHLHLHAHGQSQDQAAAGSRIRAAKRSLQVLNLLVTATGNTGKKLRREVADRVFTVSNLRGILQHGHPHGELQKLAIDVLTGLALDDGAKEGMVTRTGGVVKLLLSLFLNAERAYATELRSGAGEALAMLALESKTNCGAFLKMDGVPDRLIAALDDRDLRLNAARILRNLCAYSDEESSVRLRAVTAAMPAVLQMTMGETVSEKLLEASVGLTTQICMFISGEQFEEELRRRGAGKAMYVERLASILRRHTYPEIKVPRIRRFVVLQAMWLMRADDDYVRLFREVDMVSLLVSIGDTTSDLECYHVFSGSVGLSQHRVSFSTIVESALKLLGGCG >Sspon.05G0013960-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:43508123:43509742:-1 gene:Sspon.05G0013960-3C transcript:Sspon.05G0013960-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHDHKVLDALDAAKTQWYHFTAVVIAGMGFFTDAYDLFSISLVTKLLGRIYYFNPSSKTPGSLPPNVSAAVNGVAFCGTLAGQLFFGWLGDKMGRKKVYGMTLMLMVVCCLASGLSFGSTPKGVMATLCFFRFWLGFGIGGDYPLSATIMSEYANKRTRGAFIAAVFAMQGFGNLTGGIVAIVVSAAFKARFDAPAYKDDPAGSTVPQADYAWRIVLMFGAIPALLTYYWRMKMPETARYTALVAKNAKQATSDMARVLNVELAEEQQKAKAEELERRREEYGLFSRQFAKRHGLHLLGTTVCWFMLDIAFYSQNLFQKDIYAAVNWLPKADTMNALEEMFRISRAQTLVALCGTIPGYWFTVFFIDIIGRFAIQLGGFFFMTAFMLGLAIPYHHWTTPGHHVGFVVMYAFTFFFANFGPNSTTFVVPAEIFPARLRSTCHGISSAAGKSGAIVGSFGFLYAAQSTDPTKTDAGYPPGIGVRNSLFMLAGCNVIGFLFTFLVPESKGKSLEELSGENEDEEAAPAQSNQQTVPTDLSE >Sspon.05G0009850-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:28153329:28159338:1 gene:Sspon.05G0009850-1A transcript:Sspon.05G0009850-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding PLMPEYQFHHWLQCGPVDKRAGRSVAHAGSHARFRRHPARGSRVASPRLPACPRSVEAEVHLSGCAQHRGSVSFVNCAGAMWPGGVPLLRTIFYSPKSHQLLLLFSSPPPSHSLYTTATPPGPSTIVESTKSRLDSLAPPLAAWFIYLLRASPCSWISPICHALLSHNPVAAGAAPLPASTAHAMHGRSIPSDRDCRRHAVASPLASPLSHPFASFCVVSGFGTSVILKAAISVALLYDKSSIPCPICVTKEPTMPQVQPFQVSAA >Sspon.07G0020980-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:84214208:84217492:1 gene:Sspon.07G0020980-3D transcript:Sspon.07G0020980-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVGPSKALFMDEISTGLDSSTTFQIVSCLQQLAHISESTILVSLLQPAPETYELFDDIILMAEGKIVYHGSKSCIMSFFESCGFKCPDRKGAADFLQEVLSKKDQQQYWISCGETYNFVTVDQFCNKFRVSQIGQSLAGDISKPYDKSKGHKNALSYSIYSLSKWDLLKACFARELLLMKRNAFIYITKTVQLALLAVITGTVFLRTHMGVDRVHANYYMGSLFYALLLLMVNGFPELAMAISRLPVFYKQRDYYFYPAWAYAVPAFILKVPISLVESIAWASLSYFLIGYTTEASRFFRHLLILFLIHTGALSMFRCVASYCQTMVAGSVGGTMSFLVILLFGGFIIPRRKAFPWFHEFILQARY >Sspon.07G0003920-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:9782245:9782661:-1 gene:Sspon.07G0003920-1A transcript:Sspon.07G0003920-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSILSCAWMSPRRPRSISVTMASAMLRSSAVGVARLLSTSSITAAARLFLSASADPRSSASRFRRRRASTTATPTAAHTTTAVPTTTAFPIAAGPDPSTYLARPRPPSGSIRTPHEFRALDPRPTPANHFLLLPRPSA >Sspon.01G0008420-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:24174333:24179904:1 gene:Sspon.01G0008420-1P transcript:Sspon.01G0008420-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHKLTLVLALLAALVGHLMQRCLGRGHPNAAHRADAGRGLTDASSYDAWRCAARPAPRGCSPSPAHHRGQRLGGAGEGPGPPHGVPDQLRHRHGAATDRAQMTLDTGSDLIWTQCQPCSSCYAQALPYFDPTLSATSVELTCSSSACQQLDLSSCGTDKWGNQTCAYSYFYGDKSVTKGLLDADTFTFDGVAGGAGVAVPGLAFGCGLFNKGTFNSTETGSGIAGFGRGALSLPSQLKVDNFYCCFTNITGSTPSPVLLGLQANLYSGGASGAVQTTQLIQSSSHPTFYLLPAAEEHHGGVDEAAESAFGLTNNGTGGTVIDSGTSVTVVLPLVYGLLHDAFVSKVDLPVTNDEPLCFAVSSKEEEKKKKKVPKLELQFEGATLDLPRENYVFEIEEDGRNNMCIAIVSSGGDMTIIGTFSTTSPKLLAVLLALLAALAISCHAAAASAVRTQVIHTDAGRGLTRRELLQRMTRRSKARAARFLASSSASAPVTPGQRNGSDPSTEYLVHLGIGTPPQPVQLTLDTGSDLTWTQCRPCTSCFHQALPYFDPSLSSTFQELTCGNETTRVYTYSYGDNSTTNGQLDADTFTFVAVDGRTATAVPGLSFGCGHNNSGIFTSNETGIAGFGRGSLSLPSQLKVDNFSYCFTDITGSAPSPVLLGLPANLYSSAGGAVQTTPLIQSPKIPSFYYLSLKGITVGSTRLPVPESTFALTNSGTGGTIIDSGTSFTTLPLQVYQLLRAAFVSQVKLAPAEASNATTDADPICFVLPSSGDRPELPKLLFHFEGATLDLPRENYMFEIEDAGRSFTCLAINAGGDLTIIGNYQQQNIHVLYDLVNNRLSFVPAQCERL >Sspon.03G0023010-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:93723096:93725982:-1 gene:Sspon.03G0023010-2B transcript:Sspon.03G0023010-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding TTLAPSPAVTDSPANQGQDSSPPQPAFAHGPVTVPTGQATPSASPSPETAAVSPAAPTEPQHAPSPVTPPKEYNAPPPIEVTPPDPTDEVPPPVAPPRAAVENPTPILPGTPALLPSVQAPAPSVVLKANPPVASPPSVVLKTNPPVVSPPSANNQPRRPVGSVPPYRPPALPPPANDVPSFPPSGSFPAIPPSTSGNTVPPANTYPPTNLKKHHVPHASPPKESTGQTVPGHKSPVTGSAPATSPLPQNTSMPSILKNASSVSHAQPPPPSVAPELAPTGRSHARGWKSSNPNNGTNTSSAPSYPPPRAQGPKVSRAPRQTGTKSHTHHAPPPIPEGHRISPTLPPIPPLPEPKAPAAHPIWTLPPPPPNSDCNSLSCPEPLTDPPAGAPCACVLPIRVGIRLSVDLYSFFPLVSDFAEEVASGVNMAQRQVRVMGANVAGDQPDKTMVLVDLVPMQVKFDNATAFSAFESLWSKKVSLKPSVFGNYEILYVVYPGLPPSPPSGSEGVGDGAFGNNRNARAMKPLGV >Sspon.04G0013090-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:51332480:51340167:-1 gene:Sspon.04G0013090-1P transcript:Sspon.04G0013090-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome c-type biogenesis protein [Source:Projected from Arabidopsis thaliana (AT1G15220) UniProtKB/TrEMBL;Acc:A0A178W6T6] MAAKDDVKQRQIIENRARNISHNVRCTECGSQSIEDSQADVAILLRKLIRDEIKAGKSDKEIYKKLEDEYGETVLYAPKFDLQTAGIWLSPRCS >Sspon.08G0023340-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8B:55109130:55109564:-1 gene:Sspon.08G0023340-1B transcript:Sspon.08G0023340-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHIADQQERVGCEPRVGGHIKVVFLPDCAMLCRVMHGNLASRSRAILVEPSSRPCQLRCRVADARVQAGRCNIAHGKQGREILAACTPPDGDFDPAYTERGRLPASRKRRVWIAPAVGGTQSAVRDGLMATVLLVRRGKKACLA >Sspon.02G0017350-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:50371340:50380897:1 gene:Sspon.02G0017350-2B transcript:Sspon.02G0017350-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALELSLASASPPAGGGLAQPPPLAPPGKEQQVAGVGILLQISMLVLSFVLGHVLRRHRFYYLPEASASLLIGLVVGGLANISNTETNTRTWFNFHEEFFFFYLGGLTFLMYKLPLVECLMFGALISATDPVTVLSIFQELGSDVNLYALVFGESVLNDADNVISQKSCSRYMLAEGLGLSGIVSILFTGMVMKHYTYSNLSDNSQRFVSAFFHLLSSLAETFVFIYMGFDIAMEEHSWSHVGFIFFSIIFIVVARAANVFSCAYLVNMSRPQHRRIPLKHQKALWFSGLRGAMAFALALQSVHELPEGHGKTILTTTTAIVVLTVLLIGGSTGTMLEALDVVGDENTSIENYEDSNGYMPPSYEEGTSSGGGLRMKLKQFHKSTTSFTALDKNYLTPFFTSQTDDDDDDFSDSATPKPKDNVL >Sspon.03G0000180-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:712744:714162:-1 gene:Sspon.03G0000180-3D transcript:Sspon.03G0000180-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Delta(3,5)-Delta(2,4)-dienoyl-CoA isomerase, peroxisomal [Source:Projected from Arabidopsis thaliana (AT5G43280) UniProtKB/Swiss-Prot;Acc:Q9FHR8] MAGGDPPRGFETLAVTRPDPSAGVYEVRLNRPAQRNALSPAAFAEIPRAMSLLDRTPTARAVVLSAAGPHFCAGIELGGPGNPLAAASVAGAGDPVAAAEALRRAVLDMQAALTAIERCRKPVVAAVHGACVGGGVDVVAACDIRCCSRDATFVLKEVDMAIVADLGALQRLPRIVGYGNAADLALTGRKITAMEAKEMGLVTRVFDSKKDLDAGVAKIAKEIAEKSAWAVMGTKAVLLRSRDVTVEQGLEHVATWNAGMMRSNDLKEAIRAFLEKRKPVFSKL >Sspon.07G0010300-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:29725683:29728681:1 gene:Sspon.07G0010300-2B transcript:Sspon.07G0010300-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQHPTCAPPPAPAPVPVAWAVVPVDFKVVKKGPEMAMHDATGRLAFRVSASDGGATALQDDAGGVLVTVRSGGQGKWQAFSGNSLEERHIIFTAKVISASSSRKEVHVFISPRSTVEDSKPSYRLIGSTFRRACTIIKGDSIVAQTNLLYKLKKTIYSRRKFRVTIYPANDNILVMAMIGPVYSPPAAAAAAGDAEAADATGFTTWTTIR >Sspon.08G0013580-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:54800698:54804801:-1 gene:Sspon.08G0013580-2D transcript:Sspon.08G0013580-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGNSKGKGNCVHICGLWVLTLKAQSKECATFGGAVFLCNHLTRKECFDKKIFGLPRFCADFVEKVKVGTTLFLYDVDQCKLHGVFEATSDGAVNIIPDAYVTSGKGSSTPFSHDTIFPIRFKRIWFCKPLMLSEFQDAVQNYSVKNKFSYGCKASPFVFFKNRLQLPQNPRLQDDLPGDLETSSLAKVTDVQSSPNSSSCGSFRSTCQTCSSTTLGEHTASLGEKLIDPVALVHRGIQSDISDVAKSKRSKSPLQSGADMATVTVPGNQEAMHDQSTDDYIPLSQEEDTFEGVDDLFALLKDESHSSESKGSSDSENHTTFHQVCIRKEDECYSPILDSKLCADSEGRTSVFSRLVRKNEIYNHRKRFKTEAFPPRSAEFSNALSQRKRQQKAQHNRPFPCRNDGMLDMPSADRLNRAPASNRSFVWRRSTKYSGGKQSGIQTGLGPFLCEDGNKRDVSVKQPARYNTCKKSFVPQGCPKLIVSCDKGLNMPTVFAGVPDCNEDNVKEMRTPFLNCKWPAKLVCSDDVEGEGRKKKRQATASFHQEYLSDTALIPEGTKTMDMLAVPDENFKEKSITLSSKDTHTQLTIPYLDAKVLLQDEQQQSFQGSFEYGEDVTCDSSLILEGSKTMRRWLSRVLELGKSHVAADYLGTGTSFQQKETPSIRSCHRVVNGDNILLLGKSETMDFLLGHDKDCENKKILPSDESDRLATSCHLETEMPLLQKQTPNVLSCSEVVHDDEVLIPEIPEVMSPKSDADCGNQVTSLCSGYREEVCHVVKNYHEVVPSDAAPDREGYGPLNNFPQLHADSAKRNFLFDKTSEHVSTGHQDTIMLPHDEHYHSCCGDTSTVLECTILDTGSGDGGSEHKNSCDEKDDGTLYLVTGSKDTFSCDGSCSCPPTNDQVCSEVMLLKEEGQYQNLQSNSNSVDSFAVSSEGCGSKSGISVDLLGTNAESRTSFVNDFSSESAETFSTPALRVEEADRNVNRSEAYAEPPILQHDPGESMTQL >Sspon.02G0041390-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2B:75270811:75271413:-1 gene:Sspon.02G0041390-1B transcript:Sspon.02G0041390-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LTSRRVLISRHVVFDESVFPFSSTNTTPVPDSPSLFPADTVVQPPFPWSPAGTAPPRSLPATCPGSPVGPGPSSSGTAPTSPIGANPGTSSPEAAPGGGPCRSPSPGPAPAPPSRFAAPVRVYQRKPRPPPLVVPSPPGTPTPLPQSPPACGAPPVYHPPLLHRHPRHVHPMVTRHAAGTLPPRALEASTGDARVSPIPS >Sspon.07G0009150-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:25455786:25456691:1 gene:Sspon.07G0009150-1A transcript:Sspon.07G0009150-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGDNLPPASTVIGMYKDNGISLMRIYAPDQAALQAVAGTGIRVVVGAPNDVLSSLAASPAAAASWVRNNIQAYPKVSFRCVCVGNEVAGGAVQNLVPAMENVRAALAAAGLDGIKVTTSVSQAILGGYKPPSAAEFTDEAQGFMGPVLDFLARTGAPLMASVYPYFTYATNPSAMDVSYALFTAPGTVLKDGAYEYQNLFDATVDSFYVAMGNHGGSGVTLVVSESGWPSAGGVAASPENAALYNQNLINHVGRGTPRHPGAIETILFSMFNENLKESGVEQNWGLFYPNKQRVYPISFN >Sspon.04G0008210-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:24718462:24726848:-1 gene:Sspon.04G0008210-2D transcript:Sspon.04G0008210-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPNNSTDVVALLEFKQAITNRPAEALSNWNRSTNICQWQGVTCNPKNKGRVTALNLAGLGLSGAIAASVGNLTFLHTLNLSTNRFSGQIPPLGNLHKLQILDLSTNSLEGNIPDTITNCSSLKKLWLFANSLAGIIPPKIGHLSNLENFDLHVNKLTGIIPSSIQNITGLTILDLDTNQLSGIIPDRFGGRNLLFLNLASNLLDGVIPDALTNGSNLILLDLSRNNLRGIPQNLSNLSYLQELSLAYNKIGNTLPSNIGDALPSINSLYLAMNMFEGPIPASLGNASGLTVLDLSNNKFTGQIPSIFGKLSELSILSLDNNTLEASDKSSWEFFQDLTNCRSLQILSMSNNHLQGFIPNSVANLSTNLTELVMGGNYLSGIVPSGIGNLSSLVELALDTNNLTGTIEEWVGKLTKLQGIYLQENSLTGTIPPSISNLAQLTILSFAENEFAGLIPPSLGNLKPMLILNLSYNNFQGSIPVEFGNFRQLTKLDLSSNRFSGEIPETMGQFQQIDTIRMDQNTTRRPYLLLLSFGKKFPRVSYNDIAQATGNFSEPNLIGRGSYSSVYRGNLSQAKIQVAIKVFDLCTRFADKSFVTECEALRTIRHRNLLPILTACSTIDNNGNDFKALIYEFMPNGNLDTWLHQKRASVALKILDLAHRISISIDIADALAYLHHDCGRPIVHSDLKPTNILLDDDMNAHLGDFGIASLVVGPRSIAVGHSGHSSCLAMTGTIGYIAPEYAQTVYASTSGDVHSFGIVLLEMIIGRRATDSIFGDELSIVSYVERNFPDQVLQIIDAHLHEECKGSNKAIVATENEAYRCVLSLMQVALACTLPLPRERMTMREVAINLHAIRKSYVAAIK >Sspon.07G0019300-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:71750113:71752658:-1 gene:Sspon.07G0019300-3D transcript:Sspon.07G0019300-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLLLSLPLRLRPIPAGSSTSRIAPRSRSPRPFPRRRLRLPALLQVQCLAAPPAPTAPAPRWHAALSAAAGMYPAYVAAGACVAVARPVAFRWFVALAPGSYTATLGFIMLAMGLTLQLRDFAALLRDRPLAVRDRIRIPSRSIFPRAIVIGHPNGGLLDPTRCFSVLLQILFGCAAQYTIMPACGAIISLALGLPPSLSAGLILLACCPGGTASNVVTLVAQGDVPLSIVMTVCSTLAAVFLTPLLTKILAGTYIPVDAVKLSLSTLQVVVAPILLGSSIQTAFPSVVQFVTPFAPLMAVLASSLLACSVFSENFVRLRSTIADASSVNGQFFSGDVRVVMLSVFLLHFSGFFVGMQNSSLGVVLATAHFSSPLVALPPALSAVIMNMMGSTLGLIWQSISPSVSENETTDITNA >Sspon.03G0016230-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:51729462:51735944:1 gene:Sspon.03G0016230-1A transcript:Sspon.03G0016230-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPRRRSLPLRFLIFIPVPLFLVLLLFHRSSHPSAPLLHSAGSGSGPSPDPRRFSLLIKLLAYDRPAAFRRCLRSLAAADYDGDRVALHVLLDHRTTNSSVTPLAASHEILDFVDAFPWPHGEKRVHYRAANAGLQAQWIEAWWPGSDDEFAFVVEDDLQVSPLYYRFLKRVVMRYYYDRENYSPYVFGASLQRPRFVAGKHGNRIQLDSETRLFLYQMVGTWGQLLFPKPWKEFRLWYDDHKAKGLKPILQGMHFLPMMIYVHILSIFVSFTDTVISLLTLQKTTGWYKKMGERIWTPWFIKFIHSRGYFNIYTNFLKERALSISHRDAGVNYGKSVGPDSTLLDGKNLDFNLWELQPLKKLKWYDFCFNEALPGRIIRKNSELGSVLKSVQLKSTVVLVTLYSIEERFARNLICHLDKAGMKNSIFLGDNSEFLDDLAHRGYPVIDGMKFLQRIKFSSLQGSDDFVKETLVKSYVIKACLDLGYNLWLLNGNMISLGNKLIEPSDQSVDFFTADEGLMFIRSSLDLKNKWNELTMSRVKAMCTSTEFSVSIKQKSFVHMLTEVLASSAGVRLGKLDEAIRVIELGPNTSNRSIAEDQSNVLFWSHSRASDSVQKQLENMDLWLVDSDLSCSAVVCHQKQK >Sspon.01G0044640-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:84202706:84212137:-1 gene:Sspon.01G0044640-1B transcript:Sspon.01G0044640-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASLAAAAAAVLFPPPRIRIRITERNPLPPPRRHQFGTSKIWTSGSRACLAAASASTPPAPGGGLYSAATYELTPENVDRVLDDVRPYLISDGGNVTVVAVEDGVISLKLEGACGSCPSSTTTMNMGIERVLKEKFGDALKEIRQAFDGDQPAAETTPEAVNRHLDILRPAIANYGGSVDVLAVDGEDCLCYLAQSLESNGSHDEALQRCDGEELCGRQAP >Sspon.07G0001740-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:2971492:2972248:1 gene:Sspon.07G0001740-3C transcript:Sspon.07G0001740-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LQSLFPGGEEREGSVRPEQGLASRGRRRRRRSEEQQGRWSSMERRRSRHALLLLSAVMASLVSGSTAGIYHIVGAGKGWRMPPNRTYYEDWARTRQISIGDKLMFLYRSGVHNIVEVPTRELFDACSMRNITSRYQSGPTIIELTEPGQRFYFCGVGEHCEVGQKLAINVLLVAPPPPDTDEDDDDADSSGASARLLAHAGTALAAACLCLASALLMA >Sspon.03G0039510-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:16893523:16898358:1 gene:Sspon.03G0039510-2P transcript:Sspon.03G0039510-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKISKGRKKMRNKQRKSSALSSDAGCGSSLSCIVWSLVGFGLVVCFLSLKHQADSGQSHVYFSPLHATRELEDIEEDNFRLPPPHKVNPRAVKRRGPRKLPKIIDDYLEESSAVHALFFPDQRTAVDPTKGGNDSMYFYPGRVWLDTDGNSIQAHGGGIMYDHKHAKFYWYGENKDGPTYQARSKGTQRVDIIGVSCYSSKDLWSWTHEGIVLPGEPTNVTHDLHRSKVLERPKVIYNDRTEKYIMWMHIDDANYTKASVGVAVSNSPTGPFTYLYSFRPHGFESRDMTVFKDDDGTAYLFYSSRDNTELHVSPLTEDYIQITAAMKRILIRRHREAPAVFKHQGTYYMITSGCSGWAPNRALAHAAESIMGPWETLGNPCVGGNRFYRLTTFLSQSTFVLPLPGLPGTFIFMADRWSPSNLRDSRYVWLPLFIGGLADEPLDYSFGFPLWSRVSIYWHKKWRLPEDWKALCLVSGPHKRHGLAVGKQLEIGVPRRVGAACALVHDGDREREASAELGCLNGCRGTGGAWGEARSARDGGDKVSVA >Sspon.01G0027810-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:99915459:99919082:-1 gene:Sspon.01G0027810-2B transcript:Sspon.01G0027810-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDERVKAEALQILGLFQVLPRLVVFDLDYTLWPFYWSVSFLLRPAVSSQFPRPSFAGESECRSKRDSPSLFRHARGIMYALKEKGIDMAIASRSPTPDIAKEIFSSWTHKTEHFQKIQRKTGIPYKSMLFFDDEDRNIDPVSNLGVTSVLVENGVNLDMFKLGLSNFATNYAASSRKEDK >Sspon.08G0027160-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:42726304:42737593:-1 gene:Sspon.08G0027160-1C transcript:Sspon.08G0027160-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVWEVPAAAWTHLKSIKVALKGAENLILASDPDREGEAIAWHIKEMLEQQGALGYDVTVARVAFHEITENAIKKALMSPRYIDMDLVNAYLARRSLDYLIGFGISPLLWRKLPGCQSAGRVQSAALALVCDREAEIEQFKPQEYWTIAQKLYEGINLSSEETTGLITYIRTDGFHISDGAAEDIRSLAKERYGQKYVSEDTRKYLKKVKNAQEAHEAIRPTSIRRLPSSLVGILDDDSLKLYTLIWKRTMACQMEASRTEM >Sspon.05G0009130-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:26525928:26528670:1 gene:Sspon.05G0009130-1A transcript:Sspon.05G0009130-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSRLLLLFLLLSVAAGQPPISRRNFPEGFIFGASSASYQYEGGVMEGGRGPSIWDTFTHQHPGISNGDVAIDSYHLYKEDVRLIKDMGMDAYRFSISWTRILPNGSLSGGINREGIRYYNNLINELMLKGVQPFVTLFHWDSPQALEDKYGGFLSPNIINDYKDYAEVCFKEFGDRVKHWITFNEPAQFCSGGYASGIFAPGRCSPWEQGKCSAGDSGREPYTVCHHQLLAHAEAVRLYKEKYQMHCSHSRCVQAVQRGKIGVTLVSLWFLPLSPSKSNDDAVTRALDFMLGWFMDPLVGGDYPLSMRRLVGNRLPRFTKEQSKLLKGAFDFIGLNYYTTYYAASLPPSSSNAST >Sspon.02G0026720-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:72257402:72259897:-1 gene:Sspon.02G0026720-3D transcript:Sspon.02G0026720-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKPGPCAGQGGGGGGSTRRFALAFYGNPTRPQLVALVAQEEVTSSGGQFEPPGMHMIYLPYSDDIRYPEEVHVTYDDAPRATDEQIKKASNLFKRIDLKIFSACQFANPALQRHYGILEALALGEDEMPDIKDETLPDEEGLSRPGVVKAIEEFKTSVYGENYDQEEAEAAAGKASRGDASKKRKEITDAAAQISAAYDWAELADNGKLKQMTAAELKSYLTAHDLPVSGKKEVLVSRILTHLGK >Sspon.02G0028960-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:105276596:105279179:1 gene:Sspon.02G0028960-1P transcript:Sspon.02G0028960-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARCLGLAALFLLGLAAAASASAAAADAPFVVAHKKVSLSRPKPGVERVAVSLDLYNQGSATAYDVSINDDSWPTEAFELWSTASHTYVLETKTQGRFQGSPAIITYRVPTKTALQEAYSTPIFPLDILAERPPEKKFEWVQRLVAKYGSLVSVVSFVGLFIYLVATPSKSSSKASKKRR >Sspon.01G0048610-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1C:91857147:91858855:1 gene:Sspon.01G0048610-2C transcript:Sspon.01G0048610-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSNRSTLDARKQKQPSLSRHRRRRSDGFPRIRFRRPHILQRHRDVGGRARLRRHGFHRRGSRSRPAPAPATATEGSGGASEEGAGDGKRKEWYSKAIAYWQGVEASTEGVLGGYGCVNDVDVKGSDAFLRPLLADRFGTGKRRLVALDCGSGIGRVTKNFLLRHFNEVDLVEPVSHFLEAARENLTGYMDQEDSHKAANFYCVPLQVDFTPEEGRYDVIWIQWCIGQLPDDDFISFFNRAKVT >Sspon.01G0006900-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:24713423:24718636:-1 gene:Sspon.01G0006900-2B transcript:Sspon.01G0006900-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eukaryotic translation initiation factor NCBP [Source:Projected from Arabidopsis thaliana (AT5G18110) UniProtKB/Swiss-Prot;Acc:Q9FK59] MEAAVEKKETEQEEQQLPHARKDDAPAAAEEDEADSEETERRNRDLKAGLHPLRRKLVLWYTRRTPGARSQAYEDNIKKIIDFSTVESFWVCYCHLARPSSLPSPTDLHLFKDGIRPLWEDPANQNGGKWIIRFKKAVSGRFWEDLVLVLVGDQLEYSDDVCGVVLSVRFNEDILSVWNRNASDHQAVMALRDSIKRHLKLPHSYLMEYKPHDASRRDNSSYRNTWLRG >Sspon.07G0018350-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:76155388:76156979:-1 gene:Sspon.07G0018350-4D transcript:Sspon.07G0018350-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGDDALARKRNRVRRKRLRSSENAVSARVAAIIASKRRRKSGKRRGCEGMCFSLPTPDDPFNERHGKKRKVDDEPTEDTAAAAKDGDNPKKKGANTKKQQPLAKAGAEAKAKLKAVRERATGAEEGRVDFDRPSKFLVVCLNAIRDAVAPEDGGGSIHGAGDWGVELWRCCSAQAPSDVLDASGACATVDQTAWLVSTACDIVARKERLGMVVSCPFLLYLVPSQEKAAQVRSICKPLKPLGIHSVSLHPGASIEHQISGLKTCEPEFLIATPERLLELVSLKAIDISSVSMLVIDGLKCFVDHNVSDKIFSIRDAISNNPQITIFTDPSDKKVATMAKSLLRGRITKLSINDSVSSRSAFIAQHVHFCPSEELKTSK >Sspon.05G0006090-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:10895446:10896862:1 gene:Sspon.05G0006090-1T transcript:Sspon.05G0006090-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATAPMPVHVESLQTAVPMRTTGAGKSLPIAVSGPPLTAAELQRRFRAVLYYRGAGAEVEAAARERAAWVKESLCAALVDHPEMAGRLRRRSDGDGDGRLWEVKLRDTGVRLVQASVEATMAEFLEARGVDRERKEAALALWTDVDVHEPDICAPLFMQLTRFLDGGYAVGVSCSLLLADPLSLIGFLKSWARKNTEMQAQSKHVAHPVIQYTHYIQSPGAAKRVKSGTSTLDTATATAADNTKTVLFRATDSSDRRALAAACVGMASKRLGGAKEPPRFTVIARDGSKGLHVQTCGTVEYGDREACLGHGHGLAARVAQWSEAGLEDLALEGSKPVHVSYSISPCADEGLVVVMPAGGAELLISVTVPNYM >Sspon.07G0031510-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7D:24560795:24561504:-1 gene:Sspon.07G0031510-2D transcript:Sspon.07G0031510-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWCPSKSTWRTRALVRSYVLLGDMKRIMKRVFDMFPPEAIVMQCSSDSLSSYSDSLSARNASALPIVREQDEDGEWTWLLAKLILQLSTVAVVAIVTTWRISLVPAMGLREIEAARQVEAPIGPIWMINVTGTVFKYLHERFESLGTGMTQQRKFKHLDEHFESLGTGMTHQRKFRDR >Sspon.07G0015790-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:56295053:56296863:1 gene:Sspon.07G0015790-1P transcript:Sspon.07G0015790-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPTPRSEDEILESANVKAFTFNELRTATRNFRPDSVLGEGGFGSVFKGWIDEKTLAPTRPGTGMVIAVKKLNQEGYQGHKEWLTEVNYLGTLSHPYLVKLVGYCLEDEQRLLVYEFMPRGSLENHLFRRSSYFQPLPWNLRMKIALGAAKGLAYLHSDEAKVIYRDFKTSNVLLDANFNAKLSDFGLAKDGPTGDKSHVSTRVMGPMGHLTTKSDVYSFGVVLLEMLSGRRALDKNRPNGEHNLVEWARPYLRSKRRIFRILDPRLGGQYSLARAQKAAALALQCLSVESRHRPSMDEVVTALEQLQDAKEGGNHHLQKRPSSRSMDNNGVKAAVKGKPAPSVKPV >Sspon.01G0044250-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:81256018:81260644:-1 gene:Sspon.01G0044250-1B transcript:Sspon.01G0044250-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRQRRTAGGLRPVLLLLPFAVLVSVATFSLHSADRLLPSSDTAQQAASPSLHQQHRLPISTLDVRALDAAAPAPPLHAAAARAFRSGGRLLRDVLSSSPSSSAPPAAVGVGGATRCPASITRSGAYLRLRHAGGGGVLLPLPCGLALGSHVTLVGAPRGGRGAAGVAQFSVELRGEGDGDAAPRILHFNPRLSGDWSRRPVIELNTRFRGQWGPALRCDGRPSRPDEETVDGLVTCEEWSGNIGGASEELKRLSLGNRIAGRNNRNWIHWPYPFLEEELFVLTLSTGLEGYHFHVDGKHVTSFPYRVGFVPEDAKIFSVNGNIDIKSIVAGSLPTAHPSIVQRNLEFLTELKTPPLGKENVLLFIGVLSAGSHFTERMAVRRSWMSLNGRKEVNEDLIKEADFFRDIVIVPFADSYDLVVLKTVAICDYVARVVPAKYVMKCDDDTFVRLDSVLAEVKKIQDGKSFYLGNMNYYHRPLREGKWAVSYEEWPREEYPPYADGAGYVVSSDIANFVSSEMKNGRLNLFKMEDVSMGMWVGRFNRSGTGNAVAYVHSAGFCQSGCVHGYLTAHYQSPAQMACLWEMLRQGKAWCCNA >Sspon.06G0034950-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:61181564:61185396:1 gene:Sspon.06G0034950-1D transcript:Sspon.06G0034950-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLITGPLLLFYLLQLNQHKHLLMRIIQLPILILRMLIRCHLKPIHSHVLTCLRLLQSPLLWVRLGGPEVNQPQEAGSSAQSLCSDKPQATIESKGTLISNFCFKCHLIRRINDALMTSHTELPHIDTGTE >Sspon.08G0015780-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:63053488:63057664:-1 gene:Sspon.08G0015780-1A transcript:Sspon.08G0015780-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGGAWAAAAAVWWMAAGAGAVWLEIAPSGTKCVSEEIQSNVVVIGDYSVTSPFGDIVHKKEKVSMEQFAFTTAEAGNYLACFSIDGEDRGLVVKLNLDWKIGIAARDWDSVAKKEKIEGVELELLKLEVAVQSVHDNLLLLKSKEANMRDVSEKTNSRVTWLSMLSLSVCIAVSVLQLWHLQHSGIPLLQTSSLMVPLALLPQPQENLYSILLCSNWKYQEQKSELEQMHLTTTLRDSSNSPDPFIFSACTHTHCSKVVITESLSRAARGGWPEVADESACLGIVMPIYVLVAAEGQPELWDAPLAEHLKDDSLVDDRASATVLRAVQPPRIAFGYVLAEVVVVRPAGGCESQQQLLKQTTMTVPPEPGHVGLLGEEELVLLTAREHLDEGAVAGHGDAALDRLPRHDRVPFRRLQRPQVEQLAELQGGNRALVVS >Sspon.04G0026680-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4B:49040188:49044717:-1 gene:Sspon.04G0026680-1B transcript:Sspon.04G0026680-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MESGDTKFDASQYAFFGNNAVEEVELGGLDDDVVECMATHVDEKSFLQEGVGSFTDIDDLAGTFSKLTRIVNEPKQPGVVSHRGSISRQSSNAEWAQESGPSYWPTQPVLDTDHGLDKKNWWSQQPHSVNFIDSRLQRTSSSPQQDAQYNPVEPILGAKPSPLHRTSSYPQQEPQYSNTEPIPVPKSSFISYPPSGAASHSSPSQPHHVNMPSPPTAFQLPMSSAQNDLPLPQFHHGGTPPGPPFGRQNHVLNSGSMHGNGPRFMPGLMPHQLQRPNGLMPPQMQPPRQHGMLPIQQSSPQFSQLHAQMIGPHHSPPQSMQMFGPQHPSQMMSRFDANFAMPDLSDPRARSMLQHGRLGQRYPHQGYELNNIRMDNGWPRFRSKYMSTEEIENIARMQQAATQINDPYIDDYYHQACLAKKSAGAQLKHHFCPTLIRDPSSRARSKDEPHAYLQVDALGRLPFSSIRRPRPLLDVEQASAPSDNAEKSVSKPLDQEPMLAARITIEDGLCLLLDVDDIDRLLQFSQQQDGGLQLRNRRQGLLEQLAESLQLVDPLAPNKNSPLSQYDDLPAAAAVITREMPVELLRASLPHTNEDQRKQLLSFAQRTVPVGTHSSHGSGSGPMT >Sspon.01G0057540-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:10202398:10206223:1 gene:Sspon.01G0057540-1D transcript:Sspon.01G0057540-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAPNSSFRRRRRAPLPPSSSRPAALQGDALPFKVALCQLSVTADKSRNIAHARAAIEKAASDGAKLVLLPEIWNGPYSNDSFPEYAEDIEAGGDAAPSFSMMSEVARSLQITLVGGSIAERSGNNLYNTCCVFGSDGQLKGKITFKESKTLTAGQSPTVVDTDVGRIGIGICYDIRFQELAMLCSFVVLSWCIQHDYWTTALGVAAKGEVINDFEPQVMFGEVIATTEHEEVTVIADIDYSLIDQRR >Sspon.07G0037550-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:55408518:55422831:1 gene:Sspon.07G0037550-1D transcript:Sspon.07G0037550-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTAGKGAWVVPAPAYREVEGWEGVGEDAPGFRCGHSLTVVAPTKGHGPRLILFGGATAIEAGASSGLPGIRLAGVTNSVHSYDVEKRRWTRLHPAGEPPSPRAAHAAAAVGTMVVFQGGIGPAGHSTDDLYVLDLTNDKFKWHRVVVQGAGPGPRYGHCMDLVAQRYLVTVSGNDGKRVLSDAWALDTAQKPYRWQKLNPEGDKPSARICFNLNHYRYATASARSDGMLLLCGGRDASGTPLSDAYGLLMHTNGQWEWTLAPGVSPSPRYQHAAVFVGARLHVTGGVLRGGRAIEGEGAIAVLDTAAGVWLDRNGIVTSRTLKSSNEHDAASDLLRRCRHAAASVGSQIYIYGGLRGVHIVSSADKKSIDMLIEASTAEAEAVSAVWRAAKEASAASSEDSLSEGIGSESPLSETSPMPEDFDDGGSLEPDVKLHSRAVVVAKEAVGDLGCLVRQLSLDQFENESRRMHPSNNDQSYPGRRTLNRQRSPQGLHKKVISFLLKPRNWRAPADRTFFLDSYEVGELCYAAEQIFMQEPTVLQLKAPIKVFGDLHGQFGDLMRLFDEYGFPSTAGDITYIDYLFLGDYVDRGQHSLETITLLLALKIEYPENVHLIRGNHEAADINALFGFRLECVERMGESDGIWAWTRFNQLFNYLPLAAMIEKKIICMHGGIGRSINTVEQIEKLERPITMDVGSIILMDLLWSDPTENDSVEGLRPNARGPGLVTFGPDRVTEFCKRNKLQLIIRAHECVMDGFERFAHGQLITLFSATNYCGTANNAGAILVVGRGLVIVPKLIHPLPPPVNSPESSPERGDAHGCRSLIFSGHLLQPEGGHKLPVIGVLLLTYDT >Sspon.05G0006150-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:20200551:20203240:-1 gene:Sspon.05G0006150-1P transcript:Sspon.05G0006150-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEINPADEIDKCEAGGGAEPQPADPEPEAERVRRRGAGAAAVARAADGAGLGGAALIGSMYTVVVMKLNLTTGFVPTMNVSAALLAFLALRGWTGALVRLGVAGARPFTRQENTVVQTCAVACYSLALCGTCQTPDSSAQLARLSVARARHRTWSRVVTREIAVCGGAGACAGFGSFMLGLNKRTYEAAGVDTPGNVPGSVKEPGFGWITGFLVASSFGGLLTLIPLRKALVIDYKLTYPSGTATAVLINGFHTPQGDKNAEKQVRAFLKYFGISFFWSFFQWFYTGGNACGFAQFPTFGLKAWKHSFFFDFSMTYVGAGMICPHHVNLSTLLGAVLSWGILWPLIRKRKGDWYSADVPESSMTSLYGYKSFVCIALIMGDGIYHFVKVLGVTAKSLHERSKLRRGNNRVADEGNAAAIDDLRRDEVFNRDSIPAWLAYAGYAVMSAVAVVAIPMMFRQVRWYYVVAAYALAPVLGFSNSYGAGLTDINMGYSYGQLALFVLAAWAGRDDGVVAGLVGCGLVKQLVLISADLMHDFKTAHLTLTSPRSMLAAQAVGAAIGCVGVRRRQPQRVLEAPFALVYRNMALLGAQGFSALPTHCLPLSAGFFAFAVLANVIKDVLPPRYARYVPLPTAMAVPFLVGASFAIDMVVGTVVSLPGNGLTAMRHVAGAGGGVRPHLR >Sspon.02G0019580-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2A:63551408:63551914:1 gene:Sspon.02G0019580-1A transcript:Sspon.02G0019580-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DHSAPFPSVPTRFTISHPYVAAASTPSRPRRRAPARLQPAAPADARLPASTLPPPPAARPRTSPAPEVGPSRPARHRPSSSAGRLSPHPRPRRVPGPGHWPRSVSPPLTVDPGRTPAPDVPLCRIPQSDYYLRVELCKARERPIDGGVPGDEPPNFNPPKLQPFCHLP >Sspon.04G0015600-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:66933220:66937998:1 gene:Sspon.04G0015600-3D transcript:Sspon.04G0015600-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGEDAAAARRRAAITDYRKKLLNCRELESRVGTVRESLKNAKKDFTKTEDDLKSLQSVGQIIGEVLRPLDNERFIVKASSGPRYVVGCRSKVDKEKLTSGTRVVLDMTTLTIMRTLPREVDPVVYNMLHEDPGNVSYSAVGGLSDQIRELRESIELPLMNPELFLRVGIKPPKVNTNMCLDPNYAYEVKEIIMSNVLTGYQCLFDLLIQGVLLYGPPGTGKTLLARAIASNIDANFLKPCIIFMDEIDAIGGRRFSEGTSADREIQRTLMELLNQLDGFDELGKVKMIMATNRPDVLDPALLRPGRLDRKIEIPLPNEQSRMEVLKIHAAGIAKHGEIDYEAVVKLAEGFNGADLRNVCTEAGMAAIRAERDYVVHEDFMKAVRKLNDAKKLESSAHYSADFGKE >Sspon.05G0020400-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:88585419:88591694:1 gene:Sspon.05G0020400-2P transcript:Sspon.05G0020400-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHTRGGGGPHVSVARAGDRTATAARNGPLRQATAAAPRRDLGAGRPRPSPRRDPIRIRRAPRTHGLRGFRSPQPQAHFPAFKATANHLLCPSLLKRERARLPGSPPMPCPALAAGELASSDGGCMRMDTSNDAAPRAILLCFLFLLCLGCKCLASELEATQTATLAVDASPQLARKIPDTLFGIFFEEINHAGAGGIWAELVSNRGFEAGGLHTPSNIDPWSIIGDDSSIYVETDRTSCFSRNIIALRMEVLCDNCPTGGVGIYNPGFWGMNIEDGKAYNLVMYVKSPDTTDLTVSLTSSDGLQSLASATITVSGESNWTKVEQKLVAKGTNRTSRLQITTNKKGVVWFDQVSLMPEDTYKGHGFRTELISMILDLKPRFLRFPGGCFVEGEWLRNAFRWRESIGPWEERPGHFGDVWHYWTDDGLGYYEFLQLAEDLDAEPIWVFNNGVSHNDEVDTAAIAPFVKDVLDSIEFARGSANSTWGSVRAAMGHPEPFPVKYIAIGNEDCGKKFYHGNYLKFYNAIRQAYPDIQMISNCDGSSTPLDHPADLYDFHVYTDSKTLFNMKSTFDKTSRSGPKAFVSEYAVWRGDAGRGSLLASLAEAAFLTGLEKNSDIVYMASYAPLFVNNNDQTWNPDAIVFNSWQHYGTPSYWMQTLFRESSGAMLHPTTISSSYSGSLAASAITWQDSENSFLRVINFGSDAVTLTISTSGLQASINVLGSTATVLTSADVMDENSFSNPTKVVPVKSDLSNAAEQMQVTLAPHSFSSFDLALAQSKLVAEM >Sspon.06G0033310-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:12341641:12345370:-1 gene:Sspon.06G0033310-1D transcript:Sspon.06G0033310-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGADPAAGGVDPNAAAPAGSDWRTQLQPEARHRIVNKIMETLKKHLPVSGPEGLTELHKIAVQFEEKSYTAATNQADYLRKISLKMLSTECQTKTQQNAGNAQVIPNQNPLGPGLPTISERGEREKSKEPLME >Sspon.03G0000740-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:2200544:2203747:-1 gene:Sspon.03G0000740-1A transcript:Sspon.03G0000740-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPRSPPPAPTPEFEISRQSRLFAALSKKVIDLDELRMLAAQGVPDAAGVRATVWKLLLGYLPNDRSLWEQELAKKREQYAAFKDEFLRNPVETARRVETEGHHNVNAEHVDNGFLHRLEVTREEHPLSLGKTGAWNQFFEYSEIMEQIDRDVKRTHPDMHFFCGDSSFAKSNQESLKNVLLIFAKLNAGIRYVQGMNEILAPLFFVFRSDPDDKNAKFAEADSFFCFVELLSGFRDNFCQKLDNSAVGIRGTLAKLSQLVAKYDRELQQHLEITTEVNPQFYAFRWITLLLTQEFNFADTIHIWDTLLSDPDGPQETLLRICCAMLILVRKRLLAGDFTSNLKLLQNYPPTNISHLLYVANKLQ >Sspon.05G0038010-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5D:30959655:30960517:1 gene:Sspon.05G0038010-1D transcript:Sspon.05G0038010-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKAASTLLRAARKASLASRAAAAAASRSAAVAASRAARAAGVAADVARAARLSLDLTCHPKSGSNRRFPTAADYEHLPDDSTTPTDKDLESDEVVWALYERWCKAFDKKRDHAPDAEMVRRFKIFRYNAQDVHSHNTNVPADPEEAAICLQKRREAKLLLSKGEDVSHFDERHLPAILGPLADGGDPFLHECDYNLLKDLEKSEARRAAKDVTVE >Sspon.01G0007830-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:21800711:21801575:1 gene:Sspon.01G0007830-1A transcript:Sspon.01G0007830-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VNNVGTNIRKPTTEFTAEEYSFVMATNLESAYHLCQIAHPLLKLSGSGSIIFISSVCGAVAVFSGTIYAMTKGAINQLTKNLACEWAKDNIRANSDFEEQVVSRTPLGRVGEPEEISALVAFLCMPGSTYITGQTIAVDGGMTVNGFYPTKP >Sspon.08G0008270-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:30311775:30315066:-1 gene:Sspon.08G0008270-1A transcript:Sspon.08G0008270-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSASCLAPPAPRFRVRLPPLSAARPSLGFGPRCAAPAKGWALWHVSCFRNDQDGPTTSDEGDGFKYIAQSQSSGGVEVKEEEIKENLLGRIVRFQTERWTVPWTGQTIAQVMILWIATFWFVGSWIVPFLAHAAGFSKETLTHRGQALYSLLTDITEGLAGIAILHQCLGRFRPLPPGWFEFNLKGKWHLDVAFGCLLFPLVNLLSHINISLVPMSPGPVVGVSSVEQSIVARDPVAMALYAVVVTVCAPIWEEIVFRGFLLPSLTRYMPLPWSILASAVAFALAHFNAQRVMPLVFLGVVMGGVFARSRNLLASMVLHSLWNGFVFLDLMK >Sspon.05G0009880-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:5A:28243516:28244005:1 gene:Sspon.05G0009880-1A transcript:Sspon.05G0009880-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ATPHGKENAQIRSPGRVRVITAGRAETGKSKPRGSPARHSFSIPGARWGRRRRACQPPDACRGSTRPGEPARRRSPARAGDRVAPAHRSRHVNIRTSLRPARPHGRTRASLAARRLGTAGSLSGVRAHALGGRRHRSHGEKNEPDPAGTVSSLPLGFPPNDF >Sspon.01G0010630-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1A:29572155:29573342:1 gene:Sspon.01G0010630-1A transcript:Sspon.01G0010630-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFALLLVVLLVLAILWLWNYLIVHLIWRPYIIAKKLREQGIHGPPYKFFKGCNENIKRMKEKADGLVLDVHDHNYLPRIAPHYLRWRNQYGGSSQILPNKSGHFFKNDSLLGKGLVLVEGTDGVRHRSVINPAFSMDNIKVTMLFHDPGLRQHLRQNYDVRLTSANTSAQASLTPLASTPTLPPPNIFFRRAFTIILTHCYDLRASVNTFDINTYDDLPPSPRSRGYINSFDTK >Sspon.05G0015690-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:38930813:38932104:-1 gene:Sspon.05G0015690-4D transcript:Sspon.05G0015690-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGILVITVDLDCCRCRAKITKVLDSLKEEFCIEKVEFEDKKEKKVVVVRGKFDPEKLCKVVWCKAGKVVKEIIIVEVWPIPPPPKPPKPEKCCCCEHCKPKPENCCGCEHCKPKPKPEEAKPKPEEAKPKPPPAKPQYKFVPYPYPLPNPGMCQSWPWQCPPQQQCQCCEKPQPPPPPPPPPPPPCS >Sspon.02G0020650-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:62699055:62702249:-1 gene:Sspon.02G0020650-4D transcript:Sspon.02G0020650-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFFLVCMSTLLFISISSYVFQLLADRRHRLPPGPRPLPLIGNLLDVASELPHRSLARLAGRHGPLITVRLGTLLTIVASSPSTAREILQTHNGSLTGRSPPDAWLALGHAANSVFVLPPDRRWRALRRIGTEHLLSARQLDGARVRPLLRDGVLDLVRRVSELAAAAAPVAVVHAAFAALMDLQWRAMFSAGLDDAAARALHDAAREAVALSLKPNVSDFFPALAAADLQGVRRGFGRRMAMVYRLVDEQIERRMRHRREAAAGGGVSSCEKDLLDVMLDMSEQGKDDGVMISVNRDVMRTFLADLFLATVDIISGTIEWAMAELLQHPDTLRKLQKELTSVVGSKLYVEHSDVGRLPYLRAVIRETLRLHPVVPLVPNEAEQTVKVHGHTVPKGCTVLVNIWAVHRDAGVWPEPDRFVPERFLQPRHEDTGFVGTTEFEFIPFSAGRRACLGLPLATRMLHAMLGSLLHRFDWALQREAMENGVDMSESLGLTMTMATPLKAIAKPRSV >Sspon.02G0043910-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2B:97612990:97613274:1 gene:Sspon.02G0043910-1B transcript:Sspon.02G0043910-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPGALPLLSRSWEAEMISFEENSAPSWHPITMGFLPELDDRLPNRSPMCSGVSAPLMPPMSTYSMPRSRAAAIHTSFRDKAGIAREVPPAMLAS >Sspon.04G0026810-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4B:50584465:50585262:-1 gene:Sspon.04G0026810-1B transcript:Sspon.04G0026810-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRWKSSLNDRWSHGCLETWSNNSSPCNWTGVTCSAAMVHHGHGRSDDVQVVTNISLPGCYLEGTLDQLHFAEFSELSVLDLSNNKLFGYVPASKGKLTELTSPNLSCGEWSGSIPATIGILVNLRKLDLSGNGFSHLIPSSLGNLTRLEYMDLSSNSLSGHVPHEIGMIHSLTMLNLSRNSITGSILGIVGNLTRLELLDLSSNYVRGSIPPSIGNLIRLKSFNVRNNEIARSIPGSIANLERLKLLDLSSNEINGPIPFLEA >Sspon.07G0007060-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7A:18569326:18570815:1 gene:Sspon.07G0007060-1A transcript:Sspon.07G0007060-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GGVSSMDAFLQRFFPEVYRRMKGGGERVSNYCRFDSQLLTAFTSSLYVAGLVSTFFASSVTARCGRCPSMVVAGVAIIAGAGIGGSAVHISMLILGRVLLGVGLGFGNQAVPLYLSEMAPPLRRGAFSNGFQLCVGLGSLAAQLVNFGTEKIKGGWGWRVSLAIAAVPAVFLAVGALFLPETPNSLVQQGKDRDEVRDLLRKIRGGGTDSNCVEDEVDDMVAAVRSSSKVTAWRGLKMMVSKRRYRPQLVMAVMIPFFQQVTGINAIAFYAPVLLRTVGVGESAALLAVVAKQTVGVGATLASMFAVDRFGRRTLFLAGGSQMLVSQVLIGGIMASQLGDDGEVSKACAVALITLIAVYQAGFGWSWGPLGWLVPSEIFPLEVRSAGQSIAVAVNFLLTTAVAQSFLAMLCHMKAGIFFFFAAWLVIMTVFVYLFLPETKGLPIEQVERLWAHHWFWKRFVARE >Sspon.04G0026020-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4B:34529165:34529495:1 gene:Sspon.04G0026020-1B transcript:Sspon.04G0026020-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding PSSSEARAQLASSIRACRHASPLLRGDFAYGDASLFSAPSPKVPTAFSILSLFRVFVLCSSRLHDSAYQLVTLVQANSKQGSNRERARGGGPCRSAGISFLQFHLYCTN >Sspon.08G0023610-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8B:56854988:56855173:-1 gene:Sspon.08G0023610-1B transcript:Sspon.08G0023610-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVEVGSYSFRRQDLVGNLGSIRNSNCPEEGKEPPTGYACVSANSYCMAANKWSRVEEAGA >Sspon.04G0005780-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:16428820:16435019:-1 gene:Sspon.04G0005780-1A transcript:Sspon.04G0005780-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKALPVRFLASPEPGGWSRRLPRRSLRLISAALMTNPAYFEVGRFLGGYGFMNITSYSSSQFGEPSNVAGIQDLGLGYSPEEIERLRVQDIGEGEVTIRLYEGRVVQGPLRGTQAVFKSHASDICENIQFLLGAFETATGEQVYYYITMRFPGSPQNACKTDIALLQWLAFRDDGRYSAADYAKVASARQLKEQSGGMPFWNPFDRAYKLELRRYFVLKLLNGAMSGLVHMHNHDRLHQSLGPSSVILKYSSIGAGVLSDGLWRRASAAGASTPLEKRAFGIADDMQAMLNPDYRKRPIAEAVLNHSNTILPHMPEFFAQRAEGEKTLGALSILVNLQAGSITPRTIYQIRHCI >Sspon.01G0013190-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:42796393:42798061:-1 gene:Sspon.01G0013190-2B transcript:Sspon.01G0013190-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSCPTLPFDEARWIIRIRRIFDEEIEVSEDQPSCVFDVPKPLLSTKPEAYIPQLVALGPYHHCREELCDMERYKLSAAKRAQSHLPGMDFQRLVDVFTKLEHLVRAHYHRHLNLSNEALGWMMAIDVSFLLEFLQTFSKNNGNQQRALQRIPSRMSHLVDPSRRTSSHSMLLRDVVMLENQVPLFLLLKAIESRCPTAVAQPAAQSVLSSMLVGFFQEVSTFRGIGRPCTDANRHVHLLDFLYSNLVPRCAEESHGEAGDESCHGHDDHGKSTLNSVMDLLLSRSSKIVSVIVDFLLRVLLKFIASLPCLSVLGEPIEQLTQHAAEPSGGAPGVPDKNRSPLLEEIAVPSVAELAYTGVRFCPTVGDLSAIDFCPATATLHLPVIGVDVNSEVVLRNLVAYEAAAGLGPLVLARYVELMNGIIDTEEDARLLRECGVVLNHLKSDREVAELWNGMTRSVRLTRVPALDRVIDDLNRHYSGCWKVRVRTFVKARVLGSRELLACVAVVLLFLFVGLQAFCVLRGCVPV >Sspon.02G0034470-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:12831824:12840851:-1 gene:Sspon.02G0034470-1B transcript:Sspon.02G0034470-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAAALRPTEPLSLPSGLSLSPRLKLLLTFFRADLTVRPLDEWQLKSALLAFLRDPPLSLPLLPDSDLSVSRLPDLQKRRREEPVASGVLHVRDLSFLRPRKGDGEAEEMTREQEEEKYFEWRSTLVQKLEGIELNLEGVKFRMTVEIPSSDNFRTMKKSWEDFYSSELLNSRNPVRKIAKRPDTIIVRGVPSRWFAETRVSSKPSTLVTHTIFSALGKIGSKRRWRHKELISGLNCKVWVQFENYDDFHDAMKALCGRSLEKEGSRLKVDYDVTWDREGFFRIAQYEPAPNNLGERDSSASVHGRRKHHTSRIESDYRKRFR >Sspon.08G0001030-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:1334452:1336712:-1 gene:Sspon.08G0001030-3D transcript:Sspon.08G0001030-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LDVGNNNYLPGKDVPRANKPYYGIDLPGSGRPTGRFSNGYNTADFVAKALGFKKSPLAYLELKAQNKLIPSAITRGVSYASAGAGILDSTNAGNNIPLSQQVRLFESTKVEMEAKVGPRAVSKLLSKSFFLVGAGSNDFFAFATAQAKQNRTATQSDVTAFYGSLLSNYSATITELYKLGARKIGIINVGPVGCVPRVRVLNATGACADGLNQLAAGFDAALKSAMAALAPKLPGLAYSIADSFGLTQATFANPLALGFVSSDSACCGSGRLGAQGDCTPTATLCPSRDSYIFWDSVHPSQRAAVLSAQAYFDGPA >Sspon.04G0014780-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:24522964:24526129:-1 gene:Sspon.04G0014780-1P transcript:Sspon.04G0014780-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSKATAAVTLRTRKFMTNRLLSRKQFVLEVIHPGRANVSKAELKERLAKLYEVKDSNCIFVFKFRTHFGGGKSTGFGLIYDNLEAAKKFEPKYRLIRNGLATKVEKSRKQMKERKNRAKKIRGVKKTKAGDAKKK >Sspon.03G0007160-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:35208146:35213181:-1 gene:Sspon.03G0007160-3C transcript:Sspon.03G0007160-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MACSLPLHAPLSSSPRVLSYLPTPRTPLLFRRGPRVPRHRPPSPPRALPDVAAGAVASIRDALADAFLTSPPTWRSAAASNLAVFVAGSPVLLSGLSASGFAAAYLLGTLTWRAFGPPGFLVVVAYFVVGTAVTKLRIRQKEALGVAEKRGGRRGPGSVIGSSAAGCVCALLSIYHAGGTASSEIWRLGFVASFCTKLSDTVSSEIGKAFGRTTYLVTTLKVVPRGTEGAVSIEGTIAGILAAVFLAGFGYLLGQVDVSQVAVCVLASQNANYVESYIGATLQDKEGFEWVL >Sspon.01G0022710-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1A:82717981:82723199:1 gene:Sspon.01G0022710-1A transcript:Sspon.01G0022710-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQAIPVHPAPMAMTVAELEAAIAALPGKRDALREAFDRLAACSPSPLPFAWEDLDGHISSLQSSIALRFRQLHVLEAAGAAPAAAALGGTRGDGKGENPGEELEEEEEEQEEEVVEVEVEEEEVAVDVEEEKKKADEEIQEADSDKICNDEKDGKEAREVEVEEEAAELEKADEEMHEANSNKICNDEKDGKETREVEVEEVVAELEKKADEEMQEADYDKICNDEKDGKEAREEVVEEEEVEEAVNVKIGNEIKDEEEAREEEQASKVNEQGPDKEMQVVDNDKDGLNNKDALKASQDKEEEDQDTNMDETAAKMASSLQHKEVETCDKKQEQDAHEKVEGAKNVTDQVSSDRGNRAVPCRSDDRTVTCANMNAQRLVKLICTNTEFNSEFHAAARRAPDAAALALHVVELFLHNKKYVKTNKVWASCVGLFQMVPVVVTKPSADTIEQAKRVAKDWKEMIDNPESCNVLGSLASWGLLNFLISYNIVTEFDTKEIFHLFGSIPFKQKKKSAVLLLKGLGLVNRIPELMDCLIGNGQQMDVLGLARVFNMVNKYPPLSLLKGYIEKAKQTAMEIPQKNMTHTFQRAVIIKELEDLRRAHVLAKNEITDSNLCTSIREEINILIGELEKKKRSLANPLRASTSNSQQQQTKSKKRKIEQKQEHHKGQMRGQLSGLAEKLEEKERKPQQEQQQKQEDKPEEKKQKQEDEAEEKEQKQEEKAEEKKRKQEDKPEEKQQQNKQKHEKRPRQRTPKVPTQGYPAMWNAALRGDLERPPYAAMHGVHHGYPAQPGWPGVNCASPFMPLLGAPEYIGSFRRTALEYCKTYATCPPHLLPKKGAAPDPLKCPLHISHKPLRKPERVGVRRAACDEWQPQPAFAGDGWEYLYQALI >Sspon.01G0043440-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:74762861:74765112:1 gene:Sspon.01G0043440-1B transcript:Sspon.01G0043440-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SMASSSSPSNPASPQPPPLLLPPPEPPSEPTPPPSLPEARPRTSPTVADGVRGLLRSGEALIRAVFGDNSGQPRLQGDIMKRIQRETFSDVMKFKEKHDQIEHILSLYKTGKGFEFLDLPIQVKIALDAVGALFLVDDTEFEQAKATLDKVGRTTGLSSRFVFESKTRGKDTIAAELSTQLGAGDHLGRPVELTRLQYSAQINKWLSMTLVPFGARCNSFLHSSSMIQNLRSQASFDGPPSFFEHHNCGAGLRIEGSKFTASFAELIFGSGGLDSGGGGTNRMTTFGQVSCKPANDVKLSLSGIWQVHSLSPRFNNLGILAIPLGSLKPGNPTTSGTGEHTELSVKFNNQTGASASATSHTVVAVHGASPAVQLPQSMALMVDCELYQTLKTEGWFQMERSIHGPVRWGFSLSDIPDNELGWSVKVSGGTAEEMMRKNQLQHLELEGFLNFNLGKGARLQPGLVYAQMESKMTPALFLRSSW >Sspon.04G0027480-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:62172055:62176778:-1 gene:Sspon.04G0027480-1P transcript:Sspon.04G0027480-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ASGGAPPTVAVAVRPGGSGSRLAARWVAAGLPDDGCPTAIAVVHVLPELSYVPSPSNDVTWSVAAGCGGGSAAGERVPVALVGREPAEAYVRDRRARAEEALLPFRRLYCCGRANVTVETVVVEGDGVAEALLRYMHESGVRSLVLGSASFRWFRRWLSIPDVPGTVIQATQNSCNVFVVSKWRLIMKLARYPQTSGANTNLRIESISHETFALSHRSLLFDNFASDEAQSDSFSQSHSSHSASNVVPSSESSEQVASGSSGVNCAGTEGSKNYDSLSSLGKDPCAASNSSKVVQSTDEVSKLRKELQETLVVYDKACVDLVNVKKKVQVLSIECSEEARKVEHALEWEETLKQMVSDEKAKQLEVINEIEQARKSFTREAYSRYKTEMATNMISQDKVQIVDAILSKSRSCRRYPKKDIELATDNFSEERKIGEGGYGKVYRCTLDHTEVAVKVIQENSIDKTDEFLKEVEILSQLRHPNLVLLLGFCPEIGCLVYEYLKNGSLEDQLFNSKGCQPLHWFLRFQVIFDVSCGLAFLHARNPEPIVHRDLKPANILLDRNYVGKIGDVGFAKLISDLVPDWQTEYKETIVAGTLYYMDPEYQQTGTVRPKSDVFALGVVILQLLTSRRPNGLIVSVENAVRNDRLSDILDRSQTDWPLDEAEMFARLGLRCTALKCRDRPDLESEVLPKLDEILHRITSAVNLRNPKLSVPSHFICPITQV >Sspon.02G0044370-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2B:100738242:100739749:1 gene:Sspon.02G0044370-1B transcript:Sspon.02G0044370-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMALGSCQIHSRPWLAMCFAGLLLISAGSPCDARWLPLEKNQRKRDINVITVVVLLVVGTLLVALLRQHCCRCGSPVGHAAEEEEEAEGRSTEFSIKQLFSTFVVVKVQRVLEEDDPMSISPTMGRRSPCGSPEPRHWARHVSQVRHHRSPLSEPSGQQNPAAAADSCLRDIAPVCQGPLKEFSYLSLAKATKDFEKGNESRSGVLYAGHLLFDDTLVKKVAIKRFHKNITDCQQIHEDLRRRKKYKLQHRNLVTLFGYCLHDGKLYLVYDWMRYHSLDYELFTEKDSPHPPLTLLQRQIIIKDAARGLLQLHDRNAFHGAVRASNIMLEPTSTGFRGRLADFRYSTLLAEHQGSEPASTGTLGSLLYPEWILSAAADDNSGAPGGPSLETDVLHFGGMMLEVLCGRRLFARDQLPTGYSSLLDWVQKLHGEARLDAALDENAKKAPDFDKAQADVLLRLALRCSNPDPKHRPTIRT >Sspon.03G0007490-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3A:20275983:20276303:-1 gene:Sspon.03G0007490-1A transcript:Sspon.03G0007490-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRREGRQHGWVFTVDPSLVDAEGKQCRSRAVQVEGAAAANGGFVRAPRKPTNHSKPAVGRAYKALIGKGEAGSGRGRHKFKHDEAKMYYLYLEGADDAYADAMLEFD >Sspon.03G0032960-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3B:43703801:43704109:1 gene:Sspon.03G0032960-1B transcript:Sspon.03G0032960-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPCCLNEVPEQRGHAGEGERRDGQELPSTKVEVPTREVRMDECPEEVIVVVPPPHKTSNSSTTPALMRFWRRSGCGVAPDATQSGMRAPSGEAEWDGRRMR >Sspon.04G0011550-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:31290335:31290736:-1 gene:Sspon.04G0011550-2B transcript:Sspon.04G0011550-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQYAAAEQAWYMPAAVAATTTMAESAVARVERLASESAVVVFSVSSCCMCHAVKRLFCGMGVHPTVHELDLDPRGRELERALARLLGYGPAGAPVVPVVFIGGKLVGAMDRVMAAHINGSLVPLLKEAGALWL >Sspon.03G0025700-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:519609:523390:-1 gene:Sspon.03G0025700-1B transcript:Sspon.03G0025700-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein FIZZY-RELATED 3 [Source:Projected from Arabidopsis thaliana (AT5G13840) UniProtKB/Swiss-Prot;Acc:Q8LPL5] MALAAPASDAKPRLNVPPAMAAALRLDPVGLGAGPSTPSPSRRLAEPPKTPSPFKTTYGDRFIPCRSSSRLQNFALLDSPLSPVKDDTPYSRLLRAELFGPDSPKPTTATSASPNTNLFRFKKDHSAPTSPFAKAAAAAHHDCTAGSGDAPSSQKPPRKVPKTPHKVLDAPSLQDDFYLNLVDWSSQNVLAVGLGTCVYLWSASNSKVTKLCDLGPRDSVCAVHWSREGSYLSIGTGLGDVQIWDSSRCKRIRNMGGHQTRTGGVLAWSSCILSSGSRDKNILQHDIRVPSDYISKFCGHRSEVCGLKWSHDDRELASGGNDNQLLVWNQRSQQPVLRLTEHTAAVKAIAWSPHQQGLLASGGGTADRCIRFWNTANGNVCNLAWCKNVNELVSTHGYSQNQIMVWKYPSMSKVATLTGHTMRVLYLASSPDGQEQATKLYGSGTYFHQLKHRLLCVILGSGHSQEATSGEEQSGPGGPDRRQTRCCKTRAEQVMTRTGNAESDDNKVGL >Sspon.06G0008890-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:38931948:38937301:1 gene:Sspon.06G0008890-2B transcript:Sspon.06G0008890-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTWSGPSSRRGHDGGKERSRSKKPGTPPDAPMDPTGGDGDSAAARGSAGGGGTGAGRWAVGAVCGGLVYYHCAVRRASAVSLAADVLLVLLCSLSILGLLFRHLHISVPVDPLEWQISQEMANSIVASLANTIGAAESVLRVAATGHDKKLFFKLWEGWYQALRLLMLDVIGVKLHVNRRGTLILVRKNGGHEFWANYVIWREESTD >Sspon.03G0009180-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:32092792:32106039:1 gene:Sspon.03G0009180-2B transcript:Sspon.03G0009180-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDETPSSSGQSEASSCEPNWWPPDLLEKIESASISRKQDVLGNLRSSSWKASQLLWSTGSYSGLIPNGFYSIIPDKKLKETFPTIPSLDDLQTLEADGLKADIIIVDTERDKKIFMLKQLSAALVKGLSSSPALVIKKIAGLVFDCFKRQNPDASPARGSIEDTHFFGNKGPQLLGQIRHGSCRPRAILFKVLADAVGLESKLVVGLPDDGAVGFVDSYKHMSVVVSLNSMELLVDLMRFPGQLIPFSAKAIFISHISAAGESDSAENDSCDSPLEPNSPLYGLSDKVEAEGIESSSNLSGRSLRNMMLRSRTFSEGKLSTSCSEPNIANAFWRRSQRRGVAEEPRGASSSPEHPLTRARGRSILGGEKQSFQEYTESGAASRSDGLEGTSTSNARRIRRRSISITPEIGDDIVRAVRAMNETLKQNRLQRDHVEGSCSYVTEDQSNANDCPNKDDTSRGIGAIDSDSRNRTGSTQKAMSLPSSPHEYRGQVAPKSDDFMSKEKMALAWNKVFQSSPFLNKPLLPFDEWNIDFSEITIGTRVGIGFFGEVFRGIWNGTDVAIKVFLEQDLTTENMEDFCNEIYILSRLRHPNVILFLGACITPPHLSMVTEYMEMGSLYYLIHMSGQKKKLSWRRRLKIIRDICRGLMCIHRKKIVHRDLKSANCLVNKHWTVKICDFGLSRVMIDSPMTDNSSAGTPEWMAPELIRNEPFTEKCDIFSLGVIMWELCTLSRPWEGISQWSGQLQVVYAVANEGSRLEIPEGPLGRLIADCWAEPENRPSCQEILTRLLDCEYTVS >Sspon.01G0037230-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:20916425:20924801:1 gene:Sspon.01G0037230-1B transcript:Sspon.01G0037230-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPSILSKSTASPSPARLYRLLPVSSLQTNSHLRPAPNGASPPPSPPAQSPAFLSPCPSLYNHNNYATILRSCVLSRAVRPGRQLHARLLVSGLGLDAVLATRLVDLYASCGHVSLARRLFDEMPNQGNVFLWNVLIRAYARDGPREAAIELYRAMLAYGSMEPDNFTYPPVLKACAALLDLGTGREVHDRVMRTSWAADVFVCAGLIDMYAKCGCVDEAWAVFDGTTVRDAVVWNSMIAACGQNGRPAETLALCRNMAAEGIAPTIATLVSAISAAADAAALPSGRELHGYGWRRGFGSQDKLKTSLLDMYAKSGWVTVARVLFEQLLHRELISWNAMICGFGMHGHADHAFELFSRMRSEARVMPDHITFVGVLSACNHGGMVQEAKDVFDLMVTVYSIKPTVQHYTCLVDVLGHSGRFKEASDVIKGMLVKPDSGIWGALLNGCKIHKNVELAELALHKLIELEPEDAGNYVLLSNIYAQSGKWEEAARVRKLMTNRGLKKIIACSWIELKGKSHGFLVGDASHPRSDEIYEELERLEGLISQTGYVPDTTPVFHNVEDDEKRNMVRGHSERLAIAFGLISTPPGTKLLVTKNLRVCEDCHVVIKLISQIEQREIIIRDVNRYHHFVNVAYTNEFQKRGLPHSHILVWQCAMDREPSIADVDRYIAAELPDPSADPAQKNGILAWKGNVQVDNQWVVPNNLSILKKYQAHVNVESCNQPARAIRRHIIVPARCKSAASDKGEPGGRRRASATVIDMASPSRAASTGGTKGGIHFAARTTDRVSTPPGHRTPLRIHSSRRAMPVQIELRTRPDSSCQRSQQTAGDANQESGRTRGEEDGSSALTTHQRPDLTGIQVPASRAAAHPLHPEFPTPTRPPAQQAPHRPQRYRDVATATRHTGTTGRPPHYRYRPAGLTQQASEPKQQQRGGTKAAPEKTDKKYAHVPTPLHHGHGGASKKTPRGAKGGGDAADPAAYVAAVSCSDCRFKQRALAPASPGAVIRSLFVSLTRRSTPRSSPSASGGAGAGAGDAGDVEQWRLAAADLSRRLAAATRTRDEALEETTRLKHSLAELELKLSRLEARVLPTPAAAAAFPVDSFLRAVSTARAAVRNLARALSTHLRSPSSPGPSLESFLNRAFHADFELDTDADVHTPDPAGRCQANLAAYHAIAVLTWEEVLLHGTKHYSDGLSRFCDAKMSEVVSSLGWARARAWPEPLLQAFFLAAKGVWGVRLLARSVHPPLPVVRAERGARFDPRFMEDAAASRAGRLEPASVKMMVAPGFHVYLAGAGVVKCRVVCFYSSSNGRTGGHRDGGSSANSSVGLGTGCSDMNGSATDVVDSCKSSRVG >Sspon.08G0002920-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:8124673:8128155:1 gene:Sspon.08G0002920-1A transcript:Sspon.08G0002920-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLGLANAQRLLVHVQCARGLPDKDVGAWSIDLASVTTELHCTCSRTGGSASSPNILDLTFCLSVPVDTTSKATPSEERVQSMARTLRRRCADHHAIKMLRLVYRKDVAMECRYADDMIALANATKLVLTPHVGKEFLPDADAGAWSLQLPPATVELQVLPFWYALEDLHIGECTLAASMSVTSDTMPCLKHLRFTDVSVRTDDTKAAITVLADDLRTLRMSCR >Sspon.07G0032380-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:40546594:40547169:-1 gene:Sspon.07G0032380-2D transcript:Sspon.07G0032380-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVYFVDRSTIGVSKESQMRATKILRVVSDAYEVVPSAGDGSTVPRLFDFARRRMEERWRTLRATVAASGVFSLPEETSGYCNFAKQTVTACPAFAWLRCEKEGVEDCAKLLAGHKIVARGGEQFGGDARCVRINMLDRDNVFNMLVQRLSAIN >Sspon.05G0033910-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:51429129:51430403:1 gene:Sspon.05G0033910-2D transcript:Sspon.05G0033910-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLWRLHRAREVYLVDYGCFLGEPRHRVPFATALEHGRLMNKLIDEESLSFMVRLHQKSAIGEETSVPDSFRCIPPDRSIEASRQEAELVIFSAVDKALARSKLNPEDIDTVIVACSFTTVTPVFADVVVNRYKLRDDVQSVNLSGMGCSGALIAIGLARNLLRVAPPGRHVLVIATEILSSMLYTGTKREMLVPNVLFRMGAAAMIMTNSPERARFRLGPIVRTLTAARDSDYRCAFQEEDDEGITGINLCKDLPVVAANALKGHITAFGPAVLPVSELLRVGLSIIRNRLFSAGTKEERRYYRPAFGKVFQHFCIHPGGRRVLYEVQRGLGLTDRDMEASHMTLHRFGNMASSSLLYELDYIEAKQWMKKGDRLCMISFSPGIDCSSVVWECVKPTADTGSGPWAGCIHRYPVPLPKIVQRA >Sspon.06G0001220-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6A:4006810:4007692:-1 gene:Sspon.06G0001220-1A transcript:Sspon.06G0001220-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding YQPIKFCIVANHDKASIRSAQSSPAQRSSPTHGAPLAAGGGSGEGAAASGASAGGAPPAALAVNRRRDGAGRGRAPRQGGGWPPRRARAELATTDESGRPPSTPGADPPLPSCFVAASSSSHHASPRPRAHPPPPTAEPLACPRAVGIRFAAVGAAPAGPPLVRPLRPAARSLAGSPRAGPPGVVPAVEAVERGEVGRRAERWEKEIEWE >Sspon.03G0040160-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:27680575:27681285:-1 gene:Sspon.03G0040160-1C transcript:Sspon.03G0040160-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSVEQSAHEHKRWPLGQGTPLTCTVSYLGRPAIVQITCMATRTVAFAYPTPLTTPFPPQKVQLMINIIDEGAVGGGNLGEVAARMDIELNDYPGSGANNRHEPRSPGRP >Sspon.01G0038640-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:16210736:16217052:1 gene:Sspon.01G0038640-1P transcript:Sspon.01G0038640-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPAQIVDSDTDTASDSATTHESTNDLSNRQTAPTQVSNTTRFQLPPPNRLAFFPGSLAAAAAAMEKEKKLSFSISSSKQRPPKPPARPAAAAYDDDADLRSAPAPAQQYVTEFDPSQTLAASAAARAVIAPLPNSGNFLTHRPRKPSSLPTPEEEAALAAESGGGGPAFVLDTSTAPDDPSSKIGYGLTRRNGATDAAAAAKESDKAPPPPPPAPTADAAAAGNLMLQRYKEDMDVLPEHRGMDEFNEVPVEGFGAALLAGYGWTEGKGIGRNNKTGDTKVVEYDRRAGTQGLGYNPSEADPRKTRSGDWIVGDKKASENGSAKRRDRDSRDRMEERDSSARKKRSSEQRSEKEGREKERNGRGSREGTSNASDTRSNVRWLQSHIRVRIVSQKLSKRLYLMKGKVVDVVGPTTCDIMMDDGSELLQGVEQDMLETVLPRMNGRVLVLYGKHKGLYGHLVEKNSEEEIGLVEDADTKDIVRVRYDQMAEYTGDPETEAILRATLLNKQPLQCFQRHHAPNIN >Sspon.02G0003660-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:13251114:13252142:-1 gene:Sspon.02G0003660-2C transcript:Sspon.02G0003660-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATAASNSVAPSGPVPFTDVDDGTLPEHTPKEEFGELVSSLPRREQSILELRLYQGFWLPEHWVPGTIVFQRRFAPRPDDVILASYPKCGTTWLKALAFATAARTAYPPASAGHPLRRLNPHDCVPFIDEIFAAGEDAKLDALPSPRLMNTHLPYALLPAPVVTGGCRVAYVCRDPKDMVVSFWHFLRRAKPDLSFADTFESVCDGTVAAGPVWDHVLSYWRASVACPDRALFLKYEDLLRDPAGNVRRLAEFMGCPFSAAEEAAGDVAAVVELCSFDEMKALEVNRPGSGTAGRYHAMPRDAFFRKGVAGDWANHMTPEMAARLDEIFREKLQGTGLAFS >Sspon.01G0043680-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:76594551:76595461:1 gene:Sspon.01G0043680-1B transcript:Sspon.01G0043680-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGGRGSPPGAWKGHMEMRPDHGFWKEGYGAPLRPTRCRSLGEATPAAPRDPLPDDDDRHDPPPPLGPEGGGPRRDGGGGADDRGGADDRGGVAARAVEEDEDPGEAADPGWMPCVSSSMTRSSRTSRKPAEDVEDQDAILHGPAEVAKGVGHALHPTAELANGEVTLNEGAEAHVEAQSPASALPRNCPSRASHARRVRGGADEVVEVQGDRPQDPGEDDAVETEPRRCLGGDQGVNKDVVVEGVAAESEKHQIPPAGVGGRLRLEDDRNEEANVLDPSAW >Sspon.02G0060030-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:106686888:106689224:1 gene:Sspon.02G0060030-1D transcript:Sspon.02G0060030-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHADARTAASARTSGAASARMSRSVEEEKEQRKKLRKMWVMKIFFMDTVEGRSLAENGKC >Sspon.02G0059420-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2D:92658400:92658759:-1 gene:Sspon.02G0059420-1D transcript:Sspon.02G0059420-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MCHAMGMTLGLKWLTRLHGSEGTEPSKTSRSMALSVSGFRCLTDSGGVFQTLVRMGSRAEQHKGARGCPWLVVTFQGQEPGGPSQALGRGRFRHGLALVVYLHGTGASFWISRLRPIRP >Sspon.01G0019220-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:77082075:77083563:-1 gene:Sspon.01G0019220-1P transcript:Sspon.01G0019220-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQRQGLYFNAYNRGKTLVFSKVPLPDYRADLDDRHGSTQKEIKMSNQTEARVEDLLSRSKCNTNNSASTSTVSMRQFLPSASSSVVEPAAPIDKEKLSSQLRDLQNSRKTTASARSMQSFREKLPAFSMREEFLKAVAANQVLVISGETGCGKTTQLPQFILEEEINNLRGSDCSIICTQPRRISAVSVAARVAAERGEELGEAVGYQIRLESKRS >Sspon.06G0003780-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:9520777:9527832:1 gene:Sspon.06G0003780-2B transcript:Sspon.06G0003780-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPPQEAIDTFVSITGADEAAAIRGLEEHGHDLNEAVNAYFNEGDRNTTRINQNPVPAIATASYDDMMELDEPLDPMFNRPLFPRTLGNPFALLDPGFADITAGDIFGRGPRVTQPRDVRQIPIEVKDSNTQTGSSGQGPIIEDVTGRESFYGPEVHGTVIVDEDDEDLPSTPSAHDPMIPSSASHPNHSMPSAPPVVDVSDYNNDIEEEMIRAAIEASKREAEGMKNVLSSGESDNTSRGRGDDELARAVSLSLETAERERALRQEGLYVADHSPDLSDKEGDQGGSRINERRGLTTGKVGTSEQAVDEENFQEDSEDDDEQPLVRIRSRRFRGRTIEPAETVQRADSPPSSPQPHVQIDHQHNGGFPSEEWGGISSQEHDEALMLEAAMFGGIPEGAPYPFSFPSRGRSTRYPRVARPPSPSLTAQRLLREQQDDEYLAALQADREKELKAVQEAELRRVEEAAAREAALERQKKEEEEKLKKQREEEELESELEAKQASLPKEPLQNDEGAVTVVVRMPDGSRRGRRFLKSDKLQYLFDFIDISRTFKPGTYRLVRSYPRRAFTEGESQMSLSDLGLTSKQEALFLEKLSS >Sspon.01G0062590-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1D:105868955:105869269:1 gene:Sspon.01G0062590-1D transcript:Sspon.01G0062590-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGANMRQYVDSPSDFSLHAFSFSLKQTAEIHHEQSMNQHPRLVLPAEAVEHRCRRHPSSIDLASWPNTSMVRSATRLRTRNPRDVAVYGQCVKRLQRSMDPFFV >Sspon.08G0003730-1P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8A:11591134:11591431:-1 gene:Sspon.08G0003730-1P transcript:Sspon.08G0003730-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIASTTGATSTACSTASTSRATSSSRTPWSTAAPPATARLPRSSAAWGSS >Sspon.03G0007020-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:19141707:19148502:-1 gene:Sspon.03G0007020-1A transcript:Sspon.03G0007020-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRWLHVTAPLKGSDVQGPPRGRGRKETWWEEGMGKQRKDAGSAGSTVGAGIAARRNRNTPINFFRWAVRGQAGLICRPRRRKCLMAVMAQKTVILYPSLGVGHLNPMVELAKVFLRRGLAVIIAVVDSPDKDSVSAEALARLATANPDIAFRLLPVPSCGGTEPCSSSPVMRAIDVLRVANPVLLGFLRALPAVDAIVLDMFCTDALDVAAELNIPAYIFFSSALGDLAIMLHMPYYYPSAPSSFKDMPETVLHFPGVPPIRALDMGAAMQDRDSDVAKARLSQCARMLEARGILVNSFDWLEARALEALSRGLCTPGRSAPPVHCIGPLVLPGNRGGTSERHACLEWLDAQPDQSVVFLSFGSLGRFSAPQLREIARGLENSGQRFLWVVRNPPEHRSNSVEPDLESLLPEGFLERTRERGFVVKNWAPQSEVLRHRSISVFVTHCGWNSALEGIASGVPMICWPLYAEQKMNKVHMVEEIKVGVVMEGYEKELVKAEEVEAKVRLVLAPGSGDGKELRQRLLTAKEMTVEVLKKGGSSDVAFDAFLTDLLKNTSGSAWLGSFWLIRITSQLGSARYELELAPSSSWLVIANELKSWLGSARYHNEPSRAKPSQPRAERANELRVFPVTLTVEDARLPGDNGKDCYGIL >Sspon.03G0001490-3C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3C:15199025:15201058:-1 gene:Sspon.03G0001490-3C transcript:Sspon.03G0001490-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQERQAVARNRKVVLRGYIERAPREDDMELVDGGAMELRVPEGAGGPAVLVKNLYLSCDPYMRGRMRDFHGSYIPPFKPGSPIEGFGVGRVVDSTHPGFSAGDIVSGMTGWEDYSLITKPEQLRKIQQSDIPLSYHLGLLGMPGFTAYVGFYEICSPKKGEFVFVSAASGAVGQIAGQLAKLHGCYVVGSAGTNQKVLNISQVELLKEKFGFDAAFNYKEEPDLTAALKRYFPEGIDIYFENVGGPMLDAVLLNMRTHGRIAVCGMVSQHGVTAPAGIHNLFSLISRRIEMKGFIQSDYVHLFPQFVDDITKHYRDGKIVYVEDVSVGLESGPAAFVGLFSGKNIGKQVVCVSQD >Sspon.01G0032510-1P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1A:109818540:109819790:1 gene:Sspon.01G0032510-1P transcript:Sspon.01G0032510-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSMLAECPRVIGEQIHGYMLKVMGSQSVYASTALIDFYSRHGDFDMAETVFENLDSKNVVSWCSMMQLCIRDGRLEDALRVFSEMILEGAEPNEFAFAIALGACGSVCLGRQIHCSAIKRNLMTDIRVSNALLSMYGRSGFVSEVEAVLGKIENPDLVSWTAAISANFQNGFSEKAVALLLQMHSEGFMPNDYAFSSGLSSCADLALLDQGRQLHCLALKLGCDFKVCTGNALINMYSKCGQIGSARLAFDVMNLHDVMSWNSLIHGLAQHGAANLVLEAFSEMCSSGWQPDDSTFLAVLVGCNHAGLVKEGETFFRLMTDRYGLTPTPSHYACMIDMLGRNGRFDEALRMIENMPFEPDVLIWKTLLASSKLHRNLEIGKLAADKLMELSERDSASYVLMSNLYAMHEEWQDAEM >Sspon.01G0007670-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:21235014:21235915:1 gene:Sspon.01G0007670-1A transcript:Sspon.01G0007670-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMPAPRRYDILLIFYPNDLARDRNGRNGTDENMAVMLPLDTMLVVGVDTLDLLNIGAATPSG >Sspon.01G0049070-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:111212564:111214099:-1 gene:Sspon.01G0049070-1B transcript:Sspon.01G0049070-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Allene oxide synthase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G42650) UniProtKB/Swiss-Prot;Acc:Q96242] MATASYLSFPAAPSSCARLRRQTTRATASATDRPREVVSPKRRLPLRKVPGDYGPPVLGAIRDRFEYFYGPGGRDGFFTSRVRAHGSTVVRLNMPPGPFVARDPRVVALLDAASFPVLFDTSLVDKTDLFTGTFMPSTDLTGGYRVLSYLDPSEPNHGPLKTLLFYLLSHRRQHVIPKFREVYGELFGVMENELARVGKADFGHHNDAAAFSFLCQALLGRDPAESALQGDGPKLITKWVLFQLSPLLSLGLPKHVEDSLLHSFRLPPALVKKDYDRLADFFRDAARSVIDEGERLGIAREEAVHNILFAMCFNSFGGMKILFPSLVKWLGRAGARTHGRLATEVRDAVRAHGGEVTMKALAEMPLVKSAVYEALRIEPPVAMQYGRAKRDMVVESHDYGFEVREGEMLFGYQPMATKDPRVFARAEEYVPDRFLGEDGAHLLRHVVWSNGPETASPTLQDKQCAGKDFVVLIARLLVAELFLRYDSFDVQVGASALGSSVTITSLKKATF >Sspon.02G0005110-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:16007775:16012973:1 gene:Sspon.02G0005110-1A transcript:Sspon.02G0005110-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding TMQAEGDAPAPAVHFWGEHPATEAEFYAAHGAEGEPSYFITPDAGARRLFTRSWRPRAPERPRALVFMVHGYGNDISWTFQSTAVFLARSGYACFAADLPGHGRSHGLRAFVPDLDAAIADLLAFFRAVRAREEHAGLPCFLFGESMGGAICLLIHLRTRPEEWAGAVLVAPMCRISDRIRPPWPLPEILTFVARFAPTAAIVPTADLIEKSVKVPAKRIIAARNPVRYNGRPRLGTVVELLRATDELAKRLGEVSIPFLVVHGSADEVTDPEVSRALYAAAASKDKTIKIYDGMLHSLLFGEPDENIERVRGDILAWLNERCTPPATPWPGIALLRASSPVLGTFVSGE >Sspon.02G0037140-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:29592244:29595094:1 gene:Sspon.02G0037140-1B transcript:Sspon.02G0037140-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLLLPALLLAATACIGAHAKFSRHSFPKDFVFGTGSAAYQYEGAYNEGGKGPSIWDKFTHIPGKILNNDTGDVADDMYHRYKEDVQLLKDMNLDAFRFSIAWTRILPNGSLSGGINREGVAFYNNLINEVIAKGSKDYVDFAEVCFKEFGDRVKYWTTFNEPWTYSSQGYAVGKSAPGRCSSYVSKSCSAGDSAREPYIVTHNIILAHAEAVALYNAKYKPAQRGQIGITVVSNWYVPNDANSTADIKAPGLHVRLVPRPIVHGEYPGTMLGYLGDRLPRFTAAQAKLIKGSYDFIGVNYYTAYFTSAKPAPNGLEQSYDGDIRANTSGFRDGVPIGKPEFVPIFFEYPQGLRELLLYTSRRYNNPVLYVTENGIAEENNKTIPLEVALKDGHRIRFHSQHLQFVNHAIKNGVNVKGYFTWTFMDCFEWGDGYLDRFGLIFIDRLNGLERYRKQSSYWIQNFLKR >Sspon.05G0016980-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:70422296:70424588:1 gene:Sspon.05G0016980-2B transcript:Sspon.05G0016980-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDDSSNVPLQELTGAIAVTAAAVPPVKSPKLKLYSLWRSSCSQRVRIALNLKGLEYDYKPVNLLANEQSDPEFERLNPIKYVPALVDGDTIVADSFAILLRHACTYTDDFCILNDRHEVHYLEDKYPQYPLLPQDPQKRALNIQIASIVGSSIQPLQNYPVWNFIEEKLDTNEAIKWTQHHINRGFTALEKLLKGCSTKYATGNEIQMADVFLEPQIYGGIKRFGIDMSVYPVMARLHEAYLEHPAFLAALPEKQQDAPSL >Sspon.04G0017200-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:10282595:10288948:1 gene:Sspon.04G0017200-2B transcript:Sspon.04G0017200-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEPAAAAAAGRLLLAAALVLAACTVPVAAQAKSEADVLSEFRAALRGPDGGPPGELNQWATARGAPCDVNATNWPRVKQCINGRVVVLQLEGLRLQGAAPDLALLAPLQGLRSLSLSSNNLTGAFPDVSALPALRFFFLYQNRLAGEIPDGAFAALRGLRKLNLAGNAFSGPIPGSIASSGHLLSVDLSNNNFSGPIPEGLRKLGANVQLQGNKLLCGDLVGTPCPSPSKSSGSSSGGMNILINIAIVVVAIGAALAVAGVIAAVQARRNESRNAEGTEALGGSPDAAKVTTAPAVKIEKGGMDQHGGVVTPAAGKRGGRRDDHGKLVFIQEGRARFELEDLLRASAEVLGSGNFGASYKATLLDGPSLVVKRFKDMNGVGREDFSEHMRRLGQLVHPNLLSVIAYLYKKEEKLLVTDYMVNGSLAHVLHGGARSSLPPLDWPKRLKIIKGVARGLAHLYEELPMLMVPHGHLKSSNVLLDATCEPLLSDYALAPVVTPQHAAQVMVAYKSPECAAQGGRPGRKSDVWSLGILILEVLTGKFPANYLRQGRAGTDLAGWVNSVVREEWTGEVFDNDMRGTRSGEGQMVKLLQVGLGCCEPDVGRRWGLEEALARIEELRERDTADDSSTASSFLSDGEPAAASRPPGEPLSHSE >Sspon.02G0028430-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:116823160:116827006:1 gene:Sspon.02G0028430-3C transcript:Sspon.02G0028430-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cellulose synthase-like protein D5 [Source:Projected from Arabidopsis thaliana (AT1G02730) UniProtKB/Swiss-Prot;Acc:Q9SRW9] MSRRLSLPAGSQVTVTVSPTRGKAESPGDGVIKRSGGGIGLTSPAPRHSLGGAGSSTVATLQLSPVRRSGGGGSRYASLDGGAVGDSSEFVHYTVHIPPTPERTVAASAESIDALAPTAYDEDGGAAEVRPPQRSYISGTIFTGGLNQATRGHVLNTSAASSAAASANMSCKMRGCDMPAFLASGAGGGPCDCGFMICRECYADCVAAAGNCPGCKEPYSAGSDTDDDDGDGEDDEAVSSSEERDQLPLTSMAKRFSIMHSMKIPSNNGGGGKPAEFDHARWLFETKGTYGYGNALWPKDGHGGGGGAGFAGFEEPPNFISRCRRPLTRKTSVSQAILSPYSLTLLVALLRCRLLIAIRLVALGFFLTWRIRHPNPEAVWLWALSVTCEVWFAFSWLLDSLPKLCPIHRAADLDVLAERFELPTARNPKGHSDLPGIDVFVSTADPEKEPPLVTANTILSILAADYPVEKLACYLSDDGGALLTFEALAETASFARTWVPFCRKHGVEPRSPEAYFGQKRDFLRNKVRVDFVRERRKVKREYDEFKVRVNSLPEAIRRRSDAYNAGEELRARRRQQEEAMAAGTLPGALPEAAAAVKATWMSDGSQWPGTWLTSAPDHSRGDHAGIIQAMLAPPTSEPVLGAEPAESGGLIDTTGVDIRLPMLVYVSREKRPGYDHNKKAGAMNALVRTSAIMSNGPFILNLDCDHYVHNSAALREGMCFMLDRGGDRICYVQFPQRFEGIDPNDRYANHNLVFFDVAMRAMDGLQGPMYVGTGCVFRRTALYGFSPPRATEHHGWLGRKKIKLFLRKPTMGKKTDRENNSDREMMLPPIEDDAFQQLDDIESSALLPRRFGSSATFVASIPVAEYQGRLLQDTPGAHQGRPAGALAVPREPLDAATVAEAISVISCFYEDKTEWGRRIGWIYGSVTEDVVTGYRMHNRGWRSVYCVTRRDAFRGTAPINLTDRLHQVLRWATGSVEIFFSRNNALFASPRMKFLQRVAYFNVGMYPFTSVFLLVYCVLPAVSLFSGKFIVQSLNATFLALLLIITITLCLLALLEIKWSGITLHEWWRNEQFWVIGGTSAHPAAVLQGLLKVIAGVDISFTLTSKPGGAGDDGDEDAFAELYEVRWSFLMVPPVTIMMVNAVAVAVASARTLYSEFPQWSKLLGGAFFSFWVLCHLYPFAKGLLGRRGRMPTIVFVWSGLISMTISLLWVYISPPAGARELIGGGGFSFP >Sspon.04G0005480-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4A:15804405:15805404:-1 gene:Sspon.04G0005480-1A transcript:Sspon.04G0005480-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPDSPPLPGDGATQPSHPTPPHLPPITDPSSLSPDLLIHENLEGFLSFSLEIPSPVATGSLPTDPLAPLLPANGCIPWCRPHSSPPLLSVSGMEPADPAPFASTVLTYPASSGSVATSPTVVKQLCSLHLDHSPISPSAATQPPHASSDGMGSATMSPNVPPFFPGGTPGRPKAVRWSDNSDFSDSDCEAGEFSAAISCHHSAAGGGRADARRMTTIKAYEGKTLEKAVAPGGGFFGRGSATPRRALLAQGAPPSSATGKGWHRRRKRGGCPVLVHG >Sspon.07G0004120-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:10070813:10072082:-1 gene:Sspon.07G0004120-1A transcript:Sspon.07G0004120-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHFGNAMPRSPSTNRNGEGWRVAPSIAWHAAGLELWTYTVHDTWKQEMSCKLPVSWPRSQGAGGSGLAT >Sspon.06G0027620-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:87788234:87791390:-1 gene:Sspon.06G0027620-1B transcript:Sspon.06G0027620-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHFVIIDEGLVRLLVAATSRSSPACRLFVRLLLPPPSCLLIRARPAKAFQQWWIRVVWAGVPDVSGAGRPPDQPPQAAGDNDQEETAVVKGGGAGPRVLGVWAGLLHGPGPWRPHEEAPRPTSVHDAALGWPPWASRPGCVAPLPHGPNDWPSCRPDARVSRPTAALLLCRYRHITVSLSHARYTALRHWPALMPCARGRTTVTTPLMALRVHACRLAPGRGSPWPRQPRPTKACLTSIWPHPTALSLSLLSLSRCRNREGSPPLNFVYPRLRPMTTHQPPPPRLDCCWAEFTWSPPSYVPVVSTNTSWFGG >Sspon.03G0023500-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:56442734:56443617:-1 gene:Sspon.03G0023500-4D transcript:Sspon.03G0023500-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DGAADHRSSGSGPALRAHGGDLRRRPRLLRRRPRRVGAQPRRALQRLGGTQSVPGQRHPRVQVQQGRGRRAGGEPGPLRRLQRHGARAPPRRRRLPLRVPQLRALLLHQPRRGALQGRRAPHRRRPRRPRRRHVLLAAAAEAVVVTSATAQVHVVAAASRCLTCSACVARTAAVQVLVATASCSACPAYTAAAPSCSGQERFVAVAFAGARARACAWHERDVFATAVAVVRRCLERWLPGVPANRRSSYSRL >Sspon.08G0016270-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:59084010:59084312:-1 gene:Sspon.08G0016270-2B transcript:Sspon.08G0016270-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRILALSLVGAGPGNIFGPGMSAGALESFARDAGRAKAEGNSRGAGAAAGGTRSASSAGSGGKNCAAERTGEKEENVTRSYPALDDQRFYFVDAVVAPY >Sspon.03G0040880-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:34365512:34367617:-1 gene:Sspon.03G0040880-1C transcript:Sspon.03G0040880-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGSVKQIAVLYPVGGVGHIGPMTQHAKVFLHHGYDVTMVLIEPPIKSTDSGAGFIERVAATNPSITFHVLPPTIPTPDFASSTKHPFLLILELMRKYNEELESFLRSIPRERLHSLVIDLFCTHAIDVATKVGVPVYKFFASGAGTLAIFTQLPALLAGRQTGLKELGDRPLEFLGALRDPLCVPGQVLPPVYSVGPLVGRGGTTDKQEGGPRHECLAWLDAQPERSVVFLCWGSKGALPKEQLKEIAVGLERCGQRFLWVVRTPAGSDGPKRYWEQRGEADLDALLPEGFLERTEGRGLVVTSWAPQVDVLNHPATGVFVTHCGWNSTLEAIAAGVPMLCWPLAGAEQRMNKVFITEDMGVGVEMEGYMTGFIKADEIEGKVRQVLEAGEGTRLRKRALQLKKETEEALEDGG >Sspon.08G0023450-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:55401867:55402541:-1 gene:Sspon.08G0023450-1B transcript:Sspon.08G0023450-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAAPGAVVVPVAVAAAPPAAALPAPTWRKVRLRLAQALFVLGCAAAALAFFSINSSPTADSRRLLGAACAPTDAEAAALRAASEELLLAAAAQVLGATTAVLLPGFRVVTFFATSLGWLTGYCASHVMWMLVACHGEVHGVLVVYYSLFIAMFCVAYLVGCLLSLCPWY >Sspon.05G0032860-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:23132995:23135040:1 gene:Sspon.05G0032860-1C transcript:Sspon.05G0032860-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARHLHHHHGQELVAHLRASSPLADLLRSAPNLSGARATHARVLKSPLAGETFLLNTLVSTYARLGRLRDARRVFDEIPVRNTFSYNALLSAYARLGHPDEARALFEAIPDPDQCSYNAVVAALARHGRGHAGDALRLLAAMHADDFVLNAYSFASALSACAAEKDSRTGEQVHGLVARSPHADDVHIGSALVDMYAKCERPEDARRVFDAMPERNVVSWNSLITCYEQNGPVGEALVLFVEMMAAGFFPDEVTLSSVMSACAGLAADREGRQVHAHMVKCDRLRDDMVLNNALVDMYAKCGRTWEARCIFDSMPFRSIVSETSILTGYAKSANVEDAQVVFLQMVEKNVIAWNVLIAAYAQNGEEEEAIRLFVQLKRDSIWPTHYTYGNVLNACGNIADLQLGQQAHVHVLKEGFRFDFGPESDVFVGNSLVDMYLKTGSIDDGAKVFERMTARDNVSWNAMIVGYAQNGRAKDALHLFERMLCSNENPDSVTMIGVLSACGHSGLVDEGRRYFHSMTEDHGITPSRDHYTCMVDLLGRAGHLNEAEELIKDMPMEPDSVLWASLLGACRLHKNVELGEWTAGRLFELDPENSGPYVLLSNMYAEMGKWAEVFRVRRSMKDRGVSKQPGCSWIEIGRKMNVFLARDNRHPCRNEIHNTLRIIQMEMGRTSIDEIDDGLML >Sspon.04G0014730-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:61784870:61785796:-1 gene:Sspon.04G0014730-3D transcript:Sspon.04G0014730-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGNQQEGAVAAATTEPSVARRLWRVVRAVLYMLRRGLPSGRKLAMDLHLLLHRGKIAAGKALGDRFLASHHGHGRHAFSYASGGASAAGGGTFSCGALDPSVAVHEPSRRRREVEFSCSNTPSSASGGGALGLLGAAARRRRRRRSSRQQQQQHRDEAEASNGYLMQYYSGYDAAEVARVFEMLSDEDDDRRLFSDDAVPVPVPVPGASSSARTPSPAQLLRLAGSSRQGQQQQARIAAGSSSPADGGAGQVDRRADEFIRRFYEQLRAQRSAASTPDYYGYASSAPVASPYAAARAPRPVTAGIA >Sspon.02G0040600-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:70832975:70836074:-1 gene:Sspon.02G0040600-1B transcript:Sspon.02G0040600-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSCTINVVKPTTETRLTSTQSPVMVVLRVANYKLNIPPVAPMARVMAMPFADFSGAP >Sspon.08G0022300-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:47578994:47582177:1 gene:Sspon.08G0022300-1B transcript:Sspon.08G0022300-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSAATGARPSAATGPGPNPTTGARPNAATPAPRALQRPLPPPSQQDLRRQRQGHHVLPDAAQKTRKRKASASPGAKNAPPPLYNLPAAATISNNDLDASMASEQSFMDMIGTVDIDDMTVPMSFGSVNEDLIDTTVPMPFGSVNEDPVDISGPMSVGNVNEDPIENNPLKRKKWARSANYSVEEDEALVMAWESVSLDPFIGTDKNTSTYWNRIYDHFHRNVKTPSSRTLGSLAHRWSTIQECCNRWACCVEEIDCAPPSGATIQDRRDPKNKTFVMPHCWSLLQNNEKWKKRNTDCNPLHKKKSSTCSLGSVQGNEEDEEETASPTAMPANKRPPGRKQEKERVKGGDHVVFQTAVQEIIASRKELEAGKKQDKESRWLEMKALEECKIKIEEKKLDSKQLKQECKRKRETNWEYICPTQDYRKQRQNHKCRVEAGRIVCPISVIRH >Sspon.03G0000320-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:888235:903799:1 gene:Sspon.03G0000320-1A transcript:Sspon.03G0000320-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DDRDNVLRARESGKGVLTAPFKLLNNRLGVISTYAVYKYDLPPDARPQERIQAAIGYLGGIFDIEALVDKSLHQLAGKQSIMVNVYDTTNEKPISMYGSNDTGSGMCQVSTLNFGDPTRKHEMHCRFIQSPPWPWLAITTSIGIFVIGLLVGYIIYATAKRIAKVEDDFQEMSVLKKRAEDADVAKSQFLATVSHEIRTPMNGVLGEVLVHLNCTIIFISERYDVSDFAGMLQMLMDTDLDTTQQDYVRTAQASGKALVSLINEVLDQAKIESGKLELEAVPFDIRTVCDDILSLFCGKAQEKELEVIKDYSLAVTPCSFTVFYYKCTDFILVIPCYIAQQVQLAVFVSDQVPQTLIGDPGRIRQIITNLVGNSIKFTEKGHIYLTVHVVEEIMHCLEVETGTQYANTLSGYPVADRKRSWENFRLFSRELNSSEMPFAPVASDSISLIISVEDTGVGIPFDAQSRVFTPFMQVGPSIARIHGGTGIGLSISKCLVGLMKGEIGFASKPQVGSTFTFTAVLTRAHSSVNENNLSEFKEINALVVDHRPVRAKVTKYHLQRLGVHTELTTDLDQYISKINCGSQIAKLVLIDKETWVKESHSMPLLEQRSADSTKLFLLENPNSSDKSNSHIFREYNLNVIMKPLRASMLQVSLQRALGGIDKLHCRNGVVGNSTLGSLLHKKQIIVVDDNIVNLKVAAGALKKYGAEVTCADSGKKALTLLKPPHNFDACFMDIQMPEMDGFEATRRIRVMERDLNEQIDRGEAPPECAGVRQWRTPILAMTADVIQATHEQCLKSEMDGYVSKPFEGEQLYREVARFFLNQDQVQIKMSCYNCASERNADRPLKPYAKAIEAGVLAAGSSDVGCESAPAAAPAQRCLLTSFSIKRY >Sspon.03G0019070-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:44919179:44920376:-1 gene:Sspon.03G0019070-1P transcript:Sspon.03G0019070-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPPSCRVQVVYNTKGFYSETCEVNGDVRVNSTALSVTLRREWRICPYSRKNMPDIKMVTVTQLEDKAAAPACTETYNIPAVLFALGGLTGNFWHDFGDVLVPLFIASLPDQQHEAVVAGRVQDHPAAAVQDSKYDAVNLDVDDAQLVRCFPHVTVGIHMHKDLSIVPEWAPGGRLSMPDFTRFMREVYALPRDAPASLVREPGKRPRLLLVHRGHSRRFMNEQEILQAAEAAGFEAVAVDLRRDVTVDAQARTVNSFDVLLGVHGAGLTNAVFLPPGAVLVQVVPYGKMDTIATLEFGLPAKEMGLKYLDYVVSAEESTLLEMLGPEHPAIKDPDSIHRSGWDKMTEFYLNKQDVRVDVARFAPVLAQAFDHLRQQ >Sspon.05G0029900-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:78014703:78018333:-1 gene:Sspon.05G0029900-3D transcript:Sspon.05G0029900-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGISKVTGIAATAFLVTSVGLCQLGMKIVVLPFLVTGFVAFVVTVASHDAINLPWILGKNSVGRFPFWSFILFGPFLTLARTYAMVKRYMRKESVYDKIVEGLYLGGWPFLLKHLPPGSPSVIDCTCELPRSSFVPAAEYLCLATWDTRAPTPHQIEKAARWACEKRSEGKPVYVHCAFGHGRSACVVCAILVALGIAENWKDAENIIRERRKIKMNALHRKTLEEWSKQRVSQKKDKNCIMLTLEVEKPRGSLRHEGGCGTRQLPRNIELPAELSEYGASDEESVASNLTRNHNLYHKTFKVGQLAEGKSHLTHEAHITETNSSYLEQIRGPESTHPEEAPLSRGRPHTGDEMEHVGLGRHGLELEQLVMVGQGVVDDGSEVTICQVCVQSSVQLGQAPAVVVQPPGVLHVEHGGA >Sspon.01G0021790-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:78107308:78109582:-1 gene:Sspon.01G0021790-1P transcript:Sspon.01G0021790-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLACGLRDVIGQPVVMLLDFTNNWNDSWVEKEERKWEIALLVVTVVCYLSTLAFSGVLFMWFNPSGHDCGLNVFFIVMTLILAFAFAIVALHPQVNGSVMPASVISAYCATLVSPVNRMTMSAMGFTGILSKFH >Sspon.08G0011140-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:48569625:48571127:1 gene:Sspon.08G0011140-1T transcript:Sspon.08G0011140-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRSNVAAAGAVSVEVRGGGGSPPAGAGGRKRRAVARGVQSTLSKTSMLANFLPTGTLLTFEMLLPAASGDGSCSAVSVAMLRALLALCAASCFLFHFTDSFRAPDGKVYYGFVTPRGLSLFRTGLGVEVPREERYRLAFVDVVHAVMSVLVFAAVALADYRVSGCLVTGHRKEMDEVMESFPLMVGAVCSGLFLLFPNTRYGIVSNHIPILASFNQDPPIILILDIQAILLHLIDPYSHRDILQLEH >Sspon.08G0007400-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:21941914:21953663:-1 gene:Sspon.08G0007400-2B transcript:Sspon.08G0007400-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPRRGWSKKDGDESVARRFVMAYIISEEPEDEDMAARKTAAMQWWQQEVQIAVTTCQGGEGATAGEHPWASSDVGGSDHDSHAETAPLATAHKQAMLARDREEGFQRLLQTHHRTALGGLSAACHRVTVTHRPPAEHARYDSRSRLQLRPTTSLRVCVQASDQEREIRTEGGVIRAMEFEDRLATLPRVRGDLDDDGKERRTVAQPIPVPVPFPTPTVSPPCLPFTRLNRNPDTNTRAPCEMRGEQGTATAHASGEAASRVGQAASRVLQPVTVHASAPSGAALARRSGGEQRLRMAQEIRTVWTATAHIITAVIGSGVLSLAWAMAQLGWVTGSVTLVLFAAITLYTCGLLADCYRVGDPVTGKRNYTYTEAVKSNLGGWYGWFCGFCQYANMFGTCIGYTITASISAAAINKSNCFHWHGHDADCSQNTSAYIIGFGVVQVIFSQLHNFHKLWWLSIIAAIMSFSYSTIAVGLSLAQIVSGPTGKTTMTGTQVGVDVDSAQKVWMTFQALGNVAFAYSYAIVLIEIQDTLRSPPAENQTMRRATMMGISTTTGFYMLCGCLGYAAFGNAASGNILTGFGFYEPFWLVDFANACIVVHLVGSFQLFCQPIFAAVEGAVAARYRGSTREYGVAGLNVFRLVWRTAFVAVMTLLAILMPFFNSILGILGSIAFWPLTVFFPVEMYIRQRQVPRFSTKWTALQSLSFVRFLVTVASCAASVQGVLDSLKTYVPFKTRS >Sspon.01G0062710-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:106881272:106885638:1 gene:Sspon.01G0062710-1D transcript:Sspon.01G0062710-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VRFLEWTDDLEGFVLKGYGESINYRMGLPLLKDVVQSMEEAIIARKNRPDGTYEKARLRFAHAETVVPFSCLLGLFLEGPDFEKIQREEALDLPPLPPQGRNWKGSVVAPFAGNNMLGCGNKDFCPFEEFKEKIVEPHLKHDYNMLCKIKSPAASEEPASFPSRVSSFFLGLLSQRGYRAVGAEGVKTELLTRSDSERRVPTASLEIECPVATPRIHSHSRPDLTTSAQLRGRTDGEMASGEEGKGETVLVTGASGFIGSTLVRGLLGRGYNVRAGVLNPDDRAETDHLLALAAGAGDGRLSFFRCDLLDGAALLEAARGCSGVFHLASPCTVDPVKDPQNQLMVPAVEGTLNVLRAAKDAGGVRRVVITSSISAIVPSPGWPAGEVRDERCWTDIDYCEKNGVWYPASKTLAEKAAWKFAEENGLDVVVVNPGTVLGPMIPPAINASMAMFCRLLEGCTEGYADFFMGPVHVEDVAMAHILVFENPSASGRHMCVQSICHWSDFAAKVAELYPNYKVPKLPKDTQPGLVREEVGSKKLIALGLQVTPMEKIIRDAVESLKSRGHIS >Sspon.04G0006810-1P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4A:18944957:18946342:1 gene:Sspon.04G0006810-1P transcript:Sspon.04G0006810-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAALFGAQRNGAADLVGGEKTLFWQEGKAKALLEPRSVLDCTRSPSPNNSTSTLSSSLGGGAADSAGVAVVSDAAEATKWGAPAEHGGGGKEDWAGGCELPPIPTGLDMGVAGGGESWDAMLGNAAAPGQDQTFLNWIIGAAGDLDQPGPPLPVHQQPLLDNVGFGFPAADPLGFTLDPHLGGVASDMSSPGAVSHATNSGGGGNNKASSAFGLFSPDSASLQPLPPPPVLFHEGIDTKPPLLGAQPPGFLHQYQHQPTPATTFFMPIPSFPDHNQQSPLVQPPPKRHQSIDLARNRLLPPPAGQGHAFPPLNGPAPFQLQPSPPPPHGAMKATAAEAAQQQLLDELAAAAKAAEAGNSIGAREILARLNHQLPPLGKPFLRSASYLKEALLLALAEGHHGGCHLTSPLDVALKLAAYKTFSDHSPVLQFTNFTATQALLDEIVGSTSSCIHVVDFDLGVG >Sspon.02G0007380-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:24380354:24383676:-1 gene:Sspon.02G0007380-2C transcript:Sspon.02G0007380-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLRLRAALQLRRFFSTDAALAPPKLRNLPYRLRHVAVPAARAVISEYLHSTRCLASSHADFIATHSPRSLLSFLAALPTVPRSLPTSELPAHLRRHLNFHPLNELPFFLESIGGPTAAAPCFNSMFLSDHPSLLGAVAALAHFGFPWSRLGLLFPSVLLDVPPDLISARLSVLEARLHRLPRAAIIAACLTFPSLLEGDLSDYDILIKDIATTFKGLGPDLSSSNDIDAFSGVCRRMRMFYDAGAEIGSIGGLVGGSQWVFLELGEKRIAERLWFFKELGMEGKEMGRFLLSNPRIFDLDFSDVVISVPRYLRRVGLAEDAIDAAVEKHPYVVGKNQLENLPRVLRAMELEHRFLEKILAGGESLRYLSPEFVLEDDSYDAEVERAFLDGMAKVMVERKAHFVDKKLEFLKSVGYGENEITTKVIPALNSTKDLLLERFDYLLERGVEYKILCRILRVFPKVLNQSKDMLNEKLNYLTEEVGYSLEYLHCFPAFLCFDLENRTKPRWMLSWRPSRILNEKESVLISCRKQGKKEGIINGLQDDPSRKSPIAEKKKDPAWFHSVDFDSALTATSNFGSDLKATATGPSSHASIQLRVGLETA >Sspon.01G0020570-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:23818520:23819879:-1 gene:Sspon.01G0020570-2B transcript:Sspon.01G0020570-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALEIEHTHLPIRGLNLHVAHVGKGDLGTVVFLHGFPEIWYSWRHQMLAVAAAGYRAIAPDCRGYGLSDQPPEDEEASLDDLVADVLGILDALSVPKAFLVGKDFGAMPAYEFALQHPARTRGVVCLGIPFSPVPMSLDAMPEGLYIQRWREPGRAEADFGRFDVRRVVRTVYVLFSGAEIPVGKEGQEIMDLADLSTPLPEWFTEEDLDAYAKLYEKSGFGYPLKMPYRAIHKIPNRLDAKFQVPVFIVMGEKDYCFKFPGFETALRSGIMNNFMPDLKITYVPEGSHFVQEQLPDQVNKLILDFLNDHPSAS >Sspon.04G0025660-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:23078546:23082694:1 gene:Sspon.04G0025660-1P transcript:Sspon.04G0025660-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AMSRSPHLPPRCPPLGPQITRRDDSMFTQSCRFPSGDPFFGEPPCWLDDLLADSGKAPKLPPLRRACSDSDAILDALSTFQSPIYPIEEGDLNSGGEGEDLLDAGEGGESGSVVEASCVYGPNSPRQKSRLTSSESSMVNAVLENVPSNPLQYLTIDASSGVNGNVASVTADACDAFGHPDQDKSFNRRSGQRSRVRKLQYIAELERTVDSLQNMGADLAVRVSSLFQLHNALSMENKQLRMQISSLQHAKLIKAGQTQALKNEAGRLKQMSARHRRSRSVTSCYDPSSFGADASAVNWQMPDMARLSLNGSSVSPRGGYGL >Sspon.05G0032320-2D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3D:37196566:37197378:1 gene:Sspon.05G0032320-2D transcript:Sspon.05G0032320-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKALLVVVAHPPPQGKYSGKQVHTVSCTMLSSSSPLVKLPLLPRGLLSYLPTSILPSGRESTATPTADAPSTPPSSPKKMSSSSAQQQQPQAGNGSSSKADSAELARVFELFDKNGDGRITREELEESLGKLGMSVPGDELASIIARIDANGDGCVDVEEFGVLYRTIMAGDSSANGNGAGAGEGEEAAGAEEDEDMREAFRVFDANGDGYITVDELGAVLSSLGLKQGRTAEECRRMIGHVDRDGDGRVDFHEFRQMMRAGGLASLG >Sspon.01G0009020-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:27570828:27573721:1 gene:Sspon.01G0009020-1P transcript:Sspon.01G0009020-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MANTEGFRLVRCPKCLNILPEPPNVTVYKCGGCGTTLRAKIRASNGQAKQVRQDSDSYSVATAVSNGVPSQNKDQGSIGAVTESSFNADAPSTEHDSNGTRSSENGDDMLAGQSAVVVEDAENKDNHNFEGQDTSSRMEGPADRTNLNANGTFLDSGETENHTVEQPTETRQVSGHDDTEYHLNTSENKMLSSEMSKAAISMQDPEQKEAGGAEHAANKKSYLVRVLSRSCDLRSSINSIDFHSAQTPLQSKSFRASEPLQSKIMNTVDELKGDLSELFNKPADCKPKAHHPPRPSKQDGHMTRAAITSSAPLAAYHPAAKHSGYVSRLSRSGQVPPRGLPSLRYRRHRVYPFHHNVQTEMRPCRHECCHSCQPPCYRSCKQEPAAMHRPPIVEIRRRPPPRNHCLPVLRGAPFVICSNCVSLVQLPTDFAVPSRGTRRLQCGSCSEGPRQEEAAVTIRGR >Sspon.05G0002330-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:2317303:2317557:1 gene:Sspon.05G0002330-2B transcript:Sspon.05G0002330-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFHLLAFVAVRGLMQVFNLSAPLNLRLPLARHLPEAFAVLYGVLASHAAWVNDALARGATWRHSGSRGGVDEYVRYAMLSISD >Sspon.03G0002870-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:7211488:7216437:1 gene:Sspon.03G0002870-1A transcript:Sspon.03G0002870-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSSLSRALARSARSSRPLRQGSLLEGHAGLRAAPTIPGGDDRGLGFARSYLTSALGSRAAAPTGAEKVGDWRFLLASSQFRRLFADGSNKSELSFWVWVVIWSAYVLYIYTIYNAFLSALDYEKYHPKEKEQKPEGDGSDESEPKKDSNSKNQWNFREDVMKKFQEFLAPLLLLGLIRLKRISFQEFKNKLLEPGLVDHIVVANKSVAKVYVRSSPSSNQGQDGNIHITTSHLPGTGSPSKYKYYFNIGSVDSFEEKLEEAQQAMGRDPHVYVPVTYTSEVNWFQELMRFAPTVLLVGLIYVAGKKMKGGISIGGPGGGARGIFNIGKAQVTKMDKNSKNKVFFKDVAGCDEAKQEIMEFVHFLKNPKKYEELGAKIPKGALLVGPPGTGKTLLAKATAGESDVPFLSISGSDFMEMFVGVGPSRVRNLFQEARQCAPSIVFIDEIDAIGRARGRGGFSGGNDERESTLNQLLVEMDGFGTTSGVVVLAGTNRPDILDKALLRPGRFDRQISIDKPDINGRDQIFRIYLKKLKLDKEPSFYSQRLAALTPGFAGADIANVCNEAALIAARSEDAQITIQHFEAAIDRVIGGLEKKNKVISKLERRTVAYHESGHAVAGWFLEHAEPLLKVTIVPRGTAALGFAQYVPNDSLLMTKEQLFDMTCMTLGGRAAEEVLIGKISTGAQNDLEKVTQMTYAQVAVYGFSEKVGLLSFPQKGFEMSKPYSGHTASIIDTEVREWVAKAYQRTVDLIKTHKEQVAQIAELLLVKEVLHHDDLVRVLGERPFKTAEPTNYDRFKQGFQDEVVDKSSEVTGANPSPLGVVPT >Sspon.04G0003100-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:49577142:49581330:1 gene:Sspon.04G0003100-2P transcript:Sspon.04G0003100-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYLPALGGKAAHLVSDLATVILNPVSERERQRHHPSHLPEITEGKETLFGDDDSDQNSETPDGPDTSSFRAFLMSFVPSSTASKDSMETTTDHNLDVEYPTLTPVGKTCSGRKGLLSRGKHSIGRIISKAGLGNLRQKQTRIDGEIIGQTESVAPRFEMKGSKESTLHDIKLPAMSEPSVLLTEMMRAVLYTSLPVLVQGRNWMLVYSTWRHGISLSTLYRRSRLCAGYSILIVGDRRGAVFGGLVEAPLQPIIKRKYQGTNDCFVFTNVDGRPVICHPTGANNYFTFCSTDYLAMGGGGHFALYLDGDLMTGSSSTSETFNNPCLSHTQEFKIKDVELWGFVNASKYEEMLTICRTEKQGIWNL >Sspon.08G0021500-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:40129709:40130940:1 gene:Sspon.08G0021500-1B transcript:Sspon.08G0021500-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MIATTGSSAKAAAAAVGGKAARACDACLRRRARWYCAADDAFLCQGCDASVHSANPLARRHERLRLQPASPLRTPPRTGRRRIISASVTTRRKARTPRGGHAKSVGGQALSRRLVVPHAAAGGDSPDDGRNGEGEFEVVEEEQLLFRVPIFDPALAEFCSPPPLEDAAALASSCNEDGAVEDRAKPDPATPAAAPVVQFFPDGGHANFEPTDAELREFAADMEALLGHGLDDGNEEGSSFYMETLGLLDDPAEVGDDATRVKVETDGGTACEASGTLACALELLDPEGSDEMLLDIDFNYGSPLDTTTDEKAASSDTGPPTPSSCRRASRSPSTTRPSSRAGGPRRGPAAASDHTSSSTTAGPTTTLYVQFARPATARSCKTRTHHRESRDFECMHSFSRPEW >Sspon.05G0007500-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:14865354:14868518:1 gene:Sspon.05G0007500-1T transcript:Sspon.05G0007500-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SPACRNKQVVKRTVDSAKVYANDKVGASNWSSSRNKSNASPLNVALEKEMSKELESKKKSPSVVARLMGLEEDLPGQEAALHSAKRNVKKRHLNGNLVETNNLHQHQEQYHSSMTTCDKPIGPKETVEFKDVYEVSEEPLRTYHLQDQTFPREMSSRSKRDIRMEIVRQKFMEAKRLATNEKLLHSKEFQEAVEVLSSNRDLFLKFLEEPNSTFSKQLPGLHRSPSPPQTKHITVLKPTKFVESECRREIRTHRINEENEHVMRRTHRRSHSAEVTLSQPTRIVVLKPSPGKPSRTMARLTPQATPARLTEQIGFYGGLEDDNYPPDGLHRRDESLLSSVYSNGYGGDESSFSRSEVDYIDEEDGNLSDSEIVSPVSRHSWDHFKRYNSPYSGSTFSRTSRSPESSVIREAKKRLSERWASVAYNEINQEKMQLPRSSSTLGEMLSLRGVKKEVGGLDSVSSGRPCDAENEMTLQATCITALRENEGDGQSSPKNLARSKSVPVSSSMFDNIAPNAPSSNCEGCETPNLATRSDKAKSSFKGIVSSFFFPKSKRQSKEKIILSSSSDGKVEVTCFGSMKPQGGHNIGAMPFCEDKDDSSATQTICSSKDIVSIEVPISSACPSEHLDGLRSGGLNGSCDKPSPTSVLDIATLMDRNHQEAFLVAMRELPYDLMQLNSHAHSLSWEDMSSPSPLLDLTNLTPLSSVDNDELECVAFVQKIVSSAGLGDLQLGMVFTGWYLPDCPLDPALCDKLLDRKEEAAKSRERRSNQKLLFDYVNMALVEIGHDTLLCAYPWRQGQARSMAWKETLSQSLVEEVPQHMRDWLYGLGKFAVNENDDAGTILERIMQQEVEGRGWVKSMRWEVDEITEQIAGNMLEELVEEAADDLGICSPSPEMPMTIPNL >Sspon.08G0015370-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:56598193:56603383:1 gene:Sspon.08G0015370-2B transcript:Sspon.08G0015370-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISHLQPLVILLVLRAFASLPTQAAARGGHDPSDDGAHESFSRKLLQDKPPQITEEMVRGYMSNAELEAAVHAFGSRCSNISRWVIEISDKPRQREAEPAFKATLIVENIRLHILPTMNPDGFALRWRGNANNIDLNRDFPDQGALVANYPWDGTRDTSRLY >Sspon.04G0005410-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:16108765:16111181:-1 gene:Sspon.04G0005410-3D transcript:Sspon.04G0005410-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCQLLLAPPPRPCLIDSVSRPPLPKYRSFLPRTRRRPSPRLGVRMAEMIRVGSGGERPEVGVSMESDPLVGGEAPSRPRWEASRWAPVEAALNRMSKWLVSGSFAFAAIWKHDAEIMWFLLGAVGNSLLSMVLKKMLNHERPAPALRSDPGMPSSHAQSIFYAATILALSLYYWLGTNYLTMILGPATLSVAAYLSWLRVSQRLHTLNQVTVGAVVGSAFGALWFVLWHSLVQEAFASSLLVRIAVIVGSSSFCVSFVIYMIRHWLKDE >Sspon.04G0016410-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:64425415:64429340:1 gene:Sspon.04G0016410-2B transcript:Sspon.04G0016410-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLASERKVVGWAARDATGHLAPYTYTLRSTGPEDVVVKVLYCGICHTDIHQAKNHLGASKYPMVPGHEVVGEVVEVGPEVTKYGVGDVVGVGVIVGCCRECNPCKANVEQYCNKKIWSYNDVYTDGRPTQGGFASTMVVDQKFVMKIPAGLAPEQAAPLLCAGVTVYSPLKAFGLTTPGLRGAILGLGGVGHMGVKVAKAMGHHVTVISSSSKKRAEAMDHLGADAYLVSSDAAAMAAAADSLDYIIDTVPVHHPLEPYLALLKLDGKHVLLGVIGEPLSFVSPMVMLGRKAITGSFIGSIDETAEVLQFCVDKGLTSQIEVVKMGYVNEALDRLERNDVRYRFVVDVAGSNVEEAAADAPSN >Sspon.05G0007430-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:23149165:23151630:1 gene:Sspon.05G0007430-1A transcript:Sspon.05G0007430-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:2-phytyl-1,4-beta-naphthoquinone methyltransferase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G23360) UniProtKB/Swiss-Prot;Acc:Q3ED65] MVALTAGISVAAGVVFSPAGRRRGRGSAAFRCSSSSASERQSLFSRIAPVYDLLNDVLSLGQHRTWKRICVSWSRYSASVDKQCESKCPVLQSVDAKVCSYGVRAKTGDRVLDLCCGSGDLAFLLSQKVGLDGEVGIGFAYTIFRVQISQAILFFPTGNLITICTQMKQVMAVDFSRQQLQTAADRQEQRWKLCYKNIKWIEGDALDLPFTDCYFDAVTVGYGLRNVVDKSKAMREIYRVLKPGSRASILDFNKSSSLFTTSLQSWAIDNVVVPLASGYGLAEEYKYLKSSISQYLTGEELEKLAKEAGFCAAKHYELGGGLMGNLVATR >Sspon.06G0018000-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6A:97513341:97513630:1 gene:Sspon.06G0018000-1A transcript:Sspon.06G0018000-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANANERRSLAGVTALVTGGSKGIGHAIVEELASLGARVHTCSRNAAELEECRRRWAEEKDLQVTISVCDVGVRTEREALMDTVKDVFADKLDVL >Sspon.01G0019080-3D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:68280968:68287286:1 gene:Sspon.01G0019080-3D transcript:Sspon.01G0019080-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chaperonin CPN60-like 1, mitochondrial [Source:Projected from Arabidopsis thaliana (AT2G33210) UniProtKB/Swiss-Prot;Acc:Q8L7B5] MSSRVFVKETRNYVRETSSGVGREKSRRAPSIRLARAVSKIHPSPTSSAPRILSPSARKKRSNSPPPPFFHTTQSSRRPQASPPAPPSAIMYRAAASLASKARQAGSSSAARQVGSRLAWSRNYAAKDIKFGVEARALMLRGVEELADAVKVTMGPKGRNVVIEQSFGAPKVTKDGVTVAKSIEFKDRVKNVGASLVKQVANATNDTAGDGTTCATVLTKAIFAEGCKSVAAGMNAMDLRRGISMAVDAVVTNLKSMARMISTSEEIAQVGTISANGEREIGELIAKAMEKVGKEGVITIADGNTLYNELEVVEGMKLDRGYISPYFITNSKTQKCELDDPLILIHDKKVTNMHAVVKVLEMALKKQKPLLIVAEDVESEALGTLIINKLRAGIKVCAVKAPGFGENRKANLQDLAILTGGEVITEELGMNLENVEPHMLGTCKKVTVSKDDTVILDGAGDKKSIEERAEHIRSAIENSTSDYDKEKLQERLAKLSGGVAVLKIGGASEAEVGEKKDRVTDALNATKAAVEEGIVPGGGVALLYASKELDKLQTANFDQKIGVQIIQNALKTPVHTIASNAGVEGAVVVGKLLEQGNTDLGYDAAKGEYVDMVKAGIIDPLKVIRTALVDAASVSSLMTTTESIIVEIPKEEAPAPAMGGMGGMDY >Sspon.03G0005120-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:14630546:14631511:1 gene:Sspon.03G0005120-1A transcript:Sspon.03G0005120-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFYRYAYRGNSFEQTYRCYPPSFVGKPHLEGGDKLIMPQSALARLASLHIEYPMLFELHKQQRVSHCGVLEFVAEEGMIIMPYWMMQNMRLQEGDAVHVKNATLPKGTYAKLQPHTTDFLDISNPKAILEKTLRNFSCLTTGDSIMVAYNNRQYYIDVVETKPASAVCIIETDCEVDFAPPLDYKEPEKPRQPTVPASSKAPAAEDGNTTVVEDELKFKPFTGSGKRLDGKASKLQASDDVPSTALSAPSGSNKRENQQISAPVASAASNYSRQKTGKLVFGSSASNNKELQKASVKEEEPAKKDEPRFQAFSGKSYSLKR >Sspon.02G0054790-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2C:120031872:120032919:-1 gene:Sspon.02G0054790-1C transcript:Sspon.02G0054790-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEDHKKLLYPTCDAGQKKLGTTLELLQWKAKNGVSDKAFNELLTIQKKMLPKDNELPTTTYEAKQLVCPLGLEIKKIHACPNDCFLYYGEENEKLDECPICHASRYKIRREDPGDVEGECPRKKIPAKVMWYAPIIPRLKCLFRNKDHAKLLRWHKEDRKADNMLRHPADGSQWRAIDREFKDFADDARNLRFALSTDGMNPFGEQSSGHSTWPVTLCIYNLPPWLCMKRKFIMMPVLIQGPKQPGNDIDVYLRPLVDELLVLWKKPGVRVWDEYKQEHFDLRALLFVTINDWPASCVYYS >Sspon.07G0019560-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:73803387:73810945:-1 gene:Sspon.07G0019560-2C transcript:Sspon.07G0019560-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHGPLTLGLWQFSGLGGLFFRVGGSGRVERRCEEGTGQAASRVPTAAGSLGRTTCSMGSRVSGRHHMDPPPPPPPPQHPPHPQGERHGGGETMPYQDADHRLRALAGRAEGFGRHAVGGLHGTIYRVTSLQDDGCGSLREACRAEEPRWIVFEVSGTIHLRSYLRVSSYKTIDGRGQRVVLAGKGLQLKSCHHVIVCNLGAAATTWMASRKPDSTNIWIDRCTLADYDDGLIDITRQSTDITVSRCHFMRHDKTMLIGADPTHVGDRCIRVTIHHCFFDGTRQRHPRLRFGKAGDREDVVAGLISSEGDAFLNGALPCLIDGPGPQHPPHPQGEHHGVGETMPYQDADHRLRALAGRAEGFGRHAVGGLHDDGCGSLREACRAEEPRWIVFEVSGTIHLRSYLRVSSYKTIDGRGQRVVLAGKGLQLKSCNHVIVCNLVFEGGRGHDVDGIQIKPDSTNIWIDRCTLADYDDGLIDITRQSTDITVSRCHFMRHDKTMLIGADPTHVGDRCIRVTIHHCFFDGTRQRHPRLRFGKVHLYNNYTRSWGIYAVCAGVEAQIVSQCNIYEAGGGPPKKTTVFKYMPEKAGDREDVVAGLISSEGDAFLNGALPCLIDGPGPVFRPEDYYQQWTMEPASPALKDIIQLCAGWQPMSYSTGNAIVEFIADWTGLNSSI >Sspon.01G0025190-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1A:89063619:89064957:1 gene:Sspon.01G0025190-1A transcript:Sspon.01G0025190-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALKCRTSEEVSGRVVDPIIRLCGRQEEKDRAGVQHGIDDNGYEGGGGSSDEEVQETADLGGLPVPETGSPSGEDKELKNRLLNKYSGYLSSLWRELSRKKKKGKLPRDARQKLLHWWQLHYRWPYPSELEKAALAESTGLDAKQINNWFINQRKRHWKPAQPTMALTTADYRLGPHGGGGGSSSSASAGLRVEGQYFTGGSSYPRGP >Sspon.01G0028940-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:85069426:85075685:-1 gene:Sspon.01G0028940-1P transcript:Sspon.01G0028940-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:KNOTTED-type homeodomain protein, KNOX protein, Shoot formation during embryogenesis, Control of seed shattering, Control of internode development, Repression of lignin biosynthesi [Source: Projected from Oryza sativa (Os07g0129700)] MDQSFGNLGAGAGSSSGGSNSKAAVSSSSFLQLPLSTAAAASPAYYGAPLALLHHHAAAGGPSSQQQKQLPYAKHASAEMSAAEAEAIKAKIVAHPQYSALLAAYLDCQKVGAPPDVLERLTAMAAKLDARPPGRHEPRDPELDQFMEAYCNVLVKYREELTRPIDEAMEFLKRVEAQLDSISGGGSSSSARLSLTDGKSEGVGSSEDDMDPSGRENDPPEIDPRAEDKELKYQLLKKYSGYLSSLRQEFSKKKKKGKLPKEARQKLLHWWELHYKWPYPSKQINNWFINQRKRHWKPSEDMPFVMMEGFHPQNAAALYMDGPFMADGMYRLGS >Sspon.04G0007640-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:18606416:18606694:1 gene:Sspon.04G0007640-2B transcript:Sspon.04G0007640-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVVAAVEAGVVAVASGRGCSSRLVRGLYWRLRAVLRRLRSERARRGRSFSFHYDALSYALNFDDGRAAPAPVPAAADLVILVPSDNNALR >Sspon.01G0024600-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:87848092:87848547:1 gene:Sspon.01G0024600-1A transcript:Sspon.01G0024600-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GRCFSFASPPRHPGPDSLHSPQRPAPHRPAASPPAAGRTHRHRAAAAGVQGDDRARRRVAGGGGGGGRDDPVPRAGRGPGRAARLQARRVHDVPGAAGLRGGGPERRHAQRRRRRAGVRAAVCRLPALRLHHPRHPRGRAAQGPARHRRRLT >Sspon.03G0031520-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:28572821:28576787:1 gene:Sspon.03G0031520-1B transcript:Sspon.03G0031520-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAPKQKWTSEEEDALRAGVRKHGAGKWRTIQKDPEFSPVLSSRSNIDLKDKWRNLSFSASGLGSSKVRVPRITGPASSPSSSSQALLLPAPNKVTEAILPADAEKKPQDGKNPPKLVSLYFHTEV >Sspon.01G0009190-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:27974987:27977714:1 gene:Sspon.01G0009190-3D transcript:Sspon.01G0009190-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKLSTSSTYKVLLKISRDAERCGCMIEYLAWELAGYPNIINTEKMIEKENILMQRYEMGKLLGKGSFAKVYHARDVKTPQSVAIKVIDKDKILKCGLMDQIIREISVMKLVKHPNIVQLYEVMATKTKIYFVIEYVKGGELFNKVQRGRLKEDVARTYFQQLISAVDFCHSRQVYHRDLKPENLLLDGSRTLKISDFGLSALPDCKRKDGLLHTICGTPAYVAPEIISSKGYDGAKADIWACGVILYVLLAGYLPFQDKNLMDIYKKICKGELRWPSWFSSDARKLLRRILHPNPNRRISIEEIMKHPWFRIGLDARLFDSTMNTRRDDMPIDVDLTLDSLNSNTVQCNSATEKLTNLNAFDIISLSNGLDLSGIFENNSNKEESKFTSTNTTSTIVTKLMEVAKSLGLKVIKKNSGLLQMEVSQPRIKGVMSINAEIFQITPNFHLVEIKKTNGDSLEYQNFMNQSMRPALKYIVWAWL >Sspon.04G0020630-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4A:72529953:72531393:1 gene:Sspon.04G0020630-1A transcript:Sspon.04G0020630-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSFWVEALSTATHLINLRPCRTSVSSLLDMWSSTSIPSPFRTHLLCHHPLWLLFIIRSSSSLLLPPHLEPSPSIASSVAHMTAHPISASPTSVVSSPPPAPAALQHHMVTRAHASIHKPNPRYALASEHAAMEHECHALQANRTWRLVDHPPRAHVISGKWVFKHKLNPNGSLERYKARWVVRGFTQRAGVDFGEMFTPVVKPVTIRTVLTIAASRQWPTRQLDVSNAFLHGHLQEHMLCQQPPGFVDAARPNAVCLLDKSLYGLRQAPRAWFDCFAMFVIGIGFKPMRSDSSLFVLRCSTDVAYLLLYVDDIVLTGSSTTLLQQIVKRLRQEFTVKDLSELSFFLGVDVKRDVTSQMLRNN >Sspon.02G0016680-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:45338112:45339188:1 gene:Sspon.02G0016680-2B transcript:Sspon.02G0016680-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMRMMEVENSWAHPPEGILDRIFSHLPSYAARASCTGVCKLWRSFARKEENTAPLLLPCLLRPSTSGASCFHFFSRTITDRPTVRRALLRIHGGRAWRGYALLHLASGTQIPLPQGLREEHGVPGRPQPNRPDLLFGAALSEELEDVIYYSCEVHEGFFFLTSEEHLMVFDPGNEDGHITGDLDGYLFPGETMASPPEAGHVVAARYLVESEGRLAGCSWSRWAAGHGVFPGLHARVDDGTPRWESSSPSSAALIGKMLFVGRGCSRTIRTGRSGPAFIYFLDDADGSPDELLSIIVSTDKEYAARSDIGWCRYDPQYIGKNWPQGPPANCSPWIWLYH >Sspon.07G0034170-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:66802352:66802771:-1 gene:Sspon.07G0034170-2D transcript:Sspon.07G0034170-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVAASLMAMELDTDQLARWEQQQQHPRRQQSRRQAVPVAVCRQSAAAAAASMGQPRRQNAPPPPSPKPPAAAAGGLSAEAFLALACVAVSLIVLPLVLPPLPPPPPLLLLVPVCLLLLLAALATFVPSDVRTMASSYL >Sspon.03G0010230-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:27637159:27640116:-1 gene:Sspon.03G0010230-1A transcript:Sspon.03G0010230-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription initiation factor TFIID subunit 7 [Source:Projected from Arabidopsis thaliana (AT1G55300) UniProtKB/Swiss-Prot;Acc:B9DG24] MEEQFILRVPPSVAERIERLMNESAAASSNSDEASLDLSFSEDGRNGTFMIGNESFPASLLDLPTVVESYKTYDDSVLIKTADVGQMIMVREENDPAPEGVEYKHGLTPPMRDARRRRFRREPDLNAELVNQVEKHLINIMHGVSVNQNQNASVIGGEEGGDRKKPPVARATKQPDVQEPAVNGEEAEPERSDTDESEN >Sspon.04G0007090-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4A:20358836:20359084:1 gene:Sspon.04G0007090-1A transcript:Sspon.04G0007090-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKRCRAFLWTGEGSCKVKGGFAWDVVLPTRTRSQRPPAAEQVLGTKMVVKLYEPPGPGMVLELWLTGRFRVLTWAMHTTWF >Sspon.04G0006290-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:17348468:17351383:-1 gene:Sspon.04G0006290-3C transcript:Sspon.04G0006290-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rho GTPase-activating protein 3 [Source:Projected from Arabidopsis thaliana (AT2G46710) UniProtKB/Swiss-Prot;Acc:Q8GYY5] MALSSEIRFGHQTPLSHSDTDSYEEEEEEEEEEEEEEEFEGEEEEEMDEVTVSSPLMLRATEARGGVSVVEMVTGALRRSLMLCSSSAGAGVREPEELEEDGGGATPPGMQIGGPTDVRHVSHVTFDRFVGFLGLPADLEPDVPHPVPSASVSVFGVSPTSMQCSYDRRGNSVPTILLTMQKKLYSLGGLQAEGIFRINADNSQEQYVRDQLNRGVVPDGVDLHCLAGLIKAWFRELPSGVLDSLTPEQVMHCNTEEECSHLASTLPPVEAALLEWAINLMADVVENESYNKMNARNIAMVFAPNMTKMADPLTALIHAVQVMNFLKTLILKTVNEREEAAKVNRAFPSSSGSPSDKDEPQTLEHLDMPFVCSSQQNVDYPIIDEAKLDQFLFRVEEALHHETQGSTDGPKNHDSSRGDQKSNSEITPLDTDLTSQTEFSNSNEEGLFDKFKFRKGVGRLCRHPVFQFSRSMKKSDEAEQACV >Sspon.01G0041900-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:57758523:57763948:-1 gene:Sspon.01G0041900-1B transcript:Sspon.01G0041900-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFAAAGPGKCSINHGGCWHETRNGKTFSACQESGDGKCQCPAGFRGDGVKKCEDIDECKERKACQCPECNCRDTWGGYDCTCSGDLLYIREHDTCISKTAVQAKAAWAAVWGILIVVAVVAAGSYVVYKYRLRSYMDSEIRAIMAQYMPLDNQGEVPNHTHDEDHS >Sspon.03G0031140-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:32766442:32767314:1 gene:Sspon.03G0031140-2C transcript:Sspon.03G0031140-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASARHLFFLAALVAVAAPHSADAWGGGRFFFSKTTRPEATVESDKAAVPNDAAADTDAAPAFSRPSTGGSGRGYGLYGRPEENYPPDYFRRGVHRNAEKLTTTATDVPATAGTLEEATPVRGRGGDGERVRTTFPENGSGRGRPPTDVPATTGTEEEEAAPAGGAGGDLDGVQPYPENGSGRGRPPWYYTGFRRGRQQEQRDYGMSDTRLYQNGRYYYDVDAGRYGYGRESNPMQTRPNEEEFGSGYGRPRGAAGGRRGNDAEFANGAMDQNTNGFQEEAGQNGLYIP >Sspon.03G0033410-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3B:49271249:49281653:-1 gene:Sspon.03G0033410-1B transcript:Sspon.03G0033410-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKLGIGLWYTNVPQLRTRMEKLARLQYLKSKDPKDCALLYIALNRIKVLVGIFKISRDEKDKRLYEFLSRNFQEERHKAAALKNAYVLMGRHQWELAIAFFLLGDDTSSAVNVCAKNLQDEQLAIVICRLVEGSGGPLERNLISDVLLPDAVERGDNWLSSLFELEALECLSTNSSIDVKDSIISPGDGDHRIFDGILSPFSASKNWLSSSVISGVESNLKVTMASKYLSSLLRKHSLCSQCNASLSEEKVLNEYNNHQIEKLMRDITTVISIFDKRFSLKLADIAEKVLLIMINPVLAASVNGEPFQVLLDQLMHAIRHKNHDISLDTEGGMPRAALSKTQLKNSVNSNLLADEKWHLVGASVWIRLISVIEHHLREFFEKEKLEHEAGVSGSEFRGLITSVAAKFVMDSIHFVSSSLVKLHASFLRKKLPMNSSSSLLFWLESKLSQQHPVSDSYDHLSRISQLSNSENMEALFNILWEISVNPVDVCNAFVNEGVNCFSLSSINITRSWKDITCPVVECDKVITQRSGEEHEHRLGSKNNGKGPGFTDKASSNGEVFSETKRELIIQKEFQSPREILRRSGELLEYCILTFAVAQAICLNSVNEKQAAIATNRKGLVFFNWSDKQHDKNLSEYVWSGSDWPLDGWAGCQSTPTPTSISSGVGLGRKKGSQLGSGGATIGLGSLAKPGRDLTGGGAFGIPGYAGIGASGFGWGEPEDFEDFIDPPATIENIHSRALSRHPSLPLFLVGSSNTHVYLWEFGKDSAMATYGVLPAANIPPPYALASISAVQFDYYGQRFATAALDGTVCTWQVEVGGRSNVHPTESSICFDSHASDVAYVSASGSVVASAGYSSNGANVVIWDTLSPPATSQTSIMCHEGGARSISVFDSDRGCGSISPLVVTGGKNGDIALHDCRFLSTGKSKHHRITTEHGVKASSMHDIKSSTFGGTNSGMVWHIPKAHLGSVTKVSTIPNTSLFLTGSKDGDVKLWDAKNSQLVFHWTKMHERHTFFQPTSRGFGGVVRAAVTDLQMLPNGFVSCGGDGSVKLVQIKDDLAAAYQL >Sspon.03G0001420-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:12226122:12229491:1 gene:Sspon.03G0001420-2B transcript:Sspon.03G0001420-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding METRGKRLLSFLLVLIAAAAAPSAMSRDEREVVEVSGAPDGVVWVAQLSDLHFSVHHPERAYDFRRYVGPALAMVNPDLVLITGDLTDGKSKDLLTMKQNKVEWVEYERTMKEIIESSKLPRRIFYDLRGNHDSFGVPASGDDYDFYNKYSINAKLRQQGRVQSITLENSGRKHLFVGFDNTMEIGLRGPTNLFGHPTDKQVIELDQALSQWDTDFDKVPVTKIAFGHFPLSFSALTESGKSIKDVFLKQSLAAYLCGHLHTRFGKNLKRYYHRAVQEPSLSEHYYQLNMHQGDAMQSNMENCSEEAAHIEEFWEWEMGDWRKSRSMRILAVDDGYVSYTDIDFRLGSKSIIILPTFPLDSRFMQRASAFRDFKCHVMGASSFDTVRALVFSKHEIVSVSVKIYDSRPGTLEVVFDSEMKRVDSNETRGNMYLIPWNWRAFEDSSPSRYWLQIEVMDITGDTSVSQLRPFSVNGSSARVNWTWKEFFVIGIQWASIYHPALWCAFSLIFSLLLVPQVLAVVFKDQFTYKSLCTYGRQRTLLKSLVGGFICSFVELARMILVWLLLLLYAIYLVFIPWLFGHPITEDGSLTYMTYKGWILKGPNSSNELVHAGIPDVMVIVLPHLCFVLLPTIVILAAMAAERAAYREHYLSRSGKKKDDYYQKSRRQIEHENFWNGRWIRKFLCFLCVVVLWKHWKLCRALVKAYAMNPLLHAPVLFFFIPLLMVFAIYKT >Sspon.02G0028130-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2A:102173475:102174377:1 gene:Sspon.02G0028130-1A transcript:Sspon.02G0028130-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQPPAAVAAVRHHSPHLLLRRGGGGLLPSPPGPATLPFASRGAAASAPARLRLPPPRFSLSPVPKNLSASSSSHVPVRSLFTGIVEEVGRVRRLGPPLAPSSGGGGGEAPGLDLEVETRDLLAGTQLGDSVAVDGTCLTVAAIDPAASTLTFGVAPESLRRTSLGWRAPGDGVNLERALTPSSRMGGHFVQGHVDGTGEIAAFRPDGDSLWVTVRAPPEILRLLVPKGFVAVDGTSLTVVSVDDEGGWFDFMLVRYTQDNIVLPTKKVGDKVNLEADILGKYVEKLLAGRVEAMAKVES >Sspon.05G0025480-1P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:5C:39877037:39877468:1 gene:Sspon.05G0025480-1P transcript:Sspon.05G0025480-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNDMAIQPNGTDTNFGINTIGIVRAPRGDGKEAIVLVSPYNSQSVQSNELLSLALGFSVFSLLSRAAWLSKDVVWLSADSQFGEYAAVSAWLNQYHNPVFLSQSVILHTKMYGANHINDGNSEKNRSHGFQTCWNNGCCSHI >Sspon.02G0019890-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:64928459:64931640:1 gene:Sspon.02G0019890-2B transcript:Sspon.02G0019890-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCLSPCAPHLHVHLPRGPTTARPGPAAATCRNARGIGVALCLRMPTTPEERRQRRRQAKFQDSSAAPSLKPPATAPAPARLTPAAERRGALREMRRVWWVCGVGYCVQGFRCFPWLALNFHLTRGLSLSPAGLQLVQSAGTLPLVAKPLFGVLSDAVYIGRAHRLPYISLGVLLQLIAWGTLAITPVTGDAFPTQMACILIGNLGASVTEVVSDAVVTEFSRTQKAGVLQSYAFIALAAGSLLGNLSGGYILLKTQEPKIMFTAFSVLLGFQLALSLSTKETLPSSRGNSRIRLAKSSLAANFRKQFSNLMTAISEERILYPLTWIMTSFAVVPILSGTMFCFQTQYLNLDPSVIGLSKVVGQVMVLSLTVLYNRYLKRIPLRCLTSGLQILYALAVLSDLVLVKQINLVLGIPNEIHVLCFSALAEALAQFKVLPFSVLLSSLCPPGCEGSLFAFFTSGLVFSAILSGVFGVGLSTLIGVSSVDYSSLPLGILLQSLAALLPLGWISFVPEKWTADDKVVI >Sspon.02G0006440-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:18966541:18968613:1 gene:Sspon.02G0006440-1A transcript:Sspon.02G0006440-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTKWWKLRGESAQTFKERMLGEGPWEEGEDANDMWLKMATCVRKVASEVFGVSRGGKREAKDTWWWNDEVQRAIREKECFKRLHHDKSAANIEGYKIAKRVTKRAVSVAKGQAYDGLYQRLGTKEGEKDIYRMARIRERKTRDINQIKCIKDGTDRLLVKDEEIKNRWREYFDKLFNGENEGPTLELDDSFDDTNRRFVRRIQETEIGEALKRMKEGKAMGPDGIPIEVWRCLGVRAIVWLTKLFNLIFRSNKMPEEWRSILVPIFKNKGDVQSCTNYRGIKLMSHTMKLWERVIEHRLRRVTRVTQNQFGFMPGRSTMEAIFLLRQLMERYREQKKDLHMVFIDLEKAYDKVPRNVMWWALEKHKVPTKYVTLIKDMYRDVVMFVRTCDGDTSDFPIKIGLHQGSALSPYLFALVMDEVTRDIQGDIPWCMLFADDVVLVDDSRTGVNRKLELWRHTLESKGFRLSRTKTEYMRCDFSATRHEEGDVSLDGQVVAKKDTFRRDRVRNDDIRDRVGVAPIKEKLIQHRLRWFGHVQRRPPKAPVRSGVLKRADNVKSGRGRPKLTWDESVKRDLKEWNISKDLAMDRSAWRLAINVPEP >Sspon.06G0021850-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:20481398:20485186:1 gene:Sspon.06G0021850-1B transcript:Sspon.06G0021850-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSYAATARLDVARQPRRPVPGPAAGRVGRACPPQRADLLRGLPPAPPRGCGGDTRRAGGLREHGVVGAAAASGENAAAIAAGSVGDAAAWVAAAVMAREETGGSEVGSKRCLPPAAAHPPPKRRAVSARRRFPPHCGRNVAAPLATTNAGSRFGDAGADVCSAVLDLEKAAAAPPPLAGGKDGALLGAVSPVAAATSVVKKLCAVDGDAPMADGGRHGQQPGAVKSSEALRRSGGTASDGLLDAGSRGVAVVSVEDRGKGAWSGELGRKELVPDAHLQANPRMSLDERSFPLGYGKDAVLSLLLAGGSSKVPLKSRPTDELGVLEEVVVATHGCISSVQGHYMKIVSNDGTVQDYELEDGEISPELVVQESQVSTGVALHESTDGPSVPEINAMETFAMQPSNEKTGGDTLQCGEKRSSYLVANDVEVVNKSIGSSINVVAASLAEDLSKQNMMGKRVSESAMMNRVSSDVTAGVCGNGTTMRCVTLHDSAACRHGDSVPEISAVKTSVMQSSNEKTGGNILQCGDKKSSCLVTKDVEATNKSIRISCTAVAGSLAEDSSKHNLMGKRVSESARMNRASSDVAAAASGNSIMMRSKVMFTPRKVVKRTKVIQKSTLDTRHRPCPEDKKETELSRRGINRIEDTDKLTKDRVLQAPMTQDKEAATTRGYFGPRKRVKVKVPAHLQMKIASTCALGSKVKLDDEVASSLEDDDILKALVVHEGNLELFLNSYSGLTSARCQTKHGSQNADARSKFKMLCRRFEFVCRALVQAVEQNSLKIRRIDLQADKVIRKLPGFTKSGPIVGQVPGVQVGDEFLYRVQLAIVGLHLAYQGGIDTTVYRNGERIAISIVASGGYPDELSSSGELIYSGSGGKPAGKKDHEDQKLERGNLALKNCIKTKTPVRVIYGFKAQNTRVGSHSRAREVSTFTYDGLYQVLDFWLDGQPGSRVFKYKLKKIPGQPELPMHIAEGMRKSKTRPGQCEIDISQGKEAIPICVINTVDAERPAPFRYTTRIRYPFELIKKRHQGCDCTNGCSDSASCACAVKNGGEIPFNLNGAIVNEKPLIFECGPSCKCPPSCQNKVSQHGLKIPLEVFRTTKTGWGVRSLRSISSGSFICEYVGELLYGNEADERRNSDFLFDIGLNHGDENFFNGLLSNVSDMKSSSSSSQILGDVGFTIDAAECGNIGRFINHSCSPNLYAQNVLWDHDDLRIPHIMFFAAETIPPLQELTYDYNYEIDHVEDMNGRIKFKVCQCGSPRCSGRLY >Sspon.04G0028440-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4B:65843521:65843805:-1 gene:Sspon.04G0028440-1B transcript:Sspon.04G0028440-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ADYTLFATSAHGSHATTAPSATSTSPCLDLGFFKRLERHCPPSHATSSGVPQWIEESKPGGVLIMGYKGQGRAGLRANQTEQRKEIRAGIGLIK >Sspon.04G0005080-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4A:14663812:14665085:1 gene:Sspon.04G0005080-1A transcript:Sspon.04G0005080-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding NELTSDVLITSGHRARTLRLVRFRPRCSLLPTMHASPAILWPHVARPAHRVLSLPAAPHPVRVRCLCFAYLSLSISRLLTAERLPSLFPSPQRLRDASQAVERERERQCRSHRGSAPPDDAPGHVHVGARSPSLYDMLPPLASPETLEHKLVAQREEMQNLAIQLAASHVAGDAGAARLSPLQSNGFSCYAGTTDALLRGGQGAVERHSDVLGTSVRMAADRVRGEYPRVSGLAGSDLGIISHPRFSGLVSGLVFHPWISNGYPK >Sspon.07G0009500-3D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7D:23812435:23814451:-1 gene:Sspon.07G0009500-3D transcript:Sspon.07G0009500-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GTCCPLPSRPPQILEDEYDGWLSPRIVDDFTAYADVCFREFGDRVAHWTTMMEPNIIAQGAYDVGIVAPGRCSYPFGRDCTVGNSTVEPYLFLHYNLLAHSSVVRLYREKYQAVQKGVVGINLYSLCIYALTDSAEDIQATERANDFLFGSILHPFLFGDYPESMKKAAGARLPSFSSYESELVTGAFDFIGLNHYSSIYASNNPDVSKMPVRDQAADIGALFRGFGQFDDSLMDVERIDFLKVYIASTLKAIRDGADVKGYSVWSLLDLYEMFGGYKAHFGLISVHFSDLRRQRQPRLSAYWYSDFLKNNVAIQVENGEATATSPEQI >Sspon.05G0027910-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:5B:57716230:57717211:-1 gene:Sspon.05G0027910-1B transcript:Sspon.05G0027910-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSSSRRDNHLLLLLGVLLAVVQHLLPPMAVVVDALDAGYYAATCPDAEAIVQDAMSRLHYTDPTLAPAIIRMLFHDCFVRGCDASVMIVPTPLHTTSERAAIPNHTLRGFTAVDAVKRALEDACPGAVSCADALALMARDAVALLGGARYGVALGRRDGTVSDPSEVDLPAPFAKLGDVLDYFAARGFSAEETVVLFGAHTVGGAHCSSFRYRLTGPGDGTMDETFRRDMLDACGATDLPLDTDPATFFDPDTPFAVDNNFYKQLMSNRTLLQVDQEAATNPGTAPHVAYYAATPDAFVQRFSEAMAKLSNVGVLEGDAGEVRKVC >Sspon.07G0019690-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:70201222:70207354:1 gene:Sspon.07G0019690-3D transcript:Sspon.07G0019690-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLLNLQSTLLPSASALRRRAGAPALSSSHRRCRVEAKIREIFMPALSSTMTEGKIVSWTAAEGDRLAKGDPVVVVESDKADMDVETFHDGFLAAVLVPAGESAPVGSAIALLAESEEEIPVAQSQAASFSSSSPSPPPPQETAAEEASLPPPPPPPPAPVAVSAPAPPSPATQGGGRVVASPYAKKLAKDLGVDLFSVTGSGPGGRIVAKDVEAALATPKKAAPVIAARPDVPLGSTVPFTTMQGAVSKNMVESLAVPTFRVGYTITTDALDQLYKKIKSKGVTMSALLAKATAMALVQHPVVNSSCRDGKSFTYSSSINIAVAVAIDGGLITPVLQDADKLDIYSLSRKWKELVDKARAKQLQPHEYNSGTFTLSNLGMFGVDRFDAILPPGTGAIMAVGASEPTVVGTKDGRIGIKNQMQVNVTADHRIIYGADLAAFLQTLAKIIEDPKDLTCVRMGKPFSGSGIGMASDRGEVDTSRAFRSVKEAVAVFGERILVREAQFRPNGGAHGGRRVGKEVNSRVNAVAIAASDVKPPNRSDGVMESHSKPSFVTCNAKKELTSGNSKPASSLLPVSMPRPPVAEDVPMYLVPSSPPFFASSPSLANDDDDEQERKAADLIVMSSIKKLEDEAARTRQEVSQLKRRLAEMELSMATLNAKLHRALSKVAHMEADNAAAARASIERGGRSGGDMALTVWAERRAPSRPQLGHLLRLGEADREAVVVSGSGGGRAVAAPARRKVQKQKPIVPLVVPLISGVLFSKKRRMKDKESVYMKELYSLLRLT >Sspon.01G0000010-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:1804289:1805463:1 gene:Sspon.01G0000010-3D transcript:Sspon.01G0000010-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding YIMAASRGAAAAALVVLLAVVNCCFVLLASAHYTNTALRRLHRHALRPQAAAAAADVAIRAHYQVCPKPFENIEPALVLGLIKAKVGLPPTEPCCPLLDGLVDLEAAVCLCTAIKANVLGIHLNLPIDLTLVLNHYGKTAPKGFHCT >Sspon.04G0007760-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:18743724:18744477:1 gene:Sspon.04G0007760-2B transcript:Sspon.04G0007760-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LKMDWAACVLPLHGEDAHFGHAEAGVVGVADGVGGYRDRGVDAGAFARALMANALATAERVAKARKLLIRLCPHQWGSTAVILSLYGTELSWAYIGDSAFAVFRGGQIIYRSEQQQRRFNEPYQLSTRGRGGSLSEAKVGGMSTVKDGDVVVIATDGLFDNMHDWQLERAVRMGTDLGFPPKNMADIVAGIAYEISKENRGCSPFGIGYFKKYKKVWHGGKEDDITVIVAYIISKD >Sspon.02G0047110-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2C:10919082:10919360:-1 gene:Sspon.02G0047110-1C transcript:Sspon.02G0047110-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRGVASTREDMTLEEFKEWLKQFDTDGDGRISRNELREVLRRRGGWFTTWRSGRALRQADKNNNGFLDDSEIENLVAFAQKDLGMKISAW >Sspon.02G0056110-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2D:17481915:17482199:1 gene:Sspon.02G0056110-1D transcript:Sspon.02G0056110-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSNRTLVLWRPVSSAACPVAVSLERGSGLTSASGPLRDQRVRSYFACPVRATSASGRCFASVGTVQSARLVNSTSASGQYDSSCFECLTAIFKG >Sspon.03G0009560-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:3573475:3577839:1 gene:Sspon.03G0009560-2P transcript:Sspon.03G0009560-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLALYKYSVPTVGGFEISGVLWPVAKNSPEMEFFSEYGDANRYKIQEIIGKGSYGVVCSAIDRHTGEKVAIKKIQNIFEHLSDAARILREIKLLRLLRHPDIVEIKHVMLPPSRRDYRDIYVVFELMDTDLHQVIKANDDLTKEHHQFFLYQMLRALKYIHTANVYHRDLKPKNILANANCKLKICDFGLARVAFNDSPTTVFWTDYVATRWYRAPELCGSFFSKYSPAIDMWSIGCIFAEILTGKPLFPGKNVVHQLDLMTDLLGTPSADTISQIRNEKARRYLSSMRRKQPIPFSEKFPNADPSALKLLQRLLAFDPKDRPTAEEALADSYFKGIAKVEREPSCQPISKMEFEFEQKFTKEDVKELIFQEILQYHPQLLKDYKNGSEKTSFLYPSAVDRFRRQFASLEENGGRNATLDRKHESLPRTTTVHSTSIPAKEGPAATSQVAQRIPAARPGRVVGPVLPFESVSVTDQHIARRVARNQAVPPATNTSSVYCYHLKSDSSDGQEHQLERGKDRRQYRTGQHLMDAKVAPEMARDIRPSQYYVSRGMPKAD >Sspon.06G0023810-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:43994125:44009608:1 gene:Sspon.06G0023810-2D transcript:Sspon.06G0023810-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMAYLYGKKFVGPLTPTILALRVELYSEPYSNVDWNKARHTCAKVISWTRSTLHAAEEDLRFPQPWVQTVAWTCINKIVEPMFNYWPFTKIRDTALDELMKHIHYEDETTKYIGACPVNKALNMICCWIENPNSDAFKKHVPRINDYLWLAEDGMKAKAYDGVQCWEAALIVRAYCSTELAKEFGPTLSKVHDFLKSSQIREDHPDYKTYYRHRSKGSWTVSTSDNGWSVSDCTAEALQALLLLSKLPPELVGEPIQGQRLYDAVDCLLSFMNNDGTFSTYECKRTSSLLEVYLSSESIKPIDRCVECTSSVLQALVTFRDLCPGYRKDEIENCIKNATKFGSWGICFIYGTLFAVKGLVAAGKTYKNSYSIRKASNFLLSKQLSTGGWGETYLSSETGIYGEASSPHAVNTAWAMLALLYAG >Sspon.02G0023030-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:77854308:77857307:-1 gene:Sspon.02G0023030-1A transcript:Sspon.02G0023030-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRWDEILTLPVQNPPSLEFSAADISWSMVEGWKDSMDRLALIPYSRVNDFVRGESNNKDCPTRFHVEARRRRPPTMNCKPKVDGILEYILYWCSFGPDDYRKGGNVRPSRPISEKRKTPAGRPNTKRGCVCHFIVKRLIVEPSVALVIYNHNKHVDKKGIPCHGSMDKMAVGTKAMFAPYISDELRLQVMSLLYVGIPVETIMQRHTEMVEKQGGPSNRDDLLTHRYVRRLERKIRRSVYELDDDDAISIDLWVENNQDYVFFYEDFSDTDTFVLGIQTDWQLQQMIQFGSHSLMASDSKFGTNKLKYPVHSILVFDQHKNAIPVAWIITPNFAHGEIYKWMGALYDRAHTKDPTWQLGGFIIDDPLADVRTIREVFHCPVLISLWRIRHAWHKNLVNKCSDIEKRSAMAKRLGDAISSICRGNGDVELFEGFLQDFVDCAGFLDYFEARWLPRLGAWITVLKATSLATAQVASAVESYHHLLKLRLLNEADKSVYWRADWLVHKLGTKVHSYYWLDEFSGKNSFSRYWRSEWSSGPNPWCQGMQIPDSDVVIEGNYASVVSQKNKEKSHVVLNPGSEFALCDCSWSRKGNICKHVVKSAKVCRDRGLALPSLAMFHYYQALANLVHCPPSDTLISDHAMAVAVSVKTQLDAVLCAVNGSSSISPAFKDPQSTSKPRESETEEANSENGVCASQSQPGAGDDEDQDIPTGKKRKSGNASGDSEDVSIYQDSLARKKHKSGEASGDNEEASTEEDSRARESRKTGETSANDVEVSIGQDSRDREKRKSGELSGNEGTSATQATQPSETETSQATNGLPVSSVKLRLAEGAIGT >Sspon.03G0023920-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:91423039:91425546:1 gene:Sspon.03G0023920-3C transcript:Sspon.03G0023920-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPSIRIRLLLSPHSSPPGYGLRRGGWTRIVGRGAAVAAAKQKQAGDLDPRHHHRAPHGGGGGEGGGGAQQEADEQQRHHHNRLLQLHQQVQQDQDPPPVPLFQLQHLQAAAAVRQRGGLSAEYALLAPMGDAGQSHSHHHHHAFQPQLLSFGGVGQHHVHHFTAQAQAAPAASHSSRPRGGGASGEVVAATSASHSRVRGGAGGGGEIVAVQGGHIVRSTGRKDRHSKVCTARGPRDRRVRLSAHTAIQFYDVQDRLGYDRPSKAVDWLIKNAKDAIDRLETLPAWQPTATASNAAAPPSSSTHPDSAENSDDQAQAITVAHTAFDFPGPGGAGGGGSGAGFLPASLDSDSIADTIKSFFPMAGTGGGEASSSTAAAQSSAMGFQSYTPDLLSRTGSHSQELRLSLQSLPDPMFHHQHQDRSQQGHGGNGSSQQALFPGAASYSFGGGGGAMWAEQAQSQRMVPWNVPDPGGGSTGGYLFNVSQQAAHMQAALGGQSQFFFQRGPLQSSNQPSERGWPETVEADNPMQQQHGGGLRPTVSTIGFAPGVGFSGIRIPTRIQGDEEHNGGSGNGDKPPPSVSSASHH >Sspon.03G0004690-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:12072244:12076693:1 gene:Sspon.03G0004690-1A transcript:Sspon.03G0004690-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDDHVVVDVDGLAKSKENEVSKKPSEDANGPAAAAASPSAAADLVHEEEEEGGGEEEPLIQAAECRICQEEDIVKNLEKPCACSGSVKYAHRACVQRWCNEKGDTTCEICHQEYKPGYTAPPRVQPDETTIDIDGDLIMDLRDPRILAVAAAQRRLLEAEYDGYGSTDASGAAFCRSAALILMALLLLRHALSISDNEGNDDDASTMFSLFLLRAAGFLLPCYIMAWIFSILHRRRQRQEEAALAAAEVAFILQSARGRALQFAIAPDSPATPQHEPVPQQQQ >Sspon.02G0004900-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:15669197:15671480:-1 gene:Sspon.02G0004900-1A transcript:Sspon.02G0004900-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Scarecrow-like protein 23 [Source:Projected from Arabidopsis thaliana (AT5G41920) UniProtKB/Swiss-Prot;Acc:Q9FHZ1] TYVAFKCLMFSKSHGDVASDGHIDGRGALAGRRTGAGCAGDGRGGLLESPATSHAQGSRPMNGRGGAIKWPTTNTPPCSPRINTSTISAAPHTRAAVHRAAAVVARAPASGTAVFNQKRQTSHRGTGIRGKLLSRAPSRPPVVRHRMITGFTNQSQPDQPPSAPPAAHARTSLPIPPPRDFHSRKPKPFPSLPGDGIGTEMETTVTDALPETKLLADDAMLQGVLSRAPATDAAAAAAMKAKRAAASPGEEEEGDGRSARGKRQQLLALGPATALAAAAAAEEGPETRGLQLLSLLLRCAEAVAMDQLTEARELLPEIAELASPFGSSPERVAAYFGDALCARVLSSYLGAYSPLALRPLAAAQSRRVAVAFQAYNALSPLVKFSHFTANQAILQALDGEDCLHVIDLDIMQGLQWPGLFHILASRPRKPRSLRITGLGASLDVLEATGRRLADFAASLGLPFEFHPIEGKIGHVADAAALLGSRQNQQRDDEATVVHWMHHCLYDVTGSDVGTVRLLRSLRPKLITIVEQDLGHSGDFLGRFVEALHYYSALFDALGDGAGAAEEESAERHAVERQLLGAEIRNIVAVGGPKRTGEVRVERWGDELRRAGFRPVSLAGSPATQARLLLGMYPWKGYTLVEEDACLKLGWKDLSLLTASAWEPADDAAASAPTTTS >Sspon.07G0015080-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7A:54078468:54079774:-1 gene:Sspon.07G0015080-1A transcript:Sspon.07G0015080-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHTEYKMSPHKAIAQKLVSMTALAPLIHIPIVIVIALSGMGSYLMNRLKWCYDPLHDNDIQGSGEGIVAFKYTSLCRATKNFSKRLGGGGFGFVFKGVLSDSTTIAVKRFNGDRKGEKQFRAEVSSIGMIQHINLVRLIGFCCEGDERLLVYEHMSNGSLDSHLFKRNASFLNWSTRYQIAIGVARGLRYLHHSCHKCIIHCDIKPENILLDTSFIPKISDFGMSIIVGRDFSRVLTTFKGTTGYLAPEWLSGVPITPKVDVYSFGMVLLEMISGRRNSPDVHSSNSYHDAYFPVQAITKLHEGDMWSLVDPQLQGDFDLAAVERVCKVACWCIQDNEVYRLTMVEVVHFLEGLKELDMPPMPRRLAAIAKRSDV >Sspon.05G0038110-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:5D:33562163:33562552:1 gene:Sspon.05G0038110-1D transcript:Sspon.05G0038110-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ERNVAFGARTHANTKCADPQKSDNKPEDTNTISLGAEASEPSPKRRRESSVGSEGAKSMEEPLASRTRDSTAAASTEKNVADVPSNAKETPQSTQPAKVTDDHYKRGDDALAAARARALARKKAKEQQL >Sspon.02G0038950-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:48329154:48331338:-1 gene:Sspon.02G0038950-2C transcript:Sspon.02G0038950-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSQPPPGSTGGSPRIPAELSAQAVRLVVDFFKINEKLRPVTGAAVSSPFLPRGAATPSLAGMPSQPPPGSTGGSPRIPAPSRPAPDSTGGSPRTPAPGSTGGVPRIPELSAEAVHRIARKCVRASHPPCLSFSVFARCGLYCANRKGASSSKGNMEVAGRVFRSSAIVKRHDYTGIESEDGYHIQIGCPLNIPKTRENGFSEEVCESFKYGFPIQWQRLVNPKMVPDNEHARSPSETTTGAPSPSVEDYMAYFLSDSFSSSITYDYDLTENDFYSSVGYSGNTDGLTTQSPSNLPDDNAGNITASLGLYGHRMGVPEKPLAPPGEACNRGQESYQHESTQIDASKQEIVNRSISSVSVKQSTGSISSNSKVDGNILAPSKISSVVNEGYRSTVGCGQAEEDADIQQENMHSCSSEHGMVTLPIDCTSSQLGEPGIPKSGKDSVNLGTTDALELPTEGMTTPKLGAIRGSEDSTGRRLRSGKVLEMPSGGPMKRGHKQIKIQQEASSEQMVNQGATSTADLTSHENVN >Sspon.01G0051960-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:19078322:19083867:1 gene:Sspon.01G0051960-1C transcript:Sspon.01G0051960-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDYGHGGGQMRGNPDSRPRGQGQRPNVQQLKLMGQIHPTGLTPNLLKLFEPRPPLEYKPPLEKRKLPAYTGMAQFVSHFAESNDPQYAPPVPKCETRAEKKARIRNNKLEQGAAKVAEELEKYDPQSDPNATGDPYKTLFVARLNYETSEQRIKREFEGYGPIKRVSNVVNSCAGSNSMVGALDQKSLGGMIVMPIGIVKNLVKGYGKETVRRGPVNVRMTGLVIEIHEMRSITIETVRGLGTEREERTVKETMAVTVTVIVVTGTGIVVGTMIEKGIVLALMIAIVREAGTVVKEIMSAPAMNVTVVTCMRGMQTMAMVGQSMTKICLVMGRIMAMVSMSNTKVMTHMVMVKMDVRMKLNTQSGMSTSIIVKPAIRCSQTVLNQKAPRKARHMRKATTSITGLVNT >Sspon.08G0009300-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:40724026:40734228:1 gene:Sspon.08G0009300-1A transcript:Sspon.08G0009300-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMGLLPLLAACCSLLLTVAAPARDIASVCASQISDFPHQNSSGLHLTLHHPQSPCSPAPLPSDLPFSTVLTHDDARVAHLASRLAASNTPPRRPTSLRKKAAGVKLDDSLASVPLTPGTSVGVGNYVTELGLGTPSTSYAMVVDTGSSLTWLQCSPCVVSCHRQVGPLYDPRASSTYASVPCSASQCDELQAATLNPSACSVRNVCIYQASYGDSSFSVGYLSKDTVSFGSSSYPNFYYGCGQDNEGLFGRSAGLIGLARNKLSLLYQLAPSLGYSFSYCLPTSASTGYLSIGPSNSGQYSYTPMASSSLDASLYFVTLSRMSVGGSPLAVSTSEYSSLPTIIDSGTVITRLPTAVYTALSKAVAAAMAGVQSAPAFSILDTCFEGQASQLRVPAVAMTFAGGASLKLATRNVLIDVDDSTTCLAFAPSDSTAIIGNTQQQTFSVIYDVAQSRIGFAAGGCS >Sspon.06G0011260-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:49262903:49268504:1 gene:Sspon.06G0011260-2B transcript:Sspon.06G0011260-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLCFVAADALETGDFDRARVHPKFLHTNATSHKWAFGAIAELLDNAVDELDFKIQDGEIVPLVYGSQGDWDSSLKIILDWSPFSSKEELLQQFQDVGSHGTKVVVYNLWMNDDGLLELDFEDDDEDILLRDQGSASGGFTKSQKEIVQQHISHRLRFSLRAYTSILYLRKFDNFQIILRGKPVEQLFITDELKFKKVVTYKPQAAHDSQVASVKIDVGFAKEAPILGI >Sspon.05G0016780-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:69236028:69239577:-1 gene:Sspon.05G0016780-2B transcript:Sspon.05G0016780-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLDEAFEAIDSMLVEGSFGSAGSRIIIEEFLEGEEASFFALVDGENALPLESAQDHKRVGDGDVGPNTGGMGAYSPAPIVTDELKHIVMESIIIPTVKGMAAEGCKFVGVLYAGLMIEKKSGLPKLIEYNVRFGDPECQVLMMRLESDLAQVLLSACRGGLSNVSLTWSPEMAMVVVMASQGYPGSYKKGTVIKKLDKAEQASPSVKIFHAGTALDEDGNLVAVGGRVLGVTAKGKDIEEARARAYNAVDAIDWPEGFFRRD >Sspon.07G0024220-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7B:22934389:22935592:-1 gene:Sspon.07G0024220-1B transcript:Sspon.07G0024220-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPSQLAALLSPAAPAATSLHRQTTAHRRVVAASPNPLLEPPVIGNPDLDPSSSAAAGNNLAAF >Sspon.07G0018120-3C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7C:80040544:80041032:-1 gene:Sspon.07G0018120-3C transcript:Sspon.07G0018120-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLNSSSRKTVVALAVVVAAAALLASSASAAITCGQVGSSLAPCIPYATGRASTLPASCCSGVRSLNSAARTSSDRQAACRCLKSLANSVKSVNMGTVATIPGKCGVSVGFPISMSTDCNKVS >Sspon.01G0011000-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1B:37103858:37105129:1 gene:Sspon.01G0011000-2B transcript:Sspon.01G0011000-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVPFFTQYRFEYNTSYNSTEALTRSSYHQLFIPQADNDVNTLTNFKHGIQTHPKHTLLLKLTPWARSPPGHVNRSRSRLNSNSNTLLPSRLPPRCSTSSISRLTPTSLSSRIVRRYGLREDVAAYNLTGMGCSAGLIALDLARNALRTRPRALALVVSSESIAPNWYSGTDKSMMLANCLFRCGGSAVLVTNDPAHRGRAKMELSCLVRANIGASDDAHSCALQREDAEGRVGISLSKALPKAAVRAFTVNLRRLAPRVLPVAELARFTARHLARRLFFQLPHMLGSGKQQKGGDAAPKINFKAGVDHFCVHPGGTAVIDAVKQSLGLEDEDLEPARMTLHRWGNTSASSLWYVLSYMEAKGQLKVGDRVLMVTFGSGFKCNSCVWEVTGDMADRGAWADCIDAYPPEMLANPYMDKFGWIND >Sspon.01G0019370-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:93866240:93870265:1 gene:Sspon.01G0019370-1P transcript:Sspon.01G0019370-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPFAKKPTPREVMRSSKRDLTNATRGIERDIASLQQEEKKLVAEIKRTAKTGNEAATKILARQLIRLRQQISNLQGSQAQIRGIATHTQAMHANTSVATGLQSASKAMGALNKQMEPTKQMKIMKEFQKQSAQMDMTNEMMSDSIDDVLDDDQAEEETEELANQVLDEIGVDIASQLSSAPKGKIAGKKVQVDESSELEELEKRLAALKNP >Sspon.02G0026660-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:94556570:94558846:-1 gene:Sspon.02G0026660-1A transcript:Sspon.02G0026660-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNPNTVCDAGPGEVQGSYVAEAIIEHVASTLSADANLIRQRNLHTVESLALFYSECSEDAMGYTLHSICDQVTASENYQGRLETIESFNKNNKWKKRGLSFVPIVHKVLSRPTPGKVSILNDGSVAVEVGGIELGQGLWTKVKQMAAFGLGQLCPDRSQELLERIRVIQADTLSNVQGGWTAGSTTSESSCEAVRHACNVLVDRLKPVKEQFQEKQGNVSWDELISKAIMIGVDLSAREYYVPGPSGSYLNYGAATSEVEIDLLTGASTIVRSDLIYDCGQSLNPAVDLGQVEGAFVQGIGYFMNEEYVTNSDGLLISDGTWTYKIPTVDTIPKQFNVKLLNSGFHKKRVLSSKGSKLSYCSHICEHAPDQTSSVL >Sspon.03G0026070-3D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3D:2295046:2300823:-1 gene:Sspon.03G0026070-3D transcript:Sspon.03G0026070-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA mismatch repair protein MLH1 [Source:Projected from Arabidopsis thaliana (AT4G09140) UniProtKB/Swiss-Prot;Acc:Q9ZRV4] MDVDDPSPRVGGGSVADPPRIRRLEESVVNRIAAGEVIQRPSSAVKELVENSLDAGASTVSVTVKDGGLKLIQVSDDGHGIRVENLFYNMVARRKTLQNSNDDYPKIVDFISRFAVHHINVNFSCRKHGANRADVHSSSTSSRLDAIRNIYGASVVRDLIEIEVSDEDAGDAVFKMDDRLVDCTALKRAIEFVYSATLPQASKPFIYMSINLPSEHVDVNIHPTKKEVSLLNQERIIETIKNIIEEKLRNSNTTRIFQTQAVNSSALTQVCTQKDKGTDVKMASGMKSQKTPVSQMVRTDPRNPSGRLHTYWHGQSSNLEKKSDLVSVSKELMYQQALCRFGNFNAIQLSEPAPLQELLLMALNDDELIGDENDEEKLEIAEVNSKILKENSEMINEYFSIHVDQDGNLTRLPVVLDQYTPDMDRLPEFVLTMGNDVTWDDEKECFRMAAAAIGNFYALHPPILPNPSGSGVQLYKKNKDYMASGEHVDSTDEDDIDHELLAEAETAWSQREWTIQHVLFPSMRLFLKPPKSMATDGTFVQVASLEKLYKIFERC >Sspon.07G0030980-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7C:15065133:15067732:-1 gene:Sspon.07G0030980-1C transcript:Sspon.07G0030980-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SFVLCPLPLLKELVVASSSLLKELHDVIVQGLDLYITHGYHRRIVQQAGGENVFIAGSYGEAVVMRSTSSPPIGAITIGFDDTGDDRGVAGRSICSSDRNFIPDLNEALVSSSIPPTSTPPSSSRVAVSVALNLCLVKVRIIPPLPLCIDYGLGTRLGTPIA >Sspon.04G0017740-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:68092372:68094346:-1 gene:Sspon.04G0017740-2B transcript:Sspon.04G0017740-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASTRRRHISSRMAVPAPVVLGVAMVVVVLASSATGASGQLRMGFYAESCPGVERMVGDFVRQHVRRVPTVAAALLRLHFHDCFVRGCDASVLLNSTAGSVAEKDAPPNLTLRGFDFVDRVKTLVEEACPGVVSCADVLALAARDAVVAIGGPSWSVPTGRRDGTVSTMQDALNDIPKNTMTFPQLANLFASKGLGVRDLVWLSGAHTIGIAHCSSFADRLYGYPGAGNDTTDPSLDATYAANLRRRKCRAASGGYAEDAVVEMDPGSHLTFDLGCYRALLKHRGLLRSDAALLTDAAARADVKGVVGGPEEVYFQVFARSMARLATVQVKTGADGEIRRNCAV >Sspon.02G0015350-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:41816761:41824820:1 gene:Sspon.02G0015350-1A transcript:Sspon.02G0015350-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNRHLCCLSLLLLLRLASGQVLFQAFNWESWKQSGGWYNLLMGKVDDIAAAGVTHVWLPPPSHSVSTQGYMPGRLYDLDASKYGTAAELKSLIAAFHGKGVQVVADIVINHRCADYKDARGIYCIFEGGTPDGRLDWGPHMICRDDTIYSDGTGNLDTGADFAAAPDIDHLNDRVQRELTDWLLWLKSDLGFDAWRLDFAKGYSAEVAKVYVDGTAPSFTVAEIWNNMAYDGNNKPEYDQDPHRQALVDWVDKVGGAASPATVFDFTTKGILNAAVEGELWRLNDPQGKAPGVIGWWPAKAVTFVDNHDTGSTQAMWPFPSDKVMQGYAYILTHPGNPCIFYDHFFDWGFKDEIAALVAVRKRNGITPTSELTILEHDGDSYVAEIGGKVIVKIGSRYDVGHLIPAGFEVAAHGNDYAVWEKAGAEEVTR >Sspon.08G0025080-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:31237300:31239583:1 gene:Sspon.08G0025080-2D transcript:Sspon.08G0025080-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MANDWAIGGWIKRLLAEDFSASCKEDRFCVPCAAAFCHHCCGAHHRGQGHEVVVRAEAEPGAAQGHSGSSSRGRDSFCVSCRAGFSAALCAHHSGHDTFRIDVREGRYCARCTGSEPWFHVFDGINLTMTRTRVTYWFRCILVLVVVGAAE >Sspon.01G0006430-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:20024072:20026438:1 gene:Sspon.01G0006430-2B transcript:Sspon.01G0006430-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MESAVNPKAYPLADAQLTMGILDIVQQAANYKQLKKGANEATKTLNRGISEFVVMAADTEPLEILLHLPLLAEDKNVPYVFVPSKQALGRACGVTRPVIACSVTSNEGSQLKLQIQGLKDSIEKLLI >Sspon.05G0019910-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:80463974:80467881:1 gene:Sspon.05G0019910-3D transcript:Sspon.05G0019910-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RQMRRGAALAVVLATVGLVRDEGGELPRARGGGPSSTYGEPETLDSAGVEQALVSISTGVMNSLLNKLTILMDEQYLKFNMSKELSSIRDELSTMNAFLEILADKEELDPLTKDWKSQVREMAYDIEDWIDEVIRHASQDGTTAGFIQKIIQHIMKYNRSRLCVMEVSHRRKRYKIDVSTSRSKYVPVDPRLHALYADEDGLEGIDGPRNQLVKWLLDEDQRLRVVSIVGIGGLGKTTLANEVYKRIGEEFDCQAFVSVSQRPDLTRILTNMFSQLGQQPPSQPSEVQNLINVLREHLQDNRSMILPNDVNYQNEVLSCKVHDMMLNLILNECAEENFMTKNDRSDVSLCLHNTVRRLSIQYDNGKQSVISPATNLSHVRSLAAFGDSSFLHMHPLSEFRFLRVLIVEFSDVFYEMKLDFTGVCNLFQLRYLKIETNINVQIQLPVKIGKLQQLETLDIEWGSVVIPPDIVSLPHLTHLIIPESTRLPDGIGNMKSLVTLQSFDLGENSIDNVRGLGQLTNLRDLNLCNSGTSTSNVALCVDVLCSSLEMLCNLKHLYLYWPGICGSGLSSLHPAPCHLQTLEMVYWWFSKVPKWVGELQNLQVLKIAVTELSTEGFLVLARLRALTNLGLLTQVPPTEGITIHGMAFPALKYFKYWSRIH >Sspon.02G0021500-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2B:70969196:70969936:1 gene:Sspon.02G0021500-2B transcript:Sspon.02G0021500-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding PRELWWLWATLAAVSLLLYYLMTNQRRRWGLRSGRRPPGPRPLPLIGNLLDLREGNLHHTLARLARAHGAPVMRLDLGLVPAVVISSRDAAREAFTAHDRRLAARAVPDGKRALNFCNRSMIWLPSSDPLWRTLRGIVASHVFSPRSLAATRAVRERKVRDLVAYLRGRAGMEVDVKEAVYGGVINLVSSSLFSVDVVDVGAESAHGLQELVEELIECIAKPNVSDFFPSSGRSTSRDGGVGWPGTS >Sspon.03G0028290-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:9163673:9164101:1 gene:Sspon.03G0028290-1P transcript:Sspon.03G0028290-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine proteinase inhibitor [Source:Projected from Arabidopsis thaliana (AT2G31980) UniProtKB/TrEMBL;Acc:A0A178VSQ8] MAGCMLIAVLLMAATAASARPDDLAGSGAGGIRQPSDEYRGRKVGARTEVRDVEGDGEVQELGRFSVAEYNRQLRGGGSRLEFGRVVAAQRQVVSGLKYYLRVAAEEEGAENTGERVYDAVVVVKPWLESRTLLTFAPAAAK >Sspon.02G0001690-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:5725855:5728009:1 gene:Sspon.02G0001690-2C transcript:Sspon.02G0001690-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKITRIAKEVVEDFAAENVVYLEIRTTSKNNEAKGMTKRSYMDAVIKGLKEVEAVDVVLFDSSFRTNETLNSKLLDGDTKKKKIYVRLLLSIDRRETTSAALDTVNLAMEMKDQGVIGIDLSGNPAVGEWETYLPALQHAKNLGIPITIHCGEVANRKEIQAVLDFWPQRLGHVCCLNDVEWKKLKALMIPVEICLTSNVMTGGAPSIELHHFADLYNAKHPLSLCTDDSGLFSTSLSNEYYLVATTFGLSKSELFQLSQDAVQFIFADDVVKKSLKEVFKHAEKRLLVWDEFAAPS >Sspon.04G0031760-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:16507499:16508414:-1 gene:Sspon.04G0031760-1C transcript:Sspon.04G0031760-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLAMGRLLGWKQEAPIVLLGLGGAGKTAILHKLKLGHAVTTGPTIGFNAETLEYNGISFRVWDVGGQVKLRGLLRHYLYGAQGVIFVVDSSDREWILQAQDLLNMILNECQDEFKVRDPALLVFASKQDRPNAMSAAEISDKLGLQPLLCNRRWHIQSSCAISGEGLHEGMDWLCANIQ >Sspon.01G0019990-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:71852569:71856305:-1 gene:Sspon.01G0019990-4D transcript:Sspon.01G0019990-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFTGGADGNGHLPRPRRPRRGGVGHAGVMVSPQGQVVPGAHPHPAAPPCTDYDVAYFKAYSHIGVHEEMLKDHVRTSTYRNAIMHHKDLISGKVYAVDASDIALQAMEIVRENELSDKVVVLHGRIEDVNIEEKVDVIISEWMGYMLLYEVAQVDCYTIQAQELETITAAFKFTSMLQ >Sspon.07G0029590-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:2737145:2739886:-1 gene:Sspon.07G0029590-1P transcript:Sspon.07G0029590-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAGAGGGVGGKVSFKIILTSDPKLPFKVFSVPEAAPFTAVLKYAAEEFKVPPQTSAIITNDGVGINPQQSAGNVFLKHGSELRLIPRDRVGAVVVPSY >Sspon.06G0007630-3D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6D:28134945:28138571:1 gene:Sspon.06G0007630-3D transcript:Sspon.06G0007630-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:BR receptor kinase, Brassinosteroid (BR) perception in the roo [Source: Projected from Oryza sativa (Os08g0342300)] MGAARMAAPISMLLLLLHLARAVAAGTDDEAAALLAFKLASVTADPAGRLASWGEPDSTSGSGSPCEWTGVSCADGRVRALNLSGMSLVGRLHLDTLLALPALQSILLGGNAFHGNLTHRALPRRTAPPCVLVDVDLSSNAFNGTLPRAFLASCSNLQLLNLSMNTLTGGGFPFPPSLRTLDMSRNKLSDTGLLNYSLNACHGIQYLNLSANQLTGRLPEFPQCSQVSVLDLSGNLMSGALPGRLLTSAPANLTHLSIAGNNISGDISRYEFGGCANLAVLDFSYNRLSAMGLPPSLANCHHLNELDMSGNKVLSGRLPKFLGGFQALGRLALAGNNFTEEIPDKLSLLCGTLVELDLSSNHLIGGLPASFSRCRLLEVLDLSSNQLSGDFVVTVISKISSLRVLRLPFNNITGTNPLPTLAADCPLLEVIDLGSNMLEGEIMPELCSSLPSLRKLLLPNNYINGTVPPSLGSCSNLESLDLSFNLMVGQIPSEVMLLPKLVDLVMWANNLSGEIPDMLCSNSKTLETLVISYNNFTGVIPPSITTCVNLIWLSLAGNNMTGSVPSGFGNLQKLAILQLNKNSLSGPVPAEFGHCSNLIWLDLNSNNFSGAIPPQLAAQAGLITGGIVSGKQFAFLRNEAGNICPGAGVLFEFLDIRPERLAQFPAVHSCASTRIYTGMTVYTFNESGSMIFLDLSYNSLTGTIPASLGNMTYLDVLNLGHNDLTGAIPDAFTGLKAIGVLDLSHNHLTGVIPAGLGCLNFLADFDVSNNNLTGEIPTSGQLITFPASRFENNSGLCGIPLDPCMHNASTGGIAQNSRNGRMKFLEEFVLLAVSLSVLMMATLVVTVYKLRRPRESKTEEIQTGYSNGLSSSTSISWKLSGSREPLSINLAIFENPLRKLTYAHLHEATDGFSPEALIGTGGFGEVYKAQLKDGSFVAVKKLMHFTGQGDREFTAEMETIGKIKHRNLVPLLGYCKIGDERLLVYEYMKNGSLDVMLHEKGKTDASLDWATRKKIAIGSARGLAFLHHSCIPHIIHRDMKSSNVLLDDNLDAYVSDFGMARLVNALDSHLTVSKLLGTPGYVAPEYFQSIICTTKGDVYSYGVVLLELLSGKKPINPTEFGDSNLIDWAKQMVKEDRCNEIFDPVLTDTKSCESELYQYLTIACQCLDDQPSRRPTMIQVMAMFKEFQIDSGNFFLDGFSIDSDRGII >Sspon.02G0007520-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:21995706:22004382:-1 gene:Sspon.02G0007520-1A transcript:Sspon.02G0007520-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLTGGFGRQRVIWFQELRDIAAKEAVKGNHFFLEADIKGPGLKLDNTGKAILTVLRHLGRFHEVRIGHHRLPFLQRRSSVELYIKLFPLQLPGAADLATKGLLERKISMGSYANGSTRADDDPPSVEKLKEPAFPLKTMPLHANGWLNDMKISSPTAIRVNIGNNVAFDPIYRAWTKKYPSALNAFEKIVAYGKGKKIALFLDYDGTLSPIVDEPDHAVMSDQMREVVRSAALHLPTAIISGRSCDKVFDFVKLTELYYAGSHGMDIMGPVGKTGSTTDHRSSTNSSKKQNKEMKIFQAASEFLPMIDEVKKKNSGFTTPYTEIKRRVMQVFRLLVDKVRGIDGAKVENNKFCVSVHYRNVNEKDWPHVARCTDDVLKAYPRLRLSHGRKVLEVRPVIDWNKGKAVEFLLDSLGLADSDKVLPIYIGDDRTDEDAFKVRTVLREDKRGFGILVSSVPKESHALYSLVDPPE >Sspon.02G0001100-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2B:4611490:4612140:-1 gene:Sspon.02G0001100-2B transcript:Sspon.02G0001100-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding PPATTRDGLLAAARRRLLSVSTEAAGAGNPAVHSGDAPSDDYMDRPPRFSGAEEATGAGGRVGKHTVGKAAETPGHAEAAAESVPPFAPSGKKPPLAGSEHELADPATPGGSESAARKVREEDREYYRTHKPSPLAEVEFADTRKPITRATDGSATDRYADVPGLMVEDTVDDSLARAEAMFREAAARGNPEWPHSRALAEMLARRELGKDAAGRAA >Sspon.01G0046990-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:100719321:100719949:-1 gene:Sspon.01G0046990-1B transcript:Sspon.01G0046990-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASIELTKEYGYVVLVLVAYAMLNFWMNFQVGKARRKYKVFYPTMYAIESENKDAKLFNCVQRGHQNSLEVMPLFFVMLLLGGLQHPVVAAGLGALYTVARFFYFTGYATGVPANRMKIGRLSILAGVGLIICTALFGINLVVREML >Sspon.01G0018490-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:68840622:68846406:-1 gene:Sspon.01G0018490-1A transcript:Sspon.01G0018490-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMGVPSWPNLQCLVRRKPATASAAGWAEDADRGGGQRLARTLSVPHLAAIGVGSTIGAGIYVLVGTVAREHTGPGLTLSFLIAGVAAALSALCYAELSCRFPSAGSAYHYSYICIGESVAWLIGWALILEYTIGGSSVARGMSPNLALFFGGQDKLPFFLAQVHVKGLDTPLDPCAAILVLIVTALLSLGIKESSSVEGIITTANIIVMLFVICAGGWLGFRNGWVGYKVPEGYFPNGVSGVLSGSATLFFAFIGFDTVASTAEEVKNPRRDLPLGMGLTLSLCCFLYMMVSAVVVGLVPYHMMDPDTPISSAFARYGMQWAEYVVSSGAVLALVASLIGGILPQPRIIMAMARDGLLPPLFSDVNRKTQVPILSTVLIGICAAILAFFMDVSQLAGMVSVGTLLAFTTVAISVLVVRYAPPYEMPMEVALAGSSESLASFSGHLEHDEQNSEDPFGNVQEALTVIEVASKVRRQKAIGSIILICVGAVILISAVSVSSLPFYVQTIACTVGGLVVLSSSIVLLCIGQDKRFLGQTGGFMCPLVPLLPICCIIVNLYLLMNLGSHTWIRVSIWLVAGALIYFFYGLKHSSLAGMAYHRISSPL >Sspon.01G0008970-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:27435543:27444244:1 gene:Sspon.01G0008970-3D transcript:Sspon.01G0008970-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPADGGGEQPHKAHRQHKSGAKARKKKGKGKGDGGDDAGASRRTPRYDADSIKLAIGVRGVTCSGDMAKAKRLQARSAEIEQRRLHVPIMDRSIGEPPPFVVVVQGPPQDTFEFLNIMQVHGFPKVMGVLTHLDKFKDVKKLRKTKQSLKHRFWAEIKEGAKLFYLSGLIHGKFEDVTPTESVRLNRKCDRTITLYGYLRGCNMKRGIKVHITGAGDFSLSGVTGLADPCPLPSSAKKRGLRDKEKLFYAPMSGLGDLLYDKDAFSKSDENDAPKKQGKGKDVGVALVKTLQNTRYSLDEKLEQSFINFFGGRPAAQSKDSDAEGNVISVSQDDQGDTNLQQVDNGNNSNAVTMESNEHSEGSSDSEEDNDDIQLRDRDVDLREEVEICNGRLRRKAVSANFLDDVDDEGTDEDGGDDEDSSDDQLSGDSASADDSGEASDSEDEFENTSKWKESLLARTLSRRSANLMQLVYGLPSTKLGGVALEENDDSEANSSDDEFFIPKGQKQAKNESPSFDDIDAEDCSKFFKAELRDWSNEDLIKSIRDRFVTGNWSKAALRGQDTDENGDDGEEIYGDFEDLETGELKEEMELRKQMNMSELNDLDEETRVDIEGFRTGTYVRLEVHGVPFELVEHFNPCHPILVGGIGLGEENTGFMQASLKRHRWHRKVLKTKDPIIVSIGWRRFQTTPVYAIEDRNGRHRMLKYTPEHMHCFAMFWGPLAPPKSGVLAVQNLSNNQTDILCNNAYTSSQVPFRITATGWVQEFNNTARIVKKIKLTGTPCKIFKKTALIKGMFTSDLEVARFEGAAIRTVSGIRGQAAKIEPGDMLKRKGENTEGIARCTFEDRILMSDIVFLRAWVNVEVPTYCNPVTTALQPREQTWQGMRTTAELRREKNIPTPHNKDSVYKPIERKPRKFNPVEIPAKLQQLLPFKSKPKDTPKQKKVPVENRVPVIMQPSEKKTHAAIQQLRLIKQEKAKKKKIKEQQKKKAYEAEKAKTELLTKKRQREERRERYREEDKQKKRARR >Sspon.06G0010470-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:45127488:45130105:-1 gene:Sspon.06G0010470-2B transcript:Sspon.06G0010470-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPANRIDEQNSFFFLLPVAVLLSPHSGRTLIGAERRTPIQPSSDSDLSPRCGDRGIKSNPFPGKERMEFGRKKPLSLLELCVRKAIDNLRYMESVDGVEMDLLKRILPHCTLEHLTNIEKNTKMDLSSVTDPLWKRFYYQEFGEEHTNKVIARLKALKETRPDAKYTWWRLFEARKEKQKQAEDEMVEKFTKKFQAQKAEKQSKQIKLCTKVPPSSKRSFFGGSGPSSLSNCSYKSPILKKARMEVDRSSQSIRTTSFNGQPVRTTTIHRPNSTITVTKPILRPNSTITVTKPMGASRLHRANSTINKPMGVGRQIQNSRSKF >Sspon.07G0013760-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:18872002:18872796:-1 gene:Sspon.07G0013760-1P transcript:Sspon.07G0013760-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DNGVFLRRARRLVDRRGRFPCCFRQRRHPRSGGEVRPWALEPCPCPCGGPRQRAAVQLPVPAQGRRRLPRQGLLHLRLLHPGRQDVPQVRRHGRPQHPQARGRGLLRANLSRDHRRLGDGAGRPVRVGPVLQGGDQPGEQLLRRDGQAVAVLPGQVLPRAGPHPAVLELQLRAGGAGAGLRRPAQPGGGGQLLRDRVPDGAVVLDDAAPAQAVVPRGHGRRVPPDGRRRRGQPDGRLRARHQHRQRRARVQSHRRCPGQQQDWVL >Sspon.04G0014430-4D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4D:60515829:60521752:1 gene:Sspon.04G0014430-4D transcript:Sspon.04G0014430-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLQQQVLTLVRVCVKLISRNTLKLKRFLVVSWRILGVLKGVM >Sspon.06G0025070-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:56679143:56682436:-1 gene:Sspon.06G0025070-3D transcript:Sspon.06G0025070-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNDLVLQARKLIRRLDDIKYYSDHLSLSENDGERRYTPDISSVRHTSSVVFEKSILGRDQDKEKIIDNEVVARLIQTIPFYPLNCLSFDESWSLFCKAVLTAEQESDDIPTNLIKIGKSIVIKCKGLPLAIKTLGSMLHYENDQRRWMNVLESELWDLKEPRIGGLRSLKYLTVHHMRYMECIALRSSTQLLAGEKEFPSLTELQFVTMPKWSEWSGADARKFPCLNTLWLSLCPKLSSLPLGPFRCLITLKLTCCYHWLRFLHPWLLGSRMAMSKLTSYSPITSETAHIWVSKPHFHLVLFLLAAPHGGELSLFAPQTYNHVQSAQFLHSPPVHLEYLMFGLNDEVLYSPLNDFLSLHTVLKY >Sspon.05G0010840-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:25404935:25410379:-1 gene:Sspon.05G0010840-2B transcript:Sspon.05G0010840-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQINCFYSGGMPSDALYRELWHACAGPLVTVPRQGERVYYFPQGHMEQLEASTHQQLDEYLPMFNLPPKILCSVVNVELRAEADSDEVYAQIMLQPEAVQNELTSLDPEPQEPEKCTAHSFCKTLTASDTSTHGGFSVLRRHAEECLPQLDMSQNPPCQELVAKDLHGTEWHFRHIFRGDLHSSLCLKIPSIEKTSRSDFIVSVNKYLEAKKRKISVGMRFKMRFEGDEAPERRFSGTIIGTGSLPAMSKSLWADSDWRSLKVQWDEPSSILRPDRISPWEVEPLDAANPQSPQPSLRTKRPRPPASPCMVSELPSGFGLWKSPTESSRTLSFSEPQRARELFPSIPTSTFSSSSNVSFNSKNEPSMLTSQFYWSARDTRADSCAASTNTVIVEKKQEPSSGGCRLFGIDICSAEEEVLPVVTAPGVGYEQTAASVELNSDKLSQPSDVNNSDAPAASSERSPLESQSRQVRSCTKVIMQGMAVGRAVDLTKLSGYSDLCQKLEEMFDIHGELGSTLKKWRVIFTDDEDDMMLVGDDPWDEFCRMVKRIYIYTYEEAKKLTSKSKLPVSSDSSKLSAVNSLSE >Sspon.05G0006630-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:20783569:20785018:-1 gene:Sspon.05G0006630-1A transcript:Sspon.05G0006630-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVILTARDEKKGSEVDMLHGSGLPDVQFHRLDVSDPTDAARLTQCIEQLAGKSTTERLQWLVQHSTESYEEARECLKINYFGTKFVTEALLPLLSFSNGRLINVSSTYGLLRYFSGEDLKQELNDIDNLTVERLDEMSGLFLKDYKNDQLKSHGWPADSGCLAYKVSKALINGYTRMLAKAHLELRINSVHPGFCKTDVNFHTGEFTAEDGASCIVAVALLPEGGPTGMFFSRTEEAPFV >Sspon.02G0027680-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2A:100304427:100304848:1 gene:Sspon.02G0027680-1A transcript:Sspon.02G0027680-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GSRAAPRVPGSEAADDADADYQDKQLRKQSSEMQTQPTVKLEHQQWCGSSGKTETKLAEDFNLELTLATGAGRTKQEKPSNSDSEATMSSSTSAESESGQRFMPNSNVTNLRFQNESNRHDDQVMQSPWRYQCLSLKMA >Sspon.04G0020470-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:80298669:80308800:1 gene:Sspon.04G0020470-3D transcript:Sspon.04G0020470-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent helicase BRM [Source:Projected from Arabidopsis thaliana (AT2G46020) UniProtKB/Swiss-Prot;Acc:Q6EVK6] MQQQQQKAAQGMLLQQQQQAKMNMAGSSSRDQDMLNNPAKMQELMALHQAQAQMYKRQCEQKEQGQSSSSEQRSGDMRPPMPPQGVPGQQLPPMGMIRPMQPMQGQVGMGSAGGGPITPAQFQAIQAWAKEHNFDLSNPANMSAISQLLPIWQNNRMAAMQKQNEANMAAQQQAMPSQVNSDTPGHGNAPSQGALLKPRQPLTPSSVSGGEEAKVVNSSNLQLQQQLSVHNRDGSNERAVRSPMTGGNDTMQMQHVRQMQQLNQVAAPTSTPGEAGGSQVSTPSARPQTGQTGFTKNQLHVLKAQILAFRRLKRGDRLPPEVLELIVSGRPPDSQGGPQQVSGPQATNNREKPGVINADEHGRQMESGDKAPEKPALSKGHCLPKVEVSASEDKASPASGPGPMQVMKASPKEPLKIGPVSVPEHSNTTVIKSEQDLERSIQRTPGRSDYNAERGKSVPAESGSADAEQAKRTGSTSSAPAPRDVPRKYHGPLFDFPSFTRRHDSMGPANYNSNLSLGYDVKDLLAQEGMIVLGKKREDNLKKISGLLAINLERKRIRPDLVLRLQIEEKKLKLLEHQARLRDEVEHEQQEIMAMPDRIYRKFVRQCERQRVELVRQVQQMQRASREKQLKSIFQWRKKLLEAHWAIRDARITRNRGVAKYHERMLREFSKKKDDDRNKRMEALKNNDVERYRQILLEQQTSVPGDAAQRYNVLSSFLTQTEEYLYKLGGKITAAKSQHQVEEAANAAAAAARAQGLSEEEVKAAAQCAGQEVMIRNTFSEMNAPRDNTSVNNLAHAVSERVTKQPSLLRAGTLRDYQLVGLQWMLSLYNNKLNGILADEMGLGKTVQVMALIAYLMEFKGNYGPHLIIVPNAVLVNWKEVMAMKFNVLVTTYEFVMFDRSKLSRVDWKYIIIDEAQRMKDRDSVLARDLDRYRCQRRLLLTGTPLQNDLKELWSLLNLLLPEVFDSSKAFSDWFSKPFQRDGPTHSEEEDDWLETEKKDSIVLRCRMSAVQGAIYDWIKSTGTIRVDPEDEKRRAQRNPMYQVKTYKNLNNKCMELRKLHKAGHRVLLFSTMTKLLDIMEDYLQWRRLVYRRIDGTTSLEDRESAIVDFNRPGSDCFIFLLSIRAAGRGLNLQSADTVVIYDPDPNPQNEEQAVARAHRIGQTREVKVIYLEAVVDNISSYQKEDELRNGGSADLEDDLAGKDRYMGSIESLIRNNIQQYKIDMADEVINAGRFDQRTTHEERRMTLETLLHDEERYQDSVHDVPSLQEVNRMIARTESEVELFDQMDEDFDWTGDMTKHHQVPKWLRVNSTEVDAVVASLSKKPSRNMSSGGIALDTNDTPEKRRGRPKGTGKYSIYREIDDEDLEESDEDSEERNTASLPEEGEVGEFEDEEDNDDSIPDNKDESEEEEPVNDDVYEFTEGLRSRKANRMEEAGSTGSSSGSRRLPPPVPSSSSKKLRSLSALDARPVDYIIKHLTGDLQPDDLEEGEIAMSGDSHMDFQQSGSWNHERDDGEDEQVLQPKIKRKRSIRLRPKPNAVKQEDRSGEGVFAQHGTHLAFQGDGHYDSQFKSNLDARAFTCPAVRQQDAVHPIVKQKRNMPSRKVSPASRSGKLTYLSGSGEGSAERSKENWNSKAIDSATPEFRGTKMSDSMQRKVRIEAETLHNLFFNIMKIAFPDSDFSEAKNAMSFSNPGGAASGAAAPSSKHTTSVHKRRASASASASEAEQHGSGHSRHNQSSEVPSRPHSSRSERDPRHSGSSSRDQLQDGAGLLHPSDMFIVKKKRQDRARSGIGSPSSSGRAGPLSPANPGRPGPVPSPRGARTPFQRDPHPSQQSMHSAGWGAHADQGGSSSAPGIGDIQWAKPAKRLRTDTGKRRPSIILAACLCTLE >Sspon.02G0034550-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:16549910:16551613:1 gene:Sspon.02G0034550-2C transcript:Sspon.02G0034550-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding PWRWTAWMARRRSRRWTRQGGSGGTRTCWGSGPSRRCTAGSTRRRGSRWRGTASGCARWLTATPAWWTASTPRCASSARSATTTSSASTRCGWTATPACSTSSPRSAPPGASASTATATATSPSRRSRSGRARSWRVSTTSTPTTPASSTATSTAATSSSMATTARSRSATSGWRRSWTRRTWRTPSWARRSSWRRSSTRRRTRSRWTSTRTGCACWRWSRGRCRTPSAAASCTSSTTSPGASRPRRSSGSRTPSCGASSSAASASPGTGPRPPSSSRTRSSTASAAETTTTRLLSLQRHRRHARAAPQELRRRPRRAPAGL >Sspon.07G0009580-4D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7D:24238356:24239139:1 gene:Sspon.07G0009580-4D transcript:Sspon.07G0009580-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQLLVALLDMFPQVTMPFFILHGEADTVTDPEVSHALYERAASTDKTIKLYPGMWHGLTAGEPDENVELVFSDIVSWLDKRSRHWEPDERVRTQPEPENNHSQAATTKITRVTSSSGGTEST >Sspon.07G0015950-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:62050055:62053137:-1 gene:Sspon.07G0015950-2B transcript:Sspon.07G0015950-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKKYRLHIVGEVCHEAIVENGRLKMNVIRGKQIPRIEVILKHGDEQVPATHHLASLRVELVVLEGKWYIEESTNWSRKEFVDHFMMASSKNSSARMTVERGKFNLDGGRKVYTVASIKESSWKQKVRLGVMVVDDGSVQERVLEGVSSNEFYVIHERRGDPTANKESKRPTTGNSELNTNGNIRGTIPLPRQDYGPNSEGLPASVQTE >Sspon.03G0004660-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:11899106:11902467:-1 gene:Sspon.03G0004660-1A transcript:Sspon.03G0004660-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNTKARSSISFRERVTAILGSWANCFTRRSEIKEAHQNPPIERQDVSASPIPRISSTSSTSNTIQNISRQRGDSSQTKSWQEQFSFQEICQATSNFSEQNKIGLGNFGTVYKAKLRDGSIIAVKRATKMHGGHLSAEFRSEIQMLSKVEHLNLVKFLGYVEYEDERLILVEYVSNGTLRQHLDGSKGEPLEFAQRLNIAIDIVHAIAYLHGYTDHPIIHRDIKSSNILLTEQLRAKVADFGFARLAPENPEATHVSTLVKGTAGYVDPEYLRTSQLTDRSDVYSFGVLLVELITGRRPIERGRGRGRHQRLTTEWALRKCREGFAVVVMDPRMRRTSAVVAAVEKVMALAAECTAPDRAARPAMRRCAELLWSVRRDLQQEQQRAAAASAGARRHDGSTYAYAPPSVTRHG >Sspon.05G0000360-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:1021842:1022243:-1 gene:Sspon.05G0000360-3D transcript:Sspon.05G0000360-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSEAGAAATVIPIDDVARDHGKAPAVATAPPPPAAAAMTTAPRKTGVPFFRRADRGSRCVALLDFVLRVAAFGPALAAAIATGTSDETLSVFTQFFQFHARFDDFPALLSVSFARPSSDPTIPVIYPCMSN >Sspon.07G0013880-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:55920209:55923036:1 gene:Sspon.07G0013880-2B transcript:Sspon.07G0013880-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQHHLRRCGGGALAALRRLRHSASSAAASPPAAWRRPCPPPRLYSTAEMSQQLPANLVGIMEQRMKLIEQRSAYLQEQINQPAASPEEYSRANKEFRKLESTMELIKELRSKQEVEIEGLKSLVTNAREEKDMREMAAEELLEAVEEEKRLQNELFRSLLPKDEADERDCILEVRAGTGGEEASLFAMDIFRMYEKYAQKNGWKFDVIDIMESAVKGYKEASGTISGPGVFGKLKFESGIHRVQRVPVTEKSGRVHTSAVSVAVLPQADEVAIRYSFFFWHVPLKFVHLDSYGMTITVIKSFLLKIQVDVQLRNEDLRIDTYRSGGSGGQSVNTTDSAVRITHIPTGTVVAIQDERSQHMNKAKALKVLRARLYELERHRLHMDRSKLRSEQIGSGDRSERIRTYNFPQGRVTDHRVGITHHSIADVMEGESLDVFIDALLLQEEMDAIASFGA >Sspon.06G0008170-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:33781714:33783589:-1 gene:Sspon.06G0008170-2B transcript:Sspon.06G0008170-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHVNVVYQLLQASLAQRLLLLVPLLLMLLHFASRSRRGSNEKQKRPKHIPPPSPPALPIIGHLHLVGDLPHVSLRSLAAKHGGLMLLRFGTVPNLVVSSARAARLIMKTHDHAFASRPASKISNTLLYGSSDIAFSPYGDHWRQLRRLVTTHLFTVKKVNSYRLSRQEEVRLVIKKIHEAAAASKEVDISEMMNTFANDIVCRAVLGKFFRAEGRNKLFRELIEMNTILFGGFRLEDNFPGLANVLGLLTRWFVSNKADEAHKRWDDLLETIVSDHERRRRSEHGHGGGGGADQEESDFIDVSLSVQQEYGITRDHIKAILMDMFAAGTETSSLVLELAMAELMRHPQLMSKLQAEVRKNTPKGQEMVEQDNLASMPYLRAVVKETLRLHPPAPLLLPHLSMVDCDVDGYRIPSGTRVIINAWAISRDPESWESAEEFVPERFMDAASAAAIDLRGNDFQFVPFGAGRRICPGLNFGLATVEIMLANLVYCFDWGLPIGMKEADIDMTEVFGLTVRRKEKLILLPEPHACT >Sspon.01G0000720-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:2013073:2017064:1 gene:Sspon.01G0000720-1P transcript:Sspon.01G0000720-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTLTPTQRYAAEALLALALRQAQIHQSAPLGSADPGPDDEERASSASGSSSSTTSGSDTASGAGLWTHDSRGLLRPVFRCRVADSPPWPLASLTHLRSVPPVSCFRFLEIEPMAWAGMEETAASPDAKHHIGAFLRILFEEDGESSSDRLEHELALAKAVDVMVMSLGSDNVPDEKIKEESKDSTACTSATQESLEAGSSENLLGIDKLSLEDVPANDHRKMALLYALLSACVADKPVSQEEEDRKSSHFRKGYDARHRVALRLIAAWLDVKWIKMEAMEVMVACSAMAAAKEQEQERENASPKSKWEKWKRGGIIGAAALTGGALLAITGGLAAPAIAAGFGALAPTLGTLVPFIGASGFAAMAAAAGSVAGSVAVAASFGAAGAGLTGTKMARRIGKVKEFEFKPIGDNHNQGRLAVGILVSGFAFDEEDFWKPWEGWKDNLEKYILQWESKHIIAVSTAIQDWLTSRLAMELMKQGAMRTVLSGLLAAFAWPATLLAATDFIDSKWSVAIDRSDKAGKMLAEVLLKGLQGNRPVTLIGFSLGARVIFKCLQELALSNDNEGLVERVVLLGAPVSVKASASLQMVAGRFVNVYSRDDWILGVTFRASLLTQGLAGIQAIDVPGVENVDVTELVDGHSSYLSAAQQILEHLELNTYYPVFVPLPEARK >Sspon.03G0004160-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:10505673:10506825:1 gene:Sspon.03G0004160-1A transcript:Sspon.03G0004160-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAAVASVSPAAAALAPGGAVRSARIASLHPCHSSTKIVLLPPPNGLLRSVRQRRRFGPRSSISESSTDDSQSPKQEKAPFGYTRKDVLLIGLGVTAFGVGLKYGLELLGVDPLQAGNVVQLLVVLGMTVGWISTYMFRVANKDMTYAHQLRDYEKQVMEKRLESLSEAELQALLEQVEEEKQRLPPVPEEPNAITFKKK >Sspon.05G0029020-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:69889601:69890610:1 gene:Sspon.05G0029020-2C transcript:Sspon.05G0029020-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLTACFALSAVLVLATGICSGNGQPLLEEPFPTDPVTGCLQFRPGGYLPLAFPIVLDFLVRLPPLRIISRGNTSLSIAADDNGNVVLAKTNCRDLRQVHKKAHLWVQHFPYPCPCENRFSLVNLAKKNELRAMMILEDASRNNFHPSYKKKMMKLARYGPWNVPAYALWTQDTPLFCDGFYKIRSRYKDGRLVLDGLRGNVHEGTVVGAYPAHHSADNVLWKMEGFLSNP >Sspon.05G0022250-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:6968934:6989460:-1 gene:Sspon.05G0022250-3D transcript:Sspon.05G0022250-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKAGRGKGRGGGAKGDKRKKEEKVVPSVVDVTVVTPYESQVTLKGISTDRVLDVRKLLGSNVETCHLTSYSLSHVARGHRLEDGVEMVALKPCTLRIVEEEYATAEQAEAHVRRLLDILACTTAFAKPRDGATKHKSSKHGRPATPPSPPAPASTGAHGVGGGEAAPPISEAHDMAAIRPPPKLGEFYDFFSFAHLTPPVHFIRRKEANGASQEGDYFELEVKVCNGKLLHIVASVKGFYLAGKQHNVSHSLVDLLQQLSNAFANAYEALMKAFVEHNKFGNLPYGFRANTWLIPPIYVDSATKCPALPVEDENWGGNGGGCGRDGKYDRRRWSREFSVLARMPCKTEEERVIRDRKAFLLHNLFVDTAIFRAASTIRRLIDQSMNSMGPRSGTHGSNVFEERIGDMNIMVKKDVADASSKVEDKVDGVAFCQTGAMDIAQRNLLKGLTSDENVVVKDSSTLGVVIVKHCGYTAIVKVSGRAKDSNDVKQSNDISDNFDGILNIDVHGHPDGGSNALNVNSLRIPLPRVIHPETAVGNQRPSPKSHASNPARKLARKILEDSLIKLDSMPSINSRIIRWELGSSWLQQLQKKDSPASENGKGNATKADKEPAVKGLGKHFEQLRKIKKKECNIEGSSSEKEECNSNCSSMNGTQVSDIAVDETNKWADISELISEDAFSRLKSLGAGLHEKSLDELTKMAHNFYDDTALPKLVADFASLELSPVDGRTMTDFMHTRGLNMSSLGRVVELAAKLPHIQSICIHEMVIRSFKHIIRAVIAAVDDMQNMSAAIAETLNILLGSPRLENGADTDAHIDNDLRLKWVESFLSKRFCWKLKDEFAHLRKFIILRGLCSKVGLELVARDYDMNSPNPFDKSDIVSIVPVCKHVVYSSIDGRNLLESSKMALDKGKLDDAVSYGTKALSKIIAVCGPYHRLTANAYSLLAVVLYHTGDFNQATVYQQKALDINERELGLDHPETMKSYGDLSVFYYRLQHIEMALKYVNRALYLLQFSCGLSHPNSAATYINVAMMEEGMGNVHVALRYLHEALKCNKRLLGADHIQTAASYHAIAIALSMMDAYSLSVQHEQTTLQILQEKLGQDDLRTQDAAAWLEYFESKALEQQEAARRGMPKPDSSIASKGHLRAHHGESVEEKENFQHDSGSPLEASKDGFQEEKLDVHPPAVLEENYAAHDEQKQCDVLSPEEYSDEGWQAASMRGRSANVRKKSSRRKPALMKLMVDRFEDGHTGSVYKTGLQPQTKGDREDSVSAPSQLSFGSFLKTDKLNGDPSIAEDKSCNGSAKPEQRTKPTGINRPTSIASKFISYKDVAVSPPGTVLKPTLEKKEAKEKDSSGHDIDLTLSSEEEDRKFTEKEKEKPSEDSSKEVLSSQQDLESHVEIAPDSNNDEGPSASKKASGSKLSASAPPFNPGSLLSMSHPYSTVAIYDASAVLQAIPSQAMQILPHAIDTRVPRGPRSTLYYRTGHSFQRKQGYTHSQSTIVRGSYSPTTMNPHAAEFVPGKTSQQSDVADREPSSPAVDVLSQTTDEVKAETPAAEKAGQVEKVVSSKGKENRGKDIVRNSYKTELARQILLSFIVKSVHDSLGSSQAEPERKPSGSDEASNEQSSNLGKNASGRKDSDKQAKATEVPKGVKDTEGFTVVSKRRRRPQPFMNPINGLYSQPLAAAAAAVATRIAR >Sspon.07G0013050-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:47294888:47298802:-1 gene:Sspon.07G0013050-1A transcript:Sspon.07G0013050-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKIVPGASAMKAKSSKKDQYLLKRRDPAPEAASAHRQPQLPDAPPPAPALALDDGPPGFPASDDPPTPPLPGSSIADEDFMMLQRRSPLVEVPPPAAHQAQPTEGPTSAAAVAPKKATKPKKPRKREREEGADADASPDSVDAAGEPKKRKKKKKLSDLNGAGNAAVVQDPNGLDLTQVLSDIRNLPLAPFHGADRRISDTARSFVLAFRSKYYKKSYENDPPEESKKMSLDKPTAAAAAAADGQSPKKKKPAAPRPGAGNNDPTKAGVKRGPSDRQGELAVKKKAKLEKIKTLSSEKKAAGLEQKDTAAAQQQAARAAGGKDNKAEMGGGGAAAKKKEPAPAPRVRTPSPTALMMKFPLKSTLPSVASLKARFARFGPLDVDGIRVYWKSHMCRVIYRFKADAEAALRYAKANAMFGQVDTQYHLREVESGTAGREPPLAAPAPEAPPPQQQQRSELRLMETASFRPGSSGNGAPLPMSRAAGAVPARPAVGQQQQPKSILKKSTDDGNSGTTREVSRVKFMLDGADGGKLEPLAPPASGVGGNGADSGVKAAKSVGFASSQPLQPPTRTLQPPMRPALLLQQQAPRAAVTQQLPPPPPLQLQQHLPYHQPRVADGPPLVPPQGQLLAYPPRGHGEGPSALPGPPPPLQYQPRPTGFPGGQHQQQQVGYPPRSGDSPPLVAGQQQQQQQFPPRPSNASAAAEEVNPAIVGNEEAKRQRSQCVEVIVGQVWLVGDGLVEGGFDWQAVWCGKAACLR >Sspon.05G0021240-2D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7D:76019539:76019770:1 gene:Sspon.05G0021240-2D transcript:Sspon.05G0021240-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAEAEEDGAVACEEGNEECMQRRLLGDAHLDYIYTQHKGKP >Sspon.03G0043230-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:78427816:78431444:-1 gene:Sspon.03G0043230-1C transcript:Sspon.03G0043230-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAGAGAGLQLIGAAAATLLAAALVAAVLWRRRRGPRPHAALVEGKPAPEAGCGTGAAGDGGTDVIIVGAGVAGSALAYTLGKDGRRVHVIERDLTEPDRIVGELLQPGGYLKLIELGLEDCVKEIDAQRVLGYALFKDGRNTKLAYPLERFHSDVAGRSFHNGRFIQRMRQKAASLHNVQLEQGTVTSLLEDNGTVKGVQYKTKSGEELKAYAPLTIVCDGCFSNLQRALCSPKVDVPSCFVGLVLENCQLPHPNHGHVILANPSPILFYPISSTEVRCLVDVPGQKVPSIASGEMANYLKTVVAPQIPPEIYDSFIAAIDKGSIRTMPNRSMPAAPHPTPGALLMGDAFNMRHPLTGGGMTVALSDIVVLRNLLKPLCNLHDASSLCTYLESFYTLRKPVASTINTLAGALYKVFSASPDQARNEMRQACFDYLSLGGIFSNGPIALLSGLNPRPLSLVAHFFAVAIYGVGRLMLPLPSPKRMWIGARLISGACGIILPIIKAEGVRQMFFPAAVPAYYRAPPTAASA >Sspon.08G0022290-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:59072402:59076619:-1 gene:Sspon.08G0022290-3D transcript:Sspon.08G0022290-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRGLRLGGRAAAAARWCTCRRVAVALCVGNLVAALLVARALYAPGSFAFAPRRGELKYSREQMRWVEDSIQIRRAAEPVELIEATLGFGVWCEKVKKLRKAFAREEKRRRGLPLELKQKVSLEILQRLHDLGENSSTTEQREAVEAWRVGKLMYMRSTSTKNLSNVGLSSEESRMLKRALEFNWQMLLEDIGLWIPPTIYHIEHDDKPENEPEDEEIIPGPPLPPECNTELHTDYGGTAVRWGLTHHKESAADCCQACIDQAKRARPGALKCNIWVYCPSEYGCYSPDKYEHKHQECWLKQADHPRLNFKDRYSEPYRDSHPTAPVVVPWMSGVITA >Sspon.06G0010830-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:58736234:58741015:1 gene:Sspon.06G0010830-1A transcript:Sspon.06G0010830-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGDDERSTSKHHHRDKDKDRDRSSSRHHRDKERDRERERSSSRHHRDDGDRDRDRHHRDKDRDREERKEREREEQKAREREEREREKEKEKERARRREERDREERERSRRRGDADGEEDDDRDRKRRRRSSHHHHRDPEPEPASREEEGVDAEEAERRRQRKKEEDMEAEQQRLDDEMERRRRRVKEWQEKRREQQQQDGGGAGGASAAVAAEADGVKAAGKKWTLDGEESDEEGDKEDGKKAEENGGAGDMDVDLPNGDGDANGGARMEEDEIDPLDAFMNSMVLPEVAKLESAAAAMDTAPAAGGDDKNGKSSKDVTSNGDKKGSRKAMGRIMQGDDSDLDYDDADEDGAGEEDEDDEEFIKRVKKTKAEKLAIVDHSKIDYQPFRKNFYIEVKDISKMTSEEVVDYRKHLELKVHGKDVPKPIKTWVQSGLTSKLLDTIKKLGFEKPMPIQTQALPIIMSGRDCIGIAKTGSGKTLAFVLPMLRHVKDQPPVVPGDGPIGLIMAPTRELVVQIHSDIKKFSKVLGINCVAIYGGSGVAQQISELKRGAEIVVCTPGRMIDILCTSSGKITNLRRVTFLVMDEADRMFDMGFEPQITRIVQNTRPDRQTVLFSATFPRQVEILARKVLTKPVEIQVGGRSVVNKDISQLVEVRPDTERFFRLLELLGEWYVKGKILVFVHSQDKCDSLLKDLFQHGYPCLSLHGGKDQTDRESTIVDFKSNVCSLLIATSVAARGLDVKELELVVNYDVPNHYEDYVHRVGRTGRAGRKGFAVTFISEEEERYAPDLVKALELSEQAVPQDLKALADRFMAKVKQGTEQAHGTGYGGSGFKFNEEEDEARKTAKKAQAREYGYEEDKSDSDSDEEGGVRKAGGDLAAQAIANAHAAAALVANKAANNVNQQIPGSATVPLIPLLAATNQQNDEATARALQAAMNLQQNLARIQAHAVPEHYEAELEINDFPQNARWRITHKETLAPIQDWTGAAITTRGTYIPQGKIVGANERKLYLFIEGPTESSVKKAKAELKRVLEDCANQALNLPGSAQTGKYSGAVRLL >Sspon.04G0016950-1P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8A:13450254:13451802:-1 gene:Sspon.04G0016950-1P transcript:Sspon.04G0016950-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GGAAVPTSLLFQPGRPTGGIPSRRGGGHGGTAGGRSQEAAADEEAHGERVAHVRVLRRPLPRLLLARRPRHPGHEGRLHGSILADAWAADPNTALRLACNLVGVRGTSKSDRQGFYAASLWMHERHPVTLALNAPSIVELGYLKVLPEILHRIVKGLDTTRRAGKKAEVRVTGAAMGFRRLGKEECSAAFKELERKIERRWHAEAAARAVDMYRRDPNYRLLHDCTADMFADLIASDMQKLADGKLAERFELYLADVAAGKAKIAAGALLPHEILASMDGDGVAELQWERVVSDLRALGTLSNCIAICDVSGSMTGTPMEVSVALGLLISELSDEPWHHRLITFSARPELHQITGKTLWEKTRFIRQMHWMMNTDFQAVFDKLLSVAVAGNLPPERMVRKVFVFSDMEFDQASSRPWETGYEAITRKFTEAGYGAVVPEIVFWNLRDSMSVPVTAGQKGVAMVSGFSKNLVKLFLDNDGILTPRAIMEKAISGPEYQNLI >Sspon.02G0032420-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2B:112730638:112731009:-1 gene:Sspon.02G0032420-2B transcript:Sspon.02G0032420-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTMNVGGGAPMGFQNEPNPDDSFMNLMLKDQRSNEEDGGLLLHNAVAQHQDENARFMAYHLAELGRYGNGNVSLTLGLQHSSSSLSVPNTQQSFPGVGVGDDASTMPPLPSVSAWRLRTMSR >Sspon.01G0003850-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:10839032:10841569:1 gene:Sspon.01G0003850-1A transcript:Sspon.01G0003850-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSCVNLSRAVLPGFGAAAAKGGSRRRGLLLPLLSSGRRHGGGAVVACSTSSSSTTAGSSSSPPSSSFPAHDGLGSGDPAGDAGRIGVVEFLGAKNFLITGGTGFLAKVLIEKILRTNPDVGKIYVLIKAKDSEAALRRLQNEVVDTELFKCLQEIHGEGYESFIATKLVPVVGDVREANVGIDPDIADEIADQVDVIINSAANTTFDERYDVAMDINTVGPFRIMSFAQRFRRLKLFLQVSTAYVNGQRQGLVLEKPFRMGDTIAKELGSSSGSSEEGHKIPVLDIEAEIKLAFYSRRHLDNSPSFAQEMKDLGLERAKLHGWQDTYVFTKAMGEMVINSMRGEIPVVTIRPSVIESTWRDPFPGWMEGNRMMDPVILYYGKGQLSGFLADPDGVLDVVPADMVVNATLASMAKHGGGAAGPGMHVYHVSSSTVNPLVFGDLSRFLFHHFTRCPYSDAAGQPILVPPMRLFDTMEQFASYVETDALLRSVRASSSSSPAVAQRARDLCARSVEQTVHLGSIYQPYTFYGGRFDNGNTEALFAAMSPAERARFHFDVRSVDWRDYITNVHIPGLRKHVMKGRGVAANQLLASTS >Sspon.04G0028190-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:80171169:80177642:-1 gene:Sspon.04G0028190-2C transcript:Sspon.04G0028190-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRLPGAAASSPLPRALLLLAALALFSISFLSLRSLRPAADPAVAAAAAKSRPLLRLQSSSSVYHSPEAFAAGYAEMERSFKVYIYPDGDPKTFYQTPRKLTGKYASEGYFFQNIRESRFRTDDPDQAHLFFVPISPHKMRGKGTTYENMTAIVKDYVEGLINKYPYWNRTLGADHFFVTCHDVGVRAFEGLPFMVKNSIRVVCSPSYNVDFIPHKDIALPQVLQPFALPEGGNDIENRTILGFWAGHRNSKIRVILARVWENDTELAISNNRISRAIGELVYQKQFYRTKFCICPGGSQVNSARISDSIHYGCVPVILSDYYDLPFNDALDWRKFAVILRERDVYKLKNILKSISQEEFVSLHKSLVQLQETQPSQSQVQTSDVSESILKRRNAFSLPLRTSRSSLSTPRPASKCSWTMWPAASTWVPECTTMRSSDTLLTDPLAMDSVSRVTAPSPSRPGNGSSG >Sspon.05G0012370-3C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5C:30466667:30472334:1 gene:Sspon.05G0012370-3C transcript:Sspon.05G0012370-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MERSLVQSRIVPATLHRSGSGQSRVRARATMLNNMPTRTLTLGGFQGLRQTNFLDTRSVIKRDFVSTVASQMSQPRGSASRGVVRVMFERYTEKAIKVIMLAQEEARRLGHNFVGTEQMLLGLIGEGTGIAAKVLKSVGINLRDARVEVEKIIGRGSGSSMPLNIPFTPRAKRVLELTAEEARQLVFVQKAGTLDNYISAGSTWKDCEMITITLFIKVIRMVGESTEAVGAGVGGGSSGQKMPTLEEYGTNLTKLAEEGKLDPVVGRQDQIERVTQILGRRTKNNPCLIGEPGVGKTAVAEGLAQRITNGDVPETIEGKKVITLDMGLLVAGTKYRGEFEERLKKLMEEIKQNEDIILFIDEVHTLIGAGAAEGAIDAANILKPALARGELQCIGATTLDEYRKHIEKDPALERRFQPVKVPEPTVDETIQILRGLCERYELHHKLRYTDEALVAAAQLSYQYISDRFLPDKAIDLIDEAGSRVRLRHAQLPDEAKELDKELRQITMQKNEAVRGQDFEKAGELRDREMELKAQITAIIDKSKEMIKAETESGEVGPLVTEADIQHIVSSWTGIPVEKVSSDESDRLLKMEETLHTRIIGQDEAVKAISRAIRRARVGLKNPNRPIASFIFSGPTGVGKSELAKALAAYYFGSEEAMIRLDMSEFMERHTVSKLIGSPPGYVGYTEGGQLTEAIRRRPYTVVLFDEIEKAHPDVFNMMLQILEDGRLTDSKGRTVDFKNTLLIMTSNVGSSVIEKGGHKIGFDLDYDEKDTSYNRIKSLVTEELKQYFRPEFLNRLDEMIVFRQLTKLEVKEIADIMLKEVFDRLKVKGIDLQVTERFRDRVVDEGYNPSYGARPLRRAIMRLLEDSLAEKMLAGEVKEGDSAIVDVDSEGKVVVLNGGSGVAEPLEPALS >Sspon.07G0014910-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:59505911:59511155:1 gene:Sspon.07G0014910-2C transcript:Sspon.07G0014910-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEAAPPVPATGDADDLTAFPAWARSVSDCEARLGVSVSRGLSSAEAAARLRVHGPNELAEHPGPSLLRLLLDQFEDTLVRILLAAAAVSFLLALSSSGAGAPTLAAFVEPLVIFLILVVNAAVGVWQEANAERALDALREIQSHHAAVLRDGGWVPAIPARDLVPGDVVQLRVGDKVPADMRVASLLTSTLRLEQGSLTGETASVNKTSRAVAVEDADIQAKECMVFAGTTVVNGAALCIVARTGMATEIGAIHAQIHQASQEEDDTPLKKKLNEFGEALTKIIGLICALVWLINVKYFLTFDLQGGWVPRNVKFSFEKCTYYFEIAVALAVAAIPEGLPAVITTCLALGTRKMAAKNALVRKLPSVETLGCTTVICSDKTGTLTTNKMSVAKLVAIGDSSQEVRSFKVDGTSYDPQDGKIHDWPAGSMDANLKTIAKVAAVCNDANVAHSSHQYVATGMPTEAALKVLVEKMGLPGGKNGLSLDPSETLGCCKWWNNVAKRIATLEFDRTRKSMSAIVKTSSGSNALLVKGAVETLLERSSHIQLKDGSVVPLDEKAKKTVLASLHEMSTKALRCLGFAYKEDLAEFATYDGENHPAHKLLLDPANYAAIETDLIFAGLVGLRDPPREEVYDAIEDCRAAGIRVMVITGDNKETAEAICREIGVFSPDEDITFKSLTGKEFMALEDKKTLLRGKGGLLFSRAEPRHKQEIVRLLKEDGEVVAMTGDGVNDAPALKLADIGIAMGITGTEVAKEASDMVLADDNFSTIVSAVGEGRSIYNNMKAFIRYMISSNIGEVASIFLTSALGIPEGLIPVQLLWVNLVTDGPPATALGFNPPDKDIMKKPPRRSNDSLITPWILFRYLIIGLYVGIATVGIFVIWYTHGSFMGIDLTGDGHTLVSYSQLSNWGQCSSWHNFTASPFTAGTQTFTFDDPCDYFHAGKVKATTLSLSVLVAIEMFNSLNALSEDSSLLTMPPWVNPWLLIAMSVSFGLHFLILYVPFLATVFGIVPLSLNEWLLVLLVALPVVLIDEALKFVGRYTSSPGPKRRSRKQKGE >Sspon.08G0008010-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:26328524:26331597:1 gene:Sspon.08G0008010-2B transcript:Sspon.08G0008010-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLPKNLVQQQQHLQLHHELLYTTPILSLFTMKCCSFKGTVAGLLLHCCLTPGPGYKRRWGQPKAGGAGWEEARSTGMGEKDPPVEERWAVGHGLLEHAEGGVWEERRASGCRSSERRWEKGTMLSVLVKYQKIWITLYRLIGLRSGSTAALYQTDFRPVPLEEFIKVGNQIFDKDMNVVRVLPKVADHGGKDPDHIVELCNEVVLQGHSVLLFCSSRKGCESTARHVAKFLKLPSVGSSDVSSEFSDAAAAIEALRRCPAGLDPILGETLPFGVAYHHAGLTVEEREIVESCYRKGLVRVLTATSTLAAGVNLPARRVIFRQPKIGRDFIDGTRYRQMAGRAGRTGIDTKGESILVCRLEEVKRITGIIRSNCPPLESCLSEDKNGMTHAIMEVVAGGIVQTANDIHRYVRCTLLNSTRPFDDVVKSAQDSLRWLCHKRFLDWNHETKIYSATPLGRAAFGSSLNPEESLVVLDDLSRAREGFVLASDLHLVYLVTPINVGLEPDWELYYERFMQLASLEQKFKFYLYSLEKS >Sspon.01G0021120-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:77769596:77772831:-1 gene:Sspon.01G0021120-1A transcript:Sspon.01G0021120-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRHGASGRPSGTDGSDFSYRMVVESRYQRVAEGRSRLARLILVQALHLVAGGALLLLSLSKGAAVNKFAVLSVAAGFVAIVVGELGRRRTMAVLLRLYTSLSSIAVAFSVTCIIRSELFLKVMKQNTEAITSYEMLDAVRVALGILLQMVVIATTTRLLQNMSPPKRAS >Sspon.08G0021590-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8B:40917640:40919247:1 gene:Sspon.08G0021590-1B transcript:Sspon.08G0021590-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVLNSLIKYTTMKELLQPIAIHQARHRVSFYADDAVVFLRPHRTDLRTIRYLLDIFGHASGLRTNLSKSSVSPIHCSEEELALTANVLSCSIKEFPCTYLGLPLSVRKPTKEMLMPLVDKIADYLPGWKASLMNRAGRLVLVRTVLTAAPIYLMIALDLPKWCLKAIDKKRRGFLWKGQEQANGGNCLVAWERVQRPLEFGGLGIHNLELMGWALRIRWLWAQKTDPSKPWAGLPIQAPRNAQALFNVAVDAIVGNGEKIMFWTDRWLDGKTMAEVAPNLFRTVSKRTAKRRTVAQALQNRRWIRDINGAHTVQFWVEYFQVWDLLEGTVLQQDVLDQFKWKLTPSGSYGSKSAYSAFFVGTIKFSPWRRIWKSWAPLRCKFFIWLVVHNRCWTADHLAKRGLPHPEVCPLCDQTEETISHLLVGCVFARQIWVSIFQLLGIVYLAPNLSVGRFSGWWSKVVRAVPKEARKGLNSLIILVAWEIWKHRNDCVFENSRPSLQGVLRAVSREGGLWCSAGASKLQELIPRLLSLGV >Sspon.04G0011430-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:34614555:34618619:1 gene:Sspon.04G0011430-3C transcript:Sspon.04G0011430-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTAGDPAGAAAAAAAAAAAAATPSGRAPRLPRWTRQEILVLIEGKRMVEGRGGRGGRGRVAAAAAAAAASASGEASASAALEPKWAAVAEYCRRHGVERGPVQCRKRWSNLAGDYKKIKEWERAAAASREPSFWAMRNDARRERRLPGFFDREVYDILEGRGRAILDDRSARNAAAEEPAAVRVEEGKEAEVPEAVFDSGRPATEEALFSEDEEEEEEDAPAVPPPPPPPPVIAVPVSEKPEASRQQQSAEQGTSKDTQLEQSSERDAPAQQGGQKRPRTDEGAGEGTADLQSKLIEILDRNSRLVAAQLEAQNQNCELDREQRKDQANSLVLVLGRLADALGRIADKL >Sspon.04G0027170-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:56368229:56370471:-1 gene:Sspon.04G0027170-1B transcript:Sspon.04G0027170-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxisome biogenesis protein 7 [Source:Projected from Arabidopsis thaliana (AT1G29260) UniProtKB/Swiss-Prot;Acc:Q9XF57] MPAFKAPAPGFAVRFSPFHENRLLAATSQHFGLVGNGHLIVLDLAAAGPGAAPAPVFSFPTSDALFDCAWSESHDSLCAAASGDGSVRLFDAALPPAQNPVRLLREHAREVHGLDWNPVRRDAFISASWDDTLKLWSPDRPASVRTFRGHEYCVYAAAWSARHPDVFASASGDRTARVWDVRDPAPTLILPAHDHEVLSLDWDKYDPSILATASVDKSIRVWDVRAPRAPVAQLAGHGYAVKRVRFSPHRQGMLMSCSYDMTVCMWDYRKEDALLARYNHHTEFVAGIDMSVLVDGLLASTGWDEMIAEVAMNSRP >Sspon.03G0019010-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3A:59003750:59005727:1 gene:Sspon.03G0019010-1A transcript:Sspon.03G0019010-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding QQQAAEARGDAFVRVQGTRFVQNGKPFSVNGFNAYWLMNLGADRAQRGKVTLALSQAAGAVLSLARTWAFNDGNRSSALQHSPGSYNEKTFQGLDFVLFEARKYGIKMILSLVNYYHDYGGRKQYVDWVKGQGQTKLSDDGFFTNPVVKGFYKNHIKAVLTRVNTITGVAYKDDPTIMAWELMNEPRCKSDVTGHTIQSWITEMAAHVKSIDGNHLLEAGLEGFYGLSPPASRSSVNPSGQDKMGTDFIANNQVPGIDFATVHSYADRWLRDSDAQSQLRFLGTWLDAHIADAQAVLRKPLL >Sspon.02G0018550-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:59581202:59584657:1 gene:Sspon.02G0018550-1A transcript:Sspon.02G0018550-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEEEVHIAVGKNSKKEKANILWAAANFPRATIVLVHVHWPSKWMPFMGGRVLYKFADEKEKEMHRGREMKVSAHYLTHDDTVAGVVNLIKKLRIKRIIIGSRNMSKQVMLRQCCQVWVVLNGKHISTSNDHLEHSENIGYGGSSGILASIHELGEESDGYVTPPSDLVDEIMDEESTEMNDSDQLVTEDETLTEQGTEESVTSEEMENFFEEGADQSDEIQSFRNITEKAEKIMEEIERLQKKLKQLQGRGEEHNHGERSSPRQMAASLKRKSLSEPRYPELQIPENIEQFSMSQIEKATDNFHSRNFIGEGGYGPVYKGKLGGTSVAIKLLKPRGRQGFSEYQQEVVVLSKLEHPHIVRLIGVCPESCGLVYEHLPNGTLMDRLSKGLLWKERVRILAELRSALAYLHSRRPHAVIHADLKLTNILLDAGNASRLGDFGTARAVHVKPLEEETISRRTNPMGTTGYMDPVFFMTGELTTESDVYAFGMVILQMLTGLLDLNIAEQAREAVKMDAVHSVLDASAGPWPE >Sspon.07G0019700-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:72875644:72879864:-1 gene:Sspon.07G0019700-1A transcript:Sspon.07G0019700-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLLNLQSTLLPSASALRRRAGAPAPSSSRRRCRVEAKIREIFMPALSSTMTEGKIVSWTAAEGDRLAKGDPVVVVESDKADMDVETFHDGFLAAVLVPAGESAPVGSAIALLAESEEEIPVAQSQAASFSSSSPSPPPPQETAAEEASVPPPPPPPPAPVAVSAPAPPSPATQGGGRVVASPYAKKLAKDLGVDLFSVTGSGPGGRIVAKDVEAALAAPKKAAPVTAARPDVPLGSTVPFTTMQGAVSKNMVESLAVPTFRVGYTITTDALDQLYKKIKSKGVTMSALLAKATAMALVQHPVVNSSCRDGKSFTYSSSINIAVAVAIDGGLITPVLQDADKLDIYSLSRKWKELVDKARAKQLQPHEYNSGTFTLSNLGMFGVDRFDAILPPGTGAIMAVGASEPTVVGTKDGRIGIKNQMQVNVTADHRIIYGADLAAFLQTLAKIIEDPKDL >Sspon.05G0021580-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:64002987:64007289:-1 gene:Sspon.05G0021580-2B transcript:Sspon.05G0021580-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPDRPLEAFITAARGAIAHLHLPVIHVPGSNSSPNPNPKQQEEPEADCLLHLHVVVTNFLHKPLKSFTRCFKPKRRGGKHSPPLHWDHSNGATPQQQLELLLCIAFDAFSHNLHLLENACRQKGEEFGVATRQLEQFVVLRKIIDGKRADFDGFLSKLGFAKVGAPPPRARIMGGASPVPAPAPVSDQEDGAGIGDSDQEDAAGIGDSEAVDNASGTQQPAQKLPARLLNIPLSNVERLRSTLLAVSLTELIELVPQLVSRSSTSADAHPDKKKLFSVQDFFRYAEIEGKRFFEELDRDGDGEVTLEDLEIAMRKRRLPRRYARELFRHTRSNFFSKSIGWKQFLSLMEQKEATILRAYTTLCLSKSGTLHKNQILTSLKGAGLPANEDNAAAMLRYLNSDSEGSISYGHFRNFMLLLPSERLEDDPRNIWFEAATVVAVPPPIEISTGSVLKSALAGGLASALSTSLLHPIDSMKTRLQASALSFPELISKLPQIGLRGLYRGSIPAILGQFSSHGLRTGIFEASKLVLINVAPTLPEIQVQSMASFCSTVLGTAVRIPCEVLKQRLQAGIFNNVGEAIVGTMRQDGPKGFFRGTGATLCREVPFYVAGMCLYAEAKKAAQHVLKRDLEAWETVAVGALSGGLAAIVTTPFDVMKTRMMTAPPGTPVSMQMIIFSILRNEGPLGLFKGAIPRFFWIAPLGAMNFAGYELAKQAMIKDDNKSSDSTQENLPRKQ >Sspon.07G0012950-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:53757069:53760781:1 gene:Sspon.07G0012950-3C transcript:Sspon.07G0012950-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTSAAAAAAVMLGGKGAALSPAAVYALSLGLAAPGIDPSAHKSLSTRAPSPQETPAPLAAAVASLAPAESRAAAAVLLNKLVLTASDSASALVTAATAARLAGSLDLAAALPLGSRDEAAVAAASAPAAVALSALIDCCATPLARVADAVAALSCEAARGDAAAAFDVPASGDGLSAKDEADVAADIKVLVFGSKLVGSAGGAPATATFAKVPALNGIFRQAVRTLHALVRIELNAPVKLGKRDAGQTGEGKEEALVVLATQLARAVQALCKLSVARARLCAESIAAAELREKLTGGVSVDDLKGMLDKVLIDSDPVSVLKGVYNHLLKFRDFLAWEAAVAMAVIESDSSIEKPQAAVENEAPSSTEKPQAGGDKGKGDKKSKKKKTLGKGTSAVLMLLRDHVTNGSAIASVNSASVAEWATSLSLLFDPKCPGLESLVEKVKEIVESNEVRRLPKIPKGTRDFEKEQMAIRERAFSIITSVFKMHGATALDTPVFELRETLMGKYGEDSKLIYDLADQVDEKGISNEAADEIGNLVKTRGPPLEVLMELRKEGSKFMNNEGSVAALNELEILFKALDKANAIDKIVFDLSLARGLDYYTGVIYEAVFKGAAQVGSIAAGGRYDNLVGMFSGKQIPAVGVSLGIERVFAIMEQQEKEKNEKIRPTETEVLVSILGKDLTLAAELVSELWSAGLKAEFKLTTRVANHIKYALQSGIPWMVLVGESELQKGSVKLKDVEANQEYEVDRKDFVEELKNRLSRS >Sspon.03G0008100-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7B:6856053:6859259:1 gene:Sspon.03G0008100-2B transcript:Sspon.03G0008100-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLPMSAWPPRDVTTLTRGPSSRTFRPPRSPNSASRFPEDRFPPNPAIPFLFSTSPGTFQRLPEGPSDPASRAAAGEIQERSSPAMVKDSAYYDVLGVSVDASPPRSRRRITSRRRLCIRTRIPGTLTPHSSSRDNMVDPAAVFGMLFGSDYFEDYVGQLALASIASVEVEENSNSQEARAKVQEKIKELQRERERKLTQSLKDRLQQYVDGRKDEFVSYASAEARRLSEAGAISLIQLQEGMKKMEGSENSEEQLMKSFEEKKDAMLSSLWKINVVDIESTLSHVCQAVLRDNTVPKDVLKLRAKALKKLGTIFQGAKSMYRRENSLRVETGA >Sspon.04G0029310-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4C:74328095:74334197:1 gene:Sspon.04G0029310-2C transcript:Sspon.04G0029310-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding CGPVAQPTACCFGPARARHGPRDQAVPGPPPRHAARPGHDPINSTSPVRPGDQTPKATAQHPKRPPREQPAAEQPRALAASPRSRNASRSPPASRISRRAPPAPRQPRDAHLPTRERDACPLSFPRDTMGRAGEGRAPPPSDPLFLLAPQPSLSPHAVEHVQGEPRWLGRSLAMHEARPGTARKARRPDAHRAVPGRCQCCAGPCRAIGHLYHRTGLNPTGASANPFLSPIPHGALCLAHLRCRRRGGISRRRPHRGAPAPLTPSPRRRGELLTRPPPSPRFLFAQYLCSGNNHSPPGFPDSPVILRRQSAFGAREWHLLASPLCRCKKIDSLRAINGALPCIPVSDRSLLTPVTLPVFRDPKMRNDTRLRIFSGTANPSLSQEIASYLGLELGKINIKRFADGEIYVQLQESVRGCDVFLVQPSCPPANENLMELLIMIDACRRASAKNITAVIPYFGYARADRKSQGRESIAAKLVANMITEAGANRVLVCDLHSSQAMGYFDIPVDHVYGQPVILDYLASKTICSNDLVVVSPDVGGVARARAFAKKLSDAPLAIVDKRRHGHNVAEASWSINADLHHRHRHRHSHSHHLAFARSPVTTAPQMQKLCGPHHPNHCSHTVVMSFCTHELCRDPPLPFGESVVMRGAATACCPGQKGMGEVMNLIGDVRGKVAVMMDDMIDTAGQE >Sspon.01G0030710-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1A:106110582:106113648:1 gene:Sspon.01G0030710-1A transcript:Sspon.01G0030710-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLMNALSNWLVNPRRNPLARLHMHAVSSRLRKYGNPGFETASTLCRVPFGFRQFPSCSLDLTDGLRYDDLYDPYFDLDIKEALGRLPREVVDARIQRLKRSMDLSMKHQYLPEHLQAQQVPFRGYLSDMLALVKKENAEREALGALPLYQRTLP >Sspon.04G0024710-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:24692668:24697920:1 gene:Sspon.04G0024710-2C transcript:Sspon.04G0024710-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGGGERGALLPVSADDGKGNGGGGGGDDAVLFKGSAMTRRGAAAALSYMACSVLLVMFNKAALSSYNFPCANVITLLQMVCSTCLLYVLRRLKIISFTNSDPSVPSDSLFFVSLLLRTTPLSLAYLLYMLASMESVRGVNVPMYTTLRRTTVVFTMTMEYFLAKQKHTPPIIGSVALIVFGAFVAGARDLSFDARGYAIVFVANITTAVYLATINRIGKSSGLSSFGLMWCNGLVCGPSVLFLTYIQGDLKRTMEFPYLYSPGFMAVLLFSCILAFLLNYTIFWNTILNSALTQSMCGNLKDFFTVGIGWVLFGGLPFDLLNVIGQGLGFLGSGLYAYCKIKGK >Sspon.03G0026350-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3B:2533238:2533400:-1 gene:Sspon.03G0026350-1B transcript:Sspon.03G0026350-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LRPSGPIEEVPGSSDPQESVPSRAPNPSSAGLASSNPLGEDSALLDPWGRIHS >Sspon.02G0010400-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:32855687:32859105:1 gene:Sspon.02G0010400-3C transcript:Sspon.02G0010400-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAENPQLFGNGLPVPFYGEMFVLARDGVEFHVDKIPSAPGGQVKTKGTIYLSNIRMVFVANKPVGNFFAFDMPLLFVHGEKFNQPIFHCNNISGFVEPVSMIECVAENTSEIRSLTSNVPMQVVPDNQNRALYSTHTFKILFKDGGCGTFVPLFLNLVASVRRYNQFEAQSAANMAPRVDPLQAAQTPVDDMMRHAYVDPNDPTKIFLQQPAPESQLRRRNYHGPADAN >Sspon.04G0008500-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:25627424:25630119:1 gene:Sspon.04G0008500-1P transcript:Sspon.04G0008500-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MACSTGLYFSASIPPLPASCSSGSSRFLTIERVSSAPSLSRWTIQYKQLGHTLYRRSHVLAFAIADRRRQAEAINGALAMIGLTAGLVVEAQTGKGILGQLAGYLTVVSSLFGQ >Sspon.05G0008340-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:17026078:17029725:1 gene:Sspon.05G0008340-3C transcript:Sspon.05G0008340-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALNCSSRSLLVTDDCAGMMLGCGCRSEEASPLSSCGVNSLWWDELEMELELKLEEEVESDPVDLLPTDPFGMNLETTFTAAIASCIGDLTVMSGAGHFGSGDDDDFYANLSYYLNQAFVLTPEPWSGAYTGVFEDSFVSGGLSGAGGMDQFSRLPPSASCSEPIGVIEDLSSSCDTALPCCDMVDVAPIQEGNDAHEAMVFVLSYLGLRDILAVEMVCKSLRSAVRNEPFLWKCIHIDSHLGKKVSDADLLCLTQKSPGSVQCLSLMGCLSITDQGLKAVLESNLQLTKLGIFGAFRITHQGLIDNLRSFNMKADIGIKRLRVTNRVTASEAQYEELLSLMKIDKELALHKQEPRIFHADRLLPDLHGGYVPDSFVPDLHDEYALDIEKCPLCPNYKLVYDCPSKECKTRGPSTCRGCVVCISRCLRCGRCIDSEFQETFLLENLCRDCVKYEDSPPTEK >Sspon.03G0021130-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:87783358:87786492:1 gene:Sspon.03G0021130-1P transcript:Sspon.03G0021130-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MENVLTPDRWADLTSCFMARNNQGHLQLPEMDHIQPKNIPHNESLSLGQKLLLHHGSDATLRIGHSSTGNMGLRSNDLPSSSRTVQTLGYRVGNPGTSHAPFVHCHAGSSSSHLPEPAVNYPHRSEEGAPVGSHMDNRRAAMKRKDPSVHPAGISATGYYVGSSSNTQPSNCVQPNPSPLAEPFLRQIPLSIDRSGWDGQHLIHQEGFQRNVRARHSHNISLEPRSASTYPLNSVHVPSFGSTASASSSTSVERNQAPVSVPTRTVPAGPPGFTSRALTGRAYYPVVGSSSSSVGAVPTIHGSSGAAIFANSGYAPSSVHAGTAPIYPNPAPATSSGSRTMPHQVVTQSHPPATSAATSASMRIAQPLPARTAVASRHARHVSAAIANNGRYRRARSSYYSLHPLMVEAE >Sspon.06G0020690-2D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6D:9912921:9913634:1 gene:Sspon.06G0020690-2D transcript:Sspon.06G0020690-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MACHLRSASVPSSPRSNKANIDEQLQSLKATISSPSVAIETTVDGLNKIVSIYSCIDELTSLPSNQRQQRKAVEEELERSLVLLDLCNAMQENFGELKVSVQEMQLVLKRGDNAALQAKVQSYARLAKKAQKQFKKINSKAASDIEGCRVVMLLAEARQIAVSMLESTSHLLSKKITMPSASKWSLVSKAFDKKKVVCKEEQLQVLELDIADLESGVATLFRTLIQSRVSLLNTLTL >Sspon.01G0017760-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:71237637:71242109:1 gene:Sspon.01G0017760-2B transcript:Sspon.01G0017760-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding PVKSPVTICGDIHGQFHDLVELFQIGGKCPDTNYLFMGDYVDRGYYSVETVTLLVALKVRYPQRITILRGNHESRQITQVYGFYDECLRKYGSANVWKIFTDLFDYFPLTALVESEIFCLHGGLSPSIENLDSVRSLDRVQEVPHEGPMCDLLWSDPDDRCGWGISPRGAGYTFGQDISEQFNHTNNLKLVARAHQLVMEGYNWAHEQKVVTIFSAPNYCYRCGNMASILEVDDCRNHTFIQFEPAPRRGEPDVTRRTPDYFL >Sspon.02G0013650-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:29534299:29536008:1 gene:Sspon.02G0013650-4D transcript:Sspon.02G0013650-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDASTLLWFLYISLASCLLYKLFASTKTSSGKARRRAPGPAPLPLVGNILELRGEPHHALARLAETHGPVMSLRLGTTDAIVVSSAAAARDVLQRYDHVLAARSVSDAGRALGNHEHSVIWLSCTSPLWKRLRAVCTNHLFSARALDVTRAAREEGVRQLVACLGRHAGAGETVDVGRAVFSCVLNLVSRALFSEDVVADLSSDRAQELEMLVRDTVEEVTKPNLSDLFPVLARLDLQGRRRRSAEFVARFYDFFDPIIARRIEARGSGEKIDDDFLDVLLQLHSTDQLSLQTIKSFLLDLFAAGTDTNAITVEWTMAELLRHPAVMSKVRAELRDALCSKPHPDESDIGRLPYLRAVVMESMRLHPPSPMLMPHLAMADGAEVGGFAVPAGTKVIINLWAIMRDPASWAEPEAFVPERFVGASDADFRGKDRLEFMPFGAGRRACPGTPMATRVVTLLLASMLHAFEWKLPEGMQPGDVDVRDRFGTSLNMVTPLKAVPVPSHR >Sspon.04G0033730-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4C:65066740:65066896:-1 gene:Sspon.04G0033730-1C transcript:Sspon.04G0033730-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DDGYIPRHSVMELQKAWPGSEVRWVTGGHVSSFFLHNDAFRKAIIDALDRL >Sspon.01G0040430-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:40712860:40715094:1 gene:Sspon.01G0040430-1B transcript:Sspon.01G0040430-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MANKTIREFSAPTTDNIRTGPAAAIDKNFELKPGLINMVQANQFCGKPHEDASAHLQHFLEICSTFTLLDVPRDAILLRLFPFSLLGRAKQWFYATKDKNTTWALCSTNFLAKFFPMGKTNALRGKITSFQQQHDESVPEAWERFQDYILECPHHGMESWLLMQTFYHGLITSARETMDAAAGGAFLSLTIPQATALVEKMASNQGWNEERTQTRKKGGGMHQLKEVDMLSAKLDLLMKKLDDKAGDKREVMNVYDSHMTCEECGDTGHSGNHCPEMLEDARYINNNNNYNRPQQNQGWNQQRPNYSGNYSAAAVPATNPGIPSQPEGLESANLVDMFDAGNYWSNPAVGVHNDLLPVKRGDPGRPVIPISIGMVDFPEALCDFGSSLADRTLSFPKGILKNMCVRVGTSYAPADFVVIETGSDERSPVILGRPFLNTSGAVIYASAAKINFNIKGRKETFSFKNKITQIPEQPQHEPRKRTNRRNKQNKNNQGWTESAKMVTAVQGGQDGRLKSPFLIKKDDPDFQVIDMGDDEYDPPIILGRPFLGTVKAIIYIGTGEVHMHFPSEK >Sspon.01G0048320-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1B:108371328:108372200:1 gene:Sspon.01G0048320-1B transcript:Sspon.01G0048320-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRSPPWGRRRVEDDDPTVTCVDAQPSHVSKSALPIGRTHIPLSTSPPAVSATTRSCPLSCMLAPPSIQYSRLARFACSILPSSFQEAAPMAMATQASAATRHLLAAAWAKPRQLSSQLAMPPPSSSRGPAPLRASAEEAVTKEAPKGFVPPQLDPNTPSPIFGGSTGGLLRKAQVEEFYVITWTSPKEQVFEMPTGGAAIMREGPNLLKLARKEQCLALGTRLRSKYKINYQFYRVFPNGEVQYLHPKDGVYPEKVNAGRQGVGQNFRSIGKNVSPIEVKFTGKNTFDI >Sspon.01G0019200-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:73215707:73218808:-1 gene:Sspon.01G0019200-1T transcript:Sspon.01G0019200-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKYMRKVKVSGEVAIMDVPSAPLGVRTRARALALQRLQKQQAQGEEGAGGEYLELRSRRLEKLPPPAAPMRRKAAAAAAAAKEEVEASYGENMLELEAMERNTRETTPCSLINSEMIGTPRTPGSTTRSSHSSHRRVKAPPVQAVPSSREMNEYFAAEQRRQQQAFIDKYNFDPVNDCPLPGRFEWVKLD >Sspon.06G0012800-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:51041692:51044592:-1 gene:Sspon.06G0012800-3D transcript:Sspon.06G0012800-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMLVLNRLMSLQRDRQRRRWQIRARCRRRPITSRGKRKGSSCQQDGDGISRAGKIMRSSIPDLPEEILFHIHSLMPMREAARAACASRAFLHSWRRHPNLIFNKDTIGLKINGHGENFHHKIGRILGKHSGISLKTFSLDYSYMCGFDGARYFDSWLQIALKPGIEILTLWLPTTKKIYNFPCSLLSNGVRNSLQYLKLHNVALHPTVELGPLRSLTSLHLCDVRITWDELEYLLCNSLALEQLELECCADYLPKDTLFPTAVSSLSVSSCYRLQVIESKAPNLSSLCLSGHRLNFSHVETLQVKKLAIYYPNFIRDACGKLPSSMPNLETLVISSLSEVVDAPMLPTKFFYLKHLTIRLQLTPVSRPYDCCSLVSFLDASPSLETFVLDVSQPHMLYHQSVFEDSQLRHMHVHRHDYLQSVNISGFSSAKCLVELACYILKNAVSLECLTLDTMYGYRCGEGKQKRCHYLSAALFKEAHRALSAVRTYIENKVPSTVKLTVLEPCC >Sspon.07G0009270-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:24337598:24339098:-1 gene:Sspon.07G0009270-1T transcript:Sspon.07G0009270-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGNLDNKGKGKAVLNDDISAPMAVDAVPLMAAGSSGGESVRSSAEAIPPAAMAAPVIHPGSQSQQLDKSLILLIKCVMQQCVRAMEAGNTEAANSALVALSSVSSLDGDPIQRLTSAFTEALARRALEPLQGLSWSVQEQLRTPPPPAYAGVARQWFHSLSPLLRAASLAANHAIVKAVEGEQDVHVVDLGGANPRQWIELLRLLAARPGGAPSSLRLTIVSGHAFFLTCATEVLTAEATRLHVPLVLNPVGAHIDNFSATVIASLGVQRGQALVLSSTLQLHRLIADATIVRHADREHETMTKADALLHVLRDLSPKLLVLTEQEADHNNDGEGRGGLWDRINSAFDYYAVLFNDLEVSRVPRESLDRAVVERLHLREEIVDIVARDGAARRERHEKMLRWVPRMAAAGFQPAPVTMDGFKETMRLANRLSDGDRQKPLYRVTAVKGCFFVHSERMMRQPPPPTHGFGFSARRTADP >Sspon.04G0003260-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:6440099:6441813:-1 gene:Sspon.04G0003260-2B transcript:Sspon.04G0003260-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPAERIESGTALGKRPRSRVLPRTASLVTLPSAAKQGRQERVGTGVPSSSSVPAGGVGMGGAVPGYYYGGSFSAVETAAFLKACGLCNRRLGPGHDTFIYRGEVAFCSQECREKQIEYDERMEQTCSLTSIKEAPSVSGASSSDQSGSGGETVAAA >Sspon.08G0002860-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8A:8032291:8032692:1 gene:Sspon.08G0002860-1A transcript:Sspon.08G0002860-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLGAGAGRWPVAAHTAHVALLYRAQLGLSQTAPLYNHNLMEREVETTWQRTTRSRWRSTPTAHFKLVPRLVTFVPAVTQLMQAAKSAAAAAPHAPFMRVSKPVVSPADEISVRTTSLSSQNRPYYAQGKYTL >Sspon.05G0018640-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:82117043:82118408:1 gene:Sspon.05G0018640-2B transcript:Sspon.05G0018640-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTPLVALIVVAGASLAVAVPCLLIAFLCRRRSSKSQLKPRCCSAAAASTLPVSAPAWTTPADCPSWSFYGTTADASLLKLSLADLATATGGFSPDNIIGDGSFGFVYRAVLPDGAAVAVKRLSGDGDAGAGNREWPSWSLSHPNLAHLLGYCAAGRDRILVYELLERGSLDAWLHGGDAEDGGGTGTLPWPARLRTWDGVGATAKADVYSFGVLLIEVVTGHRPSWPMKASMGDKEVNLVDWAREKIGAGQASEILDRRMGIQAQGKEMEEAKGLLEIARKCIDNAAKNRPTMEEAVAMLNKI >Sspon.02G0033020-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:3978449:3979865:-1 gene:Sspon.02G0033020-1B transcript:Sspon.02G0033020-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPFEREETTIGGSLTDELLPVFSQSNSVASNGAAEEAVTAAAVGEDLMSQAAGGNAPPSPFFAAGDPLLDELQLQEQLAFLNDNGHHQLPLFTSECRSASAGPGDAYEATKVVFSRIQELDPDHAAKIMGFLLIQGHGEKEMIRLAFGPDALLHTVMAKARKDLGLLPAPDSGPGSRQIYLTFPADSTFREEDVSNYFSMYGPVHDVRIPYQQKRMFGFVTFVYPETVKLILAKGNPHFICDARVLVKPYKEKGKVPDKCEDAAALAQRERDVLRRKLEEQQQQQAAELQQAMELQSRRLMGLQLLDLKPRSSPSPIGMPFSPTRAVASPTVESPPNSGRDSDRMRRHFTFFGTD >Sspon.06G0008920-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6A:47750913:47751377:-1 gene:Sspon.06G0008920-1A transcript:Sspon.06G0008920-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAAPNGTVASAGRGQWHHGRRLAMVPTMSTRRTPRKAVGWTGVRKRSWGRWATEIRIPHTRLRLWIGRFGHALEAALAYDAAMFCFYGERLPRPRKFNFPTIQRPAIPEHLRIHLTIATIKAIAADYGQRCAAFLAPLMCHAVTGVLSHHR >Sspon.01G0038900-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:19042325:19044955:1 gene:Sspon.01G0038900-3D transcript:Sspon.01G0038900-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MWARFWFSVPAKRPPPPPFTPPPPPPAPPKYGPLPEPSSVASLYDLAGNFLHRAKTVLDTTGTGGPAGLDAISSTSGARRAAAELTAPASDAAPAATKSDSWTLSSRAVHWIIVGAVVAAVLLVLCVVACFVRRRRRRRRRRPVVLVPPQLPAPMVYHKDGPTWPVLHEAPSEHYFAQQQRPTPPQTSGAFSFSDAGSENRLHSVDIVTELPTGGSHSYEQLAAATDGFAPGNIIGQGGFGCVADFGLAKYQPGDHTHVSTRIMGTFGYIAPEFLSSGKLTDKADVFSFGVVLLELITGRLPVQSSQSYMDDTLVGWARPLIQQVAEDGSLQSLIDPRLGTDYDPSIMMRMVECAAAAVRQSALQRPSMVQILKYLQGETRADDLSGVFKITTVEESYSSSMESGESVGPRPRRTQRSQGNTSNDYNSEQAPGDKPNWSTGSV >Sspon.02G0013150-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:35230459:35232432:-1 gene:Sspon.02G0013150-1A transcript:Sspon.02G0013150-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding HMGFVRSNHRPVIPVPHGRTVHSHKIPFQSSFPGSRCQSTAGPPQPYTRRAGGRRARSSSTTTTQQASIALGPSTDGIRHPHSPAPTHADSQVPVPVRARERAPPLGTPSMNQPHLAHSSSASIGARQPGAPAHMAANLPRRPTRTPTPSATHLFRQAAVITSDDSMVHAPAPASKGISLQLHGPPPSTDPDPGQPGGGRRRATSISNRGVSARERGPRDTDYRSERARATKQKSMAAAAAAAAATEGDIAGAGTSVSLSDLLHPSKAKASSPKLCLLSSAVHTGRSRSRVLNSSSVLRRPKKGKVGRSPPAEEVEAFLAAAESGMARRFAASSAFRASPSSARAPKGLFGTFHGSIYLFIASTTAVARGPVRPLLKPSSLKLSVWFRHTPTPKDAPMDGRYEQSTCQIQLSKRSPRVLFCL >Sspon.08G0007870-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:26090218:26092956:-1 gene:Sspon.08G0007870-2B transcript:Sspon.08G0007870-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASFVPTPTIPESEHRPATNKAGTLIFSYTCVGLTGTALFAVLFFYCYCQSFRRRAPVIAAGAESNPGPEGHHIGSKLTER >Sspon.08G0007970-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:28758733:28760686:1 gene:Sspon.08G0007970-1A transcript:Sspon.08G0007970-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMDLSANTISGAIPSSIHHLHKLGHPTIHRQLSRLKTLDVHTNNLIGKIPEELGRLNNIQHIQLSINNLKGIIPGPLYNISALSFFAFAVNDQHGQIPSDIGSRLPNLRLFHICINKFNGTILPSLHNLPKIESIRIIGSLKGLQSLDLSSNQLSGDIPASLANLQALQLLNLSMNDLNGLVPSTGIFANHSAVHLDGNPKLCYSSLACYSHHQKLHVTIAVAAASAAAISILILIFLKLLPRRYLAKAKTRAIDKYGYGGKPSPRGDVYSYGIMLLEMITGRSPLQQTFREDMNLAKWVRENLPHQAHQVIDQRLISTTLDASIEGVQRSCAEHLLLNCLLIPMMEVALSCAVESPYMNEAACMTVSFG >Sspon.08G0005100-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:13000251:13003873:-1 gene:Sspon.08G0005100-3D transcript:Sspon.08G0005100-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSVCHGHLLLFLLVAVVLACLGTAAAHQAGSGEGYTIAGRVKIDGASAKGFGLPAKTSNTKVILNGGQRVTFARPDDPEEMKQAQEQMRNNPVSFSGLLSRAQG >Sspon.04G0007000-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:19981710:19989856:-1 gene:Sspon.04G0007000-1A transcript:Sspon.04G0007000-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQRNTQPYLNSNIGAVAGLTTTLLVGLYVQTGYSVVSACVITLRWNDKGTSRRSLGNMSIWQEAN >Sspon.04G0001920-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:3444189:3446391:-1 gene:Sspon.04G0001920-2B transcript:Sspon.04G0001920-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDIANDSKGAQLEPSGSTFLGLDDNRLCRWDMRDARGRVQTIGSSSESPVLNWSQGHQFSRGTNFNCFASTGDGSIVVGSVDGKIRLYSKSSMRMAKTAFPGLGSPITHVDVTYDGKWILGTTDTYLILICTIFKDKDGKEKTGFSGRMGNRIAAPRLLKLTPLDSILAGTDNKFHGGQFSWVTENGKQEKHLVATVGKFSVIWNFQQVKDSNHECYRDQQGLKSCYCYKVVLKDESIVDSRFMHEKFASSDSPEAPLVVATPMKVSSFSIANQR >Sspon.05G0003780-3D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:5D:14822382:14823488:-1 gene:Sspon.05G0003780-3D transcript:Sspon.05G0003780-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding STPKRALSGRCSACSAPLPAKRPAAQQQLQKKYLHLVVNDWDRGYSIYRAGEDGFSDADPDAPRPAASLLVRIVAQHAYSRSFASHGTKILAMYPAESSPGIPAFDTEALAMTVCPSPMSRGDCGTKHLYASAGGRLWAFVYPFLEVLGPEPPATEQSWSWASVESMPPFDSSRVSGYAVHPDGRTIFVSVRGYKLNPGLILPNHGDLSSTFTFDVESLEWTHVGDWILPFRGQAYYDHELDAWVGLCIHKEGTGHVCCTDVPPATGCATMPAWKLGVEVFFDKSSERHLGATLVYMDNSRFCLVESRMAKDDDFYPRLHVVKMTSFLLKYGKEGELRVAGRCTYASMDYQIPHQHIDRSLDPVAFWV >Sspon.06G0005580-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:16859632:16863776:1 gene:Sspon.06G0005580-2B transcript:Sspon.06G0005580-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVKAERRRSAAAAADGAPRRPLTPSEKNNAAPAGRRTEGPSRFKPVAPPPSAPALAIPAARRCSSPSPGRASAADGGLATCNNRARSADRARPAAPSSPALLSSRLKQPSTAARPSSAVPPRDAAADGHSTPPRARNAKKASGDLWASARSSSPLLLRPRPEPVQQSPASKVDRLVQGLPTEQAKLRPGAVAERKRSPRRGSTNNTGDQCENARPSESPANSVAVVEKHRWPGMMTGRGSAGLTTSTSAAAPAEKASSSSVSSSDASAGRSPRRTHPSEGTSTTGKSLRRPSNEMAKIVHRRRKDRTDYSSDTSSQTSESSKPTCRPNKPISSPVPVLHRSSSPSPRQGFLSAASSASRSCQSPSRMRPSAPCCQSKCASLVAQSVVEHPVFNYIVDARKGKKSAGQIENIHQLRLLSCRYLQWRFVNAHSEETLSHKNSVENILYNVWKSILTLQDAITITRTNVRHLQQEVKLYDILTEQIGYLEQWPVLEEECNDTLVEAAEALKATTLRLPVTSGAHADGIAVRNAISSAIDVMQALSSSIYCVQSKVEDRTSLVSELSVMARQEEVALDQCRELLATAAKLQVQKASLRTLLMQLRQRPGMLVVSSGASLSYPVSTVHLDF >Sspon.02G0007510-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:15683722:15686361:-1 gene:Sspon.02G0007510-3D transcript:Sspon.02G0007510-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-ureidopropionase [Source:Projected from Arabidopsis thaliana (AT5G64370) UniProtKB/Swiss-Prot;Acc:Q8H183] MATSNGKAAQGEEGKTPPPAGSIGGYESLHRLLEANLSPELFQEASRLLLGLNCARPLEAISLSDATTALAETHNFDVQAFRFSADEEFLRQPRVVRVGLIQNSIAIPTTCHFADQKKAIMDKIKPVIDAAGASGVNILCLQEAWTMPFAFCTREKRWCEFAEPIDGESTQFLQELARKYNMVIVSPILERDVNHGETIWNTAVVIGNNGNIIGIHRKNHIPRVGDFNESTYYMEGNTGHPVFETAYGKIGVNICYGRHHPLNWLAFGLNGAEIVFNPSATVGELSEPMWPIEARNAAIANSYFVGSINRVGTEVFPNPFTSGDGKPQHADFGHFYGSSHFSAPDASCTPSLSHYRDGLIISDMDLNLCRQIKDKWAFRMTARYEMYASLLSEYLKPDFKPQVIVDPLVNKKA >Sspon.01G0031320-5P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:115935252:115936160:-1 gene:Sspon.01G0031320-5P transcript:Sspon.01G0031320-5P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding IMQEFQSIPGLAGRLFGGAGAADLRRAQAHAQQGPGARCGGVSPAAPPEAVKCPRCESTNTKFCYYNNYNLSQPRHFCKSCRRYWTKGGVLRNVPVGGGCRKTKRASSSSSSASSATSSVPSTPTSGDAAANKNPRRASASSPRSTNSGSASPTAAAATTPTPTPATPATPSSNSVAAFTMSSHHHSNPFSTIDVVAPPAPIFADQAAALASLFAPPPPPPLPVFTFAAQPKEEAPTTPSELQLVAGHAAAPSSSVVSEDMAPFASLDAAGIFELGDAASAAAYWNAGSCWTDVVQDPSMYLP >Sspon.03G0031960-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:32307714:32311158:-1 gene:Sspon.03G0031960-1B transcript:Sspon.03G0031960-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AMKNGVRGEELRVGRFAVAWEKEINAASVLQAFDSLVAALDTRGSREAQLHSMLQVIEPIFKEAIKRRSASIELSAGRYPKNGATDMIRANYHSEVGSSSSTPFGATSDSVTAYSDSFQASIPSEALQPFWTDVYRKTWSVKLYTTKSIAETFQLLTVLEGAIRPGCLSSDFETTSECLNSQGIAPQNPVLPAGSASVLPWVPDTTSAVMLRMLDLDSAISYVQNQKMERDDGGFQKFPSRYTVAKSKQEGIIESTGLNLYDGRWLPGSGRRGRGRGSRGGSRGGRGRSRGGRIPRGISSSSRIEFKDDIVASDKVPRKNARRGRARGRGSRRGRRTVRPRQPSAGRARSIPKENLLGSFSMLSSSKPAPVEESPQSSGADEWGLETRVTYNECDDNSSGSQSEDNGENGQPMDEDYEEQVPDYSMGYSSGSRHHGTMSMMDHETDEEDEDVEGDENVEEDDADQAVDDADVEMDEDDEIGDDGEDGDVGGEMNADEDPDATSYSSDYSE >Sspon.04G0032510-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:27802396:27803028:1 gene:Sspon.04G0032510-1C transcript:Sspon.04G0032510-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPRAHLAALLLLAVVVVDTVSSRHHHAPAGAPHGVAPSQHLLPCLEELLPCTAYLKTTKHPSATCCTAMHNAAAAEMPCLCRLFADPELLATFNVTREQMFKLPARCGLPVGCRTGTSSHEPVVEAPPPPAPVQQHHHQHGASSRGDEFRSIWNLVAPLVLGQMIPMAALF >Sspon.08G0015920-3D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8D:60352957:60353630:1 gene:Sspon.08G0015920-3D transcript:Sspon.08G0015920-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptide methionine sulfoxide reductase A5 [Source:Projected from Arabidopsis thaliana (AT2G18030) UniProtKB/Swiss-Prot;Acc:Q9SL43] KPPRPPAGWSRTCPIPISGRRHGSALRRRRRGRHRGARPPCRGGLGRAPPGSQRRRPGSGAAARGAPATAVFALGSFWRSEAAFGCLPGVIRTSVGYAGGSKANPEYRNLADHAECVKVEYDPRLIHYKQLLDVFWASHDPREVFGQGPDVGNQYR >Sspon.08G0017680-1P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3C:49950102:49950644:1 gene:Sspon.08G0017680-1P transcript:Sspon.08G0017680-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRLLRYLRGTLGYGLLLGRSSTAELVVYTDADWAGCPDTCRSTSGYAVFLGGNLVSWSSKRQPVVSRSSAEAEYRAVANGVAEAAWLCQLLAELHHPLARSTLVYCDNVSAVYLSTNPVQHQRNKHVEIDLHFVRDRVAIGDVRVLHVPTTSQFADIFTKGLPSSTFSEFRSSLNVSSG >Sspon.02G0002010-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:5754842:5758168:1 gene:Sspon.02G0002010-2B transcript:Sspon.02G0002010-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAENLAPEKRHTLVHSGQKVFEWDQTLEEVNMYIELPKGVPTKLFHCTIQASHVEVGIRGNPPYLNHDLTHPVKTRLVVLDNRTRAKDMSVTTSEPYTSYLTIIFNMGNGDDNTEDGEMHITLQKREKGKTWSSPIQGQGILDPYAADQEQKRLMLQRFQEENPGFDFSQAQFSGTCPDPRTFMGGIHSE >Sspon.05G0011120-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:31759992:31761315:-1 gene:Sspon.05G0011120-1A transcript:Sspon.05G0011120-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PsbP domain-containing protein 2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G28605) UniProtKB/Swiss-Prot;Acc:Q8VY52] MPLVGCPRRGPPLSLACRHSRSLYRARAVAPKCEASSSPPLLTRRLSAGSLLLAVLPIPASSPQLPVASASEAEAAEGESGISEGLELERYTDQEQGFTLLKPTSWPKVEKAGATALFQQEGKGSNNIGVVVNPVRLNSLTEFGTPQFVADRLLQAEKKKESTKSAEVISVGERSGHGGLTVYEIEYTLDSTRGGMKRIFSGAFVASRKLYLLNIAYSDTQEKPLDSKTRIVLEKVLHSFDSVYVLLSNTVLLAPLSVIS >Sspon.07G0010630-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:34465461:34470255:-1 gene:Sspon.07G0010630-1A transcript:Sspon.07G0010630-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEYYSYRLHDRPNDFNTPLRCKRLTQAYEVDSYCCVEDDRLRHYRKLSFQKKYRSSPYKALVEAVNAGITQASSTGQRIFLPGSFTGSPRYYYQNYQDCVALCRRFGCPDLFVTFTCNALWPEIQDALAFVPGQHASDRPDIVDRVFQMKLKLLMDDIEKDAFFGPILGEGSHVPLHVKNFPTHEDLASKENEVQRAYIPDYLDNNGRTVFVIMTSKKVSFSTSDAAYIDINT >Sspon.08G0002050-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:31712220:31716433:-1 gene:Sspon.08G0002050-2P transcript:Sspon.08G0002050-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLCYFCPGLATRSRMPVKRYKKILAEIFPKTQDEEPNERRIGKLCEYASKNPLRVPKITVYLEQHIYRDLRSEQYGFAKVVMLIYRRLSVSCREQMPLFASSLLSIVHTLLDQKRQDDLRIVGCETLFDFAVNQVDGTYQFNLEGLAPRLCEIAQEVGEDERATALRAAALQSLSAMVWFMGELSHISSEFDNVVQVVLENYKPQKMQNDDQSTNDADNQLVQEGQNAEHPPSPFIITPAPSWENIVNVKGGVNLPVEDARDPKFWSRICVHNMAKLSREATTFRRILECLFRYFGNNSSWLSENGLALCVLLDMQLLVESSGQNMHLMLSLLIKHIEHKTMLKQPDMQLSIVEVAATLAEQSSAIASPATIGAISDLVRHLKRTFHITLGSKDMELVKWNEKFRKGIDECLVQLSKKVTDAGPVLDMMAVMLENIASTPVVARSTAAAVYRTAQIIASVPNLQYQNKVFPEAMFHQLLLTMIHPDHEARVAAHRIFAIVLVPSSVSPSIQASPSGQAKKHDMQRTLSRAVSVFSSSAAIFDKMKKDKYSENSQGESKDNSLHSVGEGTGQSKSQNLHVSQSRRRSMKVPNFSMKRGPSMAMRAPSVAIRAPSISLRGPSMASRAPSMSVKEDQSSSNKSDEETESVLVKLSTRQITLLLSSIWAQATSPENTPANYEAIAHTYSLLLLFSGS >Sspon.08G0008940-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:39062660:39075923:-1 gene:Sspon.08G0008940-1A transcript:Sspon.08G0008940-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPASLAARLLRRTATTSSSPFSALARRGLNSSAPVPLPRRLPAATSSSAGTTARRFLASQSAASSYASKSSADANLRRVIESEIECVVQSEESTADKHIDLPDDFPFEIIDNPGDQTITLQREIAGETIKAAIYTNFDTEEDLDDEDDKSDKDEESFKPAIQMVVTIQKPEGPILEFDCNFNDDELAIENMRVLNRDNPDAENVYEGPRFPVLDESLQKALHRYLEVRGFTYSLHDWLYEYMMRKDEKEVVAFQLFFMFAPVNDRDRWGRSIAGHAMGYFPISLHVEDYKSFDPSRAYVFGYEPHSVLPIGLSALADLVGFMPLTKIKILASSAVFYTPFLRQIWTWLGLVPATRKNFYHYLGAGYSCIIVPGGVREMLHMNNDSEVAFLKSRKGFVKIAMQSGCPLVPVFCFGQVTINQPCFNKDVALLIP >Sspon.01G0040320-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:32621132:32623386:-1 gene:Sspon.01G0040320-2C transcript:Sspon.01G0040320-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSGQPPDLKKYMDKKLQIKLNANRVVIGTLRGFDQFMNLVVDNTVEVNGNDKTDIGMVVIRGNSVVMIEALEPVAKSQ >Sspon.05G0014840-4D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5D:53882776:53887927:1 gene:Sspon.05G0014840-4D transcript:Sspon.05G0014840-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding FAGEPPCKVAVMQLCMEKTVCYVLHIAHSGVPPILKTLLEDTSSIKLPKPSNIRMGNWEVDVLSKQQLQYAATDAYISWYLYELGEGGGDALWRRHPGADRVACAKSGQQIENWIGFSIGRHARSPAGPQPPNPRDDWVEDWGSWNVVCYLRKVFPRPEIFSRSRKGINVLEMRNMTLYHTQVTALVMPVTLVAPHCHYGSHGLSRNYEDPLSQPMDGEFCGAMPIYAIIRLQFAA >Sspon.01G0010990-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:30350691:30350990:1 gene:Sspon.01G0010990-1A transcript:Sspon.01G0010990-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding HLLSLAVALAPSLPLPNGQAPATAIPARRHKEKPSLNAAASSPSPSHPRRCRPRRRTRAVVALAERMSPCDPSVPPSGEAKPECRHLLSLLTASSPSLG >Sspon.08G0008920-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7C:65895414:65895728:1 gene:Sspon.08G0008920-2C transcript:Sspon.08G0008920-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAASGSTCTSPGCRSSSSVATGAAPKGSGGGKKGKGGKKQGGWRGQGNGAGWQQGSGSGQQGGNRPMGPWFCYSPWAFPGGLPPPGSGWQQGNSPGGWPPHGDQ >Sspon.05G0019380-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:84581273:84585844:1 gene:Sspon.05G0019380-2B transcript:Sspon.05G0019380-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNARNIAMVFAPNMTQMADPLTALMYAVQVMNFLKMLIQRTLKDREESSLEDVLLPQKDPSDENGHQKPSMTLDSLVEEGSRRPSFVKDEPLLNSPAHSETKSHRKIAISTMRTDQLLLRNRNIDATDHSCKSVTNLKTVLHILEQLLCSCSRASRCMLSREKQEMAAPLAAADGGLRRLFEKPLPENPTLLEALSAWNRNVHHHHPRSKPIDTASITEIFGELHFQEKPQQPDHRGDVLLLPPTSPPPPPRSPPSRMPSWLDIAAEAENKSKDDSSLDALLRPKPAATVATVKRSASFCAKKGSSSASLLLCTEGLGSESTVDADDMFKDGDAEAEAEAAALKGTDETADGANAGAAEAVKEEKERQPKTFPPPIRSIGRGGKPYVCFMSFREDGRFVLLERVIPGKELLQATREGGRLRLQFAAAAAAAAAAGVSVDEVVHGGDDDDHRSKNSCVDDDDDDGER >Sspon.04G0024650-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:20968654:20976497:1 gene:Sspon.04G0024650-1B transcript:Sspon.04G0024650-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVCSRKRSQLVDEGDSPQTSPRFSKTSSLKWLLLSLPRSNSDVSGKGQGNEPGRCPSLMELCVARVCQDIDKYSTFAMLPRDLSQQIFNELVNSNRLTEASLQIFRDCALQDIGLGEYPGVKDAWMEVVASQRQSLLSVDISCSEVTDSGIDLLTDCSSMQSLACNYCDQISESGLVVLSGLSNLTSLSFKRSNAVTAEGMRAFANLVNLLNLDLEGCLKIHGGLIHLKDLTKLESLNMRYCNYIADSDIKYLTDLTNLKDLQLSCCKITDLGVSYIRGLQKLTHLNLEGCPVTASCLEAISGLSSLVLLNLNRCGIYDDGCEYFEGLKKLKVLNLGYNYITDACLAHLKGLISLESLNLDSCKVGDDGLSHLKGLCNLQSINLSFTLVTDIGMKKISMLNSLKSVNLDNRQITDVGLAALTSLTRLTHLDLFGARITDYGTNCFRYFKNLLSLEVCGGFVTDAGVKNIKDLKALTLLNLSQNVNLTDKTLELISGLTALVNLNVSNSRVSNAGLKHLNDLQSLRSLSLDSTRVTANEMRKLRATMLPNLISMRPE >Sspon.02G0021300-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:71458648:71460292:1 gene:Sspon.02G0021300-1A transcript:Sspon.02G0021300-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVVWLLPSILCGQTVSLPISLRRFIWQELVDWEHKSKESGKMHACGHDAHTTMLLGAAKLLHARKDDLKGTVRLVFQPGEEGHAGAYHILREGVLDDVSAIFGLHVDPGLPVDTVSSRPGPFLAASGRFLVTITGKGGHSAGPQNAVDPIVAASSAIVSLQMLVAREIDPLQSAVVSVTFMKGGDAHNVIPEKVSFGGTFRSLTTEGFSYLMKRIKEQTNDIFILLSKIIEAHATVHRCTAVVDFMEEELRPYPATVNDEGMYHHAREVAETMLGQDNVRIGAQSMGAEDFSFYAQKFAGAFFFIGVRNKSMEAMHPLHSPYFVIDEDVLPFRLALSVFYTSIAYGFEHNFL >Sspon.02G0012780-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2A:34198362:34198652:-1 gene:Sspon.02G0012780-1A transcript:Sspon.02G0012780-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVDPEKKNQEERTPTPAAVHQPRQRHVVARDDPLRSVGSRTPRAPRGGGDCSAASTRNVELPPMDYCPSKGNGLMASSSDNATWTHDAGWHFLSR >Sspon.01G0002620-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:7028451:7029248:-1 gene:Sspon.01G0002620-1A transcript:Sspon.01G0002620-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGCGAAADGNPAAAADELASGADAGLNAFFDHAGLDLAAAGGGQGADEEEELEWLSNMDAFPSVETMSAEVEAAPSAPAAGVGRLEPLPHAHAVGPRTKGLRRRRRVTAPWSVPPVLPPAPPPPGGAPPRRRCTHCASEETPQWRQGPKGPSTLCNACGVRFKSGRLFPEYRPILSPTFSPLLHSNSHRRVMEMRRHVEVETATAGGRAGAMARRAERAAARAAAATATKGK >Sspon.04G0017240-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:68966734:68969475:-1 gene:Sspon.04G0017240-3C transcript:Sspon.04G0017240-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPSKKATSSAAAAAAAAVSSNDRQAMCVQGDSGSGLVLTTDPKPRLRWTVELHERFVDAVTQLGGPDKATPKTIMRVMGVKGLTLYHLKSHLQKFRLGKQPHKEFNEHSVKDAAAAMEMQRNAASSSGMMGRSMNDRSVHMNEAIRMQMEVQRRLHEQLEVQRHLQMRIEAQGKYMQSILEKAYQTIAAGDVAACPAAGYKSLLGNHQAMLDVCSLKDMGPSMGFPSLQDLHMYGGGGGHLDLQQQMERPIEAFFASCDIGSLAKKRPISPYADGKSPMMWGDDEDGKGIVDQLQMAPPMMDAAGIDVMDSIADVYGDAKPMMSGDSTGSKGGFDVKLERPSPRRPHMGGSPSVIGGGQQTRNLSYG >Sspon.07G0002410-1P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7A:6100968:6101762:1 gene:Sspon.07G0002410-1P transcript:Sspon.07G0002410-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AFYSTKKAPIGVDFTRVGWPEQVRKVLGSAFLYLRSGTCSGIKVGRLDMEEEEIVDNVMAAVEAAVEKVPKKWANVRALHLKAVDSVALPIYQVVPELGMKIEVPEIVGSGEVIDAAEAEIGKKIDKKVNAGASGRVVFANISAKRKRNKKEQIEEDVVMQEEVQVETEKKKKRKSTAVSTDDSQKVGKKGKEKGKRGLENENEVKEASLDSKKIKKGKTKEGKKKKSMKGDGEVGTDEIQENKKIKGEESDGKIKKLRTRVRV >Sspon.08G0003210-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:6943397:6946951:-1 gene:Sspon.08G0003210-3C transcript:Sspon.08G0003210-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKSLLPQSQLRRSSAAAVRSSGGGAAGADGAMADGGGAGPRAPASSTFWFLLHALCCLISLFLGFRFSRFLFFLLFSTTALYHSTTSSSSAAVLRAITTTTTTTTTTTTTTNTFTLSFAAANTPPSGPANRTALEAADNKGAASSGNPQSHVVVGRHGIRIRPWPHPDPVEVMRAHRIMERVQEEQRRWYGVKEPRQVLVVTPTYSRAFQALHLTGLLHSLRNVPYPLTWIVVEAGGTTNATASMLARSGLTFVHIPFPDRMPHEWADRHATENRMRLHALRTYGKKVADEGSVSLRSVIRERKMDGVVVFADDSNVHSMELFDEVQKVQWMGAVSVGILAHTGTADQLRLSEEDKQNMPLPVQGPACNSSGHLAGWHTFNSLPFSGKTATVVGEAAPVLPRGLEWAGFVLNSRMLWKEADGKPDWVKDLDAVGENGEEIENPLTLLNDPSSVEPLGNCGKKVLLWWLRVEARADSKFPQGWVIEPPLEVVVPAKRTPWPETTTELPSELLDDKQEQEDRRLSRANKSSRPRGTTKRKGDPQGQDN >Sspon.03G0035400-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:80520498:80521677:-1 gene:Sspon.03G0035400-1B transcript:Sspon.03G0035400-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding TEIERAGERSMEMSAKKSRSSMSNNSGSMTTTAVERKEVERRRRQQMKSLCVKLASLIPKEHYSSKDAMTQLGSLDEAATYIKKLKERVEELRQKRTSARLLAAAGMRRGGGGGGGASTSAAMTTTTTSGGAGSSSEEAGHREDMPAVVEVRQHGDGSSLDVVLISSVERPFKLHEVINVLQEEGAEITNANFSVAGTKIFYTIHCR >Sspon.04G0006860-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:20472738:20474114:-1 gene:Sspon.04G0006860-2D transcript:Sspon.04G0006860-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRPPPPGRGTPGARRPIRDFFAAWLATLRSPLLPLLRRALSSSSSSGSWDDPLSSVAVAVEAHFQAHWSALDAAARQDPARVISAGDWRSPLELPFLWLGDVHPSLLTSLLRTLSSSPRLLAAVDRVDRRIRAAVPAVSDRLRRAQEAFVAAEATGAADVEAFLEELKGVALEANRLRRGVLSDLVAAAGGYQAALYLEALSRFVLSMHDPEVLRRFDQCRASPGQLLMIVLEIIAWIA >Sspon.03G0011220-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:46453490:46458652:-1 gene:Sspon.03G0011220-3C transcript:Sspon.03G0011220-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent zinc metalloprotease FTSH 11, chloroplastic/mitochondrial [Source:Projected from Arabidopsis thaliana (AT5G53170) UniProtKB/Swiss-Prot;Acc:Q9FGM0] MSALQASLLLRPLPSPLPPRRRLSLPSASASFPRGAHAPRRAPLRLRALVPGAPEPDAPEPPAAASSAATAAAEAEPEPEAEAVAEATTAAAAAQPAAMSGKEELEDLVDKARAWAMAVAAAVVAAARRFVDWLASRDWMSWWPFWRPDRRLQQLIDEADANPNDAAKQSALLHELNKFSPEDVIKRFEQRSHAVDSRGAAEYLRALILTNTIADYLPDEQSGRSASLPALLQELKQRVSGNEDKPFMNPGISEKQPLHVVMVDPKSTGRSTRFAQEIFSTILFTIAVGVMWVMGAAALQKYIGSLGGIGASGVGSSSSYSPKEINKDIMPEKNVKTFKDVKGCDDAKKELEEVVEYLKNPSKFTRLGGKLPKGILLTGAPGTGKTLLAKAIAGEAGVPFFYRAGSEFEEMFVGVGARRVRSLFQAAKKKAPCIVFIDEIDAVGSTRKQWEGHTKKTLHQLLVEMDGFEQNEGIIVMAATNLPDILDPALTRPGRFDRHIVVPSPDVRGRQEILELYLQDKPVANDVDINAIARSTPGFNGA >Sspon.01G0015690-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:45759037:45760105:1 gene:Sspon.01G0015690-1A transcript:Sspon.01G0015690-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSANKQWLAELEKDDELGELELIDPLSMQQLAESLANELRSLQPPPQEKRPTTGTGFSFVATGVGGNSVLMSFTDDGGSSSSSTTVGTGNNCCPPLTTPPPLTEKKAATVSGRRPSSIVKEHVLAERKRREKMHHHFATLASIVPDITKTDKVSVLGSTIEYVHHLKDRLKTLQKEHHHFAGSGGGRTTAESDAQCCTTGTGSMDEAVNKSDDESPKIEVDLRGKTILLRVVCREKKGVLIMVLTELENHGLSIINTNVVPFAESSLNITITAQVKH >Sspon.06G0016210-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:70305477:70306854:1 gene:Sspon.06G0016210-3D transcript:Sspon.06G0016210-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAPRKVLMLCGDYMEDYEAAVPLYALAALGIAVDCAAPGKRPGDSCLTAVHDFLGFELYTELTGHRFTITADFAAAAAADPSCYDALVIPGGRFTEHLSADAAVVGLVAAFAALRRPLVLTCHSQLLLAAAGGLTGGTRCTAFFSMRPVVELAGGAWVDPDPFGLCVADGHVLSAIGWPAHAQILAKLLHAMGARVENGRGGGQRVLVLCADYVDDYEANVPFRALAGVGCHVESACPTKRKGETVVTAIYDAAAPAPAAGATVTVSEERRGHNFVVTADWADASADDFDCVVVPGGRAPELLVTHDKAVALVKEFADKGKVVASIGQGHLLLAAAGLLRGKKCASGVPMRVVSRLAGAEAVESEGAVVDGRLVTAASWTDLAQFVARVIDHLGISVSF >Sspon.01G0003480-3P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:9670572:9670793:1 gene:Sspon.01G0003480-3P transcript:Sspon.01G0003480-3P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding TATMVKAVVVAVLLMQCREVIVAARPLLHTAAGVDGGTTMVMQVLDKGNNGPRRPGGGNCSWKKAGHPGCPPQP >Sspon.01G0018380-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:69474483:69482015:1 gene:Sspon.01G0018380-3C transcript:Sspon.01G0018380-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding EGGPSTPSTPAAITAAVTDSGYHLLVVDGYSRTKDTTPNGDWIESPPFRVGGHRWRIRYFPNGIDSEVADYISLYLLLDDDDNVAETTVKVQFDFTERHHGRGLKDACFDFLIRAHANLQEELALGGLDRLASTCPLVLKELIAKLVSSKKPKIDVDTAFVVVPASDMHLHFTQLLQSGEGTDVVFEVSGEKIHAHLCVLAARSAVFRAELFGPMKEGTTTGVIRIDDMHGSKSVQVDVYDLMPDDINEEDEEYDDEQDMEEEEDEDDDDDDDDDDEVTWQHLLVAADRYDLQRLRLMCEQKLWGYINATRVASILELAEQRHCQGLKQACLDFLSFPPNLQQVMAAGGLNHLRSSSTTVTDSGYHLLVVDGYSRTKEITQNGDEIESPPFRVGGHRWRIRYFPNGIDSEVADYISLYLLLDDDNVAETTVKVQFDFSFVDKAEKQLPSNIHEIEVTNFSKESLSWGYDDFMKRDKLEKSAHLKDDSLTIKCDIVEMEEEEDDVMWQRLLVAADRYDLLRLRLICEQKLCSYINTTTAATILALAEQHHGQGLKDACLDFLLRAHANLQEVMVLGGLDHLASTCPLVLKELIAQLVSPNKKARIDVNTAFVVVPAFDMHQHFTRLLQSGEGTDVVFEVSGEKLHAHRCVLAARSAVFRAELFGPMKEGTTTGVIRIDDMEAKVFKLLLTFIYSDSMPDINEDEEYDDKEDMEEDEDEDEDDDDDDDDDDVDDIELMWQHLIVAADRYDLQRLRLMCENKLCGYINATKVASILELAEQHHCRGLKEACLDFLNFPPNLQQVMAAGGLNHLRSSCPSVLIDLIAKLAYLKPDN >Sspon.04G0000190-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:1685310:1691343:1 gene:Sspon.04G0000190-4D transcript:Sspon.04G0000190-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoenolpyruvate/phosphate translocator 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G33320) UniProtKB/Swiss-Prot;Acc:Q8RXN3] MQSAAALRPCPARQLVSRNPSRPLLPARPLRVSVSAATTRGLPLGLLSASPDREGKSRQRQVSCGAAGDAVAAPSAEEGGGLTKTLWLGSLFGLWYLFNIYFNIYNKQVLKVFPYPINITEAQFAVGSVLSLLFWATGIIKRPKISGAQLVAILPLAIVHTLGNLFTNMSLGKVAVSFTHTIKAMEPFFSVLLSAIFLGEFPTVWVLASLLPIVGGVALASLTEASFNWIGFWSAMASNVTFQSRNVLSKKLMVKKEESLDNLNLFSIITVMSFFVLAPVTIFTEGVKITPTFLQSAGLNVNQVLTRSLLAGLCFHAYQQVSYMILAMVSPVTHSVGNCVKRVVVIITSVLFFRTPVSPINSLGTAIALAGVFLYSQLKRLKPKPKTS >Sspon.02G0001590-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:32062077:32066980:1 gene:Sspon.02G0001590-2C transcript:Sspon.02G0001590-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLTSLHCSHPLLRPSVRITPSPVSAPTPPVLRRTRTRTRRQLQRCLCSQYAGDRQPPPPPQQQQLERLFSNLNQATMKHEPGSVTSAIFLVAGTTVGAGILAIPAVTQEAGFLASAVICILCWLYMVVTGLLVAEVNVNTMCELGSGGVSLMGECYPVFSGFRRDLLLWKAVASGNLQWSSLLEANFAAAPQSIPIIALSFVYQNVVPVLCTNLEGDLSKVRTAIVAGTAIPLALFLVWDGVILGTLPGLAGSSTVSDPLELLRSSNGVVGPIVEAFSFLAIGTSYIGFILGLSDFLADLLVLFGVFPAAMSWSERYSDELEAPVPPIVPGGKFTLSVVTGGALLVILSEIIKDIMQLQGQH >Sspon.08G0021900-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:45414017:45418754:-1 gene:Sspon.08G0021900-2C transcript:Sspon.08G0021900-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VTNILQKYQLQNHRLVLPKKQFVTYALYALIALAFFHYLIFYPAPASEKSVVVAQVQEEVAAGVSARVNAREQLLPPPPPSQQGDEILRNQRTQGIVAKQVPAPAPAPPCDYSDGEWVPDKRSPLYNGTSCGTIKDGQNCMAHGRPDTGYLYWRWRPRRCDLPAFSPEAFLSRLRNKHLAFVGDSLARNPAESLLCLLASRSAPELVYRDGEENRFRRFVFREHNATVSVFWSPFLVKVAEKAEHAAVRHNNVFLDAFDERWMSQLGALDAAVLSVGHWFLLLGIYHHGGSVVGCHDCAELNHTETDFFGVFKTAIHRTLAEVTRRHGDTAGRKVVALTTFSPAHFEGDWDKAGACPKKRPYRNGEKELGYTESEMRRTVVEAVQAAANAAGAGSGLRFAALDVTTLANLRPDGHPGPYMHKDPFAGGGAGSRVQNDCVHW >Sspon.02G0007730-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:25251891:25253633:1 gene:Sspon.02G0007730-3C transcript:Sspon.02G0007730-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVLLCICVVLLLVSAASAASERCVRQGKAAYSPSLSPVPHGSSGACGYGAMAAKINGGFLAAGGPRQHRGGLGCGRCFQVLPPAMRCRDAKLCSAGGVRVVLTDFHWSNRTDFLLAGPAFAALAKPGMAQELNKLDALSVEYKRIPCEYKEKNLSIRVEEASDKGRGNLVVKLLYQGGQTDILAVDVAPVGSSAEWRFMTRVYGPVWSTPRAPAGPLQFRAVVTGGYDGKWVWADQEVLPADWRPGQVYDTGVRIADVARDACRGCAAATAAAEMDD >Sspon.03G0041910-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3C:48050258:48053666:1 gene:Sspon.03G0041910-1C transcript:Sspon.03G0041910-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAMLKARIKVMSSSDDVSQQDLEIVNEVSNNDGLEVADNQRSDQVEVSAEMSGLHQGSALSPYLFALVMDEVTRDIQGGIPWCMLFADDVVLVDDSRAGVNRKLELWRHTLESKGFRLSRTKTEYMRCDFSASLMGLASWATYTYTPTMPMPQSVQDDRSNQTDIVKAVAVMSNNKGSEYAAPNVKIDQVSRHSSRIQDDGTTMLEKAMNKKAAAMPKETIKASFRQRELERFAGQRDMYWGWLPCIGHSGVMLMGVDKEVAQVTEEDHGVYFQSMKLTMKADGFECNVDVGFMDAFNEMINVTALRELHRSGSRYTWSNKQTPPILCVLDRVLVSNSWEDKFNLATVLTAPRLGSDHNPLIVDTSDTDRCLTKCQYFRYSSHWAKQDGFCDWVKSKWPVRFKFDPLDHWHIVSGKLRKAIKGWGQNLDSYQKRHKQEIMRSITELDEASEVRDLTQEEWEESLEELDAGLKEMKNNTAPGPDGLSVEFFKTFWSYIREDIKEMLDKLHNGHLELWRLNYGVIILLPKVLTIRLTHVVDKLISPYQTAFIPGRNILEGVVIVQEVLHELRITKKPGVILKLDFEKAYDKAMSGMKINFDKSEVFTMGLSEEE >Sspon.06G0034500-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:46628307:46629418:-1 gene:Sspon.06G0034500-1D transcript:Sspon.06G0034500-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTSSQPMLRSTSNFLSLAAHMTNLALISLGDVTTREDVEGICIVARIGNDIMSNAREQASDHVASTVHTCMKQYGVMIEEANEKLRVKIEEAWMDIVEECLEQKHPMTLLETAVNVTQIADFLYKREGAFTLSFSLKDIIASMYIYSNSTKSRTPTCMYGDLGRDRINKG >Sspon.07G0032420-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:49885157:49891641:-1 gene:Sspon.07G0032420-1C transcript:Sspon.07G0032420-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPTINRWSSCAVQLCKFSEQYAYRSNTFLCPDKLGTTVIIKNGKTVLGSTRDKRQLRLASRDETKSILTGVYWSHSPSLRHSEHYGRFSFSIGNPNPRKMGDKPITIISDEEAKEPTTLDEEEDIQALAEPPDWLPDGWIMEVYRAEDGTIIRYYTSPISNYTFTTKSEVLEYLFSRTDERMLESKERGAENTLQRKHEWLPKGWVMEIRAGGEKTDKMYKFYVHSITGVRLLSKQDVLLYINEAKVSGCDTNGQCDTSSEDNILAKVDFRPSGLPEGWVKEIVHRKTKEGLIRRDPYYTDPASSYTFRTLKSALSFLETGTVSKHAIIQRTSVHDLYSFEISTDMQKTVIPQVAQIQQMSLNKKTLEARRLPAKKATSSTNILKSLKCQTPIQ >Sspon.02G0002500-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:7240828:7249201:-1 gene:Sspon.02G0002500-2B transcript:Sspon.02G0002500-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MANLGGGAEAHARFKQYEYRANSSLVLTTDSRPRDTHEPTGEPETLWGRIDPRSFGDRAVQNKPPELEEKLSKSRTNKSKRDAAAAVDPDLPRRDAKRRRRAASAQEVSVLSLTDDAVYKPQTKETRAAYEALLSLIQQQLGGQPLDVLAGAADEVLATLKNDKVKNPDKKKDIEQLLNPISSQLFDQLVSIGKLITDFHDAAAGDAAGAPSADAMDTTLDDDVGVAVEFEEDEDEESDFDQVQDELDEDDDDDMAELNGPGGMQMGGELDDDDMQNANQGLAVNVQDIDAYWLQRKISQAYGDGDIDAQQSQKLAEDILKIIAEGDDRDVENRLVMLLDYEKFDLIKLLLRNRLKIVWCTRLARAEDQEQRKKIEEEMASDPSLAPILEQLHATRASAKERQKNLEKSIRDEAKRLLNNDAAAGADGARDRRAAERDMESGWLKGQRQLLDLESLSFQQGGLFMANKKCELPTGSFRTPHKGYEEVHVPALKAKPYETGEKIVKISDMPEFARSAFDGMTQLNRVQSRVYDTALFKPDNILLCAPTGAGKTNVAVLTILQQIGLHMQDDGQFDNTKYKIVYVAPMKALVAEVVGNLSKRLAGYNVTVRELSGDQNLTKQQIDETQIIVTTPEKWDIVTRKSGDRTYTQMVKLLIIDEIHLLHDNRGPVLESIVARTVRQIETTKEHIRLVGLSATLPNYEDVALFLRVRKESLFYFDNSYRPCPLAQQYIGITVRKPLQRMQLMNEICYEKVMAAAGKHQVLIFVHSRKETAKTAKAIRDTALANDTVSRFLKNESASQEILGTHAELVKNNDLKDLLPYGFAIHHAGMARVDRELVEELFADKHIQVLVSTATLAWGVNLPAHTVIIKGTQIYNPEKGAWTELSPLDVMQMLGRAGRPQYDTHGEGIILTGHSELQFYLSLMNQQLPIESQFISKLADQLNAEIVLGTIQNAREACSWLGYTYLYIRMLRNPTLYGLPADILESDKTLDERRADLIHSAANLLDRNNLIKYDRKTGYFQVTDLGRIASYYYISHGTISTYNEYLKPTMGDIELCRLFSLSEEFKYVGVRLDEKMELAKLLDRVPIPVKESLEEPSAKINVLLQAYISRLKLEGLSLSSDMVYIRQSAGRLLRALFEIVLKRGWAQLAEKALNLCKMVDKQMWSVQTPLRQFTGIPKEILMKLEKKELAWERYYDLSSQEIGELIRYPKMGRQLHKCIHQLPKLNLSAHVQPITRTVLGFELTITPDFQWDDKVHGYVEPFWVIVEDNDGEYILHHEYFMLKKQYVDEDHTLNFTVPIYEPLPPQYFIRVVSDKWLGSQTILPVCFRHLILPEKYAPPTELLDLQPLPVSALRNARYEGLYSAFKHFNPIQTQVFTVLYNSDDSVLVAAPTGSGKTICAEFAILRNHQRAVSGESNMRVVYIAPIEALAKERYRDWERKFGEFAKVVELTGETAADLKLLDKGEIIISTPEKWDALSRRWKQRKHIQQVSLFIVDELHLLGSDKGHVLEVIVSRMRRISSHIGSNIRIVALSASLANAKDLGEWIGATSHGLFNFPPAVRPVPLEIHIQGVDIANFEARMQAMTKPTYTAITQHAKNSKPALVYVPTRKHARLTALDLCAYSSVEGAGTPFLLGSEDEMDTFTRGVEEETLKNTLKCGVGYLHEGLSELDQELVTQLFLGGRIQVCVASSTMCWGRPLPAHLVVVMGTQYYDGRENAHTDYPITDLLQMMGHASRPLQDNSGKCVILCHAPRKEYYKKFLFEAFPVESNLHHFLHDHMNAEVVVGVVENKQDAVDYLTWTFMYRRLTKNPNFYNLQGVSHRHLSDHLSELVETVLNDLESSKCVAIEEDMYLKPLNLGLIASYYYISYTTIERFSSMLTQKTKVKGLLEILASASEYAELPGRPGEEEFIERLVRHQRFSIEKPKYGDPHVKANALLQAHFSRHTVVGNLAADQREILLSAHRLLQAMVDVISSNGWLSLALSAMELSQMVTQGMWDRDSVLLQVPHFTKDLARRCQENEGKPIESIFDLAEMGVDEMRDLLQLSNSQLQDIIEFFKRFPNVDMTYEVREGDDITAGDNVTVQVTLERDMTNVSSEVGPVHAPRFPKPKEEGWWLVIGDSSTNQLLAIKRVALQKRARVKLEFSAPAEAGRKDYMIYLMSDSYLGCDQEYEFTVDVKDAGAD >Sspon.07G0029540-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:70207936:70208490:1 gene:Sspon.07G0029540-3D transcript:Sspon.07G0029540-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPPQRQQPAGSACVWVVAVVLLLAVLAGGGCLGLYVTLPPSEVPQWLPAAGLALVALPWAFWIATCAYRCCCSADAAAAAAPPVANIVERRSSSRAGAAAVAPMPMPSSKSLKSARSTRHANGSLASGSGSGSPTASSAARRVRFGDTTVLGEDHAAPEKDDGSSVHSNESEAPLAYNMQSSG >Sspon.03G0021230-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:51846426:51847784:1 gene:Sspon.03G0021230-3D transcript:Sspon.03G0021230-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MREQYSSKPVGIRRHYRRCAPATPHIAVAFLLVALGMLYLIFGPTNSDLNLVLAMPRVHVVFNEPAVVPAAPAAAAPAPGNATDDDEDRGLPPPRQLTDPPYSLGRAILDYDARRSAWLAAHPEVQVQVQPAAGRRRPRVLVVTGSAPARCPDPDGDHLLLRAFKNKADYCRVHGLDVFYNAAFLDAEMSGFWAKLPLLRALMLAHPEVELLWWVDSDAVFTDMAFEPPWERYERHNLVLHGWPAKVFEERSWVGVNTGSFLIRNCQWSLDLLDAWAPMGSRGPVRDSYGELFARELSGRPPFEADDQSALVYLLLTQRGRWGDKTFIESTYELNGFWEGIVDRYEELRRKGRPAGGLGDDRWPFVTHFVGCKPCRRYADSYPADRCRRGMERAFNFADDQIMRLYGFEHEALNTTAVQRVGNETGGTLDADDEELGRLLHPTFRAARPTQT >Sspon.04G0002900-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4A:9000170:9000877:1 gene:Sspon.04G0002900-1A transcript:Sspon.04G0002900-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPRLQFYLSFMGCFDLLHSAIKINPILLGRNLEDVVKPNIAFLQQCGLTASNVPEFAMLICMKPEDVRERMACAEKLGVPHNTGMFKSALWAVCCAGPNSIGAKMDVMKATLGCSEADLALMVCKSPQILRISEGKLSRTVKFLKVDVGLKLQYILHRPAVLGYSMQRRLMPKHYFIKILKAKGLVKEDIDFYYTICLTEKRFVQKFINAYNKSIAGLADAYATACAGKMPHEDE >Sspon.08G0019740-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:15129036:15131915:1 gene:Sspon.08G0019740-3D transcript:Sspon.08G0019740-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTGRKRTAPEAGANGAGGPKRARESETTQMGVGSKSKPCTKFFSTAGCPFGASCHFLHNFPGGYQAVSKMTNLGGPPVPAPPGRMPMGPGGPDGPPSPAVKTRMCNKYNTAEGCKWGSKCHFAHGERELGKPLQMDNSMGAPPPMGPGPNGHFMPPPMPHLDMVPPSTFGASATAKISVDASLAGAIIGKGGTNTKHISRVTGAKLAIRDNEADPNHKNIELEGTFDQIKHASAMVTELIVRISGKAPGPQAKNNPGGRGPGSHPGGPGSNFKTKLCENFNKGSCTFGDRCHFAHGESELRKPAAA >Sspon.02G0000440-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:2393158:2394456:1 gene:Sspon.02G0000440-3C transcript:Sspon.02G0000440-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding THVVQADVPSPEAWRTRRWRRAVEYHAAVIAPHLAAGALAPASRAVCLGGAQEALAMRELGVAKAVAVARKRAPPLVVAGDDRRLPFDASSVDFVFAGRALDAAKRPADLAAEAARILKPEAHLVVLTSSAADAYSLRSIQALLPSLRLVRSREIDATQDGDSSTLRELVFQKNPHATGTSSVNNCSVGDNRRQLLAHAEPLIQEEPLKPWITLKRNIKNIKYLPALADISFKRRYVYVDVGARSYGSSIGSWFRKQYPKQNHTFQVFAIEADPAFHPEYAAKKGVTLLPYAAWVKNETLRFEINGDPGKEDEAKANGRGMGRIRPATGKKMSGEVRSVPAFDFAEWLKQTVTEQDYVVMKMDVEGTEFDLIPRLFDTGAICLVDELFLECHYNRWQKCCPGERSPKYENTYEECLDLFSSLRESGVLVHQWW >Sspon.03G0028570-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3B:9857588:9860094:-1 gene:Sspon.03G0028570-1B transcript:Sspon.03G0028570-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFFYCAPTLFAGRQHSNITGSRGNVKNFVRALFASEIHRLY >Sspon.04G0014710-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:57802580:57807992:1 gene:Sspon.04G0014710-2B transcript:Sspon.04G0014710-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGEGVVDQLSGAAGGEAEAKMETEEEREGPVLYLDLTSYQLHDLSEVEIPPTLEEIDLTANRLSSVDPRIGQLPRLRKLSFRQNLLEDDAVVPLSSWETIAGLQELVLRDNKLTRIPDAGIFKGLLVFDVSFNEITSLTGLSKVSSKLKELYVSKNEVAKMEELEHFHALEILELGSNRLRVMENLETLTNLQELWLGRNRIRAVNLCGLKLIKKISLQSNRLTSMDGFQECTALEELYLSHNGIQKMEGLSTLQNLRILDVSSNKLTTIENIETLTRLEDLWLNDNQIPSLDGIETALAGSREKLTTIYLERNPCAKTPDYSSTLKKIFPKLEQIDSDIIA >Sspon.02G0039750-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:60708759:60713649:-1 gene:Sspon.02G0039750-1B transcript:Sspon.02G0039750-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALSSPPSLRHLLLFRPRLLLSPTCLAGSFSRCHIHGRRRLFFSASSSTLTHGDAASTHGDADAIVDVNPPHGTRDFPPEDMRLRTWLFDQFREVSRVMAFEEVDFPVLESEALFIRKAGEEITQQLGKMTREEIEKELISTGLSSEAVEGIIDVLSLKSLSKLEEVLGSGVEAVADLKKLFSFAEQYGYADWICFDASVVRGLAYYTGIVFEVVFSLSLSYVNSRTTNKAFDREGKLRAICGGGRYDRLLSTLEVKIYQLVALDLEMLL >Sspon.06G0023390-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:43418404:43425691:1 gene:Sspon.06G0023390-1B transcript:Sspon.06G0023390-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVATPLLLGCYQSSEMVHKRSHPTQRNPFQKIFAQENRQRQATRYTETEYCSPLEEEAPDKVLHRLYANLERLKMEGDILAVQIWRTLRLIGKKNPSTDQEAVKSFLGLVKHAREMKIDRFCLCACVDRLCGMDAEVSYAFHSERKRNPEKFKNQLTNQGQYAKLGLKQGWFCASLSQPLSRNLAQLAKVKREFTAPFVDDGLIEVVGFRDAWHGLVLLAPNGHGTRIAQTEMGWSPHLNQSDFTILFHRPTESGLSSTKAADHTFMRVDGEPWKQPLPKDDDTVVVEISHLRQVTMLANEPCRSKSVNDPSSPVPPHDSHSDDKDTAAEEDEDEWEDGRKKFGAADTFKLPDEIDIAHLS >Sspon.08G0024160-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:64315133:64321253:1 gene:Sspon.08G0024160-2D transcript:Sspon.08G0024160-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVIKLFPSHNRFVFRYRMANKLRMILHEIDVLVAEMNTFRFKFKPQPPMSLKWRQTDPCIPSHSMNIASESRAEDKKKIVAMEKFQSALSGKRYLLVLDDVWNHEAGKWGKLRSYLQHGGTGSSVMTTTRDENVAQLMGTIEAHKIKALDLNIIEEIIKQEAFGSQAKVPKEFKKLVGDVGKRCSGSPLAATALGSVLRNVENASREKGSLAIQTLICDESRIQHVQHLSKYCRAVRALKTQQYSFLKPKYLHHLRYLDLSLIDIEENILNASIEKGYPAIQTLICDRSEIANVQQLSKYLRPVRALKTRQGPFLKPKYLHHLRYLDLSRSDIVALPEDITILYHLQTLNLSYCESLEQLPKAMKYMTALRHLYTHGCPKLTSMPTELGCLTSLQTLTCFVLGTGSGCSNMEALKNLDLGGQLELRKLENATGADAKAAKLWDKKRLKELTLSWTWNNGNKEAHEEVLEGLRPHDGLKALRMYCCSSSGIPTWMLELQGMVELKLEDCQNLEKLPALWQLQSLQFLYLSNLSNLHCLFSSGAPSKFQKLKMMALENMPNFEMWWDRNEVQGEEQIFPEVENLRIVLCQKLSALPKASVIKKSSGRDGAECRSPFPALKEMWLRYLGKFHRWEAVQGSLGEQVTFPSLEQLEVRGCPELTTFPEAPKLRKVQLLDCRQQASLQAGSKYITSLSSLALATLEIVRCDALVYWPEKLFQGLVSLRTLEIRECNKLTGLTEDEASHEQSALQQDATGLVSGESVVQRVETSSSLIAAGSSSNEDESTVSTAVVLKPSKWSLIPNQSPCPMEHLHL >Sspon.05G0011570-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:33237719:33239371:-1 gene:Sspon.05G0011570-1A transcript:Sspon.05G0011570-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGWSPIPSSETETIQDQGSKTTKDQTPKIAKDVTQLIGKTPLVYLNKVVAGCEARVAAKLEIMAPCSSVKDRIGYSMIADAEEKGLITPGKSVLIEPTGGNTGIGLAFMAAAKGYRLIVAMPASVSTERRAVLRAFGAEVVLTDPTLTMDGVVRKAQEVAARTPGAHVLQQFANPANPRVHYETTGPEIWTATAGKVDALVAGIGTGGTVTGAGRYLKERNPAIKIYGVEPSDSAVLSGGKPGPHKIQGLGAGFVPGVLDVSLLDEVFQVSNEEAAGMAKQIALEEGLLVGISSGATAVAAVRVARRAENRGKLIVVSRLCLRHQRPAIFASFGERYLSSFMYESLKNEAESMAFEP >Sspon.08G0025190-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8C:7085588:7086503:-1 gene:Sspon.08G0025190-1C transcript:Sspon.08G0025190-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MERQREVAADGGYIYGTVGDIQIKSHWYPLSSNQFAPITFTRDKLEMPLKCPERRDTQPSCGSRTHMGSSTTLSIGCYTTILPVRAILKLCSLARMRDRRHIWDVEVTRNAACAGASH >Sspon.02G0020910-1P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2D:63585810:63588272:-1 gene:Sspon.02G0020910-1P transcript:Sspon.02G0020910-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLKRSFAFCSLFPKGFVFDKDLLVQLWIAQGFVDAEGTAALKLSPTATSMTWCQGNECRMIQLPNSMKIDESPRHLSFVDEESHSVEEINLNSFCGHRDLRTFLFIARTEQNHEEMAFRTKIPSELITDFECLRALDLSNTNIMELPKSIGSLIHLRFLGLDNTAIQMLPESICALFHLQTIKLNHCSSLTQLPQGIKLLLNLRCLEIPHSDIKMPSGIGELTRLQRLPFFAIGNEPAGCSIADLNELVNLEGHLHITGLNNLDVAQASTANLWNKLGIQKLTLEWSELTNFNQSLCDPQGNAVSCMSDSQHQGISATGDQVLKCLKPHSNLEELSIKGYNGSFSSSWLGWLPLD >Sspon.07G0006590-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:15063422:15075146:-1 gene:Sspon.07G0006590-2B transcript:Sspon.07G0006590-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWSAVTAEDLVDALREVDWSTPPRPVPEFFSRFTVPRSYSKWTSRLKCNLYYYRTNYFILIMFILGMGFLWKPVAILAAFMTGLSIAFLNDSYRTNYFILIMFILGMGFLWKPVAILAAFMTGLSIAFLNDSFAVTFNEKVTRTVRQFSPHLAAKMRPPI >Sspon.04G0034430-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4C:74291475:74292080:-1 gene:Sspon.04G0034430-1C transcript:Sspon.04G0034430-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFFVLARFSSSKKLEKCRLSSNRDSTLSCQDGSIRAGHGDQTKIADIYINLPALEKLDAMLIVRIKIKSQSLLPSPSIDFSWSQSAMMIPIMRDGRCRTFWTASRRRSSGTRTPGRGRSARSPRPPRRGRCHRRSGGPLNGTVVAGALRPGRRPHRQGAQGPSAEARLCQPDQIHKAAVAINSGVLSDMEVPESFMAVLPK >Sspon.01G0006150-2P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:16187420:16191422:-1 gene:Sspon.01G0006150-2P transcript:Sspon.01G0006150-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding QSSRGRFDRNRPTGILGVHGSRAASVASKHVARYKQLAPPAVPVRGHGPTPPPAIWHRRISISSSRRVCRAAEVCETLRRPDCLPVPTRSTPATAATTREPSRRMAEDRRSGTRLHTLLFSVLSVPVTFPLLSLSLNPAFPGKISDFSNADVAVDQYHRFEEDVQLMADMGMDAYRFSIAWSRILPRIQPYVTLYHWDLPQALEDRYNGWLDRQIVNDFAAYAEICFKAFGDRVKHWITLNEPHTVAIQGYDAGLHAPGRCSVLLHLYCKTGNSGTEPYIVAHNFILAHATVSDMYRRKYKAAQNGELGIAFDVIWYEPMTNSTIDIEATKRAQEFQLGWFADPFFFGDYPATMRTRVGERLPKFTADEAALVKGALDFMGINHYTTFYTRHNDTNIIVRLLNDTLADTGTISLPFDKNGKPIGDRANSIWLYIVPSGMRKLMNYVKERYNSPTVYITENGMDDGNSPFTSIQDALKDSKRIKYHNDYLSNVAASIKEDGCDVRGYFAWSLLDNWEWAAGYTSRFGLYFVDYKDNLKRYPKNSVQWFKTLLSSS >Sspon.06G0008610-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:45264164:45278531:-1 gene:Sspon.06G0008610-1A transcript:Sspon.06G0008610-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAHVFLCLVAVVLAAAAAASPAHHQHSQCLDNPPDLSLRAGSESGEVVGDLPGGFRAYVTGRAKSSRAVVLASDVFGFEAPILREHCFFLPGKFGAEIAKTDDIKVVCLSHPATVTAEDMKEVKCPIEILGAQNDTTTPPKLVYQFVNALRQRNGVFLCLVAVMLAAAATAIPAHHQHLQCLLNPPGHLSLRAGESGEVVGNLPGGLRAYVTGAATSSRAVILASDAFGFEGPILRTIADEVALGGYFVVVPDFFNGDPYDTSKNAEWNKFHSPVKAAKNAKPLFAALRREGKTIAVGGYCWGGKFGVEIAKTDDIEVVCISHPTTVTAEDMKEVKCPIEILGAQNDMATPPKLVYQFVNTLRQRNVVPYFAKIFPGVGHGFACRYNTTDPFAVRTAEEALAYMLDWFNKYLK >Sspon.06G0018470-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:82707572:82710875:-1 gene:Sspon.06G0018470-2B transcript:Sspon.06G0018470-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At1g09190 [Source:Projected from Arabidopsis thaliana (AT1G09190) UniProtKB/Swiss-Prot;Acc:O80488] PMPPAAGDSSPPPSFAPATTTPDGWHPRTAERRLLHLLHHSPLARGRPLELLAFAVRRALPCSPASPHHHALAVLLLLSSPPPPALPLLRLLPPDPPPPLTLLNAAVKALSASSSPDDAFRLLSTLRRFHAPERLTFLPLLGCAASSLPLLSALHSLLLRLGFLSHHPITLALLKPYPLPHVRALFDEMPQNNKCTVAYNTLITACLKARDIRAARHLFDEMQRHRRSRRSVVSWNLMITGCARHERDDMAVQCFERMVREGEVAPDDGTLVVVLPACGRTGNVGAGRWAHEYAKKTGLLDGTVHVANAVIDMYCKCGDVDTAREVFMTTQQRSVVSWNAMIAGFSLNGHGVEGIDLFQEMRRQGMEPNDVTFLAVLGCCAHAGAVDIGREIFQSMLSEHGIEPAIEHYGCMVDLFGRSGLLEEAHTLIQGMPMKPNAAIWGALLSACRAHAGLGVAEVALKELINLEPWNSGNYVLLANLYAETERWEEAGQTAEERRLPLYQDGLMGAQNGVLKAASLGALRLQNLMLHLRHSSQDGKH >Sspon.01G0049290-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:95443196:95450728:-1 gene:Sspon.01G0049290-2C transcript:Sspon.01G0049290-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPSNNRGTCSRSSSARSRHSARVVAQTPVDAQLHAEFEGSQRHFDYSSSVSAANRPSASTGTVSTYLQNMQRGRYIQPFGCLLAVHPDTFALLAYSENAPEMLDLTPHAVPTIDQRDALAVGADVRTLFRSQSSVALHKAATFGEVNLLNPILVHARTSGKPFYAILHRIDVGLVIDLEPVNPADVPVTAAGALKSYKLAAKAISRLQSLPSGNLSLLCDVLVREVSELTGYDRVMAYKFHEDEHGEVISECRRSDLEPYLGLHYPATDIPQASRFLFMKNKVRMICDCSATPVKIIQDDSLAQPLSLCGSTLRASHGCHAQYMANMGSVASLVMSVTINDDEEEDGDTRSDQQPKGRKLWGLVVCHHTSPRFVPFPLRYACEFLLQVFGIQLNKEVELAAQAKERHILRTQTLLCDMLLRDAPVGIFTQSPNVMDLVKCDGAALYYQNQLLVLGSTPSESEIKSIATWLQENHDGSTGLSTDSLVEAGYPGAAALREVVCGMAAIKISSKDFIFWFRSHTTKEIKWGGAKHEPVDADDNGRKMHPRSSFKAFLEVVKWRSVPWEDVEMDAIHSLQLILRGSLQDEDTNRNNVRSIVKAPSDDMKKIQGLLELRTVTNEMIRLIETATAPVLAVDIAGNINGWNNKAAELTGLPAMEAIGRPLIDLVVADSIEVVKQILDSALQGIEEQNLEIKLKAFHEQECNGPVILMVNSCCSRDLSEKVVGVCFVAQDLTRQKMIMDKYTRIQGDYVAIVKNPSELIPPIFMINDLGSCLEWNKAMQKITGIQREDAIDKFLIGEVFTLHDYGCRVKDHATLTKLSILMNAVISGQDPEKLLFGFFDTDGKYIECLLTVNKRTNAEGKITGAICFLHVASPELQHALQVQKMSEQAATNSFKELTYIHQELRNPLNGMQFTCNLLEPSELTEEQRQLLSSNILCQDQLKKILHDTDLESIEQCYMEMNTIEFNLEEALNTVLMQGIPLGKEKRISIERDWPVEVSRMYLYGDNLRLQQVLADYLACALQFTQPAEGPIVLQVIPKKENIGSGMQIAHLEFRIVHPAPGVPEALIQEMFRHNPGVSREGLGLYICQKLVKTMSGTVQYLREADTSSFIILIEFPVAQLSSKRSKPSTSKF >Sspon.03G0045910-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:28211521:28217740:1 gene:Sspon.03G0045910-1D transcript:Sspon.03G0045910-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKSTPPRLLATRAAHLPVPRLPVLLLFVFLAAHVHVPVSHAIPPSLPTTYDGSICSKSFNCGGVNISYPFYLSDATREIADYGYNYSCGYADLMISCQGEGPTETPVISLGGENYAVHNILYDIYTIILVDSDVLVGGNCPVVHHEVSFDETWLHNSSSNDNLTFFFGCYLRGSLPQKFDTYKIKCVNPADDGPGDSFVLMTDEPDRYLVQELAENCKDVVTVPVRGEVLMAASNNQSNFTSGGYGYVLKGGFELEWSRITADQCQHCERSEGHCAYSQSREFLGCLCNGGKVGNPYCKHIASKTADYSGSYSCGYTDLNISCKLEGQILTPTIRLGGDDYTVESISYGYDHHTISLVDSDVLLGGGDCPAVGHGVSFNDTWLHNTSSNDNLTFFFGCYPHLRPWEHELDAYIIKCAGFQSPPGVRPGDAFVLTPGELDSVRHLEQELATNCGKVVTVPVIGDIPITAASNQSNFTSGGYGYVLKGGFELEWSRITTDQCQQCEESDGRCAYSQHREFLGCLCNGGKVGNPYCKRSTMALCSLCRHRLPLPFLLAVLFAASRADPSDDDYKYNTSICKLQSYTCGGVDIHYPFYLSDETADVLGNNSSCGYPGLAIDCVDDKYPILQLGSSPDDSYNVTGINYTDFTISLADLDVPDGDESCPVDYNVTVPPAVWLNLLPEYTVDYLLFFANCSISTIPGQPYINPISCPSSSVGYYSFVIPSDSEVPQQTLSRECKKVIQVPVLQNASLTIDQQWSTNGYRVALEQGFQLGWNSSRRSELCIKCELSNGGCAYSRYGEFAGCLCTNGQVSDHECSNGKPFLS >Sspon.08G0005340-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:16179998:16182412:1 gene:Sspon.08G0005340-1A transcript:Sspon.08G0005340-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSAASSLLSALLALAPENNLDCSFLDDVYLQKRPTVPKFGTWDSDDVGYTVYFDKVRENKGATAPPLHRPHNPNDPEEGPMMRVPPPSSSRPATAGGHRDREPPSSRRHHGQSHHRRTESSSSAASDPGGASHQSKFAPPPQYYQRASPQPQPQPHHHHGGHHYHHGGHHQQHQPPSAHGHRAPHAHREHRAAPGPRARSASPQSNAPNRQRPSTVPKFGVWDEQTAASAGQGFTVVFENVKRHREVARSAMPAVPRVPSPPEGAALRRAHQKTPFVSKMFGCFLPTTAKG >Sspon.02G0050260-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:54453702:54455354:-1 gene:Sspon.02G0050260-1C transcript:Sspon.02G0050260-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPPSSGVLPPSYPLRLREPPLTLRNPRSILPVADVAALLLRAAYEGDVPQLKTLVKRLRKAGKSVEEAMTEIRASWYKGQGPLHMAALSGKTAVCKLLIKDLKLDVDAAGYDGVTPLSLAILGTASAAVTRLLLDHHADLNKAAFDGCTPLHLATTRDAYEIAELLLSRHAYVDPVSECGTPLYIAAKNGSAKMLKLLLRHQAD >Sspon.02G0013300-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2C:38113367:38113612:-1 gene:Sspon.02G0013300-2C transcript:Sspon.02G0013300-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWSTCRDKKRKHQRGRACRGRKQCASPARVVAHGATAQAVGLSPLCLSGTSGSRGLAPVSWSRRRVSTQDRVSSVVLAVG >Sspon.08G0007910-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:24728426:24728827:1 gene:Sspon.08G0007910-2D transcript:Sspon.08G0007910-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLKLAMIVLPPLMVTIVVLSLVGASWDVMARVTVVLVAFLLVIGLCDRMRQQPAAESMAAPPQESSALGLGASAIASLPVYKYEKKSGGGSDECSICLGEMKPKETVKQLPVCTHLFHEGCIDLWLWSHRTCP >Sspon.03G0011490-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:47248615:47253714:1 gene:Sspon.03G0011490-3C transcript:Sspon.03G0011490-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAGAAALPRLWALLLPFLAVATCLDVPSHGCYWTGCQSKWFGVCAARHILDSQSDDCDGLCVESKSPPCLPLHTHFYCCKPGIPKVTNKCGHCKSKLDFGKEFICCSDCSDPTIMDKNSKLGYCKSGADLTMQLKPQETFHWVAGPWMTCSSPCDGGIRYRDVACYGNLDDNTIKHYPVDDASCSGDEMPARQEACNQQSCSDPEMTQSVNPKKSGMSGWLVALVVILGLSAASGIAFTSYTYYVRRTSGTSGFVYVMMEAYS >Sspon.08G0018580-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8C:7995327:7996693:-1 gene:Sspon.08G0018580-2C transcript:Sspon.08G0018580-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAERGDRSVMAVRGHCFRFSSSSSPETGAGERETDNTAQLPLHKGDLIDHKLGGVSSVSSTLSGPFSVEAGPQPTQPTLVVVVGGDTMGRGPTIALAAAAAAALLVSLPMLMVSMRAADPYEQETRRMFVEWKARVKETYRYVGEEECRYAVFKETRRRVARARAAGVTSSGLNSFSATTIEERPAGCLWGWKAKYGKTYRDVGEEECRYGLFKGNRRVVVRLIAAAGQNVCGLNQLGDLTNEEVCERCYPKMEDRELSARCQSRPGLRPWEADSVCRCIATELKETESGGSAIPGDGLMVLLLQQLMQMHGV >Sspon.03G0025840-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:957529:961789:-1 gene:Sspon.03G0025840-1P transcript:Sspon.03G0025840-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHTKGASSDAIRVSTSSAPSTSSHGSAQDDYDSSGDVYVWGEVICDNTVRVGPDTVIRSTGKADFLLPKPLESKLVLDVYHVDCGVKHAALVTKNGEVFTWGEESGGRLGHGSREDSVHPRLVESLAISNVDIVACGEFHTCAVTTAGELYTWGDGTHNIGLLGNGTDVSHWIPKRISGALEGHQVAYVSCGTWHTALVTSRGQLFTFGDGTFGVLGHGNRESFSCPREVESLSGLKTIAVACGVWHTAAVVEVIVTQSSSSMSSGKLFTWGDGDKHRLGHGDKEPRLKPTCVASLIDYDFCRIACGHSLTVGLTTSGQVLSMGNTVYGQLGNPRSDGKLPCLVEDIMGEHVVQVACGSYHVAVLTNKSEVFTWGKGANGRLGHGDIEDRKIPTLVEALRDRGVRHIACGSNFTSAICQHKWVSGAEQSQCASCRQPFGFTRKRHNCHNCGLVHCNACTSHKVLRAALAPNPAKPYRVCDSCFMKLNSAAYSSAVNKKKEAVPRHSGESNHDAKLARAIVPSNLDMIRSLDSKAAKQGKKTDALSFLRTPQMSSLLQLRDIALSGGIDMNKSVPRAVRTSVRSLNSSRAVSPFSRKPSPPRSTTPVPTTHGLSVAKTAADSLAKTNEMLSQEVERLRAQVDNLRHRCELQELELQKSAKKVQEAMTMVSEESAKSKAAKEVIKSLTAQLKDMAERLPPDQGAYDGSEAKQAHVPNGIEMYASIYTGMNGIHQPRNESISAVSTPSLNIGRSLLPNGISNQHKSPGSISENSEVSAHTHRVSGPPELKIRIEGDIAVVMRCSVQAVEQMIVATRMLGRFSMARMVTNLGVHNQVQAEWIEQYEPGVYITLTTLRDGTRDLKRVRFSRRRFGEHQAESWWNENRDKVYEKYNVRSSERVSSASSIRSAR >Sspon.03G0023210-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:71190217:71193817:-1 gene:Sspon.03G0023210-1A transcript:Sspon.03G0023210-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTAPPEDAEPPECPVCLSPFDAAYAVPRVLPCGHSLCGPCIAVLPPASAAAAGASSLRCPLCSQCVPFARALGPSSLPKNLALLALLPSPSHGHALTATPGTAPPPLPHQLPLHAAHARLLSRFRHAVLPESASPLRSEPSPVHLAFGSSTPTSARHGLPVSLLPIETQPIPLAKQEAEFYRPSHAARVLTAIDALSNAAKEELAGLIASSARLARLVCRLYGVWMSPDAPPLWMVSERHPRTVSQLLEEEIISREETVVQTGFLVMEACEVIMGLHSEGLVLGCLGLDCFCLDRFGHCLLDLNQALALCRGVKAGLSSNSIRAFMAPEVAVVLGDTLRTKDRDFDCLVGCSSDIWSLGCVFVALLTRDELVASWNSEGLYDDWEKEVVTRLDASLLGTILEPLSAVISSCLRYDPKCRPEIADVWKCIRGLLTKSGDVTLAPDDEVAAQKSFRCLLLGELSSMFVDSGAVESDDKTQLPRGADDNSLNQDHESNDGFLNNRGNDLSGIDGPQSAEVFKSSTLLAHRDCVTGLAIGGGFLFSSSYDKTINVWSLQDFSLVQCLKGHEHKITAIVAVDNDSHSLCISGDSGSGIFVWHVDSTLKEEPLNKWYEHNDWLYRGVNCLAVSGTGYLYTGSRDKSIKAWSLEDYSLRCTMTGHKSTVACLVVASGILYSGSWDGTIRLWWLTDHTPLSVLEDDTAGSIAPVLSISAEANFVASSYENGYFKIWKNDMLVKSEKLQNGAVYAVKLSGKWLYTGGWDKVINIQELLDDESEVELRDVASITCDSIITSILPWDERLIVGLSNRDIKVYCKAS >Sspon.01G0017540-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:61324908:61326413:1 gene:Sspon.01G0017540-2D transcript:Sspon.01G0017540-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSSRLVKTTSLPRHQRSLVQPLHSPRHKRVAALCPAAPESLLQELVTAAAGDAHHQPRARRASDETLAVHAGEKLGKKGADVGGSGTGTGTETDSIATPIVSGTTHWFKSSEDLIAFKEGRRHSFEYGRYSNPTVKVLEEKISALERAEATLVTSSGMNAITTTLLALVPPGGHVVTTTDCYSEARAFIRDKLSRMGIRSTFIDLDDGMESLKAVLDENDDVTLFYADSPTNPTLKCVDIRNVAELCHRKGALVCIDSTMASPINQKPLTLGADIVLHSATKYMAGHHEAIAGCVSGSAALISSIRAWHHDLGGAISPNAAYMVIRGLKTMALRVEAHNSTALRMARMLERHPKIERVHYPGLESSPWHEVATSQMSGYGGVVSFELKADLHGTMRFVDALEIPFIATSLGGCESLVQQPAVMSFWGQSQEEKAKNGIKDNMVRFSFGIEKFEDLRDDILQALNRI >Sspon.02G0038360-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2B:40898463:40899133:1 gene:Sspon.02G0038360-1B transcript:Sspon.02G0038360-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding WRWRDMRNKVNAGEQQMSSAVVIRGVLTFAAGQPDSARPRAESNTVANPKWSAPATSCLKINFHGAFCPTSKEGAWGFLIRDDTGACLLAGSGNEGPVYDALMAEAIACLKSLEVAEQHGISQLIIETDSTQMMDAIRTNSRDLSPSGMLFKAIRDLFLDTFTNSNLVYAPRSCNSAAHAAAQFARSRDPGHLHVWTDSFPNCVNVAVARDSAELVILNARP >Sspon.07G0016180-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:25859703:25864193:1 gene:Sspon.07G0016180-2B transcript:Sspon.07G0016180-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGEGGGAAAVHHHTRSAEDVFRDFRARRAGIVKALTTEKENLCLYGLPNETWEVTLPAEEVPPELPEPALGINFARDGMIEKDWLSLVAVHSDAWLLSVAFYFGARFGFDKDARRRLFTMINNLPTVYEVVTGVAKKQSKAPNGSSKSSKSNSKPSKQTNSNSKPVKPAHPKEEEDSGREDAEEEDQAYLCGSWGELCERGVLDLLRCLREVVPWKVCPHHPCEGGAHQAVQVSQLQHQAEPGMTGALGQDLLPVNGSI >Sspon.03G0026830-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:4292522:4302448:-1 gene:Sspon.03G0026830-3D transcript:Sspon.03G0026830-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase TIO [Source:Projected from Arabidopsis thaliana (AT1G50240) UniProtKB/Swiss-Prot;Acc:Q2QAV0] MALLPRPGSMARARDPCAPLLPQRPVSFLPPHPLARLLLSRARPYELFVGQPPFYTNSVYALIRHIVKDPVKYPDNMSANFKSFLKGLLNKVPQSRLTWPALLEHPFVKDDSMGSAAESRPAPFEARGSEGTRKAEEIQTSRNQPSPAAPQSRTTATNRDNDSDKPKGNRKLDGPMQATQDREGSPNECTALDKLEKASQTVEGANSIVEDNEALSTIFSPIKIWLTNTPSSPRELNIDGANQSLRIIKNLIDAGSCQSYAAIDISLLLEFTNLIIKTKLSNAYGLVVKCLAIARKLLDTSDEVILKSYDRHWLSLYELYSQILVSTVDPSGRISRESTACLALMLSRVISGLKASMSSENPKPVEESLLKVIDHARTSQLLELLCECLIASGSDTISGSTNMVPAACEACKAIWYLAHAVDIMSIGAHHFSFPLANSWRQIHSMQEQGSVADSNSTNLINIFVKSFLASRPMQVAVYHCLHNGLESAIHACLQLISRACLQNVSFCAIMCRPWNSPSDVDAVEYGGDGTIVSDMFSLLSLCGSYLNKESKQNSNQKCKLSNPHALVVHCCLALATIAACLKSEGESSASVILTSSQKKQRSRLSVLAHLSSVDDTVKSCLQPHCASAMLALSSLVSLENGGQTRSSLCETALALFPRMATLHTLLKLWLSDGSEALCRYNAGLLNLFGLRDGSIGLLETRLKWGGPLAIEQACSVGIPQLLIRLLTDGFSKEISDGKDSSTNRSGLSPLGVVWTLSALSQCLPGGVFREILYRREQLKLLTDLLSDTHLKALAAWTGLGGGKRGVRELINSVVDILAFPFVAVQSSPNMPLASASINSGFLLNVASPGGRIGTENKEMLKTIEQNMPQYIQVLLEVGIPGCMLRCLDYVDMEDLGRPLAIVAKMAGYRPLALQLHKEGLLDPSRVAALLEGPIAKETLLDFLMIISDLARMSKDFYVPIDKAGLVGFLKNFLSNGDPDIRAKACSAIGNMCRHSSYFYGSLAANKVIQLVVDRCSDPDKRTRKFACFAVGNAAYHNDMLYEELRRSIPQLTTLLLGPEEDKTKGNAAGALSNLVRNSDKLCEDIVSQGAIQALLKMVGSYSTVALSPSRRDALTESPLRIVLFALRKMCDHAICRNFLRSSELLPVIVHLRQSPDPTISEYASAIATRVSQA >Sspon.01G0006580-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:15667610:15669087:1 gene:Sspon.01G0006580-1T transcript:Sspon.01G0006580-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKTTSSPSPTISAMNPLLPSSSFLKSPHPPDPNPSSPNPSPCSYLLHADADDEALIQIPGQNPSLVGASAPFALLPSIDPAPHVSSQFYTFSAASYGLMLRCIRAGRPASSDEVRGATSLSVLASWRAVWKDRNEDTAYLTAWKRIQDKLAASPDGRHLHFKSNAAQRVSHVGMWRDIVSEAHADPDLLRHLAFKDTVDRIKQSWTVGQNSTVYQNHLSVYVLLHAPFVKLRLLANRILPSHHLDVGSGGAGLNILRHWMCRLEMCLQLAAKHKVVLCIRQKYIRYKPFMAEVKDYACHRAGVPTSNSGSAASSASNSEGKKARVLKREPYQSKRCGCGFRIRAIVPIANYNEKDKTFVYLEEGTAVFKLYAVHSGHEPGPLDGNARIVHRLVGHKGALEFDPDIYGVSEEGDPTFSAKGDEDVDIDDSHQAVLQQVRELRSEVLLLEGKVAKMHPELLGSLSTSCLSFCTGLGSLIWRVMSTNRRH >Sspon.08G0013640-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:56834198:56838466:1 gene:Sspon.08G0013640-1A transcript:Sspon.08G0013640-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASARDSADAAERVVDDHDGWVSDGEMDVEGEFQDLDADRRDGGADGDDEYLLLTRIRDTSAAEARAGKDIQGIPWERIHIARQDYRKARLEQYKNYENFPQSGELMDKLCKQVEKSSKYYEFQHNTRSVKPSILHFQDLEGCNVKFCTRTTLSDNAITNAIDIHRSTSGSLRITVSNNDCGVREYDMERFQLLNHYRYNWPVNHTSVSPDKKLLAVVGDDRDALLVDSRNGKVIFCSFFQAFLVY >Sspon.01G0028650-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:90512059:90518004:-1 gene:Sspon.01G0028650-2C transcript:Sspon.01G0028650-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGEEEAGESRKLFVGGIPAGAQEPELRAHFARFGEVRSVIVMRDRETGHGRGFGFVEFEDEAAAAAALGDGDRPRHFLCGRMVDVKRARTRAPRNQGEQHSQPQQAEQGRGQGNQDNQSPAGNGTADSGNNVSYDSKKVFIGGLRDNITEEEFRAYFETFGTVTDVVVIYDSATSRSRGFGFVTFDSEEAVGKVMRQSFHNLNGTKVEAKIAIPKDEAYYRNRGRGTRPFGGRGPAGYEGSMYQPYNARYGPYNGYMPQPVPAQPYFPAPYFAVGAYPYGSGYPSQGVMTNVPGMMSRRVPPAYETYPQMYPGFNFLYRASYGGAATSFQHGINGGSDNKKDQTNVDMQQVDSTASVATMLEHMKLGQGNPAHLVVFLQQIKDTGNT >Sspon.04G0011580-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:7533451:7533996:-1 gene:Sspon.04G0011580-1T transcript:Sspon.04G0011580-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRRFPLAGTVTAAALLLAIVVVLASATAPADAKTTSLASSFLEPHNAARRAVGVPALRWDERLAAYARWYAAARAGDCRLQHSHGRDDYGENLFRGSGGAGWTPAAVVGAWVQERALYDRSTNSCRGGGGACGHYTQVVWRGTKAVGCAMAPCARAGARFTFAVCSYSPPGNYVGMRPY >Sspon.05G0010610-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:32646816:32648086:1 gene:Sspon.05G0010610-1P transcript:Sspon.05G0010610-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKSIPVVSKIFCSGTPTMLMIRRRPTVVNGGGFVVTDLSHNIVFVVDGCGILGSKGELMVKDGEGEPILFISKKMGMKELIGGKDFYHVEVQSGYDQAFIIGAMAILDNIHGESTRC >Sspon.04G0015600-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4C:64352088:64355366:1 gene:Sspon.04G0015600-2C transcript:Sspon.04G0015600-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VRESLKNAKKDFTKTEDDLKSLQSVGQIIGEVLRPLDNERFIVKASSGPRYVVGCRSKVDKEKLTSGTRVVLDMTTLTIMRTLPREVDPVVYNMLHEDPGNVSYSAVGGLSDQIRELRESIELPLMNPELFLRVGIKPPKGVLLYGPPGTGKTLLARAIASNIDANFLKIVSSAIIDKYIGESARLIREMFGYARDHQPCIIFMDEIDAIGGRRFSEGTSADREIQRTLMELLNQLDGFDELGKVKMIMATNRPDVLDPALLRPGRLDRKIEIPLPNEQSRMEVLKIHAAGIAKHGEIDYEAVVKLAEGFNGADLRNVCTEAGMAAIRAERDYVVHEDFMKGAQSDVMQKGERNQLSIQAPGSSSFSDIHNFSEEDCQADQWEKPFENLVGSAPDALCFCKSYVICFRAS >Sspon.04G0020470-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:74956625:74967962:1 gene:Sspon.04G0020470-2B transcript:Sspon.04G0020470-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent helicase BRM [Source:Projected from Arabidopsis thaliana (AT2G46020) UniProtKB/Swiss-Prot;Acc:Q6EVK6] MQPSGGPSGSSRGSPASSPHPDQQQQQQPPTPASAQQQAQQLGFRGQGMMQHHEQQQAFQSGAPHAMMGPGGVSFPQSSGPVSPFQGQRNLPMSGGPQGMVGGQVHNQVAMQQQFLKLAMQQQQQKAAQGMLLQQQQQAKMNMAGSSSRDQDMLNNPAKMQELMALHQAQAQMYKRQCEQKEQGQSSSSEQRSGDMRPPMPPQGVPGQQLPPMGMIRPMQPMQGQVGMGSAGGGPITPAQFQAIQAWAKEHNFDLSNPANMSAISQLLPIWQNNRMAAMQKQNEANMAAQQQAMPSQVNSDTPGHGNAPSQGALLKPRQPLTPSSVSGGEEAKVVNSSNLQLQQQLSVHNRDGSNERAVRSPMTGGNGAQTMHIPQSSGHVSKVPEQSNPKNVLANSDTMQMQHVRQMQQLNQVAAPTSTPGEAGGSQVSTPSARPQTGQTGFTKNQLHVLKAQILAFRRLKRGDRLPPEVLELIVSGRPPDSQGGPQQASGPQATNNREKPGVINADEHGRQMESGDKAPEKPALSKGHCLPKVEVSASEDKASPASGPGPMQVMKASPKEPLKIGPVSVPEHSNTTVIKSEQDLERSIQRTPGRSDYNAERGKSVPAESGSADAEQAKRTGSTSSAPAPRDVPRKYHGPLFDFPSFTRRHDSMGPANYNSNLSLGYDVKDLLAQEGMIVLGKKREDNLKKISGLLAINLERKRIRPDLVLRLQIEEKKLKLLEHQARLRDEVEHEQQEIMAMPDRIYRKFVRQCERQRVELVRQVQQMQRASREKQLKSIFQWRKKLLEAHWAIRDARITRNRGVAKYHERMLREFSKKKDDDRNKRMEALKNNDVERYRQILLEQQTSVPGDAAQRYNVLSSFLTQTEEYLYKLGGKITAAKSQHQVEEAANAAAAAARAQGLSEEEVKAAAQCAGQEVMIRNTFSEMNAPRDNTSVNKYYSLAHAVSERVTKQPSLLRAGTLRDYQLVGLQWMLSLYNNKLNGILADEMGLGKTVQVMALIAYLMEFKGNYGPHLIIVPNAVLVNWKSELLNWLPSASCIFYVGVKDQRQKLFSQEVMAMKFNVLVTTYEFVMFDRSKLSRVDWKYIIIDEAQRMKDRDSVLARDLDRYRCQRRLLLTGTPLQNDLKELWSLLNLLLPEVFDSSKAFSDWFSKPFQRDGPTHSEEEDDWLETEKKVIIIHRLHQILEPFMLRRRVEDVEGSLPRKDSIVLRCRMSAVQGAIYDWIKSTGTIRVDPEDEKRRAQRNPMYQVKTYKNLNNKCMELRKVCNHPLLTYPFLNHGKDFMIRSCGKLWNLDRILIKLHKAGHRVLLFSTMTKLLDIMEDYLQWRRLVYRRIDGTTSLEDRESAIVDFNRPGSDCFIFLLSIRAAGRGLNLQSADTVVIYDPDPNPQNEEQAVARAHRIGQTREVKVIYLEAVVDNISSYQKEDELRNGGSADLEDDLAGKDRYMGSIESLIRNNIQQYKIDMADEVINAGRFDQRTTHEERRMTLETLLHDEERYQDSVHDVPSLQEVNRMIARTESEVELFDQMDEDFDWTGDMTKHHQVPKWLRVNSTEVDAVVASLSKKPSRNMSSGGIALDTNDTPEKRRGRPKGTGKYSIYREIDDEDLEESDEDSEERNTASLPEEGEVGEFEDEEDNDDSIPDNKDESEEEEPVNDDVYEFTEGLRSRKANRMEEAGSTGSSSGSRRLPPPVPSSSSKKLRSLSALDARPGSLSKRTPDDLEEGEIAMSGDSHMDFQQSGSWNHERDDGEDEQVLQPKIKRKRSIRLRPKPNAVKQEDRSGEGVFAQHGTHLAFQGDGHYDSQFKSNLDARAFTCPAVRQQDAVHPIVKQKRNMPSRKVSPASRSGKLTYLSGSGEGSAERSKENWNSKAIDSATPEFRGTKMSDSMQRKCKNVISKLWRRIDKEGHQIIPNISSWWRRNENSSFRGPAGSTLDLQKIEQRVDGFEYGAVTEFIADMQQMLKSVVQHFSYRHEVRIEAETLHNLFFNIMKIAFPDSDFSEAKNAMSFSNPGGAASGAAAPSSKHTTSVHKRRASASASASEAEQHGSGHSRHNQSSEVPSRPHSSRSERDPRHSGSSSRDQLQDGAGLLHPSDMFIVKKKRQDRARSGIGSPSSSGRAGPLSPANPGRPGPVPSPRGARTPFQRDPHPSQQSMHSAGWGAHADQGGSSSAPGIGDIQWAKPAKRLRTDTGKRRPSIM >Sspon.01G0058200-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1D:22995235:22997187:1 gene:Sspon.01G0058200-1D transcript:Sspon.01G0058200-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTVSLLDAQLSRCRSARHLLQIHAQFLASGLLADAYAASRLLLFTTSATAARLLPQPLHHSFQLFRLVRSPNAFTCNTLLRAALRQGFPHLCFPLYASMPAAPDTYTHPLLAAACAARGDAREGRQVHSHAVKHGFGDNLYLRNTLMHMYSACRCVAGARRVFDAGPVWDAVSWNTILATYVRDGDIEQAVRVFARMPERSAAAVSSMVALFARRGMVEEARGVFDGAEHRDAFTWTAMISCFERNDLFVEALAVFSDMREEGWPVDEAVMVSVVAACAKSEVIQNGEVCHGLVVRAGLGRRVNVQNALIHMYSSCLDVVAARRLFDSGESLDHFSWNSMISGYLKNGRIEDAKALFSVMTDKDNVSWSAMIAGCVQNNQSSEALTVFDNMIAHEIKPDEVTLVSVISACTNLSALEQGKLVHEYIRQYQCNITIVLGTSLIDMYMKCGCMEAALEVFDMVEEKGTPCWNAVIVGLAMNGLVTRSLDMFSEMETSGIAVPNEITFTGVLSACRHGGLVEEGRQFFKLMQNKYQIIPNIRHYGCMVDLLGRAGYVREAEDLIQSMPMSPDVPAWGALLGACWKHGDSEVGERVGKKLVKLDPHHDGFQTMLSNIYASEGMWQCVKDLRGSMKQHVTKVAGCSVVESSHSS >Sspon.07G0017840-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:64322782:64324027:-1 gene:Sspon.07G0017840-1A transcript:Sspon.07G0017840-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEIKGNKARYICTCLHCSCAKLNLCFCMPFTHSSLHCTSICRTMRLYAGLPCRTLLHHTVTHRSKDIYNEHQA >Sspon.06G0035830-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:85188685:85190005:1 gene:Sspon.06G0035830-1D transcript:Sspon.06G0035830-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLNATVAAVLVLLAFLAGQSVAGRYYYDKVQDKVRKEVEKAMANNPSIGPALVRLVFHDCWVNDGVVSSAAAADANLPHPNFDFDQLRTTSTRPAEAGASPSRSSSSCPARTPSASPTSRPTRTAWGPTPRPSTPTTRRRLTRPRLWRCSRVGRTRRCPTTPATSDAFQKDAAYDPVAMGVNPKRGVLDNSYYHNNLVNKVLFKSDWVLRTDGFAASKLDEYKNNPAEWNSDFAAAMASSAACQPRETSWRSGRTAGSPTSTRRSRQSLQPSATTH >Sspon.08G0011120-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:44390079:44394244:-1 gene:Sspon.08G0011120-2B transcript:Sspon.08G0011120-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAAVSSASSSFFLALASASPGGRRRARVGSPPFHTGAGPNFAFWRAPRDAALVRAEAEAGGKDAPPERSGDAAAASRLPRARRKAVSWSTPIFYLYPPRFGSLLKPTCVHAAQVSKRRDPLQPVGRYGSGTGNTARSGAASSPNAALAAVEIKSIVAAPPTSIVKFPAPGYRVILPSGDVAPETVVLPAPEPLHESPGANSVLPASIPNPDTDGDVEPAEKKPAQVDGDSNGVAAPPAEPVVQEATWDFKKYIGFDEPVEAKDDSRVGADGAGSFEHYENNDSGPLAGENVMNVIMVVVPRYGDYVEAFDMGIRKYYKAAGQDLEVNYFHAFIDGVDFVFIDAPLFRHRQDDIYGGSRQEILKRMILFCKVAVEVPWHVPCGGVCYGDGNLVFIANDWHTALLPVYLKAYYRDHGLMQYTRSVLVIHNIAHQGRGPVAEFPYMDLPEHYLQHFELYDPVGGEHANIFAAGLKMADRVVTVSRGYLWELKTVEGGWGLHDIIRSNDWKINGIVNGIDHQEWNPKVDVHLRSDGYTNYSLQTLDAGKRQCKAALQRELGLEVRDDVPLLGFIGRLDGQKGVDIIGDAMPWIAGQDVQLVMLGTGRADLERMLQHLEREHPNKVRGWVGFSVPMAHRITAGADVLVMPSRFEPCGLNQLYAMAYGTVPVVHAVGGLRDTVAPFDPFGDAGLGWTFDRAEANKLIEALRYCLDTYRNYGESWRSIQARGMSQDLSWDHAAELYEDVLVKAKYQW >Sspon.07G0028280-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7C:84390348:84391211:-1 gene:Sspon.07G0028280-2C transcript:Sspon.07G0028280-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTHTSNSNTHASVVKLKIIWDYRSAVIGTYRPQYRPLSAIRYLDANPLPTRYTLFSTLGTYHIGTRSSSAWAQHCGISIRSGSSASCTATSSPATSCWTSPSAPGSVTSAWPGSATTAHSTRAVMGTAGYIDPEFVNTHHPSTYSDVYSFGVVLLEIVSGRCPVIMLESGAHFILVKWMWGLYGRNTILDAADERLRSGDETDDRCMERVLVVGLWCAHPDQSERPSIAQAMHVLQSEDARLPALTPQMYRTVSEFAVTGRAVSALSVQSSSSRTTTTGGHSKVSSE >Sspon.01G0008000-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:31079019:31079592:1 gene:Sspon.01G0008000-2B transcript:Sspon.01G0008000-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTNSWTLEISSPVAAPRLFRAAVLDWHTLAPKLASHVVASAHPVEGDGGVGSVRQFNFTSVMPFTFTKERLDFLDLDKCECKQTLIEGGGIGVGIETATSHIKVEPAAGGGSVAKVETTFKPLPGVEVKDEITKAKESLTAIFKGAEAYLVANPDAYN >Sspon.02G0050270-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:54715853:54716479:1 gene:Sspon.02G0050270-1C transcript:Sspon.02G0050270-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding KKATYGYKTGDTSVKVGTDPDPTPCSPILSRPSSAFLSPPELKMATGTRSPIPHEEFPY >Sspon.01G0033760-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1A:113661566:113661991:-1 gene:Sspon.01G0033760-1A transcript:Sspon.01G0033760-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRKVSKITLQQGHYVAHILKLAGMVVYNLTYTPMKERLKLNQESTAEDVDPTHYQRIVGSLHCLIHTWPDLAFAVRFMSWFMEKPTEEHLQVVKRILPYVIRTLDSDLCYGRQIVDLAGDIDMRKSTSGILFFLGDCLIS >Sspon.03G0029550-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:16468062:16482832:-1 gene:Sspon.03G0029550-1B transcript:Sspon.03G0029550-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSYLLPCYVVITALVLFFSVAVTEGAIREYQFDVQMTNVTRLCSSKSIVTVNGQFPGPTVLAREGDFVVIRVVNHVPYNMSIHWHGIRQLRSGWADGPAYITQCPIQSGQSYVYKFTVTGQRGTLWWHAHISWLRATVYGAIVILPKPGVPYPFPAPYKEVPVIFGEWWTADTEAVISQALQTGGGPNVSDAFTINGLPGPLYNCSAKDTFKLKVKPGKTYMLRIINAALNDELFFSIAGHPLTVVDVDAVYIKPITVETILITPGQTTNVLLTTKPSYPGATYYMLAAPYSTARPGTFDNTTVAGILEYEDPTSPPPHAAFNKNLPVLKPTLPQINDTSFVANYTAKLRSLATAEYPAAVPQEVDRWFFFTVGLGTHPCAVNGTCQGPTNSSRFAASVNNVSFVLPTTALLQSHFAGKSKGVYSSNFPAAPLVPFNYTGTPPNNTNVANGTKLVVLPYGASVELVMQGTSILGAESHPLHLHGFNFFVVGQGFGNFDPAKDPAKYNLVDPVERNTVGVPAAGWVAIRFRADNPGVWFMHCHLEVHVSWGLKMAWLVLDGDRPNEKLLPPPSDLPRHYDFNVTMANVTRLCASKSIITVNGQFPGPKIVAREGDRLVIRVTNHAQHNISLHWHGIRQLRTGWADGPAYITQCPIQTGQSYVYNYTIVGQRGTLWWHAHISWLRATVYGPLVILPKLGVPYPFPAPYKEVPVIFGEWWLADTEVVINQALQLGAGPNVSDAHTINGLPGPLYNCSAKDTFKLKVKPGKTYMLRLINAALNDELFFSIANHSLTVVEVDAVYVKPFTTTNVLLAAKPFYPGANYYMSARTYSTGRPATFDNTTVAGILEYEYPDAHSSAASFNKALPLYRPALPQLNDTSFVGNFTAKLRSLATPQYPAAVPQTVDKRFFFTVGLGTHPCPANTTCQGPTNTTKFAASVNNVSFALLHSHFTGLSSGVYESTSRRAADAVQLHGDAAQQHQRGQGTKLMVIPYGTNLELVMQGTSILGIESHPLHLHGSTSSSSPRLRQLRPGERPGQEPQKAE >Sspon.02G0054200-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:95786077:95788810:-1 gene:Sspon.02G0054200-2D transcript:Sspon.02G0054200-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEKGCERCREWQEHYYWEHMDVSKVRFFKRMAGDFAHRISIPEKFVKKFNGQIAEGVDMKTPSGETWHVGVAKNGDELFFVSGWEDFVKAHELKENDLLLFTCRGSSSFGVVIFERSGCEKVSSVFGNRFGPNMWRHFNHMEGKEAECYSQSDSEDTTTPPHQLVDFPYNASTSKKSIWKRKQPESPNSTSDYDVKQQGSRGDDSDNECTDSKYYYSRTANRLSNDEKRKIISLASIQLDNPVFITVLQTTNVRPRNNSLYTVLTHSRCFVQTIPSQFAAGHLGERSHEIILRRPNRKDKWCVRYYYTTAIRSIKGYHLSRFVRENKLREGDICVFELMQGKRRVTMSVHVIKKVNDMFVL >Sspon.01G0006040-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:15816722:15817546:1 gene:Sspon.01G0006040-1A transcript:Sspon.01G0006040-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEDMPADHKVAPKILETSLSAKKGSGSSSKSADRKGSKKFEQEQAVQKAPSAVRPATSYHSRRNGEDTSGVTGIGGTGSKADEWEKAKLARVREEYEKMMETIAEWETEKKVKARRQKEQKETELDRKRAKALEEYNQEMTRISKIAGGARSMAEERKYNDENKIKEKARKIRSTGKPPRTCACF >Sspon.06G0016790-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6B:75616067:75617221:-1 gene:Sspon.06G0016790-2B transcript:Sspon.06G0016790-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAQDSWSNIPTELAGLVLRRLRAHVDRVRFAAVCPQWRSAARQVRLPPPLPLLALKAGDIFYSMPRGEPLHFAGYKNGHLSSSCRKGFQTACGNWLVYRRSYDMLLLDPFTGATMTLPGTSSVVSTNISQKLLMDVKYSDVIKLMVCSPSLIAVLFQGRESNRIAVCRPGGSMWSVARELSLWITDMAFYQGKLYVVDYHEDLLALDISVDDKTGDPQVSHIGRVIKVNQFDNELTLLRMLYLVESCGSLLLVRRTIFHTHVHGEGHIHTFAGQCEPDLSIFDADFARSQWAKVTTLPDNQALFLGPCSRAVCMPQGDSPGNRVWFLDDYKDFHHSNEYWHSSSLSSGSSSVASPKPFTHLPLISWRGYVGNAGAAWLFPEN >Sspon.05G0020270-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:87614508:87616818:1 gene:Sspon.05G0020270-2B transcript:Sspon.05G0020270-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLEEPLGLGDLPKLSINRLGRFLSLKAHRSPADDHNTGKYSNSCNGSPQMVFHGDSYPWHPQCRQADSSCDAVELRDLPRKVMWELPRFVKIVEVGPRDGLQNEKGNVPTSVKIQLIHKLVGAGLSVVEATSFVSPKWVPQLADAKEVLKGIQQEPGVRYPVLTPNLRGFEAAIAAGAKEIAVFASASESFSKSNINCTIEESLVRYRDVTAAAKKHGLTIRGYVSCVIGCPVEGAIDPLKVAYVAKELYNMGCSEISLGDTTGVGTPGSVVAMLQAVMSFVPVDKIAVHFHDTYGQALANILVSLQMGINVVDASVSGLGGCPYAKGATGNVATEDVVYMLHGLGIETNVDLNKLMEAGDYISKELGRPLGSKTATALRKLTT >Sspon.03G0036230-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3B:88722065:88723016:1 gene:Sspon.03G0036230-1B transcript:Sspon.03G0036230-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEARTSNYCSTWRQHGWDNLQCEEAVVGKRLDLVEVRAANNVVPPGSARGLNLESGEALVAVYSIKVKPDEDGCGQEAEIG >Sspon.02G0002400-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:7803850:7805602:1 gene:Sspon.02G0002400-1A transcript:Sspon.02G0002400-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLVNGVLNWVGTPAMVASLLLFYPPYYLFKTCYSFLSWLFPEDLAGKVVLITGASSGIGEQLAYQYALKRASLALVARRESSLRQVADRALELGARDVIVLPGDVSAPDDCNRFVQTAISHYDRLDHLVCNAGIASVGAFQEIPDVTKYSSQLDVNFWGSVQTTFAALPHLKRSRGRIVVTASATGWNPVPRMSFYNAANAALINFFETLRTELGNQVGITVVTPGWIESEMSKGRYLKEHGEMEVDQEMRDAQIGLFPVEYAKNCAKAMVQAAQQGERYLTVPAWFRAMYLWRVFAPEVVEICYRLLYMHGHGARQANTASRTMAESGGKQL >Sspon.01G0060340-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:76863675:76864403:1 gene:Sspon.01G0060340-1D transcript:Sspon.01G0060340-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding IPTMEQVDCVQEGVSMERAFEADPIPSLSETITPRSIVVSFILGVALCAVAMKISLSSGFLPSLTVPAGLIGFYLIRAWIQALDCFELPYLPFTRQENTVIQTCVVACSAITCLGGFGTYILAMSKNAAGGDIKDEKNIIEPSIGRLIAFLFLVSFSGLFILMPFRKVMIIQHKLTFPSGMATAHLINSFHTPQGISKARQVSSAEGTQ >Sspon.01G0030240-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:100212442:100222098:-1 gene:Sspon.01G0030240-2D transcript:Sspon.01G0030240-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SEC22 [Source:Projected from Arabidopsis thaliana (AT1G11890) UniProtKB/TrEMBL;Acc:A0A178WBU4] MINVSGSGLLDTMVKLTMIARVTDGLPLAEGLDDGRDQKDADFYKQQAKLLFKNLSKGHHEASRMSIETGPYYFHYIIEGRVCYLTMCDRSYPKKLAFQYLEDLKNEFERVNGNQIETAARPYAFIKFDTFIQKTKKLYLDTRTQRNLAKLNDELYEVHQIMTRNVQEVLGVGEKLDPL >Sspon.02G0044420-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:121416954:121421354:1 gene:Sspon.02G0044420-2C transcript:Sspon.02G0044420-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPTRTSCITCNGTADEKEEKQKWELIGYEALPEWLKDNEFIHGYYRCEWPMKETILSIFSIHNETLNVWSHLIGFLLFLCLTIFTAMVIPRNGSSSSRSGTAYQLGDLVEMAKANMTGSTGNTAATMDAAGDPITRWPLFAYLGGAMVCLLTSSACHLILCHSERTAYLTLRLDYAGIAALIVTSFYPLAYYSFLCDRALQRLYMGSITALGVAAVTASLVPAFQAPELRPLRAALFSCMGASGAVPIAHKLLLYSGTAPGAVASAGYEALMGALYALGVAVYAARVPERWAPGRFDLVGHSHQLFHLFVIAGAYAHYLAGVEYLKWRD >Sspon.07G0008660-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:20609604:20622256:1 gene:Sspon.07G0008660-1T transcript:Sspon.07G0008660-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVPCCSLCNVRYDEEERTPLLLHCGHGFCRACLSRMLAAAPGATLACPRCRHPTAVGNSVSALRKNFPILSLLSASPSSPSFLHSDSGSSSDGSEDEDDFFARPSRRPAPAPAAAPPGCSSFDLASHPDLKLARRIGSGPPGPAGQEVWAGTLSRGGGVKRCKHQVAVKRVPLAAGDGLEVVQEEVERLRRASTWCRNVCTFHGAVRVGSHLCFVMDRYVGSVQAEMRQNGGRLTLEQILRYGADIARGVAELHAAGIVCMSIKPSNILLDAHGHAAVSDYGLSAILKNLTSRRVPDDSNAGIDATLLSPNYTAPEAWGPLKKSLNMFWDSANGISPESDAWSFGCTLVEMCTGAVPWAGLSAEEICKSVVKEKKPPPQYSRVVGVGLPGELWKMIGECLQFRASRRPSFQDMLKTFLRHLLDIPRSPPASPENDFANENLPNGMEPPTTSILEMVHDNPNALHHLVCEGDAAGVRDLLAKAASERNGSLIRSLLEAQNTDGLTALHLACRRGSAELVEAIVAYQENVDILDKDEDPPIVFALAAGSPRCVRALVGRSASINSRLREGLGPTLAHVCAHHGQPECMQELLMAGADPNAVDGEGESVLHIAVARRYTDCAIVILENGGCRSMGISNSQHKTPLHLCIETWNTAVVRRWVEVASLEDIAEAIDVPSPVGTALCMAAALKKEHEEEGRELVRILLAAGADPTAQDDPHCRTALHTAAMIDDVELVKIILEAGVDVNIRNAQNTTPLHVALNRGANSCVGLLLAAGANCNIQDDDGDNAFHIAADAAKMIRENLTWIAQMLLQPSPAVDVRNHRGWTLRDFLERLPREWIYEELMETLEDKGVHLSPTIYEVADWVKFRRTVSSPAFGWQGAGPRSIGFVQSVVDNDHLAVSFCTGEARVLTSEIIKVIPLNRGQHVQLKPDVAEP >Sspon.08G0005560-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:13875854:13878195:-1 gene:Sspon.08G0005560-1T transcript:Sspon.08G0005560-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKDAAAAGIAPGSAPALLCFDLKPFLAALTALTLLAAAWQLRPYHSLLASPFSAACLQPTAGASLPRALAVHAKKASPVSSSATNSTASSSPPPPGPERREFRAVGSAAALFVQMGAYRGGPYTFAVVGLASKPTHVYGKPWFRCEWEPNDASSSPPMRAAKTYHMLPDWGYGRVYTVVVVNCTFPRVPNADNAGGRLILYAHYGPSRSPASRHERIVALEESPGAYDEAAFRGTPPHRYDYLYCGSSLYGNLSAARVREWMAYHARFFGARSHFVFHDAGGVSPAVRAALEPWVRAGRATLQDVRAQAEYDGWYYNQFLVVNDCLHRYRHAAKWTFFFDVDEYIFLPDGRTLEDVLAELEPYTQFTIEQNPMSSKLCVDNPEADYSNQWGFEKLVFRNSITGVRRDRKYAIQAKNAYATGVHMSENVIGNTTHKTEHLIRYYHYHNTINVLGEVCREFVSIPPKGGLTWSEKTPWYYDDSMKRVADAVREFERETIGDVWL >Sspon.08G0015950-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:58015063:58017781:-1 gene:Sspon.08G0015950-2B transcript:Sspon.08G0015950-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPCRPLAPPPPPRAPSLLRLPPSSLRPPPSSLRVVRCMAKERRVRMVAKQIQRELADMLTRDPVLQRAVLPEAALGADRYLSSLTTIADVELSNDLQVCKVYVSVFGDERGKKVAIEGLKAKTKYVRSQIGKRMKLRLTPEIRFIEDESMERGSRILAILDKLKEEREQQEGKDGEEDVEGSYISEEEEDGDWDADEPDEEDIIYVK >Sspon.03G0022000-3C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3C:86031364:86042411:-1 gene:Sspon.03G0022000-3C transcript:Sspon.03G0022000-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MYIFFASALPVIAFGAQLSTATNGILTTVETLASTAICGIIHSILGGQPLLIVGVAEPTIIMYTYLYNFAKNQQALGEKLYLAWAGWVCIWTAIMLFLLAMFNASNVISRFTRVAGELFGMLITVLFLQEAIKGMIREFSVPEDADSSSPIYQFQWLQGAFRSPQEAFLSTSLGVKFTGTLDHSKGLYFFDHSVASQLAQQKEYNLKKPSAYHYDILVLGIMVLLCGLLGIPPSNGVLPQSPMHTRSLAVLKRQTDSVDKELKNFKDAVLQEFDEEGKLAREFDPRKHIEAHLPVRVNEQRLSNLLQSILVGGCVGAMPVIRMIPTSVLWGYFAYMAIDSLPGNQFWRGYSFCSLQKADATSPHASFVESVVPKTITIFTIFQLVYLLICFGITWIPIAGILFPVPFFLMIIIRQYLLPKFFDPIVLRELDAAEYEELDGVPIEHNLEDEVSEVASCPSRPDAEILDELTTNRGELKHRTSSLREERPIQLQLHPAGCSKCGSAKSMKTVKCFFCRVMILKLQSVDVNTVAM >Sspon.01G0046090-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1C:87057076:87060870:-1 gene:Sspon.01G0046090-2C transcript:Sspon.01G0046090-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphomethylpyrimidine synthase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G29630) UniProtKB/Swiss-Prot;Acc:O82392] MTIPFASCSPVSSSLRSASVFSFACYTTSLTPTTRIAPPPGRRAPAADMPATASVLRRLPEMAALQPPFSTAITLKSSCSALKFPKTAQLPGFPRLQDVQGRNASFACLIPKVPFRRVHLTGDQKHFDTYDTSGPQNISPRIGLPKIRKEWIDRREKLSSPRYTQMYYAKQGIITEEMLYCASRENLSPEFVRTEVARGRAIIPSNKRHLELEPMIVGRNFLVKVNANIGNSAVVSSIEEEVHKLQWATMWGADTVMDLSTGRHIHETREWIIRNSPVPIGTVPIYQALEKVNGIAENLSWEIFRDTLIEQAEQGVDYFTIHAGVLLRYIPLTAKRMTGIVSRGGSIHAKWCLTYHKENFAYEHWDDILDICNQYDVALSIGDGLRPGSIYDANDSAQFAELLTQGELTRRAWAKDVQVMNEGPGHIPMHKIPENMEKQLEWCNEAPFYTLGPLTTDIAPGYDHITSAIGAANIGALGTALLCYVTPKEHLGLPNRDDVKTGVISYKISAHAADLAKGHPYAQAWDDALSKARFEFRWLDQFALSLDPVTAMAFHDETLPSEGAKVAHFCSMCGPKFCSMKITEDIRKYADENGYGTVEEAVIQGMNAMSAEFLAARKTISGEQHGEAGGEIYVPESYAAQK >Sspon.02G0019110-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:34960401:34962556:-1 gene:Sspon.02G0019110-1P transcript:Sspon.02G0019110-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHQADAKMQSPTRRELLQASIELTNHTLSYIKSMALRCAVQLGIADAIHRCGGQVSLDGLLATLSLSPSKLPYLRRVMRVLTASGVFAQGDGGGYRLTPVSTLLLSDTGDGGCQSLVQLVQLELSPFCVSPATNLAEWFTTKDEETPFAMTFGTDLWGLCGRDPGFSVFFNGAMACDSRFLMDAVIHEMGDVFDGVTSMVDVAGGTGGAAKAVAAAFPHIKCTVLDLPQVINGIPADDGQIEFVAGDMMDFIPQADALLLKFVLHDWNDDDCVNILKRCKEAICSREQKGKLIIIDVVVGSPSQATCHETQMLFDLLISTMTPGFERDEKEWSELFKKAGFRDYKVKSVLDLRSVIEFFACA >Sspon.03G0004210-3C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3C:28955420:28958132:1 gene:Sspon.03G0004210-3C transcript:Sspon.03G0004210-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GDGLLPLGGAGPGGPRPRLAAPPEPAPLRQATPGAAAPARGGQPVRRAARAVPVLPGVGAPVRAHHDGVARHVAHGGGVHVGAGQGGAQDPRPAARRPLPGPLHGELQPRRTRPHLGRLRPSLHQGAQALQPRALHAAPPRGAQAHPRGRGHRHGRVRLQGRHRAWPRLFAV >Sspon.08G0010200-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:42213435:42218378:-1 gene:Sspon.08G0010200-1P transcript:Sspon.08G0010200-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAKKKRVAIVGAGPSGLAACKHALAKGFCPEVFESGTAVGGVWNRTLASTRLQTPASAYRFSDFPWPASAADEGLFPRHDQVAEYMAAYARRFGVLERVRFGCRVLGASYVGATEQEVAAWERWSGNGEAFGDGTGEWHLTVRHGDGDGESEGGSTQTYRFDFLILCVGRYGVAKLPTFPDGRGPEVFRGRVLHSMEYSAMAHADAAELVRGKRVAVVGAGKSAMDIAAQCAEANGSRYPCTMVYRSAHWMLDPKLAITFTSARWTELMVHKPGEGFALSLLATALTPLRWLISKLAEVYYKRSIPMQEHGMVPDGSFSQGSLGWRIGIHPEGFYDRVDDGSVALRRCRGSVGFCADGLDVVLDDDDDVVVGADVVILSTGFHIDRPLRDVFASPWFGEMVAPDDGGAAAVLPLYRHCVHPRVPQMAVVGYVESGSSIYPYEMMAKWVAHLLDGAVRLPPVRDMERDVAEWARWGRWARRACGGFFLKSCIASVSTWYHDQLCRDMGHRPRRKAGLLAEWLQPYGPTDYAGI >Sspon.05G0014770-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5A:56583281:56585347:-1 gene:Sspon.05G0014770-1A transcript:Sspon.05G0014770-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQQTASPLNDSAVVDAKPLRMLTPMFPAPLGLHTFTPQNSPSVVCVTPFGPYAGGTGLGMAAGVPSMFAAPAAPTDPRREPHRVNTNGAVHANGTATNSLATPSLQTPLSAGTPESSKRKRGRPKRVSDNMVPSATSTPLAPTVSPTPSLPKVSPPQENNNVVSLTPSSATPQESGKRKRGRPKRVQDIHVLATPLAPQADNMPVLETPPAPTVHESGTRKRGRPKRLQDSLDTSTPSIHSKDSEPTSQTPATTSPECGKRKRGRPRRAPDGSAAPSHSGFSIDDDTGICDNVLMMFDALRRRLIQLDEVKQAAKQQHNLKAGSIMTNAELRVNKNKQIGEVPGVEVGDMFYFRIEMCLVGLNSQNMAGIDYMSAKFGNEEDPVAISVVSAGVYDNTEDDPYVLVYTGQGMSGKDDQKLERGNLALERSLHRGNPIRVIRSVRDLTCPTGKIYIYDGLYKIKEAWVEKAKSGFNVFKHKLLREPGQADGIAMWKKTEKWREDPSSRDHVIIGDMSYGVENKPVCLVNEVDDDKGPSQFTYMTKLNYGNLQSSMRKMQGCKCASVCLPGDNNCPCTHRNAGALPYSASVAGTELYRKVPGSILKCLRQEIVVGVFVVGIQFELEHLFVNMQVKSLTKIV >Sspon.03G0025250-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:55880337:55882254:1 gene:Sspon.03G0025250-2P transcript:Sspon.03G0025250-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPVAKLGTLALRTLSKPIASRLKSQAAVHPKFRNFIIAVAQVAVAALIFEVQRSARSEARKEEARKQELEELKQREESLAKELEDLKVKLSEIERLAKGRGLTGILNLKGVHGAEGGKAATP >Sspon.03G0000940-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:2553703:2555242:-1 gene:Sspon.03G0000940-1T transcript:Sspon.03G0000940-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMEAVESNGSHGAADRRTPKRDRRIFWACAFILANYCFQCIAYFAVLTNLVNYLKDRLHEGSKAAANGVTNWLGTSSITPLVAAFLADAFLGRYWTIALFMVISVVVRAARRRVAKQAHPPGLVAVLLFCFRHVRTQAYVVLTVSAVVALESAAFYAGLYLLALGGALQPVLSSFGADQFDEEERGRQSSFFNWFYLSINVGSLVGGTVLVWVQSSVGWGLGYGIPALFSVLAVAVFLAGTTTYRRHQPPAGSPLTRVAQVIVAAVRKCGVQVPEDASTLHECEDVDGMSAIQGSRRLAHTDQF >Sspon.04G0025910-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:37033001:37035460:-1 gene:Sspon.04G0025910-2C transcript:Sspon.04G0025910-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVALRASALGLGQAAMDKEASLVQESMAWGGLFLSMGHPTQEQQKSCLAAAGGFNYDTALHGATHPKSVSTLTSDEAGGETSDKVLTERGFFVNRSRVLIGSGSDAFVHAKSALLSWRHLALGWANVEPDTPVKVGTRFCICYNELIPWVMLPLQIAYVTDGESDRSKMFAFGSGTLQGHLLAGEERFSVQVDEEERVWYEPAHVLAMLCYPYVQMRQKHFAQQSGQALLRHVATCSTKQKQ >Sspon.04G0008590-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:25525730:25526794:-1 gene:Sspon.04G0008590-3C transcript:Sspon.04G0008590-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRGKQKIDAQRRNAERNQKSKGSQLEARAVGLKVVCPICKVQLANEKQLTDHYGSKHPKEKPPSTSNTE >Sspon.07G0016680-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:72860259:72862327:1 gene:Sspon.07G0016680-3C transcript:Sspon.07G0016680-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bifunctional monothiol glutaredoxin-S16, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G38270) UniProtKB/Swiss-Prot;Acc:Q8H7F6] MAMASTATAAASPRLAFPLLSGPASSSSSSNTLRFPLRRRRASRPLAVAAFKKLSEASPVPIPEEPTQPLVDEDALPPKPGVYGVYDPAGELQFVGISRNVRASVEGHRRKVPANLCASVKVAVSDEETPDRAALNNAWKSWMQEHIEATGKAPPGNVAGNNTWVGAPQRPPDLRLTPGRHVQLTVPLEQLIDRLVKENKVVAFIKGSRSAPQCGFSQRVVGILEAHGADFVTVDVLDEEHNHGLRETLKTYSNWPTFPQIFIGGELVGGCDIISSMAEKGELTALFQK >Sspon.03G0009910-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:33164194:33167095:-1 gene:Sspon.03G0009910-2B transcript:Sspon.03G0009910-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHDEAVVTQNSVKAPSPPKDQPAIYPCLDWSTMQNMPPAAFGKPYAAIYPHAGGFLHPFMPLMVNPLSAEPAKSVNSKDNSLNKKLKEIDGTAVSSGNSEKTSGDYSLEGSSDGNNQKVSGTPKKRSLDDRTTSEWVQLIGSNILRLLEDDKESKRERRKQSNRESARRSRLRKQAETEELARKVELLTTENTSLRSEISKLTESSQKLRMENAALMEKLAGGTSDQAQEASAGHPQTATAAPPSARVVKNFLSMMDVEGPSRGGGSWRMEHGAPRLRQLLGSGPLAADAVAAS >Sspon.03G0005050-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:12736647:12741551:-1 gene:Sspon.03G0005050-2B transcript:Sspon.03G0005050-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLEARYRPAGAAEDTAKRRTQKSKSFKEVEKFDVFVLEKSSGCKFRSLQLLLFAIMSAAFLTLLYTPSVYEHQLQSSSRLVNGWIWDKRSSDPRYVSSATIQWEDVYKSIQHLNVGEQKLSVGLLNFNRTEFGTWTHMLPESDFSIIRLEHANESITWQTLYPEWIDEEEETEIPSCPSLPDPSFPRATHFDVVAVKLPCSRVAGWSRDVARLHLQLSAAKLAAATARGNSGIHVLFVTDCFPIPNLFSCKDLVKREGNAWLYKPDVKALKDKLRLPVGSCELAVPLNAKARLYTVDRRREAYATILHSASEYVCGAITAAQSIRQAGSTRDLVILVDDTISDHHRKGLESAGWKVRIIERIRNPKAERDAYNEWNYSKFRLWQLTDYDKVIFIDADLLILRNIDFLFAMPEITATGNNATLFNSGVMVIEPSNCTFQLLMEHINEITSYNGGDQGYLNEIFTWWHRIPKHMNFLKHFWEGDEEEVKAKKTRLFGANPPILYVLHYLGRKPWLCFRDYDCNWNVEILREFASDVAHARWWKVHNKMPKKLQSYCLLRSRLKAGLEWERRQAEKANFTDGHWKRNITDPRLKICFEKFCFWESMLWHW >Sspon.02G0049630-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:41384665:41385123:-1 gene:Sspon.02G0049630-1C transcript:Sspon.02G0049630-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLLSPAIGDPICVPTQDMLIGLYALTIGNRQGICANRYNSCGNSPNKKINYNNNNYYKYTKDKEPHFSSSYDALGAYRQKRIGLNSPLWLRWKLDQRIVGSREVPIEVQYESFGTYHEIYAHYLVVGNRKKKKSVLYTFEPLLVIFLFIEK >Sspon.08G0003830-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:10921087:10921451:1 gene:Sspon.08G0003830-1A transcript:Sspon.08G0003830-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPRRVAGSRPRVTRRRARDRIEQRAAASDGRPRRAVAAYGERRASNPPPSTSRLQCSPSPPLQAPAIQCSTSRRVLLLCVAWIHGPPALGAGRWDLYRRRAGLQEQR >Sspon.04G0016090-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:59049019:59056341:1 gene:Sspon.04G0016090-1A transcript:Sspon.04G0016090-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVEELRGLNITYCSLVVANRFFKNALSFKQTKRNKYELAPTYFTYDVALIDNYLEFLSRGREKCEDLGGGEDPRTKRHFLPTLPTPLQVRSIQGHTLLETRFFDACPEHLASEGHANRRSTECNFICIHYASRALCSGYLGNHEGHGLMHIQRLSGNNVVKDIQHLLSVSLVQTNLINGDLAVFLNRWPMSGHGKPGECERGLQDEACCFYLVVCKVRHLAQLCYKIFCMCKVWQSDLLDFMFSILLSCYRNEMTNLIHADPAVFLNRRPMLGHVEPDTSHCYECERGLQDEACRFCSLGCNIQRSSGNNVVKVEDVHHLLSVPLVQTNLIQNESAVFLNRQPMSGHGKPGCKAKRIEDRLDFSVSLAFDPNSDSSGDETESEDDEDSSCS >Sspon.04G0000110-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:1819596:1821218:1 gene:Sspon.04G0000110-1A transcript:Sspon.04G0000110-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRSPQLSLSGCSSLFSLSSTGTSCDNDDSAAALTAPVPAPPAGASLHPLPPRRLPLLSLSVGGEEEDEDEEEYLLGAGALDLQLTGAGGSSNSSGGGCDQERKNIRMMKNRESALRSRARKRAYVQELEKEVRRLVNENLKLKRQCKQLKVDMAALIQQSSSKSSSHIRRTSSSTQL >Sspon.05G0018060-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:73034926:73039531:-1 gene:Sspon.05G0018060-3C transcript:Sspon.05G0018060-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:MLO-like protein 4 [Source:Projected from Arabidopsis thaliana (AT1G11000) UniProtKB/Swiss-Prot;Acc:O23693] MAAEQGRSLAETPTWSVATVTTLMVAACFLVERSLSRFAKWLRKTKRKAMLAALEKIREGTHAPSLAASLLPARLIASQQEKPCLPAELMLLGVISLLLSQTAHFISEICVPSSLFTSRFYICSEKDYDDLLRNTDANQTALDKSMFGGQRLHVCSEGHEPFVSYEGLEQLHRFLFILGITHVLYSFVTVVLSMIKIYSWRKWETLAVGHFGHMRLSAYSSMFMVPFAPNLSSSLASKLNKPRMTLLGSFLKLQLVLLVGTELQHVIAQLALEVAEATAPYVGSQLKLRDDLFWFGKPRVLWWLIQFISFQNAFELATFLWSLWELSAQTCFMKHYYMVAIRLISGILVQFWCSYSTLPLNVIISQMGSKFKKSLVSENVRESLHSWCKRVKDRSRHGTLTTRSVCSLDTTYETDHETNTVCTLSRTASATSLDDQLTVATVDDEPSCIEKDD >Sspon.03G0035500-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3C:79685426:79686166:1 gene:Sspon.03G0035500-2C transcript:Sspon.03G0035500-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding YASPIAISTGKIHHLFLHSNRGVDLAQCEAGHLACGTCYAFLPKNKCYSCHGHGAYSRNTALEGIVGCAKVLCPYDFYGCRTYATYHEAGDHQRDCPCAPCRCSEPGCAFVGSPPMLRDDLRDAHAWPMEEITYGRAHNIRLPETCPPRLLQAEDDGRVFVRIGVTVACVRASAAAGPRYSCKLWATGNPGAETGRVEIAMAEADVPSSSSEPGPGDAAAAKAAPLSVRRSMLHGKSMEMMLSIRID >Sspon.08G0005940-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8B:16055459:16057309:-1 gene:Sspon.08G0005940-2B transcript:Sspon.08G0005940-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:IQ-domain 33 [Source:Projected from Arabidopsis thaliana (AT5G35670) UniProtKB/TrEMBL;Acc:Q8L8M9] MGLAGGIVRRVFSKSPCSSAGTGSRGHSDKGSAADHRRRWSSLRLYLCGEEMNAAAEEDDDDGETVSVKSFETCCVMPPDEAHVVPVVAHRLMSHADDTVDDNPGEPAEDRSSRVPGDGEQSHAHVVVVPASEEEGAATLIQSAFRGFMARRQLQELRRRQDQEEMDGAQDQEPTRSPTWASVATSVLVQVGESSSNLRLSEESASVQQQRSSQRSRPPPPPPPPPAFRVKEEWDDSTVSSNVSRMRIQSRIEATTRRERALAYAFSQQLRTCGGGNSKKRSARAEQGEFNVGWSWLERWMATRQAEPAADDCMSRNADTGSATAAGHRRVVVVRRRNNDLAVEEKESCGSNDVSVVSFDGSSSLGGAGGARSGLSYHKPTGSSKSRLKGGRNLPRRKQVAASDHHRFQARSHKVSKKGHHRVEQAPHKDRLDAGGFDFDACQPPTDY >Sspon.07G0030220-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:3651803:3654020:-1 gene:Sspon.07G0030220-1C transcript:Sspon.07G0030220-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGRHEAEADGGKAGGYSSSGLPPSEPPHLKGQPPQEYGYGTFQGPRQPPVGFPQPAPPPGFGGGGGGYHQQQPYAPAEPYYAQGYQAVPGYGPVAEGRPVRMRRLPCCGLGLGWCLFITGFFLAAIPWYIGAFVLICVRVHDQREKPGYVACTIAAVVAAVAILLGVTKGTHVW >Sspon.07G0023880-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:20342810:20343262:1 gene:Sspon.07G0023880-1B transcript:Sspon.07G0023880-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RLRVLECGEQRGGTCACCSAVRKPPPTTTSIKGAHAPPPPPRESEAVVRDLRRQGHLSPPHFLPSRGQPRTKAARQRLAGQAPRRRATPRRAPLKTARDKLKASEVTEARAVRSRAKAEEER >Sspon.05G0009070-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:26422268:26425977:-1 gene:Sspon.05G0009070-1A transcript:Sspon.05G0009070-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGRRLLLLPAALLHLHLLLVPLPPPVQARVTALIVFGDSTVDAGNNNAIPTAVRSNFPPYGRDFPFPPGRATGRFSNGRVATDFYSEALGLGRAFVPAYLDPAYGIRDMAIGVCFASAGSGLDVATSRVFRVIPLWKQLDMFREYKSRLADHLGAAEAHAVVAGAVYAVSIGTNDFIENYFALTTTRFLEFTLAEYTDYLVGLARGFLAELYGLGARKVGFTGLAPMGCVPLERARALGRCAEEYNAAARAFNAALADMVRELGGELPGAEIRVAEVYDFFEDMVRDPGRHGFARADVGCCGTGTYETGYACGTWAAAPAGTGTCPDADRYVFWDAVHPTERASRLVADHLMNTTFGRF >Sspon.02G0011850-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:10311324:10314296:1 gene:Sspon.02G0011850-2B transcript:Sspon.02G0011850-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEWTEERPLRVVTGFEYLGDKFLREKGFKHVHFLSADGALESYPPMGMADAIVDLVSSGTTLRENNLKEIDGGVVLESQATLVASRKSLHKRKGVLEVTHELLERLEAHLRATAELMVTANMRGNSAEEVAERVLSQTSICGLQGPTISPVYCRRDGKVDVEYYAINVVVPQKLLYKSIQQLRSVGGSGVLVTKLTYIFDEETPRWRNLLSELGL >Sspon.05G0006070-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:20738633:20741640:1 gene:Sspon.05G0006070-3D transcript:Sspon.05G0006070-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRDVLLSIAQTPHRLRRRALVTWTPAQELNEVRDRSGPRMKRRLEWYDLVGLGVGGMLGAGVFVTTGRVARDTAGPAVFASYVIAGVSALLSSFCYAEFSVRVPVAGGAFSYLRVTFGEFVGFFGGANILMEYVLSNAAVARSFTDYLASTFGVTEHNAWRIIVDGIADGYNALDVPAVALILLITVCLCYSTKESSMLNMVLTVFHLLFFAFIIAAGLWNGSARNLVRPHGLAPYGVRGVLDGAAIVYFSYIGYDSACTMAEEIRDPSRALPVGIAGSVLVVSALYCLMSVALCVMLPYTEAPLPAVQSLIRCLMFFSAHRTCLALLQISEAAPFSSAFREKAGWRWASSVVGAGASIGIVASLLVAMLGQARYLCVIARARLVPLWFAKVHPSTGTPMNATIFLGFCTASLALFTELQIVFEMISIGTLLVFYLVANALIYHRYVKIGTNRPLHVLLFLLLLTLSSLGFSLSRKIDGWYRWGMTLFGAISIAITTIFHCTARQDITGPPLEWSVPLMPWPAAASVFLNVFLITTLKVRSFQRFGIWSLVIIVFYVCYGVHSTYSAEENEIVNAMIHHANLDIS >Sspon.08G0011900-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:47471485:47475400:1 gene:Sspon.08G0011900-2B transcript:Sspon.08G0011900-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGRDDFFSDFMVLRPDKGGVRSLLHLLCSCKVADNDAVDCPVGTEVAEQRRRWAIFVSLVVQMLLLSVKTPLAAFGRAVEYWMNLLTDNGGGVIGLVTKAVQGKVRTPDRTSPKYRSFIGLVDTRIELDKKIKPRDSSYNAALGIMAAKLAYENKLVIKNVVESNWKVQNSAVNFFITFSKENMILMLSMSYISPPLFVALQMTFLEFFNCWNEFRGDYTTQAFMLADKPADAELAVIAFRGTQPFDAEQWCTDVDFSWYEIPGVGKVHGGFMKALGLQKNTGWPAQPTEAETKRQYAYYAIREKLRAFLAANPRARFVVTGHSLGGALAVLFPTVLALHGEDALLERLAGVYTYGQPRVGDAQLGRFMEARLDRRYFRFVYCNDVVPRVPYDDATLLFKHFGLCLYVDSLYRPAAMAEEPNKNFFSPLYVVPKYANAAWELLRGFLIGHVCGAVYAEGWVMRAARTVGLVVPGLPPHSPQDYVNATRLGAASLEVLLRD >Sspon.08G0023090-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:52709685:52710165:-1 gene:Sspon.08G0023090-1B transcript:Sspon.08G0023090-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKVHGSLARAGKVRGQTPKVAKQDKKKKPRGRAHKRMQYNRRFVTAVVGFGKKRGPNSSEK >Sspon.03G0019610-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:47091249:47092585:1 gene:Sspon.03G0019610-4D transcript:Sspon.03G0019610-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AMVISAEPPPPPPPDPVAADAAAVEAAAATSNARQAAAFSSFPSLKTWGSHRALRCAHVNRAGDATATATATRRSPGKLAVVEEKALSHLHEVEAGSSDAADADHVAAAEAEAAPALPPWKLRTRRRPKPKVAAPSASMSPPHERRPSRACAEALDRARFSVTLTSEEIEEDIYAVTGARPRRRPRRRPRPVQKQLQMLFPGSWLSEITAETYRVPDDSFAGDD >Sspon.03G0012440-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:44489054:44496038:1 gene:Sspon.03G0012440-2B transcript:Sspon.03G0012440-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDNGGGEVAWRFGAANPSLQAASALSLRKLVHRLHGCVDRNDPRPLAPLGHGDPSPFACFRTAAAAEEAVAAAATSGKYNSYPTAAGVTEACSAVAAYLSRYLPYELSTGDIVLTAGCNHAIEIMMAVLTMPGANVLLPRPGYPMYEARAALGGLEFRHYNLLPDKGWEVDIEGVEALADKNTVAIVMVNPNNPCGSVYSYEHLTKIAETARKLGIMVICDEIYEHCTFGSKPFVPMGVFGEIAPVVTLGGISKRWRVPGWRLGWIAMTDPKGILRKKKILESIIIYRSISVDPAAIIQGAIPQIIANTDDVFFTNAMNIMREAAEICYQKLKCMECITCPHKPEGSMFVMLLSDAVAVPPNSKAMVGGRSLTVSDDIEQHVVPPCSSSGLGMKNWLRVTFAVDPPLLEDGMERLKSFCLRHAKPTRNHVTS >Sspon.03G0020190-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:81462139:81464721:1 gene:Sspon.03G0020190-3C transcript:Sspon.03G0020190-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGSGRRFAAGDAPARRCNLERFLEATTPVVASSCSSKETVNGWGQSDADESLPFFTLSDLWDSFKECSAYGTAVPLVLNSCSDGVVQTGAEESDSDVERETSSSTHTFSAQEPSESSTCSSSEASGDEGEPGSWCQERLLFEFLESEPPYQREPLADKASSPSELKTLRSCDLSPASWISVAWYPIYRIPTGPTLRDLDACFLTYHSLSTQFAGVGNSSPKPTATTSACAPVTAMWLPTFAMASYKVKAAAWTPSWRDRQMAASLAQAADAWVRLLRADHPDHRFFAAPWAPARRW >Sspon.08G0004910-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:14286235:14290083:1 gene:Sspon.08G0004910-1A transcript:Sspon.08G0004910-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIIIAKRRYGRSNDKETLNATSPTRATGRQRKHSSVLKVRCWVAAHHKHNSNAQQFQAIGIGIAKILHAFYQFCRPHTIFGTIIGITSVSLLPVKSLDDFTLTVLWGYLEALAAALCMNIYVVGLNQIFDIEIDKVNKPTLPLASGEFSVPTAVLLVVSSLVMSISIGIRSKSAPLMCALLVSFLLGSAYSINVPLLRWKQHAFLAAFCIIFVRAVLVQLAFFAHMQQHVLKRPLAPTRSVAFATCFMCCFSAVIALFKDIPDVDGDRYFGIQSMTVRLGQQRVYRLCINILMTAYAAAILVGASSTNLYQKIVIVTGHGLLAFTLWRRAQQFDIVNKECITPFYMFIWKLFYAEYFLIPFNHAKSSTPVEGLKRWAKLAVEASAILLRAIGRPARVRARAAYVDAAGCWAAELQLASSCGLFPDGLWVQILGPG >Sspon.01G0032430-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:113132635:113133612:-1 gene:Sspon.01G0032430-1P transcript:Sspon.01G0032430-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRRPATWEQAADDYDYLFKVVLVGDSGVGKSNLLSRFTRNTFSLDSKSTIGVEFATRTIQVDGKTIKAQIWDTAGQERYRAITSAYYRGAVGALLVYDVTKAATFENAARWLRELRDHADGRGAAVMLVGNKTDLAKQRGLRAVPRGDAAALAEREGLSFVETSALDATNVDAAFEQLLTEIYRAVSRKALAAASAADEDAVGEGQAIQVTAGGGSGGLTTRCCAF >Sspon.04G0028210-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:80267849:80269489:1 gene:Sspon.04G0028210-2C transcript:Sspon.04G0028210-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding PAMAADLPLYLLLAILLGIPLILFATASRPRAGGLRLPPGPWALPVIGHLHHLAGALPHRALRDLARRHGPLMMLRLGELSVVVASSPDAAREVMRTHDATFASRPTGPMLELAYRGARGVVFAPYGEGWRQLRKICALELLSARRVQSFRPVREGEVGRLLRSVAAAASASSSSPVNLSQGIAAYVADSAVRAIIGSRFKHRDAYLRMLQEGLKIVPGMTLPDLFPSSRLALLVSRVPGRIERHRWGMQRFIDTIIAEHRENRASAKEDDDDDEDLLDVLLRLQKEVDSQHPLTTENIKTVMQDMFGAGSETSATTLQWAMAELMRNPRVMRKAQDEVRRALGDHGKVTEDKLTSLRYLPLVIKETLRLHPAGPLLLPRECGSACQVLGFDIPEGTMVIVNGWAIGRDPAHWDEPDEFVPERFDRGERDFRGTDFEFIPFGAGRRMCPGMAFGLAHIELALAALLFHFDWELPGGMAAERLDMTEAFGITTRLKNDLVLVAVPRVPVSIV >Sspon.04G0000730-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:17878177:17882531:-1 gene:Sspon.04G0000730-2B transcript:Sspon.04G0000730-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAATVIPDGRRWSKGPGSSSSPVTTAIFLFFFVVVVGVLVSARWITTTTHLSITNLDQWSSKPVEPIKISEKPTGPLIIVFLSLQAILTATQTTSIPAIPAAPPPPRPTYSLSCTAPPLPRDPTIPSNISQTLDLVLSPNASSASTCAAFPDPPPPLPANSNASSTCPAYFRFIHEDLHPWRASGGITRAMVDRARATANFRLVVIRGRAYIERIAPAFQTRDLFTIWGILQLLRRYPGRVPDLDLMFDCVDWPVVHADQYEGENATVLPPLFRYCGDNETLDVVFPDWSFWGWPEINIKPWDALQKELNGGNKRVKWLNREPYAYWKGNPDVAVTRQELVKCNVSSEHEWNARIYKQDWLKEIKAGYKQSDLAGQCAHRYKIYIEGSAWSVSEKYILACDSMTLVVTPKYYDFYSRVLMPMQHYWPIWDDNKCSSIKYAVDWETLTNRRIGKQGSNFIQKELSMEYVYDYMFHLLTEYAKLLRFKPTKPPEAIEVCPESLACQAIGREKKFMKDSMVRSASDAGPCDLPPPFSPEEFKALQRRREKTMKQIETWMQKASRPVDKKP >Sspon.03G0033240-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:47307715:47314382:1 gene:Sspon.03G0033240-1B transcript:Sspon.03G0033240-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPLIRTARCWLLRARVRAMATLPMPPPAAAISFTTRPLYSPSSRSHRLLLARFFSVAPASAGPRGLRAAASAVEVGGVKIAREDVVKEDDPTNNVPDTIFSKIGLQLHRRDNHPLGILKNTIYNYFDKNFTGQFDKFDDLCPLVSVKQGKWYVVVFGLWLTYKCSLLQNFDDVLVPSDHVSRSYNDTYYVDGQTVLRCHTSAHQAELLRDGHTHFLVTGDVYRRDSIDSTHYPVFHQMEGFRVFSPDEWSGSGMDGTAYAAADLKKILEGLARHLFGAVEMRWVDTYFPFTNPSFELEIYFQDDWLEVLGCGVTEQEILKRNGRRDYVAWAFGLGLERLAMVLFDIPDIRLFWSNDKRFTSQFSEGKLGVKFKPFSKFPPCYKDMSFWINDAFTENNLCEVVRGIAGDLVEEVKLIDNFTNKKGMTSHCYRIAYRSMERSLTDEEINNLQLNVREAVKDKLKVELR >Sspon.04G0018430-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4B:69658044:69659393:1 gene:Sspon.04G0018430-2B transcript:Sspon.04G0018430-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALQNIGASNRDDAFYRYKMPRMITKIEGRGNGIKTNVVNMVDIAKALARPASYTTKYFGCELGAQSKFDEKTGISLVNGAHDTAKLAGLLEVFIKKYVQCYGCGNPETEILISKTQMISLKCAACGFVSDVDMRDKLTTFILKNPPEQKKGGKDKKAMRRAEKERLKEGEAADEEMKKLKKDAKKKGASSKESIAKGSKKKATAAGSDEDHSTSPTRSRDGDHAAADEEEDDDDVQWQTDTSIEAAKQRMQEQLSAATAEMVMLSTEETEKKKKQATHANGSAKEIPVEKPAVTKPSPYEELVGDIKASLGSAPTPTQLKAVLASSTLPPQDVMNALLEALFDGVGKGFAKEVVKNKKYLAVAVPDEAAQILLVQAIEAFGGKCNPEALKEVPVVLKALYDGDILEEETIVDWYNAAVAAGKDSQVVKNAKPFVEWLQSAESEEEEDE >Sspon.08G0012630-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:54002540:54013624:1 gene:Sspon.08G0012630-1A transcript:Sspon.08G0012630-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMRRMVRRRLLAAEAAAAVLISMLVLSTHLASNSGSLSASMAPEVFWAKNSSYGSELHARDSCQAPDLCGDPGTAHAVLALVQSYTSNLSSMLPAKSFRPSVTDLDPLLTNGDYIGHQTEGFAWSFLMKKGIKEDRLNPYNNQTEYCKALRKGSKNGGGSATVDENPYFRSDDHSKTHDMVVDIPYSTPGLGFEEDCETSLVQAWKTTVDFTVPNTKTLLSYSCSSLEPADNDSKPLIQWIFLKPLTKELWLATVCFVFFTGFVFWMIERSINPTVFQGSSLAQFSTALYFVFSTLTFSHGQILRSPLSKIVLVVWCFAVLVLVQSYLATLSSMLAAEASTNYGSSAAGPVPLTLRSFSGLFVVTGCIFAFMLLISFTRWVYATYTRVRCSESQSADVDGRSVRPEEFSTPQNDAANDSVVPEQPVQEVRDNDSQGAHVSSAISGDEEAGGVIQDSMSNASEPAPDVYVQIEMTSTSNDS >Sspon.06G0008350-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:43972785:43976191:1 gene:Sspon.06G0008350-1A transcript:Sspon.06G0008350-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutamyl-tRNA reductase-binding protein, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G21200) UniProtKB/Swiss-Prot;Acc:Q9LU39] MSSSSPSLLSSRLPSPATLFTKKPASLHSVSSATARHGVRVVVAAASAAAAAPVSAARVRPSAAEVARTVVELAASGTLSVVGPDGWPLGVGARFVTDAAGAPALCLAAAGVGAPDAPSSFHVEKLSIRWQKKFGEEIDQDLLYLISVDRILHMEDFNEVEHDGMWVVPSEYTSAEPDPLRNFAENIVEEFNSKNAEDVHRIYSIYVESDLQVADVKMIWVDRLGFDFHVHSGEGVFAVRIPFSREVSDEKGVKSSFNMMSHHAWEVEKSYASPAFEKVQFLKKVR >Sspon.07G0017750-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:64142358:64143035:-1 gene:Sspon.07G0017750-1A transcript:Sspon.07G0017750-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding IAMAKVHPNAVAVAAEPGTPTPISTQAAADQEPEPPTVLTVWRKSLLFNCDGFTVYDARGDLAFRVDSYAASRRRHAEVVLMDAAGAPLLTVRRKRLSLAERWLIYDGADAAGTTPPPRPLLSVQRHVGLRASSSKTLAHVVAASSSAGDAEAFVVEGSYGRRACAVRDARGDVVAEVRRKEAVGDDVFRLVVSPRFGAPLAMGLVIALDEMFGSRGSVRSWLRSA >Sspon.01G0022240-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:80750332:80754655:1 gene:Sspon.01G0022240-2C transcript:Sspon.01G0022240-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHQHATTAAGRGGGSMEIVPYSYSSGRDLELELPPFDVKRQDSLYRDATMPAHAGHHGQESWVRTLRLAFQCVGILYADLGTSPLYVYANTFKEGVHHEDDVLGVLSIIIYSFILFTMIKIVFIALYANDDGDGGTFALYSLISRYAKVCLIPNQQAEDELVSRYKHRGKPSATLRRAQWMKNLLETSKAAKISLFFLTILATALAISDSMLTPPISVLAAVNGLKLRAPHLTTDAMVWITVAILVVFFSVQRFGTDKIGYTFAPVVFVWLLLIAGIGIYNTVKYDISTLKAFNAKYIIDYFRRNKKKGWVSLGEILLCFTGTEALFADLGYFSIRSIQLSFTFGLLPSVLLTYIGQAAYLRKHMDRPEIIPNVFFESIPTSLFWPTFVLALITSVIGSQAMVSCAFATMSHLQALNCFPRVKILHTSRRYSGQLYSPEVNIFLCIAACVVTISFRTTGFIAKAHEICVVLVMVITTLLMTIVMLLVWKVNIWWIAIFFVVFMSTESIYTAAVLYKFTHGPYVPVAMSAVLMFIMIVWHYVHVKRYKYELEHTVSRDEAKDLLERRDLKRVPGLGLFYTELVQGIPPIFPHLIEKIPTIHSVIVFITVKNLPVPHVDVTERFLFRQVEPKEFMVFRCVARYGYRDTLEAAGDFVKILVEYLQYYVRDLNLYGVGGDEPLKIVFHSARVDSFTWETKPSGHAIHAEEMLTPAQSFSELTMHPVSMSSRLAHFQTGKINLEEMLKIEEDQKIIQHEVDKGVVYIIGETEVVAKPHSNLLKKIIVNYVYSFLRKNSRNGEKMLSIPRGQLLKVGITYEI >Sspon.03G0039720-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:13031569:13036152:-1 gene:Sspon.03G0039720-2D transcript:Sspon.03G0039720-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKITALLVLKPSTSGAGSSSSGGESGPEAVVLANATDVSHFGYFQRSAAREFIVFVARTVAQRTQPGQRQSVQHEEYKVHSHNRNGLCAVAFMDDHYPVRSAFSLLNKVLDEYQKAFGDSWKSATADGTQEWAFLTDALTKFQDPAEADRLMKIQRDLDETKIILHKTIESVLARGERLDSLVEKSSDLSAASQIFYKQAKKTNSCCTIL >Sspon.06G0007740-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6B:27731811:27738325:1 gene:Sspon.06G0007740-2B transcript:Sspon.06G0007740-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MELSSPDGYMEGSPCSGCWLYCCAKAIRIGFCLADICKEVGLPSGVFNIVTGLGPDAGAPLSAHPDVDKVAFTGSFETGKKIMAAAAPMVKPVTLELGGKSPIVVFDDVDIDKAVEWTLFGCFWTNGQICSATSRLLIHTNIAKEFNERMVAWAKNIKISDPLEEGCRLGPVVSEGQYEKIKKFISNAKSEGATILTGGVRPAHLEKGFFIEPTIITDITTSMEIWREEVFGPVLCVKEFSTEDEAIELANDTQYGLAGAVISGDRERCQRLSEEIDAGCIWVNCSQPCFCQAPWGGNKRSGFGRELGEGGIDNYLSVKQVTEYISDEPWGWYQSPSKL >Sspon.05G0012240-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5A:36477687:36480442:-1 gene:Sspon.05G0012240-1A transcript:Sspon.05G0012240-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAEGDADWSLPSPADLNDNGFPALPSSPAASSSGFAEDFYRSGTDWSFLRAPPPLRPPGVKEKERGGGSLVQSSLFQAWGIERPRREGAEAGDSSLVRRSLFQAWGVERPRREGLRAGDSSPSPSLSGSWLGRKRRRGGTEEAGVAAKKPLACPFYKKIPGTPFTVDAFRYGQVEGCSAYFLSHFHHDHYGGLTKKWCHGPIYCSALTARLVKMCLSVNSEFPPQEDVIDFVVRTARRYLKKQPKTLIVVGAYSIGKENVYLAISQALENLKKYLETLNGRFLAMLAFRPTGVPYSEHSSFTELRDFVMFLRPQRVIPTVNVGNATSRDKMQAHFREWLKSL >Sspon.03G0016170-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:51526137:51527332:-1 gene:Sspon.03G0016170-1A transcript:Sspon.03G0016170-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to Nectarin 1 precursor (EC 1.15.1.1) (Superoxide dismutase [Mn]) [Source: Projected from Oryza sativa (Os01g0284500)] MARIHLYVAAACAVALALAAPALAGDPDMLQDVCVADYASPVKLNGFPCKANISADDFFFDGLRNPGNTNNAAGSLVTAANVEKFPGVNTLGVSIARIDYAPGGQNPPHTHPRATEIIFVLEGTLEVGFITTANKLFTKILTKGDVFVFPRGLVHFQQNRGYCPAAVVAAFNSQLQGTQQIAMTLFGATPPVPTDILAKAFRIGNGEVEHIKANFAPK >Sspon.05G0007300-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:14477885:14490482:-1 gene:Sspon.05G0007300-3C transcript:Sspon.05G0007300-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTSAPTVACRVAPARATTSVLPAHSLPGCRAVVWRCWVGRKGRRRRWAGLRARCRISCDGSQPSAVQPGEGLAAEEDGLRRPPFDINLAVVLAGFAFEAYTSPPADVGWRETDAADCQTVFLSDVFLREVYDGQLVVRLKKGINLPAMDPWGTSDPYVAAWDANLVTPHKRMGNAGLYLESLCDGNNHGVTVELEGLGGGGTIEIEVKYKSYDDIEREKQWWRIPFVSDFLVKSSLGSALRMVLGSESINASQFVKSAFGQLNSFTYTYLPKPSSLESEAEVSKNDEESSDGPTNSNELQQQNIDSEDISDSHSNSEARSPAATVNSEGDASSDMKESDEYFWRALNNVLNQNVLQNFGFSLPEVKQLDGFDLLSSLGLKSREIAEQEYLESGLAMADTSTSDGSETTPENTVGAENENGTLTTKEEVQSSFPDINKVSRDVLSQTENILGALMILSKNLSPHDQSVTTTETNGKDDMIREQQGASAADSVQKDDTVASTILSIDAQKAEDMRSLFASAETAMEAWAMLATSLGRNSFIKSDFEKICFLDNVSTDTQVAIWRDSSRRRLVVAFRGTEQSRWKDLRTDLMLVPAGLNPERLGGDFKQEVQVHSGFLGAYDSVRNRIMTLIKYAVGFQDEEDAETIPSWHVYVTGHSLGGALATLLALELSSSQMGKNGVIFVTMYNFGSPRVGNRRFAEVYNAKVKDSWRIVNHRDIIPTVPRLMGYCHVEAPVYLKIGDAKDAPVNNNILDDEDQGDVIGEYTPDVLMKGEKQLVEKLLQTEINLLRSIRDGSALMQHMEDFYYVTLLENVRSRYQVVDGANDEYRQLTA >Sspon.03G0001680-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:18363140:18374198:-1 gene:Sspon.03G0001680-2B transcript:Sspon.03G0001680-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPGAGAHYSARTAEEVFRDFRGRRAGMIKALTNDVEKFYQLCDPEKENLCLYGYPNETWEVTLPAEEVPPEIPEPALGINFARDGMNEKDWLALVAVHSDSWLLAVAFYFAARFGFDKEASFLEKEIYISMVFRDFRGRRAGMIKALTNGMLPSLALLFRISPAYLAGGFAGADVLLGLVVCADVEKFYQLCDPEKENLCLYGYPNETWEVTLPAEEVPPEIPEPALGINFARDGMNEKDWLALVAVHSDSWLLAVAFYFAARFGFDKEARRRLFNMINNLPTIFEVVTGVAKKQNKEKGPNSTSKSNKPSSKMTSRPESHSKATKVAAPPKDDDDESGEEYEEEEERDNTLCGSCGTNDGKDEFWICCDSCERWYHGKCVKITPARAEHIKHYKCPDCSNKRARA >Sspon.02G0028830-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:104821802:104829077:1 gene:Sspon.02G0028830-1A transcript:Sspon.02G0028830-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTLQTRLGATSILGKFVEAGSQVPSATLLGKGSNTLIVSNALNHKASAPSYKKVMRVNQGQFKPGTNRNLSWQKPVSSDNLVITFSDDDGETDSGKTRQGKVRKASSQGTQRTGNSMQTRIMREEVSQQKTLGAKAGPAHLPAFPFTHRNVGAGRGSGNTFVRKEPPVRQVNPLNSKQKIQNGVGVHSEDHRLEILRHKIAARENELKGQKRPFSAVAMKNADFSSNQPRLPSEKIGLEASNSGEYSRFNSLSEHNGGPNKRLKLNQQHSYSQFRSDLEKNNVQSSEVTNQFENGITMNLNVNETDTTVTTTELADQIQQGGATKNLRHHKDTGGAGNHAMLESHDELASRPPFTDTQTIPEDTSALAPVTSAQARQQVLPVGTSPVLDGTPQLQPGKENAGCLNCSGQIGVDTRNTTLFSLLEMEELQDKELEDAQEHRQKCEAEEREALRAYRKAQRALLEANERCAILRRKREICSSQVHGLIAENSSLVQSLSIQNPEDGLAMPSLLNSQIHADGQMPENQGGRHRLHPEEPSQQPVDKHEARPHNHDKLAASTAEPSSVTAVNADSVLSDYMEDDLLFPTRQARSECALDLENQMEETIHVHAEENRQVSGDSAQDYELLEASLRSRLVERFGKKSCLNGTTDEGTEELAVGKNMASSLHMLDISTMELENDDAEKTGGLSNSSSGPSMGNCDPEDNISSLKELCMPLATDTLIFPSSAPLNAARQIKRALPGICKEPSDYKNDYLTSDAASEVTESVQDMIHDLVGENMKILPTTQKDNNMIHSVIDPFWPFCMFELRGKCNDEECQWQHVEHHAWRKPKLTKRSMTSVSGQIPYGLSQHILPVPVYRVGSNLIKADLNLTQSVLASSLWQYWQRGFCASFPLPLSVQRVLPSDAPFLQAGDGRIADFDRNRQLLKFRMLDSRKNKIVQGPVDIELFLEAALDLYCGKGNKPERIKALSFLARSIEADPSTVILWVFYLHIYYQKDEGLGKDDMFSDAVQHNFCSYELWLMYINSRLRVDDRLDAYNDALSMLCQMTADNDKDLQERSAFILDIFLQMIYFLCMSGNVEKAISRIIGILPTAMPDNSGDKLLADVISSLTMSDRCIFWISCLYISIYRNLPEEIIDQLEFQKALPRALIWPSIDPSVDNRDKIIVLLNYAAYKMAEDISECVKNGDPSYLMLSQFLAVNHISCLAAVEGFKSSADMLVTYMKEYPMCPQILLISARLDRKHGTCPGLKSFDELILNWPKEAQGIQYMWNQYVEHVLATDTELAEKVLTCWFEEHGKDCDIQTNSAICIELSSEEPGTSSLVSPQEVGSGPSISEDLVFRLLNLSLYKILENNLQEAQIAVDKALKLAHGEWYEHCIREHAAIHALELEKSSSLTDAQTQATFSLIIGYLSDHRNLPTRELLSRRFCQNVKKHRLRQLIDDTIGSVPADSSLINSVLEVCFGPSLLPKRIGDVKYLVDFVETVMEALPANYRLGLAVGGFVAKHFTGSDTTSTGTRFWASSVLINAIFRAVPVAPESVWLQGADLLQKLHVTEIVKRFYQQAASVYPFSFKLWHAHLNYCKASGSNTESIVENARQRGIELNLTRT >Sspon.01G0022170-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:84322228:84328066:-1 gene:Sspon.01G0022170-1P transcript:Sspon.01G0022170-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMQPPPPQQQQQWAMAPPPPPQYYQAGHPPPPPPQFYQAPPPPAMWGQPAPAPAGGGAGDEARTLWIGDLQYWMDENYLYSCFSQAGEVISVKIIRNKQTGQPEGYGFIEFGNHALAEQVLQNYNGQMMPNVNQPFKLNWATSGAGEKRGDDGSDYTIFVGDLASDVTDFILQDTFKSRYPSVKGAKVVFDRTTGRSKGYGFVKFADLDEQTRAMTEMNGQYCSSRPMRLGPASNKKNTGGQQQPSSAIYQNTQGTDSDSDPNNTTVFVGGLDPSVTDDLLKQTFSPYGELLYVKIPVGKRCGFVQYSNRASAEEAIRVLNGSQLGGQSIRLSWGRSPANKQPQQEQNQWSGGYYGYPQGYDPYGYARPPQDPAMYAYTPYPGYGNYQQQPPQQPPPQQFTIFY >Sspon.01G0047560-1T-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:103912251:103914910:-1 gene:Sspon.01G0047560-1T transcript:Sspon.01G0047560-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GGDAGGRAAEGKWMRRRLAPPRSVSSQIREFPFLSYPPFGFGPFSSVRPTQPGGWWWRCSATTLDALNLSHEPASHRVSVSTLVVAEHEGGFVKPSSLSALAAAEAIAKENKVSVLLGGSGPALHKAADHAASSHPLVS >Sspon.06G0025390-3D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6D:64946022:64946217:-1 gene:Sspon.06G0025390-3D transcript:Sspon.06G0025390-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VFAVLIATGAAAGLGLTVDAQRFPELLDSDSKNFLNMVDLSCGLMLGATVCMLIMITISAHLLI >Sspon.07G0027960-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7C:85591818:85592975:-1 gene:Sspon.07G0027960-2C transcript:Sspon.07G0027960-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGRIFNNISSLKSAYIQLQEAHTPYDPDKIQEADKLVIEELTTLSELKHAYREKHPKPVAASPQDSRLLSEIQEQQNLLKTYEVMVKKFQSQIQTRDTEITHLQQQIDEAKLRKSKLEKKLKQRGLLNKESEESDEEENYFSIELTPSLFTSAVDNAYQSIHDFSKPLINMMKAAGWDLDGAANAIEPGVVYTRRAHKKFAFESYICQRMFSGFQEESFSVKDCNISVSNEAFFHQFLAVRAMDPLDVLSQNPDSIFGKFCRSKYLLLVHPKMEGSFFGNMDQRNYVMSGGHPRTPFYQAFLKLAKSIWLLHRLAYSFDPKAKVFQVKKGSEFSDIHMESVVKNIILEEGAERPKIGLMIMPGFLIGTSIIQSRVYLSDVKYAD >Sspon.08G0006290-4D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8D:8492735:8497320:1 gene:Sspon.08G0006290-4D transcript:Sspon.08G0006290-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSDQWCKAVEASIVLLSGVGGGVVAIAVLFGGGGEGEKNNRKMVKRAGRQPFLCWQVDQDSVRLLAVEGCAALGKLLEPQDCAAHILPVIVNFSQDKSWRVRYMVANQLYELCEAVGTEPTRGDLVSAYVRLLCDNEAEVRIAAAGKVTKFCKILDPQIAIEHILPCVKELSSDSSQHVRSALASVIMGMAPVLGKDATIEQLLPIFLSLLKDEFPDVRLNIISKLDQVNQVIGIDLLSQSLLPAIVELAEDRHWRVRLAIIEYMPLLASQLGVGFFDDKLGALCMQWLEDKVFSIRDAAANNLKRLAEEFGPEWAMQHIIPQVLEKINNPHYLYRMTTLQAISLLAPVMGPDITCQQLLPVVIASSKDRVPNMKFNVAKVLQSLVPILDQS >Sspon.03G0026550-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:1998542:1999354:1 gene:Sspon.03G0026550-2C transcript:Sspon.03G0026550-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGDAVKVIGFFDSPFSHRAEAALCLKGVPYELILEKDLGNKSELLLHHNPVHKKVPVLLHGNRRAVCESLVIVEYVDEAFQGPPLLPADPAGRAAARFWAHFIDDKCSKAFWLAMWTEGEVQRGFVKEIKENLKLLEGQVKGKRFFGGDAVGYLDIAASAFAHWLPVCEEVAGVTLVTAEEYPDLCQWAREYTSHDAVKQCLPDREELLARFSARKDSFVATARLMAPAPAPEK >Sspon.02G0051160-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:73259910:73261053:1 gene:Sspon.02G0051160-1C transcript:Sspon.02G0051160-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LSYASGFCVSDLFPSLWFVDVLTGTRRRLWRAHRQLDELFDKIIEECEARWKDESAAAGDNLLSIMLRVRDNEEFAFPFGNANIKAIIVDLFIAGTETISSTAEWVMSELIRHPESMAKAQAEVRAAFNSVTPQHHESHMDALHYTRLVIKETLRLHPSVPLLLPRLCRKTCRDDSCLLLCYFDWSLPGKMRPEELDMETIVVSTARRRNQLHLVATPHDVRVE >Sspon.01G0039650-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1C:29849370:29849672:-1 gene:Sspon.01G0039650-2C transcript:Sspon.01G0039650-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADDNTVTLVRLILAIILPLLGVFLKHGIKVRRRSSALSLDVEFWICLILSLFAYLPGIIYAVW >Sspon.05G0010750-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:32919420:32922870:-1 gene:Sspon.05G0010750-3D transcript:Sspon.05G0010750-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ras-related protein RABD1 [Source:Projected from Arabidopsis thaliana (AT3G11730) UniProtKB/Swiss-Prot;Acc:Q9ZRE2] MTLWNKAGAVPAEKLELFSYENNSYARIVREALCELELPYVLQNVGEGSSKTHLLLRKSGSKQDDSYVDSYISTIGVDFKIRTIEMEGKTIKLQIIVYDITDMESFNNVKQWLSEIDRYANDSICKLLVGNKCDLAESRTVDTSVAQAYAEEIGIPFLETSAKESINVEEAFLAMSATIKKSKAGSQAALERKPSNIVQMKGQPIQQEQQKSRCCLT >Sspon.03G0036530-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:53565278:53580193:1 gene:Sspon.03G0036530-3D transcript:Sspon.03G0036530-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQPAARRSTEAPTVATYPSTAARPPDPALLVPRAGLVVGRHPPPPPSVLGRPTGTAQPQRALTARQGRPPGQASEAGTQHRSPPAANCRSTAASLPPLQPPASPGRRLAAAVAAAFLDPHPQKLMATVPVNPKPFLNNLTGKTVIVKLKWGMEYKGYLTSVDSYMNLQLANTEEYIDGQFSGNLGEILISLPPPPSPWHTGPAPPLPLRPPLTPSGPSPVRPRRDADATAQPAARRSTEAPTVAAYPSTAARPPDPALLVPRAGLVVGRHPPPPSSVLGRPAGTAQPRRRNGRSPLGKGGLRGRPARPGRSTGARRWPTADLQPVHGKRGRRRWRGAGEEDEEPTVPVNPKPFLNNLTGKTVIVKLKWGMEYKGYLTSVDSYMNLQLANTEEYIDGQFSGNLGEILIRCNNVLYLRGVPEDTEIEDAE >Sspon.04G0024250-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:21929853:21941635:-1 gene:Sspon.04G0024250-3D transcript:Sspon.04G0024250-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKSLVYYGNTPVGEVEVWPKGQTDLAWAREIRVDRLSPASERCPPLAVLHVVAAGARCLVMESKSTATAHEPPPPLVTMHTTCLKDNKTAVFPLGAEEIHLVAMTSKRNMPNGACFGGFKVPLGLYNSCLSMLNLRCLGIVFDLDETLIVANTTRSFEDKIDAIQRNLNNETDPQRISDMLAEIKRYQEDKSILKQYIESDQVTDGGELYKVQSEVIPPLADNHQQPMTRPIIRLHEKNIILTRINPSIRDTSVLVRLRPAWDDLRSYLIARGRKRFEVYVCTMAERDYALEMWRLLDPDSKLINSVQLLDRLVCVKSGSRKSLLNVFHDGSCHPGMALVIDDRLKVWDEEDQRRVHVVPAFAPYYAPQAEVKGIIPRITEVCYEDELDDISSAPDVSNYFISEDENAAVSNVNKNPLAFDGMADAEVEKRMKEASSSFQSANPITTNVDLMSVAANQHFVTPISSSTPVAPPLGMPLNNDLDPQPPSLRWHVAQSGHVDPSQGSLAREEGEVPESELDPDTRRRLLILQHGQDTRDPAPPFPAGPPAQVSVPPVQSHGNWVCLEDEMNPRNLNKVSTEFHLESDSVQYDKKQLQHTSYIPIGDNPMSYDRYNYQNQRYPSQPPHSEDHHTLHNHAPTAYRSFSGQRSSHMESGRHFARYGGIPGVLEEIALKCGFKVEYRSTLCDTAELQFSVEVLIFGGKVGEGVGKTRKEAQCQAADTSLRNLADKFLSWDPDKVTFLKENDFNRHPKSHRYPGSNIYDTLPVASTSDESRYMNDRIDTLRKPGASFAALKELCTVEGYNLDFHAEQSADGSVGKEIRAQIL >Sspon.07G0015750-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:61861207:61862024:1 gene:Sspon.07G0015750-2C transcript:Sspon.07G0015750-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTTAAPECGGAKTSWPELVGLSVEEAKKVILKDKPDADIVVLPVGSPVTRDYRPDRVRIFVDTVAEAPHVG >Sspon.06G0018070-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:80530009:80532902:-1 gene:Sspon.06G0018070-2B transcript:Sspon.06G0018070-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVRVQVNNAALLILKPPAEYTAEDYSQIMATNLESCFHLCQLAYPLLIKASVAAGGSVVHISSIASFLAFPQEALYSVTKGGLNQLTRSLAVEWAPVVQQELSRIAMRRTGEPAEVASLVSFLCLPAASYVTGQVICIDGGRTISA >Sspon.04G0016900-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:61778910:61780460:-1 gene:Sspon.04G0016900-1A transcript:Sspon.04G0016900-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVMDKAEPVKKKTIIRVREFDVERDLRAVEELERLCQVGLSGDQGSDPVADHDGGAEKTARSSKKKKKKGMSLYVEQIGDPFARVRHAPDNVMLVAEYGQEDEVVGVIKVCTRMVSRGKKKQSLSSSSKQFVKVACLLGLRVSPSHRRHGIATELVRRAESWCAARGAAYATMATTESNAASLALFTGRFAYAPFRRPVFLGHPVHRHRVRIPRAHRVLRLPPPLAAAAYAALLPPSAAEFLPADLPALLNHKLTLGTYLAIQRGGGPDEDPARTSSFALLSIWDATRSLRLRVGGAPTLLRASLAAARALDRHAPWLQVPSVPDIFRPFGTYLMYGLRMSGPEGPALLRSLCRHAHNVARKNPACAVLAADLGPNDPAKAVVPHWPKFSCDEDVWCIKKLGASTASDNAGSDDDDWTTSPPPSVLFVDPR >Sspon.03G0031280-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3C:35603806:35604945:1 gene:Sspon.03G0031280-2C transcript:Sspon.03G0031280-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAIGNGGEWWWNLPSLRRKSDSRRRGRRNTDPRGSRRGPPREPLSSSSSSESIEQGGGWPIEFPFRQAVTAASLTFTGDTIAQVRSRIVDRRRYCPDSDTKELIPDILLNHDWIRALRMSSYGFLLYGPGSYAWYQLLDRCMPKQTFVNLSAKVILNQIVLGPCVIAVIFAWNNLWLGKLSELPSKYQNDALPTLLYGNPSA >Sspon.03G0009700-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:32901339:32904968:1 gene:Sspon.03G0009700-2B transcript:Sspon.03G0009700-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRAGGRRLLAPGLRRLGLGTGAAAAAGEAGPAAAAAGVRAYHERVVDHYNNPRNVGSFDKEDPNVGTGLVGAPACGDVMKLQIRVDEDSGKIVDACFKTFGCGSAIASSSVATEWVKGKPMDEVLTIKNTEIAKHLSLPPVKLHCSMLAEDAIKAAVKDYEAKKAKMGQKGEDSASEKAAEA >Sspon.03G0005200-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:14929527:14931579:-1 gene:Sspon.03G0005200-1A transcript:Sspon.03G0005200-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPQEHSCGRTRLTHSLSRLCSFSSTLCRKNKILYHTLSRACLHRAACSPRLNRSFCGHLIEPASLHNECFSNRLVELHASPSTCEPALHLSKQHDDATGFQLLALELVKDMLIIQARQARAVRAFFYVLYIREKEKQGHTNFLGTAPRLTRHVCTRTCPRGHARGWYEFDTTPKHLHTLLPAGAWHAHFDSPPAECLTGHHHTRDSDRHAQAKAPSSCPRREANQRTTERACRATAAAHVVGQAAKKPRLGPQVPVARRAIDWSGSRTPARRTHRAVVLHPPHGDRGPQRCSPPDTCSHASARLPPRAGAVPTRLKKGTDARRTRWAARLAARRNDGDAPVKGHAKGLQCIGPSVVALLQSTSGRTARGSSRVHGSIRHCLGFAYCLSVWLSLSRLKPPRLTLERLNMAGDPGDAPEVGEGGIRSVLTMASLVDPSGNELMFYYICFGCLSL >Sspon.01G0019730-3C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1C:75350251:75353646:-1 gene:Sspon.01G0019730-3C transcript:Sspon.01G0019730-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKATSGNQVEKTEDQNKQVLESDEQIGALNQSKPIKRNNRRPLKSLDSGAAGELGVLRRCEGREKARRKSKDAVKDEVEENVEVKSKNVRKASGRAAPKNSRKRKLDNANSKPSSPASTDDDIELTVEDLVSIAEEFVNADKQKQCELETVKATRQKEHLPCSTISAEADTGQSVVNDGSVKGLMQCTTVTRNTRAIEYTEDKNTSHQEVKCLSSIKTTEDVAQDMINLLFGHLLSKPAGNTKKSDPVESMTRTINQVPEEKGWHSEVPRQEEPVKKSEPVESMTTTTNHVPEKKDWRSELPKLGEPVTKKKSSLRDKVALFM >Sspon.06G0009380-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:38713303:38717759:-1 gene:Sspon.06G0009380-3D transcript:Sspon.06G0009380-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPYGTAAEAEAALGRGLTWAEATWFRYSAAMPDHWLLWHNTLFLFLAHVLVPLPLALLERLAPAFAMRYKLQPGVRLSQAAVARYFTDTVRVYLLVLVPYQLISYPVVKVRAPTSFATSYSHWAEVVVLAVPMFVGPTIAPYSFPFDPTKLIPYHGGAEFHDYHHFVGRQSNSNFSSFFTYYDHIYGTDKGYKYYKANITK >Sspon.03G0044920-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3D:5092344:5093305:-1 gene:Sspon.03G0044920-1D transcript:Sspon.03G0044920-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLKLYGMPVSPNVVRVATVLNEKGLDFEIIPVDLTTGAHKQPDFLALNVRLSPLPASVPLLVLPAVPLIILLLVPNSPSPRSRLSSTETKSSTVNSPIHPSVLTDPRKSRAINRYIATKFASSGAALLPATPSAKLEVWLEVESHHFYPNASPLVFQLLFRPLFGGAPDPVVVDKHAHELAKVLDVYEAHLASNKYLAGDQFTLADANHASYLLYLTKTPKAGLVNDRPHVKAWWEGIAARPAFQKTAAAIPLPPPPSA >Sspon.03G0023270-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3A:71504878:71505075:1 gene:Sspon.03G0023270-1A transcript:Sspon.03G0023270-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVKAYVLLFTAFFFSGLMQLSMAAQEKPATVAVTARGIDAKGIDQAIAYLLMFAALFVTYLAR >Sspon.06G0007480-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:4433150:4437837:-1 gene:Sspon.06G0007480-2B transcript:Sspon.06G0007480-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding IKTGGLVVWTKGDIHFVYRGSKYQQNTKHSHTSLTNVHKGSLVKHNVHTTLLKYGSEGPALINSYGEADDAFQENDQSICDQKDEEPVKGTLYEREVNRLLDTLGPRFVDWWWDTPLPVDADLLPEFVPGFKTPFRQCPPGVRPTLADEELTYLRKLARPLPTHFALGRNTRLQGLAAAILKLWEKSLIAKIAVKHLTGGTVILRNKDFIILYRGKDFLPGGVAQTVIQREAQVHDEQVKEEEARLKAVDSLQMVGELSSEGSSVGTFREYQDFHADLVHENTEKSNIMIELGAEKYRLEKELKDHEWKLSILNKKIERSNQALAKLHSSWSPSEQSADGELLTEEEKMMFRQIGRKMDGLVLLGRRGIFDGVIEEIHQHWKHKEVVKVITKQNQARQIMYTANLLEVETGGILIAVEKLTTSHAIILYRGKNYRRPAKSSFSNLLTKREALRRSIEVQRRGSMKYFVRERQKSILELKRRLRYVTRQIKYRTPRS >Sspon.01G0063500-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:116093515:116093899:1 gene:Sspon.01G0063500-1D transcript:Sspon.01G0063500-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSDGVALPPTVSWTGRVTMPGTGMHVRARSAWVRCSSVVGRRPASRYAIGIHRPTVGAVQCVRTIVKRELQYTYFLGPIGAGPAGHRPSASRRHRNGRHSPETSGGR >Sspon.01G0010420-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:35367292:35373951:-1 gene:Sspon.01G0010420-2B transcript:Sspon.01G0010420-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVVQCGPSKRRDAIPSAGVPDQPSPFKAATRPPLLPLPAHKPYPTTRGYQQREKAERARREQNPRRKATTSASASPAGPHRIALALARSPISPQASRRSDPPLRRGVAGRFRGQQFSPFCCCCESRGSIRALLPWRRPPEPRALRGVKMTKAATLYGSKAQSDALRPGPLRPANIIRNKFPTYKNGSNGIVIKLADGPEIPPLKEIVAKETADLLDRRQRLSVRELAMKFEKGLNTATLLSNEVKWRQVALLERDILLKNLKSVLESLRGQVTGKTKDEIEESISMVEILAVQLSKREAELLQQKEEVTKLAKSLKQASEDAKRIVEEERANAHTEIETAKSAVQRVQQAVQEHEKMSQNTGKQDMEELKKEVREARRIKMLHQPSKWFRIHPKESNKEIISGATRPVYALEPHDVGRYLQAEIDVGGEIAVAKTAGPVDPDAGLVDYVETLVRKPETEFNVVVLQLNGVDQPKESVHVLNVGRLRMRLTKGKSVVAKEFYSSSMQLCGVRGGGEAASQAMFWRPRNDLSLVLAFESTRERNTAIMLARRFAIDCNIILAGPGDKTPW >Sspon.01G0061760-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:97175887:97176354:-1 gene:Sspon.01G0061760-1D transcript:Sspon.01G0061760-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VILTPTHLAIVMEYASGGELFERICKNVRFSEDEARYFFQQLISGQVCHRDLKLENTLLDGSDAPRLKICDFGYSKVLTHVNFILF >Sspon.07G0015680-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7B:60280730:60282361:-1 gene:Sspon.07G0015680-2B transcript:Sspon.07G0015680-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAQLTPGAVAAIAEHADGMGTLQPVLQVVDVRMVKNVDNPSAECFRMVLSDGVYTLQSMVDTAHNPRVRDDSIQKGSIIRLLEFTCSTIQSRRIIVVLQLDILQSEHDIIGNSKPFEMRNVPNGTTSVNQGMLAEIPWKTVAEIRNSLGYLVKPELFTVKATLSVMNSESLCYAACPLVVNGNQCNGAITSDGYEWWNCDGCNMTFVACDYRYKIFVQLADSTGVIYATTSQEVGEEIFGQTARELYLVKYEKQDLAQYNKIVMGVQNCEYLLEVILNREAFSDESEALPMFTIVKVESLNPSAENRRPVRRTSVGMRTGFSDLEAQLRQGVRNFSTGNAIDAAGVHVPYLLSEETNSNGL >Sspon.08G0026070-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:16114876:16115151:-1 gene:Sspon.08G0026070-1C transcript:Sspon.08G0026070-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIWSIYTIPTSQCIDELAKGDIVKNSTLCAIVVPSIFRVQTSSHQPHMRGNTPSSMTSRNATLTIVIRCFIPAKNCLHREGMASNIVAIP >Sspon.03G0026580-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3D:3499767:3500565:1 gene:Sspon.03G0026580-2D transcript:Sspon.03G0026580-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLQLPDADGESSSQAWRHFLDDAKRSLSLLKAQLRDKGTRFFGDNSVVLVDIAASPLPHCLGVFEEVGGVAPALLNDEEHPALCRWAERYVGHETAKQCLPRRDELVTMYSAACKDISSGMPPASQNCAAASSPPCSILATPRSSPRRAALSPRCDAIPRCAPILRRALSRLRLFPS >Sspon.02G0014560-3C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:41328527:41330446:-1 gene:Sspon.02G0014560-3C transcript:Sspon.02G0014560-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MELFAGRGPTATARGDEGGGYRRFPDPPTHHARAEEDSSMLVIRDALLSQLQKDRLRQEIILAELAKIERAMALRAADAEGANPVAPFCFLEETPHSREADGLQPADHGIVAADVDNDLKKKKDGVHGGVELESQKPVMEDRVRECLKTSCGAGNAAGQQNAALDECKLQEPNETTLPKKTTSSSVKWSCDICRVGAPTEGHLQQHFAGQKHQSKVATLVSRNNASRQKAKAAAAKSENVQQHDEKPRLTWVCRFCQSNSTCRSHLENHLRGKRHKAKIQSLLQECKNMAVNCGSLNSQPNLVTQDEENNPASTWNCSLCQAKCSRQSDLANHLRGKRHQLNFLVLQVEGKQYLTEWGCGICHAKCDSVSQFENHCSSRGHQQKVEALRKGGQIASSSGFNTAKGASSEETEIHRATYFCKLCNLHCNSKNTLAEHRKGKKHTEKVEKRMSLSFCEVCNLQCNSEKMLAHHRTGKAHLAKLNNC >Sspon.05G0007320-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:17108965:17110341:1 gene:Sspon.05G0007320-2B transcript:Sspon.05G0007320-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At5g66500, mitochondrial [Source:Projected from Arabidopsis thaliana (AT5G66500) UniProtKB/Swiss-Prot;Acc:Q9FJY9] RWRVFRGRLRCPPCSASSPPTPPSPPPPTPPYSSPAPSPAPSRSRPPPSSPPTRTPDSRAPRPAMFEEMPARHAVAWNALLACLVCHARPGAAAAAFRGMVASGLPPTAATLCFLLKACAVSRAARPGRQLHAWGVVSCHADADVIMATALVDLYMSCGLVEDAMRVFVLTKCPKDAALHNALLSGCVENGWFSDTFSMLRLQTELNGISLTSALTACAATANLAYGMQVHCKALRGGFDSDTIICNALIDMYAKCGRAMAARIVFDRMATRNVVSWSSMIDAYSRHGHGVDALDLFKLMEKAAPMVLPNAITFLAVLSACGHSGLVDEAQSMLHLMKSKYGIDPQPEHYACLIGMLGRTGRIDEAWDLYCSLTASRNKSSSAVCVAMLNACRANMDAVRGKMVAVRMLEVDPRNPGIHVLISNFHAAMRQWSESNESRRIIADKGLRKEAASSRVSFG >Sspon.02G0015700-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:42862088:42863247:1 gene:Sspon.02G0015700-1A transcript:Sspon.02G0015700-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMNVHYSCIIVFLVFDYIMIILTGEATGRLLRYDPATGSAPTVLASGLSFPNGVALSADGTHVVVAETTRCRLLRHWLRGPAAGTTEPFADLPGYPDNVRRSDGDAGAGGYHYWVALNRDKSWLMNGTTPRSVAAVRVHGETGAVTEALRGLGNATVSEVVERPGGALWLGSVDTPYVGLLK >Sspon.06G0032450-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:86197619:86198342:-1 gene:Sspon.06G0032450-1C transcript:Sspon.06G0032450-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTSAVKPLTVLFFFLAVSLRGTNGEPCGTSSIQVQTMNTGVTAAGGDTVFEVQVKNLCPCSVRNVRVDGGGFATTVGVDTNLFRPVDGGVYLVNAGEPIPSMGAVSFRYAWDHFFQMTPRSLEVEGQC >Sspon.06G0028430-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6C:6509198:6509605:1 gene:Sspon.06G0028430-1C transcript:Sspon.06G0028430-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNRFQKIRELGCKGQKSNPLMLDEFQWDNEWVDENYKETTWAAIDEAIGASENLLGRNLRRAAAARATASVSQMYIRKRPRTAAAGAVTPDIIDDEDDDHKAQAQPDASNAEVEMEVDGDSGGNGGYFNFLLNA >Sspon.08G0003610-3C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8C:9193883:9198925:1 gene:Sspon.08G0003610-3C transcript:Sspon.08G0003610-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDTVNATSRSKPLSIEGRIDDRTQIRPIPRPLKPEHDSTVRSWIRQPIPTLLETLAPPHYITFPPPARSHLTHLPNPTLPAAAAQEQQQHAKMVKFLKPGKAVILLQGRFAGRKAVIVRVFEEGTRDRPYGHCLVAGLAKYPKKVIRKDSAKKTAKKSRVKCFIKLVNFTHLMPTRYTLDVDFKDVATGGPDALSTRDKKVTACKTAKARLEERFKTGKNRASISYQHHQRGKESNPFLESDFLDLSHLVQKHSSFCGVRLPKLIGPKTFAHVILLKTQDRIAGGDQSPQAPDRRARKSPNLADNVVADVLQSSIAYAWPARRNDAAEYARSENHRQISLSCTPCDSWTCCT >Sspon.02G0038670-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:38496042:38498581:-1 gene:Sspon.02G0038670-3D transcript:Sspon.02G0038670-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSWVDSFSSSWVRLSIRDGNHGTKKVRKPKAWKHPQPITMAQLRQMREEFWDTAPHYGGQKEIWDALRVASESEVSHAQAVVESAGIIVSNADLTLCYDERGAKYELPKYVLSEPTNLIRDS >Sspon.04G0007270-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:20789166:20790491:-1 gene:Sspon.04G0007270-1A transcript:Sspon.04G0007270-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVSRRVLLALLSLLLLALRHAALSAPAATFPGDKAALAALRSAVAASSVPPYSCLASWDFARDPCAAFPCGLRCYSPAGATNSSYLRVTAVALDPAGYSGALPAALLSSLPFLASLSLADNRFHGALPGGVPLPPSLRILDLSGNAFSGAIPGSLFTASSALQELYLSRNGFSGGVPPQLALLGALTRLELQHNALTGPLPRLDAMRSLVHLDVSGNALSGSLLGAPGTLPPSLWSVAARNNSFSGPLSAAVLAALPAVRVLDLTGNAVSGAVPGAAFAHPALQQLRLGSNRLDAVQEAPDGGSSSQLVELDLSGNRLAGRLPACLGAMPRLAAVALDRNRFTGGIPERYAVRAAAEETTEQWVPFVRLMLQGNYLCGALPRQLRQLKEGNAVVSLADNCLPRCPRKFFFCQGAPQKDHATCPKCDTSIHREDILLRMP >Sspon.06G0033590-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6D:17373859:17375552:-1 gene:Sspon.06G0033590-1D transcript:Sspon.06G0033590-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSSGSSRSQVSEDYWRRHGDATSPIPYREAPLEYTPDGGCYFYRFVDPLTSGFLKQLLLDLKDAVKYWKSQTGKAERRLDVAARENQRLQAEMEAAIKFNEDRRAMFVEARTELANLQADLQNADRFRVQSEHHHLLYVNEKQQHLVAVMHHHYIWMAGKHHHLL >Sspon.06G0001420-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:4571847:4572526:-1 gene:Sspon.06G0001420-1A transcript:Sspon.06G0001420-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTYWPYTPSIQLLYGLRTALDLIFEEGLDNVIKRHNRLGTATRFAVEAWGLKNCCQKEECFSDTVTTVVVPPYIDSAEIVKHAWKRYNLSLGLGLNKVAGKVFRIGHLGNLNELQLLGCLSGVEMVLKDVGYPVKLGSGLAAAAAYLSKSTPLIPSRI >Sspon.02G0000080-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:16175217:16176643:1 gene:Sspon.02G0000080-1P transcript:Sspon.02G0000080-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAPCCEKSGLKKGPWTPEEDEKLIAYIKKHGQGNWRTLPKNAAIAARLPGRTDNEIKNYWNTHIRKRLLRMGIDPVTHAPRLDLLDLSALLKPAAAYYPTQADLDTLRALEPLANYPDLLRLASTLLSSGPPAPAIGDHQNQLLPWILQAQMAQQAATAMAQQVAPPPPQAEQFMQQQQAGAACQQMPDLVHTNPTLQQQLAAAQHQPQDMAAAACHSMQPPSGYVDSLDVPALMQMVQQADASNLQQWSSTVTSSNNNNNVGSGVSTPSSSPVARLNHSSSTTTTTYGGASASTNNDAAAAALFNMQLSELLDVSDYM >Sspon.01G0048180-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:107364392:107365387:1 gene:Sspon.01G0048180-1B transcript:Sspon.01G0048180-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMFQEVVMEEEEERHLPPDIIYKIPEYISDPVSLARVASSSKLWRSIIKETAFLDGLMTRHLDHGFTSSLLLGFFYQDSAEAPDHLWQHYRDKNRCLAPSFMPTSELLPFAGCKADYNPTSPLSLGNFIQGINSSLNFYEPVASQDSFLVLCHHSKDAEGDPKPDVVCVCNPLTGEVFHLPGLPHKPPHHYALLVTDDINLDGRMTQSFRLVAIWNIGKKVEHGGGLQASLI >Sspon.01G0046990-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:89526749:89527655:-1 gene:Sspon.01G0046990-3D transcript:Sspon.01G0046990-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GGVDRAHQGVRLRRAGAGGLCLPQLLDELPGRQGPQKVQGVLPHHVRHRVGEQGRQALQLRAGGAPELSGDDAHVLRHAAAGRPAAPDHRRRAGRPLRRREVLLLQGILHRHSGQPSQDWVS >Sspon.01G0011880-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:36144276:36145997:-1 gene:Sspon.01G0011880-2D transcript:Sspon.01G0011880-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTLPGLSLGNGYPPYPQYELRFIAFFMDDCLQQLMDRIDAGEGEQLKNLILSERLSKLVRMRLEMQAPYISKWPQALSIQSQPANVSTSLKQRAVLVDEIWHAAGDSGSDIDWYVKRTVLGGIYSTSEVYMLTDNSPEFRDTWTFVNRRIKDALDLQKTFQEAAYLAEAVGAGMGGTVQGVFNRVFQKRRG >Sspon.02G0019510-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:59219501:59220586:1 gene:Sspon.02G0019510-1P transcript:Sspon.02G0019510-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKLCTLLLAAVVVLSLLVSPIACSSRKLTKPKPKTKPISHRQAAPAARTIHKPAAAAPAVKVSHKPATAAKSHTNHTATPSSPSTVYGSGGWLSGAGATYYGAPNGDGGEGGACGYQTAVGKQPFDSMIAAGSAPLYRGGEGCGACYEVKCTSNAACSGQPVTIVITDLSPGGLFPGEVAHFDMSGTAMGAMAKPGPGMADKVRAGGVVRVQYRRVPCKYPGVNVAFKVDQGANPFYFNVLIEFEDDDGDLSAVDLMEAGSSVWTPMAHNWGATWRLNNGRRLRAPFGLRLTSDSGRVLVASNAIPAAWTPGKTYRSLVNYP >Sspon.04G0000870-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:3630740:3634652:1 gene:Sspon.04G0000870-1A transcript:Sspon.04G0000870-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPTQGQVITCKAAVAYEPNKPLVIEDVQVAPPQAGEVRVKILFTALCHTDHYTWSGKDPEGLFPCILGHEAAGVVESVGEGVTEVQPGDHVIPCYQAECKECKFCKSGKTNLCGKVRGATGVGIMMNDMKSRFSVNGKPIYHFMGTSTFSQYTVVHDVSVAKINPQAPLDKVCLLGCGVPTGLGAVWNTAKVEAGSIVAVFGLGTVGLAVAEGAKAAGASRIIGIDIDNKKFDVAKNFGVTEFVNPKDHDKPIQQVLVDLTDGGVDYSFECIGNVSVMRAALECCHKGWGTSVIVGVAASGQEIATRPFQLVTGRVWKGTAFGGFKSRTQVPWLVDKYMNKEIKVDEYITHNMNLADINDAFHLLHEGGCLRCVLAMQI >Sspon.02G0049600-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:41152205:41154006:1 gene:Sspon.02G0049600-1C transcript:Sspon.02G0049600-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MELFAGRGPTATARGDEGGGYRRFPDPPTHHARAEEDSSMLVIRDALLSQLQKDRLRQEIILAELAKIERAMALRAADAEGANPVAPFCFLEETPHSREADGLQPADHGIVAADVDNDLKKKKDGVRGGVELESQKPVMEDRVRECLKTSCGAGNAAVKWSCDICRVGAPTEGHLQQHFAGQKHQSKVATLVSRNNASRQKAKAAAAKSENVRQHDEKPRLTWVCRFCQSNSTCRSHLENHLRGKRHKAKIQSLLKECKNMAVNCGSLNSQPNLVTQDEENNPASTWNCSLCQAKCSRQSDLANHLRGKRHQLNFLVLQVEGKQYLTEWGCGICHAKCDSVSQFENHCSSRGHQQKVEALRKGGQIASSSGFNTAKGASSEETEIHRATYFCKLCNLHCNSKNTLAEHRKGKKHTEK >Sspon.02G0048980-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:23087418:23089887:1 gene:Sspon.02G0048980-2D transcript:Sspon.02G0048980-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGLSKSIGGDGPNVPAGSHPAGGSQAAAGGGATQRTQYPYVTGTSVIALKYKDGVIMACDTGASYGSTLRYKSVERIKAVGKHSIIGASGEYSDFQEILRYLDELTLSDHMWDDGNSLGPKEIHNYLTRVMYNRRNKFDPLWNSLVIGGVKKGPKGDEKYLGMVNMIGTHFEENHVATGFGNHLAIPILRAEWHEDMTFEEAVKLVEKCLLVLLYRDRSSINKFQIAKITTEGSTIYPPYSLKTYWGFTHFENPAQGAVGSW >Sspon.08G0006980-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:19084837:19092806:-1 gene:Sspon.08G0006980-3D transcript:Sspon.08G0006980-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMTDDEHRQTMAEEGRAVPVQPHPHQPNGGGSPPPLETEKTAAGSASAPPPPPSPSAKSLAKAEEGITAIKELCHAAKAKDNREFGAFIAAFTASMMVAWYFLSPDARGAYNMRYIIPMLLGLACLTSGLSLMLLSQNILNLQEDLVDDVQLVTSKWLSLLCSILPVLTLLSSLVLSGYKVYRYIGLIILGLVMTPLALLRWYIGRNVEGSGEQVDNEHKEQLEAAFKFISAISNSAFGGLVALVVNYNITGYSRSTKGAVLFAIFILFTTGVLGLLSMEIRTKVLEINNSRLRGSIIKAMWFIIIFMLLLLAGAVLAEVFAIVEFWTFAAFLPLAFASAIYLLPERCVRVPRNNNANEYLMEQFNWKADKGIKVAMWSFMAIISIFGGFLHGHDKIQYLKACIILLTSAFMSGFVLTLLTIRPDPTSTSLAAATTMQIGLHQQHLELRYLPLWLRCFCKSCEARTMLSSTLHLDTIGNLACTFVCSELPDKTREQLYSNS >Sspon.06G0012230-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:52937359:52941526:1 gene:Sspon.06G0012230-3C transcript:Sspon.06G0012230-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATASVNFKSREDHRKQLELEEARKAGLAPAEVDEDGNEINPHIPQYMSSAPWYLNAERPSLKHQRKWKSDPNYTKSWYDRGAKLFQANKYRKGACENCGAMTHDKKACMERPRSVGAKWTNVNIAPDEKVESFELDYDGKRDRWNGYDPSTYTRVIADYEAREEARKKYLKEQQLKKLEEKDTEKDDENVGSEDDEEDGLRIDEAKVDESAQMDFAKVEKRVRTTGGGSTGTVRNLRIREDTAKYLLNLDVNSAYYDPKTRSMREDPLPDADPNDKFYVGDNQNRLSGQALEFKQLNIHAWEAFEKGQDIHMQAAPSQAELLYKSFKIKKEMLKSEHKDKIMEKYGNAASEDTIPRELLLGQSEKEIEYDRTGRIIKGQVGLAGIEAAEASADLMKANMARKEAAEDVPVQHEEKRLATWGTDVPQDLVLDPKKLAESLKKEKGRGKEERDEKKRKYNVHFDDQVTVEDMEAYRMTKIHHDDPMRAFLK >Sspon.05G0011510-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:24706615:24707607:1 gene:Sspon.05G0011510-1P transcript:Sspon.05G0011510-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMSVHATESFDIEEEYKNTLRTQSNACFLSKEQRPEEEMELFLDTQQDFFTSLMLQNTVLMRPGAAPSEMELALADYFDASAEALEMCRQLLRNVKSTQSNYRSMDRFLAAMADGTASTSSSAPLTVADEEPSFPVRSNPFCTTTRSNFRQIHDRCSSILQSIRSSHGRVARKLRIVKAVKKLSRTLLVIASVAAAAAAIGAGPYLLFLIGLLIGPAAAAGLCQIALKRRPLTATATKERSGGKTTTALSLLQDRLDTAAKGTYVLGRDLDTLNQLVARLSDGIERENAMAWRCPAAVLEMVSELRRSCLASGRLAEELEEH >Sspon.01G0034550-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:4435879:4439060:-1 gene:Sspon.01G0034550-1B transcript:Sspon.01G0034550-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MENAVVLREWFDRVDAGRTGNVTAAQLQGALAVGNLNFPISVVQQMIRMYDFDRNGTMSFEEFLALNKFLQKVQNVFSTLERGRGFLSLEEVYEALIKLGFSLDSPSFYTVCESFDKSKKGMIQLDEFISLCVFVQSARNLFNSFDTSKQGRVTLDFNQFVYCTANCRI >Sspon.07G0001980-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:4268454:4273040:1 gene:Sspon.07G0001980-3D transcript:Sspon.07G0001980-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPASASARPLSLPLTVPLSRYRSHVLPAACSRVFPRRRVALAPARPRAALLSSLSNAREEEEGDFYEEDEQQEYDDEEEEQGFDAENEELVEVGYVSGAHGVRGDVLVTPRTDFPELRLATPGTRWLRARAAGKQQVREFELVRGRAHTGKKSWIVSFDGVDNLDEARQIVGSAVLVKAGDRPEIEDDEFYSLDLVGMRVIVKDTGKVVGTVGQVFNFGGGDLLQVMIGSSEGTAVDPDSENQDSISSREHVWIPFAEDIVPDVDMASREMWITPPKGLLELNSRSDKRSKKERRAMEWKDRKRLQRRVIAGKKVLSEMDQVHVLEGLISGDKVQKASLAEQIGSIDFQLFRHAVHCVSKQIESSSKDLLANSSLSRKKVIKIPYKTLFNLGDKAEHAFSSELKNGLEILLKSKAAIVLVRNGSYSDAEFPSLLNSFSELMKVVENQVSPPFIIVSPAGHVESVRNCLVENDYFGLDTQKVWVLEELELPIVSISSEGNRKKVLMKSPWEIIKRPAGSGGIFSLLASNKILETLNEMGVQYTQICSSSNRPLIGHPLLFGAVASRGADVGIQLFQTRETEDDFDVILSIDQLNKMCRDVTQVSFSARPEPSAHGEHVDGQWVAVQPEVANSHRLDAEVTSVLNSCAPDKLCVMEIVEQ >Sspon.04G0017780-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:64705824:64708168:-1 gene:Sspon.04G0017780-1T transcript:Sspon.04G0017780-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SSAGEQTSESSEAEVHAPRAKLRPFYWDKVLANPDQSMAWHDIKFGSFHVNEDMIEELFGYSVVFKAMNIRYKTFMTLLLKDELPRVLLETILRMKPTDEEEQKLRLYNGDFSQLGLAEQVMKALIDIPFAFKRVDTLRFMSSLQEDASSLRDSFHQLEVACGELKHRLFLKLLEAVLKTGNRLNDGTFRGGANAFKLDTLLKLSDVKGADGKTTLLHFVVQEIIRSEGVREARLAMESGLSPTRSTSDDNSNGSLQEDGDYYSNRGLSIVSGLSSEMDNVKRVAALEAEPLFATVVTLRQELLKSKEFLNEIAATEETSGFRRSLECFVEHADNETNFLMKEEKRLRSLVKKTIRYFHGNDSKDDGFRLFVIVRDFLVMLDKACREVGASQKKGTNKSRSSGNGNPTFPSILQEQQFPAVIDGHSDSSRSND >Sspon.08G0020250-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:19142835:19152378:-1 gene:Sspon.08G0020250-1B transcript:Sspon.08G0020250-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VLQRVINNFLDKPKYRCGCKCIDVNGTGPCQNVCGIQYSTPDQAPSCPIPNPPKWPALVQVPLPEYRAVQDSSGLFTGFPDESCRKTQTCAVSIPFAGANRTLSNSIMQNLFTSSPLLNISDYTSISSLLLGTDVPGSSTGFVEPAFISARPIYVLQPQCKSSASVTIPITVGSVNTQKEIKCVQGLPLWRNSSATINEETFQGYRKGKTAEGINEIAMGYDFQDSNEKHFNVLALYNSTYENAIPMPFALLRIPRSLNAVSNAYLQLIRGSGVNMLLDFTKEMPKQATRLTFDFSAVAGPLFFEWVVVLLFPVMLTYLVYEKQHKLRTMMKMHGLGDGPYWIIYYMYFLIFSTLCELFQDKRLQYTIVLAFLASSFFSKVNTAQAIAYLYIFGSGLMAGYLIRNFIEGGKFPRHWITVLEIIPAFSLYRGLYELGQYAVRSSETGSPGMRWIDVNDHTNGMRDVLIIIILEWLVLLPVAYYFDHASSVGHRSSLLSIIKHLLKKDPTSRRITVNDIADKDVHIEMEKLDIIKERETVDQVLQQQTSGYAVVCDDLKKVYHGKDGNPDKFAVQGVSLALPYGECLGILGPNGAGKSSFISMMIGFVKPTSGNTFVRGFSIQNDMEKIYSSMGAVDESLRSVNLLHGGAPDKQVVYMDEPSTGLDPASRKSLWSAVKQAKQDRAIILTTHSMEEAETLCDRLCIMVDGSLQCIGTPKELIARYGGYYVLTMTTLPEFEQEVENLVRKLSPNSRKVYHLSGTQKYELPKQEARISDVFMAVESFKKRVEVQAWGLADTTMEDVFVKVAKGAQSSEELS >Sspon.05G0008970-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:28206099:28209325:-1 gene:Sspon.05G0008970-4D transcript:Sspon.05G0008970-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPAPQTHPILSYVLSRIPTLAKPSKAPTSSEFDIEQPPVHTPSPRTTPSSPSAGEFELVERMPGLRHPSVLRAMTRAVADVSAARAALQVLGPRPDHELVDSSRAIVAAAEAGDARIPEGDAEACRAVVRLEETHDAYEALLHEAEARLERVYRSAMEGTDLDDDEAAAESGKGEGPAAAGTEGGDAAVQEEVVAVLKQAEEGKPVESVRLVDRQLRQLPEAFGRILGLRVLDVSRNQLEVIPDAIGGLEHLEELRVAANALVSLPDTIGLLSNLKILNVSSNRLRALPDSISKCRALVELDVSYNGLTYLPTNIGYELVNLRKLWIHMNKLRSLPSSVCEMTSLYLLDAHFNELCGLPSAFGKLSSLEILNLSSNFSDLKELPFSFGDLLNLRELDLSNNQIHALPDTFGRLDKLEKLNLEQNPLAMPPEAIVNKGVDAVKEYMSKRWLDILLEEEQRRIAAETPQASSTPKAWLDRSVSWVTGVSGSLVGYLGGNKSEKDAYLDQQL >Sspon.04G0015010-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:56249099:56254407:-1 gene:Sspon.04G0015010-1A transcript:Sspon.04G0015010-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFASPFSVTSSHSSEHEPIARDSSIIVAVDRDKNSQQAAKWAVDKLLTRGSMLQLVHVRVEANKDEGDAEITQLFISYRGYCARKGMHLKELILDGTDISKAIIDYASSNAITDIVVGASTKNTFIRRFRNPDVPTCLMKMAPDYCTVHVIHKAKAIQVKAAKAPAPFTTLPPKQHSQPNIESDASRTSRGDWKKTSHTSSPLASSRNSVDRLSAYAKAPSRDRPLTGARTAPQKDFDDYIDFIAPPRPSVTRSSFSDDIDFGMSMELPSIDFGESLELSSAMSMESLSCSGDVEAEMRRLRLELKQTMEMYNSACKEAVDAKQKAAHMHQMKVEESKKYQELRNAEEEALALVEMEKAKCRAALEAAEAAQKIAELEAQKRLRAEWKAKREAEDRKKATDALNKNDIRYRRYSIDDIEAATHKFDKALKIGEGGYGPVYKAVLDHTNVAIKILRPDASQGRKQFQQEIEVLSCMRHPNMVLLLGACPEYGCLVYEYMDYGSLEDRLCRRGKTLPIPWSIRFRIAADIATGLLFLHQAKPEPLVHRDLKPANILLDHNFVSKISDVGLARLVPQSAADVTQYRMTSTAGTFCYIDPEYQQTGMLTTKSDIYSLGIMLLQIITARSPMGLTHHVENAIERGAFQEILDPTVTDWPVEEALEFAKLALRCAELRKKDRPDLGKEILPELTRLRNLGHDYETSQVSSASTNCSSSAPYSFNNDDT >Sspon.01G0029560-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:99454990:99456536:1 gene:Sspon.01G0029560-3D transcript:Sspon.01G0029560-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding EMEAARTVDPAAGLDVDKLTYEIFSVLESKFLFGYDDPKLFSPASAGASPSPGPAAAVSSGKATPTRPAAAGAKVCILSIDGGGRAADGLLAGAALVRLEASLRRRTGDDGARLADFFDVAAGSGAGGVLAAMLVARGPDGRPRFSADDALAFLLRNLRRGGGGWSSDAQGLGGLRGLFQFRRPGGGGGAAAAFRRVFGDLTLRDTVRPVLVPCYDLATAAPFLFSRADAVETRAYDFRLRDVCAATCAGSGVVEARSCDGSTRIAAVGGGVALGNPTAAAITHVLNNRRDFPLAAGVEDLLVVSIGSGEAGHDQRERERGAASTSQIVRIAAEGVADTVDQAVAMAFGHNRTTNYIRIQATGTPRGSSRGAAAEAEEMLARRNVESVLFRGKKVAEQTNAEKLERFAHELVKERDRRRASPSPSPAAAPALVRHHQPSAAAASYSSLVSHTLAS >Sspon.04G0029450-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:72282040:72284269:-1 gene:Sspon.04G0029450-1B transcript:Sspon.04G0029450-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFSTALLPRPGLSLLRAPPVFRVTASSSGSGSKKKSKKPKSGSKGKDTKALEPPAPVVRRAPAGSASIFQQKQEAASKSGGAGGKGPTEEELRQRQANENAFLLAWLGLGIIILVEGIALAASGNICSHNLVSNLQCCTHFAYGFLPEEYDNFFVKYLYPSFTPTVVLFLAGTVGYGVLKYFESEKSKT >Sspon.02G0017550-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:46204937:46211462:1 gene:Sspon.02G0017550-2D transcript:Sspon.02G0017550-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mediator of RNA polymerase II transcription subunit 14 [Source:Projected from Arabidopsis thaliana (AT3G04740) UniProtKB/Swiss-Prot;Acc:Q9SR02] MDSEEALNKGSTSATEVFSSLRTRSILHLFAASGSFFGLKVYQQSQGTLKIPKTILHGSDLMVMGFPHCANTYYLLMQLDKDFRPVFHLLETQCDANDKTNANGDVKEAIRFNKINIGQMQILKNETNANPFDMKLQALQSLVDPADMMEGDLPVQNGIEPLPLLPACSPSFSSIVDEVFEYERGSTAAQNHSLSVDIQGMNARVVSPMHDGSLSHTQANNTAKVHPSVSLNSYFPSVNKSLQLVPSSNNNSNQIPAQSSHSGNLGNAMPGHLVGSSTITGGLEKLITAGSDGASRKRSLSDFLPSIPSLRGLQASEPRKRRKKSESVQNLLSLQAHSSNLQSRVSLTYGDVLAERNNCVPATIYASVLLHVIRHCSLCIKHAQLTAQMDSLAIPYVEEVGLRTPSSNLWLRLPFAQDDSWKHICLRLGKAGSMSWDVRINDPHFRELWELNGGSTTTQWGVGIRIANSSEMDSHISFDSNGVVLTYNTVEADSVQKLVSDLRRLSNARSFARGMRRLIGVKFDDKLDDNRLSMEVKSQSVNKGNSDAADKLSEQMRKTFRIEAVGLMSLWFSYGTMPMVHIVVEWEIAKGGCTMHVSPDQLWPHTKFLEDFVNGGEVASFLDCIRLTAGPLLALGGAIRPARMPVTVSSGYSSMPKQPNIPAQGPVANGSSSSTIHHAPVPPNAAAAHLGSHNLHAAAMLSAAGRGGPGLVPSSLLPFDVSVVLRGPYWIRIIYRKKFSVDMRCFAGDQVWLQPATPPKGGPSVGGSLPCPQFRPFIMEHVAQGLNALEPNFMNATQASGHLNNNAGAPQTAPSANRLSATPGVSMSRPTSGVANHVAASLSRAGNAMLASSALASGISGASVRLTAGAGLPVHMKGELNTAFIGLGDDGGYGGGWVPLAALKKVLRGILKYLGVLWLFAQLPELLKEILGSILKDNEGALLNLDQEQPALRFYVGGYVFAVSVHRVQLLLQVLSVKRFHHQQQQQQAQSNAQEELAAAEINEICDYFSRRVASEPYDASRVASFITLLTLPISVLREFLKLITWKKGLSPVNGDIATAQRARIELCLENHSGSAAADNTENSTLAKSNIHHDRAHSSVEFALTFVLDHALIPHMNVAGGAAWLPYCVSVKLRYSFGDNNHIAFLAMNGSHGGRACWLQFEEWERCKQKVSRAVETVNGSGVAGEVGQGRLRMVAEMIQKQLQLCLQQLRDDPLSAGSTAS >Sspon.06G0024010-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6B:50091833:50095961:1 gene:Sspon.06G0024010-1B transcript:Sspon.06G0024010-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKQHTLGGYPERGNRFLKCLQWWEEWQLRILVSGSLAVQCYLALFASSRKIHIRPLYRFFIWLAYLGGDALAIYALATFFNRNKKVQHQPQLVNGSHDLEVLWAPILLIHLGGQINISAYNIEDNELWRRHILTAVAQVPLHRAQRRPPVPAPLRVRAPRPPARPRLLQLVQTRGLRPRFCPGGSCRLPTPDPVSAPAPAPPSRFAAPVRVYQRRPRPPPIAVPSPPGTPTPPPLSPPARGPSPVYHPPLLHRHPRHVHPMVTRHAAGTLPPRVLAASTDDAVVSPVPSSVRDALLDPHWRRAMEEEYAALLANQTWELVPRPPGANVVTGKWIWTHKRRADGSLERYKARWVLRGFTQRPGVDYDETFSPVVKPATVRTVLSLALARSWPIHQLDVKNAFLHGLLTETVYCSQPAGFVDTTRPDMVCRLNRSLYGLKQAPRAWNHRFATFLMTLGFVEAKSDTSLLQQEFAMKDLGELHHFLGVTVEHRPAGLLLHQQQYTRDILERAGMSDCKPCSTPVDTQGKLSETEGPPVADPTAYRSLAGALQYLTFTRPDITYAVQQVCLHMHDPREPHLTALKRLLRYLRGTLDYGLLLHRASSTDLVVYTDADWAGCPDTRRSTSGYAVFLGGNLVSWSSKRQPVVSRSSAEAEYRAVANGVAEAAWLRQLLAELHTPPSRSTLIYCDNVSAVYLSTNPIQHQRTKHVEIDLHFVRDRVAMGEVRVLHVPTTSQFADIFTKGLPSSTFAEFRSSLNITGG >Sspon.05G0013070-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:42720969:42722351:-1 gene:Sspon.05G0013070-3D transcript:Sspon.05G0013070-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMESVAVVAVPFPAQGHLNALLHLSLQLAARGVPVHYAAPVEHVRQARSRVHGWGDDTLRRVHFHELPISAYASPPPDPAAVSAFPSHLVPLWEAFVADAPAPLAALLSGVSASCRRVVVLYDVANGFAAEEAARLPNGEGYGLLCTAVSSIVGGTDAGSRLVREHGLDFNPVHSFVTEEFLAFVGERARWEQSIPCSVGILANTSRALEGEFIDVFTQQLAAAGKKLFAVGPLNPLLLDANSAPQQAGSNKERHECLDWLDEQPPASVLYVSFGSMSSLRDEQVEELAAALRDSKQRFIWVLRDADRANIFADHGESRHAKFLPEFAGHTQGRGMVITGWAPQLEILAHGATAAFLSHCGWNSIMESMGHGKPILAWPMHSDQPWDAELVCKHLKAGILVRPMEKQREVISAAAIQEAIEKMMVSDEGHKIQQRAMALGEAIRASSAAVGGGPENKDLD >Sspon.01G0040520-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:36779158:36780881:-1 gene:Sspon.01G0040520-3D transcript:Sspon.01G0040520-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDATQDSLLFLFPAAATLLSPLLAVLLVVLSLLWLYPGGPAWALIISRSHATPPPGAPGVVTALAGPAAHRALASLSRSLPGGGAALSAFSVGLTRLVVASQPDTARELLASAAFADRPVKDAARGLLFHRAMGFAPSGDYWRALRRISSAYLFSPRSVSATAPRRVAIGERMLRDLSAAATGGGGGGEVVMRRVLHAASLDHVMATVFGARYDADSAEGAELEEMVKEGYDLLGLFNWGDHLPLLRWLDLQGVRRRCRSLVSRVNVFVARIIEEHRQKKKDDAANGESAAGDFVDVLLGLEGEEKLSDSDMIAVLWEMIFRGTDTVAILLEWVMARMVLHPGIQSKAQAELDAVVGRGRAVSDADVARLPYLQRVVKETLRVHPPGPLLSWARLAVHDAVVGGHLVPAGTTAMVNMWAIAHDPAVWAEPSAFRPERFEEEDVSVLGGDLRLAPFGAGRRVCPGKTLALATVHLWLAQLLHRFQWAPADGGVDLAERLGMSLEMEKPLVCKPTPR >Sspon.06G0000590-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:2546648:2548815:1 gene:Sspon.06G0000590-1A transcript:Sspon.06G0000590-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding KFFHQMQNAILQPSSEHFPKYQEEPIAMPDCFTPNFADHLHHSFSGPQLPAIHWAATHTAAGTSNGVEKKQEPWPVQGSPGTGKTHTVWGMLNVIHLVQYQHYYAALLKKLAPESYKQVSGSTSTSSETVAAGSIDELLQSMDQNLFRTLPEFCPKPQMLVCAPSSAATDELLARVLDRGFIEGEMKVYRPDVARVGVDSQSCAAQAVSVERRTDQILVKGCDEAVSSSYHRRLPIFTGNSIWLQRLVDRSQGSVGVDPDVLAQRDRNRDILLQKVAALVESRDKVLVEMSQLLILESRFHPGSNFNLEDARASLEASFANEAEIVFRTGMLKHTYNCVNGRKLFSRLTHGFDMVVNLLLMRLLRSPPSIGSWCCGAARCVLVGDPQQLPATVISKAAGTLLCSRSLFERFQQAGCPTILFSKQYRMHPQIREFPSKYFYQGRLTDSESVVKLPDEAYYRDALMAPYIFYDMSHCRESHRGGGGSSSYHNIHEVQFALRLYEAMAYEHLQKFLKANGAKKVSVSIITPHKLHLKCLQQEFKDVMNTEEGEDTYINTVDDAFQGQERDVVIMRDVVIMSCIRASNHGVVGNANTLMQSEDWAALTADAKARKCFMDLDSILKDFLPMKVPSNTPGRNSSNNNRNMRTGGGPRPRHFDMFSEPRAGT >Sspon.06G0028950-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6D:12262478:12263590:-1 gene:Sspon.06G0028950-2D transcript:Sspon.06G0028950-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVLFDRCVKFIEEEEIIQETGGQTRYQILRERIAPPASSHENLLALCLGNCYRDDWRHLPGCYLVLNTRAKSVAVVPLPPLPAAVRVDRSHCYPIGSAGVAVLRTDAHEDDGDDGYLLAELYRHTDRDDFPTNKATLFMWCSPGSGSGGGPRAGADQWIRKEVVLPLPDPDEDEYCSNFNARTVFAVSSTSLCWVDLWAGILVCNNISSSSTDAAADDDGLVFRFIPLPEGCACAPASFGATEYRSVCCVDSETIKLLCMDDGGGEVTVALTTWTLKFPLSTDIWRWEKDEDASCSSLCVADLLDDAIYS >Sspon.03G0017490-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:54647496:54649759:1 gene:Sspon.03G0017490-1A transcript:Sspon.03G0017490-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSESTTPRLPYSAYKRSMAKIKPKALLAQSKVKKAPSQISVTTIVTYMILGVLVVSSVYAAYKYLTGKGPVEAVGVQGN >Sspon.01G0005180-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:12155526:12157949:1 gene:Sspon.01G0005180-3C transcript:Sspon.01G0005180-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARRDNELDGEELGAEGSNPVSGGATPPPLAATPVVCVLRSAGDFAGGAFIGSVFGYGQGLLSKKGFKGSFSNAGSSAKTFAVLSGVQSLVVCLLRRLRGKDDIVNAGIAGCCTGVALSFPGAPQALLQSCATFAAFSCIMEGLNKQQAAMARTLGGTAVTVVREKSGVLPPFTLPPILDASDALASCCLALVKPKH >Sspon.02G0010530-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:28861268:28861820:1 gene:Sspon.02G0010530-1A transcript:Sspon.02G0010530-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding METVHGGRAGRRSSRPWPAELRPCLAALLSVVGRAAPGGSPARGRWISCPRPTELPLVGGGTERGWLVFVGKQGAATSGRPGTSYKAVLEDGATMVVKRLRDVAAARREFAACVEAAAVVAAEQRNLVPLRGTTTPRMRSCSSSITSPAVEPRPVDLPSAMKKSR >Sspon.08G0023900-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8B:59767074:59767925:1 gene:Sspon.08G0023900-1B transcript:Sspon.08G0023900-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSRMASPCTRSRRHGVDFALGGRRTRASTGGKPRAGQGKARAEGREARDGARWRGEILPARERQDPRALERGNHARAYVSRCSPEVVRLEVAASREVAHSLEREERREGKRRGRRERAPGSRRHHLPSFIRRLPSPHRIRPPAALSQLRCVLPLFLPCLLFQSSSLLPLFCLSFLCSAVWVIASVMHSALSFLCSFYSALLDVWRRLALRLKHLGVWKVVGRRNSPYRLKSYGGSRRGRQVHELPSPWLPYMHVAECPNPRACLVPKNFQIPCHINLWTHA >Sspon.04G0021890-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:2238579:2241279:1 gene:Sspon.04G0021890-2P transcript:Sspon.04G0021890-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASGPPLEDCLRLLRGERDEQKLAGLLVAANVCRAGDADAVAKVYRAVGPRFLRRLLSTGLGKVEGGKEEEREAYLRLAVTVLAGLARVPEVAADEGVVSTVPLVAEVVAKSTDPAITEECFELLSLIAISSEDGAYKFCEAGVIDMIFLQILSLTDGSKCIELAINLMQLLVHKLKVDTMSVEKLQGMTSMVTCLARLFAVLHTAVKFHALHMLTTLLSQKESPLHDSLRSIPASIWESHIRVGITAILQNRVVSSEKLHALLLAECMMSILGEDWLSEDFEVQDNQNVLPVD >Sspon.05G0001760-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:5745331:5745687:1 gene:Sspon.05G0001760-1A transcript:Sspon.05G0001760-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRWRSRASSSSSDAAVLAGHVAVSVQGAAPRRFLVRVAHLSHPAFLELLRQAEEEYGFPAAAGPIALPCDEDRFLDVLHCVSSSASGRSCVPAAARRGRGDARPLLLGMAVEKLVW >Sspon.05G0018590-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:79141393:79143625:1 gene:Sspon.05G0018590-1A transcript:Sspon.05G0018590-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:LAX3 [Source:Projected from Arabidopsis thaliana (AT1G77690) UniProtKB/TrEMBL;Acc:A0A178W1L1] MASEKVETIVAGNYMEMERDVGGGGGGDHGDDQPGGEVAASGASAGKKLALSSLFWHGGSVYDAWFSCASNQVAQVLLTLPYSFSQLGMASGVVFQLFYGLMGSWTAYLISVLYVEYRTRKEREKVDFRNHVIQWFEVLDGLLGKHWRNIGLFFNCTFLLFGSVIQLIACASNIYYINDKYDKRTWTYIFGACCATTVFIPSFHNYRIWSFLGLLMTTYTAWYLTIAAIAHGQVEGVTHSGPSKMVLYFTGATNILYTFGGHAVTVEIMHAMWKPQKFKLIYLVATLYVLTLTLPSASAVYWAFGDMLLDHSNAFSLLPRSGFRDAAVILMLIHQFITFGFACTPLYFVWEKLIGVHETGSMALRAAARLPIVVPIWFLAIIFPFFGPINSTVGSLLVSFTVYIIPALAHMATFAPPAARENAVERPPRGLGGWAGMYAANCFVVAWVLVVGFGFGGWASTVNFVRQVDTFGLFTRCYQCPPKH >Sspon.01G0036080-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:13836963:13844956:-1 gene:Sspon.01G0036080-2D transcript:Sspon.01G0036080-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPPPPPPKLPIPGRRNILITSALPYVNNVPHLGNIIGCVLSADVFSRYCRLRGYNAIYICGTDEYGTATETKAMEEKCTPKEICDKYHAIHNEVYKWFNIKFDKFGRTSSPEQTEVCHAIFHKLMENNWLTENTMQQLYCDTCQKFLADRLVEGTCPNKVCNASARGDQCETCSTLLNPTDLIDPKCKVCKNTPRIRDTDHLFLELPLLRDKLVNYINETSVAGLWSQNAIQATNAWLKEGLKPRCITRDLKWGVPVPHEKYKDKVFYVWFDAPIGYVSITASYTPEWEKWWKNPDNVELFQFMGKDNVPFHTIMFPSTLIGTGEKWTMMKTISVTEYLNYEAGKFSKSKGIGVFGNDAKDTNIPPEVWRYYLLMNRPEKDNRGRFKYPANVTGDVVAVVRARRCGVRREQPITRRRVVLLITIGRAGRLLLEESMVSHRGGRNREPLNSPSQYAAAALVMISTYLPRNHQSRLGDDIGGDSCCLAEASPLHGGEAQEAAKYMSAGRDDGGSGADRGAGYGSVVPDAPNAGTHTLTKTLAEKTSKWVEQYLDAMEKVKLKQGLKSAMGISSDGNAYLQESQFWKLYKEDPASCAIVMKTSVGLIYLLACLLEPFMPSFSEEVLHQLNLSPEENLSLSEEKGEIAKAKTPWDFVPAGHKIGKPAPLFKELKDEDVALYRENYAGSQAERSSKAAADAEANKVANKLKGTKLSDGGTKKEPKKQSGGSKSKTAEADITVAKLDIRVGLIRKAEKHPDADSLYVEEIDVGEDAPRTVVSGLVKFIPLEEMQVELVEPPESAAVGERVTFAGYSGEPEASLSGKSKTWEKLAAELHSNGELVACYKDVPFTTSAGVCKVKTIANGEIR >Sspon.05G0005030-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:15551086:15576486:-1 gene:Sspon.05G0005030-1A transcript:Sspon.05G0005030-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAASMLEVYRRDRRRLLGFLLSAGGGGGRALDLSRVDLDAVSADYALECVASGAHFNASEATRRYFDERRYPIMIGSPSGNSYFLLSRPRPSDSPPKEAAPSIGPQAPVQDNSSSAGQPTEPRDFFRDAINTSGIGYGTNDDNLADISPQQVKKVDILSLDLSDDDIRETAYEVLLASLFVSGKVHFSEEKREKKHKFLKGRRTKTEGSNPSPQVEDGFAHILDLIRANVLEELLLFSASLEYDMSKTLRIDWVVSVPEGRVEVLTIIERYNTKLCALTKKFDIKDETYHWTHNYHFNFRLYEKLLCSVFDVLEDGQLVEEADEILETAKLTWPILGVTEKLHHIFYAWVLFQKFSQTGEILLLKHASLQIQKLQVHHDVKEIERILPNNYSIFEATLNLVLLLVTNSTEDNFEEIRFIESPVGSTPESKLVHLLIVRSIHAAYKQALISSDGRSDSEFKHPLTILANELKAVAEKECTDFSPILHKYYPEAQRVALIFLHMLYGKQLELFLERTDHLENSKEILAASNNFELFIAQKLYTVYGEAVGSSFSNYLKPYMVGRFSSPLILQWLHAQHENVLEWTKRTIEIEDWTPLSAHEKQARSVVEVFRIVEEFFNASLPLEIVHLRSLLIGITSSLEVYLLHMENQQVSGSTLLPSAPVLTRYAESINPFAKRKLIEPSVPEEKVAMKLNNLTVPKLCVKLNTLQFIRDQLDAIEEGIKQSWVSVLSVRQTRYLCSMGCDDQKFAKENEFEPPTRWLAIVE >Sspon.02G0026420-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:91990726:91996813:-1 gene:Sspon.02G0026420-1A transcript:Sspon.02G0026420-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRELASSFATAAATAGASSSAADALRGWWDDVNESPQWQDAAFFSLAAAYALVSAVALIQLIRIQRRVPEFGWTTQKVFHLMNFLVNGVRALVFGFHVHVFLLRTKVCIWIYLGINDNAVVELASKIFIVAVSFIALLGFSVYGGRLFVLLRRFPIESKGRQKKLYEVGTVTAICVTCFLIRCVVVALSAFDANVSLEVLDHPILDFFYYMFAEILPSALVLFVLRKLPPKRRRAAATSKLGHRSSSSIPMFPSRHRLLTVSVVLVFLLPAPGVHPQAPNICGTKANGRYACADCSTSAATSDRGPTFEANLLRFRDSLKDMPASNASFLNATFAAAAGDAPEDTVYGLATCLADAEASDCVACLAAAAGELPGTRFFGVTDTTKGRWFHVPNPSNFSDPSGLAAARERLNGRMFLAAAESPRRFAFDNERVTANATLHGLAQCTEDLTAKECRRCLAGNVVRVRVCCADMDGVRINAASCYLRYEFMAIVPGTPPSMAPLVEPPPPEAPSGDIVAGALGSAALLCFLVAGGFWLWKKRRRGSSDSDGRKRMESLLQLQHHYPRRYSYAQVKRMTKSFAHKLGQGGNGTVYKGRLPEPDGREVAVKMLKEAKVDGEEFVNEVASISRTSHVNVVTLLGFCLEGSGSRSKRGLVYEYMPNGSLERYTVGDGGGRWCLRWEQLFDVAVGIARGLEYLHRGCNAHIVHFDIKPHNILLDGHLRPKISDFGLAKLCTQKESTIAVSIAGARGTAGYIAPEVFSRHAGAAVTSKSDVYSYGMMVLEMVGATRGTGSGGVSGDDSGTGTASTGATRYFPEWLYQDLDRFCAEAAACGREEADAEATVEVVRKLVIVGLWCIRLSPQDRPTMSRVVEMLEKTSMADLQLPP >Sspon.07G0000110-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:8274182:8278021:1 gene:Sspon.07G0000110-3D transcript:Sspon.07G0000110-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDNKSPLSLSPMGGRDRDRDRELLIPVSGGGSAPGDGVEDGDRASSASAALSSSGREAFHKVVRSWASKKFMTGCVILFPIAITFYITWWFIHFVDGFFSPIYAQLGINIFGLGFITSVTFIFLIGIFMSSWVGASVLSLGEWIIKRMPLVRHIYNASKQISAAISPDQNKQAFKEVVIIRHPRVGEYAFGFITSSVSLQSYSGQEDLYCVYVPTNHLYIGDIFMVNSKDVIRPNLSVREGIEIVVSGGMSMPQILSTLDPQMILGDRTGPSRS >Sspon.05G0014970-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:49600995:49605084:-1 gene:Sspon.05G0014970-3C transcript:Sspon.05G0014970-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTLHPAPASFLAPPAPQLLRTAPPLAAPRGTLSVNAAALAAVSHGWFPPPRRACRRRRRVMRSASSGASTTVGSVDDAKKDVLIALSQIIDPDFGTDIVSCGFVKDLEISEALEEFEQKANEVVAALPWVKKVDVTMSAQPAQPTYGGELPEGLQKISNIIAGGVGKSTVAVNLAYTLAGMGARVGIFDADVFGPSLPTMVSPENRLLVMNPENRSILPTEYLGVKMVSFGFAGQGRAIMRGPMVSGVINQLLTTTDWGELDYLVIDMPPGTGDIHLTLCQVAPLTAAVIVTTPQKLAFIDVAKGVRMFSKLKVPCVAVVENMCYFDADGKRYYPFGQGDVANIFQNLGACVVQQCAKIRQQVSTAVSYDRSIKAIRVKVPDSDEEFLLHPATVRRNDRSAQISITWPDGFSQIAPYDQLEMLERLVDVPSPTASAVASS >Sspon.05G0015910-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:62825065:62828522:1 gene:Sspon.05G0015910-2B transcript:Sspon.05G0015910-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box protein SKIP16 [Source:Projected from Arabidopsis thaliana (AT1G06110) UniProtKB/Swiss-Prot;Acc:Q9LND7] MAIEAVAAEPEPEQANKVAVEEDLLPEFTMADEAPERSLAAGMGQYEYEFVERKASVVEGGLSGEALLAAGLACSDAHWRRCSLAVGEQNCEFMRKKGRAMGLDNTHRGEATRLYGPVAYKVWLESFGMYPLPMVKRVKQFWTSMKTWLSENFPEAYRTLCKGVSEAQLKSAEDDLGFKLPMPTKLLYRFCNAQLPFSEDHDANKSISTHGLIGGYAFYDHWVNVHLSPLEQIVEETKDFYQEFPDVFHGRKFIVVATSWFRPKTFLLDCSNGELYVGTYNLPIGGMLPCVPKALIKPAENDLALDGLLLWLEEHLRRLQNGMIKTRMLMTSRYISLYPEAPPSCSSAVTNGIKVRSSAVFVPEHPGGPGEKFMFTYSIRMSVPEACMLGGVYYSSCQLSSRHWTIRSCDRVVSDVSGGGVIGQYPVLLPGEDEFVYESCTPLPKVPGSVEGSFSFVPGKLIRPEGKPFEVMVAPFPLEVPEYIF >Sspon.06G0013900-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:89725192:89730101:-1 gene:Sspon.06G0013900-3C transcript:Sspon.06G0013900-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNARRPAADSPAASDREVGFAKLQGDCFEYYMQTYSIVLGRHSRRSSKGPAAPPPDADDGVDVDLGALGGGMNVSRRHARIFYDFPRRRFALEVLGKNGCLVEGVHHIPGSAPVKLDSQDLLQMGDAKFYFLLPSRSVFDAGVPRRASAVQRAIPLPPSDDDEDEDEQGEAVAAAKRRRDGDNGSKSYREADDQLLLQLEEKDVISSAATILSDLCGPKEWISMNKLHEVMFDKYGNMWHHSRVRKYLTSEDFPETETDGRPWHGLLDKSAVDKSSATLHMGHAQTFVWLDEDTSQTGPC >Sspon.02G0006180-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:18630796:18632146:-1 gene:Sspon.02G0006180-1A transcript:Sspon.02G0006180-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSTETEDIEMVDSMLIDVSTLRAAAMDFAESNMLDQGGFGPVYKVVIVTSKQAMSISWGFVYLLKMNNHGSLGVLPNGDETAKREKLDWKQRYKIINGIARGLQYLHEDSQLKVVHRDLKASNILMDTDMNPKISDFGLARIFDRDQTQAVTNRIVWEHWETGTVTQIVDPCMGGNFPESDVLRCVHAGLLCVQGDPLLRPTMSSVLTMLDSDRVTLQPPSKPALRYQ >Sspon.03G0017310-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:75639786:75641687:1 gene:Sspon.03G0017310-3C transcript:Sspon.03G0017310-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIDEAVRGCSDRRLRTKYGNAVYVVQRAFALYPFEEVAFSFNGGKDSTVLLHLIRAGYYLYKKDSGDVAQMNAVKNCPLRTIYFESPCAFPEINSFTYETVSTYGLPLETIHSDFKSGLEGLLKEKPTK >Sspon.04G0028150-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:64300427:64302908:1 gene:Sspon.04G0028150-1B transcript:Sspon.04G0028150-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIQLQVGLGKNVQECVEFAPEGGKVVVRATPRGHSGGSHGVELPVALQALETIDALGYGMAKIADSMMKHILVPAISNIQVSVIEEGGPEHFVSVLSVVPSEEIKDNKDGSNLYSRIIDVIKFVCKFICMENSKWVQSFAKLTWPRISDLVITHFLSKTFS >Sspon.01G0042060-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1B:62096190:62096426:1 gene:Sspon.01G0042060-1B transcript:Sspon.01G0042060-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GRGLDKNEGVDMDCKNIKALDSNVQGDDGGRADGDNPKDRTGGKQATWNQLESFSVSSIGVTAFGVGCIAVNQQSSEL >Sspon.04G0018150-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:74531702:74533925:1 gene:Sspon.04G0018150-4D transcript:Sspon.04G0018150-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFLNGNQLRGPIPTWIDTLDYLFYLDISNNNLTGEIPKELMNMAMLTSEKTAAHLDASVFYLPVYDGPSRQYRIPTAFPKFLNLSRNKFTGLIPPEIGQLKALLSLDISSNNLTGPIPPSICNLTNLLVLDLSNNNLTGKIPVALENLHFLSTFNISNNDLEGPIPTGGQFSTFQNSSFLGNPKLCGSMLGHRCDSADEPLVSTNGGNKKAIVAIALGVFFAAIAILLLLWRVLVSIKANNLTAQSRREDNGDFETFSFNSSSEHELIMMTRGKGEESKLTFSDIVKATDNFNKENIIGCGGYGLVYKAELPDGCKLAIKKLNGEMCLMEREFTAEVEALSMAKHDHLVPLWGYCIQGNSRFLIYSYMENGSLDDWLHNRDDDASTFLDWPTRLRIAQGASRGLSYIHNDCKPHIVHRDIKCSNILLDKELKAYVADFGLSRLILSNKTHVTTELNESITRCLVVNWDKTATG >Sspon.05G0006790-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:12372869:12392285:-1 gene:Sspon.05G0006790-3C transcript:Sspon.05G0006790-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSPIAAVQDASDDADARVVVLVAGSPVDSASDSLPPPPVAATPAAEAKQEPVPVLGPEEPKQEAAAAAAGVQAMAVTVVRDVETGLDASTSDRDGDGAGDKPSWFTPKRLLVMFCIINMLNYVDRGAIASNGVNGTRKSCSGGTCTSGSGIQGDFDLNNLEDGSLSSAFMEAAAAAGVQAMAVTVVRDVETGLDASTSDRDGDGAGDKPSWFTPKRLLVMFCIINMLNYVDRGAIASNGVNGTRKSCSGGTCTSGSGIQYNPFRLIGVGLLVWTIATAGCGVSFDFWSITICRMFVGVGEASFISLAAPFIDDNAPVAQKTAWLGMFYMCIPSGIALGYVYGGLVGKYLNWRAAFWGESILMVPFVILGFVIKPLNLKGFAHNTRKEYDQMLNPQVQDQINNNGTKHVLPGGIEDLSGKVPQKFALSSFCHGLMTEIGRFSKDMKELLQEKVFVIVVLGYISYNFVIGAYSYWGPKAGQEIYNMGSADLMFGGITIVCGVVGTLAGGFILDKIGSTIPNAFKSLYGFIPSFVVGELSVFATQAPVNFVCLHTVKPHLRPLAMAVSTVSIHIFGDVPSSPLVGLLQDKINNWRATALILTSILFAAAVFWFIGIFVPSVDRFNEESEDGLTVAERSNLRPLLDENDEPRTSD >Sspon.01G0003780-3C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1C:9722828:9728319:-1 gene:Sspon.01G0003780-3C transcript:Sspon.01G0003780-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRWWPAALLGCAVAVAVLAAAVKCAVTYDKKAVLIDGQRRILFSGSIHYPRSTPDMWEGLIQKAKDGGLDVIQTYVFWNGHEPTPGNIENEYGPEGKEFGAAGQAYINWAAKMAIGLGTGVPWVMCKEEDAPDPVINACNGFYCDAFSPNKPYKPTMWTEAWSGWFTEFGGTIRQRPVEDLAFAVARFVQKGGSFINYYMYHGGTNFGRTAGGPFITTSYDYDAPIDEYGLVREPKHSHLKELHRAVKLCEQALVSVDPAITTLGTMQEAHVFRSPSGCAAFLANYNSNSYAKVVFNNEQYSLPPWSISILPDCKNVVFNSATVGVQTSQMQMWGDGASSMMWERYDEEVDSLAAAPLLTTTGLLEQLNVTRDSSDYLWYITSVDISPSESFLQGGGKPLSLSVLSAGHALHVFVNGQLQGSAYGTREDRRIKYNGNANLRAGTNKIALLSVACGLPNVGVHYETWNTGVGGPVVLHGLNEGSRDLTWQTWSYQVGLKGEQMNLNSLEGSTSVEWMQGSLIAQNQQPLSWYRAYFETPSGDEPLALDMGSMGKGQIWINGQSIGRYWTAYADGDCKECSYTGTFRAPKCQTGCGQPTQRWYHVPRSWLQPTRNLLVVFEELGGDSSKIALVKRSVSSVCADVSEDHPNIKNWQIESYGEREYHRAKVHLRCAPGQSISAIKFASFGTPMGTCGNFQQGDCHSANSHTVLEKKCIGLQRCVVAISPESFGGDPCPSVTKRVAVEA >Sspon.02G0028070-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:119713094:119716048:1 gene:Sspon.02G0028070-3C transcript:Sspon.02G0028070-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRQPPLTRGGGGDAPAGESGSGGGFKKGKGKGRWGGGSRRRNEQRLGVGGGGALSLAAFASAKSRNTGYNPALIKKQREFYKNAKLISKYKKTKKQQSQSNTHPEIPIHEDGGDTAQDVPKPHHKGNKRTAHSLKEEYEKKRGEDEKAKKERDAIIQAKREHREKSEAKRKELREKMFKKTRSGQPVMRYRIEHLLETALSK >Sspon.06G0030530-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:48970710:48972828:-1 gene:Sspon.06G0030530-1C transcript:Sspon.06G0030530-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEQKRSMGDVAAVPVPPMQTSGSNKISIIISPRAATSKIMPFELIHAGSVSSRPHADVAESSVAHAVHHHRWNQGLPKINAVPLIKKMIAEFLGTFILIFTVLSTIIMNEQHDGSESLLGIATSAGLAVTVLVLSLIHISGCHLNPAVSIAMAVFGHLPLAHILPYVAAQILGSIAASFTVKGIYHPVNPGIATIPKVGTTEAFFLEFITTFVLLFIITALATDPHVVKELIAVAVGATIMMNALVAGPSTGASMNPARTLGPAIATYLDLHGGNALGAIAGTGAYVAIKFTSEPLLDMIGSDLLDAHGRPSIRVVCDMVDGETEH >Sspon.06G0024390-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6B:54470739:54471038:-1 gene:Sspon.06G0024390-1B transcript:Sspon.06G0024390-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGGGGGGKLQQLMARLLHFHHPAAAQQASSQSGVPRGHFAVYVGEARARFVVPTAYLKQPSFVALLESAEEDYFGLDHHCHPGGLAIPCCSERDFATL >Sspon.03G0043630-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:82814283:82819772:1 gene:Sspon.03G0043630-1C transcript:Sspon.03G0043630-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding YVRRLGFEGGHIVDPDLGELLCPVCRRFANSILPASPDFSGKTSRMDLVDVHIVGTVVQLGVDPLR >Sspon.04G0021790-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:4470108:4476879:1 gene:Sspon.04G0021790-1P transcript:Sspon.04G0021790-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKMLVDGEVADAGQYDYDLFVIGAGSGGVRGSRFAASFGAKVAICELPFHPISSEWLGGHGGTCVIRGCVPKKILVYGASFSGEFEDSKNFGWEINGDINFNWKKLLENKTKEIVRLNGVYQRILTNAGVTMIEGAGSLVDAHTVEVSQPDGSKQRYTAKHILIATGSRAQRVNIPGKELAITSDEALSLEELPKRAVILGGGYIAVEFASIWRGMGAEVDLFYRRELPLRGFDDEMRAVVASNLEGRGIKLHPGTNLSELSKTADGIKVVTDKGEELIADVVLFATGRTPNSQRLNLQAAGVEVDQIGAIKVDEYSRTSAPSVWAVGDVTNRINLTPVALMEATCFAKTVFGGQQVKPDYGDVPCAVFSIPPLSVVGLSEQQALEEANGDILVFTSSFNPMKNSISKRQEKTIMKLVVDAETDRVLGASMCGPDAPEIIQGIAIALKCGATKANFDSTVGIHPSAAEEFVTMRTVTRRLSPTSKPKTNL >Sspon.03G0020950-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3A:64677006:64677959:-1 gene:Sspon.03G0020950-1A transcript:Sspon.03G0020950-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWSGAVLSGRERKFGPALVRIPHHSQVDSLRVYRPAAATAGDAATEKKKKLPVLMYFHSGGFCLGTFSQPNFHSGCLRLASELPAVVVSADYRLGPEHRLPAAIDDAAAALSWLRDQHATAVGVADAHHHPWLAESADFTRVFVAGESSGANMSHHVAVRHGSGHLALAPLRVAGHVLVTPFFSGVQRTAAEASPSPAVSPSFTTEMADKMWRLSLPVGATRDHPVTNPFGPDSPALGPVAFPRVLVVSAGRDILHERVLRYAARLEEMDKPVEVAVLEGQEHAFFSRQPWSEGTNEMIRVVKRFVYRENDATSAE >Sspon.03G0031410-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:27719576:27722294:-1 gene:Sspon.03G0031410-1P transcript:Sspon.03G0031410-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRPGADTESWTLPSIDRGGVSSDLLQAAFGDGELTPSVGNNTVNPYDCRYRCGRRLLRIACMHMTFTWWQGFLIVLVLYSAWSSPFELALEKAATTPLVVVDLIVDVFFTVDIAVSFFVAYFDRSANLFVDDRRKIATRHLSRPSFAMDVASTVPFHVIYRLVSGRSTGFRYLNLLRLWRLQRVSKLFARLEKDIRFDYFYTRLIKLCGVTLLALHSSACIFLWMAFHHHGDEEHTWLGSQVRDFEDRSVWVSYTYAVYWSITTLATVGYGDLHAVNPGEMVFATCYMLFNIGLTSYIIGNMTSLVVHAATNTFKMRDMVRRVSTFGSVNRLPPELREQMMASAQLRFSTGEVIQQQLLSDLPTALRSRVAHHLFRDTVQRCYLFQGVSNDLVLQLVSEMRAEYFPPKADIVLQKVTSTDCYIIVSGAVGHTAWQGKWASFWASQPFTVRSSRLTQAVCISHSHLLQILRSNTADANTVYANFVQHLKSLKEQVAADAPLFEEILSKTGFDQLQIGAIFQKQLQNGDGARVVPSQDQNASFGTEQHEETAPCMLPRRQHGLRVVIHDRFPSDGTEKHRSRAAGKLVLLPDSLQELLKVAEAKFGKAARRVLTVDGAEVDDVAVLRDGDHLVLCW >Sspon.08G0000170-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:1021863:1031052:1 gene:Sspon.08G0000170-1A transcript:Sspon.08G0000170-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding METTRKMRKGESDALGDAPPPAGGCAGEDHTAATTTEEMGGPTPKLALAPEGDEPAPEQLDWGSIEDEDGAFGQLAEASHIEDTDPAPQVAPLPIQELISLGGEQDGPVGGRAAITTAPLPATADLVEATSAHVGSNEDADPGLPGAQKTTGRQSDAREMGIDGATIAGTPPLASSLEVVCYDGPVDTREHLQPSATLVPAAERPTAAVECRPDTAPLLQSDRGKKPASAVDTVLDSDNSMATCTINPAPATNSGTRIPCNDKYGLNTSDYTYNTLDANAAPSQ >Sspon.02G0034910-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:14886474:14889021:1 gene:Sspon.02G0034910-1B transcript:Sspon.02G0034910-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVPVRPLAPSAVGGGGAFSAGLVRSVREWRGRTCRKVISFSSAGKGGEEAARRETPEETRKRLEELDALLEGLVEPKMRPPTPPPPPDPYLDRAVITGRGSTDELPEISPSYVAFSTLGLVILTIFTNVMFNIYIKPSVDGFDPPERIQRAPLVNPADRPSE >Sspon.06G0011860-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:52339400:52344525:1 gene:Sspon.06G0011860-2B transcript:Sspon.06G0011860-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTAAAMIGGLASYAASLGQNMMDGHHQLAMMQQQQQHPPQAATSESDARGPRHDELLMESKSASDNMEGGAGSGSGGEEQQEDLSLQPARKKRYHRHTQHQIQELEAFFKEYPHPDDKQRKELSRELGLEPLQVKFWFQNKRTQMKTQQERHENMQLRAENEKLRAENARYKDALANASCPNCGGPATAVIGEMSFDEHHLRIENARLRDEVDRISTIAAKYVGKPAGSLLPNLSNISSASMSPYPPPPLLSSHHLLPVGTDMFGDLHLHGAAAGLDKGLVVELAVAAMEELVRMAQLGEPLWTPALVVDSATIETLNEEEYARGFPRGVGPKLPGLRSEASRETVVVIMNHVNLIEILMDVNQWSTLFSSIVSRAATLEVLSTGVAGNYNGALQLMTAEFQMPSPLVPTRESQFLRYCKQHTDGSWAVVDVSVEGLRASGQAGARGRRRPSGCLIQEMPNGYSRVTWVEHVEADDMMVHDLYRPLVCSGLAFGARRWAAALERQCERLASAMASGVPAGPSSGGDTVGVVTSAEGRRSMLRLAERMVASFCGGVTASTTHQWTKLSGSGAEDVRVMTRKSVDDPGRPPGIILNAATSFWLPVPPARVFGFLRDDATRSEWDILSKGGDVQEMAHIANGRDHGNAVSLLRVNNANSNQSNMLILQECCTDATGSYVIYAPVDVVAMNVVLNGGDPDYVALLPSGFAILPDGPGACGAPPGFSVLPDGPGSAGGGGGGSLLTVAFQILVDSVPTAKLSLGSVATVNSLIACTVERIKAAVAADNAAGGGAVPVTIPRGD >Sspon.04G0023210-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:15093163:15095596:-1 gene:Sspon.04G0023210-2C transcript:Sspon.04G0023210-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALSLRQKQLDLIVRMLHLNQPPPPPGTAAEQQEEVYKILVLDTFCLSLLSPLLRVADLRKHGVTLYFPIDKPRQQVADAPAVYFLRPTQANADRVAADAAASLYASFHLNFSSALPRPLLDRLAAAAAASGSAHRVARLADQYLDFVSLEDNLFSLAHPRSYVALNDPKAADADIEALVDAIALGLFCVAATLGTVPVIRCPRGGPAEMVAAALDARLRDHLLAKPNLFTEASASASVASFQRPVLCLLDRNFDLSVGIQHDWSYRPLVHDVLGLKLNKLKMPADKSGPAKTYDLDDADAFWVANSWSPFPRVAEEIESQLAKYKQDVDEVNQRTGGSKDGIEFDGTDLIGNTKHLMNAVNSLPELTERKKMIDKHTNIATALLGHIKERSLDGYCDCENDMLTKGAVDRSALLGLLRGKGTKEDKLRLAVTYLLAFESPVASELEQVEAALREAEVDMSAFQYVKRVKSLNTQFSAPSNTATRSNIVDWAEKLYGQSFSAVTAGVKNLLSTGRQLALTRTVEALMEGKPNPEVDSYLLFDPRAPRSGSGGQFKGPFREAIVFMIGGGNYIEYRSLMELAECSQPSKHVIYGATEIVNGVEFIEQLSELGQKAGLGGGVNNPPQLQ >Sspon.02G0000070-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:16203737:16209268:1 gene:Sspon.02G0000070-2B transcript:Sspon.02G0000070-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRLLGVGERLLAIGTNRRAATYSQPSHRSGYYTAVRDNGLSTRRKIPAIFSRMFSHYKVVIRKNRAEDHKCRTRMSRGYHTLSVPVANSSATQQAQLAWKQLGHMYAYRGPRFPLLSRAACAVSLSFTRFHIIPGVMALAFGKMALAPPVLADSRPFMPRMDDIITKAQDTRQFMSSLVWSIWEGITLLVRAVHLAFLFFPATALAPFADKFSVAFRRRWLSLMRRTLEKAGPAFIKWGQWAATRPDLFPSDLCVELAKLHSAAPAHGFAYSKAAIEKAFGRELSEIFESFEENPVASGSIAQIHRATLKHQHPGKHVAVKVRHPNVGESIKRDFLLINLVAKASNIIPGLSWLRLDESVRQFAVFMMSQVDLSREAAHLSRFIYNFRRWRHVSFPKPLYPFVHPSVLVETFENGESVSRFMDEIEGNARMKKDLAHIGTYAFLKMLLEDNFIHADMHPGNILVRLNENKLARRRFFRAKPHIVFLDVGMTAELTRSDRDNLQQFFKAVATRDGRTAAKCTLQLSKNQSCPNPVAFTEELDKTFTFWGTPEGDVFHPVECMHELLDTIRRHKVNIDGNICTGWQRKLDPGFDIMHTLKTLLLEKDKQWKMMPTDQKAKHLI >Sspon.03G0015390-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:69357215:69361625:1 gene:Sspon.03G0015390-1P transcript:Sspon.03G0015390-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGVKKTKGKFTYLDEGIYILLYKEVSLALKINSMYSKRRLLNIHENVKVLRYPDHFSTGIYLWSHHEKIVVVDNQVCYVGGLDLCFGRYDSPEHKVADFPPSTWPGRITIILACVLTIVFVRESEPNSWEDTMKDELDRTKYPRMPWHDVQCALYGPACRDVARHFVQRWNYAKRNKAPNEQAIPLLMPHHHMVIPHYMGTSKETNGETENKQGHDKNVKFNRLNSLTTPASCQDIPLLLPHEPDHHAFASGDFGLNGMNITNGLSDHANKTNWNQQALSNRKAKQDLSLQDLQMKGFVDNVGSPEVSVSKHYGTSNPNMQHIDKEWWETQERGDQVASALDVGEVGPEQHVVVRSVGPWSAGTTEIEGSIHNAYFSLIEKAEHFVYIENQFFISGLSGDDTIKNRVLEALYRRILRAEKEKRRFRGGIDDGGAASVRAIMHWQYRTICRGPNSILKNLYDVVGSKAHDYISFYGLRAHGRLGDGGPLVTNQIYVHSKLMIIDDRMALIGSANINDRSLLGSRDSEIGIVIEDKEVVSSIMDGRPWEAGKFSLSLRLSLWAEHLGLLPGEAHVKPFQLTPPQIRVS >Sspon.01G0054070-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:48246065:48248610:1 gene:Sspon.01G0054070-1T transcript:Sspon.01G0054070-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSCSASRPNGRHMAEGEEQWRRPCTSHCAGGSIAFLVSGGSEDMASTGALLSASSPPQVIANKADSVQAAAHSSAFTDSRPEYEPYTCNGSVDIKGNPASKKHTGKWRACYSILGGEFCGSLAYYGVGTNLVSYLTKGMVLLTFAAVIPASIHTLDISPQDALPSLGLFLTALGLGGIWPCVPTFGADQFDDTDGAEKMQKGLYYNWYYFAVNGGFFFASTLLVYVQDNWGWGWGFGIPTLFSVIGIAGFLACMKLYRYQKPGGSALTRICQVVVAAVRKVHVDVPNDSSLLYEIPGKEAAIVGSRKLMHTEGLRFFDRAATISSPDETSSADVPSPWKLCTVTQVEELKILARMLPVLLAGIIFNMAEAFFPLFIEQGEVMDNYIYGFSIPPASLTTFNCLCILILAPCYNKVIMPMLSMITGKKRGLSDLQRIGVGLVFAVLSLVSAALVEMARLKIVDERGLVHRNTAAPMNILWQAPQYFFVGVAKVFTVVGFIEFAYEQSPDAMRSLCQACSLIMITLGSYLVSVMLKFMDSATVGLGRGRHGWIADNLNEGRLDRLFWLMAGLQLLNLLTFAYCAT >Sspon.05G0032100-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:13823209:13827402:1 gene:Sspon.05G0032100-1C transcript:Sspon.05G0032100-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding IEGAYLEGNKGLSNWDVFSHKLGTIEDGSNGDTTADHYHHYMEDIELMHSLGVNSYRFSISWTRILPRGQFGHVNLDGVAFYNKLIDALLQEGIQPFVTISHYDIPQELEMRYGGWLSSEIQKDFGYFAEVCFMMFGDRVKFWMTFNQPNLFLKFSYMDGWYPPGRCSHPFGNCAFGNSTTEPYIAGHNMILSHANAVSIYRKRYQEKQGGHIGISICARWYEPFRNTTVDILAVERALSFGGPWFLDPIVLGDYPILASNLPEFTSEQKKKLKATRLDFIGLNHYTTLYLKDCIFSPCEVDPVDGDARVFSSSVSDDGVLIGEATGAPFYYSVPDGMEQVVMYYKERYNNTPTYITENGYAQTSNSSMSAKDFTNDTPRVNYIRDYLTFLASAIRNGADVRGYFIWSLLDCFEWTSGYTLRLGLYHVDFNTVKRTPKFSAKWFRKFLKGSLVGTRLRDKSSLLQHYTA >Sspon.03G0024870-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:60197302:60202398:1 gene:Sspon.03G0024870-4D transcript:Sspon.03G0024870-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MISVECKHYEIGIVVTKMGSVCRNKLASFVMEGAQGSSNVAKHNKRKSPVQRWRPVSTEAVPQKDDITETSNSGSKKIIEDCLASSENLASDVTANVVVEVTTNDASSSKNNLSFGYSSTKVVIEDNTEVSGFNKDLAGSNVSGTYSSSIEVDAPLIRFVKGKGGSTQKQIEEDTGVKIIFPSSREGTSVGKSAESIRKASQMIANVLEEAVQSRQLDYSHFISLPLALHPDLVDKLNHFQSSILGEEDSDKDESRSEGSIDEMDVDRKQADGSSVCIKLQVQEEESTEAKMGSKGYQSDFGIDKSIFIKPKTFHLTVLMLKLWSKDRIAKASDVLQSVSTQVNEALENRPISIQLRDAKDKQASLSVRCLAAVSQSGFQNPDASG >Sspon.07G0003700-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:8407487:8408103:1 gene:Sspon.07G0003700-2B transcript:Sspon.07G0003700-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKTVVLYPGVAVSHFVPMLQLADVLLEEGYAVVVAFIDPTQKGDIALAAVIDRVAASKPSVVFHMLPRTEDTPTFVHDSEFIVRYLEIVGRYSQHLHDFLLSMPPGSVHALIVDMLSIKVLDVTSKLGIPAYAFFPPNAGSGFITYSEGQPSFGELGDAPLNLHGVPPMPASHLNAEMLEDPGRATFKATSQR >Sspon.08G0015960-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:58018412:58023387:1 gene:Sspon.08G0015960-2B transcript:Sspon.08G0015960-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AT4g01560/F11O4_6 [Source:Projected from Arabidopsis thaliana (AT4G01560) UniProtKB/TrEMBL;Acc:Q9M125] MAKEKKRKQPPADAAPPPGDEREGRSERKGKKARKEKAEAILPSQIKNKDKRREVHAKLKREKKAQKRALARERGQAARRAEELGEQASERSSNCPRHLFWFGLVLIALGPPERQVPRTIENTREPDETVCRPDDQELFAGNDADEFNAVLKQHITPKILITTCRFNSGRGPAFIDELMQVIPNSHYIKRGTYELKKIVEYAKNRDFTSLIVVHTNRREPDALLIIGLPDGPTAHFKLSKLVLRKDIKNHGNPTSHKPELVLNNFTTRLGHRDDTISLPSRPNFRGRRVVTFHNQRDYIFFRHHRYIFETKEKKVASKDKKAKTSESKSESEKQVICRLQECGPRFVLKLLTLQHGTFDTKSGEYEWVHKPDMDTSRRRFFL >Sspon.06G0027190-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:83500223:83503402:1 gene:Sspon.06G0027190-1B transcript:Sspon.06G0027190-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQIQCFLKDADRRRIEDLAVSNWLSDLKDAMYSADDIIDFVRFKGSKLLGEQPSLSSSSRKLATCTGFPLISCFSTIWIRHKISVQIRNLNQRIEWIAKLGTEFKFETEPEFNISDMRRTSHLIEPNIVGKEIIHATNRLVQLVLDHREERAYKIGIVGTGGIGKTTLAQKLYNDQRLKGSFEKHAWICVSQQYSQVALLKEILRNIGVYQEQGESVGELKAKLAEAIKGKRFLLVLDDLWESDVWTNLLRTPLDAAAEVTILVTTRHDTVAKVIGVGHMHRVDLLSEEVGWELLWKSMNISDEEEVRNLRYTGIEIVQKCGGLPLAIRIIASVLASKETTENEWQKVLSNDAWSMSKLPAELKGALYLSYDQLPQNLKQCFLYCALYPEDWSMQRDHLVKSWVAEGFIEKQGNKLMEDTAEEYYYELISRNLLLPDPSYADKKYCKMHDLLRQLACHLSKEDCFLGDPQFLEGTVVSKLRRVSFVTDKEMECGDLNILPLAVTRLCSLRSLDLYDTPINQVPKGIGGLKFLNNLGGFPIGGGTANTARMQDGWNLEELGPLMQLRSLELINLERTGPCSTDSLLINKRSPEAIAFPKLEIIVIRDMPNWEEWSFVTEEEEQEATPAGKEGGEDEAAVNQKGEAPPPRMQLLPRLKKLVLARCPKLRALPPQLGQEATSLKELQLRDVHCLKVVENLRFLSEYLLISDCEGLERVSNLPHVRELRVQRCPNLRQRICKVSTHHIGCLYSKSSIDSCTEKTWMSTHGQGHEALRTEVTRSFKLVSLSLDQVPNTCEVWIKYRSS >Sspon.04G0026480-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:43465755:43469856:-1 gene:Sspon.04G0026480-1B transcript:Sspon.04G0026480-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLAAGLGYALIALGPAFSLFAGVVARKPFLVLTLLSSTLFWLISLIVLSGIWRGFLPIKSGAWWAYAILILTSVALQEGTRLVFWRLYKKMEEMLDAFADRISKPRLSLTDKMLISLALIALGFLVIHTFSMIIAFNAYDERKKSDQVFVPVIHLAAAVMTLINLAPGGCLIGTPLLLVMAALTLQHCCRVACQRLTEHRHQQHNIN >Sspon.02G0014860-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:39807237:39809877:1 gene:Sspon.02G0014860-1A transcript:Sspon.02G0014860-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MWEGGIEHGSQEATHQLLPWLGAAPFSEPAAVAGLGAAMGAYACGDGVGGLGLGHGGVFGFGFDAVQQQQQQQRAAEGSGKAVVSGLLGSLQAELGRMTAREMMDAKALAASRSHSEAERRRRQRINGHLARLRSLLPNTTKTDKASLLAEVLDHVKELKRQTSAMMMATTVGGDDGGAAGRAQQQLLPTEADELSVDAGADGAGRLVVRASLCCEDRPDLIPDIVRALAALGMRARRAEITTLGGRVRSLLLITADSRGADQAGDEEEEDEDGHRPPNAPVHGDGERTASHRRHECIASVQEALRGVMDRRTASSDTSSSGGGGGSIKRQRMNYGAQEQCSV >Sspon.02G0018660-3C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:63079046:63080216:1 gene:Sspon.02G0018660-3C transcript:Sspon.02G0018660-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPVETMNPSSEVLETTVTSVFHPGKLAIELISVDHNTDPTPPIPVMIAAPKDSGTYPVAMLLHGFCLQNHFYEQVLKHIASFGFVMVAPQFHISILGMAKGDTEDIAAAAQVTDWLTKGLPSVLPKGVEPNLSKLTYEPSSFDIAMPVLVIGTGLGEEKRNILFPPCAPKDVNHKEFYHECKPPCYYFVTKDYGHLDMLDDDAPKFMTCMCKDGKNCKDMMRRSVAGIMVAFLKAVLSGEDGDLSVILKDPALAPTTLDPVEH >Sspon.02G0056550-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:28944125:28945644:-1 gene:Sspon.02G0056550-1D transcript:Sspon.02G0056550-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLDPLPRTLLALSEQDLEPLHSTGDEELVDWGDTESPDHIYDTSPPNAKAEDGEEAEDPMSGSSSPAHTDALSELAAAAAAISGRSAATPELKTHELARAGGAAPAKLKSVLVQPTCALASPGAPRRPQKIRLDLNAISADGSGKAKAPSSRTSATHSRKGLYYSSSERWEEGEPSGVNTEQVDPFSPDWQMAKTGRRKRAAAVPLPDTTTPNHIIFPPLPHRAHPTAAKSAMVFIPRLAHERPVRSRVNVMSTETMYREANRLRAHAAVISSLLEGYRANAPEVAHTLADQLHLPHHTIWATKYNNTEFVAEFESPSDRDRALCKGYIEIGGSIFPIRLWVSAGGGAEQTWWYHVKVVMEHVPLEAWNEDGVKLILGDSCVFDRFDRRTAAKENSQFLGY >Sspon.05G0014440-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5D:52171047:52174675:-1 gene:Sspon.05G0014440-2D transcript:Sspon.05G0014440-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPPGPPPLAPPPLPPAVAAELAQLEWRLGQVAGEPARRELAELGEAAAVRVLRRIARSQAEVRTLTRYIVSVALQEMFALNAQDVPTAVSLACNSAPSLSGEAPPYPTRHGRPHSSFTTDESVQGPPCHDEVQMEEVTSGLSNHCMVICEGQGQEGYPVMMAIDDPPDWMPPWEWDQNCIEVVNIVPRMASQANQMLMQYGEDCIEVDNIVPGMASQANQMLMQYDEDCIEVDNIVPGMASQANQMLMQYGDRDHDRIQELVSIVPHGLLMLAESPGNGRPSELQNHVQNGIPEQWMIPADQRMEPPSSRLQHVLRCLQGVGPFGHHIGPECAIMIPKPEPNVVVENAFRESASPHITENELRETASPEMCALEDLEFGKRFLILSYLCQNKMEDEAVLTVDYIKSLKFLSSMAHFESKIWREFGQKNFQASRRTAADRAKNFDSDPTTTKVYHCHIGIRGDSTFCVLKGPYMENKRTLLQKILGDDNVLVVKFMVPSDKSTDFYRQHYHKIAEDGIILGLRQYDFLIDRRGWLEGVGWRREWL >Sspon.08G0006420-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:19692468:19698032:-1 gene:Sspon.08G0006420-3C transcript:Sspon.08G0006420-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEAKPPSPGSGAAGAAAHIHGHRRWAAPLLASVLLSSLLISASLFFSSSRALLLSFSPLPSAASAEPLFVEAKLRQQMRAEERPARGAVPRIAYLISGSAGDGAALRRTLRALYHPANTYVVHLDLEAPAAERAELASAIRADPVYARFRNVKVVTRANLVTYRGPTMVANTLHAAAILLREGGDWDWFINLSASDYPLVTQDDLLHVLSELPRQLNFIEHTSDIGWKEYQRAKPVIIDPGLYSLQKSDVFWITEKRSVPTAFKLFTGSAWMMLTHQFIEYCIWGWDNLPRTVLMYYANFLSSPEGYFHTVICNVPEFRNTTVNHDLHFISWDNPPKQHPHYLTLADFDGMVNSNAPFARKFGREDPVLDKIDQELLGRQPDGFVPGGWTDLLNTTEKGKPFTVERVQDLRPGPGVDRLKKLVTGLLTQEGFDDKHCFSVGLTFLGPFISCSVSPSAPILTATRCEFTPNGNSFFSRCSATQYPPFPSGLTLSGYPGRSASVSDVPALGPRSQYLSSLQGPVSATTRWVPSALTATPFGYVTPDWSTVTWPVRGSYLMMRPVESLVAVTIWCCDRRYVLLLSVKYTSPVRWSTSTPLVKRSGSPPASVATTVASPPPGPTRISPMYASAT >Sspon.02G0056120-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:18234875:18235588:1 gene:Sspon.02G0056120-1D transcript:Sspon.02G0056120-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFMSSISFRIAALLLFPALCTLDDRLVPGKPLLPGTTIISDGGEFAFGFFAPSNSTPEKLYLVHRGVGANRATPAISSMGPSLVLTNNSNLVLSNVDGRILWTSNTTTTAGSSSPSPRSNTTGSVAAVLLNLGNLILRSPSGMMLWQSFDHPTDMFLPSMNIWRSRRTQEGNRLVSWKGPEDPSAGTFSLSVETDPFIQGFIWNGSLPE >Sspon.02G0009700-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2B:26373515:26374578:-1 gene:Sspon.02G0009700-2B transcript:Sspon.02G0009700-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAGNKHTEAWVEWRRRTRNKKTTRQVISQDRTGRVVDILRREQRRSFCREPRRSPVASRSDEQLPSPIVFAGSLNHAHLVMVLYTKRPQPDVRSVVTLRAHDARSKASPRRRHRRRRHERRQPPPHGSLQLGIHRLDVYQARPSRRIRQRLRRIGRRRGELGGSTLLPTSSHEPRSVHQSSLVPQEVPSSSESESESDGEDAERERELERALADVPFGELQRARADGSLARATSAAKAAAEKKTRRASKKR >Sspon.04G0002540-3P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:49105584:49106636:-1 gene:Sspon.04G0002540-3P transcript:Sspon.04G0002540-3P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLEVMPMPKQRVLEAEQREEAMEMSGLDLWKHEKPPRICPLPPPLPPSPAACDEATLVPPLNFAMVDDGIFRSGFPDTSNFRFLKTLNLRSIVYLCPEPYPETNMEFLEKNGIRLHQFGIEGRKVIKQLTIWPPGFNEIFCCFAD >Sspon.04G0012290-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:35454592:35456939:1 gene:Sspon.04G0012290-1T transcript:Sspon.04G0012290-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LATFSVAGTNKETTTQGHDDLGKRCAKYYEAGARFAKWRAVLNIGPNEPSQLAIDLNAQGLARYAIICQENGLVPIVEPEILVDGPHDIERCAYVTEMVLAACYKALNEHHVLLEGTLLKPNMVTPGSDSKKVAPEVIAEYTVRALQRTVPAAVPAIVFLSGGQSEEEATLNLNAMNKLNTKKPWSLSFSFGRALQASTLKAWAGKEENVEKARAAFLTRCKANSEATLGTYKGDAAAGEGVSESLHVKDY >Sspon.03G0037530-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3D:60605581:60611383:-1 gene:Sspon.03G0037530-2D transcript:Sspon.03G0037530-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRMTPSKRPFQKNSSDYNGRGKWQKTKHSSPHKSQFKIEPGVPIFRILCPASKSGNVIGKGGAIIAKIREETRMRIRVDRAAPGCDERVIFITAAEKDEEASSERGGENDGGVADSTGGDLERDKDSSKEENDDPEGNNSKEQNDDSEKGNGKEEEDGFEKDHSTEEKDDSEKDHIREEKDHSEKEHDKEEKDDPFVAEVTKSEPEKVIPSALKAVLLVFDRIFAAEDNNETGNASAASTPVNLRLLVLYSQAGWLLGKGGSVIKQMSADNGCEIRVLRDNLPSCALLNDKLCQITGEIDSVRKGLNAVSELLLAHPPKETDAVAGVHSSGSSSRSLFSQSDGLPSGMQSNFHIPLQGPSQANGPFDIVDLQPPIHGHASVPIESLSFRMLCPQDKVGSIIGKGGNIVKTIQKDTGCEIKVLDTVPKTDDRIISISGPAHPSDGISPAQNAILHVQRKIMLPTSDKKEGPAICRLIVSANQVGCVLGKGGSIIAEMRKLSGAFIVVLSKEKIPRGVPEHDEVVQISGSCEAIQEALMQITARLRNHLFRVDRMPAMGPNMRPPFGLLDSQFGPFARNHESPSLFHKDFMGRPLDGISAPWTVKGMRDLSDPVSISDIPGAGHREAGGFSGPGQPSMMPNLTAEVLVPRLIIPALCGEDGGCLDRIREFSEAKITVAEPIADAMDTPVLISGTPDQMHAARTDGQISDDGNRVGSEASDNIKRKRKRKNGKGVKRVAARNNNWWPEMNARKASNCTAIGSYTMGCASSKAGEDVAVADVYRPPPTSVSLFDISAVEEPWLIAKQSKAADDDDDEEEDEETSDDDEEDDDEKQPAAKTVVPIPLLDKLEGYELAPASWSEVSKALEDMKPVLDSKPPPAPVPALKKKKKKKTKKKKKKKQEQPAAAQPQQEQKPTMTAPPVVPAATDGDVAGKKAPPPLLGDLPDISSSQPAPPELAGRRVVKDNPFLMRDRESKGNGTTAAPRWKRRDPFEGCPERRPPGATGGGVVLYTTTLRGVRRTFEDCERAREAVEACAAAAGVAAVDERDVSLHGEYLRELRELAGEGAPPPRLFVMGRYVGGAEECERLAESGKLREMMRWAKARGEACAAKDVRGCEGCGGARFVPCWECGGSCKVVVAAVADGGTATTTERCGKCNENGLMMCPICH >Sspon.08G0022530-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:49533503:49534177:-1 gene:Sspon.08G0022530-1B transcript:Sspon.08G0022530-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLEHPLEAVAFRLYSLPIPEAASAATGAAAWTCLAAVLAAAAAAAGLWHLRSSTPAAAVAVSTKPLELDPSPVASQVPSRPSPEAAAASTPEPKERYTAYYRDASCVGCCDVGSDEDGDENEEEEDGDVAEEHEDGGDRDVFVSDGADPFGWGEVVRLLPPPLSTTAAAEMGRRYRRSPTAALSGGSVVQLWDQGAVAGGGLTPTASPRRRGRVVVGAVSAF >Sspon.01G0002060-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:5179860:5181927:1 gene:Sspon.01G0002060-2B transcript:Sspon.01G0002060-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MESAVNPKAYPLADAQLTMGILDIIQQAANYKQLKKGANEATKTLNRGISEFVVMAADTEPLEILLHLPLLAEDKNVPYVFVPSKQALGRACGVTRPVIACSVTSNEGSQLKQQIQGLKDSIEKLLI >Sspon.04G0016030-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:58979533:58982233:1 gene:Sspon.04G0016030-1A transcript:Sspon.04G0016030-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGIGGGKDHDLPLEEVDAVLASFSGDPATVFPPLPAPEAAASREPVVAGESLREGLGDVEKFIMQDYEDEALDGVDEFLDGILVGDGEGEGEGSPKPTGEKSADRACAGEDEVVVVGVDCGDDPNSKKKRRQMRNRDSAMKSREKKKLYVKDLEMKSKYLEAECCRLSYALQCCTAENMALRQSLLKDRHASAPIATQESAVLTETPPLVSLLWLVSILCLFLVDDMHNRSLVAPISLERDPVKLARTSINGVKMTRMTNNGDNPGALGLIRLGRRCRGTRARIKSPWFPWHAAAAC >Sspon.02G0007310-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:21716559:21717673:1 gene:Sspon.02G0007310-1A transcript:Sspon.02G0007310-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleoside diphosphate kinase [Source:Projected from Arabidopsis thaliana (AT4G09320) UniProtKB/TrEMBL;Acc:A0A178UXQ6] RQGMKFMNVERSFAQQHYADLSDKPFFPGLVEYIISGPVVAMVWEGKDVVLTGRRIIGATRPWEASPGTIRGDYAVEVGRNVIHGSDSVENGKKEIALWFPEGVAEWKSNLHPWIYEA >Sspon.08G0014680-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8A:59718933:59721272:1 gene:Sspon.08G0014680-1A transcript:Sspon.08G0014680-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLDPRRLLPPPGVLLPYPGSASPPTPASSPTRIPQCPQIWQPWHSPCQVLFLPLCCNCFMRFRASVTILFPPLCTAVYPYPDRFHWLARRQRSQAHFHCCAMVPPGGAAAAAALPGNPPSPASRRTNGCAYESRVLIALLLASALMFFLTYQLFGPAAATAVVVLFLVFALAAHRVRISRSFPFLHLSLPAGSAHRRWFRPAAGMAEPGMDAAAISALPAAFAYKREHHAATGWAQCAICLGLVAIGEAVRRLPTCGHLFHAGCIDQWLRAHATCPMCRAPVGAAVPALPVV >Sspon.02G0039950-3D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2D:58267669:58268502:-1 gene:Sspon.02G0039950-3D transcript:Sspon.02G0039950-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGAPYDFRYAPPSPGQTSEVYSRYFKELMELVEAARERKQKKAVILGHSFGGMVALEFVRNTPLAWRNEYIEHLILVAPTLSTAFMEPVSNFASGTNILFVPTTTPLSTRAMWRSFESAIVNFPSPAVFGREPVVVTRDKNYSAHAMEHFLAAVGLGEAVEPFRRRAVPKMNNFVAPMVPMTYINGVGTRTPLQLVYWDDDFDASPEAAAYGDGDGKINLISVLAFDKEMGRQPGQRKQFRSIKISKAQHSTIVTDDFAQDRVIREIIEVNQHIPS >Sspon.03G0029250-3D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3D:13544603:13545202:1 gene:Sspon.03G0029250-3D transcript:Sspon.03G0029250-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVEQLHARRLLSHAAAAAAPSAGPPAVQVSAAHTHTPAPFSSLNTTVITVLSLLLCGLVVVLAVHAVVRCAFRVTRRVCYGQDEEPPGGGGGDAPAASSSPSCQAGARRKRGLPPWIVYSREVELTGCGAAECAICLTEFLQGDRVRALPHCNHGFHVRCIDRWLAARQTCPTCRRAPFASKPSLPDRAEAPEEAGQLQ >Sspon.01G0010870-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:30166230:30183375:1 gene:Sspon.01G0010870-1A transcript:Sspon.01G0010870-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGRLFDKPLNPNKLLKEQFVSNLTGSSLLEIATLSTVVPALVVLRKWSSRDNSRKDSAKKNDDQVHPIRKDWMQYFSTLGVDYLTVVLPIILVFTVLSEWALTCAISLVILISIYIMFKRSQLHLKDGSQLPSLRADISSYRISVVLVTCLCILAVDFKIFPRRYAKAETYGSGIMDLGVGSFVVANALVSRQARNIASMSFKAALSSISPLVFLGFARIISTWGVDYQVHVGEYGVHWNFFFTLAAVSILTSIVRIHPKHCGLVGLLILAGYQIWLSSGLNEYLISDKRSADIISQNKEGIYSILGYWGMFLIGVSLGFYLFVDTSSKGKNRNTQVMQIWVLAASFWILAIIFDSYIERVSRRMANILTGLVNLSVNTLSASYLTAFMILSVYTFTLCMVTGLAHFCGVRMKF >Sspon.02G0008590-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:27532792:27535413:1 gene:Sspon.02G0008590-2C transcript:Sspon.02G0008590-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMMMATGDSKSPARALQRLAGAAVAAVLLRRSFSASKCKTEARMATARMKLLRNRREAQVRQMRRDIAALLRDKQEDTARIRVEHVIREQNFMAANEIIELFCELIVTRLPIISKQKECPADLKEGICSLIFAAPRCSELPELGRMRDIFEKKYGKDFVSAAVDLRPDAAVNNLLIEKLLVKKPSGQIKLKVLKDIAKEHQIDWDTTESEQELLKPSEELIKGPSTFVEASNMPVKTILTPHVLQPNPVNFSSRYSDDEYDDRGTMQFKDAASAARAAAESAERAASAAKAAADFANKNNHSFDEDEDCKVSSHEFTYPRKRQSMSNSSRSSRKEDADAFDEVKPHGGRASSTGSFSGKNHVEDDKDRYPADLDSRKMRRRNGHATRKVHSDIKFDDSEGLCSESEDENGVEIQSVERPLPPTREPFSENRHSEDEELDQDFPELPKPNLHSRVHPNMPLDYETLTARFEALKSGKLP >Sspon.01G0014060-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:95091724:95093088:-1 gene:Sspon.01G0014060-2P transcript:Sspon.01G0014060-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMPPPPWSLRVAVIGAGAAGLAAARELRREGHAPVVFERAHAVGGTWLYDYRQQHSSSSMYASLPREVMGFLDFPFAAAPDSVDARRFPRHQEVLRYIQAFAHRFHLHGLVRLRTEVLAVSKDDDDEGSSSNSWRVRWRRNAAAGADDEAEQEQEEVFDAVVVCNGHYTEPRTAADIPGLDAWPPGKQMHSHSYRVPDPFADQVVVIVGASNSGADISREIAGVAREVHMADRSAPADTCRRLPGYRNLWLRSMVERADADGTTVVFRDGSSVRADVVMHCTGYKYSFPFLLTTTAGVDEGDDAVVSVDDNRIHPLYKHVLVPQLAPHLAFIGLPFKVIPLPMFQLQASWVAGALSGRIQLPSEEEMMEDVRALYSELDVVGWYTHCMKHSQFEYDEWLAEQCGGHAGRVEEWRKDMFDAARRKKLECPETYRDEWDDHHLLEQAYQDFNKYS >Sspon.01G0051830-1P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1C:18494396:18496600:-1 gene:Sspon.01G0051830-1P transcript:Sspon.01G0051830-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLDNTYGELSGMFCGGLSYDGYADHSSASDYFRFTDPLPAVVPQMAAEACSNPSSTVSRANTETDNPEDWEFISDESLNYISRMLMEEDIDEKVSMYQAESAALRAAAKPFYDILGHKFPPSPDHQPIPWSMDSPSESSSSICTQSVASTVTSSSIGGTVDSNWRYDVGRSEQLEAYRGLCGQSSQPLVGTSTDASNAVDVLEDHLITNGQIPEYLFESLPTWDFRRGIEEAQKFLPDNNTLVIDLKAGGVARPQEARKDVSLNSKKADVLKAKKNRQSEDLDLMEGRNFKQSAFCSDEPDWIEMFDDLLRQTEKKATDLRELMRNEASKNSQVTQTKGPSGPRPRGRKPTKKDVVDLRTILIHCAQAVAADDRRTANELLKQIRHHSKPNGDGSQRLAHCFADGLEARLAGTGSQLYRMLVAKRTTASDMLKAYHLYLAACPFKRLSHFLSNQTILSMIKNASKVHIIDFGIYFGFQWPCLIRRLSKREGGPPVLRITGIDVPQPGFRPSERIEETGRRLAEYAAKFKVPFKYQGIASKWETIRVEDLKVGKDEVVIVNCLYRFRNLIDETVAVDSPRNRVLNTIRQVNPAIFIHGIVNGSYSVPFFITRFREALFHFSALFDMLETTVPRDDPQRALIEREMFGREALNVIACEGSDRVERPETYKQWQVRNLRAGFVQSPLNQEIVMKAKDKVKDIYHKDFVIDEDSGWLLQGWKGRIIYAISTWKPKKN >Sspon.01G0042340-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:64877497:64881545:1 gene:Sspon.01G0042340-1B transcript:Sspon.01G0042340-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQHRQQRGFPSSLFSGPSLALAVMTLLCAAASTTAQQQPPPLPLPTLPLPQAQQPTTTTPGAIPSVPACPPALATLSPCVSYLMGNSTSPPTECCAQIRAMFQSQAPCLCAAMVSGPVQQLGSALGQLLPTSCDLPADACSGGTSAAPTDPMTPASGTTTPAAAAPATGPNGVDDPTAAPAGGVGIKSVPDLVHSAAAAGSTSGISAAALFVSFLRYKPPSPSYSPPGPRLVRSTAAVLAENPTVSPSHHSPAMARRWLQVTLALVAVAATVTLSSGQQMAAAFPTLPSCPPAPLSLSPCIGYVFGVGSATLASCCSQLRGFLQAQAPCLCAASKLAPSPIGLFLGQAQAMMPNVCDLPNPCDEAAAGEGEASTPPVAGTSATTPEATTPAAEPSSGTPAADPGTSGAPPTPTEDASATAMAPAGTGSKLPELLHAAGATSSRDMAAGTIFVAVLLASLATMYV >Sspon.01G0012800-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:41675460:41677494:-1 gene:Sspon.01G0012800-2B transcript:Sspon.01G0012800-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARATVVLCAALLFLLLCATTSLAQSPASAPAKAPPKSSSKASPPTAAPSATPAAPAPKSSSKASPAPAAAPATPVPAAAPTKPKAKAPAPAPPTKAAAPAPATPAPVATPPAAATPPTASPPAPVPAAAPAPETKPVEAPAPAPAKKKKPSSSSKDKKKKKGASAPAPAAEAPAAKKKPKTADAPTSAAEAPGPSGDAAAADTASAAGRTPAGVIVSAMAVAVALGAAALLA >Sspon.03G0021750-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:66603583:66604715:1 gene:Sspon.03G0021750-1A transcript:Sspon.03G0021750-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMTSSTASNTAALSRLVDRTRVPDPTLQRHAVAAFFRHLLSLPAPLPAAAHDAASALLASPHPAVAAHAAASVARLTASRPDLLPSGLALPLLIAPLAASPSPRLASCLVMAVSALAASALRSGSRFLPHDHPFVQALAAGADGARAELTRQAARMVAEGVDWVVGFLRPFVMFSVVRKGDAAFARDLIGALAAAAVAAGKAGVAIPVLKMLEDSMLHFGRGDDQEVRLWLSSAECLVDAYVVLLRKLAHAQM >Sspon.05G0011930-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5C:26486430:26488032:-1 gene:Sspon.05G0011930-2C transcript:Sspon.05G0011930-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATDVAASARRSGAAHGKTSAPPAAGSRPSSAAKKKVALALLVFFTALLYAQIQPPPSKIPGTPGGPPVTAPRTRLKDGRHLAYLESGVCKENAKYKVIFVHAFDCCRYDVLNVSQGLLEELGIYLLSFDRPGYAESDPHPARTEKSIALDIAELADNLQLGPKFHLIGFSMGGQIMWSCLNYIPHRVLQLFPRSRLAGVAILAPAGNFWWSGFPPDVVKEAWRVQLPQDQRAFWVAHHLPWLTHWWNTQKLFRGFSVKDGDPAILSKEDRLLKQVRQQGEHDSLHRDMMVGFGKWDWSPLEMENPFAGAEGEVKVHLWHGVEDLYVPVQLSRYISKRHPWVIYHELPTAGHLFPVADGMPDAI >Sspon.02G0024110-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:84488600:84492928:1 gene:Sspon.02G0024110-2B transcript:Sspon.02G0024110-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRLPSSVVRGSGGCNAVLQAEKDTKMKPEERLLRDLYKPELRGNALAELSKVPLLPFLVCWLYIPVLEDLASSISTYIPIYLYPLLNTVSNARSFESLRLTSLGVIGALVKADDTEAIGFLLQSEIIPLCLRIMETGEELSKTVATYIVERIVLDEAGLQYICFTVERFFALASVLQSMVISLAEQPSARLLKHIICCYHRLTDHPRALRALQISFPEALKNGTFDHCLEVRIALDSSAASSLFNHCFTPLTTDGTMIPLQGTTSDNCWIICLFLGSKHLNQDQVRRRVEHPMLAQAPLRQGRVAYAVDVNESGHCNSEFTTRMQNLSANCS >Sspon.01G0034040-3D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:18115520:18120329:-1 gene:Sspon.01G0034040-3D transcript:Sspon.01G0034040-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MELQLAAVLASLALGGAVLVLFFGKWWQPLAGTDRRVKELADAVEALLRQRAEVLGHDPAPSSDPVRAWLRRVQEAQDELASIKARHDGGQLYVVRLVQYLFLPTGPVAGLAEQQLKAVWALREQGAAILDAALATPQAPPPLLCDPEELEGLPAEAGPARAYLNEALRFLGDCDAALGIWGAGGVGKTTVLKLVREVCGRVARFDHVLLVAASRDCTVAKLQREVVSVLGLRDAPTEQAQAAGILSFLRDKSFLLLLDGVWERLDLERVGIPQPLGMANGKVRKIIVASRSEALCADMGCRNKIKMECFNEEDAWSLFQANVGGDTIHGHTQIPALARQVAAECKCLPLALVTVGRAMSNKRTPEEWSNALDTLKASLPSGTPGLDKSTHTLLKFCYDNLESDMVRECFLTCALWPEDHNIFKEELVQSWIGLGLLPDLGDIEEAYRFGLSVIAILKDARLLEPGHNHRYNMFPSDTHVRLHDVVRDAALLFAPGKWLVRAGAGLREPPREALWRGAQRVSLMHNTIEDAPAKVGSALADAQPASLMLQFNKALPKRMLQAIQHFTKLTYLDLEDTGIQDAFPMEICCLVNLKYLNLSKNKILSLPMELGNLGQLEYFYLRDNYYIQITIPPGLISRLGKLQVLELFTASIVSVADNYVAPVLDDLESSGARMVSLSIWLDTTRDVERLARLAPGVRARSLQLRKLEGARAIPLLSAEHAPELGGVQESLRELAVYSSDVEEIAADAHMPRLEIIKFGFLTKLSVMAWSHAAGSNLRDVGMGACHSLTHVTWVQHLPCLESLNLSGCNGLTKVLGGAEDGGSATEEVVVFPRLRVLALLGLPKLEAIRAEGECAFPELRRLQTRGCPRLKRIPMRPARGQQGTVRIECDKHWWNALQWAGEDAKACFVPVV >Sspon.06G0012440-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:66132219:66133625:-1 gene:Sspon.06G0012440-1A transcript:Sspon.06G0012440-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTSKTILIRDDSTHEIVLAIDERTATANERLKAQFSKVDTKIHRFPRGLHGIGGDSHRYIVPSVVAIGPYHHGSPHLQKMEEVKFAAAYHLCKHSGRSTSMEVYEKILSVVGDARGCYDSDDPSVAGLSDADFATMMFLDGCFLLQYMVGGGDEPVLQNRMTLSTGLSIQRDIFLLENQIPWVVLQVLREFMPVDVRRFVAGMQEKFHPGKAKEKDRRWMHWGSTTSTPMPPDEKKEGSAGRGVSIGSDADDYTPPHLLGLLWYTQVGSMPAHVKNYRGFVSSLKSSSAVELAEIGVKLTPSKEPWFGDMTFRRCHLFGGELSLSPVFLNDITACWLVNIAALEASTSGASPESDGYTVSSYLSVLAMLMDREEDVQKLRAKTLLYSTFSDKQALGFFKGLARHLRFGDRYFATLEAIYSYRRHCSVLIFFHRHLYNGYKAMTIATLFSIVGVLVGIFKTMLSKQKP >Sspon.06G0024660-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:56694657:56700703:-1 gene:Sspon.06G0024660-2C transcript:Sspon.06G0024660-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGAAAEVDDPSLAATLEKFRLYETRARFYVIGSSREKRWFRVLKIDRSEPSELNVSEDPVWYSLQEVKSVLQRIDEGNRSTGGLTFVTKAYGIAGCIKFLESYYLILVTKRRQIGCICGHAIYCIDESQMITIPHSSVQTDVATSKNELRYKKLLASVDLTKDFFYSYTYPIMQSLQQNVTSAGMKEMPYENLFVWNTFLTEPIRSRCRNTLWTVSLVHGHFKQVKLSIFGREINVVLSSRRSRHFAGTRYLKRGVNDHGKVANDVETEQIVFEEEAGSWKGRMSAVVQMRGSIPLFWSQEASRLSPKPDIFVQRYDPTYEATKLHFDDLARRYGHPIIILNLIKTVEKRPREMMLRREYCRAVEYLNQNVPEEKKLRFIHWDFHKFAKSKSANVLGVLGKVAGEALDLTGFYYSGKPKVQKKRPIQLSRTSTARDGSLDIRASSGDLSRLSSNAEALSSTGFQDMRNEANKQEFLGDTPCYQTGVLRTNCIDCLDRTNVAQYAYGLAALGRQLHAMGLTDVSKIHPDSSIASALMEMYQSMGDALAHQYGGSAAHNTVFPERQGKWKATTQSREFLKSIKRYYSNAYTDGEKQDAINLFLGYFQPQEGKPALWELDTDYYLHVTTAGDDLISAPGNNAFVGFGAALNPGTTLSPVPACKEDFSRMKLTSFDKLIERTCSSIRNVRLHCDADLKLSGGAGTSGMAPDAAEIQLKSPNWLFGQRKHTERMPTARVALAENVNEGNKDESNVSLCGELNWLSSSVESCEEDIFRRYLAFTTADVENGWYGGTLIYDRDENSGAYKHYSELCQGAVMDPFEHDPEKERHYAEALSVDLDVSNDAQVEAEMQAALDDYQIIGSDLSIIPSCGALAEDPSQLTRWIIGDEKLLVGAAQ >Sspon.01G0048840-2D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4D:11719847:11721022:-1 gene:Sspon.01G0048840-2D transcript:Sspon.01G0048840-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAPLTATPAPLHPAKPKSAPPQQHPVLSHLPHCTNLRALAQLHAAAVKAGLAAHPALVTRLLTLCTTPGAGPAHLAYARQVFDRVPHPADAVWYNTLLRGYARSSSASASDAAVRVFVRMLEEGVAPDTYTFVSLLKACAAARAGEQGRQAHALAVKLGAAGHDYVRPTLINMYAECGDARAARVMFGGTDGGCVVSYNAMIAAAVRSSRPGEALVLFREMQAKGLKPTSVTVISVLSACALLGALELGRWVHDYVRKIGLGSLVKVSTALIDMYAKCGSLEDAIDVFQAMESRDRQAWSVMIVAYANHGYGREAISLFEEMKKQGMKPDDITFLGVLYACSHSGLVSEGLQYFDDMKDHGIIPGIKHYGCVTDLLARSGQLERAYKFY >Sspon.08G0000770-3D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8D:227118:229608:-1 gene:Sspon.08G0000770-3D transcript:Sspon.08G0000770-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQQRRGASPPSSGGAGAAELELPGFRFHPTEEELLEFYLTQVAHGRKLKFDIIPTVHLYRHDPWELPGLARIGEREWYFFVPRDGGGRAAKQAGSGSGSGSGGGRPSRTTERGFWKATGSDRAVRCAADPKRLIGLKKTLVYYEGRAPRGTKTDWVMNEYRLPDATTCCNAAGDSSAAASTNKLPKEDIVLCKIYRKAVSLKELEQRVAMEELARATATPSASASHNTGSPADSMSSSDHETAAAAAGVAHPQKQQGGEIMMISVGISPPPVMSMKKEEVAEPLLPAAALMRPATLSLPQLEVAKQQPVQQEWMQDPFLTQLRSPWMENWSPYYASVLNF >Sspon.08G0012030-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:51635172:51639119:-1 gene:Sspon.08G0012030-1A transcript:Sspon.08G0012030-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding EGREAVKKKAFVPPPRFFNIDEAREMHIRVERRRDKESGEYFEWVDNLKFKDGFLYKSVSTKSIHTNNIQPTFDELEKFKKPGEDMNGDMASLSTLFANRKKGHFMKGDAVIVVKGDLKNLEGWVEKVEDETVHIRPKISDLPKTLAFNEKELCKYFKPGDHVKVISGVQEGATGMVVKVEGHVLIILSDTTKEHIRVFADHVVESSEITTGITRIGDYELHDLVLLDNLSFGVIIRVEAEAFQVLKGVPDRPEVVLVKLREIKSKIDRRSSAKDRSNNIISAKDVVRVIEGACKGKQGPVEHIHKGILFIYDRHHLEHAGFICAKAQSCLLVGGSTGGRRGNGMDTADARLGALRSSASILQSPGRLPPRGPNMNYGGRFGGGRGGRGHDALVGKCIKIKSGPYKGYRGRVKEVTGALVRVELDSLMKIVTVKRDDIADTPTVATPFREPRYSLGGETPMHPSRTPHHAYQTPMRDPGATPIHDGMRTPMRSRAWAPMSPPRDNWEDGNPATWGSSPAYQEPHKLGHTKPPHQDQGGQTLQDKCPKSIRAFHACWSTNDAKLSSYLPGTPGGQPMTPGNVGMDIMSPIIGGEGDGTWLLPDVLVNVLRGGDDGPGVVREVFGDGSCRVALGSSGNGDMVTVLPNEVEVIRPKKSDRIKILNGNFRGCTGKLIGIDGSDGIVRLDDTYEVKILDMVILAKLAT >Sspon.04G0036850-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:52516858:52527523:1 gene:Sspon.04G0036850-1D transcript:Sspon.04G0036850-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAVELPLPAKVEFGKIWRRQPRWRGWTGPSSEVVAPEAGAGRCLGAAPTRIGGTVVHNQNAEGFPSYRSKRTSLEVPMQKSLGFGLKSENGKRDYIANDTVQSLHKQDSKIVTKKTIKLLDGPPYSKRPKLEPVQTGRDAEAKGHEFISQKNVPDLTPCAASEKSRLLKQKRISDAKRIDKKNIRSGVRSKYDCFSSKAGLANFDSGFSGNSVLGAHGLKSDVRDITNHIENLSLSELLDGTYKYSSLGREKGKKVLRTKEELLVSVRKAFSMLSDMDYCHGKDSNIIQSPKLPSASTSSCDIKEKCGDKPSPLDSSQINVCDTALHHPKDILSRLTLPHRQDLDSLLAPGSESTAGVKPYMPSMTVHGASWGLSTNVDCGKHGSSRSNSQWQWVRVGSNLTPLDYEDTSVQRIDDLLQEMDAAKLCITDSCVGQYNLCGTESTSGSLVQNIHPRKIGGEHGSHQLQPLDHVDSLDGFQKHDSEHSLLKTSQVSPKIQCAAEILCDMKSSTESWSGQGYSNGAVKWPKSPSEKVMKARKPSSQFGTTESSSGSRNNDATWNGSSHSTKKIVDRKNDSARLNNPGKGTIRWPVPVEDSASPIRSERGLTVDTRQPHGNGGRHPVQVSSQARLEKEYENQQKLRKATLTSSLGSAGDWNRERNRRMSPLTIARCVLPVCVELFVKVIIAGEEERTVPDVNNIGERALINRGQAV >Sspon.05G0012400-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5B:31881579:31883575:-1 gene:Sspon.05G0012400-2B transcript:Sspon.05G0012400-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEGGGGQETFEARVKRLFGSRLFDAVPDSSFPTASWSVAAGDVERHRWAKPSEARDAEEEAAGEAARGDTPCASAFYDSNGCLRGRRRRSRQKEFEGDLDDLDEDDEEEDGEREGKATEQDEEEGVRVNIGLDPTLDREEEEDKYDREAFGREEAADRVYMHDIMDDGINMSINSIVPDLLDDSIEEVYRFKKDPRADMRAASARLREDDGSAKDGDSHYAAQAKEFPNVVVQTKKTVKEVNVKPILKRKEEQADLKPRKRVRFDANVKDREPDMFEHDDDSPMVPQSMDVVTEKEESTSTLSASPGVPDYVRNPSKYTRYTLDVPESNDDSNRTALADLHELLGRSDPNKMHSEMPVVIPSSVTFIPRKKSVDAMAVDEGPRAIDSNSSVIGMVVGASDEPDQCEMDEDDSKSSSTPQMHTNSKASSRRYRSSRTDDDE >Sspon.05G0031420-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:1063223:1064151:-1 gene:Sspon.05G0031420-2D transcript:Sspon.05G0031420-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSEAGAAATVIPIDDVARDHGKAPAVATAPPPPAAAASSAAVPAAATTTAPRKTGVPFFRRADRGSRCVALLDFVLRVAAFGPALAAAIATGTSDETLSVFTQFFQFHARFDDFPALLFFMVANAIAAGYLVLSLPFSAVIVLRPQAIGLRHLLLVCDMIIAALLTAAAAAAAAIVDLAHSGNLRANWVPICMQFHGFCQRTSGAVVASFLAVLVLLFLVILAAFAIRKR >Sspon.02G0042960-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:87512024:87512437:-1 gene:Sspon.02G0042960-1B transcript:Sspon.02G0042960-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding PMATTTKPGRAAAALLLLALLAALRVATAAGHNGGHPDNNNDPGAFFAGMPWFGGAGQPGPGARFFGGWGGGGGLGYRRGAVVPPSTVCPQDGPCRGKRLTCPPRCFRTFSYGGKNGGGGGGGGGCSFDCTTRCAATC >Sspon.04G0016680-3P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:15616663:15621478:-1 gene:Sspon.04G0016680-3P transcript:Sspon.04G0016680-3P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSAFLPELGTQVVVPVAAVVGIAFAVLQWVLVSKVKLTPEPRRADGSAGKSGGAGASEYLIEEEEGLNEHNVVVKCAEIQNAISEGATSFLFTEYKYVGLFMGIFAVLIFLFLGSVESFSTKSQPCHYSKGKMCKPALANAIFSTIAFVLGAVTSLVSGFLGMKIATYANARTTLEARKGVGKAFITAFRSGAVMGFLLAASGLFVLYIAINLFGIYYGDDWEGLYEAITGYGLGGSSMALFGRVGGGIYTKAADVGADLVGKVERNIPEDDPRNPAVIADNVGDNVGDIAGMGSDLFGSYAESSCAALVVASISSFGINHEFTPMLYPLLISSVGIIACLITTLFATDFFEIKAVDEIEPALKKQLIISTAVMTVGIALVSWLGLPYTFTIYNFGVQKTVYNWQLFLCVAVGLWAGLVIGFVTEYYTSNAYSPVQDVADSCRTGAATNVIFGLALGYKSVIIPIFAIAFSIFLSFSLAAMYGVAVAALGMLSTIATGLAIDAYGPISDNAGGIAEMAGMSHRIRERTDALDAAGNTTAAIGKGFAIGSAALVSLALFGAFVSRAAISTVDVLSPKVFIGLIVGAMLPYWFSAMTMKSVGSAALKMVEEVRRQFNTIPGLMEGTTKPDYATCVKISTDASIKEMIPPGALVMLTPLIVGILFGVETLSGVLAGALVSGVQIAISASNTGGAWDNAKKYIEAGASEHARTLGPKGSDPHKAAVIGDTIGDPLKDTSGPSLNILIKLMAVESLVFAPFFATHGGILFKWL >Sspon.08G0000230-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:1292549:1292854:1 gene:Sspon.08G0000230-1A transcript:Sspon.08G0000230-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAAANNLPSKWNWVMLERFVFRRDDDESFPDESKAPIRASGTTSWGAPFRVAFKLADPPAISRLYAQLPGFPDPSKQTPLAILATHQHFASACRTREEL >Sspon.08G0023230-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:55896032:55901296:-1 gene:Sspon.08G0023230-2D transcript:Sspon.08G0023230-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPLIVCCISGIFQANPMKSCPSKEVENFKEKLVEENFYLITELGEQGRVPVLLLKLNDPVPKRKPAIVFLHSSYKCKEWLRPLLEAYASRGYICVAIDSRYHGERASKETTYIDALKLAWRNGDTMPFIFDTVWDLIKLGDLLSEREDIDPCRIGITGESLGGMHAWFAAFVDTRYSVIVPIIGVQGFRWAIDNDKWQARVNSIKPLFEEARIDLGKSEIDTQVVEKVWEKIAPGLDSQFDAPYSLPLLAPRPLLLLNEPGIGHRMTIDMVKAASEWFDRFL >Sspon.03G0041360-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:39797681:39799719:-1 gene:Sspon.03G0041360-1C transcript:Sspon.03G0041360-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGAGVGDGELRLLGKSSSPWVFRVRVALGLRGLSHEYIEEDLANKSELLLRSNPVHQKVPVLIHGGRPVCESLVILQYIDEIRRGTTGPALLPSDPYDRATALFWAAFVDDKRAEALQNALLVVETLERAFRECSKGKAFFGGDAVGLVDITLGSHPIWMRTVDETAGTNLLDGAKFPGLAAWAERFMASDSSRVNA >Sspon.02G0023460-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:73665301:73666877:1 gene:Sspon.02G0023460-4D transcript:Sspon.02G0023460-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLVPVQVRRSRWVLGDVTEVLDHNSWRIGKITEVLKNDCFVIRLAGCIQPRAFHISCLRIPHDSKQLTVGDMVIELNKPIRFADCSSHHSKIVMEQDHQAYEEVDHYAKRKAANICASTGARAVKRKLEASRIPPNDLVRRTGKERKVAAYESRQLTKNELPLKVSARNAIDGDHFHRPLSSRYNDLTKNISEIKPVCKVLPLSEKPLRIREENECSVASCSANYSEYSTSDNQQSVGIGSCFPEMLCLHEIKNAYGSGLFLNVHELELQAYQSTVRAFHAAGPLTWEQESLLTNLRLSLNISNEEHLLQLRHLLSL >Sspon.02G0020410-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:61885941:61889190:1 gene:Sspon.02G0020410-3D transcript:Sspon.02G0020410-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPALRTTARLGLALDGATAARRRGALAPVAVPAAPCLRKAAVRCQRAVGAAIVERKDASVVEAAQERSDGGGAAPELTVVMKFGGSSVASAERMREVADLILSFPEERPVVVLSAMGKTTNKLLMAGEKAVRCGATNVSELDELSFVKELHLGTLDQLGLDRSIVYGLLDELEQLLKGIAMMKELTLRTRDYLVSFGECMSTRIFAALLNKIGVKARQYDAFDLGFITTEDFTNADILEATYPAVAKRLHGDWINDPAIPIVTGFLGKGWRSGAITTLGRGGSDLTATTIGKALGLREIQVWKDVDGVLTCDPNIHPKAKPVPYLTFDEAAELAYFGAQVLHPQSMRPAREGDIPVRVKNSYNRRAPGTLITKERDMS >Sspon.07G0022130-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:6798120:6806131:-1 gene:Sspon.07G0022130-1B transcript:Sspon.07G0022130-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGQPIQAASEEVDSIHFSFYSDDEIKRISIKKITKSERLDAKNLPVPGGLLDPAMGPINDTDTLKNLLHVTCFHCHKFSLNKEQVDRYVNELELLVKGDIVRAKNLEDSVNEAYLSEEDEDITKTASGDKSSPVKDKKTWTSIQLKEVLSIFSKIMKKRQKKCAKCDMKSPTISSPISGWLVKDTSASAVRANAIADFKIKGDGDAHNSGETGVSGLDEEPTSPGMVSKGSINEVRRLSDDAIKEMVASSGKKHLLPTEVESILKDLWKNEARFCMLLCDFQKNTLSEPEKRRGYEMFFLNSLLVAPNRFRPSTSSSLGIMEHPQSVLLSKVQEANLALQHKNASSNHMDVLRRWMDLQRSVNVLYDSSKGLVKSEKNAHGIRQLLEKKEGILRQKMMGKRVNYACRSVISPDPYLAVNEIGIPPVFATRLTYPEVCALCMVHHAV >Sspon.01G0042980-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:70047432:70048042:-1 gene:Sspon.01G0042980-1B transcript:Sspon.01G0042980-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAAAPDQPPRPVRFGILGCASIARKLARAMLLAPGAAVAAVGSRSEEKARLFAADNGLDVAATRLHGSYEALLDDPDVDAVYLPLPTSLHVRWATAAAARGKHVLLEKPTALCAADLDAILAACDAAGVQFMDCTMWMHHPRTAKMRETVDDKDAIGDIRTTINN >Sspon.03G0019250-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:44943401:44949350:1 gene:Sspon.03G0019250-3D transcript:Sspon.03G0019250-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAKHVVLFPFPGQGHLSAFISLTGLLHGALPDAAITLVSTSRNVASLRPTACSNSNSSFLGFHVLPFTPADHGLPPDCESSDTIQHMAIYDLLEAAFDDYLSAAVAVAGGSGRDVLVVSDPLTAWTVTASRRRGCTHAFFASCGAYGTAVLHSLWSHPPVRPDLVTGRVHLPEYPEVMIHRSQVTKNALGPPAVRDRGARFFGRQIPLGYETNVVLINTVEEFEPTGLAMLRRTLKIPVCPIGPLVRATSLPVSPEADAAIVSFLDCHPPSSMLYISFGSQNSIRAEHVTELALALESAIRPFVWAVRPPWMPDRFEEKARTGNRGFLVREWAPQVRILAHASTGAFLSHCGWNSVLESVTHGVPIIGWPLYGEQFYNAKMLKEEWGVCVEVARGNVEDTIVSRAAVSDVVETVMGQTAKAAEMRRRLREIKEVMEVSWKERSGSSRKAMEDFLRAMNLRTNVLACECLAAMMQPAATCACGLPHTPARVRSAACRWPPKIGARTENTESLGS >Sspon.01G0046750-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:100262779:100263670:-1 gene:Sspon.01G0046750-1B transcript:Sspon.01G0046750-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ACLLIRLPPSPTYACWSAVPFPFPVAGQTTCPHSLRRSPAWQPSTWLLIRPPPSPARWLGCRAVPFPNRQPRYVPSLCVARSLAVGRAADHAMAAGAHVPWRLKSSQAKPRAPTSVGDAGGARAPRLTASSHSDGRNRLAQPSLPYVPYCFMPYGWGRATRRVGGHRTVVL >Sspon.02G0007430-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:24362667:24367319:1 gene:Sspon.02G0007430-3C transcript:Sspon.02G0007430-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKAEAAAAAHVLVFPVPAQGHLNSFLHFSTGLLRAGLHVTFLHTDHSLRRLGASVAEATAASPRLRFLSVPDGLPDDDPRAVDGLPDLLEALSTKGRAAYRALLASLRAGGGGAAEDGFPPVTCVVGDGVLPFVVDVAEELGVPAISYRTVSACAVLAYLSVPRLLDLGELPFPEGGDLDEPIRGVPGMESFLRRRDLPIQFRQLTSTHEEPLIKGVVEATVHSCKARALMVNTTTSLERPSLDHLAKEMRGVFAVGPLHAMSPAPAVATSLWRPDDGCMAWLDSQADAARSVVYISLGSLTVISHQQFTEFLHGLVATGYPFLWVLRPDMLGASQDAALQEAVAAVGSDKACVVPWVPQRDVLRHRAVGCFLTHSGWNSTLEGIVEGVPMVCWPFFADQQINSRFVAAVWRNGLDMKDVCDRGVVERTVREAMESAEIRRSARALAEQVERDVADGGASALEFKRLVSFIRELSTSAAHTPSPNRMKIANHARQIKGHINCMLHFATGLAGAGLHVSFLHTEHNFRLLGLASAAAAPRLRFLSVPDGLPDDHPRSVGDLMELARSLKTEGSVAYRALLITLLPVPAAESAGPSSDACFDPGFPPVTCVVADGLLPWAIDTAEELGLPALAFRTASACSFLAYLSVPKLFDLGELPIPAGGSLDEPVRGVPGMESYLRRRTAHNVNARALILNTAASLEGSAVTNIARRTRDVFAIGPLHAMSPAPSVASSLWREDDGCTAWLDGHADRSVVFVSLGSLAVISHEQFTEFLSGLVAAGYPFLWVLRPDMVEAGRQDAALREAIRAVGSKNARVVPWAPQRDVLGHRAVGCFLTHAGWNSTLEGIVEGVPMVCWPFFADQQINSRFVGAVWRNGLDMKDVCDRAVVQRTLKEAMESDVIKGSAQALAQQ >Sspon.07G0014350-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:51228054:51234946:1 gene:Sspon.07G0014350-3D transcript:Sspon.07G0014350-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGAGAGWPEPVVRVQSLSESGAATIPDRYVKPESERPKAALEPAAAAATEEGGIPVVDLSSPSDPATARAVSEACRDWGFFQAVNHGVPSELLRRARGVWRGFFRQPMEVKQRYANSPSTYEGYGSRLGVEKGAVLDWGDYYFLHVRPPHLFDPHKWPHLPPDLRETTEEYSREVAALCGRLMTAMSVGLGVGETRLQEAFGGAEGAGVCVRVNYYPRCPQPELTLGLSSHSDPGGMTVLLADDRVRGLQVRRRGAWVTVDPVPDAFIVNVGDQIQVLTNATYRSVEHRVMVNAADERLSVALFYNPKSDLPLAPMPELVFPDRPALYKPMTFDEYRMYIRRKGPRGKSQVDSLKASTATRPPPPPSTSTSHPKADDATS >Sspon.03G0000240-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:596567:604934:1 gene:Sspon.03G0000240-1A transcript:Sspon.03G0000240-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDSLKGMISAISWVPRGAAKSMPVVADPPTQEEIAEAMKTFALGRDSGSDADEDDDAGNMELDGAAEAEAEDVDEAARARAVAKALGKGSSKVDDVADGLRELNMDAYDDEDEEVDIIGSGLGDLYYPDNGMDPYLKKNNVNILEETDDGEQNIFVHHDVPLADFPLCTAWMDFNLKGGEKGNFVAVGTMDPAIEIWDLDMVDELQPHMVLGGLSKKKKAKGKKGKKYKKGSHRSSVLGLAWNKEVRNVLASASADTTVKIWDVQAVAWSPQSPEVLLSGSFDKTVAVNDMKDGGQSCHKWSVEADVESLAWNPHNEHSFVVSLENGMVQAFDKRTASSSSSGQSMYTLHAHDKAVSSISFNPSAPNFLATGSVDKMVKLWDLSNNKPSCIASQNPKLGAIFSVSFSNDSPFLLACGGSKGKLKVWDTLREPAVAHNSSSSPSSSFTSTASSSTTAAPTKALWSAAATATAAAVVTFVAIAVFFFTCSSCISFTKEGWPSSLLGASLDSPMRKATMRLPTTKRHLGLSRKAVTRSGRVVRKKKPRAEHSLGNQAGLVSSRQRTKVAAM >Sspon.04G0035010-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:954857:956860:-1 gene:Sspon.04G0035010-1P transcript:Sspon.04G0035010-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGGRPSPPSPTTSSPSTWTAELELEPESESDDDGLPSPWEGALVYRRDAAVHHLEYATTLERLGLGDLSSPDSRARAANLGLGLAGGGQDSTAAQSQTPVLVSLDVTRRRGRLRLDGIVRTVITLGCFRCAEPAPEGIFANFSLLLTEDPVEEPDVVDLGTIFEEDIAKGASLAGAMDDEDDDQDIDWDDRLHFPATDKEIDISKHIRDIIHLEITLDAVCSPNCKGLCLTCGANLNTSSCTCSKDKPKEPKDVKGRGPLKELLKPMQKR >Sspon.05G0014430-1P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5A:55392477:55421070:-1 gene:Sspon.05G0014430-1P transcript:Sspon.05G0014430-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFRNSTKATNMLGGRPFFSPGRRRPWAPPLAAGHPSPWLAASHLPLPPPPSKPFPSSLLPPGLLWPPPAPSIQARLPGMPGRRPSLVRAASPCSSQLGARRPWGCARPCSIPPLVLLQLGVRAGSLLSWGCARPCSIPPLALLLLQLGVRAASLLLLAPPRPASISLQPVQQLLVPSSPPRPSPQLLTLALGGVLRLVRRPGPPMVTSSSRSLYSSRSSTRSPSSNRCLRVSWALPEVAPSMAWLGPPPPPTAARL >Sspon.01G0001320-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:4176609:4195847:-1 gene:Sspon.01G0001320-1A transcript:Sspon.01G0001320-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RMVRGKTQMKRIENPTSRQVTFSKRRNGLLKKAFELSVLCDAEVALVVFSPRGKLFEYASGRASDLYSVQKTIERYRTYTKDNVSNKTVQQDIQQVKADAEGLAKKLEALDAYNRKLLGERLEECSIEELHSLEVKLEKSLHCIRGRQTMLLEEQVNKLKEQEMNLRKSNEDLREQCEKQPPMLMAPPPPPAPTVITVEDDHPEPKDDGVDVETELFIGLPGRDYRSNKDRAAVAIRSG >Sspon.05G0026210-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:31917359:31929279:-1 gene:Sspon.05G0026210-1B transcript:Sspon.05G0026210-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRNNNLRSNGPSDGLLSRPTPGLQHDDMENPQQQVYWERFLQKKTINVLLVESDDSIRQVVSALLRHCMYQVISAKNGQQAWTYLEDKQNNIDIVLTEVFMPGVSGISLLSRIMSHNICKNIPVIMMSSNDAMNTVFKCLSKGAVDFLVKPIRKNELKNLWQHLWRRCCKSSGSGSESGIQTQKCAKSKGGNESANNNGSNDGHDDEAGMGLNARDDSDNGSGTQAQSSWTKRAVEIDSPQAMSLDQLADPPDSTCVQVIHPKSEICSNRRLPDDFKEKDLEIGGPGNLYMDHQSSPNERPIKATDGRCEYPPENNSTESMMENLEEPTVRAADLIGSMAKNMDTQEAARAADTPNLSSKVPEGKDKNNHDNVLPSLELSLKRSRSCGDGANTVNDVEQRNVLRRSNLSAFTSARYHTSTASNQGGTGLVGSCSPHDNSSEAMKTDSTYNMKSNSDAAPIKQGSNSSSNNNDMGSTTKNVVTKPTTDKDRVKLSSSAISKANGHTSAFHPVQHWTMVPANAAGGTTKADEVANNAGRPAGDMQSNLMQRYPRPTLHYAHFDGAPENGGSGALQCGSSNVFDPPLEGQATSYGVNGSNSD >Sspon.03G0012830-3P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:51980379:51983323:1 gene:Sspon.03G0012830-3P transcript:Sspon.03G0012830-3P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSTKFSDVKGVDEAKSELEEIVHYLRDPKLPKGVLLVGPPGTGKTMLARAIAGEAGVPFFSCSGSEFEEMFVGVGARRVRDLFAAAKKRSPCIIFIDEIDAIGGSRNPKDQQYMKMTLNQLLVELDGFKQNEGIIVIAATNFPESLDKALVRPGRFDRHIVVPNPDVEGRRQIIESHMSKILKSDDVDLMIIARGTPGFSGQTLLTW >Sspon.04G0000560-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:2173577:2178323:-1 gene:Sspon.04G0000560-2C transcript:Sspon.04G0000560-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DAVQEPGPVGAPREPALVRRVGDLRQPAGREGGQGAAAGVPGRGRQLLRQRRGVRQRARRGDHGAGHPGPGLAPLRRRHLHQALLGRPGPQRQGPLPEAHRRGPPRLAPPPRHGLRRRRLLPPPRRLHARRGDGARHELGHRPGMGLLLGHLRVVRAADHRGLGRRQPPRPRRTHRRAAGVQPLLQAQVESEFVPLYGTYGIGLTTWSPLASGVLTGKYSKGNVPADSRFALDNYKYCIANPFLALGFQNLANRSLVDDTLRKVNGLKPIAAELGVSLAQLSIAWCASNPNVSSVITGATKESQIVENMKALDVIPLLTPEVLDRIEAVVQSKPKRTESYR >Sspon.06G0012520-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:49884862:49886770:1 gene:Sspon.06G0012520-3D transcript:Sspon.06G0012520-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARNGRVRVSRSGGGEPEDSQDRISALPDSILHLILVLVPLVDAIRTCVLSRRWRGVWTRLPLLFFDDVQAPRVSRFPDLVDGVLRGVGDDPVSFVSTLADLAAQRISGRFGLYLSPAAVNVYQEEFLKNLYPDPEDDKEEEEAVTLQLPCFPRVTELSITFVGVDLGMPTTDTFAKLTKLFISGVRFTDGGEEISQVVSLCCPCIERLELHRIGGLRRLTVLAQSLVSMVLSRILVLEQLQVAAENLRHMLVDKCFVLSITRAFMFLSVPALEQLLWQDRCPEVIRHWMLPSCLRRLVVAELEFDYLFRAGGWSNLTRILQLFKCVDTLRLEFPNAHDPTNEENLIENVNLPCYSELEFMVNHTGHKFGPTILNLLRRCSCVQKVMLQMFGSREVGYIPCPSNCNCRQLSVWTDNAINLDSLEWVAMYEFRATQDERSFIYYIMRNAKHLRKVSILFSLGANPTRRFFRKLCKLSASSGCTVECYSH >Sspon.02G0009390-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:29382340:29386608:1 gene:Sspon.02G0009390-3C transcript:Sspon.02G0009390-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTPKQRLPYSTSGGGGGGRRAASGSAALPPVVVLVFLFVVAPSLFFVVRNGSRGHVHVASDPKGKNGDQEAAAMKNLKSILPKEVFDAITASQQESGTLSLDFFRNHASPSWKTDDLVTEKSMDVDDKAKAENSLPEHDLPTNRSPKDPDEHQVDKAAKVARRKLREKRREKRAMDLVHKDDEARVKLENAAIERSKAVDSAVLGKYSIWRKENENENSDSTVRLMRDQVIMARVYSALAKSKNKSDLYQKLQTRIKESQRAVGEASADADLHHSAPEKIRAMGQVLSKAREELYDCMAITQRLRAMLQSADEQVRSLKKQSTFLSQLAAKTIPNSIHCLSMRLTIDYYLLPLEERKFPRSENLENPNLYHYALFSDNVLAASVVVNSTIMNAKEPEKHVFHLVTDKLNFGAMNMWFLLNPPGKATIHVENVDEFKWLNSSYCPVLRQLESAAMKEYYFKADRPTTLSAGSSNLKYRNPKYLSMLNHLRFYLPEVYPKLDKILFLDDDIVVQKDLTGLWDVDLNGKVNGAVETCGESFHRFDKYLNFSNPHIARNFDPNACGWAYGMNIFDLREWKKKDITGIYHKWQTMNEDRVLWKLGTLPPGLLTFYKLTHPLDKSWHVLGLGYNPSIDRSEIDSAAVVHYNGNMKPWLELAMTKYRPYWTKYIKYDHPYIRGCNLRE >Sspon.07G0011490-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:47674419:47675184:1 gene:Sspon.07G0011490-3D transcript:Sspon.07G0011490-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MENISKEIDSLPNIMDEIAKEDKEKEKRRIRRTVVKEERRKSGPPRLGRHKFEPAPVQVLLTEEISGSLRKLKGCCNLARDRFKSIEKRGILAPSKRI >Sspon.06G0020120-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:7880842:7883963:-1 gene:Sspon.06G0020120-1B transcript:Sspon.06G0020120-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVVAYPFSNQQHIRGRRMNNLMCQKTRTCDDARGEDAIHEFGVPRVRFEDLPMDVVYRIMSKLPPKEFARANVLSSKLRCTWPVCPRLTFDGVQVCKCHRNDLRQHTGRFIHEVNAVLQKHHGKVVETLEVRMDLVDSLLVHHLNNWVSFAASSGTKNLTLDLKPIDFCFKPQLDRYVFPFQLLDSGSISHLQHMQLSFVSLKPPSHHRGFPNLKKLYLQSVHASKKDLGHVLSHCFNLEWLCIDRCNLNDELVVNGPLSHLLYLSIDCCELTKVEFHATNLTTFEYYGRFIPIGLSHSLKIQSANIMFYEAVFQDALISLLNGLPNVENLTFCIAWLELIKKQWLWDNPLKFSCLKHVQLFLNIPGRNEDSILYSVSFLRATPFIEDLEVHFGGTTSLWLADVGPRRQDIGQCNPYNRLKKMCITGFKGARGQVEFMLHVAENAPALEVITVDTHKRSTSAGDRWTIKSGPPPFEEAKRIAMTCLSRTIPPSVKLDVLSLLPSYEEKSLPELPLYESINGQYKNGLQNESIN >Sspon.03G0033110-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:52328610:52328828:-1 gene:Sspon.03G0033110-2C transcript:Sspon.03G0033110-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAIEAESMQEGQQSMSSIEIVSKVLPKSSTFLQNVGLPTSKPSCRSAVSSQVRELQAQLEAKEQESAQLKQ >Sspon.01G0047420-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:103456919:103460779:-1 gene:Sspon.01G0047420-1B transcript:Sspon.01G0047420-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMGLLKDAAAAVESAAALEAAAGAGTGTGAVVSVAAVAVAVAVVPSAAGGSYAVLQCGEDSEYVRQKYSGYFTVFRALLEEDGESWRVYRAIRGELPTDAEAAGFDGFVISGSCADAHGDEPWILDLVDLIRRLHAAGKRFLGVCFGHQILCRALGGRTGRSTKGWDIGVSCIHPTAAAARLFAPLKLPVHMPVIEFHQDEVWELPPTAEVLARSDKTRVEMFRLGDRVMGVQGHPEYSKDILMSIADRLLRHNHILDCQVDVAKASFDVRQPDKELWKKVCRGFLKGRLPSQQQQAVAL >Sspon.05G0012660-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5B:33674132:33675664:1 gene:Sspon.05G0012660-2B transcript:Sspon.05G0012660-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SDFPYVVPECGYKGGREAISLVAGKIPVSPSDLEWILGKTGISIRDRELDPPLHLSAVVEPTATYLGEDHVAHLARDPDAPDPHAQREGGSPLDPPEAGLSGLSLLPDPPMPGADPASPCSTIAGSACVGALSSLPVCRPSSRERVAPPERERDASLAGADPTSSRVTIIKSADAGAGSGLLVCWLSLRERDALLASPCAD >Sspon.03G0031160-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:32849331:32853168:-1 gene:Sspon.03G0031160-2C transcript:Sspon.03G0031160-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQQQTNPEEVVLGQEAGGARVITLNRPRQLNGISDRVVYLLAQFLEKWEKDENAKLVIFKVALVNGLVMGGGAAMVAPLKFAVVTEKTIFATPEASVGLHTDCSFSYIHSRLPGYLGEYLALTGARLNAKEMISAGLATHFVSSEKLEELEKRLLNLDTGDESAVRAVIEEFSTDVQPDEDSILNKLSTINKCFSVETVEDIIKAFESEASIDGNQWIAPVLKGLRRSSPTALKITLRSIREGRKQSLPECLTKEFRLTMNILRSVVNGDVYEGIRALSIDKDNAPKWNPTTLEEVKNEDIDRVFQPFSSEQELQVPSDDSNRRAVTYSPQISVAHITHGSYLDS >Sspon.05G0006150-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:20187542:20197179:-1 gene:Sspon.05G0006150-2P transcript:Sspon.05G0006150-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEINPADEIDKCEAGGGAEPQPADPEPEAASSAAAALEQQPPWREQLTARGLVAAALIGSMYTVVVMKLNLTTGFVPTMNVSAALLAFLALRGWTGALARLGVAGARPFTRQENTVVQTCAVACYSLALCGTCQTPDSSAQLARLSVARARHRTWSRVVTREIAVCGGAGACAGFGSFMLGLNKRTYEAAGVDTPGNVPGSVKEPGFGWITGFLVASSFGGLLTLIPLRKALVIDYKLTYPSGTATAVLINGFHTPQGDKNAEKQVRAFLKYFGISFFWSFFQWFYTGGNACGFAQFPTFGLKAWKHSFFFDFSMTYVGAGMICPHHVNLSTLLGAVLSWGILWPLIRKRKGDWYSADVPESSMTSLYGYKSFVCIALIMGDGIYHFVKVLGVTAKSLHERSKLRRGNNRVADEGNAAAIDDLRRDEVFNRDSIPAWLAYAGYAVMSAVAVVAIPMMFRQVRWYYVVAAYALAPVLGFSNSYGAGLTDINMGYSYGQLALFVLAAWAGRDDGVVAGLVGCGLVKQLVLISADLMHDFKTAHLTLTSPRSMLAAQAVGAAIGCVVTPLTFLLFYRAFDVGNPNGYWKAPFALVYRNMALLGAQGFSALPTHCLPLSAGFFAFAVLANVIKDVLPPRYARYVPLPTAMAVPFLVGASFAIDMVVGTVVVFAWQRVNGNENTLLVPAVASGLICAMEAAPAWGGVPALEIDKCVADAEDVESEPVVAADRRAEEPVPPWREQVTVRGLVAALLIGFVYTVIILKLALTTGIIPTLNVSAALLAFLSLRGWTRALGRLRVGLGLGAGGAPRPFTRQENTVVQTCAVACYTMGFGGGFGSSLLALDKKTYELAGVNTLGNAPGSYKEPGIGWMTGFLLAISFVGLLNLLPLRKVLPSLHERSKNKHIKKVKNEDTLAIDDMKHDEIFNRDYIPNWVAYTGYALLSIIAIIIIPVMFRQVKWYYVVVAYVLAPVLGFSNAYGTGLTDINMSYNYGKIALFIFAAWGGKDNGVIAGLVGCGIVKQLVQSLRRADARLQDGSSDADIAEIHAGGA >Sspon.02G0018570-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:55767500:55768073:1 gene:Sspon.02G0018570-2D transcript:Sspon.02G0018570-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding TMANAGLRAYREVLRLVRRLPAETRPYYAKYARENFVNYRDLSADDDLAALLRRAYTHSTWVLSQYSIDAGKAAARLKDLSDGHAGL >Sspon.02G0018540-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:59574384:59579003:1 gene:Sspon.02G0018540-1A transcript:Sspon.02G0018540-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:U-box domain-containing protein 32 [Source:Projected from Arabidopsis thaliana (AT3G49060) UniProtKB/Swiss-Prot;Acc:Q94A51] MATATAGSSSPPTPHADDSPEPSFPAEKVYVAVGREVAESRATLLWALHKFPKGAFVLLHVYSPPKFLPFLGARIPAAQVREQELAAHKEMELQRISDNLDQYLLLCAQEKRHAEKLVVESDDVAQGLVDLISEHHVTELVMGAAADKHYTKKAIQLSHEEMQECRQRSGVIHNSVEKSASLSEMWCVASTWLCKSIREPQIERTTSDPSYISGKENIKESYDSCVNFQHFLKELESARREAYEEKCRREEVERELYEAFQKAQASEILYLRELKQKNELEEKLTTIMEEIESLTIRTDELCLKLQGEREQRIVLEKRGAHSDRIIKDLMLQRDKALREAEKLHAKKGESMATAEGTMHITEFSYSEIKEATNDFDHSMKIGESVYGSVYKGFLRHTNIAIKKLNPESTQTQSQFNQEVEILSRVRHPNLVTLIGACKDAQALVYEYMPNGSLDDRLACKDNSKPLSWQLRTRIASNICSALIFLHSNKPHSIVHSDLKASNILLDGNNIAKLSGFGVCQILSDQFKATTTLYRYTHPKGSFVYIDPEYLISGDLTPLSDVYSFGIVLLRLLTGRSGFGLLKEVQQAVEKGCLQAILDSSAGGWPAIYAEQLAQDVMRDPLIAADGFTYEAEAIREWLDSGHRTSPMTNLELSHRDLLPNHALRSAIQEWLQTNGD >Sspon.02G0053450-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2C:105237431:105237802:1 gene:Sspon.02G0053450-1C transcript:Sspon.02G0053450-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LTGEPVPKPAAELIPEPTSQIRRRKTPSSPPRRPPPRLLVGSPSLMPPALDPPVVRLHAGLLLASTLDLARTEQGATPRQIWALLPCGEEGEEREGHRHAVVHPLLASLLDSGTDGAGGRPAR >Sspon.01G0058000-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:19080985:19082772:-1 gene:Sspon.01G0058000-1D transcript:Sspon.01G0058000-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MCDVPPERTHPGGSGMEPAEAKDEDKDDLTFWTRGPGWRGDGLLYYRVATICWPELVPRHQPGCPEIKQRAPTSCSRRGVKREDDMRAICCYLRRLYTTSEVRRVPTSNDEDAGLALWTCGIDGRWARPARPSRPCLSAARHLVTSRHIARLTALKLGRYEYCKGTNWSLMAKGRTKTLVLTRFPRSMDGVRL >Sspon.02G0017210-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:44229135:44242876:1 gene:Sspon.02G0017210-4D transcript:Sspon.02G0017210-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLPAFSQSARLPAVCSGDGGSRERRMGEWRRRIWGATRGALLDDMSILSYKGLGLMLVDYDLGEIGMVISASFLLELPIILSHLNPFYMKSKAEVSQVEDSIRELQQEINSAQLNATRLNEEGRKLSEELQGTIKNIGDIGKEYGASSLQCPAHLAIITRLKTVALVLPTPHGSMIEEDGRRFNQLKCQIDDLRQRQHDKVTAFGGDRVLRLYKSIERHKSRFKCPPVGPIGQHVQLASDSWSVAIDYALGKLLDAFIVSCHKDSLLLRECTKEVNYHNLQIIIYDFAKPQIDIPDHLLPSTPHPTVLSVIHSENPTILNVLVDQGHAERQVLVQDYKTGASVAFDQRIRNLKEVFASDGCKIGNWRAGRLCTSLEEKITEMEQEATEIRQIKSERLDRKRKLVADRESIDLELRRLKKKRENEELNLERKKAQLDDTKKISVDNKSARAEMETISDAEHDLQLVEGKIHDAEQKKAHYEVVMETKVLGPIRTTELELTGLRQLHQEYFEKASTICAESEVEALGGVDGSIEQLSARITKLNKKFQQESRRYTETIDDLRALHDKKGQKILRKQQMYAGMSKGIGLAMEEVPEKCRFNEHLGKKGISGHINVDYKNEVLSVEDAVSRKISLDTLVEFAVEQGSQWIFITPHDISMVKAGDRIKKQQMAAPRG >Sspon.03G0042110-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3C:50612047:50612271:-1 gene:Sspon.03G0042110-1C transcript:Sspon.03G0042110-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RWNGDSDQPRELSPGFPPLFVALRDGGPPAKNSWAPPIRARVKEKAVGLSIFRRSTLTFSPLISSLTLIFELSF >Sspon.06G0018930-3C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6C:63255161:63255948:-1 gene:Sspon.06G0018930-3C transcript:Sspon.06G0018930-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RRRRAGRGVVLALTAELYYIFVHKRRQRRRAAAISDAASSPSTSSRELLQLFCFKKPPAALASTYAVPEPGRHRRRGGVRRRRRRADDDDETVEAQLMRLSSLVGPTRLLFTIKEETREDLEASPSPVPTAAVDNSYNPLFESPVASLAGPGVAPAVSPPPKFQFLRDAEEKLYRRALAEEEAMRARRSPRSPAAAAEEDGGYITIVVAKNNRVIPMPSPSPSPPSAPGAGGH >Sspon.06G0022200-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6B:24277604:24279100:1 gene:Sspon.06G0022200-1B transcript:Sspon.06G0022200-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding FVRCVARLSPATADPSLLVHVPSAASYPSLLNATIQNLRFASPRTPRPALLLTPATVAEARACVACCRRHGLTVRTRSGGHDYEGLSYRSVPGAGARPFAVVDVAALRDVRVDAARRVARVGPGATLGELYYAVARDSGGALGFPAGICPTVCVGGHLSGGGFGPMMRKHGLGADNVVDAEVVDAEGKLLDRAAMGEGLFWAIRGGGGGSFGVVVSWTVRLVPVPRVVSAFTVRRLVRRGDRRQTQATVRLLAKWQRVAHALPDDLFVKAAMEPELDDAGERHPLVTFKSLFLGNCSGMVAEMSTHLPELGVRASDCRDMSWIQSMLYFYGYTEAAEEVLLDRSLQPKDYYKVKLDYVTSPIPAAGLAGLLARVVEDRGGSIDVDPQGGAMGETPESATPYAHRRGYLYNVQYLVKWGGDANVSYEDAHLAWVRGVHRWMTPYASARPRAAYINFRDLDLGQNVEGETTYEAARAWGEMYFRGNFRRLAMVKAEVDPDQ >Sspon.03G0007120-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:24607660:24610281:-1 gene:Sspon.03G0007120-2D transcript:Sspon.03G0007120-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPWSESEGKRAHDPIFKCSSQNHHFKEMRVDAAVALSERCTCIWVSGPIIVGAGPSGLAVAACLKEKGISSLILERSSCIASLWQLKTYDRLSLHLPRKFCELPLMPFPANYPIYPSKQQFVAYLESYAASFGISPTYNRTVVCAEYDEQLLLWRVRTQTSVTTGQEVEYLSRWLIVATGENAEAVQPDIRGLQEFPGTIMHTSAYKSGSAFTGKRVLVVGCGNSGMEVCLDLCNHNAEPHIVVRDAVHVLPREMLGHSTFGLSMWLLKWLPVHVVDRVLLCIAWAMLGDTAQLGLKRPAFGPLELKSLSGKTPVLDVGTFAKIKSGDIKVRPAVRQISGRVVEFADGGLEEFDAIVLATGYKSNVPFWLKDRELFSEKDGLPRKAFPNGWKGENGLYSVGFTRRGLMGTSVEARSIAHDIEQQWKAKGMHPDGSSSSRRYCLP >Sspon.04G0012820-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:59983939:59985149:-1 gene:Sspon.04G0012820-1P transcript:Sspon.04G0012820-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLGDALRQAFLMPRRAYAALRDDEHSFPPRLRRPLAVTAACCIAAAVAAAACVSLGIVFPAEPAERPFCRERRMLEALPAAASSREEEPEAYRYRGGAFYMTTAEAADFYWMVVFVPSAVLFGASVTYLFAGMSVAYAAPRRHPMICVVENNFCASRR >Sspon.05G0013800-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:48451557:48453074:1 gene:Sspon.05G0013800-4D transcript:Sspon.05G0013800-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFSLYFLGYEDVTSAPDDHIKRTEWTFRQKATLELTHNWGTENDPEFKGYHNGNSDPRGFGHIGVTVDDVHKACERFERLGVEFVKKPDDGKIKGIAFIKDPDGYWIEIFDHTIGTVTSSAS >Sspon.06G0003140-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:7804985:7806247:1 gene:Sspon.06G0003140-3D transcript:Sspon.06G0003140-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTSLFLVPLLIALLSATGPLPSADALNVKGRLVKTKTFLSPPIFLRPGDVADKYYLDIAFPRGHLALKSFNGEVVDEHGVPVPLHETYLHHWVVEPYYAAKDGAAAAAEGRPKMIPARNSGVCNHTLGQYYGLGSETRRTATWVPDPYGIEIGDPSAPPVGYEERWLLNVHAIDTRRVVDKLACTECRCDLYNVTVDQHGRGLPGDYAGGLRCCYDETRCAVEEGAFAVNGGEPRKVFLRYTVMWQDWSDAAVLPVKIYIFDVAACKVEYQVEECAGNGDGGECVHVQTATQVLPRGGDVVFGVAHQHSGGISSSLHGDDGRLLCKSTATYGEGQEAGNEAGYIVGMSTCYPKPGAVKVRDGEALTVVSRYSSERRHTGVMGLFYILVAEHDQQQLPAAGKAPALCFSFPVSCEQDSSF >Sspon.05G0005810-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:18463287:18467853:1 gene:Sspon.05G0005810-1A transcript:Sspon.05G0005810-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGWCEEAVALLQRPAVAEMAVDVLLCAVPIWAAVMIGLAVGWSWRPRWTGLLFLGLRTRLRILWVPPGLGARRLWLACTALSACSVAPRLLSSAFRRSRGKHQDKASPEDDAAAARDGGGCADGRTNFEGEHDTVTEKDLEHLLQLLDNKESGDTAWQNLMERTTSNMTYKAWRREPEEGPIMYCSRTIFEDATPELVRDFFWDGDFRLKWDPMLAYSKSLDEFPQNGATIVHWIKKFPFFCSDREYIFGGRIWESGKTYYCVTKGVPYPSLPKKEKPRRVELYFSSWRIRAVQSPKHVGQQSACEVTLIHYEDMGIPKDVARVAVRHGMWGAVKKLQSGFRAYQQMRDAENTLSHSAIMARITTKISITASNGPLDHDLAIAIKSVTRMTAPMQFNMVLTGSGWWLVVRWLRFVCSTPDL >Sspon.04G0030000-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4C:79117307:79119803:1 gene:Sspon.04G0030000-2C transcript:Sspon.04G0030000-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPSVVYEIFLSQRSSSNLRTVAMRFQEPITSRTEIKSLAATAVPSLATAMETDSSTSATMSLFLCATTAVIALVSFSLVLLARAGKNRKADAGRLPPGPPALLFLAKFLALRRSIFDLAPLLRDMHARYGPVISLRLARTLVFVADRRLAHRILVQGGATFADRPPPVDPNSLFTAGGRDVSSSPYGAYWRLVRRNLAAEALQPARVALFAPARRWACDGLVDSILHSRQTVGAAGAGADDVVTLRPFLRRAMFELLVYMCFGARLGQDELDEIEGLQHCALLSLTTFPIFAFFPAVTKRLFRSRWEANVAVRRRQDELFVPLIHANATRGNDGDTNPDPPCYADSLRALRVPEEGNRPLTDAEMVSLCSEFLNGGTDTTVTLVEWIMAELVNHPDMQAKVHEEVVRSSNGGDVQVQAMPYLKAVVLEGLRLHPPGHFVLPHGVRTDNADVGGYVVPRGAEVNFLVAEIGRDETVWKAAREFRPDRFLDGGEGCGVDITGSREIKMMPFGAGRRMCPGYSLGIHHAEYFVARMVRDLQWRPPVDGAAVDMAEELDFTVVMKQPLHALKKIKQLSGGSWAGVAVIVQELEWRPTVDGVVVDMAEASAFTVAMKQPLRACIVARR >Sspon.06G0025070-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:88374715:88378463:1 gene:Sspon.06G0025070-2C transcript:Sspon.06G0025070-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRNIITSLTSVQCMYTELADLQRKLAKEMNERRVFLVLDDVWNERRDCWEMFCAPMTAAKICQIIVTTWSEVVARLIQTIPFYPLNCLSFDESWLLFSKAAYIGEQESNSQTNRINICKSIVKKCKGLPLAIKTLGSMLRYETEESRWEDVLESKLWDLKEPRDEVLPALELSYKHMPTYLRRNFLALSLFPKDYELDSEEVCHLWKLLDLLDSDRCDDECEIGQWYLKELVGRSILQPHPIYGDSYLLHDLVHDLACFFSGEEFHRVEGDTLSQIPQNVRYLSINKAITSADISVFPHTLRAIRVLEHCTKISPSAVPEALFSKCKRLRALDISHSMGLGMALHDGVGNLKLLRHLFVQIDRLVGKSTSHHVHNLVLSGIRHLTRLQTLPGIHISRRHCSLNIRDLRNINRVRELSICGLSNIRHVEDATEAQLQRKKHLRSLMLSFNGGEGQCPCRLHEKHLNIPHNQLLDSLRPHHNLRELSIHRYDSGKYPSWLGNTSFSMLSRIAICRGESKHLPKLGALPSLKYLTVNDMKYMIEWSEWPGTDAGGFPCLNTLSISFCPKMISLPLGPFQSLITLNLRWCDSLARLPESPSLRKLEIGYCPALTEIPTLSSLLVLIVKCPNLCAVGSVPALTTLNLKSGLSDKLMYSPLNDFPSLQCLNIDDSEFTCVPIKQQSLPSVTRLCINKCPNLQYCDGLASLTSLEHLEVGECPKLPIDDLLPPQLKTPIVEDIEHVSVTRTPELMHDVRVLCLTLDWFVREHNRGMVWTFVPWRKKHGSWCEVPDLILLTRTLSANKWGQ >Sspon.08G0008020-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:28994719:28996207:1 gene:Sspon.08G0008020-1A transcript:Sspon.08G0008020-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MWLTKALSMHITFQGALTVFLINAFWVGCLLGKFPIYYCNFPKDLVTTGNNDFREMWGNFQRRWEKITDIMQQKSVQKMTWNLKIQIQALKSPYISCQRLERFHLDHKMLDKVEVLDNSYMLLSPVSVYNGLDICLLAWVLWPDEESRTVLNLEKFVKRRLHSEAAAAANRDGRWRNQMHKAAHNGCCRRAAQTRALYTVLKKLLTQNLSDLVETIEAPLVNVLADMELWGIGADMDACLRARHIITKKLKELEKEAYRLAGKNFSLNATADIADILYTHLKLPVPKGCEKGKLHPSTDKQSLDHL >Sspon.07G0033340-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7C:59664922:59665211:1 gene:Sspon.07G0033340-1C transcript:Sspon.07G0033340-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPWDGADHWEELELDAAMEQSGVQHTLSEEVSPVLLSTLLPPAKAPKCPSKPSHSHQDEMLCHRRFRVYTWTTIKTN >Sspon.05G0018160-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:73655686:73660119:1 gene:Sspon.05G0018160-3C transcript:Sspon.05G0018160-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABC transporter G family member 25 [Source:Projected from Arabidopsis thaliana (AT1G71960) UniProtKB/Swiss-Prot;Acc:Q84TH5] MPPNAHQDVRGSSAGGLAMTMTSPPPATATAAAASKMDCFLTTVCTPLHIQFVDVSYRVKQTERSASSSSKAPPPGGRISAHSAAATAGAVPASEERTILKGITGEARPGELLAVLGPSGSGKSTLLSILGGRLTGRHSGTVLAGGRAPCRAVHRRTGFVAQDDVLHPHLTVRETLTFCAMLRLPRSAPAAAKSAAAEAVIAELGLGACADTIVGNAFVRGVSGGERKRVSIGHELLVNPSLLVLDEPTSGLDSTAAARLVATLSALARKGRTVVLSVHQPSSRVYRMFDSVLLLAEGSCLYFGAGRDAMDYFASVGFAPGFHVNPADFMLDLANGFAQADYNVTAEDGNNVKQSLISSYNKVLAPRVKASINAGAATEHAGEPLPPVESCSGSTSWCNQFTILLRRSLKERRHETFTSLRIFQIMAPALVAGAMWWRSTSLAVQDRLGLLFFVSIFWGVFASFNAVFAFPQERPVLARERASGMYALSSYFMSRMAGDLPMELALPTAFTVVVYLMAALNPSPAAFALTLAVILGYVLVAEGLGLAVGAVMMDAKRASTLVTVVMLAYLLTGGFYVHNVPGFMVWAKYTSFTYYCYRLLIAVQYGGHLKRLLPPEAVDGEAGPGACVAALVA >Sspon.07G0015780-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:60452677:60453918:-1 gene:Sspon.07G0015780-2B transcript:Sspon.07G0015780-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPSSPSPTRSPTSSSYWCYQCDRFVRAAPASPSPACPSCGGGFLEEMGAPPPRTAYLRRPRLHHHHAHHHVAAAAADLRPRRARRGGGAGSDSRASPFNPVIVLRRSPPTATADAADGSSSPTANPITGGSSFELFYDDGAGSGLRPLPDSMSDFLMGSGFERLLDQLAQIEAGGLAAARARDAPPASKAAVESMPVVSVGAAHVAADEHCAVCKEPFELGAEAREMPCAHIYHADCILPWLALRNSCPVCRHEMPTDAPRAATAGAGATAANTANGAGEEETTVGLTIWRLPGGGFAVGRFAGGRRPEERELPVVYTEMDGGFNSGGAPRRISWGSRQTASTDRGTVRRFLRNVFACFGGRGHASSSAASRSHAMPELNDDDASDHSAAFSHGSRSRSTSWRLEDGHADAM >Sspon.05G0008460-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:25510179:25511780:1 gene:Sspon.05G0008460-1A transcript:Sspon.05G0008460-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVASTSFAYHKPRFAVVCRKNKDGRDRERERDGKEHKHPFKVVEITPPPRCLGVRCFPTNIHCGESVTIEGQAYTVSAVTHRYQLRKGRYEPSEKRLDVLSTGRYILNLYLQNLLDQS >Sspon.05G0010320-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:24311335:24316427:-1 gene:Sspon.05G0010320-2B transcript:Sspon.05G0010320-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Signal recognition particle 19 kDa protein (SRP19) [Source: Projected from Oryza sativa (Os06g0342100)] MDGGGGDLRSTIKKWNVIYPVYLNSKKTVAEGRRIAAAKACPDPTCVEIADCCSHLKIPHAVELDKAYPRDFFQVGRVRVQLKKDDGSPVNPTIKTKKQLMIQIAELVPKHHGRTKKQEAATSSSAGGSSKNTKGGKKKK >Sspon.07G0018980-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:69160185:69175126:1 gene:Sspon.07G0018980-1A transcript:Sspon.07G0018980-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAEEDGPVEEGVGESSSPPREDAAAPAPAGSGGGSGGGSGGGARNICAQVLERLVADGHAEASDPDFRDRLAAHFGRLPHSYQLDINVDKAADVLVHQNVLAEAKDPDRRPAFYVRFLRIEDMDPAYDSDASEEGDDDGDDLSVRQDTPYTHIHEVVFSTIDKPKLLSQLSALLSDIGLNIREAHVFSTHDGYSLDVFVVDGWPIEDLVCRLPLPKTNKTVLSLLTQGSWSGSSHSSAAERTLPFQVKGGEWEIDKRLLKMGGIIASGSCGDLYHGTYLGEDVAVKVLRAEHLNKNVWNEFTQEVYILREVHHANVVRFIGACTKPPQFCIITEYMSGGSLYDFVHKQHNVLNLTTLLKFAVDVCRGMCYLHERGIIHRDLKTANLLMDKDHIPYDTMTPLQAAVGVRQGLRPGLPKKTHPKLLDLMQRCWEADPSNRPAFSDILDELEDLLAHVQGTSGKTVQDPSNNSNTKE >Sspon.02G0012810-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:34202753:34204072:1 gene:Sspon.02G0012810-1A transcript:Sspon.02G0012810-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVEARKDAPPARGKAMHRFLVALNCGMLALGAIGGPLLSRLYFSKGGHRQWLSAWLETGGWPLLVIPVAASFLARRARDRAAPVLLTPPRILLAAVALGVATGVDDFIYAYGLAYLPVSTSAILISTQLAFTVFFAFLVVRQRLTAASVNAVALLTVGAVVLGLHVSSDRPPGVTRGQYWLGFTLTLGAAALYGLVLPLVELAYKRAAGGGRAVSYALVVEMQLVMGFFATAFCTVGMVVNKDFQPVRNGTDTGARNLPAGFATVATSSSLPISRPGPVFMHVANRPQRMSGKGQRLVRRVALVHSCLPVAFV >Sspon.01G0038150-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:27826062:27829570:1 gene:Sspon.01G0038150-3D transcript:Sspon.01G0038150-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQPEHADPPGRAFPPPAAPAPAAARGAHHRRARSEVAFRLPDDLGLGGGGGPDGDAFDEIGSEDDLFSTFMDIEKISSSGPADRDRDRAAETSSPPRPKHRHSSSVDGSGLFFSPGIGGGAGKDAAASLAEVMEAKKAMTPDQLAELAAIDPKRAKRILANRQSAARSKERKARYITELERKVQTLQTEATTLSAQLTLFQRDTTGLSSENAELKIRLQAMEQQAQLRDALNDALKQELERLKHATGEMTNSSETYNMRFQHQNASPHLGSTQLPPPFHPPHPNVPNHQMLSHPNTLPDIMQQESLGRLQGLDIGKGPLVVKSESSSISASESSSTF >Sspon.08G0008900-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:34487028:34490584:1 gene:Sspon.08G0008900-2B transcript:Sspon.08G0008900-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSICSKLLALLRKSRALTTTSTAAAAAATASATASANGMEEAVAAAGPLRTRVCIIGSGPGAHTAAVYAARAELKPVLFEGWLANDIAAGGQLTTTTDVENFPGFPDGILGAELMDRCRAQSLRFGTKILTETVTSVDFSARPFRVASDDTVVHADSVVVATGAVARRLHFAGSDAFWNRGISACAVCDGAAPIFRNKPIAVVGGGDSAMEEANFLTKYGSQVYIIHRRNAFRASKIMQARALSNPKIKVVWDSEVVEAYGGAEGGPLAGVKVKNVVTGEVSDLQVAGLFFAIGHEPATKFLGGQLELDTDGYVVTKPGSTHTSVKGVFAAGDVQDKKYRQAITAAGSGCMAALDAEHYLQEVGAQEGKTD >Sspon.08G0012650-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8A:54085637:54089393:1 gene:Sspon.08G0012650-1A transcript:Sspon.08G0012650-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLVFISGDCNFLFLISLLPKLSHPSPTALPTATARRSRAYGSPARCQKWWSSPAPEPAAPRPVGPGYEHAPSVAVTGSGTGDSRGPSSACHSWFLRHLLDMATDASCVCVQLFCPYGPKLRSECLELLDARGKVIGCDGPLVRRQPGSPGDCSGAGGRVPHVRGSSQVSALYVWVARKPPGFAFIDFDDKRDAEDAIRDLDGKNGWRVELSRNASSGRGGRDRYGGSESKCYECGETGHFARECRLRIGSGGVTVLQHALQGVVACHQLVDAAIAGIVLHCLNDSADYGFQFCLHGFVLRSSFFAHPRMLHSISRVLVCLRVFVNHMLR >Sspon.05G0006860-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:21481987:21488559:-1 gene:Sspon.05G0006860-1A transcript:Sspon.05G0006860-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSVVQSLRQLRRFAQLHAERHSQATRLFRQQNALIMCGLTSRSLSMLRRNGEISRFASPGVELMRSMFSTVAADSIKDVGRGGPMVEYERRIASGELVDGDSFQVDTIQQLQRLYEELIENEEDCQLDRYKSSEKSGRSRWLWSRLITQPSTYAPVKGLYLYGGVGTGKTMLMDLFYEQLCIKVTDVADAMILNRLFRQLFSKGVILVSTSNRAPDKLYEGGLQRDLFLPFIDTLKVMYENKARLLCTAEAGPIGLFENIVTVAEAQKVSPRYSRSQKSDDPDLCVDNELGFAKDRTISRLTEINSREYLEDFEMRLRRQQQLPLQDPTICARKAHKVLLS >Sspon.06G0029520-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:24211422:24217574:-1 gene:Sspon.06G0029520-1C transcript:Sspon.06G0029520-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLSGGALAWQQYRALLRKNATLTWRNRRSAALQLFSSLVFIFLIFCIDRAVRSRFSSTTAYRNVPDPEPLIAPPIPPCEDKFFIKSPCYDFLWSDGGSDRIRGLVDAIRNNNPGRPIPPEKVLGFRTPDDVDAWLFQNPMRCPGALHFQDINATQIKYGIQTNSTPVARRGTYEDPTFKFQIPLQVAAEREMARLLIGDPNFSWTVGFKEFAHPATETFSTIAQAGPTFFLAIAMFGFVFQISALVAEKELKLRQAMSTMGLYESAYWLSWFTWEALLTTLSALFTVLFGMMFQFDFFLHNNFGILFLLFFLFQLNMLSFAFMISTFVAKAASATTVGFAIFIIGFLTQLVTTFGFPYSADYKKLYRTLWSLFPPDLFAKALNILGKATATPEDKGISWNQRGECPSFETDCVITIDDIYKWLISTFFLWFVLAIYFDNILPNVNGVRKSVFYFLMPSYWTGKGGKMEEGGLFSFFGSSRPADDATPTDEDVLAEQNLVKEQAANNAVDPGVAVQIHGLRKTYPGTFSIGCCCKCSKSKPFHSVKGLWVNLEKDQLFCLLGPNGAGKTTTISCLTGITPITGGDAFIYGHSVRSTVGMSNIRRMIGVCPQFDILWDALTAKEHMELFASIKGLPPAAITSVAQESLAKVKLSQVTNARAGSYSGGMKRRLSVAIALIGDPKLVFLDEPTTGMDPITRRHVWDIIEEAKKGRAIVLTTHSMEEADILGDRIAIMAKGKLRCIGTSIRLKSKFGTGYIANVNFSGNGHMQSPNINSNTEAPVNPNIEAVKWFFKERLDINPKEESRTFLTFVIPHHKEPLLTRFFGELQDREGEFGISDIQLGLTTLEEVFLNIAKQAELESSTAEGTLVTLNLSSGATIQIPKGARFVGIPGTETEDHPRGLMVEVYWDQDENGSLCISGHSDEMPVPVHAELRRPPSLSRRASMGRGGPVGYVIDLNQAP >Sspon.03G0022250-4D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3D:54201511:54204595:1 gene:Sspon.03G0022250-4D transcript:Sspon.03G0022250-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding QGIPPV >Sspon.08G0013700-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8A:57000798:57001145:-1 gene:Sspon.08G0013700-1A transcript:Sspon.08G0013700-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHGSGRKSERAYPAVVPGSGWFHGCRGGAADLYPRFVLLQPLPCHSDSVTRPVQRFRSHSVGFGWVSNWARSKNANWPDQPTSWETKFSFSVRAFKHAFVWSLYLRSLATTSCF >Sspon.05G0008300-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:19486749:19491741:-1 gene:Sspon.05G0008300-2B transcript:Sspon.05G0008300-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAAAARFVNYPLVAALLAFAVAQSSKFFTTWYKDGRWDARQFIASGGMPSSHSATVIALAVAVGIQEGFRSATFATALVFACVVMHDAFGVRLHAGKQAEVLNQIVYELPEEHPLSETKPLREILGHTVPQVVAGCILGILMAVVMHLALGSS >Sspon.08G0011060-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:45810768:45821565:1 gene:Sspon.08G0011060-4D transcript:Sspon.08G0011060-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding METAILLDLVREEVSRESRRADNDCVEDDGFRGNGLPHWASHALELVELILRPPEGGPPCLPDHSEQVISALNLLRFILIIDSRGERSGKLFQKETLHKVHLEWLTPLRQIAAEIQLENEKDADEITNEIVCTLNLVQLVLYRCIELVEEKLKGC >Sspon.02G0022560-1P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2A:75195518:75196039:-1 gene:Sspon.02G0022560-1P transcript:Sspon.02G0022560-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATGLSVGKSVLNGALNYAKSAIAQEVALQLGVQRDQAFIRDELEMMLSFLMAAHEERDNHKVVKTWVKQVRDVAYDVEDCLQDQGVRIGKPSRWCFLRTLVDRHRVATRMKESEPRLKMSARGTCGIASSRTLMAPSLILELGHQAFLVQQCLAMRKQGGTRTKQKWIFPG >Sspon.07G0023320-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:14168047:14181219:1 gene:Sspon.07G0023320-3D transcript:Sspon.07G0023320-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LGIDCAAAYNNEKEVGLALKKLFEDGVVKRDDLFITSKLWAANHAPEDVQEGFDTTLQDLQLDYLDLYLIHGPIRIKKGTSMMCPENFIPTDIPTTWTAMEKLYDSGKARAIGVSNFSCKKLDDLFAVARVPPAVNQVECHPVWQQDKLRRLCQSMGVHLSAFSPLGSPGSPGINGPSVLKNPIVVSVADKLQKTPAQVALRWGIQMDHSVLPKSANESRIKENIDIFDWSIPEDLMAKIPSAGLGTWQIEPGAVSDAIYAAVKAGYRHIDSAVAYRNQKEVGLVLKKLFEDGVEDICSALEDLRLDYVDLYLIHGPIRIKKGTMFIPENLIPTDIPATWGAMEKLYYAGKARTIGVSNFSCKKLQELLAVAGVPPAVNQVECHPVWQQDKLRKLCQSTGIHLSFSLAEFLLAYSPLGSPGSPGYSGPSVLSDSIVISVAEKLQKTPAQVALRWGLQMGQSVLPKSANERRIKENFDIFDWSIPHDLMAKLLKVEFVVHPKSGYNSLDDLWDGEMAKFFLLNTGAAIPSVGLGTWQISPAVVEDAIRAALQVGFALRKLSEEGILKREDLFITSKLWCTDHDPEDVLEAIDNTLQYLQLDYLDLYLVHGPVRAKKGTRLSTENILKPDIPATWKAMEKLYDSGKARAIGVSNFSCKKLEDLLAVARVPPAVNQVECHPVWQQDKLRKLCRSKGIHLSAYAPLGSPGSPGNDGPDVLSHPTLISIANKLQKTPAQVALRWGIQMGQSVLPKSDNEAWTRENIDLFDWCIPDELMA >Sspon.01G0022910-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1A:83269363:83271041:-1 gene:Sspon.01G0022910-1A transcript:Sspon.01G0022910-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIVTLSLILTFLLIIRKLTRSYYTASSPPSPTPTKSAAAAALRLPPRPWQLPLIGSLHHLLLSRFSDLPHQALREMSGTYGPLMLLRFGSVPTLVASSAEAAREVMRTHDLAFCSRYLSATLDIISCGGRDILFSPYNDRWRDLRKVCMLELFNPRRVLSFRTVREEEVARLLRSISDQCGGHHAGAAVVNLSDGICRMVNDVVVRTAIGDRCKHRDEFLHELDEAVRLTGGFSLADLYPSSRLVRRFSVAARDMGRCQKNVYRIIESIIHERKVAATRVPADRDDDDDLLGVLLRLQREGGLQFPLTNEIVSTVIFDIFAAGSETSSTVLVWAMSELVKNPRVLHKAQSEVRETFKGQEKLTEDDMSRLSYLHLVIKEALRLHAPVPFLLPRQCREACQVMGYDVPKGTKVFVNVWAIARDDKVWGDGEVFRPERFESSSVDFRGNDFEFTPFGAGRRICPGITHGLANMELVLASLLYHFDWEQPGGAGSGDLDMTEAFGITLRRKSKLMLKAIPRVK >Sspon.04G0014780-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:60242173:60243971:-1 gene:Sspon.04G0014780-3C transcript:Sspon.04G0014780-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADTKVLPAVTLRTRKFMTNRLLSRKQFVLEVLHPGRANVSKAELKEKLAKMYEVKDPNCIFVFKFRTHFGGGKSTGFGLIYDNLDSAKKFEPKYRLIRNGLATKVEKSRKQMKERKNRAKKIRGVKKTKAGDAKKK >Sspon.08G0016800-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8B:61321009:61321533:-1 gene:Sspon.08G0016800-2B transcript:Sspon.08G0016800-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVNAKCCTLAALLATLAVAAIVTAFFVLLCPARITFSVARTGSSHNSSGAAGGGSSVLSLTLAADNPSRRAKVTYESMFVDVSNSTAPGAQGDNWVRATVTTRMPLPLVDAPWTQDFTGNMSSLFSVMVTAQARFRVGVAWTRLYDIKVSCSPVSFFTAKAIPAGAAGGAAGLP >Sspon.07G0011050-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7A:37293088:37295122:1 gene:Sspon.07G0011050-1A transcript:Sspon.07G0011050-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein phosphatase 5 [Source:Projected from Arabidopsis thaliana (AT2G42810) UniProtKB/Swiss-Prot;Acc:Q84XU2] AMYLARGNHESKSMNKIYGFEGEVRSKLGEKFVELFAEAFCWLPLAHVINNKVFVVHGGLFSVDGVKLSDIRSIDRFCEPPEEGLMCELLWSDPQPQLGRGPSKRGVALSFGADLPDLIVRSHEVKDEGYEIEHDGKLITVFSAPNYCDQMGNKGAFIRFTAPEMKPDIVTFSAVPHPDVRPMAYANNFLRMFQ >Sspon.03G0017540-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:42123780:42124301:-1 gene:Sspon.03G0017540-4D transcript:Sspon.03G0017540-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTRALLLLVAAAAALLATPPSAVNAATLADICKGTAFPAICTSTVGPEAASNPVLDPMAVLRMQVDAFNARTEAARAHVKEAALTASPKVRTVLDLCNNLYLDVEDNLGACRRAIGFKDAVTIRATMGMAAQDMQNCDEQFRQIGEPNPMEQFDASLVEMSENCRSLSNMI >Sspon.08G0010390-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:45445690:45451635:-1 gene:Sspon.08G0010390-1A transcript:Sspon.08G0010390-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to RAD23, isoform I [Source: Projected from Oryza sativa (Os06g0264300)] MKLNVKTLKGTNFEIEASPDASVAEVKRIIETTQGQSTYPADQQMLIYQGKILKDETTLEGNGVAENSFLVIMLSKAKASSSGASTATAAKAPATPVQPAAPVAPVAPATSVARTPTQAPVATAETAPPSAQPQAAPATTVAASGDADVYSQAASNLVSGNNLEQTIQQILDMGGGTWERDTVGIPENVEAPPVARAPATGQQTNQQAPSPAQPAVAPPLQPSAASAGPNANPLNLFPQGVPSGGANPGVVPGAGSGALDALRQLPQFQALLQLVQANPQILQPMLQELGKQNPQILRLIQENQAEFLRLLEGMGFNRELVLEVFFACNKDEELAANYLLDHGHEFDEQQQ >Sspon.04G0034660-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4C:77335037:77335399:-1 gene:Sspon.04G0034660-1C transcript:Sspon.04G0034660-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRTWRESPASVPTAGHARNTPDGLDTTLADVGGALHSDSVEEEPTADAKAAMLRAAQELLHHRTSVTQLSAVAHLMAVMSRHNLSGEGMDQFLGLLDDLLPENHKMPKTVNECKSLLVV >Sspon.05G0012470-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:32535543:32536568:1 gene:Sspon.05G0012470-2B transcript:Sspon.05G0012470-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLDLPQAGNTAHNPYTGCEAHLLFPLLAPLPRAHRPKTLAQPPAPARPTRAMATADGEPQPVATDPAQVPAPAPSPSPAKRKPEADAEADADLAPPKAARPDVDEEAAAVAEVARARASAADKGKGKMVVEEEEEEEEDDSEESSDDEEGGGGGSDDDDELVEDPMAEVDLSNILPSRTRRRAPQQPGAYLVTPEEAAAEDDDDDDADVVPEEDEGAEGEESD >Sspon.08G0008880-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:38555021:38558680:1 gene:Sspon.08G0008880-1A transcript:Sspon.08G0008880-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEDLGWILLYKRISSDLGGTVTVIRRRALLRKNAIVENGDEKTRGLYPVMQLPTPDLPTNDLLSRGRARYHCLAAVTELRMLDGMARQLFGREDAITEAKNRNTWKARR >Sspon.03G0042590-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3C:70973469:70975503:1 gene:Sspon.03G0042590-1C transcript:Sspon.03G0042590-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VHEKKLTDLVKLRQRNDESVEGFVQRLRDVKNRCYSLVLDDRQLADLAFQGLLPHLKDRYASQEFESLSHLVQRISDQDIRVFEPKRNWNKKISFIGEVEDSDSDEEPVIGLAEWVKNKKPISCPFGQKESEKFTFDITKADKIFDLLLQEGQIKLSPNHVIPSAEELKKMLYCKWHNATSHSTNECKVFRQQLQLAIESERIKFGNSKIQKPMKIDQHPFPANMLDAEGKIKCNGYYGVNRSERRFQPSNQGLSINEPIRGKASIHDRLGGRLSVHERLGKRAAYFPRNQEELEEMANARVPDVEIFYRDPNIRRVESTRTYYQPVWKTKLPQWCPEGLTKTQKRRMQRERQEDLYREENSSNERFSNQQWQIKHKNKGPSADVNMVFMLSMEFLALSDNEEEVVFSDQIAQLTLDPMMAVFEKPTNDERQHLKALFVKGRVDEQPVSKILIDGGAAINIMPYVMYRKLGTGDQNLTKTDMMLKDFEGNVSPAKGAVCVELTIDSKTLPTTFFVINGKGAYNLLLGRDWIHANCCVPSTMHQCLVQWIGDKIEVVPGDSSYIIASAESDTYE >Sspon.05G0023730-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:8613143:8616880:1 gene:Sspon.05G0023730-1P transcript:Sspon.05G0023730-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSLEARPGVLLVGAPGVGKRTILSPDLSIWTANLDEEFSLGSLPHLDQLAALVMIFDMNDESTLLTLQSWAANVDIQRFEVLLCIGNKADLVPGHSAHIEYRRRMQNLGESSSDPHPDYFDFGINESEGCSLLSEEEPCIEIRNSTAQWCIEQNIEYIEACASNADFDKCLSVDGDSQGLERLFGALSAHMWPGMILKSGNRITAPSLVEKEESTDDESNYDFEYEVLSHGSDDQWEFVGETSTSRSFERSNEVDGTQDHTHQVVKAGTDSSAPNPLPSNMPTETAEENTVTQSNKTDNSDHVDMTTAYSTEDNQSDLPEANNLFEDEHYGLGDLEKIMSEIGNMRSNLRLMPDFQRREMAAKLAMKMAAMFGGDDEEAFEDI >Sspon.02G0028210-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:102402474:102404462:-1 gene:Sspon.02G0028210-1A transcript:Sspon.02G0028210-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MERSPRSVSPFVHTYKEAILGFAIDLTNDEAEYVKSKDGVLMVYKDTHLPLLTTHTPDFLSLRPNGGAWDGLGMGEGSIIGLLDTGIDSAHSSFGDEGMSTPPPKWRGSCHFDSGHCNKKLIGERSLIGGPNNSEVPLDDVGHGTHTASTAAGMFVQGASVLGSGNGTAAGMAPRAHLAMYKVCNEQGCYGSDILAGLEAAIADGVDILSMSLGGHPQPFHEDIIAIRTFSAMKKGIFVSCSAGNSGPLTGTLSNEEPWVLTVGASIMDRQMEAIVKLGDGRSFVGESAYQPSSLGPLPLMFQSAGNITGNVVACELEGSQVEIGQSIKDGGGAGVILLGAEDGGHTTIAAAHVLPASFLNSQDAAVVRQYINTSSKPTASIVFNGTSLGTTPAPVVAYFSSRGPSTASPGILKPDVIGPGVNVIAAWPFKVGPNTAAGREHDTTFNSISGTSMSAPHLSGIAAIIKSAHPDWSPAVIKSAIMTTAYVVYGNNQPILDEQLNPASHFSIGAGHVNPSQAISPGLVYDTDVEQYIMYLCGLGYTDSQVETITHQKDACNKGRKIAEAELNYPSIAVRASAGKLVVNRTVTNVGDAMSSYTIEIDMPKEVEATVSPTKLEFTKLKENKTFTVSLSWNASKTKHAQGSFKWVSTKNVVRSPIVIF >Sspon.04G0016930-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:61847045:61860334:-1 gene:Sspon.04G0016930-1A transcript:Sspon.04G0016930-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFREEDEAARVYAEFVESFKGDSSSGAKFVRGGVIDPNAKLKIDSEGGKSKDGGSVPKKGSRYVPSFLPPSFAKEPEKKKEEERPKEKEKGKPRVIDKFLEELKFEQEQRKKRSQDRDHRHEGRHSDSSMPSSRFDELPDEFDPTGRFPGSFDDGDPQTTNLYVGNLSPKVDENFLLRTFGRFGPIASVKIMWPRTEEERRRQRNCGFVAFMNRTDGQAAKDEMQGVVVYDYELKIGWGKSVALPSQALPAPPPGHMAIRNKEGGTVILSGPGGPAVASVTPQTSELVLTPNVPDIVVALPDDGRLRHVIDTMALHVLDGGCAFEQAVMERGRGNPIFDFLFDLKSKEHTYYVWRLYSFAQGDTLQRWRTEPYIMITGSGRWVPPPLSSNRSPEREKESTFAAGRSRRVEVERTLTDSQRDEFEDMLRALTLERSQIKDAMGFALDNADAAGEIVEVLTESLTLKETSIPTKVARLMLVSDILHNSSAPVKNASAFRTKFEASLPDVMESFNDLYRSITGRMTAEALKERVMKVLQVWADWFLFSDAFLNGLRATFLRSGNSGVVPFHSLCGDAPEIEKKGSSEDGNDGFKLNEDGALATGKAAATKELLGLPLAELERRCRHNGLSLCGGKEMMVARLLNLEEAEKERVYEKDVDMKYVQGEQHTIVSDVNAHSTSKFGESPNGDELDVSRNNMRAGKGRSGESASAELEPFPSKKPKYDPVLPASKWSREDDISDDEDRKGGRGLGLSYSSGSDIADDLGKVDTTEASTDHTSHHHDTIVDEEHRQKLRQIEISVMQYRESLEEQGLRNSDEIERKVASHRRRLQSEYGLSTSTDGANNRRSSERTSSERKERHDDAHEYPRKRRRSQSRSRSPPRKSQERDREHNRSRDRSHGNDAGRDRVREKSASRGRDDHYDRSRDREKDRRKGR >Sspon.08G0012680-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:54107470:54112601:1 gene:Sspon.08G0012680-1A transcript:Sspon.08G0012680-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGSTNPFGQSSTSPFGQTSFGTPQGFGQSSTAANNPFAPKPFGSPMTTFGAQTGSSLFATTSTGAFGQQQSTPAFGTTSTGAFGQQQSTPTFGTPSSSPFGSSTPAFGASPTPAFGATSSGSLFGQKPSFGGFGSSPSQSNPFGSTFQQTQSTFGSSTFGSSTTPAFGTTTMPAFGTTTPAFGTTTTPAFSALTTPAFGSTSTSLFGASSTPAFGSTAFGTSSTGFGTSGTTAFGVSSTTPGFGSSSTPSFGTSASTFSFGSSPSFGQTTVSSGSTPFGTTPSPFGAPAPAFGSQTAAPAFGQPQFANQAGGTRIKPYSQTPDVDSATSGTQPAAKLDSISAMPEYKDKSHEELRWEDYQRGDKGGPNTSGTPAVAPSFPSSTPQNAFVSTSNSNPFNQPATNPFSTNNLFAPKPASTITSPFSTASFNNVSVASPSPFTSSSSTTMFGQTGVSTFPASNSPSLFGNTNPAPSTSPLFGTSMTNNSSPFGSGSSLANTQSAPLFSSAFAQQTSSTPAFSSGSLFGSTNPGGLFSSSGPSLFPTPSPPAQTSNIFSFQPAIQPAASTGGFPGFSNTMNQALMGQPTPSQSSMVMQPAPVSNPFGTLPAMPQMSIGNGGSSPSVQYGISSLPVAEKPLPSRSLSMAVPRHLSQRRIKLLPRKYNPISDSKVPFFADDEESPATPKADAFFIPRENPRNLVIRPIEQWPSRRETDRQSTLKNATDLNKYEVENDNQHEARAMVQHGHGNVTSVERLLPKLPRADYFTEPSVEEIAAKERAEAGYCGRVRDFVVGRHGYGSIKFLGETDVRCLDLESIVEFNNREVIVYKDDNKKPPVGEGLNKAAEVTLLNIKCVNKKTGEQYCKGARVERYREMLVKKAEEQGAEFVSFDAAKGEWKFRVKHFSAYGL >Sspon.06G0013290-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:57078423:57093188:1 gene:Sspon.06G0013290-2C transcript:Sspon.06G0013290-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATITNTRKALMLILTMALLPPSILGARTRPSSPHHSQGHKRSPPPASPPPPPPPPAAPAADAGLVRTTCNSTAYYDLCVSTLGADPSSATADVRGLSSIAVSAAAVNASGGAATAVALATGGNSTTSVDGTVQALLRTCATKYGEARDALSAAKDSIAQENYDYASVHVSAAAEYPQVCRVLFQRQRPGDYPPELAAREEALRRLCTALMLILTMALLPPSILGARTRPSSPHHSQGHKRSPPPASPPPPPPPPAAPAADAGLVRTTCNSTAYYDLCVSTLGADPSSATADVRGLSSIAVSAAAVNASGGAATAVALASGGNTTTSVDGTVQALLRTCATKYGEAHDALSAARDSIAQENYDYASVHVSAAAEYPQVCRVLFQRQRPGDYPPELAAREETLRQLCTSSPSAKHPPPSPPSSPPSVPPPAPAAAALVRATCNSTAYYELCVSALGADPSSATADVRGLSAIAVSVAAANASGGAATAVALAANGTAPAGTATSADGTVQALLHACAAKYASARDALAAAGDSIALEDYDFASVHVSAAAEYPQVCRTLFRRQRPGQYPAELAAREETLKQICSVALDIIALLSNSS >Sspon.02G0013350-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:13801537:13805544:-1 gene:Sspon.02G0013350-2P transcript:Sspon.02G0013350-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVGAGGGGGEIGAGLRGAGAGGASVRRRKCGAVVEDGEASGCSASSTSRGSSARGSSGGDSPLTRFVRRGGRLGTDPEREETLTSSSSYGSTEPQEEDDDGALEAAKDKRWVHARPQGQQTKNAAVPSFTGERQDQRHPLGAVLFQGRKQDRAQRPASLDFGCPGVARSSTHSPGFPVTGVGVMNKGLGISNSSSHGRPDVLSSPGTPPSYNRRGMAGVGYQQGPNSERVIPPSAGHRRHPGSGMVLPYSSGRTLPSKWEDAERWIFSPNPNNTLGRSVPQLWRPKSKSGPVGSPGRFDGAYSCVSSSAQLLDNGRVGTPTVNAPYMAGVLLPEHVCGGVMDWGRDLSGASGEDSSNGRGGRSAQMNGRHPVMQSTRVSQQLGSAVESYQSLLTSLESIQDGGIESIKDSATSSAPILVRKDVATQTSPDISRSSSPSMRASFSRSLSAQQVKELESCFSKLEVRDVQVDDRVTLTRWSKKHVTRGSDKNAPNIIEWKKKTMDSKSSAWEVTETAKCISKIEGEEAKMTAWDNMQKAEAEAAIQKLVIKLEKKRPYSLERIFNTLRSGSRKTQVLRMTSTANQDQHISRTIKTAPHLSKNGQMSSLSGCFT >Sspon.06G0019380-3D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6D:866075:866464:-1 gene:Sspon.06G0019380-3D transcript:Sspon.06G0019380-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGRLPFGSEGVAGGHDGERACWESHPGMGATVSVRGDKLSLGNRGDLVWSGKGMPEHGAWYAWLRAAGHACVSSRPCAAAAAAAGSPARGGCVRQTWKGGGGGTCVEAKLRWSDDRRVGRDSSTGGKE >Sspon.03G0043250-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:44547031:44553923:1 gene:Sspon.03G0043250-2D transcript:Sspon.03G0043250-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAGGAEDEDDAEPGNPRKRKKRYHRHTPHQIQELEALFKECPHPDEKQRGELSKRLGLDPRQVKFWFQNRRTQMKTQLERHENALLKQENDKLRAENMAIREAMRSPMCGSCGSPAMLGEVSLEEQHLCIENARLKDELSRVYALATKFLGKPMSILSGGPMLQPNLSLPMPSSSLELAVGGLRGLGSSIPSATMPGSMGDFAGGVSSPLGTVITPARTTGSAPPPMVGIDRSMLLELAISAMDELVKLAQIDEPLWLPSLNGSPNKELLNFEEYAHSFLPCVGVKPVGYVSEASRESGLVIIDNSLALVETLMDERRWSDMFSCMIAKATVLEEVTSGIAGSRNGALLLMKAELQVLSPLVPIREVTFLRFCKQLAEGAWAVVDVSIDGLVRDQNSATASNAGNIRCRRLPSGCVMQDTPNGYCKVTWVEHTEYDEASVHQLYRPLLRSGLAFGARRWLAMLQRQCECLAILMSPDTVSANDSSVITQEGKRSMLKLARRMTENFCAGVSASSAREWSKLDGAAGSIGEDVRVMARKSVDEPGEPPGVVLSAATSVWVPVAPEKLFNFLRDEQLRAEWDILSNGGPMQEMANIAKGQEHGNSVSLLRASSSVDRNVVRCHVPPPGNMAMSANQSSMLILQETCTDASGSMVVYAPVDIPAMQLVMNGGDSTYVALLPSGFAILPDGPSSVGAEHKTGGSLLTVAFQILVNSQPTAKLTVESVETVNNLISCTIKKIKTALQCDA >Sspon.01G0025360-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:89825168:89829125:-1 gene:Sspon.01G0025360-1A transcript:Sspon.01G0025360-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RHVGAPRAPQLRRKPQLRAAPLTTSASRPRPTRSPPSGSAASTSPPARRRRSHRAPPPLHIRAPPPAHQDAISTDESRTPPPPPPLPPRSTGFGPFRWSPRPSLVAPAGAWDAAAAAAAAASSAGAAAGRSAVGGVQTMLSPFFRLPAPLPPVADFEEVMPLRPLIGLGSHSDSGGFAGLSRQMVGSGDPRDAWLSARGVGAAYPSHALDMVPIRTLQDLHDRQHGAIPVQPNLARHDPSSSNQHDEPFSYWNMGRFRRNTTTSSITPIGVAPVGFGTKRNSDSTNFLPLKLRNLVAISILRYGWDKHLSVLGTTSMPIVTPPCLANKGLSL >Sspon.05G0008240-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:19456961:19462807:-1 gene:Sspon.05G0008240-2B transcript:Sspon.05G0008240-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLYRLRISYMYLLDMAPLTIYAPATQLWRGRLHVMGGSKEDRHEPGLEHWSLTVKDGKALEKEWRSEIPIARRACVVANDKLLVIGGQEGDFMAKPGSPIFKYVRRSEVVYSDVYMLDDGTTWKELPPMPKPDSHIEFGWVNVNNSLVIAGGTTDNHPITKKMVLVGEVFRFNLDTLPPAVQFSSSILRGIL >Sspon.03G0016380-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:82041236:82043574:-1 gene:Sspon.03G0016380-1P transcript:Sspon.03G0016380-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKREISSTLRNLKFMQRGAAAQKVEEKAKVEVQEEVVTAPSGGFGSSAQVVRKCIVIMEGNPHPGAIKGRMSFQNFNPSIDKLNEEARGDRQTESASPSNHDQDSAKSSRGDEVPASRSRDFDIPSSESISLNELKRKEPELDMETPPSYKEPKTNIDGRSSSQSNGRGSNKSNKREKLDFNHLRPKK >Sspon.04G0011690-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:35673565:35674820:1 gene:Sspon.04G0011690-2C transcript:Sspon.04G0011690-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKDVVVLGEESHNVHDMSFVICIARSTPILSPDLLSHASGNSNHVEALRVYLLSRSLSRLKNQFQTGNGMITVTVDCIEGYPPIRLQLGKHVFLSAGDFYLASRS >Sspon.05G0028210-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5B:63561251:63568424:1 gene:Sspon.05G0028210-1B transcript:Sspon.05G0028210-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQILLLEYTSEAGSAAATERGSLCALMLAVLDGGFRASLQGSPEDELQFCFESSNPDVQTMEAVDAVFINSGDNPFKLLKEPSSILATSDAMKKYNAKLVYPVQSPGNVANMRDIAMDSSEEFGVGIVDPDKIYDLYNDQHTPSPFLTAGEPQARRKAAVTALHSRTSPTSSRPAPQLPAAEVAEPRAPAPLPLLRDAEVPAWMSPAETVFRLDTTGVGTTPSSASAPNPSLLLRFSAPCPHYFLPFPRGAEPSSWADPAPAIFFVLLPTDPVPVESTGQHASGGGWKGCGRNRRSPKTASSTTPSAPPTSSPVSPPPLICHAGAASPRAQSHAPSGASAFLTPFFLLRLPLSLPVEQSQADISTPCSTAGHRPDTGSTGWLGSGRGGKGRGLASAAARCQFPCHCRRAATDFLPSTTDFHAKILPVVKNQHLLLCTLLICNAGAMEILPQSICSHYGLAIGASVAPLVRVLVWICFPIAYPISKLLDYLVGHGQTALFRRAELKTLVTMHGNEAGKGGELTHDETTIIAGALELTEKKAKDAMTSLCQTFAIDINAKLDRNLMQEVLEKGHSRVPVYYEKKTNIIGLILLSVLDEERSLRRIGFSTVDGIRATTSVHLQGCDVNSRVYDNNAEDSRARKHPPVPRKCYYQQCRHLVLEAMD >Sspon.06G0003800-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6A:11706472:11706771:1 gene:Sspon.06G0003800-1A transcript:Sspon.06G0003800-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDASTSRILAPPDPQYFTCHVVPDAYDTSRETERTRKGKSSALMSECGFRSNTHVYCLSMCRTRSLSCSKKQRSATYFPICMRSMEGTRAPHLHLPFA >Sspon.03G0044450-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:47522701:47525022:1 gene:Sspon.03G0044450-2D transcript:Sspon.03G0044450-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LKNFQMSSKSSYNKLSAANDKMDSNARGHGNTRSNGTLGRNQSGLSVDPSAGSEPAVQSHVIPSDKRSRRDKSDDPADKAILSLTAVSGTGGMPAETAARGDRTVPQYTFDASTSSDNVDSSGSGHIKRHTGSDCRWEAIQLASGKGSPLSLVHFRLLKRLGYGDIGSVYLVELRGTDTFFAMKVMDKEALISRNKMIRAETERQILGLLDHPFLPTLYTHFETEKFYCLVMEYCCGGNLHSLRQKQPNRHFNEQAARFYASEVLLALEYLHMLGIVYRDLKPENVLVRDGGHIMLSDFDLSLRCSVSPMLVKSSSINAGANGVEKGVVHAEGVNQGCIQSSAFFPRILPKKNRKTKSDFSINGSLLEFNAEPTDARSMSFVGTHEYLAPEIIRGEGHGSAVDWWTFGIFLYELLHGMTPFKGNSNRATLCNVVDQPLRFPDTPPVSNVARDLIRGLLVKDPQKRIATKRGATEIKQHPFFDGVNWALVRGAHPPSVPDPVDFGQFRSKEKKAADIAVATAPSRLPSGAAAAKPKTGNFGMLSKPIASRARLPSRIIPQLHHHHCQ >Sspon.04G0022080-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:7873669:7879206:-1 gene:Sspon.04G0022080-2D transcript:Sspon.04G0022080-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGDSGPGAADLWAMAAELERQFAGYKQRLAERTTTTGATTTARDHDVDEGGGDGAEEGDDDEAAGGDGRGGDVRGRMYEAYVRRRDERLRDGWRARMERKEAEVKALWAQLELAAGRARGGGCAERAASAGGDPTTTATDGDDERKTERNDDDRRRSSSDAALAPRRITGKKHARTRSFSSSITTSRNRTDVGSRRALSQEPPPSEPDASSGEATKESPGGAAATTTTATTRPGTSLRRRNSSAKRHGSAKQAAAAGLKVPRSLPRQASSGGPEDLGRGVAPAVVQPSADAVAPPVPSHSTEYAGPGGTPRASPSRMSFAGRDDDSGVGTGAANARATSPEPDRGAVDEAVPHGEPEAKNAGVEQHGEEKIDADGEITSDSEPEPSYVYVSKDAAEEQQAKAALSEPSKLAGSDAALDADVKASEEMPTAPAPAEATAAAAEIATTNTEEAPARESSDESSLSVRSSGPSARPSCSSRDQSIERLLEADAVLVQKKREERTEKSAAPAPRTPPGSAGSNRFSGTARSPRETAVRGFKRFLSFGKKHRGKEATVIDCTSPSVPSPADDDSGRWQPAGGSIKPRRGSSDAASDDTDHGYPLSPQAACSLQSLVAASPAKSELAEIVPQEKSPKVHRSFFSFRSLNCGRG >Sspon.04G0024150-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:18003330:18004514:1 gene:Sspon.04G0024150-1B transcript:Sspon.04G0024150-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFTGTQDKCKTCDKTVHFIDLLTADGVSYHKTCFKCSHCKGTLSISSYSSMDGVLYCKTHFEQLFKETGTFSKKFQGGASSTKNDQAKAPSKLSSAFSGTQDKCAACQKTVYPLEKMTLEGESYHKSCFKCSHGGCILTTSSYAALNGILYCKIHFSQLFKEKGSYNHLIQTAQTKKNEAAEAASEAPADAGAAEPEAPAQSA >Sspon.05G0002040-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:6628416:6628694:1 gene:Sspon.05G0002040-1A transcript:Sspon.05G0002040-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRWSRAAVLACLVLLADAACVAESRAVPAAGSRNATAAGGGGGRRGAFDVVVVGLVSIGLGRRWRPGGGDDLIDEDKRRVPTGPNPLHNR >Sspon.07G0001890-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:4529947:4533911:1 gene:Sspon.07G0001890-1A transcript:Sspon.07G0001890-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLVVAAAVAAWAFPIAALVNSVVPEPYMFGVLSILFRQTNVIWIIFFAANGAITYVKDLYPKDNVSHENSEPIHQSKKTSVRDNRISAQDLRRRRINSPISLTEEMWDISLKLWNPKCEILIAFAPFVVIMVAFVAFIIWNGGIVLGKSQTRIWVLSFVLSVALVLVPAPLVEFRYYTIPFVILVLHSPAISNGKLIALGSLYAAIDLSTLVMFLFRPFHWEHEPGTQRFMW >Sspon.02G0015590-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:43467341:43470050:1 gene:Sspon.02G0015590-2C transcript:Sspon.02G0015590-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSCGCVQGGKVSIDSQDSLWSFAVTIDGNINIEGSIGDQSIGDDGSSLCCGWADDARAELLLRLQEISHLDASVNLKLSKGGNSTNLQYTIGCCLEKMLKKHTSVTVKNCGIPPDFSCRDLDASSVSDKVLSRLEDKLLKFIVLNACWKGSLNVITSALNPDDINGFNVELPVPVQNMRMLWIEEVFPVDPLEEARRLCDILEHS >Sspon.04G0011950-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:40054766:40056779:-1 gene:Sspon.04G0011950-3D transcript:Sspon.04G0011950-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MIYTAIDTFYLTDEQLRDSPSRKDGIDEATETALRIYGCDLIQESGILLRLPQAVMATAQVLFHRFYCKKSFVRFSAKRVAASCVWLAGKLEESPRKSKHIIFVFHRMECRRENLPIEFLDVLSKKYSELRHDLIRTERHLLKEMGFICHVEHPHKFISNYLATLEAPPELTQEAWNLAND >Sspon.03G0028970-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:16439907:16448252:1 gene:Sspon.03G0028970-1P transcript:Sspon.03G0028970-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSGSFSRSTSARLTARGGVGSPRVSTPGAAHRNWYWAAAPSGPSFDRVVLAFFLASVALVLSCALYLYVFRYMGRGRVVSEFAGGENLESCDVFDGVWVPDQGHPFARALLQWLRGKRVVFVGDSMSRTQWESFICMLMTGVDDPQTVYEVNGNQISKTIRFLGVRFESFNLSVEFFRSVFLVQQIPALRHGRRRVRAILKLDKLDDLSQKWANSDVLIFNSGHWWTASKLFDMGCYFEAGGVLKLGTSINAAFKMALETWASWVKERIDLRRTRVFFRTYEPSHWSGLNQKVCEVTEQPTSEANGNDRREFGDILAGVVANMSVPVTILNVTLMGAFRSDAHIGLWSHPNTILDCSHWCLPGVPDAWNELVFSHLLTNVARPHNAVQPSPMPPAIRLLPLLVLVLFHLPVSLSSRHHRHRTPSPSPAPASSDSAPLAVLLACNATRFQPACVSTLSGAASDASTADLLAATLSALRARLPPAVSTAKSVLASSSNVNLTNAATNCLTFLALSSHRLSPSSPSPSPSLLSASTALLHLYDCWSAYKYVNFSRTISDAMAYLDATITVNSNYISMLAARQRYGDETSLWRPPQTERDGYWPPAQTSVSDVDALGVPKGLPPNATVCGAGCDHRTVREAVAAAPDYGDGAFVVHVKEGVYRETVSVPWEKTNVVLVGDGMGKTVITGDLNADTSGVSTFNTATVGVLADGFMARDLTIANTAGPDAHQAVAFRSTGDRTVLDGVELLGHQDTLYAHAMRQFYTRCRVAGTVDFVFGNSAAVLHDTALVVLPRQLRPEKGENDAVTAQGRTDPAQPTGIVLSRCAVNGSDEYLALYRENPGVHHVYLGRPWKEYSRTVYLGCTLAEIVQPQGWMPWSGDFALKTLYYGEYDSAGPGGGGAASRRVAWSSQVPKDHVDAYSVANFIQGHEWIPKV >Sspon.08G0006150-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:19416272:19418657:1 gene:Sspon.08G0006150-1P transcript:Sspon.08G0006150-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTVERQQLPPSWQGNGDADAEVEVEEDHLWPTNDGPLPIFLKFENVEYRVKMTLKDPLRAEKVAFASQMRVDQGSSCKHILKGIAGSVDPGEILALMGPSGSGKTTLLKILGGRLGGGIKGQISYNDTSYNPCLKRRIGFVPQDDVLFPQLTVEETLEFAAFLRLPACMSKQQKRDRVHAIITELNLERCRHTKIGGAFVRGVSGGERKRTSIGNEILVDPSLLLLDEPTSGLDSTSASKLILILQRLAKKRRTIMTTIHQPSSRMFHMFDKLLLISDGHGIYHGKARDCMHHFSSLGFVPEIPMNPAEFLLDLAAGNLDDISVPEALRGSPDPQEFRSQVIRHLQLKYRAGGEPPAGRRAPTEQLRLAVRARKDHRRGIGWLQQFAVLSRRTFRERASDYLDKMRLAQAVGVALLLGLLWSKSQTGTEAQLRDQVGLIFYICTFWTSSSLFGSVYVFPSEKLYLVKERKADMYGLSAYYASSTLCDAVPHAVYPALFMAILYFMAGLRRTVPCFFLTLLATLLVVFTSQGTGELLGAATLSVKRAGFIASLVFMLFLLTGGYYVHHIPKFIRWLKYVSFMHYGFNLLLKAQYHGHLTYNCASRGGCQRLQSSPSFGNVELDGGMREVWILLAMALAYRLLAYFCLHKRISLTPS >Sspon.08G0005700-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:15169692:15171785:1 gene:Sspon.08G0005700-4D transcript:Sspon.08G0005700-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPATPASGAAATAMRPYLRTHGKQVARLHLFDWVVLLLLVAMYAVLGMVQPFHRFVAEDMMASLRYPMKGNTVPSWAVPIVAIVVPMIFIVGIYIKRRNVYDLHHAILGLLFSVLITAILTVAIKDAVGRPRPDFFWRCFPDGVPKYNNITGGVICHGQRSVIEEGHKSFPSGHSSACFAGLGFLSWYLAGKIKAFDRGGHVAKLCIVLLPLLLATMVAVSRVSDYWHHWQDVFAGGVLGLVVASFCYLQFFPPPYSKHGVWPHAYFEHIHQTEDERNIQSTTNANLHHQSPSLDLSGSNEIRTTSHALDSMEEGSRDQ >Sspon.03G0016590-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:52471801:52474791:1 gene:Sspon.03G0016590-1A transcript:Sspon.03G0016590-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDHYQTLGIRRDATKAEVKAAFRRSALRDHPDRHAGSTDAAARADAASRFRQASDAYHVLSDDRRRAEYDLRLRGSTSSYARTSSSGWASSSASYGYGYGYGHGHGGGSWRRTPPGAGAGASVGSIDWEFWLKAVTRRGFLINLGFASVLLSGAAFLDGSILEIWKMNNSGKSFEDAMEKVRKTQEGDT >Sspon.04G0000120-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:11161454:11163112:-1 gene:Sspon.04G0000120-2B transcript:Sspon.04G0000120-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding NGGATCCISRGGSSSPGTRRGGAELRRCAEPGFVRRELPGGGGGGDGGRAAGHGQRPHRGGGRAPPNAFPRLLRQVRWSVVGGGRGAAGRALVPGQRDDGAAGADGQLRAAEAGVPRPRAVHQGPGGAVGRPHAGLRALLLLPEPHLGPGRRRPFPEPLLRRRPPPCLPRQQHRPRRRVRPGRHLRGLRQHLLPDAAGRPGPALLRRGAAHAPQDARLRRALRRVAGGLLQGLCKVHAADGRAQRRRR >Sspon.02G0010050-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:26990691:26997001:1 gene:Sspon.02G0010050-2B transcript:Sspon.02G0010050-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFVFCATNQKCGSVQQQQLCSEQPVAKRQQETPHDVEITPRQQDADSCSDNQYCMPASQRLGRRALLRRAGGSHRVKHRRNVHVPHPPRRPTGRCPLVHLHNVLADVIKGPEEVANHHEDPQRVAFLFGRVTMEELGRLPDEGEGAALLSLHPGDLSLGLSQELANGSAEPLAAVFGTEKLLDLTDLADAGGEFGDAAGQRVGVHCCRLLDTAELTAKACHDFQTYLLALQIICKGTRLIRCLTELSYHGNDSSRVQAMPIRKNGQGLTGKSSPSADPTPWVGQSSRAPCPSATASASAEQPRPLVPLTALITVVAGRSECRRGNQPLPLPARGRGGGGAGGAAAAVAQPLSFTGGIGGGGGGGGGGGGGGAPSDARLPPVPASITDFEHDGKFIRVCWNCRGKGGIGLSSGELVLETVAVEPRPCWSPPS >Sspon.02G0041340-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2B:75212241:75213038:1 gene:Sspon.02G0041340-1B transcript:Sspon.02G0041340-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTTAAAAPPIPPTTTLPLLTSSSSITLSAAVGTPADAAAGSFAAVPLTLESLAAVVAEMSTNMAQMSRSIIAMQAAWMGLTQQPPPPVSSLPPPPPPHLIQWLTSPSPIPEWALARSAVVRLQAAARGLLARRRLQEMRQPMHEATLATVDLSSAKRDLAPWDGHQQPRRPTAVFRREHGVFPARNDLQLCGLLVTGGDALPSATAFHRRPPRGRLRWSLSQLIPGGCTHAPLSFRWAPWDPGGYTRAGPSHGGCPPYVQSQK >Sspon.08G0005970-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:16039789:16046544:-1 gene:Sspon.08G0005970-2B transcript:Sspon.08G0005970-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:MLO-like protein 13 [Source:Projected from Arabidopsis thaliana (AT4G24250) UniProtKB/Swiss-Prot;Acc:Q94KB2] MGEEAKLAFTPTWVVAIVCLVIISVSLAVERSLHYLGKYLKHKKQKALFSALQRLKEELMLLGFISFVLSLSQGFIVSICIPETSTGFMLPCKRDNHRVAEEGAKICKKKNEHDESNRLALFQGDVPLLSLEALHQLHIFIFVLGLVHVVFCAATILFGGAKMRKWKHWETEIRGEVKEKLQQAKSEGKGTLSSIVVLHRDHQDEFVQERAKGFWMKLAVVSWITSFLKQFHDSVSKSDYEALRSAFVVIHYPQKPDFDFHKYMIRAVEHEFKRVVGIRMAHILLLLLIVGAKLEHIITRLAQEAAASLSNDTKEAPKIKPSKDHFWFHKPELVLHLIHFILFQNSFEIGFFFWVLVSEGFGSCMMEQKPYAISRLVIGVIIEVICSYITLPLYAIVTHMTGEIKLHGIGSSVHESVHGWLAERNRTGGDPDTDSGGKAEVTRWAPKERSGSSRNMLIAPTPPILDEIVTVHDVAVAATAVVGQGP >Sspon.01G0008730-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:25845301:25846241:1 gene:Sspon.01G0008730-3D transcript:Sspon.01G0008730-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTFNIHCRKCDDLSLATVDGDLTLTKANPRDERQVWYKDMEHAWPLRILCQSGQDLSLTVRDGAAVLARADYKDQRQVVRPPLLLPQFQFRVRSVSAAVVSEAGVPHASRCFPLPLQCWVQSFRNTGRVTDDEGHLAFALVNWATGKALRHYSGSGGELVGLVGHRPDSVDVALLWTQSDDLGEGFHGLRSVSDVGVVLDAANAVPDAGGAHDGTPILAFPWNGGPNQKWKMVPFY >Sspon.07G0027530-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:60783646:60788159:1 gene:Sspon.07G0027530-1B transcript:Sspon.07G0027530-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding HRAAPPGHLRLSSLIDPSIASKFATDIAAPRTTSALPTLRLTHIYARACLPCPSLPACPRAPLLAPPLLRRRRTHGQGHGWWLIARPARFPSVGHTSAGAAGFSSVRRPAWTEASVLRFPNGSMRLVMVTIIGAVLGFFIGISFPSVSITKLHFPASFVSYIEDKNSGLTTQAILNHAWTSARNARGNGTEPTSNSTLKIYVPTNPKGAEGLAPGIVVSESDFHLRRLWGDPSEDLPFKPKYLVTFTVGVEQKENINRAVKKFSDNFAILLFHYDGRVSEWDEFEWSQRAIHISVRKQTKWWYAKRFLHPDIVAAYKYIFIWDEDLGVEHFNAEEYIKLVKKHNLAISQPGLEPDRGLTWQMTKRRGDSEVHKDTEERPGWCSDPHLPPCAAVNLSMFYYSFVEIMAPVFSRDAWRCVWHMIQNDLVHGWGLDFALRKCVEGQSENGRAPWEGVRARCRKEWGMFQKRMAEAEKAYYKMMGITPPNSTLV >Sspon.08G0018940-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:12129844:12134479:-1 gene:Sspon.08G0018940-2C transcript:Sspon.08G0018940-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSSSRPLRQRHHSSPLLILLVSVSVLLTSLPISATADGLPELGGGDGLYREILRDETVLRLNELGKISDGEGYLERTFLSPASIRATAVIINWMKDAGLTTWVDQMGNIHGRFEPTNSTKETLLIGSHMDTVIDAGMYDGSLGIICAISALKVLKVTGKLQRLTRPVEVIAFSDEEGVRFQTTFLGSAAVAGTLPESILQVSDKSGTTVQDVLKMNSFEATSTAISQARYNPESVGSYVEVHLEQGPVLEALRYPLGVVKGIAGQTRLKVIVDGSQGHAGTVPMKLRRDPMVAAAELVVTLERLCKEPNKLLTYDECSCFTEESLAGLVCTVGELNTWPSASNVIPGQPCPQQVNFTVDIRAMDDQVRETIVTSFSRLVLQKCDDRLVDCKVEHKHSAAATPCDPELTSQLKRAARSTVSAMPGRTVAAASETPVLMSGAGHDAMAMARLTKIGMLFVRCRGGISHSPEESVMDDDVWAAGLALFNFIDQNVLAVSEEELEAGQNAVAES >Sspon.07G0000720-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:986356:988823:1 gene:Sspon.07G0000720-4D transcript:Sspon.07G0000720-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSKANGSIKKAGRGDHLHGGPNWVLVAGGILLSTLSVRLGCRLKQLFETKQQNPSTKGHADGEVELKQAPSSPISKSTEPSNLLVKIPAPESSKENSGIMWSSSPDRLEDPRKATFQYSNCSGSPSISESGSDIYSKREVIQKLRQQLKRRDEMIMEMQAQIAELKNSLAIQATENTGLQSQLDATNRDLFESEREVQHLRKIIADHCVADSLSLDKAFQAGQWQSNGTNGHANGYSDSSVDDPEVHCNGIEKRKGEVERMEMLRREVGELKEVIEGKDFLLQSYKEQKVELCSNMRELQERLSAQVPNIL >Sspon.02G0028350-3D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2D:102086081:102086702:1 gene:Sspon.02G0028350-3D transcript:Sspon.02G0028350-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQAAAVAAAGGSSSSSSSSGKPVMVVGIDDSDHSYYALEWTLQHFFAAGQPQQYHLVVLTSKPPAASVIGIAGVGSADLLPTVEADLKRTVARVMDKAKKLCTEVIDVSYEAIEGDARSVICDAVDRHHAEILVVGCHGYSKWKRSIHNLLIKFD >Sspon.05G0022270-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:3013071:3018342:-1 gene:Sspon.05G0022270-1B transcript:Sspon.05G0022270-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative chloride channel-like protein CLC-g [Source:Projected from Arabidopsis thaliana (AT5G33280) UniProtKB/Swiss-Prot;Acc:P60300] MAPRDPKEDGFGVGGGGAADPEADIEAPLLISSGSSFLLDPALEDGGGGGDEEQRRRRRRFLHGSYTHSNTTSQVALVGADVCPIESLDYELIENDVFKQDWRARGRGHILRYVALKWALCFLVGALSAAAGFVANLGVENVAGAKFVVTSNLMLDGRHGSAFAVFLASNFALTMLATVLTVFVAPAAAGSGIPEVKAYLNGVDAPNIFSLKTLIVKVVGCIAAVSSSLHVGKAGPLVHTGACIASILGQGGSRKYRMTCRWLRYFKNDRDRRDLVTCGSAAGIAAAFRAPVGGVLFALETVSSWWRSALLWRAFFTTAMVAVVLRALIDFCQSGKCGLFGKGGLIMFDVTADYVTYHLIDLPPVITLGVFGGILGSLYNFFLDKVLRLYNLINEKGKTYKLLLAATVTVCTSCCLFGLPWIAACKPCPTDTGEACPSIGRSGNFKKFQCAMDEYNDLASLFFNTNDDTIRNLYSAGTDDEFHISSILVFFVASYFLGIFSYGLALPSGLFVPVILTGAAYGRLVGMLIGSQSTLDHGLFAVLGSAALLGGSMRMTVSVCVIILELTNNLRMLPLVMLVLLISKVVADAFNANVYDLLVRLKGFPHLEGYAEPYMRQLTVSDVVTGPLQTFNGIEKVGHIVHVLKTTGHNGFPVVDEPPFSDTPTLYGLILRDHLLVLLRKKDFIRSCTASTRNASNHFSHAEFAKRGSGKHDRIEDIELCPEELEMFVDLHPFTNTSPYTVLETMSLAKALILFREVGLRHLLVLPKSSKRAPVVGILTRHDFMPEHILGLHPFLFKSRWKKVRLGKVKVTNIF >Sspon.01G0020750-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:74338354:74339353:-1 gene:Sspon.01G0020750-4D transcript:Sspon.01G0020750-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAAAVPLILELDPSHDRAGRVIEDIVRLERRIFPKHESLARSLHDELKRRNSGLIYTTSGADGEEVIGYAMYTCNTSLCTTITKLAVKENYRRQGHGEALLAAAVERCRRRKIQRLSLHVDPTRAAAVALYRKAGFQVDTTVEAYYAPHRDAYRMYLDL >Sspon.07G0006680-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:12583065:12586047:-1 gene:Sspon.07G0006680-3C transcript:Sspon.07G0006680-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGGEHSSMDHPVAEDLERGELRRDAPEFADGDDGDEIETQYFSDAEDRSWASHSRQDSTAAYEDCISPCASARASSVDADADGEAAREHRRKSSCVSEGSLDDVDLEAGLSEIIKDSPEKAEQNCRICHLGLESTAAESGAGITLGCSCKGDLSYAHKQCADTWFKIRGNKICEICSSTASNVVVLGDPEFSDQWSETNNVAAVQAPPAETRRFWQGHRFLNFLLACMVFAFVISWLFHFNVPG >Sspon.04G0007030-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:20016994:20021982:-1 gene:Sspon.04G0007030-1A transcript:Sspon.04G0007030-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGARNSAAATPVLLNVYDLTAANDYLYWLGFGVFHSGIEVHGTEYGFGAHDYPSSGVFEVESKSCPGFIYRRTVWLGTTDMSQEEFRSFIEKLAGDYQGNTYHLINKNCNHFTDDVCQNLTGKPIPSWVNRLARVDDDLYSIHSPINGESDNDEDEAKHLLPAPSNDLHSDGGNTTGKTGYGYVGVGGNLFKGGKWMMAER >Sspon.04G0007220-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:20691823:20692936:1 gene:Sspon.04G0007220-1A transcript:Sspon.04G0007220-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AMAGGKVYSFEEVRKHSDRKDCWLIIAGQVYDVTPFMEEHPGGDEVLLACAGKDATADFEDIGHTASAKELMPQYCIGEVDAATIPAKLTHVVTKDASRSEKATTSAAGNWATLLQLAVPVLLLALAFALQNYSRAKAE >Sspon.03G0001290-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:14845408:14846722:1 gene:Sspon.03G0001290-3C transcript:Sspon.03G0001290-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKSHTRKAFLLCNYILLGAASSCIFLTLSLRLLPSPCGLLLLFLHALTAVFSAAGCSGSFTAPATPAQWHNAHTAGAALTAIVQGAVALLAFTRTSDFLAELQSYVRDEDGAVILKMVGGLGTAIFVLEWAALALAFSLRLDDEDDDDLHAKNWQSYHISTATQEKQPEHRQPLLE >Sspon.04G0025400-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:30048062:30049909:1 gene:Sspon.04G0025400-1P transcript:Sspon.04G0025400-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SSLSRKDCIGRYYGKDAKYRPFSALLPEGWSGKVLYVKLVLVLLMCGSFMGLLNSPSIHLADEHHHTTPQPEASKASWMSHPDAANSGYASTLSIDWSQIETAAKHFAPAADGGGTSRTRVALLNFDDGEVEEWKARMPHTDAAAVRLDHVGSDVTWEHLYPEWIDEEELYGAPACPDLPEPTVAPEEEAYDVVAVKLPCGRAASWSKDVARLHLQLAAARLATRARHGESAAAHVLVVSRCFPMPNLFRCRDEVARDGDVWLYRPDVSDLSRKLELPVGSCKLAMPFRALGEPYVSAAPQREAYATILHSEQLYACGAITAARSIRMAGSGRDMVALVDETISARHRGALEAAGWKVRTIRRIRNPRASRDAYNEWNYSKFWLWTLTEYERVIFLDADLLVQRPMEPLFAMPEVSATGNHGAYFNSGVMVVEPCNCTFRLLADHVGDIESYNGGDQGYLNEVFSWWHRLPSHANYMKHFWDGDTAERAAAKRRVLAADPPVALAVHFVGLKPWFCFRDYDCNWNVPALRQFASDEAHARWWKVHDAMPPRLQGFCLLDERQKALLRWDVARAREANFSDGHWSARIADPRRS >Sspon.04G0002620-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:8973445:8979919:-1 gene:Sspon.04G0002620-3C transcript:Sspon.04G0002620-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLVEGKDTCCVCLRNVGMCLRCSSGDCNITFHPTCARSSGLYMNTKGFGTTPQHKAYCGKHSVEQKEADAQQYGPEELTSMKRMRVELEKLRLLCERIIKREKVKRETVMCDHDILAKTKDTVIFSYLACGASSESATTSVNNRSYSGAAQRSDDVTVDSTISGKKTIRFSLNNRDADRNTADSSRTLISFKRKLSERGPRAGKQLPQRPTIAAEKLEDEDKKTTDKKITMQQREMFQKELVMTSDQASTQNQLLPKGYVYVPRDSLSKEKIWNRSTQPHNPQEPGG >Sspon.02G0018710-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:59987172:59990305:-1 gene:Sspon.02G0018710-1A transcript:Sspon.02G0018710-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSADDRDEENNILAEEWLNFAILCTAFAYKAMNSLGTLATIWATVVLLGGFSTLVKEQDFWYVTIIAFVQSIGYSIGNVIRSWLSIPFQYRLDDRYALYRCLDRHKRASIPYRLLYGSIPVMPANSGHFGYLAIPVPLTALRLSRRLRLADYWSSALALHHRCLQCKSYSPRLPVRSLSSSSRILARTLVVLAPSATFLLLPLCCVMATKHHSHAS >Sspon.03G0032250-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3B:36101343:36101690:1 gene:Sspon.03G0032250-1B transcript:Sspon.03G0032250-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSAPPSSDERLLPPSPVKRASSLLLRHRPLLLAAPAISAATRPPSPLPSVAPASAAGRRHPPSLTAAPAARLPPSHAPASAHRLPTPGHAVGPPSSRPRLSSAYPHRQGGTPSA >Sspon.03G0014910-3P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:67688911:67691155:-1 gene:Sspon.03G0014910-3P transcript:Sspon.03G0014910-3P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGGGAPVVAVLMTVAVVLVAVSTTVSGQGDDDPAPAAVCARRPVVFAFGDSNTDTGGVAAGLGYYYPLPEGRVFFRRSTGRLCDGRLVIDYLCESPACPSPEHELPEPVPGGRGLRLHRRRQLRHLRLHHAPRNVPFALHVQVQQFLHFKQRSLDLIAHGGGGTAPVDADGFRNALYLIDIGQNDLSAAFGSGAPYDDIVHQRIPAIVSEIKDAIMTLYYNGAKNFWVHGTGPLGCLPQKLAAPRPDDSDLDDSGCLKTLNDGAYEFNTQLCAACDGLRSQLRGATIVYTDVLLIKYDLIANHIAYGE >Sspon.06G0019380-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6B:1639221:1639616:1 gene:Sspon.06G0019380-1B transcript:Sspon.06G0019380-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGRLPFGSEGVAGGHDGERACWESHPGMGATASVRGDKLSLGNRGDLVWSGKGMPEHGAWYAWLRAAGHACVSSRPCPCAAAAAAAGSPARGGCVRQTWKGGGGGTCVEAKLRWSDDRRVGRDSSTGGKE >Sspon.08G0016240-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:59058732:59060194:-1 gene:Sspon.08G0016240-2B transcript:Sspon.08G0016240-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein EXORDIUM-like 3 [Source:Projected from Arabidopsis thaliana (AT5G51550) UniProtKB/Swiss-Prot;Acc:Q9FHM9] MSSCRRTKNKQCPLSLLPLHSTVSHSRSAPFPSISLLPIKLRLQPRSQPKTSTTPSRPAPPSLAAMQQHLCVLLVLAALTASPLAAAWRPWPPRDNATTAAGLGASKKFEGSSEFVKLEYHMGPVLASAITVHPIWYGAWPAAQKRTIRAFLRSLAPPPDSEARIPPPSVSAWWRTVRLYTDQTSANVSAAVSLGAEKCDARMSRGARLSRMDIQAVVRDAVTARTRPLPVDSSGGVYLVLTSPEVSVEDFCGQVCGFHYFTFPSVVGYTLPYAWVGNSARRCPEVCAYPFAIPAYVPGRKPEAPPNGDVGVDGMVSVIAHELAELASNPLANAWYAGSDPSFPTEIADLCEGIYGTGGGGAYTGQLLTDARSGAAYNVNGAGGRRFLVQWVWNPVLSYCSGPNALDQ >Sspon.02G0054350-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:112540833:112543377:1 gene:Sspon.02G0054350-1C transcript:Sspon.02G0054350-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGTIGYLAPEWMDGAAVTSKVDVYSYGMVLFELISGRRNSSQVYLKDGDYSEFFPMQVARRLHQSGEIGSLVDANLHGEANLEEVERVCKVACWCIQDSELDRPAMGEVVQFLEGLSEVYMPPVPRLLNTITGGSSAPVYYLDSGEVVVSDDKQNFISCIE >Sspon.01G0063030-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:110513759:110514635:1 gene:Sspon.01G0063030-1D transcript:Sspon.01G0063030-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding EGECVVSDDMTPIPFAVMAHRHQPCTAPSVCAWHCAQCVRRRRRRHVQLQTTVGAKGSWYYGWRGAKMLALAVMVTASGHHHGTTASSHIVDGTAN >Sspon.08G0020340-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8B:20486638:20486937:1 gene:Sspon.08G0020340-1B transcript:Sspon.08G0020340-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADFYTGHHGRNRAGRGRAGGAVSPLSSHQQPVGMHVRAPRRRRLKKAGGRGRGRGEKRPNTRWQGGSSRGQRVPRPTAIADSMLGLGACVLTMLMPAD >Sspon.03G0012630-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3A:34935213:34936808:-1 gene:Sspon.03G0012630-1A transcript:Sspon.03G0012630-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MYMRSPSNGQPPSGGGGESAAGAAAAAAGDDDFAFYCSFLQDAAPASVTSPLALALDDPNPTAAATVAMTNGRRRKQRGADGGGAAGEGEEVKKEGKKRSIAKLLTSLAALEAEEHADRAGAADASRRELALLESNADTRSQAMMDYYAKMESSYDATAESEAAARSKRSRLAASAAAAAVVAGEEAAASSASASPTRAAGQGQGHHQRRLWVKDRSRAWWDQCNSADYPEEEFRRAFRMGRETFDMICDALGSSVAKEDTMLRAAIPVRQRVAVCIWRLATGEPLRLVSKRFGLGISTCHKLVLEVCAAIKSVLMPRFLQWPDETAAAKFKERFERSSGVPGVIGAMYTTHIPIIAPKISVAAYFNRRHTERNQKTSYSITLQGVVGPDGAFTDVCIGWPGSMPDDQVLEKSMLHQRAAAGMMHESWLVGGASYPLMDWVLVPYTHPNLTWTQHAFNEKVGELRRVAVEAFARLKARWACLQKRTEVKLQDLPVVLGACCVLHNICELRGEGVDPAIRCDLVDDETTPENP >Sspon.05G0034210-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:55508536:55532876:-1 gene:Sspon.05G0034210-2D transcript:Sspon.05G0034210-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASILQAVSSTATNSANLTGDDVAKTAYDVLEQNNLPRGLLPLGVKSYVLHPGGAFQVTLPGECNFFVTVAGKQFKFRFESTVSGTIKSGSISRVSGVSIQVEFAWLGINQVSRAGDQLNIQLEKSTQSFPVSAFAQIMCHRMELVLVAVALMAASILQAVSSTATNSANLTGDDAAKTAYDVLEQNNLPRGLLPLGVKSYVLHPGGAFQVTLPGECNFFVTVAGKEFKFRFESTVSGTIKSGSISRVSGVSIQVEFAWLGINQVSHAGDQLNIQLEKSTQSFPVSAFAQSMWHRLELVLIAVALMAASILQAVSSTATNSANLTRDDAAKTAYDVLEQNNLPRGLLPLGVKSYVLQPGGAFQVTLPGECNFFVTVAGKQFKFRFESSVSGTIKSGSISRVSGVSIQFAWLGINQVSRAGDQLNIQLEKSTQSFPLVLVAVALMAASILQAVSSTATNSANLTGDDAAKTAYDVLEQNNLPRGLLPLGVKSYVLHPGGAFQVTLPGECNFFVTVAGKEFKFRFESTVSGTIKSGSISRVSGVSIQVEFAWLGINQVSRAGDQLNIQLEKSTQSFPVSTFAQSARCS >Sspon.04G0020700-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4A:72754244:72759220:-1 gene:Sspon.04G0020700-1A transcript:Sspon.04G0020700-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPSLLLRAPPLCPSHSPRRFASPSLTTSSPAARLRLRPLRLPPAASRHTPRASVRAGDTRVADDLIPIARCYEGRLARLELAGAARREQAVAAAAAADGGATAEAHLAAGSDAMVVEAFLPGPHAGGTTASSTRVILQAKEVKDKASKIEKQFGSDFFFANEPDSESMLAMAFKQVVIQRLSNFRLEVFSPGSVRDFQDFGKPRKGYLNNLSPFLAGSCIFLFVAVFAQLCWPQSFRDKRLFKESSNASSSQNYCSDIKSLDNSEVVISEEGKVVGFQPTNRPAVNHWATNPLATLLYQGRPLSPGVKDPSLMEVPVGDSRMGFSFNSHMEMHMGSWD >Sspon.04G0002740-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:9383125:9385324:1 gene:Sspon.04G0002740-3D transcript:Sspon.04G0002740-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSLSLNHRPTVVYDSEALKPNLTSADKNTAAPIRTTLRSTRAKRTRTAGPALAYWFGGTADYKANTKYHLGWSQSDLSNVELFIQFNDWSPGSSNSVPRSTWGFGKRAPKQPGAAPLGLRVTLVPVGMHARLGIKIPQHNTLRHHRRDLGVQDTFSATFSRHRPTDATSSQENSHHHPAMAPRLERGGFQLPNTEQENSLFLRALISVVSGDTTAPATLLPEASAPPPPPAVEAPAPAACARCGADGCPGGCELVAGSSSDSDGAECSASRGKRRGRGRRGRVSQYMGVRRRPWGKWAAEIRDPRRAVRKWLGTFDTAEDAARAYDVAAVEFRGQRAKLNFPADAAAVVTAPAPVQPQPHRPLPDESLRENCGSNAASPVHVAQAPTTQQGTRPVPKEQDIWDGLNEIMMMDDGSFWSMP >Sspon.08G0013170-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:65683052:65688397:-1 gene:Sspon.08G0013170-3D transcript:Sspon.08G0013170-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSMSTTPHPLDPSVPAVAGGGKEEEEARRARESAAAAAAPAAVPVPVPPVGNDDDEGPRRVRKPYTITKSRESWTDPEHDKFLEALQLFDRDWKKIEAYVGSKTVIQIRSHAQKYFLKVQKNGTGEHLPPPRPKRKAAHPYPHKASKKALQVVLPQQASHIMEQGCGTPMDTATVATDSSANDAFPVQHFSPRHTQGATYNNSSSIGSQSGTWPTSEGTEQEIRHPALSAMPDFARVYNFLGSIFDPETNGHLQQLKEMDPIDAETVLLLMKNLSINLTSPNFEEHDNEQVREAGCPQAEGVGVTLEHGDVLIGVLASHL >Sspon.03G0002070-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:12408864:12411740:-1 gene:Sspon.03G0002070-4D transcript:Sspon.03G0002070-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLPYNVTMTLGYQPGTGIPEWLNKGDNAWQMVAATLVGLQSMPGLVILYGSIVKKKWAVNSAFMALYAFAAVWLCWVIWGYQMSFGQKLVPFWGKAGHSLNQGLLLSQAALPATTHYFHNSDVVETLELTPFYPMASMVYFQCVFAAITLILLAGSLLGRMNFKAWMLFVPLWLTFSYTIGAFSIWGGGFLFHWGVIDYSGGYVIHLSSGVAGFTAAYWVGPRLTKDRERFPPNNVLLMLTGAGILWMGWAGFNGGDPYSANVDSSIAVLNTNICAATSLLVWTCLDVIFFKKPSVIGAVQGMITGLVCITPGAGLVQGWAAILMGMISGSIPWFTMMVVHKRSRLLQQVDDTLGVFHTHAVAGFLGGATTGLFAHPDLCPMFLPVTHSRGAFYGNGMQLVKQVVGALFIISWNVVVTSLVCLVVRLVVPLRMPDDELAIGDDAVHGEEAYALWGDGEKYDSTKHGWYSDNDTQHNKAPSGVTQNV >Sspon.03G0021970-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:39675550:39675930:1 gene:Sspon.03G0021970-1P transcript:Sspon.03G0021970-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAPSAACSAVSFPFPFPARPAAASSVRPRAAAGAGVGAVRASAAQGGNGTWWAPLLGWSGKADYLEAPAPVPAVQAQDEAARRQFVGVMTEEKARELRARMAQTESFHDAMYHSAIASRLARSA >Sspon.07G0014560-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:57879117:57885197:-1 gene:Sspon.07G0014560-2B transcript:Sspon.07G0014560-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclopropyl isomerase [Source:Projected from Arabidopsis thaliana (AT5G50375) UniProtKB/TrEMBL;Acc:F4K8Y4] MAAARRPGAKPRGGGGAGKRSAWLAADGSKRWGEAFFLLYTPFWLTLCLGVVVPFKLYERFTELEYLILGLVSTVPAFVIPLFLIGKANIWIIIFSYVGNYFWTHYFFTVLGASYTFPSWRMNNVPHTTFLLTHACFLFYHMASNMTLRRLRHSTAHLPQSIRWSFEAAWILALSYFIAYLETLAIANFPYYEFVDRDIMYKVGSLFYAIYFIVSFPMFSRIDEQDEKWNLSRVAVDSLGAAMLVTIILDLWRIFLGPIVPIPESRQCGQPGLA >Sspon.03G0010520-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:2619330:2619596:1 gene:Sspon.03G0010520-2P transcript:Sspon.03G0010520-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLSMTTSAAARAQWTPRQNKLFEQALAVYDKDTPDRWHNIARAVGGGKSAEDVRRYYDLLEQDVGRIESGKVPFPAYRCSTGYGTA >Sspon.02G0046150-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:1152072:1159563:1 gene:Sspon.02G0046150-1C transcript:Sspon.02G0046150-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding IQSEHKKGKTNRSMDAAVVSASHGAMGSLIAKLGDLLTAEYKLLKEAKGQILFLKAELESMHVFLKKISDTEQPDEQDKHWAKEVRELSYDIEDSVSEFMLRVERDSTKPRGFKGFINRSTKLLTTMNIRHDIAKEFEGLKVRIVEVRERRMRYQPNDVASRTTNTTVDLRLLAMYAEASSLLLRTMLSHVGFVAPMDTNMEIWEETEFISALRKFLLKQRVARSTQLSQTRMLARFGTSLYLPPILQFKHLRVLTIEISDGPFSSEILDLNEICHLFQLGFLKIIASGRYVVLPSKIGCLQQLKTLEIDAAVSSKRRSLQLPSDIVHLSRLSHLIVPIDVIFPNGVSNMKSLRTLRCFDLSTNSLDNIKGLRELTNLTNIEIRCFFTDRDEFSAKCRELVHALGNICSLKYLITPDFVPVRGCLDVWCSVPASFVHLQRFHSYDVWFSRIPWWIGQHHSLYDLQMNIQDVYEDDVEVLSQLPSLVHLLLHIHGTPKDKIIIRGRGFPVLKHFTVGCMRISYLTFEAGAMPKLERLELCFNAQGWDRYGGVPAGIEYLSGLKEIIGDIGSQSAKESNTRAAESALRDTRKEAKTPQRGVVTCYLRLFSIPPKKSQIPNLARQQRRQIASAQRWRSAAAAGRGRGTARCAQGGAGEQRPGTAAAGGETAPDVQWSSGGIACMDCCCVGRTCAARATHTHTGGVDVEASLQSLCVHQLPPPSPA >Sspon.01G0008300-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:23224014:23229855:1 gene:Sspon.01G0008300-3C transcript:Sspon.01G0008300-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein high chlorophyll fluorescent 107 [Source:Projected from Arabidopsis thaliana (AT3G17040) UniProtKB/Swiss-Prot;Acc:Q8RWG2] MAMRLLSPSTPPPLFPSPGPKAPASISASSSSNFSVRLRRARAASAAAGAAAAGGSDRDGGRFEGEAMSGAFDRGLAEIARKYRSSSPQRTGSSPPRPASGRCLSISSSGSTASKKFEFPEAEKLLDKCISFWPEDGRPYVALGKLYSKQSRYDKARAVYERGCQATQGENPYIWQCWAVLESKSGNIRRARELFDASTVADAKHIAAWHGWAILEIKQGNIKKARNLLGKALKYCGGNEYIYQTLALLEAKAERFEQARTLFEQATQSNSKSCASWLAWAQVEMRAGNNTMARKLFEKAVQASPKNRFSWHVWALFEANEGSIDRARKLLKIGHAVNPRDPVILQSLALLEYNFSSANVARVLFRKASQIDPRHQPVWIAWGWMEWKEGNARTARALYQRALSVNSTNECAARCLQAWGVLEQRAGNYTAARRLLRSSLSINSQSEVTWMTWAALEEEQGDPVRAEEIRNLYFQQRTEVVDDASWVMGFLDIIDPALDSVKKLLNLDQPSGPTRQDDVKSTARSSAAGESSETSAAVGSDSSGLKSHDAGNNGSEATGTPTSDFDLDGFIKKRLALDPGELDAVLEGSDPRGVVSQRRKQRLPRKPLPLLPAP >Sspon.01G0058530-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:29711419:29714447:-1 gene:Sspon.01G0058530-1D transcript:Sspon.01G0058530-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LPPPFLARYRKRHKSSTFLGLYVPRQFAGPPSFHMPDSIRLGDDDAGNLKNAALRAFDYGEIRRYQDHRLHDAVDDAFILGRLGVHPDCRLLDCHNSRLLLTRGDEALEVRSPLARESILLPFPPDVVHPYCLEACLLQGHDKAAASFRVVCLQHRREGRNWQARAVEYDSRRKSWQDHPWETLKSNIEGTPEEVMHAGHRIFCKYTGLTSAALLLDTSNMQFSVLPLPNYQNFVIGEIEDNVCCFVEAIGCADHRLRVWQLDEEKLHWDLKKDIKMDQVLGEHVGYYRPRAISNGIALVCSRTTHHHFVVDLKTCSMKEEFEFHGQSAYPMQMPWPPAFSGPTANAAGGFDRDGGPASVGDARTGVGLLDRIAACTQQLERNTKALAAAGYRIAAILQDLKSNVKAIAVAGPPFLACQVHGGSATNDFDDGGLAKLSVGDADAGAKTGATELDEIDDPIPGPSVGGCVGTDPLPEAKKAQLLNSSSIACFVPGSSTAPPALMPSMTAGSPSCPHRVFSSSYALIIFLCTPWYPSSSPFVGTNRMGYPQPTIMKNRTIKPQQRQYTSTPYEVRLVRGELDGMPRPRSNYDSYEAPPTGTPRPRPVPYKARTKRHLDCVPTRTRLAWLPAKLRLTRPQGGYDKARIPRSRRFGLIRPASTQPRPGLDVQRWSTGKKGRRDTGLRVISPSRPRRHHGVQGWPAIPGAHRYNHLYRDRQNFTSSHPSAPASSYPIKGQARALQGDVDKTQARS >Sspon.01G0035860-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:15098183:15100210:-1 gene:Sspon.01G0035860-1T transcript:Sspon.01G0035860-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEIDTTPLESVKAAVDLFDRGSDQSRLHPDGNEEDQIAILTKELATCKLQLEVRENLHKQATMQVEALQKAVQELSGQYEKDCMDAHLRIAQLEAENISIMSQQSATDGECEALRGELAAVRADLDAARASVAFVLREVEAMETRAILEREGTKDALARILQLNEAVLSSAVAAIRAEEERSVFFQESTLQLLDSDRNLEVVRRQIEMMERMEMELLAKTVEVEYLRAELKQAKEIYVSPPRDSDATTVLSAAGCSCSNLDGHDQVQVLGRETAVEDTEAEPEFTFQHSPGVSFVSDEIFRMDSHAVPSGGSRMEIGISEDLAEHENKQGAAVMVGDTTVAEGNSDAQATRCLVAKISGEDNHANLPRVRFKCIEADSNQEPAESDGALPDFTTCQGNDVLVQDHMDTKADASFVLESSRDDFQSVHSDAKDISIAEPENVASAASQEPRAEPAAAPTTTPREGSSDTCAFATEIVSKDEDEFYTKELEPEPGQGTKQLDGYVLVSKGGDPGADVAVKDKQLDEARTEISDLRFSLEEAVRRAELAEEAKAALERELREEIRRKQTPSRRRATSDSEDGWRPAREGARPTTPARPRPTSSSTSGTASRAPRGARPGGEDMPTPRCLTLGKVLNMKYK >Sspon.01G0008280-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:61948646:61955593:1 gene:Sspon.01G0008280-2B transcript:Sspon.01G0008280-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DEAD-box ATP-dependent RNA helicase 24 [Source:Projected from Arabidopsis thaliana (AT2G47330) UniProtKB/Swiss-Prot;Acc:O22907] MSKRPKLEGFSIPRPTSYNFERSQPVQRLYRPTDDPDLDDIAFSDDAPSDAPASTAVEGKAEDEEEIDPLDAFMAEIQEEIRAPPPPPKPEALRRADSDDDEDDPVESFLRAKKDAGLTLAADAMRAGYDSDEEVYAAAKAVDAGMMEYDSDDNPIVVDKKKIEPIPALDHSTIEYDAFTKDFYEEKPSISGMSDQEVADYMKSLAIRVSGFDVPRPIKNFQDCGFPVPLMNAIAKQAYEKPTTIQCQALPIVLSGRDIIGIAKTGSGKTAAFVLPMIVHIMDQPELEKEEGPIGVICAPTRELAHQIYLEAKKFAKPYNLRVAAVYGGVSKFDQFKELKAGCEVVIATPGRLIDLLKMKALKMFRATYLVLDEADRMFDLGFEPQIRSIVGQIRPDRQTLLFSATMPYKVERLAREILTDPIRVTVGQVGGANEDIKQVVNVLPSDVEKMPWLLEKLPGMIDDGDVLVFASKKARVDEIEKELNQRGFRIAALHGDKDQASRMETLQKFKSGTYHVLVATDVAARGLDIKSIKTVVNFDIAKEMDMHIHRIGRTGRAGDKDGTAYTLITQKEARFAGELVHSLIAAGQDVPNELMDLAMKDGRFRAKRDSRKGGKKGGKGKGGGGGAGRGRGVRGVDFGLGIGYNAESGSQVPAPRSAAVNSLKTGMMQQFKSSFVSGSSNTPSSSAPSFVRPALRGFVSGGTIGGDARPAQSAPTFVPASRPAQPAPSAPASRPAGNNNENGNSNPESSRDRSRERKRPSGWDR >Sspon.08G0016910-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:66134451:66143032:1 gene:Sspon.08G0016910-1A transcript:Sspon.08G0016910-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAADVDAKLESFLQWLQANGADLRSCTIRACGGKGFGVFSTAAPEPGSNDGVAMVVPLDLAITPMRVLQDPLVGPRCRALFEEGRVDDRLLVMLFLMAERRRPGSLWKPYLDMLPSTFGSSLWFTEEELAELEGTTLHRATVIQRKSLQSSFDEKVKGLVEELLHVDESESSIEVLFEDFLWANSIFWTRALNIPLPHSYEIDVTAKDHSADDNSKSSNTESIWVEGLVPGIDFCNHNVKALATWEVDSVGNATGIPASMYLLLADKSSAEAGAEVCINYGNKGNEELLYLYGFVVDNNPDDYLMVHYPLEALRQIQSADTKMQLLEMQKGELRCLLPRRLLDNGFFGIRSNEDNDSKKNTSPFSSFSWSGQRKVPSYLHKIVFPQEFMSTLRTIAMQEHELEQVASLLGEVGSSEDRETSDAEIQSAIWEVCGDQGALGLLVDLLGVKMAELEEVSGTEASDTKLLEQFDSIQSEDCASESDEKHKRKSKINYRSCIVYRRGQKQLTRLFLREAEYLLELSAKELT >Sspon.01G0022840-3D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1D:80308380:80309099:1 gene:Sspon.01G0022840-3D transcript:Sspon.01G0022840-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHILQLLAEHQSSAKHYNRGVARFTRSADASRVTLALAAIAFLALFLSSFSISSQNSSTLPARLLAAGSIFTSKTALFVLSNVIFILLAADYRCRFAATTSAGDAASSGEPGGVVLEKQARHHQVQVQVEPCAVLSSAPCSESLDHDEQGEYKSTMSTSTLPDEEQLSELELVRLGEEEDSSVTLEETVDDVEEPTCGTAQGLDRLEISELNKKFDEFIRSRRIKWVKEEAYLLLCEV >Sspon.08G0010150-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:42640014:42644733:1 gene:Sspon.08G0010150-2D transcript:Sspon.08G0010150-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLPKHPSSSRPWRPCLQPGAPLIRPRPRIPATTSSGRRHLFRPSAAASSGSPSRDSPLPVPPAKRSSKNKPLDEIGRWKAVPPGMRESAGPETETEDPSPPRTALRSARRRARAAWRKVASWVPRKARSLVLLNLVTFIFGTIVQSLASPRLLGTDGSFMFAPHLFDVMLRLKLFLSASNISVVKQAEALLDPDLFNMLRFTIAAIPFVPLLLKSLRDMQIFFRGLELGIWVSLAYLAQAMGLVTADAGRTSFISALTVIIVPFLDGLIGAEVPAHTWFGAFLSLLGVAILELSGSPPCVGDLLNLVSAFSFAIHMLRTEHISRNIKKENFLTLVGCEVFVVAILSAASYIFKCFTRNVQHWNFKTWPPSELFGMVMLFPWPAILYTGIFSTAFCLWAEVSFVVIRSAILLDEVAAMRDVSATETAIIYGLEPVWGAAFAWAMLGERWGTTGLVGAIFIIAGSLMVQIFGSIPDGPVFTLILHRARLRSETCHRHIASKEQVPVAVSVVRLQIEAITRQQAARQGMWRVANKETTSTSNHSTACAAAGAAVGDTVAGPAAAEDD >Sspon.07G0025450-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7B:42417200:42418011:-1 gene:Sspon.07G0025450-1B transcript:Sspon.07G0025450-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPAIESETGSQDNDDANMELRIKAAHQFDFESSPCPTPVPIRIVQAIGCRPRPVVVYYEEKRDIASLFHKHEAKKKAAAAAVTSNCSPDPVEPVVEEQTHERVVEEIVNHMPSPQPFSLPPVYDINRLPHDPGERRPILKYPVNDQDVIGT >Sspon.07G0037850-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:63312257:63317344:-1 gene:Sspon.07G0037850-1D transcript:Sspon.07G0037850-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSGADTPSKTSKASAPQEQQRPATSGAATPAVYPDWSSFQAYPAIPPHGFFPSPMASSPQGHPYMWGAQPMIPPYGTPPPPYVMYPPGVYAHPSMPPGAHPFTPYAITSPNGNADATGTTAAAGDTDGKPSEGKDKSPTKRSKGSLGSLNMLTGKNPSEHGKTSGASANGATSQSGESGSDSSSEGSEGNSHNDSHHKESGQEQDGDVRSSQNGASRSPSEGKLNQAMTIVPMPSSGPVTGPTTNLNIGMDYWANTASSAPAIHGKVTPTTVPGAVVPAEQWIQDERELKRQRRKQSNRESARRSRLRKQAECEELAQRADVLKQENASLRDEVNRIRKEYDELLSKNNSLKEKLEGKQHKTDEAGLNNKLQHSGDDSQKKGN >Sspon.06G0003130-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:9789313:9796186:1 gene:Sspon.06G0003130-1A transcript:Sspon.06G0003130-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKTPPKEKEAEGSPKKKAPRVDDAAPTTVPVASAPSPTGKTPPKGEEAEESPRKKAPRLGDAALATPNPTGEAPPKEEAERSPPKRSRSPQNKSPRRQDKRPTAALPLPTVPESSELIVEGAGRASDEKRCKCNHVITYSAIEELVESLDSKDAWTCCDCRDEDKAGIVKTRPGKSDLLICVTCYDHLCCGVGSIAYPFGHSRAHALKKKHWFAALYCDPERGYCFKCNAEVPMPVKFGEGDNEVGLQRIRDIASQTLLALPPSVGQTEAEVNPKKVEMMGKVQAESRPSGFGVDLADKWPSYESRSSDAQGYAIRGIPNRGNTCYMSAVLQCLFVLGKLQQRMLALDCPKGPLAKVLKELFVEASAAGGVLNPNKVLKCVRKHCAPRFGVGAMEDSHDLLTALRELWNQGEENDNWLSDAPTVMDSIFRFEMAQTLSCKKCGHLSLPVSYPFLDLSMGYPSKGHPTKSAALPQTNESPRSRNREIVVQSFPADVQRNLEKMRTVSASGYSDVIGSDVIVEETHKPLEVDSTEAQCISQRKDVVQSPLKIQEGKSVDSLTADSVSIEDFLLLFSEDHQAAWRCPNCAKDHEESSASQSKNGEQTVGSGNEDTTVVGDQIEQSDRTACHSKESECHGGIVPAEKQTDLLGTNQSADASKQLMMHLEALKQSEQACTPYLLKDSKNEQKDDVGDNIMFQRFTMLPPVLTLHLKRARHDAVGSKISGHVRFMEKLDVVQFMDPSFVDKGSTLYRLAGVVEHIGKDTLKSGHYIAYVRARRLGNQQEGSSCSSSWFRADDCQIRQVTLEQVLNCEAYILFYERMEDQDISGMPEVPEDTFSSWEMIQSDEVVNCNPDLEDRCSVYELKLGDIPWTTQLMYNKYKYAMNVLENNKSYVERLQQGGEWLGQFAPLVDYLLPVPVCSLAANASSRMSQARKAAAIMGQAFELLWSIIPPQEHMAVLFVANEMQARDAVARWNNSGRYDGVDDDQARKVKEVLETLHAGKLLFDPELCDSEEMLSHYIDKLVSNSQKSGHRSHTHACLFKLNISKAFDTVCRNILLELLHYTLPLDQLAGGVVCLHLLRSPKQLPSHAMLVVSASLLLKKLMNKGTPRRPNPRYTGLEA >Sspon.02G0014960-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:40243333:40244025:-1 gene:Sspon.02G0014960-1A transcript:Sspon.02G0014960-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GNALAGRRRAAKVMTVDGATFRYKTPAAAGAALRGHPGHQLLESEEVRRLGVRARPLDPDAPLKPGKLYFLVQIPRGAAGAGADEDPRAPRKTWSGALHVGARERLESLMLSRRTVSDVASIVPSSAARLAAASSPRLNKPPPSSVEVGVDGAVRLRMRLPKAEVARLMKESKDPAEAAERIMQLCVARDQGQGVGTTPPPPRPCPPVLPPASALLSGRNPTPPGCLKKEV >Sspon.06G0012910-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:55754032:55755426:-1 gene:Sspon.06G0012910-2C transcript:Sspon.06G0012910-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding CTMGSMDYDNDKKPREQTRKSRTMLLLLVLTNAASILIFSGAGAALHAHVRRHYPAVVHAWGSAKLLRELNVTGLAMAASHAEVVDLSGRLTAANKVMEAILGGKAAKHDMEAAQEEQREAAAGGLWQRDREQELSDELKLAVGPHRLPHKRQMIGGGGGSGDAVLFPALGQACHRYRDELERYMNYTVGGECPSDEASTQRLMLKGCEPLPRRRCRPPTPAGYVEPKPLPASLWAIPPDTSIVWDAYTCKSYGCLVKRGKAKGSYDCKDCFDLRGREKDRWVRRSGEKADDERNSLDYTIDGVLGSLPKGSVRIGLDIGGGSGTFAARMRERGVTVVTTSMNFDGPFNSFIASRGLVPMHLSVASRLPFFDGTLDVVHSMHVLSSWIPDAMLESALFDVYRVLRPGGVFWLDHFFCLGTQLDATYLPMFDRIGFKKLRWHAGRKLDRGIHMDEWYISALLQKPR >Sspon.08G0009260-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:35677839:35679851:1 gene:Sspon.08G0009260-2B transcript:Sspon.08G0009260-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNLLHIPFLLPLLLSVALNLVCFTTVTSEDQFVYSGFSGNNFTLDGTATVTPDGVLELTNHTVHLKGHAFYPAPWRFRKSPGEMVQSFSVTFVFGMVPIYSDECTDGMTFLISPTKDFSGAQHSQYLGLLNKTSDGKASNHIFAVELDSSQNTEFNDIDDNHIGININSLTSFQSRSAAFYDDKNGIFQNLSLVSRKEMQVWVDYNGETAQINVTLAPLSVSKPSKPLLSATYNLSTVLEDPSYIGFSASTGPINSLYCVLGWSLGINSPAPVIDIAKLPKLPDVGPKPLSKVLEIILPIVTATFIILVGTTVILFVRRRMKYAEVQEDWEAEFGPHRFPYKDLFHATDGFKNKNLLGLGGFGKVYKGVASGLFYLHERWEKVVIHRDIKASNVLLDNEMNGRLGDFGLARLYDHGTNPQTTHVVGTMGYIAPELARTGKATPLTDVYAFGIFILEVTCGQRPINSHAEDSSQILIDWVVKHWHEGSLTYTVDSRLQGSYNADEVCLALNLGLMCAHPVCNARPSMRQVIQYLNGEMSLPEMMPTNVSYSVLALMQNEGFDQYTSISGSSGITSSLSSGR >Sspon.04G0014670-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:62558759:62559373:1 gene:Sspon.04G0014670-4D transcript:Sspon.04G0014670-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPHMESALRQGGLSEAEQRSLEPMVRAHHTFPGRAPGTCTSLVTQRVDAPLAAVWPIVRGFAAPQRYKHFIKSCDLRSGDGATVGSVREVTVVSGLPASTSTERLEILDDDRHILSFRVVGGDHRLRNYRSVTSVTEFHHRPDEPPAEGAGRPYCVVVESYVVDVPEGNTEEDTRMFTDTVVKLNLQKLAAIATSSSASNSSS >Sspon.02G0038730-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:47580031:47591494:-1 gene:Sspon.02G0038730-2C transcript:Sspon.02G0038730-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine desulfurase, mitochondrial [Source:Projected from Arabidopsis thaliana (AT5G65720) UniProtKB/Swiss-Prot;Acc:O49543] MALSRRLLPLFLRRGAPVPARALSTAAAPAAVASEDDEEAITVKGVRISGRPLYMDMQATTPVDPRVLDAMLPFYLSRYGNPHSRTHLYGWESDAAVEDARARVASLVGADPREIFFTSGATECNNIAVKGVMRFYRERRRHVITTQTEHKCVLDSCRYLQQEGFEVTYLPVRKDGLVDLAQLEDAIRPDTGLVSVMAVNNEIGVVQPLEEIGRICKEKGVPFHTDAAQALGKIPIDVNRMGIGLMSLSGHKIYGPKGVGALYLRRRPRIRVEPQMSGGGQERGIRSGTVPTPLVVGFGAACEIAAQEMDYDHRRVSALQQRLLDGIRAQVDDIVINGSMEHRYPGNLNLSFAYVEGESLLMGLKEVAVSSGSACTSASLEPSYVLRALGVEEDMAHTSIRFGIGRFTTEEEVDRAIELTVHQVKKLRDMSPLYEMAKAGIDLKSIECSQFPPS >Sspon.03G0038080-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:2987696:2992203:-1 gene:Sspon.03G0038080-1C transcript:Sspon.03G0038080-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQAKERSTTDSSSEAVSSQSHHTDLLSKLPTREGWTEPLVLYKNFWLRPRFAINIMHLQNSFKARQDDIIVASNPKCGTTWMKALAFTITTRSRYEFGNHPLLFRHPQEVIPFIEIPLGVELTYVETLSSPRVLATHMPFSLLPNSITTCGCRIVYICRGPKDAFVSRWHFENKISREYSINVEDALDMFSEGFSPYGPFWEHCLEYWRESIANLDKVLFLKYEDITSEPVKCVKRIATFLGVPFSIKEEEDGVPEKVVRLCSFELGNIVIEKSSYFRKGKVGDWVNHMSEEMGRKLNCIVEEKLKGSGLTLPSPRLLATHLPLSLFPKSITSGCGCRIVYICRDPKDAFVSRGHSVDLKTAFNMSSEGFSGYGPFWDHCLEYWRESIARPDRVLFLKYEEMLSDPVNYVMKIAAFIGVPFSTKEEEDGVPEEMVRLCSFEKLSSLYVNKTGEFF >Sspon.01G0019180-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:77607019:77613826:-1 gene:Sspon.01G0019180-2B transcript:Sspon.01G0019180-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARHQERTTSMLRRRPAAGCTSRATDTSRPRRALLLLLGHLLLLSSSARPAFSAQGEAEALLRWKDSLPPPRPTDALASWSLNGSTAAAAPAPCAWRGVSCDSLGRVVGVDVAGAGLAGTLAALDLRSLPSLGSLNLSFNALTGRFFPPNVSAPLLSVWSIDLSYNNLSGPVPATLPAYMPNLEHLNLSSNQFTGEIPDTLDKLTMLQSLVLHSNLLSGGIPPVLGNVSGLRDLELSSNPLGGTIPATLGKLLSLERINVSLARLESTIPTELSLCTNLTVIGLAGNKLTGGLPPSLAKLTRVREFNVSKNMLTGEIPLDYFTSWTHLRVFQADGNRFIGRIPVEVATASRLEFLHLATNNLSGPIPAVIGRMTSLEVLDLSENGFSGAIPRTIGNLTSLDTLRLYDNWRSHVEPSGSDDTDDFPGQGGNDAFVIDGWDGWNKSDRLRDHVGSKCNSFHNTAVKRCDNLLKPGQSIVDALNKQSDITKEQHLLRLKTSIKAVRYLLHQGLAFRGHDESVDSTNKGNFQELVQLLADVNDKVKKAVGSNAPKNNKMIAPEIQRDIANCFAERKDKDILEAMMEAVQSFCEEHGIPKLDMDEDYIDRHRLRKKTNRTNYQHYRYDCFNPIIDLQLAEFNDRFNEVNSQLLTQIAAFSPKNSFEAFKFESLMELAKSYPNDFDSTQLRDLGRELNIYIDNVRADERFANLNTISKLAKLMVSTKKHLGFPLVYRLLKLVLVLPVAIASVERCFSAMKIVKTILRNRIGDGFMNDCIVCFVEPEFLATIPIDDVFIRFHKMDDQILASPTGRLPDEFGNMTALQRLSINTNMLEGELPAGLTRLPNLLAIVAFDNLFSGTIPPDFGRNLSILSMSNNNFSGGLPPGLCSTPRLRYLSLDDNDISGTVPACYSNFTKLVRFRLARNRLSGDVSEILGSHPDLYYIDLSGNSFGGELPAHWSRFKSLSYLHLEGNMITGTIPASYGAMTALQDLSLSSNRLAGTIPPELGALALLKLDLSHNMLFGQIPLTLGNATGMLRLDLSGNHLDGGVPVELTNLAHMWHLNLSRNSLTGEVPALLGKMASLQELDLSGNPGLCGDIAGLSSCRSEPSRGDSSSKRNRARLVLVVTLVSAAALVLSVAALLCVLVARRRRRAGQDSPDTTASGAGGAMALTTSVWGKDAAFSFGDILAATEHFNDAYCIGKGSFGSVYRADLPGPGGQSLAVKRLDASETGDACRRGISEKSFENEVRALTRVRHRNIVRLHGFSAMGGHMYLAYELVERGSLGKVLYGPGRGCELFDWPARARAIGGLAQALAYLHHDCSPPMIHRDVTVNNVLLDPDYEPRVSDFGTARFLAPAAPTAPASPAPTATWRQLAYLRVTTKCDVYSFGVVALEILMGRHPGSLISSLHSRLPDTNGSLLLLKDALDQRLDPPEGQVGAQLVFAFLVALSCVREDPEARPTMRSVAQELSARTRS >Sspon.01G0031850-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1A:108684090:108684668:1 gene:Sspon.01G0031850-1A transcript:Sspon.01G0031850-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRMVSPARDWFQLPLAGSPATVNESLLRDGRDAVSTAPPPLPLKQFARETEASLGLTAACRPHLLDFCSRMPCQAQTTRAAFTSCTLHIRAGAAGPPTRVRPALRLHRVGVMRGLQEEYRGGVQVQPPPSSSSHGGSDGRALSWWSGDPEAKRRRRVAGYKSYAVEARVKASIRKGFRWIKDRCTGLVRRY >Sspon.06G0005080-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:17100259:17106239:1 gene:Sspon.06G0005080-1A transcript:Sspon.06G0005080-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding CELVGSPKACELCCIENVYGLKSESVEPYSGSVSNYAQPNNGPYFTCQGLNWYLTQGAVAAIMEGEMVVEWQPVLQVVDFSLASHNGFSFYRILLSDSIHQVYVNLFPHLSHLVEGSCLRKGTRVHLLRFIRDTVDQDQKFRVIVVLKLEVLRTDCTMVGNPKQYLSGKLENKLVELDAESVSSNAKLNCEAYSAGQRLKEFLTRRGMVTALQQPVMQVVDVSLMRSELKKFGRYHLILSDTVHTQDATLAPGLNHLVENILLIKGSIIRLLEFICDTAKSPRSVANYAQQMNGPCPSGQGFKGHLTWGAVVAILEGQMIAEQQPVMQVVDVSKDNLERCHILLSDGVYWMRGFLISNQRHLVDNNCICKGTIVRLHKFFFDTFRKFRIIFFAQLEVLEKKWEMIGSPTYKPVNKQKGSDAAMLAMLPTFLQTLRDNPLANLILDTKA >Sspon.01G0045110-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:92723721:92726227:-1 gene:Sspon.01G0045110-1P transcript:Sspon.01G0045110-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMASFCKFRVLLLLTGRTEWMASLTGHDVSLIVLVLLIGVSNSKASPAAPLHSHSQLDVNHKKPIQTFRPYNIAHRGSNGELPEETAAAYLRAIEEGADFIETDILASKDGHLICFHNVTLDATTNVANHTEFADRKRTYEVQGENVTGWFIVDFTLKELKSLRVKQRLSFRDQRYNGKYQIITFEEYILIALYADRIVGIYPEIKNPVFVNQHVKWSNGKKFEDKFVEMLLKYGYKGEYMSEDWIKQPLFIQSFAPTSLIYISNMTNSPKLFLIDDTTIPTQDTNQSYYEITSNSYLEFIKTTLSGLGHGRTQLFPKPKDNCLGQPTDLVARAHALNLQYWLNEIGVDGLFTDFTGSLHKYQEWTTPYQKEKNPEALLRESRTC >Sspon.02G0038150-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2B:39480721:39484779:1 gene:Sspon.02G0038150-1B transcript:Sspon.02G0038150-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSSSGGGTVSLFFWIGRSTLRCRTIRKVFFKHVGPTNDAIPNPSSKQSRYDKRERMLQGGSFEPHNVKLEYLRNITNDFSDERLLGKGGFGTVYKGLHVPRIHKQRSSLNKVRHLQFGRHNLRDSDRTQGLPDDTGASSEEFILMVLNNWTRRMVGTPEVDCQQIRRCIQIGLDCVKIDRFKRPSISQIIKTLHGPGIVDSSNIKRVRYFIIGV >Sspon.06G0028760-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6C:11215718:11215972:-1 gene:Sspon.06G0028760-1C transcript:Sspon.06G0028760-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MYKTGFHRFVCGPHHRSDCFCRAEPPPSTVVVVVYHEPIIAVLNSHFTISGRQCSGLSWSSHLIAALAELELLVCLGLHAALPR >Sspon.04G0031900-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:19303098:19306442:-1 gene:Sspon.04G0031900-1C transcript:Sspon.04G0031900-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMYDRVPCNWNGMDIAFKVDAGSNPNYLAVLIEYESGDGELRSVELMQRGGAWAPMQRYNSGAALQAPFSVRLTSGSGRTLVATNVIPAGWTPGATYRSVMHARAPARWPEDGVDRRRGAGLVYDSSCACDRRRYCTVASLLLLHALRLHGRRPAHLCLAMGRMGGRGADYLMGFCCARPVQMLRSNPHEWVGRWGLGQVFVHGEERPAPGACRRRQDAWNRSRSKDGNFRPRLRLPAAARADEFTKDEGAVLSCSIFLTTSVGNYTSCFESRRRDRRGAVGWVHPLGRAGSEVAKHGDSSQLGLGAVMVAWDKMQSGSEDLYRGGRQ >Sspon.02G0002240-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2B:6214138:6217265:-1 gene:Sspon.02G0002240-2B transcript:Sspon.02G0002240-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA repair protein RAD51 homolog 4 [Source:Projected from Arabidopsis thaliana (AT1G07745) UniProtKB/Swiss-Prot;Acc:Q9LQQ2] EEMMNQDQSSKEDWCGRLWNGMDLLKDVTENKHVLPTGLEGIDTLLGGGLRQGQLTEVTGPSSSGKTQVCLHSASHAAVKHMGVVMYLDTSNSFSPSRIATLIDGTNDLSDQRGFDLPHKERLKTMMRSIICESVFDIFGMFEVLHQLEVSLLNDKVKSGGRKICLLIVDSISSLLAPIVGGKYPQGRSMMISVAMILKKLAYEHNLSVLVTNHMVSAGNGAVKPALGESWKAVPHVRLV >Sspon.02G0011320-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:30394858:30398640:-1 gene:Sspon.02G0011320-1A transcript:Sspon.02G0011320-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:MBD2 [Source:Projected from Arabidopsis thaliana (AT5G35330) UniProtKB/TrEMBL;Acc:A0A178U6X6] MESSKSPQSSKNSQITVPSESNGPRFDNDGFASETASNQMVVFNTEAGDKEQDELGENHLQKSVITRGISPSIGAFTVQCAKCFKWRLIPTKEKYEEIRERIIQEPFVCKRAREWKPDVTCNDPEDISQDGSRLWAIDKPNIAQPPRGWERQIRIRGEGGTKFADVYYTSPTGRKLRSLVEIDRFLQENPEYVAQGVTLAQFSFQIPRPLRQDYVKKKPKLINPSDEASTITSKSFQPEEVNPIAWAVPTAHEGDASEEASLADETLASEVVLTRKRKVESFSSVEPNHLSDELEPKLADAQNGESN >Sspon.06G0002980-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:7771092:7772719:1 gene:Sspon.06G0002980-2B transcript:Sspon.06G0002980-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVASPSRASAPHTHTRKQSAGAAAPAAHQGARRDDRTPSPPAAGRGDPQAAAGRGGVWWRPPLRAEDEHAVIVAALAHVAGAGRQSSAAQPTPPVLGQQGSAATASTSAALAPTPRRGTRHAAGPPSAAAAAAAGADQVGFPDLSRYAHILQSGGDLDLQAIAGAGGLTPGQSSTTTASASSSYPAPSVDWSPWRGPT >Sspon.05G0000180-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1B:89498330:89498605:1 gene:Sspon.05G0000180-2B transcript:Sspon.05G0000180-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAVSQSRSSVEFSVRQEAACQDGVGGDEPGTSSPPRTHGAVYYVQSPSWNSHGGRDDHDGHVRALDPRTQPHGVAAALTLVRGQGRLLRA >Sspon.07G0005370-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:13620977:13625373:1 gene:Sspon.07G0005370-1A transcript:Sspon.07G0005370-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSKKWIFGAIGATRRQKKPKKLRRVWWQVKKLHKATAELYAPFAPFVRLVKEITESCSSQVKRWTPEALLWLQDAAYFYLNETFYVELELAIPPVHASSTAGEEWGEEHAGGSPEEAEEKPNGLVKRV >Sspon.05G0010760-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:30353541:30358284:-1 gene:Sspon.05G0010760-1A transcript:Sspon.05G0010760-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPPPLSSLQEEGEEEPTVEDSSAFSAAAVPPRPATHSHSLQKYAPLDWSAYFDEERRVAIPDTDDVFNVYTAGSEGPVVFCLHGGGYSGLSFALAASRMKDKARVVAMDLRGHGKSTTNDDLDLSIETLTNDVIAVIRTMYGDLPPAIILVGHSMGGSVAVHVAARKEIRNLHGLVVIDVVEGTAMASLVHMQKILANRAQHFPSIEKAIEWSVKGGPLRNVESARVSIPSTLKYDESRECYTYRTPLEQTEKYWKGWYEGLSDKFLSCPVQKILLLAGTDRLDRALTIGQMQGKFQMVVVRHTGHAIQEDVPEEFASHILNFISRNRIGPNGVEIPGLIKKWQH >Sspon.08G0011220-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:48893805:48895584:1 gene:Sspon.08G0011220-1A transcript:Sspon.08G0011220-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFAVSDELLGTFVPIAVYWLYSGLYVVLDGLGMDDYRLHPKGEEARNIVSKWTVVRGVLVQQAFQIAVSLLLFTMLNLVLGDENGTVRKQPSALVIALQFIIAMFVMDTWQYFMHRYMHINKFLYKHVHSKHHTLVVPYAFGALYNHPLEGLILDTIGGALSFLISGMTPRTGIFFFSFATIKTVDDHCGLWLPGNILHVFFSNNSAYHDIHHQLYGNKHNFSQPFFVMWDKILGTYMPYTLETRKGGGFEARPVKLNQAQQTKAD >Sspon.07G0005140-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:13208361:13210298:-1 gene:Sspon.07G0005140-1A transcript:Sspon.07G0005140-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEALALAVAGGARQLSAREEEMLALLAAFPDGGGSGSDRELSFSDLVEAGARPPSADADLSAPAAPRDDRPTAGHEAAASAASKRQRLQAARLRARRSGGSRGSCGGAGDGVLLNFYVPGLLTRSMTTPRPSRGPPSPGTVQGAPAKPVRTTTAAGKGSRTQTALGIGCFPALWGRGRRAAKACS >Sspon.03G0025200-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3A:76189192:76189506:1 gene:Sspon.03G0025200-1A transcript:Sspon.03G0025200-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASLSQSAHGSISLPHFSLSPRPPPPVPPPLPHLSESALAAAEHARPSQLRPQPAFLPIMPEPPLVACRLLAASAARPPPGHAYPPPTPLGEAAPLRLAHKRA >Sspon.01G0010410-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:31470606:31472835:-1 gene:Sspon.01G0010410-4D transcript:Sspon.01G0010410-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRLIPSLNRVLVEKLLQPKKSAGGILLPETTKQLNAAKVIAVGPGDRDRDGKPIPVSLSEGDTVLLPEYGGTE >Sspon.03G0006310-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:22442739:22447696:-1 gene:Sspon.03G0006310-4D transcript:Sspon.03G0006310-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRCLIRMLGPGVARLLLPRPSLFPRPPTPTQQSGSQKTRQSISVAKQRTARDARGGKENNHDPIHSVDFHPSSPIAAAMGKGPSSRAGSRHQQFRARAMTRVDDLQEVFSGLQSARKDSRPADAAVLEAQLQQMLREWRSELSAPSPASSLQGNARELSDPPSDTLRLLQLAAAEEEDDATSKMVEQQPPPPPPANQNQGHAQVRQDMKPEPREEAVDVAVEQPQLPGQGVLPNGAATASAVFHDQMYYVNQELTVEDFLYDEDYKIDLSGSNLDVLNNLEGIVQLEYPQFNLPQELTPNAYLDMSNSGQSAGGVFLHMTDLLTTMTSAPSAFLKPKCALWDCPRPAIGSERWHDYCSMYHADLAVQEEGPPGTMPVIRPRGIDLKDGPLFAALSAKIQGKNVGIPICEGAATAKSPWNAPELFDLYIFEGESIREWLFFDKPRRAFDSGNRKQRSLPDYSGRGWHESRKQVMKDFGGLKRSYYMDPQPSNSYEWHLYEYEINECDAFALYRLELKSSDAKKIAKSKLACNPMNEIQQQMVRLSADSPVDTKRTARSRTKANPIDANTNIYSVPSTAVQANVPNAYQPGSQVDQMTYLDGSVVYGPHLPYGYSTERSDFYWNLNDGT >Sspon.05G0007970-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:16112341:16114450:-1 gene:Sspon.05G0007970-1T transcript:Sspon.05G0007970-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPASPADPAASCSASASASAAAAASGDVAAMLPDSPPRRGAGHRRAQSEILLGGAALPDDLTFDADLGVVGEACVAGDEDEEDDDEDEEGSGGAGGSRMFEMFLENGGTLPGPSEPSAHPHPAATPTPPPRPRHQHSMSMDGSTSLLGSAAAGTPGRAGADAKKAISDAKLAELALVDPKRAKRILANRQSAARSKERKMRYIAELERKVQTLQSEATTLSAQLAMLQA >Sspon.04G0014930-3P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8B:19713361:19716794:1 gene:Sspon.04G0014930-3P transcript:Sspon.04G0014930-3P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVLHTGAGNKNAFKALIAAEYSGVKVEVTKNFEMGVSNKTPEFLKMNPLGKVPVLETPDGPVFESNAIARYVARLKDDNPLLGSSRIEQAHVEQWVDFAATEVDPGVAWYLYPRLGYIPYAHTTEETAIASLKRSLGALNTHLASNTYLVGHSVTLADIVLTCNLYHGIAHILTKSFTSDFPHVERYFWTMVNQPNFKKVIGEVKQAESVPPVQKKAAPPKEPKAKDVKKEAPKEAPKPKVVEAPAEEEAPKPKPKNPLDLLPPSKMILDDWKRLYSNTKTNFREVAIKGFWDMYDPEGYSLWFCDYKYNDENTVSFVTLNKVGGFLQRMDLARKYAFGKMLVIGSEPPFKLKGLWLFRGQEVPKFVMDEVYDMELYEWTKVDISDEAQKERVNAMIEDQEPFEGEALLDAKCFK >Sspon.05G0027000-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:48469034:48475552:-1 gene:Sspon.05G0027000-1B transcript:Sspon.05G0027000-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MESEVVMTEMVLAPTLPFKKMQTADKYPKGQSRGRQWKHLRHLLQSADASSMPLDRPNYMNIQSPPSIYPPKRYCDLTGFEALYVDPRTKLRYADPEVFKQIRMLPDEYVQRYLALRNAAVILR >Sspon.01G0006760-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:122488429:122491761:1 gene:Sspon.01G0006760-2B transcript:Sspon.01G0006760-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASAGKLAAVLHRRTRRVTSALAYAALEWVLITLLLINGLLAYAVARFADYFGLSPPCLLCSRVDRLFLQAEGGGEEAGAAQWLRDALCGDHAAEISALGYCLRHRRLAVAGEMCDGCRSEWKEKTSDAAGACTCCKAVVRTSLRELEDTITRDGHVLEKITDEVEDGDQGYVLLAQDDHDHEEDEEEPDEVENQEQEQQSEVEGQQQEDEVMAAVQDESLEYMDQVEDITAIEDDRLVSVVALDEMTIADDSGLDRDVEEEDGMNHVVEDEQDSRDVDIGVVLEEKRMLDSSVATPADVIEDSVMPISPVPCPETLTDPSHPHHNSISQDDGDVPEETAEIGDSTVDEQHIFVPQVSEAISEDDNRTAEVDTNCEVSIGSDICEREQDDHAVPFQDLAAFEEPVSPLAAAVDLPLALEILEPTEQEAGEVEQEEVTTRTGLDPQANEQNEIEEDKAPETPTNSAATQRSDRMFLLERKRSLSLSLDGSVASEMEGGEPSTVDQLKSALQAERKALGALYAELEEERNAAAIATNQTMAMINRLQEEKAAMQMEALQYQRMMEEQSEYDQEALQLLNELVTKREREKQELERELELYRQKVQHYEDRERRRTASFKANGVVSPSGSGTSVSSSGEDSDGHSDDYCELGESPDGGNVQSSSDAALGSMRDQDSTKHLAALDDSLTYFEMERLSILEELKTLEERLFTLEDDDINTSKQVTGHSSSDFDLSADGLQLPEHVVTGDKARFGGRASISRGKSLLPLFDAVGDETCDQMPSSGVGEADQADDSATKPVSVLVKEQERLAIIEEVDHVYERLQALEADKEFLRHCIKSLKKGDKGMDLLQEILQHLRDLRNVELHVKNAGDAIAANSA >Sspon.07G0008190-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:18084440:18087467:-1 gene:Sspon.07G0008190-2C transcript:Sspon.07G0008190-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMGERPSPRVFGEFDWGAGDGARASRCQDAGEAMGDYAVEFRENRIFGVGSVITLSEMPSASKSKSKDRSAAKVAKEQPKVAAKPMGNGMLASSYNNLSGKFHVLEPSASLLGSQGIDKFRNTDEIDEHSRSSHGTGDFDCASNNGSCSGESEDPKEKPTSTASRADSVPGCDIDKREKIRQKNEKKHQRQKERRAQELHERCKGYLMSRKLEALAQKLVAMGFSADQATMALIQNEGCVEESVTWLCNFDGSEEAKQQLAADQQSGANLKIDIADELSKIVSLEAKYKCTKQEVERAVVSCEGDLERAEEVLKTHKQESTAVPPKPEGSGDSSGLPNKQQVVLAQNPARPQTNGFSSVGSQQMRREEKDINYKLLMNGSGPKEPAIKGFQPLVTPIKPDLGRQQFVQPEKRRLNPNSIPSVPYVASSPLPVAVPQLKSDMRHVAGTNEVKSSMPNGSLRESVIVMQRPQSAGTKQSLPSTSHSMFAAEQSARDWFLNGASGVDMMLNGGLGHGLRNMSLDSVSSARSFGHANHQQSFVSNPIELAANGWGGTWSSGGTSSSRSVASSLGAFRGWNSSESSSALPHSDWRTNGPAPYDYTSVDWSVDTTLLNPAAKSERLSDTWSTMFMGGRSTRTPGNLNGAGIAGLHDSNHPMDPAPSPRPYEWPTFCRGGSS >Sspon.02G0028050-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:101838162:101840350:-1 gene:Sspon.02G0028050-1A transcript:Sspon.02G0028050-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPVEPGLACGSDTAHLSLLGYDPRVYYRGRGAFESMGAGLAMAPGDIAFKVGTPFLEHKTAARVALSNFATLDESTGVIVSRRADRHFEEEGPILCAALDGMKLTSFPEYEVRVRYATEHRCGVVVKGPKLSGNISGTDPLKDNRLHLKAEPLDDSEEAKNTAAVVNELSKEITRILVSHPINAKRAAEGKNIANVVLLRGCGIRIEVPAFETKHGLAPCMVAPTKIIAGLGLSLGIDILEAPGATGDYRTLLTSKAKAIAKALSAPMDTPPRVFVPGEDEYKAGRENGYDFGFLHIKAIDDAGHDKAVKLKVRGLEAVDRAIGQLARLLWEAEKAGHYQYFLCVTGDHSTPVEYGDHSFEPVPFAICRLRDYAAAIGEDNVINTQLDDFPLPSVKSGEDLLDDIESLERKPDQLKAFSGDAVCEFNEVATARGCLGRFPGSEMMGIIKKFIKAKND >Sspon.02G0010460-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:28820017:28820524:1 gene:Sspon.02G0010460-1A transcript:Sspon.02G0010460-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAVVGAVLMVVLYLYERLDDSFTSFTTYDNYYHTFSPYYGLDAAAIKLLPLTQYLAAAVASVVLSATAWGSGVSKKCAVCLMEFTDSDGLRMHAFHTDCINVWPRAHASCLLYRVAMERCANDVWLTACVLPRPPRTSFSPLLATW >Sspon.02G0057770-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:64350771:64351919:-1 gene:Sspon.02G0057770-1D transcript:Sspon.02G0057770-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPELLEDLDEEVVLRFPPEDPASLVRAALVSKRWCRLIASRGFRRRFRELHRMPPMLGFICNDDPGSGSEDEDRPCIARLVPTSSVPPACLSGWQALDSRHGRVLLYSLPRHWWLALRFLVWSPVTGEQWELPALVPNEQWELPAMFNATVLCARDASCDHLDCHHHGHGPFLVVLVFTCEDGHQLFTSFYTSDTGAWSEPAVAYQAADLVDLVPAMPAALLGNALYFVFVHDFNKILKYDLGTRETSIVDMPPRCCGRIILMTSQQGKLGFARADCDFNLYLWSMEVSEQGDVVWTQDRVIELQSLLPADALLTKPNVVGCVHGHGVILVRTRVGFFTIDPKLNQATKVGESIPSMKYDDVVIRFGRYSFAVVPYTSFFFP >Sspon.01G0042240-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:64355037:64357477:-1 gene:Sspon.01G0042240-1B transcript:Sspon.01G0042240-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA repair protein XRCC4 [Source:Projected from Arabidopsis thaliana (AT3G23100) UniProtKB/Swiss-Prot;Acc:Q682V0] MAAASSAVPARHSCAKLSVPVEDPKALPAGAGTVFVKATWLPSRFSLAVTDGAGAWVADASDHEVRLRAEQWDQPVADYLALAERYLAFQQPDSTYSFHDAGKGNCRVSPFGSLRPIFSSYCAVGYLSWTFERQGTRLEWRWKLQPSPNTQQTISEILDFLMDANIRLSPTCDSETGSLSYAYVEFKDDQREEVVRKTQSFDKLKQEAEKCLQQSERFNNEKAEFEQATFSKFVAVLNSKKAKLRQLRDKVVELESAGKPSKEVEQEEENSTDRTELFEGESDKEASVKDEPSETGSDNIHSSPEKSASTSRGRGGGRKRAKK >Sspon.05G0025780-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5B:26884256:26884713:-1 gene:Sspon.05G0025780-1B transcript:Sspon.05G0025780-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAVAAPSCPAPPRGLRCAKTVPFPSAAASSRGRVVYGSSYARRWQCRRWAHRPDAATTRIRRPTARRTAVLRVSCAYSPAPDAATTRIRRPTARRTAVLRVSCAYSPGGKGLTAAD >Sspon.02G0021630-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:72451105:72451533:1 gene:Sspon.02G0021630-1A transcript:Sspon.02G0021630-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSFIYIFSQHKTPFHLKDRMGLSTKLFAVLLLLLIGYTETQLGPVTMALARKCESPSHRFQGPCSRDANCATVCRTEGFTGGKCKGLRHRCFCTKDC >Sspon.08G0006190-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8A:19478101:19480505:-1 gene:Sspon.08G0006190-1A transcript:Sspon.08G0006190-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRCKNVGGAPSDGDESPPRLTEVARGKRKKTTVKKRKQTLIEVEVAQAVADAAELAERGGRGSGIHIGERRFHLEGRMRAQVTPRPEGQRHGGRPPPRARGHPPVKHFDLRGATARQVQALRFVEIGWWFPPQQDPRASEGFYTPLHEDFYRAYVDSGIAFRPQRVCRLEALVEVVGEQLRPHLSFLPGLSDFLGRTGAYYRTWVREFYSSLWIDPAHEFIHFAFRGRDRRLYSTRVREILRLPASETKIHQLCFGQTSPPRRPHGGTVPPTDMIRPCFREPFGEGSRRTPASLTPLARVLDAIVRRTLLPRLGYREGLTRMQLWVVHHLISQTPFDIWDLMLCEMEDTLAEGFKGHRQLPYAHWICFIIRSACDLPAEIRAEISDTTTAFPEYDIRQLWASVTREQAPRPGQRQRPEVPETAAEQDETVEGLAEAELADLDAQPADPVEDEATDSTDEDYQPIPRYRSPRSHDHEAGGSGSASRSDPAMVAILERLTQAQERQEAANQQQYLELLRHLVTVRVPPPSSVLTLALQSSGPQSQGQPSFQFTSPQQQVPQTFQSLSYTPIQMGFTLPVQPRPHLLTGTPFTDLSASYSELTGQPTPSHTTVVTSSGMSASETVAPPVTGTGTTETVPSSVASIDPPTVGTLQAQVTETAPIVATSSSVHAPALQTQTASLPRVSTEGQPDSSESKEDASQFVITPRSSAPDTTASVPPSDP >Sspon.01G0040370-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:32750819:32751769:1 gene:Sspon.01G0040370-2C transcript:Sspon.01G0040370-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAGTRAAAAALLFSFSAVVFLFLLLHAPPPSLSLTADLLVSALDRFLDGRGLLELATRRNMILLCYAILLLILRDAGVLGTPACRRSRSPPGEATAAPARPHATRSGVVALASCSAAPARPHATRSAVVALTACSAAPDQPNTTRSTVVWRRRRPRNRAVVAPVHEHDAAGRVVVGCRPAVEAVATRALPVLLTTGPAAQAEEATAAVATKQIVVVESSRNDDDCRAAVVEPLEHRTAIAADGERDDCDDRRIIAVADDDTAREQQTAGMEMEMELADDRTFEEFIKSQRRQMWQESLQLVSSDYPYQAIATCY >Sspon.07G0007880-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:21151507:21156171:-1 gene:Sspon.07G0007880-1A transcript:Sspon.07G0007880-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALHEEMPFDLDFHPSSPLVVTSLITGELCLFRYGPESQPERLFSVKAHKESCRAVRFVDSGKVILSGSADCSVLASDVESGKPIARLEDAHENAINRLVCLTESTIATGDDEGCIKVWDTRERSCCNSFEVHDDYISDMTYVADSNQILATSGDGTLSVNNLRRNKVRSRSEFSEDELLSLVVMKNGKKVVCGTPSGALLLYSWGYFKDCSDRFLGHTQSVDTMLKLDEETLVSGSSDGVIRLVGILPNRIIQPLAEHSEYPIEALAFSNDKKYLGSISHDKMLKLWDLEDLLNGPQVVNGDEPAEAGSDDSDDDSDDDAMDVDMAATSSKGTLVYEKAGCIVDDLLHV >Sspon.08G0018550-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:6435532:6442624:-1 gene:Sspon.08G0018550-1B transcript:Sspon.08G0018550-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MREGMRERDRGGSPDASGPPPFRGPAYKTKLCALWRGRGGCPRPNCGFAHGEAELRRPPPRASFQPRPRPGRRDYRDHEFRLRPERRHSPRYSPERDIRRRSFRDKRPSSEDRGSSRSRSPIRKSERKHSKSPDGGKTDSSISFRSSDNEDRGKDERYLSSDEKNGREEQLKQMHLDMEALHEDKSKLELLFEDRGKDERYLSSDEKNGREEQLKQMHLDMEALHEDKSKLEAILEKKTDEERKLYSRVEDLELQLNKEKEDCQRSQARFERLADLLASDILKPCTKEQGSIGITANEDPYNANEMSPSDQRQNHVSALRKRPISLPTSEESKNWKETERE >Sspon.03G0024870-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:75488882:75494439:1 gene:Sspon.03G0024870-1A transcript:Sspon.03G0024870-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVCRFLLARASRLTRPAIPCAAGSPIRCIHQGSVCRNKLASFVMEGAQGSSNVAKHNKRKSPVQRWRPVSTEAVPQKDDITETSNSGSKKIIEDCLASSENLASDVTANVVVEVTTNDASSSKNNLSFGYSSTKVVIEDNTEVSGFNKDLAGSNVSGTYSSSIEVDAPLIRFVKGNGGSTQKQIEEDTGVKIIFPSSREGTSVVLEGKSAESIRKASQMIANVLEEAVQSRQLDYSHFISLPLALHPDLVDKLNHFQSSILGEEDSDKDESRSEGSIDEMDVDRKQADGSSVCIKLQVQEEESTEAKMGSKDYQSDFGIDKSIFIKPKTFHLTVLMLKLWSKDRIAKASDVLQSVSTQVNEALENRPISIQLRGLTCMKGSPAKARVVYAPVLEVGGEGRLARACKAITDAFVKSGLVFERDARELKLHATIMNVRHRKSTNKRNQWKDSFDARDIFRKFGKEEWGEYPIHEVHLSQRFKFDKSGYYYCCSSIPLPTEAHTE >Sspon.01G0026170-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:99518247:99527570:-1 gene:Sspon.01G0026170-2B transcript:Sspon.01G0026170-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GRGRGRDPADDDDSGPPAWAIAAPPVPADHHVRQPTHQEQRSDLRLRPGGYRDFYVPLQGFHQGSSGVYGYSSDGFDRPGSSHHHQDHQQQQHEHVAQQSRRDKLRVQGFDPAAAAAAGHGLLPLDGDEHGGPGSMYEHAAAAAGPPTCSRDVQLPAPPSGPSATELLASQMNANYRFGLRQQQGPGGGRLQQAGSMAGLATDPAAAMQLFLMNPQQQQQQSRSSPTSPPPSDVQHHEAFQAFGAAAGAAFGGAGTTGIVEGQGLSLSLSPSLQQLEMAKQAEELRVRDGVLYFNRQQQQGPSVQQVPMVLHGQVGALGQQLHVGYGPAGVAGVLRNSKYTRAAQELLEEFCSVGRGQIKGGARAGVGPRLPTLTRAIRAAPPAPAPRSRRRPRARSPSTVPRRPFEHQRKKAKLISMLDEAHGGELLRLGDGVRRGDAVHGAGAEGHVAALPVPQGRHRGAAADHVRAAGEKDAGTSSGLTKGETPRLRAIDQSLRQQRAFHHMGMMEQEAWRPQRGLPERSVNILRSWLFEHFLHPYPSDADKHLLARQTGLSRNQVSNWFINARVRLWKPMIEEMYQQECRELEGSSAGGGGGGPESGNDPSGADDSHSPTTTGAAQLSQQQQQHGTVPGVMMPHKPDPGAAGPSAADAAFVGIDPVELLGGDAHVGGGADDLYGRFEPGVRVRYGPAAAAGAAAGDVSLTLGLQHAGTGNAGPDGTGRFSLRDYSGC >Sspon.04G0029160-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:70511760:70514775:1 gene:Sspon.04G0029160-1B transcript:Sspon.04G0029160-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:pheophytinase [Source:Projected from Arabidopsis thaliana (AT5G13800) TAIR;Acc:AT5G13800] MEVVSCSHSCSALHQTPATAWRLRGGSGLGLGHAKPSRPRRYAVMCVGTTRGASNSGGSGKVHAAQGFHVSDVDAALQGIPSIKAGEVEKVMIQGLPEGPDGSPISTGFWEWKPKLTVYYERSGVENSKAPAVLFLPGFGVGTFHFEKQLRDLGRDHRVWTMDFLGQGMSLPGEDPAPSSIASEEAFWGFGQDSQPWAEELVYSVDLWQNQVQHFIEEVIREPVYIVGNSLGGFVALYFAASSPHLVKGVTLLNATPFWGFFPNPATSPRLSKIFPWAGTFPLPSFVRKLTEAVWQKISDPKSIQDILKQVYADHSTNVDKVFSRIVEITQHPAAAASFASIMFAPRGQMSFQEAISRCQSQGIPISLMYGREDPWIRPIWGIKVKQQVPEAPYYEISPAGHCPHDEVPEVINYLLRGWLKNLESEGSIDLPFLEEPSYVEHGVSRELEFVREGSRKS >Sspon.07G0003760-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7B:8112044:8114236:1 gene:Sspon.07G0003760-2B transcript:Sspon.07G0003760-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MANPTRPPKRQTVPCRPPVRSGPSRPRIRRETRLRRRLLGLAGLSSPLLPCCEVHDGGSWKRCQPFERLPNSQNPGFGSFGKMKIAEHILTGHEVVIKILNRRKIRGLEMEEKVKRDLKMLRLLMHPHIIRLYEIISGVEYCHRKMVVNCDLRLESILLDSRCNVKIADFSSSNVMRDGHFLKTSCGSTNYAAPESFVTFSEGLDSRMLVVDPMKRITIREIREHVWFKIELPRYLAVPPPDTAQQVKKLNEETLNDLLNMGFDKNQLIESLQNRLQNE >Sspon.01G0012480-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:41320197:41324229:-1 gene:Sspon.01G0012480-2B transcript:Sspon.01G0012480-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIGGAFVQQYYQILHEQPDQVHKFYQDSSILGRPDSNGTMAINEKIMAMDVRNCLTEIETADAQLSHKDGVLIVVTGSLTSEEGVFRRFTQSFFLAPQESGGYFVLTDIFRFILERKPAEIIRVATQENEISQNGRPASETCSALPEPIPADRNVISDHVTAESNVTERQISDRSANGTAIENNVKTQPPVQVPKEDPKKALVAASLPSPTQTDVTKKSYASIVKVMKEGPLTPPVAKTTSSVSKQKPAPKPVSKAVDGLEKPSVKPAQVIETGDGIVAQNNSSRNEQGYSIFVKNLPYHANIEMVEEEFKKFGTIKPGGVQVRHNKVDRYVFGFVEYESQQSMQAAIEASPVHMEDKEVGIEAKRANSRGECTMVITSEGEEVAMNDGGGENFNRRNDGGENFNRRNDDGENFNRRNNFRNQNEFPGRGRGPPPPGNSYHQNGNGVHPSRPYQNGNGRFSRVNNGPKQAPVAA >Sspon.06G0013870-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:89760889:89763132:1 gene:Sspon.06G0013870-3C transcript:Sspon.06G0013870-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEQAQLCCATDSGGDSASKRDAAMPVAVAVSLCLLHDALGVALFLASHPLHTVYLLFFARGLVALAAFFWPLLVSTSLLLAVLATVGPYVAGAGAGDWPRGVRSSLGRTCGIAVAALCAELRPPDGAPAGLVAQLCSFVLGPTDAASVLRVEEIMGEPCNVIITASCFVPEVEGEPEVEGKQSLLLIGGDDCKEPAFALLVMDYIGDRSFLDYESSGDLKDKIDEKVVISEDMKGSDPLAEQCCFPRDTLFVQEMEAEEEEEESDIQGHDLILSAIDEVSNGVEEKRLECDPVSVEIKKSEPVQVLEIRKSQPMLAMEITELEPLEPMEAKKLEIKKFKPLHAVEIKKCEPVQAVQIKKFEPAGPPEVKEGETVQKVQIKALQPTLETKKSEPVESVEIKKNELVKPRSLIAQRIKLWEAQVVSGNAKPFVGDREENPMEFSLKNKSAGKYGKKCVRFEADPCAEKCGSDQQAQVAVFTQECVEQQQEQEFKHVKEYMHSETEASDKCSQDMKAEEITPAVVAQAEQEMLEQGCNDVQPEPDLEELEYEMAQPEQELDEMEEVYNDMTQSPAMWNERESPLKSTSIAVRVHSRTSSENLLSEGSPSRKDKEWKRTLACKLYEERMQLRLCCDRAVVEGSDNMDMLWEAYEVGSGGNKGRGGKRSGSKVKGSTAKVEDAVQEGKEEEEDDDDNEGSVRQLCCLQALKFSTRKMNFGGGRPSLSKISKVLKRMTALSRMGSRRKQSE >Sspon.04G0025140-2T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:30030662:30031185:-1 gene:Sspon.04G0025140-2T transcript:Sspon.04G0025140-2T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGVLQVPVAVYLLCKSKRMTPSALVLDISLCADVVVTVLLASGVGAGFGATNDILRFVRGRYTRWEDNSVKDDLEDYYNKAFVPLIFILLGMVLSMAATVVSARLRARATHDADADV >Sspon.03G0033110-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:52318406:52318678:-1 gene:Sspon.03G0033110-1P transcript:Sspon.03G0033110-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding WKQLRLNQCKKASSRCLLLKLFPRCCRSQAHFFKMWGYQPPSQIVEVQLVHRCESYRLNLRLRSKNLRNLSKNLHNLSKRWLAKHMKLII >Sspon.05G0008750-1P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5A:26061487:26062868:1 gene:Sspon.05G0008750-1P transcript:Sspon.05G0008750-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding METLLAHDGLFSCVFLVLVTTITILYLKQLLLAVFKRRTSSPSLPCPRGLPLIGNLHQLGTAPHDSLAALAAKHAAPLMLLRLGSVPTLVVSTADALRAVFQPNDRAMSGRPALYAATRITYGLQDIVFSPPDGAFWRAARRASLSELLSAPRVRSFRDVREGEAAALVAAITDMSGSGSPVNLSEELMATSNKILRRVAFGDGGGEESIEAGKVLDETQKLLGGFFVADYMPWLGWLDALRGLRRRLERNFHELDAFYEKVIDDHLSKRGAGASKGEDLVDVLLRLHGDPAYQSTFNSRDQIKGILTDMFIAGTDTAAATVEWTMTELVRHPDILAKAQKEVRGAVAGKDIVLESDLPLLKYLKQVIRESMRVHPPVPLLVPRETIEPCTVYGCEIPARTRVFVNAKAIGQDPDAWGPDAARF >Sspon.02G0031340-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:114616279:114621376:1 gene:Sspon.02G0031340-1A transcript:Sspon.02G0031340-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEPSPESDGEQRCLNSELWHACAGPLVSLPVVGSRVVYFPQGHSEQVAASTNKEVDAQIPNYPNLPPQLICQLHNVTMHADAETEEVYAQMTLQPLSPEEQKEPFLPIELGAGSKQPTNYFCKTLTASDTSTHGGFSVPRRAAEKVFPPLDFSQQPPVQELVARDLHDNEWKFRHIFRGQPKRHLLTTGWSVFVSAKRLVAGDSIIFICFVKASEVADMARPFVFLFTRNDNNQLLLGIRRANRPQTVMPSSVLSSDSMHIGLLAAAAHAAATNSRFTIFYNPRASPSEFVIPLAKYVKAVYHTRVSVGMRFRMLFETEESSVRRYMGTITGISDLDSERWPNSHWRSVKVGWDESTAGDKQPRVSLWEIEPLTTFPMYPTAFPLRLKRPWASGLPMFNGGRSDEFARYSSLIWKQRGSVTELPGAWSITMAQPRIDYPLLGLKPDTYQQMAAAALEEIRVGDHLKQTSSLLPVQQTQNLNGGLDPLYGNPVLQQMQFQSQQSPLQAVQQGYGQNASDSGFLQNQLQQLQLQKQHEPPPQQQQQTQVLQQQSHQEMRQHLSASCHDIANVASGVSESGSACQSQSSLLSGSSFYQQNIFDGNNGPDLHLHNSFHNFSSQESSNLLNLPRSGQLMASEGWPSKRLAVESLASHELQPVQLKLEKVNHQSNVSHVSGTLPPLSTRDGSSAQACGTNVQSHLLSSSFAIHDGLSTVRSGGVGNGTDATTIASLRYGDMNLLPENSIATSSCLGESGTFNSLDDVCGVNPSQGGTFVKVYKSGSLGRSLDITRFSSYYELRSELERLFGLEGQLEDPVRSGWQLVFVDRENDILLVGDDPWQEFVNSVWCIKILSPQDVQQMVRGGGDLLSTPGARMLQSS >Sspon.02G0036570-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:14494593:14500765:-1 gene:Sspon.02G0036570-3D transcript:Sspon.02G0036570-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVREEEFDEGDVWDVLQDDNRPAALMATTPPRIRRGSNKSKKVAVSSKDESVAAAGGAGAAGARGKGRSSAPVAIPAGSSRSARRGGNSEEDEKEEEDDDGGEMLPPHEWLARKMERMGVASPPDQACRPGRSKGRELTKVRDAVLPKTAFSERNLQVAYLKRKTKRDTSNIGPQKDKHCKIVGTIHMTSWEKARILIPNSAKPYYSPYPITLTDGVHKRASFHYPISKENT >Sspon.08G0020840-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8B:26943287:26947272:1 gene:Sspon.08G0020840-1B transcript:Sspon.08G0020840-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GEPSDDLITQRSRGRSMGKDLDRICHGLNSKMPLVIAKGKRRPDAPMQAAKLASEGGIILREHIPIYTHWKEYKKERKNDESLFKNYMGKMGIKFTIDPKDKEVEDACFDLLKTGQRQLRHKLKRAYFDGVPANEVRTTSPVRSMTDEQWIALVELWSSPKHKERCVKNIENRGKVRFAQRTGSRCFIAHTHVLKQNKYKDVPPTAIDLFKELHCSSKTGFCEPVKEKINQMDTMMAQPTPEGEQPKTPAEVVAHVLPSSKFLRNVGLETTVPKRSATAAACVQELEAEVAAEKQGAAALRDQLHGQQDELEGLKKKVEESEEARERQAEQIENLKKQGEETNALLRRGAPGLSCGHVDHEALHQIASSPSRLASSTCLCPPPVLHLRLHAPLAFPSLLVSEKRSRASATDASAPPPPLVPSLPGRSPPSRLDATRCCLFPPLVCPVPCLLAASRNLALSGTPWPVNHVLLFV >Sspon.02G0057640-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2D:63608121:63608886:1 gene:Sspon.02G0057640-1D transcript:Sspon.02G0057640-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFTEMMHNWLLRSLSSHTCLGGFLFKAFRAVVDEKKRKGKKKKERRRSKKWAGPAHQAAHVPLPLLLWLRKSFIIDSPPTLQTLSFSTARPLPLVVSPRLRGFSPLSPPLRCPALLPSLSLQMRYQLLCSPPSPDALPAALLSASPVSSRPAPWRPAVWRRGERRMIRRVAVVNPNYGTGWSRGTGREEEDEVSFFREKERCLEEGSNHGGRARAEGRWE >Sspon.07G0038480-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:79298869:79300886:1 gene:Sspon.07G0038480-1D transcript:Sspon.07G0038480-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMWRAAASRLLLTRRTPSPSPPSAATAAFFLHVRPFSPPPPPRPAQAEPEPEVTPAEARRLVRLVGVEALKRRLRDGRDEVVGYGQLLDACVEAGAARTHAEAEALARALDHAGVVLLFRDKAYLHPEKVAFLERYITSFCGYIVRYRFFICSHLVVDLVRRAVPLALSPENDPRKEEFEQLQAKKEEIDRLAHKQVRRILWSGLGFFMCQVGLFFRLTFWEFSWDVMEPIAFFTTASGLLVGYAYFLITSRDPTYQDFMERLFLSRQKKLCAAQKFDMERYLELQKHCRCPLEGHRPQGPKLHDL >Sspon.03G0017150-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:53757111:53765142:1 gene:Sspon.03G0017150-1A transcript:Sspon.03G0017150-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPDCVLGSRVDISKSVLPEALVSPPAPIQPTSRNETVLKFGPEVPLCESMVSALNIDEQNVNNPGSAPRGENREDNPGSGTSYNGVPENMVPVDKNGAPVHCNGVAPVPQYYLGTPFMYPWNVGWNNVPVMVPGKSMPESASASDSESCSTSSALWMNSPMMPASRLPSPAFPYPLVPPALWGCLSGWPATTWNIPWIRPNGCVSPSSSSNSSCSGNGSPTLGKHSRDSNPLKEEKREKSLWVPKTLRIDDPDEAAKSSIWATLGIKPGDPGTFKPFQSKVESKGQRSDAAQVNLGKSVEDQLPKQSVTFSSLDGSTKSSSIRDANDEALFDQSRCQRRCWGLVPAEGAEVLEVEAHGGLLLDDNALHPVDVLVHAVRWRAAGDEEGLEEAVRAEPDVRDKPARTAQPLHRLSHLLRRRVPARRILRVSHRRRHRRRRRRHRKCRRVRLRLLHHDSWASECQSCGSVAGRPRRN >Sspon.05G0009480-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:27342183:27346931:-1 gene:Sspon.05G0009480-1A transcript:Sspon.05G0009480-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAPATERKRPREGDAVTSAAAAAGDPQYTYLSIADALKVPGVKVCIFAVVSEIGTAVRSRGTDFTLTLRIVDQSRTAGISATFFADDTALLPRVKSTGDVISLHNVMITMHGEFFVTFNKKFSSFALFESNAECSPYQSSLKYRGSIDDKELLTQQRTWLAYNPLGLKDLELQLRSLKSDSTFDLVCKVVHVHEDNGKWIFYVWDGTDTPAAEFQAILDAEAVQSPPLFEGPPLPREVLCTMPCVGTVLRIYSNRFIKEVLHMQNGIYWARFCNITCKQEFGIWKGILLPTSRVRLLSHEDGSVVDRLKMYDSRNTNKVHRQPMTGFPSNVTGVDEKGGYSTLMESLTHDEVTHKLKTLVRVVGAYPCQPSVVHLLLSTGTYTMRLTLEDPTARIHAFVHKDEMVKFFGGFLTAEAVIKKMNKLLGNPEQEDSEEGAPLTRNPPWIWCCLKSYYKDKNDIWGSRTYQIYATEIRD >Sspon.04G0025000-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4B:23969924:23970330:-1 gene:Sspon.04G0025000-1B transcript:Sspon.04G0025000-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPITRLQSTAADWDLGEGGLCLLTPLRISSRQQLPRKNPSFTSAPSLPPSGSKMKLVRFLMKLNNETVTIELKNGTVVHGTITGMTSCERDHCGN >Sspon.07G0000950-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:2004595:2005092:-1 gene:Sspon.07G0000950-1A transcript:Sspon.07G0000950-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSTRAAHKAFLLCNYTLLGAASACIFLTLSLRLAPSACGLLLVFLHALTAVFAAAGCSGSFTEGGAGAGSAHAAHTAGAVLTAIFQGAAALLAFTRTADFLAELRSYVREEDGEVILKLIGGLGTAIFVLEWAALALAFALRLDDDGSEEADGEHCKSWASGYH >Sspon.06G0001610-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:5120116:5123303:-1 gene:Sspon.06G0001610-1A transcript:Sspon.06G0001610-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAGRERDRAVPAEDLGVATKDTAVVNTKPAKRYPLALWIAILGLIMLVGVYIFSLSLKQNGMLFGLMQTNLIEKEREKPCHDPSIPDTEIPYLHYPMPNTYDRKECACTGVRFFAILSMQRSGSGWVETLLNSHPNISSNGEIFSVKERRSNITAITKTLDKLYNLDWYSSAAKNECTAAVGLKWMLNQGLMKHHQEIVEYFNRRGVSAIFLLRRNLLRRYVSILANAHDSAMKQLNGTHKAHVHSKHELASDALVNFKNTRHVVLYYEDVVSNRTMLMDVLDFLRVPKRKLFSRHVKIHTKRLCDHIDNWADVNNFLKGTRFESFLNGSRR >Sspon.07G0017650-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:63503113:63505659:1 gene:Sspon.07G0017650-1A transcript:Sspon.07G0017650-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding QSRLMAMAAAPANGDSESGPQRNYQVVVAATQDMGIGKDGVLPWKLAGDLKFFKQLTLTTSDPAKKNAVIMGRKTWESIPVKSRPLPGRLNVILTRSGSFDFATAENVVICGSMKSALELLASTPYCLSIEKVFVIGGGILCRENLNGPACEAIHLTDIQSSIECDTFIPPVDFSVFQPWYSSFPVVENDIRHSFVTFVRVRKSVVETHDSNGKESTEVNTKNDKFETENFSFLPKMIFDCHEDLRKMTGQELEHCQKLFNRGYFGVVLSKNSCGSSVAHSIHRFCKRKVFISGMAMLQE >Sspon.04G0006680-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:18510149:18512778:1 gene:Sspon.04G0006680-2P transcript:Sspon.04G0006680-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKQGAKKMKDENKKRLDLLLRIILVSNAIYIVVRMAIMHSSFTWKHWIGLMVTSAAYFLPYKQLASMAKPVYSDNGELLDGGFDLSTGGVCEYLYDVIYITVFVQLMSIISEKFWWTYLVIPAFAGYKIFGLLRGTFFSGGSEGEVEDEKTRKKREKMEKKASRGKMIKTRTR >Sspon.08G0017270-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8D:1504656:1505813:1 gene:Sspon.08G0017270-2D transcript:Sspon.08G0017270-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSYYKTSRLRDRHLSAWPATCFDIQSRPPTASHWQPPPLAKRRRPEGKDLGHRPLAINRPGLGSYWSPTCTKRPHAPHRRIRRACTHGQPEHDPVAGVARPRPSCQHARAAAALAGLATAAIEELAVQDCRPRCRASTRTRLPSSCKKLAHDAVFLGHGLLPLARNPRDLGRLAGHGKATLDPASRSAALAPTRGPGAASSRLDGAAADCRRGGGGGARGREGEVRTGSFVGDPLLAATAASRRATVVAGGEVGRGGGGLRRTGVVAPEGRGPSVC >Sspon.03G0029470-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:16086647:16089300:1 gene:Sspon.03G0029470-1B transcript:Sspon.03G0029470-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTELAGRPGTSSGLALRASQFVFAAASICAMASAPGFTNYTAFCYLIASMGLQALWSLGLGCLDCYALILRRDLQQAFLMSLFVVGDWVTAILSFAAACSAAGVVVLFERDAYFCRRDPQLPCGRFEVAAAFAFLCCTFSAASAL >Sspon.04G0015580-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:58204845:58206148:1 gene:Sspon.04G0015580-1A transcript:Sspon.04G0015580-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMASPRWKSGDGRPRRLLLLLCCCLLAFPCHAQAQVTNNNISHRSDQGGIHSFVGTYGINYGRIADNLPPPEVVVRLLKLAKIRNVKIYDAEHKVLDAFRGTGLNLVVAIPNEFLTDMAANPAKAMDWLNENVQPYYPSTRIVGITVGNEVLGGADTGLAEALVGAVLNVHDALRMLRLDAKIELSTPHSEAVFANSYPPSACVFKDELMVYLRPLLDFFSKTGAPFYVNAYPFLAYMSDPSHIDINYALFKPNAGIVDPKTGLHYDNMFDAQLDAAYFALEAAGYSGMEVRVAETGWASAGDATEAGANMENAVTYDRNLRKRLFLRKGTPYRPDRVAKAYIFALFNENLKPGPTSERHFGLFKPDGSVSINVGLKGLLPSSAPPHPLLPFT >Sspon.02G0015410-3C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:43083742:43087186:1 gene:Sspon.02G0015410-3C transcript:Sspon.02G0015410-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPPPKADELQPFPPKEQLPGVAFCITSPPPWPEAILLGFQHFIVMLGTTVIIPSALVPQMGGGNDEKARVVQTILFVAGINTLFQTFFGTRLPVVMGGSYIFVGPTISIILAGRYSNEANPHEKFLRTMRGTQGALLIASTIQIILGFSGLWRNVVKLLSPLAAVPLVSLVGFGLYELGFPGVAKCVEIGLPEVFLLVVFSQYLSQVLDFGKSVFSRFSVLFTVSIVWLYAYILTIGGAYKNSPPKTQVHCRVDRSGLISGAPWISVPYPFQWGAPTFDAGEAFAMMMTSFIALVESTGAFIGASRYASATMIPPSIISRGVGWQGIGLLLDSFFGTATGTSVSVENIGLIALTRIGSRRVVQISAGFMIFFSVLGKFGALFASIPLPIFAGMYCLFFAYVGGVGLSLLQFCNLNSFRTKFIMGFAFFMGLSVPQYFNEYTAVASYGPVHTGARWFNDMINVPFTSKPFVAGLVAYILDNTLQIKESAVRKDRGNHWWEKFRSFKKDARSQEFYSLPFNLNKFFPSV >Sspon.02G0047920-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2C:20477696:20478466:-1 gene:Sspon.02G0047920-1C transcript:Sspon.02G0047920-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPARVQTSLLPLESGRPSRAEACRSDLSTAIAGGAGQWPTEVQNLARGTRLRTEKNALPRDLAVTVSPPARQDLASLPVTPILDRSPDGRRSKRTTRPRPRVPVRPTPPPHQTRALPCRLVPRPPPRRDRRSALAPAGRALHGGAIRVSRLRAPAAPRGRSPPGVATRAGPRPGSAAHRWCDPRARARATRRRHQLVVPFRRDFSLTPRKFPAPISVTRSAVRFRGRVPAWCCRAPTGDLAGDLMASLLAFCLHGL >Sspon.02G0029060-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:105351505:105352603:-1 gene:Sspon.02G0029060-1A transcript:Sspon.02G0029060-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding IMDTFFLSHGSPTLSVDDKIPARHFFKSWGAGEGRGRPAPARHPRRVGALGDGHAGGQRHPRQQRHHPRLLWLSQAHVSAQVPRPGRPGHSPADQGAPGASRVRPREGGPPPRAGPRRLGAADAHVPGRRHPRVPAVGADRPRRHVPLQLGKALAPLREEGVLVLGSGSATHNLRKM >Sspon.07G0018800-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:67939032:67944437:1 gene:Sspon.07G0018800-1A transcript:Sspon.07G0018800-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATARVGAAFSVVGKALAPFTDDLLKDWAASVKLGDNVRALELELLSVKALLQPALHKEIDNSALMELLVMLQDLGYDAEDVLDELDYFRIQDELHGTFEAADKHAKGCACNLMLNAKAVGKQIICLPACLSGAKGNRRGLKGGKAKPTSRSCCNPVHAVGKCFPCSSLPSVHDDDNSMPNSPERSHTNEPKKLKFNRVDASKRMQHIVEQLRLVHQRVSGIITTLGLNWSTVPNIAQSRPITTSERGIGKTTLAQHIYHNEKVQQHFDVKIWTCVSFNFNANKLIQEIEKYIPEVDGESKGTAAQLIEQRLKKKRFLLVLDDIWDCSNEDDWEQLLLPFRKSQVEANIIIATTRFPAQAQIMVRKIDHSIYLQGLDHKEFKDLFLDFVFGDDQSRKDHTFLLETGDKIIGRLKGSPLAAKTVGRLLKNQLDLVHWTRVLESKEWEHSVDKNDIMPALKLSYDYLPSQLQLWLNMLRCRPLPLHHLQMISSLRTLEMSCPSNVFPFDEVDNHVQYQFPVELLRIHQWRASGKDLTQLLTYFPKLSNLQLWDCEKITGLGVSVMEHQATATPGASSSGHKVDQQQDARAEEEIVALAAEGLLLLPPHLQELAICEYPEMSLRSNPLNDSKEDGRTGGGGLQGLSSLRKLSIWSCPKFLSSYYSSCFPFPSSLEYLGLEGVMGFETVVPLSNLSSLTEFSICECGDLRVNGLLSLLAQGHLTTLRVTETPKFFVDSKPSRVDEQVLPSRSSKLQELIMDDVAGVTATPICSSIFSSLTTLAFYRDAKVEHFTEEQEALLFINSLEVIRFEFCDSLKYLPARLHALPSLKRLSIWKCKAVRMLPENGLPSSLQELVIDRCPEIQSLPKNCLPSSLQKLVICGCPGIRSLPKVNDLPNSLRELCILYDNSKELTRHCRNFELSSMNISACDVYTRQGPMLKKIISNQFKISNIEMPSGIRQI >Sspon.07G0017220-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:62000648:62003007:1 gene:Sspon.07G0017220-1A transcript:Sspon.07G0017220-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQCCSKGASGAAADDEAPGAKAEAPSRAASNGGHQQPSASASAAPGGGTAGTNKAAASPSGGRASTSSSKPAGPLGAVLGRPMEDVRATYSMGKELGRGQFGVTHLCTHRSTGEKLACKTIAKRKLATKEDVEDVRREVQIMHHLSGQRNVVDLRGAYEDKHNVHLVMELCAGGELFDRIIAKGQYTERGAASLLRTIVQIVHTCHAMGVMHRDIKPENFLLLSKAEDAPLKATDFGLSVFFKEGEVLRDIVGSAYYIAPEVLKRRYGPEADIWSVGVMLYIFLAGVPPFWAENENGIFTAILRGQLDLSSEPWPHISPGAKDLVRKMLHINPKERLTAFQVLNHPWIKEDGDAPDTPLDNVVLNRLKQFRAMNQFKKAALRIIAGCLSEEEITGLKEMFKNIDKDNSGTITLEELKNGLAKHGTKLSDGEIQQLMDAADADGNGLIDYDEFVTATVHMNKLDREEHLYTAFQYFDKDNSGYITREELEQALKEQGLYDAEKIKEVISDADSDNDGRIDYSEFVAMMRKGTAGAEPMNNKKRRDVVL >Sspon.03G0042470-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3C:68211536:68213254:-1 gene:Sspon.03G0042470-1C transcript:Sspon.03G0042470-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPATSTRPQLRRPVALLTTVVSMALLSLVFFLVAADASRSLHYHRKHRRHHHGHHRAENYSHISMPPVAPPPDVDGDSPAESPGLPPDVRCALPPCSCHDKPCPLPRSPLKAPAGAPSTTPTSSAKPPPFSLANPPSPAPATAPSHSPLNPPSSHHPVQPPTHRPAKAPSLPPSKPPASSLDIAPSHSHAKPPRKHPDAKAPSSYAARPPSQPPKREPAPLPISPSKPPSRSPSHAKPPSCTLAKPPQAQTPRLAPAKPPMRSPAHPPKMSPASPPAEPPKTAPASPAGFSFSHAKPQPPAASTVKPPRLAPAKPPSPSPSPAQPPRCSTAKPPVAPAVSAKPPAHPPAASKPILPPPPPLPPAATNSSSAWGNVFDVRAFGASGNGSGNDTRAFRAAWKAACSSNSTTATLLVPSDGVFTISSTIFAGPCKSALTFRVSLMSCLFEIIDPSQGPIFTLAVTVNQIDGVLMPPDGPASWPASDSRKQWIVFYKTDGMTLAGEGTIEGNGEEWWNLPCKPHRGPNGSTLPGPCDSPA >Sspon.04G0011740-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:36870221:36882546:1 gene:Sspon.04G0011740-1A transcript:Sspon.04G0011740-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acetolactate synthase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G48560) UniProtKB/Swiss-Prot;Acc:P17597] MATTAAAAAALTGATTAAPKARRRAHLLAARRVLAAPIRCSAASPATLTAPPATPLRPWGPNEPRKGADILVEALERCGVRDVFAYPGGASMEIHQALTRSPVIANHLFRHEQGEAFAASGFARSSGRVGVCVATSGPGATNLVSALADALLDSVPMVAITGQVPRRMIGTDAFQETPIVEVTRSITKHNYLVLDVDDIPRVVQEAFFLASSGRPGPVLVDIPKDIQQQMAVPVWDTPMSLPGYIARLPKPPATELLEQVLRLVGESRRPVLYVGGGCAASGEELRRFVEMTGIPVTTTLMGLGNFPGDDPLSLRMLGMHGTVYANYAVDKADLLLAFGVRFDDRVTGKIEAFASRAKIVHIDIDPAEIGKNKQPHMSICADVKLALQGMNALLEGSTSKKSFDFGSWHDELDQQKREFPLGYKTFDEEIQPQYAIQVLDELTKGEAIIATGVGQHQMWAAQYYTYMRPRQWLSSAGLGAMGFGLPAAAGAAVANPGVTVVDIDGDGSFLMNIQELAMIRIENLPVKVFVLNNQHLGMVVQWEDRFYKANRAHTYLGNPENESEIYPDFVTIAKGFNIPAVRVTKKSEVHAAIKKMLETPGPYLLDIIVPHQEHVLPMIPSGGAFKDMILDALTEELEALQLAAINAIGALNAWGDLLTNCLQDIPARTGEIALHGICHGAAVALMTVQ >Sspon.07G0015830-1P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7A:56216161:56218302:1 gene:Sspon.07G0015830-1P transcript:Sspon.07G0015830-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIESPFDDLYTITPTGQQQDGYSSSSSQRQLTLYDHRLCDRHFFSQSTNDSNMQFSEVVTIPGMYNVSSNLGQNHHIAARVSPDYQRIRSNNALHHISQILMEDVDERISSHEGEAALQAAEKAFYDILKQVHPPSLDWSPLHNSSEAEGPGEGSNNYHKRPRKTSLASDISSHSMLQSLPAPLSPYGYCSSLFLPYQPLASTGRASRFGFPALQIRREAEDAKGFDKMVIRLEDSKLYICRLITTRAKKVVGKSKYAIFQIADNRNNPYIPDFDTREGRRRENYTITCEISRNEKFDSVLLNGLDCFIETTRLRDMAAKEASKNSQKGQSKAPAQQKLRGSRQLKKDVVDLRTLLIQCAQAVATNDRLLAGELIKKIRQHSSIDGECCQRLAFYFVNGLEARLAGTGSQLFHRVLAKRVSDEDKLKIYNFYLAICPFYRASYAFTNQTIMEASVGQSRVHIIDFGVYSSFQWPSLIQCFGEQGVAPRIRITGIEVPLPGFNPMENIEQAGKLLADYANMYKVPFQYQGIYSRYEDIQIEDLNIEEDEVLIINCLYRMRNLGDETVAMDSARDRVLKIMRRMNPKVFIFGILNGSYSSPFFLTRFKELLFHYSSIFDMLDTNAPGDNEGRKLLEGGILGRQILNIVACEGADRIERPETYQQWQARCLKAGFKQLPLDPAITKSVLRMKKEFYHEDFIADEENGWLLQGWKGR >Sspon.06G0004540-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:14261235:14262067:1 gene:Sspon.06G0004540-1A transcript:Sspon.06G0004540-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPLLVSLLVAVLAVAADVANAGGAKPLTPGGRVVHHNHGKFTAGPWKPAHATFYGGRDGSGATAGACGYKDTRAEGYGVQTVAVSSVLFGDGAACGGCATSPDGCKPGAAAALVVTATNLCPPNDQQSGDSGGWCNPPREHFDLTMPAFLQIAQEKAGIVPISYRRVACAKQGGIRYTITGNKYFNMVTVTNVGGAGDVAAVSVKGSKRVKWTELKRNWGIVWQTGEDLTCESLTFRVMTSDHRKATSWHVLPADWQFGVTYQASKNF >Sspon.07G0001040-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:1377770:1381308:-1 gene:Sspon.07G0001040-2B transcript:Sspon.07G0001040-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:LAZ1 [Source:Projected from Arabidopsis thaliana (AT4G38360) UniProtKB/TrEMBL;Acc:A0A178UWL9] MRVNLGLLLPLMDKYAAPTWAILISGFFMLLSVSLSMYLIFEHLSAYNNPEEQKFVLGVILMVPCYAIESVIPLKQLLLLLYVSLVNPDTSVYCGILRDGYEAFAMYCFGRYITACLGGEDRTIAFLKREGGEDSGEPLLHHVSEKGVIHHHFPINYILKPWRLGVRFYQIIKFGIFQYVIIKTLTASLSLILQPFGVYCDGEFKWGCGYPYFAVVLNFSQYWALYCLVEWYTATKDELAHIKPLAKFLAFKSIVFLTWWQGVIIAIMYSLGLVRSPLAQSLELKTSIQDFIICIEVPHLFKLDRLSKLFLFTDHISCLPTTQMGIASVVHLYVFPAKPYELLGKQYSPTNISVLGDYAASDPVDPDEVKDISRPTKVRLPQLEPDEIVATNIKESVRDFVIGSGEYILSSLLTKQYDQWRSALTNEEEYQDDNWVSASTPERTIRGIDDPLISGSASDSGIVGKGKRHRRDPSSAAAVDSWEGTEQAPDGFVIRGRRWEIKKS >Sspon.02G0026660-3C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:84641748:84645093:1 gene:Sspon.02G0026660-3C transcript:Sspon.02G0026660-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VKLNSQYYFYMETQAALAIPDEDNCMVVYSSSQCPETAQNVIAKCLGLPCHNVRVITRRVGGGFGGKAVRSLPAKVCKTNISTKSAMRGPGEVQGSYVAEAIIEHVASTLSADANLIRQRNLHTVESLALFYSECSEDAMGYTLHSICDQVTASENYQGRLETIESFNKNNKWKKRGLSFVPIVHKVLSRPTPGKVSILNDGSVAVEVGGIELGQGLWTKVKQMAAFGLGQLCPDRSQELLERIRVIQADTLSNVQGGWTAGSTTSESSCEAVRHACNVLVDRLKPVKEQFQEKQGNVSWDELISKAIMIGVDLSAREYYVPGPSGSYLNYGAATSEVEIDLLTGASTIVRSDLIYDCGQSLNPAVDLGQVEGAFVQGIGYFMNEEYVTNSDGLLISDGTWTYKIPTVDTIPKQFNVKLLNSGFHKKRVLSSKASGEPPLLLAASVHCATREAIRAARNEPHCSVFGPSPSHFDLEVPAVMPVVKELCGLDSVERYLESLLTSE >Sspon.02G0046660-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:5741373:5746590:-1 gene:Sspon.02G0046660-1C transcript:Sspon.02G0046660-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVRRRPAAAAAGDPPAQAPPADAAPAPDESVLRPPNLRVPAVAAVLFLAPFSYLAFVHYPLAADLQRSILKCGAMSLVGFCVALKLIPVAAPLPPPPPDVRLRHQQERLAHGPNQSALGLVVGIVYLVIAIIFQQFHYAPDSIWLVEYNAALTSVCFMILLGFVDDVLDVPWRVKLALPTFAALPLLMAYAGGTSIIIPKPLTPYVGLTVLELGLFYKLFMLLLAVFCTNSINIHAGLNGLEVGQTVVISAAVLIHNVMRIASSTDIETQQAHEFSIYLVLPFLTISLALLAFNWYPSIVFVGDTYTYFAGMALALFHFVPCPRHRLPRFDPETGLLTGTKDGNLVNIFLRLFGKCSEKALCIRLLIFQALCCIFCFWLRYMLTGWYK >Sspon.04G0017250-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:71507002:71522837:-1 gene:Sspon.04G0017250-4D transcript:Sspon.04G0017250-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Succinate-semialdehyde dehydrogenase, mitochondrial [Source:Projected from Arabidopsis thaliana (AT1G79440) UniProtKB/Swiss-Prot;Acc:Q9SAK4] MAMAMMTMRRAVALGARHIPAAAAASSRVVPLRHMSTDAGAAMEKIRAAGLLRTQGLIAGKWVDAYDGKTIEVQNPATGEVLANVPCMGSRETSDAIASAHSTFYSWSKLTASERSKALRKWYDLIISHKEELALLMTLEQGKPMKEALGEVNYGASFIEYFAEEAKRIYGDIIPPTLSDRRLLPVGVVGAITPWNFPLAMITRKVGPALACGCTVVVKPSEFTPLTALAAADLALQAGIPAGALNVVMGNAPEIGDALLQSTQVRKITFTGSTAVGKKLMAGSANTVKKVSLELGGNAPCIVFDDADIDVAVKGSLAAKFRNSGQTCVCANRILVQEGIYEKFATAFIKAVQSLKVGNGLEESTSQGPLINEAAVQKVEKFINDATSKGANVMLGGKRHSLGMSFYEPTVVGNVSNDMLLFREEVFGPVAPLIPFKTEEEAVHMANDTNAGLAAYIFTKSIPRSWRVSESLEYGLVAPFGGVKQSGLGREGSKYGIDEYLELKYICMGNLG >Sspon.03G0025780-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3B:740847:741866:1 gene:Sspon.03G0025780-1B transcript:Sspon.03G0025780-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTATSRRRPRRGSKGPNADLCRTLTDCTRRGDAAAAMAAFDAAVSGAGAGADADTDTPLRLAAHQYNQLLHLLAAADRSSFPGPTAAAAARRVFAHMLQAGAPPSEATITSLARVTAADDDPGSSAADEAFELVATMKDKYGLSPRLRSYGPVLAAFRRAGEAAKAYAVEAHMTASGVAPEEPELAALLDVSSRAGDADKVYEYMHKLRQTVDCVSEDTAGVVEAWFRSDKAAMAGKSGWNAAQVKDAIVANGGGCHCLGWLGTGPWTVQRVRVGADDHCGGCRYRLACIDIDMEETQRFADSVAGLALERETKTNFSRFQVLSLSYCCHHLSLNFL >Sspon.03G0016050-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:51261766:51262732:1 gene:Sspon.03G0016050-1P transcript:Sspon.03G0016050-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding FIEQMTLVYDPVANDYRNLPGVETRVRSFGSSRGFYRNPKSTYGGMVALEFVRSTPMAWRDAYIKHLILVAPVPAEGFMGTLHNFVSRSERMYVPTVTPLALTLRPMWRSFESAIVNFPSQAVFGDRPLVVTAQRNYSAHDVEDLLAAIGFSAGVEPFRRRAVPKMRYFQAPMVPTTCMNGVGNDTPEQLVYWDGDFDAEPEIVYGDGDITINLISMLAFDQKMRRQPKQNKLYKSIKLHGADHGTIVTEDWALKRV >Sspon.03G0036970-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:56888858:56889537:-1 gene:Sspon.03G0036970-3D transcript:Sspon.03G0036970-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VRRKEEGAPSLSKEEKERLFLKENVLQQVRDTRLFAIVHDQQYANKPCCNCSSPSEEDSLTRIAAVVCCQGAAMLSGNLVPRDAFCCKETCLKCVQANGDGLKTVISGSVVSEGSEQGVDMLLPMSSPGASSCNSNLKNNVIKYPSSVDVLTVLLLALHPNTWLGIKDEKLKAEFQTLISTDSLPDDLKREVC >Sspon.08G0028880-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8D:18272117:18272760:-1 gene:Sspon.08G0028880-1D transcript:Sspon.08G0028880-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKILRDYSVPAVANVPVGPAVNTGNGNFELHTGLITMVQANQFSGLPSEDANVHLQHFLELCDTIIIKDVEHASIRLYLFPFSLSRRAKQWFYKDWEAINTWNKCSAAFLAKFFPMGETNALRGRISNFQQNAIEFIPEAWDRLQDYIQSCPHHEIENWLALQYFCDELTIMSRGTSTLLLEPLSFPSPLMEPWLSSIKWCHIKAGGRKKITK >Sspon.06G0020380-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:9169991:9179785:1 gene:Sspon.06G0020380-1B transcript:Sspon.06G0020380-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMDEQLTTPAAVELAGGGGREDEDWYDVATTAGRTTEPPPLTHDDNRGFLRMLRDNKERYGDDCTEQINYSNMHASINLLGVEAPKVEVRFERLTVEADVRVGRRAVPTLLNCAVNAAQELATSAHMCVTRKRPIRIINEVSGAIRPSRMTLLLGAPGSGKTTLLKALAGKLDSTLKFKGKVMYNGEEMNYSTPQYLRAYVSQYDLHHAEMTIRETINFSSKMLGTNNEFEMIGEAIRRKKGVINKVDQDLDSFIKATTFGEGSNLTTNYIIKILGLSECADTLVGDEMRRGISGGQKKRATVGEMLVGLARCFFMDDISTGLDSSTTFEIMKFLQQMAHLMDLTMVISLLQPPPETLELFDDIILLCEGQIVYHGPRENATDFFETMGFKCPDRKNVADFLQEMEYFQSMLFKGSTAAEKKLSVHIFKTIQITVMALVISTLFLRTKMSHNSVLDANKYMGALFMAVVIVNFNGMTEIAMTIKRLPTFYKQRELLALPGWALLCSVYLISIPISLVETGLWTGLTYYVIGYAPSPIRFIQHFLVLFAMHQMSMGLYRFLAAIGRTQVMANMLGTAALIAIYILGGFVISKDDLQPWLRWGYWTSPFTYAQNAIALNEFHDKRWATEFYYNDANTVGEAILKIRGLLTEWHWYWICVTILFGYSLVFNIFSIFALEFMNWTIKIAGYPKKQETFSRISGYCEQSDIHSPNLTIYESLKFSAWLRLPSNVKPHQRDMFIEEVMSLVELTDLKNAMVGIPGATGLSAEQRKRLTIAVELVASPSIIFMDEPTTGLDARAAAIVMRTVRKTVDTGRTVVCTIHQPSIEIFESFDELLLMKRGGQLIYSGSLGPLSSNMIKYFEAIPGVPKINKGQNPAAWMLDISSHITEYEIGVDYAEIYRNSSLYSKDEQDVFNILGIVYGSALFLGFMNCSILQPVVAMERVVLYREKAAGMYSTMAYAIAQVSVELPYMLVQVLIFSSIVYPMIGFQLTAGKFFWFFLYQVMSFMYYTLYGMMTVALTPNIEIAMGLSFLIFIFWNVFSGFIITREMMPLWWRWVYWADPAAWTVYGLMFSQLADRTEKILVPGLGEQTVREFLEGYLGLQDRYFELVTCLHLAIIGLFAFLFFLAIKHLNFQRR >Sspon.02G0012890-3D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2D:28112396:28122074:1 gene:Sspon.02G0012890-3D transcript:Sspon.02G0012890-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVPKAVALVAALAFVALGALATRWLQRMEARNEEVRRLALLAAAEEETIEKEQAYHYGQYGGFVRASDLPSLWTAQEVAPAAPLWTGQAQEVAPAPLWTAQAQEVAAAPLLTAQEVAPAPKEVEAEAAAVAVSTPAGKRVCAMCSKPTTLRCKRCKSVKYCTIKCQIDHWRNGHKDECHPLGHGAARDDTPKNVMTGTELKDMPFEASTTYEKCDGNKDMLHSQFTGKAESVDFSRLSTSSEICKGHDGAVRENCCLTAHDQHAGLEPEPEQSNKQAFGSENHESLRNLPCMPVVDKVPSTHSGAYCVASNPLNREDNPPGPCARPESSGVLPNNPSTEKNYARQQTTPKAVRNYPTESTLFAYKRFVELYSFNKLELHPFGLYNLGNSCYANAVLQCLAFTRPLTAYLLEGYHSQNCSKTEWCFMCELEKVLIEGKYGKSPVSPTGILCHLKEIGAQYLPPGVVRVRVPRDSLFIRGFGRCDQGVGGNSLVCPTSKAQIKCTKCQSGVLGETSKVVKFPEHLNLSQYMSRTDDSSPVYSLYAVVVHHNVANATVSGHYVCYVKDSQGKWHEMDDNKVKPVSIKKVLSKCAYMLLYARISPRAPTSAREAMLSQGASSRTKKPKQMARSGSFPSGGSRYRSSRHQGGQLSKDDAVHDLTYTLGASDRSSSYPVPPPCFSRSNSSSLFSSSDAGSSCTFSSDSTDSTRNSSMEYDHIFGASGYTCPVSPAVIPEEDKLSYLRQRSSWNPNSSGHDMDEEAGKFARQYQRRYRQAGTGRGARVDSGGETTSTSLSYADQ >Sspon.07G0014340-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:57765294:57766349:-1 gene:Sspon.07G0014340-3C transcript:Sspon.07G0014340-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VHIHPSPPRLRLRRAMDPFHHLNTSFSNPYHPLLSPSPPHHPHFPPLPPPPPLPEPPQLPSSASAASSAAASLERERLPQWSHAETAAFLAVRADLDHSFLTTKRNKALWEAVSARLHAQGFARTPDQCKSKWKNLVTRFKGTEAAAAAAAGAAVVDPASAAGQSQQQQQPRPQFPFHDEVRRIFDARAEHAQALERKRAKGKGVRDDEGAGEGEDVDEYEEDEETEADAAEAAAGDEELAADAGRASGGAGTKKRRRKQQQQATRAASRAAADQGEVEAMLREFMRRQAEMEERWVEAAEAREAERRAREEEWRAAMVALGEERLALVRRWREREDAWRARAEEREERRHQ >Sspon.02G0021710-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:72709155:72710932:1 gene:Sspon.02G0021710-1A transcript:Sspon.02G0021710-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGEYGPARGARVVLFPLPFQGHLSPMLHLAGALHARGLAVTVLHTAFNAPDPARHPAGLTFVAVPDVVPEAVAATTNGVAKIFALNAAMEASGHVRDALASLLAEEGAPRLACLIFDATLSAAQNAAAGLGLPTLILQTGSAACFRLFRSDTYDMLHDKGYLPATESNRHMPVEELPPLLVRDLFDPSKVPNKEIVHKILSRATETTTSFSGAILNTFEALESHELEMIRDQLAHK >Sspon.04G0024480-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:19876375:19880130:1 gene:Sspon.04G0024480-1T transcript:Sspon.04G0024480-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPEHRLKGPLRGSGTSGGAAMPQPKPCGNGTGSSGGISGMGSRGANPLANLSNLTGCHGFQRKEPSTTLTPAATSQPPVARATKYTRPGSSSAPRDPPLRTGQKQKSYHRRFSLLSINSLDSTSTLPDPGGSTCHLGNTALGGAAESAARLAPMESSPRLTVNAGVRLSVETPRKHQAVSLNVETPREHQAAVKGSSGETPRKHQTVTGYKAAQKHCIKEKTGGSSSGGMAQKCCTKERTLPSRQVRHPLGTQRAGTIDRCITTQKQSENNPSISSNCLSLVSNAVPQHCKELAADKKIHLPEEMMKQVLEADLVIKQLNELGLGEDINDEELQCYYEQLPCEHTCVDTSLELGDEQIKKLQVNHVLCRIKYYKMTQERRKNELASVLEYDCYRYRLEEKLKCFVEDETKLKRDHILDHLDKEGLLEYIEKDDTFDWSFQYRTVAALDDYQRLVPRNRGGSEYVHWNDYREYFHKYEIEREYLLFWKELLKKLKWMEDYLNIKWTTLKWDRINKRGESQAIKIATGFPKITVGLAHAAYYECIDYMSTEFHWYRELDGVYFEIWKRVAKLEMSFREALDEVYKSGKFLLREHEMEHALEISDFKMEEEFRYCTEGITEEDTEEKARELIADAITKMLRRPKFYAQYIMKKMEVATAIGVIPQGAP >Sspon.04G0003570-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:11066111:11070783:-1 gene:Sspon.04G0003570-1A transcript:Sspon.04G0003570-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAISSSSSAFLLLVASSSLRRRRGRVGAALRSYGYSGAELRLHWAQRGPSRDGAAVVRAAAAPAGGEGEEAAAAGMSSSSKGVAVQGSKAKAVDSTSPPKPVTSAPKQSQSAANQNGTVGSSSASKSVSPVSQPKAERSAPVTKTETDASAKVEEPKPTVDDAKPVESIGIAEPVDAKADGAPATDAAASAGDDSEDKEPGPLAGPNVMNVVVVASECAPFCKTGGLGDVVGALPKALARRGHRVMVVIPRYGEYAEARDLGVRRRYRVAGQDSEVTYFHSYIDGVDFVFIEAPPFRHRHNDIYGGERLDILKRMILFCKAAVEVPWYAPCGGTVYGDGNLVFIANDWHTALLPVYLKAYYRDNGLMQYARSVLVIHNIAHQGRGPVDDFVNFDLPEHYIDHFKLYDNIGGDHSNVFAAGLKMADRVVTVSNGYLWELKTSEGGWGLHDIINQNDWKLQGIVNGIDMSEWNPAVDVHLHSDGYTNYTFETLDTGKRQCKAALQRQLGLQVRDDVPLIGFIGRLDGQKGVDLIADAIHWIAGQDVQLVMLGTGRPDLEDMLRRCEAEHNDKVRAWVGFSVPLAHRITAGADILLMPSRFEPCGLNQLYAMAYGTVPVVHAVGGLRDTVAPFDPFNDTGLGWTFDRAEANRMIDALSHCLNTYRNYKESWRGLQARGMAQDLSWDHAAVLYEDVLVKAKYQW >Sspon.01G0048630-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1C:24976424:24977551:-1 gene:Sspon.01G0048630-2C transcript:Sspon.01G0048630-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQQLVKTTLLLVALVVVSAVELCRAIEFDERDLSSDEALWDLYERWQTHHRVHRHHGEKGRRFGTFKENVRFIHAHNKRGDRPYRLRLNRFGDMGPEEFRSTFADSRINDLRRAAPAVPLPGFMYDDATDLPRSVDWRQHGAVTAVKDQGRCGSCWAFSTVVAVEGINAIRTGSLVSLSEQELVDCDTAENGCQGGLMENAFEFIKSYGGITTESAYPYHASNGSCDGVRSRGRGRVQVSIDGHQMVPTGSEDALAKAVAHQPVSVAIDAGGQAFQFYSEGVFTGDCGTDLDHGVAAVGYGVSHDGTPYWIVKNSWGPSWGEGGYIRMQRGAGNGGLCGIAMEASFPIKTSPNPNPARKPRRALISRDSSSSSQ >Sspon.02G0017920-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:55053302:55054923:1 gene:Sspon.02G0017920-1A transcript:Sspon.02G0017920-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNSRGKSELLIARMEKLAADRGEQVFDNKFCQKLAEEFNRSAGRAGSKALQATQVQGWFLNKFPASATKPTCVPTASQEKTSTSEVNVSVSEKRSAASEEKHVCPLLPKKSTSASEVNVSVSKKRSAASEEKLLPLDTSVSNNEDEVSPVFPLETRDMIPELENLEFEAMSAKDSAW >Sspon.03G0024210-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:73833809:73839652:-1 gene:Sspon.03G0024210-1A transcript:Sspon.03G0024210-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MYKAATYLSAPAAKSKSLLGLRFCDPDIQSTTELQSSAQGTNEVQSQQPNPMVTDAPAGNLGSLSVASNDNKKVSREDIELVQNLIERCLQLYMNKGEVVRTLSTRARIEPGFTTLVWQKLEEENSEFFRAYYIRLKLKRQIILFNHLLQHQYNLMKYPAPPNIPLAQYKMEFTICQQPIMPAPGQPHMDPMACGLSSGHVVNGIPAGGYHPMRMNSGNDMVVDNGAPEAPHAGATGSAMSSEMAVSPSSAASSNHAPFTPSEIPGMTMDVSALDSAFGSDLWNFSLSDLTADLTSLGDLDVLENYTGTPFLPSDSDILLDSPDHDDIVEYFADAINGSQSDEEKS >Sspon.04G0014770-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:60229974:60234670:-1 gene:Sspon.04G0014770-1P transcript:Sspon.04G0014770-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGAVLVAIAASIGNLLQGWDNATIAGAVLYIKKEFQLQSEPTVEGLIVAMSLIGATIITTFSGPVSDWIGRRPMLILSSVLYFLSSLIMLWSPNVYVLLLARLVDGFGIGLAVTLVPLYISETAPPEIRGLLNTLPQFSGSGGMFLSYCMVFGMSLLPSPDWRIMLGVLAIPSLFFFGLTIFYLPESPRWLVSKGRMAEAKKVLQKLRNKEDVSGELSLLVEGLEVGGDTSIEEYIIGPATEAADDHVTDGDKEQITLYGPEEGQSWIARPSKGPSMLGSVFSLASRHGSMVNQSVPLMDPIVTLFGSVHENMPQAGGSMRSTLFPNFGSMFSVTDQHAKNEQWDEENLHRDDEEYASDGAGGDYEDNLHSPLLSRQTTSLEGKDIVHHGHRGSALSMRRQSLLGEAGEGVSSTDIGGGWQLAWKWSEKEGEDGKKEGGFKRVYLHQEGVPGSRMGSIVSLPGGGDVPEGGEFVHAAALVSQSALFSKDLTEPRMSGAAMVHPSEVAAKGSSWKDLFEPGVRRALLVGVGIQILQQFAGINGVLYYTPQILEQAGVAVLLSNLGLSSASASILISSLTTLLMLPSIGLAMRLMDLSGRRFLLLGTIPILIASLVILVVSNVIDLGTVAHAAFSTVSVIIYFCCFVMGFGPIPNILCAEIFPTRVRGLCIAICALTFWIGDIIVTYSLPVMLNAIGLAGVFGIYAVVCLIAFVFVFLKVPETKGMPLEVITEFFAVGAKQAAAKA >Sspon.02G0015420-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:18883191:18885983:-1 gene:Sspon.02G0015420-1P transcript:Sspon.02G0015420-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQATAAAFLARPLPRLRRIGGWGADAAAVRGGVIALPPRLRGSRCSISLSIGAGAGAGGDHEFSYEHVPVFPRYRIRDPYKLLGVDRDASEEEIRSARNFLIQQYAGHEPSEEAIEGAYEKIIMKSYQQRKKTKINLKTKLKKRVEESPSWVKALIGYFEVPSMDIISRRLFFFAFIAGWSIATSAENGPAFQLAISLFSCIYFLNEKMKNLLRASTTGFGVLVGGWIIGSLLVPLVPTFIIPPSWSLELLTSLVAYIFLFLGSTFLK >Sspon.03G0001400-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3A:3456531:3459628:1 gene:Sspon.03G0001400-1A transcript:Sspon.03G0001400-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGNIGKIPIIGDITGSNKNAHLKGNVVLVRKTVLGLDVTSIAGSIIDGVGEFLGRGVTCQLISSTVVDPNNGNRGKVGAEASLEQWLLNPPPLLSGDNQFRVTFDWEVEKQGIPGAIIVKNNHASEFFLKTITIDNVPGHGTIVFVANSWIYPQSKYRYNRVFFANDTYLPSQMPAALKPYRDDELRNLRGDDQQGPYQEHDRVYRYDVYNDLGLPDSGNPRPVLGGTTELPYPRRCRTGRKPTKTDPSSESRLTLVDGDVYVPRDERFGHIKKSDFYGYAIKALVNAVIPAIRTYVDLSPGEFDSFKDIMKLYEGGIQLPKIPALEDLRKQFPLELVKDVLPVGGDYLLKLPMPQIIKGRSSLRANDAELVFNLVVKYGYQFHLHYSGYAEDKTGWMTDEEFGREILAGVNPMIVKRLTEFPPRSSLDPSKYGDHTSTISEAHLENKLEGLTVQQALDGNRLYILDHHDNFMPFLVRINSLEGNFIYATRTLLFLRGDGTLVPVAIELSLPELRDGLTTAKSTVYTPTSTTGAEAWVWHLAKAYANVNDYCWHQLISHWLNTHAVMEPFVIATNRQLSVTHPVHKLLLPHYRDTMNINSNARQMLVNAGGIFETTVFPRQYAFEMSAVIYKNWNFTEQALPDDLIKRGMAVADPSSPYKVRLLVEDYPYASDGLAIWHAIEQWVTEYLGIYYPNDGVLQADVELQAWWKEAREVGHADLKDAAWWPEMQTVAELVKACTTIIWIASALHAAVNFGQYPYAGYLPNRPSVSRKPMPVPGSDEYAELGKNPEKVFVRTITSQFQALVGISLLEILSSHSSDE >Sspon.07G0022770-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:9938858:9941648:1 gene:Sspon.07G0022770-3D transcript:Sspon.07G0022770-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRHAHHDPAPPACCSCCCGCAGAAPCYYPAPAPAPPSSAASDQLLHAIAAHLLLSSPAPAQPQPQPQPPPPPAAQHATNPYPYPYPYPYPHHQQYQYQYQQQEAKPHAYTHPPPPQQLKPNPSGDHGHLLLHSLLRRVAAIESALPRCFPAPPPARRPPHPNSRPRRAARYQEEEEQEVEEGDESEPESPPSPPRPRRPRTGPPPSAASDRAARTIQVHFRRFLGRRSRTLRQLKELAVLRSKAAAIRGSLSGRRGGADPAAVSEAAMGLLLRIDAIQGGDPMIREGKRAVSRELTRILEFVDKVLIKEHEQMVMADKYHDGCNAALVAGRPSVSKKVSFSGNAQVHTLNGKTENGNEVDEGSEGSSSAESDEVKPSKRSAYGKPGLAAPVPVHMESRPAC >Sspon.02G0055740-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2D:12017405:12018811:-1 gene:Sspon.02G0055740-1D transcript:Sspon.02G0055740-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SYLTRNRVLYGGNSFNQLSRGIKACFIADIHGVRFLLRSTCCFLSRRLSLSDEREAHLQQPRPLEGSSALRTEGAQLAVFIESTAKPPEESPTPKGDDEKEPLVPNPEYATWIAKDQTVLNYLLFNLGHEILAQVSTEVTAATAWAAIEGMFASQSHARLISTRIALATASKSTSSISEYFTKMKGLADDMAYAGRKLEDEALVSYILIGLDLEFNPVIYAVAARNEPISVGELYSQLATG >Sspon.02G0016310-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:47315895:47317703:-1 gene:Sspon.02G0016310-3C transcript:Sspon.02G0016310-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MIIYTPFTNASSMQNRSVLGRARDYSSRNMLTKLQELHKTEVDKFMTQWCESKAFREDYEKRILTSLNSRQLSRDGRMRNPDEKPIFIESQAPAPEPEPIPVKLPAKQAKEVPAPQADDAPKIEARSKGPVKSHKAKAALDADDDYEAEPPKEKAKPTEADVAKLKEIKRQEEIEKNKLALERKKRQTEKQQAKAAARAQKEAEKKLKKEEKKAKKKSGAADTDEPSESDAKSDEAMEAPAEQEVIPASTTVKKEQKESARYRNVVSRSKAPPPKAILKRKKVQSYWSWAGPAAIVAAVLVALAVLGYYQYYLPANASN >Sspon.03G0041590-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3C:43793076:43796601:-1 gene:Sspon.03G0041590-1C transcript:Sspon.03G0041590-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSFFKNKDIVALPYPFRNQARQRPVSRGPAPIEQNSESSLAIAPLERNSESSWAGRSNRAERWSGSRGCIGWRQWRLARLRWRARRAAPEAARTLWCSRPATLSPSSSTAPCGKSRRAAATPATDLPSPELVFPLLRDAFAWHGRGVTDLVALSGKKGNPTRPHPLPHLRTTAPDPKVTAPGTFTSSFFKNKDIVALPYPFRNQARQRPVSRGPAPIEQNSESSLAIAPLERNSESSWAGRSNRAERWSGSRGCIGWRQWRLARLRWRARRAAPEAARTLWCSRPATLSPSSSTAPCGKSRRAAATPATDLPSPELVFPLLRDAFAWHGRGVTDLVALSGKKGNPTRPHPLPHLRTTAPDPKVAAPDTFTSREADRVGGGKGRRSRLPSMSGVHGDGTIEAAREGEADLSYCTSRI >Sspon.01G0033840-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1A:113776615:113779054:1 gene:Sspon.01G0033840-1A transcript:Sspon.01G0033840-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSPARSASPNVRSDDPDYLMKLKMVQMQQSSGHRPMELQQPHQQNTRKRKPTSSGTANSTGTGNTAGPSPPSTPSTHTPGGGVPVASNVNILQKSSMICGVDATGGLASSSNQMDALDSFVDFDENVDSFLSNDDGDGRDMFAAFEKGSSEHNTESLKGLSLSEIGNNRTSNNKVVCCHFSSDGKLLASAGHEKKVKCSNLGYIW >Sspon.01G0033210-2D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1D:111694603:111695226:1 gene:Sspon.01G0033210-2D transcript:Sspon.01G0033210-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPPALPRALAALLLLLLLASTARSQEEAPSPTAEPPASAPLAADSQLAHPPISNPPTASAPSAADTAADAPSPPPPAPPKTSPVAAPSSDSPAPAHAPSHSHLAPAHPPAADEYKGDDDNKSPSPAPAADQIKAAKAAAAAASIGSGDQEEEEEHREMNGGSKAGVVLGTFAAAAVLGLGVFVWRKRRANIRRARYADYAARLELV >Sspon.03G0005460-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:30935035:30940382:1 gene:Sspon.03G0005460-2D transcript:Sspon.03G0005460-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAVASPTFLSSAFAPRHHRRLSRLAGPRRAAPVGLAVRCEKSDKQKRQPLSALVPREQRFMFEGDELCGPDIWNTTWYPKAADHVTTEKTWYVVDATDKILGRLASTIAVHIRGKNEPTYTPSVDMGAFVIVVNAEKVAVSGKKRSQKLYRRHSGRPGGMKEETFDQLQKRIPERIVEHAVRGMLPKGRLGRRLFTHLKVYKGAEHPHEAQKPVPLPIRDKRIQKVG >Sspon.02G0005250-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:16600147:16614051:-1 gene:Sspon.02G0005250-1A transcript:Sspon.02G0005250-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHACGLLLLSALCFVASSQFEQGIYCETFHSEEGGWRNCESCGRVRVFSCMLIMPTGGHSAFVPADCKGFRVHCGCIVSIHKYQLRDAGGVDCSKCARSTRTAMAPPSPVWTAPIHSSQNVSDRRDIPVKSWRPPSGQISSQWRQTNLWSMSSVQSDLQQRLAFEFDRPSGSEKLLPGRTFIHAQERKFDDMHDRPTTPAGMNHIMRERDPNGHGQPTNMDPAYSYTLYHRDGSHPNNLHDPSHHGGENDSLSSRKVAMPEASTSADAGFKLDSHHPSNLKDDPPSLSVGLASNFASQNGQKDHIRIAPTQQQAQMASSLQKQFYSHTVTGYNEFQAQLRNGRPRMDAKARSQLLPRYWPRITDQELQHLSSEYPTYSTYINDSNSVITPLFEKMLSASDAGRIGRLVLPKKCAEFPNGKEHFGQAYFPPISQPEGLPLKVQDASGKEWIFQFRFWPNNNSRMYVLEGVTPCIQAMQLQAGDTVTFSRIDPEGKLIMGFRKATNNSSEQEQITKPANGAPASSEANGKVSGPDSSPNAAVSRQNKVNTETKSSSPVEQATASKMDKDGLTQKEGPGTASSSPGSVKRKTTNLGQKNKRLRMDNEESMELKITWEEAQELLRPPPKAPSIVIVDGHEFEEYEEPPILGRKTYFAADKSGSNHQWAQCEDCSKWRKLPIDALLPSKWTCFDNKWDPERSSCESAQEISMEELAELIPIKHAKKPKLRMESDAIDASDGLDTLANLAILGEGEALPSQPTTKHPRHRPGCSCIVCIQPPSGKGPKHKQTCTCNVCMTVRRRFRTLMLRREKKATTDTPRKKETGQSSEKVTQAVSVPPVASASATSSPRKADGIADGPEDMAVDHKVTSSPVKNHIDLNIQPDRDDEQSPKSGAAGLLSRDNPNSSGNDE >Sspon.01G0025060-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1A:88890459:88891629:1 gene:Sspon.01G0025060-1A transcript:Sspon.01G0025060-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEAHRLLLAQQGQKQFTNAAAAGWPWTTGDEARCATTARPSHHHQQASFQFQQQASCVGVGLPAAAPVSSAAPVAQYAAGGQVFVGDAAESGVTFGGGAAQQPQEVVAMAMAPRKRKRVVEHGQTPPVLEIGGAADVAAHFHQQLVDVDRLVLQHTAKMWAELTERRRRHARQVVATVEAAAVKRLRAKEEEIQRMGRLNWALEERVKSLYVEAQVWRDLAQSNEAAANALRGELQQALDAQQARLCGGSAGAGTGADDAESCCCGENDVVAGAGGAEDGEEEAGTSSPPGYRRTCAVCGEGAAEVLLLPCRHLCACAPCAARGQGVPGVRVRQEWQRLRQLFV >Sspon.05G0013220-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:42270772:42272553:1 gene:Sspon.05G0013220-1A transcript:Sspon.05G0013220-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHQATIVIDHLTANSPLLKAVFPLLVPVLLLFVLLRYFSTGTTTINNKQGKKLPPSPPALPLIGHLHLVGAHPHVSMRGLAGRHGGEDLMLLRLGTVPTLVASSPRAAQAVLRTHDQSLASRPRSIFGDTLGYGPSDVGFAPYGDGWRQAKKLVTTHLLNTKKVQSYRTAREEEVGVVIDKIHRAATAGEAVDLSELLSSFTTDLVSRAVVGRSFRVDGLDKVFKEVLDASMAVLAGFNLENFYPGLANVAGGVLMWPGRRKAERLRDRWDEVLDKVIDQHASVAAAGAPPAHHESDFTHVLLSVQEEYGLTRDGIKGILSDLFAAGTDTAYLVLEFTMAELMLHQDVMARLQAEVRSSMPKDQEVITEEYLTGMPYLKAVIKETLRLHPPSPLLLPHQSLEECTIDGYVVPAGTTVFVNVWAIGRDPRLWGDAAEEFMPERFINKGATEGVDFRGIDFQFLPFGSGRRMCPGMNFGLANVEIMLANLVCHFDWETGGANEIDMTEVFGLTVHRKEKLILTP >Sspon.06G0012230-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:53220883:53225046:1 gene:Sspon.06G0012230-2B transcript:Sspon.06G0012230-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATASVNFKSREDHRKQLELEEARKAGLAPAEVDEDGNEINPHIPQYMSSAPWYLNAERPSLKHQRKWKSDPNYTKSWYDRGAKLFQANKYRKGACENCGAMTHDKKACMERPRSVGAKWTNVNIAPDEKVESFELDYDGKRDRWNGYDPSTYTRVIADYEAREEARKKYLKEQQLKKLEEKDTEKDDENVGSEDDEEDGLRIDEAKVDESAQMDFAKVEKRVRTTGGGSTGTVRNLRIREDTAKYLLNLDVNSAYYDPKTRSMREDPLPDADPNDKFYVGDNQNRLSGQALEFKQLNIHAWEAFEKGQDIHMQAAPSQAELLYKSFKIKKEMLKSEHKDKIMEKYGNAASEDTIPRELLLGQSEKEIEYDRTGRIIKGQDVSLPKSKYEEDVFINNHTSVWGSWWKDHQWGYKCCKQTIKNSYCTGLAGIEAAEASADLMKANMARKEAAEDVPVQHEEKRLATWGTDVPQDLVLDPKKLAESLKKEKGRGKEERDEKKRKYNVHFDDQVTVEDMEAYRMTKIHHDDPMRAFLK >Sspon.04G0003870-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:7269448:7281044:-1 gene:Sspon.04G0003870-2B transcript:Sspon.04G0003870-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAALLRRIPAARAPATAFMAARPISDSTAALTIETSVPFTSHLVDPPSRDVTTTPAELVTFFRDMSLMRRMEIAADSLYKAKLIRGFCHLYDGQEAVAIGMEAAITRSDSIITAYRDHCTYLARGGDLVSAFSELMGREAGCSRGKGGSMHFYKKDANFYGGHGIVGAQVPLGCGLAFAQKYKKEDTATFALYGDGAANQGQLFEALNISALWKLPAILVCENNHYGMGTAEWRAAKSPAYYKRGDYVPGLKVDGMDVLAVKQACKFAKDHAVANGPIVLEMDTYRYHGHSMSDPGSTYRTRDEISGVRQERDPIERVRKLLLTHDLATAAELKDMEKEIRKQVDDAIAKAKESSMPDTSELFTNVYKKGFGVESFGPDRKELRASLP >Sspon.01G0000460-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:2246344:2251869:-1 gene:Sspon.01G0000460-4D transcript:Sspon.01G0000460-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SEX4 [Source:Projected from Arabidopsis thaliana (AT3G52180) UniProtKB/TrEMBL;Acc:A0A178VES0] MNCLQNLLKEPPIVGSRSMRRPSPLNLAMVRGGSRRSNTVRTAPGASTSGAESSAVEMGTEKSEVYSTNMTQAMGAALTYRHELGMNYNFIRPDLIVGSCLQSPLDVDKLRKIGVKTVFCLQQDSDLEYFGVDIGAIQDYSLQFKDIMHCRAEIRDFDAFDLRLRLPAVVSKLHKLINCNGGVAYIHCTAGLGRAPAVALAYMFWILGYSLNKGHQLLQSKRACFPKLEAIKLATADILTGLSKNTITLKWEDDGSSSVEISGLDIGWGQRIPLTYDEEKGAWFLEKELPEGRYEYKYIVDGKWLCNEHEMLTKPNADGHVNNYVQVFRDGTSDEEKELRERLTGPDPDLTGEERLMIREYLEQYADAGER >Sspon.01G0038400-2D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1D:25745752:25746186:-1 gene:Sspon.01G0038400-2D transcript:Sspon.01G0038400-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVSTIVFRGRGSRFVSCRRLRSRTNDHPFQRAYRRSTVSSLRGSDVRPGTVVSARCMRLSSWLPWPAGRQGHKATHALRVVATIPWMVQRPAAGTRKRGRRTTRGSSRQVARTDRVERKLRATAIRSGCRPARTCGVRWFRLD >Sspon.05G0018680-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:82213241:82216175:1 gene:Sspon.05G0018680-2B transcript:Sspon.05G0018680-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLTSEIDKVQDTFLQWKQTPENTGEYVHLTKELLTSCESIQWQVLALKRNVEGGRQKSVFGRSTNPSESIRSKKNISQDNDEFIASESDQQMLLIKRQDEDLDALSASVQRIGGVGLTIHDELVGQEKLLGELSLDMETTTNRLDFVQKRVAMVMKKASWKGQIMMIAFLNMRFKPLSVPKHTSDAII >Sspon.04G0001920-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:7274890:7278114:-1 gene:Sspon.04G0001920-1T transcript:Sspon.04G0001920-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSHSREDIDLTSSDEEEEYEDDYDARSPIAETAASAGQRDDDLLRTATPSSLEAIDAKLRSLDLKYQRPTSAKLYLHVGGASPSAHWVPAERRATYAFVDKSSHDDSSTRWFLEVGPGPRVSAPVDSALQLKTIPAQRRADFAASGSVWALRLPTDAELRRFRVEYERCLFENTYGVEATDEGRKEVFGADFAAWARPAEADDAVWADAEASLTPPAAAPAKDLLEEFEEEAGDGDSIQSLALGALDNSFLVGGAGIQVVKNFRHGLHGKGASVRISGGRGGSGSAYSTPKKALLMRGETNMLLMSPGEALYSSGVHHLDIETGKVVAEWRFEKDGVDITMRDIANDSKGAQLEPSGSTFLGLDDNRLCRWDMRDARGRVQTIGSSSESPVLNWSQGHQFSRGTNFNCFASTGDGSIVVGSVDGKIRLYSKSSMRMAKTAFPGLGSPITHVDVTYDGKWILGTTDTYLILICTIFKDKDGKEKSGFSGRMGNRIAAPRLLKLTPLDSILAGTDNKFHGGQFSWVTENGKQEKHLVATVGKFSVIWNFQQVKDSNHECYRDQQGLKSCYCYKVVLKDESIVDSRFMHEKFASSDSPEAPLVVATPMKVSSFSIANQR >Sspon.02G0029940-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2C:111409209:111410480:-1 gene:Sspon.02G0029940-2C transcript:Sspon.02G0029940-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIYSPDATILQALRGSGIGVIVDETNLDALISDAAGWVQTNVQPYRGDVKFKYIAVGNEVKGSDTQKILPAMQSLAGALSAAGFGDIKVSTAVKMNVLATSSPPSSGAFADPSVMGPVVRFLAGSGAPLLANVYPYFAYRDAGGSIDLGFSLFQQSSTTVNDNGKVYTNLFDAMADAIYSAMEREGESGVPIVVSESGWPSDGGGLGASVDNARTYNQNLINHVGNGTPKRPGALETYIFAMFNENGKPGDETEKHFGLFNGQDKSP >Sspon.02G0005450-2P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2D:11173014:11174475:1 gene:Sspon.02G0005450-2P transcript:Sspon.02G0005450-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKTIVLYPGLFVSHFVPMMQLADVFLEEGYAVAVALIDLTLDQDVALAAAVDRAASARLFVAFHRLSRIQNPPAVADDAEALPWYFEIVRRYNEQLRELLCSLLQPPRSGVHAVIVDAPSVDALDVTKELGVPAYTFFDANASAVAVFLQLPWIRAEGQPSFKELGDAPVTFYGVPPIPASYLMRETLQDPETEIYKAMMNAMRRNAEEPDGILVNTFGSLEARAVAALRDPQSIPPGRGRRHLPPVYCVGPLVAAGAEAKEKHECLAWLDRQPERSVEQLREVAVGLRKSGHRFLRVVRAPLRGDTERLFDPRADADLDALLPDGFLEGTKGRGLVVKHWAPQVEVLNHRATGAFVTHCGWNSALEGITAGVPMLCWPMYAEQKMNKLFMVEEAMVGVEMVGWRQGLVKAEEVEAKVRLVMESEEGDKIRARVAAHRDAATVARRAGGSSRAAFGQFLSDAAKLGARE >Sspon.08G0003610-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:9080698:9083090:-1 gene:Sspon.08G0003610-4D transcript:Sspon.08G0003610-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding KMVKFLKPGKAVILLQGRFAGRKAVIVRVFEEGTRDRPYGHCLVAGIAKYPKKVIRKDSAKKTAKKSRVKCFIKLVNFTHLMPTRYTLDVDFKDVASGGPDALSTRDKKVAACKTAKARLEERFKTGKNRKCQQILVSCLAVEILTGE >Sspon.04G0014270-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4A:53043775:53045115:-1 gene:Sspon.04G0014270-1A transcript:Sspon.04G0014270-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GVYQSSIDAEQGKVTVSGLLDPDTIIRKLNKAGKPAQLWGSKPGVPHNAHHGGGGGGKGQPKDAGGKGHSKDAGGGKGHSKDAGGGKAQKGGAGGGGHKGAGGGGGGGGGGKDPKMVMPQPTPQQLQQLQQQLQMKGLKLPPHLLGGNMPAFPAAAPLKDPKSVKFTLPEDNFDDDGSEFDDEFDDDDFDDEDDYDDGFDDDVYDDPKMMMKPMAMLPPAAGGGDKKGGGGKKGGGGGGNEIPVQIKGNNHGGGQPYNAKGGAPGGGSHLGQGKKGGGVGVGGPMGGMLPQQGMMRPNMLGVAGAGFPGTAQMGGGPIGMPMGHPHMGGIMQQGGGGGGGGMPGLGFYQGSANGGGIHSGAEMLQAAAAAGNPMAQQQYMALMQQQQQQQQQQMMLQHGNGGAGYPAMGYGYGRPPMHYPMGYPMPPHSHADNYNIFSDENPNSCSV >Sspon.01G0028730-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:97388498:97390391:-1 gene:Sspon.01G0028730-2D transcript:Sspon.01G0028730-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding EGETGASGMISLAEYLGTNVADHIPETPNNLSEEMVRCMAGIYCRLADPPLVHHGSSSSPSSSFSSTSAISPQYVGDMWSPHYKRETTLDSRLINPFHVEGLKEFSGPYNTMVEVPMISRDSRRLKEAEDLLQTYKLILYRLEAVDLRRMTNEEKIAFWVNIHNALLMHAYLKNGVPQNNLKKTSLLVKAACKISGRNINAAVIQSIVLGCNTHCPGQWLRTLLYPRIKSKVSKAGHEWRAFAVAQSEPLLRFALCSGSHSDPAVRVYTPKRLFHLLEAAKEEFIRATAGVWKEQKLLLPKLVEAYAKDVKLSSQGLVDMVQRYLPESMRMAVQRCQQGGRSSGK >Sspon.03G0013630-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3C:55617311:55622743:1 gene:Sspon.03G0013630-2C transcript:Sspon.03G0013630-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At4g39530 [Source:Projected from Arabidopsis thaliana (AT4G39530) UniProtKB/Swiss-Prot;Acc:Q9SVA5] TAEDLDKFHYQLQLLWPVDSRTMNLLGAPLSGSPSPVSCSPTQLRSLAQVLLSGLTGSRLRRLLPAAHARAVVSDGLSFLYLANLLLHGYSKLGCIHDARHLFDGMPHRNLVSWSSAISMYAQLGADSQALALFAAFRRSSDQAPNEFLLASVMRACMQSRAISFGEQVHGNAVKLGLDVNLYVGTALINLYAKVACMDAAMRVFHALPAKNPVTWTAVITGYSRIGYGGLALDLFRMMGAQGVQPDRFVLASAVSACSTLAFLQGGRQIHGYAYRSAAGVDASVINALIDLYCKCSRPLVARKLFDRMKNHNLVSWTTMIVGYMQNSLDAEALEMFWQMCQAGWQPDAFACTSILNSCGSMEAISQGTQIHAHAIKANLENDEYVKNALIDMYAKCDHLTAARVAFDALAHDDVVSYNAMIEGYARQGDLTEAVHIFRKMRYCSLRPNRLTFVSLLGMSSLLSSIEWSKQIHGLIIKSGTSVDLYVGSALIDAYSKSSLVDDAKAVFLTMQNRDMAIWNAMIFGHTQNEQGEEAVKLFNQLCVSGMTPNEFTFVALVTVASNLASMFHGQQFHTQIIKAGCDINPHVSNALIDMYAKCGCIKEGWMLFESTCGKDVICWNSMITTNAQHGHAEEALRAFQLMREANVEPNYVTFVGVLSACAHAGLVDEGLHHFNSMKSKYGIEPGTEHYASVVNLLGRSGRLHFAQEFIEKMPIKPAAAVWRSLLSACRLFGNVEIGRYAAEMALLGDPSDSGPYVLLSNIYASKGLWADVQQLRLRMDYAGAVKEPGSSWIEVMKEVHTFIARGTEHPQAELIYAVLDDLTALLKDFGYHPDTSELALLGETVIVMNTNLCDLDHPGLAKLIAAHARPPNYLMFFDFFEPPNLAEKIHVEEWIPSTQQVVAIASDLAKALQYLQILGVVHRDIKPANILLDKDLHPHLADFGLAMFQKDIKRVSVENWKSSGKPTGGFHKRNMVGTLIYMAPEILRKDIHTEKSDVYSFAISIK >Sspon.07G0027610-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7B:60935668:60936874:1 gene:Sspon.07G0027610-1B transcript:Sspon.07G0027610-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSARPAVAAVLLLCLLCGGSAWLQAAAEARPHHMDSDSDDFFSIVGYSPEDLGHHDRLIKLFEEWVAKYRKAYASFEEKLRRFEVFKDNLHHIDEANKKVTSYWLGLNAFADLTHDEFKATYLGLRRPAAGLPEKRTSLGQFRYGGVADDDVPTSVDWRKKGAVTDVKNQGQCGSCWAFSTVAAVEGINQIVTGNLTSLSEQELVDCSTDGNNGCNGGVMDYAFSYIASSGGLRTEEAYPYLMEEGDCDDKARDGEQVVTISGYEDVPANDEQALVKALAHQPVSVAIEASGRHFQFYSGGVFDGPCGSELDHGVAAVGYGTSKGQDYIIVKNSWGSHWGEKGYIRMKRGTGKPEGLCGINKMASYPTKDQ >Sspon.08G0014960-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8A:60233829:60234617:1 gene:Sspon.08G0014960-1A transcript:Sspon.08G0014960-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DYLVAACSLSLAQARKASKHAFEEASREAGKPFEELRSSRLNSASNPDAVLALLSSAGVSRADIAADPLILRSYVNKIRPCLLALRDRIGLSAPQIARFLLVGSRKLRSGDVCPNLQFLISSFGSLEPVLAVMKGSKNILSLDLDRVIKPNIAQFRQCGLTSRDIAQMCSYCPWLIGFQPERVKDFLLRAEDLGVSRGSPMFKHMVAVMSHTNKEKNAAMLEFLKRSLGCSESEAAFAVSKTPSILGLSDECLLPKIQFLINE >Sspon.01G0003770-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:8694984:8698771:-1 gene:Sspon.01G0003770-4D transcript:Sspon.01G0003770-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFPATIVSEGHVAHGGDDGRDEPGAFVNVNTRDGPTSGAEMGLQTQLLMANASSAVQHQGLSLSLGTQGVPVSLYQYRQAQAGMAAAASLLSPNQASTTASRNAQSIYIQNSRYLKAARELLDEVVNVRDAIKRKGDKNQQGKDSGGEGKDAETSDDKADEHEGNSSAAELTPSERQDLQNKVSALMALLDQVDRKYRHYHHQMQIVMSSFDAVAGAGAARPYTALALQTISRHFRSLRDAVGAQVQSLRRSLGEKDTSAQGGGLSRLRYIDQQLRQQRAMQQFGMMQQPQHEWRPQRGLPESAVSVLRAWLFEHFLHPYPKDSEKLMLARQTGLSRGQVSNWFINARVRLWKPMIEEMYKEEFGAEMDSHSSSENAAGNKGKADEAISSEDHEEFQSPSSAANAKHGAAAAGHHLSAFKSEAIGGMDAAGVGLSSLDGAIGPYATTSLNLGAAVGNGAGGLQEAFAHHHGGGDARFVQAYGDMAGLGGYDGGSVSLTLGLQHCNDAGAVAAEQQAGLLYGTAGDFEFINGSAEDRQRFGSSQLLHDFVA >Sspon.05G0019550-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:83764901:83775659:1 gene:Sspon.05G0019550-1A transcript:Sspon.05G0019550-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:IQ domain-containing protein IQM3 [Source:Projected from Arabidopsis thaliana (AT3G52870) UniProtKB/Swiss-Prot;Acc:Q9LFA4] WRRRRRGAAGVARRPSCRRCTAATGPGGGSPTPPSSSRGSGKALDFARLNYNTISFFDEPKPKTAASHWNRISQNALKVGHGLSREDKALKLAFEHWIEAVDSRHRSGHNLHFYYNLWCQSQAGQPFFYWCDVGDGKDVDLPECPRTLLKKQCIRYLGPVERELYEYIINEGKIIHKHSGEPLDTSQGPKGAYWIFVISTTRRLYAGKVEKGVFQHSSFLAGGATIAAGKFTVKAGVIKVIWAYSGHYKPSTEDLRNFMKFLEENGVNVKEIEVRPFTKGDYHNDSMPNETQMVVVTNPSQVILSTDTKEGGEDKDAPTEQAKLTYRRNLSGGLHSSKDTNVPQKAILERIKSKSESESYQLGLKLSLKWSTGAGPRIGCVKDYPTELRIQALEMVDLLAGASTVPHTSLLAQAEECHDPNSR >Sspon.05G0030030-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:86188084:86190643:1 gene:Sspon.05G0030030-1B transcript:Sspon.05G0030030-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPASYSPIQSFAAKDEVFCLFQGVLDNLGRLSQQYGLSKGANEVLLVIEAYKTLRDRAPYPASFMLSQLAGTYAFVLFDKSTNSLLVASDPEGKVPLFWGITADGCVAFSDDIDMLKGSCGKSLAPFPQGCFYSNALGGLKCYENPKNKVTAVPANEEEICGATFQVEGSTVLTALH >Sspon.06G0016190-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:88190944:88195948:-1 gene:Sspon.06G0016190-1A transcript:Sspon.06G0016190-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSQQPETTAPAAGSTGGGGTIKNARHRTSPLKQCYHLAVSNALYLLLAPAVAYAAHRLSHHTASDLAMATRSAATANPPLAVSLLVLAAVLATAYLMRRPRAVYLLDFACYKPGAEHVVTRERFMRQSEAAGVFTPDNLAFQRKILERSGLGQGTFFPRAVLNAPPNPCMAEARAEAEAVMFGAIDQVLAKTGVRARDIGVVVVNCSLFNPTPSLSAMIVNHYKLRGNVASYNLGGMGCSAGLISIDLAKQLLQVHRNTYALVVSMENITLNWYWGNNRSMLMSNCLFRMGGAAVLLTNRGGSDRRRAKYQLVHTVRTHHGADDRAYRCVFQEEDETGRVGVALSKDLMAVAGEALKTNITTLGPLALPMSEQILFLASLVARKVFGVRGLRPYIPDFKMAFEHFCIHAGGRAVLDTIEKNLELSPWHMEPSRMTLYRWGNTSSSSLWYELAYTEAQGRVRRGHRAWQIAFGSGFKCNSAVWRALRTIDPKKESAVGNPWVDEIHRFPVEVPK >Sspon.05G0033140-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:36890598:36891817:-1 gene:Sspon.05G0033140-2D transcript:Sspon.05G0033140-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVRLFAVAALIAASFFAAAVGAQPMDPENPFMSDPNVIPVYPPTYVTCYNDTHGQQGSEPKCNVLALQCPRTCRDTCYVHCPSCKLICLCELTGTECYDPRFVGGDGNKFLFHGRRDADFCLLSDANLHINAHFIGKRNANARVARDFTWVQALGIRFGGHRLYLGVRRTATWDDAVDRLAITFDGAPVPLDAVAGASWSPSPTSAAPAPLLSIFRTGPANGVVVRLDGVFRIVANAVPVTEEDSRVHGYGLRPEEDGSLAHLNVAFKFYALSADVHGVLGQTYRPDYVSAAGVDAGARVPVMGGSRRYQVSGGIFATDCKVGRFAGDDDGLAGLPVGINEEPTDALCGSGKVTTGLVCKK >Sspon.04G0027510-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4B:59623508:59624099:1 gene:Sspon.04G0027510-1B transcript:Sspon.04G0027510-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding HAVGALGPTGEDLRHYGTIHPSGQGGVIDALDNDGRRMYGEGASGTGDWDAGERRRERGHVARPAMGERAPCCSPTRAQWALLMLLCAVQVQARIIRAIEETNDILPLTIVRVVNMQASAKPPLTSISSNDRLKKRNG >Sspon.03G0002340-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:15416555:15418947:-1 gene:Sspon.03G0002340-2B transcript:Sspon.03G0002340-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAREQLEESIVADGNGKEEEVGVMGIGAADGADDQHGGGGRLSMKNLLWHGGSVWDAWFSCASNQVAQVLLTLPYSFSQLGMLSGILLQIFYGFLGSWTAYLISVLYVEYRSRKEKEGVSFKNHVIQWFEVLDGLLGPYWKAAGLAFNCTFLLFGSVIQLIACASNIYYINDRLDKRTWTYIFGACCATTVFIPSFHNYRIWSFLGLGMTTYTAWYLAIAALINGQAEGVAHTGPTKLVLYFTGATNILYTFGGHAVTV >Sspon.01G0000650-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:1415862:1418241:-1 gene:Sspon.01G0000650-2B transcript:Sspon.01G0000650-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVLASAQVPHTIRPVGSCFRLHQLSAQNLDRCRRWWGTGKKMILSTRGVLESSNGAHSGGLMKKRKIVEHIILLRAKPNISDAEEKDMLDYLYTSQYQMRGILAVSLGRIEDPNSENFTHAVFMRFQQKEDIAKFQSSAYYSKVLDEHGSVSVDFESEVEDDIIPLFRRGEDFNYGVEFMLLISFFKTASREATEDALASLQKLINQCSSFIVQATCGCCLDHPDNEYNHAAVIRFPSFDDFKLFRESMEYKDMWASKFHPIVEKSLHLHFTVDPVGNQLM >Sspon.02G0014980-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:45103898:45106705:1 gene:Sspon.02G0014980-3C transcript:Sspon.02G0014980-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSAHSFKSNIDILELNRIRRSLLLDAHTWDCRLCGIESLEAVGHISRTDPFNQGKTQGTNEGRSDLLQIGRKHGGTYEEPCPQHSSESPMKSLLSTKGHVNDKQSVMVETDLPVGLVDGVAGGAGGLDLIFNKFDTCEEGRRLSKYPSKTEPVERLPSLASILADKIDMAWSGFGEIDYNLPHGLTKANENRSLNLLGNPSYEKATAPFRIHSFDTVMRLHQREQTGVIPASLHSALKSVDSFRDLTSLVKDPMANMRRAFSQISPRTRGNLNTVLTRAPKYITSAAHMVNDGARLLLPNISCEGSVFVTVYDDEPTSAVSYAMTSQEYSDHVTDKMNAYTSFSDFTSSNGLHRSWSSHEDLSNFKGTHFRLSFDDDASPTDSTKFSVTCYFARQFAALRKKCCPSDIDYIRSISRCKRWSAQGGKSNVYFAKTMDERFIIKQVTKTELDSFVEFAPHYFRHLTESLTSRSPTCLAKIVGLYQVSIKNLKGGREVKMDLMVMENIFFQRSISRVYDLKGSVRSRYNSDASGHNKVLLDSNLIEALHTKPMFLGSKAKQRLERAVWNDTSFLAVMSLDVMDYSLLVGVDEEKKELVVGIIDFLRQYTWDKQLETWVKASGILGGPKNESPTVISPIQYKKRFRKAMSRYFLAVPDQWTS >Sspon.01G0053440-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:39762978:39764681:1 gene:Sspon.01G0053440-2D transcript:Sspon.01G0053440-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MCEGLVGKLLPPCLCNAKDGGVGDGHRLRAGVVSPPDVAVVVLNESTTTLTTCKDHPPPPLLADRPSLTRGVASEAASILRLSLPMIMTGLILYVRPMISMLFLGRLGELALAGGSLAIGFANITGYSVLSGLAMGMEPVCGQAVGAKNLPLVGATMQRMVLLLLALSVPVAFLWAHMEPLLLLCGQDAAISAAAQRYILLCLPDLLFQSFLHPLRIYLRTQSVNFPLTACAVLAVAMHLPINYLLVSVLGLGVEGVALASALANLNLVLLLLAYIYFSGVHRATGGFTLSEKLFKDVTGWMRLARLAVESCASVCLEWWWYEIMILLCGLLADPKATVASMGVLIQTTSLLYIFPSSLSFGVSTRVSNELGANRPGTARAAARAGLALSALQGLVSFLFAVSVRDVWARMFTSDASILALTASVLPILGLCELGNCPQTTGCGVLRGSARPKDGAHINLGAFYGVGTPVAVALAFWAGQGFKGLWLGLLAAQAACVAVMLVVISRTDWAKQAELAQVLAGVVAPDGHAVVNGDDDDGGKEKDKGPHTKVAASHGDEDSSLLITVQG >Sspon.08G0026100-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:16563598:16574691:-1 gene:Sspon.08G0026100-1C transcript:Sspon.08G0026100-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MICCWVENPTSDVLKRHLSRIHDYLWIAEDGMKTKIYNGTQNWEIGLIIQAFLSANIIEKYVPEMDKALRYIKKAQLTRNPPGDPRYWFRHRSKGSWTLSTVDNSWASSDSSAEVIKAILLLSKVSHNLIGNAKEWLFDAIDCLLTFRILNPLESFRNLVVDYPTVECTSSIMHALILFGESYPGYRSEQIREYVNKAAIYIENNQKKDGSWFVQIHLLFLYGTWGICFIYGTFFAIKGLIAAGRTYQNNVCIRKACNFLLSTQLKTGGWGESYLSCEREMERDPTPLHRAAKVLINMQLETGDYPQQEHAGNTNSSVYFNYPNYRTLFPIWALGEYREILFLCHHLMHTTTTKKRSVSCSYVVIATTAIS >Sspon.04G0028960-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4B:69402957:69404192:-1 gene:Sspon.04G0028960-1B transcript:Sspon.04G0028960-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPYRLGDSRYQSRRGTAEDGDLVDFQHSAPDNPPTPTHCPPGPTPRAPRCCRSVRVRVRTPSVRGRGRGRGRSNSSPNTNAKRQLAAHPTRWAWPVINGLGSNQGGIQATPPPSLAFRCLGVSSSSSRRRQAIRYDDAPGRSTPRFLPNPEAQAGAHPSPSPMLAFSSDGCGF >Sspon.03G0027320-1T-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3C:9458127:9458312:1 gene:Sspon.03G0027320-1T transcript:Sspon.03G0027320-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGCKEVGNNHGVAGGDGEADCSVCFDALCGEAVELPGYAHTFHRRCIAKWFRWKPICPLC >Sspon.07G0008470-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7A:23117942:23119075:-1 gene:Sspon.07G0008470-1A transcript:Sspon.07G0008470-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GFGSYLAPFLHGATRPLLYLPSLPFPPSRRGSWSLMGNPIRRVRKRPRRWWPRVGRRQPDAHMVASEQAKVCAICSVHTENKQPRTFVRALVFIKPVGHVMQSFRSTPDSSHIIVTVSHSPSMRYARENFDEVIYLWSKMETLEN >Sspon.06G0025590-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:69778265:69783785:-1 gene:Sspon.06G0025590-1B transcript:Sspon.06G0025590-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADVVWLLLPAASIIYHLLVPVAAAAGADNTAVPSSPSLPAPRPNCPRKCGDVDIPYPYGIGDGCSWRGLYSLTCNHSFNPPRPYEGNVEVLGLSLETGEMRVLAPVSSQCYKSYNATTQIITEVSTTLKVSSQLLISTTRNEFTATGCNTVALLRSSRYYTGCITSCVSVDAAAQDGDKCTGLGCCQMSIPGNLSNIAVAWGEPRNSNSVWRYSPCSNAFVAEKGWYDFQRKDLVRNNSIVRKIGDRTVTEVPLVLDWAIRVDGSCPPLIEKDGVLEKSTASACVSANSHCVNASHGSGYLCKCSKGYIGNPYVTGGCTNINECELRKSDPARYEKQYPCGSGSTCYDTVGDFECKCNFGRRGDGKSDKGCQPIFPGYAIAIVATFTASVLACFVVMEIKKRKQKKFFDKNGGEILKSMGINIFTEEQLNKITNRYSTPIGEGAFGKCYMECLSRIGELAVRCLKEDVDERPTMAEIVKQLKQVK >Sspon.08G0004720-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8A:13576152:13576663:-1 gene:Sspon.08G0004720-1A transcript:Sspon.08G0004720-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LHHLETAKSPRQEPRSGDLLPASALHAATASEEESLVGSPGSRESGMEEDGGGRKQDTTTAASKAQREAAAAGVSVHEWLQHVKASFLGLVGKVTARSEQEAAEADMRAAKAQVEATDEAEAKKKRLADG >Sspon.06G0019330-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:887957:888508:-1 gene:Sspon.06G0019330-2C transcript:Sspon.06G0019330-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MWCAAIVCFTFSILLIVAGIVILIIFLAVKPRPPSFDTANAILNSIYVDSPAPYFNNDMTLVANISNPNQKIDLVFRSATIELFFQDRPMAVQALPPFLQRRGQSQVLNMHLVSSRVLLPPEVAVKMVNQVRSNRVVYTIRGAFKVEARFGFGHYSYWMYTICEMELTAPPCGVLVARRCRTK >Sspon.02G0027290-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2A:98878838:98880267:-1 gene:Sspon.02G0027290-1A transcript:Sspon.02G0027290-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPTAGRLSALIRRCAAGRALSPGAQLHAQGLVGGLLPDATLDTDFVLLYSRCGALHRARQVFDGMSFPSMHAYNVLLAASPPGAAVELISRLLASGLRPDRYSIPAVVRACAELRDTLLGAVFHGFALRLGLLANVVVSGALLDMYAKTGMLGDAARVFDEMPERDAVVWNCMVTGYARAGSSEETVKLFRKAQIESVDMARDLWAVPNVLNVCANEGQLMKGREIHGRMVRCFAFDSDIAVGNALVDMYAKCGQVDGAQAVFASMKERNVVSWSTLISCYGVHGMGKEALRIYEEMVSRGVKPNCITFISILSSCSHSGLVSDGRRIFESMSKVHAVEPTADHYACMVDLLGRAGAIEEAVGIIRNMPMEPGASLWGALLSACAIHNNVDVGEVAAYKLFELEEGNASNYVTLCGIYDAIGRSDSVAGIRSRMRELGMVKTPGCSWVHVKGRAHAFYQGCIPRYLRRQMLWVL >Sspon.05G0020500-5P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:82848842:82852420:1 gene:Sspon.05G0020500-5P transcript:Sspon.05G0020500-5P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSTAGGASGGEAAEEAKVPLLQPRAAVGGGDSKAAEEEEEREAVAAAETEWSSLPLRRRAWEENKKLWVVAGPSIFTRFASFGVTVISQAFIGHIGATELAAYALVSTVLMRFSNGILLGMASALETLCGQSYGAKQYHMLGIYLQRSWIILFACAVVLLPVYLFTEPLLVALGQDPEISAVAGTISLWYIPVMFSYVWAFTLQMYLQAQSKNMIITYLAVLNLGLHLVLSWLMTVRFHLGLAGVMGSMVIAMWIPVFGQLAFVFFGGCPLTWTGFSSTAFADLGAIIKLSLSSGVMLCLELWYNILVLLTGYMKNAEIALDALSICLNINGWEMMVSIGLLAAAGVRVANELGAGSARRAKFAIYNVVIISSSIGFVLFVLFLFFRGNLAYIFTESQAVAKAVADLSPLLAFSILLNSVQPVLSGVAVGAGWQSVVAYVNVTSYYLIGIPLGAVLGYVVGLHVKGIWIGMLLGTLVQTIVLLFITLRTDWEKQVVTAQERLKKWYMDENRRMQASRRNP >Sspon.07G0004940-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:12564089:12567229:-1 gene:Sspon.07G0004940-1A transcript:Sspon.07G0004940-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAQEEAHAVGQPLGRTAPRLFVLQGRAAARDELRADEQGLAETREGLPGELLAGSPGEEPAAAQAHRRRGAVRAGSGSSCRRSGRSGCRLEELAPGEGICSGARSAAPSVGVAYYDSDTRQLFVLEIWEDSAGEFPLIDLVKFQSKPSTIYASTKTEEALLSALQRNDGNDEAPVVKLMKSSTFSYEQAWHRLIYLKVAAMDDGLSAKERICFLNSMMDLGSDVQVRAAGGLLAILDNERLLDTIEQMEGGASIAIDSVVQISFYPLMI >Sspon.07G0007450-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:15351272:15353867:-1 gene:Sspon.07G0007450-2C transcript:Sspon.07G0007450-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVASTIPFQGLAYLVTGEVRENAAYSMLGVLRLWRLRRVKQFFTRLEKDIRFSYFWIRCARLVAVTLFLVHCAGCLYYLIADRYPHREKTWIGAVIPNFRQASLRIRYISSIYWSITTMTTVGYGDLHAENTVEMIFNIFYMLFNLGLTAYLIGNMTNLVVEGTRRTMEFRNSIRAASSFVGRNHLPPRLKQQILAYMCLKFRAESLNQQQLMDQLPKSICKSICEHLFVPVVKDVYLFKGVSREMLLSLVTKMKPEYIPPKEDVIVQNEAPDDVYVVVSGEVDVILFDGIDERVEATLGTRDIFGEVSALSDRAQAFTFRTRTLSQLLRLKQATLKEAMQSRPEDSVVIIKNFLKHQVEMHGNGMKVEDLLGDNTGEHDDDANVLTVAAMGNSSLLEDLLRAGKDADVGDAKGRTALHIAASKGYEDCVLVLLKHACNVNIKDAQGNTAMWNAIAAGHHKIFNILYQFARASNPHAGGDVLCLAARRGDLDALRDLLKLGLDVDSEDHDGATALRIAMAEGHADAARFLIMNGASVDKANLDDGGSGSGAARLTMSPAELRELLQKRELGHSITIVDSPAVVPDGGSSGHSRPGRLQSTSSDSQRSPRVSIYKGHPFLRNRSSEAGKLINLPGNMEEFRTIVGEKLKVDAEKALIVNDEGAEIDSIDVIRDNDKLFVVTEEDLRRLASMDSVSSS >Sspon.01G0019620-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1A:73227258:73227511:-1 gene:Sspon.01G0019620-1A transcript:Sspon.01G0019620-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGAEVAVVEDTAAVGEPTDVAAPAGGGEEAMRMEGWLYLIRSNRFGLQYSRKRYFVLEDAALRCFKSAPSSKREVTHDASSP >Sspon.01G0057510-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:9925966:9929602:1 gene:Sspon.01G0057510-1P transcript:Sspon.01G0057510-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AIGAKRCEKDCSGHGKGCEEVQKLECNLPSSPEWPVGLWIVSTCAAHCDKTRAMCFCGPGTKYPDRPVAEACGFKTILPAKPGDPKLTDWKTPDLENIFTTNSSKLGWCNVVPEDAYSSKVKFKEECDCKYDGLWGQFCETRVECSCINQCSGHGHCHGGFCQCYSGYFGIDCSIPSAYSLAYDWPPWLQTPVNLPDLKILNSTTIDVKAVVQKKRPLIYVYDLPAEFDSHLLEGRHYRFQCVNRIYDDKNRTLWTQQLYGAQIALYESILASPHRTLNGDEADYFYVPVLDSCLITRSDDAPHLLLPRDLRRRSYNALEYYRMAYDHIAQQYPYWNRTSGRDHIWFFSWDEGACYAPKEIWKSMMLVHWGNTNTKHKNSTTAYWADNWDDIPLDKRGNHPCFDPRKDLVLPAWKEPNPGAIWLKLWARPRKNRTTLFYFNGNLGSAYEGGRPEDTYSMGIRQKLAAEFGSTPNKQGSLGRQHAADVTVTYLRTEKYYEELASSVFCGVLPGDGWSGRMEDSMLQGCIPVIIQDGIFLPYENVLNYNSFAVRIQEDDIPGLISTLQGINDTQVEFMLGNVRQMWQRFFYRDSILLEAQRQKRLFSEEAPWSVEVSKLPDDDDAFATFIQ >Sspon.01G0061690-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1D:96173557:96173955:1 gene:Sspon.01G0061690-1D transcript:Sspon.01G0061690-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPYACAGASAALPSGGVAPSPPPLAPLPSPFLLTTQGSGSQQRHRQPRVWPLRWRSTPRPRTTAAKSQAIPTVSPRSGALGVADLGVAALGGGGLPSLPATGTGVPHVVRYPIWSPPSPCDFPQTLGTTL >Sspon.05G0003610-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:9295368:9297832:-1 gene:Sspon.05G0003610-2D transcript:Sspon.05G0003610-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEKDGCTVLIWSYAYDNPLGWTVEHRLSMRDAFGRDGFVHYEDSWFWTCDYRIVAFDLERDALFLIDEKTNKLLSYSISTGKLNEDLHTPFSVNPRLQDCCADHPAICQILSCPKPQREKAQCSAKLEMFLEPPILSYS >Sspon.07G0003160-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:7434227:7438296:-1 gene:Sspon.07G0003160-3D transcript:Sspon.07G0003160-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGQAARRGAMRPPSSGMRAAAARSPAALFLLAAAGAAALVGGFYFWLVVSSFRLPDSGAAGCRPDGEGSWAVGMFYGSSPLALRPIELEGRSNGNSSAWPVANPVLTCATPTEAGYPSNFVADPFLYVEGDTLYLFFETKTTTSMQGDIGVARSFDQGATWEFLGIALDEAWHLSYPFVFKYENEIYMMPEGNKKKELRLYRATKFPLEWTLEKVLVNKPLIDASLVQFEGYWWLFASDFTRYGVEKNAELEIWYSNSPLGPWTEHKQNPIYKSDKSLGARNGGRLFVFEGSLYRPGQDCSGTYGRRVKLYKVEKLSKEEYKEVPVNLGIEEPKKGRNAWNGMRYHHMDAQQLASGGWIAVMDGDRVPSGDSTRRSLIGYIAFLLASALVIFVGFMKGAISCYIPPSSWVPLTRRTELSRVFSVYRFNQKVRRYSTNISRYISATKTKLSEKTWSNMLFFCVVALFGIVNVCIAVHFLCGGNGAEEAYTYQGQHSQFTMVTMTYEARLWNLKVFIEHYSRCESVREIVVVWNKGNYPSSDAFDFTVPVRIRVEEINSLNNRFRVDPLIKTRAVFELDDDIMMTCTDLEKGFKVWREHPERMVGFYPRMIDGNPMQYRNERYARGKNGYNLILTGAAFMDSEFAFKTYWSEKAREGRDYVHKNFNCEDLLMNFLYANASSTRTVEYVHPAWAIDTSKLSSVAISRNTQKHYDIRTNCLAKFSSIYGPLPQKWEFGMREDHWDK >Sspon.02G0015790-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:41465874:41467042:1 gene:Sspon.02G0015790-2B transcript:Sspon.02G0015790-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASREGGGGAAGRPALRVGRTREYRTGMETELLSIDAGASGGPAVSLFVLCGDRFEAAHLFRSGALSLHMARVEGLPVSMATCTVGDHQWMLARDALVARIGARAFVFELPGFFYAVVVPPDAAGGAAERKCATMADIFSRFCAYHDLAAADGGDELAGELNTNPWVRAHARIQRLKRHTSPAGQAAADAPSDRARQMERAVRTSAVVKLLTRSLLAGVLQPGRHLTITTGGGGANAGTSSRASTAALPSKSVVSDLLDAIETNRAAPRRDARRGSGGSGGLGWWNLNVEGIMLLLRVVQAVRGRKHLAAPAAGEKRPRDEGPGRDTMRGGVMGGGGAARRWCDGRPRKIGNTVGA >Sspon.03G0016200-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:51596818:51598711:1 gene:Sspon.03G0016200-1A transcript:Sspon.03G0016200-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRHSCCYKQKLRKGLWSPEEDEKLMNHITKHGHGCWSTVPKLAGLQRCGKSCRLRWINYLRPDLKRGAFSQEEEDLIIELHAVLGNRWSQIATRLPGRTDNEIKNLWNSSIKKKLRQKGIDPNTHKPLAEVEHSKAAPTISTERTSESSDVDPSSGGALGNLSHLLSETAQSPELLPVLGKHRKETTSLAHLRVPPKELFLDQLVSGHENLTSCRSTGPIPNFPFHQLMCYNNEFGNKNGGSNNSFWFNQNESSGSTISTVMPPVSPSTLSTSTGLNRSPDNPHSGGTGLQNTQFYWETANPSSSSSRGSSGSNGLGLSCKAQVHFWKVVFSHGQI >Sspon.01G0015490-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:117150798:117153386:-1 gene:Sspon.01G0015490-1P transcript:Sspon.01G0015490-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSAFRRALNEPMCLEETVVQQGIERCPFLRNINEPTSFSFSSVNFPVPARGAKGPIFEDGPNFDMAFRVFHGRDGVVPLSEGSLVQIEKPLPKPNPEFNPLAAKAATISLSAFGGFFSFGDFSNKHNKKNSNKKNPNNLPQNQNKGQSNNHEALSNEWLETGQCPLAKSYRALSGVVPLVAKMMTPPAGMKLKCPPAVVAARAALSRTAFAKGLRPQPLPTKIVVIALLGMAANVPLGIWREHTKKFSVQWFAAVHAAVPFIGMLRKSVLMPKTAMALTIAASILGQTIGSRAERIRLKRAAAAELARESQGDAADCIKAPMSLKSGNHSVQFWDPLSLRVESTMGAGAPAVLVPAVSAFN >Sspon.05G0022430-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:7471079:7473307:-1 gene:Sspon.05G0022430-2D transcript:Sspon.05G0022430-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MANRVAVASVIAAVGIVAVIGTIAAVTSAKKVDDNDAGGMFSSVKLSTVCASTLYPQKCEQSLKPVVNDTSDPEDVLRAALNVALDEVAAAFQRSAHIGKGATDNLTRNAMDVCKKLLDDSTEDLRAMARLKPEDVVRHVKDLRVWVSGVMTYVYTCADGFEKPELKEAMDKVLQNSTELSSNALAILTRLGELLPEEAKALNATLAGAGHGRRLLGWQMGEAEEVTSGGRGLLSVDDKLGEIADVASANRKLLSDTLDEIAGMSHGANGRRLLSTLWSQITSAQGEDVLARQQKLGVSPDDETDHAARRNLLSTELESIASTSAEANRQLLAAEELPDELAGKRELLSRTLMGIDEAATEAKRQLDEATMEDTMSGGQPADHRVLTTGLIGTFDEIQDGRSQVPPGDFPKWMPATQRRLLQLPSLQKPNKVVAPDGSGDFKTITEAIAAVPKTFEGRFVIYVKAGVYKEYITVPKDMANIFMYGDGPTKTVVTGDKSNTGGFATIATRTFSAEGNGFICKSMGFANTAGPEGHQAVAMHVQGDMSVFFNCRFEGYQDTLYVHANRQFFRNCEVLGTVDFIFGNSAALFQNCLMTVRKPGDSQSNMVTAQGRTDPNMPTGIVLQGCRIVPEQALFPVRLTVPSYLGRPWKEYARTVVMESTIGDLIRPEGWAEWMGDLGLKTLYYAEYANTGPGAGTSKRVNWPGYRVIGQAEATHFTAGVFIDGMTWLQSTGTPNVMGFTK >Sspon.07G0019640-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:70522593:70524673:-1 gene:Sspon.07G0019640-2D transcript:Sspon.07G0019640-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVHNGVNKHAVAPMEVSVEAGNAAEAAWLDDDGRPRRTGTFWTASSHIITAVIGSGVLSLAWAIAQLGWVAGPAAMLLFAFVTYYTATLLAECYRTGDPETGKRNYTYMDAVRSNLGGAKVVFCGVIQYANLVGVAIGYTIASLTGISIGAGVTSTQKIWHTLQAFGDIAFAYSFSNILIEIQDTIKAPPPSESKVMQKATRLSVATTTIFYMLCGCMGYAAFGDAAPDNLLTGFGFYEPFWLLDVANVAIVVHLVGAYQVFCQPIFAFVERRAAAAWPDSAFISRELRVGPFALSVFRLTWRSAFVWVTTVVAMLLPFFGNVVGFLGAVSFWPLTVYFPVEMYIKQRRVPRGSTKWICLQTLSISCLLVSIAAAAGSIADVIDALKVYRPFSS >Sspon.05G0019030-4P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:73926351:73926989:1 gene:Sspon.05G0019030-4P transcript:Sspon.05G0019030-4P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKDCGNHGDDDLRRSCRRLLAILLVLVLLVAIIALIVYLVLRPTHPRFFLQDASLRQLDLSNSTSPSASVLSTTLQVTVASRNPNDKVGVYYDRLDVYASYKYQQITVAASLPAVYQGHGDVDVWSPVLSGPDVPFAPYLADALRQDCQAGYLILQVKIDGRVRWKVGSWISGHYHLFVTCPAFLVTTGGNGAPGASGFKFQTTTYCHVEV >Sspon.05G0020060-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:85653630:85665185:1 gene:Sspon.05G0020060-1A transcript:Sspon.05G0020060-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKQKGRASSSGMAASLVPHAQGAVPTVGFGGYHGAVRVEPAAPSDPDAPIRLTPDVDGEVLQNLKRLGRKDPTTKLKALSALSTLFGQKPGEEVVQIVPQWAFEYKRLLLDYNREVRRATHEAMSSLITAVKYAHLLRFKSSIAPHLKSLMGPWWFSQFDPAPEVAQAARRSFEAAFPQSDRRLDALMLCVKETFLYLNENLKLTPQALSDKATPMDELEDMHQRVMSSSLLAMATLIEILLGVKLQSCDVDSTNTENKNLSKVRSTILSSAEAAFCMHKCFLDVLKSKSSVIRSATYSLLTSYIKHVPHVFDEETMKKLSPTLLGAFHEKDASCHSSMWDTILVFSRKFPEAWSYCNIHKVVLSRFWHFLQNGCYGSKQVSYPLLVQFLDSMPPKSVVGQQFVFDFLHNLWAGRNQRQLLAADSLAFCGAFKQSFLWLLKNASRYSTGDSSDDIPIKLITDVLAKIVWRDYLLLSGDTTNGGVLLSHKTSGLAANMHYPTYYLQDLKKCIIEILDVIADTENHLLNISCQSLLRDCLDIIQQGEKLSKFQNHAEQLVSFFLSLDQIVVCKGEIWPLERLAKPLVEQSLPAIKSMDTPCLVKLLSVLVEIFGPTPLFLKNYKKNDEKLDIKSYLEFFNDELLPWCLDGKYSTCNSKIDLLLSLFQDESFFDQWCSIIKCTTAEKKHSVDDKTSNIMGRFELLTLLLQKIRERIAGGKLKNLQENGYLPEHWRHDILDSTAASVFCDLPASDCHVSFICAALGGSDQEDQICFLSPETNLALVLMASTFEWARLAHSLLPAEHEHLKVPEENSSIINFEMARSAFKVLQGSLFSLWRLEENSVFPSILAALFVIEWECSMSLALVEENYLEGHIEDTEVGVSMCSSSKGYLDEKMHLKANLAESIHAFRQSLSPSFWNNLHSSTSNRLANILAQCVRYAVFQTRDLHVESTAMLCSEWVVDMLKLICLDHRNLQSFFDLLLSEGEYWPLWLMPSLQNGHASVKVQLDPDITDEIELKHERFVAFVDRLILKLGFSEVVLGIPGNIQSATSQSIDITSRISSLSRAWVAGEVLCTWKWKGGCALKTFLPSLVQYMKDESYLEISIVPLLLDALLGGALMHESGPWVLFNACHLSDNEIDKIQDRFLRALVALLFTINTNGCLWRESDALVFFEQLLSNLFIGSSVNRKGLKILPYVMTSIIKQFSALNRGSSYADLVGKSIQSWLDAAISCLSTSPRDIPVQDIEDWMQVVLSCFPLRITGGAQKLVVVVERDISDTERSLMLTLFQKYQIFYGSTASSLLTSETAVSTTVELLGVKLTAVVVGYCWRNLQENDWHFVFRMVFKCIESSVLLVEEMTDGINDATINQVSSEDALEKLKLVVGTTDKLTLSLAESALVTMCHLNHLCNIQEAENSRSVQLIRSGDYAESNDKMVESILRLFLASGVSEAIAKSCSEEASSVIGSSRHAYLHFWELVASFIKNAPLQIRKSALESMELWGLTKGSISGLYSILFSSQPIFHLQLAAFSLLLSEPFCQLSLVKNCSMGENCSSVQQSGLSQSAELMPDSEKKVHLRDELSDLIEFPTSELLKTDLTARDRVDVFIAWALLLSHLQILPASSSIRGDVLQYIQEKVSPCILDCIFQHIPVKAAAPSGKKKDTELAPEAEAAAKASKNAIATCSLLPYLESLWPIGTLQMASLAGSLYGMMIRLLPSFVRTWFTTLRDRSLSYSIESFTKQWCSPPLLLDEFSQVKDYVYGDENFSVSVNRSAFEIVATYKKEETGIDLVIRLPNCYPLRHVDVECTKSLGISEVKCRKWLLSLTSFVRNQNGAIAEAIRTWKSNFDKEFEGVEECPICYSILHTSNHSLPRLACKTCRHKFHGACLYKWFSTSNKSTCPLCQTPF >Sspon.01G0023320-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:82738522:82743328:1 gene:Sspon.01G0023320-2C transcript:Sspon.01G0023320-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASSSTAPRPPVAEMPAPTSSTSEPLLSAAPARPRRRCRIREVSSRYLSTPLQAPSPRLSTSSFHSATPLPAPSPRLSTSSLHSATPLPAPSPRLSTSSTPSTRAHHHCMPAASGLADENSPPPPTTGSRKRGAARDLFEEMHRPRLNPLAPLAAAGVPGATTATPGPGPSRILCPSSRASPNITTAARQHRRGCGRPSTPARTSFSFYSASPETSAAAIDSWAAAPCPRPVPFSELRTSPPGTTEGPGRPPNPFCFQALASALPKRQAKIPAAAVKPPPPPARKVVVVKKGAAVMGGSKAAGKQEDVHKLRILDNRYMQYRFLNAQAEAVAITKKAVAEESLYGLSERIADLQKSVAQKKAELECLKRMEKVDFVVDAQVPSLEQWCELEREHISCLSSGTVALRNAASRVPTRGNIETNTGGVKLALNCAMEIMKQLSPCAEKLSRKVEEIEDVASELNNVVSNEQVLLQECADLLHQAHDMQCILDEAYCGIRNKIVVDLMLLPHAGWSLRVVADRLPLAVEEERRHGFLIVRRRPEQTAQDEISSHAATVVLVVEREFHHAPAVPGDRRPLRRRHPGAAVGVGQRARVPDAAAVRAPDGADLAGLRVPSPLAQGACRGHVAAACGADEVPAGPARRRRVPLGQPLGSDGVDVGQVDDEATAVEALLPADHGVEDERDQVVIAGAESKAPRGQSAIAMSTG >Sspon.02G0033890-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2C:10566684:10569827:1 gene:Sspon.02G0033890-2C transcript:Sspon.02G0033890-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLIGETGSGKSTQLVQFLADSGIAGGGSIICTQPRKLAAISLAHRVDEESKGCYGDSSVLSYSTLLNSQGFGTKIIFTTDSCLLHYCMSDMSLDGISYIIIDEAHERSLNTDLLLAMIKKKLLVRLDLRLIIMSATADADRLAEYFYGCQTFHVKGRTFPVEIKYVPDISAEASLNSVPSISSVASATASYVTDVVQMVNIIHKNEEEGAILAFLTSQLEVEWACETFSDPNAVVLPMHGKLSSVEQNLVFKSYPGKRKIIFCTNIAETSLTIREVKYVVDCGLAKEYRFVPSSGLNVLKVNWISQSSANQRAGRAGRTGAGKCYRLYPESEFGMMEAHQEPEIRKVHLGTAVLRILALGVTDVKYFEFIDAPDPEAINMAVHNLEQLGAIEYKCSGFELTETGRDLVKLGIEPRLGKIMLDCFSYGLMKEGLVLASVMANASSIFCRVGTNEEKYKADRLKVPFCHPDGDLFTSLAVYKKWEAGHDNKNMWCWQNSINAKTLRRCQETISELEKCLKHELNIIVPSYWSWNPEKPTMHDTSLKKIILSSLRGNLAMFSGHEKFGYQMISADQPVQLHPSCSLLTYGSKPEWVVFSEILSVPNQYLVCVTAVDRDDVCTVHPFIKPLEESKLQRKVITGIGNKSLRRFCGKCGQNLQKIISLLREDCRDDRIMVDLDFSSSEVLLFAKEHDMETVFCMVNDALELEAKMLRDECDERRSGGSTIALFGSGAEIKHLELGKRHLTVEIQHQNARDIDEKELIGLVYSHVPGIANFHRLGNFQTNADETKWGRFTFLKPDYADHAISKLNGIEFHGSSLKVGPVSAYNHSGLPFPAVRAKVSWPRKPSRGLALVTCASGEAEFIVKDCFALGVGGRYINCEVSKKYANCVFVTGVPLHVTEPELYDAFRSTTTRRILDIHLLRGPPTASSSDSECAEALMRAISLFMPNRNFPGQNFRVHVFPPEEKDSMMRATISFDGSFHREAARALDHLQGSVLPCCLPWQIIQCQHVFHSTVSCPMRIYNVISQEVGVLLESFRSQKGIVVFLA >Sspon.01G0035930-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:15778524:15781303:-1 gene:Sspon.01G0035930-1B transcript:Sspon.01G0035930-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding KALNEIKSSLGWRVVYSWVGDDPCGHGDLPPWSGVTCSQQGDYRVVTELEVYAVSIVGPFPTAVTNLLDLRRLDLHNNKLTGPIPPQIGRLKHLRILNLRWNKLQDVLPPEIGELKKLTHLYLSFNNFKGEIPVELANLPALRYLYLHENRFTGRIPPELGTLKNLRHLDVGNNHLTGTLRDFIGNGNGFPSLRNLYLNNNELTGVLPDQIANLTNLEILHLSNNKMIGSISPKLVHIPRLIYLYLDNNNFIGRIPEGLYRHPFLKELYIEGNHFRPGTRSKGTHKVLELPDADILV >Sspon.01G0010450-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:29652835:29661862:1 gene:Sspon.01G0010450-3C transcript:Sspon.01G0010450-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-prolyl cis-trans isomerase PASTICCINO1 [Source:Projected from Arabidopsis thaliana (AT3G54010) UniProtKB/Swiss-Prot;Acc:Q7DMA9] MEKDGRRPGEGEFPMDCPLHDSLLRVHYKGMLLDEPKSVFYDTRVDNDGEPLEFCSGEGLVPEGFEMCVRLMLPGEKSIVTCPPDFAYDKFPRPANVPEGAHVQWEIELLGFEMPKDWTGLTFEEIMDEADKIKNTGNRLFKEGKFELAKAKYDKVLREYNHVHPHDDEEGKIFANSRSSLHLNVAFCYQKMGEYRKSIETCNKVLDANPVHVKALYRRGTSFMLLGEFDDARNDFEKMITVDKSSEPDATAALLKLKQKEQEAEKKARKQFKGLFDKKPGEISEVGVESEDGKDAGGARGSDDATSADRGANTMDSPTREPEYAFEEERPGLLGRLWPSARRIFSSLGMNRCTIL >Sspon.05G0012940-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:56695462:56697116:1 gene:Sspon.05G0012940-2P transcript:Sspon.05G0012940-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLVLGALAMEQIVNALCLFLVALLLPVLLLKLNWHGGNAGQRLPPGPSRLPVIGSLHHLLFMRIPLAHRAMAELSRRHGATLMYLRLGEVGLVVASSPAAAQEIMRAHDVAFESRPWIPSMRPAMERGAVGLVFGRYGALWRQLRRISVLELLSAKRVRSFHRVREDETRHLVATVALAAATPGEAVNVGKRVAALTADATMRAVVGDRFEWREEFLRAIEEGSRLVSGFSLGDLFPTSRLASFFSRTAGQVTAVQRKTMELMDRAVRQHEERRHAAMTVDDDEDILDALLRIHKEGGLEVPLTMDIVKSLIIDLFSGGSDTSAATLGWAMSELMRNPGAMEKAQAEVRSKLQGKPAVTEDDLHDLRYLKLVIKETLRLHPPLPLLLPRECMEDRKVMGYDVPKGTMVLVNAWAIATDPEHWDDADAFKPERFEDGRIDFKGTDFEFIPFGAGRRMCPGATFALATVELVLASLLYHFDWELPGGVTPGELDMDEKMGMSVMRKNDLYLCPVVRVPPYV >Sspon.08G0010950-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:44026247:44027762:-1 gene:Sspon.08G0010950-2B transcript:Sspon.08G0010950-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMEKMMGWQIPAFGMWNYCNDLSITQYFDSAMQARLMKRCWSRRGSDAGAAVVVGEKGGAACGDEQLVLFRTPSFQRKPAAQIKVIRREVEKHCDGNELQDGGGVRPDEVVAYPVKRKVVISKAVDEDLYKVPQPPLYQKPRKVMEQKYHNLRISGLSLPETGMSLMR >Sspon.01G0005170-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1A:13788814:13792095:1 gene:Sspon.01G0005170-1A transcript:Sspon.01G0005170-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRACAAKTRSDPWGRRCACSQPNGPATPVDMAVDYFIYDYEFAEPPRVTSLQNTQPTPTNADFGEDNYFVADQRGFESIIHSIGSSYLSTDGNGKLSDRRILLNKVVRQIAYNEQGVVVKTEDGSSYSADYVVVSTSLGVLQTDLIKFTPQLP >Sspon.05G0027220-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:50853772:50856742:1 gene:Sspon.05G0027220-3D transcript:Sspon.05G0027220-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFISFVGRVLFASLFLLSAYQEFIEFGNDGGPAAKTLKPKLNLFVKLVSKSTGLGVPHVDIKTVIATTMFLKGFGGLLFIFSSSFGAFLLLIYLAFITPIVYDFYNYEMESTQFVQLFFKFTQNLAFIGALLFFLGMKNSIPRRRSKGRTTKTKTN >Sspon.01G0016450-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:114484853:114486298:1 gene:Sspon.01G0016450-2B transcript:Sspon.01G0016450-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSVLGASAGGGGGGGGSSGVASGGVGGGGGGPPCGACKFLRRKCVTGCIFAPYFDSEQGAAHFAAVHKVFGASNVSKLLLQIPPHKRLDAVVTVCYEAQARIRDPVYGCVSHVFALQQQVVNLQTELTYLQGHLSTMELPTPPSFAAQNQMPMTAAFCVSNLPSASDNIIPATVDVSTFFEPQTQQSHWVSQQQQVQQHQLNQQHYSSMPRLERALALASMEAALQE >Sspon.02G0011420-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:29046838:29050106:-1 gene:Sspon.02G0011420-2B transcript:Sspon.02G0011420-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding EIVAAVVAGKIPSYVLETRLGDCRRAAGIRREALRRITGRDMDGLPLDGFDYASILGQCCELPVGYVQLPVGVAGPLLLDGRRLYVPMATTEGCLVASTNRGCKAIAESGGASSVVLKDGMTRAPAVRFPSARRTAELKAFLEDPANFDTLAVVFNRSSRFGRLQGVKCAIAGRNLYMRFSCSTGDAMGMNMVSKGVQNVLDYLQADFPDMDVISISGNFCSDKKSAAVNWIDGRGKSVVCEAVIKEEVVKKVLKTNVQALVELNVIKNLAGSAVAGALGGFNAHASNIVAAIFIATGQDPAQNVESSQCITMLEAINDGKDLHISVTMPSIEVGTVGGGTQLASQSACLDLLGVKGANRESPGSNSRLLATVVAGAVLAGELSLISAQAAGHLVKSHMKYNRSSKDMSKALAEATDKPNKC >Sspon.02G0031630-3C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:104760768:104766612:-1 gene:Sspon.02G0031630-3C transcript:Sspon.02G0031630-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVDSRMATESDSDSDARGGGSGSGSETPTASPSPSPSQSPSASPSPSAPGTPTAAAASPGPVAGPRPAPGYTVVDAAMDKKEDGPGCRCGHTLTAVPAVGEEGSPGFVGPRLILFGGATALEGNSATPPSSAGSAGIRLAGATADVHCYDVLSNKWTRLTPLGEPPSPRAAHVATAVGTMVVIQGGIGPAGLSAEDLHVLDLTQLRPRWHRVVVQGPGPGPRYGHVMALVGQRFLLTIGGNDGKRPLADVWALDTAAKPYEWRKLEPEGEGPPPCMYATASARSDGLLLLCGGRDANSVVFVNARLHVSGGALGGGRMVEDSSSVAVLDTAAGVWCDTKSVVTTPRTGRYSADAAGGDASVELTRRCRHAAAAVGDLIFVYGGLRGGVLLDDLLVAEDLAAAETTSAANHAAAAAASANVQREPGRYAYNDDQSGQTVTVSSPDGAVVLGTPVAPPVNGDMYTDISPENAIIQGQRRLSKGVDYLVEASAAEAEAISATLAAVKARQ >Sspon.08G0013120-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8A:55679853:55680212:1 gene:Sspon.08G0013120-1A transcript:Sspon.08G0013120-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRSSSSSSSVAATAVPTVLCALLLIILSVGVTPAAACPIPDGKCPVNAVKLGVCADVLDGLIHAVVGGPPKEPCCSLISGLVDLDAAVCVCLAINANVLGVNLDVAVDLSLLVNYCGR >Sspon.01G0035230-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:10011624:10012760:1 gene:Sspon.01G0035230-2C transcript:Sspon.01G0035230-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARWAAAALVLAAATAIAMASVAGGDMSADKTECADQLVGLAPCLQYVQGQARAPPPDCCGGLRQVLGKSPKCLCVLVKDKDDPNLGIKINATLALALPNACGATRANVSHCAQLLHIPPGSKDAAVFSPGGDKGSTAAPAKDNSTATTDSRALQATNGGGVSSLAATAGAALTVLLAGYFLLLVPE >Sspon.02G0043680-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:108842575:108846739:-1 gene:Sspon.02G0043680-3D transcript:Sspon.02G0043680-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVYMSAKGDYISFNTDAAFDANTCTGSAGVVIRDHSGLVVSAAARWFDGIPDTLTAEALAAKEGLELALELGYDRVILEVDCQGLKTLLGDPSSNVISFMTFLAPIPTFYRIYKSKSTEGFQSVPYVVALFSAMLWIFYALIKSNETFLITINAAGCVIETIYIVMYFVYAPKKAKMFTAKIMLLLNVGVFGIILLLTLLLFKGDKRVVMLGWICVGFSVSVFVAPLSIMRRVIQTKSVEYMPFSLSLSLTLSAVVWFLYGLLIKDKYVALPNVLGFTFGVVQMVLYVLYMNKTPVAVAEGKDAGGKLPSAADEHVLVNIAKLSPALPERSSGLHRATQMAAVPTRSCAAEAAAPAMLDNRDVVDVFVNRPSPAVHL >Sspon.08G0013450-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:56255861:56259180:-1 gene:Sspon.08G0013450-1A transcript:Sspon.08G0013450-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGTQQLGRLLGGLWFLAALLLRPGGAAAAGQPAMATVDARRAVAGTGEDFVCATLDWWPPDKCDYGTCAWGRAGLLNLDLSNKVLLNAVRAFSPPLVLRLGGSLQDKVVYGTADLGGRPCAPFAKNVSEMHGFTQGCLPLRRWDELNAFFQKSGAKIVFGLNALNGRVPLPDGSVGGPWDYTNAASLIRYTANKGYRIHGWELGNELSGTGVGTRVGADQYAADVIALKKLIDDIYRINPSKPLVLAPGGFFDQTWFSQLIVKTKPNLLNVITHHIYNLGPGKDTHLIDKILNPSTLDGMIDTFSNLQRMLKSAGTSTVAWVGEAGGAYNSGRHLVTDAFVFSFWFLDQLGMSAKYDTKSYCRQSLIGGNYGLLNTTTFQPNPDYYSALLWHRLMGTKVLATTFSGTNKIRAYAHCARDSPGITLLLINLSGNTTAQVSVTVTTQGAVAAHKHGARKHIGGRKFRHVHDPSFTGADEAAGAVRDEYHLTPKDGNLRSQVMLLNGRALATDAAGNIPTLEAVKVDAAQPITVAPSSIVFARISHFNAPA >Sspon.02G0045420-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:109378660:109380176:-1 gene:Sspon.02G0045420-1B transcript:Sspon.02G0045420-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding CLPLSPPLLCFVSTPPSAAPRRAIGLQTLIGSASARVAIPRAASPFRSAGSRCCACGRRFPSRARLPPFRFLILVLVRGFSNSRPRAAADARVLGCSGGEIRPGRGREPTPPDLSRGDSVFRSLVCNDQREDRREMAPPYAASPYVLSLLLLVLSVPAVFLLAPRLIPPRTLPAIPDADETEDLALFRRAVLLSAAPSKTGPASASPSRFFGAAHRQRKQKVAFLFLTNSDLVFAPLWEKFFAGHHDLLNVYVHADPAAELLLPPTPSFRGRVIRGKATARASATLISAARRLLATALLDDPANHFFALLSQSCVPLRPFPALYRTLAADNAGPRGRHRSFIEILDAEPTLHDRYYARGDDVMLPEVPYESFRVGSQFFVLTRRHAVMVVRDRRLWNKFKLPCLVKRKFSCYPEEHYFPTLLDMQDPAGCTKFTLTSVNWTDSFDGHPHTYQPEEVSAELIRELRKSNGTYSHMFARKFSPGCLAPLMEIADSVILRD >Sspon.07G0028900-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:78839380:78842275:-1 gene:Sspon.07G0028900-2C transcript:Sspon.07G0028900-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNLDITKSLSTDQEDWSSFTSVDDKLNHVHQTTDVLGTHESTGEFMKASTYLPANNSAILNLYKESERADAIHIAQSSAESIQSSSDLFSNNEMNSSFETDDNHSSRSTSACILIEFYHRLREESLTAISRHVKDLREAQKESTFSDENNKATAVGRDIQEIYDKLKDSSLPKGFFTEEQPWKDASEDTDVAVELYKLSVSTLHVLKLASKEEQHGVALWQEFYHANVATVVSEGGHYFIALGEIYRVAQILHLSLQCFKPWVLADPGMLSKMLSCLDSCTDAWTSGLEMALKMVIDSKHIDASFAKALMESIENITKLEVPNLQKIIPNNEMRCRLTLLPSSLLPGLNVVMWNGGHYFVKVANLWANRISPDPPLLPSNPASSMNNAATLANHAE >Sspon.07G0033890-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:66817301:66827951:-1 gene:Sspon.07G0033890-1C transcript:Sspon.07G0033890-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGASGSGFGGVWLVDGSDAAFSSDKLRYFCQLSEADPEADPEEFIQFMRADSLPKKTPKRERITKARLPGQPIFLKKEERVVKFSILCNILTEDIGSQVGFLNWFCSTKSVLSLVREKEEYLRTGILSRILTTKQEEGTEAVVPPPSSCCCCLSSPPQLCGESSWGTKRSTSSLSCLNQVKHCRIKDLLPLDHTSINQGPREQEDELLLRRLTAESKDLFGAELGEKLLDGFLAEDVADALLYELNRSLLCDELALLDGVGDIDCLLPLQFMSNPLKDEGDPPLERDTGRSDRDVRRFGGASKEKPGFGEDGLLLVLIGEVPPFLFKIGSLEPVLNLLEAGLGDLRGGVDVLEAGGVEDLRDGAAGLLREEEFDDRVLVNTVLLHERGDGLSLLGLALLLLDLRVFSICVKSERNIHTST >Sspon.05G0015870-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:58459685:58463579:1 gene:Sspon.05G0015870-3C transcript:Sspon.05G0015870-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRPRGACPHAWRHQQQPHRGRMWAASPAFRRQLVLLRSLLPSPPAPSSVAGFPPSCPSCSSFLRVRANHAMAASAGTVYEADAEAVVRRITPPLDRARHKGQAGKVAVIGGCREYTGAPYFAAISALKVFHHFKVQTIIANISHYVFQGADLSHVFCTKDAATVIKSYSPELIVHPILEESYSVRDDERASVSSKILTEVAKWMERFDCIVVGPGLGRDSFLLDCVSNIMRHARQANIPTVVDGDGLFLVTNNLSLVEGNPLAILTPNVYEYKRLVLKVLNCDVDEETASEQLITLCQKIGDVTIMQKGKADVISDGKTVTQVSTFGSPRRCGGQGDILSGSVAVFASWARHFVLTNEQPTEKRVNPMTLGCIAGSLLLRKAASHAFEKNKRSTVTSDIIEFLGK >Sspon.01G0059210-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:43380754:43383990:1 gene:Sspon.01G0059210-1D transcript:Sspon.01G0059210-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SOH1 family protein [Source:Projected from Arabidopsis thaliana (AT5G19910) TAIR;Acc:AT5G19910] MRLEKDNSIPAGSSLHTIVNEDTRRKGKPNHHWLWLDLAQNRYFEDEAFIGYLKYLKYWQRSEYIKYIMYPHCLFFLELLQNANFRNAMAHPTSKELAHRQQYFFWKNYRNNRLKHILPRPPPEPTSAPSPSQAPATLPLPASVPTPVAPPVPAPTSSMPPVVAGGASAMSPMQFVGTLGTNMPKNDMRNAMGNRKRKYAPPLQLFNNLFYEVICPCTVFFPCYFVCYEIIGELLLSKDTIQFSLIYGHSSNIVDYEDPRLHYRKDG >Sspon.05G0004000-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:4504578:4507269:1 gene:Sspon.05G0004000-2C transcript:Sspon.05G0004000-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAAAARQLLPRVAKATATAAALVRGPLDSFSSRFRTLEPPLLRPPALFSRYLSETAFDAQALDTRVPATVITGFLGSGKTTLLNHILTSQHGKRIAVIENEFGEVDIDSSLVASHSTVTEDIVMVNNGCLCCTVRGDLVKMLLKLVKQKGDRFDHIVIETTGLAKPGPVIETFCSDELVSKYVKLDGVVTMVDCKHAMKHLNEVKARWVVNEAVEQVAYADRVILNKFINGMAQMKTAKFGDVDMDFVLGIGGYDLDRIEAEVQLQDSKETDHCHHGDEHGHHHDHVHDSAVTSVSIVSEGLLDLDEVNDWLERLVDEKGEDLYRLKGVISVNESTGRFVFQGVHSMLEGCPAKPWEPDEKRIANSCLLAEIWTKLHFERPSTVAYSELSDRVLILRG >Sspon.04G0007120-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4A:20366802:20367326:-1 gene:Sspon.04G0007120-1A transcript:Sspon.04G0007120-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAVGGGWRTGGIRGARAITVNELKVSRPARRQPMDPGDARTGACRSLSVSPLRHVAQVRTAPAPPLAGPPHSPLASEARHAWDGIVSSWDAGHAAGRDPAATATGSLDECAVRKESVGTAVPGGRRRRGSWLMDHWPLGRTRRFSISHCRTTDSGKKAFCAPRGRGCLSFGQK >Sspon.01G0037250-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:17869202:17871606:-1 gene:Sspon.01G0037250-1P transcript:Sspon.01G0037250-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPQLTGAPGSAAAAGGAAAVKPQFHHYHHHRLPPRHHHPSPTSLLSKLAFWSVCSLSLLLAFLLLSPAAPAPLAAPESPRRSLHASPSSTASWGGAAWEKKVRASARVRRSRGHSVLVTGAAGFVGCHAAAALRRRGDGVLGLDNFNDYYDTALKRGRAALLARSGVYVVDGDIADAELLAKLFDVVPFTHVLHLAAQAGVRHALVDPMSYVRANVAGLVALLEAARAANPQPAIVWASSSSVYGLNSHVPFSEHDRTDRPASLYAATKKAGEEIAHVYNHIYGLSLTALRFFTVYGPWGRPDMAYFFFTRDILAGRPITVYESAGGGSHQTTISRDFTYIDDIVKGCVAALDTAGRSTGSGGKKRGTAPFRTYNLGNTSPVPVTQLVDLLEKLLKVKAVRKVVKMPRNGDVPYTHANVSLAQRELGYRPSTDLQTGLKKFVRWYLEYYHPELAEKQKLRTSSNGKGSRGRNGSSNMMPNETMLIVDC >Sspon.07G0019010-3D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7D:72712720:72714540:-1 gene:Sspon.07G0019010-3D transcript:Sspon.07G0019010-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At4g15720 [Source:Projected from Arabidopsis thaliana (AT4G15720) UniProtKB/Swiss-Prot;Acc:Q8VYH0] MTTRPQLPMAPPSSPAAALKPVLIHLLRGASDLASVSATHAKLVKTGIASPLASCNHLLAAYCRCGAMGRARDLFDGMRDRDVVSWTTLMSGYAAAALPRDAMYLLRAMGSSGVRPNVVTFSTAAFACARLADAGLGRQVHAQAEVAGCARDAVVATALLDMYGKAGGVEDAHAVFDAMAAQVRNAVSWGAMLAAYAQNALGDEAIRLFADLRTRGGGVAPNHFMLATVVSACAGLARLGIGRCVHGAVLRLGYGNNDVISVALVDMYSKCGCYEYSRKMFDRIEQPSVVTYTSIIVAAAKYGRWRCALTLFDEMVDQGVQPNDVTLLGVMHACSHCGLVDTGLQLLRSMQSKYGVAPCPSHYTSAVDMLGRAGRLEEAFELAKEAQLEGNDALLLWNSLLSACRTHKRLDLATMAAQRVSEFNNQQDVAAGLVVMSNAYVSAGQIDNAAAVRSSMRLRGIRKDPGCSWIEVKDTPYVFYAGAISCAGAWADEVLMLLDELESKMRERGYRGRLGSARVSDAHEDDEEEGKGVMVGVHSEILALAFGLLVVPKGMTIRVMKNLRMCSDCHEVFKLISGTVEREFVVRDLNRFHHFKMGSCSCHDYW >Sspon.05G0019150-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:77070173:77071165:-1 gene:Sspon.05G0019150-3C transcript:Sspon.05G0019150-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIDGDDRCCPAFAGDGAAESAMSIEDLPADVLALVLRRLDGASLAALGCACAAFRDLAADPAAWRALCLALWPSLRNVPPVCDADTAAGKAHHHHRRLFADAFPFPTVTPAAGADPFSSLQLPARLVSAVDLRQGGVCVMSRAVETDASSAWFLGAPFRVDALAQEGFTLPAPAPIVPAELELSWVLIDPDSGRAVNASSRRPVSVDRRWLTGETVVRFTLVLGAGGVVLDAAVTCDERYGHVREVNLCMEDAEGGGVSGRDGLAVVAAAMAGARRGRGAEEENKVRYQEFVKGRADRKERKARREGIIDLCCSGVGAAALLGFLLMLTF >Sspon.04G0011570-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:7655704:7658107:-1 gene:Sspon.04G0011570-1P transcript:Sspon.04G0011570-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAPCCDKASVKKGPWSPEEDAKLKAYIEEHGTGGNWIALPQKIGLKRCGKSCRLRWLNYLRPNIKHGDFTEEEEHIICSLYISIGSRWSIIAAQLPGRTDNDIKNYWNTKLKKKLLGKRAPSRRVRANQDPYLAAGDGNMCSTSGVVNNNSAAAATSTQALSASALERIQLHMRLQGMYGAFGCSGDGNDNSNAAAVAAVPQWPKLEALLQANRLLPGSLPTDAMATTVSVQQHHQHLVDHQSFAAGAGDAATVEGKQHLSSSDGETYMAPPGGGFFERPKLGFYSPSAKEAAASVEMNSGAPMIGGGYGGAGFGQHHHHDELYDFLYSKYGSVGGLAQDGHVPTLPELQCPDGAAVVGADEKFSAWTASACDYGAAGGHQTQQGNSIGSSLHDYVLGGYDQ >Sspon.07G0009700-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:26333016:26348737:1 gene:Sspon.07G0009700-2B transcript:Sspon.07G0009700-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLNSFLQIYILLYKEVALALKINSLYSKQRLLNIHENVKVLRYPDHFSSGLDLCFGRYDSPEHKVADSPPVMWPGKDYYNPRESEPNSWEDTMKDELDRAKYPRMPWHDVQCALYGPPCRDVARHFVQRWNYAKRNKALNEQAIPLLMPHHHMVIPHYKGRSKEINDEAEGKQYHDKDVDIGKPVLTSRTSCQDVPLLLPQELEPRELSSSDLRMTDLDINHSDHVNKKSFNQPLLNRKAKLDSSHQDLPMRSFVDNLSSLEPSSIRRFDSSKDDRNHIDKKWWEVQERGNQVASVLDVGQVGPRATCRCQVVRSVGQWSAGTTQIEGSIHNAYFSLIEKAEHFVYIENQFFISGLSGDDTIKNRVLEALYRRILRAEREKKRFKAIIVIPLLPGFQGGIDDGGAASVRAIMHWQYRTICRGSNSILQNLFDVIGPKAHDYISFYGLRAHGKLYDGGPLVTSQLMIVDDRITLIGSANINDRSLLGSRDSEIAVVIEDKEVVNSKMDGRPWEAGKFSLSLRLSLWAEHLGLHPGEVSHIMDPIDDSTFKNIWMATAKTNTMIYQDVFGCVPNDLIHSRPMTI >Sspon.06G0006590-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:41577896:41578746:-1 gene:Sspon.06G0006590-2B transcript:Sspon.06G0006590-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DASSAPHGSASQSLVAVLLPPSRRRSRLRRVPVRRRGRGGGPAEPSPDAVHPGPPPPAAPVAAVLRAGPAGPAHEGVRGGGPDPAAAAEAVPRRAAAALGQVGGGDPPPAEPHPPLARHLRHRRGGGARLRPGRVPPPRRRGAPQLPRQRRLPRPAPRLRRRQAPDALPEHRRVQEGRQEARLRLRRRSSCHVVVRPHQQLLLLAVLRRRVLVLPRVRRRVLVPVPVAVRLPRVVDGAGDAAAGLQRGAVGRGRRLRAHQVPVVRDRLGLPPRRQL >Sspon.04G0010440-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:27477772:27481946:1 gene:Sspon.04G0010440-2B transcript:Sspon.04G0010440-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MELAVGGGMRRSASHTSLSESDDFELTRLLSKPRINVERQRSFDDRSLSDVSHSGGYGRGGFDGMYSPGGGLRSLVGTPASSALHSFEPHPIVGDAWEALRRSLVFFRGQPLGTVAAVDHASEEVLNYDQVFVRDFVPSALAFLMNGEPDIVKNFLLKTLLLQGWEKKIDRFKLGEGAMPASFKVMHDAKKGVETLHADFGESAIGRVAPVDSGFWWIILLRAYTKTTGDLTLAETPECQKGMRLILSLCLSEGFDTFPTLLCADGCCMIDRRMGVYGYPIEIQALFFMALRCALQMLKHDSEGKEFVEKIATRLHALSYHMRSYFWLDFQQLNDIYRYKTEEYSHTAVNKFNVIPDSIPDWLFDFMPCQGGFFIGNVSPARMDFRWFALGNMIAILSSLATPEQSVAIMDLIEERWEELIGEMPLKICYPAIENHEWRIVTGCDPKNTRWSYHNGGSWPVLLWLLTAACIKTGRPQIARRAIDLAERRLLKDGWPEYYDGKLGRYVGKQARKFQTWSIAGYLVAKMMLEDPSHLGMISLEEDKAMLKPILKRSASWTN >Sspon.01G0003340-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:9187131:9190185:1 gene:Sspon.01G0003340-1A transcript:Sspon.01G0003340-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDGSNTTKKSKLSWSKSLVRKWFNIRSKAHDFHADDVAAIGRTVAGGGDVEWRGSSFTRREPSTVKKSKTERSSRRSNGHSRRGKIDLDAAEATVTLDYRIFVATWNVGGRSPPNNMSLEDWLHAAPPADIYVLGFQEIVPLNAGNVLGTEDNVPAKRWVSLVRRTLNNLPGTSGNGSFRTPSPAPNPVVEIDDDFEGLSSRQNNATLFHRRSFQAGLSRSLRMEGDILAPQPRLERRYSVCDRAIYGRRPSDYENNYRWGGSSDDENNTGESPSTVYSPMSCGYGNASSLEDSQRRAGQTRYCLVASKQMVGLFLMIWARKDIRDDIRNLKVSCVGRGLMGYLGNKGSISISMSLHQTSFCFVCSHLTSGQKEGDELRRNSDVLEILRKTRFPMVYGQYERSPETILEHDRIIWLGDLNYRIALSYRSVKALVEMRNWKALLEKDQLRIEQRGGRVFVGWNEGNIYFPPTYKYSNNSDKYAGDDMNQKEKRRTPAWCDRILWYGRGLGQLSYVRGESRFSDHRPVYSVFSAEVESINHSRIQKMSCSSSQLDIEELLPYSYGYTDINPYGYTDLNFY >Sspon.03G0024840-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:75397516:75401739:-1 gene:Sspon.03G0024840-1A transcript:Sspon.03G0024840-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFLDLLDGDLAQQGFERLLSSARRRRRPRPPLGRAVRRGKFRMVRVSVLNDALKSMYNAEKRGKRQVMIRPSSKVIIKFLTFGYIVLTTSAGIMDHEEARRKNVGGKFGYIVLTTSAGIMDHEEARRKNVGGKVLGFFY >Sspon.02G0003700-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:10800117:10800681:1 gene:Sspon.02G0003700-1T transcript:Sspon.02G0003700-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGILERYQRYSFEERAVLDPSIEDQADWGDEYVRLKSKLDALQKSQRHLLGEQLDSLTIKELQQLEQQLDSSLKHIRSRKNQLMFDSISELQK >Sspon.03G0017380-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:54431226:54434479:-1 gene:Sspon.03G0017380-1A transcript:Sspon.03G0017380-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MELGSVAERLLSYADDLDGTLNVSIDHDVNAQSAEADVHLPRSNYLKLLLKAKFCGEFEERLKVVLKEKQVTASYGKIILFVDEIHTIVEMDALRGDAQTDKESTWYAAVWHRATVLPRRPERRAANSGIVAAEQAYMWEGGKWRGEIKCNKMRCGEEERERDRGTEST >Sspon.03G0011210-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3B:37565638:37567827:1 gene:Sspon.03G0011210-2B transcript:Sspon.03G0011210-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATFPVTTSPSPHQSTPPPTAGHLRLPIATSADAALATLSAFLSSGRLTSSSPSVLPRTLRVAADLRLPRLGLQLHAFLVKTRLLADPFSASALLHLYSTLAPLHRTRLLFDRIPKSTYPVPWNTMILRYAQDGFLDEAFELMVAMEESGVPIGASTWNAVIAGCVRAGNGELAIELLGKMVSVGGVVPNVATFNTVLHVISVLRGVDVLRELHAFVLRNSEVVGLGPVDLDRLQESLAAGYMSSSCVQYAGRVFRDVRISTCFLGNLMISGFLDTGQRNQALGVFREMAFGCGYEAQHLPSVSLTLVLPEVNLATKRGLEIHAYAYRHGFECDTSVCNALMAMYAKRDKLCLADKIFQGLDDKDVVSWNTMISSYATVHDFDLSFQLFREMQRNDTRPDDYTFTSVLNACSFACNHRTVMALHGQMIRMGLCHSYVDDMNSLMDAYGKCGFIDEAQNIFDETDRKDAISWNIIISCYGYSGFPQRAIRLFHQMQDQGYKPTRVTFIAVLAACSHAGLVGEALHYFEGMHRDYNITADEAHYACIIDCFGRAGQLKQAYEFIRGMPLVPNACVWGALLSSCRIHGNIGLAEIAAKKLIELDPQHSGYWMLLKDIYAKAMRWNDVSQLRTTIRDKGIKKCPGYSWIEVRESEVHRFLTADKLHTQSHQIYQVLGGLTEQLMDEGYEPKIDVDLTYTE >Sspon.01G0044830-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:86045840:86051170:-1 gene:Sspon.01G0044830-1B transcript:Sspon.01G0044830-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIRILYIVAFVFLALLLKLIRSYVTSSRTKPPSALRLPPGPWQLPLIGSLHHLFLSRFSDLPHRALREMSGTYGPLMMLRFGAVPTLVVSSAEAAREVMRTHDLTFCDRNLSVTFDVLSCGGKDIIFSPYNAQWRELRKLCMLELFSQRRVLTFRSIREEEVANLLRSISRESADGQPAVNLSEGICRMINDVAARTVVGNRCEYRDEYIHELDEVVRLAGGFNLADLYPSSQLVRRFSAAARDARRCQRNMYRIIQSIIHEREAMPTPERDEDLLGVLLRLQRDGGLQFTLTDEILSTVMHRVQSEMRETFKGQDKITEDDLVKLRYLQLVIKETLRLHAPVPLLLPRECRESCQVMGYDVPKGTKVFVNVWAVARDTKLWHDAEEFRPERFESSSIDFRGNDFEFTPFGAGRRICPGITLGLANLELALASLLYHFDWDLPDGVRLEEFDMAEIFGITCIQLKIEIKGYAILKSLEIDS >Sspon.02G0020590-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:67081525:67083229:-1 gene:Sspon.02G0020590-2B transcript:Sspon.02G0020590-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQAVGGADATTDTEAPLLLSSTAGTPPPLLAGVPRRNRFAFVCATLASVTTMLHGYNLTLMSGAELFMREEVALTDAEVEVLAGSMNVFMLASILAAGWVADHLGRRRTLALANAFFMAGALAMSLGTSFAALMAARFVTSVGSGFARVVAPVYNAEISPPSTRGVLSSMLDMFINVGILLSYVSNYAFSGLPVHLGWRVMYGIGVIPPVFIAAGVLFMPESPWWLAMQGRHGDARAVLMRMSDTPADADLQLREIKRAVADAEPPPAAARHSGGAGVWKQLLVRRPSTCVRRILTCVLGLHFFVQASGVDAILLYSPLVFKAVGMASNSAILGATVAIGAVKTCFVLVGMLFTDRLGRRPLLLASTVGVATTTAALAVTLYVGTSTTSSSPATAAACLASVLAVVATYSIGYGSVVSAYSAEVLPLRLRAQGSSLAMAVNRLTCGVVSMTFISLANGITMPGCFFLYAVVTAAAFVFVYTRLPETKGRSLEDMEVLFH >Sspon.01G0052370-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:20934601:20936812:-1 gene:Sspon.01G0052370-1C transcript:Sspon.01G0052370-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFDVSAAAASSSSAPPLFAGPIGVGGSGASFEDEPPLLEELGINTRQIWRKTISILHPLRSADPSLHADADLSGPFLFLLSFGLFQLLAGKFHFGIVLGWVTVASLFLYFVFSMLSGGRRGDLDLYRCVSLVGYCMLPMVIFSAVSLFLPRGGGLIFGVGMAFVIWSTRVCTRLLAELASSGDEHRGLIAYACWLVYMLFSLLWLQWR >Sspon.05G0020240-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:87533283:87537001:1 gene:Sspon.05G0020240-2B transcript:Sspon.05G0020240-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding TTTLLHISSIQQKPHTMPVNSSWTRHRRANQRRAPPCQHSTAAHAARRNPGGRSGARLHLPPPMSYTSTSPSTAAPDAAAAAAWPLTAATLTTAATRSAPTPAMATRTATPSPTAPRGTGGASSGEVDRVAGHHVEVAVPGAARAHDAAAAGHRPRARPRPGARGYVQRVHRARARVRLQRRRRRPSSSSHGDTSGDVEHAAGAGARAAVPPARGLRDDAPRVALRVVLLDRGRARGPARDVDPRAHGGRRELAPREQRGRARPRRARQRVHTQRGAAADQVHVPPHRDGARVRERVRGAGGAAGAGAARRQRARGRRGGGGAARGRRRRRRRRRRGGRGGGCRARGGGGGMPGTRGGRRSGGTRRGAAGGRRGARGRGSRAGARRGARRWGGSVSGSGSSASGAGTGMGTGTAVWRAGSAGMQDLANHERPRGWQLSAAMAGWLAGRSGESAAAARVQAVTAALAFWGSHWARASVRYS >Sspon.01G0037420-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:16164554:16164793:-1 gene:Sspon.01G0037420-2C transcript:Sspon.01G0037420-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPNGLARIETNGKKKHDNSVCHDDSSAPVRAQTIDELHSLQRKRSAPTTPIKDGAASPFAAALSEEERHRQQLQSIR >Sspon.07G0037240-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7D:51608346:51609105:1 gene:Sspon.07G0037240-1D transcript:Sspon.07G0037240-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFEDGPCPTQSRSSRMDLGRSGFIFLFSRVRSNWRVSTSTHPASSSNTLASTVLHPSLYLARLGVNVVGVPQDAPNPLCLGIAAHRLKSYQGYNLLAWLQHPFMDHEQIELLRKVVVLGIVDEGTTLVARAPVGDSASVVPIDFLHGAPTGCRAHPHQRVNFLH >Sspon.07G0022490-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7B:8711814:8712710:-1 gene:Sspon.07G0022490-1B transcript:Sspon.07G0022490-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AQRKGAAGSVFKSHTHHRKGPARFRALDVGERSGYLKGVVTDIVHDPGRGAPLARVTFRHPFRYRQQKELFLAAEGLYTGQQIYCGRRANLSIGNVLPVGTLPEGTVICNVESRVGDRGVLARCSGDYAIVISHNTDNGTTRVKLPSGAKKLLQSNCRAMVGQVAGGGRTEKPLLKAGNAYHKFRVKRNCWPRVRGVAMNPVDHPHGGGNHQHIGHASTVRRDAPPGAKSGHVAARRTGRRRGQAAVTAGKSML >Sspon.08G0005670-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:17404035:17406961:1 gene:Sspon.08G0005670-1A transcript:Sspon.08G0005670-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Expansin-A20 [Source:Projected from Arabidopsis thaliana (AT4G38210) UniProtKB/Swiss-Prot;Acc:Q9SZM1] MLSSLPLFLLLLSAASFGGGVRLGNGGYEDWRLGTATYVKEFQSHPLNDGGGACGYGDLDIFRYGRYMAGLSAALFGRGSACGGCYELRCVNHIQWCLRGSPTVVVTATDFCPANMGLADEAGGWCNFPREHLELSEAAFLRVAKAKADIVPVQFRRVSCDRAGGMRFTITGSAHFLQVLITNVAADGEVAAVKVKGSRTGWIPMGRNWGQNWQCDADLRGQPLSFEVTGGRGRTVTAYSVAPADWMFAQTFEGKQLAE >Sspon.01G0018960-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:76364215:76367667:1 gene:Sspon.01G0018960-2B transcript:Sspon.01G0018960-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGAVQHGKSCAHYSRGCSVVAPCCGQVFACRHCHNDAKNSLEVDDPRDRHEIPRHEIKKVICSLCSKEQDVQQNCSNCGACMGKYFCEICKFFDDDVSKGQYHCDGCGICRTGGIDNFFHCDTCGCCYSNVLKDSHRCVERAMHHNCPVCFEYLFDSTKDISVLQCGHTIHLECMNEMRAHHHFSCPVCSRSACDMSATWRKLDEEVAATPMPDIYQKKMVWILCNDCSATSSVRFHVLGQKCPGCSSYNTRETRAACPRM >Sspon.02G0001640-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:63364029:63364857:1 gene:Sspon.02G0001640-2C transcript:Sspon.02G0001640-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding PMAVFSDLHTADGLKTLEAHLAGKTYVSGDAITKDDIKVFAAVPLKPGAEFPNAARWYETISAAVASRFPGKAVGVNLPAGSAPAAAAPAAEAEDDDDLDLFGDETEEDKKAADERAAAKASSKKKESGKSSVLMDVKPWDDETDMKKLEEAVRSVQMEGLTWGAFTNNEDSEGLSKRERELKDLKDQFREDFPVGPLIGKCCTMDQGKAVINFLDSILDKSLRSTVALLAARGRGKSAALGLAIAGAVAARE >Sspon.06G0006260-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:19856641:19859384:-1 gene:Sspon.06G0006260-2B transcript:Sspon.06G0006260-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chaperonin-like RBCX protein 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G04330) UniProtKB/Swiss-Prot;Acc:Q94AU9] MECSSAVVLPLQGAGVGRVHLPVQAQSFWRRTTTERRRRLASSLAPARCSKMYVPGFGEGSPERKAAIILQHFFNYIAVTVVLAQLESYNREAYFELKEFISRTSLNDAEIFCKKLMRESPRLKGLAMRILEVRSGYVKNDFEWDNLKKLSFKMVDEANTKLMRDYVVE >Sspon.07G0026930-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:51410937:51412596:-1 gene:Sspon.07G0026930-1P transcript:Sspon.07G0026930-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLRALRRALPALSSPAAVLPSRPHPLPPPPAPLRFWIRSGSSAPSPRRHRHRFAGAVHGGHPLRRAHGHPVPEAPSGLRQPPEEGQLAPQRSILLGEVQADEPIPASQPNQGSVRGRKEKKRIKQRKDFIMSEKKKRRAQYSAAVKRKEAERTERKMAAVARERAWAERLIELKQLEEEKKAAMA >Sspon.02G0011940-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:30491565:30495465:-1 gene:Sspon.02G0011940-2B transcript:Sspon.02G0011940-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At3g24000, mitochondrial [Source:Projected from Arabidopsis thaliana (AT3G24000) UniProtKB/Swiss-Prot;Acc:Q9LIQ7] MRKHHKLLFHHLLRRRTSKPYTSAAHLAVPISFPSSPASSSSASCLRELDLLHAGQLAPTPRLYHSIIAACAQSKNLAGARAIHAHLSGSRLAGDGFLLNSLIHMYCKCGAVSDARHVFDGIPSRDVVSWTYLIAGYVQNDMPEEALGLLPDMLRARFKPSGFTFTSLLKAAGACGGHGIGEQVHALAVKYNWDEDVYVGSALLDMYARCEQMDMAIRVFDWLDSKNEVSWNALIAGFARKGDGETTLMKFAEMQRNGFGATHFTYSSVFSALARIGALEQGRWVHAHMIKSGQKLTAFVGNTMLGMYAKSGSMVDARKVFDRVDKRDLVTWNTMLTAFAQYGLGKEAVAHFEEITKCGIQLNQITFLSVLTACSHGGLVKEGKHYFDMMKDYNVQSEIDHYVSFVDLLGRQWNDAARVRKMMKATGVKKEPACSWVEIENSVHMFVADDSTHPKSEEIYRMWEEINTRIKKAGYVPNTDYVLLHINEQERETKLQYHSEKIALAFALINMPAGATIRIMKNIRICGDCHSAFKYVSEDAVLCSTEDYSVESNSSRHEFLQVAKASAMPELGLSGWDINRIAYLQAAAASAVHSESE >Sspon.01G0009060-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:25277240:25280644:-1 gene:Sspon.01G0009060-1A transcript:Sspon.01G0009060-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPLALLVLAHLAALVAAAEAKGGAAGAGLGDDVLGLIVFKADVSDPDGRLATWSEDDERPCAWDGVTCDARTGRVSALSLAGFGLSGKLGRGLLRLEALQSLSLARNNLSGDVPADLARLPALQTLDLSANAFAGAIPEGLFGRCRSLRDVSLASNAFSGGIPRDVAACATLASLNLSSNRLAGALPSDIWSLNALRTLDVSGNAVTGDLPIGISRMFNLRALNLRGNRLTGSLPDDIGDCPLLRSVDLGSNSLSGDLPESLRRLSTCTYLDLSSNEFTGSAPTWFGEMASLEMLDLSGNKFSGEIPGSIGGLMSLRELRLSGNGFTGALPESIGGCKSLMHVDVSWNSLTGALPSWVLSSGVQWVSVSQNTLSGEVKVPANASSVLQGVDLSNNAFSGGIPSEISKLQNLQSLNMSWNSMSGSIPASILAMKSLEVLDLTANRLNGCIPASTGGESLKELRLGKNFLTGNIPAQIGNCSALASLDLSHNNLTGEIPETISNLTNLEIVDLSQNKLTGVLPKRLSNLPHLLQFNVSHNQLSGDLPPGSFFDTIPLSSVSDNPGLCGAKLNSSCPGVLPKPIVLNPNTSSDPISPTEPVPDGGRHHKKTILSISALVAIGAAALIAIGVITITVLNLRVRAPGSHSGAVLELSDGYLSQSPTTDMNAGKLVMFGGGNPEFSASTHALLNKDCELGRGGFGTVYKTTLRDGQPVAIKKLTVSSLVKSQVEFEREVKMLGKLRHRNLVALKGYYWTPSLQLLIYEFVSGGNLHKQLHESSATSCLSWKERFDIVLGIARSLAHLHRHDIIHYNLKSSNILLDGSGEAKVGDYGLAKLLPMLDRYVLSSKVQSALGYMAPEFACRTVKITEKCDVYGFGVLILEILTGRTPVEYMEDDVIVLCDVVRAALDEGKVEECVDERLCGKFPLEEAVPIMKLGLVCTSQVPSNRPDMSEVVNILELIRCPQDSPETELG >Sspon.02G0003900-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:12187238:12187765:1 gene:Sspon.02G0003900-1A transcript:Sspon.02G0003900-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQPFRIKVKHKCLIMWGEDDGIISSKLAYRLHQELPDAILRQVRQCGHIPHVEKPREAVKHVLEFLARNTSNKSDQSPSELSVLV >Sspon.07G0006980-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7A:18381413:18382319:1 gene:Sspon.07G0006980-1A transcript:Sspon.07G0006980-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding HPPRSPQQTSFSPGLLLLAPAPLLLTSLLTSELTPMVRGLPFSSLFYTTNSAQDTPPPSPPAAAPPAWMWPSCKNPRTTQYFRTPSPTAKTIASLFLDSGESSFANSSARTTTHHADCASDSQSTGSEASAAADDIADAIVRGLRSDDRLLFEPHGPSSSILERKPPARPPALRRPRAASAQAEAAAAATSSSFGDGVAVAFDSTDPYHDFRASMEEMVAAHGMGDWEWLERMLAWYLGANGRHTHPAIVTAFVDLVVTMAAASASACACACSSSRVSSFTFASSSEPAESSSAGGHFSFGL >Sspon.06G0003640-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:9359747:9360538:1 gene:Sspon.06G0003640-1P transcript:Sspon.06G0003640-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDELVIPASSSSCPSPASFSTAGHHQEHEFVPCDVLEGWLGGDGWLDEPPNGVKVTWGGEGSGSRSPGNDNDHLSGEPPAPALKRRGRKPGSRTNTNGPALCHVEAERQRRDKLNRLFCELRAAVPTVSRMDKASVLADATTYIAQLRQRVEQLEAEAKKAVAASATVAPSHSLSSSSLGEKLEVRMVGTEAAALRLTTTAAARHAPARLMLALRSLDLTVQHACVCLVGGMSVQDAVVEVPAELRDDRALRAALLHRLQRTG >Sspon.08G0007000-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:19617792:19627212:-1 gene:Sspon.08G0007000-2B transcript:Sspon.08G0007000-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GMEGSSSSSPGDGGGFQFQVQVAVAVRGDGRASRRAARWAAASLVPAGGRVALVHVIPPVSFVPSQAGERVPVDKMEREVVEMYAQDCRARAQEVFLPFRRLVGRGGRQVETVVLEGDSVAEALVKYAAESGVRSLVLGSATLSWFRRILRLQDVPFTVLKTVPSFCNIFVVSRRRLTIKIANQARNTESNASIRIQSISHKAFDQIQRDWLQDKQALNNLADDEIPKYSGNSSSGSFSQVCSSLSTSSNAIKSSESHRRGFLGSLGRRTPGRERNKDFDAISQLKEVHYVALSSVEQYQHIGEEEKLRKELKDTLMMYDRACGNLAHAKKQIQLLSSECCEDVNKVQDALQREEMLKQTVADEKTKHLEAIGAVEMAKNAFTHETYSKQQAEILANMVSIENAKVVDALLSTSKSCRQYSKHEIELATDYFSDAKKIGEGGYGNVYRCTLDHTEVAVKVIQQDSTNKIDEFLRQVEILGQLHHPNLVLLLGFCPEIGCLVYEYMENGSLEDLLINNKGQPLHWFLRFQIIFDVACGLAFLHGTKPEPIVHRDLKPGNILLDKNYVSKIGDVGFAKLISDLVPEGFTEYRDTLIAGTLYYMDPEYQLTGTIRPKSDLYALGIIILQLLTGKRPHGLVNSVEEAIKKGILSDILDKSQPDWPIAEAEMLAKLGLWCTALKCRDRPNLESEVLPELENILSRVTVSLKLENILAPSHFFCPILQEIMEDPYVAADGHTYEHRAIKAWLEKYKISPVTNQRLPHLSIIPNHSLHAAIQQWKFSLLFSIFTNDADQA >Sspon.01G0017910-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:63348444:63354445:-1 gene:Sspon.01G0017910-3D transcript:Sspon.01G0017910-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFTTNCPKQMIRLDRRVFQTTRVETETEIAKWPGKPQDLDEYQVIPEADETELQPLIDQVRAMLRSMNDGDISISAYDTAWVALLPKLDGGAQPQQPQFPATVRWIVDHQLPDGSWGDSALFSAYDRMINTLACVVALTKWSLEPEKCKAGLSFLHENMWRLAEEEQESMPIGFEIAFPSLIQTARNLGIDFPYDHPALQSIYSNREIKLKRIPKDMMHRVPTSILHSLEGMPDLDWARLLNLQSSDGSFLYSPSSTAYALMQTGDKKCFEYIDRIVKKFDGGVPNVYPVDLFEHIWVVDRLERLGISRYFQREIKQCMDYVNRHWTEDGICWARDSTVKDVDDTAMAFRLLRLHGYNVSPSVFKNFEKDGEFFCFVGQSTQAVTGMYNLNRASQIAFQGEDVLHRARTFSYEFLRQREAQGMLRDKWIIAKDLAGEVQYTLDFPWYASLPRVEARTYLDQYGGKDDVWIGKTLYRMPLVNNNIYLDLAIMDFNRCQALHQLECNELQMWYIENCLDTFGVQPQDVLRAYFLAASCIYEPSRAAERLAWARTSMIANAISTHLNDISADKKRLECFVHCLYEESDVSWLKRNHNDAILERALRRFINLLAQEALPIHEGQRFIHSLLSLAWTEWMQQKANKEENKYHKSSGIEPQYMVIEICAGRIGEVVSVINNKDSDWFIQLTCNTCDGLNTRYMCDTMIDAEKNEATINCIDKEIELNMQELVQSLLLRSDEKTTNKKTKQTLWDILRSSYYASHCPQHIIDRHVSRVIFEP >Sspon.08G0013240-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:56000275:56002150:1 gene:Sspon.08G0013240-1A transcript:Sspon.08G0013240-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTILASETMDIPEGVTVTVAAKLVTVEGPRGKLTRNFKHLNLDFQLQEGGRKLKVDAWFGTRRTMAAIRTAISHVQNLIKGVTKGYRYKMRFVYAHFPINASITNSNTAIEIRNFLGEKKVRKVDMLEGVTILRSEKVKDELILDGNDIELVSRSAALINQKCHVKKKDIRKFLDGIYVSDKGVIEEEQ >Sspon.01G0046130-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:96589779:96596338:1 gene:Sspon.01G0046130-1B transcript:Sspon.01G0046130-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPFEFKMRTILCLNRNLLYGTTGPDMDFFEAVFEGDIPRLREMASSKDAEEKALLADVRFDGLGPLQVAARMGRLDVVGYLVEDLGFDVNHGSAGFGLTALSSAALDGRLDTVRYLLDKGADPNMQDELGEVPLHCAAKYGHDKVTELLLSRGASVDLTYFHAMPLHIAAVYGKASVMKILLEYHADPNMVSEVLGTPLVATLHATTEGLEECISLKCVKVLVEAGADVNSTDPDTPLVVATTHGLTYCIKYLLKAGANANIPNSCVGPYDESSKVKLKLDGDKAVGRKNYPATSKLYGEAIELDPADATLYSNRSLCLLQIGEATEALSAASTCIKMRPEWIKGYYRKGTALMSLKLKSSSQEYKEACNAFMAGFKLDPSMLEAAEAMKKDHVGTKDLESID >Sspon.03G0043000-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:41002581:41007853:-1 gene:Sspon.03G0043000-2D transcript:Sspon.03G0043000-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MERLGRAGRLIADIRLGADRLLEALFVAGGAPPYSVHQHVDRMERVIVKEEAAMRLHFQDLRALGRQLEESGVLNGALKARGNSWGLHMPLVCPDGAVVAYAWKRQLAGQAGASAVDRTRLALKAFTDQKRRFFPHLEDEVLSHLHDGEPGVTKKPKLIASNGDLEEKSLSEILKNLENEVPNMKIFTYWHLDWSKRASSLASLMDDDFVDPSKELNLQNMGKSRSGALTTPIDQVAVIELLVPSIFRAVVSLHPAGSTDPDAVAFFSPTEGGSYLHARGTSVHHVFKHVKEHADKALQYFISVEPSKALSLLLRWIASYQTLFTKVCSKCGRLLMMDKSLALLLPPVHRPYHQTSNLGPDLQEAYHIGCSSYDG >Sspon.05G0026680-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:38186141:38195481:1 gene:Sspon.05G0026680-1B transcript:Sspon.05G0026680-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRFVYINDDSCRYSYCDNRISNTKYTLWNFLPKNLWEQFRRFMNQYFLLIACLQLWSRITPVSPATTWGPLIIIFIVSASKEAWDDYNRYLSDKKANERKIWLIKAQEIHVGDVVWLHENDEIPCDLTAALDGETDLKTRIIPPISANLSVEQLGKVKGVVECPNPDNDIRRFDANMRLFLPIIDNEKCPLTINNTLLQSCYLRYTEWACGVAVYTGNETKSGMSRGAAEPKLTAADAIIDKLTIAIFMFQIVVVLVLGYFGNAWKYTQGLKVTLDLAKGVYAKFIDWDEQMFDWETNTPAHSANTAISEDLGQVEYILSDKTGTLTENKMILKRCCISDTLYGNDNGDALNDVRLGNAISSNDPDVIKFLLVMALCNTVVPIKGKSHNDDTVSYKAQSQDEEALVNAAANLNMMLISKDSSTAEICFNGSKFRYELLDILEFTSDRKRMSVVVKEGQTGNILLLSKGADEALLPRACP >Sspon.07G0005450-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:28824838:28828007:1 gene:Sspon.07G0005450-2D transcript:Sspon.07G0005450-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABC1K3 [Source:Projected from Arabidopsis thaliana (AT1G79600) UniProtKB/TrEMBL;Acc:A0A178WNJ7] MMSETPEDARVAIIGHVVHLVNRDYEAMARDYYALDFLEPDVDVSPIVPALKNFFDDALNATVSELNFKTIVDGLGAVLYQYPFNVPAYYALILRSLTVLEGLALYADPDFKVLAASYPYFAKRLLTDPNPYLRDALIELLFKDGRFRQVPAHLWNRLENLLVQGRQDREFAAKDALQPVLKLLLGPDGEELRVLVVKEAVRVTEAVTIGTLIDSYNAAPAFLKPLISSGNLAGPFKFSEAEQEQMMELRDQVLQEPEARVLGSRVAGGVTQRLAARLLQQLLRTPPAPGS >Sspon.07G0002160-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:4040790:4043546:-1 gene:Sspon.07G0002160-2B transcript:Sspon.07G0002160-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSENWISRLAAAKRFYAVQLGHSDRTGMEELDMDEEVRPEFACPYCYEDHDVGSLCAHLEEEHPFEPQAANRRRLRRFIIPGSQALSLLSRDLREAHLQVLLGGGHRSSNNNSTTNISADPLLSSFGLSFPTSDAEQTSKSTISIPDDVTMVKETPAQARKLSIDSSLTSEEREQKRKQASVRATFVQDLLLSTLFGD >Sspon.03G0005480-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:19942399:19947223:1 gene:Sspon.03G0005480-3D transcript:Sspon.03G0005480-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSLCLLARQVARRRLLSNVPESTVYGGPRLQESSAARRVTVTTLRGKHRRGEPITVVTAYDYPSAVHVDSAGIDVCLVGDSAAMVVHGHDTTLPITLELMLEHCRAVARGAPRPLLVGDLPFGCYESSAAQAVDSAVRVLKEGGMDAIKLEGGAPSRITAAKAIVEAGIAVMGHVGLTPQAISVLGGFRPQGKTVDSAVKVVETALALQEAGCFSVVLECVPAPVAAAATSALKIPTIGIGAGPFCSGQVLVYHDLLGMLRHPHHAKVTPKFCKQFGNVGDVINKALSEYKQEVETQTFPGPSHTPYKITHTDVDGFANALQKMGLSDAADAAAAAAAQDSEKGGEPKGNC >Sspon.01G0012280-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1A:33420655:33421206:-1 gene:Sspon.01G0012280-1A transcript:Sspon.01G0012280-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRETLALDGQVVAKKDTFRYLGSMLQKDGDIDEDVRHRISAGWLKCRRASGVLCDKRVLQKLKGKFYRAAIRPAMLYGAEYWPIKRRHVQQLSVAEMRMLRWCCGHTRRDRVRNDGICNRVGVAPIEEKLIQHRLRWFEHVQRRPPEAPVRSGVLKRADNVKNGRGRPKLTWDESVKRDLKE >Sspon.07G0034290-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7C:71838481:71842554:1 gene:Sspon.07G0034290-1C transcript:Sspon.07G0034290-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MYPGAARLLFGLIIAPPFPATTAPVQCVAGNPLGPNNLPASRSKDGEDQDEAWLDGSVVKVALVALWFAGREG >Sspon.03G0022240-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:67794825:67805062:-1 gene:Sspon.03G0022240-1A transcript:Sspon.03G0022240-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA mismatch repair protein MSH7 [Source:Projected from Arabidopsis thaliana (AT3G24495) UniProtKB/Swiss-Prot;Acc:Q9SMV7] MCIVRDMPLTRRPDQANQYVAQVRHLEGEHMPVENQVLSNECSGALFSRPIYGEHSRTILSPEGGGDMAPSQKPQKHSLRSSTDEFIRASTLFPELEHPKKLFSESHTNCIRATALNENFDVQTPSQVASKKIFPGLAHGADTPLTGYGSDQTLLQHSSKKFSLVSANGEYTRAAVTTFGQNSSNTRAEEPSKMLCSESSDPLYIRPTNLFPELEANETPLKNHSKNSSLLTNGKYNVASATLFPELESSPLKPETPATQAAIPRLKRVQEDQAVDANNQCPPLWALNKKMRSAHCSIERKDHDERADSARSKFEWLNPSAIRDANRRRLNDPLYDKSTLFIPPDALRKMSTSQKQYWNIKCKYMDVVLFFKVGKFYELYELDAEIGQKELDWKMTANGVGKCRQVGISESGIDDAVDKLVARGYKVGRIEQMESANQAKARGLHSVIERKLVHVSTPSTAADNIGTDAVHLLALKEVTLASSSSQVYGFAFLDYAALKIWVGSVQDDDSSAALGALLMQVSPRELIYETSGISKETQRTIRKYASAGSVKMQLTPLSGIDFSDASRIRMLIHSKGFFNASTESWLSALDCAVNRDVVICALGGLIGHLTRLMLHDALKNGEVLPYHVYKTCLRMDGQTLVNLEIFSNNFNGGSSGTLYKHLNHCVTASGKRLLRRWICHPLKDIDAINKRLDVVEGFIQNCGLGPTTLGYLRKIPDLERLLGQVRSTIGLSSLLQLPFIGEKILKRRIKTFIMLINGLRNGIDLLSDLQRADHGVLALYKVVEIPSLSCLCELIHTFEERIRNEFPCGQLRKDSDVDNNGANNLARLVEIFIGKASEWSLVINAVSTIDVLRSFAAMTLSSFGAMCRPRVLLKDDVPILRMKGLWHPYAFAENANGLVPNDLTLGQDLSGLNRFALLLTGPNMGGKSTIMRATCLAVVLAQVCIIFTYAYLFMILFKCITKVSSSSQLGCYVPCTSCELTLADSIFTRLGATDRIMSGESTFLVECTETASVLQNATVDSLVLLDELGRGTSTFDGYAIAYAVFRHLVERVRCRQLFATHYHSLTKEFASHPHVNLQHMACMFKPSSDGNGQKELTFLYRLTSGACPESYGLQVATMAGIPKSIVEKASVAGQVMRSKIAGNFKSSEQRAEFSTLHEEWLREALSVSVTDGLLDDDIMDTLVCIRQELKAHFRKAR >Sspon.02G0037470-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:33804141:33809356:1 gene:Sspon.02G0037470-1B transcript:Sspon.02G0037470-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLCLSVALWKEKLNGASSRSVRGRVVVHKVAGAEGGDCEYGILGGDADDVLGVEQKAAAMGVKSGGVEGAAAAVSGGTPVRPIWQRRVLMGVKCQLPRFSGMILYDESGRPVCSGIRDRALDQKLDGASSRSVRGRVVVHKVAGAEGGDCEYGILGGDADDVLGVEQKAAAMGVKSGGVEGAAAAVSGGTPVRPIWQRRVLMGVKCQLPRFSGMILYDESGRPVCSGIRDRALDQ >Sspon.05G0036800-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:3192012:3224291:1 gene:Sspon.05G0036800-1D transcript:Sspon.05G0036800-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MCRLTEQVVFSDPYRVSKFNRWTSPYLDKDAEAVREDDELKLEASELKSMFIERAQALIHGDLHTASIMAYKKWILKTIEESWNLFQKKFVELWNKHKEGNGEAYLPDIYNNSKLLSVAQKYMTNLFHDSLGFGSAKMIRRIVGIAHVEDLESIKDASRRAECERAALHCAKTILKGRRQFETIKQVIEHIQSLIRTEKTAVCLALKETYFRQLTYEYL >Sspon.01G0029580-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:72201449:72204814:-1 gene:Sspon.01G0029580-2B transcript:Sspon.01G0029580-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSYRLSELSDAEVSGLKARPRIDFSSIFGTLDPAVKEAFDVAYDNIYAFHVSQKLPEKTVENMKGVRCKRITRCIGSVGLYVPGGTAVLPSTALMLAVPAQIAGCKTIVLATPPSRDGSICKEVLYCAKKAGVTHILKAGGAQAISAMAWGTASCPKVEKIFGPGNQYVTAAKMILQNSEAMVSIDMPAGPSEVLVIADKYANPVHVAADLLSQAEHGPDSQVVLVIAGDGVDLGAIEAEVSKQCNALPRGEFASKALSHSFTVFAKDMVEAISFSNLYAPEHLIINVKDAEQWEELVENAGSVFLGQWTPESVGDYASGTNHVLPTYGYARMYSGVSLNSFLKYITVQSLTEEGLRKLGPYVAKMAEVEGLEAHKRAVTLRLQEVEATVTV >Sspon.07G0001360-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7B:1979026:1986561:1 gene:Sspon.07G0001360-2B transcript:Sspon.07G0001360-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SKTYEQTFPSPHFIRGLTPRGSRNTSDSSEASTLGSRTQANLRQHRRLIRTPEDGIIRLRLRLARDRPL >Sspon.07G0038760-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7D:84524168:84527626:1 gene:Sspon.07G0038760-1D transcript:Sspon.07G0038760-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding IFVFLSTNATFSSAQPGNRSEADRQALLCFKSGISADPRGVLGSWRTDSLSFCSWGGVSCSSSLPVRVVSLDLRSVQLQGRLQSCMGNLTSLVQLDLSGNDISGSIPEEIAALPSLQTLMLAGNKLAGNISLSLGAAASLRYVNLAGNNLSGPIPDSLTKAPLLRVLNLSMNFLTGEIPVTLFTNSSNLVTLDLQWNALAGPIPSFQKPTSLQFLGLTGNELTGRIPASLGNVSSLNTILLAYNALFGSIPEALGHIPILNILDLSDNILIGNVPYFQKTTSLQFLDLTGNILSGSIPESLGNVSSLNIILVAENNLTGSIPKTLGHIPNLNILDLRYNMLSGNVPAAIYNVSSLIYLNLGNNSLDGQILLNTAHSLPNLMSLIMSGNRFSGMVPVSLGNMSKLQEIDLSSNLLNGSIPSLGSLSNLSRLNLGSNMLQAEDWAFLTSLTNCSRLLMLSLDGNSLEGNLPESVGNLSRNLERLNFRGNQISGNIPDAIGNLVNLTLLAMDHNMLSESIPSSIGNLRNLVVLTLSANRLSGEMPSTIGNLPQLNQLYLDDNLLSGNIPASLGQCKRLAMLNLSVNNLDGSIPSEILSISSLSLGLDLSNNLTGTIPPEIGKLINLGLLNVSSNKLSGEIPTELGQCVFLSYLQMESNMLSGIIPQSLNGLKAIQQMDLSGNNLSGQIPEFFENFMTIYQLDLAYNKLVGPIPTGGIFRNSNAVMLDGNLGLCQQIAIFALPICPTTSSVTKRKINARLLLIVAPPATIALLSFLCVVATVMKGKATQPPESFRETMKKVSYGDILKATNWFSPVNKINTSHTASVYIGRFEFDTDLVAIKVFHLDEQGSLNGFFNECEVLKHTRHRNLIQAITLCSTVDFENNEFKALVYEFMPNGSLDMWIHPSLHQGRPRRVLSLGQRISIAADVASALDYLHNQLIPPLIHCDLKPSNVLLDYDMTSRLGDFGSAKFLSSSLISSSPEGLVGASGTIGYIAPEYGMGCKISTGGDVYGFGVLLLELLTAKRPTDELFGDDLSLHKYVDIAFPDKINEILDPQMQNEEDEVVCNLRMQNYIVPLVEIGLMCSMESPKDRPGMQDVCAKIVTIQEAFIQTF >Sspon.03G0036720-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:93508428:93508694:-1 gene:Sspon.03G0036720-1B transcript:Sspon.03G0036720-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GFHRSPAVGAAGRGERERAGGRALHGRPPEPGGVPAGRHGGAGQTGPTAGGRPARGRRDRAGAGGQEEGGVVRGPRGVQDRGRHHVALR >Sspon.01G0011920-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:31983797:31986474:1 gene:Sspon.01G0011920-3C transcript:Sspon.01G0011920-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAKSGEKATSFAMACSLLSRYVRQNGTAAGDLGLAVRAEADAKRTSADTEKGETMKETMDLFPQNAGFGSEAAMKEAPDAREPEKRQLTIFYGGKVLVFDDFPAEKAKDLMQMASKGSSVAQNPGLLPSPTVATVTDSTKIAAVPAAPIAVVNTQKSAADIPQAPKASLRRFLEKRKDRLTAKAPYQGSPSDATPVKKEMAEGQPWLGLGPQIANPDLSLCKEGSQ >Sspon.02G0014130-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:37684077:37685261:1 gene:Sspon.02G0014130-1T transcript:Sspon.02G0014130-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPRPSQRSIFHLGEEGVDDHRSADEHVKNTDATRILAGRRDHGRQRRKQDSAVDAVGVGLQILVQNRHHTRAPSPSPSHIVLKQVVVLPMVARHRRGRPAGSSFLSACSRCRRELSSKDVYMYRGDQGFCSEECRCQQILADEATEREAMIEKERMRRGLPHHLHHGPRSAMGAIGGASRRLVAIAY >Sspon.01G0039740-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:36158065:36158687:-1 gene:Sspon.01G0039740-1B transcript:Sspon.01G0039740-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTLRRWRTDVRKGRLTRGSHGRPTRPTGGRPPPLPAEEASFYGSNDSDYSADTLNELDHLNPYQRGPNCFPPLDP >Sspon.03G0008390-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3A:22672721:22678168:-1 gene:Sspon.03G0008390-1A transcript:Sspon.03G0008390-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSPDFAGAKPVQFSSAARALAVGSMAALLRCTGFIDSLWGCGFLFSQDLDVAIVRATNHVENPPKERHLRKIVAATSIARPRADVAYCIHALARRLAKTRNWIVALKTLVVIHRLLREGDPAFREEFLTFTQRVRILQLSNFKDDSSPEKLECFRVLKYDIEAERLSKQGQGPEKAEGAANNNYLVQYALAQVLKESFKIYCAINDGIINLVDKFFEMPKHEALKALDIYRRAGQQAGNLSDFYESCRGLELARNFQFPTLREPPQTFLSTMEEYVKEAPRMMPVIEPLELPERLLLTYKPEEEEEVREPVPIVEEKLQVVEEPAPVPSSQIASPPKPEIADTGDLLGLGDSNPTVSAIEESNALALAILPTGVDNSTTTTQQDRGFDPTGWELALVTTSSNMTPLSMQSNLGGGFDKLTLDSLYDDGTYRQMQQQQLYGSAPPNPFMASDPFAVSNQVAPPPSVQMAAMAPQPQHLPMMIEANPFGPQQHHAGIAPAANPFLDAGLGAFPAVNGMHPQTNPFGGAAQLL >Sspon.03G0008620-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:30266311:30266878:-1 gene:Sspon.03G0008620-2P transcript:Sspon.03G0008620-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLSHQDRGLLLLALLLLAAPVASRGDDDTYAVSACRSRPHLYGGVNISYPFYLASDTAKAVPDHDGESYCGYLGLAVICDGGDNKPVLKLGDDNYTISDIDYANLIVSLADADTAGNIGCPVVDHNVTVPQDVRLSLILHSVDYLFFFIGCSFGPEAEPAPKSYLMSKFFDSEVPQ >Sspon.05G0021070-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:86255523:86266951:1 gene:Sspon.05G0021070-1P transcript:Sspon.05G0021070-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MESAWEWNSPTCPRVAAPLLRATARVSLLRCVLLAASCCIPPAMPPGADEGEWAAVERRGPHLWASGRPFVVHGFNTYWLMYFAADPATRPAVTAALADAAGAGLNVCRTWAFNDGGYRALQLKPFSYDEEVFQALDFVIREARNHKMRLILSLCNNWEDYGGKAQYVRWGKEAGLDLTSDDAFFSDATIKSYYKAFVKAVLTRINTITNVAYMDDPTILAWELINEPHCHSDPSGNTLQAWIEEMASYVKSIDPVHLLEIGVEGFYGSSTPELLHVNPDAYSGTIGMDFIRNHQDSHLQFVKTWMQQHIDDAANLLGMPILIGEFGVSLKRGKFDHKFREAFMETVYSTFLSSWKSGVIGGGCLVWQLFPESTEHMDDGYAVFFAKSPSILKLLTDHSRRLCCDSRSRWNSSLKAMVVGGSSAPAPSVNSISFSGKVAPLKEQNRWSLQWDYEYAAPAFTDHNDLRISLKRRSGAEKKGLWSVSIATTSLGGHRRIIASCCSGFTMWSLAVFMYTRGTAFTALSVSSLTWPNLAVLRAAGHGRSRMDAIRNNNLHIHFVTHLVAVWHGRHEIDERCVVLVERPDVVRVDEAAGGPGEVDAGDAVAGATEQVRELEPAPGAVGGAVHEDEVLLVGL >Sspon.08G0011270-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:47064995:47067187:1 gene:Sspon.08G0011270-3C transcript:Sspon.08G0011270-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGAGMAMQSHAAAGVGRRSAILGGREKGARAGSLRVGGPAGAGAKAMAMRTRGTKPVAPLWCVNSADESMHSSADEALLLKRKSEEVLFHLNGQCIYLVGMMGSGKSTVGKILAEVLGYSFFDSDKLVEQAVDMPSVAQIFKEHSEAFFRENESCVLRDLSSMRRLVVATGGGAVIRPINWNYMKKGLSVWLDVPLDALAKRIAQVGTASRPLLDQPCDDPYTVAFSKLSMLAEQRGDAYANADTRVSLEEIAAKQGHGDVSMLTPTNIAIEALLKIGSFVTEDPTVNDHAGNLQADSQSRSIKAL >Sspon.04G0029980-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4D:81206780:81209848:-1 gene:Sspon.04G0029980-2D transcript:Sspon.04G0029980-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVEAFLPGPHGGGTTASSTRVILQAKEVKDKASKIEKQFGSDFFFANEPDSESMLAMAFKQVVIQRLSNFRLEVFSPGLFEQPFPFLAGSCIFLFVAVFAQLCWPQSFRDKRLFKESSNASSSQNYCSDIKSLDNNTILLHVLVKKMKDSYGCPGDVMVDAHIGAWVGELPNCFKAINSEDAAASVYFQHPDTLIQENQSQSVPINIKMSHLEQNDRTQETPQNIASFQVVISEEGKVVGF >Sspon.07G0035860-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:14262685:14270500:1 gene:Sspon.07G0035860-1P transcript:Sspon.07G0035860-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSIFAIAILAATTAAVLFHGSDAQELMQSHHTERISGTGGDVLEDDPVGKLKVYVYDLPAKYNTEPVEKDPRCLTHMFATEIFVHRSLLSSAVRTLDPEEADWFYAPVYTTCDLTASGHPMPFDSPRMMRSAIRLIAERWPYWNRSEGADHFFVTPHDFGACFHFQEEKAMARGILPVLRRATLVQTFGQRNHVCLKDGSITIPPYAPPWKMEAQLLPPATPRSIFVYFRGLFYDAGNDPEGGYYARGARASVWENFKSNPLFDISTVHPTTYYQDMQRAVFCLCPLGWAPWSPRLVEAVVFGCIPVVIADDIVLPFADAIPWADIGVFVAEDDVPRLDTILTSIPVEVVLRKQRLLASPAMKRAVLFPQPAQPGDAFHQILNGLARKLPHGDDAFLRNGQTMSGLFVPARTTTPAVVPNPSISTSSWFKVLSRSSFEPEKPPRPLARPIASISSMNTILGAHCLASWNKFRTLDGPTPTNISMKSEPEIDKNGTPDSPAVALASKVFPVPGGPTSRAPLVLDDMDDSIRPRINRGARKFCRSNALFLDSSEKRRRNCGLARMYLQSTVLPAAGFPRAADDAAVR >Sspon.04G0014950-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:55990825:55992374:-1 gene:Sspon.04G0014950-1A transcript:Sspon.04G0014950-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTCFVAVALLTSFLSVVAGQLRPLPADLFGLGIAARISTDRNSTAKVSTDFGQMVKAAPEAVFHPATPADVAALIRFSASSAAPFPVAPRGEGHSWRGQALAPGGVVVDMSSLGRGHRAPRINVSAAGAETFVDAGGEQLWIDVLRATLQHGLAPRVWTDYLRLTVGGTLSNAGIGGQAFRHGPQIANVHELDVVTGTGEMVTCSRDVNSDLFFAALGGLGQFGVITRARIRLEPAPKRVRWVRLAYSDVATFTKDQEFLISNRNGHIGFDYIEGQVQLNRSFVEGPKSTPFFSGTDLSRLARLASRTGSAAIYYIEAAMYYTEDTAISVDKKMKALLDQLSFEPGFVFTKDVTFVQFLDRVREEERVLRSAGVWEVPHPWLNLFVPRSRILDFDNGVFKALLKDANPAGIILMYPMNKDMWDDRMTAMTPTTNDDVFYV >Sspon.02G0027140-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:96147284:96152039:1 gene:Sspon.02G0027140-2B transcript:Sspon.02G0027140-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding STRTRTRPPPSTPWPPSSASTLRHTTSSPSPPSSAPPPPPAAALPHPKPNPSAAPRSPSPTRSLPRSSRPGGRGRRRAERGCCRGGGGVWRGARARGEDSAAFELKDQSVASWAYFAVILTAVLVGLNVLWIDPGTGVGTKFLDAVASVSDSHEVVMLLLTIIFAVVHSGMASLRESGEKIVGERAYRVLFAGISLPLAVTTIVYFINHRYDGIQLWQVQGITGIHELVWFSSFISFFFLYPSTFNLLEVAAVDKPKLHMWETGIMRITRHPQVIWCLAHTLWIGNSVAVAASVGLISHHLFGAWNGDRRLASRYGEAFEVLKKRTSVVPFAAIVDGRQKLPKDYHKEFFRLPYVAITMLTLGAYFAHPLMQASSYQLPWIIAL >Sspon.03G0035440-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:81168268:81171573:1 gene:Sspon.03G0035440-1B transcript:Sspon.03G0035440-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding WRRREHERGTPAQVGPRGLLPLPHPSPRPAQRRLPNRERLLLWWQGQPPAVERPMCMTSSRCITRQAWKERFLLRIRALFQKTKIS >Sspon.02G0042120-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2B:81253976:81260855:1 gene:Sspon.02G0042120-1B transcript:Sspon.02G0042120-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MACRIWRVLTSDLAHGANSATFVAVHTSPATHTVQHAPNHHTTQTSVVVPVLVSVPKTLRSKILECHVEKHLPWASLSEVIVTRVFSYQNSETFSSLYDGVLPNKLAFPHFSLKNISLTQTSITCCCVVHSLLPHTPSRAARLPSATLHPATCPLHRRAALDAPTTPSPCCCAQYPIPRTPRCYRRRRSPPEGRGHCRRYGRRDAQPQQGDVAMKAHVCKQSRGVGRDDGTARTPGNGAQQAGGQRIDADEPRSRPSPAAHTCRSCPRALPTAPRRPPRRPPRGRRRLTAVGGVRPRPAFIYLVGRRPGSFEPFRPCSTPLSPQQRSPSPARHRTAAGEIRRVGAISVRFPAPKGKGHATSHRHSLHRHAHQHHVFALVVMRHPARPAIAGAEPAAASSAAAPWRTVAGHLRLAVGQTNHTTTLASSRRTWQGRRYRR >Sspon.03G0015550-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:73320279:73322456:-1 gene:Sspon.03G0015550-2B transcript:Sspon.03G0015550-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding METAAVAASGAGRRIMVAVDEGEESLHALNWCLANVVSPAGGDTLLLVHARRPRPVYAAMDSAGYMMTSDVLASVERHANAVSAAAVDKAKRVCADHPHVKVETMVESGDPRDVICDAADKMAADLLVMGSHGYGFIQRAFLGSVSNHCAQNCKCPVLIVKRPKEFLRNLGSICKHGQSKRSVEEQKRASQAAGGDGKLAKSSNLLSEGILSHHSNNLNLIRRTLEMKIKYNFEVVSK >Sspon.02G0043380-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2B:91375563:91376261:1 gene:Sspon.02G0043380-1B transcript:Sspon.02G0043380-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQSSGVGGQWLYDLRTDAGDPLGVAGAHSSVYPSTDGDARRYPGHAEFLRYIRAFCDAFGLMDVVRLNTKVLHVGLLAPRGHDDGGITRWTVRCSSRHGDCEGEVVTTEETFDAVVVAVGQFTQPRLPTINATAAAGSIGMDKWSRRHLHSHSYRAPDSFKDQVVVIVGCQSSGVDIALELSKVARDVHISIKSDDDGAIFPGMRKA >Sspon.03G0016460-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3A:52175693:52175899:-1 gene:Sspon.03G0016460-1A transcript:Sspon.03G0016460-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTHGSPESFDSNVFDHHSARNRYQSVEELNANPSTQIMEARTDQGRDAAREGGGTIAGFGKLTGAP >Sspon.07G0028690-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7C:81980681:81985634:-1 gene:Sspon.07G0028690-2C transcript:Sspon.07G0028690-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ELLVASRKTVDGRGLDVVVGDGSTCLVVRNVIIRGITIRGCRPAQATSSESDEGDCVAVFHSTHVWVDHCTLEACADGLIDVPGASTNVGWTHKFSSRLNNSSWVLTSVYGPCTADGKLAFTNWMKGINMPNDIDWMILGDFNLIRKPENRNKPGGDLTDMFMFNAAISSLGLNEVKLQGRKFTWSNMQPSPLLERLDWIFTSNGWINNCPNTTAKALDMVPSDHCPCLVSVSTVIPRSKFFRFENFWLKNGEFQGILTSAWNMVNINEDSARNINAKFKVLRKKLREWQASIASLATLIKNVRLIILFMDVLEEFRDLSLYEWNFKEVLNKHLLTLLEKQKMYWRQRGNIKWVTLGDARTDFFHANATIRHKLKTIAELTTIEDLSFSAHKDKEEILWTEFKQRLGFTEFGGFSVHPSLLFQQSTQLHSLEDPFTENEIDSVVRTLPNFKSPGPDGFNNEFTKAAWLVIKHDFYKLCQDFFNDTVNLRSINSSFITLIPKVESLKNGRVPDQIRKGSFWWKDVLKLIPQYKEMTIIQMKDGKSCMFWKDSWNDQFAQTLQIDFPESFSFAKNKSISVNMAFSMQSIFDLFNLPLSLPAFNQFCGPSGIFKKNVLRNHNKTMLLGPSDDFTDNKNMKHGGVPGSSTSSDPHGHPWPQFRCQDGRDPDGGSGVGARPYSGTGSSNARRFGGHGTSRMRLSLSPMLFY >Sspon.04G0017890-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:64860806:64863755:1 gene:Sspon.04G0017890-1A transcript:Sspon.04G0017890-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSIRLEVLLAIRSQGGEQALMQTMNRARELYAQRLQATPSVDELASLLAQCAIAEAQSTNTNPSQGPGSDPVDMLNSDEACILAESGRKQIILDAFADGSSFICLKCGGLFSTSRKDEHLTYWVARDASVKS >Sspon.03G0004150-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:20546789:20548095:1 gene:Sspon.03G0004150-2B transcript:Sspon.03G0004150-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PPD6 [Source:Projected from Arabidopsis thaliana (AT3G56650) UniProtKB/TrEMBL;Acc:A0A384KSV2] KMASSVFFPLFTSLRLPVPTIIPRCTSPPQGAPSTVHDAVHRPAPLVAVTSHRRELVLGTALSAVLSRAPLPPAQAREVEVGTYLPPAPSNPGFVFFRATPKDTPALRAGNVEPYEFILPPTWKQTRVANILSGNYCQPKCAEPWVEVKFEDEKQGKVQVVASPLIRLTNKPNATIQDIGSPERLIASLGPFVTGNTFDADELVDTNVENVDGQQYYSYVLETPLALTGSHNLAKATAKGNTVVLFVASANDKQWPASQKVLKAIVDSFQV >Sspon.03G0001890-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:4661871:4672772:-1 gene:Sspon.03G0001890-1A transcript:Sspon.03G0001890-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDPVAAPSSSSTAPAAAAAARVPAAAAAAPAVTSAPPALAPPQPPATAAAVSEAAAACRRQLFTVELRPGETTIVSWKKLLKEAGHTAAAPPVKVEPAFAAHAGPSGAAHPAENDPKDPTQPNRFNAVIEKIERLYMGKHSSDEEDLNDVPDDDQYDTEDSFIDDAELDEYFEVDNLKTKHDGYFVNKGKLEQIEPGTSANVAQKKRRRKDASSTYLETNHLAPVDYFDIGDVPGKSSARGTVQAGKQLASSNIGSYGQYYEDNRVVKNKTSGPGGAPKRKSSEFPGGDAAARAKVIKDVSHAPLELRDLEKHKAAALPVDYAHKSKTSETFDYAYPAYRDKGASVQLDFQQRKVRGENQDPSNRIYRKEKHGTSEYPGMAMASAVYSTQTMHPVGREGSGTKPKGTRLERAIRDLQKIVAEYRPPTIDINEAHPAENDPKDPTQPNRFNAVIEKIERLYMGKHSSDEEDLNDVPDDDQYDTEDSFIDDAELDEYFEVDNLKTKHDGYFVNKGKLEQIEPGTSANVAPKKRRRKDASSTYLETNHLAPVDYFDIGDVPGKSSARGTVQAGKQLASSNIGSYGQYYEDNRVVKNKTSGPGGAPKRKSSEFSGGDAAARAKVIKDVSHAPLELRDLEKHKAAALPVDYAHKSKTSETFDYAYPAYRDKGTSVQLDFQQRKVSGENQDPSNRIYRKEKHGTSEYPGMAMASAVYSTQTMHPVGREGSGTKPKGTRLERAIRDLQKIVAEYRPPTIDINEVDPNGQAAVKRRLPPEVKQKLAKVARLSANQGKIQEHELMNRLMGIVGHLVQRRTLKRNMKEMVESGISAKLEKADRFQRVKLEINEMIKARMAAKSKVNEQQDGSADDFQVANDDRRALKTKYAMDTALEDKMCDLYDMYVEGMDEDKGPQSRKLYVELAELWPQGYMDNVGIKDAIYRSKERKRLLYSQQKVRSEERMKRKRMAAAAKLQDGFPVVMQSGVVPQVAQPPITNSITYLVADYGQNQGSKFFERARETSSSAIPDDGNRNAGEMKKKKRKHEYDLVDTEANLPKAPLQHGSEKQKPSKPADEASAGSLPTMATTQTVLGLPTVWLRPR >Sspon.02G0003150-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:9275245:9282200:1 gene:Sspon.02G0003150-2B transcript:Sspon.02G0003150-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEIRKYAMSNQPPDIPQILLEAQTRWLRPTEICQILSNYKKFSIAPEPPNRPQSGSLFLFDRKILRYFRKDGHNWRKKKDGKTVKEAHEKLKVGSVDVLHCYYAHGEENENFQRRTYWLLEEGFMNIVLVHYLEIKGVKQSFNRAKEAEENAGLSNADSPACSNSFASQSQVASQSMDAESPISGQISEYEDAETDNSRASSRYHPFTEMQQPVDGIMMGNLFGASSPSYLGEMQPTGANLTNHFATRNDIASVFNETSSELGGGPKTSIDSVLLGEPFPEYPGGFMESTLYSSVATLGNSLEDGLQTFMSEALYTNNLTQKEVDALSAAGITSSKAENDGYSDQSVRYPLLKQSSSDLFKMEPDGLKKFDSFSRWMNNELPEVADLDIKSSSDAFWSTTETVNVADGSSIPINEQLDAFVPSLSQDQLFSIIDVSPSWAYNGTKTKVLITGTFLAKKEDVENCRWSCMFGDAEVSADVLVDGSLRCYTPVHCSGRVPFYVTCSNRVACSEVREFEFRDSETHYMDTSDQHTTGINEMHLHIRLDKLLSLEQEDYEKYVLSNGNKSELIDTINTLMLDDNLSNLALPSDEKELSTVRDQNVEKQVKEKLYYWLIHKIHDDGKGPNVLGKEGQGAIHLVAALGYDWAIKPIVAAGVNINFRDIRGWTALHWAASCGRERTVGALIANGAAPGPLTDPTQQYPSGRTPADLASENGHKGIAGFLAESALTSHLSALTLKESQGGNVEEICGLTATESEDFAEPSSSQLACVNSQEESLKDSLGAVRKSTQAAARIFQAFRVESFHRKKVIEYGDDDCGLSDERTLSLVSLRNPKPGHGDLHSAAVRIQNKFRGWKGRKEFMIIRQKIVKIQAHVRGHQVRKNYRKVVWSVGIVEKVILRWRRKRRGLRGFQPEKQLEGFQPEKQLEGPSWQIQPAKAEDEYDFLKDGRKQAEGRLQRALARVRSMNQYPEARDQYRRLQACVNSLQESQAMQDRMLADSAGTDGGDFMAELEELCRDDGDASMSTIS >Sspon.01G0020320-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1B:79913042:79913518:-1 gene:Sspon.01G0020320-2B transcript:Sspon.01G0020320-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTKLAFLAVAVLLLQASWCAVARHHHDPDPCGDSALLRHKDHRCSSPAVSPNGGTPVMMTVNSFEKGGSGGGPSECDGQYHSNKDLIAALSTGWYAGGSRCFKPIRITSTQTGRSVVARVVDECDSHRGCKNNIVDTSQAVWDALGLDSNIGVVPVT >Sspon.01G0004590-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:10571971:10579096:-1 gene:Sspon.01G0004590-1P transcript:Sspon.01G0004590-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATEQAAENYTVEDLVALNPYNPDILNDLEKFVNEQVNPNHRDALVSAGFACGVSDSVIARSHVSSQTYNLDANLSLLRLYQFEPERMSLQIVAHILIKALMAMPAPDFSLCLFLIPEHVQMEEQFKTLIVLSHYLETARFSQFWDEAAKNRHILEAVPGFEQAIQSYAIHVLSLTYQKVPRPILAERWGFSRPAAMATEQAAENYTVEDLVALNPYNPDILNDLEKFVNEQVSSQTYNLDANLSLLRLYQFEPERMSLQIVAHILIKALMAMPAPDFSLCLFLIPEHVQMEEQFKTLIVLSHYLETARFSQFWDEAAKNRHILEAVPGFEQAIQSYAIHVLSLTYQKVPRPILAEAINIEGLALDKLLEYHAANSGWVIEKGGQSQVIVLPRNEFNHPELKKNTADIVPFEHVTHIFPVLS >Sspon.06G0004370-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:11860608:11869514:1 gene:Sspon.06G0004370-3C transcript:Sspon.06G0004370-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:O-linked N-acetylglucosamine transferase, Negative regulator of gibberellin (GA) signaling, Brassinosteroid (BR) synthesi [Source: Projected from Oryza sativa (Os08g0559300)] MEQPGMDSVPGKESNGIAPNLNGGVSPAKQQLEGKEALRYANILRSRNKFAVAINLYDIVLEKEGANVEALIGKGICLQAQNLPRQAIECFTEVVKIEPGNACALTHCGMIYKDEGHLVEAAEAYQKARTADPSYKPASELLAIVLTDLGTSLKLAGNTEEGIQKYCEALEVDNHYAPAYYNLGVVYSEMLQFEMALSCYEKAALERPLYAEAYCNMGVIYKNRGDLEAAIACYERCLTISPNFEIAKNNMAIALTDLGTKVKIEGDINQGVAYYKKALFYNWHYADAMYNLGVAYGEMLNFEMAIVFYELALHFNPRCAEACNNLGVIYKDRDNLDKAVECYQMALSIKPLFAQSLNNLGVVYTVQGKMDSAASMIEKAIHANPTYAEAYNNLGVLYRDAGSITLAIHAYERCLQIDPDSRNAGQNRLLAMNYIDEGSDDKLYEAHREWGKRFMKLYPQYTSWDNSKVADRPLIIGYLSPDYFTHSVSYFIEAPLTHHDYTNCKVVVYSGVVKADAKTLRFKDKVLKKGGVWRDIYGIDEKRVASLVREDKVDILVELTGHTANNKLGTMTCRPAPIQVTWIGYPNTTGLPTIDYRISDSLADPPITKQKHVEELVRLPESFLCYTPSPEAGPICPTPAISNGFITFGSFNNLAKITPKVLQVWARILCAVPNSRLVVKCKPFCCENIRQKFLSTLEELGLESLRVDLLPLIHLNHDHMQAYSLMDISLDTFPYAGTTTTCESLYMGVPCVTMAGAVHAHNVGVSLLSKVGLGRLVAKTEDEYVSLALGLASDVNALQELRMSLRELMMKSPICDGEKFTRGLEAAYRDMWRRYCDGDVPSLRRLELLEEHPVVNKPDLDKASEKLADLKAQRASVTVEEDKQPPIMANGVRSPDSPAPAKCEANGNSSQ >Sspon.01G0011760-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1A:31868742:31871276:-1 gene:Sspon.01G0011760-1A transcript:Sspon.01G0011760-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MECDFVCSYPEIAVQVMPLTQTQLEEAMRPAKFEQQAGSGFSLESNNALSPTVTTEKVFPSSASPINSLLAGEKIQFGAVTSPTMLSPVSRTVSSGLGAPGSSRPDMKIDRGLPSDNSGPDKAKSKELCPSTEDAEAEAEAAASAVAVAAISTDEGSPADATTASAPDNKSFTSKDLSGLTSGGARTGQAGQSSTEEPLTVALPADLSVDTPSMSLWPPIASPQASGPMLSQFHGAQPSHFSCFDMNSMLGGHIFAFGPSDESAGSQGQHPQRSNALPSAPLGAWPQCHSGVDSFYRPPTGYAGPFITPGGIPGVQGPPHMVVYNHFAPVGQFGQMGLGFMGATYIPGDKQPDWKQSQGPPIVGVSQSDPNSQNMVSGQVNAPSVPAPVPHLRPTSIMPIPSPLTMFDIAPFQTSTDIQMQTCWPHMPVPPLHSVPLSVALQQHPVEGTATQQFVHNVPVDKSSTNNRFQESSVSAGPSDGNKTFSNAAASQYRDELGLVEQPASTSSRSQTVQPSFGQAGVISNEVSTSSKVMVRATPSKANPGTAAGVASNTNGPQVTSVPSKTHQSSSSSDQQYQHPVNNQDRRARATQKAGTGNEWQRRSGYQGRNQGSGSDRSSGTGRMKQIYVAKPSSTSGHAPSG >Sspon.05G0014180-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5A:51383635:51387889:-1 gene:Sspon.05G0014180-1A transcript:Sspon.05G0014180-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MIMVVYEVEQPPGMSCESCGCGIQFRQGKKNLLFDYDLVDSVKEWRFEWFYAGNMLPTLSFHSDSSPLVNDRWEKNLLSSEELKKIQPLLDRIRVLNTEDPSRMVSALELTDEEVLERLKKILKGDFSQNFVDLIPVDDLSSGAKAVENLAGASSTNKSQAATILPGDSIIETVPYSEYAPRPVPRAPRGSKRMRVDDASVGKRSSGLSSPVAQLSMTPFLVRDGDDVFSATMPAPKPSARLGGFSLKKKSAKSSPAPSLIPRPASSYYGGAAVCTESHDSERTISEAAVRETVTLDEHAVAESPTPGMVVTVAAGPSEEVAGASSAQVAALVPSSSHQPVSPPTTLGISSQRLDHDVLQEFDATHHLSELTTEWGVLAASVASFGEKLQSFSWDHVDFFFLTETKKKLTSEISALKMDLNLYHSEMEIESQTHQQVDKALRAQVIEAEEQRKAVVQDALEKDLVQGMKTIDDLSKKLASFDQRFATLWKSSKTVAKLLRTSEDDGKTWGEFILLVPKRLQNFVKDRVRACVKNVLAHVRILAPCVPLEKLMEDTDDDNYLESIENAESEVEDLANFIADKLDIHLPPSDDEADS >Sspon.01G0025870-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:91055751:91057833:-1 gene:Sspon.01G0025870-2C transcript:Sspon.01G0025870-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHPRGRSRGFPFLLLCCHRCHHKAAALRQEPEARRRGACRWRRRGKGEGGKSGAAAFFDEDGVVDDMDGYLNYLSLEYDSVWDTKPAWCQPWTILLTGTVAVASSWVLIQSAVITAGVSFVICAWWYIFLYSYPKSQAYTEMIAERRRKVASGAEDTYGMEKS >Sspon.03G0034400-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:69532932:69534168:-1 gene:Sspon.03G0034400-2C transcript:Sspon.03G0034400-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTELETPRITELHVRMDCNGCGNKLRKTLSAIDGVSEVYIDQATHKITVVGMADPERLVKAIRKTKRVPTIFSHTDPAAAAAAEAQPPPPPPAEAEGEAQAAPPPPADPPAADAAPPAAAAAAEEAAPAEAPAPENKEAKPAETPAAMDATVVRTVHDYPYGYGHGGHHMHSEHWVRAKHPMDMHGVRYEASPYVAEYGGYGGFPVQEGRYYIPAEDYPARGKGDDRQITSIFSDENPNACNIV >Sspon.06G0004930-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:14375317:14383966:-1 gene:Sspon.06G0004930-2B transcript:Sspon.06G0004930-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEREHWLLCALIAVPLLYYLTRILRPRSGSSSTRPRLPPGPRPLPLVGNLLDLRGGNLHHALERLARVHGPVMRLKLGPLTTAVVISSRDAAREAFTRHDRGLSARAVPDMARAAGFSERSMIWLPASDPRWKALRGVVAAHVFSPRSLDAARGVRERKARDLVGYFRRRYGSGQEVVDDVGQAVYGGVLNLVSSALFSVDVVDDVGAKSARGLRELVEEIVDGIAKPNVSDLVPFLAPLDLQGWRRWTARRLRNVFGILDGVIDRRLSESDGHASSPASSSEGTHGGDFLDALLELLSAGKITCDNVTTIMFDVFAAGTDTIAITVEWAMAELLRNPSAMAKVREEIEASLGSKESVEEHDAVGLSYLQAVVKEAMRLHPVAPIFLPHQATEDGVEIGGYAVPKGCTVIFLAWSIMRDPAAWERPDEFIPERFLDRQDEMGFGGKEFEFIPFGAGRRQCPGLPMAERVVPLILASLLHAFEWRLPDGVSAEQLDVSEKFTTANVLAVPLRAVPVPVSDLWLLWATLAVSLLYYLTSLRSRSGNAWLLPGPRPFPVAGNLLDLRGVNLHHKLACLARVHGPAIRLRLGLTTAVVISSRDAARDAFTRHDRRLAVRAIPDATRALRFHERSMVWLSSSDPRWKNLRGIVAAHVFSPRSFEAARGVRERKVGDLVSYLRGRASEEVDFGRAMYGGVLNLVSNAFCSVDVVDLGAESSVQGLREVVEDLVELIAKPNVSDLFPLLRPLDLQGCRRHAASQVTKVLRVLDGIIDRRLDEEEGEAASSKKHGDFLDALLELMSTGKITREDVTTIVFDMFVAGSDTVAITVEWAMAELLCNPSAMAKVREEIQGSLGSKESVEEHDALSLPYLQAVVKEAMRLHAASPLFLRRATEEGVEIGGYAVPKGCTVIFLACSIMRDPAAWERPDEFIPERFLDREEEMGFRGKEFEFIPFGAGRRQCPGLPMAERVVPLMLASLLHAFEWRLPDGVSAEQLDVSEKFTTANVLAVPLRAMPVPVSELWLLLATLAVSLFYYLTSRLRSRSGNARLPPGPRPLPVVGNILDLRGCGTLHHKLACLARVHGPVMRLKLGLTMAVVISSREAAREAFTRHDRRLAARAIPDATRALRFHERSMVWLPSSDPRWKNLRGIVAAHVFSPRSLEAARGVRERKVGDLVSYLRGRAGEEVDFGRVMYGGVLNLVSNAFCSVDIVDLAAESSEQGLREVVEDLVELIAKPNVSDLFPFLRPLDLQGCRRHAASQVAKVLRVLDGVIDRRLDEDEAKASSSSNKHGDFLEALLDLMSTGKITREDVTTIVFDMFVAGSDTIAITVEWAMAELLRSPSTMGKVRAEIKGAIGSKETIEETDTANLAYLHAVMKEAMRLHPVALLLLPHQSTEDGVEVGGYAVPRGCTVIFNSWAMMRDPAAWERPDEFRPERFLDEAIEVVDFRGNDFEFLPFGSGRRVCPGVPMAERAVPFVLASLLHAFEWRLPDGMSVEQLDVSENFTTANVMAVPLKAVPFVVLS >Sspon.01G0001730-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:4554920:4556797:1 gene:Sspon.01G0001730-2P transcript:Sspon.01G0001730-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAADRDNNVTINYEEFIAATVPLNKIEREEHLMAAFTYFDKDGSGYITVDKLQRACGEHNMEDTFLDEIILEVDQNNDGQIDYAEFVAMMQGNKVGLGWQPMETTLNVTLRDAPQVHCH >Sspon.04G0029960-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4B:75368878:75370645:-1 gene:Sspon.04G0029960-1B transcript:Sspon.04G0029960-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALALMRTASSGPAMTAPAPPGWCPHHDDSRTGGSSNDTKVGVFGVLVAGRLLLAGIFLCWAGRLEFLALAAWWDCLVQELGASC >Sspon.05G0028360-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:66996474:67004000:1 gene:Sspon.05G0028360-1B transcript:Sspon.05G0028360-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHNYKHGFSGFAAMLTEDEAKQLAEFPEVISVEPSRTYTTATTHSWDFLGLSYQMPSNLLHKGRYGEDVIIGVVDIGIWPESRSFSDEGYPPVPSRWRGVCQVGQGWNTSHCSRKIIGARFYDAGLDTEALPLHRSDYLSARDANGHGTHTASTAAGSAVDAANFHGLAAGIARGGAPHAHIAVYKSLWLTEDGQRHHSASGITPDLAFHFHQKSLKMSFRRSWCSYDFFSSLLLLATIAQLATTTLAQTSPNRTVVEEVPGWLRIYSNGTVERLTPPGGEAITAIVPPYTKPHGGVTVHDIATGRGIDVRLYLPEPAAAGSRRPVLLHFHGGGFCVSRPSWALYHNFYAPLTAKLRVAGIVSVYLPLAPEHRLPAAIVAGHDALLWLRDVACGKNVVYSAPVERLRKAADFSRVFLIGDSSGGNLVHLVAARAGEDGMGALHPVRLAGGVLLHPGFAREKRSRSELENPPNPLLTLEMIDKLLALGLPLGATKDSPYTSPELAAKAVEHVAMPPLLLMVAEKDLLRDPQVDYGKAMVLAGKEVDTKVSRGAVAHVFYLNFLAGFSAS >Sspon.08G0021210-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:34651130:34654239:-1 gene:Sspon.08G0021210-1B transcript:Sspon.08G0021210-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGADGGLNRAAEKPRDGEGGEARVFRCTDYSLPRTTLALTLWLGGIHFNVLLVLASLFLLSRRAAAIVVAFQLFFMFAPVNDRDKWGRSIARHAMGYFPISLHVEDYKSFDPSRAYVFGYEPHSVLPIGLSALADLVGFMPLTKIKILASSAVFYTPFLRQIWTWLGLVPATRKNFYHYLGAGYSCIIVPGGVREMLHMNNDSEVAFLKSRKGFVKIAMQSGCPLVPVFCFGQYKGKAGYPGLHLRVL >Sspon.05G0003380-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:10097410:10101428:-1 gene:Sspon.05G0003380-1A transcript:Sspon.05G0003380-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MERTAQIAPLQRVVNLVVPQSTRAATPLRSSAAQSSSTPLRSSAAATMEEQQFQTSVDVSVSNMQRDGVDVPDPLTLGTAMMLMYSYDAIPDPPVSPAAPLASAIAARAPADGVDRISLLPDSLLRNVVSRLPAKDAARTAALATRWRGLWRSVPLAVVDAHILPDSVPADHTMPGGEDVLSWAVAVVASRVLDAHPGPFRCVHLSRCHMASHQADIERWLELLAAKGVQELVFFNRPWPIDHPLPGALYGCTSVTRLHLGMCRVPSTAGLPCTTHFPYVRELVLDTVAIEERDLHFLIDRSPVLEVLTITTNQTGAQVRLISRSLRCVQVTTSAEVHITVVDAPRLERLLMWMITPVVPGESCSRIKIDHAPKLCMLGHWQPGYELEIGNTILKVGTKMSPSTMVPSVKILALEVGFKVHNEVKMMPCFLKCFPNVETLYVFSLNDAPSGNVDPKFWKGKEAGCIDCVQRHVKKFVFQEFRGKKSELAFLRFIAERAQVLEKMVVMVASKCFSSADAVNAKLKPLTSAIWASKDCKLIVFKSPYSDGASPAWASKTASDFSCSDPFDLLTAYAELYSGGAMVAAAASGLGNAEGQSSTSFGSLSQ >Sspon.01G0007880-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:19188444:19188917:1 gene:Sspon.01G0007880-4D transcript:Sspon.01G0007880-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLIRRGSAFDPFSLDLWDPFQGFPFGSGSSSLFPSFGGTNSETAAFAGARIDWKETPEAHVFKADVPGLKKEEVKVEVEDGNVLQISGERSKEQEEKTDTWHRVERSSGKFLRRFRLPENAKTEQIIASMENGVLTVTVPKEEVKKPDVKSIQISG >Sspon.05G0024560-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:16234441:16234710:1 gene:Sspon.05G0024560-1B transcript:Sspon.05G0024560-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLSGIGSELNDESGKPIWKNRVESWKEKKNEKKASAKKAAAKAQPPPVEEQIMDEKE >Sspon.07G0010120-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7A:30245250:30251132:1 gene:Sspon.07G0010120-1A transcript:Sspon.07G0010120-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNVWTRLFTPSSQLGPYTVWAAQRETTRWAKESPASAEGARWVGGGDGELLVGGVATSVACPPPHPAVARSQPARVQGHIPHELSCFTFLTVAATLSMVGGGGDAGLLRAWGDCEPEASGEASPRTLASLPRRRHPASPSGSLGLACSGDPSRLGLISPLRAMDITQVLLAAQSPDANLRTVAEGNLTQFQEQNLPNFLLSLSVELSNDEKPPESRRLAGIILKNSLDAKDSAKKELLTQQWVSVDPSIKLKIKESLLVTLGSLVHDARHTSSQVIAKVASIEIPRREWQDLVAKLLGNMTSPGASAPLKQATLEALGYVCEEISPQDLEQDQVNAVLTAVVQGMNQTELSPEVRLAAVKALYNALDFAESNFANEMERNYIMKVVCETAVSKEVEIRQAAFECLVAIASTYYSHLDPYMQTIFNLTAHAVKGDEEPVALQAVEFWSAICDEEIALQDEYEGSEDDNSTVHFRFIEKALPSLVPMLLETLLKQEEDQDQDDNVWNISMSGGTCLGLLSRTVGDAVVPLVMPFVEANITKPDWHCREAATFAFGSILEGPSVEKLAPLVQAGLDFLLNTMSDANSQVKDTTAWTLGRVFELLHSPAGESPIINNSNLPQIISVLLESSKDSPNVAEKVCGAIYFLAQGYEDAESMSSVLTPYLPNIIAALLSAADRADTTHFRLRASAYEALNEIVRVSHIPETSGIIGQLLQEIMRRLNLTFDLHILSSGDKEKQSDLQALLCGVLQVIIQKLSSTDAKSIISQTADQLMMLFLRVFACHNSTVHEEAMLAIGALAYATGPDFAKYMPNFFTYLEAGLQNYEEYQVCSISVGVVGDICRALEDKILPFCDRIMTVLLKDLSSSMLNRSVKPPIFSCFGDIALAIGENFEKYLPYAMPMLQGAAGLLGTLDQSDDDMVDYGNQLRRGIFEAYSGILQGIKGPKAQLMIPYATHLLQFTEAVFKDRSRCLSFTL >Sspon.04G0001450-3C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4C:5901396:5902244:-1 gene:Sspon.04G0001450-3C transcript:Sspon.04G0001450-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha-1,6-mannosyl-glycoprotein 2-beta-N-acetylglucosaminyltransferase [Source:Projected from Arabidopsis thaliana (AT2G05320) UniProtKB/Swiss-Prot;Acc:Q9FT88] SPLSLSLSARNALPPRNTDRFPTLPDGHLKVVLYVHNRPRYLRLVVDSLSRVEGIGEALLIVSHDGYFPEMDRIVQGIDFCQVKQVFAPYSPHLFPDAFPGVSPGDCRSNDKAKEKGCRGDPDQYGNHRAPRIVSLKHHWWWMMNTVWDGMEETRDFDGHILFIEEDHYIFPNAYRNAQLLVDLKPKKCPQCYAINLAPSDVKSKGEGWESLVAEKMGNIGYAFNRTVWRKIHAKAKQFCAFDEYNWDITMWATVYPSFGAPVYSLRGPRRSAAHFGKCGLHQ >Sspon.07G0038120-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:70698485:70700219:-1 gene:Sspon.07G0038120-1D transcript:Sspon.07G0038120-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKNNQKPNKEAKIKRTIKGRQKPNIKVPGSADRLSADSSPGWRMSEADYWPRNSWMESLDAPERNPSSLEPQSSTGVNVTSTQKDWHSMQGDIAFGSSFSNILIEIQASVLCSALYSASRFQNQCCSVSSGHNQHTTTNGVEGDAWAAALYAFQFTDANDGRIHQAIKLRHAHCPGV >Sspon.07G0009160-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:25471685:25475805:-1 gene:Sspon.07G0009160-1A transcript:Sspon.07G0009160-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PrfB3 [Source:Projected from Arabidopsis thaliana (AT3G57190) UniProtKB/TrEMBL;Acc:A0A178VAE2] MAAATSPAACARASASDLRTGRLDVRAAALPADGRGGDGAASYKKLGLYSLKKRIEDAVVRVETTASSALELEEAQRIKQEEVLRKRNLWDNPAKSHETLSALADAIRVVDHLKDLRFKAEEAKLISQLSEMDAINGELFKQAYKSSVDASEYLDRYQMYKLLKGSYDKEGACIIVTAVSNGVTSELWAEKVFGMYTSWARKQGYKVGLIEKIFSTSGHIQSAAMEIESEYMFGTLSGEKGMHQMIYSSLENSDIDQALSARVDIIPLFLDRPVDLHLDDGDIETSPSPSVHKKRDRRNGAAVRVQHVPSGVTAESSGERSYFANKLKATSRLKAKLLLVARELRVSDIKLINRQAIEDKCNSETRRYTFGPQKLVHDLNTGIQLSDLNSVLEGDIEPFIRGRITPHHKITSVNISHFKSK >Sspon.03G0025740-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:708610:720133:1 gene:Sspon.03G0025740-1B transcript:Sspon.03G0025740-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding YPPPQFFVLSPSLRVVVSSCGGGRRRSPIGDTQRANLATMSSLQLLQLTERGRNLLSSRRRTIAIVSGAVLAGGTLAYAQSGRWKKHQEVNSCSDANSHSSDNGRTSQNGIDGKLVKTRKKKSGLKSLHFLAAILLKKIGPNGTNYLIGLILTAVLRTAVGHRLAKVQGYLFKSAFLRRIPTFTRLIIENLLLCFLQSTLYQTSKYLTGSLGLRFKKILTDLVHADYFENMVYYKISHVDHRISNPEQRIASDIPKFCSELSDLVQDDLAAIVEGLIYIWRLCSYASPKYVLWILAYVIGAGGTIRKFSPAFGKLKSTEQQLEGEYRQLHSRLRTHAESVAFYGGENREASHIKQRFRALVKHLNVVLHENWWFGMIQDFLLKYLGATVGVILIIEPFFAGNLKPESSTLGRAEMLSNLRYHTSVIISLFQSLGTLSIGSRRLNILSGYANRIHELLDVSRELSGGRDRLITQNSSDGNYISEANYIEFSGVKVVTPSGNVLVDNLNLHLESGSNLLITGPNGSGKSSLFRVLGGLWPMVSGHIVKPGVGSNLNKEIFYVPQRPYTAVGTLRDQLIYPLTVDQETEPLSYGGMVDLLKNVDLEYLLERYPLDKEVNWGDELSLGEQQRLGMARLFYHKPKFAILDECTSAVTTDMEERFCKRVRAMGTSCITISHRPALVAFHEIVLSLDGEGGWDIQDNRNGSSFSPEVEFDVLKSSESDRKSDALTVQRAFITSTKGNASMKPKKQSYSTEIIASSPSMEIEHTVQSSIVTQLQCSPRPLPVRVAAMSQILVPKLFDKQGGQLLAVALLVFSRTWISDRIASLNGTSVKYVLEQDKAAFIRLAGISVLQSAANSIVSPSLRNLTSRIALGWRIRMTNHLLKYYLKRNAFYKVRFSPIGYSPRLLLHCVAVFTWRMKLLSGRRGVAILYAYMFLGLGFLRAVSPDFGDLANQEQELEGTFRFMHSRLRTHAESIAFFGGGSREKAMIDAKFTTLLNHSKVLLRKKWLYGIFDDFVTKQLPHNVTWGLSMLYALEHKGDRALTSTQGELAHALRFLASVVSQSFIAFGDILELHKKFLELSGGINRIFELEELLQASQSNPVVPSDAINATSEEIISFRGVDIVTPSQKLLASQLSWKSLLVTGPNGSGKSSIFRVLRGLWPIASGSLTKPSEGIFNVPQRPYTCLGTLRDQIIYPLSHEEAKLKMLSYETSDKSTASKMLDDHLKTILENVRLVYLLEREGWDATPNWEDILSLGEQQRLGMARLFFHCPKYGILDECTNATSVDVEEHLYRLATNMGITVITSSQRPALIPFHSLELKLIDGEGKWELCSIHQ >Sspon.02G0003020-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:10043746:10046359:-1 gene:Sspon.02G0003020-1A transcript:Sspon.02G0003020-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHVSFYRNYGKTFKKPRRPYEKERLDAELKLVGEYGLRCKRELWRVQYALSRIRNAARELLTLDEKNPRRIFEGEALLRRMNRYGLLGEGQNKLDYVLALTVENFLQRRLQTIVFKNGMAKSIHHARVLIRQRHIRVGRQLVNIPSFMVRVESEKHIDFSLTSPLGGGPAGRVKRKNQKKASGGGGDGEEDDE >Sspon.02G0010260-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:28466010:28466492:-1 gene:Sspon.02G0010260-1A transcript:Sspon.02G0010260-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIAARVLRRLPLHLSPSLSRSFCAVSPAAASAAPAPAAASAKVADRIVRVLAIDPDGARREVVGLSGQTLLRALANAGLIEPASHRLEDIDACSAECEVHIAQEWLDKLPPPSYEERYVLTRASRNRELNKHARLGCQVVLAPELQGMVVAVPEPKPWDI >Sspon.02G0012450-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:36840150:36841354:-1 gene:Sspon.02G0012450-3C transcript:Sspon.02G0012450-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHRLFCATRALLLPAPAPTPTSASSAAAAEAAASLLPLLPCKRRKKLLRKLNSPRVAPIEPEAARRVPALDAVLDRDTAFRFLHRARSFLASLPPPHRIPLSEAGKLYRELGFPRGRKVSRSATRHPLLFHLPVVDSVPHLALTPFMCSLLEEERRIHDDLLPSRVRAVRKLLMLTAHRRVPLAKLHHCRAVLGLPDDFRDRVHDFPDDFRVVVDPRDGRHILELARWDPALAVSALERDFVVDERRVRRTFRFAVPHRRLMPLDAEDADRLDTVTTFPLVSPYTNGALLKPWTPERKKMNWALFLRAAYDENGVLKEKDPLVLFNEKLQRYACMSKMDSRENMIDAA >Sspon.08G0027570-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8C:52287317:52287982:-1 gene:Sspon.08G0027570-1C transcript:Sspon.08G0027570-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKGQPKYAYTQPTHCLSLPQPTADADALCVQNLARALSAGDLLRLLPSCGTLPSLRVLHAFLLTHPQGLLLCSLRARTKLLSCYAALGDLTSARMVFDGTPRPDAYTYGVMLRCLVQTERHAEAVALHQDMRRRRPCPEAQDDFVLSLALKACIRSAEYGYGRRLHCDAVKAGGADGFVLNSLVDMYAKAGDLECARKVFERIPGRNVVSWTSMLSGCVQN >Sspon.02G0040800-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2B:72192802:72193128:1 gene:Sspon.02G0040800-1B transcript:Sspon.02G0040800-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPYYGGPAPAAAAAPAPVAVVSPQFCAPYVVPLTVTKKAISLSDGDFTVTDANGAVVLRVKGVIFSVRHRRVLLDAAGQPILSMQEKVISFMDRSSDRPFICFFFF >Sspon.07G0005340-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:19743628:19744692:1 gene:Sspon.07G0005340-2B transcript:Sspon.07G0005340-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHTKARIHADPVLELDQFDGLPDSLVLLILNKLEDVRSLGRCSAVSKRFSGLVPLVHDVCVKIDHVVTVDGDSDDALNLSSPKPHNIISHLFKLMLFAIAKPFHDIRSPNSTGRPLFPQLSQHSPVQVLKNFSHVRNLQVELPSGDVAVEEGVLLKWRAEYGSTLQNCVILGGTLVDLKAAGNGHEPSLDDNGSMPESFYTNGGLKLRVVWTISCLIAASTRHYLLRSIINDHPTLRSLVLADAEGQGALSMGAEQLKDFREHQLSASPCSNRTQVPACNMKLKYAQYLELPGGLALQGATLLVIKPASDGSSSVHGNRKEVDAFVSGTFDGPLRFAAKALMKRRTYLLEMNGF >Sspon.05G0011080-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:23159467:23164593:-1 gene:Sspon.05G0011080-3C transcript:Sspon.05G0011080-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ultraviolet-B receptor UVR8 [Source:Projected from Arabidopsis thaliana (AT5G63860) UniProtKB/Swiss-Prot;Acc:Q9FN03] MDSVMVAAPDSPPQTVVLVSAGASHSVALLTGNVLCSWGRGEDGQLGHGDAEDRLVPTVLSGFDAPGIASVICGADHTTAYSEDELQVYSWGWGDFGRLGHGNSSDVFTPQPVKALQGLKIKQIACGDSHCLAVTMAGEVQSWGRNQNGQLGLGTTEDSLLPQKIQAFEGVCVKMIAAGAEHTAAVTEDGDLYGWGWGRYGNLGLGDRNDRLFPEKVSSVEGEKMVLVACGWRHTITVSDSGNLYTYGWSKYGQLGHGDFEDHLIPHKLEALKDSTISQISGGWRHTMALTSEGKLYGWGWNKFGQVGVGNNDDHCSPVQVRFPEDQKISQVACGWRHTLALSEKKNVFSWGRGTSGQLGNGEIVDRNTPVLIDALSPDGSGCKKLESSAAAPFTAKVWVSPSERYAIVPDENVPKAGEGTARGNGADANVPENDVKRMRVQS >Sspon.01G0023190-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:89234636:89237311:-1 gene:Sspon.01G0023190-2B transcript:Sspon.01G0023190-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMERRHGFFAALREEVARGLSPARARRKSEAADLAAAALRFAGVVGCGEMLAPLMEGPDPEPSDGDGGGCRGAARGRKEGWGHWVRGQFARAPSSAVAAAAAGSGALRNDLRMLLGVLGAPLAPVHVCASEPLPHLSVKDTPIETSSAQYILQQYLAASGGHKLLASLRNSYAMGKVRMVATEFETAGRLTKNRNAGRGGEPGRFVLWQMAPEMWYIELVVGGSKVRAGCNGKLVWRHTPWLGAHSAKGPVRPLRRSLQGLDPLMTASMFARARCIGERKVNGEDCFILKLSTDTETLKARSEGHAEIIRHVTFGYFSQRTGLLVHIEDSHLTRIQSAAGGDAVYWETTISSFMEDYRPVDGIMVAHSGRSAVTLFRFGEVAMSHTKTRMEEVWSIEEVAFNVPGLSMDCFIPPTDIKSGSVGETMELTNGERSRAGPPPGYCAKVAALEKAEEDKVAWGGGTILESHN >Sspon.01G0019550-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:74793717:74797122:1 gene:Sspon.01G0019550-3C transcript:Sspon.01G0019550-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRPLLEPSVLLPSSAPPQPNRPSTKRTNRVPPLPLPLPSTLGGRLSLSGSCATAGKLIANLIVMGSTIIGRAMLQAYRKALDNANKTGVAHEAINNIRRASKTMTEQEARQILGVSENSTWEEIVQRYDNLFERNAKSGSFYLQSKVHRAKECLETVYQKNKQDEPPN >Sspon.05G0015890-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:63763499:63769095:-1 gene:Sspon.05G0015890-1A transcript:Sspon.05G0015890-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GQRSSTYMLLAERHQIARTKNEKIDVVTTLETGTLTNPAASSSNPHDLQDNQENKSKTMESIWGKESEIRQDAAHKISRTIQEPTLPSTLSRRGGPRDTSVKSPAAIKEQGGQSQSLQPNRGESKNGKREIRMLREQGWIEE >Sspon.03G0044450-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3C:94487022:94488236:-1 gene:Sspon.03G0044450-1C transcript:Sspon.03G0044450-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVMDKEALISRNKMIRAETERQILGLLDHPFLPTLYTHFETEKFYCLVMEYCCGGNLHSLRQKQPNRHFNEQAARFYASEVLLALEYLHMLGIVYRDLKPENVLVRDGGHIMLSDFDLSLRCSVSPMLVKSSSINAGANGVEKGVVHAEGVNQGCIQSSAFFPRILPKKNRKTKSDFSINGSLLEFNAEPTDARSMSFVGTHEYLAPEIIRGEGHGSAVDWWTFGIFLYELLHGMTPFKGNSNRATLCNVVDQPLRFPDTPPVSNVARDLIRGLLVKDPQKRIATKRGATEIKQHPFFDGVNWALVRGAHPPSVPDPVDFGQFRSKEKKAADIAVATAPSRLPSGAAAAKPKTGNFVEYF >Sspon.02G0015870-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:41773605:41774743:-1 gene:Sspon.02G0015870-2B transcript:Sspon.02G0015870-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding KMVGKRERDSKNPMRRTTSMTEFAPPDALAAVMEDEEEAQLPDNSHSIRGAAGGQQDWLSAFAGGGGAGGAAAQEDWLAAYRARAAPARAGLRRNSADYSVVETTAFLRACGLCRRRLGPGRDTFMYRGEAAFCSLECRERHITQEEWKDKCAVTSIKDAAAGAAKKANGRRAGSGKAGGTVAAA >Sspon.03G0011690-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:39203329:39219575:1 gene:Sspon.03G0011690-2B transcript:Sspon.03G0011690-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcriptional corepressor LEUNIG [Source:Projected from Arabidopsis thaliana (AT4G32551) UniProtKB/TrEMBL;Acc:F4JUD2] MSQTNWEADKMLDVYIYDYFMKRNLQATAKAFQAEGKVSSDPVAIDAPGGFLFEWWSVFWDIFIARTNEKHSDVAASYIETQLMKAREQQQQQTPQQRQQQPQHIQMQQMLLQRAVHQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQPQQQLQQQQLQQQLQQQRRDGSHLLNGSANGISGSNPLMRQNQSTANVMATKMYEERLKVPSQRDSLEEASLKQRYGENAGQLLDSNEASLLKAASSAQSSGQILHGTVGGLSGTLQQVQARSPQLPGPAQGIKTEINPILTPRVAGPEGSFIGVQGSNQAGNNLTLKGWPLTGLEQLRSGILQQKSFIQNQQQLHQQIQMLTPQQQQQLMLHAQQNMSSPTSSDVDNRRLRMMLNRTAVLGRDGQTNSGSDIIPNIGSPSQSGGDIDMLIKTAKKRKKPGSSSGRANSSGTANTAGPSPSSAPSTPSTHTPGDAMSVPQLQQNGGSAKPMVMFGSDGTGSLTSPANPLDDVDRLLEDGSLDDNVESFLSQDDMDPRDNLGRCMDASKAEELFVLGFGFSEIAKARASANKVVCCHFSADGKLLATGGHDKKVTLWCTDSLKPKSFLEEHSSLITDVRFSPSMSRLATSSFDKTVRVWDADNTDYSLRTFTGHSASVMSLDFHPNKEDMICSCDSDGEVRSWSINNGSCLTCVKVFKGGATQMRFQPRKGKYLAAASEKFIYILDGETQHACRSPLQGHGKNIQSLCWDSAGEYLASVSEDSVRIWSFSSGRDGEFVHELNRSGNQFHSCVFHPTYQSLLVIGCYESLELWDIREKNTMTFNNAHDGLVAALAASSATGKVASVSHDRTLKLWK >Sspon.01G0042980-3D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:61960637:61964163:-1 gene:Sspon.01G0042980-3D transcript:Sspon.01G0042980-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAAAPDQPPRPVRFGILGCASIARKLARAMLLAPSAAVAAVGSRSEEKARLFAADNGLDAAATRLHGSYEALLDDPDVDAVYLPLPTSLHVRWATAAAARGKHVLLEKPTALCAADLDAILAACDAAGVQFMDSTMWMHHPRTAKMRQIVDDNDAIGDIKTINSVFSFRANEDFLENDIRVKPDLDALGALGDAGWYCIRAILWAVDYELPKTVIALRDPVKNRAGVLLACGATLYWADGRTATFSCSFLANLTMDITLVGTNGTIHVTDFVLPYEEKSVEFHVASKSNFNDLHTGWDPLPSKHVVATDLPQEALMVQEFARLVQGIRDAGGKPEGKWPAITRKTQLVLDAVKASIDKGSEPVEVAS >Sspon.07G0022790-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:10576882:10578290:1 gene:Sspon.07G0022790-1B transcript:Sspon.07G0022790-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding TSDSIHTDGYLLSLNLGTPPQVFQVYLDTGSDLTWVPCGSSSYQCLECGSSVKPTPTFLPSESSSNTRTSAGAASASTSDNRFDPCAAAGCAIPAFTGGLCPRPCPPFSYTYGGGGLVLGSLSRDSVTLHGSIHGSGGGAVPLPVAFPGFAFGCVGSSIREPLGIAGFGRGALSLPSQFGFLGKGFSHCFLGFRFARNPNFTSPLVMGDLALASASTDAGFVFTPMLTSATYPNFYYVGLEGVGLGDDADGGSTAIVAPPSLSGIDAQGNGGVLVDTGTTYMQLPNPFYASVLASLVSATPYERSRDLEARTGFDLCFKVPCARAPCADDELPPISLHLAGGARLALPKLSSYYPVTAIRDSVVVKCLLFQRMEDDAGDAGGPAAVLGSFQMQNVEVVYDLAAGRVGFRPRGLRASRLVTASVHRTYAYVHWHAWTWMVHAAHATSMISCQCTSERCARAGAVWLFG >Sspon.01G0030450-2P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:104371123:104374428:-1 gene:Sspon.01G0030450-2P transcript:Sspon.01G0030450-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPQRSAPPSLPSTSTTPPRKSLARARARLHAFPNGYLTDPTSPPLIPSPCRPRSRTDRRAMPPKRACRLALLAAAAAYLLFLLLFELPSFSVSTASPRHAHAATHRELLHASSALLHASSPLRPVRTAPLAVSSVRVHRRATDSSSAAASSIDASASAAFAAAAPHLARLLSAPASPSSSPSPSPSAAASASCPATVSVPRDRPASGGGVAVDLPCGMAVGSRLTVVARPRAARAEVAGARDGAAAPVMVSQFMLDLLGTKAVQGEEPPRILHFNPRIRGDFSGRPVIELNTCYRMQWAQPQRCEGWASRPDDDSVDGELKCDKWIRDGNNETQESRMKWWLNRLIGRPNVNWPYPFAEGKQFVLVITAGLEGYHVNVDGRHVTSFPYRTGYNLEDATRLSLKGDIDVDSILAGSLPTSPPTSATKSYLEMSEQWKASPMPTEPVELFIGILSSANHFAERMAVRKSWMISTRRSSDVVARFFVALNGRNEVNEELKKEADYFGDIVIVPFMDSYDLVVLKTIAIVEYGVRVVPAKHIMKCDDDTFVRIESVLDQEWPEEVYPPYANGPGYVISPDIAQYILSEFDNKTLRLFKMEDVSMGMWVEKFNTTRQPVEYLHDVRFYQPGCFDGYFTAHYQSPQHMICLWRKLQAGSAQCCNVR >Sspon.06G0018170-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:80632653:80634521:-1 gene:Sspon.06G0018170-2D transcript:Sspon.06G0018170-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALHLLHVVYQFIRAAATPPAQQPIWFVVVPLTLVLLPVLCRRLHRPNAGAGDDERKQSKPILPSPPGRLPVIGHLHLVGDLPHVSLHDLAVKHDRGGGLMLLQLGTVSNLVVSSPRAAGAVLRTHDHVFASRPTTKVLHNFLYGSSTIAFGPYGEHWRKVRKLVTTHLFTVKKVNSFCHARQEEVRLVMAKLKKAMATGMEVDMSETMNTFANDIMCCVVSGKLFREDGRNKTFRELIEMNSALYAGFSLENYFPRLVNSLGIFTRFVSRKADKTHERWDEVLENIISDHERQSFNYRHGDRAEQEEGTDFVDVMLSVQQEYGISRDHIKAVLMDMFDAGTVTSSLVLELAMAELMRHPHIMSKLQAEVRNKTPNGEEMVKQENLASMSYLRAVVKETLRLHPPAPLLLPHKSMVECDIDGYTIPSGTRVIINAWAVCRHLESWEKAEKFMPERFMDGGSAATIDLKGNDFQFIPFGAGRRMCPGINFGLVTVEIMLANLMYCFDWGLPAGMDKKDIDMTEVFGLTVHRKEKLMLIPKLPGTASYA >Sspon.02G0008420-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:24713019:24716423:1 gene:Sspon.02G0008420-1A transcript:Sspon.02G0008420-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWSRSSCIAVTAAAVLLLLLPRFCSPAGDKLTQGESLSPGETIVSDGGAFVLGFFAPSNATPGRQYVGIWYNNIPVQTVVWVANRDAPVTVDERPGNSSSSAPPPSLALANDSSNLVLSDAGGRVVWTTNVTAATSSSGTAVLLNSGNLVLRSPNGTTLWQSFDHPTDTFIPDMKVGLRYRTHDGARIVSWKGPDDPSPGTFSYGMDPHTSLQMLMWNGTRTYWRSSAWTGYMTASRYHATTGTVIYVAVVDSEEEIYMTFYVNDGAPPTRYVVTDAGRFQLLSWNRNASVWTTLESWPSRSCSPYGSCGAYGYCDNTLPVATCKCLDGFEPASQAEWSGGVFSAGCRRSQALAPCGGEGDAFLAMPNMKVPDKFVLLGNMSSGDECAAECRRNCSCVAYAYANLRSSSAKGDIARCLVWTGDLVDTQMIGALWGITAETLHLRVPAGFTGSIFVSIIIIILFSFFFRLLDTAQGFQKNISRPMSLFQNRSIFFRGQRLLAVAHQTYLPCICVGRKTRTKIVKVLLPVLASIFMLSCIFIVWFCKTRDKRGSNESAKKLVPGSVQTSSELGEGNPTEDLEFPSMQSFEKEFSSIQFSDIVVATNNFSRACMIGRGGFGKVYKVTLHSKRKSLLDWPTRLGIIKGVARGLLYLHQDSRLTVIHRDLKASNVLLDAEMRPKIADFGMAKIFGDNQQKANTRRAWKLWNEGNASDLVDPSIAESCALDEALLCVHVGLLCVQDDPNGRPLMSSVVSILENGSVSLPAPEQPAYFAERNCNTAVEGDDVQTSRNSMTMTVLQGR >Sspon.03G0012440-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:34504408:34511394:-1 gene:Sspon.03G0012440-1A transcript:Sspon.03G0012440-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDNGGGEVAWRFGAANPSLQAASALSLRKLVHPVWVHRLYGCVDRNDPRPLAPLGHGDPSPFACFRAAAAAEEAVAAAATSGKYNSYPTAAGVTEACSAVAAYLSRYLPYELSTGDIVLTAGCNHAIEIMMAVLAMPGANVLLPRPGYPMYEARAALGGLEFRHYNLLPDKGWEVDIEGVEALADENTVAIVMVNPNNPCGSVYSYKHLTKIAETARKLGIMVICDEIYEHCTFGSKPFVPMGVFGEIAPVVTLGGISKRWRVPGWRLGWIAMTDPKGILRKKKILESIIIYRSISVDPAAIIQGAIPQIIANTDDVFFTNAMNIMREATEICYQKLKCMECITCPHKPEGSMFVMVELDISYFDGIDDDIDFCTKLAKEECVVICPGSGLGMKNWLRVTFAVDPPLLEDGMERLKSFCLRHAKPTRNHVTS >Sspon.07G0003830-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:8490756:8492936:1 gene:Sspon.07G0003830-4D transcript:Sspon.07G0003830-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGKKRTFVLYPSLGVGHLIPMVELAKHLLRHGHGALIAVVNPPDTDAVSAAAVARLAAANPGIAFRLLPVPASPDVGAHPVKRDLDTLQLANPALRDLLRDSLAGAVDALLLDMFCVDALDVAAELGVPAYFFFASAAGDLAVFLNLPYLYPTLPSSFRDMGEALVRCPGMPPIQALDMPWTVRDRESDATKVRMYQWKRIPEGRGVLVNSFDWLEPRALRALGDGVCVPGRPTPRVFCIGPLVNDGSTTGESGERHECLAWLDAQPKRSVVFLCFGSKGAFSAAQLQEIARGLESSGHRFLWVVRSPPEEEGQSPEPDLGRLLPAGFLDRNRDRGMVVKNWVPQAQVVRHEAVGAFVTHCGWNSALEAIVSGLPMICWPLYAEQGLNKVFMVEEMKIAVPLERYEEFVSAEEVEAKVRLVMEAEEGRILRERLAVAREKALGATLEGGSSEVAFAEFLRDLDKSSSITGSIMMLTSVHDHLASFKSFKNSSNATDDGPPSASASAAFSFAIASLSLSFSPSSDSITSLTFFSTSSALTSSSS >Sspon.06G0004900-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:13807169:13818072:1 gene:Sspon.06G0004900-1P transcript:Sspon.06G0004900-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding METAEEAGQEVFTTGEEERIPDAAACSREEGKEEEEEVEVSFDELGLDEQLKRALRKKGIAKATPIQREAIPLILEGKDVVAKAKTGSGKTFAYLLPLLHELLKLSTEGRIRKPAPNAFILVPTRELCQQTVALSGPPNILVSTPACVATCISKGIIRGPSVKESLSMMILDEADLLLSYRCEDDLKALIPHIPRSCQSILMSATSSSDVDKLTKLLLHNPFILTLSEVGRAKDEISCDAKDKMLHILALLKFELIQKKVLIFVNSIDMAFRLRLFLEKAFNARLFDYLIATDDTKTKEEKQNNMDKENKKEPKLSRKREKQTNKENKKESKVSHKHLQQALDAEFGVVRGIDFKNSILTCLWMLLVSQEEDSTFKEIEHMLQDVEKKDMDCISPFPLLTKDAVESLRYRLRSAIYLFADYIFEHILYFRFCPSMQLDASIDCMELIICEDELVFE >Sspon.08G0013330-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:56108450:56109549:1 gene:Sspon.08G0013330-1A transcript:Sspon.08G0013330-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRPFAAIAAAAAAAAAIDVVDAAATDHISLPRDELATQSKVTASRCTTQAEMGTHTFEIVGYSLMKGMGIGNFVQSAIFTVGGHSWAIRFYPDGVTDGTRMFASVALVLMDEGIETFPAHKIVLATRCPVFKAQLYGKMKETKARCPDVFKYLLNFIYTDVLPVFDDDVDDDDYNCGNYTGLCRPA >Sspon.01G0052160-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:20407025:20409595:1 gene:Sspon.01G0052160-1C transcript:Sspon.01G0052160-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMHAQVTAVDWAWWIGLLLGAVPLLALVVWHGNDASHCAFFALKRWRRRARLPPGHMGLPFVGESLWLLWYYKLARRPDGFVHARRRRYYAGAGARAGDVGVYRTHLFGSPTVLVCSPAANKFVLKSSQDGTFGIRWPAPELVGLSCVVNVEGSQHARLRGFILAAINRPGSLRAIAEVVQPRVVAALRSWADKGTISAATEIKKVTFENICKMFVSMDPSPLTDKMDGWFAGLVAGFRAFPLDFPGTAYRHARACRKKLDTVFREEVQRRRRADTTVDANNDLMSGLMEMEDEQGKKLCDDEVVDNIVSLVVAGYESTSNAIMWAAYHLAKSPHALHKLREENDAVSRDKNGGFISLDDIPSMKYTAKVVEETIRVANVAPMVHRVALRDVEYRGNYIKQVVVWLRSLHTDANYYDDPLSFNPDRWDKPPKPGTYQVFGGGPRICAGNMLARLQLTIMLHHLAVGYKWELLNPNAEVTYLPHSKPVDGAACHLAN >Sspon.07G0006090-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:30307660:30310980:1 gene:Sspon.07G0006090-1T transcript:Sspon.07G0006090-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRAQLLLVGLPAFLFFSDLTHIFAPPPPHLRHPPHHHHPHPPHHHHPHPPHHPHPPHHHPHPPHQHQHHPDPSAAIIQEPRVDGAGFGSTVELQFCASCSYKRCLRN >Sspon.08G0005860-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:18388719:18392370:1 gene:Sspon.08G0005860-2C transcript:Sspon.08G0005860-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MYWQVQQTNLEYLLYLDPDRLTWTFRQQAGLPTVGDPYGGWEAPGGQLRGHFTGHYLSASAHMWAATHNSTLRERMTRVVDILYDCQKKMGTGYLSAYAENMFDLYEQLDEAWSPYYTIHKGLLDQYTLASNKKGLDMVVWMTDYFSNRVKNLIQNYTIQRHWEAMNEETGGFNDVIIASYSLQKDQKHLTMAHLFDKPCFLGPLGLHKDDISGLHVNTHLPVLIGAQKRYEVVGDHLYRLQFYAYARHDPKRLVDEIKISSNEETCATYNFLKVSSPTPVSERIAQDTILRLACLQVSRNLFRWTKEAKYADHYERLLINGIMGNQRGTQPGVMLYFLPMGPGRSKSVSGLPPSGLPPKNPGGWGGPNDTFWCCYGTGIESFSKLGDSIYFLEEGETPGLYLIQYIPSTFDWKATGLTVNQQAKPLLSTDPFFKVSLTISAKGDAQLAKVSVRIPSWTSTNGTTAILNGQKLNLTSTGTSTNGGFLTVTKLWADDTLTLQFPITLRTEAIKDDRPEYTSIQAVLFGPHLLAGLTHGKVPVTDSNHSNDGLTPSIWEVNATRAASVADWVTPLPSETLNSQLITLAQTTGGRTLVLSVSIADAKLEMQEHPAPGTDACVHATFRAYGQAGSSSDLQLLQGPNVTIEPFDRPGMAVTNGLLAVGRPGGRDTLFNAVPGLDGAPGSAGLLRDDDGGRGRGRERRHASRLSGNNDGASASDDADAAFRRAASFVRAAPLRRYHPLSFAARGTARNFLLEPLRSLQDEFYTVYFSLVISDAES >Sspon.03G0016220-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:51720852:51726433:-1 gene:Sspon.03G0016220-1A transcript:Sspon.03G0016220-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLGKAMYAVGFWIRETGQALDRLGCRLQGKYFFHEQISRHRTIMNIFDKTPHVHKDAFVAPSASLIGDVQVGPGASIWYGCVLRGDANNIQIGSGTNIQDNSLVHVAKSNLSGKVFPTIIGNNVTVGHSAVLQGCTVEDEAFVGMGATLLDGVVVEKHGMVWGGNPAKFLRKLTDDEIAFIAESAANYSSLSKAHADENAKPLEKIEFEKVLRKKFAHQDEEYDSSIGVTREAPPELTSPNPAQ >Sspon.06G0009550-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:50922766:50926296:1 gene:Sspon.06G0009550-1A transcript:Sspon.06G0009550-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHDLVHDLARLVLADELILGVNRLGNNDASRCRYALFDDCSKKLESYTDSPAMIRAMRFLDCGKIRLHGDGLSSAKYLRVLDLSECFIQELPESVGQLKQLRYLSAPKIQHWIIPNCITKLLKLRYLSLRGSSALLELPDSFRDLEDLILLDLSGCSGLEKLPQTFGQLKKLVHLDLSNCTNVTGVSEALLSLTNLEFLDISYCWNIRELPEHLGSLLKLKYLNMSGCDEIVELPRSFGNLKNLVHLDLSHCCQIIVTPDVFGGLTKLHHLNLSHCACIGGTKVAEAMSNLTELRYLHLSGFMDMMCHGVSAMSTSLEYISTLSNLEHLDLSCNIRLYHLPECITSLGKLHTLDLSGCSSLRSVPETIIQMHSLKLAYVKDCGLYRTWDNEFKVHGDAIKGGSNVALLRALNVTKLKVYGLVEVKSIEGMKTSTVQSLDIESYNSVLFPDWLMGIPAYNFPYLKSISLVDLANCISLPPLGQLPNLMELVLSKMPSVVKIDEAFCGGAVAFPRLESFTLDDMESLKVWTTTYSSGGYMFPSLRKLRINDCPKLRLKPCPPKAEQWIIMGSDGVISSWEESMSDTGASTSSSPPVTKLTIKGCKLPMHQWTLLHHLPALPVLCIKDEQSSEDSWSYSGGLVLVGTSNAKIIEIERYNYIMKANLMGTPAYNFLDLVRISLEDLDNCISLPPLGQLPNLKSLYLRGMQSIEKIEEDFCGAAGAFPGLESFTLSDMPNLKVWNTTYSCSGDVVSGYMFPSLRTLLIHGCPKLRLKPCPPKAEKWTIEESDGVISMWGESTSDTGASTSSSPPVTKLTIRRCKLPMHQWRLLHHLPTLPELWIDNCSDLTISPRINRAQLLDWLGHLTSLKKLYFWSCKNIESLPESIQRLTKLEKLCIAGCSSLQRWCETEENQMKLAHIKEKHGTSKTMIARAATTWKEPRQLVVLKH >Sspon.02G0053430-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:105218429:105227709:-1 gene:Sspon.02G0053430-1C transcript:Sspon.02G0053430-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RTGFLPPYRGIRYHLNDFGNRNHPTNAKELFNLRHSSLRVTVERTFGALKGRFRIVDNKSFHKYKTQVKLVLSCCILHNWIIGFDTDEYVAVEEGFEGELPEEDEQEVPLEEPAMVNVRDEICNAMMPAMSSKAQAGFVPAANMVQAAAAVAQAAIGAAVGGHDVVDLVGLAADADAGAAAAGGQQGPMRWNNNTSGFILRRMAQIVFDGSRTDKTYMDKDVTTVAKALGDYSGLVVSQTQVYNHLRKWKQKWAKIAKLKDLSGAIFDSDVNVIMLEQDHYLGHCKDHPKDAEFLNTPIKFYTEMEFIFGSTLATSRFALGSSDPLGVNNADSVAAKLEGQDFSCLAFEAKPTFEFGEGSKATPPVSTTAGMKRKRVNFSEEILMMTNMTDAVNNVANAMLKTGAAHVDPDLYLAVMEMPEFSTKALIVAYTHLLENKAVATGFLIISTPIGLAMAPCYVVFEGLKPRIYTSWYECSVYVLRVEGARYQKYKNYENALHDYNDAFKKGQVRQIQAKTMPEQVPLELTPRNEGCAFSHRTLDPAPLARLLLAPAPSCAPPMPLAPHSSHSPVPAPHPHLAPRFGCAPRCPLPLVARTSCQAEPRAVLLVLDGDGARSPPNPTRMTRIDRPQPSSPLYCKCMFQVFQSFSLQRWHDQFSTLGAAVSTDLITIFAAPMPIRAAAAFSFRPLQAAPTSPCRVYDGHRRAPIGFRQPGGYRCASVHAGAGGCESLPGPLSASTAPEESAQDVVAYEIEPPYSSSWWSLSPLPSLDEGGTIFFILLVLRSPCSRDEGVCSLFLEGDGGSGSVVLTEHPSPTCGFLVGKGVMDTRTPTRFCRTYDNGQQYGYSDNQCKQKTREDLLGLESPLEAAATVLLIGTPASILAIDVHRIATPALCRGRRCPPQEPGLLLSGDLGLVNPASAPIPLPRRPQVGAAGSAL >Sspon.02G0027560-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:100087325:100090488:-1 gene:Sspon.02G0027560-1A transcript:Sspon.02G0027560-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSFKAQGARLVEASLEDHAALVAAVAQADVVISAMSGVHFRSHNLSLQHKLVEAIKEAGNIKCFIPSEFGMDPSKMGHALEPGRVSFDEKMDLRRAIEDANIPHTYVSANCFAGYFCPNLCQMRTLLPPKEKVHVYGDGNVKVIFCDEDDVATYTIKSVDDPRAVNKTIYLRPHENILTQNDVIAKWEKLSGNVLEKIHIPADEFLASMKDTDFANQVGVGHYYHIFYEGCLTNFEIGEDGAEATLLYPEVQYTRMDEYMKIYL >Sspon.06G0003280-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:8262522:8267087:-1 gene:Sspon.06G0003280-2B transcript:Sspon.06G0003280-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSGDPPGSADEKKAPKSEGSSDERQGVPPAGFSNPFDFASMQSLLNDGVPPAGFSNPFDFASMQSLLNDPSIKEMADQIAKDPAFNRMAEQLQKSAHSTGEQGMPPLDPQQYMETMQKVMENPQFMTMAERLGNALMQDPAMSSMLETFSSPSHKEQLEERMSRIKEDPAMKSILDELENGGPSAMMKYWNDPDTLQKIGEAMGASFPFGAGSSAEPSATEETEEEGGDDDESIVHHTASVGDEEGLKKALDGGADKDEEDSEGRRALHFACGYGELKCAQILLEAGAAVDALDKNKNTPLHYAAGYGRKECVDLLLQHGAAVTLQNLDGKTPIDVAKLNNQDEVLKLLEKDAFL >Sspon.05G0038070-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:5D:31815624:31816139:1 gene:Sspon.05G0038070-1D transcript:Sspon.05G0038070-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSSAAGATRPSLDHFSSAAVALRFARPSWKMRLRRSSPSSSPVAATARSSTAASDEKGPCPARTERRWKPEPDRLARAPARVSTAAISLPCAAVPPFERTVPAARCAVLLSCRAEPLYGLSRALQTLSVWAAKLGWTPTTVSALSVTWRRTKISELYTFRRLILYVKLR >Sspon.02G0043340-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2B:91149926:91159675:1 gene:Sspon.02G0043340-1B transcript:Sspon.02G0043340-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDRKRLWIFKYSGHCNVFSRWLNFCVINSRGGAKRFLYHFCPTFVYCRDIEVFSTIFTSSCNMVATTAYIFECEESTYSSRVQKCFFYEDAGIIREYDHGSTGAYNMAVATNNCGNIFSLFVQCLKIFCLSKYCICGSAINQAKFFFSLH >Sspon.03G0007790-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:21105532:21107298:1 gene:Sspon.03G0007790-1A transcript:Sspon.03G0007790-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQERLITYLFCDGCPQMPLAYMLYVSLSSAWEALRHIRQAVDFLVISLKPMRTLREIRTDVCPALSIQQLERIVSMYWDDVNGTNTISAEFTSSLKSAVREESNMATNFSILLDDDSSIPFSLDDITKTLPVIEVADDDFLPFVHENPSFAFIERIIYLALKPIVFVFVLVDILRLEQLVVHLMSEVPTCLHYVPPPA >Sspon.01G0056760-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:92349908:92353226:1 gene:Sspon.01G0056760-1C transcript:Sspon.01G0056760-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPASLPLSVSCAPGSSRPLAASPGPSVAAAASSQLPASSRSSERRRVPGLALVAAPGVGGRKGGGRWRAGISSFSSFLPPFFTGNKGEKDAEKAVRLKEELLDAIAPLDRGAEATPEDKERVEQVRTKKLRGTARALVDGRAYSRPVFLLQIVQQLEAVNQVKEPLKSDLLNGKWELLYTTSTSILQPQVTANLVPLNARRVAVKFDYFKIFSLIPIKAPGSGKGELEITYLDEELRVSRGDKGNLFVLKMVDPTYRVPL >Sspon.01G0046370-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:97084353:97089613:1 gene:Sspon.01G0046370-1B transcript:Sspon.01G0046370-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding EGPRVGEAPVRVLQGGEAPGHRLHPLHRQPQAQAAPGLLHHRRSRRLVRPPAAATARQRFRLRRSVHGVFEGGEARDVYEVQLAAGFSCPAQEWRKIM >Sspon.07G0010110-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:28439396:28444516:1 gene:Sspon.07G0010110-2B transcript:Sspon.07G0010110-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSSHATTAGAATAAVGTFSQSARSSSRRLPASSVSPRTPPPPSSLRLDHAAAPSLSPAAIVPAPDGLLAAAIEHLEREPASVAADEAPLAALSPRELQLVLVYFAQEGRDAYCALEVFDWLRRANRVDGETMELMAAIACGWIERLVGAGGDVADVAALLGEMDCVGLRPGFSLVEKAVALYWDRGERELAVEFVRDVLRRGGLGAGAGGEHSSANGDSERGGPVGYLAWKMMMDGDYRNAVKLVIEFKGTGLKPEVYSYLIGLTALVKEQKEFSKALRRLNSSVKDGSISELDAESMHSIEKYQSDLLSDGVLLSNWAVQEGSSEVLGLVHERLLSLYTCAGCGLEAEHQLWEMKLLGREPDTQLYDVVLAICASQGEAAAVRRLLAGVESTSAGRRKKSMSWLLRGYVKGGFILDASETLIQMLDMGLFPDYLDRAAVLTALRRNIQESGSLESYLKLCKRLSETDLIGPCIVYLYVRKFKLWMAHML >Sspon.01G0053280-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1C:34931707:34931905:1 gene:Sspon.01G0053280-1C transcript:Sspon.01G0053280-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPGMVETAAIGGWMRPNVVQTDGAATAGKKQVRTARWRHQIQVGGAEELGRWRPVAVKTSGAGV >Sspon.05G0025000-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:27344398:27347421:1 gene:Sspon.05G0025000-1P transcript:Sspon.05G0025000-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPPRPRPRRAHREGGDASIFLFLNTDLGTHLALLVPRDTAIGALKCTPLALLLPVSSYGRCPSELIPQVAAEHAAAFPDLGPVTVKSFQVRRKGVLYHLCDSVTVTSAFTKIKRGCFLHVNMAATAATHCCQDAPATDDRRVSDVCLGIHDTLHEESIQLENPSTVGKKKKRKRCRLSPSNSASAQETTEPSATAVDLLKPTGEVLPHNSLGMQIDNIHAMDNLSQEKEHKKSRTSSADTSSRHLLETDPTSLREPLNTSNHQVHDTLHQESIQLENPSAAGKKKTKKKKKRELASSKSASAQITTEPSSGASPGDLSKSTELNDRNQGGKRVQFVSNAQTSSDLISEQEKFDHALKGCRDPPIKDAIYCTGENVAIEGKTTKGSCAPLDGGDKHEEINNTMRDVIMKVYLKQATRRKMAKRQTDQNTSQEKKRKKSKVGSVDTPSVDATVEKDHGHSENATKQDTVSTKREIVHEPSMQQMSNIVYQGDANVIENPSVDGKKKKNRRCHSESLKGMNPSQDLTKSSGFVTNESSIQCIDAAPIDAKQTTPGIIEGETVTEHKKLSETLDVAATN >Sspon.03G0007470-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:25390183:25393918:-1 gene:Sspon.03G0007470-2D transcript:Sspon.03G0007470-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARQRLREARRWARAGTVLRDLQERCAAPAERLRLVADAMAAEMRAGLASNDSEGESGSSVLLKMLVTYVDSLPSGGEKGLFYALDLGGTNFRVLRIQFGGKEQRIVKQESKGVSIPQHLMSRGSNELFDFIAAALAKFVASEGEGFHLPEGMQRQLGFTFSFPVKQNSVASGTLIKWTKGFAIDEMVSSCSVLYVIEDSNLRIWACFKCSTNAMLHPIENVGKDVVAELNKAIKRQGIDMKVTALVNDTVGTLAAGRYVDNDTVIAVILGTGTNAAYIEHMNSIPKWCGPPPESGEMVINMEWGNFRSSHLPLTEFDVALDAESLNPGEQIYEKLISGMYMGEIVRRVLLKMSQDASLFADNVPPKLEIPHILRTYHVLMMHQDTSPDLRTVGINLKEIFGIENTCGKTRKLVVDVCEVVATRSARLAAAGIHGILKKLGRDIANPDKQKTVIAVDGGVFKYYTFFAQCMESTLRDLLGEEVATSVVIKLTEDGSGTGAALLAASYSQRLQA >Sspon.04G0022830-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:9103011:9107146:1 gene:Sspon.04G0022830-1B transcript:Sspon.04G0022830-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTANRYQHIKSTKPVVGKARKLKDLMIKSDNRICADCGAPDPKWASANIGVFLCLKCGDVHRALGPDISKVLSVTLDDWSDSDIDSMLEVGGNSYANSIYEAFLPKDHPKPKPDSTMEYRTKFIRAKYETQDFLKPSLRISSRSSFKSTTSVKSVDSNFSSTSRKDVSEDTREFVGELNITVVKGTNLAVRDMLTSDPYVILTLGGQVYFYNKAQSTVKKSDLNPVWNEVLKISVPRNYGPLKLEVYDHDMFSADDIMGEAEIDLQPMITAAMAFGDTSRLGDMQIGRWFMTKDNALMKDSTVNVVAGKVKQEVYLKLQNVESGELELELEWVPIL >Sspon.03G0010810-2P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3C:45989548:45992711:1 gene:Sspon.03G0010810-2P transcript:Sspon.03G0010810-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LLPTENNRRMKAIDRETKTILRGIIEKRHEAMKNGEPTRDDLLGLLLESNMNYTDSDGKSSRGITLEEVIEECKLFYFAGTETTAVLLTWTIVVLSMHPEWQDQARDEVLEVFGQNNPDLSGVSRLKVVTMVLYEVLRLYPPAFFINRRTHKQTELGGVTYPPDVMVVVPIMFIHRDPALWGHDAGEFNPRRFAEGVSKACSDPGAFIPFSWGPRICIGQNFALLEAKLTICMILQRFAFELSPAYVHAPYNVLTLHPQHGVLVPDDRDGSHTRILCKEASSLMTRLSTQCGALRRSTTMEKVKHADGLGVVKDGCGDLDRGTEGRRIDRGWLVFTKTSVDQVKREREDLMINGQGQVVTHVEIMRKRSGLADTRLHLGLAVSALKPWAANFRVLHQGQVQGLFVLIFEQLEASSCFDDHGRQLLSYGSSLIFCKQPEKAS >Sspon.06G0003250-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6C:8737953:8738750:-1 gene:Sspon.06G0003250-2C transcript:Sspon.06G0003250-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRRDVCTTFWCGFIPYVLRELSGYGVIFPRGFRNLRALRTLSTVNIAVGKHELKDIKRLTQLRRLGVTGINKKNSQDFCSTLDHLSGLESLSMHSAAEPGLHGCLDGVSSPPKNLQSLKLTGSLIKLPEWIEGLHNLVKLKLEKTKLSELDATIRVLGKLRNLVILRLLEDSFKGEDLGLTFHREAFPSLMVLQLEEVYFKSVEFEEGATPKLELLLLGYYCPRSVSGLSFLPRLKEVTNVGPLLREIVQEQLSRNPNNPVLTR >Sspon.02G0011790-3P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6C:3308999:3316053:1 gene:Sspon.02G0011790-3P transcript:Sspon.02G0011790-3P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSGAVGAQLSYLLPTGSPSNVRPPRCNCCQHCSPIASTIPPRSSSGCQRSHPTYNVTVPPAGGGGLGEIGDDSLALQGEKTTRSEGQGQSIWAVHNPSGSPAAMMAGRAHGHRNRLRRLIPRLLLLVFAVYAASFAIYLLLQSPQSESHHQSPPDPTPRTEARDGVGAPPSSQKPWPRLPSFLPWVSSAAPPHTCEAYFGNGFSRRVDVLPAGHGGGGGGWFRCHHSETLGSSICEGARVRLDPALIAMSRGGEPLEQVMGRAEEEELPKYEPGALQVEGPAAGRTAPLVDAGFLNDYVPTGGIGMHTMRALLESARVVPPGELHCSQWVEEPTLLVTRFEYANLFHTITDWYSAYVSSRVTNLPNRPNVIFVDGHCKAQLEETWEALFSSVTYAKNFSGPVCFRHAILSPLGYETAMFKGLSESFSCEGASAESLREKPDYEKTARLSEFGEMIVASFGLLQDDIMSSKNQMDSMFSLFGEKTTWPTHATVWAQGLKCKVNVVNGLFAHMTMKEQLRAILEASVVIGAHGAGLTHLVSATPDTKVLEIISSMYRRPHFALISHWKSLEYHAINLPGSYARITDVISELRKILEGLGC >Sspon.03G0018080-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:79740771:79741996:-1 gene:Sspon.03G0018080-2B transcript:Sspon.03G0018080-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQHWHFSVISGRVWEKLPRGTEWARSEEMKYDTAGNSAVGDIKPFDDPHVLYATAIVSASSTSCSAALDLSAAAASASSRKIQEEDEEEERRKREARNRSKMQDWAPVFISLVLFILLSPGLLFQIPGKCRVIEFGNFQTSAISILVHAILFFALAAIFLIAVGVHMYLGS >Sspon.01G0051810-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:18254122:18255976:1 gene:Sspon.01G0051810-1C transcript:Sspon.01G0051810-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFEDRCHKVQEPKFDCLLFDLDDTLYPLSSGIAGHVKKNIEDYMVEKLGIDESKMRTWAICCTRTTAPRWLASGYAIGYSFDYDEYHAFVHGRLPYDNIKPDPVLKHILKNLRVRKLIFTNGDMVHAVRALERLGLEDCFEGIICFETLNPPCPPQGDQEPEIFDIAGHFARSGTADELPKTPSCASPTSTPWRRRSGSPTSTPTRRVRNIQVGKQIGLHTVLVGKSQRVKGADHALESIHNIREALPELWEEAEKAKEDVLYAERVAMETSVT >Sspon.04G0018740-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:70176619:70183570:1 gene:Sspon.04G0018740-2B transcript:Sspon.04G0018740-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RNDGGQAEYTCPNCYVEEVKRGLRKPLPQSAVLGAKDLPRTVLSDHIEDRLFKRLKQEKQDRAAAAGKNIDEIPGAEGLVVRVVSSVDKKLEVKPRFLEIFQEDNYPTEFPYKSKLALVLGLTCPRCVQIGYLEYCKLRGFTSCYIWACPPLKGEDYILYCHPEIQKTPKSDKLREWYLSMLRKASKEEIVVELTNLYDHFFITMGECKAKVTASRLPYFDGDYWPGAAEDMINQLRQEEDDRKLQKKSKTKKIITKRALKAAGHTDLSGNASKDAMLMQKLGETIYPMKEDFIMVHLQCYDAEQQLEDRERHPSNSRDTHMLHPVDIIGLPKDTKDRDDILESEFFDTRQAFLSLCQGNHYQYDTLRRAKHSSMMVLYHLHNPTAPAFVTTCNVCSHDIETGQGWRCEICPDFDVCNACFQKGAVTHPHKLTNHPSAADRDAQNAEARQMRVQQYMRQHAALGVASILTAEKSRDYSVMGCSAKRVLQEGVSFARKCGTCFNSMPELAKIQIAMCRGAGGPIFVKV >Sspon.01G0045530-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1B:90121010:90122476:-1 gene:Sspon.01G0045530-1B transcript:Sspon.01G0045530-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHFLRVDPAAAVSALSSPRSFSSNSSFSDDDGYSSSSFQGSASSSPSRLYSPPKSPWARLPGLGGDDATATGLIASLVKEDGKVYSLAAAGDVLYTGTDSETVRVWRDRRELAGFRTGSGLVKAIVVAADGRIFTGHQDGKVRVWRADADDARDPAVVHRRVGSLPPLGDLLVSSVNPSSYVRSPRGGGGRGRGRQRRAVWLRHSDAVSSLSLDEGAGVLYSASWDRTFKVWRVSDYRCLESVPAHDDAVNTVAAAGFSGLMLTGSADGTVKVWRREAEAAGDRTRHVLERVLREGGDGAVTAIAACPEARAVYVGSSDGLVTCWRWGLEDVDDGGPRLAGVLAGHETGVLCLAVSGRVVVSGSADGTLCVWRRDDDDERAGHARLAVLAGHTGPVKCVAVAADDDDGYDADGERRFVVYSGSLDGSVKVWRLSEERALEPPPAVEATPAPLMAALRESQEWMPRPRTAQLPSPVQAWAPELKGVAAA >Sspon.03G0002020-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3A:14562701:14563869:-1 gene:Sspon.03G0002020-1A transcript:Sspon.03G0002020-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RTAYSRTKLYHRSVHLGGFSKVRTTLQAMNQNGILLLNSFLLHRFCPTFLRRSSSRLCTSDRFEAVLFTASATDATATHARTRAPVRQPRLSVHDLGTSRRSGPRTHTRRVPMEVEADGTQEATAGAGDGGVHDVFFCVAATSRGNRNNISCFHTNAVGKDAKSALELAELCLGHAPEHHRWHHHTVDGARTFAFLSADDGCTYFAAADPTPGAAEVVRFLERVRDACDAAPRKRLRDEAVAPVARQFARTLLRTAAGSSSGVADAELPGASLAPACEKDEDHQRAGERRRALQPGESALPGWRSWWRHAAVVIVVDVVLCLVLFAVWMG >Sspon.02G0033940-3D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2D:7371346:7372107:-1 gene:Sspon.02G0033940-3D transcript:Sspon.02G0033940-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLGEELERLRANLAAAKNRLASTAAEIPLLKSQIESTKGAIATRQEAAVRKKAAAEDLRRHVDGARAELRRLRAEVAASRGAKDALEQRVLVRRQAARALQLAERAIAAEAHALAWSEAAASELTARARGDGDGDVDDEEDPHYDVVALPARKLEELRRLVEAEERKAEARVEEAEAARRAVKARRAAAVARLDAARAKRRVAAEAVLRRRANGDDGRGTRARSALVPKSRSGRSCFEVKKLRRFLCNLTKD >Sspon.08G0023050-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:52627035:52629480:-1 gene:Sspon.08G0023050-1B transcript:Sspon.08G0023050-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MACFRSKLWWMSQRMGDKGGDVPHETQFLLVESRGAGGGGEDAAYVVFLPLVEGAFRASLQGGAGDELELCVESGDADTRAASFERALFVGAAESDPFAAIAGAVAAVKSAIKTFRVRAEKKLPGIVDYFGWCTWDAFYQDVTQEGVEAGLRSLVAGGAPPKFVIIDDGWQSVGTDQPNPDDPAGEAKQPRLPRLTGIRENSKFQSHDDPAAGIHTVVRAAKEEYGLKYVFVWHAITGYWGGVRPGAAGTEQYLSSMQFPKISPGVAENDPGMKTDWITAQGVGLMHPRAVYRFYDDQHAYLAAAGVDGVKVDEQCILETLGAGHGGRAQLTRQYHQALDASVAKNFPENGIIACMSHNTDALYWYAPSIVLTANSKQTAVVRASDDFFPRDPASHTVHIAAVAYNSVFLGEFMLPDWDMFHSLHPAGEYHGSARAISGGPVYVSDAPGKHDFELLKKIVLPDGSVLRARLPGRPTKDCLFTDPARDGVSLLKIWNMNKFTGVLGVYNCQGAAWSFAEKKTMFHPAGADALTCGIRGSDVHLISEAATDADWNGDCAVYRHARGDLVVLPVGVALPVSLKVLEQDILTVSPVKELAPGFRFAPIGLVDMFNSGAAVEGLTYHLLDGAKLLGDDGSASSSDATGLVCVEVRGCGRFGAYSSVRPRRCLLGSAQLEFTYDSSSGLVVLQLEAMPKERVHRIVIEL >Sspon.07G0019470-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:76302106:76312045:-1 gene:Sspon.07G0019470-2B transcript:Sspon.07G0019470-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAVAAAAEARERLRASFASGRTRAAAWREAQLRGLLRMAAEREADICAALRADLAKPQTESYVHEISLVTTSCKFALKNLKKWMKPQKVPGGLLTFPSAARVAAEPLGVVLVVSAWNYPFLLAIDPVVGAIAAGNAVVLKPSEVAPATSSLLADLLPRYVDASCVRVVQGGIPETTALLELKWDKIFYTGNSKVGRIVMSYAAKHLTPVVLELGGKCPVVVDSNVNLHVAAKRIAAGKWGCNSGQACISPDYIITTKSFAPKLLESLKKVLRKFYGEDPLRSPDLSRIVNSNHFNRLRALMDDETVAGKIAFGGQSDEQQLRIAPTLLLDVPLDSAIMKEEIFGPLLPIITLTNPHLPFGGVGESGMGAYHGAFSFDAFTHRKAVLDRSSFLGEARVRYPPYTPAKLSILRGVLKGNPLAMVQAAVGCTGRRA >Sspon.01G0012300-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:32806585:32810098:-1 gene:Sspon.01G0012300-2C transcript:Sspon.01G0012300-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSQAELLEKLKSCKRDTLIELCRSFDVIGSRANRKEELVLFLMEFIKDHCSGDGINSDKKFKKRRRVKEDENLSTGKPSKKKKREGEEEADEGKGVEDRGKHSDCDLMDNKYSCADSKNGFPNEQTNFEPSERINDSVSENLDGASLSEAPILTDEQVIITTPPTKFVTAAAGDSTDVKALKRKMSYITKKKATPKEDCKVKLCGKQESKGDTKPRKQAIKPSKDELREAVFLILDTADFATMTFGDVVKEVDKYFGKDLFERKPLIRCLIEEELFRLADEAEKELEESEAAEAKARAEQAAKEMAQVQTVESGINRQNVLQAGRDSNTKGSLKNANDSTNKTYWWWGFCGVCFQEKQLFRRPQADTDAKNKNITKDGNGEKVALAPIANSDCTSQLQDSNNVEAEMMKNNDVETLEGSKDGNVKGASNGENDTEDGRNEKNKSGTVGSNAEAVNCCEAEESVNHGNNERVEHREDDKAHEANHNENSANVEIHGDGDGEAKESDINAEQSQADGGSNNKAEDAEHNENTKVDDANSSKNGTAENRKTDVDVKGNSDGTAEGSPA >Sspon.01G0061570-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:94705397:94706106:1 gene:Sspon.01G0061570-1D transcript:Sspon.01G0061570-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVRVGEDRGSFHRGLPDPVRLPPPSDSSPMHAMIRIMTEGVQTALSSCISVDSGGLRVPSLKRVPTRGPPLVLASLEQLETSRHLGQENERTSVSRERDICSRARAPEADPVIERRQTSMPPALVPVVEREVGNPPALPPPSRVQEVRS >Sspon.06G0016850-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:75715131:75718982:1 gene:Sspon.06G0016850-1P transcript:Sspon.06G0016850-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MELAVGASESAMRSLIGKLGSLLAQEYTLISGVRSEIQYMNNELASMHAFLRKLGRAAAAGATHDEQTKDWIKQVRDVAYDIEDCVDDFAHRLGRQPRGEGLLVTLRQAWYTMTTLGARRDIASKIIDLKNRAHEVGERRTRYGVKDPNDDPRPIKSKSNKQSGPVRQYATDHLQPLAPQLIGTMEPVGQEDAIAERGRWLTESEGDIRILTIVGFGGLGKTTMALALQRRFGEKFDSRAWVQASQKLNLQSLLRGILEQVMPRQDTERGGGTGTSEDRADVIESWGVRQLKDKLEAHLGQKRYFIFIDDVWSVTSWKNIWESLPRNQKGSSIVVTTRFKSVANACCHNKEHIYMLEPLPHAASTQLFFKTVTDPKPEEFKETKDEIIQKCGGLPLAIVAVAGLLARRDLTEKSQWQTLPKLFSLTFSINANGNDSSVVEILQKNKMDSGGKIFVPAGGFVSLKLLRLSAPVIPLLSFLEGAMPELQRLELQFMLSEGPHMKSVAVQQKTGRAGANLESSPLSRRSWTERETGERKRRRTEVQQRQRRAGGYSREHQQQPPCVPHPILHPPFCTTIDPGRREVN >Sspon.02G0021700-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:72665694:72666041:1 gene:Sspon.02G0021700-1A transcript:Sspon.02G0021700-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPAKLAAFLAVAVVVCAVAAAPATADYSGGAPAPESCQTQITYFTNCLARSEIRGQCCSVVESRKCLCQLKREVALPCSLHRHHERKCSKEEQAPPSVKLAELQRLPCFKTLKC >Sspon.02G0002350-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:6749554:6753798:1 gene:Sspon.02G0002350-2B transcript:Sspon.02G0002350-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSSRGAPAAAAADDNESYLLGFLVSRIVGMRYYHGKISGRETVGLVREPLNRYDSNAIAVFNARNEQVGHLPGTLAKVLAPLLDSHLIAVAQGIVPRSGSKINPNAYNLPCQVHLFARPAAAAVVEAALHEAGLDLIHADHPEFALSQAAVVMERTKKGDRDVDKLFSLVGKKEGKNQIQPMDPPGDVVLSELFDHQKEALGWMVHREESADLPPFWQECEDGGFENVLTNQKTENRPPPLKGGIFADDMGLGKTLTLLSLIGRTKARNVGVKKARGGKRRKVEDAGEGSRSTLVVCPPSVFSSWVTQLEEHIKAGSLKVYMYHGERTRDKKELLKYDLVLTTYSILGTEFGQEDSPVKEVEWFRVILDEAHVIKNSAARQTKAAIALNAERRWVVTGTPIQNSSFDLYPLMAFLRFQPFSIKSYWQNLIQRPLEKGNKTGLSRLQNLLGAISLRRIKEINIGTKSMVDLPSKTVLACHIDLSAEEREYYDQMEQEGKNKMQEFGDRDSILSNYSTVLYFILRLRQLCDDVALCPLDMKAWFPANSIEDVSKNPELLKKLASLVDDGDDFDCPICLCPPTKTIITSCTHIYCQTCILKILKSSSSRCPICRRTLSKEDLFLAPEVKHPDEDGSSNLEPDRPLSSKVQALLKLLKASQDEDPLSKSVVFSQFKQMLILLEAPLRKAGFKTLRLDGSMSARKRLQVIQEFTHGGPDSPTVLLASLKAAGAGVNLTAASTVYLFDPWWNPGVEEQAMDRVHRIGQKKEVKVIRLVVKDSIEERILALQERKKRLISSAFGKKGGKDDKEMRVEELRMMLGLDK >Sspon.03G0001350-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3B:12065925:12073288:1 gene:Sspon.03G0001350-2B transcript:Sspon.03G0001350-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DFHGAVPSFKVQQVDTTGAGDAFVGALLRRIVKDPSSLQDEKKLVESIKFANACGAITTTKKGAIPSLPTEAEVRFVAEISSVFLAGHLSSIASATATHNHNGPTAALRIVAEVGPYSARCVGLVVVPPEEATQILGKAIISVPAARLPGVDARRWFLPRGTVVGLWEVGSGNVRSFVGCATAPEGDLPVPVEELNAKSLATRTLIQAGMLSLIGLAPGCNLAPRPARLRATRQTRIAPRPLRLTPRAGPTSCCAPRPCLASHAIRASRCPPPRHINEPSLDDNNKCFMSQMFHEQAREVGAAEVVPLGAAVPVCIEREVGAVAPTCMRSSRRGGASMPGGSSARLSSGYGVSGFASLRLRHLIVCGSDGQSGGSRFKRNLAEPRLRMPSVVGWSAVTAASRLTRRLHAEPWSHGVEARYCERVCHLMSA >Sspon.02G0011830-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:31600408:31601653:1 gene:Sspon.02G0011830-1A transcript:Sspon.02G0011830-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAEVQTPTVVATEEAPVVETPAPAVVPEEAAPAPAEAEPAAVPEEAAPAEAKVEEPAAPAEPEPAAAEPEAEPAAAEPEAAPAEEEAPKEAEPAAVEEVKEEEVAAPAAETEPAAAEPEAAAPAASEEPAAAEPAAAEPEKASE >Sspon.07G0008820-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:22775592:22777737:1 gene:Sspon.07G0008820-2B transcript:Sspon.07G0008820-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQNNPSQLLPSELIDRCIGSKIWVIMKGDKELVGTLCGFDVYVNMVLEDVTEYEYTAEGRRITKLDQILLNGNNIAIVMDYEVT >Sspon.02G0058270-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2D:71998034:71998405:1 gene:Sspon.02G0058270-1D transcript:Sspon.02G0058270-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding APVYAQWAKKFPIAVFLEEVADFYKIDGMPTFVFIKNGETVETIEGPEKDEILDAIKNYIGTPHSCLGVCLRRV >Sspon.08G0008210-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:29978451:29983698:-1 gene:Sspon.08G0008210-1A transcript:Sspon.08G0008210-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEPPPAAAAAVAAALLKCRGNGGGGVPTLEAFGKAGRRRGEEEEEPLRRGLAAAQARARARRKAGHATPSPSWKLEPSPPPPRPEDEALAEADSGAGRRGAPAASARQLGATLWEIQDVIRAAGASRRIRRRGRRAPAADDANADADRPRSSGGFGAQIAASVMEHEKLHEERCHSRQPHSPASYTSSVGATTINLISPTRSLDCNARFRQPGNDIKTSTELLKVLNRIWSLEEQHAADVSAMKGLKRELHHAQACIQELLQERQRYHHEIDSLARQVTEDKMARRSKDQEKMRATLGSLHEELEDERRLRKHSETLHRKLGKELSEMKSAFCKAVKALEKEKKTTCLLEDLCDEFAKGIRNYEEEVRLLKQKHVKEYEHKFDKSVVHISEAWLDERMQMHKTKMREDLSGKTSITERLSTEIEGFLHHAKRLGNSQNVNLDNGSEKRDASLCRQSLESVHLNGATSAPQLAEDDDGSSIASDLHCFELNMHGGVIRNHDLAGTRRRVTGCMHSPMRRLEYSNGISVEGSPMSNAPPCPKKEKTRSSISRQQFITSTPEISSRNDASLAPADEQNETVMTQVSRRLRDDLLKIKSEAPQHAYLGQKSNQPRTNQFHESTSRDLCDVRSPARHLNNPAQSLGYEISEPPAHQLIGTKENTLKAKLLQARLEGQHARLSASVFPLISTRRK >Sspon.03G0032800-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:12967048:12969948:-1 gene:Sspon.03G0032800-2D transcript:Sspon.03G0032800-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVVEMKENGGVALAAGEKAPQLGVKRGEPTLVPPAEATPTGEQYYLSNLDQNIAVIVQTVYCYKPSPPSPSVGGKDVDVAAALRDALARVLVHYHPLAGRLGISPEMKLTVELTGEGVVFVEADAACDLAALVYSVPGAKHILEMPPMTAQARDPPVHTFPHHEFAEIPDVSDTAALYGSQELLYRSFCFDPDRLERVRGLALADGALGRCTTFEALSGLVWRARTKALGLAPEQRTKLLFAVDGRRRFSPPLPRGYFGNGIVLTNALATAGELLSAPVSRAAGLVQEAVRMVTDDYMRSAVDYFEATRARPSLASTLLITTWSRLEFHGADFGWGEPVMSGPVTLPEKEVILFLAHGKERKSINVLLGLPATAMDAFQELMDEI >Sspon.01G0006270-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:16873820:16875822:1 gene:Sspon.01G0006270-2B transcript:Sspon.01G0006270-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKIARAFPSPSVRWTWLLPPPRWPRTTSSSINGKKNQSSISPQSQQSLSDNTPYPRCLDAGSMVHAHPATLPPRPPTAAAKSPCSDLRGAAHLDAAGLDDEAEQKREAMMTASTAACVLAVWVLVAAGLSKPQREFDYFALSLQWLLPVCAPHRRPCHDEWLPFPILSSRSNAESLLLPRPIDHSEPLQTFMICNRQAMARLRRRDLARWPSCCRHTQFDMGKGASATLLSSSTAAFYCTSLLFLCKDLLSSDLNSLAEDNKKKGVSANCCCGGPLPVEYISADLL >Sspon.02G0050950-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:69822909:69823259:-1 gene:Sspon.02G0050950-1C transcript:Sspon.02G0050950-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATRSSKSSSSSSSPRQLVAAALLVAVLVAASVLVAAEAVCDMTNEQFMSCQPAAAKTTDPPPAPSQACCDALAGADLKCLCGYKNSPWMSVYNIDPQRAMELPAKCGLATPPNC >Sspon.01G0017150-4D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:58782424:58783856:1 gene:Sspon.01G0017150-4D transcript:Sspon.01G0017150-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADESWRVPTPVQELAAGVVEPPSQFVLQEQDRPESLLLATNLPEPIPVIDLSRLAAAEEASKLRSALQTWGLFLVTNHGIEASLMDHVMAASRDFFHQPLHEKQKFSNLIGGKQFQMEGYGNDMVASQDQILDWQDRLQLRVEPEDERNLAYWPKHPDSFRDLLHEYASKTKKVRDNVLRAMGKILELGEDYFISQIGEKSPAIARFNYYPPCPRPELVFGIKPHSDGGAVTILLVDNDVGGLQVQKDGIWYTVPSKPHTLVINLGDSMEIMNNGIFKSPVHRVVTNAERERLSLAMFYGVEGQRVLEPAAGLLGQERPARYRKIKAADYIIGLRQGIAKGSRFIETLKI >Sspon.01G0019460-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1A:72855676:72858623:-1 gene:Sspon.01G0019460-1A transcript:Sspon.01G0019460-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLPAFREACSSGEHPASYIDNPSRRPSQARTATSPFPSRAGGIRHTTCSSKSSPPAAGVAPRASGA >Sspon.04G0007380-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:20654246:20665959:-1 gene:Sspon.04G0007380-3C transcript:Sspon.04G0007380-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTSSGANFHQQPPPTQGMLPPRHGPRPSGLQTSLSLASSEQVGSPDMQEPGSNSDPGHDSATESASSKDTWPAEPNQSNGGVAASGVVSKAAEKEKEVANGVSKLQVIRGPSSRVGGMLLREVARERVDLVAEKMKVMPEEHLEEVKNELRSILEGTGGSHHIEEFLYLQKLVQDRDDLTPSMLSVAHHVQLEILVAIKTGIQAFLHPSVTIPHNRLVEVFLYKRCRNIACQSALPAEECRCNVCASRNGFCNLCMCVICNKFDFEVNTCRWIGCDFCSHWTHTDCAIHNGQIGMGQSVKSSIGHAEMLFRCRACQRTSELLGWVKDVFQQCAPGWDRDALLHELEFVCKIFRLSEDAKGRVLFRKCLDLIERLRNAPADSINPRVILQALQELEMDSPKMSENEDVGHLITPQEACNRIAEVVQEAVRKMELVAEEKMRLYKKARLAVEACDRELEEKVREAQELKAERLRKKQQVEELESIVRLKQAEAEMFQLKANEARQEAERLQSIALTKSKTAEQDYASMYLKRRLEEAEAEKQFLFEKIKLQENQRPAVGVGSSSGAGGDSAQTMMLSKIQDLLKNVRSMPAKSEGCYVTTEAHVTP >Sspon.04G0008440-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:25270600:25273903:-1 gene:Sspon.04G0008440-3D transcript:Sspon.04G0008440-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatidyl-N-methylethanolamine N-methyltransferase [Source:Projected from Arabidopsis thaliana (AT1G80860) UniProtKB/Swiss-Prot;Acc:Q9SAH5] MAAAAAAVGVLLPFPFYCALWTHPQRWVDLCGRGADPCRRMAQVSHAIKALQLLALASVASFSWPPPLYCPVLLAVGQYLNFKVYQLLGESGTYYGVRFGKTIPWVTEFPFGYIKDPQYVGSMLSLVALLCWVPLQYVLLWCLGYVFMMWVEHKEDPATRAK >Sspon.04G0009050-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:22484634:22487413:-1 gene:Sspon.04G0009050-2B transcript:Sspon.04G0009050-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rhodanese-like domain-containing protein 11, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G24750) UniProtKB/Swiss-Prot;Acc:Q0WWT7] MAAPPLGLACRVAGAFTTPAVAHSGFGPPRLGPGLLPSKRWSGVVRVGAVVGGGQEGEEDEELRQTKEQAAARKRWETLIREQKIKTLTPREAGYTFKLTDKALLDVRPSNERQKAWVKGSTWIPIFDVDTSVDLGGLSKKVEEKFSKDTDIILVCQKGLRSLAACEQLYNAGFENLFWVQGGLEAAEEEVDFEREGSQPFKLAAIGGVSEFFGWTDQQRRQAAKEGLGYRLVFTGRLVGALVLLDALFLGAQRIGPLLQEMQPR >Sspon.01G0036900-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:19878801:19882361:-1 gene:Sspon.01G0036900-1B transcript:Sspon.01G0036900-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GLFLPIPSLPDDGGGGVSVSCGRHGQASSPAGDAVPLLRGGLHAAVRRLQPRAPRRRQEGAHLPRRADRWVSAWLCCSVLARMPDRWQWQAVFRVVPALFVNLVVALLCSVTHRRRVRVAGRVPPRALQRPHQAARRPHRRRRRRLLHVQRGRVRADARRAGLRVPRERARQGVARADQRVRQRQHGGRTGGALRPLVRPHEGLPPLRHPLEPPQHHILRRRHTDQGGGADGGHGRTVPVQAHVAVRHHLGRLQLGHLGGRYKVDYKYAPYVAEFADLALRGCAVGPA >Sspon.06G0018590-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8D:54078886:54079908:1 gene:Sspon.06G0018590-2D transcript:Sspon.06G0018590-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VTNHGIATSVMDALMAASREFFRKPLEEKQVYSNLIEGKQWQQEGYGNDPVKTQDQIQDWSDRLHLTVEPEDERKLDRWPGHPESFRGLVHEYTLGCTRVKDGILRAMARLLDLDDDSIIDQFGDKGTTYARFNYYPACPRPDLVLGIRPHNDIRVLTLLLADEHVGGLQFQRDGTWYCVPPVHGRALLVNVGVSLEVGSRLRSSAIFAYVRTDCEFLVQIMTNGIFKSPVHRVVTNSEKERMSLAMFYATDLEKEIEPIAELLDGKPPARYKKIKCRDLLAAHIEYFSKRERVVESLKI >Sspon.06G0000490-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:2341710:2342268:1 gene:Sspon.06G0000490-1A transcript:Sspon.06G0000490-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSSHITGEDDDAGEGCNSCESGWTMYLASPMHMHGHDDDAAGSGKSSGSQGSSVHDGYGYMISRGKKQGYQDYADADDDDSLASDASTGPAKVKSPSSSLPEHRHHGKQDDDDDDGGHHHGKEQEEDGARTKLATSSRKKAAAGNGKVDKGGGATAREAAALQGEASSSGKTNIDD >Sspon.08G0012110-3P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:8256001:8256855:1 gene:Sspon.08G0012110-3P transcript:Sspon.08G0012110-3P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPQEVRSVDSFSQLPFIRPAPSPAQPRDATIRLFGCDFSNDQRRQAQQAKQQDAAADSPDAANGSTVTSESNGGAKSGGEAAAAAERKFECHYCCRNFPTSQALGGHQNAHKRERQHAKRAHLQASLAMHRYVPGHMYGLFNYHHHHLGGGGRFVDHPAPPPPPLPLPPPPPAHYPIWTSASPAGPYGGGGGGGPGSMSQPINGSPVPAGLWRVPPPAATTTTATMENFGMSGRHGAGGTAILVGPAGGEAAAACKDEKAAKSLLSPSPSLSSCSSTSPEKKL >Sspon.07G0008240-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7B:20428011:20430769:1 gene:Sspon.07G0008240-2B transcript:Sspon.07G0008240-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHCGRLHRFLSSAAARAAAPIPARHVPRSPGTSAQSARIRELGRLGRLREAREVFDAMPFRDIIAWNSMIFAYCNNRMPDAARSLADAISGGNLRTGTILLSGYARAGRVRDARRVFDEMGVRNTVAWNAMVTCYVQNGDIALARKMFDAMPSRNVSSWNTMLTGYCHSQLMVDARNLFERMPERNLVSWTVMISGEYHVLVHKTGFERDVVVGTALLNVYTKDVNMLDTAVKFFEGMAVRNEYTWSTMIAALSQAGRIDDAFAVYQRDPLKSVPSRTAMLTGLARYGRINDAKILFDQIPEPNVVSWNAMITGYMQNEMVDEAEELFNRMPFRNTISWAGMIAGYAHNGRSEQALVLLQALHRKGMLPSLSSLTSSFFACSNIEALETGKQVHSLAVKAGCQFNSYVCNALITLYAKCRNIGFVRQIFDRMTVKDTVSYNSFMTALVQNNLLDEARDIFDNMPSRDVVSWTTIISAYAQADQGNEAVEIFRSMLHERELPNPPILTIVLGLGGSLGAPKLGQQIHTVAIKLGMDSGLVVANALVSMYFKCGSADSLKVFDSMEERDIFTWNTVITGYAQHGIGREAIRMYQLMVSAGVLPNEVTFVGLLHACSHSGLVNEGRQFFKSMSIDYGLTPLLEHYACMADLLGRAGDVHGAEQFIYDMPIEPDSVIWSALLGACKIHKNVEIGTRAAEKLFSIDPSNAGNYVMLSNIYSSQGMWDEVAKVRKLMKEQGVNKDPGCSWMQIKNKMHSFVTGDEEHEQIQDIYATLWELYTSLKATGYVPDTDFVLHDIDEEQKESSLLYHSEKLAVAYGLLATPKGMPIQIMKNLRICGDCHTFIKFVSYVTKREIDVRDGNRFHHFRNGSCACGDF >Sspon.01G0006880-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:18379430:18383512:-1 gene:Sspon.01G0006880-1A transcript:Sspon.01G0006880-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPPHAAQDPAPSPSGGSGSGSGSSRRRLRRLDRRNASKNIGYNAPNYCQYPPSPQPASAPGSGPASLAASVACSLDLVNSFRIGGSGDGGGDLRFLCESLGLSGPDDFAIPLADWEAHKAVRSSPSSARPNHDSPQRDSPLCRVGAEEPAQAADADPRYRPQRGGTAQSKLRSGRHAWIRRSTGEGGIKGVRPPPVLKPPPSMALPAVCGVGSTWDILRSFAPDEKEDAPASRSGRRFGHRDAGEKDDDEDGAVLLMLDDLGLEESSEGFTGTSSLSTTNDDETSSTTTESMFYISPNGRFRRRIRSWSRGVLLGSGSFGTVFEGISDEGVFFAVKEVNLFDQGSNAKQCIFQLEQEIALLSQFEHENIVQYYGTDKEDSKLYIFLELVTQGSLASLYQKYRLRDTHVSAYTRQILNGLTYLHERNIVHRDIKCANILVHANGSVKLADFGLAKEITKFNAVKSCKGTVYWMAPEVVNPKKTYGPAADIWSLGCTVLEMLTRQIPYPDLEWTQALYRIGKGESPAIPNSLSKDARDFISQCVKSNPEDRPSASKLLEHPFVNKSIRSVRSMRTTSSRSNSSTRGIN >Sspon.01G0031000-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:108249748:108263564:-1 gene:Sspon.01G0031000-1P transcript:Sspon.01G0031000-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMMSRTRDLLMEGFEGLVRDGSFKWGLPRRGDTVLDDGDDDDGSLSVQRSSIAGLSFKANAVVARCSRILGVSINDLRNNFDKQASDSIKQPRSYARNFLEYCCFMALAQISQVTGYLADKSFRRLSFDMMLAWEVPSSSSQLTVKVEVDSTVSLEAFTRIAPAIPTIADIPLPAGHPLAAGHPLPAGQPLAAGHHPPAGHPLAAGHPTATPGAARPPLLADPALQRRPLPSSPEAAAAAAQGTAAARALATSSDLGTVGDGTEDVALRGAVKKMKTQSESSLLSNFRSQRGERILEVDGTLTTQPVLEHVGISTWPGRLILTDHALYLEALRVVTYDKPKVYELAEDLKQVVKPELTGPWGSRLFDKAVMYKSTTLTEPVIIEFPELAGHSRRDYWLAIISEVLYAHRFIRKFDKSGVDKEETILKAVLGILRLQAIEELHFEVPNRHESLLMFNLCDKLPGGDVILETLASSISSRTSDRTNQPGTSRGMHAVLSNLGVVSPVNSGERLFVGEIVVGEISALQKAVTDSMNNYKKVELAQASVDGVKVDGLDTNLAVMKELLSPVSELWRVLLLLTSWEEPMKSMVFCFLFSYIIIRGWIVYFIVTVLLFSATFMFLTRLTNQGKQMSEVKVVSPPPLNTMEQLLAVQNAISKIEELVQDANIVLLKIRALLLAFPSQATDRAILALVLMALSLAIVPTRVLLLLVFLEVSTNHSPLRRASTERCTRRLREWWFSIPAAPVVVEKEKEDKKTR >Sspon.01G0029570-1P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1A:102724050:102729638:-1 gene:Sspon.01G0029570-1P transcript:Sspon.01G0029570-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGALNVDNEKPIKGSKARLTPSAHPDSWCPQRVCRHTEAPTRTVGRPTPSASAPDPTSLPAERRSGPAARGLDKEPRLPGRYRGQRAPGDQATSPPATATWLQHEPLGSNAGSTIPSCRSEAQRRRPAGRRRKESPMCDAQAAGEGPRCVGCGGRVKTLFVQYSPGNIRLMKCDKCKAVADPYIECEFMIILIDLILHKTRAYRHVLFNKLSMGSSVDKVWEFPSSVIFIVEMFVLSSNVVALRVTSGAYGYHLTLDCNAHALRQQEFSPNMNWLDLIFLKAVSKRRIFL >Sspon.08G0001520-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8B:1848910:1850856:-1 gene:Sspon.08G0001520-2B transcript:Sspon.08G0001520-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHKIHKKTNQDRITSHNTADETVTMGGEDRLSDLSDDLLRRILYFVPSKEAASTSVLSRRWGSLWRSSGAVNLAVEAYDYLNRRYHGTYSSYEETEEAAFSAQEAFVHAAKAALDAAEVPVTRLTLRVESTDGESTIEEFLCRGRGGWRTDDANVVGALVSHQAARRVEELRVAAVDFSSDGAFLYLEKENHRHTGLYGLASLPSSETLRVLDLTRCTLTAAFALPRLATLRLRYCAVEPKVLQALLDAAPELTTVRLESLIFLLPRPDELDGAEAEPAVLRLSFQAVTTLVLSLCGKESGDRRRGKSSWAIEIDAPRLQSFKYKGLMRRFRLRPAAAPGVARVDLHFLRDYDKDNEKETRRVLFWQFVRNFTSARTLKLKVGNDLKDIAAIGESTRHARLLQCAFPSLEHLELEGMHRPKIKTAAAAIANLLHCCPTLGEIMLKLSNTVTALPDKDPRYGREFLERKDRLDYNKSIDRFVRQKGSKTALDCVLEDVPDIPGLSARSFACLQRTLRRVRLQFRLDNNSISSCLGLRLIKFFGDHASVLEEICVDTGNRRLNEHLSFNVGTQMALTPIPISATTSSIQNKNLADSSSEFSRNHSASLDSTEDLDRSTVGFTVLPFKGKQGWTASLGPSKTKIRDVSYHK >Sspon.03G0017550-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:78868447:78869543:1 gene:Sspon.03G0017550-2B transcript:Sspon.03G0017550-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MYAVPPTAAATTMPPGVTHDDLSLRKAQERRAARSGGQVAVSLVALSVLCGLVSHYLMTVGGSPEQLDVCVYSSSGRLALAYAVGAFILLAVAMFAEHAYMLVAVAAPQSASPGLAVAQDHPRVASTAAALTWQTGCLFFLTICFGLAEVMLMIGIAVESGHMSDWRKPRPVCHRVRPGMFAAAGILGLVTVVVGFVVYITAVQAQRLRGQHQYQHGGAPFVGYGAPHPGAQHLRPPVPQPHPHPVPSAPEITAAPCQVQPSRASLITKEAADV >Sspon.02G0037090-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:24913940:24915921:1 gene:Sspon.02G0037090-2D transcript:Sspon.02G0037090-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRGRRKRKKEETRRRRSTKLRRRDPRHFLLLGQEHGRAPSPIMVGKKRKEEEEEASPITQQSGLGLAAFSYAVFDNHLIQLLLRRPETVCVRTLQLIVIEMFRELTDLYLRSVLRHLCGAMHGLSTTPIHVFHSAQCYMKRTTDCAMAARAKAITAMSSSTPLLSLLLLLFSACYTAPSAAADCDPADRAALLRVKAQLGDPAGLSSWLPSTNCCAWDPAVFCDAAGRVTGLALYSLPDVSARVPAALGDLAALEILQVDSVPGLAGPVPASFANLTRLLDLDINGTSISGPVPGCLLAGAVSLRTLVIANSKLAGPIPASLAALPGLRYLDLSGNMLSGTIPPGLLHGGSRFLILSNNRLTGEIPSDYGDGDVDTIDLSRNQLTGDPSSFLFGITKPAVKIDLSWNELEFDLTEVSFPHHLRFLDLSHNRVRGRVAKSLMDVKLEYFNVSYNELCGEVPAGRFMSMHGADCYAHNKCLCGTPLPPCN >Sspon.01G0053690-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:42832552:42833841:1 gene:Sspon.01G0053690-2D transcript:Sspon.01G0053690-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQCVPSWDLDDPAVVAGGGGGLNHHQVSTTTAGGAHRGLVSAAGAGGAFAPVVVPMSDQYYEKGNISSHGLGLLNRPVQHKYPPAAPPPSQLQAIGGGGGGSSGDRETLEAVVGEAAARRSRCSTRRLGSSGWAARDAAVARSAADALVPCTAARVDEAAAEGGDAGAGSRRKRARVVGEDGGLVCASQGSTAAAPGPGRRGDSAMLTLDACCGTGADDVCGFTTTTTNNSTSLDRDDKGSPDTENTSIGGGRDGLCDEGENVVINGDGAMRSSISTKRSRAAAIHNESERSYANLDKLVVGEIKCMVQHY >Sspon.01G0033360-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:114526845:114530027:1 gene:Sspon.01G0033360-2D transcript:Sspon.01G0033360-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAFDAYTDKNAVFRRLKAKPENKMCFDCSAKNPTWASVTYGIFLCLDCSAVHRSLGVHITFVRSTNLDSWTPDQLKMMAFGGNNRAHAFFKQHGWTDGGKVEAKYTSRAAELYRQMLNKEVAKSATTDNALPSSPVASEASKPSDDFPEFKLPDAPAPPAENLNGKHEPKSPKAPPRSPKAPTHPTFATSVKKPIGAKKVGAKTGGLGVRKLTTKPNESLYEQKPEEPKPAVPALAASTTTKGGPSLHSRFEYVENEPSADSRTGGSGSRVTGHVAPPKSSDFFQEYGMGNGFQKKSSNASKTQIEETDEARKKFSNAKAISSSQFFGTQNREEKEAQLSLQKFAGSSSISSADLFGRNNVDNSNLDLSAADLINRISFQASQDLSSLKDIAGETGKKLTSLASNFISDLDRIL >Sspon.02G0029660-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:112475017:112476360:1 gene:Sspon.02G0029660-3C transcript:Sspon.02G0029660-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTKKQGFFSALREEVARGLSPGRARRRSASNAAEVAAALRRLGGSGEALAPLMEGPDPEACPGANCGGASARREGWGQWVRGQLQLARAPAGAVGAGADTGAAAAARRNDLRLLLGVMGAPLAPVHVCAAEPLPHLSIKDTPIETSSAQYILQQYLAASGGQRLLSSIRNSYAMGKVRMVATEFETGGRVVRNRMAAQRAEPGRFVLWQMAPEMWYIELAVGGSKVHAGCNGKLVWRHTPWLGAHSAKGPVRPLRRALQ >Sspon.03G0009440-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:32655395:32657874:-1 gene:Sspon.03G0009440-2B transcript:Sspon.03G0009440-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MACSFSPSSSATRLQAVEAAAAGVKNGRVPLNLKASVAPAQRSLGLGCCRATARQEGATQEPNVEVSSARAQLDLLEQLTSPTPDGIAGLENGMPTEPRRRSTIREQLSALANGKVVDEFTLPLGKKLKEGLKSLNKLTVSQRRNIKRQALLTQVSGRNDSVFFATVGAFVLVPPFAILAIAVITGYVQLFP >Sspon.04G0006540-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:18118738:18121645:1 gene:Sspon.04G0006540-3C transcript:Sspon.04G0006540-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AMGNCFGSDVPEVGAIKAMAHAHHAHPPVAMAKRVMGAPSAAHHAAASQGVPGKKSPPSTATTTGGTGTGTGTGSKRPAAGGGSATSGGGGGGEPSHHQQLDGRILEVPNLRVFTFAELRAATRNFKADTVLGEGGFGRVHKGWVDERTMSPARNGAGVPVAVKKLNPESLQGVQEWEGGGAVQPLPWSLRLRIAIGAARGLAFLHSSEKHVIYRDFKASNILLDQHFNAKLSDFGLAKDGPAGGSSHVTTRVMGTYGYAAPEYVATGHLYVKSDVYGFGVVLLEILTGLRALDTDRPTAQHNLVDWAKPYLADRRKLARLVDPRLEGQYPSRGAQRAAQLTLRCLAADHKNRPSMREVVAVLEEVESMSSRAAAARLDGSASPRPPTARNGHSQRPGSGSGSSLDWAGPAPGGGHSTHTPARVTR >Sspon.03G0026330-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:1720284:1721564:1 gene:Sspon.03G0026330-2C transcript:Sspon.03G0026330-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LLLVLLSSLLAPSTAVRSSTLLLARSPHSVSPSVEAPVTTWAATLAAQSASDAARAATLAAGPPVSGAAKKGPRHSFVPIAPGRQLLSIPNYVARARLGTPAQALLVAIDPSNDAAWVPCAACAGCAPAPAPAFDPTQSSTYRPVRCGSPQCSQVPSPSCPGGPGASCAFNLSYAASTFQALLGQDALALADNDVMAAYTFGCLHVVTGNSVPPQGLVGFGRGPLSFISQTKDVYGSVFSYCLPSYKSSNFSGTLRLGPAGQPKRIKTTPLLSNPHRPSLYYVNMVGIRVGGKPVPVPASALAFDPASGRGTIVDAGTMFTRLSAPVYAAVRDAFRRRVRAPVVGPLGGFDTCYNVTVSVPTVTFVFDGLVSVTLPEENVVIRSSSGGIACLAMAAGPPDGVDAALNVLASMQQQNHRVLFDVANGR >Sspon.01G0055420-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1C:78560703:78561587:-1 gene:Sspon.01G0055420-1C transcript:Sspon.01G0055420-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELLSWLAWLIASLLAVCFLDLLAHPRRGLPPGPRPLPLVGNLHLLGDQPHRSLAGLAKLYGPLMSLRLGTVTTVVVSSPEVAREFLQKHDAVFATRFVTDAVVDHAKNSVVWLPNSPRWRTLRKIMGKELFAPHRLDALQHLRREKVQLLVDHVGRLAREGVAVDVGRVAFTTMLNLLSRTMFSCDLTNLDDHGESKGFQEVVTEIMEVAASPNMSDFYPALAAADLQGCRRRCAMLIARLHRAFDVEIDRRVHDRKAGQPRKGDFLDLLLDSEMDNNGTAGLDRDTLRSMFT >Sspon.07G0009830-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:28819463:28823759:1 gene:Sspon.07G0009830-1A transcript:Sspon.07G0009830-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MWKKLSGLMRSMDCLMQQVILKLVKLLAWIASGDLISRKILNLVRSCLKGLLVASLDCRRKSMLLLTLWSLCIYSMNTCGGKHSLVSPREAKSNGSLTFSLASY >Sspon.01G0055560-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:79260613:79262089:1 gene:Sspon.01G0055560-2D transcript:Sspon.01G0055560-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQALVQFVGRRVYITSSRQQPAGAAKVSARRFPYPQALLGCAAPATPTCLTSGCHRRPPARGGGGPKRVPFAPPPPFLSLLRPLHLLPPRGRVAGRPDTPLPRGGSGVYSRQMSTSSFGWPATFWSAKDKPGPRGTSGLAGPRACPIRPAGGGRGHRPARYATFWWTGPWPAQSAHTVYGGRGQTAVASSATHVGSRLGLRLTPAGKSRSRHVATSNIARWSTEPRKSIALTCDEHKRLKGKKKEEASSSSSSDDDDDSDDQASTYSSDMLTKKLSN >Sspon.03G0042710-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3C:71667164:71667701:-1 gene:Sspon.03G0042710-1C transcript:Sspon.03G0042710-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RERGGSASCLLRRDQRGIGLACCQRDLRGFGLLPGCSQQRVVDAVPPALLNVESKVTFLISDNGRTSLPPRARRRCRSLDLLGASSAATRGARSRRSLTMAILRGDGARRALGGAEGGLVKRGRRRAGILRWRRRRARVLRRWGRQAGAKPASVSGPPHD >Sspon.04G0025660-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:28552910:28557847:1 gene:Sspon.04G0025660-1B transcript:Sspon.04G0025660-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSPHLPPRCPPLGPQITRRDDSMFTQSCRFPSGDPFFGEPPCWLDDLLADSGKAPKLPPLRRACSDSDAILDALSTFQSPIYPIEEGGEGEDLLDAGEGGESGSVVEASCVYGPNSPRQKSRLTSSESSMVNAVLENVPSNPLQYLTIDASSGVNGNVASVTADACDAFGHPDQDKSFKRRSGQRSRVRKLQYIAELERTVDSLQNMGADLAVRVSSLFQLHNALSMENKQLRMQISSLQHAKLIKDGQTQALKNEAGRLKQMSARHRRSRSVTSCYDPSSFGADASAVNWQMPDMARLSLNGSSVSPRGGYVFIRRLDGLMHNKRWIDA >Sspon.04G0036480-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:30620942:30628247:-1 gene:Sspon.04G0036480-1D transcript:Sspon.04G0036480-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSIPAGAIRFGCHVVAIHQDPSTHVAILSTVDGCTIRAKVVIGCDRGNSVVAKYLGLSAPKTKPGIALHGFTSYSHGHPFETEFLRLSGEEFSVGIVLVTHNLVYFYLARPIRPIPPTGIITKDARATKDSMLEKLRAWDCPSDHRDGAELRSGVPERREQHQVAFGTFHKGVVTVTGHAMHAMGPSIGQGGSLALEDAVVLARRRTYPLDLHLNDPKYLTRPREETEGGIAVSGELCHGDATERQVAGDEACLRGCSGTTGHQVT >Sspon.02G0027540-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:44735841:44739794:-1 gene:Sspon.02G0027540-1A transcript:Sspon.02G0027540-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAAGAPKRCYYEVLGLPRDCSPTDIKLAFRRLALSLHPDKQPPGSDLAAATAAFQELQHAHSVLSDPQERAYYDSHRSQILFSDPASAGAKSASPVPDLFAFFSSSAFSGFSDTGRGFYKVYGDVFDRVFAQELAYARRMGVPEPAAPPVIGNLDSPYAQVTAFYNYWLGFGSVMDFGWAAEWDAARGENRRVRRLMEEDNKKAMRKARREYNDAVRGLAAFCKKRDKRVVDMALKKKAEEEKRKAEEKERKKEEEKRKKERVMAYQEPEWARAEEEEEGLYDEDEEEELRAKKEELYCVACNKKFKSDKQWKNHEQSKKHRDKIAELRMAFKEEESSLKEAEEEGEGDWNEVDVGFDFKPTQESDDESVFSDAAEELAEEFEEGLEVHDKEDGDKVFDSAEQEVGSYDEASVLEAMLSGRKNRKGGYVAPEEALSGAAEDDDDLRSSDVNNAKRKGRRRRAAKEEKDEGNYADNEHHGKSEVQPEGSGPGNDVDDMMEGPSFSNDNSGSVSKGDKQNGENTNPKKNKKNKKGTEKKTTVSTDQKSTSKADQKSTSKGKKQKEVSKAPSNDCETCGGTFETRNKLFSHLEETGHAMLKTRQKNRR >Sspon.07G0013460-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7A:48436545:48446292:1 gene:Sspon.07G0013460-1A transcript:Sspon.07G0013460-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MCNRPGTTTGRATPKLLSDTARDMTSLRAPRRATTGAGSGSRQWASTAGPNGGSCFLELEANGEQVLGALSDGRWDDRLIGITGAERAIDRVRRLASGPGAAVLIDIDIDIDIDIDIDIDIDIDIDIDIDIDTDI >Sspon.02G0010430-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:6403372:6403695:-1 gene:Sspon.02G0010430-4D transcript:Sspon.02G0010430-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGTQAVVAFQHSNGSLVAYPTVLDSYAPSMAPAAPKDLAFPVSDVAAEYADGKEMVVYATLALPAGKGSKFTHVWQQGTAVVNDVPAAHPTTGDNILSTATVDFSD >Sspon.07G0011380-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:39549399:39550724:-1 gene:Sspon.07G0011380-1A transcript:Sspon.07G0011380-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRRRPPPFPARVAAAVFLLLLTPAPTSQLGLGSAIAAWIHGSPPPSPSSPDDTDTATASSGAPAGPRSQEYAALQALKAAVTEDPKGALSSWQGANVCAYKGVYCSAPPDAAGAGASTVVAGIDLNRANLRGTLPDAVSLLAHLTFLHLNSNRLGGAVPDALRDLQYLTELDLSNNLFSGPFPASTLLIPSLVYLDLRFNAFSGELPPEVFAKDLDAVFLNNNQFEGQIPDTLWASPATVITLANNRFTGAVPAAAYDGRVREVLFLNNNLTGCVPEALGFLPSIQVLDLSYNALSGHLPGTLSCLSGIEVLNLAHNQLTGELPDLLCDLRRITNLSVSFNFFSGISQRCDRQLGSRGVFDFVGNCVPGRDMQRPQPECDEFPGEGGLSCLRIPGARPAGCGGGDAAGVTIGIGVGVGVGGLPFGLPGAAAGGVVTVTVP >Sspon.03G0018170-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:43085619:43088144:1 gene:Sspon.03G0018170-1P transcript:Sspon.03G0018170-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGDGHPYAPADLHLPGFVPLQLSQGQILAPYLGTSVFVVLAVWLVSGRCRGISKTDRLLMCWWAFTGLTHIVIEGTFVFNPGFFRKENPNYFDEVWKEYSKGDSRYVARDPATVTVEGITAVLEGPASLLAVYAIASHKSYSHILQFTVCLGQLYGCLVYFITAYLDGFNFWISPFYFWAYFILANSFWVWIPTLIAMRSWKTICAAFRTEKAKKTK >Sspon.04G0006590-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:18201432:18202761:1 gene:Sspon.04G0006590-3C transcript:Sspon.04G0006590-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFKRSPPPFLAVANKNNKQVFRGLLGVNFASAGSGILDTTGSSIIPLSKQVEQFAAVQRNISSRVGNGAAADALLSRSLFLVSTGGNDLFAFFSRNSTPSDADKQRFVGNLVALYQNHVKALYVLGARKFAVIDVPPIGCCPYPRSLHPLGACIDVLNELARGFNKGVKDAMHGLSLSFQGLRYSVGSSHAVVQSIMKHPQRLGFKDVTNACCGSGRFNGESGCTPNATLCDNRHEYLFWDLLHPTHATSKLAAAAIYNGSLHFAAPINFRQLVEDDRY >Sspon.02G0040900-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:76024218:76026028:-1 gene:Sspon.02G0040900-2C transcript:Sspon.02G0040900-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding EALPATLTAASEQPPLYDGTTRLYMSYVCPYAQRAWITRNYKVLQEKIKLVPMDLADKPGWYKKVYPNNQVPSLEHNNKIIGESLDLIKYIDSNFDGPKLVTNVDIAYAPFIEGFQIFLAGIKNCDITEGRVHVHKFIEVMNKIEAYTQTKQDPQVLLALTKKKFE >Sspon.04G0004650-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:13756400:13765280:1 gene:Sspon.04G0004650-1A transcript:Sspon.04G0004650-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSGNPNPNPNPPFEIGKLFRPPNPMPTASPNPIFPGVAGGPAGPPPPSGPYSYPPVTPPFHRGPYIHYPQDPHVMPRPVVSFPMPNPNLNPNPNANPNAAAPGPNPGARLMQLLGNSGPTQLETAVSMPPPTSEFAQPQPLPAMPSAPPARMLSSTSSKVPRGRLLGTGERAVHDVDSRLPGEAQPPQLEVTPITKYTSDPGLVLGRQIAVNRTYIVYGLKLGNIRVLNINTALRSLLRGHTQRVTDMAFFAEDVHRLASASVDGRIYVWRIDEGPDEENKPQITGKIEIAIQIVGEAEAYHPRICWHSHKQEILFVGIGNCVLRIDTTKVGRGKDFTVKNLLNLIDGVRLVGKHDGDVTDLSISQWMSTRLASGSKDGTVKIWDDRKQVPLSIFKPHDGQAVYSVAFLTAPERPNHINLITAGPLNREVKIWASTNEDGWLLPSDSETWKCTQTLELVSSLEPRVEEAFFNQVAVLPQASLILLANAKKNAIYAVHVEYGPDPASTRLDYIADFTVAMPILSLTGTHESQPDGEQVVQVYCVQTMAIQQYGLELSLCSPPTADSTGFGRDPAISRVYEAPLEMAGTESSTGTTFTDSYSVSALSKPPTVDQSADLDLKPSAPPLAYSEGDGSMPLPSAPLAPKMEIPGSGPAPGTRDIDQSAFDYTTNRNMERDALKRQDTPMPIRKDILGKDEPRDGHSDVPMLPNPRLMFQVGGNATHLVTPSEIISGTLSSAENNDVSKSDGGKSQDVSSRSSRVAEVEPKHIDESKPDQDLGLEAVKETQIVCENMEKTQSSLEQTVEMISERSVTTDKYSVEESQSSSDRRTSDQTGVADENVMKKFVEIPEKIDFSSASREQSSSFTKEEKVLHPQTSGQPSPPVTPHTYLPAEAAATQGMLQQLMGMQKDMEKQLSTVVPASIAKEGKRLETSLGRTVEKSIKANIDAFWVRLQEENTKREKADRERMQQLVTLITNSINKDLPSNLEKSLKKEISSLGPIVARAITPIIEKCLTSAVYDSVQKGVGDKVCNQLEKSITGKLEATLARQIQMQFHTSGKQALQDALRTSFESLLLPAFEQTCKTMFEQIDGAFQKGMSEHSIAIQQQVEAAHTPLALTLKETINSASSITQSFSSELLDGNRKLLALVTSGNVKAHNTSYLQPINGPMGGPQEAEAPLDPMKELSRLISERKFDEAFTMALQRSDVSIVSWLCSQVDLRALCAMAPVPLNQGVLLALLQQLAIDIHNETSRKVQWMTDVAMAINPADQMIAVHVRPIFEQVYNQLAHQRTLPTTTASDGTSIRVIMHVINSVLLSYK >Sspon.05G0006280-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:13881998:13890219:-1 gene:Sspon.05G0006280-2B transcript:Sspon.05G0006280-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLTDIGCCSCFSFLRKPSVSVRQHQDADGMLSEDLLKRQSAEDPDASFYTGDDPDISFYNRDDLDRSFYNGDYPDRSFYDRDDTEYLEGSDDGPPRKSSEDIIQSRTQNGFVCREIPVKETKKAFRSEDENGNKMINQYVHLGKIGSGSYGKVVLYRNIKDGKLYAVKVSIMKMLNHPNIVNLVEAIDDPNIDKFYMVLEYVEGKMVCDNGLEEATARNYLRDIISGLMYLHSHNVIHGDIKPDNLLVTSAGNVKIGDFSVSQDDDDMLWRSPGTPVFTAPECCQGSAYHGRASDTWAVGVTLYCMVSGHYPFLGDTLQETYDKIQEIASRCSPRLSILGLLGMRGQSLNSSVDAGLAAGKGMMSGKKYNNKASSDRLQV >Sspon.01G0026710-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:89683327:89706141:-1 gene:Sspon.01G0026710-3D transcript:Sspon.01G0026710-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALNELCDLVAAHPDLLLADKLAWLSSRCAAAPAAAAPQRASRAHLHSLLALARLVPAGGAGAGGDAAPPAPLLSFLASHAFLSPAFWPQSFAPAPFLSRLLPLLAAAPSSPALSSALSAAVLAALDVADPASAPLASRVPLRCRRRGTPAAPPCGCRAHRCPAKGKGEDAAGEENGGVKEVVRRFEEEEVDELERKEVAFRLIVHMLGGEGGLETEQVGKVRNAAARQVRSLTDFLKIRKRDWREQGAQLRARINTKLMCCQAAVVVLVRSVSTMDTDSKSSKDMLQQTLAWFIEATKSCILSSWRKLKICEDLFCTLLNGISQITVSRGGQLLPADMAGSSPGALFEAVVKLSCEIIEFGWTKDRALVDTFIMRLAAYVRERNDYEEEDGKGKEAVPVIRLNVVRLLAELCVCLKKWEVVDMILPLFIEHLEEGDASSPSSLRLRLLDAISRVACLGFEKSYRESIVLMTRSYLDKVKALGASENNTVPSEATTERTETLPAGFLLVASNLTSTKLRSDYRHRLLSLCSDVGLVAESKSGRSGADLMGPLLPAVAEICSDFDPVSTVEPSLLKLFRNLWFYIVLFGLAPPIQNNEAPTKPVSTSLGTMESSSAIALQAVAGPYMWNSQWSVAVQRIAQGTPPLVVSSVKWLEDELELNALHNPGSRRGNGNENSAVGQRAALSAALGSRVEAAAMNTISGQGKSYVLLAVAFLEILRFSCNGGILSATSTLNRSNSAFSCVFEYLLTPNLTPAVTQWVKATYLLAVAFLEILRFSCNGGILSATSTLNRSNSAFSCVFEYLLTPNLTPAVTQCLTAVAHRAFETVLSWLVHFSLEQSVGTYLA >Sspon.02G0030460-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2C:109053295:109053672:1 gene:Sspon.02G0030460-2C transcript:Sspon.02G0030460-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKAGRHGISRRYCSACRRRCRIQGRHGCSRHHHGCSRVMGQLKHGRPEGKHRSCWKWHGNRRPRRHALRLHDGIHWRRRQADGHRLHLVLQRFHVMDGLVQRRGLVGLKMLSIISRVIRTQENS >Sspon.07G0034380-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7C:72441187:72442788:-1 gene:Sspon.07G0034380-1C transcript:Sspon.07G0034380-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DKPAAEMPIPRPQPLGVNQRNVDIDSRGEIKPLFVHGLMILGKFAADLENCKEIYSTMDLVPRVIAPVSNGLHMVIKNDETAVEIVRESLRVVAKITSGTDSPLRIKAGKALIACGHKFPDIQQLVVTIMSADEYSKEYTAVTAEMLAQICAKSTADQADRINRLSSVANALSTILKAIVTETTDITELDMSNRKFLAWFLGLAVQIREKLVTAVAFADAVTELPMGNDTFAERLKRIIEVTNDSESEDEVCLAIVEDLNKAAGYMDDGDTIRPGYYIEYFGQVNIAQRLDAAVEAMVGLERYVVMIGGADEKEGYVTLQALVETAKNKLVSQQHRQEQN >Sspon.04G0009170-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:26610189:26614112:-1 gene:Sspon.04G0009170-1A transcript:Sspon.04G0009170-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLGEGCADGKTRPAAGGEELPGGEQCDRRGGASRRAAPKPAGTSGRGGARPAVYWGLPRTCHGGGDRATSGGSLRKYLVSLRPRSLEPRVAVGFALDIARAMECLHAHGIIHRDLKPVNLLLTADQRTVKLVDLGLAREETLTEMMTAETGTYRWMAPELYSTVTLRHGEKKHYNHKVDVYSFAIVLWELLHNKLPFEGMSSLQAAYAAAFKNIRPSADNLPEELSEMLTSCWKEDPNDRPNFTQIVQMLLHYLSTLSPQETLAPRLTFSSENTILPPESPGTSSLMASQGDLGDTPKGKEDKPRGFFFCFSECY >Sspon.03G0027310-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:5490829:5493531:1 gene:Sspon.03G0027310-1B transcript:Sspon.03G0027310-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATANVGNADNSVCYDNLLPIANVGRIMKDALPPQAKISKHAKETIQECAIEFVGFVIGEASERCCRERRKTINGDDICHAMRHLGLDHYAGAMQRYHENEELVVALNNSGIGSGDGNKAIQIDVRDELSIFRGNEQASSSTTQDANDSVRHDNNLLPIANVGRIMKDALPPQAKISKHAKETIQECATEFVGFITGEASERCRRERRKTINGDDICHAMRSLGLDHYADAMRRYLQRYRETEELSAALNSGGGGRDVRAIQIDVRAELSIFKGSNQQDD >Sspon.01G0007710-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:21345056:21357464:1 gene:Sspon.01G0007710-1A transcript:Sspon.01G0007710-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSSVAVSSLETEAPQAAVTRERKLNPDLQEQLPKPYLARAMVAVDADHPNGTEGRDPRGMSVLQQHVAFFDRNGDGIVYPWETFKGMRAIGCGFFTSLIFGFLINLVMSYPTQPGWLPSLLLSVHIKNIHKAKHGSDSETYDTEGRFDPSKFDAIFSKYGRTHPDALTKDEMNLMLKANRNIYDFLGWIAAIAWQRTKMACCGEKLSGACSMRVYLSDCKPTKNPPDEWVKTGVVHLKKTDAHVF >Sspon.03G0022980-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:55335552:55338026:-1 gene:Sspon.03G0022980-4D transcript:Sspon.03G0022980-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RLLPVRPPRRPLPLPLPLQSQSRRTRTRHAPLCLARLALFLPKAAAAWVACWTLLFLLLCLPPTASRDKSVFRRRRRCSGREEERSRMGGSGKWVKSLIGLKKPDKEDCCKDKLQLPSVHGGLRGKGRKWKLWRTSSGDQGSIWRGTRGGSQRSAASEASDDASSVAAPADPFTAAVATVTRAPARDFMAVRQEWAAIRIQTAFRGFLARRALRALKGLVRLQAIVRGRQVRKQAAVTLRCMQALVRVQARIRARRVRMSTEGQAVQKLLEARRTQMDILREAEEGWCDSQGTLEQVRVKLQKRQEGAIKRERAIAYAYSQQADGAAKCNVGMIDTLIPPKLTSNGRVNHSGMLLKHQNFDKSNGNWSWLERWMAARPWENRLMEEHNQTNSSSPDFRSSKNCEDSFGVLGDFSEPNSVKVRKNNVSKRVCAKPPGPTQAHGHHQRLKAQSISSLSTELQNDESSASSSSCFASTPISLFTFVTSEKTEDNVRTRPNYMSMTESIKAKQKACNAQRTVALKHSDDRKVMSAELKAAQ >Sspon.01G0012950-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:34672776:34682238:1 gene:Sspon.01G0012950-3C transcript:Sspon.01G0012950-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPLECDMAPAASPKKHLSRSRPLPRHCCLMILVLALATTAAVASAQLSSEDYYDASCPAALLTIRTAVSTAVLLDRRMGASLLRLHFHDCFVQLGGPSWSVPLGRRDATTASASLANSDLPGPTSNLNGLLNAFSNKGLSSTDMVALSGAHTVGRAQCKNFRPRIYNDTDIDATYAASLRASCPAQAGGASDGTLEPLDGSTPDAFDNAYFGNLLSQRGLLHSDQALFGGGATDGLVSTYASSADQWGSDFAAAMVKMGNISPLTGTDGEIRVNCRRLDIDVVEAGEAVDAGE >Sspon.02G0038220-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2B:40488529:40489183:-1 gene:Sspon.02G0038220-1B transcript:Sspon.02G0038220-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPTFSRVRIKRRSIKQEKATWPMHETRSSSRKEESQKCVTQQSHHAAVTARGLVSSHLYAHAAGPACKDLRLTAGPAAENYRMLSGSNSDPELNSP >Sspon.01G0023900-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:112307874:112313684:-1 gene:Sspon.01G0023900-1P transcript:Sspon.01G0023900-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDAGAARTRRAASGGGGDLPLRPPEPPRDPLEFLSRSWSASAADVTRALAAAPAPAVSAISEDVAAELDADSAGAGAGGPAFGTSFSFASAATSQLVLDRIMAPSEVSPLTSGRLSHSSGPLNGGGSLSDSPPVSPEIDDTKFCRAVSTPKPQPYARGGSKTVGRWLKDRKEKRKEETRAHNAQVHAAVSVAAVAAAVAAVAAATAEASSSGKDGRGARTDMAVASAATLVAAQCVEAAEALGADREHLAAAVGSAVNVRTPGDVATITAAAATALRGAATLRARALKEVWNVAAVIPVEKGAVGGGGGGQHHQHGGHKYDGQPKQQQQREVESSNSSSSCFSDDLVLGEENNFLGICTQDLLARGTELLKRTRKVATHSFSIAQSSLTFFSINRNSCFEHRQTELGPRPPFLQVMLKMKSKHVGGTITKKKKSVVVDVCRDVAAWPGRHLLEGGEQRRYFGLRTAEQRVIEFECGSQREYEMWTKGVARLLAIVHARKR >Sspon.03G0032460-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:46611490:46615922:-1 gene:Sspon.03G0032460-2C transcript:Sspon.03G0032460-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MACDGGMKEQEGPSAASAAPSPAAVARARARAARPPRPRSRKGLGVRHPLKPYRILAALQRANARVREAGEATLVAALAAAQKESERENEVVPDVCGGWKSEDGSLNCGYSSIRGRRATMEDFYDIKSSRIDDKQINFFGVFDGEAFTFRTPYLLSLINLTPFLFTGHGGTRAAGYLKQHLFENLLKHPVFVGDTKSAMSESYKKTDADFMDAEGNIQVGSTASTAVLIGNHLYVANVGDSRAVMSKAGKAIALSDDHKPNRSDEQKRIEDAGGVVVWSGTWRVGGILAMSRAFGNRLLKQFVVADPEIQDLEIDGDVEFLILASDGLWDVVPNEHAVAFVKDEDSPEAAARKLTEIAFSRGSTDNITCIVVEFCHDKMVDGSLPSTNQS >Sspon.07G0027010-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:58505849:58506199:-1 gene:Sspon.07G0027010-1B transcript:Sspon.07G0027010-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLLYTLLGSLLLLSSLQHAPLHSVIVAAAANGDTLAAGQTLAAGDKLVSRNGKFALGFFQFQPPSTDVTTTFSPGWYLGIWFNKIPVFTPVWVANREKPITDLSSS >Sspon.01G0015250-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:114389398:114392794:-1 gene:Sspon.01G0015250-2B transcript:Sspon.01G0015250-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MWVEILCGLLAYKILQRVFFAGGDDASYLADLDSSHSDLCFAVASRLEKLYAGRCFVGLRIPDPDAGERQHIDVVLVTKREVMVVAIKNFSGFVEADKDGNWSCPTDKKRKQEIFPNPVLEVNRLAANLQSYLEQRGAKLPDGHIIGRVVLPNPNCRPSYTISIQPEVMLYDQWKDLKTDSKSGLSAWIKGAFTGSKSDMQDSVLQNLHFILSSSPMWDRLELKGDKNVLGEFIEFKGRHEDIQLLKNLKRSKVSRFIIQKSTLFGGFGRSRVQILYSPRDYRAGGTSSLEWKEISVKQYTEIVFQPLHSKKARKFKLSSVVSATLSA >Sspon.05G0014810-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5A:56602286:56609917:-1 gene:Sspon.05G0014810-1A transcript:Sspon.05G0014810-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPLPRAPPSLPHELIEEVLLRVPPDDPASLVRAAVACRRWCRLVADPAFRRRRLELHRATPPMLGVVCDGIWDGKGPSARFVPTSSCRPPRADHRGLRSFDARHGRVLLHGSAYTDFIVWDPITDQRVELPSPPWFLRNGSLKATVLCAATGTCDHLDCHRGPFIVVLMGTNNDYMFCCIYSSESGAWGKPTSGDRHGDMVVEWTRSALVGNALVFALCGYRRILKYDLGTQQMSVIRLPYVRTNMIFMPIELTTLEDGRLGFARVEKSNELCLWSRGEGDDNVEGWTLCKVIDLKGLFPLVDSYDLKYCLVGFVERVCVAFVGVQGGLFTIDMKSGLMKKVSEGLRICFCAVPYINFCTPGRRTLDELGLGYGARSLRPSSPAPPPATLAAAAAGSRRQPAMAGCPPPPPSPPLSSPSWVVAASARPLSTPQLGPVATAESPLSARFPALALPLPGPAPPASRTAQPRPGVPVSRRGGHDLAGRTRPLPPCTGPRPTMPPLPSCTAPDPALLFPSRRNRISFPCSLFPSGRAPPCCRTWPCPWRGSHGGQPASAPAAGGGLALGAAPAPSSAAAPLASARGPPLAMAWPPLEVAGGSCCRTSRPSWTGSAPSAFHPPPSAGPHLPPSAAALPAAKPAAAGVGTGPRLFFTGSRLGRSAADSAAQAAAAPAAWHATTLAAAGGPTAGAGTAAAAIDAGGAAPAGAGAALGSAANAAAAIEQPADAGLPRAATVAAIPFRAAAAAGGTGNLAYSFSTTASPLPAVPPAWIADSGATFHTTPDASLLSSLRPPHPSCPSSIMVANGTCIPVSSVGTASSHGSFLLPDVLVAPGMVHNLLSIRRFTTDNSCSVEFDPSGLTVRDLASRVPLLRCNSNGPLYTLRFPASVSPSSPSSASVSSSSPSSAAFAATTSSTTWHRRLGHPGRDALMQLSRSSDIRCSRLHDDHLCQACQLGRHVRLPFQSSSSHATRVFDLIHCDLWTSPVLSMSGYKYYLVVVDDFSHYSWTFPLRAKSETFPTLSHLFAWASTQFGLTIKAVQCDNGQCMIRTTNDIMRTLLLQASLPARFWAECLHTSTYLLNRLPSTACPAPTPHHALFGTPPRYDHLRVFGCACYPNTAATAPHKLAPRSTLCVFLGYSPDHKGYRCFDLTSRRVLISRHVVFDESAFPYSTTSPPTPPADPAEASFFPTDPAIPPPFSPYPAGTAPACSPGGPASPQDLPVPDTVEAAPELPPSLPVASLPPVVPDAAVPIAGPRTPTPPPPGRFGLVYQRRREPRPPSPPPGRFGIVYERRREPPPPLSSPAPSSPVHAPPASSRSRADPPVYHPPLLHRDPRHTHPMVTRQASRPQALTAAACEPGISPVPSSVRAALADPHWRRAMDEEYAALLTNQTWDLVPRPPGSNIVTGKWIWTHKRRADGSLERYKARWVLRGFTQRPGIDYAETFSPVVKPATVRTVLSLALERSWPVHQLDVKNAFLHGTLTETVYCSQPAGFVDSSRPDFVCRLNKSLYGLKQAPRAWYSRFATFLQTLGFTEAKSDTSLFIYHYGGETAYLLLYVDDIVLTASSDSLLHRIIASLQKEFAMKDLGVLHHFLGVTVEPRPSGLFLHQRQFTCDILERAGMTACQSCSTPVDTQGKLSEAGGPMLAPADSTAYRSLAGALQYLTFTRPDITYAVQQLCLYMHAPREPHMAAMKRLLRYLRGTLGYGLLLGRSSTAELVVYTDADWAGCPDTRRSTSGYAVFLGGNLVSWSSKRQPVVSRSSAEAEYRAVANGVAEAAWLRQLLAELHRPLARSTLVYCDNVSAVYLSTNPVQHQRTKHVEIDLHFVRDRVAIGDVRVLHVPTTSQFADIFTKGLPSSTFSEFRSSLNVSSG >Sspon.03G0042730-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:72859471:72861526:-1 gene:Sspon.03G0042730-1P transcript:Sspon.03G0042730-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ERCTPHFPKFNHVFKETLHFHIDEKVEATNEVEAQVGDSTIQVGKAKQSSDTSTIKNLLKNWQLMSAIILYCIFCLHDVAYAETFSLWAVSNRKFHGLGLTSQEVGTVLAVSGCGLLIYQLFVYPFIVKYLGPIRPLRPVVATINIACNILQNTTVTQEQRSVANGISMTLMFSWAQKHMNGLFLPGDQIMFLTINMVSILGLILTFKPFFSMSNAL >Sspon.05G0022060-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:5004706:5008018:1 gene:Sspon.05G0022060-1P transcript:Sspon.05G0022060-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARARAGGLLLLLRGAALVTVVAVLLGGMVGEARAQLRQNYYGSSCPSAESTVRSVISQRLQQSFAVGPGTLRLFFHDCFVRGCDASVMLMAPNGDDESHSGADATLSPDAVDAINKAKAAVEALPGCAGKVSCADILAMAARDVVSLLGGPNYAVELGRLDGKTFNRAIVKHVLPGPGFNLDQLNSLFAQNGLTQTDMIALSDVLTQASNAMHYTAGAHTIGVTHCDKFVRRIYTFKQRLAWNPPMNLDFLRSLRLVCPINYSPTAFAMLDVTTPKVFDNAYFNNLRYNKGLLASDQVLFTDRRSRPTVNLFAANSTAFNEAFVAAMAKLGRIGVKTGSDGEIRRVCTAVN >Sspon.03G0020480-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:86266737:86269641:-1 gene:Sspon.03G0020480-2B transcript:Sspon.03G0020480-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVGSALRRLYLSVYNWVVFFGWAQVLYYAVLTLRESGHKAVYAAVEKPLQFAQTAAVMEILHGLVGLVRSPVSATLPQIGSRLFLTWGVLWSFPETQSHLLVTTLVISWSITEIIRYSFFGMKEAFGFAPSWLLWLRYSTFMLLYPTGISSEVGLIYIALPYMKASEKYCLRMPNKWNFSYDYFYTSILALLIYVPGSPHMYRYMLSQRKKALSKAKAA >Sspon.04G0010430-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:31855356:31855883:1 gene:Sspon.04G0010430-2P transcript:Sspon.04G0010430-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding METLYHADNEKADACILDLVVWLHILISYSRPPNNRSPSRSPVRSPVHLGVAPAVPAAAASAAGLTREDREMLQDAYTRRRSAGTTGKSKSEELSTAAAGARGHRLALSRNDRLSKSGSHCPSSREREHGGGRVFPLATGRSAASSSPVVVVGFDIDRIRGALDVMDRVDVQKQP >Sspon.02G0031640-3D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2D:90245991:90248274:1 gene:Sspon.02G0031640-3D transcript:Sspon.02G0031640-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFPFVFASRCCDVQLLAVERKEEKTVMGISAKWIKSLVGIKKHEKGRNAECSDARSSAVQLLQKREHSVDTESVPAAEELRVQAEPLAGDTNTETISNSAFSPSTSLQVSQTELGTKEYQAAVVIQSAFRAFLARRALRALKGLVRLQALVRGHAVRKQAAETLQCMQALVRAQARVRARRVRVSLESQGTPKKPPEQNVHEDHVRDIELRKFLLPTVIEETKKL >Sspon.04G0007110-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4A:20363512:20364244:-1 gene:Sspon.04G0007110-1A transcript:Sspon.04G0007110-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVLDTCVPRARLVCSRKSPHRAASESHVSFTAKDLAPPALTMGRQFIFAPKAAKNRASKSSTALVVSPLFYCGAVRSQPNPSQTIYDSDKKMGQIVSRRFCGSSVLDANAHPIRRLSLGRVVRVACSLSSRAFVLVVLASPVACAAKADPSPVLVALVVVVVVLACAAGAG >Sspon.02G0029100-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:99318708:99321760:-1 gene:Sspon.02G0029100-3D transcript:Sspon.02G0029100-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFLEYIPFDSINLFLEELNLGDCTIRGNLEAFSCKHIATDRRFSISLEHEILDYLGKSSDSDASSPVEHLSSRSSRKTLIYLVLTLGHMYPDYDFSAVRAHLFFQEEELESFRQMVDTYLSDASRSDIYSYNPDSDADPVLEKRAIWSFNYYFYNKKLKRVVSFRCYCTSKLSGDDFLTGAGSDGEEEDALIDMDI >Sspon.05G0030470-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:86368893:86371679:1 gene:Sspon.05G0030470-2C transcript:Sspon.05G0030470-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNKSSLVMLPPCGHGWEMMEAMRRQQDLVMQLRALVLPLLHDVMDGTSSATEIAVQLFDEVIGCNIGVVSTLEGCLMSTGGRGGSSGEAVDDKSLVRKNSTPTNGEKTEDQALAKQPNSVGQKRRCDSMNIRCDQLVLLPVYPYNPACCNIYALTDSTYVSRRNNDKRSRCLVTHVPHYDGHLWRKYGQKNINGRKHPRSYYRCAYRERNCLATKTIEEQEPNDDDGTGNSAMAGEESAKYTVVYYGDHTCKDHHTISMVQLPQLVGSMDLHSTEMPPSTDVQESEADLDLPALLELIIHSKLIN >Sspon.04G0003880-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:44457272:44458372:1 gene:Sspon.04G0003880-3C transcript:Sspon.04G0003880-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VGRCCGGDGALLGRRGFGCRGGVAEVCGGAGVPERGRVSGCGGGGVRRGLGAHRHDAGRALPAGLHGGGVLAAQARVLPGVHLLPLPGRGEGPRGSSGRRGGPGAGAAAARGGGVVPVAAVRDLPVPRRGRGRAHLGVRARRARGAAQLRAEPPGGPAPALRAAGDIPRLRRARRRRRQAPLGDAPPRRRRGGGARVLPRQLLPLLHAGVLVRPGARGPRLRGAPPAALLLQHRRHGHRPPPLARRQLPPAHRALDGNPEGEAHLRAGLPAPVLARLRRRGGGRRPPLEPARPRRRQRPRQLPPAPRRARQPHALVGQGQALGPPRRRQAVPARPHLEVLRPLHPQRLRWRGFAGVRAGIVRIRALM >Sspon.05G0019770-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:86933233:86936803:1 gene:Sspon.05G0019770-2B transcript:Sspon.05G0019770-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLPPSAVFRCRAVCRAWHTALTSDDFVRAYGAARSAAAACQPELLFFAPGDASTSTTLYTCALRNGEAPSAARELLTLGNLSAAHVIVSPRPCHGLTLVSDGPASQHYVVRLFKKRIGETGCEVCTCTTPGGAWRPCAGRVPPPSASFIAGLPSVYLRGSLYFLLELNSFTGTDQPVMSFSVGAEQFGWVHMPPFLAQRVGTLTELDGSLCAVVDLRYDHERYSLYTWGGDGDTWSKRYWIDLRSLPPAISDEFVEEDAVIPLCSCSSAGGSKKTPARHGLSQ >Sspon.01G0038370-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:29762218:29762618:1 gene:Sspon.01G0038370-1B transcript:Sspon.01G0038370-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNQGREESENEAVAEADVVSRAVVALWLALAKGGGGGGMQRMGRKGRGVAGLRAPWGATGRHPAGVASVWPPRGGCGLRSVGAAHGERERQL >Sspon.03G0038940-2P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3C:2036312:2037085:1 gene:Sspon.03G0038940-2P transcript:Sspon.03G0038940-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SSAITRAHSGTAEAALRLKGVPYELIAEEDLSNKSELLLASNPVHKKVPVLLHGDLAVSESLVIVQYVDDAFDGPSLLPADPYDRAMARFWVDFMENKCSKSFWLAHWAEGEAQKAMVKEAKENLALLEAQLDGKRFFGGDTPGYVDIAVSALGPFLSVLEEVTGLTLVDAKEFPALCQWSNEYNSNEALKPCLPDRDRLVTYFTENIEMYKMIARTMLQQ >Sspon.02G0048320-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2C:24239329:24239823:-1 gene:Sspon.02G0048320-1C transcript:Sspon.02G0048320-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGEHSIEHLTHGRVEKYSQLSDVADGGVLDGVALGGGIEAGVVVDDNVIRGRTGAGVSPLMFHADDAVWPSLANTAFTAAVAKKTGFTSAAETEQSLLPGCPWWRRGKINLPARHRPPCLRSCLALRLLRRAEVAVRAAPSMAAPRGMGRASERGTAQPGEGS >Sspon.02G0032540-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:121013156:121017312:1 gene:Sspon.02G0032540-1A transcript:Sspon.02G0032540-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEPPYRGQFMLRAKQLGTLTQCARSFYLNGSRCGSTDGASCTCPEDETYAPKRQTATAIEQKSHSTHRTSVKIQPPVQDVIGATGHPAPAVHATTSPPGKEPASSNRSTQPHNHRQIIGSDYVQPSKQTARSISQSGIAGAGVYSELVNFRSSSNNGGTDQAPQMGTNYSYQILSDSHSSNNRAQNQLNFPEGKMAYNPSVDNGFGKGYPRAGYAKPKQSSSGPSVMVSSSPSQIRSQGHPGPPYAKYHSNHFNSDARRDEVQTRNPSGPNVFSGSGNKIQGSTGTIKAHSGGPQSNLRSLKSLRSVEQYYHTLQQMKWGPITEHVLDNLHCKIDAFQANQVLKLLHDHTVALGFFQWLKRQPGFKHDGHTYTTMIGILGQARQFGVLKKLLDEMSRAHCKPTVVTFNRIIHAYGRANYLKEAVKVFEEMQEAGYEPDRVTYCTLIDIHAKAGYLDIAMDLYGRMQEVGLSPDTFTYSAMVNCLGKGGQLAAAYKLFCEMIENGCTPNLVTYNIMIALQAKARNYENVVKLYKDMQVAGFRPDKITYSIVMEVLGHCGHLDEAEAVFIEMRRDWAPDEPVYGLLVDLWGKAGNVDKALGWYQAMLQDGLQPNVPTCNSLLSAFLKMNRFQDAYIVLQNMLAQGLVPSVQTYTLLLSCCTEAQAQMGLCGQLMAITGHPAHMFLLYLPDAEPGGQNVRDHTGYFLDMMHSEDRESKRGLMDAVIDFLHKSGLKEEAGLIWEVAAQKNVYPDSVREKSSSYWLINLHLMSEGTAVTALSRTLAWFHRQILTLGTGPERIDIVTGWGRRSRVTGSSLVRQSVQKLLNLFEFPFFTTRGNTGCFVGCGEPLNKWLHNPYVERMHLL >Sspon.03G0009160-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:39444127:39448947:-1 gene:Sspon.03G0009160-3C transcript:Sspon.03G0009160-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRHAAPAAPPPERGEMDEVVEADPDAEAEDQEERWARLLPELLSDVVRRVEASGGERWPARKDVVSCACVCRRWREAAVSVVRPPAESGKITFPSSLKQPGPRESPMQCFIKRNKKNSTFYLYLGFTSSPVDKGKFLMAARRFRRGPHTEYIISLDSEDLSQGSNAYMGKLRSDFWGTNFKIYDSKPPYDGAKASSSRSSRRFGSRRISPQVSAGNYEVGQVSYKYNLLKSRGPRRMYCTLECPSAQETWENSLKTKFRRPLGPTTLRNKAPRWHEHLQCWCLNFHGRVTVASVKNFQLVAAADPSDATSSVDDETVLLQFGKVDDDMFTMDYRQPLSAFQAFAISLSSFGTKLAFAVAKIAGKLGIASPSTRSATV >Sspon.01G0062460-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:104516535:104517969:1 gene:Sspon.01G0062460-1D transcript:Sspon.01G0062460-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSAPPSSSIPASTSPLPAASSDLSETSTASAQPLTLESLGAKVDMIANALTSMQRAWAGLLRAPAPLAFSAPPPPPPPPVPTAPIPTGAGVPLHLMQWPASPSPLPAWLQPSVPASAPIYSMATSPSPTAYTTVAATTGGLDPALAAALASAQAGPKFYKLEFPTYDGSTDPLNWLNQCEQFFRGQQTLASTRTWLASYHLRGVAQTWYYALEQDEGMPPWERFRELCSLRFGPPVLGTRLAELARLPFGSSVQDYSERYNAVLCHAHNLSARQKAELYVGGLPDHLRKQVQLRAPPDLQSAMYLARAFEECEPPPAPQPRGARPPHPSVTTGATLAVPAPLPAPAPSFRRLTPEELLERRRQGLCYNCDEPYVRGHHCKRLFYLESADFLDDDVPAAVATDAVFQHDPGVGSRCFYQVSARGRAVSPGGERCYVRLSTRPVSGR >Sspon.06G0016860-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:91568902:91571230:1 gene:Sspon.06G0016860-1A transcript:Sspon.06G0016860-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPRHRHVRRLLLLAKPRPLSTSATTAFSPPDPAPSSAVPDPALLAPDDAIALLPSVADSEGSAAALALFRRLSARPDLRHLMRLYATAATVFVARGNLPMAHEAARAMVAAFAERGRLREAADMLHELRTHGLPLVVETANWVLRVGLRHPGCFAHARQVFDGMARAGGGGVRPDGRSFRALVLGCCREGRFEEADALLAAMWAQGFSLDSATCTVVVRALCRQGRFRDVPGLFGRMAEIGTPPNVVNYTAWIDGLCKRGFVKQAFLVLEEMVAKGLKPNVYTHTSLIDGLCKIGWTERAFRLFLKLVKSSSYKPNVHTYTVMIGGYCKEGKLARAEMLLGRMVEQGLAPNTNTYTTLIDGHCRGGSFDRAFELMNKMKLEGFVPNIYTYNAIIGGLCKQGKIQEAYKVLWMATSQGLHLDKVTYTILITEHCRQGHITYALDLFNQMAENDCHPDIDTYTTIIAMYCQQSQMEQSQQLFDKCLSVGLVPTKQTYTSMIAGYCRVGKSTLALKVFESMVQHGCLPDSITYGALISGLCKESRLEEARALFETMLDKHLVPCDVTCVTLAYEYCRRDKTTIAVSFLDRLDKRQQAHTADALVRKLSAVGNLDAASLFLKNVLDKHYAGKERARQEAPHAHRPTAA >Sspon.06G0007680-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:36048137:36061167:1 gene:Sspon.06G0007680-1A transcript:Sspon.06G0007680-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVFHLLETQTDESNKSSTDSTTDSNQAVRYNRIYISHMQIGEDKYSLNLFDSGKALQNMVNYNQCTEGRSLGKSGNVKLLPSTPSSSPSFSSLVDEIFEHNLSSSTTENQLLPPHSLASNHLNSYQVGAEGVSGTVCPAEVDGNFMHSDINFSEVKPGVSLNSDLLSNLNHFKCTNAISSSDTAQISFMSSCKSGHDLTSLRFPGGHNIVHGGESLQPVSSDGQGVLGNISTMQFGGSSRKRSLSEFLLNIPSLQQSRISDGPRKRRKAPEHMKDGILFKEYSSSAQSGKTITYGNIFTEENCCVTSAFYASLLHRVIKHCSHLIKYAQLTTQMDSLGISYAEEVVLWTASSNLWLRLPFLKEDSWKHVCLRLGKSGCMSWDVRINDPYYGSLWKVHRGSATTKWGIGVRIANTSEIDSHITFDDDGVILTYHTVEADSIRRLVSDLQRLSNARAFSCRMRRLIGVKVDDKRDEKVTSVEINARKGSRHRLSEQMRKTFRIEAIGLMSLWFSYVAVPMVHFVVEWKAGDGGCFIHVSPDQLWPHTKFLEDFVNVGEVASFLDSVRLTAGPLLALSSAIRPAKMPVTLPAGYSSVPKQNSYRSEGATANDSSTTAQNISAPLSPAVAHSNKHNLQSSALSIAGRGGPGLVPSPSLPFDITVVLRGPYWIRVWLQPATPPKGGPSIGGSLPCPQFRPFILEHVAQGLNAFEPSVMSARHSGVQLKASINKASGGQQSALALNRFHGAHGIATSGPTTNIGNQVAPTFIRAGSAVVTSSKYASGNAGAPPHPSPGTNLPVHMRSELSSTFTALGDDGGYGGAWVPLAALKKVLRGILKYLGVLWLFSQFPELLKEILGSVLNENEGALLNLDQEQPALRFFVGGYVFAVSVQRVQLLLQVLNVKRFHHQQQKHQQQAQSPAQEELATSEINEICDYFSRRVVCEPYDDSRVASFITLLTLPIPVLREFISLLAWKKSQSQVHGEIASAYRVQVELCLETHHGSVSNDHAGSSSSSKSNIKHDRANRSVDFGLTFVLDHALKHNSSVGGAAWLPYCVSVRLRYTFGDNCHVTCLTMEGSHGGNSFWLKDEDWENCKQMVARTVETANGSPAIGETGNGRLRRVAEMIHKQLQLSLHQLRDGPLSVG >Sspon.06G0016900-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:91729096:91732516:-1 gene:Sspon.06G0016900-1A transcript:Sspon.06G0016900-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MENIIGARPSFNILWPGSLELGLGDRNKQQDTAGPRGGCGGNADKFEYAKFGPWGGGGGSPRDIEKLPYRLHSVTIRSGVIIDSIEFSYTDHDGEYHTTGAWGGHGGSHNSIKLGPSEYLKGISGSIGDFNGLQSVITSLTFVTNTRSYGPFGTGRGTHFHIPMLSNGCIVGFFGRSGLYLNAIGVYTNQELEIVGEDEGGVTRIGPWGANGGVLHDIIVKPHHVERVTIFSGNIIDSLEFSYSDDDGNQHIAGPWGGNGGAGRRIRLGPEEFIVEVSGTIHPWGHLPNAVYSLTLVTNTGTTYGPFGTEVGAAFHIPVQSNSRIVGFFAHGKNYIEGIGAYIRTM >Sspon.06G0023450-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:44877728:44880115:1 gene:Sspon.06G0023450-2C transcript:Sspon.06G0023450-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LRSGDRLEHPVPHTCRRRAAIQCRFPSSPRGRKDPVNYFRILAASPLPMATPLIAGLAVAAAALAGRYSIQAWNAYKARPVVPRMRKFYEGGFQPTMTRREAALILGVRETANAEKVKEAHKRVMVANHPDAGGSHYLASKINEAKDVLTGKTKG >Sspon.02G0050810-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2C:68322333:68322507:-1 gene:Sspon.02G0050810-1C transcript:Sspon.02G0050810-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MWSLPVSVSAGWSVPSIRQLFLESVMATPDPAPHAIPPVPAFEGRQQFLTITTKDTET >Sspon.05G0022240-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:2980098:2980778:1 gene:Sspon.05G0022240-1B transcript:Sspon.05G0022240-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASRSPKVVRGAAAATCVVDDNTTFVQADPATFRALVQKLTGAAPAAGGTTSAAEKLPPKPQEDEAAAVTIAHAPPPPPPRRPKLQERRRAAPARLELSRPQLPASSTSSSPFYYYHHHAHAHGAHAHHHGLMHSPVSPMDAYVVLASPSLSSSLSMTPSPHSSSPSCDGAAVVMISREEEEREEKAIASKGFYLHASPRGDGDLPELLPLFPVHSPRINELRRG >Sspon.05G0010690-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:24946635:24949652:1 gene:Sspon.05G0010690-2B transcript:Sspon.05G0010690-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEAALEDTPTWILASVCSVIVLISFVFERALHHLGKALQRRRKTLYEALLKLKEVWWWSSPCALPLCISICLPACKVATVAHLRQFVELMLLGFVSLLLVVFQELIQKICIDESLMEHWLPCRGASATTSATAHYGVSSSAVGGGRRMLKGGGAAFGHCSSKGKVPLLSLHALEQVHIFIFVLAITQVVLSVATVLLGLLQMRIWMHWENTIQEEGSSAPKMIARVQKIRFVQDRCKGYEKVTWVIIWLRSFFKQFYGSVSNDDYIAMRLGFVMEHFRGHPKFNFYDYMIKALEKDFKRVVSIKWYYWIFVMIFLLLNVTGWHSYFWISLVPLALLLLIGTKLEHIINKLAYEVASKHAAGQGEGGIVVRPSDELFWFHSPRLVLVLIHFILFQNAFEFAYFFWTLVMFGVNSCIMDSLGYSVSRIIICVVVQVLCSYSTLPLYAIVSHMGSSFKSAVFADDVAEHLRGWADGARRRVRRSATDVDASCLGTPAAGRRGWEGAAGWRSLMAGRSRPTQQLRSISF >Sspon.03G0001260-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3B:11916440:11918494:-1 gene:Sspon.03G0001260-2B transcript:Sspon.03G0001260-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMPKNSCAGGCEIQASGINYRIAVSSRPHPPLKVWSRSDDDVHVQDHQDHHHSVRHVLRDVSCRARPGEVLAIVGPSGAGKSTLLEILAGRLSPSPQPQPDLLLLLDGAAAHSADLRRVSGYVTQQDVLFPLLTVRETLLFSARLRLGARLSAKDMHARADALLDDLTLRRVAATRIKDLSGGERRRVSIGVEAVHDPPVLILDEPTSGLDSASALQIVGALRAMAETRGRTVLLSIHQPGARIVKMFDSVLLLAAGSVLHQGTVDQLRALLGDAGLHLPPHVDAVEFAIDSVDALRLHRRHASAAGLQEPPPQPPQPSSREREGRCTLQHLFQLHGKQVADEDTAAVVPVMASSAAATAGSRYANSRAREVAVLSQRFFKNVARTRQLFACRTVCMLVAGLALGSIFYDLREDKVAERVGLFAFLLTFLLSSTTEALPIFLQERDILAKETSSGAYRVSSYAVANAVVFLPFQLALAVVFAAPVYWLAGLRRTAAAFGYFLLVIWLILYTANSVVVCFAAAAPDFVVGNAAIQGVMGSFFLFSGYFIARSAMPACWVFMHYLSLFKWPFEALLVNEFAGGGRCVVRALGECVATGDEVLRREGLGEECRWRNVGVMVAFMTAYRVLGYAVLRVRCSLALNKGAVAAGPPGLGLSLSRRLRSQLAIMGAAAWPSPSSSSSSTPT >Sspon.03G0026240-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:7374596:7376146:1 gene:Sspon.03G0026240-2C transcript:Sspon.03G0026240-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASAKLPVSKGIGGMDNDDVAAAGGKKAGRWGFVQFFFVLAVVLCVLLYAPRVLVISPYGYSIDVGLFAPTTTSSSSVPLRQRVSGSGNAGGDGGRVREVVLDNQVDSPCSSMRDHTICCDRSSVHTDVCFMAGDVRTDAASLSLLLFPPHEHQHRHHQAPNGTSEEERVRPYPRKWESFIMDKVPEVRLRVAAHRRPEKEHRCDVRHDAPLLVMSAGGYTGNLFHAFNDGFLPSWMTVQHLRRRVVLGVLSYNPWWAGMFSEVISGLSDYHVVDLLHDTRTHCFPGAIVGTRYHGILIVDSARLRDNKTIVDFHQMLADAYEKPPRETTTTTTTTTTVQQRRPRLGIVSRKGTRVIENQAAVARLASSVGFDVDILETADGRPLSAWYESLRACDALVGVHGADLTKFLFLRPGRASLTQIAPLGVSPIAREDFGVPAARMGLAYEQYEVLAGESSLARLYAADDAVVADPEKAMRDKGWDLVARVYLGGQNVTLDLARFRRTLARMHAHALRQ >Sspon.04G0007900-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:22485478:22488919:1 gene:Sspon.04G0007900-2C transcript:Sspon.04G0007900-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPAEAGAPAPPEAAAAEKGKRGGVLGRLWRALFGGREDFEKRLQYLSKEEAAVHARMRRRTQFSRRAVRNLIVLSVLAEKYDLDPAAKAAAASVLASKLGEETGLKFHVGEEPKLDAAVARSNDVEIVPSDGLRNRKQPNARGSRTGSPAAHTPAQGTESLPASAGLETAPAPMVVEHHQGSGASDGGGWIGKIAALLVGEDPSQSYALICGNCHMHNGLARKEDYPHVTYYCPHCHALNTSKQSMGQYSGSNSGRSTPVVLADGLSTSSSVQETELSNLTTLQELPEEGNAEKQEVEA >Sspon.08G0026720-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8C:31488676:31489188:1 gene:Sspon.08G0026720-1C transcript:Sspon.08G0026720-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding TAACAAVKFGDNSAVQIQGVGNLRYLVHTRPDLALAVGYVSRFMQRPTVEHQQAVKRILCYIAGTLDYGLHYPRCPGAVHFVGYSDSDHDGDINTSKSTSGSVFFLGKCLISWQSSSSRWWQCPTVKQSTSPPPPPPLKRSSWLSCWVIYLAKTLRQSSSEWTASPPRLP >Sspon.06G0004080-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:12425237:12431822:1 gene:Sspon.06G0004080-1A transcript:Sspon.06G0004080-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASSAHLFGLGDAQMQMQPPQQQHQQAAAPPPPNPAAPAPKKKRNQPDPDAEVIALSPKTLLATNRFVCEVCNKGFQREQNLQLHRRGHNLPWKLKQKNPKETRRRVYLCPEPTCVHHDPSRALGDLTGIKKHYCRKHGEKKWKCDKCNKRYAVQSDWKAHSKTCGTREYRCDCGTLFSRRDSFITHRAFCDALAQDSARVPPIGAGMYGTGGMALGLSGMAASHQLRSFQDQAHSSATTTIGSNPAAQFEHLMQSSTGFPAFRGAQPTSSSSSPFYLGGAEDGHQSQPGHTSLLHGNKQAYHGLMQLPEQHQPGSNGLLNLGFFSGGSGGQDARLVFPDQFNGAVGGNVRGDGSEHGNSGANNESAAIFSGNLMGNQMASGAGFSSSLYNSSETVAPPQMSATALLQKAAQMGATTSSGNVNSLLRGLGNGGGTGRPAGAAGFMAGESSSSRSTSQAENESQFRDLMNSLVASGSGAGTAFSGGFPGMDDSKLSTRDFLGVGGGVMRSMGGAVGLPLRHGAAGIGMGSMDPEMK >Sspon.08G0019370-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:11584950:11589373:-1 gene:Sspon.08G0019370-1B transcript:Sspon.08G0019370-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPALRPHRRLPVASLRRHLCTPSSSGTGKSDLPVDAAAMAAAAAKARAEAAARARAEAYKQVQNFDWSSGADWKTAANIVFTVPPKRKEFGLDFHLVQLFFVCMPSLAVYLVAQYARREIKRMEGEAEEKRKKDEEPEKQKQLEEESAKKDADSKLSKVLDRLDTLEAVVEEIVDDKRKLSSPDLPTKAEVAKKDKASPGKASDSKNDSQPVTVKSKDISCAANAPANTAKPNIKGICDKSFPVESES >Sspon.07G0026880-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7B:56646847:56649298:-1 gene:Sspon.07G0026880-1B transcript:Sspon.07G0026880-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADISERSGIHVLLLPYPSQGHINPILQFGKRLAAHGGVRCTLAATRFVLSDSQPCPGDAIRIAAISDGCDRGGRAEADTAAAYLSRLESAGSETVDQLLRSEAEQGRPVDVLVYDAFLPWAQRVARRRGVPSTAFFTQPCAVDVVYAHAWAGRVQPPLVGEEPVELPGMSLGLRPVDMPSFLADSSGCPAHLDLLVSQFDGLHTADHVLVNSFYELQPQESDYMASTWRAKTVGPTVPSAYLDNTLPEDTSYGFHLYTPQTATTKAWLDSMPPRSVVYASFGSIAAPTAVQMAEVAEGLYNSGKPFLWIVRASETCKIPDKFADKAKERGLVVTWSPQLEVLAHPAVGCFMTHCGWNSTTEGLSAGVPMVAMPQWSDQPVNAKYIEDVWRVGVRVRPDKDGVVRNQEVERCVREVMDGDRSMDYQQNAAEWKEKARKAMSEGGSSDNNIIEFLGKLGLKFGKRLVAHRGVQCTLAVTRFVLGQSGQPPPGGAVHIAAISDGCDRSGFGEAGGIEAYMARLESAGSGTLGELFRSEVGQGRP >Sspon.03G0005770-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:16312542:16316058:-1 gene:Sspon.03G0005770-1A transcript:Sspon.03G0005770-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SMAPTATAPAAVGRVTALGVAACERDVEKLEFIEEMTKNFDAEQVRVLAEILARNNGAEYLRRHGMEGRTDRLSFKARVPVVTYEDLRPEIDRIANGDRSNIISSHPITEFLTSSGTSAGERKLMPTIEDELNRRQMLYSLLMPVMNRYVPGLDKGKGLYFLFIKSETKTPGGLPARPVLTSYYKSDHFKHRPYDPYNVYTSPTAAILCTDSFQSMYSQMLCGLVARTEVLRVGAVFASGLLRAIRFLQLHWKELAHDLRTGTLSARVAEPSIREAVGEVLTKPDPGLADLVEAECGKDSWEGIITRVWPNTKYLDVIVTGAMAQYIPTLKYYSGGLPMACTMYASSECYFGLNLRPMCDPSEVSYTIMPNMGYFELLPHDPADAKDDPPPRLVDLADAEVGKEYELVITTYAGLCRYRVGDILHVTGFHNAAPQFRFVRRKNVLLSIDSDKTDEAELQAAVERASRLLAPYDAGIVEYTSQADATTIPGHYVVYWELMVREGGASPEPAVFERCCLEMEEALNAVYRQGRNGDAIGPLEIRVVRGGTFEEVMDYAISRGRPSTSTRRQVRVVRAHHRAAQLQGGVQALQPGVPHVQPAQEVIHRPEEPAWGWIRWIGSATS >Sspon.02G0015860-4D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2D:37203035:37206970:1 gene:Sspon.02G0015860-4D transcript:Sspon.02G0015860-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWRPRLLCCLFLSLSLAAAARAATVHQEWEISYQFKSPDCVRKLAVTINGQTPGPTIRATQGDTVVVRVKNSLLTENVAIHWHGIRQIGTPWADGTEGVTQCPILPGDTFAYTFVVDRPGTYMYHAHYGMQRSAGLNGLIVVAAAPGGPDAEPFRYDGEHHVLLNDWWHKSTYEQATGLASVPFGWVGEPQSLLINGRGRFVNCSSMAARACNATLPECAAPVFAVVPGKTYRFRIASVTSLSALNFEIEGHEMTVVEADGHYVKPFVVKNLNIYSGETYSVLIKADQDPNRNYWLASNVVSRKPETPTGTAVLSYYGGRSSPRKPPPTTPPTGPAWNDTTYRFRQSVATVAHPAHVQSPPPRADRVILLLNTQNKIDGHIKWALNNVSFTLPHTPYLVAMKSGLLGAFDQRPPPETYAHQGYDVYAPPPNQNATVSNGLYRLQFGSVVDVVLQNANMLDANKSETHPWHLHGHDFWVLGYGIGRFDPAVHPASYNLKDPILKNTVAVHPYGWTALRFKADNPGVWAFHCHIESHFFMGMGIAFEEGVERVAQLPQEIT >Sspon.01G0027540-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:90906059:90906697:-1 gene:Sspon.01G0027540-2D transcript:Sspon.01G0027540-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDALDHSDDEEEQGRYASSSGRPGSRLSARRRKRRSGGSYQHLLLMDCVGGSGSGGDGNGALEDTVPLPDYERLSQSAPLHDDADDDANNPPPALLAVVEEEEEEEQQQRQKAPAKQGAASSPQPPPPPVPQQQKPAAWRLIEYVRSRNRAGGPAGVGAGGCGAGSDGDSKSSEDGEDGGGEEGKNDKKKKKKKRSSWLPDPDRRWPVQGFY >Sspon.05G0010580-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:29969363:29974328:1 gene:Sspon.05G0010580-1A transcript:Sspon.05G0010580-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding HGATPAPLPTRPSRDADPPPPAPPPRLPVPPLLRRCPLAADGARHAAAGRVRLHVRLRRPVPSSPSGATAPPPPPPPEAPAAAPGPANAAQAAAAQLRGAARDRLRRRGRRNAGRPRRLPRAARVAAAAARQGRATVPILHSEHGL >Sspon.04G0000270-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:1855284:1864997:-1 gene:Sspon.04G0000270-2D transcript:Sspon.04G0000270-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GGEAGGAGAPAAAPRLGGAPMAVVVVVVLRLAAAGEFRMQEVQEQDYSHSSDVAVRGSSGFKLQFYPKLNVRNIAQEWVQETSRLFYLKTANNVTNNIYMGSTLLAGNVQDGLSEDRRGLNYPYLQNLRERVSSKSMNRHSDDRELVRHRMVEIPWRDYAPAEDPLLDKPNTEVILELDDEVHDGDDNKEKKLVVKKVVSPLPTKAAFSEESLKARKALASIYDKVLVVDNIESARSIVKLLTTKYKSFIHACHTEVADIDAKEKTPVGHGEVWHNYSSGSHVIENYGIKVAGFHADTMHLARLWDSSRKKDGGYSLEGLTNDRRVMDTVPEDLPKLGNISMKTIFGRKKVRKDGSEGKVVSIEPAKELQREDRELWICYSSLDSVSSLRLYESLKSKLETRRWVLDGYPRGTMYDFYEQYWQPFGALLVKMETEGMLVDRGYLSEIENAAIAELQVATDKFRKWASKYCPDAKYMNVKSDIQIRQLLFGGIENRHRPGETWPQSKTFKVLNEENVATEGKKTSKYRTIELCSIVEDLKTDKFTRGWPKTSEDALRSLAGKIPTKYIYTMDDIQEDDEDTSGSENPDGDSSCGTVYEAFGGGKNGKEACHAIAALCQIRFINSLLSKFILPLQNQPTLEKDRYNIRQAFVAAPGNSLIVADYGQLELRVLAHLTNCKSMLDAFKAGGDFHSRTAMNMYQHIRDAVHEKKVLEWHPQPGQEKPPVPLLKDAFGAERRKAKTLNFSIAYGKTAVGLSKDWKVSVKEARDTLKLWYRDRKEVLAWQKIQKKLVHEKCEVYTLLGRSRHFPNSGERGHIERAAINAPVQGSAADIVMCAMLEIERNARLKELGWRLLLQVHDELILEGPSESAEVAKAIVVQCMSKPFYGTNILRVDLAVDAKCAKSWCAAK >Sspon.07G0015390-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7B:59423163:59425383:-1 gene:Sspon.07G0015390-2B transcript:Sspon.07G0015390-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MERGAEMEEEWREMERGTRWGSRTAWDWVRGGEDGSSERTVFEEVRRLMELHKDEVTSITVVGHSLGAALATLNAVDIAANGLNDPASTSSSQPPCPVTAVVFACPHVGAGFFLPTGFR >Sspon.04G0021470-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:1082638:1090825:1 gene:Sspon.04G0021470-2P transcript:Sspon.04G0021470-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHARERPRPRQHIRVLVAAAMLLLSSALFTTARSPLAVSARLPNPTTTTRLLLFAGLPSSSPLRAFCPRVRPSPATCAAFSSTMAATDNPLLVAEFDFPPFDRVEPSHVRPGIRELLTRLEGELEELEKGVEPTWGKLVEPLERITDRLEVIWGMVDHLKAVKDSADLRAAVEEVQPDKVKFQLRLGQSKPIYEAFKAIRNSSDWDSLSDARKRIVEAQIKEAVLSGVALEDEQREKFNQIEQELEKLTQKFSENVLDATKKFEKLITDKKEIEGLPATALGLAAQTAVSKGHENATAENGPWVITLDAPSYIPVMQHAQNRELREEVYRAYLTRASSGELDNTNIISQILKLRLEKAKLLGYKNYAEVSMAQKMATVERVEELLEKLRAASWDHAVKDMEDLKIFAKDSGSPEANDLTHWDLTFWSERLRESKYDINEEELRPYFALPKVMDGLFTLAHKLFGVTVEPADGLAPVWHSDVKFYCVKDSSNSPVAYFYFDPYSRPSEKRGGAWMNVVFSRSRVLARNGLAARLPVAHMVCNQTPPVGEKPSLMTFREVETVFHEFGHALQHMLTKQDEGFVAGIRGVEWDAVELPSQFMENWCYHKNTLLSIAKHYETGETLPEEIYAKLVAAKNFRAGTFSLRQIRFASVDMELHTTYDPNGSLPIYDVDRRVAERTQVLAPLPEDRFLCSFSHIFAVLSADAFSAFEDAGLDNEKAIEETGRRFRDTVLALGGGKSPLEVFVSFRGREPSPEPLLRHNGLLPVAA >Sspon.01G0020620-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:80818793:80827191:1 gene:Sspon.01G0020620-2B transcript:Sspon.01G0020620-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPYAGGVQPPSPAAAVQQQQQQNHSLAFRVMRLSRPSLQPDLAALLRFDPRDVFLPEDALTGSDPSAAAKFLDGLLHPSDSATAVPGDFTFRDRFLLRDPADALALPGLLVLPQSFGAIYLGETFCSYISINNSSSFEARDVVIKAEIQTERQRILLLDTSKSPVESIRSGGRYDFIVEHDVKELGAHTLVCTALYNDGDGERKYLPQFFKFSVSNPLSVRTKDITYLEACIENHTKSNLYMDQLRSSSDEAGQTKSEGSSILGKFQITWRTNLGEPGRLQTQNIHSTPSASKDVDLRAVKVPPIIYVERAFLVNLCLTNQTDKTVGPFEVFLAPSMSGEDRAVLVNGPQKLILPLVEAFESIKFNLSMVATQLGVQKISGIAMYAVQEKKYYEPLPDIEIFVDAE >Sspon.04G0016800-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:65066396:65069532:1 gene:Sspon.04G0016800-2B transcript:Sspon.04G0016800-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIFEYNGSAVVAMVGKNCFAIASDRRLGVQLQTIATDFQRVFKIHDKLYIGLSGLATDAQTLYQRLVFRHKLYQLREERDMKPETFASLVSALLYEKRFGPYFCQPVIAGLGDNDEPFICTMDCIGAKELAKDFVVSGTASESLYGACESMYKPNMEPDELFETISQALQASVDRDCLSGWGGYVLIVTPTEVQERVLKGRMD >Sspon.04G0009140-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:23333386:23336390:-1 gene:Sspon.04G0009140-2B transcript:Sspon.04G0009140-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFMKLGARPDTFFTADSVRSVCTEVATDLQILVDNCLYHLHKFPLLSKCMLLQALCADAGDVVELPGFPGGAEAFEACAKFCYGITITVSARNLVPLRCAAAHLGMSEAADRGNLAAKLDAFLASCLLRRWKDALGVLHSTRHYAPLCEDLGLTSRCVDAVAALIVSPDTALPAKSSTSASPWWAHDIAELGVDLFWRIMVAVKATGAVQEKTVGDALKAYARRWLPNVAKDGLSLSADQPFDHDAGSGADVKQVTTRHRLLLEKIVSLLPAERDAVSCGFLLKLLKAANILSASAASKAELVRRVAWQLEEASVADLLVPSLSCVSETLYDVDAVSAILDEFALRCAAVPPGPPALALSGSPDDDSPAYSGGHRRSRSAESVSFDGTRRSLSAAPVSQGALVQVGKLVDGFLIEVAKDPNMPLDKLLAIAEAVPDSARPEHDGMYKVVDTYLKAHPEMSKSARKRLCRAHPEMSKSARKRLCRVLNCRKLSEKACTHAAQNELLPLRVVVQVLFFARSAALASGGHAAVAAADLPSNIRALLSNKSGSSEDEEADRVDEQRLRALAAGASPGDDWSVEGLRRAASKIATLRMKLAEDEDYEDRDADEFARKAGLARSASLRFRAFCAIPAGKPKRMLSKLWPLGRSGVSH >Sspon.01G0006970-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1A:18763349:18763726:-1 gene:Sspon.01G0006970-1A transcript:Sspon.01G0006970-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMMEQEAWHPQRSLPELSVNILISPRTLPPPVHLLVTIHMERVQAARDLHRHCDLCTSGHPRREISNCIDSPAGHGGGAWWAGGGAVQMRQCHGSWELVCGYAGAWAREVAGAEIQCLKAHLQG >Sspon.07G0035300-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:4905036:4909352:1 gene:Sspon.07G0035300-1D transcript:Sspon.07G0035300-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MANSNLPRRIIKETQRLLSEPAPGISASPSEENMRYFNVMILGPAQSPYEGGVFKLELFLPEEYPMAAPKVRFLTKIYHPNIDKDMPRHSEGQMESSSSDTYSSAEALLSAPNPDDPLSDNIAKHWKSNEAEAVETVTRVMFLY >Sspon.05G0032120-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5C:14018957:14020419:1 gene:Sspon.05G0032120-1C transcript:Sspon.05G0032120-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding NHFTLLNQAAGSRSLKLRERGLHDEVIKERLQHASEDCTTRSSRSGRNVLQPLLAPPVSNTRASPPAWLAATTAGCRLGAMVHKNTSGKAACARLMASTAACTRPVPAHQGTLVCNARMCSCSGRSCRTPVAACLHNRGPALATPPTACVVMTHADHPLAARNGRRPCVSSQPPAGHHTRHLWRGVTPCRASCKRGR >Sspon.03G0010140-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:32364202:32365816:-1 gene:Sspon.03G0010140-1T transcript:Sspon.03G0010140-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSSEELRASFSDLVIYVWVGCNTTKFGHLYAAATTRPAAAERKLVEKLKGLGYIKTAAVEANTSTVQ >Sspon.07G0014640-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:51647304:51652508:-1 gene:Sspon.07G0014640-4D transcript:Sspon.07G0014640-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGDDAVLQYALAAIADESAGAGEAAAALAALCDVLAVSGPDFILDIPHAGLAARLPALLAGGSGSGCDGGGDVPLLAARAIAEACEAAPEWASRFARHGAVEALRDRLLAVDCIELAEEKKRPSRLSELESKVSQLQDELKKAKEQLSSSEARRRHVQQEAEEAKMQEQAATLKLEDLQCQLDESSAAEESRLQELHKISQERDRAWESELEAVQKQKSVDAAALSSAMSEIQRLKQQLEASAESDAARAKQCEYVEAEIEVLKQEMEIRLATIEGLKFNVSESDKAAAEANAMANETKQQLETAKATIDSLVAEGVCMQECLRSKDMELSESKAHIASLQEDLKKAQDMANTDVSFGNPEPEALKKVLITTSDGNVNRGCESSDPEIEQLRTALEVAEIRYQEQQTRMTIEIKGLYEMLESVKAECAHKLSELRLELKNKNDALMEAMAAGACAAGEAQQDPHRPDVMQQPELEAKLMKSITDVAELKACLMDKENALQSLAEENETLKTQAGRTEAELQHKYEAAVAELELAKAAEQDVRMRLGLVTEEADKSSRRAARASEQLDAAQAASGEMEAELRRLRVQSDQWRKAAEAAAAVLGGGGGEERQWREDRGEDWVAGARVQQLH >Sspon.05G0016930-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:70507438:70511230:-1 gene:Sspon.05G0016930-2B transcript:Sspon.05G0016930-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRFILLQNRQGKTRLAKYYVPLEDSEKHKVEYEVHRLVVNRDPKFTNFVEVYLILDEFILAGELQETSKKAIIERMGELEKLE >Sspon.08G0001600-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:3036415:3038986:1 gene:Sspon.08G0001600-3C transcript:Sspon.08G0001600-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPAADHDEDLCASAAGSEAEDLAPSPPLPPPPVSADERVERAWAHWRRLGSPRLLVAPMVDNSELPFRMLCRRYGADAAYTPMLHSRIFSENEKYRSMEFTTCKEDRPLFVQFCANDPDILLQAAKMVEPYCDYVDINFGCPQRIARRGNYGAFLMDNLPLVKSLVQNLAANLHVPVSVKIRVFPRLEDTLAYAKMLEEAGASLVAVHGRTRDEKDGKKFRADWDAIRAVKDALRVPVLANGNIRHMEDVKNCLEYTGADGVLSAETLLENPALFAGFRTKEWKEDGDENGDSDLDQADLVIEYLKLCEQYPVPWRMVRSHVHKMLGDWFRVHPEVREELNKQNKLTFEWLHDMVMRLKELGGRVPLYRKESALETTLDGLASSNA >Sspon.04G0001420-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:5796284:5796646:1 gene:Sspon.04G0001420-3D transcript:Sspon.04G0001420-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAPCSTSSSVAARVPRSSAAAVAGNKKMTCLCSPTNHPGSFRCSRHRNGPAVPGGGGGGEAVHGASSRGGAGRATKGRSVRALLLQRIGGGPGELGRRRRRGAGDFQPRPSRLRLMNL >Sspon.07G0003320-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:7713380:7714810:1 gene:Sspon.07G0003320-3D transcript:Sspon.07G0003320-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAAAKHVVLFPFPGQGHLSGFMSLADLLHGALPDAAITLVSTPRNVAALRTTAWSNWSFLGFHALPFTPADHGLPPDCESSDAVQPGAIAGLLLAFESLEAAFDDYLSAAVAGGHDVCVVSDPFTAWTVTAARRRGCAHAFFASCGAYGSAIVHSLWSHLPVRPDPDTGRVHLPEYPEVVIHRSQLSKNASAPPAIAKRAAGFYGRQIPLGYKTGAVLINTVEEFEPTGLDMLRRTLKIPVWPIGPLVRTTNLPVSPEAEAAVVSFLDCHPPSSVLYISFGSQNSIRAEHMTELALALESTSRPFVWAVRPPDGRDIKGEFRADQWLPDGFEERARTSNRGLLVRGWAPQVRILAHASTGAFLSHCGWNSVLESVTHGVPIIGWPLAAEQFYNAKMLKEEWGVCVEVARGNMEDTVVNRAAVADVVETVMGQTAKAAEMRRRVREIKEVVEGSWKEGGGSSRKAMEDFLRAMNLR >Sspon.03G0000420-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:11809502:11810618:-1 gene:Sspon.03G0000420-2C transcript:Sspon.03G0000420-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding KGSMAEHKGLAKLLPEIATDDRTAIITSVNEAFARPNSLLGLFRESFWAGEGTKHFLDHVLVVHCKAVHPHCYLLEVKSSTNLKPVLREHLLERGLRWSSSGPNSRCACRTWRSCGMRKRNPFRHISVFRGHGDVERRRQHSLDDNWSNTGFYYVKATNRTVEMLRQRRAARRRFPPNHEQAIFNEIKHELAAALGVRIQFLHTARFAGFCRIFHSDMGAACTMHANCCFGLANKLHDLREVLGNWAASHEEYNSQFSR >Sspon.06G0023200-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:39964995:39968437:1 gene:Sspon.06G0023200-3D transcript:Sspon.06G0023200-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MYEQLRERRGFQSGPTNNQASNTEFLVHILTGTKTAFISDCGAENTLKPGDVIQCRECGYRILYKKRTRRIVQYEAR >Sspon.01G0034930-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:7394668:7397372:1 gene:Sspon.01G0034930-3D transcript:Sspon.01G0034930-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPSKRREMDLMKLMMSDYKVEMVNDGMQEFFVEFRGPNENLVNVFEVFLPQLLLYPNPSDPLNGDAAALMMRDRPAYEQKVKEYCQKYAKPEDAGVIPEDKSSDEELSEDENDSGDEEIMGKPDP >Sspon.04G0005450-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:11814157:11817411:-1 gene:Sspon.04G0005450-2B transcript:Sspon.04G0005450-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFADLEAGALRAPLGRKARGGSNDARALVFQITTAVASYRRLLNSLGTPKDTLTLRDNLNKTSQNILQLAKDAKDKLQKAAEADKSTDTSADKRIADMKLAKDFAATMEEFRKLQSLAIQRETAYKPVSPQNAQSNYTTDDRSSEPGNMPEQRALLAAKRQEVLQLDNEIVFNEAIIEEREQAIQEIQQQIGEVHEVFKDLATLEIDMNIDKSEVVTKEAKKEVANAAKTQKSNSSLICLLMVIFGVVLLVVIIVLAA >Sspon.01G0027580-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:96563310:96569247:-1 gene:Sspon.01G0027580-1A transcript:Sspon.01G0027580-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGLADDMAAAGKKLDDDDLATYILTGLDEDFDNVVTSIATRVEHITIPELYAQLIAHEQRKGLNPAHNGGHSANSATKSGRSSSSSSNNSRGRGGGRGGFGRGNGGRGRGVTTSGGSGHGRNFQPGIFCQICGKEGHPAHRCYKRFDSNYNGPPQKSVSSATSSLYGVDTNWYMDSGATDHITGELERLTMRNKYHGGDQIHAADGTGMEIANADVKEGSTRCNLHHRPLGAAKFPVSLWHHRLGHASSRVVKQVLDRHRLPFFHDSINDRVCDACQQGKSSTRLEADPPALAPSACADAVGASTSAAASIDSGLGLSAPPSAPCVSPQPSTEPQPDPTGGAAAPTPQISAPTEISSSGSSVVAAPAIVPGNLVPSQFYTSPLHHRHGITRALLYHEFSRAGARIGAAAADVGWTRNFLSLKGRDWLFVEAPVVIEHLGWTLLGISSVKMASSNGQTEAPAPSVPKNPAMASCRKKKTDDATFIEDLKDHIDEFIHASMDEHKTCFKKTIQKMFGMSKAVAERSAAEAKEAEVESALPLQTSVSQ >Sspon.04G0012010-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4A:38110621:38110941:1 gene:Sspon.04G0012010-1A transcript:Sspon.04G0012010-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGEEDTGGGGGAVRGAVLKALVVVGGVLLLRRLRRSTTRWDHARAVADALSGEKVTPPPSLEKCLVPGAFRCGRTENSSEKFRPFSSAARRKIPMRRRLFSECR >Sspon.05G0027050-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5B:49142511:49146027:1 gene:Sspon.05G0027050-1B transcript:Sspon.05G0027050-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPCVPFCFRPLSPLASGLRACTPPPTSLTASLLWPVPLLLLTTPSSVTRLNTITSACLGVRVTLTPLPLLLTSWTLAPRSVFSSGTLRITRGTGALTLLPDGFSSLAMWSSMSLSFPFPALPPLRYRTHPPCFPLTRWFSHLFRGLLQVPLRRAQCRPPVPAPLRVRAPRPPVRPRPLQLVQTRGLHPPILPRGGSCRLPTPDPVSAPAPAPPAPSRFAAPVRVYQRRPRPPPLVVPSPPGTPTPPPLSPPARGAPPVYHPPLLHRHPRHVHPMV >Sspon.02G0020770-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2B:67457353:67458640:1 gene:Sspon.02G0020770-2B transcript:Sspon.02G0020770-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVPRPKSKKPRVAAEGGAGVGGHEQQPECLAGGPDLISDLPDAILGTIISLLPTDDGARTRALSTRWRRLWRSSPLNLCDGDIRGSSGDITAIVSRVLSSHRGPVRRLSLGWPRYPIVYPDLDSWLRSPELGNLQELELWHGFTRPYPMPPAAFLLSSSLCALALSGSDGPFCHDGDYLKFPADDVDRLHFPNLKQLTIKCVIIAESALHTLLNKCPVLESLVLSQNVGFGHLQISSPTLRSFGVSDDRLELWDPERLKEVIIEDAPLLQKFFIRVQHYSEREGFSEG >Sspon.05G0001120-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:2547726:2550157:-1 gene:Sspon.05G0001120-2P transcript:Sspon.05G0001120-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MREVADWYFGENGWPSSKIFETPPSTDSDKDKLVDIIQDWKTERYKEIIKSGTVKPRPGVLRLMDEVKDAGIKLAVCSAATKSSVIMCLENLIGLERFNGLDCFLAGDDVKLKKPDPTIYITASEKLGVGSKNCLVVEDSVIGLLAAKGAGMSCIITYTPSTASQDFKDAIATYPDLSNV >Sspon.01G0026690-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:94545994:94556374:1 gene:Sspon.01G0026690-1A transcript:Sspon.01G0026690-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitogen-activated protein kinase kinase kinase 5 [Source:Projected from Arabidopsis thaliana (AT5G66850) UniProtKB/Swiss-Prot;Acc:Q9C5H5] MRWWKRSSSPSLSASSSSASTPASPARAATPRLIGGWGSGASSRRDGSGGSSEPQPRLIRARRMRYVVDDLEVGVSALGVDSPARRDAAAGFALATVSSTPISRSPSNVEVAPARSSSTPVLLPRPLPLPSEGESPCRGPWRPLPSPAPKMLDGEWNGPAADAPGVLETGSERMTPLLARRVVAQTLPKAHEHNDFRLNGTTCCQRRKAFQEKFQDKNCAETLNFRLNIPAKSAPSSGFSSPVQSPRRLSNVDFSSAAISIQDTNVWSARSLWSSDAMGSSPPCDSPDKFAGGQERSPLSSPLRSPVLKSRNPSAPPSPMHPKLFPENHVSRPDGNGSASFHPLPLPPASVSPKQTNVSHQLVPKAEMPSVAGQWQKGKLLGSGTFGCVYEATNRHTGALCAMKEVNIIPDDAKSAESLKQLEQEIKFLSQFKHENIVQYYGSETIEDRFYIYLEYVHPGSIHKYVHQHCGSLTESVIRNFTRHILKGLAFLHSQKIMHRDIKGANLLVDIHGVVKLADFGMAKHLSTAAPNLSLKGTPYWMAPEVVRATLDKSAGYDLAVDIWSLGCTIIEMFTGKPPWSGLEGPAAMFKVLRTDPSIPDNLSPEGKDFLRCCFKRNPTERPTASKLLEHPFIQTSNHYSPHSSPHSFSGIRSPDTVHSGARDKVPWKSDSCMRGKHANSETNSARSSGSSAYRLMTPLPNLGTSSLSPPPLSSASSSGSAAHAANSMHFSVAYHQPSPLPKPNGKEAINLISH >Sspon.05G0009230-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:26765555:26766807:-1 gene:Sspon.05G0009230-1A transcript:Sspon.05G0009230-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPFGPWADIPPELLALIGDGLHLLEFYSRARGVCTAWRAALPPPIPSLVTVTVPPPGASSLYRHFPEVFALFLPVERFLCVGSSNGWLAVDARTCYHGIYLVSRLSNGKEIPLLPQLRNENHYKPEPKIAFAPNPKPDDYVAIAIYDLRRLAYWMILDVAIGESDKFIDLAYDTDGGKVYCVTLLGDVHVLHIPQRQRRRPIVEPLLAERAGLPFDPAAAYAAPYDTASKFTSVKNVFFFGGNLYQVWRNATSTRSWLTPDGGRFVMLKDDIFVLKYNPERRPCWDAVTDLGGYSVFVGKNHPVVLQPKDAPGVTANCVYWINEQSRNEPMVFDMVTRTSTLHTSAAKALSPSCKPVCCWYFLDDKITEVQDNGRKRRLSIDHCEQVSKSSRKAH >Sspon.01G0021560-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:78926755:78931288:-1 gene:Sspon.01G0021560-1A transcript:Sspon.01G0021560-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRNGSVKRAASSGAAGPPSFSVNPADYRLMEEVGYGAHAVVYRAIFLPTKGVVAVKCLDLDQLNNNIDEIQREAQIMSLIDHPNVIRAYCSFVVEHSLWVIMPFMTEGSCLHLMKISYQEGFDEPVIGSILKETLKALDYLHRQGQIIVMSRLALVEYFWVETVSLFIFARFGTIQAGNILIDGAGVVKLGDFGVSACMFDRGDRQRSRNTFVGTPCWMAPEVLQPGTGYNFKADIWSFGITALELAHGHAPFSKYPPMKVLLMTLQNAPPGLDYERDRRFSKSFKEMVAMCLVKDQTKRPTAEKLLKHSFFKNAKPPELTIKSILSGLPPLGTLKDAAQLALKKMPSSEQEALSLLQQELNALYCREEIEDIRDEENEET >Sspon.06G0011700-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:51240984:51242967:1 gene:Sspon.06G0011700-2B transcript:Sspon.06G0011700-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Multiple organellar RNA editing factor 9, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G11430) UniProtKB/Swiss-Prot;Acc:Q9LPZ1] MAASLPTTAAAAQAFAFPSLKPTSASAFSSLPRAAAFPSFAAAAAPLRPRRAREPRPTAAGAGGDERETILLPGCDYNHWLIVMEFPKDPAPTREQMIDTYLNTLATVLGSMEEAKKNMYAFSTTTYTGFQCTVDEETSEKFKGLPGVLWVLPDSYIDVKNKDYGGDKYINGEIIPCTYPTYQPKERRTSKYESRRYERRRDGPPASRKPRQQAPQTESASS >Sspon.03G0030120-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:27504899:27506858:1 gene:Sspon.03G0030120-2C transcript:Sspon.03G0030120-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHEHDKMQRMTREAYLATLRRKSSCFSRGASGYRGVANHHSFREVLMRVLVRRHHHNGRWEARIGYACGKKYLYLGTFGTQEEAARAYDLAALELRGHAAVTNFDISSYTDGQQRAEPAVRKAQPKPALKPKDEPVDEAPPLPKARHPVPTPLLTPKPEPEYELGEPLALPPGPVLRDADDVDHAIAEILPALCMDPADFEARYPARRARALGCPPDDQLRGLALPDSVRFEDDIETLFDAAGGGPGEVHVHLPAAAGAVVPDVSGLDADAAATISSLASARWWR >Sspon.02G0013260-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:38069756:38074459:-1 gene:Sspon.02G0013260-2C transcript:Sspon.02G0013260-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to Heat stress transcription factor Spl7 (Heat shock transcription factor) (Heat shock factor RHSF10) [Source: Projected from Oryza sativa (Os09g0456800)] MEEAVAVAVAVAAASKSSGGRGGGGGGPAPFLTKTHQMVEERATDEVISWAEQGRSFVVWKPVELARDLLPLHFKHCNFSSFVRQLNTYGFRKVVPDRWEFANDNFRRGEQGLLSGIRRRKSTTPQSSNKSVGSGGVNVAFPPPLPPPPPPPASATTSGGNERSSSSASSPPRADQLTSENEQLKKDNRTLSSELAQARRQCEELLGFLSRFLDVRQLDLRLLMQEEGEDVRAAGAAGDDAQQAQRRAAVANQLLERGGGGEEGKSVKLFGVLLKDAAARKRGRCEEAVASERPIKMIRVGEPWLGVPWSGPGRCGGEN >Sspon.08G0014720-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:54685594:54688657:1 gene:Sspon.08G0014720-1P transcript:Sspon.08G0014720-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYDVVALASPERFVVGLDISDLAVKKAKQWSSSLPNADYFTFLAEDFFKWIPSEKFDLIFDYTFFCALDPSLRVAWAETVNRLLKPDGELLTLIYLISDQEGGPPYNNTVADYQKVLEPLGLKAILMEDNELAIKPRKGCEKIGRWKRCVHQSSL >Sspon.06G0015360-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:84732938:84734051:-1 gene:Sspon.06G0015360-1A transcript:Sspon.06G0015360-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPVANAKCCPSTSLMLSLSSLLALVLLPSMATCREEMKSIDHRGPPAFGPESLAFDHRGDGPYTGVSNGRVLRWRGWTEFAHNYKHEYDCRKGRAGRRGRAGGRWACSSTARRVTSTHADAYLGLMRVGRRGGLAELVATEAGGAPLNFVNGVDVDQETGHVYFTDSSATYQRRSVRPIPRPS >Sspon.01G0062620-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:105911328:105911908:1 gene:Sspon.01G0062620-1D transcript:Sspon.01G0062620-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RVWHEQGGQDVNAIGADRVRRRHGVLGARGLGVGVHLRRRRRRPRRPDLPDRPLALRLMPALMDAPAVCYCDTACVSSDPSLFEVHLRFEPSLASDRPVPHVSLDCVCVYRFSARLEMELFCRSEYVPCPTPCSDRVVCVWPYPVVPIDVSFCES >Sspon.01G0054600-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:63123263:63123482:1 gene:Sspon.01G0054600-1C transcript:Sspon.01G0054600-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AMHAQLWRINETTFNLRVNGGQFWGVNSTGALVATATTPGQSETFQECASGRQTGPALGEEQPV >Sspon.04G0013010-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:55893142:55905648:1 gene:Sspon.04G0013010-4D transcript:Sspon.04G0013010-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRWKLNSSVYKRVPSRETAMEPDVETPMRMTDSGSGAGPSWRMSLPHVCVATLTSFLFGYHSGVVNEPLESISADLGFAGNTLAEGLVVSICLGGAFVGCLFSGSIADGIGRRRAFQLSALPMIIGAAISALTNSLEGMLLGRFLVGTGMGLGPPVASLYITEVSPPTVRGTYGSFVQIATCLGIIVSLLIGTPVKDIDRWWRVCFWVATIPATLQALGMEFCAESPQWLYKCGRIGEAEMQFEKLLGPLHVKSAMAELSRSERGDDGESVKYSELFYGRHFNVVFIGTTLFALQQLSGINSVFYFSSTVFRSVGVPSNLANICMGISNLSGSIVAMLLMDKLGRKVLLSGSFLGMAFAMVLQAVGANRQYLGSTSVYLSVGGILLFVLSFSLGAGPVPGLLLPEIFPNKIRAKAMALCMSVHWIVNFFVSLLFLRLLEQLGPQLLYTIFSSVCVVASIFVRRHVVETKGKTLQEIEVSLLQPQ >Sspon.01G0048310-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1B:108337551:108337649:1 gene:Sspon.01G0048310-1B transcript:Sspon.01G0048310-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DRTDPTSSTDDHAMLVLPVRRYKARAIILFYY >Sspon.07G0005050-3C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7C:8322408:8323352:-1 gene:Sspon.07G0005050-3C transcript:Sspon.07G0005050-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVANNLPAPEQVISMYKAKNINYVRLFHPDTTVLNALRGSGIGVVLGTLNEDLPRLASDSSYAASWVATNVQPFAGAVQFRYINAGNEVIPGDTAAQVLPAMQNLESALRSAGVTGVPVTTAVATSVLGTSYPPSQGAFSEAAAPVMAPIVSYLSSKGAPLLVNVYPYFAYSGSGGQVALGYALLSAEAGGAASSSVTDGGVVYNNMFDAIVDATHAAVEKAGVQGLELVVSETGWPSGGGGAGATVENAAAYNNNVVRHVGGGTPRRPGKAVETYLFAMFNENGKAEGVEQHFGLFQPDMSEVYHVDFTAGSS >Sspon.03G0013450-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3A:38160252:38161905:-1 gene:Sspon.03G0013450-1A transcript:Sspon.03G0013450-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein NRT1/ PTR FAMILY 6.2 [Source:Projected from Arabidopsis thaliana (AT2G26690) UniProtKB/Swiss-Prot;Acc:Q9SZY4] MHLPSAAAANVVTDFLGTSFLLCLLGGFLADSFLGRYLTIAIFALVQAIGTGLLAVSTEVRQLRPPPCGPSAAGPCEQATGLQMGVLYVCLYLIALGTGGLKSSVSGFGTDQFDERDARERSAMGLFFNRFFFFINVGTLLAVTVLVYVQDHVGRSWAYGICAGAMLVAIAVFLSGTRRYRYKRSSGSPIVHILQVLVAAARKRNIVKQPLTATALYEDRPEHARIPHTAQFPCLDRAAVMAGDSDNEVGHDGRSTPNPWKLCSVSRVEEVKMVARLMPVWATTILFWTIYAQMITFSVEQATTMDRRMDGFEIPAASLTVFFVGAIMLTLAFYDRVFVPLCRSLVTGRHGFTNLEKIGIGLVLSIIGMAAAAICEKKRLAVAATSGGAGHGSLPISVFMLTPQFLLVGAGEAFIYTGQLDFFITRSPKSMKTMSTGLFLTTLSLGFFLSSALVSLVKSCTQWLGDTINHSRLDYFYWLLAVLSVVNLVAYLVCAMWATAPASSQAEQPQSAMAADEKC >Sspon.01G0022090-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:80917444:80918130:1 gene:Sspon.01G0022090-1A transcript:Sspon.01G0022090-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAPPAAPPAPPTAPWRRHAPAAASSTPRTVLLLLPILLLLLFVLSKAPDLTFSTTSSSTADTAAASSSRHLPGDLRSFDCYASQQAFPVVANLVEGVPYPFLYSLADMGTLPDHPHKNIARLLKGKRFRKPDISETIQELLGGEVGRGEPSGGLVVDVGANVGMASFAAAVMGFRVLAFEPVFENLQRICDGVYLNRVQDRVVVYHAAASDRVGTITMHKVLVLSIF >Sspon.05G0009650-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:19118185:19122894:-1 gene:Sspon.05G0009650-3C transcript:Sspon.05G0009650-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein ALTERED XYLOGLUCAN 4-like [Source:Projected from Arabidopsis thaliana (AT3G28150) UniProtKB/Swiss-Prot;Acc:Q9LRS2] MAMAKESVAPPDLHGRNHTRRPTHVFPGGRTVALAVMVTTVLFILLLPTRHPAAFYRSPMPTHISPLEMKTGHGDKACNPFNSTWVRDFRGPLYTNITCPTMPESRNCGKYGKQMDYVNWKWMPHGCDMVRFEPQLFLNIVRGKTLAFAADSIGRNQMESLLCLLSQVETPTKVHSDTKDKFVTWHFRSHNFRLMALWTKFLVEASEREINGTVVEMHDIHLDKLDARLAANLPEINILVISSSRWFFRKNYLYEGGKLTGCIYCSEDNITSFDVTTAIQRALRTALNNLSNCQECGLQLTLVRTATPAHFENGFWNTGGYCNRTEPMGGGEAMTRTVEWAIRNVQVEEASRAQNENNHRRRMNIEILDITKAMTMRPDAHPGIHWNNKWMRGYSDCSHWCLPGPIDMWNELLLSVLKKYDHVADVGEVERRSGRGRGPGRAHRVKRHLCGARHLPFIFTLPFAALIIPVALRCGAVVVGVGGAVEPHEHRGVRREPAAVVEVPADDEREELRVPLRRGPPHGLGEGGGEEADERLGHGVVVGPAPEGAHGEEAVREGVLVAERRELVELRERARRQEDAGATRAGSARGGGGGG >Sspon.01G0025810-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1C:90693019:90693378:-1 gene:Sspon.01G0025810-2C transcript:Sspon.01G0025810-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVPLPWPLAVSVRRSLQEGAAGAASYAQAQRAPQQGAARSATTARSVETLVVIVAAIVLAAVLAGVLARVCGGRHVMPSAEYHEEEGWVEKRCRSCLDSGLPPPPSAQGSSKTSEAK >Sspon.03G0034820-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:77121150:77128264:-1 gene:Sspon.03G0034820-1B transcript:Sspon.03G0034820-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAKGGAPEVIVVGAGPSGLAASACLSMRGVPSLVLERDDCVGSLWRKRTYDRLSLHLPKYASALPHAPHPADAPNYLPRDDFVRYLDGYAERFGVRTRLRRDVRAARFDAARRRWDVEAVDLQTGEAERYAPRFLVVATGSYDEKFVPEVPGLEGFPGKVMHASEYRSAEGMMGKTVLVVGCGNSGMEIALDLAQAGAITSIVVRSEVHILTKWILNLGVTLGFYLPVWMIDKVVLLLCYLVFGDTSKHGIPRPAIGPFARTQQTSVLPVIDVGTYNKIKSGEIQVISAGLKSVHGNVVEFADGRQYPFDAIVFATGYRSAIKRWLQDGGDLISDNGILKQRSPKAENGLYYAGLSGRGIFGSAMDAEFIAGDISKQLFPQAQGKPDH >Sspon.01G0023560-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1C:83133415:83134152:-1 gene:Sspon.01G0023560-2C transcript:Sspon.01G0023560-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSYDEPPQVTSSPMTPDQLTTAITDLARSIAAIQSYLGILPLQPASWLLPQSAVVSLPPVFPYGIPGYGMTLLPFQDMQPTPMLIHQVSAVVRLQAATRGLRARRRLQEMRQKMKEAVLVAVRLQAAARGLLARRQAREMRGLQLVPVPRAPLLRHQAALRHMEGPDLVRCVMEIGRGIATSGGELVVYSAGVWGRGCVATHRRTVISATVLRHRPPEDVSAGHYRD >Sspon.06G0005910-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:19904833:19905451:1 gene:Sspon.06G0005910-1A transcript:Sspon.06G0005910-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGEEQQQRIELALPPGFRFFPTDEELITCYLARKAMDGSFTTAAIRDVDLYKTEPWDLPCEQQAAAVGGDLQEGYFFCTRGSKSPSGVRARRATQLGYWKSTGKDKPVHSRSGRLVVGTRKTLVFYRGRAPRGEKTDWVMHEYAMGERRSSALLRGAQ >Sspon.01G0062320-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:103192487:103195242:-1 gene:Sspon.01G0062320-1D transcript:Sspon.01G0062320-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNLPEEHLPDGAARHPYRGRPPFPWLPPALPPSSPAVQTGTPATHTGGDLLAAVETEPTGENAALEELDWGSIDDEDGAFGQILASAAKLHGADLEVAEVQIDGKGKASDATGQGYPLGGSPGMGAGAVLKEPAVRLATAASGDGDDSLLPSTDGRKQSTIDGGDPAYPEMAESPTRQSVLGGGARWGRRTTQALGDTNLTVTKLLSRNRFVASELYHPKAPNIPIRGQQSRGRSFLDAVRSRPCPVRSNAQLQQRQRKREQWRPLSLAGKPAPPAGYKTSFNGRCFRCLGSDHKLAACRDPLRCLACRRNGHLARDCPVKRVGAHRPPIRSRLKFPSTSVHSRISFPAHPVPPIQSRLVFPPLPDLEGGGPRSCDRSEEEGVGEDDLVTKLSTRVVLLTALDRPVHDDIGIGDITRVIAGCIRAPPSEMRTTRHKPEDFMICFGDPRQRTSALRVGTVRVKGVNFAIRPWVEHAHGGEATWWYHVRVAIENLPSQAWNLEGLNKVLGEVCLMDKIDRVTYRQEASDVIFCWAWMWFPDLLPRAKTITFFEHGAGRALPSVDEPQRPREVAAPPKGMIYNLIIHLDVVEDWSPPRVRTPSSGQSGIPSSVSSEEEEYPRIYNFEWTAGVLDGMRARHGPAGPAMCRPQPGAHRLDNNDDGDDNGPRPPPRGLLQRAHSLTTALRTRTRWGRQEIQGRGRRLARDPPSPRMRDPMRLENAEWERRRSLSPVKAVAPAAGGAADEGVDERHSMHIREGWGSALLSGSLHLADAAPSPPMLHPDLMMDFYKGLCEDDITTANCGGYMGWDPMLGEASAMCCEVAV >Sspon.08G0022320-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8B:48173011:48173395:1 gene:Sspon.08G0022320-1B transcript:Sspon.08G0022320-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding KQYSWPGLSFSCELRAEELGLASQRILPAEPCKQAKRSNRPASQPRRRPIVKLARSIALAAGGRPGHRKLRAMEEQLGPVAV >Sspon.05G0008680-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:19901363:19902778:1 gene:Sspon.05G0008680-2B transcript:Sspon.05G0008680-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding NAASSAPLHGHGHRHRRRRQGREQQQQQVGHRGVVLLVDRLHVVRRGGSRVRGVVVLDVPPVAPFTLFNDERQPRRVLRPRHHAAPSVRVVRCRRGGGGGGLPPRRPRRRGAAGVRGAPRPVDAGGDLHLRRRGRRGGRGLGQVRGAPPRRRRRGGRRRDGAPGRAAAPVAGILALARQAPPRRGAPHGIRSPPPVPRPVQPRDRPAPPPPALDRARRRRVGRGGVGRRAPPLLRVLGQDAQGVGAAVAAVPAVAAGARRRRERRGRGARRHRVHGLRRQARPRVGARRPPRQQALAAVVPARRARRQQEAPAAAARRVPPGRHAVPPHGGSERPGRRVRGPGALLGRQRPVRPGVGAGGQRQPHGRGRGPQGPPQGRALRRVRAGRAGRQRVRGPDGAGLAPRRGRQGLRVRRRDRRPRHRRQVRRGGACVDPEAVPGGRCRRRRRGGVEGVQRELRRRGAGVVAACVGFV >Sspon.01G0017890-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:68471328:68473228:-1 gene:Sspon.01G0017890-3C transcript:Sspon.01G0017890-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSPARAGGAVEDQAEADVEETGRGTWRHAAFHVATTIATPAAYAPLPFAVASLGWPLGACSLVIGTLVTWCSSLVVASLWRWNGEKHTNYRLLAESIFGPWGYWYVSFFQQVASVGNNIAIQIAAGSSLKAVYKHYHTADDGAMTLQQFILVFGALELLLSQLPDIHSLRWVNAICTASTVGFAGTTIGVTIYDGYRIERKEISYSLQGSTATKIFRAFNALGTIAFSFGDAMLPEIQHCARTGEGNMYKGVSAAYTIIVVSYWTLAFSGYWAFGSQVQPYILSSLTAPRWATVMANLFAVIQIAGCFQIYCRPTFAHFEERVQAKNRSCISYLCRLTYTSAYMAVITLVSAAMPFFGDFVSVCGAIGFIPLDFVLPAMALLKTWTMPDNPGLQCAVKMLSAAVAILFSIIGALACIGAIRSIALDVKTYKFFHDM >Sspon.07G0026690-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7B:54551017:54552519:1 gene:Sspon.07G0026690-1B transcript:Sspon.07G0026690-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAQYWFQNQHSQMQAKAMVQNSKVAQRENAALMAENSQAILKMSCFTCGGATVPTVLPTRNRRLLMENGRLRGDYIRATALLNQILLSAPPAERPSVIARVGEGASRANRAGHLRRYLY >Sspon.01G0018400-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:65001537:65009313:-1 gene:Sspon.01G0018400-3D transcript:Sspon.01G0018400-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLAMALSASASVLGSGGAGGPDGEQIRKAKLMSLGSGGSGAASDQGAVDSPESLSRRYRDYNFVDYNEKIIDGFYDIFGLSAELSRQKKIPSLAELQMSIGDLGFEVIVIDHKFDNALREMKEVAQCCVLGCADISVSVRRIAEILADTVGIPYKLVKGSHYTGVEDDAINIIKMGNDMEYLVDVMAAPGTLIPAYFFNSKGTSLKPHQTLIQNQVSDSIINMDNEPVALHSDNINWVSGNHSGYEKTTASSSQDPWAATLPVTAGCSASAPCALTTQQQSEQTLSAGALSKQKENMELLPDPQDNESKKIFSGLNPLRAIGSGKSSVALKRLDNRNNDFQRRRENLAPVPSRSQQPLVIKKLSNFAEGVVPRRNTVDNAPSSSQVGWSAAQHCNSNAVEHNNKSYVAPVRKYNNGTIVSSDVTTASTSRERLDGSNMGATSDYDMIGTPSVNTGCMYGIGSVVEKGPCDDLEKGPMHSRSDSQLSVNADGFSLQVNENKENYGKPDHKQLYPDPRKSPPERFIGAPKQHSGSVSPSQVGSNRVAIVLEDVSECEILWEDLVIVERIGFGSYGEVYHADWNGTEVAVKKFLDQEFYGDALDEFRCEVRIMRCLRHPNIMLFMGAVTRPPNLSIVSECLPRGSLHKIIHRPNCEIDEKRRIKMALDVARGMNCLHTSVPTIVHRDLKSPNLLVDNNWTVKVCDFGLSHLKHSTFLSSKSTAGTPEWMAPEVLRNEQSNEKCDVYSFGVILWELATLRTPWLGMNPMQVVGAVGFQDRWLDIPKEVDPLVAKIIWDCWQKDPNLRPSFGQLTSYLKPLQRLVAPSHQEMPRPPAPQ >Sspon.07G0031960-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7C:39875452:39877125:-1 gene:Sspon.07G0031960-1C transcript:Sspon.07G0031960-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RTDNAIKNHWHSSVKKKVDSYRSSGLLAQFQGLTPVECTPGGLNVDSSSAMTNQISEDNGFNVFREAEDSMELSQSSFAKGSCSQEEQTDVTLGSHLHVHESLCQDGFTNAENGASALPDMHHHLPTSDMDQDKHLQEGIDLDKHLQQEFSQGIDLHLDIDEVPNNFVIRDSQASNELAVQFQDTQIMNSSENDGVSLIPYAVTPCVPILPSVSGCEHNINVMSEVGIKNDNCFQSEKWQDISIQSGAYSSEAASNFSAPLYPLQTAEPATMMGGPVYYQSSVTSLPLGFISSDGASNASGVKFETSHNPVSRQDLEIKTCHNPSGDPDQNSYISSEDDRNRTSEPMDSIPESEKKQQVDVEQSCLEPAAYSGKEPLSSHGDTILSEKEDAGALCYEPPCFPSFEVPFVSCELVNSSDLPEYSPLGIRELMRTSLNFPTPVRLWGSPPRDGSPDAVLKNAAKRFVCTPSIMKKRPRDLSSPGPDIRNETKSNTEKDCRSSGMSSTRVGKSCIDSPDDSADLVSPKERTAFQKKVKLSHDNKENLNEITDQGENEGNAK >Sspon.03G0022030-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3A:67082473:67093078:-1 gene:Sspon.03G0022030-1A transcript:Sspon.03G0022030-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQNVWEADKMLDSYIYDYLLKRNLQNTAKAFLAESNVPSAPVLLPLFYIATAIDAPGGFLFEWWSVFWDIFIARTNEKHSDSAASYLESIKAQEQQQQSQHQIQMQQLLLQKHAQQHPQEQQQRRWQQKQQQRSENTDFTTSAQNGTVAADPPVRQNDTAASALSAKIYEDRMKITVQRDVSEEAFMKQRLTESIGPLLESNPTSMLKSPARSSLASGQIFHRSAGGVSGSLLQAQGLEHLRSGFLQHKSYMHPAQPLQHQLQFLTQQQQQQILLQVQAQQNVTSPGDMDNRRLRMLFGSRNLVPGRDGQSNAFAEIVPSVGQSLQNMCLPTQRAETDMLMKVSKNQNGRKRKHPISSSGPANSSGTTNTAGASPSSTPSTPSAHSPGETISTPLQHQNASSCTALVVYSSEGAVPIGSPTNQLVDMDRYVEDGSMEDNLEPYVSHNGTNPRAAGSHCISSGKGYILREVSSAQASTSGVLCCHFSSDGKLIATGGHDKKVFLWNADNLKQKSMLEEHSLLITDVRFSPSTPCLATSSFDKTVRVWDAANLGYSIRTFTGHSASVMSLDFHPNKDDLISSCDGDNEIRFWSIKHGNVVRIFKGGSTQLRFQPRYGGYLATASDNVVSILDVETQTCVRRFESHTKGVDSVCWDPTGEYVVSVSEDTVKSLELWDMSENRSMTIAAHDSLVSSLASSSSGLVASTSHD >Sspon.03G0018910-2P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3D:44379981:44382141:-1 gene:Sspon.03G0018910-2P transcript:Sspon.03G0018910-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMSNVFCIPSLSLRALTVLSVFAVLVSDVGGRHHVCPPYFSCGGFSNISYPFRRQGDPSWCGVQSCELVCTDTDATIRIGSGIYDVVNINYTDSTFWVVEADLGTRSSCLLPQWDRHSYVHYYEFEVSPRPSEWTTWATFVNCSQPIENNDTYRTVVCPSTNSSFVYVMTGSNSPSAENFEPSCGYLAMTPLGGRGMMVPDNASYPDVIKFMRKGFALQFPDGAVGIRGCFAESWSTLFALMPLAVLAFLAHKYWKTRITIDAVEKFLQMQRMLGPTRYAYTDITALTSHFREKLGQGGYGSVYKGVILPGNMYVAVKMLGNSNCNGEEFISEVATIGRIHHVNVVRLVGFCSEEMRRALVYEYMPNGSLDKYIFSLDKSFSWDKLNEIALGIARGINYLHQGCDMQIVHFDIKPHNILLDSNFVPKVADFGLAKLCPRDNNFVPLSALRGTIGYIAPEMVSRSFGVISSKSDVYSFGMLLLEMAGGRRNVDPNASSSSKVYYPSLVYSKLRQKDVSKISEGVDMHELEKKLCTVGLWCIQMKPCDRPTMSEVIEMLETSIDGLQMPPRPFFCDDEVEDSYHMSSELSAIEEDDE >Sspon.05G0011520-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:27885810:27900466:-1 gene:Sspon.05G0011520-2B transcript:Sspon.05G0011520-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDSDVDEDELLQMALQEQAARDLSHQRPPAANKPVVNLVRPPAPNARGGGNARAGGGAAAKARQPSRGGDEDDDSEVELLSISSGDEDDNPRARGPPPPRGGGGGGGRAGARRAASRDDGDFDDEEPKSWKRVDEAELARRVREMREAKVAPNIQELDQKAAAAAAAARKALTTVQTLPKGVEVLDPLGLGVMDHKSLRLITDASVSSPISREKSQGLDPSMRDKVIYSSPNFDPKVFLSWVHKDTSAADLEAGALTLKTDLKGRTQQKKQLVKENFDCFVSCKTTIDDIESKLRQIEEDPEGAGTAHLYSVTQKISGVANRAFEPLFERQAQAEKIRSVQGMLQRFRTLFNLPSAIRGNIRKGEYDLAVREYQKAKSIVLPSHVGILKRVLEEVEKVMQEFRGMLYKSMEDPHLDLAELENIVRLLLELEPETDPVWHYLNIQNSRIHGLFEKCTQDHESRMEVLQNKIREKLLSDSKWRQLQQDSNKSAASGNVVSDSDMNAKPAANKNDDKGGEVKYTNHTLDEVASMVRGTISAFDTKVQSTFRDFEECNILCPYMSDTIKEIAKACQTLEGKDSSPTAVKMLRTLHFEMTKLYILRLCSWMRATTKEISKDETWVTLSTLERNKSPYAISCMPLEFRDITISAMDRIDTMILNLMSETAKSFDISQPLQEINESVRLAFLNSFLDFAGYLERFGGELTENRPNKENNYVQNGYINGTRETSANTDGDLHKKLLVVLSNIGYCKAELSEELYNKYRHIWSPVRNNDERSSDMRDLMTSFSALEEKAWTNILLQRSAAQSYLLDSGIYWGAAPMVKACESVAEAMENPGHQRRPTRGSEDAASDDRQSSVSPDDLLLRQQAQRPAAYSSYQAQVPQHAPVQTSSPSFRRQQTGTSSPVVSRRRRMILNLMSETAKSFDISQPLQEINESVRLAFLNSFLDFAGYLERFGGELTENRPNKENNYVQNGYINGTRETSANTDGDLHKKLLVVLSNIGYCKAELSEELYNKYRHIWSPVRNNDERSSDMRDLMTSFSALEEKALDQYTFAKSNVIRSAAQSYLLDSGIYWGAAPMVKGIRDATLDLLHILVAVHAEVYSGARPLLEKTMKILVEGLVDIFLSVFHENKTKDIRLLDANGFCQLMLELEYFEAVLHTYFSPEAQQAMKSLQENLLEKACESVAEAMENPGHQRRPTRGSEDAASDDRQSSVSPDDLLVLAQQYSSDLLQGELERTRLNIACFMESTLQSTAAPAGSKAAAYSSYQAQVPQHAPVQTSSPSFRRQQTGTSSPVVSRRRRWFVVIGISACFVGKAIVL >Sspon.05G0011220-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:5283989:5286718:1 gene:Sspon.05G0011220-2C transcript:Sspon.05G0011220-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRVLPPALLPLILLLLLPLSARDTVAAGEDFPRDGRVIDLDESNFEAALGAIDFLFVDFYAPWCGHCKRLAPELDEAAPVLAGLSEPIVVAKVNADKYRKLGSKYGVDGFPTLMLFIHGVPIEYTGSRKADQLVRNLKKFVAPDVSILESDSVIKTFVENAGTSFPMFLGFGVNDSLIAEYGRKYKKRAWFAVAKDFSEDIMVAYEFDKVPALVAIHPKYKEQSLFYGPFEENFLEDFVRQSLLPLVVPINTETLKMLNDDQRKVVLTILEDDSDENSTQLVKILRSAANANRDLVFGYVGIKQWDEFVETFDVSKSSQLPKLLVWDRDEEYELVDGSERLEEGDQASQISQFLEGYRAGRTTKKKISGPSFMGFLNSLVSLSSLYILIFVIALLVVMVYFAGQDDTPQPRRIHEE >Sspon.07G0008130-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:22110548:22115048:1 gene:Sspon.07G0008130-1A transcript:Sspon.07G0008130-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:65-kDa microtubule-associated protein 5 [Source:Projected from Arabidopsis thaliana (AT2G38720) UniProtKB/Swiss-Prot;Acc:Q9ZVJ3] ELWGEIGQGEMERDRMILQLEEDCLNVYGQKVNQTRKQKADLLQELSFGEADIDKILSALGERETFPRSEKLGGTLMEQLAKIQPVLEDLRRRRDDRLNDFRAIQLQIVRLQAEISGAIDHGDPAAPMVDENDLSLKRLGELKEQLNDLQTEKECRLQKIDIQTNSIQEMCNIMSIDLKKALKDVHSSYAELGRTKPMSISNDSLDRLSEKVHALNHEKKQRLRKLQDLGSTLIELWNLMDTPTDEQRSFDHVTSLIKVSPNTVMPPGCVAHELIEKVETEVKRLTHLKASKMKELVFKKMTDLEEIYRSVHMDINSVSERQTLSDLIDSGRADLSELLTRMDIRIAEAKEHALSRKDILEKDQNRYNAGRGAHINLKRAEKARTLVSKLPYLVENLTAKIKAWEKEKEYTCTRQQKEEEKRRSRELKKLPSTEQGAKFVTKPSPIRPLSARKPLGSSNVNNIGGTPTGRRVSTPMSRKCRPSSGRVQEAVKTAVAPANYVALPKDCSDNSSL >Sspon.04G0021130-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:75961877:75963667:1 gene:Sspon.04G0021130-2B transcript:Sspon.04G0021130-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPHEPDNCGSEAALAVVTTVDVTAARDLIISSAGGHRYLDVRTEDELAKLGHLVEPQNSLNVPYMFITPEGSRVKNAQFVEQVASHFSSKEEPVLVGCQSGKRSELACIDLQAAVRTVHNIVTDILYYQQLAYSDAHNIRLQGFKNVKNLGGGYLAWVHHGFPVHHPLPAPGAGDMEHDASPRPPTPPPAPSNQA >Sspon.05G0010010-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:23525321:23535334:-1 gene:Sspon.05G0010010-2B transcript:Sspon.05G0010010-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRELFLALLCVAACALATGPADATRKMVGVYELRKGDFSVRLTNWGARVMSVVLPDCKGNLADVVLGRDTIAEYVNDTEYFGPITGRIAQRVARGRFVLDGKVYHMHKNDGRNTIHGGDRGFSRSIWTVKEYVGGGESPYITFYYRSFDGEQGLPGNVDAYVTYRMSGPYTLGVHMNATALDKATPVNFLLHVYWNLGGEGSGRDVLGHTLRLHASRYAVLDDELLPSSGRIESVAGTPLDFRTPTPIGSRIRQVVVMGGRAVGYDANYIVDGGEGTMRPVAQARDPASGRALELWANQPTMQLYTGNFLNHTKGKRGKVYDRYAGFCLETMGYVDAVNHPEFPSQTLRPGQVYKHDMVYKFSVQLLALLCLAASLSLGAPATTGPDARVGVYELKLGDFSVKVTNWGARLMSVVLPDCKGNLADVVLGRDTLAEYFNDTSYFGPITGRVAQRISRGRFVLDGKVYHLQRNDGNNTIHGTFAYTQSQRIAHYSATKQSFIHLQLYLFVHSGGGTAFSKSAWTVKEYDVGGGDSPYITLYYHSFDGEQGFPGSLDAYVTYRVSGPYTLGVHMNATARDKATPVNFLLHAYWNLGGEGSGRDVLGHTLRLHASRYAVLDAELLPSSGRVAPVAGTPLDFRTPTPIGARIRQVTGGKVVGYDANYIVDGAEGMMRPVAQVRDGASGRALELWANQPTMQLYTGNWLNHTEGKGGEVYDQYAGFCLETMGYVDAVNHPEFPSQTLLPGQEYKHDMVFKFSF >Sspon.07G0015610-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:53374495:53379460:-1 gene:Sspon.07G0015610-2D transcript:Sspon.07G0015610-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding NMNFSGQVPRQLGNLTKLKYLNIEVQNINNQYSYLSDVSWLANLHSLEHLDMSAVDLRTVVDWVHWVNTLPNLRVLDLSFCELNNSIPSLVHHNLIVLENLDLSGNSFDGPAAPNWFWDATGLKSLDISACLFSGPFPDELGNLTMLQTLNMQYNEIQGMIPSTLKSMCSLQNIYLEEVNIGGDIAHLMEMIPNCSFNSLQMLLLGDTNITGIIPKSISNFTALSILDINFNHLKGSVPVEIGMLKNLTELYMYGNNFSGVISEDHFSRLTNLKDIDLTHTHLQVMVDSNWEPPFDLQTAYFSSCYLGPQIPNWLRWQKSVSYLNISDTGLIGVIPNWFWSTFSNATFLDLSYNQITGELPPNLEFMFVEIFFLQSNQLVGSVPRLPRNIYLLDMSKNSLNGQLPSNFGGPNLQTAVLFSNRIVGVIPDSICQSLQLEILDLSSNLLTGELPDCGRQGFKQQNPSSSNSSRVDSTNSYSLKIIILLLHNNNLSGGFPLFLKQCQNLAYLDLSQNRFNGKLPMWIGDNMTTLVMLRLRSKNFSGHIPIEIPSLFYLRILDLANNMFSGIIPQSLVHLTALTTTMDVDPNLFYLIGDNSPFKEIYQFDNMIVDMGVSGDSLSLPTKGQVLDYTGNILFFKSIDLSCNRQSLSNLTLLSYLNLSYNNLSGRIPSGHQLDTLRADDPSSMYIGNPNLCGHPLPKVCPGDEPIQNPIRCHEDDKTQMDLYLGLTGGFLVGLWIIFCGLLFKRTWRYTYFSLFDKLYDKVHVFSIVTWQQWFSSTATTDRTQWLKRQDPPFLELQQPCPCASCSFPTAASQPISSEEIMSNGSCIAAERDALLSFKACIASDPS >Sspon.05G0018320-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:78031871:78033399:1 gene:Sspon.05G0018320-1P transcript:Sspon.05G0018320-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAAATGRFLLVLMACSVHAVTCSSSNTTPGNQTDRLSLLEFKNSISLDPQQAFASWNDSTHFCNWEGVTCRTTRNRVTNLDLGNRGLVGQISPSLGNLTFLKHLSLATNRFSGQIPASLGHLHRLQTLYLSNNTLYGVIPTFQNCQPGEIMARWEQSPWRVSGFASGLKQLELGSNNLSGTIPPSLANITTLEKLGLNFNNIEETSQMSLPSSFHRPSESFNPSFLAIVMNHLSGEVPPGLGSSLPNLQFLTIGRNFFMDTSLPRWPMHRICALLICQTTVSLGWSLVPLLNKLKARNSQDWEFVYSLGNCTNLQTLSLYSNQLEGHVPTSLGNLSVKLQTLILGYNQLSGNFPSGIANLRNLMWLDYMGINLQASPEWLGTLNSLQAALETTISQVCNFSNNLLHGGIPKEMFGIPAILRIDLSANNLHGQLPDEIGNAKGSYI >Sspon.04G0004050-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:13024596:13028467:1 gene:Sspon.04G0004050-4D transcript:Sspon.04G0004050-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLTDLVNLSLSDTTEKIVAEYIWIGGSGMDLRSKAKTLSGPVTDPSKLPKWNYDGSSTGQAPARTVRSSCSNPQAIFKDPFRRGNNILVMCDCYTPAGEPIPTNKRHNAAKIFSNPEVAAEEPWYGIEQEYTLLQKDTNWPLGWPIGGFPGPQGPYYCGIGADKSFGRDIVDAHYKACLYAGINISGINGEVMPGQWEFQVGPSVGISSGDQVWVARYILERITEIAGVVLTFDPKPIPGDWNGAGAHTNYSTKSTRNEGGYEVIKAAIEKLKLRHKEHIAAYGEGNERRLTGRHETADINTFSWGVANRGASVRVGRETEQNGKGYFEDRRPASNMDPYVVTSMIADTTILWKP >Sspon.06G0011180-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:43776446:43780366:1 gene:Sspon.06G0011180-4D transcript:Sspon.06G0011180-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSISTPTSSSLVPSSRQVLPPSLPVSPPLILSSKLPFAQSGRSPPPPPPGHLALPGGQVDFAVGKACDYQPPEAASGRAGRRWQRTHVPGQRYCSWPPFVSCPAALAFLTLCLGAEVVTELDAVAGFSEIVPDTVVFDDFERFAPTAATVSSSLLLGIAGLPDTKFKSAIDTALADGECNALEKLEDRMSCYLTKALANVGAELAHQVPGRVSTEIDARLAYDTQGIIQRVHELLNLYNQHDVSTDRLLFKIPATWQDWARNHSGDPEIDEALKKGEDAGLALAKKVYAYIHRNGYKTKLMVAAIRNKQDVFSLLGIDYIIAPLKILQSLEESVTDPDVKYGYVPKLTPAFGKTYNFTEEEGTFEYST >Sspon.08G0012300-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:52538450:52545554:-1 gene:Sspon.08G0012300-1A transcript:Sspon.08G0012300-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTDAKKEKLAGYITIKLRRVGLRLGSCQLRLKSILWEDLMQVAIKALAYAVISCILTLKHISSVRHCPEQRRKTKMKSQVHEKTMSVMAESTDKSVIRY >Sspon.06G0010180-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:55668242:55672229:-1 gene:Sspon.06G0010180-1P transcript:Sspon.06G0010180-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVYNPSDVQPRIAPEDEELLRDDEVLTPIKQEGIRKRERPTDKGVSWLVKTQYISPLSTDAAKMSLTEKQAKERRESREGRNAFLDNLNDREKQIKAIEESFRAAKSRPVHQTKRGMQAEWVMPLLPDFDRYEEPFVMVNFDGDPTADSEQYNKLERSVRDECESRAVMKSFSVNGSDPSKQEKFLAYMAPAPHELTRNLDDDDDIQYSWLREYHWDVRGDDKDDPTTYLVTFDKEEGAKYLPLPTKLVLQKKKAKEGRSGDEIEHFPVPSRITVSKTAHGGTMERGESSGLHVNSKPRRSHVDDDLDEHPKRSRVEDIDQYSGEEYSE >Sspon.04G0003530-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:10006258:10008543:-1 gene:Sspon.04G0003530-2P transcript:Sspon.04G0003530-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSLSSAVALPSSCRARPAGGSRRARMVVTRAAASSPKLPNGRRLRVAVVGGGPAGGAAAEALAKGGVETVLIERKMDNCKPCGGAIPLCMVSEFDLPLDLVDRKVRKMKMISPSNVAVDIGRTLAPHEYIGMVRREVLDAYLRSRAQSAGAEVVNGLFLRYEAPKEPNGSYVVHYNHYDSSSSNGKIGGEKRSFEVDAIVGADGANSRVAKDMGAGDYEYAIAFQERVKIPDDKMVYYEERAEMYVGDDVSPDFYGWVFPKCDHVAVGTGTVTHKADIKKFQTATRLRAKDKIEGGKIIRVEAHPIPEHPRPKRVSGRVTLVGDAAGYVTKCSGEGIYFAAKSGRMCAEAIVAGSANGTRMVEESDLRKYLAEFDRLYWPTYKVLDILQKVFYRSNAAREAFVEMCADDYVQKMTFDSYLYKRVVPGNPLDDIKLAVNTIGSLVRATALRREMERVTL >Sspon.08G0014170-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8A:58372068:58372337:1 gene:Sspon.08G0014170-1A transcript:Sspon.08G0014170-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRKSRSSKLAAQPKKAPKLDKVFTCPFCNHPESVKCRIDLKLGYAEASCLICDESYCTVPNNLTAPTDVYHEWIDECERANDDVRRCR >Sspon.04G0000130-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:1890938:1893981:1 gene:Sspon.04G0000130-1A transcript:Sspon.04G0000130-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSLLLLPSSTTTCRRLLPPPSRCFASSSRASFPLRPRHRIRLVCAAEQPNGAASPGSGSGGDATANPTNNGLPKNRRDILLEYVKNVQPEFMELFIKRAPAQVVEAMRQTVTNMMGTLPPQFFAVTVSTVAENLAQLMYSVLMTGYMFRNAQYRLELQQSLEQIALPEPKEEKDSPDYAPGTQKKVTGEVIRWNKATGPEKIDAVKYIELLEAEIDELSRQVARKSSQGSNELLEYLKSLEPQNLKELTSTAGEDVVFAMNAFIKRLLAVSDPEQMKTTVSETSANQLANLLFWLMIVGYSMRNIEVRFDMERVLGAPPKVAELPPGPGESI >Sspon.07G0002740-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:5660846:5662704:-1 gene:Sspon.07G0002740-2B transcript:Sspon.07G0002740-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VLMAGASDHHATAKPASPATASPTPTPAPANRTRLHDFAFPTLSWGAHRLLRCSKDGGPASPPPHPQTPSPDKEKQPHQHQHQHPQGSSPGAASASQPPRPWNLRTRRSATVAPLASRSDAAGKAPAAGGQAQQQLPPLASPPPAAAAVPRKRAFSAALTRDEIAEDFAAIRGTRPPRRPKKRPRAVQRQLDVLYPGLSLADVNLDSYKIDEVPCSIAIYEAVFEVSIYEAVTAFTQV >Sspon.05G0038310-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:38159302:38161342:1 gene:Sspon.05G0038310-1D transcript:Sspon.05G0038310-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ELIRQWAALGILTIDQERTGDATAISYPGKYNDIYQVGNVILEAFREYSLLELPFSPATTEAEATKSAAHFLALTCLRVLDLSYTPLKSLPPSICYLQELQYLSLRGCYNLMSPFSFPNTEITLNEIYSSKNLNLLCFDLSYSNVNTFHNDFFYSMPNLQELLLVNCSNLEELPPSVGALSSLTKLELTWTQIKSFPVEIFEEMKNLRSLKLIENRNLLLRRLSLSGCGKLEYVDIREVGALEELDLSATAIKELPDSIPNLPQLRRLLLLGVPSLRRFPWHKLQRLPDVFCLDQCSNRTINHSDHPQGAQVCVSDSRLFYSFNDATRNLIRAGKLLTTFYVRVTSCKPTSSNKLKDEEDMVMINKVQMAPPAYADVNRLYLTDGVSMVSMDDVPPCRVSERHVEISAADRDLSDLDELEECKLRSCHKTVHVFKGPLSHEWNMKNAFVSHLKSLTHFHRPSDYSYPFYALKHLVLEHCPRLEGVVPCECELPRLETLDIFFCYNLKAIFYASFSSSSGDYKLPCLRRIRLQELPLLEHLHVDNPMLTVPAWRSSTSAAAGACAASRASANNQTRPCR >Sspon.05G0016320-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:61145047:61151249:1 gene:Sspon.05G0016320-3C transcript:Sspon.05G0016320-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calmodulin-interacting protein 111 [Source:Projected from Arabidopsis thaliana (AT3G56690) UniProtKB/Swiss-Prot;Acc:Q9LET7] MWKTVFVLVMGAEPDSSSQDVLCEKGNTLSNAEDSTKSGETPVFFLVDGTTKVHLSDSVCPKQLGSDKPGLPSELYEYDDKRNEDSNHAPTLGGLSKESATIKGIISFSLADQIGLPRYKGILLYGPPGTGKTSLASSCAYDAGVNLFTINGPEIISHYYGESEQSLYDVFSSAKQAAPAVIFIDELDAIAPSRKDGSEELSIRMVATLLKLMDEIGPSDRVLLIAATNRPDSIDPALRRPGRLDKEIEIGVPSPGQRMDILRRLLIGVHHSLSNEELESVALVTHGFVGADLAALCNEAALSALRHYISLKENSTQQLGHPGCSFDNCSQDTEDPSSLSSSFSRLTMSSDDVACMKGGNIKCSESYDGTDEIPLLVTIKDFDKAKTKVRPSAMREVVLELPKVRWGDVGGQSSVKEQLIEAIQLPQKCPEAFERLGIRPPRGLLMIGPPGCSKTLMARAAASEAKLNFLAVKGPELFSKWVGDSEKAVRSLFAKARANAPAILFFDEIDGLAVTRGQENDGTSVADRVLSQLLVEMDGLDQRVGVTVIAATNRPDKIDPALLRPGRFDRVLDVQPPNEADRADIFRIHTHSMPCSADMNLNELARLTEGYTGADIKLICREAAVAALDTTKHTPNTI >Sspon.01G0025350-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:89799498:89806128:-1 gene:Sspon.01G0025350-1A transcript:Sspon.01G0025350-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADKEGTLDAVLKEAVDLENIPLKEVFENLRCSRGGLTSEQAQQRLQLFGPNKLEEKEVSYSWLQESKFLKFLGFMWNPLSWVMEAAAIMAIALANGGGKPPDWQDFVGIITLLLINSTISFIEENNAGNAAAALMARLAPKAKVLRDGRWAEEEAAVLVPGDIISIKLGDIIPADARLLDGDPLKIDQSALTGESLPVTKGPGDGVYSGSTVKQGEIEAVVIATGVHTFFGKAAHLVDSTNQVGHFQKAGFRISTYTHACCHLFKSSLNYCVHYHVLTAIGNFCICSIAVGMFVEIIVMYPIQHRAYRPGIDNLLVLLIGGIPIAMPTVLSVTMAIGSHRLAQQGAITKRMTAIEEMAGMDVLCSDKTGTLTLNKLTVDKNLIEGVTQDQVILMAARASRIENQDAIDTAIVGMLADPKEARAGIQEVHFLPFNPTDKRTALTYIDSDGKMYRVSKGAPEQILNLAYNKSEIERRVHAVIDKFAERGLRSLAVAYQEVPDGRKESPGGPWHFVALMPLFDPPRHDSAETIQRALNLGVNVKMITGDQLAIGKETGRRLGMGTNMYPSSALLGQNKDESIAALPVDDLIEKADGFAGVFPEHKYEIVKRLQARKHICGMTGDGVNDAPALKKADIGIAVADATDAARSASDIVLTEPGLSVIISAVLTSRAIFQRMKNYTIYAVSITIRIVLGFMLLALIWEFDFPPFMVLIIAILNDGTIMTISKDRVKPSPLPDSWKLAEIFTTGIVLGGYLAVMTVIFFWAAYKTNFFPRIFHVESLEKTAQDDYQKLASAVYLQVSTISQALIFVTRSRSWSFVERPGFLLVFAFLVAQLIATLIAVYANWGFTSIKGIGWGWAGVVWLYNLVFYFPLDILKFLIRYALSGKAWDLVIEQRIAFTRKKNFGKEERALKWAHAQRTLHGLQPPDAKLFPERVNELNQMAEEAKRRAEIARLRELHTLKGHVESVVKLKGLDIDTIQQSYT >Sspon.02G0009380-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:24946161:24948586:1 gene:Sspon.02G0009380-2B transcript:Sspon.02G0009380-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Senescence-inducible chloroplast protein, Activation of the chlorophll-degrading pathway during leaf senescenc [Source: Projected from Oryza sativa (Os09g0532000)] MAAATAAAASAMSLLPISQLRQQQHGAGAVVVFRRRPRDARRRRYVVPTARLFGPAIFEASKLKVLFLGVDEESGKHGHPAPAPAPTTAGKPPLPRTYTLTHSDVTASLTLAVSHTINRTQLQGWYNRLQRDEVVAEWKKVRGRMSLHVHCHISGGHFLLDLIAGLRYYIFRKELPVVLKAFVHGDGDLFSRHPELEEATVWVYFHSNLTRFNRVECWGPLRDAAAPPAEEDSTAPAAANSKEVQMPPVGEWPYRCPQQCDCCFPPHSLIPWPNEHDMSDASAAGQAQQQ >Sspon.02G0024510-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:85921410:85922245:1 gene:Sspon.02G0024510-2B transcript:Sspon.02G0024510-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AMPASTAKAAAPTATKPPIQRAAPPRQSRSRSPPPSPPAVRALPQALRSMSGLPAGIARLLETKDDGADVEFEVGGKVFAAHKKEKATGYVRICDIHPDTFEALLHYVYTDSLPPAVLAVTGGGARREEEAAAAAVLAQDLLRAADRYGLRGLKSLTESELCRHNVAVDTVLPMLALAEHHQCWKLKHKCLEFIASGTNARVVMATDDVKHLARSCPSTVKELVKILEAKEATPSRRPLMDNADALFFFFLALAFILACILPLGMWGFFEQK >Sspon.07G0001800-1P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7D:3659925:3662538:-1 gene:Sspon.07G0001800-1P transcript:Sspon.07G0001800-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAASSSATACFLSPLPPPRRPRHFLRHLARAAATKPAPAPASASSLALPSPWPWARLRRLRELVPAEAAGRLLSSAAGSLIVALASASLVLGDAGAASAFVVSTPRSCRRTSSPLCASSRKTRPPSSTSPTSPSGGPPCPRPLPAPPGSSPDAFTLDVLEVPQGSGSGFVWDKSGHVVTNFHVIRGASDLRVTLADQSVYEAQVVGFDQDKDVAVLRIKAPKDKLRPIPVGMSADLLVGQKVYAIGNPFGLDHTLTTGVISGLRREISSAATGRPIQDVIQTDAAINPGNSGGPLLDSSGNLIGVNTAIYSPSGASSGVGFSIPVDTLTHML >Sspon.06G0009010-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:41191068:41194337:-1 gene:Sspon.06G0009010-3C transcript:Sspon.06G0009010-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKVGSRHIYVKGIIQVGPTASHPQLPHHPQRQQSRSIPEGDVRRRTSHRTEPEAPSSLTRLLRRRRRRSKWGPQKLGELIQKGQGIRNLNLFSVNVAMAGTGLYQLSRKIRQDYFSDEKDAAPQLEA >Sspon.02G0027690-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:121466711:121468821:-1 gene:Sspon.02G0027690-3C transcript:Sspon.02G0027690-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSFQGTTTKCTACDKTVYLVDKLTADNRIYHKACFRCHHCKGTLKLANYNSFEGVLYCRPHFDQLFKRTGSLDKSFEGTPKVVKPERNVGNENATKVSSAFAGTREKCVGCSKTVYPIERVTVNNTMYHKSCFKCCHGGCTISPSNYIAHEGKLYCKHHHIQLIKEKGNFSQLENDHEKTSQAGSLEDEEEY >Sspon.02G0029380-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:104279184:104283913:1 gene:Sspon.02G0029380-2B transcript:Sspon.02G0029380-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding APPLLPFLRQRIGERREGKGESAAAPASERAVRGSQCEHGRVGSDPRFPSRILSPGTHKMLHPSWVGVSEYVSEYWSVIIATVVFAFVGAVTIYYTVNQLNKNISLSLIKAIKARAKRYKKWKDKVPAASHIWRKEVIPRTKGLKCCVCLKSVSPPQYSGGTIHQCDICGVAAHPSCSGNAHKDCKCVSMAGLDRVLHQWAVQWIDSADHSEEDSFCCYCDESCNGAFLAGSPVWYCMWCQRLVHVDCHSSLAKETGDICDLGPLKRLILSPLCVKELHWTGAGILSSITNGANELASTVRERIRIRSKRYKRGSASADSDSSGAIELPSDVEGDSQEVNSAAKRTDNQANGELNEVHQSSESEKDKQRVPENTATTNRSNVQHENSHVQNNQKYEIINVPSDSRPLLVFINKRSGAQSGDSLRQRLQILLNPVQVFELSKQQGPDVGLALFRKVTHFRVLVCGGDGTAGWVLDAIEKQKFETPPPVAILPAGTGNDLARVLCWGGGLGVIEKQGGLFSVLQDVEHAAVTVLDRWKITIKDNQGKLMASPKFMNNYFGVGCDAKVALDIHNLREENPERFYSQFMNKVLYAREGAKNIMDNTFDCFPWDVKLEIDGSKIDIPQARLRRSYMGGVDLWKNEDDVSDTYLPQSMHDKKLEVVSFTGMLHLGRLQVGLSRAKRLAQGHHIKVEISTTMPIQVDGEPWSQEPGTIEAFMLKRVSEEPLGHAASVMADILENAENSGIISASQKRTLLQEIASRLL >Sspon.02G0026790-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:73234698:73235609:-1 gene:Sspon.02G0026790-4D transcript:Sspon.02G0026790-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALGGRRRASAAALLAMAVALVSLAGPAMAAGNKTGQVTVFWGRNKAEGTLREACDSGLYTMVIMSFLDVYGPNGKYHLDLSGHPIAGIGDDIKHCQFVGVPVSLSIGGFGSGYSLPSKKAALDLFDYLWNAFFGGSKPGVHRPFGDAWLDGVDLFLEHGSAAADRYDVLALELAKHNIRGGPGKPLHLTATPRCGFPPAGYLKRALDTGIFERVHVRIYDDADCEAYWHLAWDKWTAAYPATRFYVGLTASEMTHGWVHPKNVYYDVAPSVQKADNYGGFMIWDRYADKLSNYTSMVKYYA >Sspon.08G0012940-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:55025700:55036784:1 gene:Sspon.08G0012940-1A transcript:Sspon.08G0012940-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA polymerase II transcription mediators [Source:Projected from Arabidopsis thaliana (AT1G55325) TAIR;Acc:AT1G55325] MWTNIFKIGELQTVSWFQFLPIEPDASAASEKSSKAEQKDALNNIVLSAYLHLQSEGFLSTWTNSFVGPWDPSQGEHNPDEKIKLWLFLPGCHSLVSENAQPAVNKLRVASNGLWVAPGNSEEVAAALSQALRNSLERSLKGLSYARFGDVFTKYNPPTRNQNSFRYVRNLCSDDIEKVLTHSPPSIGEGLPVVVAPSGMLGRLVGCCPSDLVRQVYSSKSSAPNLPGFSQPTVCQLRGQSYYVEVALGFPAASADKVSESEHIQIKKELDPAKDAQVGADGLRKVESPDSLPVLERTFIYPPEAVLVPMVHQAFVRFSSKRMCLQGSLGSSSWEAWPFWNFSPSSYFQNSSFLGSSCGLGVNSNYLRLRRKKNKCNSMASSISSVSSTSDGSERAVATEGDLVADADSMSGRQSDMPSNNDNVGSKMVSKRPRSEVTEVSSHTGKDVGENIQGANGQIGRSWGWDDEGVVMDINILLSEFGDFSTAESHALVMPASDCGDVTFTDSPSTAMDIPEQRLSPVGFTSLDAFDHQIMAPAQDVVSKVQEPHKDTATPAQSHSLVLSSGRFDYLTKAEAKLTFAPEYAAVEISIAEAPTPLFTNPYFPRSKKPGSSSFSSRVYSYDVAQSSQIESTGDKPDKPSKLTSGNHLHDVGSSNLYTLVQGGKKESDKSLKSTDIQPSKGETSPPISGVISFSSSLVSQKKSDSMFNAGYFLLSMKTALATEIECITFQAAMCRIRHTLLSLRSKASAEFNSATSSFMQTNVSNKSDLTPKYDMRKKEIMTVRLSNDVDHEMFDRSLMDNVGVWRPVVTPKGPKSLESLSANTLAGASPSLSIQRQPVVDLLCAMALLVQQSTSFVDMSLDMDDGDGSFFWLSLDEQKRRGFSCDPSMVHAGCGGLLGTCHSKDCAGVDLVDPLSAEVSDSSMISLLQSDIRGALKAAFANMDGPLSVTDWCRGRGSAAESAGTGDAYSFQYSTGDILEPSSSLSIGGDSMSPPQPTSSNRGISELEYQKGYHRVRPTIAVLPSPSMLVGYQDDWLKASVNSLKTWEKAPFEPYALPKPVTYYALCPDIDMLTSAAADFFMQLGTVYEVCKLGTHSPQNNGGQMELSPGKYLPSGLVLVECPEQLKKVGCGHLSPISSTSDYLQAFSKHWSVKSFVTSISRILKDIKLTSNISTNQKESSSGPCT >Sspon.02G0030310-2D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2D:95401914:95402219:1 gene:Sspon.02G0030310-2D transcript:Sspon.02G0030310-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSMVSKNAPPPAGYGDSGEGEAAPVTSCLYLRPGAGALDRDTVLRRIRHRRRHNRLRDTLRSMVQAPAPASPPQPAEPDGVDGAERHLPWPLDDAFSAP >Sspon.02G0012220-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:36197076:36201040:-1 gene:Sspon.02G0012220-3C transcript:Sspon.02G0012220-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGQTAKAKEAGKDDRQGPGAEATKEKLLPSHQQQESPPSVVDKDSSGVSSVPGDESPPVLDGDSGELKVEENLDGNGNKEKKTSQKSSTSDGFASAKVSDGTSSLRKTSGSATMSTRADFTESGKSSMCRASTGSDISDVSSCSSMSSATTKPHKGNDSRWEAIHVVKSRDNVLGLNHFRLLKKLGSGDIGSVYLSELSGTRSYFAMKVMDKTSLASRKKLLRAQTEREILQSLDHPFLPTLYTHFETDKFSCLVMEFCPGGDLHTLRQRQPGKYFSEQAAKFYVAEVLLALEYLHMLGIIYRDLKPENVLVREDGHIMLSDFDLSLRCSVSPTVIKSANPGLDAMQRNNAAYCAQPACIEPSCIQPSCVAPTTCFGPRFFSKSKSKSKSKSKKEKSKPDAPNQENLFPELIAEPTDARSMSFVGTHEYLAPEIIKGEGHGSAVDWWTFGIFLYELLFGKTPFKGSGNRATLFNVVGQPLRFPESPIVSFSARDMIRGLLVKDPQHRLGYKRGATEIKQHPFFEGVNWALIRCASPPDIPKPVELECRPKQVPSANGKVAPVANQKGPDNYLEFEFF >Sspon.04G0010770-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:32754729:32758340:1 gene:Sspon.04G0010770-3C transcript:Sspon.04G0010770-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRKKTREPKEENVTLGPAVREGEHVFGVAHIFASFNDTFIHVTDLSGRETLVRITGGMKVKADRDESSPYAAMLASQDVAQRCKELGITALHIKLRATGGNKTKTPGPGAQSALRALARSGMKIGRIEDVTPVPTDSTRRKGGRRGRRL >Sspon.06G0026470-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:79293188:79296842:1 gene:Sspon.06G0026470-1B transcript:Sspon.06G0026470-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDIEGARDLNRVVAMLFSPRMEEKFQLLRTGTLLEELRSAVSLVHTGATTSSAASRSFVFSVRSLGLVSSLRELLEAVLNMQTSRANEWHQVPAVLYRYHVFRSSTLRDLRYFLDKFRSLATYAPEQTPDFFKYGNKSSAAYGIDARDRYRDTIIEPMVGRSELVDKIVSTLLADRTGDTGLFVLPIVGGPGVGKSRLAKAILHDDRVKHKFSVRFVVPVTQNFRRTRIYTKMVSSERPGDNVQGSLGVIFLSPEAMVDHIGAKLNGGDYLIVLDDVWSDNEDKWRQIGAMMKALPSNGRVILTTRTPDIISKLATIVETANTKPCYLKPLGPEFSSWFVARWMATYCDDWPAELVREAGTKIADKCGGVPFLLDYARQFFCQPLGLHFWRRLLEENTSNKIHPDMFWSQLLAYIGKLPSDKFWQRFLLCCLRETGGAILLESASVSYQRLPYDLRNCFSYCSMFPSDFDFDLEELTDLLAAEGSIPSVVAKAERKGFLKQLLDECFYLLHEHEHEYGDRTTYRMHKVFHIFAQNKLRGTSSVIRVDQLNQLSDTKATEQIPTSIRRASLVVSPLTASFPRSLFACNNLGTLVLLQDGGMRPPDQPLCEITEIPQEFSKSFRSIHSLSFSATKIRVLPTKFLDPNLVKYLNLSQTPIDNIPSSISRLLFLQTLILSHCDKLQKLHPNITKLAMLQKLDLQGCCNLLELPQDMGKIKSLEYLNVTQCSSLTQLPWGIGQLESLQMLLGYIVSYADGSSMSELQPLVNLHRLTLQSLEKVSDPLDVRFARLDSKTNLESLSLWWNMDDYSNDVIPAYAVLESLRPHQRLKALEIVGYEGDKLPSWIVGKHLKSLVKIKLISLRSCELLPLGLLPCLRIAEISGVETICSVNDNFCGRKGIFPSLQKLTFSYMQNLEVWEQAQRTDMFPRLIELEFIQCPKLRALHMELPSLEKLILWMNNKVLYDQKGALQGVAKTLEHVSISFSEELASSDCEGLQDLGKLTKLEICGCDELTCLPQGLQRISSIRSLTIDNCRKLEALPDWLENLPSLQIIRLSGCPLLHYIPGGLQQRPGVIIYVEDCPNLIQDPLPNFSAQSSGEPVGARVNKGKEIVQD >Sspon.05G0007540-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5A:23532842:23534642:1 gene:Sspon.05G0007540-1A transcript:Sspon.05G0007540-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RAGLQPPLLHQPLPEGAQPPLHEAAAAGLQSALPGGLPLGLTGPNSTLAAALIAARAAAAEGQARVRAAALAWERERDAADALARQIAEAEQLLASPAAPDAGATSSASPGRRVSHTAVLWHDPADPLRDLVLLTLRRYALDDHVLIDALVAAQTPSWLRLDSIVLSWILGTISLDLHDLVRTSPDARRAWLALEGQFLSNAEARALRLDASFRTFVQGDLSVGEFCRRMKAMADSLGDLGWPVEDRILVLNVLRGLSDRYAHLRTWITRQRPFPTFLEVRDDLVMEELTQGLQPGSTSSPGSSSSSTALAATPPRPSAPRSSAPPPQSLLGPPPSGPSGGGGGGVVAVVVAVEGVAGAAGVVSPRLRHRVGRRGGHHGLPSRTRGPGTSPCGPTTLPGASLAHRRPCSPVLHWCSSSRRLPCYAVGSTLRDPTWGSQLGPGGSGSLVQHYGPDTSGRTR >Sspon.01G0044750-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:79663587:79670897:1 gene:Sspon.01G0044750-2D transcript:Sspon.01G0044750-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSKRWTSASGTELPGRSSLLPTSALSPVRQSARRSVSRSEPARTTSPVAVSIARSLWPSSSTGNKSGKSKAPPSPLSPAPPPPPSTSVATLADHLVRDDAPRALSRQRSCTELPRFADADAEARKIGNKPSGKGASSHAFGRSLRFLPSTRPAGVTLTPGRVAPSDLRRLANAAVSLDAAADVASSGSECSDASRGSATTTPRTAASKPHSPLLPRTSSVRLLGSSNTQWALSPGRRSSSPPLKATTTLPTVPESKGKKSLISLGWGHIFHRRKHAAEDASIAAVTATLLSSPVPSRSSAGGGEAGHHMRMAHCRLLQWRFANAKADAVRERKMDSVELDLMGTWASVSEMRGKVARKRVQLEKEKQKIKLNTVLSFQMKDLESWGQLETRHDSALASTVGCTRAAVCRLPLTNGAKAQDTALLISKLVRVAREEQAMLQECVELLSQVSALQVEEQSLRTHLVQSASLSAVIVKYRLTTEADPENHQLQLLGMKPGIMGTKLPSMATNSSITEGNQASWN >Sspon.04G0005350-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:11671749:11676088:-1 gene:Sspon.04G0005350-2B transcript:Sspon.04G0005350-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable pinoresinol-lariciresinol reductase 3 [Source:Projected from Arabidopsis thaliana (AT4G34540) UniProtKB/Swiss-Prot;Acc:O65679] MSREAARTRSRVLVVGATGRLGGNIARASLAAGHPTFALVRPHHFARPDSPLLEPLVAAGTTLLQGSLEDYSSLLEAVRQVDVVICAVPTKQVLEQKPLIRAIKEAGCVKRFIPAEFGADPTKVQICDMDYGFYEKKVEIRDSIESEGIPHTYICCNFFMRYLLPSLVQPGLDAPPRDEIKIFGEGNTKGVFVKENDVAKFTICTIEDPRTLNKTLYLRPPGNVCSMNELADLWETKIKKSVKRLYVTEEQLLKEIHDAPFPLKMDLIFIYSAFVKGDHTYFEFDLSIEGTQLYPHVNYTSVNEYLDTLGFQVIKYAICTEQLRA >Sspon.05G0003680-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:11047555:11048379:1 gene:Sspon.05G0003680-1A transcript:Sspon.05G0003680-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding EILYQLIQGSNGSGSSRTHSTPSCSYGHDRTSNEQKSSEDVKYELQLAVDEALARELQEMEGKLANTSLNDNNGRKPTSSSAFDRGNNSASKPPQVVEEDGIDPDNMTYEELQQLGEAIGTESKGLSESVIALLPTSTYKIGIFSRKEKHDEY >Sspon.02G0038570-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2B:43490169:43492844:-1 gene:Sspon.02G0038570-1B transcript:Sspon.02G0038570-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTTSPRWANLSTTRPIQPDSSYAVPSRILQTAVAASGNDTALTVITGQYQTKYSFMIFQHFADFQDTQLRQFDILINEKDGSGPKLKSYSPPYLASQTLYTESYKATDGRYNITLVRTNASVLLPPMINALEIYVRVPYENPTTLPQDCLRVEQLVAVGGEVAVALPVAQWTSRRGAEAAVDLVAELPATDREEAQPAAGSSATKSTAASAAPRRDVHCATATSPLTATSCSTLKPEVIKNGLD >Sspon.04G0027070-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4B:55584249:55584753:1 gene:Sspon.04G0027070-1B transcript:Sspon.04G0027070-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MACFGCSCRVVILVFINTVVIGGTAFVVYTLVRGMKAPPRRPHAPCLRRCLRGVGVLLCLPCGRIRRAWSAAGDEGDSGFDLREIVVQRQGQVREAPLPGGGHGRVDGAGDIPAYAYEQQAGAVPDGTSSECPVCLGGIEKGEMVKRLP >Sspon.04G0018410-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:69674350:69680241:1 gene:Sspon.04G0018410-2B transcript:Sspon.04G0018410-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPRPRIRTVPRGPVLLFLLAPLIYSVSRLHPWAPAEKGVCLPPPTALKRPDRLVLGPAAGQGRPDRLQCQGLRALNKIGLSSEENYSGEHISFVTVFTTYNSVSAGDGKVPSDSVTVGNHSYSKIERSMAILNTFISFIRVSMPRSNVIILTDPGSKISVNQGSATLLPIEGNYSRGNLMLQRIKTYVAFLEQKLVEFDSMEGAVEFLKQVLEAYRLRYIKASRMLGDQLALAWVVKSHLPSAFGKFSKHEAFTGEVSGASVLFLPCAVYNWTPPEGAGQFHGIPLDVKILYAVTAFFTCTVVLVLSSFCNNVVVNCPSCLMHNGWSAETRFFTSCVETRRRQTRFFASRGGEKAATMAELLASGHLQWLEVVLGDIPFGGDEFGFLDREMGRAEAAHGMATAGGQATGGSNCPGEARVAGGSEQHGRKRRDEVWRVMLDVRVGAFSVPQTRSSITFNEHTTYSLAANDPKNVQVQDAIKFSRRNVQAIKHDATSKPGNGLSKPKGSKGPQLADLLLWILNGLHRQKDRFGLDRFVEGLDRRYGLSLLSES >Sspon.03G0026940-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:4255145:4262227:1 gene:Sspon.03G0026940-1B transcript:Sspon.03G0026940-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRQRQRQRQRQVVAGWPGLAWPGAEYDGAWRAKSQEARQNKKSRASPRPRSCPPISISPPRSRLASPSLCLSPFPTASRPSLPPSLGVAPLCSIPPAPVPFAHHSDARRAPPAGRRVPGHARVRGFARGTPTRRGSDRPTARARWDAVIAPRAWSRAGAGMGRVGLGVAAGCAAATCAIAAALVARRASARARWRRAIALLREFEDGCATPTPRLRQVVDAMVVEMHAGLASDGGSKLKMLLTFVDALPAGNEQGTYYSIDLGGTNFRVLRVEVGAGSVVTSRKVEVTIPEELTTGTLEELFNFVAMTLKEFVETEDGKDEQRALGFTFSFPVRQTSVSSGSLIRWTKGFLIEDAVGKDVAQCLNEALARSGLNVRVTALVNDTVGTLALGHYYDEDTVAAVIIGAGTNACYIERTDAIIKCQGLLTNSVNMEWGNFWSSHLPRTPYDISLDDETQNRNDQGFEKMISGIYLGEIARLVLHRMALESDVFGDAADNLSAPFTLSTPLLAAIRKDDSPDLSEVRRILQEHLKIPDTPLKTRRLVVKVCDIVTQRAARLAAAGIVGILKKLGRDGSGVASSGRTRGQLRQTVVAIEGGLYEGYPVFREYLDEALVEILGEEVAQTVALRVTEDGSGAGAALLAAVHLSNRQQGSI >Sspon.02G0044130-1P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2B:100052715:100053654:1 gene:Sspon.02G0044130-1P transcript:Sspon.02G0044130-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPYYYHSYWSDYVEQYRRAQRLEQEKSRLSNEKRELERQLAEKTRAAQVSSTQVFTLGHKVRELERRNTGLSGELAKQREDTRKAGLLFMNAADRYQEEAKKQIRAKVEELANTRKVGLMLMDAADAYQEAARKQIKEKAEELEDTRKAVVALMKAADAYQQEAKKQIRDKVEELKVMGAQKAELDARVESLESGLRAALAKNLELEDDYGKVKAENDKLRLEVERFMMVLGALAEEEDAATKAFDTEKEEVLMELEAVVNLG >Sspon.03G0038010-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3C:2861103:2861503:1 gene:Sspon.03G0038010-1C transcript:Sspon.03G0038010-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GRGRPSTPPPPAARLATARQASWPRPGLGSARGLRPCLLPPLAVSEVEPSVAGSRSPSQGFKIGEKAQARARLGIGDWGIGNWELEPSTRASRSGGGGVRVSESASVRNRGQRGTLRLLGHWALRPCHCGPT >Sspon.08G0027970-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8D:4645458:4647758:-1 gene:Sspon.08G0027970-1D transcript:Sspon.08G0027970-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTVVGEMLASAVLKVVMGKLGAVIGPEVSLLWKFKDDFESIRSTLATLQAVLNDAEKRSSREERVRLWLKRLKFAAYDIHDILEEVESKNDTDCGSLQKVSQFKAHITIAHKMKKMRQRLEKIKEEAKLDIFSFKAECCSLDENVNSRATFSSISEDIVGRAIEKETIVSMLTTYSEEEISTLCIYGFGGLGKTTLARLAFNDENVGRVFDYRLWVYVSMKFDLKKIGESILSEIDEVNCGHQSNLQEVTRHLQRVLAGKKFLVVLDDLWEDNGFQLQNLKAMLSCGAKGSKIIVTTRTEKIASLMRPCMPYKMDILSDNDCWTLFKRRAFVPGRDDPRIEVIGRDIVKKCKGVPLSAQALGFMMQFKEGVAAWEAVRDSEIWQIEEDQNIMPSLKLSYCLMPCHLRLCFAYCAVFSKGAVIDKDMLIQQWIALGFIQPTSGSLTLAKRGEEYIREL >Sspon.02G0023170-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:81216990:81221396:-1 gene:Sspon.02G0023170-2C transcript:Sspon.02G0023170-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEVGGDKLKEATIPGRGKKRPPSPASSPSDDGEDSSMSTSDDDLWEFSDEEQEEENDDEDDQVSLNNAQTIDCSEHCHCKATDLLQLIDIKIAGYRHTQPGHAKIFGFFAARDKIEPLRNYVYRRGIGNYEAVSVKQKTETLDCSGGIVHAWFSSRRLGVQALKNTGRPRRHDLNPAKQGGGEGEERGRTRGGLAGFGEGQAPISAPSPSAPMAMAAFLTGQLQHQGCERGSNRPAALDLQSGEGSARGLHQHGRSMGGATVMPGLARNGKGARSSGPVSNTERSPLFRADAEMPPAPDRETRVLGSSSPFSIPQSPIPNLARLRLSPILNPGDGELLLAVLGSTSLTASGGGSRQGRRPRVEPRPGQGLLAWCAAARRPTGGGGVLGRPRLASQLGVQPFSRSIIDRQLLELNERFDESWEYPSLESWLRHWGEGEGKQQGGPEERCHGGSNRCGRGEGEREGGGSREAEPDGRGMARLSLTSPARGICISSHALFEFELCIRTEDPSEDEPKGDILIKGCTEISNMFGTESFVKTGRLYGEKCALDVKFAVLNIAVQATVDVEILRAPACGFDLKLYAKTSGFSDVIRLFEGVTEAGHRFNSVVAVVLRRHLDLRITGSLIDNSHLSNEE >Sspon.02G0040780-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:67301294:67301993:1 gene:Sspon.02G0040780-2D transcript:Sspon.02G0040780-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPPLPAPPPPEPSGGVVAPVAVVAPHFCAPYVVQLSVKEKFSLREGDFAITDTNGAVVVTVKGALISIHNRRLLLDAAGNPLLSLREKVISMHNTWEAYRGDSTKSSDLLFTAKKSSIIQPFKTEMYIYLASNTSHEVCDFMMKGSFKERSCSFYLGNSNTLIAKVSTK >Sspon.04G0007130-3C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4C:19803396:19804082:-1 gene:Sspon.04G0007130-3C transcript:Sspon.04G0007130-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLVRPLVLALLIASCAAQQSPPTPNAPPSNSPPQAPPAGNPPPAPQAPPAGNPPPAPTATPPPAPTTTPPPAPPTTPPPAPTTPPPAPPTTPPPAPTTPPPSPPASPPPAPTTPPPSPPASPPPAPATPPPSPPMAPPPATPPPPATPPPPAAAPTPAPSVAPTLPPVVTPAASPKSPKAPTPAAATSLAPSPTGTPTNEDSGASARAASFATVVALAGAGLAVLL >Sspon.01G0029030-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:100991760:100996140:1 gene:Sspon.01G0029030-1A transcript:Sspon.01G0029030-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPRCCHRRCPGAFCLAVLLLLHHLHLHAGAQAALALARQDVAALHGLRASLGVRASDWPDRADPCAFWSGVACRAGRVAELRLSGLRRTRAGARRAAFAVDQLRRLTALEAFNASGFPLPGRIPSWFGRGLPPSLAVVDLRNARVNGELPTDLGVSGNLTTLVLSGNSLSGSIPPSLFSIPGLRVLDLSANDLTGPLPNVSFSASGGPGVLFNASGNSLYGAIGDAAGSLRKRFWVVDVSDNYFDQVLGAGFQNGTDGVADFRMNCLSGAASQRTRGDCEAFYTRNGVRLALDPKPSSPSPQPQPPQVLLMPSTSKRGGKWKFVLAGVLGGAAIVVILGLSALVVCLLRRRGRRPRGRGVEQTEEGIRSGRRSSSVNPVTMSPTASPGASGSPKGLPVIIDEFTDEQLHHAAGGFGDDNLVKRGHSGDMYHGVLESGFQVVIKKIDLKSSKKCQGELSFLTKHSHGRIVPLLGHLAKDEEELLVYKYMAKGDLTTALHKKSVEVEQGLRSLDWITRLKIAIGVAEGLCFLHDECSPPLVHRDIQASSVLLDDNFEVRLGSLGEICTQQSAGSQSFFSRILRSSRSLDKNISGPPASCSYDVYCFGKGSWRFAFHGNKYHSWEVMPTSGQALARKDTAKSQGSEASNEDEENSFSFKRASRENFPDPIEFEESVVSSLSLSRKVITIIGLNEIILLQLKTFEHSHRSVLSVMEHSSTAAVSS >Sspon.02G0007980-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:25589226:25591347:-1 gene:Sspon.02G0007980-2C transcript:Sspon.02G0007980-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEGEGKNPAGGGGGDNPQHQQAVQAASVPVPQGEAAQEAGGQGTGLEPEGEKADREVEGGGAGEKDDAACRDLVLVEDPEVVAVEDPEEAAATAALQEEMKALVASIPDGAGAAFTAMQLQELEQQSRVYQYMAARVPVPTHLVFPVWKSVTGASSEGAQKYPTLVGLATLCLDFGKNPEPEPGRCRRTDGKKWRCWRSTIPNEKYCERHMHRGRKRPVQVVVEDDEPDCASGSKSTPGKATEGAKKADDKSPSSKKLAVAAPAAVQST >Sspon.03G0007460-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:20248622:20258194:1 gene:Sspon.03G0007460-1A transcript:Sspon.03G0007460-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKITVGQLRASMDKKHNIRNISVIAHVDHGKSTLTDSLVAAAGIIAQDAAGGVRMTDTRADEAERGITIKSTGISLYYEMGEGALARFGAARDGTSSYLINLIDSPGHVDFSSEVTAALRITDGALVVVDCIEGVCVQTETVLRQALAERIKPVLVVNKMDRCFLELQQNGEEAYQAFCRVIENANVVISTYEDSRLGDCQVSPEKGTVAFAAGLHGWAFTLSDFAKMYAAKFNVDEARMTERLWGEHFFDPGTRSWSTTHTGSPTCQRGFVQFCYQPIRQIIQACMSDDGGKEKLWPMLHKLSVELKVEDRELSGKALMKRVMQAWMPAGAALLEMIVFHLPSPAKAQQFYAFGRVFSGTVATGTKVRIMGPNYVPGGKKDLFVKTVQRTVIWMGKRQESVEDVPCGNTVALVGLDHFITKNATLTNDRAVDAHPMKAMRFSVSPVVHKSVACKNATDLPKLVEGLKRLAKSDPLVVCNVTETGEYVIAGVGDLHLEICLKDLRQDFMSGTEIVVGPPVVSYRETVLARSCRTVMSKSPNKHNRLYMEAWPLQKELAKAIDDDELVGCKDDTKVRAKVLTEEFGWDKEVAKKIWCFGPEATGPNMMVDMCRGVQYVGEIRDSVVAGFQWASKEGALAEESMLGVCFELRDVVLHADAIHRGGGQIIPTARRAIYAAQLTAMPRLMEPVYLVEIQAPERATGSIYSLLNKKRGCVIEERQRPGTPLINFKAYLPVTESLEFSEKLRAETSGEAFPQCVFDHWEAINSDPLEEGSMAAKLISDIRKRKGLKNMIPLSEFEDRL >Sspon.03G0021420-3C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3C:84082315:84083841:-1 gene:Sspon.03G0021420-3C transcript:Sspon.03G0021420-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGANLEDQWMQTMNLAVTNWIVELRSANQSSGVSLPLFSYALSASGLWKVQLYCPIIAMGMEEPAEATQDERLLFSLIYQQVECVIQLAYRTVRRDNWIDVEVKVDNIRCDVDSLVSETLMAERGYGSEEKHFPSRVMLQITPMQQSDVMSVSVGKSNDNPTHEFGIEKGFEGSFDPPNSFGLKASITESLTLAMKPWKFEQSVHGNTATLNWFLHDGVNGREVCSSKPSKLSLLQPRAWFRDRYSNAYRPFTKQGGVIFARDEYGDSVWWKICGATLGKTMNWEIRGWIWLTYWPNKQKTFHSETRWLEFRECLQLPLTKFP >Sspon.01G0052440-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:22280653:22297623:1 gene:Sspon.01G0052440-1C transcript:Sspon.01G0052440-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTSSSRYAKHRRIGEDEESEEDEEEEAEEELERFDDFTIASSWERFISEIEAICRQWLADGAKILMQKGAEGVPPFENLYMIKRELKHGKRIIAPLSASGVILDDPESTKLLSSVAIALSNCGSNWPAFVPVHDPSRKAYIGIQNLGTVFTRRFEADRIGSQVPIRLMHLEGLHELFLSKFVLSSTDFPARVKVHFSMKLSYRTPEYDHDNETLDSEATEPLTENEVANHPRKLWDDDCPWAEWYSAEDPVKGFELTAIWGERTFEETLEMAEVENASSFDADSWFLHPVVSQYMVDDSIGKFVGFASQLHLLVNAYESSVEAQFLEDFVADTSGQDNSKSTATVPPPSVIDRVMKDLFSDETGNYMEAENKYSRAMRGAPADSLFGQFCLHALWFGNCNIRGLYHVLTSLLICDFWRPLLIKDSFLPAIAVLWIDFVREIRWCWEESERLPRMKSTSSIDLSSCLIHQKLQMLTICIERKKSLNHEKGAGYKDETSNSTAVNKSRKGSAGVVPKMMLLNTFQEMHAPYTQDAPLMTEDMHEERLHAAEAFGNAIGLSGQLERDILCSDMSAFKAANPDAVFEDFIRWHSPGDWVSEDKTDGNAGWPPKGKLSQRMSEHGNVWRKIWNDAPPLPVSEQKSLLDPVREGEKVLHYLETLRPQQLLEQMVCTAFKSSADILNKTMYGGFKEMKTKMDQLYATMASTLKSLQGNSDVNDLAGDLKRLCQVFEHIEKLLILAASIHRKLIDAPRLAQAIFYDYFSYYLPKMGTSLESICYEKEFTTKEKVGMYERDAVSNLFPPPTANQSWRKVLSMGNLLNGHEPMQREIIFSVQERISNGYYSSPTPLCTNDEQIQTHRMYISGTSNDLWVALSVTSWD >Sspon.07G0002180-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:4140906:4141187:-1 gene:Sspon.07G0002180-2B transcript:Sspon.07G0002180-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARVVALLCVVLLLLSSAVTTTEAAGRHLVMGRRDGEAVTTAAAATTVTGTGRQLGNKVMREEMETPTTADDGAGVVGESKRRSPGGPDPQHH >Sspon.01G0005700-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:12704431:12706284:1 gene:Sspon.01G0005700-2D transcript:Sspon.01G0005700-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHKYALFFVTYSSPNQGYNLIVVDPPWENGCVRQKEAYPTLPNRYLLYLPVQELAHPAGALLVLWITNREKLRAFVEKELLPSWGVKDPTVFYWLKVKPDGSLIGDLDLFHHRPYECLLLGYINIVSPKPSRCIELFARELFPRWTSWGNEPLHFQDTMYFSEK >Sspon.05G0007120-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:22215061:22217869:-1 gene:Sspon.05G0007120-1A transcript:Sspon.05G0007120-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MIAAAAAGAATAFPFVSTTFHRPRLRPSCPRRAAAVLPARAATGPSSSWEEREEARWLREEQRWLREEQRWLREESRWRAERDALLAEVAALRLRLRALEGTRPADHLAVAVDAVVASPAPPAAVPAPQPRPVLVEEAAVEVEVEVRKEVVVVEEKKAAVAAKAEAGSGAGASKSRRTLRAGAEGEDVRAMQEALLKLGFYSGEEDMEYSTFSSGTERAVKTWQATVGTSENGVMTSELLERLFSGKTGEDAKMKDGTNGAAVPAVTGIAEVQKTVVTENGVLGVGVSEHRVFLLGENRWEDPARLTQNKKTVSTGTTASTKTCISCRGEGRLMCLECDGTGEPNIEPQFLEWIGEDTKCPYCEGLGSILCDVCDGKKVMAS >Sspon.04G0010060-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:47904518:47906087:1 gene:Sspon.04G0010060-4D transcript:Sspon.04G0010060-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPHVVVVPYPGAGNINPALQIAKLLHHHGVYVTFVNTAHNHRRVQATEGAGAVRGGEGFRFEAIPDGLSDAERGRQDYGRSLAVSTSTRCAAPFRDLIARLNGTPGVPPVTCVLPTMLMSFALGVARELGIPTMSFWTGSAASLMTHMRLRELQERGYVPLKDESFLTNGYLETTVIDWIPGMPPIRLGDFSSFLRTTDPDDFGLRFNESEANSCTKAGALILNTFDGLEADVLAALRAEYPRMYTIGPLGLLLRQQNDQNGAAADTESTTGLSLWKQDAECLAWLDTQERGSVVYVNFGSHTVVTPEQLHEFAWGLAASGHRFLWSMRDNFVRGGGDLDAMPPAFKAETAGRCHVTAWCPQEQVLRHPAVGCFLTHSGWNSTCESVAAGVPMVCWPGFSDQYTNCKYACEVWGVGVRLDAEVEREQVAMHVRKVMASEEMRKSAAKWKEEAEAAAGPAGSSRENLLSMVRALCRPNNSSAAQELKDQ >Sspon.08G0015300-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:58523100:58532031:1 gene:Sspon.08G0015300-3D transcript:Sspon.08G0015300-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMSSCVRVLNVTHVLPDQNRAALYSPSPQRLPDDDGIMKLTFMDSLFVDRAMPMRRLFMYEGPGVPPFPDLVGSLRSSLATVLAIFFPLAGKLTYRPSAGDVVVDYSPAAVSPGVKFVEAEYAGSIDDMCRVASGGGDDEGDRDALMELGPELDARQLPAPVIAVQVTRPAVGSRRAVVVAVAIHHAVADGHSVWQFMRAWTAVARAEEGSEALARLVPPTFDRTVIRYPEADELASKILRAIAPALPVVIAATAPSYFDIDPLRYMVRSPSSCSPPDRSRRSFVIHADEIQSVKQLIRTQTETAVAEQLDTPPSTYVAVSSLVWTSIVRAKSEAPHLAVNGDANYYFLVAVDYRRRRLGPQVNNERYFGNCVVPCVARATARDLCGDAGAGLARAATAIRDAIRAQPEDPVRAMESWLDSLLAAPKERFTFAGSSNRFMAYETDFGWGAPSRVELVSLFATELVLLVGVGRRRADHPPPDDDGIMKLTFMDSLFVDRAMPMRRLVHVRGPASRPSRTRRLLRSSSPPCSPSSSSRREAHLPPISRRRRRGLLAGRGVAWRQVRGGRVAGSIDDMCACQWRRTTGRQGSLMELGPELDASSCRPGDSGAVTRPAVGSRRAVVVAVAIHHAVADGHSVWQFMRARGAAEEGSEALARLVPPTFDRTVIRYPEADELASKILRTIAPALPVVIAATAPSYFDIDPLRYMVRSPSSCSPPDRSRRSFVIHADEIQSVKQLIRTQTETAVAEQLDTPPSTYVAVSSLVWTSIVRAKSEAPHLAVNGDANYYFLVAVDYRRRRLGPQVNNERYFGNCVVPCVARATARDLCGDAGAGLARAATAIRDAIRAQPEDPVRAMESWLDSLLAAPKERFTFAGSSNRFMAYETDFGWGAPSRVELVSLFATELVLLVGVGRRRADHRDARSRAYGGLRGQPPAAAACG >Sspon.07G0027490-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:60485654:60486787:-1 gene:Sspon.07G0027490-1B transcript:Sspon.07G0027490-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEAAVGWYEELRAQDEMQEKISKEISQLSLSTRDKEFSKGLSKAELRKKRADLEKKRAALSQRYWQVIFETGSKPDFSGMTEAERAEAAEKLRSNQLRYARRRTEEGDPRGRTMEAFARIVDFDPKQGGRYYNRYTLVDLTKFDLDEEYATDDLIPFGPMRFTDPPHKVIETEHYKLSGAASFLSVKVASSDIGFPIHVYGTVIVRDSIDERCVYHFRRDPDHCQLISSEVPTTLISWSWAGWSS >Sspon.02G0038370-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2B:41011796:41012191:1 gene:Sspon.02G0038370-1B transcript:Sspon.02G0038370-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVPPARHGSALPRPAPPRCRRRLLAAERLYTTPPRSGAGALLAPPCPSADAACSPLALRVSTPGTKKTASVRIFGTIFPPRARVRNWILAVSAQDDGSVQREASCRVRLGAQALAVVSRRDGSALSPHLL >Sspon.06G0008350-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:37954845:37963929:1 gene:Sspon.06G0008350-1T transcript:Sspon.06G0008350-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSPSLLSSRLPSPATLFTKKPASLRSVSSATARHGVRVVVAAASAAAAAPVSAARVRPSAAEVARTVVELAASGTLSVVGPDGWPLGVGARFVTDAAGAPALCLAAAGVAAPDAPSSFHVEFRQSGARTPQCTMLGALIKPSDESVLKKLSIRWQKKFGEEIDQDLLYLISVDRILHMEDFNEDGMWVVPSEYTSAEPDPLRNFAENIVEEFNSKNAEDVHRIYSIYVESDLQARFRFSCSFWEGVFAVRIPFSREVSDEKGVKSSFNMMSHHAWEVEKSYASPEFEKVQFLKKIMLKISYLVISYMCKKHAGNSLQGMIGGRFNGFSCGRNSNHLTPMP >Sspon.01G0040580-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:41837007:41838904:-1 gene:Sspon.01G0040580-1B transcript:Sspon.01G0040580-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRLKIIGVHSVVLPVPGGYEHHGACYAAAPCCWRRSRTPAAGSAVARRPRGSATVVMALKEEPDGSRSGFAGGGPSWDPGLEIQVPFEQRPVNEYSALKDSTLYSWAELSPGSFFLRLGGLCLVTFSVLAAPIAAASFNPGKDPLKFVLAAGIGTLLLVSLVVLRIYLGWSYVGDRLLSAVVPYEETGWYDGQMWVKPPEVLARDRLLGSYKVKPVINLLKPTLVGTGALLVGAVSLFAFAAPVEDFLHALNQPPSAASSKPSLRCAVVMHGLLIVTASAWLHACIPLRIRRKEELLRLPVEVMQDDDLAAAAAEAADGRPVYCRDRYYRALAGGQYCKWDDLLN >Sspon.03G0022660-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:87557064:87558467:-1 gene:Sspon.03G0022660-2C transcript:Sspon.03G0022660-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSYTEAQFGSLFDLPAGDDLTSWFTHLCGEEQAALPAFQAPVAMADPRKRSAEYGLLEGEASGVKRQRSPTTSSRENSLGSNDGDYEHTSAAAAGGAGTGGGGAGRGGGRRLWVKERDHEWWDRMSSPACPEDEFRRAFRMSRATFEAVCEELGAAVAKEDTMLRAAIPVRQRVAVCIWRLATGEPLRLVSKRFGLGISTCHKLVLEVCAAIKAVLMPKAVQWPEAAAGVSASFEAASGIPGVVGAMYTTHIPIIAPKANVAAYYNRRHTERNQKTSYSITVQGVVDAGGAFTDVCIGWPGSMSDADVLDRSALYAQRGAAGLLQGQWVVGGAGYPLMDWLLVPYTHHNMTWAQHVFNERVDGVRAVARDAFQRLKSRWGCLQKRTEVKLQDLPVVLGACCVLHNICERAGDAVDPDIAFQLFDDDMVAENPVRSTAAVAARDNIAHNLLHRNTSAAGGPGFVFK >Sspon.01G0025890-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:101714367:101719771:-1 gene:Sspon.01G0025890-2B transcript:Sspon.01G0025890-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSWGRKQSSSSGSPSSSSSSGRRRGGADASMDSSSRGGGGSGSGSGSRGRSPRLDRRNAVKRIEYEAGAGASASVGASWSSSSSAEQQRSPGLRPSRSLDLAPGADLRISGSVEGEVDELCRSLGLSGPEDFAVPVAAWEARKSRSNSDRLPRSRLDPSTPADEPSPIARAVSAPVVPPTRSVPAPIPEESLHSSSASTATDSAEDPTAAAPEESPKAARAVAVVAPAGDLPLPSPRRGGGEVGIRGARPPLLSPPPPIGALAPPPVRRSFVDDYMTGSAWDIVQSFAPSPREQGSELGEHVDTRCNSDTEEENEGEDGVAAVEGELKELRIGETFEGFTGTSSLSTTNDDDASSTTTEAMFIISPNGKFKRKIKSEGAFFAVKEVSLLDQGSNAQQSIVALEQEIALLSQFEHENIVQYYGTDKEESKLYIFIELVTQGSLSSLYQKYKLRESQVSAYTRQILNGLVYLHERNVVHRDIKCANILVHANGSVKLADFGLAKEMSKINMLRSCKGSVYWMAPEVINPKKMYGPSADIWSLGCTVLEMLTRQIPFPNVEWTNAFFMIGRGEQPTIPNYLSKEAQDFIGQCVRVDPESRPSASQLLEHPFVNRPLRASFESSSPPAIRL >Sspon.02G0004040-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:14109089:14114586:-1 gene:Sspon.02G0004040-3C transcript:Sspon.02G0004040-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVDDDCPPLAVELPPRVPSPPAQAPSDASPVGVTVITGYLGAGKSTLVNYILNEQHGKRIAVILNEFGEEIGVERAMINEGQGGALVEEWVELANGCVCCSVKHSLVQALEQLVQRKDRMDHILLETTGLADPAPLVSVLWLDDQLESSIRLDSIITVIDAKNFRVQIDEYKGSSSFPEAFHQIAFADVVILNKIDLVEDNLEDLEKHIHDVNALVTVVRSVRCQVDLNEVFNRQAYGAKNSSHLQELLDYSKSVPPSRRHDNSISTLCIYEQDSVNLAKVESWLEDLLWEKKSSMDIYRCKGILYIHDSDQVHTLQAAIWISMFFKILLVVARADNEHLFALHNVSCPFFCEYARRHAGTSVQVNTELEENLLSGNIDIRCSIHTLR >Sspon.02G0021790-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2A:72868624:72869226:-1 gene:Sspon.02G0021790-1A transcript:Sspon.02G0021790-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSCAASRHTYSDKFHLYLLTSHRNNHSCTLYRPAPMAGQEQQRRRRIVLFPLPFQGHISPMLQLAALLHARGLAVTVLHTGFNAPDPTRHPAEFTFVPIHESFPAEVTSPGADIVTQLLALNAACEAPFREALASLLLGGGQDVACAVVDGQCYSALRAAHQLGVPALALRTDSAATFRSMLAYPRLRDAGFVPVEGK >Sspon.05G0033920-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:45928426:45931628:1 gene:Sspon.05G0033920-1C transcript:Sspon.05G0033920-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLFACTWLPSKRKTPTPKALVFLCHGYAVECGVTMRGTGERLARAGYAVYGLDYEGHGRSDGLQGYVPDFELLVRDCDDYFTSVVVRSQSQSKRFLLGESMGGAVALLLHLRRPEFWSGAVLVAPMCKIADDMRPHPLVVNILKAMTSIIPTWKIVPSNDVIDAAYKTQEKRDEIRGNPYCYKDKPRLKTAYELLKVSLDLEQNLLHQVSLPFLIVHGGADKVTDPSVSELLYRSAASHDKTLKLYPGMWHALTSGESPDNIHAVFQDVIAWLDHRSSNTDQQELLSEVEQKARHDDQHHHQQHGGNK >Sspon.03G0006300-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:22449881:22454155:1 gene:Sspon.03G0006300-4D transcript:Sspon.03G0006300-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MWWRAVKARRRVAGARPASTAAAGAEKSCRAVVVPRFGGPEVLEVRQGVPVPDLKPREVLVRARAVSINPLDLRMRSGYGRSIFKPLLPLIIGRDISGEVAATGTSASSFSIGQEVFGSLHPTALRGTYADYAILSLDELTLKPSTLSHVEASAIPFAALTAWRALHGTARISEGQRVLVIGGGGAVGLAAVQLAVAAGCGVSATCGTQSIERVTGAGAEQAIDYTAEDTEAAVKGKFDAVLDTIGVPETERIGISVLRRGGHYMTLQGEAAALADRYGLAVGLPAATGALLKKQMQYHYSHGIEYWWTYMRADAEGLHEIQRLSGAGKLQIPVEKTFPISHVREAHAAKEKKLVPGKVVLEFD >Sspon.07G0013690-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7A:49373630:49375818:-1 gene:Sspon.07G0013690-1A transcript:Sspon.07G0013690-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRAASSACSAQRRQAHKRQAHPTPRPHRRTRATHHRAAAKAKRAGGGMHDRRRRAAYLPSPRRRGRRVVAELGLSERLLACQREAAGEGDQGEEMCVMGVGCHGRGAGGRGWRAHWRGDSRIIPWRSPSILALRHGPRKPEREVRSMFQSSVTVAIGDGLSTKFWTDSWLPDGPICRFAPHLFAAIGKRRRQKSVREAITNRSWVRDI >Sspon.05G0039580-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:73176472:73184717:1 gene:Sspon.05G0039580-1D transcript:Sspon.05G0039580-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAGSGGGAGAGAGGLKTYFKTPEGRHKLQYEKTHSPAVVHYNHSGKTVSQMTVAYLKEKPAGQGSTPSTPSAGSGMRSAAARLLGTGNGSRALGFGSNGTSRAVSGNSRMGGGIGVSTSASGSQGMANYDGKGMYIIFNTADTLFISDLNSHDKSKDGNTDWTFPTVKDQSQVLISHAKSSKGNPIARWHISQGAINGISFSPDGAYLATVGRDGKFLCPRMKIDSFVQTRIHDYKHLNRKSEILGENRNGRWEQVSAVSFDPYWSPPNSDETEENVMYRFGSVGQVYASEWFAGDVEH >Sspon.06G0031030-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:54084468:54089021:-1 gene:Sspon.06G0031030-2D transcript:Sspon.06G0031030-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFRSIVRDVRESFGSLSRRSFEVRISGLPGLSGHHRGKSVGSLSDRPVVVDQSRWVGLPPELLRDVMKRLEEGESNWPSRKNVVACAAVCGTWREICKDIVLSPEFCGKLTFPVSLKQPGPRSGMIQCFIKRDKSTSTYYLYLCLSPAVLSEDGKFLLAAKRNRRTTYTEYIISVDPKNISRSSNGYVGKMRSNFLGTKFVVYDTQTPHNAGGLVSCERGSRRISSRRVSPKVPTASYPIARVNYELNLLGTRGPRRMNCTMHSIPASALDPEGTVPGQPKQLFVPGSSSFGESFRSANTSSSSRFSVTDRSLDFSSSRFSEISGLAQQDEDSGQAKERPLVLRNKEPRWHEQLQCWCLNFRGRVTVASVKNFQLIAAPQLDSESSQQAQQQTQPSNSSSASDHDKVILQFGKVAKDMFTMDYRYPLSAFQAFTICLTSFDSKLACE >Sspon.04G0018150-1P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4B:69208202:69211693:1 gene:Sspon.04G0018150-1P transcript:Sspon.04G0018150-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFIPPSMDALNYSVQFVLPRGVSFHSRFCLRFVIMKLIGEVIPNKSCTEQESDSLLHFLAGLSQDGGLTKSWQNGTDCCTWEGITCSPDRMVTDVLLASRNLEGGISPFLGNLSGLLRLNLSYNLLSGVLPLELVSSSTIIVLDVSFNQLNGGLQELQSSTPLRPLKVLNISSNLFTGQFPSTTWEVMKSLVVLNVSNNSFTGQITATFCVSVPSFAVLELSYNQFSGSIPPGLSNCSRLTSLSAGDNNLNGTLPDDLLHIALLEHLSFPNNQLEGSIGDVSKLQNLVTLNLEGNGFSGGIPDSISKLTRLEEIYLGNNNMSGELPSTLSRCTKLQIIDLKSNSFCGELTKVNFSNLPNLKTLDLMGNKFNGTIPESIYSCKNLTALRLSFNRFHGQLSGKISNLMSLSFLSLVDISLTNITSAFQILKSCRNLTTLLIGLNFKHEVMPEDDRIDGFENLHVFSITGCSLYGKIPPWLSKLKSLEVLSLSNNLLSGSIPDWIRNLNSLFYIDLSNNSFTGEIPTALMEMPMLKTGSVTPEVFELLVYKLHTPQSLQYRITSAFPKLLKLGNNNFSGEIPKEIGQLEGLLSLDLSFNKLTGEIPESICNITNLQVLDLSSNDFTGTIPAALNNLHFLSQFNVSNNDLEGIITTAGQLGTFPSSSFDGNPKLCGPMIVNHCGSAETPQVSKKQQNKKAIFVLAFGVSFGGISIMFLLACLLLLFRRTSFMARNRSNNKDAIEAIPSNFNSEQSMVIVPQRKGEQNKLIFTDLVKATNNFDSENIIGCGGYGLVYKAELPDGSKLAIKKLNSEMRLMDREFSAEVEALSMAQHDHLVPLWGYCIKGNSRFLIYSYMENGSLDDWLHNRDDDASTFLDWPMRLKIAQGAGQGLSHIHNVCRPHIVHRDIKSSNILLDKDFKAYLADFGLARLILPNKTHVTTELVGTLGYIPPEYCQGWVATLRGDMYSFGVVLLELLTGQRPVPISYKSKELVQWVHDMRSQGKQIEVLDPALRGTGYEEQMLKVLEAACKCVNHNPSMRPTIQE >Sspon.01G0006180-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:16198826:16202314:1 gene:Sspon.01G0006180-2B transcript:Sspon.01G0006180-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGFRVLHLVRPFLAFLPEVQSADRKIPFREKVIYTVISLFIFLVCSQLPLYGIHSTTGADPFYWMRVILASNRGTVMELGITPIVTSGMVMQLLVGSKIIEVDNSVREDRALLNGAQKLLGILIAIGEAVAYVLSGMYGSVSQLGTGNAILIILQLFFAGIIVICLDELLQKGYGLGSGISLFIATNICENIIWKAFSPTTINSGRGAEFEGAVIALFHLLITRTDKVRALREAFYRQNLPNVTNLLATVLVFLIVIYFQGFRVVLPVRSKNARGQQGSYPIKLFYTSNMPIILHSALITNLYFISQLLYRKYSGNFLVNLLGKWKESEYSGHSVPVGGLAYYVTAPSSLADVLANPFHALFYVVFMLSACALFSKTWIEVSGSSAKDVAKQLKEQQMVMPGHRESNLQKELNRYIPTAAAFGGVCIGALTVLADFMGAIGSGTGILLAVTIIYQYFETFEKERATELGFFGF >Sspon.08G0005540-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:14470678:14474098:-1 gene:Sspon.08G0005540-4D transcript:Sspon.08G0005540-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFLGLSLVLLGGLRCCSCSQVYVVYMGKGPQGDSDRRHDILRLHHQMLTAVHDGRSITDSFSFLGCPYPSSFQCCYIQYLEWMLPLHSSEKAQASHVYTYSNGFQGFAAKLNKRQAMELAEMPGVVSVFPNTKRRLCTTHSWDFMGLSTNAEGDVPGLSTNNQENVIVGFIDTGIWPESPSFSDHGMPPVPKRWRGQCQSGEANSPSNFTCNRKIIGGRYYLNGYQTEESGSSKNAIKFISPRDSSGHGSHTASIAAGRFVRNMNYEGLGTGGGRGGAPMARIAAYKACWDSGCYDVDILAAFDDAIRDGVDIISVSLGPDYPQGDYLSDAISIGSFHATNNGILVVSSAGNAGRQGSATNLAPWMLTVAAGTTDRLFSSYIRLTNGSFIMGESLSTYHMKTSVRTISASEVNAGYFTPYQSSLCLDSSLNRTKAKGKILICRRNEGSSESRLSTSMVVKEAGAVGMILIDEMEDHVANHFAVPGVTVGKAMGDKIISYINSTRHASTMIMPAKTILGLRDAPRVAAFSSRGPSSLTPEILKPDIAAPGLNILAAWSPAKNDMHFNILSGTSMACPHVTGIAALVKSVYPSWSPSAIKSAIMTTATVLNNKRKTIARDPNGRTAATPFDFGSGFMDPTKALNPGIIFDAQPEDYKSFLCATSRDDHSLHLITGDNSSCTHRASSSATALNYPSITIPYLKQSYSVMRTMTNVGNPRSTYHAVVSAPRGISVRVTPEVINFENYSEKRTFTVSLHVDVPPRGYVFGSLSWHGNGTDARLTMPLVVKVQTSDKA >Sspon.04G0002290-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:7013071:7023385:-1 gene:Sspon.04G0002290-1A transcript:Sspon.04G0002290-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding PSSLRVSFDSSRRRRRPDAARLALSRVATLSTSPLDPPSASATAAAEPDLLRLPRDRRGLPSVGRSNLNSSASNLPDSAGRPFTASFSGQSGSIPGFHHSGLHNTHGSYNLPSMPGSLAQRNAAMSGLPSSGVQQPGGSIPGRFPSNNLPVAMSQIPHAHSGVSGRGMNFGGGPAFSSSMNIGGNIQGLSGGSRNSVPGMSVSPSLGNSGPRITSSVGNIVNGSNIGRNISTGGLSVSSIASRMNLSGNAGSGSLNVQGSSRMMNGLLHQQASPQLINMLGSSYPTSGGSLSQNQIQAGNNSLSSMGMLHDASDTAPFDINDFPQLSGRPSSAGGPQGQYGSLRKQGVGVNTIVQQNQEFSIQNEDFPALPGYKGNPSDYNAMELHHKEQLHENVPVMQSQQYPMARSGFNLGSSYLPNRQQHQQGANSVQNAGSHNILLRPPSQTSGLGSYDQFLPQYQQPQPQNHFRFQQMSSAAHSYRDQIQKIQAGPTPPDPYGLLGLLGVIRMNDADLASLALGIDLTTLGLNLNSPDNLYKTFGSPWSNDPAKGDTDFRIPACYFSEQTPPLQPLLFQKFHILILFYIFYSMPRDEAQLYAANELYNRGWFYHKEVRLWFTRTANVEPLVKTHLYERGSYLCFDPEIWDSVRKDNFVLHYELVEKRPALPSIAQNRKMNCLVVELYYPKEVLGSGVHT >Sspon.01G0049280-2D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1D:95609318:95609879:-1 gene:Sspon.01G0049280-2D transcript:Sspon.01G0049280-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding EKGEFCIDDIDFDEKNNKVKVTGPFDPDKLADKLCCKACKIIKQIEIVEPPPPKPKEKPKKEEPAPPPPEKKEEPAPPPPAVVEPPKEKPPPPKEEPPKEKPAPPPPKVVQVPYPWPYPYPYPAWPSDCCCHHGHGGCHCCSCGKAPEPTPAPTPPPPQYYPQYVPQPYPCNPWIVCEEDPPYACAI >Sspon.08G0012030-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:47711959:47720862:-1 gene:Sspon.08G0012030-2B transcript:Sspon.08G0012030-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPTALGDASPAHGFAECQSREAARPRLYTLALAASPLFPHTTRRVEFPLPPRASPRELENLAAKSMARRDHDDDDEIEEEEDEEEAYDLDEEEEEDEEDDYEEEARRGKASRGGGGGGGRKRSREDNFIDDLAIEDDDEEDDDDDGGGRPRKKGGGGVRGFFDEEAQVDEDEEEEDEGEGEDDFINDAGADLPDEDVVRGSRRHSIPMRDEEEDIDEIERQVRERYARSTHIEYGEETAEVEQQALLPSVKDPKLWMVKCAIGHERETAICLMQKYIDRSDLQIKSVVALDHLKNYIYVEAEKEAHVKEACKGLRNIYASAKITLVPIKEMADVLSVESKSVDLSRDSWVRMKLGIYKGDLAKVVDVDNVRQRVDVKLIPRIDLQALASKLEGREAVKKKAFVPPPRFFNIDEAREMHIRVERRRDKESGEYFEWVDNLKFKDGFLYKSVSTKSIHTNNIQPTFDELEKFKKPGEDMNGDMASLSTLFANRKKGHFMKGDAVIVVKGDLKNLEGWVEKVEDETVHIRPKISDLPKTLAFNEKELCKYFKPGDHVKVISGVQEGATGMVVKVEGHVLIILSDTTKEHIRVFADHVVESSEITTGITRIGDYELHDLVLLDNLSFGVIIRVEAEAFQVLKGVPDRPEVVLVKLREIKSKIDRRSSAKDRSNNIISAKDVVRVIEGACKGMDTADARLGALRSSASILQSPGRLPPRGPNMNYGGRFGGGRGGRGHDALVGKCIKIKSGPYKGYRGRVKEVTGALVRVELDSLMKIVTVKRDDIADTPTVATPFREPRYSLGGETPMHPSRTPHHAYQTPMRDPGATPIHDGMRTPMRSRAWAPMSPPRDNWEDGNPATWGSSPAYQPGTPQARPYEAPTPGSGWANTPGVSFNDAPTPRDNYANAPSPYVPSTPVGQPMTPNSAAYLPGTPGGQPMTPGNVGMDIMSPIIGGEGDGTWLLPDVLVNVLRGGDDGPGVVREVLGDGSCRVALGSSGNGDMVTVFQTRSRSSGQRRATGSRY >Sspon.06G0017650-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:95703628:95715770:1 gene:Sspon.06G0017650-1A transcript:Sspon.06G0017650-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAVAGLLASALVGIAKDKLRSAIAEQASLLCNFGDDLEEMTDVLETISAALEDAERRSVKEKLVQLWLKQLKNVAMDISEMLEDYQDTSDQAKGKMQRVLLCLPVAYKNTVAAKRMKSLRENLRKIRNEIDIFNFIKDNSATKEQPYDGRETTSYLPEEPVIGRDGEKQEIIKLLCTNTNKDEIVIVPIYGLGGMGKSTLAQLVYNDAQFKKYDHRIWVYVSQDFNLKKIGMSIISQLPTQGGQQNMGTQQVINQCLDNQLHGKEVLIVLDDLWEESKIELDKLRRMLHIKGSKQVDVIVTTRKEEIARKVSSSEPYKLRPLGNDICWEIIKRSSRFELKSNQEKIEQIGLDIAKKCGGLALAAQAIGFMLGSIDDLPGWTKVNNSDIWNGSSEENGVLPSLLLSYESMPPQLRICFSYCAIFPKGQNIIEDDLVQQWVALDFTNQSKGKEYINKLLGMSFLQVSKLHSTSREHVLRYTMHDLVHDLARLTAADELIVFDDAPRSNTHTHKYCHYSLLRKYDRTTKLANMPSKMRALRFSDSGEPLDIQSGAFSSAKSLRTLDFSECSGIMLPASIGEPKQLRCLIAPRMQNARLPECITELSKLQYLNINGSSQISALPESIGKLACLRYICLSGCSGISELPKSFGDLKSMVHIDMSGCSGIRELPESFGDLKSTIRLDMSGCSGITKLPDSLGKLTNLQHLELSGCSSVKAIPEPLCALRQLQYLNMSRCEQIRQLPETLMKLQNLLHLDLSDCSSMQHLGGLRGLTALQHLDMSWLWKTDLQYLSGVLANLTSLKYLGLSSVTINDLGRSASYEWNTTYSVEDGVEEFMFPVLDRLEIENCQMLRLKPCPPTFCECSIYGSDQVISCLEEVDKTSHLCSSSSRAIKLDLDIQGDSCQSIRLFHHFPALRELRISGDHLISVPESMRHLTSLECLTLHRYDRISALPEWLGNLSSLKSLVISGCWSINWLPPCIQQLTKLQKLEIIYNPKLKKWCESEENKTKLAHINIIQ >Sspon.07G0003430-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:8274060:8278343:1 gene:Sspon.07G0003430-1A transcript:Sspon.07G0003430-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKYRFRGSSIGRPMARSICFGGTWVTAVGPRPDGEPAAADRGIRRRPATTSGLMFSAARRLLTSRARARAFALAAAAPYSHSAGSSPSRPRFPTPKEIRRGLDEFVVGQDKAKKVTNHTLHTCRPLLVALPLALLLWPQYLLFREKLGAWWCRYELR >Sspon.05G0001740-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:5692451:5692935:1 gene:Sspon.05G0001740-1A transcript:Sspon.05G0001740-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MCKVAITIPSLVWLRRAVRRWRSHGRAADAFRLLLLLPASLHRGAGGARGCAAGGSGSGSGSTRRFVVRVAHLSHPAFRELLRQAEEEYGFPAVPGPVALPCDEDHFLDVLHRVSSSPAADASSSCCCGLATRRCARGESRPLLQGRVMAVDQKLVW >Sspon.03G0020630-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3A:63937915:63938259:-1 gene:Sspon.03G0020630-1A transcript:Sspon.03G0020630-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LHAHEQTDAAAASTIVIVHQEHNPPAVVLCQRHQLWLPPPKICIVLWPAQPAGNMQVCLRQGAPRRPAVHLGHLKVVDRRAHQLVIHPIDGASDAASDGSQSLRGNISRRAGDA >Sspon.06G0025130-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:87196802:87200344:-1 gene:Sspon.06G0025130-2C transcript:Sspon.06G0025130-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPLAAHGGSVARAASIRLLCTAVAPPETTSLSHVLALPPIARSPDADELARVLLAHHNPFHPAESPLQLLSGGGVSLTGDLLVQLLLRLRGASKLALSLLHAARLHPSFVNTRPRPTPTTPWSTPSPARASSTPHGGSSLTPPPTAPLRHARSQCWQEAVRAFDDMEAFVGREANSAEFATLLDTLCKYKYPKVATEIFNKRKYKYDPNEKMYTILIYGWCKVNRSDMARKFLKDMLDHGIEPNIVTYNILLNGICRHASLHPDYRFDRTVHSAEDVLKEMRDRGIDPDVTSYSIILHVYSRAHKPELCVCMFRSMKDRGICPTVATYTSVIKCLASCGRLEDAETLLDEMVAEGVCPSPATYNCFFKEYRGRNDVSGALQLYNKMKQPGSLTAPDIHTYNILLGMFIKLNRHGTVKDIWSDMCESTVGPDLDSYTLLIHGFCASQKWREACQFFMEMIEKGFLPQKITFETLYRGLIQADMLRTWRRLKRRVDEEAAKFGDEFKLYHMKPYKR >Sspon.02G0005270-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:15896724:15898691:-1 gene:Sspon.02G0005270-2B transcript:Sspon.02G0005270-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVANGLLRREDWETAIKVPLGIILAVCLGYIPFANEDVMAAPKAKFADGYLDAAIIKDCQRWDVLGLMFQMKDGAYINSPCVEYFK >Sspon.04G0009710-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:28141113:28142377:1 gene:Sspon.04G0009710-1P transcript:Sspon.04G0009710-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQELHRPMELPPGFRFHPTDEELITHYLARKVADARFAALAVGEADLNKCEPWDLPCKHRPAGCTPARTASACCYFCTIQSSSHAWLKSSAWWFACAALARMGEKEWYFFCLKDRKYPTGLRTNRATEAGYWKATGKDKDIFRQGNGKALVGSKKTLVFYTGRAPKGEKSGWVMHEYRLHGKLHGAVVPTKAAAGSKNEWVLCRVFKKSLVVGGAAPAAGKTGGMDMSKMGDDIAAISHLPPLMDVSGAAVANPAAAAHVTCFSDALEGHQFFNQQTPPPEAATDHLGLAAASSSFLLSGFAHYGPLHHGGASLVQLLEGSVYGSGIPDMSNDKQQQPVLAPQCKGGRERERLSASQDTGLTSDVNPEISSSSGAQRFDHDHFCWGY >Sspon.02G0019560-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:63532532:63533602:-1 gene:Sspon.02G0019560-1A transcript:Sspon.02G0019560-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSRFLSVTARRTLHQARRLHAGAGAVVAPPPQALVQSGIRPESLPRHVAVVTDGNRRWAKARGMLTAEGHEAGRRALEHTIWLSHAWGIRALTMEVDYLMGMIERTIRENMDEYARNGIRMHVIGDPSRRPASLQNAAREAEEMTRNNSQFHLMLATCYSGRWDIVQACRELAGEVQGGKTLRPEDIDESLLASKLATGVAGEFSCPDLVIRTSGEVRLSNFLMWQSAYSELYFTDVMWPDFGETEYLQALSSFQSRERRFGQRNA >Sspon.04G0013260-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:53895064:53896136:1 gene:Sspon.04G0013260-3D transcript:Sspon.04G0013260-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIWKSKVLPKIKLVFVKSGGKKAAAAAELVKSFDESKEGINGEFQEKKADLQPKVVEIYESAPAPLKVLIKERSKVSGIKKNSAAITKFFEELTKIEFPGAKQVSDGISKVGPALLSGPIFATFEKVSTLLPVAAEEATTKEAPAAAKEEAAVEEKKEETAVEKKEEAEEEKKEETSAPADETAAAAETAPPADAAAAEPTAEAAPAEAAPEAEAAPAEAEPAKAEEETPKA >Sspon.07G0007670-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:18258641:18259285:1 gene:Sspon.07G0007670-2B transcript:Sspon.07G0007670-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSREASGGAGTGRGAERHRCRYRGVRRRAWGKWVSEIRVPGTRERLWLGSYAAPEAAAVAHDAAACLLRGRPAGHLNFPDRAACYGAYGGHAPPLSPRSVQRVASDAGMAADAQIVDARAAALAAQQPTPVQPAAFAGIGSAAEQGGGGATAQGAAACAPLPPPSYSDGASCSSSAYWSTPSASASASRTSSSAGSEQPLVYGDISVDDIEILI >Sspon.02G0048620-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:27358780:27360194:1 gene:Sspon.02G0048620-1C transcript:Sspon.02G0048620-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:U11/U12 small nuclear ribonucleoprotein 31 kDa protein [Source:Projected from Arabidopsis thaliana (AT3G10400) UniProtKB/Swiss-Prot;Acc:Q9CAE4] MRIGSGRGASGGVGPCTGAGDAGSCMDARNREKTNCGHMHSNVGVPAARRHDMSRRRRGGSDSDGEDDSFLYRYPLPSAASSASASAGGGGKPRGGGGGGGSGGLAPSKSTVYVSNLDFALTNSDLHLLFSRFGRVARVTVLKDRESRRSRGVAFVLFVSREDAATAAAEMHGKVLNGRTLSASIAADNGRAAEFIRRRVYRDKSRCYECGEEGHLSYECPRNQLGPRERPAPSKKSRRGGGGGGGGSGGRGRGRGGEADWHSDDDEDAAAAAAFEDDRWASVVDTRGEEEKAAGKEEGKGNAARKEKRKGYFSDESDEDDD >Sspon.04G0022860-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:14787910:14788539:1 gene:Sspon.04G0022860-3D transcript:Sspon.04G0022860-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAGRGLLVLFFSAAMVAGLTSAANGPFLSDSVFQPSAGSTGRSLLQAKNSTFHSFFSPLTTSTCD >Sspon.07G0034870-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7C:81977108:81977593:-1 gene:Sspon.07G0034870-1C transcript:Sspon.07G0034870-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIGFLPNKAKEVTKREVAPKSEWSKWTWISEDDTMLNGAFFTSSGTPTPDVKAASFVPP >Sspon.08G0015930-3D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8D:60379438:60380742:-1 gene:Sspon.08G0015930-3D transcript:Sspon.08G0015930-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding IITQPMDFSTIQKKMERKDGTCYTNIREICSDVRLIFANAMKYNDDQNIIHLMAKSLLEKFEEKWLHFLPKVESEEKRQKEEESKGVAATNTSREVAIAKLAKDTDDELNQINRKLEELRKMVVHRCRKMTTDEKRKLGAGLCHLSPDDLNKALEIVAQDNPSFQTKAEEVDLDMDAQSETTLWRLKFFVREALERQANVASGKMDENAKRKREICNALAKTASKRIKKQP >Sspon.01G0008490-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:2578886:2582872:1 gene:Sspon.01G0008490-2P transcript:Sspon.01G0008490-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADQLTDDQIAEFKEAFSLFDKDGDGCITTKELGTVMRSLGQNPTEAELQDMINEVDADGNGTIDFPEFLNLMARKMKDTDSEEELKEAFRVFDKDQNGFISAAELRHVMTNLGEKLTDEEVDEMIREADVDGDGQINYEEFVKVMMAKVELMEQDKRAKVLCLHGFRTSGSFLKKQISKWHPSIFQQFEMVFPDGIFPAGGKSEIEGIFPPPYFEWFQFNKVGALDGTKLKSGPHGILIPELYFSYSLITIYVLAVDARDEHFFIFVPVCRKPVTIQCLHVFSTQEFTEYTNLDECISYLCDYMVKNGPFDGLLGFSQGATLSALLIGYQAQGKVLSDHPPIKFMVSISGSKFRDPSICDVAYKDPIKVKSVHFIGEKDWLKVPSEELASAFDEPLIIRHPQGHTVPRLDDVSVKQLSEWSSCILEDIKNAKVPEALDSGKPYDKEATGAEFTENLVKA >Sspon.08G0015360-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:61642178:61647270:1 gene:Sspon.08G0015360-1A transcript:Sspon.08G0015360-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDEYVDLPVSDEDEEEIEDEMDDSEEEGGGGSSKKKAKQHVEQLKRLQQKDPEFYKYLEQFDKDLLGFDDDDDEIEDDQETGVDGEEESVSKEELNHVKPITMEMVDSWCDGVENEKIGSIRSILQAFRRACRYGEDHGDNSTPKFSVMSGSVLDKVMHFVLKHMDRVLRQLLGAPSFGGKKEAISELMLSKSWKRHGNLMRIYLGNALHMITEMTDEQMVAFTIHRVRASAVFLAAFPSLLRKYVKALLHTWARGRGAMPLVSFMFLRDLCIQVGSDCLDTCLKGIYKAYLVNCKLSKSISGSKLQHIQFLGNCVRELYNLDPQSAYQHAFVFIRQLGVILRGALTERGPKSAKDKRQKESSKSSKKQAEKSYQKIYDWQYIFCLELWTSVVCGCSSEEEFQPLAYPLTQIIHGVACLVPSARYFPVRLRCVRMLNRIAEATGTFIPVSSLLLDMLEMKELRGRPDGGVGKAVNLFSVKQVDKKTVKTRAFQEACIYSVVDELAKHLAQWSYSIAFFEMSFIPLVRLRSFCKTIKADRFRKEMKDLIYQIEANVEFIKSKRVGIAFSPNDPAVESFLQTEKEERCSPLSKYVANLHQRAQDRMDALDETSVIVGAESSTFSRRLSEAQKQQDEQDNDEGTIAFSKNWLAENKKLKTPKENKKRPREDDDVATEEDRVEDLVLSSDEEDGNNQEPEDGFVPVEGDSDEDFVDPDSEYKKQKKAKLKKRNKRQPLSHKAPSRTKRNSHPKKKTRH >Sspon.08G0012220-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:50949369:50950549:-1 gene:Sspon.08G0012220-4D transcript:Sspon.08G0012220-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLSGHGGGRRLFTASQWQELEHQALIFKYMASGAPVPHDLVLPLRLATGVDTAPSLAFPPQHSPSLAYWGCYGAGAPFGRKAEDPEPGRCRRTDGKKWRCSREAHGESKYCEKHIHRGKSRSRKPVEVTSSATSPAAAAYRPSALSISPPRAADAPPPSLGHPQQLLRHGASSAAARAPAQATAGGALQLHLDASLHAASPPPSYHRYAHTHAHYTTPTPTPSLFPGGGGGYGYDYGQSKELREAELRRRHFHTLGADLSLDKPLPLAATGSDAAAAEKPLRRFFDEWPRESGDTRPSWAGAEDATQLSISIPAASPSDLAASAAARYHNGEPASERLHFYWFLAYNQFAD >Sspon.04G0023800-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:18787906:18793280:-1 gene:Sspon.04G0023800-2C transcript:Sspon.04G0023800-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRPAPLFSRLRFRLPRCAAVSISTPPSSAAPLRYGRRTRLASPFPLSPPCPRILRAAAAVSSSLDLRPIAGGFALFSMAAASSATSVHDFTVKDASGKDVDLSTYKGKVLLIVNVASQCGLTNSNYTELSQLYEKYKDQGFEILAFPCNQFGGQEPGTNEEIVQFACTRFKAEYPIFDKIDVNGDSTAPIYKFLKSSKGSLFGENIKWNFSKFLVDKEGRVVERYAPTTSPLSIEKDIKKLLGSSSTL >Sspon.05G0001550-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:1132622:1134023:1 gene:Sspon.05G0001550-2B transcript:Sspon.05G0001550-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPAGMQQSPMENPLSRSYSIGAVATHVGSGNVAVAAAPGQGAPSKTRIRWTQDLHERFVDCVNQLGGSDKATPKGILKLMNSDGLTIYHIKSHLQKYRIAKYMPASSTSE >Sspon.03G0025880-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:977804:978285:-1 gene:Sspon.03G0025880-1B transcript:Sspon.03G0025880-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDGSPSPNGRRPCLSWLLRPSVAPPSIPVAGILAHDDGAPPPHPGCRTAEGPGVPLDGDDAHPAASLLPRWPSSSRLPSQRAGQSVAALRFRFWFSHQPLRAAGFRVIGFDGWFISGVWSL >Sspon.03G0022960-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:92382020:92390183:-1 gene:Sspon.03G0022960-2B transcript:Sspon.03G0022960-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAFKFCAKKTMKRQYLSSLKARTVLMGPAKGSRTINKTIVKGYEDQQQHDDPSSSSKAKQKKKKISDLDPKWSKDELTNFYEAYRQHGKDWKKISVAVGGKSSDMVRSLYTSHRTFLSLPEREATAMGFIALVTGHHNASEKTTSHRGYDHIVRASGKARKHGEQQKSIDGPDPHNCHEGKISGFSASFKKRYYGELVRNNRNHAVRRRTSRIPIIAPADRSTIDEATPGIENVINSTKRKYEAANNDCAIVPTNEYSPDRVSGFAEANKAGQDFKKTRIQQTMAEGQTGIVEHETTMASKEGNKLVDSLNNNQILIDSISEGSLGKTDSALSHRREEDHPIIDVSKQGKPVGKSSASKTRKKKHKKDAEVPAEAQNICGNNLVLPETLRVGITDDSFLCIDSGRVGIPEASEDISAEVPNAQMETKPEVRMSGRTRRKSQMHCKTKHMSCNEGSDNLQVIVRHPSSRELCDGKVVMMGPDCYKVHFDDPDLGVDIVKDTDCMPVNWLYNRPDNMRRSSLSNNAYSILEMDHIPDLTPSENGDHAVNGATVLEGLKKLRLTSDIQPKDCEVELDLQPPVRRATTIVLPAPLSSISSSSLSGMAAKNLLMNSLQHSFPVTITSCVPSACISDAVEPQHVGLVAALVQPLAERVDDVVAVALHLEHKEVVVPADDVHVGAARLAFATADLAPDVVERHGA >Sspon.01G0007360-1P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:96291969:96294191:-1 gene:Sspon.01G0007360-1P transcript:Sspon.01G0007360-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPHVLALPFPAQGHVIPLMQLSHRLVENGIEVTFVNTELNHALVLDAMPADGTGRSLDGIHLVAVPDGLADGDDRKDLGKLVGGMSRHMPGYLEELVGRTEASGGTKISWLIADEAMGWAFEVAKKLGIRTAGFWPGSAAFLDTTLRIPQMIQDGLIDEKGWPKRQETFQFAPGMPPLHTSQLPWNNAGAPEGQPAIFQLIIRNNEAKDLAEVIVCNSFRDAEPSAFKLYPDVIPIAPLLADRQFQKPVGQFLPEDTGCLEWLHAQADRSVVYVAFGNFTVFNARQFEELALGLELTGRPFLWVVRPDFATGLSKAWLDEFRDRVGGRGIIVSWCPQQQVLAHRAVACFVSHCGWNSTLEGVRNAVPFLCWPYFTDQFQNESYICNVWRTGLAVAPGPDGVVAKEELSCKLERVLGDDGIRERVSALRGAACRSIAEGGSSHDNFKKFVDLLKLKE >Sspon.01G0024020-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:91549457:91550442:1 gene:Sspon.01G0024020-2P transcript:Sspon.01G0024020-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEEAVAASIQGPSAAPSWADMETDCLVHVFARLDLEDLAAAAPLVCRGWRRAAADPSLWRALDLRRDHVARFMPWAPLAVAFARRYAVPRFSLAGFLRLCVSRARGSADDFALPPLLADPADEIDHISLHCPRLRRLALPQLTAGDEARLPDLIPRWPLLEHLELEAKPSSSSFPALAAQLELHCPGFASLKTSGAVKPEDAAALARSLPGLRSLCLDRSYLPREQLLAILAGCTGLREFSARGCVGFDEKDEEVLRRGARIQRFDVSGSKLVDDLEDELAAGGGFCDDSSDADVIV >Sspon.03G0007510-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:25440627:25451578:-1 gene:Sspon.03G0007510-2D transcript:Sspon.03G0007510-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable acyl-[acyl-carrier-protein]--UDP-N-acetylglucosamine O-acyltransferase, mitochondrial [Source:Projected from Arabidopsis thaliana (AT4G29540) UniProtKB/Swiss-Prot;Acc:Q9SU91] MQVRAFSLAPSLLSPISSDLISLYRFCCSRDSQAAAREASGSFIHPAAVVHPDAAIGQGVSIGPFCTVGPSARVGDACQLHAGSHVVGDTELGEGCTVQTGAILGADIPGRTIIGENNVIGHYAVTGDECFLHIGRNNEIREHCSIHRSSKSCDCTVIGDNNLVMGLSHIAHDCKIGNNNIFANNTLFAGHVVVEVSNNFTIGSFFSSTGLRGHLLIKKYFFQDWTHTAGAVVVHQFCHIGSYSFLGGGSVVAQDVPRYMMVAGDRAELRGLNIEGLRRNGFSDQEVRRLRKAYQKVFMPTITNKSSFEDRLAELVRILAHRFVFTSMCACIYLNPYQQEQEIELSESPAVSCMVESIRMSFVQGRRGICKFRSAYSILPSIYYTRTDGYSAKTLKIGLGGLELTQENTTSAAIWFYKLNDN >Sspon.03G0009170-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:30018034:30018846:-1 gene:Sspon.03G0009170-4D transcript:Sspon.03G0009170-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLASATRARTTSSTKPGHAAAGAVRGAGAGGSGTGTPVVVVTEAGQQEVTVSQFVAQLDEAARRRLDSMHQRLRLLEQQMETLEAEVGKASSTRMDTYA >Sspon.01G0035050-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:7545874:7546602:1 gene:Sspon.01G0035050-1B transcript:Sspon.01G0035050-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding APSRPFALRLCCAPPPPLHGRPCTYVLEPCARRANYAAGAGHAAGLARTRRSRSYRTYLVAPVHGQVTAQTRAVPVPPTASSGRLIDLLSPDDPFDQVGMMLSPSNGEPAGSPTRAWRPAVAGDRGGTDTRTGSMPRACPTRRRKFSGARQAGAGPRPAAAARVDRPPWAWRMRAGTDRARTHTTTDTEPDRRQLRSESDPAPRGITHAPRCHMRRTAGAGRYD >Sspon.01G0054950-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1C:71549038:71549666:1 gene:Sspon.01G0054950-1C transcript:Sspon.01G0054950-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RFRVDWGGYGGGSGGGGGFGIGKGAGDWWNNFVSSIAGGGGGGQGGGGGTNGGSGSGGGSGYGSGSSSTAASGPSSGNYANAEGKGAGGGMGGGANGGYGTGAGGGVGKGQGVSGVALAPGSDGYYNGGAADATGGGSGAGGGHGGGAAGAPSYGTGGGLGGGKGEAGSDGSWGSGYAQGIGAGTGGGGGGGSQGGSGGGGGSGSGSGS >Sspon.07G0016860-3C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7C:84876120:84883301:1 gene:Sspon.07G0016860-3C transcript:Sspon.07G0016860-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHQLLGHRPTAHQHSGPSDRRRRRKNSQNKERKINRNGEEKRKKSGAAGGSRLCDAPARRRGPALRQSRYPGGIRDSDLRGFIPSSLCIGYPDKWERCYQISLLWITTTIMRESPPTQGEPSTSSAVDDSYSADRVEDSQLFLSVPALNQAASYLAQTASFLTQCLPVPGYVGLSEEGQELATLPPASASGRLSVQTSSVEPAGTNSSLGQADCVGSPSQENTGQMVPSHVFQNGASLFQGLVERARKTVRGSADDIGWLQRNQSLPTTEDGTARFLEILDSVRKNEHKLPDSVVYLLVPGLFSNHGPLYFVKTKAYFSKMGLACHIAKIHSESSVSKNAREIKEYIEEIYWGSRKRVLLLGHSKGGVDAAAALSLYWPQLKDKVAGLALAQSPYGGSPVASDILREGQLGDYVRLRKIMEILVSKVLKGDLQALEDLTYERRKEFLRQHPLPQDVPIVSFHTEASITPSVLTALSHVAHLELPIAADGNSTRIPVVMPLSAAMAACSQLLVARYGEKSDGLVTRKDAEVPGSVAVRPERKLDHAWMVYSSLKEEPGDQADTSQSLSSAPLAGVASPACSFPPASRRRFPSPSSLSPPSRIQTLTLNPSPTNLRAVAPPLPTPDSPRWPDSIDVEEKRGTASMGDLMVSRLVHSSSPSPSPSRSTAAAPAPPLPNHHHHLQNRNHAVDGLLAANGPEPRNGLEAAAEVEKPAPVAYLPQVVVLCEQRHEPDGIDEAVAAAAGPSTSGLVSKWRPKDRVMDVMQFADAVSSAASDIILGNSLDSVTPGLGGS >Sspon.07G0010680-3D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7D:32125409:32126858:-1 gene:Sspon.07G0010680-3D transcript:Sspon.07G0010680-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGAGVLGLPFAMSQLGWGLGTVAIVMSFVITLYTLWQLVEMHEMVPGKRFDRYHELGQHVFGERLGLWIILPLQIIVMVGTDIVYMVTGGQSLRKFHDLVCQGRGCNDIRLTFWIMIFASPHFVLSQLPNFNSISAVSGAAAVMSLAYSMIAFCTSAIKGAEATAGAIDYGLRATTTSGQAFGMLSALGTVSFAYAAHNVVLEIQATIPSTPEKPSKKPISVDPNVLITLDKPRWLIAAANLMVVIHVIGGYQVFAMPMFDMIETVLVKKHHFTPGFWLRFVSRSAYVAATMFIGLTFPFFDGLLGFFGGFGFAPTTYFIPCIMWLMVRKPKKYGLTWFINIICIVIGVLLTIIASIGGLRQIILDAKNYKLYS >Sspon.06G0004090-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:12487316:12492313:1 gene:Sspon.06G0004090-1A transcript:Sspon.06G0004090-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAPAMLRWAAAALALAALLAASPAAAFYLPGVAPNDFHKKDPLLVKVNKLTSTKTQLPYSYYSLPFCKPNTIVDSAENLGEVLRGDRIENSPYVFEMGEPKMCQIICKAKIDDKQAKELKEKIEDEYRVNMILDNLPLVVAIARQDRDATVYQAGYHVGVKGQYAGNKDEKSFIHNHLTFLVKYHKDETTDLSRIVGFEVKPYSINHQFEGPWNDKNTRLITCDPHASKLVVNSDTPQEVEAGKEIIFTYDVAFEESDVKWASRWDTYLLMTDDQIHWFSIVNSLMIVLFLSGMVAMIMLRTLYRDISRYNQLETEEEAQEETGWKLVHGDVFRPPANSDLLCVCVGTGVQFFGMLLVTMIFAVLGFLSPSNRGGLMTAMLLTWVLMGLFAGYASSRLYKMFKGSEWKSITLRTAFLFPGIAFGIFFILNALIWGEKSSGAVPFTTMFALVLLWFGISVPLVFVGSYLGFKQPAIEAPVKTNKIPRQVPEQAWYMNPAFTILIGGILPFGAVFIELFFILTSIWLHQFYYIFGFLFLVFIILIITCAEITIVLCYFQLCSEDYMWWWRSYLTSGSSAIYLFLYAGFYFFTKLQITKVVSGILYFGYMLLASCAFCVLTGTIGFCACFWFTRLIYSSVKID >Sspon.07G0013280-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:47979465:47986817:-1 gene:Sspon.07G0013280-1A transcript:Sspon.07G0013280-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:EMB3117 [Source:Projected from Arabidopsis thaliana (AT2G47940) UniProtKB/TrEMBL;Acc:A0A178VUR8] VYCTHIAPDYGLPWQKQRQHSSSGSAFMIGDGKLLTNAHCVEHDTQVKVKRRGDDKKYIAKVLARGTECDLALLSVENEEFWRGTEALHFGRLPCLQDSVTVVGYPLGGDTISVTKGVVSRIEVTPYAHGTSDLLGIQIDAAINPGNSGGPAFNEQGECIGVAFQVYRSDEAENIGYVIPTTVVSHFLNDYQKNGKYTGFPCLGVLLQKLENPALRESLKVPSSEGVLVRRVEPTAPASSVLRKGDVIVSFDGIAVGCEATVPFRYAGDIAQLGIIRDGNSMKVQTILQPRKHLVPFHVEGGQPSFLIVAGLVFTPLTEPFIEEECEDTLGLKLLAKARYSLATFEGEQIVIVSQVLAHEVNIGYEHMGNQQLMKLNGTTIKNIHHLAHLVDTCKDKFLTFEFEDDFLVVLHREEAAAASSDILKEHAIPSIRSSDLSEPYVETKNDIQKTSEDFGESPVTNFEMGIDCLLWA >Sspon.02G0010880-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:28294097:28295223:1 gene:Sspon.02G0010880-2B transcript:Sspon.02G0010880-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKLHKAGISNSTDHELLELLKRAAMDDRTIIMTFTNEAWTAPGSLLDLFLQSFRLGVRTAPLLKHLIVVAVDAKAYERCQHVHQLCYYFRVDGADFAAEQTYMQKDYLDMMWRRNRFQARILELGYSFVFTDVDIIWLRNPLLRIPIGADMAMSCDFFFGDNPYDLNKLANGGFVYAKASARMVAFYGSWYESRKGYPGAHEQYVFDQVKHELSARHGVRVQFVDTAYLSGFCELRKDFYRVCTVHANCVLGLKSKLQRLTELFDEWKQFREKAALLGSNTTALTD >Sspon.02G0024880-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2B:87416204:87421679:-1 gene:Sspon.02G0024880-2B transcript:Sspon.02G0024880-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMHASNVKSPTAYGPNKWGHAEATAHNKDYSCDKQQANLVQRTRINFSLPPWARKEIDAICKKFLWVGKDAAITGKCMVAWDTCCRPTELGGLGIPNLKLQGYALQTKWMWLQKADSSRAWSQLPLRVEQEVLAFFRASTYTVLRDGNTSLFWEDRWLNGADVAELAPNLVKQVPSAIRRSLSVRQGLSNRDWTRFITGALTDMLIAEFFDLWDATENVALLDQPDKIVWRWTPDGQFTAKSAYNKLHTGAITFRGHALIWKTWAPLRVKIFLWLAFKRKHWTNDRRARHGLQAHEECFLCDQAPESIDHMLSCCPFTRELWFHICTALGKHLPQPNHSVLSAWRQLRREWHGCQRKGFDSLFALVSWQVWKERNARRFRSATTSVTDLLLVIRAEADRWIRAGRCPQEKKRNGGTGEERRPRTRHRQSSQALQSFGKAEALQEILQLEMHLKDQQVVRGALEKALGPEDDPAAAPPTLQYESPALKPANQLIREVATLELEIKHLEQYLLTLYRKAFEQQQQVSSDARRDREAAARKLSVSSRPDETPRPKGPMIRGGGGDPTMLHYSCPPLSGKGRRNNGCTVDDCSPSTCPRRTTADLVDTAGLRSQSALSFRGAWSSSSSRISPTEDSLARALRSCHSQPFSFLE >Sspon.04G0015350-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:61567923:61576659:-1 gene:Sspon.04G0015350-2B transcript:Sspon.04G0015350-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:(6-4)DNA photolyase [Source:Projected from Arabidopsis thaliana (AT3G15620) UniProtKB/Swiss-Prot;Acc:O48652] MEAATAATAAMVWFRKGLRLHDNPALDAARRYGAGAGAASARRLYPVFVLDPCYLRPDPVAASPGSARAGVARIRFLLESLSDLDARLRRLGSRLLLLRARDDVADAVCAALKDWNIGKLCFESDTEPYALARDKKVTDFALASGIEVFTPVSHTLFDPAEIIKKNGGRPPLTYQSFVSIAGEPPDPAMEEYSELPPLGDTGEYELLPVPTVEELGYGDISQEEIPPFCGGETEALRRMKESLQNKEWVAKFEKPKGDPSAFLKPSTTVLSPYLKFGCLSSRYFYHCIQDVYRSVRNHTKPPVSLTGQIPWSENEELFVAWRDGQTGYPWIDAIMIQLRKWGWMHHLARHSVACFLTRGDMFIHWEKGRDVFERLLIDSDWAINNGNWLWLSCSSFFYQYHRIYSPITFGKKYDPNGNYIRHFIPMLKDMPREYIYEPWTAPVSIQKKANCIIGKDYPKPVVDHETASKECRKRMGEAYDSSRLNANPTKGKTLNSSRRKMPHGDQDTSNSTIAKLLKRNGRAESKEMCQMAEKHYDLTLVKSAIRF >Sspon.08G0012450-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:49898250:49904518:1 gene:Sspon.08G0012450-2B transcript:Sspon.08G0012450-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding FGPGKQDRKGHSFFFSAQTAASSPLDLSSPLHFSGSHLSHLHRRSHTRALSVLLLLQSRDKLCENLLELQRLQNNNNLQSNLVAPGVLQHNSTPGVFHPQLNTPGLSELPHALSSSIDSNGSEVSAFLADVNAVSSAPTLCSAFQNASSFMEPVNLEAFSFQGAQSDSVLNKTCHPNGISQCLTDSKEFITGRLPSFASVQEANLASSGFKTQKQEQNAMCNVPIPAFTARNQMSVAATQGALIPQKIPSWINENKSEGPVSHPSDVQTQPNSAGNGVGVKPRVRARRGQATDPHSIAERLRREKISDRMKSLQDLVPNSNKADKASMLDEIIDYVKFLQLQVKVLSMSRLGAPGAVLPLLTESQTEGYHGQPLSAPTNAQGLLDALDSEDTFVFEEEVVKLMETSITSAMQYLQNKGLCLMPVALASAISTQKGVSAAAIPPER >Sspon.03G0013200-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:36772270:36777246:-1 gene:Sspon.03G0013200-1A transcript:Sspon.03G0013200-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAPLLLALAVLAAAAWPSLAAEGPAAALQDSAELLRRAKEPAFADWMVGVRRRIHENPELGYEEFQTSELVRRELDELGIPYRHPFAVTGVVATVGTGGPPFVALRADMDALPLADILVTGNKREEQESVEWEHKSKVPGKMHGCGHDAHVAMLLGSAKILQEHRDELMGTVVLVFQPAEEGGGGAQKMIEAGALENIDAIFGLHIADSVPIGVLASRPGPIMAGSGFFEAVISGKGGHAALPHHTIDPILASSNVIVSLQQLVSREADPLDSQVVTVGKFQGGGAFNVIPDSVTIGGTFRAFLKESFNQLKQRIEEVIVSQASVQRCSASVDFLTKDRPLFPPTINSPELHDFFVNVASEMVGSRNVRDRQPLMGAEDFSFYAEAIPSTYYYFVGMYNETRGPQAPHHSPYFTVNEDALPYGAAAQVALAARYLLEHQQPAATTDKAKPHDEL >Sspon.03G0013810-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:37519426:37523654:1 gene:Sspon.03G0013810-3D transcript:Sspon.03G0013810-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NADH-cytochrome b5 reductase-like protein [Source:Projected from Arabidopsis thaliana (AT5G20080) UniProtKB/Swiss-Prot;Acc:P83291] MAALLLRRLAGSHRGRVPLGAAAAAAACGGAALFYASSSPTVAHLEEKGEAAEKVALNPDKWLEFKLQEKATVSHNSQLFRFSFDPSTKLGLDVASCLITRAPIGEEVEGRRKFVYPDGKMSQYFASLKPGDVLEVKGPIEKLRYSPNMKKQIGMIAGGTGITPMLQVVRAILKNPDDNTQVSLIYANVSPDDILLKRELDRLASSYPNFKVFYTVDKPSTDWRGGVGFVSKDMVLKGLPGPAEDSLILVCGPPGMMNHISGDKAKDRSQGELSGILKDLGYTAEMRLALNWKQF >Sspon.03G0041650-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:44356652:44357301:1 gene:Sspon.03G0041650-1C transcript:Sspon.03G0041650-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLPANSPWTCPNDRVFFDASVIWGLVGPRRIFGALGNYSALNWFFLAGAVGLAVMYALHRVFPAKRWIRMINPPVLIGITADMPLAMAVNYNAWLLIGVAFNFFVFRYRKRWWQRYNYILSTALDAGVGFMGLLLYFTLSMENRNISWWGTDREHCPLASCPTARGGM >Sspon.01G0029280-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:111802511:111804038:-1 gene:Sspon.01G0029280-2B transcript:Sspon.01G0029280-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAKEFGREVISMDKVKRDGEALITTGAGDEEEDDVVLPGFRFHPTDEELVTFYLRRKVARKPLSMEIIKEMDIYKHDPWDLPKASTVGGEKEWYFFCLRGRKYRNSIRPNRVTGSGFWKATGIDRPIYSVAAGAAAANSGESIGLKKSLVYYRGSAGKGTKTDWMMHEFRLPPAATDASPSMQEAEVWTICRIFKRNIAFKRQPQQQPAWRQQVGSNAPPPMLAESSSNTGSFESDGGGDEYMNCLPVPAVALGMPRLHRIGSMLNGGGVSVTGSSFFREGVHSQQLQGQWLNRFPAPAIEQKPQLLDSSAMTIAFHQNDQSVAAAAMTNDQCYKDGYWDEIARFMEVNDPTVLYDRRYA >Sspon.02G0000830-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:2910057:2913206:1 gene:Sspon.02G0000830-1A transcript:Sspon.02G0000830-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAVLHEKTGQLSGVGNAVQNTVKDTPKMAAQPSAFSPAPVTQPDSYSLSLPSAHVSAPKTVPTTSESVVISTGKDVGPNTDTIATDEDDMEEEAPSASAELNLGALGGFSLGNQPSSSPQKSNPFGASFGTSDNKSSGTPFTLTTSPGQLFRPASLSIPSAQPALPSQSTSSSSFSSTFSSGLTGFGQPAQIGSGQQSAFGKPAQIGAGQQAGFGQTAQIQFGFGQSAQIGSGQQSGFGQPAQFGAQQALGSVLGSFGQSRQLGGVGSGGFGGFASASTSGGFGSLSSSNAGFAGAASGGGFSAPAASAGGGFAAAATGGGFAALASKSGGFAGAASSGGGFAGAAQGGGFGSGEISEVQDETRHHTKS >Sspon.05G0007050-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:22147547:22150329:1 gene:Sspon.05G0007050-1A transcript:Sspon.05G0007050-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MELGSAVSAHRCSSYTATYTHCSIGFSPRVRTTSWIRAAAEGDDGGADRRRRGASFAADGPRVVEVTAAPVATSGGAAGSAGFGARDAELAMWDKLGAVVRLSYGIGIYGAMALTGRFICQMAGIDCTGGFHPSLTALVEGLGYAAPPIMALLFILDDEVVKYSPHARAIRDVEDEELRSFFYGMSPWQFILIVAASSVGEELFYRAAIQGALADIFLRSTELMKDARGIASLSGIVPPLVPFAQTFAAVITAALTGTLYYIATAPKDPTYVVTPATRSRTGRENLKKLFAAWYERRQMRKIYSPLLEGILAFYLGFEWIQTDNILAPMITHGIYSTVVLGHGLWKIHDHRRRLRQRIQQVRSQGSSSDTL >Sspon.08G0016830-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8A:66061103:66062780:1 gene:Sspon.08G0016830-1A transcript:Sspon.08G0016830-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAVVLLLFLVLSLSFVSTSWSPERHVATPESLSWPLLAAAVVVAPPQAEAAVLGRGAELLRQDDLRVQNIQMTLLSAGDDDDNAADDEKVKKRPARSTTTALEHGPVVDVNVGSATLDRSIHLHFNFLRSRIDPMATGSGGGRRRRSMPGTVQTMMVDTASDVPWVQCHPPPTGGSRFFDPTRSPTYAAFPCGSPACARLGPYGNGCINGQCQYRVTYPDGSSTLGTYSSDLLTITRATAARSFQFGCSQAEQGLSQGSSSSSSGNMSLGGGPETAFVATPTLRFSQAPTLYSVLLRDITVAGQPLNVPAGSVMDSRTAITRLPPTAYQALRTAFRSRMGAYRLAPPKGSLDTCYDFAGVFVVRVPRVALVFDGNAVVELDVSGILLNDCLAFAPNGGDDRSPGIIGHVQQQTIEVLYDVGGGPVGFRCGAC >Sspon.04G0003410-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:44819830:44843887:-1 gene:Sspon.04G0003410-2P transcript:Sspon.04G0003410-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRAMRLRCLLRTPLWREPAANPVAASSGGDSALVRRLGAPPWPCGEKQRRLCRFYSSKEGVGSAEAAGSGGGGSGSREQEHARLGERDQQEWLSGERFITGCKRRESPFLTKRDRFRNEFLRRFVPWDKTGVSWNSIPYYVDQNARQLLSECVASHLRHKDVALEYGSGLQSSSGRILLQSLPGTELYRERFVRALANELCAPLLVLDSSVLAPYDCGEDCSESEGEDNHSEVEDKGSESDVDGEGDEESAESDDDDSIKSVADLKKLVPCTLEEFAKRVAGIQESSSAAESSGTAELSEEERSLQKGDRVKYIGASVVVEADNRIILGKVPTQDGSKNAYTFISGRTLSNGQRGEVYEINGDQVAVIFDPPEEVADGNEDEAKKEQNAKPAVYWVDSQDIEHDRDTKAEDWHIAIEALCEVLPSLQPAIVYFPDSSQWLSRAVPRSNRREFVEKVEKMFDQLTGSLVLICGQNIVEASTAAPKDKEPKTLLFHNLSRLSPLSSSLKQLVGGLKGRKPSRSSDITKLFKNRIFIPLPKDDEQLRVFNNQIEKDRKIIISRHNLVELHKVLEEHQLLCEDLLHVKSEGIALTKQKAEMVVGWARSHYLSSAVNPYIKGDRLIIPRESLGLAIGRLKEQEASNKRPSENMKILAKDEYERNFISAVVPPNEIGVKFDDIGALEDVKKTLDELVTLPMRRPELFSHGNLLRPCKGILLFGPPGTGKTLLAKALATEAGANFISITGSTLTSKWFGDAEKLTKSLFSFASRLAPVIIFVDEVDSLLGARGGAFEHEATRRMRNEFMAAWDGLRSKENQRILILGATNRPFDLDDAVIRRLPRRILVDLPDAQNRMKILRILLAKENLESDFRFDELANATEGYSGSDLKNLCIAAAYRPVHELLEQENKGDMGSTKTSLRALKLDDFVQTKAKVSPSVAFDATSMNELRKWNEQYGEGGSRSKSPFGVTVSQPEGDQNLLICGQNIVEASTAAPKDKEPSSSLKQLVGGLKGRKPSRSSDITKLFKNRIFIPLPKDDEQLRVFNNQIEKDRKIIISRHNLVELHKVLEEHQLLCEDLLHVKSEGIALTKQKAEMVVGWARSHYLSSAVNPYIKGDRLIIPRESLGLAIGRLKEQEASNKRPSENMKILAKDEYERNFISAVVPPNEIGVKFDDIGALEDVKKTLDELVTLPMRRPELFSHGNLLRPCKGILLFGPPGTGKTLLAKALATEAGANFISITGSTLTSKWFGDAEKLTKSLFSFASRLAPVIIFVDEVDSLLGARGGAFEHEATRRMRNEFMAAWDGLRSKENQRILILGATNRPFDLDDAVIRRLPRRILVDLPDAQNRMKILRILLAKENLESDFRFDELANATEGYSGSDLKNLCIAAAYRPVHELLEQENKGDMGSTKTSLRALKLDDFVQTKAKVSPSVAFDATSMNELRKWNEQYGEGGSRSKSPFGFGS >Sspon.01G0010550-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1A:29438628:29439303:1 gene:Sspon.01G0010550-1A transcript:Sspon.01G0010550-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LVGCRRTLVFYEASRSRKKKKKNQAAGLPPTEEQEEDGDGGQAAKAKDGVKTEWTMYEYESLDSEAEFEALRSGNASKVSSSLSASPFHLDVMDDLVLCTIQKKKHCQEGGERKKSTKRKTKEEGLELEDVPAAAAAGDVEQGGRAGTTEGEDEDHVQRALMEAAAAEPLPELAYHVPAAGVDNFNGDPDQNMPYYLTNSLSH >Sspon.02G0027290-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:123132415:123133743:1 gene:Sspon.02G0027290-2C transcript:Sspon.02G0027290-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding CHRPPPTAGRLSALIRRCAAGRALSPGAQLHAQGLVGGLLPDATLDTDFVLLYSRCGALHRARQVFDGMSFPSMHAYNVLLAASPPGAAVELISRLLASGLRPDRYSIPAVVRACAELRDTLLGAVFHGFALRLGLL >Sspon.03G0004120-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3B:16990136:16990810:1 gene:Sspon.03G0004120-2B transcript:Sspon.03G0004120-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LDVNDELAVVEYIEDIYTFYKIAQHERRPCDYIEAQVEINAKMRAILVDWIIEVHHKFELMPETLYLTMYIIDQYLSLQPVLRRELQLVGVSAMLIACKYEEIWAPEVNDFILISDSAYSREQILSMEKGILNRLEWNLTVPTVYMFLVRFLKAATLGNKVEKE >Sspon.01G0018620-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1C:8375487:8376361:-1 gene:Sspon.01G0018620-2C transcript:Sspon.01G0018620-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARNLLVAAVAACLAATVRAGWVRGSATFYGGANAAGTMGGACGYGNLYSAGYGTDTAALSSALFNGGAACGECYQVQCDQQNSRWCKPGVTVTVTATNLCPADYSQASNNGGWCNPPRQHFDMSQPVWEKIGVYSGGIIPVFFQRVSCSRSGGVRFTINGNRYFKLVLIFNVAGPGSIGAVQIKGSSTGWITMSRNWGANWQANSDLTTQSISFRVTATNGQFLEFYN >Sspon.04G0007300-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:19898522:19899964:-1 gene:Sspon.04G0007300-1P transcript:Sspon.04G0007300-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVQCDVCAAEAASVFCCADEAALCDACDRRVHRANKLAGKHRRFSLLHPCSSSSAAAQKPPLCDICQLLLVFPSNQASLIELGLARMMLYVGRYPSGVGVGVQERRGFLFCKEDRAILCRECDAPVHSASDMTRRHSRFLLTGVHLSSAPVDSAGPSEEEEQEQEQENSSSPCKDACSGAGAGAATTVSASDGSSISEYLTKTLPGWHVEDFLIDDASAGDVVGACSDGLYQGQHGQISGVLQEEAYMPWTGPTDVADERASWERWVPQMHAEFAGGSKRPRASPSPPCSNW >Sspon.02G0024860-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2B:87444868:87445500:-1 gene:Sspon.02G0024860-2B transcript:Sspon.02G0024860-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEFKIGRLDGQPPRIRNVPIAVTPEGFWCCPSQAALQKTAKSPNQQGRPRGGASPAPSKASSVQRAPTISSEKRAQSTPTRSRTNSDEQVCLPADAVAAPDPPKVVPAPAPEKRPKQHKISVGFGQLGTSDLKVVLHGKEGVAVKMIVHKNILAENSTFFADRISPVACIEVPDCEDVEIYVETVGLMYCKDVKQRLIKQNVPRVLRILK >Sspon.02G0048380-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2D:15664529:15666593:1 gene:Sspon.02G0048380-2D transcript:Sspon.02G0048380-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding WRQASRAASAAKTNWIWNAAAGAFSHTPQASGGILPDGEEDRISALTDDILLKILARLGCARAAAHTGLLARRWRGLWAHLPAFTFHRIAPGPLDAALAQVARPSPSLLDIHFFNHHEMEPARVSSLLGAATALAPAELAVHVTGGILRGPVQLPCFDRTTSIKL >Sspon.04G0014570-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4A:54433361:54434929:1 gene:Sspon.04G0014570-1A transcript:Sspon.04G0014570-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTKGVGAGGSGGDDAWARAGDGNGSGNGKATVQELLWHERENNRGRERRRRKIAARIFTGLRKHGNYTLPRHCDNNSMLMALCEEAGWTVEADGTTYRKQGRKPPAGDQQMADVGGSAPVNPGGGASYGLTRASSPSAGITLGGGGSGGADPIPAWLKNLSKQLSDNSYPNFFASSSNSNEPATSQNGSPPSSPPRLRKKARYSSPPPATPPPSPTRASNVLPPSWATGAGGSHFSFQTSTPPLMSTVTGGRAPGPDPVTLMAGFQISYAADNKAPAYSSFVASGASSLGAGSSASASAWMLPLLPGRSSSGALAAVRGRGGALLSPLGFSFSRSGGEQADAREEE >Sspon.05G0031740-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:17778968:17780841:1 gene:Sspon.05G0031740-2D transcript:Sspon.05G0031740-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSPPSQPTVLTLILALLLVLYLALRRRASGGKNRSYPPVAGTVLHQLFNFGRLMEYHTELAHRYRTFRMLTPTCSYIYTVEPANVEYILKTNFANYGKGSKVHEVGEDVLGDGIFNVDGAKWRHQRKVASLEFSTRVLRDYSSGVFRDTAAELAGIVAAAAGEKLDMQDLLMRSTLDSIFTVGFGVRLGVLSGSSKEGAAFAKAFDDASEQVLHRFLDPFWKAKRFLNSSLKAAMKRSVRTINDFVYAVVDRKIEQMGRDQQEFAKKEDILSRFLMERESDPGCFDNKYLRDIILNFVIAGRDTTAGTLSWFLYVLCRNQHIQDRVAEEVRAATSGAGDLGAPELVACLTDDAIGKMHYLHAALTETLRLYPAVPIDVKCCFSDDTLPDGYAVNKGDMVHYQPYQMGRMQFLWGSDAEEFRPERWLDDDGVFVPESPFKFTAFQAGPRVCLGKEFAYRQMKIFAAVLLYLFRFEMWDSNATVGYRAMLTLKMDRPLYVRASLRR >Sspon.01G0021920-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:80456900:80458828:-1 gene:Sspon.01G0021920-1A transcript:Sspon.01G0021920-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLAAGDDFLILEFIAGNRRIPHAVFDSLLACLSSPSASPRTSQRLRQALVLRALDAALHTEGASCSSSLLLCKARKVLADPDAAACFPHQISGRAAVADLKRLLDLEWANLPPSTLERAADRIAGDGAHQTWAAADHTKRAKLRLLVGESTEREILSKLVQDGSASHQPIVTEVADNAGNANEADGARRDDEAHSSNENSEADRGQEGMAGHQNASWDSSDDDQPVRKRKLHPNERKSYSSPTCPHKIRKKWSEIEEKTLLEGVEKYGKGNWKDIKLAYPDVFEERSTVDLKDKFRNLERHHHESA >Sspon.02G0016810-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2B:44814353:44815254:-1 gene:Sspon.02G0016810-2B transcript:Sspon.02G0016810-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VCMCRLLLRGIWMLRYKKIRIFCCDPDATDSSDDEDDQNSKKEKKIIGEVLVPVRKYKTTRPLKAIMPCRIKDLKSPERKVPLSRYRGVRLRDSGRWQAEIRNPLTKRQEYCLHDTEEAAAATYQAKWFQFHTEMLAMKAQEPVSEHAALSSSSLVSCASSSVLCEQNIQETQQNGGVFMEISEDELLHHEPMDESVLNSTPMETSEDVMLNWKDELPFSDSVSPTEEPPLDDFTRLEAMFPVSDFIDATYEPLHDEYIGLADISHLPLQFKDQEFDLHAELDWSGFDFVSLESELELL >Sspon.06G0019020-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:89122666:89127674:-1 gene:Sspon.06G0019020-4D transcript:Sspon.06G0019020-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPLLLLLLAAFLHGLSPAAAQGGGLPSLPIGVNYGANADNLPTPAAVATFLATKTTIDRVKLFDANPAFLDAFAANAPSISLAVSIPNALLPTFADKSAGLDAARGWVRDNLSPHVAAGANVTLLLAGNEVLGPTVVPDLVVALLPAMRRLAQALQLESLPGVRVTTPHYLGILAPSDGIPSHARFRPGLDTKVLAPMLKFHNDTGSPFMVNAYPYFSYNAATLNYAVFRPNAGVYDPGTKLNYTSMFDAQMDAIYTAMKRLGYGAGVEIAVGEAGWPTKAEAGQVGVGPEEARDFNAGMIRVCSGGKGTPMMPGRRFETYVFSLFDENQKPGPLAERNFGIFNTNFTPKYDLGLLRQGSSGSPNPSPNPSPKPSPNPSPNPSPSGGGKWCVAKSGASATDLQNNINYACGYIDCKPIQSGGACFDPNNVQSHASYVMNAYYQSNGLHDYDCDFKGTGAVTSTDPSKLRELQIRLLTGRSKSRQCGGRGTSLESTSSSRLQPRQRREVDTAGLAPNTSILMSDTHASCSQSLLVVLNLSRAAIALLSSLPLPQHEGGVN >Sspon.08G0000810-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:631190:633618:1 gene:Sspon.08G0000810-3D transcript:Sspon.08G0000810-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:U-box domain-containing protein 15 [Source:Projected from Arabidopsis thaliana (AT5G42340) UniProtKB/Swiss-Prot;Acc:Q681N2] MLPWSIFPRQAAPGGDSEPEPEADPSEPSGRHLSDEALVEDLLAAVASARSFQEFRRSQRKESFGLQRWLQLVLPLIQEIREIGPSLTDDAYRHLALLGRAFHAARRLLRCCHDGSKIFLALESEAVLGRFRAVYEKMNLALDGMPYSEIGISDEVKEQVELINAQLKRCKKRSDTQDMELSMDFMMILQNKEDGNADRAILERLAKKLELQSLADLRAETMAIKKLINERNGQQPESTKHIIELLNKFKEIAGIDEKNILGDVSIPKYLEKCPSLMIPNEFLCPISLEIMTDPVIIASGRTYERRSIQKWLDAGQQTCPKTQQPLAHLSLAPNFAVKNLILQWCDKNKVEIQMGESESVAEQKDRKEDIPKLVKDLSSVHLDVQRKAAKKIRTLSKENPENRALVIENGGLPALISLVSYPDRKIQENTVTALLNLSIDETSKVLIAKGGALPLIIEVLRNGSVEGQENSAATLFSLSMVDENKAAIGVLGGIAPLVALLRDGTIRGKKDAATALFNLILNHPNKFRAIEAGIVAALLKILGDKKLDMIDEALSIFLLLASHPSCRSEVGTTSFVEILVQITKEGTPKNKECALSVLLELGLHSNSLMVHALGLGLQEHLSNIAKTGTSRAQRKANSLIQLSRKCS >Sspon.05G0002530-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:2715991:2719030:1 gene:Sspon.05G0002530-2C transcript:Sspon.05G0002530-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At1g79490, mitochondrial [Source:Projected from Arabidopsis thaliana (AT1G79490) UniProtKB/Swiss-Prot;Acc:Q9SAK0] MDVIANFVITPDIASPDGEAEINIIGSKKGSAKQARGFLTNKRQSQFDFRLGLRSTPPQQRGQQRLQSRKNSFRAASRVFDAERWRKKRREERPSIGSNLPVTRGKEKEKETETGLGHKHSRPGHSFLWASPLIRGMDGPLQSGPQTAEAMLLRVGLARSARLRGGGGGVSFSLPLARRLSFEPPPPPPPPDTEWTDTVEYLDESGALLSSARGARPAVPGADPTILSGASAHPLPRPAAAARLAVLALRHRSGAPLSAALSALPSAPDAALLLLAASSLPASDPVPLISLVAWARLQPWFVPSDDLSSLLAARLPPATHSSELLALFDETLGLPDLAAFPKTFNAVVSALATHGLLEPAFYCFKRLRDASFRGLETPAYNALLSLLLTRGLAFKAFEVLDQMATSGCALDEGTYELAVPALARAGRIDAARKMFDEMKQREGLGGRRLDAAMGMYREMVAVGHRVSTAVSTAMVEALVRSGKLDAGMELWEEMRRGGLRPSFGLYTMVVEANARSGRLDMAAKLFGDMEKSGFFPTPATYACLVEMHASAGQVDAAMRLYHSMANAGTRPGLSTFTALLTMLVNKRLLDLAAKVLLEMKASGFPIEVTASDLLMIYIKDGSTDLALRWLRFMGSAGIRTNNFIIRQLFESCMKTGLYDSARPLLEAYVAGAAKVDLILYTSILAHLVRCQDESSERAIMDILSASKHKAHDFMCGLFTGPEQRKQPVLSFVREFFQGIDYDNEESAARYFVNVLLNYLVLMGQMNRARCVWKVAYENKLFAKAIVFDQHIAWSLDIRSLSVGAALVATVHTLHRFRKRMLYYGVVPRRIKLVTGPTLKMVVAQVLASLESPFEVSKVVLRAPGDSVLEWFKKPIVQQFLLNEIPSKADVLMHRLNVMFPSSAPEVRSLSIPRSLGMSR >Sspon.06G0020760-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6C:11761001:11769524:-1 gene:Sspon.06G0020760-2C transcript:Sspon.06G0020760-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MWELFSTTLLVEVEVVILKYGSPPHFLKSSVRRTTPVRSLACTSDRVSRSPEPSSLRSCTGRRRIRFLGSVLRATAPKASTTARLPKGASARRLRRARARGVVIKNTPPPLIDDFDDDFNIDDIDISDLAPSPPPATSSTPSTTTTTERLFPELSMASFVDALRPEKFSGAHFKRWSVKVTDWLTAMKVFWVKDGMPEGNISDEDQRKFQEANDIFVGAVRNVLSDYLFDTMMHLRDAKALWDHLNATYGASDAGKELYTMESFNDYKMVANKSVVEQAHEIQRLAKELELLKCVLPDEFVAGCIIAKLPSSWRNFATSLKHKRQKISVENLIASLDVEEKARAKDNIEKGNEEKSSAHFVQRNHGKNKGKPKQPAFNAKQNTAFKKKKKDKAELPCFACGELGHFAKDCPERADKKEKKKIHLVTASSADDGYGAPRFLRPDGEWVTCFCSWCWHGRSEVYFGKIVQLKNVQHVPTIRKNLVSVSLLLRDGFKIAPDFTVPIESSIESFEQPPEEVFEENDNEVPARNKRRRIAKSFGDDFIVYLVDDIPTSITEAYASPDADDWKEAVRSEMDSILSNRTWELSELPFGCKPIGCKWVFKKKLRPDGTIDKYKARLVAKGYTQKEGEDFFDTYSPVARMTTIRVLLSLAASYGLLVHQMDVKTAFLNGELDEEIYMDQPDGFVVKGEEQKSFDMKDLGEADVILNIKLIKEDSGITLSQSHYVEKVLSRFGFMDSKPTPTPYDPTSATRPDISFAVCKLSRYMSNPGENHWHALERVLRYLKASAEAEWLRELLSDLPVVEKPIPAILMNCDNQTVITKVNSAKDNANDRVSRSPEPSSLRSCTGRRRIRFLGSVLRATAPKASTTARLPKGASARRLRRARARGVVIKNTPPPLIDDFDDDFNIDDIDISDLALSPPPATSSTPSTTTTERAFACRRHSLTLTSDTAQLTALQSPESRAFLSKKPPAAAMERRQQQEAARRTLPRHGQIKARIFASLFRCVVPEAPARKGKEGGKRKDGSNNRRRVSPGG >Sspon.02G0046070-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2B:114036627:114037052:1 gene:Sspon.02G0046070-1B transcript:Sspon.02G0046070-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVYYLPYACQTDPCLKGWYIVDKVSPNGKVPVPNAEDYNFDANTYAREFFQEEGLEGRFEIDLTEAIEMEVDNDRVIDEDAGDEVQNAKDLQMLERLSLGNDNDVNIAPSDSVDYLDNIDSDDETYDPANPNHEDYFEYM >Sspon.07G0001770-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:3546457:3550057:-1 gene:Sspon.07G0001770-3D transcript:Sspon.07G0001770-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMGRFVNSMDPRSGLEVIRDWNGVAQVVLRSPKGASARVSLHGGQVVSWRNDRGEELLFTSSKAIFKPPNAMRGGIQMCFPQFGYSGTLERHGFARNRIWALDDEHPPLNHNDNGSKVSVDLILKPSEDDLKCWPHCFEFRLRVSLSKDGDLSLISRIRNVNGKPFSFSFAYHTYLSVSDISEVRIEGLETLDYLDNLSHKERFTEQGDAITFESEVDRVYVSSPNVVAVLDHEKKHSFVIRKEGLPDIVVWNPWEKKSKTMVDFGDEEYKQMLCVDAAAVERAITLRPGEEWTGKLELSAAY >Sspon.01G0054550-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:60908256:60910157:-1 gene:Sspon.01G0054550-1C transcript:Sspon.01G0054550-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding QLPMAPPLALLILAALVLARVVSHAHGGGGFYDPARVTQLSCSRRAFLYSGFLSDTECDHLWLQAKGSMEKSMVADNDSGESLMSQVRTSSGAFLAPSKDEIVSAIEKRVAAWTFPRSENAESMQVLRYEIGQKYDAHFDYFHDKNNVKRGGQRFATVLMYLTDVKKGGETVFPCFQGSHLQYKDETWSECSRSVTSVAPNKVVHCPIPMTRPCSSPAVKPKKGDALLFFGLHLNATTDTSSLHGSCPVIEGENWSATKWIHVRSFDNPPNVRTDAPCSDDNELCPKWAAIGECYKNPTYMVGTKDTHGFCRKSCGLC >Sspon.02G0016040-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:44059236:44061841:-1 gene:Sspon.02G0016040-1A transcript:Sspon.02G0016040-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hypersensitive-induced response protein 2 [Source:Projected from Arabidopsis thaliana (AT1G69840) UniProtKB/Swiss-Prot;Acc:Q9CAR7] MRLFCTFASVRAGGEKAFATTEAKDLGDWFRWDRHASEFRACLVDQSTVAIKENFGKFSEVLEPGCHFLPWCIGQQIAGYLSLRVRQLDVRCETKTKDNVFVTVVASVQYRALADKASDAFYKLSNTRKQIQSATVPKLDLDDAFEQKNDIAKAVEEELEKAMSMYGYEIVQTLIVDIEPDDRVKRAMNEINAGKMRVAASEKAEAEKILQIKKAEGEAESKYLAGVGIARQRQAIVDGLRDSVLAFSENVPGTTAKDIMDMVLVTQYFDTMKEIGASSKSSSVFIPHGPGAVKDVAAQIRDGLLQAKLQ >Sspon.04G0002240-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4A:6874286:6877052:1 gene:Sspon.04G0002240-1A transcript:Sspon.04G0002240-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVETGVGRLHQRRGAVAFVAANKAPLAAAWVVGFALVFLWQSASMSFGSAGAGGAAAGGGFLRLLSAPPPPPRPAPRLRPTAYNLTDFGAVGDGRAVNTEAFERAVEAIAALAERGGGQLNVPPGRWLTAPFNLTSHMTLFLAEGAEILGITDEKYWPLMPALPSYGYGRERKGPRFGSLIHGQNLKDVVITGHNGSINGQGEVWWMKHRRRILNNTRPPLVQLMWSKDIIVANITLRNSPFWHLHPYDCTNVTVSNVTILSPVSGAPNTDGIDPDVLIENCYISVGDDAIAVKSGWDQYGIAFGHPSSNILIRNVTARSLLSAGISIGSEMSGGVANVTVENVRIWESRRGVRIKTATGRGGYIRNISYRNITFDNVRAGIVIKVDYNEHADDGYDRTAFPDITSISFKGIHGRGVRVPVRAHGSDVIPIKDISFQDMSVGISYKKKHIFQCSYVEGRVIRPVFPKPCENLDVYDEQGQLVKRAVARNSTELDYDI >Sspon.03G0014680-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:69150418:69153903:-1 gene:Sspon.03G0014680-2B transcript:Sspon.03G0014680-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVAVSPMVAAALVLDNNGADAVSSTAIPSVTISVEEKEEINGDVSTITSAANNDEELFTTPISSRSPSTRKKRGAFGLFRAMFLSLGRSDSMKKTDDGDTTSPNKKRAIAAAADDHKPAGDESPSWKHIVDGMRPLRLRGQELEYYPPPPPLGHADVYHDVMLPPPSPARSGFEFEEVGMTSRYASAEDLHQMDSADEEGALAAEGGDGDSSCPHAIDMQAEEFIAKFYEQFKSESFNGRASERKPMVIATVEFK >Sspon.06G0026350-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:76591176:76592331:1 gene:Sspon.06G0026350-3D transcript:Sspon.06G0026350-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLILVVDLDCHKCYHKIRKILCQLQDHERIRTISFDNKSKTITIVGPFDPQRLACKLCCKGGKVIKDVHIVDANGGGGKPPPENVPDGPPMPAPALKKGKNKKKNKNKDKPPRAERPSSPPPPEQAPGPPQGTMMMPPPSSPVHQPPPPDQGMSAMVPQAHYVEDKPPRAELEPPMSPPPQEMKPPMDLPVPQPQHHPPPPHMKERPPPLMMPTCPGRQLEQPVAEYVIPTVEIPSWPAPPVGPCGCPCCAPCYQGYYDSCRCGCCGSRVYGTTVRPLPPPCGAYRGCRTFSDDDPSAACTVM >Sspon.03G0014320-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3A:45357858:45363706:1 gene:Sspon.03G0014320-1A transcript:Sspon.03G0014320-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNKLRRIVQTIEVLVTEMNTFGFNYQNEAPAPKQWRETDSILIDSDNIATKSRDAETQKIVKILIDRTNVAELTVLPIVGMGGLGKTTLAQLIYNHPDVKKHFELHKWVCVSDEFDVFKLVNKVCSSSGKNLEEAQEKLQEELKEKRYLIVLDDVWNEDGDKWEKLKASLKNGGNGCVILTTTRKEGVAKLMGTVEAHDIALLDAGAIKKIIETKAFGSQGNRPTELPVALVDDIVERCAGSPLAANALGSVLRGKTSPEEWNAVLSKSIAHNKDEKILPILKLSYDDLPSHMKQCFAFCAVFPKDFEIDMEFLIQLWMANGFVPKEKGIRLETTGKHIFEELVSRSFFQDVKQVKGDREDDDVDWYCPRTTCKIHDLMHDVALSAMENEVATITDEKPKQKAIDAKMAHLGSKKELTALSLRWTATEEEKPHCLKVLEGLEAPHGLKALKINDYTGTSFPAWMNKLPNMVELHLKGCKKLKNLPPLWQVPALQVLCLKGLENLQCLCSGDKFFNFPNLKELMLVGLPAFDRWCEVKSLQREQVIFPHLEKLSIEKCEKLTALPEAAASGQSCSQNHTEIRSAFPALKVLRLKYLDRFNGWEAVEGTQGHRIMFPHLEKLSIRNCQELIALPEAPLLEEFCGVHYKMARSAFPVLKVLKLKELDKFQKWGSADEATQGQQIIFPCLEDLSVLNCKNLIALPEGPLLHELCGVHYKMACSTFPVLKVLKLKELDKFQKWGSADEATQGQQIIFPCLEDLSILNCKNLIALPEGPLLHELCDRDYEKARSAFPVLKVLELRKLENFEGWEQVGATQGGDTMFPRLEELSIGDCLKMTALPAGTSYLAPSVGRSDIKTRSAFPKLKKLMFDGLINFKSWGVMEAINGEQWMFPDLETVYIDRSPELTTLPEGPKLISLTIRDGHPQILAAIPRAIDSLSELKFSAASPPAEQGAFELADSNNIKSPLTSLQLGSNCNLLFHSSALALWTYFVHLQDLKFWYCDALVYWPEEFQSLVSLRDLVISDCNNLIGYAPAAPGHPASERSQLLPNLESLDIRDCESLVEIFSVPASLKAIDVRRCPKVELESIFGKQQDKPTLNQGLSTDVMASTAVPQLPSSASWDQFLPCLESLTIRWCGSLSEVVNLPPSLREIDIFKCDKLQLLSGQLDGLRTLEIRRCKRLRSLESGSGELQMLERLDLQDCESLAPFLHNEPQAYSSLRELRITGCPGIKSLPSSLRQRLDNLEEKDLDARYE >Sspon.02G0008240-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:24381721:24384308:1 gene:Sspon.02G0008240-1A transcript:Sspon.02G0008240-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTPAPAGHHHPSLQKLLRQCRSIQRLNLLVQRRSIQRQSTPTSSSTDPCPPHPISSSPLTAPLGLANAGHGALCHARRLFDGIPDPDRVMYNTIIRAYCNSDCPREALRLHRGMLRRGILPNEFTLPFVVKACTRAQAREHALAVHGVALRLGLVGQVFVGNALLHSYASAGSLGDSRRFFDEMAGRNVVSWNSMIGGYAQAGDTREACALFGEMRRQGFLEDKFTLASLLLACSQEENLEIGRLVHCHILVSGSRVDLILESALVDMYGKCGDLWMARRCFEMMPIKSVVSWTSMLCAQTKHGSVDAARCWFDHMPERNIVSWNAMISCYVQRGQCHEALDLYNRMQSQGLAPDEVTLVAVLSACGRIGDLTVGKMVHLYIRDNIYNPDVSLINSLLDMYAKCGQVDTAISLFSEIFLECYYWGLALHGRALDTITFFRSMVRDSFSPDGITFVALLSACSHGGLLETGQHYFESMRHVYNVKHEVEHYACMVDLLGRRGHLEKAVCLIKEMPMKPDVVVWGALLGACRIHGNVKIGRQVIKQLLELEGISEWEDMKRLRKLMKEWGTRKDMAVSSIEINNSIHEFGVEDIRHESSSEIYAVVDQLSYHLISLHLLAVHPEDLCVVEISYKFITFLSQALYKMKMNVAGTLLYKMSWFAGQATRPTRLVFLRPQIQ >Sspon.03G0015400-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:73006603:73010155:1 gene:Sspon.03G0015400-1P transcript:Sspon.03G0015400-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTATMATAVGGVMLLYFVLSRRLAGEDVSVGGGGGGGGGVGSGKRRRGRSAARRPVQPPATWIEAVGTLAETLRFTYSETLGKWPIGDLAFGIKYLMRRQGNLHVASVYAGSECIELKGPEIMEELIVLRRLIDLCFLFSKKPFPVFRELAGFSQEDVLIEEPKAGILKPAHTILRDECTKSFLVLIRGTHSMKDTLTAATGAVVPFHLSLLDEGGVSKLVLGYAHCGMVAAARWIARGVTPCLREAVRQCPEYQIKIVGHSLGGGTAALLTYILREHKEFSSTTCVAFAPASCMTWELAESGKHFVTTIVNGADLVPTVSTASIDDLRSEVTASSWLNDLRDQIQQTRFLNVVYRSATALGTRLQSFSGARERVAGAGAFLRPVSNKTQVVMKQAQNVAQAVARSRSAFSSWSCMGARRRGVGVVTASSKDDMRAETHVTATVESKSSVVEQCGTKTIEELQYTATSVSVDDTADEEEALLSEHETSRENAEEEITEGELWYEFEKDLDRQAEVEARTREEEAAAAKEIMEEESAVLKGVEDRQSFTSDSLERQQFYPPGRIMHMVAMPPTDADPDDPVAADECSVGIYETPRDLYSKIRLSNTMINDHYMPMYKKMMEILIEKFAKDEDSYCTGSTVE >Sspon.05G0012010-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:26906819:26910323:-1 gene:Sspon.05G0012010-2C transcript:Sspon.05G0012010-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFAVSGAGARLGVVRPGGSARSGGERRSGVDLPSVLFRRKDAFSRTVLSCAGAPGKVLVPGGGSDDLLSSAEPVEDAPEQHEELQIPDAELVVEEKAYSSAAQATSAAAEASSEVEADDGAAIKAKAPLVEEKPRVISPPGDGQRIYEIDPMLEGFRGHLDYRYSEYKRMRAAIDQHEGGLDAFSRGYEKLGFTRSAEGITYREWAPGAYSAALVGDFNNWNPNADAMTRNEYGVWEIFLPNNADGSPAIPHGSRVKIRMDTPSGVKDSIPAWIKFSVQAPGEIPYNGIYYDPPEEEKYVFKHPQPKRPKSLRIYESHVGMSSPVYQ >Sspon.04G0013670-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:59566540:59568407:1 gene:Sspon.04G0013670-1T transcript:Sspon.04G0013670-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSNQDKEALTEASQRRRTAVEEKGIASRSRTESEARSKSIRLCCALNPCQYEPLESSGSLPGPLKVWAGQWQVGPSESGSMTAHKLTTGHCPCVASTSSRRSAAQPPLAARDGASRRTAPGGTGDLLVTVPVSEDQANSQYQKPKSEIVWMFHPPVRQSEEAIATIVPRYTHSVRVLDERFIRILKIFKWGPDAEKALEVLMLRVDHWLVQEVMKTDIGVNVKMQFFRWAAKRRNYEHDTSTYMALIRCLEVVEQYGEMWKMIQEMVRNPICVVTPTELSAVVRMLGNAKMVSKAIAIFYQIKTRKCQPTAQAYNSMIIMLMHEGQYEKVHELYNEMSTEGHCFPDTMTYSALISAFAN >Sspon.06G0021510-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:17625548:17628571:-1 gene:Sspon.06G0021510-2C transcript:Sspon.06G0021510-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFCRHQNYLLILAVILTSICPGPGACLCRKDQSAALLRLKSGFHLNPAVSNLSSWEANTECCTWERIRCDGETGRVTALDLSNLSISGNISSDIFINLTSLHFLSLSNNFFHGSPWPSPGLDNLTDLKYLNLSYSGLSGYLPIKNGQFARLVTLDLSGLDLQSLTLDTLIDSLGSLQKLYLDRVNISVGSTNLAHASSANKTSGLQELSMQRCTVIGRTDTVLEFLAELSSLVVLRLQLSTLTGTFPSKIFLIKSLTVLDVSGNENLYGELPEFIQGSALQLLNLAGTKFSGKIPESIGNLQNLTVLDLSYCQFHGPIPSFAQWLKIEKINLSYNNLTGQLHPDNLALRNLTTLYLMNNSISGEIPASLFSQLSLKYLDLSQNNFTGKFRLYPHISSSLTVIIISNNKLQGPIPNSLSKLVGLETLDISSNNLTGTVDLSFIKNYERIGYLSLSYNRLSVVEKDGNHSFAEYQSIWSLGLASCNLSDVPKFLMHQRNIYSLDLSNNNIGGHIPDWIWGIGPSYGLSIDLSHNLITSINTNLIPTRFFKQFKAMMVSSGAPSMYVGIIETSASPPTTSPMPYYYYDNSAGVISGLIIVFVTTLLWSNGRRWLYWQVDKFLLHVLRPWIRSRHH >Sspon.07G0038770-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7D:84528345:84528551:-1 gene:Sspon.07G0038770-1D transcript:Sspon.07G0038770-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MWREIEALPWKRGQCGKNPDYGCLRAELRRMAPSNGRAVLLFRNRCGCPVAKLEGWGAPPKTKRRNKK >Sspon.06G0007390-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:27554581:27570088:-1 gene:Sspon.06G0007390-2B transcript:Sspon.06G0007390-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitogen-activated protein kinase kinase 3 [Source:Projected from Arabidopsis thaliana (AT5G40440) UniProtKB/Swiss-Prot;Acc:O80396] ISDDGTVNLLSRSLGEYNINEHGFHKRSAGPDESDSDEKGYRCASHEMHIFGPIGNGASSVVERAIFIPVHRILALKKINIFEKEKRQQILNEMRTLCEACCYPGLVEFQGAFYMPDSGQISIALEYMDGGSLADVIRVKKSIPEPVLSHMLQKVLLGLRYLHEVRHLVHRDIKPANLLVNLKGEAKITDFGVSTGLDNTMAMCATFVGTVTYMSPERIRNENYSYAADIWSLGLTILECATGKFPYDVNEGPANLMLQILDDPSPTPPLDAHSSEFCSFINDCLQKDADARPMCEQLLSHPFIKRYAGTEVDLAAYVKSVVDPTERLKQIAEMLAIHYYLLFNGSDGIWHHMKTFYMEQSTFSFSGKVYVGQNDIFDSLSNIRKKLKGDRPREKIVHVVEKLHCRANDETGVAIRVSGSFIVGDQFL >Sspon.05G0038730-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:53310697:53314483:-1 gene:Sspon.05G0038730-1D transcript:Sspon.05G0038730-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GSAWGRDADQISKSATLASQWAAGTGGRLLDATPTAVAVRGASTRRGLRRQAASLYGELMSKARNALVATGLLVFAGAGLSFPFLFVKSKNRPIIDSSKPLPPQATFRGPYVNTGSRDIGPDPTNYPKK >Sspon.08G0007280-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:21255200:21262261:-1 gene:Sspon.08G0007280-2B transcript:Sspon.08G0007280-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SLAISPAVPTASRAGGDCARGRSVSGVRGAREISSESGAVRVGRGRWAGRSPVARLGMRRGGDVPVVLNVYDLTPINNYLHWCGLGIFHSAVEVHGSEYSFGAHDHPSSGVFEVEPKKCPGFIYRCTVFIGRTTLNPLEFREFIQRMASEYHGDTYHLISKNCNHFTDDLSTRLTGKSIPCWVNRLARLGAFCNCLLPESMRLESTETKHLADCRFSDGSNTTSNDNFDEDDLEDKHLLPTSSVGEDT >Sspon.08G0016350-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:64827182:64827955:1 gene:Sspon.08G0016350-1A transcript:Sspon.08G0016350-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHCNLLVAIAAVVFLAVGTEASGGSIGFNLHHRFSPVVRQWMMEARGHGAPGSSWLFPEEAAVGSPEYYSALLRHDRSLFARRRGLANADGQSTLTFADGNATRLDTYGYLHYAEVEVGTPSSKFLVALDTGSDLFWLPCECKLCAKTGNTMYSPSQSSTSKTVPCGHPLCERPDACAAAGTGKSSSCPYEVKYISANTGSSGVLVEDVLHLVDGGGGK >Sspon.05G0000880-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:6453291:6457314:-1 gene:Sspon.05G0000880-2C transcript:Sspon.05G0000880-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEEASSSGCGGGEEEGCGAWTREQEKAFENAVATMGGEEDGDARWEKLAEAVEGKTPEEVRRHYELLVEDVDGIESGRVPLPTYAADGAAEEGGGGGGKKGGGGGGTHGDKGSSKSAEQERRKGIAWTEDEHRLFLLGLEKYGKGDWRSISRNFVISRTPTQVASHAQKYFIRLNSMNRERRRSSIHDITSVNNGDASTAQGPITGQTNGQAANPGKPSKQSPQPANTPPGVDAYGTTIGQPVGGPLVSAVGTPVTLPVSAPPHLAYGMHAPVPGAVVPGAPVNIAPMPYPMPPPSSHG >Sspon.01G0062030-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:99622494:99627214:1 gene:Sspon.01G0062030-1D transcript:Sspon.01G0062030-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTSSERRRKAAKGDSNAEDSSGRAARLKAAEEAAAQAVQAARQAAAAAEEAARTARVLREEIAAEKEEEELEYEEEEEEVKSPRRRSPSPPVRRRGRGGGRRESPVYDRVVYRDSGSGTNWPMLSKTNYHEWSQEMKLRMQARDLWDAVEEGRVSFRDDRRAMEVIVAAVPKEMGIPLIDKRTAKEAWDAIAAARIGVDRVRRATLQRLRRDWENISVKPGESVEDFAFRLSTLHRQLVLHGDQDIDERRVVEKYLRTVPPKYAQIVVAIEQFLDFDMLTLEEATGRLKAVDDREEQAPTEPITVGGKLMYTEEQWRARWKKEKKGGGDDSAGSSSKNQRGGGQGGGGGRGRGGWRGKGRGGGRDGGRCGDTCHNCGQEGHWARDCPHPRRDRDGDRDGGGDRGGGRGRGRRGSGRGGNQGQHAGGRGGAGGGDGGHNGRVQYAECEDDGALFLAHGLVDLDECAPAYTYAAQEVNLEEPKARAFLGANGDEEKADVWYLDSGATHHMTGRRELFSDLNTDVRGTVRFGDASKVDIKGIGSIAFEGRTGEHRVLQGVYYIPALKNSIISLGQLDETGSKVEIYHGVLRIWEHTGRLIAKVKRGANRLYTLQLHAAQPLCLAARRGDVAWQWHERMGHLNFDALRRLGKEEMARGVPVIDHVEQVCDTCVTTKMRRRPFPAATQYRAKQPLELVHGDLCGPVTPATPGGNRYFLLLVDDASRFMWAALIPSKDAAAEAIKRIKLSTEAESGQKIRVLRTDNGGEFTVADFATYCAEQGIKRHFSAPHSPQQNGVVERRNQSVVAMARALLKQRGLPARFWGEAVMTAVHILNRSPTRALKGITPYEAWHGRSPTLGHMKVFGCVAYTRKLSQLRKLDDRSEAGVFIGYAEGAKAYRVFEPVSGRVRISRGEQTSATVVALLPDSPRTPAPNSPIGVEGAEGSASTHPQANSAPVALEVSPPPPCPQIEHATPLEDDDDRLDAYHEDEPLRYRTVSNIIGQQPTPPPATRLFAELHLTHSGEPTSHTEAKKDPAWRAAMKEELRSVERNKTWELVKPPAGHRPITLKWVFKLKKDEHGNVIKHKARLVARGFVQQEGVDYDDAFAPVARMESVRVLLALAAQEGWSVHQMDVKSAFLNGDLNEEVYVHQPPGFVVAGQEDKVFRLRKALYGLRQAPRAWNAKLDSTLKKKGFRQSNHEAAIYRRGSGNSLLLVGVYVDDLIITGAKEQDVESFKAEMKATFEMSDLGLLSFYLGIEVQQSTDGITLRQTHYAKRILELGGMVDCNPAATPMEERLRLSKKSTAKEVDPTQYRQLVGSLRYLVHTRPDLAFAVGFVSRFLERPTIEHQQAVKRILRYVAGSLEYGLHFTKASSEARFIGYCDSDLAGDIDSSKSTTGCLFFLGNNLVSWQSIKQRVVALSSCEAEYVAMTTAATQALWLSRLLAELLGKEVEVVELRVDNKSALALAKNPVFHDRSKHIRIKQHFIRDCVEEGSIKTEFVATNDQLADILTKALGKAKFEDMRSKIGIMKIKDGGSRS >Sspon.08G0019640-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8D:14261863:14266574:1 gene:Sspon.08G0019640-2D transcript:Sspon.08G0019640-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAAVPEWPGTTVTAGYSGSDVVAALWQQVKSPVVVPLLRLSVALCLAMSVMLFAEKVYLAVVVLASRLLGRRPERRYRWEPIVRDGGGGDLEAGGGDAAAAYPMVLVQIPMYNEREVYQVSIGAACGLSWPSDRIIVQVLDDSTDPVIKELVRAECARWASKGVNVKYEVRDSRRGYKAGALREGMKRAYVRGCDVVAIFDADFQPEPDFLCRTVPFLLHNPDLALVQARWNFVNADECLMTRMQEMSLDYHFTVEQEVGSSTYAFFGFNGTAGVWRISALNEAGGWKDRTTVEDMDLAVRASLKGWKFVFLGDLMKVTLWKKIHVIYNFFLVRKIIAHIVTFVFYCIVIPATVLVPEVEIPKWGSVYIPTIITLLNAVGTPRSVHLIVFWILFENVMSLHRTKATFIGLLEAGRVNEWVVTEKLGDALRMKMPGKASKKPHIRIGDSLPLLLRML >Sspon.03G0010100-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:27342813:27344082:-1 gene:Sspon.03G0010100-1A transcript:Sspon.03G0010100-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLCFKLLFGPTAAIFLSAVVILSCFTNVPHLQVSYTDELSRHPYVAPAPVPKCDIFRGEWVPDPDSPQYTNETCDFIQEHQNCMRYGRPDLEFLKWRWKPDACDLPRFDPHRFLQVLANKSVAFVGDSLARNHIQSLFCLLAKVEKPKDVSVTDRTDPNMILYYEGYNVTMYLFWSPFLVRSEEVADQPGVFRLYLDEPDDKWLSVASRFDYVLLSGANWFTRQSYFYERRQLVGGMYVPLNFTSSLTNRHSHRMAFRTALRALADARTRFRGKVILRTLSPMSHFEGGAYNEGGDCRRTRPYRANETAPMGGLELEFYTSQLEEFREAKGLDVVLMDPTAAMLMRPDGHPSRYGHWPNEKRAMYNDCIHWCLPGPVDAWNDMLLHMLSDSN >Sspon.02G0020050-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:65481308:65482625:-1 gene:Sspon.02G0020050-1A transcript:Sspon.02G0020050-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLAPLLVTVIRCSRVMSGAICSGSREWFMVGPPPALVPYTAGSSHTDAVDVLLQDRDEFLADIRERLLQAQAYSKKHYDARHRALEFVVGDWVWLRLLHRLAQSLVAGPRGKLRPWFAGPFHVLERIVKVVYRLELPEGARIHDVFHIGVLKPFRGDSPPTSPPLLPPMCHGRLLLEPGRALRAQLRHGEWHVLIQWV >Sspon.05G0023390-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:4299790:4304551:1 gene:Sspon.05G0023390-2C transcript:Sspon.05G0023390-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G22150) UniProtKB/TrEMBL;Acc:A0A178VER0] MKAQRHPTASQVRRLCKQGRLDGARRLLLDALPRPPLPPIYNALLIAYVARALPEHALRLYALLNHAARPAPRSDHYTYSCALTACARTRRLRLGKSVHAHLLRRARALPDTAVLRNSLLNLYASCVRYRGGGRVDVVRRLFDAMPKRNAVSWNTLFGWYVKTGRPQEALELFARMLEDGIKPTPVSFVNVFPAAAKEDPSWSLVLYGLLVKHGMEYVNDLFVVSSAIAMFSELGGVQSAWRVFEYTAKKNTEVWNTMITGYVQNGKFAEAMDLVIRLMGSKEVPMDVVTFLSKLTAASQSQDGRLGQQLHGYLIKGMHATLPVILGNALVVTYSRCGNVQTAFELFDRLPEKDTVSWNTMVTAFVQNDFDLEGLLLVYQMQKSGFAADSVTLTAVLSAASNTGDLQIGKQAHGYLKRHGIEDEGLESYLIDMYAKSGRIEIAQRVFEDYGNVKRDEVTWNAMIAGYTQSGQPEKAILAFRAMLEASLEPTSVTLASVLPACDPLGGGLCAGKQIHCFALRRCLDTNVFVGTALVDMYSKCGEISTAEHVFAVITEKSTVSYTTMISGLGQHGFGERALSLLYSMQEKGLKPDGVTFLAAISACNYSGLVDEGLALYRSMETFGVAVTPQHRCCIVDMLAKAGRVEEAYEFVQELGEEGNFISIWGSLLASCKAQDKQELVNLVTERLLCIEKKYGHAGYNILLSHIFAAEGNWSSADSLRKEIRLRGLRKMAGKTMTNYRLIQAIQSRNETDTSDARHNDLLLTSITLTEESFTPGKEAIFSRTVETNVAQMKAMLS >Sspon.03G0019950-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:62009723:62011903:1 gene:Sspon.03G0019950-1A transcript:Sspon.03G0019950-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MESFRSTRTSKPQRCIPPSPRLLMLAILVTSLQQLTTAIYATTTTDSDCPTVTCGNLTITDPFTLGGRGKSSCGPPAFQLTCNTSASDGAFLGSSYMRVLDIDYGSRSLVAVHVLMAADAACSVIFNVSSAFAITDRFTISASNRELYIMSRCGGTLPPLGAVPVTNCSSNSSRTFAYLGGGYGTGRPPANDGRCELAVFPVLGSEADGATSASYRQLIRGGFQLEWEPVGDCNACRESGGRCRYDASTAAFACLCSDGILHSSTCDGKRTRKLTLIAWLIYRRKQKFRSGFWRAYSADQSNEEVLKRCGSLAPQRYKYSELKKITKSFKEKLGEGGYGVVFKGSLRDGRVVAVKLLKGSKGNGEDFVNEVMSISQTSHINIVNLLGYCLEGSKRALVYEYMPNGSLEKHIYSELVIGWEMLLKIAIGIARGLEYLHQGCNTRIIHFDIKPHNILLDNEFCPKISDFGLAKLCRLNGSILSTAEARGTIGFIAPEVFSRAFGAVSTKSDVYSYGMMLLEMVRGKTNMKGSADNSSEAFFPHLLYDHLVGDTQRCQVEDGTEEVARKLTSVGLFCIQMAPDDRPSMSSVIEMLEKSANEFEMPPRPFLCSPLQSTNASSITTVMASMSS >Sspon.03G0018120-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:55718119:55721005:1 gene:Sspon.03G0018120-1A transcript:Sspon.03G0018120-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKIFGAKKDKGPPPSIQDATERINKRGETVDEKIKKLDEELARYKEQIRKTRPGPSQEAIKARAIRLLKHKRMYEEQRNMLYNQTYNLDQVAFAADGLKDAQQTMNAMKAANKELKGMMKTVKIDEIDNMQDEMTDLMDVSNEIQETLGRSYNIPDDVDEEELMGELDALESDMEFESAAVPSYLQPESDFDADLNLPAAPIRPAAVPAGGLQEDELGLPAVPRASLR >Sspon.01G0042140-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:63958942:63962879:1 gene:Sspon.01G0042140-1B transcript:Sspon.01G0042140-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAHASRGRRTLEEIRQKRAAERMQHALPIAASHVDSHELENGNTELERENKMLLSKIAEKEVEKDALVNRLNDLERNVVPSLKKTLNDISLEKDAAVVAKEDALAQLRSMKKRLKEAEEEQYRAEEDSASLRAQLNTLQQQVMGHSYSGYTMRTSNEEFVAMEKEIQDLQAQLKQESLLRQQEQQKLAEESQLRQQEQEKLAKEQTRIASLEAEKQQLEDQITTLTKKATEDASEFAARKAFSMIDSQSSEIEKLFEENSALSTSYQEAMAIAVQWENQVNDCLKQNEELRSNLEKLRLEQASLLKVSNITTQSDGQIENSISNPPEMVTENISLKDQLIKEQSRSEGLSAEIMKLSAELRKAVQAQNNLARLYRPVLRDIESNLMKMKQETYATIQ >Sspon.06G0032290-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:63369339:63369596:1 gene:Sspon.06G0032290-2D transcript:Sspon.06G0032290-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGSVLSGVAGNGKASDSHSLVVETKMDKMPEHYGGGASEKLMEASESDATRIMEKGSCCSSWVVEMEKMLEDANPSVERARVE >Sspon.04G0004780-3C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4C:13775250:13776702:1 gene:Sspon.04G0004780-3C transcript:Sspon.04G0004780-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASISKFLLVLLCSYLTLVAHAADDRSHKVLSVSSLKSAAACSEAKVTPSSSGGVTVPLHHRHGPCSPVPSKKMPTLEERLRRDQLRAAYIKRKFSGAKGGAAGDVEQSDAATVPTTLGTSLSTLEYVITVGIGSPAVTQTMSMDTGSDVSWVQCKPCSQCHSQADSLFDPSASSTYSPFSCSSAACAQLSQSQQGNGCSSSQCQYIVNYGDGSSTTGTYSSDTLTLGSNAIKGFQFGCSQSESGGFNDQTDGLMGLGGGAQSLASQTAGTFGKAFSYCLPPTSASSGFLTLGAGSSGFVKTPMLRSTQIPTYYGVLLEAIRVGGQQLNIPTSVFSAGSVMDSGTIITRLPPTAYSALSSAFKAGMKQYPPAQPSGILDTCFDFSGQSSVSIPTVALVFSGGAVVDLASDGIMLQTGSSILCLAFAANSDDSSLGIIGNVQQRTFEVLYDVG >Sspon.02G0003010-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:32443815:32445469:-1 gene:Sspon.02G0003010-3C transcript:Sspon.02G0003010-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AMDFEYVPASPGSSRWAGESAARRRQRRLSSPSLRTYLTPAFDAVAGGEGGVSGYSSSSSSGGLELGFDASLLRYRRSCFAASADLDSRVLLYSPQSMPPPPPQMRAAYLAADDGVWAPGVSHYGSKHGMYNVGACLGACRRLLPCWFSVPWWSTPQLAFAVTSLLKGMYNAYACLGACRRLLPCWFSMPWWTMPKLAFAVASLLKGMYNAAYLGACRQLLPYWFPCRGRRHLNEFLQWHHF >Sspon.01G0012320-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1A:33500765:33501730:-1 gene:Sspon.01G0012320-1A transcript:Sspon.01G0012320-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMSCNGCRVLRKGCSDACTIRPCLGWIKSPEAQANATVFLAKFYGRAGLLNLLAAPPAGQDHLRPAVFRSLLYEACGRIVNPVYGSVGLLWSGQWQACQAAVEAVLKGDPVVQVDAASEAAAAPPLLLGGRRYDIRHVARDPDAVAAADLLRVARGGGGRGRKRAASSSTSSKPSGNKRASLGDNDPLRQRPGQEVEEEPEPVPMVVENDHGHDEESAGSHDHHLQAQQGSEDTDVEAASHSHSHVSQAEPEPQSYAPPVSSSQQQEADQDDEGEEVGLELTLGLEPLVRHRQQPKSSRCDHSGLSAASSLICLRLQLPA >Sspon.03G0015870-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3A:50488122:50490243:1 gene:Sspon.03G0015870-1A transcript:Sspon.03G0015870-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RSWLSLNMSCLACCGGEDTQRTPDNGGPYPGGYPARDDAYRTADPIPRGAQPVKVQPIAVPTIPVEEIREATKAFGDETLIGEGSFGRVYFGVLENGRSAAIKKLDSSKQPEQEFLAQVSMVSRLKHDNVVELLGYCVDGNTRILAYEFATMGSLHDMLHGRKGVKGAQPGPVLSWIQRVKIAVGAAKGLEYLHEKAQPHIIHRDIKSSNVLLFDDDVSKIADFDLSNQAPDMAARLHSTRVLGTFGYHAPEYAMTGQLSSKSDVYSFGVVLLELLTGRKPVDHTLPRGQQSLVTWATPRLSEDKVRQCVDSRLGGEYPPKSVAKFAAVAALCVQYEADFRPNMSIVVKALQPLLNARASNHPGEHTGSQSSIHHL >Sspon.03G0032710-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3B:39408105:39408441:1 gene:Sspon.03G0032710-1B transcript:Sspon.03G0032710-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMDENSTDLHHGTSSPHEDRLGFRRFGRRPSVSRAPTTQQRDESSLMNQGNITSPRRNKWNWAVGPVSMLWMEELSDVESWREKV >Sspon.01G0023690-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:82607109:82613228:1 gene:Sspon.01G0023690-3D transcript:Sspon.01G0023690-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQCLDGVKHLLGVLLKCCDIDLKQPKGLEDPEVLARETVFSVSEVEALYELFKKISSAVIDDGLINKEEFQLALFKTSKKESLFADRVFDLFDTKHNGILGFEEFARALSVFHPNAPLDEKIEFSFQLYDLKQQGFIERQEVKQMVVATLAESGMNLSDEVIESIIDKTFEEADTKHDGKIDKEEWRNLVVRHPSLLKNMTLQYLKDITTTFPSFVFHSQVDDT >Sspon.03G0002540-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:6261232:6262469:-1 gene:Sspon.03G0002540-1A transcript:Sspon.03G0002540-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTLHTIQSCPSLRRSSLFRCALLGRKRSFISASGSEDAETGSPPPLGGDKRQQEVLAQIAMLQAQKVRITDFLDERSAYLTKFARDADSEFDLIGQNAMKELDAVGDQILERLDSKMQAFEETAEAQRQEIEMNDKVLEDFEDWIEKEKNEGMFFQSLGKVKPRNKKEIKVKAKVEAQKVKEIAKESAGSKTRMNIYLGLMGILGITIANAIFATPEVEWRKVAALGLIFIGLVAQVIYEQDFSPPKAGKTEKKEE >Sspon.01G0026420-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:92323726:92334121:1 gene:Sspon.01G0026420-1A transcript:Sspon.01G0026420-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTATRLKKTTEGTPGIRPPLVDLYTHTAAGRRRASQSKSQASELPVPLLQFQSAWLRRYGLGVSMRFCCALCSSVVAENGEDLQRPREFLPLSKLEDVGVLYWHLDPKKSESEEELAKIRKDRGYSYMDLIEICPDKLENYEEKVKNFFREHMHPDEEIRYCLEGSGYFDVRDKDDKWIRIWIREGDMIILPAGIYHRFTLDSTKYSKLMRLFIGEPVWTALNRPQEDHPARQEYVKNSAWLRRYGLGVSMRFCCALCSSVVAENGEDLQRPREFLPLSKLEDVGVLYWHLDPKKSESEEELAKIRKDRGYSYMDLIEICPDKLENYEEKVKNFFREHMHPDEEIRYCLEGSGYFDVRDKDDKWIRIWIREGDMIILPAGIYHRFTLDSTKYSKLMRLFIGEPVWTALNRPQEDHPARQEYVKNVSAGTGFALAAH >Sspon.03G0024460-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:74593889:74599737:1 gene:Sspon.03G0024460-1P transcript:Sspon.03G0024460-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVTVTTLRGKHRRGEPISMVTAYDYSSAVHVDSAGVDVVLVGDSAAMVAHGHDNTLPISLDLMLQHCRAVVRGAPRPLVVGDLPFGSYESSPAQAVESAVRLMKEGGVDAVKMEGGAASRVGAARAIVDAGIAVMGHVGLTPQAISVLGGFRAQGKTVDGALKVVEAALALQDAGCFAVVLECVPAPVAAAATAALQIPTIGVGAGPLCSGQALVYHDLLGMFQSPEHSKVTPKYCKQFGDVGAAIGKALSEYKQQVEARAFPDANHTPYKISSAVDSAVRVLKEGGMDAIKLEGGAPSRITAAKAIVEAGIAVMGHVGLTPQAISVLGGFRPQGKTVDSAVKVVETALALQEAGCFSVVSECVPAPAAAAATSALKIPTIGIGAGLFCSGQ >Sspon.04G0011100-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:33151814:33157415:1 gene:Sspon.04G0011100-3C transcript:Sspon.04G0011100-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQEGMGYNSKGGGGGGGGGGGGGLPMTAPRPRGASPLHHHSRSRKIHRTFNNLKITVLCGLVTILVLRGTIGLNLSLPSQPSDADALADAKAVEDIDRILREIRSDSGPDPDDGGDFSASSGFNATALSATEAAAAYAAAVGKYALGPKISDWDAQRRRWLAQNPGFPATVAGGKPRIMLVTGSQPGPCDNPLGDHYLLKTTKNKIDYCRLHGIEIVHNLAHLDSELAGYWAKLPLLRRLMLSHPEVEWIWWMDSDALFTDMAFELPLARYDGHNLIIHGYQDLLFEKHSWIALNTGSFLFRNCQWSLDLLDAWAPMGPKGFIRDQAGKVLTANLKGRPAFEADDQSALIYLLLSQKDKWMDKVFIENSYYLHGFWAGLVDKYEEMMENHHPGLGDERWPFVTHFVGCKPCGSYGDYPVERCLRSMERAFNFADNQVLRLYDIQPNIWKHAMVSSKGLMCDVVMVKSEELCLGLKMSGALVYISSLSWRFGTWISRSIDSKICEDLIMCGSAPVTSAYVEAENNQCHNWRWCTGAGTALENDRTAFPSCRY >Sspon.01G0025450-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:89958876:89963137:-1 gene:Sspon.01G0025450-1A transcript:Sspon.01G0025450-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASLVEATRLLSTPRPRPLPLPPRRGLHPHFLRTPPRVSALRAHLHRPAAPLDAQEQEPPARPGGLLVLDALRRSVLDALAALKRPALALLLAGALLAASAGGPHAAALAASGGRVGGSAFSSRSSSSSPSYGYSAPAPRGGGYRAAPFYSPSPFVSVGPAIGIGFGGSGFLFTLIGFAAFLYLSGFLSDSSGGASVLTETEKTTVLKLQVGLLGMARSFQKELDQIAEKADTSTPAGLSYVLTETTLALLRHPDCCISAYSSVDVKRSVDDGEKRFNQLSIEERGKFDEETLVNVNSIKRNKGGSQRSSGFSNEYIVITILVAAEGVHKLPVINSSNDLKTALQKMGAIPSSKILAVEVLWTPQNENDTLSERELLEDYPLLRPL >Sspon.04G0024560-2T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:23995013:23998268:1 gene:Sspon.04G0024560-2T transcript:Sspon.04G0024560-2T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSTQDFQPRTFSIKLWPPSESTRLMLVERMTKNLSTETIFSRKYGLLGKQEAHENAKRIEELCFSSADEHFKREPDGDGSSAVQLYAKETSKMMLEVLKKGPRTTAELEAPVADTPPVPADAPLVPADTVLDISGGKRAFIEADEAKELLSPLTKPGNSYKRICFSNRSFGIGTANVAGPILEAVKNQLTEVDISDFVAGRPEDEALDVMRIFSKALEGSVLRYLNISDNALGEKGVRAFSELLKSQESLEELYVMNNGISEEAAKALSELIPATDKLKVLHFHNNMTGDEGAMYIAEMVKRSPNVESFRCSATRIGSDGGVALSEALGTCTRLKKLDLRDNLFGVDAGLALSETLPKLPDLVELYLSDLNLENEGTKAIANALKQSAPQLEVLEMAGNEINARAAPVLAECLTAMQSLKKLTLAENELKDDGAVIIAKSLEDGHADLKELDVSTNMLQRVGARCFARAVANKPAFVQLNINGNFISDEGIDEVKEILKAGKKSLDVLGSLDENEPDGEPDDEEEEEDAEDNEDELDSKLQSVKIPPVT >Sspon.01G0055270-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1C:76752455:76753155:1 gene:Sspon.01G0055270-1C transcript:Sspon.01G0055270-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHEGLDTPGPRLPRTASTRSNLPETTFPDPDLQPNQQGRALQRRITAQQNPEETQLTVPPRLDSNVPARRKTTVRSPFLSLPSLRSCERPGLQQYKYNTMASRRSFLSSLPRRLLLAAVLGAVLLHGEAGAMARPLLGIAEPPASPGAMAAAGPGAAAQAGEGGRPDRSEAGAEVILAGFAAALIVVIFCYIRVTRESSGGVGVGEKHESLGGF >Sspon.06G0001970-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6A:6361947:6363254:-1 gene:Sspon.06G0001970-1A transcript:Sspon.06G0001970-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLETKKMEMEVETQLVLEARSGLEGSIEHLVEEDKLEKDTLSIKGEILTKELAELLELVRLKEAEIAENNARIHEVQERISAVVSRFHGSQSDIDLKLNSLKEAQSKVDLETEALVMKKNEIDRFISLTEQKDSELREIIDACSSEAKTCQQSVEIRRKLASSILKSREDRIGLLKMEEEILQDIQMLRQKITDARTTLQEVSSRRTSIQQEMDSFKQKLSFIDKRGPELEAEKKVAAAARNFKEAGRIAAEAKALNSEKDELHGKLEKAATDLEIIEKDIVATTDKIQECEGLIVLKEKESALTSYKRLRLDCAAARAELTAAIETDDTDEVEILRKEAEAAESKAMELKTCYDLQVEDDEFMFQPVVPIAFITNSTGQHLAEIASSFGLSPQK >Sspon.05G0023840-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:18008835:18013895:1 gene:Sspon.05G0023840-2D transcript:Sspon.05G0023840-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to OCL1 homeobox protein [Source: Projected from Oryza sativa (Os04g0569100)] MSFDDLLYGVFTSSPALSLAVVDAGLHRDGSAARAGSSARRGGGGNAKDVSEAENESQSMMSGHLDVVLSGGGDGEDDEDGDAANPRKRKRRYNRHTPHQIERLEAMFKEFPHPDEKQRAQLSRQLGLKPQQVKFWFQNRRTNAKNQLERQENARLKQENDKLRVENLSIREAMRDLVCSGCGGPAMNQCLATNTKCRRLPSGCVLQDTPNGCKVTWVEHAEYPEASVHQLYQPLLCSGLALGAGRWLATLQRQCECLAILMSSLAVPEHDSEAVSLEGKRSLLKLAQRMMENFCAGMSASSSCEWSILDGLTNSMGKDVRVMVQNSVHEPGVPPGVVLSVATAVWLPVTPERLFNFLRDEELRAEWDILSNGGPMQQMLRITKGQLDGNSVTLLRADHTNSHLNSILILQETCTDRSGAMVVYAPVDFPAMQLVIGGGDSTNVALLPSGFVILPDGSSSSAGGVGHKTCGSLLTVAFQILVNSQPMAKLTVESVDTVYNLISCTIEKIRAALHCNV >Sspon.03G0002090-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:5029983:5032196:-1 gene:Sspon.03G0002090-1A transcript:Sspon.03G0002090-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQSMLMSTSVNGGRALPSLQAGRPAPYPRLPQPSSSSGYRHSKSVSVKTLALFGKSKVKTAPSKKAAAPKPKPKVEDGIFGTSGGIGFTKENELFVGRVAMLGFAASLLGEAITGKGILAQLNLETGIPIYEAEPLLLFFILFTLLGAIGALGDRGRFVDEEVTGLDKAVIQPGKGFRGALGLSEGGPLFGFTKSNELFVGRLAQLGVVFSIIGEIITGKGALAQLNIETGVPINEIEPLVIFNVLFFFVAAINPGNGRFIIGEDEE >Sspon.03G0022330-3D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3D:54393884:54395519:1 gene:Sspon.03G0022330-3D transcript:Sspon.03G0022330-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSFWSLIHSYPDVCVAIICFFGLSIFRFIQQNQKSCIPLNWPVVGMLPFIVVSRHCIHDKVMGLLREAGCTFFFFGPWLLDMNFLITCDPATVNHCLNTHFEKYPKGREFAEMFDILGDGLLVADSESWEYQRRVATSIFGSRAFRSFVMSTVTRKVGNVLLPYLDHMAKRGSEIELEDVFMRLSLDISYCTVFTSDLGCLSVSSPMPVFGCATKEAEEAMLFRHMVPSKLWKLMRWLNVGTEKKLADAKVVINQFIYEEIAKRKAQWSNGSQADILSMYMKVTLDPSMSEQQKTDFLRDTAVGFILAGKDLIAVTLTWFFYMMCKHPKVEARILEELKDLQSSSWPGDFSVFECDALRSAVYLQAALLETLRLFPATPFEEKEAHVDDILPNGTKVTKGTRIIFSLYAMGRIEGIWGKDCMEFRPERWVSKSGRLRHEPSYKFMSFNSGPRSCIGKDVSLSNMKITAASIIHNFKVELVKGHEVMPQSSVILHTQNGMMVSLKRRVAG >Sspon.04G0000100-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:1745566:1750848:-1 gene:Sspon.04G0000100-1A transcript:Sspon.04G0000100-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DERVSVHQVRHRGGRCRRKDLHAHLLHLQHFPHDYVPTVFDNFSANVVVDGSTVNLGLWDTAGQEDYNRLRPLSYRGADVFLLAFSLISKASYENWVPELRHYAPGVPIILVGTKLDLRDDKQFFVDHPGAVPISTAQGEELRKLIGAAAYIECSSKTQQNIKAVFDAAIKVVLQPPKHKKKKKAQKGCTIL >Sspon.08G0012460-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:51848458:51851185:1 gene:Sspon.08G0012460-1P transcript:Sspon.08G0012460-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGGAILSDIIPPPRRVTAGHLWPESKKPRRAAGAGRRRAPVEEEEEDFEADFEVFEVESGESELESEDEAKPFAAPRSGVARDGLNTSPAGVDGPAANSVKRKRKNQFRGIRRRPWGKWAAEIRDPRKGVRVWLGTFNSPEEAARAYDAEARRIRGKKAKVNFPDEFSTISQKHRAGPTSLKVPEMDVEEKPIIKMANSNAYHYPVVGHNMPEPFMHTQNMSFAPLVNSAAPVQEPFVNLSSDQGSNSFSCSDFSLENDTRTPDITSVVVGESAFAQNADHAVVPPVMGNASVDLTELEPYMNFLMDSGSEDSIDTLFSCDGSQDVVSNMDLWSFDDMPMSAGAWSMG >Sspon.02G0003340-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:10852412:10853163:-1 gene:Sspon.02G0003340-1A transcript:Sspon.02G0003340-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEGDKVKSCVKAEHVESPSCCGNQQDEKVHSMEDNASTTEPVEKATSHARAASPGQASTCYVGKHRHSPSRSAEGSDQNFDEETQGTGESRRGCNRKRLKSADGTRDHQ >Sspon.02G0020250-3C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:67747144:67749221:-1 gene:Sspon.02G0020250-3C transcript:Sspon.02G0020250-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GHRGRRHADGGVRQAGAVPSSAGGAQKQLLLRRRPGAEGAPVRGDARGARRAGHRQADGEHPGPADPGAPPLPPRQVQLLAGAHSTYYSATKRKDMYLFLTSVHLV >Sspon.04G0031760-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4D:18017495:18018250:-1 gene:Sspon.04G0031760-2D transcript:Sspon.04G0031760-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLAMGRLLGWKQEAPIVLLGLGGAGKTAILHKLKLGHAVTTGTTIGFNAETLEYNGINFRVWDVGGQVKLRGLLRYYLYGAQGVIFVVDSSDREWILQAQDLLNMILNECQDEFKVRDPALLVFASKQDRPNAMSAAEISDKLGLQPLLCNRRWYVPT >Sspon.03G0036480-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:53801424:53804752:1 gene:Sspon.03G0036480-1P transcript:Sspon.03G0036480-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein PGR [Source:Projected from Arabidopsis thaliana (AT5G19930) UniProtKB/Swiss-Prot;Acc:Q0WP96] MDHVGGGSIWIRAAVAVAAGAAIAARAVRRKSVDSSAVFVGVPAMVAHTIAGYRFAGLLLVFFFTSSRVTRVGEARKRALDPEFKEGGQRNWKQVLSNSGIASILVVLIASVTGGTDRCLDSKESTLVTALIGGVIGHYACCNGDTWSSELGILSKAEARIITTFKRVRKGTNGGVTIDGLLAAAAAGFSIGLAFVLIGLFTTQCASDVFWSQLLVIPLATAAGLCGSLIDSFLGATVQYSGFCSVRNKVVGVDGPTVTRISGMNILDNNGVNVVSVFLTTVLTAIKEKND >Sspon.07G0003740-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7A:9098838:9099683:1 gene:Sspon.07G0003740-1A transcript:Sspon.07G0003740-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNWRPDEKVGGNQTGFWGAISFPSVSVKDRSLLVPLVILNACLTFSWPNKVPFDRKASGIIRAGIYSGARIGAAGSMTGTRRVSPRCVLAVGRSLDGQMYYGYCINCLYPAMGDN >Sspon.06G0023260-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:42057693:42065150:-1 gene:Sspon.06G0023260-1B transcript:Sspon.06G0023260-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MYKAANARLLLRSLSSNSASVPVNPRLASARLALSRPCGAWVGRSSGLVRAAGWSGTRPRFAGARAQIGAAAVPAVERFQRRMATQATEHAFKDILTSLPKPGGGEYGKFYNLPALNDPRIDKLPYSIRILLESAIRNCDNFQVTKNDVEKIIDWENTSPKLAEIPFKPARVLLQDFTGVPAVVDLAAMRDAMAKLGSDANKINPLVPVDLVIDHSVQVDVARSQNAVQANMELEFSRNKERFGFLKWGSSAFQNMLVVPPGSGIVHQVNLEYLGRVVFNTDGILYPDSVVGTDSHTTMIDGLGVAGWGVGGIEAEATMLGQPMSMVLPGVVGFKLTGKLRSGVTATDLVLTVTQMLRKHGVVGKFVEFYGEGMGKLSLADRATIANMSPEYGATMGFFPVDHVTLDYLKLTGRSDETVSMIEAYLRANKMFVDYNEPPTERIYSSYLELDLDEVEPSMSGPKRPHDRVPLKEMKSDWHACLDNKVGFKGFAVPKEQQDKVVKFDFHGQPAEIKHGSVVIAAITSCTNTSNPSVMLGAGLVAKKACELGLEVKPWVKTSLAPGSGVVTKYLLQSGLQEYLNQQGFHIVGYGCTTCIGNSGDLDESVSAAITENDVVAAAVLSGNRNFEGRVHPLTRANYLASPPLVVAYALAGTVDIDFEKEPIGLGKDGKEVYFRDIWPSTEEIAQVVQSSVLPDMFKGTYEAITKGNPMWNQLTVPEASLYSWDSKSTYIHEPPYFKDMTMSPPGPSAVKDAYCLLNFGDSITTDHISPAGSIHKDSPAAKYLMERGVDRKDFNSYGSRRGNDEVMARGTFANIRIVNKFLNGEVGPKTIHVPTGEKLYVFDAAMRYKSEGHATIILAGAEYGSGSSRDWAAKGPMLLASSIQSFLYGKTILLNDEGVKAVIAKSFERIHRSNLVGMGIIPLCFKAGEDADSLGLTGHERYSIDLPANLSEIRPGQDVTVTTDNGKSFACTLRFDTEVELAYFNHGGILPYVIRNLAGAQN >Sspon.03G0023410-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3A:71806507:71808634:1 gene:Sspon.03G0023410-1A transcript:Sspon.03G0023410-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ADFVEGERAATAEPVGNDTPTPPPGAGVPRLAYLISGSKGDLDRLWRVLHALYHPRNQYVVHLDREAPVAERLELAARVANSTVFRHAGNVHVIRRANMVTYRGPTMVSNTLHACAVLLRRGGAWDWFINLSASDYPLMTQDEDDMWDRPVIVSPISWPMDELGQSDILVVRI >Sspon.02G0006020-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:19278371:19279137:-1 gene:Sspon.02G0006020-3C transcript:Sspon.02G0006020-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARVEAVVICLLVLAMDVAAGVLGVHAEKAQNQASRGFVRIQAGCPALTWCGWRLQGRHLRILFIECRQPVRRAYELGIAAAAVLAAAHAIANVAGGCACACSGDKLRRASPNRQMASFALVLTWMVLVVALALLVLGALPNAKRKLAECGVPRHRFLSIGGVLCFVHALFCAVYYASASAAVREDRRAAPHV >Sspon.06G0023060-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:40070778:40071181:1 gene:Sspon.06G0023060-1B transcript:Sspon.06G0023060-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDPPALVVDWTNLSANMLNVILVEMKFPDQFRSIAVCTLWPLPNPSSATASTCLYSLTDKSTYVIPLSDPPIAERSIVGSSHGWFVTADTRSELHLLNPATGEEMTLPPIATI >Sspon.08G0000700-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:2387877:2388476:-1 gene:Sspon.08G0000700-1A transcript:Sspon.08G0000700-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRKGGEDEAHGHQKPRPGILWCAVCSININSGDMKDPKLRKRLRTAIVKKIVPRYTEYIEENDITTPGVAPRDLEKMLQELFEGCEQSAPPSTRHHHIST >Sspon.01G0004020-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:10133106:10133923:1 gene:Sspon.01G0004020-3C transcript:Sspon.01G0004020-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLRPELAKPFSARPALPVRHPPTSLSGSGLSLNFSLPPHPGVGAPRRAYPRIEATARRGARTESAKVRNRRLQKKDVTKPRFADSRWLQFNGTATKPRLSVFCSNRQLYAVLADDHNKKILFYGSTLQKSICGDPPCGTMEAAGRVGEELVRVCNELGISEVSYDRNGFARGDKMMAFEVPVSQHGFLPR >Sspon.01G0000450-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:1817036:1817422:1 gene:Sspon.01G0000450-1A transcript:Sspon.01G0000450-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding HVRVAQVLQARRRAGLLAGLRRAAAARVRRGRRRLLQDADGQQDLLPEGAHHVPAGAQEAAAAAVQEAPLPAPASGSGGSACCCRVLPRPCHQPPPRRAGAALPPAPAHRQATPLQLRLRLRQQTLRSL >Sspon.04G0003880-4D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8D:39578613:39579744:1 gene:Sspon.04G0003880-4D transcript:Sspon.04G0003880-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding WAGATGEAMWAAAVAATVLFLAVEVSGAAGALPRFAEAPEYRNGEGCPAAAAGVCDAGLVHIAM >Sspon.04G0009190-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:27035842:27039711:-1 gene:Sspon.04G0009190-3D transcript:Sspon.04G0009190-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SAMSNPWGGLGGAGAWALDAERAEEEERDAAAAPAPAPVPAAGFPSLREAAAGAAGGKSKKKNKGTTLSLSEFAGFGPGRRQAPAPPEPRGLTTAEMMMLPTGPRERSAEELDRPRGLGGGFRSYGSGDRGGGGGFDDDSRRGPPGRGSDLDMPSRADEDRDWSMSKKSFAPSPADSGSRSRYGGLGGGAPASVGRADDDGDWSRGKKPPPPSGPSRYPSLGSGGGFRDSPVSADSSDRWSRAAPSNGERERPRIVLDPPKRDASATPTPPAEAGRSRPSPFGAARPREDVLADKGLDWKKMETEIDQKRTSRPTSSQSSRPESAQSSRPGSPGSQISATVTEAAPRARPKVNPFGDAKPREVILQEKGKDWRKIDQELEHRRIFRPETNEESVLKEEINLLKVELNESEGKISDEDAKGLSEKITQMEEQLELLRIAMDDKIRFSQRPGSGAGRVIASPPTNFVDEPQIKESMERPRSRSGTEQYPKPTEERWGFQGSRDRGSFGGNRVSERYA >Sspon.06G0023780-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:47884117:47886379:1 gene:Sspon.06G0023780-1B transcript:Sspon.06G0023780-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDADEYRCFVGSLSWSTTEADLKDAFRKFGHLTEAKVVLDKFSGRSRGFGFVTFDDKKSMEQAIEAMNGMDLDGRNITVERAQAQSSGSRDRDGDRDYSRGGGDRDRYRGDYSRSRDHGRDFGGGRGGRGGDCFKCGKPGHFARECPSDDGGRGDRYGSRDDKYGSSNGSSRYGPDRGGDRYSGSHDGGSRNGGGSDRYNRDKSGPYERPSRGGYRS >Sspon.05G0010760-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:21894193:21899106:-1 gene:Sspon.05G0010760-3C transcript:Sspon.05G0010760-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPPPLSSLQEEGEEEPTVEDSSAFSAAAVPPRPATHSHSLHKYAPLDWSAYFDEERRVAIPDTDDVFNVYTAGSEGPVVFCLHGGGYSGLSFALAASRMKDKARVVAMDLRGHGKSTTNDDLDLSIETLTNDVIAVIRTMHGDLPPAIILVGHSMGGSVAVHVAARKEIRNLHGLVVIDVVEGTAMASLVHMQKILANRAQHFPSIEKAIEWSVKGGPLRNVESARVSIPSTLKYDESRECYTYRTPLEQTEKYWKGWYEGLSDKFLSCPVQKILLLAGTDRLDRALTIGQMQGKFQMVVVRHTGHAIQEDVPEEFASHILNFISRNRIGPNGVEIPGLIKKWQH >Sspon.03G0021100-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:51573107:51573871:1 gene:Sspon.03G0021100-3D transcript:Sspon.03G0021100-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATVLLAATLALSLSCCCAASVAATTVTVTAAAESDRIDRLPGQPTVNFSMYSGYVTVDAAAGRALFYWLMEASGVPADSAPLVLWLNGGPGCSSVGYGAMEELGAFRVNPDGETLSLNPYAWNRVANVLFLDSPAGVGYSYTNTTNDLYAAGDNKTGELPE >Sspon.05G0007900-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:18157011:18159995:1 gene:Sspon.05G0007900-2B transcript:Sspon.05G0007900-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GEMGKNQAYKAMQRARVGSSSGAPGAADAPEDGTPEWHAARLASLNKTHTVTWEEFKKKCQEEELKRGELEADKDKMMREYRAQLDAERAQKLANGRNHLRSNSKSSSSTSDSSSSDDEDRGSRKSRSRSRSKRTKKEKKHRSRSKRRDSENEEEGPVRLSKFFSRKEKNEILLKIYVKSMANTEGDPKQLAQTKANIQNLPPRTTTTHVRLYKSRLKPLPHTA >Sspon.07G0003110-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:28678236:28679894:1 gene:Sspon.07G0003110-1P transcript:Sspon.07G0003110-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAIFGWTGAGHHVDEYFSRQAAGCGRFDMDEAFLGVCFGQLQCDGVLIGGDGGGVAGACQVGSNAGAIEGGAGMDHGDPLAFFNAGTGDVFDAGLLDAALAFTRELGDGGAAVSNGGAMLSSYSGTTGGYISSGESNNYSGGGGHDAEVVSPTSIMSPTTTAPPPPLTFPHASSQSHSQQAAHHHVKRKVIVSDEYPATTAIATTTTAPPPAPFPRPTGGGAKRRASATSISFGGAGHVARDPSAAGYEPDMEAMAQVKEMIYRAAAMRPVNLGPEVAAAAAAEKPRRKNVRISSDPQTVAARLRRERVSERLRVLQKLVPGGSKMDTASMLDEAASYLKFLKSQVQALETLGTTNTNSKSKSTTASRSQQYNYYGGAGGSNNPGGFLGFAAGRSSNIISPAGCTAVAVHAERGNAGDDEQTENLLSWNHLELTPPSLYRMSY >Sspon.03G0035580-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3B:82627290:82632427:-1 gene:Sspon.03G0035580-1B transcript:Sspon.03G0035580-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Actin-interacting, WD40-repeat protein, Actin turnove [Source: Projected from Oryza sativa (Os01g0125800)] MAQLVETYACSPATERGRGILLGGDPKTDTIAYCTGRSVIIRRLDAPLDAWAYPDHAYPTTVARFSPNGEWVASADASGCVRVWGRYGDRALKAEFRPLSGRVDDLRWSPDGLRIVVSGDGKGKSFVRAFVWDSGSTVGEFDGHSKRVLSCDFKPTRPFRIVTCGEDFLANFYEGPPFKFKHSISKFITVSSDKKGLIYDGKTGEKIGELSTEGGHTGSIYAVSWSPDSKQVLTVSADKSAKVWDIMEDASGKLNRTLACPGIGGVDDMLVGCLWQNDHLVTVSLGGTFNVFSASNPDQEPVTFAGHLKTVSSLVFFPKSSPRTILSTSYDGVIMRWIQGVGFGGRLTRKNNTQIKCFAAVEEELVTSGYDNKVFRIPLNGDQCGDAESVDVGGQPNALNLAIQKPEFALITTDSGIVLLHNSKVISTTKVNYTITSSSVSPDGTEAVVGAQDGKLRIYSITGDTLTEEALLEKHRGAITSIHYSPDVSMFASADANREAVVWDRASREVKLKNMLYHTARINSLAWSPDSRLVATGSLDTCAIVYEIDKPAASRVTIKGAHLGGVHGLTFLENDTLVTAGEDACIRVWKVVQQ >Sspon.02G0037020-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:28880409:28883262:1 gene:Sspon.02G0037020-1B transcript:Sspon.02G0037020-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRGGDPLAPFLVASLKRAARLRCGEQLHALAAKSGFLASNAFVRNSVLSFYSRLPPSLASALQLFDETPAPLRDAAARNSVLAALTRAGHLDRAQRLLEEMPRMHRDAVSYTTLVTALARAGHAGRAVAVFRGMLSENVVPNEVTLAGVVTAFARHGAPATVGMIHGFALQRALDGFVIVATNLVHAYAGVLELCSARAMFDGMTHRNTVTWNAMLNGYVKAEMIDMAAEVFWRIPERDEVSWLTLIDGYICADLISDAMKAYVQMVGEVGTNDNEVLLVDLVKACARYSAVTEGQQLHTVILKNGFDAHAFVQATLVHFYGCCGLIGLAQMAFRLSDKSHTASWNALLAALLRKGLIHEARELFDDMPKRDTVSWSTMIAGFVQIGRSDMALKLFYSMLNTSAEPNEVTLASALSAIADSGTLDQGKWIHDHIMNRPIQLTDNLSAGLIDMYAKCGSVADAVQFFNRANDKFSSISPWNAMICSLAIHGYAHMSLDLFSQLQRTSIKPNSITFIGVLSACCHTGMVAKGKYYFESMTREYGIQPTIKHYGCMVDLLGRAGYLEEAEQLVSMMPMKADVVIWGSILSAARAQGNIALGEKAAEELAKLDQTHGASKVALSNIYADAGHWTNVSVVRKELQDENFERLVGRSGIVQCCDYCFLLKQKQKFSTAEQSCAVSVVLRSILGRVSDLPVQIHRCSFFEIEVQTLLAQRLKPTKFTWTAMYMKQHKKRTLMLKL >Sspon.08G0011000-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:44091901:44099146:1 gene:Sspon.08G0011000-2B transcript:Sspon.08G0011000-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLLRGTSAAATAAGPSSRCSPPPSAAPAAAFCPVPRRSAACMWPAPRPSRSRSALRSPSPSLAASETRVLLTIEEKHLPYELKLVDLANKPDWLLEINPEGKVPIVKLEEKWIGDSDVITQTLEEKYPEPPLATPPEKASVGSKIFSTFIGFLKSKDPSDGTEEALLNELTSFDSYLKDNGPFINGGTISAADLSLGPKLYHMEIALGHYKNWSVPDSLSHVKTYMKSIFSTDSFVKTQALPEDVIAGWRPKRERGEDWRMEDLDAKVCRMSVICT >Sspon.05G0009010-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:20428032:20431008:-1 gene:Sspon.05G0009010-2B transcript:Sspon.05G0009010-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYLFIDGQLQEINWFKERYGAWFLGDYVCEDGGLYYCTPVDPIFIFLPTFEAARMSDGKDPGKFRQLDEILYVEGYPGYQQLMNVASHHMELVCEVKEVSSMKFFRLDNSKVLSWLCCKVYNLKEVFPKLGKNYAAQTEKEQLKEAVQMIREYLKDEPWLTFLCKKLQLDINEITVDATTKIGEASFYADSCPAPALPSEVSPLITLQLILVPI >Sspon.01G0005660-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:13001648:13003440:-1 gene:Sspon.01G0005660-3D transcript:Sspon.01G0005660-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding NGRGGAGDGELRVQQRLPVRLDNLPRRPLLLLLRHRAVPSRQGAAAVLLRLLGGGRPVYDLRRLLRPAPAELRRQRRRRWRRRRGARQCGEEREAGGDHRRGEAAEQEGRRRVSARGHGQLAGLLWLLQGDELGGGQWLWRRRCPDDRDRQCRRLLLRLLHHDGIQASLKWSCFERLHANAVLPGSREADAQKAGVQRCVGEEEVV >Sspon.02G0052060-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:87413477:87421781:-1 gene:Sspon.02G0052060-1C transcript:Sspon.02G0052060-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEGPAVEARRTCRGAAVLLARSCACASYFHRATEYFLKLMLIGLCSTTGERDPVTSALLIILGRRVPFDIAQVVRWKSSPTAEVLPTVRYAASFAGIALSMYDDMKAWNNIVGMTGVGTGYSFANVVIRIAGYSFANVVIRIALSMSADMKAWNNVVMNHWMLQRMMTIGSLIDIV >Sspon.01G0050560-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:5139690:5142712:1 gene:Sspon.01G0050560-2D transcript:Sspon.01G0050560-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVLIANFTEFQLATVVTFLLHETVFFLSGLPSLLFERFGLFAKYKIQKKSNTPAYQNRCVLRLILYHVCVNLPVMIFSYPAFRFMGLRSSLPLPHWTVVVSQVLFYFVLEDFIFYWGTGHCIRNGYTNMFTASTTIYDRTNACRYATPFGLTSEYAHPAEILFLGFATVVGPALTGPHLFTLWLWMVLRVLETVEAHSGYHFPWSPSNFLPLYGGSDFHDYHHRVLYTKSGNYASTFVYMDWLFGTDKDYRKAKTIEEKEGKNL >Sspon.01G0039780-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:36331718:36334959:1 gene:Sspon.01G0039780-1B transcript:Sspon.01G0039780-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MCYAHAGPRSCYDGSYPSAMINFVLLICHRGKYKEMGYLPQAMVNYLALLGWGDGTENEFFTTDDLVAQPQGGTMGEGVERDEHASDEEEEEVVSVSLGDMLIRI >Sspon.02G0016190-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:38173179:38180404:-1 gene:Sspon.02G0016190-3D transcript:Sspon.02G0016190-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone acetyltransferase type B catalytic subunit [Source:Projected from Arabidopsis thaliana (AT5G56740) UniProtKB/TrEMBL;Acc:Q1JPN3] MALKQKGTDAAAAAAADPKKRRRVGFSGTDAGVEANECMKVFLVRNPDEVASVDCASIEPFDLNHFFGEDGKIYGYKNLKINVWISAKSFHGYADISFDETSDGGKGITDLKPVLQNIFGENLVQKEEFLHTFSKECEYIRTAVTNGSAIKLDGSYESDPAVEIVRVELQGAAAFLYSRLVPLVFLLVEGSTPIDIGEHGWEMLLVVKKTTQEAVSKFELLGFAAVHNFYHYPESTRLRISQILVLPPYQGEGHGLRLLEAINYIAQSENIYDVTIEDPSDYLQYVRSSIDCLRLLTFDPIKPALSAIVSSLKETNLSKRTHSLRMVPPAELMETVRQKLKINKKQFLRCWEILIFLSLDSQDHKSMDNFRACIYDRIKGEILGSASGTNGKRLLQMPSSSNEESSFAVYWTQESGDADDQTVEQQPEDLKTQEQQLNELVDNQMEEIIGVAKNVTARGKDKLVELVAQ >Sspon.06G0021130-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:12612689:12613615:1 gene:Sspon.06G0021130-1B transcript:Sspon.06G0021130-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQASVAVAEALYAGNVTAPPIAGVADLAVAGLAVAIAALAVAASFVLVSFDAHAGQGRLRRILDLGPSLCGPRLLLAFFVGLLAAAEMLRLPFFRGAAMLPPRRHVMPCLAYPLVAHGIAEPGLLASVLLLLRASVGGARLPAAALAVPLACLPFLTAHVLVLATPATVAAYPGQLAHAADGAGHCAYPAYATTLLLALVAVYLPLLATACWDVAAVAINRRLRARAYALSVLILVPLPLQVLALALTSVWDMHQYTSPTVGLVGFVAVAVAAEATLVILVMLPVHDALVLVQHLPAATAGQEAPDDR >Sspon.05G0026430-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:38487417:38488247:-1 gene:Sspon.05G0026430-2C transcript:Sspon.05G0026430-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQWRRFLGCLFGAREDPGDQDHVQNDPNLVEFYFEVVDTGIGIPEEKRMSVFENYVQVNNGHCGTGLGLGIVQSFVCCYSNILYTYMYLLYSFSLQNFGFNWMIYVDLDHRLASRTKGPGKGEHAPEDKRGASVQLLQDIEGPSVPSGTLNRSDFIASAFQEARNFKGVHCVLYFHGYETRRILQTWMESIGVKVWLVPQAEFICSTLEKVQSNSMATAAADCSGADWCFNSKEMVSQLRNSTSPRRASLRGDSFLYPCCHRRVRWWT >Sspon.02G0038530-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2C:13302643:13303062:-1 gene:Sspon.02G0038530-2C transcript:Sspon.02G0038530-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFPGTPARKLVPGGFTALAGGALSFDFDGAGDFFSGLWQLVKAKALAEKVDEVFPPETRSETLRQWLHVDVTVVVPAVLGALVLLCCWRCCCAGRGARGGRRFMAAPGRGGARMPWGVFENNPRVYFRDLRAGKPLVY >Sspon.03G0018830-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:80993009:80994389:1 gene:Sspon.03G0018830-2B transcript:Sspon.03G0018830-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPALRRIILLAAALLLWSAACVADDDDSTFLPPSPPGTASPFPFCPARPAGVSTGPFPWSPPQPPPPSLSSPVAAFPQDPGDSLAAAAALDGSSRSYLSAFGETKGSKTGV >Sspon.02G0002390-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:7767262:7769834:1 gene:Sspon.02G0002390-1A transcript:Sspon.02G0002390-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSENGLEKAHVGSGADASWLAGHGWPSKSEHGTGLRRTRRIPHTDFDLTGQLVWPGAVLMNNYLSQHPEIVKGCSVIEVGSGIGISGILCSRFCKEVVLTDHNDEIIRKNIELQSCSETAHAVLAAEKLEWGNSDHLSGIIEKHPESFQQSSISCLFDTVEKIVRMQAGKCRFILAYVSRTKVMDALVLKEAEKHGLCVEEVDGTRTTISSLEGVIFDITLK >Sspon.03G0007150-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3A:19514106:19515310:1 gene:Sspon.03G0007150-1A transcript:Sspon.03G0007150-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATAADSSGGDRYRSHLAGEGEKHTVWRHGAPPSYDAVNALFEAERTQEWPAGSLEEVVQNAIKTWEMELSHKARLSDFKSVSPGKFRLSVNGGRPRTGEETLAMGSYNALLDGPLLPSAGAYDASAETFQSSHDLFRAAFPRGFAWEVLRVYSGPPVIAFKFRHWGHKEGPYKGHAATGDKVEFHGVAVLKVDEQLRAEDVEVYYDPGELLGGLLKGPNVASSEEDRGEVALAERLSEAAAVSTS >Sspon.01G0002190-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:5307673:5311454:-1 gene:Sspon.01G0002190-2B transcript:Sspon.01G0002190-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMLSGIGARKPPPFLSSSSSFSSLSYSKRSRAIRRLPSLPRPPVAPPAPHPAGRRRWRKAPARLWMRMDRWGRCEVFMSDRAFVAERSGVHARDLGVVGPLLSRCPSILAREKAMVINLEFIRAIVTADEVLLLEPLAQEVIPFIDKLRRHFPLKSVEVDVGATQVGNVDGNHTKTGAECGLPFEFQVLELALEAVCLSFHSSLADLNRHAIFVLDELTKNVSTRNLERVRSLKSNLTSLLAGVHKVRDEVEHLLDHNENMAQLHLSRKQIKSPQDEALLGSSALNCNFSSKTNLDILNSVINQATGIAVVAPLDDDVGDLEMLLEYYFMQLDGIRNRIMMVRGYIVDTEDYINIQLDNQRNGLIQLHLILIIVSFGISINTLIAASFAINMPQNGDYKKFVGYLEQLWQDRLNGKECKADDSSHGMRAANPLPKHLNSCLFGNFKKVSCSVLQLWRET >Sspon.08G0007600-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:22934351:22937129:-1 gene:Sspon.08G0007600-2B transcript:Sspon.08G0007600-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MANDSLTRGHIIGDVLDPFTGSVPLTVMYDGRPVFDGMEFRASGVSVKPRVEIGGDDFRVAYTLVMVDPDAPNPSNPTLREYLHWMVTDIPASTDDSFGRELIPYENPSPTMGIHRIVLVLYQQLGRGTVFAPQVRQNFNLRNFARRFNLGKPVAAMYFNCQRQTGTGGRRFTED >Sspon.04G0030520-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4C:1741007:1742077:-1 gene:Sspon.04G0030520-1C transcript:Sspon.04G0030520-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDGGWAGLATRASRWSLSVSCPVFRGGRAGGGAPLRLPPEPALSLLPRAPPWRQRSAVLPRDAPPVDRKRSLGGGSGNPGGGALPRAASPVTERHPPPNRSTGGGAPPAAGSGNPDGGALPRATSPVATRPPPSCSTLVDALSFLELFPWRCNILDVKK >Sspon.06G0005090-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6A:17121290:17121721:-1 gene:Sspon.06G0005090-1A transcript:Sspon.06G0005090-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVPFFVAFSAILLVGKYLPLALPLNLRPALLVDNAARPPVRAAKCAISVAVGGLALLVAMQQQGSGAGAGGPAGAATMMEARVLWFNSAALFLGTLLGVVAAALHPPAPFVQQVAVDHLTVVTEIVAINAFAHNLCVFFKMFK >Sspon.08G0005740-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8A:17982412:17982809:-1 gene:Sspon.08G0005740-1A transcript:Sspon.08G0005740-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDTFPAGLRVLAIDDDRVCLKVLERQLKYCNYNVTVVTDAQTALDMLRERKDGNQFDLVISDVYMPKMDGFKLLELIGLEMDLP >Sspon.04G0021450-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:296344:300706:1 gene:Sspon.04G0021450-1B transcript:Sspon.04G0021450-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPTTSRRGPGGARNMDDENLTFETSPGVEVVSSFDQMGIKDDLLRGIYGYGFEKPSAIQQRAVLPIINGRDVIAQAQSGTGKTSMISLTVCQIVDTAVREVQALILSPTRELASQTERVMLAIGDHLNIQVHACIGGKSIGEDIRRLENGVHVVSGTPGRVCDMIKRRTLRTRAIKLLVLDEADEMLSRGFKDQIYDVYRYLPPELQVSLVINYDLPNNRELYIHRIGRSGRFGRKGVAINFVRKDDIRILRDIEQYYSTQIDEMPMNVADLI >Sspon.06G0009700-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:39774330:39778543:-1 gene:Sspon.06G0009700-3D transcript:Sspon.06G0009700-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSSKKAAAVAAAPAAVPKGKKRDAEDEIEKAVSVKKQKAAPPAKAVVPSKKDAKKAKKQPPPKKVESSSSGSEEDSESEEEVKVPKKAPAAKIAKQESSDDDSSDATSESDEEPAKKPAAKPAVAKNGSKTGKKESSSDEGSSEDESDDDDNDDDDEPAKKAAAKPLGAVAKNGLKKGKQESSSDEDSSDDESDDDDDASKTQPAKRAASKMKDESSDDSDTDEDGKPPQKKQKEAPSAAKNGSSSEDEDEDGSSEESSDDEPTKVEQKKAPKASASSGSEDESSEDDSDEDSEEPANTPKKPKTPMGSQNEATEVKTLFVANVPWRAEFDDVKEFFADAGEVVDVRFPTQDDGRRKGFCYVEFVSAEAAEKAFKEKQSKELQGREVRLDFAMGRNTQTPRSGSQLGVAATRYLSGVLIKILQRMRMAYMDFKDQDSVSKAIELSGSDIGGGYELYVDEAKPKGDGQRGGGRFGDRSGGRFGSGGRFGSGGRHGGGGRFGDRSGGRDGGGRFGGRRGGRDGGRGRGGGGRGFGNRQSAGTPSAGKKTTFGDD >Sspon.04G0027690-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4B:61291587:61291711:1 gene:Sspon.04G0027690-1B transcript:Sspon.04G0027690-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MCYRVVRYGSPSTAAAAAPAPVIHHRWSPSLLLRHLAGAEG >Sspon.07G0018510-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:67032681:67039474:-1 gene:Sspon.07G0018510-1A transcript:Sspon.07G0018510-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPRPPLGRVLRLAAVVAVAACACFPTLVSGYVCSALSINPWSHCCPTTGDRFSCQGCKLDLQCCNSYEYCVSCCLNPSKIKKEDVLKLKVAKPVTAGKMLEEHTQMFSISAWEDAAIALQVHENAYASDFHHCFSVQQNSSGMTNYELYFFAILQLISCNMFSGSTESSSVSKLLGINVVVGRPGESCSLVCKVRGQSCVPSRLSVLNKCEILQKYMRCKSGCFPSLGPDQPAEVVDEAPTSLILSCLCFGTDKVETAPHPSSWCHIKWDLDARSRTSIQEHAYTCRWMSSSHVMVRISIPGGSVHALEKGKGIGGGTLCCSCSFVFLVLLLEGRVGEDVPQELCAPERIGERQVTLRCVILHLPHELVREGPVVVLLYLSVQLLHPADPDEEAGEVALRFLPEPPLHAVPELAVAVLFPELVNGAKVLGGDELDLGEEDVPSAPRGLAGEVDDERPGGLVGLAVVPRRELAAKEVQGGGWRRVFLCCC >Sspon.06G0022140-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:23782716:23789725:-1 gene:Sspon.06G0022140-1B transcript:Sspon.06G0022140-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEKTLPGANAGRTVLVTGGAGYIGSHAVLQLLLAGFRAVVVDNLNNSSELAVRRVAALAGDHSRNLSFHKIDLRDKGALEMVFASTRFDAVVHFAGLKAVGESVQKPLLYYDNNVIGTINLLEVMSAHGCKKLVFSSSAAVYGSPKNSPCTENFPLTPNNPYGKTKLVVEDICRDIYRSDPEWKIILLRYFNPVGAHPSGYLGEDPRGIPNNLMPYVQQVAVGRRPALTVLGNDYATRDGTGVRDYIHVVDLADGHIAALQKLFENSSIGCEAYNLGTGRGTSVLEIVQAFEKASGKKIPLIFGERRPGDAEILFSETTKAERELNWKAKYGIEEMCRDQWNWASKNPYGYGSPDSTKQNGHQTNGSADSKQNGHHTNGSTDSPKRNGHYANGSADSPKRNGHYVYGSSDLKQN >Sspon.01G0021320-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:100407700:100410329:1 gene:Sspon.01G0021320-2B transcript:Sspon.01G0021320-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHHRTNPKVIENAEGARTTPSVVAFTQKGERLVGTPAKRQAVTNPQNTFFGTKRLIGRRFDDPQTQKEMKMVPFKIVKAPNGDAWVETTDGKQYSPSQIGAFVLTKMRETAESYLGKSVSKAVITVPAYFNDAQRQATKDAGRIAGLDVERIINEPTAAALSYGMNNKEGLIAVFDLGGGTFDISILEISNGVFETEINLPFITADASGAKHLNITLTRSKFESLVHNLIERTREPCKNCLKDAGISTKEVDEVLLVGGMTRVPKVQEVVSEIFGKSPSKGVNPDEAVAMGAAIQGGILRGDVKELLLLDVTPLSLGIETLGGIFTRLINRNTTIPTKKSQVFSTAADNQTQVGIRVLQGEREMAADNKLLGEFDLVGIPPAPRGLPQIEVTFDIDANGIVTVSAKDKATGKEQNITIRSSGGLSEADIQKMVQEAELHAQKDQERKALIDIRNNADTTIYSIEKSLGEYRDKIPAEVASEIEAAIADLRQEMASDDIEKIKAKLEAANKAVSKIGQHMSGGGSGGSQSGSGPQGGSDQAPEAEYEEVK >Sspon.04G0010880-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:32932705:32941237:-1 gene:Sspon.04G0010880-3C transcript:Sspon.04G0010880-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPTRSPSREQRQAPGRTDDSDPRHALLWTQSAPSHRRSEGRNARVATPAPALVLLVDSSDARRKAAGAILLEVPKCGGTRAKDARPGPLLLILIRLVARLFPRILATAAPVPLIKPDSHRGETSPIYIHTLSDIAGLQHPTAYIANSLQAIPLGARLADKGCSAQPCLSAYCSLLLCVPARVRPSVWGAVVVRRQELRCRRQLRPSTSPPPAASSCSSPSSSQSLSIGVNYGQIANNLPSPAQVSWLLRSMRISKVKLYDADPNVLRAFLGTGVEFVVGIGNEYVPAMVSPAAAQAWLQQHVVPYLLAGARITCVTVGNEVFKGNDTTLQAAVLPAMQSVHRALGALGLQGRVNVTTAHSLDIMGVSFPPSAGAFHPAALAHLQPFLGFLSATRAPFLINCYPYFAYKDDPVRVPLDYVLFRPDAAGVVDARTGLRYDNMLYAQVDAVYAAIQGLGYTDVEVKVSETGWPSRGDADEPGATPEYAGTYIRNLLQRIEMKQGTPLRPATPVDVYVFALFNENLKPGPASERNYGLFYPDGTPVYNVGLNGYLPPMLVSSSTAGRQLLCREEVAAMEMGAQGEKRHGREWRQLGEGEKD >Sspon.02G0053520-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2C:106078082:106079272:-1 gene:Sspon.02G0053520-1C transcript:Sspon.02G0053520-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MERRCKNWEPVASEEPRCVVHDVPDDLLRLILLRLDSPLWLVRAACACKRWRRVVDGGDEGRDFLRLARSLHPPVVVGRYHNNYLGGGHRFVPSSPTPIRLSLNFLSREFVQRNRHPTIIDHWHVADSHGGLLLLLEGSNHVSDLVVCDPLSRRYLDIHHPPDQTSCPPMDRYLPRVFLLDSGDDDGNISISNFRVLYCSDYSDHHDSLPIVYVFSTAYVFSTADGGGWRLVRQSKSTAAYDDDLFLTYMGQVIGRIDRSLYLASLAGSVNVIDNAGTLEFSEVYLPIRVKKSRFDFDYSTFAVVHGDSPSIVHVRGEDLELFRRIPKSGEWVLEHSIPGLSEATRGLPGYPEHSRWMAVDVIAGGTRLAVLNVSLARGKRSWNWLFSLDVDTMQLQ >Sspon.02G0032360-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:112376684:112377454:1 gene:Sspon.02G0032360-2B transcript:Sspon.02G0032360-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSPCCDKAAVKRGPWTEEEDVRLRSYIERHGGSTAGGWMALPRKAGLRRCGKSCRLRWLNYLRPGVRHGGFSPEEDRVICALYAAVGSRWSLIAAHLPGRTDNGVKNYWNTRLKKRFHLLFGVGGMPPPRRPRDADVSAVPVPPSWTTGLLPCGTGGGVDGTRIIGAGGGGSGTVDVDMVTGLANDVVVSQRPAETSSSSCGHAATTELDEMFRWSMGTTGGDHSGMRRQSSTEIETANWYHCQHLGSMPYMYW >Sspon.02G0052560-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:94135879:94140840:-1 gene:Sspon.02G0052560-1C transcript:Sspon.02G0052560-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AMAMALQRALVSMSGVKEDHTFASYIEQCQWKDPLRRRQQVVMHPILLTPVRCWHCRWRVHNEDLLRPARSSPDAEQVLEPAVDEFALEGRSCFRCAQEICDKTRYLRDAVPAAGCRAGGEGDTPLHQAAMSRNLTMFCHLITLVGEDGGHGLVVQALRKINDREETALHIAVRVGDRDMVELMLWVDPQLAQIACHDTSPIYLAVSLGRKAIAEALHDASGLCSVVSYSGPRGQNALHAAVLHGAVMTTNVLAWNKDLTNKQDEEGSTPLHFAVSVEQRFPRIHLWIFRYKWLNRAPTWHLLDTDSSMAYREDSEGLFPVHIAARTNQYDAIRILLRRCPGCMGLRDKLGRTFIHVAVQNKASKVVRAACHSNQCAPIINTQDKNGNTALHLAVDVQKLSMVMALLGNRNVCLNLRNNKGQTALDLARSRKHEGFFFRQNPDKVIYKTLKTLGATYGNYRGDHILENRIPHLKPKDADADADDKQATDKLLEKEERDKMKEESDKMTSSTQTLGIGSVLVATVTFGASFALPGGNRADDHPNGGSPTLAGRWYFDAFMVANTLAFICSSMATIGLMYSGMAMVSLSFRRAHFNISLFFVSSSVTSLTAAFALGVYMVLAPVARSTAIAICAISPLVLLYRNTQGLWGLLLVSESLFHRRGLRVWLWSTIRKIFSALAFELWPFAVIFGW >Sspon.04G0015920-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:58807044:58825293:1 gene:Sspon.04G0015920-1A transcript:Sspon.04G0015920-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADEALDQPPDAAPSPSPAPATPPAAATPSPAALLRPRREAFEHGLLPIPKLIFPEGTLAQTLAQLKEKLAASAPDGRVPAAALAEALQIPQEQAALALGTLAAVLPAEDPALGDGAVDGAAADIRDVLLFLYIQSYKRLVPRSAHKDSPAVADVWPSTSAFDGYLSALSPIQLVRSNSRRFMPSQADEEIHQLSYLQKHMANILTLLADSVEGEGDDSLVLTMETFEHLGFLVQFSEGTSLSQAATFFANSDPDMPAAPVPAAQVLDWMSQNIASSLEYSAERSAAKESNLQTMSDLDVTMAEANTSHPRNSTPSANPAYYRNVTFVEGFSKTSVVKHASDVKGNSIKVLNCHDSVIYILAPLKYATVYGCSDATVVLGAVGKICIANCRECIFYLGVNHRPLVLGDNHKLQVAPFNTCYPQLQDHMMQVGVDPSINKWDQPFVLGVVDPHDSLSHPAGVSDVKAESATCLDPDLFTNFLRSALEDIQKMIRELQLDENRKRELATALHAQFKDWLYASGNIRQLYCLQGD >Sspon.07G0004160-3D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7D:9460896:9461709:1 gene:Sspon.07G0004160-3D transcript:Sspon.07G0004160-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFSEAQEELVIRSWKAMKNDSESIALKFFLRIFEIAPDAKQMFSFLRDDAGDAPLENHPKLKAHAVTVFVMVGHLDLASVFVTAGLYACFYPHLPAAACFDFQACESATQLRSTGDVKVREATLKRLGATHVKAGVADAHFEVVKTALLDTIRDAVPDMWTPEMKAAWEEAYDQLAAAIKEEMKNGA >Sspon.04G0031570-2D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4D:16647472:16651737:1 gene:Sspon.04G0031570-2D transcript:Sspon.04G0031570-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MELYVATLLGLALSNESDILAWYQARFPDPARRQASSSRAAGLLPPAMAGSHPSPSPSSYLHFPPLSSPWDPLVPRPFSYAAAAAGHVRPPIQGRRPTARRPASSIQARHLSHPPHRCLLLPSFPPLRPPPLCGHLGWGRSPAGDGCQYFFLCPAAAPPGGCCRRPASHGRRSPSPPSFSCSSPVPWAQQPPSPAPAHAQPPLLLEPVMAGRPPAHAQPQRLLELPLAGRPPGFHPNSLWATVASSFSTTASTPPVSPDWIADSGATFHTTPNASLLSSLRPPHPSCPSSIMVANGTCIPVSSVGTASSHGSFHISDVLVAPGMVHNLLSIRRFTTDNSCSVEFDPSGLTVRDLASRAPLLRCNSTGPLYTLQFPASASPSSPSSAAFAATPSSTTWHRRLGHPGRDALMQLSRSSDIRCSQAHGDHLCHACQLGRHVRLPFQSSSSHAPRAFDLIHCDLWTSPVLSMSGYKYYLVVVDDFSHYSWTFPLRAKSEAFPTLSNLFAWVSTQFGLTIKAVQCDNGREFDNSTSRAFFLSSGVHLRMSCPYTSSQNGKAERMIRTTNDIMRTLLLQASLPARFWAECLHTSTYLLNQLPSTACPAPTPHHALFGTPPRYDHLRVFGCACYPNTAATAPHKLAPRSTLCVFLGYSPDHKGYRCFDLTSRRVLISRHVVFDESAFPYSTSPPTPPADPAEASFFPTDTAIPPPFSPYPAGTAPARSPGGPASPQDLPVPDTVEAAPELPPSLPVASPPPVVPDAAVPIAGPRAPTPPPPGRFGLVYQRRREPRPPSPPPGRFGIVYERRREPAPPLPSPAPSSPVRAPPASPRSRADPPVYHPPLLHRDPRHTHPMVTRQASRPQALTAAACEPEISPVPSSVRAALADPHWRRAMDEEYAALLANHTWDLVPRPPGSNVVTGKWIWTHKRRADGSLERYKARWVLRGFTQRPGIDYAETFSPVVKPATVRTVLSLALERSWPVHQLDVKNAFLHGTLTETVYCSQPAGFVDGSRPDFVCRLNKSLYGLKQAPRAWYSRFATFLQTLGFTEAKSDTSLFIYHYGGETAYLLLYVDDIVLTASSESLLHRIIASLQKEFAMKDLGVLHHFLGVTVEPRPSGLFLHQRQFTCDILERAGMTACQSCSTPVDTQGKLSEAGGPMLGPADSTAYRSLAGALQYLTFTRSDITYAVQQLCLYMHAPREPHLAAMKRLLRYLRGTLGYGLLLGRSSTAELVVYTDADWAGCPDTRRSTSGYAVFLGGNLVSWSSKRQPVVSRSSAEAEYRAVANGVAEAAWLRQLLAELHRPSPGARSSTATTSVRSTSPPTPCSTSGPSMWRSIYTSSATGWPSATSECFTSRPPPSLRISSPKASLPRPSPSFAPASTSPVASCGCGGSCVLCSHMFSFLFSLELRCAGSSDCGGVLEYV >Sspon.04G0003530-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:31862583:31864905:1 gene:Sspon.04G0003530-1P transcript:Sspon.04G0003530-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding NDLPLLRRGTAVLVPGPPGGGQPEGADGGDARGGVEPEAAERASAAGGGGGRRPRGRRGGGGAGEGRRGDGADRAEDGQLQALRRRHPAVHGVGVRPASRPRGPQGEEDEDDFAVQRRRRHRPHARAPRVHRDGQARGARRLPPLTGTVRRRGGRQRPLPKVRGAQGAERLVRGALQPLRQQQQQRQDRRREAVVRGGRDRGRGRRQLPRGQGHGRGRLRVRHRVPGARQDPRRQDGVLRGARGDVRRRRRLARLLRLGVPQVRPRRCRHRHRHAQGRHQEVPGRHAPPRQGQDRGRQDHPRRGASHPRAPQAQEGVRAGDAGGRRRGVRDQVLRRGHLLRGEERADVRRGHRGGLRQRDADGGGERPAQVPGRVRPPLLAHVQGAGHPAEGVLPLQRRAGGVRGDVRRRLRAEDDLRQLPLQARRAGQPARRHQARRQHHRQPRQGHRAAPGDGEGHLV >Sspon.04G0019680-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4A:69536966:69537178:1 gene:Sspon.04G0019680-1A transcript:Sspon.04G0019680-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVPIPGKSSWPELLGVNATLAATAIAHDRPDVAVEVLPPGSPVIPDHNPLRVRVFVDNNNLVSQVPVIG >Sspon.02G0028120-4D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2D:102750811:102753982:1 gene:Sspon.02G0028120-4D transcript:Sspon.02G0028120-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding EKFYLYTGRGPSSEALHLGHLIPFMFTKYLQEAFKVPLVIQLTDDEKFLWKNLTIQESKRLARENAKDIIACGFDIERTFIFSDFSFVGGAFYENMMQVARCVTMNKAIGIFGFNLEDHIGKVSFPPVQAVPSFPSSFPHLFAGKDQLRCLIPCAIDQDPYFRMTRDVAPRIGYQKPSLIESRFFPALQGENTKMSASDANSAIYVTDSAKEIKTKVNKYAFSGGQDSIELHRKLGANLDVDVPIKYLNFFLEDDDELAHIKKEYKEGRMLTGEVKQRLIAVLSEIVARHQRARAQVTEEMVDAFMAVRPLPNMFG >Sspon.07G0018770-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:76894762:76895523:1 gene:Sspon.07G0018770-3C transcript:Sspon.07G0018770-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ACRRRRPGRGGAGACSSRWGGRGGTWRWWTPGAAAARAGPPPGCSASCRPSSARRPSRRSSRRGAARAPPRASSRPRPPRRPRPPPPPPSPPPTPPTPTTPPTPTTTPFPPPSPSTRRPRRRWRRAAGGGSSRGATTATRAGGRSGTRRWRRRLRRKQRQARTATATWAWPWRRSLPTRAPTSGRAWCRWSWRWGSAAGTTSAACSAACSRSTSPATTPPSSPPSPRSARSSPRPRRRRSRSRRTTPTTTTTTT >Sspon.04G0007110-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4C:19797884:19798320:1 gene:Sspon.04G0007110-2C transcript:Sspon.04G0007110-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQPLILSRRDKLLALQELLCIVGAVRTTRSQSAPFVSIQPAPIRAPRPIVPPTAGPQRAARHVRRPPARHTHSLAPVSCHRHSPAPPDSPNNMKLMQYET >Sspon.02G0028420-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:117192272:117199832:1 gene:Sspon.02G0028420-3C transcript:Sspon.02G0028420-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:At1g68370 [Source:Projected from Arabidopsis thaliana (AT1G68370) UniProtKB/TrEMBL;Acc:Q1H5A8] MSSSGKMEGPSAPAVRRDPYEVLSVPRDSSDQEIKSAYRKLALKYHPDKNASNPEASELFKEVAYSYSILSDPEKRRQYDTAGFEALENEGMDMEIDLSNLGTVNTIFAALFSKLGVPIKTTISPTVLEEAMNGTVTVRPLPVGTSATGKVDKQCAHFFGVTISEEQAHSGIVVRVTSPAQSKFKLLYFEQEVNGGYGLALQEDSQKTGKVTSAGMYFLHFQVYRMDSTVNALAMAKDPEAAFFKRLEGLQPCEVSALKPGTHIFAVYGDNFFKPASYIIEAMCAKSYEDTTERLKEIEFKILAKRNDLRQFETEYRKALARFQEVTNRYTQEREAVDDMLRERDNIHCSFTTERTLVNPVGAGSSSSRYTVEQSIPESPENGSVDGRDKSGKKKWFNLNLNRSDKKA >Sspon.04G0028540-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:66413259:66417450:1 gene:Sspon.04G0028540-1B transcript:Sspon.04G0028540-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LAMDSLLASYASSDDDADEAPPAPAPAPAPAASGGGAGGLFSSLPQPKSAPAPLFSSLPAPKSTPAPLFSSLSAPKSAPAPAPTFSSIPAPKSSGGNPKRIVQYRPQPIGQPTGDSSDDDEDDAKKRRASNNEARLPPVLAGSGPVSSFLPPPKHSLGLGAGSGAGTRRSAIDTAAPEKPNLGAAVPSSSVVNTGAPERPDSGASDDDDSEDSGSEDDMPAPELEEEHQEHQSFNAAAGEQQQGHDAGAGSTSGYEAYAWDPNYYAQYGANYGWDPSSANYADGTQYAASASANYADGTQYATYGGGQSGGYVGSHGGEHGSGYDHVAAVPYGVDYTGGYGHEVAATTLPPVQEPVLPPEMGRIGGKRGRNDMPAQILEVNQAELMKNRPKQDKSKLTGLAFGPSEQPAPSAKGKPSKLHKRKHQIGSLYFDMKSKEMELAERRSKGILTKAETQAKYG >Sspon.04G0009210-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:26703263:26704867:-1 gene:Sspon.04G0009210-1A transcript:Sspon.04G0009210-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRFLRDYVRASDLAVAAAVLFVCSAARSRLSSRPGEPMLWPVVGIIPTLFAHLAIGDVYDWGAAVLSRCRGTFPYRGTWGGGSSGVITSVPANVEHVLKANFDNYPKGPYYRERFAELLGDGIFNADGDSWRVQRKAASSEMHSARFLQFSAATIERLVRGRLVPLLETLSERGADDAVVDLQDVLLRFAFDNICAAAFGVEAGCLADGLPDVPFAHAFERATELSLTRFYTPPFIWKPKRLLCVGSERALVEAARAVREFAERTVADRRAELRKVGDLAGRCDLLSRLMSSSPPPADAGAGLAAGYSDEFLRDFCISFILAGRDTSSVALTWFFWLLAFHPDVEARVLDDIALAGGDVGATDYLHAALTESMRLYPPVPVDFKEALEDDVLPDGTLVRARQRVIYFTYAMGRDKATWGPDCLEFCPERWLNKSGAFAGGAESPYKYVVFNAGPRLCVGKRFAYTQMKTVAAAVLARFRVEVVPGQEVKPKLNTTLYMKSGLMVRFVAREQRHELGHPVPAAADDAGGCSLH >Sspon.07G0022340-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:7429633:7433808:1 gene:Sspon.07G0022340-2D transcript:Sspon.07G0022340-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVKGLTKLLADNAPKAMKEQKFESYFGRKIAVDASMSIYQFLIVVGRTGMETLTNEAGEVTSHLQGMFNRTIRLLEAGIKPVSSKREDATKDLKEAVEEGDKDAIDKLSKRTVKVTKQHNDDCKRLLRLMGVPVVEAPSEAEAECAALCKNDKVFAVASEDMDSLTFGAPRFLRHLMDPSSKKIPVMEFDIAKVLEELELTMDQFIDLCILYRGQTALKLIRQHGSIESILENLNKDKYQIPEDWPYQEARRMFKEPDVTLDFPELKWTPPDEEGLISFLVKDNGFNEDRVTKAIEKIKSAKNKSSQGRLESFFKPVATTSAPLKRKLMNRFAAKIQETSDKTSKAAANKKTKAGGKKK >Sspon.05G0032070-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:13457494:13459172:1 gene:Sspon.05G0032070-1T transcript:Sspon.05G0032070-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVDVVPAAAHRTDGRGATPAAFPISTLVPASAAAICIAASACCVSTLLSYYATFAVSLVCFARAVWPDRVDAALVRADAYARDTASRARADLEADLRHLRDVAARGAHPATTAPVHAAAEGCARLARATVAGWRRKWDEHRDAACAARFVLRLAALVARLAATVLFDAACDEARAWAPSVLGRGRWDQAPSSSWCDKGEEDEAAASFVLKDIVCLVGAGFYLLFTMHLLFSSNAVSGMQFCAVCFAATCGLTMLIADWIDPPDDDADETDTTAGTDDSRPEGDAGGEASRDEELEVRESWRFLWVLVLITYCVDAFLLHVTLGPQPVSLAILALCNLEVLRVGRQVQLTPDDGEGAGAVDKWRSGAMVVYAACSVKVFVVYLVLDCCLAALGFFWLFVMADLLLAEEDNLSDFDTSGEDGEESAGVEGDITGGDEQEVDEEASEEHSSTNSSEDEEESFAHCDSSSSEDEEESSAHCDSSSSEDEEESSVHCDSSEEDEIVEEQRHEGPDYGSGGSTDDSWDLVDVDDPEMPAKANCGAKRRKSRLFPWKHAA >Sspon.08G0011410-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8A:49820409:49823891:-1 gene:Sspon.08G0011410-1A transcript:Sspon.08G0011410-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVREICPYWALPRRLPLPPHPQVAFGLLALLLSFANQFFLADKRQLSTDRRESRRSRKGSSSRRGKKISYLLPHLHSGWAVDQAILAEEERLVIIRFGHDWDETCMQMDEVLAAVAETIKNFAVIYLVDITEVPDFNTMYELYDPSTVMFFFRNKHIMIDLGTGNNNKINWALKDKQEFIDIMETVYRGARKGRGLVIAPKDYSTKYRY >Sspon.07G0024730-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7C:26649524:26649934:1 gene:Sspon.07G0024730-2C transcript:Sspon.07G0024730-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSPRRTGKKSQGRRRKEMVLIEDPKSRLVTFSKRKSGFFKKASELSLLCGARVAAVVFSATGKPSAVGAPSVERVISRFAPLPSGGDDDREREVMEATVRRAKETGARVAEEKTRMDAVGEKVLRAAAADGVRFW >Sspon.02G0042200-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2B:81646898:81647311:1 gene:Sspon.02G0042200-1B transcript:Sspon.02G0042200-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFAWTNGGTPARRWTAAHGPRCVAATRATHRQARCHTNFVLVACLLEHPLWRLAGSWRRPRLASSVEAGEADWAWWGMRHRGGSAERPQGMGRGAAGVRRCGGPAAVRRSGVGGWAWQHREETMQQASRRADGMSG >Sspon.05G0019330-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:83107039:83107329:1 gene:Sspon.05G0019330-1A transcript:Sspon.05G0019330-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LMSLLPVLLLSPSLSNSACGRQRFLCTSQRSADDARLIEHLLLLVNWEDVPEETTKKKQGSPLPSCARPTSPRSRPSQAWAAARSRSCGRIHGLRCS >Sspon.01G0023620-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1A:84931597:84931893:1 gene:Sspon.01G0023620-1A transcript:Sspon.01G0023620-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSRPASSPNAVGLWFCQISSGLQARRQSGGVSVPQGAKNDADTRQATAPADAAGTKGISVPVQPRRTARRPRRAAARPCRRSPSTCCSTGLRPADG >Sspon.03G0015640-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:49827137:49829264:-1 gene:Sspon.03G0015640-1A transcript:Sspon.03G0015640-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRRRTLHVPLPCALACSRIRWRSEEEEVGVFGVLVAGRLLLAGIFLCWAGRLEFLALAAWWDCLVQELGASC >Sspon.03G0012360-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3B:44252351:44253310:1 gene:Sspon.03G0012360-2B transcript:Sspon.03G0012360-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIQAPAAADNVDPVYEWLDDGASYLLLLNLPGEVIRDYTCFSWATAELTLKMHGASCSFYLLSSPSWLNQKMEGFKKEDFRVHVDSEGRLTVIGHRKPTPGGDGKALRFHKTFQLPNTANPDTITGRFDSNVLTLTVPKLPAGAAASAPPPPPPPPQAKEEARVAGDKKPAADQEDKAAKVGQERTEVERTSLSARSKEEDEMKAKPMAPPPPQSSEKARGDHEQQDHQDDKARAAADHREKVAREAARRVEAARARVAEAKAKAERERQCEHWKERAMEEGMKLAEAVSKKKEVIAMAVAAFTLGVFVSSRLFSRN >Sspon.01G0009720-2D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1D:29841245:29841420:1 gene:Sspon.01G0009720-2D transcript:Sspon.01G0009720-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEMPWNRFMPFLSKCCTSTVQTSSSYSSFSSSLSPIQPWLFVGLGNPGEKYQSTRHN >Sspon.02G0021120-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2A:69796318:69797352:1 gene:Sspon.02G0021120-1A transcript:Sspon.02G0021120-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSVVIQESFSQIISGLVEKYEEKEETNATRHIERLEMAHIRLEAALETSEKWQITDTSLLHWRKKLKRAAQDCGDTIHKCKHRILEEEKMEQEVMNSSFPKRIAHATKSFVSSVFNRNNELSRSVVKRFEWYADGASEFLRFIELGGAPHYHIMPFGSLIKNLFAGKELHHNIVRGNSPSLLLWLAPFRTAEHGTEAIMVFLKKEGTAPKGNIYFSMIVQLSESTDIVGIAVKSLQLFAPHVKSTVENITKELTQLPTHDLSWVPFVYSHQKEHWGNLHSLVTQWFRPKPLCCKQHDRHYAQPFSNQDMAGISDASLEPLIEFNLQWQVSRTVYRKHKASVSG >Sspon.05G0011600-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:33322116:33326960:-1 gene:Sspon.05G0011600-1A transcript:Sspon.05G0011600-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding TTSQLARKLQRLAMEMRARESVSTSKDLTGEITPYATALHDVFLQSHCSSCFTKLLPQPLSVVSCTICCSLRYCCSECFGADSAVHFSSGECCFFVDHLKRASPSYVSEGTSDLRAALRLLYVLEMHGLVSSDSIDKYIRIGGLSASGIEEVLEEGEVIAERILEGSLLMSSARKSRAQTSFIFSDRLKLEKMALWAVIINSVEVQISEGLAMGVAVYGPSFSWFNHSCFPSASYRFVLAPRNEDYASQKSKSCVVPASKGVAADLNKKCNNMKISFDACLAYEEDHCTHALCKYGPRVVVRCIKPINKGDEVCITYIDILQTRCDARDLDKAEDTVTTPAIEDLGDILQQAISEYTSNDDPKACCDMIESMLSNNLVSGLKQEEISGRKHILHPLHHISLTAYMTLASAYRFRALSLEAVCLDGENTDDFFRMARAAAAYSLLLAGTTHHLFLSECSFMIPLSHFLLNTGQSLLYLVESIKGKTRQNISEARFSFSSCPASSTKNDSPPYHEFRSTCEEFGKKMLSLSLHCWSFLVRSLPSLEKIKNPMEFSMLGTTTYQSVLSEEDHVNLSAHQRVGFTKEQTECILSLALCCITYCKYLATRMNMVAMDTSPVLKTMAAVPRFPAQMFTAAVTNTRSQAPMTMMVATRTPAPTSMLEAATTKLSTDDYDSGKDTDEYSGSGGYGKPSTGNYNSGYNKSPAAMTMPAAA >Sspon.01G0040490-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1C:33484061:33488294:1 gene:Sspon.01G0040490-2C transcript:Sspon.01G0040490-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GVQGLPARLRRRRGVGRAGGRRQRRRRPPRRRRAQPRPLAGRPLRHRRRRQAPAPGALLLHRLRLLRLLPPRRRRPVPPAAPLPLLRTTSLPTETEEERWRRREMQSQRRLEARRKRVERRNSMGSLVPAGPKPAV >Sspon.05G0016950-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:70647866:70650280:-1 gene:Sspon.05G0016950-1A transcript:Sspon.05G0016950-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEERSVGACACAAARRRQSATACFVTSTFGSYVLNEMFAGDVSPLLDEDSIPCCCNLTTEVHQPSRHRGQRPRLSVQSAGRS >Sspon.05G0007780-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:25842888:25847884:1 gene:Sspon.05G0007780-3D transcript:Sspon.05G0007780-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPDQPVISLRPGGGGGPRAGRLFSPAFATSGSGDFLRPHGGGASGISKIGDSHFEPRERVRYTRDQLLELREIVDVSEDILRIKQEIDVELHGEDQSWVRNDSAIQGQAQAQAQAQAQNRYAETDNRDWRARSAQPPPANEEKSWDNIREAKEAYASSGRQQEQANRQDQLSSQFASKAQVGPTPALIKAEVPWSARRGNLSEKERVLKTVKGILNKLTPEKFDLLKGQLMEAGITTADILKDVISLIFEKAVFEPTFCPMYAQLCSDLNEKLPTFPSEEPGGKEITFKRVLLNNCQEAFEGASSLRAEIAKLTGPDQEMERRDKERLVKLRTLGNIRLIGELLKQKMVPEKIVHHIVMELLGSGPDKKPCPEEEDVEAICHFFNTIGKQLDENPKSRRINDTYFIQMKELIMNPQLAPRLRFMVRDVIDLRSNNWVPRREEIKAKTISEIHSEAEKNLGLRPGAASVIRNGRSSPGGPLSPGGGFPMNRPGTGGMMPGMPGSRKMPGMPGLDNDNWEVPRSRSMPRGDSIRNQGPLLNKPSTVQKTSSINTRLLPQGSGAALIGKSALLGTGVPPSRPLSFTPGPAPAQTTQSPKPLSAAPAVAPAPEKPASAPKGNSAELQKKTIALLEEYFGIRILDEAQQCIEELQSPGYYPEIVKEAVNLALDKGTNFVDPLVRLLEHLYTKKIFKTQDLEAGCLLYGALLDDIGIDLPKAPTQFGEIVARLTLLGALRFEAVEEVLKKMEDTFYRKAVFNAVMKTLEANPSGQAILGSQAAVIDACNSLLK >Sspon.07G0011500-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:40326798:40345517:-1 gene:Sspon.07G0011500-1A transcript:Sspon.07G0011500-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding NHQISPSAAQTSAVPVSPDRSKLRLPLTQGRRENAPPHQSNPAQNPADRLPRADSNPPGEAPTPTPSRPAEAARGETLAMAASVDAAAAAVAVAPPTAVEEAGGAVEQARTLIGALNLLSRNLPLPPDVLRAVSSIYHDGSAEEEEEEEEGEEREAEKEGEDKEMAAADGVAEAKEDPADGATTKGGSLIEELEDAIYKNQKAPISYSELAALKEGRFNASIQHRLAELEGLPSTRGEDLQMKCLLELYGLKKKVRSDISAEYWLHKKCAYPERQLFDWGLMRIRYPLSMYGIGDILSMDADDVHRKKRFTERMSRLEEEEKNQADIRKRKFFAEILNASREHQVQLATTFKQRKQRNDGVQAWHVRARQRISRQEKSRLNLLKIGDQEAYMKMVEESKNERLKMLLDKTNELLEGIGKAVQRQKDAEHVSQPEGSEVPKGSESEDCSQISGVKSESPGESPSDDDADFAGPADESKFNAGRRLDFTVHSIEEKVTEQPSALEGGELRPYQLEGLQWMLSLFNNNLNGILADEMGLGKTIQTIALIAYLLEKKEVAGPHLIIAPKAVLPNWSNEFKTWAPSIGTILYDGRPEERKLLREKNFDGLQFNVLLTHYDLILKDKKFLKKVHWHYLIVDEGHRLKNHECALARTLVSGYQIRRRLLLTGTPIQNSLQELWSLLNFILPNIFNSSQNFEEWFNAPFACDVSLNDEEQLLIIHRLHQVLRPFLLRRKKDEVEKYLPVKTQVILKCDMSAWQKAYYEQVTSREKVALGSGLRSKALQNLSMQLRKCCNHPYLFVEHYNMYQREEIVRASGKFELLDRLLPKLQRAGHRVLLFSQMTKLLDILEVYLQMYNFKYMRLDGSTKTEERGRLLADFNKKDSEYFMFLLSTRAGGLGLNLQTADTVIIFDSDWNPQMDQQAEDRAHRIGQKNEVRVFVLVSVGSIEEEILDRAKQKMGIDAKVIQAGLFNTTSTAQDRRALLQEILRRGTSSLGTDIPSEREINRLAARTDEEFWLFEKMDEERRLRENYKSRLMDGNEVPDWVFANNNDLPKRTVADEFQNIIVGAKRRRKEVIYSDSFGDQWMKSDEGFEDVPKATPRPKKTAYSSDIQVEFSERRKRPRSVENSADGASNPTWTPDKGRAGISSYSKDETEDDGEDERLTEGKQFHMEYPWKKKVKPLQFVVGLERAPIILIGTRFSVTL >Sspon.02G0054320-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:111912323:111913808:1 gene:Sspon.02G0054320-1C transcript:Sspon.02G0054320-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding PWSRPLLGHLHLLGKPLHRSLAALASAHGAAGGQQLAPLLSLRLGARRALLVSSHRAAEECFTAHDAALAGRPRVLAGDRLGYGYTMLVWASHGDHWRALRRLLAVEVFSASRVAARAADRRAEVAALVGNLLHLHGGGNAVTLRPRLFELVLGVMLHALTGERAHGGDVRWFQEIVEETFAVSGAPSVGDFFPALRWVDRLRGVDAALVRLQARRDAFVGGLVQDQRRRRDAGNGRDTAEKKSIIDELLSLQDIDPEYYTDTIIKGLVLMLLTAGTDTSALTTEWAMALLLTHPEAMRKVSAEIESNVGTARLVEESDITNLPYLQCVVKETLRLRPVGPVIPAHEAMEDCTIGGFDVRRGTMILVNAWAIHRDPKLWDAPEEFRPERFLDAGMVTAVTTPMLPFGLGRRRCPGEGLALRLISLTLAVLVQCFEWDVGEGGVIDMTEGVGLTMPMAVPLAAVCRPR >Sspon.02G0015640-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2B:41007101:41010142:-1 gene:Sspon.02G0015640-2B transcript:Sspon.02G0015640-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSVGIGEKSKRCVGARGGEGGITGRQRCGYAYAASPVQRPAHGCSRSEGRPRSPDRLPTSYSRLPQRYTVAYCPHHLCRRADYMSDRLVVSQVSVMACRGAGLRWEALREYGISKSWCLQEPSPFQPPSTYRRLHFLSSHWRLVRTGLALRTIAFALYALAPLALLHYLLSLPPHLPPPPTTGATSSPSPPQQAEAPAARCDYSDGEWVRTAAGPRYNGSSCGETIKAGQNCEAHGRPDTGYIYWRWRPRGCALPPFDPAEFLSAARGRHVAFVGDSLARNQCESLVCLLTSAFPAQLVRGAGGGDGDGDGDELRKFRRWAFPSHNATVSVFWSPFLVNGTERPKSPAAAGGLDHNRIYFDQPDERWAAAVPGFDVVVLSAGQWYLNSAMFYGRGAVIGCHRCPERNRTETGFFGVFRLAVRNALREVITRVAATSSSSPARPRLAVVTTFSPAHFEGDWDSPTACVRTEPYARGEREMEYMDLEMLRAGVEEAAAAGADARARGAGLAVEALQVTRLAAMRPDGHPGLYTRAFPFAEGAKDRMPNDCVHWCLPGPIDTWNEILLQ >Sspon.01G0042970-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1B:70046227:70046994:1 gene:Sspon.01G0042970-1B transcript:Sspon.01G0042970-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIEINLSCSPVCGRRRAQRRCLAADPLSLTSLSLSLSPDSPSPSLPQHLISEMPGPKPASTSAAAATSTIINASTAAMTPTSLHAAPTWAVPALSSTTIVPVAAIDALTNAVYDLQRQMDDLATRLTAVETWPSLPTAVETWPSLPTAVETWPSLPAAPQPRLPDALSVSDGIPPVPLTALVSITTAGSSPAPLAPSPSPIPSFASTSPVPQLQHHAIPVPIAAGVPEVLKLTFPTFDGADDPLDWSSLLGFRL >Sspon.03G0024450-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:98115953:98123582:-1 gene:Sspon.03G0024450-1P transcript:Sspon.03G0024450-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSEPPPASSATAAEELAADLSAATLSKKQQKKDARKAEKAEKAAQRQQQQQQPADAEDPFAANYGDVPVEEIQSKAISGRSWSEIGDLDDSAAGRSVLIRGAAQAIRPVSKKMAFVVLRQSMSTVQCVLVASADAGVSTQMVRFATALSKESIVDVEGVVSLPRSPSRPPHSRAIPTLPINLEDAARSEADFEKAELAGEKLVRVGQDTRLNYRAIDLRTPSNQAVFRIQCQVENKFREFLLSKDFVGIHTPKLISGSSEGGAAVFKLLYNGQPACLAQSPQLYKQMAICGGFERVFEVGPVFRAENSNTHRHLCEFVGLDAEMEIKEHYFEVCDIIDGLFVSIFKHLTENCKKELETINRQYPFEPLKYLDKTLKLTYEEGVQMLKEAGTEIEPMGDLNTEAEKKLGRLVREKYV >Sspon.03G0023320-4D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3D:56202626:56206539:1 gene:Sspon.03G0023320-4D transcript:Sspon.03G0023320-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VDTLHSIEEAGEKFGYPLMVKSKRLAYDGRGNAVAKNKEELSSVVASLGGFEHGLYVERWTPFVKELSVIVARSRDSSTVCYPVVETIHKENICHVVEAPAEVPDKIKKLATSVAEKAIKSLEGAGVFAVELFLTEDNQILLNEVAPRPHNSGHHTIESCYTSQYEQHLRAILGLPLGDPSMKAPAAIMYNILGEDEGEAGFYLAHQLIRRALNIPGASVHWYAKPEMRKQRKMGHITIVGSSKISVKSRLDNLLQSNSSDPKEVSPRVAIIMGSQSDLPVMKDAEKVLKEFNIPCEQTIVSAHRTPERMYDYAKSAKDRGFEVIIAGAGGAAHLPGMVASLTSLPVIGVPIKTSTLSGFDSLLSIVQMPKGIPVATVAIGNAENAGLLAVRILAARDPELWDRISKA >Sspon.03G0011110-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3A:30250589:30251056:1 gene:Sspon.03G0011110-1A transcript:Sspon.03G0011110-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAGLDAEAGATNGHGAKPGLTAVADSADAGAAFVLESKGTWWHAGFHLTTAIVGPTVLTLPYALRGMGWALGLTLLSAMAAVTLYEYSLMSRVLDHCEARGRRHIRFRELAADVL >Sspon.04G0007920-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:22381077:22389464:1 gene:Sspon.04G0007920-1A transcript:Sspon.04G0007920-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKLAGTLARVLAEAALGRPAEEGERFPEGCDETTCFLRLNRYPPCPISADAFGLVPHTDSDFLTVLCQDQQVGGLQLMKGARWVAVKPIPGALIVNIGDLFQAWSNNRYKSVEHKVMTNAKTERYSVAYFLCPSYDSPIGTCEEPSLYRTFTFGEYRRKVQEDVKRTGKKVLTLSSGKPKSATPSNRSHKNQYITLNKNCRNSFDDRYLSKNALALGQAKLALATGKALLLAHLGALVAVEAEALELETLRYAEALFLPLICNVQINHQEQMANEQLTPDTIRHKLITCCDEEEK >Sspon.07G0019960-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:73781105:73785607:-1 gene:Sspon.07G0019960-1A transcript:Sspon.07G0019960-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSFPPPGAVTICEINRDLVAADALSDARAKDAYGDVLGMVFAPIPFQPEALLPSHEPAAPAADQAEPAETAPAPGLAATISEFFRRMVFPPLDPNLLEEFDTQKVSWNPYKHCLAFVSGKNQVTVQGFEDSDAKEPCILTSDHQTDVKAVEWRPNSGKMIAVACKGGICLWSASYPGNVPFMKPGITSSSSSAFPRSSGGQWILVDVLRGSSAELGANWDPEGRVALLSFSNSTTLGSIHFSSKPPSLDAHLLPVELPEFSSLIFSRGIEKLAWDASGERLALSFKDGNEMYRGLIAHLTGSGLNVSIIVGALED >Sspon.01G0017200-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:59038783:59045707:-1 gene:Sspon.01G0017200-4D transcript:Sspon.01G0017200-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LSSCSQTSPAQLGHPLNPYHYFDFDYGGGDGGAGNSGGIERTKEARMPPCALTVDSLNPKVLALADHLGDDAIARRAQVLALCDYPHLLEQSETNSLFSSDAIARAREILDLFPGRATGGYSHLKMVVLLLFKQVHMMMHLLIRDQKDGILCPIPSHSLYTSYMVLQGATLVPYYLDESRGWGVSMSDLKKQLDDTRSMGVVVRGLVVINPGNPTGHVLVEENQREIVDFCRNEDLVLLADEMKKKFHSFKKIARSMGYGEGDISLVSFHSISNGYYGECGRRGGYMEVTGFNSEVKKQVYKVASLSSCSNISGQILMSLVMNPPQVGDESYTSYQEERDSILSSFARCAKAIAAAEECNTQPDAFYALRLLETTGIVVVPGSVFGQ >Sspon.01G0036250-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:17860858:17866370:1 gene:Sspon.01G0036250-1B transcript:Sspon.01G0036250-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GMGSAGALLWQPPPQLHPGGVLFRQSRPLLRQRNLPLLSLAAAALEPERRRRQRLRCAAVDGDGGSREASPLPQKESPSSGIGAALEDPPPVENGSFRGPSEEEQSALYNFLYPSKDLLPDDKEMSIFDHLEELRERIFISVLAVGAAILGCFAFSKDLVLFLEAPVTVQGVRFLQLSPGEFFFTTLQVSGYCGLLLGSPIILYEIIAFVIPGLTRDERKFLGPIVLGSSVLFYLGIFFSYTVLSPAALNFFVNYADGAVESLWSIDQYFEFILVLMFSTGLSFQVPVIQLLLGQLGLVSSDQMLSIWRYVVVGAVVVAAVLTPSTDPLTQMLLAGPLLGLYLGGAWMVKLIGR >Sspon.02G0023770-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:75198363:75200761:1 gene:Sspon.02G0023770-3D transcript:Sspon.02G0023770-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALASQVAANQPPLLPSPARRLPRTSSSNALLRLQPAAAVLGGGRNLRLAPAGARRAQLDAVVVRASSEAKAKAAAETKSSGGGGEGAEEEERPYEEYEVTIQKPYGLKFTKGRDGGTYIEAILPGGVADVTGQFEVGDKVLATSAVFGEEIWPAKGYGQTMYSIRQRVGPLYLKMERRFGKVDGDGDLTEKEIIRFERNSGVVSGRVREIQLQNYTRKMEQKMQREEDLRMGLRLYKDGKYEEALEKFESVLGSKPEPSEASIASYNVACSYSKLGRIEAGLSALEEALKAGYEDFKRVRTDPDLANLRKSEEFEPLLKNYDESFINENAINAIKSLFGLIKN >Sspon.02G0003770-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:13473061:13474723:-1 gene:Sspon.02G0003770-2C transcript:Sspon.02G0003770-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLTMATGQEESLLLLLLPTTSPLPPLMAIFILAAVLLWLSPGGPAWALSRCRRPPSGPTGVVTALSSPVAHRTLAALSHAVDGGKALMAFSVGLTRLVVSSQPDTGREILVNPAFSDRRIKDAARHLLFHRAMGFAPSGDAHWRGLRRLAANHLFGPRRVAGAAHHRVSIGEAMVSDVAAAMARHGEVSLKRVLHVASLNHIIATVFGKHYDMDSQEGVLLDEMVTEGYDLLGKFNWADHLPLIKHLDLQGVRRRCNRLVQKVEVLVGNIIQEHRARRANGGVDDEYMGDFVDVLLDLEGEEKLSESDMIAVLWEMIFRGADTVAILMEWIMARMALHPDIQAKAQAELDAVVGGGRDLADADVANLPYIQCIVKETLRMHPPGPLLSWARLAIHDAHVGGHLIPAGTTAMVNMWSIAHDPAIWAEPDKFRPERFQEEDVSVLGSDLRLAPFGAGRRVCPGKMLALATTHLWIGLLLHKFEWAPAAAGGGVDLSERLNMSLEMATPLVCKAVPRAQA >Sspon.01G0006050-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:15788859:15791973:1 gene:Sspon.01G0006050-2B transcript:Sspon.01G0006050-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVSPSKMVKICCLGAGYVGGPTMAVIALKCPAIEVCVVDISVPRIAAWNSDQLPIYEPGLDDVVKQCRGRNLFFSNDIEKHVAEADIIFVSVNTPTKTRGLGAGKAADLTYWESAARMIADVAKSDKIVVEKSTVPVKTAEAIEKILTHNSKGINFQILSNPEFLAEGTAIEDLFKPDRVLIGGRETPEGQKAVKALKDVYANWVPEDRILTTNLWSAELSKLAANAFLAQRISSVNAISALCEATGANVSEVAYAVGKDSRIGPRFLNASVGFGGSCFQKDILNLVYICECNGLPEVANYWKQVIKINDYQKSRFVNRVVSSMFNTVSGKKIAVLGFAFKKDTGDTRETAAIDVCKGLLGDKAKISIYDPQVTEDQIQRDLAMNKFDWDHPIHLQPMSPTAVKQVSVTWDAYEATKGAHGICILTEWDEFKALDYKKIYDSMQKPAFLFDGRNVIDAEKMREIGFIVYSIGKPLDPWLKDMPAVA >Sspon.04G0015380-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:63787274:63793365:1 gene:Sspon.04G0015380-2C transcript:Sspon.04G0015380-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDTRRESGAFAAVSRGGRLESKVFYMDAAQPPQLLDLLFDCISLNLGAHFLHGFDSVTTAGKMNRKPGDWDCRACQHLNFSRRDICQRCSEPRGVTDRGSGGGGDYANFGGRGGSSFGGGFGAGSDVRPGDWYCSCGAHNFASRSSCFKCSAYKEEAAVNSGAGGFDSDMSRSRGYGFGSGAARTNRPGWKSGDWICTRSGCNEHNFASRMECFRCNAPRDSGSAAMTYENYLH >Sspon.03G0041380-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:40140550:40143476:-1 gene:Sspon.03G0041380-1C transcript:Sspon.03G0041380-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LGFLRAVSPDFGDLANQEQELEGTFSLKVQSKSQIPGAVYVVNSQMIDAKFTTLLNHSKVLLRKKWFYGIFDDFVTKQLPHNVTWGLSMLYALEHKGDRALTSTQGELAHALRFLASVVSQSFIAFGDILELHKKFLELSGGINRIFELEELLQASQSNPVVPSDAINATSEEIISFRGVDIVTPSQKLLASQLSCNVSQGKSLLVTGPNGSGKSSIFRVLRGLWPIASGSLTKPSEGIFNVPQRPYTCLGTLRDQIIYPLSHEEAKLKMLSYETSDKSTASKMLDDHLKTILENVRLVYLLEREGWDATPNWEDILSLGEQQRLGMARLFFHCPKYGILDECTKYVLIATSVDVEEHLYRLATNMGITVITSSQRPALIPFHSLELKLIDGEGKWELCSIHQ >Sspon.03G0031270-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3B:26250036:26250880:-1 gene:Sspon.03G0031270-1B transcript:Sspon.03G0031270-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATDGQFREWVSDKLMSLLGYSKNVVVQYVIRLAKECSSTSDLVGKLVEFGFTSSAETRAFASDVYAKVPRRASGISNYQKQEREAAKLVQKQSTYKLLANEDDNTDNQTPTSQKTSANPSSKSRKHFRRKECPTAVLMKRKKGLEISSHKKKKTAGAAERAGRGGEMTIRFVLFVNKRGQTRLAQYYEHLSLDKRHALEGEIVRKCLARTDQQCSFVGHRNYKVVYRRYASLFFLVGVDNDEDFEALTLNLLARTIETVEGGG >Sspon.02G0018980-4P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:10296960:10299058:1 gene:Sspon.02G0018980-4P transcript:Sspon.02G0018980-4P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATMSLRSLAMAMADTALSPAHKLLPTVSLPLLSSSTHAAPLLLRARRRQPLAPLVASSDAVEAGVEWADEEEEEEGGEAFDEEVLASGDEGEGEYAAVEPPEEAKVYVGNLPYDIDSEGLAQLFDQAGVVEVAEVIYNRETGQSRGFGFVTMSTIEEADKAIEMFSRYDISGRLLNVNRASPRGTRMERPPRQFAPAFRAYVGNLPWQVDDSRLTQLFSEHGEVVNATVVYDRESGRSRGFGFVTMVSKEELDDAISALDGQELDGRPLRVNVAAERPQRGF >Sspon.02G0052090-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2C:87569693:87569974:-1 gene:Sspon.02G0052090-1C transcript:Sspon.02G0052090-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRPDGTDPPRTTRLCAYRLAPCAPAPSASHGHTGLALHHVRPAARASCCVPLPCLAPSAPHASRRCSCLAPHASCCTPPRHVYLPPLDDSK >Sspon.07G0009760-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:25194912:25219834:-1 gene:Sspon.07G0009760-4D transcript:Sspon.07G0009760-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding IVEKLLVAAVADADVGVRSSVFKALYRNPAFDDFLAQADILTSIFVALNDEEYDVRELAISVAGRLSEKNPAYALVTRLCEGTGPNANNALAAGVLATVGELAKVGGFAMRQYLPELMPVVVDALLDGGAVSKREVAVSTLGQIIQSTGYVIAPYNEYPPLLGLLLKLLHGELEWSTRLEVLKVLGIMGALDPHAHKRNQHNLPGQHREVLRPTIETAQHIVSMEELPTDFWPSFSASEDYYSTVLPELFRAVRMCEDGGLKEFITWKLGTLISIVRQHIRKYLQDILSLISELWTSSFSLTAPNRTIQGPQGSPYRKWDEIENRLLRRELLITENLSVQKYTQCPPDVISDPLDDFDGTPSAIADETQRQARSHQVDDVRLRSAASQRSTREDWAEWMRHFSIALLKESPSPALRTCARLAQLQAEFMEHDEKPLPIDTRLLGALAEKCRAFAKALHYKEMEFELCAPRRWVQILLRWLNPLFILTINYTSMRLAAIGILTYSQQNLEVQLKESWYEKLHRWDEALKAYTAANAAWHMGEWDHMAEYVSRLDDGDENKLRMLGNTTASGDGSSNGAFFRAVLSVRSKKYDEARIFVERARRCLATELAALVLESYERAYNNMVRVQQLSELEEVIDYCTLPVESPIADGRRELIRNMWNERIKGTKRNVEVWQALLAVRELVLPPNEDRDTWIRFAKLCWKNGRISQARSTLAKLLQFDPESSPELTLYHAHPQVALAYLKYQYAVGDELKRRDAFSRLQELSVQLATTMGNFPGTSANHGTMSNAGVPLIARVYLTLGSWKKALSPALDDDSIQEILISYNNATLSAKDWGKAWHTWALFNTEVMSRYTFRGRPDIAGKYVVAAVTGYFYSIACASTTKGVDDSLQDILRLLTLWFNHGATSEVQTALQKGFSLVKIEMWLVVLPQIIARIHSNTRVVRELIQSLLVRIGKGHPQALMYPLLVACKSISILRQRAAQEVVDKIRQHSGGLVDQAQLVSKELIRVAILWHEMWHEALEEASRMYFGEHNIEGMLAVLEPLHAMLERGPETIKEDAFIQAYGHELLEAHECCSKYRATGEDAELTKAWDLYYHVFRRIDKQLPSLTTLDLHSVSPELLKCRKLELAVPGTYAAGHEDLRQDERVMQLFGLVNTLLENSRKTSEKDLSIQRYAVIPLSPNSGLIGWVPNCDTLHTLIREYRDARKIFLNQEHKLMLAFAPDYDHLPLIAKVEVFQHALQNTEGNDLAKVLWLKSQTSEVWLERRTNYARSLAVMSMVGYLLGLGDRHPSNLMLDRYSGKILHIDFGDCFEASMNREKFPEKVPFRLTRMLVKAMEVSGIEGTFRTTCENVMQVLRTNRDSVMAMMEAFVHDPLINWRLFNFNEVPQVSNYGNAHAHTVVSSEEAVANRELMQPQRGARERELLQAVNQLGDANEVLNERAVAVMARMSDKLTGRDFSSGSALAGAGGVRSGELSWKQ >Sspon.05G0003730-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:15558193:15559572:1 gene:Sspon.05G0003730-2C transcript:Sspon.05G0003730-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKAALAKKGDAKTQALKVAKAVKSGSVKKKTKKIRTSVTFHRPKTLKKARDPKYPRISTTGRNKLDQYQILKYPLTTESAMKKIEDNNTLVFIVDLKADKKKIKAAVKKMYDIQAKKVNTLIRPDGKKKAYVKLTPDYDALDVANKIGII >Sspon.07G0025840-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:48771271:48773344:1 gene:Sspon.07G0025840-1B transcript:Sspon.07G0025840-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQEQKLEVSNAMYTYKHHCSIGVDVHEIFVKRSRLRVVLSYIGIIFLLANVCKPLLTKECLSLGSVWNITFAVLVAKCLQYKPVKRESVVIMPAFGVQLEIHFWSGRVDHRFVPIGKILKPLINECVTPVTCYWSLALLFRDEEELLLVFQVKLALVLIYWLIENEFQQSNSKLNHAEISSSCQNAGSSMESSLHVDKL >Sspon.06G0008990-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:37565877:37569169:1 gene:Sspon.06G0008990-3D transcript:Sspon.06G0008990-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSFDSVIPLQSEPVLENNPSKGANAKDQILSGTENVSAGNARGASSLKSPKGLPEKASSIGKAGEQPFLYQQNVYAPQPQPLYPGGYMNPSGQWEEYPHYVNMEGLHSGIYNDNQSLMLSPGYASNPQMMYGAYSPVSTVGDGQQYFPVHYPFSSPYYQPPASPSMGYSSSATGISQGDPMLQQEYFLPDGLLYSPTPGYHHPFNSFDRAPTQPNNAPGLFGQGNLPLASGMHHGSMYGPGSYKGRQQGSKFGGTTPSWSSAGRRFGTFDLSGHQQRGSMPFGSHNGSLEFMNEQNRGPRATKPKIQDTENSSGDEKNEKTVPLIDSELYNRSDFITEYKDAKFFVIKSYTEDHVHRSIKYNVWASTASGNRKLDSAYRAAKEKEEHCPIFLFFSVNGSGQFCGVAEMIGPVDFDRSVDYWQQDKWSGQFPVKWHIIKDVPNNLLRHIILENNDNKPVTNSRDTQEVKLEQGLQMLTIFKSHEAETTILEDFDFYEQREKALQENRRQQQPASTDPQKLVDTKAQGPVADISDAFAKAVQLKDTENSGTTPKADGTSAENGSAATAKVEGSANLSTGPVEESS >Sspon.02G0014000-3C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:39680146:39683592:-1 gene:Sspon.02G0014000-3C transcript:Sspon.02G0014000-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGGSSDLMLLAIRNCFSIGRIGYIPEALDSVCSGVAGATWMYISVPLVLYVGERMLRALRSNAYTVKILKVCLLPGNVLTITMSKPYGFRYRSGQYIFLQCPMISPFEWHPFSITSAPGDDYLSVHIRTNGDWTQELKRIFVENYFSPHLNRRASFSELGAAEPRSLPKLLVDGPYGAPAQDFRNYDVLLLVGLGIGATPFISILRDLLNNIKIADELMDLAMETSRSEDSANSFSVSTASSNRKRAYRTSRAHFYWVTREAGSFEWFKGVMNEVAEMDKKGVIELHNYLTSVYEERDARTTLLSMVQALNHAKHGVDIVSGTRVRTHFARPNWKEVFTRIASKHPNSTVGVFYCGAPMLAKELKTLSHEMNHKTGTRFHFHKEYF >Sspon.01G0009800-3P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3C:65078069:65078431:-1 gene:Sspon.01G0009800-3P transcript:Sspon.01G0009800-3P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHVQQLSVAEMRILRWCCGHTRRDRVRNDDIRDRVGVAPIEEKLIQHRLRWFGHVQRRPPEAPVRSGVLKRADNGKSGRGRPKLTWDESVKRDLKEWNISKDLAMDRSAWRLAINVPEP >Sspon.01G0034850-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:5712935:5716195:1 gene:Sspon.01G0034850-1B transcript:Sspon.01G0034850-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLEDFQASVQLENEQRCQQEIEDIKHGLESGSITYDPAKLKFSEEAIEEQKHCVRIADEKVALATQTYDLVDAHIQQLDQFMRKLEEIRQEKEAAAAVTPGTAVATTATPTVNVGVTTADATPKSGRSGERGRGGRKKFKVPTEQPVPPIDLELPVDPNEPTYCLCNQVSYGEMVACDNPNCKIEWYHYGCVGVKEQPKGKWYCPNCIGFQKKRKGK >Sspon.01G0058450-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:28449972:28451110:-1 gene:Sspon.01G0058450-1D transcript:Sspon.01G0058450-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPPALTDDLIDQVLLRFPPQEPERLVRATLVCKRWFRLISDPSFRRRFREYHRAAPMLGLFCTDSSGSRFVPTSTVPLPCAVLPVNGRAIDARHGRVLLNTASSWDFTFNCSGDDLVVWDPITGEHHRLPKLPDHMYPHPYPFCCCWTAAVLCAAAGGGCNHLDCHRGPFLVVFVCTSSREAFASVYSSEAGAWSGPASARLRRASVHPAVRSAVVGNAVFFMSDNGRRKRILKHVLGTQEISMIRLPRKPYRRIALMTMTDGGLGYAGWAQCQAIDLQPLLLPVGTLPTSFDIIYFEDRVAAIFMGPYCAFFTMDLKSLQIKIPEGNVAWPVIPYMSFYTPGFNLLSFGFVNIQN >Sspon.02G0027820-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2A:101151311:101153725:1 gene:Sspon.02G0027820-1A transcript:Sspon.02G0027820-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MANAKSFLKKAFHHSRNIRAWNNIASQLKHVKARLQHLTVMKKRYGIIISENGVGSSSHNISRQLYLSDSSYINDGDDDVIIIGNEDKMQKLAQCVNNNDVDRTVISICGMGGSGKTTLVRSLYREQELGTNFDCYAWITVSRNYQIEDLLSKVIEQLDDSYEHCVTDHNNDLVEKIKSYLIDKRYLIILDDMWSRDCWPCFDRAFVKNKYRSRVIITTRIEGVATLAQYDPIKIDLLSQQDSWELFNIKAFGTSTCPEGLKPWAEKILVKCQGLPLAIVAIGRLLSYREKEEQEWRLFYNQLNWQLTNNPELYRVSHVLLELSLRDLPSHLRNCFLYCTLFPEDYQIRRRWIIRLWVAEGFVEDRGTETTLEEVAEEYLRELAQRSLIQVTERNEFGRTKTFEVHDLVREMTLTISRKERFAHICNHPEVTDVGDVANRVSVHSGGQVYQPGISSQHLRSFLLFDRHVPVPWINTASSNFRLLRVLCLRYSLVEVIPDAITGLFNLHLLDLSRTGVKKVPKSVARLNKLQTLLLRFARVRDLPPEITLVTSLRHLSVSNGMYGTSIIGGNICGLKQLHTLREVKANKYLAKNLGYLTQLRSLGITGILQSYSADLWTSIMKMTALTKLAVGTSGEKGSLSLEKLRPLRNLEKFYLTGKLAEELSFPICDGFQKLKVLTMRWSGLKEDPLRLLSQMVNLVYLNLYCAYDGESLKFCSGWFPKLKQLYLGKLENLSTVEIMDCAMTNLAHLELCELQNLKAVPRGLRYLRSLQHLYAGNMPGEFVEMLEGNGQESVQHIANIKCV >Sspon.07G0014400-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:51908779:51916227:1 gene:Sspon.07G0014400-2D transcript:Sspon.07G0014400-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIEVSDDLPVMQWAYNDHKSDLLGSVTSANNDIAKEMNEVLFKSLPADTPGLEMLASSHLLSQRKSDLIVKERLGVRKTRLRLKEQILTMKFKAYRHLWKEDVRLLSAKKQRSKSNKRIDQSNRTSLIGSQRQRSSNRSRLTMPAGNLSTFSTPEMSDVARKLFSEFHIKRCRNYLKMPALIIDEKEKECLRFLSKNGLVEDPVLVEKERVMINPWTQEEKEIFMEMLAKFGKDFSKISSFITHKTTADCVEFYYKHHKSDSFREVKKLLDLRQQQPTSNYLGAKSGQKWNPESNAASLDMLGAASVVAAHGLEYANRWRNFLRNPSSGLHMGPMFLLWLKDLLIRSALTMCLCMRENQ >Sspon.01G0043040-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:9052964:9055970:-1 gene:Sspon.01G0043040-3D transcript:Sspon.01G0043040-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSISYPPDDYLPEDDNDGMDRLFVRSLSFDNLSTLETLESPPALLDALTSKRLIVRGSLSFEKREGDPFQVETTLSMVSPKPAKKSYNYKPIILPRYRSTENLPPNSPVIGMVSPVHQAAALRVQKVYKSFRTRRQLADCAVLVEQRWWKLLDFALLKRNSVSFFDVQKPESALSRWSRARMRAAKVGKGLSKDEKAQKLALQHWLEAIDPRHRYGHNLHYYYQYWLHCESKQPFFYWLDIGEGKEVNIDDHCPRWKMLQQCIRYLGPKERESYEVVVEDGKMMYKLSNKIVDTSEGPRDAKWIFVLSTTRVLYIGTKSKGTFQHSSFLAGGATSAAGRLVVENGILRAVWPHSGHYRPTEANFREFMNYLKNRNVDLTNVKLSPSEGEEDEWFRQRGSLSQLKHTESSNPASEEDSSKIFKKEDSSKFHPAGAADQDKATAKATPATPPATSHDKTTTSAATSGTPAMKRSSSGSRLQRKRPPRLAVSNSRLGKGSGEQGAGAFGDCLDFCKENLFRSGEGGDGEEEVVVPQEKILHRINSKMSLHSYQLGNQLSFRWTTGAGPRIGCVRDYPPELQFRSLEQVSLSPRGGAGPPKLGTTPRQSPCAPLVPSKPGGLVSPLYGHGGAGTPAPRLQH >Sspon.06G0028100-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:2158445:2161947:1 gene:Sspon.06G0028100-1C transcript:Sspon.06G0028100-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGIHVNAPLVIRAILMFKVDAKISMNANTQNNMFAMVFARIHQEALFANATLAIQEMLQPQMHAQLIKTNNGGQGPSKI >Sspon.01G0056860-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1C:93640895:93641179:-1 gene:Sspon.01G0056860-1C transcript:Sspon.01G0056860-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GRIRQGSYPAISGIRPYPPILDPASTQPRPTTSQQGRRADVAQDSESFHRLILDVTTVSGDGQSFLKHVATAVSTGIDKTSPQGTPASRSPLTL >Sspon.02G0024140-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2A:82785596:82786261:1 gene:Sspon.02G0024140-1A transcript:Sspon.02G0024140-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding METPSDASRPADAKPVKPRPVAPTGRFALGTASSIKKRTDGAAPAEVGVSRSSLMKPSPSLNAGSVPRRSSTGTAGKQLDNGSSAVAKKPSPTLSDGAKKTKLVSAPAVGSKPAAEKKTSAIERTGADLARKPGVKASPTSTLKKVQSKTESSNGSSGSTRRVASNASSVPSPRSVTSNATKKLGTQTSSAVSNRRKSSTADSRDSRFMMLPQVDLKASDEV >Sspon.07G0016840-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7B:64488888:64489604:1 gene:Sspon.07G0016840-2B transcript:Sspon.07G0016840-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding YRGIDAPELKMPYGKEAKKELMKLIGGKSVTIYVYEQDQFGRYVGDIYCDNMFIQEQMLKCGHVHHFKKYDKRPEFENWQKEAKSTGLGLWASKKPPQKPWDWRRNKRHARHSGIQVY >Sspon.04G0015120-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:65513898:65516405:1 gene:Sspon.04G0015120-1P transcript:Sspon.04G0015120-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ethylene receptor, Ethylene respons [Source: Projected from Oryza sativa (Os07g0259100)] MASSAGQGAALARRCGGCDGREEAAVEAMLQWQKVSDLLIAASLLSIPLLLLYFATCAALAPLRRVLLQLGTFIVMCGVTHLLNALAYDRPGSRALLVALTAAKVLGALATSAAAVSLPILFPRLLRLKVRESFLRTKARQLDRDLATVRRREETVWRVVRAVTHHIRDSVDARTILRTTMLQLAAALGLSNCAVWMPQRGGSGTDGGVLQLTHQLLADEEDDKVLHHSHSGGTVRAISVRDPDVAAVLASKDAKVLSRPGSALKAASCRNLPLAGAAAAIRIPNFHGGAIRPAYTSELLSYAILVMVLRADDDHHHRRPPTGWSNQDLEIVQAVAYQVAVALSHAAALEESQLIRHKLAEQHGALLRARSELAAATKARNTAHSAMRNAMGRPMHAVVGLLSVMQQDAPRCARSRGSSTSAVCSTLMDDVMETLPRMVDIRDPPLSASPTPPTLVSRRPFELRSLIRDAAFAARCLSGCRGLGFSHQLEASSLPEWVVGDDKRVFHLVLHMVGALLSRCHGHVAAGRVLSFSVCSCSSIAGEHQDWIPSPLRPSVSPAATKFQIGLSKSDPGSPPASRPPQCGISPDSGGANMRLAFAMRKRIVEMMNGDMWWASESEGLGETMTCILRFQQHLPLNPHVPGSGKYRLGVGASSATAPYLHFNGLRILLADSDAMSMEVTRKLLERLGCQVLPVSSGADCLSLLGGGADPSFELVVLDLDGHGASGAGTAAAMDGFEVALRIREVSSTCWLLVLVAVEASGVVDDSVRDMCRRAGVDGLIHKPITLPALGAQLQRVLQNN >Sspon.06G0012440-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:49633724:49635433:1 gene:Sspon.06G0012440-3D transcript:Sspon.06G0012440-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTSTSSNANTGVVAIARQDPRAIDGRTARAADKLDADFSKVLTKIHRFPGGLQGIDGGRYYRRSDAHPHLQKMEEVKLAAAHHLCTGAGRSTAEVYEKVLSVVNDVRGCYDADDPSVADVGDAEFAEMMFLDGCFLLQYMVGDTTPVLQNRMMLSTGPSIQKDIFLLENQIPWLVLEVLTAFMSVDVHRAVELAEIGVRLTPSTEPWFGDMSFRRGPLFGELSLSPLFLNDVTACWLVNMAALEASTSGSSAESDGYVVSSYLSVLAMLMDREEDVQQLRAKRLVHSTLSNTQALGFFKGLAQHLRFGDRYFVTLEEIEADKRH >Sspon.01G0059970-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:70932785:70933929:-1 gene:Sspon.01G0059970-1D transcript:Sspon.01G0059970-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIIYLVNKHLFHGFDICVQRGLDASRHAWRRNDAWKAARPKNSTRRTPFPPFAASILFQLLLAVVFNFTDGRRSGQARPTKFLDRLRCAALWRHLSRQAISSARHGPESKNAAGRSRAGTSRQVIFSASRSVGRSRRVHRRSGEMQLVLVISLPLVALVIIVAIVLCIICR >Sspon.01G0004960-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:11719180:11723506:-1 gene:Sspon.01G0004960-3D transcript:Sspon.01G0004960-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAGSRLEKALGEQFPEGERYFGLENFGNTCYCNSVLQALYFCVPFRDQLLEYYANNKNTRDVEENMLTCLADLFSQDAHEFLNFLLNELVDILEKEHNAARESLQNLSFPKNSNGPIDGQPNGSHKELAATWVHKCFQGILTNETRCLRCETVTDRDETFFDLSLDIEQNSSLTSCLKNFSSTETLNAEDKFFCDKCCSLQEAQKRMKIKKPPNILVIHLKRFKYIEQLQRYKKLSYRVVFPLELKLLNTVDNSDLEYSLFAVVVHVGSGPNHGHYISLVKSHNHWLFFDDENVEMTDESMVQAFFGSPQEFSGNTDNGYILFYESLAERS >Sspon.04G0019150-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4A:68283623:68287010:1 gene:Sspon.04G0019150-1A transcript:Sspon.04G0019150-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MINLNLKQPLMLPAHQSNVVGSPLALSPSAAAVSRRRRGGVSSSSSSRSRSSQRHMRLPRISCSATEEVSGAVASVTVEQMLTVRATVEASPAIGQMYFERALDDVHDLLGKTLLMELVSSELDAKSGLEKPRVTAFAHKTLREGHYEAEFEVPASFGPVGAVLVENEHHKEIFIREIKLVTGGDSSTAVTFDCNSWVHSKFDKPEKRIFFTLKSYLPSDTPKGLEDLRKKDLQALRGDGSGERKAFERVYDYDVYNDLGDPDKDPNHQRPILGGSKRFPYPRRCRTGRARTKRDPQTEKRDGHHYVPRDEQFSEVKQLTFGATTLRSGLHALLPAIRPLLDKKELRFPHFPAIDDLYSDGIPLPAHIGLDAIRSVVPRVVKLVGDTTDHVLRFEVPGMIERDRFSWFKDEEFARQTIAGLNPLCIQLLTEFPIRSKLDPEVYGPAESAITKEILEKQMNCAMTVEQALAAKRLFILDYHDVFLPYVHRVRELPDTTLYGSRTVFFLTDLDTLMPLAIELTRPKSPTKPQWKRVFTHGPDATDAWLWKLAKAHVLTHDTGYHQLVSHWLRTHCCVEPYIIAANRQLSRLHPVYRLLHPHFRYTVEINALAREALINADGIIEESFWPGKYAMELSSVAYGATWQFDTEALPNDLVKRGLAVRRDDGELELTIKDYPYAHDGLMVWNSIRQWASDYVSVYYKSDEAVAADPELKAFWDEVRHVGHGDKKDEPWWPVLNTRDSLVETLTTIMWVTSAHHSAVNFGQYHFGGYFPNRPTTIRKNMPVEEEEGRDEEMKKFLTQPETTLLDMLPTQMQAIKVMTTLDILSSHSPDEEYMGEFAEPSWLAEPMVKAAFEKFSGRMREIEGFIDECNNNPENKNRFGAGIVPYELLKPFSTPGVTGRGIPNSISI >Sspon.03G0002120-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:17176575:17182216:-1 gene:Sspon.03G0002120-2B transcript:Sspon.03G0002120-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQLQSPRFLSIPRRSVTGRRRRARPVIAFNSQWKIPDVDTDAVRERVRSWMSLARGAVADAAHAARERGRHKEEPEGGKKKQRKEVVVEEQAFVAVPEVTVEPRVAQGWLSLDAVVSIEQFARLNGLTGRQVQRIFETLAPKHLHNDARSLVEYSCFRYLARDNSDFHPNLKELAFQKLIFVTMLAWEDPYNEDDGPLSLLDSYSVLGRLVGEDAFVRIAPAVAGVADVSTAHYLFRALVGAEKGLSFDLWTTYLAELLKQTHQMGDNFLYDEKVLCIGSSKKRPVLKWEENTAWPGSLTLTDKALYFEAIGLSGTKKPMRLDLTNQNSRVEKTKVGPFGSKLFDSAVSVSSGSVSDEWTLEFVDFSGEMRRDVWLAFISEIISVYRFIREYGPGGDDPAIHNVYGAYKGKKRAVSSAANSIARLQSLQFIRRLYEDPAKLVQFSYLSNAPFGDVVLQTLAVNFWGGPLTTKARSADQRSTQWHRSSEDPSSGHAHVYDIDGSVYLRKWMTSPSWASSHSANFWRNSSVKHGVILSKSLVVADKNLVEKAMVDCKERNKVVERTQATIVAATIEGIPSNIDLFKELMLPFAIVAENFKKLQRWENPRSTFCFLLLVHTVLFRNMLSYVFPFTLMMMALSMLAVKGLKEQGRLGRSFGKVTIRDQPPSNTIQKILALKEAMASVENYLQNLNVSLLKIRTILLAGQPEVTTQVALVLLASSAVLLVFPFKYVLAFFTFDLFTRELEFRREMVRAFMNFLKERWESIHAAPVVVLPYEGAESSPKTLPAKASGQSEPQNMQRGSGYVTSKNGISSS >Sspon.04G0010650-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:28361477:28362284:1 gene:Sspon.04G0010650-2B transcript:Sspon.04G0010650-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MISRVAFTGRQRQFGKVREVEVAAAEELEHGDGGRRRQGGRGQQQAAAARARRERRLVQQPGRGGAGAGGGEERAQLAQPRQAARVERHRREQPRGGRRRERRGREDPGAAGRGRAEDRGEAVHVG >Sspon.01G0020940-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:77216069:77218820:-1 gene:Sspon.01G0020940-1A transcript:Sspon.01G0020940-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSASAFVIRWINFFTMILALLVVGFGFWMSTHNDECRRSLTVPVIALGGVIFLISLVGFLGAWKNVSCLLWTVSFLPVNRISKLSVTLTCGRLVPNHAVRGLGGNHGVHGIKSIVFRITAPGLSNRHVTFLCWKNYLDDTEKWARLKSCLVKTDDCNNLSKRYKTAREYKLADLTPMESGCCRPPAEYAPECPPQPFPVVTVISDIVIINIALIFRCGYPALNASYFDLSYHPVSTNVDCKLYKNARSVRCYDCNSCNSEASKPFGDANAAILSSRAGVAQYMKTEWRVVAIFNVILFVILSFVYFVGCCARRNTGGSDAKGRG >Sspon.04G0018220-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:71174128:71178536:-1 gene:Sspon.04G0018220-3C transcript:Sspon.04G0018220-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDELSLTSLDGIGKSNGGGKREDSHRMRQYRSQLEQEVKKLQRQLEEEVDLHVALADAVTQNAASMLKSSMKLPHKAQELLINIASLETTVSKLEKELNDLYYQLCHERNERLLAENNQGCLPSTSSDEHQSLSTCTCTWEEFGGSESMRSTRQDLFPALEDDQDMGEDPEGQQMVSLNRLLEKHRDSSLNRLLEKHRDEEMQESCSVENEGNEDEQLDALSFEQSILKITSMKGGNLWSNPNELSEEMVRCMRNIFLRFGASIRLHISIFLRFIHNASMLRSPSVDSNHNDETMNEVRNFDPYKVNGKETRRDIGNYRSAAEVSWMSVGKDQLEYASEALKKFRFFVEQLSKVNPSCMNRDQRLAFWINLYNALIMHAYLAYGVPRNDIKLFSLMQKACYTVGGQSFSAAEIEFVILKMKTPVHRPQLSLMLALNKFKITEDHKKYSIDEFEPLLLFGLSCGMFSSPAVRIFSAANVRQELQESLRDYIQATVGTNDKGKLLIPKLVQSYAKGAVEDSLLADWICHHLAPDQAAVIRDSSSQRKQRLLGFRGFTVLSFDSKFRYLFLPDSSGSQKLEAKQSYKLPEPEDE >Sspon.07G0002840-3C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7C:5212385:5216135:-1 gene:Sspon.07G0002840-3C transcript:Sspon.07G0002840-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLASVNEELAEINGQIGDILRALQTFVVTSKILNQILSKPAGVQRNGDPNDQNLTTLSIRRHDLMESLKKLQCRTLIFVGENSQFHADAVHMTTKLDRRYCALVWVCVYVWVSLCVLCAWALKEAFEVICNKGDSGKSSAKLLATFCDNILKKGCSEKLSDEVIEDAFFKKGLRSVDIVTSFQKMRLR >Sspon.02G0034390-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:12513538:12514851:-1 gene:Sspon.02G0034390-2P transcript:Sspon.02G0034390-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDSHETDRNIEIWKIKKLIKALESARGNGTSMISLIMPPRDQIARVAKMLGDEYGTASNIKSRVNRQSVLAAITSAQQRLKLYNKVPPNGLVLYTGTIVTEDGKEKKVTIDFEPFKPINVSLYLCDNKFHTEALNELLESDDKFGFIVMDGNGTLFGTLSGNTREVLHKFTVDLPKKHGRGGQSALRFARLRMEKRHNYVRKTAELATQFFINPATSQPNVAGLILAGSADFKTELSQSDMFDQRLQAKILNVVDVSYGGENGFNQAIELSAEILANVKFIQEKKLIGKYFEEISQDTGKYVFGVDDTLKALEMGAVETLIVWENLDINRYTLKNSSTGEITIKHLNKEQEADQSNFRDPSTNTDLEVQEKMSLLEWFANEYKKFGCSLEFVTNKSQEGSQFCRGFGGIGGMLRYQLDIRSFDELSDDDDLYEDSD >Sspon.04G0024360-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:23723299:23724052:-1 gene:Sspon.04G0024360-3D transcript:Sspon.04G0024360-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding WIHPRKGCLRRWTFYFLRGRRWKTPSRRTNLKQRRSWSPRCQPATRIWRRASGDSSRTWTRPRRRPEARARRQRHLHQWKTSN >Sspon.06G0007190-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6A:26892188:26892845:1 gene:Sspon.06G0007190-1A transcript:Sspon.06G0007190-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSARRLAGDHTVSDSTNMTKTIYTSPRTFPDPLLNRKPPGVASHRPWRHGGRGARRPGNPRPLWRGASSRWRSWSRGKGLDGHGGSLAPMSCGARR >Sspon.03G0010250-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:32700733:32714708:-1 gene:Sspon.03G0010250-4D transcript:Sspon.03G0010250-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGWGSSRVAACGPWGRGAALERELSRDGSHYSISSGILPSLGARSNRRVKLRPFIVSPYDRRYRCWETFLIILVVYSAWVSPFEFGFIRKPSGALAAVDNVVNAFFAVDIILTFFVAYLDRMTYLLEDDPKRIAWRYTTSWFVLDVASTIPSEFAWKILPPNLRSYGFFNMLRLWRLRRVSSLFARLEKDRHFNYFWVRCAKLICELIELRNGGEQASLMRFDAELLKEQKDNSVMVGVLKEIENMLARGHLDLPVTLCFAVNKGDDFMLHQLLKRGLDPNESDKNGHTALHIAASKGDEQCVKLLLDYGADPNARDSEGKVPLWEALCEKHNAVIELLVESGAELSSGDTALYACIAVEENDAELLENIIRYGGNINSSTKDGTTPLHRAVCDGNVQMVELLLEHGADIDKQDNNGWSARDLADQQGHDDIQVLFKSRKAHRQHASSGRVAPMLIGRFNSEPSMPNMNHEDAEVRSKVVPQKLLRKRVSFQNSLFGVISSSQARQDTGRLLSKGLAGTGGPSCRHDSLIRVTISCPEKGNAAGKLVRLPRSMIELLELGAKKFGFKPAKVLTIGGAEIDEVELIRDSDHILLVSDDWVPDVAQIRPNHKCWETFLIILVVYSAWVSPFEFGFIRKPTGALAAVDNVVNAFFAVDIILTFFVAYLDRMTYLLEDDPKRIAWRYTTSWFVLDVASTIPSEFAWKILPPNLRSYGFFNMLRLWRLRRVSSLFARLEKDRHFNYFWVRCAKLICELIELRNGGEQASLMRFDAELLKEQKDNSVMVGVLKEIENMLARGHLDLPVTLCFAVNKGDDFMLHQLLKRGLDPNESDKNGHTALARQDTGRLLSKGLAGTGGPSCRHDSLIRVTISCPEKGNAAGKLVCLPRSMIELLELGAKKFGFKPAKVLTIGGAEIDEVELIRDSDHILLVSDDWVPD >Sspon.03G0001530-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:12258713:12260267:1 gene:Sspon.03G0001530-2B transcript:Sspon.03G0001530-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQPELFGYFPFPKAPKPPPPPLAVMQILRPILRLLRHPNVATSPAPIHRFSCPSRPLLSLMACATPCWWPSAHAQRISAHMVFDNPSVRRVPRFLPEPCNMATISRVKDDESFKPYMGASGSSANVIIISEDNKHSADIQGMKNIDTSGTILEVIARDDRSDKDACRVTSEVKYVKRDTSGQIVKGEQRSAMENQRGEIVASDEKKVSDDEEYTVNNILAKSRHRDGSIYRGMDTWWKRVYLIADHKESK >Sspon.03G0045650-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:22259419:22265110:1 gene:Sspon.03G0045650-1D transcript:Sspon.03G0045650-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKITNLSNACKVSFSPQGPISEEALERVRALLDEIRPLDVGLDNEAQIARNWSSSTRPSNGRRGRNGANQFSATIKYLHIHECESFSMGIFCMPPSSVIPLHDHPGMTVLSKLLYGRLHAESYDWVDVPDHPIDQLQISAGWATGMVIYHKDPIYVSLTNHAHGLYKYIILYYLSHDVMAYLLEFAARPAKLVKDCEMTAPETTILYPNAGGNIHTFRAITPCALFDVLSPPYSAEDGRHCSYFRKSQMNQPPVVLPAEIDSSQVIWLEELEDHQPPEGFVVARGLYKGPVIRR >Sspon.08G0003720-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:9680343:9686690:-1 gene:Sspon.08G0003720-4D transcript:Sspon.08G0003720-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:30-kDa cleavage and polyadenylation specificity factor 30 [Source:Projected from Arabidopsis thaliana (AT1G30460) UniProtKB/Swiss-Prot;Acc:A9LNK9] MDDGDGGLSFDFEGGLDSVPAAGGGGPVPSSTDPGAGGGGGGDGPGMHGRGRGRGSYRQTVCRHWLRGLCMKGEACGFLHQFDKARMPVCRFFRDFGECREPDCAYKHSYDDVKECNMYKMGFCPNGPNCRYKHIKLPGPPPSVEEVLQKILQMRSFNRYGQNRNNNYNQQGERPQHPQGSGMPNQNSAENATAAAPPAGGQQAQTLNQQPPQQQQKPNTNDQAQGVSNGHQATRIATPLPQGPSRLNVMLFKGCAKMTSRIGGYIGGGNWKSAHGTAHYGRNFSMQWLKLCELSFQKTHHLRNPYNDNLPVKISRDCQELEPFIGEQLASLLYLEPDSELTAMLIAAEAKREEEKAKGVSADEAADNQDIVLFDDNEEDEEEESEEEEEGNGQESQGRGRGRGMMWPPQMPMMRGPMMAGRGFPPNMMGDGFGFGGGFGMPDPFGMPRGFPPFVGPRFPGDFARGPMPGMGFPGRPPQPFPLGLDMMMGPGRGPLMGGMGMGGPGRPNRPMGMAPFMPPPPPNNRAAKREQRRPGGDRGDRFETASSDQGSKGHDNTGNSGADGARAQSGDRYNRSALRDDDSESDEEAAPRRSRKR >Sspon.02G0035720-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:24368941:24369911:-1 gene:Sspon.02G0035720-2C transcript:Sspon.02G0035720-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAVEFDPEAAMSVQRRSDFPSLGCAPSSDRARVCRWGVELRQTSCVEPPAPISVYVAQSDGGPPTKNRLGAPDQGEITTNRSKPLAPTRSVGKKPPAHAVRDPRHRPPLQEIPPSTISHSRGSTSRPSLRCIAASPPPPAPRRSDPSSVGSAKRETPGLDALLRPGTVVGVRTRTTTLKTGQVLVLWLKATVVSSTHGGYEVVYDGNRPSGDPKGTVRVARHHVRVIKTTQSLTTPPPSLPPPTASVAAAAQKKDTLLAARPTTAGKSVRLIRSLLPEMERQARARLSGIGY >Sspon.01G0047110-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:100887238:100888765:1 gene:Sspon.01G0047110-1B transcript:Sspon.01G0047110-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLSMVSVPIAPSSLSLSTRGRSSSVSFPAKKGGIGHGSLRIECIRIGGVEIPNHKRVEYSLQYIHGIGRSRSRQILLDLNFDNKITKDLSEEEVITLRKEVGKYMIEGDLKRFNRVAIERLKEIRCYKGIRHKLGLPVRGQRTKNNCRTLKGKRASVAKKKSPASQDE >Sspon.05G0004410-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:16465085:16469663:1 gene:Sspon.05G0004410-4D transcript:Sspon.05G0004410-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVRVFAPVAAVVWLASWIYGSWLNRAILTYHPEKLLEDPTQLMASPGASAPAEFTQMEAARQSLIAISQSIPEIEAPVIRPPNGGGGIDENGHEDVAEQRYRAKLISISNQSPDARPTLCPPKNGAA >Sspon.02G0007230-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:23960325:23961158:-1 gene:Sspon.02G0007230-3C transcript:Sspon.02G0007230-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SSRMPATPTIIGALLGLGTQMYSNALRKLPFVIDPWEHVLGMGLGAVFVNQLVKFDEKVKEDLDKMLERARLANEQ >Sspon.08G0016250-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:59072910:59073200:1 gene:Sspon.08G0016250-2B transcript:Sspon.08G0016250-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKVLAFSILSASPADISAGAGFGGSWARLSWRRGADDQQAAAAALREEKDKEKQGSRSRDGREHSRRGRAASAAAAPRFAPEFDGIDCFETIVSH >Sspon.02G0018870-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2A:60445857:60446888:1 gene:Sspon.02G0018870-1A transcript:Sspon.02G0018870-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDFSCFGDAAVTLAAGAAGGGGGGGGGAGAALDRSLQAATASDYRVALSSRKELRIKVTWTRGVAAGASGAGAAVGPTEIALAIDDGSSLVAPPLAAAALIGTPRRTAPAPAPAPAQHFLQKKRGTRSFVTDAGTAVSVYWDTAEAKYYPAGAAEPSRDYHLAVVADGELALLLGGGEAARDVQRRFAPAPRRALLSRREQVRGPFASSPAPPPAAAQQAQLVHTTRCRFRDDGAEHEVTVACRGDEWGSRDGEVAISVDGKKVVEARRVKWNFRGNRTAVLGDGAVVEVMWDVHDWWFAGVSGGGGAQFMVKARGAADGGRVWMDEEMANKGHPPAGFFLHL >Sspon.08G0012830-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:54671133:54673393:1 gene:Sspon.08G0012830-1A transcript:Sspon.08G0012830-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLPTQNRRRASRPGVDPGRAEPPRIHLGDPSEDAIRLMMRQLFGAAKKVHDLDFIHRDIKSENILVCPLGELKVCDFGSATRKKPDGKPHEAYPVGTLQYNAPELLDRNWYYGPAIDMWGLGCVMAELLSGETLFQAESEYEMTTEMSELRDRMTSAAGKLDPECLAKLSEDGRDVLKGLLTFCPEKRLTAAEALEHWDGEYDYNMHGSRRNRYRLQPSVKDLNLLVKDGDYVGYQIGSFVHSLLMHRNSTATKLFPYYSADDYAKALRNGSKNGGIQLMSSSTGE >Sspon.07G0018110-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:65253767:65254634:1 gene:Sspon.07G0018110-1A transcript:Sspon.07G0018110-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding HMETSCDQEPVDSETETITFEGIPFPAEITAAAAGGKPLSLLANGITDIEIHFLQIKYNAIGVYLHTTGDTTLLGHLGAWKGKTAEELLADAAFWSALVSSPVEKLLRVVVIKEIKGSQYGVQLESSVRDRLAAADLYEDEEEEALEKVAEFFQSKYFKPASVITFHFPATPGPAEITFVTEGKGDAKITVDNEHVAGMIQKWYLGGENAVSPTTVRSLADRFAALLAVAA >Sspon.07G0027840-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:54683749:54683961:1 gene:Sspon.07G0027840-2D transcript:Sspon.07G0027840-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDWAPVFISFVLFILLSPGLLFQMPAKSRLIAFGNFHTSVASIVVHTILFFALDAILLVAIGVRIELGN >Sspon.07G0000360-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7A:824563:825258:1 gene:Sspon.07G0000360-1A transcript:Sspon.07G0000360-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAGSKQAAAAAAAAAAADQAEFDPKWESGRRTTPASATGLPHPPLRYALPRFRKQDCRVQVDGAGRLTVRGQRSDAAANPRHSRFSKVFQLPSTSNNDGFTGRFDAGVLTVTVPKQQAKAPPAGDTKRKAKEDGEANKPKDDAKPEDAAAAARKKPAADAGQMADAKSGKPEPAEQPKAAAPPPPA >Sspon.01G0031650-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:73364628:73376935:1 gene:Sspon.01G0031650-1P transcript:Sspon.01G0031650-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLTLPALALSNPATASDAVRLRAAAFRCWALRRRGWAAVAAVASPNSVLSEHAFKRLQLGSDDEDEEGPYGSDADEGFEAGEGDEEELAIARLGLPDELVATLEKRGITHLFPIQRAVLIPALEGRDLIARAKTGTGKTLAFGIPMIKQLIEQDDGRSTRRGRIPRVLVLAPTRELAKQVEKEIKESAPKLGTVCVYGGVSYNVQQNALSRGVDVVVGTPGRIIDLINGGSLQLGEVQYLVLDEADQMLAVGFEEDVETILQQLPADRQSMLFSATMPSWVKKLSRRYLNNPLTIDLVGDQDEKLAEGIKLFAIPLTSTSKRTILSDLITVYAKGGKTIVFTRTKKDADEVSLALTNSIASEALHGDISQHQRERTLNGFRQGKFTVLVATDVAARGLDIPNVDLIIHYELPNDPETFVHRSGRTGRAGKAGTAILMFTSSQKRTVKSLERDVGCKFEFISPPSMGEVLESSAEHVIATLRGVHPESTQYFLGAAEKLTEELGPHALASALAHLSGFSQPPSSRSLISHEQGWVTLQLTREQGYGRGFFSPRSVTGFLSDVYSAAADEVGKIYLTADENVQGAVFDLPEEIAKDLLTMELPPGNTLTKISKLPALQDDGPATDSYGRFSNDRGSRNRRSRGGGASRGRGGWDTDGEDRFRRGGRSFRSDNDSWSDDDWSSGGRKSNRSSSSSSSFGSRSSSYGSRGSPSFGGRSSSFGGRESNRSFSGACFNCGESGHRASDCPNK >Sspon.03G0005390-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:15384991:15391384:-1 gene:Sspon.03G0005390-1A transcript:Sspon.03G0005390-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAAAVPGGGGAADAEELFRTKRIPEIRAAEGATRREISAKEEELRQLVGRSYRDLLDSADSILLIKQSSDAISDNLARISGSLSSLSPPPEPSPAVSAASPSPSAGGRARLYALAARAKYLVDTPEHIWGRLDEGLLLEAAGRYLRAQVVHGRLSRDAAAAARFPLLAHQAQLVEAFRPQIAQRSRERLADRRLPVAAHADALAAVAAIDPPSLAPAQALLLFLTSRRAWISQALAGLASDLSSYTSVLCDIARIVRITLGHVGQLFVPALSDMPLFFKTVLEKTPPEQLFGGIPDPDDEARLWKEHMNQLEATMVLLEPDAIAGACTDWLKECCTEIFGVIAGEQKLVDAIGSGELLGSVQRLVRDTLDGRDGLEGSLEQWLKSVFGSDIESPWDQIRGLILKDGKDIFEDWMEEAFVRRMKDIVHSELDRLGASVNVKESVHAIGGNADPKDAGDFLAYLRKSSKGGCFWFSESKIKKGGVLAHLKPIADENDFHSCLTSYFGPEVSRIRSAIDSKCKNILDDLLSFVESHNSAPRLKELVPYLQEKCYRTISGVLKELEAELRKLSALLGTKKEGNDMPAASIIAERSLFIGRLLFALRYHSSHVPLILGSPREWVKEAGGAAFARLSSPTPRHSRASFDSLVSFTPRRRTFDSPKSPGRQFSDSPRRQTIAAAVSLFGADDRSNPRLDELNKTLQSLCIMAHNVWIAWVSTELSHILSYDLNKDDSLSSSTPLRGWEVTVIKQEESTEGPLEMQIALPSMPSLYIISFLYQACLEIHKVGGHILDRIILHNFAWELLQKVINIYENFLSSVESGNSPVSEKGILQILLDLRFIGDVLSGGKSSSTNTTEMQTKQDSLPSTVTKTSFRRKQSQLQADSAVIEPINKLINRLSQRLDPIDWATYEPYLWENEKQSYKRYVVLFGFLVQLNHMYTGTVQKLPTKSNADSNIMRCSQVPRFKYLPISAPAISSRSHKSSLQSPSSDSTSKNPWKSYSNGDRSTTPEFDDNASLVGAAPLLKSFVTQVGSKFGENTSRWGSMLSDGQVGKLSDILPGPAAGFFSSFTSGARYDS >Sspon.05G0024270-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:20986865:20993514:-1 gene:Sspon.05G0024270-2D transcript:Sspon.05G0024270-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPAEPYIPCVAAGLSAQEAADADKDYLYFLRHVRLDGDAYTVVIPSEDGASSAPRVIRYEQPLPDHNAGTPVAGSNCGGQRAPPSSEENPWATSEAPRGVKRKAPDASPGGEVRSGAVPMEEDTPTPVAERAWDSQPDMDEDYLFFLRHAREVEGKLVFKTGNCSITIGEDDDSEEEEEEEEEEEEEEEDDEVDNPASGQSGEDGIGTEEKDDEEEAIPASVTEDGVDSDSPILKVKEEEVSKEDDVDVGPGSDIQIVNETTKKVEEGGEKPLNALVRGTTDLDPLGKKEASSSKEHLAMPLNASIGELQGVIWPPHINERPNSVFKEKLIEFLNKPFTQEEYDKYFALATDRSPLLKERRTRNKVAYYPWTHEMNKSYFDRYPGYSLLAIQLQVTVADERPGVIAKDLAEQFSLQCNNYPNGLALLRGLFFWLQNVGQEEQFRPWSDEFKKYRQLNGETILLRVLDKYILFCFWDLVAVELHPRLAHAEIEDSGPHVVLEHPVVQHFADVVLKV >Sspon.03G0020900-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3A:64636289:64636627:1 gene:Sspon.03G0020900-1A transcript:Sspon.03G0020900-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKKSKRTSAPAPMEIPRSVVQPVGAPGTQPVQPASIPSMFGPGMWCPPRPPQCLPPSSAPYWFGGGIQQPGVAGSSAQQPGMAGSSTQGAWWTPAVAGIGGSRHSWPTADS >Sspon.04G0014270-1P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4C:57401534:57402238:1 gene:Sspon.04G0014270-1P transcript:Sspon.04G0014270-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GVYQTSIDAEQGKVTVSGLLDPDTIIRKLNKAGKPAQLWGSKAGVPQNAHHGGGGGGKGQPKDAGGKGHSKDAGGGKAQKGGAGGGNHKGGGGGGGGGGGGKDAKMVLPQPTPQQLQQLQMQGLKLPPQLLGGNMPAFTPAAPLKDPKSVKFALPEDNFDDDVSELDDEFDDFDDDEDYDDDGLDDDFYDDPKMMMKPMGAGGGDKKGGNGGGKKGGGGNEIPTQSKGNGQGHNG >Sspon.06G0005600-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:18993187:18993818:-1 gene:Sspon.06G0005600-1A transcript:Sspon.06G0005600-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MYFNELLPLLAKDGDDGNYAVTVDADLACLQKSTMNNENVSLSQVLSRRINYGRYVAEVKFRGDQQTYTSLIQAKDRDALMKLLTSEAQEDVVKRRVEKKAVVFGQSITLDEPIQTDVNNSSQANFKVDPSVVYKLYDQWVIPLTKQVEVEYLLHRLD >Sspon.01G0027010-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:95283047:95295379:-1 gene:Sspon.01G0027010-1A transcript:Sspon.01G0027010-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHSAYDAVELVADVPGNIEAVASHAGKLLIAVSDTEGFLWGSDCSLRIYSAPSPSSDSGGEIRWDGPYALERQEPRFWRRPPLAMEVSASRDLLISLSEWVALHRLPGLETVAVVSSKTKGANVFAWDERRGFLAVGRQKRLTVFRLDSGREFVEVKEFGVPDILKSMAWCGDNICLGIRREYMIINSMTGALTEVFSSGRIAPPLVVPLPTGELILGKIRSLRAPNALVQTVVLRDVQKLVQTDNCILAALSNSVHCLLPVPIGAQIVQLTASGEFEEALALCKLLPPEDSNLRAAKESSIHIRYGHFLFDSGSYEEAMEQFSDSHVDITYVLSLYPSIVLPQTNIIGEHDKLLDMPELARESSDVTDEMESYSLQLHEPDDKSPLEVKKMSHNTLAALVKYLQKKRSGIIERATAEVTEEVVSGAVHHSLKLSEPYKPKKLSKKRAQTHTSSIAREMATVLDTSLLQALILTGQSSGAIELLKGLNYCDLKICEEFLKERSEYMVLLELYKSNEMHREALRLLNQLVEESKSEMENTDFNKKFNPQMILEYLRPLCRSDPMLVLESSLYVLERNPSETIQLFLSENVPADLVNSYLKQHAPNLQSTYLELMLSMNDTGINPNLQNELVQLYLSEVLDWYKILKEEGNWTERTYSPTRKKLISTLESNSGYNTDLLLKRLPQDALFEERAILYGKINQHLRALSLYVHKLQMPERAVAYCDRVYEEREQQPSKSNIYFNLLQIYLNPRKAEKEFEQKIIPVASQYAGIQKASATKLKGGRIGKKVVEIEGADDIRFSPSGTDSGRSDGDGDDVNDVNDGGPIMLNEALELLSQRWDRINGAQALRLLPRDTKLQDLVSFLEPLLRNSSEHRRNYLVIKNLIFRANLQVKEDLYKRCQAVVKIDGDSTCSLCHKRVANSAFAIYPNGQTLVHFVCFKESQQIKAVRGANSVKLLFLFAMTSARP >Sspon.03G0006980-3P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:8511570:8513375:1 gene:Sspon.03G0006980-3P transcript:Sspon.03G0006980-3P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQHREESGASVMDKISDKLHGRGGGSSSSSDSDDERLSATAAVKAKIYRLFGREKPVHSVLGGGKPADLFLWRNKRISGGVLAGATAIWLLFEVMDYHLLTLLCHCLILTLAILFLWSNATTFINKSPPNIPEVKIPEDLAVNVARSLRYEINRGFATLREIGQGHDLKKFLIVIAGLWILSVLGSCCNFLTLSYIVFMVLYTVPVLYEKHEDKVDAFGEKAMVELKKYYAIFDEKCLSKIPKGPLKAKKQH >Sspon.02G0004160-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:12761207:12765940:-1 gene:Sspon.02G0004160-1A transcript:Sspon.02G0004160-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARASRSRGHALDLRGSRFLLLALIASLDARRRAERGKGGFFSFSCFVLSILRFLGVAMRRHGWQLPYHPLQIRCLSRASQVVAVSVFLALAFAFYVFFAPFVGRKVFQYVVMGLYTPLVLCVFFLYIWCAAANPADPGVFKSKKYLSLYGSGKHRHLKESRKGSSDAQLQLEGTGEKQEHEVAASSEKSMTQHKDKNSSCLSSTFSAFLLLFYPLSFVFSCCQSHEWSSEQQASEEGMFFCSLCEVQWLNNCIGRRNYRRFFILMSTALILLILQSAIGVLVLVLCFVERKEFSMQIVSKLGSSFSVVPFVIVVGISTYDYIIALREQEQDDLSGQQSPQMSRVSSYTGLSSTSSFGPLRRGSWCTPPRLFLEDQFDVIPSEAAASHNSSMKRKEDEGKRKKGSGAVKISPWALARLNAEEVSRVAAEARKKSKVLVPIRKDDYSRGHETDSSYGGMSSGRIDLGLDSKRRTNRRGRQPSDLSLKPVAKISTDAIDSTSSDMAPEAMSNLAPLQLEARSAFHPSRAASSVNVGGSSPDSSLDSPDLHLYRVSAVSSSGAEDLQLTALTAPGSTPQQGIQLSRSTSDGYEASGGEDSDRIPSRIVHRSSNWASIILNSDQSASSSGILVPKNRLS >Sspon.01G0004520-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:10621627:10626013:1 gene:Sspon.01G0004520-3D transcript:Sspon.01G0004520-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLTPGILLKVLKNINSDVKVCGEYRSILLQVISIVPAITGSELWPDHGFFIKVSDSSHSTYVSLSKEDNELILSNKLQLGQFIYVEKVQSSIPVPVLVGVRPVPGRNPCIGNPKDLMQMSTPSGVMEALDHQRKTSKSADLSESEKENLQRKVVIKEQKTVVASRYMLGVSSNSGKITNLNSSIDSDKSNGGSTISESNQKSVAPKVRQEAKPQERPNNTSPSNAKLVSTKQEISKDTRKNSGTSPSPNGSAVVKKQMPKESKKESATERKSPPKLYRSSPTPARTSPTKLSPPAKQNGNSGPVPSAATVKRRVTETISWDSLPTSLIKSGKAVVRRKNIALIVAAEAQREAAAAAYLVKGLGIFAEIRESSEVDPHAAITKFFQLHRLIVQQSAVWKAYSPEPSKESRPEKEKPSRKASASHHQNKAGTCNTAKNPEDAQGSEKTEWAREDGFKEICRSWIALKKESRSWFLSFLEDALESGFMFESQTKNTRERVRGQPKGGGDGRIAVRLSQLKETSNWLDQLQDEAVDSSPDGGLAETVEQLKQKVYKCLLGTVETAASALEGR >Sspon.02G0049210-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:35096685:35098020:-1 gene:Sspon.02G0049210-1C transcript:Sspon.02G0049210-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSESSLDEVDDGIIDPTEVFTMDDYIGLQNNMNSIVTQIATNIQATMGTPPPRCQSSTKRYIERNRELYNQILIEDYFSDNPRYPENMFRTRFRMRRPLFLRIVEALGEWSPFFTQRRDALNREGLSPLQKCTTAIRMLAYGVPADQTDEYIKIGASTTLLCLEKFAEGVIAKFGAHYLRRPNTEDGQRLLDVGESRGFPGMLGSLDWANNDITVVNQSPLFTERLQGCDPQVQYYINGRQYNMGYYLTDGIYPQWAVFVKSIKEPQSVKDKLFAAMQEGARKDVERAFGVLKQRFKIVPEPSRLWNQADITKIMQACVILHNMIVEDEKDMVEDFPDLNEAAGSSTATLPQFHRGSIPEFTQVIEKDAEIRNRTVHTQLKKDLVEHIWMRYGHRTHV >Sspon.03G0001610-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3B:12570557:12570901:-1 gene:Sspon.03G0001610-2B transcript:Sspon.03G0001610-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MACSVIPMMMPDEMFRRVNAAPPHQQRMTASVPVSAPEPAPEKLRLSDLDWIGDLGEGGLARVCKARHCRTGAVFALKLSFDPDPFVVEEEAEVLRRAAGAPHVVDFHALLRGPG >Sspon.03G0023370-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:89712240:89718976:1 gene:Sspon.03G0023370-3C transcript:Sspon.03G0023370-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear pore complex protein NUP35 [Source:Projected from Arabidopsis thaliana (AT3G16310) UniProtKB/Swiss-Prot;Acc:O04326] MSASRPDHRRHHPPFLRDLSSPISSSFRLPPASMRRETQASTPPPPPPLLSLDDLSHQSPSPQPAMPPQATATPSPQPPRGTGLFSSPMRSNGSPAPSAWWTPSREEKPREGSPMDGVVQHQQQSPTTPSGQQSQQQKVALITLPPPREVARPEMPRDSAPAAGRVDEEEWVTVFGFSPGDTNMVLREFEKCGIVLRHVPGPRDANWVHILYQSRHDAQKALAKHGHQLNSVLIIGVKPMDPWQRQYLNENTNENYQGSATVTFTSQPVAPSGFATRNALAPLPSNSVLNGSCNESSDCDPLASAPTWHRPLLANAVQRLAGPSAWWTPSREEKPREGSPMDGVVQHQQQSPTTPSGQQSQQQKVALITLPPPREVARPEMNRDSAPAAGRVDEEEWVTVF >Sspon.05G0015840-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:63511498:63512735:-1 gene:Sspon.05G0015840-1A transcript:Sspon.05G0015840-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPQPLLPLKSMALKCGVELGIPIAIHRLGGAASLPDLITSLSLLEDKLPFLHRLMRLLSSSGVFSVAEESAEAMAIYGLAPLSYLLVEGIAADGHINHAPFLLTMTSTRYIDLAMGLADWFRKDARRRLLIMCMERLCSRKVWSCWIPSTTRCQCKLQSTRIIPGLDSAETNEPLVVHDNFAVNIASREFSSIFQGIESLTDCCYHGDGTTAKAIAMAFPHKKVTVLDIPQETQKIPSDGVVNYVGGDMFKFIPPAQVVMLKEGGKVLIGDIVLDPASGTVYETQLLMDVCMMLMKGGRQRDENEWRDIFMKLGSVAIS >Sspon.06G0017080-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:93006058:93012817:1 gene:Sspon.06G0017080-1A transcript:Sspon.06G0017080-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDKRVDTVQMGSNLDGSLLRTSSSWWASRGSNAFRSSAREEDDEEVLRWAAIEKLPTYDRMRKGILTAVGGGIQEVDIQGLSMQERKCLIQRLIRIPEEDNERFLLKLHERMERVGIQNPTIEVRFEHLTINMEVYVGKQGVPTFTNFFSNKVMDALTALHIISSGKRPISILHDISGIVRPNRMSLLLGAPGSGKTSLLLALAGKLDSTPKVSGRVTYNGHDMDEFVPQSTSAYIRQHDVHIGEMTVRETLAFAARCQGVGTRYDMLTELSRREKHAKIKPDPDIDVYMKAISQEGQENFVTDYILKILGLDICADIMVGDSMIRGISGGQKKRVTIGEMLVGPANTLFMDEISTGLDSSTTYQIVNSLRQSVHILGGTALISLLQPAPETYELFDDIVLLAEGQIVYQGPRENVIEFFEAMGFRCPDRKGVADFLQEVTSRKDQYQYWCRRDEPYRYVSVNDFVEAFKAFHVGHALGLELEVPFDRTKNHPAALTTSKFGISKMELLKACFSREWLLMKRNSFVYIIKVVQLMILGTFAMTVFLRTKMHRDSIEDGVIFLGAMFLGLVTHLFNGFAEVAMSIAKLPIFYKQRDNLFYPSWAYALPTWLLKIPISFLECAVWTGMTYYVIGFDPSIERFFRHYLLLVLISQMASGLFRLLAAVGRDMVVADTFGSFAQIVLLILGGFLIARMFSVWDLVLFLQITSRYHGFGVTGPPSDVCPECHSGGDAINAGLTSQVDRTENNDTLGVQILKARGIFVDPNWYWIGSGEIARADTKKRGMVLPFTPLSITFENIKYSVDTPQEMKDKGITEDRLLLLKGVSGAFRPGSLTALMGVSGAGKTTLLDVLAGRKTSGYIEGDIYISGYPKKQETFARIAGYCEQSDIHSPHVTVYESLLFSAWLRLPPEVDLEARKMFVEEVAELVELMPLRGALVGLPGVDGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFEAFDELFLLKRGGEEIYVGPLGNKSCDLIKYFEAVRGVKKIKDGYNPATWMLEVTTLAQEDILGCNFAEVYRNSDLYRKNKNLVSELSTPPPGSKDLYFPTQYSQSFIIQCMACLWKQHKSYWRNPSYTATRIFFTTLIAFVFGSIFLSLGKKVGKRQDLFDALGSMYATVLLIGVQNGLTVQPIVEVERTVFYREKAAGMYSALPYAFAQVVIEIPHIFLQTVVYGLIIYTLIGFDWTVQKFFWYMFFMYFTFMYFTFHGMMVVAMTPNSDIAALASTALYAIWNIFAGFIIPRPRIPIWWRWYSWVCPVAWTLYGLVASQFGDITDVKLEDGEIVKDFINRFFGFTHDHLGYAATAVVGFTVLFSFMFAVSIKVFNFQIR >Sspon.08G0003710-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:10487121:10492497:1 gene:Sspon.08G0003710-1A transcript:Sspon.08G0003710-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQGEIPSEFSSLHNLKMLYLGANKLEGAIPPWLGNLSSLVSIDLQKNGLVGQIAESLGNLELLTVFSLSVNKLSGSIPHSIGNLHSLNKLFLHENGFEGSLPHSMFNLSSLEILTINDNNLTGVFPPDMGNELFKLKTFIISYNKFHGVLPASVCNASMLQYIEIISTFLSGRVPECLGAHQMNLSYVALVESEFEATNDADWSFMSSLSNCSNMRQLGLESNKLKGVLPNSIANFSIGMEFLSIEDNKITGTIPGGIGNLVNLEILVMGQNILLGAIPSSLGKLKKLNLLSLSNNILSGPIPETLGNLTQLTKLFLSGNHISGPIPSSLKNCPLEVLDLSHNNLFGSIPKELFFISTLSIYMNLSHNSLLGTLPSEVGNPKNLNEIDFSNNMISSEVPDSISDCQSLVYLSLSRNIIQGKIPVSLGTLRGLFRLDLSYNNLSGTIPETLATLTGISSLNLMFNKLQGRVPTNGVFQNATVVFITGNDGLCGGIPQLKLPPCSNHSTKKSHKKIAMILSICSGCIFVTLVFVVSSLHQKSQETKVGICCSDETPADRPSIGDALKELQAIRDKFQKHLYRKGGRHQLAEAFGDLRVNQLLPLSQKGGRRSFPRKKIADMGQQRRAQYPVYDMAWIRLQ >Sspon.06G0013660-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:70978159:70982002:-1 gene:Sspon.06G0013660-1A transcript:Sspon.06G0013660-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKAVVGDDAHLKAFEETLASSSSPPPQAQVGLVVGKLSASSDRALVYALLPTPLTDAAAPACSLRAAPKSKPSKGGGRGSSSSSSDASLDFDVEWIAEHARQVSRMLLGGMSVIGAYVWASEASFKATTPAVLSQVIRAISQACYGSACGERLLIHISYSPRRWACRICEVASASLRPCDFKYSKLLSSLQTFRCTYNFEIRLTSVQAEPFKKVILKAISHLTEEVQNARALVDGHLFSEDMNISTEGPHQVDFLVPFNNAAPVEECSLEGVAGLIRFVGSVSALAFSFPRRVLIPWMSGTYVCDYLQQSETAEDAMDRCKEVIALETAVESSSILESESAAPCSTLESFWDMVPGSRSGGQGGSSKPKDSHSSVQNDDSSKRQGGGNFNIVAALIVLLVALIAGL >Sspon.08G0001060-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8B:993045:993474:1 gene:Sspon.08G0001060-2B transcript:Sspon.08G0001060-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSASGISLLLAQPPPPAYGTSLWRGGGAARAPAPARLAATAARAAHPLAANAKRRMLRFTSVSVRCVGVGGTEALRSDAAEPAVPSSVPRSVPVRVAYELQQAGHRYLDVR >Sspon.07G0023400-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7B:15735125:15735951:1 gene:Sspon.07G0023400-1B transcript:Sspon.07G0023400-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VTPNILVSLHADFARPSSWQWLSSLSPICFQALLFHLCSVAKPSSHHAIRPSLTNDFHRLHVMSQGSFPMASAVCHPSPSSDEPITALVEGTRRLAEEMDRFVVMFNRYRAHLEKSEHELQAAVRLQAAARGFLVRHTTRKMRAAINPAPSTIPSTTSLGVAATHNVQPALLTGMVVPTSGRGSPKSSRLDALDMAPPPAPAVSSVLSPVLEDIVNFIDRYPSFQLEDELLVKGGEMSCGAAGDRLHAARMVS >Sspon.03G0004620-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:11743779:11766849:1 gene:Sspon.03G0004620-1A transcript:Sspon.03G0004620-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding NPVNTNVVENIVRALALVVASIQVASDSSEETLAAVGGMFSSKAKGIEWSMDNDASNAAVLVASEAHTITLALEGLLGVVFTIATLTDEALDVGELALLCMAMVNSTWLTILDSLSLILMRSGHSLNACGVLRAIEPLNSFLASLCKFTINNPNDGEKKSILQSPGSKRSETSIDQRDSIILTPKNALRTLFNVAHRLHNVLGPSWVLVLETLSALDRAIHSPHASTQEVSASVSRLSRDTSGQYRVEPIWDQIAAHHLEGISSAPHQFQESHLVKESETVSFEYAVLSPLVILYSSNKNVDVQMGALKILLHVLERHGEKLSYSWPSILHMLRAVTDASEKDLISLGFQSIRVIMNEGLATIPVQCLHECILVTGAYGTQKTDINISLTSVGLLWTATDFIVKGLISKPIEQASHMNEDVQSGGTIKEANSKQVPPKQIVDYIFSVLQKLGSDDRPEVRNSAVRTLFQTLSTHGQKLSKSMWEDCLWLYVFPMLEHVSHLASTSSRDEWQGKELGTRAGKAVHMLIHHSRNTAQKQWDETIVLVLGGIARLLRSFFSYLQQLRKFSSGWVLLLDFIKNSILNGSKEVALAAINCLQTFVGANCPKGNLESSYVKSVLDIYELVLQTSPNFKNDSSDKVKQEVLRGLGDLYVQAQSLFNGDMYLGLMAIMHLMIKTTLNPTDYDSELGSIPAVQRGILEIIPMLRPTAVLSSMWTPLLLELLCYLNGHEGPLQKNSKQIHDRNSQALVDGAKQSLVERSNLNGGGTKLDMVGSGWGILFVEKLVPIIVNLFLEAPPNERFSASPEVIQGLGRCMNTRRDNPRGTLWRISAECFNRVVTDEVRQDNADCKSDVNSYKLSRARFWKEVADVYETFLVGSCGRVLSSDVPSADYITADETLEMSVLTVFGDDILKLQKDAPVEVLQRLVNCLDHCASRTGSLPVQTVGLLPVHCSRFSLSCLQMMFSLCSCIAKATLCAAASETSKVSISILMKRCEIILGQFLADENDLGEHPLPSVRVEETICVLQELARLIIDIDAANALNIPPYLKQALGENKSHGRAHLLSLLPTVSELVVS >Sspon.05G0022600-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:3656948:3658018:1 gene:Sspon.05G0022600-1B transcript:Sspon.05G0022600-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AAMEQRGEKEEHSSQESSDHRRKCKDDAPAAAAVQEGVHEESTDQRDDGGYEAETAGVIKEEQVEVVEEEASAPLVAHPCSLLQFLLPLRACAGCLVGLLHGYCSDNSDPNPAADDVAAVADAESSPQEGEGGGDDDDDDKAAVQEVATQVSAPPPPPDPPKEGSGGHGGSH >Sspon.03G0019610-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3B:83418940:83419461:1 gene:Sspon.03G0019610-2B transcript:Sspon.03G0019610-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVISAEPPPPPPPDPVAADAAAVEAAAATSNARQAAAFSSFPSLKTWGGHRALRCAHVNRAGDATATATATRRSPGKLAVVEEKALSHLHEVEAGSSDAADADHVAAAEEEAAPALPPWKLRTRRRPKPKVAAPSASMSPPHERRPSRACAEALDRARFSVTLTSEEIEEDIYA >Sspon.01G0036380-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:18302134:18304943:1 gene:Sspon.01G0036380-1B transcript:Sspon.01G0036380-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DAQPSRNMNTGKCLKDGKRKGSGEDRSSLHSLDEASALLQREVSMECADEKAGDAGAKREDYVHVRAKRGQATNSHSLAERFRREKINERMKLLQDLVPGCNKITGKAMMLDEIINYVQSLQRQVEFLSMKLSAISPELNCDLDLQDILCTQDASSTFPGYNLQASNVHLNLYRASQEGFSHRIIPNPENVHVTRNAQLSAFPQWRSHCLGWLARLVAKV >Sspon.08G0025100-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:6008722:6010088:1 gene:Sspon.08G0025100-2D transcript:Sspon.08G0025100-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRTPLRSSSRFSRPARPSSRRSSPTSSSRTHRRSRRSPCTAASSRSDTSPSLPVLLRLLARSRRHAHLSFPLLESLPSTHPHLLSTPALAVLLSTALSASAPGASFDAAVTCFDSAARVWTRAGRAFTAAELNALLRAFCARGRVAEARALFHRYCDAYPPDTRTFNTLLLGFKEAGHAHALDLFYHDAVLRGFVPDAVSYCVRMDAYCKKGRFLDALELLNEMRNKGDCKPTLQVFTTLIYGAGIVRSAARARHLFDEMEKWGVTPDRGAHNALMGAYVRARDLQSAMAVMSDMERKGIGLDDVSYNTMLCGFQRVGDLEGIWKVYSKMVSSGFMPRTRTTMLLMKVFCENGRPDLGLELWDYLMGKGCVPHRHALDILVTGLCCRGVVSEAYRCFREIIEMGMAPTERAFRVLEGFLRQTREYGKVEEIRQMMKAVQLEGHQIEEEAA >Sspon.03G0014700-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:46856076:46864362:-1 gene:Sspon.03G0014700-1A transcript:Sspon.03G0014700-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosome biogenesis protein BOP1 homolog [Source:Projected from Arabidopsis thaliana (AT2G40360) UniProtKB/Swiss-Prot;Acc:F4IH25] MGHMDGEHEHEHEGDDLSADDSSWSDGVWSEEDDEESLSFEDSGEGSGSDGEPDEAAAAEESDSSEDEVAPRNTVGDVPLEWYKDEEHIGYDIDGRKIKKRDREGRIEAYLRNADDAKNWRKIYDEYNDEEVQITKDEAKIISRLLKGKTPHTNVNPYPDYVDWFEYEDKGHPLSSAPEPKRRFVPSKWEQKKVVKLVRAIRNGWIKFDKPKEERNLYLLWGDETDTADNKRHGLSYIPPPKPKLPGHEESYNPSIEYIPTQEEIDSYQLIFESLRSVPAYEKALREGFDRCLDLYLCPRTRKKRINIDPESLKPKLPSKKELRPYPKTCYLEFKGHTSLVKSISVEITGQWLASGSCDGTIRVWEVETGRCLKVWNVGGDVRHIAWNPSPDRPILAAVVGHDLLLINAEVGSEEMQMRVKDLLKIDEMAPQDDTDDKKPAVRWMKHEKLDGITLVHQKLTKKHSHHPFRKLPGLPVAATFHPSQKMFFVATKKFVQVYDLQKAQLVKKLESGLREISSISIHPGGDNVIVGSKDGKLCWFDTDLSTRPYKTLKIHSKDITSVTFHRKYPLFASSSEDCTAYVCHGMVYSDLNQNPLIVPLEILRGHSSSDGRGVLDCKFHPKQPWLFTAGADSVIRLYCD >Sspon.02G0018050-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:68668287:68674321:1 gene:Sspon.02G0018050-3D transcript:Sspon.02G0018050-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dolichyl-diphosphooligosaccharide--protein glycosyltransferase 48 kDa subunit [Source:Projected from Arabidopsis thaliana (AT5G66680) UniProtKB/Swiss-Prot;Acc:Q944K2] MATRRHVLLLAAAAFASLLALAAAEGAEEGPRGRRMLVLLDDLAVRSSHSAFFGSLQARGFDLDFRLADDPKLSLHRYGQYLYDGLVLLAPSTPRFGGSVDQNAVLEFIDAGHDMILAADSSASDLIRGIATECGDPEAMVIDHINYAVTDVEGDHTLIAGGDLIQSDVILGSKKIEAPVLFRGIGHTANPSNSLVLKVLSASPSAYSANPKTKLSSPPSLTGSAISLVSVMQARNNARVLISGSLDLFSNRYERAGNEQFVTETSKWVFHERGHLKAVNVKHHKVGETNEPGMYRINDDLEYSVEIYEWSGTSWKPYVADDVQLQFFMMSPYVLKTMSTDNKGLYSTSFKVPDVYGVFQFKVEYQRLGYTGLSFTKQIPVRPYRHNEYERFITSAYPYYTASFSTMGAFVIFSLVYLYHK >Sspon.02G0028840-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:104845553:104850333:1 gene:Sspon.02G0028840-1A transcript:Sspon.02G0028840-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAPAPMLLALLTAALLSPAAAAVRCPEECGVVKIPYPFGIGRGCYLETAGDGGDEPFNVTCSNLDADGALQPGPIPKVAGLQVLDIDVAGGRMRVYSWVSSRCRNYTSPSTVDENIWGYDSATFRVSGTENVLTVVGCDVLAYIGTQERGVENRYVAGCNASCPRGGVRRPPSSMMANNGGGISACDGTDGCCQTTIQRGIRSFVPSFVADGEDRPDGSGSGGSPCRYAFLVEREKFKFRTSYVSNRELAGAAGKRLPLVLDWAVGNKSCLVAQKDKATYACLSDNHECVNSTNGPGYLCKCKTGYRGNPYRKNGCECEFSFSSSSSSSSSSSSSSSSSVGVVVLAVVVSSTYAAQEKKRLAAIKKRYFRQHGGLLLFEEMKQSRQGQGSSPFTLFTEKELEEATDRFDERHVLGKGGNGTVYRGDLRDGRAVAIKRCRVADDERQRRELGKEVLILSQVNHRNIVKLYGCCLEVAVPMLVYESIPNGTLCELLHGGDRARVSPSFAIRLKIAQEAAEALAYLHSTASPAIIHGDVKSANILLDENYNAKVSDFGASVLAPSDEAHLVTLVQGTCGYLDPEYMQTCRLTDRSDVYSFGVVLLELLTRRKALCLAAPEKERSLAAHFLSSVRDGRLDALLDAGIRDEVGGEVLGMVAKLAKQCLDMSGEKRPPMREVAEELDRVRKLWRQRCFGEVAVLVSDR >Sspon.03G0020500-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:86148122:86151281:-1 gene:Sspon.03G0020500-2B transcript:Sspon.03G0020500-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKALLSSSLLPALPRATGGSRSRPLLLPPLRLRRGRRAASACAVRAGLHGLDSVGGPHLQAALERAEAALYTLADAAVAAADAAAGAGAGGDAGEAAAAAQKNGGWFGFISEALEVVLKVLKDGLSAVHVPYAYGFAIILLTIIVKAVTLPLTKQQVESTLAMQNLQPQLKAIQQRYAGNQERIQLETARLYRQAGVNPLAGCFPTLATIPVWIGLYQALSNVANEWPFGLSVFYGMKGLFTEGFFWIPSLGGPTTIAARQSGSGISWLFPFVDGHPPLGWHDTICYLVLPVLLVASQYVSMEIMKPPQSDDPSQKNTLLILKFLPFMIG >Sspon.01G0056160-2D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1D:86288176:86288490:1 gene:Sspon.01G0056160-2D transcript:Sspon.01G0056160-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSWKRKSGGGGESPVRGADEEKVVVQRGHVPMLLAGGDGGDGERVLVPVPLLSDPSVAELLDMAAQRYGYGHPGVLRVPCDAGHFRQVLDGAMHRCRGISSSA >Sspon.06G0010490-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:71115709:71121661:-1 gene:Sspon.06G0010490-1A transcript:Sspon.06G0010490-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALTPSVCSINDVQGLQKDRTFHPSLWGDFFLTYQPPTAPKRAYMADRAEVLKEEVRKIVKSAKEIQNILDLILTLQRLGLDNHYENEIDELFSFVHDSDYDDKDLNLVSLRFYLLRKHGYNISSDVFKCFQDKEGNFVAKDTKSLLSLYNAAYLRIPGEQVLDDAIIFTRGQLESVLDSLETTLADEVSLALQTPLFRRVRILETRNYIPIYEKEVARNQFILEFAKLNFNLLQLLYCLELKKVTLWWKQLNVETNLSFIRDRIVEMHFWMTGACSEQKYSLTRAITTKMTAYITILDDIMDTHSTTEEAMLLAEAIYRCEENAAELLPEYMKDFYLYLLKTFDSCQDELGPNRSFRLKILVRGYSQEIKWRDEHYVPETIDEHLDVSRATVGAFQVACSSFVGMGDIITKEILDWLLSYPELLKSMTTFVRLSNDIASTKREQTQGHHASTVQCYMLQHGTTIHDACKKIKELTEDTWKDMMKLYLTPTEQPKVIIQTVLDFARTAEFMYKKTDAFTSSHTIKDTIALLFVEPILI >Sspon.03G0029220-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:40857111:40860308:1 gene:Sspon.03G0029220-1P transcript:Sspon.03G0029220-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTKQTARKSTGGKAPRKQLATKAARKSAPATGGVKKPHRFRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSSAVAALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRILNQELRHGADLCVEGMKITALLVLKPSTSGAGSSSSGGESGPEAVVLANATDVSHFGYFQRSAAREFIVFVARTVAQRTQPGQRQSVQHEEYKVHSHNRNGLCAVAFMDDHYPVLDEYQKAFGDSWKSATADGTQEWAFLTDALTKFQDPAEADKLMKIQRDLDETKIILHKTIESVLARGERLDSLVEKSSDLSAASQVIL >Sspon.01G0017760-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1A:65766868:65771019:-1 gene:Sspon.01G0017760-1A transcript:Sspon.01G0017760-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding QPVKSPVTICGDIHGQFHDLVELFQIGGKLLVALKVRYPQRITILRGNHESRQITQVYGFYDECLRKYGSANVWKIFTDLFDYFPLTALVESEIFCLHGGLSPSIANLDSVRSLDRVQEVPHEGPMCDLLWSDPDDRCGWGISPRGAGYTFGQDISEQFNHTNNLKLVARAHQLVMEGYNWAHEQKVVTIFSAPNYCYRCGNMASILEVDDCRNHTFIQFEPAPRRGEPDVTRRTPDYFL >Sspon.03G0002920-3D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7D:14011677:14013116:-1 gene:Sspon.03G0002920-3D transcript:Sspon.03G0002920-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPHTSRSARSSRQSYVYRYQIVGQRGTLWWHAHISWLRATVYGPIVILPPDGVPYPFPAPDEEVPLMFGEWWRNDTEAVIAQALQTGGGPNVSDAYTINGLTGPLYNCSAQDTFKLKVKPGKTYMLRLINAALNDELFFSVANHTLTVVDVDALYVKPFTVDTLVIAPGQTSNVLLATKPTYPGASYYMEARPYTNTQGTFDNTTVAGVLEYDEDPSSPSQNNNNLPVFTPTLPPINDTSFVANYTAKLRSLASAEYPAAVPQDIDRRFFFTVGLGTHPCAGVVNGTSCQGPNGSRFAASINNVSFVLPTTALLQAHFAGRSNGVYATDFPAFPLTPFNYTGTPPPNNTNVMNGTKVAVLPFGATVELVLQDTSILGAESHPLHLHGFNFFVVGQGFGNFDPANDPAKFNLVDPVERNTVGVPAGGWVAIRFRADNPGLIICTGIILA >Sspon.06G0024650-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:56681978:56683625:1 gene:Sspon.06G0024650-2C transcript:Sspon.06G0024650-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding FMLGTSAEKHTTVMGHDHISRIQMHMAEGSFADRNRCQQPGCSEVVDGRVVYCKTHSGEFSCQQFSHLHSGMASSGLSVPPVNDSQFKGSISIAVPCTEQELHVKHEGDDRGKLKDSSGCTSGQTAQLVFSGAGLLCKNENCSKQAHENSIYCKLHSGVSKGCMVRGCTRGAHGGTPLCIGHGGGKRCVFPQCPNAACGQGRSDRCVRHGGGKRCKFEGCAKGAQGNTDYCIRHGGGRRCKFEGCTKSAQGRTDFCIKHGGGSRCKFQGCGTSAKWGTDFCSVHRKSLLGGDNDVPEALPAPSEKRRRAKKPKKAAKPSETSQENVTTAAIAGNSTQHMGVLLIATPVTNRDILAKGVAVAGQAAIAPTQIVAPLSMKSPTPSGSVATAAEREAGASREMLG >Sspon.06G0027960-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6C:317333:317593:1 gene:Sspon.06G0027960-1C transcript:Sspon.06G0027960-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVQLNVASVKAIAERHARAVDARLPPLVVAAAATAAAGLSSAGEGASALLDDNTDGMDGENLVTRAVDERTLCSIDAEEVAGESTD >Sspon.07G0015650-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7B:60187670:60189353:-1 gene:Sspon.07G0015650-2B transcript:Sspon.07G0015650-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEETNVKKEEEEFSTGPLSVLMMSVKNNTQVLINCRNNKKLLGRVRAFDRHCNMVLENVREMWTEVPKTGKGKKKALPVNKDRFISKMFLRGDSVIIVLRNPN >Sspon.03G0014960-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:70473542:70474480:1 gene:Sspon.03G0014960-2B transcript:Sspon.03G0014960-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VSVDLIDGGSTVVKGKNIVIATGSDVKSLPGITIDEKKVVSSTGALCLSEIPKKLVVIGAGYIGLEMGSVWNRLGSEVTVVEFAPDIVPSMDGEVRRQFQRMLEKQKFKFMLKTKVVGCDTSGDGVKLTLEPAAGGEQTILEADVVLVSAGRTPFTSGIGLETLGVETDKAGRILVDKRFMTNVKGVYAIGDAIPGPMLAHKAEEDGVACVEFIAGKEGHVDYDTVPGVVYTHPEVASVGKTEEQVKDLGIAYRVGKFPLLANSRAKAIDDAEGVVKVIAEKETDKILGVHIMAPNAGEIIHEAVLALQYGAS >Sspon.07G0009090-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:21952395:21965769:1 gene:Sspon.07G0009090-4D transcript:Sspon.07G0009090-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRWWSPASAAVEPRSVQLLLLGVALVAASFYAGTLFGSSASPALVLAPSRPRSPDSFSAKDVPVFTNRVSRKYRAKPVTVPDNGVDVCPLEYNEYVPCHDAAYIRSLKNLDRSRHEDLESICPPREKRLFCLVPPPNDYKIPIRWPTSRDYVWRSNVNHSHLAEVKGGQNWVHEKGKLWWFPGGGTHFKHGASEYIERLGNMTTNSIGDLRSAGVVQVLDVGCGVASFSAYLLPLDIQTMSFAPKDGHENQIQFALERGIGAMISVLATKQLPYPESSFEMVHCSRCRVDWHENDGILLKEVDRLLRPNGYFVYSAPPAYRKDKDFPVIWEKLVNITTALCWKLIAKHVQTAIWVKPEDESCRQRKADMKLLNICESNDNVSPSWKIPLMNCVKLNKDKSNIQKLPSRSDRLSFYFKSLEMIGVAPERFEKNNQFWKNQVHKYWSFLGIEKTSIRNVMDMNANYGGFAAALSSDPVWIMNIVPHTMTNTLPVIYDRGCEPFSTYPRSYDLLHAFHLFSHYEGRKEDCLLEDIMLEMDRIIRPQGFVIIRDENHTLSRIIDLAPKFLWDVTVHMLKNEESGTDQVLFCRKKFWAIAMGEPKPRYT >Sspon.01G0032980-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:111901791:111905346:-1 gene:Sspon.01G0032980-2P transcript:Sspon.01G0032980-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:U2 small nuclear ribonucleoprotein A' [Source:Projected from Arabidopsis thaliana (AT1G09760) UniProtKB/Swiss-Prot;Acc:P43333] MVRLTADLIWKSPHFFNAIKERELDLRGNKIAVIENLGATEDQFDTIDLSDNEIVKLENFPYLNRLGTLLVNNNRITRINPNLGEFLPKLHTLVLTNNRLTNLAEIDPLASLPKLQFLSLLDNTVTKQPDYRLYVIHKLKHLRLLDFKKVKQQERVAAAKKFHSKEAEEEAKKVPAKTFTPGLPDAQDITMEPQGPKVVAPTPEQIMAIKAAIANAHTLEEAARLEQVLSTGQVPAEFAVPKPDANMAEASEGAEKMDTDGQNQEGEADGQKQDDESTPIQED >Sspon.03G0022880-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:69913005:69918107:1 gene:Sspon.03G0022880-1A transcript:Sspon.03G0022880-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARDDGGALVPAPAPAPGQVYLPAWRRAYDRLVKMLREAYRQAEELSVEREHLITEIEFLQSSRREREEIYQVRIQKIYKNQELCKRVADAETAVSLGGKELQIHCYQNLAELAEDDLEDFKSCISNLAAENTELKEKLKKLESQVETSTENSDHQKSGKDVREEIRKLKKAYKILRSEKDKEISELQAENKFVWNQLKTMEKDYSGTLKSKNVEVKQATEAAQKKDDEIVRLQVEVTNAKERMSILEDELQKLRCLVKGKDLETDKNEDGQPETSRLSKKDTNKANRKSKSTRTSQVTPDISRTSQVTPDRREVKTTTRMRASETNQKRKRSSFKSSLSCGNQRCYTRPLQVKAGVSPMLLPPNFTVPRLKTPTHP >Sspon.02G0006680-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:20102516:20102872:-1 gene:Sspon.02G0006680-1A transcript:Sspon.02G0006680-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DGKLLPREASSRWRRQCRRRALRQGEPRVGGGQRRGGHEGGGGAQGRGPAGGNHGGEDQDHEEAAGGAAAARRGRQARRRQRRARPGGHLRAPVRSQQQLHLPAQGGPVAAVAADHTRV >Sspon.02G0050000-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:47152648:47153422:1 gene:Sspon.02G0050000-1C transcript:Sspon.02G0050000-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGRRLVDGLEDLCLPSSLWPGVELARMQLTTTWVEPAHAHLAPAYPVAMAGAELAYVQSRNGRDRTPHVDNDPFCQAARRYVLFLDVERVDLGLLQWDPSAAARPACMRVGVEEAPRCGRVTRSSVGHGPGTGHGAGMGHGAARAPT >Sspon.01G0022750-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:80154332:80159220:-1 gene:Sspon.01G0022750-4D transcript:Sspon.01G0022750-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKRSKKSKSKRVTLKQKHKVLRKVKEHHRKKRKEAKKEGKAGQRKKVEKDPGIPNEWPFKEQELKALEARRAQALQELELKKEARKERARKRKLGLLEDEDIANLASAASAQGSEFAAKDAAKENAPLAVAKSNDHSERSFYKELVKVIEASDVILEVLDARDPLGTRCIDMEKMVRKADPSKRIVLLLNKIDLVPKEAVEKWLTYLREELPTVAFKCNTQEQRTKLGWKSSKLDKTSNIPQSSDCLGAENLIRLLKNYSRSHELKLAITVGIVGLPNVGKSSLINSLKRSRVVNVGSTPGVTRSMQEVQLDKKVKLLDCPGVVMLKSSNSGVSVALRNCKRVEKMEDPVTPVKEILSICPHEKLLSLYKVPNFSSVDDFLQKVATVRGKLKKGGVVDVEAAARIVLHDWNEGKIPYFTLPPKRDAGEDSDAVIITEDGKEFNIDEIYKAESSYIGGLKSIEEFHHIEIPPNAPPGIDEEMLEDGKKPSDPVQESREEQMSDVNDREGSKAASASTQNDKLYTAEGILDPRKRKAEKKRRKANKFSVLNDMDADYDFKVDYQMEDASAADENSESNGAHADDEDGGDEAKDNELMTGVDDA >Sspon.03G0016300-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:52073508:52077386:1 gene:Sspon.03G0016300-1P transcript:Sspon.03G0016300-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MWALRRAGNPLRFHAQKAVSARGCASLEVLLTADAKNVEEHHGADCQKACCCHKPKPPAFQLSFSSGQFAWSRGFSSQPGENSGDKDDELEDGFSDLEVPPEAAKNDAGLTSEDSSDEDAADELGLPDVDADAKPEKEYIKRTPGSIFLKTVLEAPRHQLTSVLEKWAKDGNAFDRGKLYYVLLNLRKRKWYSKALELVEWVQKSQLLELVERDYAARVDLTAKVYGLHKAEQYIEKIPASHRGEVVYRTLLANCVAAANVKKSEEVFNRMKDLGFPPTTFSFNQLLLLYKRLDKKKIADVLTMMEKEDVKPSLFTYKLLVDAKGLVGDIEAMEKVVESMEKDGIEPDLMFNATIAKHYIFNGQREKGETLLESMEGDDIQKNRAACKILLPLHAFLGNSDDVERIWKVCEDNTRLDECLSAIEAFGKLGDVEKAEKVFEDMLMKWKTLSSKFYNSLLRVYADQNLLDKGKELVKRMDENHVKFGTSTLDALVKLYVDAGEVEKAESLLHKLSLKNYIKPNYSSYMKLLDSYSKKGDVHNSEKVFNKLRQIGYTGRIRMYQLLLHSYLHAKAPAYGFKERMKADNIFPNSALVTLIAATDPFVKKKSISDLLD >Sspon.02G0028340-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:103250104:103251626:1 gene:Sspon.02G0028340-1A transcript:Sspon.02G0028340-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AMFWRLKCCRQLHRARSELPTVLAGSSWQRRLTKRDEFEVRTRGYSSQRRVYHQCSSGAAGACGTPGNRVTVLTIDGGGIRGLIPGTVLAYLEAELQKIDGPEARLAHYFDYIAGTSTGGLITAMLASPAREDRRRPLFAARDINPFYLKHGPGIFPQKWSSLASSWWGPKYDGAYLRAVVREELGETRVGDTLTNVVIPTFDVKLLQPIIFSTYQANKTLLKNALLSDVCVGTSAAPTYLPAHYFQTQDDDGGKREYNLIDGGVAANNQTMVAMTMITEEEIIAKEKAAHFLLKTAEEDCGRFLVLSIGTGLTSDAGLYTAEACSRWGNLGWLRSKDKKPIIDIFMAASSDLVDIHVAVKFKLFHSERNYIRIQDKDSTLCGAAAAVDAATPENMRNLVAVGKRMLEQPLSRVNVETGRYEEVTGKESKSNAQALKQLAGDLSEESKARDKRRKTGLAS >Sspon.03G0030250-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:60254409:60257430:-1 gene:Sspon.03G0030250-2C transcript:Sspon.03G0030250-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPCARSVLRRRGLASSVLRRCGGEGECSTGAGELVANARCSSTLAALGGGGRVLERGGRWAYPQTGVIGAGRMARTQTRCFLGCGDGEEGGVLSKVYEERRVMGYSPEQMFAVVAAVDLYEDFVPWCQRSRIIRRHDDGSFDAELEIGFKFLVESYVSHVEMEKPRYIKTTASESGLFDHLINVWEFKPGPVPGTCDLYFLVDFKFQSPLYRQVASMFFKEVVSRLVSSLNDRCFRIYGPAVPVLENTYGQGR >Sspon.03G0021760-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:52643746:52644955:1 gene:Sspon.03G0021760-4D transcript:Sspon.03G0021760-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAYAPMVSQVMKSSLVHSRPRGLSGAALTRRPRFTVKAIQPEKATYQVVQPINGDPFIGSLETPVTSSPLVAWYLSNLPAYRTAVSPLLRGIEVGLAHGYLLVGPFALTGPLRNTPVHGQAGALGAAGLVAILSVCLTMYGVASFNEGAPSTAPTLTLTGRKKEADKLQTADGWSKFTGGFFFGGISGVLWAYFLLYVLDLPYYFK >Sspon.03G0001680-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:4233511:4238254:1 gene:Sspon.03G0001680-1A transcript:Sspon.03G0001680-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGGSGPAPNAARTADEVFRDYKARRAGMIKALTTDVERFFKLCDPEKENLCLYGYPNETWEVTLPAEEVPPEIPEPALGINFARDGMNEKDWLALVAVHSDSWLLSVAFYFGARFGFDREARRRLFSMINNMPTIFEVVTGAVKKQAKEKTPNSSSKSNKPSSKVQSRAESRSKAKVPKDEEESGDDDGDEEAEEHDNTLCGTCGTNDGKDQFWICCDNCEKWYHGKCVKITPARAEHIKQYKCPDCTNKRARA >Sspon.02G0031720-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:90029316:90036948:-1 gene:Sspon.02G0031720-4D transcript:Sspon.02G0031720-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ubiquitin-specific protease 8 [Source:Projected from Arabidopsis thaliana (AT5G22030) TAIR;Acc:AT5G22030] MPAPPDPPPLPAPAPDPDPSDPAEAAPPAAADEEEDDRVFLVPLSLARPPDPGWRAGRNGTALLTRCDGRRWWKEAQQGSAIDVPALPYAAAPAGPTSYGMRVLSMFLSDQTYTLRRADELLEQTTASARSYALLPADFFAKARDWSASKAQSKKSGVALWPSSGWMAAESKKNLVLPLRMYIDSAKSAGKNMSPAGDSVNIYPIMLRVSATRGTYTLTVKIGKKDNSTENFKRANKILTADSEPVHIWDFSGRTTYIVMTELNRSPHDSKSADQEMPLQIHIYDLSEPMVNGSDGKRDELALTLGGSSFSNGGTVNMDLDSSSGSSKQVGSGLTGLDNLGNTCFMNSAVQCLAHTSKLVNYFLGDFHKEINPHNPLGMKGELACAFGDLLRKLWAIDRTPVAPRQFKARLGRFAPQFSGFNQHDSQELLAFLLDGLHEDLNRVKCKPYSEAKDSDGRPDEEVADEYWGNHLARNDSIIVDICQGQYKSTLVCPICKKVSVTFDPFMYLSLPLPTTTMRTMTITVFSTDGTSGPSPYTISVPKSGDTRTLINTLSNACSLRDDERLLVAEVYNNSLIRYLDEPSEVISLIRDGDRLVAYRLPKENEDAPIVVFRNQRMESSLANFGRKSWKTFGTPLVSSLPDTVTGSTICNLFLKVMAPFRVSRDDVADADKTIGESSLVDETADASMSSDASEPTTINNNSVEDETGTEDIPRPTHEDKMDLSITVKDPHKRLHVAVCWEDNGLDQYDLNSLDSLPEVYKAVLFSRRPQDTCSLYACLEAFIKEEPLGPEDMWYCPGCKEHQQASKKLDLWRLPEILIIHLKRFSYSRYTKNKLETFVDFPIHDLDLSKYIGHRCQDVPHNYRLYAISNHYGSLGGGHYTAYVYHEGKKGWYDFDDRHVGPITEDSIKTSAAYVLFYRGYRRID >Sspon.02G0003690-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:13304018:13305971:-1 gene:Sspon.02G0003690-2C transcript:Sspon.02G0003690-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIAETAARKLLHGGAAGTIAQGVADVGGISFGLWEMVTGFFADVLSYLFAALAGAAHLLVLPLEVLWQSLATGLDGLWQHVTGFFAHIFAAIAGKAHLLVLPLETLWQWLATTMTDAADAISSGLDGLWQHVAGFIAHISAAIAGAAHQFVLPLETLWQWLATIMTDAAGAISSGLDGLWQLVAGFLPGAWAAVAGAAHQIPQKLAELWRWIQAAAPVALPYVLGVAAVVLVVALVWFCWSPLFAASVGVGQALVTAACYFVKGLLYVGAAVQRVFIFLLPPCMQCLIQCAVVTMKAPGAAGMLISRAAFVAAPALYFVILRAAPPIVTATVFCSKTVAVLVAAPVAALFSTRELVCALSWPRTARRHSQAPPLAEVCPPLTHFSPELRSLPVSSTLTPRAPPPSNSTCHRGLPAAEIRLKAVVKLPSSSLVELHPPWQRSSSPRRPSGFGPHSRGRVPLVVEVRSPWFGSHGCGRGPVTSSPLLPHL >Sspon.01G0014410-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:40724730:40728274:-1 gene:Sspon.01G0014410-1A transcript:Sspon.01G0014410-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIEELPGDPGGGRGGEGGEDELLLPRREDGDAAEVESGVVMRVAVDAKRAAVGVGARMLFYPTLVYNVVRNRFEEHFHWWDQIDEHVLLGAVPFPSDVLRLKALGVCGVVTLNESYERLVPASLYEAHGIENLVLPTRDYLYAPSFVNLCEAADFIHSKNLLRIHIELLQDMKVQYKNMTPAEAYEHVRLRRPRVLLAPAQWQAVQEFYQLRVKKTGRSSRLDNPLIKPPLFLATHNL >Sspon.02G0026480-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:96565963:96566957:-1 gene:Sspon.02G0026480-3C transcript:Sspon.02G0026480-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VPMATYLNAPPAPTTTAASYCHAFSGGSLRLLRPSRPALHVTCQASILLLPAAAVPVQEVSLRGPRPQLRVRRPAPPTCRRRRNRRRRRGAGQQRLVAHRVPLAHAQDRCRGDQQRRDRDAFLHQERHLHGGHRPQLRGARVPAAQHGLRPAGAGGPARQGRLQLRQQVPPPQVHLHVLRLRQAHLRRRRQAPLRRPRQPPLRQLRDAPGGRHRQGRRQDQRPLRPDQAHPPGAHGQDGLAKPCHNSFIYMMMMMY >Sspon.01G0005750-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:13558949:13562665:-1 gene:Sspon.01G0005750-2B transcript:Sspon.01G0005750-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPTTVTLLQACAVLLLCCRLSPAAAASASPGDVGGGGARRVLHQPLFPIGWTPPPSPPPPPAPDFTSDPATPDGPPADFFPPAPPTAPAGGGGTTTTSSTPTTVAANVPTASSGSGDGGHHGGPAKGTIVAAGAAAAAAIALLAFACAFLTAGRARRRGDSQKLLGPDRGSARHHSAPSAADFLYVGTVEPTTPGRHHGPTAADLVGSPYRKLRSERARRGVGRDEPTDHPSPELRPLPPLRRAATVGSSDDDAYYTPRQRSGGGGVGGETWSEASASSPPTTTTASRRSLPSLTSDCFPPVAAIAAPTPPPARSRRTPPRTRFSAGSTPDIKQVISPSPRSVQPPKAAPPPPPPPPPKSITAPKPPPPPPPPPPPPPPPMIPSNTVPKSAQPPSEPTSRRRLLKPLPPEGPRIAMPMPITAATAEDSIGSASMRKQDDVADDIVGNGEPRPKLKPLHWDKVRATSDRAMVWDQLKSSSFQLRFCFILHALLIAGYQLDEDMIEALFMNNSTPAAPPRDAGRKATVPPFRQEERVLDPKKAQNIAILLRALNVTRDEVSDSLLDGNAECLGTELLETLVKMAPTKEEELKLRDYNGDASKLGSAELFLKAVLDIPFAFKRVDAMLYRANFETEINYLMKSFETLEAACEDLRGSRLFLKLLEAVLRTGNRMNVGTNRGEAKAFKLDTLLKLADVKGTDGKTTLLHFVVQEIIRSEDAKSEKESAMIIHSSKDEQLRKQGLKLVSGLSSELGNVKKAAMMDFDVLHGYVNKLETGLEKIKSVLQLERQCTQGQKFFTTMQSFLKKAEAEIEKVRGEEKRALIRVKDITEYFHGDTSKEEAHPLRIFMVVRDFLSTLDHVCKEVGRLQQDRTVIGSARSFRISATSLPVLSVYGQRRENNSGDDSSSS >Sspon.01G0024370-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:92474835:92480859:1 gene:Sspon.01G0024370-2B transcript:Sspon.01G0024370-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRRSLLLLQRSTSDSQFGDKSSEDPDADGRRDGAGESCARGSSADLAGTIIHVSVSPVVSVTIAHHKKPQVSKYYFKKKTSSSHSRNGNDDANHDSRIQPRSPLSRQSLTFDATPTYHAGAFYEIDHDKLPPKSPIHLKSIRVVKVIECTNLDITVKFPSLQALRSFFSSYPAPGTGPELDESFVMSSNHAARILRRRVAEEELEGEVQQDSFWLIKPRLYDFAAPQQVPSRTSRLPPPPAPPAATLGPAADCCLLTTLKCDGAGWGMRRRVRYIGRHRDEAPKEASADGYDTESSVREVQQPPATQEVKRSERNCKRKREAEGSSKDKNGNEGKKNNKVQGGSKKSKKSSKKAKKRTVESKDGDPRHGKDRWSAERYAAAEKSLLDIMRSRDARFGAPVMRQVLREEARKHIGDTGLLDHLLKHMAGRVPEGSVHRFRRRHNADGAMEYWLEPAELAEVRRQAGVSDPYWVPPPGWKPGDDVSLVAGDLLVKRQVEELTEEVNDVKRQMEQLMCKDDGDFDAERAYNSLKEKYQRAVRANEKLEKQVISLKDMCENVVQMNGELKKEVSSFKEHIADKNDKLEKQITYLSNSFLSFKDQLVLALKMETERQLELAREAVPRTALYVGSGDQMIPRTDGTVIQGGQDRPVMKSSFRVCKPQGTFLWPSMASGMTISGGASSSSPAAATPGPGIPRSTSCPSSAGPGLPRSSRAPVEVVAAAPGLDEHVMFGALFSTPPSASSTNAAAAAAKLQLSLPSPRSPLQPQKLFGTVTAAASGFSPQKLMHFSGLPRRHVDTSPSSSGACGSSLLEGKRVLFDADAGGISAVGTELALATPSYC >Sspon.07G0004150-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:9474729:9477722:1 gene:Sspon.07G0004150-4D transcript:Sspon.07G0004150-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLVFRRWATKKTAGSTKNGRDSNPKYLGVKKFGGEKVEPGNIIVRQRGTRFHPGNYVGMGKDHTLFCLKEGHVRFERNKLTGRKWVHVDPVAGHDLHPVYTSGSTTAVDLEAQL >Sspon.01G0004480-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:11127363:11127893:1 gene:Sspon.01G0004480-3C transcript:Sspon.01G0004480-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAEGKSRRFALACGVLSQYVKAEQQMAAAAAPAPRAPATTLSLMPGADVGAEQEPAPAARGEEMAGPASTAAAAPLTIFYGGRVVVFEGFPAEKAAEVMRLAAGAERAAAPAPAPRDDLPIARKASLQRFLAKRKDRLVERAPYTRPSPAEEAEKTTWLGLGGSTDAERLTIAL >Sspon.07G0024810-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:11099585:11101900:-1 gene:Sspon.07G0024810-2C transcript:Sspon.07G0024810-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKGRWFDTVQRILSTSEPDPVETQTDAKAAKLRDKPSFKKLWQFGKSNSSSASTSAAPALDVEAHHTQLPQSPAPDNKQHFEEITPEGQFVETRCEEGECIVRPVEVASPASKVDSTTAPMAAAAIACPTVISPTTWSARSKEDIAATRIQAACRGHLARKPHQKRGMARLMKLVDEGFAVKRQTEEALYCMQMMTRIQTQIYSRRLKTEEDKKALKSQTKVKQSLDKTKIGDGWDHSLQSKEQMEAVLKMKQEAASRRQRALSYAFSQQWRNRNTSSARAAHAPAPMFMDPGNPNWGWSWTERWMAAARPWENQTTTPQDTGRVASKSANSRLPRVAVSVHIPTTTTTPKGKSFRPPNWSSSLSSPSTPPPRTSPLISGRTTMLASPRSGPLHATSGLQHTKSLRPDRRPRSSQELSVNSPRRAVPASPRGSGSSSPLHTSSGTTTMQLERRPRSSQDRGMSSPRLGAKDAPLRRTTSLRAELPRRLSLGSANASASMGDDEGAPATPSYMQPTKSVRAKVVRCPSPSAALDTSDMFDAPESSPALAPLQVPPSPSSAKKRLSQAFADKASASSPSKVALERVRRHSQLSSPRMSLS >Sspon.08G0029360-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8D:35331658:35331945:-1 gene:Sspon.08G0029360-1D transcript:Sspon.08G0029360-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAYPLLPNGRGPLDTCFNFTGHTNVAVPKVALTFSLGASINLHVPSGIPVEGCLAFQDSGADGTHGVLGNVNQRNLEVLYDTSHSKVGFQANAC >Sspon.03G0021950-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:66851520:66853793:-1 gene:Sspon.03G0021950-1A transcript:Sspon.03G0021950-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNTKGVEPVQRAAGLPLTALKLNHISVVCRCLESSLRFYRDVLGFVPIRRPGSFDFDGAWLFNYGIGVHLLQAEDPASMPPKKMEINPKDNHISFQCESMEAVQRRLKELGIRYVQRRVEEGGIYVDQLFFHDPDGFMVEVCTCDNLPIVPLVPEGHAILGLPQQPAAPACKRPAAAALRQQAPSPQQPLPVPVAVAVPTPAKAEAVAAASARSSSRRASLLAR >Sspon.05G0016610-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:63997405:63998644:-1 gene:Sspon.05G0016610-4D transcript:Sspon.05G0016610-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKDYYVGHPATYTAPAPGTKQAPLQVAAGGEQTPVSTTQTPGATHNYFVGHPVNPEKTPTPQAAHPAAPPPPAQKKRSSSLLARWFPCVCGSRAPEQ >Sspon.01G0049690-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:96148437:96158088:1 gene:Sspon.01G0049690-2D transcript:Sspon.01G0049690-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding PPSSIHGGVSSSASCNDAAVCRAGPCHAPHGAIPPARRARLRGRLREHGLQPCPHPHAAPAAGGIDWISFPDGMGPDGDRTDIGKVLDGLPAAMIGGLEETIRSRNIRWVVADVSMSFVLELVHMGVRVALFSTFSSATFALRMRLPKMIEDGIIDETGHDSSTFAGNVKRNERIQLNPKMPAIDASKLPWISLGKSPGSRRAMIQSTITTNPTLALADTIICNTFQEIESVALAHLPIPSVAIGPLEAPKSAAASHFWPQDVTCLRWLDAQAPGSVVYVAFGSFTVFDAERLQELAGGLALTGRPFLWVVRPNFADGVGEGWLDGFRRRVFGKGLVVSWAPQQRVLSHPSVACFVTHCGWNSTMEAVRHGVPLLCWPYFADQFLNQSYICDLWGVGLKVCADGRDIVTKEEIRDKVERLLGDEGVKARTLSFKSAACASVADGGSSHQDLIKLGHIMPLMELSHRLVDHGFEVDFVNTDFNHARILTALAAGGDTGAAVHAGIHLVSLPDGMGPDGDRADIVRLAQGLPAAMLGRLEELVRAQNTRWVVADVSMSWVLDLAATVAVRVALFSTFAAATFAVRMRIPKMVEDGIIDENANVKRNERIKLSPNTPAIDATDIPWVRLRSPMIKGMIKTNQTFVLADTIVCNTFHAIESEALALLPKAALAIGPLEAPPSNSASQLWPEDPACLDWLDAQAPGSVVYVAFGSFTVFDTARLQELADGLALTGCPFLWVVRPNFANGVHEGWLDQFRRRVGDKGLVVGWAPQQRVLSHPSVACFISHCGWNSTMEGCVTASRSCFLNQNYICDVWGTGLRIDADERGIVTKEEIRDKVDQLLGDDGIRARVLSLKRAACESTADGGSSHQDLLKLVDYGFNIDFINTEFNHDRIFKSMQNKGAIPEGLHMLSIPDGVDPDDDHTDIGKMVGGLSAAMLSPLEEMIRSKKIKWVIADVSMSWVLELTNTVGVRIALFSTYSASVFALRMKLPKLIEEGIIDESGNVKVHEMIQQMPPIDSTEIPWVSLGNTPERRRVNIQNVIRTNQLIVLAEAIICNTFREVEPEALALLPNALPIGPLLVPMSKPTGHFLSEDLTCLTWLDTQAPGSVIYVAFGSSTVFDVARFHELANGLVLSGWPFIWVPNFTKEIDEDWFNQFKQSVNGKGLIVTWAPQQRVLSHPSVACFMTHCGWNSTMEAVLHVLQPEQSYVCNVWKTGLKLCSNEQGVVTREEIKEKVVQLLRDEDIKARAVMWKNVACASIREGGSSHANLLKLVNLLQEG >Sspon.03G0006950-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:25963564:25964184:-1 gene:Sspon.03G0006950-2B transcript:Sspon.03G0006950-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GDGDPELLRRRHRRGVVRRGPHRHDGHELRQRGGVVARRDLPPPRPRRPHRGPRRGVAPRSRAGPRPARRRAADLRRPPLPPVPNPPCRLRPQRPVQVPRRPPVHLRRGRDRRRRREAAGRVRAVGGERGGPARARRRHAGEARPAPRGAAGAGVAGDGRADGEAAPRPRQCVGRAHAVGRPAQVRLRRRVRLVRGRPEALRRRLLA >Sspon.08G0023590-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8B:56847044:56847448:-1 gene:Sspon.08G0023590-1B transcript:Sspon.08G0023590-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYYMICAPTNASNTSITPREVIDISLERGEVSIYAPIGYNCFKADTNTLDNYTAEFSLEGTPLILSSTQTRFMAIGYSALGLIGASDPDPSVAGCYSYCEGINQTSDGASCSGKGCCETTISPNLTAFQALVAN >Sspon.03G0029720-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:13976928:13979363:-1 gene:Sspon.03G0029720-1P transcript:Sspon.03G0029720-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAATEVAPVQQRSHAAAAWRVVAGWLGLLFQILLRIIRGTPSSWPQLLSFVGLRHPLLPVAASPEVAFVQLPSEAPADASPPPLRRLTVVLDLDETLVCAYESSSLPATLRTQAVEAGLHCFDMECDPDGKQRINRVTVFERPGLHEFLQRTSEFADLVLFTAGLEGYAKPLVDRIDAHNRFTHRLYRPSTVTTEYREHVKDLSCLSKDFQRIVLVDNNPYSFLLQPLNGIPCITFSAGQPVDDQLMGTIFPLLEHLALQKDVRPALYETFHMPEWFQRQGIAQIEQAV >Sspon.02G0012120-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:32062034:32063218:1 gene:Sspon.02G0012120-1A transcript:Sspon.02G0012120-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTGVGEGNKTAAGSSLHSKTLLKSQSLYQYILESTVFPREPDCLRELRIATATHPMAGMAASPDEVQLLGLLIEMLGAKNAVEVGVFTGYSLLATALALPDDGKIVAIDVTRESYDQIGSPVIEKAGVAHKIDFRVGLALPVLDQMVAEEGNKDRFDFTFVDADKVNFLNYHERLLQLVRVGGLIAYDNTLWGGSVAASPDDEPLSERDRALAAATREFNAAIAADPRVHVCQVAIADGLTLCRRVA >Sspon.05G0036390-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:86358179:86359186:-1 gene:Sspon.05G0036390-1C transcript:Sspon.05G0036390-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSDQLEKEDKKMMRKGLWSPDEDERLYSHITHYGQDLHRVITKHSVIMDVIISGLKRSGKSCRLRWMNYLQPDLRREPISKQEEDLIVSLQKLLGNRWSAIAARMPGRTDNEIKNYWNSRIKKKLQQRMNTGGNYQPPPEVHQTAGEGAADMNTGGNLQADLYRQAATSKVRVDHGNTTSHNSSADHPRPMPQLPVFTGQLLLDPDAAVRNGEQTAAPQSSLCVPFSKSHERNFVE >Sspon.03G0013370-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3A:37496056:37499397:-1 gene:Sspon.03G0013370-1A transcript:Sspon.03G0013370-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPFRRSAGGAASEASSAAGSDAEDDRYCSANSALGTPSSIATLLPSSDFWDHQMDLLDDHPATAGFPKKHQLSSLQTLASAQSRPETGPLPATAGVDAIARQGSSPASPYIPQLPDHNQVNGFGDNDLFDDMVQEMEQILLNSGEPHENGFFMDNRRSNARQAHHFRDGSTTASTSGTDDAYVCPVPQYSSIIDWVEVVGAKQRTGDVSFGERMVGVKEYTVYLLKVRSGEDEWEIERRYREFYALYRQLKDFFYERGLSLPPAWENVERESINPFLFSKVPALLNIMSVRKKIAAMLPCVQCPFRNSILKGLGVRKYLLDGNDFFALRDLVDLSKGAFAALPVKVQTISNRILEHITEQCLVCYDSGVPCAARQACDDPLSLIFPFQEDEATKCSLCGSIFHKQCFRKISVCPCGKAYNGRKIAALEQAVHDGTGMPSTESIQPPPFSSSSGFFSDILSKARPDKLWRPRNSSPVILMGSLPDMSI >Sspon.01G0043160-3D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:68869054:68869903:1 gene:Sspon.01G0043160-3D transcript:Sspon.01G0043160-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MERFFSNPSNAPRRDADTDTGITLLSGPPLPLFWCKVSGKTSLLFQFAVNRAAESGRGVVFICSKGRLESNPPFLSQVKSLRLLGSVAHAGEEQAAKGCTYIEDVDDIRKYFAAFHLLDDFPAAVIVDDFADFFSER >Sspon.01G0001130-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:3350970:3377552:-1 gene:Sspon.01G0001130-3D transcript:Sspon.01G0001130-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGLTIIAFNDGTFDRKTILQLLSLGPTYVVMKFIESLLDILMMYGAYSTSRGSAITRVAWRFCWFTVASVAICYLYIKALQDGTESATFKIYVFVISAYVGAKIIISLLTSVPCCHGLAEACYRWSAVRLVKWMHQENNYVGRGMHESPLDYIKYATFWIAILDKTSCKTNKNYNQFQRPAIYLLDIHVFYTVLSAIYGFLLGARDRLGEISNVEAVHRFFEKFPREFMHRLNVAVPKRKQLLSSGQETELNKFDASRFSPFWNEIVRNLREEDYINSFELELLLMPKNDVGVVPIVQWPLFLLASKVFLAKEIAEDCKDSQEELWLRISKDEYMHYAVVECFHSIYHILTSILEKEGRLWTIQSDLHFKKLPNVIAKLVAVLGILRGTTESSDLKKGLVNAIQDLYDVVHHEAFSVNMSGHIEDWDQINLARAEGRLFNKLKWPNDPKLKDLIKRLYSLLTIKESAATVPKNLEARRRLQFFTNSLFMKMPLARPVSEMVSFSVFTPYYSEIVLYSIAELQKKNEDGITTLFYLQKIYPDEWKNFLTRINRDENAADSELFGNPNDILELRLWASYRGQTLARTVRGMMYYRKALMLQSYLERIQSEDLESTLGLTSSADTHFELSPEARAQADLKFTYVVTCQIYGKQKGEGKPEAADIALLMQRNEALRVAYIDEVENAKNGKPITEYYSKLVKADIHGKDKEIYSIKLPGNPKLGEGKPENQNHAIIFTRGNAVQTIDMNQDNYFEEALKMRNLLEEFSLKRGKHYPSILGVREHVFTGSVSSLASFMSNQETSFVTLGQRVLANPLKVRMHYGHPDVFDRIFHITRGGISKASRSINISEDIYAGFNSTLRQGCITHHEYIQVGKGRDVGLNQIALFEGKVAGGNGEQVLSRDIYRLGQLFDFFRMLSFYVTTVGFYFCTMLTVLTVYIFLYGKMYLALSGVGESIQNRADILQNTALEAALNTQFLFQIGVFTAVPMILGFILESGVLTAFVQFITMQFQLCSVFFTFSLGTRTHYFGRAILHGGAKYRATGRGFVVRHIKFAENYRIYARSHFVKGMEVALLLVIFLAYGFNNGSSRISETGQIGCFIEVVLVLKEKKAGKLGGKKNW >Sspon.03G0043110-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3C:76169529:76171891:1 gene:Sspon.03G0043110-1C transcript:Sspon.03G0043110-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RPNTIRKSSGSNIETKRLIFLVERNDEQSIGIEGWSDLAYPFNTCQKQGHEPRCPGPLACAVKCEFVLGAVPGACPFNARSLFDFSSWSTTGDSRRKRDDAPSGRGLGGYGCGLAVGAS >Sspon.02G0005770-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:18129684:18135149:-1 gene:Sspon.02G0005770-1A transcript:Sspon.02G0005770-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPASLLPSTFLPHHLRRLVPAGCTTSPAASSSASVPASRYDFEPLLAYLSSPSVAASLTSPSPPASVPAPEHRLAATYSAVPSHEWHALLRDLAASDASLPVAFALLPFLHRHRLCFPLDLLLSSLLHSLSVSGRLLPHSLLLSFPPSLSDPPSPLLLNSLLAASAAASRPAVALRLLSLLREHDFLPDLASYSHLLASLLNTRDPPDAALLERLLGDLRESRLEPDAPLFSDLISAFARAALPDAALELLASAQAIGLTPRSNAVTALISALGAAGRVAEAEALFLEFFLAGEIKPRTRAYNALLKGYVRIGSLKNAEQVLDEMSQCGVGPDEATYSLLVDAYTRAGRWESARILLKEMEADGVKPTSYVFSRILAGFRDRGDWQKAFAVLREMQASGVRPDRHFYNVMIDTFGKYNCLGHAMDAFDRMREEGIEPDVVTWNTLIDAHCKGGRHDRAMELFEEMRESNCPPGTTTYNIMINLLGEQERWEGVEAMLSAMKEQGLVPNIITYTTLVDVYGRSGRYKEAIDCIEAMKADGLKPSPTMYHALVNAYAQRGLADHALNVVKAMKADGLEVSILVLNSLINAFGEDRRVVEAFSVLQFMKENGLRPDVITYTTLMKALIRVEQFDKVPVIYEEMITSGCAPDRKARAMLRSALRYMKHMRWKEIKYMTPISEDLGIGNKYIGRPAGGDGGGNMDLVPYLLGRLRAREGGFAVRVERSVEERASQNSTGDDWVTGEEDEAGGDYLWLLAGSTRREERRRGGLNSRGFQVCGFGIEVTG >Sspon.07G0003770-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7A:9123376:9123600:-1 gene:Sspon.07G0003770-1A transcript:Sspon.07G0003770-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMALGPFRGESKREQRGSGEDEGQQQHIILFAVASMPHVKESMAHGLHAATLLCAQSATEAGLTTAIRHDYVD >Sspon.02G0027830-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:120803475:120806354:-1 gene:Sspon.02G0027830-3C transcript:Sspon.02G0027830-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein STABILIZED1 [Source:Projected from Arabidopsis thaliana (AT4G03430) UniProtKB/Swiss-Prot;Acc:Q9ZT71] MSGTTPTPTPTPTPLPPPPAARPARYDFLNSKPPPNYVAGLGRGATGFTTRSDIGPARAAPDLPDRSASAAAAAGPAVGRGRGKPPGEDDGDDDGGDEEKGYDENQKFDEFEGNDAGLFSNADYDDDDREADAVWESIDQRMDSRRKDRREARLKQEIEKYRASNPKITEQFADLKRKLADLSAQEWESIPEIGDYSLRNKKKRFESFVPVPDTLLEKARQEQEHVTALDPKSRAAGGTETPWAQTPVTDLTAVGEGRGTVLSLKLDRLSDSVSGLTVVDPKGYLTDLKSMKITSDAEISDIKKARLLLKSVTQTNPKHPPGWIAAARLEEIAGKLQVARQLIQRGCEECPKNEDVWLEACRLASPDEAKAVIARGVMSIPNSVKLWLQAAKLESSDLNKSRVLRKGLEHIPDSVRLWKAVVELANEEDARLLLHRAVECCPLHVELWLALARLETYDQARKVLNKAREKLPKEPAIWITAAKLEEANGNAQSVNKVIERGIRSLQREGMDIDREAWLKEAEAAERAGSVLTCQAIVKNTIGIGVDDEDRKRTWVADAEECKKRGSIETARAIYAHALTVFLTKKSIWLKAAQLEKSHGTRESLDALLKKAVNYNPRAEVLWLMAAKEKWLAGDVPAARAILQEAYAAIPNSEEIWLAAFKLEFENNEPERARMLLAKARERGGTERVWMKSAIVERELGNVGEERRLLEEGLKLFPSFFKLWLMLGQMEDRLGNGAKAKEVFENGLKHCPSCIPLWLSLASLEEKISGLSKSRAVLTMARKKNPATPELWLAAIRAELRNGNKKEADALLAKALQECPSSGILWAAAIEMAPRPQRKGKSTDAIKRSDHDPHVIATVAKLFWLDRKVDKARSWLNRAVTLAPDIGDFWALYYKFELQHGTVDTQKDVLKRCVAAEPKHGEKWQAISKAVENSHLPVEALLKKTVVVVAVEENANPTGA >Sspon.06G0017110-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:74226150:74227558:-1 gene:Sspon.06G0017110-3D transcript:Sspon.06G0017110-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSISNGTANCATVPQPPPSTGKLITILSIDGGGIRGLIPATIIAYLEAKLQELDGPDARIADYFDVIAGTSTGALLTSMLAAPDENNRPLFAAKDLTTFYLENGPKIFPQKKYIYTPAGLLTPVRNLLGLVRGPKYDGVFLHDKIKSLTHDVKVADTVTNIIVPAFDVKFLQPIIFSTYEAKNDALKNAHLSDICISTSAAPTYFPAHFFKTEDAADGRSREYHLVDGGVAANNPTMVAMSMLTKEVHRRNLDFNAGRPTEYTNYLVISQAEKYTAEQCAKWGLIQWLYNGGFTPIIDIFSHAMDIATKENMESLIGIGQELLKKPVARVNIDTGVYESCSGEGTNAEALAHFAKQLSDERKLRKSNLNSY >Sspon.02G0009120-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:26043789:26046513:-1 gene:Sspon.02G0009120-1A transcript:Sspon.02G0009120-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-prolyl cis-trans isomerase CYP21-1 [Source:Projected from Arabidopsis thaliana (AT4G34960) UniProtKB/Swiss-Prot;Acc:O49605] MLRKAVVAFIACAALYLAFSAYSRRQSIAEVQLPAVTHRVYLDVEIDGQHIENFRALCTGEKSVGSNGKPLHYKGTPFHRIIPGFMIQGGDIVRGDGKGSESIYGGIFPDENFTVKHTHPGVVAMANSGLDSNGSQFYITTIKTSWLDGEHVVFGRVIQGMDTVYAIEGGAGTYNGKPRKKAVITDSGEIPKEKWGDQEA >Sspon.05G0017490-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:68597081:68600868:1 gene:Sspon.05G0017490-3C transcript:Sspon.05G0017490-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAALASGLLNVVGNKLDSLISSEFAAITGVKKYLSELQGVHAEITSWLSMLHDRAIESDPSLPWLRKLRNLLNDIYDLLDEVYLEDEKHRIDKDHGKHAIAIWFCGKPKLLLFQQKVAHKMKAIKVEFDAIVKQKSDANTILHNLHLDQLIQSKSKTTREPSLLNNSKESKIPSRDHVKSEIISELVESKKGEAGHIVSIVGLGGSGKTTLAQHICHDDKIKGHFKDTIFWIHVSQEFCRDKLIGKLFEAINLAYAFIQVGKDIMKKCDGVPLAVKILGFVLCERRGINTWRAIRESNLWDEENIEARVFTSLKLSYIYLKDHLKQCFTFCSIFPKGCKINKDYLIEQWMAHGFIKLKKEELAHDIGNEYFDSLMRAGFLQDPVKMPQTVECKMHDLIHDLTLYILRNEVVTSLPNNMTTECSKNCRYLSLTSCSGKVERSLFHKVRAVYVSGGNPSFDNIVKKSFSIRSVVLDYAVDTPFPLFILKLEHLAYLEIHHVSCRKFPEAISGCWNLQSLHLISCKGFVTLPKSIGKLKKLRTLEFNYITDLETLPQSIGNCQDLQSLQINSCGKLREIPSSVGRLGNLRVLHIIGCSSLQQLLLDFNGELSNLQTVSLHRCQVLRDLPSTFACRILHTLDLSETKITVLPKWVTSIEHIDLHNCKELVELPKGIINLKNLEVFNLAGCSKLQCMPSGFRQLTRLRYIGRFAVGCGEDDARISELENLDMIGGYVEISNLKNLKDPSEAEKAMLKWKNIWSLELDWSSSQTEEELVSDVEQDQGVLNALEPPSQINSLKICGYRGPYLPPWMMKQNDSSCCGGKMIKQTSVCQFLSLTNMTLEGFPSLKYIRGLLVFGSLESLNLLRMANLEELWTTTSGFEIQGEELGAQCCFPVLSELCVKGCPKLNVKPYFPPSLGTLSFEDSNEQLLSPSSFSHRRLPPSADESSSSGNVHSAALRLKKLRLTGSSSSWEHLQHCSELEILQIEYCNDMTELPEILRNLTSLQQLEIMECQALGMLPDWLGELRSLRRLMVFVTPLIDDLPQSTKQLTSLVSLSICRWDNLKQLPDVIQHLTSLEHLNLGLCDELTVLPEWIGQLSALQQLRIQHCRALQFLPQSIKCLTALQDLYIVGSPGFARRYEQGVGPDWELVSHIPSVRIYD >Sspon.05G0015690-2P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5C:29513081:29514434:1 gene:Sspon.05G0015690-2P transcript:Sspon.05G0015690-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDVLMLCYFLFGNTPLFVSHVYLQMGILVITVDLECCRCRAKITKVLDCLKEEFSIEKVEFEDKKEKKVVVVRGKFDAEKLCKKVWCKAGKVVKEIVIAEVWPMPPPPKPCTPCKPKPEESKPKPEDPKPEKKCCVCEHCKPKPEKCCVCDHCKPKPKPEEKPKPEEKPKPATPKTEYKLVPYPYPYPVPNPVMCQSWPWQCPPHQQCQCCEKQQPPPPPPPPPQQQPPPPPPPPPPPPQQPTCSCLSHANCGCGQTPPAWPLQPPVWPPPWAGCNVVTDENSCSV >Sspon.04G0008760-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:25419110:25421250:1 gene:Sspon.04G0008760-1A transcript:Sspon.04G0008760-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKYNVVQKNKRQWKQDRKRAAHGEPGTGKLKQRTAPVSMSGKRKRKLERRLNREQKEAAMIKALENNMGDVDMVSAEESSEAAKGKSQVKFSVKKNSRIQIKRLKGK >Sspon.04G0037100-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4D:61261120:61261635:1 gene:Sspon.04G0037100-1D transcript:Sspon.04G0037100-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding NCIGAIDGIHVPMTISDSEAAPYRNRQESLTQNVMLACDFDLNFVYVSSSREGSASDAAVLYSAIESGFEVPRGKYYLVDGGYANTPSFLAPYNEVPYHTEEQDESNFQPIDYRELFNLRHALLHRHIKRAVGLLKMRFPILNVATSYRKDTQLKIPSAAVVLHNIIQRQG >Sspon.06G0018950-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:104556343:104560739:-1 gene:Sspon.06G0018950-1A transcript:Sspon.06G0018950-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVLDALAPYVKKLIADMAQEEVSMLLGVSSEITKLEDNMEGLKAFLKDAERRRITDTSVQRWSTKLKNAMYDATDVFDLCQLEADKRRESRVVACSRIKELNQRLEVINKEADQYKFNIGLGSNPEPRKLTAAELSSYRSSSHVDESAIVGEQIERDTRELVQVLTADADNNHTIKVVSIIGAGGMGKTTLAQKIFSDATIQEHFKTKIWLSITKQFDVIELLRTAIENAGGDHGGRQDKNTLTEILINTLSTGRFLLVMDDVWSHEAWNNVLCVLVRNASKKKPGSRVLVTTRSAHLPQQMQAPLHQHRVKPLENDDAWSLLKKQLQPDQVDGIDQLKTIGMDILEN >Sspon.07G0001970-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:4922522:4925401:1 gene:Sspon.07G0001970-1A transcript:Sspon.07G0001970-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGARHEHRLSWDFQFHDELASLFAHQRPDAPMAQQLQQPWFMDYLHATAAAASPLDCDAFVGDFVDVPAGAADEVVKRELVMVDTAAAGSGGGTTTTTTAPLTPNSMSMSSTSSEACGAGAGAGEESAAGKCKKEEGDGEGNESKDDGSAAGKGDGGEGEEKNKKGAAAKGKGKGKGEKRPRQPRFAFMTKSEVDHLEDGYRWRKYGQKAVKNSPFPRSYYRCTTQKCPVKKRVERSYQDAAVVITTYEGKHTHPIPATLRGSSHLLAAAHHPMSGLHHVHPHFRMEPLPPAALGGVTAGLGGFRPGGTNAFDALGLGLLQPQPQQGHHHHGAAAMQQLAVSSGAGVQQVDAAATMASHALPDDPHGWAAVAGVGSAPSTTTTAATTSASAPLQMQHFMAQDYAGLLQDMFPSFVHKDDDGHHHHH >Sspon.05G0020970-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:88466644:88475368:-1 gene:Sspon.05G0020970-1A transcript:Sspon.05G0020970-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWHSGINVDGGRKKGTIGSVCYVITWCLKIGTGPVFLYSTGVLEILHVAQPEMVKDMGHWTPSELGKPQHIMKSRKPLFGLGILIANGDLWAYEKKILAPQFFIEKIKGMIGLIVDATAPLLQSWENMLNSTSGSQEIYVDGYLRNFSADVIARTCFGSNFIEGKDIFCKLRQLQKLISQQDTFVGLSALWKYLPTKRNWEIQKLDQEVRLLILDLSREHRSKSRSNAVTHMSTYDNLLHAIVDGANQCPSYSSAPEDFIVDNCKNIYFAGHETTAVTATWCLMLLAAHPDWQERARAEALEVCCGQTVLDIDVLRQLKILTMVIQETLRLYPPASLIMREALTDIRLGGVDVPSGTIIQVAISMLHLDLEAWGPDANEFRPDRFVNGAAAACKPAHMYMPFGYGPRLCTGQNLAMAELKVLLARLLSKFSFSLSPGYQHSPVFRLTIEPEFGMPLVVTRLP >Sspon.01G0024660-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:87883111:87892312:-1 gene:Sspon.01G0024660-1A transcript:Sspon.01G0024660-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMPDFMWRVAAQETTTLPGCSSEFSGIEFGEAQLVQIKIISGGLWSSRGASYIMDLQNLGRSAEKILEVNGDKFNILASKFWSTWVGPGARRSKLMFRNWKGDKEFEPQSENTADTTVTTTIPGLPDLKAAGDGSVHHPLSAKESFKAAVTYLFRKWYHRAVSFWKNIKQLSENTLQLMVRSNWNDFLHIFKDLQLPSMDHLISTIVQWFERRSKAFEPTYLYGVEKGYFLLSEGAKIRHGVRTINITISARNPCFGNRWQQLLINSIVGYDTILTNSLVNSPGHGYLYNFQTKELYDLSYGHEPPEGPTRFGDYFVTKCGVLLMSLFVFFTTTMSVSFTLRETQSRMLRFTVQLQHHARHHLPTFQLIFVHVIESLVFVPIMIGILFFLFEFYDDQLLAFLVLTLCADIHIDAVLSTLLLAVFFGFSYLAFSATAAFMQHLILYFWNRFEVPALQRFMRSRAHIHQQTGVQISSTIYTSTLHIARVNVRDPGTINDGLGAAREADGLLVQDESTRNQQEGQQHGISEPAANNALQYQEQNPQQAGSTPAGSGSLNPFGSLLLWLLGGGASDGIVSFFSMFRDVRDHGQDYTDPPRNENDQVRMK >Sspon.08G0025830-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8C:13281089:13281985:1 gene:Sspon.08G0025830-1C transcript:Sspon.08G0025830-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFRLPCPMQRRLGSREKKGEEQRVAREVAVATSRRGSPARCPGRQSPSASVGLSVGAWEYAIASKIQTNCRRRGRSTKSIVAMGSSNDEPGSASWSELPGDVLVTVREGLAIRDLCRAGAVCRWWNAASSRVRAQHRALSRPGTPCLLYAPAAGPSSPADPPAASATLFSVTDGRSYSVPLAAGASIPEGFWLGASHGWLVTVDDHAEAHLVNPVTGQRIDTLPSVATVEQVRRVHDEGSAVVADRYTVYQYDSSLWVYDPANAATTLDARELIDYLHVRAIISSDPSDAGGDCVVVL >Sspon.02G0044730-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:103834567:103835165:1 gene:Sspon.02G0044730-1B transcript:Sspon.02G0044730-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGPGATNKEIMVLFRYPNSSPNFTMQKQASPCLTGAVIGRYASDSVLGSLSACCNTQPNSSAGRSRASIWSRCTVAPFFDWCTYILFNKGKLGEDRRA >Sspon.08G0028770-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:17523922:17529584:1 gene:Sspon.08G0028770-1D transcript:Sspon.08G0028770-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEKLSDMGEVQTTKVGNQVGNEQRNNEKSEAIEQQNALSDTSIGEVQTIMDGSQDVNKETNDKKFEVIEQEHAQPLSHVMAGDESPPKKRKFEGFSILKDIVHTENKGVASRTRSHSKSKLVAKDCKIGTISRPIDSNDIIEMDSIDCNDIIEVDSDKEEEANTNVTTDTGPPAPQQQELTRKRKSFSDTHPRGLIDCIAEASELAKKAMTELDEKGKELQATRGEVAHLKEQVRVVRDKHADHVAQLKEALSVAYAHHAAEVRRLVDENHKARTAHGEEAKAERASVVAKLQKEHAAAVAQLTEHLKKEHAAAVARLKEEHAADVARVKDGADKEVQDAKKNIVLRLFPELDVSLLNDQSSSSREISLLPERPKLKGDPDKTADRSHLLTLFDDEEDISFIYWPNPNINIWAEKRNSFNSTMVGVPESSGHFLGSDNLSFTATNSGPGIVRRLTLDYDGNLRLYSMDKNGTWMVSWMAYSRLCYVRAKVDVYSFGVVLLELLKGARVSEWSANADETVEMASTRLVRMLEENTKMQASTQAWIPGFIDPRLNGHFNGMQARSMI >Sspon.03G0040480-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:29586447:29591022:-1 gene:Sspon.03G0040480-1C transcript:Sspon.03G0040480-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFSIPSRAPMASALLLYFCLPLLLGAHGGSRRLYIVYLGDVKHGDPNDVIASHHDILSNVLGSMEDSLASMVYNYKHGFSGFAAMLTEDHAHQLAVTYWSMMMGLRTASMCMFSKEPEPELPFHVLIRTALSECRDHHAVHRWPRTPACPACPGSRCSCRGHRGHREVTFSVCMLRVPAPMETQSSPLETAVLVMRTSWAFSTWMPSVLGAQRRGANGQAADEHAAEAVELDWAVLHLEALHLHVAPSRIWPESKSFSAEGYGPVPSRWKGACQVGEAWDRSNCSRKIIGARFYSAGLSEEILKTDYLSPRGIDTHGTHTASTAAGSVVEATSFHGLAAGAARGGAPRARIAVYKSLWGAGGSGNSATILAAIDDAIHDGVDVLSLSLGSVRSENSFGALHAVQKGITVVYAGGNDGPRPQTVANTAPWVITVAASKIDRSFPTVITLGNKQQIAGQSLYYQEKNSSRSIFRSLQFGGSCTADALNGTDLKGKTVICFPDNGQLALAPRVEFQKALQNVMDGGGSGLIFPQYTTDVLEDTKDCQSIACALVDLDSGYQILEYYMGDTSSPVAKIEPARTFISKELLAPKVAAFSSRGPSIHNADVIKPDIAAPGASILAAVGDSYGPMSGTSMATPHVAGIVALLKALHPQWSPAALKSAIVTSASVTDEHGMPILAEGLPRKIADPFDYGGGNINPNKAADPGLIYDIDPSDYKKFFGCAISRTDATCNQTLVPGYHLNLPSISVPDLRYPITVSRTVTNVGEVDAVYHVAIQSPPGVKMDVEPSVLVFNSTNKVHTFQVKLSPMWKLQGDYTFGSLTWYKGEKSVRIPIAARMTLHDFYADVA >Sspon.01G0016250-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:51148734:51150142:1 gene:Sspon.01G0016250-1A transcript:Sspon.01G0016250-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALESFVSIHFCKTPMKCFEKAAEKCDSDSLGCVVSSSSWGKHAAIGTGSSFQILWNENQ >Sspon.01G0021820-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:83544855:83548216:-1 gene:Sspon.01G0021820-2B transcript:Sspon.01G0021820-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLSPSPPAPAAAVTVPRRILLAPHRRLKATCTPPRLACLRLRPAAPLRGIPSKTGCRAAAADADAAPSQAPGGDGGVRGAMVRIGEALSLGFPVWVASACALALWRPPAFLWVGPTAQMLGLSFTMLGMGMTLTLDDLKTALLMPRELAAGFILQYTFMTPFLTSKLAGQYVAVDPMGLFVSTSQVVLAPVLLGALLNQYCNGLVQLVSPLMPFIAVATVAVLCGNAIAQNASAILASGSQVVLSVGCLHASGFFFGYVLSRLLGIDISSARTISIEVGMQNSVLGLVLATKHFGNPLTAVPCAVSSICHSVYGSILAGIWRSMPTKNKGE >Sspon.06G0021660-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:18448262:18448639:-1 gene:Sspon.06G0021660-1B transcript:Sspon.06G0021660-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LMSGKVTAAVAKPTVLCCCDHVLEQGVVTGVCFVTWVQQLYCMTVRMNIDCNGCYQRIRRALLHMQDLESHLIDRKQHRVSVCGAFVPQDVAIKLRKRTNRRVEILEIKEVDAGAGGDGGGGQQPS >Sspon.02G0055920-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2D:14632035:14632352:-1 gene:Sspon.02G0055920-1D transcript:Sspon.02G0055920-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPALDLAGRPAVSGSGVAGTAPAGRPATARVAGATTVRHKSTGAGASTEFIISLHAPLHDHECIHHLSEFKRRKFERLMIEVLDSRPCTSRSLPSPTLACRVSY >Sspon.04G0005040-1P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4A:14656168:14657646:1 gene:Sspon.04G0005040-1P transcript:Sspon.04G0005040-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDHRAKRPSDGAATVASPAAKRARDPSAPALPTNKEAPDMPPKIRIFCEILASRADDAKLIAELDMADIRVTTADVEQVLRFSYAHPRAAATFFRWAGYKHLGHEHSPYSWNLLVDMLGKNLLFEPMWDTVKSMHSQRLLSLATFASIFSSLAATPGGSPLKAFMDMPRYGMTRDTPALNSLLSALCRANRLDDARAAIPVARAEAGTPPDADSYAILLEGCEAAANSRVAREVFDEMVQAIGFVPDNVPAYDSFLTTLVSSDSSTALPEAMQYLLVLSRRGCSPGEKFFRAALTAHLKERQLLAAMELWDDFVGRRGLIPDMEMYNTMIKLQGSLGQVEVIVNYLDDMISNGVFPDANTYNVVLQLLLKGRKLREAAAIFSEMVKNECWPNEENCSLALRMFLDTRYWETGIKVWSCMVENGLPPLEECGNMLVSKLKDDRLPEACKYAENMIDRGIKLSSSTLSKLKQCLQKIKKGEIHDRLLRKWKAH >Sspon.07G0008080-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:21972069:21976071:1 gene:Sspon.07G0008080-1A transcript:Sspon.07G0008080-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPAPATTLASFNSLLASLARSGRPVQALCTFRDMLAQGVRPDHFTLPPVLRSCTLTGAAGLASTSHALVVKLGAQENLFVASALVLCYAGLSNLPDARRLFDGMRERDAVLWTSMLSAYAQGGEPDAALRFFGSMVAAGIELDAVVMVSLLLACGQLGWRRHGRSVHACCFRRFLGMPLSLGNALVDMYVKCGDFAFAERVFAGMPRRDVISWSALILGHGLNGHSDVALRLFDRMAAEGIQPNSVTFLGALSACAHSGMVDKAYAIFKEMKLWGIEPELKHYSCMADTLGRAGHVVEAVKLIEEMPFEPDEAMLGGVLAACRVHGEMESAELVSKRLMDMSPGKSGYYMSLANIYSDAGRYSDAERIRGFMKEVKVNKLPGYSSVELDADRVQQHRANLIN >Sspon.06G0012990-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:56430229:56432544:-1 gene:Sspon.06G0012990-2B transcript:Sspon.06G0012990-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKRKELLSSAPWRTGEAAEDEDAARMSREGKVSVTSNPGETPTMSVPRSRRPDLDLTVDDFEEDEIDPELRYSFQRNSRFLKRVFSVDTLVKPLPPVMAYSVSRNINFFFRIFTQFWDEEGIANAQKSLGLGNDDGSRRMR >Sspon.06G0022560-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:33411010:33413369:-1 gene:Sspon.06G0022560-1B transcript:Sspon.06G0022560-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGASGEHSACLLCLRITGLLAAVLGGKEGQSKQRVFTPFCGCIERKPKTAILQRLNSQEQQWPRP >Sspon.02G0040290-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2B:67492823:67494263:1 gene:Sspon.02G0040290-1B transcript:Sspon.02G0040290-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLAVATNSGDDDSGVDLRLAVLLRLWPPVRHTLLYLHGFSAGLVTKDIAENNHGTRVFVACAHAVLLTLGAPDKARLDALITMTLFADGAGAVIVGDDRTEPIERPIFHMETVLGTFEDALSLHVDPITTCLIHHPWLAVSTPPELHRSTHLPPVPCTCCPSSPNLVAVGVERRKCSASHDKDDDDGALSSHL >Sspon.08G0017410-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:2617615:2617904:1 gene:Sspon.08G0017410-2C transcript:Sspon.08G0017410-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAKKLTLLQTVAAAGVFSAVSFWYHAISPPEDSRWYGFMFGRESARHELGGIIDNLRSNKPTATAAASSEPDAHSKP >Sspon.03G0026060-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:6851750:6856749:1 gene:Sspon.03G0026060-2C transcript:Sspon.03G0026060-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPRRGRSASRSSSGSSSRSPSHSVSSGSVSSRSRSRSRSFSSSSSQSRSRSPPPAKRRKASPPAESAVLCIDHLSRNVNEAHLKEIFGNFGEVVNVELSMDRMVNLPRGYGYIEFKKRADAEKALLYMDGGQIDGNVVKLRFTLQPRQRAASPMKAPPPPPKRDAPQIEKGVSSAEKDAQQRPRESSPRRKPASPPRKRSPNRRAESPRRPPDPSPRRRPDSPPIRRRPDPSPVRRGDTPPRRRPLSPVRRRSPSPPPRRHRSPMRPSPRRGRGSPSPRRRSPGPLRRRSPPPRRLRSPPRRPPPPRRHSRSPPRRPLRSRSRSISPRRGRGPPLRRGRSDSSYSRSPTPPPRKGPRRVSRSRSPRRPPRGRSISSDSRSSSSPSPRRGR >Sspon.06G0019780-3D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6D:3704079:3706544:1 gene:Sspon.06G0019780-3D transcript:Sspon.06G0019780-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARFLVHLLLLAAASASAVAAASTSLSTNATAAPGVSGGNVTGFSFSRFVSANRVVNVTVLGDANINQGALQITPDSLNDAATYLTHKSGRVLYATPFKLWHRDKAANATGGGKRVASFSTVFTVNVFRPNGTEPAEGFAFLIAPSADEPPAESSGGYLGLTNAATDGNATNRIVAVELDTEKQAYDPDDNHVGLDVNSVVSVATASLRPLGIEISPVVPVKYDVWVDYDGAARRIAVRMAVSGKPKPRRAVLAAPLDLGAVVADWSYFGFAASTGRKYQLNCVLAWNMTLEKLPCDDDGEDGDGKRRRMLGLAVGVPVGVAAVVGAAVLAYVCVEKRRKVHGDDGNSSSAITGTMIRSLAGGPREFEYREIRKATNNFDEKMKLGQGGYGVVYRGVVVGDHTSPGGAGSAVEVAVKKFSRASTQGQNDFLAELSIINRLRHKHLVRLVGWSHDNGELLLVYEFMPNGSLDQHLFSPAPGRQLLGWELRYNIVKGVASALHYLHDEYDQRVVHRDLKASNIMLDAAFSARLGDFGLARAIETDKTSYMEEAGGGVHGTVGYIAPECFHTEKATRESDVYAFGAVVLEVVCGRRPRFDIEGFHFLVDWVWRLHRDGRALEAVDARLEGAFDADQAERLILLGLACSHPTPAERPKTPAIQQILLGSMPPPVVPPFKPSFVWPATDGGLDTMSTTAGTTTSQLSLTSASTWSGNFMKGSLKHAFEQEGTDSLA >Sspon.01G0051320-1T-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6D:28873574:28875693:-1 gene:Sspon.01G0051320-1T transcript:Sspon.01G0051320-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AFTHLISPQPIKRSKLPNAHGTTGQEPPPNVGLLLHLLIVPFGVPTAAAAAAGASAGTSSCLTDQQPSPAKRKRRPPGTPDPDAEVVALSPRTLLESDRYVCEICGQGFQREQNLQMHRRRHKVPWRLVKRAPPPAAGGEDGGGANSSSTAGGGGAPRKRVFVCPEPSCLHHDPAHALGDLVGIKKHFRRKHGGRRQWVCARCAKGYAVQSDYKAHLKTCGTRGHSCDCGRVFSRFCLLMELGTDHTSHHQLSIQRVVVKGSLGRDKVLHSSDPLGLIHQSTHAPRTTAAALRPCARSAPSTSFFLQNNNNTGMA >Sspon.05G0001190-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5A:4050473:4051193:1 gene:Sspon.05G0001190-1A transcript:Sspon.05G0001190-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTSKKVVGDLSPGSHDDGAPHTVVVERIISGAAEVPKLTKTNYHEWALEMQFKLTAFKDSKAIDDFTLRIDSLTAELRGIREKMKDERVVKKMLRVVPSKYNQIACSIEMFADLKKMLLEELVGRLRVAEERCGGAIESAADGVGCLLLTEEQWEVRRRQRDGK >Sspon.02G0019490-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:96243460:96243693:-1 gene:Sspon.02G0019490-1P transcript:Sspon.02G0019490-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQLVAAVAIMLLFLGSGAVTTSVVARSAPVASKGGSGSAVAQSETTAPATADSSARPSGCTNGSGPGGCCHPPSEH >Sspon.05G0014120-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:5B:52041920:52044285:-1 gene:Sspon.05G0014120-2B transcript:Sspon.05G0014120-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding PSTSWLSPSGDFAFGFRPIEGNTSFYLLAIWFNKISDKTVAWYAKTTGPDPAPVQVSSGSRLQLNSNGALSLQDSTGTEVWSQVVGASYAAMLNSGNFVLAASDGSTAWETFSSPADTILPTQVLTPGKALRSRIIANDYSNGRFLLNLQTDGVSLLPVALPSDHPYDTYWSIAGNITKLVFNDTGRIYIAVDNGTQLQMTSGVIGSMADYYHRATLDPDGAFRQYVYPKQGNNLQNQAWSVVGMEPPNICNVQTKIGSGTCGFNSYCKLDDTTNQTTCVCPAQHSFIDENRKYKGCKPDFQPQSCDLDETGSMTQFQLTSMDNVDWPQADYEMYTPITESLCGQLCLTDCFCAVAVYHVTDNTCWKKKVPLSNGYMGSDVQRTVLIKVPKNNSTHSELVDYSNRWKKDKKNWILGSSLFLGSSVLVNILLLSVILFSTYCTITIKEVPSLPSSSNVGLPLQAFSYAELEKATGGFQEVLGTGASGIVYKGQLKDDFGTNIAVKKIDKLEHETEKEFTVEVQTIGRTNHKNLVRLLGFCNEGKERLLVYEFMTNGPLNRFLFGDVRPQWNLRAQFALGVARGLLYLHEECSTQIIHCDIKPQNILLDDNFTAKISDFGLAKLLRTNQTQTNTGIRGTRGYVAPEWFKSIGITAKVDVYSFGVILLEIICCRQNVELEAAEEDRKILTDWANDCYRCGRVDLLVEGDDEAIFDLKKVERFVTVALWCLQEDPTMRPGMLKVTQMLDEAAAVPSPPDASSFVTSLPSEGGGNVCGSVTVVSPGDLQCWK >Sspon.07G0021110-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:814094:827344:1 gene:Sspon.07G0021110-1B transcript:Sspon.07G0021110-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLLPVVLVLLLLLVDDAGPAPETVSPSMSVLICSSTLITPAFPAVILRLNGGKPIFSESLAALAAMHVLLKRRRDRSSRDIASSAGVLQITENKSAAANLTKHKQVSAERKLLDDALDKTTVQYESWMDEETSFWMDRDHTNSTLQYGLLMQNLQELETSLAGKDLKMLENDILAHIQQLGALRSFNASMTLGANLLDTLTHVSSPYDQSDSSLLDHLIIKFDLETPLDEEQEDSEVVVVRSGKSQERKLKRMRASEKGSRKSVKVNPRTPKKSRKASSSQFISEWKSHPGRRRIIVREQSALLATIKECASLEKIREKMVKEGRRWAEAAGMDEAELMSRLQAGYCCRERLLVTTEWLVRCIARSYTGMGTALDDLLQAGKMGVLDGAEKFDTRKGCRFSTYVKYWIRKGMLALLAENSGVTLLPARMESIMRKVKEARRGIRYSQGRNPSDSEIAAAVGVSVANVRLARKCSRRPVSLYSEIGIGQHAKFAEVIPDEAAAAAEAPDEAALFRGQLRERLLLVLGRLPAREGHVLRLRHGLEDGRCRSLEEIGGMYRVSKEWIRKIEKSAMARLREDDDVRRDLHDFARKMGVLDGAEKLDSQKGCMFSASVKYWIRKGMLALLAKNSGDTLLPVRTESIIRKVKEALRGIQYRQGRNPSDSKVSGWCANVLAGPSHSTRTARQVLYDALNLFDELLPQARPASGHAFNRVLTVVARADFSSSLQLHHSAALAVTLSNTMARAGINKMAANACTIGILIRCFCTVGRLVLAFAAFGQLLKTGWRVQVMALNQLIKGLCDGKTKSEAMDIVLRRMPELGCTPNALELLLRMTADGGYNCPPNVVSYSMVIDGFFKEGEVDKAYTLFHEMLGRGFPPDLVTYNSVIDGMCKAQAIDKAEAVLQQMFDKGVMPDSWSYNSLIHRYCSLGQLEEAVRLLKKMSGGGLQPNVVTYSLLIDYYCKIGRCNIFDSMVRRGQKPDAATYRTLLHGYATKGALVDMHDLLDLMIRDGIPLEHRAFNILIRAYAKHETVDKAMAAFIEMRHKGLRPDVVSYTTVIDILCKTGRVEDAVFHFNQMVSEGLSPDIISFNSLIHGLCTIGEWKKVEELAIEMINRGIHPNSRFLNTIMDSLCKEGRVVEAQDFFDMVIRTGVKPNVVSYNMLIDGYCLDGKMDESIKLLDRMVSIGLRPPLACDLTIALLNGYCKNGRVDDALALYREMFSKDVKPDAITYNIMLHGLFHAGRIVVAREFYMKMVDSGTQLGIDTYNIVLGGLCENSCVDEALRMFQSLRSKEFHLEIWTFNIMIDGLLKVGSIDKAKGLFSAILPSGLVPDAITYSLMMQSHIEEGLLEESDELFLSMEKNGCAADSRMLNAIVRKLLEKGDVRRAGTYLTKIDEKEYSVEASTAALLISIVSERKYQKEVKKLLDNAVDRTNVQYDSWMDEETSFWMDRNHTNSNLQYRLLMQNLQELETSLAGKDLKMLENDIFVRIEQLGALRSFTASMTRVSVKVNPPRLRKSRKASSSQFISEWKSHPTRRRSIVREQSALLETIKERASLEKMREKMVKEGQEVSYHRWAEGAGVNCGRGKADEQAAGRILLPREAGGDHRVARQTGKMGVLDGAEKFDSRKGCRFYTYVKYWIRKGMLALLAENSGVTLLPVSLSLLVRKCSCRAVSLYSEIGIRQHTKFAEVIPDEAASPEAPNEATLFWGQLRERLLLVLGRLPAREGQVLRLRHRLEDGRCRSLEEIGGIYRVSKEWIRKIKKPAMEKLRDDEDVRRDLHDFVCHF >Sspon.01G0000900-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:1835446:1838264:1 gene:Sspon.01G0000900-2B transcript:Sspon.01G0000900-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyridoxine/pyridoxamine 5'-phosphate oxidase 2 [Source:Projected from Arabidopsis thaliana (AT2G46580) UniProtKB/Swiss-Prot;Acc:Q9ZPY1] MAGAGAAASALSSPWRALLQRALDANGHATSGTPPSSNSAGGRPANRTVVFRNTLIRFRLIRTPEATRWVAMLCVDWHFFISLLADSLLHFHIGEIKSCPFGEICWYFTDSWEQFRISGSIDVIDASRLLVQTLPSSREKAWFSSSVKSRLQYLGPQPGILVLDDEHVKDVHLDQLAGPVDAFCLLVLDPEKVDYLNLKSNQRLMFTRQNGDGSNDWMAVKNAETAFVGFNKLPTNGKH >Sspon.05G0009210-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:18266044:18269152:1 gene:Sspon.05G0009210-3C transcript:Sspon.05G0009210-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDSRDGRRAALADLSGEVGGGGFFIRRVASPGALAVRGAGKPLAWRYMSPSRNKENLLPAIQRSRARIAAAQQQSQRIEQSPQSVNVTTPAQAEQDAPHIAEASHAVASGSGSTERETVANPATVLADDNLNVSSSTAESSLNTPSKPMDPALADIVEEKLSSSIEKIEKLVRKNQKRTAKAAQASRRATQRRNLMSMR >Sspon.01G0062050-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:99852310:99864033:1 gene:Sspon.01G0062050-1D transcript:Sspon.01G0062050-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LLCRVEFDRLTDLLRARTIESDPPKSIVSREEKNEESIRIDGIGGSALRHMDLDDSLAVKVTRDIQGDIPWCMLFADDVVLVDDSRAGVNRKLELWRHTLESKGFRLSRTKTEYMRCDFSATRHEEGDVSLDGQVVAKKDTFRYLGSMLQKDGDIDEDVRHRISAGWLKWRQASGVLCDKRVPQKLKGKFYRTAIRPAMLYGAECWPTKRRHVQQLSVAEMRMLRWCCGHTRRDRVRNDDIRDRVGVAPIEEKLIQHRLRWFGHVQRRPPEAPVRSGVLKRADNVKSGRGRPKLTWDESVKRDLKEWNISKDLAMDRSAWRLAINVHNHGASSPAELAIQYMSSRCSREPQSSSLRSRLFLENKGEASNIANDRRSGPPIVQAPLEFRKENPGLPVNGYGTSGLRGRSAIYRMSRSPYFKGQNYSNDLNTSSLPQRAQSLHVGGRQVLKRSGAELENELGSIGPIRRIRQKSNMMSSFRDSRANPRGSLLTSHTSGSYFTEDSTSIQSSSSKRLLVGSSQSLRPLESEKNGGDGKSSDNIPPIPAQSNKMAEKIFEQLNIIAPSPKQQQSVTPNASNSMSKKPVLQDAGPSSMYNQSSSLKFQDLDGANGPLDTNLNGSLLKKDKLNIIKDVPPKAAFSDRPTLLGNSVSASKSLTPGFKMAVIEDSPELDDDLEVPIPSSKIEVETTEQKIDSNRKEQKVEQNISEQRVEPNLKKNIAGSPVSEQPVASLSKTVPSSGGLLLSNDPGKAVPNASVDNNMGFAFSNAPPGTRPATGVSAMPLASVKDDKQTGASNSIFGIKQSIASDSETSTVKNKSTLGQSVTKPTTLPSTSPERGDKTEKAEDVAKSSDKVLPSAASTTLNAPLRFTSAASTSSLSNGFSYLPPKLETAPPTDKPAISSAASTSIFAVPSSSPAISSSPAFTAFSFSSSAPVGSSVATSAKSDGTTAENKAASTISFGIGGAKDEVKSVAPDATSKPSSKLFTSPVSSSIASFSSSPVTSTPSFSPVAASSDAAGIPMAAPSSTSTAPGLQSASTPSFTFPSSGNSLFGFSSPAQSTGLSTSSVAGSTSQPSAASTLFGSKPTQSEGTMQQPSQSSKPQSGSPFPAMTPGVGASSSGSGTLSFGLGASSTGSGTISFGVGASSSAPGTLSAFGAAAHSSGPGVFSFGAGASSSGSGTVPFGVGAASSGPGAMSFGSGAISSGPPGAMSFGAGASSSGPGIVSSGAGASSSGPGTVSFGAGVSSGPGTVSFGATTSTSGAGFGNSPFGTGATFANPFSSSSSTGFTFSSPSSSAGPSTVASTSVFASTSTASSASAFSNPFGSSSSPPSTFTFGQSASSGSGFAFGAQPAPTFSSQPSSVFSFTSANTSMNSSPQPTFGMTNTNTAFGMASPGNDQMNEDSMADDTSQAAPAPAPIFGSSSFGQQNMSPAAPVFGAPAVQPAGVFQFGGQQGSAQQNPSFPATGSLEFQGGNFSLGSGGGGGDKSNRRVIKISLQQDDNTIYISWLACKPILKLKLGCLVEPEIRTPIGSRTRRDGDALWTLHSAAARPGRSATHAQGALAGARFISTPLAAPHFAPSSSFLAVPCQTHQQLDTSSHPIIVPLGPNCAYPFRGSLLLDLLFVRFLHLRVLAFDCFFPHLPRRQDDEFPARGIRSPARKRSVPGCWKRHSTSIYPRRDLCRLGARGVESCVSWIRAGVSLGARPEKDSGDSCSPRPPPAAMGNTCVGPSITKNGFFQSVSTVLWKTPQDGDALPTAANGPGGASPDRSQSTPPKPASDVHVAVQSKAPEPVKIAASHSEPAPKAAAKQDAKPANANASPSPNSSAEAPPRPRPKVPQVKRVSSAGLLVGSVLKRKTENLKDKYSLGRRLGQGQFGTTYLCVERATGKEYACKSILKRKLVTDDDVEDVRREIQIMYHLAGHPNVISIRGAYEDAVAVHLVMELCAGGELFDRIVQKGHYTERKAAELARVIVGVVEVCHSMGVMHRDLKPENFLFADQKEEAALKTIDFGLSIFFRPGQIFTDVVGSPYYVAPEVLKKKYGPEADVWSAGIIIYILLCGVPPFWAENEQGIFEEVLHGRLDFESEPWPSISEGAKDLVRRMLIRDPKKRLTAHEVLRHPWVQVGGLAPDKPLDSAVLSRMKQFSAMNKLKKMALRVIAENLSEDEIAGLKEMFKMIDADNSGQITFEELKVGLKKVGANLQESEIYALMQAADVDNNGTIDYGEFIAATLHLNKVEREDHLFAAFQYFDKDGSGYITADELQVACEEFGLGDVKLEDMIGEVDQDN >Sspon.07G0015990-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:62586881:62589652:1 gene:Sspon.07G0015990-3C transcript:Sspon.07G0015990-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSTFSGDETAPFFGFLGAAAALVFSCMGAAYGTAKSGVGVASMGVMRPELVMKSIVPVVMAGVLGIYGLIIAVIISTGINPKAKPYYLFDGYAHLSSGLACGLAGLAAGMAIGIVGDAGVRANAQQPKLFVGMILILIFAEALALYGLIVGIILSSRAGQSRAD >Sspon.07G0033080-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7C:57209218:57209831:1 gene:Sspon.07G0033080-1C transcript:Sspon.07G0033080-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIPLLFPLFMWSSLYFLPCPCLCRAAPELNHGVVHARTDGVNEPENEDVGGEYREFDAEEPDCQQEFLEDADGGKSSLFSFDAC >Sspon.01G0001050-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:3325339:3325947:1 gene:Sspon.01G0001050-1A transcript:Sspon.01G0001050-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEREREQEAGTAQQLLGRRVRADTRHPVYRGIRYRGGKWVSEIREPRKSNRIWLGTYPAPEMAAAAYDAAALALRGAEAALNFPGAALSRPAPASCSPDDIRAAAVAAAAAAVIARSHSPQAGGEAAAAGASTSSSGAGEHRAGDRRIVDEDDVFQVPGLLAGMAEGLMMSPPRLVGPATDGAVSPEEDGSEDGVVSLWDHS >Sspon.04G0015410-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:57800868:57804507:1 gene:Sspon.04G0015410-1A transcript:Sspon.04G0015410-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAASARRLSAAASSSSSSMRASLLAAALNPQRWMHDRNKKAMELVAKGWSALQEVDRVIDYADRNDKRLIPLLRGAKENFELALEIDNDNTHASGAALLVEAANMGDPDAQYELGCRLRIENDYVQSDQQAFHYIEQAVDQGHAGAAVAYGSLLLKGAEIPEVITRFNSGKSPSTGKMRKRTLQQDPIKLAKEQFQIAAEAGCDLGLRWLKRLEDYENQEEKLKQIQH >Sspon.03G0036840-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3D:56173427:56179204:1 gene:Sspon.03G0036840-2D transcript:Sspon.03G0036840-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPWCLPAGAVECGGEFNEVWGSGLSREEGLLVKLAMLLKCVIEVLVESGRPIDAINLAYVFELTEQFEPVQLLKAYLRDVKKVSHARNVKVSPGAQNEMNERELAALKSVIKCIEEHKLEEQYPVDPLQKRVLQLEKAKADKRRAVEAAKPQSKRPRANGSAFAHRATGFADKNFYPVNPERHPSNPYERQFVYGAEAHLPPMHLAGKAAAPRAVHRHGYTPPPATGAAGLPPFRPLVLRHVAAHHRPGVYPVVLLPGSTCSQLEARLTDAYRPPSESLQCGAGSNERDRWFRLWRNMDDPGVAPCLTDQLRLVYDPAVRDFRDVPGVETRVLGFGSATGFLADTEANKYTFAWEGSSTRCSSLQAGFRDGETLFGAPYDFRQPPAAPGQR >Sspon.08G0018890-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:9073259:9073891:1 gene:Sspon.08G0018890-1T transcript:Sspon.08G0018890-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTTPQPQYKRIAACCLLRCAPCRAVRRVVSSPLSCSKHLTAPHLTASPADPAAAARSRSLPGSPAPSSMKRLLRRLSRVAAADACAAAGYQPLRPDAAAASANAKAAALSGARRLGGGARVPGGHVPVCVGEEGGPVERYAVRAELLGRPAFAALLRRAAQEYGYGHPGALRIPCPVADFRALLVQLSSASACAAADHPDDDAALCSYY >Sspon.06G0001310-3D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6D:1620656:1622306:-1 gene:Sspon.06G0001310-3D transcript:Sspon.06G0001310-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLISLAVAAAAAAAALPRPSGATAAPAAADGPWRSCTTAAATSASPTRSASSQAATARLRRHLRPLLPATQAVPRRRRHRGHGHIHLQRHVADQQRLR >Sspon.05G0022610-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:7873870:7877333:-1 gene:Sspon.05G0022610-2D transcript:Sspon.05G0022610-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMASTTSATTAAAAATTKPRGSSSALCPRVAAGGRRRSGVVRCDAVGVEAQAQAVAKAASVAALEQFKISADRYMKERSTIAVIGLSVHTAPVEMREKLAVAEELWPRAIQELTSLNHIEEAAVLSTCNRMEIYVVALSWNRGIREVVEWMSKKSGIPASELREHLFILRSSDATRHLFEVSAGLDSLVLGEGQILAQVKQVVRSGQNSGGLGKNIDRMFKDAITAGKRVRCETNISSGAVSVSSAAVELALMKLPKSEALSARMLLIGAGKMGKLVIKHLIAKGCKKVVVVNRSVERVDAIREEMKDIKIVYRPLSEMYEAAAEADVVFTSTASETPLFTKEHAEALPPVADTMGGVRLFVDISVPRNVSACVSEVGAARVYNVDDLKEVVEANKEDRLRKAMEAQTIITEELKRFEAWRDSLETVPTIKKLRSYADRIRASELEKCLQKVGEDALTKKMRRAIEELSTGIVNKLLHGPLQHLRCDGSDSRTLDETLENMHALNRMFSLDTEKAIIEQKIKAKVEKTQN >Sspon.02G0028180-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:102676169:102676546:-1 gene:Sspon.02G0028180-3D transcript:Sspon.02G0028180-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding PWQGSRWRCSCCWPWRRLPRRPRAGATWTWTWASSPPPVAAGGSAAARWPSASPRRRSPRRRAWTWSPPPSPTAARCTAAGTSATARCGGTTCPAPAAAPATTTAAPAARPTPTTAAAPASPAAAA >Sspon.01G0028610-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:96713031:96714482:1 gene:Sspon.01G0028610-3D transcript:Sspon.01G0028610-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDFHHTWLKARKVFVRMLSSGGGASVGCDTLLDASDLAKRLRKLIISCRKASALEHELDHSGVRVTPEVAECVLERLDNAGMLAYRFFEWARRQKRGGCAHTVRSYHTVVASLAKIRQYQLMWDVVAVMRKEGVVNVETFGIIMRKYARAQKFDEALYTFNIMEKYGVAHNLAAFNSLLGALCKSKNVRKAQEIFDKMNNRFSPDAKTYSILLEGWGRAPNLPKMREVYSDMLAAGCQPDIVTYGIMVDALCKTGRVEEAVCVVQDMSSRGCQPTTFIYSVLVHTYGVDMRIEDAVATFLDMEKDGIVPDVVVYNALVTAFCKVKKFDNAFRVMDDMEGHGISPNSRTWNIILNTLISLGKDDEAYRVFRSMIKRCKPDSDTYTMMIKMFCENDKIEMALKVWKYMRLKQFLPSMHTFSVLINGLCDKGEVSQACVLLEDMIEKGIRPPGSTFGKLRQLLLKEGRKDVLDFLVEKMKILIQEP >Sspon.03G0014500-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3B:68093931:68095051:-1 gene:Sspon.03G0014500-2B transcript:Sspon.03G0014500-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCPVLAAVALKKVDLRSQGDAAVRGSVSPFAAVCLLLCSLAFVVLDMASALKAWLPHQLMFRVSKLLVHLCAIFQMLLAYMILLLIAMKFEASMVVLLVFGPFIVYCCYLSVVRSEEQSERAAVECDKNLEPSLEFSAAVTSLLFLGLEGLALEGQTAGVGRDLDPRLVAPLCLTFVFCVMASAVMLLAAVPPIDYNEAEQCSNMCAFLHASCGALTLFFTAVVLTIVVLLYRENGVAVAVVPCLGLFLLYAICLCVPGGMEPADDDQVTPPASLEMTKVTFTAFLAISLPSFRGSLNGYTHAFIICTAMSVLFGLSWRFLTHFKQRAAVWTAKVACVLTYGCLGAAAFPFVFMAMQALADADEECHIPCNA >Sspon.01G0009000-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:4130985:4134447:-1 gene:Sspon.01G0009000-2P transcript:Sspon.01G0009000-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRPSSLTAILAQGPNLCPVKILLPMGPPDVVSSDNIEYDFSDVFGSTPVQTPTNLGGLGPDSPSPTVESNEEFYSDPIIIINRSHSLVGPTSLVSRSLRLSKLSLGKTEGSSELVKCLSEEKEGDQGQLSDEELGNAMTADEGVGLDDFEILKLVGQGAFGKVFQVRKKNTSEIYAMKVMRKDKILEKNHSEYMKAEREILTKVDHPFIVQLRYSFQTKYRLYLVLDFINGGHLFFQLYKQGLFRDLKPENILLDADGHAMLTDFGLAKEFCENTRSNSMCGTLEYMAPEIILGRGHDKAADWWSVGILLFEMLTGKLLHKEPNKRLGSGPGGSNEIKNHKWFKPINWRKLEARQIQPSFRPNVTGLTCIANFDECWTKTPVLDSPVTTPAGGGHSHFAGFTYVRPAPILEEVNASGSRLKD >Sspon.06G0023820-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:23100700:23101995:-1 gene:Sspon.06G0023820-1P transcript:Sspon.06G0023820-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLVAWTLMTFHFFAGLVQVRSAKGFATDFSISHRKLISLTSEAVSNIRTVASFVQEDEILKKADLSLQEPMRTSRVESIEYGVVQGTSLCLWHMTHAIALSFTIMLLDKNLSSFKDCVRSYQAFAMTISSITELWSLIPLVLSAITVLDPALDILDRETRIVPDVPEVHSEERLAGDIEFQDVNFSYPSRPEVIILDGFNLDIEPGQQVALVSPSGSGKSTVLALLLRFYDPCEGQVLVDGKDIRDYNLRYLRKHIGLVQQEPILFNLSIRENISYGNEGASESEIVEAAMEANIHEFISGLSNGYDTVVGDKGSQLSGDQKQRIAIARAILKRPTIMLLDEATSALDGQSEIVVMSSLLAKEWKNKGGLSSKITSITIAHRMSTVTSADVIVVMDKDQVIELGSHETLISANNGVYSRLYHMQSKGVKD >Sspon.03G0020400-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:63227435:63242242:-1 gene:Sspon.03G0020400-2B transcript:Sspon.03G0020400-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:E3 ubiquitin-protein ligase PRT6 [Source:Projected from Arabidopsis thaliana (AT5G02310) UniProtKB/Swiss-Prot;Acc:F4KCC2] MHNITLSLQKLILYGVPEEQLQGHQEGLVWYMEEHKEQIPDIVRHILSVGTDISEARKASKNDANSSSNGDAYSESLSWLQWLMFKNEPGAMLDDLERSNAGERAVCGSVWGHNDVAYRCRTCENDPTCAICVPCFQNGNHKDHDYSIMYTGGGCCDCGDATAWKREGFCSKHKGAEQIKPLPEELARSVGPVLDVLLQFWKEALCLLEPPHAKGGDSSSCKRIAEELTTSISSMLLEFCTRSENLLSFLSLRIREFPDMLDALIRSDRFLDKKVARKLHEFLLKLISDPAFKYEFAKVFIRYYPITFEEVIKGCNDSLLEGYPLMTTFSVQIFTVPTLTPRLVREVDLLGVLLGCLTDLFLSCIGEDGRLQTNKWGNLYDASIRLLDDTRYVLSHEEVSKHVAYERPDLTRSWIKLLSLVQGMDPQKRVTSIHAEDENEHLSAPFVLGHYLGIVQNLMMRGSFSPPDQRESTDVTVCSTAIKGVESAENQRHAKVGRVSQESSVSNLSSRDSSLCCGLPSPAAWLILQCLKAIESWLWLENDIALRSKLSSLDASSNDSHNFMASLEDPWSSLKGNSSRGIKINEGSQTDCTTDYYEASSSPVQGQGNRMQIDQEGMSPVSNSTGKGKMHDSSNTTDVQLHPEDAVTYTLTDGSILYAHPDSRIEELGILNTREWPHVVFDVSSQETSFHIPLHRMLSLLLRKAMKKCFGEDRHSDVQSNEFFSQILRGCEPYGFASIVMEHPLRVRVFCAQVRAGMWRKNGDAAILSAEWYRSVQWIDQGLESDLFLLQCCAALSSPEFFVRTIQERFGLSSYTDLGLAEQNEFESVLMQEMLTFLIQLVKERRFCGLSTADNLRRELIYKLAIGDATHSQIVKSLPRDLASSDLLQNVLDSLAAYSNPSGMKQGKYVLRKSCWKELDLYHPHWNSRELQIAEERYYRFCKISALNAQLPQWTHVFNPLRSISNIATSKAVLQIVRAVLFYAVCSDASSASRAPDNVLVTGLHLLWLALDICESERQIHAGQYGMDVVQHDDGSWVVLSSAEEAFPILTYSTELVSPVSDKVKKESMLTLLVSLMHKYKEESDATFSGSKYCNIPSLIEILLKKFAKLSKECMVTLRQMAPHIVPSTSDHTSTKESLGSSSDFMEKKAKARQRQAAIMAKMRAEQSKFAESMKSSENEGHDVTMLETDVSSSTGVVSEESLPVCSLCRDSDSKSPLCYLILLQKSRLATFVEMGNPSWENPAQVNKTVSVKREDSTDSSVSGSSTSEELVNDTTVEPSFDIDNMEVDAFLDFSNEQHPLIRYISSFPTGHSNSNADENVSLEAIEADIYSSILNDLFGSSNAHIQDSDQMLPSNTSNITVDTKRTRSPKRSVLGTYVSCLSAKHHHSSLYDVASKSSASVTTRNRFGPVDCDGIHISSCGHAVHQECHDRYLFSLKQRYVRRLGFEGGHIVDPDLGELLCPVCRRFANSILPASPDFSGKTSRMVRPFVQTLTPQVVTPTSDVNRNCLQFPRALSLLESAGKIVGESKFLKAISGKLNETTNPALDPCIRRLAMLYYPRSHSSFSPSKRLNPSLFLWDTLRYSLVSTEIASRGRMSSHSAESKSCLESLRGELNSSSGFILSLLFHAAHSARNLNRLEVLLRFEGIQLLAGSICSCISGYKDILNATKRKGSLPSMVDPASEGGLFPDIQFWKQCADPVLAQDPFSSLMSALFCLPVQFLSSAEFFIPFVHLFYVVCAIQTMSGYDIAREYFVSKYIDPSCHPKDMVRRLTYPYLRRCALLWELLRSSATSPLYDGSNIWEGSHLYLSNSTQDGSSSLAMELNGLRELEDLFQIQSLDLILQDESVHMLALKWSQHFCEDYNPRKYRGTLFSTPAVPFRLMQLPDVYQVLLERCNALIVAQCLMNRHCVCFVANYVRLKTTILLQRSARLAFWPSLYLDAFGEEDLVDVHIVGTVVQLGVDPLRRKRGYGTFE >Sspon.06G0014460-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:86504353:86514477:-1 gene:Sspon.06G0014460-2C transcript:Sspon.06G0014460-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSAMPPPASRREHVERIRRERYFIGRGEQNPLAEDVHQAVNYLSQELYSKDVHFLMELVQNAEDNEYPSGVAPSLEFLITSMDITGSGASSTLLIFNNERGFSSTNIDSICRVGKSTKKGNRHHGYIGEKGIGFKSVFLISSQPHIFSNGYQIKFNEKPCAECNIGYIVPEWVESGPTLSDIKAIYGCYKILPTTTIILPLKSEKVDAVKKQLSSLHPEMLLFLSKIRKLSVQEHNSDPKSSTVSEISISSEKNYQARKNMHAESYTLHLSAEESGKGEEECGYYMWRQKFPVKPENRVDKRAEIDEWVITLAFPHGQRLSRGKQLSPGVYAFLPTEMVTNFPFIVQADFLLASSREAILFDSPWNKGILECVPSAFMNALVTLVKSRADAPAMCLPSMFNFLPVNSSLIPLLEPVRSGIKNKVLAEDIVPCESYASQKIFCKPSEVARLKPAFWTILGKARESGADLKSLSTHGTYILSSHFDNSRYNSVLEFLGIKSVSTEWYAKCIEGSNLVKEVHEQLYLEILYFVASNWQNCFSGTHMMSVPLLKYIDRNGVLSFWSISRASQQNDRLCTASNMNCTSWLISWNREFPSSDRFFLHPSTQAALDSFSEKMTVESWLQNHARMEFVSVYSYGLTVAASLSIDRRPVIAFAHFLYHSFKMNYIEKYWLSELCHVMPVIDSGGHVVKERKSIIVPANGSKWVGLLGANPWRDKGYIELSADYKSVGHFAGNYTSKDQLLEFLKMHLHASDVPFIHPPNARFHTVSSPLTVDNAFLLLEWIRNIKSNGVILPDQFLACVKEGSWLKTSVGYKPPNESFLSSANWGSLLQSGTSFIDIPMIDQQFYRNKLHVYKQELKAIGVRFEFQEASSYIGSHLMSMAASNVLTRDCVYSLLRLIRFLQEKVLSPSQLIDSVKGGGWMKSTLGYRRPSDCIIKDSEWAVASCISDQPFLDVEFYGEGILSYRPELELLGVIVGFKNNYHLVIDNFKFRSSAITSESTVFILKCIRYVGSCQDFIRKLRDLKWVKTNVGFCAPNDSFLVDSEWECLVKIFKGVPIIDLGFYGSVISSYKEELKKAGLITRFEEASKAIGNVFKRMVSESSLTKANVLALLLAYRQLRTHSPLPVELFNCMRTEKWIHTSLGFQSPSNTILFDNAWQYLSPIAILPFIDDGDTCHGLGKDIYGYKDELRELGVTVEVKFGARFVLAGLSIPDDPSIMSKATILSFLECIKNYFDSATEPPKGFKDKISKKWLKTSLGYQCPDECILFNAKQCFLHMEDGPFIDEAFYGSEIASFKHPLAMIGVTVDVNCAQDLIARYLRNKTDTDTICRIYTYLKKCDWKPDNNNKNWIWIPNETEGGDWVSSRSCVLYDKNNLFGLQLHVLDKYYDRKLLDFFSLAFSVRHGPCSEDYCKLWATWESSVHELAISDCFAFWKFIAMNWTKKTEEILSGCVKVPVCTDGKIILSNKENVFIPDDLLLADLFSKHPQQSLFIWYPSSALPSMSRARFNRIYGSIGVKTISKAVTKNDSFTSANSRFKTVVLSKVIKVGLLQIVLSFVSNPAFDIPAEDRHKMVSCLLNVTIEETDEPITMAYSVSLSSGEVVEVKARRMLRWERENSKLYMQRTDGVSTYEDKIEFATYFAHEISHGLLFQMPDQISSLAELIKIGSLLDFEPAAVAFLLKSKNLQLFPEDEDFLF >Sspon.02G0030450-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:110826451:110831789:-1 gene:Sspon.02G0030450-1A transcript:Sspon.02G0030450-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ureidoglycolate hydrolase [Source:Projected from Arabidopsis thaliana (AT5G43600) UniProtKB/Swiss-Prot;Acc:Q8VXY9] MPSKPNAASRLGLLAFLLVISAAAGHDDAAARRTMEQFAGFAAADDGEGPSGDFRVDSEGLQRQIDELASFSDSPAPSVTRVLYTDKDVQARRYIKGIMNQLGLAVREDAVGNIFGRWEGSEPGLGAVATGSHVDAIPFSGKFDGVVGVLGALEAISLLKRSAFQPKRSLEVIMFTSEEPTRFGISCLGSRLMAGIEELAQSLRKVVDNQNVSFLDAAESAGYKLHLDDLHSVFLKKDSYSAFIELHIEQGPILEKEGRLMVYKSSLTIGIPIGIVTAIAAPASLKVDFEGNGGHAGQCLCLQGISTYMYVKGVFYRNDAGLAAAELALAVEKHVLESGSIDTVGTVGILQLHPGAINSIPSKSHLEIDVRDIDEKRRNDVIEKIRRSATQISKNRGVELSEFKIVNQDPPALSDKSVVDAMEFAAKQLNLEYKKMISRAYHDSLFMARGIDVGASPGSWCGGCQGDRETVVLRAHQQENTEESAGDGQLCGEVRRHRRWEVKDRWEGPMRKQRSVSITNGYDLHSLLQ >Sspon.03G0038620-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:6780028:6781739:-1 gene:Sspon.03G0038620-1P transcript:Sspon.03G0038620-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MELTWSCCFLLASCARALRRLLQLPALLCCEAMAWALTFIAFPLRMLTAVERERKLGRLVAEMQAQMDDLAWENTALEDRLRAALREQEAVEAVLDEMEDEHEDALARIHVLETQLKALRQENMRLNEHKGKSKWDKPAGPAARHGSGGNRASEPDKKPAKRGWGEEDAAMREEAAVKRADPASVLALTTEAASPVSSSAAADEALATAVARRRSLFSLGMSLAVGAVAWSADAPCLPLLAGLLAVVAVSMRSVSRLRRAGDRAGPVGDAVALLGLNWFLLGLLTSPMLPGVAHAVVPRAGRTLGPALTWLAAAAPL >Sspon.05G0029570-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5B:81001520:81006598:-1 gene:Sspon.05G0029570-1B transcript:Sspon.05G0029570-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLELGKTYTMEGGGGGGGGKAQNGDLPSDAGVIPRAVKRIFDILEAQSAEYSMKVSFLELYNEELTDLLAPEESKFSDDKSKKPMALMEDGKGGVFVRGLEEELVSSAAEIYRILERGSAKRKTAETLLNKQSSRSHSIFSITIHIKECTPEGEEMIKCGKLNLVDLAGSENISRSGARDVNQKMMKSALIKDLYFEMDRLKQEKYNRIISHPSITICCKEKNGVYIPREQYLADEAEKKHDRGILQAMSEKLDRLELTLESKDKQLEELQGLYDSQKVLSADLSDQLQTLQKRMKETECTLADLEAKYMKANNTIKEKQYLIENLLKSERKEKIEDTNRSTIQHFHSQLTQDISVLHRTVSTSVSQQESQLKSLEEEMQSFVTSKGKVAGELQEHVRKLKERFNSRIAELHGFANELKHKSVLSFENLNSQVITHTSGLEDCMKGLLVDADQILIALQNGLSQQEVNFAIFIEQQHEGLSRNLERTKSVSTTTMNFFKTIDSHALELIKILEESQMEHQKQLFQLQKKFEAFVADEEKYLMEKVAGLFAESNARKKNMVQDDICSLNRTASERSNNLQTETTKLHDFTSSMKEQWEAYMKRTEEAFQQNVSSIEQKRCFLAGNLQQCKGRVESCSEQWITAQNLVLALGRNSAEAIGSVISAGNEVSNQLDARFSSAVTAGFEDSDISSKSLLSSIDDSLRLDHGICENVKSIMMTSRTELHDLEHGHYEKTKVITGNADRSLGDDYKVDEATCSTPRRREINIPDSQSIRELVTPLEDLVTAFWDSRTPTKLAVNGNGKQQLA >Sspon.02G0004430-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:13896464:13901421:-1 gene:Sspon.02G0004430-1A transcript:Sspon.02G0004430-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSDSNSATGTPIDPLILLPSPFDRTGLLAGTARSRDRAPLDALGLSISFSFVITGGKTQNVSVPPVEGVAGGGTSYGWVDGGLRGTNLGAGVIDPTKVHSEDLLHVWSMPSTANVSQQEAPRPLEKINLLAARNERESFQIALRPKVSWATSGIAGSVQIQCTDLCSSSGDRLVVGQSITLRRVVPILGVPDALVPIDPLSPQVNLQPGETVAVWVSLNVPCGQPPGLYEGEIFITAVKTELELYRELRSCLDLTGPRDYSSPEEMVQRLTSASTALRRVLDNPALQDCQENNGFGDMMDEDVMNNVSVRLKLSLTVWDFTLPVTPSLPAVFGISETVIEDRFCLEHGTEGWYSALDHHFRWLLQYRISPFFCRWGDSMRILAYTCPWPADHPKANEYYSDPRLAAYAVPYAPILSCTDAAKNSLRREVEILKSKPHWSKAYFYLWDEYDMICNISNELRSYAPDVRILTTYYC >Sspon.03G0037190-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:92042127:92045132:1 gene:Sspon.03G0037190-2C transcript:Sspon.03G0037190-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVEKMKYVVVTSGVVSGLGNGVAASSIGAVLKACGLRVTFIKIGKFFHVFLIRQITHTAPYPYLNTDSRTMSPSENGEVFVLDDGSEVGIDLGNYERFLDVELTGDNNITAGKIYQHVLDKERRGDYLGKTVQVIPHITDAIQEWIERVALIPIDGREGRPEKTKPTQCSVQSLRELGLKPNLLACRSTTPLNKNVKEKLSQSCHVPDQKCHEAILGILGLARYGNVSYTDERHRRRYEVT >Sspon.08G0023010-3D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8D:53965639:53965974:-1 gene:Sspon.08G0023010-3D transcript:Sspon.08G0023010-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGPASVKAGSRPPWLGLGAAAWVQVAGGASSTFALYSHALKVSLGADQSRLALLGVACDVGENLGLLPGVLCNRLHPRCSSSSAPARACSGTAPPGSSSPASRPRCPTGW >Sspon.01G0057350-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:4912669:4915447:-1 gene:Sspon.01G0057350-1D transcript:Sspon.01G0057350-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AYGREIIGREKGMGHEEELPLSSSSLGYLMQCRICHEEENEGRAIMESPCGCSGSLKYAHRGCVQRWCDEKGSTLCEICLQNFEPGYTMPPKKTPVVETVVTISSLYTRTHSCLYRHNLLHCPIRSQFTIMLLAWHLVAVVTVEAADHCAFSLLTMYLLRAAGILLPLYVVMRLIRIVQTGQRHYWLQLLEDQRRNASTI >Sspon.02G0013620-4D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2D:29361760:29364305:1 gene:Sspon.02G0013620-4D transcript:Sspon.02G0013620-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGFCAPAAGSPPDEATPEPFRSLQIATCTAAAAAATTKKKRRPAGTPAKLGADSEIDPSILQTNARRGAAMSADPDAEVVSLSPRTLLESDRYVCEICGQGFQRDQNLQMHRRRHKVPWKLLKREAGEAARKRVFVCPEPSCLHHDPSHALGDLVGIKKHFRRKHSGQRQWACVRCSKAYAVHSDYKAHLKTCGTRGHSCDCGRVFSRVESFIEHQDTCNAGRARADASTACGAGVAAASAGSQQQAPPAMSLSRTASSTSPSSDIVISPVAWPGPAPAIRSPTTAAFHRFEQVQSPRTPPSDHHRGGHHNLELQLMPPSCSGAGGGAPAYCGCAPRTPAVPPQSHAAAVMQLQLSIGVCGGGGFGDAGERGDEVMMLAAAAREKEEEAQEQLRQAVAEKAAADEARAQAKRHAELAEQELASAKRMRRQAQVELSRAHALREHAVRQVNATLLQITCLGCRHKFRARAPQLMGAVVAPEVACSYMSSVVTAEGGDAVVVDDEPPLDGAEAMLRRRQHAVAMDVVL >Sspon.03G0002720-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:22101456:22104656:1 gene:Sspon.03G0002720-2C transcript:Sspon.03G0002720-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCGCGSSRPAMAKSKSDDSGNSWEYRLRKYLLLLAMLVATVTYGAAFNPPGGVWQDADPAHDRIAGDPIIRDINYRRYLAFFYSNATAFALSLVVIVLVLILAVLHERRCASLAPLRILRVVMVLDLFSLMGAYAAGAFRDKLTAIYSLVLLAGVVLYLAVQMALAWPEDRKEDSAPESAPQGLRKVAHMAMASQEDSASERFHKTVHMALASHKDSAPERLRKVLMVLATFAVSVTYVAGLSAPGGFRDRAEGDYRPGDAVLKGSRHDERLKAFFVFNTTAFVASLLIIIILLDKKLTFSRNVRLGELYVFIALTLIGLVGAYSAGSCRQVDTTIYVNSLIGAVIACILLQAAAIKFCKKAIKKFCKASTKNSCLCNGEGSIHGKVSEWLRRAKQCCLGPTTQDPSTGNEDASVKQQQTQALENARSLVLLLATLAAAITYQAGLNPPGGLWQDDGDGYKAGDPILLTMSPRRYKAFYYCNSVAFVASLVAIVLVRMKTLHHHNALEAAMILDLFGLIGAYAAGSCRDVSTSIYAVALAGAVLVYVVIHVVLFTLDHNDDGSTRRNDEASTEEKKDAELEMVKKRRKRLLLFAILAATITYQAGLTPPSGFLAKNDLGTGNHAGDPVLLNNYPRRYTAFFYCNSVSFMLSIALIILLVNPNLYRPAIQSNALSVCTAVGMMGIMGAYAAGSTQHRKTSIYIFALAGFVLSVVILLVVLFLVIDPDKKNKTADESDQEDGSADEAAQEGRCQGHCISTSACFSRSADEATPRAADTVNPIESNLEAQITDAPNNTKAESGYEKKLHAKRKYLMLLGILVAGITYQAGLAPPGGVWQSNDGGYEAGDPVMHDNRRHRYLAFFYSNSASFVASVVVIVLLLPGSLPASEKGSALASEKWWMDDWWLGVMNFTIVLDLLGLLVAYAAGSSRSWKTSGYVSALVIAVLAYFVIHVVLSCVVRISKSKDAQSQGATSQPKKELQLPQIG >Sspon.02G0041380-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2B:75260335:75265841:-1 gene:Sspon.02G0041380-1B transcript:Sspon.02G0041380-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKLPNITVVHYHSGGEEAVAQVLAAPAPALDASAVSGAVRSPVAQALAAGHDAPPGGSLHPAVTGPGGRGGAPFPNPPPWIPARSFTPARRFTRPARSSRLSSSSRSSRPSRSCSSRPAIRRPARSSSPSPTPMTSPLMTVCAPCCPAAPAPWCPALLLMVLARTPSSLPHSSPPGLPPGRGRLGYVRPPPSGRASAMRQTLWPARSPRRSSFSPLPPRRVLGPPLPRRNIRLLVPVVLEPESPSYSRWRDPVLLTLRRYALDDHVLVDASVAIRTPSWFRLDSIVLSWILGTISLDLHDLVRTSPDAHRAWLALEGQFLGNAEARALRLDASFRTFVQGDLSVGEFCRRMKGMADSLGRILVLNVLRGLSDRYAHLRTWITRQRPFPTFLEVRDDLVMEELTQGLQPGSTASPGSSSSSTALAATPPRPSAPPRPSAPPPQSLLGPPPSGPSGGGGGVAVVVAAAEVAGAARVVSPTLRYRVGHRGGTMAFFPEPVVWAHLHVALTRPRGRLSPTGGHAHQSSSRWYSTRRPPCYAVDFTRGCRLGPGGPGSLVQHHGPDTAVTSVGTAGAHGSFRLPDVLVAPSMVHNLLSIRHFTADNSCSVEFDSSGLTVKDLASRRPLLRCDSTGPLYTLRFPAAPSSPSPPVLSAAFAASASSTTWHRRLGHPGRDALIQLSRSSGLPCTRAHDEQLCHACQLGRHVRLPFSSSSHAARIFDLVHCDLCTSPVLSISGYKYYLVVVDDFSHYSWTFPLRAKSDTFTTLLHFFTWVSTQFGLTIKAVQCDNGREFDNSTSQAFFLSHGVQMRMSCPYTSSQNGKAERMIRTTNDTGLHTSTYLLNRLPFAACPAPTPHHALFGTPPRYDHLRARCACYPNTAATSSHKLDPRSTLCVFLGYSPDHKGYRCFDLTSRRVLICRHVVFDEYRFAVLRADHLSRIPSGSGPVVPWRGPVLFDWCRSGAPAPAPPSRFAAPVRVYQRRPRPPPLAVPSPPGTPEPPPQSPPAHGAPPVYHPPLLHRHPRHVHPMVTRHAAGTLPPRALEVSTGDAVVSPVPSSVRDALLDPHWRRAMEEYAALLANQTWELLPRPPGSNVVTGKWIWTHKRRADGSLERYKARWVLRGFTQRPGVDYDETFSPVVKPATVRTVLSLALARSWPVHQLDVKNAFLHGLLTETVYCSQPTGFVDSTRPDMVCRLNRSLYGLKQAPRAWNHRFAAFLLTLGFVEAKSDTSLFVYHYGADTAYLLLYVDDIVLTASSEPLLRRIIAALQLEFAIKDLGELHHFLGVTVEHRPAGLLLHQRQYTRDILERAGMTDCNPCSTPVDTQGKLSEAEGPPVADPTAYRSLAGALQYLTFTRPDITYAVQQVCLHMHDPREPHLTALKRLLRYLRGTLDYGLLLHRASSTDLVVYIDADWAGCPDTRRSTSGYAVFLGGNLVSWSSKRQPVVSRSSAEAEYRAVANGVAEAAWLRQLLAELHTPPSRSTLIYCDNVSAVYLSTNPIQHQRTKHVEIDLHFVRDRVAMGEVRVLHVPTTSQFADIFTKGLPSSTFAEFRSSLNITGG >Sspon.02G0036450-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2B:24743463:24743640:-1 gene:Sspon.02G0036450-1B transcript:Sspon.02G0036450-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYRLGKLLAYVGIDLGHEMDSRLGKLLVHVRIDLGHNQDLAHKIGPPKLMSRLVAVV >Sspon.03G0016480-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3A:52180131:52180480:-1 gene:Sspon.03G0016480-1A transcript:Sspon.03G0016480-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAKFEDLLLRDADEDDCCYGLLQELLRAALLQELVVDLESVAVACGCRCMSTAAGRQLLAVAVACSYGGQAVHVCLSHMCFDRSKDGGGVECLQFDVFSLLTHMCFSQRLHGRRV >Sspon.04G0025290-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:25919187:25920065:1 gene:Sspon.04G0025290-1B transcript:Sspon.04G0025290-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding TDMTQGSDQAGAGAVAGTPPAPTSAGAAGVMTLASILTVAGILLLFVFFAFGIVALQYCINAWDRENQLQGEQSRRRRGRRGPRTTAGGSSGPEPANYATGNLPASVLLGVAGHGAVTAGAGSTAAMVIEIPELAVPTPTLTPRDAAKSPGSARLRSIRRLWSFGRQGAGATSSCSCAGAGASEGVDLEQGT >Sspon.05G0013680-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:49738425:49745334:-1 gene:Sspon.05G0013680-2B transcript:Sspon.05G0013680-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSCMRCPAAAGAASARRAAAQPLATAAAVSFARCGGLGRSAASAAACWRIHAVAPQGAKAPMDADVKHVVIPPTSPKVENGSPSEITLDEFEDLSALCKNDDSTVSITVVGASGDLAKKKIFPALFALYYEDCLPKACHFTIFGYARSKMTDAELRNMVSKTLTCRIDKSGPRTLLSLSRENCSEKMEEFLKRCFYHSGQYDSEEHFLDLDKKLKQHEGSRVSNHLFYLSIPPNIFLDVVKCASKSASSVNGWTRVIVEKPFGRDSESSAALTRGLKQYLVEDQIFRIDHYLGKELVENLSVLRFSNLVFEPLWSRQYIRNVQLIFSEDFGTEGRGGYFDGYGIIRDIMQNHLLQILALFAMETPISLEAEDIRNEKVKVLRSMKPLQLEDVVIGQYKSHIKGGTTYPGYTDDKTVPKDSVTPTFAAAALFINNARWDGVPFLMKAGKALHTKRAEIRVQFRHVPGNLYKGSFGTDLDRATNELVIRVQPDEAIYLKINNKIPGLGMRLDRSNLNLHYAARYSKEIPDAYERLLLDAIEGERRLFIRSDELDAAWSLFTPLLKELEEKRIAPELYPYGSRGPVGAHYLAAKYNVRWGDLSAEHYKA >Sspon.01G0010150-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:28421541:28422323:-1 gene:Sspon.01G0010150-1A transcript:Sspon.01G0010150-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPAGGEDPEADAAPSCSGSGSADEADPHERALPNGDIYTGQWRGAVPHGAGKYLWADGCMYEGEWRRGKATGRGRFSWPSGATYEGEFLDGFMHGAGTYVGAAGDTYRGVWAKNLEHGAGEKRYANGDRYDGEWRAGLPDGCGRYAWRDGTEYAGGWRAGLIHGRGALVWANGNRYDGGWEGGRPRGQGTFRWADGSLYVGFWGREAPGGAVHQKGVYYPSPAATGDSPRARDPRDVFARDLRSACAPEPRPNQRSRL >Sspon.06G0023520-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:45211210:45212020:1 gene:Sspon.06G0023520-1B transcript:Sspon.06G0023520-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPAQHSISSEAEELRKATTFHPSLWGDFFLTYQPPTAPQGINSFDLHIDVDRLMKLTYIYLSSTQQAYMEERAEVLREDVRKILIGSTELPETLNLILTLQRLGLDYYYEDDIDKLLHRIYNSDYSDKDLNLVSLRFYLLRKNGYDVSSEEGGFAYADTASLLSLYNTAYLRRHGEKVLDEAISFTRRWLR >Sspon.03G0018310-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:80282734:80284149:-1 gene:Sspon.03G0018310-2B transcript:Sspon.03G0018310-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVKSKILVVGGTGYLGRHVVAASARLGHPTVALVRDTAPSDPAKAALLKSFQDAGVTLLKGDLYDQASLVSAVKGADVVISTLGSLQIADQTRLIDAIKEAGNVKRFFPSEFGLDVDRTGIVEPGKSILGGKVAIRRATEAAGIPYTYVVAGYFAGFALPSIGQQLAQGPPTDKAVVLGDGDTKAVFVEEGDIATYTVLAADDPRAENKTLYIKPPANTLSHNELLSLWEKKTGKTFQREYVPEEAVLKQIQESPIPLNIILAFGHAAYVLGEQTGFEIDPAKGVDATELYPEVKYTTVDEYLNRFL >Sspon.05G0019510-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:5A:83621978:83622514:-1 gene:Sspon.05G0019510-1A transcript:Sspon.05G0019510-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGPKRGIDMYGDALLEYDMRIKTGDREEDDLQLIDGVSVLPDIILRPCNPYTNRLCGDHGAVDITRALIYKAVEATVDVAISEVRSNFDLSLSSFTSGIDKEIQLFRGAIGEPRRLRRYVVAVVINTSLHLKFKVGSEEHDCYFKATAHGCSSQHVRTELASISVKVVWSTMPLQIK >Sspon.04G0035690-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:15388519:15396169:-1 gene:Sspon.04G0035690-1D transcript:Sspon.04G0035690-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABC transporter D family member 2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G54350) UniProtKB/Swiss-Prot;Acc:Q6NLC1] MTSIMRRCGRRRATPPPAAAGGGGEVAAPDAQEKVDCSESASPGFGSRCHSRIGLVLYRCIDRFRCGLVRLRDGTDLKTLATRFWKVAAPYWWSEDKTQARLRLAAVFALTLGTTGISVGFNFLGRDFYNALADKDQEKFTMQLLYYLGAFAGGIPFFVLRDYARETLSLRWRSWMTSYYMKRYFRNRTFYKIQSQSIIDNPDQRINDDLSAFTGTALAFSLTLFNAAVDLVSFSNILYGIYPPLFIVLVVYSLGGTAISVFLGKNLVNLNFMQEKKEADFRYGLVRVRENAESIAFYGGEENELQLLLDRFRRAFENLSELLIASRNLEFFTNGYRYLIQILPAAVVAPMYFSGKIEFGVINQSSISAFSAVIDRLGEFDDLLDGNESSPSSQRDSIDGINIVFKSNGPSVLSSNGSLTQSDQSMVLEIRNLTLLTPRSGNVLITGLAMELKDKDHLLVMGPSGSGKTSLLRALAGLWTSGTGEIIYHVRSSMQLQKSNSSSDEPSNMNLEGGELLRSSKQRRDNGIFFVPQRPYMVLGTLRQQLLYPTWTEKAHHSPDNDAQNSDPLPFLSEVSTSDGISAKSEVPTTSELIKVLEIVKLGYILPRFNGLDSMHDWASVLSLGEQQRLAFARLLLATPTLVLLDESTSALDEANEAHLYSQIEAAGITYISIGHRKTLHKFHNKALYISKSDSTDTNLRSWELKPADQLPLEEPSPFPS >Sspon.01G0041620-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:51907265:51923961:-1 gene:Sspon.01G0041620-1B transcript:Sspon.01G0041620-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:8-amino-7-oxononanoate synthase [Source:Projected from Arabidopsis thaliana (AT5G04620) UniProtKB/Swiss-Prot;Acc:Q8GW43] MTLRDALVDAALTTLSARKLLFNTRLITLAPPPALPETFAGLESWDRATVEIQVDRNSLQQWLTTNGEVSIQEEVSRKLILFSGNDYMCLSSHPAVRQAADCLLCPTGFSANTALMTALGSISSLLACAGRKPAPDERIAIFSDALNHASTIDGIRLVERQQEAVAFVYNHSDMSHLDFLLIFSMDGDFTPLPELVKLRKKYGYLLVVNDRDDGSQIGSFLAIEEQWAVIWLATALARGLDSE >Sspon.05G0013460-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:47126182:47131313:1 gene:Sspon.05G0013460-1A transcript:Sspon.05G0013460-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHSTSLLRLIFLALGAALVLLVVRSAFRLPRGIHTPTTSLFDDATAGSSCTRFAPWGCRQADRIKQKPKPEPPSHENDVPLHPLDPLTVTEINRARELLRAHPPFASSPSSMFVHSLALDEPDKPVVLSWRKGADPLPPRRAVAVVRFHGEAFVLAIDLASGAVTPLPVPASGYPTMTMDEQVSLCYAPFSDPAFNATIQRHGVRMSDVACLPISLGWYGPTEENRRLIKIQCFSAEGTANFYMRPIEGLTVLLDMDTREVIRIFDGGAGIPIPPAANTDYRYARHMQEDDGGDQTSKSEAGFQKVRAPSMEPGPSGPGVELVDGHTVRWGGWEFHLKADARAGMVVSRARVQDPGTGAHREVLYKGMASELFVPYMDPTEAWYFKTYMDAGEYGFGLQAMPLVPLNDCPRHARYLDGVFVAANGRPYVREKMICVFERYAGEVAWRHSESPITGMDIRESRPKVTLVARMVASVANYDYIMDWEFQMDGLVRIKVGLSGILMVKGTAYSHLGQARENEDMHGTLLSENVIGVIHDHYVTFRLDMDVDGADNSFVRVEMARQETAPGESPRRSYLKATRHVARTEKDAQVRLKLYDPAEFHVVNPAKKTRVGNPVGYKVVPAGTAASLLDSEDPPQKRGAFTNNQIWVTPYNKSEEWAGGLFVYQSKGEDTLATWSERDRPIENKDLVLWYTLGFHHIPCQEDFPIMPTVSSSFDLKPVNFFESNPILKQRPTKEDDLPICAATAA >Sspon.07G0000790-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:1899546:1903965:-1 gene:Sspon.07G0000790-1P transcript:Sspon.07G0000790-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVSASSRVRSSRARQIGFDDVKECPHLCTLAYDYLRKNKGYEENIFAFFQNNMDPEPLIVKFIEELDKCIVGYFSFHWNICHLHNYPGKWVHSDIDRFSVEGATKRKFKNFVLEATREQRFERVTRNLKVTRFFSTLVEELKAIGLSSHDDSPRNDVMVPVAHCNRSPVLLLMGGGMGAGKSTVLKDILKEAFWSGAAANSVIVEADAFKETDVIYRAISSRGHHNDMLQTAELVHQSSLDAASSLLVTALNEGRDVIMDGTLSWEPFVQQTIAMARAAAVPHGRGYKVTDDGTITEEYWEPIENSNTDEENEVPARKPYRIELVGVVCDAYLAVVRGIRRAVVTGRAVRVKSQLQSHKRFTTAFNSYCSLVDNARLYSTNTLGVPKLIGWKDGESSLLVDPEEIGCLDRLRSLNEEANCVHELYADGQPTGGLSSAWQDLVMSPLRASSQRELKAAIERNEARFNHA >Sspon.05G0018040-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:75936065:75936715:1 gene:Sspon.05G0018040-1A transcript:Sspon.05G0018040-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAIDDSCRRPGSIPFKWEICPGTPKHVRSSSASAAPPSSSPYSTSTTTVSKVAVSPKLTPPPAMSPSPYHSPRVSYYSSAARSASVSPSRRRPPQHRPTAFLDVNPRVAPVYRAGYVDDDEAPAASRCFPLPVFRRRDGSRKGGRRSSSETGTASSGSSSASSFWSDYGGAPAVPGGLRRSVSTSSSSCLSLSSRSSGKLAEAREAEAAGGWFY >Sspon.01G0039040-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:19619713:19626725:1 gene:Sspon.01G0039040-2D transcript:Sspon.01G0039040-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRALLMLTCFSYDAVNVPVPAKENAHGSEKRQRRRWTGEIKRKILAATRRPRIWAVREEEPCDVDVEEQRAQAPEPKFPEPQATPRREGARAPSLQSDRSPSDDGAPEAMAVAVDGRRKRKGLRVRFDLPPQEISAAPPVEPRFPTGVLGEPPESFARSGADMTAAFLMSVIAEKSRGMYSATQVDRDLVACWTERKAACSRRLSYLRDYCPFQREEEEAEDEEDEESEPETTTRPEAAEPGHAEVRPVKAGLPFDSPESEAEFVKAIRSSATSADDFSNLVADALNRYLTEASKRNKILRKRENLTNRTTANLTPLSSSLMSSTAHL >Sspon.03G0007960-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:21416207:21421745:1 gene:Sspon.03G0007960-1A transcript:Sspon.03G0007960-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPRVGNKFRLGRKIGSGSFGEIYLGTNIQTNEEVAIKLENVKTKHPQLLYESKIYRILQGGTGIPNVRWFGVEGDYNVLVMDLLGPSLEDLFNFCSRKLSLKTVLMLADQMINRVEFVHSKSFLHRDIKPDNFLMGLGRRANQVGVNTIFSMENKNLTGTARYASVNTHLGIEQSRRDDLESLGYVLMYFLRGSLPWQGLKAGTKKQKYEKISEKKVATSIEALCRGYPTEFASYFHYCRSLRFDDKPDYSYLKRLFRFQFDYVFDWTILKYQQSQIATAPPRVGHGAGPSGLAPALQNDRQSGPEEARTSGWSSMDRRRAPLPITSVGALSKQKAPVGNDATVSRDAVISGPNFLGRSSGSSRRPVISSSRDVVATDSSEPSRARTTDASPGAFRRASGPQRSSPVHSAEQKRSSSGRHPSNVKNYDSALKGIEGLNFDGDERVHRDSV >Sspon.07G0006400-3C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7C:12046426:12048613:1 gene:Sspon.07G0006400-3C transcript:Sspon.07G0006400-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVLLTAAASTPSSSAFAIAVAPYTNTVGTFDNTTAIAVLEYDGAPQQSALRNLPPPALPLYNDTGAVANFSAKFRSLASAQYPALVPLTVDRRFFFAVGLGADPCQSRVNGTCQGPNGTRFAASMNNVSFTMPKTSLLQAHYQRRYSGVLTANFPAVPPAPFNYTGTPPNNTFVTHGTRVVPLSFNTTVEVVLQDTGILGAESHPLHLHGYDFFVVGTGFGNYDANNDTAKYNLVDPVQRNTISVPTAGWVAIRFVADNPGVWIMHCHLDVHLSWGLAMAWLVNDGPLPNQKLPPPPSDIP >Sspon.06G0026480-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:72544090:72549489:-1 gene:Sspon.06G0026480-2C transcript:Sspon.06G0026480-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box/LRR-repeat protein 4 [Source:Projected from Arabidopsis thaliana (AT4G15475) UniProtKB/Swiss-Prot;Acc:Q9C5D2] MRGADLINAALPDELLDDVIRRVGAGGGGAKRDLDACALVCRRWRRLERASRRSARLAASGDRADEVLRLVAERFTALAEVSVDERLTAAGAGAGAASRSYRSGVERGPYRAGMIHVPNQIRRRRRLPLASNLTLHISPFPLDQPVCDQGSERSCLTDVGLGHLARGCRGLEKLSLVWCSAISSTGLVRIAENCKKLTSLDLQACFIGDPGLIAIGEGCKLLRKLNLRFVEGTTDEGLIGLVKNCGQSLVSLAVTNCQWLTDASLHAVGSHCPNLEILSVESDRVQSVGIISIAKGCRQLKTLKLQCIGAGDDALDAVGSFCPLLEILSLNNFEGFTDRISDSALSHIAQGCKNLTELSIRRGYEVGDRALMSIAENCKSLRELTLQFCERVSDAGLSAIAENCPLHKLNLCGCQLITDSGLTAIARGCPDLIFLDISVLPIISDIALAEIGDGCPKLKEIALSHCPEVTNVGLEHLVRGCLQLESCQMVYCRRISSSGVATMVSGCTRLKKLLVEEWKDACLIPVSKEIPSCIC >Sspon.05G0010460-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:29713983:29715759:-1 gene:Sspon.05G0010460-1A transcript:Sspon.05G0010460-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLTHVHDLDDAAAVVFASRYVQDPLPRYELGEKSISKDAAYQIIHDELLLDSSPRLNLASFVTTWMEPECDKLILEGINKNYADMDEYPVTTELQNRCVNIIARLFHAPVGDSEKAVGVGTVGSSEAIMLAGLAFKRRWQNRRKAAGKPCDRPNIVTGANVQVCWEKFARYFEVELKEVKLREGCYVMDPDEAVRMVDENTICVAAILGSTLTGEFEDVKRLNDLLAAKNKRTGWDTPIHVDAASGGFIAPFLYPELEWDFRLPLVKSINVSGHKYGLVYAGVGWMMSSDMLLTLCAGSSQIIAQYYQFLRLGFEGYCNVMENCMESAQTLREGLERMGRFTIISKEQGVPLVAFTFKSKDETSLAFKLSSELRRFGWIVPAYTMPANLEHMTVLRVVVREDFGRPLAERFLSHVRMALEALDDAAKGGPVPKMRVTIELGPPASGSGEEASARVVKREAVVPVHRSVPLAGGKTKGVC >Sspon.01G0023670-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:82555671:82562934:-1 gene:Sspon.01G0023670-4D transcript:Sspon.01G0023670-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRFRRFFSSSIISPRFVELLFCRLPVSSICGRPAGLSAAKKPRLFAGSFKKGKPRFREQEAGRSLLNSQALNWSWKCGDFLIGDIRWGLSLLPSELHAAPAVRPVKIPRVGKKDIVALQTINMGWLSKIFKGSVNRVSRGHYNGNSHEGYSTQHTKSYGAHGNEDEDMDHAIALSLSEEDQRKGKAIDAGHHLDEDEQLARALQENTGHDLDEDEQLARALQESMNDGPPRRDIPIEDVHSESAPASSLPSNIFPTSGLRVCAGCKSPIGRGRFLSCMDSVWHPECFRCYACDRPISEYEFAVHENHAYHRPCYKECFHPKCDIPTNKNGLIEYRAHPFWMQKYCPSHENDGTPRCCSCERMEPKDSQYITLDDGRRLCLECLHTAIMETNECQPLYIDIQEFYEGMNMKVEQQVPLLLVERQALNEAMEAEKSVHHLPETRGLCLSEEQIVRTILKRPIIGPGNRIIDMITGPYKLIRRCEVTAILILYGLPRLLTGSILAHEMMHAYLRLKGYRTLSPEVEEGICQVLAHLWLESEITSGSGTMATTSDASSSSSSTSSSSKKGAKTEFEKRLGEFFKYQIETDSSVAYGDGFRAGMRAVERYGLRSTLDHIKMTGTHMKNASIKSGLKRLILILI >Sspon.05G0019450-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:84847230:84847896:-1 gene:Sspon.05G0019450-2B transcript:Sspon.05G0019450-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative phosphatidylethanolamine-binding protein, Rice TFL1/CEN homolog, Control of inflorescence architecture, Repression of flowerin [Source: Projected from Oryza sativa (Os11g0152500)] MSRSVEALVVGRVIGEVLDFFSPCVNMIVTYNSNRLIFNGHEIYPSAVVSKPRVEVQGVTCGLSSHWLVMTDPDVPGPSDPYLREHLHWIVTDIPGTTDASFGREVISYESPRPSIGIH >Sspon.03G0013470-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:54492949:54496643:1 gene:Sspon.03G0013470-3C transcript:Sspon.03G0013470-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPQTRRGRAAGGGAAELVGADTGGAGGGRGAAPAGGRRLDEPPDLHLRFPSLLPPHHAPDPALQVGTCTTPIQVTSSQLVSNEIFPPAVVKAMLYPGAIVSSLTKSKTFPSWSDLFDMYNLTEAKNASAVVDLQRLEILAGSYFCVAGALVGIINPGRMTLFGTLLVIWGLVKEALFGKPVNSDPTQSTYVYPTILIALTCAFMSITYNVKKTARSSPPVSIAKPLKSSAKSKLK >Sspon.02G0013550-3D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2D:29167661:29169737:1 gene:Sspon.02G0013550-3D transcript:Sspon.02G0013550-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKKCVKKKRPRRSACECALRGPNNPRLGRPSAAFSFSLPHEPACRVRFSASPPSRAPTTGVAAAAAPTPGAEAFAGLVAGDKHPPGIPTPSLPFLLCEAEDPNPNLRLFGYLMPPNFELFATKYRLDIHLVESCRKEASEDPDAIELACPLCRGEVKGYTLVEPARKQLNHKRRSCMEDGCSYMGTYRELCKHVRKKHPSANPRAVDPLHAYRWKRLIFRSSLQDMICSTTSEVMRRLFSLMLQFDELVAASQEGGDRHGATNDNSLQSASAETTDP >Sspon.01G0038060-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:27850278:27855392:-1 gene:Sspon.01G0038060-1B transcript:Sspon.01G0038060-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hydroxyacylglutathione hydrolase cytoplasmic [Source:Projected from Arabidopsis thaliana (AT3G10850) UniProtKB/TrEMBL;Acc:Q0WQY6] MKIIPVPCLEDNYAYLIVDESTKKAAAVDPVEPEKVLKAAGEVGAYVDCVLTTHHHWDHAGGNEKMRLQVPRIKVFGGSLDNVKGCTDQVENGTKLSLGKDIEILCLHTPCHTKGHISYYVTSKEGEDPAVFTGDTLFIAGCGKFFEGTAEQMYQSLIVTLGSLPKSTRVYCGHEYTVKNLKFILTVEPENEKTKQKLEWAEKQREANQPTVPSTIGDEFEINTFMRVDLPEIQAKFGANSPVEALREVRKTKDNWKG >Sspon.08G0003970-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:11621559:11623939:-1 gene:Sspon.08G0003970-1A transcript:Sspon.08G0003970-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLSSLSLLRHHPPRPLPPTSNRQPPPPHPRLLHLLLPPFTRRRAFVCQPLAAATDDMATAAVAASPPTLLEHMGQVGTAADLTVLVAHIQSACKRIAALVASPGNAELSRSKAGGGTVAAGRDAPKPLDELSNDIILSSLQSSGKVAVLASEENDLPIWISNDGPYVVVTDPLDGSRNIEVSIPTGTIFGIYNRLVELDHLPLEERAQLNSLQSGTRLVAAGYVLYSSATILCISFGAGTHAFTLDWSTGEFILTHPSIQIPPRGQIYSVNDARYFDWPEGLRKYIDTIRQGKGQHPKKYSARYVCSLVADFHRTLIYGGVAMNPRDHLRLVYEANPLSFLGEQAGGRGSDGKNRILTIQPVKLHQRLPLFLGSMDDMLELESYGDVQQKVNPGYEV >Sspon.01G0039770-1P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:93191746:93192410:1 gene:Sspon.01G0039770-1P transcript:Sspon.01G0039770-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding YGDSDDDDRVVKDGEYELPSEAINELKLFQSQNVCVDEEYRTPADFVRQKLDSSTTDEVDESACVIKKCAEYAERYLNETAEEEVVVLVSESSDESEVWDCETIVSTFSNLDNHPGKIETPGIPRKRLPRVFPGETTTTNDIIKLHGKEKLPVEYLPQRRRNGEKEKKVKPVEASVTDKFKKGAEKETKEEKKARKEWKKK >Sspon.01G0025340-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:89791728:89793769:1 gene:Sspon.01G0025340-1A transcript:Sspon.01G0025340-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVLDAFASKLVDILTGMAKDEVEMLLGVPGEITKLKTTLGDLSSILADAERRRIRDSAAGRWVRELKDVMYDADDILDLCQIMEGGEDPSSSVSAPKSTSGCWNIPRMFSCFHNPVVAHEIGRKIQALNQRLEDLEKRSSRFGFITQAINSSGYSINKAPNSLSDKTGSLILQSDVVSDKIMEDTKKIVDLLIKKVGSPAESKGNNIVVAVAITGMGGIGKTTLARMVFNDSKVEESFDQRIWLSVNNEVNDINALRSVLASFGTNHESFAGNKDLLERALKDTVQQKMKFLLVMDDVWSEKVWNDLLRVPLSYGALGSRVLVTTRNDGVARGLNAQHIHRVDKLQPKDAWVLLKNQSFTFSPLEHAYESLFIIFPLLDLPPPSHPKPPS >Sspon.04G0005910-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:12865408:12869068:-1 gene:Sspon.04G0005910-2B transcript:Sspon.04G0005910-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPVPASLKDAVGSLDRDGFVGLLSKLIGETAHLQNDPPTHRPQEERVAQHVVDVLRPVSVDTGGGPLLVRKFSYAEGRSNVIVEYPGTVPGRVVSFVGMHMDVVPANASEWDFDPFSLTFDSEDKDKLRGRGTTDCLGHVALVAQLMRLLGEVKPPLKHSVIAVFIANEENSSVTGIGVDGLVKDGLLDKLKTGPLFWIDTADKQPCIGTGGMIPWHLKATGKLFHSGLAHKAINAMEMNMEALKVIQKRFYTDFPSHEKEKVYKFATPSTMKPTKWSCKDNLNLFDPGGGLNQIPGECTISGDVRLTPFYSTSHVMEKLKEYVEDINERLETILDTRGPVSKYILPDENLRGRLEITFDGDVMNGVACNLQSRGYHALCKATKEIVGHVEPYSITGSLPLIRELQDEGFDAQTAGYGLLKTYHAKNEYCLFSDMAQGFQVFLSIISQLEEEV >Sspon.01G0029260-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:111709843:111712064:1 gene:Sspon.01G0029260-2B transcript:Sspon.01G0029260-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGAGADTAPSSDDGGQHQQRAFRDRGAAGRRLRWERELAIARAMRAPLATVVRDHALVHLPPAAAARLRLVHPSWARALASPLFAVAHAAAPRRASGLFIAPAGYFLPLDAADTVPSPALAFLPASSPPTVLSSSRGLACCFSPADDAYFVCNPATGSWHGVPCPPRRITWPRPAVVVLFDAGVYNFRGDYALVCAFESAPGSGIYCFAVFASGAGAWWVADAVAPAEGLVPSSGVDAGGTAWWRTAIGTAVGYNPVTGRVDLALCPGDSAQWEIGSAAGTLHCAVRDGGDVVVFRLDRHGGWEVAAAVSVAEILQRPWQPEPAYELSNSEDEEEEEEEAAGQAEVERAGAVIAVANRYSRVRVPSDDDVRLLPFQGAEMEVVVLAGRRVVAFETVTRRRREAVLPDQPAGKDWGAVEYAAHTNTLALVASVVFGEPPDDQERWNLKSVNLKT >Sspon.06G0005220-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6A:17552440:17552790:1 gene:Sspon.06G0005220-1A transcript:Sspon.06G0005220-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRGGGSKSSSLYAVLGVASDCSDAELRSAYRKLAMVGDLLRFLCFPSPVGLLCFAQRSDSAALLTVVCVGGQKWHPDKCAGAGSSAGSADAAKARFQKIQGAYAGNQSASYDMR >Sspon.01G0059110-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:39457499:39457839:1 gene:Sspon.01G0059110-1D transcript:Sspon.01G0059110-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLFPFLCIFLLFLYILQDCAATDTIASGQALVDSDKLVSSNGKYALGFFQTGIPNFTQVWVANGVNPLTDHTTSELKISDSGNLVILTKPPNP >Sspon.02G0005690-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2A:17955792:17956034:1 gene:Sspon.02G0005690-1A transcript:Sspon.02G0005690-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGVLSPPARNGGLADQLLANGDGNKRRAGASSKDKNKYWVPVDDEEEVAADEEHGGKECRRLLLYRTFKVKGILLQTYR >Sspon.08G0019290-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8B:11200927:11205278:-1 gene:Sspon.08G0019290-1B transcript:Sspon.08G0019290-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAHSRRSRSPESARHRAHLLHRSLKPSKPNQHHPHERRRPQTAGRPPLGRNNFAAVAWPTEPATRVVLTGFDAGLSARDLADDLEHVAGTVWRCRVKTSVTPPESYPDYQLPVPVAADDDYDAVFHHLHQDEPSSRHGRVAPHAFVHFEQPIAADRAGDFFRTRFPAQNTYLLHGRAARPRDGGPDDTRRPMLFPDSRVDVGDVVAPGTFLVAWRGTDDSASALDFVVDPSAGCCRLLFARDTAFTFPDFHRRVPAVLLCCDVKLEFSVADVAEVLAFEADDSLLMRLSAAPLVYYRTAGDDVHDRVPFHLIDPDDDPWIRTTDVTPSGAIGRCSAYRVSFAARFWPTMRTALEYMKKQGVPVDVCDTRCRGFTVLEEPGFGRPMQDVFFCLQHDEGLRFPELYLVNVLIHNGIVNPHQLTAEFFSLLRRAHEDVNVAALTELFAAKLQACNDPCSRLKKAQDRAAKNQNLLFRSSSSSKLGDYNSEVRRLIITPTRAYCMPPQVERSNRVTRHYHQLSNRFLRVTFVDEGMLPLNSNALSLHVVPLVKDSMSCPSQQMTTVYRRVQTILTEGFTMCGRKYSFLAFSTSQLKQKSAWFFAEDGTTTVASIKEWMGQFPIRNPAKHAARMGLCFTSSYATVTMQPCEVNEYLEDVIHNGYNFSDGIGMITQDLALEVAERLPLTDNYAPSAYQIRYAGFKGVVAVSPGQNDGIRMMSLRPSMRKFESAHTVIEVVSWTKFQPAFLNRQIITLLTSLGVPDAVFWQMQEAMLGNLKRILSNSNVAYKVVTNSCPEHGSTAGLMLSAGFAPATEPHLRAMLLAICASQMKGLLDKTWIFVPKGRWLMGCLDELGILEQGQCFIRVSTPSLNNRYVNRGSISPSEYKNNAEIIVGTVVMAKNPCLHPGDVRILEAVDVPELHHLVDCLVFPKKGERPHANEASGSDLDGDIYFVTWDENLVPPGRKSCTPMDYFPAKTKRLPRDVHQHDTIDFYLESMVNDNLGRICNAHVAHADRSDDGAMDPKCVELAELAAIAVDSAKTGEIVRMPPSLSPKEYPDFMGKEDAISYKSKKILGRLYHQF >Sspon.03G0036100-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:88021615:88026889:1 gene:Sspon.03G0036100-1B transcript:Sspon.03G0036100-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSLSMRGSMRSRRDLPPPEKTIERLESMVAGGNFYEAQQMYKSTSARYITAQKYSEALDILQSGALVQLKHGQVTCGGELAVLFVDTLITGELAYSEQIFDRIRKIYEAFPRITVPHFLGDDYDDEGHQLSEAISAAKVRAESCSSFLKAAIRWSAEFGTSRNGSPELHVMLAEYIYSESPETDMTKVSSHFVRGNDPKKFASMLVNFMGKCYPGEDDTAIARGVLMYLSQGNLRDANLLMDEMKEQLKSANSDFPKTDLIQFIKYLLPTSSC >Sspon.07G0022250-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7B:6924446:6925910:1 gene:Sspon.07G0022250-1B transcript:Sspon.07G0022250-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding KAGNKYGGIPDMVKALRLHHSTIQKTPEATGSNGRPNGPGTRAPALDPGAPALGLQPIRMSLADYAPPT >Sspon.01G0008910-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:26229719:26239596:1 gene:Sspon.01G0008910-2D transcript:Sspon.01G0008910-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to Cytochrome P450 CYP714B3 [Source: Projected from Oryza sativa (Os03g0332100)] MEVVAMAVTVTKVVLSLCCVGACGLAVYLYHILWLVPQRVLAKFKDQKIGGPRPSFPYGNLADMREVVAAAKAARASARRSGSGGGAGIVHDYRPAVLPYYEKWRKEYGTRPDVVRDINLCVSLDLGKSSYLKATHEPLFGGGILKSNGEAWLHQRKIIAPEFFLDKVKGMVDLMVDSAQPLLKSWEERVDRNGGITDIKIDDDIRAYSADVISRTCFGSSYIKGKEIFMKIRELQQAVSKPNVLAEMTGLRFFPSMRNKQAWELHKQVRKLILEIVKESGEERNLLSAILHSASTSRVGIAEAENFIVDNCKSIYFAGHESTAVTAAWCLMLLGLHPEWQDRVRAEVHQVCRGRPVDSQSLQKMKNLTMVIQETLRLYPAGAFVSRQALQELKLGGIHIPKGVNIYIPVSTMHLDPELWGPDVKEFNPERFSDVRPQLHSYLPFGAGARTCLGQGFAMAELKILISLIVSKFVLKLSPNYQHSPTLKLIVEPELGVDLTLTSAKCL >Sspon.06G0029870-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6C:36452836:36453982:-1 gene:Sspon.06G0029870-1C transcript:Sspon.06G0029870-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPRKKQALLLWKSTLLPANGGDEPSSPLLSWLATKPMCSWRGIMCDARGRVAKLSLPGAGLHGTLSSLDLAAFPALTKLDLLNNNISGSIPANISNLTYLDLSQNSLSGEIPDTLSSMRTIPSLEASLQGSIPPEISNASKLQTLFLRCNNLYGKITVEVGRVASLRRLMLSWNSLTGPIPHSVGNLTSLVLLGIFCNNLIGKITLEIANLTALESLDLDTNQLEGEVPQALSALQSLQFLDISNNKLSGVIPYLNTRKLLAISLANNSFTGVFPIMLCQQSCLQILDLSNNKLYDKLPRCLWNMQDLLFIDLSSNAFSGNVQ >Sspon.06G0020220-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6B:8317997:8323163:1 gene:Sspon.06G0020220-1B transcript:Sspon.06G0020220-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATSLSVGKSVLDAALGYAKSAFAEEVALQLGVQRDQAFIKDELEMMLAFLMAAHEERDEHKVVKTWVKQVRDVAYDVEDSLEDIAVRLEKPSWTLGGASFTCFLDSWWRIFHMLLERQRVAKQMKELRAKVEDVSQRNVRYRLIKGSDTKPTTGTGESNMTGETMSGIEEARREKDKAKMDLIRLINNMDENLRVIAVWGTSDVPREMSIIKLAYDDLKRKKKFECHALVQIMHPFNPIEFLQNIIRQFYVDSLEETTTTELSLVAQDLQSMGMRREGDLVDTFKKYLNKKRYLIVLTNLSHVEEWYRIKAFFPSNNKGSHLIVCADQVEVAALCVGPETVLPEHRLLSSNNQALYAFYEKGSQGGTNPMNEGSSSNTTCTVNRSKNSTEEKGLLTCMETTLAAFKESQLIGRENEKTKVIDMVLNFHSQKFEVISIYGMGGIGKTTLVKHVYQSQELSAMFEKCACVTIKRPFNPRELISSLVAQLCGKQEASQLGDKEEVDGHILADLLQGKRYLIILDDVSSTTEWDAVAKYFPTTVTTSRIVVTTREENICKHCSKEDRNIYKLELLRDEDAHKLFAEKVFGTVTHLDEQYPELADETKLILKKCKGLPLAIVTMGGFLGKQTKTLMEWRKFNEHISAELEVNMELRRIPNVLIKSYEEEGSNNSNTKGKIHHVAISRNWKGDKSEFESAVDCTASQGCRVDVAWARCDDPNWQDVCTFWYHVVFPTLALRRINPYGVVVPRGLGKMKGLRTLGIVNIASSGKAILQDIRKLTLLRKLAVTGINQKNCQELCSTLDELSRPESLSMKSKESDLRGCLDGVSSPPKNLWILKLEGSMVKLPKWIGGLQSLVKLMLHTTKLMEVDATMQVLGKLPNLAILSLRWRWHVLHFLEKVRLTFHQEAFPSLMVLHLCDINKLESVEFEAGTTPKLELLLFRDTLDHTCSISGLSSLPNLKEVVIMDQNCSVELIGSLQGQVSGYPNNPVLKRRDLAAYYVPSF >Sspon.02G0029250-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2A:106543236:106545332:1 gene:Sspon.02G0029250-1A transcript:Sspon.02G0029250-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSDDPSPSPGADDLLLYLSDLGPTSPSAYLDPSSPSDYLDLPPTPQPQQGNIGPAQDMLLPYISSMLMEDDIDGTFFYDYPDNSALLQAQQSLLDVLSDDASSPTTTTTHSSASASVNHSSSDGTASAPLTPAAVDSYAPPPAAQFDGFDLDPAAFFSNGANSDLMSSAFLKGMEEANKFLPTQDKLVIDLDPPDDHKRFVLPTPAADKLAPEFNAAAPTVPAAVAVKEEEVVLAAPGRGRRNRFDDDEDLELQRRSSKQSALQGDGDERDVFEKYIITDPDKCTEMMQNLRIAMQEAAAKQEAVAAAAGNGKAKGRRGGRDVVDLRTLLVHCAQAVASDDRRSATELLRQIKQHASPQGDATQRLAHCFAEGLQARLAGTGSMVYQSLMAKRTSAVDILQAYQLYMAAICFKKIAFVFSNNTIYNAALGKKKIHIVEYGINYGFQWPCFLRWIADREGGPPEVRITGIDLPQPGLRPTQRIEETGRRLSKYAQQFGVPFKYQAIAASKMESIRAEDLNLDPEEVLIVNCLYQFKNLMDESVVIESPRDIVLNNIRKMRPHTFIHGIVNGSFSAPFFVTRFREALFFYSALFDALDATTPRDSNQRMLIEENLFGRAALNVIACEGTDRVERPETYKQWQVRNQRAGLKQQPLNPDVVQIVRSKVKDCYHKDFVIDIDHHWLLQGWKGRILYAISTW >Sspon.03G0009240-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3C:39600617:39602088:1 gene:Sspon.03G0009240-2C transcript:Sspon.03G0009240-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding METETEASVPPATKYVYDALPGLHFAFSPEEALDDAAAPTAAAAGQDEDDATATYAVFRNEITAAGDALADIPAADFFRSTSPPLPLTPSRPSPSRRPRGPRRRPLRRRCPLAPVLCRTSRRRGRSAREILDFCDFISPSAEEQSSRTAAVQDVSDVVKHIWPQCKASHSFPL >Sspon.01G0026930-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:89994246:89999812:-1 gene:Sspon.01G0026930-3D transcript:Sspon.01G0026930-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDKAPEPGVTHYLSTVPACAEVAFQMTEYEWSQLAYVTFKDSQGADTAVLLSEGRRCCSSILAKGFVLSKDALNLERSFDERHNILSNATATVASLDRQYGLSEKINLGRAIVGSKVKEVDERYQVSELTKSALAAAEQKASVAGSAILSNQCLSWCLVANKCIRYGNKGSR >Sspon.05G0005720-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:20098377:20099062:-1 gene:Sspon.05G0005720-4D transcript:Sspon.05G0005720-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MELTNRTDQYVAFKEILCQARLRYTSSPELAPMEMLSDHHCKDKFLVQSVVVRDGATMKDFRPSWYVLLRVVYIAANPPSPVPEEEEEEDSSPRSEVIVSEEKRSHVFNAVCDATDV >Sspon.03G0009880-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3A:26508964:26510101:-1 gene:Sspon.03G0009880-1A transcript:Sspon.03G0009880-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAKVEEFHNISQGSQKVQEYTTRFTRMMSYAPGETDSEKKKMYCFKKGLNSHLKVALSGHACRTLREMVNKVLEMERDRLEADSLHKQKKRRGCDRSEPWRWYLHHQLPPPRSGPSRSEPPRSGNWHVEDRYAHLHRGCPWLSQPHDRGGCPGRSRRGVRYVEITHPSGHV >Sspon.02G0021240-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:70835433:70839064:-1 gene:Sspon.02G0021240-1A transcript:Sspon.02G0021240-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKGPPRQSRWSQPAVAQSNAALQGKSRDRSTGILHLPSVTGSPHDELRAGHGPWVGGIVEEHTAVAGVEHAPVGADGVGRVEGGGGDEVSAKWALAEQPEHGRLGVEFAEPAAEVGAGDEAAPALADERGADDAGGVFRQDADENFLDELVHQTCHRLAWSDPWSERTDSGLPAGGRARVWPAEVNCGGRDGGGGLTGAGLGQPSVSPPGPSQPAPPVLYCVLCCTGLGQRCVTKTYSCIALGLILSMQPAGPRHDPKHANLARPEPGTARKENVPVPARSTSPPPDLEDSSRSLSPATAVSFVFLFSASAARLLHFFGAPHPAPQTLIPFPRRGLHRRILKIARGLSRRPLLSPSSSSSPPPQLVFSISPALPTRPPNPNPLPSPLSPPSDLEDTSPDLGNTGEKLLSPGLSRRILPLPSPSSSSSPPPQLFFSISPAPGSVSMQASRRRLAGAAGAVEERCREHWQVAEKVLEFLELFYESTVALSGVYYPTSPLVLHHILEIASHLHDYEHDNNLSDVVVSMKTKFLKYWKKIPLLYSFAFVLDPRAKMRGLQNVLDLLAQYNNISYIAYFAEVKSELHKLYDKYESKFGAARPARTTHPSGLTGKRKQAWGRIFGGSGSSGPSSCSGSSVVSPGLSELTVYLDSDNVVAYDDEFDVLNWWHEHKLTYPVLSILAKDIMTVPVSTVSSESIFSLTGRIIEERRRRLGADTVEMLICTKDWELGEESGQHTVVDKELEDYFKNQFLDEDSGASTTTAA >Sspon.02G0017810-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:10386893:10394275:-1 gene:Sspon.02G0017810-2B transcript:Sspon.02G0017810-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIMKLSQGGVCLARYNKNPTTFCEIQTSMRFALPRDHLDLMWRVEITPMMMRGGRLTGLTSQVLGMRCFSTEIFVSRLSFYTTEEEFKDVFSPFGTVEEARLMRDQQTGRIKGFGFVKYSSQAEAEKAVKAMDGRILRGRLIFVEMAKGHKSK >Sspon.04G0004100-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:12572660:12573922:-1 gene:Sspon.04G0004100-1A transcript:Sspon.04G0004100-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLVARQGRELQRYSQSTGGRIVVGCIPYRVRPCDGELEVLVITSQKGHGMMFPKGGWEVDESMDEAARREALEEAGVLGDTEQVLGFWHYKSRRYVDQTYEGFMFPLRVADELHQWPEMASRKRTWATVQQVMDGCPHWWMREALEQLVARHAVLQSAL >Sspon.07G0017970-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7B:69504949:69505466:1 gene:Sspon.07G0017970-2B transcript:Sspon.07G0017970-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ILGSGPARRPTTSSSGPPPRSSASRAAPRTPSRWASAARRCSRRPRRRRRGRPGGGRRSWPRCRRARSVRSSRRCGAAARTRPCSPPRRGATRSSCWLRCSRGRGTARTSGPCSSPSSTCSRRPPTRPAFRPRSSAASSTPPSPPWRPPRRAATSSSASRRCSTRPPPRTCS >Sspon.03G0047260-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3D:56974550:56981547:-1 gene:Sspon.03G0047260-1D transcript:Sspon.03G0047260-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVYLLLYVDDIVLTASSTALLRRTIGALQQEFPMKDLGTLHDFLGLNVQHTSSGLFLSQKQYMIEILERAGMADCKPCSTPVDINPKLSADGAPIADPTDFRSLAGALQYLTFTRPDISYAVQQVCLHMHDPREPHLAALKRILRYVRGTLELGCPDTRKSTSGYAVFLGDNLISWSSKRQNTVSRSSAEAEYRAVANAVAEATWLRQLLSELHTPLRKTTLVYCDNISTVYMSSNPVQHQRTKHPRHGWPVPGAAPRGRKTAAGAQSRGEATAVHPWQRERLLPEISNSGTRRQFATHRRWPSLCLSGSQYSGERTNEQDGTTAAFNGRARKCWLSLGVDYLSPASPSRRGEQTLCRLGCSGELEVLELFAGAHWSRRTGMGSWPFPPGVSRAEKIGFLAEQPDRALVAGMGGGRRRRREGEGSFRARRWRAAVRFGSEVARDREARERPRRRRARQATASLGPRGERHGMTTPIIYARTIPIATVHCSVRRSSMIHPFTHPHHSFRYEFRGRSLK >Sspon.05G0026520-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:42653008:42669601:-1 gene:Sspon.05G0026520-2D transcript:Sspon.05G0026520-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKPAAAVPETVGQAVIPLVNSLQDIIARLDGDAAAGLELPQVAAIGGQSSGKSSVLEALVGRDFLPRGPDICTRRPLVLQLVRHASPEEWGEFLHAPGRQFHDFEQIKREIQLETDKEAGDNKGVSEKQIRLKIFSPNVLDITLVDLPGITRVPVGDQPSDIESRIRAMIMQYIKHPSCIILAVSPANADLANSDALQLARLADPDGSRTIGVITKLDIMDRGTDARNFLLGNVIPLKFGYDINFNRSVQDALAFEEKFFSTLPAYHGLAHCCGVPQLAKKLNMILLKHITNMLPGLKTRINAQLVAVAKEHAAYGDTVESAAGQGVQLLNILRKYCEAFSSMVEGKNRVSTDKLSGGARIHYIFQSIFVKSLEEIDPCKSITDEDICTTIQNSGGPKGAMFLPEVPFEILVRKQIGRLLDPSLQCAQFIYDELIKKFPVLKKRMSEVVCSFLRDGLRPAETMITHIIEMEASMDYINTSHPSFVGGTKVVELAKHEVLPPKTSASLSGRKDGTIVGSEIQKTAERSQKSQTSLTGGSQIGNSQVGGNSSSKLPSIIPLKEPPITLKPSETEQDATEVAIVKLLIKSYYDIVRKSIEDAIPKAVMHFLVNHTKRDLHNFLIRKLYRENLLDELMRETDEVLIRRQRIQEMLEILKQAHRSAGTAQMAFTHPPLIANLHIERLIWSYRAACTSGMYQSPMERAFPHQ >Sspon.02G0000660-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:5129524:5132874:1 gene:Sspon.02G0000660-3D transcript:Sspon.02G0000660-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RINT1-like protein MAG2L [Source:Projected from Arabidopsis thaliana (AT1G08400) UniProtKB/Swiss-Prot;Acc:Q8GXP1] MPSPPRPPPARVRGFLDAHFASPDDLAAAPALAELLRRECAGLDASLRRAEARLGAAAASWLARSAEARADLRRVRSRGVRVGAEDDGAEAATTVGLPPLVREIQRIDSIRLYAEATLQLEALVGNLEDAAFSIVRQAPKFNLSSILRKSNETEWKQGKLLHAVDAVRDIERELVRITTSRPQWTNLVMAVDSRVDKTLSILRPQALTDYRALLAALGWPPSLSSPDTEKDKYSQIPNPLVLMNKENKERYSQSFQALCALQHVQGNRELRLCQTAEVTPALADLKYFNRTACFDNGLWAIDELVHPIASRMEYHFAKWSEQPEFIFTLVYKITKDFMDGVDDVLQPLIDQARLVGLSAKESWVTGMVKMLVGYLERQIFPALVCSYRDHATVGKPEVDSSWMHLNDVMISFDKRMQLLADSGIQKIASLSEGLSRSLSVLSIYTEHPDWLQIWADVELSSAQDKLKSEMEDETNWSCSGQHDQLGHMENSMKFLLSTREDYKAPPVCEFVIKTALSMVERGHALPNRGMQIQYNRSSSVRFLNDFFLVLRERCEALQLSNTALEDQSLSKTSCAINATRYCENVLREWDEDTTFLDMGPQGSLFTDEISFLVKLGTNYLEQILSSVLLEFEDLSWEYVQNIGSWSGQTLLDDQILDEDNAGVSPGFVASLDVLTDRTTKLKQYLNSKDFLDLWRSIAEGLDYFIYSSIRWGEVSFSDPGVIQLRVDTKALLHIFRPFCSRPEAFLPFLSESLRLLTMKKSDAQCLLEMLTDGTKSDNWLKNQGLHHVNASQAAKILSSRKFGG >Sspon.07G0038020-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7D:66436946:66440179:-1 gene:Sspon.07G0038020-1D transcript:Sspon.07G0038020-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPLSLSTAAGVRVFRRTAPSQAQPPAHLRPLRALPRCRSNGFCFLSVRLFFSPRRRTVTTVTCQFWRVSTSSNQLGCPLAAAVAWSAWPFRWDLGGSFAILRFGLAFMQSPLDLANATLAPGPPSRTRHIFSRPVLPLARAISSTYRARDSRIATEGRLQGSRAPGDRARGRGESARA >Sspon.02G0020590-1T-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2D:62588646:62589006:-1 gene:Sspon.02G0020590-1T transcript:Sspon.02G0020590-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQAVGGADATTDTEAPLLLSSTAGTPPPLLAGVPRRNRFAFVCATLASVTTMLHGYNLTLMSGAELFMREDVALTDAEVEVLAGSMN >Sspon.01G0023220-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:84072528:84075961:-1 gene:Sspon.01G0023220-1A transcript:Sspon.01G0023220-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLELSSSVDRADLECTKLEHQPRLASAQLKLVFDSTKTCAELKTSYGSLSFGFSNKGEP >Sspon.02G0041920-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:83233342:83237457:1 gene:Sspon.02G0041920-2C transcript:Sspon.02G0041920-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKRVVIVGAGVSGLTVCKHLLELGCQPTVFEADTVLGGVWASAAMPSTKLQTSRSMYQYSDFPWPESVTEEFPSHRQVVAYLDAYARHFGVLGCIRFGHRVVGMEYHGVGEQAMAAWEEWAGNGQAFGSGAGEWRLAVADADGHVEVIHSMDYAKMGTSKAKEMIRGKRVTVVGYMKSALDIATECAEVNGTAHPCTMVVRTKHWILPDYSVWGINGSTFCFNRFAELLIHKPSEGLLLSILATLLTPLRWAFHKFAESYYSIPMKKHGMVPDHSLFQALAADMISITPKDHYKRLEEGSIVLKKSKTFSFCKEGVLVEGEPSPVKSDVVIFGTGFKGDKKIKEMFTSEYFRSVAVGSESTTVPLYRLCINPKIPQLAVIGYSETLSNMYASDIRAKWLARFLDGSFRLPNVAAMQKDVLEWEKYMKRYCGRYFRRSSIMILNTWYNDQLCRDMGCNPRRKKGFFSELFEVYGPGDYANLLSTPTPTPTPRNTRTCV >Sspon.04G0005730-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:16837602:16846889:-1 gene:Sspon.04G0005730-2D transcript:Sspon.04G0005730-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQSPASTAVSDSGMLGRRLALLPAATVARGGTSTRARMTVGCVLVDHVAPRLAVASAALVGAREVIAAAAAAGAGGSGAAHGAVASTLAQVAVTAVAIASGACLSTKVDFLWPRIEQLPDTLIFEGVEVTGYQIFEDPKVQKAIEFASTAHLGQFRRTGDPYVTHCIHTGKILAALVPSTGERAVNTVVAGILHDVVCDTSESLKSIEEQFGDDVARLVSGVSKLSYINQLLRRHRQKNTGGSTLTSEEANNLRVMLLGMVDDPRVVLIKLADRLHNMRTIYALPVPKAEAVAQETLAVWCSLASRLGVWALKAELEDLCFAVLQPQIFKKIRSELTLMWSRTGKSKNMRRSSFRNELLASMKDGHMTSVNDLFSSCNQEKPNMKDLLQAVLPFDIFLDRKRRSYFLSNLNSNSGESIPNPKIVDDAAVALASLASCEEELERELLISTSYIPGMEMKRKDKGLRQVYDARALRLWTPIDGEFDDYIINPKGSGYRSLHTAVQASDSSPLEVQIRTQRMHEYAEHGLAAHWLYKESKVEYRSSMSKRINQSTSYSSSEDESSIQDDIPSKYSSMKVGHPVLRIEGSDLLAAVIVSIDKGGKELIVAVSFSLEASEAVAELRSSFQLKRWEAYARLHKKVSEKWWCAPGHGDWSTNLERYTLCQDGIFHKQDQFGRLLPTFLQIIDLTEEEEEEYWMVVSAIFEGKETCSLPSESSYADKSSSEPPSSTPLSDPINNKVHLLRTMLQWEEQVRRGASLAGKSLGVNTCTKPILREVAIIFWPNGKIMRMSTGSTAADAARRMGVEGKLLWVNGQVVLPHTELKDGDIVEVRV >Sspon.04G0016960-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:14239843:14243473:1 gene:Sspon.04G0016960-3C transcript:Sspon.04G0016960-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAANARGKRRGAGRGCCCALAAAADVVPASREELRQPAKAVAEEEELPRLVRFEELPDYLRDNEFIHAHYRCEWSVRDALRSAFAWHNETLNVWSHLGGFFLFLYLAVAEPTGTVAAAAGAGASAAPGIVTFVLASANTSWSSWETSSNSSLALSKGLTTAMFESSDHGHAVPRWPRTVFLAGAMTCLAVSAAAHLLACHSRRFSRLFWQLDYAGIAVMIVASFFPPVYYAFLGLARTQLVYLSAITLLGLLVVALLLAPARSSPRLRHLRAGLFVSMAFSGVLPALHALWLNWAHRECHLALALELAMGLVYAAGAGFYVTRVPERWRPGKFDCVGHSHQIFHVLVLVGALTHYAATAILIDWRESMAVAAAGGASTLL >Sspon.03G0016880-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:53272429:53278707:-1 gene:Sspon.03G0016880-1A transcript:Sspon.03G0016880-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVRLVFLFCLFNIVFVSSAERNLVTSLPGYEGALPFRLETGYVSVDEDNGAELFYYYIESESDPRHDPVLLWLTGGDRCTIFSGLAFEIGPVKFIREPYNGSLPRLEYNPYSWTKAANILFVDSPVGAGFSFSWDPKGYDWFEEHQDLLRNPFYVGGDSYAGKIVPYLVQKISEDIEGQVRPSLNLKGYLVGNPVTGESIDDDSKVPYAHGVGIISDQLYELIDECSKPHILYKKCIYVSPGKNDEPARRILNEIEGLKRPPPRPPMDCQSYVNYLSYFWANSIDTRDALGIKKANYVLRVYTAQGTKDEWVRCHDGDLPYSKDIRSSIKYHRNVTLKGYRALVY >Sspon.03G0011160-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:37498739:37510127:1 gene:Sspon.03G0011160-2B transcript:Sspon.03G0011160-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein SEH1 [Source:Projected from Arabidopsis thaliana (AT1G64350) UniProtKB/Swiss-Prot;Acc:Q93VR9] MTERKVAELGPGTACCGWNHCGRRLAAGAVDGSVSVYDSQPSPSFKWQAHEQAIVNVVWLPPEYGDAIACACADGTLSLWEEVAADDQLPTWRKCKIFEDGNSHVLNVHFGLHLRSLKMVTAYSDGQVKVYELLDSLELDKWQLQAEFQNITDPISRFGKPACISASIAWNPRRGGSQQASFAIGFNSDSPHFNSCKIWEFEEAHQRWLPLVELGSPEDKGDRVCAVAWAPNIGRPYEIIAVATCKGIAIWHIGLNTDADSGPSTQNVALLNGHDGEVWQLEWDMGGMTLASTGGDGVVKLWQANLDGVWHEQAVLDCSGSH >Sspon.07G0015880-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:61334669:61338012:-1 gene:Sspon.07G0015880-2C transcript:Sspon.07G0015880-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAACGGDGGGQKPFFSDELARGNQLNDSSPQVHKAAPDSKKDGDLTKISFDSSKGAVEGLTREVSGAPRRRGRRRPRAVRWPFYAAVDRKVETSPGPVISTSTSTLNKYNDDEEEFSSLEPFFFDEAEAVHDHERQMQRLQQEERRKEQRDRDLKVHNAAMDKIREYDPKLGSTYFTRIHFVNLSKFNLDEESPLDPMRDTNASINVHGTVCKEVRKQFIGGESTDIEKCFIPCISANVLSVKIASSDVGFPINVYGTVIARDNLDLKCVYLFQCDRDHCQLIVPKDSSLILTGPKRGLALIDGIYFEVDLKIKCDQGKKDKQFSKGLITLDGRKSRSEEEFVVERVSLDSRLSKVVVTYAVVKRAIEATIAIEVLQGRFSGSITACTTSIRNSIILHDSKLVKVMSGNAKGAIQLLRRVVAVCLEEKLILTVAARNGDKTTIKFTPRVNSGDENEVTCGSIKMRVKMGISHFETLPKSNSSLA >Sspon.01G0049860-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:42294725:42295922:1 gene:Sspon.01G0049860-3D transcript:Sspon.01G0049860-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAISIKVAALVALVSVFATHGARAQPSYNASSARRELYYSSTTGGSWQPAKATWYGRPNGAGPDNNGGGCGYSGTNLYPFNSMTSCGDKNVFRDGKGCGSCYQIKCVSRNNPACSGVPQTVIITDVNYDTSLGPNRFDLSGTAFGAMAKPGLNGKLRDAGALSIQYRRSVGALQLQGPEREVPRDGRLQPILLCGDRVLRGSDGAVVQVDLKEANSRIWKPLYESWGAVWRIDPGYPLKPPLSLRVRSDGGKTLVAYDVIPVNWRGNADYRTIAKFY >Sspon.07G0002650-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:5608588:5638322:1 gene:Sspon.07G0002650-4D transcript:Sspon.07G0002650-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATAAAARLLLALAVLAACLGAAPRGADALRSLGVGGAKAADGDAAVDLDASNFTAFLQASPESFAVVEFFAHWCPACRNYKPHVLLVSFPLQGHVNPLLRLGARLAAKGLLVTFTTFRHAGLRALRDEGACVAAGVGRGRLQFDYLRDDGSSRSPDDDPRYLDPSDMLRHVADVGPSALAGLLRRQADAGRPVTCVVNNPFVPWALDVAAGAGIPCAMLWIQSCAVLSLYYHFYSFPEGFPSEADPDTPVAVPGLPTVAADELPLMVRPEYARNLWGQMLRAQLGEIRKTVTWVLVNTFEGLERTVVEALRSHTPVTPVGPLLVDHDGGGDDDDGCMAWLDAQPLGSVVYVAFGSLVNIGRGEMLAVAEGLASTGRPFLWVVRDDSRRLFPWTRSRRALAAGVPMVGYPWWSDQFTNAKLLVEEYRVGVRLPAPVTPDALRACVDEQEDSEVRAGQAEAGVRNGSPNPAGAAERSDPRRDPMAAATAAAARLLLALAVLAACLGAAPRGADALRSLGVGGAKAADGDAAVDLDASNFTAFLQASPESFAVVEFFAHWLALVSDLIDSFLFVLLVPVDSMRRLGVLPAETTSSFNLDDKKYENESMLPKNASDPEQMIKPDTRDSLIRFLQILVTHHPSKRYGGGVAGGLRGPSGAGRGRCPKRITKSRRCSREIRPPPRSHGRRDGGGGAAPPRPRRPRRLPRRGAARGGRAPLARCRGAKAADGDAAVDLDASNFTAFLQASPESFAVVEFFAHWCPACRNYKPHYEKVAKLFNGPDAAHPGTIVMARVDCASKVQFSAEEVKRKREDLAVVYGFCCIH >Sspon.02G0001810-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:5835323:5839192:-1 gene:Sspon.02G0001810-1A transcript:Sspon.02G0001810-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AQGINAELGLGATIDIAIESLAERAEKEILLISSLLNLVPRPTSFCNACSMQANDHRCRVLVKGYINEMTVRIADEDERISSLAKLFFHELSKKDVRQWEYISYCLSQLTFTEKGVKKLIDNFKMFEHALSENSVMNHFRSVISKCKKFAKPELKVCIEEFEEKLSKVHKEKKEQEETTKNAEAHRQRIGSLDEFLATEEVSQNSGNSAEEETSEVVDPSVDSGTEHMENTPECSDHTSTEHFQTSPPVTESGGAGEIESTQPVRKGVSRSRAKKTRDPVSDPLVDRSTEYKENMPEHSADTSMDNSQTSAPLTESGDAGDIQSTQPVGKGVSRSRAKKTGDPIADPLVDSSTEYKENTPEHSANTSTENSQTSPPLTGSEGGGEEIQSTQPVRKGMSRSRPKKTRDPVVEDSADSGPVRRATRSTRRFQAWLMSSLSV >Sspon.07G0008890-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7A:24520480:24521019:-1 gene:Sspon.07G0008890-1A transcript:Sspon.07G0008890-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding IPLSCTLVCWGRGTEQGRPETTRGQRSREGRELTLGTWGSRRGRAASRRSRAGRAGPAAASQGRAGDEDEQDRRVEDRDEKGRSRTDGRSSSGSGGWTSSAARRTGGGDENGTRESFLCLLSFPRSEPRARLAAPSARELFARRAAGPNFRGLRARAPPRRLHAALRRLRAAYIRLRAT >Sspon.08G0028290-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8D:8303189:8303821:1 gene:Sspon.08G0028290-1D transcript:Sspon.08G0028290-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRVVLPSVYSASPLPMPSPAYWAVHTSSPLRRTLAALGIISPLRMPVGACPSLLQPSRRSRRRHEALPHQLIHSTKQRRQGYRTSGPAFPTLHLCHASPPSRLQWQSGCLGDGLQCRDSATAPLSPLLSRCCLRFSICPVSQPRSPTCTMCTQTHWQPGPSTVSLCPRRGTSSVHAAAKQLLHTMCSTDCQRELKIAEECERAWSSSRH >Sspon.07G0009480-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:26666682:26678568:-1 gene:Sspon.07G0009480-1A transcript:Sspon.07G0009480-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSAPVFKMEEANGAVDLEVDIIGPSAVGSKLTSVEDPDATECSSSFGDTLSGSQDDARPSEISDIEVDSPFCRYPPNGDAAALLDAAASDNLDRLLKKKKVTDHWRKYVSPLMWRCQWLELRMKDLQSQVSKYDKELAVLKHEKELQTKMIELDCSSSRSVPFSSLCCRKTMKRRRRKRNEVKIDTSSYISNHAVFSYFEKTEADGHSIEDNANLADDNTKGNNDADWLLGIEGGDTTVEQILLSIQAAQDRVFSLRSNLKQAMAKKNKGITLKINTSVNGTQSSNCSPGKGKVTGLNERSPQDTSECDMDDSAMPDSALSSYGEASNMDIFESTMSLLSEGPHQIGEFRESSEDVLIDNQAAEEGYQNFEVISHPSKRLRVSVKREAGAHSEDESVAPVIAIKKEETQEEATTSFSLHGAFLKPCFTGKRQERKPKKQMKRRRGGPTAAAAALISWRSKRIRKKKQL >Sspon.08G0011700-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:50382720:50383934:-1 gene:Sspon.08G0011700-1P transcript:Sspon.08G0011700-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPASPPPPPKDFRLDSAATSPYATAPSSPRGRRVPSDEGAAGGLFLTAPPSPNPFDLLPPATPRLTAANPFDLFQHFTSAPASPRRAAAIYAHFAEGDGGDGGRDGGDDDDDDGDEEFQPRRSYATGGSSVPFDWEERPGTPKRWLGGGVAANEAAWDTEFEFGTHVGKAAPVETLTTADELFEKGKIRPLKPKAKTADEPKIRPLKPPPGLLDGGSVASSPRSPMARGAMWSPRRRSRVSSGVDFDPFAAALLEATKAPSPSPLGGKDVKGSASPGSPPKKPAASRSRSAGWRRWRLSDLLLFRSSSDGGRVNKQEHPDMKAVQQFNEPIKKASAQPAVTIKASAKGDDMGKDWKRHGNRNAAAAAESVAGCARLSPLQRLAKGLGAYSWHQHHHGMAAPANK >Sspon.01G0059220-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:43748317:43760030:1 gene:Sspon.01G0059220-1D transcript:Sspon.01G0059220-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKFMLFTFMTAQAYNERLFLAVEEEISAFLRMSSKKISLVCYQFDKVATMQGNANDQVLSFWRPRAPSGYAIFGDYLTPMNDPPTKGVLALNTNIVRVKRPLSYKLVWQSGSPRTNVFHQNEDSENKISNVDQLCSVWLPVAPVGYVAMGCVVSSGTAEPPLSSVFCLAASLVSSCNLRDCIALRDNANMIFWRIDNAFGSFLPGDPASMSVHGNAYDLRHMLFDSADSSSKTVSRRQDSRNDSSQLERSELTSGRLFDAVASFKLIWSNSATSSPKKLSIWRPMLSEGMFYFGDIAVNGYEPPNSTVVLRDTGEDTFLRAPEGFDLVGQIKKHRGTEGVSFWFPKAPSGFVALGCVASKSSPTKEEFSLLRCIRSDMVAGGQFSEESVWDSSNARTSEPFSLWTVDNDAGTFLVRSGYRKPPKRLALKLAGPPTLSSSDSIIVDAEIKTFSAVSFDDYGGMMVPLFGMSFDSVGLSYHGGPHHLNATVSLSFVARSYNDKYSSWEPFIEPTDAFLRYQYDMNTPGSPGQLRITSTRDLNLNISVSNTNMLSQAYASWNNISCGDELYRK >Sspon.01G0025380-2D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1D:87774692:87775153:1 gene:Sspon.01G0025380-2D transcript:Sspon.01G0025380-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VVVVSSRAVSLHNSRAITPGRFRTLLRWSGLPPLLLLLESNTHAAAVATPRQAPLRAAYTMWLAAPGPRPRPTHWIAPSHGDGVRAQSPRRPQRGSRLAASESRRAVHMRSFTAGCRRSLRCVPLHAPEIKPRRSHTLPFQFQPYPRLFATRP >Sspon.01G0020120-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:74626962:74633575:1 gene:Sspon.01G0020120-1A transcript:Sspon.01G0020120-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTRAYKLRIPVIAEEFVAHASNVNCAKFGRRTSRILITGGEDLKVNLWAVGKPSAVLSLSGFTSPVESVSFDSSEVTIGAGAASGTIKIWNIEEAKVVRTFTGHRSNCASLDFHPFGEFLASGSSDTNMKIWDTRKKRCIHTYNGHTRRINVLKFTPDGRWIVSGGADNSVKVWDLTAGKLMHDFRLHEGPVNCLDFHPHEFLLATGSVDKTLKFWDLETFELIGSSGPENSREYFEPASVIRSMKFNSDGKTIFCGLHESLKVLSWEPIICHDVVDVGWSTLADLTVDEGKLLGCSYNQNCVSVWVVDLMRNEPYVDNSAGPRLNGSVNRLIESDDSMSSVFGRLSSSRSPGTESSKHFSYEISLLSLHSKTRYVFVLFETANEIGSDTLLGRSMSASKEIPVSTSASTKGLSKPPGKRDLQLTRSVSTPLLSPRVRLNPNFIDRRKNQPAAAVPLPEPIVRFNVNLSSDAGMLSLSSHASAAPMYRSRSNSSRYGIMGSSSVPVLALRHSSRKDAGPDLSEAATVELADIELQNIEKVGLAAKHGKEDGKLVPVIVSRSSKMVEEVGCRRITNDVGYKNVALENSLRVNSDIDYRRIAPESQEVQQLIPLSEPISSSQRKFMRESSGAGDNNCSGLMCTESVESNEVGSWYSVTSFDKWNPAAARSPEFASIHRSQLMESSGKHAVDDRNIQYVTTLYSSRLRPSLSGKLSASASDEDDMSGLMENHQEFIHVMKSRLTKLEVVYRCWQRNDIKGSIDATWRMLDFAVTTDIVNALMENRNCITLDVCASLLRLTASLLESTYDRHMSIALGMILSLVKSFGSTISSALSATPPVGVDLEAEQRLERCNLCFQELKNVSASLKLLTRRQGEVGRSAQELNLFLQDIFQLSSV >Sspon.05G0009440-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:29974599:29976460:-1 gene:Sspon.05G0009440-4D transcript:Sspon.05G0009440-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDKCRSKAMVLAAKADGVSKMGITGDGKDELEVEGDGIDTVCLVNCLRKKIGHADIVKVEEVKPEEKKPEEKKPEVVPLPYGWWYPNYYHYHPQLSENQSAFAEGADVRVGDGKKTQKKVHSGQKLIASGKSFVTKECSA >Sspon.03G0030060-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:26666064:26677301:1 gene:Sspon.03G0030060-1P transcript:Sspon.03G0030060-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAADLPDELWARVLELGAASSALGFRDLCCLAIASRRLGRLSVYPGLWSELLSRDFPSQSQPSTFERHKVRMAEARRRAVFEAEARVLTSRRRLAELEGSIREEGEKMKTAAQELDNLERVRRASVALNVWQPQVVRGRQKQLVQQCTVPVDSRLSDLNMELKNKEKHKLHDYEEALQRAKYHPLQDSHAHASGIVNEPHSSNTCICIAPSANTSILGASAAVSQGQHSEPPSTFILLQFGSLSMRAHAVGYYAGMCSSSLPSCQARRCYYDRIRKHLGCFHILLKESLCTDVVHRPPAR >Sspon.03G0030080-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:27776399:27780598:-1 gene:Sspon.03G0030080-2P transcript:Sspon.03G0030080-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPAFPPPVPNLAAAANPMAAASAANPFLALQILGQAQQLQNLGFLAAAALQQQQQAPFFPGGFPANPNQFAPFAGGLPPAGFNGGGAFRPGGAGICGPRPPSPMMSPAGNGSNNNNAGSGGTLRPILNVGRKDHNSKAGSGGTLGPILDVGRKDRNSSAGSNGEVYHFENKADVSISNFASESGNKITDQKSGFSAGRDGRVAGNLVPLEGGAEEGTLIKVMEEEATTWEK >Sspon.01G0008780-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:26887692:26906753:1 gene:Sspon.01G0008780-3D transcript:Sspon.01G0008780-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSQKNRVLGAMSSSLPILPNPFKGSFPRPCNPQHIPMLRQLPDDSMPLRNDIHQSASLHPRAGVIGAPYSGYSASPLDSVSNHDSQSMVAPYISQSSSFEAFPSLSDNTPGTHTEAAWFTSSMDVSPLYTDNIAAPDDNRIQSIRPAMTSDETAKQNDWWADIMNDDWKDILDATATDSHSKAMIQTSNSATSLPAVNQSASSHSMEICPVASPPNSSNASVAKQRMRWTPELHECFVDAVNQLGGSEKATPKGVLKLMKVDGLTIYHVKSHLQKYRTARYKPDLSEGTSEKRTAAEELVLDLKTSMDLTEALRLQMEVQKRLHEQLEAMIQTSNSATSLPAVNQSASSHSMEICPVASPPNSSNASVAKQRMRWTPELHECFVDAVNQLGGSEKATPKGVLKLMKVDGLTIYHVKSHLQKYRTARYKPDLSEGTSEKRTATEELVLDLKTSMDLTEALRLQMEVQKRLHEQLEIQRKLQLRIEEQGKYLQMMFEKQSQSSTEKVQDPSSRDTAAKPSSTLNQSANKDSGATMDPNRTGDSTKTAELGERSPGLGVKQKLVEIESDTEGATDDGSKISQEKRRKLQDS >Sspon.06G0021290-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6B:14010698:14011033:-1 gene:Sspon.06G0021290-1B transcript:Sspon.06G0021290-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDDGRWEVVSAKPAANRASKLPRMEDNGHEVWAEARKTSARAGGQRQLRGRWEVTPVEAEEGRQRHWTSDPVDGRSRRPGRQQTRRQSYRGWRTMATKRGRRRGRRARWS >Sspon.07G0025150-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7B:37787004:37787552:1 gene:Sspon.07G0025150-1B transcript:Sspon.07G0025150-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHQLLRTTTGTTTSRCSSPSASSSPSSYSTSSSASSGPLSSLPAPSRSPSATSRLASVPLCVIERPCALKTRQCAALRHRAAMRAARSRGGTEAAVVLSVIPAFAYKREGGIGGGGDATGWAQCVICLGLVQVGEVVRRLPVCKHLFHVECIDMWLRSHSTCPICRAAVQPNAAGQPEPPPV >Sspon.08G0016700-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:60619970:60622256:1 gene:Sspon.08G0016700-2B transcript:Sspon.08G0016700-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASHGAGTLKSTSINGVKLYSLTGSRYVAPWVVAKKKRALRKDKEYQRRLDLIHDLRFETATTKIKLTPDDQYVIASGVLTIKLDMAMFSLICVLLSGSAACLYLFYVLGIYPPQVKVYELKELSMKFERHLISEIVTFQILGDDYSKLAFLCADRSVNLHAKYGSHYSLRIPRMGRDMAYDCWSCDLLCAASSPDLYRINLEQGRFLASLSSQSPAINAVTRSKIHGLVACGGEDGAVECFDMRKKTSVGRINIPVVSSEDYYQEVTSLQFDEDQGYLMAVGTSTGK >Sspon.01G0034500-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:4044278:4047167:1 gene:Sspon.01G0034500-1B transcript:Sspon.01G0034500-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVQRLLRAAASGGSATATAAARRRMTSLATEQAPSAAAGFPFAAEERVRRRPMAERNVQWVFLGCPGVGKGTYASRLSRLLGVPHIATGDLVRDELASTGPLAVQLAEIVNQGKLVSDEIIFNLLSKRLKKGEDQGESGFILDGFPRTVKQAEILDGVTDIDMVVNLKLREDVLVEKCLGRRICGQCGKNFNLACIDVKGENGLPAIYMEPLLPPNNCMSKLITRADDTEEVVRNRLRIYNDMSQPVEGFYREQGKLLEFDLPGGIPESWPKLLQVLNLEDQEELKLAAA >Sspon.03G0000020-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:12641596:12643052:1 gene:Sspon.03G0000020-3C transcript:Sspon.03G0000020-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding CKMAKQGVASMLALALLLGAFAAILTGVHSIGVCYGVNGDNLPSASDVVQLYQSNGINLMRIYFPDTNALNALSGSNIGVIMDVPNSALSSLASDPSAAATWVQSNVQAYPNVNFKYIAVGNEVSGGDTNSILPAMQNVNSALANAGLGNIKVSTAVQSGVTQGYPPSQGSFSQGYMGPIAQYLQSTGAPLLCNVYPYFSYTGNEAQIDLSYALFTSSGTVVQDGGNAYQNLFDALVDTFVSALENAGAGNVGVVVSESGWPSAGGDAATPGNAQTYNQNLINHVGQGTPKRPGAIETYIFAMFNEDQKPGAETERHFGLFNPDKSPAYPINF >Sspon.08G0006410-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:19953329:19954867:1 gene:Sspon.08G0006410-1A transcript:Sspon.08G0006410-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRTSEVLLLLVISLSLLLLLPTAAATAAAAKAIDASKVQRLELPDSLVGPESVAFDGRGAGPYVSVADGRVLRWSGNGNGSGPGWTTYTYSPSYAKNGCAAPSELPPVATESSCGRPLGLRFHRRSGTLYVADAYMGLMRVGPGGGEATVVATEAGGEPLRFTNGVDRTGEVYFTDSSSTYRRSQHQMVTAIGDSTGRIMRYDPRTGQVAVLQSGVTYPNGVAVSADGTHLVVALTGPCKLLRYWLRGPKAGTSETLADLPGYPDNVRPDGKGGYWVALHREKNEFPFGVNSHLVAVRIGTQGETLQEMKGPKNVRPTELVERKGGKIYMGSVELSGETPQAVLEPIGVRSSGQNIGKKLVKLVISTRM >Sspon.08G0027280-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:45065819:45070677:1 gene:Sspon.08G0027280-1C transcript:Sspon.08G0027280-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSPAHLAFAAAFLLVVRSSAPAAAARPIFAGKPSPSEATATARWLAAQNTWGVLSTISSDLSGAPFGNVVSYSDGVPGEGRGIPYFYLTTLDPTARDALEDERTSFTLSEFPLGTCGEVDPENPTCAKLTLNGKLKMVDLQSSEADVAKLALFTKHPEMEDWPKNHHFKIFKLEIENIFLIDWFGGPKPISPSQYLEYGRNQGSVMSS >Sspon.05G0015750-1P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5B:61819508:61824593:1 gene:Sspon.05G0015750-1P transcript:Sspon.05G0015750-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATTLALLVTLAALHGAAVVLAGDPPFSCGPSSAEASEGLAFCDVTLAPAQRAADLVSRLTPAEKIAQLGDQAAGVPRLGVPGYKWWNEALHGLATSGKGLHFDAVGGVRAATSFPQVLLTAAAFDDDLWFRIGQAIGREARALFNVGQAEGLTIWSPNVNIFRDPRWGRGQETPGEDPAVASSYAVAFVRGIQGNSSSSLLQTSACCKHATAYDLEDWNGVARYSFVARVTAQDLEDTFNPPFRSCVIEGKASCIMCAYTAINGVPACANTDLLTGTVRGDWGLDGYIASDCDAVAIMRDAQRYAPTPEDAVAVSLKAGLDIDCGSYIQQHATAAIQQGKLTEQDIDKALTNLFAVRMRLGHFDGDPRKNMYGALGAADICTPEHRNLALEAAQDGIVLLKNDGGILPLDRSTVTSAAVIGPNANDGMALIANYFGPPCESTTPLKGLQSYVNNVRFLAGCNSAACDVAATDQAVALAGSEDYVFLFMGLSQQQESEGKDRTSLLLPGMQQSLITAVADASKRPVILVLLSGGPVDITFAQSNPKIGAILWAGYPGQAGGLAIAKVLFGDHNPSGRLPVTWYPEEFTKVPMTDMRMRADPTSGYPGRSYRFYQGKTVYKFGYGLSYSTFSRRLVYGTSVPALSSTVLSSLRETMTEDGDRSYHVDDIGTDGCEQLKFPAMVEVQNHGPMDGKHSALMFLRWPNTKGGRPASQLIGFRSQHLKAGETANLRFDISPCEHFSR >Sspon.07G0021530-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:3337445:3338895:-1 gene:Sspon.07G0021530-1B transcript:Sspon.07G0021530-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLSLLVVAIVFYIKDNVSHDSDLYNILSMVSLVGVVAYAIAFSFGMGAIPWIIMSEILPVSIKSLAGSFATLTNWLTSFGITMTANLLISWSAGGTFASYMIVSAFTLVFVIVWVPETKGRTLEEIQWSFR >Sspon.02G0018350-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:58870999:58872817:-1 gene:Sspon.02G0018350-1A transcript:Sspon.02G0018350-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLQYSLEKQLLRQINSTKVEYEDLFVESTIRDEIYQTVTRKLVDSHRNVLEDTVQSFRAKVSSLEAALSEKDKALCLSNEENQKLKEKLSMLEKEHSIQNNEQDLELIKQDSEEMILRDIEMEPQRSCEISDPDIQYEELIKLNQVLEIASTTVKEMETKRLNHSGILGKREQEKQLDCILVSIMDLSKEFVEIEHRMPEDIKGNEKKTENLNDRCNHMVQQAIILTKKGLWYKQMLDTRRSELRKAESEVDIMGDKVNALLSLVQKIYVTLEHYSPVFQHHPV >Sspon.03G0044280-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:90943885:90944178:1 gene:Sspon.03G0044280-1C transcript:Sspon.03G0044280-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding PRAAGGCAPPGHSRSAPTIAVARSRAASRWRAHSAPSTAVARTGRAASHRRERSAWPSPLRPCEDERRAPPPRGRAGADGRRREEGGETRAGTRLLR >Sspon.03G0000630-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:8107175:8107420:-1 gene:Sspon.03G0000630-4D transcript:Sspon.03G0000630-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMSTSTPLRLLLLHSMLMSCSLPLSWNLALVRNRFLLKTCSFLHTRTASRSDGRDPVRRNASFFRLPRRRTTSSSETTAT >Sspon.02G0051710-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2C:82002213:82002596:1 gene:Sspon.02G0051710-1C transcript:Sspon.02G0051710-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSFRLLETLEITWCGDLREIFPYRGAQLHSKDFPKLKHIHLHELPSLQNVCGVRMSAPNLETVKIRGCWSLRHLPDTGSSNKVVECDCGKEWWDRLEWDDLSQADNYRPIHPRYYKKTMLRGSVLR >Sspon.01G0030880-3D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:105443836:105444260:1 gene:Sspon.01G0030880-3D transcript:Sspon.01G0030880-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSYPPRFTIHPLPASPLYRRPKPGATSSSSPSPATNTQQGRGKGRRRMGEFDDYWARAYRGDPAVPHSDPQRLISTWTGAFALGAAACVHHHASALASHLKSLPAT >Sspon.04G0027170-3D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4D:59220089:59221048:-1 gene:Sspon.04G0027170-3D transcript:Sspon.04G0027170-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxisome biogenesis protein 7 [Source:Projected from Arabidopsis thaliana (AT1G29260) UniProtKB/Swiss-Prot;Acc:Q9XF57] MPAFKAPAPGFAVRFSPFHENRLLAATSQHFGLVGNGHLIVLDLAAAGPGAAPAPVFSFPTSDALFDCAWSESHDSLCAAASGDGSVRLFDAALPPAQNPVRLLREHAREVHGLDWNPVRRDAFISASWDDTLKLWSPDRPASVRTFRGHEYCVYAAAWSARHPDVFASASGDRTARVWDVRDPAPTLILPAHDHEVLSLDWDKYDPSILATASVDKSIRVWDVRAPRAPVAQLAGHGYAVKRVRFSPHRQGMLMSCSYDMTVCMWDYRKEDALLARYNHHTEFVAGIDMSVLVDGLLASTGWDEMVYIWPFGTDPRAM >Sspon.04G0027750-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4B:61337401:61337718:1 gene:Sspon.04G0027750-1B transcript:Sspon.04G0027750-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQEFFTEVHLLPGKLLLVVAMHPLVGSRANRLSRVEPSAGAAQPHTRWGSSKPRAPLEYPIYELPRGRIKNPLQHLVRASTISNCELNHLRCSKPSTVSGNTEE >Sspon.06G0030420-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:46973209:46975147:-1 gene:Sspon.06G0030420-1C transcript:Sspon.06G0030420-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor MAMYB [Source:Projected from Arabidopsis thaliana (AT5G45420) UniProtKB/Swiss-Prot;Acc:Q9ASQ2] MATTRCGIGTTAVVNLVVGVSAVVETIIDSDSGEPTRAPATMEFIDPWDSQSRARVVHSGANSTSFSSSTTAKAPLSLPHAASCAAAAVALLAAAYYLLPAYQILSSLLIWVASSLLLAPFAPSSATGGDISLQNRPQNPPRNPRPPPAAAPSDPVAPPVQSAASLHPLQKATAAGGAAADGGEREEDAGEWTDQELELLRRQMVKHPAGEPQRWEKIAAVFECHPGGEVGLPRGGSKLRKPLDPRAEAADTGDNAGGAESADAAWSGGDDRALLNALKEFPKDTAMRWEKTKAACMKRVTELKRDFRSTKTASEAAS >Sspon.01G0005710-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:14955978:14960501:-1 gene:Sspon.01G0005710-1A transcript:Sspon.01G0005710-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RRRLPSLLFISGHSVIFNIAGKAPATAAASQPRPGSATMAVDNWTINLECDGKAEEHKHVQRQMDRDEICYFDLIGLIEGFGYTSVDYLYYKRKDSLVVIEQDSDVMEMLNECDGDEVPDKRKGTVLAHVWDLLEGDRIVVRCNRLGQPIGKEGGLLGQFLGTIARNGGYCPVGAKDWREVKKNNVETIIQFVQVKFLYPHSCEKWILKSIGRDWRKYKAALKKTLFNPKKKRSVLNKRCPDDIDEDQWKALVKYWKSNKGQVNCARSDHNNSQNKAVHFKRKVVHGLAPNQNDGFLKHRDELVKETCESEFSDDNSLLLSTSRTTKKQKVQHGGPRETTTIAHREVAHDKATCYKRQTLKKLPLMKVGSMVLLMTSKYPNKAHVAYATLLSTDLEATVDGVKIGSQFYKVRINHVIAKDEPLVR >Sspon.06G0016080-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:72383058:72387353:1 gene:Sspon.06G0016080-2B transcript:Sspon.06G0016080-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKLFFSESACKETKLHSAPHSWLPLERGKLSKFSGHAAASSSIDSLMKMPEPAVLPHFKPADYVDILAQIHEELESCPPDEKSCLYLLQFQVFRGLGEAKLSRRSLQSAWEKASTIHEKLIFGAWLKYEKKGEEAIADLLSSCGKCSQEFRLLDFVSQVFTGSHVMSYDDDDESDEFRGSAVVHFRIRDDMIACDRRKLAALSTPLYAMLNGGFRESYLEVIDMSRNGISPIGMRAISKFSLSGRLPYLSADAILEMLDFANKFCCKGLKDACEQKLASFVSSRQDAIDFMECALELGCSILAASCLQVLLNELPECLNDEQVVRIFSSANKAQRLTMVGNASFSLYCLLSEVSMSTNPTSDVTVSFLEKLVESASDSRQKQLALHQLACTRFLRKDYPESERLFNAAFSAGHLYSLAGLARLASLRGNKHFALKLLDSVMSSRWPLGWMYQERALYLEGDNKIENLNKATELDPTLTYPYMFRAASLMKRQSVEAALMEINRILGFKLVLECLELRFCCYLALEDYRAALCDVQAILTLAPDYRMIGGRVAAKQLRMLVLENVEQWTAADCWMQLYDRWSSVDDIGSLSVIYQMLESDNAKGVLYFRQSLLLLRLNCPEAAMRSLQLAREHAASDHERLVYEGWILYDTGHCEEGLQKAEASIAIQSLCFGRFKFDPSTSATVVSLLEDALRCPSDRLRKGQALNNLGSVYVDCGKLDLAAECYINALKIGHTRAHQGLARVHFLRNNRAGAYDEMTKLIEKARNNASAYEKRSEYCDRELTKTDLQMVTKLDPLRVYPYRYRAAVLMDNHKEKEAIAELTKAIAFKADLNLLHLRAAFHEHIGDISSALRDCRAALSVDPNHQEMLELHHRVNSQEP >Sspon.01G0011490-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:50987964:50990200:-1 gene:Sspon.01G0011490-3D transcript:Sspon.01G0011490-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uric acid degradation bifunctional protein TTL [Source:Projected from Arabidopsis thaliana (AT5G58220) UniProtKB/Swiss-Prot;Acc:Q9LVM5] MAAPMPLSAEDVLRVNGSRRFAASMATASPFASLADALLAARRIWLDEVCHLLAFVSSTSRLYYSDQPRTSASCCTDVVLATRARATNRIKVDVNGWLEAFAAHPAIGTTSPSVSKWSKEEQSAALSTATDSTAQELAEWNARYREKFGFVFMICASGRTAPEVLAELKRRYTNRPIVELEAAAQEELKITELRLAKLFSSEPTVPSTTTEGPATQSDKAADRIRIIGAHLGALPQPCANKAPEITGSSNRSRPPITTHVLDTARGSPASGIEVHLEMW >Sspon.03G0029350-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:13913144:13914585:-1 gene:Sspon.03G0029350-3D transcript:Sspon.03G0029350-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVAAVACRAGPLARARPGSRARRRAWEHGVAVGPAPSAARRRLLVASLGVGEPLPAQSLGEEEAVALEVGEDDDLYSLVSAENLLPPPAAADVPEARTVRVKFVLRKQCAFGQQFLVVGDAAALGLWDPAKATALDWSEGHVWTATTDLPANRSIEFKFLLRDASGHVRWQHGPNRTLQITETPNTMVVREDWDHGNKQKVSEEEELSIGEEHVMFSEDLAGTNGTMPADNPQKHQNLETDRSAAVVADAPLQGEMVAANGTDQPQLMVDKHQTILEEVRGEQNGTPSADDYPGNGNDDDDTTLYQGGDLLPNRPTSIFENDLAWAGKAMQQLLRILGFQIGTTRT >Sspon.07G0004140-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:9388190:9389164:1 gene:Sspon.07G0004140-2B transcript:Sspon.07G0004140-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMSCNGCRVLRKGCSDACTIRPCLQWIDTPEAQANATVFLAKFYGRAGLLNLLAAAPDDAARPAVFRSLLYEACGRIVNPVYGSVGLLWSRQWHKCVDAVDAVLKGHPVVQVDAASAAAAAPPLLGGSGRTAAAPAAAYDIRHVAKDPDAAAAADLLRVARGGRKRFKRAGSSSSNASKAKQPLKGKAGNNERASASPSPPLRRQQQEAEELEPVPMVVEFEHGEESAGSHDHHLQLQQGSSSEDTDVEAASHVSQAEAEPPVSSQSQSQVLVADQEEEEVGLELTLGFEPVVRQQPRSSCCDRSGLSAASTLIGLRLQLPAA >Sspon.03G0031750-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:30532636:30537877:1 gene:Sspon.03G0031750-1B transcript:Sspon.03G0031750-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCSTDSHLQDKLDQNDQMFKPGWKDIFRMNQSELEAEVRKVSRDPTLDPRRKAYLIQNLMTSRWIAAQQKLPEPNSEECSDDASIPGCAPSYRDQEKQIYGCEHYKRNCKLVAACCNKLFTCRFCHDKVSDHTMESGKKVYFGMLDALLAAEELPEEYRDRIYFAMTVRGKADVDFIGYTTNAAPVGPTIPESSRLTQQIALHQARGNIHAY >Sspon.04G0031000-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:5219436:5224583:1 gene:Sspon.04G0031000-2D transcript:Sspon.04G0031000-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKVFDQTVRDLKREVNKKVLKVPGIEQKILDATSNEPWGPHGSLLADIAQATHNYHEYQMIMNIVWKRVSDTGKNWRHVYKGLIVLDYLVAHGTERVIDDIREHAYQISTIFKFGSGIGDSCILLALADFQYIDSSGRDQGSNVRRKSQSLVSLVNDKERIQEVRQKALATRDKYRSAFAPGGRSPGGYGGGYDNDRYEGSYGSRYDNRNGNGRERDYGYRDDDRYGGPGDTPNREGDRYSRDSNERYGRDYREDEYKGSHSNHEYAEGAGRRSYGRERDSYGDDEAYSSRGRGSNADAPTQDDRPIERKLSNQQIASPPPNYEDVTRDGQDNLHDDRNGGSVPAAVPRVSSPSVPATTVPAGHVNGVHENTVEDVPAPPTAHAEPNGFDEFDPRGSVPDSSPPVNPAPVANSLEMDLFGSDPISSLALVSVPQPTTTSNVEAPANSGFETNSFVGMPPASTGFGEIDASNPFGDPTPFKAVLDESPALPQTHAAPAGSFQSTGPGADVNPFQPASAASFGFGDTLGDLSFASNAAPEQQDMFANAASFPSEVAPANPSVVLQQPVPTNFPSQAPQPFAGPLHAAPTSFAPSQDAPTSFAPSQAPQAAPAFAYSQAPHPGAANQSQFPQTAAPSFPPSQVPQSVAPNLPSGQSDFFMQPASGSGIDSLSGVPTQNGAPSYIPPQTSQFAAPANQQPSQQNFLPQTSTPALQPTLISRGHPSPLSSSSAPPETIISALQVNQTEPVKKFEPKSKLWSDTLSRGLVNLDISGPKANPHADIGVDFDSINRKEKRQEKKINQAPVVSTVTMAKPWELVLALVGLVQVQWHLLPTQWVLAGAWVWVLVPVPVMVVEWE >Sspon.05G0016870-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5A:69920521:69924012:-1 gene:Sspon.05G0016870-1A transcript:Sspon.05G0016870-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLPLRRKTPAAAAASVVAVQKQLLVVAPPTAPAAVLVGAEVPNVVGGCDDDVTEQQAESEKNKTAWAARRRPPRLVIPVAEVDAGEVAAGWAAAAAQAKEADLEVEGEGYRVASRAGPRHAMEDAYAVVTDKHGGDSELRLARNVVSAVLAAGTETQGEPWSSSAAAEDAVSAAIRAAYLATDNELLAQHQGESDGACATTAVVKGGHLYVAHVGDCRAVLSRDGAAAALTADHTCAREEERERIEREGGYVSRSGSGVWRVQGSLAVSRAFGDSALKRWVVAEPAVTRVALDAGCEFLVIASDGLWDKVSNQEAVDVVSGSPATACRELVDMARRRGSRDDVTVMVVDLG >Sspon.06G0008420-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:44438792:44439749:-1 gene:Sspon.06G0008420-1A transcript:Sspon.06G0008420-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSHLGQAQAHHRGGEGGEPTTARSRWAPKPEQILILESIFNSGMVNPAKDETARIRRLLERFGAVRDANVFYWFQNRRSRSRRRSRQLQQACGAAPQLPTTATAAVAGNLHGHDFNDSVSLFAMHGQSQARTAGMPAVAPMPPAVASSPHFFSDDIDGGDDLFAISRQMGLMSRGGDQHRCGYTDNNASQLSYQPTGEWTTTTATASIQVFINGVVYEVPGGGALLDLAGTFGRDAMLVHSSGEILPVNENGVLMKSLQMGECYYL >Sspon.06G0007950-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6C:34667425:34669456:1 gene:Sspon.06G0007950-2C transcript:Sspon.06G0007950-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQGSLQSALFGGGDAAARDGQFLDWPKRLAIIRDVARALAFLHVECQPPVVHGDLKPSNVLLDANFRAKLADFGLARFKTPDAVAASGAAGDDFMSQELGEAGDHLSTTASAAGGAKTDTKDESGPAGAWGKEWWWKQDGSGELDSRDYVAEWIGSQICPERNPDWADENEDDANEHKNSPSGTDENALSASPEDKKNTDCNGNGNVDGAKKEQEAGSKLRQTARRRGKPWLRSISMNTGHGNTNGESNNVEPSAVDLSFRRSRKRSRRRGRSVGSDVHSGCGGDYLSRELSSTTSMRGTVCYVAPECGGGPCEHGSDLLEKADVYSFGVLVLVILSGRRPLHILSSPMKLEKANLVSWCRQLARAGNVLELMDERLDGGYDRDQATKCVQLALLCLQRQPELRPDSTDIVKILDGEMELPPAPVEFSPSPRVRPFPRSSRRAAQQPDPAE >Sspon.01G0017790-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:67467164:67468588:1 gene:Sspon.01G0017790-3C transcript:Sspon.01G0017790-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSPVQVVSRRTVKPPPRPRERIPLTTWDLSLLSADYIQKGLLFAPPPCFSTFTHLVDHLQTALADAIATYYPVAGRLAIDQHHDDEGHIVGCSVSVDCAGQGVEVLEAVADGVAVADVVPPDADVPSVVRSFFPLNEAINYDGHERPLFVVQVTQLADGVFVGFVYNHALSDGTAFWNFINAWAEIARARLSSPGGGPRVLASRTPPLFERWSPDGGSGAAAAPVVLPYADLEGLIARPTLPPPPQRDRMLHFSAESLAALKERARQELLAAGDTAGAAAVTSFQALSSLLWRCFVRARRTAPDQEVVFRASANNRGRLRPPLPAEYFGNAINAVSTEAVRASELLARGHGWAAAAVGGAVAAHTDAGIRALAAAWAAEPGLSAFRLFDPNAMFISSSPRFDMYGCDFGWGKALAVRGGKANKYDGKVSLFPGREGGGSIDAEVVLAPEHMVALEQDDEFWAAVSPDVQPARK >Sspon.06G0020900-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:12430277:12431911:-1 gene:Sspon.06G0020900-2C transcript:Sspon.06G0020900-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGDLQVLTALDTAKTQWYHFTAIVVAGMGFFTDAYDLFCISLVTKLLGRIYYHVEGSATPGTLPPHVSAAVNGVAFVGTLSGQLFFGWLGDKLGRKKVYGMTLMLMVLCSVASGLSFGHTPASVMATLCFFRFWLGLGIGGDYPLSATIMSEYASKKTRGAFIAAVFAMQGFGIMAGGLVAVVVSAAFKVRFPAPAYAVDPAGSTPPQADFVWRIILMLGALPAALTYYWRTKMPETARYTALVAKNSKQAAADMSKVLQVEIEELAAPAQDNSNSSRASSAAAAPAASFGLFSGEFLRRHGLHLLGTSATWFLLDIAFYSQNLFQKDIFSAVGWIPKAATMSALEELFRIARAQSLIALCGTVPGYWFTVALIDVVGRFAIQATGFLMMTAFMLGLAVPYHHWTTTPGSHIGFVVMYGLTFFFANFGPNATTFIVPAEIFPARLRSTCHGISAASGKLGAIVGSFGFLYLAQSRDPGKTEHGYPAGIGVRNSLFFLAGCNLLGLAFTFLVPESKGKSLEEMSGENDEAAAATASYNRTVPV >Sspon.01G0025620-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1A:90137586:90138895:1 gene:Sspon.01G0025620-1A transcript:Sspon.01G0025620-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPKKSNLHGSSSPPFRARASWLHGIVRPSDRPIHRASASMPRLPSPAAPPIPAAPPLPCRGGILHVRYDASHPADCSCRADLVVGASPSASKLHAFARECSGSSAPAAPPRPIQVPRRGRRRWPGQGQAQLATARPHPTSSATRWSEPAVPRSTLRRTEERCKTHAASVCFKCFKCFRELDRVLHLPPRFFAVSPWCQAREGGGVTSRPHVLAGGHTRRDVGGQTRDARPREAAARASEQAASVLTSGR >Sspon.07G0021560-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7B:3380448:3380780:-1 gene:Sspon.07G0021560-1B transcript:Sspon.07G0021560-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGELRLGGRVPTGARLAGAQEAWRPVSAAELNHIGGSTMSSSPLTIVEEPSTPPQQADKVLTRIQVLELEDEADEWGPPDSDRSRGNRLSEREREEQGRGSKDISCAYAD >Sspon.07G0025440-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7B:42414297:42416171:-1 gene:Sspon.07G0025440-1B transcript:Sspon.07G0025440-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVATSSPPSCRRSERKVSCHHAEEDVDAVVVTLIFNRAVTEKTNPPSPLAVGGEDEEDINPQYQETWHRETLTLRIRSTRKLITNDGSPLPPASGKMPEGAGRATNGAGGEGVRRRYESTSTSTTSTSD >Sspon.03G0010390-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:28234535:28234864:-1 gene:Sspon.03G0010390-1T transcript:Sspon.03G0010390-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding HGHGLQRAQHAPVRGAALAPHRVPTALAVAVRAPRLLLNQFPAAAAAAIGRGRGAGGALGAVTLERDADKARGHLAHQRRRGCGELEQQRGARARVHLEREVHVAAAVAV >Sspon.06G0019630-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6B:3418769:3420360:-1 gene:Sspon.06G0019630-1B transcript:Sspon.06G0019630-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding KSARGPLELCALCGPKPRATQQSAGSPTARAGSSAFGPWPSRVAQRLTLALCALARPNNKIWPTASLAPNASPRPGRNLGLGRETVRPPGPKAGPADPVPVDRLPNPSSHFIFFRFDLLSPHRDSWRLARGGGGRRRRGSEGMTTPESILVGSPSSFVSFSSSPAFLAQHSPPSWPSPPHEPDGGDVVATPHDFLPACAFPIAVERAVVKELCVGALIPRVDDRDAGERALVVLPARQQGLFFPCVGGHAYGEEARRWGSYCSGEPRGTSPCPHLLFIVLRDGGPPAESLGWASPIRTRSNGPSRPLGQDG >Sspon.08G0025940-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:12513819:12517285:-1 gene:Sspon.08G0025940-2D transcript:Sspon.08G0025940-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVIVWLGYGPGARIDAWRESCLGGYEKAPNIIEHASLLLKFGVPEEQIDKLTRWHRIAMVRKLSSEQAASGITIDEIPVTLDGDDNGSDTEANSDLDSFAGDLENLLDAEEFDDEDTSTSDLRIDKADGMRGLKMRRCSSHAQINEEIEDDETEASLAKKLLEDDGNDVKRKKQPAELTNCGTSIGANKMKQSKTGQMIKSSGYAGALTPKESTPREGKELENSFAEGGLPSKLKPKMALDVNEILLVKKKSVLGKDGPKLFAMSTNPNSNQVFVHAKRIRELIFLPM >Sspon.04G0008780-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:22147280:22149267:1 gene:Sspon.04G0008780-2B transcript:Sspon.04G0008780-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSDPLVVSKVVGDILDPFIKSASFRVLYNNRELTNGSELKPSQVANEPRIEIAGHDMRTLYTLVMVDPDSPSPSNPTKREYLHWLVTDIPESTNVSYGWRQNFNTRDFSALYNLGPPVASVFFNCQRERGCGGRPPPRYIR >Sspon.04G0008270-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:10670715:10672318:1 gene:Sspon.04G0008270-4D transcript:Sspon.04G0008270-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETADAPLLTSHEQAKLAKAPSIDDTIETYIGATGSMQLLKAVLLAFAWAFDAQQVFISVFTDAEPEWHCTGASASCSPAAPPASPCALPSGAWAWDRPAETTVVSEWALKCAGPALVSLPASSFFAGCLAGGFLLTTLADSLLGRKKMLLTSLVSMSVAGVLTAFAPNVWAYAALRFVSGFGRSMVGTCTLVLSTELVGKRWRDTESPRWLLVRGRKQDAMETLQQIASLNGNSITSSFSMLHACNMQEDDGGAGGAGGVFSTMRAMWERPWALRRLAAIMTIGFGVGMVYYGMPLNVGSLGTNLYLSVTYNALAELPSAILSLIFIGRVNRRSSVVALTVAAGAFSLACVVIPEGSAARMAAELLSFFSTITAFNLILIYSIELFPTSVRNSAVGLVRQALVLGGVVAPMLVALGRERSFWSFGVFGLCIGCLGLFAACLPETRGRSMSDTMEEEEHKEAAAAACTGATDIATKDDSDLV >Sspon.04G0014650-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:62633305:62637293:1 gene:Sspon.04G0014650-3D transcript:Sspon.04G0014650-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LVGRLVELRRGWRPSSAMASAGGAGRGGGKRDGGKGEGSLVRRAWRQYLLQLQQHPLRTKVFAFALVLGFCSFIRKQGGFLKWVGLVVCPQMITAGCLAGVSDSVAQKLSGYQKIEKRRLLLKMLFGFAYGGPFGHFLHKILDYIFQGKKDTKTIAKKVLLEQVTSSPWNNILFLFYYGYVVERRPLKEVTTRVKKQYPSVQLSAWMFWPIVGWINHQYMPLQFRVIFHSVVACCWGIFMNLRARAMSLKQA >Sspon.01G0019660-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:73409715:73415429:-1 gene:Sspon.01G0019660-1A transcript:Sspon.01G0019660-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Double-stranded RNA-binding protein 2 [Source:Projected from Arabidopsis thaliana (AT2G28380) UniProtKB/Swiss-Prot;Acc:Q9SKN2] MYKNQLQELAQRSCFNLPAYTCLREGPDHAPRFKAAVNFNGEQFESPGFFTTLRQAEHAAAEVALAALARRGPSYSLAARILDETGVYKNLLQEVAQRVGAPLPLYTTERSGLGHLPVFTCTVELAGITFTGDPAKNKKQAEKNAASAAWSALKQLVREEVNSSNEPENNDEQEQIRIARALLNYRLKEKMAMANYPHVSPFPKKFPMQPERKPSFGQSSQSSYSKILPLFRPKSNSRSRPESPASTDGVSQIPGRAMDSLTPSPRSRFPAAEAAPYVPVGHYRMPCHSMAPSVTIRTAVPVFSAPPLPPPSARPQQLPPLMSHPPPIRMASPVRMRPASPMFSSSAAVQGPKPVMPVQLKNVQDQSRKETTASVIPVQVKDLQYQQRKSSMSPVIPVSVKDAQRQPLVGSLSTAIPVQMKDVQTQAPMESLAAPIPAIRPSVKIDLPAQGKEASAGATSEVPSSAHANNAAVECSTSSDILLARQSRAADGDDDKAEAKHEAEAQAVAEAAIRQLEIN >Sspon.07G0016430-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:54649985:54650197:-1 gene:Sspon.07G0016430-4D transcript:Sspon.07G0016430-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DVGLGPRGDRAGAVRAPLAGAARPAPRPPPFRGVRQPADQRHLHPRPLHHLLRAHHPLRHRHRSAHHHRQL >Sspon.06G0002130-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6A:6908664:6909140:-1 gene:Sspon.06G0002130-1A transcript:Sspon.06G0002130-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALKSDWCTSHHIRAHAVMQLWRLVRLRPAPCGLQPGTQAWQQPSPTAPPTDKRRDNLATADRGRVAGRRMAAACLVDERRAEVRSGEVKDCGTESDAEMCSGDSSADGLVESKLWIPLSSLCLGRLNLGQHLGPLRIGLKIYTCYTKIFGPRLGPWP >Sspon.01G0027310-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:95836833:95840888:-1 gene:Sspon.01G0027310-1A transcript:Sspon.01G0027310-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSMQAADAAGRLSALLSLLALRRLLAVLQPLALLLLLPFRWRARPGAVPTAAPSDAAASASSGASGKKGKASSSVVLRVPAGSPMVAARRQASARREIAMRRAREAGREYELIATARGETLFTQCWWPHPPSSNIKPRALVVVMHGLNEHSGRYDHLARRLNDIGIKVYGMDWTGHGGSDGLHGYVQSLDHAVNDLKMYLKKVLAENPGLPCFCFGHSTGGGIILKAALDPEVETLISGIVLTSPAVRVQPAHPVIAVMAPIFALIAPRYQFTASHGNGPPVSRDPEALRAKYTDQLVFTGAIRVRTGYEILRLTSFLQQHLHRIAVPLLVMHGADDQVTDPRGSRALYEQASAADKSLKLYDGLLHDLLIEPEKDKVMDDIVAWLNPRV >Sspon.07G0013790-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7A:49899339:49903101:-1 gene:Sspon.07G0013790-1A transcript:Sspon.07G0013790-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRRRNHHHLLLPATACLWALSCALLLLRASSASPHAHGLLRVGLSKRGLDRALQAAKVARQEDSLRRLGASAGSGTGSGDDVPLVDYLNTQYYGVVGIGTPPQNFTVIFDTGSSNLWVPSSKCYLSIACYLHPRYKSAKSSTYKKDGETCKITYGSGSIAGFFSYDDVLVGDLTVKSQKFIETTRESSITFIIGKFDGILGLGYPDISVGKAPPIWQSMQEQNLLAEDVFSFWLNRNPDAESGGELVFGGVDPDHFKGNHTYVPVSTKGYWQFNMGDLLIDGQSTGFCAKGCAAIVDSGTSLLGGPTTIIAQVNEAIGAAGIISQECKEVVSQYGEMILELLIAQTSPERVCSQVGLCLFDGAQSVSEGIESVVGKENLGSDVMCSACEMAVVWIENQLRENKTKELILQYANQLCERLPSPSGESTVSCEDISTMPNLAFTIANKTFTLTPEQYIVKLEQGGQTVCISGFMAYDVPPPRGPLWILGDVFMGAYHTVFDFGNDRIGFAESA >Sspon.07G0026010-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:50597946:50601479:-1 gene:Sspon.07G0026010-2C transcript:Sspon.07G0026010-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At5g16860 [Source:Projected from Arabidopsis thaliana (AT5G16860) UniProtKB/Swiss-Prot;Acc:Q9LFL5] MLRAGTKPDHFTLPYALKACGELPSYRCGSAFHGLICCNGFESNVFVCNALVAMYSRCGSLEDASLVFDEITLKGIDDVISWNSIVAAHVKGSNPRTALDLMTMIVHEKATNERSAIISIVNILPACASLKALPQTKEIHGYAIRNGTFPDAFVCNALIDTYAKCGSMKDAVKVFDVMEFKDVVSWNAMWELWGGLRAFQEYAQGKYPLDVITWSAVIAGYAQRGCGQEALDAFQQMILYGSEPNSVTIISLLSACASLGALSQGMETHAYSLKKCLLSLDYDFGGDGEGEDLMVHNALIDMYSKCRSFKAARSIFDSIPRRERNVVTWTVMIGGYTQYGDSNDALKLFSEMISKPYAVAPNAYTISCILMACAHLSALRMGKQIHAYVTRRHEYEASVYFVSNCLIDMYSKCGDVDTARNVFDSMPKRNEVSWTSMMSGYGMHGRGKEALDIFDKMQKAGFVPDDISFLVLLYACSHSGMVDQGLDYFDIMRRDYGVVASAEHYACLSGLHYLVPAEFIRMWNLLNCSEQTENDGSYTLISNIYATARRWKDVARIRQLMKRSGIKKRPGCSWVQGKKGTASFFVGDRSHPLSPEIYSLLERLIGRIKVMGYVPETNFALHDVDDEEKNNLLTEHSEKLALAYGLLTTSPGCPIRITKNLRVCGDCHSAFTYISKIVDHEIIVRDSSRFHHFKNETEDRDSERARVGDVKTETGSEATSVPS >Sspon.05G0011140-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:31811894:31813231:1 gene:Sspon.05G0011140-1A transcript:Sspon.05G0011140-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DGAEGDGHEAAPAAPGPGGQDPQLLPLPDLARRHALARQPLHRRHLRPRLHPAVARLRQHHLPGHHAPAPLHRPRPQPHPPQPHRALGRLRRLLLPHRRRRRRFFGTDFFPREPRPAGGFVRRRPRAGPPRAGGLSLQRRRRRLRKERASGRRPRRRDRRVGSPEKSRGDQRRGRGGGHESAGRDLGLGRHRRREQEAGGHRPRGGRGGLGRVAGARDAPRERRPGGEGRRGQAGGAAARQCRRRGGEGGGGRVVRAAGRAGAARGHRRREGRPGQERRGRRPLLPCRHLRVGVAPRRARRDGAPGRGPGRGARPARHDHGARRVREGASRPRVRRGLRRGPHRAVWRRGGRHPRGGGQDDEVRARRRRGRRGRALGGLPPVPGPPRGGRGRGGRGRADQAAPADAERVLAGGEADGAGAAQDLQGERQELPRRLRLQDHPHHAVL >Sspon.02G0009980-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:31103117:31107752:1 gene:Sspon.02G0009980-3C transcript:Sspon.02G0009980-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGRSVRLVLDASLLLNPSSTREAAAVALRPGVEELLRRLRYSNLSVAICYAEGMPTNEDFAQDMSVAIAVLHNGTFVLARRLQELLLTLASLIKRALVFQIEEFSNLSVPLPAVLQVSVTHQLKNILQEYVDHGSKIFKFYVIGDKVFYAVRDSMPNARFLKSSSGGEALTFNSLKTLPVATKEQQLQTGVQDSKLLDANLVEEAAKFLKGLLGLTIFGFDVVVQEGTGDHVIVDLNYLPSFKEVPDSEAVPAFWDAVRQAYESRRGNAQG >Sspon.07G0035810-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7D:13016541:13017165:-1 gene:Sspon.07G0035810-1D transcript:Sspon.07G0035810-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ADWWDQYGGDYPDLQYFAKRIVGQCMSSSGCERNWSTFALVHTKLRNRLSYDKLHKLVYVHYNLKLRIQQYEDDIRNLQDMQTQHEMDSDPYSMLMDAAVFDDRNPIMDWLVNSRSESPPTLDENVDGETEFANPSRFIIEEMGLSDEDVAILKETYFPKQVSKKRRIQFDEEESAEDTESDSVQGSPTYAESGDSGSHESKGEIAYL >Sspon.06G0021260-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6B:13959108:13962414:-1 gene:Sspon.06G0021260-1B transcript:Sspon.06G0021260-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVCRHCISHTQPGLAPFSWRRRHAALGLRNGDHQITVSYGHRTRNFEFGVSGCLKNCILHPLPDVWHRMIWPEATNP >Sspon.01G0038290-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:26053682:26060806:1 gene:Sspon.01G0038290-1T transcript:Sspon.01G0038290-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVFYNLNAVEKREVLVVILQIVRNLDDATLIKAWQQSIARTRLFFKLLEECITHFEHNKTGDSMLLGASSRSPDVEHPASPKYSERLSPSVNAYLSEASRHEIRPQGTPENGYMWNRVSPQLSSPNQPYSLREALAQAQSSRIGSTARALRESLHPVLRQKLELWEENLSTAVSLEVLGITGKFSVAAGTRSITTDYAKLDCVTSILMGLLSRSQPLAFWKAFLPVVYNIFNLHGATLMARENDRFLKQIAFHLLRLAVFRNDSIRKRAVVGLQILVRNSFNYFKNTTRLRVMLTITLSELMSDVQVTQMKSDGSLEESGEARRLRKSLEEMADVRSKDLLKDCGLPVTALEAAPEGSSDNRWSWVEVKHLSKCLVQALDAGLEHALLGSVVAVDRYAAAEGFYKLAMAYAPVPDLHIMWLLHLCDAHQEMQSWAEAAQCAVAVAGVIMQALVGRNDAVWSKEHVASLCKICPIVNTDVSAEASAAEVEGYGASKLTVDSAVKYLQLANKLFTQAELYHFCASIQELIIPVYKSRRAYGQLAKCHTSLTNIYESILEQEASPIPFIDATYYRVGFYGERFGKLDKKEYVFREPRDVRLGDIMEKLSHIYEAKMDGSHTLHIIPDSRQVNADELQPGVCYLQITAVDPVMEDEDLGSRRERIFSLSTGTVRARVFDRFLFDTPFTKNGKTQGGLEDQWKRRTVLQTEGSFPALVNRLLVTKSESLEFSPVENAIGMIETRTAALRNELEEPRSSEGDQLPRLQSLQRILQGSVAVQVNSGVLSVCTAFLSGEPATRLRSQELQQLIAALLEFMAVCKRAIRVHFRLIGEEDQEFHTQLVNGFQSLTAELSHYIPAILSEL >Sspon.06G0012960-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:67915847:67926765:-1 gene:Sspon.06G0012960-1A transcript:Sspon.06G0012960-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAGLQLRLLLLAVLAVTAAATGEGRAPALFVFGDSLIDSGKNNNLASLAKANYFPYGIDFADGPTGRFCNGYTIVDELAELLGLPLVPPYSEASSVQQVLQGANYASAAAGILNDSGANFVGRIPFNQQIQNFESTVAQITAALGASGATDLVSRSILFVGMGSNDYLNNYLMPNYDTRRRYSPQQFADLLARQLAAQLTRDQAVQRRRPESVAGRCSQEVDDLVLPFNANVRALLDGLNNVAGGGGGAGGLPGARLTYLDNFRIFRAILGDPAAFGFSVVDRGCCGIGRNRGQVTCLPFMPPCDDREHYVFWDAYHPTAAVNVIIARLAFHGGADVVSPINVRQLAGL >Sspon.04G0004650-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:14632083:14641057:1 gene:Sspon.04G0004650-3D transcript:Sspon.04G0004650-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSGNPNPNPNPPFEIGKLFRPPNPMPTASPNPIFPGVAGGPAGPPPPSGPYSYPPVTPPFHRGPYIHYPQDPHVMPRPVVSFPMPNPNLNPNPNANPNAAAPGPNPGARLMQLLGNSGPTQLETAVSMPPPTSEFAQPQPLPAMPSAPPARMLSSTSSKVPRGRLLGTGERAVHDVDSRLPGEAQPPQLEVTPITKYTSDPGLVLGRQIAVNRTYIVYGLKLGNIRVLNINTALRSLLRGHTQRVTDMAFFAEDVHRLASASVDGRIYVWRIDEGPDEENKPQITGKIEIAIQIVGEAEAYHPRICWHSHKQEILFVGIGNCVLRIDTTKVGRGKDFTVEEPVKCHLEKLIDGVRLVGKHDGDVTDLSISQWMSTRLASGSKDGTVKIWDDRKQVPLSIFKPHDGQAVYSVAFLTAPERPNHINLITAGPLNREVKIWASTNEDGWLLPSDSETWKCTQTLELVSSLEPRVEEAFFNQVAVLPQASLILLANAKKNAIYAVHVEYGPDPASTRLDYIADFTVAMPILSLTGTHESQPDGEQVVQVYCVQTMAIQQYGLELSLCSPPTADSTGFGRDPAISRVYEAPLEMAGTESSTGTTFTDSYSVSALSKPPTVDQSADLDLKPSAPPLAYSEGDGSMPLPSAPLAPKMEIPGSGPAPGTRDIDQSAFDYTTNRNMERDALKRQDTPMPIRKDILGKDEPRDGHSDVPMLPNPRLMFQVGGNATHLVTPSEIISGTLSSAENNDVSKSDGGKSQDVSSRSSRVAEVEPKHIDESKPDQDLGLEAVKETQIVCENMEKTQSSLEQTVEMISERSVTTDKYSVEESQSSSDRRTSDQTGVADENVVKKFVEIPEKIDFSSASREQSSSFTKEEKVLHPQTSGQPSPPVSAFNSTESHEPLSSTYLPATEAAATQGMLQQLMGMQKDMEKQLSTVVPASIAKEGKRLETSLGRTVEKSIKANIDAFWVRLQEENTKREKADRERMQQLVTLITNSINKDLPSNLEKSLKKEISSLGPIVARAITPIIEKCLTSAVYDSVQKGVGDKVCTQLEKSITGKLEATLARQIQMQFHTSGKQALQDALRTSFESLLLPAFEQTCKTMFEQIDGAFQKGMSEHSIAIQQQVEAAHTPLALTLKETINSASSITQSFSSELLDGNRKLLALVTSGNVKAHNTSALQPINGPMGGPQEAEAPLDPMKELSRLISERKFDEAFTMALQRSDVSIVSWLCSQVDLRALCAMAPVPLNQGVLLALLQQLAIDIHNETSRKVQWMTDVAMAINPADQMIAVHVRPIFEQVYNQLAHQRTLPTTTASDGTSIRVIMHVINSVLLSYK >Sspon.07G0013440-2T-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7C:54678999:54679916:-1 gene:Sspon.07G0013440-2T transcript:Sspon.07G0013440-2T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VRGYVAMMQKKDPKLCSPHIFH >Sspon.01G0028620-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:112917498:112919795:-1 gene:Sspon.01G0028620-2B transcript:Sspon.01G0028620-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding TMRRHGEVCVIFAVAAAALLAAAAAAPAAAGREDRQTYIVHMSHSAMPSDFVEHEEWYAASLQAVSDAATVLYTYNTLLHGYSARLTRAEAAALESQPGVLVVNPEVRYELHTTRTWEFLGLDGTDALFPQSGTGSDVIVGVLDTGVWPERPSYDDTGFGPVPAAWKGKCEDGNDFNASACNKKLIGARFFLTGYEAAKGPVDTSKESRSPRDNDGHGTHTSSTAAGGAVQGADLLGYAAGTAKGMAPRARVATYKVCWVGGCFSSDILKAMEVAVMDGVDVLSLSLGGGTAEYYRDSIAVGAFSAMEKGIFVSCSAGNAGPGAATLSNGAPWITTVGAGTIDRDFPAYVMLGNGKNYTGSRRVGFNIISGTSMSCPHVSGLAALLRAAHPEWSPAAIRSALMTTAYNEYPGGNGILDVATGRPATPLDVGAGHVDPAKAVDPGLVYDITAADYVDFLCANNYEPAQIAALTRQHPSEGCSANRTYTVTALNYPSFSVAFPAAGGTVKHTRTVTNVGQPGTYKVTASAAAGSAPVTVSVEPSTLSFSKAGEKQSYTVSFTAGGMPPGTNGFGRLVWSSDHHVVASPIAATWT >Sspon.02G0034120-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:12905422:12910947:-1 gene:Sspon.02G0034120-2C transcript:Sspon.02G0034120-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGYNPRTVEEVFRDFKGRRAGIIRALTTDVEDFFKQCDPEKENLCLYGFPNESWEVNLPAEEVPPELPEPALGINFARDGMQEKEWLSMKPSEPKVKQTKPRAPAEEGPGGEEEGGSASEGEHGETLCGACKESYGPDEFWICCDLCEKWFHGKCVKITAAKAEHIKQYKCPSCTGSGGAGNSGTKRARPS >Sspon.07G0021880-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:5455970:5457574:-1 gene:Sspon.07G0021880-3D transcript:Sspon.07G0021880-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATSSACTAAVALASLPFAASTSASPRVAASGHRGPRRFRAATVRCSSASPNVSQGAPAPAPAPPKPRIELEFVGPKPGADGSFPVDRADAASGEKLLRDIMNENKIELYAAYGKVMNCGGGGSCGTCIVEIIDGKELLNERTNTENRYLKKKPDSWRLACQTIVGNKENSGKALWFNGCPSGRNNDCAAPHCWMY >Sspon.05G0003920-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:12022991:12023350:-1 gene:Sspon.05G0003920-1A transcript:Sspon.05G0003920-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRPFQCLPDPTPLRSIGIGEGRGQAGGGYIELLQVGLDWTPDLGSLPRIRDLGLGLDSGGGSRRGGRIKPQSQSNPIPSSISSISSFLASLRLYFLLFFPYGEDIPPDLISCESDYY >Sspon.01G0038020-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:27684340:27687549:-1 gene:Sspon.01G0038020-1B transcript:Sspon.01G0038020-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIFPDSMATAAGLTFTTSQITWTTGSNDFVVTTMEEVQIRSASTTFPTPASTTTALASASPAPAPSPITPATHRLLPRYQGRKLDHTDLIDSIDWLATRFQRLGTDLVVTSTPEGRSARPRPRPRNFVNMTTQTMVLHHVSNGDEERLYPPGFPRIPAFPPRPKMKIHLLGARPINSDKRDNSAMPTELNHNSKQTTTSKKLTLQLGVIDCKGATSMMLCPSKRTTTARSCTATARLHGPIRKKPPPMVQTRDSRGRERETGQLVGVVLLFAQQVLASPSPQLLSPLVPHRDRRQKAISRRAYQPYHRAGRGGLPDLLAPSQFSPLSPVQSNTIRAVSIARRRQVRVGCDRLAGAILIGVVDSSGQMQQEWRGYLGFLIATGDAVWCGESARG >Sspon.07G0037840-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:62887711:62888905:1 gene:Sspon.07G0037840-1D transcript:Sspon.07G0037840-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding KQRYRSPSEPLGSLSFRLKKKSPLRTGILASRHCVASPRPRTTFARPAAAALGATGGRAAAAVAAFREPTDPYSSASSPPPSTTSAPPLRCLRPAPRQRAPASGCLGSSAHLGRGGEAPRPRSLRPHALLQRHRRGAATLHHCPAPAHLGRRGAARSSGVPSPRPIQACAPPTSTSAESPKRPATPHTDSSALASPVALPVAFSHPSLRKVTTVPFLNNPTTIAFLSAGGLHCLLLPNKTNLVPLDLQKVRCRPSAFDVAIIHRLLLSISNKRVQFRPSALDVHITTVDSS >Sspon.04G0000050-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:40471406:40474744:-1 gene:Sspon.04G0000050-2D transcript:Sspon.04G0000050-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFEFLNKGALACVAGINKAKIVDGSFKLCAYLAWPKSALKVNSAAPSNATTTEKDRNHTEKVVVDKNFPHKFRKGDKSKLTSRTKEAIMKTNSSSKLPNDNDTKLTSQGAAEVPETSKSSEGKRKVGKNKHASVFQKPWKKARNNRNVDESQLTYRGAVLQTSKTSKGKRKASENRRVKDGLMDGKGALDQGEETAASTSAYSGYRSHAGYE >Sspon.01G0049360-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:117269448:117271248:1 gene:Sspon.01G0049360-1P transcript:Sspon.01G0049360-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEAPAVVVVRAYDDDDARDRAGVEEVERACEVGCMSGGGKMCLFTDLLGDPLCRIRHSPDSLMLVAETATGPNSTEIAGLVRGCVKTVVSGTGTTQGQQAKDDDPIYTKVGYILGLRVSPSHRRKGVGKKLVDRMEEWFRQRGAEYSYMATEQDNEPSVRLFTGRCGYAKFRTPSVLVHPVFRHALKPSRRAAIVRLEPREAELLYRWHFANVEFFPADIDAVLSNDLSLGTFLALPSGSSSRTGPGWEGVEAFLAAPPPSWAVLSVWNCMDAFRLEVRGAPRLMRAAAGATRLVDRAAPWLGIPSIPNLFAPFGLYFLYGLGGAGPDGPRLARALCRKAHNMARDGGCGVVATEVGACEPVRAGVPHWARLGAEDLWCIKRLADGYSTGPLGDWTKAPARHSIFIDPREF >Sspon.04G0009300-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:27677474:27681437:-1 gene:Sspon.04G0009300-2D transcript:Sspon.04G0009300-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:phosphate transporter 2;1 [Source:Projected from Arabidopsis thaliana (AT3G26570) TAIR;Acc:AT3G26570] MSQSSPFFSIARVHAGAGGRAAAAALLLRCPIAQLPHSIHGLRCFSLARVTPAKTLQSHLSLPRATLSSFADAEDGSSAKASDKKAGEEQIGECEMSEMAKAFLISPRMAMSISVMIAFAALTVPLAMRSLVCHGTFKMNALAYFTLLSGFYMAWNIGANDVANAMGTSVGSGALTLRQAVVTAAVLEFSGAFLMGTHVTSTMQKGILVASVFQGKDSLLFAGLISSLAAAGTWLQVASSYGWPVSTTHCIVGAMVGFGLVYGGVNAVFWSSLARVSSSWVISPLMGAAVSFLVYKCIRRVSSRVASLYKLNDTKKKIVGFQYLYKNDYIIKIAPNPGQAAAAAAPIAVFAGVTAISFAAFPLSKVFSIAILQAVSSGAIGAIIVSRVIQKQLGDLLSSEAEKIASAEKSNVQQAGLLSDIAGPTGAQLQIVYGVFGYMQVLSACFMSFAHGGNDVSNAIGPLAAALSILQGVASSAEIVIPTEVLAWGGFGIVAGLTMWGYRVIATIGKKITELTPTRGFAAEFAAASVVLFASKLGLPISATHTLVGAVMGVGFARGLNRVRAETVREIVASWLVTIPVGAVLSIFYTLILTKILAYFMNPRCECPGPHDKDMLKS >Sspon.08G0010330-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:45347737:45360758:1 gene:Sspon.08G0010330-1A transcript:Sspon.08G0010330-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGILAVLGVADVSLAKRSRIIELSRRLRHRGPDWSGLHCHQDCYLAHQRLAIIDPTSGDQPLYNEDKTVVVTVNGEIYNHEELKAKLKNHEFQTGSDCEVIAHLYEEYGEEFVDMLDGMFSFVLLDTRDKSFMAARDAIGICPLYMGWGLDGSVWFASEMKALSDDCERFITFPLDISTPAKQVDLWSKEMVQPAMVFRDDPFNPLQCSLPPGDAVIKRLMTDVPFGVLLSGGLDSSLVASVASRHLNETKVARQWGNKLHTFCIGLKGSPDLKAAKEVADFLGTVHHEFHFTVQEGIDALEEVIYHVETYDVTTIRASTPMFLMSRKIKSLGVKMVISGEGSDEIFGGYLYFHKAPNKKEFHEETCRKIKALHLYDCLRANKSTSAWGVEARVPFLDKSFINVAMDIDPEWKMINRDLGRIEKWVIRNAFDDEERPYLPKHILYRQKEQFSDGVGYSWIDGLKDHANQHVTDSMMMNANFVYPENTPTTKEGYYYRMIFEKFFPKPAARSTVPGGPSVACSTAKAVEWDASWSKNLDPSGRAALGVHDAAYEDTPGEAPASADPVSDKGLHPASLIAVIPEEGQGIG >Sspon.04G0031850-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:18377845:18379976:-1 gene:Sspon.04G0031850-1P transcript:Sspon.04G0031850-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASPPPSPPHAKRPKMSSSSDPEADAEPTSASAAVEGADPAQRRPRYKRRKVAILLGYCGAGYQGMQKNPGARTIEGDLEEALYQAGAVPEADRAAPRRYDWARAARTDKGVSAAAQVVSGRFYVDPPGFIDRLNAQLAPQIRAYGYVRVTNSFNAKKFCDRRRYLYLLPVFALDPSAHPDREAVMASMGSGSELSKCLECSERGRKVPGVMGREGKLPSPGQNGVDAPGEGSVASHDESGTLGDAKCDHTSSDGGNPCAHGEVGSNSKEKLDLPVSGDGTIVANAEMGSNGGGDVVPSDFRSDLNTSSPDADIYIGNEEKKLEPSATEQKAQGMDSEKSNGEEKPPSKSAFSYTDEVKERFNRILKYYVGTHNFHNFTTRTKAEDPAAKRFIISFGADRVVSLDGIDFVRCEVVGQSFMLHQIRKMIGLAVAVMRNCAPESIYDVAFRKDVNLNVPTAPEVGLYLDECMFTSYNKKWKDSHEAVSMELYHEEAEEFKVKYIFTHIAAMEHKEGAVALWLHSLNHRNYPDFHYMETAEPKVGAEVESIDAGAEAKVGAEVENIEEAQMPSGNLSE >Sspon.03G0041060-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3C:35998770:35999258:-1 gene:Sspon.03G0041060-1C transcript:Sspon.03G0041060-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding QVATHQRLQRWQLRQRRAPACSTRVWLAWRRTRWPRARACGGGNCNGDDMLVQVHSQLQEAVGLEVALHGVLGHRLGPERCRWAPETSPPLLLGSGRLGLDTGVVHPVRLSLSAKSAVIQLYFSFTTNQRTVLSATINQRNEQTGGRPRERQRQRQPPGQAG >Sspon.04G0005280-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:33941857:33943914:-1 gene:Sspon.04G0005280-2C transcript:Sspon.04G0005280-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPLQKLLEAAAATTSTPLVAAHLHANLLRSGLLHSSHHLTAHVLAWYPPGLARDLFDEIPSPTPRLANALLRAHIRAQQWRAAILLGPRLRVRPDSFTFSLLLRACTALPSLTHGRAVHTVAVRSCTASEDAFVATAIVQMYARCGDMVGAINAYGVLEKPDIVLRTSVVTGYEQNGMAEEALEFFARNVVGQGVMLTPVTLVSVMSAAAQLGHVRKGQACHAYVVRNSLGYDLALVNAVLGFYVKIGDFQASMRLFEGMTDRDVITWSCMIKGYVQHGDAHEGLRMYREMVKARVQPNSVTLVSVLQACALVVDAEEGKRVHRVAVSIGCELEVGVATALVDMYMKCSCYEEAMCLFHRMPKKDVVAWAAVIGGLTQNELPGESIHVFKCMLLDDHVPDAITMVKVLAACSEFGGTRLAICLHGYLVRNGFNNNAFVAAALLDLYSKCGDLDSAVRVFEGTTEKDVVVWGSMIAGYGAHGLGQEAVALYQRMIASSIQPNSVTFVSVLSACSHSGLVQEGIQIFDSMTQVFGVVPNAEHQSAMVDLLGRAGELQEAIRFIHDMDGRAVAHTWCALLAACREHNNIKMSKVAAKSLLKLDPDHVGYYNLLTNIYAFDEKWESVKDTRDTARGRDLRKVPGYSSVEVGNLVHTFIAGERTHQDWDNICTLLCDLSRKLRGEDCSFQ >Sspon.05G0023600-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:7893453:7894007:-1 gene:Sspon.05G0023600-1B transcript:Sspon.05G0023600-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEWRCRRHPPLPGGGVCPHCLRDRLLRLCPDCACPRPCACSPSCASSPSSSSSGASALGRVHSLIEREHRVARSRSVAAHGAVGVGVDQRRPKSGVWGWVSFRKPPPPPAEAVAAGCRDVEQEYDDAVALARSRSVSTTAAPAPEAKGAPNKAARWGKLIPGKIKALRGRKPRAAGDWRDSAR >Sspon.05G0033210-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:27434862:27439890:1 gene:Sspon.05G0033210-1C transcript:Sspon.05G0033210-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKGMPKKPKGDRIAAPALHPYQMVRLRECMRNKARLRELGLPCDEYDRVLTEGVAGHSDKNRSEDVHSNKYQSGDSESEYDPLQDDNGEGDLIDDDNAKCSKQKTRKQTNNQTTSIAPGGFKFQSRKRVFADQTSTRDTRSKKNSVQQDASLPPSDICVPPLSRANESHTGELVGNLDDHTQAAIEGHHMTSRADAIDQPNQHSRMDNRDGFAQHDDNTLVADIADGITQHGDHNQNTNEGDGGEERRHRGHNMGHGLQRLNRARRGKLQVVITEGNIRPVVPLVAAKFASECNIIVRNHVPILPHWKLYKKEPTSSSKEKDPKENDPKQKEPASAYVDLFLGKLKAKFDINIEDKDNDEELNEFDLFKELHYSRKKKCYTPIVQEAITRMENKLSTTTEGAAQVVANVLAENTKKNQFLKNVGFQNVRPRSSEESTETELEAEKRANAELRTQVADLSNKVQESEQARIMDREEMKRSQLVG >Sspon.02G0054320-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2D:68812108:68814016:1 gene:Sspon.02G0054320-2D transcript:Sspon.02G0054320-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGAATGVSSSSDALLLLALAVSLLLTAAVWARRGQGHGNGAPSPPSRPLLGHLHLLGKQLHRSLAALAAAHDAADGRLAPLLSLRLGARRALLVPSPRTRSASPRTTPRSASPRTTPRWRAGRGCWPATGSGTGTRCWCGRPTATTGARSAGSSPSRSSRRPAWLRAPPTAALVASLSLLHGGAAVTLRPRLFELVLGVMLCALTGERAHGGDVRWFQEIVEETFAVSGAPSVGDFFPALRWVDRLRGVDAALVRLQARRDAFVGGLVQEQRRRRDAGNGRDTAEKKSIIDELLSLQEADPEYYTDTIIKGLVLMLLTAGTDTSALTTEWAMALLLTHPEAMRKVRAEIESNVGTARLVEESDITNLPYLQCVVKETLRLRPVGPVIPAHEAMEDCTIGGFDVRHGTMILVNAWAIHRDPKLWDAPEEFRPERFLDAGMVTAVTTPMLPFGLGRRRCPGEGLALRLISLTLAVLVQCFEWDVGEGGVIDMTEGVGLTMPMAVPLAAVCRPREFVKSMLST >Sspon.04G0021670-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:840437:845339:1 gene:Sspon.04G0021670-1B transcript:Sspon.04G0021670-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLPFLLGFLIGALALAALEAAALLLLLRRLRRRQAAPENAPPAADELPGERPFPYEKQGSLWILEPEKMPKVNERLSVGGPKETKDKKNIVEVFPAKKMAKIKGHSLCLSGPDGSQATIELLNCTVLAVSASSMPSRKWAKRYPIKLESKDNEIYNGSKVCYLYTDTSWEKESWCKSLRIAATADKEKLNWHVKLSEKFLNYISSLNSEYPCFLKPPMLSGEDHEVMDRASKTDGSSKVRLFLKKLAKKASTKAPLEGKTSSGSSVQGEKKILDKLRSYQGAPFIEALIGPQEDKLGSSSSQDTVKATAPPAALSQTGQLSTSLEVNADDRVADEGTLCWNLLSSRLFFDAKMSDEINKAIKARIQDSVKYEDPSYVGEITLTNFSLGELPPYVHAMRVLPLDLNELWAFEVDFEYSSGILLHIETRLEVQEPELQKDIMKSNFGADANGEVDSDLLESIEQYGNQFKGSHKSASSTGESDEADASSESKSTGWTSAYISRWKTILHSIADHVSQVPLSLAIRISSVRGILRIHMKPPPSDQIWYGFTSMPDLEWDLESSVGDRKITNSHIAALIGNRFKASLRDSLVLPNCESISMPWMLAEKDDWVPRKDAPFIWLNHEPTEMRSQATVTPPAHPEEGGANDDASSKRPMTSLPNSSGGSEESLRAVASIDEAKQEPMAEASLHSQSSSAPVSESVHSDGNEELRKPLLIAEKLQEDAPESRVMSPMSTSLRAVIPAGEQPQVSASPIGEDAKRKGGRRARMMDFGKRMGDKLEEKRRTIEEKGRHIVEKMRENARTNSMERTSS >Sspon.04G0007290-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:20811181:20814076:-1 gene:Sspon.04G0007290-1A transcript:Sspon.04G0007290-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVRLAFLVFFLLAAAEFAAATRSPSAFVQNAIYSNRITIFSKTYCPHSMRAKRIFRDLKEDPYVVELDTREDGRDIQSVLLDLVGRHTVPQVFVNGQHVGGADDTVNALSNGQLEKLLGKSQSQ >Sspon.02G0032800-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:6287894:6291639:-1 gene:Sspon.02G0032800-3D transcript:Sspon.02G0032800-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRRESPLMRGGGAGGPPLSRGSRIAAAVVVGVALGCLCAFLYPDGLFPRASDSAVHWPRRAELVACDTSREVGRLKSRLVLLERKNAEFKKQINELSMKLQLAGQGKNEALYKAGPFGTVKALRTNPTVIPDLSINSRLANILEQVAVKKELIVALANSNVKEMLEMWFTNIKRAGISNYLVVALDDNIENFCKSNDVPVYRRDPDDGIDNIGKTGGNHAVSGLKFRILREFLQLGYSVLLSDIDIIFFQNPFDHLYRDSDVESMSDGHNNMTAYGFNDVFDEPSMGWARYAHTMRIWVYNSGFFFIRPTIPSIELLDRVAYRLSHEPKSWDQAVFNEELFFPSHPGYEGLHASKRTMDIYLFMNSKVLFKTVRKDAHLRKLKPVIVHLNYHPDKYDRMKAVIEFYVNGKQNALERFPDGSE >Sspon.07G0006850-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:13731070:13732647:1 gene:Sspon.07G0006850-3D transcript:Sspon.07G0006850-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAETGAAALRDAASALASSMQPQVAAVFFVSAACTVALAALLAVARMRPPWWCACPVCEAYLTASWAADFDNLCDWYAHLLRTSPAQTVHVHVLRNVLTANPATVDHMLRARFDNYPKGAPFSAILADFLGRGIFNVDGDAWLFQRKLAAAELASPALRAFAVRVVASELRSRLIPLLHSASREGQEGRVLDLQDVFRRFAFDCICKISFGLDPGCLELSMPVSSFENAFDMASTLSARRATVPMHIIWKLKRLLNFGDERRLRDAVHLVDTLAAEVIRQRRKLGGAASGSDLLSRFMGSINDDKYLRDIVVSFMLAGRDTVASALTAFFLVLSDHPEVAAAIRDEATRVAGDDERLTASTFNKLKGMHYVHAALSESMRLFPPVQFDSKFAAGDDTLPDGTAVAKGTRVTYHAYAMGRMESVWGPDCGEFRPERWLQNGRFVPENPYRYPVFQAGARVCIGKELALMEMKAVIVAVVRSFDIEAIDRSSRRPKFAPGLTATFAGGLPVRVRRRARVSGHSPPI >Sspon.06G0010340-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:56731146:56764094:-1 gene:Sspon.06G0010340-1A transcript:Sspon.06G0010340-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARFFRSGNLASRVFDRQLLSPRPGAAVNTIRQFYENLVPSYTIYDIDCPDYSFRKFTDDGKYLVAFSRNHQDLIVYRPIWLTYSCNEDCDSHDLPAKSKKFDSFFKQLYSIPLASSNEYICKDFFLYMECNQFGLFATSTAQSNDSTATEGAIHGVPSIEKITFYLVRLEDGVILDEKAFCNDFINLAHSIGAYLYEDLLCIVSLRYQTVHILQIRDSGNLVEVRRIGAFCREDDELFLHSHAQRVQHLKKKFYFHFQDYVDLIIWKVSRSTDQNLAFFAVYNMETTDIVSLYENSSEELYSLFEQFYDHFHANPQDSSHGKFISSHSNDVHALDQLRTIKNKASSSSQFVRKMMASLPYTCQSQSPSPYFDLSLFRYDEKLISAIDRHRHCTEHPIKFISVRQPNVVKFKIKPGSDSGASDSRAKRISSFLFHPFFPLALSIQQTYMQPTVVGGWRLLKRLWCTMMEYYAKDSIPTMFSLMLFHALVNTIRQFYENLVPSYTIYDIDCPDYSFRKFTDDGKYLVAFSRNHQDLIVYRPIWLTYSCNEDCDSHDLPAKSKKFDSFFKQLYSIPLASSNEYICKDFFLYMECNQFGLFATSTAQSNDSTATEGAIHGVPSIEKITFYLVRLEDGVILDEKAFCNDFINLAHSIGAYLYEDLLCIVSLRYQTVHILQIRDSGNLVEVRRIGAFCREDDELFLHSHAQTGYGGSFLPGIKQRLLSYIFRKTWNEVPDQTLRVQHLKKFYFHFQDYVDLIIWKVQFLDRHHLFIKFGSVDGGVSRSTDQNLAFFAVYNMETTDIVSLYENSSEELYSLFEQFYDHFHANPQDSSHGKFISSHSNDVHALDQLRTIKNKASSSSQFVKKMMASLPYTCQSQSPSPYFDLSLFRYDEKVRRIGAFCREDDELFLHSHAQTGYGGSFLPGIKQRLLSYIFRKTWNERVQHLKKFYFHFQDYVDLIIWKVQFLDRHHLFIKFGSVDGGVSRSTDQNLAFFAVYNMETTDIVSLYENSSEELYSLFEQFYDHFHANPQDSSHGKFISSHSNDVHALDQLRTIKNKASSSSQFVKKMMASLPYTCQSQSPSPYFDLSLFRYDEKLISAIDRHRHCTEHPIKFISVRQPNVVKFKIKPGSDSGASDSRAKRISSFLFHPFFPLALSIQQTYMQPTVVNIHFRR >Sspon.02G0052880-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:97837038:97838604:-1 gene:Sspon.02G0052880-1C transcript:Sspon.02G0052880-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPTPAGILTMVRTLGLALIFAATTGSAADVGSVVTDAFFDGIKQSQNQNGGCEGQDLYTRSAFLNAANQYSDFAHGGSEDDGRREIAAFFAHVAHETSSMCYTNEAGGESLSQDYYNRDPIYVQWPCAPGHRYYGLRARLAADLVEPQLQRRGEQNRLRQAGEPVDTVAQDPLVSFRGWGSWHPLTCN >Sspon.03G0031100-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:21401842:21408968:-1 gene:Sspon.03G0031100-3D transcript:Sspon.03G0031100-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARNAVDKATSIDAQLRLLAPQKLSDDDKLVEYDALLLDRFLDILQDLHGEDIRETVQECYELAAEYENKLDPKMLDEIGNVLTSLDPGDSIVITKSFSHMLILANLAEEVQIAYRRRIKLKKGDFVDENSATTESDIEETLKRLMHQLKKSPLEVFDALKNQTVDLVLTAHPTQSVRRSLLQKHGRIRNCLTQLYAKDITPDEKQELDEALQREIQAAFRTDEIRRAPPTPQDEMRAGMSYFHETIWKGVPKFLRRVDTALKNIGINERLPYNAPIIQFSSWMGGDRDGNPRVTPEVTRDVCLLARMMAANLYNAQIEDLMFELSMWRCSEELRVKVDELHRSSKKDTTKHYIEFWKQVPPSEPYRVILSDVRDKLYNTRERARHLLASGFSEIPEEATFTDVEQMEAFLTSYGKCLRLDYPLLDIRQESDRHTDVMDAITEYLGIGSYRKWTEEKRQEWLLSELNGKRPLFGPDLPKSDEIADVLDTFHVLAELPSDSFGAYVISMATAPSDVLAVELLQRECHVKKPLRVVPLFEKLADLEAAPAALARLFSVEWYRNRINGKQEVMIGYSDSGKDAGRFSAAWQLYKAQEELINVAKLYGVKWNCGKRWGPTHLAILSQPPETIHGSLRVTVQGEVIEQSFGEEHLCFRTLQRFTAATLEHGMHPPISPKPEWRALMDEMAIVATKEYRSIVFEEPRFVEYFRLATPEMEYGRMNIGSRPSKRKPSAGIESLRAIPWIFAWTQTRFHLPVWLGFGAAFKHVLDKDIRNLQTLQEMYNQWPFFRVTIDLVEMVFAKGDPGIAALYDKLLVSEDLWSFGKRLRANYEETKQLLLQVAGHKDLLEGDPYLKQRLRIRDSYITALNVCQAYMLKRIRDPGFQVNPGPHLSKDIMDMGKPASELVKLNTTSEYAPGLEDTLILTMKGIAAGMQNTG >Sspon.04G0021540-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:501437:506510:1 gene:Sspon.04G0021540-1B transcript:Sspon.04G0021540-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Delta(24)-sterol reductase [Source:Projected from Arabidopsis thaliana (AT3G19820) UniProtKB/Swiss-Prot;Acc:Q39085] MADLQTPLVQPKRKKVLVDYLVRLRWIPALFIALPISALIYFSVFVGNTWSAMKSEKCRQKEHEENIKRVVRRLKERNPKRDGLVCTARKPWVVVGMRNVDYKRARHFQVDLSAFRNILEIDKERMVAKVEPLVSMGQITKATCPMNLSLAVAPEFDDLTVGGLINSYGISGGSHIYGLFSDTVVSVEVVLADGQVVRATKDNEHSDLFYGMPWSQGTIGLLVSAEIKLIPVKEYMRLTYTPVRGTLKEIAEAYADSFVPRDGDPAKVPDFVEGMVYSSSEGVMMTGVYASEEEAKKKGNRINRVGWWFKPWFYQYAETALKKGEFVEYIPTREYYHRHTRSLYWEGKLIIPFGDQFWFRFLLGWLMPPKISLLKITQGEAIRNYYHDNHVIQDVLVPLHKVSDALEFAHRELEVYPVWLCPHRLYKLPVKTMVHPEPGFEQHRRKGDTSYAQMFTDVGFYYAPASVLRGEEFNGAEAVHRLEQWLIRNHGYQAQYAVSELSEKDFWRMFDPSHYEHCRRKYGAVGTFMSAHYKSKKGKKSEEEMRGASSTMPLTVKQVVDAQQSGTGEKGAPFVVDGVEMANPRFPFQIRLVGMVNGKVERTTDVTFTLDDGTGRLDFIRWVNDASDSSETAAIQNGMYVAVIGSLKGLQERKRATAFSIRPITDFNEVTLHFIQCVRMHIENTKLKAGSPARTSSSMGVSVSNGFSESSTPTSLKSNPAPVTSGASGSDADLNTQVLNIFKEPANLESEHGVHVDEVFKRFKLPKEKI >Sspon.08G0014150-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:56019862:56027306:-1 gene:Sspon.08G0014150-3D transcript:Sspon.08G0014150-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding METAAPARVQALAETGVSRLPAQYIQPPENRPTPLPYPVAAALSVPVVDLSSSTAADAVCAACTNWGAFHVVGHGVPGELLDAVREAGLAFFRAPTGDKLRFACDPARGAAAEGYGSRMLANDDSVLDWRDYFDHHTLPESRRDPAHWPDFVPGYRDTIAKYSNSMKDLAQKLLCIISENLNLPPSYIQEAVGEVYQNITISYYSPCPQPDLALGLQSHSDMGAITLLIQDDVGGLEVLKDGMWIPVPALRDGILVILADQTEIITNGRYKSSVHRAVVNAERARLSVATFYDPSKSRKIRTAPQLVSKDEPQKYRDFSVIAKARPACSNMLGTHSSGNK >Sspon.03G0017720-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:76350705:76350971:-1 gene:Sspon.03G0017720-2C transcript:Sspon.03G0017720-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIVLELKKARLAAAIVSVEDDKPKEAEPPKEDEDPCHCREACVQACVEACEKGCVPGCYCSPCVLPNCCYYTAFRPAPYGYGWYYWW >Sspon.01G0057120-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:97501425:97502529:-1 gene:Sspon.01G0057120-1C transcript:Sspon.01G0057120-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPEYAMDGVFSMKSDVFSFGVLVLEIVSGKKNRGFYHTELDLNLLRYAWRLWKDGEILEFMDQSIADTSNAAEVLKCIQIGLLCVQEQPKRRPTMSAVTAMLTCENPMLPEPCEPAFSTGRNRGDDDEDPEVKACRSDSASSWTHPDSQTEGASGRQCLQTTHATPNVTNIEKGRGRRMPNRRQEEEQQKARREM >Sspon.01G0007340-3D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:14629237:14629867:-1 gene:Sspon.01G0007340-3D transcript:Sspon.01G0007340-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SWPGLPRGVEFNPSDSDILWHLAAEVGNGLIERHPFIHEFIKFVDGDGGFYCRHPQDIPGVSQDGRASYFFHRSFEPYNNENDANNCWKKIGSPRSIILDGTLQGCKEVFALYADMPSDKRSQETNWRLHRYHLQNTVKAESEIVVSKIFLASRSNLCELAEEARIESERVLRSYQCPFV >Sspon.06G0021820-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6C:23821141:23824756:1 gene:Sspon.06G0021820-2C transcript:Sspon.06G0021820-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MWIVPVTSCCGSYDAQKKFLLKDKTDKINIKEFSASQSADGEKNQNIWIKLNIDQTGFYRVKYDDELAAGLVNAIKAKKLSLMDKIGIVEDSYALSVACKQTLTSLLRLLNAYNDESDYTVLSHVTSVCLNISKITVDATPDLNKDIKQLLINLLLPAAIKLGWDPKDGESHLDVMLRSLLLTALVRLGHNETVNEGVRRFHIFFEDRKTSLLPPDTRKAAYLAVMRTVSTSSRSGYDALLKIYREASEPQEKSRVLGSLSSSPDKDIVLEALNFMFTDEVRNQDSFYILGGISLEGREVAWTWLKENWDYVLKTWKSSSLISDFIESIVPPFTSEEKAAEVTEFFAGRIKPSFERALKQSLERVRISARWIESIRSEPKLGQTVQELLQADA >Sspon.07G0037630-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:58904612:58919389:1 gene:Sspon.07G0037630-1D transcript:Sspon.07G0037630-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SUFE3 [Source:Projected from Arabidopsis thaliana (AT5G50210) UniProtKB/TrEMBL;Acc:A0A178UIT6] MDTAAASLFPPAAAKPRGVLSPLHVSRSGLVPRQRSLLAVRCAHFRAPPPPPPLPRADSDDAAAKAHPRHRLRLLAEEFRALPSDADRARRLLSLAAALPRLPEPDRAQGNRVMGCVARVWLVARCNAATGWRMRFAADSDSDLSRGYCACLVAALDGAKPEDVLAVDPADPDLAPLGAGITAARSRASTWHNVLVGMQKRARTAIAAREGRHPGKPFPSLVIARDGAVRAQGSYAEAQAMFLSPDESKISGLVNTLKEKKIGVVAHFYMDPEVQGILTAAKKQWPHIQISDSLIMADSAVKMAEAGCDYITVLGVDFMSENVRAILDQEGFNKVVVYRMSSEPIGCSLADAASSCEYTHFLREASRCYPSLHVIYINTSLETKAHAHELVPTITCTSSNVVPTILQAFAQIPDLNVWYGPDSYMGANIADLFQRMAMMSDEEIAKIHPDHNRKSISSLLPRLHYYQGGNCMVHDMFGHEVVGKIKEQYCDAFLTAHFEVPGEMFSLAMEAKRRAMGVVGSTQNILDFIKDRLMGALDRNVDEHLQFVLGTESGMITSIVAAVQELFDLYSSQERANIEVEIVFPVSSDAISKTSNGSHHVGSSMATDLDNLTIVPGVSSGEGCSIHGGCASCPYMKMNTLGSLLKICHQLPDKNNKLSVYQANRFNVKTPLGKSVAEVGCEPILHMRHFQVSR >Sspon.04G0011810-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:34148901:34155941:1 gene:Sspon.04G0011810-1P transcript:Sspon.04G0011810-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GYNSLKDYFPAMEMRPNPQCSNPACVQRQKEYMESKPARDAAAKAKMEAEASAKNECPVHVDNEWNISVVDDEETRTSSIRNTPDILPEGLVRELPDADSYAEPAAPVSSSAIDDDLEELQRQLDALNTS >Sspon.06G0021850-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6C:23679712:23682979:-1 gene:Sspon.06G0021850-2C transcript:Sspon.06G0021850-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDAPRPPNRARAQRGDRRVFPPRPPPPLRRPPHGAADNGRRGEGGGSGGRGNAGEEAGGRYTRRGGGVADREVAGGASADPAGRNAATVAAGGEAPAPPSREESGGGGRGGGGVGSKRPSAPPAQHPPPKRRAVSETRHFPPASRRTAAAPAPLAGADADAGSRTRFEGERENRGSDALVKPAVARPLAVRKDGVGVLPRAVPPNVAGSRVLKKPYAKDGAAPMANTGPYGPKAGMVKSSAAQDRGRGPGNEELWGKKVLVTATRLPPKPEIISSTRTSQPSRGKDGGFSSKAHRPLESGRAHGGSVVPKELLTIDDHHSSLADGLAVESAGEVQDDKLEKGEVPSKQSRGYQVSSNAALLDSAAYRDVSARDSPGVSGNFGRKTWALAKDVKVMNKYGGSSSGYNTVAASLAKDSFRKNLMHKIVFESGRMNRRASSDVAGVSGEGNVLRSKDMFTNQNTAKPAKVVQKSDTQHIPFSRDKKETVRGRQVTTNGIQDSVELTKDRVMQAPMSPDMCPMTQKKKAATNRDFFGHMKMGKPKAVDHQQRKIASTSVSGSRGKLDDEVASNLTFHDIFRDIKFHERKLELYLNSSSGLPSVRFQRQYRYRSADARSRFKKLCRMFEFVCRTLVQIMEQRSLKMRIDFLAAEVMKKKLPGFTKHGPIVGQVPGVEVGDEFLYRSQLAIAGLHHHYRKGIDTTTYRNGMLIAISIIASGGYPDELGCSGELIYTGSGGKPAGKKKDEDQKLTCGNLALKNCIKTKTPVRVIHGFKCRNTERGSHLGAKLVSRYTYDGLYLVVDFWMDGQPGSRVFKYKLKKIPGQPELPMHIAKRLKSYKSRPGLCMNDISQGKEATPICVINTVNDVRPTPFRYTTRIRYPFRLTEKHQGCDCTNGCSDSVSCACAVKNGGEIPFDLNGTILNEKSVIFECGPSCKCPPSCHNRVSQHDMKIPLEVFRTTKTGWGVRSLRSIPSGSFICEYIGELLHQKEAGKRRNNSYLFDVGLNDDDENASSGLLSNVSGLNSSSSCSQTMEDVHFTIDASEYGNIGRFINHSCSPNLQAQNRRFHHYWS >Sspon.06G0032130-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6C:79229183:79229432:-1 gene:Sspon.06G0032130-1C transcript:Sspon.06G0032130-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding EPGGSTMISPLETPITSLYVQEEDGLDARIADYFDVIAGTSTGTLIATMLTTPDATTRRPLFAAKDITEFYLKKGSNIFVPPK >Sspon.02G0016670-1P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2B:45331812:45333415:-1 gene:Sspon.02G0016670-1P transcript:Sspon.02G0016670-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPRPPSHFSHCKSYPNEPPGMLNPMNFHHQQFPQHLSFSHPSYAMNFPHQQFPQPHLYPQNVHYVVVQPQYAPFSLPQPPHPPVGIMPTRPPPPAGVMPSPAVALPRPPPAGAMPFMTVPDSGTPHSVTGPDEQDTGSGDNDENDPDKAAKRLMWMEEEDLRLISAWLNCPKLKNLKRKEVCWGYVTQAYNKSTPSGRRREANHLKSHWSKTNKKIAQFYNCWCRVKEKYAMVQSDCMQLMDQTWAMYYEEAREMYLEEAKHHFAFSHFWKAVWDQPKWLRYISKRTKLSESGDCTSSSEDDEDAAEKEASQQGCMTAKKQDGQGKTSSHSLVLQEATQCAVDPQDLLKDNQKEMTELQLQHESAVLVDMPENKIHPQGCNIVEHARTVNGDVPGQETTQRGCMVAMGKRKRKGNLSSSPMEVQEDIKRAMDLQTMLQKDREKMSEVQLRLSKEKLELARLKQLEAKDKKETMLYEKYTELLTADTQGFNEFQKEEYEKAVKRMGEMLF >Sspon.05G0036680-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:76498637:76499152:-1 gene:Sspon.05G0036680-2D transcript:Sspon.05G0036680-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTAVVESPLRQRQRQRLRSPLAGDGSTAAGGGGDLEVLLRRPAKRASGSGGGMRGRWAPPEIEIPTNGLAAAAGGGRGYTSLRDLMSSPAAKANSPDEPPCGVGGGAVVAGDVHMIRHPLVKHAAYAYLQLTPSAREEARRRMLQRRRGGSLCRLFLGCLGFLGALFGRQ >Sspon.05G0016250-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:61043736:61046690:-1 gene:Sspon.05G0016250-4D transcript:Sspon.05G0016250-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHGSLTDSTSSTFSIMEEDHTLANSTRFVLNQDPRVAFCGYSIPHPAEKKVNIRVQTTGDAAKDVLKDALQNLMIMCQHVRGTLDNAVANHRAKNTTAEEMDVDRK >Sspon.04G0029420-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4B:72120074:72120609:1 gene:Sspon.04G0029420-1B transcript:Sspon.04G0029420-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHRACLLVLLLLRAFGHSCDSTWPCYRLHRSLLLPPCTRNPELTAADPQAHVLPRSSEEASHEVPSQQIR >Sspon.06G0019910-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:5650191:5652125:1 gene:Sspon.06G0019910-1B transcript:Sspon.06G0019910-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MILRSALRAGRCLRRVPLVQLIVENGEPYRAPHRCATDTRDVEESSAVLNWWGVTPVGHGYQLRAYSAAPAARVRGEKPLDRADAVVMADEEVKRMKKMRVFWTAQRTFMEYLHVTRGLSFLDAEHISKHSPAFVSKLLNQVKDAIKDPVEGDEAVFRSKVKTREMRDERATTALQRLFRYYPINEFEPFFESMGFKPSEYESFLPQDLMFLSDDETLLENYHVLCNYGVMRTKIGRIYRDAGEVFSFGDGVLASKLRAIEDLGFSKTTVIKLVTCCPAVLTRGPHAELKILKWLDDIGIQRDWIGQFLSVKKSYSYNWRKMVEVPQFFTELGFDKEGIGKLIRQHPDFLLDGSGKVLFRAVAIMLKAGSGKEDLFNLFMDFPDVQARSFARNIQSVILFLTGIDVSEEDIKKFVVANASMLGSARVKKANSILTYLSVGKKRLWKIIMEEPRELMKYALGLKVNRLPPCDRTEKSLKEKVKFLKNIGFEEGSDGMNKAVKAFRGKGDELQDRFDFLVKTGFEPKDVTNMIKVAPQVLNQKIHVLESKISFLLNETSYPLSSLVGYPAFLSFTIERTKARFLMYNWLQERGLVPPNFALSTLLACSEKRFLNYLVLKHHEGPEVWEKLKKEVAADKNVHCTSDD >Sspon.07G0025110-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7B:37760417:37761137:-1 gene:Sspon.07G0025110-1B transcript:Sspon.07G0025110-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding PGGDSPQLEDEDPAVVSCELQTPAPHPIQWVGDSPKTHTEHSYPPGFGPVEPPGGSRQLVAQSLSRVLASKRGEVLIVQCMCYIKSLSAPSTSELETFENYGNLTASNAEALDALFPTVVRPPPMSYRCVGISCFLLCNIEVCC >Sspon.03G0011110-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3B:37361761:37362228:1 gene:Sspon.03G0011110-2B transcript:Sspon.03G0011110-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAALDAEAGATNGNGAKPGLTAVADSADAGAAFVLESKGTHACSPTSRELVAGRRTDRRIIRGSLTGSSPPALICRDVVARGVPPDDGDRGADGADAPLRAARDGVGARPHAALRHGRRHLVRVLPHVARPRPLRGARAAPHPLPRARRRRPR >Sspon.05G0010480-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:29787779:29793288:-1 gene:Sspon.05G0010480-1A transcript:Sspon.05G0010480-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSIGNTAAAVPEVALRFGNARPIPAVGMGTAVSAPMNAVLAAIEVGFRHLDTAAMYGSERSVGEAVAEAVRRGLLESREEVFVTSKLWCTQCHPDLVVLSLRETLKRDLWPSCVDRASRNLQMEYLDLYLIHWPVCMKPGPIAFPAKKEDAVPFDFEGVWRAMEECQRLGFAKAIGVSNFTTKHLDKILAFATIPPAVNQVELNPVWQQRKLREYCTDKGIHVVAYSPLGGQDWSRTGEGNGVLGSEVLAEIAQRRGKTIAQVSLRWIYEQGVTWIVKSFNKERLKQNLDIFDWKLTEEDRLKISQIPQKKYVTAAVLFSTEGEFTSVDLADMDIVEE >Sspon.04G0022210-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4B:4543266:4543601:-1 gene:Sspon.04G0022210-1B transcript:Sspon.04G0022210-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLPAVAVVVASSGQADRGGVAALPVQFGKRRTIEETRSRCRYWPVRSARGQRRHPFDHRTGLHGPACSIRIKRECELRQEFDGWVAASFDGRIGRSTRGSGMAWMATSLA >Sspon.01G0033040-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:111822378:111824709:-1 gene:Sspon.01G0033040-1P transcript:Sspon.01G0033040-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAVHEPEVIRDKAAMRAWSRRRRAEGKAVALVPTMGFLHEGHLSLISAAVAASTGPVAVVVSIYVNPSQFAPTEDLDTYPSDFAGDLRKLGATGVVAAVFCPPDLYVRGSADRPSAAGASGDAVSCLEDDGGHAHETWIRVERLEKGLCGSSRSVFFRGVATVVAKLFNIVEPDVAVFGKKDYQQWRVICRMVRDLDFAIQIVGSEIVREADGLAMSSRNVNLSHKDREKALSISRSLVDARTAALNGSNHSQQIKDQIVQTLTEAGGQVDYVEIVEQESLVPVERMDRPCVICVAAWFGKVRLIDNIEIQSTSSDR >Sspon.05G0022380-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:7147734:7151986:1 gene:Sspon.05G0022380-1P transcript:Sspon.05G0022380-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPLQATASSSPTVASPLPLAAGSPPCLPSNPLPPQPWPRAPATSSILYIRESLLSGERTAADIPSESLSRLRRTEPSLRSFIHVADAAAEREAEELDRRIASGEKDAVGPLAGVLVGVKDNLCTANMPSTGGSRILDGYRPAYDATAVRRLREAGAITNLDEFGMGSTTEGSAFQVTTNPWDDSRVPGGSSGGSASAVSARQCVVSLGSDTGGSVRQPASFCGVVGLKPTYGRVSRFGLMAYASSLDVVGCFGSSVFDTATILSVVAGHDKMDSTSSSQVVPDYASELVSPDLLESKPLAGVRIGIIQETFGEGVANGVISSIKGAASHLEQLGSVVEEVSLPSFSLGLPAYYILASSEASSNLSRYDGIRYGRQVSADDLNELYGESRANGLGHEVKMRILMGTYALSAGYYDAYYKRAQQVRTLVKESFKDALERYDILISPAAPSAAYKIGEKINDPLAMYAGDIMTVNVNLAGLPALVVPCGFVEGGPAGLPVGLQMIGSPFCEGNLLRVGHIFEQTLQNLSFVPPLLAES >Sspon.04G0007840-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:22082626:22084887:1 gene:Sspon.04G0007840-2C transcript:Sspon.04G0007840-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSSSRVMVCAMAFALACLVAMPAASAVSGDDGGILHIPSAASLAHCPSSCGDVNISYPFGIGAGCFRQGFQFELTCNHTTQPPKLFLGNSTIQITDMYGSYVYIPAMFFNSSLEESGSNTYNISWDALTKGITIPSGTIFLFLGCDFDVGLFDYLRNPLGSCMSRCHGKELPNQGSCYGFGCCSIGLQNDISGFHITIDRGDNMSVQSDPLHPGIMAFMSEEEYYTENATDLFSSWTNASKIYGAALGVAIMDQPSCKIAQMNNASYACATGSYCQNESYGGYRCHCYNNNYYYYTANAYLSEGCIKQDYKPKPKEHCRRSCGNMPIPFPFGLEEDCFGNERFRLNCTAANETLFSTAYTQYHVTDLSVEDGTLTVSNKLNNASSGKEVIIAQATESGQVNMDGPVEDEFDFSMEYDIVIRWAVTNSSCEQAMYGNRNIDECLMPNYCNGTCQNNPGSYRCTLCSHTQEFDFIKRRCVTSAKQRNLLLGIAIGTSCGLGSIFIAL >Sspon.05G0013680-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:48072423:48078454:-1 gene:Sspon.05G0013680-4D transcript:Sspon.05G0013680-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSCTRCPAAAGAASARRAAAQPLATAAAVSFARCGGLGRSAAAAAACWRIHAVAPQGAKAPMDADVKHVVIPPTSPKVENGSPSEITLDEFEDLSALCKNDDSTVSITHFTIFGYARSKMTDAELRNMVSKTLTCRIDKRENCSEKMEEFLKRCFYHSGQYDSEEHFLDLDKKLKQHEGSRVSNRLFYLSIPPNIFLDVVKCASKSASSVNGWTRVIVEKPFGRDSESSAALTRGLKQYLVEDQIFRIDHYLGKELVENLSVLRFSNLVFEPLWSRQYIRNVQLIFSEDFGTEGRGGYFDGYGIIRDIMQNHLLQILALFAMETPISLEAEDIRNEKVKVLRSMKPLQLEDVVIGQYKSHTKGGTTYPGYTDDKTVPKDSVTPTFAAAALFINNARWDGVPFLMKAGKALHTKRAEIRVQFRHVPGNLYKGSFGTDLDRATNELVIRVQPDEAIYLKINNKIPGLGMRLDRSNLNLHYAARYSKEIPDAYERLLLDAIEGERRLFIRSDELDAAWSLFTPLLKELEEKRIAPELYPYGSRGPVGAHYLAAKYNVRWGDLSAEHYKA >Sspon.06G0020840-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6B:11258146:11258418:-1 gene:Sspon.06G0020840-1B transcript:Sspon.06G0020840-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELPAAGWPPSLEIGLGLGQWGVAVAREPRTVSHDPTSFYCTVRRGPPTANGFSAPDQDASQGPSARWAILVGDQSNILPLDLTLYFKL >Sspon.03G0016490-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:76127444:76128999:-1 gene:Sspon.03G0016490-2B transcript:Sspon.03G0016490-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLLSPTVSFLVSPSAPRSRALYAAANVSYPASRLQCKNLSSPQSPLNVTATCASFAEKRPVLVHATAEGSEAGAEQPEEPKPVTKIEDMPLESKQKMIMEQRARMKLAKKLRQRRKRLLRKRKLRKKGRWPPSKMKKLKNV >Sspon.02G0020660-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:67208054:67209400:-1 gene:Sspon.02G0020660-1A transcript:Sspon.02G0020660-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFFLVCMSTLLFISISSYVFQLLADRRHRLPPGPRPLPLIGNLLDVASELPHRSLARLAGRHGPLITVRLGTLLTIVASSPSTAREILQTHNGSLTGRSPPDAWLALGHAANSVFVLPPDRRWRALRRIGTEHLLSARQLDGARVRPLLRDGVLDLVRRVSELAAAAAPVEVVHAAFAALMDLQWRAMFSAGLDDAAARALHDAAREAVALSLKPNVSDFFPALAAADLQGVRRGFGRRMAILSAGRRADRAPDAAPTGSRCWRRCQLVREGPFGRDARHVGAREGRRRDDQRQQRCDENISRGF >Sspon.03G0015970-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3A:51039618:51043577:-1 gene:Sspon.03G0015970-1A transcript:Sspon.03G0015970-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEGVCRAVPRTVTRKKTPCCSASNHQEEDLIAAHSVQGSQMDEGSGGTCSSVTLRAQSNETGKTKERLICVLSEAKGKIGLTLPASPRPQPSPADGGGGARTLTVPLLCRHGLGLTSLAHPQHRQPAEPHRRLRGRRCPSSACLVKPRRAPSSLNPRSSSLSSTETDMPTSALSRTPAPAPAAPGPQRERGDPCKHRPCEHTRIRSNRLVHCENKSRRAWCSCLPPPSRSPLLPPTARAVSRGGQMLDNPCDKHICPRAFKIIETALPTACEPGSCATSCVLAELMRGVSRAQDAGSVAPGLELHRQPSPARSELKLLGGAEVRATGCRPSGTVVEVHTAGCEQSNAVVEGRATGRGSSGTAARAAGRCVWSLEREEIVEFLRHVPEVDARAWLSVVMNHLPHEDLTWVVVRLWAIWYMRRKAIREGYFQSPLSTHCFVERFIDELELIKPVTMEKQTVQRSEARLRKRGEEYPGSWLWEIRNIRLGDQVEKEAFSKVEFIP >Sspon.03G0010100-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:42014124:42015393:1 gene:Sspon.03G0010100-2C transcript:Sspon.03G0010100-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GMVLCFKLLFGPTAAIFLSAVVILSCFTNVPHLQISYTDELSRHPYVAPAPVPKCDIFRGEWVPDPDSPQYTNETCDFIQEHQNCMRYGRPDLEFLKWRWKPDACDLPRFDPHRFLQVLANKSVAFVGDSLARNHIQSLFCLLAQVEKPKDVSVTDRTDPNMILYYEGYNVTMYLFWSPFLVRSEEVADQPGVFRLYLDEPDDKWLSVASRFDYVLLSGANWFTRQSYFYERRQLVGGMYVPLNFTSSLTNRHSHRMAFRTALRALADARTRFRGKVILRTLSPMSHFEGGAYNEGGDCRRTRPYRANETAPMGGLELEFYTSQLEEFREAKGLDVVLMDPTAAMLMRPDGHPSRYGHWPNEKRAMYNDCIHWCLPGPVDAWNDMLLHMLSDSN >Sspon.01G0026140-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:10560727:10563658:1 gene:Sspon.01G0026140-2B transcript:Sspon.01G0026140-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LVHVINSGGDHPISWKLRRFFSSNEKHLPAISDPEIESAFKDLLAASWNELPDSLVAEAKKAVSKATDDNAGQEALKNVFRAAEACEEFSGTLVTLRMALDDLCGLTGENVGPLPGYIEDAVKAAYNRYMTYLESFGPEENYLRKKVETELGTKMIHLKMRCSGIGSEWGKISLIGTSGISGSYVELRA >Sspon.03G0017760-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:55186360:55190081:-1 gene:Sspon.03G0017760-1A transcript:Sspon.03G0017760-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha-expansin, Mediation of the cell extension and growth, Root system architectur [Source: Projected from Oryza sativa (Os01g0248900)] MPKHRLKSERTMETNPSTHPQASGAVTSRRSGEATQCRQTRDVDEDNRGPLGHLRQHLPCTGRFPKPATNNGTMADNHSGTKADKHSGTKAEISLAQFSGKLRGGLASFMLVAGKGKRKRETEARKYVGGVRRWCVSAGGCEFVGVGTASIRRHCARFSPARDSMGNFSLPCALWRAGTRQRLTASDRRHAGYLCRRPKFAEFPALGKGKNLPTWRQLNGHPPSPRSTAAATRGACGYGNLYNAGYGTRTTALSTALFNNGAMCGACFAIACDARRSRWCKPGARSITVTATNLCPPNWALSGDAGGWCNPPRRHFDMSQPAWESIAVYRAGIVPVNYRRVPCRRTGGVRFTVQGHSYFELVTVANVGGSGVVAQAWVKGSATDWMPMSRNWGANWQSNAYLNGQGLSFRLRSDDGRVVTAYNVAPAGWWFGATYTSNAQF >Sspon.02G0009280-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:24644662:24651456:1 gene:Sspon.02G0009280-2B transcript:Sspon.02G0009280-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lon protease homolog 2, peroxisomal [Source:Projected from Arabidopsis thaliana (AT5G47040) UniProtKB/Swiss-Prot;Acc:O64948] KQKTVGRTKVLLDTVPVYRLADIFVASFEMSFEEQLSMLDSVDLKSILVAEKITQKVEGQLSKSQKEFLLRQQMRAIKEELGDNDDDEDDVAALERKMQNAGMPANIWKHAQREMRRLRKMQPQQPGYSSSRAYLELLADLPWQKVSEERELDLRAAKESLDQDHYGLTKVKQRIIEYLAVRKLKPDARGPVLCFVGPPGVGKTSLASSIAKALNRKFIRISLGGVKDEADIRGHRRTYIGSMPGRLIDGLKRVSVSNPVMLLDEIDKTGSDVRGDPASALLEVLDPEQNKAFNDHYLNVPFDLSKVIFVATANRMQPIPPPLLDRMEVIELPGYTPEEKLKIAMKHLIPRVLEQHGLSMTNLQIPEAMVKLIIERYTREAGVRNLERNLAALARAAAVKVAEQVKTLRLGKEIQPITTTLLDSRLADGGEVEMEVIPMEHDISNTYENPSPMIVDEAMLEKVLGPPRFDDREAADRVASPGVSVGLVWTSVGGEVQFVEATAMVGKGDLHLTGQLGDVIKESAQLALTWVRARAADLNLSPTSDINLLESRDIHIHFPAGAVPKDGPSAGVTLVTALVSLFSNRKVRADTAMTGEMTLRGLVLPVGGVKDKVLAAHRCGIKRVILPERNLKDLSEVPSPILSGMEILLVKRIEEVLDHAFEGGCPLRSRSKL >Sspon.03G0019170-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:79448092:79451228:1 gene:Sspon.03G0019170-3C transcript:Sspon.03G0019170-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein-lysine N-methyltransferase At1g66680 [Source:Projected from Arabidopsis thaliana (AT1G66680) UniProtKB/TrEMBL;Acc:Q9C9M1] MAGIRWPPEDPEIFPSRMVGGGAWVPVAPPGEMVSDDDRSVAADSWSIKSDYGSTLDDDQRYADTAEVLLASSSASSRGRALRVGCRPPLLRLQDVPDSSDVEPRLLGLQNFQDAAYAEDLANFHERSHADDWFGTEIMDIRVGWTKNLCSSRDLPSCSVLDIGTGSGRLLQQLAKQGFSDLTGIDYSEGAIELARNLAIRDGFEHINFLVDDVLESKLERRFELVMDEGTLDAIGLHPDGPVKRMMYWQSVASLVSPGGILVITSCSRTKDELVQEVENFNQRKLGAMGSEGLPASEAAVFSYLDHVQSYPSVDSSCITTVAFLHK >Sspon.01G0043520-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:66110420:66114097:1 gene:Sspon.01G0043520-3D transcript:Sspon.01G0043520-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAHCLDFSGRGAGWAREDLTRRARTEARMEEGQSASYTVDDALLSSGFGRFQILILSYAGIGLIAEAMEMMLLSFVGPSVQLEWKLTSHQESMITSVVFVGMLIGAYSRGFLFTAIVTSGAGFLSAFAPNYVSLISLRFLVGIGLGGGPVLGSWFLEFVPAPTRGTWMVVFSAFWTVGTILEASLAWTVMPKFGWRWLLALSAIPSFLLLLFYAITPESPRFLCMKGRTAEAVDVLEKMARLNNVQLPSGRLVSDKNIELDEVSGSSESTTLLSGAEESDNLIEDQGSDFGGIKSVGKLLAPKLIRATLLLWMAFFGNAFAYYGIVLLTSELSNGNRICAKEDVESVNSTNASLYKNVFISSFAEIPGSFLSAMIVDRFGRKLSMASMLFTSCVFLFPLVFSRTDILTRISLFGARLCISASFTIVYIYAPEIYPTVVRTTGIGIASSVGRIGGILCPLVAVALVHSCQQTTAILLFELVIFLSGLAVSFFPFETKGCRLNDTEVDMN >Sspon.05G0014760-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:54438903:54443274:1 gene:Sspon.05G0014760-2B transcript:Sspon.05G0014760-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-directed RNA polymerase, RBP11-like protein [Source:Projected from Arabidopsis thaliana (AT3G52090) UniProtKB/TrEMBL;Acc:F4J5R0] MNAPDRYERFVVPEGTKKVSYERDTKIVNAASFTIEREDHTIGNIVRMQLHRDPNVLFAGYKLPHPLQYKIIVRIHTTSQSSPTQAYTQAINDLDKELEYLKQALEDEKNRFEMARP >Sspon.07G0018680-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:74526556:74530094:-1 gene:Sspon.07G0018680-3D transcript:Sspon.07G0018680-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPPPQANGGKVTPNLAMDAEATRMLNLTVLQRLDPAVEDILITAAHVTLYDFNIDLNQWSRKDVEGSLFVVKRNSQPRFQFIVMNRRNTDNLVEDLLSDFEYELQPPYLLYRNAAQEVNGIWFYNQHDCEAVASLFGRILNAYAKVPPKPKVPATKSEFEELEAVPTSAAIDGPLEPPLSSPALVSDAPEESLVNYFNSAASVGSVSSAQMAARAHPSTEAVTSSHVPLMVPSATPIHQIPHPFFAPPSPSSTSVAPPASSMIPTAPPLHPTSTAAQRPPYGTPLLQPFPPPSPPPSLTPAHNNGLISRDKVKDALQRLVQNDEFIDLIYRELQNAH >Sspon.01G0010100-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:30816338:30819921:1 gene:Sspon.01G0010100-3D transcript:Sspon.01G0010100-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGCFHPVLSPLVPSPPGRRVRAALRSQSHQPTAVSLRLLPCGAAAGRGTRRLAAAAAESQAVQEQPARTEESGEAGGAGAAEASSKLVLVVGGTGGVGQLVVASLLSRNIKSRLLLRDPEKASSLFGKQDESVLQVYKADTRNPNDLDPQMFEGVTHVICCTGTTAFPSKRWDGDNTPERVDWDGIRNLVSALPQTIKRLVLVSSIGVTKYNEIPWSIMNLFGVLKYKKMGEEFVRNSGIPFTIIRPGRLTDGPYTSYDLNTLLKATAGERRAVVIGKGDKLVGEVSRLVVAEACIQALDIGSTEGQIYEINSVKT >Sspon.02G0015270-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:43278056:43281576:1 gene:Sspon.02G0015270-3C transcript:Sspon.02G0015270-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DEAD-box ATP-dependent RNA helicase 22 [Source:Projected from Arabidopsis thaliana (AT1G59990) UniProtKB/Swiss-Prot;Acc:Q944S1] MALHHLRLAPLALLRAASLPPLASSRFAARRHRHVLLFAPPSWPWRLLSPAARPRALATAAEAEADDADSGSGNGFFAESTSWGSLGVSERLASALRGAGLSRPSLVQATCIPHVLTANDVIVAAETGSGKTHGYLVPLIEKLCSKSSTTEDDNCQDTTPGAHDIVLVLCPNVMLCEQVVRMANSLLDESGEPLKSAAAVCGPKGWPAVHPDILVATPAALLNYLFDYDPEKRRRERFLRNVFDEADMLLCGSFENQVIRLIHMLRFDEKLLSRAQDSGKEVSLGSDNEYHEDSRFETAEFSGSDEEIEDNIAQDRPVKVENSHVGAHKDWRRVRKIYRRSKQYVFVAATLPQSGKKTAGGVLKRMFPDAVWVSGTYLHRHNPRLERRWMEVTADTQVDALLNAVKYGLMSEVHDAKDVPRRTMVFTNTVDAANSVSDILRRAGIPCILYHRENSLEERANNLQSFRENGGVLVCTDAAARGLDVPNVSHVIQAEFAACAVDFLHRVGRTARAGQSGIVTSLYTEANRDLVRAVRQAEELAQPV >Sspon.05G0029340-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:73194036:73200049:1 gene:Sspon.05G0029340-3D transcript:Sspon.05G0029340-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cleavage stimulating factor 64 [Source:Projected from Arabidopsis thaliana (AT1G71800) UniProtKB/Swiss-Prot;Acc:Q9M9G6] MAAAPAGAQNRCVFGTTPLRHPRLPSPDRRDPDAPRLWLVLRGSRLAWPLESPRAAPFQLVGNIPYDATEEQLVQICEEVGPVVSFRLVIDKETGKPKGYGFCEYKDEETALSARRNLQGYEINGRQLRVDFAENGRNTDRNREKGRGGPGMASNVDSQKQLAGTSVVGETNLHQPVGLPPAIHAASVMAGVLGGAQTANVQNGLPVQYGLGNDPLTHYLARMSRHQLHEIMAELKFLTTQNKEHSKTLLQGIPQLPKALFQAQIMLGMVTPQMMQMAKSQRPLGSLAQSSSHLNEPYPQPDPMIPAVSRPSSLPTNIPPNPTILPEQTAALHSFPQHQHASQPQVKMFPHGQQSGIATQSPMLHQPLGGSSSVPTQSLVASVGLISQVQPPFVPQHPGPPVMPTSVQQLPLTHPHLAQVAAATETLPNEISVADQASHLTEFTHPSKLRRLEDGTSVPGIVNSSHAVYTAPLQAVGPSGPSGGYGAGAVSLQQPGNEGQLTPDIESALLQQVLQLTPEQLSSLPPEQQQQVIELQKMLSAALASV >Sspon.05G0015130-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:52327104:52330270:-1 gene:Sspon.05G0015130-3C transcript:Sspon.05G0015130-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glyoxylate/hydroxypyruvate reductase HPR3 [Source:Projected from Arabidopsis thaliana (AT1G12550) UniProtKB/Swiss-Prot;Acc:Q9LE33] MTPPAAGADAKPLVLLSQPSDSGLTPVMEGQFRFVLAADADAATAAEARVLFVPGLRPVTADLIDGLPALELVSTISVGLDHVDLDACRRRGITVTNAGATFSVDSADYAVGLVIAVLRRVAAADAHLRRGRWAMDGDYPLATKPLVLLADPLIPEFQLALSPRYRLHHRASSTRSQHWSWWWPRPWASTTSTSPRAGAAGSGSPNAGDAYSPDAAGYAVGLVVAALRRVAAADVYVRRGGWATQGKYSLATKVSGKRVGIVGLGSIGSLVARRLAAFGCPVAYHSRTRKPAPCPYTFFPTVRALAADSDVLVLACALTEETRRVVDREVMEALGAGGVLVNVGRGGLVDEPELVRCLREGVIGGAGLDVLENEPDVPAELLDMDNVVLSSHKAVATAESIRGVLDAVSGNLEAFFAGRPLLSPVSL >Sspon.04G0021420-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:259858:261936:-1 gene:Sspon.04G0021420-1P transcript:Sspon.04G0021420-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding HAWCGAGGHRRGDRQHAAGMGQRHHRGRRPLHQARLPPRVPARRRGPPRRHLPHRGHPHHHLLRARLRRRRPPPHAHRLLAALLPRGPPHALVPRRARPPPRAPRRRLRHRTRHHARPRLHLRDGAARDPRPPQHAPAVHGIRRHVPRLLHGLLHDAGAATGLAPHARRPLTPLPPLPAPHRLLPPGVAALARQQGQDEGGQGRAPDAQGTGRRRGGDGAPRRRPGNRRRHGHRGVHRRAPGPRRRERQRDSPVRARARHVVGGAAAAARRAGQHAGQRHRPGLAPGQHAQGPRRRAPRQRARPQAPRRGRRHEHPRQHALLQPWQHAQLPRQQAGAPGLGRGDRRRPVGRRCQRAAAGRPRAEQHDGRRRHGHHHGHRRRLAAGVEVHGRAGGRREEDVPARGGERGRRGRRARGGAGEPVGAVPARRRECTRTGVRAPGGGVGGDRRRGAVARAPGAWRAARAGVRRHHAGAAAVLGHQRRTLLHAADPGPGRRQRAAGEPGAERRLHVHPHQRAHHAADAAHHRRGDAAHGRVGAAEPAAVDDPGADRVAGGAGGGERGADGGDGARGGGHGERHGVPVLLRHGVRAHPQHPVRRDLPDAGAGALHRHLLARLLARRHRRHLQPAGDAQMGGAHGGVRLLRRRLLPGARLRGAAGAGDQGPAPRGHHRVLQRWSKRAASHGGRCRAGSPL >Sspon.01G0053010-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:28577002:28579240:1 gene:Sspon.01G0053010-1C transcript:Sspon.01G0053010-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPAPHVVVLAAAVLLLLPSLLARLAVAQPTKGAKAFCISQFAIASQACSILPPSPPDEHHHHDDDDEDEDEDEDDHDDDDDEHHDRDRRRSHHAAAVSISALMARSNGSHGVVSGNRTVGHHQHQGNRTRGGHGRGRGRGRGRGRRGRLRDGEDDHHDADDPDHDDDHADDDEHHDDDDHHDDDEDHHDTDDPDHDDDHDDEDDDDDDEHHHDEELRAYRDCCRWLKEVQKDCVCEALLRLPPFLVKPQHTYVVRLAPMSPAGHPPYDVHAAAAHGRSLINCRAPAAAPPHAEKRGLNVARRQASKPMESPDPEAHVRSPPPPQAPPPRPQIDPGRRTLDPPAPGLSPRRRLPRYAGQDMRREPHH >Sspon.07G0028050-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:83692926:83698795:1 gene:Sspon.07G0028050-2D transcript:Sspon.07G0028050-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADALDMSLDDLISKSRSTHQHPGGRRPASRGGVPAPTAPRRRFNTRAAAAPYLRRATFSFKPLVRPMDYAGYRAAQPRPPMVSALDEPTKLYISNLDYGVSNDDIKELFSDVGDIKRSSINYDRSGRSKGTAEVVFSRRSDALAAVKRYNNVQLDGKPMKIEIIGTNIEAPPPPPPTAIFSFNPPAGNFNLPFKRSVMGLEEVVLEDGLRAEVDLLGVGKGVVGVGVGVGVGGGGERVVLARPRERVAFRKPLNRVSSISHGLGSPLLHLPSRLQLLQLHLGPLLVTTVHGRPPPPPANRSLLSSPSLEEEPGCRPLRTPLS >Sspon.05G0007140-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:16465798:16483302:-1 gene:Sspon.05G0007140-2B transcript:Sspon.05G0007140-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGAKARRLLLAGALLLLRLLGLPWATAAVRRSDFPASFLFGTATSSYQIEGAYLEGNKSLSNWDVFTHIPGRIEDGSTGDTADDHYHRYEDDVELMHSLGTNAYRFSISWARILPKGRFGQVNPEGIAFYNKLIDSLLGKGTVRGSAPRSSATSVTLADVCFAAFGDRVKHWATFNEPNVAVAKGYMLGTYPPGRCSPPFGSCALGNSDAEPYVATHNVVLSHATAVEIYKRKYQRRQKGMIGIVMAAFWFVPLTDTPVDRMATERALAFSVPWFLDPIIYGDYPPEMRQLLGSKLPSFSPEEKRKLGYKLDFIGINHYTTLYVKDCMFTSGCPTGQDIQYALAAYTGERNGIPIGPPTAMPLFFDVPDGIEKMVTYIMKRYNNLPMFITENGYAQGGGGYNHVEDWLDDQGRIQYLDGYLTKLAKVIRDGADVRGYFVWSLIDNFEWTYGYTLRFGLHYVDYQTQERKPKSSALWYKWFLQTGGIKDGRTGDVADDHYHRYMGDVEILQSLGVNAYRFSISWARILPRGRLGGVNAGGIAFYHRLIDALLQKGIQPFVTLNHFDMPHELEVRYVGWLGTGIREEYAYYANVCFGAFGDRVRFWTTFNEPNLFTKFEYMLGVYPPSHCSPPFGSCNSGNSHREPYAAAHNIIMSHTAAVRNYKDNYQAKQGGSIGIVTAMKWYEPLTNTTEDILAARRAQSFETEWFLDPIFFGDYPRAMREILQSNLPTFTAEEKKLLLRYKVDFIGLNHYTAIYAKDCIHSPCNLQTYEGNAFVLATGERDGVKIGRDTALAGFYDVPEAIEPAIMYVNGRYKDTPVYITENGFSQWSDANREELINDVARKNYLQGYVTCLSKAVRNGANVRGYFVWTLLDNFEWTFGYTMRFGLYHVDFDTQERTPRMSARWYQGFLAGDTSLTTDEAQARRADS >Sspon.05G0026520-1P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:5D:41918604:41919083:-1 gene:Sspon.05G0026520-1P transcript:Sspon.05G0026520-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKPAAAVPETVGQAVIPLVNSLQDIIARLDGDAAAGLELPQVAAIGGQSSGKSSVLEALVGRDFLPRGPDICTRRPLVLQLVRHVSPEEWGEFLHAPGRQFHDFQQIKREIQLETDKKAGDNKGVSEKQIRLKIFSPNVLDITLVDLPGITRVPVGDQP >Sspon.08G0018120-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:6346101:6347219:1 gene:Sspon.08G0018120-2D transcript:Sspon.08G0018120-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMVQFLRVDAVKRHVASMDGEVRRHLDAEWRQGHRGRDAVHEVAHLRRHVRRHLRPRDGRRPLGALDGVPGAGEGHLGGSSQPALHHIQPVPRCEPAWAARRRWGHQERRSKLERGESSPASDVVSLMLAEGLPDEEIIDNVMFLMVAAHDTTPPSSHSSSGSSTPTRTPTTKLSRQEEIARSKAAGEALSWEDLGKMRYTWAAALETLRMVPPVFTMMRKTVDDVEYGGYLIPKGWQVIHAANMTQWDPAIFPEPGRFDPARFENPSAVPPFAFVPFGGGARVCPGNEFARVETLVAVHHIVTRFRWKLTAGCDLSFSRFPLPYPSQGLLIDIEPIQK >Sspon.03G0014680-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3A:46798961:46799653:-1 gene:Sspon.03G0014680-1A transcript:Sspon.03G0014680-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LDNNGADAVSSTAIPSVTISVEEKEEINGDVSTITSAANNDEELFTTPISSRSPSTRKKRGAFGLFRAMFLSLGRSDSMKKTDDGDTTSPNKKRAIAAAADDHKPAGDESPSWKHIVDGMRPLRLRGQELEYYPPPPPLGHADVYHDVMLPPPSPERSGFEFEEVGMTSRYASAEDLHQMDSADEEAALAAEGGDGDSSCPHAIDMQAEEFIAKFYEQFKSESFNGRASE >Sspon.06G0027750-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:89140091:89141587:-1 gene:Sspon.06G0027750-2D transcript:Sspon.06G0027750-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTLLPHPGQRKSTQGHQNSLKKMKGIRRGDPILPMIEMIAVVQTTEEEVPSRRGGRGASAWSPQVTPASIHVASRVAVKVSVACYQRLMNVTMELKGVAPCADWGSLPDSCYLCC >Sspon.08G0020330-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2C:91601911:91603392:-1 gene:Sspon.08G0020330-2C transcript:Sspon.08G0020330-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRGQPAGDDAQRQASVPVGPSDHDGQGNRHRLHQAGRCPRHPIPVACPRLALEVLKTQDANFASRPLTMASKTFSRGYLDAVMSPYGDQWKKMRRVLASEIICPSRHKWLHGKRADEADNLTRYVYNLANTKAGSGGDGVVHVRHVARHYCGNVVRRLVFGTRYFGKPQPDSGPGPLEVQHVDAVFASVGLVYSFCISDYLPWLLGLDIDGHEKMVKEANERVTSLHDAIIDERWRRHWKSSGGGKRPEPEDLLDVLIMLNDGEAKPMLSIEEVKAQVMDITMASMDNPSNAVEWALAEMVNNPEMLKKAVDEIDGVVGRERLVQESDIPRLNYVKAGIREVFRLHPVAPFNLPHVTLADTTVAGYHVPKGSHVVISRTGLGRSPHVWDDPLLFNPERHIAAANPEAEVSLVEHDLRFISFSTGRRGCVAAVLGTAMSVTLLGRLLQGFSWSKTAGVAVIDLSESRHNTSMARPLVLRAEPRLPAHLYQGIS >Sspon.03G0011500-4D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3D:35742875:35743031:1 gene:Sspon.03G0011500-4D transcript:Sspon.03G0011500-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRRLHTLAPALRRATAAAAAGAPAAPASSAARAAPLSSAAAAFRRTSPLLS >Sspon.02G0046280-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:2167636:2169729:1 gene:Sspon.02G0046280-1P transcript:Sspon.02G0046280-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLTFPYFLFFAQVPGSPRVFKPRSAKEVRLRHTVGEGKERQGGAAGKMFDDQDLGFFANFLGIFIFVLVIAYHFVMADPKYEGN >Sspon.03G0014440-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:67754912:67763604:1 gene:Sspon.03G0014440-2B transcript:Sspon.03G0014440-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSSAELVRRSLLPPKTLNPAPATYSKTLSGATQNLQLRSSFRPPSSHSPPAVPPHRLHHARLLSAAAGAAAVSDDALQLQDGNKVTKGGDGKMVTATKKGAAVLDQHIPDHIQQAYHVLQVGDQIYDATLNQTNVGSNNNKFYIIQVLESDAGGHFMVYHRWGRVGAAGKDKLLAFPRRGQAIYEFERKFQEKTSNLWSNRKNFEPHAKKYTWLETDYGETEKETNKTEKKGSITDRIKETQLETRIAQFISLICDISMMKQQMVEIGYNADKLPLGKLSKSTILKGYDVLKKISNVISMADKGQLEQLTGNIALSRKKNTCPHLFPVRVNARSTTSGHYFAESKENGSQVEQVEALGEIEIATKLLEDDSSDQDDPLYARYKQLRCDFTPLEADSEEYSMVALGDMNELLNGDYNANNLPKGKLRTIDDGVLVPLGKPTKQESSKTACLRYNEYIVYNVDQIKMRYALHVTFKFKRR >Sspon.01G0025150-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1A:89019110:89019397:-1 gene:Sspon.01G0025150-1A transcript:Sspon.01G0025150-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGLIRKLLEMLLPPCPTPPMEMEIKGTFRVEYLAYDLATSPYFKVVSVTHFEWIRKPEDFLYDSSTDAVDHEIEESEWPPSVCIFHVFSSRTQK >Sspon.06G0017880-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:72311752:72312585:-1 gene:Sspon.06G0017880-2C transcript:Sspon.06G0017880-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LDRDGDVLRVFDVRGTAALLAPTRLDLIFVDRMQLGAMIIDPASGRDVTVVGTNDPTPPAPSWGWDESTSTSVNDYSFGRAVPSGDYKVLRLHVIETSSRWHGRHRSQWCEVGTIMDDGAEPTWRRRPWGPFYQTSFSCEHKATINGDLYFMLCGTNSASLDLNLIATFNLESEEWKANTIKGPLLGRKKEYDKWRITLTELKGTLCMVHNVQHHAVFGVNYANIWLLKDPDRSVWVKEYKIQMPEGLLFTKPLDVLLDGRVLLLNTYRKAGNNEKCH >Sspon.08G0022280-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8B:47276260:47277679:1 gene:Sspon.08G0022280-1B transcript:Sspon.08G0022280-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GSNDLAMQPRSARRHDRLLGGLLVDGFDEESCHSRYQSAMYRRNAGRQPSTYLISKLRRHESLQRRCGPGTAAYSNALEQLRSGKSVASPECRYIVSVPIEGLGNRMLATASAFLYALLTDRVVLVDPSNDMDNLFCEPFLGATWLLPEGFPLASYANFSIDTAETYGNMLKNKVIGAEAAAAADASPAQQQLPAFAYVYLHSDAGIHDRNFFWDEDQRLLRHVQWLVMSTDNYIVPGLFLTKAFQGELDMMFPERDAVFHHLGRYLFHPNNHVWGLVTRYYDAYLAGATQRVGIQVRVFGAQPNSPELLEQITSCTQRHKLLPEVLATGEPTTLPASRAKKKSIAVLVTSLKPWYQEKIRSMYWEHATATGEVVSVHQPSHEEYQRFGVKSHDTKAWAEIYLLSLTDVLVTTSQSTFGYVAQGLGGLRPWVIYKPFNGTGVPDPPCGRDVSMEPCFFQPPNYNLWQNQWLDA >Sspon.05G0004020-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:15778590:15790404:1 gene:Sspon.05G0004020-3D transcript:Sspon.05G0004020-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Clathrin interactor EPSIN 1 [Source:Projected from Arabidopsis thaliana (AT5G11710) UniProtKB/Swiss-Prot;Acc:Q8VY07] MDFMKVFDQTVREIKREVNLKVLKVPEIEQKALTIIEYLIANGSERAVDDILDHYSKISVLSSFEYVEPNGKDAGINVRKKVETIVGIINDKERIKAVRDKAASNRDKYVGLSSTGITYKSSSASFGSNYSSGERYGSFSGTKEADSYGDSYRDKEPVKTSTSNSGSRKSGSKLRKDAKPDRRNEDYSSSSSLKPPSNTNNTEDDFDDFDPRGSNGKTTAKPNEVDLFGPNLMDDFVDISAATPATDSAVEPQVDLFADADFQSATASTETAANTDVQVLDATSDEPWGPHGSALSELAHATKKFTECQMVMNVLWTRLSERGANWRHVYKERLYVQALTIIEYLIANGSERAVDDILDHYSKISVLSSFEYVEPNGKDAGINVRKKVETIVGIINDKERIKAVRDKAASNRDKYVGLSSTGITYKSSSASFGSNYSSGERYGSFSGTKEADSYGDSYRDKEPVKTSTSNSGSRKSGSKLRKDAKPDRRNEDYSSSSSLKPPSNTNNTEDDFDDFDPRGSNGKTTAKPNEVDLFGPNLMDDFVDISAATPATDSAVEPQVDLFADADFQSATASTETAANTDVQGNVDLFAEKTSFPAAFPPQAGIIPPPSARTSSEVNTSVSKKAAPESFDPFGAIPLNSFDGSDPFGGFSSNAGSSTTAPPTHSSTGNISTSNQNLQAASDFGAFVSNNEGAAKDPFNLSSSANVGKTPLAAPKIDASDFGAFVSSTDEAAKDPFDLSSGSNLGRTDQAPAAASNPNTKKENFQVKSGIWADSLSRGLIDLNITAPKKVNLADIGIVGGLGDGSDGKAMPSWTMGTGSGLGMSGIPPSTQAGGIESLANYNKHQFGFK >Sspon.06G0020920-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6B:11720293:11724823:-1 gene:Sspon.06G0020920-1B transcript:Sspon.06G0020920-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bifunctional TH2 protein, mitochondrial [Source:Projected from Arabidopsis thaliana (AT5G32470) UniProtKB/Swiss-Prot;Acc:F4KFT7] MLVLRRLRLRLPLRRPLLVSSSSFPCPSAYPSTSSSFSCWSLTGEGRRSMASSPSPASAAVVAEGSAARRFWIAASTREAAFAAYTPFILSLAAGNLRLDVFRHYIAQDAHFLRAFARAYEMAEDCADDDDDRATIAALRKAILQELNLHASVLKEWGVDPTKEIPPSAATTKYTDFLLATAAGKVDGTKGSDKMVTPFEKTKIAAYTVGAMTPCMRLYAYLGKELMAFLKQDENHPYKKWINTYASSDFEDNALQIEELLDKLSVSLTGEELEIIGKLYQQAMKLEVEFFSAQLVDQPVVAPLSRYCDPKYKLLIFSDFDLTCTVVDSSAILAEIAILSFQKASQSGIDNNLDRTKSGDLRNSWNMLSKQYMEEYEECMERLLPPEESNSLDYDKLYKGLEVLAEFEKLANSRVVDSGVLRGMNLEDIRKAGERLILQGGCKNFFQKIVKTKENLNLDIHILSYCWCAELIRSAFSSAGCLDGLNIHSNEFGFEESVSTGEIDRKMQSPLDKVEKFKSIRSDVDSTVPFLSVYIGDSVGDLLCLLEADIGIVIGSSTILRRVGKQFGVSFVPLFPGLVEKQRQLTEEDASVFKARSGVLYTVSSWSEIHAFILGSDFS >Sspon.04G0027100-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4B:55808934:55809233:1 gene:Sspon.04G0027100-1B transcript:Sspon.04G0027100-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSADDEPDTCYRWSRDFVVAHAIFASGLVTFPVAVLLLVNRPHTGRAIFFAAFAALCTTTSLILCLRFYAELRRPPWPRWLSAAASGGLQQEDAEAAASA >Sspon.08G0020590-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:23351733:23359169:1 gene:Sspon.08G0020590-1B transcript:Sspon.08G0020590-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPSKGRQRIEIRRIEDAGRLEVTFSKRKSGLQKKASELFLLCGSPVALVVFSPGKKAFALGTPSVDDVLRRYAPVPGSELDAKLLAVLQDTDDASAVADRAEAEAIVRRTEDTRARSATEKAQMDAIGKSVRQAAAKAGRKFWWEADSDELGEAELPEFVKVLRRLRVNLQRHLDSLSARLHFPDAEDNLTFNDTMIALRLMRTQFPKLDKVVAQPFILQSQLYSSVKDRTQVDRDLESFKKDKVLRIFKLSSGQDDHAIMFMDDYLKQVAFAIKRSGCSLLSQGGDVTDQHITLLMNAGLLGRKEILSLLNRRKYKEMLLSSLENTKLRLSPLDTRFLLRDLIGSGHIKTVQTPTAVNGSRMHVLMLPWLAFGHILPFTELAKRIARQGHSVTFLSTPRNTRRLIDVPPDFASLIRVVDVSLPPVEHLPEGAEAIIDLPSDDLRPCLRRAYDAAFFPALLDILQAPKTSRPDWVLTDYATYWAPQAAARHGVPCAYLSLYGAAVLSFFGPPEALMGRGRHAKTAPEHLTEVPDFVPFPTTIAYRGYEARQMFKPAVVPDVSGVAELYRSGMSIDGSQVVGIRSSRELEPEWLQLLGELYQKPVIPVGFFPPPPTQDVVAGHEATLRWLEEQAPGSVVYAAFGSEAKLTSAQLETIPLGLEASCLPFLWAFRAPGVANNSRN >Sspon.06G0004780-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:15315782:15319534:1 gene:Sspon.06G0004780-1A transcript:Sspon.06G0004780-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVRLRLRVSPRLRSIPLLLSQPDAATVRRSFACASASPAPAPARAMAAPSSSSATPSPYTTLVGRVSCEREIKRSKFIAVAAPVPNERAAMAFLDQVKDPRATHNCWAYKLGEQFRYNDDGEPSSTAGKPIYSAIISSGIDMVMVVVIRYFGGIKLGTGGLVRAYGGVASECLKDAPTCLVKPKARVGMEVPFDLLGTVYHQLQHFQAEDIKQDYDTGKDGTVMVMFEVEYEKIENLGNAVNSACSRKIELYL >Sspon.07G0000690-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:967979:978917:-1 gene:Sspon.07G0000690-3C transcript:Sspon.07G0000690-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFCPGHNLVIIEGFKSYREEISTEPFSPKVNVVVGANGSGKSNFFHAIRFVLSDMFQNLRSEDRGALLHEGAGHSVVSAFVEIVFDNSDNRIPVDKEEVRLRRTVASKKDEYYLDGKHSAGFSRSNPYYVVQQGKIASLTLMKDSERLDLLKEIGGTRVYEDRRKESLKIMTETANKRKQIDQVVHYLEERLRELDEEKEELKKYQQLDKQKRSLEYTILDHELNDARNELASMDDNRRKISESMSLADNEAVDVREMIKSFDKEIKVSTKGINDTKAQKEGVEKRRTEALKVVAQIELDLRDIKDRIVNEKRAKDEAARDLQSVRRESEKSKSELAEISKVHQTKLKEEEEISKSIMDREKRLSILYQKQGRATQFANKAARDKWLQKEIEDLKPVLLSNRKQEGLLQEEIQKLKDEINDLTNYIESRKNESSKLEETLAKRHNDYNDLRKQRDVLQEERKSYWTEESEVTAELDRLQIDLVKAKKSLDHATPGDIRRGLNSVNTIIKDHGITGVFGPVLELVDCEEKFFTAVEVTAANSLFHVVVENDDISTKIIEYLNIYKGGRVTFIPLNRVKVPDLSCPQSPDFVPLLKKLKYRADHRRAFEQVFGRTVICRDLETATKVARSNGLDCITLDGDQVGKKGAMTGGFYDSRRSKLKLVKIVRDNQIAIEKKAAHLESVRNKLSDILFWLIILYSFCSHEADKKITDLVTKQQQMDAERDHAKSELEQFKVDIARAMKQKASLEKALGKKEKSLDNIRNQIEQVQSSIAMKNDEMGTELIDQLTSEERDLLSRLNPEITDLKERFLMCKNSRIEIETRKEELETNLSTNLIRRQKELEAIISSADSRTLPLEAEAKEQELKSSKRNLDELTSLLKANVDAINNFTRKMDDLKRKRDDLKTREAILEQTVQDGAKDLEQLMNSRSTYLAKQEECTKKIRDLGSLPADAFEAYKRKNKKQLHKMLYDCNEQLKQFSHVNQKALDQYVNFTEQREQLQRRRAELDAGDVKIMELISVLDQRKDESIERTFKGVARHFREVFSELVQGGHGYLVMMKKKDGDAVDDDDEDEDGPRDPGPEGRIEKYIGVKVSFTGKGETQSMKQLSGGQKTVVALTLIFAIQRCDPAPFYLFDEIDAALDPQYRTAVGNMIRRLADMADTQFIATTFRPEIAKVADKIYGVTHKNRVSYINVVSKEQALDFIEHDQTHNAS >Sspon.03G0046490-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3D:38809792:38812494:-1 gene:Sspon.03G0046490-1D transcript:Sspon.03G0046490-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGYRQLGVDGESLYETYSRGNIRKILDIYAESPLEVKNDGDFNEQGSYFIKTLSSPCSYKKSPDSLSLSNIAPHEIFNPLMLPIPKDFERVAIDTYVYHKYYRSRCVES >Sspon.03G0020330-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:63156282:63168905:1 gene:Sspon.03G0020330-1T transcript:Sspon.03G0020330-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRRIREWDKTTPRALSSPPLSPPPLPAMSSLPPSQAAAAAYGCCHRLLLASTTVPARSGCVGRSPLRCLPITGHRLSSRKVACQAMTETESEGDGDKEEKEEFGDDASSPSVDSVAEANGPAESDSSIDNKKDETTNAELLSSSDTVQNIDGDATSTNDIQENVEIVEVASGSPLPGMKQQLDDSVRIPKATIDILKDQVFGFDTFFVTSQEPYEGGVLFKGNLRGKPAKSYEKITNRLQNKFGDEYKLFLLINPEDEKPVAVVIPKQTLQPETTAVPEWFAAAAFGLVTIFTLLLRNVPILQDNLLSTFDNLELLKDGLSGALVTGLIIGVHEIGHILAARESRIKLGVPYFVPSWQIGSFGAITRIVNIVCNREDLLKLAAAGPLAGFSFGFVLLLLGFILPPSDGLGLVIDPTVFHESFLEKEEFGDDASSPSVDSVAEATGPAESDSSIDNKKDETTNAELLSSSDTVQNIDGDATSTNDIQENVEIVEVASGSPLPGMKQQLDDSVRIPKATIDILKDQVFGFDTFFVTSQEPYEGGVLFKGNLRGKPAKSYEKITNRLQ >Sspon.07G0025120-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7C:54978018:54979210:1 gene:Sspon.07G0025120-2C transcript:Sspon.07G0025120-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFRGGLRPLVTLVLLCLCASCLCSLSDAKRTPRPTPPTAKKPPPPSSSQQSPAAAAASYPALPVRAVCLGGWLVTEGWILPSLFDGIPNKDLLDGTQVQFKSALRKTYLTADQGGGGAVVANRTQASDWETFKLWRMNETTFNFRTSGNQFVGIGASDGIIVATATTPTLPETFQIVRCPFDKNRVRIKAANGYFVQAIATGEVIADYGEPTRWSDFDASVFLMTKVGEQLQGEYQLCNGYGTAKATPVLR >Sspon.06G0019220-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:719335:720980:1 gene:Sspon.06G0019220-2D transcript:Sspon.06G0019220-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor MYB93 [Source:Projected from Arabidopsis thaliana (AT1G34670) UniProtKB/Swiss-Prot;Acc:Q9S9Z2] MGRSPCCDKNGLKKGPWTQEEDEKLLHYIQKNGHGSWRTLPRLAGLNRCGKSCRLRWTNYLRPDIKRGKFSQEEEQTILHLHSVLGNKWSAIATHLPGRTDNEIKNFWNTHLKKRLIQMGFDPMTHRPRTDFFAALPQLIALAALREQLGGGTAVADQSGAGAGVAIVQQAAKLQYLQSLLQSAATTIAAASSAPSSDTEVAAAAAALGLGAICALQLTALDSSTTTTAPSVDNASGQLLPCTFPAEAPVSSEEDEANNQQQQTGLSSYSGLSRADDVVDVFACHGGNSSLPPLTDLSDAASLLPVDGCMRSATASSSFGGGSSCSPLPWPELFFPDDPFITDFL >Sspon.03G0034130-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3B:68160173:68172116:1 gene:Sspon.03G0034130-1B transcript:Sspon.03G0034130-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MCPEVRGRCGSRGRLRAHVPHRAVQLHPVCLPSAGSWTSSPPASPPCDSSPLSADHPWGFVLLHPPSARVYLKTASGSLYLIPLKQHEYYRRNCYTHTHRSDLHPVSPSSLWLPAPREVEKACSDPDEFVDYVLLLVACVGNHRRHRANCFTSPLLAAIEIAEECRGQPKNSMVCVTSEETLKTKVQYLSGDSQFWTGLMKVKEEFLSLGYLQRDILDPVEQPTPHGLVSGIVVHYRMVLSCKPLLYAAQCWPVQRAGRAQKSYLDMFLLLFVLSQCDVAHPTGDSGQPHYWCPGSSLCVSPLAAVAALRRAAPASGGLKKRGSSAPPLPPRHSSSYYSTPSTLFSDDERRRPTGSDPGPPSLDLTPGPPDLAFLPTATPVGHGPGAVPTADAVSTLAAMAASVMLCGAALVWLHSPFQSSVRWPSWTNLLRAHLGARFGTAPAWWRFRAGQLWRLRRWQEFVAHRPGGTVAPPIPNPWLAAAVQSASFSFLKVSWKPVFIVQVTVGVIRKYGGKAHTSGLHRDNNVFTDFLSSRRLQKPQDRTGGRTGPEVGRPPCPLGRPPWPESQSGSVSRIMLHRPKGSRKTVRLIGHLPENFVDNPEALIRKARAKLKKSTLRRNTSSNPEDRRSFIRNLSTEFAAMANKTIREFSALTTDNIRTGPAAAIDKNFELKPGLINMVQANQFCGKPHEDASAHLQHFLEICSTFTLLDVPRDAILLRLFPFSLLGRAKQWFYATKDKNTTSMERFQDYILECPHHGMESWLLMQTFYHGLITSARETMDAAAGGAFLSLTIPQATALVEKMASNQGWNEERIQTRKKGGGMHQLKEVDMLSAKLDLLMKKLDDKAGDKREVMNVYDSHMTCEECGDTGHSGNHCPEMLEDARYINNNNNYNRPQQNQGWNQQRPNYSGNYSAAAVPATNPGIPSQPEGLESANLVDMFDAGNYWSNPAVGVHNDLLPVKRGDPGRPVIPISIGMVDFPEALCDFGSSVNIMPRLADRTLSFPKGILKNMCVRVGTSYAPADFVVIETGSDERSPVILGRPFLNTSGAVIYASAAKINFNIKGRKETFSFKNKITQIPEQPQHEPRKRTNRRNKQNKNNQGWTESAKMVTAVQGGQDGRLKSPFLNKKDDPGMPSIECSINGYSFQKALCDTGSGVNIMATVTYQLLYGTMPLKPTYTQLQMADQTSRKVEGIVTDVPVKINDHFVHTDFQVIDMGDDEYDPPIILGRPFLGTVKAIIYIGTGEVHMHFPSEKVRRYFNDPNYIVEDSKQVRTRRRRRNRNQRRQTIKDVWADYEGEVIRPEDTQQETEAPSRVWKAKTVTQEEEALPEPPSTPPKSQDN >Sspon.06G0015500-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:80064836:80066878:1 gene:Sspon.06G0015500-3C transcript:Sspon.06G0015500-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MWQEIKRFTEDEIEGITNNYDTLIGKGGFGEVYKGVLDDHYDLVAVKRYIRENLREEFMEEVSIHSQMSHKNVVKFIGCCVGGSTLTLVTEYVPKGNLDDILHHSDTAIPLDIRLGIAIGCAEALSYMHSMHLSIDSLICHGDIKPANILLDDNFTAKVSDFGLSRLLLGGITQYASKVIGSIDYMDPVLMHTGRLTPRNDVYSFGIVLLELITRKRVKQGAKGKGLRKLFDPSIANKNSIKTLEEIVELAMACLRLDIKNRPEMNHVEKRLRVLKRDLKCRQEQASSSILSTHHSWHTKDKQEKPQLKKSGSFFKRNASNAKLVSEFSNVRSFTKAELKEITDNYSHMLSGGMSAKFYKGRLEDNTVVVVRKFRDTDSQEAFINSGIILCQIAHKNNIIKLLGCSLEAETLAFIYEYADKGSLLDILGSQEDLPLDLRLRIAIRTAEALQYLHSSSTGVVGHGSISASTVLLHNNFVPKLTDFSGASKLIMDSVLDSDPSRYKTVLMNLESDVYRFGGVLLALVSRENNVSFDELIVEFTKAYQKDNSGKAMFDKDITAEQDIAALEEMGRLALRCTILNADEMAMMRPTLQEVAEELRRIRRCWKLRTSEATPHVTETTATAAASLEPRLPNLMRHLFGYRRISITDPIRTS >Sspon.05G0024330-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:25526644:25528224:-1 gene:Sspon.05G0024330-2C transcript:Sspon.05G0024330-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSRSLALALLLCALSASCHAAISYPPSAMPAAAPAKDDFLSCLTKSVSPQLLYTKSSPAYGSIWASTVRNIKFDSDKTVKPLYIITPTEAAHIQATVACGRKHGMRVRVRSGGHDYEGLSYRSAKPETFAVVDMSMMRHLSINGRNATAWVDSGAQLGDIYYFMGKNTPRLAFPAGVCATIGVGGHFSGGGFGMMLRKHGIAVDNVIDAKVVDANGNLLDRKSMGEDYFWAIRGGGGESFGIVVSWQLKLVPVPPKVTVFQVHRGLKDGAIDLITKWQQVAPSLPEDLMIRIMAMGDTAMFEALFLGTCKDLLPLMGSRFPELGVKQADCKEMSWVQSTAYIPMGASATVNDLLNRTSNIKAFGKYKSDYVKDPIPKAVWEKIYTWLAKPGAGIMIMDPYGAKISSIPDKATPFPHRQGMLFNIQYVSFWSGEAGGAAPTQWSRDMYAFMEPYVTKNPRQAYVNYRDLDLGVNQVVGDISTYESGRVWGEKYFRSNFERLARIKAKVDPTDYFRNEQSIPPLFK >Sspon.02G0054280-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:111860880:111865784:-1 gene:Sspon.02G0054280-1C transcript:Sspon.02G0054280-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPDQKALLAELDKRFVAQEKRFDGLEHKLDSTAAKLDSTAASLNSTTTSSSTRLDALESAAKVFDEWRPGIDGVLDDLCIEVNKLSTLKLEVGKITKYWERTMVDGPSATPGMFASTPVLEVSKITTSSSAPASPSTSPRDTKPMCSPTYKSTGCGDFQAAPRSPAGTAATQPSGHRVDFGNREGAFGVVTTLIPPPGKGTFACHPSSPLPLPCPPPRPPPPHHPQPHPVGHSESGHQGGSGGGHTGKLPKFDFPKFEGDHPKMWIKQAIQYFELYRVESEVWVQAATMHFSGAGKRWLSSIEDQLEGLSWSNFTSHLLLRFARDEHELLLRRLFQIWQIGPVNEYIDQFVALIDDLKAYAKHPDPLYNTQRFINGLGDEIKAVLLVQHPSSLDTACVLAQLQEEALGLNKRPTRRFDTALAHKPAWPGALALPLPATKLADGDLKQQPNALPTSAEDKFKALRASRRAQGLCIRCGAKWSHDHRCSDTVQLHLVQELLDIFPDIDEAEDSSPPSPTSSQVMMHLSVAAVAVQNCSFTIDLKLLTLSAYDMILGLDWLTSFSPMQVHKGEKWISIPYQGTIAILFGDAQALPVGSVIQLCSVQDTSLVIPPVVKELVTEFTHLFQPPTGLPPSRACDHTIPLIQGVQPVFVRPYRYAPLLKTEIEKQVNDMLDQGIIQKIFSAFASPVLLVKKKDSTWRFCVDYRQLNAITKKGKYLVPVIEELLDELNGATYFTTLDLQAGFHQIRMKSGEEFKTAFQTHFGQFEFRVMSFGLTGAPGTFQDAMNTTLKPCLRKFVLVFFDDILIYSTTLADHLVHLRTVFELLEQDQWRLKLSKCSFAQTQITYLGHVISSSGVGTDPAKLDAIARWPTPVSVKELCSFLGLAGYYRRFVCHFGLISKPLTALLKKSSLFLWTSEHDTAFQALKSALCQSPVLALPNFAKPFVIETDVSDSGLGAVFMQDGHPLAFFSKALGPKSRGLSTYEKEFMANLLAVQAWRPYLQLTDQRLHTHWQQRVFSKHLGLQYRIVYRSGSSNSAADALSRHPSPPAQCLAATALVVSLDFIEGLSHSNSYNCILVVVDLLTKYGHFVALKHPFYSCWCCQGRTPFEALYGYPARHLAVDPTSAVNPDVAAWTSDRQWMNEVLHNHLTRAKHRMKKQVDQHRSERTFAVDDLVFLKLQPYVQTSLDPRSHQKFAFRFFGPFRIVARVGTVAYKLELPTHSSIHPVFHVSQLKKSVGSMHQVITTLPSDFALHLAPEQVLDSRTMQRGSSIVQQVLVKWNNLPADLATWEDYEALRQEFPRATAWGQAVFQGGGNVSIPPFQVIPDAEPATSQEEQPRTRRPRKENPRYVGSPWAP >Sspon.05G0039030-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:59878105:59884224:1 gene:Sspon.05G0039030-1D transcript:Sspon.05G0039030-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTFEGVGVRPSAPSPPAAPAVSSSRCRSADAPLPGAGVSNAVQSTLEHDLGGGKGFVPAGTFSVRLKTFAHGTQTLTKLRFTRNDLTEDDKVAFKDGVNILAVNYGSVGGCQYPRPMKLPSKWTFSSYTILKTAEQAPRTPSFADQLIEADNGLGEVMKPPEKSFWAKYWMYIIPLGLIVMNAVTAAANIPEEAAGQGQPGAQRAPAAAAAGRRDDGCLYVFPYR >Sspon.04G0015420-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:61832414:61834743:1 gene:Sspon.04G0015420-1T transcript:Sspon.04G0015420-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVTEAVNNLSISGGGAAAGAGAGAEGHKKNRIQVSNTKKPLFFYVNLAKRYMQLHNEVELSALGMAIATVVTVAEILKNNGLAVEKKIMTSTVEVKDETKHRPIQKAKIEILLGKTDKFDELMAAAAEEREANEAEEQS >Sspon.04G0019710-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:78310595:78310873:-1 gene:Sspon.04G0019710-2D transcript:Sspon.04G0019710-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSEKASWPEVAVTQISSDRPDVAIEVVPDGTNVAPGYNALRVRVYFDTNGALFV >Sspon.03G0041580-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:43783734:43787626:1 gene:Sspon.03G0041580-1C transcript:Sspon.03G0041580-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLELRVSATMAQTRTVNSLAQVGEVLQDMGINDVQEHDQVRFLLHEETSLEAATDVKVRTHIGRNGFTLLNHELMECKFRTKIKLDKAFHAMYTATMNECNQELLPVEAHIAELRRLLNLPFDQIEDNLPDIMQRNRGLQHVIYPNPPFPEDIEYEIPTQTPQQRIPVQQAYPNGDLRQQARERDRRAQRAWWSINLAWLEVKKKILEKKRMDLERELLSEWKTACENSSELGVWAKRAKWRFKGSQEELS >Sspon.01G0015970-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:53300247:53304759:1 gene:Sspon.01G0015970-2B transcript:Sspon.01G0015970-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding PMTSSPSSSRLEHEADKSPHPHGLDALTMKRLVSRSWEESRLLWRLALPALLAEVFQFSIGFVTTAFVGHLGEVELAAVSVVENILDSSAYGVQYGMGSALETLSGQAVGAGQLDRLGVYTQQSWIICVATALALAPAYVFAAPLLHHSLHQPDAVSRAAGPYARWAVPRLLAHAINIPLLMFFQAQSRIWAVAAISGAALGVHAVLTYVAVARLGYGLPGAAVAGDVSHWLVVAAQLAYMTTGGRFTDAWKGFTVRAFSNLGAFVKLSLGSAVMISLEFWYYTTLLILVGLLPQAQLQIDIMSVSLNFEFMTVMVALGFSTAISVRVSNELGANRPKETKFAVIVAVSTSIFMGAIFLGVVLICRTRLPKLFSDSEEVIHGASNLGHLLALTVCMSSIWPVLSGVAVGAGWQVPVAFINVGCYYLVGIPMGILFGFKLKHGTQGIWIGMLTGTFLQMSILLAIIFTTKWDKQAALAEVRMAEWGGENENLPLMETTHTDNHMAPAQE >Sspon.01G0037040-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:15029846:15033183:1 gene:Sspon.01G0037040-2C transcript:Sspon.01G0037040-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding CQYFSVTNNLYSFLQWLFEGLRYISKLEEFETFVEKIRKYSVLCPTNTKSQRKLIIILIDDIPVTSGSVAFARLGKCLTDLIRSTQVPTVISLTHYHKSESNDTALWKSEDLESLLQDAGAHKIAFNPVTTNSIKKILVRICKEESCNASEELLHQMATSSGGDIRHAIMSLQYYCLDPRRHSSALATSSTRTGSKSHDSLVPGHESYGPSSALPSPCGRDETLSLFHALGKFLHNKRETNSDVDTDLDPFPLKENLRRNSLKMEVPENILSQAHGKVRTVVDFLYENVIDFIDSEAVDDAWAVVSYLSEADCLLTASPIASYNSENIAQLIAASVAVRGVLFGNAHVTSSRWHTIRSPKLWQIEQSFRSNKDHILKERFDCSRMCGFSNFTDLVTEFRPFERWIGPRNDGHRSNCLPHG >Sspon.08G0030880-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8D:66778146:66780742:1 gene:Sspon.08G0030880-1D transcript:Sspon.08G0030880-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding TLQPPPDLPSLPPFPPARPFPAPPPVRSQPAGPKGEGGGARQWGGGPPMLSSASSAGAAMGMGGGYPHQPPPLPQRGAAAAVFTAAQWAELEQQALIYKYLMAGVPVPPDLLIPVRPSPHSAAFSFASPAASPFYHHHHHHPSLSYYAYYGKKLDPEPWRCRRTDGKKWRCSKEAHPDSKYCERHMHRGRNRSRKPVESKTASPAHSSQPQLSTVTTTTREAAAPLESLATAGGKTHGLSLGGGAGSSHLNVDASNAHYRYGSKYGYIGPSPFFGSRCCYRMAIGLDLPGLLANYTGMQAVLQYPLGAKSDAGELSFFSGASGNSRGFTIDSPSDNSWHSLPSNVPPFTLSKGRDSGLLPGAYSYSHIEPPQELGQVTIASLSQEQERQPFSSGGAGTGGGLFGNVKQENQPLRPFFDEWPGTRDSWSEMDDARSNRTSFSTTQLSISIPMPRCEFPTDPVSTLSH >Sspon.01G0040710-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:42507991:42514218:-1 gene:Sspon.01G0040710-1B transcript:Sspon.01G0040710-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At5g67570, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G67570) UniProtKB/Swiss-Prot;Acc:Q9FJW6] APPPPPPPGRLADPQDPPHPPQEGGAQGLRRARKDTAAAAAAASLNPCEEIGAEDEEETRFRAAAAEFRALMGRPWDAGARGVAPPRGWDGEDGGLEGLREMLVARRGDGFRWLLDDDIEAEAAERKQQKRPGTTGWDVDTEDEERRIQLLVSRLNGDYLSFRDWRLTRVMKKADIIYSEDNLLRILDGLEARGNWRQALAVIEWVYNENSYRHRKSRFVYTKLLSILGKSLRATEALRVFTIMRGDAQIYPDMPAYHSIAVTLGRAGLLKELIKIIEYMRQKPSKRVMTMRRKDWDPSLEPDVLVYNSVLNACVLSQQWKGVFWVFQQMRISGLPPTGATFGLAMEVMLKAKRYDFVQKFYEKMQKNGVPPRAITYKVLVRAFWEQGKINEAVEAVNEMEQRGIVGAASVYYELACCLCNNGRWRDAMLQVEKLKQLPLTKPLEYTFTGMILASFNGGYIYDCISIFESMKGHCTPNIGTVNVMLKVYGRCDMFGKAKDLFETTKACFSNSQTYIHEHSSLKADTYTYSSMLEASASAQQWEYFEYVYREMALSHHCLDQSKYSWLLIKACRAGKSNLLEHAIDSILERGEIPDVQLITELICQSIAHRDYGRTLQLLNIMTEASIKMKEVEWVYLLQKNVYQFNIDALEGLIKYLSTSGTINADPALGLVRALESQCGITLVEGTYLLTDDTSTQQCELSLLESEDKYASSSLAEQDQLTCKNLCTDIILDVPDSDREIPQLGISAVMSRNISLSGQQLEDKHKHSDLGQQGPQVSAIDEVLDSMNSYGVNSYGEMPSASEILELWEQERINGMFAPKTESRTTLIRG >Sspon.04G0034250-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:72026933:72033838:-1 gene:Sspon.04G0034250-1C transcript:Sspon.04G0034250-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIFEQHSMSEGACQFALAALELVDIIDGLDNGIEAEGLPETAAMIKGRLWANVFKYSLDLKHFRDAYCAIVSNPDDDSKYICLRRFIIVLCDLGETKVLCNGEIPFTDLVEKVEQELFWKAERSDLSSRPNLYKVLYSFEAYRNKWRKAAAYMYRYYVRLNREGNAGGSHQLPHVLQERLHALSAAINALQLVDPSFAWLDSICEADDQISPKSQSIESLMDILINEKLYDLAFTIVLKFWKESGMKRQLECVFSVIAQQCCPNSADNKSRRSLTDSQQVPLLPSSENDAWEINNKSIPVTQQLQGSNHWETLELYLDKYKDLHPRLPVIVAETLLYTDPEIELPLWLVQMFKTNKAGNKISWGMSGKEADPAALFRLYINYGRHAEATNLLVEYLESFASSRPADLLHRKKMSAAWFPYTAVERLWCQLGDMQRAGHSVDQCDRLKKLLHGALMSHLQQVVVDSDDVLSSVGDGQGMEGQSS >Sspon.03G0010050-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3A:27235622:27238778:-1 gene:Sspon.03G0010050-1A transcript:Sspon.03G0010050-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VGPGNFCLVHVSLVPVLNVVGEQKTKPTQHSVRGLRGLGLAPDVLACRSTEPLEEHVKVKLSQFCHVPISNIVNLHDVTNIWHIPLLLRVGDGKVPREPELGKWTKRATKFDKLKTPVKIAMVGKYTGLSDSYLSVIKALLHASVAMERKLVVEWVPSCDLEDSSAKETPEAHKKAWKLLKPSHGIPPQGADGVLVPGGFGDRGVQGKILAAKYARENNVPYLGICLGMQIAVIEFARSVMKLRGANSTEFDPATTSPCVIFMPEVNPDMVPDFERAGLQFVGKDESGKRMGVGLENFSDAVDLNIIELPSHKFFIGAQFHPEFKSRPGKPSPLFLGLIAAASGQLEPLLQRSNPTTKACPINKVPKLKLYPTGPVNPLNSLVNGYYPNGTGIHT >Sspon.04G0001670-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:6786971:6787780:1 gene:Sspon.04G0001670-3C transcript:Sspon.04G0001670-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTNLAGAGAEPFRVFVGYDSREDMAYRVCRRSLLRRSSIPLDVVPIVQQELREAGLYWRERGPTESTEFSFTRFLTPYLAGYRGWALFVDCDFLFVADVAALARLAADADPRHAVLCVHHDYTPTEATKMDGAVQTAYPRKNWSSMVLFDCGHPKNRAALTPEAVSTRSGAYLHRFMWLDDDEVGEVPFVWNFLVGHNRVDPADEAGTAPRAIHYTSGGPWFESYRDCEFADLWLQERDAYEAEEADQDVDGSIQAPPTVVSVEVDA >Sspon.04G0007050-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:16437746:16445645:-1 gene:Sspon.04G0007050-2B transcript:Sspon.04G0007050-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPEYDYLFKLLLIGDSGVGKSCLLLRFADDSYLDSYISTIGVDFKIRTVEQDGKTIKLQIWDTAGQERFRTITSSYYRGAHGIIIVYDVTDQESFNNVKQWLNEIDRYASDNVNKLLVGNKSDLTANKVVATETAKDEEEKEESSGSATSTVGGKELSKKVYFTKQTVGNACGTVGVIHAIGNATSQIKLVEGSYFEKFYKQTADMDPVQRATFLEEDDEMEDAHSVAASAGDTDANVDVNEHFVCFSCVDGELYELDGRKSQPTSHGPSSPETLLQDAAKVIKARIAENPNSMNFNVMALSKK >Sspon.01G0040340-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:28562625:28576165:1 gene:Sspon.01G0040340-2C transcript:Sspon.01G0040340-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAKRKRAAAAAAAAAAAAAKWKVGDLVLAKMKGFPAWPAMISEPEQWKMPSTKKKPLVYFYGTKQIAFCNYADLEAFTEEKKRSLLAKRHGKGADFLRAVDEIIEVYDSLRDKGNNKVDLAAEEVKPDVEKFAENNSCMDTENLVSSSYTHNDKKIEDHYVTTRSHDMINSDRPSVTIMGDERCVVNSALSLLKMVPSLRKSRSSTSVESRKAQGSGKFFDHTNLASIDLVLGEHKEHSSHHRHEDDKANSGSVSTSDNVWLHSGGGTSNQPVTLGASNSNRMLNPPAKVDSTCNSEASENGTSEIELKSNGTSSLTMNTAVIFTRKRKSDRKPVPHYKDCTTPNKDEQLHAEYSEILPDSPNSKNEVSKSDGDEHLPLVKRARVRMGRSQLEDSPVDEIDASNKKPELATTLDQCDRNGKPASPANDYSADQVSTVVSSASNRSCKFDTTILSKEAHLPWKNKEYHPKILALDVEAALPPSKRLHRALEAMSANVAENINNIPEVTGPNEMALNGSLLTANSHSNKSADAVVTVSNKPAIVQSPEPSLDTQFVHNPSGKCTSESILQNNTIPDSASVRSRENDSCEETLMDTKTANGSWVCSEVGNDSCGKTSAPCMKLNRPALDVTQATSIPDRLSTSLEKASENVAASSVKETKPFGSAVCDVDRSAEPIDHSNNNVMSNTIRHSETIVVDSVNNVGDTASNSSLATKSSSVQSDADTRTSEVHTFSSLALKELNHRKIKDRSTSPDSMPMKELIAVAQARRFSRSTSFPDNFLNAKYIPETSINTPPKEGSHRQLSPSNRIIRSTSGNDNVHSRSPFDNIQPKKLAGHDEANAARRSFKDFLSTLTRTKESISRATRLAIECAKFGIAGEAIDIIVEHLEKESNLYKRVDLFFLVDSITQCSRNQKGGAGDVYPSLIQAVLPRILYAAAPPGNSAWENRKQCLKVLKLWLERKTLSEYVIRHHIREIETINEASFGSSRRPSRTERALNDPLRDNEGMLVDEYGRMCKVNNRILLQSTQVT >Sspon.01G0001160-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1A:3718215:3726502:-1 gene:Sspon.01G0001160-1A transcript:Sspon.01G0001160-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGARLAAAAVPAKLKAAPAPVVTGLVSRVEDAALFRIYYGQSFKVIKNSGNGKSYLLMQIQTRPPDPPTVTARQRSNAMTGRVAQPTGRADAHNTRAHEALTGRAPENDRTRQCQSPVGVHYGRSEQGQKLEAESRKPCTAMRQHAAHAFPRQFTNPVDSQSQESYQFRAVTRRPSQGAKPSQFFTSWEELVSRALRAMTAARSRCCLQAAVLLWAALSPWTLALGAAAVPAKLKAAPAPVATGPVSRVEDATMFRIYYGQSFKVIKNSGDGKSYLLMQVSNKSYCVAGFFVSQFPEKEVLTIPAEHVQDGIKDKVLNGATPSKHHLEHTCCKNGERTARTYQAVHVIADKEKLPVLKQSSCKP >Sspon.01G0000410-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:817455:818972:-1 gene:Sspon.01G0000410-2C transcript:Sspon.01G0000410-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Anion transporter, Silicon efflux transporter, Arsenic species (As) uptak [Source: Projected from Oryza sativa (Os03g0107300)] AIIMALASLAKVVLGSLAFGVFWVLAVFPSVPFMPIGRTAGALLSAVLMIVFHVISPDDAYASVDLPILGLLFATMVVGSYLKSAGMFKHLGTLLAWRSQGGRDLLCRVCVVTALASALFTNDTCCVVLTEFVLELAAERNLPAKPFLLALASSANIGSSATPIGNPQNLVIAFNSKIPFPKFLLGILPAMLAGMAVNMVMLLCMYWKDLDGSSSPIDVDGKRMEAVEEGAGVEPSPKLQLGSTNGGGNGYMSPLMTENISTKHPWFMQCTEERRKLFLKSFAYIVTVGMVIAYMVGLNMSWTAITTAIALVVVDFRDAEPCLNTVSYSLLVFFSGMFITVSGFNKTGLPAAIWNFMAPYSKVNSVGGISVLSIIILLLSNLASNVHAVLLMGGEVASAAELISPAAVVRSWLLLAWVSTVAGNLSLLGSAANLIVCEQARRATRNAYDLTFWQHIVFGVPSTLIVTAIGIPLIGKI >Sspon.01G0000230-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:39179586:39183315:1 gene:Sspon.01G0000230-1P transcript:Sspon.01G0000230-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVLPDTASDGKALTDAWDYKGRHASRATTGGWACAAMILGAELFERMTTLGIAVNLVPYMTGTMHLGNASAANTVTNFIGASFMLCLLGGFVADTYLGRYLTIAIFTAVQATGVMILTISTAAPGLRPPPCADAKGASADCVRANGTQLGVLYLGLYLTALGTGGLKSSVSGFGSDQFDESHAGERRKMLRFFNWFYFFVSIGALLAVTALVYVQDNVGRRWGYGVCAVGILCGLGVFLLGTRRYRFKKLVGSPLTQVAAVTAAAWSKRALALPSDPDMLYDVDHAAAAGTDYTIQYTQMTLLFLDHAAIIDGGESPATTASKWALCTRTDVEEVKQVVRMLPIWATTIMFWTIHAQMTTFSVAQAEVMDRSIGSGGFLVPAGSLTVFLIGSILLTVPVYDRLLAPFARRLTGNPHGLTPLQRVFVGLLLSVAGMAVAALVERHRRTAAASEHGVTLTVFLLMPQFVLVGAGEAFTYMGQLAFFLRECPKGMKTMSTGLFLSTCALGFFFSTLLVTIVHKVTVHGGGRGGWLADNLNDGRLDYFYWLLAVISAINLVLFTFAARGYVYKEKCLADAGIELADEESIAVGH >Sspon.06G0000050-3D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6D:12467933:12472432:-1 gene:Sspon.06G0000050-3D transcript:Sspon.06G0000050-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:7-hydroxymethyl chlorophyll a reductase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G04620) UniProtKB/Swiss-Prot;Acc:Q8GS60] MAPPSPLDPPPVLRLITGEVSRAPPPSTCLSLCLHASSPSSSSSSSSPGPRRSSLAFSSSSSSSKSKRMLKVRAKALREDWRQKSKPIPPGAVYPAKDYCSRCGLCDTYYVAHVKTACAFLGDGMSRVEDLEPQVHGRGRKEGMDEMYFGVYDQLLYARKTEPVQVILTTGLLQGQFWPGLDKFLKAASSEPETVMHYEFMQDYKVHLKHLDGHIEEDLVVGYMGVPKYAGVSMTQHPQYITVRNDRGREMLSLVDGLLESTPTISSGSRQPFVMETVKADDAAKLGKGPSKPAPRFVGDILAFILNLVGPKGLEFARYSLDYHTIRNYLHVRRAWGKQRAEQHIPGYAKKIVEAYDKDGRIEAMVTQSSD >Sspon.05G0016640-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:68396543:68397761:1 gene:Sspon.05G0016640-1A transcript:Sspon.05G0016640-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTVQESATDNPRKLPYELIKSITNNFSQDQELGRGAFGQVFKGVLEDGEEVAVKMLRFLGNINDQQFETEFAILKRLKHPNIVRLVGFCNEAKEELVEYNGKLIVCQRIHRALCLEYMPKGSLGKLLTGENLGKNWPIRYKIIKGICQGLEYLREGLERPIFHFDLKPDNILLNEEMMPKISDFGLSRLIGEENTKKTLTPLGTILGVIITKIITGIAGYSDVADMGAQEFVE >Sspon.03G0029320-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:20705183:20710253:-1 gene:Sspon.03G0029320-2C transcript:Sspon.03G0029320-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription initiation factor TFIID subunit 12 [Source:Projected from Arabidopsis thaliana (AT3G10070) UniProtKB/Swiss-Prot;Acc:Q9SR71] MSSSSSAATAAASSASVPAASSAAPPMPRGGVVLGVPAPRPAQTPAGYTGFVPPPPLAHQFGSMHRGPDQPPPSSHRFLIIDGLQFRQPSPGIQNVGMAGSINTSQMRPGAISGPQQPRPGLPSSTTPIPSGSQMPGSQRAPSQSLMRPMTVSSPSPSPASQQTPQSSSSTFRPQQRPQVSQPRPQQSAPVPPPQQNIILTQQHQKQQQLSSSHQNQQSTAPKNQPQLSQQPAARTPISMTPKPDSPAIPNVAVLQSVDAAATDANASETGTRLITKRSIHELVAQIDPNEKLDPEVEDVLIDIAEDFVESVTTFACSLAKHRKSSTLEAKDVLLHAERSWNITLPGFSGDEIKLYKKQHINDIHRERLALIKKSMATDTRISAAQAAANQKNQTPKPPAPASP >Sspon.03G0023320-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:94641083:94641496:1 gene:Sspon.03G0023320-2B transcript:Sspon.03G0023320-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPAALPHDGQGGPPVHGVTNTVVGVLGGGQLGKMLCQAASQMGIRIVILDPLPGCPASSVCDEHVVGSFTDGDTVREFAKR >Sspon.03G0032600-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:38554092:38558913:1 gene:Sspon.03G0032600-1B transcript:Sspon.03G0032600-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPAPWRVLEFYSGIGGMRYSLMASGVRAEVVEAFDINDVANDVYEHNFGHRPCQGNIQTLTASDLDKYKAHAWLLSPPCQPYTRQGFPHFGSWINHRVGLQKHSADARAFSFIKILNLMQDMSYPPQMLFVENVVGFEVSDTHDQLLEVLSSLNFNTQEFILSPLQFGVPYSRPRYFCLAKREPMRFRNASVNNKFLQTPMCLSLTLNSTSQGSDHQTEEELEPVCKSIKDFLVKEADGGTRNDSFLQDYMVPVNLIERWGNAMGCHIGFEILLLQNSENPEVLNG >Sspon.02G0009570-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:26884103:26887765:1 gene:Sspon.02G0009570-1P transcript:Sspon.02G0009570-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATASSLSHLLVAPKARPKTQPNPSHLHSHRITNPLPCRGRRSSLGSRLAAGDTLYGLFLLSFSPTLAELAALAGYDYVVVDMEHGPGGIPEALACLRALDAARTPAVLRLPEASAVWAKKALDLGPAGLMLPAIESPAAAAEAVETATGIAEIDAIAAVDGVDVVQMGPLDLSASMGYLWDPGNRKVRAALREAERKVLEAKKKKVAAASDGNAAYLGGFAMQNDPPEQLKLRGYHMVAGAVDIAVFQKAALNDVKRFQEAVMEIGEECDEEEGKDEKENDGYWTEEEWKVKRQLLAEKRVRSVDVKEALRLQKENNFVILDVRPEAEFKEESPAFIESNQSLQQKKRKERDQRNRWKHQQPGVDAKVGKNAKIIVACSTGGTLKPTQNFPDGKQS >Sspon.02G0035200-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:17805542:17810286:1 gene:Sspon.02G0035200-1P transcript:Sspon.02G0035200-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHCWSAWLLALCLVSVQLFVAAQNLSPPALTPPLVKEVDDLVEHIWTSCGLDRGSLEDVRKHFNYNHVFDILRTLSGKDTKDSSPETEDVSKALSPEIKNTLLNCLSKHPLVVAAQESAKNLPIDYIKMLFAMLRRDVAQGSPGAAATPAPPAVVKSNPSHSLGEPSSTKPDKNPDPPSQTTPKEKTVPQTEKTVAKKDDNSGMPTIAVIGLAVSAIALLALLCLCCCVCRANQAFSSDVRDNKPPLILNLSNLSAASLKLSQGNPIDINKLGALPLKSEAGQNGNVKLSSSEISKTEVTPAVHNSLAEPMAASTGSVPGSRPTAPPPMPPPAPAPPKAPPSSTPQAPAPLKAPPSSNPQAPAPLKSPLSSTPQAPAPHSKPTPVLHPEPSSPSAPKAAPPPKDAPQPKAAPPPPPKSTGPPPPAMPGSSKTRPPPLMKKSGNKVDDGANSHEAKTKLKPFFWDKVTANANQSMVWDHLKSGSFQFNEGKMESLFGYNSVDKTGGDGKKDLLSKDVPQFVRILDPKKAQNLAISLRALSVSPEEVCSAVKEGNELPPDLIDTLLKWTPNNDEELRLRLYTGELSQLGPAEQFLKAIIDIPYIFQRLDALLFMSNLPEEASNVKHSFATLEVACQELKNSRLFLKLLEAVLKTGNRMNVGTFRGGAQAFKLDTLLKLSDVKGTDGKTTLLHFVVQEIIRSEGIRATRAAKKQDCSVSSVDANDTDGNNMQTEDDYKQLGLKVVSNLGDELQNVRKAAILDADQLTMSVASLGHKLVKTKEFLNTSMKSLDEDSGFHHKLKHFAEQSQTDVALLLEEEKKIRSLVRGTVDYFHGSTGKDEGLRLFVVVRDFLAMLDKVCKEVKEATKVAPKKTKTPQPSQASFNDPRRHLFPAIQDQRAYSSSSSSDEDD >Sspon.01G0033450-2D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1D:111321538:111321849:1 gene:Sspon.01G0033450-2D transcript:Sspon.01G0033450-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWSKEENDRFEQALAEYYEDDDSPGVWQLVAQAVGGGKTADDAWQHYQELHGDIHDIDASERTTRRRHLYRQQHQHQHGADANANANRRNDRRRSNGGEGSSR >Sspon.03G0020210-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:81484649:81488575:1 gene:Sspon.03G0020210-3C transcript:Sspon.03G0020210-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding HMHSACTSYGPVRFQTRSCGFLTEAKACPGSTLATSWSSAPRGAAGAPASAAHARPAASSAAGWSSAVTEGSTGASPHPTAPLRSSTRTATLETATRREDAMVKGAASGTSSGRASAAATSTEKVSLSASKPNDSTGVGSGDGDGDCEMARRRVVVGAAAGPAATRVGLGVGAGLEPGPAGVPESRRGEEDEERDGSMTTGRTGEAETGSRAAKEDEQRGNTRVEERSFIVLHLTAAHGAAPALDVDGNVRPKLSVGRDKCHWRTGPAWLALWNCSVGDAVEEDDTVVAWRPTDMWAWTSPWRGFQIPRR >Sspon.06G0014410-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:62371357:62373911:-1 gene:Sspon.06G0014410-2B transcript:Sspon.06G0014410-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKENGAAVVAPAAGEKQQQAPPQLMSVKRGEATLVPPAEATPTGGQYYLSNLDQNIAVIVQTVYCYKGDGKGDVAGALRDALARVLVHYHPLAGRLGISPEMKLTVELTGEGAVFVEADAACDLAEVGDLTKPDPAALGQLVYSVPGAKHILEMPPMTAQVTRFRCGGFALGLAMNHCMFDGIGAMEFVNSWAETARGVAELTVPPFLDRSVLKARDPPVHTFPHHEFAEIPDMSDTAALYGAQQLRYRSFCFDPDRLERVRGLALADGALQGRCTTFEALSGLVWRARTAALGLAPEQRTKLLFAVDGRRRFAPPLPRGYFGNGIVLTNALATAGELLSAPVSRAAGLVQDAVRMVTDEYMRSAVDYFEATRARPSLASTLLITTWSRLEFHGADFGWGEPVMSSPVTLPEKEVILFLAHGKERKSINVLLGLPATAMDAFQELMDDI >Sspon.08G0010290-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:43224599:43225176:-1 gene:Sspon.08G0010290-2D transcript:Sspon.08G0010290-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding WRLGEEAVQQAVGRRLSPVPRSCALAVLLLAAAIPAAEAGTTYLVGDAAGWTLRPKVDYAQWVAGKTFLAGDILVFKYNTTYHDVTWVSKGGYRKCIVSPKGRAPVYHTGYDAVALPRGTHYFICGAPGHCSAGMKLAVTVY >Sspon.03G0012070-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:43492660:43496811:1 gene:Sspon.03G0012070-2B transcript:Sspon.03G0012070-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding TMLLRRPLQTLTLPLLRRHFAAAAAEALVSPHQAVDPLSPPYDYLPGHPRPDAKHDEVILAVPRASSGRHVSAKERKAGRVPAIVFEQENGQEGGNKRLVSVQSKQIRKLVDHLGRSFFLSRLFRLQVWSEHAGQGELIESVRVLPRQVHLHAGTDEPLNITFMRAPSSALLKIDVPLMFIGEDASPGLRTGAYFNTIKRTVKYLCPADIVPPYIEVDLSELDVGQKLLMRDLKVHPALKLLQSPDQPICNIIGSRAPEQKKGK >Sspon.01G0015360-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:45474518:45477475:1 gene:Sspon.01G0015360-3C transcript:Sspon.01G0015360-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDNLMDKVNALGERLKISGAEVSRKMSVGVSNMSFKMKEFFQGQNMADKIVDEATLETMDAPDWATNLEICDMVNTDRVNSVEVIRAVKRRIMLKNPRVQYLSLVLLETIVKNCDKAFSEIAAERVLDEMVKLIDDPQTIVNNRNKALVLIEAWGESGDDLRYLPVYEETYKSLRSRGIRFPGRDDESLAPIFTPPRSVPVAEPYSEVAQEGYQEIPDESFAPARTAPAVQVNEAFEVARNSVELLSTVLSSSPQKEVLQDELATTLVQQCQQCQYTIQRIIETAGDNEAQLFEALSIHEELQKVLSKYEKLKEPVCVELEPEPAMIPVTVEPEESPRTVSKEDAHVRKPGGSGDQSGRDDLLQDLDDMIFGKKGATSSHQDTTPRKDKDDFISF >Sspon.05G0022850-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:7368536:7369244:1 gene:Sspon.05G0022850-2C transcript:Sspon.05G0022850-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AQESWNHHKIKEDLLDSSVGQPEPEILLQLERCVQVGLLCVQQSPADRPSMAEVVAMLTTNGSSSSSQVRRSDSSAAAVMEVKKDG >Sspon.05G0015700-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:5A:61715508:61715992:1 gene:Sspon.05G0015700-1A transcript:Sspon.05G0015700-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GEFVIEKIVYEKDKVLITGPFDADKLSSKLWCKAGRIIKNIDVAKPPPKPDPKPEPKPKPEPPPCKLIYPYPYPFPCPQPGAWPCSCPIPHCGCQPKPPPEPPAPQPPPPPPPVPPKPPACQCPTWPSCYCSGYPPYLPPTTMPYPMIVCDDSPPYGACTV >Sspon.01G0051770-2D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1D:582819:584192:-1 gene:Sspon.01G0051770-2D transcript:Sspon.01G0051770-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPILLLCLSLLARAEAASRDARFGGTVLPLRAQQLVVAPTQPPAANRLRFRHDVSLTVPVAVGAPPQNVTMVLDTGSELSWLLCNGSRVPSASASPRAPAAFNGSASSTYAAAHCSSPECQWRGRDLPVPPFCAGPPSNSCRVSLSYADASSADGVLAADTFLLGGAPPVRALFGCITSYSSSATASGNGNGASATNSSEAATGLLGMNRGSLSFVTQTGTLRFAYCIAPGDGPGLLVLGGDGAALSAPQLNYTPLIEISRPLPYFDRVAYSVQLEGIRVGAALLPIPRSVLAPDHTGAGQTMVDSGTQFTFLLADAYAPLKGEFLKQTTALLAPLGEPDFVFQGAFDACFRASEALVAAASQLLPEVGLVLRGAEVAVGGEKLLYRVPGERRGEGGAEAVWCLTFGNSDMAGMSAYVIGHHHQQNVWVEYDLQNSRVGFAPARCDLATQRLGARA >Sspon.01G0050790-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1C:7781659:7781913:-1 gene:Sspon.01G0050790-1C transcript:Sspon.01G0050790-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding METFHPVFTAVWLQNEQSRAILGGNIRVRFGMLARSNQLNLLVLLNAILLRGRLSHAQLATQEQGRDSMRAEQRRPLRRASSIT >Sspon.05G0003040-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:5020765:5022296:-1 gene:Sspon.05G0003040-2B transcript:Sspon.05G0003040-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTAAASAQRRWLPWARRQAAAYLAAAGGGDDGWAASAVRVVVCFLAMALTTAVWAVLMLLLLPWPSQRIRQSNVYGHVTGRMLLWILGNPIKVEGAEHLNTRGIFICNHASPLDIFLVMWLAPTGTVGIAKKEIIWYPLFGQLYVLANHLRIDRSNPAAAIHSMKQVARAVVKNNLSLILFPEG >Sspon.08G0006890-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:18849519:18860393:1 gene:Sspon.08G0006890-4D transcript:Sspon.08G0006890-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding EDGARERDAEPWEGYVDWRNRPATRGRHGGMGAASFVLVVEVLENLAFLANASNLVTYLMGFMHYSPSQSATTVTNFMGTAFLLALLGGFLSDAFFTTYTIYIVSAFIEFMGLVILMVQARTPSLMPPQCAAKPAPCEPVSGAKKAMLFAGLYLTALGVGGIKGSLPSHGAEQFDEHAPRGRKGRSTFFNYFVFCLSCGALVAVTFAVWVEDNKGWQWGFGISTIAILLSIPVFAAGSRLYRNKVPTGSPLTTIAKVLVAAALARRRGGCGYAQSAISNGAVIDRAPSPTGSTDMKEYCGKPGAGDMTISDAAAIVAAAAEPSHELAFLNRAVQCQPPRHGRLACTVQEVEDVKIVLMVLPIFFSTIMLNCCLAQLSTFSVEQAATMDTRVGSLTVPPASLPVFPVTFIIILAPVYDHVIVPFARRVTGTEMGITHLQRIGTGLVLSIVAMAVAAVVEVKRKNVAASNGMLDSAKPLPITFFWIAFQYLFLGSADLFTLAGLLEFFFSEAPPRMRSLATSLSWASLALGYYLSSVLVSIVNSATGRGDHRPWLQGASLNHYHLERFYWVMCVLSTLNYLFFLFLAIRYKYRNAGVIKG >Sspon.02G0005540-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:17169311:17172380:1 gene:Sspon.02G0005540-2B transcript:Sspon.02G0005540-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GMASPAPADGANGGLTDPLLVSANGHGAAPRKAAHGAKGKYWVASDKAERRAAKEAGGEDGRALLFRKYKVKGALLHPYRLLIIIRLIAVLVFFAWRIRHNKSDIMWFWTMSIVGDVWFGFSWLLNQLPKFNPVKTIPDLAALKRHFDFSEGTSRLPGIDVFVTTADPIDEPILYTMNCVLSILAVDYPVDRLACYLSDDSGALILYEALVEVEKFAPLWVPFCRKYSIEPRAPESYFEHVAPPQAGRVTQEFLNDYRRVQMEYDEFKVRLDNLPDTIRKRSDVYNSMRAAEGDQKATWMANGKQWPGTWIDPTENHRKGHHAPIAQVVLDHPSRGQHHESNLSIGTTDERLPMLVYVSREKNPSYDHNKKAGALNAQLRASALLSNAQLVINFDCDHYINNSQALSSAVCFMLDQRDGDNTAFVQFPQRFDNVDPTDRYGNHNRVFFDGTMLALNGLQGPSYLGTGCMFRRLALYGIDPPHCRAENITAEASRFGNSTIFLDSVSKALKNDRSITPPPIDDTFLAELERVVTCSYDNGTDWGKGVGYIYDIATEDIVTGFRIHGQGWRSMYCTMEHDAFCGVAPINLTERLHQIVRWSGGSLEMFFSHNNPFIGGRRIQPLQRVSYLNMTVYPVTSVFILIYALSPVMWLIPDEVYIQRPFTRYVMYLLLIVVMIHVIGWLEIKWAGVTWLDYWRNEQFFMIGSTSAYPMAVLHMAVNLLTKKGIHFRVTSKQTAADDNDKFADLYDFRWVPMLIPTMAVLICNVGAIGVALGKIVVNIETWTAAKKMHAALGLLFNIWIMFLLYPFALAIMGRWAKRPIILVILLPVVFVLVAFLYVGLHVLLAGVIPL >Sspon.02G0011780-3P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6B:3437157:3439973:1 gene:Sspon.02G0011780-3P transcript:Sspon.02G0011780-3P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding QAVAKNRSKARCRENESPTARNHPHPSMADPYTSFFKNSYYYYYASSYPAAPPPHHLPPPLPPYTTLYPAAAAAPQYPACFFQAPPTTLPPLHDSPPSPPLREALPLLSQSPTRAASRAQPLLHVAVDSDSDDDADDFVLREAVGSSVTPSTRAPLFADLNCMPSCCDDGDGDPMDLEAAAPTDDDAAVALRIGLPPAPVNGGCGGAEADLLSGLSGRGACGGMEPEEDEDECKVDTGDGEEVVPLGFASTAIGRLNKGQYWIPTPAQILIGPTQFSCPVCYKTFNRYNNMQMHMWGHGSQYRKGPESLRGVQPTAMLRLPCYCCAPGCRNNIDHPRARPLKDFRTLQTHYKRKHGLKPFLCRKCGKAFAVKGDWRTHEKNCGKLWYCLCGSEFKHKRSLKDHARAFGHGHGAFGCNLDGGSGADGLDDDDDGA >Sspon.06G0003430-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:8616861:8622343:1 gene:Sspon.06G0003430-4D transcript:Sspon.06G0003430-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLVPGVLLKLLQHMNSDVKVAGEHRSSLLQVVSIVPALAGSDLFTNQGFYLKVSDSSHATYVSLPDEQHDLILSDTIQLGQFVHVDRLEVATPVPILRGVRPVPGRHACVGNPEDLVVTSSSSFLGSGGKAQPSVNGGGSKDAVGALSLEKEQSKLEKINASVKSNGTESKKPQLTESNSNLSKQALNGLLDKDGLSSKAKSTSARSTPSSPTSLHSLPASFDKFSNDMKQRVKTKGAEKSSPSRLSLLEKAASVLKATTAGRKSSVGNSISNTLLSFESGPKALRRSWEGKADAKDKGNSDSKPAKAEKKSENRSSSTPRRKPVAEEKPLHKDDSKILTPPRKSSASAPADDSDKVVNKLSSPIRRTSGVLNNPNITNLVKLAASSRKLTDASTSWTTLPPSLAKLGKLSKNCNAKFYDPEASSYAEVSSSAEEQNPQQGVEQFLALHGALSRATIVTESLTKATATASTATSPDQSAAGDASTADEETLAVAAERRRRATSWVGAGLATDLSAFSLYNLKPAPASAISPLAVVLVDESAKPAAAAAAAKASSPAKSRLSPAKGRLRAGSAAAAAAAAAAAAAVPPPEWERGGGAEERGELARRLGEEARGWFLAFVERFLDADVAAAAPWDRDRAARMLPQLKRVNDWLSEIGKPAEMAPHPPPEADGEAAAHTAKGGAASGVPEETIERLRKKIYEYLLTNVDSAAAVLGGGEVAPAPVANGKKG >Sspon.02G0010140-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:28170838:28172392:1 gene:Sspon.02G0010140-1A transcript:Sspon.02G0010140-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNYSDHSIHILLLPYPSQGHINPLFHFARRLAGHSGVRCTLAVTRFVASSTRPATGSVHVAVFSDGCDDGGPDGVGGHRGPYFERLSSAGPGSVDRLLRSESELGRPVHVVVYDAFLPWAQSVARRRGAACAAVLTQTCAVDVLYTHLLAGRIPSPPVREELPPELAGLPVPARTDRPADNRPPGLLELLTSQFLGLGTADHVLVNSFYDLEPQEADYLASTWGAKTVGPNMPSANLDHHLPADDDDNVSYGVHLHTPMATECKAWLDAHPPVSVVYVSFGSIASLGARQMEELAEGLCSSGMPFLWVVRATETPKLPKNFAREAKARGLVVPWCPQLDVLAHPSVGCFVTHGGWNSTLEAISSGVPIVAMPHWSDQPTNAKYVQDVCGASACGYGRIPMEWKEVERCVRQVMEGERCEEFRLKALEWSKKARKAMSSGGSSDINISDFLSKFKSHK >Sspon.06G0016470-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:89105112:89110447:-1 gene:Sspon.06G0016470-1A transcript:Sspon.06G0016470-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAAEADAEAVRAAATEVLLLDHDGHNHEALARARDLMLAHQDLAVTHRLLGELHYAAAVRAARGDGTAEARKAAATPHLRVARDALAAARRLAPDCVDIAAALGDAFAASRMFKEAEVEYLRARRIPRPSDPALHNASYGMFEGYEHERDPDFASERVEEARDRARASYARMTVEELVPMAIHRVLEAGRQLGAADGRKRAKLVAETFPNLGRAQYLAAYMDLEFVRSLDAAIDKRPFLRRTLVLTERTARDYPKSAVIASFHAKLLFVLGEYDAAETECRRALEMKEPDDPQQDCIPVGSISGDNRGASEVFKWLFYAPSSGVRPKPFPEIREKKCEKGRMLLESIKDKMKTLPADRSTTEFAKAIPEIQEGWHKFLKSSALDYREAILELARSFLWRELKKCMTEDPELASKPISAADIDAIFTKEVVNPASNAVESCQTEGALMVSGNPQESNVHGEGESSENLRKNTESPDPAISVVESETDLAAKLESVVHVEHESSDSPISRNGLDEKTDPKLGDNNKESGVQLEGGEPSEATVTGAESSGQPTSTVEGGSDLHTKLEKLQIGPS >Sspon.06G0009960-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:53850089:53859943:-1 gene:Sspon.06G0009960-1A transcript:Sspon.06G0009960-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATIQDIGLSAAINILGAVVFLLAFAFLRLQPINDRVYFPKWYLKGARESPSHGGTFVRKFVNLDMRSYLKFLSWMPAALKMPEDELISHAGLDSAVYLRIYLVGLKIFAPISVLAFIVLVPVNWTNDTLRFSKVQHSNVDKLSISNIPVGSKRFIAHLAMAYVFTFWTCYVLLHEYGIVAKMRLRFLSSEKRRPDQFTVLVRNIPPDPDESISELVEHFFLVNHPDHYLTHQVVYNANKLAKLVKEKAKMQNWLDYYQLKFERNASKRPTTKTGFLGCFGTKVDAIEYYTSEIERIENEEAEEREKIVKDPKSIVPAAFVSFRSRWGAAVCAQTQQTSNPTVWLTEWAPEPRDVYWDNLSIPFVSLTVRRLIVAVAFFFLNFFYVIPIAFVQSLANLEGIEKAAPFLKPLIEEPTIKSFIQGFLPGIALKIFLILLPSILMFMSKVEGLTSISSLERRSASKYYIFIFFNVFLASIIAGSALEQLKSYIHQSANEIPRTIGEAIPMKATFFITYTMVDGWAGVAGEILRLKPLVIFHLKNFFLVKTEKDREEAMDPGSIGFDSSEPQIQLYFLLGLVYAAVTPFLLPFVLIFFGFAYVVYRHQIINVYNQEYESAAAFWPSVHGRIITALIVSQLLLLGLLSTKGAGQSTPVLLVLPVVTFYFHKYCKNRYEPTFVKCPLQEAMKKDTLERAREPGFDLKGYLMNAYIHPVFKGDEDDEKFSIADEPETEQVLVATKRQSRRNTPVPSKYNGSESPSLPEIVNDQRL >Sspon.04G0016660-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:67226387:67229090:1 gene:Sspon.04G0016660-3C transcript:Sspon.04G0016660-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MCRIEAEELSLDASEIVIASTRQEIEEQWNLYDGFEVILARKLRARVKRGANCYGRFMPRMVIIPPGVEFGHIIHDFDMDGEEENPSPASEDPPIWSQIMRFFTNPRKPMILAVARPYPEKNITTLVKAFGECRPLRELANLTLIMGNREAISKMHNMSAAVLTSVLTLIDEYDLYGQVAYPKHHKHSEVPDIYRLAARTKGAFVNVAYFEQFGVTLIEVRFFEVPIYCCCYHSICILIITNCYIHVQAAMNGLPIIATKNGAPVEINQVLNNGLLVDPHDQNAIADALYKLLSDKQLWSRCRENGLTNIHQFSWPEHCKNYLSRILTLGPRSPAIGNREERSNTPISGRRQIIVISVDSVNKEDLVRIIRNAIEVIHTQNMSGSAGFVLSTSLTISEIHSLLLSGGMLPTDFDAFICNSGSNIYYPSYSGETPNNSKITFALDQNHQSHIEYRWGGEGLRKYLVKWATSVVERKGRTERQIIFEDPEHSSAYCLAFRVVNPNHLPPLKELRKLMRIQSLRCNALYNHSATRLSVVPIHASRSQALRFVNVYSSLSFLQLPVYISL >Sspon.02G0028660-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:81250569:81253634:1 gene:Sspon.02G0028660-2B transcript:Sspon.02G0028660-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:WD repeat-containing protein VIP3 [Source:Projected from Arabidopsis thaliana (AT4G29830) UniProtKB/Swiss-Prot;Acc:Q9SZQ5] MKLAGLKSVDGAHEESIWAAAWAPAADHRPTAVLLTGALDETVRAWLPDDLAALGSPARGHALGVVSLAAHPAGALAAAVSLDSFIRVFDVDTGASVATLEAPPSEVWGVQFHPKGNALAAAGGGSGSVKLWDTEKWQPITSLTVPRPEGARPDRTGSGKFVLSVAWSPDGRLLACGSMDGTIAIYDAVRMKFLHHLEGHHMPVRSMVFSPVDPHVLFTACDDCHIHIYDAKEKSLIGAMSGHASWVLSIDVSPDGLAVATGSSDRTVRLWDINMRTSVQTMSNHSDQVWAVAFRPPGGAGIRAGRLASASDDKSISLYDYS >Sspon.08G0019030-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8B:10018453:10019224:1 gene:Sspon.08G0019030-1B transcript:Sspon.08G0019030-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMITERSWSGLRRGASTHALSLRLQHHGRGRARGAARAPSPSSSLDYDVVVILAAMLCALVCALGLNSMLQCVVRCTRRAVSDPVGWVAHRRANAGLKREEVVALPVATYVASPSPAAAGAGRSPAQQQHAPAGCAICLSDFADGERIRVLPVCGHRFHVVCIDRWLVSHCSCPTCRRRLSSDSAVGGHDRLQ >Sspon.03G0038330-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:5349132:5351861:1 gene:Sspon.03G0038330-1P transcript:Sspon.03G0038330-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADEIDTRPASAGSRGRPAHATEDDDREEGEIADDAPAPALPATHPLEHSWTFWFDNPQGKSKQAAWGSSIRPIHTFSTVEDFWGLYNNIHHPSKLAMGADFHCFKNKIEPKWEDPICANGGKWTISCGRGKSDTLWLHTLLAMIGEQFDCGDEICGAVVSVRGKQERIAIWTKNAANEAAQISIGKQWKEFLDYKDSIGFIVHDDAKKADKGPRNRYTV >Sspon.04G0022960-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:9631415:9633292:1 gene:Sspon.04G0022960-1B transcript:Sspon.04G0022960-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSERKRGAGTRKDEVVTREYTINLHKRLHGCTFKKKAPNAIKEIRKFAQKAMGTTDVRIDVKLNKHIWSSGIRSVPRRVRVRIARKRNDEEDAKEELYSLVTVAEIPPEGLKGLGTKVVEDED >Sspon.07G0033810-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:66188944:66189813:1 gene:Sspon.07G0033810-1C transcript:Sspon.07G0033810-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding HGHAAALSPAVHPPLAGPPRGRGVLHAPPRRRTFPPLPRPILSPSAPARPPPTRPPLPIPPCLGLLPRRPLRVSPVPAGSAEEPATHPAAASRPRRRAPLPRCLRRRRGKRARRLLRSPRPAPRLAPLRASLPPPPRPHRHPGLPARTPSAPPAPPLRSAPRCPSSASSFYPRSGPSLAPLPWSNRPQASRRSAGAAGSSLAPALQPSHRSWSSQPGLGSRSGASPVWLPRRTTLGLGGRGMAPVVVKAVAGTALLAVMMLYGMVANVVLYMHCRAMHGELAGEIYNEFA >Sspon.01G0039890-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:37221930:37225246:1 gene:Sspon.01G0039890-1B transcript:Sspon.01G0039890-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAEAEGTPPPQPAAGEPATTARAQPISAAQFLSWKQRKDAEEAARKAEAAQKRAADIASGAVQMNGRELFQHEPWVFDNNIY >Sspon.02G0020320-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:66379410:66380449:-1 gene:Sspon.02G0020320-1A transcript:Sspon.02G0020320-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RTIRGNSMLGGAWPFAPSLRLYPPPPPPKKERKVSLNWRAKRSFFHLGPTLNVENITEYRRKGMWVMKSWRESIQVKTSRMEGETTGLFVLEGSCAPPDFPHLPSPHNCFKRGERSEAKAARRANKAVVSTPSTARLDSPAASAHSAYPPPGPGPRAAWRARSPSAVAAADRTVRFSAPSVRVALHLFSPRNRCPFSRFPAHACSVARRNVVARIFEPLVS >Sspon.06G0021090-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:28184528:28185311:-1 gene:Sspon.06G0021090-3D transcript:Sspon.06G0021090-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding WCLSGVTKQRRRRWTGSAHRPAATTTRSGTSRSHSEAERKRRQRINAHLATLRTLLPAASRMDKAALLGEVVRYVRELRGEADAAAAGAAVAVPGEGDEVGVEEGQHCFCHGGERERERAAASANTRRVRAWVCCDDRPGLMSELGRAVRSVSARAVRAEIATVGGRTRSVLELDVGGQHDGEGTSTSSRPALQAALRAVLLSREEMLGAECYKRQRFSAHLARV >Sspon.02G0045250-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2B:108597543:108600121:-1 gene:Sspon.02G0045250-1B transcript:Sspon.02G0045250-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVRHLTSAASDHGPIELRWAERTNSNARRQKKQFRYELMWESHTGFRDTLSQAWESQPPALDLKGLQKKMVAISKHLGGWETSTFGNVKRELKGLKEDLERLRSDSHRLGPSHEEIKIADRIVELNHREEIMWKQRSRVTWLTEGDRNTCFFHLRASQRRRNKISKLKMADGSFTEDETVMASVTTEFYHSLYTAEGTTNTDQLLDMVPTKVTGETNDTLLKPIGPEEVKNALFQMFPTKAPGPHGFPAHFFQKHWDLCSNEVTTVVLRVLHGVDDPAKLNNTNIVLLPKMENPDELGQFRPISLCNVMYKIASKMVANRLQTVLPEIISEEQAAFVSGRLITDNIITAYECLHYMKKKRARDTYECLHYMKKKRARDSRFCALKLDMRKAYDRLEWDYLKKIMIRMGFQRLWVDMIMRLVCSVILNGNKLEGFKPSRGIRQGDPISPYLFLLVAEGLSCLLNFVLGHQISWAFGWLLRLRWENAETVKNALDLHCRASGQQVNLEKSSIHFAKGCSNATRNEIKGVLQVFNETLSERYLGMPTNVGSSVTGAFSYLKDRVWKNVQGWMEQSLSARGKEVLIKAVTQAIPTYSMACFRLPRGLCKHIDSLLRDFWWGSKEGKRRTCWVAWDDMTVPKGSGVLQQGLIRRIGSGESTKIWESLWLPRDGMLLSLRLTKDNPPQWVSKLIDQSSRSWNRQLLREFFSPLDWEIIENIPLSTTPQDDFWAWHYEKKGIFSVQSAYGMLV >Sspon.01G0002920-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:7868784:7875301:1 gene:Sspon.01G0002920-1A transcript:Sspon.01G0002920-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLPKSLLLACLSRPLRHRPQLPLLHPLPPQPPRPGPRRLSFSTQTLAPGPPPPPPAPDAASTAEPAGLALLEAAELRESEGDHQEALGLALKALAPLQASHGGWSVPVARALRLAGAAAARTGSLCDALESLGAAAEIVDYLAPARREGVPKEVAAVGAAVYEQLARAKTAMGHRWDAVGDLQRALDLKLRCLEGGSVELGDAYRDVAEAYAGVLDFDKALPLCSKALGIAEGQFGEDSAEVAKLRQLLMAIYTGLGRHTEALEQIELARMVYERLGLNVELSQAEIDGANIRILLGRSDEAMNDIKRVMQRADKESEERALAYVTMAKILISEERVSDSKRCLEIALGIIDAKDSIDPGRFAEAYAEISMLYESMTQFEMALSALDMSLSLMKKTLAILESAKEMHHIEGSISARMGWLLLHTQKADESVPYLERAVDKLKNCFGPRHFGLGFAYRNLGQAYLEMDQHQSAVKFYRLAIDIIEATFGPTHEDSIDTKQSLANAYGLMGSYKLAIDFQEQVVDAYSRCGSGAFEDLREANRLLEQLKKKAQGLPHAVFPAYSLPVPQPGNQVRSLSHNTYVGSNTLMCCITLQKELIDLTLKGLAKILTATFPHKR >Sspon.02G0013540-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:33576925:33579212:-1 gene:Sspon.02G0013540-2B transcript:Sspon.02G0013540-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lysin motif-containing protein, Pattern recognition receptor, Peptidoglycan and chitin perception in innate immunit [Source: Projected from Oryza sativa (Os09g0452200)] MRAAAASLRLLPHLLLLVLLLLSAVPNAVSKSTLESCASSTACPALLSYTLYADLKLAELAALFAADPLAILAANAIDFAVPDPADRILPAGLPLRVPVPCACSDGIRKATSVRYVARAGDTLASVAGTVYGGLTTPDWIRDSNGMLEDAALDAGTTLFVPLHCACFGGVDSGAPAVYLTYVVAEGDTVPAIARRFRTTGNDLMSVNDMATADVAAGDIIVVPLPACASSFPAFTSDAGLAVANGTYAITANRCVQCSCGPGNLDLFCVPAPLADSTCSSMQCSNSSMMLGNFTLQMTSAGCSVTSCTYGGYVNGTILATLTTSLKPQCPGPHQFPPLIPPPTSSFFETYLGPSPTPMASEGSIGPQVAGMAPTSSPPVSSGPPMAGSHGSDRLALVALCLVASLLW >Sspon.02G0012190-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2B:30701403:30701726:1 gene:Sspon.02G0012190-2B transcript:Sspon.02G0012190-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAVAAPVVIGLDAEVGSGGDGGNAQLEVPPVEVVRKGAPLVEGIPVAVTAGGDDTEKEVKGEKGVNPVKWLGLYSSAQTSLIVGDGDFSFSLALATAFGSGANLVA >Sspon.04G0034910-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4C:79926980:79927439:-1 gene:Sspon.04G0034910-1C transcript:Sspon.04G0034910-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding KEEPVLVGCQSGKRSELACIDLQAAVRTVHNIVTDILYYQQLAYSDAHNIRLQGFKNVKNMGGGYLAWAHHGFPVHHPLPAPGAGDMEHDASPRPPTPPPAPSNQA >Sspon.07G0017190-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:66155004:66159463:1 gene:Sspon.07G0017190-2B transcript:Sspon.07G0017190-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein NAP1 [Source:Projected from Arabidopsis thaliana (AT2G35110) UniProtKB/Swiss-Prot;Acc:Q5S2C4] MLQDLRKHWLSILMIVTSSRSSINIKHLEKATVSTGKEGLVSEGNAAYNWSRCVDELESQLSKHGSLKKLYFYHQHLTTVFRNTMFGPEGRPQHCCAWLGAACSFPECASAIIPEEVNKIGRDSISYVESLIESIMGGLEGLINILDSEGGFGSLEMQLSPEQAALRLNNTTRAKGFSSLLTPGHESYPDNSSSIKMLEAAMQRLTSLCSVLNDMEPICVLNHVFVLREYMRDCIVGNFRRRFHSMIRTENCIQRPSIIESLLRRHLGIIHLAEQHISMDLTEGIREVLLAESYTGPFPNLQMFETPVGTQGGGSAVEMICNWYIENVVKDASRIGVAFDAIQNCFRSSQPIGGGCLAEAFTDKRELKSLVRLFGGYGIDKMDKMLREHTSALLNCIDSALRSNRDALEGLAGSVNSGDRIERDANLKQIIDLETLADLCIQAGQAITFRRLLVESVGAVLEEKVPLIYSLLKGLALQLPDEVPDKNEIIRLRKVASSVGVGDKHDAEWVHSILAEAGVANDNSWILLPYLCAAFMVSNIWNGAVYDVNIGGFNNNLHCLARCVSAVVGGSEYTRVEREQRINSLSNGHTDELQEAELPSRVSAEANIKSSMQIYVKLSAGIVLDSWNDTSRPHIVPKLIFLDQLCELSPYLPRSTLEVHIPYTILRSIYHQLYGASLMASEPMEQSPRQSPLISLAHASPSARQNRPETTPRSHTFEPSYYSSLGSQHDDGYDVDKRTRLLRSMRRSGPLDFSVSRKAKFVEGSSSGSSHGAGSLQRFAVSRSGPLSYK >Sspon.05G0019430-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:77994721:78006127:1 gene:Sspon.05G0019430-4D transcript:Sspon.05G0019430-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LLLSLLFETGLQFGVMSRHLLISKTVTMVAKQDMKFVMLMPWAAIILLFSCGAGTINCMTLNGNDTDFVSLLDFKRAIINDPKRALSSWNTTTHFCSWEGVVCSQTHPERVEKLNLSGQALDGHISPSLGNMSYLIYLDLSRNMFSGYIPPHLGYLHKLKLLDLGNIPDAVTNCSSLLGLYLDRNLLTGEIPKKLVLLSKLLDLWLQSNNLSGVIPPNIGNITTLEYIFLNSNQFHGSIPEELGKLSNMSNLSLGANMLSGRIPEALFNLSLLQQLAMPVNLLHGPLPSNIGDFLPNLQYLLLGTNMLGDHVPDSLGNASELQLIDLGYNFGFTGKIPPSLGKLQKLRTLYLFHNNLKAEDSQGWEFLDALTNCTLLERLRLDGNQLQGVLPNSVGNLSSNLEYLMLGSNMLYASVPSSLGNLHKVTLLDLSLNSFTGPIDGWIGNMVNLEGLFLHRNTFSGHIPDSIGNFSKLSQLFLTANQFQGPIPSSLGKLQQLSILDLGYNNLQGNIPKDLIAATVVQCSLSHNNLEGQIPYVGNLLQLSSLDLSSNKLTGEIPPSLGTCKQLQTVIMDSNFLSGSIPAFFGQLGSLTMLNLSYNNFSGSIPISLSKLQLLAQLDLSHNHLDGKVPTEGVFKNTTAVSLEGNWGLCGGVLDLHMPPCPNPTQKIIGWRHYFLRIAIPIIGIRAIINDPKRALSSWNTTTHFCSWEGVVCSQTHPERVEKLNLSGQALDGHISPSLGNMSYLIYLDLSRNMFSGYIPPHLGYLHKLKLLDLGKNSLQRNIPDAVTNCSSLLGLYLDRNLLTGEIPKKLVLLSKLLDLWLQSNNLSGVIPPNIGNITTLEYIFLNSNQFHGSIPEELGKLSNMSNLSLGANMLSGRIPEALFNLSLLQQLAMPVNLLHGPLPSNIGDFLPNLQYLLLGTNMLGDHVPDSLGNASELQLIDLGYNFGFTGKIPPSLGKLQKLRTLYLFHNNLKAEDSQGWEFLDALTNCTLLERLRLDGNQLQGVLPNSVGNLSSNLEYLMLGSNMLYASVPSSLGNLHKVTLLDLSLNSFTGPIDGWIGNMVNLEGLFLHRNTFSGHIPDSIGNFSKLSQLFLTANQFQGPIPSSLGKLQQLSILDLGYNNLQGNIPKDLIAATVVQCSLSHNNLEGQIPYVGNLLQLSSLDLSSNKLTGEIPPSLGTCKQLQTVIMDSNFLSGSIPAFFGQLGSLTMLNLSYNNFSGSIPISLSKLQLLAQLDLSHNHLDGKVPTEGVFKNTTAVSLEGNWGLCGGVLDLHMPPCPNPTQKIIGWRHYFLRIAIPIIGI >Sspon.08G0012310-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:51287802:51289471:-1 gene:Sspon.08G0012310-3D transcript:Sspon.08G0012310-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNAISAAAALCAAISAVDLVNFLDARQGRATTRVAAQAPPLHSGIRDLLLLASAAGFSISVAFIHRHLHRGAAAGTANRRLPEIVSFMLCICAGVLHFFLFVLTPGGADVDHGAQAQELGLAALRVLPAAATATFFLGTMLIVAAHIRAGGEGGGGAVAVAGEEPIQAPLGLRLLSRMALAAAAGLICLMAIAFYGAY >Sspon.08G0008200-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:26673029:26678989:-1 gene:Sspon.08G0008200-1T transcript:Sspon.08G0008200-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGEAAAAAEAVARIRLVRCPKCDKFLPELPAYSIYVCGGCGATLQEEEFSQSSHDADNGNVKYLEVLEHVPEASARKHGASTTDRSETSKMADVHSKPVYGNHDSIQTGPSPSNLNTSIRDDGKEAKYRHIRYWENGEMGQSLRVRDMSPKSPANAYRDECHSKQRYNYSTKECPGERNLDGPSRVRGLEKDRAEILRMLDELRDQVQQSCDATSGPSGSAPTTTAAYSHISYGTSDRLSQLRHVAPQLHQNGSHHTPSLNVRSPSVPRVYAPLPAQQDCVGYAEPISHTRGSSYPASLYPWRNFDNYFFGQHDPDSLLSCHHDGFYHQAACSCLHCCHQEFLPIKGNHMGFNDQRAPYLLNSYGAYPVDSALVGQQRYSRRGINTTLQQNHMRVNVSKKPAQTCEPVACGAPFTICCNCYEVLQIPRKQSLSGNEYKLRCGSCSHAILVKLDGNRLNVSEIALSTHLSDGQENDMGTNGQTPDNRSIPAYRFSVGSPASQEKDLHSNLSESENTSQSRDLPPEDSAVSHVPNLPHRSHSGSSPSEHSGVGSRSTHSDLKNSKQNSVKGTCVSNKMQSPNNEFDVPEYTDDTLNAQQDTDHPRATKASDSFLTNLIKRSFKINNGMRNGRARVYVNGFPISDRAVRKAEKLAGSICSGNYWYDYHAGFWGVMGRPCLGMIPVRASGMYFFHRFNLYCDDQSSNWICCLQPYIPEFNFPMPKNCAGGNTGRTSQKDLDLLVTRGLSDSPGRSYVVENSGKVSDEVSGEELYGLGKLAPTVEKMGRGFGMRVPRFIL >Sspon.04G0005810-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:17071950:17072906:-1 gene:Sspon.04G0005810-3D transcript:Sspon.04G0005810-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARASSPPLKVGFYEHSCPQAEDMVRNAVRRAVARDPGLAAGLIRMHFHDCFVRGCDASILLDSTPGQPHEAEKYSPANFPSLRGFEVIDEAKAIVEAHCPRTVSCADIVAFAARDGAYLAGGIDYRVPAGRRDGRVSVKDEVLKDNLPFPDSTVAGLIESFRRKGLSADDMVTLSGAHSIGRSHCSSITDRLYSFQGETGRTDPALHPAYAADLKRRCPPPTDDNTEDRTTVPLDTVTPNTFDNQYFKNVLTHKVPFTSDQTLLDSPWTAGLVAFHAAVGQAWEAKFAKAMVKMGAIEVLTGHEGEIRQKCSMVNYY >Sspon.02G0011160-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:24222468:24224417:-1 gene:Sspon.02G0011160-3D transcript:Sspon.02G0011160-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTAVAEVRPPYGFPGSGKGSGGGHGKEADMVAVGKRRSDGFFIEEEAEEDVLTDTSSIGAPSPSSSSIGENSSSEAGGDDGEEEVESKLKEGDALGCLDALEDSLPIKKGLSSFYSGKSKSFTSLAEATSTVAAAKELLAKPENPFNKRRRILANWSRRASCSSLATATYLPPLLGPDHAVAEGDEGEEDDSDDDVEYSQLPHRGKNVRDAPALPLPPTRLGGVGMQRRNGLGSFRSPRSFSLSDLHNSSSTDGSD >Sspon.04G0013020-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:55860572:55869860:1 gene:Sspon.04G0013020-3D transcript:Sspon.04G0013020-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxisomal fatty acid beta-oxidation multifunctional protein AIM1 [Source:Projected from Arabidopsis thaliana (AT4G29010) UniProtKB/Swiss-Prot;Acc:Q9ZPI6] MAAGSIRVTMEVGADGVALITIANPPVNALHPIIIAGLKEKYAEAMRRDDVKAIVLTGAGGKFCGGFDINVFTKVHQTGDVSLMPDVSVELVSNMMEEGKKPSVAAIQGLALGGGLELTMGCHARISTPEAQLGLPELTLGIIPGFGGTQRLPRLVGLPKAIEMMLQSKFITAKEGKERGLIDALCSPDELIKTSRSQGFQGAGDSTNIKGSCPCFLCTTFYDKGVTDVQLKPRPIRKVAVIGGGLMGSGIATSLLVSNISVVLKEVNPQFLQRGEKMIAGNLEGLFKRGSLTKDRMHKAMSLLKGALDYSDFKDVDMVIEAVIEKIPLKQSIFSDIEKICPKHCILATNTSTIDLNVVGEKTNSQDRIIGAHFFSPAHIMPLLEIVRTEKTSPQAILDLITVGKIIKKVPVVVGNCTGFAVNRTFFPYTQGSHLLVSLGIDVFRIDRVISSFGMPMGPFQLQDVAGYGVALAVKDIYADAFGERNLESNLVDLIVKDGRQGKANGKGYYIYEKGRKPKPDPSVNHVIEEYRKQANAMPGGKPVTLTDQDILEMIFFPVVNEACRVMDENVVIRASDLDIASVLGMGFPKYRGGLVFWADTVGAPYIHSKLSKWAEIYGPFFKPSSYLEQRAKSGVPLSVPGTSQQGSARSRM >Sspon.08G0008130-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:26417503:26444156:1 gene:Sspon.08G0008130-2D transcript:Sspon.08G0008130-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSEMTGRHEGTKKGSGSAGRAAMWLLLPPLLVLIVLKTDFLPQVARYMGASVSKLTCNFDTPHSDTCTMEGDLRIHGKSATVYVVAASTHRPENSTFIVRPYTRKWEQETMSRIREVTMRSLPPAFSFIIPPKCTVRHDVPAVVFSTGGCGGNFFHAMSDLIVPLYITSREYNGRVQFLITDYQPQWVAKFRPILAALSMYPVIDFDADIAVRCFPSAHVGLESHNKMLGSTRVSPARLHYDGIPARGRQRYGPLLGVVNSCDVMVGVHGAGLTNMVFLPHNGTVVQIVPWGDMKWACWYDFGEPVPGMGLSSGRRLGGASFAEMSLSEMTGRHEGTKKGSGSAGRAAMWLLLPPLLVLIVLKTDFLPQVARYMGASVSKLTCNFDTPHSDTCTMEGDLRIHGKSATVYVVAASTHRPENSTFIVRPYTRKWEQETMSRIREVTMRSLPPDFSFIIPPKCTVRHDVPAVVFSTGGCGGNFFHAMSDLIVPLYITSREYNGRVQFLITDYQPQWVAKFRPILAALSMYPVIDFDADIAVRCFPSAHVGLESHNKMLGIDPSLSRHGYTMMGFRDFLRSVFSLQRPWVEPISRSSGRQKPRLVMVLRRHSRELTNEAETITAMEDLGFEVVAAGPEDVSDMGHFSGVVNSCDVMVGVHGAGLTNMVFLPHNGTVVQIVPWGDMKWACWYDFGEPVPGMGLRYVEYEVTAEETTLKEKYPRDHPVFTDPQSIHRQGKAWATFLNGQNVTLDIDRFRAYNGRVQILITDYQPEWVDKFRPILAALSMYPAIDFDADTAVRCFPSAHVGLESHNKMLAIDPSLSRNGYTMMGFRDFLRSVFSLQRPWSKPISRSSGQKPRLVMVLRRRSRELTNEADAITAMEDLGFEVVAARPDDVSDMGHFAGVVNSCDVMVGVHGAGLTNMAFLPHNGTVVQIVPWGGMKWACWYAFGEPVQGMGLRYVEYEATAEETTLKEKYPRDHPVFTDPMSIHRQGKAWATFLNGQNVTLHIDRFRACTVRHDVPAVVFSTGGCGKNFFHAMTDLIVPLYITAREYNGHVQLLVTDYQPEWVAKFRPILAALSIYPVIDFDADTTVRCFPSAHVGLESHRILGINPALSRNGYTMMGFRDFVRDVFSLRRPWTTPVSRRSGRKPRLLFVLRRHSRAVTNEADAFAAVADLGFEVVAAGPEDVRDMDKIAAVVNSCDVMVGVHGAGLTNMVFLPHNGTIVQIIPWGNLKYPCRFDFGDPVPDMGLRYVEYEVNAEETTLKYKYPRDHPVFTDPISIERNGKVWETFLEGQNVTLDIDRFREAMQQVYKSVTT >Sspon.05G0000500-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:2281475:2297592:1 gene:Sspon.05G0000500-1A transcript:Sspon.05G0000500-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCDKPTNSGHSSGLAKPSSIRLMFLFPSDSDSDSATPRHLLPSRRAPRSLAACAPHAEDWIAARRVMTSLPEREEAPAPPPDSPREEASAAATPAAAVASSSSGSEDSGSRQPKASILSGVFTPPFAIFEGQQQDSSSLSPACDARSTKSSSGSYAWSRILRRFVGSGSMWRLLGCGRVLTSSDVWFLGKCYKVPPEEEESGDSESDSGHAAFLEDFSSRIWITYRKGFDAISDSKLTSDVNWGCMVRSSQMLVAQPYNPDYIGVLHLFGDSEACAFSIHNLLQAGRNYGLAAGSWVGPYAMCRAWQTLIRTNREQADAVDGKENFPMALYVVSGDEDGEEVELQLFASMLLLSFALISTKNILHVPLVHPITKGTFTFPQSLGILGGKPGTSTYIAGVQDDRALYLDPMTFRWWSTLHRITWRQTLPHTTAGDFDDFCSRASELAEKANGAPLFTVVQSIEPSKQMYKQDDGLGCSGSSMVNDDDLDGSACAFSIHNLLQAGRNYGLAAGSWVGPYAMCRAWQTLIRTNREQADAVDGKENFPMALYVVSGDEDGERGGAPVVCIDVAAQLCSDFNKEHSTWSPMLLLVPLVLGLDKINPRYIPLLKETFTFPQSLGILGGKPGTSTYIAGVQDDRALYLDPHDVQMVVDIAPDNLEADTSSYHCSVVRDLALEQIDPSLAIGFYCRSKGDFDDFCSRASELAEKANGAPLFTVVQSIEPSKQMYKQDDGLGCSGSSMVNDDDLDGSGEAEEWQIL >Sspon.04G0006240-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:13915557:13920604:-1 gene:Sspon.04G0006240-2B transcript:Sspon.04G0006240-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLSALLLLFFLCLCAFPAPARSQNTTTATAAPASVEGFNCSANRTYPCQAYALYRAGFAGVPLDLAAIGDLFAVSRFMVAHANNLSTAAAPANGQPLLVPLQCGCPSGSPNSYAPMQYQIASGDTYWIISTTKLQNLTQYQAVERVNPTLVPTNLDVGTMVTFPIFCQCPAKADNATALVTYVMQPGDTYASIATAFSVDAQSLVSLNGPEPRTQQFAEILVPLRRQVPNWLPPIVLRNNASATPPSPPPSASPNATVVSNDRNGVVTGLAVGLGVVGALWLLQMLLLACLCRRLKAKGRRGDAVASGDGVEGGMFAKNSSAGGGGGAGERFLVSDISEWLDKYRLFKVEELERGTGGFDDAHLINGSVYKADIDGVVFAVKKMKWDACEELKILQKVRPPLSSPSELLASEFRPSVAVLNLVKLEGFCINSATGDCYLVYEYVENGSLDLWLLDRDRARRLNWRARLHIALDLAHGLQYIHEHTWPRVVHKDIKSSNVLLDGRMRAKIANFGLAKTGHNAVTTHIVGTQGYIAPEYLADGLVTTKMDVFAYGVVLLELVSGREAADESGEPLLFRGRDERLEARVAAWMDPALAEQTCPPGSVASVVSDPSKRPSMVDVAYTLSKADEHFADYSGESVSVDGSGEIAARQRTGKAGSSPPPMALGHVEPP >Sspon.02G0019770-3C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2C:66518362:66518488:1 gene:Sspon.02G0019770-3C transcript:Sspon.02G0019770-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGRAWYQSRRFDLCRSRPTPSSAALPLGGANSTPRGSNLST >Sspon.01G0009060-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:26711860:26716086:-1 gene:Sspon.01G0009060-1P transcript:Sspon.01G0009060-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPLALLVLAHLAALVAAAEAKGGAAGAGLGDDVLGLIVFKADVSDPDGRLATWSEDDERPCAWDGVTCDARTGRVSALSLAGFGLSGKLGRGLLRLEALQSLSLARNNLSGDVPADLARLPALQTLDLSANAFAGAIPEGLFGRCRSLRDVSLASNAFSGGIPRDVAACATLASLNLSSNRLAGALPSDIWSLNALRTLDISGNAVTGDLPIGISRMFNLRALNLRGNRLTGSLPDDIGDCPLLRSVDLGSNSLSGDLPESLRRLSTCTYLDLSSNEFTGSVPTWFGEMANLEMLDLSGNKLSGEIPGSIGGLMSLRELRLSGNGFTGALPESIGGCKSLMHVDVSWNSLTGALPSWVLSSGVQWVSVSQNTLSGEVKVPANASSVLQGVDLSNNAFSGVIPSEILKLQNLQSLNMSWNSMSGSIPASILEMKSLEVLDLTANRLNGCIPASTGGESLKELRLGKNFLTGNIPAQIGNCSALASLDLSHNNLTGEIPETISNLTNLEIVDLSQNKLTGVLPKQLSNLPHLLQFNVSHNQLSGDLPPLNSSCPGVLPKPIVLNPNTSSDPISPTEPVPDGGRHHKKTILSISALVAIGAAALIAVGVITITVLNLRVRAPGSHSGAVLELSDGYLSQSPTTDMNAGKLVMFGGGNPEFSASTHALLNKDCELGRGGFGTVYKTTLRDGQPVAIKKLTVSSLVKSQVEFEREVKMLGKLRHRNLVALKGYYWTPSLQLLIYEFVSGGNLHKQLHESSTTSCLSWKERFDIVLGIARSLAHLHRHDIIHYNLKSSNILLDGSGEAKVGDYGLAKLLPMLDRYVLSSKVQSALGYMAPEFACRTVKITEKCDVYGFGRTPVEYMEDDVIVLCDVVRAALDEGKVEECVDERLCGKFPLEEAVPIMKLGLVCTSQVPSNRPDMSEVVNILELIRCPQDSPETELGFRNPVRYSVGHVSLPSRLPVVQAVLEGFARLLLGST >Sspon.04G0015880-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4A:58787553:58787981:-1 gene:Sspon.04G0015880-1A transcript:Sspon.04G0015880-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRQNAGGVGGRRDTSRRTSPRNQEDGGRGHGWELDRTSLAARFAFSLSGRGAPRISLCTAQPKKKRRKKRWATTAGMERDGAVAAFAPADLMLDSGVWATGVPRSRDRRRPLSGPCLVAKYFCKIFQISHRIESLNRCMKY >Sspon.06G0015460-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:69083263:69085918:-1 gene:Sspon.06G0015460-2B transcript:Sspon.06G0015460-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPFRGRGARRRRKAAAEKKAAAAVMAAAAVGAPPADWWDAFCRRMSGTLSCIEDAQRFESVFKMPRRAFDYVCNLVKDEMMVRSSSYTFLDGTMLCLEDRVAIALRRLNSGGSLATVGSSVGVNHSTVSLITWRFIEAMEERASHHLRWPDSSDMEKIKSKFEKIHGLPNCCGVVDTTHITMCLSSAEPNCKVWLDQEKNYSMVLQAVVDLDTRFTDIVTGWPGSMKESSILHSSGLFKLCEKGERLNGSKLKVSDGSEIGEYLIGDSGYPFFPGCSHHTKRRTSQSPVLSSTAGTLQ >Sspon.01G0044900-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:80389023:80395241:1 gene:Sspon.01G0044900-1P transcript:Sspon.01G0044900-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MIENVLEIKDTQVREVMTPLVDVVAIDAIATLIDFKKLWETHQYSRVPVFEERIDNIVGIAYAMDMLEYVEEVEKLNEITVKKIAHMPTYFVPDSMSVWNLLREFRIRQVHMAVVLNEYGGTIGIVTLEDVVEEIVGEIFDENDSKEEIQKKTGYIVRRGDGTFDVDANTSIDHLSEELGIKIPEGHQYETVSGFVCASFGYIPEEGGKMLVILEKDYREENGEYQEEGSDRQDDREKTQAYELEIVEANARKVGKVLFKPISSECVGVDNKGVNRLVSKKIIKRKKQGSDDSSGDEYPDITENGCPAEVLSYSDDNSDLLEDASSSSAKR >Sspon.06G0023680-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:47570622:47580804:-1 gene:Sspon.06G0023680-2C transcript:Sspon.06G0023680-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFYKYGLAFLAGTGFGAAMTTTLRNSRCPLHKHDSSSCHRRRHRPVVGGAGESPPSETGREREEYYDDAQEKGKRCRKQGGKEKKGDKPEESDPAQQAMGCLLRRLFPFLVGTAVGVYAAQNYRVPNIRHLADRGADAARRYEEAYRKKPASARDGSSTGAGGRKKKLKAQQAMGCLIRRLFPFLVGTAVGVYAAQNYKGPNIHHLADRGADAAKRYEEAYRKKPAAAMDTAREGSGTGTSSGKKKAVQMDIDDDE >Sspon.04G0005950-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4D:17840894:17841583:1 gene:Sspon.04G0005950-2D transcript:Sspon.04G0005950-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASASLHPTTAMLAHRHSPAPSVSASSLSWSASIAVSRIPPARGLALHSPVPPPASGNRQQSPVVCAAWTRRSRGEAEQRPNRKSWKQRTDMYMRPFLLNVFFSKRFVHAKVMHRGTSKVIAVATTNAKDLRLTLTSLVDDNACRTIGRLIAERSMDADVFALAYEPKKNERIEGKLGIVIDTIKEHGIIFV >Sspon.05G0011300-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:26791525:26794836:1 gene:Sspon.05G0011300-2B transcript:Sspon.05G0011300-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATFCAGPAASAAANPSSAGCRRQSLARAGVLPACWRPTRPTPAFLSLRRPNAELRPLRVAAGSGVDPKVVNGEDFHPMKDLIQLYRTAFLEGNDEVLGEVEKAITAVEKEKSRVASQFESITTEITSGKEKFIRLNADLENFRKQTEKERAKFTSNIRVEVVQSLLPLVDSFEKTNLENTPETEKEQKISTSYQGIYKQLVETLRYLGVGVVETVGKPFDPSVHEAISREASMQFKAGIVTHEVRRGFHLKERLLRPATVKVSTGSSKQSASS >Sspon.05G0013830-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:49364418:49370377:-1 gene:Sspon.05G0013830-1A transcript:Sspon.05G0013830-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA repair protein RAD5B [Source:Projected from Arabidopsis thaliana (AT5G43530) UniProtKB/Swiss-Prot;Acc:Q9FIY7] MLVPVADDDSGWQESPSQAVLFADEIAAVRVVLGGALSEARVIAALSRCGGNAERAINALLDDAAEVGSAPKRVKAELDVGGVPAPAAVKVKAESIDGEVVSPVAVKVKAEPVDGEVVGSQESDGSSAAKATAKVKSEPADSSAKKPDRFVPGAPVAAASGGAGISLVPRQKKRPREDVETIDLTTTHPVPYLNPRPIRALPPVGGDGEVYDPKPIQMVPPLEVQMHDRRSALPAPPPVDVEMYEQRPRPRPLRAAAPAPVTDMRMVVAPPDAEFGDFPVERDWMLVGKSYVPGLSTNRGRRRLDAGEIVHFAFPSYDKIYGGLKMTARKAAALAQIVRFSTKRAGEIGKLSPEWTQCLVPLVNSSKVKIQGKLVFPTAELRLMQEILLYVSFYIHKSVLAEMDNSPCDMLDHANVDFSPSPLHKLLNLLNLRPSNKDAFSLDDLTTRKLKQILRGNNNSGDDSTPVLGQTFLEQGADEQAISEAALNKLVGTAETFDLEEAEPPTTLVSVLKPYQKQALFWMSKLEKGIDANEETKTLNPCWSAYNIADKRAPPVYVNLFTGQATTQFPSVTETARGGILADAMGLGKTVMTIALILSNPRGELERDMRCLRDRATRAHTSTSSVRGGTLIVCPQSLLGQWKDELEAHSAQGALSVFVHYGGDKTSSLMLMAQHDVVLTTYGVLSAACKTDYNSIFHRMDWYRIVLDEAHTIKSPKTKSAQAAYRLNSECRWCLTGTPLQNNLEDLYSLLCFLRVEPWCNAKWWQKLIQKPYENGDDRGLKLVRAILRPLMLRRTKETKDKIGNPILVLPPAHIEAVECEQSEHERDFYEALFRRSKVQFDKFVAQGSVLNNYANILELLLRLRQCCDHPFLVISRADPGKYADLDQVAQKFLEGVQSFSGRQNVVPSRAYVEEVVEEIRQGATTECPICLEASDDPVLTPCAHRMCRECLLSSWRTPDGGPCPLCRSHISKSDLIILPAQCRFQVDAKNNWKDSCKVSKLIMMLQSLQKKKEKSIVFSQFTSFFDLLEIPFNQKGIKFLRFDGKLSQKHKEKILKEFSESQDKLVLMMSLKAGGVGLNLTAASNVFLMDPWWNPAVEEQAIMRIHRIGQKREVRVKRFIVKDTVEERMQQVQMRKQRMVSGALTDEEIRGARIEHLKMLF >Sspon.02G0029650-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:108059808:108062658:-1 gene:Sspon.02G0029650-1A transcript:Sspon.02G0029650-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVGDLFLGSLLLLFSTRLLYHDGGLLDILPLPQSSACPLNLNDRLGMLFMCAHARSRPAKRDHLKLSATAVHTTIVIRPWRVAGEIAAAAEFVRMPPKSK >Sspon.07G0005840-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7D:13028322:13029269:-1 gene:Sspon.07G0005840-2D transcript:Sspon.07G0005840-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ARRKNKSVMSSDEASSSAGDQALVPVDPALSEVSSVASNAIVPLDASSVSGTRTKEQDMIDLLSLTLYSPPESSADSSTQNQNGSQPSVTSNGPETLPSYQPAAANEANYPANNQAYPTNQGYVPYNNYVAPWAQTGPVAQPGAYPTQPQQYVTQPQQYVSSYPAPPWAMPASANSANPFQPATYQTPNPPAASVAPAATYPTTSKPYAAPSMQLVPSPAPKPVQSYNSSISQTYTGPNMATDARMKGNQRPKETPVAAARPYYMPDNLFGDLIDVKSFGAGSKIN >Sspon.06G0010030-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:55234193:55243020:-1 gene:Sspon.06G0010030-1P transcript:Sspon.06G0010030-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQGKMPEAGTVAGARWEAAPPSAYGGIEAGRLVLLAKPLPSGAGYYQPFAVVRFEDLPEELRRQIAGASGHGAGATARPPRAAPMAAPLRGEGDARRYHAALPVDVVVPEEGLRRQLLGLVSSGPTDEPTVKFAGDGNSDAVVKDYSERRILRLMDEDGKVVVCLDAARLQVLGDMEGSLFQKEKGFSHAWMGHSGDEGCAAGAYMGAFYDNGLAEREDAAPVVVAFLLGNNRIARPYAINSSDDLAFPREDAVSSVETLAGNGRATDQGVYYHDVLVPPENVVPAAEALRAPRPPTYAVKVWVDAAAGGKAGDPTVVGVKAAGAGAGHEHGGSLSAVLGVVVASSAATALAAGTLGPATAFGLFAALVGGLSLAMASVRGR >Sspon.02G0031630-1T-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2B:109293019:109301341:-1 gene:Sspon.02G0031630-1T transcript:Sspon.02G0031630-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVDSRMATESDSDSDARGGGGGGSGSGSETPTASPSPSPSQSPSAPGTPTAAAASPGPVAGPRPAPGYTVVDAAMDKKEDGPGCRCGHTLTAVPAVGEEGSPGFVGPRLILFGGATALEGNSATPPSSAGSAGIRLAGATADVHCYDVLSNKWTRLTPLGEPPSPRAAHVATAVGTMVVIQGGIGPAGLSAEDLHVLDLTQLRPRWHRVVVQGPGPGPRYGHVMALVGQRFLLTIGGNDGKRPLADVWALDTAAKPYEWRKLEPEGEGPPPCMYATASARSDGLLLLCGGRDANSVPLSSAYGLAKHRDGRWEWAIAPGVSPSPRYQHAAVFVNARLHVSGGALGGGRMVEDSSSVAVLDTAAGVWCDTKSVVTTPRTGRYSADAAGGDASVELTRRCRHAAAAVGDLIFVYGGLRGGVLLDDLLVAEDLAAAETTSAANHAAAAAASANVQREPGRYAYNDDQSGQTVTVSSPDGAVVLGTPVAPPVNGDMYTDISPENAIMQGQRRLSKGVDYLVEASAAEAEAISATLAAVKARQVNGEMEHSPDREQSPDAAPSTKQNSSLIKPDAALVNNSTPPPGVRLHHRAVVVAAETGGALGGMVRQLSIDQFENEGRRVIYGTPENATAARKLLDRQMSINSVPKKVIASLLKPRGWKPPVRRQFFLDCNEIADLCDSAERIFSSEPSVLQLKAPIKIFGDLHGQFGDLMRLFDEYGAPSTAGDIAYIDYLFLGDYVDRGQHSLETISLLLALKVEYPHNVHLIRGNHEAADINALFGFRIECIERMGERDGIWTWHRVNRLFNWLPLAALIEKKIICMHGGIGRSINHIEQIENLQRPITMEAGSVVLMDLLWSDPTENDSVEGLRPNARGPGLVTFGERQTTQVQS >Sspon.07G0007200-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:12203869:12204915:-1 gene:Sspon.07G0007200-4D transcript:Sspon.07G0007200-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MERHAEKPLLVLLVLSCLLLLPLVSAIPTPRSLRLGSHQQHPPVLKLTSSQEAAIAAAMNMGRPTARMVVEVNDYQPSGPNNRHDPPKGPGRA >Sspon.01G0048450-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:109017445:109028797:1 gene:Sspon.01G0048450-1B transcript:Sspon.01G0048450-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLPLYQQLQLSPPSPKPDQSSSFYCYPCSPPFAAAAADASFPLSYQIGSAAAATPPQAVINSPDLPVQLLMEQAPAPATELGTCASGGAQGAGVSASLDRAAAAAAAAARKDRHSKICTAGGMRDRRMRLSLDVARKFFALQDMLGFDKASKTVQWLLNTSKAAILEIMADDVDASSECVEDGSSSHSVDGKHNPAEQLGGGDQKPKGNGRSEGKKPAKSRKAATTPKPPRKSGNNAHPVPDKETRAKARERARERTKEKHRMRWVKLASAIDVEAAAASVASESDRPSSNHLNHHSSSSMNMPRAAAAELEEGERCSSALNNRGRMQESTGPSDVVLGFGNDGYGGSGSGNYYCQEQWELAADGAGAGAATELGTCASGGAQGAGVSASLDRAAAAAAAAARKDRHSKICTAGGMRDRRMRLSLDVARKFFALQDMLGFDKASKTCVEDGSSSHSVDGKHNPAEQLGGGDQKPKGNGRSEGKKPAKSRKAATTPKPPRKSGNNAHPVPDKETRAKARERARERTKEKHRMRWVKLASAIDVEAAAASVASESDRPSSNHLNHHSSSSMNMPRAAAAELEEGRGVHQLSTIEEGCKKAQGRAT >Sspon.01G0044700-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:73936461:73943555:1 gene:Sspon.01G0044700-2C transcript:Sspon.01G0044700-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPPRLIRAEEKLRPKPSEATVKLARRFQTTVLSLLINSEHSEVSDAIKYFHELQVDVGVVYLLLPTVYGKIDWCGIKFSTSSVYDGVTDKNPRHCHSCKDADILQTMDDPCCRCILQNSVVYAPCDLKFYNITELDLNANQSLDLNDRSAVSCKSKPLLVASGLFTAQNFLYKCYEKRKEPRSVKLLPAGLCRVVMAPVSANTLFSFSFVPSIMYRIQCLLLSAKLKIQLGPRMQQFNITAMKILEALTTKECQEKFSLESLETLGDSFLKYVTGQDLFSKYKYREDMLTSMREEKVSNPALCQLACKSEIVGYIQGELFSPKKWTIPGLGYDTRGNNKVFFRTTNNMYSLEEIPIKSKRIADTVEALTGAYLSACGELAAVHFIKSLGMDVELHSKMQVERIISTKSEEFIDVKSLQTILGYVFVDSSLLIEALTHSSYNIAGITACNERLEFLGDAVLDYILTYYFYRKYYPNCTPALLTNLRKASVNNCCYAHAAVKAGLHKHILHSSSKQMIDDLENSGRSFSGPSHGWEPGIGLPEDLADLFEAIAGAIYVDSRNDKEVVWRAMRRLLEPLATPKTMKLDPVSELKELCERKNYPKPSYSPTRDDVAGVTRVVAKVKAAGTVYSETGEGRNQDVAEVLAAKDLLKKLKAAAGG >Sspon.02G0003250-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:10491527:10492243:-1 gene:Sspon.02G0003250-1A transcript:Sspon.02G0003250-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AISTISHISFAEEENISPKKPTSIAEVAKQRELSGTLLSEDDSKMKRQVSNIKFGEADEDSVVKTAKKIPTKKFTDLAGNIFKGDETPGTAEKHLSTAKLKEMTGSDIFADGKAPPGTTWAGSASRLVGRAALRWFNSGSCSNNLMDIAAPTPFVSSSPSPSLGVIFL >Sspon.01G0007400-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1A:20585949:20589629:-1 gene:Sspon.01G0007400-1A transcript:Sspon.01G0007400-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VCSHRLAPRLDEPLGVPIKKRPVFLSDRSVASAIPLSIKPPSPTKAMPVTATGTCCREEPFFNIANSDTNVITKGKGIPDTQIQDHANTSLTALSMANGNGVLFTGSSEIPSIADSATRTSAPVAELQLPNFLALDLQLPSRQSGTNNNCGSLVKEEKLDQCLSEHHNSVPISNEINASGNSIVGRLPNLDLNILPDPADSLESLPKMHESGSGLYHHRTIQHQKAQVTPAAAISTISSGIGRNIGSTLNMSNSYGLSHKSGPADVTLDLQLKPPARPELGINWKGLAPAPELSLSLFGKPMDEPKSLSTPNALFDSGTAGSSKKVSEEAAATLVSDKAPVEKIVTPVPCNVNPQNATSATVSGIDQLPSNNLVKKEPEEISQQHILDGAEKENLSEQQSVGLVISCAESEKTDSAPQGPRKTGFDLNSDISTNNSIHNGPDVAAVGVPIPAESLPYTSHAKTMPAVPEFDKCVKHEESTSATPSLPVVETGSGHTTLLMEAKSLPSQSNVASPSVGLCESSSQPTAHEDTRQRSCDANESSGALPSSSSPEPLPFNSLENAIVDGMSQGSAEMDCSDDDGNTVSRIPTTNKPHGEPLGNAPPISKDGINTNNLSKELKKEHDSDMHQDCSSMTNKVNIDPIEGDKCIKTKVGVVSHAGQQGLQNEVFVSENTKGKQSLNSDKNIPVNNTDNSIHDAKTATGSSTTHLQKSSALPKSASPKLQPTKQSPNKTLDSCLEKTRSPVLKSPNGMQAGSRTENHAKIAALKTQHQTKSEEVGKHSDLRPRDSVLGEDSELDGASSSQQHSEYGKKSASEKSEHDKTKPDSCNTSLQNEKDGQLVGANWTMGHVYVNRNERWERFMEYEREKNNGECHGGRHASDVMNKRMTNHRGGWRGAGSRGHLRNFRGPRMSNEFADESIGGRRRSFEDEPGHIRGPHRRRHSPPHGCIMREMDIDDFYGREIPDSRLLARGQIEDLPDDMMDDRFFMPHSHRHRGQGDHGFIHRDRSHSPAHRRGGHVHFHQGRSPEAMHRSPPLMRADRPYLPHRRHNGSHDEREVMQRNVRRCAMEGDAFEPPLHAAHLAGLHTEEELVGRRKHRERRAYLHSPVSDEDEMLSYHTEDDMEFAEGGVGPREHDGHFRNRMGHNRARGEQEDGYRHRGGHQGWRDSDSNDRPKRR >Sspon.02G0051880-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:84748931:84750136:1 gene:Sspon.02G0051880-1C transcript:Sspon.02G0051880-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGERLSPKLDRHDPNTLKKKNEKLQRGPFSFSFPSLGPARRARVLAVAAHPCACLLPFSLHGPAQQAEPAQQPTQPLPRVPALSLSLADKWPRLSSSLPRQPSAMVTADRYLTGGETPARWNCTNVTTTLPHTHLDL >Sspon.03G0021130-3C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3C:87813510:87815644:-1 gene:Sspon.03G0021130-3C transcript:Sspon.03G0021130-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHIQPKNIPHNESLSLGQKLLLHHGSDATLRIGHSSTGNMGLRSNDLPSSSRTVQTLGYRVGNPGTSHAPFVHCHAGSSSSHLPEPAVNYPHRSEEGFAPVGSHMDNRRAAMKRKDPSVHPAGISATGYYVGSSSNTQPSNSVQPNPAPLAEPFLRQIPLSIDRSGWDGQHLIHQEGFQRNVRARHSHNISLEPRSASTYPLNSVHVPSFGSTASASSSTSVERNQAPVSVPTRTVPSGPPGFTSRALTGRAYYPVVRSSSSSVGAVPTIHGSSGAAIFANSGYAPSSVHAGTAPIYTNPAPATSRAMPHQVVTQSHPPATSAATSASMWIAQPLPARTAVASRHARHVSAAIANNGRYRRARSSYYSLHPLMVEAERFMVDQLVFYESRAAAADPHRDMRLDIDNMSYEELLALGESMGNVNTGLADEKISKCVKEVVCCSSDQMQIDQDDQDDGSCVICLEGYKDKDMLGILKCRHDFHADCIKKWLQTKNSCPVCKAAAA >Sspon.05G0018800-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:80267940:80276482:-1 gene:Sspon.05G0018800-1A transcript:Sspon.05G0018800-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETALVMAKSILGSAVSKAASAAGSEISLLMGVQKEMFIKDELKTMQAFLQTPEVTEKKDKLVKVWAEQVRDLSYDIEDCIDEFMLHVRSHSLSKLLMRLRDRHRIAVRIRNLKSRIEEVSSRNARYNLIKIESSNITDEMDSNMEDIRNNSASNIDEAELVGFAAPKRELIALMDVTAMDGPTKVICVVGMGGLGKTTLARKTYESKEDTLKSFPYNAWIIVSQSFSKRAMLQDMINQFFGADALKKLLEQLVGKVLEDGLASYLRTQLQEKRYFIVFDDLWEIHHWNWISGIALPRSNNKGSRIIVTTRDVGLAEHCTSELLIHHLKALQSDNAIKLLQRKTNITHEEMDKDENLSTIVTKVVKKCGYLPLAILTIGGVLATKKIADKCQKEGMDWRCIRSLTFFTEGSGGWDLDLTPSISLPKLRMLRVLDLVGGRFRITQDGINNIVLLCHLKYLSVRTNRSTIYSLPSDVGKLHGLQILDMGYTCITTLPTEITKLQDLRIIRCFRNDYEYLDPNKPASFLFATLCLPILIADSDSRPEAIGDLHMGCSSGWSRTGGGGVRVPRGIGNLKDLQILESVDIRRTSSKAVKNLGELTRLRKLSIETNGASKKKCKILCASIEKLSSLRSLSVIAGEQQDRGLGWLISSSSPPPHLRSLRLSGYIGEMTDWFRSLTHVVKLFLSNSQLKEDKTMEILGELPKLMLLRFYFRAYLGEKLVFGTRAFLKLTTLKIWEMYELKGIRFEEGTCPQMETIEIGYCILKSGIIGVKRLPRLKVLSLYGSKVARLSMLEEEVNAHPNRPVLRLSYDRSYHVLGDVEGSNVEVEATESIPDHAGEISQVITLTTTDSL >Sspon.03G0039820-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:21327568:21329225:-1 gene:Sspon.03G0039820-1C transcript:Sspon.03G0039820-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MERFYYVAVVTSVLLVLLHHLLMRRKKQQRLPPGPRFAFPILGHLPLLKKPLQTSLADLVSRHGPVVHLRLGGRHAVVIGSAALAKECFSGELDVAIANRPHFPSAREVSFDYSVLTVVNYGALWRTMRRVSTVHLLSAHRVNIMSDTIIARELRAMVRRLARASASAPGAAARVELKRRLFDLSHSVLMEIMAQTRNTYSDDADEDMSKEAREMKDIIEEIIPLVGVANLWDYMPLLQWLDVYGAKRKLADVVKRRNLFFDKMIDAERQKLKQLERKKGEADASDSDEKMGMIGVMLSLQKTEPDVYTDTFINALVPNLLGAGTETTSTTMEWAMSLLLNHPDVLKKEQEEIDSNVGGGRLLDKNDLPRLPYLHCIISETLRLYPAAPMLLPHEASTDCKIHGYDVPAGSMILVNAYAIHRDPATWEDPEEFRPERFEHGRAEGKFMMPFGMGRRRCPGENLAMRTMGLVLGVLLQCFDWTRVGDGEVDMATATGTIMSKAVPLEALCKPRANMSALLQKI >Sspon.07G0034120-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7D:66384677:66390369:1 gene:Sspon.07G0034120-2D transcript:Sspon.07G0034120-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQVESSGSEFQTPRGALDVKRKSKKRRKAQPDDGDDVCAICDDGGYVTCCDGGCLRSFHLTEEHGEGSKCPSLGIDSEEAKMIIDKKDFICKNCKYKQHQCSACGLLGSSDLSSGAEVFQCKNYNCGHFYHPKCVSKLLHPDDKLRACHFEHDIPFEAKEGPNGYIFQRAWDGILRDRILIYCMKHEIVKELGIPRRKLIIFPYAENLCVPNGPESAPKEQDTLAEEELLDHPSSEPSQSLPSAAAQNQCSCSNPMDSFAPKSLFPHPYPGKWFCQMRDGESTYVLCFCFHAEKLWHLTEHCLVAAGSPWPAPGCALLFVRTGHAPRLANAGPAGRALHPTDMRPPWLALRWPCALPKLASLQHRARTQSAAACARPNARTPRRTLIIPSRPALSRSLAISQTSLSLSLATDELFSLARAPPPPHALAHAPARAPTRAGELLALSTLAMPATSVHGGGSGHGGFRPCRGRPPPPPPLSSPPPGSALLGSGELSPYLLQLRRYRRGRPGGGKPVGGLGDLAMAATARLGQGEGGLAMVVAAVGGGEEGGAAAGWWQRRGQGRQSLGRRAVVCFLLLKTVVREGQSCVLETVSLS >Sspon.01G0010150-3D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:30947130:30955831:1 gene:Sspon.01G0010150-3D transcript:Sspon.01G0010150-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GRGSSSSGRSNGSGGSLVQFWGSDGEVKCDLGDDWRRRSVREGRGLPPPAPAQAPHLPIPNGAPLRLSKRQGMTIARGHKNYELMLNLQLGIRTLRKLFKVDPADYMLSLCGDDALRELSSPGKSGSFFYLTNDDRYMIKTMKKAEVKMLLRMLQAYYNHVRAFEDTLVTKFFGLHCVKSGAHQKKVRFVIMGNLFCSDHTIHRRFDLKGSSLGRITDKPPAEIDEYTTLKDLDLNFIFRLQKHWYQEFRRQVDKDCEFLEQEKIMDYSLLVGVHFRGAIDIDGDKPATPHVSRWDRDHFRSDPNRWSKIKLGANMLSRAELTIRKNDSDVFGEPTGEYCDVILYFGIIDILQDYDIGKRLEHAYKSFQYDSTSISAVDPKQYSRRFKDFIYKAFQEDKEFSSFLGRRQDDWVSGASGGPTVSRNQIGCHEEVRERRFWRTKTTTRGRAATVRVEDAGDGRGLPPPSPATGPYAGGRSRGPRRQGETIAKGHKNYELMLNLQLGIRHAVGKQGPITLDLKSSAFDPKEKVWTRFPPEGSKYTPPHSSCDFKWKDYCPQVFRTLRKLFKVDAADYMLSLCGDQALRELSSPGKSGSFFYLTSNDQYMIKTMKKSEVKIFLKMLRAYYNHVRSFENTLVTKFFGLHCVKLAGANQKKVRFVIMGNLFCSDYSIHRRFDLKGSSLGRTTDKPQTEIDEYTTLKDLDLNFIFRLQKHWYQEFQR >Sspon.03G0008820-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:62514622:62521548:1 gene:Sspon.03G0008820-1P transcript:Sspon.03G0008820-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVGGGAADERWASLCNCVVNFLLEERYHLTALELLQELQEDGRSAHALRLHAFFSDPALFPPDLVARASSAPPGADPQILLEEKIAAEEKLALVEYDLRLAKEDLSQLTLELQKQKESSPDDSDGLLLGASIREGSTSQQDKWDMKISALGPLKDNERKDLNCAVKEYLLLAGYRLAAMTFIEEVPDQDLDVWPNSSAGVPDALRRYYYQYLSSTAEAAEEKISILKENETLLKDNERLNAENDSLMKSREGANSQVAALRKSLDASHKDIKDKEQMIQDLRQSLDVQRKELNDCRAEITALKMYIEGTQSSKQLFVGTSDGVKSHSIANSVGASSLNNEDEDSKGSEAVTNKRASAVNITDDTQKDRQVLESVEGSSISETPVSFTTDENGSYGTSEEDKSVSNISSNNSNLHGASMTGKSQGSSDGISMYLSIEKLESPSKQKCSDKMALETIKIVSDALPKIVPYVLINHREELLPLIICAIEKHPDSDVRDSLTHTLFNLIKRPDGEQRRIIMDACVELAKSIGEMRTETELLPQCWEQINHQYEERRLLVAQSCGELAIYVRPEIRDSLILSIVQQLVEDAAVVVREAATHNLALLLPMFPNLDKYYKVEELMFQLVCDPSGVVVEVALKELVPAVVRWGDKLDQISRVLLAHILASAQRCPPISGVEGTIDSHLRVLGEQERWNIGVLLRMLTELLPFIHQKAIQTCPFTSVDPISSTPENFSASCLKSYAAGDSEWSAFEWMHTDCLPDLIKLACLLPVKEDNLRTIITKYLLEVSGLYGKDYLEHIMLPVFLVAAGDIDSGDFTYFPLSIQPKVRGLRPKTSTAEKLAIMCVFPLLLSGILGSPSSRQQLEEYLRKVLIQNTKDGSFSMHHTTEIINAVRLFVEHHSVIFNILWEMVVSSDTCLKINAAALLRALVPYTDVKVASTHILPALVTLGSDQNLKVKYASIDAFGAVAQHFKNDMVVDKIRIQMDAFLEDGSHEASISVIRALAVAVPHSTDRLREYILLIEFHVYILTQIFKMTSITPAGDDIERRCERANVLCEALRALDAT >Sspon.03G0024920-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:94153885:94160744:1 gene:Sspon.03G0024920-3C transcript:Sspon.03G0024920-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQSRRAVESYWRSRMVDGVTADDDKVAPVYKLEEICELLRASDASIVKEVADFVLKRLDNKSPLVKQKALRLIKYAVGKSGTDFKREMQRHSAAMRQLVHYRGHPDPLRGDALNKSVRETANEAIAAIFSTEDPKPAVATESLGKRIQGFGNTNYEPSRDDKKSFLSELSDVVGIGSASIKQGLSNFAAAHAMMTNDNGSTYKSPNLRRSLTTESERYGRYDPSEIQSESHALSGASKNAASGSWGPTSSSVPTDDTSSSQPGIKTREERLLETIVTASGVRLQPTRDALQIFLTEASKLDAVALSRALENKLNSPLWQVRMKAICVLEAIVRKQDTDPYSIIASYFIENTASVVKCSELPQVSLREKASKVLNMLIGEQPTGTTAKKAAMPTPVQMPDLIDTGDQDDLATSSGQESNEQNTGNSVYVSPVDDLLGGEPIADTSVTTDSNGSDPFADVSFHEAETKETNDLFSGLTVEEKSSATIHDSSSSNKNELPDIFGSSPDSFIQDSVTDQGTVNDLMAGLNLNGTGQAQSAVKAEPNSNFSGSQFFDTNNETGHVASAAALNGILGQNSFYQQQQTPLQYSFPQHMMLNQSFPGQQLNYGAMGILLAQQQQLLQNFGNFNAGLGNSSFNSMNSGNASVLPDIFNSSNQPQNHVAVMSSSKKDDTKAFDFVSDHLAAARGSRK >Sspon.03G0001500-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:12299458:12303012:1 gene:Sspon.03G0001500-2B transcript:Sspon.03G0001500-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFISMHISKAATEHGVLVARAKPASRVQGPIEQPQIDAKQYEPWIKAAVPKLIIKNGSHVHRGHAVQLKVWNLAFRLWNACVDRANHNFPARAPEAAVAETEIRQAAPELLLVAGLPEGVPNATAKVASLFHRTGLVWLDLGRADLASACFEKATPLVCAADTEEDRGILLDLNLARARAASSQGKHALAVALLNRSKPLAAASSEGVKALAEAYLLLGKAAFATKSPDPAIDASTLLTEALDLCEKAAASPCCDTPTTPRSTPATPKLQVIKDQCLRFLAAERLEANDYEGTLHCTRVSRASPGLGKEHSSIAFMALRACLSSGKLVDAERELGRLMANEEAPEFLCVSAAELYLASAGLDAALKVLVALAARCRASAAAAAVKVLKTVVQGAGGGAGRARAIAELVSDERVVALFNGPANTHERDTMHALLWTCGSEHFHTKNCEIGADLIERSMLYVSRDEESRSRRAKCFRVLCLCHMALCHLDRAQEFITEAEKVEPNIHCAFLKFKILLHKKEDDEAIKLMKTMVDYVDFNPHFLTLSIHEAIICKSFRVAVASLTFLLGLYSAGKPMQMREATVLRNLIALLLREPGSEAETLKYSRRAKLRMDELGVEKFFGKGDWLRELKWFAVSSWNMALKVVKEKKYDYSSEFFELAAEFFSSGNGEDDGNLLLIRKSLIMSVSCMLQAEELNKSPLSDSDLKKGIEMLRRAGKLLPLTLPSAPVISDQLENNLPFVHTFNFYQLLNRLDTSAHPQQLQLVKSFAASKACTPGHLLILGDMASEGTQPNLQVAEFLLKASISTALASHSPNYGVISAALRKLVCLSVLQDFSGSMSDGAYDVFQQAYQIVVGLRDGEYPFEEGRWLAITAWNKSYLPERLGQHSVAKKWMKMGLDLARHFDRMKLYIPGMEECFEKFQKLSGKEPDECSQQDGEPSTSMSGTGMRASLNFSMIMCNTYVDCLR >Sspon.05G0007240-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:16827466:16830356:-1 gene:Sspon.05G0007240-2B transcript:Sspon.05G0007240-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding HNLLKPVFDRVNSSEFLFHRYSEVRGRVPTPTWLSMEGGVTVADKAEFKECLRLSWTQPYILQLVLSAGIGGLLFGYDTGVISGALLYIRDDFDAVEKSTVLRETIVSMAVAGAIVGAAFGGWMNDKFGRRPSIIIADALFFAGAVIMAFSPTPNIIIVGRVFVGLGVGMASMTAPLYISEASPARIRGALVSTNGLLITGGQFLAYLINLAFTKVPGTWRWMLGIAGVPALVQFVLMLMLPESPRWLYRKVDSSIFVQKGRKEEAAAILQKIYPANEVEQEIDAMRRSVEEEVLLEGSIGEHGLIGKLRKALGSKVVRRGLLAGVIVQVAQQFVGINTVMYYSPTIVQLAGYASNNTAMALSLITSGLNAIGSIVSMFFVDRAGRRRLMLISLVGIVVWLAVLGGTFLGAAHHAPPVSDVETRLLANQTQTCPEFNPNVRWSCMNCLKAASTCGFCAHQGDKANHREFYTEGCPNNFGWLALIGLGAYIVSYSPGMGTVPWIVNSEIYPLRFRGICGGIAAVANWVSNLIVTQTFLSLTKALGTSATFFLFCCVSFLALIIVFLTVPETKGLQFEEVERMLERKDYKPWK >Sspon.03G0017690-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:52522975:52527354:1 gene:Sspon.03G0017690-1P transcript:Sspon.03G0017690-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MERVLKDDAVQEKGERARMASFIGAMAIADLIKTTLGPKGMDKILQSTGRGRNVTVTNDGATILKSLHIDNPAAKVLVDISKVQDDEVGDGTTSVVVLAGELLKEAEKLINMKIHPMTIIAGYRMALECARDTLLQKTMDNKDNTDKFRADLMNIAMTTLSSKILSQDKEYFAELAVDAVLRLKGSTNLESIQILKKPGGSLKDSFLDEGFILDKKIGIGQPKRIENAKILVANTAMDTDKVKIYGARVRVDSMSKVADIEAAEKQKMREKVQKIIAHGINCFVNRQLIYNFPEELFADAGILAIEHADFEGIERLALVTGGEIASTFDNPESVKLGHCKVIEEVMIGEDRLIHFSGVAMGEACTIVLRGASEHVLDEAERSLHDALCVLSQTVNDTRVLFGGGWPEMVMAKEVDELARKTPGKKSHAIDAFSSALQAIPTIIADNAGLDSAELISQLRAEHHKENCTAGIDVITGTVGDMQKLGIQESFKVKQAILLSATEAAEMILRVDEIITCAPRRREDRM >Sspon.02G0021660-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:71034159:71036368:-1 gene:Sspon.02G0021660-2B transcript:Sspon.02G0021660-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKDQMENKMMLQQMENRMMLQQMASNYEEAKSRTMSAGAWGRAACGRHELPEFLAPDPRTRSAQPHTLGFGTGAPAVASPAARTRTSLAGRRARPSTAAHVPAATKVVTAAKQEEKGLFDTIFGALYKEEQLLETDPILNKVAGKAPGAPAPKKAAAAPEESGNGGFSLGGFFSKPKTKKG >Sspon.04G0009040-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:26693334:26695342:1 gene:Sspon.04G0009040-1P transcript:Sspon.04G0009040-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding HTMWLVSSLRAALCLLLVVVLAGHREPAAAVTLSTSSRWIVDPAGHRVKLACVNWPSHLEPVVTEGLGRQPVGAISGMIVSLGFNCVRLTYPIALATNASLSALTVRQSLLAHGLSETVGGGQAVVNALGEKNVMVILDNHVSTPGWCCSNDDGNGFFGDRDFDPNVWVDGLGSMATIFADVPNVVGMSLRNELRGPRQNPDDWGRYMQRGAEAVHAANPRALVIMGGLSYDYDLSFLAARQVGVSFAAENKLVFEVHWYSFSDARAWEAEGANEVCGRAARDFARRGGFLLARGFPLFLSEFGADSRGGDRKDNRYFPCAAAVAAEHDVDWAYWALQGSYALRQGVAGMDEVYGVLDWSWSKPRNATVLPRIQALQRPRKGPGYGEALPYTVLFHPLTGLCAVRRVATAATTTLELGPCNETDAWAYAPPSSTLVLRDAAAAGLPCLRAEGRGQPARLSTKACGDPLSTWRLATDSAMHVAVNAAALGLGGSEDGGGGMLCLDVGTDGRSIVTNPCACQHGDGTCDPEGQWFKPVTSTRRVARWPATL >Sspon.04G0002410-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:8489363:8493219:-1 gene:Sspon.04G0002410-2C transcript:Sspon.04G0002410-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Replication factor C subunit 5 [Source:Projected from Arabidopsis thaliana (AT1G77470) UniProtKB/Swiss-Prot;Acc:Q9CAQ8] MAGTAAAAPMDIDAAAPPAAKGKAPLSATGRAAPWVEKYRPQSLADVAAHRDIVDTIDRLTNENRLPHLLLYGPPGTGKTSTILAVARKLYGSQYSNMILELNASDERGIDVVRQQIQDFAGARSLSFGARPSVKLVLLDEADAMTKDAQFALRRVIEKYTRSTRFALICNHVNKIIPALQSRLSVDEGGLTALVRLSNGDMRKALNILQATHMASQHMTEEAVYLCTGNPMPNDIERIAFWLLNEPFSTSFKYISDMKMRKGLALVDIIREVTMFVFKIQMPSDVRVKLINDLADIEYRLSFACNDKLQLGALISTFTDARKAMVAAAS >Sspon.02G0044890-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:95860456:95862754:-1 gene:Sspon.02G0044890-2D transcript:Sspon.02G0044890-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQNAFECLEKLNISHKKKYFCLAGYGKSKQPPQNVVPTDKNFHGNQVLKAVVQPPQNMVPTDKHFHGNQVLKAEVQAHKVVEVDRDVHGVTVAREGSPRLYSFGSSQGIELQKLLEASAEVLGKGKYASTYKAVPHDGFTLTVKRLKTTIDVPEAVFKERIAAIGTIEHELVVPLRQYYYSKDDKLLVYDYFPNGSLASNLHGKNVAPVGWETRSAIALSVARAVAYIHSINGAAASHGNLNSSNILLTGSYEARVSEHGLKTLVSDPTLVIDNNITQKDDVYSFGVILLEMLTGKSPILKPDLLEWVLAVREEWVSEAFDMKLLTENTDVEEEMVRFLQLAIHCCDKNPTLRPTMSEVAQQIEALREYYVSTAGNRQLMGSNAGREEQTFSLGT >Sspon.03G0006240-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:17154220:17164995:-1 gene:Sspon.03G0006240-1A transcript:Sspon.03G0006240-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA polymerase eta [Source:Projected from Arabidopsis thaliana (AT5G44740) UniProtKB/Swiss-Prot;Acc:Q8H2D5] MPVARPEPQEPRVIAHVDMDCFYVQVEQRRNPALRGQPTAVVQYNDWKGGGLIAVSYEARGFGVKRSMRGDEAKRVCPGINLVQVPVARGKADLNLYRSAGSEVVAILANKGKCERASIDEVYLDLTDAAKEMLLQDPPDSPEEIFMEAAKSNILGLLSDAGEKEKNVRAWLCRSDADYQDKLLACGAIIVAQLRVRVLEETQFTCSAGIAHNKSSTHCSYTAQMLAKLVSGMHKPAQQTVVPSSSVQDFLASLPVKKMKQLGGKLGSSLQDDLGVETIGDLLSFTEDKLQEQYGVNTGTWLWKTARGICGEEVEDRLLPKSHGCGKTFPGPRALKNSASVKGWLDQLSEELSERIQSDLNQNKRIAQTLTLHARASKENGRDSTKKFPSKSCLLRYGTGKIQEDAMKLFESGLHEFLESQNTGWSITSLSVTASKIFDIPSGTSSILRYIKGPSSAAPPATPDPSSVPEDPSLDNDVFAKPIHEEQCRPSTSEKEDNNIHSVSAISAKQCQANKEKRISKKLPEVKGTSSILKFLSRGQSTFHEKRKSDGLICSHQGPGSSSEANKAEENNVPAEAEDRNNTNSCAEPSGNKTWMLNIQDIDPAVVEELPPEIQREIQGWVHPSKHPSTKRRGSTISSYFPPARVLNGQVDPRNGHGGDLTIGGDGKIKRRFLPIDQETKSNEGWTRRYRPRPRVDS >Sspon.03G0005760-4D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3D:20609541:20609909:1 gene:Sspon.03G0005760-4D transcript:Sspon.03G0005760-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEYLSPVGPTPLLVNPIFEIGPVEPRFSEWLVFEGISVDESGKQHFLDASVAYKRAVLNAIEYLSRFGYSKEQDLWNSRLS >Sspon.01G0012200-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:33277736:33279479:-1 gene:Sspon.01G0012200-1A transcript:Sspon.01G0012200-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVVELSFVAPSVETPRKGLWVSSLDLERANRGHTPLVYFFDPRPRSSTGDDGADNFFDPSRLKEAMAKALVAFYPVAGRVTFMKCRGVVLGTAFHHVAVDAMGDFHFMQTWSAFSRDGDGAAVELPCHQRTLLRARSPPVVHPDALSVLYRPKMTSSSSSEPAAAAAATTAGVFVISNDQLASLKRICGGASAFCAVGALVWQCACAARRLPPDAEARVSFSADIRRRGAMRPPLPDRYLGNGIITLCASGAARDISSEPLEHVAGRIQAARNRVDHELVRSAIDYYHELLSLENESGYRLQGDTMAETELRVVSWLGMPIYDADFGWGKPRRVSRAGSVRGGYVHLTRSGPEADSGIRVLVCLEAANMEEFERLFSAKLKGLLHAKL >Sspon.01G0039840-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:33055263:33056851:1 gene:Sspon.01G0039840-2D transcript:Sspon.01G0039840-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYIANGNLNDVLHGDNRPIPLDIRLRIAIECAEALAYMHSHMHTQVIHGDIKLGNILLDSNFHVKLSDFGILRVANTEKTLYTKNIIGSIGYMDPLFSLDGRLTVKYDVYSFGAVLIELITRRKAVVDNVNNVYAFTNALTRGVRGVREMFDVEIGIKNNMKVLEGVAKLAGVHEQQIIHRDLKTENILLDEDWVAKITDLSLSTTGTSVKSRQEWLELLATSILSTDRPSMGDVISDLEHALQWQERADASECAKILPSHLCRQFWFAEMEAATNNFDKSLLIDSGSFDRVYHGKIDGVAITLVDVTPVYSVCAFHSLIEITSKLGHGHLVPLIGYCDEQEMTLLVYEYVAGGNLSEHLYGTRKPPLNWIQRMEICIGVARGLCYLHGLQLTHGAVRTSNILLDEECLAKITNLALPPNLLDTHATEVCEADGYIDPEYLRT >Sspon.02G0021330-1P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2A:71829090:71829767:1 gene:Sspon.02G0021330-1P transcript:Sspon.02G0021330-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MCCFDDCCCCGGCYDSFCDRCCPCISYDTRETIFGCCICFLVLAAVVLFVVLLAAYGFIRHVEVAVEAASLTRFALVTSPATALAYNLSLTLTVRNRNWAMSIKNTEPLEANYSFDGQRFDRIKLAGEGEVHPAGKTRVYHLDSGADGLYVALGNAGEAEFRKENATGLFQVEVALAGEVRYQAHFTKCKLAATCPLKLQLAPPGTTAVVFQKVKCKLAKPDKNC >Sspon.06G0024360-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:49684815:49689096:1 gene:Sspon.06G0024360-2D transcript:Sspon.06G0024360-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRVKKTKVEKLGVVDHSKIEYQPFRKNFYIEAKDIREMQSEEVAVYRKELELKVHGKDVPKPIKTWLQSGQPSKLLDTIKKLGFEKPMPIQAQALPVEKLARKVLIKPVEIQVGGRSVVNKDITQLVEVRPESERFLRLLELLGKWCDKGKILVFVHTQDKCDSLLKNLFQHGYQCLSLHGGKDQADRESTVADFKSNVCSLLIATSVAARGLDVKELELVVNYDVPNHYEDYVHRVGRTGRAGRKGSAVTFISEEEERYAPDLVKVLELSEQTVPEDLKALADRFMAKVKQGTERTHGTGYGGSGFKFNEEEDEAHKSTKKAQAREYGYEEDKSDSDFDEEEVHKAGDDLDVQAVSEPGANDEARLHALEALARIQRHAVPDHYEAELEINDFPRYARWRITHKDTLGPIQEGGVAITIRGTYIAQGKIVGANERKLYLFIEGASESCVKRAKAELKRVLEDCVNQDETCFPIMLSVF >Sspon.02G0017570-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:51020947:51021582:1 gene:Sspon.02G0017570-2B transcript:Sspon.02G0017570-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADHHLLHTPPPSNDLKLSGRAQHEPPNKALLRILRIRRIVHAFLSKHAGRVAMALGGARALLLDLLSKKQAAGVDTRRKQIKRKQREETNNTGPPCCICGCVEMHLNLLPPSWLSSSAKTTAVLEPLDEPGLLHYSYYDPSWNMEIPPELQLPPIAGYLERPDEEYGIMEDDDDEGQEDGGGYHHEIDSLAERFIARCHERFMLEKQESHR >Sspon.06G0010120-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:43557241:43559702:1 gene:Sspon.06G0010120-2B transcript:Sspon.06G0010120-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIYELQRHLVAAGVWGEPFRPDADAVALPLPAVVPTVTLATPALDVVVEAEEVKFGKRLAQQDDVAPAVEEEAPPPSSDSFGYDDDARPRDKRRLAQNREAARKSRLRKKAYIQNLETSRMKLAQLEQELTMARRQQHGAYGVGGGGVAAPAAVDPLVAAFELEYAHWVEEQDRQATELRAALQSHAPDVQLRVLVDAGLAHYGALFQAKARVARSDAFFVLSGVWRAPAERFFLWIGGFRPSELLKVLAPQLDPLMELQAAEVRKLQNTARQLEDALTQGMNKLQQTLVETLMTVDVVSPDGAAAGWYAAQQMASAVGKLADLVDFVDKAKPKSLALARSIGVRLLVSDRPTSTLLAQADHLRQQTLRNMHKILTPRQAARGLLALADYGQRLRTLSSLWAARRREPA >Sspon.02G0057270-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2D:55120739:55121099:1 gene:Sspon.02G0057270-1D transcript:Sspon.02G0057270-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDKKLFLFVDVEDKPAEMFSNSAITEAEAGVSNVGDDNATEPGDWGNPAVVSHEIDWDSLEITPLIEDQIGTVLPAMDEDAMYEFVGLRAEDETACYKGTIELRMPGSVVEIDVVHTEE >Sspon.07G0014450-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:51526868:51534604:-1 gene:Sspon.07G0014450-4D transcript:Sspon.07G0014450-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SUMO (small ubiquitin-related modifier) E3-ligase, Abiotic stress response, Stress adaptatio [Source: Projected from Oryza sativa (Os05g0125000)] MQWPQYAELQVNGIPVRVMTRPGSQLLGINGRDDGPLVTTCSREGINKISLSRVDARTFCFGVRIVRRRTVTQVLDLIPKEGEGESFEDALARVRRCLGGGGATDNADSDSDLEVVTESVTVNLRCPNSGSRMRTAGRFKPCVHMGCFDLETFVELNQRSRKWQCPICLKNYSLENLMIDPYFNRITSLLRNCSEDVNELDVKPDGSWRVKGDAASRDLSQWHMPDGTLCDSKEDTNPGVTSVNEFEREGTSDGHRTLKLGIKKNPDGSWQVSNKAGDKKPGGRNHIQNKKGFSTPKMPMISSPTGSYRDGEDASVNQEGGGIQFDTALNQEFDSFAHNFGQTYNTEDRQQQPLHNAADVIVLSDSDEENDPIVCPPPVYANTPTNGESFPFVTDARSGYPERYQEDAGVGTSGLGLLSNTGDFEIINWQMPYTQPEQAFQFFGTNTDVGNPFGGPHNSFNIAPEDYSLDCNVGIEDPSAAHDVSICRNSNDVHGSLVDNPLALAGDDPSLQIFLPSQPSTVPLQEELSEHANTPNGVHPDDWRISLTLRPVEGVMKSLQALMSKITAKSSTKRGRSRTFT >Sspon.02G0033930-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:10618390:10621498:-1 gene:Sspon.02G0033930-2C transcript:Sspon.02G0033930-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMGLEDGDYGAFMEKFELLPSQSQQQLPLHGLTFAVKDIFDISGRVTGFGNPDWARTHAPPVPPPPSSWRHWPPAPSASARPSWMRWPTDIQTESRQADEATEIQFNFHSINGENAHYGTPTNPCAPDRVPGGSSSGSAVAVAAKLVDFALDDAIDNRNLGEFISSNVPTVGKFISDFSRSEAASVPALSVISYVMRCLQRSEFKANHAEWVNTVKPNLGPGIRERVYEAFASEDGPMEDFHVLKTEFKLALSALVKDDGILAIPTVPGSPPKLRMEAVALENFRARAFSLLSIAGLSGFCQLSIPLGVRHGVPVSVSLVACHGADRFLLSVAQELYETLKEETGKAWSSPDSSL >Sspon.06G0020970-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:13194878:13198634:1 gene:Sspon.06G0020970-2C transcript:Sspon.06G0020970-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DDHFLAHGARHRLQSDWEQPPSSLFIISAINTNMGGKNTERARKALDAMKELGISRKQATPVLKELLATFDNNWEPIEDEHYRALADAIFARQDNKQTSPSQQGAQAAHADLEPNGSTRDDLQQYVYASHDDTGEDDNETPLVKRPRMGTANFRPEPLPFEPGPQQSAVSTQGALPASPQGSHRQTRSLTVVPHAAGHEYPLAVDDALIVKEPKPEPQIDIAEVSVGDPLTDRDFLAGPEAIRLNGGSSGSGARGSTVNQARSVGRSLQPAPVCKNGVGSTVQNTETSFVEVDVASSANGEVKMSLKCSLDSSNFTISMEEVFKMVEEKCLHSYKVLPPDFSIGKLMSEVCQSVVQLGTMHSEVNRDDGSLHNEVVAPFVKPIACEAAVGINDNAAGGSSVPESSEPCLQNSLVTWDPELAHSKQKTTHDITDISKGEERVFFTRDGKGWGVRTVEDLPKGAFVCEYVGEVLTSAELHERAIENARNGKRMHQVLLDAAWGSSVLKDEEALSLDGSFYGNVGRFINHRCYDANLVQIPVEVETPDHHYYHLAFFTNKKVEAFEELTWDYGIDFDDVEGPSKPFRCMCGSRYCRDPKNPRRMGRAAAKRK >Sspon.02G0031560-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:91012067:91013645:-1 gene:Sspon.02G0031560-4D transcript:Sspon.02G0031560-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVAGSYERFIWGFSLKTLTSPSATATGASPSETLTLAPLFSYPAHSGPVRCVAAAPRAGLAASGGADDSVRLYDLPSAADLGPLLDPSAAVSALAFYSRGPVPRNLLAACDDGSLLLYDADGFALLATLRAFPRHEAVEGLAVHPSGRVALAVGRAGALAMLNLVRGRRSFACRLERPASAVAYAEDRDGGDRFVMAAEEKVSVHDSVDARIIHQMDCGKRVLAMAPAKNGVLYTGGEDRCVTAWDLSSGKVSSRIEGAHATRVKGVVVFDNRKGGSELSNLIASASSDGIIRIWDIRTIGNGKPTPLAEANTKARLTCLAGTSLK >Sspon.07G0036180-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7D:23818350:23819908:1 gene:Sspon.07G0036180-1D transcript:Sspon.07G0036180-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATLRKQLVQSRKTTSLFEYNNDTRKHLIARVRIGRNEEDDLTPRSPSSVNSRDDLERGNKDQTCQVNIDLTRPSVRLALSCYALSC >Sspon.08G0007570-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:25079525:25083109:1 gene:Sspon.08G0007570-1A transcript:Sspon.08G0007570-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLCPVSSVSCAQSADELTIYHARCCLLFCPNTDGGGGYGGGDSGGGYGGGGSGGGYGGGGGGGYTPGYSGTGTCDYWKSHPDAIISCIGSLGSILGSLGDVCSAFFGSKLQTLQDALCNTRTDCYGDLLREGAAAYLNSVASKKYAYSTQQVKDCIAVALTSEAAAVAQAAMFKKANYACHY >Sspon.01G0053190-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:33127333:33133604:-1 gene:Sspon.01G0053190-1C transcript:Sspon.01G0053190-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVSSAWILCGVGSRGRDPVWSGVMGSTAVADNVSPDLVSPDFVFAVPSIGCRFGASNEREEGGATDGSPRLEGQYRSRGAQLTLRCLAADHKNRPSVREVVAVLEEIESMSRAEARLDGETLDDEKSRENEDKSGTAQLSNGQNFSL >Sspon.01G0000790-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:1652662:1661373:1 gene:Sspon.01G0000790-2B transcript:Sspon.01G0000790-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRSGSMRRSSAPTPTRAAAPAFTVSPADYRLLEEVGYGANAVVYRAEFIPTGRTVAVKCLDLDRVNSNLDDVRKETQTMSLIDHPNVIRSYCSFVVGHNLWVVMPFMSEGSCLHLMKVAYPDGFEEPVIASVLKETLKALDYLHRQGHIHRDVKAGNILIDNPGVVKLGDFGVSACMFDRGDRQRARNTFVGTPCWMAPEVLQPGTGYNFKADIWSFGITALELAHGHAPFSKYPPMKVLLMTLQNAPPGLDYDRDKRFSKSFKEMVAMCLVKDQTKRPTAEKLLKHSFFKNAKPPELTVKSILTDLPPLWDRVKALQLKDAAQLALKRMPSSEQEALSMSEYQRGVSAWNFDIEDLKAQASLICDDDPPEIKEDDDTGRITDIDKDTSSDGYFGKPTPSNGNNCSERSSAAANPCQNGPETSEVLSSNNGSAYSERKADGPKNPGSENDSLPSTSKHDPDGKDCRSEVRQKQRTYSGPVLQSGPHNSLMTERDRIIERDAGVQSVSDKQKNGTRRANNLSGPLSLPTRASANSLSLSRTNNLSGPLSLSTRASANSLSAPIRSSAGYVGSLGDKPKRTMVEIKGRFSVTSENVDLAKGPSLRKSASVGDWSVNDKTTSTNHQRKELCNSSVSTSILIPHLQNLVKQTAFQQDLITNLLSSLQQNERVDAAQSRVQSTGSDTVVEAATAEREHSLLLQEELNALYCQEEIVDMREDENEEA >Sspon.01G0020260-3P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:80765649:80767186:-1 gene:Sspon.01G0020260-3P transcript:Sspon.01G0020260-3P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHARLLLAAFALLVLQILCFSSHEASAATCHATGFVRGKGEHCSKERGSDSCCVAGKHYPQFNCSPPVSAKTPAIMVFTIFDNGEGGADIRITSCDQRSHLNKERLVILSSGWLRLDGTNRCNKKIRIRAKNGRSVRAKVVDECDSVNGCDEEHGFGPPCRNNVLNASPGVWKALRLNGTIGEVKDRLHFNSIAGVVGNLHDPQRPPHAGLNSRASSMQNRSPTTSMSTTPTTSKIAKTPKTARTSTVVIAPISCFLGQERDRDRTSELTVGLPPRHWRE >Sspon.02G0037690-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2B:35206430:35206708:1 gene:Sspon.02G0037690-1B transcript:Sspon.02G0037690-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDSGPVQGQGSSHFLETPSNIFEAKGEVGDGIPSEGGIEPSDPIKRSRDPHDNSLALLESASAAATGRGTGSHSNYPLVAHQRSSEPTHQG >Sspon.04G0033430-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4D:58920604:58921933:-1 gene:Sspon.04G0033430-2D transcript:Sspon.04G0033430-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:At1g29990 [Source:Projected from Arabidopsis thaliana (AT1G29990) UniProtKB/TrEMBL;Acc:Q2HIK4] ELELLSDGANVYKLIGPVLVKQDLAEAKANVKKRIEYISAELKRMDRALKDLEEKQNSKKESKRKQQHLD >Sspon.08G0022990-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:52133008:52140803:-1 gene:Sspon.08G0022990-1B transcript:Sspon.08G0022990-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hydroxyproline O-arabinosyltransferase 1 [Source:Projected from Arabidopsis thaliana (AT5G25265) UniProtKB/Swiss-Prot;Acc:Q8W4E6] MAAPCGRGGGGTLTLVLVSLSAAFLTYNVLISFRSSLQPLPSSFPTATSRRFGARRRAFHTAVTASGNAYNTWQCRVMYHWFKEARRAPGGGEMGGFTRVLHSGKPDEFMDEIPTFVADPLPDGDQGYIVLNRPWAFVQWLQKADIKEDYILMAEPDHIIVKPIPNLSRDGQAAAFHFFYIEPKKYENALRKFFPEDKGPITKIDPIGNSPVIIEKESLGRIAPTWMNVSIAMKKDLDADKSFGWVLEMYAYAVASALHGVGNILHKDFMIQPPWDLEVGDAFIIHYTYGCDYDMTGKSTYGKIGEWRFDKRSYEDKPPPRNLPLPPNGVPQSV >Sspon.01G0013380-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:36472413:36489626:-1 gene:Sspon.01G0013380-2C transcript:Sspon.01G0013380-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AECGPEVPTLVQQEDVQGLVDIGDGVADEKSNLDVLNLEGSDVYGNCAQGLDDLGLKDSLSVLFTRSGRKSLQVSKEAEGMEVSCSHDEDGLNKGSGLAPDTSSKGTKRRRRRTKEEMKNACVQDRKASLPRKAKAKANGSKSTRYYKVGAGPGQALGGLSSVSPELEKPVGEENAADDGLFHRSSAEELLRDVEASKVLQDGSINSFNGVTDHFEISAWASNHPGLESYSGNLDEKTSCTAANTTNVGVSDAHTCSQTLGKESSDDVDCSQGKSPTSTIKRKTGLKPKQVPGKSVRRKEAVLSVDADNKPTETTEIIEASTTMVIEENLDQLPVMGPKDSCSSHDIVGNNPMCLGADMAAQPVKDVDIVDVAAPLDYEDKENASKVKLKRVTRGSKKRKHGDMAYEGDVDWETLMQEQGLFSNPSAGFPDQSIKTKDKVRASEVYKGGGDTGVAAVRAGLKAKTITPIEKIKFKEVLKRKGGLQEYLECRNMILSRWSKDVKHLLDLADCGVSDVPLKDELPHQALTRDVFLFLDQYGYINAGIASAKVAKGHDDTPYEVVEVPKLNESHQMESVSIQNSIVSISQKNKDFECGTSIECCRTVSVKNTECALVEASNEKNCPTVHCGALELLPHLKSEEHLTEKNNLDMSTEVRNASLPSSNLDIQSTLYLDSSVGKAEVPHQQEAREIENSGNSCRSDRVDFAVHGKRIIIVGAGPAGLTAARHLQRQGFSVTVLEARERIGGRVYTDRTSLSVPVDLGASIITGVEADIATERRADPSSLICSQLGLELTTLNSACPLYDVVTGDKVPDSLDEDLEAEYNGLLEELALLFAQNGDSAIGLSLEDGLEYALRKHRATQPMDSVEQDGHLRFMTNSGAVDISVSASTGKEIDHCGKNDKIDVLSPLERRLMNWHFAHLEYGCAATLKSVSLPYWNQDDVYGGFGGAHCMIKGGYDTVLRNLAKGLDIRLNHVVTEVLYGPEELGASRKDGKYVKVSTSTGSEFTGDAVLITVPLGCLKAETIKFSPSLPDWKVSSINRLGFGVLNKIVLEFPEVFWDDNVDYFGATAEETDLRGQCFMFWNLRKTVGAPVLIALLVGKAAIDGQSISSGDHVNNAMVVLRKLFRNASVPDPVASVVTNWGLDPFSRGAYSYVAVGASGRDYDILGRPVENCLFFAGEATCKEHPDTVGGAILSGLREAVRIIDLLNTGNDYIAEVEALQTYQMQSDSERNEVRDMSNRLEACELSTALSKNSSDAMYPIVSKESLLQEMFFSAKTTSGRLHLAKELLKLPTDVLKSFAGSKEGLNTLNSWILVRIGKTVKEKVCVHTSRDIRAIARQLVSVWIEVFRREKDRNGGLKFLRRIPSIELSKTKSKDLQSGKPALRVPNETLDNNKVVSQRQRTRFACSQSPPKTNKYENKEMKLETVTAAMSNGKLLSQKQHHVAECGPEVPTLVQQEDVHGLVDIGDGVADEKSNLDVLNLEGSDVYGNCAQGLDDLGLKDSLSVLFTRSGRKSLQVSKEAEGMEVSCSHDEDGLNKGSGLAPDTSSKGTKRRRRRTKEEMKNACVQDRKASLPRKAKAKANGSKSTRHYKVGAGPGQALGGLSSVSPELEKPVGEENAADDGLFHRSSAEELLRDVESSKVLQDGSINSFNGVTDHFEISAWASNHPGLESYSGNLDEKTSCTAANTTNVGVSDAHTCSQTLGKESSDDVDCSQGKSPTSTIKRKTGLKPKQVPGKSVRRKEAVLSVDADNKPTETTEIIEASTTMVIEENLDQLPVMGPKDSCSSHDIVGNNPMCLGADMAAQPVKDVDIVDVAAPLDYEDKENASKVKLKRVTRGSKKRKHGDMAYEGDVDWETLMQEQGLFSNPSAGFPDQSIKTKDKVRASEVYKGGGDTGVAAVRAGLKAKTITPIEKIKFKEVLKRKGGLQEYLECRNMILSRWSKDVKHLLDLADCGVSDVPLKDELPHQALTRDVFLFLDQYGYINAGIASAKVAKGHDDTPYEVVEVPKLNESHQMESVSIQNSIVSISQKNKDFECGTSIECCRTVSVKNTECALVEASNEKNCPTVHCGALELLPHLKSEEHLTEKNNLDMSTEVRNASLPSSNLDIQSTLYLDSSVGKAEVPHQQEAREIENSGNSCRSDRVDFAVHGKRIIIVGAGPAGLTAARHLQRQGFSVTVLEARERIGGRVYTDRTSLSVPVDLGASIITGVEADIATERRADPSSLICSQLGLELATLNSACPLYDVVTGDKVPDSLDEDLEAEYNGLLEELALLFAQNGDSAIGLSLEDGLEYALRKHRATQPMDSVEQDGHLRFMTNSGAVDISVSASTGKEIDHCGKNDKIDVLSPLERRLMNWHFAHLEYGCAATLKSVSLPYWNQDDVYGGFGGAHCMIKGGYDTVLRNLAKGLDIRLNHVVTEVLYGPEELGASRKDGKYVKVSTSTGSEFTGDAVLITVPLGCLKAETIKFSPSLPDWKVSSINRLGFGVLNKIVLEFPEVFWDDNVDYFGATAEETDLRGQCFMFWNLRKTVGAPVLIALLVGKAAIDGQSISSGDHVNNAMVVLRKLFRNASVPDPVASVVTNWGLDPFSRGAYSYVAVGASGRDYDILGRPVENCLFFAGEATCKEHPDTVGGAILSGLREAVRIIDLLNTGNDYIAEVEALQTYQMQSDSERNEVKDMSNRLEACELSTALSKNSSDAMYPIVSKESLLQEMFFSAKTTSGRLHLAKELLKLPTDVLKSFAGSKEGLNTLNSWILDSLGKNATQLLRHCVRLLVLVSTDLVAVRLSGIGKTVKEKVCVHTSRDIRAIARQLVSVWIEVFRREKDRNGGLKLLRRVPSIELSKTKSKDLQSGKPALRVPNETLDNNKVVSQRQRTRFASSQSPPKTNKYENKEMKLETVTAAMSNGKLLSQKQQHGIESKVECAIPMSEEEAAAFAAAEAARVAAIAAAQAYASVEAEISVPRELPEIPSFESFVIRDHHLDESNTRKRALKDNFGRLECISENDSKNVKAKDLPDNTNCADADSLKMSRDNCTQQNHSNETACLANTDTGVVYGRFTRAWVDTDTICIDGVKDPLAIERWQAQAMEADKEFYSRIRIPDEDDSSSQKQTCRSSASQGADSKPASERQSRGVEHIKQGLVNFIASLLMPLYRGKKIDREGYKSIMRKSVNKIIDTCSEGEKSMTTLEFLDAKRKIKKPAWLISVAARSARAPITQTISVVQKSIDQINTMQAWAAVINLHKYSKLWPEVGDRPSVVDAETLHYLRGQI >Sspon.08G0004190-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:12113771:12114502:1 gene:Sspon.08G0004190-1A transcript:Sspon.08G0004190-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMSDTGSSFAQWAALYHHHHDATGAVLPDDAAADVLGTTAAAAVASPTSGGSSGGSPTKAGGQQQLLHGVEGPRIGKPAAARRRSRASRRAPVTLLNTDTANFRAMVQQFTGIPAPPAGAFGVGAPAGVPVINFAADYGFPPSSAVMSFDHQVHRSHSHHPAALLQEQLLRRQQQQYTGASSSPFGYSNGGLLQGGGGDVFASYGHGFAASAEDRMLLQSIQAAQMPPVSAANTTSTNGFFA >Sspon.04G0004740-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:13858785:13861961:-1 gene:Sspon.04G0004740-1A transcript:Sspon.04G0004740-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein S-acyltransferase 18 [Source:Projected from Arabidopsis thaliana (AT4G01730) UniProtKB/Swiss-Prot;Acc:Q9M115] MARCGDRDIVTAAMARQPWRRRHGWQLPLHPLQLVAAAVFALLVAAFYVVLGPYIGNTLAGNILLATFSFSAAAAAALYVRCTAVDPSDRTDAKKTKRRRQLARGGGATAKLPRLRYGYILWRYAVRLLRRVEARVTNRWVRRSYLEQWNTSVQLDPMLPFAFTSLDDIVSPCATAEGHDISFCPICDCEVKLRSKHCKTCERCVDGFDHHCRWLNNCIGRRNYATFILLMFFVLLMLVIEGGTAIAIFIRCFVDSKGVKMEMEHRLHMRLPKGAHAALSMTFVIFTLYSTAALGQLFFFHMVLIRKGMRTYDYILAMREAGAAFDPFEDSDSDESIDFDSPEKPSFFSRVFCRKDEVNESARKLQEVRIESDQMDASGRKDDIQINPWTLIKMSKEKAMAAAERARERIRQKLPTSPMKPLPVETKRGPLNPERKHITTGKEIVPVFTKSWLSVSPTARISSPRRRFSGSSSPKPQRYRSNFDLRLAEVSRDLETHISKQVLCSVVMKGVEDEGYSSCVMYLYIIRPKGSVI >Sspon.02G0011480-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:30654457:30655787:-1 gene:Sspon.02G0011480-1A transcript:Sspon.02G0011480-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPYRIPNPAAAEIHAILSSLTGICISGDEKTRHLKRLENAAGNLWIFKADLLDYDAMAAAVVGCQGVFHVATPVPSENLTDPEARGPDLVRNKLWHIVDVRDLADALLLLYEVPEATGRHICAPHVISARDLLDLLKSMYPDYPFITK >Sspon.01G0046240-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1B:96675862:96676067:1 gene:Sspon.01G0046240-1B transcript:Sspon.01G0046240-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ENGGRPDGVLLVGSMARRQGLLSPDQSRRSALGGGAVRSCEERREGRRREEKETNPLPVWGQNQIPR >Sspon.08G0007120-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:20471257:20473867:-1 gene:Sspon.08G0007120-2B transcript:Sspon.08G0007120-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAIAADGSIWLRSSQVSLCIAAATGRRRAGGDYSGSRSHGMGIRVSQAAKAISSPVPVEWYPTLAVVMVSVGLMFTASFFIYEATSSRRSRSLAKEIATAAVASVFLGFGSLFVLLASGVYV >Sspon.05G0012890-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:40674633:40674845:-1 gene:Sspon.05G0012890-1A transcript:Sspon.05G0012890-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding CWTGRRWWWASCSSCCSRRACSSSSPACTAGSTSAASASPARPPPSTPSSSSPSSSSSPRRATSTSTPARS >Sspon.04G0036320-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4D:28328888:28329568:1 gene:Sspon.04G0036320-1D transcript:Sspon.04G0036320-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPDQLTAAITDLAHSVAAIQFYLGIPPLQSTSWPLPQSAVASLPPVFPYGMPGYSTTLLPFQDVQPTVQPTLPQIKQRKDIAVQPMLIHQVSAVVRLQAAARGLRARRRLQEMRQKMQEAVRLQAAAHGLLARRQAREMRGLQLVPVPRAPLLCHQAALRHMEGPDLVRCVMEIGRGIATSGGELGVYSAGVWGRGCVATHRRTLISAAVLRHRSTRGRIRWSLS >Sspon.07G0024080-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:20235234:20245011:-1 gene:Sspon.07G0024080-3D transcript:Sspon.07G0024080-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein PALE CRESS, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G48120) UniProtKB/Swiss-Prot;Acc:Q39089] MATAAPALPTFLRLWPASTFLLSPSLHVSSSRRHTPSRLNSLRPARPFFPISAVEKTNEAAAAGEGELEGMPPEFYDEEWQARQRERTKEWHAYRQKEEAEEERITNEYREIGMRLKAYPQEEEIEKAAARGELTELVLMVIWNRLDVARRDDERDAIRSLDLLYRRVETEILKSEATPAMSLLNELLNLHDGGDNEKWLKKCRKRMLEVFPREDPFTVVFPAGFNMENHEGRIELPPQDDDLLLRVDFVREVDELLKEVQAEQDKNKQQIGFDPESVAYMLKQQEKMQTIRQVESLLNLASSLKW >Sspon.05G0007860-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:26001184:26006354:1 gene:Sspon.05G0007860-3D transcript:Sspon.05G0007860-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAAPLDDAKAKNVIRQVEFYFSDSNLPRDGFLRRTVEESEDGCKNALVFISDDLAGSGLSGANFVLSPFGRGADWAVVSLALICSFSRMRSHLGLEGDVKPDTVPEETVLAVADVLRRSTALRVSEDGKKVGRSKELLKPDEVIEQVDSRTVAASPLPYNVKLEEVESFFAQCGKVNSVRLPRHVSDKRHFCGTALVEFSDEDEAKGVFEKNLVFAGADLQIRPKKEFDTEREAKKEAFEKSHPNKSGHGEGYPKGLILAFKLKKIAVDGETEKNGGGKVDDSDLTKNEEASDATEKSSVENEEKIPENKGNVSEVQSDAVEELKGVSAGETSQSVDKDDKSPSDNDQDTISREDLKEEFSKFGTVRYVDFSIGEDSGFIRFEDSTAADKARAFAAIADEDGLTMKGHILTLEPVSGQAEKDYWSAIRGGQEKYKDSRNNRGRDWKNNRGGKQFGGGKRGRHFDSRDRASNKAQKV >Sspon.06G0016760-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6A:91112394:91113821:-1 gene:Sspon.06G0016760-1A transcript:Sspon.06G0016760-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding PFCSGFASRRDSTPRDTRRGDHTRLPGRQPPTPLLACQEGKTAGGRANHEHEVRQAGTAAPHSGSRLASRRRVQSCGLRRRDRAGRHINHKATAKPARSQLHPGTGSRPVPRRPRDMVEMKMRKIKARLQQLVVGERVLPQPLPQAMLPASSSLQTQTSCYTYNEMQSMTVLEEPRPLQQDWRLANLSPKLQSLR >Sspon.08G0020150-1P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8D:17430637:17432270:1 gene:Sspon.08G0020150-1P transcript:Sspon.08G0020150-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVLPLAAASASAAPRTCISGGLVPVPFLGTRMRLRIHSPPRGVACALRRRPSKYKTKIQSEEDVVAAEDVMDDDDDEDGALEALFKQLEEDLENDDLSVDDDDDGISEEDMARFEKELAEAIEDVSGIDESAGGSLLSSGDYGIDEQIDGSERPELKTWQLRRLARALKIGRRKISIKNLAGELGLDRGLVIEMLRNPPPKLLLMSDSLPDEAPAKSEVKELEPPSSTTVDEVDTTEIKPQLELPVHVMSAEWSARKRLKKVQLETLERVYLRSKRPTNTMISSIVQVTNLPRKTIVKWFEDRREQDGVPDHRVAFKRSLSEIGASS >Sspon.01G0027990-2D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1D:93436765:93436944:1 gene:Sspon.01G0027990-2D transcript:Sspon.01G0027990-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLGLGGCCGSVTVAAVSSPAAGRKQSESGGGAGYPEAKQQQQEARRGRRGIVRRLPWL >Sspon.07G0000420-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:698510:700566:-1 gene:Sspon.07G0000420-2B transcript:Sspon.07G0000420-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLCREGRVKDAVELLAKVAHADPPAFYELATACSNPKLLEELRKVHDFFLRSPFRGDLRVNNKLLEMYAKCAAMPHARRTFDNMPDRDMDSWHIMIDGYSVNGLGDEALRLFELMKECMAPTSQTYLLVLNACANSEAIEEALLYFDAMSRDHGIELGVEHYVGIIEVLGKSGHLNEALEFIEKLPFEPNAMVWESLLNLARMNGEIDLEDRAEELLVSLDPSKANPKKLPTPPPKRRLGINMLDGRNKLVEYRLPPKIEKKVVNEQRYVPDTRYVLHDIDQEAKEQALLYHSERLAIAYGLISTPARTPLRIIKNLRICGDCHNAIKIMSRIVGRELIVRDNKRFHHFKDGKCSCGDYCLCWDMLLGDMRLHESHAEYIGVKT >Sspon.04G0015890-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:65101397:65107473:-1 gene:Sspon.04G0015890-2C transcript:Sspon.04G0015890-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTNDNTASNAAGAGPSKQQQQQPLPSNHPSRTNKDGGKPAAGVKRREQQWRRHGKRDAEGPRASDAKTLRRLAQNREAARKSRLRKKVAGGTRQDDAPPAGGAGGGARHGGGGDVDVDGGDAQLLRQLVDAAAAHHGVLAELKAVAARADAFHLVSGAWVSAAERCFFWIGGFRPSELIKPLTEQQAMGVCGVQQWARDAEAALDHELQAMHRSVSEAVSSDAAALLFPYSDVPGFMATMSLAISKLASLEAFALHQLPQILTVRQSARCFLAIADYSHRLRALSELWHTRPSQDPAASNPAAGYSSQRPPYQ >Sspon.08G0010050-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:43997645:43999356:-1 gene:Sspon.08G0010050-1A transcript:Sspon.08G0010050-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELVVSMVVGPLLSLVKEKASSYLLEQYKVMEGMEEQHKILMRKLPAILDVITDAEEKATHREGVKAWLKEVKTVAYEANEAFDEFNYEALRREAKEKGHIRKLGFEGVKLFPTHNRVAFRNRMGNKLRRIVQTIEVLVAEMNAFGFNYHQQAPASKQWRQTTSIVIDPENIARKSRDEETKKIVKILIDNASNAYLAVLPIFGMGGMGKTTLAQLIYNDPDVQKHFEPCKWVCVSDDFDVCNIVNKFCDSASGKDLEKALQQLKKELSGKRYLLVLDDVWNEDINKWEKLKACLEQGGMGSVVLTTTRKEGIAELMGSVKAHNIAGLDNKFIKEIIETKAFSSKESKLDELVKMVDDFVNKCSGSPLAANALGSVLRGKTRKEEWDAVLSKSIAHNGEDEILPVLKLSYDDLPSHMKQCFAFCAVFPKDHDINVELLIQLWMANGFIRQEKDVHPETT >Sspon.03G0030650-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:22645360:22649266:-1 gene:Sspon.03G0030650-1P transcript:Sspon.03G0030650-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDGYKDANLAPTIERTVRGVAGVDLMLLLFIDAVYSFLLTRFARLCRLPAPCPFCSRLDHVLGNEKPCFYRELICKTHKSEISSLAFCSLHQKLAGAQNMCEGCCGKVPDDDKTDETVMDANVLDSKQRIDDALNSPGQKVCSCCGQHFKQRSIALSSRKNAKLEPTGAFGSPKTYTEYSVVCQVDEPLEPKDIYHQTDYRSNERDGLLQMTSDSEIEVPCANDVKVHNLVKPVLWTKPYKKMQLESEIIVKKEPSVEDTCNTSSACPAVDDHPNSGGDVDQTEEKESLSRKWAPRHDPVLVIENQVNNHADAGISQIPVMPSDELPQVPGEIEPSQSTNEGNADPYTSQFTILEEHYAVSEEGNIKYNCGDIHVSQVGAGSKTCGEVEDCTKKIEPTGDMGAHELIVQGPFDSAPKDLIDKDYVDEPHISASTVRSSGEVPQDHSATEEYPKTSDSIVERRPSLSTQISMNEAYRLAIGNKGSLPSPTLTDVILGKDSTSSINEELRLLLSQLSASRGLEAPWVDPGPSPRAYGRGDELVVQNITNRISLERNASGLESLDGSIVSEMEGESTIDRLRRQVDLDRKSIHLLCRELEEERNASAIAASQALAMITKLQDEKAAMQMEASHYQRTMEEQAEYDSEALAKTNELLAEREQQIEELEIELKNYRRQYGGGAIEERGNQAAFNTQQGINSLVSLEEERAYIASGLRKLEQKLQFYSNNSVSVDLPSPDVKDDLSDKVYVIEDFSLHRQKSSMESKEAGSSTTSGEVDLVAVQEEIAKLNRRLKTLEGDRSFLEHSINSLKNGDEGLMFIQEIACNLRELRAIAIDRK >Sspon.01G0017310-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:59803419:59805515:1 gene:Sspon.01G0017310-4D transcript:Sspon.01G0017310-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SPX domain-containing protein 3 [Source:Projected from Arabidopsis thaliana (AT2G45130) UniProtKB/Swiss-Prot;Acc:Q5PP62] MKFGKRLKKQVEESLPEWRDKFLGYKRLKRLVRLVSATASSSSPRRRGSAAEAAFLRLLDAEVDRFNAFFLEREEDFELKETAEDARRRPLSAAETRSVRKEIVDLHGEMVLLLNYSAINYTGLAKILKKYDKRTGRLLRLPFIEKVLGQPFFATELVSRLVRECEATMEAVFEASRGHAGAPAGTAPVAAVAAAGQGIFRNTVAALVTMGELRSGSSTYGHFSLPPMAPPESDLLRCVCVQVAAGPVSI >Sspon.05G0015520-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5A:59829506:59832128:1 gene:Sspon.05G0015520-1A transcript:Sspon.05G0015520-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding QATLGNLESVGWSTIECSVGSMKPVRLCTLNSTSGMYRLDLEYDDEQNVVFSVIGDSSVHLAGYYKIPDAAANVQALVTQNQEADDREITHVHGIAQETTHVHGIAQEHNALDTEAHNDGTNQMVGQAGLNAAANVDVLVTQSQEDDGHETTHAHDIAQGHNAQEIEVHNDGPNQMVGQSGLNMNIFDEASLCSGHRPVYKEDVGGPIDELKIDELKSGDCNAEIASDGKEVEVKYIGMLKDGKVFDSSEGHPATFKFTLGMRVGGKRKLTIPPALAYKDKPQKKTRPDEPQKETIPDEPQKEIIPANSWLVYEIELLRVSDPGEEKPKKKKSKKSRSVNRH >Sspon.05G0034360-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:54359436:54363856:1 gene:Sspon.05G0034360-1C transcript:Sspon.05G0034360-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MCHRQEIVLVAIALMAASILQAVSSAATTSANLTGDDAAKTAYDVLEQNNLPRGLLPLGVKSYVLHPGDAPGECSIFVTVAGKQFKFRFESTVSGTIKSGSISHMSGVSIQVEFAWLGINQVSHAGDQLNIQLEKSAQSFPVSAFAQIMCHRLELVLVAVALMAASILQAVSSTATNSANLTGDDAAKTAYDVLEQNNLPRGLLPLGVKSYVLQPGGAFQVTLPGECNFFVTVAGKQFKFRFESTVSGTIKSGSISHVSGVSIHVEFAWLGINQVSRAGDQLNIQLEKSTQSFPVSAFAQSARCS >Sspon.01G0049720-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:96163792:96167869:-1 gene:Sspon.01G0049720-2C transcript:Sspon.01G0049720-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMPLGVLELKGFVRSGFEAQGFLVNAAEFGLNNDSSVVGGYQQFDYLLGPPQPEVYEGMQMQNINTNIIHRRRPSNASCLDHTEELTSYDNDDRAISFGSSCSTGIASYPYSTPLQSNSHISSTRDGTWDALMQMQKALEASNSDNGLNEECSDLTFNHAELSGGNTMKHQVVWDNGSLKSPSFTSSYLPFHGDTETTLTSASTVYSFQNFADVQHKMYNNEQNKLYFEVELPHQKGPTRSHVNGSRDGIVDNVSKKSPLLYECEEQMEIDSLLNSFGVSTDSFSQTCGMFEQSDNLVEFGMKIELDESGPAACFSNTTPYMQTGPPESAISDGSSYPEQYQSTSQTCGLFYDSASQWQNMSSSGLPLLDCRKSIGEPSSIINLGRNGKGHLLSTSEGTLVQQPQSVATDTRLEMADNVANCYLEFTGSLDGQSCPIGASVCHDEAMAAKVLQTAQPDIVTDCTFGVGTSNHAGRSDMQLPITQTTVQEPGLSLSKDLNSSCIQGTEIKKVDLTAKYYSECHGILRPKPFQQNTPESMGIKTCMYGCDDYSQIVDPQQSTIVSASKPSHSSVLPVDKLDDKVVSQQKKRKRATEKLLPWHAQVMTVHGSRHRRRIPELDWALATRRLVEKVDGENATMGSSSFSTRAQKRLILTTSLIQYILPVVPARLLATNVTNSAETIVYHLSKFALSDACDTVLSVGNDDTLQNQSSTSAMEDSKLLSEVLETYGSRFGKLEISLLSAEKKMTLHDMASELQNLEKWYIVYHLAKCHGYANNSGLDPCTATVKKHDGAASAPVNSLSSIKCCLLN >Sspon.05G0009980-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:19886568:19892826:-1 gene:Sspon.05G0009980-3C transcript:Sspon.05G0009980-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABC transporter B family member 19 [Source:Projected from Arabidopsis thaliana (AT3G28860) UniProtKB/Swiss-Prot;Acc:Q9LJX0] MAEGDAGKAEAGSCSGAGAGGGGGCDAVKKRPEQSVAFHELFVFADPLDWLLMAAGSAGAVVHGAAMPVFFLLFGELVNGFGKNQHNLRRMTDEVSKARIQFVQIACWMYTGERQVGALRRRYLEAVMRQDVGFFDTDARTGDVVFSVSTDTLLVQDAIGEKHYFFSIMGENLTTRVRRMMLAVILRNDVGWFDQEENNSNLVAARLSTDAADVKSAIAERISVILQNMTSLLVSFVVGFIIEWRVALLILITFPLLVLANFAQVNTMEDLLNRQLSMKGFAGDTAKAHAKTSMIAGEGVSNIRTVAAFNAQDKILSLFCSELRVPQMHSLRRSQISGALFGLSQLSLYASEALILWFGAHLVRTHVSTFSKVIKVFVVLVITANSVAETMSLAPEIVRGGESIRSVFAILNSRTRIDPDDPDAEQVESVRGEIDFRHVDFAYPTRPDVMVFKDFSLRIRAGQSQALVGASGSGKSTVIALIERFYDPLAGKVMVDGKDIRRLNLKALRLRIGLVQQEPVLFATSILENIAYGRDGATEEEVVEAAKVANVHGFVSALPDGYRTPVGERGVQLSGGQKQRIAIARAVLKDPAVLLLDEATSALDAESECVLQEALERIMKGRTAVLVAHRLSTIRGVDSIAVVQDGRVVEQGSHGDL >Sspon.07G0027460-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7B:60269584:60271482:-1 gene:Sspon.07G0027460-1B transcript:Sspon.07G0027460-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVCNCPKMVHGQYMTIVILELKILQTECALIGSLKLYKPRNSGVFSTRRSMSYGLRSDCKPSFGVQHIRYGPSCGSYPSGGDRLSSVALSEKPPVWKLVGQIKDENLGYSDKPDLITVKATISSVNPVLLYYVACPLVVNGKQCNAKATRVDGIWHCKSCEYNFLQPSEYGQLVRIQIQDHTGTTSATVCEEAVEEIFSCRGRDLNSLKYKEQGFAQLHDILLAAVSKQYVFQLKVEGRSPQPAFSKVGIMECIVFKAEKVNPSAECHRLLGRIDTLLEGSGAGLELHSPTMPTYTGSPGSQVCSSVRRSSNSINSDCAGSPQLRLMIQQQLRGCAVSEQQLGGCFISADEP >Sspon.02G0047440-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:16712176:16713791:1 gene:Sspon.02G0047440-1C transcript:Sspon.02G0047440-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAALPEAVEPGKKGNVKFAFACAILASMTSILLGYDIGVMSGASLYIKKDLGITDVQLEILMGILNVYSLIGSFAAGRTSDWIGRRYTIVFAAVIFFVGAFLMGFAVNYGMLMFGRFVAGVGVGYALMIAPVYTAEVSPASARGFLTSFPEVFINFGILLGYVSNYAFSHLSLRLGWRVMLGIGAAPSVVLALMVLGMPESPRWLVMKGRLADAKVVLGKTSDTPEEAAERLADIKAAAGIPPELDGDVVAVPKKQNNDEAWVWKELILSPTPAMRRILLSGLGIHFFQQASGIDAVVLYSPRVFKAAGITSDNQLLGTTCAVGVTKTLFILVATFLLDRVGRRPLLLSSVGGMIVSLVGLAAGLTVIEHHPDGKIPWAIGVAIASTMAYVAFFSIGLGPITWVYSSEVFPLHVRALGCALGVASNRVTSGVISMTFISLTKAITIGGAFFLYAGVAVLAWVFFFTFLPETRGRTLEAMHKLFGATDEDLKPQEDGATKHKKLEMAATN >Sspon.02G0008970-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:24125932:24126641:1 gene:Sspon.02G0008970-2B transcript:Sspon.02G0008970-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGATSLSSRTAAAILHLVLLLAAARRHGATDYTVGDSAGWTIGPNYLTWSQKYNFTAGDTLGTYIVNSISCWPPAVLSWPDRLHKQSVDDAPTAVFDYVKEQHNVYRVTQDEFRTCEPANRTLGVWATGHDLVNLTVPGDYYFLCNVTGHCLGGMKFSIAVAAPPPPPPPSPSPPALLLPPPPPSSGGAPWNARRPAWPEVARIPFLAAISLLLILA >Sspon.01G0061520-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:94590280:94591980:1 gene:Sspon.01G0061520-1P transcript:Sspon.01G0061520-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDRREMDPAAGAPRRAATASGTPTVSRGSSMPSSCSSNSNTSASASTGTPPSAVVQWAARAGAGDSCYYPGCRKDANCACEICLASIDATRDLVRAPEAASARRFFAGAAAARDRRPALFFRDRAAGAGTGSDSTEPPWTPPMRSTAKSRRAPGREPAPAGARATRGGGWSDDWPLYAATVLGFLLLLWVDTGLVPEAAARGFGPKLSPEAAAQVGADARLAPGGLEHKLRVLERRVRQLIGGEGIANCSSRDSVWRFHQNDQQVFHWRCTVYKSVAEEVSVWGSPLRTSGLLPRALSARHLTLLSGEITEWSDGRVWPTVRASNGSSWAHRRQSAAAVRLEPETWVLEYQRSALFEGTRLLPAAAELIASRCSTMVRRARRRLQGRRRLFGGAQANPT >Sspon.06G0006670-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6A:24249142:24250020:-1 gene:Sspon.06G0006670-1A transcript:Sspon.06G0006670-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLTLLSWWPLLSPWLSPAAAWFIFFNAVVGAVAVMSTGGAQAGQAGGGATPSSRRRLCRSGSSSMVLDRLRSFFTFPAHAAAGGGITGPLPIDDNGSGAASGSHCYYCCSRQEAEATSQTQACAPERSPQALAAATTGGTAAIRAAQPSAPVAMATAEDDAPPAPPTEEEEDKAEAEKEQDHDGSISLDEAYALAQRHRAQPLASPSAVAASATATAAPAALPTEHKKPPAKVVADWRRVSKAGEALEGKAELNARAELFIRQFREDLRLQRLNSILKHTHALGSPTAAR >Sspon.04G0013880-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:50234590:50240150:1 gene:Sspon.04G0013880-1A transcript:Sspon.04G0013880-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding METALGAANWLLNKVLNKLSNDLVAGYVASCELGLNFDKVKTELSYTLGLLHIAQGRDVSSNPGLQTLLEDLSKKADEAEDALDELHYFMIQDELDGTREATPELGGGLRAQALHARHAARNAAGNWLSCFSCCRSQDDVAAAVSNDTHNTSEAKSDDGFTGGQCDKLPFDRVAMSNKIKQLLEGMEYLCPRISELLNKISASSSLVPGSMANSLERPAIGSTIRQDKLYGRSTIFNETMKGMTSATWHETLSVLPIVGPGGIGKTTFTQHLYNDKRTEEHFTVRAWVCVSTSFDVLKLSKEILECLPATENEGVKETNNLDQLQKSIAKRLRSKRFLIVLDDIWQCSDDKWANLFAPFKMREAGIGSMIIVTTRFPCIAQMVKTTTLVNLEGLEPAEFWIFFQACVFGEVTVEHDKEDLIEVGRQIADKLKCSPLAAKTVGRLLKKRFSREHWVQILENKEWLNQTHDDDIMPALKISYDYLPFHLKKCFSYCALYPEDYKFQSLEIGRFWISLGITDSDGENDKVEDIGSKRASLVNILKDTFKEIKDLRVLFIFMNSLDSLPQNFSKLIHLRYLKLKSPDYSKLCLPSTVSKFYHLKFLDLQDWESSRDLPKGISRLVNLCHFLCNVEFHCNIPEVGKMKLLQELRRFHVKRESVGFELRELSQLEKIGGALDIYGLENVTTREEANEAKLMAKRSLTELALIWSGGQPSIEDDIVDGLEPHSNVRALSIVNHGGVTGPTWLCSSTHLKNLETLHLEGVSWSALPPFGLMHHLGTLKLKNIVGIRQFGPDFISGITEKSFTQLKEVEFADMPELVEWVGGADTQLFSRLERIRCFPSLSELDLTASDEGHDDDEEEVILQFPPSSSITHDGCGFRGLLSLESVSIVNCGKLFSGWSTARADCSSINPFPLCVKNLRFWSEPSTLSIALLSNLTSLTDLELGNCKNVTVDGFNPLITCKLEQLWDGNMH >Sspon.08G0023260-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:54291892:54296683:1 gene:Sspon.08G0023260-1B transcript:Sspon.08G0023260-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLQAARLAYKLHATSLELEGIATQHNETHGKKRSDISRTDLGRHTYLLPATAEGLVKDVGVLLRLPYADHLANPFKLQINFATNLDTWHRAAAAHARDYARGTAERERTEGECVPGPPADHDHLLSPHPHQPRQRRRRTRGVVEAPSAVRPREVARAHGILQRPSRRAGNASSRADPRKHPARHTPARRLAPQPPVASLAAADRHARQPVEEERFDAAPKQSARAKARSRPVLPDCAVTKPAGAAAEGMTRRRERTFARNSPSLSPAGATGAVPHVLIGSGLEAIPGSVTTRTRATTSSTAPESLGRAIGTQADQASQKEDPENREIAERRSRFRVQLSACRLTALRNAGGGSRATARDAVVGEPALLLVDVAAVLLLGCAAARRPVEGIGAEAFRRAAIAIAGGRISAGGFRALLLVVANVVSPDPTP >Sspon.02G0009390-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:21201493:21206334:1 gene:Sspon.02G0009390-4D transcript:Sspon.02G0009390-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hexosyltransferase (Fragment) [Source:Projected from Arabidopsis thaliana (AT3G61130) UniProtKB/TrEMBL;Acc:W8PUP8] MPTPKQRLPYSTSGGGGGGRRAASGSAALPPVVVLVFLFVVAPSLFFVVRNGGRGHVHVASDPKGKNGDQEAAAMKNLKSILPKEVFDAITASQQESGTLSLDFFRNHASPSWKTDDLVTEKSMDVDDKAKAENRLPEHDLPTNRSPKDPDEHQVDKAAKVARRKLREKRREKRAMDLIHKDDEARVKLENAAIERSKAVDSAVLGKYSIWRKENENENSDSTVRLMRDQVIMARVYSALAKSKDKSDLYQKLQTRIKESQRAVGEASADADLHHSAPEKIRAMGQVLSKAREELYDCMAITQRLRAMLQSADEQVRSLKKQSTFLSQLAAKTIPNSIHCLSMRLTIDYYLLPLEERKFPRSENLENPNLYHYALFSDNVLAASVVVNSTIMNAKEPEKHVFHLVTDKLNFGAMNMWFLLNPPGKATIHVENVDEFKWLNSSYCPVLRQLESAAMKEYYFKADRPTTLSAGSSNLKYRNPKYLSMLNHLRFYLPEVYPKLDKILFLDDDIVVQKDLTGLWDIDLNGKVNGAVETCGESFHRFDKYLNFSNPHIARNFDPNACGWAYGMNIFDLREWKKKDITGIYHKWQTMNEDRVLWKLGTLPPGLLTFYKLTYPLDKSWHVLGLGYNPSIDRSEIDSAAVVHYNGNMKPWLELAMTKYRPYWTKYIKYDHPYIRGCNLSE >Sspon.08G0001920-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:4237863:4241031:-1 gene:Sspon.08G0001920-3C transcript:Sspon.08G0001920-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEEVLHKVQNLIESCLHMYMDQKEVVDALSHHSKIEPRITELVWRQLEQQNPLFFKAYYMRLRLKNQIMVFNKLLQDQFAVMNKDFSSGIASMRLSNGSNSNLLKQNPCFLPETAPGSAIPDGIMHNGSSSDIINGTPSGNQLLNASKDLHGLHNGIDASASLQSDQNATAMLYGVDNETSATIKTESCYSSNADFAFCGNTFLESCRDASGGGSFSSSELNGQPLNDSILDMESSSFSFLNEMSQNFIFPDLADDFNQSAEITPFLTPETNFSNSTGGDHTDSASYIVIV >Sspon.02G0000920-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:3948513:3952041:1 gene:Sspon.02G0000920-3C transcript:Sspon.02G0000920-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MENSDARAVRRVRCPRCHCVLEEPGAPVYQCGGCGTTLRSKNRASSGAGDAARASPSRSGLPPQSRHLDASDVASTSRSSTPTPPHVGSTSRSGTPTPPHVGTSWHRAATDATSSRHGSGDLALVSAGTRGSGDVASTSSTPDATASSRRQGTDATSRRRSGGLVSAARSRASGDVANTSSTPDASADTTSRGESVVPVPARNRVSEQVALIEKRGHEQSAVSQEVGDDSEGCGPRDAGSAEPGVGGARLSGGNRDATPELQDDTEKGMKRQAESTDAARKKHSGEAAVQPQYHHEHRQELAPKSSAQPAAAQPARDDAGEKAPSPSRHELQEEHLGPLRKKILKTVDELKGDLSELFSKSPELNPPRARPPRLPKQEGYVSRAAAAASGFPAGARHAAAATDGHRGHHGSAARAVKPRQAAAPPRGLPSRRYRQCRAHPCCHNVEPRPCQHGCCRHHGKPECSSCRGYCCRPRAQEPSAPRKPPAGKEPKRRLPPRNHCRPVLKGAPFIVCSSCFKLVQVPADFAVSTRTVRKLRCGSCSTVLSYSYRDPARKKAYQDSVDRCSTDGSELHGGKGDERSDPFAPFIDAFGLSSYSTEDEQRLPVSRNTSFDPLDGTKAVGRLHRLMGYGSASELLRHSPDLYESFSERTTPDVRQHDTKGKGVCVDNDDDDYDVDDSDEEDVGALKRSVGKGSGWPFPWMPGKGTPAAGAIRIK >Sspon.04G0032670-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:30410031:30413094:-1 gene:Sspon.04G0032670-1C transcript:Sspon.04G0032670-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MWGKGKKRFGGGGEPPAKRQAAGDDGPSEPAEDGTVVAEISKNKKVSVRSWKGRVYVDLREFYVKDGKTLPTRKGTTSDFCPSHFSSTVPMGVLFSLTASGIRVERSRSRYPRKSSHMWLRRKMDIRSGGWRCEKWKGLAQELLLFGLQPKLVRGYAARHFVEQAVCTQKGVLRLRAAGTPRAVSGGVQSPLLRKRIAAIAGDLL >Sspon.07G0004680-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7C:33877518:33879538:-1 gene:Sspon.07G0004680-2C transcript:Sspon.07G0004680-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MREATAAVPETHRELLEYIERVTAGAAQVQRRVLSEILAQNAPAEYLRRLGVSGDAPGAVDAFRRAAPLVTYEDILPDVLRIANGDTSPILSGKPIREFLTSSGTSGGERKLMPAIADEMDRRSLLYSLLMPVMSQAVPGLDKGKCMYLYFVKAESRTPGGHPARPVLTSFYRSRHFLERPHDPYTVYTSPDEAILCVDAYQSMYAQLLCGLVHRADVLRVGAVFASGFLRAIRFLEKHWQRLCRDIRTGTLDAEAVTDRAVRAAVEQRVLLRADPALADAVEAECARPSWQGIIRRVWPNTKYIDVIVTGAMAQYIPQLEFYGGGLPLTCTMYASSESYFGINLNPMCKPSEVAYTLIPTMCYFEFLPLPQPDDDAGEPDQRDLVDLVDVKLGHEYELVVTTYSGLYRYRVGDVLRVAGFKNQAPMFNFLRRKNVVLSIDSDKTDEAELHAAVSGAVQHLAPFGASLVEYTSYADAGTIPGHYVLFWELRLRAAAAGGAATTTPVPASVFEDCCLAVEESLNSVYRQGRDADRSIGPLEIRVVSDGTFDKLMDYALARGASINQYKAPRCVRPGPVVELLDARVQASYFSPKCPKWSPG >Sspon.04G0023890-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:15834467:15845099:1 gene:Sspon.04G0023890-1B transcript:Sspon.04G0023890-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSNSNSAAPAAADGGDDLDQLLDSALDDFTSLDLSASAAPKSAGEASGSGSGGKGPVKGLGMGLPDPKAPKRRAGKQPPPPRGACAKEALEELTRETREAVRGLETATGGVPSLDDDAMMEEFVKQFEEFAGAQDMDSIVETMMQQLLSKEILHEPMKDIVEKYPKWLEDNKDKISKEEYGRYNNQLELMVKLIEVYENDPENMTKIFDIMQNMQECGQPPSDLVQDIVPDLDLSKLGQLSPEMLESAPNCCVM >Sspon.06G0013240-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:52356143:52359638:1 gene:Sspon.06G0013240-4D transcript:Sspon.06G0013240-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Adenylate kinase 2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G47840) UniProtKB/Swiss-Prot;Acc:Q9FIJ7] MASSMAATATATALSPSTATAQRPSAQGRLVFPAAPARSHSLRLRAAGRRSPRATKVVVAALADPLKVMISGAPASGKGTQCELIKTKFGLVHISAGDLLRAEIAAGSDNGKAAKEFMEKGQLVPDEIVVNMVKDRLLQPDAQENGWLLDGYPRSYSQAMALETLEIRPDIFILLDVPDELLVERVVGRRLDPETGKIYHLRYSPPENEEIASRLTQRFDDTEEKVKLRLQTYYQNIESLLSTYEDIIVKVQGNAAVPDVFAEIEKLLSSSLEKKTEMKRMASARRVAPCPTNRNLSKFLQKGQEKKVKCDADDHFIPGREGMEVGI >Sspon.05G0022170-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:6679538:6680953:-1 gene:Sspon.05G0022170-2C transcript:Sspon.05G0022170-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLPLLRPLWPCLSPAAGPADAAPEPAKPSLPATWLLLHALFCATSMAVGFRFSRLIVYLLFLPTPAINPAAHLVSLMSPPAVVLAGANATAMATITTTTTTTTTVTTTTTVAAEIGAHPQQQPHHHHHGPVFVGRHPIRVRAWPHPDPSELLKAHRILAAVQNAQRSTKRRGAGPARPVIAVTPTTTSALQVPSLTSLAHTLRLVDAQLVWIVVEPGHRTDAVAAVLSRSNLDFLHITGPGESTASLRMHALREIRTKRMDGIVVFADENSILRTELFDEAQKVTTVGAVPVGILGEDDGTSESFLQAPSCDAEGNLVGYRVSEETVLPANRSDMLMSSRLEWAGFVVNARTLWEDTKERPVWVRDLSAIDDADTRAASPLALVTDAGRVEPLASCAQAALAWSLRSDSLHEVKFPH >Sspon.03G0030610-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:19061095:19063927:-1 gene:Sspon.03G0030610-2D transcript:Sspon.03G0030610-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRRRGKRGRTSPGPPTKRRRGGAPEIESDDYPEPVPTPAPAAPQPSSVMVAGLPPGCGVLELKSRLQAYGPIARARVDASAATGYVTFRSGAAAVAAIAASLDPGGGIAIGSKKVLVVQASEAPHNSISTIRAAEPAGRSSHDATVKNVTDNSAILSSKAASGATYKAREIVAYDDLTGQAKAEKEQLEENLQLALQMGEPFYQICL >Sspon.03G0012320-3C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3C:50614731:50619482:1 gene:Sspon.03G0012320-3C transcript:Sspon.03G0012320-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MANKGTNNSDKAETEQDKRPQDEEQTPDHARASTSGANLAGSAGAAAYGGPPCQGRPRRDRGSTLGPPRRGPPLLDPPVLPRTAALRGRAALAGAVGAAAPRPSRAAEREWEGAGEARQGRGRRGAATAPRSRRWRRLPNKKPETPDVYRVKLLRVPPRPDRARPHLPDLICDDFPPSRSRFLPPRAGASPTATAAASPTEVLSPASEPEPALATMYGWEMLSFNIHDGFLEAIVRGNRSGLLTAADYNNLCQCETLDDIKMHLTATEYGPYLQNEPSPLHTTTIVEKCTLKLVDEYKHMLCQATEPLSTFLQYITYGHMIDNVVLIVTGTLHERDVNELLEKCHPLGMFDSIASLAVAQNMRELYRLVLVDTPLAPYFSECITSEDLDDMNIEIMRNTLYKAYLEDFYKFCQKLGGATAEIMCDLLSFEADRRAVNITINSIGTELTRDDRRKLYSNFGLLYPYGHEELAVCEDVDQVRGAMEKYPPYQSIFSRISYGESQMLDKAFYEEEVKRLCLSFEQQFHYAVFFAYIRLCEQEIRNLMWISECVAQNQKSRVHDSVVFIF >Sspon.03G0031920-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:30053319:30058415:1 gene:Sspon.03G0031920-2D transcript:Sspon.03G0031920-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGKLPPPNPNLPYREDCWSEGETASLVDAWGSRYLDLNRGSLRQPQWREVADAVNSRPGASARRRPPRTDIQCKNRVDTLKKKYKAERARGGPSPWAFYGQLDLLVGPTLTGSKKPSPPRAALPVFRRRQTPPRSPSPQSPPPLPMALPLHNYRRGSDLPSANLIHKAAAAAAAAAAESDSEDGYNNNDYDDDEGSQQSPSRSVSSRSGGGGGAAAPAVGSKRKMSSGSGGFGELARAIETFADMYERMEAAKQRHAEEMERQRIKFLKDLELKRMQAFVDMQLQLARAKHARKDCYVGANGMMSLSDEDRSGLGGRLATVLVHQPQPLSASHPTRMRQRPFVSLASSMRQDDRPCPCRYARA >Sspon.03G0009960-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:30287639:30291258:1 gene:Sspon.03G0009960-4D transcript:Sspon.03G0009960-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTWCNSFNDVRAVENNLATAAAVAAAKKQQQASSHVNLIRTCPSCGHRAQYEQVQAAATIQDLPGLPAGVKFDPTDQELLEHLEGKARLDARKLHPLIDEFIPTIEGENGICYTHPERLPGVGKDGLIRHFFHRPSKAYTTGTRKRRKVHTDEQGGETRWHKTGKTRPVLTNGKLKGYKKILVLYTNYGKQRKPEKTNWVMHQYHLGSDEEEKDGELVVSKVFYQTQPRQCGSGSATAKDAVPLAAASTRLITTTTMTVATAC >Sspon.01G0025500-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1A:89984160:89984489:-1 gene:Sspon.01G0025500-1A transcript:Sspon.01G0025500-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIPPPARAPAITKFLKPYILKMHFTNNFVSAQVIHTPTATVTCSASSQEKLLRPSMESTRDVAAAAKIGKLLGERLLFKGIPAISVSMSRDQKYHGKVKAVIDSLRDAG >Sspon.08G0025820-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8C:13126449:13126918:-1 gene:Sspon.08G0025820-1C transcript:Sspon.08G0025820-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VLHQPISPQIVLALPLSHCLQLRAKLIFTLSRSTTSQSGRPESRALLAARRSFESEAWAAGQEQDQRLPQPRPTGPGAYQTVGRWAHKLSWAHPLDRAQHRRGPLDVNGRIAPRSGIADCVADFSHFGNSTLNSLKSRWEWVGPALWPQGQFTRS >Sspon.06G0008150-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:31415588:31418971:1 gene:Sspon.06G0008150-4D transcript:Sspon.06G0008150-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAGGHRAERAERPAGHRMERAEMPAGGHRAERAERPAGHRMERAEMQHATHHATERAGDARRTTTTAAAAELPAWQTTERKKSLESLLDAAGDPRGRRQQHHHHHHHRGGGGGGGGGHVPERPAPVPGEKVINFPGQGLEFKELSYSVIKKQKKDGVKVKKEVYLLNDISGQALRGQVTAILGPSGAGKSTFLDALAGRIAKGSLEGSVSIDGRSVTTSYMKQISSYVMQDDQLFPMLTVLETLRFAAEVRLPPSLSRAEKLNRVWELIEQLGLQTTAHTYIGDEGIRGVSGGERRRVSIGTDIIHKPSLLFLDEPTSGLDSTSAYSVVDKVKEIAKGGSIVLMTIHQPSFRIQMLLDRIVILARGRLIYLGSPITLPAHLAGFGRPVPDGENSIEYLLDVIKEYDESTLGLEPLVAYQRDGSKPDEAAKTPIPKTPRTPHQKSVQFRQMQLKSNQFSVTTGTPHANTFSNFESYNIDDDEEEFDNSLERKSHTPLHTGTSTYHPRLASQFYKDFSVWVYHGVTGTPHRKPTWSTPARTPARTPMSSYQQRSRVATPHHAPPPQSPHEPVFKPEEPSYHEYQLELEPPLDAPEDGPKFANPWLREVAVLSWRTALNVVRTPELFLSREIVLTVMALILSTLFHRLSDSNFTTINRLLNFYIFAVCLVFFSSNDAVPTFIQERFIFIRERSHNAYRASSYVISSLIVYLPFFAIQGFTFAVITKYMLHLRSNLVNFWIILFASLITTNAYVMLVSALVPSYITGYAVVIATTALFFITCGFFLKRTMIPMAWRWLHYISAIKYPFEALLVNEFKGDHCYVGTQNQLSPGPLGQVNNLNATSPTCPLVGQDVLSTMDIQIDNIWIDVAILLAWGVLYRLIFYVVLRFYSKNERK >Sspon.02G0015710-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:42886097:42896071:-1 gene:Sspon.02G0015710-1A transcript:Sspon.02G0015710-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MERADEALLPAVYREVGPALHASPAGLGALSLCRSIVQAAGYPLAAYAAARHNRAHVIAVGAFLWAAATFLVGVSDTFLQVAISRGLNGNGLALVVPSIQSLVADSTDDGTRGSAFGWLQLASSLGLISGGFVGLLLAQTTVLGIDGWRIAFHLVAAISVAVGILNWFFAVDPHFPRRDGKQAATAREVVVEMIEEAKFVVRIPTFQIFVAQGVSGSFPWSALSFASMWLELKGFSHSDTALLMTIFWVASSLGGLLGGKMGDLLAVRYPDAGRIVLSQISPLSAVPLAAVLLLGLPDDPSKGVSYGAVLFIMGVFMSWNGPATNFPIFAEIVPEKSRTSIYALDRSFKSVLSSFAPPIVGLLAERVYGYRPNDKGESVEQDRGNAASLAKALYTSIAIPFIVCTAIYSFLYCSYPRDRERARMQSLIESELQQMEHEHESSCLELEGGGDGVPKVFGSANDGGERATIGVTYDHKEDYEAEKHTTLPLVNLASIMERADGALLPTVYREVGAVLHASPAGLAALSLCRSIVQAACCPLAAYAAAHHNCAHVIAVGAFLWATATFLVGVSDTFLQVAISRCLNGIGLALVVPSIQSLVVDSTDDGTRGSAFGWLRLTNSLGLISGSFVGLQLAQTMVLGIDGWRIAFHLVAAISAGGRRGDDGGGQVRGADPHIFVAQGVSGSFPWSALSFASMWLQLKGFTHSDTAVLMTVFWVASSLGGLVGGKMGDLLAVRYPDAGRIVLSQISPLSAVPLAAVLLLGLPDDPPKGVSYGAVLFVMGVFVSWNSSATNFPIFAEIVPKKSRTSIYALDRSFESVLSSFAPPIVGMLAERVYGYRPNDNGESVEQDRGNAASLAKALYTSIAIPFIVCSAIYSFLYCSYPRDRERACMQSLIQSELQ >Sspon.01G0019150-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1A:71819036:71819269:-1 gene:Sspon.01G0019150-1A transcript:Sspon.01G0019150-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLLSLYGSGGRRRTSRGSGTAAPVRRLLRRLRSSFGRSTARPRRSAVRFGYDQHSYSQNFDDGVASSAPAAMACS >Sspon.01G0007590-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1A:21045248:21046822:1 gene:Sspon.01G0007590-1A transcript:Sspon.01G0007590-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVLILPSKPLISSICHFWKIEDVHQGLQLGKTFHPRRTLPWRGAQPACSSALPTTSQYKMPSPHPAAQCAPLHFSPPLIFAFRPLGKQGFRRRSLSPALSPRRSDRGCSVSCIMGNQCQNGTYGSNYNNYNHFQKDPLASRYYDGAGSEDCYSEPSKSSIADLMRQGLRRTLTSISVLGRKTPSVTEHYTLGRQLGEGLTGTTYLCTEISTGCQYACKSILKTKFRNMQDIEDVRREIQIMHYLSGQKDTVTIKDVYEDEEAVHIVMELCEGGELYDRIKKGNYKLPFTWCDAPGSQARKLPLTG >Sspon.03G0018270-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:55973381:55976379:-1 gene:Sspon.03G0018270-1A transcript:Sspon.03G0018270-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MENEEAAAELEIPGECQYAGDPVVVRPSQPTPRHTLHLSNLDDQRFLRFSIKYLYVFAAHAAVSADALRAALGSVLVDYYPLAGRLRPSDDEEGKLVVDCNAEGALFAEGCLPGLTAADFLRGRAGARPHKSWRKLHYRVEAQSFVAVPPLVVQVTWLGCGGMVLCTAINHCLCDGIGTAQFLHAWARAVRGGGGGHDAPDAGADTDDPALPAPPFHDRRALRPRCPPRVAFTHPEYSTRGISAAVSNGNGNGNANEAAPSLLARLLGQPLAPVSLTFTAAHLARLKRQCAPSLKCTSFEALAAHVWREWVRALDPPGALRVKLLFSVNVRRRLKPELPRGYYGNGFVLGCAESTAAQLMASPSSSAAARYGVRLVQDAKECVDDDYVRSMVDLLEERRGARPDLAASLVISAWTRLGLEDLDFGAGKAAHMGPLTSEIYCVFLPVMGDPQGVTVLLSVPQAAADKFQHCCLEFLKDTDVDAKLS >Sspon.07G0015810-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:53673557:53676349:-1 gene:Sspon.07G0015810-4D transcript:Sspon.07G0015810-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MESGGGGEEPPCSGDPLEEEQRMASSLSDLEQHLAAAPAGAGGGAPDRAAVAAAIQRRKAAQRARAATDAFSAKLAPVRSLADQTFSRREHLKGLKDRLRDLQSQLSEAHTVQSSKESKRKRATESILEATATNERLRSLVAYSREKRDLRAAITSEELKALESLEAESKAESNEDAEREKALKTLLWYDKFLGFRIIVGEGGVKFVFDKIDPQRPEKEYTFCLSSSFQLIECDPRVKDIEELAKDLDLNVHLFKFARVAREKFHSSFMNGTLPVSLDVFVETIPVSPVIGSDVSAVPLPSPVMSVSNRIEDAHNQTQSSSKKNVQLLPAKREATALSGASPGVLRRSQRLEGTR >Sspon.02G0057840-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2D:65903823:65904398:-1 gene:Sspon.02G0057840-1D transcript:Sspon.02G0057840-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDLGSVLYVSFGSVVRITEDEFTEVAWGLADCGKPFLWVVRRDLVLGVEKAELPLGFECAVEGRGKVIEWAPQQEVLAHSAIGGFWTHNGWNSTLESIYEGVPMLSSPYVGDQLATGRYVEDAWKIGVLLESLLERGKIKKAITTLMEANDGLEIRERAKNLKEKAQLCLERGGSSQQDLDRLVDHILSL >Sspon.08G0018440-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:6099166:6100284:-1 gene:Sspon.08G0018440-1B transcript:Sspon.08G0018440-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTSSSSSRAISKNPLQRSRFRTISSSSICSARLAIHAAVPPFLGQQGGVGQAPPGDNNGSSPPEPSARTWRKPEPGRLKLNFDGSSKHGASRRASIGGVYRDHEGGFVLGYAERIGAATSSVAELATLRRGLELAVANGWCSVWIEGDAKTVVDVVRSRARVRAQEDLRLCGEIEALLPLLDDMSVSHVRRQGNRVAHGFAKLEHGAAWPRVWRDVPPDEVLRFLQRDAEGR >Sspon.03G0040780-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:33260345:33264282:1 gene:Sspon.03G0040780-1C transcript:Sspon.03G0040780-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPKPHAPASGPATPRFRLGKQSSLAPERGGGEGGGASSAEGSSAQANGVMSFQLMYLAHEGNAEGIRELLDGGADPNFRDSDGRTALHIAACEGHAEVVELLLQSGAEAAVEDQWGSTPLADAMHYQNHDVIKIFEKHGSKHKIAPMHVNNVREVPEYEIDPAELDFTNGNDLSKGTFRKVTWRGIPVAVKKLDDDLIVDESKVWVVCIELFHFYIILIVRMYYRQAFRDELDVLQLIRHPNVVQFLGAVTQSNPMMIVMEFMRKGDLRTHLNKKGALPPSYAVKLALDIARGMNYLHEHKPQAIIHRDLEPSNILRDDTGHLKVADFDLCKMLKWRRKVREDKAVTSPGNACRYVAPEVLRNEEYDTKVDVFSFALILQEMIEGCLPYYDKKNDEIEKVHNSKERPPFRAPPKHYAHGLRELIEQCWSENPADRPDFRVIINRLSAIQNEIAHRNRWKGRPLKCFLSIEGMWKKDRNEGSTTRSSRSSRSYY >Sspon.04G0013990-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:53476308:53476694:-1 gene:Sspon.04G0013990-2B transcript:Sspon.04G0013990-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AVVPSRATGAGSRRRGRPDQQEAGGAPEAAAQALHQGPPPQAGVGGGRGGVLLLLQLRRRGVVVVGRRALLHPGGGLRRRQLRGGGGGGHARRRRRPRPRRAPQGQVRAVPRRPTRRRHRRARRGPRLA >Sspon.06G0025530-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:79572504:79602971:-1 gene:Sspon.06G0025530-2C transcript:Sspon.06G0025530-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMHPSAVLHLLLLLTAAAASQSQALQLPPAAGGRHATGAACIPSERDALLAFKRGITGDPAGRLASWHEGDQDCCRWRGVRCSNRTGHVIGLRLGNDMYTDDPRLLPNDTALSGQISPSLISLHHLESLDLSLNNLSGPAGRVPELLGRFSNLRYLNLSGMPLAGRVPPQLGNLSNLHYLDLSRSTYDNFFVPPWGGPELYTDISWLSNLPLMYLDMDSVILNGTVDWAHVVNMIPSLKVLRLSNCWLTSANQSLPHLNLTDLVELSLSGNTFDHPVASCWFWNLTSLKHLELARTYLYGQIPDALGGMMSLQAFDFRIGYGSIDIMTANMTNQCNLESIDLADLFQRLPQCYPSKLKELSLGFNNFNGILPNWIGRWTTLRILDLSGNHLSGPVPSELSALNNLEDLYLGDNNLDGVITQQHFAGLKELKLIDLSRNSLKIAVDPEWLTPFRLERAYFASCQMGPLFPTWLQSQVNILELDMSYTSIFDRLPDWFATTFSKASVLDISNNGISGTLPTNLKNMISLQELYLDSNQLTGPIPLLPVYLYALGISRNHLSGQLPSNICDLNVDALNLANNHLEGKLPVCNQQRRATVLILRNSISGTLPNDLSNLRVMKTGYTTSDYYSDTVNMSMTMKGQELYYYSSAFSDMVVIDLSSNHLTGGIPEEIASLYAVVNLNLSRNNLTGKIPERIGVMQSLESLDLSRNNLCGEIPQSLSNITYLGFMDLSHNNLTGRIPSGTQLDTLYAQNPLIYDGNVGLCGYPLHKNCTDDNREPKHGDQERDEHVVLTFSFGLGIGYVVSGWCSVSSYSINHGGLLSFVYSTKHLTRSMCLPLLHGQDGPNRPLHTTAATWQSQALRLPAAAGRHATGAACIATERDALLAFRRGITGDPAGRLASWHQGDEDCCQWSGVRCSNRTGHVIGLRLGNGNDMYTDDPRLLPNNTALSGQISPSLLSLRHLESLDLSVNNLSGPAGRVPEFLGLFKNLRYLNLSSMPLFGRVPPQLGNLSNLHYLDLSPGTYYVPWGPPDLYSADIPWLSNLPLMYLNMDCVDLDKAADWAHVVNMIPSLKVLRLRFCRLTSANQSLSHLNLTDLVELSLSWNFFDHPVASCWFWNLTSLQHLDLARTYLYGQIPDALGGMMSLQALDFSISYGSIDILTANMTNLCKLERIDFSDSYFDGNITDLFQMLPQCSPNKLKELYLSSNNFSGVLPNWIGRWTTLRILDLYGNNLTGPVPSELGALNNLEYLDLSDNNLDGVITQQHFAGLKTLKLIDLSHNSLKIAMDPEWLPPFRLERAYFASCQMGPLFPTWLQSQVNILEIDMSNTSIFDRLPDWFATTFSKATTLSFPSNGINGTLPTNLETMISLQLLDLDSNQLTGPIPLLPVNLDVLDISRNHLSGQLPSNICDLNVDTLNLANNHLEGKLPACNQQRRATTLILCNNMLSGKLQLILEAYTELIVLDLAWNNFTGSIPATITRLGGLFHLNLAGNSISGTLPYDLSNLMGMKSRYPLYHDSAVNLSMTMKGQERYYCDSAFSDMVIIDLSSNQLTGGVPEEITSLYTVVNLNLSRNNLTGKIPERIGVMQSLESLDLSENNLYGEIPQSLSNITYLSFMDLSHNNLTGRIPSGPQLDTLYAQNPLMYDGNIGLCGYPLQNNCTDDSREQKHGNQKRDEHVVSGWCSVSSYSINHGGFLSFVYSTKHLTRSMCLPLLHGQDGPNRQLHTTAATSQSQALRLPAAAGRHATGAACIPRERDALLAFKRGITGDPAGRLASWRQGDEDCCRWSGVRCSNRTGHVIGLHLGNGNNIYTDDPRLLPNNTALSGQISPSLISLHHLENLDLSTNNLSGPAGRVPEFLGLFKNLRYLNLSSMPLFGRVPPQLGNLSNLHYLDLSPRYLIGLML >Sspon.08G0021800-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:45503945:45513971:-1 gene:Sspon.08G0021800-3D transcript:Sspon.08G0021800-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGAWGGTTQKCASCGRTVYPVEELAADGRVYHRPCFRCHHCKSTLQFSNYSSVEGVLYCKPHYDQILKSTGSLEKSFEEKSNGHKGQQSSRFSNMFVGTQEKCVVCNKTVYPIEKVALNGNSYHKSCFRCTHGGCTLSPSNHITHEGKLYCKTHHSQLFMVKGNFSQFEDNSGIAKVATEKQPETEEATKNPDQGDEVTRKPVENEPTNEKTSKNDEKQLQSSVDVTKPSESATAENERGSESESKNNVVNKKPSESSVEEPLQNSVVDVKPSGNSAAMRKPWQRSLQTDKPFLSSTSTVKPSPGSDTTEKPSSSNGVDMKQSESSTLVKKPWQQNVPTENPPQSVLPSDKPSATSVDDAKPSERSKVVKNHGKAIRLLRSNYRTVHQLRSHRKV >Sspon.03G0017280-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3D:41499751:41502510:1 gene:Sspon.03G0017280-2D transcript:Sspon.03G0017280-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGKRYSWQGIAKLPFIDEARLLAEIKKVEHTLTPEEARRNSIMFDMLFVNGSHPLSPYIYSLNSKFGHLPDKKRNEIKEKLDPSASGGMNGYITLCSGDPCPPIFRSPVDGLEDIMDNQVICSIYKLPDHHKHIARPPVGVIIPKKTVEAGDLKPPPVLWHEDNGRRPHDNSNRHNPPGAISGRQLGEAAHRLVINSLNAQGRGQHSGTSMPYQTIMNGLHHLNVVPPVSNQGMPPRVGQSADLLDGMFLGVVYQMVNHQLMHHQDQVIISMKGQGLRNMNKVTMEGNNLTHMQEMASMIHEAGFHLHMGTSRATCIHRVLDCMGNLRQHILGSMVEATNPLHLAEASSGSNNHIVRMLGEGPTEAGHHPQGLIQELGETQLKHRQNTLQSPVTFKQLGGGIEDGRQNLYATADYQVRKETLCFVVKTLKSYSCRSAEATAECLVDAPTIALHDVLKSTLFRRALTNLCETISKVDESKTVGRAGDKERLMRLVLGAASDEEVSVIPI >Sspon.03G0003610-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:15203956:15206766:1 gene:Sspon.03G0003610-1P transcript:Sspon.03G0003610-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSTPGSFGGTLANSGPVALSFPTTSFANFLGGGGSASSGADNGGVGLSKFKAMTPPSLPLSHPPASPSSYLHAFSGILDSPILLTPSLFPSPTTGAIPSEPFNWMGTSESLGGSVKTEQRQYTDFTFQTAASAPATSTMTGAAHTASFLPQSSVLMAPLGRAGDPYNGGEMQQQQPWTYQEPTAQFEAPPDNMLGNGGYSGAPGPVVSGFREQSQSNRPSSDDGYNWRKYGQKQMKGSENPRSYYKCSFPGCPTKKKVERSPDGQVTEIVYKGTHNHPKPLSTRGRSASSASAPVAAASYVLQSASDAVPEHSFGALSGTPENSSGSFGGDDEINGVSSRLAGNFGTDDLNDDEPDSKRWRKDGADGDAAGVSVAGSNRTVREPRVVVQTMSDIDVLDDGYRWRKYGQKVVKGNPNPSRSYYKCTTAGCPVRKHVERACHDTRAVVTTYEGKHNHDVPPARGSASLYRAALAAQQAGGYQQGGGLIRTADGFGFGAGGHGAPMQAAESGFALSGFGDAAGTAAYSYTSHHQHQQQTTNEAMCYAKDEPRDDMSFFEQPHLF >Sspon.02G0029430-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:106970729:106973313:-1 gene:Sspon.02G0029430-1A transcript:Sspon.02G0029430-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKIWASEGTIISESPSIRFHSGHHCTVVNRDLDRISLGYFLGPPPHAKVAPLREAVPPGRNPAYRVRKKAFTTGASVLKMARGRTGGAVPDGGGRPSGADRPLPRADAGRSPSSFPIGGGRGYFPIGGGRRLLLPIRTGPIHGGDSIVPRSVVAVRGDDLLPSIRLHDTLRRRDLDGAMDPGGIPRARAARVLELVFLAAAVVRVILGAHPRQAATPCGSSASWMVAWCVPLTSSVFGTGSTTIGSEPEVRHPLLPI >Sspon.06G0021330-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:14299538:14301821:-1 gene:Sspon.06G0021330-1B transcript:Sspon.06G0021330-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding KKGFYQDLLLAYKTLGVVFGGLVTSPLYVYPSMNLTNPNEEDYLGIYSIMFWTLTLIGVVKYICIALNADDHGEGDHPKSNPMKKPAISNWDQGVSICFAAGGTFAMYSLLCQHANIGILPSKKIYTEEEQGLQVPARPVVAGRPSKLRRFIERSITARRLLLLTAILGMCMLIGDGILTPAISVLSAIDGLRGPFPSVSKPTVEALSAGILIGLFLLQKYGTSKVSFMFSPIMAAWTFTTPIIGVYSIWRYYPGIFKAMSPHYIVHFFVTNQKRGWQLLGGTVLCITGAEAMFADLGHFSKRSIQIAFLSSIYPSLVLTYAWQTAYLITHVDDFGDGFYKFVPRPVYWPMFVIATLAAIVASQSLISATFSVVKQSVALDYFPRVRVVHTSGDKEGEVYSPETNYLLMLLCVGAIVGFGDGKDIGNAFGVVVILVMLITTVLLTLVMLIIWGTHVLLVALYFVPFLILEGTYVSAVCTKIMKGGWLPFAISLVLALVMFTWYYGRQRKAEYEMANKVTLERLGELLAAPDVRRVPGLCFFYSNMQEWRWLTPVLAHYIKNMRSLHRVTVFVTLRYLLVAKVDAKSRIEVRRFGPRGVYGCTIHYGYADPLDEEEEEDDLAGQVVRAVREHIEREAAAAASTAEVEEEAAQLEEARAAGVVHVRGKTRFHVGRDTGFFDRVLLGFYEFLHTTCRSALPALGIPLQQRVEIGMLYKA >Sspon.02G0042590-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2C:88085725:88086288:1 gene:Sspon.02G0042590-2C transcript:Sspon.02G0042590-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAASSRFFTTALVMMALIMLGGSTTCHAARRLADDTTTAPAAAPAAVPGIPAVPKPPVVPTVPAATLPPMPAVGAVPPIPALPKIPAVPAVGAVPPIPALPKIPAVTVPAVPTVPNTAALPPIAMPAVPAAVPKVTLPPIPAAVVPKVGALPPIPAAVPKLTLPPMPSTIPAGVPMPFVAPPPSA >Sspon.02G0008320-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2B:22773191:22775123:1 gene:Sspon.02G0008320-2B transcript:Sspon.02G0008320-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLVLCSSTLLINTEGAHLPPFTPSRFPPKSVYPATSSQKKHTPRSPPSHVTDPRTRTSSILCHPSRIQRCKAPITRIVPPICPKRPCFYKTHPHTPPPPTSALVSPSHERKHSHPPTTSKQGRRPAAEMSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDAVTYTEHARRKTVTAMDVVYALKRQGRTLYGFGG >Sspon.01G0004730-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:12702607:12703896:1 gene:Sspon.01G0004730-1A transcript:Sspon.01G0004730-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aspartyl protease AED3 [Source:Projected from Arabidopsis thaliana (AT1G09750) UniProtKB/Swiss-Prot;Acc:O04496] MAATTTILLLLVAATAASAADLSVYHNVHPPSPSPLESIIALARADDARLLFLSSKAASSGGVTSAPVASGQTPPSYVVRAGLGTPVQQLLLALDTSADATWSHCAPCDTCPAGSRFIPASSSSYASLPCASDWCPLFDGQPCPASQDASAPLPTCAFSKPFSDTAFQASLGSDTLRLGKDAIPSYAFGCVGAVTGPTTNLPKQGLLGLGRGPMSLLSQTGSRYNGVFSYCLPSYRSYYFSGSLRLGAAGQPRNVRYTPLLTNPHRPSLYYVNVTGLSVGRTLVKVPAGSFAFDPATGAGTVIDSGTVITRWTAPVYAALREEFRRQVAAPSGYTSLGAFDTCFNTDEVAAGGAPAVTLHMGGGVDLTLPMENTLIHSSATPLACLAMAEAPQNVNAVVNVVANLQQQNVRVVVDVAGSRVGFAREPCN >Sspon.06G0009570-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:40870706:40876628:1 gene:Sspon.06G0009570-2B transcript:Sspon.06G0009570-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPKAKKDAAPAERPILGRFSSHLKIGIVGLPNVGKSTFFNIVTKLAIPAENFPFCTIEPNEARVNVPDERFDWLCKLYKPKSEVPAYLEVTDIAGLIRGAHAGDGLGNAFLSHIRAVDGIFHVLRAFEDAEVTHVDDTVDPVRDMETISEELRLKDIEFMKKRLEDLDKSMKRSNDKQLKIEHELCERVIAHLEEGKDVRLGDWKAADIEILNTFQLLSAKPVVYLVNMSEKDFQRKKNKFLPKIHAWVQEHGGETILPFSCAFEQKLVDMPEDEAAKYCAANQITRQVKCWQIRRQTKAPQAAGAIHTDFERGFICAEVMKFEDLKELGSESAVKAAGKYKQEGKTYVVQDGDIIFFKFNVSGGGKK >Sspon.05G0005520-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:21048654:21049639:-1 gene:Sspon.05G0005520-3C transcript:Sspon.05G0005520-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWTRSSQSYSDEVAVSAVEEQQKLLKKVVAGGRAWANYDGGGTIGTAQESGIKNHVMSERKRREKLNGMFLILKSLVPSIHKVDKASILAETIAYLKELQRRVQELESSRELTSRPSETTRQIKGPRGNDSVRKKLCGGSKRKSILRRCRGEGARAPLDPPQRGTSNVTVTVANKDVLLEVQCRWEELLMTRVFDAIKSLNLDVLSVQDSAPDGFMELKIRAQFVGSGAVVPWMISEALRKAIGKR >Sspon.06G0010170-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:55662880:55665985:1 gene:Sspon.06G0010170-1A transcript:Sspon.06G0010170-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMEGGGGGGGGAGVGGCLGLGHGGEAQIKGTHTHGGRYVQYNVYGNLFEVSAKYVPPIRPVGRGAFGIICAAVNAQTREEVAIKKIGNAFDNQIDAKRTLREIKLLRHMKHENVISIKDIIRPPRRENFNDVYIVCELMDTDLHHLLRSNQALTDDHCQYFVYQLLRGLKYVHSANVLHRDLRPSNLLLNAKCDLKIGDFGLARTTTETDFMMEYVVTRWYRAPELLLNCSEYTQAIDMWSVGCIFGEMVTREPLFPGKDYVHQLLLITELVGSPDDTSLGFLRSDHARRYVRSLPQHPKQQFRVRFPTMSSGAMDLLERMLVFDPSKRITVDEALCHPYLASLHEIMTNPSAQRLSASTSSSHRSPKKISRSSSGGNLSSSTLTQSTK >Sspon.01G0004110-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1A:11360875:11361408:1 gene:Sspon.01G0004110-1A transcript:Sspon.01G0004110-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPLPTVTFQRLETSRWGTRQGGGDTAIGVAVTTRLVARETPVSEKNGSGTALHTAVTQRCTAPATAVNTVVSGDTLFSVLLSRVQVGTALGVAVPPDRVTVPPNEHPALGLLGRSPPQGWWCTGQGMAMPLGITPSPSTPPFVHLFHHLCKCANTPSVSSSRASVLAFSQSFFKG >Sspon.06G0013870-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:59827420:59829582:-1 gene:Sspon.06G0013870-2B transcript:Sspon.06G0013870-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEQAQLCCGGDSASKRDAAMPVAVAVSLCLLHDALGVALFLASHPLHTVYLLFFARGLVALAAFFWPLLVSTSLLLAVLATVAPYVAGDWPRGVRSSLGRTCGIAVAALCAELRPPDGAPAGLVAQLCSFVLGPTDAASVLRVEEIMGEPCNVIITASCFVPEVEGEPEVEGKQSLLLIGGDDCKEPAFALLVMDYIGDRSFLDYESSGDLKDKIDEKVVISEDMKGSDPLAEQCCFPRDTLFVQEMEAEEEEEESDIQGHDLILSAIDEVSNGVEEKRLECDPVSVEIKKSEPVQVLEIRKSQPMLAMEITELEPLEPMEAKKLEINKFKPLHAVEIKKCEPVQAVQIKKFEPAGPPEVKEGETVQKVQIKTLQPTLETKKSEPVESVEIKKNELVKPRSLIAQRIKLWEAQVVSGNAKPFVGDREENPVEFSLKNKSAAKYGKKCVRFEADPCAEKCGSDQQAQVAVFTQECIEQQQEQEFKHVKEYMHSETEASDKCSQDMKAEEITPAVVAQAEQEMLEQGCNDVQPEPDLEELEYEMAQPEQELDEMEEVYNDMTQSPAMWNERESPLKSTSIAGRVHSRTSSENLLSEGSPSRKDKEWKRTLACKLYEERMQLRLCRDRAVVEGSDNMDMLWEAYEVGSGGNKGRGGKRSGSKVKGSTAKVEDAVQEGEEEEEEDDDDNEGSVRQLCCLQALKFSTRKMNFGGGRPSLSKISK >Sspon.05G0034970-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:67431846:67433827:1 gene:Sspon.05G0034970-1C transcript:Sspon.05G0034970-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSRIIFLLSHLRIKVGELRSEDIQALGMLQALRVLRMKVAGYKQVVFQRFMISADAFPCVMRCKFSGFSMVPSMFPPGAMPKLQHLKFHIRQEDFCWSEFNVDELALGHLPSVQTVWVRIYGKEEVNQEVVTKVKAALMQQARVHSNHPDIYFRLGLGM >Sspon.07G0020670-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:79306260:79308201:-1 gene:Sspon.07G0020670-2B transcript:Sspon.07G0020670-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKKATVEELRRLNKAREFKRKRNMLKAAGAGPDNKVARGENICAICDDGGDLTCCEGCCQRSFHLDNKHNCIKTLGLTVEKAKNIIEKEDFICKNCQYKQHQCYICGSLGSSDDTDTSSQPEVFQCEHDDCARFYHPKCVAQMLYPDSHHSQELFELQVAAGERFSCPMHECIVCKEVENKNDKSMQFAVCRRCPTVYHRKCLPSDILFKPKKGPKGAMRRAWKNMLPHRILIFCMKHEIVRKLQTPARNHIIFPDANVLKTFQAAPMEQDTPDQKEAPDHSLPEQTHSSPPPAASDQNQCCCSGPFDSFAPSSLFMHPHPGSCGWLDD >Sspon.08G0006260-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:16672604:16683724:1 gene:Sspon.08G0006260-4D transcript:Sspon.08G0006260-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SOS2 [Source:Projected from Arabidopsis thaliana (AT5G35410) UniProtKB/TrEMBL;Acc:A0A384KKJ4] MAGAAGRKKRVGRYEVGRTIGQGTFAKVKFAVDADTGAAVAMKVLDKETIFTHRMLHQIKREISIMKIVRHPNIVGLNEVLAGKTKIYIILELARHGKLRENEARKYFQQLIDAIDYCHSKGVYHRDLKPENLLLDSRGNLKVSDFGLSTLSQNGVGLLHTACGTPNYVAPEVLGSNGYDGSAADIWSCGVILYVLMAGYLPFEENDLPSLYEKITAAQYSCPYWFSPRAKSLIQRILDPNPRTRITIEEIRADPWFEKNYVAIRRGEDENVSLDDVQAVFDNIEDKYVSEEVTHKDGGPLMMNAFEMITLSQGLDLSALFDRQQEFVKRQTRFVSRKPAKTIVATIEVVAESMGLKVHSQNYKLRLEGAALNRMSQFAVVLEVFEVAPSLFMVDVRKVAGDTLEYHRFYKNLCSKLDSIIWRPTEVSAKSTLLRTTTC >Sspon.06G0033270-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6D:11826573:11827441:1 gene:Sspon.06G0033270-1D transcript:Sspon.06G0033270-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPLKNIKDIQKLTGFLTAPQKDDVLFLYVAATDRVVSTVIMVEREEPGHIYKVQRPIYFISEVLNESKSRYPQIQKPIYAILITSQKLKHYFDGYRVVVKTNFSLGDIIRNKDANGHIVKWAMELCPYSLEFQSRTTIKSQALVDFIVEWTDLSAPPYQRLIEYWKMYFDGSLKIDGAGAGVLFISPNKDELLYVLRLHFPASNNTTEYEACLHGMRIAVELGVKRLYVYGDSALVIN >Sspon.05G0012570-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5A:39079361:39082057:-1 gene:Sspon.05G0012570-1A transcript:Sspon.05G0012570-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GFTLLIMDDKSKVKKEIDGPLGPPPRKGGLKFAPKVPQKKPAKVVPKKEPVEESKEEAVDKELLMKLKMSQDYSHDYPVTLPLRRPYSGNPEILDEEEFGESSASRAQDAKLSAAEELGLMDRAAESQFVFFQFPSSLPLPRQPQSVADPNVVSDERREGMRPLPHIGSKLKEIPEGYMGKILVYRSGKVKMKIGDALFDVSSGSNCMFVQEVAAINTREKHCCTMGEINKHAVISPDIDYMLGSVDKMEE >Sspon.03G0016970-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:53359070:53361028:1 gene:Sspon.03G0016970-1A transcript:Sspon.03G0016970-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PRXIIF [Source:Projected from Arabidopsis thaliana (AT3G06050) UniProtKB/TrEMBL;Acc:A0A178VBA5] MAATLARRAGRSAAATLWGAARGFASVGSDIVSAAPSVSLQKARSWDEGVATKFSTTPLKDIFYGKKVVIFGLPGAYTGVCSQAHVPSYKNNIDKLKAKGIDSVICVAVNDPYVLNGWAEKLEAKDAIEFYGDFDGSFHKSLDLEIDLSAALLGRRS >Sspon.02G0033510-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:8381371:8387803:-1 gene:Sspon.02G0033510-2C transcript:Sspon.02G0033510-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRHAPGPRTARHEPIDAVPPGSGTRTSGDMHAAAGLSRPCRSFLLPQPQAHYVFFPTGRCTCTRTWTGMASARRKRNGGERRSLRAPDAAPDAAPDAGRVRSVHRGAGAARVCDRTRVWPDQRVRSVQPSENVKRVTGASGAPRDRSVRSASREAERSEARSDAAGASGHVPPDASGRVGCLLERDRTLALSRPLQLTKGQATDAAFATTQEGMKPHARAGVAAADVPTSATRYGVAGATTPASPAAPVSMGSWGPRPRRDGRDRSGRGHRGRWGPHPCRYSQECRARDARTPPAPGGGGRCGGGGGQREPGGLQNHTGERPSRWRILSYLTLAHLPLDNDKGNK >Sspon.07G0033350-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7C:59671642:59672299:-1 gene:Sspon.07G0033350-1C transcript:Sspon.07G0033350-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DWWLQFGGEVPTLQKYVLRIVSQCVSSSGCERNWSRFALVHTKIRNRLGYDKLHKLVYVHYNLKECIKEVSGEQEKEVDPCAMMMENATFGEENPIWDWLDKSMSDVGPNLASMYPNWLDSPACGKNDRNKNGMRVRDDDDRAREDDEIEFDFDVSEDEHEDEFEDALSEGDDDESVEELSCDVDDEDDDPIEPIPRVEDVDVSRDEDHALRDGDAVD >Sspon.02G0040470-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:69946464:69946628:1 gene:Sspon.02G0040470-1B transcript:Sspon.02G0040470-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MYVVTLPALQRCLEDGPDAAERRPRSGFPTSRGQETAISRHIMTYKQHRRDPGR >Sspon.01G0028690-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:110851236:110853901:-1 gene:Sspon.01G0028690-2B transcript:Sspon.01G0028690-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome c biogenesis protein CCS1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G49380) UniProtKB/Swiss-Prot;Acc:Q9XIA4] MPSPASYLLLNPSKPSSFRRLPAPAPRLHARRFHVSCDAPRGSGRSGGGRREAIPAGASKAKKQIVFFDAAPPVSQEGRAAVEKAGREKPTTKEGNGNAALALLRRVTKRMLAVLSNLPLAISEMFAIAALMALGTVIDQGEAPSYYFEKFPEDNPVFGFITWRWILTPGFDHMFSSPVFLGLLALLATSLMACTYTTQLPMVKVARRWSFTHSGERIRKQEFADSLPRASIQDLGVILMGAGYEVFTKGPSLYAFKGLAGRYAPIGVHLAMLFIMAGATLSATGSFKGSVDVPQGLNFVIGDVMKPRGVLSVAPDVFNTEVHVNRFYMEYYDSGEVSQFYSDLSLFNLDGKEVMRKTIKVNDPLRYGGITIYQTDWGFSALQVKKNGEGPFNLAMAPLKLNGDKKLFGTFLPLEDVDSSNPNVKGISMLARDLQSIVLYDQEGKFVGVRRPSSKLPIEINGNEILIEDAIGSTGLDLKTDPGVPIVYAGFGALMLTTCISYLSHSQIWALQDGSTVVVGGKTNRAKLEFSEEMNRLLDKVPELISADENVLDTKSTAT >Sspon.02G0011700-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:29662936:29665833:-1 gene:Sspon.02G0011700-2B transcript:Sspon.02G0011700-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGAPVPKDLNLPAAALPQPTRAGARTPPQQQQQMSSPGLLRYRSAPSTLLGEVMCGDHQDFPAAGPAAGGAGHGPPDHAAADNVLARFLAGHHHSETRDCKPPRPAAAAHHFMDKAAAAASMAASQQQLMYQSQQQMAAMEGLYRNVSSGGTEHGAAVGAAGNNSLIRQSSSPAGFLNHLNMDNGYGSMLRAGMAGGGFRTNGGVSDARLKGQLSFSSRQGSVMSQISEVGSEELDGGSSPEAAGSNASGAPRGYSGIPGYPMGGSSGAWTDEPPQSPTSGAKRPRDSGPAPQNVQRSLTPQLSLPASGNNGGGKPAAASAEIAAIEKFLQFQDAVPCKIRAKRGCATHPRSIAERVRRTKISERIRKLQELVPNMEKQTNTADMLDLAVDYIKDLQKLVKVLNDGRANCTCSAGKLDQFSS >Sspon.04G0033070-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:43820366:43822798:1 gene:Sspon.04G0033070-2D transcript:Sspon.04G0033070-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MITVDDLMRSCGGDSGGIPVPSGGDGRQMLAMGDHHQLTVSRIRTAVSMLNRRTGHARFRRGPVAEQHASSDQLHPPAESAAGGVALDFIKACEARFSASASGTSSSLPSTTLTSLTVTAGEGSGVQRPRPGSIPLPARERRRRRQRQRPLCREAAAAGGIDAAACLPRLHPRHRAEKRQVPRPRGAPRKTPAARRTATAATAPRNVLFVMSCETTMACSKSRVKRTVRVPAISPRNADIPPDDYSWRKYGQKPIKGSPYPRGYYKCSTVRGCPARKHVERDPGESAMLIVTYEGDHRHDDQQDRTTGGAPQTEHTTTTSS >Sspon.01G0021200-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:74803490:74803789:1 gene:Sspon.01G0021200-4D transcript:Sspon.01G0021200-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding QTMGFLPIYRIMSSLLPPVSSDSRLLPDKPLTAGSTLISDDGTFALGFFSSSNSTQKHYYYLGIWYNNIPKDNVVWVANRATPVTDPSSATLAL >Sspon.02G0030750-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:107539009:107541142:-1 gene:Sspon.02G0030750-2C transcript:Sspon.02G0030750-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AMASRLLLLFATLALLLVAAAAQNNEIMLPTSPSCSTTDNYTDGSQYKKNLDQFLAALPAAAGDNGWFYEGSAGSGPDAVFGLIMCFADRNATQCLDCLARAPAGITTVCPGSRNVSALYDACVLRYSAAPIPATADIGYVFYVTTDGEPVTSQGLRAAWLPLMSKLTPDIRGTPLRIANDTVPYSTSQAQEMSGLAQCTRDLNGTECTRCIDSYINELPNLFPNNTGGSIKGYSCYLVYLVGRLGITLPPSPVSELPLPPSSPPAPEPSKTKTGIVIGVSVGSVSVLVILGFSIWLLLRRRRKQARRTPREQELGEGVFFDEEPEMEDDFEKGTGPKRFRYDELAIATDNFSDTQKLGEGGFRGAILDTADERLNGEFDDQEVETVMAVGLWCAHPDRSLRPSIRQAVNVLRLEAPLPSLPARMPVATYMPPPDAFYYTSSVTTGGGGSSTGTGTTRSSTTDTSTLLK >Sspon.06G0025690-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6B:70855076:70855495:1 gene:Sspon.06G0025690-1B transcript:Sspon.06G0025690-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MACCQWCSALVCSPWREKVREEMKLGLRGERPAASFDQPRKKVRRLIDLDGYDLLTFSWACGGKVARRGFPGPGPGCGLGGRRRACTVGHMMLGRLSEMGGDGAKSRARLLLGHGPSAVAAGLLHGRMCKAGLMGLMLG >Sspon.01G0027220-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1A:95639880:95641437:-1 gene:Sspon.01G0027220-1A transcript:Sspon.01G0027220-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHGIIGGHHPSMLASRVGMVAHVLFLTTAVLMLVWLLHYRGGINIQSEDPEQIFNVRVMLCTIDFLSSDLCSNPGILDDPFAYSRAPDAEDGAHADPPGGAHPGHIRRVRGVQVPRRGGGAGPDEPPLVARHHRHRALRPAVAVRLRHLLAARRARGHPRRGGPRARYGRAGDLHARRVRGADGACGEERCGRLNHRDEAHQRHGDLHPPLRGRCRVHRSAAQGLLV >Sspon.07G0028150-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:81685949:81690350:-1 gene:Sspon.07G0028150-3D transcript:Sspon.07G0028150-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRAKASSLILPARAGASAWIFPQPAILSTAFTAHASGSTAAATTTLAADPFAIANALSAAAVSTSMSAGSSLHASAIKLGVCADTFTATHLLIYYAKRGHLTSALDVFDETPRRNLVTWTAMVSAAARGGAPDLGLELFSAMVRSGFCPNEFALASALGACCQSVVVADVKLGCSLHGLAVKAGLDGNPYVGSSLMLVYTKHRRVAAVEQMFAGIATKDVACWNAMLEGYVANGRGYDAMRTVVLMHRSGMAADMFTYISAVKASSITCDLNFGRQVHGLVIQGMFQSNTSVMNTLMDTYFKAGQKETAVDIFGNIRWKDTVSWNTMISGLANDEDERAAADCFVDMSRYGCKPNQVTFSVMLRLSGAKESASLGLQILGLAYRHGYSDNVLVANAVINMLSQCGLLSCAYVFFCNLGVRNVVTWNEMIAGYGLHGCSEDAMRLFRSLVCFGARPDEFTYPAVLSAFQQDHDARNHEQIHASVLKQGFGSCQFVSTSLIKAKAALGSVVGPLKIIKDAGKMDLVSWGVTISAFVKHDLDKEALFLFNMFRADCPEKPDEFILGTILNACANAALIRQCRCIHSLVVRTGHSKHFCVSSALVDAYAKCGDITAAKSAFAAVSSVTKDAILYNTMLTAYANHGLIHEVLSLYQDMRQLQMAPTPATFVAVISACSHLGLVEQGKLLFSSMLSAHGMNPTRANYACLIDLLARRGLLEEAKGVIEAMPFQPWPAVWRSLMNGCRIHGNKELRVLAAQQILRMAPNNDGAYVSLSHVYAEDGDWQSAEDARRKMAENQVQKAQVNDSEPLTHTTAKPDITSGIQLANDGLHKTSVHMLASIYPKILSKLEIKSPEGALIKQSEQKHADTQDRIRHSSASRYAESLEVKMILDCQVAISLFLHGSNVPFIMHSTKHRQLCICRSQNIHSLLDGRSLDRVSMRTPEARNEHALHLLPVELAVQPLICCIKNHALVIQMNDPGE >Sspon.05G0012530-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:33037917:33042549:-1 gene:Sspon.05G0012530-1P transcript:Sspon.05G0012530-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTRMLKESSSPLLDAHRIEVPSPKEENNSTNSEAATDSEDIEISDDDDDDRNHKHRRREARQQSDDNTEEQHPGPPLRKRSRVSGNGLPFGGAGSQGEVQKDFVPKFKKRSGVGGHTRAPRANQSFRADSSASAAIRPPMTRGRGRNGAPWTHHDPRFNSLDMMDFASQMASQGPPAHPSLFMGTALPSGPYGFMPGMPHGILDPIHPLGMQGPIQPSVSPLIDLGMPRQRCRDFEERGFCLRGDMCPMEHGVNRIVVDDMQSLSQFNLPVSVPNAQGLGIPNEGGTSSVNLSSLGGSKSVPVKDVKSGVASDALKPNGSAVSAIADADVYDPDQPLWNNEHPEASCTGFVHKDVGVWNSESSGYEMGQEHSNQVFASDGSQSLKSSVWGRIASKRKPGGSNTAKSMSTSITGNQKSDYDEMALSTGQVKSAAKDTNGQPNSRIYGNVGRQSNRAAQKASRTLYVHGIPQESNRWDALLSHFQKFGQVIDIYIPSNSEKAFVQFSRREEAEAALKAPDAVMGNRFIKLWWANRDRILDVGESRISGKSSQLSTTLANSFPNRVKENVQSTAPRPSSGSSAEPLSSGTPGSKMLPASNTKSVPPHAPKRQESLELLEELRKKQEVLAQKRDEFRRQLEKLAKQKGSANSVKHAEAGGKEIASYDESKVKDARSMRAEGAQEIAGSLEKKSSGEFASCSQKSAATSTQKSAVVTKQTTPPAPPQNRFKLDNRTTSFRILPPLPPEIAN >Sspon.05G0028790-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:5B:72863679:72864083:1 gene:Sspon.05G0028790-1B transcript:Sspon.05G0028790-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SIASRDPPTSASYQPPLPLLPAGRALALTPTSLPAPDPASLLATRPGISTGPRATPVISKMPPRRPPLLRWPPPLLPRVGSSFGGPMALHPWAGSDVGLGGKSAHDLARTHLRQNKIDEATIFGFLSLSSLLSS >Sspon.04G0010130-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:28715352:28721148:-1 gene:Sspon.04G0010130-3C transcript:Sspon.04G0010130-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGKGGAMDRRSSARWRVLVLCAFSFGLGMLFTDRWVSPSPSLYYYLFFRGKLWIPPCASFALGLLGGSSAGNGRSRFDVDWCRYLPVPVPAHGCVRDFSMELVYGVRSLDKSISTLQMELAAKRSTLELLRSSGSPVTSETSQPRKKAFVVIGVNTAFSSRKRRDSVRETWMPQEYESAKQLKSLSLLVTDHVEGYHELSAKTKIFFSTAVGIWDADFYVKVDDDVHEFENELAAFSLRVKLAALLIAAVPQAPQ >Sspon.03G0019550-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:83441497:83442170:1 gene:Sspon.03G0019550-2B transcript:Sspon.03G0019550-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSSTLLVILCLQAALVMGIFAAVAKENAVGESKAIDINPGQLKCCTNCNFSFSGLYTCDDVKKDCDPVCKKCVAVQTYSGKMFKCTDTFLGMCGPKC >Sspon.03G0020670-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3D:50856950:50858539:-1 gene:Sspon.03G0020670-2D transcript:Sspon.03G0020670-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTATPRRFSQRWRLPPLREARSLTSPPPPGAPRGLDPGRPRPLRRRPPPSPHTRPPQDLGLPRLRGHLWGHRAVRRRPPCHRRPPQAPPPSQRPRLLSGLPREAVQSTSDGRTMTAKSPAMTAPQATSTPFVARRRRSQPPLLVPTLTPPRVRAALERAPIGRDATVGGGGMASRVRSCSPGTVAQYTTEPPSSSPVQGTPPQMGPAVVVDEVALAVGTPTSMRDGGHATPDPSTLTKDGLPQATSDPSDATPTPGEAARRLARFVKEVQVKRVSPLLATPPKQIAATTRPLPIRSRRITAQPLVHIPIAKRGEVLLMQRMGIAPPAAPVSTMSKATYHAIFTGNLSSSQVEALDELFPAANYRAARTLFAEDP >Sspon.08G0028470-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:12301801:12313100:1 gene:Sspon.08G0028470-1D transcript:Sspon.08G0028470-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPAVLAFFPLLLLTVFSPASTSAHRNRSPSPFPPIVPLHLQALRQQQRRASSSNGKLVTAAADAGTNSTAQPFTTHYFPQELDHFTFTPNASMVFRQKYLVNDTFWRRPSGGSGAGPLFVYTGNEGDIEWTVKYADSIAGWLQTAFVYTAMVDYPTPANFMKNLPAYPVKEMCKIIDGFPANADILEKVFAAASLYYNFTGDQTCNQIEGDDDSSSSLGLSGWGWQACTEMIMPMSDSNESMFPPSTFSYEEKSNTCFQFSGVRPRPHWITTEYGGYKIDKVLKRFGSNIIFSNGMRDPWSRGGVLKNISSSIVALVTEKAFSQSHASSAAALPARSNLNQFPPISPLHLQQARWQQRLRQAASSPDATKLVPAAADGTDTTAGPFTVHYFPQELDHFTFTPNASTVFYQKYLVNDTFWRRPSGGSTGPLFVYTGGEIGIERIAINAGFMFDIAPKFGALLVFIEHRFYGESLPFGNNSAEVLGYLTSTQALADFAILITSLKQNLSAEMAPVVVFGGSYGG >Sspon.04G0032750-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:35651788:35653475:1 gene:Sspon.04G0032750-2D transcript:Sspon.04G0032750-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHLPGSSMGQGSQDSGGCEELVNLDWTENGGAGLLRRRVVPPTVFGAQMQRFSFLAGGQPSQFPFPGAMDSGGYFTDLMTNDFVADALKMFIKEDRQHRQFPYMHCWKLLKGQAKWADRQKQMETHKPMTKKQKVSANSSLTSAPPLLPAATVDENQHSNSALERPSGQKKEKQKLRQHSSIEALDYLLAKKKEADAEKELKKEERYKKAFVLQEERIRLEKEKLELQREQFEFTKNLEEERIMNVNTSHLCIDQHQYYEVLKNAIRAKTPK >Sspon.02G0017980-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:9604204:9606779:1 gene:Sspon.02G0017980-2B transcript:Sspon.02G0017980-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLLLPALLLAATACIGAHAKFSRHSFPKDFVFGTGSAAYQYEGAYNEGGKGPSIWDKFTHIPGKILNNDTGDVADDMYHRYKEDVQLLKDMNLDAFRFSIAWTRILPNGSVSGGINREGVAFYNNLINEVIAKGLKPFVTIFHWDTPLALEDKYGGFLSENIIKDYVDFAEVCFKEFGDRVKYWTTFNEPWTYSSQGYAVGKSAPGRCSSYVSKSCSAGDSAREPYIVTHNIILAHAEAVALYNAKYKPAQRGQIGITVVSNWYVPNDANSTADIKAVQRSLDFMYGWFLDPIVHGEYPGTMLGYLGDRLPRFTAAQAKLIKGSYDFIGVNYYTAYFTSAKPAPNGLEQSYDGDIRANTSGFRDGVPIGKPEFVPIFFEYPQGLRELLLYTSRRYNNPVLYVTENGIAEENNKTIPLEVALKDGHRIRFHSQHLQFVNHAIKNGVNVKGYFTWTFMDCFEWGDGYLDRFGLIFIDRLNGLERYRKQSSYWIQNFLKR >Sspon.03G0030170-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:28240804:28244298:1 gene:Sspon.03G0030170-1P transcript:Sspon.03G0030170-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSIGTGYDLSVTTFSPDGRVFQVEYATKAVDNSGLGFTASHFLFCFASLVPFSINLIDEAMVFVNRTVVGIKCKDGIVLGVEKLVTSKMILEGSNRRIHSVHRHSGLAVAGLAADGRQIVSRTKSEAASYEKVYGEPIPVKELADRVASYVHLCTLYWWLRPFGCGVILGGYDRDGPQLYMIEPSGVSYKYFGAALGKGRQAAKTEIEKLKLSELTCREGIVEVAKIIYGVHDEAKDKAFELELSWICDESKHQHEKVPNELLEQAKAAAQAALEEMDAD >Sspon.04G0029570-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4C:75704908:75709364:1 gene:Sspon.04G0029570-2C transcript:Sspon.04G0029570-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutamate--tRNA ligase, chloroplastic/mitochondrial [Source:Projected from Arabidopsis thaliana (AT5G64050) UniProtKB/Swiss-Prot;Acc:Q9FEA2] MWALCVSRPPKFLLRRSWATHGPLSCSPPLLGPQHPTPPLTLPIHHPLPLGRLVFAILRKGEMAASALLTGSPWLRMRLLPDAPARPFRHLHLRRALSVRASAGADGSPGPVRVRFAPSPTGNLHVGGARTALFNYLFARSKGGRFVLRVEDTDLERSTRKSEEAVLADLAWLGLEWDEGPDVGGEFGPYRQSERNSLYKQYAEKLLDSGAVYRCFCSSEELEQMKEVAKQRQLPPVYMGKWASASDAEVQQELEKGTPYTYRFRVPKEGSLKINDLIRGEALGFSMPSFAHVSLILAPDRSKLSKRHGATSVGQYKEMGYLPQAMVNYLALLGWGDGTENEFFTIDDLVQKFTINRVNKSGAVFDATKLKWMNGQHLRSFPHDELIKAFENRWKNTGILQESESGFAQEAAELLKDGIDLITDADAALTNLLSYPLHTTLSSEEAKPVVQDKISEVGLSLISAYDSGELTQALAEGRDGWQKWVKGFGKSIKRKGKGLFMPLRVLLTGKLHGPDMGGSIALIHKAGICGAVTPQSNFVTLDERFRILKEVDWESLAKEQETPAESAVPAAS >Sspon.01G0023670-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:85147428:85150746:1 gene:Sspon.01G0023670-1A transcript:Sspon.01G0023670-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFNALSYNNEPDTGHDLDEDEQLARALQESMNDGPPRRDIPIEDVHSESAPASSLPSNIFPTSGLRVCAGCKSPIGRGRFLSCMDSVWHPKCFRCYACDRPISEYEFAVHENHAYHRPCYKECFHPKCDVCSSFVSTLHFDLINDLENLVIPTNKNGLIEYRAHPFWMQKYCPSHENDGTPRCCSCERMEPKDSQYITLDDGRRLCLECLHTAIMETNECQPLYIDIQEFYEGMNMKVEQQVPLLLVERQALNEAMEAEKSVHHLPETRGLCLSEEQIVRTILKRPIIGPGNRIIDMITGPYKLIRRCEVTAILILYGLPRLLTGSILAHEMMHAYLRLKGYRTLSPEVEEGICQVLAHLWLESEITSGSGTMATTSDASSSSSSTSSSSKKGAKTEFEKRLGEFFKYQIETDSSVAYGDGFRAGMRAVERYGLRSTLDHIKMTGTHMKNASIKSGLKRLILILI >Sspon.07G0002160-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:5362324:5365707:-1 gene:Sspon.07G0002160-1A transcript:Sspon.07G0002160-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSENWISRLAAAKRFYAVQLGHSDRTGMEELDMDEEVRPEFACPYCYEDHDVGSLCAHLEEEHPFEPQAANRRRLRRFIIPGSQALSLLSRDLREAHLQVLLGGGHRSSNNNSTTNISADPLLSSFGLSFPTSDAEQTSKSTISIPDDVTMVKETPAQARKLSIDSSLTSEEREQKRKQASVRATFVQDLLLSTLFGD >Sspon.03G0005030-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:14330776:14335958:-1 gene:Sspon.03G0005030-1A transcript:Sspon.03G0005030-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTANPPSPSPSLSLSLSPSSSGTRPLVRIGAARRFAMAFQLLFAGVLVVLAAAAPAAEAGGGSVCFDRVFSFGDSLTDTGNFLLSVPDDFPDPARNLPYGQTFFGRPSGRYSDGRNLLDFFAEAFGLPYVPPYLGGGDFQNGANFAVGGATALNGSFFRERGVEPTWTPYSLDEQMQWFKKLLPSIASSETEQKEIMSNSLFFVGEVGGNDYNHLIVREKSLDELHEVVPNVVGAISSAITDLINLGAKKLVVPGNFPIGCVPLYLAIFQSQKEDYYEEQTGCIKWLNEFAEYHNRMLQEELEKLRNLHPDVTIIYADYYGAALNIFRAPLQFGFTVPLNSCCGSDAPYNCSPSILCGRPGSTVCPDPSKYISWDGLHFTEASYKVVIQGVLGGYAKPPSQKPARVRNSKFLNFTNVQITQQTLYHTMLWALLFDLLSSKAYLDFSW >Sspon.01G0010570-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:36047737:36051801:1 gene:Sspon.01G0010570-2B transcript:Sspon.01G0010570-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEPVARAVAEEVARWGAMRQTGVSLRYMMEFGARPTERTLLLAAQFLHKELPIRIARRALDLDSLPFGLSTKPAILKFLPHWGRITWTMAAIIVEMVPFLDSLSVRDWYVESFRDIRSFPEVRNQEDELAFTQMIKMIRVRHTNVVPAIALGVQQMKKDLGGSKAFPPGIHEIHQFLDRFYMSRIGIRMLIGQHVALHDPDPEPGVIGLINTKMSPMTVARIASEDARAICMREYGSSPDVDIYGDPGFTFPYVTPHLHLMIFELVKNSLRAVQERYMYSDKLAPPVRIIVADGAEDISDEGGGIPRSGLSRIFTYLYSTAENPPDLDGHNEGVTMAGYGYGIPISRLYARYFGGDLQIISMEGYGTDAYLHLSRLGDSEEPLP >Sspon.07G0001530-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:3006966:3011076:-1 gene:Sspon.07G0001530-3D transcript:Sspon.07G0001530-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRSTGHPNDASITESEFFTEYGEASRYQVSEVIGKGSYGVVAAAVDTQTGERVAIKKIVDVFDHVSDATRILREIKLLRLLRHPDLVEIKHIMLPPSRREFRDIYVIFELMESDLHQVIKANDDLTAEHHQFFLYQLLRGMKYIHAASVFHRDLKPKNILANADCKLKICDFGLARVSFNDTPSAIFWTYTPAIDIWSVGCIFAELLTGKPLFPGKNVVHQLDLMTDLLGTPSAESISKIRNEKARRYMSNMRKKPRVPFTKKFPGIDPMALHLLERLLAFDPKERPSAAEALTDPYFNGLANNEREPIAQPISKLEFEFEKRKLAKDDVRELIYREILEYHPQMLEEYLRGGDHSQMTFMYPSGVDRFRRQFAHLEEGTAKGEKPSPQLRQNEESVLESVDETVDDVTKKIPQLKT >Sspon.04G0017580-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4A:63579616:63582060:-1 gene:Sspon.04G0017580-1A transcript:Sspon.04G0017580-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DYLQVAHIPGALFFDLDGIVDRTTDLPHMLPSEEAFAAAISELDIKNQDKVIVYDGKGFFSAPRVWWMFRVFGHKKVWVLDGGLPQWRASGFNVENASPDDAVLKSNAANKAVERFYNGEQVAHNVTAKTYQQIDARAKGRFDGVAPEPRKGVRSGHIPGNICIPFPEMFDGAPSLLSADELRQKFQQAGLHCVATHFNNPKQGLYRIGKHDVPVYDGSWTEWEAQSDSDYPKVTAPAC >Sspon.02G0049990-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:38419468:38419865:1 gene:Sspon.02G0049990-2D transcript:Sspon.02G0049990-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKGRTGQRVRLYVRGTILGYKRSKSNQYETTSLVQIEGVNTKEDVAWYAGKRMAYIYKAKTKSSETRYRCIWGKVTRPHGNSGVVRAKFKSNLPPESM >Sspon.04G0018030-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:73979609:73988765:1 gene:Sspon.04G0018030-4D transcript:Sspon.04G0018030-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFHTSMEDTMQPLHSSWNKNNRTTRSWPIRSLGLALLPLLSLLSPTSCVCTEQDQISLLKFVQGLSQDSGLSTSWRNGTNCCTWKGITCDADGAVTAISLASMGLEGRISPSLGNITSLLSLNLSYNSLSGGLPAELLLSRSMVVFDVSFNNLNGDLHKLPSTAGQPMQVINISSNQFTGEIPSITLESMENLVALNVSNNSFTGEIPSTICVKKPVISVLDLSFNQFNGSIPVDLGDCSVLRVLKAGHNKLDGTLPNELYNATSLEHLSFPNNRLQGALSAEHLVKLKNLVILDLAENGLTGDIPDSIGQLKRLEELHLEYNSMSGELPSTLSRCSNLRTIILRFNSFHGDLSNVNFTLPNLKILDFMQNKFAGTVPESLYFCSNLIALRLSSNNFRGQFSPRIGNLKSLRFLSLTNNSFTNITNALQVLKSSWNLTTLLIGTNFKGEAMPEDETIDGHQNLQVLSLADCSLSGKIPHWLSKLQNLKELFLYSNQLTGSIPAWISSLNLLFVIDVSNNSLVGEIPKALMKLPMLESENIVDGSNQVFPLPVYMAASLEYHKANYCPKLLNLGDNEFTGEIPLEIGRLKGLTELNLSFNNLHGEVPQSFSNLTNLQVLDLSNNHLTGEIPGALENLHFLSYFNISNNDMDGPIPTGDQFCTFPNSSFAGNPRMCGPMFIQQCSASIEAGLAPVRPTGLCGGDIVFAMAFGVFLGVGVLYDQMVLSRYFGSCTEPEQTSLLQFVAGLSQDTGLAKLWQEGTDCCKWEGVACNRNGAVTHVSLPSRGLEGRISPALGNLTGLEHLNLSYNSLSGGLPLGLVSSSSIIVLDVSFNHLTGDLHELPSSTPGQPLQVLNISSNLFTGQFTSTKWKGMQNLIALNASNNSFTGQILDHVCNISPSFTVLELSYNKFSGRIPPGLGNCSMLRVLKAGHNNLSGTLPHELFNATSLEYLSFSRNSLNGILDGTHIAKLTNLVLLDLGENNFSGKIPDSIGQLKRLQELHLDYNIMYGELPSTLSNCTDLIMIDLKSNSFNGELSKVNFSNLPNLKTLDLMLNNFSGTIPESIYSCRNLTALRLASNKFHGQFSEGLGSLKSLSFLSLANNSFSNIANALQILRSPKNLTTLLLGTNFINETMPDEANIDGFENLQVLHIRNCLLSGKIPLWISKLVNLEILILNGNQLNGPIPTWIDTLNYLFCLDISNNSLTGEIPKELMNMAILTSEKTAAHLEASVFDLPVYYVPSHQYRTPIAFPKVLNLNNNKFTGLIPPEIGQLKALLSLDISSNNLTGLIPPSICNLTNLLVLDLSNNNLTASINNLNGNLHKLPSMTKPMQAGHNKLDGTLPDELHNGTSLEHLSFPNNRLQGALSAEHLIKLKNLVIHLAENGLTGDIQDSIGQLKRLEELHLEYNSMSRELPSTLSRCSNLRTIILRSNSFHGDLNNINLSSLSKLKILDFMQNKFTGTVPESLYFCSNLIALRLSSNNLHGQFSPRIGNLKSLRFLSLTNNSFTNITNALQVLKSSWNLTTLLIGTNFKGEAMPEDETIDGLSKSSDVSNNSLVGEIGHLKGHAALNLSFTNLHGEVPQSISNLTNLQVLDLSNNHLTGEIPGALENLHFLSYFNISNNDMVGPVPTGRQFCPFLNSSFAGNPRMCGPMFIHQCSASVEAGPAPVRPTGLCGGDIVFAVAFGVFLGVGVLYDQM >Sspon.01G0001620-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:1240618:1241635:-1 gene:Sspon.01G0001620-1P transcript:Sspon.01G0001620-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MWGCYVNSLKALSSLQATVTNFAANFISSGLAGYFLFEEPLPSKWFAGASLIILGVFILSKSSIEDKQNSD >Sspon.06G0024210-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:52435842:52441684:1 gene:Sspon.06G0024210-1B transcript:Sspon.06G0024210-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNITTEWGHEAIRRGAEAKLFREPDDEPILGREFEQDVLVWHMATSIFLSRGMVKSKIPKPSTAAGHVRAIEAMSEYLMFLVAERRQMLPGLVLHSQVEDTHTALEEIWDGRKTKTSLPCCRQMRRKDDRSWLEPDDCDSLRLVVDAAEVAAAPTKGESRFSTGSLRQWQVVQMLELIFNVWVDKLLYAAVRCSRESHAKQLSRGGELTTLLWIVIQHAGPFRIGQQKPGYQQDSKKPEPKPSPYPYPYPFPYLPKPSPYPYPFPYPPQEPQYEAPKPKPEEPPPQPMPAPPPLPEPEEAEDEVDPEDAEDYEMPISSEFSRRRRRRSSTMFLDSATQFWSDWGLRISVLVSLAVYALLGLLSVTRRRSASGSLRILHLQWALGAILWTFYQFAEIAATNALGNLSLSGADASGEEEQEQQLTAFWAPFLLIHLGGPDNLTAYALEDNMLSLRKMVEMALQLVGAIFAIWNYIYRGHNSRVLLAASAIVFVEGAARYVERACALWRANLDNMQEQEQDDYSSKKPAESAGACSSSRSRSSSSWAAAVEVESTISMYEEGRALDDGEALLLAQDLFHVWRRFLVDSSVDQQSPWQRASEKLLSLPWSSMCKVVEMELSLMYEVLYTKATVAHTWPGYLIRFTSPVCAAAAASLFWLHRDHHGRAIGASFVRITYLLLVAAFVLDVVWLLRALGSTWTYAFLKKTNKLAWRRPWAWFHHQALFAGWWLRLHRAVVYLDPLWLFGIDPVAHRMWSGTIGRYNLLHECTVPRRPWRRWLATKLGLQDNKYLSELPPGVKQLLFERVQRILPTAGDNTAPRPDGGDAYTMVDITTCWGQVALRRGAYLFRGRGPDEQRQPILGREFEQDVLVWHIATCIFLSRAMVRKVANSSIHAPAIEAMSEYLMFLVAERRQMLPGLVLHSQLEETRRALEEIWNDGREGTTTSGSAAGGGHQDDKDKLAWLVRQKRKHDRSWLETQGSRRLVLDAAEVAGALTKGSLRQRQVVPMLGLIFNVWVDKLLYAAVRCSRESHAKQLSRGGELTTLLWIVIQHAGPFRIGQHKPGYQQDSDRQEMPVVMMKPGPKYKPVRAPFPRQGPQYEAPKPKPEEPPPPAKAKAKAKRAKPEPLPLSPPPPEPKPPPQPKPEPKPAPPPLPEPEEAEDEVDTEDAEDYDMPI >Sspon.03G0020630-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:50681321:50684164:1 gene:Sspon.03G0020630-1P transcript:Sspon.03G0020630-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVIGACGRVVICNADRAGTEDGALAFFFRPRARQPRPHPTIYLYSSNDVAAAADFFDVVRLKEAMARALVAFYPLAGRLGINNDDGRMEISCNGEGALFVVAQTDDLTADDVKKFKPSPELRRLFVPLIEPSSSVILAAVQHGDRATVELPCRDRTLLRARSPPTVHLDALLTFYPKHAFSDPLALGPLAIQVLTISKDQIASLKHLCGGGTASTFCAVSALLWQCTCVARHSSHLILKRASRSRLTSGIGLGRPSRAATSATRLCVTGVARDIATEALGSVAGRIRGAVDRMDDELVRSAIDYFEMAEMDSRPPRGTLPQTDLHISSWLGRPQYDADFGWGKPELMSLAENHRGGFVFLMHDDDGAGSSGDVRLLMCMEAVNIKEIERLLYAKL >Sspon.03G0027700-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:10146547:10154507:-1 gene:Sspon.03G0027700-2C transcript:Sspon.03G0027700-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRLRAGSPVYGRQRSGSSTGSSSPGGVSPSHHRSSSTSSAASAAGAAGISNVRRTQNVAARAAAARLAQVMASQNAAAAAGDDDDDDDYAADHPPPPPGRFGSGRVAHGSNGVSLLGRSARSPSPALGRNIVEPPPTVRSSSAGRPAVASRPTTTVVPPIKTNTTLRTPSPIPPVAVELPVDRTRPKRFDAGLHNSRESGLKREASTLQDELDMLQEENESVLEKLRLAEERCEEAEARAKELEKQVAALGEGVSLEARLLSRKEAALKQREAALKAARESKDGRDGEVTTLRQELESAKEEVASAMDQLKEAESETKALRSMTQRMVLTQEEMEEVVLKRCWLARYWGLAVQYGVYPEIAVSKHEHWSSLAPLPLEVVLSAGDNAQGKNKLAREMSDVMGEGNIESMLSVEMGLRELSSLKVEDAVVVALGQHRRPSIVRQFTSDFKSPGEPKYLEAFDLSPEEAEDVSFKQAWLIYFWRRAKTHGVEEDIADDRLQFWIGRNAHAPNSHDAIDVERGLTELRKLGIEQQLWEGSRADIDQASLAMENQ >Sspon.01G0047030-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:93255755:93256434:-1 gene:Sspon.01G0047030-2C transcript:Sspon.01G0047030-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSARGSSGAAVLALVLLCVLLHGEFAESAVYTVGDRGGWSFNTANWPKGKRFRAGDVLVFKYNPKAHNVVPVSAAGYNSCSAPRGVRALTTGNDRVTLKRGANYFICSFPGHCQAGMKVAVTAA >Sspon.04G0009270-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:26089875:26092700:1 gene:Sspon.04G0009270-2B transcript:Sspon.04G0009270-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEDPVACAPSSTSFEPSTEVGSSNESKCQDAHDLGYLTFNPLFSQTACLPNIQEEKSSSGQKLRSRIVLPIESQSEYLKVDPEKSGASKKDLPTSVINIPRVDLRRNSENRPPRDLKDPFHKRVQMKLRALSDRMDADRTAASTRSASYQPPERAMTSSRSAYQDRLAISSRSSVCQNTSRALAPTKLAAQASHKYLKGVQRSDAIPHGIFVNKGSSASLVASSHSTATGKSPAKILVVPSSSQVSAKTSRIAQVTLKRSAVPTSVNNVSQNKRKHTLVALDEKYPKREYVRRSAPPSARSSSENLPRAAKAPKISNVTNPVSKTEVVQKSRSSSNLVGGRNMLTKRSANCNEENRKVIRSHTGDLAESSMRSNLKGGPSLTKNKPR >Sspon.05G0005970-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:19009004:19011643:1 gene:Sspon.05G0005970-1A transcript:Sspon.05G0005970-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVDKIAEPKDPFALTAQKVQSLEPPIPIKASWKGKDSQQQEEKDLPADGEESFRSLDSDDEGGRSSFSGASHPPEPTDIDIMKTVYVAIDEEKSEPPVCLVRGVSVKGPFIDDLSIRVTSTKANLVVGAGGAEGMAEERKVSASAVASVATARSSQATSLPQDSEEKECVWDASLPPSGNVSPHSSIDSMGVVTAMSTLHSCASTYKSEAIAGEATLSVERNCESVKGVRGDLLESAKTSMSRASDSSGVSDDSSWSHITGGASKPHKGNDPRWKAIHAVRTRDSVLGMSHFRLLKRLGCGDIGSVYLSELSGTRCYFAMKVMDKASLASRKKLNRAQTEREILQLLDHPFLPTLYTHFETDRFSCLVMEFCPGGDLHTLRQRQPGKHFSEYAARFYAAEVLLALEYIHMLGVVYRDLKPENVLVRDDGHIMLSDFDLSLRCAVSPTLIRTSAFDSDPRRAGGSFCVQPACMEPTSACIQPACFLPKFFGQKNKKKTRKARSELGQNAGTLPELVAEPTSARSMSFVGTHEYLAPEIIKGEGHGSAVDWWTFGIFLHELLYGKTPFKGSGNRATLFNVVGQQLKFPESPSTSYSSRDLIRGLLVKEPQSRLGVKRGATEIKQHAFFEGVNWALIRCSTPPEVPRPVEAELPVKYGVAEAIGSNSKRIVGADVKSGGKYLDFEFF >Sspon.07G0018190-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:65516706:65517113:1 gene:Sspon.07G0018190-1A transcript:Sspon.07G0018190-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MACTRTQQQRLRLIRPSRGSSFVLAAPTLLLLFLLLAVFAQPSSCRPLPLSVDDHHPNNNGVVKAAAATTDVILLPLPADAETTTMVVAAPSSSGPREDDEDRRRHQLAGSRHQWLLNKKPRGKAPPSAPSKRTN >Sspon.04G0018340-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:69495560:69496418:-1 gene:Sspon.04G0018340-2B transcript:Sspon.04G0018340-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLTTAASSMEEQYYSVSAEEVGTHLSLGIGGSGGGARSLRLAPPPPPRTVQLFGEVLSVQDDDRDGAEAQALHHHRGRPTAAAPASRKKKRDAAGAGGTATAAAADRHQSKKAKTTCRDDGDGGGGRKKLRLTAVQATMLEDSFRAHNILSHGEKQELARRVGLSARQVEVWFQNRRARTKLKQTEVDCDLLRRWCDRLTDDNARLRRDLADLRRAAAASTNLGAAVCASCGGSTDKQQLAVVAPPATCWRSSNV >Sspon.08G0014310-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:56245429:56247176:1 gene:Sspon.08G0014310-2D transcript:Sspon.08G0014310-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRFPDGTHVWLRNRVRRAYLYADEDGSGVSLSARRATVNAAWQVHLIVRHGIAFVLLRSAAYGRYLGPSPPVAQPDGHRGRIAVSAVQRDYTEPMQVNIRWRAQAVTAGDGGGDYVLLYHWRDAELYLRANGRYRRWNTGVTVAPVDGNDGNEITMMHWTVEHIPPRPAPPALPAPTTDLGGRTGLFQRRTVPMIDQERQIRYFLAGNDGKFLTMGAFRFLGRSVLNLTNQMAIKVDEDVSAITLCVYAGVFGRLTPLVVDLPRNQEPLNIVVLPISSPGENSAVPSVV >Sspon.05G0008520-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:17304161:17306646:1 gene:Sspon.05G0008520-3C transcript:Sspon.05G0008520-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHEECVEGIRSALKNPTVRFLRERMEKAGCQVWPRLIQAATCSDAGGYASGHGIMVCCNHMAFQDQITQIRANHLSGDCHYKRELLRGFMKIRGHEQDCVKRRALMSLKNNPYCSETAAKDAIEAVWDICYNDTRPFDRAP >Sspon.05G0014220-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:51576989:51578726:1 gene:Sspon.05G0014220-1A transcript:Sspon.05G0014220-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTSATCTTSSSSSAARGSGGAHVLLLPCPGAQGHTNPLLQFGRRLAYHGLLPTLVTSRYVLSTTPPPGEPFRVAAISDGFDGGGMAACPDLDEYQRQLEAVGSETLAELIRSEAAEGRPVRVLVYDPHLPWAQRVAKAAGVAAAAFLSQPCAVDVVYGEVWAGRLPLPVVDGKELFVRGLLGVELGPDDVPPFTAKPDLYPMFLEVSVRQFEGLEDADDVLVNSFHKIEPKEADYMALTWHAKTIGPTLPSFYLDDDRLPLNKTYGFNLFNSSESCLDWLDKQLPCSVVLVSYGTVSVYDEAQLEELGNGLCNSGKPFIWVVRSNEEHKLSNELRDKCKERGLIVSWCPQLEVLAHEATGCFFTHCGWNSTLEAIANGVPMVAIPHWADQPTISKYMESIWGLGVRVRKDEKGLVTRDEVERCIKVVMDGDRKDKYRMNATMWMQKAKEAMQNGGSSDKNITEFAA >Sspon.06G0015270-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:84237000:84240331:-1 gene:Sspon.06G0015270-1A transcript:Sspon.06G0015270-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLEFQVMTADIDEKSIRRENPDDLVMVLAEAKADAIMSRLNLADYQKEGNQPTLLITSDIVVVHEGIIREKPTTKEEAHQFLKGYSGGHVSTVGSVVVTNLTTGKRLGSLDKAEVYFHDIPDEVIENLIDEGVVFRVAGGLLLEHPLTLPFVEAVVGSSDSVMGLSKEIANKLIHDALST >Sspon.03G0023500-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:72030325:72031211:1 gene:Sspon.03G0023500-1A transcript:Sspon.03G0023500-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRIAGVAALALLSVLMAATCAAGRDFYVGGHAGWAPNPAEPFNAWAERNRFQVNDTLVFRYSKGADAVLVVSQGHYDACNATEPALRLDDGDSRFVFRSSGPYFFIGPDAARCRAGERLIVVVLAVRDDGTSSSPPPPKPSSSIAPPPKSTLSPPPPGASPAPRALLAPPPSKSSSPPPPAPHALPTPPPPHPVPGKNASSPSHSPVPAPAPAPGTNGTSSPPPSPSSAAVFRGGFLACLMLIGGAAILV >Sspon.03G0024130-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:92107170:92120215:1 gene:Sspon.03G0024130-3C transcript:Sspon.03G0024130-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABC transporter D family member 2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G54350) UniProtKB/Swiss-Prot;Acc:Q6NLC1] MRLSTPIPPIRFPSTALPPQLPPLLLPRPPHRLASVLAPRSAARRRGLPPPPPAVTSIMRRCGRRRAAPPPAAAGGGGEVAAPDAQEKRDGTDLKTLATRFWKVAAPYWWSEDKTQARLRLAAVFALTLGTTGISVGFNFLGRDFYNALADKDQEKFTMQLLYYMGAFAGGIPFFVLRDYARETLSLRWRSWMTSYYMKRYFRNRTFYKIQSQSIIDNPDQRINDDLSAFTGTALAFSLTLFNAAVDLVSFSNILYGIYPPLFIVLVVYSLGGTAISVFLGKNLVNLNFMQEKKEADFRYGLVRVRENAESIAFYGGEENELQLLLDRFRRAFENLSELLIASRNLEFFTNGYRYLIQILPAAVVAPMYFSGKIEFGVINQSVSAFNHILSDFSLIVFQFQSISAFSAVIDRLGEFDDLLDGNESSPSSQRDSIDGINIVFKSNGPSVLSSNGSLTQSDQSMVLEIRNLTLLTPRSGNVLITGLAMELKDKDHLLVMGPSGSGKTSLLRALAGLWTSGTGEIIYHVRSSMQLQKSNSSSDEPSNMNLEGGELLRSSKQRRDNGIFFVPQRPYMVLGTLRQQLLYPTWTEKAHHSPDNDAQNSADPLPFLSEVSTSDGISAKSEVPTTSELIKVLEIVKLGYILPRFNGLDSMHDWASVLSLGEQQRLAFARLLLATPTLVLLDESTSALDEANEAHLYSQIEAAGITYISIGHRKTLHKFHNKALYISKSDSTDTNLRSWELKPADQLPLEEPSPFPS >Sspon.03G0046340-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3D:35288899:35289528:1 gene:Sspon.03G0046340-1D transcript:Sspon.03G0046340-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPNPLPQASIRSDAQDCFCQSFNTHPASSPLGRHPHARDSRRSNPYTVRKKEKKNASPSETLTTLHLEPGRHILAANDRPRASSNTRLDVLLNGGEPSRTTSARDRVKPRRRSLLRPARREEIEPLPAPAHTPDNVGEQTSPRAHKNSARARSPQQHAINPAARLQAGPRGTAGPLRTLPGRTQHRRRRAVAFIHHPAKQAPAGSTPR >Sspon.02G0056480-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:27263306:27264857:-1 gene:Sspon.02G0056480-1D transcript:Sspon.02G0056480-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MISLCGLVQRDHTSVQPNSAASLKRRRGMAMASTRSTTAVPELSLPSGNARPVPVIGLGTATFLPQEATKNAVLAAIELGFRHFDTAYFYGTEKPLGEGVAEAVRRGLIKSREEVFVTSKLWCTQCHPDLVVPSLRETLE >Sspon.01G0044240-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1B:81175271:81175627:1 gene:Sspon.01G0044240-1B transcript:Sspon.01G0044240-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKPVARPKNAAAPHASARHDPPCRTTIFTWKSLPHHSRWRGSTVAPRQPVQGRRNTRLINLDNTTIPLDMTKQPGSIVMQTDELRRLPQPQHLSKRTPPRQPARSMTREATNQMNLC >Sspon.04G0014820-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:55389576:55391382:-1 gene:Sspon.04G0014820-1A transcript:Sspon.04G0014820-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNNQFSGAVPTSAPGLKTFMAENNQFSGPLPENMSGLANLIELNLAGNRISGSIPDSIRSLEHLNYLNFSSNQISGAIPSEIGLLTVLTLLDLSNNELTGEIPEEFNNLHLNSLNLSSNQLTGELPQSLQSTVYDRSFLGNRDLCATVNLNINIPACRYRRRNQMSTGLVILFSVLAGAILIGAVGCFIVRRKKQQGRDVTSWKMMPFRKLDYSECDVLTNLREEDVIGSGGSGKVYRVHLPECGRGAKVNQKVDVYSFGVVLLELATGRVANDSSKDAAECCLVEWACRRYKAGGPLHDVVDKSIQDRAVYAEDAAAVFVLGVMCTGDDAPSRPSMKQVLQQLALYDRTASVAGACRDGRDVDLGQVPKGKQGRHQAAKRSWDTGAFLGGDEESGNF >Sspon.05G0026340-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:33699690:33700991:1 gene:Sspon.05G0026340-1B transcript:Sspon.05G0026340-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRNQIVHSDSDEEDGGGMTTTTTPASVSASVASGGVSGSGSVGRPSPPNPSPLPVPFPSLTPSSAPFVISDDDEEVDEIVDPDGDSPIVDAPEVFSPPAPPASTAPAPPPITAPSQTPIPTPPPALTPTLTPTPTPTPPPAQTPIPPPPPARTPATTALPHSSLLSGRLRPVDEFLRRLGLLLRPDWLESCAAGIPGFDGLGGAEAQARRCFEQFLFADMNSCGAGVLPEGVGGMHAEFLDGPFVLQKLEQLVRKKTEMKAYICKLRLSPDSDTRQSNRYRLSTKTTNYQAATPGED >Sspon.07G0001540-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:2301946:2302449:1 gene:Sspon.07G0001540-2B transcript:Sspon.07G0001540-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDRQQHQHGHGHGGGEHPAADPPSSATLRRRVQTHAPNSTQVVGFLTLLVSGAVLLLLTGLTLTGAVVALVFLGPIALLTSPIWVPIAVALFVLAAAALSACGFLVAALAVGTWMYCYFTGRHPVGADRVDYARSRIADTASHVKDYAREYGGYLHNRAKDAAPGA >Sspon.04G0003530-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:10971815:10973671:-1 gene:Sspon.04G0003530-1A transcript:Sspon.04G0003530-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Geranylgeranyl diphosphate reductase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G74470) UniProtKB/Swiss-Prot;Acc:Q9CA67] ATMTSLSSAVALPSSCRARPAGGSRRARMVVTRAAASSPKLPNGRRLRVAVVGGGPAGGAAAEALAKGGVETVLIERKMDNCKPCGGAIPLCMVSEFDLPLDLVDRKVRKMKMISPSNVAVDIGRTLAPHEYIGMVRREVLDAYLRSRAQSAGAEVVNGLLVRYEAPKEPNGSYVVHYNHYDSSSSNGKIGGEKRSFEVDAIVGADGANSRVAKDMGAGDYEYAIAFQERVKIPDDKMVYYEERAEMYVGEDVSPDFYGWVFPKCDHVAVGTGTVTHKADIKKFQAATRLRAKDKIEGGKIIRVEAHPIPEHPRPCRVSGRVTLVGDAAGYVTKCSGEGIYFAAKSGRMCAEAIVAGSANGTRMVEESDLRKYLAEFDRLYWPTYKVLDILQKVFYRSNAAREAFVEMCADDYVQKMTFDSYLYKRVVPGNPLDDIKLAVNTIGSLVRATALRREMEKVT >Sspon.04G0027820-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:63826666:63829689:1 gene:Sspon.04G0027820-2C transcript:Sspon.04G0027820-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DMDRRNRAAPQVDSSACFCRVDRSSAAAAAARRIPVSKACVQPNLRASIHPLKPRPGADRSSRGGQCPLLPGLPDDLAIACLIRVPRADHWKLRLVCRRWCRLLAGNYFYGLRRRLGLAEQWLYAVKRDGRDGPGRVSWDVLDPSRGAWRALPPVPREYAEADGFGCAVLGGCHLYLLGGRDPCRGGGAMRRVVFYSARSNRWHRAPDMLRRRQCFHVCVMGNRMYVAGGESGGGGLRSAEVFDPVKNRWSFVADMAAPMAPFVSAVRGGRWYVKGIGAQQQVLSQAYSPEADAWSVVLDGMVTGWRSPSACVDGRLYAADCKDGCRLRAYDEAADAWTTCVDSKQHRGSSQAAEAAAIVALHGRLCVVRNDMSVSAVDVPAGAGNQRWQTLAGKAHTKSFVTGLLSNLAGRSRAKNNILHCQVLEA >Sspon.01G0002510-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1B:5835310:5839267:1 gene:Sspon.01G0002510-2B transcript:Sspon.01G0002510-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRHSCSCTSLFLTLTPACMRFSRLPSPKPEHKPQAYTALQGLRRRSSGSMERTSAHSGKRWLPGLLILAATSWLLVIYFHLAVLRGTPVVSAPRGSLAEVASDDSGDGQRLLLRHEERLSKVKKAPPAATGNALPAARGERRRRRDEDCRGRYVYIHDLPPRFNADILANCRNWYPWMDMCVYLENGGLGRPVDNADGVFADEGWYATDHFGLDVIFHSRMKQYDCLTSDSSRAAAVFVPFYAGFDVVQHLWGVNSTAREKDALPLDLVDWLTRRPEWRAMGGRDHFFLSGRTAYDHQRQTDSDSEWGNKLLRLPAVQNMTALFVEKLPWTSFDFAIPYPTYFHPARDAQVVEWQRRMRATKREWLFSFAGGARDDPYSIRHQLIRQCGSSSFCKLVQCGKNQRNCLVPSNFMRVFQGTRFCLQPTGDTMTRRSAFDAIMAGCVPVFFHPDSAHTQYRWHLPEAHDTYSVLIPEADVRAGNVSIEETLRRIPPDVAERMTETVIGLIPRLVYADPRSKLETLRDAVDVTVEAVIGR >Sspon.08G0012700-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:52089930:52092413:1 gene:Sspon.08G0012700-3C transcript:Sspon.08G0012700-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDIEAAIQEVFQAPHIQVMKNCPKFGKVILVALVHELYKSGLGEIMFDKLATTVFSWCHANRELVPGYDTLVKICCKLGESKIILCEEGSKHKLQKLQLNYPSDDVTFALKESPDLPWLSKYL >Sspon.02G0023410-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2C:82714217:82715487:1 gene:Sspon.02G0023410-2C transcript:Sspon.02G0023410-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SLEHDRCSSCMHIL >Sspon.02G0043570-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:68301260:68305153:-1 gene:Sspon.02G0043570-2C transcript:Sspon.02G0043570-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDTAPGRSRSETPAASLVPGSQPIGSPHASSSRRAATPPIPSSPPKTKPPSPSSSSKAPIPNRLPVPIRPSMSMAFSCAGARLHGRTGVGGAKCRPGAAALLGRRGTCFRPTARRWNTAAPRGIRADLPPPPPPRASADGGAATSGPAVAVPEAGDATEQVAAAVAQPVVLPERLGVDDGAGGNGKLPPAGGGGGGDGENGGGGGDGDDGEDEFGPILSFDQVVQEAEKRGVSLPSLPADMVEAAKSVGIQKLLLLRYLDMQASTWPLGPAIRSCSLLRNRMLVDPAFLFKIGTEIVIDTCCATFAEVQKRGEEFWSEFELYAADMLVGVVVNVALVGMLAPYARFGSRSASEGLLGRVRHAYDALPSSVFEAERPGYKFSVQQRIGTYFFKGILYGSVGFFCGLVGQGIANLIMTAKRSVKKSEDDVPVPPLLKTSALWGAFLAVSSNTRYQIINGLERLVEASPVAKRVPAASLAFTVGVRFANNVYGGMQFVDWARMSGCQ >Sspon.07G0007390-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:13489867:13492013:1 gene:Sspon.07G0007390-1P transcript:Sspon.07G0007390-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEETQPEAAAAAAAAPAAAEVVVTEAAPAEAEVPAAAETEAEAEAEKKADEAAVTADDAGEGTGSFKEESNLVEDLPDPEKKALDEFKQLIAAALAAGEFNLPPPPPPPKAKEEPKAEETKTEEAKTEEPAKEEPKAEAEAAVEEPKAEVAVDAPAEEVKTEVPPAEEAKAETVAEEAKPAESEPQEKTVVVAEEETATKAVEAIEETVVSAPAATSEETAAPEAVAETEAAAPEPVLIWGVPLVGDDERTDTVLLKFLRAREFKVKEAMAMLKSAVLWRKRFGITSLLDADLGLPELENVVFYRGADREGHPVCYNVYGEFQDKDLYEKAFGDDEKRERFLKWRIQLLERGILSKLDFSPSGICSMVQVTDLKNSPPMLGKHRTVTRQAVTLLQDNYPEFIAKKVFINVPWWYLAANKMMSPFLTQRTKSKFVFASPAKSAETLFRYIAPEQVPVQFGGLFKEDDPEFTTSDTVTELTIKPSSKETIEIPVTEVAPAKHPNSLLMNLAECS >Sspon.01G0003360-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:8739743:8740039:-1 gene:Sspon.01G0003360-3C transcript:Sspon.01G0003360-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVVECAKCECCGLVEDCTRDYILGVRAAFGGRWLCGLCSVAVRDEAARSTARGAAAAAAGLEEALRDHMAFCGKCRRSPAFRVADGMRQMLRRCSK >Sspon.02G0057100-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2D:42819628:42822480:-1 gene:Sspon.02G0057100-1D transcript:Sspon.02G0057100-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RVSGSVSKYLLITYMLRANVYVWLLVIVELMEEVKEAPVQSTVSAPQTVVSSGMSEFHGLNGATKFGVGVPRNPPVPSLAATAPLTSTSPATLKPPGSSPVKSVANSTVIPQLHTAPSNLKLDKNVSGPLNLARNGATVVHSNKSTLETSARSNVNAVQSSNQMVKNQDTKPVVVQATTGIPVMGHRATPGVAFVPPKPTFVNHNEIAKNVQQFLHQPANHPSWTPPSTEYMHSRLSCQICKVVIIGTDSVLVCDACERGVHLKCLQQYGNKGVPKAEWHCSACLTQSKGKPLPPKYGKVTRTVVASKAAPPGGGAQLSLQGSAENMAAKGNHQKLAANGNLMKPISTQGGSTAPNSNVLALGAVMAGSQSQLVSTLRPSIVVKAETSSNGKEGTGQPCNTTIQPDVRSSPLNKRLRSDSSLNPADSANDIMHGKHTAEISGAEAKVKSEANSETPVVASEEKPRAQSTIETDKMKDGETTTNTGTTTDQCSNFSTEEKLPSEATSEAHRITDVKMTCTGIPVQQSNIATIEEKTQIDAVSDPLPHTIQDMEMGTNNGPPIDQSSSLVAEEKPPEQSSSIGDVCVTANAGIPTDQTQDPNGSTENVVKKSPNRELYKEKSGCNVVSDITQKVTSNGILHPEDKTLFVHEDEAVGCDTEAKEAAN >Sspon.04G0001230-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:4736674:4737453:1 gene:Sspon.04G0001230-2C transcript:Sspon.04G0001230-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MELELGLAPPSARHPIPMTIDHLTAEDELSSTSSDHHPCAARAGKRGFAEAFQEATTTTTTLPLFDDGSSCGGRNSSSKRALVGWPPVSSARSRACGGAGAKYVKVKKEGDAIGRKVDLLLHASYDELLATLARMFPTTTGNQDDKEISSSSARHVDVVVTYEDGEGDWMLVGDVPWDDFARSVKRLKILG >Sspon.03G0013420-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:37703941:37708126:1 gene:Sspon.03G0013420-1A transcript:Sspon.03G0013420-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGARVLIAAGATAVCCLACAFWVFHSSSPASSKKHRSPPANCCGCASCGCRDAKSANGEMAVGGENKKKASEPAPPEAPSMMEQLVPEITTHALSYLDYTSLCRLSMTNSAMRRAANDDGAWKALYHKDFTVEQGTINPPNGWKAYYAATKAIINLNAEFYNIIREGSLPAMSHFWLNADYVKCIHATGEFCTGYNAVMESWGLLFNWGQDGGQGIAFQIRDVRVRVLGEVAWVNMKANVDVDPVLFHVTNVYELWNGRWYMVHHHSSLMADPAPHNMFG >Sspon.02G0036480-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:29493355:29498833:1 gene:Sspon.02G0036480-2C transcript:Sspon.02G0036480-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNGGDADKPPEESLKKTVEVDRLIDMLRNANPREKDYEELAENVMNIVDRLVHKTDQKIEQSTDVLKAIISPVMHEGDVQWPPRGPDTLKLMEKEITRREQEGQLDEGFLSEVSAQLRQAKQDGDKPGLQAMLQKWRLCNAEPESEWNKVLLDGLTVGKGDISPDDLYAVINKRIERVLIRTEGGSYQQRILVEYLKEIQARAEEVVKVLQGPTI >Sspon.07G0011140-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7C:43683307:43684071:-1 gene:Sspon.07G0011140-2C transcript:Sspon.07G0011140-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VEVSTCYFFVVPAQARTKIRAGPCSPSCRHSGHGTALVFVSCRHGPKYFVPCRASGRAKKPCHDPPSNGTAQTLPSRTPMRPIHASIPSRSSSSDGGHLIPASKSGSKSPNAARLGNTRELGKKTRDPDRLRERCRAAVVARGGAGLVDAPDGTIAVVHPGAEGPLPLPVSTPAAGVSSLRRPLISLPRRRRVVFHAGAGLEAARPRARRRVCEGDEEAAVSRSATGRR >Sspon.06G0005450-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:25381921:25387077:1 gene:Sspon.06G0005450-1P transcript:Sspon.06G0005450-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPVLLAKTRAAPAGGPHFPRILSSRPRPRAPSCRLRDKMKLVFWHWFFLFFMLVSTSQGKSSDGLALLALSKSLILPSSIRANWSASANPCTWNGVGCNGRNRVISLNLSSSEVSGFIGPEIGRLKYLQILDLSANNISGLIPPELGNCSMLEQLDLSQNLLSGSIPASMGNLKKLSALSLYYNSLNGTIPEELFKNQFLEQVYLNDNQLSGSIPFSVGEMTSLKALWLHENKLFGVLPSSIGNCTKLEELYLLDNQLSGSLPETLSEIKGLRVFDATNNSFTGEIPFSFGKNCKLEIFILSFNYIKGEIPSWLGNCRSLQQLGFVNNSLSGKIPNSLGLLSNLTYLLLSQNSLSGPIPPEIGNCQLLQWLELDANQLEGTVPEELANLRNLSKLFLFENRLMGDFPENIWSIETLVSVLIYSNRFTGKLPSVLAELKHLENITLFDNFFTGVIPQELGVNSPLVQIDFTNNSFVGGIPPNICSGKALRILDLGFNHLNGSIPSNVVDCPSLERVIVKNNNLDGSIPQFKNCANLSYMDLSHNSLSSNIPQSFSRCVNITEINWSENKLSGAIPPEIGNLVNLKRLDLSHNILHGSIPVQISSCSKLYSLDLSFNSLNGSALSTVSNLKFLTQLRLQENRFSGGLPESLSQLEMLIELQLGGNILGGSIPSSLGQLVKLGTALNLSSNGLVGDIPPQLGNLVDLENLDLSFNNLSGGLATLRSLGFLHALNVSYNQFSGPVPDNLLKFLSSTPNSFNGNPGLCISCSTSDSSCMGANVLKPCGGSKKRVVHGPFKIVLIVLGSLFVGAVLVLILCCILLKSRDRKKNTEEAVSNMFEGSSSKLNEIIEATENFDDKYIIGTGGHGTVYKATLRSGDVYAIKKLVISAHKGSYKSMVRELKTLGKIKHRNLIKLKEFWFRRDNGFILYDFMEKGSLHDVLHVIQPAPTLDWCVRYDIALGTAHGLAYLHDDCRPAIIHRDIKPSNILLDKDMVPHISDFGIAKLMDQPSTASQTTGIAGTIGYMAPELAFSTKSSMESDVYSYGVVLLELLTRRTAVDPSFPNSTDIVGWVSSALNGTDKIEAVCDPALMEEVFGTVEMEEVCKVLSVALRCVAREASQRPSMAAVVKELTGVRPATGSGGGGRSLSESKQGKPGSQSHSSAYWQ >Sspon.01G0034870-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:6987114:6992188:-1 gene:Sspon.01G0034870-2D transcript:Sspon.01G0034870-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVGGDLESLLETIKSSEVRHRIPRAFSRRRFRRPVPCPFIIPLSLGAPCIRCCALAGYALVRFGGLSHCMLHKSILQVALKCSCTDTAGCLGQFLALGAKASSWCGKHLLSSVESIDDSEEVQQEEHSKILPEIISMALNISIKILPSAAKCVTVDMVHNIGDFISELLSLMESSIVDNDKAAPVFLDEITKLCRAYSEAAKVDNCTMSITDEDATLKHNEQGLASDVTRITSSTIQTICRLGIYAASSGGSQVTLLNASWKGVVSLLQSGKGMIEGKVNVREIIVTLLSLSVESLRVAAETWCTLLLETLGATEARRTFLPIKFFLINAVRICSIYPSEAMIIYKNIINCALVVTSSSILFSKKPQLKAANEALVELLEPTLFVLLDTLMKSSDLTPESKCQLAHYFFENEEAKSPDHMGQANQREINLASLDCIFSTDSDVDHRNRALLPAELLVFLHFLNASSWLAEMVVIALSKKLQTLLNILTSEDIYSYVLGFQIPAFNGADHSPAVVWQPVFTSIIQALKTFMISTVASSAAWNELEAFLLENIFHPHFLCLEIITELWCFFMRYAETETSINLLNQVFLLLKTVASPEDVLAPLSALRKVARSLCIILSYASSATVDQIYTSVLNGENSSKSSILHLALLMEGFPLDSLSDGTKELAVKKMFTSFAGYLESYSKNHRMYITAPDSSKDNLAKHISSMLVIISNTRHLCAFSEMEQLTLQLRTLFLSTSDKSNAVLSQCKPSMASFMSTLGHLNVTEDDANELCSAICDLYHLLLKERHWALMHLSMDSFGYFAARTSFTQLWRSVPGDAALSYDASTGTSIDENGFMLELRAYLQKEVALHTDKWSEEQIRLLVSEGRALKKLVEAYCEIRVVSEPEKAPIAKDASTKKRKMPDGICEGMAMLQNGLKVMRGAFDEADFAELKHRFAAHLSHLEDAVSQFASLSDEI >Sspon.06G0002850-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6D:6552158:6555189:1 gene:Sspon.06G0002850-2D transcript:Sspon.06G0002850-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMAAADPATAASSFSQFSFRRAVCPSPLRVRFSRPHPPARLRVSSTTVVALHKRNPKRLKYAAERQFSRGDAGMLRVEVEPSGEDFWKLDPIIDLINRGAVGVIPTDTVYSIVCDLSNNESIERLRSLSASCVAHYVISIPTQQDFLEAPTKGKLTFSVLSSVQYLGLYAKRRQVGVRIPDDPICQAVLQNLDEPLICTSVKYLSEDEWILDPVIIADLYEPLGLDFIVDGGPRIADPSTVVDMMGTNPTIIRQGKVSILYHHLLLVHC >Sspon.03G0019790-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3B:84315768:84316238:1 gene:Sspon.03G0019790-2B transcript:Sspon.03G0019790-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLVRLMDTLALDSWVRNPFSIFGTAVAADAWLASDTSAFANTYIESRDTAGAYVFSAALPPGVKKEEVTVEVDEGNVLVITGERSVSREERVGDRWHHVERCCASFLGRFHLPDDAAVDGVRAAMDAGMLTVTVPKVGAPAAAAAAGEKPNEGPAP >Sspon.02G0023660-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:74649504:74651119:1 gene:Sspon.02G0023660-1T transcript:Sspon.02G0023660-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding PWTTMCMKADYTTPVDSVTTSEQTGGETEATSEQTGGEAEEPAIVAPANEYTVQEAAPQQKCAKIHDFCLGIPFGGFLFSMGLIGFLFWRSPASLTFGVAPGLAILALAVLSLKVWRSGKSSLPFILAQAGIAAAVAWKHCQAYTTTRKLLPWGFYVALSAAMICFYSYVVLAGGNPPPKKAKAAA >Sspon.06G0027580-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:87198476:87214227:-1 gene:Sspon.06G0027580-1B transcript:Sspon.06G0027580-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Short-chain dehydrogenase reductase 2a [Source:Projected from Arabidopsis thaliana (AT3G51680) UniProtKB/Swiss-Prot;Acc:Q9SCU0] VLGRQARGSSSILTLDAAEFERVVRVNALGAALVIKHAARAMIAAGEGGGSIVSVASVAGVMGGMGPHAYTASKHALVGLTKNAACELGRHGIRVNCVSPFGVATPMLLHASGSGSEAAAAADEQEEKDVERMEEVVRGLATLRASDIAEAALFLASDDSRYVSGHNLVVDGGVTTARNLIGRNLTSLEGLQRAIVDSAHIAAELGHLTQLRILTVGLPEMDMEAGCDEGICTALMESLGKLQNMQRLAVLSGGVVMNLEGSLESLGNLSYLRIDKTSSLPTWIDPGSFLLLSFLHITVGQVRREDIHVLGMLQALRFLDVRVSGDNIQVLGRFMVAPDAFPYARECRFYGFQILPSMFPRGAMPRLEKFRFRIHLQDFSKGEFTTDDLALDHLPSLRIVSAELYHGEEKIDEEQRSSFAVHENNGYTRYIGMWMKTSIK >Sspon.01G0013820-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:38112831:38114782:1 gene:Sspon.01G0013820-1A transcript:Sspon.01G0013820-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RHPWRHPGPGAAVAAHHGAADLCLREVGDLLPRRFARRAAGSEVLVMRLQIQRKLDRHTGCVNTVSFNEVGDILISGSDDQRVMLWDWDTGTVKLEFHSGHSGNVFQARFMPCSDDQTIVTCAADGEVGLMLLCLYQVRLAKIQDGGDVSTTLLGEHGGRAHNLAIEPGSPYIFYSCGEVGLVQQVDLRTNTASKLFLCRSSFIKSVSSTRVHLNAIAIDPRNPNLFAVGGSNAYARMYDIRKCKWDGSSDFGRTSDCYCPPHLVDDKSVGITGLAFSHLSELLVSYNEENIYLFPKNGGLGSDPKKSVKIGADEGCKSTMLASGHDVSQPAPQVYVGHRNCETVKRVTFIGPNDEYVASGSDCGRMFIWRKRDGKFLRAMEGDECIVNCIEPHPHAMTIASSGIDNDVKVWTPSAIERAPVVNVDEVISPGHLCPLIISTFRLQPTYILRIV >Sspon.03G0034680-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:76063203:76064790:1 gene:Sspon.03G0034680-1B transcript:Sspon.03G0034680-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVATTAHLLAIALALAALVLRQPSAPVSAQQLPPPVVALRGCRDKCGNTTVPYPFGIGAGCYRDDGTPGFKLECDETRSPPILTVAYPYGIQRLAGFSLEAGEARAYVNTTRTCYNSTEGLINSTGDNNDITLVNSHHRLSNAKNSLVSLGCPVLGYFVDAMGFYVSGCMSLCRPSQYTIPGLCTGIGDHAYRDRSDDFAVPLILDWAIRNVGNCTAGRRNVTDYACRSALSECLDSINGPGYRCSCLGGYEGNPYLDGGCTGVSAGVFLLGFMCFWLYLGLQKRKLIRAKQKFFEQNGGVLLQQQMRSYDRAGAGAAGFKIFSKEELQKATNGFAADRVLGRGGHGIVYR >Sspon.08G0022910-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:51697787:51700022:1 gene:Sspon.08G0022910-1B transcript:Sspon.08G0022910-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AMLPPLSSAPSRLLPRRTVASATAATASSPSPAPSPAGGHCHRPLRYAVLGAGFAGLSVAWHLLKVTLHFPSSSSLPVGDTTSRNRLNRRWRDFNFQHSPRDSRVSVDIYDENGVGGGASGVSGGLLHPYSPKGPSSIVSTKPSESHWFRTPPMATQRMTWHPAYFISVMRLNSLLTTVKLLWRGAEFWKESMDLLRSAEQANRTISLYLDKQTYFFLGFVQALFSACQNLADEASSLQSEQKEFKLYKQHVDNLHHLAGNYDSVIICLGAKAHSLPELANKLPLRTCRGVIAEFQLPSNTREEYSSQSPSILSDAWLAFQGPRTVSIGSTWQWKSENDSSTVSDEESLTAMEELLPKASGVYPRINKWDFVCARAGIRAMPPLTANGSLPLLGCLDNLLGKKSNCTFWLVGGLGARGLLYHGLVGKLTAKAVISCDENIIPSEFTCWNVK >Sspon.03G0007840-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3C:36076578:36077195:1 gene:Sspon.03G0007840-2C transcript:Sspon.03G0007840-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFSLSREAWSKESNWIGYVSVSTDAAAAATGQRVIYVAWRGTIRTLEWVDVLKPELVSPDAILPEGDPARGHARVMKGWYLIYTSSDERSPFSKYSAREQLLAAVRELVARYKGESLSIVCTGHSLGASLATLCAFDMAVNGVSRVGGTDIPVTAIVFGSPQMGNPEFKKRFEELPNLRALHVRNTPDVIPLYPSGLLGYANVGD >Sspon.05G0025240-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:22207509:22211798:-1 gene:Sspon.05G0025240-1B transcript:Sspon.05G0025240-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYAYLFKYIIIGDTGVGKSCLLLQFTDKRFQPVHDLTIGVEFGARMITIDNKPIKLQIWDTAGQESFRSITRSYYRGAAGALLVYDITRRETFNHLASWLEDARQHANANMTIMLVGNKCDLSHRRAVSYEEGEQFAKEHGLIFMEASAKTAQNVEEAFVKTAGAIYKKIQDGVFDVSNESYGIKVGYVVPGQSGGAGSSSSQVEAGVVAAEVLDPVVAVPEDAEAEEDADEEADDQDHQQVAPHTLLGELELAHGACLRVGTSLT >Sspon.01G0010190-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:72907951:72928708:-1 gene:Sspon.01G0010190-4D transcript:Sspon.01G0010190-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRRRRRGGSRGRVPRELHQAYGVLARTLGHAVLSLLPPPTPAGTPCSACRGRGGAGCLACRRWGYLLRDGDPVAYRNLVTRAVCAVAPAGSSAPAPPRYTPGNAGHSQAKLVREMIKSMMAGRAWTTTNVLSSGCRAGDQTTCVSELVSSSSWDILLHRIGDLLMCYILRHSSIFLPVKKNGFFQVTGLPLNQKPMFASMSKNQQPQSTKGKYLCYLCHNPKMSQNISGGRVNNSKVAFSSPDTSTWKFHNPKSSGSYGSAKFREPNCLIEGCNHSELALTNGSMKCSSLDNQNPRKRKRMYSWQRHNKQKQICSEDRLSTGCSKINISRFGVHDVLLENLCATVNDKVQFLEPTVDNDSLALSSDVTHSHTKEPYGVLSYEKSPSSVFDIGPSQCNSKSRIQSTFPQVGLPNFMHLNNGPICFNCLMLNSSKCVSVDLLISRHAIFYNRRTSYNVFHGNYILNKRKRPDALSLIIHIFGIKGCCAKCLSLPKLMNKLIRNSKRCQYKKLLLKHCSVNSKHAADVAKNDNGKAQFLIGGKSAYYDQAYVQLEAYSTHQQVVSFIWAVLRRIIPEPLLGNSCGKRSLRINIWKFIKLRRFENFCLSDCIGELKVSHYSWISNIRLSDCFCSALMEKEILLSNGSDEEKLQNLVHCWISWMFSDIVIPLVKAYFYVTERESRRYDVFYYPKTVWRDLTSTAIASLNRQNFRILRGTSRKEARQSCCSSRVRFVPKAKDMRPLVNLRGQSKDVLLNNCHLIIKKVRDENPDKFGSSVFDYNNVHQNLWHFISSVRSHLKEKFKIYIVVADVSKAFNCIKHEMLLKVVDDALKCDDYVLRKCKKVVCNWSKNALYRFDSNVSISNGDDICDFSIQLSSRGGILVDQGKFGKIQKKEIQCFLSKQVECNVLKIGQNFYSQQVGIIQGNKLSPKLCSLYFGHLENSELSKFLHDSKIDSEKDVSTPKSLLMRFIDDFIFISLSKEHALDFFNRIRRGFSDYNCYMNDKKYGFNFEVANSEHCCNRIYRADDGFSFIPWSGLLINCETLEVQADYTRYLDISSTITVKTHSSAKYLESKLCHYMRPKCHPIFFDSMINSPGTVRLNIYQAFLLCAMKFHCYFRSMPDASIRKLELLHIIKRTFRYMHNLIARRIHDVELQYNVRPVLKLRRKETMWLGLSAYLRVLQKKQSRYKDLLALLREEIRRCGHLDHHNDGLRYAVDDSHSSMFWKYEVGVV >Sspon.01G0038860-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:32003993:32004241:-1 gene:Sspon.01G0038860-1B transcript:Sspon.01G0038860-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AGGRRAGRVAHHRCPRPLLHPRLHCLHGRRRRPHVGVRGDDDGPAAADGSSAITRRQHARGKQEESAHWFQPLAQPMTRGRPP >Sspon.02G0011780-4P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:24735918:24738566:-1 gene:Sspon.02G0011780-4P transcript:Sspon.02G0011780-4P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPYTSFFKNSYYYYASSYPAAPPPHHLPPPLPPYTTLYPAAAAAPQYPAYFFQAPPTTLPPLHDSPPSPPLREALPLLSQSPTRAASRAQPLLHVAVDSDSDDDADDFVLREAVGSSVTPSTRAPLFADLNCMPSCCDDGDGDPMDLEAAAPTDDDAAVALRIGLPPAPVNGGCGGAEADLLSGLSGRGACGGMEPEEDEDECKVDTGDGEEVVPLGFASTPIGRLNKGQYWIPTPAQILIGPTQFSCPVCYKTFNRYNNMQMHMWGHGSQYRKGPESLRGVQPTAMLRLPCYCCAPGCRNNIDHPRARPLKDFRTLQTHYKRKHGLKPFLCRKCGKAFAVKGDWRTHEKNCGKLWYCLCGSEFKHKRSLKDHARAFGHGHGAFGCNLDGGSGADGLDDDDDGAVSEIEHDLCAAACSSRSAAAAAR >Sspon.03G0009040-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:24654646:24662292:1 gene:Sspon.03G0009040-1A transcript:Sspon.03G0009040-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MENLISLVNKLQRACTALGDHGEDSALPTLWDSLPTIAVVGGQSSGKSSVLESVVGKDFLPRGSALVRKEIADETDRETGRTKQISTVPIYLSIYSPNVVNLTLIDLPGLTKLYVLIIEGQPDSIVHEIENMVRSFIEKPNCIILAISPANQDLATSDAIKISREVDPKGERTFGVLTKIDLMDKGTDAVDILEGRSYRLQFPWIGVVNRSQQDINKNVDMVAARIREREYFANLPEYKHLAHRMGSEHLAKMLSKHLESVIKSRIPGIQSLITKATADLESELCRLGKPIAADAGGKLYTIMEICRMFDSIYKEHLDGARSGGEKIYYVFDNQFPVALKRLQFEKHLTMENVKKLITQADGYQPHLIAPEQGYRRLIEFCLVSIKGPAEAAVDAVHAILKELVHRAVKETHDLKQFPTLRVEVSSAAFKALERMREESKKNTMMLVDMECSYLTVDFFRKLPQDVEKGGSPTHSIFDRYNDSYLRRIGSNVQAYVNMVCSTLRNSIPKSIVYCQVREAKRSLLDHFFTELGARETKQLSKLLDEDPEVMERRAKLAKRLELYRSAQAEIEAVAWAK >Sspon.01G0042270-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:94394079:94394983:-1 gene:Sspon.01G0042270-2D transcript:Sspon.01G0042270-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQFVGASMGTSQLKIPKKGSTSSSCGGRTAPNECCVVVVEFQHGGTLKTLMYNHRDKKLSYRKVVRLALDLARGCMQGIRPDIPRCCPRALADIMTRCWDGNPDNRPEMSEVVALLEKIDTSSGKGGMTPVDDVAQGCSCFGFNRSVA >Sspon.03G0017540-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:54767507:54768028:1 gene:Sspon.03G0017540-1A transcript:Sspon.03G0017540-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTRALVLLVAAAAALLVTPPAAVNAATLADICKGTAFPAICTSTVGPEAASNPVLDPMAVLRMQVDAFNARTEAARAHVKEAALTASPKARTVLDLCNNLYLDVEDNLGACRRAIGFKDAVTIRATMGMVAQDMQNCDEQFRQIGEPNPMEQFDASLVEMSENCRSLSNMI >Sspon.03G0014320-3C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3C:64418320:64425010:-1 gene:Sspon.03G0014320-3C transcript:Sspon.03G0014320-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGLGKTTLAQLIYNHPDVKKHFELHKWVCVSDEFDVFKLVNKVCSSSGKNLEEAQEKLQEELKEKRYLIVLDDVWNEDGDKWEKLKASLKNGGNGCVILTTTRKEGVAKLMGTVEAHDIALLDAGAIKKIIETKAFGSQGNRPTELPVALVDDIVERCAGSPLAANALGSVLRGKTSPEEWNAVLCKSIAHNKDEKILPILKLSYDDLPSHMKQCFAFCAVFPKDFEIDMEFLIQLWMANGFVPKEKGIRLETTGKHIFEELVSRSFFQDVKQVKGDREDDDVDWYCPRTTCKIHDLMHDVALSAMENEVATITDEKPKQSEFLQNTCRHIALSCDEPEAILNGSLKTRSPAIQTLLCGRIKSSLHNVEKYSSMRALLFSPSKGTFLLKPKYLRHLRYLDVSWSGIESLPEDISILYHLHTLDVSYCENLGQLPKQIKYMTALRHLYTHGCGKLKGMPPKLGQLTSLQTLTNFVVGVGSDCSSIGELQHLNNLSGSLLLSELENVTEAIDAKMAHLGSKKELTALSLRWTATEEEKPHCLKVLEGLEAPHGLKALKINDYTGTSFPAWMNKLPNMVELHLKGCKKLKNLPPLWQVPALQVLCLKGLENLQCLCSGDKFFNFPNLKELMLVGLPAFDRWCEVKSLQREQVIFPHLEKLSIEKCEKLTALPEAAVSGQSCSQNHTEIRSAFPALKVLRLKYLERFNGWEAVEGTQGHQIMFPCLEKLSIRSCQELIALPEAPLLEEFCGVHYKMARSAFPALKVLKLKELDKFQKWGAADEATQGQQIIFPCLEDLSVLNCKNLIALPEGPLLHELCGVYYKMACSAFPVLKVLKLKELDKFQKWGSADEATQGQQIIFPCLQDLSVLNCKNLIALPEGPLLHELCGVYYKMACSAFPVLKVLKLKELDKFQKWGSADEATQGQQIIFPCLQDLSVLNCKNLIALPEGPLLHELCDRDYEKARSAFPALKVLELGNLENFEGWKQVGATQGGDTMFPHLEELSIGDCPKMTALPAGTSSLVPSVGRSDIKTRSAFPKLKKLMFDGLINFKSWGVMKAINGEQWMFPDLETVCIDRSPELTTLPEGPKLISLTIRDGHPQILEHLHFCDALVYWPVEVFQSLVSLRNLVIWNCNDLIGYARAAPGQPASERIQLLPNLESLDIWGCESLVEIFSVPASLKAMKVRWCPKVELESIFGEQQDRPTLNQGLSTDVMASTAVPQLPSSASWDQFLPCVESLTIWSCGSLSEVLNLPPSIREIDIWECDKLQLISGQLDALRTLKISDCPKLRSLESTSSGELQMLERLDLVRCESLAPFLPNGPQAYSSLRTLTITDCP >Sspon.07G0013420-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:47848499:47849960:1 gene:Sspon.07G0013420-3D transcript:Sspon.07G0013420-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASQVNGPVLVEDTCLCFNALKGLPGLNNLLKAYEDKSAFAMCIFSLALGPGEEPITFVGKTAGKIVPARGPNDFGWDPVFQPDGFEQTYAEMPKSVKNEISHRGKALALVKEHFASASYTVQSNDSA >Sspon.01G0009600-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:27255205:27260283:-1 gene:Sspon.01G0009600-1A transcript:Sspon.01G0009600-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSAPTFLSLRLPTPSPSHAASSPPLSPPLLRQARGGVASSALVARAAGPAGAAGAPSPLFNQRGDPFLSTLAAASLEDLAAAAGGERRGEDHLPFLEIFQNAKLMASPAQVERSSSSYSQHRPRRPPPDLPSLLLHGRIVYIGMPLVPAVTELIVAQLMYLEWMNSKEPVYIYINSTGTARDDGEPVGMESEGFAIYDAMMRMKTEQPRIPSYGMMQASDVVIRAKEVVHNRNTLVKLLARHTGNPPEKIDKVMRGPFYMDSLKAKEFGVIDKILWRGQEKYMADMLSPDEWDKVAGLN >Sspon.02G0027590-1T-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:100206335:100207973:1 gene:Sspon.02G0027590-1T transcript:Sspon.02G0027590-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHGVYYCLVALLPLVYFLLKSLWKKAPFGSGSRHGLVLPPGPWQLPVIGSMHHLRGSLVHRALRDLSLRHGPLMFLKFGELPVVVASTPEAAKEVMRTHDAIFSTRPLSFSIKTVTKDGPGIVWAPYGDHWRQLRKICAMELLGARRVQSLRPAREEEALRLVRAVASSFSSTVAGAAPPVVDLGRLVAMYVADASLRAILGRRFKVEHRDTFVRYVDEGVRLAGGFTPRDLFPSSWLARALSRRAVREVEAYRESLFAFMDGVLGEHLEQRRSTEEEEEEDLIDVLLRIQKEGNLQFPLTMKIIEAVIFDLIGGGIETATTTLQWAMAELMRNPGIMAKAQTEVRRVFMGETKVTEGRLGELSYLQLVVKETLRLHVPGPLLIPRECQEQCRILGYDVPKGAMVLVNAWAIARSPDYWVEPDTFHPERFVGDTRDFKGNDFEFIPFGAGRMICPGMAFGLANVELGLASLLFYFDWSLPEGVVPGELDMTETMGITARRKADLLLSAT >Sspon.04G0005520-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4A:15872638:15874498:1 gene:Sspon.04G0005520-1A transcript:Sspon.04G0005520-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARWRCTSVERGNWHQELGTASLSPASSFCGGQWIGHWSSGTEAWRGDGGRARGESAEKRRMPLHGARHPCRIRLLDLSYCRRRCPHADTHVTRSTVIPSPALIINGLIVTLLLRKGSTCRATTGILDDVASCTARVDRSKAIHTAGMCETERVRPRGCCCCHSVARGGEGSRELIAAQGHAQLDQQRCIPEYRRVLATKSLVGRYNKPVIFTRENETSGPEEKTTTTRNMQSQQLHGSEPVGAAGRKKQKRSADPTGHEDSDDDGGGGDEPPRRSRNTRAAQTSTTTAIRGLLRDFFEQQLRLDVQRQEMMARQAQERLFFEEQWRQSMRRIEQERLMLEQAWVEREEQRRMREEARAERRDGLMTSLLTRLLQGDL >Sspon.04G0014550-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4B:56978969:56984452:-1 gene:Sspon.04G0014550-2B transcript:Sspon.04G0014550-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSTGGLAASCSTRTDQKVLFLGSNQFPRITYSPLSRASSRLSRREVIAFAGQQPWDLGRFFKTMYFFNSPPNPLKIVESIISSFTGPASSEAPKKMETSDVVLVTGATGGVGRRVVDILQKKGIPVRVLARNGDKARSMLGPDVHLIIGDVTKEDTLDPKLFKGIKKVVNAVSVIVGPKEGDTPDRQKYKQIKGPSPEMVEYIGMQHLINAIKNSVGLSEGKLLFGLKGNLSGKIVWGALDDVVMGGVSESTFQILPTGSEISGPTGLFKGTVSTSNNGGFTSIRTKNFIVPEDLSAYDGIELRVKGDGRRYKLIIRTSYEWDTVGYTASFDTTKGEWQSVQVPFSSLKPVFRARTMTDAPPFDASNITSLQGEDLIRESGIPYTIVRPCALTEEPAGADLIFDQGDNITNIRAELIVNYLREKANGSNSYDQVKSTVPFSEPYVIDPSNPPSEKDYGVYFKELKEGITGKEALEATPAQV >Sspon.04G0019940-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:78599810:78603279:-1 gene:Sspon.04G0019940-2D transcript:Sspon.04G0019940-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTHRSQMMSWEQQVPMMSWEQQVPSPRRQPYVLIDSPVLALDEGNYLFSILTQDDLQASVVSNADQEREADVDELYGLESETADEDEDEDKATYGNDSHSDGKAAATSENWSQNYLRKSTKRLQYSIDQLTQETAFHSFIASVYEVVNHSIPEQVMRDMEAVCHEFFHMPAEDKAIFYSEDKSIRNRLFSGSSFETLGEKYWLDVLELLYPLPSGDTSLWPHKPQRLREVVGNYTGLARGLAMEILQLLCEGLGLRPDFFVGDISGARVVVDINYYPPCPDPSRTLGLPPHCDRDLMTVLLPGAVPGLDIAYKGGWIKVLPVPNSIIINFGLQLEVVTNGLLRAVEHRASTNSAKPRLSVAAFIVPADSCVVGPAEEFVSEDNPPRYRTLTVGEFKRKHNVVNLGSSINQVADLENNQKEIM >Sspon.02G0039590-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2B:55771766:55772563:1 gene:Sspon.02G0039590-1B transcript:Sspon.02G0039590-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNGSLDHHLFPKEQAGRILGWTTRYGIIVDVAAGLHYVHHEHEHMVLHRDVKASNIMLGSTFHGRLGDFGLARIVGLDKNSYTDLGVAGTWGFIAPEYSVSCKSTRKTDIYAFGVLTLEMATGRRALSAFQDTFQLLTDWVWRLHREGRLLEAVDKNVIFTEGYDTDGATRLLLLGLACTNPNPLDRPSMAEVVQVVAKSVPAPNVPLEKPTFVWPPEEGIPHSSLDGITEMSDHHESHWEETSSSDALAASAIIRRKARPQSVE >Sspon.04G0013170-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:46669373:46670119:-1 gene:Sspon.04G0013170-1A transcript:Sspon.04G0013170-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQYTHSSIRVLVLQGARLISGVSDAAIAVRDKLRGKIGQTKVKRYWPGKAPEWADDAEEDIDLRTARVSLEKAFPKDEDGDIPAKDDRRLRRLAETRAENKEELRADHRRIWQAEIVSTVAEENERNEADIDEEDEEALEERRRIKERQLLREQEELLPQEEEPVEDEESEDESEY >Sspon.07G0016320-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:58063863:58069949:-1 gene:Sspon.07G0016320-1A transcript:Sspon.07G0016320-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vacuolar sorting protein 39 [Source:Projected from Arabidopsis thaliana (AT1G22860) TAIR;Acc:AT1G22860] MGSQPPASRVALEPLATLDPAALAGLPVSSPLTVRSAALSAHLLYLGTGGGKLLLFSFQDPSTPEFLRLLPIGATLPVSAIVPLPSVARLLVLADGLLLLADPLLCRPVRRLGSLRSVAAVAARRVDPADPSSCSVAISVGKKLLRVDLTLRDADELDVQTREIASVEGVKALAWVDDSVFVATATGYSLYSSTTGQGVDIFSLPESSGHPRVKPLSGGDEVMLLVDNVGVVVDRFGHPVGSSLVFSTMPNCIAEVFPYVIVAGDSKVDVYRRRNAAHLQTIPVARTGQGILIVASDDDGISMELVVIAATYKVFCYRKVSAVEQIKASLRRKNYKEAISLLEEFESDGEISKDMISFVHAQLGFLLFFDLRFEDAVNNFLLSEAMQPSEVFPFIMRDPNRWSDLVPRKRYWGLHPPPKPLEEVIDDGLVAVQQQLFLKKAGVDTVVDEDFLSNPPSRADLLELAIRNIIRALHLVDNMEKLASSQNSCIVDELESLLDDSGHLRMLAFLYGSKGMCSKALSIWRILARNYSTGLWKDLSENGSCGTLVGKKSGEEIAAIEAAKILKELSDEDLVLEHLGWVADIDQDLAIAVLTSETRENQLSPEKVIAAVDAEKVVIHQRYLQWLIEDQGCDDPHYHTSYALSLAKSAIEAIDMSKDRGKDNSQIDSDAQFIYLLRESLQLFLQASDLYDPEDVLDVIAESELWLEKAILYRKMGQENIVLQILALKLEDSEAAEQYCAEIGRDDAYIQLLGLYLDPQNGKEPMFTAAVRLLHNHGESLDPIQVLERLSPDMPLQLASDTILRMLRARVHHHRQGQIVHNLSRATNLDVRLTRLEERSRHVQLTDESICDSCRARLGTKLFAMYPDDSVVCYRCYRSQQGDSSSGRGRSLRKDVIFKQSWLVSR >Sspon.01G0001370-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:3025522:3033446:1 gene:Sspon.01G0001370-2B transcript:Sspon.01G0001370-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGATLEEGTGATMSEDEDEPPMLEAGLDMGSDGHDMMGFGPLLPTDSERSLMERVRQELKIELKQGFKSRIEDVREEILRKRRAGKLPGDTTSILKQWWQQHSKWPYPTEDDKAKLVEETGLQLKQINNWFINQRKRNWHNNSQTNLVLEGRSLVLGKNQAEDMHHPGGNLEQVEGIHQPVGNLEQEGMHRPGGIQLADNLDQEEETQNPQKTVRSLGRVDGRLTTTARGSRLQPQREEKPIRTGHTRSSPAGIDQIRPRQRVRGGGYVQGKSAWIAFVMSVCQTCILSRFACDDAIAASASASGWVADLGTRLQNGVLLLGLFGFGELVRATGPLVNAEESPVHGPRCRLELCELGWIRRYWAEWSSLGRHEMHFPSLLLAPCNAENGSRCGQGIRPIAFVRTSCSVTTHPVSSLRSAAGARALLSRVGTFLSVHPKAMDGNKSGHDARSALKSNTEIRKVILHHLILPLRGDDEEISVRSVAICGYKFIPPGLKPRSPSHRVAACHVSICVHSVSRTTTRAPFVPTCMHGPSSCKQVATVAVAGGETGGLGPDASPCTGGAYRRQQEQEQQGGRAEQEQRREQQDQEQRDSATKQKQEPLRPWCGGGKRRSGCS >Sspon.01G0018920-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:70854143:70867895:1 gene:Sspon.01G0018920-1A transcript:Sspon.01G0018920-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRSLRDIAALYNCEASLEKVEKFRSAEGLKSIGSKCFQAANISVILIDDGIAFDKMLDLESHKAFAPVVGRVLRIERLAETIINDVISNQIVALKSIAAYRSGLEINPNVSKTDAEDGLRKELTGPRPFRITNKNLIDYLFTCTLGIAVSLNLPVQIHTGFGDKDLDLRKCNPLHLRAVLEDERFAKCQIVLLHASYPFSKEASYLASVYSQVYLDFGLAIPKLSVHGMTLSLKELLELAPIKKVMFSTDGYAFPETYYLGAKRARDVVYRVLSAACEDGDLSIQEANEAVEDIFRRNALHLYKLNVVNGSINHETTIVSDSVSLSSVEEDVLFVRIIWSDASGQYRCRVVPAGRFYEITRNKGVGLTFAAMGMTSFCDGPADGSRREEMVMADMQIRPGECWEYCPRNAMRKVTKVLLDEFNVTMKAGFENEFFLRRKLVSDGVEQWVPYDNTNYCSTSAFDGASSILQEVYSSLKASGIVVEQLHAEAGKGQFEIALKYILCTLAADNLIYARETIKSIARKHGLLATFLPKPDLNDLGSGSHVHLSLWENDQNVFMGSSKDNFHGMSKTGAQFLAGVYHHLPSILAFTAPHPNSYDRIQPDTWSGAYQCWGKENREAPLRTACPPGVPLDLVSNFEIKSFDGCANPHLGLAAIVAAGIDGLRRDLKLPEPIESNPSDHASKLKRLPQNLQESVEALSADKILHELIGDKLVTAAIAIRKAEINHFAKNPGAFNDLIFRY >Sspon.08G0007790-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8B:24268320:24270458:1 gene:Sspon.08G0007790-2B transcript:Sspon.08G0007790-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSLRHFAGLGCFPAAASTSGSTCFLRRYTPNFCAFVALRPIRPPAPAATTPKPPASPSPVEQHRQAVPIPCHSGHSSPVSSAAAIPAPLRVGIVGFGNSGQFIAGGVQRQGHAVLAASRSDYSAYCARHGIHFFSSVDALCEEQPDVLLICSSILSTEGVVRAIPFHKLRPDTIVADVLSVKEFPRNLLLEVLPPGFGIICTHPMFGPESGKHGWGKLPFVFDKVRVAEDGDQAAKCDQFLSIFEQEGCRMVEMSCAEHDRYAAGSQFITHTIGRVLSQLNLKSTPINTKGFETLLQLLDNLEMAFEKVRQMLSGRLHDFIRKQIVERAAPVPADPSEKLANGLSSSPAARLL >Sspon.08G0006290-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:19771551:19791649:1 gene:Sspon.08G0006290-1A transcript:Sspon.08G0006290-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMIDEPLYPIAVLIDELKNEDIQLRLNSIRKLSTIARALGEERTRKELIPFLSENNDDEDEVLLAMAEELGVFIPYVGGVEHAHVLLPPLETLCTVEETCVRDKAVESLCRIGAQMKESDIVDWFAPVVKRLAAGEWFTARVSSCGLFHIAYPSAPEQLKAELRTIYGQLCQDDMPMVRRAAASNLGKFASTVEQSHLKTEIMSIFDDLTQDGCKRGDETQLRRLRMQSDQWCKAVEASIVLLSGGGGGVVAIVVLFGGGGEGEKNNRKMVKRADQDSVRLLAVEGCAALGKLLEPQDCAAHILPVIVNFSQDKSWRVRYMVANQLYELCEAVGTEPTRGDLVSAYVRLLCDNEAEVRIAAAGKVTKFCKILDPQIAIEHILPCVKELSSDSSQHVRSALASVIMGMAPVLGKDATIEQLLPIFLSLLKDEFPDVRLNIISKLDQVNQVIGIDLLSQSLLPAIVELAEDRHWRVRLAIIEYIPLLASQLGVGFFYDKLGALCMQWLEDKVFSIRDAAANNLKRLAEEFGPEWAMQHIIPQVLEKINNPHYLYRMTTLQAISLLAPVMGPDITCQQLLPVVIASSKDRVPNMKFNVAKVLQSLVPILDQSVMEKAVKPCLAELSEDPDVDVRYYAHQALRACDQMV >Sspon.06G0032460-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:86206203:86287449:-1 gene:Sspon.06G0032460-1C transcript:Sspon.06G0032460-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ADLVLGLAKSTVEGTLSVAKSAIEEEEKLKKSMQRDLMLISDEFEMMHSFLNVAKERATDEMVRTLVRQVRNMALDVEDCIESVVLVDINESRWWRRLARLLPPCSLFLADAPAAALDEAVAAAELLKCRVEAMGQRNERYWHIRDPGPKPTEKTHQQAVADAAAVGILKEARDAKKKDGSPRDLAELIKKKDSQVLPLQMMSLWGAVGDLGVASIIKKTFEDPEIGKHFSCRAWVKLMRPFNPQEFVRSMMAQFYQNGSSQQGSTAELLKKTDEMMAMKSTQLIEEFLKQVSDHKYLIFLEDLSSTVDWEAVRLYLPDKKNGSCIVVHTQQLEVASLIVGQSHQVLELEQFSADHSVCVFFNEVRSSVEDLIELIKMKDDVGNPFQVISVCGEVGKLKEAMAPIIERTCCDDSNPEKKDSNPEKKDSNPEIYSKHFRYRAWVKLVKANDGRLDSVEFIHNLLDQFCTNYCPRHGTAEDFLKLKGLRMVTEEKFMEEFMKQVMNSQRYLVFLEAVSSDKDWHAVKKYLPDKTDGSCILVHTEDPKVGSLCVGQPDRELEVLLSANDSVRVFLKQVKDKERTKKIEDAKKWMDENELVGRSADIEYIGTSTGAVQTVFGIAGVGKSYIVRHVYSKKMVDKGKRFDKFGWIEVSHPFNMGDFSWSLLLDLHSGCLKHGSMLRIRDPIQECREVLEKNACLIVIDGLQSKEEWDLIKDGLAINQDQTRRRKSRIIVIANEESIATYCSSNWWNVQGLEIEEALKLFKTTVKHLTQNHPKFDSTLKSERFSCQRFGHDEASPDDIERAKSVLHKCGGLPKVIVAVGDVIGGGRSLSSLDNFMPQLESNQEFGSLRNLFTWVNSYFNSCPDSLKPCIFYLSIFPVNHKIRRRRLVRRWIAEGYSAATKESTAEEKGEKSFVDLCKLTMIQVPGSTSLSYLPSSMPSCKVNGFFREYIKSQSMEENLVFALEGHCSVNSQRTGRHLTIENTWDRDSSVYESIDFSRLRSLTVFGKWESFFISEKMRIVRVLDLEDASSVTDGDLEQMVELLPRLKFLSLRGCKEITRLPDSFGHRMRQLQTLDIRHTCIVALPPSITKLQKLQHIRAGTTVQLDDYGTTSSTVGIGSPLRAPATAGRSVSSSPGSCRPRRAASTTLVSRLRLPELCVPRSQRLFSGSRNGGVEVPRGIGEMMALHNISVIDVSVASGREILEELKNLTQLRKLGVSGIKRDNHKELCSVISGHPHLKSLSVWLDKNQAGCLDGISPPPEGLESLKLYGCVDKLPEWIKLLSNLRKLKLQMDMITQVEVNLLKTLPSLHNLCLHPKDFEYGELRFSRFGAGYFRQLMVLEISCNSRLQSVTFERYLMPRLEVLKFRCYHVSSLEFSGLDNLQNLREVSLSGSFDDRVKQHLDSKLKQHRKEVCNRVRLMEKDRWEFLKKMRNPRADALQKSDTMKKVRDKCKLSCCPRCDCKNGNSTFLFSKIIDFPFENPLFQVSDSFWHRWEGRDRPRLLQGELMNSSTTVILDGGRGYVEWALPGVPEPHLASALDPAAVDDEDKVSNFLSLFFRTQKGISTKWVTLHKDEEDKGRNKEIHGVCHAAHVDQGKVLAICIVPSNIFTLYLYGEQATGTNGEPCGTSSIQVQTMNTGVTAAGGDTVFEVQVKNLCPCSVRNVRVDGGGFATTVGVDTNLFRPVDGGVYLVNAGEPIPSMGAVSFRYAWDHFFQMTPRSLEVDGQC >Sspon.02G0042090-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:84410011:84421306:-1 gene:Sspon.02G0042090-2C transcript:Sspon.02G0042090-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEDAGGASPPVPLAQVPPPPPPPQHPTAPSQRDMAASPTSSRSVTETVNGSHRFVIQGYSLAKGMGVGKHIASETFTVGGYQWAVYFYPDGKNPEDNSVYVSVFIALASDGTDVRALFELTLLDQSGKGKHKVHSHFDRSLESGPYTLKYRGSMWGYKRFFRRTALEASDFLKDDCLKINCTVELLPHSIHVPDSDIGYDFGSLLDSQEGVDVILNVGGERFHAHKLVLAARSHVFKSQFFDDESDGEKSEVNETDELKEFSIDDMEPKVFKAMLHFIYRDTLVDDNELGASSSDGSIFDTLAAKLLAAADKYHLPRLRLLCESYLCKGISVASVASTLALADRHRAMELKAVCLKFSAENLSAVMRTDGFIYLKDNCPSLQSEILKTVAGCEDQCSSVGKSQSVCGQLSDAVMRTDGFIYLKDNCPSLQSEILKTVAGCEDQCSSVGKSQSVCGQLSDGGDSSVRRVRQRT >Sspon.01G0012080-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:33086437:33086796:1 gene:Sspon.01G0012080-1A transcript:Sspon.01G0012080-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VLLELARSRNRIPLPKSIAPPGSIPLPPEQDTLLAQNYQLLPPLKSPPQFEETEDENEESNPSLTPNPANSNPTFSQDQRNNEQQHTPQHGQRVSFQLNAVAAAAAKRPRMTIDQLNMG >Sspon.02G0035310-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:12772115:12776498:1 gene:Sspon.02G0035310-1P transcript:Sspon.02G0035310-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSVAADMELDRPNLEDYLPPDSLPQEAPRSLHLRDLLDISPVLTEAAGAIVDLIVTTLVNMKKYYLGQDKLRSKIERKLVEMMCSVFVASWTGVIKYHGPRPSTRPHQVFVANHTSMIDFIILEQMTAFAVIMQKHPGWVGFIQKTILESVGCIWFNRNDLRDREVTARKLRDHVQQPDNNPLLIFPEGTCVNNQYTVMFKK >Sspon.02G0050370-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:57000900:57007518:1 gene:Sspon.02G0050370-1P transcript:Sspon.02G0050370-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYRPSDSSGTDDDLPQFPNSRSIRGSGRVSGNGRALVPASSYARAPTDMEAQIQQLEQEAYCSVLRAFKAQSDAITWEKEGLMTELRKELRVSDKAHRELLNRVNNDNIICSIREWRSTGGLQASLSNNPQPIHDPVPSPTTSGRKRQKTSQSVPALPAPPLALHSQQLATPTQPSSSTARKAVPPGPKGKKTKPGQKVPGGSAAKPMSSSVGPSGRGPHMNRNFPGGSAPEAQAQNLDPLVGRKVMSRWPEDNSFYEAVISDYNAETGLYALVYDINTANETWEWVDLKEMGPEDIRWQEDDSGIDPVTYLRSRGAPTSGVRKPTGRGGPMPGSGRGRGLQKNMSKKDFPPPQNGVGKRSSDDIDILHTESLIKEVEKVFSVSNPDPQEEQEQSLIDAIARLAEASDGESDGHNRGRRNLYAGNQHQANYVDAMPVGDQADAIIVIQNADQQSFVMTMNAHKNRAAD >Sspon.07G0014700-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:53080937:53085296:-1 gene:Sspon.07G0014700-1A transcript:Sspon.07G0014700-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQMKSLSKEEFVHALRRQSTGFSRGSSKYRGVTLHKCGRWEARMGQFLGKKAYDKAAIKCNGREAVTNFEPSTYDGELLTEVNAEVAEVDLNLSISQPASQSPKRDKNCLANFKVSLTMSFSDSIGRKVELDSASSRDLNRRPEGGVPSWAWKVTAAPPPTLPLPLFSLSSSAAASSGFSNTATTAAPSASLRFDAPPSSSSSHHRR >Sspon.04G0002220-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:8234441:8238101:-1 gene:Sspon.04G0002220-3C transcript:Sspon.04G0002220-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATATMATAAGAAALLYYTLNRRLQTERLSEEGECSNSRDVAARAALGSPSRSRVSRRDVRAPATWLETISTLSETLRFTYSETLGKWPIGDLAFGISFLLKRQGNLSVASIYTGNDSVELKGAEVIADLKYLLNLLTLCWHFSKKPFPLFLEATGYTAEDVLMQEPKAGILKPAFTILLDRDKKTILLLIRGTHSIRDTLTAATGAVVPFHHTIVQEGGVTDLVLGYAHFGMVAAARWIAKLSGPCLAQALHMYPDFKIKVVGHSLGGGTAALLTYILREQKEFTSTTCVAFAPAACMTWELAESGVRFITTVINGADLVPTFSAASVDDLRSEVTASAWLNDLRHQIEQTRILSTFYRSASALGSRLPSMANAKARVAGAGAILRPVSTGTQVVMRRARSVAQAAWTRPGLQLSSWACIGPRRRNNVSSTSTVTSDEIRTSTSGGSESTSLLTETTVETSETVASEALPEEVQSSVAVAVDTIGLVDDKVDDDDDIADHHDEDRMTDVELWQQLESELYRKREGEDDDIVEDITESTIAEEVGGVAEDVLSETKEVHRFYPPGKIMHILTSSREEAAHEEEPDVQQDDATNGESHSSMGIFLTPRSLYGKLRLSKMMINDHYMPIYRRNIEQLIPELEKDSSDPMGDRLNTT >Sspon.04G0017650-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:65740673:65743934:1 gene:Sspon.04G0017650-3C transcript:Sspon.04G0017650-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGVVVRRVIPSDNSCLFNAVGYVMEHNRNKASELRQVIAATVASDPEKYNEAFLGKPNEAYCAWILDPEKWGELIGTLLIFVYKGAIELSILSEYYGREIAAYDIQTSRCDLYGQEKNYSERAMLIYDGLHYDALAVSSLDGITLIINKTQEMSPAEGAPEEFDQTIFPVNHNRSIGPAEGLALNLVKEAQRKRSYTDTANFTLRCGVCQIGVIGQKAKALIKCKSFVIGLHHC >Sspon.06G0024040-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:49981435:49989080:-1 gene:Sspon.06G0024040-2C transcript:Sspon.06G0024040-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATTGTRTSSSPSPPLPLHGGLLLLLPLLTITTAASSAPLPLLALLSLKSSLHDPAGALRPWTYAAAASAGATRSLAPPWCAWPGVSCDPATGDIAGLDLSRRNLSGTFSATAARLLAPTLTSLNLSANAFAGGVSRGVSLDVSHNFFNGTFPDGVAALGGALAEFDAYSNCFVGPLPRGLGELRRLQRLNLGGSFFNGSVPAEIGQLRSLRFLHLAGNTLTGRLPSELGGLASLEQLEVGYNAYDGGVPAELGNLTRLQYLDIAVANLSGPLPPELGELARLEKMFLFKNQLAGAIPPRWSRLRALQALDLSDNLLTGAIPAGLGDHANLTMLNLMSNFLSGTIPAAIGALPSLEVLQLWNNSLTGRLPESLGASGRLVRVDVSTNSLSGPIPPGVCTGNRLARLILFDNRFDSAIPASLAACSSLWRVRLESNRLSGDIPVGFGAIRNLTYLDLSSNSLTGGIPADLVASPSLEYINISGNPVGGALPNVSWQAPNLQVFAASKCALHGEVPEFGGAGCSNLYRLELAGNDLTGAIPSDISTCKRLVSLRLQHNQLTGEIPAELAALPSITEIDLSWNELTGVVPPGFTNCTTLENFDVSFNHLVTAGSPSSASSPGAGERASARRNAAMWVSAVAVAFAGMVVLAVTARWLQRREDGAGAPGGGSGAGGARGRPNVIVGPWRMTAFQRLDFTAADVARCVEGIDGIIGAGSSGTVYRAKMPNGEVIAVKKLWRQPFGVVLLEILTGRRSVEAEYGEGSNIVDWVRRKVAGGGAGDVMDAAAWAADQQQTGGEAAARDEMALALRVALLCTSRCPQERPPMRDVLAGNDLTGAIPSDISTCKRLVSLRLQHNQLTGEIPAELAALPSITEIDLSWNELTGVVPPGFTNCTTLENFDVSFNHLVTAGSPSSASSPGAGERASARRNAAMWVSAVVVAFAGMVVLAVTARWLQWREDGAGAPGGGSGAGGARGRPNVVVGPWRMTAFQRLDFTAADVARCVEGIDGIIGAGSSGTVYRAKMPNGEVIASDVYSFGVVLLEILTGRRSVEAEYGEGSNIVDWVRRKVAGGGAGDVMDAAAWAADQQQTGGEAAARDEMALALRVALLCTSRCPQERPPMRDVVSMLQEARRGRKLLAKKQQAQPKIN >Sspon.02G0025280-1P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2A:95919910:95920954:1 gene:Sspon.02G0025280-1P transcript:Sspon.02G0025280-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPCDGGGGGAGGEVSTKRAKLPTGASAGAGSEDRISALPDDILVLILRRFTTCGAVRTSILSRRWRRVWALLPALHFRYTPDRPRPIGPALEAHEAALLRLTVLTRDAAPDSVSAWLPIAARRLSGSLFFHNDLVRDRSAQEGGDEEAAQRGAFELPCLERATEVTLHLGFLGLAVPPAGVFARLTELYLSSVRFHGPGLLGDAVSWPRCPCLQKLTIHDARGLDNLAIHSDSLRQVALTRLRGLQQLNIVAPALEDLEVTRCFFYDRSQPVATITTPLLATLRWTDPYDPSSVHLGEMRHLRLLRPFFFMVYGDGDASSTPNQSCLSLLRRFKVIESLILTLTYLS >Sspon.07G0025430-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7B:42388658:42389353:-1 gene:Sspon.07G0025430-1B transcript:Sspon.07G0025430-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFLTSVLCCSDPSEKALFGRKEWYFFTPRDRKYPNGSRPNRAAGRGYWKATGADKPIAPKGSGRVAGIKKALVFYSGKAPRGVKTDWIMHEYRLADADRAPSKKGSQKPVFLVESKAQSKRDRPPTCRPLSTLPVARCAGWSHEQRRAGRVASDDAQAGCRGPQAHAAGRGWRPETGWAAGGSAWASAGARAAAGDGAGRESD >Sspon.04G0001890-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:3350763:3351428:1 gene:Sspon.04G0001890-2B transcript:Sspon.04G0001890-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding QMSRHHHHHAAAAAAIAAVLFLLTPHPCAADPNDERCLSHLHQSLSDPSGGLNWTKAAISAPCDGFFSHLQGVTCNNGRVYKLALPELSLGGTIPPELSNCTNLQSLDLSANALSGAIPTELSALLNLAVLNLSANALSGAIPRELASCAYLNVIDLHANQLSGPIPDELGLLVRLSTFDVSYNRLSGPIPVLLANRTGAGSTAAVGTARFNASSFVGNKGL >Sspon.05G0019150-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:77290928:77291929:1 gene:Sspon.05G0019150-4D transcript:Sspon.05G0019150-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVGATEASSPAAFDDGGGTSTTIEDLPADVLALVLRRLDGASLAAVGCASSGLHGLATDPDTWRALCLAMWPSVRDLLPCLGRDDATVDHRALFADAFPFPCSDSPSPSPPTSPPPLPARLVSAVDLRHAGVSILSRVVETDAASDWFLGAPFRVDALVQEGFTSPTAASAICPADLELSWVLLDPATGRALNASSRRAVAVDRKWLTGDTVARFAVVLGAAGVGGGGVALDAAVTCDERYGHVREVSLRVEDADGAGVSGRDGLAAVAAAMAAPRRRGCAEDAARVRYEDFVRGKKARKEWTARREGILDLCCSGVGAAAFLGFLVMLTFR >Sspon.08G0022690-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:50543277:50554509:1 gene:Sspon.08G0022690-1B transcript:Sspon.08G0022690-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGRLAPQLVVQLLELHLQQLEVLGQDLVLLCLFHSQTEDLIKNSFDNSRRSVFWREDTIMTSKGREKTGFPQNLDTKHPLNLESKRRNARKLVGGKSGKSCRSYNEKLLRIGVPQKDGFKAFVNVSHPYFFCKDNATLPSTTKQVTGYVIDVFETAMEKLQHPHAMTSVSSMNLDGAAGDVTITANRIGQVEFTVSYTQSGVSLLVLSESDLEPIQWTFLAPLTKELWFATVGFFFFTGFVVWVIERPINPEYQGSSLRQFSNALYFIFSTLTFSHGQWFGLNFFIREPVVKNCCGDMVLLSAGTSAELYGKEDEYADALRKGSMNGGVSAIVDEVPYLTSFLFSDARYQNEFQIVGHIYKTPGLGFVFPLGFPLLHNISTAILNITEGNEEKWFGTAATLPAVSNTPSTPLTLQSFSGLFLTSGFISSLMLLIRIMRLVHARWTELRHGDADCMDNTPGDEECRKLQDGASNIPMLDHPYGLTPHPEASNGDHQQVSSGSGDDKEPCVSGSKLDRNIGNQPRPTKSMLMFLVVMVSRDCW >Sspon.03G0029410-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:15767697:15771053:-1 gene:Sspon.03G0029410-1B transcript:Sspon.03G0029410-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding PDKNLIRLLICMGEAMRTIAFKVRTASCGGTACVNSFGDEQLAVDMLANKLLFEALEYSHVCNIVDTNFTVGTIFGVWPGDKLTGVTGGDQVAAAMGIYGPRTTYIVALKDCPGTHEFLLLDEGKWQHVKDTTTIGEGKIFSPGNLRATFDNPEYDELINYYVKEKYTLRYTGGMVPDVNQIIVKEKGIFTNVTSPTAKAKLRLLFEVAPLGFLMEKAGGHSSDGKQSVLDKVINELDERTQVAYGSKNEIIRFEETLYGSSRLAAGATVGAAV >Sspon.01G0004890-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:11812875:11813249:-1 gene:Sspon.01G0004890-1P transcript:Sspon.01G0004890-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPPPPIMAPPPPPPPPHIMAPPPPTAIPSRLHRIRPPPLAPPRPPPILPPAPPALSPLPPLPAVCMTAESPISAYMRRLRGMPSPIHVPTSPLGFGCLPSPRTPPSPGVPMPATSPRVRDP >Sspon.03G0001970-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7B:17967900:17970758:1 gene:Sspon.03G0001970-2B transcript:Sspon.03G0001970-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding FVMDFRKCHCSLPLPIPSAYLLEDHQEEVDGGFLRGAVQHDTPQLPLVSMVRAAVRVPGQHPGVDDQRRGRRDRDRVRGDLPGVRVHPADAAADAGPGVGGGGGVRRRGAGVDAGAPPRPGAEAHVRPRRHRLLHLHVRLAALHHEAGGEDQERGVHAVPAVAGRVPVRHVLVRLRPARPRPLRRDPQRVRELPGRCAARPVRHLPEQRRQQSGRGRRQAAGRRRRGDGLRREEQQEDRRRRWRRRNCFWSPLLGQMSRPRPRRALASMTLQLARQGPRVTGECTSQCSQVGLGHRRGLLLGSWQFSWAFHLAWLH >Sspon.06G0008540-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:44971528:44972567:-1 gene:Sspon.06G0008540-1A transcript:Sspon.06G0008540-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MWQSSRAYCIASLAALCLSLMAISPATSDMADSVDMMWGNTQVLYDGTGRQMVSLSLDSWTTSAFRSKSKYLFGRFDIDIKLVPKDSAGTVTTVYVILRAVQPNHYCSLSIQKQMVTEGPWQYHDEIDLEFLGNTTGEPYTLHTNIYARGKGDREMQYRLWFDPTEDFNTYSIIWNPHMILILVNGVPIRRMKNQMRDDTPFPLYQPMRLYGSIWDADEWATQGVRIKTDWSHGPFTAFFRNYTANACVPYNKAWICGQDSGDSSWFNQELDEEGQAKTE >Sspon.07G0005080-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:8490965:8491527:1 gene:Sspon.07G0005080-3C transcript:Sspon.07G0005080-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRICLSGATTFGGASATPAPTSGSGRRLALAAASSPSRRRTASVMAEAHNGRRVGMVGDNDLEAIELARFAVAEHNSKTFERLVKVRHQVVAGTMHHFTVQVKEAGGGKKLYEAKVWEKVWENFKQLQSFQPVGDAAAA >Sspon.02G0011020-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:29665041:29670748:1 gene:Sspon.02G0011020-1A transcript:Sspon.02G0011020-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding METDEKRHGEKEAAALSGGHLCHVCGYQYPNPQPSAKLRRSHRKHCGKALPAAVAEVEEAVAGVGVGVGERQEGAGDRNAAAGTVLGGEGGRQREEISTTEANGGAAALRGSAGEVDSSVEDKVIAAEHSSPTCTGAQVIATELNENHLINCGSSENVFLEDTGAQIDVSELSENGLVDCSSNSIEIVNEGSGTELLIACTNGSQNIVGHPAEREDSFDEFQDASPFLHQSDSEDGTAPSSVFSTEMNNLNVISAGSSVTTNANSLETNGLLKDQFSGDLNMTDLSAEHEVNLKLGGPYEQAVNVDYTYTDMVDSKSGEASLQETRLVILEPESESTCSRKVEGFMEDRMHVLHTMPEASPRSEVVGSDDVQLETITNPSTNPMSIGSDLNVVCTDNTATDCSMELPRQNWSVEDVPNDSQPVENSSKKTFRCSTAGFQDDLPVTKMDDTPVTNVDDVEFTFEERPQTDTVEENLSIQKTNEFTREEVCNKQIDPEIPTEDQFSTSQKHDTLLMGEASSVKNPFNLDDDRNDDLFELPTESCFLEVPNAVELRQQVDSTSLMVDQPTVSNQTRMAEVQQCHNSNEHILSASSASENGEVVGPEDIPVSSSSELVNKTCLTDHGLQENGHTSGDIFVPSQAASTELSTISMQDVSALSEVEEIMQTEDASAKDMTAVRSIDCIEMTQAINTTANDTYAANVEEKKPIEGTAAGMNEVRQTDYVEEQTQASDTKELNTVQSIGNFEANKQTEDTDAKETNPRFNANDVGHKTQTAKEMAAAESTCSVEEKQQLNIMVGQDGSNNKLNEEIASTGAKLNSGRVRVPLKVLLAEASMENQVKKPSTKERVLSFRRRVTKDSDLSVKSGSPKSGSDDHHWSSPAKLPHKDVDKKSSKEKKQPWMPFVCCHSVR >Sspon.08G0009900-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:43202300:43206249:-1 gene:Sspon.08G0009900-1A transcript:Sspon.08G0009900-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHDRSHKPQPAEAGNGGPAGEGGGNVDRVLFKNLVEMVPLVESLMDRRVNPAYSRRASLVYTPAPPKKASDLKTVKLPQSVSAKKRRDPGDAAKKSTPDSNVDNASVVPLSLSGAENKPKDEVAVLREQIDDLQKKLLEKEEALRSAESSVTEMNAAYATIDELRRLVADKEALIRSTNSQLHDAKIMLADKQASLEKLEWEVKMSNKKVEDLQGDMSNMGFEISSLMAFFEKISENASGDSYDDTIPSSYELETLQSTSEIDKIEVDKIEQERITYAEALAAARENPDEEHLNIAAEARSRLQ >Sspon.03G0023700-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:90875442:90879708:-1 gene:Sspon.03G0023700-3C transcript:Sspon.03G0023700-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRNRTPLYRKYRDALRHVRAPAGAPSSSGGGGGGGGGGPVIEMASLLRSDRPYAPLSTDDPSASSRGAVTVGLPPAWVDVSEEISANMQRARTKMAELAKAHAKALMPSFGDGRDDQRAIEVLTHEVTDLLKRSEKRLQKLSMKDSSEDSNVRKNVQRSLATDLQNLSMEFRKKQSSYLKQLRQQKEGQDGVDLEMNINGTKSTFEDDEFDDVGFTEVQMSKLKKSEVFTREREREIEQVVESVNELAQIMKDLSVLVIDQGTIIDRIDYNIQNVAASVEEGYKELQKAERTQKKGGMVMCATVLVILIFIMIVLLILKKIIF >Sspon.07G0019580-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:72327754:72329553:-1 gene:Sspon.07G0019580-1A transcript:Sspon.07G0019580-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLHSLTSVLDQYVPQQWQSLLPATTTLLLLAILPLLLSIFLVATTASRRRQSTASTSYHLPPRASGLPILGNLHQMGALPHRSLRELARLHGPVVMLRLGTVPAVVVSSADAARDVLKTHDADCCSRPDTPGPRRLSYQHNDVAFSPYSEQWRERRRLLVVEFLSKRRIQATWYAREAEMDKLISKLSSVGRIRRPVFLEDHIFGYMDGIVGTVAFGNIYGTEHFAYKEHFHHVIDEAMVVRSSFSAEDYFPNAVGRLVDRLTGVASLRERVFREFDAFFKMMLDQHLETARRAKKPGNGCGLIDVLVGLMEEHQGSFRFSRDVVKALLTNTFIGAVDTGAVTIIWAMAEVVRKPEVLKKVQEEVRAVVGSKGRVQQADVAKLRYLRAVVMETLRLHPALPLLVPRETMRRITVSGYDVPAKTRVLVNAWAIGRDPASWDDAEEFVPERFEGEGAAAASFFNRAQFEFLPFGAGRRMCPGIDMGVATTEFTLANLLYCFDWELPEGVRREDMCMEEAGGLTVHKKTPLLLVPTRYNHI >Sspon.02G0009850-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:26649772:26653709:1 gene:Sspon.02G0009850-1P transcript:Sspon.02G0009850-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAVVDAGDAPEPTVRNLLDQESLKWVFVGGKGGVGKTTCSSILSVLLAGVRQSVLVISTDPAHNLSDAFQQRFTKFPTLVRGFTNLYAMEIDPKVENDDLSNEGMEGFLSELTNAIPGVDEAMSFAEMLKLVQTMDYSVVVFDTAPTGHTLRLLQFPATLEKGLEKMMELKNRFGGLLNQASRLFGLGDELNEDAMLGKLEGMKDVIEQVNRQFKDPDLTTFVCVCIPEFLSLYETERLVQELAKFEIDSHNIIINQVIFDEEAVESKLLKARIKMQQKYIDQFQMLYDDFNITKLPLLSEEVCGVQALQNFSQHFITPYESALKRGTVEELEERITLLKSALQEAEAELDRVRKGKQSA >Sspon.07G0012460-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:44310795:44312587:1 gene:Sspon.07G0012460-1A transcript:Sspon.07G0012460-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding TMTGAGVQSTGLLASTTFYAVNLRSISIGSATTTSVGGPDGVVFDSGTTLTYLAEPVYTEALAAFMSQTRSRTQVEGRYGFEACYEKPDNGRLIPAMVLHFDDGADMALPVENYVVEVDDGVVCWVVQKSPSLSIIGNIMQMNYLVLHDVRRSVLSFQPTNCDSYHANEASGSPEILVVTSHTTKSVASTLVSSHNKESIGMQAAIREERINDVGEH >Sspon.02G0010920-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2D:23836108:23839245:1 gene:Sspon.02G0010920-2D transcript:Sspon.02G0010920-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VVWGDNSAWVSVNFTAPTPSDGHWVALFSPADFGYYMFANISPSFMSSGGGNMSFLVINQRSDYAFGLFSSGKDNPKLVAVSNKISFANPRAPVFPRLSQGKEWNEMAVTWTGGYNINEAYPFVEWKMKGEESSTRTPAGTLAYTRRHLCGKPANVEGYRDPGFIHTALLEDLWPNREYSYQIGHELPDGPVVWGKSCTFRASPFPGQDSLQRVVVFASSGQRDGTNELAGFQPGAQVTTDRLIKDLPNYDAVFHIGDLSYANGFLAQWDQFTAQIEPIASRVPYMVARGLTMTRGFYNGNDSHGECGVPAETYFYVPAENRGKFRYAADHGMFRFCVGDTEHDWRPGSEQHAFLDRCFASADRKHQPWLVFAAHRPLGYSSNDYYATEGSFSEPMGRTLQGLWQKHRVDLAIYGHVHNYERTCPVYENTCMDGDGDDGKKDKGSYSGTMGGTIHVVAGTGGARLRNYSAGPRPQWSVARDKSFGYVKLTATDHSSLRVEFIHSDDGAAHHAFNITRDYKHVLACT >Sspon.01G0013270-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:36005931:36012510:-1 gene:Sspon.01G0013270-2P transcript:Sspon.01G0013270-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MENGNNGGHPPHYPPYQYPYPQAQLYPYGYQCPPAPPPSSSAAPAAPYLSPSPSFPSYAPAPPPPQQQQYHSGPLQAYPPPPQYHAYPPQPPHPSPYGHGYDPYPSSPYPSSYPSTNPSPSPSPGLSPSSSFHHHQHASAPEHQSPAPSAPSYPIEDVLASMRLSDRHDYASSPSVPPPSTPFSGDGSSHGGGMQAVPYGAAAGGSQHGGGMQMVPYGAAAGGSQHGGSFRASLKVVLLHGSLDIWVHDARHLPNKDMFSKRVGELLGPRITSAVGSKMSSASMTSDPYVTVQVSYATVGGPMSSPTNFLVPVGHEAAEVQFVVKDSDVFGAQIIGVVSIPAEKLLAGERIQGVYPVLESNGKPCAPGAVLHLSIQYIPVAQLTMYHHGVIAGPDSLGVPHTYFPLRRGMRVTLYQDAHVPDGCLPDIWLGNGLRYQHGQCWRDIYDAICQARKLIYIVGWSVFHTIHLVRDGTQAPSLGDLLKIKSQEGVRVLLLVWDDPTSRSILGYKLDGFMGTRDEETRRFFKHSSVQVLLCPRSAGKRHSWVKQQETGTIFTHHQKTVIVDADAGNYRRKIVAFVGGLDLCGGRYDTPWHPLFRTLQNEHKEDYHNPNFNERWLKAAKRHGIKKLAKSYDDALLSIERIPDIININDAIYFSDNDPETWHVQVFRSIDSNSAKGFPKDPRAATMKNLVCGKNVLIDMSIHTAYVHAIRAAQHYIYIENQYFIGSSFNWDSNKDLGANNLIPIEIALKIANKIKANERFSAYIVVPMWPEGNPTGAATQRILYWQNKTMQMMYETIYRALKEVGLDDMYEPQDYLNFFCLGNREVADSTSNSNASNTANNPQEQARKNRRFMVYVHSKGMIVDDEYVIIGSANINQRSMEGIRDTEIAMGAYQPQYTWANKLSAPRGQIYGYRMSLWAEHIGSIEEDFNYPESLECMRRVRHLGEENWKQFVADEVTEMRGHLMKYPVSVDRKGKVKPLPGCTTFPDLGGNICGSFTAIQENLTI >Sspon.04G0015270-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4A:57064934:57076023:1 gene:Sspon.04G0015270-1A transcript:Sspon.04G0015270-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MACMQRRACKANCCLILFPVLVCGLLGGLQTFMDNLFKNLGGDKPDCKGCDGRTGGGGVRLSEDAVGGLSCSPSCPLPVAQRWPVVLLLPGSKGDALNFSALMEMDNKPPPCVSPESCTAPAKFLVTGGNKSFAQSLTGNMFPPHASPNLTADISGLADYALAIDGTGFGTNTYEAAFDTAALYFLQSKCTPKSALSFPVQHGPKMDIKAYDLTSSDLKHYNMIVQYNPEKSNMLRVARLMNLASNAYLQLRGNDTKMRFGFVKDMPRDGHPIKAPDMSFIVGKLVFIQIIMLLFPVILSSLVYEKQQKLRPMMKMHGLGSLITLMEFFPPISLYRIIYELSPPPSEGFFSDFSGVHLGDLSNPKNGILVLLIVMVLEWPIFLFLTLYLDEFGCLRNGIRKLLSASRPNGSYQTLQKPSAQPQEFEASIEIDRTDILREREIVNRFLQQPDTDYSVIIDNIRKVYPPKDGNAEVVAVKGFSLSIQRGQCFGLLGSNGAGKTSLISMAAEQSLKALQIFDGGVADTLVSQYSGGMKRRLSVAISLIGDPKVVYLDEPSTGLDPASRSALWNAVKFAKKDKAIILTTHSMEEAEALCDRIGIAAYGRLRCTGTSKELKAKYGGTFVFTVTAAAAGEDEAVERLVRSICPAAKRTYHIAGTQKFELPKQGVRIAEVFRAMEQAKRSLSIAAWGLVDTTLEDVFIKGQNRLFHVEGHTAFAVLRCWCLGTPAPSPIGSATTFASVAAESSLLLELSTSLQGEEEPSGEAMGANCLAQTNALFRKNLVIQRRNHRSNCCLVCFPLLICLLLGGVQMIVAIAYFSSAAHRPRIDCGYCTASTNSSSTSTVGGLVCPTECPLPIAPKWPPVLQLPPAPDDMDDFGSSASTNLTAGASITRAKAKPPAATFLVTGTNRSFAESVMSNMLPKHGELKSAADISTIADFAL >Sspon.04G0025740-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:33140800:33142368:1 gene:Sspon.04G0025740-2C transcript:Sspon.04G0025740-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Copper transport protein CCH [Source:Projected from Arabidopsis thaliana (AT3G56240) UniProtKB/Swiss-Prot;Acc:O82089] MAAETVVLKVAMSCEGCAGAVRRVLSKMEGIETFDIDLKEQKVTVKGNVKPEDVFQTVSKSGKKTSYWEGQATAPDASAPAAAEAAPNTAAEAPADAAAAVPEITPAKADA >Sspon.06G0002660-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:8436887:8445254:-1 gene:Sspon.06G0002660-1A transcript:Sspon.06G0002660-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKYSQEPGNPTKSAKTMGRDLRVHFKNTRETAFALRKLPLTKAKRYLEDVIAHKQAIPFRRYCGGVGRTAQAKSRHSNGQGRWPVKSARFILDLLKNAESNADVKGLDVDNLYVSHIQVNQAQKQRRRTYRAHGRINPYMSSPCHIELILSEKEEPVKKEVKYSQEPGNPTTAKAMGRDLRVHFKNTRETAFALRKLPLTKAKRYLEDVIAHKQAIPFRRYCGGVGRTAQAKSRHSNGQGRWPVKSARFILDLLKNAESNADVKGLDVDNLYVSHIQVNQAQKQRRRTYRAHGRINPYMSSPCHIELILSEKEEPVKKEADNIVAARKH >Sspon.01G0023380-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:81873899:81874789:1 gene:Sspon.01G0023380-1P transcript:Sspon.01G0023380-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSANSPCAACKLLRRKCTQGCVFAPYFPPDNPAKFSSVHRVFGASNVSKLLNEMPQAQREDAVNSLAYEAEARLRDPVYGCVSYISVLQLRIKQVREELAAARKELAGYIGPAAFAPFVAAPQYHHHQYAAGGVPLAAATGMGLAVGVGVGPQHGQHPHHQQQIMVHQHQHLHHHQQMAAAEAQQQLAAAVEVAREQQDLMMRQAAVYAHAVPGSSGGGGATVAVVPPDAVPYEGGFLFQQQQPPPSQAQTAVALTYQMEQSPPPSSSGQSHPEVSQQQNTDGSDEGSGGVVPPA >Sspon.03G0005090-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:11625320:11643739:-1 gene:Sspon.03G0005090-3D transcript:Sspon.03G0005090-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQFGDTTYTKVFVGGLAWETQKETMRKYFEQFGEILEAVVITDKNTGRSKGYGFVTFRDPDAAMRACVDPAPVIDGRRANCNLASLGVQRSRPPTPQHGGARNFRVMKSFSQQAGIQGGLGAAFPSHATFPHYAIPQGLPYHVYGYSPYTPDYGYPTNFYNIYGGAQYPFYGGAAAGMVTGTSPFYPYFQFGQSGNTTTNYTSGQGYNLQYPQMFQFSTVSSTAAAVTGFAQHYGGPLHDYGTHSSNPANSNTSCPSLPFGDTTYTKVFVGGLAWETQKETMRKYFEQFGEILEAVVITDKNTGRSKGYGFVTFRDPDAAMRACVDPAPVIDGRRANCNLASLGVQRSRPPTPQHGGARNFRVMKSFSQQAGIQGGLGAAFPSHAATFPHYAIPQGLPYHNFYNIYGGAQYPFYGGAAAGMVTGTSPFYPYFQFGQSGNTTTNYTSGQGYNLQYPQMFQFSTVSSTAAAVTGFAQHYGGPLSLAASPQAQAGMTMALTAPTLPTPTQAAHPYRLIPSHFAVSAAPEQPLA >Sspon.07G0003960-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:8999787:9005620:-1 gene:Sspon.07G0003960-3D transcript:Sspon.07G0003960-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADWEGIPARERRQMEEILQLDMEELNVEVVDDNDEEEEEEEGDGNEEDDDIDAFLRDNNGDGVVSTSGPFTFNTSLASLHTYLGGVVLFPGATLPLRVIEDRLAVTIDKALRLVDAPCTIGVIRQLGRLDDGSLNVVARGQQRFRLRKHWIDVDRVVWGEVQIIEEDTPLRTPRDAFAQLAACNSFNLHASSSVISLDMSPIKQDHIDSELECDTPSPNSNISNPSSMDTRLCYLGSQSSDSMRSSSDEDGDLMHTQFWRRKQHSVRESGASSHSDKKTNMSNENDLCLTPLQSLPIAKTRDAKRRRQYHAYSKQASQAPLSFWPRWSRIAKRSDMVVMSTDGVLGAYVNPYGFVHETITVSNATGLALIGNPSKILVDDGSMHVLRVPHRLAIQSHQEESAA >Sspon.02G0017830-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:28112524:28113041:-1 gene:Sspon.02G0017830-1T transcript:Sspon.02G0017830-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKFPNKRVSITMRNFLRSVRVLWLKQGEEQQQKRKVDSLAKELDKKVTAYKRAENRIIGTKLLEHKPEIDAKQRMEHLSEKKELLNVLRKRIETEKAKHHACMRDTHDVTLNGFKIGLPASSNPRAHDKDSEKAATDKRPCIGGPYSHIAVDAT >Sspon.02G0028710-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:97103834:97106308:-1 gene:Sspon.02G0028710-2B transcript:Sspon.02G0028710-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATAVPRALSLLGPSPRPPPPRMRMNCLRVAIGGGVRRRGAVAVWAKKKRGRGGDGEAQERVDTHSFAAKEGEAAGLFPEAVLLRKKMVREDGQVSPEFADADEEKLYDFLNIQLESDLNLKRMRHYEVVYLIHEDRVEEVESVVSKVQDFIREKKGRIWRLNNWGLRRLAYKIKKATHANYILMNFEIESRYINDFKTLLDKDERIIRHLVMKRDEAITEDCPPPPEFHSLRAQQYFDDDYDDEEEEDGDARSGIESANYDEDGVEAGDEPEIIYVDEADQDNYEDTRRRNRKLKVKKYTSEKVLR >Sspon.05G0026470-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:106065815:106072019:1 gene:Sspon.05G0026470-3D transcript:Sspon.05G0026470-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MYQWRKFEFFEEKSAGRGGGGGGSAAAVPAEIAGRITCCSGGRGRVAVGCDDGTVGLLDRGVRLSYGFQAYASSVLFLQQLKQRNVLVTVGDDDQSSSQSSAICLKVFDLDKVQEEGSSTTTPFCVQILRVFTDQFPQAKITSFMVLEEAPPILLIAIGLDNGFIYCIKGDIARERITRFKLQVEAASDGSTSFPITGLGFRVEGQAHQLFSVTPGSVTLFSLHVQPPRRQTLDQIGCQTNAVAMSDRMDLIIGRPEAVYFYEVDGRGPCWAFDGEKKFVGWFRGYLLCIIEDQRTQKSTLNVYDLKNRLIAHSMPVGDVSHLVCEWGYIILIMADKKLLCIGEKDMESKLDMLFKKNLYTVAINLVQSQQADPASTAEVLRKYGDHLYGKQEYDEAMSQYIHTIGHLEPSYVIQKFLDAKRIYNLTNYLEKLHDRGLASKDHTTLLLNCYTKLKDVEKLNHFIKDEDGVGEIKFDVETAIRVCRAAGYHEHAMFVAKKAGRHELYLKILLEDLGRYDEALQYISGLEANQAGLTVKEYGKILVEHRPAETVEILLRLCTDVGDPTTRRGSNSMHLLMIPSPMDFVNIFVHNPQYLMEFLENYIKAVTDSPAQTEIHNTLLELYISNDLSFPSLSQENESENQYIKETKGKETANGYRSGIKEKGSLGKEDPKIAKNIVDRRRKGLALLKSAWTSEMEDPLYDVDLALILCNTNAFKDGLLFLYEKLKLYKEVISCYKQAHDHQGLIACCKKLGDSSQGGDPSLWYFGELGEDCSKEVKEVLTYIEKEDVVPPIVVLQTLSKNPCLTLSVVKDYIARKLEQESKLIEDDRKSIDKYQGETELMKREIEDLKTNAKVFQLSKCSACTFTLDLPAVHFMCMHSFHLRCLGDNEKECPECAPEYRSVMEAKQKLEQNARDHDLFFRQLRGSKDGFSVIADYFSKGIVSKTTVPPENGR >Sspon.06G0010430-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:45531235:45534371:-1 gene:Sspon.06G0010430-2C transcript:Sspon.06G0010430-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSYPPASPRGSGSVPARRAELYSKMARDLDERGAAFLKGGETSQSLTLSDLFDTAGDGAVVPRLKAADPPVRANVLYMDPKFAAVISSVIVVLTSTGVLLGLWQVESGTDPAEIRSRLREALPRAPQKQLYDPVLLHTSFARILGHPKLPDEQSASSFDHVKFFHELVVQVNEKIRGFQAMISELWYVEEYDVLALALNGKMKVRRLHLDCNHQGN >Sspon.01G0010530-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:36131445:36133542:1 gene:Sspon.01G0010530-2B transcript:Sspon.01G0010530-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVAVQLAALLALLLALWRLAWRPHAVARSFARQGVRGPPYTFLAGSLPEAKRLLMAGRRGVPPLDAACHDIMPVLLPQFHRWVADYGRTFLFWIGPIPALFSTDLQLIKQVLTDRTGLYQKDFMIPVLKFLFGNGVILINGDDWKRHRKVVLPAFNHETIKSMSAVTTEVTKQMMQQWREQIHGSGDKESAEIDMIHAFNDLTAKVNGRVAFGTSHRDVEEVIVLMREMQKLATAAMLDAPILWYLPTRRNQHVRRLNKQLRSKIMTIMQARLAADGAKKCDGRGGAGPGRGDLLGLLLEAWTPQQHGNNGETLTTDEVIDECKTFFAAGQETTATLLVWAMFLLAVHPEWQDRVREEVVREFCTGDGDGEVPHADVLAKLKLLYMVLLETSRLYPPIVYIQRRAASDAVLGDIKVPQGTVISIPIAMLHRDKQVWGPDADEFNPMRFEHGLTKAAKDPKALLAFSLGPRVCTGQSFGIVEVQVVMAMILRRFSFSLSPEYVHKPKYLLSLTPKLGMPLIVRNVDG >Sspon.08G0019400-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:12002882:12005911:-1 gene:Sspon.08G0019400-1B transcript:Sspon.08G0019400-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVTSKLPLLGILLVVLLLLPCTAVAAVAKAIDASNNQRLDLPDALVGPESVAFDSHGAGPYVSISDGRILKYGGEGGGWSTFTYSPSYTKNNCDAPSELPPVATESSCGRPLGLRFHRNSGNLYIADAYMGLMRVGPDGGEATVLAAEAGGEPFRFTNGVDIDQVTGDVYFTDSSKTYPRSQHQMVTASGDSTGRIMKYSPQTNQVTVLQSGVTYPNGIAISEDRTHLIVALTGPCKLLRYWIRGPNANTSEIFTDLPGYPDNVRPDGKGGYWVALHREKNELPYPLGANKHLVAIRIGAHGEKLQEMTGPKNVRPTEAVERQDGKIYLGSVELSYLLPSNSQL >Sspon.08G0022130-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8B:45888999:45891250:1 gene:Sspon.08G0022130-1B transcript:Sspon.08G0022130-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARFLSLIILPVLTILPFSYASPQLMLSTGSSLLVEEYRQTFLVSPNGDFSCGFYEVGRNAFSFSIWFTYTVDKTIVWSANPKSPVNGRGSMVLLNHDGNLVLVDVNGTVTWDSKTSSGKGTTLVLLDIGNLVIKDANGKILWGSFSSPTDTLLPFQPLTKGTRLVSGYNSLYFDNDNVLRLMYDGPEISSIYWPSADYTVFQNGRTNYNSSRIAVLDAEGYFRSSDLLKVKSSDWGTVTKRRLTIDYDGNLRMYSLNASSGSNIGSPSTAIYCTGWWFLSSKQNIPKSMEEGYMMVTNQFRMFTLRELREATGKFKEEIGRGGSGIVYRGVLEDKRVVAVKKLTNFSYNQEELWAEMSIIGRINHMNLVRMWGFCSEDHHKLLVYEYVENESLDRYLFGNVSSERLIAWSQRFKIALGTARGLAYLHHECLEWVIHCDVKPENILLTRDFEAKIADFGLAKLSKRGSSSLKLTHMRGTMGYMAPEWALNLPINAKVDVYSYGVVLLEILTGTRISSGITVDGMEIELRQVVQVLKQFLESGDVKDIVDHRLQGHYNPEQAM >Sspon.01G0008840-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:3756359:3758579:-1 gene:Sspon.01G0008840-2P transcript:Sspon.01G0008840-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEVSSEANQNQGGEEETRLELPPGFRFHPTDEEVVTHYLTNKALNSSFSCVVIADVDLNKIEPWDLPSKAKMGEKEWYFFCHKDRKYPTGLRTNRATASGYWKATGKDKEIFRGHRVLVGMKKTLVFYTGRAPRGGKTPWVMHEYRLEGSLPSNLRRGAKDEWAVCKVFNKDLAAKAGQMAPLHAVGDGMERSDSLAFLDDLVLDNADLPPLIDSPYADAGLIVDYNKTAAGGASSFSFAAAGTNDSGGYQVVKAEPQPQLPAASNNPVGGGSYSYSYSYQQQAGEPQQAIRRHCKAEAPATLLMSPSRGGEAGADMFHVDDLLQLDSFMDYSNMWKF >Sspon.03G0001000-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:11485414:11491075:1 gene:Sspon.03G0001000-2B transcript:Sspon.03G0001000-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATAPPSQSADLMPPPPPPPPVPPPTAPRPRRRAREVSSRYLSTPVPSTPRLSTASAASSTRSRSPTPSPRGRQRAATPFANENHPPPPPPPTGTVARRRAVQKLFEETGAGNLRASVGSNSSAVSAATPRQLPRTTSGPAAPTARRGYPRLPTPARAGSCPASAAVESDTASCCSSSDTSSTATDLSEADRALGMAPALSCESPPLLGPASCRGGRLSSELRSSVPESGGSSRASNPLCYRSLNSALSISTAMAGKLTAAGRPPQPQGPKAADLKRTAIVGGRKVAGKQEDVHQLRLMDNSYLLYRFLNARAVAAGKAKAAAAEERIASLRVSVAEKRAEMERMKREHTLSSVVNAQANSEELTEVLKSAAQLLEPLSPCIENFLPKVEQIDDVASNLAQVITTERTLIEECGNLLYQAQNLQQSLLDGPEHATTKQIRTMEHEYKLDVTEHNLTDVIHRFCWYYSLFSTKKTAAAPLDSPLHTSVAKPA >Sspon.07G0013860-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:55932495:55936095:-1 gene:Sspon.07G0013860-2B transcript:Sspon.07G0013860-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPEPRRPYKRPAISDQQRRRELALQAQSARRADAQARARALATSLLTTEAPAAATSRHEEEEHDEHEEEEQEHEHEHELTVAGVAAAAAASRLRGSDARRWFARQIMLPEWMVDAPPHLATDWHVFARPSGKRCLVVSSNGMTISRVRNGSILHRFPSALPNGSKRDISGPASSYSILDCIFHEPDETYYIIDMICWRGYSLYDCTAEFRFFWVNSKIAETSAGDPPSTYHRYRFSALPVYDCTLEGLQAAYSGSMPYVKDGLLFYNKHAHYQAGITPLALVWKDETCSQYVIDTDNKGEIPSEQHLVLELLEDGRVVTSDDPPVAFGSLDSAFIQKSNLRPGNLLRFAVRDESVKLVDGKMQIGQLQFVGKPNRARAFADSHSKVLFQYAARHVPLRIEDLVEDAGFHVRISADVVIV >Sspon.07G0011910-3C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7C:48485013:48486123:1 gene:Sspon.07G0011910-3C transcript:Sspon.07G0011910-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFIVSVQHDPEGCLGHLKQYKFKEIRKATNNFSQTNILGEGGYGIVYKGDLDGTTVAVKRLKGRDSVVKDDQFHTEIEVISLAVHRNLLHLTGFCIANNERLLVYPYMPNGTVASKLKECVNGEPTLDWPRRKRIALGASQGLLYLHEQCD >Sspon.01G0031900-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1A:108715622:108715834:-1 gene:Sspon.01G0031900-1A transcript:Sspon.01G0031900-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPPQLPAGEGSWAHEVKQALREKLRRSYGAAATPAARPAGEPSRGTDCRGSAAEDPIRRVMFLAPWGHT >Sspon.02G0034300-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:12109113:12114052:-1 gene:Sspon.02G0034300-1B transcript:Sspon.02G0034300-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATEATLNNSGGSESAMPLLEQLAEVFGKLKSHTEASLQLQNGMQWEDIKGHFLSLDKSYRSKFDELVEKQKALEEKKAEARRLIAEKEANVSTKERASLNQLQELRDAAVSSLAEVRQKYKVELAEILDASGSKDKKVSTSINDNNASRASEENTPASGSGEASEASPVETKPRPVLKQLCEQMDTKGLLKFLSENSRKLASLRDELSVALKCATDPARFVLNSLEGFFPPDQTNSPGSKHNALEVQRKSCIVLMEAIAPALGTTEPGGNDPWSSEIKEQAKAIAEEWKSKLAEVDLDASNGYSLEAQAFLQLLTTFNVDSVLDEDELCKIVVAVSRRKQTAVCCRSLGLNEKMPGIIEELIKRHRQIDAVHFVQAFGLSETFPPAPLLKTYVEELKDTIENNGDATATSLKDDPKSRELLALRAVIKCIEEYKLQKECSLGPLQKRVSELKPKGEKRPSTDAGRTYAKKPRGPGISFPRRPAGSVGSAARRPPFPGFNWQRAPAPMPSRGPAPMPSRAPLPDRYGAADRYHHPPPAPVYEAGAFSSYGEPFSAPKPFQYTPGSVAASYNSSPYKVAYGGPGAPAASTYAGYGGASGPAASSSYANYLGSVYRPPQP >Sspon.06G0012850-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:67637539:67640912:1 gene:Sspon.06G0012850-1A transcript:Sspon.06G0012850-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVVIEREEWALTPLAYPLLSAAALAAVLLLPYFSARTSAHATGSSSPFDVGTAPFLRFRRAFLVLFSLASVVEGIHSVFGEDEFVRCGLGREQMAARLASTTAAALFPGAISGVISDKIGPRRACILYWVLQLAVGVVKSFSVLRCSWINNFILAFASSMFSFCFETWLVLEHEKQDQKQDLLFDTFWLMTFFESVSLVGSQEITNALVSDDNSRFLLPYAFAATLSVVGILYIRNASSTSQSTSQHASAIGSYQKSFFAHVLRDRRVLILVLAQASIHFAVSTFWFLWAPTIVADGRYAQLSVIYPCFLASRMLGSAGFPWFYGTTAPFRNEDSLTIAYIGAGLALSIVAYDYQEIGPLVIVFCIFHACVGFILPSLARLRTMYLPNELRGGMMSFSLSLANAAIFVFLLQGAHQRHFANSTILSLASYGLLGAGGCIHMLRRWRKHTRQNTRSL >Sspon.01G0018760-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1B:75343605:75345659:1 gene:Sspon.01G0018760-2B transcript:Sspon.01G0018760-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQATASLPFLLLLFQLHGLSHAAAPAAGGGGDGQFIYNGFTGAGLDLDGMAVVEPDGKLMLTNVTSQLKGHAFHPAPLRFHDRASASAQNRTARSFSTTFVFAIVSDYVTVSGNGLAFFVAPSKNLSTASPSQFLGLFNSQNNGNATNHVFAVELDTILNPEFRDINSNHVGVDVNGLVSLAAEPAGYYADDTGAFKNLSLFSGDAMQAWVDYDGQATVLNVTLAPAEAPKPKKPLISVAVDLSAVVNDTAYVGLSSSTGPFHTRHYVLGWSFALDGAAPTLDYTVLPRMPRVVTKRRSKPLDVVLPVAMPLLALAVLACVSLLAWRRFRYAELREEWEVEFGPHRFAYKDLFHATDGFDGKRLLGVGGFGRVYKGVLPASKTEVAVKIVSHDATHGMKQFVAEVVSIGRLRHRNVVQLLGYCRRKGELLLVYDYMPNGSLDRWLYDHGTPPLSWEQRLRAIRGVAAGLLYLHEEWEQVVIHRDIKASNVLLDGEMNARLGDFGLARLYERGAGPQSTHVVGTMGYLAPELAHTRRVTPATDVFAFGSFVLEVACGRRPIERGDDDGRFVLVDWVLELWHMGALADAADARLCGEYPAEEAELVLKLGLLCSHPVPAARPSMRQVVQYLDGDAPLPEPPASYQSFTVLAMMQNQGFDSYAASYPSSLATVTSVGAMSSEHSGGR >Sspon.01G0048920-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:110557998:110569324:1 gene:Sspon.01G0048920-1B transcript:Sspon.01G0048920-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFYSFAGRRQRFGPKPESARSSRARARAAPPPAQAQPAVSLLRPRTRRARWRPGRARAPRGGRMPATAAGWRSVAPTNASARALTPPAQHIATPPSALPPHRAQLPFRLRHRTRSPDARPRQLLAGVPAELAVVLRSVETPPPPLLFPHSRKHLVRLLFPVLGHHLPCRSMAGGELPPVSSAAEPPWPVASSISSSSGRANGTSGFASSWGSRRW >Sspon.02G0035180-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:17523798:17530865:-1 gene:Sspon.02G0035180-1B transcript:Sspon.02G0035180-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHESRADYGVARFLIQLAHALPLPPPARLTHSPAPQHPARSVPRPPPAGARPAAAAAPPLGLARCLRLHLPNPREPNRGVARENRSIEGDAGKSSGGTLPAGVSVFLLGAAARTARDRRNQMTNQSIPLANVGPCKRKKKKKARREIAVAKLGLPHRLLVPRSSLVATSPAGRPVAPSPPPRRHLNAPTICVAGLNRPEAAAGLKQVNRKHRRRRLRIQLQPPRERKLRPYRRCQPTTYSFLFPRRHAPQEAKDADLHAASNRRRLPPAPLPALTRRPHLRSEEGNPTVSQIQAKIEAQQAGTSRRRSGRRRFVARNHEQGHQRLRFAEGVIDKFGSEYLRGPTSLDMQRLLQIGEDLAHRGIA >Sspon.04G0005960-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:17842141:17845027:-1 gene:Sspon.04G0005960-2D transcript:Sspon.04G0005960-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GQIVKFGRTLSQIGVSRFDPKVGWQQKLNDQRSLEIYSKIPKKTEYSEPEETENHLQTAKLRSESLSSSEIFYLLSVNVIGAFSGNGTLDMCKDDNGNNMLNLNEEIKKRVEDIRVLLSSGNVQRTRRQKYKTSYANRVTMGPVAEWLKCKLMNIVQKGYIKKREDKRLQTAQINAALSVARLSTVVAGTMGNCSYGSNNLSGMNMHAAITSAAALVAASCAEVAKSAGATREQVSSVINMGLETRALGDLLTLTTSAAACIRGVEGLKMRTNNCSLEGCMNNQKDATLDVRTPTGIIFHEQGEGEEFSYPTDKQSYCAMNLSTSGGSIQLLFEEHEQYNSWRAFISYHINKGRKLLSA >Sspon.01G0038780-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:22352849:22355461:1 gene:Sspon.01G0038780-1P transcript:Sspon.01G0038780-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALECWSGRPSTDEEMVEQVLMKPHGRSDDSLPTCADTAYAGEPASAPAPPKKWQRLGRNFAGAIAAFKNTLSLDGGGLHRDPSPRAEKPPPLLLRGLAQLYSRGAANQQLPEKLVADLRRHFDALPNSYAQAGFDMKDVLLHARLVEQATGEDQPAVNIEEVHGRGSGDEGIVFQLTFACTAPLSWQSMSGSLDSPSFCCKKIQIFEKRGLTLGVVMILVQTGNEALFKNRVESALKSVVKKQRKNSGGVKLPFGLCGCQEEGSRNFDEESMFDPDDGQVLDNEPMRRPQLPTPLPQSSVFVSVDEWQTIRSGGEELGRWMLRSEEIEFIDWVGANSFRGVYRGKKVWVNKLRGCDMGSAYDVEIRQDLLQLMSCGQRNILQFHGICFNENHGLCIVTRMMEGGSVHDILMQRNKRLSLRDTVKIALDVADALAFMNSYGIAYRDLNARKILLDRQGNACLGDMGIVTPCSHVGEVTEYETSGYRWLAPEIIAGDPETVSETWMSNVYSYGMVLWEMITGEEAYSTYSPVQAAVGIAACGLRPEIPRDCPPFLRSLMNRCWDNSPLKRPQFSEIISVLQRQN >Sspon.01G0045130-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:91768281:91769734:-1 gene:Sspon.01G0045130-2D transcript:Sspon.01G0045130-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRLSLARVPAVATTMVVMLSLMSSSLCVSAAARTTGAVAEQQRHPVVLIPGTGGNQLEARLTEDYKPSSLVCRVWPLVRGRGGWFRLWFDPSVLVAPLTRCFAQRMTLSYDADADDYRNAPGVETRVSDFGSTSTLRYLDPNLKLLTGYMNTLASTLEEKAGYEEGRDLFGAPYDFRYGLAGPGHPSQVGSAYLQRLRLLVESACAANGGRPAILVAHSLGGLFALQLLARSTPPWRAAHVQRLVTLSTPWGGSVQEMLTFASGNTLGVPFVDASLIRDEQRTAESNLWLLPTPKVFGNTTLVVSRSHNRSYSAKNMTQFLRDIGFEEGVEPYRARIRPLVEALPEPGVPLTCLVGTGVDTVESLVYGDGGFDEDPEEVVYGDGDGTVNLASLVGPIKAWSDSPAQVVEVVELPKVSHSGMLNDKSALEQIIRIVDSINFNATGYHQSS >Sspon.02G0034760-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:14009600:14014027:-1 gene:Sspon.02G0034760-1B transcript:Sspon.02G0034760-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MWFNSRRKANTDPRSQGSCNRALASAITAATSSSSSACDLGSGWGSRGGDGGFLSWIFSAGMARAHEGKPKARDWDAHGLPVTRTPVTLSRLDGRKRYKVSELNFLDRRARAGASAGEKEKNPLYDDMTTLRPGGVYTWSQLHDELQTMTSSGMFEQVSLQGKPKPDGTLALTVTYAESIWPGAAKRLKFVNVGLMAPLGDGPDDDMTAREKMDYFRRQERDYKHRIRRAKPCILPQSMRQEVLGMVKKQGNLTAGLLRRIRDHVEKWYHDEGFVFAQVQNFDNLDSDEIVLEVAEGDITKVEYQFHDKIDNILGPGHIYNIGAGKQALQRINSLSLFSNIELTPRPDETKQGGIVVNIKLKEHEPKSAQASIRPGGTVSFEHRNISGLNRSLVGSVTSSNLLNPQGDLSFRLEYAHPYLDGVDDRSRNRTFKASCFNSKKLSPVFVAGPNMDEAPPIWIDRVGFKANITENFTRQSKFTYGLVVEEITTRDEDNNICTHGARVLPGGALSMDGPPTTFSGTGVDRMAFLQANITRDNTEFVNGATIGNRFGPRSRHRKQNPFFNRHQLTVTKFINLNKQEKGAGKPPPAVLALHGRYAGCVGDLPSYDAFALGGPHSVRGYGMGELGASRNLLEASNNPLEDLALLNYDTSFFISVHVAAEVRVPITVKNRQTQVYAFAEHGTDLGSSKDVKGNPTEFFRRAGHGSSYGIGVKLGAVRAEYAVDHNAGTGAFFLRFGERF >Sspon.02G0040680-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2C:74404988:74406178:-1 gene:Sspon.02G0040680-2C transcript:Sspon.02G0040680-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPADNADTVQVTVEHMLALNRACEAPFRERLAALLEQQQQHAREEDVACLVADAHLLTLMDVARGLGVPTLALRTGSAACFRWFMAFPMLCDKGYLSAEGVQSPVAESQLDMPVMELPPYRVRDLPSASGATLGLMREVISRAVTAVKTSSGLIINTFDALEADELASLRRDLAVPVFDIGPLHVHSPAASSSLLQQDRGCLDWLDTQGPASVLYVSFGSLASMSAADLVETAWGIANSGRPFLWVLRPGLVRGAPPTQQPPPRPDGFDAETRGRGTVVSWAPQEEVLAHPAVGSFWTHCGWNSTLEGVCAGVPMLCRPCFGDQMGNARYVDHVWRTGVTLGGELERGKVEAAISTMMGSGESETGTELRGRARELSRRAAESVAKAGSSDLNVDML >Sspon.07G0015340-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7A:55064963:55066011:1 gene:Sspon.07G0015340-1A transcript:Sspon.07G0015340-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAINENFVLGIAYATELPPRVEPSPPRVVPPPTHYAKIAQRRHLNSDSELKRVTNKNTSDAEVTAAHLARSRQPPPPAPASGSPHPDTCSPGQYCPVSQHLKLRQLQPPTSSSGHRHRSPRHLQLRPGLCARRCSSTSHARPLPPLTGNVGMHCLSAAAPTPASTRS >Sspon.08G0006550-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:9367468:9369486:-1 gene:Sspon.08G0006550-3C transcript:Sspon.08G0006550-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYSSSEDEELVGDFIDAEDNTGTENIDQGTGVMASQIHGDDPSVGSMPPVIGNELLMAADIVGKIDEPRMGMEFESDATARAFYNAYALRFGFGIRVARSRSERRKGVEVLVMKRFVCLKEGHHKKKPVEPSNKKKRKRLSIRDGCPAMMEVVRRGPDRWVITKLVLEHTHVIVSADRAREVQLRRLSGKCVNGCDTVAVFDMFWGSILDKYGLRDDNWLQSLYEIRDKWVPAYLTSSFFAELSLTHRVETVSRFYRNNFSSRVSLNTFISRFDQYIDGLYASEAQKDITSFSPEQFLKTDTDLEKQARSIYTRAAFETFQVELVEAMQYYAVKVQDGSYMKYFVERDGDPPTRHTVFYNVAEKKAWCECCRFAFSAILCRHVLRVFLLAGVIMLPEPCITKRWTKKAKTGPELFGLNVGNGSGSADSVASRYTDLVRDAMKCAEKGAVSAGSFRVAKEVLCKAFMEIRGLGEKLNKDALHSASSR >Sspon.03G0009970-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:40457435:40459804:-1 gene:Sspon.03G0009970-3C transcript:Sspon.03G0009970-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARFLSLIILPVLTILPFSYASPQLMLSTGSSLLVEEYRQTFLVSPNGDFSCGFYEVGRNAFSFSIWFTNTVDKTIVWSADPKSPVNGRGSMVLLNHDGNLVLVDVNGTVTWDSKTSSGKGTTLVLLDIGNLVIKDANGKILWGSFSSPTDTLLPFQPLTKGTRLVSGYNSLYFDNDNVLRLMYDGPEISSIYWPSADYTVFQNGRTNYNSSRIAVLDAEGYFRSSDLLKVKSSDWGTVTKRRLTIDYDGNLRMYSLNASSGRWIVTWEAIAKMCNVHGLCGQNGICKYSPSLHCSCPPGYEMTDQQNWKKGCRPKFIKSCNRSYKVEDFEFIKLPHTDFYGFDRTYNQSISLEECKKICLEICSCSGFTYKTGPGLCFTKVILFNGYSYPSFRGDNYIKLPKKLGISTSIVSRKSHLTCNRNIPEIVEGSSSMYGMNSVDKSWTTYYVFAAILGALVLLFIGTGWWFLSSKQNIPKSMEEGYMMVTNQFRMFTLRELREATGKFKEEIGRGGSGIVYRGVLEDKRVVAVKKLTNFSYNQEELWAEMSIIGRINHMNLVRMWGFCSEDHHKLLVYEYVENESLDRYLFGNVSSERLIAWSQRFKIALGTARGLAYLHHECLEWVIHCDVKPENILLTRDFEAKIADFGLAKLSKRGSSSLKLTHMRGTMGYMAPEWALNLPINAKVDVYSYGVVLLEILTGTRISSGITVDGMEIELRQFVQVLKQFLESGDVKDIVDHRLQGHYNPEQAMVMLQVAIACLEERNSRPTMNDVVKVLLACADQDDHPAYS >Sspon.08G0009440-3D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8D:64470542:64481518:1 gene:Sspon.08G0009440-3D transcript:Sspon.08G0009440-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFTSGSTGKPKGVCGTEKGVPFCGVDLHNACHSSSQHRIDIVFTPYSFILGLPDIKDDFGTKEAGCCRPALTGGRRPRPAQAAARLDGGRSATSPVGAGELRLLVPLPLSCSRVWNLPRPRSLPPALPLTDNGLADEGEISVSGACLFTGYLADPLTSNCPEGSEILAYYRTGDFARRLKTGELIFLGRKDRIVKIYGQRFSLEEVESTLREHPDVSDAAVTFQSNGSLDFKAYLVLKSNDQFPKCTQGYSLNSSKDVIAPLRNLLITKLPLAMVPRLFIPMKSLPLTLSGKIDYVKLSSLDCALEPCEIEPESSPVDPHMHVIKKAFSDALLLDEVSEYDDFFALGGNSITAAHVAHKLEIDMRLLYIYTTPSKLFNALLGERSHVVPPTPEFHNRKRLRKSASISDSFDPVSAYKDNNFHGQGQISKEGAYNQFAGTHVNETDGQLNKSMTYDAYRAKNLCPDTCSNDEISSGSPWILNFDLQKKWSIGRCNRFMHGYERMLQLEDMFSYVPFNKKGFLMKLWSILLDSCVDASPLLVISNGMMSIFIGSHSHLFLCIDGYRCGSYDHYLYALNYKDRCCTYKISCGGSIYGSPAIDMEPSFRVLWQYEAGAPIFGSLAIDHQSGKVICCLVNGLVMALNSQGSIVWKATVGGPIFAGACLSPTLPHQVLIPSRDGNLYSFDTVSGALLWIYKAGDPITASAFVDELLTSESSRPSERFACICTSSGKVHVIRIRADAKYNQEQTGEGVKSEELVQGVASIDLPGDIFSSPLMHLHSPRVEPLPLSCKAETKKGGWGFIVRNSHGEFLDGGAGNIVRAACPLHAEALAALWSLQRAAQMGMMKVMLETDASVLGRALKSKELDRSAYGALFMQIRELIIMVTNFV >Sspon.04G0002880-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:36015633:36019077:-1 gene:Sspon.04G0002880-1P transcript:Sspon.04G0002880-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAGGCTVQQSLTAEAAAVVKQAVSLARRRGNAQVTPLHVASAMLAAPAGLLRAACLRSHSHPLQCKALELCFNVALNRLPASAAVASGGEHGGSLCWLLGFGTYQAYMRCRVGQPSLESLWGLQTLTVPAGSLALSLTCAFDDSALGTVNQSMKAGSDTDGNGPASCWPLLGGTQLISRSCADCSAARIDTKAALPRPSSRRPPSLPGSSIAVIIRYTNEPATTHLTDLGKTWSSICSRPSQRMTLHFSAPVSPASSISSYEHGGDHHQPQQQQQPLHSSWLLAGLDAAAHHPWRPKRETSGKATSRSHDSGGSNGSVEVECRAKAKFKELNAENLKMLCGALEKEVPWQKEIVPEIASAVLQCRSGIAKRRDKSRSADAKEETWMFFLGGDADGKERVARELASLVFGSRNSFVSIRPGGASSPPPPAASSGSSEGHHLSKRPRMAYLERLHEAVSENPHRVIFMEDVEQADRDCQLGIKEAIESGVVRNHAGEEVGMGDAIVILSCESFDAASGSRTCSPPSKKVKVEIEEGKEERTGDHEHNEDGASCRHHLASI >Sspon.07G0026690-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7C:55304661:55304969:1 gene:Sspon.07G0026690-2C transcript:Sspon.07G0026690-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQNSKVAQRENAALMAENGQAILKMSCFTCGGATVPTVLPTRNRRLLMENGRLRGEYIRATALLNQILLSAPPAERPPVVACVGEGASRAHRSGRLLRYLY >Sspon.07G0011250-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7C:44594467:44594998:1 gene:Sspon.07G0011250-2C transcript:Sspon.07G0011250-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VRVNGVPCKDPATVTPDDFFFAGVDHPGGTASRRYGFTALPVQIPGLNTLGASHARVDVAPGAVFPPHYHPRASETAVVLDGTVYFGFVTSYPDNKLFAKVLRKGDVFAVPQGLVHFLYNNGTAPAALYATLSSQKPGLVLLGDALFGSGLADDLLAKTFLTDRETVDKIGLKFRS >Sspon.03G0023100-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:89184842:89187714:-1 gene:Sspon.03G0023100-3C transcript:Sspon.03G0023100-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAATMTVATHHPRARAPLRVCAAWDMNPAAATVATPKPSKAKAKPPPPTTTTPARPPPLTHADLFARSSEGQGVVKKSTYMGYEKWWLSPPPEVKKPRSLYSAASLAYLGDCIYELYARRHFFFPPLSINEYNKRVMDVVKCESQDLLLNKLLGEDFLTEEERDILRWGRNIVSSKTRTRKRAGIAVYNRASSLETLIGYLYLTNFKRLEQLMFQLGFTSGASSQHIADELRSSFQKTTPASAAPPQPATK >Sspon.02G0041280-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2B:75085142:75086233:1 gene:Sspon.02G0041280-1B transcript:Sspon.02G0041280-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATGLSVGKSVLNGALNYAKSAIAQEVALQLGVQRDQAFIRDELEMMLSFLMAAHEERDNHKVVKTWVKQVRDVAYDVEDCLQDQGVRLGKPSRWCFLRTLVDRHRVATWMKELRAKVEDVSQRNVRYRLIKDTNGPKSDTGAGSSSIPSATMFGIEEARRHKDKAKVDLSRLIDEGNEDLRVIAVWGTSGVLGQSVLIKRVYDDLKRSKKFELFAWITIVHPFNPPEFLQCIMRQFYPTTFEEAGKTQEKTNIGAQLLKKMSMMKQDDLVDAFCECVNEKSYLIVLYDMSTIEEWDVIKEYFPVNKKGSRIIVSTEHGEVASLCTGQESIVSELNQPSVDQCIFASHNKVISIVLWRLYKI >Sspon.06G0007270-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:29228919:29233664:1 gene:Sspon.06G0007270-1P transcript:Sspon.06G0007270-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMKHTSLLQYLLFLLTTLASCDDQFLFSGFTQSSLNLDGCATVTNNGLLDLTNGTEILNGHAFYPNPLHFRKSPDGKVQSFSVNVVFSIFITYPDLSADGMAFFIAPTKNFSDARAAKYFALLNEKSNGDPSKHIFMVELDTYKNVELQDINDNHIGININSVISLNSSTAGFYEDDGGTFRNLTLNGNQGTQLWVDYDEGTTQINVTLAPTNMAKPSRPLLSATCDLSTVLSNSTSYIGFSSVATPINTRQYVMGWSFGLNKPAPPLDVSKLPKLPSLGPKAQSKVLAIVLPIATATLILSIGTIVVLIVRRRLKYAEVREDWESEFGPHRFSYKDLFHATGGFKNKHLLGEGGKASPHTDVFAFGMFLLEVACGQRPVKRNAEGNEFFLVDWVLEHWNNGLLTKTMDSSLQGDYNINEAHLVLKLGLLCSHPLPSSRPRMREVMQYLDGDMPLPELRPTELSSNMVALMENSGLNSAVMSYPQISSSFCTELPAAPGLLQHGHDVPHRRPLLRAPAGAQQRHPQRQRHLVPRRRLAAGLLLVRRPRRRVHDIAGAATGDLAPHPVHDVAPLAVLRLHGPPAGQDLQQHHAEAVHVALLVYLQSVRVLWCDVAVGAGDDGHGRGGLELLGDAEVGHARLHVGVEQDVAGLEVAVRHGRHAVVVQVAHALRHAHGDPVP >Sspon.08G0022340-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:50987461:50993407:1 gene:Sspon.08G0022340-3D transcript:Sspon.08G0022340-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQCSNLSGLNLEGEISPAVGSLKSLVSIDLKSNGLYGQIPDEIGDCSSLRTLDFSFNNLDGDIPFSISKLKHLENLILKNNQLIGAIPSTLSQLPNLKIMDLAQNKLTGEIPRLIYWNELPTNLISDVKNNSLTGVIPDTIGNCTSFQVLDLSYNRFTGPIPFNIGFLQVATLENDVITCLIYISLPAKMHVPFIRSLQGNKFTGPIPSVIGLMQALAVLELNDNQLTGSIPPELGRLTGLFDLNLANTTLKDQFQTTKFMCESQQLFTGHVLCCKYLYDMLILFNSNAYGNKLNGTIPRSLRKLESMTYLNLSSNFISNPGLCGYWLGSSCRSTGHREKPPISKAAIIGVAVGGLVILLMILVAVCRPHRPPAFKDVTVSKPVSNAPPKLVILHMNMALHVYDDIMSMTENLSEKYIIGYGASSTVYKCVLKNCKPVAIKKLYAHYPHSLKEFETELETVGSIKHRNLVSLQGYSLSPVGNLLFYDYMESGSLWDVLHEGSSKKKKLDWETRLRIALGAAQGLAYLHHDCSPRIIHRDVKSKNILLDKDYEAHLTDFGIAKSLCVSKTHTSTYVMGTIGYIDPEYARTSRLNEKSDLWHCLLELLTGKKPVDNECNLHHLILSKTASNEVMDTVDPDIGETCKDLGEVKKLFQLALLCTKRQPSDRPTMHEVVRVLDCLVNPDPPPKPSAHQLGQLPQPSPAVPSYINEYVSLRGTGALSCANSSSTSDAELFLKFGEAISQNME >Sspon.02G0014030-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:15471734:15472153:-1 gene:Sspon.02G0014030-2P transcript:Sspon.02G0014030-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGGLSKLRCMIKRWHSSSRIVARAPSPSAHDDGAISGDARPRGASFHGADEVPKGLHPVYVGKSCRRYLIAEELVGHPLFQTLVHRTGGGGATGGAAAAGTVVVGCEVVLFEHLLWMLENADPQPESLDELVDYYAC >Sspon.05G0025090-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:28339665:28344843:-1 gene:Sspon.05G0025090-3D transcript:Sspon.05G0025090-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMVRKRLVYRSRGRRITTMPRVPNSARGKRSTRRKKDENDMCAFDLLATVAGNLLADQDNSSNVPNTNAAKAKKRKSVKEEHSDKILPLKDVAMEKDVGSGSVSTCPRQANNCLAENSSTRNEAESILESLTMKSNMLAKIPECGSYGIHHPGPSSSVEPEQVQQAEPKVIRRQADGHAVACGIFDSVDVDGKPPALVSSDSSSCVPLSSHDKEHQTSSLYRGEVQYTADRDDDENSSGCTHPSTIENKGCGENKGCKPQYLGNHRIRKLLASKVRKAARNKICGGIPSKKICGGLSNKGSKLNLCGKKTSTTRQKVQRTIFKKKKLAHHTTSFAKEMLTEASGTSFATGGQNKSCESENYHVKLRIKSFNIPELFIKVPENATIGSLKVAIGAAYGNRTNLSISTIYNSIYTLVGQEIQRRAATRASRKAQAQTSHIRLSNSSAVVYALVFFFKERISKTTIRHFVKLGYAMIKN >Sspon.06G0018830-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:103426857:103429448:-1 gene:Sspon.06G0018830-1A transcript:Sspon.06G0018830-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTLSVREAVYYSAQLQLPDTMPLPEKRAHAERVIREMGLADAMDTRIGGRITKGISGGQRKRLSICIEMLTRPRLLFLDEPTSGLDSAASYHVMSHIARVAARDGMTVVAAVHQPSGDVFELFHGLCLLAAGRTVFFGTTSDATEDGASFRRREQASFATKLLVLTRRSFLNMHRDIGYYWMRLAIYMGIGVCLGTIFFQVGYGYSSIQSRCEVIMYTVALLTFMAIGGFPSFVEDVKVFRKERLSGHYGVSEFVISNTISATPYLSVIAVLPGAMLYYLTGLTKGVDHFIYFVIVVCMCCLLVESMMMVIAAIVPDFLMGIIIGAGVQGVMMLNGGFFRLPNELPKPIWKYPCYYMSFHKYAVQGLYKNEFMGLSFPSDQLIESNVTISGIQVLKDKLQVELGYSKWVNLAILCGMIVIYRMMFFAIVKITEEIRQKMGGKRGVLDSSCLHLYMSSISPILV >Sspon.08G0016870-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:66091085:66092229:1 gene:Sspon.08G0016870-1A transcript:Sspon.08G0016870-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRPALACCKLYISEARNSGALRAIERAAAGLRPAAVLVNAFADDDYNRVGYTLVSPLAGDGAASPPPLHRAAFRVVAAALEAVDFGAHAGAHPRLGVVDHVAFHPLAGARLEDVTALTRAVAADIGDKLQVPTYLYGAAHKDGRTLASIRRQLGYFTPTSPGGSQWRGAPDAWPSSSPLPVAPDAGPVTPSRSKGVVVLGATAWVDNYNVPVRTADVGAARRIARAVSERGGGLASVQAMGLAHGDGATEVACNLLDPATVGAEQVQLRVRRLASAMGVGVGEGYFTDFSQEKVVELYLQQAAQAEASQQ >Sspon.01G0039380-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:34417734:34420186:1 gene:Sspon.01G0039380-1B transcript:Sspon.01G0039380-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:50S ribosomal protein L6, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G05190) UniProtKB/Swiss-Prot;Acc:O23049] KLAQYANFIGALTFSEITSNSRTGFLGKTHGIRPRVSPPGRVGFVRTVVECKESRIGKRPIEVPSNVTLTLEEQFVKAKGPLGELSLSFPGDVKIVKEESGKLRVYKTAETKRANQMHGLFRTLTDNIIVGVSKGFDKKLQLVGVGYRAAVEGNDLVMNLGFSHPVRMAIPEGLAVKVEENTRIIVSGYDKSAIGQFAATIKKWRPPEPYKGKGIRYMDEVVRRKEGKAGKKK >Sspon.06G0016720-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:90929174:90933919:-1 gene:Sspon.06G0016720-1A transcript:Sspon.06G0016720-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIAQGTRKRNRASDGGGESSTGGPDRLSALPDCLLHVIMSSLKARQVVQTCVLSTRWRHLWRSVPCLDIDIVEFRTKAAAPASSSGGSNSSSDSNGSDSDSGSNLDKGKGWDEFEDFAVNLMLRCNIALLDSFRLDIDRGWRPQTYVCSDSAIQGWEYSGRQAAAWLRRAMKYCTPGPGHAISCQRQGLNLSPSSWRLRRLHLCHVPLDDRFAEHLSSVCCTLEDLELDHCTCEIRSIASDSLKNLVLKSCSWGGFLSDIASPTLKTLVIDGGSNWYGNLLAISAPMVAYLRLDVDGVGFRRGISINEMPYLDRASIHLRHHKDSFLSKSMCGSKLGGDQSELLCSVSNVTSFELLGVGTMVLGKEHTFLEFQNLRNLLLDDCDLSDDFHILRFFHRGSPNLEKVTLRHCKFPGDSEDKEGTHKLDKTSSSGCCCGLDFLRDANVELEIIHKDGDACRSAGELVRHLPNLKGTTDAVPDAAAAPAEHPVPHSTGVGPRRGTRRRMTSVRISGPEWEWPIRKQAYARGGGGGGGGSGRTSAAGAPDLLSDLPDCLLHTIMSFLKARQVVQTCVLSKRRSHLWRSVPCLNVDVAEFKTKTTVAPRCSYDNVDEFKTTTAAPGCSCDKAMNWKEWGKFVDFAVNLVQRCNIALLDSLRLHGHGDRTPLLFLRLGKLSEIAASPTLKTLAIYGGLNDPLVIFAPAVAYLHLAVKLGGFTAVSGGWYAFTASANVPFYFIHSNNAADLYVSNVLQVLGKEPTSKEFQNLKNLLLSHCDLSDDCILDFFLRRSPNLERLTLRHCKVYFSLVFSFL >Sspon.07G0029770-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7C:68715175:68717409:1 gene:Sspon.07G0029770-2C transcript:Sspon.07G0029770-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding KDYTDFAGLCFERFGDKVNNWLTFNEPHTFSCLSYGTGILAPGRCSPRLKCPDPNGNSIKEPYLVGHNILLAHAETVHLYNRFHRTGNAWVYMYPNGLKDILMVMKKKYGNPPVYITENGMGDIDKGDLSMEAALDDHTRLDYLQRHISVLKDSIDCGVDEHGHFTWSLLDNFEWSSGYTERFGIVYVDRENGCKRTMKRSAKWLREFNRAAKRTGKNIVMPELRTLAHVYP >Sspon.05G0016130-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:65604107:65605599:1 gene:Sspon.05G0016130-1A transcript:Sspon.05G0016130-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQASNVVRPSDAELLQAQADLLRVSLSYLTPMSLRCAMELGIPTAIHRHGGAASPADLITALSLPSAKLPFLRRLLRLLAMAGVFTVDKTTAEERYCINPVSYLLVDGIPDEVHINHTSLVLTCTSTRYLEAAIGLADWFKKDVVTPPFEELHGAALFHESMESLDPDYHNMANEALDAHDNFGIEIALREFRDLFEGIQSMTYCCGTGGDDKTARLIVKAFPHIKCTVLAPPKMIANRPDDGVMINYVEGDMFNFIPSAQTVVLKLVLHHWTDEDCVKILAQCRKSIPSREDGGKVIIGDIVIDYSGPMLETHLLMDIGMMTMTKGRQRDENEFRELFMKAGFSDYKILKEFGARAAFEVYP >Sspon.01G0012510-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:33956830:33957219:1 gene:Sspon.01G0012510-1A transcript:Sspon.01G0012510-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKVKQIVGSTLWDSSNDGMELVTNFESDKSPAEFAKLYKEDELLGGHVIMLGADPASQAAALEALHAYP >Sspon.08G0028370-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:10465707:10470309:-1 gene:Sspon.08G0028370-1D transcript:Sspon.08G0028370-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPRRRSRGERKKGDRRQGGTSAGQGREATAGEEVGHQVRRHGRGRGGAATSEEEQGDPSVGGEQGHILPHSSDPWIELSPREKSIALKALEVSPKADGVAFDVLVINPHQLVTISREVQLIPKGLSFPLANHDALLPAKSSPQAIDDGHPVHHSAEYTSH >Sspon.04G0021760-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:1530175:1532361:-1 gene:Sspon.04G0021760-2C transcript:Sspon.04G0021760-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQPSCCPACPTLAQQPPTLPPSWTRARASLSPPSAGPRCPSPPRSASASASAAATPSWSSRATRSCSRRYSSASSPPAKVVAAVDPDATPAEVAAAARDSGAVMVVAAPEVAAKLAPAAVGLPPLLLTSRSPENPAALSAEELIDGSDPVDPAAAAAEAVAVSDSDVAFLAYPSATTTAAAVTMTHADLVTAMARVADGGGRRVCLASLPMCSAHGLPLLALGLPAAGATTVLLPPPSSQSDPRAAREAVAAHAATDFVATPEEVAAALAAPVPLDGKLSSLRRVIVAPTPLTPGAREEFRRRLPWVQLTQLLSGTPENQTELLSLPPDVITPVIQQNSLPADPMSATNDAASLVPPPKKINKIISGGIFSRSTMSKILRKHPVTGNRQAASKL >Sspon.08G0000570-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:528281:530154:-1 gene:Sspon.08G0000570-3D transcript:Sspon.08G0000570-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPPPSPSPSPRRRATTRALLRLLFLVALSLAALLAFVTSTPSSASSSPARHGLQLLPPRRHRQTLTTLRAVRKDEDEPDRPTSPVAPRAVDQGQHGSYSSDLDAVLLPDWEVLVLLRPDDDDAPSGNATCAFPGGARSPARPLGRMPASGRRAYTCAMPRPERRHSRPFRAPLLVVVDTLSSSAAGDMDAAPAAAAARSSTPEMMRWSGRLVYDAAALAGGGDGVLVFAKGVNPRQGVNRDAADVRCIYYRRSAATGNGDRDVVASFPAATSAQHPRRSSSASRSPWLVSGEDPIPSVATYNPPPPQDAETAQTATKKKLVCACTMVRDVAKFLREWVVYHAAVGVDRFYLYDNGSGDDLEDQVRQLSVEGFHISTHSWPWPKTQEAGFSYAAVAHRDSCEWMAFVDVDEFIFSLDWAGSGEPTKSMLRSVVTAVEPDVGQVTLGCKDFGPSGRTKHPKEGVTQGYMCRRRAEERHKSLVKLDSVAPSLMNSVHHFKLRPEFKWERSRDARVNHYKYQAWDEFKVKFRRRVSTYVADWTDRVNHGSKDRTPGLGFEAVEPAGWPHRFCEVEDTQLRDVTRRWFGVGFTNKLAHGPVGGTTHSSS >Sspon.08G0009610-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:36115006:36117655:-1 gene:Sspon.08G0009610-2B transcript:Sspon.08G0009610-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRSASRRRPKQESNDAPPMKPALKTARAKEMKSKPASVGAGAGADIAGLSLEPDARLALYIAMAHAGLATALLVLYGLYLLLADFLRPLQWALLCSVPLRETQRALVAFWEPPLRGGLSAAVLALPLAALRSSTATLADARAALLRRPLPVSPAFPRLLRWLVSFFFFLVLFERLGAATALLLLLLALAFFAASPKLKRAASSRISSRPPSSRGLLLTGGILRHLKTLVALGLMLGMIAGFITGSIFFSYKIGLEGKDAVMSLKSHVEKSNYSEKIGLKKWMDDNDIPGLVDQYSAKIYDTVWEQVDQLAVQYNLTDFTSGFRHFLISQSVDPKSKALISSRPHPYSMKLQSIATHVKNREWVDIYRELDSFFRELLITREDLVVKAKELALQGTEIAKRLLSSSTSVLGGSANLMLSIALRIVSGAAEVVNFLSQLMVFLWVLYYLITVEGGGATEQIIDLLPVSKQVKDRCVEVIDHAISSVLLATAKIAIFQGGLTWLLFKFFKVHFVYTSTVLGFISALVPILPFWLSSIFATGELLMEGRYVLGLVVTVIHLMLMDYGTTTILEDIPGYNGYLTGLSIIGGMTLFPNALEGAILGPLIMTVVIALKNLYTEFVLADGEEASS >Sspon.02G0007670-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:17019998:17024086:1 gene:Sspon.02G0007670-3D transcript:Sspon.02G0007670-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAMELEILGMNFGCVLAALADAKIPDKDCMLPLISKLLGYAIVAASTTVKLPQILKILKHGSVRGLSVASFELEVVGYTIALAYCIHKGLPFSAYGELAFLLIQAIILVAIIYYYSPPMGTKTWMKALLYCGLAPTVLAGKIDPALFEVLYASQHAIFFFARVPQIWKNFTNKGTGELSFLTCFMNFAGSIVRVFTSIQEKTPLSVIMGSAIGIIMNGTLLGQILLYQKTAPKKQKKED >Sspon.04G0022300-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:9572325:9573364:-1 gene:Sspon.04G0022300-3D transcript:Sspon.04G0022300-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MYPSAPPDAYNKFSSSGAPPTAPPPAAYQQQHGANMNPSRPGGGLRKWSTGLFHCMDDPGNSRVHSSPVAIQAQTCVSSHACAPASRSGRSLTSWTKAPAVSRSLLSLSFLCFFSPDEVLKQLEGLADSPPQNSDLAACLASGLIYGLICASTGMGCLYSCLYRSKLRAEYDVDEGECPDFLVHCCCEHLALCQEYRELKNRGFDLGIGWEANMDRQRRGVTGGTVMGAPAIPLGMIR >Sspon.06G0021490-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:16152826:16154429:-1 gene:Sspon.06G0021490-1P transcript:Sspon.06G0021490-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDDWQGFTGSDQAQLNSSSTGAEMISVPFEQHDGSVSVQSWANASSNDAAKTSSNIESDTFDVWQDFAKSGHLQENMSSLGRELSSVSPEPAKENDSLDLWLTSDFKESKSSDVVGKTNASSDGWQDFASFDQAQRSTKIPVEGHLVKDPSGAETMDLRVSSHANENNLEQINENNDLFDDWQDFQNSRPQQTTLQVSSDASLFDIASASRPDAFGGLEFGSVLQLASSENLKDKKEASNEAKAFPSGDHLKSRNGMQQMGDVDSLSSLWPTNKPEPANTNVEQLLAQMHDLSFMLKDELSVPDKPIDHSKP >Sspon.04G0024410-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:22670820:22674300:-1 gene:Sspon.04G0024410-2C transcript:Sspon.04G0024410-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAGFQLGVIGSLTLSVASSVAIVICNKALISTLGFPFATTLTSWHLMVTFCTLHVAQRLRFFEPKAIDGQTVVLFGLLNGTSIGLLNLSLGFNSIGFYQMTKLAIIPFTVLLETIFLKKRFSETIKFSLLVLLLGVGIASVTDLKLNCLGSVLSGLAIAPLAFVDHLLTGRSVFATNTLSVVSFIILSCLIAVSVNFSTFLVIGTTSPVTYQVLGHLKTCLVLSFGYTLLHDPFTARNILGILVAIFGMALYSVFSVREGKKKSAGDALPVSQLRTAVIDQPSQFTPIYQAITYFAGGALL >Sspon.02G0004750-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:14636138:14642248:1 gene:Sspon.02G0004750-1P transcript:Sspon.02G0004750-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRAAALVVVLWAGVASAAVYEVGDKTGWTVMGNPDYAAWASSKTFHRGDTVVFTYNKQFHDVLAVSKADYKNCDASKPTATWSTGNDSVVLNTTGHHYFLCGFTGHCAAGQKVDIRVASSSAAPSGSPTAAPSPTPSGSKPSGGATAAPSPHPNAAPKALSASSVAASLISLAAA >Sspon.04G0027920-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4C:64412642:64413550:-1 gene:Sspon.04G0027920-2C transcript:Sspon.04G0027920-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEERVGCTSNAATSCDDSATGRAPSSAAAAAQCSTVSVFLAKINGAARLVTAVWSKSLINQSFTISIDRPGAGAGDGDGPVTHKVELKPWPFWSKKGGKALDVGGGDRVDMFWDLRSAKFAAGSSPEPAGGYYVALVSNDEVVLLLGDCKKDAYKRTQARPSLEDAVLVCRRESVFGRRSFAARARLDARRSKEHEIVIEYALAAAGSNRDPEMWITVDGFVLLHVKSLQWKFRGNETLLVDQAPVQVIWDVHDWLFAGPGTQAVFVFKPGAPPEIQEDSGGNGIQGEGTDFCFFLQAWRTE >Sspon.02G0038740-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2B:44421566:44422026:-1 gene:Sspon.02G0038740-1B transcript:Sspon.02G0038740-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GVHAVLGVYVNKEGREKGIKQQKPTHPWQLCHWWPMQTSACSAAAVTDLEREWQLRLIWNGGAAVEIPLEGQGSNGGERLMAGIERALRAPEVIDCLIHYGRGGRAAWWVGPVSFPFSFPFSPIFLPSFSAVSLVLLDWYGITSPCRCLGIA >Sspon.02G0045570-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:89199445:89203049:1 gene:Sspon.02G0045570-2D transcript:Sspon.02G0045570-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIAISAARWAVGKALGPVSDGLLESWAASSKLAPNIRALKLQLLYAQGMLDNTRGRDVRSPALGQLLLELRNQAYDADDVLDELEYFRLQDELEGTYETTDSDTRGLVGGLVLNARHTAGAVVSKLKLPSCSCASVVCQHHRKPKLKFDRVAMSKRMVEIVEQLKPLCAMVSTILDLELQGTIASTGTTALQGIAFTQSTRTTTPQIIEPKLYGRDKVKMDVIDGITSKYRDNDGLTVLSIVGQGGLGKTTLTQHIYEEVNSHFQVLVWVCVSQNFSASRLAQEIVKQIPKLDNEMGNESAEDLIEKRLHSKQFLLVLDDMWTDHEDEWKKLLAPFKKVQTKGSMVIVTTRIPKVAQMVTTLGCPIRLERLSDEECMRFFQECVFGDQQIWEGHTDLHGVGSDIVKKLKGFPLAVKTVGRLLKIEVTLEHWRRVLESKEWEYQASEDDIMPALKLSYNYLPFHLQQCFSHCALFPEDYEFGREELIHLWIGLGPNDRNKRIEDIGLGYLSDLVSHGFFQEEKKEDGCTYYVIHDLLHDLARNVSAHECLSIQGSNVWTIQIPASICHMSIIINNSDVQDKTTFENCKRGLDTLGKRLKAGNLRTLMLFGDHHGSFCKLFGDMFKEAKALRVIFLSGASYDVEVLLPSFSQLVHLRYLRIKGYVLNESSLVGSISRCYNLLVLDVKQCNYFSSTREFSSLVKIRHFLVPYDSCHSKIFEVGKLKSIQELRSFEVKREKHGFELNQLGQLLQLQGSLEIHNLEKVEATTEVEETKLVHMHHLNRLTLQWDQNQSNRDPEKEQDVLEGLKPHNNLQEVCIRGHGGHTYPTWLCSDHSTKNLECLCLDGVAWKTLPPLLGELLMVGEEHPSVPGQTFQNLKILELVNIATLKKWSADSPFSKLEVLTVKNCSVLIELPFPHMFPNLQKIYISNCEELVSVPPIPWSSSLSEAKLWNVGKSIENLDYRKKEQKMGVYFKKDALGHELWNVLVFSNLSEIKEFEISGCPPVPLHHLQLLNSLKILKIRDCSSVLWPAEGENDSPFEFPVEQLEISDWGATGKELTQLISYFPNLSTLRLVLCDNKQAGGAEEIEAAAGGQLPLPLQLKELLQNQSSLRSLTIWNCPMLLSSNSLPSFCCPFPTTLRYLNLGGAKDGMLTLAPLTNLTKLVLDNCGGVRSEDLWHLLAQGRLKELVIWGGAQLT >Sspon.01G0032020-1P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1B:105657986:105658630:1 gene:Sspon.01G0032020-1P transcript:Sspon.01G0032020-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDDGRDYRRRFACVVCTGVATIAAVAVTVVLVLAYGRAAQPPSFDVSDASLTHFTLSTSANGPTNISYNLTLTVTVRNPNWAMGATFRSLEVDYLFDGQRFGRVAVVKAPGSVVLRAGDTAVFPVVAGERVMNLMNGNGSVVAYRNQSMAGAFDVLVGFCGQVTFKPHAMWCRLEVSCPLRLKLPAHDADGGTSAGAVWNATACEVLSPQGGC >Sspon.02G0040990-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:73595548:73599552:1 gene:Sspon.02G0040990-1B transcript:Sspon.02G0040990-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eukaryotic translation initiation factor 3 subunit E [Source:Projected from Arabidopsis thaliana (AT3G57290) UniProtKB/TrEMBL;Acc:A0A178VL03] MAEHDLTALMAAQLDRHLVFPLLEFLQERQLYSEAEILEAKIRLLSGTNMVDYAMDIHKSLHGTDDVPEDMVKRRAEVVSRLRSLEEAAAPLVAFLQNPQLVQELRPDKQYNIHMLQERYQNFSSPLNQLQNRIWLMHWALFIFFNHENGRNGIIDLFFQDRYLNAIQTNAHHLLRYLATAVVVNKRRRNMLKELIKVIQQEQHSYKDPITEFLECLYVNYDFDGAQQKLMECEQVILNDPFLGKRIEEGNFVTVPLRDEFLENARLFIFETYCRIHRCIDISMLSQKLNMSYDEAELWIMNLVRSSKLDARIDSVSGTLIMTTNHVNVHEQIIENLKGLNMRTYMLAKNIVEPAQAAQQATR >Sspon.08G0000280-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:1481690:1485338:-1 gene:Sspon.08G0000280-2P transcript:Sspon.08G0000280-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding EDMGAEEDAAARRERLCALRAAKELLSTPDGPPTPATDGDIRNGNHEMAEEPALPGPQDAPDKAAKEDGSPTEEAKEVEECSELPAMKFRNYLPHDEQLRGGKLAPVSLPKFEDPISAETAEPKEMQNPFGNIAPKNPNWDLKRDVQKRIDKLERRTQKALAENSVGRSRDLRDGYIYLASASSLPPILLPRSITRARRTPRFLFFASPSSPAEGDVCSSTRPALSSPLLLSGGTLSCSLVASSFDCRGAPLAETSQVCQLVDASACSGPSTPMFVLFHDPLVILLEGGSGLDLFFAMALDAKASPGISLVVSAARLVLVSVGSWFSHIKGAILRGTQSSVSDIIQEIGKLSQLGITK >Sspon.08G0013000-3C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6C:46388664:46389614:-1 gene:Sspon.08G0013000-3C transcript:Sspon.08G0013000-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANNNPWRGPLPPLLNARAGFGDTSLFSTSLPVLSHEKLIFSDSAHGTPSMDDTSAKMKLLADDPDEKDYKFDFDLRQIDDLLPDEDEFFAGITDDAEPVGQTNTTEELEEFDVFGNGGGMELDIDPVESITVSFANSSIVDGARGNGINPFGVPSTVGTVAGEHPFGEHPSKTLFVRNINSNVEDSELRSLFEQYGDIRTLYTATKHRGFVMISYFDIRAARNAMRALQNKPLRRRKLDIHFSIPKENPSDKDLNQGTLVIFNLDPSVSNEEVRQIFGAYGEVKEIRETPNKKHHKFIEFYDVRAAEAALRSLNK >Sspon.03G0035660-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:83304443:83304949:-1 gene:Sspon.03G0035660-1B transcript:Sspon.03G0035660-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRTRAQQPKVEEPQDPSATVTAANPKPQRRAKQPRQPKAAGGANKTKPGAAGSRIAAAAAASVASAAAAAANAGSAASSPGPPEMAPTVPDVCVGVRGDADADDARAVDWDLDDAGLNAAAAWWTWGVDEEKLLGWFPFVEEDFRCAGGRAGDAEVAAFDHDIWSIW >Sspon.07G0004480-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:11245393:11246283:-1 gene:Sspon.07G0004480-1A transcript:Sspon.07G0004480-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MISGNLTNEELGTGCTKAEGPAAAAGAGAVVAKSPVLSSSRHWPPSTESRIVRVSRVFGGKDRHSKVRTVKGLRDRRVRLSVPTAIQLYDLQDRLGLSQPSKVVDWLLDAAQHEIDKLPPLQFPPQAQDLVAHLPPSMVAPFSNVAAAADRTAAANASAAAMADGDKRHCHGGGIKGLMGLNNSIGLVNATMPLAHGLYYTPAGESWTTNGNNAAVHDHQVRHGNSPQTVAHHSPFSSLLSLAAPGPQLVFYSPEGGGFAMKETTDHQFPVDSLEQSQGQLSLSSARSFLHPGNQG >Sspon.06G0021050-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:12001357:12003590:-1 gene:Sspon.06G0021050-1B transcript:Sspon.06G0021050-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VRVSVLNDALKSMYNAEKRGKRQVMIRPSSKVIIKFLTVMQRHGYIGEFEYVGYIVLTTSAGIMDHEEARRKNVGGKVLGSFHLRKVRSRSEVPEL >Sspon.08G0019220-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:10756312:10762028:1 gene:Sspon.08G0019220-1B transcript:Sspon.08G0019220-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVLRLRPSLLSAARPGAARPRDHFLPPCCSIQRNGEGRICFSSLRTQGPTLYHHQKFFDWKSSYCRISRQSLNTSVNASGQQLQSEPEAHDSTTIWRAISSSLDAFYRFSRPHTVIGTALSIVSVSLLAVQSLSDISPLFLTGLLEAVAAALFMNIYIVGLNQLFDIEIDKVNKPTLPLASGEYTPATGVAIVSVFAAMSFGLGWAVGSQPLFWALFISFILGTAYSINLPYLRWKRFAVVAALCILAVRATFVFRRPAVFSRPLIFATGFMTFFSVVIALFKDIPDIEGDRIFGIRSFSVRLGQKKVFWICVGLLEMAYSVAILMGATSSSLWSKTVTIAGHSILAAILWSCARSVDLTSKAAITSFYMFIWKLFYAEYLLIPLVR >Sspon.01G0030740-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1A:106152584:106153466:-1 gene:Sspon.01G0030740-1A transcript:Sspon.01G0030740-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLNKGDVQIFWYKRDREARTVINRVAVSAFIICKTAPARIFHSYGRHRRPLLVGLAGSSSSSSMAAARAEQDGGSKPERLRGRLISCAARTMRPGLPRAGACLLFRLQQRTTARSRRPSTYLRHG >Sspon.07G0024320-2D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7D:21825013:21825336:1 gene:Sspon.07G0024320-2D transcript:Sspon.07G0024320-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MANWAAYPGPAWVTHWAVPCPSMGCSYGPGTAYKAQAGPGRPVGTPCPPCTRLRSASPPRWPPRARATGRHGTPPSRWTAETDGSAVRLTARGRLATSRRQLAAIAL >Sspon.06G0003150-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:7854624:7857187:-1 gene:Sspon.06G0003150-2B transcript:Sspon.06G0003150-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQAYPFSNQQHILGRRMNNLMCQKTRTCDDARGEDAIHEFGVPRVRFEDLPMDALISLLNGLPNVENLTSRIAWTELIKKQWLWDNPLKFSRLKHLQLFLNIPERNEDSILYSVSFLRATPFIEDLEVHFSGNESLWLADVGPRRQDIGQCNPYNRLKKMWITGFKGARGQVEFMLHVVENAPALEVITVDTHQRSTSAGDLWTIKSGPPPFEEAKRIARTCLSRTIPPSPKLDVI >Sspon.08G0004650-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8D:11436925:11438951:1 gene:Sspon.08G0004650-2D transcript:Sspon.08G0004650-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHHSVATVPMAATAAMLYARFVASSTRPGLRRLFALTPVLALLLVLPFLIQLYSVRGLTAFFLVWLGEFKLLLLAFGHGPLDPRIRPLPFVFTAALPVKLRQVSEDAAITKTKTILLSSGIKFAIMVALFHHLYYSKERTHPYVAFVLYGAIIYCILDSVLPCLAAMGMALGMELEPYAINRGVGASGEGHEVRRATTPLLTLTLVRSRGWHCQRRDAPPAALLCHLDVHAAAGTPLLHHWTASTSPQEAMVDSSFYTKPTDGWREGILPGLFPLHRQPPESGKKGPAGRLIGDPARPRTSVKPPEGTTEVLLDGAARGFGRTRALAPPCGTSTAARSATSERAHRRNEKSKEQERGGAATLFLTGAQATMAGAAVAAAARRNRRAKGKWGLGLQETEAKGFVHAIFTPGRPMMLNGRDQSGRFWPRRGGEKPAQAQVVAWVWRELPAWMAVGRIPWPGREQLSPLGCYVNKFGQRAFALLVLRARPK >Sspon.02G0020460-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:66771238:66772639:1 gene:Sspon.02G0020460-2B transcript:Sspon.02G0020460-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHQQEDQNHGQVSTSPSVTPAETDNGTPVDKLDKKESSSSSSNSSENLDEDDFFQIEGPTLGSTLSFADNAAISDIRKQSSSSSHPSSHASTDPKQSPPVQAMSRAPDECPDPKRIPSSVFRRSKSKSPTDWSVTSNESLFSINVGNASFSKDHFFLYGKSGELGNPNDPLAPLPPLPRLSPSSSPMKSEVATVQADAKVKPATRVGGDGDDNTDYNNSLSHRSDASTTSFAFPILAGEVRSSESLKDDPPELARQSTSQLSQQAEPLVEQEARKVEEALELEQAPALAPAPEQTPARHWHRHQNQHHNHQPRQNGFLAVLAVHSVAEGCSSLSMGFVEFLK >Sspon.03G0004420-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:30359199:30362917:-1 gene:Sspon.03G0004420-2C transcript:Sspon.03G0004420-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQFQPSDRIRRWRARITSSKPYSLLAAAFLAAAAAAAAAKIYLLLAPTRIASRRRTLLSAPKKEKAPPPSSKPAKSGGGKQKKKKWSKGKQKEKVNNAVLFDQATYDKLLSEVPKYKQITPSVLSERLRINGSLARRAIKDLMARGLIRMDNSLDMSGLSSPETWKESTFTNSRDMWMEKPLA >Sspon.07G0017810-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:68991490:68997424:1 gene:Sspon.07G0017810-2B transcript:Sspon.07G0017810-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAALGSANWLLGQVLNKLSDDLVKAYVSSTELGLNLDKIETEMLYTRGLLDEVQGRDLANKPGLQGLLEKLGKKADEAEDALDELHYFMIQDKLDGTRDATPDLGDSLSARAQHARHAAHHTSGNWLSCFSCCRSQDNGAAVNGDVHNHSSHVVRLNTSNVHHGNLPFDRVSMSNKIKLLIEELRSNCTPVSDLLNKISSSYLQPHMPASTKRPDTSSQITQKELFGRDAIFEKTIEEIISVTQSGKTLSVLPIVGPGGIGKTTFAQHLVNDTRIKQCFPDINVWICVSTNFDVIRLTKEILSCLPATGNEGNKIANETSTNLDQLQKTIAERLKSKRFLLVLDDIWECSSTDEWEKLLAPFGKVEASGNMFIVTTRFPKIVEMVKKETNPLDLRGLDPDEFWKFFQICAFGRIQDEHDDQELIGIARQIADKLKCSPLAAKTVGRLLIKNPFQEYWMKILDNKQWLEENHDNDIIPALKISYDYLPFHLKKCFSCFSLFPDDYKFEKLEIIRFWDSIGIIDYTRKNKKIEDIGSNYLDELLDNGFLIKGDDNNYVMHDLLHDLSHIVSLEECAYISCSSFEANEIPKTIRYLSIFMHDTHIQNFKEEMCKLKERIDIKNLRSLMIFGEYRRLHLVNILRDTFKEIKSLRVLSIFMNSHNSLPHNFPKLVHLRYLKLKSPGYLKMCLPSTVLRFYHLKFLDLEHWRSDYSLPKDICRLENLRHFVAEKQFHSNVPEVGKMKFLQELKEFHVKKENVEFELGELGKLEELGGELNICGLENVRTKQEAKEAKLMDKRNLVKLGLVWNSKQESTRDDILDSFQPHSNIRGLHIINHGGSVGPSWLCSNIIHMKNLETLHLESISWANLPPIGKFYHLRKLKLKNIGGLSHIGPDFFGGTTEKSFTDLKEIEFCYMPELVEWVSGANCHLFSGLEIISCINCPMLTTLLISSWPISSTENNTIWFPSLRDLHIDRCPKLCLPPLPHTSMVSRINTECLSYDRTKLNIRKPSELVFHNLGDVERLTIQDASCFSFMDLQKLHSPRHIEVSRCEETFLRGLDDGVVLHTVQSLDLEQFSLTRKSLSNLFKCFPALSRLDVWASSDEDHEEVVLQFPPSSSLRNVSFHGCKNLILPVEEEEGVGFCGLSSLESVTIEYCDKLFSRWSMGGGAAQTQSIIYPLPPCLKELSLSGQQSTLPMAVLANLTSLTSLVLVNCKDITVDGFNPLITINLEWLTVYNQRDGEAEPYSVAADVLAAVARTKTMPAGSFQLVKLQVDSISAMLVAPICSRLSATLKRPDDKSSGHGVGWSPIIPYSFKVPDGWDE >Sspon.08G0002660-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:4377702:4381169:-1 gene:Sspon.08G0002660-2B transcript:Sspon.08G0002660-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSRRPSPSWRQSVVVIALAAAWCSAAAQAQLSQSYYASTCPNVETLVRGAVTQKLQETFNAAPGTLRLFFHDCFVRGCDASVLLSGPDDEHSAGADTTLSPDALDLVTRAKAAVDADPKCAYKVSCADILALAARDVVSQTGGPYYQVELGRFDGKVGTRAVVKHSLPGAGFDLDQLNKLFAANGLTQTDMIALSGGHTIGVTHCDKFVRRLYTFKGNNAGAGPPMNLNFMRQMRQTCPLNYSPSAFAMLDAVTPRKFDNGYYQTLQQMKGLLASDQVLFADRRSRATVNYFAANQTAFFDAFVAAMAKLGRVGVKTAADGEIRR >Sspon.06G0020630-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6D:9838184:9839145:1 gene:Sspon.06G0020630-2D transcript:Sspon.06G0020630-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AGEQQLVWNSVAMLLNTSLHMAARCMDERSLGLSTNKLQFVLPNPLSPFQFFIDSTKASTEAMASHLRSTSLPSSPRSNKAEVDQQLQSLKRAMASSWATIDTMCDGFRRLGSLYGSIEEMLHELKMVVQELLLAVRRGDDAVAQVKAYFQITKKVHKQFKKVCKKTTSDEKDCRVIKLLAEARLVATSVLEHTSCLLSKQIEMPKRSLVSKTFQKGRVVCDEEQLQALECSIRDLESGASVQEIDPVQCFSYEYS >Sspon.03G0025070-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:94347546:94349085:-1 gene:Sspon.03G0025070-1P transcript:Sspon.03G0025070-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASVWQQLPPHRLHSPPLLSLPSNISSSACPPVYKYKKHAAPGRGNLLLCSASGAASSVVTKEQEEAASDPSSEEGSPEPEIYNYKDDPNFRGCKGCGREEVERGCNGEGRIQGGIAAVPGFGWWPIKAYRPCPGFVASGGRYRRQGQSMDDVASGRGKKKPSPAKNKSDNK >Sspon.02G0022110-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:73922750:73925409:-1 gene:Sspon.02G0022110-1A transcript:Sspon.02G0022110-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amino acid transporter ANT1 [Source:Projected from Arabidopsis thaliana (AT3G11900) UniProtKB/Swiss-Prot;Acc:Q9SF09] MAGAKAAAGGSAAPLLPAQVGEGSSGGAGGGGATSAQTLGNVVVSIVGTGVLGLPYAFRAAGWVAGSLGVAAAGFATLYCMLLLVDCRDKLEEEETEECSHGHYTYGDLGDRCFGTIGRCLTEILVLVSQAGGSVAYLIFIGQNLHSTFSQLMSPAGFIFAILLPLQIALSFIRSLSSLSPFSIFADVCNVLAMAIVIKEDLQLFDHPFSNRSAFNGLWAVPFTFGVAVFCFEGFSMTLALEASMAERRKFRWVLSQAVAAIITVYVCFGVCGYLAYGEATKDIITLNLPNNWSSAAVKVGLCIALAFTFPVMMHPIHEIVETRFRSNGCFQKLCHNVGGAEWIGLHSSRILVVAALTVVASFIPAFGSFISFVGSTMCALLSFVLPALFHLSIVGSSIPLWRRVLDYAILLFGLAFAGYGLVTALSPH >Sspon.01G0048160-3P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:107276163:107276752:1 gene:Sspon.01G0048160-3P transcript:Sspon.01G0048160-3P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGRKLKTHRRNQRWADKAYKKSHLGNEWKKPFAGSSHAKGIVLEKIGIEAKQPNSAIRKCARVQLVKNGKKIAAFVPNDGCLNYIEENDEVLIAGFGRKGHAVGDIPGVRFKVVKVSGVSLLALFKEKKEKPRS >Sspon.01G0019090-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1C:72342768:72344232:1 gene:Sspon.01G0019090-2C transcript:Sspon.01G0019090-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHAEAVRHGLPPRAGDGHGSPRVRAGDPGAAQPQRRVRRGADARGVALQPAAGARPGPAQRALRRGHLHRGQRVPRPLRLRQRPGRVRLRHGQGGVLRPGPPQRARAVHGGVQPVRRPEQVRVLGRVPPHGTRQPDHRQPVHVRLARLRQPHEPQHRPPDGRRIGL >Sspon.04G0037840-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:78838946:78843457:1 gene:Sspon.04G0037840-1D transcript:Sspon.04G0037840-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQCIFLLSDSGEVMVEKQMAAHRVDRAICAWFWDYVAAHAAGDPSKVVVSPTHYLFQIYRDGVTFLACTQVEMAPLMAVEFLSRVADVLTDYLGDLNEDIIKDNFVIVYQLPPLIVSADLTANYGTVDILADKVSVLSTTCLWTIGQIPKDKAPALSGNLRLEEGLAQLHTLPTFQVKFKIMGVALSGLQIDKLDVKNTPNAPYKGFRAQTQAGKYEVRS >Sspon.04G0007260-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:20432949:20434043:-1 gene:Sspon.04G0007260-3C transcript:Sspon.04G0007260-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPAGCGLLSCLRRDKGEAAGRTDARVADEPASSSSSLLGKGGSGAARRFAWAEIESVTAAFSSRVIGQGGFSTVYLACLSSSRLGAVKVQRSSERLHRAFRQELDVLLSLRHPHIVRLLGYCDEREEGVLVFEYAPNGDLHERLHGRGGKATPTLPWARRMAVAFQVAMALDHLHESRDPAVIHGDIKASNVLLDANLDAKLCDFGFAHVGFSAALQPPPDNNAAAAPSSRAPASARPVPVMGSPGYVDPHFLRSGVATKKSDVYSFGVLLLELLTGREAMCADTGRRLAAAVGPTLLGEGGGKVDDVVDRRLGGDEAATVAALAL >Sspon.03G0009780-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3B:33025240:33025839:-1 gene:Sspon.03G0009780-2B transcript:Sspon.03G0009780-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VAGVCSDWRKAVHLRLGASQQDQLPWLLQPSHDDAPPSTITSYVSGTTGRRICLPEGVRRTDRFCGSHDGGWVAVAADRRGTYYYKYKLVNLIKQAIASIPLPSTLRSHRPTRSEMVDIRMVTLSDVPTAGSCIAAAHTVGGYPSIIFCRPQVDGHWVPPLMDTDPLQDTLYHRGELYEGFYSISNRDNLYLFMPMEINN >Sspon.03G0021250-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:51862254:51868449:-1 gene:Sspon.03G0021250-2D transcript:Sspon.03G0021250-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKALKNLQPGFFDNLKTDTKEKVVGRLISLVRTESFEVRNAARDALLRINVHSSTLVKFIELILALDGARGHSKRIKRNEDQNLDDAMFSSSNVGLLIDCVRSISDVGTRNHGFSLIASLGKACPQLVSENIVDLFVAIGDAIKQGSLGALMEEVVLCGVCARDKKRDVSSDITKEVRDFANTILKTITGWMSASTYLTGITRLLDHSDSHVKRKTLGMLSETARGNSLVQKNQRKTQKLKHISGTTAIKVDKSSGPYFSKLCLKILELIDRDGVSDTSVKIAAISSLETLAKEYPSDNPVYSNCLATIIDQIGSDEAAVSSALIHTVGSLINVIGSKALPQLPLIMKNIMLISHQISCCPSGIYAHGSTRTAAELSNQDIAVLLSALTTIEVIVEKLGEFVNPYLKEILDLVRLILSPLLNLYSLAANCGDASLSLAFNILASLVRTMDRLAVGTYHSKIYEHCLAALDLRREHPDSLKNINMVEQSIIHAIISLTMKLTEGTFRPLFLRTLEWAESEVDESSSKKSLDRAIVFYKLVNKLAEKHRSLFTPYFKYLLEGSVQYLSEDDALAGSKQKKKKKKKAKLEDVQVEQKDKLLGLKLWNLRALVLKSLHKCFLYDNDQKILDSSNFQVLLKPIVSQFVVEPPESIESVLDAPSIEEVDETIILCLGQMAVTARSDVLWKPLNHEVLMQTRSDKVRPKMLGLKVIRYMVQHLKEEYVVLLPETIPFLGELLEDVELPVKTLSQEILKEMETLSGGSLRQYL >Sspon.03G0016780-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:53017857:53020539:1 gene:Sspon.03G0016780-1A transcript:Sspon.03G0016780-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAAAVRKAAGGGMARAPALALALVAAGAFLISYNFFAMLFRGGGIGAAASAGTRDPVVAMPAWMREAADTEARRRPFHVALTATDAPYSRWQCRVMYFWYKRMQARPGGEAMGGFTRVLHSGKPDGLMDEIPTFVVDPLPAGKDHGYVVLNRPWAFVQWLQKARIEEEYILMAEPDHIFVKPLPNLAHDDDPAAFPFFYITPSEHEKIIRKYYAKERGPVTDIDPIGNSPVIIKKTLLEKIAPTWMNVSIQMKEDEETDKIFGWVLEMYAYAVASALHGVQHILRKDFMIQPPFDTKLGNTFIIHFTYGCDYSLKGELTYGKVGEWRFDKRSFLDRPPPRNLTLPPPGVPESVITLVKMVNEASANLPRWDDGI >Sspon.03G0023980-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:91547028:91547711:1 gene:Sspon.03G0023980-1P transcript:Sspon.03G0023980-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MCCCGDGECRPLGWLLGLPFALLAVLVSFVGAIIWIIGLPISCICPCCLCVTVLLEVAVELVKAPLHVMTWFTSKIPC >Sspon.06G0002680-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:30125520:30126778:1 gene:Sspon.06G0002680-2D transcript:Sspon.06G0002680-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Moco containing protein (Moco containing protein(OsMCP)) [Source: Projected from Oryza sativa (Os08g0530400)] MFPPTVDWDNINWSTRRPQMDFPVQSAICTLEDVDVIKEGKARIAGYALSGGGRGIERVDISVDGGKTWVEAHRYQKDNVAYVSDGPQSDKWAWVLFEATLDIPANAEIVAKAVDSAANVQPEKVEDIWNLR >Sspon.07G0027680-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7B:60978566:60979073:-1 gene:Sspon.07G0027680-1B transcript:Sspon.07G0027680-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMGCIDVWPCPVLAASVHARWRPGRPACARRLAATSLLLDHAILVAVTEATPSCRYRAVLRLAPRCCPTLGSPRLLSAASAQVAKPCGAVSPALAAESRVCRRGPPFPLPNFASSRLFGSIPSASRKLTDLASQPSPSEVVPCPGRGGLGISAAAEQLAVLVQHHLG >Sspon.03G0018750-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:87070356:87072536:-1 gene:Sspon.03G0018750-1P transcript:Sspon.03G0018750-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGAKAGIGVVVAILVLSLVGAAFWYKKKRRRVHGYHAGFVMPSPASTPTQVLGYSAKTNFSAGSPESKDSMPEFSMGYCRFFTYEELYQITNGFSAQNLLGEGGFGSVYKGCLADGREVAVKKLKDGGGQGEREFHAEVDIISRVHHRHLVSLVGYCISDDQRLLVYDFVPNNTLHYHLHGRGVPVLEWPARVKIAAGSARGIAYLHEDCHPRIIHRDIKSSNILLDNNFEALVADFGLARLAMDACTHVTTRVMGTFGYLAPEYASSGKLTERSDVFSFGVVLLELITGRKPVDASKPLGDESLVEWARPLLTQALETGNAGELVDARLNKNYNEVEMFRMIEAAAACIRHSASRRPRMSQVVRVLDSVADVDLTNGVQPGKSEMFNVANTAEIRLFQRMAFGSQDFTTDFSQSSWNSQSRDLDASGSRPL >Sspon.02G0020590-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:69881577:69883364:-1 gene:Sspon.02G0020590-3C transcript:Sspon.02G0020590-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQAVGGADATTDTEAPLLLSSTAGTPPPLLAGAPRRNRFAFVCATLASVTTMLHGYNLTLMSGVELFMREDVALTDAEVEVLAGSMNVFMLASILAAGWVADHLGRRRTLVLANAFFMAGALAMSLGTSFAALMAARFVTSVGSGFARVVAPVYNAEISPPSTRGVLSSMLDMFINVGILLSYVSNYAFSGLPVHLGWRVMYGIGVIPPVFIAAGVLFMPESPWWLAMQGRHGDARAVLMRMSDTPADADLQLREIKRAVADAEPPPAAARHSGGAGVWKQLLVRRPSTCVRRILTCVLGLHFFVQASGVDAILLYSPLVFKAVGMASNSAILGATVATGAVKTCFVLVGMLFTDRLGRRPLLLASTAGVATTTAALAVTLHEHDVFVTGDGRGMPGVGAGRRGHVLDRVRSSSPATAAACLALVLAVVATYSIGYGSVVSAYSAEVLPLRLRAQGSSLAMAVNRLTCGVVSMTFISLADGITMPGCFFLYAAVTAAAFVFVYTWLPETKGRSLEDMEVLFHK >Sspon.03G0007010-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:19131664:19137272:1 gene:Sspon.03G0007010-1A transcript:Sspon.03G0007010-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPLIRTARCWLLRARVRAMATLPMAPPPAAISSFTTRPLYSPSSRSHRLLLARFFSVAPAPARAKGLRAAASAVEVGGVKIAREDVVKEDDPTNNVPDTIFSKIGLQLHRRDNHPLGILKNTIYDYFDKNFTGQFDKFDDLCPLVSVKQNFDDVLVPSDHVSRSYNDTYYVDGQTVLRCHTSAHQAELLRDGHTHFLVTGDVYRRDSIDSTHYPVFHQMEGFRVFSPDEWSGSGMDGTAYAAAELKKTLEGLARHLFGKSLDDWLEVLGCGVTEQEILKRNGRRDHVAWAFGLGLERLAMVLFDIPDIRLFWSNDKRFTSQFSEGKLGVKFKPFSKFPPCYKDMSFWINDAFTENNLCEVVRGIAGDLVEEVKLIDNFTNKKGMTSHCYRIAYRSMERSLTDEEINNLQVLATILM >Sspon.07G0008090-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:17912391:17913464:-1 gene:Sspon.07G0008090-3C transcript:Sspon.07G0008090-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MANATVPESQPQVQAAPGGRKVVDEVSGWLRVLDDGSVDRTWTGPPEVLPMMQPVAPYAVPRDGHTLHDLPGEPSFRIYLPEVAGGRDKGGRLPVIVHLHGGGFCFSHPSWVMYHHFYSRLACAAPAVVVSVELPLAPERRLPAHIDTAVAALRRLRSIALSEDGALADKAAKLLREAADVSRVFLVGDSSGANISHFAAARVVQDGAGVWAPLCVAGCVLIQPGFMRATRSRSELEVGDSVFFTLDMLDKCNAMALPVGATKEHPFTCPMGPQAPPLESVPLPPMLVAVAENDLVRDTNLEYCDALRAAGKEVEWLLNRGMGHAFYLNKFAVDMDPSTGERTQELIDAIVSFIACH >Sspon.05G0014650-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:52142976:52148062:1 gene:Sspon.05G0014650-4D transcript:Sspon.05G0014650-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bifunctional L-3-cyanoalanine synthase/cysteine synthase C1, mitochondrial [Source:Projected from Arabidopsis thaliana (AT3G61440) UniProtKB/Swiss-Prot;Acc:Q9S757] MERMLARLMRRRSSSPLSGLLYQGGAAAAAAPASLQDSSLFSHHHQQHTAAAALPGLKIRDSASQLIGRTPMVYLNKVTEGCGARIAAKLEFLQPSFSVKDRPAISMLEDAEKKGLITPGKTTLIEPTSGNMGIGLAFMAALKGYELILTMPSYTSLERRVTMRAFGANLVLTDPTKGMGGTVRKAAELYEKHPSAYMLQQFQNPANVKVHYETTGPEIWEDTLGQVDIFVMGIGSGGTVTGVGKYLKEKNPNAKIYGVEPAEANVLNGGKPGPHLITGNGVGFKPDILDMDVMEKVLEVKSEDAVKMARELAVKEGLLVGISSGANTVAALELAKKPENKGKLIVTVLPSLGERYLSSALFDELRKEAEAMEPVTVD >Sspon.08G0016430-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:61583322:61583918:-1 gene:Sspon.08G0016430-3D transcript:Sspon.08G0016430-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DVAVGDAAHEPGRHQVRGVLRPGAPHGGELPGPLRQRLLRRHRLPPQHQGLHDPGRRPHRHRQGRHLHLGHQVRRRVQGGDHVDGQQRAQHQRQPVLHHLRQAAAPQRPLHRLRQGHPRLRGAGPHGEGADRPRGQAARRDQAQPRHHPRQPARPL >Sspon.04G0006170-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:17424944:17425243:1 gene:Sspon.04G0006170-1A transcript:Sspon.04G0006170-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding HGPAEGDGDRVRGPAGGAARGAADRQGGGVLAMAVRRRVLPVRDPVPGGQHVHGHRQVLPPRLQRPHDRVLPLPRLHACPRRRRARRLPRRQRPRLRRNV >Sspon.02G0017280-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:49323011:49336695:-1 gene:Sspon.02G0017280-1A transcript:Sspon.02G0017280-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSMDAQTCITYWEAVLQFWKYELSQDQYLGGSLRISQSQGNLHRHRSTNLLRPAAAISVEQDEVNTYLPKGDMWSVHKFGGTCMGTPQRIQSVADIVLGDSSERKLIIVSAMSKVTDMMYNLVQKAQSRDDSYTIALEEVFEKHMAAAKDLLDGEDLARFLSQLHSDVSNLRAMLRAIYIGYRWMDTREVLVVKPSGSNQVDPDYLESEKRLQKWFSRQPAEIIVATGFIASTAENIPTTLKRDGSDFSAAIIGSLVRARQVTIWTDVDGVFSADPRKVSEAVILSTLSYQEAWEMSYFGANVLHPRTIIPVMKDNIPIVIKNMFNLSAPGTVICKQPANENGDLDACVKSFATIDNLALVNVEGTGMAGVPGTASAIFSTVKDVGANVIMISQASSEHSVCFAVPEKEVAAVSAALHVRFREALAAGRLSKVEVINGCSILAAVGLRMASTPGVSAILFDALAKANINVRAIAQGCSEYNITVVLKQEDCVRALRAAHSRFFLSKTTLAVGIIGPGLIGGTLLNQLKDQAAVLKENMNIDVRVIGITGSSTMLLNDTYGIHVITPNKKANSGPLDRYLKLRTLQRASYTHYFYEATVGAGLPIISTLRGLLETGDKILRIEGIFSGTLSYIFNNFEGTKMFSDVVAEAKEAGYTEPDPRDDLSGTDVARKVTILARESGLRLELSDIPVKSLVPETLASCSSADEFMQKLPSFDEDWARQRSDAEAADEVLRYVGVVDTVNKRGQVDLRRYKRDHPFAQLSGSDNIIAFTTSRYKEQPLIVRGPGAGAEVTAGGVFCDILRLASYLGAPS >Sspon.02G0043720-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:123796828:123803972:-1 gene:Sspon.02G0043720-1P transcript:Sspon.02G0043720-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADGQMPEREKKQAAVDGRKDGVAREVIRMEREAVIPILKPKLVMRLAYLIEHEADRNEFLKLCKKVEYTIRAWYLLQFEDLMASRRFQLYSLFDPVSGEKRLEQQNLTSEEIETLEFNFMTYLFQVMEKSNFKLLSDEEFDIAQSGKYLLNLPIKVDESKLDKKLLTKYFKEHPHDNLPEFSDKYIIFRRGIGIDRTTDYFFIEKVDVMISRAWRSLLRVTRVDRLFSKKQHLKPKNDTKKTDEINEDEEDPELFVERIRLEKIELSLKNLMSKMTIQEPTFDRMIVVYRRAGTKTKPDRGIFVKHFKNIPMADMEIVLPEKKNPSLTPMDWVKFLISAVIGLVTLVSSLEMPKADVWVVIAILSGVIGYCAKIYFTFQQNMTIYQNLITKSMYDKQLDSGKGTLLHLCDDVIQQEVKEVIICYYILMEQGKATIQDLDLRCEELIKEEFGAECNFDVHDAIKKLEKLSIVHRDSIGRILCVPLKRANEIIGTTTEELVMRAQQNPAS >Sspon.01G0027400-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:90637080:90657667:-1 gene:Sspon.01G0027400-4D transcript:Sspon.01G0027400-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEQSIPKEAAYQIINDELMLDGNPRLNLASFVTTWMEPECDKLIQASVNKNYVDMDEYPVTTELQNRCVNMIAHLFNAPLGDSETAVGVGTVGSSEAIMLAGLAFKRRWQNKMKAAGKPCDKPNIVTGANVQVCWEKFARYFEVELKEVKLSDGYYVMDPQKAVDMVDENTICVAAILGSTLNGEFEDVKLLNDLLTKKNAETGWDTPIHVDAASGGFIAPFLYPELEWDFRLPLVKSINVSGHKYGLVYAGIGWCIWRTKEDLPEELIFHINYLGADQPTFTLNFSKGAIFGSFPLFFDALCGYKNIMENCQENAMVLKQGLEKTGKFNIVSKDNGVPLVAFSLKDSSRHNEFEISDFLRRFGWIVPAYTMPPDAQHVTVLRVVIREDFSRTLAERLVLDIEKVLHELDALPARVPSGDLAALAAAEESEREMEKKRQVISLWKRAVLAKKKTNGNRCVNMIAHLFNAPLGDSETAVGVGTVGSSEAIMLAGLAFKRRWQNKMKAAGKPCDKPNIVTGANVQVCWEKFARYFEVELKEVKLSDGYYVMDPQKAVDMVDENTICVAAILGSTLNGEFEDVKLLNDLLTKKNAETGWDTPIHVDAASGGFIAPFLYPELEWDFRLPLVKSINVSGHKYGLVYAGIGWCIWRTKEDLPEELIFHINYLGADQPTFTLNFSKGSSQVIAQYYQLIRLGFEGYKNIMENCQENAMVLKQGLEKTGKFNIVSKDNGVPLVAFSLKDSSRHNEFEISDFLRRFGWIVPAYTMPPDAQHVTVLRVVIREDFSRTLAERLVLDIEKVLHELDALPARVPSGDLAALAAAEESEREMEKKRQVISLWKRAVLAKKKTNG >Sspon.05G0014420-3C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5C:47568775:47570829:-1 gene:Sspon.05G0014420-3C transcript:Sspon.05G0014420-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIPPPERAARVTHFLKPYLLRMHFTNKYVSAQVIHTPTSTVACSASSQEKLLRPNMESTRDVAAAAKIGKLLGERLLLKGIPAVSIHMKREQKYHGKVKAVIDSVLKAKYYPQGNLLDRAPASEASQTWRAIEYGLELLKQGVIHCIGDGRSTQIWRDNWLPREYGLKPIGPSRMCRLRWVHHLIDDNGDWDETAVRRFFYPCDAAEIFSIKLPEQRTADFVAWHYEKTGIFSVRSAYRLAVQNQYRVGAPGSSASSDQGRAGWKKLWQVRVPSKVSVFAMKAANNGLPTRVNKKYRHLDQQDVCELCGSQEEDIFHALLVCPHAVALRQAMRNHWHLPAEEDLVRTGPDWLLMLVLNNSLEVLANFFMLLWHIWSFRNKVVHEGASPFIASSVTFLIRYMRSLLEIRQHEDHVDLKGKRTLEPAARIEVQNSDAQGTLRWK >Sspon.01G0000370-1T-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1A:1584520:1585128:1 gene:Sspon.01G0000370-1T transcript:Sspon.01G0000370-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVAVAVALALAFLVGGAWCGPPKVPPGKNITATYGSDWLEAKATWYGKPTGAGPDDNGGGCGYKDVNKAPFNSMGACGNVPIFKDGLGCGSCFEIKCDKPAECSGEPVVVYITDMNYEPIAAYHFDLAGTAFGAMAKKGEEEKLRKAGIIDMQFRRVKCKYGEKVTFHVEKGCNPNYLALLVKYVDGDGDIVAVDIKEKGGD >Sspon.03G0024720-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:75082900:75084907:-1 gene:Sspon.03G0024720-1A transcript:Sspon.03G0024720-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVFFLGDKSRYVEATREFNKILLYILKYKQYHQKSPQYDQILKKNEQMYALLAICLSLCPQNKLIDENVSTQLKEKYNDKMTKMQRYDDEAYAAYDELFSYACPKFITPSPPVLDQPLTNYNQDVIHVVESKPTKRHGDYFLRQILKFEEMIGELEKVHFD >Sspon.04G0015740-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:64545690:64548811:1 gene:Sspon.04G0015740-2C transcript:Sspon.04G0015740-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLLLPRPRTCAFASRRFLSALAGSGAGEPCAGVVYGFGDNSHGAVGQPPPAADAYVPTPVRSLPPSVSAVAAGHYHSLAVSAAGEVWAWGRNDEGQLGRGLHSPRNTWSNAELVRGLENVQVQAVSASGVVSAAIGSDGSLWVWGRSKRGQLGLGKDVVEAAVPSKVEALASYDIVKVSFGWGHAMALTKDGKLFGWGYSENGRLGEMGRSTRALYAEEYIGKMADKYSSSMLEAVEKMVEEKIRSEDNMPIIWEPSLVHEVSHLEVSDVSCGLDHSLILCSDGIVLSSGDNTYGQLGRKAGPPNCFLLA >Sspon.04G0028870-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:68732553:68732916:1 gene:Sspon.04G0028870-1B transcript:Sspon.04G0028870-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SIAGASIFTTARTCFSDFSRVSKICDSRSAPLLTARTRRTAAGDSFPRRRRCCPSSSGSPAARSRKRKHSLAESEASRRRRSRPSRWPSGCPNL >Sspon.02G0027500-3C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2C:122612129:122612622:-1 gene:Sspon.02G0027500-3C transcript:Sspon.02G0027500-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding TLDGRSIRVNHANERTGGFRGSGGGGYGGAGYGSGGGGYGGGGSGGYGGGYGGGGGGYGGGYGGNRGGGGGYGGGGADYGVAGGAGGNFAAGGSDSFASSNFGADSGFGGNPAGSFGATDGSTGADEFSAGTLGGDLSGNKNDEIMEDMFKDDEPDSYADKRS >Sspon.08G0002300-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:5224205:5253680:1 gene:Sspon.08G0002300-2C transcript:Sspon.08G0002300-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADALFVVLRKVALSLGEGALVKIGTDVVEAAPILTDFEHGMRQIEGELLILQAFIGQVRAQKAGDKAFHAWLDQVRDVAHEVEDIVDEYAYLTTQAVDTSSFFKRKFHQVKNFAAWQKLPIRISQVEARIQRLSEMRNRYGISVGEQDRSSKLQQSNQLSVSDSAYLTDDSEIVGHAEEIGRLTQWLLEEKQDRTPIAIFGMGGLGKTTVASSVYKNQKIRRTFDCHAWVTVSQTYQVEELLREIMNQLTEQRASLASGFMTMSRMRLVEIIQSYLRDKKYFIVLDDVWEKDAWLFLNYAFVKNNCGSKVLITTRRKDVSSLAVHNRVIELKTLNYAESWELFCKKAFFALEGNICPKNLTSLAKKIVDKCQGLPLAIIAIGSILSYHALDEWEWAFFYNQLNWQLANNSELSWISTVLNLSLDDLPSHLRSCFLYCSLFPEDHWIKRKQIAKLWIAEGFVEERGDGTTMEEVAEHYLAELTHRSLLQVIERNASGRPRTFLMHDLVREVTSITAEKEKFAVIHGHQPKFPMMPAASNKDLVSQLGKLTLMRSLTIMNVRQSYIAELWDSLTKMPNLSVLNIFASDMDEILDLRMLRPLPNLKFFWLAGKMEGGMFPSILNKFEKLTQLKLDWSGWFPNLKSLRIADMECLTRIEIEDGTMMALHHLELVGLRNMRVVPKGIKYLRTLRQMFLTDMPKELVESLRGSDSHIVQHVPNIHIFDSTDSEAVN >Sspon.01G0004240-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:10306502:10310556:1 gene:Sspon.01G0004240-3D transcript:Sspon.01G0004240-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMNNGWLGFSLSPSAGRWGYGGGGGASASGDGDGSCSSPAGDASPLPLVQPNGSLHYTSAPDWRHAAAEAKGPKLEDFMNVTCSSNKSSNSSSLYDSCGHAEQANKYHEVHDLQPLSCGSYYHGSSGGCGVGLGINMNAPPCSGGGGFPDHHHHHQFVSSHHGQYFLGAPVASAGPPGAVPMYNAGGGGGVGGSVSISGIKSWLREAMYVPPERPPAAALSLAVTDDVPAEPPQLLPAAPTPVHRKPAQTFGQRTSQFRGVTSLLIDHPDACVRVRKSIDLQAQMDRELSHYEKELEEMKHMSRQEFIAHLRRYCMIAVLIYTVPLLICTQEEAAEAYDIAAIKFRGLNAVTNFDISKYDVKRICASTHLIGGGDACKRSPTRPPDALPALATDAAGADQ >Sspon.05G0023440-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:7323364:7324498:-1 gene:Sspon.05G0023440-1B transcript:Sspon.05G0023440-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDANRRQSGIQQLLAAEQEAQQIVNAARAAKSARLRQAKEEAEREIAEYRAQVEAEFQRKVAESSGDSGANVKRLEEETATKIEQLSQQAASISPEVIQMLLRHVTTVKN >Sspon.04G0026140-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:43702153:43708490:-1 gene:Sspon.04G0026140-3D transcript:Sspon.04G0026140-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRLKVAEGGGPWLRSTNGFLGRAVWEFDPDLGTLDEHSEVEKMRREFTENRFKRRESSDLLMRIQCAKENGPQRDLPCIKLQEDEQVTEEIVLNSLRRALDKFSSLQASDGHWPGDFSGVMFIMPGLIFALYVTRSLNVNEDGGWGTLILGSSSMFGTCSNYITLRLLGKELNGKNNALAKGRAWILTHGGATLVPQWGKIWLSILGVYDWSGNNPIFPELWLSPQFLPFHPGKFWCLTRMVYLPMAYLYGKKFVGPITSTILAIREEIYDTSYEKIDWSEARRACAKEDLLCPQTPLQDAVWTSLYKYVEPVLSCWPMNKLRERALRNLMEHIHYEDVNTQYVCICAVNKTLNMICCWVEDPNSDAFRCHLARIPDFLWLSEDGMKAQVYDGCQSWETAFIIQAFCATDLVNEYGPTIQRAHEFIKHSQVLRNNPGDQSYWHRHTSKGSWTLSSADNGWAVSDTTGEALKAVLLLSEISNNLVGYPIERGRLYDAVDCLLSFMNTDGTFSTYECKRTSSWIEILNPCESFPNMVVDHPYPECTSSVLQALVLFKELYPSYRTKDIKKCIRSAATFIESRQQEDGSWLGTWGVCFTYAAFFSIKGLATAGRTYENSPSIRKACQFLLSKQLNTGGWGESHVSNETQVYVNFKGDRAHAVNTAWAMLALIYAGQIERDPAPLHHAAKELINMQMETGEFPQQEHVGCFNRSLFFNYPNYRNLFPIWALGEYRRHLLAKKRDGS >Sspon.03G0015940-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3A:51017378:51023210:1 gene:Sspon.03G0015940-1A transcript:Sspon.03G0015940-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable WRKY transcription factor 47 [Source:Projected from Arabidopsis thaliana (AT4G01720) UniProtKB/Swiss-Prot;Acc:Q9ZSI7] MLDELTRSYGALYQQLLQVTQNRQHPADLVINRSSLAHTHLTTAASHNTSSTLQLLEARASSTTTAQPDAVAGVEDEASDGAGEASPSLSNGGNNNDADGKRKTSPDGMAPPRENGGEQASLELPGRKARVSVRARSEAPMISDGCQWRKYGQKMAKGNPCPRAYYRCTMAVACPVRKQVQRCAEDKTILVTTYEGHHNHPLPPAATTMANTTSAAAAMLLSGPATSRDGAAALLGHPAAALFHHSSSIPYASTMATLSASAPFPTITLDLTQAPGGVAGSGGLLPHGLGLHRPPVGIHPAMPFPAPSPLAMFLPQRAPTGPPMPAGLVARQQQSVMETVTAAITADPNFTTALAAAISSVLAGAGAAHQAQPTPRGSNIGIAGDQANGSAGAATAGPTAAGAHAASAGSPRFATQSCTTSTT >Sspon.07G0009420-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:26552790:26553536:-1 gene:Sspon.07G0009420-1A transcript:Sspon.07G0009420-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVSPLHRVIDAGRWDAQRLLGRLIILVHAAFLDAGFVPLPHRSRKPGSVPRQAGRTASALSLRYGAPQLLRHRPEEEAAVEMDLPRRSCGLDGTGRALRRDATLAGLWRRLSDDLCRSVLVDVCRRNGVEMDPTFMSLPGDAKAAILARLTDVADLASVERVCTGLRRLVAERDGELWKATYDALLRRGVSRSLPDDYSGGHSSPPEMSWKERTAFSR >Sspon.05G0015700-1T-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:5A:61730382:61730866:1 gene:Sspon.05G0015700-1T transcript:Sspon.05G0015700-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GEFVIEKIVYEKDKVLITGPFDADKLSSKLWCKAGRIIKNIEVAKPPPKPDPKPEPKPKPEPPPCKLIYPYPYPFPCPQPGAWPCSCPIPHCGCQPKPPPEPPAPQPPPPPPPVPPKPPACQCPTWPSCYCSGYPSYLPPTTMPYPMIVCDDSPPYGACTV >Sspon.01G0060140-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1D:74165381:74165770:-1 gene:Sspon.01G0060140-1D transcript:Sspon.01G0060140-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MERGLVGIGYRIGLGPAAAWHEATRRCPPPTCTARGRRESHRVRVCSLSGGLSGDDHRAAEVQLPDHSTGRASVHLLLHLNYKPDCPCIDCSLQSQFAVWTIIHANFAAKTAALILPIRCLKQCLNEHH >Sspon.02G0018560-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:61617679:61623151:-1 gene:Sspon.02G0018560-2B transcript:Sspon.02G0018560-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVSARGSPRSFPSPPLPASPQPQPDAERMFMRGGSGRSSTGTSDSLRSASLRDIDEEAAVVVADDDGGGGKLYVAIGKDLKDGKSNLRAAQSLGLVGGDLKLVLLHVHQPADRIMNGLGCKVLASQLEEKELKAYRQIEQGEMDTLLNTYVNHCRSYLKVQAEKLIIEKNNVANGIVELINQHRITKLVMGMSSFSTKRKVPKSKVAAIVHQQAKPFCQIFFICKGSVGCTRDANLDSTKADSPRSSSASTLSDETELPTRSVSLPPGHPGYRGPPDEPFLPRRSQSVSYPSSGLMGNNVERMSPIAQQSIHVKTTNWSPNSSLPSNEGSSSSSLKDSDSLDGSPVPASIISHEEQQMSMVESGMHNEVFEHLQQARTELERSRKEACEGRQKAERDLFEASMKSKARENSLRKEKKEVEEKLTKEKSILEKEKLQIYNKLQKANEQRAQLENKLLQTNSLLEELQQLQGELQREKEDALREVEEMRKLYSNRNFASAGEVSLTEFSYSEIEEATNNFDGSREIGQGGCASVYRGFLRQTTVAIKKFNREGAVGEKEFNDEYDVYSFGVVLLRLLTGKSPLGLQSEVEASMSSGVLHEILDASAGEWPLEHAEELAGLALKCCRLNRKDRPDLAKEAWGILQAMMNEPPPSSMHPPEADAPSYFVCPMTQEIMRDPHIAADGFTYEGDAIKDWIQRGHTMSPMTYLNLTHHELIPNNGLRFAIQEWQMGQQQ >Sspon.08G0000370-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:1910927:1914427:-1 gene:Sspon.08G0000370-1P transcript:Sspon.08G0000370-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEYYEKKEKKRRGRERMEALLGRLLPSLAFPSKKLPFHFGSPPTQEKARLSSSPPSTDGDASPAPQVPSLHSPPPSRGFPISQNRAALCSARRDLFTIQRRPDLARQSRVAMGISHPLSDEYDALRGAVLSPQTTPPSSPLAHRHLLEHEVTRMDTLAGIAIKYGVEISDIKRANSLVTDSQMFAHKTLLIPLPGMPMPSSVKLNGSGQRTKRARAPNHRQNRDALDSLDSSKSCQKGASPAMSTLQRYYGLTSQEGNTMDLSTEMSTYHKGGGFQSDVSETLLNSSTAPGTKGIDRNWDFDAPANGFSATNAANGNGAPKPKQDGSVRRRQKVEADAQDDFLADPIKAIKSLLPRPISSIRLNMDTGSPDSSQKSSMSFLSGFKSVTVRKSPSAPNFADAENGVSMWSSSKWTFNHDSFTRPLLDGLPKPVSARRTKTALD >Sspon.07G0009690-4D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7D:24961712:24963608:1 gene:Sspon.07G0009690-4D transcript:Sspon.07G0009690-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKRAAAVSATAVPFPAPAAAEPEPEPNHFSDYGFDPQLLHFISQPEVKRLWGKRQRHQQHQPAQLKPLDSSRFKLQKPISKKHHHHLQKQQQRRRWWSSAASAALHLFKKRPSSSTRPAAAAAAPTPPYGSAAASTTVPLYLADYDAAGGDDDGAAACTCWAPAVRSGSRLAAAELGAAAVAVPYVSLRSTSLGGGGTGGAPVMPIYLVSSRDDGGYAAAGGGWMYADWAGGGKARRSGYYGLSRRWLLLRAGAARLRAELRRELWRGRRGLQAELCLVRIDRDGRSSPSGVPIAPWPELSPPKGAGAELAPRHPVPVPMLEHVHTSLGPRLPNSSSRGSPAWTRSSRGRRCRRRGASTAALPRAQAKASLDRYLYQRWAANHTTISASHPVRGWRGGGLHGGGRQLNRGEAWRINVPVGTTEARTGCCERPRGLPHG >Sspon.03G0028660-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:8484097:8490718:-1 gene:Sspon.03G0028660-3D transcript:Sspon.03G0028660-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPRRRERRVIAIAGAAALVAVGLNIAFSAVAAHRRRKRRELPGFTAQVNLSAAEIKRIADRIISKSKETYDSVAAVPLDKVSFANVVVPLAELDVLQFPLVQACVLPRMVSPSEDVRKASAEAEKLLDSHFVLCRQREDVYRVIKAFTVKGERIGAEATRFLQCLVKEFERNGVKLSQSKRKELEKLKSHIDILNLKYLQNLNDFSKFLLLGEDELAGMPFEFLKDLEKADGKFKVPLTSYHVTPILEHCKVGSTRKHIAVAYGQKGGKDNLAILEKLVQLRHKFARLLGYTNYADFAIEPRMPRTSRKVLEFLEEMSEQLSDAANRELSILKDLKIKEEGNAQFGMEDLLYYIKRAEEFRVDLDIGEIKQYFPVSLVISGMLKMFQDLFALRFDEIKDVDVWHDTVRVFSVWDASSSDLLGYFFLDIFAREGKYAHTCVVTLQNGCLCSNGTRKVPAAVILSQCPKEFDGNSALLRFPEVVRLFHEFSHVVHHVSNRATFSRFSSLRLEGDFAEIPSLLLENWCYESISLKMMSGFHQDITKSITSEACQSLKRRRDLFAGLKMKQEILFYIWSEVFAADLFVSKFKDDLLNQHAGLRFRNKVLALGGSKDPLEIITDYLGREPSLQPFIQSRTRNTIEGQLIVVRFQLMSEHVN >Sspon.02G0025840-3C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2C:95410099:95410720:-1 gene:Sspon.02G0025840-3C transcript:Sspon.02G0025840-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VSRLLTFSNPRVAFAVAGTRGKLPPGLRTVLLDSPTFLSFLHEPEPHQQDGNGAVVMVRQSDPAAVLYSSGTTGRTKAVVFTHRNLMPCTATPAPAPGPEVIMLTVPLFHVYGFIVCLMAASAAHTLVLHTTRRFDAAAVLAAVGRFGVTRLALAPPALLAIVRAAEQDAAATRVATLKAVICGGSAVAADLIARFSRQFPAVSLAQ >Sspon.02G0020690-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:70185151:70187121:1 gene:Sspon.02G0020690-3C transcript:Sspon.02G0020690-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHQMKHRSFLCFIFSLVFTFSDFAASDDQFVYNGFAGVNLTLDGNALVTPDGLLELTNDTVNLGHAFYPTPLSFNQQLNGTVQSFSVSFVFAILSVHADISADGMAFFVAPTKNLSNTWAQYIGLLNSGNDGNTSNHMFAVELDTTQNDEFKDIDNNHVGININSLTSLQAHHTGYYEDNSDSFSNLTLISGKAMQVWADYDGETTQIEVKLAPVGAAKPVRPLLSAVYNLSVILKDKSYIGLSATTGAISTRHCVLGWSFAMNGPAPAIDISKLPKLPRLGPKPRSKVLVITLPIATGLFVLAVGLVIVLLVYRRLRYKEGVAFGLFYLHEKWEKVVIHRDIKPSNILLDSEMNGRIGDFGLSRLYDHGTDPQTTHMVGTMGYLAPELVRSGKASPSTDVFAFGILLLEITCAQRPVKQNAQGAQHTLMDWVLEHWHNGLLTETVDPRLRNDYNFIEACLVLNLGLLCSHPFISARPTMRQVMQYLEGDTPIPELTSTHFSFTMQALTQDKGFESPNMLHPPLTT >Sspon.01G0048760-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:110235721:110239899:1 gene:Sspon.01G0048760-1B transcript:Sspon.01G0048760-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAVAPAATLSLVDPKSEGGKGPETKDKASGGLNGVSKGEVVSLNPPASSVEPWAQQDYKDAAMYYGAYPGAYYCGGWGDYSVYLSQDGGDALSPGVYGDMYCYPHYGIADGQIYGSQHYQYPSTYYQPKTTASKPAYKAKTGKSSPSIQEDVSTVTAADQHPVLLDSSKTTLKSIDGVKGLKKETLPLKPNGRFGNYQNQGSKTAYPWSGGRTSSEKHPKFSGGSPTSTVSNNNKGLHGQNSSMGLPSAGFMSSMYSGSGMYNTNTYAPSFWYGSHVYGPGLYGGWNAFSNGKYRPRGKTYGSYGFGNENLDGLDELKRGPRSSLLKNQQGSGAAVDAKGQEPPSSDGSNAVKQEQYNLADFGETYSDAKFFIIKSYSEDDVHKSIKYNVWASTPSGNKKLDAAYQEAKEKSSSCPVFLLFSVNASGQFVGLAEMVGRVDFNKTVEYWQQDKWTGCFPVKWHIVKDIPNSSLKHIILEYNENKPVTNSRDTQEVKLDQGLQVLKIFKDHVCKTSILDDFSFYDDREKIMQEKKSKRQHPVEVMNRKLAATNIAENQATDGKQSLQKPEAIGEQNAVVENGVEAVAVNGLAPEDIKPTTEEAAVANGC >Sspon.07G0028450-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:67972887:67980845:1 gene:Sspon.07G0028450-2C transcript:Sspon.07G0028450-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALASFLSARCHSTAHLSELLLQVNTPGLLKFLSSTPIACTVLGRAQEVLPQNLDLDGRNRQHLMLMLPGGTEGKSLKRYLDCSLSRSALINYIVTFDVHVHEINHAGTGGLWEELVSNRGFEAGGPYTPSNIDPWNIIGDESSIYVTTEPVSCFARNIVALRMEVLCDKCRTGGVGIYNPGFWGMNIEEGKTYNLVMYVRSLEPVELTASLTCSRPSHALENLASASIHDNDVSNWTRVELQLLAHETCRTSRLDLTTSKRGVIWFDQVSLMPSDTYRGHGFRKELIHMLLDLKPRFLRFPGGCFVEGDRLRNAFRWKETIGPWEERPGHYGDIWNYWTDDGLGYFEFLQLAEDLSAAPIWVFNAGISHSEGVDTTSIAPFVKDVLDSLEFATGSAESTWGSVRAEMGHPEPFPLKYVTIGNEDCYKEFYEGNYLKFFKAIRKAYPDIQIISNCDGSSQPLHHPADLYDVHIYSNASYLFQMKSMFDRTSRKGPKVFVSEYAVTEPKDAGNGSLLASLAEAAFLIGVEKNRWHVTRHSSSITTNLDAIVFNSWQQYGTPSYWMQTFFRESSGAEFHPVKITSRYYDSLAASAIIWRDTDNSFLRVKIVNFGPHAVNLTIHAHQLRATVDARGSRVTVLTSSDVKDENSFRNPRNVVPVTRALPNAGEKMQALLAPYSFTSFDLALEEYGRVAEM >Sspon.07G0006150-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:16041702:16052892:1 gene:Sspon.07G0006150-1A transcript:Sspon.07G0006150-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHGAGAAAGSDAPHAEVVSMGQTNPSPYPPLSSSHHSWSTVTGSATAMVSWNNTVDKLSQDTVYYDPQRDVSVSGGNQNVGSSVPHVAQSSMGMTDAAHSHVPYSSSAQHVYNPVEYANYYYSYPQATNDSSVQQGANQHPGAAYQPLTSFQNSGSYIDPTSNTYYNAGGHQTVPGYGSSSYYYQNNTWNGGSTGNNFAQSYQNYSSSDTNAQQSSTSVPANSLPYQQQYNQWPYYYNQSVPSASSNPVAGNSTTDNLAVNTPSGYSYPSSQPPPPGTTSWKSNSVASAAPPMQVPGHQNQYANQAEGHYNQGPGVQWSQNHYAYQTQPYPQKTNSNHPQLSNPEDQQKTVDSNGPSTNLSSNHVSENFKPNLQGSVTMDNSSESKIQVPINPRIAPGFSMVMPKNEKKNSGLDLSKKPAYVSVSMPTNDAKATQVGPDARSIPFSLRNYAMRNLNRCKNDAQRAACRSVMEEVTKKAIAEGTLLTKNWDTEPLFPLPESVVGMTGTSSVNNLSPFSSVSTPRKRVKSRWEPVVDENVTNKVEQIAKGLISSNVHSTLDPKNRMGSSWDHGKFLQSREAPSNKVNQRPAKKQKMGSNLSQIQNGSASSDSEKEHDLTKYYASATALANSPEEKKRREHRSKRFEKSKDSSLKSRNTSANSDAMANLRVRTAISSLRTRTYEEGTLAVEDMDWDALTVKGTCQEIEKRYLRLTGAPEPDKVRPEDVLEKALAMVETSQKNYLYKCDQLKSIRQDLTVQRIQNELTVKVYETHARLAMQAGDLPEFNQCQSQLKRLYAQGIKGCYFEFSAYNLLCVMLHSNNKRDLLSSMASLSKEAKQDAAVKHALAVHASVLSGNYVLFFKLYKKAPNLNSCLMDLYVERMRFEAMKCMSKSYRPTVPAGYVAQILGFLRTDTEGCATNEDDGLEECEKWLKAHGTVLSEDHSGELQIDMKASSSTLYMPEPEDAVAHGDASLAVDDFLARTDGHVKRCSSNGPTVDTP >Sspon.05G0000790-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:2772195:2773697:-1 gene:Sspon.05G0000790-1A transcript:Sspon.05G0000790-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVATRCFCCGRALSPGRRRAWSGICFIVCWVTFVVAELCLLAGSVRNAYHTKYTSLFSKGPPQCAMLRKGVFAAGATFTFLTALFTELHYLFYARAREAADVPPPIVGGIGMTR >Sspon.01G0034170-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:2450785:2451291:1 gene:Sspon.01G0034170-3D transcript:Sspon.01G0034170-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGKLLLLAAAVLAASLVADARPCGHTQTLLVSFSSVSRPNPDPTNPTPLTTTVVTVLRVRRLGPHQIRRPVALPAAEPEVAASSVQDRAKDILVVVSGLLFGFGCGALTAASMYLVWSLLASTCASGYDDDVYSDDEDQLSDSESPKKAGYVIIHDADEYGAGKN >Sspon.01G0019230-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3A:40005662:40010594:-1 gene:Sspon.01G0019230-1A transcript:Sspon.01G0019230-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVLGAFVPDTAVRWRGLVTGEVARRMGVAPEAKTLVARLERVGAAVRDAEARAACGDDGAARWLANVRAAAYEADGAVDRCRVAARRRRAHDQQQSHHHQARSPLRFIWRATITALLQGRLQVILKEKHRLQLRSSLGDHHSAPVRTAHRLRKPERTRTSDADIVGSRIEDDAAGLVRQLTETDGRTGCTIVAIIGPDGIGKTTLAKKVYGSERIRHAFGARSWVRIPREYNEAALLSQVIDSFGGDTTGGESFADLERTLVRLVEKKRSLLVLDDVRYGGVWEDVLRRPLERAGRGSKVVITARHGSIAREMGAGHVHRVKKLDINDGWLLLCTAASIVDEATAGELKGVGEGIADKCGGVQLAIKAVAGVLRTRDATTQEWGEVLASPAWLVKGLAEDAMKPLYLCYDDLPCHLKQCFLYCSLFPSDLAMDRRVLVQLWIAEGFVQIRADASVEEVAEGYYDELITRHLLQPAEEDEHGGAAWCTMHDMLRALAQLLAHSEELTGDSYRLLVDSGAAFAPRRVSLPGRNLAAIPEKILKLERVRTLLLQKNPLTIEGSIFTRLQHLKVLDLSETAVELIPENLGNLIYLRFLNLSHTRIQAIPESVGNLWSLKFLLLRGCKTLHALPKGIEHLRGLRDLDLAGTVINDAAFRVGHLRSITSLRCFTVTSKEARAAHDRSGWPLDELKNLSQLRTLHIQKLENAANRWEATEMLLDAKKGLRELELSCSSTVRPLQTPELVRKIEDIFEEMNPPLCLESLKLVNYFGTRFPRWLSVTFLPNLHDLDIIGCNFCQSFPPLGRLPELRSLYIADSLALKDIGAEFTGTEHPHQVPFPKLENLHLQGLQKLQTWTDIEPGAFPSLRQLQLESCPNLQNLPVGLRHVTSLTKLHIADMASLEAVDDIATLRELSVWNTPNLKRISNLPSLEDINMCHCPMLESVENVDGLQTVHIFDHDLRDMPRWIEAHASKLRSLNFTSTVELLKRCLVDGPDWPVIKHIKEVHGYSTGSNYIYYNRSPYIFESNVNDEDNLCVRENEADPDNVDDISVSSSGTGYLEIRGFFDSKVLKEGTTRAEEILSGRSVGRTMMGFTHRRLHKLAPEDDEVEDRTDSAMLLPSNPTRATPSAAKVGCVMTEYDNDPGSLPKATSYESQAITNDEICHDNIVTLAFPRQSMSKTAKDVSSKPSTDGYATLTKSAAPIGHNLVRQGSQAINSTEIGQGSNFSSIQRKEHTSKKGEGINADVTVAKGISLVHSRQVMTSNNGKDDFADNAAATICSSDIASQKHIKGQTATSANGSINATPMPENPSDKERPEKSAGVTGSSFIHEASHTVFVNETTRDLASSLIHSKQQMSDKEGEVSDALGPANAVDNNGNQMEDGNISSSVKLNHKESNALGASTTNCDTGPCKLLASLACSNQQTMKTLQAASADQNDGTDASSKKKSLGMESKITETARSRCAVESVKYSSGETAKNISRSLAKTADPTSHPIDITEAAMRKAKATTVRKHSMNEAVNDDRTDKDAPCSIDAKADDSQQAPKVHTAVWVDTDTDALRARLVDSMRHLRRMASRRRHRQRKRGSNNRWSIGPALVVILLLVSV >Sspon.01G0018990-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:70936644:70938353:1 gene:Sspon.01G0018990-1A transcript:Sspon.01G0018990-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEAPVLVPQQAVSPPAAALSKKTLASDAASTPKRRAARRKTLCDITNLSKREPADVPVPDESACPAAPAPASAGGVEEFAQLVKKNEELVRLLAERDEIIQLSGAEIQKLRVANSELARTNSQMMAEVNLARNRLKALQHELACSRASLKAKTSELEDVKKTMQQRNAPVQRTQHLGPDRAAQTKDGDVVDAEPASDASRARSIQRSGNASRKRVLRSRSLGPTTSAKLALPKDKDRDASQRRKSMRIPQPSNRTEGLFEIENVQLAISSCKIDPESASGSEKSGHQFLPRSSLGRPLRQAKERVTSYKEMPFHVKLRRP >Sspon.02G0036970-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:28577322:28578758:1 gene:Sspon.02G0036970-1B transcript:Sspon.02G0036970-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVASFSANRAYAACNDLPRLGASVHWTYDRASGDLSVAFVAAPAAPGGWVAWALNPSGDGMAGAQALVAGPFSSDGGAGTSWAVRTYNISGYALGEPGPIAFPASDLAAELGADGRVRVFGRLGLGAAGYGGGVLNQRRRPRAHAMGADNLAAKAKLDLLRATTAAAGVDSATRKRNIHGVLNAVSWGVLLPMGAIFARYLKTFQAADPAWFYLHVTCQLIGYAVGVSGWATGIQLGKESKGVTYTDHRNIGIAVFALGTLQVLALFLRPKKEHKYRVYWNMYHHSVGYTVIVLGIVNIFKGMNILGVEQRWRTAYIAAVCVLLIAAATLEAVTWGVVFRRRKAESKTFNSASNGHLPHSV >Sspon.02G0032960-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:3282602:3288020:-1 gene:Sspon.02G0032960-1B transcript:Sspon.02G0032960-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRVLNPNAQVLNNSEALHMTINAAKGLQDVLKTNLGPKGTIKMLVGGAGDLKLTKDGNTLLKEMQIQNPTAIMIARTAVAQDDTSGDGTTSTVLFIGELMKQSERCIDEGTHPRFLVDGFDVAKRACLDFLEKFKTPVVIGEEPDRDTLKMIARTTLRTKLYEGLADQLTDIVMNAVLCIRKPDEPIDLFMVEIMHMRHKFDVDTRLVEGLVLDHGSRHPDMKRKAENCYILTCNVSLEYEKSEINAGFFYSNAEQREKMVAAERRQVDERVRRIIELKNKVCAGGDKNFVVINQKGIDPPSLDLLARAGIIALRRAKRRNMERLVLACGGEAVNSVDDLTEDCLGWAGLVYEHVLGEEKYTFVENDLMTIPFAQIKDAVRDGLRSVKNTIEDEAVVLGAGAFEVAAKKHLIDNVKKTVKGRAQLGVEAFAEALLVIPKTLAENSGLDTQDVIVSLQNEHDRGLVVGLNHHSGEPIDPQMEGIFDNYSVKRQIINSGPIIASQLLLVDEVIRAGRNMRKPT >Sspon.05G0001600-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:3708031:3710552:1 gene:Sspon.05G0001600-2P transcript:Sspon.05G0001600-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGAGESQEVERLLSYADDLLGVLRVSTDRDDNAQVGAGARRLVSACRSESDDLELQIKVVHDELDDLDRQRVSIKERTDAVKKKKKDTQKAKRMLSMCMSVTNIVPNLEDQDKVSGKIIDQNRRKMENFEFEKTTPPVQICDELWKKI >Sspon.08G0015500-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:62058188:62061502:1 gene:Sspon.08G0015500-1A transcript:Sspon.08G0015500-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDDTSASSGSCIRIYDPKSFEDNFRIFKKQDEIRPDDDDDSRARADRAQRSFDGLIAFLTGLFPYLPDAEALAYLDAAGLDPLVAALLIISRRGIGDDFDLCSPITEAAAEVALRCAAAAAKHPDPRWLVQAWKKLLPPVVEALGGPAPPSRETQGDVVLRALDAVAPDVLQLKGSWDLAKGRLAAASSKIYAAPPKELPPVRAAMKRMLLAAIHGLYVQALGRLPTAELCSRYHRSLLLGGYCYGPLDPVSNIIVNTIWYEQNFPTGKQFSVSMVSTQMLWRVAARSLYGLISFLCTRHQGLTPDEAVQCLLMTGTYLQAADSNLSARLSATGEKPLDVSDSTIFPNSPAVEESTRVASLVEAYTAAATAAFPSSLDSVPLAHPEFLAHQGFGSVSEDGRLFELHIFGFNEFVSGPMHSKEKVEDYNPWTPDKYCHTHINFLAICKDRPYDPPTIFFAECGKDGADTCWYVPIIPQKPKAEQPRCVYCEHEANRIVHPTVESFYGRDEFDMLFYESDRKFYTNNELVITQNNIWSVQQAKNVLLAS >Sspon.01G0039880-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:37450961:37453452:-1 gene:Sspon.01G0039880-1B transcript:Sspon.01G0039880-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIRWSATLVTMEEAAVVTDSRRFRRSRGLERGPFPMVRSSRRGGAASVLIGGGARTAAAAWPDVAIGEAARRVSMGNTKPCPAEARAAVGSFTGVDTASRHSIRLRRRRRCSELGFGDDAACRSGAAGRDGRWRLTPAPPQARHGEGPSRRRRRFDVHRHGAGPVRGASDVRCQRRTARAGTVAMFVAVKRQPRRARSRSVLGAVVRRGWRRSHWSSAGATTTSTTPTNPHPKPPTPSSAFTDPAFAEKIAEGVEEFVADVVVVVRNPHVDGAVEDHVDEIDEKDRRRYTTARPKPRRRSHVGHPNPSPKAKRRRCCFGWEMGMGMGMDEYKRSTASQQSRAGLHPQPPESRSLYRPPTGPRAPRMRTGPANRAPATDRIGPGLRVISLLKTPISPLIVSLIHPVPKQNEKVLLLLLEREGKRKEKKGGNLRNHLETASGPWSGAERSEQAYCAGGSDVLPKQKRLRSVHPVLEFFSPSFFARFLDANSGVGFEVLIHSSRFRK >Sspon.05G0029530-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:74674173:74675849:1 gene:Sspon.05G0029530-2D transcript:Sspon.05G0029530-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AMPKPRSSLLHLLLIASCIANAAAADDAPFQGEWQLLHASIGVSAMHMQLLPGDFVLMFDRTDTGPSNISLAVLAPCAATADGADCTAHSVLLDLRSNVLHPYPLATNPWCSSGALLPNGTLLQTGGFSNGDRVARLFSPATGWVELPSFLAARRWYATDMILPDGRVLILGGRRQFNLEYFPHADAAPALTFFPFLDETTEPDAENNLYPFLHLLPDGTVFVFANDRAVVFDPYNRAPLRRLPAVPGGVPRNYPSSGSSVLLPLLPDAPAHAEVLVCGGAPRGAYHLALRNGTFVAADRTCARVAPTDPDPVVWAIEEMPMARVMGDMVLLPTGDVLIVNGAAAGTAGWELGREPVTRPVLYRPDAPLGERFDHASSPLAASAVPRMYHSSAALDTYGRVLVGGSNPHVGYVFSNVTYPTELSLEAFLPPYMDPRHDGARPRLLLAPAEVGYGEATAVKFVIPAGMMAEGASAGGGVVRVVAVAPAFATHSFGMNQRVVELAVGRVAELDVGVYEAVVAAPPTPGVAPPGYYMWFVVHAGVPSSSAAWVRMRPLGPGT >Sspon.06G0010850-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:90848025:90849772:1 gene:Sspon.06G0010850-1P transcript:Sspon.06G0010850-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding METLAAARRAKRRKPEAPETAEQEGGVNISPLPATSAPDSSPPGAGGDEPYIQDPPPGAGEEEGDGIDRISLLPDAILGEVISLLPTRDAARTQILATRWRHLWRSAPLNLDGGDLRTIDVVSRILSAHRGPGRRFRFPAQRLQDHPATVDAWLRSPALDNLQEIDCWIRFIWGSQVLQPPPPPASAFRFSSCLCVATLSQCHLSDGVAQALQFPKLKKLALQCISISEDSLHSIIAGSPVLECLLLSTIFGFRCVRINSASLTSIGVGANAGYEPTLTFLEEFIVEDAPCLKRILYHRPTQRGMRLQVSVISAPNLETLGCLNSSDYSSRLTLGSMIIEPCSIKEFRVFNSTTPACTVKILAVYIFTLSLDVIIDLMRCFPCLEKLYIQSYQGGDKNLWRRKHRNLIKCLDIRLKTVVLNRYRGIRSQVNFATFFVLNAKMLESMTFQGQRYNVSERFLAEQHQLLQLEKRASRCAQFYFTTKRCDHDFMHINHAHDLSITDPFECEGTG >Sspon.01G0004780-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:13001132:13002728:1 gene:Sspon.01G0004780-1A transcript:Sspon.01G0004780-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGKASSPPGAAAAGGSGSPPGGAVVCCMCGDRGLLPELFRCSACSVRSQHTNCSSALVIILAPSRTPKIKPSIVCVRASDLHLPDDPFRRLYCTDRYPKVESYGTCNWCLRADGGVASTSNSPRSTGKAAARSAPHGGDTTGSGSGRSPKVAARGDFASSNLSKPIKKQQPQQQHAQRLLLRRSASDLGSRVRTDHNAPPPSPGVARGRPRVRRYKLLEEVITS >Sspon.05G0014850-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5A:56879355:56879842:-1 gene:Sspon.05G0014850-1A transcript:Sspon.05G0014850-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEGCTPQQGLLMLIHQDAVRGTTWSISGSMLTYSSPIRSGDEPRGASRGLRRQRRRYLWGENLLGLQYDDDDNDDDDEGEEDEGDAVDEEVQRPRSRRRFIRSRSEERA >Sspon.03G0006060-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:16812241:16815827:-1 gene:Sspon.03G0006060-1A transcript:Sspon.03G0006060-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVKPEEISHPPMEQLQGFEYCIDSNPPWGEAIILGFQHYILALGTAVMIPAVLVPMMGGDDGDRVRVVQTLLFVTGINTLLQSLFGTRLPTVIGGSYAFVIPIMAIIQDPSLSGIPDGHQRFLETMKAIQGALIVSSSIQIILGYSQLWGIFSRFFSPVGMTPVVALLGFGLFERGFPVVGRCVEIGLPMLILFVVLSQYLKNIQIKEIPILERFSLFICIALVWAYAQILTSGGAYKNSSEVTQNNCRTDRANLISSAPWIKIPYPLQWGAPTFNAGQSFGMVSAVLVSLVESTASYKAAARLASATPPPAHILSRGIGCSKLKKMLCEQQGIGILLDGLFGTGTGSTVSVENVGLLGSTRIGSRRVIQISAGFMIFFSMLGEPLFDHRPECEEQLLTPFIYRIAGKFGALFASIPFTIFAAVLRLVWTTAVGLSFLQFTNMNSMRNLFIVGVSIFLGLSVPEYFFRYTMAAHRGPAHTKAGWFNDYINTIFSSPPTVGLMVAVFLDNTLEMKDAGKDRGMPWWLRFRAFKGDSRNEEFYSLPFNLNRFFPPA >Sspon.07G0035260-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:4125069:4127399:1 gene:Sspon.07G0035260-1D transcript:Sspon.07G0035260-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNIASESGSDHDGALQKPLLPNSGSGYRMGMGSRQSSLNAAGTSSIAVPRESHVAALLCTLIVALGPIQFGFTNGYSSPTQDGVIRDLNLSISEVRPGCSFYVCSRARAHTLSSRSARRFLLVSFQNEFELRRPPQFSAFGSLSNVGAMVGAIASGQMAKYIGCRGVPVYIAEISPPNMRGALGAVNP >Sspon.03G0023130-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3B:94150068:94150387:-1 gene:Sspon.03G0023130-2B transcript:Sspon.03G0023130-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ASRRRPPPAPGPRRPRRGAHRARFRPAPTREPPPPRARLRGRPRLRAAPRGHAAVPPHPCAPPIRRHAQSSRRAQHAQCAHCLPASTRRGPGPRPLHLRQRVLRRQ >Sspon.07G0034140-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:69723405:69724780:1 gene:Sspon.07G0034140-1C transcript:Sspon.07G0034140-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding CDGGCLRSFHLTEEHGEGSKCPSLGIDSEEAKMIIDKKDFICKNCKYKQHQCSACGLLGSSDLSSGAEVFQCKNYNCGHFYHPKCVSKLLHPGDKLRACHFEQYVAAGLKFLCHVHKCSVCHGAENRDDKNMQFAVCRLCPTTYHRKCLPSDIPFEAKEGPNGYIFQRAWDGILRDRILIYCMKHEIVKELGIPRRKLIIFPYAENLCVPNGPESAPKEQDTLAEEELLDHPSSEPSQSLPSAAAQNQCFCSNPMDSFAPKSLFPHPYPGSCGWLGD >Sspon.04G0008840-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:26128927:26134205:-1 gene:Sspon.04G0008840-3C transcript:Sspon.04G0008840-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVNAQQICDPLAPGHMTPTVLMEFGQQRPIKRGYEEMAFRGVAAAASRGYTETVGESEVAAGSPVRVDSEDSSAPKRKCISLNSDGFDVKREIFVPSKMSSSERRYLRKRFRAELDSVRDLLKRPEFAAPVPLSRAPALSSSAAPRAKKPQKSQRGVTNVIRGAKGRFLPTKPRPEPSTVLSEAAVFKQCEAILKKLMTQKYSHIFNVPVDVVKLQIPDYFDIVKTPMDLGTVQKKLESGSYTSPSDFAADVRLTFNNAMAYNPKGHAVHDMAIQLNKMFESRWRPIEKKLASVATEKHVEVDRADSKRRKTPPVDRSDVSTEGVRQTEPEKPKMTAEEREAFGNCLASISDELPPHIFELLQQCIDSNTDMPGDGEIEIDIQAVSDDMLFELKKHVDKYLQEKEQSQQVKSEPSENEAVNVSGLSHSSTNPCKGGEPIEEDVDICGNASPIMLDKDAQIRSSKRGSPSSSSSDSESSSSDSDSGSDSESESEKVGSPGKLAKGTKKPDQLVEQEKSDVISPADANRPADIVGLHGEDSESKPAPGAGTYEAVDRSQCTKLIGSSDPFPMKHLGENSKPDTQVSPDRQLRAALLRSRYADVIVKARGILSQGGDKQEELEKLQKEEKARLLAEGNAAMEARRAEAEAEAKRKRDFEREKARQALQEASVDLTHQ >Sspon.01G0024850-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:88846044:88850426:-1 gene:Sspon.01G0024850-1P transcript:Sspon.01G0024850-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATNGSSARVRDTESSLEKVKRQLSSGSGRYLLQGPLLKRSETTLGLLKRRITFFALKLLVLRKLGATQLVLRAHKEAVNSLAGNGSPATLGTVATAVANANATAMEATKEIEAALKVSMRAALGLGTNNSNEGQLDDLTIMKETLRVKDEELQHLAKDIRSRDATIKEIADKLTETAEAAEAAASAAHTMDEQRRLLCLEIERLKQASERQIEQSMLKLRQSEEKVISLSKEKEQLMKERDAAFQEAHMWRIELGKAREQAVIQEATIARAEEKARVSEADAAARIKEAAEKLHTVLEEKEELLALVAVLQSQVQREQSSTKQVCEERSESCSGADNSPPLTKHVDASDDDVDKACVSDSRSVLVSSDSTEVQLAVDGVDIRPVGDAEWGSFQQSEALIADVREVSPEADGGSLDIPVVNPPPVSDHIQGGATHP >Sspon.02G0019120-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:65017570:65019681:1 gene:Sspon.02G0019120-3C transcript:Sspon.02G0019120-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding YEDEPPEPEIEEGAEEELENNNEDAPDDVVGAEAEDKEQEKTARTRKTTKYMTKYERARILGTRALQISMNAPVMVELEGETDPLEIAMKELRARKIPFTIRRYLPDGSYEDWSVDELIVEDSWKRQVGGE >Sspon.05G0010110-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5B:23889045:23890071:1 gene:Sspon.05G0010110-2B transcript:Sspon.05G0010110-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFQALCGFLPFLDAISDSVTNLHSSIAFEGPSYSGQYISGLNNDTDDENKSIRVIEDDSDDALGWQLWTLDLLDTSHVHVDLVFPCACHTHTASVVGSRPSSRRSSHTKAILRSRSSRGSGSSLQRLVATHEEYLTYAVVVATLQVFLRLTRANVTTLFLPMLAQATGCGRSSWAAQMGDAVLVVVTTCGVLGSALAARQLGREAMCAISGVLIVFSQVAVPAIMAAHAGLSLSGGARMTGGHAAELFALACAVSGGFGWAWGALFWAVPGEGIRSVGQAAGAAMGFGLGFAQMQCFLLTLRQLKHAAFAYYA >Sspon.08G0008110-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:34106253:34106877:-1 gene:Sspon.08G0008110-3C transcript:Sspon.08G0008110-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEYLESMKSLRSYMNDLEDDAAKRSVEEQQQRTAIDAHDADIALVRAQAKQVSEEAEQLGTARAKIGMQMAEKQGRIATLEIECATLKQWEIVRIWWRKGNVAVDS >Sspon.06G0007980-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:41595519:41599017:-1 gene:Sspon.06G0007980-1A transcript:Sspon.06G0007980-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GLDGEATEPMIKELDEEREESQDPEIEFAIAGAVRECGGLEIILSMIQSLRDDEFRSNQEELASVLNLLKYCCKIRENRCALLRLGALGLLLETARRAFSADAMEAAEGILLIVESLTMEANESDISIAQSVFTTSIEATGAGEEARKIVLMFLERICHPSGAKKSNKQQRNEEMVARILPYLTYGEPAAMEALIQHFEPYLRDWTEFDQLQKQHEDNPKDDNISQKASTQRSAVENFVRVSESLKTSSCGERLKDIILEKGITKAAVEHVKESFASAGQTGFRTSEEWTAGLKLPSIPPILSMLKGLAKGHLSTQKCIDEEGILPLLHALEGVPGENEIGARAENLLDTLANNENNGDGFLGEKIQELRHATRDEMRRRALERRAMLLKGMGMRQEFASDGVRRIVVSQPTIEGLDDVEEEEDGVACMVCREGYTLRPTDMLGVYAFSKRVNLGATSSGSGRGDCVYTTVSHFNIIHYQCHQEAKRADAALKNPKKEWDGATLRNNETLCNCIFPLRGRSVPLGQYTRCVDQYWDQLNSLGRADGSRLRLLTYDIVLMLARFATGASFSTDCKGGGRESNSRFLPFMVQMASYLADGSANQQRHVMAKAVTTYLSGSASTLDSPIRVSASVSGSRGGSGSSEETVQFMMVYSLLSESYESWLQHRPMFLQRGIYHAYMQHKHGRSTLKLSSDSSSLAVRSDEGSSSDTSDEKLFAIIQPMLVYTGLIEQLQQFFKKGKSSSMRKMGEEDESAGSLEKWEIQMKEKLGNMKEMVGLSKNLLSWLEDMTSSDDMQEAFDVMGALTDVFSSGYATCEDFVRAAMHAGRS >Sspon.04G0021070-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4A:73844075:73848543:1 gene:Sspon.04G0021070-1A transcript:Sspon.04G0021070-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGREITKIELKILKAL >Sspon.03G0032070-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:32925790:32933984:1 gene:Sspon.03G0032070-2D transcript:Sspon.03G0032070-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSAACSMASVSRALRPRPRAAVCSAARLGCGLGIACSMPSLGMGNEKHELGLAVASAPAATTTPVLRSRQILCMAEANVSSNLPDSLPTGVSQYEKIVELLTTLFPVWVILGTIIGIYKPSMVTWLETDLFTMGLGFLMLSMGLTLTFEDFRRCMRNPWTVGVGFLAQYLIKPLLGFSIALALKLSAPLATGLILVSCCPGGQASNVATYISKGNVALSVLMTTCSTIGAIVMTPLLTKLLAGQLVPVDAAGLAISTFQVVLVPTVVGVLAHEYFPKLTERIITVTPLIGVLLTTLLCASPLIIPVALLHVAAFALGYWLSRLSTFGESTSRTISIECGMQSSALGFLLAQKHFTNPLVAVPSAVSVVCMALGGSALAVYWRNKGLPANDKDDFKE >Sspon.01G0029470-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:102486826:102488074:-1 gene:Sspon.01G0029470-1A transcript:Sspon.01G0029470-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSRGFDSAGRIFSNATEMWEEELGSAATASTAGEVEAAPAPATATEGSGGASEEGAGDGKRKEWYSKAIAYWQGVEASTEGVLGGYGCVNDVDVKGSDAFLRPLLADRFGTGKRRLVALVYGILMSKLRCSYVSFFTLSDCGSGIGRVTKNFLLRHFNEVDLVEPVSHFLEAARENLTGYMDQGEDSHKAANFYCLPLQDFTPEEGRYDVIWIQWCIGQLPDDDFISFFNRAK >Sspon.08G0009980-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:43501787:43504717:1 gene:Sspon.08G0009980-1A transcript:Sspon.08G0009980-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LPLRPTRLTQRHIPSMAALADVDSSALPPSESSKGKGKMDDEAEAEGAEKMCGICYVDGRRAIRGELDCCAHFFCFVCIMAWGRVESRCPFCKARFRTIRRPPVAGRFPDERIVSVPERNQVYNPQGNGSSTVGGDPYVNTICTVCSSSSDDELLLLCELCDSAAHTYCVGLGTTVPEGDWFCKDCGTIRDDQLRWQAENQGEFEIGIDVPEAEPVPDPLVSGVVDEEHDLERTDARSSDGSMGDPVPSIYDIVDDDFATIAGIFRRRITEDIRPQGTSAEDIRPQGTSAGSQCPRSTQGRDNGLAAYHARIRLEVERARTLRNSRNLDKRVRELRENWAALRDGSVGFAPHMPGRRKDMAGSASVATEHQCYAEPVTPSSRNGVAAASVPLRTPLSEETSTSLGHSKKVLHKDTRDARKAWKRLEMAKSSGGRKISNTPASLNCSPPFSMGNRSTSYSPIDTIVGHKNGNLPNKSCQQNYSICGHGTKVEHTPAANNSRGCHSLPENSRASVHERMVSFQNRINQESLNDKVASSIHNQHVDQSLVSSCSICRPEKLKSDMLHPQKYSSSSGQSAVTSSLQLGPSVGSGSQSTMVVNPEECSAVCDEGIAATIEVRKSSSPDRHEHKRKHSSERCHDQGSKRFRSTCKIAKSEISSLAIRELKLLKIDKTHGSDRFKEVARTATHSILAACRFEHSPSQSLALSRPVCKHSPKVKQLNSSAITDFCRECLRNFVKEAVSLALSGRQMDQTGTTC >Sspon.03G0036480-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:90318222:90320858:1 gene:Sspon.03G0036480-1B transcript:Sspon.03G0036480-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein PGR [Source:Projected from Arabidopsis thaliana (AT5G19930) UniProtKB/Swiss-Prot;Acc:Q0WP96] MDHGGGGSIWIRAAVAVAAGAAIAARAVRRKSVDSSAVFVGVPAMVAHTIAGYRFAGLLLVFFTSSRVTRVGEARKRALDPEFKEGGQRNWTHHVQPALIGRKQVLSNSGIASILVVLIASVTGGTDRCLDSKESTLVTALIGGVIGHYACCNGDTWSSELGILSKAEPRIITTFKRVRKGTNGGVTIDGLLAAAAAGFSIGLAFVLIGLFTTQCASDLFWSQLLVIPLVTAAGLCGSLIDSFLGATVQYSGFCSVRNKVVGVDGPTVTRISGMNILDNNGVNVVSVFLTTVLTAVACTYIF >Sspon.04G0016780-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:70251557:70259522:-1 gene:Sspon.04G0016780-4D transcript:Sspon.04G0016780-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDAEADELVAAAAGIICSMRGADLAGWTPPWRKPEPEPAAREGELIWPAVARGKRSRRRSPSAGSSGGKARWGRASPASPLDYSGGSGSGSAASTSGGEDGGGFCSLAHRPVPATKVGAIERPQLITFPTPLPRPSGQRPRKKLRLPEIQQLVRSLAVENENLREEMRDLQRACKALSKENNKLEEQKGKEQLDQQSVTQSARDSFVLPDLNLPPEVSADVST >Sspon.05G0031660-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:7452757:7455102:-1 gene:Sspon.05G0031660-1C transcript:Sspon.05G0031660-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDMSSSAGDSNKKKTACVTGGSGYIGSALIKLLLEEGYAVKTTVRNPDDMEKNSHLKGLQQLGPLTVLRADMDEEGSFDDAVAGCDYVFLVAAPLHFEAQDPEKEQIEPAVRGTLNAMRSCAKAGTVRRVILTSSVAAVVNTRPDLQGDGHGHVLDEDSWSDVEYLRANKPPIWAHCVSKVLLEKEACRFAEEHGIGLVTVLPVIVVGAAPAPKARSSIVDCLSLLSGDEAGLAMLKGIQKTSGGVQLVHVDDLCRAELFLAEKAAANGRYICSSLNTTLVELARFLAQKYPQYGVKTNFNYDDEQLLERPRVSVSSEKLVREGFQYRHNTLDELYDNVVEYGKALGILPY >Sspon.01G0033780-3D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1D:115000570:115002795:-1 gene:Sspon.01G0033780-3D transcript:Sspon.01G0033780-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSYIVYLGAHAYGRDASAAEHARATQSHHHLLASILGGDEETARQSIFYSYTKSTLNGFAAHLEEAVAKQIEEHPEVVAVLESKMLKLHTTRSWDFMDLERDGQVLPGSIWNHAKFGQDVIIASLDSGVWPESHSFTDAGLAEVPARWKGSCQDTVKYGVACNRKLVGARFFNRDMLLSNPAVVAVNWTRDTEGHGTHTLSTAAGTFVPRASLFGYATGTAKGGAPRARVAAYKVCWSGECAAADVLAGFESAIHDGADVISVSFGQDAPLADDARSLFQEPVTLGSLHAAVHGVSVVCSAGNSGPYEDTVVNAAPWVTTVAATTVDRDFPNVLTLGNSVRLKGMSLESTTLHSNTLYPMVDAARAARATSNPYDASSCALGTLDPAAVKGKIVVCRRGGGEVSRVTKGMAVLDAGGAGMILANDRMDGEDIVADPHVLPATMITYSEAVSLYGYMASTANPVANISPSKTEVGVKNSPSVAGFSSRGPSGTLPYVLKPDIAAPGVDILAAFTEYVGPTELASDKRRSEYAILSGTSMACPHVSGVIALLKAARPEWSPAAMRSAIMTTARTQDNTGAPMRDHDGREANAFACGAGNVHPNRAVDPGLVYDATPDDYFTFLCSMGFSEADMKRLSAGKFLCPANKSKVPAREDLNYPSIVVPSLRGAQTVTRRLKNVGRPAKYLASWRAPLGITMEVKPTVLEFSKVGEEEEFKVTVTSQKDKIGMGYVFGRLVWTDGTHY >Sspon.01G0007920-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:30528596:30530435:-1 gene:Sspon.01G0007920-2B transcript:Sspon.01G0007920-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGGCCRRQRGPDGTPVGGRRRGCGTVLAVLALAVAAAVAFLESTAGGVSYAGDGWLHECAKWDAEGGRFLASTFFGGGVAEVRAGEAEERVVVADPDAAGRVALGLAIDAPRRRLLLVYAHRPPRFGYAALGAYDLGSWRRLFLTRLDVPGESTFPDDVAADDDGNAYVTDAKGSKIWKVSPDGVLLGVIKNATFVQRPGLRHNLVGLNGIIHHPNGYLLVVHTSGGDLFKVDPKTETVRVVKVRGSLRQGDGLELLSPTRLVVAGMPNRLVESSDDWETASVTGQYVGPIHRIGSSATVKDGDVYINHIVGFGLGKKKTHVLARAVFSPLAAAS >Sspon.04G0011940-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:36997367:37000397:-1 gene:Sspon.04G0011940-3C transcript:Sspon.04G0011940-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQLMVAVGLALCLIIISMYAFQLIADACRRLPPGPLPLPVIGNLMAVGRGNPQRSLARLAERYGPLMSLRLGVVPAVVVSSADAAREILQKHNAELADRPVLDAWHAHGHRSDSVISLPPHVRWRALRKLCATELFAPSRLKALQPLRQHKVEELVRYVSERAALGEPVAVREPLFTASMNIVSRTMFSVDLDSAGFRDTVQEAAVLAAKPNVSDFFPAIAAADLQGVRRRMEPLVAHSHQLLDEVFAQRLLEREAGEPPKNDMLDAVLDKEHEWQQKGAASIINRSTIKGLFTDMLVAGSDTSSTTVEWAMASLLENPEVMEKVKRELTRVVGTRAEVQESDIAQLPYLQAVVKEVLRLYPVVAMTYYRAEATVGVQGYTIPKGATIILNIWAVHRNADVWPDPHKFMPERFMDDGNNITADFSSKDCKLIPFGGGRRICLGMPLAYRTVHLILASLLHHFDWTLPEEARQNGIDMTE >Sspon.08G0018230-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8B:5529464:5530327:1 gene:Sspon.08G0018230-1B transcript:Sspon.08G0018230-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPDRAPPSPPRPRRRGATATAASFKSRPVPAGEPLRSTSTTPLCFGAGGGAAGSGRRLLRGSRARPPAKQPQEESAATMAPKVKGDVVGRYLRKISRRLRKARSDGKRSPSSMAAVDDTARERAELVRAISNCKDTLRRGKSRSPSPPPPPPSPSLDDSLDDKQEIIVSAAVNCDECMDSRSRGPRRRVLAGAPAGPSGCRRGRSDSRSCRARPRQAGTVRSPRAPSRTASCHHITATVGLSTSHPAPSAGAWWHAMQCNPELHRQRLSSNALALHAFVQVAMASR >Sspon.08G0005420-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4B:76561415:76562131:-1 gene:Sspon.08G0005420-2B transcript:Sspon.08G0005420-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VHSIDNELEDKTQIKPIVQTSLGLCSSVYIATSFFAYLLFGEATLADVLANFDSDLRIPFSSVFNDIVRVSYVVHIMLVFPIVFFALRLNLDGLLFPTARHISHDNKRFTIITISLVAVIYLAAIFIPSIWDAFQFTGATAAVLIGFIFPAMIILRDPYGVSTKRDKVLAVTMIVLAVVSNSVALYSDALSIFYRKQDA >Sspon.05G0001710-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:5603795:5609738:1 gene:Sspon.05G0001710-1A transcript:Sspon.05G0001710-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding FMARSKNGCLKILVCAGSGSDPAAGSDADADDHPDQNKAISDKSRWSFRRRSTRHRALKNSDISEPETLSSSKAKADIAPSNNVYTSTYSYASEKPLQQDKPDEKILHQEKPEEKPLHQENSDEKLLEKPIEKPIDKLMEEPADQIIEKSIELPTQKITESPTDEPAEKINDAPTEEPAEKITETASENTAEGTIENATEETAERAVEELIEEPDETISVSSTGPKQGENTSLVEGSSADPEEDHLESAATNLQPGSGTCQATEELLNQKDLVKLQAVIRGHLVRKQASESLQCLLAIIKIQGLIRAYQAQHSPGKIQETIVHSSGEKLLRNGFALQLMDNTLTSKSIRIKCDPSESDVTWEWMERWTSLVPPITVEHLPEHKENSELMGETVTEQVTEHAQCDEDIVQLDSELSFPKLVADDVKETVEKSDSSALEAPASVPDESPKMEMRHDPESELIETTNIDAEQVTDQKAENDVEEPFMSSDQQYAQADASREPSPLPGKFESSNEDSGDAYNPEQTLEMEGKRFVARKSCNPAFAAAQLKFEELSTNSIVSRSNSSSHLDGVSKSRVHTPSSQEDYSSTQDGTGIQESSVGHDTKMIVAASECGTEISISSTLDSPDRSEGDGGEIVMEIGALENRNYVTDKADKDSNIVHSEVKNAPELEAQPQKEVEQNGHVPALEIEAQPQKELVQEPHVEPEMSDLHERLEKSVASYATPEGTPMSRTTIPESHGTPSSEVSVNTKKSRSKKPKSHASKRSLASPGSESVGRSSTDNFSKESRHAKRESSSKAAKSDHIDQEPRMSNSNSNPLPSYMQFTESARAKASSPKMSPDVQDSNPRKRHSLPMTNGKHDSSPRMQRSSSQAQQNVKSNGPAPHNASATNQLFSVRITLLRIVCFMARDVS >Sspon.03G0008320-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3A:22508699:22509071:1 gene:Sspon.03G0008320-1A transcript:Sspon.03G0008320-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding STNIRARVSIHVLTPPRPHPATALPSQAHSLCKPPAALCSAAPVSRFTQGSRHASDKRGSAYGASDSEGPGRGVVWMPCRLQERRCNRMWEEKRCNTRSTFETSKYNSCNIHLKVIKALEENT >Sspon.01G0009220-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:94299068:94300372:-1 gene:Sspon.01G0009220-2B transcript:Sspon.01G0009220-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hypothetical conserved gene [Source: Projected from Oryza sativa (Os03g0339300)] MAAASVSVQAIVLLAVAAAASAQLSATFYDSSCPRALGTIRSAVTAAVNRDPRMGASLLRLHFHDCFVQARGPPYTVLLGRRDSTSASLSQANSDLPSPGSSLASLISGFARKGLTTTDMVALSGAHTVGQAQCANFRSRLYGESNLNQSDAAALRVNCPQSGGNGNLAPMDLETPNTFDGAFFRGLLSQRGVLHSDQQLFSGGSTDALVQSYASNAAHFRNDFAAAMVRMGSIGVLTGSQGQIRLSCSSLN >Sspon.01G0029800-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:99318049:99318459:1 gene:Sspon.01G0029800-2D transcript:Sspon.01G0029800-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVADGDDDEAFLLALDAAEAAALDSSKRRRLSTTSSSSTPSTPPATPEGPYLAALKGSHSSSWKQQMQGLGYAHKQPHGSKPLAAGTGGAQVASGSCFKCGDPGHWARECPQSVPNTGEEVRSASASAAAVAVDM >Sspon.06G0026510-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:72475105:72486984:-1 gene:Sspon.06G0026510-2C transcript:Sspon.06G0026510-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVTHLCNRTKIYVVNGQFPGPQIDVSDGDTVVVHVVNRLDHGLTIHWHGVRQIRSCWSDGAGFVTECPIPPGANHTYRFNLTGQVGTLWWHAHVTCLRATINGAFVIRPKDGRYPFRTPPAKDVPIIIGEWWQLDLVELDRRMADGNFDDNPLSATINGKLGDLSNCSGVPEESFVLDVERGETYLLRIVNTALFSEYYFKVAGHTFTVVGADGNYLTPLATDMVTVAPGETIDVLMTADAPPAHYHMVALANQPPEPDPQIPVFVSRGLVRYSGVRGDNNGLPVPVLLMPDQHNTLPSYYFHGNLTGLAYPGRHRVPMHVDERLFVTLGLGSLCRGGKKVCKRRRSDETIVVATMNNVSFHHPNTTSLLERYYDYGNGTGEALEPTFKATKLRRFKYNTTVEIVFQSTALLQSDSNPMHLHGYDFFVLAQGLGNFDPNRDVAKFNYHNPQLRNTVQVPRTGWAAIRFVTDNPEEGEQGGGEPGRDGASNAGAGLPTEGAVEGADPHPVLLGLAVLGDDGGQQRSGDDGGQHHVHEMNVTHLCNTTKIYVVNGQFPGPQIDVTDGDTVVVHVENRLDHGLTIHWHGVRQIRSCWSDGAGFVTECPIPPGANHTYRFNLTGQVGTLWWHAHVTCLRATINGAFVIRPKDGRYPFRTPPAKDVPIIIGEWWQLDLVELDRRMADGNFDDNPLSATINGKLGDLSNCSGVPEESFVLDVERGETYLLRIVNTALFSEYYFKVAGHTFTVVGADGNYLTPLATDMVTVAPGETIDVLMTADAPPAHYHMVALANQPPEPDPQIPAVFVSRGLVRYSGVRGDNNGLPVPVPLMPDQHNTMPSYYFHGNLTGLAYPGRHRVPMHVDERLFVTLGLGSLCRGGKKVCKRRRSDETIVVATMNNVSFHHPNTTSLLERYYDYGNGTGVYTEDFPEKPPHPYNYTNRELIPPGPLEEALEPTFKATKLRRFKYNTTVEIVFQSTALLQSDSNPMHLHGYDFFVLAQGLGNFDPNRDVAKFNYHNPQLRNTVQVPRTGWAAIRFVTDNP >Sspon.06G0008250-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:37190963:37191859:1 gene:Sspon.06G0008250-3C transcript:Sspon.06G0008250-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RWEAKAAQAQRLGEAPRARSAAAPRRCTARRTLRRFAPPATPPCTLQTCWHRATSACLFPWPPWRLRQACTTTSSRPTTSTRRRRGRRRPRTGRGSWAAPRTAARRRASPPATAARRAGACSTCCPTSTSRRPASRAAAAVTCRTAWRPCITALHRCGRSPACRPPHGRPRGRRRMPRPRPLSSASRAPPPPPLWSPRRRSGRRGCRGTARSARTASSRRPSATRPARPTPRRGPGSRAASSSAPPAPPHPPRAPAHRTATRTPRTSPPSSGSPSPTTPGTMGSASTWTPGPTGSCQAS >Sspon.08G0007430-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:24419873:24425877:1 gene:Sspon.08G0007430-1A transcript:Sspon.08G0007430-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSGEIRKVASMRRGSGGSVWRSGGNDIFSRSSRGDMDDDEEALRWATLEKLPTRDRVRRAIIFPLPAGDDAGTGHQGLVDVDVLSLGPGERRALLERLVRVADEDHERFLVKLRERLNRWIEELLWCRVGIDMPTIEVRFEHLNVEAEVRVGSSGIPTVLNSITNTLEEAATALHLLRSRKRALPILHDVSGIIKPRRMTLLLGPPGSGKTTLLLALAGRLDKDLKVSGRVSYNGHGMEEFVPQRTAAYISQHDLHIAEMTVRETLAFSARCQGVGSRFVGGHEANVVTDYILKILGLELCADTMVGDEMLRGISGGQRKRVTTGEMLVGPARALFMDEISTGLDTSTTFQIVNSLRQSIHVLGGTAVISLLQPGPETFNLFDDIILLSDGQVVYQGPREDVIEFFQSMGFRCPQRKSVADFLQEVTSKKDQKQYWAWPDKPYRFVPAKEFATAIKSFHTGRALAKDLAMPFNKSKSHPAALTTTRYGVSGMELLKANIDREILLMKRNSFIYVFRTFQLTLMSIVIMTVFFRTNMKHDSVASGGIYMGAMLFGILMIMYNGFSELALTVFRLPVFFKQRDLLFYPAWAYTIPSWILKIPISFMEVSGYVFLTYYVIGYDPNVGRFFKQYLIMLAINQVAASLFRFIGGAARNMIVANVFAMLVMMAAIILNGFIIIRGNNSSYYKKKCKTPSFINLCSLVYFSVDKVKKWWIWGYWISPLMYVQNAITVNEMLGNSWDKVLNRTISNETLGVQVLKSHGVFPEAKWYWIGFGALLGFTILLNVVFTFALTYLKPNGNSKTSISEEELKLKCSNVNNDIMDANPMASRTTLQLIGNNTETNLEMLEDDSGPSQRGMVLPFPPLSLSFDDIRYSVDMPQEMKAQGVVEDRLILLKGISGSFRPGVLTALMGVSGAGKTTLMDVLAGRKTGGYVEVNISISGYSKNQETFARVSGYCEQNDIHSPQVTVYESLLFSAWLRLPKDVDSNTRKMFIEEVMELVELKPLRDALVGLPGVNGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVNTGRTVVCTIHQPSIDIFEQFDELFLMKWGGEEIYAGPLGHNSSELIKYFEAIEGVSKIKDGYNPATWMLEVTTVSQEHILGVDFSDIYKKSELYQQSSITAGCQTHVTAGFAKGQRWC >Sspon.04G0030780-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:4387307:4388339:-1 gene:Sspon.04G0030780-2D transcript:Sspon.04G0030780-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDTAFLMAIVLRECGEEVFRGLTSLVDVAGGLGRAAATIAAAFPDLKCTVVAKAAADPSAAGTNNNVQYVAGDMFQSIPPPDAVFLKWILHDWCDDDECVKILLKNCKQAIPPRAAGGKVIIIDVVVGSADEPSPESDVRHVETQYKATTRAYYTHGWPWTRERTRRPDASPM >Sspon.04G0023760-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:20044814:20045398:1 gene:Sspon.04G0023760-2D transcript:Sspon.04G0023760-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPRRMRPSTFRCAAATVLALLLVVFIIVILWLFLHPSKLYLSVDHAATTGFNFTAAGGLVGAFDLTLRAFNPNERASVYYRWVDVGVWYNGTYLAGAHAPGFVQPPEDETRVDVVARAAPDAGSLPRDVEEGMKKERTAGKLTVDVHVVAKVRFRYGVVRTRKYTVRASCPAVPIDFASPTSFDRVNCHVHI >Sspon.01G0052970-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:28158300:28161219:-1 gene:Sspon.01G0052970-1C transcript:Sspon.01G0052970-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGHVGKLIDSVGSIFRGSDILPWCDRDIIAGFESEVAEAKNEEQKNESLMRLSWALVHSRQPEDVNRGIGMLEASLDRSSSPEQAREKLYLLAVGRYRTGDYTRSRQLLERCLEIQHDWRQAMTLQRLVEEKTRRDGMIGMAIITGAFGVVGLVAGGIIAAASSSSRRR >Sspon.06G0001530-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:2660697:2661894:-1 gene:Sspon.06G0001530-4D transcript:Sspon.06G0001530-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DRT100 [Source:Projected from Arabidopsis thaliana (AT3G12610) UniProtKB/TrEMBL;Acc:A0A178VMS3] MASPATAATLLVALAALLPPAAASSGCSAADRDALLSIRAALSEERLGVFSTWTGTDCCAGWYGVACDPTTGRVADLSLRGEADDAVMAPAGRPASGVMSGYVSDAVCRLDRLSTLVLADWKQISGPIPACVATSLPYLRILELPGNRLTGAIPQLAGLSRLTVLNLADNQLSGGIPGSLTSLAELKHLDLANNRLTGRWMGQLLTISVISSRLTKYTVCDL >Sspon.01G0029630-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:99533845:99537947:-1 gene:Sspon.01G0029630-2D transcript:Sspon.01G0029630-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Accelerated cell death 11 [Source:Projected from Arabidopsis thaliana (AT2G34690) UniProtKB/Swiss-Prot;Acc:O64587] MGSSEAEKPLRRIAASFEELAAVAKQQPAVSMDAGAFSRACSNVSVLFGCLGIAFKFAEMDYVAKARLLVLLPVSSLSPSRLCGSVDDLVEVSKSISTLPSMVELDIQTNTVRKPGSHTRNLLRVKRGIDMVKVLFEQILVTEGNSLRDAASVAYAQVFAPHHGWAIRKAVAAGIGISKGSNAELCQEFDNHDIMPI >Sspon.05G0032390-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:16688074:16690100:1 gene:Sspon.05G0032390-1C transcript:Sspon.05G0032390-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MENRNCPAKRQRLTLSKQTELHGLNFLSLPEDIVSRIIAGTTLKEAVRMSTVCSALRKAWIYHPNLDFDILTVFGSSVAAVHSHRTKAKRNQSSSRHITMQALKRFIDTVNFVLRKHSGLALNRLAVTFELHKEHANDIDGWVSFAIASKARVVVLNFSPYLGQHENNYSFPCHLFNSQNSSHLQVLRLDSATLDPSREFCGFSNLTTLALVHVLILQDLQYFLLRCPLLECLTIRRCPELHNLHAAEPLQRLKFLCVQDCAIHKIDLHAPNLTVFEYRGGSKVPFALSKCQKLKTATVAFFIEENLGYIFTEIPKGLPHIETLRVEITVNTQIHGFMKAPLRFMHLRNLIMNITFGNAQRLGKNAVLQLAYLLEAAPFLVNLHVDMCCGFECGYRPKKGVIADHPHHNLKDACITGFNGNGGQVALVKYILRNAVQLKRMAVDPRRKILGQLVEEFEGRIVAESELVPHDRNGVLTIL >Sspon.01G0021210-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:74817058:74819851:1 gene:Sspon.01G0021210-4D transcript:Sspon.01G0021210-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKDYPASPKAQQLQESKKQRLTYILVVSALCIAFYVLGAWQNTTLPKPIGNSAITRVGCDPTVATAQSSGSVPSFGPGSGEMLDFDAHHRLTINNTDGDGELQQFPACPLNFSEYTPCEDRTRGRRFDRAMLVYRERHCPGKDEQVRCLIPAPPGYRTPFKWPRSRDYAYFNNIPHKELSIEKAVQNWIQVEGDKFKFPGGGTMFPRGADAYIDDIDKLISLSDGKIRTAVDTGCGVASWGAYLLKRNIIAMSFAPRDTHEAQVQFALERGVPAIIGVMGKHRLPYPSRAFDMAHCSRCLIPWHAHDGLYLAEVDRILRPGGYWILSGPPINWKTHHAGWERTKEDLKQEQDKIEDVARSLCWNKVVEKRDLSIWQKPKNHLECSNIKKTYKTPHICKSDNPDAAWYRQMEACVTPLPEVSNQGEVAGGAVEKWPERAFLVPPRIKRGMIPGLDAKKFDEDKKLWEKRVAYYKRTIPIAENRYRNVMDMNANMGGFAASLVKYPVWVMNVVPVNSDRDTLGAIYERGFIGTYQDWCEAFSTYPRTYDLLHADNLFSIYQDRCDITDILLEMDRILRPEGTAIIRDTVDVLTKVQAITKRMRWESRIMDHEDGPFNPEKVLMAVKTYWTAKAEEEN >Sspon.03G0002240-1P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8B:40564788:40565699:1 gene:Sspon.03G0002240-1P transcript:Sspon.03G0002240-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSNIPSLPMLLATAFSLAGVAAAGARAGGIAIYWGQNGNEGTLAETCATGNYKFVNVAFLPTFGKGQTPVLNLAGHCDPASNGCTGVGADIKACQRRGIKVLLSIGGGVGSYGLSSLDDARSVAAYLWNNYLGGRSSSTRPLGDAVLDGIDFDIESGGSLYWDDLAKALKSYSRRRGRKPVYLSAAPQCPFPDASLGTALGTGLFDYVWVQFYNNPPCQYSASAGVGSLASAWAQWTSIRAGRVFLGLPAAPQAAGSGFVPATDLVSQVLPVVKNSTKYGGIMLWSRYYDGLTGYSDAVKSQ >Sspon.03G0017540-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:78952821:78953342:-1 gene:Sspon.03G0017540-2B transcript:Sspon.03G0017540-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTRALLLLVAAAAALLATPPAAVNAATLADICKGTAFPAICTSTVGPEAASNPVLDPMAVLRMQVDAFNARTEAARAHVKEAALTASPKARTVLDLCNNLYLDVEDNLGACRRAIGFKDAVTIRATMGMAAQDMQNCDEQFRQIGEPNPMEQFDASLVEMSENCRSLSNMI >Sspon.05G0009220-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:18120439:18134015:1 gene:Sspon.05G0009220-3C transcript:Sspon.05G0009220-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGEDRTFKANFTGEGVTMLQDRVKEKLRELMGDYSDDTLAEYVVVLLRNGRRKDEAAKELQVFLGDDNDAFVSWLWDHLSSNLHLYVQPKAASSKDDARSTRNAARELPVRSVTSSIQVNHEPEAETQKTAVTHQKRDWGNQKRDWGTIGQGQSEAVPLRSVVANVSHAEEEDFHESHADERAFHKSHSVRRTRSPDMHNHRKRSRDADVRSTKRASHPAVGAPRRLLQFAVRDAVRTVQPVTSSSEPASKRLRSVVSTLASDSTLDITHIKLQKTNSDLRIPGATAALRAAAEAAEDALKDSFSGSVFSRLGRMPTINSTEQAPARREQDLEGKEYENIGNIQAENQVEFYERNEHGGSDVYMRDRRTEEATGSVPNIDEYDHNSAVRCNGLGSRRSALPASGGKESLVLGYVRGASEVRSRRLIVQGPHAGSGRRPSEKILNVSGNTNTQKLPTHATRDAIAFDPQVPMEKVADARKSHVKIAHANDISMMTDKSKDLIQPSSMLEAQKASSGAAGSNTTGQPEGGPDSRTVFVTNVHFGASKDALSRHFNKFGAVLKTHIMTDGVTGQSTGISRAGVNPERDIIYVVRKSSTEATQLPGLARASWGSPFASRLIRTAYPRPTFPGAIRGRLSLRGVARSLQWKRGAADSADAGKPSQTAPAAPGNQSITPTTRSFTYTRTEPKPNDGAMA >Sspon.01G0045200-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1C:86289269:86291406:1 gene:Sspon.01G0045200-2C transcript:Sspon.01G0045200-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVSAGLRPPPVAAAESLRGRRSASVPPRAASHSVRSVSRAVKVGLLSLSPSCSPVPPPREVVEELGTSCAPNVEAVGIHEC >Sspon.05G0005860-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:18610503:18622200:1 gene:Sspon.05G0005860-1A transcript:Sspon.05G0005860-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVSNCQLTDMWDRSPSKRLYEQIAVVGGEGAGEVGVAFPVVVSTWPFREAVRAAWRVVSASEGGGSAIDAVVAGCSACEELRCDGTVGPGGSPDENGETTLDALVMNGATMEIGAVAAMRYVKDGIKAAKLVMEHSEHTLLVGEKATAFAISMGLPGPTNLSSPESIEKWEKWRQNYCQPNFWKNVVPVGKCGPYHPINLASVEVEDSVKNGVERSQGGLCQGYYESDNFLEPIKSHLKVVNRHNHDTISMAVIDKMGHIAVGTSTNGATFKIPGSYQVVESMRQGMDPRDAAKDAISRIARKYPDFIGAVFAVNKEGVHAGACHGWTFQYSVRNSSMQDVEDKPMARLG >Sspon.01G0019010-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:67873803:67882790:1 gene:Sspon.01G0019010-3D transcript:Sspon.01G0019010-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding KIVNLVDIIIIDSQSDENKICVETSIRDSSLKEHKEPPCTTMDNNANEETSITDDDSEADSYEFSLRESDNEQASASEEGTEVPLTEEEVEELVAEFLEVESKAAQAQESLEKESLDKIETEVRLELSERLQGDELESAVSTEMEQFQMQWENELDDLEIRSSILLEQLDAAGIELPRLYKSIESQVPNVCETEAWKSRTHWAGSQVPEEANRSIKNADEYLQSCRPVRRKHGRLLEEGASGFLAGKVPVGDDDSVKCHEKSWSSFNELIKSKENAENTFGSSNWASVYLASTPQEAAALGLQFPGVDEVEEISEVDGVFDDIKGVDEVELSEEQRRKYRKVTEEDDAKIMKCLQRRSKGKRTRGWCKENIGLVSSCDEKPLPENGVLGAKSDLPSSKKLKTDENKVSIETLKHTFEDDENEKRLKTVIIESDDDMQIDNKQALQKDGEGSSAEVEKVVDIIDLDLFPSQSPKLPTKSLPKTFKCTICSEMLNASDVHRHPVLDVVVCGSCRFLVIEKNRLEDPVSGGYCTWCAQSELLQSCSSCKLLFCTNCLSKNLGEECLSEAKATGWQCCCCIPSQLELLISECDKALSGVESSDSESSNTELSGPENNGPVSKRRMKKSIRRIMDDTELGEETKRKIAMEKARQDHLKSMQEQSATKLRSENVGTPFEAPVEICLQDAGDGHIVNLAREEDEEPVRIPSSMSSKLKPHQVEGIRFMWENVIQSVKKVKSRDKGLGCILAHNMGLGKTFQVITFLYTVMRCAQLGLRTALVVTPVNVLHNWRKEFSKWRPAELKPLRVFMLEDVARVKRPDLLTKWRVKGGVLLIGYSSFRNLSLGKHVKDKNSANEIAYALQCGPDILVCDEAHMIKNRRADITQALKQLLKLP >Sspon.03G0028740-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:10764241:10765635:1 gene:Sspon.03G0028740-1B transcript:Sspon.03G0028740-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSALIQPRCTLHQIRSPGRPEPSRASFAAAAAANEAATSPSISARSWAGARASSSASVASAARTTTSAPRSRTASVAHMWRQVQGSNDWDGLLQPLHPVVRDEVARYGELVGACYKVLDVDPSSARYMCCNHAKERVLEDAGVAGAGYEVTRYIYATPDVAVAGGPSTSGGGRGSWVGYVAVSTDEMTRRLGRRDVLVSLRGTVTQAEWAANLMSALEPARLDARPDVKVEAGFLNLYTSSPGGGGGMGSCRDQLLREVSRVIKSFSKDRPREDMSVTLAGHSMGSALAMLLGYDLSQLGLNRDASGRRVPVTVFSFGGPRVGNAAFKDRCDELGVKVLRVANVRDPVTMLPGAIFNEGTRGFLNSWAAGDRYTHVGVELTLDFLSLRDLGSVHDLGAYVSSIKAEAGGKVSKSDNAATDSRGAAVLAKKAMQFVGSQRAAAFAWPEAVLGIGGVVQSLGLI >Sspon.02G0003190-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:10462747:10466874:1 gene:Sspon.02G0003190-3C transcript:Sspon.02G0003190-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSEPQPANKRPHVLLIPYPAQGHVNPFLKLAKALHARGFHVTFVHTEYNHGRLLRARGAGAFDAGAEGFRFETIPDGLPPSDLDATQDIWALCEATRRTGPAAVRGLVERLNRTDGVPPVSCVVADGAMGYVVHVAKEMGLPAYLFFTHSGCGFVAYLNFDQLMCFTNGYLDTPVDWISGMLPGARLRDFPTFIRTTDPNDTMLTIIIKQCELDSPAADGILLNTFDDLERRSLDAIRARLPNTFTVGPFGPEVSPPSYLPSLTSSLWKDDDRCAAWLDGHADEEGSVVYVNFGSITVVTGEQMDEFAWGLAAAGCPFLWVVRPDTVRDAGGWALPEGFAEAVAGRGLTVGWCDQEAVLEHRATGGFLSHCGWNSTLESLRAGVPLLCWPFFSEQVTNCRYACDEWGVGLEMPREAGRREVEAAVRELMGTQGRGAAARRRAAEWKEKARAAVAPGGSSRVNLDKFIQEIARAKC >Sspon.05G0032050-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:13396044:13398575:-1 gene:Sspon.05G0032050-1C transcript:Sspon.05G0032050-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVRILQLGLGLLLLVAHNNGPTVAAPSPPQCPRQCGNVEIQYPFGIGMNCSLGSDFNVSCEVQDGVSKPFIMGGFELLNISLTDSTIRVLNNISSYCYNPSSGLMENSTWGFHPTNTSYRFSDVRNKFTIVGCSTLAYIYDFSRTCYQSGCISTCGDLSDLTDGFCSGMGCCQTSIPRDMDYYNVNFDSRFNTSQISHFSRCSYAVLMEATAFNFSTAYISTTSNSECVNSTNGPGYMCNCNKGYQGNPYLLDGCQDVNECDDNPCPSGGICHNTVPGYWCSCQLGRKFSEKHKTCDPDTRLIIGMEYNLDAVLPSHIKGHENPELIRGLAELAKQCLDMCGSNRPSMKETADELGRLRKLSLHPWAQLEAEVENQSLLGGTPSAHLELLEDSGYPTQEGEILPMNPASLYHA >Sspon.05G0019380-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:77450674:77455023:1 gene:Sspon.05G0019380-3C transcript:Sspon.05G0019380-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEVALLRGPTNLASTASRASASSSSSSALRYLANADSDVLPGSGSPERAAGSAGSRRLLELRGQEAAEEEEERWSFLALLFELLRKSLLGCRTVGGEGEGEHGGCGMEIGLPTDVQHVAHVTFDRFHGFLGLPVEFEPEVPRRAPSASASVFGVSTESMQCSYDSRGNSVPTILLMMQRRLYEQGGLQAEGIFRINAENSQEEFVRDQLNSGIVPDGIDVHCLAGLIKAWFREMPTGVLDSIPPEQVMQCQSEEDCARVAKCLPPAEAALLDWAVNLMADVVQEEQINKMNDRNIAMVFAPNMTQMADPLTALMYAVQVMNFLKMLVQKTLKDREESTPEDVLLPQKDPSDENGHQKPSVTLDSLLEEGSRRPSFAKEEPLLNSPAHSTDDKSNETNATLGVTAAFSAQTSEVVTSVEDSTSGSQPATAGPAAIADASSATATNSLQGKGSRSLNRRRTTKGKGQSQSGTRTTPAAEKSRGASIVSRINSKIRTIQAK >Sspon.05G0013540-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:47454406:47460166:-1 gene:Sspon.05G0013540-4D transcript:Sspon.05G0013540-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSLSITVMTLNLQEGEQPSESPNSWEKRRDICVSVITSYSPTILCTQQGLRWQLDYLQQCLPGYEQFGISRKGSQDNTDEYCTIFYEKEKVELTEGGTFWLSESPSVPGSISWGANAPCIATWAISCNAMLFLLFIVLLLEPPGFSFQIVNTNLDEVSPRARRRSALLTWQHIASLPPNLPVIYCGGFNTQKESMTGRFLLGRSREHGVVGDMRDAWPNARVRKNVSLIHTYHGFKGAPDTSFSNLIPNKCSVAGEKQGALEFLKLIFRALCLCWDRQTQDLHIDWILFRGRPLVPALCEVINDNIDGVYPSSHFPIFAEFLLPRSVRLAETTS >Sspon.02G0019020-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:62719980:62728214:1 gene:Sspon.02G0019020-2B transcript:Sspon.02G0019020-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAICKSNTGSESDERRRVRDHRLHRGNSILTKQASTHIASSPRLRSQNSGNPGIFPVNRSCIITCSSSRSRFGGRFEQNFAETPLFLAPANNQPQPQVAESPRFLQAFQFRPLFRFLAHSSGHSTFMGKEKLRKQPSGRLIESLKMERMRNILTHRYPYPHEHSRHFIIAVFACWLFFISSDNLQNLIMKLDKNFKWWSMYACLIGFFYFFSSPFIRKTFKPNYSNFNRWYIAWIFLAALYHLPSFQSMGLDLRMNLSLFLTIYISSLIFLMVFHIIFLGLWYLGLVSRMAEKKPEMLTIIQNCAVISIACCVFYSHCGNRTVSRDKSIDRRTASWIVFSLWTKHDDNTLISRLLRMHKFKEQICSSWFAPVGSASDYPLLSKWAIYGELSSNGSGSSNEISPVYSLWATFMGLYIANYVVERSTGWALTHPLTISEYEKLKKQLKPDFEDMVPWYSGTSTDLFKTVFDLMISVTLFVGRFDMRMMQAAMNKTPDEANSHGLLYDHLDGKDELWFDFIADTGDGGNSTYAVARLLAQPSLVIKSDDSRLTFPRGQLLLIGGDLAYPNPSSFSYERRFFCPFEYALQPPAWYKPEHIALEKPELPLGVSELRRYRGPQCFMIPGNHDWFDGLNTFIRYICHKSWLGGWFLPQKKSYFALKLPNGWWVFGLDQALHGDIDVYQFKFFAELCQQKVGESDSVIVITHEPNWLLDWYWGDSTGTNVAYLIREYLRGRCKLRMAGDLHHYMRHSCIESKEPVHVQHLLVNGCGGAFLHPTHVFENFRVFYGNKYETKSTYPSYNDSSKIALGNILKFRRKNWQFDVIGGFVYFVLVFSMFPQCDSFRILHEDSWAGRINGFFSAMWNAVFEILERSYVSLGGVVTLLMVSFFFVPTKLSRRRRVLLGFLHAAAHLTSAVLLMLLMELAIEICIRNHLLATSGYHTLYEWYRKVESEHFPDPTGLRARLEHWTFGLYPACIKYLMSAFDIPEVMAVTRSTICRKGIESLPRGGAIIYYVCVFLYFWVLSTPVVSLVFGSYLYLCINWFHIHFDEAFSSLRIANYKAFTRFHIKKNGDLEVFTLAVDKVPKDWMLDPDWDMEPKQPLQMSYTRKFPSKWRAASGLDPINAVRIVDRFVIPRTPSSPRTPSSPTTPGGSVR >Sspon.06G0001720-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:3932739:3938230:1 gene:Sspon.06G0001720-2B transcript:Sspon.06G0001720-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHRAAPPDDVIVQVNAAAVAAVDDRISTGQITEEDVGIVDDGKQIGGGRSTRRTFSQSYKMKHRNPLEFTGWQLALLGYQSLGVVYGDIGTSPLYTFSSFTLPDPGADDVLGILSLILWTLTLVSLVKYVFIVLHADDHGEGGTFALYSLLRQHVNFSGKSMPVPVTRLASDANLKFHSKKSSLQPRMLKFLEGSPIAQAAITYLVLVGTCMSYQLFKESSQGLLALNKYGTSKVGFTFSPIMLVWFALIASIGLYNIIKYYPPVLKAISPHYIYLFFARNKRAGWEQFGTVVLCITGVAVIWVMLITTHLITVVMVIIWQSHSAIAGLFYVIYTVIEGLMTISLLYKIAQGGWVPFAITAFFLIITLSWTYGRSKKNEYEASNLMDRQEFIKTVNMSNRVPGICIFCTDLMNGIPPIVRHYVQHMGCLRELMVFVTVRHLPVTSVLPEERFLFDRLEPFGVYRCIVQYGYMDTQNMEDDEYVLSIIASLKEIAQSDDETMMMDSALANGTTFVLGRVILKMSSKQNCFKRFVINNLYRFLQKNF >Sspon.04G0020460-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4B:74940640:74945018:1 gene:Sspon.04G0020460-2B transcript:Sspon.04G0020460-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine carboxypeptidase III precursor (EC 3.4.16.5) [Source: Projected from Oryza sativa (Os02g0114200)] GILTPPGSPLPRRRRRAAAAAGEGGLRLPRDATFPAAQAERLIRALNLLPKGGGGPGARGDGPSVAPGELLERRVRLPGVPTGSRTSATTPVTSACRTRTTPGEQRPSHVIVQMFYFFFESRGKKEDPVVIWLTGGPGCSSELAVFYENGPFTIATTCRLFGTNLVGTQKFLQLHSSHLMLNYIDHISNIIFVDQPTGTGFSYSSDDRDTRHDETGVSNDLYDFLQVFFKKHPEFAKNDFYITGESYAGHYIPAFASRVHQGNKANEVIHINLKGFAIGNGLTDPEIQYKAYTDYALEMNLIEKSDYERINRFIPPCELAIKMCGTDGKASCMAAYIVCNNIFNSIMKLVGTKNYYDVRKECEGKLCYDFSNLEKFFGDKAVKEALGVGDIDFVSCSTTVYEAMLTDWMRNLEVGIPALLEDGINVLIYAGEYDLICNWLGNSRWVHSMEWSGQKDFVSSSDLSFVVDGAEAGVLKSHGPLSFLKV >Sspon.05G0014080-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:51947840:51951340:-1 gene:Sspon.05G0014080-2B transcript:Sspon.05G0014080-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDITLLVSADATLAAARSRSRPCTSAFQRQIVPGRRRRASASSCRPVRARSAAAAAPAAGGISGHSNGVYTVGDFMTRRDNLHVVQPTTPVDQALELLVQHKISGLPVVDDDGKLIGVVSDYDLLALDSMSGNELANTNTNMFPDVDSTWKTFREIQRLLSKTNGKVVGDVMTSSPLAVRENTNLDAAARLLLETKYRRLPVVDSMGKLWNQELVI >Sspon.08G0008070-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:16038145:16043768:-1 gene:Sspon.08G0008070-3C transcript:Sspon.08G0008070-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable E3 ubiquitin ligase SUD1 [Source:Projected from Arabidopsis thaliana (AT4G34100) UniProtKB/Swiss-Prot;Acc:F4JKK0] MAEIAERAVAGELPEEPRPPHGEEEEEEEEEEEGDVCRICRNRGDEDHPLRYPCACSGSIKFVHQDCLLQWLDHSNSRQCEVCKHAFSFSPVYAENAPTRLPFQELIVGVGMKACHVFQFILRLAFVLSVWLMIIPFITYWIWRLTFVRSLGEAQRLFLSHISAQLILSDCLHGFLLSAIIVLIFLGATSLRDYIRHLRELGGHDAERDDGGRERHGARAVRRLAGPNNRVPADGNIDELAEAQGIGPGELLRRNAENVAARLERLEAQVEQMLDGLDDADGAEDVPFDELVGMQGPVFHLVENAITVLASNAIFLIVVIFVPFSLGRIVLYYLSWFFSSASTPMLAKMMPFTETAISIANDTLKSALNVVKNFSSDSNNEGVIGHVIEVVTQSLKINATGLSVIQGTGKSSLMKGTTIGSSYLSDLTTLAVGYMFIFFLVFLYIGSLALLRYARGERFTIGRLYGIATILEAIPSLCRQFFAGMKHLMTMVKVAFLLVIELGVFPLMCGWWLDVCTLKMLGTTIAQRVEFFTMSPLASSSMHWLVGIVYMLQISIFVSLLRGVLRNGVLYFLRDPADPNYNPFRDLIDDPVHKHARRVLLSVAVYGSLIVMLVFLPVKLAMRVAPSIFPLDITIFDPFTEIPVDVLLFQICIPFAIEHFKPRATIKSLLHHWFAAVGWALSLTDFLLPKPEENGGQENWNGRAERRERGHGGREMVAPQVEQRMIQHVAAEDNGRGNANEANDATEESDVDDQGDSEYGFVLRIVLLLVLAWMTLLIFNAGMIVIPISLGRLVFEAVPRLPITHGIKCNDLFSFSIGCYILWSAAAGTRYAIDYIRSRQLGFLVQQICKWCSIVLKSSFLLSIWDWALGLIFLKIWTRLVMLDQMAPLVDESWRSKFERVRDDGFSRLRGLWVLHEIIMPIVTKLLTALCVHTSLQGASSGAGLPTHSELSSVRFAWLGCLIFSTLLLWQEIPCLDSHSPEPSESGATIGSDDQDRALVLRDQEEEVGLRMRRIICVQTNNQAGFISRK >Sspon.08G0025200-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8C:7088156:7088802:-1 gene:Sspon.08G0025200-1C transcript:Sspon.08G0025200-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTLPFLVLPSLVPDAGPACLPAHGRPASQPPLFPSWTVAGAGPGKTGRGRAVAACPAGGGGLWSGACLASRPRADDCCLVSPPIDACPSLSRREVVPGRAGHGDWSPTCPCRQRASSPSLHSPSPYPARTAQPSSTHPTSAVPFGVEFGGLKPLNTVFSGATLLEVHGNAGVRGEDDGEGWRRCWVVKGRGMWQ >Sspon.02G0007840-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:16451777:16457288:-1 gene:Sspon.02G0007840-4D transcript:Sspon.02G0007840-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endoplasmic reticulum (ER) stress sensor, Transducer of ER stress, ER stress respons [Source: Projected from Oryza sativa (Os07g0471000)] MRSLRRVLIPLVLLAGLTFRVDDGGAALLSPPSPALPATPSSLALPGGAAPEDDGAVAASRSTEIVAVGARSTEIVAPAGPKKQSLRELLVRPQPARHEPANLVSGEAKAEPSPVLQFYDNGTIQLVDQLSQSPLWEITTGPPLSDHITTTDSGLNYLIYPLMDGNGTELWEVYNGNNVRLPWKLEEFVARSPYIRDSVVTVGSKVSTVFVVNADTGEIIYRHSIPAALNELEGPGIEGAPSKLNARTSDGSEKIIVLVRTDYSLSASDLGKHLFNWTRTSFTANQYAKYNHPDMLDQSPCLRGDIPCIRTEGLPLALPDSDSDSANVIVLKDGTPFISIHGSDALEPVQTPRKLPNTAGKSNIVLDDSQNQTYDGAQSHVISADPKATKNPTRNTYGWLFPLFPIFLVIGYLLSLISASKSCRQFVIQLIKPFTHNKKSVDIRGRSEGTPKRRKTRKKDGLVNSPETLTASDKECSETGGSTEAPMENSALTDALGGRQIGKLYVSNKEIGRGSNGTVVFEGSYDGRQVAVKRLLRSHNDIAEKETQNLIISDRDPNIVRLYGCDHDSDFVYISLERCHCSLADLIQKHSYLSSGESISNNEVSVSIKSKVLNVKGIDVELWMQDGLPSAHLLKLMRDVVAGLVHLHNLGIIHRDLKPQNVLISTEGPIRAKLSDMGISKHLQDDMTSVSHHGTGIGSSGWQAPEQLRHGRQTRAMDLFSLGCLIFYCITKGKHPFGEYYERDTNIVNNRFDLFVVDHIPEAVHLISQLLQPNPEIRPTAVYVMHHPLFWSPEFRLSFLRDTSDRIEKTSETDLINALESIAPMAFGGKWGEKLDAALVTDMGRYRKYNFESIRDLLRYIRNKSGHYRELSDDLKGILGSLPEGFDRYFASRFPKLLIEVYKVLWVHCKDEEAFSKYFKGSSL >Sspon.04G0024860-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:16564832:16569795:1 gene:Sspon.04G0024860-1P transcript:Sspon.04G0024860-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGRHGGYRDYEARERELDAEASRRSKEQHHHLGGRHRDVDRRRDGGRSRGGRDFANGHGRRRSPPPRSRLAGRLGDREPGEVLSGSASDDSGGRPHRGRENGVPSSIREGDVVVGSGISSPSKKRKFSPIIWDRDSPKPPHSDATRDKKVAEPVPSELPPPPPLPPQDHSPLRLAVEKSPMDAEPTVGTESALQLPEHEVNKVTELEEEYPTMRNISTSRWAGANDDEEDGTAPMKKKSVSPADPSVAGQWKRASPEPGEVSGGRTMSRSSDSGKMGNDDKEDFEVDKDDYMDVDREHASDSDTENHMSDTDSDNEIRRPETPEPEKPPHRCINMLQGCRSVDEFERLNKINEGTYGVVYRARDKKSSEIVALKKVKMEKEREGFPLTSLREINILLSFHHPSIVDVKEVVVGSSLDSIFMVMEYMEHDLKGVMETMKQPYTQSEVKCLMLQLLEGVKYLHDNWVLHRDLKTSNLLLNNRGELKICDFGLSRQYGSPLKPYTQLVVTLWYRAPELLLGTKEYSTAIDMWSVGCIMAELLAKEPLFNGKTEFEQLDKIFRTLGTPNEKIWPGYAKLPGVKVNFVKQPYNRLRDKFPAASFSGRPILSEAGFDLLNRLLTYDPDKRISADDALKHKWFSEVPLPKSKDFMPTFPALNELDRRTKRYLKSPDPLEEQRLKELQGNIGNRGLFG >Sspon.05G0006760-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:15173105:15176730:1 gene:Sspon.05G0006760-2B transcript:Sspon.05G0006760-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase (Fragment) [Source:Projected from Arabidopsis thaliana (AT3G22250) UniProtKB/TrEMBL;Acc:W8QNM9] MGAEAVAHPAVLLVPFPAQGHITPMLQLASVLAAHGVAPTVAVPDFIHRRIATACGGCVGGGVTLASIPSGIVQDSDDDTPGFRDIVHSMEHHMPLHLERMLTASPSPRRGPPVACVVVDVLASWAVPVAARCGVPAAGFWPAMLACYRVVAAIPELLEKGLISESGTDEQVDVLDGRTTTIRGLEILPAQVELRVEELPWLVGDSATRRSRFAFWLQTLHRARGFRWVLVNSFPAEAECPSAAGNDNDDDAHRLARQQGPRVFPVGPALLPGGAGERTKQQPQQQCGNGNKSPSMWRADATCIGWLDAQRAGSVVYVSFGSWVGSIGPDKVRELALGLEATGRPFLWALKRDASWRAGLPDGFADRVAGRGKLVDWAPQQDVLRHAAVGCYLTHCGWNSTLEAIQHGVRLLCYPVSGDQFINCAYITGVWRIGLRLDGGMTRDDVVAGIGRVMDDGDEGRRLQEKVWALRDRVVTAEARRAADRNVSSFVDEITRDHPLLVQLYSVL >Sspon.08G0012850-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:51376879:51378441:1 gene:Sspon.08G0012850-2B transcript:Sspon.08G0012850-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding NCVRGRAGGRVREEAGSSLPLPPPPRLAAANSSNAAAAAAAAASIRAHLARTASGVDAQPSPRSLLSRILLRGGGGDGGSGGGAFGCRVRLPRRYGGGLREERKDGAEQGETPRVKVVEPPPPPPPDLPLETPRSSLGSEKPEEELVSMNLGLGASLVLLLSKSAVELNKMVELRAQMEALVSEIRQAGRGGRRMRRSRRTTPLRRPPRRNPTAAAPPPPPSRTRSRSPRPMPTPRPTAPARRPTTPSKAARRVVMDLMEAELQAELSRMQQRQRGGTVHGHGDADTRIAAMPGLEVPLLKVKTKKGDADDSASRSCVDDGAHKHDDDEVDGNGEDDEEYEEAEEEEDDDEEDGGYGEDRMSPPHGGVSARALERRLHELLQKRQQDRIVELESALDSAQHRLHEKEREVVWWRDAAKLVSHRRDESRRIAR >Sspon.03G0022350-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:90973424:90980398:-1 gene:Sspon.03G0022350-2B transcript:Sspon.03G0022350-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMCSELNRHEESEKLASTLYCCKYYNKDPRERPGLAASAVTAIFFSLVHPHLEAVLHVEYDGQLGPHHGAGVRLHPEPRQHGGDHGLHLGVGQVLAEARPGPHVERHELVRRLVPEPPRRRQPPLRPELRAVLAPHRLHAPHGVERHDHAPGGPHARAARQRVRPRRRLVLERHRRVQPERLRQRRVQVHQLLQLGSGAVAVRAGRRQVRAELLEDLGLYVRVLGQEPEEPCQGRARRVPAGDHDVKRGVAQEPVELGAGHAYLVLARQVRREKVGFGGLAGGLGLGSAPSPERDELGNGRVEHPSSVPHLLAVTGLQELLEPQPRHGRDVPVEQDLLDGVHGGGERDVGEVGGEASGVDAEHEHRREVEGEAHEHVLQVYDRSRRGEAVEERHEAVAELARGAGGDPGAEPHVRQQRVRLAPLERPRLAVGVEDAVAEHVEQLGELLALGVVAEVGREHVRHVGRVGRHDHVHRGSPRALDDVRQPGGAQELRQPLVDAPGVDGDRRERADHRPVRRQPVPPIQPMKQQEKASEGHHELRVPAEKAEKP >Sspon.07G0013070-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3B:20812201:20813211:-1 gene:Sspon.07G0013070-2B transcript:Sspon.07G0013070-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDPAAGGAMVPAAGGGGAWANGGPRFGDMVWAKVKSHPWWPGHIYSVSLTDDEEVHRGYRDGLVLVAFFGDSSYGWFEPSELVPFEDHFTEKAAQGGSSRSSFAAAVAEAVDEVARRSALALLCPCNNPDAFRPHPIDGNFFLVDVPAFDTDADYHRDQIRAARQRFVPRKALDYLLDAAVAQQDAAEKAARTVPGMEMAGLFSAYRRAVFSPIDNTYAQAFGVDPELALAAEQKAAAERAQRGRVIIKFGVILVMQKFVD >Sspon.08G0007440-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:24426065:24427719:1 gene:Sspon.08G0007440-1A transcript:Sspon.08G0007440-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSAPPPMPYEEVPLPASGAYIAVVGPCMKPPFPKIERKGELVSLRERERSERNKDLIKELSQPAPGSRDLYFPTKYSQSSFTQCVACIWKQNMSYWRNPPYNTARFIFTTATALIFGTMFWNLGSKIDKSQDLFNALGFMYLSVIFLGCTNSISVQPVVAVERTVFYRERAAGMYSAFPYAFGQVVVELPYALVQASIYGVIVYAMIGFEWTATKFFWYLFFMYFTLLYFTFYGMMGVGLTPNYHIASIVSTVFYNIWNLFSGFFIPRPVSAITLRFLFLLLETHVSFVFWTCFYIKKMPIWWRWYCWICPVAWTLYGLVVSQYGDITTPMEDGRTVNVFLEDYFDFKHSWLGWAAAIVVAFSVFFAALFAFAIMKLNFEKR >Sspon.05G0006300-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:20233424:20235465:1 gene:Sspon.05G0006300-1A transcript:Sspon.05G0006300-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor with zinc finger domain and helix-loop-helix domain (YABBY domain), Leaf developmen [Source: Projected from Oryza sativa (Os04g0536300)] MSSVPETFNMDQQHLVQQQQPPPAEQEQICYVHCSYCDTILAVGVPCSSLFQTVTVRCGHCSNLLYVNLRALLLPPAAPANQLPSFGGQALLSPTSPHGLLDAETMSFQAPSLQPSAEPPSACVSTITSINNTCGGNSASAMSSMAPPPPAKPALLQEPQLPKSNKTSEKRQRVPSAYNRFIKDEIQRIKASNPDITHREAFSAAAKNWAHFPHIHFGLMPDQGLKKNPMQNQEGAECMLFKDGLYAAAAAAAAAAAAASSMGISPF >Sspon.06G0020570-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:10209460:10210207:1 gene:Sspon.06G0020570-1B transcript:Sspon.06G0020570-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPQRGEEQLARRAGGGVNDDAGAAACLCPAAIAGAERGSLFPPRHALEQEVLRRAELQLHVGGGGGDRRRECKMKNREHAYVSELEKEVSLLQAENDELRKLCDELKDAAEQSSAAAAADVLRATLASALHPLIHPVERRGCHFRTAGGRGGGDAWMMERQGWGDLARFAHLPGQKR >Sspon.05G0029450-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:73806030:73807415:-1 gene:Sspon.05G0029450-3D transcript:Sspon.05G0029450-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLLSRLAALGAVAFRRGGSLGLRRSIHLVPSCSQPRDPYDDSPATAGAAKDPSWVLLNRRGCRRVTNYSSSMAADNKTVAESHTSTGQHCLRVSFRLAAPPASSILYYDYVGGTMPADGEKIDFAVIAAHGDSIVLRLRMETCRRSSSHRRIAPLTCQFDHFVYKAGTATRPPSLSLLPAVSIPVRDHHCRHVLLDEDTGILRRGDDEVLVAQLELGKFEHEDMADLSLLRLGRSQWELKRSVPVVHEQGDKLLGWLTGASMAIPVGERFLCWVSYLGESFILCDMAAEEGSPMLRHVPLPATPYDPNYYTNDLPPLSDSEGMGAAGDGAVRFVAIEPRCCCGRFGRTSCARSRYAFTVTTWTLTLTMDEPLTWVKDGVFDCEELWAMPGYEGIPRGHLQSPVVSFDNPDVVYFKVGKVHKDQDIWMIQVDTRRKALLAAVPWNTNNEAWRSGLHLPAKL >Sspon.04G0017140-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8D:59358719:59361890:-1 gene:Sspon.04G0017140-2D transcript:Sspon.04G0017140-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRTGVQAHRPKTWRGPRGIESSRAGVTSRRAGMARRPQKTRGWRREWPGMVAVAGLPVLPPLASGPTARPGAFPVVLPRPNLIRRRGAATSAGPIFLLGWVRVTVAEIIGVLPLSLKYLSAARSRLAPRMAPADHTALGCGLGFMRTVTTGTSLVGGEPRVELVCGLDLPARAPGDTKVTPGAKGNAVVAWREPRCRAGGVGRATITHGDGYHTTGPQPTAASVPACLHVVVARGRRALLACHLPTARGRRHPTTSHGHTRMRLASGQPPSAPLVPLPASLNTRHAELSLIASVPPSHRSINSKLTSHNGQQRSTRGERGSSVESSVQDPTKHSRSGGASYVAARGRMGHHHGGCRCELCGAPAAVHCAADAAFLCAACDAKVHGANFLASRHRRTRLLDLAAAAGAPAPDEEDGYESAAVSSCVSTADSASSSAPPRRRRPRGARAPAGRPTPSARAEAVLEAWAKRAGLAAGPAALRRAARALRACAHDLASARVPPRVAMAAALWREVAGRSGGGIGGGGCGLGEALRRLEACAHVPARLVVAVAASLARALGRARRRRRAATDAVEGWD >Sspon.01G0029680-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1A:103126120:103127511:1 gene:Sspon.01G0029680-1A transcript:Sspon.01G0029680-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGADTQRVSAASAGLTSLALRLAKKLAANQSSDHGNSGNLVFSPLSIYAALALVAAGAQGAALDELLALLGSASRDELAELIRGALGDGSGSGGPLIASACCVWHDDEMVLKPAFRATAVECFKAEVRAVDFKTKAEEARKEINNWVSRATKGLITDVLPHGSVDAETGLMLVNAIYFKGKWVTPFTKRCTEVEDFYLLDGTAVETPLMRGCGSYLVAVHGGFKVLKLPYQAPPAFSPFGGGMQMAKVAHRGGVGAMYSLCVFLPDARDGLWSLVDELAASGPAFLHDHLPWREVCVGKLRLPRFKMSFSSDLTNALREMGLEATLDPRDEGATDLTDVAERRGYDGVSPKIDKVCHKAVIELNEEGTEAAAVTYVGFPAPGAAPPGPPPKTVDFVADHPFAFFIVEEVSGAVVFAGCVIDPSQ >Sspon.03G0012750-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:35290168:35293028:1 gene:Sspon.03G0012750-1A transcript:Sspon.03G0012750-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASARLVAFLLLLLLALGPGQEARAADDGEVRALLALGAALDPTGRLLPSWAPGRDPCAPPPSGGFEGVACDARGAVANVSLQGKGLAGTLPPAVAGLRSLTGLYLHYNALRGGIPRELAALAALTDLYLDVNNFSGPIPPEIGAMASLQVVQLCYNQLTGSIPTQLGNLTSLTVLALQSNRLNGAIPASLGDLPLLARLDLSFNRLFGSIPVRLAQLPSLVALDVRNNSLTGSVPAELAAKLQAGFQYGNNSDLCGAGLPALRPCTPVDLIDPDRPQPFSAGIAPQVTPSGGNGRAPSTRALAAVVVAAVALLAATGVGLFALSWRRWRRQRVAGGSPSTISGGRCSTEAAPSAAKASPSARKSSSSALASLEYSNAWDPLADARGGLGFLSQDVLAQSLRISTEEVESATRYFSELNLLGKRGKKAGGLAATYRGTLRDGTSVAVKRLGKTCCRQEDADFLRGLRLLAELRHDNVVALRGFCCSRARGECFLVYDFVPNGSLSQFLDVDADADNAGGGSGCVLEWSTRISIIKGIARGIEYLHSTRTNKPALVHQNISADKVLLDYTYRPLIS >Sspon.05G0015850-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:59323443:59324975:1 gene:Sspon.05G0015850-3D transcript:Sspon.05G0015850-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLKAPDVNSHRTVCRHVGGNATILGVGKAVPLHEFQQNSFSDYYFEISRSNHMVDLKAKFANICEKTMIEKRHFYLSNEMLRESPSITAYSSPSLTRRQELADVGVPELGVEAARKAMSDWGKQASDITHLVASTSSSGCMPGADCELARLLGLRPSTKRFMLYQTGCHGGCTALRLSKDLAENNPGARVLVVCSEVCTLSLRGPSASHMGDLVGQAILGDAAGAVVVGCNPTAEEHAMFELVMACQETVPGTEEALVSKLREEGIVYTLDRDIPRHVSGSVERLVELLLQEATVPAPDLNEQVFWVVHPGGRGILDRIESKLGLGEKKLEVSRAVMRQYGNTRCSSVILVLEEMRRMSEQLGLRTAGEGLDWGLLVGYGPGITVEAILLHALPNKLKKAIAY >Sspon.07G0027000-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:58503641:58505827:-1 gene:Sspon.07G0027000-1B transcript:Sspon.07G0027000-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAILPSPLRECHHRIHNLVHYHFINRSTETSTNTTSAILRNNGNLALVAHSNPSSDSNEAPLWQSFDHPTDVGIPGAKLGWNKVTGVKWQYISKKNLIDPGLGTYSLEIGSNGILRLGRRNPPLVATWSWPSGKLATLLPVLSGLLESDPRTKGLFKPTYIGNDEEEYFTYTSLNESSSSFDWELGDQTGGCVRNTPLDCTASGKNTTRSTDVFHPIARVTLPNHPRSIEDAAAQSDCAEACLSDCSCTAYSFNNSRCSVWNGELLDVKQNDGLDNTSEDVVYLRLAAKDLESWRTRNKRKPSVGVIVIASSIIVILVILMPLLLFMIWKKKFNWCCMPLHGIEGSGGGIIAFRYTDISHATKGFSERLGAGGFGSVFKGMLDDQTIIAVSSIGLIQHINLVKLIGFCCEGDNRMLVYEHMTNGSLDAHLFQSNAVVLKWSIRYQIAIGIARGLCYLHQSCRDCIIHCDIKPENILLDASFVPKIADFGMAVFVGRDFSRVLTTFRGTAGYLAPEWLSGVAITPKADVYSFGMVLMEIISGRRNSPEVVHTSDNNPHAAYFPVHAVGKLHEGDVWSLVDPRLQGEFDVAEAERVCKLACWCIQEDENQRPTMGEVVRCLEGLQELDMPPMPRLLAAITKSTDMALV >Sspon.02G0026630-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:94510099:94521209:1 gene:Sspon.02G0026630-1T transcript:Sspon.02G0026630-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDMRAPSSGKKSEISHGVEKCGVSDQACHGKCQSCVIDVKPFCAGVDGVSSLTGGHTDVKASKENCGACSLNNDRADSLEEEVKGSTARIGHVESADPDGCVDVKKESFMAVDDLPQEFEGEQAGATLEDLFFFNGEEEDDSDWEPASRLVENRWFCFNCTMPIVDEITHCMNCRELKGSVVDGYDVFKKQIAQTALLSADTELLPVSTAIGFDERMLLHSELEVKPNPHPERPDRLRAIAASLAAAGIFPSKCALVPPREITKEELLMPILAFLCENHSWANNTICSYFTSDTYANGHSACAAKLAAGLCADLASLMVSGRVRNGFALARPPGHHAGVKQAMGFCLHNNAAVAALAAKRAGAKKVLIVDWDVHHGNGTQEIFEGDKTVSRLVLYISLHRHEDGNFYPGTGAAHEVGVLDGQGFSVNIPWSRGGVGDNDYIFAFQTVVLPIAAEFAADITIISAGFDAARGDPLGGC >Sspon.01G0031760-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:105896451:105902682:-1 gene:Sspon.01G0031760-2B transcript:Sspon.01G0031760-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPATAAASADEGAFLEFVDYAISMLSSSAGDGDGDGYESPGDGPVPARPPWGWTVAQLLKSCCAYSSGVTAAILLSDLFQSWTEQRKSLTSKRKVELAKLMNTKNKRRRLPNTVTIDSIHEKNFLSPNSVLEAVIIDVFVLPGTNIYMLTLGDVWSASTIDLYLHRRYYDCIGQNGILKKGREVMLTGCCLRTAMEGSGHARILPTEYMVILLDEDQDEDALLLAAQFCTYSFSSMILEESRNNVPYSFYARIEKIESLEPFRCTERMQIILEDNDDAKMKLVLWGEQTLLANLFSVGSMLALDRPFIANFIDNNHEESQELCLEYGSASQVYMVPIAQQEEQVPFTPTQVKSQGPRLSCVPTDNVASQVTLPRDLHGSVDFSKYPFRAYVSDLHDKMVGISLFGTVTSVCKVSTSGTTFYLELEDTTGVVLMKLIFIGPWSLGRVGVGHMVYVSGLTCTLSSTNILEVSWREKEPGSLFVNLSLLPALLNSTCLHNLSPLSDLPSSTNRTHISHVRLDCIDCDSLKVSLFHNICGSVVNELSGGLQCSFCKCACESACAHGFQLHLTIADDSAKVFAWCVGQTAVEFLQISPDEYLELPEDERAMYLYTLQNESFVVAIANTRKRADGCAVGDEAVPAWEITRAQKCE >Sspon.03G0032790-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:22271250:22272466:1 gene:Sspon.03G0032790-2D transcript:Sspon.03G0032790-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVLRHLASRDGSPRANLAVSPLSLHAALALLAAGARGGTLDQIASFLGPAGGSAHAALASCVALRVFADDGGEGGNGTTVRFANGVWVDADLRLKASFARVAAEHYRAEARQVFFKTMPEEARSQINEWVARATAGRIKDLLPTGCLHSGTPAVLANALYFKGAWERKFDACLTRDEAFFLHDGCVVRVPFMSSTSKQRVACRPGYKVLRLRYAQQGGGKHRLFSMYIYLPDVHDGLPTLLHKLSADPAALLESSRTPTHEVPVRAFRVPRFTVAYKTNAREMLLDLGLLLPFDRVAADFGDMVEAAPEPPVVSDVYHESFVEVNEEGTEAASATAVALCFGCARMEAPVDFVADHPFVFLIKEEVSGVVVFAGQ >Sspon.06G0021070-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:12044196:12046184:-1 gene:Sspon.06G0021070-1B transcript:Sspon.06G0021070-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRKLLMGLTAKRWLQSRAQQLFWATSLPEATTSRSLVVAAAMVRLSSRSPAPILFSSRTISSTQSVTQSAGDVSGSGSSAVDHKLAMQEDEFHKLADETIHDLLEKLEEYGDSIQMDGFDIEYGVSCNTRWRIMQSLLVLTLRLGDLGTYVINKQTPNKQIWLSSPVSGPSRFDWDATTNGWIYKRTGVNLVQLLEEEIGGLCGTPVELS >Sspon.08G0022200-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:47804758:47806840:1 gene:Sspon.08G0022200-2D transcript:Sspon.08G0022200-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MCKPRAGSDALAVASPSHRRSSSSASAKRRPAATGVSSSSPTPSTSYSGAGPSPSGAGTGTASAQRRGATSSSSTSSASSGRASLAAARASLPDPPVLYPFQELAAATNSFLAKRAGGAATSSAYWRCSLRGRDAALFQLQRRPGAAALDAAALARIGRYHHTSLARLLGACPAGAHLYLAYELPPGAATLAACLRSPRNPSFTALRTWVSRVQVAADVAQGLEYVHHHAGAVHGRVSASAVIVEPSREADVYAFGVLLLELLSGEEPARYRFDRGTKEFQWVSVLETAAAAAAEGGVRNWVDRRLGDSFPVSAAERLVEVALRCAAGEDRPDMTWVAGKVSKVYLESRVWQQKLQVPTEFSVSVAPR >Sspon.01G0014570-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:16855107:16855585:-1 gene:Sspon.01G0014570-2C transcript:Sspon.01G0014570-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQERGSATRGLALGGLLAVCLLLGVADAATHRVDWSFNADNWSKGKNFRAGDVLEFNYDPSVHNVVAVDAGGYYGCRSSGTPYGSGSDRITLGPGTSYFICSLNGHCGMGMKMIVNAS >Sspon.08G0008680-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:49166335:49169322:1 gene:Sspon.08G0008680-2B transcript:Sspon.08G0008680-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADDALPLASSAPSWPVSMHSAAAAAVYYLGFSFALAQGRAAGVFDLGGARRRGCRWSRAVELALREKVAALERQVEELRHRRAEDARANEKVAGIFASHEQRWFAERKSLRRQVHAVVAAARAREAKREEEAAELRRQVEEQRDTVALKDRALEQEVQRRQGAEERLRAAERSAEELRERAGREALEHAAEVRKHKAAFVELASAQRQLEADLARAARLADTAEAELRAALERRDEAAATAADLSTEAARLRRDADHKDKILSAMLRKSKIDMEDREMLVREVKMCKARRKQAELEADRWRKMWESRGHRRGSSRSSARGAAADLPLPAGSSADKLLAPDAVARATNDTTKILFVDHVEGEGKKDHRQAPAKELTTIECVDRYANHVDDKPAVEEYQGLQEWFQMETEKYTAMIRHRHTAEIEAFTEQLRLKDEKLEAFRWRAVSMDVEATRLRSRIQELEGRLARHEKHSAGLEALLLDRANENRKLEEQLETLQAQAPGVEMCTPAGGQDDGPDDHSIPCSPVKVVQRTVSSGSSRHQESTEVSKYQTKLDEVVAVSPEDHKEDWKELDVHATEALVVSVGDLACAAAAATSMEHDRHDAPASRQSFRSEIEEEKEVYTDPGNAQTTGSSSQEQEATSELALVVLPPGQKSSAWKTDIHALAVSYKIKRLKQQLLVLEKLANECKEEAAATKPSGSEASCSSSSSSRQQPRSRYHTMMSFLSKHVKRYQSLDDKIDDLCARMEESKRSAGRERHGAGEQSQSQSAALGQFLEETFQLQRFMVATGQKLLETQSRIAPGLARDRSGGGGGDGDGVDMKRLMEVAGALLRDVQRGLEVRIARIIGDLEGTLTFHGILRRTR >Sspon.03G0018340-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:77406373:77411568:-1 gene:Sspon.03G0018340-1T transcript:Sspon.03G0018340-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mediator of RNA polymerase II transcription subunit 17 [Source:Projected from Arabidopsis thaliana (AT5G20170) UniProtKB/Swiss-Prot;Acc:F4K460] MEKGDVRVDLDKLPIKRLEAIDEIGNEHYPPDTSNEEQRLAAIRRIDFSWVIEKDAKKAKKAAEADTTQQAWPWQGLMESLQQAHQELSVVIDLIGTVEANDAVAVASTTKPKSQPNEILVDMAVSAATKLQRLRHLSRYFKQSARTMEQQFQKETRFYSSLIRLQQNWKVKRQRAVGSPGSEGFMFDLVDSSQLDTTIMPRLSPLSLIPIDQDSSGTLSVQIPQKSFRSLSLQFRGDIANNAESSAIKKKEGTLTNTTTEAEKDVLENDDVNKSIKHAHSILRDIHKSIFEEQVFDMVIRETFIQSQGINVTGMCEDFLQLAIGQECSLCLSLELSGQNSNSGTVGQEDYMDTDYTGNLAVATVNGKESSNKDVRGFPNAKCLEIYLLHMFHENILRKLREKSRHMVRYQGSAQAAPDDCGLLSHFCMTVSHRIFSNKVHLELESMVSRVPYLHLRSLPTWHSRTSSWSLYLKVPQPILSTDRARKPSDHHELKYKSRSQFSTKVILKDGQISLMGEGAPSIAGSLTGKPSDGRLINSYNCDLEDLPMMLLQQVASQVIHWLHDEAMVLGMNVTRDFLCLYFDLDQGETLGLVAHVDPDDTYGCISWYLTVDHPMEEGKMSADSPELEKRRFLGYLSLEVLYSTLTDLIKLCGTGVQH >Sspon.06G0031070-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:59098232:59100867:1 gene:Sspon.06G0031070-1C transcript:Sspon.06G0031070-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vesicle-associated membrane protein 714 [Source:Projected from Arabidopsis thaliana (AT5G22360) UniProtKB/Swiss-Prot;Acc:Q9FMR5] MAIVYALVARGTVVLAEFAAVSGNAGAVARRILEKLPPDAESRLCFAQDRYIFHVLRSDAAGITFLCMANDTFGRRIPFLYLEDIQMRFMKNYGRVAHSALAYAMNDEFSRVLHQQMEFFSSNPSADTLNRLRGEIHTVMVDNIEKILDRGDRISLLVDKTSTMQDSAFHFRKQSKRLRRALWMKNAKLLKGASAPKKNM >Sspon.05G0038030-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:5D:31109209:31109440:1 gene:Sspon.05G0038030-1D transcript:Sspon.05G0038030-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SAVGSRIRQVVVMGGRAVGYDANYIVDGGEGTMRPVAQARDPASGRALELWANQQTMQLYTGNSLNHTKGKGGKVYD >Sspon.03G0016220-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:71883122:71898013:-1 gene:Sspon.03G0016220-3C transcript:Sspon.03G0016220-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:gamma carbonic anhydrase 3 [Source:Projected from Arabidopsis thaliana (AT5G66510) TAIR;Acc:AT5G66510] LPRGQKGKVLRPPSKPQHSRPSAQPPFLSIPFPRLGSPPPLEISKGTSAFASLRPRRSRFRPPPPPPGVMAGLGKTMYAVGFWIRETGQALDRLGCRLQGKYFFHEQRDANNIQIGSGTNIQDNSLVHVAKSNLSGKVFPTIIGNNVTVGHSAVLQGCTVEDEAFVGMGATLLDGVVVEKHGMVAAGALVRQNTRIPCGEVWGGNPAKFLRKLTDDEIAFIAESAANYSSLSKAHADENAKPLEKIEFEKVLRKKFAHQDEEYDSSIGVWGGNPAKFLRKLTDDEIAFIAESAANYSSLSKAHADENAKPLEKIEFEKVLRKKFAHQDEEYDSSIGVTREAPLELTSPNPAQ >Sspon.03G0041320-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3C:39337287:39338299:-1 gene:Sspon.03G0041320-1C transcript:Sspon.03G0041320-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MILVKKSPIFIPSTSSSPAAPPCGCPVLDADAHSPRCCRSLAPVACASRPLPSRALVLVVLAPPVVRCPPGQAKPGASGTVWGCERVMVVWHGRQGIGHGEPVAGGSGVWRVGGRRSGGTTGRGAPAGWGERMWWGCMDGGQIKVDGRIVSESYGCDDDVMCMWAR >Sspon.03G0005850-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:23790086:23790475:1 gene:Sspon.03G0005850-2B transcript:Sspon.03G0005850-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAASSAAGAGKSLFQGLRRFLKKPWEITGPCASPEYRSALPDALEYRVKCPATVRDDRDKAIVPTSDPETVYDIKYFTRDRRRNRPPVRRTLLRKPELERYMATKQFDPTKDFPVPYVNTTVEEDDNT >Sspon.08G0006630-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:17680401:17685579:1 gene:Sspon.08G0006630-4D transcript:Sspon.08G0006630-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MELSKQARAQPALERGSGPASRPLPSAALNPKQPANHAMVGPGNWGMQVPTNAYLIVPMGGQPAQVGASSPNAPHHPGARPVSRVSLRPPQQVLSVQPTLPVIRASPAPSAMGKKVAASPKVQMLKPVPSQVSPATASKGFVQKRPLLKAHPQLSDSETVRSKFRENLGAALCVDSDQQIVQKSAGNISPFRSACENKHADSGSGTLHKPSTCITRNEGKADTGTGPKSVGSISEQHDTLSGGILGSNMAIKVSKDAQEQSIHVCLENDVSGNNTVASDEILQRHGPLCAPDIVVGASESISPLNSKRAAPSDTDDGATVPLNEPEFKRTKTSDGVTWEQKGIVLKGQSLAHRIEADLFKLCGGVSKKYKEKGRSLLFNLKDKSNPVLRGQVLSGEITPKCLCSMTTEELASKELSAWRLAKAEELGKMVVRPNREVNIQRLVRKTHKGEFHIEVEETDSISVGDELRSDLLSHIPSKSIEGRIKSDDGLSVHRGDMEPDNTVQDGFAGIGNSSLLSNLECVANKKTAFIQEKVHDMKYTENLPEIMSLDDFIEAPDSDIPLECHSTETAQDDPNFTDRAFHTLQPEKNHIGEGNAAPSEFEFTHVAPSPQDNCQAGISSPKNGSIRILSLAKQPKGGLLISSSPEKMDAEIPDTGCAMRSKPISLWEGTIQLNLSSLINVVAIFKSGEKPSTNEWCSFVEIKGRVRITAFQDFLEQLPKSKSRAVTVTELRWKEGSHESGRQQFMQTIDSYIADERVGLVKPAEGVELYICPSQGKTAQVLAEHLPKEHSGILTVTGGVPVIGVFVWRRPCVSTQTPTRHGGSKVQSMPISRKHQAVVATSVPMSSQLTRPASQSVHPNEHHCLEYDATDNVPPGFGQGVAKDDNDLPVYDCASISSRSLSPNAAMPYSYRRQQHGQALSPSPPMDLVRQLVRKYGGMYDSAEPWDRNHEDLTEWDPSLGGHRYNAMQQQPVLPSPLSQAYTLAQEHAMTVQRPWNHPAEALSVQPGWQSSPQWQDIRQGTRFVVEPDVVVPKPTSLRGAREPWLGSWKPH >Sspon.02G0029180-1T-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2B:104144125:104145264:1 gene:Sspon.02G0029180-1T transcript:Sspon.02G0029180-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MCRHVASAGMGPRLTRTGGVLPPTGWYDTNQFTLEVIFHNRMRQYGCLTTDASRAAAVYVPYYAGLDVGRHLWGFSNDVRDALAEDLVGWLRSSPAWAAHGGRDHFLVGGRIAWDFRREDGGEWGSRLLFLPEARNMTALVLESGPWHVGDVGVPYPTYFHPSRAAEVASWQRTLRRSRRPWLFAFVGARRPGEGGTLRDSAIDQCARSRRCGLLQCGRGRRTDCYAPGNVMRHLKSAAFCLQPPGDSYTRRSAFDAMLAGCLPVFFHPGSAYTQYRWHLPGDHTRYSVFVPGDSVRNGTVRVEDALRRFGRAEVAAMREQVIQMIPGIVYRDPRAPSVEFRDAFDVAIDGLIKRVNSVKRGLPPRQDDDQRRWDGYFHM >Sspon.01G0024320-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:24598676:24605493:-1 gene:Sspon.01G0024320-3C transcript:Sspon.01G0024320-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RHOMBOID-like protein 15 [Source:Projected from Arabidopsis thaliana (AT3G58460) UniProtKB/TrEMBL;Acc:F4J5V3] MRPNIITEAGIPTRLNQWWSSIPFITSGVVLICGVIYLLCLLIGYDSYAEICFLPSAVASHFQVYRFYTSVLFHGSLLHVLFNMLALVPLGTELERIMGSVRLLFLMFLLATTNAILHLTVAFLVAYNPLYPVPNLVNECSIGFSGVIFSMIVIETSLSGVQSRSVFGLFNVPAKWYAWILLVLFQFLASNVSLLGHLCGILSGFAYTYGLFNYLLPGPSFYSKIEGLSALSVCVRRPGFIMCTGGTTYGQLPTYSNTSAAPSALINGNFLRNISSWMPSRHTATAQVDTFDEELKKLVGMGFEKTQAEVALAAADGDPNVAIEILMSQQG >Sspon.03G0041770-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3C:46002790:46003899:1 gene:Sspon.03G0041770-1C transcript:Sspon.03G0041770-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKKGASIFALWEKAAKAKKTASTSTPNPPSVEVESLSPIESNLQLAIVEAQDDGEANDEARAPQPDTDRVPPTPIVEDYATDEDGEVRADLEALEHDPGKRIPIARFLLHQGLAFRGHDESEESLNKGNFLELLNWLAGNFEEVDKVVLKNAPQNCKMTCHEIQHELIKCCAQQTTKLVIEEHDGSQFAILADESADVYQNEQLAVCLRYVDKKGRIVVS >Sspon.08G0006930-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:19119094:19133174:1 gene:Sspon.08G0006930-2B transcript:Sspon.08G0006930-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPYFGSLPNLEVLDVSYNMLEAGDWGFVSSLSNCSRLTQLMLDGNNLQGNLPSSIGNLSSNLQLLWLRNNRISGPIPPEIGNLRSLSILFMDYNMFTGNIPPTTGNLHELVVLALTQNRLSGPIPEIIGNLVQLTDLKLDRNSLRGTIPASIGSCTQLQILNLAHNSLNGTIPNSINAAKVMQNCILPLVKIGLSCSMASLKERPGMDEAHDDRLALLCFKSQLSDTTKALASWSSNTSTDICSWYGVTCNNTRQPYRVTELDLESLKLTGIISPCIANLTFLERIHLLDNHLTGQIPPEMGDLQMLRYFNLSSNSLNGDIPSLLGSSPSIVYIDLSSNGLTDQLPESLATSSSLQVLKLGKNNFDGEIPPVLFNSSSLVRIDLQQNNFTGPIPTVPKIMLTLQYLNFMSNRLSGTVPPPLANISSLLYLYLEGNNLIGGIPESLGHIPGLRALTLTTNRLSGQVPLSLYNTSALIYLDLRHNLLVGRLPDNNGNLLQNIQTLILEDNKFEGKIPASLSNCTSLQVLDLANNSFSGAIPPLGSLQNLTYLDFSLNHLEDPDWSFLSSLSNCTQLTSLGLMSNNISGKLPSSIGNRLSGPIPDSLGNLEQLTELYMRENDLNGSIPKSLGNCKNLQLLNFSHNSLDGSIPTELLKIDSLSEGLDLSHNKLSGVIPQEIGVLINLGVLNISNNRLSGQIPSALGQCIVLESLHLENNLLEGSIPQSFMNLKGIREIDLSRNNLSGEIPQILTSLNALQYLNLSFNDFSGGVPSTGVFANDSKVSVQGNKSCIIPLMKLGLSCSMEFPKDRLGMGHVTDEIHAINNSFSNINVLGYSPRVCKLKKQRIVIKMQQDIRVLSIYKGNLDLLEDQVAIKIYKLEIYAADRNFGLESYGMNKEAFEVHVI >Sspon.07G0027310-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7B:59536675:59537616:-1 gene:Sspon.07G0027310-1B transcript:Sspon.07G0027310-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGGCRRGRCWGGGVFEEVRRLMELHKDEVTSITVVGHSLGAALATLNAVDIAANGLNAPASTSSSQPPCPVTAIVFACPHVGDRFFKAAFGSFRDLRALHVKNAGDVVPVVPPLTYVDVAVVLPIDTGRSPYLRPPGTPQTLHNLECYLHGVAGEQGSAGGFRLEVDRDVALVNKDADALRDEYPVPANWWVPENKWM >Sspon.07G0020440-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:76258432:76262369:1 gene:Sspon.07G0020440-1A transcript:Sspon.07G0020440-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:K-box region and MADS-box transcription factor family protein [Source:Projected from Arabidopsis thaliana (AT4G09960) TAIR;Acc:AT4G09960] SMGRGRIEIKRIENNTSRQVTFCKRRNGLLKKAYELSVLCDAEVALIVFSSRGRLYEYANNSVKATIERYKKAHTVGSSSGPPLLEHNAKQFYQQESAKLRNQIQMLQNTKRHLVGDSVGNLSLKELKQLESRLEKGISKIRARQNELLAAEINYMAKQETELQNDHMNLRTQIEEGEQQLQQVTVARSVAAAAATNVELNPFLEMDTKCFFPGGPFATLDMKCFFPGSFQMLEAAAAQQRQMLATELNLGYQLAPPGSDAANNNPHQF >Sspon.07G0023380-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:15478498:15478710:-1 gene:Sspon.07G0023380-1B transcript:Sspon.07G0023380-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DDYIYSDFKDIFDVDHFKESLKEDIVIVDSLPPDYRRVKPYVRAPTSWSRVRVNNLLVLLDCIAAALEKIS >Sspon.06G0025430-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:68273328:68274350:1 gene:Sspon.06G0025430-1B transcript:Sspon.06G0025430-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RRMTGSMDLPTKGGFDFDLCRRNNMLEKNGLKVPVFLKTGTTIVGLVFQDGVVLGADTRATEGPIVADKNCEKIHFMAPNIYCCGAGTAADTEAVTDMVSSQLQLHRYATGRESRVITALTLLKSHLFRYEGTLDTPSEDAMMVPLGPLAGASAADRISQDGEHNDDPSKLAIHQENSVASDINVSSNSLCGKAVDDIVEFGDMCDAQVEEERVPKFRPKAQTKLLKETAKSRKTNQKVEASTVDVVTQNGKDNNIQTRLHDDQVQDPKSHESVQVPIQFASLMTSYISFAAVF >Sspon.05G0035580-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:76530865:76542518:-1 gene:Sspon.05G0035580-1C transcript:Sspon.05G0035580-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVLDALASYIQNMLMEIAKEEVHMLLGVSGEIDNMGVKLRDLKNFLADADRRKITDQSVQAFVRELRDAMYHATDILDLCQLKAMERGPRHDAGCFNPLLFCIRNPLHAHDIGSRIKNLNKKLDGIKTRSESFNFINLGTYEDRGRKMVSSSLGTHETSWGLDETGLVGEMIEEDTQNLVELLTKKDQTHRLYNKIMVFAIVGVGGIGKTTLARKIFKNDIVQQEFSKKIWLSVNQDFNELDILRAITEAGGDYLVAGDTKATLERILTQALMGHKTLIIMDDVWDYRAWEDVLRTPLVNVAAADNGSRVLVTTRHNTVARGMMAEKPYHRIKKLQPEDAWLLLKKQVVVNINDEPQVEMLKEIGMEIIAKCDCLPLAVKVIGGLLRQKTTRQGDWEKVLHDSIWSVSQMPEELNNAIYLSYEDLQPSLKPCFLYYCVLPRSTEFFRDEIIGMWISEGFVHGTSCDLEQTGREYYDELIQRNLIEPNKEYVDQQVCNMHDIVRSFAQYVARDEALVCDNSEIYISDKLKSQEFIRLSMEKERSKTHDFEWCSLQTQKSLRTLISVGHIKIKPGDSLVPFSSLRTLYMVSVNFDELGESLYQLKHLRYLSIRNSETSMLPNIGKFKFLQYISLSGCKSLVKLPSSTGMLQHLRQLDIRRTSINTMPKGFCGSTCLTNLYGFPAHMDGDRCSLEELGPLSQLMELSIYLLENVASASFAKQARLGEKNRLRFLAMICTSRLQNDELLVNVEGGISVEEQRRIEEVFDELCPPPCLENIDIRGYFGQRLPRWMMPTTVMPLGSLRILKMYDLPCCIEFPSGWSQLPCIELLQIRRAPMIKRVGLEFLQPNNQVEVVFPRLQKLVFGEMVEWEEWEWEEQVKAMPILEKLRLNACKLRRVPPGLASQARALKELLIYDVKNLSSLENFTFVVCLDVVRSTHLERISNLPKLRKLVIAWCPKMKVLEGMPALQRLNLEDYVMETVPRYLQDVNPRHLLLYCSLSLLTCIAAGKSGPEWDKLSHIQQVKAYADDKGCPRKWYVLYTRNPFRFETNISRSAIAQGLIREEALVPVVALLPTPLPTGEEALQALGHQASNSAALAPARSRRQLQQLRRARVGCGTEQQ >Sspon.02G0051800-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2C:83485590:83486054:-1 gene:Sspon.02G0051800-1C transcript:Sspon.02G0051800-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MREPGSGDSNRRGQSRRHASTTTTIASSTGLGSTGRAARAMGGSERRGSSKVEWAHGVQTDRPRLEKTAALGKANSRRTTRWMRLRRSHACGSGEKISLCFLFSREIASYRRWSSGGLLGQIVGQIRERAVRTENDWTDTPVTALSLPNPPPNY >Sspon.02G0053810-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:108295161:108296894:-1 gene:Sspon.02G0053810-1C transcript:Sspon.02G0053810-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHQQSAFLLIVVAVSTAAMAHGQFAASTPAARFWEKALPGSPMPEVIADFVQKGIDQSPLVKHYSAHPSISMCTLFNTICDPRTVAETGIFFHEAQLRPGSTMTLSFPEEMETPILPHDITDKVPFENLSDVLSTFHISPGTAEAAQVEDTLSKCQQPPIAGEMKACTTGGGGGGDYVWVATSALPRGGLLPRRQYVVQAVTPLDGSGYVSCHKVPFPYAVYQCHVTYTGYGGYKEGPTVSLLAFCHFDTSCWNPMHPAFQILNTRPGASTSVCHFMSYGNLAFVKKAGTA >Sspon.03G0012540-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:34715642:34724581:-1 gene:Sspon.03G0012540-1A transcript:Sspon.03G0012540-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding KTKQHVITISALRPLPSPLSNSVVATGRAVIAGGNQHVRWTSREATARKRSGTPVNIIVGSHVWVEDPNLAWIDGEVVSIKNNEVHVQTSSYHRQSKVFPKDMEAPPGGVDDMTRLSYLHEPGVLQNLATRYELNEIYTYTGSILIAVNPFQRLPHLYDTHMMEQYKGADFGELSPHVFAIADVAYRAMINEGKSNSILVSGESGAGKTETTKMLMRYLAHLGGRSGVEGRTVEQQSNPVLEAFGNAKTVRNNNSSRFGKFVEIQFDKTGRISGAAIRTYLLERSRVCQINSPERNYHCFYFLCAAPPEETQRYKLSDPRSFHYLNQSSCIEVDGINDAEEYLATRRAMDIVGINEEEQEAIFRVVAAVLHLGNINFAKGTEIDSSVIKDDKSRFHLNTAAELLKCDCQNLEKALITRVIVTPEEVITRTLDPASAVASRDALAKIIYSRLFDWIVEKINVSIGQDPNSKQLIGVLDIYGFESFKVNSFEQLCINYTNEKLQQHFNQHVFKMEQEEYTREEINWSYIEFVDNQDVLDLIEKKGGLIALLDEACMFPRSTHETFAQKLYTTFKNNKRFAKPKLSRTDFT >Sspon.04G0021640-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:1623862:1631250:1 gene:Sspon.04G0021640-3D transcript:Sspon.04G0021640-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding EIPKEVYNNLDTGSQDEKWWEGVDLQKLILAHNNLEVLREDLRNLSSLVVLNISHNKISSLPAAIGDLPLLKSLDISFNQINTLPEEIGLATSLVKVDFSNNCLTEIPPSLAKCPDLSELKASNNNISRIPDVLAGCSKLSKLDLEVRTNRMLKLLLCCSSCLLKLKCTTYQGNKLVTLSENMFVSWTMLTELNLAKNLLTAIPGSIGALPKLIRLDMHQNSEFIYPCCHMCMLCIFTLSLALMDFYLSVSEITSIPPSIKGCSSLAEFYMGNNLLSSIPADIGTLSKLGILDLHSNQLKEYPVGACNLKLSFLDLSNNSLSGLPAELGSPMELPKLIYCVPSSLVSGPTTTLLKYLRSRLSSDEEASGSGSTPTKDDQIAAARRLSLSSKELDLSGLGVTSVPAAAWETSDVVKLDLSKNSIEDLPNELSLCSSLQSLVLSNNKIKRWPHTVISSTDLASLSKLEVLDLSGNASALPEPSAVSALPQLQELYLRRMKLHEFPNGLLGLKQLRILDLSQNNLTTVPEGIKNFTALIELDLSDNNITALPAELRTLSNMRTPSYVGEITLTNFSLGELPPYVHAMRVLPLDLNELWAFEVDFEYSSGILLHIETRLEVQEPELQKDIMKSNFGADANGEVDSDLLESIEQYGNQFKGSHKSASSTGESDEADASSESKSTGWTSAYISRWKTILHSIADHVSQVPLSLAIRISSVRGILRIHMKPPPSDQIWYGFTSMPDLEWDLESSVGDRKITNSHIAALIGNRFKASLRDSLVLPNCESISMPWMLAEKDDWVPRKDAPFIWLNHEPTEMRSHATVTPPAHPEEGGANDDASSKRPMTSLPNSSGGSEESLRAVASIDEAKQEPMAEASLHSQSSSAPVSESVHSDGNEELRKPLLIAEKLQEDAPESRVMSPMSTSLRAVIPAGEQPQVSASPIGEDAKRKGGRRARMMDFGKRMGDKLEEKRRTIEEKGRHIVEKMRENARTNSMERTSS >Sspon.05G0008630-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:27836069:27840011:1 gene:Sspon.05G0008630-4D transcript:Sspon.05G0008630-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGGYQEISTITPTPRQQSMAQLERGSLLVGGRELLVRALPNVTLRPAGAGVADDEAAASGAAFLGARAAAASSRHVFSVGHLARRLCHRFDSWLMVSFLDRWPRSGWRWLSLFRFKIWWMMPATGVGAAAVPAETQMLLLESTIEAGSAAATERGSLYALMLPVLDGGFRASLQGSPEDELQMVSKIKGTFSHIEHKEIPSNLDWFGWCTWDAFYKAVNPSGIEEGLQRFAHRLSDLKENHKFRGETCKNLEDLIKKIKEKHGVKYVYMWHALLGYWGGILATSDAMKKYNPKFVYPVQSPGNVANLRDIAMDSLEKFGVGIVDPDKIYEFYNDQHSYLSSVGVDGVKVDVQNVLETLGRGFGGRVAVTRKYQQALEESIAQNFKTNNLICCMSHNSDSIFSALKSAVARASEDFMPREPTLQTLHIASVAFNSLLLGEIFIPDWDMFHSKHESAEFHGAARALSGGGVYVSDKPGVHDFSVLKKLVLPDGSILRARYAGRPTRDCLFTDPVMDGKSLLKIWNLNNFTGVIGVFNCQGAGQWVWPVKETAYVPINVNITGQLSPSDVESLEEIAGDDWNGETAVYAFGSCSLSRLQKHQSLEVSLSTMTCEIYNISPIKIFSEVVRFAPLGLIDMFNSGGALHNVSSIADSSATTIHIRCQGPGRFGAYSATRPELCRVDENEVEFAHAEDGLLTFYLPPSSSQDNLRHVEIVYKAS >Sspon.03G0020560-3D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3D:50624567:50624965:-1 gene:Sspon.03G0020560-3D transcript:Sspon.03G0020560-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGRAAAMVVVAASAAVLLLAGGAYADCYNDCFDDCMSRMKQRDYCSYACDKVCSPDADATLHQRLRPLAVVGPAALPDHRLLDKKQDADAVRPAALPDHPFHGTHGGGVKPAGEPDPGHVVRPARVPVLP >Sspon.04G0025640-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:28514908:28519275:-1 gene:Sspon.04G0025640-1B transcript:Sspon.04G0025640-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGATTFYHHRIILWLCGGVILHGDSLRKMSNCDGQDDIFLETSDDIRSSTYFSARCSTSDQLSASWGPEDELWTSELMSVNERRHRFLIGMGFVKPIPTGITFSQWQGEILADRAFRDLEERINSICSSYRPSFSHCASAPDSTRNSVVLHESEHHELTRILDEVGTDRIMNINQSEGFLSFSQLVHEFLQKGSGRGPARGMNVDFSEKQKDPKSFCGRFTRKKGEDIICMHDTRMKSLKTGTLFTTKVDQQNKKWMDFSALYMCQEIHAHGGSIRVMKFSTSGCYLASVGEDCIVRIWMVQEVESSPDLYIREAPVKSMDRNKGLKMKVGKGQRRALAIIPKKVFNIAETPLHEFHGHTSDILDMTWSKSNFLLTSSKDKTVRMWKVGCDDCLAVFKHRDYVTCIQSNPVDERYFVSGSIDGKVRVWDVSERRVVDWADTKDIITAVCYQPDGKGLIVGIASGRCRFYNHAGENVELEKVLKVTKKKSAGRQITSLQYSNGDPAGIMIASAGSKIRVSDVGAKINRKFEGRRGSKVLVPPSLTSDGQYLVSAGADSNVYIWNFDRPAAGKSTTTRGARRVRSCEHFFSEGVTSVATWPGLQQQQDDLQSSEKGATPTLCRDREFCSFGTWFSADGARGAATWPEEKLLPSLKYVNCAGMDECSTKVSAAWNKVVVTGGRDGVIRCFHNYGLPVKL >Sspon.01G0026780-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:93598046:93600253:1 gene:Sspon.01G0026780-2C transcript:Sspon.01G0026780-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFWQARDFLFCGVCGTLLTFDSVRSASCPLCGFKRDAKGHSKRVKGTNGGHCCAKTTDK >Sspon.08G0008300-3D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8D:27104040:27105249:1 gene:Sspon.08G0008300-3D transcript:Sspon.08G0008300-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGDLRWCCGSSSNEWDLHAVVRFASCSGGSRGTSPRASDESFSCPPLPPQSQKDEMTDAAAFQQPPIGPAVDDFCLQQAFFAAPQPRNEAPPQPPPAKPRTSYRNNGVGGPTRSKRKKKKSQVSNKEVKRVPVGASPDPWAWRKYGQKPIKGSPYPRGYYRCSTDKDCRARKQVESCRTDPSTLIVSYTGEHSHPVPLHRNALAGTTRNKPQPAPSTSPAEEPPAASPIDTTATTQLCPSVGVEYEEDNTVAASLLLEDAEMEGEEDVLLFLKPAPSPTNGSGSKDVMLFPEPHRPAPGADNGRGSQKVVPLSKLHELPLPATTSSSRTSDGSRAAPAAMNVTHEKCPFSAATNWV >Sspon.01G0024310-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:87321226:87323688:1 gene:Sspon.01G0024310-1A transcript:Sspon.01G0024310-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSAAAVIPRLRFATRPRLRRPSARTYWPRPLSSSHVTPAAAAEVLEPPDLTRLANAARISLSPQEAQDFEPKIRQVVDWFGQLQAVDLESIEPSLRAGTTADSSLREDKPETFDNRDAIVEAIPSYDDPYIKVPRVLNKE >Sspon.01G0007270-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1C:19998686:19999279:1 gene:Sspon.01G0007270-2C transcript:Sspon.01G0007270-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYGKRSRQKAEEMVWLPDGADVARFLMLFSGHHQHYYHGAGVASTDAASSAPERVFECKTCNRQFPSFQALGGHRASHKKPRLADGGVDAAAAEPPKPKVHGCSICGLEFAIGQALGGHMRRHRAADQADGGSPPGLGLGLSLGSGLLAPEDDSGKKAAPPPELVLDLNAVPELEEEQDRSKLGLSIEFPVAVVDFL >Sspon.01G0000390-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:1635302:1638030:-1 gene:Sspon.01G0000390-1A transcript:Sspon.01G0000390-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ALKTYIWLQCFDGSIQQVEEEVAMFCPMICREIVKNGTGSSKNHAIALPERVNPASLSLILDYCRFHQVTGRSNKERKSFDEKFVRIETERLCELTSAADSLQLKPLVDLTSRALARIIEGKTPEEIRDIFHLPDDLTEEEKLEPLKNINDDPRIRLLNRLYAKKRKELQERHERQKMKDVQVQKEQKDERSLDELLCFINGDGGSGGKATKSKKKNKRRKDHAKNPPKADSEPLNAEGAACVVPRKVDSSNVSRLPCQNPDMQDDVEYPFEDADLDDGLDPAMKEELDREVEDFARRLNSVWNESHIGGNGSVQRFPGLNHRIRRQRQSIFVDIQLGRE >Sspon.05G0035480-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5D:73073511:73076609:1 gene:Sspon.05G0035480-2D transcript:Sspon.05G0035480-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLIKADTIDEAAKRILNELKEDAAGTASSSSRRNVIYFDGWDGLGASAVLREVGRRLTAAASEEEPEPAPAGRRRVPGAAVLEFAHIFHIDCSKWESRRAMQRIIAEQVELPARVMDMLDAQDEADDFQGLANGSRAEILKVSEAISEHMAKLNRRFVVIFHNGSNEEIDLDSFGIPLSARYSRNKVLWSFQGRFRLYPRTKVDMALKGTSTTTTDVVLSASASAAAASKGDLSDILSHEAAEVASQINIGGIDWSAAATNCFLYTMKLCGIGSRLVDYDLVNHGCNYWTCDGIIQLQQGDVVTDGDESLWLFSDALQREMRLDTDYQNPYLPSPVVKHFPERISYWSSPIYGFTLIPDHQYGCIPKGMFRQLDKLHVLKISACEFSFTSPPFLYCNNLRFLWLDHCKQGSSSTDEVADEEDLRRCFQRMWVLDELNVVGESYLGLDRLLYGRRLRNIRKLRVTESGIQCRIDRPKDLFSGMDKLELLEFSRNRAIFNEYGSFPDLRSLDITGAAVKTLDLSAVTAPQFGRLVLIGCEKLCAILWPPAAAARRERYMGHLRIDTTQKVGTTAVSSPAHPGVPAATAIATNKGGIENGRQQVEVNLQHQQLLKDNALNPDAAVTLKYTSMEQQDKEGDYDDWETIVERCPKLERVFTSQKFLGASEIGVDVFKKLRTLWASHLPNARYIWNWSAVFGFEDRAFTDLTLLHLDYCPRMTHVVSLHDAMRALESLETLEIMWCGDLSVVFHFYEIGDYRSHGGRWHFPKLKRIHLHELPKLQRICSIGSNMYAPNLDTIKIRGCWSFKRLPIIGNNKVVECDCEKELWDRMEWDSLVQPKHYKPLHSPYYKKTMLRASVL >Sspon.02G0023520-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2B:79345306:79345770:1 gene:Sspon.02G0023520-2B transcript:Sspon.02G0023520-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATAMASMSSVAFATAGARGAGAFPASALAPRRRALVVVRAQSEDVETTPKAKAAIPGLWDALAFSGPAPERINGRLAMVGFVSALAVEASRGGGLLSQAGSGSGLAWFAATAAVLSVASLVPLLKGDSAEARSGAVMSSDAELWNGRFAMLGL >Sspon.02G0045040-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:106997082:106998987:1 gene:Sspon.02G0045040-1B transcript:Sspon.02G0045040-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSAVQHHADDDVQQQAAMDRHRGRCRLAALVAAALCLVAAHEGRCGAAARRLHAVEEAVLDVAPAEAPEAARWPGGGDALGEAKWLPMSMPVPVQVPAASALAGGLRFPPVVFPLAGASMPWLPVPGAQHAFAGPGGGGGIPALVPPYVGATRQEQLSLWASLFNPLQVRPRLPISLGGGGDTTAGPVVERAGVPAIASGGKAAEGELTVDVPTAGAGAMQAAEPKWGVFLGNIDHRNYRHAQRGPASCCWLPSLSSENALRPQATASSSIQTSEQEEAPDGE >Sspon.01G0036170-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:14409191:14412034:1 gene:Sspon.01G0036170-2D transcript:Sspon.01G0036170-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding QKMRPGYSPFPFFYLLPPPSPHSAPPRIARALQLLFSSPKKRHRAPPGDSPSRRRRFCRMAEQFYTVASDSETTGEDKSQPSFPDVAIGIDIGTSKCSVAVWNGHQVELLKNTRNQKGMRSYVMFKDDTLSAGVTGGAAKENAHEERDILSGSAIFNMKRLIGRMDTDEVVLPEPTAVALLYAQQQQQLMHDNMGSGIEKIALIFNMGAGYCDVAVAATAGGVSQIRALSGCTAGGEDILQNIMRHVLPNFDSLYAGQTMDRIQSMSLLRIATQDAIHKLVNQETVEINIDLGNGHKVSKVLDHSEFEQVNRAIFYKCEKIINQCLVDAKLVPEDINDVILVGGCSKIPRIRSLVLGLCKKETSYKNIDALEAAVSGAALEGAIASGVNDPSGSLDLLTIQATPMNLGIRADGDSFAAIIPRNTTVPARRDMLFTTTHDNQTEALIAVYEGEGEHAEDNHMLGYFKITGIPAAPKGTVEISVCMDIDAANVLRVFAGVVKPQGPAIPPIIEVRMPTLDDGHGWCGQAL >Sspon.02G0007770-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:24542902:24546048:-1 gene:Sspon.02G0007770-1P transcript:Sspon.02G0007770-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLFGNGGYSYSSGSEGEDEDEGTEGYRKGGYHAARPGDRFAGGRFVAQRKIGWGNFSTVWLAYDTLHSRFVALKIQKSARDYAQAALHEIELLSAVAKGDPTNSKCVLRLLDHFKHAGPNGKHVCLVTEFLGDSLLRLIRYNRNKGIGLSRVKEICRSVLVGLDYLHSQLGIIHTDLKPENVLLDSTINPAKDPVRSGLTPILDRPVGNQYGGTVISFSEKMLKMRARRAVAKISQRRVSLGGVGAELEKERCLDGISLKCKIVDFGNACWADQQLVGEIQTRQYRAPEVIIGSGYSYSADMWSFACIAFELATGDLLFAPKNCQGCSEDEDHLALMMETLGKMPRKIATSGTRSKDYFDRHGDLKRIRRLKFWPLDRILVERYNFTEPDAKGFTDFLRPMLDFTPENRPSAAQCLKHPWLN >Sspon.06G0018740-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:101456116:101457560:1 gene:Sspon.06G0018740-1A transcript:Sspon.06G0018740-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADDKTGCIRKLFPDAAPETGESMDRILDDVQRDVLPGLTHWQSPSFFAYFPANASDAGFAGEMLSAGLNVVPFVWKASPVATELEQVVVDWMAGLVGLPEIFRFAGGGGGVLQGSTCEAVVCTLAATRDRAISKLGHAAILRTVVYASDQTHATFQKGARIVGIPLANFRVLRTTAESGYGLTADTVRAAVEEDVARGLVPLYLCATVGTTGLGAIDPVRELGQVARRYGMWLHNDAAYAGSAAICPELADSVSMNPHKLFLTNMDCCCLWVADPTALTSALSTDPEYLKNVGSSGDVSPIDYKDWQIALSRRFRAIKLWVVLRRYGAAGLRAYIRRHVRMAKWFELAVAADARFEVVVPRNLSLVCFRLRHRLGERPEDDDAVEELDRRLLADVNASGRAFMTHFVVDGKFVIRLAVGGAMTEMRHVRDAWELLKEKANEL >Sspon.07G0012190-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:30090471:30091626:-1 gene:Sspon.07G0012190-2P transcript:Sspon.07G0012190-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTSGSKSIDGVGAVSGVGAAAAGLGGAGGEGGEGGAAEAWHGGAQLYVSLKMENAEISGDLVPHVYGSEPIIGTWDPSRALAMERELASMWELSFVVPPDHETLDFKFLLKPKDAETPCIIEEGPTRLLTGGMLEGDVRVALFKLNGDDEVLEFRVFNKADLVSPLELAASWRVYKENFQPSRVRGIPDISINVAPTNATE >Sspon.03G0003380-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:13385600:13388679:1 gene:Sspon.03G0003380-4D transcript:Sspon.03G0003380-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASGGAYAAQLPAVPEWLNKGDNAWQLTAATLVGIQSMPGLVVLYGSIVKKKWAVNSAFMALYAYASSLLVWVLVGFRMAFGERLLPFWGKAGVALSQGYLVRRASLSATAHGDTPRTEPLYPEATLVLFQFEFAAITLVLLAGSVLGRMNIKAWMAFTPLWLLFSYTVGAFSLWGGGFLYHWGVIDYSGGYVIHLSSGVAGFTAAYWVGPRLKSDRERFSPNNILLMIAGGGLLWMGWAGFNGGAPYAANIAASVAVLNTNVSAATSLLTWTCLDVIFFNKPSVIGAVQGMMTGLVCITPGAGLVQTWAAVIMGVFAGSVPWFTMMILHKKSALLMKVDDTLAVFHTHAVAGLLGGVLTGLLATPELLEIESPVPGLRGAFYGGGAQQVGKQLAGAAFVVAWNVAVTSLILFAIGLLVPLRMPDDQLMIGDDAAHGEEAYALWGDGEKFDATRHDLAARVGGGGMMDREGSADQRMSGMGARGVTIQL >Sspon.04G0018900-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:51442259:51446628:-1 gene:Sspon.04G0018900-3C transcript:Sspon.04G0018900-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphopantetheine adenylyltransferase [Source:Projected from Arabidopsis thaliana (AT2G18250) UniProtKB/Swiss-Prot;Acc:Q9ZPV8] MEEGSGAVEKGPVVGTVADASAAAAVAVANVIGDGKEEAEGRRPAPVAYTAVVIGGTFDRLHQGHHLFLKAAAEQARERIVIGVCDGPMLAKKQYAYLIQPIEKRMQNVKDYIKSIKPDLDVHVEPIVDPYGPSIVDKGLEAIIVSKETLPGGHAVNRKRAERGLTQLQIEVVELVPEESTGNKISSTAFRKMEAEKELQQQNRQQGIAIGSPIGMQNIAVQSHGAE >Sspon.08G0013480-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8A:56384683:56391014:1 gene:Sspon.08G0013480-1A transcript:Sspon.08G0013480-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RESDCPSSSSLPFSRLLAKNERTQSIPPPLLLSPPSLPLPLSSPAEIPAPASPEDYPIHSAVCRRGETLIYCLPPEPRAQFENPYYLAYLSFLGLDSTVLAAGLARRLLIRGRVIGIGIGSSDEPLVDLELRRMAVGGTQPVLRKYLGALKDTTTVSLAKVNSDYKELDIAIVKATNHVERPSKEKYIREIFHSISAARPRADVAYCIHALARRLSKTRNWAVALKTLIVIHRALREVDPTFREELINYGRSRSHMLNMAYFKDDSSAEAWDYSSWVRIYALYLEERLECFRVLKYDVETDPPRTKDLDTVALLDHLPPLQQLLFRLLACQVALESVKIYTAISDGTINLVDKFFEMQRNDAVRALDVYKRATNQAERLSEFYEVCKTIHIGRREKFLKIEQPPASFLQTMEEYVRDAPTGQKEKAVLAIEYKKEPEEEEKPASPPPAPEPELEQEPEPEPEPVKEEAPEAEPDLLGLNEPNPAATAIEEQNALALAIVPIDDVPKAAPTFENGVTGWELALVTAPSSNETAVAPSKKLAGGLDLLTLDSLYDEANRRASQPASYNPWEVTPAAPAPMLTMAPAMHDPFYASNGYAAPHGVQMAAMAQQQQAFMLQQQMMTTMAPAPVPVVHHPMQMQQNPANPFGNPFAAAGAHPYGAAGMPLHAGPGNVYTGLI >Sspon.06G0011240-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:44363719:44364920:1 gene:Sspon.06G0011240-3D transcript:Sspon.06G0011240-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCSAAVLCLRRRLPPFLVSCDRIRIADCGIYRSEREGGLDMGMKKEELDYVLVPLGLALMAGYHAWLLLRVRRQPATTVIGVNAINRRIWVRHIMEVGSISSPCHIISRALKLRIICMNACTHAQVLLILAVICLWLHSQEPSGKHAVLAVQTIRNNIMASTLLASTAITLSSLIAVLMSSGGGGGAAAASNNNSGGGGLLPGAPLVVGATGAPALSAKFFAILVCFLVAFLLNVQSIRYYSHASVLVNVLPPAAPTHRHRCGRAVGYVTDMLNRGSYFWSLGARAFYFSCPVFLWLFGPIPMFVACVALVCALYFLDVCKDWEEEEGDDGHGHHCISDDERSRQGKDAEQQV >Sspon.03G0012160-2T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:43829681:43836417:-1 gene:Sspon.03G0012160-2T transcript:Sspon.03G0012160-2T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aldehyde/histidinol dehydrogenase domain containing protein [Source: Projected from Oryza sativa (Os01g0591000)] MASANGSCGGGGKGFEVPKVEVRFTKLFIDGKFVDAVSGKTFHTRDPRTGDVIATIAEGDKADVDLAVKAAREAFDNGPWPRMTGYERGRILHKFADLIDEHVEELAMLDTVDAGKLFLVGKVRDIPGAAHLLRYYAGAADKIHGETLKMAQRMHGYTLKEPVGVVGHIVPWNYPSTMFFFKVGPALAAGCTVVVKPAEQTPLSALFYAHLAREAGVPDGVLNVVPGFGPTAGAAVASHMDVDKVSFTGSTEVGRVVMKAAAESNLKSVSLELGGKSPVIVFDDADLDMAVNLVNLATYTNKGEICVAGTRIYVQEGIYDAFVNKAAELAKKSVVGDPFNPHVNQGPQVDKDQYEKVLKYIDIGKREGATLVTGGKPCGDKGYYIEPTIFTDVKDNMTIAQDEIFGPVMALMKFKTVEEVIQKANNTRYGLAAGIVTKNIDIANTVSRSIRAGAIWINCYFAFDPDAPFGGYKMSGFGKDMGTDALEKYLQTKTVVTPLYNTPWL >Sspon.03G0034800-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3B:77034100:77034312:1 gene:Sspon.03G0034800-1B transcript:Sspon.03G0034800-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MANWVGGHEMCVGGFHPGCRELHASELHTRPQQAGQAEVKVFLAVDQTSNPVVEAAAVSLTTSGRRSAA >Sspon.02G0031310-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:114563041:114563934:1 gene:Sspon.02G0031310-1A transcript:Sspon.02G0031310-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTVVGQYHNHASGDHRFVLSSSTLSPIDGSRFATDFLVKNSSWHVANCHDAFLLIVYDPLTCQHRKIYSPPPPHKQLDGTIIRDAFLLDREDGNISISNFRVFYCCFKSVDADADPDACVFTTANGGDWHFLNEDRFSYCFGYVAGHVDRSLYLGMFTGFVAALDNTSLVFSKVALPIRLDMLKIPHLSTFTVVHAAGLGPTSQPRTSIVHVCGEELELFRRVHGSGQWTLEHIISPTCRRRPRHVVS >Sspon.08G0003820-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:10837958:10839172:-1 gene:Sspon.08G0003820-1A transcript:Sspon.08G0003820-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTVDDAIVKPVKTLAKYCHLRSLHLMLQKEQPRWHSCPIYDLTTALEPVKHSFSFAEIIRVPVGWEALRILVQWFYSGELPRVPPNCRWKTMSTEEKLSILKSYAELSSLADFWFLDGVKEESLEVLTSCLNSSTNASLEFIGFAANLGQWELVEAAISSVAHLYPKLRDSGQLEQLDEDVLNMLRTEYVRYSQHYSASN >Sspon.01G0012290-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:39556546:39559469:1 gene:Sspon.01G0012290-2B transcript:Sspon.01G0012290-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GPRHQRPPPPLPPPPLPLPRGRRDHRVGARRRRRGLRRRRRRRRRWRRRSRQAGPARARAGGGQAVVPGGGVRGHAAGRGVPLLHALERGVPRAGHAVRGRRLPRHRAHALPQRRQRDVRGPAPRQRLPLGVHARLRRLRRHHVRRRRHLLRRLTGPHRRRFRLLRCCRSVTRVTCELSLA >Sspon.01G0018480-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:65385500:65386266:-1 gene:Sspon.01G0018480-4D transcript:Sspon.01G0018480-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATATLLSSSFAIPAAARRAYSSSSSTSLGFATSQLAGLSLSAGAATPTAVALLPKRQQLQPIVARRVCPFTDKKTNRANKVSFSNHKTKKQQFVNLQYKKLWWEAGKRFVKLRLSTKALKTIEKHGLDAVARKAGIDLNKK >Sspon.01G0006240-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:16545837:16552831:1 gene:Sspon.01G0006240-2B transcript:Sspon.01G0006240-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSSTSFNFFDEFCSFPFSKEMRSILPVGDITECIPDEVADIAVLEEPEHLNWYHHGRRWKNKFRRVIGIVHTNYLAYVRREKNGQVIACFLKCANTWVTRIYCHKIIRLSGATQDLPRSVICNVHGVNPKFLEVGKLKLRQLQNGEKAFTKGAYYIGKMVWSKGYRELLDLLSKYQSKLVGLEVDLYGSGEDSDEVCESAKRLSLSVNVHPGRDHADPLFHEYKVFINPSTTDVVCTTTAEALAMGKIVICANHPSNEFFKQFPNCRIYDNEDEFVQLTLNALSEQPAPLTDAQRYELSWEAATQRFIEAADINPHVLESRTHQSSRALLPAFLKTRKLKQNLEDASVYLHQALSGLEVTRCAFGAVPKTLQPDEHLCKDLGLAPPVKRKRLKFVR >Sspon.01G0031830-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:108672176:108673518:1 gene:Sspon.01G0031830-1A transcript:Sspon.01G0031830-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSVEKQGSGTLDPDERPPASGETKACTECHTTKTPLWRGGPCGPMSLCNACGIRYRKKRREAMGLDSSSKAGGGNEQQQQQQQQQRKKKATAAAAAAAASKREREREREKEADEVTVELRAVGFGKEVVLKQRRRMRRRRRLGEEECAAILLMALSSGVVYA >Sspon.02G0023250-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:71916661:71917168:1 gene:Sspon.02G0023250-2D transcript:Sspon.02G0023250-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKYTKRVDALVFFSLLLLGYFAAHVQGNGTHEVGAPATAEEVIMRRTGPQCAQNNPQLPCKNNKCFCCIGGGINNHDCYSTMDKCLQC >Sspon.01G0045710-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:92780908:92783547:1 gene:Sspon.01G0045710-1B transcript:Sspon.01G0045710-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGFRVLHLVRPFLAFLPEVQSADRKIPFREKVIYTVISLFIFLVCSQLPLYGIHSTTGADPFYWMRVILASNRGTVMELGITPIVTSGMVMQLLVGSKIIEVDNSVREDRALLNGAQKLLGILIAIGEAVAYVLSGMYGSVSQLGTGNAILIILQLFFAGIIVICLDELLQKGYGLGSGISLFIATNICENIIWKAFSPTTINSGRGAEFEGAVIALFHLLITRTDKVRALREAFYRQNLPNVTNLLATVLVFLIVIYFQGFRVVLPVRSKNARGQQGSYPIKLFYTSNMPIILHSALITNLYFISQLLYRKYSGNFLVNLLGKWKESEYSGHSVPVGGLAYYVTAPSSLADVLANPFHALFYVVFMLSACALFSKTWIEVSGSSAKDVAKQLKEQQMVMPGHRESNLQKELNRYIPTAAAFGGVCIGALTVLADFMGAIGSGTGILLAVTIIYQYFETFEKERATELGFFGF >Sspon.07G0033090-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7C:57211564:57211709:1 gene:Sspon.07G0033090-1C transcript:Sspon.07G0033090-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding EGWNRLLHGGSVEDESDNVGVCIFIDMTTWRFLFLDGGIKAEMISLS >Sspon.06G0024090-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:50522832:50529093:1 gene:Sspon.06G0024090-2C transcript:Sspon.06G0024090-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:External alternative NAD(P)H-ubiquinone oxidoreductase B1, mitochondrial [Source:Projected from Arabidopsis thaliana (AT4G28220) UniProtKB/Swiss-Prot;Acc:Q1JPL4] MRWAAFLWEGASRGSGRRPGVSNLLLVVAAASSGGLVAYADSGSDAAVEKPQLPPRKKVVVLGTGWGGTTFLRNLDSRLYDVQVISPRNYFAFTPLLPSVTSGTVEPRSIVEPIRRILEKKGGEIKFWEAECFKIDPQSKKIHCRSNVGTNLEGNGEFSVDYDYLVIAVGARTNTFNTPGVVENCHFLKEVEDAQKIRRSVMDCFERASLPFLNEEERKKNLHFVVVGGGPTGVEFASSLHDFVTEDLSKLYPSIQHLVKISLIEAADHILTMFDKRITNFAEDKFGRDGIDVKTGYKVVKVSKDAITMQNPATGDISVPYGMAVWSTGIGTRPFVVEFMKQIGQANRRVLATDEWLRVRECDGVYAIGDCATINQRKVMEDISEIFRVADKDKSGTLTVKEIQDILDDIYVRYPQVQLYLKSKQMNGIADLVRSAKGDAEKESMELNIEEFKKALALVDSQVKFLPATAQVASQQGQYLARCFNKMKDAEENPEGPIRIRGEGRHRFRPFRYRHLGQFAPLGGEQTAAQLPGDWISIGHSTQWLWYSVYATKQISWRTRMLVVSDWTRRFIFGRDSSCI >Sspon.03G0033510-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3B:50985606:50986253:-1 gene:Sspon.03G0033510-1B transcript:Sspon.03G0033510-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDSSRKEHPVASLTDDLLGSSRRSSRVCLILCRLKCVSKSWLAVCSDPIVCKKAPQTLSGFFYQSYTEPTFNPSELRHHFTNLSGRGQPMVDPSLNFLLSANYVEVEFVHCCNGLLLCSCEKESSDGEFDRVVCKPATENWTVLPKTEARLGRSTMYLGFDPTVSSHFTVFLLSEFKRGMQDNEVAEEDEIDNWYHQIRAVEIYSSQTGRWTYH >Sspon.03G0005970-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:34404363:34410885:-1 gene:Sspon.03G0005970-2D transcript:Sspon.03G0005970-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVVVANCLHALQEIWTLEAANSEQQQERLRHCIASQIKEFSEWAQCIILELASKFLPSDNNEIFDIMNLLEDRLQHANGAVVLATIKVFLHLTMSMTDVHQQVYERIKAPLLTLVGAGSPEQSYSVLCHLHLLVMRAPMLFSSDYKSFYCQFSDPSYVKKLKLEMLTAIANESNTYEIVTELCEYAGNVDVPIARESIRAVGKIALQQYDVNAIVDRLLQFLEMDKDYVTAETLVLVKDLLRKYPQWSHDCIAVVGNISSKNIQEPKGKAALIWMLGEYSQDMHDAPYILESLVENWDEEHSSEVRLHLLTAVMKCFFKRPPETQKALGATLAAGLSDTHQDVHDRALFYYRLLQYNPNVAERVVNPPKQAVSVFADTQSSEIKDRIFDEFSSLSVVYQKPSYMFTDKEHRGPFEYSEDLTSLTVGAEDPENVISAQRYQENDNDLLLSTSDKEDNGTRASNGSSTSTYNAPSDLISPSLISSQTPAETSLINTGGPTYSSQSNFSLDDLLGLGVTESPAPPPALTLNSKPVLDPGTFQRKWGQLALALSQECSLSPQGAASLMNPQSLIRHMQSNYIQCIASGGQPPNYKFFFYAQKDGATAFFLVECIVNTASAKAQLKIKADDGTAAEAFSTLFQSALSKFGLS >Sspon.02G0042310-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:85471022:85478574:1 gene:Sspon.02G0042310-2P transcript:Sspon.02G0042310-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RMWPSTTSWLPFLYPPPASAYVAAMSAVSLVSMANAGLAELRGDHMAYSKFWHVVAAAGAGGNTKQRGGAGGTGALLRSRNGMLLAYAPALLAAAASFAVPGAVEGARAELLSAALAAHFLKRVLEVLFVHRYSGSMPLDTAATIACSYLLSTATMIYAQHLSHDLPDPAVDLLYPGVLVFTLYALAVATGTAAYLTGRSCATRRWYQSKFQEFPSRIKALLPYVL >Sspon.02G0010260-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:28458814:28466495:1 gene:Sspon.02G0010260-1T transcript:Sspon.02G0010260-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNPPGPNFITRHHKRWLAEKLGDIANKEHEFTMKILAIDAKNYHAWSQRQRYFVITRSPLLGGLTVVRDSEVDYTIEAILANPQNESPWRYLKGLYKGENNLLVDDERISGVCFKVLKNDWTCVFALSLLLDLLCTGLQPSDELKSTLEPIRSSHPETADADPATVVCCILQKCDPLRVLPIDQTPHAIRDVLQIVSELHEKQLFSTFKELISIEVGNERRLTASKAARICLAFRRGRPLRDVPRLRLGDSNDHPLQLRREDDLAAQARVLVELAVPGRAREHVPLLVGRWRQLVEPLLRDVDLALGGARVDILEAVGGRLDEPRVSERAEECLPREADDLAARPVGIDGEDAHDAVGDLGGRRGGGRGGRGGGRGNCAEGAGERGGEVEREAAQDARRDRHG >Sspon.03G0011550-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:47294263:47295722:-1 gene:Sspon.03G0011550-1P transcript:Sspon.03G0011550-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMNPADREPGSHGHGHLIETSLLPLKKRAFSAGDPYGPSPSRKKRMADNSVVGAETKDTTGALEAPVPRQRHPVPAAASREPAADLPAPTAASLEPAAEDNALSESDEEKKRLSKRKWLAREESSRSLLTVTPQPPVKKLMHDGIRSFVPSPSSCAMSTVMPPPPPPRRRRRAVAVARVPALSSALKRRLAELGATRPWLQDPPQERRRREPEPAAGVVQARERDGDCPITRCFSPAELERVNKKHAGLAVTALDRDGVPYALTCKFLDSNNGYRFISEWKHFLIRNGMNLDSQRHKVWTRDVEVELWAFRSRQLPFQPQLDAEGKVVTVTTKDEDGKKVTAVQRVCHHLDADGALGLLLLHHENRRRRVKSEEKEEDDDWEGPSSPPSVARKKGKQQSGKRGASGSSSALASPVALPAPVAEQGEARRSTFYAAVGLAKLRRAIWERWQEHADQGHGAKGSNDDVAKSRADVEGEKIDNKK >Sspon.02G0019910-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:65046341:65052802:1 gene:Sspon.02G0019910-1A transcript:Sspon.02G0019910-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKWLCCNCHVDDEEDGHDKEQAKAQSNKIDPKQKSSKPPVSLASKLKHENLVEMLGYCVDGNYRILAYEFATMGSLHDVLHGRKGVQGAQPGPVLDWMQRVKIAIEAAKGIEYLHEKAQPSIIHRDIRSSNVLLFEDFKAKIADFNLLNQAPDMAARLHSTRVLGTFGYHAPEYAMTGQLTQKSDVYSFGVVLLELLTGRKPVDHTMPRGQQSLVTWATPRLSEDKVKQCVDPRLKGEYPPKGVAKLAAVAALCVQYEAEFRPNMSIVVKALSPLLQQRPAPATAEPAPQP >Sspon.03G0003760-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:16701246:16755342:-1 gene:Sspon.03G0003760-4D transcript:Sspon.03G0003760-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAACPLLLLAALLAVSATTSALTDDVLALVVFKTDVSDPSGRLATWTEDDDRPCSWPAVGCDARTGRVTSLSLPAASLSGRLPRALLRLDALFSLALPRNNLSGPVLPNLLTALPRLRSLDLSSNRLAAPIPAQLFAQCRAVRSISLAHNQLSGYIPPAVASCASLVSLNLSSNRLAGPIPDGLWSLPSLRSLDLSGNELSGSVPGGFPRTSSLREVDLSANLLAGEIPADVGEAELLKSLDFGHNLFTGGLPESLRRLTGLRFLGAGGNALAGELPEWIGEMWALERLDLSGNRFAGDIPYTIANCKNLVEVDLSRNALTGDLPWWVFGLPLQRVSVAGNQLNGWVKVPDDAAMALRVLDLSSNAFSGEIPLRITVFAGLQSLNLSSNSFSGQLPAGIGGMRLLEVLDVSANRLEGTVPPEIGGAVALRDLRMGRNSLTGRIPSQIGNCSSLVALDFSHNNLTGPIPSTMGNLTSLQVVNLSQNKLNGTLPVELSNLPSLHIFDVSHNMLTGDLPNSRFFNNIPESFLMDNSGLCSSRKNDSCSAVMPKPIVLNPNSSSNPSSQATPSAPSNMHHKKIILSISTLIAIAGGAAIAIGVITISVLNRRVRARAAAPRSAPVTALSDDYLSQSPENDASSGKLVMFGKGSPEFSAGGHALLNKDCELGRGGFGAVYKTVLRDGQPVAIKKLTVSSLVKSKDDFERQVKMLSKVRHHNIVALRGFYWTSSLQLLIYDYLPGGNLHKHLHECNEDNLLSWMERFDIILGVARGLTYLHQHGIIHYNLKSSNVLLDSNGEPKVGDYGLAKLLPMLDRYVLSSKIQSALGYMAPEFACKTVKITEKCDVYGFGVLVLEVLTGRRPVEYLEDDVVVLCDLVRSALEEGRPEDCIDPRLCGEFPMDEALPIIKLGLVCTSQVPSNRPDMGEVVSMLELVRSPQDSAEDELVKKWVKFCSDFTASDGKQHALLDSLNQDLSQKSVLLGDGFKLSDADNDVFATVHPFVIRVSDSEWQKYPHVLRWMDYVQNTVGSGTTLQKINVAKSVFDPPSHPKKADKGDAESSSKKAISGQKTADKSNGSADSKKPAGETKPPENKENPTAAVNNKTSGEKKKVQEKSAGKTTEKAPEKVADKESECNISILNIQVGLIRKAWKHPSADSLLVEEIDLGDGNVRQVVSGLAKYCSPDDLTNRHVVLITNVKPGKLRDVMSAGLVLCASTEDHNAVEPLIPPEGAKIGEHISFAGFDGKPEDVLNPKKKQLDKITPHLRTDENGIATFKDYLSYGDADFDYWVPRDEGSEVSDDKGNSNGEIKPEEAQEAWKVMLEQFKAEALRMQALSMQAYDVYSKRTREILLEASEKLKIQADKAQKDLSVIAAEVSQEGQEYLTMAARNSPDSIKDITTTFRALGKLNWPSEYEDYHVGIPFGGFLNFMLTGSTSALRFGIVLGLALLALGISSLRSQREGGRWPRLLIKGQAAIASVIFFREFSVLLQHNELAQSGCCCCCANNGLSQPGGRLAAMQTQSRLAVSGSNSSSASEDEHEAVIRELTRGHELTAQLRAEALRALRGQGQAEATAAFILQEVSRAFTVCLSIMSSPARAPPSSQPPQTMEMAPAPALAPPRRSRDDSIPRERRTTSSPHYDGYQWRKYGQKRITKTQFPRSITPPFLLTSIY >Sspon.03G0008490-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:30024700:30027004:-1 gene:Sspon.03G0008490-2B transcript:Sspon.03G0008490-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGEAAASRHADQLDPLALTLGSIYAAGAAAPASPPRATQRRRLNKGSAPIYAAAAAASPPPPPLPPVAPRAVRRRANRVNPRADAGDLLVQAPFPWATERPAQHGTLQSLLRRGVTSVEGQARCKRCGGRKAIAYDLESKFREVREYIVANRHAMYDRAPKAWEFPALPDCDACGHKGAMWPEIAADKHEINWLFLFLGQMLGCCTLEQLKYFCMNNGRHRTGAKNRVLYYAYIEMSNQLLSCSLPDLATSSASTKLGDTHGRTDLGPSAVAMAGRSLG >Sspon.06G0025940-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:74571797:74574091:-1 gene:Sspon.06G0025940-1B transcript:Sspon.06G0025940-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARLGRLLPLHGHSVSSLPLRHRLHRARSAESSPPTGHSTRTGRPQVRGRLGDGERCDPPVFLADATSVLHPSLKTQDKPLILMWCCCQQRFKINFIFSVHRTHSWKNIEGNSVFQMLILNYGSISVAAFAYLHPWKTASTIERYQLGFLISALGCNLSNLLVFTPMTVESALLAQMMMKRHKMEKDLGIGTEVGYSKNAETAKRSPALAAMNRKFGMIHGLSSLANIMAFGSLAMHSWYLSSKLDL >Sspon.08G0014860-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:54938854:54946314:-1 gene:Sspon.08G0014860-2B transcript:Sspon.08G0014860-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA repair protein RadA family protein [Source: Projected from Oryza sativa (Os06g0151600)] MARSGGRRWRPRGGPRVSAAGRRARTCAATAGRAIHSGGAFAATAVSVGTLVEYVAGSDGGGASPEGSHSHHIARSWIPKKAKEMVPQSLQEVNKGVDQAEWRIPLWGSFGMEVSRVLGGGIVPGSLILVGGDPGVGKSSLMLQLASNILEGFKAKESSPVVYVSGEESIEQIGNRADRMSITSSNLYLYSGTDIEDILDKIQPLSPKALIIDSIQTVYVRSFAGSAGNLSQVKECTSALLRFAKLTNIPVFLIGHVTKTGDIAGPRLLEHIVDVVLYMEGERCSSHRLLRSVKNRFGSTDELGVFEMAEFGLQAVLNPSQMFLTEHDSDSEILAGLAVAVILDGSRTFALEVQALCVSGSHRSGQVVGIPSSRADVIISVLMKQAGLKLQDSTIFLNVVSGFKLTETAGDLAIAASICSSFLEFPIPNDVAFIGEVGLGGELRTVSLLLLHL >Sspon.04G0011170-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:36102221:36105514:-1 gene:Sspon.04G0011170-4D transcript:Sspon.04G0011170-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRARPAAADANAPDAPYAAAMLLEPKLEDEDVPLQQLASPGPFVSLDQLMPPRPLEALLQGPQLPPFLSKTYDLVSEPALDGVISWGAAGNSFVVWDPSTFARDVLPHNFKHNNFSSFVRQLNTYGFRKVHADRWEFAHEDFLRDSKHLLKRIVRRRSSPTKQSSIQPVSSSEESSRPELHTLRREKNALLEEVARLKQEHRQTIEHMSTLNHRLESAEDRQRQMVSFLAKLLQNPSFVRQLKLHREKKEIDSTRVKRKFLKHVPHSIESGESSLHHAGESGSHFAVSSPMATTTSVHDDITELQNFLLEDDDVNFGMDLENMGLEDIEGPEDIGELIQGFDTQEELELGSGAELLEMPPASGPLGQDPTIGRSKGKNVLCPGLDATSSEADCLSPLPDNMGLLSGTMLGAAGRMMDADDQQMWGVDASAALQSSCSGTSQQAFSSHSSDPYLMDIANKPERFWELDFQALDEADLQLDKCY >Sspon.08G0014490-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8D:56565678:56567186:1 gene:Sspon.08G0014490-2D transcript:Sspon.08G0014490-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTANVPVKVGAASSPRNVEEEEQILEAQSVPVLLAPSGGIRPAPDNTLAVFVPIVGTDKRKQSHCITRTNERSISPQTTQKSEASMFPSSTSAPHRNSPANEPLDQHGGSNRKAEFRRAEETAEREAGAPDTRTTRRASARAPNRSAARAIDSAGAEAEAGINSVGLALGSGSTSSGGAAWWGSAAERPVFLATCAAPCRAMGFGLFRAAGLALGQRNSHGHYPKLKPPLPCAKSSSRGHHPHTKTGDDCLVIGGDVTVIKEPRVNVMVRVPSSDLSGNLAKLLEGKKGADVTSSVGDDVFPAHKIVLDSEDRKEMVKHLLVAADRCAVERLKLICEGILCRSLEAKDVDTTLALADTHHCHGLREACVAFLASSNKGCD >Sspon.06G0003950-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:10395881:10402007:1 gene:Sspon.06G0003950-3C transcript:Sspon.06G0003950-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHRVRLAAAAAALVVVAALALAPGAAAAWQLTKNGTVVSYDRRSLMIDGRREIFFSGSIHYPRSPPDMWPELISKAKEGGLNTIETYVFWNIHEPEKGQFNFEGRYDMVRFFKLIQEHDMFAMVRLGPFIQAEWNHGGLPYWLREIPDIVFRTNNEPYKMHMETFVKIIIKRLKDANLFASQGGPIILAQIENEYQHLEAAFKDDGTKYINWAAQMAIGTNIGIPWIMCKQTKAPGDVIPTCNGRNCGDTWPGPMNKSLPLLWTENWTAQTSAAFVMPKYYDEAPLDEFGLYKEPKWGHLRDLHLALKLCKKALLWGTPSTEKLGKQFEARVFEIPDQKVCVAFLSNHNTKDDVTLTFRGQSYFVPRHSISILADCKTVVFGTQHVNAQHNQRTFHLADQTTQNNVWQMFDEEKVPKYKQAKIRTRKAGDLYNLTKDKTDYVWYTSRLEPDDMPIHRDIKTVLEVNSHGHASVAFVNNKFVGCGHGTKMNKAFTLEKPMELKKGVNHVAVLASSMGMMDSGAYLEHRLAGVDRVQITGLNAGTLDLTNNGWGHIVGLVGEQKEIYTEKGMASVTWKPAVNDKPLTWYKRHFDIPSGEDPIVLDMSTMGKGMMYVNGQGIGRYWISYKHALGRPSQQLYHIPRSFLRQKDNVLVLFEEEFGRPDAIMILTVKRDNICTYISERNPAHIKSWERKDSQITANSDDLKARATLTCPPKKLIQQVVFASYGNPMGICGNYTIGSCHTPRAKEVVEKSCLGKRICTLPVSADVYGGDVNCPGTTATLAVQAKCSKRSPSASAQ >Sspon.06G0005270-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:15896920:15909840:1 gene:Sspon.06G0005270-3D transcript:Sspon.06G0005270-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGEEAPLGALNLAEYAPAGARTVDCYRRIRKIGEGTYGEVFEAVDIITGERAALKKIKLDDGKEGFPRQILREIKLLKKLDHENIIRLKEIVVSPGSAHGTGGSDDHIYRGDIYMVFEYMDHDLKKVLHHSAPSQVKVYMGQLLKGLQYCHVNNVLHRDIKGANLLITGGKLLKLADFGLARLFTRDGTLTNHVITLWYRPPELLLGATSYAEPVDIWSVGCIFAEFLLKKPLFPGRTEQEQLSKIFELCGSPNEENWPGVSKLPLYKTMTIRPATPTKRSLRDMLQNFDCHAVELIERMLILNPSQRISARDALGAAYFIN >Sspon.08G0007100-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:59085570:59091324:-1 gene:Sspon.08G0007100-2P transcript:Sspon.08G0007100-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLAKDGAELEEGSLEIGMEYRTVSGVAGPLVILDKVKGPKYQEIVNIRLGDGTTRRGQVLEVDGEKAVVQVFEGTSGIDNKYTTVQFTGEVLKTPVSLDMLGRIFNGSGKPIDNGPPILPEAYLDISGSSINPSERTYPEEMIQTGISTIDVMNSIARGQKIPLFSAAGLPHNEIAAQICRQAGLVKTLEKSGKHKESGVEDNFAIVFAAMGVNMETAQFFKRDFEENGSMERVTLFLNLANDPTIERIITPRIALTTAEYLAYECGKHVLVILTDMSSYADALREVSAAREEVPGRRGYPGYMYTDLATIYERAGRIEGRKGSITQIPILTMPNDDITHPTPDLTGYITEGQIYIDRQLHNRQIYPPINVLPSLSRLMKSAIGEGMTRRDHSDVSNQLYANYAIGKDVQAMKAVVGEEALSSEDLLYLEFLDKFERKFVTQGAYDTRNIFQSLDLAWTLLRIFPRELLHRIPAKTLDQYYSRDTTH >Sspon.06G0015310-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:67607017:67616657:-1 gene:Sspon.06G0015310-2B transcript:Sspon.06G0015310-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNLSGFLVLRVLDLSNCDGVKNHHFKDICKMFYLRYLNIGGIYITKIPTSIQNLQFMQVLDISNAVHIERMPSTFIHLRQLLQLCVCRRVRLPDGFGKLMSLQEVEGAISIESPRMLRDLGCLTKLRTLAIHFCDWDHSYEEPFIQCLSNLVNLKSMEIKGSRSVDTVITAVPRWMSSLCFLTTLKINLVTLGEQDIQVLGSIPSLCRLKIFVNETTQARDERLVIGKCYPFQCLTKLSIDYSSMEVVIAPGGMQNLKKLNAMQKEVEAVEDELQKSLDMNPGKPTLIVNHTTVECKIRSQAQVIRAALLFKMAGAACVRQWVEDNKANMLLRRLQWFLRQQASPSRIVKLYKTLTASQKKRIDSIDFGSLLKIA >Sspon.08G0006320-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:16740521:16743630:1 gene:Sspon.08G0006320-2B transcript:Sspon.08G0006320-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AAMAHLLLHGTLDATILEADHLTNPTRATGGAPGIFLQFVEGFEDSLGLGQGSTRLYATVDLGRARVGRTRVITGDPVNPRWYEAFHIYCAHFASDVVFSVKAAQPIGATLIGRAYLPVRDLIAGQEIERSLDILDASKKRLPHGPKIRVRLRFQDVSADPRGWGRGVGGARYPGVPYTFFSQRPGCRVTLYQDAHTPDAFAPRIPLAGGRFYEPGRCWEDVFDAISNARHLIYITGWSVYTEITLLRDGNRPRPGGDATLGELLKRKASEGVRVLMLVWDDRTSVESLGMKWGFMSTHDAETAEYFRGSDVHCVLCPRNPDAGSSAVMGAQIAYMITHHQKIVVVDHEMPARHSNRHRILSFVGGLDLCDGRYDTQFHSLFRTLDTAHHKDFHQPNLAGASINNGGPREPWHDIHSKIEGPAAWDVLYNFEQRWRKQGGSIDLLVDLKAMADLIIPPSPVMFPEDQETWNVQLFRSIDGGACYGFPSTPEAAAQSGLVSGKNNVLDRSIQDAYIHAIRRAKHFIYIENQYFLGSSFGWKADGIKPEEIEALHLIPRELSLKIVSKIEAGEHFAVYVVLPMWPEGLPAGGSVQAILDWQRRTMDMMYNDISIALEAKRIDRNPRDYLTFFCLGNREVKMSGEYEPSGRPLDGTDYARAQNARRFMIYVHSKMIPVDDEYIIVGSANINQRSMDGGRDSEIAMGAYQPCHLNTKGQVPRGQVHGFRMSLWYEHLGMLHDDFLNPGSLECVQRVNQMADKYWDLYASDSLDADLPGHLLRYPVTVTKEGTVTELPGAKFFPDTQALVLGALWKFPPFLT >Sspon.03G0011290-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:47045383:47048339:-1 gene:Sspon.03G0011290-3C transcript:Sspon.03G0011290-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPPPAAGSSAASSARRWVLATSMCSLACLFLLSACILLAAAGYRPFQPRTAAAWDRFSRVQQKAAPASPPPLAPRGSSHHAAAPAPGAISPSAGGGPADYRSPEEEDGGPPAPAPAPAPSEEAGEDDEGAQCDLFDGEWVEEPAGSYPLYGAAECPFLSDQVACRRNGRPDSGYERWRWQPRGCGGRTRLGGAEALELCRDKRLVLVGDSLNRNMWESLACILYAAVPDRSRTSIVDDAGSEYMIFRAMDYNCSVEFFWSPFLVKLETKEDRTKALMMDQLPPMLQRTLGADVLIFNTGHWWTHTGKLRAWDHLEWDGKKVQMAGEAAFDGALRTWARWVDYNIDPSRTRVFFRSVSPEHKRCIFRTTIEKTHPTTLSLTTSLLSCSINWCYNQTAPISKGNGNIAPSFPKSLINIIEKNIKKMKTPIVYMNITRLSELRIDAHPSIYTITREGKPLSKEQQQQPLTYSDCSHWCLPGLPDTWNVLLFNFLIRPLPSI >Sspon.04G0025620-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4B:28504288:28506971:1 gene:Sspon.04G0025620-1B transcript:Sspon.04G0025620-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTDMEHHGYRRRCHCSILLVASVILAWPAWASASAGSVGTDADHRALMQFRSIVTGDPYGALASWGGGGNMSAARTPCGWRGVICGVRGRRHGRVTALDLRGLGLASSVAPSSLSGLTYLRRLDLSDNRLSGGMPSPLPPSLELLNLSRNTLQGPLPPELGSLHRLQVLNLGFNNLTGTIPASLGNLTSLTVLSLTSNYLTDQQPHRDSAPEAGSRLPSLKLLSVDSNRLHGAIPASLCNASKLEVVQMLDNSFSGVIPNCLGANLKNLWALVLDNNQLEANADADWGFMDSLTNCSNLKVIGLSANKLGGVLPGSIANLSTSMQFLSIFINVVSGQIPQEIGNLVNLNTLYMHLNNLTGTIPDSLGKLNKLSNLHLYGNKLSGQIPSTIGNLTVLSRLFLDDNMLTGPIPSGLGSCPLDSLNLENNRLTGPIPKEVLLIPTLSTNAYFQGNMLTGSLPSEVGHLRNLQALDVSGNRLTGQIPASLGDCQILQYCIMKGNIFEGNIPDSIGQLKGLLALDLSRNNLSGHIPDFLATMNGLQQLDISFNNFDGEVPRHGIFLNASAFSVEGNTALCGGIPQLKLPPCSNHGTPTIKRSRKLVMIISIAAAILGISLLLALFVFCHQQRNLRKEEHALPLINGNYDRVSYTNLMNATNSFASENLIGVGSFGSVYKGTMMNHEQEQVVAVKVLNLQQRGASQSFIAECETLRCARHRNLVKILTVCSSIDSDGLDFKAIVFDFLPNGNLDRWLHQHHSDQGTHRCLDLVQRIDIVIHVASALEYLHHYKPSPIVHCDLKPSNILLDNDMIAHVGDFGLARFVHQDQTNLSDISSGWVTRRGTIGYAAPGLIS >Sspon.02G0050880-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:68492883:68494952:1 gene:Sspon.02G0050880-1C transcript:Sspon.02G0050880-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding CPQSLPFLVPLTHISLCRMWCCRWSWPGLILMCCRHHYRSGCGRTSSSCSRPPPAIPSWYGMKQMGGMATDLNIPLYSPRGCLLHILLLSYTISYK >Sspon.02G0012450-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:49791875:49793339:1 gene:Sspon.02G0012450-4D transcript:Sspon.02G0012450-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRLFCATRALLLPAPAPTPTSASSAAAAEAAASLLPLLPCKRRKKLLRKLNSPRVAPIEPEAARRVPALDAVLDCDTAFRFLHRARSFLASLPPPHRIPLSEAGKLYRELGFPRGRKVSRSATRHPLLFHLPVVDSVPHLALTPFMCSLLEEERRIHDDLLPSRVRAVRKLLMLTAHRRVPLAKLHHCRAVLGLPDDFRDRVHDFPDDFRVVVDPRDGRHILELARWDPALAVSALERDFVVDERRVRRTFRFAVPHRRLMPLDAEDADRLDTVTTFPLVSPYTNGALLKPWTPEAEKYRVGVVHEFLSLTLEKRAMIHHIVEFKEEFGLTRHMYESLQKQNRAFYLAGTEMNWALFLRAAYDENGVLKEKDPLVLFNEKLQRYACMSKMDSRENMIDAAVYLMNSLSHLRMHPLGQL >Sspon.03G0035310-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3B:79720680:79721191:1 gene:Sspon.03G0035310-1B transcript:Sspon.03G0035310-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVKKSGQNACMASWSKDKCGLTRWVDARPIHPHAEYIFYLQDRIFDLEREVSSSYKDNEEGDNNNGAGSHDALCNDPYYTCPNHKNKGPPPPPPPPPPPTMGGYYGEGATQFAMWPHY >Sspon.01G0040640-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:35175073:35178593:-1 gene:Sspon.01G0040640-2C transcript:Sspon.01G0040640-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLTRAAEEDPAAAEEEWSDEAVIYVNGVRRVLPDGLAHLTLLQYLRDIGLRGTKLGCGEGGCGACTVMVSCYDRITKKSLHFAINACLAPLYSVEGMHIITVEGIGDQQRGLHPVQECLAKAHGSQCGFCTPGFVMSMYALLRSSKQPPTEDQIEDCLAGNLCRCTGYRPIIDAFRVFAKTDNLAYTNSSSENANDQAICPSTGKPCSCRNETDINVNESSLSSSVERYSPYSYNEIDGSAYNERELIFPQNFS >Sspon.06G0015700-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:70373962:70384160:1 gene:Sspon.06G0015700-2B transcript:Sspon.06G0015700-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to DNA ligase (EC 6.5.1.2) [Source: Projected from Oryza sativa (Os11g0572100)] MQWTEKYRPKVPNDIVGNQSMVKQLHDWLKSWDALFLHSGQKGKGKKQADSAAKKAVLLSGPPGIGKTTTAKVVSQMLGLQAIEVNASDSRGKADSKIEKGVGGSTSNSIKELISNATLNYGDNRSKHPKAVLIMDEVDGMSAGDRGGVADLIASIKISKIPIICICNDRYSQKLKSLVNYCLMLNFRKPTKQQMGKRLMEIAKKEGIQAQENAMEELAERVHGDIRMALNHLQYMSLSQSVVKYDDIRERLNSSSKDEDISPFTAVDKLFGFNGGRLRMDERIDFGMSDPDLVPLIIQENYINYRPNTIGKDESGVKRMNALARAAESIADGDLVNVQIRRYRQWQLSQAACFASSIVPAAWMHGNREILEAGERNFNRFGGWLGKYSTTNKNRRLLEDVHSHILASQQANLDREALRLDYLTLILRELTDPLKKMPKDEAVQKVVEFMDTYSLSQEDFDTIVEVSKFKGHPSPMDGIQPAVKSALTKAYKQGSSSRVVRAADLINIPGMKKPLKKRVAAILEPVEESLPEENGLASAEGDEDDSSDTENNDELMPADSTPKLDLQSDKKKVQLDLKSNGNGPSGKKAPAARSRATGRLSWEEEEVTETFTAAHSWPATSLDGSLGK >Sspon.05G0015750-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:58881579:58883586:-1 gene:Sspon.05G0015750-2B transcript:Sspon.05G0015750-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AIGREARALFNVGQAEGLTIWSPNVNIFRDPRWGRGQETPGEDPAVASRYAVAFVRGIQGNSSSSLLQTSACCKHATAYDLEDWNGVARYSFVARVTAQDLEDTFNPPFRSCVIEGKASCIMCAYTAINGVPACANTDLLTGTVRGDWGLDGYIASDCDAVAIMRDAQRYAPTPEDAVAVSLKAGLDIDCGSYIQQHATAAIQQGKLTEQDIDKALTNLFAVRMRLGHFDGDPRKNMYGALGAADICTPEHRNLALEAAQDGIVLLKNDGGILPLDRSTVTSAAVIGPNANDGMALIANYFGPPCESTTPLKGLQSYVNNVRFLAGCNSAACDVAATDQAVALAGSEDYVFLFMGLSQQQESEGKDRTSLLLPGMQQSLITAVADASKRPVILVLLSGGPVDITFAQSNPKIGAILWAGYPGQAGGLAIAKVLFGDHNPSGRLPVTWYPEEFTKVPMTDMRMRADPTSGYPGRSYRFYQGKTVYKFGYGLSYSTFSRRLVYGTSVPALSSTVLSSLRETMTEDGDRSYHVDDIGTDGCEQLKFPAMVEVQNHGPMDGKHSALMFLRWPNTKGARPASQLIGFRSQHLKAGETANLRFDISPCEHFSRVRADGRKVVDIGSHFLMVDNHEMEIRFEA >Sspon.04G0006340-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:17874062:17879098:-1 gene:Sspon.04G0006340-1A transcript:Sspon.04G0006340-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPEAIDHPARSEEGTEPRAVARAETKMVNFGKKLMADQLEEWREYYINYKMMKKKVKQYVQQTQTGGKNRDQVLKEFSRVLDDQIEKIVLFLLQQQGHLARRIENLGAQRAMLMERSDVSQICQLRQAYREVGYDLVKLLRFLDMNATGIRKILKKFDKRFGYKFTDYYVSTRANHPYSQLQQIFKQVGVVAVVGALSRNLAYLQDHQGSLANIYDYPSLILKDPVIEQINHSVQRLTHSTSFLQFLGQHALIVAEDMQSGSDLGDDKDYHFLSLLLNLVNTFLYMVNTYIIVPTADDYSVSLGAAATVCGVIIGSMAVAQIFSSVYFSAWSNKSYFRPLVFSSIMLFLGNLLYALAYDLNSLTVLIVGRLLCGLGSARAVNRRYISDCVPLKTRMQASAGFVSASALGMACGPALAGLLQTKFKIYGLTFNQNTLPGWVMCLAWLAYLFWLWISFKEPGHIATENSVSTQSSDSGRRVQLLIYFMLKFAMEILLSESSVVTTFYFKWTTSNVAIFLAVLGLTVLPVNVIVGSYVTNLFQDRQILVASEIMVLIGIVMSFCFTPHYSVPQYVTSALITFVFAEVLEGVNLSLLSRVMSSRLSRGTYNGGLLSTEAGTLARVAADMTITAAGYLGQSRLLNATLLPSLVICLASIVATFCTYNSLY >Sspon.06G0018920-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:88079507:88082188:-1 gene:Sspon.06G0018920-2B transcript:Sspon.06G0018920-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:VPS2.1 [Source:Projected from Arabidopsis thaliana (AT2G06530) UniProtKB/TrEMBL;Acc:A0A178VT12] MSFIFGKKKTPAELLRENKRMLDKSIREIERERQGLQAQEKKLINEIKKVAKQGQMGAVKIMAKDLIRTRHQITKFYALKSQLQGVSLRIQTLKSTQAMGEAMKGVTKAMGQMNRQMNLPALQKIMREFEMQNEKMEMVSEVMNDAIDDALEGDEEEEETEELVNQVLDEIGIDVNSELVKAPATAVAKPIAAGKVAAQAEAAGGPDGGIDDDLQARLDNLRKM >Sspon.07G0014580-4D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7D:51638173:51639900:1 gene:Sspon.07G0014580-4D transcript:Sspon.07G0014580-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLQEIVSVQASILKSNNGVSIVNHQENPSTPSLPPFNKGNLVAMQHQVLEGVTQQKNSYQSQEKDQIGSLKGPLKRQVVKALKNMKSNEGISDKPLGIQEPCHCCRHYGFPCLQNNSSKVKDKTSQNHHPDIDKKRKAISQEPQLESSQHLGLTAPSHVDKKRKAIPQEPQLESSQHLGLTTPSVLVQCSSKKGPNPKESAVPQVRGNPHKIFLDGWSITYKEFQPWKGKHAKKQTSEISEVKMNSQNQQASDSLLPHGTSLKGAQSHQGSTPVNACFHCQEEGHFVAHCPKKDRASDGLVPHGISLKGAQSHQGRLGSTPGKAAGKVCFCCQEGGHFVADCPKKYQASDSLVPHGTSLKGAQSHQGSTPGKAAGKACFHCLEEGHLVANCPKKYPLLFGNRDTQKQNLSPSEGKICNQTPVQVPQSSCAKGTSFICGDMDHSINQSPKACKSVTRKLQSPQPSNTPGQHTSSQGPCQPMSDNTSMKSNTPKKPVKRVCYHCREKGHSANLCLQKNQHLLDGRSQNQIPIELEPPVVSDSGAQGQGSQLQQNHTGNQANLEVAKEDKNTQSAI >Sspon.03G0012420-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:44381366:44387081:-1 gene:Sspon.03G0012420-2B transcript:Sspon.03G0012420-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding KCFLAEKFMCIIAKQDSPEVHANAAEILCAVTRCAPPSLAAKICSPSFVGRLFHHALEESRPKSVLVHSLSVCISLLDPKRLASASYQAFRSNLSHGALVTASPETVDGMLESLGNLLKLLDTSAAESVLPTTYGCLRPPLGKHRLKIVEFISVLLTIGSETAEKELIRQSAIKRSIDLFFEYPYNNFLHHHGKRPEVVEHVLHECDIVGKILVSERLSSLSTESNGPTVPSEGKTPPRIGNVGHMTRITNKLIQLGNSNNTIQTHLQENNEWVEWQTNVLVKRNEVENVYNWACGRPTSLHDRGRDSDDDDFRDRDYDVAALANNLSQAFRYGIYSNDDIEEAQGSLERDDEDVYFDDESAEVVISSLRLGDDQDGSLFTNSNWFTFDGERGIDDRTSASVPSSSPNSEEISLETEEADDGKVVGTEDEMETVYLGNGSAEEVKDVAECTEQPNCCTEDERLKNTDGMERHPDASNNDTDMCTNEAASAAAESSAPSVEKVAEKTVDEPLEAERTVDEPAESSLDSSVSVALPAPVNGSEPANSEASSEQVAHDTDVQQPVKEAPAEDVDAKKTDAAKASE >Sspon.01G0014680-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:22458264:22461925:1 gene:Sspon.01G0014680-3C transcript:Sspon.01G0014680-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADFRTPDRLLPAAAEEPTTPQGHPPNPVLSTPAVPDATHDGLRFWQYMLAGSVAGVVEHTAMFPVDTLKTHMQASTPPCRPALSLGAALRNAVAGEGGALALYRGLPAMALGAGPAHAVYFSVYEFAKSALTDRLGRNNPAAHAASGVVATVASDAVFTPMDTVKQRLQLTSSPYTGVGHCIRTVLRDEGPGAFFVSYRTTVVMNAPYTAVHFATYEAAKRMLGDMAADEESLAVHATAGAAAGALAAAVTTPLDVVKTQLQCQGVCGCERFSSSSIGDVFRTIIKRDGYSGLMRGWKPRMLFHAPAAAICWSTYEASKSFFERFNEERRK >Sspon.04G0018940-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:41021266:41023991:-1 gene:Sspon.04G0018940-2C transcript:Sspon.04G0018940-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLYNAWLPPPVATAARGEAAAFAGAVRTAADAWFARGPRLRLRHTQVDLRVRPAKSDIAPEDVQALVKLGLEIFHASHNKLSGRSAHQASKKHGKRLH >Sspon.01G0007350-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:113967034:113969647:-1 gene:Sspon.01G0007350-2B transcript:Sspon.01G0007350-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RRPILHVTPYPFSSRRAPLWHYHHKHKVSDADPRLGFASEFPAPAMAAPHVLALPFPAQGHVIPLMQLSHRLVENGIEVTFVNTELNHALVLDAMPADGTGRSLDGIHLVAVPDGLADGDDRKDLGKLVGGMSRHMPGYLEELVGRTEAWLIADEAMGWAFEVAKKLGIRTAGFWPGSAAFLDTTLRIPQMIQDGLIDEKGWPKRQETFQFAPGMPPLHTSQLPWNNAGAPEGQPAIFQLIIRNNEAKDLAEVIVCNSFRDAEPSAFKLYPDVIPIAPLLADRQFQKPVGQFLPEDTGCLEWLHAQADRSVVYVAFGNFTVFNARQFEELALGLELTGRPFLWVVRPDFATGLSKAWLDEFRDRVGGRGIIVSWCPSSRYILYVPLHTCSVVLHCISTPCGVLPSIGQVLAHRAVACFVSHCGWNSTLEGVRNAVPFLCWPYFTDQFQNESYICNVWRTGLAVAPGPDGVVAKEELSCKLERVLGDDGIRERVSALRGAACRSIAEGGSSHDNFKKFVDLLKLKE >Sspon.05G0016710-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:63652836:63657645:-1 gene:Sspon.05G0016710-3C transcript:Sspon.05G0016710-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVISKLAAMLTDKYKLAKDVKRGIRFLQEELSSMDAVLRMLAEKDDDQIDPRAKDWRSKVRELSYDIEDCIDRFMLNHSHGGSKANFVRKAMRKVKTLFDDGGIAEEIQELKTLVSEQSERGKRYYDINQCLASSTEPVLFDPRAQALFQEVRDLVGIDGPREEIIKILKCEDKKQDKVVSIYGIGGQGKTTLAMEVYHKITEAFDCRAFVSVSQTLDMKKLLRDILSQISKTDFDRSQSLETVEQLIRTVRECLKDKRYFILIDDIWKENAWYDVRSALPLNNNGSRIITTTRIKAVANSCCTGIAAQMYEAKPLKIAKTIKNAAHIRSINIFGSNSVLVKKHVSEFLNSQVLRVLNIEGVLGDFNLGHVKSFGLLKYLRAADKLPEDIGKLQHLETLDVRETWLQNLPTCIIHLQKLVRLSVPGSVHLPDEIGNLQALEELSRINFGIQSVEFIQGLGDLTNLRVLGIDWLGSPKLRDMEGHNKACVSTLSRLFRHLRELRVFKCDLDSTCSFMASCVPTPPPLQKLFLDTHNFNRVGPQISSLVNLSRLNIGVYGEAGKEGINILASLPMLLSLTVWLSNEEDADTGILYARHAIRREGFQRLVKFNLRCWRPEAALEFEPGAMPKLQTLKLRLMARCQFKSGEGGLVLGLQNLGRGLKHVAVVVDCDAAVADEVDALEDDIRGAAAVHPNCPILQVERRNQLDMAQGCSRRPSDHAIVLALEQHRTHVDGGGARSLWDRRSRSPARTHRACRRRRAAVANHALPMAVQRPR >Sspon.02G0017800-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:54066264:54067280:1 gene:Sspon.02G0017800-1A transcript:Sspon.02G0017800-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRGGDRSGGPSSGGDRSGARFQRGPSRWSGSGGGGLGGSPPHRYSSRGAADGGGGGGGGGRFHPYRGSSDYSSGGGGYRGGGGGGNDFGEQRQRYGGGNRGGGRGDFQGLPLIPKHPPDISKV >Sspon.05G0019160-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:77292415:77297042:-1 gene:Sspon.05G0019160-4D transcript:Sspon.05G0019160-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPQPNGGKVTPNLAMDAEATRLLNLTVLQRLDPAVEDILITAAHVTLYDFNIDLNQWSRKDVEGSLFVVKRNSQPRFQFIVMNRRNTDNLVEDLLSDFEYELQPPYLLYRNASQEDTECLRQSTSKTKSAFHKKGAASIGSVSNAPLPGRVHPSTESVPSSHVPLIIPSAAPTHQLPPSVASSAPPLPIHDTNAHTSRSTNLVTPDFFAPPPSSSTPLAPPGASVIPTAPPLHPTPASVQRSQYGTPLLQPFPPPTPPPSLNPPHSDRPVVTRDRVKDALQRLVQSDEFIDLLYRELQKSLV >Sspon.04G0025190-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:28885559:28885897:1 gene:Sspon.04G0025190-2C transcript:Sspon.04G0025190-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGAPCNVEAAAGRRLRWRGLGRRRRRRLPVARLGGDRAAGRGRGILRKLRLRWLTARWLRRAARRLAAIYLAALAGPPAPTGTSSSSTCPPWIGLEPCFATPFVVSTRPCW >Sspon.02G0038710-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:44394244:44397265:-1 gene:Sspon.02G0038710-1B transcript:Sspon.02G0038710-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAWVASTMAVAKWRRSRLDERRRDLQPKYMASVRRSPAAMDYRSPSPGNEATAKVRPSDYSTSRNMEIEDRLGIEGLYTVCMESPHVRLQRLAEVSQAGAGPTQDHPYGNAAHDF >Sspon.05G0000810-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:2161676:2164926:1 gene:Sspon.05G0000810-3D transcript:Sspon.05G0000810-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLFDRLLLGGDRSRGKEDQERGGGAETAAMGSDVPPPSAIPPLSAAAASVVCRCARIAGVPVDQLLRRLDAEEQAGGPLEYARSVVEYCSHVALRVEARRPDHLGDREFHTLTYDMMLAWEAPDEETDAMFQKTAFSVLRDDADDDDGASIFYSSPTQMAIQVDGRRTVGPEAFAKIAPACPAMAHPITVRNLFDALTNSTGGRLHFLIYHKYLRSLDEYVHIRIDPSSPCSHCWKLSHSSELSASLTVCLAFCSAKRMLGGHKAPALQLSDDEVILDIHGAATTKPVLQHIGTSTWPGRLTLTNHALYFEAIGVDFSYGEAVVYDLARDLKQSVKRESTGPWGAHLFDKAVMYKSSLTSEPVFFEFPQFKGHTRRDYWFATIKEVLHAHKFIRKYRLASFQKAEALSVATLGILRYRTVKVGFHILPAHFKTILAFNLAEKLPKGDKILEALYGQLKQHCPRFRGSQDFGQSSSDELMLADPFPLSAYTMVTMGLLKLKEEDNAEERDFTVRDVQIGGTSSVQMALERSVGYSGRVEAARATLDQVKVEDIDTNVAVLKELLFPLIEIGKRLLTLAGWEEPFKSFVFLLCFLYMAYSGWIWFMFPGFLLGSTLFMLWNKQCGNGCSVGAFEIITPPRRRTVEQLLALQQAISQLEAHVQAGNIFLLKLRSLMLAAFPQSTNRVAAALVVVATVFTFVSLRTIVLFILLEAYTRQMPLRKKSSEKLVRRLREWWLRIPAAPVQLLKPRDTRRWRSRLR >Sspon.04G0030850-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:69395577:69396692:1 gene:Sspon.04G0030850-2D transcript:Sspon.04G0030850-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding YGLKAWPAHSLAMARSWKLQVRAGGTMGGAQHVQVRDKRERGAGPVRLRRAAGAPPPAPLQPDLDHRVPLPDGQEQAQDRRQEPRLRPGRQGEELGRPDPPDGAAFLHDKRGGADGAGGAVVELQGAGVGLPPPRRPRGVPLLLVPPRPAPSLPLLPLPLAPPRLHSHRAHHRGDPSVRGGAGLLRPAGHPAVHHDRHRHRLRGGGQRLPRLHRLHELPWPLQLRARPQAALRRLPSPQVPDVHAIV >Sspon.01G0009800-4P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1D:97184601:97184930:1 gene:Sspon.01G0009800-4P transcript:Sspon.01G0009800-4P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRILRWCCGHTRRDRVRNDDIRDRVGVAPIEEKLIQHRLRWFGHVQRRPPEAPVHSGVLKRAGNVKSGRGRPKLTWDESVKRDLKEWNISKDLAMDRSAWRLAINVPEP >Sspon.04G0029410-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:72108036:72109649:-1 gene:Sspon.04G0029410-1B transcript:Sspon.04G0029410-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCHLCCRLRCVSSTSTSASTWFGQQLVVCVELEEQSSCVSREQGRRVFTVALSSEQFLVSERI >Sspon.02G0039450-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2B:53536355:53536720:-1 gene:Sspon.02G0039450-1B transcript:Sspon.02G0039450-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADRPTLRAAIETLSPTSLHQIDRALEFLAPSRTSWTVRLSGSAPVACCRRSTGRRAAGACGQAAADHLPPLLGHPRVRDDTAMPALPLPVAGTDLTGRRMAGRQASSTSSVLNMRKGPRG >Sspon.07G0023760-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:17971876:17974742:-1 gene:Sspon.07G0023760-2C transcript:Sspon.07G0023760-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:65-kDa microtubule-associated protein 5 [Source:Projected from Arabidopsis thaliana (AT2G38720) UniProtKB/Swiss-Prot;Acc:Q9ZVJ3] LQDLGSTLIELWNLMDTPTDEQRSFDHVTSLIKVSPNTVMPQGALHMSLLRSSYTESFPSSYIETEVKRLTHLKASKMKELVFKKMTDLEEIYRSVHMDINSVSERQTLSDLIDSGRADLSELLTRMDIRIAEAKEHALSRKDILEKVEKWTAASEEETWLDEYERDQNRYNAGRGAHINLKRAEKARTLVSKLPYLVENLTAKIKAWEKEKGIPFMFNKAKYTCTRQQKEEEKRRSRELKKLPSTEQGAKFVTKPSPIRPLSARKPLGSSNVNNIGGTPTGRRVSTPMSRKCRPSSGRVQEAVKTAVAPANYVALPKDCSDNSSL >Sspon.03G0001420-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:15108857:15114589:1 gene:Sspon.03G0001420-3C transcript:Sspon.03G0001420-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GMGGMGQKSESQSRSAPGGPACAFAMETRGKRLLPVLLVLIAAAAAPSAMSRDEREVVEVSGAPDGVVWVAQLSDLHFSVHHPERAYDFRRYVGPALAMVNPDLVLITGDLTDGKSKDLLTMKQNKVEWVEYERTMKEIIESSKLPRRIFYDLRGNHDSFGVPASGDDYDFYNKYSINAKLRRQGRVQSITLQNSGQKHLFVGFDNTMEIGLRGPTNLFGHPTDKQVIELDQALSQWDTDFDKVPVTKIAFGHFPLSFSALTESGKSIKDVFLKQSLAAYLCGHLHTRFGKNLKRYYHRAVQEPSLSEHYYQLNMHQGDAMQSNMENCSEEAAHIEEFWEWEMGDWRKSRSMRILAVDDGYVSYTDIDFRLGSKSIIILPTFPLDSRFMQRASAFRDFKCHVMGASSFDTVRALVFSKHEIVSVSVKIYDSRPGTLEVVFDSEMKRVDSNETRGNMYLIPWNWRAFEDSSPSRYWLQIEVMDIMQD >Sspon.02G0046550-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:4999931:5008035:-1 gene:Sspon.02G0046550-1C transcript:Sspon.02G0046550-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPDLFPNVAFSDVSAAAAAAEGATAAFGLGAATGAPRLSLVKAGKAEAESTVEIDLADAQVFKLGPREWLCVCDESEAKAGVEEKSFSRAIKVVLRTEAESKAFSLAFQRWKHQVISGKAGERLENGSIIASKSKFDTKIEASSAKMYFHYYGQLLHQQNMLQDFVRTGTYYAAVMENRSDFEGRVVVDVGAGSGILSLFAAQAGARHVYAVEASEMAEHAQRLISGNPSLGQRITVIKGKVEEVELPEKADILISEPMGTLLVNERMLESYVIARDRFLAPDGKMFPTTGRIHMAPFSDEYLYVEMANKPVVDAFDSRLLISPPTYHTLDFTSMKEEELYEIDIPLSFVASVGTRHCAKVAYHCSRIPYHSLVPTAMCAFTAISYTIYLTMSAKMWGVGAEQGGILQTSTGKLELKEPYYRLSQPQSYMLPQDQQQQQLPSLQPQGSEQQMQEGLSPGITIDQADQDCGLN >Sspon.05G0017460-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:73064045:73067980:1 gene:Sspon.05G0017460-1A transcript:Sspon.05G0017460-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRSSKACWISLLLALAAVALPARAEEPAAEGAAEAVLTLDVDTFDEAVAKHPFMVVEFYAPWCGHCKKLAPEYENAAKALSKHDPPIVLAKVDANEEKNRPLATKYEIQGFPTLKIFRNQGKNIQEYKGPREADGIVDYLKKQVGPASKEIKSPEDAAALIDDKKIYIVGVFTEFSGPEFTNFMEVAEKLRSDYDFGHTLHANHLPRGDAAVERPLVRLLKPFDELVVDSKDFDVAALEKFIDASSTPRVVTFDKNPDNHPYLMKFFQSTAPKAMLFLNFSTGPFDSFKSVYSAAAEEFQNKEIKFLIGDIEASQGAFQYFGLKEDQTPLILIQDGDSKKFLKDHIEADQIVSWLKEYFDGKLTPFKKSEPIPEVNNEPVKVVVADNIQDFVFKSGKNVLIEFYAPWCGHCKKLAPVLEEAATTLLSDEEVVIAKMDATANDVPSEFEVQGYPTMYFVTPSGKVTAYDSGRTADDIVDFIKKSKETAGAAQATTTSEKAADAAEKAEPVKDEL >Sspon.03G0020960-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:87574071:87583950:1 gene:Sspon.03G0020960-2B transcript:Sspon.03G0020960-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Importin subunit alpha-9 [Source:Projected from Arabidopsis thaliana (AT5G03070) UniProtKB/Swiss-Prot;Acc:F4KF65] MADGSASASPPSFSPHQYHRDAIKSSVRNTAANRRREQALAIGKERREALMRAKRVCRAPLSGSDEAVAEDGDMVIDEGKADLEARTTQAVEELKSALSSQGKGAQKRKIEVLRALRRLLSQSEVPPVDAAIKAGASLSWCLTNIAAGEPEETKSLLPALPLLIAHLGEKSSTLVAEQCAWAIGNVAGEGAELRSTLLAQGALWPLARLMMSNKGSTARTAAWALSNLIKGPDSKAVNELIGIDGVLNAITQNLEKADEELATEVAWVVVYLSALSEKATSLIVLRGLGNLVAGDGYMVDSVLIVGNSVTDEALSSLIKCLKSDNRVLKKEASWAMSNIAAGSFEHKKLIFASEATPLLMHLLRTAQFDIRREAAYTLGNLCVVPAGSGNPPNIIVEHLVAIVDGGALPGFINLVRSADIESARLGLQFLELVMRGYPNSQGPKLVEAEDGIEAMERFQFHENEVMRTMANGLVDKYFGEDYGL >Sspon.02G0010680-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:27937635:27939917:1 gene:Sspon.02G0010680-2B transcript:Sspon.02G0010680-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKRGGRAPVPAKKKTDKVVNPLFEKRPKQFGIGGALPPKKDLHRFVKWPKVVRIQRQRRILKQRLKVPPALNQFTRTLDKNLATNLFKMLLKYRPEDKAAKKERLLKRAQAENEGKTVEAKKPIVVKYGLNHVTYLIEQNKAQLVVIAHDVDPIELVVWLPALCRKMEVPYCIVKGKARLGSIVHKKTASVLCLTTVKNEDKLEFSKILEAIKANFNDKFDEVRKKWGGGIMGSKSQAKTKAREKLLAKEAAQRMT >Sspon.01G0026010-1P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1A:90638728:90639666:-1 gene:Sspon.01G0026010-1P transcript:Sspon.01G0026010-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPLSTVTVSGNGPSPTRLLLFPPDQPPRPRPARRGTWNAAQRGSELLREQARSPSRSVPAAGASEARRGPMAAASLLSRVRWLLVEHPAVVSFRWQPGRTVGATTSFAAAVICGYLAAVLVLRRLVLPRLPPLPPRALRAASAAHNAVLLALSAAMAAGCALSTAAAAPRWAWPFCFPPRGATEASGPVFFWAHVFYLSKVYELGDTLLILLARRPLTLLHVYHHAVVVAMCYLWLATRQSLMPIALVTNAGVHVVMYSYYLSCSVGMRWPNRWKRAVTELQIVQFLFSFAASVVMLWLHFTAGGCEGMAGW >Sspon.03G0020520-4D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3D:50572440:50588755:1 gene:Sspon.03G0020520-4D transcript:Sspon.03G0020520-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDADAGMADLLADVHEAQFVQRMEVAEQDPEETAKAYYTMMEAAKQPLHENTNMSQLDAISRLIGLKSSLGISREGFDLMLATVGSMLPKVHCLPKSTYESQRLLRALKMPDKMQHPADGDAWKHFDAMNPVKAEEARNVRVALATDGFNPFGMMAAPYTYWPVFVIPLNLPPGVMFEPRNVFLTLIIPGHPANNMGVFMQPVWDELHKAWEEGYSMHDFLAYGLFSAWCVHGKFPCPTCKADVMFTWLAKGGKYSSFDKHRQFLPEDHELRRDKKHFTKGVQVTGPIRQEKSPADVLAQIEALEIDEEKGGFKGYGTDHMWTHISGLTRLPYYKDLLLPHNIDVMHTEKNITEALWATLMDIGKKSKDNVKARLDVETICDRPNLVMKTPAPGKRWKKGPADYILKRAHRKEVLEWMKTLKFPNGYAANLSRGVNVQTDKVLGMKSHDFHIWIERILPAMTRGYLPEPVWRVLAELSFFFCHLCAKELSQDICLELEKAAPLLLCKLEMIFPLSFFLSMQHLILHLPREARLGGAVQHRWCYPIERCLKLLRKKCRNKAKIEASMAEAFILEEVSTFTQSYFTEALRRPQDPTPRYNINENSCTLNLFRGDLGRAGAGSNKYLLHVEWHTIMMYLVLNLKECEGYQEEYINEHWSSSMDPTEEEKDHLLRHHTPDFVNWFCTKTNYDAEMSAELRHMGKYFSQRVRSFDVYDVNGYRFRTRSYEEKRGNLKTMRSGVCMLGSDGKEYYGIVEEILELDFGGNLGCKLVVFKCHWFDPKVTKKDLTIGQVEIRHDSKYEGDDVYIVANQDATQVYYLPWANQNNKGLAGWSLVQLVSPRGKAAIPNDDDYNFEPRIDEFYQPEGLEGRLEIDILSLMGMEVNNDIDEDEDDEVHDADDLRILIEWKMRRDVVVEDDVEPDDDDLRELDNIDSDDDSTDDDDERSPAILPFTEIIPNSWRPRQKGVRSVYMAAPDPPEDEAGFDEELDVEPSTSRGRRPPSGRRRTPSRRLFEQGATTTTTGDGGGDGGGGGDDDDDDLGFGDRGEQTVDTSTSSASGKPYQRGPTRLPEHLLRLDDRPVTRSVGKGWALLTGKNCSRHPTSIQGLLIKKHYPGLIIVGGYYANVKNDRVNKEQVREMVCQEETDLTEEQHMQMPPGWCNKYEDCWRAIVRGWRTPEAYEERRQRRAKRKAEISRSRVSHHQGNQILLVFMERWSEAHGGQQIKEFTGYALAHRGRAKAPSTIFSSSDRPEAYTNSNVYSKLTQYAAEALERHGPEYDPTTEPLDTDLMMRLGGGKQHGRYFMANSAIDASSATVEQLQASQAASDARHEELRREQEALRLAQEANHQQQLAAVALHYQRQLADLTGYFRSQIPGTQEPPPSLFAPPPVPLPVPAPGPVSIFPVALACADILQVLITSLGLCAGTVGGFEPDSGCQPSTGSFSTARLATLPELPHAAVRLASSTVAGALRACKLPVAAAGALLAAAAASELVIVGARWGLWDPWGRCLELGTFTSRFWTLLWHVGHVLPMLDMYR >Sspon.08G0016950-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:66363040:66366090:1 gene:Sspon.08G0016950-1A transcript:Sspon.08G0016950-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCRSKNRQHAPGRLARSSSSGGESMRWSARLGGDPVAGLWPVATTPNIAGARAGCLNLARRWPPHNPPFHLLPPPSPPIGPTNQREGERAPPPSITHPTPPSQRAGVRCCSAALRTPAMTRRGTLPPALLLLLLAAALAATRTTVSGQGRPVTDSGAETPPTPSSFTPKDSFLIDCGGTAPVTADGKSYKTDAQANHLLSANDAIRVAADDKADVPSPLYATARVFKEEAVYSFPLTVPGWHFIRIYLFPIKGGDVDLASATFSVVTDDNVLLHSFTPENKPVMKEYVINATENHLALKFQPLKGSAAFVNAIEVVNAPDELITDSALAVAPLGEITGLVHDAYQVLYRINVGGPAIGPANDTLGRRWETDASYVQTKEAVKDVSVPTSTIKFPDGTSRLVAPTLVYASAAKMADADVGSPNFNLTWKVDVDPSFSYLVRLFFADIVSKATNDLYFDVYISGRKAVSGLDLSTVTGGELAAPYYKDFVVNSSSLEGADGKGKLSVQVGPMGQDTGRIDALLNGMEVLKMSNSVGSLDGEFGVDGRKADDGSGGRKAVAAVGFAMMFGAFAGLGAMVVKWYKRPQDWERRESFSSWLLPIHTGQSFTAGSKGGYGSHKSGNTFSSTMGLGRFFSFAEIQTATGNWDEKNIIGVGGFGNVYVGEIEDGTKVAVKRGSAESEQGINEFNTEIQMLSKLRHRHLVSLIGYCDENQEMILVYEYMHNGVFRDHIYGNEGVTPLPWKQRLEICIGAARGLHYLHTGTAQGIIHRDVKTTNILLDDNFVAKVSDFGLSKDGPGMNQLHVSTAVKGSFGYLDPEYFRCQQLTDKSDVYSFGVVLLEALCARPPIDPQLPREQVSLAEWGMQWKRKGLIEKIMDPKLAGTVNPESLAKFAETAEKCLAEFGSDRISMGDVLWNLEYALQLQDANPPEGAQQDGEEGAASEGGGGGGGAVVPAASASGGGVPDASTTAAGELFQQLADMKGR >Sspon.04G0023510-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:13413890:13416411:-1 gene:Sspon.04G0023510-1B transcript:Sspon.04G0023510-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGDSSTSLALTAAEPSPASPTRRSKRPGNPPKRFVHTPIPSSILSDPTLATSLLPANYNFELPKTAHRIRSAAARRVALQLPEGLLLFALPLSHLLAPYLEPDPANDVLVLADATYGACCLADRPPRRSPPTSSSTTATPASCPSRPPSSLCFTSSSRSASTPLASPLPSATPSRTPPPRPASPSPGPCKGYRDIVVPQAKPLSAGEILGCTAPTLKKSEEVGAVVFVADGRFHLEAFMIANPGVKAYRFDPFLGVLVLEEYDHVGMKQARKAAVLAARKAKNWGVILGTLGRQGSTKVLDRVVEHLEEKELEHTVVLMSELSPARMELFGDSIDAWVQIACPRLSIDWGEGFKKPVLTTFEFDVALGYVPGWWEKGSRECGGGCCSGSGTCGNCNCSGGDFGGEYPMDYYSQDGGDWNSCYMKKKPSTGERKLRVRISNGVKAEEKHGNQDSCEVVR >Sspon.04G0010000-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:29692673:29696900:-1 gene:Sspon.04G0010000-2D transcript:Sspon.04G0010000-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIAPPSSSVAALTRQPVQFLKGCNVSKETKGPVCSFFPPNSNSTKLKSVSLRVASSFKSDGSYPAGGVSGNGDTLLPKSTSVRGQDHPVADPVLPMDSMITPEILSTNLARAVEKFADDDTDTELDLDSPTEGFTSIADAIEDIRQGKLVIVVDDESRENEGDLIMAASLVTPEAMAFIVRHGTGIVCVSMKEDDLERLNLPLMVTTKENEEKLCTAFTVTVDAKEGTTTGVSAKDRAKTVMTLASPDSKPEDFNRPGHIFPLKYREGGVLKRAGHTEASVDLAMLAGLPPVAVLCEIVDDADGSMARLPKLRVFAERENLKIISIADLIRYRRKRDRLIERASVARLPLKWGNVRAYCYRSVIDGIEHIAMVKGDIGDGQDILVRVHSECLTGDIFGSARCDCGDQLAMAMEMIEKAGRGVLVYLRGHEGRGIGLGHKLRAYNLQDDGLDTVEANEELGLPVDSREYGIGAQILRDLGVRSMKLMTNNPAKYSGLKGYGLSIAGRVPLITPITGENRRYLETKRTKMGHVYGLANAQANQPSSSQSTEEKH >Sspon.06G0013590-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:58800833:58802147:1 gene:Sspon.06G0013590-1T transcript:Sspon.06G0013590-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVAKIREAATAGTTIDLSELLNAFANDIVCHAVSGKFFREEGRNKLFRELVEANSSLIGGFNLEDYFPVLVKLDIIKRMVCAKAQKVNKMWDDLLNTLIDDHARRPASERDGEESDFIDVLLSLQQEYNLTRDHIKAQLVVMFEAGTDTSFIVLEYAMAQLMQNPRLMNKLQAEVRSTIAKGKEIVTEDELNSLAYLKAVIKETLRLHMPAPLLVPHLSMADCNIEGYTIPSGTRAIVNSWALARDPSYWEKADEFMPERFMEGGSAAAMDNKGNDFQYLPFGAGRRICPGINFASSSIEVMLANLVYHFNWELPVELAKKGIDMTESFGVTVHRTEKLLLVPIVAQD >Sspon.01G0045340-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:89407404:89415254:1 gene:Sspon.01G0045340-1B transcript:Sspon.01G0045340-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAQQIAPVPEHVCYVHCNFCNTILAVSVPSHSMLNIVTVRCGHCTSLLSVNLRGLIQSLPVQNHYSQENFKVQNISFTENYPEYAPSSSKYRMPTMLSAKGDLDHMLHVRAPEKRQRVPSAYNRFIKEEIRRIKASNPDISHREAFSTAAKNWAHFPNIHFGLGPYESSNKLDEAIGATGHPQKVQDLY >Sspon.03G0022030-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:37242238:37251999:1 gene:Sspon.03G0022030-2D transcript:Sspon.03G0022030-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcriptional corepressor LEUNIG [Source:Projected from Arabidopsis thaliana (AT4G32551) UniProtKB/TrEMBL;Acc:F4JUD2] MAQNVWEADKMLDSYIYDYLLKRNLQNTAKAFLAESNVPSAPVSIDAPGGFLFEWWSVFWDIFIARTNEKHSDSAASYLESIKAQEQQQQSQHQIQMQQLLLQKHAQQHPQEQQQRRWQQKQQQRSENTDFTTSAQNGTVAADPPVRQNDTAASALSAKIYEDRMKITVQRDVSEEAFMKQRLTESIGPLLESNPTSMLKSPARSSLASGQIFHRSAGGVSGSLLQAQVRSQPLLGSTQDMKAETNVALNLRAAGADGSLFGAPGSNQAGNNLTLKGWPLTGLEHLRSGFLQHKSYMHPAQPLQHQLQFLTQQQQQQILLQVQAQQNVTSPGDMDNRRLRMLFGSRNLVPGRDGQSNAFAEIVPSVGQSLQNMCLPTQRAETDMLMKKIAALQQQQQSNNPQQLLQHPLLSQQQESSNYLAGEQEKMGAGIVTVAFAGNEQVSLPPSLPRITILFIFSVKTIESIFSFGLFLRIKMGESVNILFPRLAQPIALVLQILLVLPQFNTLNSFRTFSRRDHINATAAPKCELISEGAVPIGSPTNQLVDMDRYVEDGSMEDNLEPYVSHNGTNPRAAGSHCISSGKGYILREVSSAQASTSGVLCCHFSSDGKLIATGGHDKKVFLWNADNLKQKSMLEEHSLLITDVRFSPSTPCLATSSFDKTVRVWDAANLGYSIRTFTGHSASVMSLDFHPNKDDLISSCDGDNEIRFWSIKHGNVVRIFKGGSTQLRFQPRYGGYLATASDNVVSILDVETQTCVRRFESHTKGVDSVCWDPTGEYVVSVSEDTVKVWSLNDESFVNELNCSGRKFTSCTFHPTYPSLLIIGCYQAISGAVGHVREPEHDHCSARQPRLIHGLVKLWPGCFHKP >Sspon.02G0031520-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2B:108638986:108640583:-1 gene:Sspon.02G0031520-2B transcript:Sspon.02G0031520-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLAICEDAVLIQSVEYFLIYVVGRTLMGSLGNSLFGAANSSVEAVTRPSQCDAISQQIRTFIQMRTNLKVVDNSGAKRVMCIQSLRGKKGARLGDTIIGSVKEAQPRGKVKKGDVVYGVVVRAAMKKGRSDGSEVQFDDNAIVLVNNKGELIGTRVFGPVPHELRKKKHLKILALAEHIV >Sspon.05G0010080-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:31326702:31327356:1 gene:Sspon.05G0010080-1T transcript:Sspon.05G0010080-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSALSFAVLLSFVILAAGSRPPSPGDQVVVPLQSSDDDAAGSYDYRCVYTIYVETGSIWKAGTDAVISLALRAADGAGFTILDLARWGGLMGAGHDYYERGNVDIFSGRGPCLSSPPCRMNLTSDGHGPHHGWYCKSVEVTATGRHATCAKAGFGVEQWLASDAPPYQLYAERSFCAKSDADE >Sspon.07G0007380-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7A:19456321:19456769:-1 gene:Sspon.07G0007380-1A transcript:Sspon.07G0007380-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAARRAHTATPPFMAPAPDSTFPCRCRPPKSSRQPPQDSATPVWDRTSVVSCVSVTAMATENDFVLGDRGRR >Sspon.03G0020450-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3B:86290367:86290931:1 gene:Sspon.03G0020450-2B transcript:Sspon.03G0020450-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLLHHQDSAFYGKELHGCRWGILQFFGFRRRLRSPKMLPDKKHGQEKNCRGSRRRSHCYAPLTNEDSGILNDDKNTEVYQITHP >Sspon.08G0023210-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:53548117:53554715:1 gene:Sspon.08G0023210-1B transcript:Sspon.08G0023210-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRGGLKPSTSSRCRYRCIRSHRAPDHALPPFTPPNAIKVPPSSGFKKQALIREMSQTLKSVSSNLLERFIDRAYRFSEQSSLNEGNFRPVNEIDEAVLLNNLDGEVPGDFPEGVYIRNGPNPLNPTQTVADSIFGSTSYMYYEGHGMLHAVYFNKSSLGEWKISYRNKYVNSDTFQLEMAFVPSADGQPYATLVAFLLNILRFGKAVKDSANTNIFEHAGRAFAITENHLPYEININNLNTLGLYNINGAWNQPFTSHPKKIHGSGELVIMGTNTEKPHYVLGVISCKLLGNADLKFEEGKLIHDIGVTKRFIENDMNGKSRIGVMPRFGDAESITWFHVENHCSYHLFNCFEDGNEVFIRGCRLLGSIIPSGRHRVDKLKWYGRAFLQPDKDSEDFDPSLDGTLFSRPYEWRLNLENGSVQEGYITSEKVAMDFTVINDKFIGIKNKYGYAQVADSLATSKTGLFKFKMVAKLHFDMPNKCKLKYQKNLQENRELISVEYHTLKEKQFCSGVQFVAKQNGIDEDDGWVVTYVHDEETNISQVYIIDAMRFSEEPVAKITLPQRVPYGFHGNFFYTNLHS >Sspon.02G0044850-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:104966558:104971497:1 gene:Sspon.02G0044850-1B transcript:Sspon.02G0044850-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VTMRKELRLQDWGAPGRCRTPAALPPRRQATALSAAWPRCPPPAGLPPRRSGHRPDASIPAAAARLQDVHSARPPRVRLAIRILDLLYSIHGTLPQISSYDLASAAEREVVVDAAGTLERRPKSPLPSAVRRRRSGQERLDSCVTRAVRVSPEAPKHSARPEEPARLGSAVRESSEEDQRARRLVGLKGAGEQQGVG >Sspon.02G0052500-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:93117954:93118567:-1 gene:Sspon.02G0052500-1C transcript:Sspon.02G0052500-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTEYLDYAMAMADEFGGTSWAIKEFSWDAKYAGLQILAAKSDRQGIYPTYVQQTILVDHRIRLIEEPRSPVDRSQKTLTDCQSMRSRSRQAGQAAARLGPLASEDGFSGAARPHGPGINDMGAMTSTRATATAMAARRKQQ >Sspon.07G0029860-3D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7D:68074795:68088415:-1 gene:Sspon.07G0029860-3D transcript:Sspon.07G0029860-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRKSSPEDGDGKPSPDREFLVVISNTLHIVRGPKKKNPEAEKEKSSPPSLTTATATATSAPTLPTAPTMSACSPVPTLRPDERSDLLSLLAAAARPLADVVADFLARFPRERRLRVGGALSFLLEASHATARALASPPLPEPPSSFSLACFPFDKKMLHPTGRLIAFAILHQSYSPQTANPYIPILLNVLNQSAVDYINGSVSASQTNRGKVGSAMDSAHTPRLPVLDGELQWLNPDNNHELLWDYSMCADTSRGAAIRDLIARALKGPLAPAQQEDLVEHNPLIAVEVLSKLINSPDIPGYFDVLVHMEMSLHSMEVVNRLTTAVDLPTEFVHEYITNCIQSCQNIKELPDDCVPRPHPSPGGGSSAVPRSRRPLEGRAAAGSLPRPPSLPPRRPPGGHAAAGRFPRGRPPPAPSLLPTSLLPPSFSSASIKWIDDPDKFDPRYLLFDDWWRGRHPREHFERWVPPPPNPPPMTAKEKHLVVVRRLEEPPLCDCGDRAVINPENTLEFVYGFAKCRFKEWEWLYRPKNHWPEPKAKEKKKERIIIEAPLVICECGVKANYGLVPSELGIGHWCGHMSTRKCKWESYDCQAKFLDEIKVKQVIAQK >Sspon.04G0037800-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:78150891:78152696:-1 gene:Sspon.04G0037800-1D transcript:Sspon.04G0037800-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding HIHRHPPKNTEQKTKQRQLPFLHTRRRPRGDGTCCGRRAASPLPFAPPPAARLSFGQAAARRPRPAPVTPPVSRAAPQRHSRGGPGCLFLSDRPSVVSSSPSAPAAHLGHRIPSQEPPIPLPALEACSVCPSLSHLQTQISLYANK >Sspon.06G0007660-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:60447710:60448606:-1 gene:Sspon.06G0007660-2B transcript:Sspon.06G0007660-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVINAPAAARCSAAGPPQLLPPRGAASSFPPSMMRKRTTGVAGRLVAVSAVGDVAAEGNTYLIAGAVAVALVGTAFPILFSRKDTCPECDGAGFIRKAGATLRANAARKDEAQIVCPNCNGLGKLGQIDK >Sspon.01G0055570-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1C:80897409:80898290:1 gene:Sspon.01G0055570-1C transcript:Sspon.01G0055570-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKKVTLQRIANDSTRRATFKKRRKGLMKKASELATLCDVDACVVVYGEGETQPEVWPDVSKVAQVLARFKTMPELDQCKKTMDMEGLLTQRIDKQKEQLHKAWRENRERETTLLLHDAIVGRRPGLAGLSVEDIAGLGWMVENRLVVVNESLERHHAAAAGAGKQQQDNVVVPPTTLQLQMPQQVSLPLVPHYSIGGPTGGQTAVVHQAPPPNPQPQPHPQASWLMELARAGGDLGALVYSGFGGGRGESFGGSASAGTSAGAADMPPHLGNFGAGFGWPDPAGADPSAFPP >Sspon.06G0006930-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6A:26198529:26199208:-1 gene:Sspon.06G0006930-1A transcript:Sspon.06G0006930-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding FKSVGEFNYAVHKISAKLRFCEKEPSDADKIEKTLSTMLPAHMILQQYRQRGFTVYSELIKTLLQAERQDEILLWNSNKGPTGSKPLPEVHANTHNRKQKGAIQNGNPETSNTKNKRKRQNKHRGAKDKDNSKSNRDKSKTCERCDCYKHPTKKCRTPKHLVELYLKFVGRGHSNQDRSKQGGQFEAHFNYQGDVQGCSAVAGPSNTKAPPILDDTADDVNNMIL >Sspon.03G0018920-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:44355233:44357547:-1 gene:Sspon.03G0018920-3D transcript:Sspon.03G0018920-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMSAASHRSTTSMGALTVLSVLAVLVSDVEGRHHVCPPFSCGGFSNISYPFRRQGDPSGCGVKSYELVCTDTNATIRIGSGTYDVLSINYTHSYLWVLDTNLSMQSSCPLPRWDYRLFRGASQRSIEMDPYSSWWATFLNCSRTIENNGTYDWYEPVACLSTNSSFIYVVTAGVGYGGYVPADRVEPSCGYLAITPLGGPGMTVLKNTSYPDVVKIMRKGFTLGFPFTIGEDMRECLAYSMRFILVPLAMFTFLAYKYWKTRITIDAVEKFLRMQKTLVPMRYAYTDIIAITSHFREKLGQGGYGSVYKGVLLPGEIHIAVKMLGNSNCNGEEFISEVATIGKIHHVNVVRLIGFCSEESRRALIYEFMPRGSLDKYIFSSEKTFSWDKLNEIALGIARGINYLHQGCDMQIVHFDIKPHNILLDSNFVPKVADFGLAKLFPRDDSFVPLSAMRGTIGYIAPEMVSRSFGVISTKSDVYSFGMLLLEITGGRRNADPHAGSSSQAYYPSLVYSQLSQEDVGGISEGVDMHELEKKLCIIGLWCIQMKPQDRPTMSEVIEMLEAGVDGIQMPPRPFFCDNDGGDSYSISSELNAIEEEDE >Sspon.05G0003400-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:10275956:10280390:-1 gene:Sspon.05G0003400-1A transcript:Sspon.05G0003400-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIIELSSESEGEGFVDLCSDGEDRTVLCSGSTVSCSSDREDDGFGDRSTRFRPPIHSLSLSTTSDENSDEEPIMMEVDSFLSDTRQASSFYRPAENIPATGKISKLTKKDLLRQPITSVIDVQADTGSEVPEKSLFSVDEKVVYEDALQHIQQEKREEDLPEGVLSVSLLKHQRIALAWMVSRENNAHCSGGILADDQGLGKTISTIALIQKERLQQSRFMSDDSECGISVGDDNEAVLDMDKKELKAHASRSRAVKAQPKKARVSSTASSLRSTSSPAAGTLVVCPASVLKQWANELSTKVTRSAELSVLVYHGGSRTRYPTELADYDVVVTTYAIVAREVPKENTNDEVSPGNKRKLKKNAKGKAKKINKPGPLAKVRWFRIVLDEAHMIKRYQTQTAKGCCGLSAERRWCLSGTPIQNNIVDLYSYFRFLKYEPYSKFRSFSSMLKDPISRDTSHGYKKLQTVLRIVLLRRTKETLLDGEPIIKIPPKTNQLSKIDFTKEERTFYLRLEENSRQTLKGRSKDFIQKNYVHILALLSQLRQACNHPFLLRGKNRTVDPPKDTVAPPCAHVFCSECVHLEILENGKIIEKVCPAPHCGREISAENLLFTDVLKFCLWPNLESEAPTSHSIDVHRPFSVCESSYISSKIRNTIEILNSIINTEDADDTMGSVPSESTPAKAIVFTQWTGMLDLLERSLNSNHIEFRRLDGSMPLNVRERAVKEFNTEPEVRVIIMSLKAGNLGLNMVATCHVLMLDPWWNPSAEDQAVDRAHRIGQTRPVIVSRLTVKDTVEDRILSLQEGKRKMIESALGEDPSGDSPATRLTVEDLKYLFKM >Sspon.02G0021020-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2B:69185968:69188106:1 gene:Sspon.02G0021020-2B transcript:Sspon.02G0021020-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPTGESQPPQPADRAVDLLGDLPTFVLDKILAGLPAPDVVRTSVLSPPWRRRWESVPGLDIQLHDVRDEGGSWGSAAGFLERCAGPVGRVSIRGVPLSVYHRADDWVRAVAGKSPRSLSLALPLATPLPSLFRCDPAALAELELRCCAIPGPPDGFAGFQRLTKLDLDDVVFTGGNAWAQLEAMVSAAAPTLVDLRLQNIAFSVADGGFVPGRWVILAPNLRRLVLCLRIAGAGLWELGPLPNLESARIFLNDSAENRDYVQMFTAISNVRELHIGNFDTATQVRLGNRFKPSIRFGLIGFSRIRFSEMENRSVPQKTINRRVRRRFLRVRFSVSSNKPTNSGRRSRAEINAGHTTAVRPCPMRRRPGRAAAADAPPPTAHGRSHGAAPPTPPLPMRRRSQSRSSPAADAAPPLLDAPPTCKAAALLHQRPTTSRTCRGRARTAAPAGAEVAEVSSTRLGSPKG >Sspon.02G0023290-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:77404007:77404690:1 gene:Sspon.02G0023290-2B transcript:Sspon.02G0023290-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:H-type thioredoxin, Regulation of the apoplastic reactive oxygen species, Stress respons [Source: Projected from Oryza sativa (Os07g0186000)] MAGAAEGTVIACHTEEEFDAQMAKAYEAKKLVVIDFMSPTCGPCHAIAPVFAECAKEYPTKAVFLKVDILELEEVANRYNVQGTPTFFFIRYTVTLESFWGAYPDKLRDTVKFFIDNPLASASSA >Sspon.04G0015980-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:64798511:64799194:-1 gene:Sspon.04G0015980-3C transcript:Sspon.04G0015980-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNLGGATIAPPGRMTMDGPPTPTVKTRLCNKYNTAEGCKWGGKCHFAHGERELGKPMLMDSYMPPPMGPRPTGHFAPPPMANLGLATPASFGASATAKISVDASLAGGIIGRGGVHTKQISRVTGAKLAIQDHESDSSLKNIELEGTFDQIRNASAMVSELIVSISGNAPSQAKNPAGGTHRGGGGGTGSNFKTKMCENFAKGSCSFGNKCHFAHGDNELRKPAAA >Sspon.02G0019110-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:65024187:65033222:-1 gene:Sspon.02G0019110-3C transcript:Sspon.02G0019110-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHQADAKMQSPTRRELLQASIELTNHTLSYIKSMALRCVVQLGIADAIHRCGGQVSLDGLLATLSLSPSKLPYLRRVMRVLTASGVFAQGDGGGYRLTPVSMLLLSDTGDGGCRSLLQLVQLELSPFCVSPATNLAEWFTTKDEETPFAMTFGTDWWGLCGRDPGFSVFFNGAMACDSRFLMDAVIHEMGDVFDGVTSMVDVAGGTGGAAKAVAAAFPRIKCTVLDLPQVINGIPADDGQIEFVAGDMMDFIPQADALLLKFVLHDWNDEDCVNILKRCKEAICSREQKGKLIIIDVVVGFPSQATCHETQMLFDLLISTITPGCERDEKEWSELFKKAGFRDYKVKYVLDMRSVIEFVLHDWNDEDCVNILKRCKEAICSREQKGKLIIIDVVVGFPSQATCHETQMLFDLLISTITPGCERDEKEWSELFKKLDSETIRSNLC >Sspon.05G0038740-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:5D:53710390:53710725:-1 gene:Sspon.05G0038740-1D transcript:Sspon.05G0038740-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAAGRCSRCWPFFPSPTRPELPAGPFFLPPPVQSSPVAACACGRPFPPGQSPPMSCAHCWPVLTSLTTRLRRGNPQRGQSSPATCPHAPSLLASSKGCNGAAHPPLYASS >Sspon.05G0021360-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:89939581:89947136:-1 gene:Sspon.05G0021360-1A transcript:Sspon.05G0021360-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVWSLHGLKQRPCPVSDMMILRVVNVAYRYHTFQRCRTAFFYLTFSINYPINSVIKDIWRHIHSLMPMRNAAQAACVSHAFLRSWRCHPNLTFHGTTLGMNKKAYGNDEIARDFSSKVDHILKNHSGIGVKKLVINMYGCYAANDSCYLNSWLLTAVTPGIEELELVLPMRATYNFPCSLLSNGSGDSIRYLKLGGCSLRPTAELPWLKSLTKVQLHAVSFTGDELGCLLCNSPALEWLVIRYCDEIVCLKIPCMLLRRLRHLEVIFCGSLQVIDNKAPNISSFFYAGDQDHTQLSLGEALKMDYIHLIFSGALHYACVALPSSMPNLKIANIRSSSEMANTPMLHSKFLHLKKLSIALTAPTFTPAYDYFSLVSFLDTCPSLETLVLDVAQEEMEHVSVFTDPSDLRKMRGHQHHKMKQVKILGFTSAKSLVALTCHFLESITSLEHLTLESYQSCARCFVPAHKRGKCSPLPFGVLREAQRGLLAIRTYIEPKVPSMSLEVSLFLQTIRSVRFVALVPERLCCGFRCRCPYPHCHPGHFPGKPKPKLPPLRSLSLCLRAGPLAALPRRPRDVSASYGDGDMDDDFGDFDPDDADGIGEDDDVDNEQDYDVDYDRLLAPVVKPPPSLGPSTAAPGEEGDIAMVAAESFVSTRDSASDTVVDYTVDEDEFHKIRLLHCDFLIRKVPDPDDDVFDFREMYVTPPDTDIYSIPRVLAPMPQKYVRCTKKNFGRYHVSEPPVEHLRDPLYKTEREIMKVFLTKHYRNRRSDDPDFFLDFEEIYVIDSKTRSITRAKVVVSVPEGKKRDRRNDLLLIRDGGESFRIIDKTKRDDAATVIQREEWAKSRQDVEKHFRKLRDFDYSN >Sspon.01G0012160-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:33221315:33227715:1 gene:Sspon.01G0012160-1A transcript:Sspon.01G0012160-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSTLVSYSHAIVIQGPRRNPTAPAIPASASRAAEGRTLLASPPPLPTPAASLQQDHTRRTRLCRLRPLPLLSSPVMASRGGPSGFVDYFHNGTKHHDSNKENTSQQGSNAIRVQKERKTEWARPGKVFNRNITRGGYSRSSLPGVTQEFRIVKDNRIKLKEVSETLPEASQNGDSSNECAVSNVRDESSTEKLAAQHRLVTCNANGHGAAHADNVIKSATATQAHDKEVKPSIVLKLEQSEGGQASLVGSHAVSGKSNQNTVDTAASGKNNFGGELCCSSSDPIHVPSPGSKSAGTFGAIKREVGVVGARQRPSNSAATNTSASNGLVKAVSAPKGNNPSKEQHSGLSSVSLRNIRFNLPVPLSNKQSHHVSHTKVSPHLEWKPKSVSPSSISHGVTAAPPAAPSPVDDGSKTEVSALSKKLSSHANVSHELVIIPEHIRIPDSERTHFIFGSFESEIDPKTSLTASYDIVAKEDLNDHTPSSLAALDSTSTDGTPNGRTDNVGSCSPLPQSESAVSVSEHPQSLTECVEVRSPGVVGEYGTNEMISSKVTHSQPRLQHQEATQNFKAFEPDSGYGMPFITKVVDGEAAQSIAYSSEAMILHSVNAYQLPASTATQQPVPQMFSQQFQVPQYPNFLPYRHVFSPQFGSPMVVPNYSSNPAFPQLPHASSYLVMPNGASQLAANGMKYGSNHQYKQVFQGAPAGYGYANHNGYPVSTGVIGGTGAIEDTNMSKYKDNSLYAPNPQVETADVWVQGHRESPNMPSAPFYNMVGQPVSPHAAYLPPHSGHTTFNPAPSHPAHLQYPGFAHALHPTSMTMVQNPQAMVHQPGAPPLAGNIGLDMAAMVPGSQVGAFQQNQLGHLGWTTQSF >Sspon.08G0022610-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:51605473:51608185:-1 gene:Sspon.08G0022610-2C transcript:Sspon.08G0022610-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RPT1A [Source:Projected from Arabidopsis thaliana (AT1G53750) UniProtKB/TrEMBL;Acc:A0A178W3N8] MAPEPEDDIMHEKNPRPLDEDDIALIKTCGLGPYSIIIKKVEKEMKELAKMINDLCGIKESDTGLAPPSQWDLVSDKQMMQEEQPLQVARCTKIINPNTDDAKYVTTLKQIAKFVVGLGDKVSPTDIEEGMRVGVDRNKYQIQIPLPPKIDSGVTMMTVEEKPDVTYNDVGGCKEQIEKMREVVELPMLHPEKFVKLGIDPPKGVLCYGPPGTGKTLLARAVANRTDACFIRVIGSELVQKYVGEGARMVRELFQMARSKKACIVFFDEVDAIGGARFDDGVGGDNEVQRTMLEIVNQLDGFDARGNIKVLMATNRPDTLDPALLRPGRLDRKVEFGLPDLEGRTQIFKIHTRAMNCERDIRFELLARLCPNSTGADIRSVCTEAGMYAIRARRKTVTEKDFLDAVNKVIKGYQKFSATPKYMVYN >Sspon.02G0008870-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:25245352:25246590:1 gene:Sspon.02G0008870-1A transcript:Sspon.02G0008870-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding HHGWKQPPAGGAGGARRQGQEGARVQARRPQGQGRRLHQPHPLHPRLHQPGPAQRVLRLRPRQGRRPLQGVAPGAAGRAPLLRRQVQPGPGAPRRARRARRGLRLRQPRGDRGRARGRRPATGHRLRQPLQARGAPRVRRGGRRQPHHLRLRGGGGQGQARPPGLRAPAPPQGPRRRRRQGRPGDQVRRARPRGRAAPARRPARGGWSGRRLLPRRQRRVQPRRVPRGHSGRARRVRRRRCPGDAAHARPRHRWRVRVRTHFRRRRGGDQQRARAALQRPAVRGGDRRARPVLRRDCLHTSRARHREAHAWRGARVLDRRRPVRLAQLHHHGPLRAHPEAARQPAPRRQNLHFHGVRPNVRLSRHGGHRVPATRDERGRLARFRRHGRLHHRSRLQLQRLRDVRHKDLRSLLQ >Sspon.01G0011240-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:92927287:92930966:-1 gene:Sspon.01G0011240-2B transcript:Sspon.01G0011240-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDESNEREATPLQRFSWQLCSNTAWRAHAGIVLVQLAFSGYHVLTKAVLNAGMNQVVFCVYRDLVALAFLAPVAFLRERRVRPPVTPKLLACFALLGFTGLFVNPLLFLVGLRYTNASYAAAFEPSVPVFAFLLAVIAGVEAINIFSKDGILKVIGTAVCVSGALLMALYRGPSLIGLLGGATASASENVSTIIPVQWLTSTVLERGVETWFLGALCLIGHCFLVGAYLVTQVLEMHISLTAYSYFFATIFMVFTGVVATNGLHEWAPTKTEIIAVLYAGIVASCMSYALMTWANKVLGPSLVALYNPLQPAFSTILSTIFLGAPVYAGSIIGGFFIIVGLYLVTWARYNEVQQATTDDYLDPLLVCHPRITKTQE >Sspon.03G0042840-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:16600635:16606509:-1 gene:Sspon.03G0042840-2D transcript:Sspon.03G0042840-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQHDSMADSPRRRYNLLRDKVQLVKRKDSNRYEIVRFHDPLSFEKGFFVVIRACQLLAQHNDGIIFVGVAGPSGAGKTVFTEKVVNFMPDVAVISMDNYNDATRIVDGNFDDPRLTDYDTLLENIHGLKEGRSVQVPIYDFKSSCRTGYRTVDVPSSRIVIIEGIYALSEKLRSVMDLRVSVTGGIHFDLVKRVLRDIQRAGQEPEEIIHQISETVYPMYKAFIEPDLETAHIKIINKFNPFSGFQNPMYILKSPRSLAPEKIKTVLGDDHTESNEETYDIYLLPPGEDPEACQSYLRMRNREGKYNLMFEEWVTDNSFIISPRITFEVSVRLLGGLMALGYTIAAILKRSSRVFSDGKATVKIDWLEQLNRQYIQALPEDLKTKLSIDDELVSSPKEAFSRVSADRRNKLMKSGLSQSYSTHGDKNIVKLSKLTETNRRFGSGRALESPAINQGAITQLSEQISTLNERMDEFTSRVEELNSKFTIMNISRGQRQVIHQLDNLTNLLHEHLVLKRQANTASRNRVLDIDTVICPLICLTVASIGYFMFKGLNRG >Sspon.08G0018710-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:7719910:7721556:1 gene:Sspon.08G0018710-1B transcript:Sspon.08G0018710-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding FTGSENITRYSYRELVRATSNFDQSNKIGEGGYGPVYKGTLKDGTAIAVKVLSLHSRQGAKEFLNELLAISDVAHENLVKLYGCCVEGNHRILVYNYLENNSLAHILLGSGHSNIQFNWRTRVNICIGVAQGLAFLHDSVRPHIVHRDIKASNILLDKDLTPKISDFGLAKLLPPDVSHVSTRVAGTLGYLAPEYAIRGQVTRKADVYSYGVLLIEIVSGRCNTDTKLPYDDQILLEKTWRYYDRGDLEKIIDCSLSDDLDVNEACRFLKVGLLCTQDVTKQRPGMSTVVAMLKGEADLGTEMISKPDVIRDFGDLKMRSRATSSTLLTSIMARSSQLSSEETTCTSITFTEISERD >Sspon.04G0022920-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:9538762:9541138:-1 gene:Sspon.04G0022920-1P transcript:Sspon.04G0022920-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAVEAISCSKVDVPAGPEPEEAAKKAAAPAEHGDATPNGKCGEAAPRCHEEDDEEDAPKVIDLGPRVSIKEQLEKDKDDESLRRWKEQLLGSVDFNSVGETLEPDVKIMSLSILSPGRPDIFLPLPVEPNAKGVWFTLKEGSPYRLKFTFSVSNNIVSGLRYTNTVWKTGLKVDRAKEMLGTFSPQLEPYTYVTPEDTTPSGMFARGSYSARTKFLDDDRKCYLEINYTFDIRRDWPSTS >Sspon.05G0001700-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:5522332:5530020:1 gene:Sspon.05G0001700-1A transcript:Sspon.05G0001700-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASAEPEKDAAAAAAAEGDEKTEAKGTGSGWELLYCGGTSFDAMGRKVVGGAQGNLVSPTRLRPLVGVDIRFVASGCTACHCVALDAEGKCYTWGRNEKGQLGHGDTLLRNLPTVVSQLSKYKIIKASVGRNHTVVVTDDGKSFSFGHNKHGQLGTGSLRNEIESSPVSSIVAEATNAVCGADFTVWLSSVEGSSILTAGLPQYGQLGHGTDNEYNAKESSVKLTYEPQPRPRAIAAFSGKTVVKVACGTNHTVAVDSSGFVYTWGFGGYGRLGHREQKDEWQPRIVEVFQKHNVLPPNAIVSAGSASSACTAGGGQLYMWGKMKNTGDDWMYPKPVMDLSGWNIRCMASGNMHHVVGADDSCISWGVAQNGELGYGPNGQKSSANPKKVDILEGMHVTSVGCGFGLSLIVVDRANVGDRLDQLEIYDGDTSAEVEKVEVQVTKKASASTNSRANKRKKTKDVSESESEEEDDDDESGDDENGDIEEPKGRRGRKPSRGRGRGAKKATPEAKPSGRGRGRPKKTESPAQKAGTSGSRGGKRGGKRGRPRK >Sspon.01G0021100-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:82184983:82192569:1 gene:Sspon.01G0021100-2B transcript:Sspon.01G0021100-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPPEPEDGRELYALLHLSPDASGEEIRRAYRQFAQIYHPDKYQDSQMKEVATENFQRIRDAYEILSDENKRQIYDIYGMEGLNSGLELGPKLSKPEEIKEQLERLRRRKEEEKNFTHALPNGSIIANFSVPHYLNDGGIMRGMAMSSEVELPVSKQNTVVVGGNLAVNGSAGSGQQALCCDTSCLPFPLSSLWPQLDYVLQISPHSTATSGLAVSLRDGSINLSNAWTRQLSDNAVGNIQLVLGDESSISVGWQKKDEKSTATGEVKFGTNFFGASAHYTHRFSSKSHARIAGRVGSTALDFEIGGGRRISEFSTVRMMYNIGIQGVSWRFELHRAGQKLIIPVLLSTDLNALFVTSAFGIPSTLYFLFQTYVVKPYYLKREKQKTLEKMEGLSTQLTEARKAAEKAQKLLEPVSNRKKNRQLENNGLVITKALYGSRQKIKESSELNEIHNDMASQVFDVTIPLNFLVTEAGQLKLHDGIKKSGIMGFYDPCPGDPKLLLVEYTFHGRKYKVMVDDYEALLIPQDIHQF >Sspon.07G0004070-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:9125880:9127790:-1 gene:Sspon.07G0004070-2B transcript:Sspon.07G0004070-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFEENLIPKESKSGSLFGGFPSLEERDKSYDIKDSMTVHCGYAHTFHILYLEIIFIRGKIPGLNTGFDIDEADRSEMQLCQRTVVASAIFGNYCFGFQSSLQIACTLAYKYCGHLLTNFAGNYDVMQQPENISKFSKDTVCFFMFLDEETEAAIKNSTTIGHTKRIGLWRVVVVRNLPFTDARRNGKVPKLLLHRLFPNVRYSIWIDGKLKLVRDPYQVLERFLWRKNVSFAISRHYRRFDVFEEAEANKAGGKYDNTSIDYQIEFYKREGLTHYSSAKLPITSDVPEGCVIIREHIPITNLFTCLWFNEVDRFTSRDQLSFSTVRDKIRSRVNWTADMFLDCERRDFVVQSYHRELLEQRQATTKLASPAASHCALPT >Sspon.04G0001990-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:6174614:6181218:-1 gene:Sspon.04G0001990-1A transcript:Sspon.04G0001990-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQEKPRKEEEELMLEDGGIEESPRRSFDDCGDSEEDRGDDDDEERDRDGDAVGSPRSFQSQKWPQSYRETTDTYTIAASPSFGYLGPSTSKYSLLDLGRSGLGSDLKLPLVSDKADGKQDSFKNLPKTLSSIRDERVSFQLQHTGEIYISQGCSVTQTVFNGINVLAGVGLLSTPFTIHEAGWTGLAVLVCFAIVCCYTGILLKHCFESKDGISSYPDIGEAAFGRIGRLLISTYCVEFIILEGDNLTSIFPKAGFDWLGIHVDGKHFFGVLTAILVLPTVWLRDLRVLSYLSAGGVIATLLVFLSVGLVGATDGIGFHSTGKVVNWSGMPFAIGIYGFCYSGHSVFPNIYQSMSDRSKFPKALFICFAICTAMYGSFAVLGFLIYALLLNPLARSLEELRPEGFLNETSCSIILRTALVASTVCIAFLLPFFGLVMALIGSLLSILVAVIMPALCFLKIAQNKATCSQESSAAPKV >Sspon.02G0031650-3D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2D:90239248:90239583:-1 gene:Sspon.02G0031650-3D transcript:Sspon.02G0031650-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGISVEGDVYSYGILLLEMFTAKQPTDPLFQGGQSIRGYIAAAYPERVISIADQALLQREEKDLDEENLEEFLVSVFRVALRCTEESPRARMLTRDVIRELAVVRDVYE >Sspon.08G0014090-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:57975773:57980577:1 gene:Sspon.08G0014090-1A transcript:Sspon.08G0014090-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEADERAEEARRAKEARRRGALLDPRDISFLTNRAAAYLHMGKVSLAQPHPKAPTPSILYPRWRRGHLQYNECVRDCDEAVKRGRELSADKKLIAKALTRKAAALIKLADCARDYAPAIRALRQSLDEHHSEETLEKLNKAESARNELEAQEQLHEAADHHRERGNELFKQKKYHEAAVHYTQAMKMNPKDPRVFSNRAQCYIYLGALPQGLEDAEKCIELDPTFLKGYVRKAKVQFLMEDYENAMATYQEGLRCDPNNLEGGFHPEDNLRDIQKAFSTISFMQEASEQPLGHTKSERMREFCTEDGLDRFQNAMEQFAIFKKEASEERLRRTESERMARTMEGLYLNQVQQRKETEEFLSRIQQELECFKIQHDEVTKKLQKANEHNERLQRQLSESRGQYDWLLSEHDHLLHDRDRAVREVEELRHKRGRMLLDLVTSMYLEFSPSELEFASKNFSSSLMIGEGAFGCVYRGVLRNMTVAIKVLKPDTLQGRSQFEQEVAILSRSDVYSFGIVVLRLLTGKPPVGIKKTVEDAMMKGDLNSVVDSSAGEWPDVQVQQLAHLALSCTEMSRRCRPDLLGDVWRVVLAMRDAASATIPSASSSRSMSDKNRTPSYFICPISQDVMSDPHIAADGFTYEAEAIRIWLDNGHDTSPMTNMRLEHDELIPNRALRSAIQEWLQQQNTAL >Sspon.02G0009690-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2B:26367225:26368680:-1 gene:Sspon.02G0009690-2B transcript:Sspon.02G0009690-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MITENCQKANKNLFAAIETVPTAKPRIQFLLGQRLRLRRRRGGLAPFPIADRGDGEEARVVPRQLGLPDTVPGRGATERAAEPRHPADLQRVQPEEHLRDAVLRQVAQHRAIASPAPSRRRGHGAHEPIEGGSARLSSAVEGERLGEQQQGPRRLGEQRRRVTPQRQRTHRDGAASVAVPSGTGKPLSVVVVVCSPKATTTALLCCSAALLLSFPCFRLQPALADALLKKAAPFGSPPRFLTKQEVAVSSSLDRDEEEKRRDANSRRERYICPCVRLRALVTQAWCVAGSGCIGGGNGIASAERPGVEAVEEDEWKSGSKLQAAVVAMGLTGFSAVESSRRRKAQIFFQ >Sspon.06G0032830-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6D:3706850:3707377:1 gene:Sspon.06G0032830-1D transcript:Sspon.06G0032830-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVQNIDAQRAMPCRPGPTACRAMPAHVVPPRATVPDGPCLWRARAWPPAQGTARGPSGRPKSTPCPACRERPESSGLGGMGCAKYSYGYQFNGLPLGPGRARAVPRAWVAAQARPKRRATGRATGPRAAWPSIV >Sspon.08G0010930-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8B:44001472:44003407:-1 gene:Sspon.08G0010930-2B transcript:Sspon.08G0010930-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MCADVEECGGGAGSKSKEMEEIVVGPVSDLDFDFTVDDIDFGDFFLRLDDDDGDALPDLEVDPAEIFITDFEAIATAGSDGVMDQEVPSVLPLADAVHIGAVDPCCPGVLGEDNVTTCEEDVEEGKGECNNHAEGEEVVAGNGDSGEGGCGTVLGEKSPSSTTSSSQEAESRHKSSNKHSHGKKKAKVDWTPELHRKFVQAVEQLGIDKAVPSRILEIMGIDSLTRHNIASHLQQKYRSHRKHMLAREVEAATWTHRRQMYAAGGVPNGVKRPDSNAWTVPTIGFPPPPPPPPHPMQHFGRPLHVWGHPTPTVESPRVSMWPRHLVPRTPPPPWAPPPPPSDPAFWHHAYMRGPAHMPGQVTPCVAVPMPAARFPAPPVRGALPCPPPMYRPLVPPTLDTQLQLQTQPSSESIDAAIGDVLTKPWLPLPLGLKPPSVDSVMGELQRQGVANVPPACG >Sspon.03G0001710-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:4274057:4279825:1 gene:Sspon.03G0001710-1A transcript:Sspon.03G0001710-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRTFTKLRDAAVPLAASAVRRCSGGSGSSRIRAEANCPRCDAHMSVQFSLHQLPAPPPAAAGAIDGAQHHNHDGAGVCPACRGAFLFRAQRIDPLRGAFLEIPGGVGADDDDAESGGFADRIKRMLSERPPDEFPPLPQSPPMQMPQYPARRRPRRRQREEGGGGGGGGGGGNGNGGDSSSGGEGTSASPKREWWGGASLGDELPTPREMCRRLDEFVIGQAKAKKVLSVAVYNHYKRIYNANVQKEFAANSGLPDAGHDDQNIVEIDKSNVLLMGPTGSGKTLLAKTLARIVNAGYVGEDVESILQKLLVAAEYNVQAAQQGIVYIDEIDKITKKAESANVSRDVSGEGVQQALLKILEGTVVSIPEKGSRKNSRNDSIQIDTTDILFICGGAFVDLEKTISERRQDSSIGFGAPVRTNMRSSGASCPMVTSSLLESVESGDLVRYGLIPEFIGRLPILVSLAALNEGQLVQVLTEPKNSLSKQYRKMFNLNKVRLHFTDGALRLVAKKAIAKSTGARGLRAILETVLLEAMYEIPDEKTGNERVDAVVVDEEAIGSVDRPGCGAKILRGDGALDQYITRTSVMNLRETNDGLAGELEEAYMLSRIVSL >Sspon.01G0006630-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:17446527:17447774:-1 gene:Sspon.01G0006630-1A transcript:Sspon.01G0006630-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLFLELDAGVQALWHCMSCILDRASVDALFDVFCHCAELNPDPNAERNGENGWFHAEDMSDGGWVHDDEDMVDENGPQFFNANPIGQNGGYDLSRSVFELQINDQRFEDAEEEQESDENGH >Sspon.01G0038700-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:26132693:26134390:-1 gene:Sspon.01G0038700-2C transcript:Sspon.01G0038700-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ERRRHRRVDRPGGGGGRHHLRRVLQRQRQWRQGRGRDVHVGEVHQVLLPARGLQGDVREGAASGGRERHQPDGPGQGHLQGDLRPDREGRARVRRAQRAQERPAHVGRAGQLQGAAGLRHRRPHDHLRQAGRLRDDQLQERRGRPPDVAQLRAHVPGDVPGRVREHDYGRGGRDAQGAQQLAGADGEHPRARGRVLRDARQPGYPELPPPASRGPRRRRALVDARRQAPPAEGLPRREGFQAGRDGGQGRQRRLQDHQRGAGQGAGEERHHVRHVRQGRHVPGVRVRAPERDEPGDDRRRRHQDGDHGRQELHDEHDHQGHGDDGGDRERLPDARHRRGEHGGRQEPPGGGAAGAERHVGVLRVPVRRVPGHAVHAHEPAVLPRLRHHGHHRLHLRQRAGGVPELPHPGAQVHGQPAEHRHGAGPQGEAVGGRHRHPQLHHRAAPGVREERRQAQDLPGAAVEGALAHALHPVGDRRVHRPQGVAAVARRLRPQHLLLRRGGEPRARRRHEQARQVEGRQERHLPARAAEVHRRELHPGPALAPTARRAVHPGAAAAEPVWQDTLS >Sspon.08G0008500-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:28553713:28559542:1 gene:Sspon.08G0008500-2B transcript:Sspon.08G0008500-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VVGDGNCVKKAVAIITDRLKESLHRDRGPFRGRMNSPEPRISQEDEYLGGVQQMPAYEESLGRPDQIRNNISMEPPGYEFDSNGGKVIEHPDILYDDIVFRILCPNDKADGLVATRDGILEMLQADVGVDVRLSDIIAGSDERVVIITSREGPDHELFPAQEAVLHIQTHIVDLGPDKDNIITTRLLVPSSEIACFDGREGSLSDIQRQTSANVQILPREDLPSCALESDELIQIVGEIRAARNALIQVTTKLRSFLYREMSGPIQVGNINVHGAISPVAGSPRGPYQGNDIPMGAYHQASQLPTSWHSKDSGGSASGSFEQGSNINDDIRQSATKRFAVPLVTRSTLEVVIPNSAVASLTMRAGSKLAQISEISGATVTLAEDRPDILEKVVRISGTPEQASKAENLLQGFILSIPDDIPSG >Sspon.01G0019440-2P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1D:70119050:70123493:1 gene:Sspon.01G0019440-2P transcript:Sspon.01G0019440-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTRQASRPQALTAAACEPEISPVPSSVRAALADPHWRRAMDEEYAALLANQTWDLVPRPPGSNIVTGKWIWTHKRRADGSLERYKARWVLRGFTQRPGIDYAETFSPVVKPATVRTVLSLALERSWPVHQLDVKNAFLHGTLTETVYCSQPAGFVDASRPDFVCRLNKSLYGLKQAPRAWYSRFATFLQTLGFTEAKSDTSLFIYHYGGETAYLLLYVDDIVLTASSESLLHRIIASLQKEFAMKDLGVLHHFLGVTVEPRPSGLFLHQRQFTCDILERAGMTACQSCSTPVDTQGKLSEAGGPMLGPADSTAYRSLAGALQYLTFTRPDITYAVQQLCLYMHAPREPHLAAMKRLLRYLRGTLGYGLLLGRSSTAELVVYTDADWAGCPDTRRSTSGYAVFLGGNLVSWSSKRQPVVSRSSAEAEYRAVANGVAEAAWLRQLLAELHRPLARSTLVYCDNVSAVYLSTNPVQHQRTKHVEIDLHFVRDRVAIGDVRVLHIPTTSQFADIFTKGLPSSTFSEFRSSLNVSSG >Sspon.03G0011450-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:29849193:29868879:1 gene:Sspon.03G0011450-2D transcript:Sspon.03G0011450-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVATRSKTAPGPRPRSRPRPDLAAHPSEEELITSFLRPRVVCVAGDRPCASFIHDADVYSAGPGELTGGHAPAVASNGDSAWYFFSAVRAKTRDGQRKARTVDTGEGCWHSEAGAKPVVEEGHGGRVLVGHRQGFSFVTKVDGRRVRSGWLMVELSLDGADADDVVLCKIYFSPRARASAAAASASSGGKRKAAADDKNPVSSARRRRRGRPTEAEAGTAAPNDAEEKDNTQSRRGLADDNSTVTDDPDALWMDDSFFSWWMNKDWLMEEYSIVDRPDEEIQKTYGLDEYLRLLKHHYIDFGCGHSPPPCRPFQSHPSDLELVDSYLRPWVETGVKTSAFIHDADVYAADPADLTRQFAPAVAGDGERAWYFYTPLRHKSVHGKRKTRTVATGGGCWHNEAKSKPVYMVSNGKQHQIGHRQSFSFVKKDTGVRVRTGWLMVELRLLKDGEKPEEEDSALGNRVLCKVYRSPRNPEPSGSGAAAASPGHKAEADDDESSDATLDDDYDDDDSSNASLEAASGPKRRRPDDMESSEATVAAPSRHSKANDEIPGGAAAAPGRSEKAEIGEDSVETSAAAPPRKRKALDDESSGAAALARKKKADGSSSPGAPVSATEMQCPQCGTHLVVTLKRAESKSVTDLAKNESAPGASGAPQRGETRGSSQKNVRFHQFLPPKPPPPTFAAGSSSPKPPPPTFDSHPSYEELMDSYLRHRVVSGTKVGFIHEADLYSADPYQLTQNHLPATARSGERAWYFFTTLRPKGSSKTQMKRNVDTGREGTWVSAGVVNNVLSNGQLIGRRRGFAFHDKVGGNLVKSAWRMMELRLDPNEGGQEEGPSDLLALCKVYRIPNAKAEDESSMAVAKADAEGPATSAVVTPGPKGADEEIGAETAAGPGRKRKAGDKDSGAETVASSPGRQKKADGDGESTDAVTTGEIFADSNDFFGGHSAPLDAPEEAEQEPRDPGVPPFYDFFSDSPNPKKGKLSAAPISPVKEHNYEFSSKKKSNIMKPKTRQSNALEKRAATSFMDVLQAANLKRLPPHITTYFRATVDPPNTSSRQHYCLVCSSPANYTCL >Sspon.06G0004690-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:14965974:14974867:1 gene:Sspon.06G0004690-1A transcript:Sspon.06G0004690-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAISFVLGVRSAHLRGAQLKDLIYALDDRDKEAKGRRASVRLFYRQPNQEELCFTRSITGGGGGSEYRINGSPVTWDQYNAKLRSLGILVKARNFLVFQGDVESIASKNPKELTALLEQISGSDELRREYDELEEQKARAEEKSALVYQEKRTIVMERKQKKAQKEEAEKHLRLQQDLKLLKTEHYLWQLYTIEKDIEKVEAELVEDRESLQQVQEENRSSEHELTAKKKEQSAFLKKMTMCEKNIARKKLEFDKKQPELLKLREQISRLKSKIKSCKKEIDKKKDDHKKHLGELRRLQSDLVEVTEAIEELNEQGQDKSGKLLLADDQLQEYHRIKEDAGMKTAKLRDEKEVIDKKLNADVEAKKNLVENLQQLESRKDEISSQERELQTKLNKILHSIPKLENELTHLHEEHNKIAKERQTSGSRYQNLKQRVDEIETKLRELKADKHESERDARLKETVGSLKRLFPGVHGRMLELCRPSQKKYNLAVTVAMGKFMDAVVVEDENTGKECIKYLKEQRRPPQTFIPLQSVRVKPIIEKLRTLGGSAQLKAVLYAVGNTLVCDKLDEAKALSWSGERYKVVTVDGILLTKSGTMTGGTSGNMEARSNKWDDSAIESLKKKKNELETQMSELGSPRELQRKELAISEKITGLEKKLHYSNVEQNNLRGKLAKLASERSNIEAEIKRLKPGEEELETRIAEREAEVRKLEKKINDIVDKVYRDFSISVGVKNIREYEERQLKDAQALQERKLSLSNQMSKLKYQYVTQ >Sspon.02G0015890-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:46438657:46449218:-1 gene:Sspon.02G0015890-3C transcript:Sspon.02G0015890-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGTLEYLSDLLGGGGSRRRYKKRKQFQTVELRVRMDCDGCEMKVRNALSSMKGVHSVEINRKQYKVTVQGYVEPHKVVKRVQATGKKAEIWPYVPYSLVAHPYAAPAYDKKAPPGYVRRVDAVMPVSSYGGPTAAGPQEERLVTMFSDDNPNACSIIRRRYKKRKQFQTVELRVRMDCDGCEMKVRNALSSMKGVHSVEINRKQYKVTVQGYVEPHKVVKRVQATGKKAEIWPYVPYSLVAHPYAAPAYDKKAPPGYVRRVDAVMPVSSYGGPTAAGPQEERLVTMFSDDNPNACSI >Sspon.07G0009860-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:28902937:28905054:1 gene:Sspon.07G0009860-1A transcript:Sspon.07G0009860-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSGVTALGHALVCWALVFKAGMGSQGAALSSAISYSFNLAMLALYVRLSSACKRTWTGFSTEAFRDLLRFTELAIPSAMMVCLEWWSFELLVLLSGLLPNPKLETSVLSICLNTGALLFMVPFGLCTAVSTRVSNELGAGEPQAAKLATRVVMCIALSTGLLLGSTMILLRNFWGYMYSNEPEVVTYIARMIPVLAISFFTDGLHSSLSGVLTGCGEQKIGARVNLGAFYLAGIPMAVLLAFVLRLNGMGLWLGIVCGSLTKLVLLLWITLRINWEKESIVMLILVFSTIFSSGNQGKRNGVQFISSHSMN >Sspon.02G0035860-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:25436261:25438818:1 gene:Sspon.02G0035860-2C transcript:Sspon.02G0035860-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein SET DOMAIN GROUP 40 [Source:Projected from Arabidopsis thaliana (AT5G17240) UniProtKB/Swiss-Prot;Acc:Q6NQJ8] MEALLKWAAELGVSDSPSPSPPSSSSPSSCLGRSLVVADFPDAGGRGLAAARDLRRGELVLRAPRAALLTSDRVTADDPRIAACVSAYRPRLSSVQILIVCLLAEVGKGRNSVWYPYLSQLPSYYTILATFNDFEVEALQVDDAIWVAQKARSAIKSDWEDATPLMKELEFEPKLLMFKSWLWAFATVSSRTLHIAWDEAGCLCPVGDLFNYAAPDDDTLLEEEDTAELTNCQQKNEMTNSSERLTDGGYEDSNAYCLYARKNYKKGEQVLLGYGTYTNLELLEHYGFLLGKNPNEKTFIELDLDICSVGTWPKDSMYIHPNGHPSYALLCALRLWSTPTNRRKAVSHQIYSGSMLSTENEMGIMKWLISKCEGTLQQLPTTVEFDESLLVLLRTIQNSSNCRTDVKRLGFEQEFAVFLRFHRVELDCSGNNQLPVRLLRSLERWELAVQWRCNYKKTLKKCISYCESLVHELPLQLNQQ >Sspon.03G0022720-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:91775086:91778204:1 gene:Sspon.03G0022720-2B transcript:Sspon.03G0022720-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRFAPRRLHLLRPHHLRLLSAAALASAVPAPPQSPSEWAEAPLASVRPATADGSLFHVSLDLSAHRGLLASHAAAGQFLPFRLPASPYPIFLAIASPPPPPSPAPEALAEPASCFEFLVKRLPGTPSARLCDLRPGDLVHVGASVVGRGFDVARISDASHVLVFATGSGISPIRSLIESGFAENKKTDVSLFYGVRNFQRMAYQERFDDWESRGVKIVPVLSRPDGQWTGERGYVQVLLSFESRVISKCVLKDEEYSKPFIGGAILCGHKQMTEEGYC >Sspon.07G0026150-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7B:52090569:52095833:1 gene:Sspon.07G0026150-1B transcript:Sspon.07G0026150-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSMATKQRLE >Sspon.03G0005560-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:20135412:20135873:-1 gene:Sspon.03G0005560-1P transcript:Sspon.03G0005560-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGMAMAPPPSPPGAHGGMRMRYTHMTFFWGKDSEILFAGWPGARGGMYALALVAVFALAFLLEFLGSRGLDARLHKAGDGQRVAAGAARAAVHALRVGMAYLLMLALMSFNGGVLLVAVAGHAAGFLAFRAGLFGDRRAQVEDTGKEQLACC >Sspon.01G0035120-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:8428833:8429396:1 gene:Sspon.01G0035120-1B transcript:Sspon.01G0035120-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPDDARLAPSAHPHRVVARATTTKPSGTHQLSAERDGGGLPIVAAGAGQRGTLYDSFELNAMVVRLNRVLIGDGGGGGREARRPRKAAGSWINAPKVLFRKIKCAVLGAAGVATADERCSIVVAHLHLGLYVRTLICVGRRLDAEPVISFILCKTLKPNTYSTKIQENLCLPLWQARGWQFVPLFV >Sspon.05G0001700-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:4082291:4089006:-1 gene:Sspon.05G0001700-1P transcript:Sspon.05G0001700-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASAESEKDAAAAAAAEGDEKTEAKGTGSGWELLYCGGTSFDAMGRKVVGGAQGNLVSPTRLRPLVGVDIRFVASGCTACHCVALDAEGKCYTWGRNEKGQLGHGDTLLRNLPTVVSQLSKYKIIKASVGRNHTVVVTDDGKSFSFGHNKHGQLGTGSLRNEIESSPVSCIVAEATNAVCGADFTVWLSSVEGSSILTAGLPQYGQLGHGTDNEYNAKESSVKLTYEPQPRPRAIAAFSGKTVVKVACGTNHTVAVDSSGFVYTWGFGGYGRLGHREQKDEWQPRIVEVFQKHNVLPPNAIVSAGSASSACTAGGGQLYMWGKMKNTGDDWMYPKPVMDLSGWNIRCMASGNMHHVVGADDSCISWGVAQNGELGYGPNGQKSSANPKKVDILEGMHVTSVGCGFGLSLIVVDRANVGDRLDQLEIYDGDTSAEVEKVEVQVTKKASASTNSRANKRKKTKDVSESESEEDDDDDESGDDENGDIEEPKGRRGRKPSRGRGRGAKKATPEAKPSGRGRGRPKKTESPAQKAGTSGSRGGKRGGKRGRPRK >Sspon.03G0010510-3D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3D:33611201:33614175:-1 gene:Sspon.03G0010510-3D transcript:Sspon.03G0010510-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding WRASVPPAPAPPTRTLTNPSRC >Sspon.02G0041550-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2B:76853539:76853877:-1 gene:Sspon.02G0041550-1B transcript:Sspon.02G0041550-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VANHNAGANNKDPGDLHPPRDDIDDLAGQVEGGHLEEEERAGDTPDEHVERVEGRRDDAVDARGSRGLVLPAPGERPDVVQQAGDLGEEEEDEESMSQNLLIMMAHKYTSLP >Sspon.05G0027640-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:54406937:54413313:-1 gene:Sspon.05G0027640-3D transcript:Sspon.05G0027640-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:At5g11860 [Source:Projected from Arabidopsis thaliana (AT5G11860) UniProtKB/TrEMBL;Acc:Q9LYI7] SLSLRVIPLPLLPPRKTPRRPRRRIGATRVSAAGDTPPREAPPPPDPGTHATPSAFAAPPRVTAGALRGHPTHIVRPVTSTSASAQGCLGFGVSGAGAKSHRPVARAGGLELAGFPSSSAPVSGWKLSRKLVLRCFEVYIIITMQTRKKGAAAGDRANPKTSRPSRRATQSSVAEKKVTDLITSSSKKQRPVGITSKKHSIGGRKLLVSCDTTDAENDAPQVAHSIPPDLQHSDGVADDRPSDSIFSPTYHHHKEGGLNNLSKGLEEQTTHVHGSKEASLKSGSNLACNTRDGINDHSCTLNLHSAGQSTLLEVDEYSELGNLSSEVSAIYLAMQQSKLECIDEQSQDSTSTEGYGEAEETEEYDDFDPYSFIKDLPDLSMVVPKFRPVLLPKQTRSCPMMTLVLDLDETLVHSTLEHCEDADFTFPVHFNFREHTIYVRCRPYLKEFLERVASMFETIIFTASQSIYAEQLLNVLDPKRKLFRHRVYRESCVYVEGNYMKDLTVLGRDLTRVMIVDNSPQAFGFQLDNGIPIESWFDDLNDTELLKLLPFLESLVGVEDVRPYIARKFNLREKVATASSPAIDMQM >Sspon.03G0023870-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:72972134:72976138:1 gene:Sspon.03G0023870-1A transcript:Sspon.03G0023870-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sodium/calcium exchanger NCL [Source:Projected from Arabidopsis thaliana (AT1G53210) UniProtKB/Swiss-Prot;Acc:Q8L636] MATRRQHRRGFPLVPLLLSLLAAAAYGRLISDGAPSAPLVSVIRLSGSPPAAGAAAEEKCEQSYGFLPCTTTVLGNLFLVLTYGFFMYKAATYLSTGSELLLEIMGPGLVGGLLLPILGALPDALLVLVSGLSGSKEEAQSQVLIGMGLLAGSTVFLLTLLWGTCVVVGKCDLGPTREAVDLTDTKGFSLTGTGITTDVQTSYAARIMGLSVIPFIIAQFPKMLKTHHGQRLAMLLALIVSFLLVLSYCVYQVFQPWIQRRKLAYAKHKHVISGILKHAQALGRLLNDDGTPNEDVIRKLFHKIDMDESRSLSRAELHAFIVGINFNEVEFDKMDAVDKVMADFDTSRNDIVEEEEFVQGMKRWLNEAKRHMPVGNASKFNEYHERTRQEHDQLIDRSDEAVESVENPGWCITKAVALLLLGAAIAAAFADPLVDAVHNFSNATHIPSFFISFIALPLATNSSEAVSAIIFASRKKQRTSSLTFSEVYGGVTMNNTLCLGVFLALIYFRNLTWDFSSEVLIILLVCVVMALFTSFRTTFPLWTCLVAYMLYPFSLVIVYILDYVFGWS >Sspon.07G0023410-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:15061767:15067953:1 gene:Sspon.07G0023410-2D transcript:Sspon.07G0023410-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAAIAGQQARRRIRPPEPLVMAAAPPTPAAFRCPISLEVMRSPVSLPTGATYDRASIQRWLDSGHRTCPATRLPLASTDLVPNLLLRRLIHLHAATLPPSPSPEEVLSQLAAADAEGEPAAAEKAVRSLAAKIAPEKGKRASVASAVSADLDSAVPALLSFAKGGAGADARVDAVRVLATVAPELVSYLTGDDIGTEKRDRVNMAVEALAAVLSAEGIAEEAREALVLALVAEDLGRIVTTLLGAGANGAAVLEAILTSPVADADAKTAIADRPELFPDLVRILRDAASPAAIRCMAAAVQVRGRPARASMVRAGAIPSLALAAAAAPTAAAESALRLLAEAARCGDGKAAIAADAAEVTAAVMGRMIRVGPAGREAAVAALWLCCCAGGGDRRMREAVASAPEAVGKLLVVMQGDCAPTTSRMAGELLRAVRMEQEKKGVAAAYDSRTIHARRRIRPPEPLVMAAAPPTPAAFRCPISLEVMRSPVSLPTGATYDRASIQRWLDSGHRTCPATRLPLASTDLVPNLLLRRLIHLHAATLPPSPSPEEVLSQLAAADAEGEPAAAEKAVRSLAAKIAPEKGKRASVASAVSADLDSAVPALLSFAKGGAGADARVDAVRVLATVAPELVSYLTGDDIGTEKRDRVNMAVEALAAVLSAEGIAEEAREALVLALVAEDLGRIVTTLLGAGANGAAVLEAILTSPVADADAKTAIADRPELFPDLVRILRDAASPAAIRCMAAAVQVRGRPARASMVRAGAIPSLALAAAAAPTAAAESALRLLAEAARCGDGKAAIAADAAEVTAAVMGRMIRVGPAGREAAVAALWLCCCAGGGDRRMREAVASAPEAVGKLLVVMQGDCAPTTSRMAGELLRAVRMEQEKKGVAAAYDSRTIHVM >Sspon.05G0015320-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5A:58875278:58876124:1 gene:Sspon.05G0015320-1A transcript:Sspon.05G0015320-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LIDLYWTVIPVMLLHYYRGHPASVVDAVRSAVAVGLTWVWSARLTHNYLRREGWEFGKREDWRFNEMRGQYGKTWWWMSFFAVYFSQQVFLIGICLPMYAIHSSNQPWGIWDLVATAACIAGIVIAHFADTQLHKFVTRNEKLKQLGEPTVPTLEDGLWRYSRHPNYFGEQLWWWGLYLFAWNLGQQWMFVGPLVNSLCLGYVTVLVERRMLKQEHRAEAYKLYQKRTS >Sspon.07G0014200-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:51301439:51311019:-1 gene:Sspon.07G0014200-1A transcript:Sspon.07G0014200-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRRRRPRAAHRHRSPFLTAAAVSINIHLLLLLSAVSAASGADDAAGTPAILDTVCAATQAADPEAFDVSFVTTMEMIYQNVTRSGFGAAGSGAGNNTLCYAQSRVKLPHCLPADGGRIYLDGCFLRYGADNFTAAATDASDTAVCSNATATVATGFAAAAAALVRNVTAAAPAAKDYYYAASSASAASAYAAAQCWRSLNASACAACVASARDRVLRECLPAAPEGYGLNAGCVVRYSTRPFYLPANAAAGGGSSGRRTAVIVVASVFSALAVMGIAFIWTRMRSRSRNDLLHDDMDGSGEMIRAIAASQLSFRYGELRAATDEFSQMNKLGQGGYGRVQEDRAGLGAEIWRHYNAGTLMELLDPNLREQCTEEDALRVFHVGLLCAQASPNLRPPMWKVVEMLSGRDHKMVLPRPTQPPFINVKGSKNAKSDDTSGSTSFLSNSDKSPFSLNQLSVSGVQASITVTN >Sspon.05G0002890-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:8620811:8629924:1 gene:Sspon.05G0002890-1A transcript:Sspon.05G0002890-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFWHVPGLSAASPVDTILDKENFKLEDLLDEDEIIQECKALNTRLINFLRDRVQVEQLLRYIVEEAPEDAEKKRIFRFPFIACEIFTCEVDVIMKTLVEDEDLMNLLFSFLKPDHPHGTLSAGYFAKVVICLMMRKTLPLVSYVQGHPEIVSQLVDLIGITSIMEVLIRLIGADEAMYSSYADSMQWLDDIQVLEMIVDKFSTSDSPEVHANAAEILCAVTRYALQHLLQRFPVQGNCEFFVGRLFQHAFEDSRPKSVLVHSLSVCISLLDPKRLVSASYQAFRSQLSHGTLVTASPETVNGMLDSLGDLLKLLDVSSAENVLPTTYGSLQPPLGKHRLKIVEFISVLLSIGSEAAETRLIQLGAIKHAIDLFFEYPFNNFLHHHVENIIGSCLESKQDQLIGHVLDECKLVTRILEAEKNSALSSDLTKHTLSSEGRSPPRIGIVGHMTRIANKLLQLASTNVMVQSHLQQNSDWIEWHASTLTKRNALENVYQWACGRPTSLQDRGRDSDDEDFRDRDYDVAALASNLSQAFKYGIYSNEDIDEAQASLERDDEDVYFDDESAEVVISSLRLGDEQESGSLFTNSNWFAFEEDKALNDGVVSSEASPSPNSEISTLKEDDENDEVILGEVIDDTKGSEPPLPVSNKDTNKESGHTGLANGTIDKLEDDIRPPTPDVKESQPEFVEWKEEEAEPGDVAEKDTAVLDMEVESEKQLDSMDDVMLCDTKLGEEKKSDGMVGSSVPEAEAASPVSSDIDSIKHPEPVADSAVSEYPMGEQNPEK >Sspon.07G0011990-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7A:42899307:42899621:-1 gene:Sspon.07G0011990-1A transcript:Sspon.07G0011990-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGAGAGAGALSGLAVCATLACNVASVVFFLRGHVDGQRPRRCAARLGAGGVAAQRVEEGEDGEALDRDVALAPDAVLNLGPCVPARPFICSPRCVAGSRQR >Sspon.05G0031280-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:3983796:3987472:-1 gene:Sspon.05G0031280-1C transcript:Sspon.05G0031280-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKAAVLLVSVLLLAAASLPRAQAQNGSLAVGQSLQVGQTLVSVQGIFVLGFFTNGDNTYLGIWYNYINPQTIVWVANRDNPIKGGNGSLTFIQSTLVLLDTRRGSVTVWSTGSLGTNTPQAFLLDSGNLIINDTTMSGSTPGRVLWRSFDHPCDTFLSGMRIGYDMLAANNGLLQLRSWKSESDPSPGDYTISMDPRRMPELLLFNGTDLTYRTGPWNGQGFNGQPYLKPTNDVVFNMDVREGSAYYSFTALNTSVQWRLVLMPDGIAYRWRSNSDKKWEYYWHWPQSMCDSYAFCGPNAFCSTAVCQCLPEFVPTSPREWDQRNFAGGCVRSVSPFSCSSANGFSRLSLVKVPDTLNATLVRGKSLDGCRQLCLGNCSCNAYALLGGSDCVVWSGDLLDTVQLTIGIDDLYTRVSHNDRSHTEHEHAPGPKLTAHLEQSLDLDAIRVATNNFAEQNSIISTRSKTIYKGTLPNVGDLAIKRLNTKAGLEELKNEVKILARLDHPNIIRMMGSCMGNNDNIICYEYMPGGSLDAILFDIIIIRIVSFLQYAYIYDGRLTSLELNLRRAAEDDNCGVPDWPSRLHIIQGICEGLLYLHEHCRMVHRDIDPSNILLSDGFSPKISDFGLATLLDQGQSEGKAESFRGTRGYNSPELFYHKSYSVKSDVYSFGIVLLEIVTGCKAASFSREDADDLPTYVRQHWTQGTAEQLKDPRMGDAPRGEVGRCIHIGLRCVQDDPD >Sspon.08G0004720-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:14393165:14393992:-1 gene:Sspon.08G0004720-2C transcript:Sspon.08G0004720-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDGGGRKQDTTTAASKAQREAAAAGVSVHEWLQHVKASFLGLVGKVTARSEQEAAEADMRAAKAQVEATDEAEAKKKRLADG >Sspon.02G0042140-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2B:81267764:81268552:-1 gene:Sspon.02G0042140-1B transcript:Sspon.02G0042140-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNPKGSKMLQFINYRMRVTIQDGRQLVGKFMAFDRHMNLVLGDCEEFRRLPPSKSSKTTGDREERRTLGLLLLRGEEVVSMTVEGPPPPDESRAKAAAAGGALAGPGVGRAAGRGVPTGPLLQAAPGLAGPVRGVGGPAPGMMQPQISRPPMPNLSAQPVSYPQVVRPPQGMPPPMRPGMPPQMPMQFRPPGAPPAPFPGAPQQFMRGPPPMGPPQVRPGMPGPPPPGMRPGMPPPPFGQPRPGMPPPPPGPQQPGQNPQQ >Sspon.05G0003690-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:11062467:11069096:1 gene:Sspon.05G0003690-1A transcript:Sspon.05G0003690-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQYLASLGGGSGIEYEILQTNPILEAFGNAKTLRNDNSSRFLCAGAPVSLREKLNLKKVDEYKYLKQSCCYSIAGVDDAQMFRTVTEAMNIVHISKEDQENVFAMVSAVLGWGMSPSKTVAELLGCSIEDLNLALSKRHMKVNNENIVQKLTLAQATDTRDALAKSVYASLFEWLVEQINKSLSVGKRRTGRSISILDIYGFESFDKNSFEQFCINYANERLQQHFNRHLFKLEQEEYVEDGIDWAKVDFEDNQDCLSLFEKKPLGLLSLLDEESTFPNATDLTFANKLKQHLDSNSCFRGERGKAFAVRHYAGEVAYDTSGFLEKNRDLLHMDSIQLLAKCKTSLPKMFASKMLAQSDNSMSVPYRSSAADSQKLSVAMKFKGQLFQLMQRLESTTPHFIRCIKPNNLQLPAIYEQGLVLQQLKCCGVLEVVRISRSGYPTRMTHQKFARRYGFLLLEDVASQDPLSVSVAILHQFNILPEMYQVGYTKLFFRTGQEAEGDQILIKASVLAELQRRILKAEATVREKDEENEMLHQRLQQYENRWLEYEQKMKAMEEMWQKQMRSLQSSLSVAKKSLALDETPRMSDSSVDQSWESNGNHVSSGSQLVPRTGGREMNAGLSVISRLEEEFEQRSQIFADDAKFLVEVKSGQADASLNPDMELRRLKQNFDSWKKDFSGRIRETKVILNKLGNGNESSPNSVKRKWWGRLNTSKFS >Sspon.02G0001270-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:1071654:1074287:-1 gene:Sspon.02G0001270-2D transcript:Sspon.02G0001270-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AMAEEQPNPNPSPQPQQQEEREVVVEQQQEPGRATKLRYLDFVQVAAAQAAVYLAGLYGLAKDHAGPLRPGVDVVESTVKGVVGPVYARFGGLPLDVLAFVDRKVSRVARPVTDPPLLLLLLRWPGSRTSTAAWFCASLSAAVSRDTNLAGGWTHSRRVEHGAVVLRPFGRRLLLTDAQHQWPQVDDTVHEVDKHLPGALKAASARAYAVARGMPDVARELAAGAQQSGVKGAVHAALARAEPVARDVYGRVEPVARDLYVRYEPAAEHLAVSAWRSLNGLPVFPHVAQIVVPTAAHWAEKYNRAVVAAAERGYAGAKYLPAIPTERIAKVFSSSPEAEPLAESQ >Sspon.06G0021040-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:11875398:11876168:-1 gene:Sspon.06G0021040-1B transcript:Sspon.06G0021040-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPSLLSRVASPLLTTACTSTASSQQQAATPHPQALRLPPQPGNNYKPVATTLVAAAAAGLLLLSPAPAPSRADPEFTVYYGTAASAANYGGYGGNASKKDTAEYVYDVPEGWKERLVSKVEKGTNGTDSEFFNPRKRTEKEYLTFLSGIRALAPLSTVLDNLALSDVGLQDQIATADDVRSAERADGAGQVYYEYEVAGAGAHSLISVTCARNKLYAHFVTAPNAEWSRDEAMLRRLHESFKTIQPGAPPPATET >Sspon.08G0005250-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:12624457:12626212:-1 gene:Sspon.08G0005250-2B transcript:Sspon.08G0005250-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding TMEAGGGGAEEEQVMSEVHLGCPPHFSGLHISRFSFSSRPPGPSGDNDGGGGGGSELVAATSGSVRTDCHLLAIQHGVTSSLKSVGLQVWKAALLLADFVLHKSFSSSNFDGVTAIEIGAGTDRGTDILDNCLANVHTNSGMLKFDEAKVCVRELDWKTSWPPPVGTYDPSD >Sspon.05G0007780-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:25853334:25858325:1 gene:Sspon.05G0007780-1P transcript:Sspon.05G0007780-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPDQPVISLRPGGGGGPRAGRLFSPAFATSGSGDFLRPHGGGASGISKIGDSHFEPRERVRYTRDQLLELREIVDVSEDILRIKQEIDVELHGEDQSWVRNDSAIQGQAQAQAQAQAQNRYAETDNRDWRARSAQPPSANEEKSWDNIREAKEAYASSGRQQEQANRQDQLSSQFASKAQVGPTLLLLRLKCPGQPEEAISRRKKDILNKLTPEKFDLLKGQLMEAGITTADILKDVISLIFEKAVFEPTFCPMYAQLCSDLNEKLPTFPSEEPGGKEITFKRVLLNNCQEAFEGASSLRAEIAKLTGPDQEMERRDKERLVKLRTLGNIRLIGELLKQKMVPEKIVHHIVMELLGSGPDKKPCPEEEDVEAICHFFNTIGKQLDENPKSRRINDTYFIQMKELIMNPQLAPRLRFMVRDVIDLRSNNWVPRREEIKAKTISEIHSEAEKNLGLRPGAASVIRNGRSSPGGPLSPGGGFPMNRPGTGGMMPGMPGSRKMPGMPGLDNDNWEVPRSRSMPRGDSIRNQGPLLNKPSTVQKTSSINTRLLPQGSGAALIGKSALLGTGVPPSRPLSFTPGPAPAQTTQSPKPLSAAPAVAPAPEKPASAPKGNSAELQKKTIALLEEYFGIRILDEAQQCIEELQSPGYYPEIVKEAVNLALDKGTNFVDPLVRLLEHLYTKKIFKTQDLEAGCLLYGALLDDIGIDLPKAPTQFGEIVARLTLLGALRFEAVEEVLKKMEDTFYRKAVFNAVMKTLEANPSGQAILGSQAAVIDACNSLLK >Sspon.02G0029380-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:98327494:98331592:1 gene:Sspon.02G0029380-4D transcript:Sspon.02G0029380-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHPSWVGVSEYVSEYWSVIIATVVFAFVGAVTIYYTVNQLNKNISLSLIKAIKARAKRYKKWKDKVPTASHIWRKEVIPRSKGLKCCVCLKSVSPPQYSGGTIHQCDICGVAAHPSCSGNAHKDCKCVSMAGLDHVLHQWAVQWIDSADHSEEDSFCCYCDESCNGAFLAGSPVWYCMWCQRLVHVDCHSSLAKETGDICDLGPLKRLILSPLCVKELHWTGAGILSSITNGANELASTVRETIRIRSKRYKRGSASADSDSSGAIELPSDVEGDSQEVNSAAKRTDDQANGELNEVHQSSESEKDKQRVPENTATTNRSNVQHENSHVQNNQKYEIINVPSDSRPLLVFINKRSGAQSGDSLRQRLQILLNPVQVFELSKQQGPDVGLALFRKVTHFRVLVCGGDGTAGWVLDAIEKQKFETPPPVAILPAGTGNDLARVLCWGGGLGVIEKRGGLFSVLQDVEHAAVTVLDRWKITIKDNQGKLMASPKFMNNYFGVGCDAKVALDIHNLREENPERFYSQFMNKVLYAREGAKNIMDNTFDCFPWDVKLEIDGSKIDIPQASASHCDFSALFMFWLILCDLTFYSFDLQDSEGILVANIRSYMGGVDLWKNEDDVSDTYLPQSMHDKKLEVVSFTGMLHLGRLQVGLSRAKRLAQGHHIKVEISTAMPIQVDGEPWSQEPGTIEVSHHSQAFMLKRVSEEPLGHAASVMADILENAENSGIISASQKRTLLQEIASRLL >Sspon.02G0051270-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:75216367:75217541:-1 gene:Sspon.02G0051270-1C transcript:Sspon.02G0051270-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTRCLCTTLWSALNLELMLLDPQTLALHQVLWNCQDLNNIQGRKQATVSRSSIEAEYKSMANATAKIIWLQSLLAELGVKVLNLHACGVTILELHISL >Sspon.05G0029490-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:75636928:75639841:-1 gene:Sspon.05G0029490-2C transcript:Sspon.05G0029490-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding YMSLGGNFFHGHIPPSITNASELNILDMSRNKLTGVIPSSIGKLQKLYALSLEFNKLQARNKQDWKFMDSLANSTELQVFTVLSNHLEGNVPNSLANLSSQLRFLYLSKNELSGDFPSGVAKFRSLVGIELSMNRFTGVIPEWLGTLKYLQRLTLGYNLFTGLIPSSLSNLSNLNVLHLASNQLDGHIPPSLGNLQTLEKLYISNNNLHGTMPKELFRIPTLLKIKLYSNNLDGALHPDIGNAKQLTYLDISSNSLSGEIPNSLGNCESLEDIKMDHNVFSGSIPTSLGNINNLQILNLSHNHLVGSIPVSFGSLKFLQQLDLSFNQLEGEVPTAGIFRNLTSLWIDGNIGLCGGEPAMHLRPCPAMHLNSAKHKHFTVLKVLIPLTSIASLVIVIFVREVTIAGDVYSFGIVILEIILRKRPTDDMFKDGLNIVKFVEMNFPESILRIIDPDLLEDERDVSQVTSVAMKMKENSLECILSVLKVGLCCANPSPNERMDMQGVAARLHGIKEAYLRGN >Sspon.03G0009640-3C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3C:40944696:40950743:-1 gene:Sspon.03G0009640-3C transcript:Sspon.03G0009640-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DPLQQRKQDVLQGTI >Sspon.01G0052860-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1C:27449925:27450129:-1 gene:Sspon.01G0052860-1C transcript:Sspon.01G0052860-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RVSGIRGFGFG >Sspon.02G0037300-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:32244584:32250425:-1 gene:Sspon.02G0037300-1B transcript:Sspon.02G0037300-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GELEFLWSHGVASDEEWAAILGNCTFTPSDDWPCVDAALAVRRGNIDDYDIYAPVCLQSENGTYFASSHSLPGYDPCSNYYIEPYLNKHAVKKALHARLDTNWTGCSEDLGWDDAPESMVPIIKRLVNKGLKVWIYSGDFDSVCSLLATRYSVNDLNLTITTKWHPWYTPDSEFLNLDVIQACSSVGYGAMIEIGPFRINSDNKTLSRNENAWKSGETSYNISACSVLVYFRLGLVKLDTVGNPYLDDNKNTKGQIDYLRSHGVISDEVWANITKNCKFSPADGNACSDAMALYDSGYISGYNIYAPVCIDEPNGNYYPSSNVPGIDPCSNYYIQAYMNNPLVQKAFHARMTKWSGCTDLHWKDAPVSMMPTIKWLQGHGLPVWLYSGDFDAVCPLTATRYSITDLELSVMEPWRPWTATI >Sspon.02G0004690-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:17786964:17787279:1 gene:Sspon.02G0004690-2C transcript:Sspon.02G0004690-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GHGVDAVALLAAQEALDPHAFRAERREGHLHPVRGRQVVPLRGRADPLVHPRRVVPPAAAAPQA >Sspon.01G0022700-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:80080350:80082565:1 gene:Sspon.01G0022700-4D transcript:Sspon.01G0022700-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MCPLAPLQALAALLRFAPLPPPPPPPPASLSRCRHGQKCYRQIKNKPYPKSRYCRGVPDPKIRIYDVGMKKKGVDEFPYCVHLVSWEKENVSSEALEAARIACNKYMTKSAGKDAFHLRVRVHPFHVLRINKMLSCAGADRLQTGMRGAFGKPQGTCARVDIGQVLLSVRCKDNNAAHASEALRRAKFKFPGRQKIIESRKWGFTKFSRADYLKYKSEGRIVPDGVNAKLLGNHGRLEKRAPGQAFLEAVV >Sspon.07G0016760-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:82717238:82722026:-1 gene:Sspon.07G0016760-4D transcript:Sspon.07G0016760-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAPARRPPPRLPARITTAAPTLLLLLILLLLLSPPPAATAAQHHRHHPTGDGVVISQADYQGLQAIKHDLSDPYGFLRSWNDSGVTACSGAWAGIKCVLGSVVAITLPWRGLGGTLSARGLGQLVRLRRLSLHDNAIAGPVPASLGFLPDLRGVYLFNNRFSGAIPPSIGGCVALQAFDASNNRLNGAIPPAVANSTRLIRLNLSRNALSDAVPVEVVASASLVFLDLSYNNLSGPIPDAFAGSDKSPSSTVSKLTLDDDDDSSSDNKEAITGSYQLVFLSLAHNALDGSIPASLTKLTKLQQLDLAGNRLNGTIPAQLAALSDLKALDLSGNALAGEIPPGLDNLTATLQSFNVSYNNLSGAAPASLARKFGEPAFTGNVLLCGYSASTPCPAPPSPAPASPAEEPPSRGGRKFSRRELVLIIAGIVVGVLVLLLLCCLLLCFLSRNKRSSGGTAGTRSGKQAAAKEAGAGGTGAAAAGRGEKPGSGAAEVESGGDVGGKLVHFDGPLAFTADDLLCATAEIMGKSTYGTVYKATLEDGSLVAVKRLREKITKGHKEFEAEAAVLGRIRHPNLLALRAYYLGPKGEKLLVFDYMPNGSLHSFLHVSMRTETLHFDSETDTPNFECLLMMICSAHAPNTPVDWATRMTIAKGTARGLAYLHDDMSIVHGNLTASNVLLDEQHSPKISDFGLSRLMTTAANSNVLAAAGALGYRAPELSKLKKASAKTDVYSLGVIILELLTGKSPADSTNGMDLPQWVASIVKEEWTSEVFDLELMRDTAAAATAGDELMDTLKLALHCVDPAPAVRPEAREVLRQLEQIRPGPDGGAGPSEEGGAAHAPAASASAGDD >Sspon.08G0000440-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:1946533:1949215:-1 gene:Sspon.08G0000440-1P transcript:Sspon.08G0000440-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAPTGCFKCGRPGHWSRDCPSSSAPAAASDADAPTNPSRPSAASRFNAKPRPPAAAPEGDGTDGGAPPQPQDGKNTKKKKERATRPKLTPDLLLSDGGIGFVLRYFPKAFKPHARPGHEVEDLGNLIKLYTDWHSRLIPYYSFEQFVRKVEKLGAGNRVRRCVSELRDRVARGGDPTLLHEPPVYEDMPEGEPDGTAPEDPIFGTEAPLSDNHVTDPVQEDADPPMESNDVDPMEEDLLNEIYEKAADEPWIPAGEGTNKEIPEPSAPKEVNSPAQKPQDGAASDGPKRAKIELTEEQKARMEANRLKALERAAARARASQPT >Sspon.02G0038450-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:46462457:46463110:-1 gene:Sspon.02G0038450-2C transcript:Sspon.02G0038450-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMWWWAPLPAWLSSSALWFLVVNAVVAAVAVLSRARPPLPSPRRGSGVTRRASSAVLHRLRSFSIFSFPSTCFNTTPLPHPDAASAAQETEESPRTPTTTAKPLPRALPLPLPPSPLSSSGRAPTPDADGDDGEPSGMSMDEAYALALEARRRPDREREEEARRSEVDAKAEEFIRGFKEDLRQQRLNSIFNYTQMLKQRALGGAAASRRQPDQL >Sspon.01G0011670-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1A:31791448:31793743:-1 gene:Sspon.01G0011670-1A transcript:Sspon.01G0011670-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVWWQKLQSRRCCSCGNCDISSGCRALVAFFLIKRKSVRRQQGCDPEKNEHLSPLASRKIKQLRPIRTVSLSPTTKELKKNVSMNLKPPSKIDLHKSFDENDPTNKPAAEKVNVSSIRATAYTVADLQVATKSFSADNLVSEGRFGRVYRAQLCDQKILAVKKINFSAIPGHPSDFFIELVGNIAKLNHPNLSELDGYCSEHGQCLLAYEFYKNGSLYDLLHLSDGYSKPLSWNNRVKIALGSARALEYLHETCSPSIIHKNFKSSNIMLDDDLNPHISDCGFADLIPNQELQESDDNSGYRAPEVTMSSQYSQKSDVYSFGVVMLELLTGRKAFDSSRARSQQSLVRWASPQLHDIDSLDQMVDPTLEGLYHAKSLSRFADAIALCVQPEPEFRPPMSEVVQSLVRLVQRASMGTALSSEWNSCLFDES >Sspon.01G0034680-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:4884995:4897172:-1 gene:Sspon.01G0034680-1P transcript:Sspon.01G0034680-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGVASAAGLGIWSRRRDEITFDRLQKVPSRALCFGRGLSSIWVLGIRGRFDSKRFCRECRRNVIREFKELKELKRMRREPRCTSWFCVADTAFQCEVFEDAVVVDWRQCLSEPDESYDHFEWAIGTDEGESDIFGFENVGMNAQVHRNGIDLDQFEDYFITLRAWRLDGQCTELCVKAHALKGQSCVHHRLVVGDGFVTMTKGESIRNFFEHAEEAEEEDEDDAMDRDGNDFDGDGAHPQKHAKSPELAREFLLDAAAVIFKEQVEKAFREGTAQQNAHSVFVSLALKLLEQRVHVACKEIITLEKQNKLLEEEEKEKREEQERRMKRRTREREKKNRRKERLKEKENNKGKRLVEPKSPDDISSSAPSNSSTSINDYSTNTLDSRDSAMEEEDSAEVVNLCSPDSCVNQSSCTEINGENSVQCNAVTEFSPMDSSDLCTSDQSKSSRQSPRSREDFPRDQSCWYDDCQDESGRIGESQWKSRERTRSIDRSCNTASTSNNRTRESTHTILAAVAIRKTMELWTAVSCQQLDLAER >Sspon.04G0017450-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:72050379:72053103:1 gene:Sspon.04G0017450-4D transcript:Sspon.04G0017450-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEQQERVSKSSSSSISSSTQESEEELTIGTLITEATNTTNSAKSLGRRLSHLDSIPHTPRVNGKIPDFNNATIDHESLLERLGTYGLAEYQIEGDGNCQFRALADQIFRNPDYHKHVRKAVVKQLKEFRKHYEGYVPMEYKVYLKKMKRSGEWGDHVTLQAAADRFAAKICLLTSFRDTCLVEIVPRDATPTRELWLSFWCEVHYNSLYAVEVLGSLPA >Sspon.07G0000560-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:127715:131887:1 gene:Sspon.07G0000560-2C transcript:Sspon.07G0000560-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSATAALLDSASASASSSANGNAKEMPARHLAHRTAHNMSSSSLRKKSDLALLRKVPCATLRRLLDNFQEVLLATKLALLFPAVLLALAARIFHFGQATPLPPPTEWVFVLSLIGLVPLAERLSFLTEQVAFYTGPTVGGLLNATFGNVTEVIIAIFALYEGKVVVVKCSLLGSVLSNLLLVLGTSLFLGGLANLGTEQLYDRMQVDVSTGLLILGVLCHSLPLMLRYAVSSGEHAVSSWDSELELSRACSIVMLLAYVAYLFFQLKTHRQLFEPQEVEDDGDDSVSQDEAVLGFSSAMIWLGVMTLMTAVLSEFVVSTIEAASKSWELSVSFISIILIPIVGNAAEHAGAVIFAFKNKLVGNLRSSCTLVAMLW >Sspon.01G0008990-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:28336092:28336607:1 gene:Sspon.01G0008990-2B transcript:Sspon.01G0008990-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Photosystem II repair protein PSB27-H1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G03600) UniProtKB/Swiss-Prot;Acc:Q9LR64] EMRAASSPVPAAVLAAPSAAAVVVKPLPRAAANGGGGGTGRRDVLAGTGLGAALVLALGPVCGAARAADEEYVSETKEVIGKVRSTISLDKSDPSVADAVAELRELSNSWVAKYRREKSLLGRPSFREMYSALNAVSGHYISFGPTAPIPAKRRARILEEMDTAEKALLRGR >Sspon.08G0009960-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:43404137:43405600:-1 gene:Sspon.08G0009960-1A transcript:Sspon.08G0009960-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATRATDSFTAPARPLILVIPYPAPGHMIPLLDLAGLLASRGLRVTVVATPATAPLLAPLVDTHHDGAVQALVLPFPSHPALPAGVESAKDSPPTLFASLIVAFAELRGSLGSWARERSDTPDRVVAILADHSCGWAQPLAADLGVPGIVFSPSGVYGSAVLHSLFRRAPRREDESDEESQISFPDLPGCPAYPWRQLSLLYRTYKEGDEISEAVRRNFLWNLEGSAFVSNTFRRLEERYLGAPLADLGLRRVHAVGPVAPAPDATGDRGGETAVSAADLCAWLDRFPDDGSVLYISFGSMAVLRPPHAAALASALERTGLAFVWAAGKTAPIPEGFEERVAAAGGRGRVIRGWAPQVAALRHRAVGWFVTHCGWNSVLEAAAAGVAMLTWPMTADQFVNARLLVDELGAAVPVSWGGLKATPSADDVARVLHTAICGNHDVAARAKELAAEAAAAVLEGGDSWRELEELVRELASESITKIGSDC >Sspon.04G0007340-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:21807581:21818808:1 gene:Sspon.04G0007340-4D transcript:Sspon.04G0007340-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLSDSAGAGGGGGGRAGAELMVPQFLLKALHAILAVRSPRPHAPPPPAASAAFRRRDRWFHLPLHAPPPPPAAEHLPEPSPGEPLVVDVYLAPSGGGGLEEVLERWTVACEPWPSPAAAAAVGEGLAVNRAYKRCITLLRSLYAALRFLPAYRAFRTLCSSSQVYNYEMGHRVGSFATPFSRAEEAAMRTKRLAPVETQLGRLVVSVQYLPSLAAFNFEVTSLASAAIITDYVGSPAAERMRAFPASLTEAAGPPFPQPSRRPNSWASPAPWPHTLGQPAKFSPPPAHYASPTPSPPTFGYLHSRFSGETAPMSIPQAGGGRGTVHHRNMSEPSRAFMFPPPSPKNVRGEAGMQESPTETSRSFKRADGIRMGDLYANLPSGSKIKDSRDESGRFSGVFSSSGSPRLGFSRSSSRLSMQDDTDDADFPFAVDDVDPDSRPGSSGGKDTGDQAGSSSHKSQDAAVGYLVHLLKSARPLRDSSYSSHTSRGESIEAGSTSSFMSRRTSDALEEFESFKEIRENLLARSRSRLQDSLDKP >Sspon.05G0010240-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:24108223:24109760:-1 gene:Sspon.05G0010240-1P transcript:Sspon.05G0010240-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MACLGLGSPIHRHPVRHATPMLKLGKILHCWGFHVTFVNSEYNHRRLLRSRGAGSLDGLPGFRFATIPDGLPPSDADATQDVPSLCRSTEETCLPHFRALLQGLNASPDVPPVTCVVGDDIMNFTLEAAREIGVPACGYMGYRYYRTLIDKGIFPLKGADERVLGHADTPVECAPPGMTKHMRLKDFPSFIRSTNPDEFMVHYAIRVTGQIAGAYAVLLNTFDELEQEALDAMRAVIPPPASINTIGPLALLAEQIVPKGGQLDSLGSNLWKEDVSCFRWLDGRKPRSVVFVNYGSVTVMTNAELVEFAWGLANSGHDFLWIIRPDLVSSDAAVLPPEFLEAIKGRGLLASWCPQDAVLRHEAVGVFLTHSGWNSTLESLCAGQQTNCRYKCTEWGVGMEIGHDVRREVVEEKIREAMGGEKGKEMRRRAVEWRETAVRATQPGGHSYANLEKLVTDVLVSGGNGKSS >Sspon.06G0009140-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:37871616:37872215:1 gene:Sspon.06G0009140-3D transcript:Sspon.06G0009140-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKRSSEERAAAEEEQEQPRLRGVRKRPWGRYAAEIRDSARKARVWLGTFDTPEQAARAYDAAARRLRGPRATTNYSYPDAVAVPAPPCTAPAASASCGTESSSSSSSSRDGDHPLAVTVAVAAPPAAPPSSLDLSLGLFPAMVAAQPYLFLDPMPALAQLLPMKREEERSCYSGSSSPSVLGLGLDLNLPPPAEVVM >Sspon.02G0018660-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2B:61794856:61795855:1 gene:Sspon.02G0018660-2B transcript:Sspon.02G0018660-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPVETMNPSSEVLETTVTSVFHPGKLAVELISVDHNTDPTPPIPVLIAAPKDLGTYPVAMLLHGFCLQNHFYEQVLKHIASFGFIMVAPQFHIGILGMATGDTEDIAAAAQVTDWLTKGLPSVLPKGVEPNLSKLALVGHSRGGHTAFSLALEHGKTNLKFSAFIGLDPVAG >Sspon.04G0004580-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:8993964:8997589:-1 gene:Sspon.04G0004580-2B transcript:Sspon.04G0004580-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKLPWWRADASELVAVTAMGVWEAVLAGGGRRFIKRKDSDAGETGRALEELRSSLYNEMHSSEGAKRQQQRFCGPSVALTFNFAVAVGIIMANKMVMGSVGFKFPIALSLIHYAVAFVLMATLKTLSLLPVAPPSKSTPFSSIFALGAVMSLSTGLANVSLKHNSVGFYQMAKIAVTPTIVAAEFMLFQKKVSSQKAVTLAVVSFGVAVATVTDLEFNFFGACVALAWIVPSAVNKILWSSLQQSGNWTALALMWKTTPITIFFLLTLMPLLDPPGLLLFNWNFRNSCAIIISALFGFLLQWSGALALGATSALSHVVLGQFKTIVIMLSGYLIFGSDPGITSVCGAVVALGGMSFYTYLGLKKDSATTGKKAPSRQNSFMGRPKVATDSDDADSEQEDTV >Sspon.04G0008150-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:19967069:19971974:1 gene:Sspon.04G0008150-2B transcript:Sspon.04G0008150-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding YLVMGPVVVHGARRVLARGWGDIDLTFALILPSLLLRMVHNQIWISAARYQTARSKHRIVDRGIEFEQLAVGSYRSLTKKMDMDMHARRDDQIILNGLLFYVGYLTIPSARHLPAWRTDGAVVMALLHAGPVEFLYYWFHRALHHHFLYSRYHSHHHSSIVTEPITSVIHPFAEHMVYHFLFAIPMLSTIYIGNASVLGIVVYIAYIDFMNNMGHCNFELVPKWMFQVFPPLKYLMYTPSFHSLHHTQFRTNYSLFMPFYDYIYNTMDKSSDQLYESSLKGTEETPDLVHLTHMTDLQSAYHLRIGFASIASRPSDSSMWYMWVLWPVAWLSMVLAWVYGSSTFVVERIKLRKLKMQTWAVPRYNFQYGLSWERESINDLIEKAILDADARGVKARCLPQCGLFLICTFWAQAKQLNGGGELFRQKYPKLGVRLVDGSGLATAVVLKSIPHDTKQVFLHAGPSKIACAMAFALCERGVQVIMNPKKEYDMLKSQIADSKASYLKHSSNHTPQIWLVDNIDDKEQKMAPQGAIFVPISQFPIKKIRKDCAYLSTPAMKIPETMQNIHACENWLPRRVMSAWRIGGILHALEGWTMHECGDAMMHTEKAWSAAIRHGFIPLTKA >Sspon.03G0003600-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:9291261:9293131:1 gene:Sspon.03G0003600-1P transcript:Sspon.03G0003600-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARNATEDDIEDVPCSDAESPILAEYHFTVPALHDGPMQGTARHERRLLDFLEATPSVQWLKKINLCSPLIKFRLPSTSVHGSLHVQFIRTINWSSVFTLCKKWLKHPMNIALLIWLLCVGAAGGMLVLLMLGLLNDAFPSKPLRNYWIEIDNQILNALFTLMSLYEHPNIIHHTVLLCRWLPEDAAKLRKVYCKNGICRPNERAHISFVVALLHITCLCQYADCGLYWGYPSRSRSDFADNFFFILGIAAPVFAGVYTVYSPLGRGNDALSDEETNESDMVQVESPETGTVVSNPSWAGGLFDCSEDPTACYLSFLCTFCVFGWNMERLGFGNMYVHTVIFLLLCVAPFWVFNITAMKIHNYVLGDFIGAAGIVLCFFGLLYGGFWRIQMRKTFGLPRSSWCFGSASLTDYTQWLFCWPCVLAQEVRTGNLYDAKNGSFCEKLMDGDDVESGPGSMVTAELPVSNGVEEGNGIDVKLAVDGEMIPPTQPVIECGEREEIDSEVVANGSIQLKS >Sspon.01G0031240-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:107093386:107095237:-1 gene:Sspon.01G0031240-2B transcript:Sspon.01G0031240-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRLGTEIKHDAARGVQVDKASNDKRLCHASNTVSGMPIQPKYHLSNPNNNLKNPLTVKSCSEIRDFSYELVRSAKRSASRKTGLTSTNCSLSGKMSLLRQPRHGDNHQNQNRISALNRRHKIVSPIGATDLLNKDKVHEHLNSSLERHSQALLNNALIREKQLCCLDVLNQKGPEQTWSSTYSESEKILCFSSSDNIDDLQVSSSSDTSDSSNLSSLGVIASDQWNTTFKKGYYPHAACLDSTSVIYARELDLQLRLELGTFAPTETAAEASSIVRTSDCLSSDEVELSDEKPIQLVEDILEGFEDDEERDFSYLLDILIASGIHGTAEDQMYRVCQSLDYPAGDDVFEKLEKKYIKVVQWSRSDRKLLFDMVNTILSQILAPCLNMQPWVNTTRNLAPLWGSEGLLEKVLLVLVQRREQLAPSETKPEKKGFDTKWPDLADCIERAGRDIERMIKDDLLEELVLEFLCS >Sspon.06G0004210-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6B:10879386:10880082:1 gene:Sspon.06G0004210-2B transcript:Sspon.06G0004210-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SKLQSEVLKDAISQIVGDAKEKNRKFTETVELQIGLKNYDPQKDKRFSGSVKLPHIPRPKMKVCMLGDAQHVEEAEKMGLDYMDVEALKKMNKNKKLVKKLAKKYHAFLASEAIIKQIPRLLGPGLNKAGK >Sspon.05G0010180-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:24025747:24029336:-1 gene:Sspon.05G0010180-2B transcript:Sspon.05G0010180-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGVIVPSDGPAADYGGGLTLSVFMTCLVAASGGLIFGYDIGISGGVSEMEPFLRRFFPRVLERMAASAKGNEYCLYDSQTLTAFTSSLYVAGLFASLVASRVTRAMGRQAVMLMGGALFFAGGAVTGGAVNIAMLIVGRMLLGFGVGFTNQAAPLFLAEMAPSRWRGSLTAGYQFFLALGVLIANLVNYATAHASWGWRVSLGLAGAPAVVIFVGALFLTDTPSSLVMRGRNDQARAALLRVRGADADVDAELRDIAKAVEAARQSEDGAFRRMATRREYRPHLVLAVAVPMFFQLTGVIVLAFFAPLVFRTVGFGSNAALMGAVILGAVNLGALVLSTLVIDRYGRKVLFMVGGIQMVICQVAIAWIMGAKIGKGGEAAMAHPYGVAVLVFTCLHTAGFGWSWGPLGWVIPSEIFPVDIRSAGQAMNVSIVLCLTFVQTQSFLAMLCRFKYATFAYYAAWVAVMTVFIALFLPETKGIPLESMGTIWGKHWYWKRFVHDGKSDVALT >Sspon.05G0004510-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:8370151:8371710:1 gene:Sspon.05G0004510-2B transcript:Sspon.05G0004510-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAALLPRLFLLTLVMSLVAVAAGGDNSTDAGQIRINCGVSVSATDSDGRAWDGDAASKFAPSVAGVAAGASYEDPSLPSTVPYMTARVFNSSYTYSFPTRPGPGRVFLRLFFYPVAYGDRGAGDAFFGVTAGGVTLLRDFNASQTALALDQAYLVREFSLNVSARLDVTFAPSSSSAGSSNGYYAFVNGIEVVPMPGDMIQKPVPTFANGGRPEPMPIRADTAFQTMYRLNVGGTAVSPGDDSGLLYRSWDDDSPYIFGAAFGVSYGKDSNVSIEYTPSVPAYVAPESVYASARSMGPSAQINLNYNLTWILPVDAGFYYILRFHFCEIQYPITKVNQQVFYIYINNQTAQEQMDVIAWSGGIGRPVHTDYLVVTAPGAGQTDLWVALHPDVSTRPEYYDAFLNGLEVFKLQTYNTDSLAGPNPSIPQPVDGGHSHTVAVRSKRKSDAFVAGWAAAAGGLVAVLVGCLFMWVTITMCRRQWKAGSGVVHVAEPVRKTPAPVLHGPTETYVFSVTAQK >Sspon.02G0004760-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:15033427:15045416:1 gene:Sspon.02G0004760-1A transcript:Sspon.02G0004760-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSRPRETDAHGDPANGAAPPLAAAVTAATGDVEIIRPRNDKRGYRRVVLPNSLECLLISDPDTDKAAASMNVSVGYFCDPDGLEGLAHFLEHMLFYASEKYPEEDSYSKYIAEPLMSPDATLREIKAVDSESLDSLQNLVENKFCDIRDVGRKPFSFPGHPCTREHLQLQAICETGFHYRDKSPPINYVVNVSSNMQIFPPEDWLIASSVPSKFSPDAIQNILNELTPETKWVEKAPEEDLHLPKQNIFIPRDLSLKSVEEMVSFPAMLRKTPFSRLWYKPDTMFFTPKAYIKMDFHCPLSQSSPESAVLTDVFTWLLMDYLNDYAYDAQVAGLYYAVKPNDTGFQVTMVGFNDKMRTLLETVIGKIAEFKVKVDRFSVIKTHQDNVKQNVLLQLLALVGKQPAFHQLRSVEQLGYIALLRQRNDSGVRGLQFIIQSTVKDPVNLDARVENFLKMFEGTLYQMSDAEFKSNVSALIDMKNIREESAFFWGEISEGTLKFDRKQEEVAALRELKKEELIDFFNDHVKVNAPQKKILSIQVYGGLHSAEYETIVQNAPPPQSCEITDIYSFRRSRPLYGSFRGGVGQMKL >Sspon.08G0000740-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:1538887:1539833:1 gene:Sspon.08G0000740-3D transcript:Sspon.08G0000740-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLSKCCTISFALAKLPFMVPNLEALFLYSYYEVPNLPMVSKTFLHLKHLSIKLCEGAFSPDYDCFSAHEPFVGEPSPQRQIMGTHHSNLKSVKITGFCSAKSLVELTCYILENATSLDCLTLDTTWGCFPRCSDHEFSKCHPLTKNIIRDSQNALLVIRAWIEGKVPPSVKFNVLGLCSKCHNA >Sspon.04G0019230-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:74008484:74014170:1 gene:Sspon.04G0019230-2C transcript:Sspon.04G0019230-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:beta-amylase 2 [Source:Projected from Arabidopsis thaliana (AT5G45300) TAIR;Acc:AT5G45300] MKHPPQRDGEPSPSPPPQRRPRGFASAPASAAGGSPRRRGEREREREKERTKLRERHRRAITSRMLAGLRQHGNFPLPARADMNDVLAALARAAGWTVQPDGTTFRSSNQPLLPPAPPQLHGAFQVASVETPSLINTLSSYAIGTPLDSQASALQTDDILFPGDYTKTPYIPVYASLPMGIINSHCQLVDPESVRAELRHLKSLNVDGVIVDCWWGIVEAWTPRKYEWSGYRDLFGIIKEFKLKVQVVLSFHGSGETASGDVLISLPKWIMEIAKENQDIFFTDREGRRNTECLSWGIDKERVLRGRTGIEVYFDFMRSFHMEFRNLSEEGLVSSIEIGLGASGELRYPSCPETMGWKYPGIGEFQCYDRYMQKSLRQSALSRGHLFWARGPDNAGYYNSRPHETGFFCDGGDYDSYYGRFSFTVLMDHVDQVLSLASLAFDGAEIVVKLFHNDANLFHQVPSIYWWYRTASHAAELTAGFYNPTNRDGYSPVFRMLKKHSVILKLVCYGPEFTFQENDEAFADPEGLTWQVMNAAWDHGLSLCIESALPCHNGEMHSQILDTAKPRNDPDRHHAAFFAYRQQPPFLLQREVCFSELCTFVKCMHGEAPQNGEG >Sspon.02G0024310-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:83181005:83181366:-1 gene:Sspon.02G0024310-1A transcript:Sspon.02G0024310-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VIAGFFAAAIVGSFCNFLTVIYVGFVCAHTLPVLYERYQDQVDDFLYNMLGVVQSQYQKLDTKSILKGGVSKFRKSD >Sspon.04G0003400-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:11102320:11104838:-1 gene:Sspon.04G0003400-2C transcript:Sspon.04G0003400-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGGVGLALQTRAAGFGSTRRRGGLQSPSGSLRVAGPSGAAVAVRARGSKPVAPLRAKKSFGGHENLHNSVDEALLLKRKSEEVLFYLNGRCIYLVGMMGSGKSTVGKIMSEVLGYSFFDSDKLVEQAVGMPSVAQIFKVHSEAFFRDNESSVLRDLSSMRRLVVATGGGAVIRPVNWKYMKKGLSVWLDVPLDALARRIAKVGTASRPLLDQPSGDPYTMAFSKLSMLAEQRGDAYANADVRVSLEEIASKQGHHDVSKLTPTDIAIESLHKIESFVSEHTPDNPASDSQAESQIQRIQTL >Sspon.04G0026630-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:51766424:51783187:-1 gene:Sspon.04G0026630-2D transcript:Sspon.04G0026630-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVDYYKVLQVERGASDDELKKAYRKLAMKWHPDKNPSSKKEAEAKFKHISEAYEVLSDSQKRAVYDQYGEEGLKGQVPPPGAGSPSGSSSYGGNASTFQFNPRSADDIFAEFFGLSSPFSTMGGMGGGAERGMRGSRFGMFGNDIFRSCPQFSGEASMHVPQRSQKAPPIENRLPCNLADLYKGTTKKMKISREVLDAGGRTLVVEEILTIDIKPGWKKGTKITFPEKGNEAPHIIPADIVFIIDEKLHDVFTRDGNDLVMTQKITLAEALTECTVNITALDGRNLTVPVNNVIYPGYEEVVPREGMPIPKDSSKKGNLRIKFSIKFPTRLTSEQKAEIKRLL >Sspon.03G0001810-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3A:4428462:4428900:-1 gene:Sspon.03G0001810-1A transcript:Sspon.03G0001810-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAIGGNGGGGGRAVWVSEGLVGPNSREQRSGRLCGHVGASETNRCRGGKKWKGSRRAGRRSAEHAVGQSGVYRRAPRVTRGSGSLSVGSLLFSPSFLCRSVSWNCGRGGSADVLHSDSDDCNYRDTEWLIGGPTQHRTILVLGLA >Sspon.06G0001460-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:4706846:4708250:1 gene:Sspon.06G0001460-1A transcript:Sspon.06G0001460-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAEVQVPTAAPVEEAPVVVEAVQPAAVVVEETPKEEAAAPVVAETPAAVEPAPAETEVAKEPEAEAVPAETETKEVEVEAVAEPTEAAETKEAEAEAAPAAETAAKEAEPETAAAPVEAVPAEIEAEPEVAAEPEAAAPAEAETKEAEVEAAAAPVEAETKEAEPAAATEAEVAVPVPAEEAKEEEAAPAVAAAPEAEAAAAEEAAPAEAEVAAPAPEVAAADKTDE >Sspon.02G0026040-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:90402250:90432712:-1 gene:Sspon.02G0026040-1A transcript:Sspon.02G0026040-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSDRAELARLCRARNWSKAIRLLDSILARSPSSIHDLCNRAFCYSQLELHKHVVKDCDRALLLDPALLQAYVLKGKALSSLDKKEDALAAWKQGYEIAVRDTTDLKQLLELEELVSSVKICDTTESADHVMDASTCDTKVVISEDRVADKSLAATTMADTKTVVCEEAIGSSKVSSNGDTKSSNYNKKADHNKVSSSPVKDSTGTQAPKKAQKVDKKNKAKAVKEINGRAEGVTVRTGTDESETISLDQTLFATKISKSSKSISLDFRLSRGIAQVNEGRYDQAISIFDQILRETPTYPEALIGRGTAYAFQRELDSAISDFTKAIQSNPSAGEAWKRRGQARAALGEFKEAIEDLTKALEFEPNSPDILHERGIVNFKFKDYNSALEDLSTCGLTLSSLGEYKRAEDEHLIALKYDESFLDCWAHLARFAKAYHLRGILYHGMGRHRSAIKELSIALTYEGSSIECLYLRASCHHAIGEYKAAIKDYDDVLDLELDSMDKFVLQCLSFYQKEMALYIASKANLEFSQFNIDDDVDPLFKEYWCKRLHPKNVAEKVYRQPPLRISLRNGRLNKQDFKFTKHQTTLLLAADSIGKKIQYNCRGFLPNERKALSSLDKKEDALAAWKQGYDIAVRDTTDLKQLLELEELVSSVKICDTTESADHVMDASTCDTKVVISEDRVADKSLAATTMADTKTVVCEEAIGSSKVSSNGDTKSSNYNKKADHNKVSSSPAVKEINGRAEGVTVRTGTDESETISLDQTLFATKISKSSKSISLDFRLSRGIAQVNEGRYDQAISIFDQILRETPTYPEALIGRGTAYAFQRELDSAISDFTKAIQSNPSAGEAWKRRGQARAALGEFKEAIEDLTKALEFEPNSPDILHERGIVNFKFKDYNSALEDLSTCGLTLSSLGEYKRAEDEHLIALKSAIKELSIALTYEGSSIECLYLRASCHHAIGEYKAAIKDYDDVLDLELDSMDKFVLQCLSFYQKEMALYIASKANLEFSQFNIDDDVDPLFKEYWCKRLHPKNVAEKVYRQPPLRISLRNGRLNKQDFKFTKHQTTLLLAADSIGRRFNTIAVASYRMNYRMAGLAAIEIAQKVSKAWRFLRNPKNIAKLVRKRDKLNMSQNRGGYCSTSTLAGSPTSSPNEDRVSSGISLSWQDVYNIAVKWRQISEPCDPVVWVNKLSEEFNSGFGSHTPMLLGQAKVVRYYPYYQRVLETAKTIMLDLKYVNNAEDRAIFLTDIEKLKKIEIASSCSDLYHVVGETYWVATRCDSMAFRGRRLEGTRITTQNMGKTGFDFAIRTPCTPSRWEEYDEEMSAAWEAICEAYCNDTNPTRDPGMLDAVKDAILRMTYYWYNFMPLSRGSAVVGYVVLLGLFLAANMDITASIPPDVQVDWEAILSPDPDTFVDAIKPWLYPSTKISRCLKDYTDVSIAFSTTGSVVAALTSVDP >Sspon.02G0041540-3D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2D:71398064:71398797:-1 gene:Sspon.02G0041540-3D transcript:Sspon.02G0041540-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPLLLLLPLLLATATATATAKTTAVSSVTAAAESTRDDGGGGPPAPSSPVPPVTPPWPEQFHAVVITNLSARGGGLQLIDLYYDWPRGRDLNIVRDQLSSEPQWNVEWTNGTAFLFDAASCATFQFAVGLLPPDWKAHGAAYLGRDRVDGFDCHVWSNFVFARYYEDVATGRPVSWTFNGMQRHVLSFEAGAVLQDDSKWQAPAYCFTDTNGSSASAAASSS >Sspon.07G0010960-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:36640261:36642366:-1 gene:Sspon.07G0010960-1A transcript:Sspon.07G0010960-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRRRGLPVLVLVVCLAAAAVSGEPLPQYYDAIFSFGDSFSDTGNFVIINSGKLPNMPKFPPPYARCSNGRLVIDFLAEAFGLPLLPPSANTGTNFSQGANFAVMGATALDLQFFKDNNVWSIPPFNTSMNVQLEWFQEVKRTICPSDPAACRALFGRSLFVFGEFGGNDYSFAWKADWSLEKVKTALVPAVVASLVSGVERLLDEGARHVVVPGNLPAGCIPITLTMYPAEDRSEYDPRTGCLKKYNSVALYHNAVLRIALDRLQRRRPESRIVYADYYTPYIQFARTPHLYGYKRGALRACCGGGGPYNYNMSASCGLPGATTCEDPDAHVSWDGIHLTEAPYRFIANTWVKGPYAHPPLATVVLEDMVD >Sspon.08G0025140-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:6262588:6286684:-1 gene:Sspon.08G0025140-1C transcript:Sspon.08G0025140-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAKFMGYAEVLSNFNACREFFSWTTSGIIKAIYYQQNTPQLPDEANTTAERQIKDEIEQLMDGVWKLKTTMPKMLDLIDRVEWQSHKEHAASLLPDIKDAVYDAEDLLDEFEYYALKLKIESSKNSGQDNLSDTFVEFFNSFRSNGYIRKVNRIQAKLDHVHRQSMDMRLDQAPLKFDKSIRPETCSFIDEPKIFGREEELKQLVKTLIVPARKRGRADSRSTMVKLHVLPIVGMGGVGKTTIAQQICNDANVKQHFGENIIWTCVSDDFDIKRLTKEILTHFSREGTSSDSLDILLKKLDFVIKSKRFLVVLDDMWDDILKQDGAGWRKLFKRLENGAEGSRVLVTTRSSEVANLVCTVNHYELNGLQGGVFWEFFKICAFGSTSSCSNRESLECIGKKILPKLKGSPLAAKTIGRLLRMDLSTTHWENIAKSELWQLEQTETDILPALRLSYMYLPQKLKRCFSICAMYPKDHIFEKGFLADVWIAQGYVVGPQEASLCFDALANRSFFQKASQQDHNKYVIHDLMHDTAQLVAKDECFIIKDLGGPGKLPSNVRHLSIFPQGILQELQATVIWSTKKLRSYVCHGSSSISESLGNSNHLRYIGLRQHFTSQETRKLAFPQLVCHLHHLKIIDCGGCVIERFPPGFSNAISLQKIKSRNFTYDRDQSDKLCLEWPGDGTNREEAELMFNQMEALPHWNLQHLHVRGYGSESFPSWLRPHLLPRLRSLEFHSCGSIKSIPFFGNAAGSDNHNSIEELIIRSCDQINWQGSMVLPTSLRKLILSKSGYFMDHLVSSFRDLTSLNDLQIDDCESLTAIPLNVWGSNLPSLEELHISYCPRLTSIGVSGANSSSNGFRGFSSLSKIHIRSCGTLLSLEEFLIPDYLPVVKTIFVESCEELTSLSVDRLDGLQKLSILDCPRLNPQRVMTFPSSLKELLLRTCRRIESININNSQLGSSPALEKLSISSCPVLRSIGGATAVTKIKKVEISDCPELKEIRQPLSRCLSNPLQSTMLTYSREDAADVKLSGNPTNFGRETRSSVRREHSPLMASFGSNRSSLAQLLINNLWSLESCLMAEGREDNFGQSRYLRCLKFPIELGSSSNPTSEKTRTRSFSTSYGSKVFNIDATFEPRVVTIITLPLPPLHRRLLKSSHSLASSFHISSSTIRREKPVDVEIEHGNLYADLISLTAHSVPKDVTLSRTEWVQPVTSDIAVVGRRRKKPVDIAGKQLCHLELI >Sspon.01G0034610-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:5701423:5706165:1 gene:Sspon.01G0034610-2C transcript:Sspon.01G0034610-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAACSRGLAWPPFDLTTARGAASWPRRRAAIRCCCAGADSEPRRRLSRAAAVAPERAEEWRIDGNKPSAAAPGRRRASLTAMPPLPFPAPRRQLKQQDFYPRCTPRGSAPQSRDTPPKRDTGIASEKEWGINLPDEAVKESGINEDGSTWYRESGEDIGENGYRCRWTRMGGQNHDGSTEWKETWWEKSDWTGYKELGAEKSGKNAEGDSWWEKWKEVLYQDEWSNLARIERSAEKQAKSGVENAGWYEKWWEKYDAKGWTEKGAHKYGRLNEQSWWERWGEHYDGRGFVLKWTDKWAETDLGTKWGDKWEEKFFAGIGSRQGETWHVSPGGERWSRTWGEEHFGNGKVHKYGKSTTGESWDLVVDEETYYEAEPHYGWADVVGDSTQLLSIQPVERPPGVFPAIDFSSAPPPKDAPPGMPPSSPLDGVD >Sspon.07G0002580-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:5379216:5391117:-1 gene:Sspon.07G0002580-4D transcript:Sspon.07G0002580-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPCAVVAVATAPGSPASTKAARARAPMDPAATVDPGSSSSPTPTLRKRTISIDTSRRGGDGALRETAPRPEREEQQEEEGSMAASAPAEAAAAAAAAAREGDLEAAGEPMSPAGRLFREKHFNCYIVAVIGLGAAVDVAAARAGLEATLVRHPRFSSIQKDAKPRWVRTTVNLDDHVIVPYLDPSATSTKPDQAVEDYLSGLSTAPMDHSRPLWEFHVLDFPTSEATATVAIRMHHSLGDGVSLLSLLIACTRSAADPARLPELPPAPRRAGPLFARPRPPLSAGLVAFVLWLWSYVVLAWHTLVDVVCFVATAWFLRDQRTPFMAASEGVEFRRKRFVHRTLSLDDVKFVKNAMKCTVNDVLIGVTNAGLSQYYFRKTSANSERKKSQSIRVRSALLVNIRKTPGLHALSEMMDSGKNSGAKWGNLIGYMILPFHIAMHDDPLEYMRQGKRTAERKKASLEAVFTYWSGNLIVKLFGMKAAAALCYGMFTNTTMSFSSIGGPAEKVEFYGHPIVYIAPSVYGHPHALTVHYQSYTNSIKLVLAVDDAQFPDSHQLLDDFAKGKSRGEQTEIGQWLLEQFMVRIVAPHKLHDPSGARDVGVVDIEGDVLPEQLEPVGEVGEDHMGAQQGGGAPHQAAPGPEVHRARAAEEQPLGIDSVLEELGEHDAAVPDDRPRDPRGGHRGGGLQQGQAPPAGVELEGVRRLADQRVVAVRLGLPQAPQQHRFPRRALHRSHRAAVRAHPAASSSAAARLSLSGDWRNGSEDHPALLTMAMERILDCAKPIRATVSVSSYQVLQDSHVFDILEPKDSEVLVREDADGRTHLKGLSKVGINSIQEFQNLCYGSDKLQNPTKASNQIRGHRGFIIFISRIDQNGRECSLANMHFLELAGYINNKQKSHGGGFAQPNSNKSLYAVMDVVQALNSHQSFIPYRKSKVTHILQDSLCKTSGALLIACLDEVSCQDAISTLSLASRSSQVVNEQCYNLSLSTKVKASQALNANKKSNKTQFNNSAVKASQALNANKRYETSTHSAKKAVNLVSASTNLKHSGAKSILRGRNLFFLTTNSSKEDKKDLVTTAVVRPKEVATSICMEIQALPPIEEKRGDTKNSVISSEMQEVVPCSMKELVSSDVKEEDHSSSTENLYVDLGMTCSSNAADKSTEKNPANVIESSPKISDQLREISNSLKLLSTMPSSIVKQKTDIVHAKPFDIVEAEPKTPEIQLKVGHVEDPQESLKARSTGFKKSLAKECLSFINSASKEQLKSLKGIGEKRANFILELREESPEPLKEIDDLRSIIGMSKREINKMVSEMVLDSEMD >Sspon.07G0002460-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:4857369:4860086:1 gene:Sspon.07G0002460-2B transcript:Sspon.07G0002460-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPSANPSHQPLLVLPLLLLLLIPAAAVAAAADASVSTFSYSHHCPDLPSALDLPGGQVSALGPDAPVPVPEVSTGYFDGGDRIFGSDTSSQPRSFSLLPSSVARTTNASLIHVSATLTVSSGRRWLRGRGGRNLFEYDGRARHFRPRLPRFTGQRGSITFGLEGYYSIASGDLCMVGTGSGRAGDGTPVHFLPVVLRLGFPSPANVTRPFVTGRLENVDTTNPIEPISLVAYAQEGYAYGESASCPPPPAGRLDALQVFENRNFSCAHLSSMLKSPFRLDYPNGSESTASSLGIHQSYMYVNRMHCNDDGAVRAYVAFTNQTEVSRYYFMLGEKAVVVDGFWDQKSSRLCLKGCHVVKSGPSRADLAVGECGIGMSFWFPAVWSLQHRSFSAGLVWNASLESGEAIAAGSSAITPNYRDNLSGLKYNYTKVVEALKHYEKSGLNKNRKGKFPDSNSYRDLVFRFFVKKGGGSGYASPVTIGSMLFDGNSLVVQDPFSHHVTAEMKQRLLNVSYDIYYVGNWSLESFNRRHISAEGVYDTKTGSLCMIACRELNVSSDCEILVTAQFSSLDAKVAQHVKGTIKSLRKKTDPLFFETLDIASYGMYVEQVDESIWRMDLESTMALISMTLACIFIAVQLFHVKKVPEALPAMSITMLVILALGYMIPLVLNFDALFKNSNKQTVPLSGGGWLEVNEVMVRIITMITFLLQLRLLQLAWSVRSVDVSKAESWAAEKKVLWICLPLYIIGGVITWVVHMRSNHSRRMLRQVVHLKPIEHAFWEDLVSYCGLILDGFLLPQVILNVFSDSKVRAISPGFYIGSTLIRALPHVYDVFRRKHFVPSLTPSYMYANPHDDLFSLAWDIVIPCGALLLSVLLFFQQWRGGAFFLCSKNRKTREYEMVSTVSS >Sspon.08G0005730-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8A:17967650:17968020:-1 gene:Sspon.08G0005730-1A transcript:Sspon.08G0005730-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVADTFPAELRVLAVEDDRVYRKVLERQLKYYNYNATMVMNAQTVLDMLRERKDGNRFDLVISNVTMPNMDDFKLLELIGLEMDLPVI >Sspon.05G0000600-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:2389088:2391332:1 gene:Sspon.05G0000600-1A transcript:Sspon.05G0000600-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSGDWTPPCGSCCTKKYASLVQIPWRVFCKKGCNADGDTWDECIGKCTEICYKDPVLEDRQWSAYIDRSPGQDSYSLECFNACVSGCGFRFDVPTEKVEEIKPNRPSKPSAPEPEVKRTRNADCTEDVPCTSA >Sspon.07G0021430-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:2498805:2500166:-1 gene:Sspon.07G0021430-1B transcript:Sspon.07G0021430-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLPFAILVIRRKPKQATITVSSIYRASWIIIRRSTSKATTSTTNNMMSQHGGLGDTARRWRELHGGGNNSGWAGLLDPLDLDLRRTVLRYGEMAQATYDAFNRERASPHAGLSRFARARFFDRVRLPAHAAAYRVTKFLYATSSVVVPEAFILRSVSRSRRCRESNWIGYVAVATDEGKAALGRRDVVVVWRGTMQKLEWADDLEFPMVPTRGLLGDGERCDAMVHRGWLSMYTSTDPASSHNQDSARHQALSEVRRLVDTYRDEELSITVTGHSLGAALATLNAFDIAANGYNVAAAGAAACPVTAFAFASPRIGGGGFKKQFDAVPGLRLLRVRNARDVVPKYPIVFYHDVGAELAIDTGESPYLRSPGHEQTWHNLEVYLHGVAGTRGPRGGFELAVARDVALVNKTYDALRDDYGVPPGWWMPLNRGMVEGADGRWSLMDCEEDEDDE >Sspon.01G0001490-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:3261710:3271371:1 gene:Sspon.01G0001490-2B transcript:Sspon.01G0001490-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPEAPSCYVGIARQSAAFRLMKQMGWEEGEGLGKDKQGIKGHVRVKNKQDTLGVGVDSPHNKWVYDTTQFDNILKKLKVQSANPIQEEVAAVKSDSPDITPKKDKSAKDEVTKVTRPQGRYKKRERGKSVSSYSATDLQGILVRKSEDNSQMDQKLEPTCLDEPDPVICPDAVSQADDVNWWGHKFGFVSGGFLGAKSRKNKPLRKDPANVRQTFAEEDQENLYNLVQDKATSGKQGLGIKGLPMKVGGQRWKGNKTSFVDSDDNNSAQSDEYSEIEENDDDEEPISASESIHTDKNAEKELLAPSQSMKLKDLKVAVEEHSNAVFSSFSCRREALLFLKKKLQGSKKFNVDGKKVHLVS >Sspon.01G0038040-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:26380590:26386096:1 gene:Sspon.01G0038040-2P transcript:Sspon.01G0038040-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MENAAPAIVEPSLSKKPSPSFRLQNGSLNALRLRRVFDLFDRNGDGEITLDEMASALDALGLGADRSGLEAAVGGYIPAGAAGLRFDDFESLHRALGDALFGPILDEVPEEEDDEGDMKEAFRVFDEDGDGFISSAELQAVLKKLGLPEARSLSSVQEMICNVDRNCDGRVDFGEFKNMMQGITVLDI >Sspon.04G0033550-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:59980619:59984835:-1 gene:Sspon.04G0033550-1C transcript:Sspon.04G0033550-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTIPKNIAKKWAPDKQKHLLVEFGLVVQGNQMAKKRKHVFFDGATSDASDGLEEDELKELKKFISSLTHNMKSDGRPKVEIV >Sspon.01G0018180-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:67868517:67885172:-1 gene:Sspon.01G0018180-1A transcript:Sspon.01G0018180-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVIPTCRRVASDDRVPSVSASAIVGSSASGYHVLGIRGYSSIKIAFPNGKHFDSLPFRVAGHTWFIRYFPNGDSPETADYISLYLHLKDQLERGDELMVQFMISFMDQVEKQKPAFVGSLEARRFGTNGSWGYKEFIKKESLEQSERLKDDCFSIRCDIIVTGLPRAEAAAAFAPLETTMSSAAAASALRHPVCQSPPIRTAMSRPSSAAHSSSASTIIGSATSGYHMLKIEGYSFTKSVPNGKHIQSRTFRVAGHSWFIKYFPNGDRKEAADYVSFYLVLMDRVSDALMVHLSFSFIDQVDYQKPSYVRGLQASCFLTSPTSFGRMKFIKRDDLECSGRLKDDCFTVRCDIIVVGKIQAVHTAVVPSSAPAALVVPLPDWPQHFRALLESGQGADVRFLVEGRTFAAHRWIWEKTLSGHGHMIDGLFHSIHLILPIAGPATMSSSLSMARASSSTTSSSIIANSAFGSHMLKIDGYTRTKGLAIGIHLRSCSFYVGGHSWHLAYLPNGDTAQNADFISFFLVLEDPPANGAPVLAQFCIALLDRSGKPVPSHTQTRPVTRFTTPAAHWGFNTFIRREVLEKSRYLNLKDDSFCVRCEVNVITGFHAEDVASAAAAKAAAA >Sspon.06G0002020-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:8203671:8204831:1 gene:Sspon.06G0002020-2C transcript:Sspon.06G0002020-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AIRRRSRPRSTPAARAAAGRRTRSHLHARWRLSTLAIALPTHFDSASELGSSGATPTAATRLLSCGGGGSPASGVDPLVFVDGRPRRRRCLLPGAAGGGAADPVLRHRRGGVRALRVVDAPGRALYDLTPETLDFFGLPPSAQP >Sspon.06G0013230-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:68794917:68796076:-1 gene:Sspon.06G0013230-1A transcript:Sspon.06G0013230-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSSSAAQRLKLKQEQGDDCSKMQGSRGLMSSLELRLGISSDNGAGCGGGGDPWLGVGMHPWSLAARQAEKVALEQDHQRPPPPQPVGWPPVGAFRRSHLQAGAKTVEEPTSKVKPGEQRPAPAPATMFVKVNMEGCAVGRKVDLQAHRGYASLSRALQAMFHGFLSDGQWRIAGREDDDDDEEQPEPTKKGANKSNKKAYILLYEDNEGDRMLVGDVPWELFMASVKRLYIAQDPRKTKN >Sspon.04G0019520-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:71958561:71962339:-1 gene:Sspon.04G0019520-2B transcript:Sspon.04G0019520-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAFYSTSSSSSSGPYGEAAYGGAGWGYDSLKNFRQITPAVQTHLKLVYLTLCVALASSAVGAYLHVVWNIGGMLTMLGCVGSIAWLFSVPVYEERKRYGLLMAAALLEGASVGPLIKLAVEFDPSILVTAFVGTAIAFACFSCAAVVAKRREYLYLGGLLSSGLSILLWLQFAASIFGHSTSTFMFEVYFGLLIFLGYMVYDTQEIIERAHHGDMDYIKHALTLFTDFVAVLVRILVIMLKNAADKSEDKKRKKR >Sspon.01G0033630-1P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1D:114848768:114850261:-1 gene:Sspon.01G0033630-1P transcript:Sspon.01G0033630-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DETOXIFICATION [Source:Projected from Arabidopsis thaliana (AT4G22790) UniProtKB/TrEMBL;Acc:A0A178UU74] MTTPPPPAAAAAPKQKHGLAAAVAAEARAQRGIALPLIGMNLTWFAKQAVTTAFLGRLGDLQLAAGTLGYSFANVTGFAVLSGLCGAMEPICGQAHGAGNVALLRGTLLRATLMLLAASVPIALLWTRVDAVLLRFGQQPDIADTARTYVLCLLPDLAVTSVLNPLKAYLSAQEVTLPTLFAAALALALHIPLTVSLSARMGVRGVAAAVWLSDLALALMLAAYVLAHELRRPTKLQQQQQQTKPTTAGDWLLLLRLAVPCCLNTCLEWWSYEILVLLTGRLPDARRMVGVVAVTLNFDYLLFAGMLSLSVSASVRVSNELGAGDAALARRAARVSIAGGLAAGVAGGLLMLAARRPWARIYTRSPEVRDGVGRAMKVMALLEVVNFPLNVCGGIVRGTARPLLGMYAVVGGFYVVALPVGVALGFKARLGLEGLLAGFLVGAAVSLAVLVTVIVCMDWAQEADKARTRAGAAGAGNTTRVEPQPNKAPAPAESEAC >Sspon.05G0022450-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:3401091:3407545:-1 gene:Sspon.05G0022450-1B transcript:Sspon.05G0022450-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGWLVALGVVVLDCCSAPPEPQKLPMASLFFPTPRFISRLASPVPIAPLAALVSSTLSLRRLRGSPRRMDLNMTNLWFHGKEHIAAASFSQAQPSAINCRNEEQAVSAMNLGCIRSSEIARNSRTFQHGDQSLAAPDDGCRLVLGLGPTPNLYSADSHSFGGNKAYESATLLTQHCATTDPGLMLGLSRCSSRNLQSTTASGSKNYSPARKTGIIFPLIDEGSTSAKRKRGGYMLPLLFAPRSEDLCLNGTSPDTDVQQHDGTGCDAESDHDRSLNHHEIHPSPDLSITADCSFAATSDIVGGTNGEQRSHQRHPKKCRFNGCSKGARGASGLCISHGGGQRCQKPGCNKGAESRTAFCKAHGGGRRCQELGCTKSAEGKTEFCIAHGGGRRCGIEECPRAARGKSGFCIKHGGGKRCRIEGCTRSAEGHPGLCISHGGGRRCQYPNCGKGAQGSTIFCKSHGGGKRCMFDDCTRGAEGSTSFCKGHGGGKRCLFEGGGVCPKSVHGGTSFCVAHGGGKRCSVPGCTKSARGRSDCCVKHGGGKRCRFDGCDKSAQGSTDFCKAHGGGKRCAWSTGCDRFARGRSGLCAAHTTLMASKLEHDPGHARSMVGPSHFSSIVSGSSAADSNMDHAMSSSGHGAWSDCVDSSGGMQGSGRLLIPHQVLVPGSLMASPLCGLAGNGQDDGGSRSQSFGLVVPEGRVHGGGLMSMLGVGGDLGSNPDGSKT >Sspon.04G0009740-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4B:24527516:24528107:1 gene:Sspon.04G0009740-2B transcript:Sspon.04G0009740-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSRVCAFSGRGVDGRFSALRRPNGPLLVDSGIRNTLKENRAIHSYCRAWRSGSERPRARLRCAVEEEAVGDVERPADGSGLRERGDQVEEKGGDEEHGPEDDPVGGHADAVALVVGAIVAVEEEHVVPLQ >Sspon.03G0040660-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:31636984:31637850:1 gene:Sspon.03G0040660-2P transcript:Sspon.03G0040660-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATEQGLPPGYRFVPTDEEVVELCLLPRIQDQPLLPNDIIEDDPLSAPPWALLEKHGRRHQAFFFAACQAMNAKGNRQKRTCVGRGTWQGQGKGKRKRQEGQEVKKKLRVRVRGSAEKIEIEWDKYALNFHEHGDKGSTGWVMHEYSITAPPELARSPLRVYCIRFSGHGRNAKKRSRDAQHRGDDDELEDEEEVDDEAGKIATATSSAAEEDPDALFIDEYPPAPQLEYDTSFPVMVADVVNPNNPADGSDAVAGYDQDFDLPALVDDSFIFMNSLPDLLPSIDFSQ >Sspon.04G0004760-3C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4C:13756905:13764261:1 gene:Sspon.04G0004760-3C transcript:Sspon.04G0004760-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LEPISSRNSFMASSTAAALLTLGFLPVSEKLTRGNFVLWRAQVLSVLRGAEASEFLEPTAQPPAKYLPKKNADDANEALVIDKEYTAWVAKDQQVL >Sspon.08G0002200-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:3662258:3667490:-1 gene:Sspon.08G0002200-2B transcript:Sspon.08G0002200-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGPVDIYIMAPRSRASSRRPLWIIVLVAFVCAVAIGAYVYTPRHYTSCYLVPSEACNSRPPPEPARVYTDDEIAARAIMRDIIRARPVQSKNPKIAFMFLTPSSLPFEKLWEKFFMVIWGTISMVDAEKRLLAHALQDPENQHFVLLSESFDDPGPHGAGRYSDHMLPEIVKKDWRKGAQWFTVKRQHAILILADTLYYGKFKRYCKPGNEWRNCYSDEHYLPTLFNMVDPTGIANWSVTHVDWSEGKWHPKVYRAVDTSFELLKNISSIDESVHVSSNAKHVAQRRPCMWNGMKRPCYLFARKFYPEALDNLMNIFSNFTII >Sspon.08G0005880-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:15769082:15771421:-1 gene:Sspon.08G0005880-3D transcript:Sspon.08G0005880-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding EIATFFMDTVNSSHAYATGGTSVSEFWSDPKRLAEALTTETEESCTTYNMLKVRVGIHVLFIFTKLVVKFSWLETKLQFAQQSLQVSRHLFRWTKEIAYADYYERALINGVLSIQRGRDPGVMIYMLPQGPGRSKAKSYHGWGTQYESFWCCYGTGIESFSKLGDSIYFEEKGETPALYIIQFIPSTFNWRTAGLTVTQQLMPLSSSDQYLQVSFSISAKTNGQFATLNVRIPSWTSLNGAKATLNDKDLELASPGTFLTVSKQWGSGDRLSLQLPIHLRTEAIKDDRPEYASIQAVLFGPFLLAGLTTGDWEAKTGGATAAATDWITPIPPESNSQLVTLVQESGGKAFVLSAVNGSLTMQERPKDSGGTDAAVHATFRLVPQGGAGAATNSTAAALLEPLDMPGMVVTDKLTVSAEKSSGAPFNVVPGLAGVPGSVSLELGSMPGCFLVAGGSGEKVQVGCTGGVQKKHGDGGDWFRQAASFARASRCGGTTR >Sspon.03G0013740-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:57242339:57246437:-1 gene:Sspon.03G0013740-1P transcript:Sspon.03G0013740-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPVAGVVRLAAASRVPLPLPARPPSLPPLRHLRHSPPTLPLLSPLSPDPNTPVSTAISSLAVWDGVHFARPAECGYEYEQSFAFLPLLPASLALLARSLFAPLVPILGYRAVLVLSGYVLNNVAFVAAAAYFYRLSMLILKDQKAAYRASILFCFNPASVFYSSLNLYALFALGGMFYLFSGAHTVAVIMLALSGSARSNGALNAGYFCFQALLQAYDAALAMQALVIGALRSIFIFVPFFAFQAYGYLNICVHGSSEELRPWCKAKVPLLYGFIQSHYWGVGFLRYFQVKQLPNFLLASPVLSLAVYSIVHYTKLLHRLFQTTSIHKQIITALEERPVVSYRRSDDVTSLSELSAGLTKKAQGNSTVKHRKSVATNTASATFHDTMSPNQNMEENQDACSILLLPFVLHLVFMTFTAFFVMHVQVSTRFLSASPPIYWAAAHILASPSRSSKRWGYLICVYFIAYILLGSLLFSNFYPF >Sspon.06G0021700-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:18825021:18825299:-1 gene:Sspon.06G0021700-1B transcript:Sspon.06G0021700-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLATSSGDSHRGLLQDGMCKLYSKLLQQSCGTCPTDCCEPVSGCSQHLQKQLATNQNDHKVYQINLTTKIGKFGVMSILYIILKEKKQFNKM >Sspon.07G0037190-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:50198690:50208234:1 gene:Sspon.07G0037190-1D transcript:Sspon.07G0037190-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTWLATVLAALVVASVAHAAELEVGFYKHSCPQAEEIVRNAVRRGIAREPGVGAGLIRMHFHDCFVRGCDASILINSTPGNKAEKDSVANNPSMRGFDVIDDAKAVLEAHCPRTVSCADIIAFAARDGAYLAGGLDYKVPSGRRDGRVSKEDEVLNNNVPAPTDDVAELIESFKRKGLNADDMVTLSGAHTIGRSHCSSFTQRLYNFSGQLGRTDPSLDPAYAEHLKIRCPWPSSDAQMDPTVVPQDPVTPATFDNQYFKNVLAHKVLFISDNTLLEHPWTAGIVHFNAAVEKAWQVKFAKAMVKMGKVQVLTGDEGEIREKCFVDSVANNPSMRGFDVIDDAKAVLEAHCPRTVSCADIIAFAARDGAYLAGGLDYKVPSGRRDGRVSKEDEVLNNNVPAPTDDVAELIESFKRKGLNADDMVTLSGAHTIGRSHCSSFTKRLYNFSGQLGRTDPSLDPAYAEHLKIRCPWPSSDAQMDPTVVPLDPVTPATFDNQYYKNVLAHKVLFISDNTLLENPWTAGMVHFNAAVEKAWQVKFAKAMVKMGKVQVLTGNEGEIREKCFVVNHY >Sspon.02G0050730-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:66262833:66273629:-1 gene:Sspon.02G0050730-1C transcript:Sspon.02G0050730-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSGNLLYRRKRSWRADEFVSRSTLQLLDFDDGSPPEHAWRRKLSSHANRLKEFNVTFKEAIRMKAPIDPFTREGNRPSASQGVPLGGMGSGSISRGFRGEFKHWQITPGSCEMSPVMANQFSIFVTRGGNKKYASVLAPGQLGGLKRADSAVIEHMRCGAPHQGLIPGAAWLRGHLLVETTQVPRPPKKRARVRTANNNPPVTFAIAACENQNVNVTVLPVFGLSGESSVTAREMWGTMVQ >Sspon.05G0016730-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:63689180:63690675:1 gene:Sspon.05G0016730-3C transcript:Sspon.05G0016730-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRKRRRSGKSTVRRSSAAGLTALALCFTRQLQLQAPLVVVAGEPPGDPSTAAANLVFSPVSVYAALALLAAGARGGTLQELLDALGGDSRDDLAAFARRAAERALAERSRSGAGGGPAVAFACGAWLDAAWAVLPAFRDAAAASYNAEARAVDFGNEPEKAVGEINRCSAAATNNHIDSILDPSSVDTLTTLMLCSAIYFKGRWEAPFAKAHTVVDKFHRLDGSTADVPFMCSVRSQYIAIRNGYKVLKLPYRSPAPAAPAPAPRRKGSTPPESKPGPGDGDDDPAPKYSMCVFLPDERDGLPGLVEKMASGPGFWHYRLPTSQVPVGAFRLPKFTLSVSSSVRQVLRDGMGIKSAFVAGEADLADMAAKRDEDDAAGTPLYVADVCHKAVLEVNEGGTVANGATASYMRCGASAVMDQPATVDFVADHPFVFFVIEEVSRAIVFVGRVLDPSIS >Sspon.05G0006000-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:19065200:19066177:1 gene:Sspon.05G0006000-1A transcript:Sspon.05G0006000-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLPLLLSLLIVSCAAQSPASLPSVSKAPPVSASAPQASVAPPTTAVSAPQASVAPPTTAAAAPQASAQPPTTVAASTPQASAAAPTQAASAPQVSAAAPTTAAFAPQASAAPPTTAASAPQVSAAPPTTAASPLLAATLPPASSPPLAATPPISATPPATLPPAAQPPVSSPTVAVAAPAPTTPAPVASPPLAMPPAALPPATFPPAIAPTPLLAAPVLPPTAPPVTAPAPAPVSPAPSVAPTPSPTEAPTPSPTEAPTPAPTLAPELAPTLAPFSSVSVSPSLAPGPVLAPEEESAAPSSRAGVVAAALVSSLVAAGFVALF >Sspon.01G0045100-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:87128365:87129274:1 gene:Sspon.01G0045100-1B transcript:Sspon.01G0045100-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTTAAAAPPIPPTTTLPLLTSSSSITLSAAVGAPADAAAGLRGWGSRSSRLRRFRRCPRHPRRTQRCPSIAAPAAGASPPPSSGLPLHMIRWPTSPSPIPEWALAGSAVVRLQAAARGLLARLRVREMRDLQLIQPCTPSQLLQVALRRAEDLDLVRYVGDLGHAVFHAGSDLKVFGGIAMRRGEGMGSPAGAHCLALPHSATGHREGVFAVRCGNHFMVATLMKSFRPDGVHGIQVAVHVQ >Sspon.06G0016420-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:73370575:73375838:1 gene:Sspon.06G0016420-2B transcript:Sspon.06G0016420-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPSSCCAATASTSAALRRASVKSAPWSAGASRSSFRRRRRERELSVRATAAAPPPPVDYADTGAGAGADYVASLKIKLLSAVSGLNRGLAASQEDLDRADAAARELESAAGGPVDLNRDLDKLQGRWRLLYSSAFSSRTLGGSRPGPPTGRLLPITLGQVFQRIDVVSRDLDNIVELELGAPWPLPPLEATATLAHKFEIVGTSGIKITFEKTTVKTKGSLSQLPPLEVPRIPDNLRPPSSNTGSGEFEVTYLDDDTRVTRGDRGELRVFVIA >Sspon.01G0045370-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1B:89455764:89455974:1 gene:Sspon.01G0045370-1B transcript:Sspon.01G0045370-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding IYICNTSQIKYQVDDEDVRLELSNSAVRNGALGSSGGVGKLTRRREEWRGRGVVDRTWERHRTGMKQRL >Sspon.04G0018340-2T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:71566610:71567453:1 gene:Sspon.04G0018340-2T transcript:Sspon.04G0018340-2T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding PCLASPRPPAAWRSSTTPSPRGEVGTHLSLGIGGSGGGARSLRLAPPPPPRTVQLFGEVLSVQDDDRDGAEAQALHHHRGRPTAAAPASRKKKRDAAGAGGTATAAAADRHQSKKAKTTCRDDGDGGGGRKKLRLTAAQATMLEDSFRAHNILSQGEKQELARRVGLSARQVEVWFQNRRARTKLKQTEVDCDLLRRWCDRLTDDNARLRRDLADLRRAAAASTNLGAAVCASCGGGTDKQQLAVVGAAGNM >Sspon.03G0007310-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:1101553:1103739:1 gene:Sspon.03G0007310-3D transcript:Sspon.03G0007310-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFVSAIDSALQEVGRRVEAGLSCGCFSSSIATKQEVQNSSVRQGAYGAAVDSVYTRDAFHGKTFLDYISALGKKPLAGADLLDLATAKAQLRAFNRSRGLRDLPKFVMFEGIEEITEMTRTKGERMHKSEDGVPSKEKKSRRAGSSRRKGEALPEAGNEDAMDEDGNEGAADDTLSQGKKSKCMKSSSKKKTDISKHLDGLKTSSVADSRTLDKKTIDDVLSERKSGRTLRSTCKKEDALEGLKRLAKDGSEELTGKSKDAPVLKENNQRDGAGSAHKKGRITEDGYHRLGDRNAEDLTYPGKRRSGHNENSISKRVSISEYGRKKKKKLSELMAEPGRPNSASGGKGKTRGKRLLHDSAEKAEDPDRHSKDILMTRKRKKLNTLGDSEPLSRKKSTKVGELMSKAAGSSMLQAAPAVKANSAVSQTKPRRAKHRQVNAEDKSPRPVKVNQGNSEAITEESLSCGEMLWQLSVAACGLKQREKIAPTSVNFFTDFRKNSNFSSSDVNEGMPEKATNTESTPSEQPIADHMHDDYWADILINVEEPLSSLKKKKDESKKRANKKAPQVKKPPINSSATAENADEPRSEGNQDTENGEELRNETKLFSANGSQPNAGTKSGEEMENSFLSGLVLHFSRPSAVPSRSDLIKIFSQYGPVNEAKADVANSASSAQVIFKRRMDAEAAFASARKISALGPALVSFRLTDFPASASGNKASHVASKSE >Sspon.02G0013720-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:34246521:34255086:1 gene:Sspon.02G0013720-2B transcript:Sspon.02G0013720-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLRRRKQQQPPPPPSDGDGSDHDDNDKGKKPSSSSSSAPPSKEPTRRTKAKWSCVDSCCWLVGCVCSAWWLLLFLYNAMPASFPQYVTEAITGPLPDPPGVKLQKEGLRVKHPVVFVPGIVTGGLELWEGHQCAEGLFRKRLWGGTFGDVYKRPVTGLVAADYFVPGYFVWAVLIANLARIGYEEKTMYMAAYDWRLSFQNTEVRDQTLSRIKSNIELMVATNGGNRVVVIPHSMGVLYFLHFMKWVEAPPPMGGGGGPNWCEKHIKAVMNIGGPFLGVPKAVAGLFSSEAKDVAVARAIAPDVLDSDFLGLQTLRHLMRMTRTWDSTMSMIPKGGDTIWGNLDWSPEDGLECKAKKHKTNDTDDSKDTNGENIEVQPEPINYGRLVSFGKDVAEAPSSEIEQIEFRDAVKGNNIAHSNTSCREIWTEYHELGWGGIKAVADYKVYTASSVIDLLHFVAPRMMQRGNVHFSYGIADNLDDPKYQHYKYWSNPLETKLPNAPDMEIFSMYGVGIPTERAYVYKVAPQAECNIPFRIDTSAEGGEENSCLKGGVYLADGDETVPVLSAGYMCAKGWRGKTRFNPAGSKTYVREYSHSPPSTLLEGRGTQSGAHVDIMGNFALLEDIIRIAAGATGEEIGGDQLFGQPWTGLQPTEHRTMAAAVSPAGLMKHAASVAVGSAAGRVHMHGVRQRQWVPFP >Sspon.01G0034400-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:3453561:3457705:1 gene:Sspon.01G0034400-1B transcript:Sspon.01G0034400-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CBL-interacting protein kinase 9 [Source:Projected from Arabidopsis thaliana (AT1G01140) TAIR;Acc:AT1G01140] MAEPAAAGRKTRVGPYEVGKTIGEGSFAKVKHARDSRTGAVRAIKVLDRNHVLRHKMVDQIKREISTMKLIKHPNVVQLHEVNSGRLGEDEARIYFHQLINAVDYCHSRGVYHRDLKPENLLLDSHGALKVSDFGLSAFAPQTKEDGLLHTACGTPNYVAPEVLADKGYDGMAADVWSCGIILFVLMAGYLPFDDANLMRLYKLICQAHVSCPPWFSSGAKKFIKRILDPNPDTRITIAEILEDEWFKKDYKPPCFEQGEDVSLDDVDAAFNDSEDRLVSEKREKPESMNAFALISRSQGFNLGNLFEKEMMGMVKKETSFTSQCTAQEIMTKIEDACGPLGFNVRKQNYKMKLKGDKSGRKGHLSVATEVFEVAPSLHMVELRKTGGDTLEFHNFYKNFSSELKDIVWKAESDASKKQTK >Sspon.01G0048960-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:82481869:82485994:-1 gene:Sspon.01G0048960-2C transcript:Sspon.01G0048960-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAHSLLHAPAARRAAATATRSPLSPPAPPTPCLRLLARRPHRLLRSTSPTAASDLTAFPPPNPNGIFASDPPPIDVDAATEAELRENGFRSTRRTKLVCTVGPATSAPDQLEALAVGGMNVARLNMCHGDREWHRDAIRAVRRLNEDKGFAVAVMMDTEGSEIHMGDLGGASSVKAEDGEVWTFSVRSFELPLPERTINVNYDGFAEDVRVGDELLVDGGMARFEVIEKIGPDVKCRCTDPGLLLPRANLTFWRDGSIVRERNAMLPTISSKDWLDIDFGIAEGVDFIAVSFVKSAEVIKHLKSYIAARGRGCDLAVIAKIESIDSLKNLEEIVRASDGAMVARGDMGAQVPLEQVPSIQQKIVQLCRQLNKPVIVASQLLESMIEYPTPTRAEVADVSEAVRQRADALMLSGESAMGRYPDKALSVLRSVSLRIEKWWREEKRHEALELQSVSSSFSDKISEEICNSAAKMANGLGVDAVFVFTKTGHMASLLSRCRPDCPVFAFTTSTSVRRRLNLQWGLIPFRLSFSDDMESNLNRTFSLLKARGMIQSGDLVIALSDMLQSIQVMNVP >Sspon.01G0007220-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:19112246:19117095:-1 gene:Sspon.01G0007220-3D transcript:Sspon.01G0007220-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein phosphatase [Source:Projected from Arabidopsis thaliana (AT2G39840) UniProtKB/TrEMBL;Acc:A0A178VUA1] MAAALAAGGQGGGGMDAALVDDIIRRLLEVRTARPGKQVQLSESEIRQLCTFSREIFLSQPNLLELEAPIKICGDIHGQYSDLLRLFEYGGFPPEANYLFLGDYVDRGKQSLETICLLLAYKIKYPENFFLLRGNHECASINRIYGFYDECKRRFNVRLWKVFTECFNTLPVAALIDDKILCMHGGLSPDLAHLDEIKNLQRPTDVPDQGLLCDLLWSDPGKDVQGWGMNDRGVSYTFGADKVSEFLQKHDLDLICRAHQVVEDGYEFFADRQLVTIFSAPNYCGEFDNAGAMMSVDETLMCSFQILKPAERKNKFMGSNKICVTCAERFTCLCYGATWVPNSLC >Sspon.05G0026690-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:38246516:38252048:1 gene:Sspon.05G0026690-1B transcript:Sspon.05G0026690-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALTAPNSCSVISGLNKIEQMAMDFILYRGKRPLKCLLGKRTQKKVIIKEHKCISQLKGAVNTYTARNAARNVLVRMIPNRHGCMGGLLGVPE >Sspon.03G0023260-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3A:71494426:71494590:-1 gene:Sspon.03G0023260-1A transcript:Sspon.03G0023260-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVKAYLLLFTAFFFSGLMQLSMAQDKQPAAVRVIDAKGVDQAIAYLLMFAALF >Sspon.04G0011180-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:36124487:36125571:1 gene:Sspon.04G0011180-3D transcript:Sspon.04G0011180-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLAPPPAADHKAWPRQQRHAEKAAGAPAPAPREPLVQRRASFHGRGTHEHQQQQQQRDHLLLRQRPRTHPDLLAGVRERGFRRAGVHGGNATAAAAVPWGRRAAPSKALVTVAVQRGMWPLQVMAGAEWRVADLVAAAVELYVREGRRPLLPSADPSAFGLHYSQFSLESLDPEEKLMELGSRSFFLCPRATAAVAAPSTRPATEASKATPTSADRPNMLPPWLGFMHFWPLL >Sspon.04G0024320-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4B:18772885:18773253:-1 gene:Sspon.04G0024320-1B transcript:Sspon.04G0024320-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTNEQIFHPSSTTIQNANLANESYNPKHRPGKGKIRTVDTSTPSMAKLDLSSLACAASIICFTVSGSSVCALRPCQPHRSIGSAHDGSAGDEEQMDEREQNKGGIRKDLGEAAALRDHRGL >Sspon.01G0026380-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:122447233:122449972:-1 gene:Sspon.01G0026380-2B transcript:Sspon.01G0026380-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RCSGIGVGRGTFVDINGRDMLLISLVKFAQELLTVVTLMGTGKLGDLGTRALVDGLMAIEGVGVIGGEEPQDDRKEKLMDNMLFTHEANADQFDLLSYGNLRGVDTSRNSEESSFGNDLKDGSSVSSENFSSSCLPGENYQSATIDHEKRPLSDVKPCQVACKRPKQTDHHTWLYSFEEDPLTREVGISSPALADGLVETKQPNDIPAINGGTTCSGSSDTPCLNHDQSVLVESLDVPDWATSFPGYFEDCGPVATYNHVDDIGSPVHEYLPRKGVPIGPEHQADIPEWRPRTSMIVPGASEFCADLDCSSASTSESVPTGDDKWIRYCVVPMTSCSSLVDWAGHNKIDCNCSDEGSMRCSRQHIIEARDSLKMSLGQDKFCELGLCEMGEDVAQRWTDEEEKLFQRFVFLNPVSLGKNFWDHLPDAFPGKTSQELVSYYFNVFMLRKRAQQNRSDVLRVDSDDDELHGLPLVEQEEGDPAVESSIHEHFVSNSLTMDDDHKEFEGAQFDGSLCEKSVYSAVECRHLPNKMPADSNTVNTAQDVYDQDRAQYAEFHMSLPNDTSNNLGDQSASV >Sspon.05G0033300-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:28881275:28887211:1 gene:Sspon.05G0033300-1C transcript:Sspon.05G0033300-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASAAASSGWKRGKVKAVPSGDTLLIMDSVPGDAVPPEKSLTLSGIIAPRLARRYGTDEPFAWESREFLRKLCIGKDILFKVDSTAPGREYGTIYLGDTNIAYLVVANGFAKVKEQGRRKGDNNPYTTELLRLEEKAKDQGLGCWSKEHGIIEASTRILPSSTNLLKVFCSNERKALEAIVEQVRDGSTIRVYVAGVQVIFLLLHLWEDEHSFQVLLKLELLDVDVNSTTTAQVPMAAEQKLVPSSSTYSEIPPDRFGREAKHFTETRVLNREVRIILRGTHSFDNMFASVYYCDGNTDKDLALELIENGFAKYMEWSANMLGAETKQKLKNADIQVKKEQLRIWTGFRPPAINTRPIHNQKFTGKVIEVVNGYCVIVADDVAPCGSPLAERRVNLSSIRAPKLVHPSRESETIEHFARAAKEFLRTRLIGKQVHVSMEYSRRINIANGQVVTDKTNQVGTRVLDYGSVFLPSLVDGTGSPSPNGSSSPLGANVAVLLLSRGFADITRHRDYEERSHHYDALLGAYSHAEKAKKGYHSKKDYPVTHMNDLTTVPAKKAREFFHLLQRNKKHSAVVDYIFSGHRFKLTIPHETSTIAFSFSCVRCPGKNEPYSDDAISLMRRRILQRDVEIEIEAVDKNGTFLGSLWESKTNMASVLLQVGLARLSSFGLDRNPYAQNLIEAEKTAKQKKLKVWENYNELEVIPQGSMTEQNGKETFKVIVTEVLGGGKFYAHIVGDHRVDNIQRQLASLKFNEISETSKDASDTLGNQDQTINTQLDTLEVKVKPHIFPAKWSSLFKDHLNTMKGEVQSAEESNTSKVNDPSNDIPFNPTKGDVVLAQFSLGNSWNRAMIVSEHQGPTEREFAVFYIDYGNQEIVTCSHLRPAPAKFSISMIPPLAKLCSLAFVVVPDITDDLGEKAAGYLRMLLLDNEGEFKATVEERGSVGAKLEGQGTGEVLIVSMYDEDAEISISAAMLENGLAQLDRKRWNSSWERRATMKHLKEFQEHAKKKHRGIWQQAVVGVPDKSVEEDKTLEDINNNEELLAAAPASIASTTAPEAQ >Sspon.06G0013350-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:57735638:57740787:-1 gene:Sspon.06G0013350-2B transcript:Sspon.06G0013350-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHATKAAHADDHDASPLPLPLPLPWPRPAPLLVILSLLAAASYLALTRVPAFASGRLIRAALLPIAPRPYDAPAPGDSCAGFYAGAGPARAVTASVEQFGAVGDGATPNTAAFRRAVAELHARAGGGGARLEVPPGRWLTGSFNLTSRFTLFLHRGAVILGSQDPEEWPLIAPLPSYGRGRERLGPRHISLIHGDGLNDVVITGSNGTIDGQGNMWWELWWNRTLNHTRGHLIELVNSTNILISSITLRNSPFWTVHPVYCSNVVMKDLTILAPLDAPNTDGIDPDSSSEVCIEDCYIESGDDLIAVKSGWDQYGISVGKPSTNIVIQRVSGTTPTCSGVGFGSEMSGGISNVLVRDLHVWNSAQAVRLKTDVGRGGYITNITIANVTMEKVKVPIRFSRGADDHSDDNYDRTALPRISNVLISDVVGVDLQRAPMLEAVPGAVYEGICFRNFSLRGIRRQHRWHCESVSGEAHEVFPAPCEEFRKNRSSSCGMITVRLIHV >Sspon.05G0037200-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:10401617:10407288:1 gene:Sspon.05G0037200-1P transcript:Sspon.05G0037200-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAAAEAEAESAADLGDGESTVLGSVIFPLALAGPSVAAVRRCDAATPRRLTPAVQHRRRHPTVLQVGISPATPDIVHKPTWASGTTAADGSCCTALYTSATAGPRKVDSDCLASVPSHAQEHSQSAMPEDLGQVDCDPVGTQESSTLPPLVGESSGNLAQQEQQQHQEEGVDSGSDAGAASPAHTPTPEKVGPTTRRWGKKSTKGVQRLRVAKDKVMKPKLTTPSTVKKNKKKMPEDGNQRVGAARSNSARRKLDLDSSESKTCFSRAELMGNLMSLAKIHGLNSEPTTRKRSKRGKKRKLTVGHKESGQLALLPYQRTPTVAASFALQPLWYSTQLDIPRPRNHGKLQAKVLGLTDETLRVFAVLTEWDRSDSESFEGFDIGSGPEWDTTRRTFEHYEEVDYDTEVKGHYGEDENYNRLIGNFAASMKQKNISTWDSDLMNLVKDKSGNPVCTEKNLKRILASLQQPDTASNWKELREEAYKKGYNDKSRTETSDVVDWESVLNAPFSEVAKCIAIRGQHNILCVRIREFLDHVQKAQDGSFDLDWLRFISHEKAKKILLTIHGFGVKSVDCLCLLSLRHRAFPVDVNVARIVTRLGWANFSP >Sspon.04G0012510-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:37248216:37251234:-1 gene:Sspon.04G0012510-2B transcript:Sspon.04G0012510-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFDFATVGTTYFDALIMAVDSPEVKKALLQKGYSNLVIQMGRGTYVPSKISGDATLQFDHFTFSPSIAYNMGTASLVISHAELALAGSGSIFETLRLGKPLIVVVNEDLMDIHQSELAEELAERKHLFCARPQTLGVTIRAMDLETLVPYKPGDAKPVVTLINKFLGFPVD >Sspon.02G0027570-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2B:101741636:101743561:-1 gene:Sspon.02G0027570-2B transcript:Sspon.02G0027570-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVELILWLFSFASVMVLIGLTAYQASPTAPFASLNSSPCDGECGPNLARGRRSSARFRPTAAVIAWGFDAVFGQRCYSSRAIHSVEDGIMPPWHWN >Sspon.05G0031180-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:3539417:3548245:1 gene:Sspon.05G0031180-1C transcript:Sspon.05G0031180-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKVRFGLLVAMFNAMSGDKSSAKKRSRLRAFLDRAYVPSGGRDDYFSVLRLVLPGLDRERGTYGLKEAALAAVLVDALGIARDSTDAVRLTNWRRGGGGRNAGNFGLVAAEVLYILFLADFARHKFPEEIDSYADYYYWDIEIADLKQIFSNIDKDAGDSNMVNQYKKKHCVDERFCFFQGCCVYLHNAPLVNADYNVISDLALKRVKQDLTMHGGQVCSSIVPATHLVVVSVLQAYNFDNLYKSFPPAERRYLHDKQLHVVSNKWLEDSVEKQMKLSETAYSLKPDTLEELEIER >Sspon.04G0025130-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:28705925:28709879:1 gene:Sspon.04G0025130-2C transcript:Sspon.04G0025130-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQDTPMLKPGWMQRDKAAGAANIWATASSHSDYQAAGGSLRNHSSGHYRDHGSQQSSSGRSSGSNGSRRPDRDGMGKSRDYFNFRKFKDRDRQRDFDSRDWESRPAAAVCDDFKSFSTCKSERDRMNRTRSKADTWNKGSVSNTSISNAVSNASNAASITFEREFPQLSSEDKNGRQSISKVPSPGISTAIQNVPMISPDGWSSMLADLPLLSDPKKSLATSSLLHIAPSKQTEVVPNSGTALSMAETVMQAPLRISIRPQLSTEAQKIEERTLKQLTLRPITPPASKSSALSSLKIKGTRLGDPTGPGKTSQLLKVQSANGSTRAPVKADVSKLSQPGSFQVLSREQNGTAHTTKDCPVKPVSPPAPLVSMETQKKPVVSQKLKFGTNERPLPLQGPSRDRKSNARDKVRFFEMLRTKASNGSSTVDVKQDSSLNLGNDFSLFHSEMKCTGHGKCFCEEANSSEGSQRHLSDTEEHIPSLKSVVGRMPQQPLVESREADTSSEPADTGDEGFQSSLLGSTEGSLSSTPADSDDGWNRSQSGNEEASSLSEDTEPDDEYHPAHISPEDKRFMMLLGWKEDEIVQVAPLDFDEIADTVKGCEELKKKLQSMESNEDIKSILLHIELQQHKSTGA >Sspon.08G0022970-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:52073747:52078504:1 gene:Sspon.08G0022970-1B transcript:Sspon.08G0022970-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MCVEEPEDAERLGFGDAGVEEPAKFPLAQVFDGHGGKDAAHFVCDNLPRVIVEDSDFPLQLEKVVRRSFMQIDCQFAETCSLHRATSSGTTALTAMIFGRSLLVANAGDCRAVLSRCGTAIEMSMDHRPCSLSEKLRIESLGGYVDDGYLNGLLGVTRALGDWHLEGMKEVGEPGGPLSADPELKMITLTKDDEFLIIGSDGIWDVFSNQNSVDFARKRLQEHNDVKLCCKEIVEEAIRRGATDNLTAVLVSFHLEAPAQIKVDRPGRVARSISADGLNSLRILLGRQ >Sspon.02G0018040-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:56175712:56177109:-1 gene:Sspon.02G0018040-1A transcript:Sspon.02G0018040-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLGSQGLEVSAQGLGCMGMSTAYGERKPEADMVALLRHAIAAGVTFLDTSDVYGPHTNEVLIGKALHLHGAREKVQVATKFGITPDVRAVRGDPAYVRAACEGSLRRLGVDCIDLYYQHRIDTKVPVEVTMGELKKLVEEGKIKYIGLSEASASTIRRAHAVHPITAVQLEWSLWTRDAEQDIIPTCRELGIGIVAYSPLGRGFFSSGAKLLTELPDDDFRKNLPRFQPENMEKNALIFERVSQMAARKGCTSSQLALAWVHHQGSDVCPIPGTTKIANFNQNLGALSVKLTPDEMAELESYAAMDGVQGDRYHSTFLNTWRDSETPPLSSWKGN >Sspon.08G0021960-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:46843593:46844768:-1 gene:Sspon.08G0021960-2C transcript:Sspon.08G0021960-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVTGDAGGGRRPNFPLQLLEKKEEQPCSTSPAAAAGAGANGSAGSGEMMQMKKVAPPKRTSTKDRHTKVDGRGRRIRMPAICAARVFQLTRELGHKTDGETIEWLLQQAEPAVIAATGTGTIPANFTSLNISLRSSGSSFSIPAHLRAACLPGPRFGGARGDPWERVVGLGFGGAEGPPSATSSASSPLLLSFHSGSVGLDVSPSSSSAAASSDLSKKRRWEQEMQQQQQYQQQMAGYTQSQMPGTVWMVPGSNAQAAAAAGGGGSNESIWTFPQPGSGGGGGGGAATVYRGVPSGLHFMNFPAPMALLPGGQQLGLGGPVGGNDNGSAASGSGGEGRMGILAALNAYRAHAVSDAALAAQNGAEGGSSQHHQQHGGGGGERQESMSPSDD >Sspon.07G0021130-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:965842:966318:1 gene:Sspon.07G0021130-1B transcript:Sspon.07G0021130-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGYGEELLGRVSGLVAECTRRVSRATRRLLRRHQGAGGQRRRKNQTSSTSAAAGTVVAAALCARGAAIDKGRASASSGEALWSRRILMGERCQPLDFAGAIHYDSLGRRLARPPMPRSASSLSCRSSSGSGHSTADADGSDASYPAENDDVDSSYS >Sspon.06G0002700-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6A:8488429:8488626:1 gene:Sspon.06G0002700-1A transcript:Sspon.06G0002700-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWASGVAAAAMAMAVTLAAATLLGTASASPAEGIQPLSKIAVHKATVEMQPLAYVRATPSLLGEQ >Sspon.05G0023990-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:5B:11523110:11523652:1 gene:Sspon.05G0023990-1B transcript:Sspon.05G0023990-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHEWIAANLRRAGGTYQTCIFAVPGVARRGGLITVTCDPRNLEHVLKARFDNYPKGPFWHAVFRDLLGDGIFNSDGETWVAQRKTAALEFTTRTLRTAMSRWVSRSIHARLLPILGDADVVDLQDLLLRLTFDNICGLAFGKDPETLARGLPENAFASAFDRATEATLNRFIFPDCVWRCK >Sspon.01G0031110-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:105908233:105909659:-1 gene:Sspon.01G0031110-2D transcript:Sspon.01G0031110-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDSAAARPPAPHTTQTEADVEEERKQQRGMGERDTIYRDANGPACKKSSQQTYNARISCDPHHSDSTLQQRCVAVTPLPVRQERAGVHEMLAGSCRVTQNCLRLMQWPAGNPQAGPLASGRCAWRLYSV >Sspon.01G0003070-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:8337313:8339751:-1 gene:Sspon.01G0003070-1A transcript:Sspon.01G0003070-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVEVTDMEKRDVDVEARFREWMKREGKVYPNQEEERKRFEVFKETVKKMDALYEKSSVRYLPESPWADMTYEEIKEMRGYNKKVNYDQYLEEEKAMTRMMKVASFLTNKILFLTFVSFWEQSTRPSVTWYYYYDYCLLRLLAMLRSGHSVVYTRTKRSKCTHGVTGAGKTTLFGVPAGGKTGVTEGEIRTGGYPKVQETFARILGHVKHLYTLFVQTIIRWIIYGTGSLERASIEQWLQAEAQSFDAPSSELVFQLAFAPHLKDVNPDEARIAENEKKLQNMLGVYDETLSKHNYLAGDEFTLADLSHLPNSHYIVNSSDRGRKLFTAKKHVAKWYEKIASRESWRQ >Sspon.01G0019650-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:73359661:73361277:-1 gene:Sspon.01G0019650-1A transcript:Sspon.01G0019650-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFRVADASEYLAITGWGIDDVKLAKKAWVFVGQQCKKFDITPVNYEFEVHAMSSEKLPFILPAVFTIGPKISEDGTHRASLLLYAKLIAPHDKNSSHVRELVRGVIEGETRVLAASMTMEQIFQGTKSFKQAVFENVQLELNQFGLYIYNANVKQLVDVPGQEYFSYLGQKTQQGAANQAKVDVAEARMLGAVGAKAREGTTLQKAAEVDAQTKVFRVRQEAIGIKEQAKVEAEVKVFENEREAVVAAAKADLATKKAAWDRQTKVAEVEASKAVAIREAELQMEVEQKNALRLTEKLKAEQLSKATVQYDMQVQDSNAALYSRQKSAEAKLYEQQKAAEARKAQADAQFFEQKLAEDAKLYAKQKEAESLATVGKAKADYVAAMLQALGGNYHALRDYLMIDGGLYQEMARINAGAVSGMQPKISIWTGADGAGAGGGGAAMQQVAGVYKMLPPLLSTVHEQTGMLPPAWMGALPKDEAN >Sspon.02G0030670-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:106389778:106393358:-1 gene:Sspon.02G0030670-2B transcript:Sspon.02G0030670-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSGVLKRVSRLEEKKVLQHELSHLKDNKGDLDRKHFSIKEQIQEVNFNVESLQSLAQEVRDGNVELKETIKNHEGVKALYVDNLMLLERTLEKNAHLERSLSAATTEIEGLREKKAALEESCKHLHSKVNGHQSERAMFVARIEGISHTMEKLSEKNVFLENLLSGNNTELELLRRKLKDSEESTHSFRNQNSVLRSEKRTLMREVDSINSALLSLETQYAELEGRYLDLEQDKDKASMKEDQLQEEEHKIVEAQTEIFILQRCLGDMAEANADVLARLQKQQVLLLHEIKCLLNTISDAQDVKQNQILEKSLVVTLLEHFGREVADLRSERSVLKQEWQTKSEELMQLQRERHDLLKISCELRKEMEARNRKVDELKSEAKFLVRQLSELQESRQSLQAEIVKLIEENTSLSSQVYGSREKEKSFDDDFSTLIGEAVRTDILGVIFRSLHEERTAQLQCLHEDFGSLHAAGNELYQEIKLMNKKLGDLQLENNYLEKELSRTLSICDGSGTEVSSGRRRAMRRDTKLLKSGRKSQESGQNMEQRKEVDNAGLEKLNEMLREELQELKNELQVLRSKEQPVIDVKSCDAEITKLLANMQLATANASLFKEKVLELIVTCESFEISDMVQKEVLKEEITRRNSYVDELKDKLNAIEIENRRLKVDLNGDFTLLGALQTEVDALEKQTLSLAKDCLPPSILKKEENPLSPQLSKIAVRPSEDQNTTKMVKDMELQKLHGTIKALQKVVSDTGVVLEQERLDFNSNLQDARKQIEMLKLKEILDSDASDVNYERMMKDIQLDLVQTPSRRAAVSHGRHRKKNSVAAAAQSDDKMLALWSVDRVSSGSRRYDVDLQPPQSEAAENDKVKKRSSSEPVVTVKDLSVDKQEVLSRPMAVAAAATATTTEPHREWKKKVIDRLSSEAQRLRDLRSIVQELRAGVEASSDAELDGVKAQMADAEDAIAELIDANTKLLKKAEEFTSAGDGGGDVDLRSRSQRKILERVRKMSEKAGRLELELQRFQHALLRHEEERAARRAAKAAATTVQ >Sspon.04G0013710-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:56501625:56505048:-1 gene:Sspon.04G0013710-1P transcript:Sspon.04G0013710-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPAEETPAVEDTASPPPAAARSRGFWFLGEDKSVHKALGGGKTADVLLWKDKMTSAAVVGGATILWVLFEIVEYHLLTLLSHVLIVALTILFLWSNATVFIKKSPPDVPEVQISEDLAVNIALALRADINKALALLREIALGHNLMKFLGVIVALWILSEIGELCDLLRFMYIAVLIFHTLPLLYHKYQDQVDDFAAKAHRELCKQYKVLDAKVLSKIPRAPPKNKKQN >Sspon.02G0041100-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2B:73899908:73901513:-1 gene:Sspon.02G0041100-1B transcript:Sspon.02G0041100-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGLTNVKKQHFSLTRNQHQQQHQPKFSETNRIVHGPPVVLHFTGRMRPAWPRESRALSACMAGGARTNLRGLRVMVRVQSRQGAGMVQCTGPNGL >Sspon.08G0013420-3D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8D:54083443:54084827:1 gene:Sspon.08G0013420-3D transcript:Sspon.08G0013420-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRLRSPRIPMADNESWRVPSIVQELAATVPEPPSRYLIPEQDRRHDQLAGAEMPDPVPTIDLQRLLASDDSADEEATKLRSALLSWGFFLVTNHGIATSVMDALMAASREFFRKPLEEKQVYSNLIEGKQWQLEGYGNDPVKTQDQILDWCDRLHLRVEPKDERNLDRWPGHPECFRGLLHEYTLGCKRVKDGILRAMARLLELDDDDGITDQFGDTGSTTYARFNYYPACPRPELVLGIRPQSDVCVLTLLLADEHVGGLQFQRDGTWYCVPPVRDRALLVNVGVSLEIMSNGIFKGPVHRVVTNSEKERMSLAMFYGTDFEKEIEPIAELVDEKIKFRDFVAAHYEYFSKRERVIESLKI >Sspon.01G0027980-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:110843754:110846623:1 gene:Sspon.01G0027980-2D transcript:Sspon.01G0027980-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAGKVIKCKAAVAWEAGKPLSIEEVEVAPPQAMEVRVKILFTSLCHTDVYFWEAKGQTPVFPRIFGHEAGGIIESVGEGVTDVAPGDHVLPVFTGECKECPHCKSAESNMCDLLRINTDRGVMIGDGKSRFSINGKPIYHFVGTSTFSEYTVMHVGCVAKINPEAPLDKVCVLSCGISTGLGASINVAKPPKGSTVAIFGLGAVGLAAAEGARIAGASRIIGVDLNPSRFEEVAHPFVRFSARKFGCTEFLNPKDHKKPVQEVLAEMTNGGVDRSVECTGNINAMIQAFECGWGVAVLVGVPHKDAEFKTHPMNFLNERTLKGTFFGNFKPRTDLPNVVELYMKKELEVEKFITHSVPFAEINKAFDLMAKGEGIRCIIRMEN >Sspon.08G0026640-1P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8C:31819064:31819831:1 gene:Sspon.08G0026640-1P transcript:Sspon.08G0026640-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SLDKKNDNATSDASNDSTSCTLDGEDDGYESDASTSSSISSHGDTKVSIGGFVVDCDGPNFELLYKLSKALRNEMAKTSKLKNENSFLKTTCEQQKHLLYVTTCSHEELKLAHEELSVAHDNLAQEHALLTKKLSNEETKTSESSSFGSNDQSHITNPCDVGKKHVSTSCDDLLDMPCSSQLNVCSTSMSCETNLLKENNELKSEVKNLSNKLERCYNSKVTFEHIMKTQRNLGDKSGLGFKKKLTRAKESKKRE >Sspon.03G0016290-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:75982719:75993674:1 gene:Sspon.03G0016290-2B transcript:Sspon.03G0016290-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGESGPAAASAPLVRRRPQYYEGCPGCRLDEANETNTGVPYLNFFYIWVVCLTAGPSLRAFFPLVPCLSRGRFCGRPPASQLTRAGSGVQACARCAAASLHSGVPARGKGGGSSGPAWLGKAARARARWSGGGAAMPAKRGSGTVAARRRPCDTNRRSSPRTSIAMNPVAARYCYGGSLEITTRDVAPLRCAAALLDAPDLARRAEDFLAQAALRSLPGGGRPSSRRRSGWSRRCGATAYAERVLARILAAPGRRGSVVVRRTRTSARCSSPSWTRCLPPPTRPSRPRCSAAHQVVQPECLAVGQPCGLLAGVTLPIQSLFPYLYFMIRDLKVAKEEQDIGFYAGFVGATYFLGRTISAVPWGMFADKYGRKPCIVISILSVIVFNTLFGLSTTYWMAIVTRGLLGLLCGILGPIKAYASEVCRKEHQALGISLVTSSRAIALVIGPAIGGFLAQPAEKYPNLFSEESIFGRFPYFLPCFVISLLAAGSCIACIWLPILSIILLTTYPFMANLHGLELKILINMASVLKNMFATQEQRGVANGISVTLMSMFKAVAPAAAGILFSWAQKHISGLFLPGDQILFLMLNMVSVIGLVLTFKPFFSLPNSMRHS >Sspon.08G0022850-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:51391503:51395628:1 gene:Sspon.08G0022850-1B transcript:Sspon.08G0022850-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHDHAHVQGNSNGSGGGNNSGGKVGGAASGTTKSGSGENGNGSGGGGGVRVAEHQVAKMMEEDMGTAMQYLQGKGLCLMPISLASAISSATSSASLLSRPPAVARHAAAAALAGGQMHDANGGAAAATTTTAGGGGGGDSRSAKDGGGKQPDDAPIDATDDRIAVLFHKTFKEPVDSPTREALRELMFPSPCERGICKGVRRTWLYETRPASPKIRMQAIERERASDFVCGALRFLAGLFRGSSFA >Sspon.06G0029480-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6C:23743749:23746162:1 gene:Sspon.06G0029480-1C transcript:Sspon.06G0029480-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GGGGELRNKRPLAPTTPRPRSPPTKRRAVAAAARRLFPPGCGRDAALPLASSDG >Sspon.02G0008860-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:25209560:25214614:-1 gene:Sspon.02G0008860-1A transcript:Sspon.02G0008860-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ISYLNALRYGLKRIFFGGFFIRGHAYTMDTISFAVHFWSKGEAQAMFLRHEGFLGALGAFMSYEKHGLDDLRAHHLVERFPMGAPYISWMEKFVQKGTQIIAPVPMGVPATTGMGGFERPTSKGDILRSDASAALNVGVLHLVPTLDVFPLLEDPKTYEPNTIDLDQDEFKYWFKILSDHLPDLVDKAVASEGGTDDAKKRGDAFAHAFSAHLARLMEEPAAYGKFGLVNLLELREECLREFQFVDAYISIKQRENEASLAVLPDLLMELDSMNEEARLLALIEGVLAANIFDWGSRACIDDFDMFKKRMLTDRKDQPYKRALLFVDNSGADVVLGMLPLARELLRHGTEVVLVANSLPALNDVTANELPEIIAEASKHCGILRKAAEAGGLIVDAMAGIQDDLKDEPVSVPLMVVENGCGSPCIDFRQVSSELAAAAKDADLLILEGMGRSLHTNLNARFKCDALKLAMVKNQRLAEKLFNGNIYDCICKFEPQLAEAT >Sspon.03G0015240-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:69060743:69062344:-1 gene:Sspon.03G0015240-3C transcript:Sspon.03G0015240-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RTECGVRLAAAGYGVFGMDYEGHGKSMGARCYIRSFRRLVDDCSHFFKSICGRLQPELEEYRGKSRFLYGESMGGAVALLLHRKDPAFWDGAVLVAPMCKVSQQISEKVKPHPVVITLLTQVEDVIPKWKIVPTKQDVIDAAFKDPVKREKQIRRNKLIYQDKPRLKTALEMLRTSMYIEDSLSQVKLPFFVLHGEADTVTDPEVSRALYERAASTDKTIKLYPGMWHGLTAGEPDENVEAIFSDIVSWLNQRSRSWTMEDRFRKLVPAPPRFIDGDDAMDGKAQTQVRPRRRRPGLLCGLAGRTHHHAEM >Sspon.02G0057170-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2D:45491766:45495891:-1 gene:Sspon.02G0057170-1D transcript:Sspon.02G0057170-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLLRAAHDGYVPKIKTLAKRLEEHAGMSVDEAVRWVQAPWSKRHGPLHMAASAGKINACKYLINDLGLDVNATGTDGYYEISELLLSKGAYVDPLWESKSPLYIAAQYGNARMVELLLHHNAEPKGVVVYMPLKAAISARSLIGLELLIKAGAPVNIGLPETPLIAAAAAGLTDFVKCLLKADANANIPDDEITKL >Sspon.04G0008020-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:22622283:22625030:-1 gene:Sspon.04G0008020-3C transcript:Sspon.04G0008020-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MITFADLTEPAAAGAERCVDRQLWLACAGGMCTVPPVGASVYYFPQGHAEHALGLAGAADLSAARVPALVPCRVAAVRYMADPDTDEVFARIRLVPLRGGEVDAGGLEDDAAAADEQEKPASFAKTLTQSDANNGGGFSVPRYCAETIFPRLDYAADPPVQTVVAKDVHGAAWKFRHIYRGTPRRHLLTTGWSTFVNQKKLVAGDSIVFLRGDSGDLHVGIRRAKRGFCGAGGGGGEEAPTRGWDHYAGLMRGNVGPCAAAKARGKVRPEDVVEAARLAAAGQSFEAVYYPRASTPEFCVRVAAVRAAMRVQWSPGMRFKMAFETEDSSRISWFMGTVAGVQVTDPIRWPQSPWRLLQVTWDEPDLLQNVKRVSPWLVELVSSMPAIHLASFSPPRKKPRIPAYPEFPFEGQLLNPAFPPNPLSHGQHHHHHHFLHAHPPFFPFPDGSAPAAIQGARHAQFVPSLSDLHLTHLQSSLLYPGLRRPDHVGPTIPIPARISTDLTIGGAPARDDHVPCALSIGATNKQRPDAVKPAGLVLFGRTILTEQQMSLSSSGGATSPAATGNSSLYWNAEKGPNVSEGSGSGVIQNSPTKQNASSERPPWFGDGSHSQQQVSELGLEPGQCKAFVESDTVGRNIDLSALSSFDELYGRLSEMFGIEGAELRSRVLYRGATGEVKHAGDEPFSDFVRSARRLTILTDAGSDNLGS >Sspon.08G0027790-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8D:1524096:1524342:1 gene:Sspon.08G0027790-1D transcript:Sspon.08G0027790-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ETTTQKTATEDEAVVAKHPPRKTATEDEAVVAKHPPRRDDRKMKPWWQSIHREETTTTVKYLPEQDQLAARWQSIRQRPRDK >Sspon.02G0031680-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2A:115774542:115775261:1 gene:Sspon.02G0031680-1A transcript:Sspon.02G0031680-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVELEAAFAVASVLAVVTVAALLRACSRRAAPAPPLARRRRRPPPSSWVAWRTSRPGSTTPRSGRCPRWCTATRKRTGRWPDQGAPGVLRGVPGRVRHRRRAPGAAPVRARLPPALRRPLAAAAPDLPRLPLAAGDHPRRRHRQTTPAAVLIYCYFSSEFRGIRCKVEALYCSIKYVEIRMEWKKVKKHEPIHSNHTNSAETSHQQIASGSDEILILLFMAGFIGAGCVSIHTPNHSLF >Sspon.03G0013470-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:49925362:49929291:-1 gene:Sspon.03G0013470-2B transcript:Sspon.03G0013470-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPQTRRGRAAGEGRPSSSAPTPAGPGAVEVQRRRVGGGWTSRRISIYASRVFFLLIMLQIPLFRVPCRAGTCTTPIQVTSSQLVSNEIFPPAVVKAMLYPGAIVSSLTKSKTFPSWSDLFDMYNLTEAKNASAVVDLQRLEILAGSYFCVAGALVGIINPGRMTLFGTLLVIWGLVKEALFGKPVNSDPTQSTYVYPTILIALTCAFMSITYNVKKTARSSPPVSIAKPLKSSAKSKLK >Sspon.05G0030730-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:232952:233484:-1 gene:Sspon.05G0030730-1C transcript:Sspon.05G0030730-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMVYIAAFILILLSSLCRSNNHLTQTKPLLLKDKLISEGGDFALGFFSPINSSKKLYIGIWYHRIVERTVVWVANHDKPITTPSAKLAITDNSELVLSDSEGHTLWMATRNTGGGGGAGASTLLLSSRNFVLQSSPNGTEVWQSFDHPTDNILPTMRLQGTTGDTLICLEGP >Sspon.05G0002940-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:10857964:10859921:-1 gene:Sspon.05G0002940-4D transcript:Sspon.05G0002940-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASALLAGRGGAHHHSWGETRAPLAPIPPNPSPSQPHPRADGSKSKSKPRAAAASSPAAGYVTFRPSSLGHREARALRDRLAGELGQVRALLSRIDTWQQQGPPLPPAKQQLRGEMRKRCGQILTRLRKDKRSVWFNAPVEVERLGLHDYHAVIKRPMDLGTVKEGLAAGRYASHDDFAADVRLTFTNALRYNPVGHEVHTFAGALLAYFERMYKEALANFEEECRRLEPPRPVAAELPPPLAAEPVEAKVKPRAGNARMRKPKAREPNKREMSLEEKNMLRIGLESLPEEKMHNVLQIVRKRNNNPEMLGDEIELDIDEMDVETQWELDRFVTNFNKALKKSQRAAMMNGGVADVTSASVVAEDDTAPASDVPALIDNDDAESEKPVMSTAMAEQVDEYVDIGDEMPTATYQSMEIEKDAEGATGSGGSGSGSSSSSGSESRSSGDSASGAGNAHSLA >Sspon.05G0008570-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:25665289:25674080:-1 gene:Sspon.05G0008570-1A transcript:Sspon.05G0008570-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGSTNPFGQSSTNPFGQTSFGTPQGFDQSSTAANNPFAPKPFGSPTTTFGAQTGSSLFATTSTGAFGQQQSTPGFGTTSTGAFAQQQSTPTFGTPSSSPFGSSTPAFGASPTPAFGATSSGSLFGQKPSFGGFGSSPSQSSPFGSTFQQTQPTFGSTTFGASTTPAFGTSTTPAFGTNTPAFGTTTTPAFGATTTPAFGSTSTSLFGASSTPAFGSTAFGTSATGFGTSGTTAFGVSSTTQGFGSSSTPSFGTSASAFSFGSSPSFGQTTVSSGSTPFGATPSPFGAPAPAFGSQTAPAFGQPQFANQAGGTRIKPYAQTPDVDSATSGTQPAAKLDSISAMPEYKDKSHEELRWEDYQRGDKGGPNPSGTPAAAPSFPSSQQNAFAPTSNPFNPPATNPFSTINPFAPKPAPTSTSPFNTSFNNASSSPFTSSTSTTMFGQTGVSTFPASTSPSLFGNINLASGTSSLFGTSVTNNPSPFGTGSSLANTQSAPLFSSSFAQPISSTPAFSSGSLFGSTNPGNLFSSSGPSLFSTPSAAAQSSNMFPFQPPIQPAVSTGGFPGFSNTTNQALIGQPTSQSSMVMQPAPVSNPFGTLPAMPHMSIGNGGSSPSVQYGISSLPVAEKPLQNRALSMAVPRHLSQRRIKLLPRKYNTISDGKVPFFADDEESPTPKADAFFIPRENPRNLVIRPIEQWPSRRETDRQSTLKNATDLNKYEDACTESERGKSAMSPSSRPPAVENDNQHEARAMAQHGNGNVTSVERLLPKLPRADYFTEPSIEELAAKERAEAGYCSWVRGFVVGRHGYGSIKFLGETDVRCLDLESIVEFNNREVIVYKDDSKKPPVGEGLNKAAEVTLLNIKCINKKTGEQYCEGARVERYREMLVKKAEEQGAEFVSFDPAKGEWKFRVKHFSAYGLW >Sspon.04G0018590-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:82593439:82597445:-1 gene:Sspon.04G0018590-1P transcript:Sspon.04G0018590-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRSGDGAAVAAVVLVCWLCFAAAGVGAIGANWGTQASHPLPPETVVRMLKDNGFQKVKLFDAEEGTMNALKKSGLEVMVGIPNDMLATMATSMKAAEKWVDTNVSSYLNDGVSVRYVAVGNEPFLETYNGSFLQTTFPAIRNIQGALIKAGLGNQVKVTCPLNADVYTSSTSKPSDGDFRTDDLMLTIVKFLSDNGGAFTVNIYPFISLYIDPNFPVDYAFFEGASSPIVDGSFTYTNMFDANHDTLIWALKKNGFENLPVIVGEIGWPTDGDRNANAQLAQRFNQGFMTHIASGRGTPMRPGPVDAYLFSLIDEDEKSIQPGNFERHWGIFTYDGLPKYQLNLGTSNSGGLVRSRGVKYLEKKWCVLKPSVSLNDPKLADNVGYACSMADCTSLGYKTSCGMLDTRGNISYAFNNYFQKNDQDDVACGFQNLATTTGQDPSTGTCRFGIMIEVDSAFSWRLQGHGNNLLLILLLCDMVTQMGNGATKHRQVRDSFSLSDLGLWVGTAP >Sspon.05G0036400-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:86553582:86556112:-1 gene:Sspon.05G0036400-1C transcript:Sspon.05G0036400-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MREVTIVEKSASTSSSSSGPYVCADLLDSLLHEVIVLINSFQDFLAFTGTCQSWRAAVSSFPSVYAFSFPPLHLESDGPYVLPHSGGIKPLRLSNCKWKLSDITKKNLSLRCSAPQNTPNEMHYLGCSHGYLIFTCEGHCLLVDAYTGAKVKAPKLPCNINLGCSSGMGILTAPFSSPNSRLLLFSRSCMFEWQVGTNSWSVHLLRLDHEHIYQVVSFRGHILVIDVRMRLHTIRLTPQFSMKEVAVMWRSLRKLPLTPWLVVCGDMLLMVDSGTTRSSLPSHVIRFFEVFRLDFSVKPARWVQMEKLGNHALFLSLDRRNPTFSCMNPERWGGKSNCVYVARIFDDANPEETWTALEVGQSVPQRCIVETVMCGLAFPPDYSQIESGHTIVNS >Sspon.08G0012920-4D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8D:53826798:53827178:-1 gene:Sspon.08G0012920-4D transcript:Sspon.08G0012920-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RHHSTGSIKLSRPHRSTSGRGTAAGAADERGERSALAPAMSGVQREVYSKKGVMRRLMRWSRPRWAAAEQAECAVCLDEFRAGDVLAHLPCGHRFHWACALPWLEGTSRCPFCRAAVDAAAAASNN >Sspon.04G0016350-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8B:12747323:12747810:1 gene:Sspon.04G0016350-2B transcript:Sspon.04G0016350-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SDPAVEGGGVLQGVQAPSPAARGPRQGAAHRLRRAVRGEHRHQRLPGELLPAGDGAVRGVHGGRVRGLPGGAGGVVPWRDPRAGRARVTFAGLSPIGCLPLERTLNTLRGGCVEEYNQVARDYNAKVVDMLRRLMAAHPGL >Sspon.03G0024440-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:97932887:97934038:-1 gene:Sspon.03G0024440-2B transcript:Sspon.03G0024440-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding WPMEEEMFVAVRRTEHVEVTSRAVEVAPAAAKGREAAGASAVAGPRTVRVFCDDYDATDSSGDEAEEDAAARRRVKRYVQEIRLERAVKEEAPNGRAAPSSAAATAGAAGERTTEQVDDAPVPVPDEVAERLPQPPASAPAPAASKNASSSATSYDSGEESHAAAASPTSVLRSFPPSAVADDTCSKAAAKKSAPAPAVPAAPAPETDESTGGSSSVFGCPFSSADDCFGGEFPPLYTDFDLLADFPEPSLDFLADIPEEPLSLPPSIPVGTPDELPSDAEPASPARWQQVDDFFQDITDLFQIDPLPV >Sspon.01G0003540-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:9845135:9845714:-1 gene:Sspon.01G0003540-1T transcript:Sspon.01G0003540-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKGGKGLQATKTTAAKSTDKDKDKKKAPVSRSFRAGLQFPVGRIHRQLKSHAFTHGHVGATAAVYSAAILEYLTAEVLEVAGNTSKDLKMKRITPRHLQLAIRGDEELDTLIKGTIAGGGVIPHIHKSLINKTAKE >Sspon.06G0009020-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:48457394:48465694:-1 gene:Sspon.06G0009020-1A transcript:Sspon.06G0009020-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGGGRAADSQPASCSSSSSSSPSGPSTGAPRVHRSKRRPDILNMLMSAACLSSSSSDTGKGQSKLSSNKVTHGFHLVEGRSGHDMEDYHVAEYRYENDHELGLFAIYDGHLGDSVASYLKVNLFDNILKEPLFWSDPQEAIKNAYSSTNKYILENTKQLGPGGSTAVTAIVVDGTDMWIANIGDSRAVVCERGTASQLTVDHEPHTTNERKRIEKQGGFVSTFPGDVPRVNGQLAVARAFGDQSLKAHLSSEPDVKHIKINSSIEFVILASDGLWKVMKNQEAVDLVKSTKDPQTAAKRLTSEALGRMSKDDISCIVIRFRC >Sspon.02G0013030-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:28425057:28429267:-1 gene:Sspon.02G0013030-4D transcript:Sspon.02G0013030-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAAAVNGAGDVQKPHQQQQPVVVGAPPPPAAAVVPPHWVAMPFVPPPGAAAMVMQPHQMAPPPPQFAAAHFLPFHAVAPPPPLAAPVPAVALGSPAPHQAGHEENKTVWVGDLHYWMDENYLHSCFGYTGEVVAIKVIRNKQTGQSEGYGFVEFYSHAAAEKVLEGFSGHIMPNTDQPFRLNWASFSMGDRRSDVASDHSIFVGDLASDVNDATLLEAFSSRYSSVKGAKVVIDANTGRSKGYGFVRFGDDSEKTHAMTEMNGVYCSSRPMRIGPATPRKSSGTSGSNGSSARSDGGDLTNTTVFVGGLDPNVSEEDLRQTFSQYGEISSVKIPVGKQCGFVQFAQRKNAEDALQGLNGSTIGKQTVRLSWGRNPTNKQFRGDNGNQWNNGGMYYAASPFYNGYGYPAAPFPDPGMYAAPAYGAYPFYGNQQQVS >Sspon.01G0027480-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1A:96240183:96244751:1 gene:Sspon.01G0027480-1A transcript:Sspon.01G0027480-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent DNA helicase DDM1 [Source:Projected from Arabidopsis thaliana (AT5G66750) UniProtKB/Swiss-Prot;Acc:Q9XFH4] KISESKNENASFATATVKQEKEDESSDDFVDASSSLPVDHEIKSAGVPPVVKAVKDEEQLLEPVKQDKVDDFLDASLSIPIDLEAKNGDVSLITEAMKKEEEQLEEARIKAEEEEEARKREEAAKLAFDPKARYSKLDELLTKTQLYSEFLLEKMDKIADEVEPQGEESPVEEKKKGRGRKRKAKAAPQYNDKKAKTAVAAMLTRSREDRLADDSTLTEEERCKKEQANLVPLMTGGKLKSYQIKGVKWLISLWQNGLNGILADQMGLGKTIQTIGFLAHLKGKGMHGPYLIIAPLSTLSNWVNEISRFDFSAKGSEEPEETEEKRRVHVVSKLHAILRPFLLRRMKEDVEQLLPRKKEIIIYANMTEVQKRIQAHLVEKSFDVYLNEESDIVLRKPGIKAKLNSLCIQLRKNCAHPDLLEAAFGTTSLYPPVNKLLEQCGKFQLLDRLLTSLLARKHKVLIFSQWTKVLDILEYYLDSKGLGVCRIDGSVNLEERRRQIAEFNDVNSSLNVFILSTRAGGLGINLTSADTCILYDSDWNPQMDLQAMDRCHRIGQTRPVH >Sspon.07G0010390-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:32059744:32061066:1 gene:Sspon.07G0010390-1A transcript:Sspon.07G0010390-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPEPDDSSVASSTAAAESYTKVLQGRYELGRVLGRGASSKVYRARDVRTGVHVAVKAVRKPHHPCSPEDAAAARRSVERELAALRRIQGHPHVVRLLDVLASRSTVYLVLDLARGGSVQSALEEKGRSEEPAARRLFGQLVSALAHAHARGVFHRDVKPENLLLDERGGLKLTDFGLCAFADGQLGADGLTATACGSPAYVAPEILLKKRYDPCKADVWSCGVVLFSLTAGYLPFNDGNLMGMYRKICSGKFRCPRWFSSELRSLIGRLLDPKPDRRIKVGEILSHPWLQQDGMSIPVPVASSSHPTPEVVKWEAESELAREMNAFDILTFASGCDLSGLIGTLPDRARFVVSSSSVNARSILDKVEELGREEGFRMRRKEEAGFGGILLEAMDGKFVAQASVHLLHEEMLLVEVERASNEEALKFWEKLQSNLKFSAN >Sspon.02G0048810-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:29500608:29500985:1 gene:Sspon.02G0048810-1C transcript:Sspon.02G0048810-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGAVVRVPAPILLPLLPGPTRPLLLRRSRHCLPPETPMASATPRDGGTAKPDTAPAPAPTPLPLPPEKPLPGDCCGSGCVRCVWDIYFDELDAYDKALAAHAASSGSGGKDDSADTKASDGAKS >Sspon.01G0029610-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:99420605:99424749:1 gene:Sspon.01G0029610-2D transcript:Sspon.01G0029610-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AMEAVQQVPSERTNPLLNRSPSPSVATRLQPDAGNGAGFYDGSAYSFLDTTKPCTTRFSSGSVTSEDSPALTPRLLSFKSSSSPDNCSSSAEWRDRAAASRSNRYLFDANAQARCAEYLDLMRLEVDAQLGKLKGGVTGLESYALPDNGRVIGGAHLGMSLDVMLIEIDERFNALKLLMGSVFRQAKEMLGSVNSSVSDLQSENELQLEVFSAVIGECVSGLQEELERKLYEQINITNTMSRNWKEAITQFAAMREDLGALCKLLLPLVPEAHISNGKNESPGNRSNRWKYNFFGKKPKEDRSPRAEDSKSFRKQKSFGAKDVISEKSDFRHLNGMTREQVISYFKSEISKLKRMHESALQEKTEELFRFKREKGSHSLKNDIEFEPLRKKIPEIVLRMDQIISKNIKIPAICMTHDELDERCRLMSRIDALFYENHHLRGLLADRMKDVKALSSQLSEASTELSLQLSSEEELLRQIDKVREDCEDLRIEGDVREGMYQTVTKQLLDDYKDNMDGAALNLSAKLSSLESAVSEKNKALCLYNEENHRLKVKLAELEKERLIHNHQEVPEVIKQESTEIVLRDIEVEPRTSPRGSNGNDLQYDELVKLNSSLEQTSGVLKEMDNKNMYRSSGLTRNEQEKQLECILVSVMKLSKEFVEIEKKLSAERTENRSEDLSDHCSHMVRQAVVLTKIGLWYKHMIEARRSELQKAEAQVMTLGDKITAQLSLLQKIYLTLDCYSPTLQQHPQLLESFLKTCKLVADLRSKQNEGDMT >Sspon.06G0031910-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:75192686:75193642:-1 gene:Sspon.06G0031910-2D transcript:Sspon.06G0031910-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKCVRIRGSSKPPPPPTEAAAASCLTLRSGRRVLAASSACSPRTSGRPRRHRGSALRRWCGAAEAADKQRACGSPRRRSAGRVLGAQQLCHDGRPEEEEVPPSRAADTGADAVARGADDGRGDTFERPKTPPLMTDDCDAAAAKAKAKHENESHRCRGVVTGQLPSPPPPPPTEAEIESFFAAAELAERRRFAEA >Sspon.06G0001470-4D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6D:2368915:2373319:1 gene:Sspon.06G0001470-4D transcript:Sspon.06G0001470-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSRRHGGGRERGGGAGGVAPAAAFAALLLGCVVMALVCGAAAQGPRLPSDYKTLSGNAPAFLLYSFLDACRPVCTPLLLLCAAPLVIAKGGFSGVFPDSCQDAYSFAKEASVPGTAMWCDVQLTKDGVGVCLRDINMNNCTTVSQAYPARKRTYVIDGVHKNGWFVSDFTIAELRSAVYHAHKAGLEIYASDFANDRIIPYNYSYDPLAEYLNFISDGGFSVDGVLSDYPLTASEAIGCFANLNSSKTNHGKPLVISHNGDSGDYPDCTDLAYHSAIDDGADNAAYIAKSLGIDIVDSVTTALSAAGFDNQTAKEVLIQSKDSAVLVKLKQQKTKYKPVYTLPSSIGDTSNSSLKDIKKFAHAVVVDRRSVFTLSYAFIIRQNRLVQDLQSAGLGVYAQSFNLSGIITDFPKTVRRYKKNTCTVLGKDMPDYMQRVEVGGLVQKLRSFQTQPPSVAPMPALNSSSVEEPPLPPAAPRNVPDVGPSGGATPTPGGTGTPSDAHQTATASTGMLLVMVSAALLI >Sspon.08G0022750-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8B:50907913:50908071:-1 gene:Sspon.08G0022750-1B transcript:Sspon.08G0022750-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFCILLLDEDAASRTLPYRPAVPGYAAAQLLQLGDGYDNDEHRSQGRSCDWPC >Sspon.01G0011120-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:37411428:37414793:1 gene:Sspon.01G0011120-2B transcript:Sspon.01G0011120-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNSCHRRCSPLVSKIRRDAFVIQLFSLVLTQISLADEACRLQEFSRSRMEMQHDRLDNEMLMSWSMDRPIYVGLQDGAWLLFSGRAMHEIGPFFVNRDNKTLSKNKYAWNNVANMLFLESPAGVGFSYSNRTSDYNDTGDRSTAADAYTFLINWLERFQEYKGHSFFLTGESYGGHYIPQLANTILSNNKIMNTTMINLKGVAVSFGQRILSQCIPYYILILYLWEQIGNAYLDDDTNTRATIDYYWTHAMISKKLTPQSRKIAALMEHTQDSVEPR >Sspon.06G0014990-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6A:82152893:82153279:1 gene:Sspon.06G0014990-1A transcript:Sspon.06G0014990-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVEILDGSTVRSFVEDEGAFNSSVDGRFAALDADHDGLLTYAEMAGELMSLRVLEKHFGVDEAVAAPEELGALYRGLFARFDTLCQKRANPPALRQTPCQNASVTKKLQTRIRKQASVVTCPVNARL >Sspon.01G0027610-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:96800880:96802562:1 gene:Sspon.01G0027610-1P transcript:Sspon.01G0027610-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATQWFSNMVMDEPSFFHQWQSDALLEQYTEQQIAVAFGQGEVDHAVAAALSTMPMLQQQQPAAAEHPHRPRKAAKVNTSWDSCITEQGSPADSSSPTILSFGGHAAAAAAFAKAEPMHYAPNAAYFGAAKAPKQEVVDAAAAALPFQQPHPAKRSYDDMAAVAEAANKAPAAATTRPASQNQDHILAERKRREKLSERFIALSKIVPGLKKMDKASVLGDAIKYVKQLQDQVKGLEDEARRRPVEAAVLVKKSQLSADDDEGSSCDENFVGTDASGTLPEIEARVSDRTVLVRIHCENRKGVLIAALSEIERLGLSIMNTNVLPFTTSSLDITIMAMAGDDFCLSVKDIVKKLNQAFKSSF >Sspon.07G0002380-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:5092328:5097140:-1 gene:Sspon.07G0002380-3D transcript:Sspon.07G0002380-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPPLLEARDYLGAGATSPCCSSSCSSGGEGAGPHLALRLGLPGSDSPGRGAEAEHVDAALTLGPAPAPPRGGAKRGFADSLDRSAKRDGDAAGGVTGEEKGAAAAAAGAPPAAKAQVVGWPPVRSYRKNTLAASATKTKGEDEGRSEAGCCYVKVSMDGAPYLRKVDLKTYSSYEDLSFGLEKMFSCFITSQSSSRKTSRRDRLTDGSRADALQDKEYVLTYEDKDADWMLVGDLPWDSKITGIDSSEQIRNVARQAESTKGQSLHGAEGSDVLCEVLRLSLFFTCAVRSVVYCKTPILPTRLSRVIVDGRFCL >Sspon.08G0000050-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:11507453:11512083:-1 gene:Sspon.08G0000050-3C transcript:Sspon.08G0000050-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPPSMSLAAKGVLPFSVLTSSGVTQRPVSVTASLEHKTSDARRKFLKLALGNLGVGLPTLLGAKKALADEQGISSSRMSYSRFLEYLDKDRVKKVDLFENGTIAIVEAISPELGNRVQRVRVQLPGLSQELLQKLREKNIDFAAHSNQEESGSLLFNLIGNLAFPLILIGGLFLLSRRAQGGLGGPNGPGFPLGFGQSRAKFQMEPNTGVTFDDVAGVDEAKQDFMEVVEFLKKPERFTAVGARIPKGVLLVGPPGTGKTLLAKAIAGEAGVPFFSISGSEFVEMFVGVGASRVRDLFKKAKENAPCIVFVDEIDAVGRQRGTGIGGGNDEREQTLNQLLTEMDGFEGNTGIIVIAATNRADILDSALLRPGRFDRQVSVDVPDVRGRTEILKVHGSNKKFDSDVSLDVIAMRTPGFSGADLANLLNEAAILAGRRGRTAISSKEIDDSIDRIVAGMEGTVMTDGKSKSLVAYHEVGHAVCGTLTPGHDPVQKVTLVPRGQARGLTWFIPMDDPTLISRQQLFARLVGGLGGRAAEEVIFGEPEVTTGAAGDLQQITGLAKQMVVTFGMSQIGPWSLMEGGAQSGDIIMRMMARNSMSEKLAEDIDSAVKQLSDEAYEIALRHIRNNREAIDKIVEVLIEKETLTGDEFRAILSEFVEIPAENRVPPATPAAALPA >Sspon.04G0001670-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:5628312:5629109:1 gene:Sspon.04G0001670-1P transcript:Sspon.04G0001670-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SSTNLAGAGAEPFRVFVGYDSREDMAYRVCRRSLLRRSSIPLDVVPIVQQELREAGLYWRERGPTESTEFSFTRFLTPYLAGYRGWALFVDCDFLFVADVAALALLAADADPRHAVLCVHHDYTPTEATKMDGAVQTAYPRKNWSSMVLFDCGHPKNRAVLTPEAVSTRSGAYLHRFMWLDDDEVGEVPFVWNFLVGHNRVDPADEAGTAPRAIHYTSGGPWFERYRDCEFADLWLQERDAYEAEEADKDVDGSIQAPPTVVSVEV >Sspon.01G0056440-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:87491555:87496817:1 gene:Sspon.01G0056440-2D transcript:Sspon.01G0056440-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:MRS2-11 [Source:Projected from Arabidopsis thaliana (AT5G22830) UniProtKB/TrEMBL;Acc:A0A178ULQ2] MASVPLSSPSQAGLLVLPLPLLQPAVGACLRYRLPRLPPGLSSVRKGGLLPLPLLVPPRAVEGNDGRAVTKEEVEEEEEEVEVEVTKEGEEDTQERGGTGATKEEAARGSERFAADYIPLGIREPVYEAIEVRSNGRMSTKKISRRQLLKSSGLRLRDTRSVDPSLWLMNSMPSLLVREQAILLNLGSLRAIAMYERVLIFNYNSPGGKAFLGLLLPRLNPRNINGGPAMPFQLEVVEAALISRIQRLEQRLMKIEPHVAALLEVLPNRLTADVLEQLRLSKQALVELGSRAGDLKQMLIDLLDDPHEIRRICIMGRNCTLDRLSDNMECSVPLEKQIAEEEEEEIEMLLENYLQRCESCHGQAERLLDSAREMEDSIAVNLSSRRLEVSRVELLLQVGTFCVAIGALIAGIFGMNLKSYLETNAWAFWATTGGIVVGAVAGFFLVYSYLKARKI >Sspon.03G0000570-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:9519484:9523822:-1 gene:Sspon.03G0000570-2B transcript:Sspon.03G0000570-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLTAAPGSPTLSLTLAARRAPLRAPRQRRLRALRPARIRAAAAIGGEFGGLGRRRVVVGEFIERLRNVLPGGSWWRLEDGDEAGDGAGRAEGRGTTAVSALRRMWSLVAGDRWVIYAGFASLVGAALAEIAIPHLLAASIFSAQNGGAVFYRNAKLLVKRMREELFDSILSQDISFFDEETVGDLTSRLGSDCQQVSRVIGNDLNLISRNLLQKRAAKFAQEFTASANNTVAYGGWSLSLNYLYHSTQVIGVVIGGLAIMSGKLTAEQLTKFTLYAEWLILSTWWIGDNWSSLMQSVGASLKLEKLKGQIQYADVSFSYPSRPTVPVLGRLNLTLNPNEVVAIVGLSGSGKSTIINLLLRLYEPTNGQILIDGVPLTELDIRWFRERIGFVGQEPRLFRMDISSNIKYGCPREVSHEGVVWAAKQAYAHDFIMALPDGYNTIVDDALLSGGQKQRVAIARALLRDPSILLLDEATSALDAESEHYVKSVITKVSRDSKAQRTVVIIAHRLSTIQTADRIIVMENGNIVEDGKHIDLIEKGGLYSRLARRQNDDLK >Sspon.05G0037570-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:16438613:16440217:1 gene:Sspon.05G0037570-1D transcript:Sspon.05G0037570-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNNPPCSSESHAACSRAKAPINPPSALLLHISCNVARALLQRAKGLIDQQSEIGVGMADKKKVEVKETKVEVKTATYKVFVHCGQCARDIQTEFTEFQGKVTVKGFAFDVEKLRKKVEKGCRKKVELIPPAPPKDDMVVETDFGKNTCTVEGVLEEDKLVKYIFERTRKKGIIDKVEKKVIIKEEKILVKKADKEKEKKEKEEKEKKEKAKEAAAKVLKEVIAPYFIPCTHPHFVDYSHPGHRYGCGCGFLLAVRRRLRLRVRRRVPTLKGYQETAFLHCTHPSEFISEENPYACAVM >Sspon.02G0007490-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2B:21517406:21520425:-1 gene:Sspon.02G0007490-2B transcript:Sspon.02G0007490-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNNLKLGVEVTSAHDLLPKEQATANPFVEVEFDGQKFRTAIKDRDINPVWNEQFYFNISDPSRLQSYTLRPIQPDATPLHYPLEKRTILSRARGELGLRVFLTDDPSVRVSAPGHQEFDMLSTPTTAQEQAAASSIPNPFQETRANPARQFQHLPREQQRPAQPYYAEGSYGDQQQRSFSAVGNKAAAPQPQVQVSRMYAPGPQQPIDFQLKETSPTLGGGRVIGGRVYPGEKAGAYDLVEKMQYLFVRVVKARDLPNMDITGSLDPYVEVHLGNYKMKTKYFEKNQRPEWDEVFAFPKEVMQSTMLEVVVKDKDVLRDDYVGRVSIDLNEVPLRVPPDSPLAPEWYRLMGKDGMRDRGELMLAVWYGTQADECFPSAIHAGSTPVESHLHNYIRGKVYPAPRMWYVRVNVIEAHDIYPMENHIPDVLVKVRLGHQLLKTRQVRSPTRNFMWNEELMFVAAEPFEDDLIISVEDRVAQNKDEVIGEAIIPLARLPRRADHKPVRPAWFDLRRPGIIDVNQLKEDKFYAKVNLRVCLEGGYHVLDESTQYCSDLRPTMKQLWKPPIGMLEVGILSANGLNPTKTRNDRGSCDAYCVAKYGSKWVRTRTIVDNLSPRFNEQYTWEVFDHGTVLTIGLFDNCHISGDNNHGSSGHMDKPIGKVRIRLSTLETSRVYTHSYPLLVLSPSGVKKMGELHLAIRFTTSSLINVLFTYSRPLLPKMHYAQPLSIVQQEILRHQAVQLVAQRLGRMEPPVRREVVEFMSDARSHLWSMRRSKANFFRLMQVFSGVIAAGKWFGDVCQWKNPVTTVLVHVLFIMLVFYPELILPTIFLYMFLIGLWNYRFRPRFPPHMNTRISYADVAHPDELDEEFDTFPTSRSPDLIRMRYDRLRHVAGRIQTVVGDIATQGERLQSLLSWRDPRATAMFLIFCLITAIILYVTPFQVIALCLGFFWMRHPRFRHKVPSAPANFFRRLPAKTDSLL >Sspon.01G0050040-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:118266558:118267406:1 gene:Sspon.01G0050040-1B transcript:Sspon.01G0050040-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VTMSSKIGSVVSGLQSRRSFGVYARAVVNVQQASAAAAVATAKTDVAAADASRAANKPDKFWMRDPKTGCWIPENRFEEVDVVDLRNRLIRH >Sspon.01G0011490-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:31393173:31396372:1 gene:Sspon.01G0011490-1A transcript:Sspon.01G0011490-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uric acid degradation bifunctional protein TTL [Source:Projected from Arabidopsis thaliana (AT5G58220) UniProtKB/Swiss-Prot;Acc:Q9LVM5] MPLSAEDVLRVNGSRRFAASMATASPFASLADALLAARRIWLDEVCHLLAFVSSTSRLYYSDQPRTSASCCTDVVLATRARATNRIKVDVNGWLEAFAAHPAIGTTSPSVSKWSKEEQSAALSTATDSTAQELAEWNARYREKFGFVFMICASGRTAPEVLAELKRRYTNRPIVELEAAAQEELKITELRLAKLFSSEPTVPSTTTEGPATQSDKAADCIRIIGAHLGALPQPCANKAPEITGSSNRSRPPITTHVLDTARGSPASGIEVHLEMWKDSSAPPSFSNKDFSGWSTLGHSVTNNDGRSGQLMGIVDNIAPGFYRISFNTGKYSPAGFFPYVSIIFEIKENQTAEHFHYHTNALSLSETLETSMPILELKACSNQGKEMQLHAS >Sspon.01G0033530-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:114791120:114794459:1 gene:Sspon.01G0033530-1P transcript:Sspon.01G0033530-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEVWLSPATRLPSLSNAPPRFRSRPAATTTASLFSSCPRPARGALPLPVLRVRRRLRATEQQGQVQEQDDEVVDSNILPYCNIDRKQKKTLGEMEKEFLQACQRAFPPTNQAFYFDQKAIMSNEEFDNLKEELMWEGSSVVMLSKHACLFAPLLIACVLKSIFAKINANPRPKVWRRYKLPLSCVLFPAEDEQKLLEASMAYAAGSPIMSDAEFDELKLKLKTDGSVIVMEGPRCSLRSHKVYSDLNVDYLKMFLLNVPATTVALGLFFFIDELTGFEINVFQLPEPFGFIFTWFAALPLILFLAQLLTKAIVQDFLILKGPCPNCGTENLSFFGTILSVSSGGTTNKVKCANCSTELEYDSKSRVITLPEASSA >Sspon.01G0010050-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:28242300:28245071:1 gene:Sspon.01G0010050-1A transcript:Sspon.01G0010050-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLDKLWDDTVAGPRPDTGLGRLRKQPVRPAAVKINDPAEDAAVFVPPSPASGSEETPVKVTRSIMIKRPAGYPSSPRSAASTPPASPLGTTPPISPFAGAGGRFRRKSSSDAYERATPPGTTSHPPSFEV >Sspon.07G0015700-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:7773687:7777037:1 gene:Sspon.07G0015700-2B transcript:Sspon.07G0015700-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRDERFPVWEAALGAGVAAAFAAGLVGVYLSMPDSDYSFLKLPRNLEELQILTGHLENYTSDYTLQVLVGYCAVYIFMQTFMIPGTIFMSLLAGALFGQLRGLALVVFAATAGASSCYFLSKMIGKPLVFTLWPDKLSFFQRQVAKRREKLLNYMLFLRVTPTLPNTFINLASPIVDVPYHTFLLGTLIGLIPAAYVTVRAGIALGELTSLSDLYDTQSIALLFLIGVVSVTPALLGKDEAQEKPSEMAVGAS >Sspon.02G0037000-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:34278797:34283460:-1 gene:Sspon.02G0037000-2C transcript:Sspon.02G0037000-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDRASWVGGGIGEYLMLQRTSSDQKRRIQKTEHALKVAEEELMKVQLEATTKAKQLGEVHGAWLPPWLATHAARSVEVMSNHWNEHGKPAFDSLVQKASEKSAQAKKWAEPHLETAKTKWMPVAKEKWNILKKNAKPYVQMVSEKSLEVYQTSSDFIRPHLVNAHQVADPYFQEAKKLSKPYIDQIATATKPHVEKIRTTLKPYTKRARHVYGQFLETATTYHQQAQATILDYLHQHEFTKQFVTEELVWYLASALLVMPVFVLYTLLIEIFGIKKQKKKTSRSSNANH >Sspon.04G0009410-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:27129108:27135934:-1 gene:Sspon.04G0009410-3C transcript:Sspon.04G0009410-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKRKRTDAPAEAAGAEKPDDSAPARPERTLFGFKDPAPDAEPASAGAGAAVAPFRNREKVLITCSRRITYRYRHLMQDVLSLLPHAKKDSKVESKQSKGSALNELLELRSCSSCLFFECRKQKDLYLWMVKSPGGPSVKFLVNAVHTMEELKLTGNHLKGSRPLLTFSTNFDEQPHWKLVKEMITQIFATPKDHRKAKPFHDHVFVFSIVDGHVWFRNYQISVPHNEIDKIDKGGLDKMTLIEVGPRFCLNPIKIFGGSFGGPTWYENPYYISPNQIRALEKRQKAGKYAKKVKAKVRRKMHEMENTLEPDEFAELWKGE >Sspon.01G0013390-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:39331121:39333281:1 gene:Sspon.01G0013390-3D transcript:Sspon.01G0013390-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPSWELKVASQKIDLDVIRDVDLYRIEPWDLQVPDGTRTNRATLTGFWKATGRDKAVRGSKQGAGLIGMRKTLVFYTGRAPNGRKTDWIMHEYRLETDENAAPQARPPRPAAATLSAGTNGVPGPAFKKRTMHPPRSASGAGSWDPSYSYYHDPIVVASAARFKQESPEDGAAAATSAALLQYSSRLAELPQLESPPLMPHQGSHRPVANGEGDSAATTDWRTLDRFVASQLSPDEERSGQGLHPEYCGGKQPLGTHAGDNEDATDMAALLLLDGVRHGDAGLLGSVADPACLHT >Sspon.03G0046440-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3D:38037495:38038051:1 gene:Sspon.03G0046440-1D transcript:Sspon.03G0046440-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding QPSGHRVIAGSRAPPPPISAAVPPTPNWSCAQLRLVFLLLVLAPSPRVVLGVRHSSVFPPPPPRPFSPALAVPAAPAHRHGLRLPLSFAFSLCIPCSPRPAEILPLATVMAHRSSGRAHRSELLRGLHPSILLLVHFSHARAPYSRDEARRPHHASHLGQCWSADGWPSHHAAMHAGELDAELV >Sspon.01G0000160-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:802131:804056:-1 gene:Sspon.01G0000160-1A transcript:Sspon.01G0000160-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKSKAIRVHELGGPEVLRWEEVEVGEPGEGEIRIRTTAVGVNFIDIYFRKGVYAAPTIPFTPGMEAVGVVTAVGPGLTGRKVGDVVAYAGHPMGSYAQEQILPAAVAVPLPPSVDHKQAASVMLKGMTAHVLLRRVFKVESGHTVLVHAAAGGVGSLLCQWANALGATVIGTVSNQDKAAQAAQDGCHHPIIYTSEDVVARVKEITSGKGVNVVYDSVGKDTYKASLECLASRGFLVSFGQSSGKPDPIPMSDLASKSLFLTRPSLMHYTATRDELLESAGEVFANVANGVLRVRVNHTYPLSEAAQAHADLEGRKTSGSIVLIPDT >Sspon.04G0006480-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:17950850:17951434:-1 gene:Sspon.04G0006480-2C transcript:Sspon.04G0006480-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRAIPAADPRDSTWQPDLARRHVALPEYGGRITFPSVVALPEHDGGGGIAFPHPAYHALYDLPTSPEILFEEEARRKGLTWGEHLTLCTGVGYLAGAAAGDVVGLRRAAVEAERGEPLKLRSNRILNSCGSVGRAYGNRLGVIAMLFSATKSGVSGCRSGADDWINVAVAGVGTGALYRMPGGPRSAIVGSIVG >Sspon.01G0001920-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:5609075:5610113:1 gene:Sspon.01G0001920-1A transcript:Sspon.01G0001920-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARALSTVLVLAGAALLAAAGSGASAQQGVWSIITRPMFQSMLSHRGDSGCQGAFYTYDAFIEAASKFPGFGTTGDDQTRRRELAAFFGQTSHETTAPTLEMETLRPSKSSARYACQIDMHAGGWATAPGGQFAWGYCRVKEQNPTDPPYYGRGPIQLTHEYNYRQAGQALKLNLVGNPDLVSSDPVVAFKTAIWFWMTPQSPKPSCHAVMTGGWTPSAADRAAGRLPGYGVTTNIINGGLECGKGQSTDGAKDRVGYYKRYCDMLGVGYGDNMSCKDQKPYGGCQQWSEECCS >Sspon.03G0031470-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:28181438:28184558:-1 gene:Sspon.03G0031470-1B transcript:Sspon.03G0031470-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATACTGDAGAACPVAVGRAGGAEPDGSARAAAGLGGKRRSVYLSECVPLWGRATTRGHRNAMEGACAAVPPFADVPVRMLASARKLDALGRVGVDASAAMHLFGVYDGHGGSKVANYCGDRIHVVLREALSRAAAARGLSGSGELGGIQELWEKAFCECFQRVDDEVSGEASRFMFVDGVGEARCEPVAAHDVGSTAVVALVCSSHVIVANCGDSRVVLSRGKEPMALSVDHKPDREDERARIEAAGGHVVNWHGHRVAGVLAMSRSIGDRYIKPFIIPKPEVRVVRRTNGDDCLILASDGLWDVISNEDACRAARLHILRWHKKNDGTCFGEGGKPTISESDPASQAAAECLVRLALSRGSEDNITVIVIDLKRRKMHRQR >Sspon.06G0031160-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:61769496:61779285:-1 gene:Sspon.06G0031160-1C transcript:Sspon.06G0031160-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNLAFLKGMEEASRFLPTNNNLSSKQKRLTQVQVEMGAETSRNSKLMAPEPEESGEMVDQVALNVLSSCLEDIDMESLRITAGAEAVGMNDPRSATQLLQQIREHSSPSGDANQRLAHCFAQGLEARLAGTGSQVYRSLVSRRTSVVEYLKAYQLYVDTCCFKTMAFRFSSLTICHATLGRNKVHIVDYGIEYGLQWPTLLSRLATREGGPPEVRMTGIDLPQPGFRPSSRIEQTGRRLSSCASQLGVPFKFRSIAAAKWETIRVDDLDMEPDEVLVVNSLVPFGYLMDDGVTVDSPSPRDVVLNNIQKMRPDVFILCIVNASYNSPFFVTRFREALSYYSAIFDMVDATTPRDNQLRLLVERDLYGQCALNVVAYRDSVSAVTIGHRAMAATPEDVADPEPFSPSVFLDLPPTPPPHHDGDGEDDLQVLPFIRRMLMEDTDDSILYQEYPDHPALLRAQQPFAQILSAGSTSTSTATHAAATDSASGSSATTNSNRVPAFADTTWPYDPVELSQLLFSRTRSGTGVGLDGFTGGDANSFSSPGQGSGDTATIQSSSFGDGVRVTMDMLNLAFLKGMEEANKFLPATTTTIFGLDATSRENLLIREGSMLPRAFPLPNTNSVVDGRVSGRGCKNRHDWDDLDDDAETGRRSNKLLAPEPEENGEQVDDVFVKGYELALEKMHGLRISDNNGSASDGKAKRKSAAQTNEAVDLRTLLTHCAEAVSTGDRRSATELLRQIRQRSSPRGDASQRLAHCFAEALEARLAGTGAQVHRSLVARRISGGVDFLRAYKLYLEVCSFKMIAFKFAHIAICKAITGRKKVHIVDYGDHHGFHWPPLLQAWKDRDGGPPEVRITSIDLPQPGFRPAARIEETGRRLSDFARQRGVTFKFRSVVASKWETVCADDLDIEPDEVLIVNGLFHFGKLMDEGVDIDNMSPRDMVLRNIQKMRPDVFILCVENSSYNAPFFVTRFREALYYYSAMFDIMETTTPRDSDERLLVEQDILGGCVFNAIACEGSERVERPETYKQWQVRGHRAGLKLLPLNPNTVKYLSTKVKDGYHKDFVVDVDQQWLLQGHMLQPLAVLPDTAPVLGGVTWPYDPVELSQNLLPSTTTPPYPNNIGRDGGLTMGDANAASLLATPASAGDVGEHDALFSSGGTGKTEAARNRVTMDMLNQAFLKGMEEANKFLPTNNTLLTHLETISESGDRHGIAAGQKRHNRDDNLEAETGRKSKVVAPEPEGTGEMVDRCVLVGYQSLLDKMMDMSIAVDSEAEQKARKGKKKPTMAASSSKEVVDLRALLLQCAHAVATGNRLGAAELLWKIKQHSSPTGDATQRLAYCFARALDARLTGTGSQLYRSLMEEEVAHRRLRQGALLRVPLANPAWLLGAKTWDDDEGPPEVRITFVGLPQPGFRPAARIEETGRRLSTFARQCGIPFRFRGIVAKWETVCADDLDIEPDEVLVVNGLFHLGRLMDEGINAIYSPSPRDMLLGNIRKMRPHVFILGVENSLHHAPFFLGRFQEALFYYSSMFDMMDAAAPWDNDQRLLVEQDLYGRRVLNAVACEGFDRVERPETYKQWQARNDRAGLRQLPLDPDIVKAVSDK >Sspon.07G0011560-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:46009512:46011848:-1 gene:Sspon.07G0011560-2B transcript:Sspon.07G0011560-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMSFFLPTTTPSASASLLPIHSQRANGPSLSFPLKPHRFRVHLKPPRSSRIPSLSTSSLKTPTESVLSSIVSTSRTLLFVLVAGLLSLSGVRSLPALACAPAPAQRLQEIEEQDEQQESKGSKQQVEVDETKEGVLQQLDEGEEDEEVRMYSAILSRNPGDVDALKCALYANIRRADWGGALQYARRLRDAEPSEVEWWLKGAQLHELKGDLAEAERQFRELLAEEPLLVRALHGLALCMQKKLEGPSVFEMLENALQLATSEKRVPEERNIKLLIAQMHVVMGQLDVASEKLQNLINEDPRDFRSHLCQGIVYALLDRKEDANKQFDTYRSLVPDEFPDKSFINDVILAARMESHNRLQKEFESEFQMKK >Sspon.02G0028260-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2D:102196477:102197934:1 gene:Sspon.02G0028260-2D transcript:Sspon.02G0028260-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding WQPEGYERDRDLPKEYERDWLLDEEEEWYSNYIDAIPKATSADNKTPESDSGNTLQGYKGLVVQGLCILQKLAANENNCRVISNTEGLLSKTMAHLTSDKLHTDHHDEWSSIAEESLQLMNRLMATLGGTETTKLTSEISSFSQAIMRTLGCHKCEVPVKRQAAQVLLHLSLDTPPSIVPGASGSESISIIFVWILLDIFLLPDRRKGGSTRLLKQSSYTTRLAGEKMQAMIHSKTEASGTSMLPSVVGDVIGSLDRTVAGAENNAYRIHAATILEHLCRYYTKDDENLKELKKSVANVMEESTIVVNLKSELPLLVPQVLKEIVHWLTTEEIQVVTEANNGGKVLLIEGPDLEQGGSSHDNNGQKESSSTPHQQKGEQHQGIQLQEALIHLCSAIEDVWIDEDQDLTRQFNEIAAKICSRQGMPHKTFRELVDEAREKLRDEKKRASELAAGRTS >Sspon.02G0033030-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:3374183:3374536:1 gene:Sspon.02G0033030-2C transcript:Sspon.02G0033030-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSEFSSRDTLESMSQHGSSGPRNLPLEYLRNITNNFSDDRLHGEGGFGTVYKLRINNLRMKHII >Sspon.06G0013400-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:53560281:53561994:-1 gene:Sspon.06G0013400-1T transcript:Sspon.06G0013400-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding NGHRPEQQPPRAGLLPRPRRRPGGHRRGAARGQAGPRRQAARAGHLQREAHHAGRGGGAAGARRRVHPGPGRGGRHRPALLLPRGVLLLLRRQGRLRHRRPVRPELPRRRPDRRRSAPAGTTTYNGHRPEQPPRAGLPLLSPRRASTGAGHRRAAGDQGGRGPRRQAARAGHLQREARHAGRGGGAAGPRRRVHPGPGRGGRHRPALLLPLGVLLLLRWQGRRRQRRPVRPELPGRRPDRRRLGAHLRRLPHLR >Sspon.05G0002360-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:6940448:6943506:-1 gene:Sspon.05G0002360-3C transcript:Sspon.05G0002360-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Floral homeotic protein APETALA 2 [Source:Projected from Arabidopsis thaliana (AT4G36920) UniProtKB/Swiss-Prot;Acc:P47927] MWDLNDSPAAPPSPSADDSGASSSSAAAPVEIPDDVDDDSAAAAADAVVTRQFFPAAAAIGAAPGSSNARAGWLRLTATAAAPPAAGSNGVAPAGGKKSRRGPRSRSSQYRGVTFYRRTGRWESHIWDCGKQVYLGGFDTAHAAARAYDRAAIKFRGVEADINFSLEDYQDDMKQVQMGHLSKEEFVHVLRRQSTGLPRGSSKYRGVTLHKCGRWEARMGQFLGKKYVYLGLFDTEEEAARAYDRAAIKCNGKDAVTNFDPSIYAEELEPAAATGGGADDEHNLDLSLGSSAGNKRGSLDGGGDDETSDQRIPMAFDIDWQTAAPRSTKAKLDASSKQPQMLPPPPPAALQVAQHLPLPFSPRHPQFQSNGDPGTAGGLSLAIGGGGGGHWPPQLQHHQHQQRLHGGGTSWPPPPHPPPPITAATAAAASSRFPPYVVTTQGPVGWVQKNGFHSLARPT >Sspon.07G0008140-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:18137459:18141374:1 gene:Sspon.07G0008140-2C transcript:Sspon.07G0008140-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQHTAVPASFQLPQLSDLLRGVLDNVAASSNPHFIQLITTVDRDIRANQKVIHELHHANECLLIKFSEDVKELFANAPTPTITNVQHQTGQQTRPESSTPQISSDLASPSHTETATVQVEQPADATQPIAGPAGARQEETVAFRDEQAAEQEQPLTDPDMGGHHEDSLIRKEHRWAAATASHSTRGPLSSMQPPVTGSQTGASQALPMPIPIQVTPEDLDHEDTTDTPAMPPATLSAAEIVTPEVGKTYTHPPTLPEDMIPKVGMFFDTEEHAYEMFRRYAEATGFPIKWDRKKHTVRDISCSMSGTWKYYKPEQQRTRNKFTKKTGCKVYMKLKHVSDMEGNNNGKVMIDKIRLDHNHPLSDTPSVTKQMRCHKRKEKQVMDDALAETVTLEVGNRYTHPLTLPEDMIPKVGMFFDTEEHAYEMFRRYAEATGFPIKWDRKKRTVRDISCSMSGTWKYYKPEQQRTRNKFTKKTGCKVDMKLKHVSDMEGNNNGKVMIDKIRLDHNHPLSDTPWVTKQMRCHKRRKTCNGLCGSP >Sspon.02G0018950-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:63985303:63989830:1 gene:Sspon.02G0018950-3C transcript:Sspon.02G0018950-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RRFFIFVFAPFQLSPLLGLFFPAMGAAGLLLGDAAGLGAHHAICRRRTHNLYLRNWASKPLPRPPVCLGAAARVSRNGDNRRGHVARFAASASGGGDEPGELPEDEARRQREAELNRRLKEAEEMEELERTAEQLQSQAAAEAPEESEEEKRERVRRELQKVAKEQAERRETAKQMFELGQRAYGKGMYGRSIEFLEGALTIIRPSSLLGGEIQIWLAMAYEANRRHKDCIALYKELESSHPMINIRRQAAELRYILEAPKLKISNDEVVTIPQIGSSWDWYAGTWSDKIKEQEDRKRKMVAASNQVQPSPNIFGDFSFLRLPNEWKKSAWVIVTLWVLLIGTAIYLQT >Sspon.02G0005110-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:10198867:10204414:-1 gene:Sspon.02G0005110-3D transcript:Sspon.02G0005110-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-prolyl cis-trans isomerase CYP37, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G15520) UniProtKB/Swiss-Prot;Acc:P82869] MASCVAAMTLAAARPASHAPGPAAATYSARAQLSFHHRGARARVIRASHRRPHHPSFAPEDEGVLEWLRGAAAALAIVAQISVSLPANAVLYSPDTKVPRTGELALRRAIPANPNMKAIQESLEDISYLLRIPQRKPYGSMESDVKKSMKIAMDNKEAILGSIPAEHKEEGAKLYTSLLEEKGGFQTLLKYIKENDPDKLSIALASSLDIVAELELLQAPGLSFLLPQQYLEYPRLTGRGVVEFTVEKGDGSTFFPTGGGEPKSVATIQVIIDGYSAPLTAGNFAKMVLDGAYNGVTLKCASQAIIADNETGKNGYTVLLEVKPAGQFEPLYRTPLSIQDGELPVLPMSVYGAVAMAHSVDSDEYSSPTQFFFYLYDKRNSGLGGISFDEGQFSVFGYTTDGRDVLSQIKTGDKIRSAKLVQGRERLVLPSIAPEES >Sspon.01G0003220-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:8327175:8327984:1 gene:Sspon.01G0003220-3C transcript:Sspon.01G0003220-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ASTAALFLLMTLVTSSLHSSLPAYASMQPVAKTGGRLLTTEILSSGWAGFLAGCLHTLSGPDHLVALAPLSIGRSRLESGLVGALWGCGHDAGQVIFGLLFLLLKDRLHIEVFRAWGTRVVGLTLLIIGAMGVREASEAQESSLVLEGIDASMNNNDPLQSPSTPRKKKVGFATFATGIVHGLQPDALLMVLPALALPSRFAGAAFLVMFLVGTVFSMGSYTAFIGSCSEALKEKVPRITEKLTWAASLVAVGMGLALLVGQFFGFSLY >Sspon.01G0019150-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1B:77865420:77865629:-1 gene:Sspon.01G0019150-2B transcript:Sspon.01G0019150-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLSLNGSGGRRRQSAAPVRRLLRRLRSSFRRSTVRPRRAAVRFGYDLHSYSQNFDDGVASSAPAATA >Sspon.04G0000730-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:21448650:21466573:-1 gene:Sspon.04G0000730-2P transcript:Sspon.04G0000730-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAAAKASSRLARSLAAAAPCYSGVFVFFAALVAGALVSACWMSVSARLQVIPITPVATPGIAHNAATGPEPAPGPPRFTAIVGGASTNQTPSAAASFAPPPREVSVPAPPAPAPAETAAPPPDCPSYFRWIHEDLRPWRAAGVTRDAVEGARRLFAPKFRVTVVAGRLYVARYGRCFQTRAAFTQWGILQLLRRYPGRVPDLDLMFDCEDLPVVGAGNRDQLAQPPPPPLFRYCGSETTLDIAFPDWSFWGWPELNIKPWEALRREISEENAMVNWTDRAPYAYWKGNPMVGAERLLLLRCNASGKRDWNARVYAQVQDLHRRAGVVGEREVHPGVRLGGAHGAARFHDFFSRGLSPLRHYWPVRGDRGMCRSIKYAVDWGNAHTDRAQEMAGNASRFIQEELTMDRVYDYMFHLLTEYARLLRYRPAVPRGAAEVTVESMTRGRRGLERQFMMDTVVAGANGEGPCRLQPAYSHSDHSCRHPRHSTRRRNGAGASAGAATVHRHRRRREHESNAVRGGQFRATASGGVSASATRPGARGNSRAAAGLPVLLPVDPRGPAAVARRGVTRDAVDGARRLFAPKFRVTVVAGRLYVARYGRCFQTRAAFTQWGILQLLRRYPGRVPDLDLMFDCEDLPVVGAGNRDQLAQPPPPPLFRYCGSETTLDIAFPDWSFWGWPELNIKPWEALRREISEENAMVNWTDRAPYAYWKGNPMVGAERLLLLRCNASGKRDWNARVYAQIYIEGRGWSVSEKYILACDSVALMVRPRFHDFFSRGLSPLRHYWPVRGDRGMCRSIKYAVDWGNAHTDRAQEMAGNASRFIQEELAMDRVYDYMFHLLTEYARLLRYRPAVPRGAAEVTVESMTRGRRGLERQFMMDTVVASANGEGPCRLQPAYSAEELEALRRAREDVAAMATAAPAAADCRVRTVLAAALSRTTAAFLFLSVVAVGVVVSGRWITATTAVTHPPPSSAASPSSNNSCPSYFRFIHEDLRPWRAAGGITRAMLDRARLTATFRLVVLGGRAYVQRFRPAFQTRDLFTIWGVLQLLSPLPRPGPRSRPHVRHRRLARRPCPPLPREDWFKESKAGYKDSDLSSQCAHRYKIYIEGSAWSISEKYILACDSMTLLVTPRYYDFFSRSLMPIQHYWPVRDDNKCASIKYAVDWGNSHKQLAQRIGKQASNFIQEELSMDHVYDYMLHLLTEYAKLLKFKPTKPPEAVEVCSESLVCQAEGLEKKFLMESMVKFACDAGPCDLPPPFDPHELKLLKQRKENSIKQIQMWEQRDLGS >Sspon.04G0000850-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:2982098:2984835:-1 gene:Sspon.04G0000850-2C transcript:Sspon.04G0000850-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVGVDVPLPREKLSAGPNSGSGWDGGNRGGVVLVATGSFNPPTYMHLRMFELAKDELEQRGYSVLGGYMSPVNDAYKKKAMQKGYQRTLTVLSRVRNSLCKDGVADQGSLKVMLLCGSDLLESFTLQDNIILVNEIVPNQISSSRVRSFVVHILNNHEAAAASSGAPAAEDKASLPCGLKSDSLSQVAGGSGWIPPGLSGKSVLKYPAMMASMSHVSATLGIW >Sspon.08G0020090-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:17158768:17159939:1 gene:Sspon.08G0020090-3D transcript:Sspon.08G0020090-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVFSRSTAFLALAIVLAVLGCTAAQQLSPNFYSKTCPQLFTIVRSGMAAAVGKEKRMGASILRMFFHDCFVNLGGPTWSVPLGRRDSRTASQSAANSNLPGPGSSLSALITLFGSKNLSRRDMTALSGAHTVGRAQCQFFRSRIYGERNINATFARQRRRGCPRSGGDSLLAPLDVRTQDAFDNAYYQNLVAQDGLLHSDQELFNGGSQDALVMQYSSNAALFSADFVTAMIKMGNLMPSPGTPTEVRLNCSKVN >Sspon.02G0018190-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2A:58240877:58241326:1 gene:Sspon.02G0018190-1A transcript:Sspon.02G0018190-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYLTPSAAAATPTHRYPPAEARSPYSKHRHHPSSSSLSQGRARLVHCRFVYSPLKLVYTTPSVVAALAPLCPPPWRLGHPILNLLYTTLLTLLAMQRISANSTSEKGTYQCQAVGSRVAVRPNLQLGALMWKGRGRSIMVAHRGGHGG >Sspon.02G0028110-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:102786257:102792771:1 gene:Sspon.02G0028110-4D transcript:Sspon.02G0028110-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Golgin candidate 6 [Source:Projected from Arabidopsis thaliana (AT3G27530) UniProtKB/Swiss-Prot;Acc:B0F9L4] MGKFVFGNEGIESKEDSYVERYLDRISNGTIPDDRRSAMTELQSLVAESRSAQMSFGAMGFPILLNILKEDREDVELVRGALETFVSALTPIETSQGPKTEVQPASVNSDLLSRETENISLLLSLLSEEDFYVRYYTIQLLTALLTNSLKRLQEAILLIPRGITVLMDMLMDREVIRNEALLLLTYLTRDAEEIQKIVVFEGVFEKIFSIIREEGYSDGGVVVQDCLELLNNLIRHSASNQMLLKETMGFDPLISILKIRRGSAFNFTQQKTVNLLGALNTVELLLMGGPSSEAGKDANKNANQTALAQKNILDHLLLLGVESQWAPVALRCMALRCIGNLVLRNPQNLDSLARKQVGEEPHVQPALNAIFSIILRTSIAQEFAAADYVFKCFCEVRNPNGQALLASTIAPHPNQGDTHGPASDMPFGSVLLQALVSSDVNGDMEACCRASSVLSHIIKDNLQSKDRVLQIQLETPTPSLGRTEPVLHRIVTCLSIAASTDGENDQNNQPEEPYIQPVILRLLIIWLVDCSNAVNCLLESAVHLNYIIELASSKRYTACVRGLAAVVLGACILYNASREKGRDAFAVADAISQKIGLTTYFLRFDELRKSLAHPLSEQHHRKELSRSSANSMSDFQEIEEDETNKDDQHPVLSEIFDSQFVNFLSKLEADIRENIMDIFSRTKTATAVLPTELEQKNGEVDGEYIKRLKSFVEKQCNEMQDLLARNAMLAEELVRTGGATTDTSQKPSSGRERVQIEALRQELEGAKRQIEALKAEKSQIEAEANNQRNLSVKLESDLKSLSEAYNSIEQANYRLDAEVKTLQQGGSVPYPDLEAIKAQAKEEAEKDSEAELNDLLVCLGQEQTKVEKLSTRLAELGEDVDTLLQGIGDDTAIPDDDDDDEHEDEDEDEE >Sspon.03G0003150-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:23330285:23330899:1 gene:Sspon.03G0003150-2C transcript:Sspon.03G0003150-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRERAAWELEAGAADTARLLVLLAQAQQHAHHHGVGVTPFPAGRAARGRVFECKTCSRQFPTFQALGGHRASHKRPRVLQQQQTVVADHAGPLCLGRQPLQLQLPTTTPPPQPAKPRVHECPVCGLEFAIGQALGGHMRRHRAEAEADAEAPSKVMRPPADRACDVAGGICLDLNLTPSENCAKCRSVVVLDAAGQGVHKTLA >Sspon.01G0058560-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1D:30039261:30039596:-1 gene:Sspon.01G0058560-1D transcript:Sspon.01G0058560-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPGNRGMGRGEPGHLRKGRARVGDGVAAPWGLWTGRATRRGLQTGRAGGWHTLRYGKASCVLWEGWGDRMDSCGLYRTGGKLRSDVLLRPNVWASVSSKIFLGWGSSFT >Sspon.02G0006080-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2A:18551511:18551722:-1 gene:Sspon.02G0006080-1A transcript:Sspon.02G0006080-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding CVRRQAATRALWPGGVGCCGAAYARRAASLLLLGDGLGRCRDESKALRAKAGIGWDAARGEEAARHRVR >Sspon.01G0026090-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:20577726:20580783:-1 gene:Sspon.01G0026090-3C transcript:Sspon.01G0026090-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAEVAIEVPPQQPPPEAATREPAVVPRVLQYLYLASAWVACAGVAAATVARRALGDSSPVVWAFLKVSIGALVFPALLILVFAVRVLRAMLAAGFRRSLRTHAREIQIQARKMFGALTWKALQDPIVLAWLASFLFILLLGASVLVFVGLLPMEESRRERIGYALSDVGLVVVGVYVNASVDSWMISVSKLANDGLTDGDG >Sspon.01G0032580-1P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1D:113188234:113188599:1 gene:Sspon.01G0032580-1P transcript:Sspon.01G0032580-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHRALLLLLLAAALVTALAAVASAEDAKPTILAPVAQTPLGSFDGDKPASDDDTFDDDEDAAPVGAPNGATMTEPTDVPAPPGAEATAGGAEASNAPAAAAARVCAAAVAVAVGAFASF >Sspon.06G0034070-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:37335599:37344398:1 gene:Sspon.06G0034070-1D transcript:Sspon.06G0034070-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGGGRAADSQPASCSSSSSSSSSPSGPSTGAPRVHRSKRRPDILNMLMSAACLSSSSSDTGKGQSKLSSNKVTHGFHLVEGRSGHDMEDYHVAEYRYENDHELGLFAIYDGHLGDSVASYLKVNLFDNILKELLIGDVPRVNGQLAVARAFGDQSLKAHLSSEPDVKHIKINSSIEFVILASDGLWKVMKNQEAVDLVKSTKDPQTAAKRLTSEALGRMSKDDISCIVIRFRC >Sspon.05G0003420-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:691240:697509:1 gene:Sspon.05G0003420-2C transcript:Sspon.05G0003420-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNDRKKMACVTGGNGYIASALIKMLLEKGYAVKTTVRTNSHLKDLQALGPLEVFRADLDEEGSFDDAVAGCDYAFLVAAPVNLKSDNPQKELIEPAVRGTLNVMRSCVNAGTVKRVILTSSASSVTRRQLQGDGHVLDEESWSDVEYLTATKSGLWVHFCTLPCSERLKRVSQAYPVSKVLLEKAASRFAEEHGVSLVTVCPVITVDAAPAPSARTSVPNCLSLLSGEAEIAIEGRPYRQFFASSFHGTHVGFSASRDCYFQATRQSSASGVLRAMEMASGTVALVHVHDVCRAEVFLAEQEAAAGRYLCCGLNTTILELARFLTEKYPQYTVKTNLLSGDLLEKPRVHVSSGKLVKEGFDYKYKMLDGMYDDMIDYGKALGILP >Sspon.01G0010810-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:36681930:36696044:-1 gene:Sspon.01G0010810-2B transcript:Sspon.01G0010810-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AIMEHQELDLELSLQPFSAAAAAAADPPGFFVCTYCDRKFYSSQALGGHQNAHKYERTLAKRRREIAAAMRAHGARQVDAAASACRPGGDQAPPAVVQSAALLRGKSSSLELGGEFSAIV >Sspon.07G0001700-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:2866479:2869726:1 gene:Sspon.07G0001700-3C transcript:Sspon.07G0001700-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRGAALLLVAVFAVVSVAKGSADTPPAETNGDSSSAQSGLGKSEQHDLANPNKEHVTQQKRGVSNDIGDNNKKDNSTEVTNIRRDDSIPQPKDKDNSMTKPSQAWDFLEDPLIKECDPSHRCIIENKKFIACLKVSGEDSSALSLLMDNRGINPLDVSITAPDHITSAANAIHVEANDHNEVRVSVSDDANKATIVLKVAENSCNISIHNAITRETGRVIRMPLTSTYTLLPIFLLLAAVGVCIMLRRTRKQDGEPAYQKLDMSDLPVSVGGKKEPDDQSWDDNWGDDWDDEEAPMTPSKPLPNPSSKGLAPRRSTKDGWKD >Sspon.08G0003470-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8A:9236330:9236929:1 gene:Sspon.08G0003470-1A transcript:Sspon.08G0003470-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding PQYIEYAAPRHSERSSSCKDRFDQNRSGAQPKKKVVKQVYRVKYDGRKKKSSDLNSTIEKPITLLKNLAIDDKEVEKSSIDILGAKSEQKKVRVPKVKNDLPLSKTKIKPICSISLPKWQEKKLQKLSAEKLKEKGLAWVPKGSIEAQKDNAQASDATKAKERRRFKKQLPSWRFAPNHQNHWSWHHSYSLPKLMWNSFP >Sspon.03G0034220-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3B:69712838:69713863:-1 gene:Sspon.03G0034220-1B transcript:Sspon.03G0034220-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AASREPTVGVFRQIEQCGQARALGLPTGTGPPRWDSATQAPAAQAGHARPPTRQPGAEQGDPAVRCPSLSSSARLFLTEPRQRRAPTSIGRRQCTTSNREPPPTFSSDLDQVSPLL >Sspon.07G0013860-3C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7C:56138269:56139750:-1 gene:Sspon.07G0013860-3C transcript:Sspon.07G0013860-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding PDETYYIIDMICWRGYSLYDCTAEFRFFWVNSKIAETSAGDPPSTYHRYRFSALPVYDCTLEGLQAAYSGSMPYVKDGLLFYNKHAHYQAGITPLALVWKDETCSQYVIDTDNKGEIPSEQHLVLELLEDGRVVTSDDPPVAFGSLDSAFIQKSNLRPGNLLRFAVRDESVKLVDGKMQIGQLQFVGKPNRARAFADSHSKVLFQYAARHVPLRIEDLVASIQSNNMELESTDVEMQD >Sspon.08G0011970-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:67363738:67364996:-1 gene:Sspon.08G0011970-1P transcript:Sspon.08G0011970-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding WMVTAAIFILSLRNCCKDMPEAMLDPQELANYLHHHEQRIYHFPIANSQKKLTLASSIWLNSPR >Sspon.02G0044910-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:95855820:95858139:-1 gene:Sspon.02G0044910-2D transcript:Sspon.02G0044910-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAPVKEPERRADAAPSKPPPVSAPVAFSAKAADAITAPPVAALDEAGNLDRFLGCTTPSVPVHYLPKVWLLRFFKTAAGGASDVSRHRACPWSTCAAKHTCGGDRAHPCRQVLKVEDEADEWDLPGSDRELQNPAVREEEGGAGQGQQGHFGNSIYRRLAIILYKNDTVNLFFSDASASGTSKGDTSRNTGGMEVALVSTVLKIVGTKLAPLAIKELSSKAGVAKDLQELQDLVEEINNWLQTVGDKAIKNGRSSKWLKTLKEAAYDAEDLVHEFQIE >Sspon.02G0004380-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:13764830:13769235:-1 gene:Sspon.02G0004380-1A transcript:Sspon.02G0004380-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEKEIRELLFLLQPPSLQVESLANMYMDRYGKPLRNEGLLIDGQQQEKAGCSLTDVLMRLNTTRVIERLHSHYDSVCFNFRQGHQYIVPVEDAPMYLAHGFKLGMPPASSNSNQIFVVFMPGSKFTEEDVHSYFSQYGTVNDVRIPPQGRRKYGFVSFQDPGTTKQILSERIPHFICGDPVLVEEYKEKHELDFEAGHITTLLHYAMAHWRPATTYAWPAPSPRRQEHPPKYLAHGSKLGVPPARRDSNQIYVVFVRESKFTEDDVRNYFSQYGTVSNVRIPPQGKGMYCFVSFQDPGTAERILSERTPHFISGDQVCVKAYKDKDELEREQHHGFELVLPSARNGSNQIFITFDPESSFTKNDAWKYFSHYGPVNDVRKKRMFGYVSFKYPETVKRILSERCLRTSHFICGDHVFVEPYKEKHGPEMLAREVADFVLEPHEVSDVDVIHEHHTGKQLLSNHDFYGEKLNKGCDQGIVTEKSSINVAPVMASPPTRNLSVHYVSEASPSQGDNTVESSHVLNHLDDASSDQDSHGFRLPETLEDVF >Sspon.02G0037980-2D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2D:33549571:33550053:1 gene:Sspon.02G0037980-2D transcript:Sspon.02G0037980-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SLFLLSVPPSPASPTLANLLLRAAAASGATPGALLAFFSRLVGCHGLRPNAFSFSTLLAAIAPAGAGALPHSRALHARALAGGMLAPTGESGHVMTSLVNVYAAARQLGDARKVFDEMSTRAVAAWNCMLATYVRCGEVDAALRFFGEMPRRDVVAWTTVI >Sspon.05G0034120-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5D:53776300:53778624:1 gene:Sspon.05G0034120-2D transcript:Sspon.05G0034120-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSEHDTPENEAVNLLKRNSDDVGWEYGVLVDAKNKDKVKCKFCNHQSQGGIHRLKQHVANVGKNVKKCRKNTQEAKDKCKKSLDESKRKRKDVKLCLSVFEPLVKVLLLVDGDVKPSMGFLYGELLKAKREINEAYGNVESRYKDVIDNIDKKMKGRLDSPLHLTAYLLNPYYSYGNPSIFDDATITERIISYVETFYHHDEDKQDQAVNTELKKFQNREGPFNKKLAKTCENFDYNPAFWWRLYGTETPALQKMATRILSLTSSSSGCERNWSTFEMVHTKKRNRLTTTCLNKLVYIQFNSKMINKKEKIKAKKIMDVLLSSDTTEAQGFLKRREMIVQLLSLEIRKMRRCQEWLQKPEVTSQVTTGPSGGYW >Sspon.07G0015100-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:54103127:54104742:-1 gene:Sspon.07G0015100-1A transcript:Sspon.07G0015100-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCLAWELLHVNENDDGIDNNSEDVVYIRLAAKDGGFGSVLKGVLSDSTSIAVKRLDTVRQGEKQFRAEVTSIGLIQHINLVKLIGFSCERDKRLLVYEHMLGSLDGHLFKCNATVLNWSTRYQIAIGVAIGLSYLHHSCHECIIHCDIKPENILLDASFVPKIMDFGIAAFVGWDFTVNKLHEGHAQSLVDPQLHGDFDLEEFERSCKVACWCIQDNEFDRPIMVVRVLEGLPELDMPPIARLLAAITEHSDEVSIQEFC >Sspon.05G0021890-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:1208769:1209073:-1 gene:Sspon.05G0021890-1B transcript:Sspon.05G0021890-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTRWSQKSPGLKILWIWTLGTAAIVVGGVVRMRVNDMQKILREEEEAAAAAAATSVSSERVLKDEE >Sspon.05G0026190-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:5C:27521167:27521601:-1 gene:Sspon.05G0026190-2C transcript:Sspon.05G0026190-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GVRLYFGYSLPQTPLVWELLALGLPRCRLGDAKSSERFLKCGVVLAMACSGMASPHSRSHRLGVGSAEADAAATKARAGKSGASIARGGKRRSCARISAAKTPHPRQGGKGGEREREERGGPAAHLKSGGACDRELRLPPHPRP >Sspon.05G0008940-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:5A:26294478:26295011:1 gene:Sspon.05G0008940-1A transcript:Sspon.05G0008940-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAEAKTGEPVADVEKQQPLLLPPTATAAETKAPSGDACDCDCEAFPRSSPTASRTLAFVVLVAGAAFAAQLAAREEYVLLAVFASQLGSFCLFTSLLALCALPEDRGRRARWAARAAGQVLQWSVAMAVPTSMACWVVQSAPVAVGAALLGFALAAVLTCHAELVRALWPVQGPR >Sspon.02G0041690-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:71878789:71881248:1 gene:Sspon.02G0041690-3D transcript:Sspon.02G0041690-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTRAGPRTDPADTSSSTSRHFHLLPPPTSTQTTTSRSRLSSLQDLLSPWIGKPRKKRSGSILNPAACSIPSRKSLLLCTRRTISLHLQSNLFSRSWVAHQFLTMKSSRQRSWICS >Sspon.07G0006210-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:4825590:4826527:-1 gene:Sspon.07G0006210-1T transcript:Sspon.07G0006210-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDSSSSASYIRMVHHMIEKCICFNLNKEECMDALEKHANVNPVVTATVWKELEKENKEFFETYKKDREERNIIEAETMERIQKMLSEAAASKSSDDDEG >Sspon.03G0034700-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:39025042:39025780:1 gene:Sspon.03G0034700-3D transcript:Sspon.03G0034700-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LPRTRDVAVAGGHVVRVKYCDTCLLYRPPRASHCSVCNNCVQKFDHHCPWVGQCIGLRNYRFFFLFISTSTFLCLYVFVLSWLNIAAQRPRHGGSLLRSMTGEPLSLVLIVYTFVVAWFVGGLTVFHIYLMSTNQTTYENFRYRYDEKENPYNRGVLANVSE >Sspon.04G0016690-3P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:12748542:12761551:-1 gene:Sspon.04G0016690-3P transcript:Sspon.04G0016690-3P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEIEVLEDTTTSSTSLVAAASTAPSAAEGAGAPAEDESLKNDVYTAAAYGDLEKLQRLVEGEGRPVTEPDGGGYHALQWAALNNRVAAAQYILEHGADINAVDHTGQTALHWSAVRGHIQVAELLLKEGAKVDAADLYGYQEPLLKMELENPALLSGNWSQLCITCKIVRPVRSKHCSTCDRCVEQFDHHCPWVSNCIGKKNKWEFFMFLTLEVVAMIITGSAAIIRIVRDPDSPSSFGAWIHYSALQHPGVVSFLALDCFLFFGVAVLTVVQASQIARNITTNEMANSMRYAYLRGPGGRFRNPYDHGIRKNCSDFLLNGYNEDTERLDQTLHTDEEMGMIQMTSAVSQNGDNHLHHANGTDHSCADSQANSKPHSQVSSSQCCDHSKKTDRTPLGLGLGLGRNSASRQYVRSLIPL >Sspon.01G0038690-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:26042471:26043766:-1 gene:Sspon.01G0038690-2C transcript:Sspon.01G0038690-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Short-chain dehydrogenase reductase 2a [Source:Projected from Arabidopsis thaliana (AT3G51680) UniProtKB/Swiss-Prot;Acc:Q9SCU0] MHASLASYAAAAMPALDLRPEMAHGHQPVMSPSHHGWDGNGAAVVPTPMPKRLDGKVAIVTGGARGIGEAIVRLFVKHGARVVIADIDDAAGEALASALGPQVSFVRCDVSVEEDVRRAVEWALSRHGGRLDVYCNNAGVLGRQTRAAKSILSFDAGEFDRVLRVNALGAALGMKHAALAMAPRRAGSIVSVASVAGVLGGLGPHAYTASKHAIVGLTKNAACELGAHGIRVNCVSPFGVATPMLINAWRQGHDDAGDADLDLDITVPSDEEVEKMEEVVRGLATLKGPTLRPRDIAEAVLFLASDESRYISGHNLVVDGGVTTSRNLIGL >Sspon.02G0048180-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:33987478:33988890:1 gene:Sspon.02G0048180-1T transcript:Sspon.02G0048180-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTPTVVLIPFCVTGHLTSMLQAGKRMLMSSGGDRTMSLTVLLAPLPMARFAHIVEREATSGSRFDIRFHRLPDVELPAFSSPEDMISSFIQLHASNAKAAIAGLGCPIAAVVMDYFCTTLFDVTRELALPVYVYFTSPASMLALMLRLPALDQEVAGDFGEAETAFDVPGMPPVPAAFLPNAVMKRDSGYTWSMYHANRFMEAAGIIVNTVAELEPEALAAIADGRCMPGRRAPTIYPIGPVIAFDPPAEQPHECLRWLDGQPRSAVVLLCFGSLGSLTMPQVHEIAEALQRSEHRFLWVLRGPPPAGSPYPTDANVDELVPGGFLERTKERGLVWPRWAPQKEILSHPSIGGFVSHGGWNSTLESLWHGVPLVTWPLYAEQHMNAFVLVAALGVAVAMEVDRKRGNFVEAAELERAVRALMGGSQEGRKARERAAEAKTACRNAAEEGGSSCAALQRLMRQISGHGGT >Sspon.08G0006490-2P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4B:61486614:61491580:-1 gene:Sspon.08G0006490-2P transcript:Sspon.08G0006490-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DSNPMLRNGETGDWIGTFQGHKGAVWSACLDTNALRAASGSADFSAYGSHFAKSPGVTDTHMLLTGGFEKILRIYDLNRPDATPREIDKSPGSVRTVTWLHSDQTILSSCTDLGGVRLWDVRTGKIVQTLETKSPVTSAEVSQDGRFITTTDGSSVKFWDANHFGLVKSYNMPCAVESASLEPKCGNKFVTGGEDMWVRVFDFFTGEELACNKGHHGPVHCVRFTPVGESYASGSEDGTIRIWQLGPANSDEQEAASANGKTIVGVNDVARKIEGFHIPKDVQAEG >Sspon.08G0008100-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:34110775:34114681:1 gene:Sspon.08G0008100-3C transcript:Sspon.08G0008100-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQLLFTLLAAEGAVAATLLFKTPLRRLAVLALDRLKQGRGPVMVRTIAATVLVVLASSLHSMAKIRGHAEGELDGAGVVGLTPTDQVLLARHLLEASLMGYSLFLALVIDRLHNYVKEIRRLKKKLEAVSKENKTMLDETTHGKPEESKPDQKDIFDAKDN >Sspon.03G0007080-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:8300254:8301299:1 gene:Sspon.03G0007080-2P transcript:Sspon.03G0007080-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAGSSASATTAGDGDKNKAGKAGKGGGGRGKKKASRPRFAFQTRSDSDVLDDGYRWRKYGQKAVKNSAFPRSYYRCTHHTCDVKKQVQRLAKDTSIVVTTYEGVHNHPCEKLMEALSPILKQLQLLSQLQMMSIVKMTDYIVEDVYVMKNGPFDSLCTDSNHQSTRTKRERENPAI >Sspon.06G0003570-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:9147967:9161190:-1 gene:Sspon.06G0003570-3C transcript:Sspon.06G0003570-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVESLLLPVVRGVAGNAADALVRSVTRMWGLEADCDKLERRLLAVHCVLADAEVKGETNPAVRRWMKELKAVAYQADDVLDSFKYEGLRRQAKAGEPLARKVRSYFTIQSPLLSRFAISRTLHRVLKKMDELVMEMNTFGLVEGAEEVLQIPCRQTHSALDESAEIYGREGDRESVVKLLLDQQDEHDVQVLPIVGMGGLGKTTLAKMVFNDSRVQRHFELKMWYCVSENFQAAAIIKSIIELATNKRCDLPDTVELLRGRLQEVLGRKRYLLILDDVWNEEQQKWEEDLKPLLCSSIGGSGSVIVVTSRSQAVASIMGTLPPHELACLSKDGSWELFSKRAFSKGVQQGAEFVMIGRRIVNKCKGLPLALKTMGALMSSKLQAVANECATAEELILHKASIKDVRHLQMSNIDELKQIHRLFKGTISLGTLLTLSISDKDLTDLKPMALRALCWNSGHPSIVHSHIVIAAHLRYLDLSGSHSIVRLPDSVCTMYNLQSLRLNNCYELRYLPEGMATMRKLSHIYLLGCHNLERMPPNLSQLHNLRTLTTFVVDTDDGFGIEELKDLRHLGNRLELKNLEKVKTGSKANIHGKHNLSELLLYWNLEESVIPRDGQLGNEEEVLESLVPHGELKILEVHGYVGLAFSQWMRDPCIFQYLRELRISNCPRCKDLPAVWLSPSLEQLSLSCMVSLTTLCRNIDVEVARYNRAAQIFPKLKKMTLDNLPNLERWTEDSVREANTFMLFPQLEELRIYDCFKLESLPESPVLKNLTCISYSIRAFVSMNMPLCSWPSLVSLNVGLLANVVIPTEDQESQGQRPLDSLRSLEIKGDNGFISIFNLSKLRHRPCDCFAFVEELFISSCYNIFHWPVEELRCMPRIRSLRIWHCTNLEGKGSSAEEILPLPHLERLWIASCGRLLEIPKLPASLEEMKISYGISLVALPSNLGNLAKLRMLGMWGCDGLRELPDGMDGLTSLERLSISGCPGIEKFPQGLLRRLSALKYLCIHGCPDLQRRCRNGGDYFDLVSSIPDQFIPATDMADSLLLPVVTMVASKATDELVQSVTRMWGVDTDCGKLERLLLAVQCMLPDAKVKGETSCTSPVVRRWMKELKAVAYQADDVLDDLQYEALRRDREANEGEPTARKVSRYLTLHSPLLFRLTVSRNLSKVLKKLDDLVLEMHTLGLLEHPVAQHTLCQQKQVVLDGSGEIFGRDDDKEVVVNLLLDHQHQKNVQVLPIIGMEGVGKTTLAKMVYNDHRIQKHFGLKIWHCVSENFEATSVVRSVIELATGERCDLPDDSKFWRARLQGAIGRKRFLLILDNVRDEEQEKWEDELKPLLCTSIGGSGSMIVVTSRNQQVAAIMGTLPTQELACLTEDDSWELFSKKAFSKGVQEQPELVTIGRRIVHMCKGLPLALNTMGGLMSSKQELNEINGLLKGRSSLHTLLTQSAHNHLKELKLKSIRALCCEGLSVIHSQLISTAHLRYLDLSGSKIVSLPNSLCMLYNLQSLWLNGCSRLRYLPDGMTTMRKISYIHLLECDSLERMPPKLGLLQKLRTLTTFIVDTGDDLGIEELKDLRHLGNRLELFNLRKVKSGSKVNFHEKQNLSELLLYWGRDRDYDPLDNEEFNKDEEVLESLVPHGELKVLKLHGYGGLAMSQWMRDPKMFQCLRELVITECPRCKDLPIVWLSSSLEVLNLSKMISLTTLCENIDVAEAGCNTSQQIFPKLRRMRLQYLPELERWADNSLRIYHCYKLVSFPESPVLTLLSCRGDSARGLVPVSMPLGSWPSLVHLGIGLLAEVVMLPEALQSQNQRPLYTMRSLKILGEDGFVSIFNLSKSQLGFRDCLAFVEKLEIGSCPSIVHWPVEELRCLPCLRSLNIWYCKNLEGKGSSSEEILPLPQLEWLSIQHCESLLEIPKLPSSLEEMGIRCCNSLVALPSNLGNLAKLRHFFIEDCGEMKALPDGMDGLTSLERIEKFPQGLLQWLPALKFLEIKACPDLQRRCRQGGEYFDLISSTK >Sspon.07G0016360-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7A:58110030:58111586:1 gene:Sspon.07G0016360-1A transcript:Sspon.07G0016360-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHVSPWWIKESEERTNPLDLRLGLLRSCGRQGRGQMMSIRGAGCTSICQNLDLRSGIGSALEIWWAAPAPSLIMWSMP >Sspon.02G0013240-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:14472494:14476406:1 gene:Sspon.02G0013240-2P transcript:Sspon.02G0013240-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLVSQAHLFKPTYPPSKERMIGAQALFFHQGDYHLRLRRLVQGWVGPDALRALVPDVEAAVASTLRGWEGRVTSTFHTMKTLTFDVGVVTIFGRRLADHVKEELREHYLIVEKGYNSFPIPVPFTSYSQAIKARRRLGAILRGILAERRARRSDNQGDDDDLLNTLMRYRDDSGAALSDDQVADNVIGVLFAAQDTTASVLTWILKFLHDNPKLLEAVKVILESLRMASIISFTFREAVEDVHYQGFLIPKGWKVMPLFRNLHYSPEYFQDPHKFDPSRFKVAPRPGTFLPFGSGVHACPGNDLAKLEMLVLIHRLVTTYRWEVEGSSDDVTYSPFPVPKRGLQARLTRATAA >Sspon.02G0014940-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:20567104:20569078:1 gene:Sspon.02G0014940-1P transcript:Sspon.02G0014940-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALGVVGLVLALPLLFLLTRAAWITVSCYYLTPARIRTILAGQGVHGPPPRLLVGNLRDVSALVAEATAGDMSSLSHDIVGRLCPIMSCGPRWRLFVYWYGSEPRVCVTDAGMVRELLSSRHAHVTGKSWLQRQGAKHFIGRGLIMANGATWSHQRHVVAPAFMADRLRARVGHMVECARQTVRALREAVARAGNEVEVGAHMARLAGDIIARTEFDTSYDTGKRIFHLIEELQRLTARSSRYLWVPGSQYFPSKYRREIKRLNGELEQLLKESIQRSREIADEGRTPSSACGMGLLGMLLAEMEKNKKKRTTKSGNDDGELGYDAQTMIDECKTFFFAGHETSALLLTWAIMLLATNPSWQDKARAESLADCNGLVVRACPQLQMVINETLRLYPPATLLPRMAFEDITLGSGGADELRVPKGASLWIPVLAIHHDEAVWGPDAHEFRPDRFAPGRARPWAAGRFLPFASGPRNCVGQAYAMVEAKVVLAVLLASFRFGISDEYRHAPVNVLTLRPRHGVPVRLLPLLTR >Sspon.04G0036540-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:33919234:33922611:-1 gene:Sspon.04G0036540-1D transcript:Sspon.04G0036540-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLLRRPRHLKAPEAGAGVEEASKLLRSVCSNTEGLAAEIQSCGHRRRAVEDLAAELQTLRDAVLRIWDALLDAADLHRPQGTALALQARDAAFGLDDVADMVQYRCIQLRLAPPPARLWKNPLLSRLLGCDGSSCKPNKIRKVLFVVTQETIKLLGLLDEGAAATSLHPPLLASPDQGCVKSQTQLPRPKGTVVGRDMDREAILRMLTDPCPQPGPLVISIVGMGGVGKTALARQLYNHTTVQQRFDITSWVFVSSSFNNEDLVAEILRSANPAWTASPDNMADLSMLQSELQQFLASKRCLIVLDGMWEETSDMKGDWQTILTPLRSAGTGSRILVTTRTNTVSSLPSASQVYHLDALSTEDCWTLIKEHAFHSDNEDDYPDLQLLGRKIAAQIGGLPLPAKFVGRWLEATRSKEQWQNIMGALGQHDPAFPALRLSYGHLPGHLKRCFAYCSLFPKNWKFDPPHLIHLWTAEGFVQPRCRAEEREEDCARQYFNQLLSCSFFEEIELGSKKYYLMHDLIHDLAQLVSAEHCFRIEQGMSCDIPSTVRHLSVTMSNLHHLISFCKIGKLRTLLVLRSLSFSSNCFDEDLLKKLTDLRILDLSGCDLTELPRSIGNLLHLRYLSVHGNIRGLPRSISKLLHLQYLCFSDNCSFDKLPAVITMLVSLRHLCVGTKYTTGLADIGRLVNLQGSLEFHVEKLEGHTLEQLQNINGLSNLKIKGLENVSSIEEASRAELNKKRYLNSLSLEWGSTYRKLHPPADAEVLEGLLPPPDLKKLRIRRYRGTEAPSWLQSPSLQQLQSLQLINCRGLGKLPALGNLRSLRTLHMRELCAVEKIGHEFYGIDDMAFPCLDVLELDDFPRLHDWSVIADKKSFPCLQRLMVMDCPALTQIPPLPSTACEVSIERTQMVPYMRLAPSPSSPEMLQLDVCSSSFLFKGFLHEVHFKSIVALNISGAEQLVAAEELGSFVSLQRLQLSRCDFTDQTLSRFLQALPCVSSLEIIDLPNITSLPVKEKLMFCPMVKELHIRNCQLLHSLSSLQFFESLRYLEIERCSSITTTSFPENFVNLSSLKVLRISYCSELQSFPACGLPSSLESLNLIGCHPELSKTKRNRKGYYFEKPATST >Sspon.03G0018140-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:55728790:55734704:-1 gene:Sspon.03G0018140-1A transcript:Sspon.03G0018140-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:chromatin remodeling 8 [Source:Projected from Arabidopsis thaliana (AT2G18760) TAIR;Acc:AT2G18760] MDEEDDDQRLLHSLGVASANIEDIEKRILSQANPSAFSSSSSRVQTEPRRDDEAGAAVDDPSRSNAAPESDAHAKLHQKLLSVQLEIEAVASTIKRAKNAAGKKVDSSDSADGQDKKKQKQADHTAQDEPHGGALQQALATERLKSLKKAKARIQKEISQSDPYPSGSDNRKDKMLAMLVEDEPRRKKKSLLPARGPKQMSTPRLKTMSYNDDEDFDAVLDGASAGFMETEREELIRKGLLTPFHKLKGFEKRVEKRVELPGPSHRQNDPSEQAEETIEASRIARVAQSMQQIAQSRPTTKLLDPESLPRLDAPTAPFQRLGRPLKRPVSPSSEEQERKRQRNKTKRPLPDKKWRKTNSRKESLLETDDEDVGDFTASVSEEDDQAAEGFDGLSPVILEGGLRIPGTICEQLFDYQKVGVQWLWELHCQRAGGIIGDEMGLGKTVQVLSFLGSLHNSGMYKPSIVICPVTLLQQWQREASRWYPKFKVEILHDSANGSSKKSKAYSDSDSEGSWDSDREEVRRAKPAKKWDDLISRVVNSGSGLLLTTYEQLRILGEKLLDIEWGYAVLDEGHRIRNPNAEITLVCKQLQTVHRIIMTGAPIQNKLSELWSLFDFVFPGKLGVLPVFETEFSVPITVGGYANATPLQVSTAYRCAVVLRDLIMPYLLRRMKADVNAQLPKKTEHVLFCSLTPEQRSTYRAFLASSEVEQIFDGNRNSLYGIDVLRKICNHPDLLEREHAAQNPDYGNPERSGKMKVVEQVLKVWKDQGHRVLLFTQTQQMLDILENFLTACDYQYRRMDGLTPAKQRMALIDEFNNTDEIFVFILTTKVGGLGTNLTGANRIIIYDPDWNPSTDMQARERAWRIGQTRDVTVYRLITRGTIEEKVYHRQIYKHFLTNKVLKNPQQKRFFKARDMKDLFTLQDDEGNGSTETSNIFSQLSEDVNIGVPNDGQQDQAHIALALSSTSEAEPSNGGEGRVDVNSDQADEESNILKSLFDAQGIHSAINHDAIMNANDDQKLRLEAEASQVAQRAAEALRQSRMLRSRDSFAVPTWTGRSGAAGAPSSVRRKFGSTVNSQLTRSSQPSETSSSRSQSLPVGALNGKALSSAELLAKIRGTREGAASDALEHQLNVGSASNHISSPSGNGSRASNSSNRSMIVQPEVLIRQLCTFIQHSGGFASSTSITEHFKSRIQSKDMLLFKNLLKEIATLQRGADGSMWVLKPDYTLKGLGERLADFTGHKAHVNVPVCLFNLVTSNLLG >Sspon.07G0015710-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:53915932:53918811:1 gene:Sspon.07G0015710-4D transcript:Sspon.07G0015710-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTAAVTIAPGSGGAKTSWPEVVGLSIEEAKKVILKDKPDADIVVLPVGSRVTDDFLPNRVRIFVDTVAETPPPECGDAKTSWPEVVGLSVEEAKKVILKDKPDADIVVVPVGSSVTTDFVLNRVRIFVDIVAETPRVG >Sspon.03G0005430-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3A:15524971:15526536:-1 gene:Sspon.03G0005430-1A transcript:Sspon.03G0005430-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDPGPGAATRAGDDERNGNPAQLKRYPTAATAKAASAGPVTAAAAPVLGLAGPGAGAASDAVATATSDEATTAAVAAAARILSLRKLIPSVAVPAREHNAGSRRPRSSSQGHHPPKGRRPPLQPRPQAKPPQVPARCGGQQYLCAGLVGAGHAVRALVRPSSNVSGLSRDVELAYGDVTDAESLAAAFDGCDIVFHVAAAAEPWLPDPSVFLKVNVGGLENVLKAAKRTPTVNLDMLYVYLDMLSMYLDMLSEPMSHVIVTLL >Sspon.07G0008590-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:23472350:23477318:1 gene:Sspon.07G0008590-1A transcript:Sspon.07G0008590-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPKPRTSLGAGPMTGDAVLDGLMEVFPQVDFSTLIEVSIQFKDDIDAAADYIVQNVIPNIVQEPSHENINEDLHIHGNQQAFDDTNTHLGLDSFDNKTNSNSVQFDLSNKTSVEQEDILTGLCPEVFDVPLTSGQNCISEEFSSGSLMQNTYSERNSELSSSGGDMSLHDDASPHVTVQSSNSVNLESLDDVIAKEHYKKNALMSNVVSISEMLQEVEINEENTKRAISEASQAGNDILVKVEELKEMTTLAVEENNKVEGDIFAEKSILAAEAQELQCRLSYISEETKNFVLAIDKMQDTLQRRLAAAEAERAAAEKAKLEREASAQKNLNEQELLLEAAKNKSKRLEQEAQENTKLRELLTERGHVVDALHGEMLGIFDSITKLKVRVDIQTPVDEQWQHISLRLSSSSLAVEEPVQQVPFVSASSALDEQSQQIPLILSRSTVDEPLQQIPPILSCSASDEQVQAVSPILSSSTFDEPQRLVSPVLSSLVRSARSDSSLGESFMSKCNLSSALESRIDDVSYASFGLDDSWDVVDDDETMESPDSIPMLL >Sspon.06G0005890-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:17713178:17714332:-1 gene:Sspon.06G0005890-2B transcript:Sspon.06G0005890-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLGGQQQHGHDEARARLRWTRQLHDRFVLAVAQLGGADKATPKSVLRAMAVPGLTLYHLKSHLQVRLLQANYSSAELCLLIMRSTLLLLQKYRLAVSRGLASPLGDNGDGTDERLSSSESQPDEYDDDTVAELHADSSRSMARMQREVKRKLQEQIELSSVHHRDLIHAWQVQRHLQLRIEAQGRYMQSVLRRAQEVLADDHSLGSPTGAEAAKAELSELASAVDTGCLSSSCSCCCSPSPSPTRHRSTDSCVTSSASSEAESQAPAAGAKWLQLHTCAGTRDCSVEQPVLQSESTFLQRHEADEADAGAEDEDGASSEIDLNR >Sspon.02G0045460-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2B:109503424:109504587:-1 gene:Sspon.02G0045460-1B transcript:Sspon.02G0045460-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRSGAQLIDRRAHVSASYPDTRFRYKDQIHMSAFDTKSLELARLIVRVLPGLDTKIGSTCPCPVTEVQ >Sspon.01G0012040-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:32523048:32527198:-1 gene:Sspon.01G0012040-2C transcript:Sspon.01G0012040-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAAAAAAAAAPRPKSPPASPDPCGRHRLQLAVDALHREIGFLEGEISSIEGVHAASRCCKEVDEFVGSNPDPFITIQPEKGSHDQSQQFLKKSRAKSCLSYYLSWICCCGGGWCPPVQLKRPAAPSCSCAPRLRKLCCCCCCCRCRVVYAGAGCGCCAPCPRCSCDCTCACPRCSAACCCAPRCCLCL >Sspon.03G0039430-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:9267898:9273138:-1 gene:Sspon.03G0039430-2D transcript:Sspon.03G0039430-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTRRGGGVLHGLLALSSLLLLASGEIIFEERFEDGWETRWVESDWKRSEGKAGRFKHTAGRYSADPDDKGIQTTMDARHFAISAKFPQFSNKNRTLVVQYSIKFEQDIECGGGYIKLMSGYVNQKKFSGDTPYSLMFGPDICGTQTKKLHLILSYQGQNYPIKKDLECETDKLTHFYTFILRPDASYSLLVDNRERETGSMYTDWDILPPRKIKDVHAKRPKDWDDREYIEDPDEVKPEGYDSIPKQIPDPKAKKPDTWDEDEDGIWKPRMVSNPAYKGPWKRKRIKNPNYKGKWKTPWIDNPEFEDDPDLYVLKPLKYIGIEVWQVKAGSVFDNILICDDPEYARKVVEETWGANREAEKEAFEEAEKERKAREDRVLNTYMHGTLTLLPFICLQHYRDHWDDYHARHPFAEFYHFWRQPYQQK >Sspon.06G0031450-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:67488702:67493945:-1 gene:Sspon.06G0031450-1C transcript:Sspon.06G0031450-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAMLRSAARSLRLRQPLLDQQRCLLARQFLSSSVPTELISEQNLTGQISNENMITSERILMFDGVMDIGLLRSGFDSQASLVVVSTRKWPQESNSGLSKAVGLVKKKGKRGNYTPIQVDRRPKGFSEDGRTTHGNYTPIQVDRRPKGFFEDKKTMVKRAQAVRKKANLEVKYRKQNS >Sspon.05G0007910-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:24550121:24553396:1 gene:Sspon.05G0007910-1A transcript:Sspon.05G0007910-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MYKFVRQQQYCSSAASTAEIKSPSYEKDKGVPKIKPFSPWPLSLLITNHPIITHGHGRQRDAHQTSRNERDLRSPAPAPAPPFRFQSGLAGPAVEGEMGKNQAYKAMQRARVGSSSGAPGAADAPEDGMWHAARLASLNKTHTVTWEEFKKKQKVHAHIICCSFSNLSLTHVYSLLAFLYSQYSVYQHAPVEEELKRGELEADKDKMMREYRAQLDAERAQKLANGRNHLRSNSKSSSSKKDSSSSDDEDRGSRKSRSRSRSKRTKKEKKHRSRSKRRDSENEEEGPVRLSKFFGKKEKNEILLKIYVKSMANTEGDPKQLAQTKANIQNLPPRTTTTHVRLYKSRLKPLPHTAR >Sspon.01G0046960-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:102888283:102891312:1 gene:Sspon.01G0046960-3D transcript:Sspon.01G0046960-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLAPASWALPLQTGGAGAAAAAAGPSCRAMLAVAEPRWAAALRRPRVLVAPARCAALDGPGASGEEAKIEEQKKKKPARGRPVWRRILFSSKKTRSIIILNALTVIYASDIPVLKEVEALTEPAVFNMVRFVVAAIPFVPFAVRAFGDRRVRYAGLELGVWISLAYLAQAIGLLSSDAGRASFITAFTVIVVPLIDGLLGASIPKLTWFGAIMSLFGIGLLECGGSPPCVGDILNFFSAVFFGIHMLRTEQISRSTDKKKFLALLSFEVLVVAFSSVLWCMFKDGYVDTSESNFDSWTFGMLWDTAASFPWIPALYTGVLSTVLCMWAEMVAMGDVSATETAIVYGLEPVWGAAFAWFLLGERWDNTAWIGAALVLCGSLTVQLFGSAPEKSKKIKKRSSNALETPVKQQDYLSLSPIPVDSGKFIGRQLERRNKAL >Sspon.08G0012620-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:50439524:50445807:1 gene:Sspon.08G0012620-2B transcript:Sspon.08G0012620-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTRIVYSRDFLLSFGELEHCKKLPTGFDTALLSELQDLSAGVLERNKGYYNTSQGRPDGSGGGYTYSSRGGNTGGRWDTRSSGSSDRDGELPDRDSQTQAGRGGNQYRRNWQNTEHDGLLGSGGFPRPSGYAGQLSSKDHGNAPQLNRTSERYQPPRPYKAAPFSRKDIDSMNDETFGSSELSNEDRAEEERKRRASFELMRKEQHKAMQGKKNGPDILKENPSDDIISQLQTSTAKANAKTKNEKLDGSAVSLYQEDTTKPSSVLLAPAARPLVPPGFATAFADKKLQPQSSNIAHEPKCHNATTEANMLTVAWLGGQLEGDQSATEFASESKEKGISNNVAIMGPKHTLPAGGVTSSAELPSSILKGSKDWEADVMDKYSIGKEGKSKIIDPVRKGDSVSILEQFFGNVLSKSGSNLPTYVENQPLKTDDDMIASSVPESSKFARWFLDEDLKPAEDLSSKSLLSMIVKNENPGPIHAPISDAAVQNLSPRAPIDKLDSASKLISFTSPTPADGILEQYIHSDIPEAVPVMMTCEDLEQTMLAQVSNSSSTQINATKEQLTVMDEPVAMQKVPVDNHASQHLLSLLQKGTDNKGAPSLGFQRGSTDEPHSVGANLMANGGISGSDPVNSVDNVPTSGKNLKLEALFGAAFMNELHSKDAPVSIRGSTTGGPTEFAETGKTLLSSSHEGYYPVEQTIHFNNTKNAAVPREPGIEHSAVPGLNQGSAIFDKKGMEIHLPEEDNLFTMSDSLPGQNSDTLASVGSGRVEGLLPEKAVDDLSYRFQSLVPGNAEHIQVLGPDALGSHPRDQRYQVDSQNLYHLLQGRPPMMAPRPMMDHIVNRKQPAPFDMPQSIHHDSHRSFPSNVNPMQHNLHGPGVPHLDPNAHIMLQHMSMPGRFPPEGLPRGVPPSQPVHHMAGYRPEMGNVNNFHMHPRQPNYGEFGLMMPGPSGPEVRGNHPEAFERLMQMEMSARSKQQQVHHPAMAAGRVPSGMYGHELDAKLRYR >Sspon.06G0029850-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:30974815:30976383:-1 gene:Sspon.06G0029850-2D transcript:Sspon.06G0029850-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to Peroxidase 40 precursor (EC 1.11.1.7) (Atperox P40) [Source: Projected from Oryza sativa (Os08g0302000)] MAHSTTLVLHLLVVVVVLALTFAASASVSAPVNKSCVTGSAGASSGGPSWEVEVGRKDSRTASLQGANINLPAPTSGVATLVQKFRSVGLSAKDMVALSGAHTIGKARCTSFSARLAGVGGVSEGGAGAFKDLTFLQSLQQLCTGSAGSALAHLDLATPATFDNQYYINLLSGDGLLPSDQALASLSSGAGAVPGVEADVASLVAIYAFDASVFFQDFAESMLRMGRLAPGAGTSGEVRRNCRVVNSSG >Sspon.01G0023310-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:88565240:88571230:-1 gene:Sspon.01G0023310-2B transcript:Sspon.01G0023310-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RTSLNLFSLKAVATKEALENNAAVLEENTFADNAANSTERQGPLQFTFESEVDRIFSSPLESTLLYVISGKHIGLAKLLQGYRLSTDNGLSITTKTDGKKFIKLKPNETVLQAHWQTTLRGPVVGILTNQRVLIASADLDILSSSSTKFDRVLLGALNDRLLLVNPTDINPRQKKGVEIRGCLVGLLEPLLIGFATMQQYFEQKLDLSEVLYQITSRFDSLRITPRSLDILTKGPPVCGDLAVSLSQAGPQFTQIMRCNYAIKALRFSTALSILKDEFLRSRDYPQCPPTSHLFQRFRELGYACIKYGQFDSAKETFEVITDHESMLDLFICHLNPSALRRLAQKLEESGTDSELRRYLERILRVRSTGWTQGVFANFAAESMVPKGPEWAGGNWEIKTPTNIKNIPHWELAGEVMPYMKTTEAGIPSVVADHIGVYLGVMKGRGNVVEVSERSLVKAIAAASSENAQAVSSASAEKNKAIAGGDSVGDTLARQLGVQIASADEQAKAAEDFKKTLYGVVDDGSSDEDESTSKTKKIHIRIRDKPAAPTVDVNKLKEATKQIGLGPPPLSRTRSLSGTPQDFNQAPTQPGGPAAAVSPAMPNAAIDLFGTNALVQPQAASSATGPVIAGMGVTAGPIPEDFFQNTIPSHQLAAQLPPPGIVLSRMAQPAPGMDQGRPVPNQMMANVGLPDGGVPPQAPPQQSQFPPQQSQFPQQPGIPMDSIGLPDGGVPPQSQPLPSQGQALPSQAQGFRPGISAPSQPIDLSALEGPGAPKQAARPPAPTAVRPGQVPRGAPAAECYKMALAHLEQNQLTDALSCLDEAFLALAKDQSREADIKAQATICAQYKIAVALLQLSVLGFCAGNCSSAKGSRSGCSECEGGNGQAISAPCFPAHPGQAPDQLHQDAIKRNMEVQNYAYAKQMLDLLYSKAPPTKQDELKSLIDMCAQRGLTNKSIDPFEDPSQFCSVTLSRLSTIGHDVCDLCGAKFSALSAPGCVICGMGSIKRSDALAGGPGP >Sspon.01G0016730-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:76128485:76133114:-1 gene:Sspon.01G0016730-2B transcript:Sspon.01G0016730-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPKPISRLVSHVILDLDGTLLNTDSVVSKVVKPFLVKNGKTWDNKKAHKLVGKTPYEAAAVVLEDYGLPYSTEEFLSMITPVFSEQWCNIKALPGATRLIKHLRSNGVPTALASNSPRSNIEAKISCHQGWKECFSAIVGGDEVEKGKPSPDIFLEAAKRMNATPSNCLVIEDSLPGVTAGKAAGMHVIAVPSIPKKTAEFSSADVVINSLLDVRPEKWGLPPFNDWIEDTLPIEPWFISGPVIKGFGRGSKVLGIPTANLPAENFSDVVSEYTSGVYFGWAGLSTRGIYKMVMSVGWNPYFDNTEKTVEPWLLHNFGEDFYGEELRLAIVGYIRPEANFPSLKSLIERIQEDGRIAEKALDLPMYAKYKDSPYLRNTLQLGSTTDDSQAELNSK >Sspon.04G0001400-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:5966629:5975013:-1 gene:Sspon.04G0001400-3D transcript:Sspon.04G0001400-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALCSASPAISAAASLGSPARRVASLLRLRLRAAARSYSLAAAPRAPAGAPSWRAHRRFTASAAPTTEAEECSGVETLIPPDNRIPATIITGFLGSGKTTLLNHILTAHHGKRIAVIENEFGEVDIDGSLVAAQTAGAEDIMMLNNGCLCCTVRGDLVRMIGELVNKKKGKFDHIVIETTGLANPAPIIQTFYAEDVVFNDVKLDGVVTLVDAKHARLHLDEVKPKGIVNEAVQQIAYADRIIVNKIDLVNEPEVSSLVERIRGINRMANLKRAEYGKVDLDYIITHTITLMILADFWLGNLLLEHSEDIYRMKGLLSVSGMPQRFVFQGVHDIFQGSPERMWEPNEPRINKIVFIGRNLNKEELEKGFKDCLLKK >Sspon.08G0009570-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:42054287:42057599:1 gene:Sspon.08G0009570-1A transcript:Sspon.08G0009570-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRRALHIFTLSRPAMHILTPSRGIASTPHLSSFGWFDKIKSTITGKKPEEGSEASSFTLIQFADTMEKARKLGTFKNVVAGRASEATFVNAFEKHSAVLRYLGAIDPTGEKLRNTDKINATKHCNCTIAEVEHILAKYTWAKEAQKKIEKLKEEGKPLPKSFNEVQNLMGSTPVDVGRTNLAKSGQISRNALCPCGSKKRYKRVIATIFLT >Sspon.04G0028790-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4B:68283295:68290700:-1 gene:Sspon.04G0028790-1B transcript:Sspon.04G0028790-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGHGEKRRRTEGTHEEPEEEDRISDLPDVLRLQILSLLPLKSAIRTGALSSRWRRLWAYRWPEPSSVSIRLPPGGGAALTQADLNRCVHYAAACEVEDLHLRVDGGAGTGRGSRGGTRRPGTLSVQFPVGSPLLARLSVRGLNLTAVNNAMVATLEVIHLHSVFLTDAALRRMVAACPRLRELDLRYCRRLRRVDFSNVGVPNLRSFTVVDCSRTTELRVPSAPRLRSFRFSGAFLSSNILSCAKGSLEHLYLCSGGPETGLPTTNLPYAVPRLSNLTVLTLCSIALQYVSSFTAKAVTESNLHGLRELHLLMFGMANSNLADIYSFLKTCPCPQLERLFVQLPTNTGDAFTENFLKVAEEDPPKGGLENLCLAKMTNFKGHRNEMQLVGFLLRKSSSLKKLFLIASKEDHPQGLRKIQSDMLPDLLEKEILHLERASANTQIFFSEPDAQTSHCIRRSLSDVCKVWQSASALSSIQAFSMLHPIIFGIVAALSSSFFTLGQRSRSAVVSAAVVPPNIYFQVPDVHVELQ >Sspon.03G0022060-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:39926237:39928732:1 gene:Sspon.03G0022060-1P transcript:Sspon.03G0022060-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLEAQRAMCDSSETELRKKYQHRDDLERQIKPERKRYRVDDGLLEERHSESVKYLSARRLRSSPLKQELRVFLQEDQRNSDAYISLGEEEIGEGTSTCTPAFGNARNEPLKVINFPKRSLSVEQNTVDTERGRTLVREKLEELAIKERHHSRRRERRETMRSRGTGTPIRSRDDKAKVTMQKCYESETEKYLASETVSVPRTSSLPSPPYRAMGMYGTSRYGTDQSMLLQKSEAPHHRGFSRSEDDENMNHVGKGNVDKWLHMLMDNQQEDHAVYHSSDEHDNDEENASDEQQMQSRIDEESCRNGITECSDEIVEVEDEIVSDQGAERGRNSFGIKDREEKKIWFPRSDSTRGFRSLPSSPSKILGMRRGVECMGRKPKVAGDDDCRYGYEDSVSTSSSKFLSRCKQAIKKADVDLRHFAFGVAVAVLLFFPLGGVRRSMSLSELSTLATSAPMPPPNQNALHLKKPPLPPPRRRLSELSLSTGACSALHCTCKRRTRKLKMTTTKNLEPGDVLAEAAHLVLREDLALGGAAAGELQRRVGAPLAAEGVAQLGEAVELAVLREEHLHHGAHRHALVLDGVRRGLRRELARVDQLAQRLPLLLGRVRMRLTDMEPYQGTRISGLLFFEACHSTFGLS >Sspon.01G0042620-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:67607954:67613792:1 gene:Sspon.01G0042620-1B transcript:Sspon.01G0042620-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLALRLGFVAPPYPRTSSGRFAFSPSSCRAVANDAGVGGPSRPVTVDGDPPTVVSAPGRRIVASTFVSRRASPFIYSFSTYGTVLHVRASNVSTSGAVGDVHGDLSQTRAALVLAGVLSAESEGHLWTGGRTVLVQVGDILDRGEDEIAILSLFRSLNMQAKSQGGAVFQKQKGFAARSSLLKRGGPLACELAQHPVVLKINDWVFCHGGLLPHHVEYGIERMNREVSIWMKCSGEDRDDETDIPFIATRGYDSVVWSRLYSQDPAERTRRALMLSSIVAEQTLEAVGAKGMVVGHTPQMHGVNCKCDGKVWCVDVGMSSGILYSRPEVLEIVNDRPRVLKKRRELYDEMEVLDYL >Sspon.08G0014700-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:54621692:54627206:1 gene:Sspon.08G0014700-1T transcript:Sspon.08G0014700-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGVGQPPDTEMTDAGAGGGGQPPPAQQQPAAGAGMMENIQATLSHGGRFIQYNIFGNVFEVTAKYKPPILPIGKGAYGIVCSALNSETAEQVAIKKIANAFDNKIDAKRTLREIKLLRHMDHENIVAIRDIIPPAQREAFNDVYIAYELMDTDLHQIIRSNQALSEEHCQYFLYQILRGLKYIHSANQSSFECKCDLKICDFGLARTTSETDFMTEYVVTRWYRAPELLLNSSEYTAAIDVWSVGCIFMELMDRKPLFPGRDHVHQLRLLMELIGTPNEADLDFVNENARRYIRQLPRHARQSLPEKFPHVQPLAIDLVEKMLTFDPRQRITVEGALAHPYLASLHDISDEPICSMPFSFDFEQHALSEEQMKDLIYQEALAFNPDYQ >Sspon.03G0020400-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:63598311:63600520:-1 gene:Sspon.03G0020400-1A transcript:Sspon.03G0020400-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDPASEGGLFPDIQFWKQCADPVLAQDPFSSLMSALFCLPVQFLSSAEFFIPFVHLFYVVCAIQKTTILLQRSARLAFWPSLYLDAFGEELLHSPLEILPPADEPTCELLRHTAEDHEMQRGKPLYLSQERYTALTYL >Sspon.02G0023790-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:80881444:80883393:-1 gene:Sspon.02G0023790-2B transcript:Sspon.02G0023790-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ATGAYKYVSELWRRKQSDVMRFVQRVRCWEYRQQPAIVRLTRPTRPDKARRLGYKAKQGYVVYRVRVRRGGRKRPVPKGIVYGKPKHQGITQLKFQRNKRSVAEERAGRKLGGLRVLNSYWVNQDSTYKYFEIILVDVAHTAIKTDPRINWLCNPVHKHRELRGLTSAGKKFRGLRGKGHTHHKNRPSRRATWKRNQTLSLRRYR >Sspon.02G0030190-3D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2D:95769590:95771819:-1 gene:Sspon.02G0030190-3D transcript:Sspon.02G0030190-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHKHFNSRVHEQAEHCMLSDSDDLGMPLRLVASPHRASTSKKGGKTKPRKEPESPINSNYHVKQEAMSDEEQSDNEEQSDEGRLADSSYYYYYSKSANILTGGERDKIFSLVSIQPGNPALVAVLLKSHVGYKNNMLIIHHGFAAEHLEGRSHEILLLRPNRKEKWHVKYYHASHTRGFNCRRWVKFVHDNRLRKDHICVFELMKGAKRTTMVVHVLRKVDGRLVLVA >Sspon.06G0027660-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:63415614:63416911:-1 gene:Sspon.06G0027660-2C transcript:Sspon.06G0027660-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVQRMAEGVVLAAAMLLAAAMAMPLTDKDLESEASMWSLYEMWRSVHTVSLDLEEKERRFETFKENARYINEFNKRKDVPYKLGLNQFSDLTLEEFTSMYTGALPEDVDANRDDDTSLASAVTSSDEQPLVTAGDVPDVWDWREHGAVTPVKDQGNCSSCWAFSMVASVEGINAINTGTLLTLSEQEVLDCSGYGDCKGGYTYGSFLHAIDHGLAVDSLGNPPYYPPYVAEQEDCRFDPNKLPVVKINSRKFMRNTNEAALKFRVYEQPVSVLVDANSTVFHSYTEGVFTGPCGTNLSHAMLVVGYGTTVDLTDYWIVKNSWGSSWGENGYIRMKRDVAPRGLCGIHIPHVPHQD >Sspon.03G0009040-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:5398473:5406262:1 gene:Sspon.03G0009040-4D transcript:Sspon.03G0009040-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNLITLVNKLQRACTALGDHGEESALPTLWDSLPAIAVVGGQSSGKSSVLESVVGKDFLPRGSGIVTRRPLVLQLHRIDGDREYAEFMHLPRKRFTDFAAVRKEIADETDRETGRSKQISSVPIHLSIFSPHVVNLTLVDLPGLTKVAVEGQPESIVHDIENMVRSYIEKPNCIILAVSPANQDLATSDAIKISREVDPKGERTFGVLTKIDLMDKGTDAVDILEGRSYRLQTPWVGVVNRSQQDINKNVDMIAARRREREYFATTPEYKHMASRMGSEYLGKMLSKHLEQVIKSRIPGLQSLITKTIAELETELNRLGKPIANDAGGKLYTIMEICRMFDSIYKEHLDGVRAGGEKVYHVFDNQFPVAIKRLQFDKQLSMENVRKLITEADGYQPHLIAPEQGYRRLIESCLISIRGPAEAAVDAVHAILKDLVRKAINETHELKQFPTLRVEVGNAAFESLDRMRDESKKNTLKLVDMECSYLTVDFFRKLPQDVEKGGNPSHSIFDRYNDSYLRRIGQTVLSYVNMVCSTLRNSIPKSIVYCQVREAKRSLLDHFFTELGAREMKQLSKLLDEDPAVMERRTNLAKRLELYRSAQSEIDAVAWSK >Sspon.06G0008790-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:46862669:46863207:1 gene:Sspon.06G0008790-1A transcript:Sspon.06G0008790-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGADVTFKVEGEVFRAYTIVLATRSSVFKAGFYGPLRARRNKHITIIEDIQPDVFRELLHFIYTDSMSPSMSDLDADEKKQLIQHLLVAADRYDVQRLKASLDVETVAAMLALADQQNCRQLKDAAIHFIVCSSDTWDGVAASQGFGNLKRSCLSIMVDILDRAVKSRKI >Sspon.03G0046700-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3D:44436511:44438819:-1 gene:Sspon.03G0046700-1D transcript:Sspon.03G0046700-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMSAASHRSTTSMGALTVLSVFAVLISDVEGRHHVCPPFSCGGFSNVSYPFRRQGDPHSCGVKSYELVCTDTDATIRIGSGTYDVLSINYTHSYFWVVDTNLSMQSSCPLSRWDYKSHWPFHGEWQRSIELDHYTGWWATFVNCSRPIENNGMYEYEPVACLSTNSSFIYVYVVAAAAWYGGYVVPAYDLEPSCGYLAMTPLGGPGMTVLKNTSYPDVVKIMRKGFTLGFPLTIGENIRECLAYSIRFILVPLAMFTFLAYKYCKTRITIDAVEKFLRMQQMLVPTRYAYTDIIAITSHFREKLGQGGYGSVYKGVLLLGEIHVAIKMLGKSNCNGEDFISEVATIGKIHHVNVVRLVGFCSEEISRALIYEFMPGGSLDKYIFSSEKTLSWDKLNEIALGIARGINYLHQGCDMQIVHFDIKPHNILLDSNFVPKVADFGLAKLFPRDDSFVPLSAMRGTIGYIAPEMVSRSFGVISTKSDVYSFGMLLLEMTGGRRNADPHAGSSSQAYYPSLVYSQLSQEDVGGIGEGVDMHELEKKLCIIGLWCIQMKPQDRPTMSEVIEMLELEAGVNGIQMPPRPFFCDDEGGGSYTMSSELNAIEEED >Sspon.08G0013230-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:39341466:39342927:-1 gene:Sspon.08G0013230-3C transcript:Sspon.08G0013230-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LHHPALPGHDKCASSSPRLRHALRPPGAAAAVAIAPGDHWGNWAFLLSAAAFGTWAEENTSWGAALSGALVSIMAGLAATAVGLVTPGAPAHDAVMEYLLPAAVPLLLLGADLRRVVRTTGDLLKAFLLGSVATVIGTTVAYLLIPMRSLGQDSWKIAAALMGSYIGGALGLTPSVLAAGVAADNLISALYFMALFSLASNIPAEPKTATASPQKDGEPDEGGGGRLFVLNGGAAVALSFIICKVGSAMAAWLGVQGGTLPCVTALVVFMATAFPGPLGRLAPAGESLALILMQLFFAVVGANGNVVDAVTRAPSVFAFALVQVSVHLAVVLAAGRIMGMDRKPLLIASNANVGGPTTAAAMATAKGWTSLVVPGILVGIFGISIATFLGIGYG >Sspon.05G0007880-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:24513325:24515822:-1 gene:Sspon.05G0007880-1A transcript:Sspon.05G0007880-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSANHWGGSFDINTDGTSEDDDSRNTDVDAGALSARQHHELDETQQGWLLGPPEAKKKGRHVDLGCVVVKRKVLWWAFWGLVAGFVLVGLPIIVYKSIPHRAPLPPPPDQYAEALHKALLFFNAQKSGRIPRNNGIPWRGNSGLKDGSDAKDVKGGLVGGYYDAGDNIKFHFPMAFSMTLLSWSVIEYSSKYKAVEEYDHVRELIKWGADYLIHTFNSFASTIDHVYAQVGAANIKSRTPDDHYCWNRPEDMAYPRPSLSVSSAPDLGGEIAAALAAASIAFRDDDAYSKKLVHGAATMFEFATRDWANEHATYSRHQPDIEPFYNSTSYWDEYVWGAAWMYYATGNTSYLSFATDPQLAERAKAFYDVLDFSVFSWDNKLPGAGLLLSRLRMFLDPGYPFEQSLKSYHKETDLDMCKYFRRFRAFNFTRGGLALFNHGTGQPLQYVVANSFLAALYADYMEASNVPGWNCGPNFMPNEDLRAFAKSQVIDLNYILGDNPRKMSYVVGFGNKYPRHVHHRGASTPHNRVKYSCTGGYKWRDTKKADPNVITGAMVGGPDRNDRFNDSRMAFGQTEPTLVGNAGLVAALVAITTSGRSVGVGTIDKNSIFSAVPPQFPATPPPPP >Sspon.02G0038020-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:38134918:38138526:1 gene:Sspon.02G0038020-1B transcript:Sspon.02G0038020-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMARKNVWIFSLDWATPQSDRGTASVDTNRIGTRRAPPVGASSILSFSSSPPQPLATARAVTSSSLPLPPPHPSAVPQAHRWSPPLSIHLLLHGLQSYC >Sspon.08G0007460-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:24397900:24402092:-1 gene:Sspon.08G0007460-2C transcript:Sspon.08G0007460-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAPEAAADVAPSATLSVYQAARRIKRRASTLYNALRSVAEDAAFVAEVAALWPSLPLVANLRCGLWYAPPRAFASTCYFKSTDGHAGNWSFSTARLNLHLALLAGERGGCIIVDSTRRGKRFPDSMSKTIPIWCSVLNRAIERHRLRAIHKSVEVNYQVSSTLLNGDAENNSDLSNWDSSVHLPVWVLGTEKNAIEGRIDEWTDQFESCGADIHSLAVGLQKPLRPLWISQRTRIWLNEVPDLESWDFTPIILISASASEAVATQRMSSEFSWHYIPGAGDDEESWARGLTPTLFWKHSYDLLDAGPDHCNQLVADIVEKDRVYRSQRGEHCPQITSKSLKSLGGAKCNDDQTSEMWTMNLDPCTSTMDAQCSDNGLPLFWIGTSNLAVSSTFQVGVDCILNCDSTSKLPSNSSENSYLELSIVGSKDDRFSLMRNLPKAVDFAKRNLIAGRKILVCCQNGEDISICVALAILALLFDDNGCFDYGNYFVKSDVTKLEMRKRLVFICKFAVNARPSRGNLKQVYAFLSSQKEQLSFGALRSCPEVFIGIVTMAMISFWDQWLKSSCTESHKVHFRTIMKS >Sspon.06G0034770-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:53914564:53915420:-1 gene:Sspon.06G0034770-1D transcript:Sspon.06G0034770-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNDTSSNNIERVIDTNNYGHTYYDLRELYMSVVDGIFDFSQEDEIRIKWVAANLTCEQAKQRNATYACVSRNSYCHNVTAGKTQYGYCCKCLIGFQGNPYLQNGCTDIDECSIPNKCNGICQNSDGEITKVG >Sspon.08G0019210-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:10880586:10890794:1 gene:Sspon.08G0019210-2D transcript:Sspon.08G0019210-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATGRGLFPNKPTLPAGPRKRGPLIPAPPPPPPPSPSSLPLDSLLLHLTAAPALAPAPAPRRSHPTPTPPHSFLSPAAQALVLAISSHSLPTLPAFLASRRDELLRADIPSLLKALELSGHWEWALALLRWAGAEGAADASALEMVVRALGREGQHDAVCALLDEMPLPPGSRLDVRAYTTVLHALSRAGRYERAVELFAELRRQGVAPTLVTYNVVLDVYGRMGRSWPRIVALLDEMRVAGVEPDDFTASTVIAACCRDGLVDEAVAFFEDLKARGHAPCVVTYNALLQVFGKAGNYTEALRVLKEMEQNGCQPDAVTYNELAGTYARAGFYEEAAKCLDTMTSKGLLPNAFTYNTVMTAYGNVGKVDEALALFDQMKKSGCVSNVNTYNLILGMLGKKSRFTVMLEMLGEMSRSGCTPNRVTWNTMLAVCGKRGMEDYVTRVLEGMKSCGVELSRDTYNTLIAAYGRCGSRTNAFKMYNEMTGAGFAPCLTTYNALLNVLSRQGDWSTAQSIVSKMRTKGFKPNDQSYSLLLQCYAKGGNIAGIDAIEKEVYGGTIFPSWVILRTLVIANFKCRRLGGIEKAFQEVKARGYNPDLVIFNSMLSMYAKNGMYSKATEILDSIKQSGLSPDLITYNSLMDMYAKCSESWEAEKILNQLKSSQVKPDVVSYNTGEKETVLKDYPGSLAIISEIEPKDAIMQLLMQETYSRSECSKFIKIIQERVLDLESGDIDAGGFALTSAGKAGRLAIDGYPSFSPHESSPATSSLQMHGCDNSAAVGTIPKLTRTNQSPFINNAKNINPVLKRNYSVREDASGEIRRVRPKINGDSWDLSKFKQVDIIRNYPGPEERLRFEGGAFECIYSFRAANDGLITQEARALPMGIQVQNGSKNRRRRQPNLQKTTPTPTRSPAKGQRRKNNDAIVKSEMDLLEQSKLVLTEQQPEFGDVPATGRGLFPNKPTLPAGPRKRGPLIPAPPPPPPPSPSSLPLDSLLLHLTAAPALAPAPAPRRSHPTPTPPHSFLSPAAQALVLAISSHSLPTLPAFLASRRDELLRADIPSLLKALELSGHWEWALALLRWAGAEGAADASALEMVVRALGREGQHDAVCALLDEMPLPPGSRLDVRAYTTVLHALSRAGRYERAVELFAELRRQGVAPTLVTYNVVLDVYGRMGRSWPRIMRVAGVEPDDFTASTVIAACCRDGLVDEAVAFFEDLKARGHAPCVVTYNALLQVFGKAGNYTEALRVLKEMEQNGCQPDAVTYNELAGTYARAGFYEEAAKCLDTMTSKGLLPNAFTYNTVMTAYGNVGKVDEALALFDQMKKSGCVSNVNTYNLILGMLGKKSRFTVMLEMLGEMSRSGCTPNRVTWNTMLAVCGKRGMEDYVTRVLEGMKSCGVELSRDTYNTLIAAYGRCGSRTNAFKMYNEMTGAGFAPCLTTYNALLNVLSRKVIGPLLSQS >Sspon.02G0028740-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:104593331:104594035:1 gene:Sspon.02G0028740-1A transcript:Sspon.02G0028740-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLTIPDEVRAKAEIYLGDAAGQEKTRLLLHETGLPSGLLPLRDIIECGYVEETGFVWLKQRRKVDHYFAKAGRHVSYGAEVSAVAEKGRLKKITGVKAKEMLIWVTLHEICVDDPPQGKLHCKAIGGFSRSFPVEAFEANDGRVVVPRNVGGVGGAAAAGNGNGNGVVGADGDGKKEEAAAEGEEEKKAPAVAEEGSKDGKDAADGVDKVEEKLKEMSTGDQVVHAEGVAAKN >Sspon.04G0028340-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:65168984:65173157:-1 gene:Sspon.04G0028340-1B transcript:Sspon.04G0028340-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKARGVHRAQWHGRLRAMASVEVLSSRLIAAILHPSLTSISAYEYPMLFEVHNAAAERTSHCGVLEFIAEEGMIYMPYWMMQNLLLQEGDMVFIKNANLPKGTYVKLQPHTTDFLDISNPKAILEKTLRNFSCLTTGDSIMVAYNNKKYYIDIVETKPSNAITEEPKFIPFTGSGRRLDGKPSKDKDVLAPSPAKRQANATNGVQPSTATTSQGSSSRKTTGKLVFGSGSGGSRAEKAPEKEAKEEPKKEDPKFTAFTGKKYSLKG >Sspon.02G0007610-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:22141112:22146774:-1 gene:Sspon.02G0007610-1A transcript:Sspon.02G0007610-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVRRRGAPPPPAPRRVTRSQSRVDRISALPDDLLLQVLTRLGCARTAARTALLARRWRRVWPGLLEVSFRGLAPDAIHEALARFTRTSLEAIDIHVSRGTGHRALDAGSVTSFLHAAAGRSPVKLALTIPVFLYFPVDLPRFDFATSIELDVWDVRFALPAATEFPKLDTLSLSGCIMDLAALVPRCPRLRVLSVTRTPHEVDTITVHSASLQELVVSAFRSTSTIDIVAPALRKLTLASYPDNSLSVVAPMVEMVTWRCLYYSMYVGIGKMWRVVSINKRAVEKMDLTDDDNGDDEGSHQPRRAHVLSLDILDTPYPSQRDFAEELGKIPVTSFSVLELKIATWSHVLGPLLLNLLRICTAVQRLDMVQVTDSCDKWCPCQENNRKWRIIGASDRLLIDLTEVQIKGLKGDDEEINFLKLLFRCAPMFETMTLKLSDEVTDDWHNVFLDTVQEYPYDRKEFSKGGDIR >Sspon.02G0011330-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:30402292:30403612:-1 gene:Sspon.02G0011330-1A transcript:Sspon.02G0011330-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAPVLKRKGEEAPEAWLLGGVPVPATKIRRLDAEVPPAGSGAGVLPQPQHAFGVEEARMSGHVGAPPAMDATPLLKRKGEEAPQPWFDVDGVPTPATKIRRLDADVPAVESAVGVPLVEPGVSVTLQPFVVGDLRMSCDAPPAAAIGVAAPAANEERAIVVYQPAEAARSLLHGPLRPGASLRVSPDWIHGLKSTMLQEASNYRALFEEMAAGDENLSLAMVPWAPAKAHAQAASSSTSAGAEMDADQDGDGASMEVEHGVEGQTTLPAGGALHGEAFHHHYQQQ >Sspon.06G0004070-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6A:12377855:12382920:-1 gene:Sspon.06G0004070-1A transcript:Sspon.06G0004070-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQQDEPKTLSPIALPIVIGPQSLHPLFGSRLPVETRRWRHALCAAAAGFHVSMPLALDGRARTTTVICLLVLDYTTVIPRLVCRVPTNHQELKTRTSKGRSPEDAAIAALLLLALAPSPPPWLQLHSSHACFPNQGITDNITAARLSASTYAHPNCTRQQLQEPLCLLHGPSAGGLAGRRRRRCHRRRHGQAGCKLHAQVEIKNGIFELTLSNPDGIVTGVRYNGVDNLMEILNKEDNRGYWDLVWNPPGQKTGIFDVIKGTEFRIIYQDENQAEVSFTRNWDPSLEGKAVPLNIDKRFIVLRGSSGFYTYGIYEHKEGWPGFGLGETRVAFKLRKDKFHYMALADDRQRIMPMPDDRLPPRGQPLAYPEAVLLVDPINPDLRGEVRWPDRDKDDTCWLSMVDDKYQYSCEDQYNNVHGWMSFDPPIGFWQITPSDEFRTGGPLKQNLTSHVGPTMLAMFLSAHYVGDDLSPKFTNGEYWKKVHGPVFMYLNSSWDGSDPTMLSEDAKVQMMIEKENWPYCFALSEDFQKTEQRGCVSGRLLVRDRYIDDQDLYASGAYVGLALPGEAGSWQRECKGYQFWCRADVDGSFYIRNIVTGNYNLYAAADLQGGLAPPSFSSCIRHCLYAWVPGFIGDYRLDATLTIASGDDIYLGDLVYEPPRDGPTMWEIGVPDRSAAEFYVPDPNHNYVNRLYINHPADRFRQYGLWERYAELYPNSDLVYTVGQSDYSTDWFYAQVNRKLDDNTYQPTTWQIKFNLDSVSPSSTYKFRVALASSALAELQIFFNDQDKALPHFATGLIGRDNAIARHGIHGLYWLFNIDVASAWLVQGVNTIYLKQPRSQSPFQGLMYDYLRLEG >Sspon.01G0061770-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:97178217:97182862:1 gene:Sspon.01G0061770-1D transcript:Sspon.01G0061770-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GRPPSPFPFLLPSVPSPLLPFLGRSPPIPCSYSRRCTSRGASRRLAWDGRRCSAWGGSCSRPSSCRRWRSRLCLPPPLRVLPTSLFSLVGGSCSQGRLAAAPLWRGWPAPAPATRGWAGPGRRLLPCRPAPHPPSLPPLLVQAPTSWR >Sspon.06G0011050-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:59841078:59841323:-1 gene:Sspon.06G0011050-1A transcript:Sspon.06G0011050-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding KKMFCLVRRLFPFLLGTAVGAYVAQNYRVPNIRGLAERGLDDARRYEEAYRRKPGSSDAGAGSSGGSRKKKAAAAHVDMDDD >Sspon.06G0015200-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:81852140:81861172:1 gene:Sspon.06G0015200-2C transcript:Sspon.06G0015200-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVVRPGPAQRDRQSLKITRYQQLLACFFCAETENGISSRRRRPTRGDGASYSSGAQHRHLMGADDDSAAGGGVAAGEREAEIEKAMRARVADFKKQADSLTLEGVRRALEKDLGLDMYSLDAHKKFIKQCVDKVFAESDDENANDNSSDDPEAKDDHLSKEGTDMAKPMPVSNKTSSIADVVTSSKTGKDPKGEKDQTSSSDISEDMIKEAIEKRGSYFRKNADTLTLQGVRRTLEEDLKLQKKALDAYKNFITTELDKILQEPANGTKKKSKKGSSKDTDQTTSKGSKRVREESDRSELNDSKSEMEDSDEDSRPRKKRAEKAKIVKKQKIVTNEKKLSTPKAKKVAKQDSDRRTEEKGGNSAEEDNSHSSAEEDNKRKRQQTPAYGKQVEHLKSIIKSCGMTIPPTVYRRVKQAPENKREACLIKELQDILEKEGLSKNPSEKEIKAVKKRKERAKELEGIDMSNIITSSRRRSTSSFIPLPPPPKIEVDSDEEEEDDDAEDDDEVDEENVKGGDEDNDEVDEENVKGGDEDNEVDEKNVKGGDEDNNDTAEAGDDSADAAEKESD >Sspon.04G0005110-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:14625598:14627646:1 gene:Sspon.04G0005110-3C transcript:Sspon.04G0005110-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFTGSAPVVRVISLEFRRGRSRRIVSKMRFRNALGSRLFQWCPHEYHTSVRKLLDVDGASERSKLLKKVSVLMGYSNPQDLVEQQIAPGESAAELISAFKEIDFPEIAAKFPCIKIGDASPVELYEDSTNMKFKETVLSENLTNFIRGSGRNLETAYEFHNDCHPLSQTPTTADDLSISEEESISEDIPLMTQHISQELAVDKKSCPESLSDAITSDNSILDKSIRCLPGTTSRQYRQLEDAGFHTVRKLLQHFPRTYADLQNPQGPIEDGQYIMLFGTVISS >Sspon.01G0023700-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:85206330:85211322:1 gene:Sspon.01G0023700-1A transcript:Sspon.01G0023700-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP binding protein-like [Source:Projected from Arabidopsis thaliana (AT4G19540) UniProtKB/TrEMBL;Acc:O49472] MGHSFFSMHQIASRLGLLGRRWYSSAAKGGPSIAGVGDIIAVASGKGGVGKSTTAVNIAVALAKEFKLQVGLLDADIYGPSIPTMMNLHAKPEVSEDMKMIPVENHGVRCMSIGFLVDKDAPIVWRGPMVMSALEKMTRGVAWGELDILVVDMPPGTGDAQLSMSQRLRLSGALIVSTPQDIALIDARRGANMFRKVQILGLVENMSCFKCPKCGEKSYIFGEGGAKRTAEEMDMKVPLEISIRTGSDEGKPIVVSSPNSASAQAYVNVAEKVTQRLNELAEERRMGPEILL >Sspon.06G0031480-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:68329023:68332341:1 gene:Sspon.06G0031480-1C transcript:Sspon.06G0031480-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTFLSISELKAAINGGNIIGEGGSCYVYKFLAESQIDWPSLCRIFQGVAQGIHYLHKQHIVHMDLKPGNILLDSDMNPRIIDFELSIVLKDDEIIEDCVIGTYGYMAPEYILHAIISVKKDVYAFGAILLQTLSAMTRSEQQPNGLFLYEWAWEALEVERTDELFDRSLFDGSQLTEIKRFVVIGLLCVQDDREDRPMMADVLEMLNGKEELPTPKKSAYIKSDEERSA >Sspon.07G0007180-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:18923214:18927646:1 gene:Sspon.07G0007180-1A transcript:Sspon.07G0007180-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding TPHTYIGKSWGLGGRLYHHRDLDDEESYERFRRRERREAVARDYTEVYSSIPDSYGPLVVEQRVVMEHRAHFHSTPAADATRAATATATRPTARGAKQKNHGTTQTLPPTTRGTALTRPPIRSQPARLGSRPAPLSDPRIPNSESISAPPRATRLAMADCRSLIEFLRAFEQHRRRASSSEPSSPRPRRASLSSSSTSTTRSRSRSRLFPALCDHSALAAVDALALLASLAALAFLAAPYARLLAVEARDAVATVATRHPAAPCVPLAAGVAAGAAVLAWDAAPRCRGLRKAVEYDIQLETEECVRGLLPLAHGVGGGAAAAWPHRELEAVLRKMAPPNGRTVLVFRAPVEVWGAKKVRRMKK >Sspon.04G0022220-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:9661127:9663636:-1 gene:Sspon.04G0022220-3D transcript:Sspon.04G0022220-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGNLASVVVAVDGSEESMNALRWALDNLRLRPDGELVVLHVQPPPNIAAGLNPAPIPFGGPSGVEVPAFTQAIEAHQRRITQAILEHALKICSEKNMEVKTEVVVGDPKEKICEVAANRKADLLVMGCRAIGPLKRVFLGSVSNYCINHVGCPVVVIKGT >Sspon.02G0024980-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2B:87348266:87349357:-1 gene:Sspon.02G0024980-2B transcript:Sspon.02G0024980-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPPPPPELIDDAVAEILLRLPPDEPQCLFRASLVCKPWRCLLTDAAFLRRYRRFHRTPPLLGLFFVVYRRGEKPLPRFIPTTLPSPFPNPMLNCRSWVSRDCRHGRVLLQNMEGRKFIVWDPITGDRKELDLPRIPYKYFSVAVLCAAPGCDHGDCHGGPFLVVWVCNHVDVDSLEGSAHACVYSSQVGSWGTSISVPTDVDDFMNVSRGRGALGRDGVYFMLENGMGSRILKYDLDKHCLSVIDPPESYKNGIFVVPTEDGLLGVAGIKGTSLYLWLRKVNAEGVEGWMQYRAIELQTLFPDDKLLDKAIVIAFAEGVRVIFMDTSRGISIIDLNSEDARTLSEPEMHYAAVPFTSFYTP >Sspon.06G0009780-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:52111304:52121141:-1 gene:Sspon.06G0009780-1A transcript:Sspon.06G0009780-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPNVKVAAFSNYAPRLLVESSSTKHHDSRHHEETIDTLNGLSGSDVAELSNRDVEIEVHLQHNASSNSASREVYMTEEVDPNMSEEELPGNVLINISSGEMETMDDAEVEEDKFELKFSGILLRNAAVWELNPKDEHKAKEDVFVVDSSGTALDNAAVEGVVDEAEVEEDMVDVDILGLALNDVTMEEMDLMDEEEALQENFDVDSSGNASSTGTYGGVDELGELPSTSMDGIAVNETCRNLKLEPLPMVRYQEQEKIVFSIVEEEGLIVGSCEEGQPVVDYHKQEENSTAFDEQKQLTDGFPKQGIYIVQFPERNNDIVGSPKFLEQKQEFVDSYKQDRSIIGLHEQDQSIVGSHGQDKSIVGVPQQIQYIDQSIASSHRQDESIAGAPEQIQSVGGYIKPNQSIVGSYRQHESIIVAPEKIQSIISYNEIYQSIVGSHKQDKSVVSVPEQTQSIVSYSKPNQSTVDSYRQAESIIGVPKKVQSIISYDKLDQSIVGSHKQDESIVSVPEKIQSIVGYSRPNQSIVGLPKQQQSIVHIDERKQSIIGFPKQDLSIVGISKESQTKQLATVGIHDGLLMKGEDIPMAKVEIGIDKAKFLHLLSEEDSSWDENEVGVIEDEEQYDVDETSMPTEQDIQESPNDNVDPQALQRMLQELAEKNYSLGNKLFAYPEVLKADSTIDLYFNRDISAVANEPDVLIKGAFNGWKWRFFTEKLHKSELGGGWWCCKLYIPKQAYRMDFVFFNGRKLYENNDNNDFVIQIQSTMDEILFEDFLAEEKQRELEKLANEEAERRRQTDEQRRREEERAADKADRAQAKVEVETKKNKLHNVLGLARASVDNLWYIEPITTGQGATVRLYYNINSRPLVHSTEIWMHGGYNNWIDGLSFAERLVHHNDKVCDWWFVDVVLPERTYVLDWVFADGPPGNARNYDNNGGHDFHATLLNNMTEEEYWMEEEQRIYTRLQQERREREEAIKRKAERNAKMKAEMKEKTMRMFLVSQKHIVYTEPLEIRAGTTIDVLYNPSNTVLTGKPEVWFRCSFNRWMYPGGVLPPQKMVQAENGSHLKATVYVPQDAYMMDFVFSESEEGGIYDNRNGIDYHIPVFGSIAKEPPMHIVHIAVEMAPIAKVGGLGDVITSLSRAVQDLGHNVEVILPKHDCLNLSSVKNLHVHQSFSWGGSEIKVWRGLVEGLCVYFLEPQNGMFGVGCVYGRNDDRRFGFFCHSALEFLIQSRTSPLFIETADVLSSLQNIIHCHDWSSAPVAWLYKENYAESSLANARVVFTIHNLEFGAHYIGKAMRYCDKATTVSDTYSREVSGHSAIVPHLGKFYGILNGIDPDIWDPYSDNFIPVHYTSENVIEGKRAAKKALQQKFGLQQIDVPIVGIVTRLTAQKGIHLIKHAIHRTLERNGQVVLLGSAPDPRIQADFVNLANTLHGVNHGQIYAGSDFILVPSIFEPCGLTQLVAMRYGTIPIVRKTGGLFDTVFDVDNDKERARARGLEPNGFSFDGADSNGVDYALNRAISAWFDARSWFHSLCKRVMEQDWSWNRPALDYIELYRSASKL >Sspon.01G0024650-3D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:85924703:85927557:-1 gene:Sspon.01G0024650-3D transcript:Sspon.01G0024650-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLGDGAAAAGDFALPDELLAALPRDPYEQLDLARRITALAVAGRVSGLEREAGRLRAEAAGKDRENAELRERVALLDTALQETSARLRAALEDNVGRGVPGAAGPAQSVRVWRLRSFGFLNLVLATLWVQIKLSKERDSLAQTSKKLARDLQKVSPVGLSSLCIHIYGGSVSHATTNVFGESLDAGSTNRDGTATRPPIQKYALSSHITPRLTPEATPKIMSTSASPRRMSTTATPKLMSGATSSTKPRIEAHMSMTPWYPSSKQSSAANSPPRGRSNLGRTPRIDGKEFFRQARSRLSYEQFGAFLANIKELNAHKQSREETLKKAEEIFGPDNKDLYLSFQGLLNRSMP >Sspon.06G0023980-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:49164187:49175675:1 gene:Sspon.06G0023980-2C transcript:Sspon.06G0023980-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRDSRPAGMRLFGVTIAPAPEADPPERDPSPNPPVAAREDVMRKCKSMGNLAALGAGADGGGGGADGGGAGDGYLSDGGLTQSSGKRRRAQERKKEEHRTFLAGLEKLGKGDWRGIAKNFVTTRTPTQVASHAQKYFLRQTNPNKKKRRSSLFDMMPRELSPTPNCPILPPSMAKVHDMVAMTKQLQNSNLEGASSSNAANVASQVGRDLPPVPSFKATNMDSSFSKFSHMERYWRTPYPFRPIPRAPEGGTPSSTPVAANIAVPASQANLTACTSTFLSQRGDTSSLPPKGDPPSEEDLEVTVAPPSQQNMTNMSSQNANRELHYEQGKFLRKLNASLSIITG >Sspon.03G0011860-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:32221548:32223224:-1 gene:Sspon.03G0011860-1A transcript:Sspon.03G0011860-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTAAGYGCCSAGLRPSALWQGILSTRREPPTPPRVSLAASSSKLRAPAPRLAVSYRPWRLVVFASSSGEANSDAVPSPTEATIDIKLPRRSLLVQFTCNACGERTKRLINRVAYERGTVFLQCAGCQVYHKFVDNLGLVVEYDLREES >Sspon.01G0026960-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:90026827:90031634:1 gene:Sspon.01G0026960-3D transcript:Sspon.01G0026960-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRVSEDGGRRRAAHAAGYGDLGRALRDLQAAADQVFDTVSKRTAEEREKLSAISMRIKAAKAKIKALSQSEEPLIIVSPARHPSSSTKQEDYRPLFHDKHGSPIATIAVNGGFNREYGLEGTLELFQFFSEENCDYPSSSKDTDKLPKTKDGTYLENLLQTANHPAPGNHLASDTRSDELPPPPPSLLPKHLRPRESDVVAVESDVNPANT >Sspon.08G0012740-4T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:53035377:53036696:-1 gene:Sspon.08G0012740-4T transcript:Sspon.08G0012740-4T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTVEVLTSEVVVPAEETPAGGIWLSNLDLAARRGYTPTVNFFRPNGDPGFFAADVVKGSLARALVAFYPLAGRLGVDGATGRVQVDCTGEGAVFVTARCDYALDELMREFVPCRAMRDLLVPPTPAPNPPCALLFVQVTYLRCGGVVFALSMHHSVCDARGAAHFLETWASIARGDDAAANAPVPPCFDYGLLAAPPGPARAVLYDHPEYKPEPEPVVVDGGAAAASDDYASAIIVMTKAQVGALRARCPGASTFRAVVALVWQCVCRARALPPEMETRLYSMIDMRSRLNPPLPPGYFGNAVVRTSVSAAAGEVVGNPVGYAARRALAATSQGDDYARSLVDYLEGVDAMNLPRSGISRAHLRAISWVGMSLYKADFGWGAPAFMGPALMYYSGFVYVMNAAGKEGALALVLSLEPETMPEFRKVFAEELASLEVL >Sspon.06G0013690-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:54683879:54687632:1 gene:Sspon.06G0013690-3C transcript:Sspon.06G0013690-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinesin-1-like protein, Male meiosis and anther dehiscenc [Source: Projected from Oryza sativa (Os08g0117000)] MSNVTVCVRFRPLSHKERKANGDKVCFKKLDSESFVFKDEREEDVIFSFDKVFYEDAQQSHVYNFLAVPIVSDAISGINGTIITYGQTGAGKTYSMEGPSILHCNEQKTGLVQRVVDELFVCLGSSASTWTVKLSMVEIYLEKVRDLLDLSKDNLQIKESKAQGIYISGATEISILNSSDALENLSVSLLELYLECLSIRRLQYGSTSDERVTSGKIILVDLAGSEKVEKTGAEGRVLDEAKTINKSLSALGNVINALTTGEQKRIIFGRNKLNKKLACHVFVISLTWWQLKSGIAVLLFSQPIECTRETKLIKASPKLIPEAVDNTKKPTTETHDQDDLRERILSKVCSNEPEALDLILAYISRLSASERLTLNQTCVQLRLSLKEEDVDLLEELFVQEGIIFDPSSVADIDSVCRDTASEEISLLMQAVVELKETVEEVASKRPNLHSVRCLRGHRCSNSDCALQLTDENEKLRRSLQVAQEMTAQAQLAAAAEAARSRTLLDLVPAVLLRPFGFVPD >Sspon.01G0005440-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:12762686:12767936:1 gene:Sspon.01G0005440-2D transcript:Sspon.01G0005440-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTKRTNPTQPDRRWINHPLRDGDLRFVGNRSGAERAGTERSTSLSLPYYAVAPTEPSEIIPRPSYAFQMGRKSTTGDVDTRDKAIIPPASGGPNPQDQEPSSSPRIEQAKKRKKAGDRRSKPGSSLRCFCQERKRAAAYRGNRGGWRTEAGRRAVLPKAAAAAQCRRLLDGGWGSCRGGKNPRRRRGFLEQPGGEAQAGRQQ >Sspon.02G0018890-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:57334079:57335410:-1 gene:Sspon.02G0018890-4D transcript:Sspon.02G0018890-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAVLDAFSAAPRHQLRPAAWWRSTRLASQACRATAPFARADWQTACAILASNSTGGGGGAGHDTSSSSSSSNNSQPAPRVNGQKPLPAPAPALEATPAPTPAELDLVPVSNLPRPLSISDLSPAPMHGSQLRVAYQGVPGAYSEAAAAKAYPGCDAIPCDQFEVAFQAVELWIADRAVLPVENSLGGSIHRNYDLLLRHRLHIVGEVQLPVHHCLLALPGVRRELLTRVISHPQALAQCELTLNAMGLNVAREAFDDTAGAAEHVAAGGLRDTAAIASARAAELYGLQVLADGIQDDAGNVTRFVMLAREPIIPRTDRPFKTSIVFAHDTDGTSVLFKVLSAFAFRDISLTKIESRPHRHRPIRLVDDANVGTAKHFEYMFYIDFQASMADVRAQNALAEIQEFTSFLRVLGSYPMDMTPWDAALSSSSRADDSSRQYYE >Sspon.02G0039250-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:44614979:44619884:-1 gene:Sspon.02G0039250-3D transcript:Sspon.02G0039250-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Embryo defective 1381 [Source:Projected from Arabidopsis thaliana (AT2G31340) UniProtKB/TrEMBL;Acc:F4IQS9] MWPSPRPLVLTVPLPRLIRLLAPNPTEPYRPRRAAMAAGGRPWRVIPRPVLETVLHNHALRPRVPQPLLFHGPRGVGKSTLLLHRLLPRWSDPPHATAFVDFLHPTPSSPAAAPWSLLPTDPASPPSLHDLRLRLESALEGLARAAVLCGAVGSKDVLAALSRNHGLNTALSHLSGVPATRSSATSVPARRSSATSVPALWSRAVLGAVRRDDTALRIGEGEATNCSMEERAYMQEAMAALRVAKEVLGMQEGWRKEAIREMNRTGRFSRSLANSATDWPCLLLDVLSGAAEEEFFQPKLVLNNVDVLRKAICEDETMVPAAMYHDSFIWRVIALGANEQCLPVIMSTSDGYYSSQAFVDFGFPNIFISRETFGWTAQESKLHMVSEFFSEQEWKVVDEVLGPNPRQLSEIYMLKQKANSPEVLHDRNIEEIIDTYLAHLQVSVVNPAMEKALKMLQKFASDVREGKVPENRLSFGAPWRHPPRDDNPDLSYKWAKIQLMDFVQSFVNTEFGVNYLADDSLEILDDPAAVAMMEACSTKITIEHSRNASILLATFDTGAKLPPLDERSWLQ >Sspon.02G0007440-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:21856181:21858190:1 gene:Sspon.02G0007440-1A transcript:Sspon.02G0007440-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGATMHLTGVLGVSSPPLAPSHCSCSGAKKQSCSLRPPRQQQRRRLRVARAVEVGAPSAGAPEPEQVEEPSIDFAFVSPRLLPDGTPDVHYRTAQGGQKLRDIMLDGYIDLYGPYVVEGKEMLSPKTEVEKELLKRKPKTWRLACQATVGNADSTGQMIIQQLPEWKIHEWDK >Sspon.05G0007070-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:23848119:23850716:1 gene:Sspon.05G0007070-4D transcript:Sspon.05G0007070-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFKRFVEIGRVALVNYGKEYGRLVVIVDVVDQNRALVDAPDMVRCQMNFKRLSLTDIKIDIKRVPKKTTLIKAMEEADVKSKWENSSWGKKLIVQKRRASLNDFDRFKVMLAKIKRGGAIRQELAKLKKVAAAA >Sspon.01G0058490-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1D:29507285:29507605:1 gene:Sspon.01G0058490-1D transcript:Sspon.01G0058490-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding QEMPHSVYINTTKGQHTRQRRHTTRVLSFDGVDAHQGQDAMRMLLLLQTTFTNLLDRLVLEFSSSTTKFAQPKPYTYKEQYITPHLLSWQRPLPLLWQRWFLQSSI >Sspon.06G0014480-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:79953233:79954112:1 gene:Sspon.06G0014480-1A transcript:Sspon.06G0014480-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKARTKARARRQRIPAFGEWNYAYVGGAGDWPVTQCFDSAMQAGRLVMAIPIPPKPANKVVKWRESATPTLELGEEDEKQRQQVVVGLGEGEHRGAAKKQGKQQTTVVHAYEAVKAIDQDLYHIPPDMLCHEPRVSRPSFSLIV >Sspon.08G0002580-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:4159326:4160207:-1 gene:Sspon.08G0002580-2B transcript:Sspon.08G0002580-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSAGPMKTLVLAAAAAVLLLLLHGEVVASAAGGNFYQDVDITWGDSRGKILNNGQLLTLSMDRSSGSGFQSKAQYLYGRFDMQLKLIPGDSAGTVTTFYLSSQGSAHDEIDFEFLGNVSDEPYTVHTNVYSQGKGGREQQFRMWFDPTADFHAYSVLWNPAHIVFYVDGVPIREFRRRNDGAVPFPTSQPMRVYASVWDAEERATQGGRVKTDWSKAPFVASYRGYAADGCTAPDAAACARSNGAWMSQELDSTALEQLRRAQVSYMIYNYCTDKYRFPQGPPPECSSPAK >Sspon.04G0016840-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4A:61513615:61513959:1 gene:Sspon.04G0016840-1A transcript:Sspon.04G0016840-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRFALSSCFLCFTALYKDRHRHCRRRFHHRRRHRPPFSGQIRPPCSTAAISISPIAPPHPCASREPVELAQFRLDRRGAVVFHLGSPPSRLSPATVASRLQCLSASTDHASVIV >Sspon.03G0004140-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:10490168:10491901:-1 gene:Sspon.03G0004140-1A transcript:Sspon.03G0004140-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAAARHVVAVPYPGRGHINPMLVVCRQLAAADAAISVTVVVTEEWHALLAAAGVPDALPDRVRLATIPNVIPSERNRGADFAGFVEAVLVKMVEPVEQLLDRLTLEKKPDAIVADTFLTWGVAAGAARGIPVCSLWTQPATFFLALWHLDRWPTADGDQGEEGLSCESLDQYFPFPALSSVKCSDIKIFRSMVPPIKQCAQVFSNVRKAQCVLFTSFYELETGAINGTRQVVPCPIYTVGPSIP >Sspon.06G0015250-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:84226602:84228984:1 gene:Sspon.06G0015250-1A transcript:Sspon.06G0015250-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRRRAPLLLAAAAAGAALVAASPSGENGRSVASALHHGVARSSRAVYTIGFVVADYKYSLRGLDAGSADYRVKLSEVHLRSAKKLLKLCEANGGFYVKAGQYVSSLRQVPKEYSSTLSRLQDQATPSKFHDIKAVIEHNFGKELYDIFLEFDEQPIAAASIAQLNVE >Sspon.08G0001200-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:4315005:4323059:-1 gene:Sspon.08G0001200-1P transcript:Sspon.08G0001200-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSVAAAAMATTSRSLPLPFSSTPLHRRRRAAFLPVAASKRHDDEEEAAKGKGSGREPTSLAPYGGLSISPLSKDAAMGLVLSAATGSGWTTGSGMEGPPTASKAGGAGRPEVSTLPWSLFTKSPRRRMRVAFTCNVCGQRTTRAINPHAYTDGTVFVQCCGCNVFHKLVDNLNLFHEMKCYVGPDFRYEGDAPFNYLDRNEDGDTIFPL >Sspon.08G0024360-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8B:63473233:63473907:1 gene:Sspon.08G0024360-1B transcript:Sspon.08G0024360-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALTLALELESSAAGGGGGVGDVDFDNSHSHSHSSSRRERMFEKVVTPSDVGKLNRLVVPKQFAERHLPLRGAAARSRGTVLCFHDARGGTAWRFRYCYWSSSQSYVMTKGWNRYVRDKRLAAGDTVTFCRDGPRLFIDCQRRRTRAVEQGAVPAVVVPTILAVPPTTRHQQQPQSLVVFPAAGHHQHQQAEKVAAVGEAEEEEEETRRQRGRWLRLFGVNLLEL >Sspon.04G0036620-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:36810009:36812769:1 gene:Sspon.04G0036620-1D transcript:Sspon.04G0036620-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFEIGTYELGRNLYMEEGYIITDIFQLWKRTGKCTVIFIVLQVALAVKLVLFWILLLVFFISPVVNLGVSVWRLVRRDYGDAGGDIPNRAKLMAALDIFYALIVLQSVFAIYFLLIDCYLGTISMSTHFQLFEEWGSKVNVMYQRETERKLKESKELPGNWNLIAYGVELLQSASEDDRLWGARVLDSLCLLEEELLPVREELLSSRLSIKNLISMIGLRGTDKIENKERATRIVAHLASDLHITHFPGTLQCIYSLLECCKQYGQHGSLKTPKHQDDFHIVPIPDKTDNRDEQGIHIKIKQGISSLHTRSVKKATTMLDKWIKKCDEMRGPPNPYSYPYKTKGTKHLIAHGLMILDGLTQDQVNCTEIIKHQLLLSKITSPLRRHDVLSNVRDHTMVEILSRSLTVVARLLGSLGDSATSLRQELVSNTEAVSNLMGILETDSKGDQKLHDNAIEILTELASDGSFKKLDFNKLFDALLCIFLDEEASNTIVEQSNKDKATKLRVKAGEALATLLPVCSTRYANVAHILPEHEAINLLTKVLDQIVSSKMGTTAAEAKGDSASSEKQSVSSKMGTTAAEAKWDSASNEKRSEEEKCLAAMLSLAVVIWNENVIIKEDSVRATIKDASLVKKLKEILESNKRRSAECLMIVKLICQVVIAMTKIKHSCIEQFNEHNFTEALTKALETISEVDDCMLFAGNDREVIKPARSLSCLVKEAQELLQTP >Sspon.02G0012010-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2A:32008788:32009035:-1 gene:Sspon.02G0012010-1A transcript:Sspon.02G0012010-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VLAAHEETKPKNAETNQLAVCLSRSPSSAPVRRSRLAPGRLAFSGHPSGASHHRCGPAARSKLLPHSGRPCGPAPFPAASS >Sspon.07G0003360-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7A:8147659:8148321:1 gene:Sspon.07G0003360-1A transcript:Sspon.07G0003360-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCIVGGPNSNDYNTNRILHHTIGLPDFSRELWCFPPVTCHQAVVNDLEDAHEQIDRAISTALRESKPIYISVSCNLPGLPHPTFATDPVPHFLAPRPSNLMGLEAAVEATVTFDPKMRVAKASDAFVELVEASGSAVATMPSATGLVLETLRRFLGTYWGAMSMAFCAEIVESVDAYLFAGPSSTTTPWATRSCSRRTRRWWYSRTASPSAKAPPSAAS >Sspon.04G0034530-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:78845190:78846464:1 gene:Sspon.04G0034530-1P transcript:Sspon.04G0034530-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPSSPATASTHHPPRISISISSCCCPSSPCPLQIQITSRRNPPAAYLLRVATASSTASSTRSRGRGHLPPPAALPDPQAAAALLLAAAGTVGAASLLLRSSSSSAASQQQRQEEQEEVEGEECPDCGGTGLCGRCKGEGFVFKQLSEETATKARKAAKNMATRYTAGLPTKWTYCNKCSSTRSCTTCRGSGRIITTPVT >Sspon.01G0020680-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:77349163:77352311:1 gene:Sspon.01G0020680-2C transcript:Sspon.01G0020680-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGKELVVKRNGPVDIREIAAKATLREVRQSGHTYVELRRVGKRVIFFCTICLTECFSDNVLFDHLKGNLHSRRYAEAKVTLFGPMPWPFNDGVLFFNNSREKDPLLLDSSSQSTRELALVPQPEVSRNDTEVTSRLRDGSSSCNGVKGARNGGKGRANGRVAPVSENYALSNSGTDGPLVIPGVLLKDVVSDLPVLLLGYGNISYRICEASKNCKKISKIWCAWVGQEGSHGSESCNTNEQSGFAIVNFSYTYDLGRKWSSDEQDLSISAGSFFVIDDAGHRGKRMKKSFSDQEASSEESNGQNSSPQGSSQAIVTSSPAGTSHNLQVGLLSSKSARRELRKQKRIAAEKVCDICGRPMLPGKDVATLLNCNTGNLACSSRNSNGAYHLFHTSCLLHWTILCQYEMLNDQIARKGKSNRGRKAKNALKKSKITSIVCPECQGTGIHVNGDELEKPSISLSEMFRFKLKAIEAHKAWLKSPEVLENCSTGLHFPSEHVENSEEKVMPLKSLPFYAADG >Sspon.03G0001910-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:4726158:4728083:1 gene:Sspon.03G0001910-1P transcript:Sspon.03G0001910-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVWKWKHAVLLVAMMMRPPAAEAGGANATAACPLDLGYVATLPWDRAPCEPPVTNGTACCTTLLSVLGVGLASRLRATGRFRLPSARASAACLRAFSAALAAPPLSLPASLVPGCFPVQSQFAISPDYCAGVTTAAEYVAVAGNASVAGLNASCGADVTSMSLCNRCLAAGIDASARLTAAAGNGSKSQNCFYLTVLYAAGISSSAGPDSPVTAACALGLALSTPSPATSSPTSSSASHTNIAVAATIPIASLLLVSLVALLVWRNRQEHTKDRSIQISEERRSFPRPNTGSVMFDICELAKATGGFAERNLIGRGGFGVVYRGVLADGTVVAVKKMLDPEVEGGDEEFTNEVEIISLLRHRNLVPLRGCCIADDDTDEGKQMFLVYDYMPKGSLDQYIFEDGQGRRRPALSWAQRRTILLDVARGLEYLHYGVKPGIYHRDIKATNILLDADMRARVADFGLARRSREGQSHLTTRVAGTHGYLSPEYALYGQLTEKSDVYSFGVLVLEVMSGRRALDLSDPSGVVLITDWAWTHAKAGRPGDVLAQALRKEPSTSVAAMERYVLVGILCAHVTVAFRPSMPEALRMLEGDMDVPDLPDRPQPLGQRIAFDEGETNFSASSILSGGGGPLVDFGDMLR >Sspon.01G0008920-3D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:27088628:27093847:1 gene:Sspon.01G0008920-3D transcript:Sspon.01G0008920-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding EPPSFLAAKTLLQARLGLGPGPSQPLLPCPPPLLAPPCLQPLLEPPCIQPLACQPLLQEPPSFLLLLAAAVPFPYPPSALLQGPSPSPLPSHLPPAHLHITPPLPSQLQPLL >Sspon.02G0009230-4P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:9361758:9365575:1 gene:Sspon.02G0009230-4P transcript:Sspon.02G0009230-4P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGSSRRPVAVVMAWVRRQPPKVKAFLAVVAGMAALVFIRFIVHDHDNLFVAAEAAHALGIAVLIYKLTKEKTCAGLSLKSQDLTALFLAVRLYCSFVMEYDIHTILDTATLAATLFVIYMIRFKLRSTYMLDKDNFALYYVVLPCAVLALLVHPSTSHNIVNRICWAFCVYLEAVSVLPQLRLMQNTKIVEPFTAHYVFALGVARFLSCAHWVLQVLDTRGRLLTALGYGLWPSMVLLSEIVQTFILADFCYYYVKSVFGGQLVLRLPSGVV >Sspon.02G0046890-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:8928557:8931196:-1 gene:Sspon.02G0046890-1C transcript:Sspon.02G0046890-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVLFSCPVEEEEDVPAPGCGGNAGVEPTALKPSLGSDKLRFQGSLGSLIRREQQSPSARLQVDATKIPATSPRATVAPVPPVMPRELARTRFADAAPESPKHEAAAVTVQKVYKSFRTRRRLADCAVVVEQSWWELLDFALLRRSSVSFFDIERQESAVSKWARARTRAAKVRFASKFVFFQQSQFFRCEITNGICKSHQIQVGKGLSKDEKAQKLALQHWLEAIDPRHRYGHNLHYYYDCWLRCESKEPFFYWLDVGEGKEINLERCPRLKLLSQCIKYLGPKEREEYEVVIEDGKFMFKKNRQILDTSGGPRDAKWIFVLSTSKNLYVGQKKKGTFQHSSFLAGGATSAAGRLVVEDGILKAIWPHSGHYRPTEENFQEFQSFLKDNNVDLTDVKMSPDEDDEEFWSRLRSIPSDCCAAADKPGEYESTTPDEVSPSQQAETSKSLSPTATVTRQDSEDAVEDTVEDTETSTTNHRVLSGDSQEENNAAAADDDNTAVPREKILQRISSKKETKSYQLGKQVSFKWTTGAGPRIVCVRDYPSELQLRALEQVRLSPRSGTAADAAGAGRAASSRFASPQRCSSPTVARGRRELLAPGGCGRAASSRFASPQRSGSPMARARCEPLTPREAFRTHLMAR >Sspon.01G0007470-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1A:20751970:20753251:-1 gene:Sspon.01G0007470-1A transcript:Sspon.01G0007470-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPPPPSFFLHFPPPPLLPHHLGPDRGTRATVPPPVEQVEQQEEWPHHGLPHDPHRPHHVDDDHHGPPPPPPLGQELSEPRSLGHAVGHGAVLRLGTGAGGHRLPLGRPGHQVAAQEDSVAGGGTPSVRTAGPVSVSVDNHLSGGGLPVKEDAVVHIKVRYWRAPARLRYAVGSDTGCPSASDSLPWVSTGVEQGLQPVSTLAAALASARAAAAAGQERVRAAALLWQRERDAADTLAHQIAEAEHLLAQDGGGTSSGSTGHLASTTTALRHDPADPLVSQLHYQAGGVQNIRLLVPVVLDPESPSYARWRTLVYCDNVSAVYLSTNPVQHQRTKHVEIDLHFVRDRVAIGEVRVLHVPTTSQFADIFTKGLPSTTFAAFRSSLNITRG >Sspon.01G0046560-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:98625250:98628948:1 gene:Sspon.01G0046560-1B transcript:Sspon.01G0046560-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRGTSTVARGRRRGPPLPVRAPHGRWRDTPPPSVAWVQARRSTERPPAPEPARPSAGREPELLSWADLPADILGLVVGRLPRVDDRARLRSVCRAWRAAARVHGRPPPPLPLLVLSDFSFSAFCADGAMVGVRRIPLPSREMWAGVRCVGSFHGWLVGVQLNKGRYFGDGRCFLMNAFYQDVVRLPPPSVNIHSLDAYSKSLPIANGSGAVQCTVNGAQYVMSFCKVVLSSSPDHDGKCIVAAVSVHRSTASLALWRPGMTLWCVCQGGCISKFSDIALYQGKVYMFSKVTTNLFVFDISEDESGLMVSGVERCVTELPEVKDSYGQRWNIVEWHGKLLLVVIYLGLEGWHNICKIGNLGVAQHIEQGIED >Sspon.01G0037820-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:20478228:20497316:-1 gene:Sspon.01G0037820-3D transcript:Sspon.01G0037820-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoinositide phosphatase SAC8 [Source:Projected from Arabidopsis thaliana (AT3G51830) UniProtKB/Swiss-Prot;Acc:Q96328] MASADADEAPLLSEEPLCPGSCSRELELREFRDRYVIRSLDSAAAFAVARSGGSIRPLSPEEAAAGTGSDCKVYRIYGVVGIIRLLAGSYVLVITSRKDAGSYQGSPVYHVNSMKFLCCNEAIKHLTPQEVCKHYHIVCNFSHIAWSQPIAFLWSLVAAFKFSTSICLQRRDEAYFMSLLRIAETTCGLYYSYDRDLTLNLQRASKLAAGRVHKPLWKQADPRFVWNKNLLEELIEAKSVQFTLKDRPVRITLFSRRCNRRLGTRMWRRGANLEGATANFVETEQLVEYGLASSFIQVRGSIPVLWEQIVDLSYKPRLSIIEHEETPKVVQRHFHDLSQRYGETIVIDLTDKRGDEGDLSNAFAAEMGRIPGVRYIHFDFHHVCRGGNFDNLQALYNQIEEAIHKQGYFLMNTKGEILLEQSGVVRSNCIDCLDRTNVTQSFLARKSLDSQLQRMGALLSSESISLSDNINDIFKKCKVSFMVKCLLPIIILLSKCLVVMNSCLAVWVEHGDELSLEYAGSYALKGDLVRYGRQTLPGLIKDGMSALSRYYLNNFHDGVRQDALDLISGYYTVSQGSSSPFHNGGFESSSYLPVASAIIVGGITATTFTLSQVGRNAQHLISSIICAGLTVGVVALVKANGKQFCSRPHDIKIDDEAPSKPAPPSTSVVNKKSKTDVEREQLFEGSSDVHTPRKKSTQEILTKYKFKGDAAAAAAHAKQKLVERQEKLARISEQSAELESEAANFATLAQQIRKNTETWWWKR >Sspon.05G0008150-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:24894987:24896036:1 gene:Sspon.05G0008150-1A transcript:Sspon.05G0008150-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAENLLSSAASAVTGELTRVLFSGLMGKLDRKDTAADKKLRRLDMLLIKINSAVEASEKHAIENASLVKWRDRLKEAAAEGGEVLASFRQRETEAATAPAQATGDGDDAQAQQHQHQQDPSSSSSSSTASATARKTLLFSSEKDMERLNDAVERLEDLSSDIRIFIKLLKFEILAPAPAQTFAEDIGFKRLRSSTARSNTGDYSPSFKTSDSKMCALLELAGAGQIHMGPSAAAAAQAAGEGSTLLGRLEEAVATICRIVELADGRDLSGYGWLAYWASVFREAKWQGRAVLGAISTVAVAVDEVEVARCDDQEDFELGRFVRNMESLAREADSFSDLACLCPTARCTS >Sspon.01G0019640-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:79098704:79104513:-1 gene:Sspon.01G0019640-2B transcript:Sspon.01G0019640-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFVYRIASPSEYLAITGWEEGVDRAGAALHALRHLPRELHVRGAGHERREAALHPAAVFTIGPRADDEECLLRYAKLISPHDKLSHHVNELVKGVIEGETRVLAASMTMEEIFRGTKSFKQAVFENVQLELNQFGLIVYNANVKQLVDVPGHEYFSYLGQKTQQEAVNQAKVDVAEARMKGEVGAKERDGTTRQNAAKVDAETKVYTVKRQGEGAKEEARVKAEVKVFENEREAEVAAANSELAMKKAGWEQQARVAEVEAAKAVAIRDAELQVEVERRNAARQTEKLKAEHLSKAVVDYEMKVQQANWELYNRQKAAEARLFEQEKEAEARRAVAEAAFFARQREAEAELYAKQKEAEGLAAMGQAQSAYLSAMLGALGGSYGALRDYLMISSGVYQEMARINADAIKGLEPKISVWSSGAGAGAGGGEAGDASGGAMKEMASVYKMLPPLLTTVHEQTGMLPPAWMGTLTDRP >Sspon.01G0020660-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:81727774:81729672:-1 gene:Sspon.01G0020660-2B transcript:Sspon.01G0020660-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADDDPHDAAASSSAGGDEDDEGTDTDASNSDVANPQGQDPLPFPDAASVPPHPLAPAPEPAGAIPPPQPQPQGPAGASSADDSRRLFQRLWTDEEELLILRGFLDFTARRGTTFASHQYDTGPFYEEIRRRLSFDFTKSQLIEKLRRLKKKYRVCAARVAAQGAAFAFRSTHEGAIYDVARHIWRPAFRRGEGGGAADASDEDDINPVAAAAVEDGGGGGGSASTPTPTQRGRGGRRVRRRTAQELEAPALPATSALMLTDAAEDRLVVAVENLAPAIAPPPPLQVPTVSPAAATPSPMPVSAGGATEEAIRSIMSPLLKEFISSVTLAGQTGFGLGLGTGFGGIGGFDILGLGLGVAVPNPGMPSDDKWRQQQILELEVYLKRIELVREQVTAALQDLRSSEDW >Sspon.01G0029570-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:103821496:103824378:-1 gene:Sspon.01G0029570-1A transcript:Sspon.01G0029570-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDAQAAGEGPRCVGCGGRVKTLFVQYSPGNIRLMKCDKCKAVADPYIECEFMIILIDLILHKTRAYRHVLFNKLSMGSSVDKGILYRSTLIHIALDAFRISFLKGNRADGASSRSIFSTISNCTEVIGDALLGNIIFMIMLLLGVRFILKLSFDIIRYRDVLFAVIISSYFKLFLFTMMVWEFPSSVIFIVEMFVLSSNVVALRVSKSPLFCGLLTSACSKILDPAMDPWNAMSLELIQTILELQTWP >Sspon.01G0023580-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:89747114:89747768:-1 gene:Sspon.01G0023580-2B transcript:Sspon.01G0023580-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRRAAGKVPVWPIGPLVRCGNDSSIDKTDDGVLRWLDTHPQSSVLYISFGSQNTIQANQMVELAAALETTGRPFVWAIRPPVGFDVLGAFRDEWLPEEFEARARAENRGERPRRARVGAAVLESLTHGVPILGWPLSAEQFYNARMLAEEWGVCAEVARENLESSAVERSKVAEAVETVMGDTVESPAMRRRVNEVQ >Sspon.02G0005890-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:18351888:18353079:1 gene:Sspon.02G0005890-1A transcript:Sspon.02G0005890-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKREAIYGALDKWSAFEPEFPIIAAAKALGMLKRRRQWLRIIQVTKWLMSKGQIYADMEELGVRPDEDTARRIGKAFVAFGQEEKEKHVLEKYLKKWKYIHFNGERVRVLRDGPLA >Sspon.02G0009800-3C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2C:30543015:30543701:1 gene:Sspon.02G0009800-3C transcript:Sspon.02G0009800-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MCPIKKETSAESGSPPCSSPSSSSTSLLEHHQQTVWTSPPKRPAGRTKFRETRHPVFRGVRRRGNAGRWVCEVRVPGRRGCRLWLGTFDTADAAARAHDAAMLAIAGGAGCLNFADSAWLLAVPAASTYASLADVRRAVAEAVESFLRRGEAAAEEEDARSAASSTSSSPASDDDGSEESSLATEDSPPFELDMFGDMSWDLYYASLAQAMLVEPPPTVPAFCDDGVAE >Sspon.01G0030460-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:108931993:108936280:1 gene:Sspon.01G0030460-2B transcript:Sspon.01G0030460-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRDHHQRPRPTHGYDPRAAAAAQFYGAASMPFPDPGAAALHGMNPYGFAPNPFFNNFLFQNPAALAAYQLQQQQAHHFASQAYHQAPTGNAKHRPTKPAAADKPAPPGPQPQPQPPPGNQQAVLDRAQEAARKAREELVKGGEGVTAWKVAQAVLVALKADSWDSLGVQPQDVPLLRDLFLIEGKERQDAMYQLVKEKVDLILVIGGWNSSNTSHLQEIGELSGIPSYWIDSEQRIGPGNMISYKLNHGELVEKMNWLPEGPITIGVTSGASTPDKVVEDALQKVFEIKRQEILQVA >Sspon.07G0025180-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:38277399:38279075:-1 gene:Sspon.07G0025180-1P transcript:Sspon.07G0025180-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFSPQRKSAERNPGSFEHDDSDDDFRSESTASKPSAHSHDSNKSKPKTSHGGSTHATTTPLPPQSSSEPTGAAILAAATSTAGNNNAEATSVDSGGPRSNSMESSSSGSTASGTTPANVRRHTGGDSRWDAIQLATSQEASLNLGHFRLLKRLGYGDIGSVYLVELRAMPAFFAMKVMDKASIISRNKMARAQTEREILGLLDHPFLPTLYTHFETDKFYCLVMEYCSGGNLHSLRQKQPVKHFTEPAARFYVAEVLLAMEYLHMLGIVYRDLKPENVLVREDGHIMLSDFDLSLRCTVCPTLVKSSSVHSTGSGSGGGGGGGGGSSVGRGVDVADGDVITANQGCIQPSSFFPRILPRRSRKPSKSELGLSGPPAVEFNAEPTDARSMSFVGTHEYLAPEIIRGEGHGSAVDWWTLGIFLYELLHGSTPFKGAGNRATLCNVIEQPLRFPSDGAAGGPAVSSVARDLIRGLLVKDPQKRIAFTRGATEIKQHPFFEGVNWALVRSMTPPSVPDPVDFRQYGASKEKKASDSSTTAAVEAAPAGPFGKQNSGESYTDF >Sspon.01G0048440-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:108908933:108910766:-1 gene:Sspon.01G0048440-1B transcript:Sspon.01G0048440-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VGDESVRALSVGEELVAETMPLRHGRRVYRIDGLRASAWYEVKISYPASVPSSFSIQLLDDWSSKNRRLLNTEKIIFKAESSNPVYVLVTVEPEGVVAKPHVPERELALFNIVCDELMLGIPHFAWWVGIAALLCIVLAAVAPLVLPLHKLLNCEGTESSKASAAKLS >Sspon.02G0055240-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2D:2089516:2090061:1 gene:Sspon.02G0055240-1D transcript:Sspon.02G0055240-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSSQTTAVSPSASNYSSALSNPAKERTIRCTNGTGSKSRRSRTHLDGLQRIGSGEDVGRLAMADGDLCTQRQGAISKPERKEKEVARQLQDYVTKLVVELIATLRGGIDDSKLDSGGGGARGLFKRRAMCSSSQEEGRGGIGLHRRVRVGYEQEEEEDNGGPRRKPEREGKRARRPAASA >Sspon.01G0040990-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:39872330:39882505:1 gene:Sspon.01G0040990-3D transcript:Sspon.01G0040990-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSCIMGNQCQNGTYGSNYNNYNHFQKDPLASRYYDGAGSEDCYSEPSKSSIADLMRQGLRRTLTSISVLGRKTPSVTEHYTLGRQLGEGLTGTTYLCTEISTGCQYACKSILKTKFRNMQDIEDVRREIQIMHYLSGQKDTVTIKDVYEDEEAVHIVMELCEGGELYDRIKKGNYSEQKAADLIRIIVGIIENFHSLGVMHRDLKPENFLLQDKDDDLSIKVIDFGLSVFFKPGLRRTLTSISVLGRKTPSVTEHYTLGRQLGEGLTGTTYLCSEISTGCQYACKSILKTKFRNMQDIEDVRREIQIMHYLSGQKDTVTIKDVYEDEEAVHIVMELCEGGELYDRIKKGNYSEQKAADLIRIIVGIIENFHSLGVMHRDLKPENFLLQDKDDDLSIKVIDFGLSVFFKPGEVFTETVGSPYYIAPEVLQKHYGPEADVWTAGVILYVLLSGVPPFWADTHEGVLDKVRDGHFDFESDQWHRISDSAKDLIRKMLCPCPSERLKAHEVLKHPWICDNGVATHQTLDPTVLSRIDKLSAANKSKKLALQVFAKHLSEEEIGRLRGMFKAVDNGNSGAITLAELKEGLRKCGLVFKNIEISDIMEADDYDNNININWEEFIAATVPLSKIEHKEHLTEDFTYFDKDGSGYITVDELQKASMEHKMEDTFLEDIIYEVDQNNVSLPSDSSASYGISDFDKFVE >Sspon.02G0027150-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:123747030:123753357:1 gene:Sspon.02G0027150-1T transcript:Sspon.02G0027150-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSGCSSEIVESTEEFDSTPFGGSSLLHIKLKDEEKRGKCHDYPVEDDLDQLLKAIDSRTFRRVLSPGSTGGDALGKSVLKKPARSGLSQSAGIGISSKTVNMKQALRRLCISQASEMAAMKRMSMSPGSSSSSEAGTIHRLYASLMVQSSSEGLLHDDEKMNLIEISLTPEKIDKSSSRGTSEFSEDCEFETADGSAVTSTSICSASSTSAEIRKIRIQDVISGDPIDNESSLVENGTLGSKFSAATDDGSPRVPILSKPITTSRLVKPIFRCKPSGKKKVNEEPSSLGDSSNSTKFCSSKESISLASTSCSSTSSVTNPTSCAEEEKSTPGPEKSDGTSSEWLAEEKGECSQNSKSSISEYGCSTSISGESQFGLCGYSNRPHMAKDLRWLTIRQLALQQGSLGLDNFKLLKRLGCGDIGTVYLAELVDSDCLFALKVMDIEYLINRKKMLRAQAEREILEMLDHPFLPTLYAHFTTDNLSCLVMEYCPGGDLHVLRQRQPGKSFPEPAARFYVAEVLLALEYLHMLGVIYRDLKPENILVREDGHIMLSDFDLSLRCSVNPVLLRLSSITANHQPRKLTGPCAENYCINSSCLQQPSCAQTSCFTSRLPSIPKPRKPKSSQKRLPQLVVEPTDARSNSFVGTHEYLAPEIIKGDGHGSAVDWWTFGVFLYELLYGKTPFRGPGNDETLANVVSQNLRFPDNPSVSSNAKDLIRGLLVKEPENRFGSLRGAAEIKQHPFFEGLNWALIRSAAPPETQPCNVVTLAKERKKEGKCLEFRSNSEDLEFEMS >Sspon.03G0042130-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3C:50633630:50634022:1 gene:Sspon.03G0042130-1C transcript:Sspon.03G0042130-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGASATDPMQMQLAEGMNDVAASPIAGVELQQVASDLQQEQNNKAKESSKRNNDDDGSSVYSYWISTKTATNQRGSFPLPALAIGGAKVLLYKVHHLIDVPRVKKSDIL >Sspon.05G0027120-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:49434435:49435375:-1 gene:Sspon.05G0027120-1B transcript:Sspon.05G0027120-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Expansin-A11 [Source:Projected from Arabidopsis thaliana (AT1G20190) UniProtKB/Swiss-Prot;Acc:Q9LNU3] MKATGSSVDRVLVLLATACLLWKEAACFSASGINKAFATFYGGSDASGTMGGACGYGNLYSTGYGTNTAALSTALFNDGASCGQCYRISCDYQADPRFCIRGTSVTITATNLCPPNYALPNDDGGWCNPPRQHFDMAEPAWLKIGIYRGGIVPVNYQRVACVKQGGVRFTINGRDYFELVLISNVGGCGSIQSASIKGSRTGWMAMSRNWGVNWQSNAYLNGQSLSFQVTSSDGQTKTFLNIAPAGWGFGQTFATSQQFS >Sspon.04G0019220-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:71539810:71546695:1 gene:Sspon.04G0019220-2B transcript:Sspon.04G0019220-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMATSYGSMANPPITSKTPFLNKQASNWIPATISNGTGGMFTVASRNSRNGFQVRAVTGDPGSRNVSDVKFPTDYTELLMQAKEAAESAFKDGKQLLEIEIPTAGLQTVPGDGEGGNEMTGSMLLIREFCDRFVPAEKATRTRVFFPEANEVSFARQSAFEGCSLKLDYLTKPSLFEDFGFTTKVKMADRVKPEDETFLVAYPYFNVNEMLVVEELYKEAVVGTNRKLIIFNGELDRIRSGYYPSFFYPKLAELSKKFLPKLDTVYYIHNFKGVRGGTLFRCYPEPWKVLRKASCGSYICLHQQEEMPSLKEVALDILPSV >Sspon.04G0009150-2P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4A:26546213:26547708:1 gene:Sspon.04G0009150-2P transcript:Sspon.04G0009150-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVPLPLLLGSLAVSVVVWCLLLRRGGDGKKGKRPLPPGPRGWPVLGNLPQVGSHPHHTMCALAKEYGPLFRLRFGSAEVVVVASARVAAQFLRAHDANFSNRPPNSGAEHVAYNYQDLVFAPYGSRWRALRKLCALHLFSAKALDDLRGVREGEVALMVRELARHQHAPVVLGQVANVCATNTLARATVGRRVFAVDGGEEAREFKDMVVELMQLAGVFNVGDFVPALAWLDPQGVVGKMKRLHRRYDDMMNAIIRERKAAGEGKDLLSVMLARMREQQPLADGEDSRINETDIKALLLNLFTAGTDTTSSTVEWALAELIRHPDVLKKAQQELDAVASRDRLVSESDLPRLTYLTAVIKETFRLHPSTPLSLPRVAAEECEVDGFRIPAGTTLLVNVWAIARDPEAWPEPLQFRPDRFLPG >Sspon.07G0022780-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:9946054:9949368:-1 gene:Sspon.07G0022780-3D transcript:Sspon.07G0022780-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAVAYSSTPRPLRLAQRGALAPHHVSAPPPRSRRPRLAVSASAGVEAETSGSGRFYFNFTGFPFPLGPFLNRRTIRTEAVKGSIWLFEQEQALGFSSVSTNIRMTVIKLKSGGLWVHAPIAPTKECIQARTLPGPMPSSCFFSQRLLKELDAPVEHIVLPTFAYEHKIFVGPFSRKFPNAQIWVAPRQWSWPINLPLEFFGIFRAKPLKDEDDATPWAAEIEQKVLSSPEVGIGPYVEVAFYHKPSRTLLVTDAVIFVPQQPPECISKESLLASAKNGLAVKLLSKGKEVPDEPVVDNKLNRQKGWERMVLQILFLGPSNLLEPNASFAQMSQKLIVSPIVKTLVFSKVPEKVRDWVDRIAADWRFRRIIPCHFAAPINASRSDFLAAFAFLDEFLPERAARAPGLSLLFASFMGKAASYFPPDDMKTLSSLDEFLVSVGAVKKTVSGRKRKPVSAYHGMVNSVLLIENAQDWGDIYARAIIGKELLLGPIQALQSALFQLLRQDWLHEQAHAKASNSKLIMTTRIAAHTSQVQTPAASLIT >Sspon.07G0001430-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:2274973:2285761:1 gene:Sspon.07G0001430-2C transcript:Sspon.07G0001430-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCVDLDARESQEAATFGIAGDSEPAATSACSKDSPWSEPSADCAAFPPSTSVQFQVSAAADKKCGTEVKGMLYSTGKKSDDPHTFVDLLVSTSTTWQLRSSAYYPQYGIGAFGTLPLPLGNRACSEDSGVVGLRYGSENLSLGASFVPFPLSGEVPSGAWLVGRKGNLSAGVQYKPLSGNKHTMPFTNLENWNGAISYSVGSTSPLSPLSTFTLELARSKQLTMSFYQHLVVQRGVKNPSEDKDVVEITNYIDLGLEFTARNDKDKQTENGNSLFQLAASWQANKNFLLKGKLGPSKSSVVLALKSWWRPSFTFSVTAVNDHSKGTTSFGFGIRTEDLRQPSYQRADPNYIMLTPTMEHLAQDDGKRPVFQAYSDSGSYDHLPTDLRPIDRIMGNSGSPSNHKRISSSYRVFN >Sspon.01G0059130-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1D:40025457:40025828:-1 gene:Sspon.01G0059130-1D transcript:Sspon.01G0059130-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLGTWASPWACRVRIALHLKALSYDYVEEDLDNKSDLLLASNPVHKKVPVLIHNGKPISESLVIVQYIDEAFASNGGSSLLPADPHERAIARFWAAYVDDKVSPPFANFRASMAHCIGSTF >Sspon.02G0028290-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:103214244:103215983:-1 gene:Sspon.02G0028290-1A transcript:Sspon.02G0028290-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPKDSVDDKDWIEVEQINSYAIFMGYLLMGVRGLGLLVLTWTTVVLLGGFVSMLQKKDFWCLTAITLVQTAGFVKTSKEGLNAPGLALLRRDDWFRLNNTDVRSRTH >Sspon.01G0001740-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:3772293:3774159:-1 gene:Sspon.01G0001740-2B transcript:Sspon.01G0001740-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSEATELIAAGRSRCPNQGALLTSMSAPAPTPIPLTSIAELRQHHSQLIRLGVASHPAHARRLLSFLARDPDSHFPYASRLLAHHPAPHPALFNPLFSALPTRHAARLLALMLSLPLHPDHFTFPRILPGAQPLHLVAQLHALLLKLGFHAHTQSLNALLAAYLANARPDLASRVFRGGGCALDVVSWTTMVGGLVKLGLADDARELFDGMPERNLVSWNAMMSGYVKAGRFLDALEVFDEMRARGVDGNAFVAATAVVACTGAGAREGGAPRSGIEMDDKLATAVIDMYCKCGCVEEAWRVFEALPAKGLTSWNCMIGGFAVHGRGEDALKLFGRMEREGVVPDDATLVNVLTACAHAGMVSEGRHYFNYISQRYGIEPKMEHYGCMVDLYGRAGQLDEAKMVIQDMPMLPDVGVLGALFGASKIHGDVDLGEAIGWCVIELDPSNSGRYVLLANLLATAGRWEDVARVRRLMDERNVSKEAGRSVIEVQGEVCEFQCGGLCHPQAEEVYAMARDMMSKIRAEGYAPDTRDVLHAIAEEDKETPLFYHSEKLAIAFGLLHTRSGDTIRITKNLRVCRDCHEATKFVSRVFDREIVVRDRNRFHHFKDGLCSCKDYW >Sspon.02G0042150-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2B:81409376:81410055:1 gene:Sspon.02G0042150-1B transcript:Sspon.02G0042150-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFYLSSELSQCRGSGGARQGSLLSWPLQGSSQPRRGSRRGPLGGVAGRGSGLAGGATGRGNGLAVESAGQGRRSRGEAASRGSGLARGGVGRGRLSLEEELVAPSPLAGEEGRHNGIQTQEEWLPPNLSEEWALRQEVFSGSFLTGFGQRLVEMLGSGGCEEGRERAREREKTANCRIGAKGASLRVTQI >Sspon.02G0031790-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1B:21662393:21663367:1 gene:Sspon.02G0031790-2B transcript:Sspon.02G0031790-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLVFCLLLCLLGAGQQAVATAAAIHVPKHESSAAAFDYIPLPDGVTGAESLAFDRRGQGPYAGVSDGRVLRWGGSARGWTTFAYSTSYVHNPSCKASPARPDDAEDVCGRPLGLQFNVRTGDLYIADAYHGLLKVGPAGGEAKVLAAKADGGAFTFVNGVDVDQSTGDVYFTDSSTSYTRRHNTQILLNRDSSGRLMKYDARAKRVIVLQDALPYPNGVAVSADRTHVVVAHTGPCQLFRYWLKGPKAGTYELFVDLPGYPDNIRRDSRGGYWVALNREKIDGADAAAGKHIVGVRLDAKGVQREEMTADDKRVTLSDIAEKD >Sspon.03G0029220-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:20284826:20289478:-1 gene:Sspon.03G0029220-2C transcript:Sspon.03G0029220-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTKQTARKSTGGKAPRKQLATKAARKSAPATGGVKKPHRFRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSSAVAALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRILNQELRHGADLCVEGMKITALLVLKPSTSGAGSSSSGGESGPEAVVLANATDVSHFGYFQRSAAREFIVFVARTVAQRTQPGQRQSVQHEEYKVHSHNRNGLCAVAFMDDHYPVRSAFSLLNKVLDEYQKAFGDSWKSATADGTQEWAFLTDALTKFQDPAEADKLMKIQRDLDETKIILHKTIESVLARGERLDSLVEKSSDLSAASQSPMKRGHVVARLELSAVGLSLEGL >Sspon.07G0004750-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:12204719:12207731:-1 gene:Sspon.07G0004750-1A transcript:Sspon.07G0004750-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding KRIVHRASQKHRTLLRSPGLDAQVKKDRKISAPSPSPQNLRSISVSGKKENSVSAPPGVSCLSRRAAPVDLPRRLGAAAGRRCGGRKAQAGRCSGGVASQLAEERRHQQAAAVAAQRQASCPRRLSARPAAPGGQLAVKPPCIYPRVYRTVVKPARQRSAENSHPSVVKPIRNHGTSLFHLPPAAAAAPPGRRCRTPSSRSRPRAAPFPSSDSIRSASLATSHAARLRWALSLASRPLPACPLLASAQPRCRQRDAQPLSRHAPAPASRPRRGGSQPQPHPEPRVQAGHSSSNIPACSLALPFAAAATNARSEDARAPPHLLQRRSVLPRRRCGREERRRLSARLSAASTSQVPLRGDQVRPSSIGHEVPTKEKLKEISQYIGLWITH >Sspon.06G0000240-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:1220517:1220986:-1 gene:Sspon.06G0000240-1A transcript:Sspon.06G0000240-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ETEELITDVLGVEVFRQTIAGNILVGSYCAFSNRGGLVHPHTSVEDLDELSTLLQVPLVAGTVNRGSEVIAAGMTVNDWTAFCGSDTTATELSVIESVFKLREGQPTAIVEDMRKSLIDSYV >Sspon.03G0032210-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3C:44092485:44093983:1 gene:Sspon.03G0032210-2C transcript:Sspon.03G0032210-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PE11 [Source:Projected from Arabidopsis thaliana (AT2G21610) UniProtKB/TrEMBL;Acc:A0A178W118] MLLNRAKAPRGSRAAATAALLALAACSFCAGTEDGGVVGGGMTSSRSRQLVLLVDQSGKGDHRRIQDAIDAAPAASNSDGSAGGSVVIRIRPGVYRQLISSATCVCTVLRREKVVVDKPCITLVGTSASSTVITWNESWVASDSPTVSVLASDFIAKRIAFQNTFGSSGPAVAMRVAGDRAAFYGCRFVSFQDTLLDDTGRHYYRGCYVQGGTDFIFGNGKALFDVQVPPALRLAAGGAFTAHKRSSESEDTGFSFVGCKLTGLGVDTSILGRPWGPYSRVVFALSYMSSTVRPQGWDDWSDADKQRQRTAFYGQYQCYGEGSKTDGRVAWSHQLTQAEAAPFITKVWVGGQEWL >Sspon.01G0016600-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:56637495:56647564:-1 gene:Sspon.01G0016600-1A transcript:Sspon.01G0016600-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVLPCTSQLLNQEILHVSALLGIPVLDQPGYQHGSPLINGGAMPNGRPVEMNGWAPAIPSEGASMFHPPSRSWLNPQGSSSGFIVKKTMRMDIPVEKYPNFNFVGRLLGPRGNSLKRVEANTDCREEVMRGKPGYEHLNEPLHLVIEAELPAEIVDIRLMQAQEILDNMLKPVDESMDFFKKQQLRELAMLNGTLRDDSSQKSGSVSPFHNMGMKRAKT >Sspon.07G0035830-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7D:13736917:13740991:1 gene:Sspon.07G0035830-1D transcript:Sspon.07G0035830-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRGELWQAFVGTGARRIEGYYDSLAAEGELDNKCSDSPTSEGVHEKWIGQIDKDLPRTFPGHPALDEDGRNALRRLLIAYAKHNPSVGYCQAMNFFSGLLLLLMPEENAFWTLVGIMDDYFDGYFSEEMIESQVDQLVLEELVREKFPKLVLRVWDVLLFDGNRVMLFRTALALLEFYGPALVTTKDAGDAVTLLQSLAGSTFDSSQLVLTARMGYQSVNETILQELSNKHRPPVISAMEERVKGLGVWTDTNGLASKLYNFKRDPEPLVSLSDSADQLSDVGDGDANQESDPGNMDDEYGGVTVNSEIDSLPDPKDQVAWLKLELCRLLEERRSAVL >Sspon.01G0056420-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1C:89308691:89308846:1 gene:Sspon.01G0056420-1C transcript:Sspon.01G0056420-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGRGEGVAQGRRSPRRLERGLAARAREGRRPVGPGRRAWREGAGGGHGSP >Sspon.02G0045910-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2B:112794457:112803546:1 gene:Sspon.02G0045910-1B transcript:Sspon.02G0045910-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MILVWSGLRGAVALSLSLSVKRTSDAVQPYLKPEVGTMFVFFTGGIVFLTLIFNGSTTQFLLHMLGMDKLSATKIGCIACSRNFYIVGMQCGLGMMTRSVPVPTSNKWLLREGVWRPRMDGILQNNAHFERHGSSWGFLLLLYAFVQISRLIVVGVLYPLLRHFGYGLDLKDAMILVWSGLRGAVALSLSLSVKRTSDAVQPYLKPEVGTMFVFFTGGIVFLTLIFNGSTTQFLLHMLGMDKLSATKHRLLNYTRYEMLNKALEAFCELRDDEELGPADWVTVKKYITCLNGLDNEPEHPHDVGDKDDRMHIMNLTDIRVRLLSGDSEVARTVIDESNAEGEEARKFLDDVRVMFPQCPGFETFFPPNFRCCKC >Sspon.03G0004030-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3A:10228367:10228546:1 gene:Sspon.03G0004030-1A transcript:Sspon.03G0004030-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGLSESLNWDDQLGGRWLGVPGGAPRVAAGCCSEAQPAAACAWLAAWAARQERRGWP >Sspon.02G0047480-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:16878600:16879666:1 gene:Sspon.02G0047480-1C transcript:Sspon.02G0047480-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MYTELLTGDTPIDSIAMSQPKTPKELQTSHRKKMSSFAHLRRLLEAQLTYSGGKKKGFPLIHCWLKVRNSPKFEALNPRRKRKATNAKKPTTSNATTSNPINLDEVEAEEGMGSPNTPESSQVSKGQRPIGRKRAKEQLKSKGGDGGSYKNVIEELLVEKKEERKMKDLRWQEAKTIQERRISVDEKRAKDYAFDVNTLESVEQKNYVLTMRAKIMAQKMAEFSQSLGGSSGGSRAAEDDDLGGDASI >Sspon.03G0035240-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3B:79623118:79632031:1 gene:Sspon.03G0035240-1B transcript:Sspon.03G0035240-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAELALRLRAAANDRLARYEPLALVAAPLLALLVARALHSAANAVANRGFVAIAIAAVKLLPGVSGYIAAEKKKVVDKMQSGGAKNDRRAELPTIGLAEQVIEELETLKTKDVDWQGKCSGTVYIAGSESEGHFELINKAYSMFSHTNPLHQDVFKSVANMEAEVVAMTAALLGSKEKSSGGQICGNMTSGGTESILLAVKTTRDYMRSKKGITKPEMIMAESAHSAYDKAAEYFNIKVRRVPVNKEYLADVKGFKRCINGNTIMMIGSAPGFPHGLIDPIEVPYSSFDFSVKGVTSISSDVHKIGEIPGLFVIGKPDMTVVAFGSDVVDIFEVNDIMSSKGWHLNALQRPNSLHICVTLQHTTIYDQFLKDLQDSVNTVKANPGPISGGMAPIYGAAGKMPDRGTFRAPAVRQRGTAVGEAGISYQGIRRRRRRLQKAIPRREGARARGAEEVAVPRIAHAAMRPFKPTAGTRSIASLLAGASTPADARMVKAGSDPATYRLELHFNHLVSSGRLATAGKVLDQMPEKNTLYLRSLNRLELHLNYLVSSGRLAAARKVLDQMPKKSTLYLRFLNRILLGCSRSCDLAAAKALFSEAARRNAKTWTIMMSMLPADGCGSDAVSLFRDMLREGEASPDDVTVTTVLNVPGCDVGTLHPVVTKLGFGASVVVCNTLLDAYCKQGLIAAARRVFLEMPHRDTITYNAMMMGCSREGLHGEALELFAAMRREGVDTSHFTFSSLLTVATGMVDLHLGRQIHGLFVRANPSHNVFVNNALLDFYSKCDSHGDLKQLFDEMPERDNVSYNVMISACSWNRCGGMALQLFRDMQTLGFDRRALPYASLLSVAGALPHIKIGRQIHAQLILRGLTSEDFMGNALIDMYSKCSMLDAAKSIFAYKSDKTAISWTALITGCVQNGQNEEALQLFCDMRRAGLSPDRATCSSIMKSSSSLCDVRELTPATSRSQIHGLFVRANPSHNVFVNNALLDFYSKCDSHGDLKQLFDEMPERDNVSYNVMISACSWNRCGGMALQLFRDMQTLGFDRRALPYASLLSVAGALPHIKIGRQIHAQLILRGLTSEDFMGNALIDMYSKCGMLDAAKSIFAYKSDKTAISWTALITGCVQNGQNEEALQLFCDMRRAGLSPDRATCSSIMKSSSSLAVIGIGRQLHAYLTKSGHMPSVFSGSALLDMYAKCGCLDEAIRTFNEMPEKNSITWNAVISAYAQYGQAKNAIRMFDSMLHCGLCPDPVTFLSVLAACGHNGLAEECMKYFDLMRYYYSMSPWKEHYSCVIDTLGRAGCFDKIQKVLDEMPFEDDPIIWSSILHSCRIYGNQDLATVAAEKLFTMVPTDATAYVILSNIYAKAGNWEGAARVKKIMRDRGVKKESGNSWVEIKQKIYMFSSNDHTNPMIDEIKEELERLYEEMDKQGYEPDTSCALHMVDNKEKLESLKYHSERLAIAFALINTPPGTPITVMKNLSACLDCHAAIKMISKIVNRDIIVRDSRRFHHFKDGFCSCGDYW >Sspon.07G0036450-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:35974755:35977740:-1 gene:Sspon.07G0036450-1D transcript:Sspon.07G0036450-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGCSWPPYQARDGARAIFSGDMPWHKASSCDDSDRLHREREGQDVIYTLFDMEQEHDLHLHHAVPPQSPSQQQRSSPSSLSPFRSFAVSSNEASSLRDKTSILMRARDYINSLKSRVSKLEEKGMALESQLWIDYGDEQDVNGSPEKIEVGISRAAAEETTQDHCLKKVKRTSLDVATKISNKK >Sspon.02G0012510-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:58777406:58780319:-1 gene:Sspon.02G0012510-2B transcript:Sspon.02G0012510-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTKRKGHKCDRISAEKRANTVELMKKMPQMLLDYKFKEKREACVGGLKRRWEKKMKEEESGKS >Sspon.07G0021170-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:1231740:1232847:-1 gene:Sspon.07G0021170-1B transcript:Sspon.07G0021170-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDDQYSTKYYYAMGFGHTVRSFCFTTPPMPGPDVPFKFGLIGDLGQTFDSNTTLSHYEANGGDAVLYVGDLSYADNHPLHDNTRWDTWARFVERSAAHQPWVWTAGNHELDLAPELGEPAPFKPFAHRYPTPRRFAPAATPFWYSVRIASAHIVVLASYSAYGKYTPQWEWLRAARVDRAATPWLIVLVHSPWYSSNAYHYHYMEGETMRVQFERWLVAAKADLVVAGHVHAYERSHRVSNVAYDIINGRCTPVRSRDAPVYVTVGDGGNIEGIADNFTQPQPGYSAFREASFGHATLEIMNRTHAYYAWHRNQDGAKVVADGVWFTNRYWMPTDDDTS >Sspon.01G0019060-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:76640785:76656067:1 gene:Sspon.01G0019060-2B transcript:Sspon.01G0019060-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTATVTLAAARSPAVALLSLRRRAPAAAPIRFPGLRVGSGCRRIAMASAAHARAPADPLPKGADLFFRAVISNMEKVYLSRNPTAKTILELVRSYDGDHICYDHLAFRTFGVNGYGINSLSEFFTDFGYLPREELRFPAKKLRALWFSPPTNGGYSGTGTYGPLPRIFISELLVDELTTQSQEIIHKYLKTSVNGNNHAALASTSGELTWEKPIYSDFQILSRESEYAAWTLVNGYALNHATIATHRLESDIRNINKFNKFVEDNGFKLNSEGGILKVSPDGLLQQSSTVADSSLFTFADGITESIPRSYIEFAERLLLPQFKDLQDEEVKEYHRRDGFEVGNADKIFESLLRYQNSKPKGTIQAVVQIQ >Sspon.06G0015000-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6A:82155393:82156499:1 gene:Sspon.06G0015000-1A transcript:Sspon.06G0015000-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDRRRWMYAANRATDDYLDGVESFLNAARTDMVNGNKSSIWCPCFDCKNERLFQNSLTVHAHLILRGFMDDYICWNKHGEEGVNVQDQAHAAGHQHNGLHENDVDGEEAPFGNDRLSDDDVAEIVASPVPMVENLEEMVRDAFGFDEYTDSELKKLKQLLEDMKTPLHPSCNKSYTRFSGTLKLLQLKAAHHWTDKGFRELLEVIIDMFPEGNKMPRTTYEAKKIICPMGLKFEKIHACKNDCILFRGEYAEQLTCPKCGTSRYKRSTDEGDDGEQMRRRAPRKVAWYFPIIPRLKRLFATSKDARLLTWHSDGRTVDGYIRHPADGIQWRDIDFMNKSFSDEPRNLRLALNTDGMNPFGNISTVAH >Sspon.07G0026650-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7B:54539307:54539552:1 gene:Sspon.07G0026650-1B transcript:Sspon.07G0026650-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRWGKNPAPAGRTGAGAGAAGVGEVPVQKVNKIEFHSLISRPTFYGGGRPDDDINEKAERFIRERRLGFHRQKPGAPLSA >Sspon.06G0002860-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:6545712:6551723:-1 gene:Sspon.06G0002860-3D transcript:Sspon.06G0002860-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SDAEEWSDSDFDDDSDTEVGEALDWLDAVEGPDGSARPSGAFSASGGGAAARRPNAHGGVLSRPLQPLSNRTQKLASHIRATPLEEWEGRMNVGMSNSVTTAIRDSIRDTAIGKIRNTEKADRATVEQAIDPRTRMVLFKMLNRGVFNNINGCISTGKEANVYHATKTDGQELAIKVYKTSVLVFKDRDRYVQGDYRFRHGYCKHNPRKMVKTWAEKEMRNLLRVRAAGIRCPVPLLLRLHVLVMEFIGKGGWAAPRLKDAALSDDKLRESYFEIITTMRTLYQKCKLVHGDLSEYNILYFEGHLYIIDVSQSVDLDHPSALDFLKEDCLHAQQKILENGGAVPNDDEITPTVMVQTLDYVKQCEADIVNMSIMQRSSSGYEPTADKLYDQPLLGFVRTKNTHVEKEQEQEQSAQNTVEVEEDDSESCSSSDEDDSWHEVDPKMGPEERKAARKENKKKVKEEKREARKTKIPKAEKKKRKKMAKAKCKR >Sspon.03G0039270-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3C:12095831:12101697:1 gene:Sspon.03G0039270-1C transcript:Sspon.03G0039270-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MERLVQHSSQRIEGGHRQLVIAFGRSTRRRPPHSMRLLLTLKARDLWPPVSAWSCCGPIWTGFVAVACPFELSNALLSNLPTNTRVAPLGPSHTFATLPHRTHQFFVSLASPVPPSPLTSTARTSCDRHPPTPASDGATSESALPAVVRALLLPPLSPESRRRQTPSPRRRSDAAGPPPSQHRSDHTYQHGGLPSGAARQPALAEPQRRAPDATGPGASNTASLASRRGCQTPRTTRRRALAKPGIVCVFADTTRNLDFQLQTTVVNIDDSAAAGPATRSSNKKMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRMLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIHDKEGIPPDQQRLIFAGKQLEDGRTHADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGQ >Sspon.05G0004440-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:13313683:13318746:1 gene:Sspon.05G0004440-1A transcript:Sspon.05G0004440-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEITDDMLKSMEVGLAFRDYNGRISSMDFHSKATNYLVTASDDESIRLYDTQNAVCLKTINSKKYGVELVCFTDNPTIVLYSSKNGWDESLRLLSLNDNRVVCISFCSEKENFLSGSLDRTVLLWDQRAEKSQGLLRVQGRPAVSYDDQGMVFAVAYGGHIRMFDARKFEKGPFEIFSVGNNDSDAHVIKFSSDGRRILLTTKAGRVHVLDSFHGNSIASYNVKPVVTNSTLEASFSPDGNHIISGSGDGSVYAWNVRSGKVARWGSTDNEPPLVRWAPGSLMFVTGSSELSCWVPDLSKLGPFTISK >Sspon.03G0010330-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:27987976:27989701:1 gene:Sspon.03G0010330-1A transcript:Sspon.03G0010330-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRYGRAGRLIPAAVSVSPTRGPHGLPCSWHAPSYIGTTPRVPSQHPPPLHSPRSSSPTDPPLLPSLLRPRDRGYLSALEEEASTMQEALISPGNACRLRSALELKPFAFGDQRLASPPGYLNNLASLGGGGGSDDALFRCSSPFSPSFGFSSPSPLATSSVSVSLSPSSSASLVDDCDDAADADAVATGHRLQLARLALQYQEVADRYELCLARLADAADEAAALHRENAELRVANADLTRRLALLSGIGKQAAAAAIADEVRRLGFGDHKHAAKECAPEKPAVLPKSISVRSSDYLKMNKPKKVQAPATPAANNRKHRASNPTTNPSSVSPSSRLANLITSPLVNCHLTLLHACTLMQCTDQQRVYKGNGGDKKGEESKEPPHTAAGGMELDGELEVYNQGTFKTELCNKWEETGACPYGDQCQFAHGVAELRPVIRHPRYKTQVCRMVLAGEVCSYGHRCHFRHTLTPAERLQLPRP >Sspon.01G0024560-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:87771281:87773950:-1 gene:Sspon.01G0024560-1A transcript:Sspon.01G0024560-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASYDRLAVAASLVAVVVVAGLPAAGALGVNWGTMATHQLPPGTVVRMLEDNGIRKVKLFDADAGPMDALAGSSVEVMVAIPNNMLDMMTDYGTARDWVHQNVSRYNFDGGVNIKYVAVGNEPFLSSFNGTFLNVTLPALQNIQRALNDAGFGDTIKATVPLNADVYNSPTDNQVPSAGRFRSDIAGLMTEIVQFLDQSGAPFTVNIYPFLSLYDNDNFPLDYAFFDGTSSPVVDTGNGIRYTNVFDANFDTLVSALAAAGVGGLPVVVGEVGWPTDGDKHATAAYAQRFYAGLLRKLAANTGTPLRPGQYIEVYLFSLIDEDAKSVAPGNFERHWGIMRYDGQPKYAMDLSGQGRNTALVAARGVQYLPRQWCVVNPNAPDTSKMGDSVTYACTFSDCTSLGYGSSCNGLDAVSNASYAFNMYFQVQNQVEGSCDFQGLAVPTAQNPSTDACNFTIQITPSAAGRRCAGATAATALLLLVLLASLHVVP >Sspon.04G0021070-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4B:75988285:75992655:1 gene:Sspon.04G0021070-2B transcript:Sspon.04G0021070-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGREITKIELKILKAL >Sspon.01G0032230-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:109348843:109354010:-1 gene:Sspon.01G0032230-1A transcript:Sspon.01G0032230-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVSSRKKQAPPSHQQQREEAEEQGSPATAEAMDAAAAAVQEAEAGCSGKAQEAEAGAEGRTVVVGVRSPADAESRALLTWVLVNVAAPLDRVVAVHVVLASAAEAAAAVDFEAMLGVYEGFCNLKQVAALCSASSHKVAKYCAKKLPAKCAVLAVSNGKIEFRRESSVHSGKVSAEVLPCIDDEMYCVVPFQARQAKGGTLPLQEPKDGGEGDTTRDVGTKGSELEDTIKDEQLVSSVVPADLSTGQVEIDADPSSSKAEEPIVEQRDEIAELPGKGASVLYCVLPGRSSDSVASTSSRQDHDSVDLPSEGAGELYCLLPPRNGHSVRSTGDSKRSNASQKDDKPANPSLEGDGDLYCRLPRSGRPGRSSGGSKHSVGIRGMIRRSSSFSSDINLNSETSPIKKDGSVSMTTTERSSSAVSTEAEDSPKDTARNAETPSSSPMSLRRMIERRPDRCHLHRRIFRHHRSSSLEWAKYSMVQWAMRLPSRYSSVHPDGKSLKSDASPRLNCDSETESTTAVEPVSMFSFAFYDVVWPPSELESLQEKYSSVCRLFSYEELKLATANYSPDMLIGKGGTSQVFKARLEDRTFSAIKILKPSVDAIHEFVTEIEIVTSLQHENIVALRGFSFENYNLVLAYEYMPQGSLDKALHGKNDDTDFLIWERRIKIAIDIARALEFLHLGGVTQSVIHGDVKSSNILLSEDFGARLCDFGLAKRVSASTPHLTCTDITGTFGYMAPEYFSYGKVNEKIDIYAFGVVLLEIISGRRPITPGSAKGQESLVGWAKPLLSCGEIKQLVDPFLGNDYDCDEMERMTLAASLCTRTSSHSRPEMSLVLKLLQGDDETINWARAQVTASFDGSDEEVAAAAAPDVNMQSYLNLALLGVEEDDTLSHCSSTERTVDTSADGYWSRSSSFD >Sspon.02G0059230-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2D:90283676:90284101:-1 gene:Sspon.02G0059230-1D transcript:Sspon.02G0059230-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNWGRCEDFQLNLPPRNPETSTERNTGLPTRPGAPFGFEGQEPEQEVSRTRGHSRTTAEAGGGGQEDEMQEHGWAQQAEQGTKREGSNPIAIAIGRRKEEGNKRQALTFVSLSQAPRPVAATAAVGLGRAGPEQDKKNHRS >Sspon.01G0021070-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:76655699:76656590:-1 gene:Sspon.01G0021070-2C transcript:Sspon.01G0021070-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSSSSAATVFPPSPQLPPPLLVENLPPLHQLTPVAAAAAPASEQLCYVHCHFCDTVLVVSVPTSSLFKTVTVRCGHCSSLLTVNMRGLLFPGTPANAATVAAPPPAAVTSTTTTITTAPAPATSVNNGQFHFPQSLNLAPNPHHQSLLPDDISSAANPSLQLLEQHGLGGLIPSGRNAAAPPPPPPLAAGKGTKEPSPRTNPVINRREST >Sspon.01G0040510-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:41384288:41385296:1 gene:Sspon.01G0040510-1B transcript:Sspon.01G0040510-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein SPIRAL1 [Source:Projected from Arabidopsis thaliana (AT2G03680) UniProtKB/Swiss-Prot;Acc:Q9SJW3] MSRGGSAGGGQSSLGYLFGSGEPPKPAVAPPAASAPPADKPPAAKPDATKQIAAGVTSQTNNYHRADGQNTGNFLTDRPSTKVHAAPGGGSSLGYLFGGN >Sspon.07G0001640-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:3772278:3777686:1 gene:Sspon.07G0001640-1A transcript:Sspon.07G0001640-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAGRVKAAMGFQRSPATPKPGAASSTSTSSSRKAPAPLQLPGSAAAGQPETPRRRSSGSPAPPPGSGSKGGGGPFSRYFPRSSAQVQPARAAGPPEPPPGPGPAAVADLARLVEELRERESRLRTELLEHKILKETVAIVPFLETELAAKSSDLGRCRDAMARLQAENARLRAELDDAVAAARTSQQRVVELEEEVAEMKRRRRRDPDPEPHHDDDCSSSVSSDNSDCSNAATKLVVPGLSIVPPPAPPPPPPPPMPAPHKSRSSYFSGSSRASPANSSSSSSSSAPSTPTYSSDTAASRSRVPELSKLPPIPAPPPPPPPPPPPSMPAVGRRSASSSPSTSSTSSSGGGAGPPAPPPPPPPAARRSSKASAPATSATAPAPAPCVRRVPEVVEFYHSLMRRDSRSRDGGAGAGGGAAAARDMIGEIENRSSHLLAIKSDVETQADFIRFLIKEVQGAAFVDIEDVVTFVKWLDVELSRLVDERAVLKHFDWPEGKADALREAAFGYRDLKKIESEASSFCDDPRQPCSSALKKKQALFEKLEHGVYSLVRVRDGAMSRYRGYQIPWEWMQDTGIVSQIKLQSVKLAMKHLRRVSSELEAIQVGPDEEELVLQGVRFAFRVHQIVEY >Sspon.05G0036540-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5C:88138087:88141253:1 gene:Sspon.05G0036540-1C transcript:Sspon.05G0036540-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MIDSKHPKEIEQQIKNDQTYDELPQDYTMTAKDLHAQIIIESSSATDILVKINDIFVNKDQLSCLLDPVQYLNDKVISAYICCLKEQANLQVRNGLKLEGLQSHLNIIINKRNVVQNWEDLDVNKWTVKEQLEEPIQKDSSSCGLFILKFMECWTGEALTQHITQANLDIVMNSNVQNSEKEKSPANEHTIDNQTYDELPQDYTMTDKDLCAQIIIESSLATDILVKIDDIFVNQDQLSCLLDPAKYLNDKVISAYICCLKEQAHLQVRNGVKILLPINIKDTHWYLVVLHAKKREIQVLDSLCWEYNQDDLKTTVQVSQHIVMLEDKHSSNESE >Sspon.07G0023290-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:14719848:14722625:1 gene:Sspon.07G0023290-1B transcript:Sspon.07G0023290-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMPTSTAAPASPRSPRARRSRSVVAELEGALLRSADTFPYFMLLAFEASGLPRFVLLLALWPLLRLLELAGPGRRDLALRAAAFVATVGVPRAEVEAVSRAVLPKFMADDVDPAAWAAFGSCEGRRVVVTRMPRVMVERFAKEHLGAHAVVGCDLEYSRLRRSTGLLKGASHEAVATRVRALFAGDDRPDLGIGASEMARSLTFCQVRDRSLSALFA >Sspon.03G0025490-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3A:77809416:77811641:-1 gene:Sspon.03G0025490-1A transcript:Sspon.03G0025490-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MELPAPLSRAAGRLTRMLGRMRWRKRGHLPVGHPRSTAPCSRRYANARRNACADRCRKEIGFKFFWVSFSPMWVGDIVHFLFGRLRVQIEEIGWEQVVSATGDDGVSCLTFRVVDAKKKFPENLAAVLDYALPAPPSVGGNAKDDEQADCGICYAKHLPVDDELGAHSGCATDYTCENPSCSRAFHSVCLRDWLRSITSTRQSFDVLFGNCPYCSDPVAVKITDH >Sspon.03G0043490-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3D:48359046:48360741:-1 gene:Sspon.03G0043490-2D transcript:Sspon.03G0043490-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQQRRVKPDAAMQAYNTVRAADRIPVGCSLVRNWGTVALQQLPSRREARRTNHDCDCEPSSHYHAIATSPVALAGLPNNLRARYGAGLVLLSLATDVSTPGGGAYGRRSQTHGMIGSPGLAAVQDQASSGIHEPTLLRYLDAPWPS >Sspon.02G0019060-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:62828195:62832196:1 gene:Sspon.02G0019060-2B transcript:Sspon.02G0019060-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding METTSTANEQTGRGAMWELERNLDQPMDAEAGRLRNMYREKTYPTLVLLQLAFQSLGVVFGDLGTSPLYVFYNIFPREIEDTEQVIGALSLIIYSLTLIPLVKYVFIVLRANDNGQGGTFALYSLLCRHAKINTIPNQHRTDEELTTYSRYTYDEKSLAAKIKRWLEGHQIRKNVILILVLFGTCMAVGDGILTPAISVLSATGGIQVEEPSMRNDVAVIVSVVILIGLFSMQHFGTDKVSWLFAPIVFIWFILIGILGAVNISKYDQSVLKAFHPIYVYRYFKRGKTSWASLGGIMLSITGTEALFADLSYFPVQAIQYTGQAAYIAQNKDQVSHAFYHSLPDSVLWPSLIVATAAAVVASQATISMTYSIIKQHLL >Sspon.04G0010610-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:31533664:31536870:1 gene:Sspon.04G0010610-3C transcript:Sspon.04G0010610-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding PHLTAHGPAQQTRFLSDPPRGCGSFFVPSSPVLITSPPFRRLLRPRRSPLAPLDWALHLLAAVRQSPMADEEVTDPKALLEERSKAKCVSQWYEYQKCVKRVENDETGHKHCTGQYFDYWKCVDKNVAEKLFEMLK >Sspon.04G0014320-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:58929835:58933791:1 gene:Sspon.04G0014320-4D transcript:Sspon.04G0014320-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQAHSKSYFQQFSDGVQVKRNSGSFTIELLPSLGATINQSNKLKKFIISPYDPRYRYWELFLIVLVIYSAWICPFELAFLRDLSSTLLLVENIVNSFFAIDIVLTFFVAYVDSKTHLLVDDRKKIAVSSISAISLLFTHKGNDLAFKILNMLRLWRLHRVSSLFARLEKDIRFNYFWTRCSKLISVTLFAVHCAGCFNYMIADRYPDPERTWIGAVMPTFRSESLWTRYVTALYWSITTLTTTGYGDLHAENPREMLFDICYMLFNLGLTAYLIGNMTNLVVHGTSRTQNFRDSIQSASEFAARNQLPEKIKQQMLSHFCLQFKTEGLNQQAMLNCLPKGIRSSIAYNLFFTIIQQAYLFHGVSNNFIAELVKLLWHQVMEVQAEYFPPKEDIMLQNEGAADIYIIVSGVVNLIKTINGNEQVYEKVEEGDMFGEVGALCDIPQPFTCRTTTLSQLLRIRKIRLTEIMQEHREDSNIIMNNLFQKLKLQENLSELNQLDRRFMHKYELFHAPREAWLLPQPYLQYTEHKFEDISKKVPTFGGDHGSTKLAAETIQLRMPQQGNSHDHGNFGATDGMAGEEDKRNEVHINCETKKGTKKFCVQIKSEDCDAASYSQTSHETVKLGSSHDTLDGTTTRENQDSSNIKSSSKRVTIHAYPHNATGSLVQNGKLINLPGSLEEIFEIGSQKFPGFHPTKLVSRDYAEIDDISVIRDGDHLFLLQM >Sspon.06G0022590-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:31443110:31448632:1 gene:Sspon.06G0022590-3D transcript:Sspon.06G0022590-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGFGTSRPASVALCAFLTFLNLFAFLLAVGAERRRSTVRGLRSLCISSAPTLRASLRSSCWMQGKVVPDEYDERSYCLYDTDASTVYGVSAFFVLLLQQAIVTAATRCLCFGPVLSSRGCAVTAFVLSWITFLIAEACLIGGSVRNAKHTKYLGYYMKHDLLTCATLRKGVFAAAAAMMIINLLASLVYYWSYSKAATGGFMKHQNEVGVGMTDYGLDKGVSGP >Sspon.07G0001720-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:3982738:3985725:-1 gene:Sspon.07G0001720-1A transcript:Sspon.07G0001720-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MCQWQGVSCGLKGSRYGRVVVLDLGELNLVGTVTPALGNLTYLRLLNLTSNHFHGILPPELGNLHDLEDLQLSYNSIEGQIPSSLSNCSHLVNISLNNNKLQGVIPGEFSSLHNLQILHLESNALTGTIPSSIGNLVNLKYLDLTFNNLTGEIPVAIGSLVSLGELDLGYNLFYGSIPASLGNLSALTVLTIPNTNLEHIPPLKGLSSLQILELANNNLTGNIPSWLGNLSSLVYLSLKYNSLVGQIPESLGKLELLQILSLSVNNLSGSVPQSIGNLHDLTELYLSKNALEGSLPHSMFNLSSLELLLLDFNSFTGDFPPDMGSKLSKLKLLFASINQFHGSLPSSICNASMLQVIEIVTTFMSGTIPQCLGTHQKNLSVVFLADSYFEAINDADWGFLTGLTNSSNMRKLALSSNKLEGVLPNPIGNLSTQMKFLTIEDNKITGPIPEGIGNLINLNELDMGNNMLVSAIPVSLSKLKKLNRLSLSNNALSGPIPVSLGNLTELITLILGTNAISGAIPSSFSNCPLEVLDLSHNNLSGPIPKELFFISTLSKSMNLAHNSLSGPFPSEVGNLKNLNEIDLSNNMISGEIPTSIGECQSLENLDVSVNMLQGAIPTSLGNLRGLLVVDLSYNNLSGTIPEVLGKLPGLSSLNLSFNKLQGGVPKDGVFLNATAIWITGNGGLCGGIPQLKLPPCSIHTNKRPSRELAIIVSVCSAFLFVAIFAVALSTELNFRELEGAESKISWN >Sspon.01G0008490-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:20999716:21002695:-1 gene:Sspon.01G0008490-1P transcript:Sspon.01G0008490-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADQLTDDQIAEFKEAFSLFDKDGDGCITTKELGTVMRSLGQNPTEAELQDMINEVDADGNGTIDFPEFLNLMARKMKDTDSEEELKEAFRVFDKDQNGFISAAELRHVMTNLGEKLTDEEVDEMIREADVDGDGQINYEEFVKVMMAK >Sspon.07G0007920-3C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7C:17549050:17549410:1 gene:Sspon.07G0007920-3C transcript:Sspon.07G0007920-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding CMELQVRPMKILTLLHLMNLRLFLIIFTLQLMHRGYSAPTSNFWDSVDHQDCLFKNLYTVVMNNFTGSCAESGFLEPLLKDAPVLRSARIEDNNKLDRESLKRILKMRRASKDAEIILL >Sspon.02G0013620-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:14210258:14213020:-1 gene:Sspon.02G0013620-2P transcript:Sspon.02G0013620-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSCAPTTAFPPTEAGAAPPEPFRSLQIATTSAAGAKKKRRPAGTPDPDAEVVSLSPRTLLESDRYVCEICGQGFQRDQNLQMHRRRHKVPWKLLKREAGEAARKRVFVCPEPSCLHHDPSHALGDLVGIKKHFRRKHSGHRQWACARCCKAYAVHSDYKAHLKTCGTRGHTCDCGRVFSRVESFIEHQDTCNAGRPRAETSSSPASGAGGGGGAGFGMAAAAASTSQQQRQMHAAAAAAAAALSRTASSASPSSGGEFGVSQHQQVACWPAGPAMASPTAAATFHRFDPALSPPTPQYERPGGGVHNLELQLMPPRGSGSGSYYEGGGGTAPAAAAVRWPHSPTVHVPQLGLAADPMRLQLSIGFGGARDNDNDDDSETSAPTAARLKEEAREQLRLAMAEKAAADDARAQARRQAELAEQELATSRRMRQQAQAELGRAHALRDHAVRQVDATLLQVTCYSCRCKFRARATAGTGGAMSSEVASYVSSVVTEGGDAEVDDDPYRHHHHRQLNADHAPSHAHARMMDIN >Sspon.05G0000870-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:600283:604289:1 gene:Sspon.05G0000870-2B transcript:Sspon.05G0000870-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding TMQLSARLLCVLAILLAAQPSQADSAAAIGAARGGDDRPSPPCSPADRAALLGFKAGVAVDTTGILATWTGGDCCGAWEGVTCDAATGRVVALQLEAPPPKSRHYMQGTLSPSLGGLEFLESLVVRDMARIGGAIPAALSRLPRLRQLYLEGNMLFGSVPGSLGGLRSLQYLSLAGNRLDGQLPPELGAVSGLEQINVARNELSGAVPPSYVNLSRLAYLDLGSNLFSGAVPGFLGQFRNLALLDLSNNSFSGEIPASLCTLHSLTDLSLSHNKIGGQIPPQMGALRSLNSLAMDGNMVVGSIPASLLGLQKLWYLNLSGNGLSGPLPTGAGNALPSMVSMDLSHNRLTGDIGQLFRSLSTAASHGNRTTTPQIVLAQKLEHLDVSENRITGALPDFDRGAGLRWLDISGNAIGGQIPSSVSKLSGLERLDVSRNRVRGTIPASMAEMVRLRWLDLSMNELVGRIPDNFTRLTGVRHASFRGNRLCGQIPQARPFNLFREAAYAHNLCLCGKPLPPCRKIRMQGDEPKEVVSTVGVACDRSTPVDATKVLVVTARTVRSSLPEPNSQILERGRKRRRTHLTSAGGGLGAPVAARGERERESERVSVAAVEKKRGRDMAERERGKSGRGRAVY >Sspon.01G0018270-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2C:64801568:64802137:1 gene:Sspon.01G0018270-2C transcript:Sspon.01G0018270-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGPDMVQVQVLVTVKLVGMGLMAEHMLKEAVRAEVAVEDNMGALDQVMVQVLGTVKPVGMGPMVGHMLREAARVEVAVEDNMEGLGLVPAQVLGMVRLVDMVLMEEAMLREVARVEVAAEDRMEDQDKVPVLALGMGRLADMVLMVVDTLKPVAKVVGVEEVVQVVVDMEVAQGVGSGVLAVATHRTF >Sspon.05G0035680-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:78553867:78555516:-1 gene:Sspon.05G0035680-1C transcript:Sspon.05G0035680-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVGPRRAFGESSSPPRDPAPAASGGSGGGGRGGGLRDICREVFERLVSDGHAAGSELLAQLEAHFNRLPISYKLDVNIDKAEDVLIHHKVLAEAKDPDRRPAFAVRFLRLEEVNVDETTNSDAHE >Sspon.01G0000250-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:1160261:1160695:-1 gene:Sspon.01G0000250-1A transcript:Sspon.01G0000250-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVASFPAASLVLLLVASAFLVSEVAACGGCPKPTPPPSPPPPSPTNTPCPPPPSTSGGKCPKNALKLGVCANVLGLVKVSIGKVPTDSCCPLLDGLADLEAAVCLCTALKANVLGINLDVPVKLTLLLNYCGKSVPQGFLCA >Sspon.07G0032130-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:45606695:45607027:-1 gene:Sspon.07G0032130-1C transcript:Sspon.07G0032130-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAKTPDRICSCIAFAKGIKILLTELLEWMQEISSCSSFVFRVPRHYSY >Sspon.02G0027770-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:104749982:104751930:1 gene:Sspon.02G0027770-4D transcript:Sspon.02G0027770-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GPMIEFKRLYCHLKMFDYSPNEDVGLWLEEINLGGYRQVFEENGVNGEYLESLSMFTTEQILRFIRRCHMKWGDFITLCKELRRIKVACLKGEQEVRRPWWAPACLSVVFVRAAKQNRKSRVVSLKLEP >Sspon.05G0029800-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:83495345:83518447:1 gene:Sspon.05G0029800-1B transcript:Sspon.05G0029800-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFEASARDLLECMLLDTSAEPTELPLSLLKSITNNFSDAQEIGRGGFAVVYEGLLRNGSIAVKRLSQELDMDEKNFNQEISSLIKVKHKNIVRFLGYCADTQGKLEKYGRKMIMADVRQRLLCFEFLPNGALDKHISDAAQGLKWRTRYQIIRGICDGLHYLHRQHIVHLDLKPANILLDCNMVPKIADFGLSRCFDEEQTRTLTAKVVGTLGYMAPEFICSGEITFKSDIYSLGYIIMEILTGQKGHLEIENVLENWKGRIKISHGDSELEQVRACAEIGIECIDPNPAMRPDTERIIEILDEMEHGYGFIRTDYFTPSATNVRYLGFSETRKLLDVHPLELRFPLESKRRIECPVTLTNRTDHYVGVWITPTDLFFHGDFLVSWEDVCPSVFQLMEPHSTRAVAMVVEEQWGRRPRGKGKLDVLMIVLRSKEHLEKLRAPVIGSRLNIDMAFLKRADKLGAEVHQAALKAIICDPASCQQEMTLYQCPERVMVLQVITNVHTNNRPLIRSAKFIPREHWIAIGDHDGWVRVYAEPTEEKIKEFEAHRGESVSLLAVHSTYSFLLTSSFTDTSIKLWDWDQDWMCTQTFNMPNTGLFRLMWNPIDGSTTFAGVSYDKSVKVWDIQSSDPIIAFEGMENARYLYSDSPGHLMVIGCDRETNVNNSACIWDLQSKECIHRLGFSGSDIYDVACHPTLPLLATSHSSGQTVCFWDTRTYRLKKMVQLREGHMQGMVFIGTKDLT >Sspon.07G0013970-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7A:50243880:50244246:-1 gene:Sspon.07G0013970-1A transcript:Sspon.07G0013970-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding IPPSISSLPFSF >Sspon.02G0023930-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:77486705:77494165:-1 gene:Sspon.02G0023930-3D transcript:Sspon.02G0023930-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to cDNA clone:J023038F18, full insert sequence [Source: Projected from Oryza sativa (Os07g0160100)] MSAQFASEHACYVNCNYCNTILVVNVPNSCSHNIVTVKCGHCTMVLSMDLSPFHQQARTVPDNQIVQNRGFQYNNFGSYEQASSRNLRTPSMYPVSNNQPQVPSIRPPEKRQRVPSAYNRFIKEEIQRIKMSNPEISHREAFSAAAKNWAHLPRLHFGLSVADGGGGGGGSN >Sspon.07G0029790-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7B:78935734:78936042:-1 gene:Sspon.07G0029790-1B transcript:Sspon.07G0029790-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHPAPWRRRVAHPEDGALGGDESYTVRTALPVSPSPASRGQEAPAAAQPAPRPRERRPLAEVHLVHGDGGRCIAEGVDERLRRLQRGPSSSVLYTWACAWH >Sspon.05G0011140-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:35718138:35719475:1 gene:Sspon.05G0011140-3D transcript:Sspon.05G0011140-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRKETAMRLPPQHQGLEVKIPSFFRCPISLDVMRSPVSLCTGVTYDRASIQRWLDSGNTTCPATMLPLRSTDLVPNLTLRSLIAHWAASAASCSPTAAGADTSSARTSSPASLVRQVASSGVDPAPALRELAAYLSDDDVDDFEKNALLGAGRAAETVASVLRRRAEETSVEGAEAATRVLAAILASDGIDDANKKRVAAGLAADAAASAASLARVMRRGSGGLEARVDAAKLAELLLANAADEAAKAAVAESSELLAELVRLVGTVDEKGALDRNAVDAGLSCLAAICESGSRRAARGEMVRLGAVPAAVRALRATTTEPGASAKALRVLESAVGCAEGRTALCGDAEDAIPAVVGRMMKSGRDGAEAAVAVLWAVCHRYRDRRAADAAAAAEGGLTRLLLLMQSGCSPAARQMALELLKIYRVNAKSCLAGYDSKTTHIMPF >Sspon.01G0018860-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1A:70107892:70108092:1 gene:Sspon.01G0018860-1A transcript:Sspon.01G0018860-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAHSGLPQDFTSYLTWCGATRPVLSSPFSLWQFELSRHRRRVGAGRSDRRSGHKQVQQLAQETG >Sspon.04G0000700-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:17900707:17901643:1 gene:Sspon.04G0000700-2B transcript:Sspon.04G0000700-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GAWPWRAGGALHVRGARAGRRGGGHGAGLGHPVPRRARHRGHQQEPHLQRQITDCRGGGEASLEPDPPPPPPWPAWARGGAPGAGAGAGVS >Sspon.02G0003000-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:10025786:10026280:-1 gene:Sspon.02G0003000-1A transcript:Sspon.02G0003000-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AMPHRHHDAGDGVDEAPSPRPSSAGCYAFLTRSYRAGAYRRLDSAPALEAEAESAAAVRVEVGTTTKGKAKKSVFHVDPAVLEAGPVRRLLAAAGRRAPGGGVAVDVDALLFEHLLWLATKARDGVGGEEESAAAADDLSEIVEFYSQDDEEEDEDHDHDHGLSF >Sspon.01G0030350-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:109257674:109265188:1 gene:Sspon.01G0030350-2B transcript:Sspon.01G0030350-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAQLLGEDGRGYELARRLEACGAWREWLGDDSAHAALAQHLTSPATWDAFLYPRPPHRRPRARFSSSSSASARSSSTRHRPRSSCLPAARPQSASIPSTLTVSAASTLGLLLPPFRCWAVPGTFGCCQSVQQFKLGDNLFSRDGLLQHGRPSPTMVLLCYAIRVRFRVFGDLGSGEVPAMLRLRDMAGEACWWGGDLRLHGDDIYYCLEDEQEDNTQHQVYSRTAFSPSRDGSMLSQRHNRYEELPDTWYKPYADKFRTWHSKLRSGDKDIPKRTPEGMSDYLKICSIHKRKRAVFMDDPSISPPMLENGPSLHSKNAGEFSNSTDELIPEIRFPSDCVPESAIPKTSGISRANKIEVHGVLDNLPAPVNRNTAMLERFGMVPEYYKTGNKYRGKDGSRVEGKSLSQEQALLMTKKLVARYLANSGFESGTAVSIDVLSEIIIKHICKLGHNLKLLTDSYRKQFSSIELLKMFLQNVGYSNIGPLMEITKMGNRVANYSIHQDAQVLQTQNANSLHAQQVAILAIWSAYGMRMPPVKVEAFHELVSGDSSLKHDNDPNKLTSPK >Sspon.02G0022220-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:67903171:67909754:-1 gene:Sspon.02G0022220-4D transcript:Sspon.02G0022220-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAARGAAARSPLLVHHRRRLPLVHPGGGGSLRVGGPGREGRRRARVGVRVFARYSQAQDFSTRLQDRVGELPKLVEDLLQTSISTGPRGAFRMAQGIQAVLGVGGEWLNDLSKTANTSAGIPSQMQLGLLSPLYLRRLFERMGATYIKLGQFVASAPTLFPAEYVEEFQKCFDRAPAVPYDVIESILREELQRPLDSIYEYIDPVPIASASIAQVHGARLKSSQKDVVIKVLKPGIEDTLVADLNFIYVVARVLEFLNPELQRTSLVGIVKDIKESMLEEVDFRKEAANIQAFQGYIDAMGFDRQAKAPFVYQHCSTKRVLTMERLYGVPLTDLDSIRSLVPDPELTLVTALNVWFGSLISCESFHADVHAGNLWLLRDGRIGFIDFGIVGRISPRTWAAMEVFLASFATEDYDAMASALSEMGATGNDININEFAKDLQKIFSSIQDLDTEIIVATARTPDATAVSANVVLDERQMNALFLDLVRVSESYGLKFPREFALLMKQLLYFDRYTRLLAPSMNMLRDERINISTNRQPRRTDRFQ >Sspon.01G0011170-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:31313332:31319907:-1 gene:Sspon.01G0011170-2P transcript:Sspon.01G0011170-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFGGNGNATIRCKYCSACLTVIPGERAIQCAQCNGETRIRRADRIPLPRPAHVPAAFQRARGKKRAVLIGITYAGMRRGCGELRGPVNDVKCMRNLLCQRFGFPSECIIMLTDDQRDPFRLPTKENIRMAMHWLVQGCSYGDSLVFHFSGLGAQVADDDGDEADGYDEAICPLDAFQRGPILDDEINEAIVRPLVHGVRLHAVVDACYSATVLDLPYLCHMSRNGFWQWEDESPPSGAWKGTSGGHAVLISGYSDGKNNFAMVSQGSGRIIIIHAHIHTSLNFFLFGHRSLPYIYACCLDDDAQMPDAYASVGAMTHSFIRALECEPRGVTYGRLLTSMRAIMKNRGGGYDLQGPIGAPIHTVANFSGVQESREELERMAEEGQTVVPGGKTLEAQEHLAEGRSRGGQTRKEQLGHDGYSEMGRKGGLSTMEESGGERTAREGIQIDES >Sspon.02G0030020-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:109049140:109053200:1 gene:Sspon.02G0030020-1A transcript:Sspon.02G0030020-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLNHKCSGLSLGSEYVVPEEVKAAGFQICADELGSIVEGHDSKKLITHGGVDGIAEKLATSKTDGLSTADDSIKRRQDIYGVNKFTESEVRSFWVFVWEALQDTTLIILAVCAFVSLVVGIVMEGWPKGAHDGLGIVASILLVVFVTATSDYRQSLQFKDLDKEKKKIQVQVTRNGFRQRLSIYDLLPGDVVHLAIGDQVPADGLFISGFSLLINESSLTGESEPVAVNEDNPFLLSGTKVQDGYCKMLVTTVGMRTQWGKLMATLSEGGDDETPLQVKLNGVATIIGQIGLFFAVITFIVLSQGLFSKKYHERMLLSWSGDDALELLEHFAIAVTIVVVAVPEGLPLAVTLSLAFAMKKMMNDKALVRHLAACETMGSTTTICSDKTGTLTTNHMTVVKACICGNIKEVNGSENASKLRSELPEIVVKTLLESIFNNTGGEVVINQDGKYQILGSPTETALLEFALSLGGDFKAKRDETKIVKVEPFNSTKKRMSVILELPGGGCRAHCKGASEIVLAACDKFLDETGGVHPLDKITADKLNGVIDSFAGEALRTLCLAYKEMEEGFSIAEHIPLQGYTCIGIIGIKDPVRPGVKESVATCRAAGIMVRMVTGDNINTAKAIARECGILTEDGIAIEGPEFREKSLDELLKLVPKIQVMARSSPLDKHTLVKHLRTTFNDVVAVTGDGTNDAPALHEADIGLAMGIAGTEFQLTVNVVALLVNFSSACFTGNAPLTAVQLLWVNMIMDTLGALALATEPPNDDLMNRQPVGRTGKFITNVMWRNILGQSFYQFFVMWYLQTQGKNFFGLEDFGTDIVLNTIIFNSFVFCQVFNEISSREMEKINVLKGMTRNYVFMAVLTSTVIFQFIMVQFLGEFANTTPLTIHQWLASVLLGLAGMPIAAAVKLIPVGSS >Sspon.08G0011740-1P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8A:51190871:51192600:-1 gene:Sspon.08G0011740-1P transcript:Sspon.08G0011740-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEADRTPCAKRRKAMPPVEIIEPPLRISKADTIPCAKKRRTTPPMEIIEPRISQDEIFSLAARLSSLSSNMPVRILEFLNKECTGHTDQKAGEIEIDLGSMRCSAMFELQKLLDEFAEEEKRRQQKEESMNVCRSISRSSPRELEEGEFIEEGEIIEEGEFMEEDCGATTDTCGGDASRKGLCSPPQILEDGEKTEEEGVICGDAALVATAETAKSPSNSSSSGSSSSSDGSSGSSCSDSSDSDSSDSNSDDECVTSNVAPAVLPIPKADASAIAILEDGKMIEEEEVDICGDTGHIATETFVETAKSPSSNHSSSSSSGSSTSGGRSSGSSCSDSSDSDSSDSGSDDKCVTSNPAPAVLPKTDASPMAMPHKVLSPIAEEEFTGTRNSRRSNSSLLEDGEIEEEQESRGASPMAAKKFAEIVNSADLPMTNASEAARLLSSVPSHRSRNRLHKALFVISIPRDFEKKRERAAAAQGTVGHFEKKRERQRAYEKLEEMERNAKAKPIFDWIHPRHLRQLGITTTVEYAVTSERRLPARRGCPVQSLLGLFLKAE >Sspon.02G0034680-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:13827552:13829115:1 gene:Sspon.02G0034680-1T transcript:Sspon.02G0034680-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAFLPFHLATLHLLLAPAYSGGGGSFIYNGFAGANLTLDGVAAVTVGGLLVLTNGSLQTKGHAFHPSPLPFRDPGSRRNATSARSFSTTFVFAIYGQYADLSSNGLAFFVAADMAVLSTALPGRFLGLLNDTDNGDRSAHVFAVELDTIFNAEFRDINSNHVGVDVNSLRSVCATDAGYYDDATGQFRNLSLVSRNAMQVWVDYDGAARQVTVTMGPLGVGRPKKPLLQTAVDLSDVVLGTAYVGFASATAVLSSRHFLLGWSFALDGPAPALDIGALPALPPAWPKPRSRVLEIVLPVASATLVLAVGATIYSLAQRRLRYAELHEDWEVPFGPHRFSYKDLFHATKGFSDKQLLGEGGFGGVYRGALRKSGMEIAVKKVSHESRQGIKEFVAEVVSIGRLRHRNLVQLLGYCRRKGELLLVYDYMPNGSLDKYLHGGNGSRGAIDWPQRLHIIRGVASGLLYLHEDWEQIVIHRDVKASNVLLDSEMNGRLGDFGLARLQHMWWALWGT >Sspon.02G0012950-3D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2D:28198349:28199122:-1 gene:Sspon.02G0012950-3D transcript:Sspon.02G0012950-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSFIDGPTFRALLRPSTNGRRTTKISDSGGGGSSGGGSGIFKMFKLMPMLSSGCKMVALLGKHNSRALLADHATTVTLFGHRRGRVSLAIHEDTRAPPLFLIELPMLTSALHREISSGTVKLALESDTRSARRRLVEEYVWAVYCNGRKAGYAIRRKEASDDERHVLRLLRGVSMGAGVLPPAAAGAPEKEGGGGGAVPAGAGPDGELTYVRARVERVVGSKDSEAFYMINPEEGGNGGDNNNGGGGGGAPELSIFL >Sspon.08G0025440-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:9654639:9660738:1 gene:Sspon.08G0025440-1C transcript:Sspon.08G0025440-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVRHTFRVICALVQLMVRDPELLKKGDSILNAQDNGPIRDSSMVGNGCRAQTGCHQAMGDWAVAMEDNLDACKTQKKVSEPTGGLRAAGSNCLEAAKTLRFLLLNATKVPTRA >Sspon.03G0038630-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:6783943:6788657:-1 gene:Sspon.03G0038630-1P transcript:Sspon.03G0038630-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRRTCWTGRHLTLLNAQPPPCRLDTPDGASPGAATTMSAKQDIAGRVIAEGLKPEETFMDKMMTRNPVFVMSNSSAIEALQKMVQGKFRHLPIVEHGEVIAMVDIKKFVYDAISRMEKAAEQGSAIAAAMEGVEREWGNDFPGPHALVESLREQMFKPSLSTIITENSCAPVVCPSDPVTLAAKKMREHRVNSVVVMTGNVLQGILTSKDLVLRVLAQNLSPDATLVEKAMTANPDCATLDTSILDALHSMQDGKFLHIPVEGTSGANDLADSMMQKFWDSALATHPAEEFDARSDESRMVASDSADGKHVHPPHVNSSFCFKIEDRKGRMHRFSSVSESLDELVSTVAYRLGTDGEKSSINLLYDDDEGDRVLLTSDSDLTAAIQHAKSAGWKVLRLHMDDSQVMTESTVPRANTSTSQRARTSLRFGIVACAVALAGVAVIVYLKRSQL >Sspon.02G0006650-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:20051294:20051641:-1 gene:Sspon.02G0006650-1A transcript:Sspon.02G0006650-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPASAATPPPAAYGKLDKMDAEEARHIKAQFLIHKVLEEATSSSSTARSRRPPTALVRVKARIGVRLKKLRLAIRGIRLRARRAVQRHLRNLGRLIAHGGGCGSSSVKPAGPPS >Sspon.03G0022170-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:67589914:67590819:1 gene:Sspon.03G0022170-1A transcript:Sspon.03G0022170-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSGGDKPTATDATESVVVLDGEETLSERESVGARHASALPNGGGKEAPSSSLSTGNKRKRDNLGSNQMELNEPGTPSSSSGDSTWSIDSLDGRRQLSLSRNKNEHSEHSVTSAGVTVIRQPHGVLRLRKLAQNVNTESWAGGHNIPQANGVPRSSQLPRRNKKG >Sspon.05G0011570-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:27861242:27862907:1 gene:Sspon.05G0011570-2B transcript:Sspon.05G0011570-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGRSPIPSSETETIQDQGSKTAKDQTPKIAKDVTQLIGKTPLVYLNKVVAGCEARVAAKLEIMAPCSSVKDRIGYSMIADAEEKGLITPGKSVLIEPTGGNTGIGLAFMAAAKGYRLIVAMPASVSTERRAVLRAFGAEVVLTDPTLTMDGVVRKAQEVAARTPGAHVLQQFANPANPRAHYETTGPEIWTATAGKVDALVAGIGTGGTVTGAGRYLKERNPAIKIYGVEPSDSAVLSGGKPGPHKIQGLGAGFVPGVLDVSLLDEVFQVSNEEAAGMAKQIALEEGLLVGISSGATAVAAVRVARRAENRGKLIVAIFASFGERYLSSFMYESLKNEAESMAFEP >Sspon.04G0031260-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:9363034:9364124:-1 gene:Sspon.04G0031260-2D transcript:Sspon.04G0031260-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LYDLDASKYGNKAQLKSLIEAFHHKGVKVIADIVINHRTAEHQDGRGIYCLFEGGTPDSRLDWGPHMICSDDRAYSDGTGNPDTGADFGGAPDIDHLNPRVQRELIGWLNWLKTDIGFDAWRLDFAKGYSADVAKIYIDNTEPCFAVAEIWTSLAYGGDGKPFYDQNAHRQELVNWLNRVGGKASPATTFDFTTKGILNVAVDGELWRLRGADGKAPGLIGWWPAKAVTFVDNHDTGSTQHMWPFPADKVMQGYAYILTHPGNPSIFYDHFFDWGLKNEIAHLVSIRDRHGIQADSELRIIEADADLYLAEIDGKVIVKIGSRYDCEHLIPEGFQ >Sspon.03G0022940-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:88631937:88634665:-1 gene:Sspon.03G0022940-3C transcript:Sspon.03G0022940-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASGDPLDALPAAVLADVLGRVADAGDIATCRLASRALLAASYLCPRVRLCAADCARRRRDGGGGSPAFRATTANLASLLGPHLRSLSLDTADGQGSPDDAMWVEEGEFDEADDLHLTSGQAVAAWAATSAGTVLRELEIADYWPQACWRKAEALPLISHYCQNLVKLGLKNAWLSVEGLKKMPNLTHLTLELDDEDLNQLNECFPCLHTLNLIGVGGLKDPKIHLLHLKACRWEASNVPRSLVVHAPDLVFLELKCIRPDTLILDTPSLSTLKLTIDKLGATVRVNGLLRLTNLRIESLDFSSLFPVFIDNRGIRTLELELPECASQYELLEAMNPDYLLRMLASISEVKLAPRFSCELKLCLALCKGSQFGSCLKKLLIHVPQPGSCSHLLPLFDICAPLCEVTVLFHAESADAVRQGAISICMQNFPDIRWQWGTWQQ >Sspon.05G0038040-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:31324200:31325850:-1 gene:Sspon.05G0038040-1D transcript:Sspon.05G0038040-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGLLSKLRSLDAYPKVNEDFYSRTLSGGIITLASSVVMLLLFVSELPLQCSIISLDAMDISGQEHLDVKHDIFKQRIDAHGNVIATRQDAVGGMK >Sspon.06G0000930-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:926999:927985:-1 gene:Sspon.06G0000930-3D transcript:Sspon.06G0000930-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to Caffeoyl-CoA 3-O-methyltransferase (Fragment) [Source: Projected from Oryza sativa (Os08g0498400)] MSSPPDSAQLLAMLLKLTGARNTIEVGVFTGYSVLATALAIPADGRVVAVMAIDVSREYFDLGLPVLSRAGVTHKVDFREGPASEHLDALLADDVNHGAFDFAFVDADKESYGGYHERLLRLVRVGGVLAYDNTLWGGSVALPDDAPLTDADREVRDQIRAFNAVVAADARVEAVQLPVADGITLCRRIV >Sspon.05G0010030-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:28614737:28616324:-1 gene:Sspon.05G0010030-1A transcript:Sspon.05G0010030-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding HASSALGTVFGRVTNINHAADLNHKGGHRGFNRVMWIVKEYVPGGFPGDLDVYVTYQLGTLQYELRAWMNATAMNKATPVNLVNHAYWNLAGDCSGDVLGHLIKVFASRYTPVDGSFIPTGEIVPVSGTPYNLRALTPLGSRVSLVSGAGMAGFDINYAVDGDGFRQVAYLQDPASGRALELWANQSGMQLYTGNWLRNIKGKGGRVYRPYGAVCLEKQAFPDAVNHPNFPSEIVRPGVAYQHDMLFKFSL >Sspon.01G0021430-1P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1A:78756808:78757302:-1 gene:Sspon.01G0021430-1P transcript:Sspon.01G0021430-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQKKSPLTFLLNRRRDRTHSRIATSPALCCCRLFPPLAPSHDVSARPRRLAPHSRDVLHPTLRPAPHLPHPHTRYRVCPPTPSQPGRPALMGYVSSAVVRVLEQPTTSRVAWETTLLAGPHCAAALHGPPARLGLVVATPAPPLGRPPSLSVLLADLLQFTTR >Sspon.08G0005250-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:15823366:15827859:1 gene:Sspon.08G0005250-3C transcript:Sspon.08G0005250-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGGGGAEEEQVMSEVHLGCPPHFSGLHISRFSFSSRPLGPSGDNDGGGGGGSELVAATSGSFRTDCHLLAIQHGVTSSLKSVGLQVWKAALLLADFVLHKSFSSSNFDGVTAIEIGAGTVAGVIPGGHIKTRAEAPSRKMVAGHSLRRMSTFVGYKRRTDAILLNLSHSIAWGEQWGGRSRYLWSASEVEEAEKATVLFAADVIYSDDLTDLFFDTGIKHPQYSEGFTAVNSETS >Sspon.02G0058960-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2D:85489790:85494701:-1 gene:Sspon.02G0058960-1D transcript:Sspon.02G0058960-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GLLRGLKLSEEERSRVKGTRRPEDGEGEQAPQAVGKLFASKAGHAEGLVQTLGRIWCPGQGIRCKDLGQNLFLFTFLQAGGKRRAITEGPWEFGGDLLVVVDFDRSKRLKDIEFTHIPVWVRVFDLPLGLMDSTNGLLLGNQIGRALEVEAEEDGTAVGGFLRIKVKIDIRKPLRRGILVEGEEGEEDCWCPVRYEFIPNFCYGCGRLGHVEKECDSCLDMEAGCKQFGEWMRVTPSRWKGQGDLRNKGSEGGSSGGGKFGRSRASGKTSLVLDRGRQQTSSYKTSSDLDAELKDDGTSPAKKVVKEPYDGLGKPKSLAFEEGAGGAELGVALGEGQEVVDGGNNGTPEVQSGGTVGELVPVQVQTHGLADAEVGRVGEEGGFGEKDMDVDGGGLGTVDTGKEILAEVVLDQFKEVGKQVSVLPEGGGGPEVEDGEGGRKPGSFRRLQRTPSVNNGRKQGEFEKKRRRGLEKEEELLDHKKKRLGELGVLNGEEKNCRGLGNGPAVRGLLELQKEEAPDVLFLSETKHDGRWMDWLRWRLGLTNMVAKDSVGASGGLAVFWRKDIDLTVKSMSKYHIDMVIKEEDHFEWRFSGVYGESRSEEKDKTWDTLRNLHGALSLPWVCVGDFNEVLFAHEKEGGCRSRRQRWRSSGGFWKTVAFMTWVRVINGDPRHSDHRPVIVEVGEREVRRWEGPREVLRNFEARWLEEEDCAAKVEEAWGAALLEGTSTQLELQGRVLGELWEWDRSVLGELEKRVKNARRELERCRRRGISQDSVNREHILRFKLERLEDQLHVYWRQRAHNSWLLNGDRNTKFFHAFASERRRKNGVKRLVDEGGRAVEGDRLKSFITEQYRALFFTQAEGETEEVTGCVQQCVTREMNNILQASYSGEEVWKALESIGDLKAPGVDGIPAIFYKRFWGLIGERVKMEVLKVLNGGEMPGGWNDTIIVLIPKTEKPERLKDLRPISLCTVLYKLISKVIANRLKLVLPEVISPAQSAFVPGRLITDNVLLAYELTHYLKNKRSGAEGVAAIKLDMSKAYDRVEWGFLHSMMIKMGFRRQWADLVMRCVSSVNYRIKVNGDYTETVTPQRGLRQGDPLSPYLFIICAEGLSAMLQRAERDGKIVGIKVCRAAPSVNHLFFADDSLILMRARNEEAMELKRVPEVYERVLGQMINKDKSSVLFSPNTANTVRDQMKATLSISQEKWGERYLGLPVSIGKSKKKTFAYIKQKIWCRVQGWQEKMLSKAGKEILVKAVAQAIPTYAMSCFDITRTLCDELSAMRPTPFHLAMLARQAWRLLTNPDSLCGKVLKAKYFPNSSILECQAKKGISYSWRSILQGAELVKKGLIWRVGDGSHIKIWTDPWIPRGETRRPATPRGSSLLTRVDELMDPINGGWDEQLVRETFWVEDAETVLSIPIGVDAPDWPAWHFDSKGMFSVKSAYKIAVQARDDEAGRTAEASAGSGTAEGSGMLEGVANGGSPL >Sspon.07G0009040-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7B:23547550:23547783:1 gene:Sspon.07G0009040-2B transcript:Sspon.07G0009040-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEEHVARPRPAEPGASSANKTFPLVISTRTAGRRLAGDADADTDAAGLHLAGDADADTDAAGRPRRPALASELLVPR >Sspon.05G0006290-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:13908217:13909575:1 gene:Sspon.05G0006290-2B transcript:Sspon.05G0006290-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAFTHVPPGFRFHPTDEELVDYYLRKKVALKKIDLDVIKDVDLYKIEPWDLQEQNEWYFFSHKDKKYPTGTRTNRATTAGFWKATGRDKPIYVKNCLVGMRKTLVFYKGRAPNGQKSDWIMHEYRLETNENGIPHEEGWVVCRVFRKRLATVQRMVGDSPYWFNDQAGFMASDLDSSRQAMHHQQSSMMYHRQQSSYSYPCKVELEYHHLLPQEHFLQQLPQLESPKLPDLIGQVDTTLQPCGLTQEHGAPRYTVQELQAEPLYLTVGDASGTDWRALDKFVASQLSNGDTTPKESASYSNPAQMFQQSEEKEEALDYVSMSASCGGDNDLWKL >Sspon.05G0026010-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:29903097:29904164:-1 gene:Sspon.05G0026010-1B transcript:Sspon.05G0026010-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDLVSCFSEHAVRISDVAACSGSGAANAAAAGGAPEASGGGRAPAVSAVTTAYRSRLSASGKELLIDVTWSRAPDGPALSVAVHEAAAAASRHHRASGNGAAAPRHLHKKKGSGTFTAGSCVVGVFWDYAAARYAAAPGAGAGPEPTSGFYVAVVADAEFVLLLGDLSRGYVDRLHGGIPIAASRMARRRERFVGCGCWSTKARFLDSGDEHEIGVVVRDSDAEAWVTVDGRKVVQLRRLRWNFRGSHTIFLDGGAPVDMTWDLHGWLFHAAGAELPHPSSSSSSSSSCAVFTFQARGASETTKLWTDDDCFAADGEEPDTLPVTPSGGRRQKAASGQGFCLLIQGFRGFSKST >Sspon.03G0018320-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:56194609:56195718:1 gene:Sspon.03G0018320-1A transcript:Sspon.03G0018320-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADDDQQPPAQRYWFPYWTAPPQPAPAPAPRPTVRPQLSRRESRPAPQPPSPPPAAATASPSRRPSHPQQAATPGSRGAGGGGGSAPLAQPQPTRLSSRPSPSPSRAPPLSPNREPNAPAPEPAPVLVTRENKPPSPLHPIAAHDVPKQKDITVPQEKIIQEPLVASKRPTKAPEKEKAKEKEKEKEKEKDHKDKKDKEMEKDHKEKKDKDKEKEGSQLHKELKAGVADMVHKLSSTAPSSGGGHERAGSAAAGTTIITLAGENKGASMKVDSSAVADGRGDAAGSKERRGHKLDGSVVVSGKEQAGAGKGLMAFVNSNVQVINNSLMLQSSCNGGDPGVHLKLSTKAKKKDGEEAGGGKSGSAAAPKK >Sspon.05G0027140-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:41688020:41693057:-1 gene:Sspon.05G0027140-2C transcript:Sspon.05G0027140-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSQLHSTLLLLLLPLFAAAAWGRCGGGGASTAPSLEFHHRFSAPLRRWAEARGRALPGGWPAPGSAEYVAALAGHDRHRAVLGAGGGSSGTPPLTFAEGNATLKVSTLGFLHYALVTVGTPGQTFMVALDTGSDLFWLPCQCDGCTPPATAASGSATFYIPAMSSTSKAVPCNSNFCDLQKECSTALQCPYKMVYVSAGTSSSGFLVEDVLYLSTENAHPQILKAQIMLGCGQTQTGSFLDAAAPNGLFGLGIDEFRILAQKGLTSNSFSMCFGRDGIGRISFGDQGSSDQEETPLDINQQHPTYAITISGITIGNKPTDLDFITIFDTGTSFTYLADPAYTYITQSFHAQVQANRHAADSRIPFEYCYDLSSSEARFPIPDIILRTVTGSLFPVIDPGQVISIQEHEYVYCLAIVKSMKLNIIGQNFMTGLRVVFDRERKILGWKKFNCYDTDSSNPLSINSRNSSGFSSSTTENYSPQETRNPGGVSQLRPQNNSSSAALYDSLLMMLILSETSKTGHR >Sspon.04G0026390-2P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4B:60003338:60004483:1 gene:Sspon.04G0026390-2P transcript:Sspon.04G0026390-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPHVVVVPYPCAGNVNPALQIAKLLHHDGVYVTFINSEHNHRRVQATEGPGAVRGRDGFRFEAIPDGLSDADRGKQDYGRGLEMAVSTSWAAPFRDVITRLTCTPGVPPVTCVLTTMLMSFALGVARELRIPTMALWTGSAASLMTHMRLRELRERGYVPLKELVDERLPREHRATVIDWIPGMPPIRLGDFSSFLRTTDPDDFSLRFNESEANSCTNAGALILNTFEGLEADVLAALRAEYPRVYTVGPLGSLLNGAAADSAGGGLSLWKQDAECLAWLDTQEQGSVVYVNFGSHTVLTPEQLAEFAWGLAATGHPFLWAIRDNLIRGGGGGRLALEALPQASRLRRQG >Sspon.07G0024360-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:22010366:22011135:1 gene:Sspon.07G0024360-3D transcript:Sspon.07G0024360-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRKSPRSLLLCCVAAYFVPSPVPAPAPAGSSAINSSAAPRPAKPNAFPFPFPVYGVTPGSLQPQDVAVLRACVFATECGGRCAARCSATAYRKPCLFFCRKCCAACLCVPAGTYGNKNTCPCYNNWKTKRGGPKCP >Sspon.03G0000330-1P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8C:11261625:11264103:1 gene:Sspon.03G0000330-1P transcript:Sspon.03G0000330-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RLPSDLDEDSLDAFGLDYVTGNPTFCTQVPAISGIPQPNDEHVNQRILHVVSEQVLPTVSEIRLSFEQARIEDGNEGSSANPVAVDNESNGPENEVAEENEEVWSTPPMPHNGMSFASLDEAKGYYNSYAKRSGFSVRTNTSYRSAITREIQKVQFVCNKEGFGRKRRVAAQLVDAITCYSDNDEAEEEDSVQEEEDDQGEKRKKLDGCKKRKREKMVYTNCKARMVVKMIGSRWQVIYFLAEHNHDLVVKPSLKKFLRSHRGIPKPEKDFIILLHGCNLSTGRIMQLMSEFYGSAQLVPYEGKQVSNFRSTIHKTEKFKDMQETLDYFRALKEEDPDFFYKIKLDDNHRVENLFWVDSAARRAYKEAYSDCISFDATYMTNIYEMPFTPFIGINRHCQTFQLGCAFIRNEKTTTYEWLFVTFLEAMDGKAPLNIITDQDAAMRAAICTVFPNTTHRNCRWHIMDKFSGTIGPILAKNEELNEEFVDCLNHTVSPEDFESRWAAMVEKHGLQGNEHFQHLYHIRQSFIPAYYMHSFFPFLQSTQRSEGFNALLKKYVNPNLSVLQFVRQYQKIQEKCLVAQDGQDFRTDENERRRWSRHPLEKHASTVYTKNMFYKFSKEFEKTAEYDVRPVGQFQYWVEPNNSFVYGYGKRNYLVTAIEEEESYCCECSKFDRDGIICCHIMRVMVRMGVKLIPESYILKRWTQQAITSDTDQVQNVYAPVELVARGMPLTGQKTLRFTNASTAFAALAVEGCTSDENYDVLEKHIKEMRSEFEEIKKRKMANRQNNGATKGGATEGAQDPGATLVLQNTGPGASTSTGPSLRTT >Sspon.01G0039460-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:31157466:31165555:1 gene:Sspon.01G0039460-2D transcript:Sspon.01G0039460-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPEAEAESPVVIHAWSAPRSLSTGLMYSFAQRDDMEVLDEPLYANFLRATGVDRPYRQELLSKMDPDGNKVVKEVIFGPGDKKYRYCKHISKQRLPNLSSDLMKKGKHFILIRNPLNILPSFDKVVPPSFMELGIGELVSIYSELCGFGSPPPVIDADDLQQDPEAVLSGLCEDLGIPFQPQMLKWEAGPKEFDGIWAPWWYGSVHKSSGFSKPRQYPLTFPFAFYDLLEQSLPFYNMLKRQIRKTRGPRQPPLPDPPLPVPANKNILVWVGDELLPRDSAKVSVFDSVVQGGDAVWEGLRIYDGKVFKLDEHLDRLFDSAKAMAFRNVPTRDWIKDAIFKTLIANGMFDNAHIRLTLTRGKKVTSGMSPGFNLYGCALIVLAEWKPPVYDNSHGIKLVTATTRRNSPNSIDSKIHHNNLINNILAKIEGNLAQAEDAIMLDKDGFVSETNATNIFMVKKGIVLTPHADYCLPGITRATVMDLVVKENFVLHERRISLSEFHAADEVWTTGTMGEITPVVMIDGREIGDGEIGPVTRQIQNAYKVLTTGQGVPIPRIAEV >Sspon.03G0012820-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:52342770:52343711:1 gene:Sspon.03G0012820-2C transcript:Sspon.03G0012820-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDRAYAPAAKPVPVRATNGTANGGGAGGPPRPAPPSMLPGGRVPPPPMYRPKPAQSRPPARRPRRSARGWCCACCLWLTLVLVGLVFLGAIAAGVFYVVYRPRPPSFAVTSLRLAALNVSDSDALTSRIEFTVTARNPNDKIAFRYGDIAASLASEGADVGDGVVPGFLHPAGNTTVIRAEASTAASTIDPVQAAALRSRKSHVMAAQMDAKVGFQIGRFKSKSINVRVNCAGVSVGLAKPAPAAAPAPAPDAEPTVVVAAAPAPTRGRARGRSPRSVVRTLTSSSSGGGGGKMTPTDAKCKVRIKIWIWSF >Sspon.01G0008940-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:27413134:27417453:-1 gene:Sspon.01G0008940-3D transcript:Sspon.01G0008940-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABC transporter I family member 6, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G10670) UniProtKB/Swiss-Prot;Acc:Q9CAF5] MAPPLAAVSSSSPLFSPSSSRPLRRRHAPPPSISFQTRGRSPAAAAAAAAESSGSPLLEVRGLTASVKETGQQILAGVDLTIREGEIHAIMGRNGSGKSTLTKVLVGHPHYEVTGGTIFFKGQDLVDMEPEDRSLAGLFMSFQAPIEIPGVSNFDFLLMAVNARREKSGLPALGPLEFYSVVSPKVDALKMDPKFLDRNVNEGFSGGERKRNEILQLSVIGADLALLDEIDSGLDVDALEDVANAVNGLLTPQNSVLMITHYQRLLDLIKPSYVHIMENGKIIKTGDSSIATQINEGGFKSIALV >Sspon.05G0016390-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:66885932:66891274:-1 gene:Sspon.05G0016390-1A transcript:Sspon.05G0016390-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MISRRSLYQSHISPSPTRSEYNLAFDWMLLGKKQDNHFRILHKDNQASNHAHLKRLRLMLDLLELPSDPCHQAPDVQIHY >Sspon.06G0029530-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6D:23505902:23512613:1 gene:Sspon.06G0029530-2D transcript:Sspon.06G0029530-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGLGKTTVVRSVYQSQRLGGWKCAWVTALRPFNCEAILRNLLLQLQKDIQQDPAAASAKKQEKEAITAMGREELIAKLAHLVTQECLIVVDDLSSTEEWDSIKESLAKAGRVIVTTRERMVAMYCSSEAKYLYSLQRLDDTAAFDLFQKKVFKDNSEKVDLRPDMVEQARLILKKCDGLPLAISTIGGFLATKPKTATGWRRINDRISAELEISPELRTIKTVLVRSYDGLPYHLKACFLYLSIFPEDHEIRRKPLIGRWVAEGYAREMPGMTAEEVGDKYFEDLMDRSMILPGEVNIYSGKIGYCQLHDIMRQICISKAREENLSFTLEGECGLNRSNTQGAVRHLAISSSWTRDKDVFDRTLDLSHVRSLTVFGKWISFFISKNMRFLRVLDLEGREEIKDHHLDEIGELIHLKYISLRECMGVQSLPNSLGNLRNLQTLDVRWTAIENLPPCITNLQKLRFLHTEALYGGAFIPRGIGKLNALCTLASVRVLEKGKDTLKELSQLYQLRKLGIESITGTNNKEFWSAIASLNHLLSLSVNWDSDGSLELDSSLGGSLLPPRSIESLKLSSRVVRLTEWIHHLQNLSRLHLWCTELQQDAIEAVGELPHLAVLVMRYNSFKGEELVFKQLASFPSLVLLDLDWIGDVLAFVKFEDGTMPKLELLRIYWWKKLQELSGLRNLANHKEIRLKGFGGCEQFKDNVQEQLQAAVAGNPNSN >Sspon.01G0027120-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1A:95523887:95524198:-1 gene:Sspon.01G0027120-1A transcript:Sspon.01G0027120-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding STKTEDGNYKATATQEPTDCHSATGAHTNLQAGRGTPHEEDGHPATTAPLSSAFKQTYDALFVENLTSSNVAALDELFPVTNYRADRRALFSDAEGGPCSQLR >Sspon.02G0020870-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:67950520:67951383:1 gene:Sspon.02G0020870-1A transcript:Sspon.02G0020870-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSRDVVGRRRRGGGVNRTGPRGGGVEHTALAASRRRSGGQAPLRHRGGRVANAGALAAEGSASFGGALRGRRACGSWEVMLTAEWSRGVERVAHLSAQIGVTESYKGGYKNLWRRKHHNLIKCLDIRLKTVVLNNYRGIKSQANFATFFVLNAKMLESMRFECQRDSVTDGFLAEQHQLLQLEKRASRCARFYFTTESCRHEFLHINHVHDLSISDPFECVEAGVGACLSFE >Sspon.01G0025630-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1A:90139782:90140618:-1 gene:Sspon.01G0025630-1A transcript:Sspon.01G0025630-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEPASRRGDDTHMKLLCSQGGRFQPCGPDGEPRYVGGETRVLVVSRAVSFRDLAARLAEMAGGGSAAEVRAISIRHRLADEGLEDVLVTVTCDQEVAHMRDEYDRLRATRPAARFRVFVTTTTSSSSAGPKRATTAGLPPLAPQSSMRRVQSEQAIAALAQHQHLPTTRRVHSAQELAGGVHMQPSFHHHHRHQLCCCCCSCQRRDRYTPAPPPARPTYALPYMSKKVAAPPPVPAAEAAGRVAAVSTEAAAAREKATSRDVEEAVESRRAIWELE >Sspon.05G0026400-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:19272646:19274832:1 gene:Sspon.05G0026400-3D transcript:Sspon.05G0026400-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSFRLSLLPILLLAVVAAVSGDELRTFIVHVHPHESHVFGTTDDRTTWYKTFLPEDERLVHSYHHVASGFAARLTEQELNALSAMPGFVTALPNQVYKLLTTHTPQFLGLELPQSGRNYTSEFGEGVIIGMLDSGVYPFHPSFSGDGMPPPPAKWKGRCDFNASACNNKLIGARSFESDPSPLDQDGHGTHTSSTAAGAVVPGAQVLGQATGTASGMAPRAHVAMYKVCGHECTSADILAGIDAAVGDGCDVISMSLGGQTLPFYDDSIAIGTFAAVEKGVFVSLAAGNDGPGDSTLSNDAPWMLTVAAGTMDRLIAAQVRLGNGSTFDGESLFQPNISTTVTYPLVYAGAISTPDANFCFNGSLDGFDVKGKIVLCDRGIVDRLDKGADVKRAGGFGMIMANEFKDGYSTNAEAHVLPASHVSYAAGVAIKEYINSTANPVAQIIFKGTVLGTSPAPAITSFSSRGPSIQNPGILKPDITGPGMTVLAAWPFRVGPPSLEPTFNLESGTSMSTPHLSGIAALIKSKYPDWSPAAIKSAIMTTADPDDRSGKPIVDEQYVPANLFATGAGQVNPDKALDPGLVYDIAPAEYIGFLCSMYTSKEVSVIARRSIDCSTTTVIPDRMLNYPSITVTLPSTTNPTAPVVVSRTVKNVGEAPAVYYPHVDLPASVQVQVTPSSLQFTEVNQAQNFTVSVWWGQSADAKIVEGSLRWVSDKHTVRSPVSISFA >Sspon.01G0013700-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:37749238:37751463:1 gene:Sspon.01G0013700-1A transcript:Sspon.01G0013700-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGVEPETPPGSSSRSGGSTPVGGKPPRHHLTSIRHCASSARIAAASAEFGLDSGTLSLISPTADIRPGFLPVFRSGSFADIGPKSYMEDEHVCVDNLVEHLGLRGPGIPAPGAFYGVFDGHGGSDAACFVRKNILKFITEDCHFPNSIEKAIRSAFVKADHAIADSQSLDRNSGTTALTVLISGRTLLVANAGDCRAVLGKRGRAVELSRDHKPSCTVERLRIENLGGTVFDGYLNGQLAVARAIGDWHMKGSKGSACPLTPEPEFREVRLTEEDEFLIIGCDGLWDVMSSQFAVSMVRKELMEHNDPQRCSRELVQEALRRDCCDNLTVVVVCFSADPPPQIEVPRFRVRRSISMEGLHTLKGALDSNV >Sspon.02G0032280-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:119766322:119769333:1 gene:Sspon.02G0032280-1A transcript:Sspon.02G0032280-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AMPPACPLLLSLFLAAAIITCATAATSSSSQTDALLAFKAALTVPPEAAPIFATWDATAASAPCSFTGVKCTGGNVTALSLPALKLSAATVPFADLCTSLPSLATLSLPANSLAGGIDEVVACTALQDLNLAFNSFTGAVPDLSPLSELRRLNVSSNCFDGAFPWRSLAATPGLTVLALGDNPFLAPTAPFPAEVTRLTNLTVLYMSAVKLGGAIPPEIGDLINLEDLELSDNDLTGEIPTEIARLTNLTQLELYNNSLRGPLPAGFGNLTKLQYFDASQNNLTGTLAELRHLTRLVSLQLFFNGFTGEVPPEFGDFKELVNLSLYNNSLTGELPPSLGSWSPFNFIDVSTNALSGPIPPDMCKQGTMLKLLMLENNFSGGIPETYASCKTLLRFRVSKNNLSGEVPEGLWALPNVNVMDLAGNQFSGSIGDGIGNATAMTILYLAGNQFTGAIPPSIGNAECLETMDLSSNQLSGEIPDSIGRLSRLGSLDIGRNAIGGPIPASLGSCSALSTVNFTGNKLAGAIPAELGNLQRLNSLDVSRNDLSGAVPASLAALKLSSLNMSDNHLSGPVPDALAISAYGESFVGNPGLCATNGAGFLRRCGPSSGSRSANAARLAVMCILAITAVLLAVLGVAIYLQKRRRAAEAAGGLGPAGKLFAKKGSWDLKSFRILAFDEREIIDGVRDENLIGSGGSGNVYRVKLGNGAVVEYAYTWKVTEKSDVYSFGVVLLELVTGQPAVRQAEGGESRDLVDWVSRRLESREKVMSLVDPAIVEGWAREEAVRVLRVAVLCTSRTPSMRPSMRSVVQMLEDAAAAREDDDAKVLEVK >Sspon.04G0014930-4P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:22491642:22495928:-1 gene:Sspon.04G0014930-4P transcript:Sspon.04G0014930-4P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVLHTGAGNKNAFKALIAAEYSGVKVEVTKNFEMGVSNKTPEFLKMNPLGKVPVLETPDGPVFESNAIARYVARLKDDNPLLGSSRIEQAHVEQWVDFAATEVDPGVAWYLYPRLGYIPYAHTTEETAIASLKRSLGALNTHLASNTYLVGHSVTLADIVLTCNLYHGIARILTKSFTSDFPHVERYFWTMVNQPNFKKVIGEVKQAESVPPVQKKAAPPKEPKAKDVKKEAPKEAPKPKVVEAPAEEEAPKPKPKNPLDLLPPSKMVLDDWKRLYSNTKTNFREVAIKGFWDMYDPEGYSLWFCDYKYNDENTVSFVTLNKVGGFLQRMDLARKYAFGKMLVIGSEPPFKLKGLWLFRGQEVPKFVMDEVYDMELYEWTKVDISDEAQKERVNAMIEDQEPFEGEALLDAKCFK >Sspon.04G0004720-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:13838846:13842119:-1 gene:Sspon.04G0004720-1A transcript:Sspon.04G0004720-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRERRQEAACTELRPCAKKLVELVRQAARTELHERPATRVVAEFTAIVKVCPELFVMVEGWVFSALLVAFLAFATPCESFYLPGSYMHTYQKGEVIGAKVNSLTSIETELPFSYYSLPYCHPKDGVKKSAENLGELLMGDQIDNSPYLFHVNVNESLYLCTTNPLDEADVKLLKQRSRDLYQVNMILDNLPVRRFTEQNGMTIQWTGYPVGYIPEGTSDVYIINHLKFKVLVHKYEGGKVKVVGTGEGMEFISEADSDANSGFEIVGFEVVPCSVKRDPEAMSKFNMYDKVDPVNCPVELEKSQLVREKEKITFTYEVEFVNSDIRWPSRWDAYLKMEGSKIHWFSIMNSLMVILFLAGIVFVIFLRTVRRDLTRYEELDKEAQAQMNEELSGWKLVVGDVFREPTSSKLLCVMIGDGVQILGMAIVTIFFAAFGFMSPASRGMLLTGMIVLYMLLGIVAGYAAVRLWRTLKGTSEGWRSVSWSTACFFPGIVFIVLTVLNFMLWTRNSTGALPISLFFGLLSLWFCVSVPLTLLGGFFGTRAEPIEFPVRTNQIPREIPTKKYSLLFILGAGTLPFGTLFIELFFILSSIWLGRFYYVFGFLLVVLLLLIVVCAEVSVVLTYMHLCAEDWRWWWKAFFASGTVALYVFLYSINYLVFDLRSLSGPVSAMLYIGYSFIVSLAIMLATGTVGFLTSFSFVHYLFSSVKID >Sspon.07G0033700-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:62911584:62915457:1 gene:Sspon.07G0033700-1C transcript:Sspon.07G0033700-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKELHMIVGSVDDELSDLENHHHRAVPPLLMEDLRELAYGIEDCMDRVLYQAIRKQHSSLLGRGTRFPKTLLTDRWLATEMQRLKKLAKEAHERKLRFTSSASGQQASSVVAEMAEESSSCPVFDPSTVDPDLVGVNGPMADLLEQLAEAEGGAKKHKVVAIVGFSGMGKTALAAKVYNSQVGTGSSFKKHAWVSAADKRPAEVLTQLLRKITASSSQDMLDLHQITMGAGSSQGTTSEYLIVIDDIRTEDLWTKIKSAFPVDDGISSRIVITTTLQRVANDCTSIKGCVLRMSRLDEECSKKLFCMKASPTKEFLSYNHPDSETILKKCRGQPLALVTVAKFLRTNGWPTQSECKNLCRQLNYHLENDSAFESMRRVLIRNYTSLRGHVLKSCLLYFAMFPSDYQIRRESLLRQWLAEGFVELHSDSNHSTPDPATAFHVLMDRNIIEPTYVSNNERVKTCRTYGLMHEFILHMSINQNFSTLLCGEMTEQKYVRRISLHKNTAKDGHNLETEVLSLVRSLSIFGEASDTILDFSKYHLLRVLDLEKCDNLENDHLNNICSLLLLKYLSLGKNISILPKDITKLRVLETLDLRGSAINILPENVLRMPCLIHLFGRFKLPDELKPKSQDFLQQKKSKLQTLAGFIADGKQDYLNLMGCMEKLKKVKVHCKPLEGAATTNWTSLRKAINQFIQDKKQVKTGVRSLSLHFSECFGDSINSLEGPCYLSSLKLHGKLHALPQFVTSLRGLEELCLQSDKLERGLLEALTELGSLKYLKLVAKDLDNFVIKDGAFPLLLRLCFVLERPTFPRIQNGSMPLLVTLQLLCKDMDGLCGIEMEYLTTELKEIILDHGVNRQIRGKWEEAAKKHPNKPKVFSRITSLSGATGNEESGTIDHGVNLELSRTESLENYLDVVPEQSINGTIDHGVAPEESTSRTSVKMLIVNTNNILELGGLLQ >Sspon.06G0011670-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:46289985:46291525:-1 gene:Sspon.06G0011670-4D transcript:Sspon.06G0011670-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCLDTTPAAAAFADINGSGGFQPLNADDVRSYLHKSVDFIYDYYKSVESLPVLPGVEPGYLRRLLQSVPPTSSAPFDIALKEVRDAVVPGMTHWASPNFFAFFPSTNSAAAIAGELVASAMNTVGFTWQASPAATEMEVLALDWLAQLLRLPPTFMNRTAAAGRGSGGGVILGTTSEAMLVTLVSARDAALCRAGSVGVAGITRLVVYAADQTHSTFFKACRLAGFDPANIRSIPTGPETDYALDPAKLLEIMQADVDAGLVPTYICATVGTTSTNAVDPVGAIADVAAMFSAWVHIDAAYAGSAYSLETNPEYLKNDASESGNVTDLKDMQVGVGRRFRGLKLWMVMRTYGSAKLQEHIRSDVAMAKMFEDAVRADDRFEVVVPRNFALVCFRIRPQGAMTEEDAEEVNRELMERLNRTGKAYLAHTAVGGKFVLRFAVGSSLQEERHVRSAWELIKKTTTEIMEEE >Sspon.08G0005420-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:16502057:16505412:1 gene:Sspon.08G0005420-1A transcript:Sspon.08G0005420-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIEDGSSNGNQQPVHKEIRDETTPLLPVKVEEDEGFHEFNGASFSGAVFNLSTTIVGAGIMALPASIKMLGIIPGILLIIIVALLTEASIDMLVRCSHQGKITSYGWLMGEVFGQWGRIALQGSVIINNVGVLIVYMIIIGDVLSGTTSGDVHHRGILEGWFGAHLWNSRPIVLLATALLVFAPLVSFKRLDSLRYTSALSVALAVVFVVITAGIAIIKLFNGTVAMPKLFPELDGLNSIWNLFTAVPVLVTAYICHYNVHSIDNELEDRTQIKPIVRTSLFLCSSVYITTSFFAYLLFGEGTLDDVLANFDANLGIPFSSVFDDIVRVSYAAHVMLVFPIVFFALRLNLDGLLFPTSRHISRDNKRFAIITISLLTVIYLAAILIPSIWDAFQFTGATAAVLIGFIFPAMVILRDSYGIATKRDKILAVTMIVLAVLSNSVALYSDAMNIFCKKEVA >Sspon.01G0054310-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:64802562:64804699:1 gene:Sspon.01G0054310-2D transcript:Sspon.01G0054310-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:1,2-dihydroxy-3-keto-5-methylthiopentene dioxygenase 4 [Source:Projected from Arabidopsis thaliana (AT5G43850) UniProtKB/Swiss-Prot;Acc:Q8H185] MAPQAWVVAENGEDLQRPREFLPLSKLEDVGVLYWHLDPKKSESEEELAKIRKDRGYSYMDLIEICPDKLENYEEKVKNFFREHMHPDEEIRYCLEGSGYFDVRDKNDKWIRIWIREGDMIILPAGIYHRLFIGEPVWTALNRPQEDHPARQEYVKNVSAGTGFALAAH >Sspon.03G0029740-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:40176650:40180192:-1 gene:Sspon.03G0029740-2C transcript:Sspon.03G0029740-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADCMQEWPEPIVRVQALAESGLSVIPRCYVKPPCDRPAVAPAPAAVQETNETSDISIPVVDLGELLLAGGGGAVGVGGLDNAVVTEAVAAACREWGFFQVVNHGVRPELMRAAREAWRGFFRRPLAEKQRYANSPRTYEGYGSRLGVQKGAVLDWGDYFFLHLAPDAARSTAKFWPANPSNCKEVSEEYGREVVRLCELLMRVLSASLGLNEAHFQRAFGGADCGATLRANYYPRCPQPDLTLGLSAHSDPGALTVLLADEHVRGLQVRRGDGEWVTVQPVRDAFIVNVGDQVQILSNSVYKSVEHRVVVNAEEERISLALFYNPKGDVPISPAPELVTAGNLPALYPPMTFDEYRLYVRNKGARGKAQIEALKGQASPEN >Sspon.01G0046190-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:96635540:96636316:-1 gene:Sspon.01G0046190-1B transcript:Sspon.01G0046190-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVQNPPVKGGFEPPVMSFSAVVSLCTKRDTMCLSASAATSHLRWCAMASASSSMARGLHRPDDKVQRVWAVIAHDGYGYCEASCMGVDSTQRAGVEVRGPRVDDAAGTRRGEADGLDLAPTLDEQRGLGPRGGSSDAAAAMLAAVEEASGTAPGRRRRATDASSNSSGWTSATAAAQRSRNWRRRLGLGPLEKEEGREGRQGVWGWGCYGCSCASSPLEKMGEIVGL >Sspon.03G0037110-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:96911154:96915467:-1 gene:Sspon.03G0037110-1B transcript:Sspon.03G0037110-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRPAVLWAAALAVVLLAACTAAAAAAAVTISRKQHHRGGASASAAAGSCAPFAASGAWVVDESYPLYDSARCPFIRDEFACARFGRPDTMYLKYRWQLDAPCAQPRFDGAALLRMWGGKTVMFVGDSLALNQYESLLCMLHAAAPGARTTLSPASGKIDPSSTVRFEDYNVTVVYYLTHYLVDLVTEASGRRVLKLDTIDQARDWLAADVLVFDSWHWWPRTGPTQPWDYIQVGNTVVKDMDRTQAFTKALHTWARWVDANLVHTDTKVFFQGISPSHYRGQDWGASPKKTCMGETRPLNGTGPYPGGPIPQQAILRGVLAEMAKPVYLLDFTYLSQLRKDAHPTKYDGGIFGGDCTHWCVAGLPDTWNVLFYAALTGQD >Sspon.03G0004910-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3B:82044124:82044630:1 gene:Sspon.03G0004910-2B transcript:Sspon.03G0004910-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQCTKGHVSCSWCCTEGYEDDEVECLMCREPETATRCRTVEHILAGLHVPWPFRQHGCAEMIPYASEQAHKASCAHVPRHCPISGCSGYAGKPLREHVRQDHPGVVHTVVPPRCLTPLRMRTHEQARMVRLGDGGAEFLIVVGQYKQLGRAIGGAPRGQVRGQAGFQA >Sspon.06G0000860-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:3026794:3027523:-1 gene:Sspon.06G0000860-1A transcript:Sspon.06G0000860-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPAKNEAHVVEIPVAVDGGESSGGEEAFLDKSAAAAAAGRGPPARGDRGERGAPAAAQAREEERLGRRACALEARMDAARRDAFYLCAAFLAFHGLSLALLFAASVAASASSAAASPSPSAACRRWWAPSSLSLAASLALAAAVQLRVCAYWRAAARLRRDRGDARALARAVQELRMKGAAFDLSKEPQYGVTRAKCASVEGAGAWAPLRWCRQNVVTLCLLAVAAAALPSGKFILCT >Sspon.01G0008320-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:22487420:22490985:1 gene:Sspon.01G0008320-1P transcript:Sspon.01G0008320-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRLLAILFAAAALAVATTAHEHHGEAPTCAGGSGHVLAEFRPGEVTVDAHSDDWDSVEASEFALLPALDPDEDKAYSGGKVAVKAVHDGVNVFFLLQVDGAYAYTKGESNKCPSVALMFQVGEKATFYNMGGCKDMPDSCTSKSCRGHEVDIMHFSIGNAIPGRLYGGNHIDNAAGNGNDRFGHLVDVYAWNPHCRYLDGVGPKGFVDDDNPYGKQDEKGTYYFEFSRPLRTMDQFQQDAQFTIGHPSNMAVAFWYPTDGKAWSNSDHYSASCNWLVLDIQPSSEAAYYRPAPNRSWDAATAFALLLSVVAICMSIFVGYRFSRNRSTAQFTPLEQIQFAEERH >Sspon.06G0024150-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6C:51259462:51260517:1 gene:Sspon.06G0024150-2C transcript:Sspon.06G0024150-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AILVRLAPADLLRAALACHRWSRAAVRALPRVPPLLGYFFHPKGPAKPPPMPTSDKTHHPAVFVPLDASSPRISLDLTPGAASSLSIQDVHLGLVLLLPHSRPKSLLPRILAIDPASRRRTTRCLASIAGAATGASSVSPSSRARTRAGRPRAWVASVRDGTCASWRALPRAKGVVVDFDPWWFEARCVHAAGNIYWHICNSSRVLQLDPRTLEFSFMPVPAAVGDGFNKYRIGETPEDGRLCMASIVDEESLQIWVRGGEPRWSDRGWWLEREICMTKVLDDVPGLPKDRMMRMLCTWLSHVDYARTGKVFITTWGYGRYSFCLKTGKLERLVMKDGKEHGDPIYAYTLA >Sspon.07G0005090-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:13160132:13161113:1 gene:Sspon.07G0005090-1A transcript:Sspon.07G0005090-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFLSPLGGEDADDFYFGYDAGYHRSGGAGKSAKKEEKKEKSFLSCLPCFIPCSPGASDPTAHRRLLSSDSSDSDNAAAMDIAADLGRLRERYSRLAAAPPVRPRDVPGLVARPDDPPLAVSALSWLGGDLRPSCMLLALLPALFPSLPAHARHALSAAARRLSAREAALDGEVAEYQSTYAMKLACEKTKDRVAETAAEEMCKMARAARRADKLRWRAVEAAAREVLAPAQAKEFLKAVEDVSGSAARRPVARARRDAHGARRGVRARAHQRQSGCGRCLV >Sspon.02G0014300-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2A:37955526:37956850:1 gene:Sspon.02G0014300-1A transcript:Sspon.02G0014300-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AVDLLENYNVQAIVGPQKSSEAIFVSDIGNKSHVPVISFTATSPTLSSGNVPYFLRATLSDADQVNCIASLIKAYGWKEVVPIYEDTDYGRGIIPYLADALQEFGGFIPYRSPISESANSDQVEQELYKLMTMQTRVYIVHMSSAIASILFMKAKELGMMSDTYAWILTDGIANIASSLSPSILEAMNGALGVKFYVPKSKELDNFTARWNKRFKQDNPNVAVAPSQLSIFGLWSYDTIWALAQAAENVGMGNAVFQKQWHRKNITCFGGLGISTIGRNLLDAILHTKFRGLSGDFDLKNRQLQPSTFQIINVVGGAPQEIGFWTAKHGIIRQLDQNKLETTNVNSMPDLNQVIWPGESYAVPKGWQIPTDGKKLRVGVRTSGYPEFMKVERDPTTNATTATGYAIDVFEEVLKRLPYAIPYEYVSFGTADSGNYNDFVYQ >Sspon.01G0028010-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:98119721:98121064:1 gene:Sspon.01G0028010-1A transcript:Sspon.01G0028010-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGASGGLLRATAKAFQTDRNLPSSSTSGNTSPYRIPSLKFPFLWEAKKPLHLHRISRSAEQRAALITLGAARPSITPEKRQGVFLSESEVKSIDLLLPLAYEITRRMILRQFGAAQLALARQCCPKIIERIIHQVIINCQSFTLIGVAGSLVGSVPLFAEGCAVVMKSFFMRFHAMSHTVDQGEIIRLLIEALDMFLIGTALLTFGMGLYTMFYGSQSIQKQARHVDTSRLGAFNLKKLKEGARIRSVTQAKTRIGHAILLLLQVGVLEKFQSVPLVTGLDMAYFGGAVLASSASVFLLSKLNMSQQQLKQSFT >Sspon.01G0010550-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:31811227:31813012:1 gene:Sspon.01G0010550-3D transcript:Sspon.01G0010550-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding TGSTARAGGSSSPTGNASTWAGTGRTGPRRTTATGTRRGALGRSALLAACWLDAAGHWCSTKRRAAGRRRRTRPVCRLQRRSRRRRRGTPGARRRPKLKTVSRRSGLCTSTRASTPRRSSKLYAAAMPARSTSWSCARSRRRSTARRVVRGRRAPRGRRKRKAWNWKTCLPPPPEMSSRAIVPPLGGGSIADHHMLENRIANVMPAVEAHNFTGFFTGLSNSNYGHLSTRMDHPGPSSVSFPSQQSHGAGSRAVMQGPLLNNQVNLDHQNSAAASMVGYDQRNGVGLPKIV >Sspon.03G0037470-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:99221001:99223110:1 gene:Sspon.03G0037470-1B transcript:Sspon.03G0037470-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:4-coumarate--CoA ligase-like 1 [Source:Projected from Arabidopsis thaliana (AT1G62940) UniProtKB/Swiss-Prot;Acc:Q9LQ12] MDFKAHSDAYGDWCCNSRAKLCELKGHEHDPGKLCELTCTPPVLTPRKNCVVAANVPSPSSRGHFLPTPVVSSNANAAPFLLLPTKQQQQLRMGDAAIATVHLHDAEEEQEHIFRSRFPPVAVPDDVTVPEFVLAGAEAYADKTALVEAAPGGQSYTYGELARDVARFARALRSVGIRKGHVVVVALPNLAVYPVVSLGIMSAGAVFSGVNPRAVAAEIKKQVEDSEARLVVADAVAYDKVKDAGVPVIGIGDAARLPGAISWDELLAAADRAGAPAVALDPAQQSDLCALPYSSGTTGVSKGVMLSHRNLVSSLCSSMFAVGNELVGQVVTLGLMPFFHIYGITGICCATLRHKGTVVVMDRFDLRAFLGALLTHRVMFAPVVPPVMLAMVKSPVADEFDLSGLALRSIMTAAAPLAPDLLAAFERKFPGVQLHHADARRRRRGGGPVQIAKKKSVGFILPNLEVKFVDPDTGRSLPKNTPGEICVRSQAVMQGYYRRKEETERTINAAGWLHTGDVGYIDDDGDVFIVDRIKELIKYKGFQVAPAELEAILLSHPSVEDAAVFGLPDEEAGEIPASCVVRRRGAPENEADMMAYVAGRVASYKKLRMLRFVDAIPKSVSGKILRRQLRDDFIKRANTAAA >Sspon.06G0003790-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:27190202:27192811:-1 gene:Sspon.06G0003790-1P transcript:Sspon.06G0003790-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDGDGKGRVATAPGGGYGYGYGYGGYEGPEDRKWWPWLVPTVIVACIAVFVVEMYENNCPKHGSQLGGCVAGFLRRFSFQPLRENPLLGPSSSTLEKMGALDWNKIVHQNQGWRLISCIWLHAGLIHLVVNMLSLLFIGIRLEQQFGFVRIGAIYLLSGFGGSVLSSLFLRNNYISVGASGALFGLLGSMLSELLMNWTIYSNKATAIITLLFIIALNLAIGILPHVDNFAHIGGFATGFLLGFVLLARPQFSWMERHELPQTNQPPKYKAYQYILWVVALVLLLVGFVISLVMLFKGKNGNDGCHWCHYLNCVPTSKWKCNT >Sspon.04G0023030-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:14121469:14129610:-1 gene:Sspon.04G0023030-2C transcript:Sspon.04G0023030-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATVANRPATRRTKRDHKTYVEQLGVAGEALEWPVHGEAVTVEEEDVLASAEEVRRNWVLQELRAHVAELEARARRRIWAAEGGGRSRRGAEEEGKTTWPTTVAFIEEPRRSGDDTRRKTTRGEGRRRRGRWRSIIIIVVVVVIIVVVVVKVVVMTEPVLFRLLLLFTVAGPMSRDEMLGGGGSALLAEGEVLHGEGPALPPALGGGWRGEDGRLPASGVAGRAPGGRGAGRWLLAWGRRRPPSARWRGLVCSFAVEKRPRAPPRCRSPDQGAATGARPFSFYNKDKPWGACRSLIWGAAALPLLQGGAAAPSTRGDQCHGITIAAPPRGERQSTNAAPSHKVDGHAAAIWTMDDWLLPSLLRPLPCPDSTPLGGRQSGRQVAQLPPPIIFANTAPVYLSCLPRSKLLWLRHKHRAISPSHTHTSPSPSSSILCPHQKQKEGRDLGVRESRRRPLELLRPLLFLSNIQKARFLPTRPLKDELPTFQGGFGGGGKEESHLMGLRKRLSSFSGKIQPISAASAEWAFRRSKSAPSLGAAFAAGGGSLRQWWQWGVGWLLSKKPGFAGDLEMNEEEGRGSWAHILYKMRSGVRRLVMSTHSLPTTHKQSSLPSSASAVHNNVQCKPAAAASTTPATPWRTKARRARLQIQKRRTVRGTRRRRFRFQVREIDGEDVKAVNCVTYDYDSAPRENGWFGSAPMEGRNHGGARETDVNSAAGGGAQNQSPWPIRERPPPYHTARGPLHLHPVQVRWTGSRLVSPPSLGLSSSSGLPFHAHRTPKPREPAARDTHHTRASRQPAVTSDPRAPMAKGGGGQREGSALKTVVIVAGGLALAWVTVETAFRPFMDRLRAA >Sspon.07G0014660-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:51681024:51685771:1 gene:Sspon.07G0014660-2D transcript:Sspon.07G0014660-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA repair (Rad51) family protein [Source:Projected from Arabidopsis thaliana (AT2G28560) TAIR;Acc:AT2G28560] MANMPVSEMRLPPHLVHLLAARRLDTAKDVLSLPEVELVAILDAGLPTARAAVALVSEAACPPCQTVPSHTPVLRLRPCLGFGGALALLEERVRLGGGGRLATTLCGLDEALGGGIPMGKLTEVVGPSGIGKTQFCLKLALLAALPEYYGGLDGRVVYIDTEFKFSSRRMIEIGQKSFPQIFRQEGLAQKMAGRILVTRPTSLADFTKSLEEMKVTLLQHDVKLLIVDSMAALTSLENEKATAGFRQHPLRWTLSFLKSIAEFSRIPVVVTNQVRSQSNDDGYHFSFEVDKKDGNKCAERFDSHLVAALGIQWAHAVTVRLVFESHSGHRFIKVAKSPMSPAVAFPFVVESSGITLLSDESIDVTGPEITSIRCQGQNVLAR >Sspon.02G0021320-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:72870505:72876138:1 gene:Sspon.02G0021320-3C transcript:Sspon.02G0021320-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHGGGRAKVTPNLAVDGEGTRTLNLTVLQRLDPAVEDILITAAHVTLYDFDTDVNQWSRKDVEGSLFVVKRNAQPRFQFIVMNRRNTDNLVEDLLGDFEYQLQAPYIMYRNAAQEVIGIWFYNSQECQEVANLFNRILNAFSKVPPKPKIPSVQCDFEELEAAPALVEGPLEPQTSNSMSTTTHVQEDPLSAFFSAAANAGGNSAVAVARQPIQPFGATPVAAHAATSITTAQSPGLHYLLPSQASTVSGMPADAHGGTCSIGRSTSLVNPSLFSPLTSSQTTMMCSSPAVPTAPPQHPRTTQQPQSAPLLQPFPLPTASPSPYVASPSPLYGTPLLQPFPPPNPSPSLASAPVLSPALSRDKVRDALLRLVENDEFIDLVYREIMNRQ >Sspon.02G0030030-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:109055896:109057770:-1 gene:Sspon.02G0030030-1A transcript:Sspon.02G0030030-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSCCSAFRRAPAPLRSPARRATLRSFAAAAANAAQWNAAIRHHLDSGLPAEAVSAFAAMLRAGARPDAFTLPLLNRAAALLPGRGLAVGAAHSVGLRAGLGGDAYFCNTLLQAYARRGAVARARQLFDEMRSRDVVSWTSLVSAYAGIRDAQAVSRLVSTMRADGCEPSAATLAVLLRACMAERDAAAGRQLHCYAVKSGWSGDVVVLNSILTHLSRITGVDVAVRLFEQSPRSDAVSWNIIISEYSSEGRVSKVVDMYERMRREEVCPSCETLTSVVAAFAKCRHLRQGQKLHSFALKSGLIDTILVASFVDFYVKCGELPSSVQLFEEFRGKSNCIWSAMLAAFIHHGEFLDAIHLFGRMMDSSLVPSADVLRALVICYTELGDLRLGKVVHGYIIRNDYAAESQSCALETSIVKLYARCGNIHLAERCFSSILHKDIVSWSSMIETYTIHGDGRKALALFRQMLGEGARPNGVTFLSLLSACGHSGLVSEARELFDCMTRRFGIAPELGHYTCMVDVLGRSGNLEEAVQVISDMTVKPDGRIWGALLASCKTHSNSKLANLAAQKLMELEPNNVGYHVVFSNVQAGGSRWGEVENIRSSMVDKDMQKSPAWSCVSDIGGV >Sspon.03G0047400-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:59155847:59158940:-1 gene:Sspon.03G0047400-1D transcript:Sspon.03G0047400-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLGDGAGAGEKFREFLLSKDFVGIHTPKLISGSSEGGAAVFKLLYNGQPACLAQSPQLYKQMAICGGFERVFEVGPVFRAENSNTHRHLCEFVGLDAEMEIKEHYFEVCDIIDGLFVSIFKHLTENCKKELETINRQYPFEPLKRYTLIATVVLPVILSCYVYYSELKTCEEIISGAQRIHTPELLAKRATECGIDVSTISAYIESFSYGAPPHGGFGVGLERVVMLFCALNNIRKTSMFPRDPQRLVP >Sspon.08G0019160-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8B:10504020:10506527:1 gene:Sspon.08G0019160-1B transcript:Sspon.08G0019160-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MANKSIREFSAPTTDNIRTGPAGEIDRNFELKPGLINMVQANQFCGKPHEDASAHLQHFLEICSTFTMAEVPRDAILLRLFPFSLLGRAKQWFYATKEKNTTWALCSTNFLAKFFPMGKTNALRGKITSFQQQHDESVPEAWERFQDYILECPHHGMESWLLMQTFYHGLINSARETMDAAAGGAFLSLTIPQATALVEKMASNQGWNEERTQTRKRGGGMHQLKEVDMLSAKLDLLMKKLDDRAGEKKEVMHVYDSHMTCEECGGTGHSGNHCPELLEDVNYINNNNNNYYNRPQQNQGWNQQRPNYSGNYQGNNSFNNNNNYPPLRELVSNQGKLMDNLSKKLASNDKILENINNRMDNFSTAIKNQISFNKMIESQLNQIAAAVPATNPGIPSQPEGLESANLVDMFDAGDYWSNPIVEVSTDRLPVKRGDPGRPVIPISIGMRDFPEALCDFGSSVNIMPRVLYEKLFSQPLLETTMCLQLADRTLSFPRGILKNICVRVGSSYAPADFVVIETGSDERAPVILGRPFLNTAGAVIYASAAKISFYIKGRKETFSFKNKTAQIPEQPQYEPRKRTNRRNKSKKQVWTETAKMVTAVHKGQDRQLKSPFLPKKDDPGMPSIYCSINGSHFYKTLCDTGSGVNIMAKVTYELLFGTMPLNPTYIQLQMADQTFRQVEGTVTDVPVKIDDHFVHTDFQVIDMGEDEYDPPIILGRPFLSTVKAIIYIGTGEVHMHFPSEKVRLYFTDPNYVFEESKQVRTRRRRRNHNQKQQVIKDGWADYEGEVVRSEDIPLNQHCPEETKAPRQVWKEKTVVHEEEAPPEPPTTPSTKSQDD >Sspon.05G0008270-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:27117728:27122024:-1 gene:Sspon.05G0008270-4D transcript:Sspon.05G0008270-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSDEETSRLFRIRRTVYEMLRDRGYTVSEEEINLPRNVFIDRYGNPVRRDDLAMNAMKLNDGSSDQIYVFYPNEAKPGVKTIRSYVEKMKQENVFAGILVVQQALSAFARSAVQEVSQKYHLEVFQEAELLVNIKNHVLVPEHVVLTPADKKTLLERYTVKETQLPRIQITDPIARYYGMKRGQVVKITRASETAGRYITYRYVV >Sspon.06G0001580-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6B:3622828:3623403:-1 gene:Sspon.06G0001580-2B transcript:Sspon.06G0001580-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKCFFPHLNSTENAKISGPFHIGPFQPNSFLKLTSSSSQCSPVQSSLSPLSSPVSHSHSTASPFPNLARRRAPPAASMRAISTAAAAAGGMLRARLRSASRVRGGGEGAGRWTTPGHEERPKGYLFNRPPPPPGESRKWEDWELPCYITSFLTVVILGVGLNAKPDLTIETWAHEKALERLQQQELAAAAA >Sspon.04G0004460-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:13342223:13345537:-1 gene:Sspon.04G0004460-1A transcript:Sspon.04G0004460-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQENLHSRGEHTPSDGGGHAGTDNEVALLWAAIERSPTYSRVRKGILAGDDGHVQQVDVRRLGRQEKKNLVDRLLRTADDEDNSRFLLRIRERMERVGMDNPTIEVRFESLSVEAEAPVGNQSVPTFLNFFSNRIMAVLNAMHIIPTKTRPISILRDISGIIRPCRMTLLLGSPGSGKTSLLLALAGRLESTLKVSGTVTYNGHGMNEFVPQKTSAYIGQDDVHIGEMTVREILAFSARCQGVGTRYDMVAELSRREKEANVIPDPDIDVYMKAISVEGQERVITDYTLKILGLETCADTMVGDTMIRGISGGQKKRLTIGEMLVGPAKAFLMDEISNGLDSTTAYQIINTIRNSIKILGGTALIALLQPPPETYELFDDIVLLSEGQIVYQGPRENILEFFEAVGFKCPERKGVADFLQEVSDPPEFLLLMLGFRVTSRKDQHQYWCQGEKPHQYILVNNFVEAFKAFHVGRKLAEELSVPFDRSRSHPAALATPEYGIRKMELLKACFSREWLLMKRNLLVYILRVVKVIIIGTISMTVFLRTEMHRSTVEDGVIFLGAIFLSIVTHLFNGFSELSMSISRLPVFYKQRDMLLYPAWTYALPTWLVKIPISFIECAVWIGMTYYAIGFDPNVERFFRHYLLVVLISQMASGLFRLTAALGREMVVANTFGAFAQLFMLILGGFLIDRDNIKNWWIWGYWSSPLMYAQNAMAVNEFLGHSWQKVVNITASNNTLGIQVLEARGIFVDLN >Sspon.08G0029950-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8D:53416900:53417466:-1 gene:Sspon.08G0029950-1D transcript:Sspon.08G0029950-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSQQLQLPAPLGSCLGCSPPADFSVWQHFSLSFGSSSPGPTSSKRTTPRHSGSGLPPAAFFHHHHGSAQSSWSTPPSTSQRGAVQAEVQWIAAWAVEREQEVERSAEGADFFRSPPIMQIDWSTGGSTSEVEQRHLAALHPTLMEQSAAKLALTSAASFGNCWLNLLLALGLSYGHLLLALEVVYLR >Sspon.02G0030990-2P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2D:92218726:92218948:1 gene:Sspon.02G0030990-2P transcript:Sspon.02G0030990-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GKLNNGEKVAIKVLSSESRQGTKEFLNELSVISSITHHNLVKLHGCCVDGGQKMLVYNYVENNSLAQTLFGNA >Sspon.02G0048290-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2C:23845121:23848447:1 gene:Sspon.02G0048290-1C transcript:Sspon.02G0048290-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVIKADRIDAAVEQILDELKEDNTVRSISTRNNSVIYFDGWDGLGASTVLRAVSQRLAVASEAPAGLKFDQIIHVDCSKWEGRRVLQRAIAEQLELPAPLMDMLDKQDEEDEFNGVSLESRLEIPQVLRGMHRHIQDLNRRFLVIFHNGSNEEIDLANFGFPLSQYLDNKVLWTFQGRFRLYPKNKVVRAMKSTPGASKTDVFLSARHSLGEREKHELRIILVHQEASEVTAQSEITMNTSNGPAQVGEHFLLHMLKLCCMGHNLLMDYDLATHACNYWICDGIIQRQGDGDDISTDGGDDEGLWRAAAALQQEMRLDVDYYYQYEHTYFPSQLAPYGMCTMPNSTAPYGFLAIPAGAIPNSDMFQDFNKLCVLKLSRRTFSFSSPPFIYCHNLKFLWLDHCQDQDNNSSIDDGFPQEEQEDMITAGGAGTEDDFQRCFQRLWVLDVRYTCCDQILSARMLDFMTQLRELNVMGAQDWDICQLQGRLPNIRKLRVTKSTLNSSEHNLFLEMSKMELLDLSGNRSSQEGVTRLSGISTSSNQLETLMIIDNGWAGIQKLSFTGCAKLRNVLLSGLFEDLHILDLSGTAVKTLDLRAMTAHNIDELFLDYCEELCAILWPPKDKWTSSSWKLHIDTTQSAGWLVAPWEEKSTEGHTTPTATRTSAGSLSVVHGGKVPSEFDWRIMVRDARLLRSLVPLIEYFKYPKVAHVEVSSPALDFGSGKDSEAVSSSIKQQHVLASVEQPEHTSFIYKDVAVTFNKDHESFLQRGSEEGDGDAPTLARLWPCPRIPHLDDLTCYMHIQDHHHAWRTKSVQEGEEETIPRIISDIAGILHVHDSSSITSIPCPAPVPSLPSTWGLLNWCRVERCPNLERVFTRPESDQRRAYDEIHFEHLKTFWASRLLKAQCIWEWVTLVLELHALYFEDTYPLDRLSFQHLTSLHLELCPRLIYVLASKFRPAYNLKSNMYNYTGGLDKLQTLEIVWCGALTEVFRLYVDRPPKTLISVTFNRLKHIHLHELPMLQGICGPWDVYAPRLETIKVRGCWSLRSLPFLRYRHGLMHGGLDEYDHQPKVHCDCEKEWWDQLEWEGHDHRSCYEPTHPRHYKKTLLRGS >Sspon.06G0015070-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:82599590:82602627:1 gene:Sspon.06G0015070-1A transcript:Sspon.06G0015070-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRVTPLLLPGVVAAASSLLLLLLTRVEHPPKTEGSLAILAVGDWGRRGQFNQTLVAQQKLDIDFVISTGDNIYDDGIANTSDPLFKECFTNIYTAQSLQTPWYIVLGNHDYTGNALAQQDPAIRDVDSRYLNLAKSFIVNSEIADFFLVDTSPFYLKYWNSSKYDWRNVAPRDTYIENLLKDLDDALTQSEAPWKIVVGHHPISSGCEHGNTTELQDGGGSKAWAGKFKTTSDKIEFIYDGQGFMSMQLSNTEAHLVFYDVAGNVLHTYDSTKSEEEEEEDDD >Sspon.02G0029370-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:106854172:106867910:-1 gene:Sspon.02G0029370-1A transcript:Sspon.02G0029370-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLVALWAVLAHVLGCADVLHAGHQPLSRIAIERATAALDNSASINAHPTVLGLKFQYANFTNDAYNKSGKGSLRLQLINQRADFAFALFSGGFSAPKLIAVSNSVTFTNPKAPVYPRLAQGKSWNEEAVPFVEWGPKGGDRTLSPAGTLTFGRNSMCGSPARTVGWRDPGYIHTSFLKELWPDALYTYRLGHRLSDGTHIWSKSYSFRASPFPGQESLQRVVLFGDMGKAEIDGSDEYGNYEQASLNTTKQIIKDLENIDIVIHIGDLSYANGYLSQWDQFTEQIEPIASTVPYMIGIGNHERDWPDTGSFYGYNDSGGECGVPTQTMFYVPAENRAKSWYSTDYGMFRFCIANTEEDWRPGTEQYKFIEHCLSSVDRQKQPWLIFLAHRVLGYSSGGWYEIMMGSYGEPMGREGLQDLWQKYKVDLAVFGHIHSYERTCPIYQNRCVQDGSNHYSGRFNATTHVTVGGGGASLSTFRNNVPYWSFFRDSDFGFSKLTAINNSFLLFEYKKSRDGNVYDHFTISRDYRDIMACSIDNCPRSTLAIKKNAECQIHKSATAAPIG >Sspon.01G0063350-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:114873892:114879619:1 gene:Sspon.01G0063350-1D transcript:Sspon.01G0063350-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRPDTPPKTAPTYRNSVSMRASPFAAYLLHNPIPPLRCYLRRGPFASPPPRPLHRPLPRRAAPCPFRAAHGAAPPQRQEGKGARCLSSLVCYGLQSKPEHPVFESAKAWFSCSHSNGPRNISVKLKASRAALKRWNGQFPFTYLGIPLGTTKPLVKDYAPLICRIERKLSANSVFLAYSARPVTVDRTHPVVEGAYWTPTGRWRCGVRSVLQRVRSLFRCALLRLDQRVRSITGPARPVELRASGRCEERVRSVLRESSRCVIDASGQLDQRIRSARLQLFQVTNGYIQRGIELSRDGSRSTRARDPALPPVTWCLATTPALCSLPSTLPSANPSPPSTNRQRDSPAPAPPKLLHPPARPVALHRLTAPPTARAYL >Sspon.02G0018150-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:59422534:59432201:1 gene:Sspon.02G0018150-1T transcript:Sspon.02G0018150-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MERSPADKEEVEAEELLRSEEEEDEEEGEEGWDDWCSDGEDVAGGLLCLFCSSRLDAESSLFEHCAAEHCFDFHRIVKELGLDFYSCIKLINFVRSKVAEKKCWSCGQAFSSNTELCSHLHAVENYQIEGKVPWEDDVYLKPFMEDDSLLHSLSITDDGDEDEDCGMSVERGQCSAGNGVLAEPRGNKLSTISERNARVYIGSTKGEGRESLAHEMNDSHLKVARASVNAKAIKTVDDNYFGSYSSFGIHREMLGDKVRTDAYRDAILGNPSLLSGATVLDVGCGTGILSLFAAKAGASRVVAVDGSAKMASVATQVAKNNGLLYDENVKAEQKQGSAQVISIVHTKAEELNQKIQVPQNGFDVLVSEWMGYCLLYESMLSSVLYARDHFLKPGGAILPDTAMILGAGFGKGGTSLPFWENVYGFDMSCIGKEVTSTSARFPVVDVLVSQDIVTETAVLHSFDLASMKESEMDFTASIELRLSESSATVPGVTWCYGIVLWFDTGFTNRFCKEKPVVLSTSPFSTPTHWSQTIFTFEEPIAMVKEESTLGSSASAGTDECPATMLRSRISIVRASEHRSIDISVETTAISSDGRKRSWPVQIFNL >Sspon.02G0011530-1P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6A:5978852:5981367:-1 gene:Sspon.02G0011530-1P transcript:Sspon.02G0011530-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAATVVGGNGASTAPGMGEPVAKKKRGRPRKYGPDGSMALALVPASAATGSPATGSPATGQGSSGPFSPAGLNPASSLLVVSPDGFKKRGRPKGSTNKPRVGAAGSSGAGFTPHVITVQAGEDVSSKIMSFSQHGTRAVCVLSANGAISNVTLRQTATSGGTVTYEGRFEILSLSGSFLLVENGGQRSRTGGLSVSLAGPDGRLLGGGVAGLLIAASPVQIVLGSFNSGGKKEPKKHAPLEPTSVPLKVAPTTGMGPNSPPSRGTLSESSGGAGSPPPLHQGMAASNNNQPPFLSSMPWK >Sspon.05G0010380-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:29593563:29595443:-1 gene:Sspon.05G0010380-1A transcript:Sspon.05G0010380-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPIWVFGYGSLIWNPGFAYDARLVGFVRDYRRVFYQGSTDHRGTPQFPGRTVTLEHQPGATCWGVAYRIKEEDKEVAMEYLEVREKQYDEKVYLDLYTDSSPKVPAVENVMVYFATANKESNQNYLGPAPLEEMARQIYLAQGPSGPNREYLFKLEDALNKLGVVDQHVQELANAVREYSDTELAK >Sspon.02G0019440-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:63076933:63080669:-1 gene:Sspon.02G0019440-1A transcript:Sspon.02G0019440-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKDDVIESGAGGGEFAAKDYTDPPPAPLIDAAELGSWSLYRAVIAEFIATLLFLYITVATVIGYKHQTDAKASGADAACGGVGVLGIAWAFGGMIFVLVYCTAGISGGHINPAVTFGLFLARKVSLVRALLYIVAQCLGAICGVGLVKAFQSAYFDRYGGGANSLASGYSRGTGLGAEIVGTFVLVYTVFSATDPKRNARDSHVPVLAPLPIGFAVFMVHLATIPITGTGINPARSLGAAVIYNKDKPWDDQWIFWVGPLVGAAIAAFYHQYILRAGAIKALGSFRSNA >Sspon.07G0034850-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:81808413:81808943:-1 gene:Sspon.07G0034850-1C transcript:Sspon.07G0034850-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVDLAGGLLASNKPTAEAHGTKRKEATSASSEAGYLHDHDTKRKEASTSEDDAATLKKKKEGDSLFKEGEMLKPREEFWEAELLRKEGLVPGALNCWLERKTTQVPTVDKKKKKRVVRVIMPDAYIDYIIENPDMMRELSDEQMAKCPEEYRQMYAINKVINAKNLAYQQALID >Sspon.03G0006560-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:25324250:25325748:-1 gene:Sspon.03G0006560-2B transcript:Sspon.03G0006560-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRARASVRLGADRPEDWRTPPAPVRAPGKLKHRKEGHANGRVKEVLGKWSVDRSQLLIGHRFASGAHSRLFHGIYKEQPVAVKFTRQPDDGEDAELAAQLEKQFNTEVTTLSRLNHPNVIKLLGACSSPPAFCVITEFLSGGSLRAFLHKLDHKALPLGKIISISLDIARGMAYIHSQGVVHRDVKPDNIIFDEEFSAKIVDFGIACEEEYCDPLANDTGTFRWMAPEMMKHKAYGRKVDVYSFGLILWEMFSGTIPYEDLNPFQAAFAVFDKNVRPAIPTCCPAPVRVLIEQCWASHPEKRPDFCQIVQILEKFKTVLDRDGTLDNMPGSICQETHDRKNWLAHWVHKLKHSHIMSSVVTSHHSVSSPFQTVLIR >Sspon.06G0012080-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:52458274:52467523:1 gene:Sspon.06G0012080-3C transcript:Sspon.06G0012080-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GGFEDDEPPSKRARASSVESASLPDCFSFSKSANPLGSTMARPLPSQGKEVMVGSKGVIKKEEFVRIITKTLYSLGYEKSGAVLEEESGITLHKPMVNLFREQVIDGNWDNAVVTLNKIGLQDENIVKSAAFLILEQKFFELLRNDNVMGAMKTLRCEITPLGVNRKRVHELSTCMISCSPQQLFLGFSKLGIDSSSSRLKLLEELQKVLPPTVMVPERRLENLVEQALTVQRDACYFHNSVDGLSLYIDHHCGKDQIPSRTLQVVLHAHHDEVWFIQFSNNGKYLASASNDKSAIIWEVDEDGELLLRHTLSGHQKSVMMVAWSPDDCQLLTCGQEETIRRWDVESGKCLHVYEKPVGLISCAWFPDGKQILSGLADENFCIWDLDGKEVDCWKGQRSTKISDFAVAKDGNLIISMSRENTILLFDRETKQERLIEEASTITSFSLSEDGDFLLVNLVSEEIHLWNIRNDPVRVNRYNGHKRNRFVIRSCFGGSEQAFIASGSEDSQVYIWHRATGDLIETLAGHSGTVNCVSWNPTNPHMLASASDDHTVRIWGAKKASLKRKDVGSSNCNSNGFHSNGNAHGNGFVHQCNGNSTK >Sspon.02G0049160-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2C:34429551:34429868:1 gene:Sspon.02G0049160-1C transcript:Sspon.02G0049160-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MERDGASLPYWWGGISEDGSRSLGQADSDGGDNPSDGEGRQKATVLVCWGEAGWEIEMEKVACSACCCLLLLLVVVIGAGRPALASPRPERCMGMAIGCLWIGDE >Sspon.05G0007160-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:16567819:16583719:-1 gene:Sspon.05G0007160-2B transcript:Sspon.05G0007160-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGVAARSRKEMAAGVVIVLSLLLAPELLPRASAVDRSEFPEDFLFGTSTSAYQIEGGYLEGGKGLSNWDVFAHKRGELVKHASTIQDGSNGDTADDHYHRYMEDINLMHSLGVNAYRFSIAWARILPKGRFGEVNPDGVAFYNAIIDALLQKGMRPFVTIYHYDIPHELDKRYGGWLSPEIQKDFGYFADVCFKMFGDRVKFWTTFNEPNLFTKLSYMYGRYAPGRCSKPFGNCAFGNSSAEPYIAGHNIILSHAKAARIYRKKYQGKQGGHIGITVCSRWYEPFQNSSADISAVERDCIFSQCELDPFEGEARVLTSAERDGVLIGKRTGSPMIYTVPYGMEKLVMYYKERYSNTPIYITENGYPQASNGSMIAKDFTNDTGRVDYLQGYLTFLASAIRKGADVRGYFVWSLLDNFEWNSGYTQRFTLYHVDYKTKKRTPKLSAKWYRKVEDGTNGDTADDHYHRYMEDIELMHSLGVNSYRFSIAWARILPKGRFGHVNPHGVAFYNALIDALLQRGIEPFVMISHFDIPYELDKRYGGWLSPEIRRDFGYLADVCFRMFGDRVKFWITFNEPNMFTKLGYIYGRFPPGHCSRPFGNCTFGNSSTEPYIAGHNMILSHANVVNIYKKKYQGKQGGCIGITVQSRWYEPFRNTPTDILAVERALSFGALWFLDPIIRGDYPSAMRKILGPNLPEFTLKQKKILQASKLDFIGLNHYSTSYLKDCISLSSPCELDPFDGDAKISTSAERDGILIGERTGSPYINTVPYGIEKVVMYFKTRYNNTPIYVTENGYSQASNSGMSAKDFTNDTGRVNYLQGYLTSLASAIRKGANVRGYFVWSLLDNFEWNSGYTQRFGLYYVDYNTQKRTPKLSSRWYREFLKGSPLRTRPRNGNSHYSPRKI >Sspon.06G0003690-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6B:9229713:9230375:-1 gene:Sspon.06G0003690-2B transcript:Sspon.06G0003690-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PCF2 [Source: Projected from Oryza sativa (Os08g0544800)] MEAQAQDNRAEDGDGARQHHVAGGVATATAAAAVGMAVGAIPMHGFMVPKPEPVECFGGMAMVRSKPPPRNRDRHTKVEGRGRRIRMPAACAARIFQLTRELGHKSDGETIRWLLQQSEPAIIAATGTGTVPAIATTVDGVLRIPTQSSSSSSPSSLTTVVDGDDAYAAKRRRKLQPTRAAAGASPLATAAPAAAYYPVIADPLLQGTGGAAISVPSGLAP >Sspon.03G0009920-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:41623028:41626883:1 gene:Sspon.03G0009920-3C transcript:Sspon.03G0009920-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMGHCSEQRTGFEVTKDWNGADQVAIRSPRGASVRVCLHGGQVVSWRNHRGEELLFSSSKAIFKPPKVTRGGIPICFPQFGNCGTLEQHGFARNKIWTIDDEAPPLNYGDNNNNKASVDLLLKPSEDDLKCWPHCFELRLRVSLSMDGDLSLISRVRNVNGKPFSFAFAYHTHLSVSDISEVRIEGLETLDYLDNLSQRERFTEQGDAITFESEVDRVYVGCPGVIAVLDHEKKRTFIVRKEGLPDIVVWNPWEKKSKTMTDFGDDEYKQMLCVDAAAIERAITLKPGEEWTGKLELSAVASTNCSDHLDHPGRL >Sspon.01G0034360-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:2681676:2683636:1 gene:Sspon.01G0034360-1B transcript:Sspon.01G0034360-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPNRKRRAAAMDPAAGGAGQQRQNKASAGKKAKKGGGSGSGSGGRWPAVKPKKDLQINRLKGTHLLTIPDFFTSAEAKTFIDVAESMGFTHQGSLGPLKGEAYRDNDRISVTDPLLAQAIWESGINRIFTDISVSGKVATGLNPNIRFYRYTEGQRFGRHIDESVDLGDGSKTYYTLLIYLSGKGSAKDSSAQALVGGETVFYDQRGGVVAEVAPVQGMALLHLHGAKCMLHEARVVKKNAKYVLRSDVVFS >Sspon.02G0002530-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2B:7369757:7371725:-1 gene:Sspon.02G0002530-2B transcript:Sspon.02G0002530-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHSVTASHSTQRSSEAPTVSGRRQAPLVPLQAVLVAADCNPKWLTKHIPTLASTRQVPVLCLKDNKGASLRLGHVVNVRTALAIGVKRFWLCWLIVWSLTLCWRLWA >Sspon.03G0030350-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:17671528:17672289:-1 gene:Sspon.03G0030350-3D transcript:Sspon.03G0030350-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRAELSLSLFDEVVRAVQAQYLTRDPGYLGCKTAACQKRDASGACNVRTCAATVTFHVVNFRTDVEFVLFSGGFRTPCVLRRSGALRFANPASPLYGHLSSTDSTATSMRLTWVSGDRRPQQVQYGVGKSATSQVATFTQNDMCSSPLLPSPAKDFGWHDPGYIHTAVMTGLQPSQSYTYRYG >Sspon.06G0008970-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:41018567:41021708:-1 gene:Sspon.06G0008970-3C transcript:Sspon.06G0008970-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSGHSLSLAVAALVLAVALCTAARQTCAIGVNWGTQLSHQLPASTVVRLLQDNGFDRVKLFDAEDTILGALKGSGIQVMVGIPNDLLADLAAGGKAADNWVAKNVSGHVRDGVDIRYVAVGNEPFLETFNGTYLNTTFPAMQNIQAALMKAGLADKVKVTVPLNADVYQSPTGKPSDGDFRADIHGLMLTIVQFLASTGAPFVANVYPFISLYADPNFPLDYAFFQGSSSPVVDGGVTYQNTFDANHDTLVAALRRNGFGNVSVVVGEVGWPSDGDANANLDYARRFNQGFLTHIASGQGTPLRPGPVDAYLFSLIDEDRKSIQPGNFERHWGIFYYDGTPKYPLSLAGGNGSTLKPARGVKYLEKKWCVLKPSANLADQKVGDSLRVRPRRLHQPRLQDSCAGLDAKGNVSYAYNIYYQTMDQDDRACDFNGLATTTSVDPSAGTCRFIIEIDVGAAAPRSATGVAAAVLTALVLSVLL >Sspon.07G0008290-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:18794038:18795832:1 gene:Sspon.07G0008290-3C transcript:Sspon.07G0008290-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARHPASARPLAIAVLFLCLLSACRAAGSGGGKPSAVVLPVSKDDATQQYVTGFRQRTPLVPVTAVLDLAGATLWVDCDAGSYASSTYSRVPCGSTLCRRLSRSPACATTCSGAPSPSCLNDTCGGFPENTVTRLSTGGNVITDVLALPTTFRPAPGPLATAPAFLFACGSTFLTQGLAAGAAGMASLSRARFALPTQLASTFRFSRKFALCLPPKAAAGVVVFGDAPYAFQPGVVLSDTSLLYTPLLVNPVSTAGVSAKGDKSDEYFVDVTAIKVNGRAVQLNATLLAIDRKGGGVGGTKLSTVVPYTVLQSSIYKAVTDAFAAETAMIPRAPSVAPFKLCYDGSKVGSTRVGPAVPTIELVLGNEATSWVVFGANSMVATQGGALCPGFFLPTGFRGLPVGLPVNRSAPRTSVVIGGHMMEDNLLQFDLEASRLGFSSSLLFRQTTCNNFHLG >Sspon.01G0011640-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:31731937:31736158:-1 gene:Sspon.01G0011640-1A transcript:Sspon.01G0011640-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKEKEQLHSVATEDYLQRLLSAGGLESSCRIAAIDWIKKATAYYYFGPLSAYLAVNYLDRYLSTNQIPADQPWMPQLLSIACLTIAAKMEETMVPRRLDFHDEDSNEKYTFELVTIQRMEIHVLASLNWRMQAVTPFSYINYFVDKFTEGKPLSCGFISRCTEIILGTLEATKFLQFRPSEIAAAVVLSAAAESYVIVFSSALLASDIPVSKENVKRCHEALQEVGLVKKKTDYGLMSPSRVLDAACFSFSFKTDDNQTADISEFSTQKSPYGTVDMDG >Sspon.02G0004400-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:13228430:13230079:-1 gene:Sspon.02G0004400-2B transcript:Sspon.02G0004400-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADTPTSRMVHPFGDVPRQTPKQFLYSGNSQHLCHPYQSASDTHVVPQHHYSMRSHSPDAGSEEHENHKQYTLDSSAASGCSRHDSPSSQSVHAGSGSPVSHEDSHSGSTNGNGSPVSASCVTEDPTDLKQKLKDLEAVMLGTDPETVNSLEISVADQLSLEPEEWKHMMSMPGGNLKELLIACARAVEHNNSYAIDLLISELRKMVSVSGEPLERLGAYMVEGLVARLAASGSSIYKALKCKEPRSSDLLSYMHFLYEACPYFKFGYMSANGAIAEAVKGEDRIHIIDFHIAQGTQWISLLQALAARPGGPPFVRITGIDDSVSAYARGGGLELVGRRLSHIAGLYKVPFQFDAVAISSSEVEEGHLGIVPGEAVAVNFTLELHHIPDETVSTANHRDRILRLVKGLSPKVLTLVEQESNTNTAPFAQRFAETLDYYTAIFESIDLALPRDDRERINIEQHCLAREIVNLVACEGEERVERHEVFGKWKARLMMAGFRPSPLSALVNATIKTLLQSYSQDYKLAERDGVLYLGWKNRALIC >Sspon.02G0005770-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:11865942:11869465:1 gene:Sspon.02G0005770-4D transcript:Sspon.02G0005770-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPASLLPSTFLPHHLRRLVPAGCTTSPAASSSASVPASRYDFEPLLAYLSSPSVAASLTSPSPPASVPAPEHRLAATYSAVPSHEWHALLRDLAASDASLPVAFALLPFLHRHRLCFPLDLLLSSLLHSLSVSGRLLPHSLLLSFPPSLSDPPSPLLLNSLLAASAAASRPAVALRLLSLLREHDFLPDLASYSHLLASLLNTRDPPDAALLERLLGDLRESRLEPDAPLFSDLISAFARAALPDAALELLASAQAIGLTPRSNAVTALISALGAAGRVAEAEALFLEFFLAGEIKPRTRAYNALLKGYVRIGSLKNAEQVLDEMSQCGVGPDEATYSLLVDAYTRAGRWESARILLKEMEADGVKPTSYVFSRILAGFRDRGDWQKAFAVLREMQASGVRPDRHFYNVMIDTFGKYNCLGHAMDAFDRMREEGIEPDVVTWNTLIDAHCKGGRHDRAMELFEEMRESNCPPGTTTYNIMINLLGEQERWEGVEAMLSEMKEQGLVPNIITYTTLVDVYGRSSRYKEAIDCIEAMKADGLKPSPTMYHALVNAYAQRGLADHALNVVKAMKADGLEVSILVLNSLINAFGEDRRVVEAFSVLQFMKENGLRPDVITYTTLMKALIRVEQFDKVPVIYEEMITSGCAPDRKARAMLRSALRYMKHMRVA >Sspon.04G0012210-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4B:35079069:35085111:1 gene:Sspon.04G0012210-2B transcript:Sspon.04G0012210-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SNTIASTVHFSSYRDIIADVNILQGTLFHEDTAQDLAPDVDPEQNDTQKGEENHEKSYRETSKKLRKSKPAVHRGFLARANGIPALDLYNLAQKRNRKLVLCGHSLGGAVAALATLAILRVIATTPSKEDNRLHVKCITFSQPPVGNAALRDYVHKRGWQDYFKSYCIPEDLVPRILSPAYFHHYNAQTPEASFINKNGVKSEETMEASAERPKGNNGEQLVLGVGPVQKSLWRLSKLVPLEGVRKSLSVIQKQTNVFGKATSQLDSYLQSKVDESEEPPQSLEIQESSQGIALTPLSDKDGGNAEDNNRTEKINASEAGGSKRWSRVPSLPSYVPFGELYLLGDSSVNTLSDSEYSKMTSVQSVISELRERLQSHSMKSYRARFQKIYDSCMCANAPIFTGIEQLPQFSHLQELIGLTATDSVELGDIVDPPVIQTATSILPLGWNGLPGGKNAEPLKVDIIGHDLQMCTLFQAQINGNWYSTVIETQPSVTSFSPNGELQPTLQKMRILVGQPLKQPPNYISEAFMVPVMTGADSTLDFGFESLFEDKDCCKGLSGFLIYGTSDFVTELPLEVGRFKEEMLLGLHDISRKTDLVIVVHNLAHRIPQYQQSNTSRPQPALSLLLDEAKALSIPWILAITNKFSVSAHEQNTLISSAMEAYQASPEMTKVVNSSPFLMPSARNSLQRIRSATGNLGNRDPANRSAYLPVNFALSPFQRKDIVMHVEGVSALRQLVHQVVLNNEEQAFEELARERLLQELAREKAASLQMKQKPPKRDGSVTAAAVGASLGAGLGI >Sspon.07G0022140-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:6894051:6899698:1 gene:Sspon.07G0022140-3D transcript:Sspon.07G0022140-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRVHLGSDRKSGTSPSRISLPPESPPSHPLKSRAAEAGSPSPPGQNHQLPKSKLKQGILSLALVPRTGFEGFVHNDERKESRSDADNSEGDKKTKIGSFKKKAINAGNKFRHSLRRRSKKKNERGDSIKDIRDVKELQDVETFRQCLIDEDLLPQQHDDYHMMLRFLKARKFEVEKAKNMWSDMLKWRKEFGVDKIEEFDYTELDEVTKYYPQFYHGVDKEGRPVYIELIGKVDANKLLQVTTLDRYVKYHVKEFERCFQMKFPACSIAAKKHIDSSTTILDVQGVGFKNFSKSARELITRLQKIDSDNYPETLCRMYIINAGQGFKMLWSTIKSFLDPKTVSKIHVLGNKYQHKLLEIIDEWLRVLNGEANYGRQIVTISSTDGKIISYARPEHPTKKGSDASAESGSEVEDVASPTASRNLITHPILTPVHEEAILVCNLCCYLQSKLSAHGSAFVAHASIEESIPVVDKVVDDGWGSPRGSLSSRNSHGTFEGLRVQIITWLTFLIMTLFAMLCSVPSKMARRISNQSSKHDDYRVEYPQEQEYKEEFRPPSPAPSYTEKDVLSSMLRRLGELEDKVQVLETKPSEMPFEKEELLNAAVRRVDALEAELISTKKALYDALMRQDELLAYIDRQELIKFRKKKFCF >Sspon.05G0000230-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:5604564:5610071:1 gene:Sspon.05G0000230-2C transcript:Sspon.05G0000230-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLAQRAALLRESLQKSQQVTDAVVSILGSFDSRLTALDSAMRPIQVRTHAVRTAHENIDRTLRSADVILTQFDRTRESVKYRRVRMRTFRAF >Sspon.04G0023940-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:16100547:16103013:-1 gene:Sspon.04G0023940-1B transcript:Sspon.04G0023940-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEERALSPVSDACTTCSSSGGTGPNSPIASSSQSLDDTSGGGAGGSSSRKRPRRELKHPTYRGVRMRAWGKWVSEIREPRKKSRIWLGTFDTPEMAARAHDVAALAIKGRAAHLNFPEMSHELPRAASAAPEDVRAAAALAAAMETRESVAPPASSDSIHGARNEDNEEPAPSSSSGNDADDSAQSEEPAAPPSEHSMLADGHILDLALFELPDVLLEFGFALPPPLTSSVAALGALTTKRGERDMRAIPQYPGTARQPGHTLGSLFASLSYPLQHQYVHLCSSRPE >Sspon.03G0013280-3C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3C:53294116:53295514:1 gene:Sspon.03G0013280-3C transcript:Sspon.03G0013280-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSQPRFGLLALAILLALCSAASADPRTSVAGQTCAPGTAVSGSTLADNFVPSMDELNTNVSAHGFGTSAVGSGPNTVFGLGQCFRDLSLVDCKLCFSEVRSLLPKCYPRVGGRLYLDGCFGRYGNYSFFGEALDAASDARVCAEGNYTGADPRAFGDAVRAALANVTAAAEAPGSQGFGAGSAAVGAATAFAIAQCWEALNGTACAQCLRAASDAVAPCAPATEGRALFAGCYLRYSTRQFWNVNATAGSGSSGAPQVFSQLLLSFVLLEITSQ >Sspon.06G0022610-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:31496173:31498444:-1 gene:Sspon.06G0022610-2D transcript:Sspon.06G0022610-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHAPRKFKKAFMAQLLLSLRAAGQASKSMGLRERRDAVRLSSDVAMALASARARPSAAPRSTAWARALVARHAAEQRNEALLRRIMGGAGYEMAAAAARVRKEARSRRIVRRSHRVCSGVAGRKRSSFLLAAGGAGGGGSRCSAMAAARRMVRARLQVLRSLVPGGEAMRGLSLLTETLDYVVCLKTQVELMQCLCKGSRSENYAALKRPVQHWDRNNEDYHAVIEQGNQ >Sspon.02G0046290-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2C:2170248:2170535:-1 gene:Sspon.02G0046290-1C transcript:Sspon.02G0046290-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRMPNNSKAPREMHGGITTPAGKGSHRGRRADDGTYSYRSPKTTRRARLFRSFPACVLKRTPSWVCGASMAFTWTAGLELRNGGSSSWHTDEDD >Sspon.02G0035090-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:16332132:16333550:1 gene:Sspon.02G0035090-1B transcript:Sspon.02G0035090-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSVAAAASTFLGTRLADPAPQNGRIVARFGFGFGKAKKPAAPKKVSKTSSDRPLWFPGAVAPDYLDGSLVGDYGFDPFGLGKPVEYLQFELDSLDQNLAKNEPGAVIGTRFESSDVKSTPLQPYSEVFGLQRFRECELIHGRWAMLATLGALSVEWLTGVTWQDAGKVELVDGSSYLGQPLPFSISTLIWIEVLVIGYIEFQRNAELDPEKRLYPGGSYFDPLGLAADPEKKERLQLAEIKHARLAMVAFLGFAVQAAATGKGPLNNWATHLSDPLHTTIFDTFGASS >Sspon.03G0042620-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3C:71002786:71007482:1 gene:Sspon.03G0042620-1C transcript:Sspon.03G0042620-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKWEMSHDCPLPEGANLLTHFQAPRGACWLVRSTTQAVNVMTWARCTGSIAHGGP >Sspon.04G0013010-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4A:45150490:45151185:1 gene:Sspon.04G0013010-1A transcript:Sspon.04G0013010-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRWKLNSSVYKRVPSRETAMEPDVETPMRMTDSGSGGGGAGPSWRMSLPHVCVATLTSFLFGYHSGVVNEPLESISADLGFAGNTLAEGLVVSICLGGAFVGCLFSGSIADGIGRRRAFQLSALPMIIGAAIR >Sspon.08G0006810-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:21520389:21524151:1 gene:Sspon.08G0006810-3C transcript:Sspon.08G0006810-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHHRRARLFSVVSAAVLVVLSVGTARPAAALSPDGKALLSLLPGAAPSPVLPSWDPKAATPCSWQGVTCSPQNRVVSLSLPNTFLNLSSLPPPLATLSSLQLLNLSTCNISGIIPPSYASLSALRVLDLSSNALTGDIPDELGALSGLQFLLLNSNHLTGGIPRSLANLSALQVLCVQDNLLNGTIPASLGALTALQQFRVGGNPALSGPIPASLGALSNLTVFGAAATALSGPIPEEFGSLVNLQTLALYDTSVSGSIPAALGGCVELRNLYLHMNKLTGPIPPELGRLQKLTSLLLWGNALSGKIPPELSNCSALVVLDLSGNRLTGEVPGALGRLGALEQLHLSDNQLTGRIPPELSNLSSLTALQLDKNGFSGAIPPQLGELKALQVLFLWGNALSGAIPPSLGNCTECTRWTCQRTASPAASPTSKLLLLGNELSGPLPPSVANCVSLVRLRLGENQLVGEIPREIGKLQNLVFLDLYSNRFTGSLPAELANITVLELLDVHNNSFTGGIPPQFGELMNLEQLDLSMNRLTGEIPASFGNFSYLNKLILSGNNLSGPLPKSIRNLQKLTMLDLSNNSFSGLIPPEIGALSSLGISLDLSSNRFVGELPDEMSGLTQLQSLNLASNGLYGSISVLGELTSLTSLNISYNNFSGAIPVTPFFKTLSSNSYIGNANLCESYDGHSCAADMVRRSALKTVKTVILVCGVLGSVALLLVVVWILINRSRKLASQKAMSLSGAGGDDFSNPWTFTPFQKLNFSIDNILACLRDENVIGKGCSGVVYRAEMPNGDIIAVKKLWKAGKDEPIDAFAAEIQILGHIRHRNIVKLLGYCSNRSVKLLLYNYIPNGNLLQLLKENRSLDWDTRYKIAVGTAQGLAYLHHDCVPAILHRDVKCNNILLDSKYEAYLADFGLAKLMNSPNYHHAMSRIAGSYGYIAPEYAYTSNITEKSDVYSYGVVLLEILSGRSAIEPVVGETSLHIVEWAKKKMGSYEPAVNILDPKLRGMPDQLVQEMLQTLGVAIFCVNAAPAERPSMKEVVALLKEVKSPPEEWAKTSQQPLIKPGSQQG >Sspon.06G0002330-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:7394129:7394908:-1 gene:Sspon.06G0002330-1A transcript:Sspon.06G0002330-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAGAGGGSMEMDHHHPDSGGSSATAARRCAACKYLRRRCAPDCVLAPYFPASQPRRYADVHAVFGTSNVTRVLQSLPVLERGRAADTMATEAHWRVQDPVYGCTGIIHRLQQEIRDVQHQLATTRAQLAMHVHGGTAPPSSPLPPQPPLAAAAAAATVTVNSGGGHRVSVHEDDEEQQEEEEEEEAPFMDPDEFLDLDGRL >Sspon.07G0015010-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7A:53911849:53912088:-1 gene:Sspon.07G0015010-1A transcript:Sspon.07G0015010-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMQLSGDRTRRSTRPDTPVHSQPVCVPKPPSTDRTCLSPQLLGVRSLSASIQSRFFSIGRVRSCMTGRADESGPLCLA >Sspon.03G0021640-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:84490649:84494150:-1 gene:Sspon.03G0021640-2C transcript:Sspon.03G0021640-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALSSSRRALHTLHRRLLLHPSPSPAAAARRALPTLTPTRTLPIRAHSPTFSSSSSYRFFTTARPAPDARLRHGRLVGGVRSVASGGSKLAPLGQGVKGLGRPVEAARRAAARYRAAVGLQVEAFWRRNYMVLVGAGAVIVCVALWRIMFGIASTFVGLSEGMAKYGFLALATAIVAFAGMYARSRLTINPDKVYRLAMTKLNTSAAILEVMGAPLTGTDVRAYVMSGGGPKLKDFKFKLGSKRCFLIFPIKGSERRGLVSVEVKKKKGQLLAVDIPMASGPDQRLFLVGDEQEYKVGGGLISELRDPIVKAMAAEKEFDYLDEREDEEDERREREEAEQEAAEALRREEERLRQEGEERRRREEAERLEKAT >Sspon.07G0025730-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:39608747:39612489:1 gene:Sspon.07G0025730-2D transcript:Sspon.07G0025730-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGPDNKHGPSWHWDGAWNQRHMLAGAENPIKKAEACSLRAAASAATRPVSAASRPSAAAASSA >Sspon.08G0024700-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:760643:761695:1 gene:Sspon.08G0024700-1P transcript:Sspon.08G0024700-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMPMVMTTRALAVVAMLATAFAEQCGSQAGGALCPNCLCCSKWGWCGTTADYCGDGCQSQCSGSCGGTPPPPAPPPPPPPTPSPPSGGGVASIISESLFNQMLLHRNDALCPANGFYTYSAFIAAADAFPGFGTTGGADAQKRELAAFLAQTSHETTGGWEDAPDGPYAWGYCFKEEVSGAQGPDYCQPSTQWPCAAGEKYYGRGPIQLSWNYNYGPAGEAIGEDLLNNPGLVAGDVKIAFETALWFWMTPQPPKPSCHDAITGQWTPSPADVAAGRLPGYGVLTNIINGGLECGRGADDRVASRIGFYKRYCDMLGVSYGDNLDCYSQRPFGNTILAETTATSYHAEA >Sspon.06G0007740-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:37082225:37085790:-1 gene:Sspon.06G0007740-1A transcript:Sspon.06G0007740-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAPMVKPVTLELGGKSPIVVFDDVDIDKEFNERMVAWAKNIKISDPLEEGCRLGPVVSEGQYEKIKKFIANAKSEGATILTGGVRPAHLEKGFFIEPTIITDITTSMEIWREEVFGPVLCVKEFSTEDEAIELANDTQYGLAGAVISGDRERCQRLSEEIDAGCIWVNCSQPCFCQAPWGGNKRSGFGRELGEGGIDNYLSVKQVTEYISDEPWGWYQSPSKL >Sspon.04G0008120-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:23290993:23291461:1 gene:Sspon.04G0008120-1A transcript:Sspon.04G0008120-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGSNPDSMDTDPPGGGLSIAVERNPPESRLQQLGVRSWPKYATVLIAVTSYVMAAAVPVPCRWGCPTGKFPVKFDARQTCYLLKGKVRAHIKGSSECVEFGAGDLVVFPKGLSCTWDVVGAVDKYYKFDSS >Sspon.01G0012280-3P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3A:215411:216721:1 gene:Sspon.01G0012280-3P transcript:Sspon.01G0012280-3P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEEWRRSILVPIFKNKGDVQSCTNYREIKLMNHTMKLWERVIEHLLRRVTHVTQNQFGFMPGRSTMEAIFLLRQLIERYREQKKDLHMVFIDLEKAYDKVPRNVMWWALEKHKVPTKYVTLIKDMYRDVVAFVRTCDGDTSDFPIKIGLHQGLALSPYLFALVMDEVTRDIQGGIPWCMLFADDVVLVDDKRAGVNRKLELWKHTLESKGFRLSRTKTEYMRCDFSATRHEEGDVSLDGQVVAKNDTFRYLGSMLQKDGNIDGDVRHRISAGWLKWRQASGVLCDKRVPQKLKGKFYRTAIRPAMLYGAECWPTKRRHVQQLSVVEMRMLRWCCGHTRRDRVRNDDIRDRVGVAPIEEKLIQHRLRWFGHVQRRPPEAPVRSGVLKRADNVKSCRSRPKLTWDESVKRDLKEWNISKDLAMDRSAWRLAINVPEP >Sspon.01G0037030-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:20012464:20012666:-1 gene:Sspon.01G0037030-1B transcript:Sspon.01G0037030-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VFELQAGGACYSWRLLPPRQRLRRSSQEDCARLRRSFVRGIVLTPWEPRRETLVKRDAKGDKWFGRV >Sspon.01G0030360-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:109298317:109299662:-1 gene:Sspon.01G0030360-2B transcript:Sspon.01G0030360-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGANCASMAKPKAHSPLVRRLVHPEACSRWHRIAPSPMHPLTLSSTALLRLIKSLSPAAGPRAHLAAAAIHGLLFKGGLLHAGAHLPTALLSAYAALGRPRHARDLFDEMPDPGLVTRTAMARAHAASGQAAQALAVFGDMLADGVLPDNVSLAVALAACHGADSSPAVATAWRPGKMVHAFIVTSGIVPDVFVSTELIRLYGEYGELSVSRRLFDEMPVRSTVSWNAMVHQYIRHSNVGDAYELFLAMPTRDVVSWNTMIAGYCLAADALRMFDMMLDNGIRPDDVTLVGMKAFATSTP >Sspon.01G0038650-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:21613327:21616535:-1 gene:Sspon.01G0038650-3D transcript:Sspon.01G0038650-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYFDARRKPHNVGKIIAALVLTTLCIFVLKQSPGFGGNSVFSRHEPGVTHVLVTGGAGYIGSHAALRLLKDKYRVTIVVIKFFFVLNDNLSRGNMGAVKVLQGLFPQPGRLQFIFADLGDQKSVNKIFAENAFDAVMHFAAVAYVGESTLEPLRYYHNITSNTLLILEAMASHGVKTLIYSSTCATYGEPEKMPITEATPQFPINPYGKAKKMAEDIILDFSKSKGADMAVMILRYFNVIGSDPEGRLGEAPRPELREHGRISGACFDAALGVIPGLKVKGTDYPTADGTCIRDYIDVTDLVDAHVKALNKAEPRKVGIYNVGTGRGRSVNEFVDACKKATGVNIKIEYLSRRPGDYAEVYSDPTKINKELNWTAQYTDLRESLSVAWRWQKSHPHGYGPN >Sspon.02G0023390-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:79679787:79682299:1 gene:Sspon.02G0023390-1A transcript:Sspon.02G0023390-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAFSRPLVSVKALEGDMVTDAPGIALPPVFGAPIRPDVVRFAHKLLSCNKRQPYAVSRRAGHQTSAESWGTGRAVSRIPRVPGGGTHRAGQGAFGNMCRGGRMFAPTKIWRKWHHRVNINLRRVAVASALAATAVPALVQARGHRIETVPEMPLVISDSAESIEKTSQALKILKQIGAYADAEKAKDSVAIRPGKGKMRNRRYINRKGPLIVYGTEGSKVVKAFRNLPGVDVANVERLNLLDLAPGGHLGRFVIWTESAFKKLEEVYGSFDAPSQKKKGFVLPRPKMTNADLGRIINSDEVQSVVKPLNKEVKRREKRKNPLKNMAAVLKLNPYLGTARKMATLAEAARVKARREKLDSKRTKLSPEEAAKVKAAGKAWYKTMISDSDYTEFENFTKWLGVTQ >Sspon.02G0027860-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:100307626:100311211:1 gene:Sspon.02G0027860-2B transcript:Sspon.02G0027860-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAATGTVSWVAAQPSVLGRCGGGGGGAPSASLKGSACGVGGGGCRVRDRGVAMPRCCARAQEKRPPRVRKSKEERREMVESFINSYRLSNEGKFPSVNLTHKEVGGSYYIVREIVRDIIQENRVLGPGGLDAMALSFEDCADSSESSMKHELGQDSIEILDMSGSEVSKGYVPEISSTDESFPLQDNAISTQTLLGSSNILEAGVLNSVVQNGSTADAIFMETNLEKQDEVPSGGSIEFDLNSSEEQARLFAQVSDSDEAIALNSQADAQDGTASSATDRVILPLESSAVYENNAALLRDHETLPNDNHDGSTDSAVDDANLPAVTNGVQAKQASLHEHDASTGSVSIDNAQSLDSQFRTTVSTDPINGFKLEDEVATKTIEASKVHMLQDELEQTLVDTSYDGQENSDSPVSHPALDTKGLLHTEDQHSVVQIDESEFKNSTSGITKEEIQAADFRHEQGISTRTAISRHALCLLTLRCMLTVYNFLHTKTLKALQKKDDNLFWLVLRAFVVAISKIWAK >Sspon.01G0001950-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:4703167:4706638:1 gene:Sspon.01G0001950-2B transcript:Sspon.01G0001950-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidoglycan-binding Lysin subgroup domain containing protein [Source: Projected from Oryza sativa (Os03g0133400)] MTLFAARLAAPAALLLFLLAAPASATNFTCETAGKTCQSAIGYAVPNATTYGELVTRFNTTTTLAELLGANGLPASTPASTPLAAKTTVRVPFRCRCGSNGVGQSEGGPIYVVYPLDGLDHIARDVFDAFVTYQEIATANNITNVNLIQVGQKLRIPLPCTCDQVDGADVMHFAYSVAKGDDPPGIAAKFGVTESTLLSVNKITDPKSLIQGQILDVPLPVCQSSISTTSADYNLLVPNGTYVLTADDCIKCSCSASNYEHLDCSPVQGRRCPAVPPCSGGLTLGQANGTDCASRMCAYSGYTNTTSLTLHTSLVSANETACQKGGAARSEFAGSMWRTSVIAFHMALIMICFL >Sspon.04G0018530-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:66589636:66611591:-1 gene:Sspon.04G0018530-1A transcript:Sspon.04G0018530-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQSPASSGVAPAPVPAPAAAAASTGAAPCEGERKAPAINADLWYACAGPLVSLPPVGSLVVYFPQGHSEQVAASMQKDIDAHVPSYPNLPSKLICLLHSVTLHADPDTDEVYAQMTLQPVNTYGKEALQLSELALKHARPQMEFFCKTLTASDTSTHGGFSVPRRAAEKILPPLDFSMQPPARELQARDIHDNVWTFRHIFRGQPKRHLLTTGWSLFVGGKRLFAGDSVIFVRDERQQLLLGIRRASRQPTNISSSVLSSDSMHIGVLAAAAHAAANNSPFTIFYNPRRKALYSNQISLGMRFRMMFETEELGMRRYMGTITGISDLDPVRWKNSQWRNLQVGWDESAAGERRNRVSMWEIEPIAAPFFICPQPFFGVKRPRQIDDESSEMENLFKRAMPWLGEEICIKDAQTQNTTMPGLSLVQWMNMNRQQSSTLANTGIQSEYLRSLSNPAMQNLGATELARQLYVQNHLLQQNSVQLNASKLPQQMQPINELAKGSLSCNQPDAITNHQELKQELSSSHSLAQQRIVPQQDSKVSLQASQAPPPMKQEQQKLLQKQVALADVSDIAFPPISSTNVLSKAGSQLMIPGATQSVLTEEIPSCSTSPSTANNGNHLAHPTIGRNEHCKVNMVKVSQSSALMSIPTSGEAVTTPIMMKESPKSNHNQKENVITSKSPTVGTGHDNLLNIVPSTDNLETASSATSLWPTQTDGLLHQGFPTSNFNQQQMFKDALPDVEIQEVDPTNNAFFGINKDGPLGFPMETEGLLVSALNPVKCQPNLSTDIENNYRIQKDAQQEISTSMVSQSFGQSDIAFNSIDSAINDGAMLNRNSWPPPPPPQRMRTFTKVYKRGAVGRSIDIGRFSGYEELKHALARMFGIEGQLEDRQRIGWKLVYKDHEDDILLLGDDPWEEFVNCVKCIRILSPQEVQQMSLDGDLGNNVLSNQACSSSDGGNAWKLRCDQNPDTDEVYAQMTLQPVNTYGKEALQLSELALKHARPQMEFFCKTLTASDTSTHGGFSVPRRAAEKILPPLDFSMQPPARELQARDIHDNVWTFRHIFRGQPKRHLLTTGWSLFVGGKRLFAGDSVIFVRDERQQLLLGIRRASRQPTNISSSVLSSDSMHIGVLAAAAHAAANNSPFTIFYNPRRKALYSNQISLGMRFRMMFETEELGMRRYMGTITGISDLDPVRWKNSQWRNLQVGWDESAAGERRNRVSMWEIEPIAAPFFICPQPFFGVKRPRQIDDESSEMENLFKRAMPWLGEEICIKDAQTQNTTMPGLSLVQWMNMNRQQSSTLANTGIQSEYLRSLSNPAMQNLGATELARQLYVQNHLLQQNSVQLNASKLPQQMQPINELAKGSLSCNQPDAITNHQELKQELLQKLQQQSLISQPAVTLSRLPLIQEQQKLLLDMQQLSSSHSLAQQRIVPQQDSKVSLQASQAPPPMKQEQQKLLQKQVALADVSDIAFPPISSTNVLSKAGSQLMIPGATQSVLTEEIPSCSTSPSTANNGNHLAHPTIGRNEHCKVNMVKVSQSSALMSIPTSGEAVTTPIMMKESPKSNHNQKENVITSKSPTVGTGHDNLLNIVPSTDNLETASSATSLWPTQTDGLLHQGFPTSNFNQQQMFKDALPDVEIQEVDPTNNAFFGINKDGPLGFPMETEGLLVSALNPVKCQPNLSTDIENNYRIQKDAQQEISTSMVSQSFGQSDIAFNSIDSAINDGAMLNRNSWPPPPPPQRMRTFTKVYKRGAVGRSIDIGRFSGYEELKHALARMFGIEGQLEDRQRIGWKLVYKDHEDDILLLGDDPWEEFVNCVKCIRILSPQEVQQMSLDGDLGNNVLSNQACSSSDGGNAWKLRCDQNPEREREREREQ >Sspon.03G0021460-4D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3D:52041010:52041489:-1 gene:Sspon.03G0021460-4D transcript:Sspon.03G0021460-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVRVLSLDSARAAATEDMDVDGRPAPGGARHRQEGSGCSCGCAGTVAALLPSPGAKRKRACGGSGVDAGSTSRGEVDAVQPQKRRHLAWMLSHQGLLSGFGRVSSAPREPPPATLSRSRRARTVALAMSRIRRRIGKPTTTRHRREPSLGRQFSRITL >Sspon.02G0004370-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:13748130:13751007:1 gene:Sspon.02G0004370-1A transcript:Sspon.02G0004370-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALQLQLLRPLAAARPSILLPSPAHGASASATAFHARAGGVAFSLQTNVRLLKPNRRVRRSRDPYYDLDEDDEEEEAEFDEDDDEGYESDDDMSGLEYPGVLYSNSPRASSKRPGLQTPLVKENWEGRQPKTRDKYGSPGKSKSLHPRSKVGRSSADLTNMDSEVELKNASISRSLFQKLQEEYDFDDKWLPLIDYLCTFGLKESHFTYIYERHMACFQISQASAEERLDFLLNAGVKSKDMKRILVRQPQILEYTLGNLKSHVDFLVSIGVPNTRIGQIISSAPSMFSYSVEQSLKPTVRYLIEEVGIEESDVGKVVQLSPQILVQKIDSAWKSRSLFLSKELGAPKDSIVKMVTKHPQLLHYSIEDGILPRINFLRSIGMRNCDILKVLTSLTQVLSLSLEDNLKPKYLYLVNDLKNEVQSLTKYPMYLSLSLDQRIRPRHRFLVSLKKAPKGPFPLSSFVPTDERFCQRWAGTSLETYHTFRQRLLLTGFAEKSGRKTL >Sspon.01G0036240-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:17845273:17850609:1 gene:Sspon.01G0036240-1B transcript:Sspon.01G0036240-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKKRSPQPPASPAGGEVGAQTAGKATPVTAAPESAPVAVVPKPPDVAPFLTKVYDMVSDPATDAVISWSATGGSFVIWDSHVFERDLLPRHFKHNHFTSFIRQLNTYGFHKVDPDRWEWANEGFVKGQKHLLKTIKRKKKSSQDVPSDLQSVPVKTAPGTENIEIGKYGGLEKEVETLKRDKALLMQQLVDLRQYQQSSSLEVQNLIQRLRVMEQNQQQMMALLAIVVQNPDFLNQLVQQQRRSNWWNDDGNRKRRFQALEHGPIDDQETSGGGAQIIQYRPPVPETSNQPIPTNEAFYSTHAQPVSSPAQPVSSPALEMPMDVEMTSNNVDTLDSTGNDFDTSALCEWDDMDIFGDELEHILPQTEQDFQVDPPLTVEDYGYDCPRLEQDCQMEAQQNCKNPQYGKGKTVHLMSIGTDQVEICDEQNLETGRLATIGREPEDHRDYSEFSITNTKKIR >Sspon.02G0037760-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2B:35433158:35433559:-1 gene:Sspon.02G0037760-1B transcript:Sspon.02G0037760-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFAAAVSAARALLGASHEDLCLRVHQLSHELSGAFFDRQAAAARPFVAVCGATARFPEDGLYVCADLPPLGPALQDVQRALVQVAVQDANHGACDFCFDTIGHVMRLLVVGDAGDGRGPAVFNRSKFEVVFAV >Sspon.04G0027430-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4B:59148105:59156300:1 gene:Sspon.04G0027430-1B transcript:Sspon.04G0027430-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLPGQSTDAILGMNWLGRNGV >Sspon.01G0016560-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:54389201:54389898:1 gene:Sspon.01G0016560-1A transcript:Sspon.01G0016560-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding WTDGFLLTSYLVAAAILQCLWSLLLAFVDIYALLVKRSLRNARAVCIFTIGDGITGTLTLGAACASAGITVLIGNDLNICAENHCASFETATAMAFIS >Sspon.02G0057050-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2D:42677323:42678826:-1 gene:Sspon.02G0057050-1D transcript:Sspon.02G0057050-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDGAEEGSDEHYYATQLLTKKEYRDMFSTLKTPNESYKCPCSLRHDSPARSPLYFGLAQARPGLVEQAVPGPLLRHVGWHGMTQSIERGLVVAQPASLLRAPGPIPNCPSASPGHPQTLTHFPFPSPHAAPSPCSSATLAPPLTSAPVSRMPPPLPSRQASERS >Sspon.01G0041780-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:50560548:50566034:-1 gene:Sspon.01G0041780-2D transcript:Sspon.01G0041780-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RYVIFWVVILACKFTFAYFLQIKPLVEPTKIIVQLHDLQYSWHDLVSRGNKNALTILSLWAPVLAIYLMDIHIWYTLLSALVGGVMGARDRLGEIRSIEMLHKRFESFPQAFAKNLSPPRISSRPIAQIMLANDYASDCKDSQYELWDRISKDEYMAYAVKECYYSTEKILHSLVDAEGQRWVERLFRDLNDSIEQGSLLVTINLKKLQLVQSRLTGLTGLLIRDETAGRAAGVTKALLELYEVVTHEFLAPNLREQFDTWQLLLRARNDGRLFSKIFWPKDPEMKEQVKRLHLLLTVKDSAANIPKNLEARRRLQFFTNSLFMDMPTAKPVSEMIPFSVFTPYYSETVLYSMSELCVENEDGISILFYLQKIYPDEWANFLERIGRGESSEDDFKDSPSDTLELRFWVSYRGQTLARTVRGMMYYRRALMLQSYLEKRYLGGIEDGNSAAEYIDTQGYELSPDARAQADIKFTYVVSCQIYGQQKQMKKQEAADIALLLQRLYRFLPFIVC >Sspon.08G0001220-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:4354114:4359094:1 gene:Sspon.08G0001220-1A transcript:Sspon.08G0001220-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVTLMNLLRACWRPSNRHARTGSDVTGRQDGLLWYKDAGQHVNGEFSMAVVQANNLLEDQCQIESGPLSFLDSGPYGTFVGVYDGHGGPETACYINDHLFQNLKRFASEQNAVSADVLKKAYEATEDGFFSVVTKQWPVKPQLAAVGSCCLVGVICGGMLYVANVGDSRVVLGRHVKATGDVLAVQLSAEHNVSIESVRKELQSMHPEDRHIVVLKHNVWRVKGLIQVCRSIGDAYLKKQEFNREPLYAKFRLREPFNKPILSSEPSISVQPLQPHDQFLIFASDGLWEHLTNQEAVDIVHSSPRSGCARRLIRAALQEAAKKREMRYSDLKKIDRGVRRHFHDDITVIVVFLDSSLVSKASTHRGPTLSLRGGGASAGLRSNTLAPT >Sspon.05G0005880-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:20360780:20364022:-1 gene:Sspon.05G0005880-4D transcript:Sspon.05G0005880-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVIGGGRTEAIMREIASLRAQRDELDSRIRFLDSQLRVGAAPTTLPPSLHAAARGGGGLSPDMVRRYRHQLLLPDFGVQGSLGIVDGDDVELGDLHRQVIHVEAYVGQPKVKSAAAACRAINSSVNVLEHHLKLKSKNALDVVRQYDIVVDATNSLASRYMLSDCCVLLNKPLISGSASGLEGQLTVSNHNGGPCYRCHFPNPTACETSSANCTLGVVPGVIGCLQALETIKVATRVGEPLCGRMLLFDALSSRFKTVNKIHQRSSTCTVCGDNSNLTQDTFVMLDYDSFTQSTKSSKPVAIQNPLPKNARITCREYKRVLDSGRAHLLLDVRPVHHFQIASIANSVNIPLHELQERLPRLRDALSEVADVSHGKHRPLYFVCQSGDDSLAAVGILRENGFPYASAIAGGLECWAREVDPGFPVYW >Sspon.06G0018170-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:98208226:98210104:-1 gene:Sspon.06G0018170-1A transcript:Sspon.06G0018170-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALHLLHVVYQFILAAATPLAQQPLWFVVVPLTLVLLPVLCLRLHHHPNAGAGDDERKQSKPILPSPPGRLPVIGHLYLVGDLPHVSLHDLAVKHDRGGGLMLLQLGTVPNLVVSSPRAAQAVLRTHDHVFASRPTTKVLHNFLYGSSTIAFGPYGEHWRKVRKLVTTHLFSVKKVNSFRHARQEEVRLVMAKLKKAMATGMTVDMSETMNTFANDIICCVVSGKLFREDGRNKTFQELIEMNSALYAGFSLANYFPGLVNSLGIFTRFVSKKADKTHERWDEVLENIMSEHERRSFNYRGGDRAEQEEGTDFVDVMLSVQQEYGITRDHIKAVLMDMFDAGTVTSSLVLEFAMAELMRNPHLMTKLHAEVRSKTPNGQEMVKEENLPSMAYLSAVVKETLRLHPPGPLLLPHQSTVDCDIDGYTIPSGTRVIINAWAVCRHLESWEKAEEFMPERFMDGGSAATIDLKGNDFQFIPFGAGRRMCPGINFGLATVEIMLANLMYCFDWGLPAGMDKEDIDMTEVFGLTVHRKEKLMLIPILPGSASCA >Sspon.05G0037130-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:9668732:9673875:-1 gene:Sspon.05G0037130-1D transcript:Sspon.05G0037130-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAGDRKKMACVTGGNGYIASALIKVLLENGYAVKTTVRNPDDMAKNSHLKDLQALGPLEVLGADLDEGSFDDAVAGCDYAFLVAAPVNLTSENPQKELIEPAVRGTLNVLKSCAKVGATLKRVVLTSSAGSVIVRPELQGDGHVLDEESWSDVEYLTANKSGLWAYPVSKVLLEKAASRFAEEHGISLVTVCPVVTVGTAPARSARPSVLNCLSLLSGDEAAFGALRVMEMSGMLALVHVEDLCRAELFVAEEARATGRYLCCGLNTTILELARFLSEKYPQYTVKKNLLIGFRRALNSDTCFALTNERTMTSGFMCFVHFGCSSGELLETPRRSWSEKGSTTSTRRWMGCEETKKTACVTGGNGYIASLLIKMLLEKGYVVKTTVRHPEDKESNSHLEDLKKLGTLEVYRADLGEEGSYDEAVAGCDYAFLLAAPVDYTSNNPEKELMELGVQGTLNVMRSCVKSGTVKRVVLTSSTAAVSSKPLDGDGHVLDEESWSDVDYLTAKRTGLWVRTSSRPGLGLAYPVSKVLLEKAASEFAAAESISLVTLRPSVTVGEAPDRQVYTTVPAILSLLSGDEKELKLKVLKGIERASGSVPLVHVEDVCRAEIFAAEEAADGRYICNSLDTTILEMARFLADKYPQYDINTDLSGGDLLEKPIALLPSTKLIKEGFEFKYKTLEHSYENMVEYGKALGILSN >Sspon.05G0026530-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5B:36288015:36292888:-1 gene:Sspon.05G0026530-1B transcript:Sspon.05G0026530-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPFWAGGFCCLDDEPDRCVSAVSCGLAGVVAVPTSPQFLPSAPPVLALALALAPPLRRLLAPAVLFLPGVPWRPAAPLPSARRCCATAYRLPFPNERTGAVVFLVACNFLKPLKLPRSLAMKFTRISCCLNLSQLGPQSLQSPEVPTVGAPSRTERDVRGRRSVWHLEEGSHLSLPHCRLYLSPAARVLWLEPRPQEPSPPPPKPSHCVVDPSHRRHPCPLFPFHVTSLTLMPPPFNEPTHGMEGDPSAPVLLSVVSVYLDSRALLINNLKSLTYGVPEELSSDHLLPGDCRWSAFHEDIETDDRSVSSDDKSQDFNTGSDTFSNKGKKSSGSQQQDHYALLGLGHLRFLATEDQIRKSYRDMALKHHPDKQAALILAETTEEAKQAKKDEIESHFKAIQEAYEVLIDPTKRRIYDSTDEFDDDVPTDCAPQDFFKVFGPAFMRNGRWSVTQPIPSLGDDTTPVEEVDKFYNFWYNFKSWREFPDDDEYDLEQAESREHKRWMERQNAKLQEKAKKAEYARVRTLVDNAYKKDPRIQRRKEEEKAEKQRRKEAKYLAKKLQEEEAAKAGEEERIRKEEESKKAAEAALHQKKLKEKEKKLLRKEKTRLRTLAAPVVADSHFGLSEANVESTCASLDMEQLKKLCDGMDGKDAAEKARLLSNALRNESSSKEAKKIEANGVEHSAPKSNSTGGRVTEGSSSILSSYEKKERPWGKEEIEMLRKAIQKYPKGTSRRWEVVSEFIGTSRSVEEILKATKTVLLQKPDSSKAFDSFLEKRKPAQSIASPLSTRDEISSSTEGAGTALAKSAAQPVSSQTANGKAVADPVPDGAPSVSDPDAWTEAQ >Sspon.06G0003200-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:9944931:9965036:1 gene:Sspon.06G0003200-1A transcript:Sspon.06G0003200-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLERSPPASPAEAAGAGGGGGAGAAACSICLDPVLARGAGRSVAKLQCGHEFHLDCIGSAFNAKGAMQCPNCRKIEKGRWLYASGHRPSADIDMGGWVTSDNYDITSELPFGFQWCPFSGFTQLASVFEEREAEPTYHATGDHSSAASSSLVCPYLALRGFLHPVHVPSTSSSGAESTSFHRHSTGLEGHATPDLNNAPVFHATESRNHDSEHRHLSNLPVSGIPEYSMSPFGIGLPRYDSGSQQRLRSYAHHHPLIHRPTPRSGSNLVAPLGSVPAVVAETRGHGHGARGHMYQQSMHSSVQGSPFPPTTRRVRPRALTITSFIAATSSGEIGGPHGFPAPGAVNRSVSDAEGISRPIDRPYTWGREGFAPFPWIPAEGESHWWGTFNPMQNHAHGSFTRRPAGERMPQSHPENGYQPVPPSQRMPPFL >Sspon.06G0017470-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:73202547:73203771:-1 gene:Sspon.06G0017470-3C transcript:Sspon.06G0017470-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHSNVWNSHPKNYGPGSRVCRVCANPHGLIRKYGLMCCRQCFRSNAKDIGFIKYR >Sspon.08G0001360-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:16739260:16740864:1 gene:Sspon.08G0001360-2C transcript:Sspon.08G0001360-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSEPRKKSNVKYASICAILASMAVIIVGYDIGVMSGAAIYIKKDLKITDVQLEIVMGILNIYSLIGSFAAGRTSDWIGRRFTVVFAAAIFFAGSLLMGFAVNYAMLMAGRFVAGVGVGYAIMIAPVYTAEISPAAVRGFLTSFPEVFINFGILLGYVSNFAFACLPLYLSWRVMLGIGAAPSALLGLMVFVMPESPRWLVMKGRLADARAVLEKTTETPEEAAERLADIKAAAGIPKDLDGDVVTVPKERNGGEKQVWKELILSPTPAIRRILLSAVGLHFFQQASGIDSVVLYSPRVFKSAGITDDNKLLGTTCAVGVTKTLFILVATFLLDRAGRRPLLLTSTGGMIISLVGLGTGLTVVGHHPDAKIPWAVALCILSVLAYVSFFSIGLGPMGSVYPSEIFPLRVRALGFAVGVASNRVTSGVISMTFLSLSKAITIGGSFFLYSGIATLAWVFFFTYLPETRCQTLEEMGKLFGMEDTDMAETENTAAKEKVVEMPTS >Sspon.07G0009610-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:25760803:25772964:1 gene:Sspon.07G0009610-2B transcript:Sspon.07G0009610-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPANRRMEAVRRHLLPPSPPPLRPNPLSSLAEVEPSPVIIGGMVLDIHAKPTVPPHPGTTVPGMVKYISGGVARNIAECMSKLGTQPFMISVVGNDMAGDFLLKYWRSAGLRTEGILQADDVATPVVSNVFDGSGELIAGVASVEAVENFITLSWIYRFRHHITNAPLVMLDANLPPESLKAACITAYESGVPVLFEPVSVVKSRRIAPVAEYVTCTSPNEIELVAMANSLSPSVKYNFHKIEQFKEKADSVEYLFEMLSPAMFFLLEKGIKLLIVTLGSNGVFICCKEHTNFMKDQRKCKQTLFSRQLLEKMDGKLFKNMCFSFTCNIASVISLTGAGDCLVGGVLSALCAGFDIIQSVAIGVAIAKASVESEANVPDDISAANIADDAQSVLHSAKVLWCK >Sspon.03G0038620-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:17819317:17820908:-1 gene:Sspon.03G0038620-2P transcript:Sspon.03G0038620-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MELTWSCCFLLVACARALRRLLQLPALLCCEAMAWAVTFIAFPLRMLTAVERERKLGRLVAEMQAQMEDLAWENTALEDRLRAALGEQEAVEAVLDEMEDEHEDALARIHVLETQLKALRQENMRLNEHKAKGKSKWDKPAGPAARHGSGGNRASEPDKKPANRGRGEEDAAAAKRADPASVLALTTEAASPVSSSAAADEALATAVARRRSLFSLGMSLAVGAVAWSADAPCLPLLAGLFAVVAVSMRSVSRLRRAGDCAGPAGDAVALLGLNWFLLGLLTSPMLPGVAHAVVPRAGRTLGPALTWLAAAAPL >Sspon.08G0006700-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8A:20753759:20756708:-1 gene:Sspon.08G0006700-1A transcript:Sspon.08G0006700-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARRGRGDGANGVVRPRPRDRGVVGGGSMAGRVAVLAFCVAGIWSAYIYQGVLQETLSTKRFGPEARRFEHLAFLNFAQNVICFVWSFIMIKLWSSGSSSDGRAPLWKYWGVSITNTIGPTMGIEALKYISYPAQVLAKSSKMIPVMLMGTLLYGVKYTLPEYFCTFLVAGGVSSFALLKTSSKTIKKLANPNAPLGYTLCFLNLAFDGYTNSTQDLIKSR >Sspon.02G0052010-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:86931512:86931983:1 gene:Sspon.02G0052010-1C transcript:Sspon.02G0052010-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LKLWTAAPADPLERANARFWADFMDQKVYRRAGEVLHEPQRGEGGGHGGAPGPPPAAGSGARDRPFFGGDDFGFLDVALVPFSSMFYGYEQHRGVDMEAECPVLLRWVRRCEERESVRDVLPSG >Sspon.06G0006000-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:20105882:20109364:1 gene:Sspon.06G0006000-1A transcript:Sspon.06G0006000-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MYCDGGGVVPWAALPAIATAMAARRSRVRASKKDRGGALGLTGHSNLPRMQRRRRREKVALMAPANSRFGENRKRVERKREEVEWRGRWCVPALSGADGGEGEARASPRRDIFPGHSYPPRALVVDLVRSLHHSWCLSMHMAKCLGGYSDGLAIDEFTVREERGEEEKRGHRGVSWRGVDARLCRIRLPPGWLMTELTSGARRQQERGREERGVGEARPGAGPRLLWRWLGRPTQEKAMLGRDRLVGHERHEVAQKPKLRKGQVAQKLKPSLRAGAELSDIGCGGSTMEGADRATIAGEAVPEGSGVSEVSYVGVESTQTRLLAINKTRMNKNTAGAGCARRDLTAARGRRDGPSYTLPAAASPGLCTTTP >Sspon.03G0022050-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:86134526:86137926:-1 gene:Sspon.03G0022050-3C transcript:Sspon.03G0022050-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDSAAAATVDGSALAGRALAAAGTRHMFGVVGIPVTSLASRAAAAGVRFLAFRNEQSAGYAAAAYGFLTGSPGVLLTVSGPGCVHGLAGLSHATANAWPLLMVSGSCDQADAGRGDFQELDQIAATKPFAKLAVKATTIADIPRLVFQALAAAVSGRPGGCYLDIPSDVLHQTLPESEAAALIAAAAANSAASDPSPSKNKSLDEGIEKAADLLRRAERPLVVFGKGAAYARAEEAIRKLVDTTGIPFLPTPMGKGVVPDSHPLSATAARSLAIGQCDVALVVGARLNWLLHFGEPPKWSKDVKFILVDVSEEEIELRKPHVGIVGDAKRVIELINREIKDNPFCLARSHPWVEAITKKAKDNVLKMEAQLAKDVVPFNFMTPLRIIRDAILAEGSPAPVVVSEGANTMDVGRAVLVQNEPRTRLDAGTWGTMGVGLGYCIAAAVAEPERLVVAVEGDSGFGFSAMEVETLVRYQLPVVVIVFNNNGVYGGDRRSPDEITGPYKDDPAPTSFVPAAGYHKMMEAFGGKGYLVETPDELKSALSESFRARKPAVINVIIDPYAGAESGRMQHKN >Sspon.03G0016840-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:77612300:77620649:-1 gene:Sspon.03G0016840-2B transcript:Sspon.03G0016840-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGNGDGTTPLGEPLLAKKARAYRPRCPGCRVDRLNAEREGVFPLKDLFLIWLVTITCTLPIQSLFPFLYFMIRDLNIAKQTQDIGFYAGFVGASYMFGRAVSSTVWGIVADKHGRKPVIVLTLVAIVIFNTLFGLSSNYWMALITRCLLGVMCGYLGPIKAYATEVCRKEYNHLALAVVSSSRGIGLIIGPAIGGYLAQPADKYPSIFSQTSIFGRFPYFLPCLCISILAVVALIACIWFPETLHKHNEDTVDNSVETVEESLADTDTEENGGGGCLKLFTNWPLMSSITLYCIFSLQDVAYAETFSLWAVSDRSYGGLSFTTTDVGNVLAMSGLFLFLYQMLIYPLLAKTVDHITLVRAVAILTLPLLASYPFFPSLKGFMLMLVVTTITVFNILMNEAVTQDVRAAANGIAVTLMSISKAVAPAVAGIIFSWAQRRQTASFLPGDHLVFFILNIFTLTGLIFTFRPFFVRGSAKH >Sspon.02G0001890-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:6192341:6193771:-1 gene:Sspon.02G0001890-1A transcript:Sspon.02G0001890-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVSPSNRPPDCLTLPGYHLAAAPLSVPGGLVTCATGPPPRGNPWPLPETTRAPPVARDESAHHASGEITNKAAHPHATAARSEQAKKTAGRENGRDPHRLARCGGVGRRGNGGHLLLLAFRAAAGLLKAGAPAQPRGRPPPSPADPDPDHGDAAPARPGGASNPLAAFLSSLIPFWRERRRGPPKQPAHPAASAAAARRAAEQEAEAEAEARQLVGCAVPLFRPYVAQLPWHGGARAWLSKLFPRYGHYCGPNWSSGKEAGSVLWDRRPVDHLDFCCYCHDMAYDTHDQAQLLRADLAFLRCLESSRRTPARDGIAAAAIYRAMCIFGLKTILIPYRTNLVRLQTGPSYADFFADFVKRVASSSGRPTGGEKQRL >Sspon.01G0019710-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:75320821:75328736:-1 gene:Sspon.01G0019710-3C transcript:Sspon.01G0019710-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVEKLKKMAGAVRTGGKGSMRRKKKAVHKTTTTDDKRLQSTLKRIGVNTIPGIEEVNIFKDDVVIQFVNPKVQASIGANTWVVSGTPQTKKLQDLLPSIINQLGPDNLDNLRRLAEQFQKQASGASGAEAGASTGAAQDDDDDVPELVPGETFEEAAEEKKESS >Sspon.03G0002380-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3A:6030934:6031305:-1 gene:Sspon.03G0002380-1A transcript:Sspon.03G0002380-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEELKKVDLTGSFSLLTRYGRRCAWIHALRLLLPPHTRSRRRRRSPAARNDGGRRGGDEGRDGAGGRARGAERMRRMRAVARRRDGRCGAVVLGRAGAVGRRRRKKTWWCAAVLVTRLKNPHA >Sspon.02G0022250-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:75580617:75582075:-1 gene:Sspon.02G0022250-3C transcript:Sspon.02G0022250-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAMAAVQQQQQPQRRDAEAELNLPPGFRFHPTDEELVAHYLCARAAGRRPPVSIIAEIDLYRFDPWDLPERALFGRREWYFFTPRDRKYPNGSRPNRAAGSGYWKATGADKPVEHRGRTVGIKKALVFYHGKPPRGVKTEWIMHEYRLADAGARTKKSGTGTLRLDDWVLCRLYNKKNEWEKMQQQKEKKQMESKASHSHSDTRTPESEIDDDPFPELASLPALDDLVGPAPAAPAAGAILPKEEVEDFGDLGGDDWLAGINLDDLQMPGDADFFGNMLVSPMAAKMEQDAGFPFF >Sspon.04G0010360-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:30206042:30212178:1 gene:Sspon.04G0010360-3C transcript:Sspon.04G0010360-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEWVVEMAVLDITSYESRQRYYASKGQKHFYFMALNGGEVIDACTKGNLGRFINHSCSPNCRTEKWMVNGEVCIGIFALRNIKKGEELTFDYNYVRVSGAAPQKCFCGTAKCRGYLGGDVSIVDTIITQDDTEADHFEQMVVDKDSEELLGPNGSDSDGSHPNISETEFSIQGEDLHDSSAAKVELDLLEETRGTPFETSEPEHSLEAWSPPEDEDVNRTPVHVSRTFESSLQTFPVHDTQSSDLLRKTANSTEGSKAPNIINGLTLSSDFRGNLVPTFSATERKNLKQHKNQKPQPSSPIDNEHILGVEGRLNSLLDVDGGISKRKDATNGYLKLLLVTAAEGGSAGGTSKSMRDLSLILEALLKTKSHSVLLDIINKNGLQMLHNILKQNRHIFHRTPITRKLLKVLEFLAQKGILTSEHINGGPRCAGVESFRDSMLSLTRHQDVQVHQIARSFRDRWIPRSTSTFVYDVQGTNTVWSSDRRKRKSRWDYQPDEHYKMVGLKIQKVFCGFMRNKLQRNQGANNYCTDVLGMGSSTQGADDEVPPGFESQLECRPAQLSIGSEVAPGLCMERYQPSLSISYGVPVTLVQHFGTPESEGGQCHQKWKVAPGVPFSPFPPLPPYPRGSPCPSTSSSQMFQHDGTPQVKHNNSGQCGRIMGRDGRVHRSWRNGPRSKWPYHQGRKFSSTHHRFERFEPPKPQ >Sspon.07G0001050-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:2335816:2337780:1 gene:Sspon.07G0001050-1P transcript:Sspon.07G0001050-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRASLNLGSGGNINSLNDPCHWSTVQQAVEYKGTAIGTLLYSNFSRDNLRALKRKWVDMAGVEGPENPLLTLGLGRSPSSSENSKVSSPTACMMSPSSLKETDEESSMDLGLNFDLCLGHDTAHHHKKPCAEHVPSAGAPKLDLQLSLSTCSPESAVTNASTASINVNDGLETVAPNLVTDAIGEKLEPSSSWVFRHYIASSSYASEAIYNFSLPKISEKVDDAVPSPDVSSAITVSVKSPAACTSGGTNSQKRSINTKCCQFPGCEKGARGASGYCISHGGGRRCQKPGCQKGAEGRTIYCKAHGGGRRCQYLGCTKSAEGRTDHCIAHGGGRRCNQEGCSRAARGKSGLCIKHGGGKRCQRENCKRSAEGYSGLCISHGGGRRCQFPDCTKGAQGSTKFCKAHGGGKRCTFPGCTKGAEGSTSLCKGHGGGKRCSYQGGGVCPKSVHGGTQYCVAHGGGKRCLVSGCTKSARGRTEYCVRHGGGKRCKFEGCAKSAQGSTDFCKAHGGGKRCSWGQESSSFGVGGPPCDKFARSKIGLCAGHSALVEDHCVHGGGSLGPAIRQLTTDCKSDETQAAAMKGDADMANGEDDEAFLGWTDDDSVEAQPSATPFPEGRVHGSGLLALLSGRAHVSAASCSDNGASTSSAMRTWM >Sspon.03G0018420-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:77799735:77805817:-1 gene:Sspon.03G0018420-2C transcript:Sspon.03G0018420-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:1-deoxy-D-xylulose 5-phosphate reductoisomerase [Source:Projected from Arabidopsis thaliana (AT5G62790) UniProtKB/TrEMBL;Acc:F4K7T6] WLHSRRRRSGGELSAVSFLDSSRGPFVQHKVDFTFQRKGKRAISLRRTCCSMQQAPPPAWPGRAVAEPGRRSWDGPKPISIVGSTGSIGTQTLDIVAENPDKFRVVALAAGSNVTLLADQVKTFKPKLVAVRNEALVDELKEALADCEEKPEIIPGEQGVIEVARHPDAVTVVTGIVGCAGLKPTVAAIEAGKDIALANKETLIAGGPFVLPLAHKHKVKILPADSEHSAIFQGLEVIEAHYLFGAEYDDIEIVIHPQSIIHSMVETQDSSVLAQLGWPDMRIPILYTLSWPDRIYCSEVTWPRLDLCKLGSLTFKAPDNVKYPSMDLAYAAGRAGGTMTGVLSAANEKAVELFIDEKISYLDIFKVVELTCDAHRNELVTSPSLEEIIHYDLWARRYAASLQPSSGLSPVPA >Sspon.03G0029920-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:4634019:4635316:1 gene:Sspon.03G0029920-1P transcript:Sspon.03G0029920-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIAGALFLLLGLLAREAAAGGYGGWQSAHATFYGGGDASGTMGGACGYGNLYSTGYGTNTAALSTALFNDGAACGSCYELRCDNAGRSCLPGTITVTATNFCPPNYGLPSDDGGWCNPPRPHFDMAEPAFLHIAQYRAGIVPVAFRRMNRRVPCVKKGGIRFTINGHSYFNLVLVTNVAGAGDVRSVSIRGSRTGWQPMSRNWGQNWQSNADLDRQALSFQVTASDGRTVTSNNAVPAGWQFGQTFEGA >Sspon.02G0017770-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:53806518:53817008:1 gene:Sspon.02G0017770-1A transcript:Sspon.02G0017770-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRGGDRSGGPSSGGDRSGARFQRGPSRWSGSGGGGLGGSPPHRYSSRGAADGGGGGGGGGRFHPYRGSSDYSSGGGGYRGGGGGGNDFGEQRQRYGGGNRGGGRGDFQDHDSRSNYVKLFVGSVPRTATEEDVRPLFEEHGDVLEVALIKDRKTGEQQGCCFVKYATSEEAERAIRGLHNQYTLPGAMGPIQVRYADGERERHGAIEHKLFVASLNKQATPKEIEEIFAPYGHVEDVYIMRDGMRQSRGCGFVKFSSKEPAVEAMNALNGTYIMRGCEQPLVIRFADPKRPRPAESRGGPAFGGPGFSPRSDAALVIRPTANLDESRVGICLLNLGVHQAAFRPPMFPGNGSLSNQTAVPTSSHMGMNTPMVQGHHLGGQQIPPLQKPPGPPQNFPVQLQNAQPGQPLQGPIPQIGQLQVPQSTGPVSFGQNISSMQLPGQPPASQPLMQQNASLGALQAPPSVQSNSMQAVPGQQQLPTSVASQMLQQSMQQMPSQAPQLLLQQQAALQSSYQSSQQAIYQLQQQLQLMQQQSNLNQQPSAQSGQPVQSSNPGAPGAIVPININATPQQVGSPAVSLTCNWTEHTSPEGFKYYYNSITRESKWEKPEEYVLYEQQQKLLLLQQHQQKIAVQQLQSPPQGQSLTSMQPIQQLPQAQGQTQMHMKQQELNYSQFQAAGSIDPNRIQQTSGDVPLI >Sspon.01G0002300-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:77872679:77876209:1 gene:Sspon.01G0002300-2B transcript:Sspon.01G0002300-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKRGRGGTAGNKFRMSLGLPVAATVNCADNTGAKNLYIISVKGIKGRLNRLPSACVGDMVMATVKKGKPDLRKKVMPAVIVRQRKPWRRKDGVYMYFEDNAGVIVNPKGEMKGSAITGPIGKECADLWPRIASAANAIMEKYVQAGVLAPTYNARMWKAL >Sspon.03G0008550-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:30188711:30191464:1 gene:Sspon.03G0008550-2B transcript:Sspon.03G0008550-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MESWVRAVVEAIHSSRSQAVIYLAGGASQALGWLLSVPGASGTVLEVVVPYSRASMAQLLGKMPLQFTSKQAAEDMALAAYNRALKLSGPGIWGLSNLPAFDHPAHEYVCKLWVSVSLGHWQAHVQNMVTTGFMCQRGHILPQDSHVTLSKGLRSREEEDKVSSYFLLKAIADTCRVSATIRSDIHEPEIPEESMEEFDEDQELQQIINGQNPSIFIMKPVCAVLWVLSLVENNFSRKIILPGSFNPLHDGHLRLLEVASSMCDDGLPFFEISAINADKPPLSIAEIKGRVEQFRKAELFPGSAFIIGADTAARLVN >Sspon.02G0032350-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:120017374:120018267:1 gene:Sspon.02G0032350-1A transcript:Sspon.02G0032350-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding PMATGDGDGEDQQDGEQQPVVLITGCAKGGIGYEYCQAFAGLGCRVVATDVPDRVPSLAADIADTPSMEALPLDVTSDASVGAAVRDVLARHGRIDVLLNNAGVGCTGPLAELSPAAVSRALDVNFLGQVRTVRAVAPHMAARGAGRFVNVGSVVGTAATPWAAPYCASKAAVHAATDALRLELRPFGVHVVKVVAGAVRSGLGRANAAQLAGGGQEWRMYRDFAAAIEERGRASQTRKSTDAGVFARHVARRVMSARPPREIVYGNMTLLFAALAASPSWVRDAFFAWRFGLNKKPR >Sspon.03G0009460-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:32661418:32662695:1 gene:Sspon.03G0009460-2B transcript:Sspon.03G0009460-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MANALPGQKAGEVTATPNPFEFHVYGPRHLSSPSWWDLLRSSWKDPNYRRMVIACFIQGVYLLELDRQDKRDERTGLAPQWWRHFMYRLSQTLIDERDGSIYGAVLEWDRRALLAGYAPFRPAGAPAAVVALRGTLLSGATFRRDVVDDLRFLAWDSLKGSVGKALAKEGVLVECHVFNPPSVSLATSLRGLAETAGEMWGRVRAWLPYVGSAAAAAPTQAAADASGEAKAVTLERAGTTKWLPHLYINTNDYICCYYTDAAAGTATVTARGGESGSGSSKAAGGDGGMGKPGLARMVTVSKGPTNFLDAHGLQQWWADDVELQVALNHSKLIDRQLRSLYAAQPASPQVSP >Sspon.07G0005150-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:11847844:11853800:1 gene:Sspon.07G0005150-1P transcript:Sspon.07G0005150-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AGRNRTATTALHVSIPVVGTLSVSLLIAACLVKSKRNIKPRKPVHIASAGKCRNKASFPDEYLLRRRIATNWNMTGSSMFSLRTEQEDTEDMIISEDLVYELRTLRAATEDFSVENKLGEGGFGTVYKVQRHWSRGSVQQLLDDYPADDEPGRQEMLRCIVPQLAMHGLLPLLLLPWWCLRLTATTNADDLKFYITKECSNNMNYTGGSAFQANLEVILSSLPDAAAASSGFAENTTGAAAPDKAYGLAQCRGDVGASDCRSCLNDTAHEMASACPGQKNAMVIYEGCMLRYSNASFFGEPYTSDPIFQLSNLQNVTQPERFMSLLGELMSNLTTKAAYGSPRMFAAGEVQQTSFVTLYGLAQCTRDTSAGNCKLCLAILVDAIPKCCNGKQGGRIFSPICQLRFEIYPFYDALAAQAAMSPAPAPRGGPVNGSDLPRPRNTGKC >Sspon.03G0018750-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:87032742:87035709:-1 gene:Sspon.03G0018750-2B transcript:Sspon.03G0018750-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPSPSPGTIRATLPPSTATPSPVTTTPTLASPAPVTQPNATPADPPSSQAVPPPLPSASTPPPQLTSPPPSLPPPPPDAVPPPPVVVASPPPAPEAVVPPPSPPVAVPPPPTPAAPPKASPIPIRPPAAASPPPSNFPAPNPPADPTPPTVTQPPPPRRRPPSTPQTEPPPLAPPPSGIPVKPSPTSPAPTSPDPSIPTPSSPSPPGTTPSTPGSGATPSVPAPATAADPVSPVTTGGQGSNKPSSPALPSSSSSVDSGGMSSGAKAGIGVVVAILVLSLVGAAFWYKKKRRRVHGYHAGFVMPSPASTPTQVLGYSAKTNFSAGSPESKDSMPEFSMGNCRFFTYEELYQITNGFSAQNLLGEGGFGSVYKGCLADGREVAVKKLKDGGGQGEREFHAEVDIISRVHHRHLVSLVGYCISDDQRLLVYDFVPNNTLHYHLHGTLFYHLRSKNNCRRGVPVLEWPARVKIAAGSARGIAYLHEDCHPRIIHRDIKSSNILLDNNFEALVADFGLARLAMDACTHVTTRVMGTFGYLAPEYASSGKLTERSDVFSFGVVLLELITGRKPVDASKPLGDESLVEWARPLLTQALETGNAGELVDARLNKNYNEVEMFRMIEAAAACIRHSASRRPRMSQVVRVLDSLADVDLTNGVQPGKSEMFNVANTAEIRLFQRMAFGSQDFTTDFSQSSWNSQSRDLDASGSRPL >Sspon.03G0006280-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:9677299:9678437:-1 gene:Sspon.03G0006280-4D transcript:Sspon.03G0006280-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LQVNWDGDTKYSHQNRVSPWDIERAGSSVSVTHCLSSSVSKRTKLCFPQGDLDAPILDGNGRPDSVETEPFHRVLQGQELVHSRIHGVECSHSSDTPRCQGSYGRRFSADVWNCRMSDAMSGPRHLNATGFAYQPLGFSESVKFSEVLQGQEMSQVVPSFMRVAFNAGTQNGRVRSFDYVQRSATQGYALQQFNLPATEVHSPSSVLMFNQTMVPPAELYGVTSREEAYGIGYSSIVIQRQAEPWPSTQQQRVSENGSEPLDTAEASALARIAKSGSVDRAVGRSSCKLFGFSLTEKILGTEGGGVKEGNYEADRQTPRVLDLFGYGHSTPGALHALCAAPLGI >Sspon.02G0016980-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:48648160:48651699:-1 gene:Sspon.02G0016980-2B transcript:Sspon.02G0016980-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTEPLLPTHLLHPLPEHSEVSHFSPSSPSCPSPAASYKDRIIFGAHPPQNPQQPPPPPPPPPPPRSCRAHHRRSSSIDGLHDLDLPSCSSSPPSPPSDPEDPSSAAGAPSSLIEFIANATGARTNLHRSRTAPAMAPLSAVALAAAAAAGDQPPAPPKRPAIVLHAFLFLLAYLALGVAFYAAAPANFTSSAGPTHPVADALYFCIVTLCTIGYGDITPATPAAKLFSISFVLVGFGFVDILLSGMVSYVLDLQEHLLITALKNPTSARKHRHNYIFDIKKGRMRIRMKVALALGVVAVCVGVGAAVLRKVESLGWLDAVYLAVMSVTTVGYGDQAFQTLAGRLFASAWLLVSTLAVARAFLYLAEMRIDKRHRAMANWVLSRDMTVSEFLAADIDNNGYVTRLP >Sspon.04G0020760-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4A:72904473:72905444:-1 gene:Sspon.04G0020760-1A transcript:Sspon.04G0020760-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKIKIPRLLIGRYELGKLLGKGSFAKVYHVRNLGTIKIMDVDHLSKLGAMQQQIMHEIHIMRQRRLPQCPHLVHHVGRGIGEASARCVRLLAARVCARLCHSLGVYHCDIKPDNILVDATGNIKVADFRLSALANTAQREALLHTVSGCPCSSRLSPYRARRIAIPQVKKKLWFKKDLKEIPESLSETELRDSNSISDDELMASSTSLGIRPPRWRAPCIPRYPCRC >Sspon.04G0016910-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:65441531:65447910:-1 gene:Sspon.04G0016910-2B transcript:Sspon.04G0016910-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSAALAPAAFRSAFSTPPASNPTRKSPNTPAFTPRFGRFFLELRFRAGGSNRINIEGAFCLPCSTRKRASYRPFRVYSLFGGKKDKDENGDEAPSKAGIFGNMQNLYETVKKAQMVVQVEAVRVQKELAATEIDGYCEGELIKVTLSGNQQPIRVEITEAAMELGAEKLSELVNDAYKDAHQRSVQ >Sspon.02G0031110-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:107046316:107048272:-1 gene:Sspon.02G0031110-2C transcript:Sspon.02G0031110-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGMRKTLVFYQGRAPKGRKTEWVMHEYRMEPALDQSSSSNFSSNSKDEDWVLCRVICKKKLAGGGGSSKASRSLASNGGRETAPTTSPPLPPLMDTTLAQLQAAMNTTATTGALEQVPCFSSFNNNIASNSNGNAAAAQPCYLPMATGGSHGTSYLDHGLLPELGGCFDPLNSDKKLLKAVLSQFGDDVVPSLQHEMAAATATSTWMYHF >Sspon.01G0048940-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1B:110574633:110574854:1 gene:Sspon.01G0048940-1B transcript:Sspon.01G0048940-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMRRARVSSRVLCLCVSARGVLLPAGGGPAPTTVACIGYQRRSAASSPVRRAFVHECGSCATVQTACVRSLC >Sspon.07G0012550-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:51829953:51833608:-1 gene:Sspon.07G0012550-2C transcript:Sspon.07G0012550-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVDWASLDKDLVELIGWRVLAGDLLDYVRFRAVCSHWSASTVRPRRRGVLDSRFYPRRWMMLPEGHGLYPGHPDLGGFVRFFNLSTGAFVRAHLPLLDDHVILDSIDGLLLLHRDHDTAIRLLNPFTGDVAELPPLASLLPQIEFTHRYNEQSKRTGLMSVRASSVTVSSTGTITVMLAFDLLHRVAYATTGDQRWTLFAWKIQPLLKPVSFQGKFYAMQIVRKGVRKLCIYQINPPIQDAAEELSNLPLPVKIAECPMEKYLYILNFVECCSELLLVAYSDASRSKLVIYRLADLVNGKFEPVTSIGSWRLRQGTSSREGWRGTEGRDEGEGDQTCDWCLISSQDLYERQTGRASLRLQA >Sspon.07G0027940-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:83401685:83404623:-1 gene:Sspon.07G0027940-3D transcript:Sspon.07G0027940-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYVNRLDNFDGPAVGEVAVEAQLYEEAFAIFKKFNLNVQAVNVLLDNIRSIERAEEFAFRVEEDAVWSQVAKAQLREDDAAHFLDVIRAAEEANVYDDLVKYLLMFIREYTSKVDDLVKDKIESQKEERAKEKEEKDLVAQQNMYAQLLPLALPAPPMPGMGGPPPPMGGMGMPPMGGMGMPPMGPGPMPAFGMPPMGSY >Sspon.02G0059680-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2D:97920084:97921517:-1 gene:Sspon.02G0059680-1D transcript:Sspon.02G0059680-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLYIHPLYVLVSRSSHGTSETPRPYLILLLRQTRSQQPVLRVSLLQLLFRGYSDSVSSTDHLGSSSIHSSSYSQRHSQLRARPSHTSTLLLLRLSPCSAELISTAYQS >Sspon.02G0031690-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2A:115775887:115776297:-1 gene:Sspon.02G0031690-1A transcript:Sspon.02G0031690-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDKKETEKPAESVVAPAPTEEKKEDAKPAEPEAVAPAENSAPAPAEPVAVPAPAPETKPDAEPAVVPAPAPETKSDAAAADPAPETKADAAAADPAPGAKPDAAPVENAADKGGNQESQPVNNGQVPHSTVKCI >Sspon.04G0009150-1T-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4D:27234546:27236071:1 gene:Sspon.04G0009150-1T transcript:Sspon.04G0009150-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVPLPLLLGSLAVSVVVWCLLLRRGGDGKKGKRPLPPGPRGWPVLGNLPQVGSHPHHTMCALAKEYGPLFRLRFGSAEVVVAASARVAAQFLRAHDANFSNRPPNSGAEHVAYNYQDLVFAPYGSRWRALRKLCALHLFSAKALDDLRGVREGEVALMVKELARHQHAPVVLGQVANVCATNTLARATVGRRVFAVDGGEEAREFKDMVVELMQLAGVFNVGDFVPALAWLDPQGVVGKMKRLHRRYDDMMNGIIRERKAAGEGKDLLSVMLARMRERQPLADAEDSRINETDIKALLLNLFTAGTDTTSSTVEWALAELIRHPDVLKKAQQELDAVAGRDRLVSESDLPRLTYLTAVIKETFRLHPSTPLSLPRVAAEECEVDGFRIPAGTTLLVNVWAIARDPEAWPEPLQFRPDRFLPGGSHAGVDVKGSDFELI >Sspon.08G0011020-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:48365616:48369333:-1 gene:Sspon.08G0011020-1A transcript:Sspon.08G0011020-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALHAAAPACAVFCRLPSSSPSHAGGRRSSLPVVARPRGGVSAAPLRTRAVQTKAIFSDGVPETSNSPHVVCFGELLIDFVPTVNGLSLAEAPAFKKAPGGAPANVAVGIARLGGSSAFIGKVGDDEFGYMLADILKQNNVNNQGLLFDPHARTALAFVTLRSDGEREFMFYRNPSADMLLEEKELDLDLIRKAKIFHHGSISLITEPCKTAHIAAAKAAKDAGVLVSYDPNLRLPLWSSAEDARDGILSIWETADVIKISEEEVSFLTNGEDPYDDAVVKKLIHSNLKLLLVTEGPDGCRYYSKDFSGRVGGLKVNAVDTTGAGDAFVAGVLSQLATDFSLLQDEGRLREALKFANVCGALTVTERGAIPALPTRQQVLDALTNVVA >Sspon.05G0018830-4P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7D:72716970:72718393:-1 gene:Sspon.05G0018830-4P transcript:Sspon.05G0018830-4P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGEDIQPLVCDNGTGMVKAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQSKRGILTLKYPIEHGIVSNWDDMEKIWHHTFYNELRVAPEEHPVLLTEAPLNPKANREKMTQIMFETFNVPAMYVAIQAVLSLYASGRTTGIVLDSGDGVSHTVPIYEGYALPHAILRLDLAGRDLTDSLMKILTERGYSFTTTAEREIVRDIKEKLAYVALDYEQELETANTSSSVEKSYELPDGQVITIGAERFRCPEVLFQPSFIGMESPGIHETTYNSIMKCDVDIRKDLYGNIVLSGGSTMFPGIADRMSKEITALAPSSMKIKVVAPPERKYSVWIGGSILASLSTFQQMWISKGEYDESGPAIVHRKCF >Sspon.02G0030490-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:108887016:108890900:-1 gene:Sspon.02G0030490-2C transcript:Sspon.02G0030490-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPTRSTSDPRSVWSSSLRPPLDLQPAVLPDDDDGDDDRAVAELLNAALEGDLSRVKKLVKELSEAGKGVDEAVAAAAVGVTGTKRRGPLHMAAANGNAEMCRFLIKTCDVDVDATDSDGATPLIFAIQGLGSTKIVRLLLNNGANLNKADNSGVAPLHIAAERGFYEVAELLLSREAKVNLMCENGGAPIHIAAENGHAKILKLLLQHKADYNAGNPATTLVKAAGKGLTDCIKCLLKAGADANISDEECVEILFPVTTPLAEYATWSIDGIIQHEKTASSEPQTTELAVNGGLRYYDANATFVTPLLRRSTRARQPNVRISGPEWAR >Sspon.06G0003440-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:10296417:10298625:-1 gene:Sspon.06G0003440-1A transcript:Sspon.06G0003440-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGTFLLVLVIGVNAEPKKKKGGVGDKFNDHMAQIGPFGPIRCGLPPWERALDLQAPPAASEDGFICVPYIIVSPHTPPPSPHFLLPVGSSRRRETKSLATPSSREKMPKQIHEIKDFLLTARRKDARSVKIKRSKDVVKFKVRCSKYLYTLCVFDAEKANKLKQSLPPGLSVQEV >Sspon.02G0030810-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:113039656:113053001:1 gene:Sspon.02G0030810-1A transcript:Sspon.02G0030810-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASCLLLLHLAFLFVAAAGQGNNTMEPAYASCSMTGNYTGGSQYKKNLDQLLAALPSAAGDNGGFYKGSVGAGTDAVFGLIMCFADSDASECLDCLSRAPAGITAACPGSRSVNAVYDACVLRYSAAPIPDAADLDYVPPVSIATPTTSDAVRASWVPLMRKLAGGVETSPLRISNDSTPYSGPQKGRMYGLAQCTRDLNASECNRCISSYADKLWSLFPNNISGALRGYSCYLRYQVGAFDVTLPLEPAPPPYQAVPGGKRVTRSRKQGVSPSRAVMASCLLQLAALAILFVAAAAQDNKIMVPAYPSCSTTDNYTDGSQYKKNLDQLLAALPPAAGDNGWFFKGSAGAGADEVSGLIMCFADRNATQCLDCLTRAPAGITTVCPGSRNVSAAYDACVLRYSAAPIEAVADLNAVFRVNTSITIRPVTVTPEALRAAWMPLVSKLTGGVVSSPLRIFNSSTPYSGTGTQDMYGLAQCTRDLNASECVNCISSFANLLRQWFDNNTGGAIKGYSCYLVYLLRPLDVTLPPAPASELPLPPSPSPPPAPEPSKTGVVIGVSAGSVSTLVVILGFSIWHLLRRRRKQAKILEEAREKELGLGDGGFFNEEPEMEDEFEKLTGPKRFRYSELAVATGNFADDRKLGEGGFGGAIVDAADARLKGEFDAREMEIVMVVGLWCAHPDRSLRPSIRQAVSVLRSEISLHSSGITFFVSATAGWPMLRTSRTHKAAAATFEAAEPGVKAMTTDMVEGG >Sspon.03G0030190-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3B:19866878:19867528:1 gene:Sspon.03G0030190-1B transcript:Sspon.03G0030190-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAGQSQGSVASSTRRRQEADLTAAEKRERAAADAAAAAARASRLAAAELAAARAEVEAAAAADAARAAAAEVEVLRGSNSSSIAADDSADADLELLEREASRGRAAQWAAVHAHERGGSPDRRRHAGGAPGGGAHGGGAPGGGAHGGGGRVDGERGLHRQRGSLSPVRYRGHHEYQAVVRDVGPGGGRVDGERGLHRQRGSLSPVRYRGHHEYQAV >Sspon.04G0012260-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:39921572:39925910:1 gene:Sspon.04G0012260-1A transcript:Sspon.04G0012260-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRCVYTQRRWAHRRGGFVTGGTGWSKPPPPGLGPAAGVGTKKSEWWAVDGEMHEIGDGVPHRERFAIPRDNLPNRRRKQMREQFMRRTRLVLKDSEHETWCKKYMELYQELRENWERVYWDEGYSKKIAESHANYDSAEEDDLDFSPYSRRRQSNVEPNKDLGFGVNKQGETWERVTQIRDKFEYDRERRMRERAFAPMNMENNFARRDPRFRSQDDSSFAHTNLSDDFGLHGQTFGSRPDRSYQNESNFRNQRDSSFQNEPSIRNQRNLNFQNEPSFRNRQYPDSQNQGDTRSQAFDK >Sspon.03G0001090-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:9132494:9142954:-1 gene:Sspon.03G0001090-4D transcript:Sspon.03G0001090-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-glucosidase 42 [Source:Projected from Arabidopsis thaliana (AT5G36890) UniProtKB/Swiss-Prot;Acc:Q9FIW4] MGSTGREPEVTRADFPDGFVFGVATSAYQIEGARREEAKETAYGMYLQMTKIEAMQKLQFDHYHRYKEDIELMASLDFQYLGRVYFLLLTIRLSDNRYEPYATLYHWDLPNNLQKTLGGWISDKIVEYFALYAEACFANFGDRVKHWITINEPLQTAINGYGIGIFAPGGCQGETARCYLAAHHQILAHAAAVDVYRRKFKATQGGEVGLVVDCEWAEPFSENVEDQIAAQRRIDFQLGWYLDPIYFGDYPESMRQRLGSDLPTFSEKDKEFIRNKIDFVGLNHYTSRLIAHHQNPDDVYFYQVQQMERIEKWNSGEKIGERAASEWLFIVPWGLRKVLNYIAKKYNNPAIYVTENGMDDEDDQSATLDQVLNDTTRVGYFKGYLSSVAQAIKDGADVRGYFAWSFLDNFEWAMGYTKRFGIVYVDYKNGLSRHPKASALWFSHFLKGEAAENKADKN >Sspon.08G0002960-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:6348093:6352824:-1 gene:Sspon.08G0002960-2D transcript:Sspon.08G0002960-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNTGGTIRSVSSAAAQVYRILPRSSHDSASPAALLRAISSCSDRIHSIVHGFEQPISVSVYASASYDETAKRITLDNFVVGVLVGVELPDEECEEGGGGVVRGDHEKHDVVDDLLVGQVLREHEADNVAGRRALPALQLGPPLLHDPGDGAPPTLARGEAPAVCGEGQLLELRPKLPADGAHPEAEDGGAHDVEGERLHGRHHGHGAPAAPLGVEVAPDLAVHAGNVPLDGVHPEELHHHSPDAAVLVADHVPDRPAAHHPAKDSGVLAVTPALVKMNLLAEVPTRKAVGQPKRRSRDTGPYAATHRRSQSSAVLARRARTRLSVCPMKGRPNEPGGRGAFGFVFLPPALAERRRSSTGSDATTARTTATAAANVAGAASIASDQDFPDTLKKGKGARVGRCSNAGEQPEHPLSWPTEPLALTYLPIYFSRAKFASSTRIYTTTGLLRFHQQASLQQRIMQSLNLPTSNNNTFKLPVLFFGLAFVLLSCASLASSCTEQEKSSLIDFRDGLSQEGKGGLSVSWANSTDCCKWEGITCSIDGVVTEVLLPSKGLKGRIPPSLSNFTGLLHLNLSCNSLYGNLPAELVFSSSIIILDVSFNDLSGPLQERQSPISGLPLKLPSTTLQVMNNLVALNASNNSFTGPLPSSICINAPSLAMLDLFLNDFSGTVSPEFGNCSKLTVLKAGRNNLTGGLPHELFNATSLEHLAFPNNNLQGTLDGSSLVKLTNLIFLDLGSNGLEGEMPDSIGQLGRLEELHLDNNLMIGELPSALSNCRSLKYITLRNNSFMGDLSRVNFTQLDLRTADFSVNYFNGTIPESIYACSNLIALRLAYNNFHGQLSPRIGNLKSLSFLSLTNNSLTDIANVIQSLKRCKNLTTLAMGTNFNGETVPQDKAIDGFKNLQILTIDGCPLIGKIPLWLSKLRKLKILDLSSNQLTGRIPAWIKGQQLLFYLDISSNRLTGDIPTALMEMPMLQSEKNAARLDTKFLELPIFWTSMRQYNMLNAFPCVLNLCNNNLTGTIPPEIGQLKVLNVLNFSSNSLSGEIPPQICNLTNLQMLDLSNNQLTGELPSALRNLHFLSWFNVSNNDLEGPVPTEDSSIPSQILATLGIPSYVVLCSASIVAH >Sspon.08G0011920-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:47566458:47570015:-1 gene:Sspon.08G0011920-2B transcript:Sspon.08G0011920-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTLRWKLSSQSQRIDHTMPPPLRCLLLAFVVLLSGFPRLAHPFTALESDQVARFQEYLRIRTAHPSPDYAGAAAFLLPYAASLGLQTTTLHFTPCKTKPLLLLTWRGSDPSLPSVLLNSHIDSVPAEPEHWAHPPFAAHRDPATGRVYARGAQDDKCLPVQYLEAIRGLQAAGFAPARTVHISLVPDEEIGGADGFDKFAQSEEFRALNIGFMLDEGQASPTDVFRVFYADRLVWRLIVKAAGAPGHGSRMFDGAAVDNLMDCVETIAGFRDAQFRMVKSGERGPGEVVSVNPVYMKAGIPSPTGFVMNMQPSEAEVGFDLRLPPTEDIEQIKRRVKEEWAPAHKNLTYQLTQKGPVTDVAGRPIFTATDESNPWWPIFEKAITSAGGKLSKPEILSSTTDSRFVRQLGIPALGFSPMTNTPILLHDHNEFLEDKVFLRGIKVYEHVIRALSSFQG >Sspon.07G0000750-3D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7D:1069590:1072029:1 gene:Sspon.07G0000750-3D transcript:Sspon.07G0000750-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AAGAAPARRSGTAAAVVGGGAGDVLVGAGAAARGVDVRGQLRRARGRADAQHGGVRARRGAHRAPPGHAGRHAAVRAARGVAHGPLQPHLPHDALPRPWRRHPRRPFSFFFFFLVAAMPCHRAKPSLHVSLEQDTSSWPLVDPLPS >Sspon.05G0013450-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:48587771:48591763:1 gene:Sspon.05G0013450-2B transcript:Sspon.05G0013450-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAECRYEVAQAAYLKLALHALKHPATAVNGLLVGRLVEPSSSPAVVSVIDAVPLSHHPHHLPLLPTLELALTLVEYHFATQGEGLAVVGYYHANPRCDDTELPPVAKRVGDHIFRYFPRSAVLLVDNKKLEEAVKGTSRDPVVQLYTRDSSKSWHQAGSDGSSQLVLKEPSTNVVLADHVTTKKWEIIVDFDDHLDDISKDWLNPGLLD >Sspon.02G0020210-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2B:66182533:66182976:1 gene:Sspon.02G0020210-2B transcript:Sspon.02G0020210-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDDGSLGIRNWGFYDTVKGNLGLQLMSSVPPDRDTKSLLPNGAFLQHHGHHNAPHQLHMQHSLHSRGPAGGRASGGMPTESQPIHMDFSRNEAWLQQSHHLQRPVSRRSFILALSGQLDMLGIQAMVAILRMVDILCITILLAMR >Sspon.01G0010080-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1A:28308489:28309393:1 gene:Sspon.01G0010080-1A transcript:Sspon.01G0010080-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKEKRRRGWFERIRRLFTSDPKPTPKPADKKAKSKRWLPGKLRAQQSFALPPPAPAGADQIRQAEDEQSKHAVAVALATAAAAEAAVAAAHAAAHVVRLTGQPPPVAPLPRQVHEQEQEHAAVAIQSAYRGYLARRALRALKGLVRLQALIRGQAVRRQTAATLRGLESLMRIQARHRSRAGGVDHQHQAADDDAQLLWRGRELFAAAAAVH >Sspon.05G0039260-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:66971107:66980209:-1 gene:Sspon.05G0039260-1D transcript:Sspon.05G0039260-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKHLSMDRRLVEAAISGDFPSLKQQLALDDPGVLLATTPQGNTCLHIASIQGHEDFCKNVLSLDHSPAMALLSAVNRDGETPLLTAVARGRASVASVLLRCCRDHRLRLSETTILKQDKNGCNALHHAIRRGHRMMALELIEADPTLSKAVDDRNESPLFIAAARDFTEVFHKLLEIPDSPDSGAFGFNVLHAAVRSGNLAIAKRIMETRPWLILKHCPDAPYCDANGSTCLHVAVLYGQAVFVEFVLGSQQVQHLINMADNNGETALHLAQRNGLMWIAAVLGDREKIINNNPVNILLLLADEAASTGSSEGTSVDGEVLKAAVTGDARLMKELASQDPSVLLGTTPQGNTCLHIAAIHGHEVFCKEVQALKPSLLAAVNSDGETPLLAAVASGRVSVASVLLRCCRDQQLSETILKQDKRGCNALHHAVRSGHRELALELIEAEPTLSHAVNQHGESPMFLAVMRNYEEVIDKLLEIPDSAHGGAKRYNVLHAAVKNAIATKIMETRPALAREEDMDNNTPTHLAALWDKIDGTMYPHMVSLFLLLLAFRGHVGVARELHCPDAPWVYRLTTGDDQNGDTALHHAVQKCNPKMVAVLLLHADIDVTVLNNKGNAANWELPSDLAKTLNWVRMLFFSSQYFYKLMQNEVSMLMMKADPQDAGSILNLHMEAKDKVTDLSRKDIKSLTQTYTGNTSLVAILIATITFAAAFTLPGGYSTDAGNEGLPIMARKIAFKAFLISDTLAMCSSFAVAFICIIARWEDLEFLLYYRSFTKKLMWFAYMATAAAFATGLYTVLAPRLPWLAVAICVLTSLLPILTKLLGEWRILRLRFRLGRTFRSELLDMA >Sspon.04G0012110-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:40587909:40588671:-1 gene:Sspon.04G0012110-2P transcript:Sspon.04G0012110-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DMATHAFLLAAALLSLSCFHAIASDPSLLQDFCVVDKMSTVRVNGFPCKDVKDVVAEDFFFQGLHMAGNTTNKQGSAVTPVNVAQIAGLNTLGISLARIDYAPFGLNPPHTHPRATEILTVLEGSLYVGFVTSNPDNKLIAKVLNKGDVFVFPEGLIHFQFNYGTKSAVALAALSSQNPGVITVANAVFGSKPSISDDVLAKAFQVDKQTIDRIQ >Sspon.01G0043910-1P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1C:56916775:56918052:1 gene:Sspon.01G0043910-1P transcript:Sspon.01G0043910-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASASADPCGICLGDISPGQAVFVAECSHTFHHRCISNNVARGNHDCPVCKVTWRDLPATIDPVPPPPRKYADDEETVAQGFVQAQEDAAGLAADVGEMALKTYCEFPAVARDAPRDNFAVVVHVKAPDQAGGAVAADEVRAPLDLVTVLDVSGSMEVRKLALLKQAMGFVIDNLGPADRLSVVSFSDEAIRKIRFTRMSSDGKASAKRAVESLVANGLTNISKGLLVASEVLADCRYRNAVTSVILLSDGQDNQSGVGRNHQYLVPPLFRDADNRPGPIHTFGFGTDHDAVAMHTIAEVACGTFAFVENQEVIQDSFAQCIGGLLSVAVQDARLAVTCVHPGVRVREFKSGRYGNIVAEDGRTASVDVGELYADEERRFLLFLDVPRAEAAEEVTRLIKLSCTYRDTMTGRVRWMSLAKMPLFRG >Sspon.02G0011960-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:31975533:31976278:-1 gene:Sspon.02G0011960-1A transcript:Sspon.02G0011960-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATETETFAFQAEINQLLSLIINTFYSNKEIFLRELISNSSDALDKIRFESLTDKSKLDAQPELFIHIIPDKASNTLSIIDSGIGMTKSDLVNNLGTIARSGTKEFMEALAAGADVSMIGQFGVGFYSAYLVAERVVVTTKHNDDEQYVWESQAGGSFTVTRDTSGEQLGR >Sspon.03G0002830-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:22715105:22721989:-1 gene:Sspon.03G0002830-2C transcript:Sspon.03G0002830-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSASAVAMRGEMGGGGGEGGEDELEALLSSGAGGQRRRPADASERERERELSMFRSGSAPPTIEGSLNAISGLLRGDGEVAVTAAPIPVAEALNGHSGLLSEEELRADPAYLSYYYSHGNLNPRLPPPVLSKEDWRSTQRLKSGVVGGIGDKRKPIQEDASQGTGTAVGRSLFSQHPGFERDEEAMNDGGGAAEWVDVGGDGLIGLSLGRQRSFADILQDNIGRRTPSSEHPSRTASRNSFLDNQEPVNPAENQYAMHNDILDVHRPIGNMQNVSSLHNLNTSTSQTFASIMGSSVSRNATPDPHYAARVPSPGLPPVGVRITSNDKKLNSSSPFNTVSSKAVGTDDILSALSNMNLSKSGSQNDNNNISRSNFQRDISDQQKFSLDSQAAQVHNKQHSVMFETDDGYLGMSQSSNSSFADVNNSVAGLAEFRNSTNTRLDGHLEMQRSSNLSARSYQKSPSSSNESPGGSPAQHHSFDGINSAFLNYGLSGYPLSPGLPSMMPPLFESAAAASAIAALGADSRNLGNNSLSSPTLSLTDAHNLGRGGNQAPTGLQSPLSDPFYVQYLKATQYAAQGAGSYGDPSFERGYMGNSYANLNAVQKAYIEALLQQQKQFEMPLLGKSTASNHGYYGNLAFGMGMAYPGSPLSSPVASQSGPGSPLRLGERNLRFPSNLRNLGGWNSDPSGHMNENFPSSLLDEFKSNKARSFELAEIAGHVVEFSADQYGSRFIQQKLETATVEEKNMVFEEIMPHALSLMTDVFGNYVVQKFFEHGSAEQRRELADKLFGHVLALSLQMYGCRVIQKAIEVVDLDQKTKMVTELDGHIMKCVRDQNGNHVIQKCIECVPEDSIQFIISTFYGHVVPLSTHPYGCRVIQRVLEHCADPKTQQIVMDEILQSVCMLAQDQYGNYVVQHVLEHGKPHERSIIIEKLAGQIIQMSQQKFASNVVEKCLTFGGPTEREVLINEMLGTTDENEPLQAMMKDQFGNYVVQKVLETCDDQQRELILSRVKVHLNALKKYTYGKHIVARVEKLVAAG >Sspon.04G0016680-4P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:12918844:12920569:-1 gene:Sspon.04G0016680-4P transcript:Sspon.04G0016680-4P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVGIALVSWLGLPYTFTIYNFGVQKTAIIPMRGSWSVGRTILYKMLLIPAELAATNVIFGLALGYKSVIIPIFAIAFSIFLSFSLAAMYGVAVAALGMLSTIATGLAIDAYGPISDNAGGIAEMAGMSHRIRERTDALDAAGNTTAAIGKGFAIGSAALVSLALFGAFVSRAAISTVDVLSPKVFIGLIVGAMLPYWFSAMTMKSVGSAALKMVEEVRRQFNTIPGIMEGTTKPDYATCVKISTDASIKEMIPLAHLSCSHLLLSGSCLVLKPSLEFLLVLLFLVGTLSMTAFIVVISYNAAYCHLCIQHWRCLDNAKKYIEAGASEHARTLGPKGSDPHKAAVIGDTIGDPLKDTSGPSLNILIKLMAVESLVFAPFFSTHGGILFKWL >Sspon.06G0008340-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:37914410:37920858:1 gene:Sspon.06G0008340-2C transcript:Sspon.06G0008340-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SCY1 [Source:Projected from Arabidopsis thaliana (AT2G18710) UniProtKB/TrEMBL;Acc:A0A178VZD7] MATATATPPQCWRGLPASARAPSPLSSPLRPCPVAAPFYPLRRRIATATARGRRAALACSPRCALETAGPGFDPLGLYEEGSDSPSRSPLSTFFGILAPVFGSSSGGGARREKASYGRGAAAAIEDSSIDIGDFFKGPLPGKFLKLLGFLALSRLGVYIPLGGVNREAFAGNLDQNSLLGTLDSFSGGGIGRLGICSLGIVPFINAQIVFQLLAQLYPKLQDLQRKEGEAGRKKVLQYTRYASVGFAIVQEAERKIPLNYASRYSSRTGGLQRSAYLPFKQVNSSGVMPIIFSTSSLALPGTLARFAGLDFLKKAAIALNPGGALYLPTNVLLIAFFNYYYTFLQLDPDDLSEQLKRQGASIPLVRPGKSTAAYIKTVLSRISVLGSAFLAVLAAGPSVVEQISHLTAFRGFAGTSVLILVGCATDTARKVQAEIISQKYKNIEFYDVNRFDQ >Sspon.02G0020300-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:66369364:66370871:-1 gene:Sspon.02G0020300-1A transcript:Sspon.02G0020300-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVASSAVDAVAALFSLIMAVAAPLFDSQVVLPLSLYPLVDIFRWFIAEFDNYIVADRPPFLRGLVWLDLAFLWPVSVANLYGILARRRWSTTTSLMAGVYMLTYLSAMFGEMLGSGRATPKLLGLYVLFLVFAIASVARGLCSCSSTQATPAAGPSSPALSRKKRV >Sspon.06G0015180-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:64317062:64321369:-1 gene:Sspon.06G0015180-3D transcript:Sspon.06G0015180-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALTLLRGMRTPVSSSSNAGLFFTVLRPRLSRFTARAESAQATEPKAAPRSIQLATKEAAEQKTQGFEAVIGIETHVQLSTVTKAFCSCPYNYGAQPNSTVCPTCMGHPGTLPVLNEKVVECAVKLGLALNCEISMTSKFDRKQYFYPDLPKGYQISQFDIPIAKKGYVDLDLPVEFGGGHRKFGITRVHMEEDAGKLLHSESSSYSQVDLNRAGVPLLEIVSEPDMRTGIEAAEYGAELQRIVRYLGVSNGNMQEGSLRCDVNVSVRPVGQSEFGTKVEIKNMNSFSAINRAIDYEISRQILLHKEGQADQIVQETRLWDESSQKTFTMRKKEGLADYRYFPEPDLPEVVLTSGYINEISKSMPELPEAKRRRYENMGLSMQDVLFLANDDNIGHFFDSTLEHGADAKLAANWIMGDIAAYLKDEKVSIDEIKLTPRELSELIASIKNGTISGKIGKEILAELISKGGTVKAVIEEKDLVQIADPAAIEAMVDKVIADNPKQLEQYRAGKTKLHGFFAGQVCQLNTNTVCPCSYEVMKASKGKANPVLLNKILGEKLNAN >Sspon.07G0004460-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:22221511:22225841:1 gene:Sspon.07G0004460-3C transcript:Sspon.07G0004460-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hydroxyproline-rich glycoprotein family protein [Source:Projected from Arabidopsis thaliana (AT1G73840) UniProtKB/TrEMBL;Acc:Q8VYM7] MASKQAVAGEALAAQINGMSRSEMYDMMSKMKTMIDHDQETVRRMLVDNPDVTRALFRAQVVLGMVKTPKTAQSSDMVPPTAVPTAPSSVKTTAPDHVSLPPPPIPANQQSVAQLSAPFPSGLSNVGSTMDIPTISANPPQPTQAKGYPIHQMSSSAPLPSQHPNMALPHAPPQYSNLPSHIPIVHSQPQQPLQSPAIYNQQLQPPLPQLSRPPSMQSFAHQMHPQVSNSFGLTHANAPQHMLQQPMFHASSHYNTQSTTPMQVDRSPWGRAPEGPTSGSHFPGQLPGLPGQMAQGIGGIQAGQAPLTPEMEKMLVQQVLGMSAEQINMLPPEQRQQVLQLRDMLRQ >Sspon.07G0005750-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:14899253:14899465:1 gene:Sspon.07G0005750-1A transcript:Sspon.07G0005750-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding HGRGRRRDRTRTARIQLQPGSAKAAARLHAQTCAGRFRFASRSGGGPGLLGAWTLVLFFEQVSWPMESAWL >Sspon.01G0002320-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1B:5500018:5501604:-1 gene:Sspon.01G0002320-2B transcript:Sspon.01G0002320-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPVFLFIELALVLCFLTALYYRRLQSKKTSPLEPTEWPIVGHLPGLVANIHHFHDWATGVLTGAGYNFEASGGRTGLGYFITCDPSNVRHIFTSNFANYPKGEEYAAIFDVLGGGIFNADGESWRRQRVMAQMLMTGPRFRAFTARCCREKVERSLLPFLAHHAAGEGRPPCDLQDVFLRLTFDMTCALVFGVDPGCLAVGLPVVPFARAMDDALETLFLRHIIPVGCWKLMNVLEVGQEKKMAAARRTIDSFVAATVAKRRADKLKEGQGISNSFDLLSSYICHEDSSDANDDVFLRDTTVNLLLAGRDTTGAALSWFFYLISNNPRVEQKLLDELAPIASRRKGADDGADADSGMVTFDANELGSLVYLHAALSECLRLYPSVPFEHKVAAAADVLPSGKELKAGDKVLVFNYSMGRMKGVWGKDCMEFRPERWLNEEGTKLRYEPSYKFISFNAGPRTCLGKETAFVQMKTVAAAVLWNFAVEVVPGHVVEPKLSIILHMKNGLAVKVRRRHGTAPSSCVTAYI >Sspon.03G0014260-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3B:66618036:66618632:-1 gene:Sspon.03G0014260-2B transcript:Sspon.03G0014260-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLSPPLHTEAAAALWRPRQRWHGSLERTTTSYYPVKKHAGRLQASYRGLEALYDDGYQRMKNLDYYYESLGELVEHDSGPVRWFCPVDAGSPIEDAPLMLYLPGFLN >Sspon.02G0042480-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:83726747:83729776:-1 gene:Sspon.02G0042480-1B transcript:Sspon.02G0042480-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to Glycolate oxidase (EC 1.1.3.15) (Fragment) [Source: Projected from Oryza sativa (Os07g0152900)] MQIAQGSKSEHLISYITLTSTTPSISCSRWSVEEEQAQVLRSLPIDDEANMGEITNVMEYQAIAKQKLPKMAYDYYASGAEDEWTLQENREAFSRILFRPRILIDVSKIDMTTTVLGFKISMPIMVAPTAMQKMAHPDGEYATARAAAAAGTIMTLSSWATSSVEEVASTGPGIRFFQLYVYKDRKVVEQLVRRAERAGFKAIALTVDTPRLGRREADIKNRFVLPPHLTLKNFEGLDLGKMDQFVRAEHEQAADSGLASYVAGQVDRTLSWKDVKWLQTITTMPILVKGVITAEDTRLAVANGAAGIIVSNHGARQLDYVPATISALEEVVKAARGQIPVFLDGGVRRGTDVFKALALGAAGVFVGRPVVFSLAAAGEAGVSNVLRMLRDEFELTMALSGCTSLADITRNHIITESDRLRAMPSRL >Sspon.01G0004120-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:11374498:11375367:-1 gene:Sspon.01G0004120-1A transcript:Sspon.01G0004120-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Basic helix-loop-helix dimerisation region bHLH domain containing protein [Source: Projected from Oryza sativa (Os03g0171700)] MSSSGRRGRISDDEINELISKLQALLPESSRRRNASRSSASKLLKETCAYIKSLHREVDDLSERLSGLMSTMDNDSPQAEIIRSLLR >Sspon.04G0023340-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:12095966:12096541:-1 gene:Sspon.04G0023340-1B transcript:Sspon.04G0023340-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPWYRGSQLYYAMKIFFPKQIGIYIEKTRKKAAGVTYTITSIIEAVDSTTLRITELPICCWTQDYEEFLEPLDPHNKNPNKETISVEYRKQSDAEDIYIVTILSEEHIDIAKRQGLPKKFKLTNWTNKYALAWPGWQHSEV >Sspon.01G0027920-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1A:97835761:97837021:1 gene:Sspon.01G0027920-1A transcript:Sspon.01G0027920-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDGAEIEGPGATDQETKDSSNNQEVETDLVEGRIESADNSAAAFKQVRGQECDNSDPKSYGDSTTRVTRDK >Sspon.02G0050420-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2C:61107220:61108923:-1 gene:Sspon.02G0050420-1C transcript:Sspon.02G0050420-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLKPTRDSLGRNPLSDALHRNPGVVLNGQGPGRHPLSGASYRDPGTMKNEQGSGRRNLSGALHLRPGVVKNEQGSSHFPRRVRRVRKLAEPTRIRLGSWNVGSLTGKLRELVDAAIRRRVNILCVQETKWKGQKAKEVEDTGFKLWYTGTTSGRNGVGILIDRSLKDGVVDVRRQGDRIILVKLVVGDSALNVISAYAPQVGLSEGTKRQFWEDLDSMVSTVPISEKLFIGGDLNGHVGATNVGFERVHGGFGYGSRNQEGEDVLNFALAYDLLIANTLFRKRESHLVTFRSGQHSSQIDFILTRREDRRACFDCKVLPGECVVPQHKLVVADFRFRVRVHRDKRAKIARTKWWKLRGEAAQTFKERMLDEGPWEEGEDADDMWLKMATCVRKVASEVFGVSRGGKREAKDTWWWNDEVQRAMREKECFKRLHHDKSAANIEGYKIAKRAAKRAMSVAKGQAYDGLYQRLGTKEGEKDIYRMARIRERKTRDINQIKCIKDGTDRLLVKDEEIKDRWREYFDKLFNGENEGPTLELDDSFKRYQQTLCEENSGDRDRRGFEEDEGR >Sspon.05G0021550-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:73564954:73566252:-1 gene:Sspon.05G0021550-3D transcript:Sspon.05G0021550-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AMAKPPPPTSTSDPALPTTTTSAPKSSSRPAAAGLLDPLAATARSLLASARRSPVTTLAGAFFLLALVMYGEDARTIAELSIDDYLYPDADLYNVSGLPPLALPPPTCDLSRGRWVFDNVSVPAYREKDCTFLTKQVSCLANGRPDDMWQYWRWQPNDCSLPRFDARRFMEAMRGKRLMFVGDSLNRNQWESLVCLVQPILSKGRKKIVKRGSFNTFYAKEYRATLEFYWAPFLVESNSDNPNFHSIKERIISPERIQSHARNWKDVDYLIFNTYIWWMNNADIKVRRPNSKSWSENDEVPRIEAYGQVFKTWSDWLNDNIDPARTSVFFMTISSPHLR >Sspon.05G0031140-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:14388169:14392361:1 gene:Sspon.05G0031140-1P transcript:Sspon.05G0031140-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLHQVWQLGVKDMKAVPLPRLRAAPKRRAWILAVAAFVFIALAWAYLYPPPHYTSPVRDWLPGRLPAEPARELTDEERALRVVFRQILTTPPVRSKNSKIAFMFLTPGTLPFERLWEKFFEVTWGKISMVDAERRLLANALQDIDNQHFVLLSDSCVPLHNFDYVYDYLMGANLSFIDCFYDPGPHGNFRYSQNMLPEVTETDFRKGSQWFSVKRQHALMIIADSLYYTKFKLHCRPGMEDGRNCYADEHYLPTLFHMMDPDGIANWSVTHVDWSEGKWHPKAYRAKDVTFELLKNITSIDMGHHVTSDSKKVVTEKPCLWNGAKRPCYLFARKFYPESMNNLLTLFANYTLI >Sspon.05G0020830-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:90133796:90135448:1 gene:Sspon.05G0020830-2B transcript:Sspon.05G0020830-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding HPVPPRSSTSTSSPSSIAASATRVHPSDADADAVAVAHHHDGSGGRRGRATATCLRAAEDDSRGQGQPAVYTVWKRSSMGFHGTDGFSVYDAAGSLAFRVDNYSRRRKLFAGELLLMDGRGAQLLALRPQVLSMRDQWNCYRASSEESAGDKSFPGRQHLFSMRKCSLVKGTDEAEVYMASGSAAAHGAQQVPSFTVQGSFWRRSCKIRRGDGEEVARITRKKAGAAAASEAVTLGEDVFNLTVMPDADCAMVMAFVVVMDRICQRPYKPLMCSSSSGSCSS >Sspon.01G0045190-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:87713510:87716982:-1 gene:Sspon.01G0045190-1P transcript:Sspon.01G0045190-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFFHDRNIVSTVNLDCKLELKAIALQARNAEYNPKYARIIQKLGFPAKFKDFKIQNIVGSCDVKFPIRLEGLAYSHGAFSSYEPELFPGLIYRMKQPKIVLLIFVSGKIVLTGAKVREETYTAFENIYPVLTEFRKVQQ >Sspon.02G0034740-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:13980511:13980841:1 gene:Sspon.02G0034740-1B transcript:Sspon.02G0034740-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVSSCRKEERIARVLKWVDGEGRVVVVKPVMLDTERRVVKRTMRRTDRLQGLMDHYYDVVCSAGAATRGAGRFVFDGKRLLVLGMVNGDKIDFFEDLMSG >Sspon.03G0042950-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3C:74118733:74121711:1 gene:Sspon.03G0042950-1C transcript:Sspon.03G0042950-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLYSNTPRSLDYRRSGVQDWARKKTTALLSLQSSLKTWDREVFGSVKQQVKDLRAELEAERSSTLYRGPTDKERSVVAKLSEVLAREETMERQRARISWLRDGDRNTEFFQAKARARNRTNRIKVLKDEAGNEFTDQDNLKRLACEFYQNLFAAQDDLQPELICRHVPQKVTVEMRELLERPFIELEVETTLFQMAPSKAPGVDGFNAGFFQTHWQLVKGCVVSAVLGFLNGGELPEVVNQTLLVLIPKVSNPQNLTQYRPISLCNVLYKLCSKTMANRLRVILDDIVSEEQSAFVPGRLITDNVLIAYECIHHLRYKKGKTGGCAIKLDMAKAYDRVEWNYLKAIMQALGFLDSWCSLVMKCVSSVSFSVRVNGVFSDSFKPTRGIRQGDPISPYLFLLCSEGLSCMLKNIGPLYLSRGVRVSRHAPWISHLLFADDCLIFTQATKRGAERVADILDDYNRGSGQLVNKHKSAVFFSPNCLQDCKDEVQACLQIPNEALGERYLGLPTAAERGASDAFNYVPARVRAFVGGWAEKNLSCAAREVLLKANAQSVPTYPMSCFKLSPVVCRKLTSAVSNYWWGSSLDNHKIHWLRWEKLTRSKCQGGMGFRDFSLFNKAMLGKQGWRLLTRPDSLCAKVLKGKYFPSGDFLSATKKRRSSATWRAIIHGRDVLKRGLINRIGPGKINIWQDNWIPGLRSLKPLVRMPKATAERVCDLFILGTRVWDDRAVHKSFMAIEAAEVLKIKPSARLEEDVLAWAFEKNGTYSVRSAYRLLKEDQAAEAMAAASETGASDDDRSWNAVWKLSVPPKVRVFWWRVLHNSLPSKAELKRRHVANESYCEMCGEPEESLYHVFFLCPVAKRLWTEIKKLTGVTIPNLHPSPWATCSTYGSLLPQRSLGSSLRSVDIMDREERLSPWPQGLGTRGYSALYLVHVGGAGNAKSTCPGG >Sspon.01G0008320-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:61984637:61988067:-1 gene:Sspon.01G0008320-2B transcript:Sspon.01G0008320-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRLLAILFAAAALAVATTAHEHHGEAPTCAGGSGHVLAEFRPGEVTVDAHSDDWDSVEASEFALLPALDPDEDKAYSGGKVAVKAVHDGVNVFFLLQVDGAYAYTKGESNKCPSVALMFQVGEKATFYNMGGCKDMPDSCTSKSCRGHEVDIMHFSIGNAIPGRLYGGNHIDNAAGNGNDRFGHLVDVYAWNPHCRYLDGVGPKGFVDDDNPYGKQDEKGTYYFEFSRPLRTMDQFQQDAQFTIGHPSNMAVAFWYPTDGKAWSNSDHYSASCNWLVLDIQPSSEAAYYRPAPNRSWDAATAFALLLSVVAICMSIFVGYRFSRNRSTAQFTPLEQIQFAEERH >Sspon.06G0033000-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:6655979:6658196:-1 gene:Sspon.06G0033000-1D transcript:Sspon.06G0033000-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKDRERRCRSSLKKREERPKPLDKVPELASAKENPPGPRPSDAGSSGSAAGNPEGASTPSSSFQGLLVPVAPSSPGDEVQQQTPPDILSLPEEDTVEHLLGAVPAGPAAPMPPAPAPEGPQLLLPHKITGTAMRLRLRGRKWKQP >Sspon.04G0016920-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:10217649:10219320:1 gene:Sspon.04G0016920-1P transcript:Sspon.04G0016920-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVNGTLNLSRAIGDMEFKQNKFLSPDKQILTANPDVNIIELCDDDEFIVLACDGIWDCMSSQQLVDFIREHINTEESLSAVCERVLDRCLAPSTMGGEGCDNMTMILVQFKKPIAQVKDASGGEQPAGDAGSSETQYPPPFEADPDTCMTICSEEHLKHSARCPDLHRYLRN >Sspon.03G0024490-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:74554344:74558736:-1 gene:Sspon.03G0024490-1A transcript:Sspon.03G0024490-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSEPPPASSATAAEELAADLSAATLSKKQQKKDARKAEKAEKAAQRQQQQQQPADAEDPFAANYGDVPVEEIQSKAISGRSWSEIGDLDDSAAGRSVLIRGAAQAIRPVSKKMAFVVLRQSMSTVQCVLVASADAGVSTQMVRFATALSKESIVDVEGVVSLPKEPLKATTQQVEIQVRKIYCINRAIPTLPINLEDAARSEADFEKAELKFREFLLSKDFVGIHTPKLISGSSEGGAAVFKLLYNGQPACLAQSPQLYKQMAICGGFERVFEVGPVCDIIDGLFVSIFKHLTENCKKELETINRQYPFEPLK >Sspon.08G0028650-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8D:14750464:14753516:1 gene:Sspon.08G0028650-1D transcript:Sspon.08G0028650-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTVCVTGAGGFVASWLVQLLLSRGDYLVRGTVRDPSDPKNAHLMALDGAGERLRLFKADLLDRASVAAAIAGCDGVFHVASPVPAVEPTNPDMSRFGTRARRWWDEEDESDVDDLFIIAGLLEGSKRNKRKKKFRGSLPGRRKVPRDISGGHNRIYQDYFVDQCVYSERHFRRRFRMFRSLFLRIKVVAVVRMLAYGISADFLDEYVRMGESTIIECLKHFVKAVVEVFGEEYLRAPNAEDTARLLAINSARGFPGMLGSVDCMHWKWDKCLVGWRGAYEGKEDGPTMILEAVASQDLWIWHAFFGLPGSLNDINVLRRSPLFQSLTSGTAPQVEYMVNGNKYTMGYYLADGIYPAWATFVKAFQRPQGNKKIHFTMAQEAARKDVERAFGVLQARFAIVRGPARMWHKEDLWYIMQACVILHNMIIEDERDEEDDFNYHQEGIPVLQPVDYQRRNPLVLEDFLKIHDEIEDRSLHERLRDDLVEHLWAIHSSR >Sspon.07G0029210-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:75150188:75190075:-1 gene:Sspon.07G0029210-1B transcript:Sspon.07G0029210-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASPYPTTPWLRPPPLMGLLGLLLLLLLLLLVSLSPSIPLAGAGAAGVLRQVVGGDDGGETFFEPFNVTYDHRALILGGKRRMLVSAGLHYPRATPEMWPSLIAKAKEGGVDVIETYIFWNGHEPAKGQYYFEGRFDIVRFAKLVAAEGLFLFLRIGPYACAEWNFGGFPVWLRDIPGIEFRTDNEPYKAEMQNFVTKIVDIMKEEKLYSWQGGPIILQQIENEYGNIQGKYGQAGKRYMQWAAQMALALDTGVPWVMCRQTDAPEQILDTCNAFYCDGFKPNSYNKPTIWTEDWDGWYADWGEALPHRPAQDSAFAVARFYQRGGSLQNYYMYFGGTNFERTAGGPLQITSYDYDAPIDEYGILRQPKWGHLKDLHAAIKLCEPALTAVDGSPRYVKLGPMQEAHVYSSENVHTNGSISRSAQFCSAFLANIDEHKYASVWIFGKSYSLPPWSVSILPDCETVAFNTARVGTQTSFFNVESGSPSYSSRHKPRILSLGGPYLSTTWWASKEPVGIWGEGIFTAQGILEHLNVCDWGEALPHRPAQDSAFAVARFYQRGGSLQNYYMYFGGTNFERTAGGPLQITSYDYDAPIDEYGILRQPKWGHLKDLHAAIKLCEPALTAVDGSPRYVKLGPMQEAHVYSSENVHTNGSISRSAQFCSAFLANIDEHKYASVWIFGKSYSLPPWSVSILPDCETVAFNTARVGTQTSFFNVESGSPSYSSRHKPRILSLGGPYLSTTWWASKEPVGIWGEGIFTAQGILEHLNVTKDISDYLSYTTRVNISDEDILYWNSEGLLPSLTIDQIRDVARIFVNGKLAGSQVGHWVSLNQPLQLVQGLNELTLLSEIVGLQNYGAFLEKDGAGFRGQVKLTGLSNGDIDLTNSLWTYQIGLKGEFSRIYSPENQESAEWSSMQNDDTLSPFTWFKTMFDAPEGNGPVAIDLGSMGKGQAWVNGHLIGRYWSLVAPESGCPASCNYAGTYGDSKCRSNCGIATQSWYHIPREWLQESGNLLVLFEETGGDPSQISLEVHYTKTICSKISETYYPPLSAWSRAANGRPSVNTVAPELRLQCDEGHVISKITFASYGTPTGGCQNFSVGNCHASTTLDLVVEACEGKNRCAISVTNDVFGDPCRKVVKDLAVEAECSPPSANKEPRDDM >Sspon.05G0013710-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:48780632:48791850:1 gene:Sspon.05G0013710-1P transcript:Sspon.05G0013710-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLAGQISGCIANLTSLSQIDLTHNSLSGAIPDELGMLPGLRTLMLAGNHLEGNIPDSLGFSLSLSYVDFSNNSLTGGIPRSLASSSSLSTLILSRNNLAGGIPATLFANSSTLTTVDLQMNSFTGGIPPFDKVTALNYLCVTENFLSGSIPPSIGNISSLRSILLGQNKLTGLIPESLGHISKLLQLDLSFNSLSGHVPVPLYKLSSLKYFSLSSNGLVGQLPSDIGYTLPNLQVLDLSNNSLHGRIPSLGSLAKLRQVLLGRNQLEAYDWQFLSSLTNCTQLTKLSLEGNMINGSLPGSIANLSPSLEYLLLGSNQISGSIPVEISNLVNLTMLSMENNLLSGSIPATMGKLRNLFILNLSKNKLSGQIPSSIGNISQLGKLFLDGNDLNGNIPSSLGQCLGLVQLNLSSNSLDGLLPKELFASPPLSLGLDLSFNNLTGEIPEDIGKLEHTVLLNVSNNLFSGVIPGTLGGIPETFRNLRSIEYLNLSRNVLSGNVPEFFESLTMLETLDLSYNNFDGPIPTGSFFRNSSVVILGGNKGLCSRSSTLALPVCDGATKPKNHSVPLLLVVIPSVTIALLLLLWFLVTLWKKRVFEFPRWEDVLRMIRLVSETERGEVQTFPLNNETLKKVSYSDILRATNCFSSVHTISSTRTGSVYVGRFKYDKSLVAIKVFNLNEPAAYESYFIECEVLRSTRHRNLMRPVTLCSTLDTGNHEFKALIFKFMYAMGSEIATEGDVYSFGVLLLEILTGKHPTDDSFVDGLNLHNFAESMFPDRLAEIIDPHMVHEESQPCTEVWMHSCIFPLVALGLSCSMESPKDRPGMRDSCIIPLVALGLSCSTQSPKERPVVTAAEANKTEIDRQALLCFKSGITSDPLGILNSWRNTSRNFCNWSGSLAAQGFHPVWSR >Sspon.02G0018630-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:63017277:63019469:-1 gene:Sspon.02G0018630-3C transcript:Sspon.02G0018630-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:5-amino-6-(5-phospho-D-ribitylamino)uracil phosphatase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G11570) UniProtKB/Swiss-Prot;Acc:Q9LDD5] MVVDTVSASTSIIAPHLFDHRSRGAGASHHHHLRRTFHVVACRPLPTAFAGRRLVARVNIQPSPRLATWPVRALAMGVTKEASPRREYRGIPGDGGDMGDVGVTSPTPSWPPQNRADDPKLHNPLLRLERMGCGWLGVIFEWEGVIVEDDAELERQAWLTLAQEEGKSPPPAFVLRRVEGMKNEQAISEVLCWSRDPSELRRLALRKEEIHNSLRGGSYHQMRNGSREFMRTLANYKIPIAVATTRPRKVIEEAIEAVGVRNFFDAVVAAEDVYRGKPDPEMFLYAAQLLSFIPERCIVFGNSNSAVEAAHDARMKCVAVASKHKIYELSAADLVVKQLDELSVVDLKNLADIESPEFGMEPEPEMEEEEEVPPPPTSVGVDDLFWMPMQAKQPKLKV >Sspon.04G0030560-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:3542096:3546571:-1 gene:Sspon.04G0030560-2P transcript:Sspon.04G0030560-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding KMDPALLDDVIRRLLEVKNLKPGKNAQLSESEIKQLCAAAKEIFLSQPNLLELEAPIKISGDVHGQYSDLLRLFDYGGYPPQANYLFLGDYVDRGKQSLETICLLLAYKVKYPENFFLLRGNHECASVNRIYGFYDECKRRFSVKLWKTFTDCFNCLPVSALIDDKILCMHGGLSPELNKLEQILNLNRPTDVPDTGLLCDLLWSDPSNEATGWAMNDRGVSFTFGPDKVNEFLEKHDLDLICRAHQVVEDGYEFFANRQLVTIFSAPNYCGEFDNAGAMMSVDETLMCSFQILKPARKMLGGSTNNKSGFKVCMINMEAVYICQISTICCPTKLFGPYPLDMSSVLNFQKHYELIVWHAAIERMVTMA >Sspon.02G0028960-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2A:105182925:105183107:1 gene:Sspon.02G0028960-1A transcript:Sspon.02G0028960-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARCLGLAALFLLGLAAAASASAAAADAPFVVAHKKVSLSRPKPGVERVAVSLDLYNQGSA >Sspon.01G0030310-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:109329523:109333636:-1 gene:Sspon.01G0030310-2B transcript:Sspon.01G0030310-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MERGAAAALGCALRSPSPSLAQAPRWPPLLRCPRRGPPGPPRSLLPPARRFSRPLDMKKGQSRHSLKTFSSSGHSGVRLNNEDLVNDKLLIDCGEDQDCVLGGIVALGKFDALHIGHRELAMHASKAGTPFLLSFVGMAEVLGWTYRPPIVAHCDRKRVLSSWAPYCRNVVPLEYQVEFSKVRSLSPRQFVERLSKDLRIKGVVAGENYRFGYKASGDAAELVKLCEEFDLSAFIVRSVMDTAKRSHNGVSAAINSSDKGQVSSSRVRHVLAMGDMEYVSELLGRKHRLVLMVNQHCLHEKKNIVLPNSCMLNMPPAEGLYENCNLVNGGYLGPCRVIIDSDTIIIEMKDENSLSLNPIQEIRQLGIEFG >Sspon.08G0023780-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8B:58000610:58002745:1 gene:Sspon.08G0023780-1B transcript:Sspon.08G0023780-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ARSNSSRRSPPPALIAARWSCTAASHILAGATAAAAATAGGGSREIREESEHNWTVMVSKKSKERVAQLIRHMVLEIFRYKLVSAVSCANGLWSKNGNWKGPLEKKIINFVKIIYKLERYQAYDKVPRNVMWWALEKHKVPTKYVTLIIDMYRDVVTFVRTCDGDTSDFPIKIGLHQGSALSPYLFALVMDEVTRDIQGGIPWCMLFADDVVLVDDSRAGVNRKLELWRHTLESKGFRLSRTKTEYMRCDFSVTRHEEGDVSLDGQVVAKKDTFRYLGSMLQKDGDIDEDVRHRISAGWLKWRQASGVLCDKRVPQKLKGKFYRTAIRPAMLYGAECWPTKRRHVQQLSVAEMRMLRWCCGHTRRDRVRNDDIRDRVGVAPIEEKLIQHRLTWFGHVQRRPPEAPVRSGVLKRADNVKSGRGRPKLTWDESVKRDLKEWNIPRDLAMDRSAWRLAINVPEP >Sspon.01G0036260-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:13304962:13305972:-1 gene:Sspon.01G0036260-2C transcript:Sspon.01G0036260-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVRQRRQLPHLTLPLDHCALRLPPQPQPTAAPSTSTSDARLSDYERLSVLGHGNGGTVYKARHRRSAQPVALKLFADGDTSAAREAEILMLAADAPHVVRLHAVIPSASSAAAGAGEAPAALALELMPGGSLSGLLRRLGRPMGERPIAAVARQALLGLAALHALRVVHRDLKPSNLLVGAGGEVKIADFGAGKVLRRRLDPCASYVGTAAYMSPERFDPETYSGDYDPYAADVWSLGVAILELYRGHFPLLPEGQRPDWAALMCAICFGEAPEPPAAASEEFRDFVARCLEKKAGRRASVAELLEHPFVAERDAAAAQHALAALVAEAEQRDQ >Sspon.03G0023500-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:89931765:89932651:-1 gene:Sspon.03G0023500-3C transcript:Sspon.03G0023500-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RMARRIAGVAALALLSVLMAATCAAGRDFYVGGHAGWAPNPAEPFNAWAERNRFQVNDTAVFRYSKGADAVLVVSQGHYDACNATEPALRLDDGDSRFVFRSSGPYFFISPDAARCRAGERLIVVVLAVRDDGTSSSPPPPKPSSSPAPPPKSTLSPPPPGASPAPRALLAPPPSKSSSPPPPAPHALPTPPPPHPVPGKNASSPSHSPVPAPAPAPGTNGTSSPPPSPSSAAVFRGGFLACLMLIGGAAILV >Sspon.06G0012760-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:58548319:58550934:1 gene:Sspon.06G0012760-3C transcript:Sspon.06G0012760-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKGGTSWLTAVKRAFRSPSKDDSSSPTRKASRLREDADGDDDKGKREQRRRWLFRRSSSPSPSPAAAPAPTAPDHAHPRPAAVTEEQRHAIALAVATAATAEAAVATAQAAAEVVRLTRPGAVPAGSSFVRREHYAAVVIQTAFRGYLARRALRALKGLVKLQALVRGHNVRKQANMTLRCMQALVRVQARVRDQRMRLSQDSMLSMSMSGAGAAPCGSSKSSYSVDTSTFWDSKYAHDYADRRSVERSRDGSSFAADDWDDRPRTIEEIQAMLQTRKDAALKRERALSYAFSHQIWRNPTASAAEEMDVDDGKPRWAERWMASRASFDTSRSSIRGAGAGAAAVPGRASMDQREPVKTLEMDTARPFSYSTPRRGSSSPMHRAHQQHPAVATPSPVKARPPIQVRSASPRVDHHHHRGSSGGSYTPSLLHSQRHASAAVPNYMAATESAKARVRSQSAPRQRPATPERDRGSGCGSAAASGAKKRLSFPAQLMQGQAEYAQSLRSPSFKSAAGRFSSEQRSTVSSSCADSLNGGDVVVSPSSTTDLRRWLR >Sspon.03G0016290-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:51852032:51856907:-1 gene:Sspon.03G0016290-1A transcript:Sspon.03G0016290-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMSSSIFVARLGMRLLQRRNKSLPIQSLFPYLYFMIRDLKVAKEEQDIGFYAGFVGATYFLGRTISAVPWGMFADKYGRKPCIVISILSVIVFNTLFGLSTTYWMAIVTRGLLGLLCGILGPIKAYASEVSRKEHQALGISFVTSSRAIALVIGPSIGGFLSQPARKYPKIFSEESIFGRFPYFLPCFVISVLAVGSCIACIWLPETLHFHDDDKVEAIEELESQVGGSKSTENLLKNWQLMSAIIIFSIFSLHDTAYLEILSIILLTTYPFMSNLHGLELKILINMASVLKNATITIACNILQNTAVTQKQRGTANGISVTMMSTFKAVAPAVAGIIFSWAQKHISGLFLPGKWDIHGSSNFAIFALGFQKGNQILFLTLNMVSLIGL >Sspon.08G0017440-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:1706327:1707486:-1 gene:Sspon.08G0017440-1B transcript:Sspon.08G0017440-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding TMGLSRRFLNLIVESNEAATRSLHRIDLKRLQLFYPTPPPPPPAPIRRPTTTMERIRLPGPILNIKAHSLRGAGDPCDLACYPFGQRKVLSTDQAGRNFLYDVNTCDVAAMPEFHKPKLRRCLSLFVPSGDDGEDDREGGGGGSLYLMERDLREVKSYNIEVGQPSMDFEVLFYGNGRTTSHCKRLPLPPFVLDRGTYTLDTSSHTWDKVGDWTLPFHGKVEYVPELKLWFGLSGDAQHLAAANLSAMGAHSQPQLAAGHGWEEFLPPEQWAEQELDIDQEDVQLMNLGSGRFCIARFFINWRESEDSCLYFAVLTGVEVVPRVLANGEVKLEMIHHKSMCHHMSPVDGAYIEQLF >Sspon.04G0012240-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:39854207:39858348:1 gene:Sspon.04G0012240-1A transcript:Sspon.04G0012240-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVAEEEVSMEGEQKGGASGGVRDGEVRTPAAVSLETLRKRMADFATERDWEQFHSPRNLLLALVGEVGELSEIFQWKGEVPKGLPGWDDGEKEHLGEELADVLLYLVRLSDMCGVDLGKAALRKMEINARKYPVGQCKGSSKKHTHYGSTNNVSDSDNANTPNKENNNGV >Sspon.08G0001750-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:2202026:2206446:-1 gene:Sspon.08G0001750-2B transcript:Sspon.08G0001750-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDACSLVRRHLPRPLQLPLVAPPIPSRRVASAVVRCCCSAAAADHHQERPWEWYDRAIQSHAGSDLARSLGLLADMQASGARPSAGAYARLIRALSRAGRALEAEALLLEMRRLGPRPDAAHYNALLEGLLAAARLRLADRLLLQMADDGVARNRRTYTLLLGAYARAGRLEDSWWVLGEMRRRGIRLDTAGYSMLVRLYRDNGMWKKATDLVMEMQEVGVELDVKIYSGLIDTFGKYGQLADARRVFDKMRAEGVKPDISTWNALIRWHCRVGNMKRALRFFTSMQEEGMYPDPKIFVMIISWLGEQGKWDEIKKLFDGMKNRGFKESGAVYAVLVDIYGQYGHFRDARECIAVLRAENTRLSPRVFCVLANAYAQQGLCEQTVNVLQLMEEEGFEPNLVMLNLLINAFGTAGRHLEALAVFQHIKDSGMSPDVVTYTTLMKTFMRAKKFEKVSEVYREMERAGCTPDRKAREMLHDATVVLEQRGLQDNRGYGHSKTIRHKQKDESENILCHEQREEYRHSENELEIKDKWTPGLSA >Sspon.02G0046690-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2C:6076186:6076821:-1 gene:Sspon.02G0046690-1C transcript:Sspon.02G0046690-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPVPATCLVECPNGSHASGMWPPCAHSDPHLRCHASRGLGSMGRYQDAHPSSTPRSHSPSSSSLAHCSLSLPSTGAMATATIAERWSSAQTAAVFYLRPNQVHHHLRHAVLRPARTLAQAPGHRSTPPPCAAFPVAAVHVARAACAISDGATTALEFALAPGMLRRHRSPLERRPARRRPAGSLPCSMFCRGRRRHSPPLSVFLCVCMTG >Sspon.07G0020530-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:70476398:70491425:1 gene:Sspon.07G0020530-3C transcript:Sspon.07G0020530-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine carboxypeptidase I precursor (EC 3.4.16.5) (Carboxypeptidase C) [Source: Projected from Oryza sativa (Os12g0257000)] MARGGSTAAPPALPLLLLLVLSSSFACRCLAAPPGALVTSVPGFAGGKLPSKHYAGYVTVDEAHGRRLFYYLVESERDPAKDPVVLWLNGGPGCSSFDGFVYEHGAERRCVVVDDNGDNDELALSDVLEVANVVETFEGPFNFEAGGSAGSLPKLHLNPYSWSKVSSVIYLDSPAGVGLSYSNNVSDYETGDLKTAADSHTFLLKFPPVTFNQWFQLYPEFLTNPFYIAGESYAGVYVPTLSHEVVKGFGFGIGYMVGNGVCDTAFDGNALVPFAHGMALISESIYKEANTACQGDYWNYSSAKCEEALSKVDTAIDGLNIYDILEPCYHGTNTKENKLPQSFKDLGVTSKPLPSDEVATAWLNNDNVRSAIHAEPVSSIGPWQICTDKIDFHHDAGSMIIYHKNLTSQGYRALIYSGDHDMCVPYTGTEAWTASLGYGIVDSWRQWIVNEQVAGYTQGYESGLTFATIKGAGHTVPEYKPEESLAFYSRWLNALFKHTPGLRRDRAHTAMACSASAPPARAAAAALLLLASIACCCLAAPPGALVTRLPGFDGAQLPSKHYAGYVTVNETVGSRLYYYLVESERDPARDPSCSGSTAVPAAPAWTASSTSTVRRRPCSPIRCAIDHSTLSQEGLLEVYQSFISTLIAGPRSAISLGILSNRHNSSGVLDKVVKFSLQVSSVLYLDSPSGVGLSYSKNVSYYETGDIKTAANSHTFLLKWFQLYPEYLKNPFYIAGESYAGVYIPTLANEVVKGIHKGDNPVINFKGYMVGNGVCDTAFDGNALVPFAHGMGLISDDIYKQANTACQGNYWNYSNSGECTEAVSKVDTVINGLNIYNILEPCYHGSNTKEVISQNNRMPQSFKDLGVTSRPLPSDEVATAWLNNDGVRSAIHAEPVSSIGPWVLCTDKLIYHHDAGSMIIHHKNLTSQGYRALIYSGDHDMCVPYTGSLAWTTSLGYGVIDSWRPWFVNEQVSGYTQGYENGLTFATIK >Sspon.01G0047610-1P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:102468427:102471606:1 gene:Sspon.01G0047610-1P transcript:Sspon.01G0047610-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFNFGLLELADGESGEAAVSVVVGKAKAEAAHANKAAEAAAAAESAAPGTTEKEKAVHKNLYYFKLRHDNGIRNIELELKRLRDVLIKLRGQETKLKEKGENGVHLIQLSEEQRELRQQQKKLREEEARLRSLRKEFYLEHGFPLVGGDEEEPQVPNQGESVQGNDNAGVDNFNGGYNSDGYGSVPSYHDGEPGVHADGAYVYHERQVEEGYAYNNNGGRQGYPEVRKVQKWVAKQPSSDAGTEADHKPEEKVTSDAGSEAAHKPVENDQKLAATPANADTKPVSGSEKSSAAGASASNNGQGKFQKQKLNGSVKRKKRKNAKNGGNEVDKAKKQDSSEADLSKKTDKEPPVDYPREEEKKTLAEYEKIRQEKKKSSEDVSRTELRKVSAEEFKGLQMLEKKLDDEEAVIKAEKVQNKAKETSKKEEKAQPEAKDADAAKPKKVVIPLKDLSFAPPRRILEDGSSNGGGAPRGRFNGGSFQGRRRDNSTDSRVPAGRGGDNGRAAQNEAGNYGNSAPRGGYSGGRVYGAPRGDSSGGRGDGGDGAPRGDYSVRRGDGYSGRGNGGYNQGGNGGYQGNGGYHNQGGNGGGYQQQQGGYQRRPGNDNYYRPRGRGYSGNGRSPAPQPIVVEDMNLFPPLPASAPARAAAPAAAPKAAPAPSSATAPAPAPAQS >Sspon.01G0015980-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:48752174:48753482:-1 gene:Sspon.01G0015980-1A transcript:Sspon.01G0015980-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MELTRLKCGGFVGGFHMCHNMANGFGMIQLMITIAKLACGAAAPSILPVWKRELLTSTTHSPTPIIYPNPSYVPLQLNNLDSTCDDGMLSTPLNEMVVDYFIFGPREMTTIENNIRGYHAHSATSFELITVVMWRCRTIALGYKSNQIVHLVITMNARGRWNHHTPIPLGYYGNAHFSPTAQVTIDELCRQPLIDTVELVRRTKLSVTAKEYMLSMLGTMASMHNRLCEDTARTYEVSNMKWIAAGNCLQLGWAEFVGGGIPVAGDITSKIGSDHMRCKNQDGEDSTVVSLLLPRSAMERFKKEITVWLKKHDKKSLIIQSSL >Sspon.08G0022410-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8C:50715866:50721133:1 gene:Sspon.08G0022410-2C transcript:Sspon.08G0022410-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVRATIPSTGQSNAMRGLHFGGCRHQDHRLPPPPQIHLSVTLCPIVGKDAANSIAPCLLQLLKSLQHLWWKRMLADDMETSMLQLHRRSRCLLPHQELAEDDLEDFKSCTNLAAENTEL >Sspon.01G0030220-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:105076427:105077070:-1 gene:Sspon.01G0030220-1A transcript:Sspon.01G0030220-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKQMLLLAVAVAVAVAFLPALDAATEHWVGDDKGWTLGFNYTAWAQTKQFKVGDTLVFKYNKPSHTVVEVSGADFASCSTPEAAKVMTTGRDQVALDSPGRRWFVCSVGAHCLNGMKVRIDVLAADDNAVSPAAPPPSGPAPKVQARLALAVLAVAAVLVL >Sspon.04G0012110-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4B:34530859:34531644:1 gene:Sspon.04G0012110-2B transcript:Sspon.04G0012110-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding METHAFLLAAALLALSCFHAIASDPSLLQDFCVADKMSTVRVNGLPCKDPKDVVAEDFFFPGLHMAGNTTNKQGSAVTPVNVAQIAGLNTLGVSLARIDYAPYGLNPPHTHPRATEILTVLEGSLYVGFVTSNPDNKLFTKVLNKGDVFVFPEGLIHFQFNYGTKCAMALAALSSQNPGVITVANAVFGSKPSISDEVLAKAFQVDKQTVDRIQAQF >Sspon.01G0003210-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1A:8783823:8784471:1 gene:Sspon.01G0003210-1A transcript:Sspon.01G0003210-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCIKDIPTLKGDNYIEWRRKLDLAFILGEVDWVVTAPCPVEPQAPVREADETDAAWQTRERDFAPVKMSYDLEKAKWATANKKCLAVIKNTIEPALVGSIPDCDTVTECLERIKSQFTGSSKTYATQLIKQLITEKYTGGGIREHILRMNNQASKLKPMDLALKEEFFIHLVFASLPKEYDTFVVNYNMQPEKWNLEKLMAMCAQEEDRIKVSNG >Sspon.06G0002610-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:6952309:6953632:1 gene:Sspon.06G0002610-2B transcript:Sspon.06G0002610-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHIVFLRTAAADLTAGKPPLRGVPASAPLSAAAAAIPASQEADVAVWRDGASPLAPATATVIGLLSSLDVVAFLASHLGDAAAAMRTPAGDVVAHEPALVREVEPHTSFQFVRARLIEIVELMKQGARRVLVRKNITEACTVVDKKPFAPFYKAVLKITGTPRAAASAKAVGRPPPPSAFGCDRYCCLTREDIIRFLINCLGALAPTPLQSISSLGAVNRGYAHVEASSPAIESSWRVPSEPRAVAVVQTNRDGSHKVLADVSAHRLWRRDYAAAADSMASLSSLNFAAGVDGHGMVAPGDESSRLGGRKAAFESSLVGQMMMASHGGNAALRCRSTSSLAAVMAQMLSYRTTHIWVTEGENDVL >Sspon.02G0009130-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:24427155:24429631:1 gene:Sspon.02G0009130-2B transcript:Sspon.02G0009130-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAALDAMAGTRWGRWLGLVTAVWVQCISGNNYTFSNYSHALKTLMGLTQLQLNGLSVAKDVGKAFGLLAGLASDRVPTWLLLAVGSLEGLLGYGAQWMVVSGTVAPLPYWQMCVFLCLGGNSTTWMNTAVLVTCIRNFRRSRGPVSGLLKGYVGLSTAIFTDTCSALFADDPASFLVMLSVVPAAVCALAMVFLREGPAAAAAADEEDDGRCFAAINSLAVAIALYLLAADLTGIGGSGGVVSAVFVAVLLVLLASPATVPALLAWKSWVKTRKAANADLEEADSLAAVAAPLLLAAKAAARTEVEARAPGERPRLGEEHTIAQALTSLDFWLMFASFLLGVGTGLAVMNNLGQMGVAMGYVDVSLFVSMTSIWGFFGRIASGTISEHFIKTRAIPRPLWNAASQILMAVGYIVMALAMPGSLFIGSVVVGICYGVRLAVTVPTASELFGLKYYGLIYNILILNLPLGSFLFSGLLAGLLYDAEATAVPGGGNTCVGAHCYRLVFLIMALACVVGFGLDVLLCVRTKRVYAKIHESKRLSRSAVAQRVG >Sspon.01G0050400-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:962040:964927:1 gene:Sspon.01G0050400-1C transcript:Sspon.01G0050400-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding PKAGKSIAETRGGFGVSSVHPRPGHERSQAEKKPSSPHPRRQAAEALHLAPPPALVRPLPRPLPLRHAARRRAAQPLLLPPSKPRALAAAPPVRIYVYDLPARFNRDWAAADARCARHLFAAEVAVHEALLAYAGRAARPEDADLFFVPVYVSCNFSTPNGFPSLSHARGLLAEAVDLVRARMPYWNRSAGADHVFVASHDFGACFHPMEDVAIADGIPEFLKRSILLQTFGVQGHHVCQDVEHVVIPPHVPPEVAHELPEPEKAQRDIFAFFRGKMEVHPKNISGRFYSKKVRTELLQHYGRNRKFYLKRKRFDNYRSEMARSLFCLCPLGWAPWSPRLVESVLLGCIPVIIADNIRLPFPSVLQWPEISLQVAEKDIANLEMVLDHVVATNLTVIQKNLWDPVKRKALVFNRPMEVGDATWQVLRELEVLLDQSQRKRYVGSWRR >Sspon.02G0045580-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2D:89269408:89273290:-1 gene:Sspon.02G0045580-2D transcript:Sspon.02G0045580-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LYFVVFTKKIERKEVHEYPSKRRQSGTRYTKQRAATTCEAGNHRMRMCQCAIPITTDDAILAVHACVRQCHMQLTTQRPEMHTTGTPKDPSQNLSIRGKKKGIRGLLSFPSRIIPRTIGTQEPAGTASASLPTTPCYLTPPPQLVQYGSGKMKGWSRPDTQTRRGKGAHISPLYARALLLSDALQSNDNDTIKTSGWTDTSLSAHPRTRRSPSDPICSSRPRATMLAAPFPSCVVVPIRPSHSASPLPVPRSAVPHPIPCGLLHASSPRCLLGVTFPTRPSLPRPPPSRCLLAAAGLLAATASTELASRDCLHQAGDGYLASVYPSPSVSSDIQTCDIAAVGKQSKAKAGQAKWQTMRLQLGSKVVDIFGVVDGDVKSRPRSLKPSRPPVQSPDHYLAKQTQESGAGRYSFSITSVLELSAASTSTHLMQPHQLSRYGRDEMQEPRLCSPSRSCEAAAALIRSSQGNGAVSWHNPIDHHGLSSRAQRWTGRPTDRQRALGFRRAADDATDRCPQYSMPDRVSRLSILFSGSGRRPESRGRFLRRCDGYARLSQ >Sspon.01G0034690-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:4961536:4963426:-1 gene:Sspon.01G0034690-1B transcript:Sspon.01G0034690-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SVHQKVPRPIRSTGSAAKLHISTVVQGKPANKMICCGGAEEDTYPAPASRHPAAPRSTSQQNAGRGKPRGPNAPRSSGPPKVLPIDVPAISMGELNNITGNFGPNALIGEGSYGKIYRAMLTSGEPVAIKKLDPSVSSDCLADFSAQLSMVSRLKNEYFLQLMGYYLDDSHRILVYQFATHGSLHDTLHGKKGVKDATPGPALSWAQRVKIAYGAARGLEYLHEKVQPSIVHRDVRSSNVPLFDGHDSKLADFNLTSQPPDGYHAPEYAMTGMLTHKSDVYSFGVILLELLTGRKPVDHTMPKGQQSLVTWATPRFSEDKVSQCVDPKLGDDYPPKAVAKMAAVAALCVQYEFDFRPNMTIVVKALQPQLGGLAL >Sspon.05G0025660-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:5B:26074315:26075493:1 gene:Sspon.05G0025660-1B transcript:Sspon.05G0025660-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIPHLFRCPISLDIFTDPVTLCTGQTYDRPCIERWLAAGHRTCPVTMQPLGDAALVPNRTLRHLIERWLSADHHQIPDGDDDAAEEPSLAALKRCLQSSAGASAKVAALRKVVALASESDVGRACMLQLGYLPVLLQLVFHAPTPRDQSEQEDLALQCALTLLPSSPASPQHDCLNMLKTEASLTSFVGLLAARGRAVAKSGLCRLLETIATAAATRDLALAAAASPRVWQALLPLLRHGDDRVSGAAVRAVAAICCGAEPARGSAVHHGAVPALLGCLSWASAGKARGGAAACSALAALEALAASEAGRRAVAREPGAVRALVRHVFLMSSSNEGSEHAVAALLAVCRESRAARSEAAGAGVVTQVLLLLQSQCGTRAKTRARSLLKLFKS >Sspon.04G0000630-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4A:2992460:2999380:-1 gene:Sspon.04G0000630-1A transcript:Sspon.04G0000630-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDREPTHQTSPAATRTSATPTKSPPKARTAAPPNPSLCPETESYPPQATEDTYEEELVDWGDGDINASPPAMEDAERAAGHGVPDLEAMEGRALDSELMETEDGRSGGSAIDEHPMGGDAPCFSPQRTGAQARAGSAPEDPPEKAKHAIDANPSAAAEAATLSTTTADLGTGEAADAGRAPPAKLKSILVCSKSQPMRSASIRPRRSVWQRIDLSMATGGGHLTSTARSSKAPAGPNRAESYSPPAKHWERGHPSRVNENQGKTQAEPESPKWQAAKMRRRERPHAVPLRDASSMQLRKTDARGEAREAFKKHLVGRCYRCLASDHLIAQCREPVRCWACKGVGHFSRHCPSRRPPISTKLRSRLTFPPNSIHSRIVFPPLHHHHHSPPSPPQPTTMAHVPGHALQRPTRAHVTVVATDTITREANRLLMHGAIVSVPPEGYRSNAAEVAYALWGQLRVPRCNITVTRHGRGVYLAEFREPGERDRALCKEFVEIGGSAFHIRPWRSAGGATECTWWYHVKVAMENVPMEAWNEDGIRLILGDPCILDRLDSRTVDRETTDLLTCWVWMKDPDDLPRSLEYSFFAEKAGQAYDINGLSSPTRIPSTPPVGKEGDKVILVHLAGYEDWTPGSPETGSGTSSEHGSSGLRFIPFPWTPGVMDGRPASSQHAYPGACSPPVGPTMRRDDHDDNNRGPRPREEAPRSSRVRQLFPGYTSERSVQVCTRLPTPSYRGALEGDLEPMDRGRTMRRSPARSVHERDFMQHATEEWERRRSRSPANRGGNGRHAQTLLLQSGHCQFQDPLIDDAVMTHKLSPQGHFTDWELDPMIQESRAWEQHRLIRYSLEGGNDRVSSPVRPVSTLWVDPEAPVLKEVHHQEDILFGPGVQLPEESQLQEISERVTRMDIDTTSHPGTELVAEAGAEGRMEAGGQATDDGYESDVQVPVDGCAKFCSSIFRSADAPLLPRPTSPAHRGGKERKRVMASTRSSLRLAARPSPVPVAHRAQHKLMRELQFMDSPALAPDAAITELVDLFGQELPTQAIEALKAATRMGNKKLTKILAAMAAEAGAVEMEETKLNHINNQLGSEFLGQGSWMLEFLPADSTRRGIALAWNQDLVTTTQPSRRAYSLSMLMTMRLTNSSFKITVVYGPTEYSEKVDFLRELSDCQPAPSSPWLCLGDFNLIYEARDKNNGNINHNLMSRFRRALDASELIELRLQNRRYTWSNGRATPTLVHLDRVFCNQDWAAIFPTVSLQALSSSLSDHCPLFLCTMLQRPRRAVFKFEHFWTRIPGFADVVAAAWAQPVQGTNALMILHNRLQSTARSLKEWSKTLFSDARTQLLLANEIILRFDIAQETRTLSTPEFEFMKQLKQHVLGWAAIERSRRRQSSRMINIREGDACTKYFHQRANGRRKRNLIAYLKTETDGILWSHDEKEAILYNFYQDLLGTNVNRAQVLDWSILNLCRLEDDEMDRPFTEEEIEQTIRLLPAEKAPGPDGFTGTFYKRCWQIIKKDVMDAVNCFYHLQAGPLEHLNGANIVLIPKLQVSEYAKDFRPCFHKRPLHPRQLHVVRNLARAYHRTKTAALLFKLDISKAFDTVSWEYMFEMLEHRGFSTSWRDWLALLFRTSHSKVLMNGTAGRTIKHARGLRQGDPLSPYLFILAIDALQKVLDLATEDGVLSPLRGRFANLRLSLYADDAVIFLNPEKQEVDALLQILTAFGEVSGLRLNLAKCSVAPIRCAGLNLDSILESFTGQRVNFPITYLGLPLTLGRMKIVHLQSILDKAKSRLAGWQGRLLNHAGRRELVRSVLSAIPVYLLTSIKAPKQLLEDLDKLRRRFLWAGDSEVSGGKCKVSWPLVTRPVQFGGLGILDFERFSRALRLRWLWLSWKGTQRPWQGMTLPTDDTDMALFAAATRVTIHDGRKAYFWHSSWIDGQTPASLFPLFFRHSRRKNRTVREAVLNGKWISDIAYNLDASLLREFFILWGHTQSLQLNTTQEDQIIWILESSGEYSARSAYTIQFSGQMLSNFPKLVWAPWAPPRCKFFLWLLLQNRVWTAARLQLRGWKNNYFCALCERSLETSAHLFIECPFSRKVWELVASWSNCANLHPTSWTQQNDIEDWFLQMTESGTRAAHSLAILSLW >Sspon.06G0029840-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:35647202:35654933:-1 gene:Sspon.06G0029840-1C transcript:Sspon.06G0029840-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPELPEVEVARRALEEHCVGKRIVRCVAADDTKVIDGVAPERLEASLVGRTIVAARRKGKNMWLELDSPPHPTFQFGMAGAIYIKGVELSKYKSWCHLSHFDGIEAVSMRAHNKTKPAVSKALI >Sspon.05G0026680-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:44277474:44300729:-1 gene:Sspon.05G0026680-2D transcript:Sspon.05G0026680-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRFVYINDDSCRYSYCDNRISNTKYTLWNFLPKNLWEQFRRFMNQYFLLIACLQLWSRITPVSPATTWGPLIIIFIVSASKEAWDDYNRYLSDKKANERKIWLIKAQEIHVGDVVWLHENDEIPCDLTAALDGETDLKTRIIPPISANLSVEQLGKVKGVVECPNPDNDIRRFDANMRLFLPIIDNEKCPLTINNTLLQSCYLRYTEWACGVAVYTGNETKSGMSRGVAEPKLTAADAIIDKLTIAIFMFQIVVVLVLGYFGNAWKYTQGLKVTLDLAKGVYAKFIDWDEQMFDWETNTPAHSANTAISEDLGQVEYILSDKTGTLTENKMILKRCCISDTLYGNDNGDALNDLEMRSQVMILMSSNFCWLWLFAIHNDDTVSYKAQSQDEEALVNAAANLNMMLISKDSSTAEICFNGSKFRYELLDILEFTSDRKRMSVVVKEGQTGKILLLSKGADEALLPRACPGQQIQRYLEAVEMYSQLGLRTLCLGWRDLKESEYKEWSKNFQEASCSLDSREFKIAEVCDSLERDLHILGVTAIEDRLQDLAFVLDGWALEIILKHSKESFTRLAMLSKTAICCRMTPLQKAQLVGILKSAGYLTLAIGDGGNDVRMIQEANIGVGISGREGLQAARAADYSIGKFKFLKRLILIHGRYSYNRTAFISQYSFYKSLLICFIQILFAFLSGLSGTSLFNSISLMAYNVFYTSLPVMTIIFDKDISERTVMQYPQILLHSQAGRLLNPTTFCGWFGRSLYHALVVFFITICAYSDEKSEMQELSMVALSGCIWLQAFVVTMDTK >Sspon.01G0041990-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1B:61673151:61673513:-1 gene:Sspon.01G0041990-1B transcript:Sspon.01G0041990-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQHSAAPARNHRYHGARLTGARVRQGRARLRRALQPGALMWRDNGDPKTGRPAVRCDQGAAPVRAGASASAPQGRGDGGAPDAREANAAAAQDQRGGGCYPRPSHAQRRAEALRIHAAAP >Sspon.08G0001800-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:3835425:3836615:-1 gene:Sspon.08G0001800-1P transcript:Sspon.08G0001800-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSVRLHHLPSTSRRSPTPSNLQFRAASCRWWRLPVPGAAPPPLRLRATDAAQPLGSDRNAPLSRGTREEVEKVEEQPQPAPRLKIAVVGFGNFGQFLSRTLVAQGHTVLAHSRSDHSAAAASMGARFFPDPHDLCECHPDVVLLATSILSAESVVRSLPLHRLRRDTLFADVLSVKEFPKRLLLGALPEEMDIICTHPMFGPESARDSWAGLPFVFDRVRVRDDTCPARRARAEAFLGVFEREGCRMVEMSCAEHDAHAAQTQFLTHTVGRMLAALELRATPIDTRGYETLLRLVENTCSDSFDLYNGLFMYNNNSTELLNRLDWAMDAVKRRLFDGLHDVLRRQLFHVGEGEGEGEGEKHAEELPVVPGGGPDTDYGATATATIKSGEENN >Sspon.04G0014580-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:67621090:67636180:-1 gene:Sspon.04G0014580-2D transcript:Sspon.04G0014580-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding WITCMPMHHHNHSSFVLTNSQVERLSELSEWRRGMVTKGVGAGGSGSDDAWARAGDGNGNVNGKATVQELLWHERENHRGRERRRRKIAARIFTGLRKHGNYTLPRHCDNNSVLMALCEEAGWTVEADGTTYRKQGRKPPAGDQQMADVGGSAPVNPGGGPSYGLTRASSPSAGITLGGGGSGGADPIPAWLKNLSKQLSDNSYPNFFASSSNSNEPATSQNGSPPSSPPRLRKKTRYSSPPPATPPPSPTRASNVLPPSWATGAGGSRFSFQTSTPPLMSTVTGGRAPGPDPVTLMAGFQISTAADNKAPVYSSFVASGASSLGAGSFASASAWMLPPLPGRSSTGASAAVPARGGALLSPLGFSFRRSGREHAGAREEEVMPEKNADEEEGLELTLGNAESRKDRA >Sspon.04G0006560-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:18876592:18881236:-1 gene:Sspon.04G0006560-1A transcript:Sspon.04G0006560-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:N6-adenosine-methyltransferase MT-A70-like [Source:Projected from Arabidopsis thaliana (AT4G10760) UniProtKB/Swiss-Prot;Acc:O82486] MSGPSIGANSSKSYQNPSVLQIQPPPTPQVAPTDTDTNSAIIPRPPPLQQPDMWVTQCHRCSHALGHDNAENAARMMPLQRPFMAPGAVIPMGGGPGPNLELLLNKKTYKEKQNTKTGEELLDLIHRPTAKETAVAAKFKTKGGSQLKEYCTNLTKEDCRRQTGSFVACDKVHFRRIIAPHTDTNLGDCSFLDTCRHTKLGESQWINCDIRNFRMDILGQFGVIMADPPWDIHMELPYGTMADDEMRTLNVPSLQTDGLIFLWVTGRAMELGRECLELWGYKRVEEIIWVKTNQLQRIIRTGRTGHWLNHSKEHCLVGIKGNPLVNRNIDTDVIVAEVRETSRKPDEMYAMLERISPRTRKLELFARMHNTQAGWLSLGNQLNGVRLVDEGLRARYKAAYPDVEVSPPSPPRTSTPMDVDQSSSQKAAVPDGGERPA >Sspon.01G0042830-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:68586947:68589888:-1 gene:Sspon.01G0042830-1B transcript:Sspon.01G0042830-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DVAIDRSGTNESPISRGRPSTPSSNHHRPSTPSSNHRPSTASSNHRPSTPGGTRRSSVGTPSTPRSRTNGAGGGPSSPSPTRRRRPPRRSPASRSTAHRDPGTPSPSSSAGCPRSATLLKSSSGGRRELQARLESAQEDLKKAKDQLAFILGERDRLVGELNEAKRVADETHEKLQDALMAKRWAEEATEIEKFRADELEQAGIDEAQRREEEWQREIECVRGQHAADLETLVNTTEELERLRRDLSMVNEAKKAALGHADDAMKIAEVNAEKVEILSNEVVRLKGLLDSSAASEESKSREAELLVKNLESEVSSLKGKLEEAKVLEERLAEAEKMIEELKSEIADVQKVEADIRQQLEEWKEKSGSLEMKLEEVTLSEKFKSDSLASTTEELDKTQLILQDRESEIEVLKGKTTALEIEVARLLADVNDSNEHLDASQQEVFGLQTTVDVLRNKLDAAELAASEALDNERTAITKIEGLTEEKTKLISELDDARDREEREKRTVEDLTAALDKASCKAQEAHDRFQKKEDDYEHALAQIGDLKMALKSMEESYEVMLDEAKHDITCLRETVEKLEAEVSKYREECESKELDLITASKQSEQEIAALKEEAEHVCASLRGAEQELEAVNEEKERLQEKLACTESAVAEAKDAVQEAKAEKERLQEKLAHTESEVAEANIAAQESKIEIERLQEKLTYTESAVAEANKAVQEAKAESSQLKERLLDKENALQSITQENDEFRMREADAMRKIDELSALLAEAMTKKHPEEEEKLVVVDEAHNSVREEVIRSVAENEDTEETDDKKPRLEVDAADMNSNGESKHEEKDDSKVEQEELKTELSLQESDKVSFEKEAQTEYKRQETESSNDELDSKKDSSTESANGTTVPEDTATKVALSPTKPQQQKKNKPLLKKFGSLLKKKNSK >Sspon.01G0011470-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:31232612:31235529:-1 gene:Sspon.01G0011470-3C transcript:Sspon.01G0011470-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTKQTARKSTGGKAPRKQLATKAARKSAPTTGGVKKPHRYRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSHAVLALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA >Sspon.02G0022160-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:73978010:73978638:1 gene:Sspon.02G0022160-1A transcript:Sspon.02G0022160-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVVGAQFCSPRASLLTLTMNLTRGGTVTDAASGAVVLLMDVPLFLRFFGRFVLVDVAGRPLLSAFSLYREWEAFRGDGHGHNGGELLFTAKKSTIVQVRTHMDIFLASNRAQEVCDFKIKCSSVYEGSADIYLGNSSTVIAQVNNGKSVCSWFL >Sspon.01G0017900-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:68524287:68528673:1 gene:Sspon.01G0017900-3C transcript:Sspon.01G0017900-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSPARAGGAVEDQAEADVEETGRGTWRHAAFHVATTIATPAAYAPLPFAVASLGWPLGACSLVIGTLVTWCSSLVVASLWRWNGEKHTNYRLLAESIFGPWGYWYVSFFQQVASVGNNIAIQIAAGSSLKAVYKHYHTADDGAMTLQQFILVFGALELLLSQLPDIHSLRWVNAICTASTVGFAGTTIGVTIYDEQNGGGIVTDEYGYWVTAGYRIERKEISYSLQGSTATKIFRAFNALGTIAFSFGDAMLPEIQSTVREPVRENMYKGVSAAYTIIVVSYWTLAFSGYWAFGSQVQPYILSSLTAPRWATVMANLFAVIQIAGCFQIYCRPTFAHFEERVQAKNRSCISYLCRLTYTSAYMAVITLVSAAMPFFGDFVSVCGAIGFIPLDFVLPAMALLKTWTMPDNPGLQCAVKMLSAAVAILFSIIGALACIGAIRSIALDHIQGFRPKAKRKLIKKGIKKDGKKFEMVRKPSHAGCTKKTDISFYEEEVVGSINAGELFLYDQIIFSKLLPKTKAYVDMGFPNITVYRIDLKKLIKLQ >Sspon.06G0028940-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:12275458:12282415:-1 gene:Sspon.06G0028940-1C transcript:Sspon.06G0028940-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLADGSRYHVTPSTLLSLDPMDLAPVERASQRRTAPEEILIATHRRGPLRTRPMAVGVDGMAVGRGGGIPAASAGWSGVVVSGRRVPGVRRRRGVVVSDFFCGVAWIRKSAPWEGKEGRVDIGLASIEDPGLQLLLLLKNLEEIEQERHIVMLPPQGNDMDASRGFLSGTVDKFKMVFETKSSRRMATMVASFIAVFLLIYYLTK >Sspon.08G0005870-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8A:18606878:18607102:1 gene:Sspon.08G0005870-1A transcript:Sspon.08G0005870-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGAFDLMNMSCPAIPTPVTIQQRNERTYPAIPAHVTEQERPLARPCPSRPPVPRRALLPSAPHPHHAPPEHLM >Sspon.05G0037710-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:19977841:20001920:1 gene:Sspon.05G0037710-1D transcript:Sspon.05G0037710-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKASVALFLAVNLVVFAMASACGGDCPTQTPSTPSTPTPTSASFGKCPRDALKLGVCANVLGLIKAKVGVPPTEPCCPLLEGLVDLEAALCLCTAIKGSILGINLNLPVDLSLILNHCGKTASVALFLAVNLVVFAVASASGGDCPTPTPSTPSTPTPTPASFGKCPRDALKLGVCANVLGLIKAKVGVPPTEPCCPLLEGLVDLEAALCLCTAIKGSILGINLNLPVDLSLILNHCGKTRLRWQLPHAAIAVDADPDVDLVRQVPLRRTQARRVRQRKASSIALFLAVSLAVFAMASACGGNCPTPSTPSTPTPTPASFGKCPRDALKLGVCANVLGLIKAKVGVPPTDPCCPLLEGLVDLEAALCLCTAIKGKILGINLNLPVDLSLILNHCGKIAVLFVALNLLFFTVATACGSCPTPTPPPPPPPATPSPPSSGKCPLNALKFGVCANVLGLVKGEAGKVPAEPCCNLIKGLVDLEAAVCLCTAIKANVLGIVIDVPIKLSALVNYCGKCVPKGYICA >Sspon.05G0018200-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:77891873:77897447:1 gene:Sspon.05G0018200-2B transcript:Sspon.05G0018200-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVAAAAASMRGTETVVGAKPAARRLGRVLVGRRRRAAVLLLALAYVAAMLVLAMGVSEGFGSSSVVAGALGRRAQPAPPGSVYRSHLLFERLLPEMRAFAPRPNPLVTSHYKKTGKQWEPCISKGLIHSELTPSNGFLIVEANGGLNQQRISICDAIAVAKILNATLVTPAFHLNSVWRDSSKFGDIFDEDHFIESLRKYVRVVKDLPEDVFLRFKHNISIIPNMRTKAFSPPSYYLQQVLPKLLELGAVRIAPFSNRLAHSVPVNIQALRCLTNYEALRFSEPIRTLADNMVDRMIKRSFLTGGKYVSVHLRFEEVLLKFILCGLILLIFSSCDDCVFYDMVAFSCCKYDGGSKENNAMENARERSWRGKFHRPGRVINPEANRRNGRCPLTPLEVGMMLRGMGFDNTTSLYVASGKIYNAEKYMAPLRQLFPFLQTKQSLVTPEELAQFKGHSSRLAALDYTVCLHSEVFVMTQGSNFPLFLMGHRRYMYGGHAKTIKPDKRKLVQLFDDPNIRWDRFKHHMEDMRRHSEMKGFGLRKPQESMYNLPMPDCMCQQAEGRSRYTARN >Sspon.04G0017060-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:68312241:68313955:1 gene:Sspon.04G0017060-3C transcript:Sspon.04G0017060-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALQVGRYWGVGGRRCEACGGSPAAVHCRTCPGGGAYLCAGCDAGHARAGHHRVWVCEVCERAPAAVTCRADAAALCAACDADIHDANPLARRHERVPVQPIGAAAPAAEALLFGAAAEEKVVVDAGKLDFLFADVMDPFFGQDFAGGRFPHAGSVVPNNGSSGGAVDLDFGGGVAAAAKPSYSSYTAASLGHSGSSSEVGLVPDVMCGRGGSVTSGVIELDFAQSKAAYLPYAATPTHSVSSLDVGAVPERSDGVMASRVATTPAAAAESREARLMRYREKRKNRRFEKTIRYASRKAYAESRPRIKGRFAKRADDNDADADTDFDTAAAAPAPARSQQQQPSYPYVLDFAAGYGVVPAF >Sspon.04G0012680-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:52005197:52009448:-1 gene:Sspon.04G0012680-2B transcript:Sspon.04G0012680-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLGTNQSNHHSNTVVSSSPSCGDTRKICPVVKMCGITSARDAEMAVKAGAELIGMILWPNSKRSVSLLEAKEISRVAQSYGAESVGVFVDDNEETILRVSHSCNLNFVQLHGDESRALVHTLSKNNRIIYVLNADDNGKLINTPDMEYELDWYLVDSAKGGNNRIIYVLNADDNGKLINTPDMEYELDWYLVDSAKGGSGKGFNWQKFQMPSVKSKNGWLLAGGLHADNVCEAFSALKPNGVDVSSGICAPDGIQKDADRINSFISNHNIVMNRFNHAKIRTIPQPKLASNQG >Sspon.01G0048570-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:77848104:77850922:1 gene:Sspon.01G0048570-1P transcript:Sspon.01G0048570-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADVDVEPEVAAGAPKKRTFRKYSYRGVDLDALLDMSTDDLVQLFPARARRRFQRGLKRKPMALIKKLRKAKKDAPAGEKPEPVKTHLRNMIIVPEMIGSIVGVYNGKTFNQVEIKPEMIGHYLAEFSISYKPVKHGRPGIGATHSSRST >Sspon.06G0006250-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:21619347:21633833:-1 gene:Sspon.06G0006250-1A transcript:Sspon.06G0006250-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAMEELAELADTTLQGTALLADDDPSADDRPSRRGSSFLTVVAIGNVGAGKSAVLNGLIGHPVLPTGENGATRAPICVELQRDASLSSKAIVLQIDSKSQQISASSLRHSLQDRLSKASGKGRSDEITVQLCTSTAPPLKLIDIPGVDQRSTDESMISNYAARNDAILLVIIPALQAPDVLSSRALRIARELDSEGTRTIGVLSKIDQASGEQKALGAVQALLVNQGPRTAADIQWIATIGHSVPTASAQSEVGSETSPEAYWQAEVKTLTSILGGAPQSKLGRVALVDSLAKQIKTRIAARLPNLLNGLQGKSQIVQEELGKLGEQMAQSSDGTKAIALGLCREYEDKFLEHIAGGEGGGWKVVASFEGKFPTRIKQLPLDKHFDMKNVKKVVLEADGYQPYLISPEKGLRFLIKGLLELAKEPSILLVDEVHHVLLDIVSAAANATPGLGRYPPFKREVTAIASAALDGFKNEARKMVIALVDMERAFVPPQHFIRLVQRRMERQRQEDELKNRSTRKGQDLDKSRASNIQVLGPAGEIIAGFLFKKRAKANDWSKRWFVLNEKSGKLGYTKKQEERHFRGVINLEDCNLEEILDDEDPPRSSKDSKKANMVDTGKDSSLMFKITHKIAYKNVLKAHNAVILKAESMSDKTEWVTKIKSIVDPKGLAAKKQNSSEGGGPMKQSHSDGSLETVLKKPVNPEEELRWISQEVRGYVEAVLSSLAANAVVLCQVEKAKEDMLNQLYTSISTQSAAKIEELIQEDHSVKRKREKFKLQSSLLSKIRLDQRVARGMVDNLVTSGNLHSKLDRPIRLLEQPQAAAAYQVEGRRLLHQMVAD >Sspon.02G0020810-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:70542569:70550665:-1 gene:Sspon.02G0020810-2C transcript:Sspon.02G0020810-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VSDNVFEAPQQKLDAKFEPLRELLSGSQVSAMDNLKTHSEYADQPHGSQANALSFALPTGQVLDTIGQQHTNLSSFWFSLEQHRLQLDQVLQLHNEQLRVSLQQQISMQNATVLNLVESVTRDVLMQKHDEIASLRIELQKKQEDLETTLHDRDEWMNVAMAAYEINQTLIHRTMQLEANSHVSSNDLGAPSSRGEASSMARAAVETTQPNLICKVCNSGNACMLVLPCQHLCACKPCVAWLAACPICGAVKIDAIEARFFDFQKQGMAPPKS >Sspon.04G0006420-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:18138297:18143122:-1 gene:Sspon.04G0006420-1A transcript:Sspon.04G0006420-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAGSSILGADGEWGETSLGDMPESCVAAVLLYLDPPEICQVARLNRAFRGAASADCVWAAKLPANYRYLAALAAAADDEGRGDADANGKRFSLAATKKEIYARLCRSTLFDAGTKDKGSLCISISSKAMTITGIDDRRYWSHLATEESRFHSVAYLQQIWWLEVDGELEFCFPAGAYSVFFHLYLGRPYRRMGRRLCGTEHVHGWDVTPTRFQLTTSDEQQATSEYYLHLHEQGGWKLYHVGDFVVSDSDEPIKLKFSMMQIDCTHTKGGLCVDSVFIYPKGYKPEKANIVCT >Sspon.06G0021240-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6B:13840945:13841691:-1 gene:Sspon.06G0021240-1B transcript:Sspon.06G0021240-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPSARAPPQLPGEIVEDILIRFPPDHPAHLVRAAIVCKHWSLLIAGRDFRHRYRQRHREPPVLGLVANLADTGGAARFVATRCAFRPARADRHGYRAHDARHGRVLLNRIPAPQDKEEDSALAVWDPITGEQRPLPLLLRPQQLRSWNAAVLCAAPDCDHLDCRPGHFLVVFVGIDAKEMFAHVYSSESAAWSEATTANLPGDDQLDVALPGVLARDALYFLLHTGPGYSSAIGASGHTGDVRGSPT >Sspon.04G0027000-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:58151194:58152329:-1 gene:Sspon.04G0027000-3D transcript:Sspon.04G0027000-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGFIYAKQQTSTPTEATAGFRPTMAGGGNGIPPATQDAHHFAIPEREVRGWSFAQQDPHPSVRHVHAGTSCDRGLPAQARHKNEEKPVHFIPGISNSRPSHQVPEEQLSSKPTCSRPRPVPSPVGFIREALQHQKAELKEKTRQVASLIRGN >Sspon.04G0020680-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4A:72543392:72544923:-1 gene:Sspon.04G0020680-1A transcript:Sspon.04G0020680-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAQEFPPKFTTNLCLSVHARETNNQISLPKLISPTPRSSITASRRSAAVPIRRATASIPRRSPLWPTTAAIPHREEESSTRGTLPVAPPSTAATGPSRQHLTFRLQAPCACSATIAALLSPCHILSCSVLHHNQKSGRSLARPRLGAGAIGHCQAMPPSSAPGWPEPVVPRSALRCTEEGRMLQVLRLDVSKVDRVLYLPPHFLLPRLDFSSFSRRRLGIRRPLPLFSILVAFGAVQTPHGRVKRGGTQTAARKNHETASLILIMALPVPLLVSPHGLLRLQAPADLLLLRLHASGWLRETAAGRDDGRKPAQLRWLRASDGLR >Sspon.08G0000900-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:3075912:3080843:1 gene:Sspon.08G0000900-1A transcript:Sspon.08G0000900-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGTAIKTLDLSVAQAWGLKRLFLLGCEKLHAILWPQEKDNKDNKVCLEVLRIDTTTTTHEECEREEGKHNKQEEAIASDNARIGSSLAKVCGKDRTMIDSDSYISIRDSRFFRSLVHLRHSKELHVEISSIGGHKEAN >Sspon.02G0029970-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:96535239:96538058:-1 gene:Sspon.02G0029970-4D transcript:Sspon.02G0029970-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding PAMMARMEKEEDQQLRALAARAVTDSLRAAASRCSAADRAARFRDCVRSLEAEKAKMEVFRRELPISVHLVSAVIEWLKEELAQHRRQPPPVDLFAPAAPPQPPAAHPNDDGAAVKAEDNDKRSWMSSAQLWSCGSHDDSTANTNAVAAAHQQVSTAFMPTLSSLPTLARSPDDAAGKPAAMPVPDLSLSSPPSAAAARSATSSAVTDAAGAQRQHQQQQTAQQRKARRCWSPELHRRFVAALQRLGGVAVATPKQIRELMKVDGLTNDEVKSHLQKYRLHTRRASSDGIGVGDQHGAGASGGLWPSAPEQQYATSQHSTSQSQSGSPQGPLQLTVSSRAMSATAGDSCDGGDEAEGGGRSESYGWEMQQPQPHGTKASS >Sspon.01G0042450-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:65601841:65607107:-1 gene:Sspon.01G0042450-1P transcript:Sspon.01G0042450-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVCKCRKATRVYCFVHQVPVCGVCICFPEHQLCVVKNYAEWVVNSEYDWPQHCSSCNSVLEVGTEETTRLGCLHVLHTKCLISHIQNFPSQTAPAGYVCPSCSAPIWPPSSIKDTGSRLHSKLKEAIVQTGLETNVFGNHYVTIAKSDTRTPAFASDPLKNLSSTDDRESNSANSAKDAALPSTLHSGIYSSAVGSGTTIHVEPEIVEIEGPSPVLTQISEQESNFIRSPSPHGPGAMTRKGATTVDRQNSEISYYADDEDGNRKKYTKRGKHAIGRMIF >Sspon.03G0036490-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:53806599:53808784:-1 gene:Sspon.03G0036490-2D transcript:Sspon.03G0036490-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MWKQFIGKLSWKAMKSSSAGGGAGSPPAKPPPPLSSPRENGATAKPNVSPPSPAAAAGAGAEVRSREDAFVQKVNICCAVYDFSDRGKDSPEKERKRQMLMSLVDCIGAAEEPLTETMIAACVRMFAANLFRVFPPKVRSGTSASETEEDEPFFDPSWYHLQVVYEFLLRFVTSPLVDAKVARKYVDSPFVSKLLDLFDSDDPRERDCLKTILHRIYGKFMGNRPFIRKAVSNIFYRFVFETDHHNGIAELLEVFGSVISGFAKPLKEEHKLFLWKALIPLHKPKSVGVYLPQLTYCITQFIEKEPKLSGTVIRGLLKYWPVTNSQKEIMFLGELEEVLELTDMAEFQKCMVPLFRKIASCLNSSHFQVAERALFLWNNEHLFDMISQNRQVILPLYTQLWRGTPAGTGTNQSSM >Sspon.01G0050400-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:981650:984495:-1 gene:Sspon.01G0050400-1P transcript:Sspon.01G0050400-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDPKPRRSPAAPTLAAKLRKHSTWLLLLLWFALSLALFLSATPPAAAPLSRSSFLRSKPRALAAAPPVRIYVYDLPARFNRDWAAADARCARHLFAAEVAVHEALLAYAGRAARPEDADLFFVPVYVSCNFSTPNGFPSLSHARGLLAEAVDLVRARMPYWNRSAGADHVFVASHDFGACFHPMEDVAIADGIPEFLKRSILLQTFGVQGHHVCQDVEHVVIPPHVPPEVAHELPEPEKAQRDIFAFFRGKMEVHPKNISGRFYSKKVRTELLQHYGRNRKFYLKRKRFDNYRSEMARSLFCLCPLGWAPWSPRLVESVLLGCIPVIIADNIRLPFPSVLQWPEISLQVAEKDIANLEMVLDHVVATNLTVIQKNLWDPVKRKALVFNRPMEVGDATWQVLRELEVLLDQSQRKRYVGSWRR >Sspon.06G0027690-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:88496159:88497395:1 gene:Sspon.06G0027690-1T transcript:Sspon.06G0027690-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTVREEMRKGPWTEQEDMQLVCTVRLFGDRRWDFIAQVSGLNRTGKSCRLRWVNYLHPGLKHGRMSPQEERLIIELHARWGNRWSRIARRLPGRTDNEIKNYWRTHMRKKAQERKMSMSSPSSSSSSLTYQACLLDTIPVIGMGSGDTHNGGCVTSALENTQSVMDGYPIDQIWNEIEAPQAPALLGIAEGKEKTCSSIPCHLPSSAMWDYKYPEVFWKMEDKEIMM >Sspon.07G0005640-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:14503872:14506998:-1 gene:Sspon.07G0005640-1P transcript:Sspon.07G0005640-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASLLPPAATLVKKYPTLLHPFVAKPHAQRLIFRCSATSSDGAYDGWASLVDELKRSLQQTDPSDTVTSNAGAGVTPNDLVTALPLEPSTTGPAVGDATNAAAGAVNELLGVDASSVAASSDSGAAAIPDGLLSALLHLDASNPVARAAGGALSRLDALTSGLSDAQRWALFGFLAATWLYLTARPGVLSGAVDTYVLAPLQLALDSVLGRRSLKMSDFVVGERIGEGSFGVVYAGAVVPKNGAVVEERSGRARTSLQNDDRYKEKVILKKECGDYEEWFNYRVARAAPESCADFLGSFVADKNKAEFVKGGKWLVWKFEGLATLERDALVVKQVMRQLITSLKRIHATGIVHRDIKPSNLVVTRRGQVKLIDFGAATDLRIGKNYVPDRALLDPDYCPPELYVLPEETPEPPPEPIAAILSPILWQLNSPDLFDMYSAGIVLMQMAIPTLRTQSGLKNFNAELRSAGYDLNRWRQSARRRPDLQILDLDSGRGWDLATKLISERGANGGGRLTAAAALRHPYFLLGGDQAAAVLSKFSLSK >Sspon.02G0002300-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:6285717:6286940:1 gene:Sspon.02G0002300-2B transcript:Sspon.02G0002300-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMELEGASNGRETARATPPPALPWMVQLQLYIIVAVGRIAMSRSGTVHRRFFSAVDRPARTSARPDKNGVRSADVTVDASRGLWARVFSPSQASAPLPVLVYFHGGAFTLLSASSIPYDDMCRRFCHGLGAVVVSVNYRLAPEHRCPAAYDDGLDVLRYLASAGLPDDVAVPVDLSRCFLAGDSAGGNIVHHVAQRWMDSSSSMGMAPSSPTILRLAGAILIQPFFGGEARTGSEERLDGKAWVVTMRDSDWAWRAFLPEGADRNHPAAHVTGESADLADGFPPAMLVVGGLDPLQDWQRQYAGVLDKKGKVVQLVEFDEAIHGFFWFPELPETGKLTAKMKAFMDRGTRCAVPVPVPVQQQNRKEGVFGFLAWLES >Sspon.06G0010180-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:47546674:47548223:-1 gene:Sspon.06G0010180-3C transcript:Sspon.06G0010180-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VRGDDKDDPTTYLVTFDKEEGAKYLPLPTKLVLQKKKAKEGRSGDEIEHFPVPSRITVSKTAHGGTMERGESSGLHVNSKPRRSHVDDDLDEHPKRSRVEDIDQYSGEEYSE >Sspon.08G0006160-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:16455767:16458617:1 gene:Sspon.08G0006160-2B transcript:Sspon.08G0006160-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPSLTAAHDPAAAAATTTTRRRKDHVAKVHPALGGGGAGAEEMGAAAEGWARRPEWCSAAGVAAVLRQHPAPALFGCGLLLFMAVEYTIPMVRPDAPPLDLGFLATRGMHAAVAATPWLNSLLAALNTVFVAMQAAYILWAILAEQRPRAAVAALMMFTCRGVLGCATQLPLPEEFLGSGMDFPVGNVSFFLFFSGHVAGAVIAAADMRREGRMALARLYDALNVLQAVRLLACRGHYTIDLAVGVGAGILLDTLSGWYFEAKNGDGKNAPEKHCRSCQCHKALLSH >Sspon.05G0009730-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:27934798:27940031:-1 gene:Sspon.05G0009730-1A transcript:Sspon.05G0009730-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPQTVPRRGLFIGGAWREPCLGLRLPVVNPATEATIGDIPAGTAEDVEIAVAAARDAFSRDGGRHWSRASGAVRANFLRAIAAKIKDRKSELALLETLDSGKPLDEASADMDDVAACFEYFADLAEALDGKQRSPISLPMENFKSYIAFTGSTETGKRIMTSAAQMVKPVSLELGGKSPLIVFDDIDDIDKGITGLKTAVEWAMFGIFANAGQVCSATSRLLLHEKIAKKFLDRLVAWAKNIKVSDPLEEGCRLGSVVSEGQYEKIKKFISTARSEGATILYGGARPQPLIQATLMNWQHLRRGFFLEPTIITDVSTSMQIWREEVFGPVICVKEFRTESEAVELANDTHYGLAGAVISNDEERCERISKPCFVQAPWGGNKQSGFGRELGEWGLDNYMTVKQVTKYCSDEPWGWYQPPSKL >Sspon.01G0019450-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:70508856:70510143:1 gene:Sspon.01G0019450-3D transcript:Sspon.01G0019450-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcriptional regulator, Regulator of meristem activity, Regulation of inflorescence developmen [Source: Projected from Oryza sativa (Os10g0478000)] MDLVPHPDSPHSDNGGGGGGSASGALSLPGASSAGAVSALASPSRYESQKRRDWNTFGQYLRNHRPPLSLARCSGAHVLEFLRYLDQFGKTKVHTPACPFFGHPAPPAPCPCPLRQAWGSLDALVGRLRAAYEENGGRPENNPFGARAVRLYLREVRDHQSRARGVSYEKKKRKKAPAHPVPATVISSSSHDGNGHHHYEHQMPPPPPPGAAA >Sspon.01G0036270-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:13318414:13322318:-1 gene:Sspon.01G0036270-2C transcript:Sspon.01G0036270-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclin family protein [Source:Projected from Arabidopsis thaliana (AT1G14750) TAIR;Acc:AT1G14750] MPPTMLAPVPTRPRSNPFRRRRGAAPLLLHQTAAAAAKRPAESSTSASSCFYSEVISNSSTSLAAYQHPEKRHRRQDAEAGEARPAGSECSEVIGGARVRPAEVEASESSCLGSVLESDLACPEQLADDAEATDSSACDELTPSEPDEEEVLSGPSRSALYSLSPLISSPLTEDDNDDAPSATFSLFLDFAKQFVPCVHPKACAVTSTALDLLTGRRFEDLDDEESYERFRRRERREAVARDYTEVYSSIPDSYGPLVVEQRVVMVNWIIEHSRLMNLQPVTMFMGIGLMDRFLTQGYMKGLSNLQLLGIACITLATRIEENQPYNCVLQKTFTVGINTYSQSEVVAMEWLVQEVLNFKCFVTTTHHFLWFYLKAANADDKVADLANYLAFLSLQNHKQLSFWPSVVAAAVVALACLATGKESSCHLVMETHMRTQDDDLPECLMLSDSEHDKDEIFGLELSAFRDY >Sspon.08G0001290-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:2085245:2091690:-1 gene:Sspon.08G0001290-4D transcript:Sspon.08G0001290-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCVCSRRFPEDAPPAPGQLAAAYDARRGRYGPGDFDSGELAIPPPKPPHSHKVSDTGTFLGRASIAGLEKAVEVLDTLGSSMTNLNPGSGFLSGGTNRGNKACILAFEVANTIAKASSLWRSCSDESIEELKKEILHSDGVRILVSSNTIELLHIAAVDKREELAIFSREVIRFGNLCKDPIWHNLGRYFDKLVTDNTPQDHSKESMEATVQKLINLAQNTSELYHELHALDRFEQDFKRKFHEEESVPAARRESIMILHSELKRQRKLVKNLKKKSLWSSTLEDIVEKLVDIVIYLHKQIRDSFNESGTEFCASEQTQNKRLGSCGLALHYANIINQIENIVSRPLSLPPSARDNLYHGLPITVKSALRSRLQTFNTEEERTVAQIKAEMQKTLRWLLPVAENTIRAHQGFGWVGEWANLGSDMSKKSGSHSVIRIQTLHHADKTKTEHYMLELVVLLHHLVTQVKNRGYGTSKSSKHDASSRSRKVAPDLQLDTETRHNTSPVNSCVALSPLSDCERAALDHLSFKRTSYGRSQSCEPPPGRRNKSHRSWDSCRSQGSSPAREFGRTHAVDRDTARDLDVIDGLDRLTSFSHPSSPTFC >Sspon.04G0017150-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:62357236:62369409:1 gene:Sspon.04G0017150-1A transcript:Sspon.04G0017150-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LPGWSASGGDPCGESWQGVTCTGSSITSIVFNAANLGGQLGSLGNFTSITEINLSNNNIGGTIPEDLPVTLQNLFLSANQLTGSIPMSLSKLHSLTAMSLNDNHLDGKLPDAFDSLTGLGYFFQQLQWFITTFIGKLDITDYIDLPLKDLNVENNMFSGPVPLKLLNIPNFKNDGNPFNTSIAPSTSPSSTPTGSTPTQTPSSSSSPSGSPPSSSAASNSSSGSTARNSNSPSSKKNKSSTLRTVGYVLLAIVLFIVLVLLVIFCLSKYQERQSRRDYSTAQLGRVHQRIEEPKSKQASVQSKPQKGSSEVPERKKPREINLAVPVALEKPPEKRKEHVINLERTESEIFAAAPPPPPPPPPPPPPPPPPTPPPPPPPPPPPPPPKLPSPPPVEKVTVNPIVRSEKRVSTPPRTGPSTSATSFSVASLQQYTNSFQEQNLIRESRLGKVYLAEFPDGKLLEVMKIDNANGRIPVDDFLELVARISDIRHPNILELVGYCAEYEQRLLVYNHFSRKTLHDVLHEAEDLDEPLSWNARLQSALHAAKALEYLHDTCEPPVVHQNFEPANVLLDNRCSVRVAECGLAELMASGSVTQLSGRMRALLNYEAPEIHESEPFTHRSDVYSFGVVMLELLTGRKPYDSSRPRAEQHLVRWADSQFHDMESLSKMVDPSIRGECSVILLSRFADIINGCIRKEPEFRPAMSQIVQDLARIVGASGEVSE >Sspon.02G0015800-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:43085887:43087830:-1 gene:Sspon.02G0015800-1A transcript:Sspon.02G0015800-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPSVAVPEVGVPAAAQASCSCPGTTLFPYPPPRGAGIAAAVARRKCLQVELGAGAGPLLGGACWGVESMRASSPTHAKAAAAAALAGGGVVDEERAAWTVRHPSALGKFEQIVAASEGKRIVMFLDYDGTLSPIVDDPDAAFMSETMRMAVRSVAKHFPTAIVSGRCRDKVFEFVKLAELYYAGSHGMDIKGPAKASSRHAKAKAKGVLFQPASEFLPMIEEVHERLVETTRCIPGAKVENNKFCVSVHFRCVDEKMWGELSESVKAVLREYPKLRLAQGRMVFEVRPTIKWDKGKALEFLLESLGFADCSNVLPVYIGDDRTDEDAFKVLRRRGQGQGVGILVSKHPKETTASYSLQEPAEVMEFLLRLVEWKRLSRARLRLQ >Sspon.02G0010210-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:31626788:31635590:-1 gene:Sspon.02G0010210-3C transcript:Sspon.02G0010210-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAWGGAHELGEEERSEKKELQGPSAVYMVWKRSSTAFQGTDGFSVYDAAGSLAFRVDNYSRRQKLFAGELLLMDGRGAQLARSLQARSILRPLRPSEQQPMKEKKERGRALVKGGLYKSKTCSDIRLANVGLQITNQMCVRGMRLQCQFSHRLQSVACSMLLNTQPSQRTNHKDCGLLQVQCKAPLINSLKLCTENLMQSSVSFSDFSVSACTGEDGLIKLQVNVSGTMTDSIFEKVFTKNVAAAQPLPGFRRMKGGIVLKLLQSQISVHHLAISNCLQLCHIPKEVALHLIGPSKVKKETIKKIINSTVAEYVHKEGLSASKNLKVLQSYEELEAAFEPGKEFCFDATTQKMHTSEGLSIEQVVCIGATTPFFVS >Sspon.06G0032440-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:85953352:85962329:-1 gene:Sspon.06G0032440-1C transcript:Sspon.06G0032440-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRATRRCPPLLRWLSSDAAASKAPRRQRVAALWGNGDYGRLGLGALESRWSPTVCPFFLARAADPPASLACGGAHTLFLTQSGRVFATGLNDFGQLGIGFTHTLEPVEVSGFHERVVKVSAGNHHSCAVTADGKLFVWGRNSGGQLGLGKGKVVSTPTKVDCLTDFRIKMVALGSEHSIAVTEEGEVLSWGAAGSGRLGHGRQSSILGFSLTSRDDKEATRVEPPAPAEPY >Sspon.03G0013750-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:51554251:51564701:1 gene:Sspon.03G0013750-2B transcript:Sspon.03G0013750-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDDDRRERVEASAGDGGGREGAAAGSRNSKPAAVGRVPLYRLFAFADRTDALLMAVGAVMAVANGMAQPTMTFIFGDVIDAFGSSASPDVLHRVVKVSCWTITGERQAARIRALYLKAILRQDIAFFDMEMSTGQVIERMAGDTFLIQDAIGEKVGKSIQLLSTFVGGFIIAFVRGWLLALVMLSSIPPTAIAGAIVSKVMTRLSTRMQAKYGDAGNVVEQMLGAIRTVVSFNGEKQAIRTYNKFIRKAYESALQEGAVNGLGLGSVMAILFCSYGLAVWYGSRLIVERGYNGGMVISIIMAVMIGAMSLGQATPSVTAFAEGQGAAYRMFKTIERKPDIDIYDTTGVILEDVKGDVELKDVYFSYPTRSEHLVFDGFSLRVPNGTTMALVGESGSGKSTVISLVERFYDPQAGEVLIDGVDIRRMNLGWIRGKIGLVSQEPVLFSTTIRENIAYGMENLTLEEIKGATELANAAKFIDKLPNGLDTLVGERGTQLSGGQKQRIAIARAIVKNPRILLLDEATSALDMESERVVQEALNRVMLERTTIVVAHRLSTVKNADVISVLQHGKMVEQGSHVELMKIPEGAYSQLIHLQETRQGAEFSSVDPYIIVTNGFGSRSTNSKPRSQSISRQRSTSKGSSSFGHSGRHSFLAPLSLPDPMELNGSPDVEETTDKMTRAPKKAPIGRLFYLNKPEALVLALGSITAAMHGVIFPIYGTLISTAIKVFYEPPAELLKDSKFWASMFVVLGACAFVLIPIEYFLFGLAGGKLVERVRSLTFQSVMRQEISWFDKPEHSSGTIGARLSIDAMNVRRLVGDNLALNVQTVSTVISGFTIAVVANWKLALIITVVVPFVGFQGYAQMKFLKGLNKNAKLKYEEASQVATDAIGGIRTIASFSAEKKVMDAYEKKCESPIKQGIREGIVGGLGFGFSFLAFYFTYALCFYVGAKFVQQGTATFPEVFRVFFVLVLATSGISRTSAIGADSTKANDAAASVFEILDRESKIDYSCEDGITITSVRGEIDFKNVCFKYPSRPNVQIFKDLSLSIPSGKTVALVGESGSGKSTAIALLERFYDPDSGKILFDDVELQALKVSWLRQQVGLVSQEPVLFNDTIRSNIAYGKHGAASEEEIVVAAEAANAHQFISALPDGYNTIVGERGIQLSGGQKQRVAIARAIIKDPKVLLLDEATSALDAESERVVQEALDQVMVGRTTVVVAHRLSTIIGADIIAVLKNGAVTEKGRHEELMQIKDGTYASLVQLSSSSS >Sspon.08G0020850-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:26955846:26961982:1 gene:Sspon.08G0020850-1B transcript:Sspon.08G0020850-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MIMCRLEPDDCWQNQVIFKQGILTTLSQSNGKYKYDYATIPFLAELFKLSVSGFFLWKECHSSSPPRMTKEWRSVRLYLVPSVIYLIHNNVQFATLTYVDPSTYQIMGNLKIVTTGILFRLVLKRKLSNLQWMAIVKGCGDTPCDSLFSAPLQGYMLGILSACLSALAGVYTEYLMKKNNDSLYWQNVQLYTFGVMFNMGWLIYGDFKAGFELGPWWQRLFNGYSITTWMVVFNLGSTGLLVSWLMKYSDNIVKVRSLRFPLPLRWIIIIILVSTALKVLVYSTSMAMLLTMVLSIYLFSVKATIQLFLGIIICIISLQMYFMPVHMLVELPQTLPVTSNAQQKLMLESSLKRHPFVWCQISMKVGGSNFATI >Sspon.01G0048780-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:101976105:101980186:-1 gene:Sspon.01G0048780-1P transcript:Sspon.01G0048780-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGQPPPQGPEDDFFDQFFSMAAGGSYPGAAAGGGRTPGDQPFSLALSLDAAAAEASGSGKHTDGGKADREAVQLPGLFPPVFGGGVQPPHLRATPPTQVFHAQQPKQGGAAVGPQPPAPRPKVRARRGQATDPHSIAERLRRERIAERMRALQELVPNTNKTDRAAMLDEILDYVKFLRLQVKVLSMSRLGGAGAVAQLVADIPLSVKGEASDSGSKQQIWEKWSTDGTERQVAKLMEEDIGAAMQFLQSKALCMMPISLAMAIYDTQHSQDGQPVKPEPNTPS >Sspon.08G0008100-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:29472440:29476477:-1 gene:Sspon.08G0008100-1A transcript:Sspon.08G0008100-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQLLFTLLAAEGAVAATLLFKTPLRRLAVLALDRLKQGRGPVMVRTIAATVLVVLASSLHSMAKIRGHAEGELDGAGVVGLTPTDQVLFARHLLEASLMGYSLFLALVIDRLHNYVKEIRRLKKKLEAVSKENKTMLDEATHGKPEESKPDQKDIFDAKDN >Sspon.04G0023730-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:18178606:18183135:-1 gene:Sspon.04G0023730-2C transcript:Sspon.04G0023730-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSAAEPSSRISDRATSRLDLVLRCVYGGFDLGLWGLVHSEEVVAGTQIHGAPDARAVRWSCLHPRSNPRLANPRAAVVYRVVWSACPAHVSAILLHSWLISRKRQSNSRNGNTNSPTSRAQLQKLSTVTPTSSWAQMVMDLYPPGSSSRDWTCAYCRVVQVHCCNKSNNLRSCQKKANILPKIRETLWLHVLLAGEYLKEFIHSVEAYAEISMTSAVLARRDIFWKLCPQVRSWKLELLVTRAEMSSAAIAADRLYHSILPI >Sspon.01G0000470-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:863595:867788:-1 gene:Sspon.01G0000470-2B transcript:Sspon.01G0000470-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKKECSDKSKQQAELAVELSAAQAERDSYRHEIEELKSSLQDVNTRQIITGTPKRSDWIDLQKELEGEVKFLKESNTDLTIQLNRTQESNIELLSILQELEETIEEQRVEISKISKVKQTADPENELLVKEDKEWAKKLSMKEDEITILREKLDRALNIGNGGGAGSNAIYLELEKENEILRAKIQELEKDCSELTDENLELIYKLKENGLTKGQVPRISNNNELQFEELTSRIRQLEEELRNKEMLRDDSFSESSMSNADELQRKCADLELKLLNFRSQTCELEEKFQKSQDELEQRNLELSELRRKINGLHSTELEVCESGATWKYQSRIADLEDTEQPETDILKARFELQLQENDDLRRSKIEMENFISEIQAEKSQLEERLSASLKESSITSKCLDEVRKDILVLSSSIDSHVSTNKFLERNIVELESCKAELELHVSELEQENIELSERISGLEAQLTYLTNEKESSELQMHDSRSLIINLKDKVERQQSEMETQRLEFKQKQQESQRRLSEAQDDSEVLRRSNSKLQSTVESLIEECSSLQNLTADLKKQKLELHSHLTQKEQELDESKKRNFEFSKTVEFLEAKLSSLQKDISSKEQSLLSELESIFQEHMEQEERINRAHFMLNKIEKEKTLEVENLEREVVSLTAQVSSTHEERESATLDAIREVSVLRADNAKLEANLQDVSAQLRHYESQLEDLRKESKNKIKGLVDSLNASKQSEEMLTSDAEHMKKLMEAAKSNEDALRKTSNELELKLKSSDYEKQQMLEEISSLKLQVQKIMNLQDEVFKLQSSLDEAKFEKGKLEEFLRSVTEDCEELKAQKAMLTDKVSDMQETLRNGEEEKRNRIAMQAKLVRLESDLSASEASHVHEAELKNELSRIKRSNSEYQRKIQSLEQENEDLTRRVQIMEKGFEQMSHVKENLGKQEIGGDNQAAIQSKIQLLETKLAEALEENKMYRAQQKSPMPDGQSAGGDGKEGNTDRILQLEGELRDMKERLLNMSLQYAEVEAQRERLVMELKAIKKGRWASSSRVISMLVA >Sspon.01G0000680-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:1635377:1635673:-1 gene:Sspon.01G0000680-1P transcript:Sspon.01G0000680-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKQQEQHGMAMALVVVALLLAAAAETASAATCNAGQLAVCAGAIVSGAKPSAACCSNLKAQQGCFCEYAKNPAYARYINSPNARKAVTSCGVSLPRC >Sspon.03G0019470-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:60713885:60715748:-1 gene:Sspon.03G0019470-1A transcript:Sspon.03G0019470-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAVDVAAGDEPQLLQHLNLPGGAGLISSGIVFRSLDYLLDRKKCAQLDWPKRYHIIIGLARGLLYLHEESPVKIIHRDIKASNVLLDDQLNPKISDFGMARLFLEDATHVNTFRISGTYGYMAPEYALNGYLSTKTDVFSFGILVLEIVSGRKNIIRHPDDERIDLLNYTWKLFEEGRSLEIVDPSLSKSNADSEQALLCIQLGLLCCQAVVPDRPDMHSVHLMLSSDSFTLPKPGKPAIHGRTGRWMTTTTGASGSSASASGASNTNTTSGSTFGTDTNTNTTGASVLANIAEDESRNSISISFTTEGR >Sspon.01G0040520-2T-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1C:33382721:33383674:-1 gene:Sspon.01G0040520-2T transcript:Sspon.01G0040520-2T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDATQDSLLFLFPAATTLLSPLLAVLLVVLSLLWLYPGGPAWALIVSRSRATPPPGAPGVVTALAGPAAHRALASLSRSLPGGGAALSAFSVGLTRLVVASQPDTARELLASAAFADRPVKDAARGLLFHRAMGFAPSGDYWRALRRISSAYLFSPRSVSATAPRRVAIGERMLRDLSAAAAGGGGGGEVVMRRVLHAASLDHVMATVFGARYDADSAEGAELEEMVKEGYDLLGLFNWGDHLPLLRWLDLQGVRRRCRSLVSRVNVFVARIIEEHRQKKKDAAANGESAAGDFVDVLLGLEGEEKLSDSDMIAVSG >Sspon.08G0000390-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:375589:381907:1 gene:Sspon.08G0000390-2B transcript:Sspon.08G0000390-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSKAIGVISGINEFGNLFQLVTSAVSYMRSKWNGSQEKQQLKQEDLLQMESDLQRLTDTLPAMYNLIDRAEWKIHVPSVAQLLPKLKDAVYDAEDLLDEFRWYKLKLEIEGNADASQMSPFIDFVHSVTHGSFNKVTNIQTRLDRLSAQLEKMGMHEATPRFDQSVRPPTTSFRTETKILGRHKELQEVMRLLGVPASDHGSSSRCRSASKRKRTASSATDSTEPIRIPSVPVLPIVGIGGVGKTTLAQEITTHPSLKSHFDNNIIWICVSDDFNEERFTKVLVNSLSRKETTANNLDDLQRVLAEEAGKRRFLLILDDIWPAALNDGQRWRKFCAPLTKVLKGSMLLVTTRFAEVADIVGTMESFVLEGLKEDVFWDFFKLCVFGSEDSHIDPQLEQIGKSILVKLKGTPLAAKTIGRLLRKSLTSAHWNDILNNELWQIEQKETDILPALRLSYMYLPFHLKRCFSFCAVYPKDHNFKKDILAEIWVAEGFVEPQGSIPLQHISEQYFEDLVNLSFFQKLRGKYVIHDLMHDMALLVSKDECFIVKNTSGIEKVPPNVRHLSILSSSGVKCSDLMCLGKHTKLRTLLCSRYFRNQTSSLMDRWFDELGCLRVFFCAFEMENLPERIGNMKHLRYLGISRSCHFNEVPSSFWSLYNLQILYAKKCTFKRLHIGASKLINLQKFESHIPETKVDAAKLVEQIGYINNFPGKKKLVIYNLGAISKDHAAKVELRKMKDLNSLSLSWISFRSPEHNEIKVLEALQPPISVESVHIEGYPGEYFPTWFPGSDGLNAMPLSRTTSSSVTKLSIERCLNLSDCTLQPACIPGINKIEITHCTSVKLVRIEHLEGSTCSLKELKVYNCPNITYLLAPSIRKLELNNSGSLGDSSDCSSLTILSSLTEELSIEGCENLSDCRLDVPAIRKIEIAHCRNVKSVRIEHSEDLTSSLEELNVYNCPNITYLSAPSIRKLKLKNSGSLGDSNDCSSLTISSALTELSIEGCQNLSDCRLDVAAIRKIEIAHCKNVKSVRIEHLEDSTCSLEELKVYNCPNITYLSAPSIRKLELKNYGSLGDSNDCSPLTILSSTELSIEGCQNLSDCRLDVPAIRKIEIAHCRNVKSVRIEHLEDSTSSLEELKVYNCPNITHLQAPSIRKLELYSSGNLGDSIDCSSLTILHLSCDHLTSIDLQNCSLPVLQELKISNCPCLFYVRTSGSEHSLGWTRLSTAKLPFLTHLTMERCYKLISVDDLLTHEYLPAIKELTISDCPRLNWRSGMMLPSSIQKLKYAQVACSAHHVTVDSCAKILDILEGKPDLIIGNYTDGNLVASLMSSKLGVTQGTIAHALEKTKYEDSDVKWRDLDQKYHFSCQFTADMIAMNTSDFIITSTYQEIAGSKEKPGQYEHHYAFTMPGLCRYATGINVFDPKFNIAAPGADQSIYFPFTQKQKRLTDLHPQIEELLYSKQDTDEHIGYLADRNKPIIFSMARLDKVKNITGLVEWYGQNKRLRDLVNLVVVAGLLEASQSKDREEIEEINKMHSLIDKYQLKGQIRWIKAQTDRVRNGELYRCIADTKGAFVQPALYEAFGLTVIEAMNCGLTTFATNQGGPAEIIVDGVSGFHINPMNGREASNKIADFFQKCKEDPSYWNKVSTAGLQRIYECYTWKIYATKVLNMGSTYGFWKTLNKEERVAKQRYLQMFYNLQFRNLAKTVPRLFEHPPQAPAGTGPSTMTVARPKERQDHDQLDGAEVFYFSTIEPKRCDEYA >Sspon.08G0017740-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8B:2730771:2731921:1 gene:Sspon.08G0017740-1B transcript:Sspon.08G0017740-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHAPRLRFASTGAAAPPRYPPTAYPVSSRLVLYLRSFGGKTEWKRFRSGVWIPWSIDYHSIAEIRC >Sspon.08G0026770-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:32149006:32151782:-1 gene:Sspon.08G0026770-1C transcript:Sspon.08G0026770-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MIITQRVAQHLTQELSTPASSCSHHINPRQFRTDLCLARASKDLASPARPPVRDGRTDLADPVAGRA >Sspon.06G0032570-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:88554962:88558012:-1 gene:Sspon.06G0032570-1C transcript:Sspon.06G0032570-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQDVARGGGIACMLRREERDENDSQSAPPTAHALTLPFPTRASTHARTDGHCTRASRRGPPDTIPGPAIMRPPGRRGLLAAGACALLLAAFLLVGSLVVTTPLAPYLLPPLALSLPCLPAVTKPSGSGYGAAPGVAELAEAAVAYATSETVPQQSPEEISLSLAVLRRRAPLRLLVFGLGHDSRLWHALNPGGVTVFLEEDPAWYRVVRTQSPFLRAHLVAYRTRLDQADRLMATYRRHPACLPATSNNSNATGLLRVRGNWACPLALHNLPPEVYETEWDMFMIDAPKGYFAAAPGRMAAIWTAAAMARARRGEGDTDVFLHDVNRRVERMFAEEFLCDRFRVGGTGRLWHFSIPPVSRRGNATATAGDRRPFC >Sspon.05G0030130-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:87413348:87413974:-1 gene:Sspon.05G0030130-1B transcript:Sspon.05G0030130-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SPAMKLSMPFFGSSSGKKHQETTISKKRTKGSKSGSFGSTTSSSSDDCASPSAATTPRTVLPLPVRSASSSSSAGGGGNGKPNKPLPPAVLATATVTREDLEVALRRVVRSEAELAAVLAEAEAAGLAPEAAADAEAEDEAELRDAFAVFDADGDGRISAEELRAVLASLGDEGCSVDDCRRMIGGVDADGDGFVCFDEFSRMMMPQGA >Sspon.03G0016620-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:52621903:52629496:1 gene:Sspon.03G0016620-1A transcript:Sspon.03G0016620-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLLVGALLLCAAAAVEPKPPLDTLGIPPQDEAYYRGGVIKCRDGSGRFSRDQLNDDFCDCPDGTDEPGTSACPEAKFYCKNAGHTPVTIFSSRVNDGICDCCDGSDEYDSNVTCKNTCWEAGKAAREKLKKKIATYKNGVVIQKQEVERAKEAFAKDEAALAKLKGEEKMLQGLVDKLKEQKRLIEKAEEEERLRKEKEEKRIKEEAEKQAAVEKGAPDASRDVDSKETQDHVQEDENKVAEQHDGHATDHDNHVTESGASAEQHGSVSKDGITAEAGTVDELPQESAAPTLEKDLSSDNPEGLSREELGRMVASRWTGESVNEVSKDDKKGHEDEQEIPEPAEEALEDELEIPEPAEENYGGYHSEVEDDRHKYEDEEFDHESEDEYVDDHDDHVESYRSDDDQKGDHHSDLTEPGHASWLDKIQQTVQNVFQKFNFFKTPVDLSEASRVRKEYDDASSKLSKIQSKISSLAEKLKHDFGKDKEFYSFYDQCFETKEGKYTYKVCAYKKASQAEGHSSTNLGRWDKFEESYKVRLRCGLSNELNDVDEPGRCEYVAVLSTPAVCVEEKLKELQNKLDAMSSKQPGHDEL >Sspon.04G0022250-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:48188870:48207491:1 gene:Sspon.04G0022250-2C transcript:Sspon.04G0022250-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGGAEQAPEAAAEVKNPRCFMDVTIGGEMEGRIVVELYASVVPRTAENFRALCTGEKGIGAASGKPLHFKGSCFHRVIKGWRHNCWRWNGGESIYGSKFEDENFVLKHERKGMLSMANSGPNTNGSQFFITTTRTPHLDGKHVVFGRVIKGMGVVRSIEHVTVGEADYPTLDVKIVDCGELPEGADDGVVNFFKDGDRYPDWPNDLEEKPAEVSWWMDAVESAKAYGNDNFKKQDYKAALRKYRKALRYLDVCWEKEEIDEDKSTALRKTKSIILTNSSACKLKLGDSKGALLDADFALRETEGNAKAFFRQGQAHIALNDIDAAMESFKHALELEPNDAGIKRELAAAKKKIADRRDQERKAFARMFQPSGKSDKSNEIDGGEEEQRSARGVAVAGCWWRSCPRDVPRGAVHRRRRGAVDVGYNYTTGSSGRDPSRTTPCVHYDPPNATVHAHSVYLMRNAADYQSCNLKAAKLVANVMQRRLRLRVLLKKRKQHYFGSCFHRVIKGWRHNCWRWNGGESIYGSKFEDENFVLKHERKGMLSMANSGPNTNGSQFFITTTRTPHLDGKHVVFGRVIKGMGVVRSIEHVTVGEADYPTLDVKIVDCGELPEGADDGVVNFFKDGDRYPDWPNDLEEKPAEVSWWMDAVESAKAYGNDNFKKQDYKAALRKYRKALRYLDVCWEKEEIDEDKSTALRKTKSIILTNSSACKLKLGDSKGALLDADFALRETEGNAKAFFRQGQAHIALNDIDAAMESFKHALELEPNDAGIKRELAAAKKKIADRRDQERKAFARMFQPSGKSDKSNETLQQVMPSDYSILCRLGPRDVVSNLTIHIFRAWFARFTFVHVPVHKQVNKVVASEPEG >Sspon.06G0005990-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:20069467:20072800:1 gene:Sspon.06G0005990-1A transcript:Sspon.06G0005990-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAVPSRVALSAASRIPNRHAVAGDRSFIYKGRCQSLAIPMALSAAAPGKGGGVLDRPVEKTTPGRQSEFDVKKSRKMTPPYHVILHNDNYNRREYVVQVLMKVIPGMTVDNAVNIMQEAHVNGLSVVIVCSQSEAEEHCTSLRGNGLRSSIEPASGGC >Sspon.06G0016890-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:91695740:91705678:-1 gene:Sspon.06G0016890-1A transcript:Sspon.06G0016890-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MIHIALSREPLSLKQHFLETITNNFSKDNLIGCGGYGEVYKGVLEYGLVAVKKLHSDHVIEDEPFRREVDCLMNVRHHNIVLFVGYSAETQEVVMHREGKYIFAEKRERLLCFEYMRNGSLQKHITDGPCGLPWHVCYQIIKGICLGLHYLHEKHIIHLDLKPDNILLDDGMVPKIADFGLSRLLGDEKSRTITQRKLGTRGYMAPEYLDDGVITIKSDMYSLGVIIRHMVKGRNSADTTDQDVLESWMIRLEKDSSQMRQQTQLMEIGYKEQIKACIEILQRCTQPEPKDRPTTEDILLTLEETEARVKLVIGPTPNTASQLELAKFGPWGGDGGKPQDIKMVPYLLDNITISSGTIIDSIGFSYTDHYGQHHTIGPWGGKEGKNKIQLGPSEFLTGVSGTYGPFKSLINVITSLTFVTNTRSYGPFGKDRGTQFHIQMLNNGRVIGFFGRSNQYLNAIGVYTNQDARVARNKHLGGDGGVLHDIIDNNGQQHSAGPSGECGGSNHKPDVYAKFGPWGGDGGKPQDIKMLPYRLDRVKISSGVIIDSIEFSYTDHDGQYHTIGPWGGHGGDNNSFQLGPSEFLTGVSGTIGTFNSQQKVITSLTFVTSNARSYGPFGKGRGTSFHIPMHGNGCIVGFFGRSERYLNAIGVYANPEFKLIRKEEAEVARIGPWGGDGNVLHDITVKPHHLQRVTIFSGTIINSLEYLYSDDNGQQHTAGPWGGCGGNGRKIHLDPEEFIVKVSGTYHPCAWDKSIPNVVSSLTLVTNTGKTHGPFGKQVGAAFHVPVGSNSRIVGFFAHGGNYIEAVGAYVRTL >Sspon.04G0002500-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:8796136:8802258:1 gene:Sspon.04G0002500-2C transcript:Sspon.04G0002500-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPTRQLGFIDDTDAGVEISHNDVVRRSIPHSSSMLEDDEDMQEIVRRLQNRYKEASHFDYDEEVTEVEQQALLPSVKDPKLWMVKCAIGHERETAVCLMQKFIDRPDLQIKSVVALEHLKNFIYIEAEKEAHVKEACKGLRNIIASTKPTLVPIREMADVLSIESKSVDLSRDSWVRMKLGMYKGDLAKVVDVDNVGQKVTVKLIPRIDLQVLANKLDGQEVPKKSFIPPPRFFSVDEARELHIRVDRRRNRDSGEYFDVVGGLLFKDGFLHKTFSIKSISTQNIKPTFDELEKFKKPGDDLNEDVASLSTLFTNRKKGHFMKGDAVIVIKGDLKNLKGSVEKVEDSTVHIQPKLAGLPRTLAFNETDLCKYFNPGDHVKVISGVQEGATGMVVKVEGHVLIILSDTTKEHIRVFADHVVESSEVTTGVTRIGDYELHDLVLKGVPDKPELVLVKLREIKCKIDRRTSAKDRYNNIVSTKDVVRVAEGACKGKQGPVEHIHRGILFIYDRHYLEHSGFICAKAQSYPHFHAFGSQARILQSPGRLPPRGPHMNSGGRFGGRGSGGRGNDTLVNRCIKIRSGPYKGYRGRVKELTGVLVRIELESLMKIVTVKREDIGDTAAVATPFRETCYSRGVETPVHPSRTPLHPIQTPMRNPGATPVRDVMRTPMPSRAWVPLSPHRDNREDGDSTWASSPAYQPGTPRPRPYEAPTPGSGWASWGDASVNTPSTNVPSTPIGQPMTPDPASYLVGTPSGQPMTPGYGGMELMSPVIGGEAEGSWLLPDVLVNVSRGGDEVSNAVVKEVLL >Sspon.07G0018430-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:66672744:66721021:1 gene:Sspon.07G0018430-1A transcript:Sspon.07G0018430-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTFSYMIGQGTIIYLAVTYTVRNSFNVFSIVEKNGMSVVELLPYCQVKSANASFFSKADVVEPSKRAAAFGFLTGIFSASHTLGNICSRFLPESWIFQVSVVFSILSVLYMKLYLVETVQRAPSVPCQRLALSSLIVSLPQQRWNSMKENISIIKNSETLRRISVVSFFYELGMMGISDVLLYYLKSVFGFDKDQFSEILMVVDIGSIFSQILVLPLLSCIIDGKGVLCISILTSIAYAFVYGVAWAWWVPYICSSLGVICVLAKPATYAIISGEVLSTDQGKAQGFVGTMQSMATLLAPLYMSPLTSYFISPEAPFSCRGFSFLMAGFFLAISLFFAWTLNPERKDTCSEVAVSGQPDEEAEQAPLLANRPLRPRTHRPLKWDERYAPYLARAGAGFLGLARAINAGLLDMDSPLLTAFVNRWISRRRRFGGQNWLERHANYVQEWEARGRVEPTNGAHSVTNEYRAYLAWLHRSTRISVHPPLSSIPIDQESDDEDPYDVMTRTSVQPLRAPLENYIATQLAHLSNKAGVDLGRAVVMDNTYLKEFAKKVVKTYRWMAQKVSCMRTEDVHVPPAASQGPQTSTRQRVAIDIPESSRARCTDEEDDDDGDDDDINHVAVDTLRMVISIVIAIVLTIFIIMVMVMVMVIVIVIVLVLLHWCGEMSIV >Sspon.02G0039630-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:60534511:60536669:-1 gene:Sspon.02G0039630-1P transcript:Sspon.02G0039630-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTAETTTPVSALSGQGRTVCVTGAGGFIASWLVKRLLEKGYTVRGTVRNPVDPKNDHLRALDGAADRLVLLRADLLDPESLVEAFSGCDGIFHAASPVTDDPEMMIEPAIRGTRYVMTAVADTGVKRVVFTSSIGTVYMNPYRDPNRPVDDTCWSDLEYCKNTQNWYCYAKTVAEQGAWEVARKRGLDLIVVNPVLVLGPLLQPTVNASTDHVMKYLTGSAKTYVNAAQAYVHIQDVAEAHVRVYEAPDAHGRYICAESTLHRGELCRILAKLFPEYPIPTKCVACKDEVNPPVIGYKFTNQRLKDLGMDFVPVLQCLYETVKSLQEKGMLP >Sspon.02G0011520-1T-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2B:29205830:29209898:-1 gene:Sspon.02G0011520-1T transcript:Sspon.02G0011520-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARCGDIDIPYPFSLDPRCAIHAGFWLNCTTAEDGATKLLDKNSEVTRISVEDGKAWFKNFISRQCCNRTSGDMFYNNAWVNYTTEPYVLSADDNKIVVLGCNSMAYMRSDSYIIGCMSTCDDTPKNGSCSGTAGCCQAELPRGVQPSRGGKASTEINEHGSKASANEPSDGPTHSQQQSICQIGDRIVKGGKASASGSTRDTPYGKASVAGGRPRHSKQQSICQGGASNSSSNDGQASITKALAAKHLLATTPARSESSKWAQEEGSGATPPKEVCGAHGVTVVCPVRPDRDFARNPPQDCSPFTKRPKCPAEEPPAIQPVSQRSRHRRGPGAGSAPRRRRSTLGPGHIRWRSAPPGGTHRRPQRHELDRGARRPGPSQAKSRRRPCSPEECAARGRASSPRPPQIRHVGGQIRPRQTGSRRRPPPFAMPARRRSHLVEERGRREKVAGEGGEGRGGCLFSGHRGPPPSHTSGRRPEGSAGGGLGDAAAVASESPRGSAREEERGVQKFPCNYITVMENAAFNFSTTYLTSTAFYDADDSRTPVVMEWGIARETCEEARANETAYACVSDHSDCVYSDAAGYRCRCSKGFEGNPYIVDGCTGASVGLVTLVIGITCVYLVQERRKLHRIKQRYFRRHGGLLLFEEMKSQHHQGAAFTIFSEEELQQATNNFDAQRVLGHGGHGTVYKGVLKSGTEIAVKRCMTIDEQQKKEFGKEMLILSQINHRNIVKLLGCCLEVEVPMLVYEFIPNGTLFDLIHGDHRRQQHVSLDTRLRIAYESAEALAYLHSCASPPILHGDVKSTNILLDGDYTAKVSDFGASILAPNDKSQFVTVVQGTCGYLDPEYMQTYELTDKSDVYSFGVVLLELLTGKKAFNLEGPENDRSLSMRFLYAMKENKLEDILDDQIKNSENIEYLEEIAELARQCLEMSGVNRPTMKEVADRLDRLRKIMHDPWAHENPEELDKLLGEPSTSNSTATTGNFSITKRAAMGLESGR >Sspon.01G0016990-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:57649373:57651408:1 gene:Sspon.01G0016990-1T transcript:Sspon.01G0016990-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MYTARKKIQKDKGLEPSEFEDSVAQAFFDLENGNQELKSDLRDLYINSAFQMDVDGARKAVVIHVPYRLRKAFRKIHVRLVRELEKKFSGKDVVIVATRRIVRPPKKGSAVVRPRTRTLTAVHDGILEDVVYPAEIVGKRVRYRLDGSKIVKIFLDPKERNNTEYKLETFTAVYHRLCGKDVVYEYPLAETNLS >Sspon.02G0004840-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2B:14913462:14916888:1 gene:Sspon.02G0004840-2B transcript:Sspon.02G0004840-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKARGEKEKKGKTRGKKRKGVSEVVFDHLPFSARLPPSSVASPLRGPVAAGLGFTTGGFPHGGQTPPRLTPSTPNTPARFNPSRLASLAASASLQRAHQTRTRCSFLGRSLHLRPSPPLTDLREPCAADAGGGKKRKEPKEPKQKKGKKPNPFSIEYNRAAPASAPRLVVLREPTGRDIAARYELGAELGRGEFGVTYLCTDRASGEALACKSISKKKLRTPVDIEDVRREVEIMRHLPKHPNVVTLRDTYEDDNAVHLVMELCEGGELFDRIVARGHYTERAAALVTRTIVEVVQMCHKHGVMHRDLKPENFLFANKKESAALKAIDFGLSVFFTPGERFTEIVGSPYYMAPEVLKRNYGPEVDVWSAGVILYILLCGVPPFWAETEQGVAQAIIRSAIDFKRDPWPRVSDNAKDLVRGMLNPDPKRRLTAQQVLDHPWLQNIKKAPNVNLGETVKARLQQFSVMNKFKKHALRVIAEHLSVEEAADIKDMFEKMDLNKDQMLSFDELKLGLHKFGHQMPDADVQILMEAADADGNGSLDYGEFVTLSVHLRKIGNDEHLHKAFAYFDRNQSGYIEIDELRESLADDLGQNHEEVINAIIRDVDTDKDGKISYDEFAAMMKAGTDWRKASRQYSRERFTSLSLKLQKDGSLQMTSTR >Sspon.08G0016700-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:62129879:62138542:-1 gene:Sspon.08G0016700-3D transcript:Sspon.08G0016700-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASHGAGTLKSTSINGVKLYSLTGSRYVAPWVVAKKKRALRKDKEYQRRLDLIHDLRFETATTKIKLTPDDQYVIASGIYPPQVKVYELKELSMKFERHLISEIVTFQILGDDYSKLAFLCADRSVNLHAKYGSHYSLRIPRMGRDMAYDCWSCDLLCAASSPDLYRINLEQGRFLASLSSQSPAINAVTRSKIHGLVACGGEDGAVECFDMRKKTSVGRINIPVVSSEDYYQEVTSLQFDEDQGYLMAVGTSTGKISIYDLRMSSPLRVKDHMYGSPILNIKWHQTHNSTEPKLITADKHIVRVWDPNTGNTMTSIEPDGGAINDVCIFRNSGLMLLALDNSQIPAHFIPALGPAPKWCSHLDNLTEEMEEKPDTTLFDEYKFLTKEEMVRLNLTQYIGSSAVRAYLHGFVVRYELYKKQRAEVAPVEYETIKEEIKKKKIEAQRKSRITQVVKIPKVNRHIMDSIMEDEIDLDTENVDKSSIKKKKRKLELNKAILHDERFKPMFENKDFEIDVESKEYLALHPQAATKEPRLIEEHFDSVSEDDQQSDGNASDASAESDSDDGMHNSKRIRLYEVKDEHHAEAFVNSVSLSNEDAVPLGDRIAALERKQNSKALDAVKYGPGGSREISFISKSRRRHKEELSSDDGPKGVKKRGVQPLGLKQGKAEFYMFGGNRGRGRGGGGRGRGSRGRGGGRGRGRGRG >Sspon.06G0015730-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:79103426:79107834:-1 gene:Sspon.06G0015730-3C transcript:Sspon.06G0015730-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Prolycopene isomerase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G06820) UniProtKB/Swiss-Prot;Acc:Q9M9Y8] MPPLAARLHAPLLLAPAVPSAAFPRPAACLRASSGPRGVGGFRRGALASEKPPMVAVAEKEGGEGEGPYDAIVIGSGIGGLVAATQLAAKGARVLVLEKYLIPGGSSGYYRRDGFTFDVGSSVMFGFSDKGNLNLITQALEAVGRKMEVLPDPSTVHFHLPGDLSVLVHRKYEDFINGLISKFPHEKEGILKFYDTCWKIFNSLNSLELKSLEEPLYLFGQFFQKPLECLTLAYYLPQNAGDIARKFIKDQELLSFIDAECFIVSTVNALQTPMINASMVLCDRHFGGINYPVGGVGGIAISLADGLVEKGSEIRYKANVTNVILENGKAVGVRLSNGKEFFAKTVISNATRWDTFGKLLKEKELPEEEKNFQKNYVKAPSFLSIHMGVKASVLPAGTDCHHFVLEDNWNNLEKSYGSIFLSIPTVLDPSLAPEGHHILHVFTTAGIEDWEGFSRKEYEEKKEAVANEIIRRLEKKLFPGLQDSIVLKEVGSPKTHRRFLARNDGTYGPMPRGKPKGLLAMPFNTTSIDGLYCVGDSCFPGQGVIAVAFSGIMCAHRVAADIGLEQKSPVLDAGLLGLLRWFRTLA >Sspon.01G0031190-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:102051041:102056942:1 gene:Sspon.01G0031190-2D transcript:Sspon.01G0031190-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine aminopeptidase [Source:Projected from Arabidopsis thaliana (AT5G13520) UniProtKB/Swiss-Prot;Acc:Q9FY49] MAPVDPHSYTDGAHPVVSRAALAFYLDFAASTIHASALLTLSAPHSGDLLLDTRALTVHSASTDADTPEPIPFSLAAAPDPVLGTALTLTLPADTTSFRLTFSTSPAASALQWLAPPQTASGQPFVFSQCQSIHARSIFPCHDTPAARITFSLLLNVPEQLSAVAAARHVARRDPLPSDHRGACDDELWCAPGRIVEEFQMEQSVPPYLFAFAAGGIGSRDLGPRTRVYAEGGDKVLDEAAREFAGVEEMVKVGEGLFGPYEWERFDLLVLPPSFPYGGMENPRMVFLTPTVIKGDAAGAQVVAHELAHSWTGNLITNKTNEDFWLNEGFTTYAERRIVEVVQGEERAALNMGIGWRGLNRMMERFKDNMEFTKLKPKMAGIDPDDVYSKVPYEKGFQFLWRIEREIGRPAFDEFLKKYIATFKFQSIDTETFLEFLKTNVPGIENQIDLLLWVEGTGIPPDAMEPDSATYKKICSLAAEFKSGKLPSEDEVANWSGQEWELYLENLPTDVEASQVTALDERYKLSESRDYEVKVAFLQLAIPTGCKCYFNEVEKCLKQVGRMKYLRPLYSSLAKCPARRRCWPRGSSRRLKSSTTQ >Sspon.04G0011930-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:37556798:37559709:1 gene:Sspon.04G0011930-1A transcript:Sspon.04G0011930-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRGRLPAALWLVAVTLAALVLLVARPAGAAWCIARSGASDKALQSALDYACGPAGGADCAPIQSSGLCYLPNTLAAHASYAFNSIFQRSRAAPGACDFAGTATVTLTDPSYGSCTYPSSPSTAGQTGSPGSTSMPGTPTSPKGTGSSGGLSPPDVDSTDSNAEPLATASLLSLALSCFMYMFLQLW >Sspon.05G0033370-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5C:31873313:31878123:1 gene:Sspon.05G0033370-1C transcript:Sspon.05G0033370-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMRPDHGFWNEGHGAPRVSQPVPEPGVDHPGHPRGPPTATTRATTPPPPLGPEGGGPRRDDGGGADDRGGAGGRGEVAARAVEEDEEVVEPGWRPCVSSSMTRSSRTSRKVGKRPLAGDPRPEVGVAVAEPPEDVEDQDAILDGPPEIPQGVSHALHPTAELANGEVTLDEGAEARVETQRPGLSVTQELALEGKPCPARVRRGADEVVEVQRDRPQDPGENDAVETKPRRCLDGDQGVDEDVVVEGVAAKSEEDQVPPAGVRGRLRLEDNRNEQANVLDPPPPGSAAEPRAGRPGRARGRRCETRGDPARQRSWPQRPGRRERGAAPPRRSGEPGHRPLRARAPTRGRPPAPGPAPPRQRHVRRRGQRRETRRHDRRRSKESSGGVGAGKVWAPAGKRLPASSPAPGRWTASAGRSVRAAAAAPPP >Sspon.01G0009510-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:56724200:56724397:1 gene:Sspon.01G0009510-1A transcript:Sspon.01G0009510-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DAAATVRRREHRGQPAADGAVPQLQRADAGRGAVLRAGDVLLQLPAGRRRQPLYTRLLRHHPVPRL >Sspon.02G0034160-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:13121418:13122464:-1 gene:Sspon.02G0034160-2C transcript:Sspon.02G0034160-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DGRARPAAAAREAPAAVARAAACGRRIRAARRLAPPRWHRQPLPALPLRPRRAAHPDGPRRRRGIHGPRHHRPPGAPASSSRRSPAAAAKGSRWSCTSTAAASSSTPRRRRSSTSCAAAWRQPSQPSSPPSTTASRPSTASRRPTTTARRPSGGYSPARAARCRLRLPPPPPSSWPGTAPAATSPTTSPRASPTPSRAWVAVQPFFGGEAPTESELRLRDAPFGSPQRLAWLWRAFLPPGATRDHEAANVPAAIRRDAGAGEDRWRAFPPTLVCVGGWDVHQDRQRAYADALRAAGAEEVTVVEYPDAIHAFYILDDLADSKKFVGDVAEFVNRHAQQRKKRALDHAMD >Sspon.02G0000630-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:4979437:4980048:1 gene:Sspon.02G0000630-3D transcript:Sspon.02G0000630-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSSTSADDEGTRGKCKRGSPGACASENGNQQGPSSKWRTPRAQQAYSSKLIQALRLVRTGGTPTCSASAAAVRDAAYRALAIAALGRSRWSRAILARSRRCRALHCLRARRPPPRPRRRQHGGDTEERAPGGLAGRAKVLGRLVPGCRSLSLPALLAEVSDYIAALEMQVRAMGQLTHDLAASASASSSSAPTAAPSIAPP >Sspon.01G0022460-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1A:82089014:82090291:1 gene:Sspon.01G0022460-1A transcript:Sspon.01G0022460-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPHHANKPKPKLSTKHSKFISCKLYISESRNAMAVDAIERASKSDAQVVVISQFGDNHYNRFRYTLVSYIIDDRSTGEVIYSPIRKVLLAMIEAAFSTIDLESQSGAHPRIGVVDDLSFHPLGQATMEDAASLAKQVASDIGNGLQVPVFLYAAAHPTGKSAGAIRRELCYYRPSYKDNQWLGSMLPDVLPVKPDVGPTHVSHKRGATTVGVTLSHLKIFNFGLCLENTK >Sspon.02G0002240-1P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:7275661:7281731:1 gene:Sspon.02G0002240-1P transcript:Sspon.02G0002240-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTARERASSGNSAPLLLLASKKLHAAASCVWSYCYSLPDAEKHCLLCRYAAAGHALASDGTQRQQGKDMSMSTGTYACAAVGEQSLSHAVRSRPRPCHARPFHLMLSVWCLSPTVLPSYALAATSCLLYRDELTQVYRASPEPQSDYTIPRNEQDPRAKATRGAPSYSGTSNERNAQQLVRSESRETRRTLRIADLSSPAADARGTAALALTSETPRSRETETTRGGWVEARRGWGRRHGKGSQRIGLLGLPLCFLTPPHIIKVGRPITRSRLLRFPSPDAAAAAPARGTACWRRSPTVAHKCDRSLTVVSAASVNYSAAVMAAAGGGGGATTSGCGLKRRYAPHDQEEEMMNQDQSSKEDWCGRLWNGMDLLKDVTENKHVLPTGLEGIDTLLGGGLRQGQLTEVTGPSSSGKTQVCLHSASHAAVKHMGVVMYLDTSNSFSPSRIATLIDGTNDLSDQRGFDLPHKERLKTMMRSIICESVFDIFGMFEVLHQLEVSLLNDKVKSGGRKICLLIVDSISSLLAPIIGGKYPQGRSMMISVAMILKKLAYEHNLSVLVTNHMVSAGNGAVKPALGESWKAVPHVRLV >Sspon.06G0034740-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6D:53291397:53292854:1 gene:Sspon.06G0034740-1D transcript:Sspon.06G0034740-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVEVEDLKKEVEDLKSQNTGLSDDKKTLQKQLEETKATLDDLKRENTRLFGDKDTLQKQLEDTRMAALVFMGAANTYQEAAETQIKAKIEELEDTRKAALVFMDAADTYQEAAEKQIKQKVEELEDTRKAALVFMDAADTYQEAAEKQIKAKIEELEDTRKAALVFMDAADMYQEAAEKQIKAKTEELEDTRMAALVFMGAADTYQEAAEKQIKEKIEELEDTRKAALVFMDVAETYQEEAKKEIKPKMEDLEDMREAALAFTRENPAYHAQLKEQIEAKEQKLKVLGNKKTELDARLKSLEADAMVKKKLYDMVTSENDKLRFEVLVTEKKYSMSEAKANMLKTELGVLVKAKEAAAKAFANEKLEIVKESEDPKRKVEKIKANSDLIMGKDDKLQLEVLTSEQKHSLSEVEIKRIRMEVGVLAEATEAATKAYNADKAKMMKELEDFKRKVEEIQASKEAAEEAGHGKDAQADKLRVELEELN >Sspon.05G0011800-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:25980985:25981911:1 gene:Sspon.05G0011800-3C transcript:Sspon.05G0011800-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASASTVSGLAGASLAARPAFSTSFTRGSRVSARNPLMTRNLERNGRITCMTFPRDWLRRDLSIIGYGLIGWIGPSSVPAINGNSLTGLFFSSIGEELAHFPTPPPVASQFWLWLVTWHLGLFIVLTFGQIGFKGRTEDYFEK >Sspon.03G0029820-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:16243650:16243998:-1 gene:Sspon.03G0029820-3D transcript:Sspon.03G0029820-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding WRLGGSPRCAMPFLCCSSLPPARRCRLPTPRAAGRTTTTTLSASPVTARRLAWITATWTAAAAGRGGRIASACWRTATS >Sspon.03G0016130-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:51367065:51370103:1 gene:Sspon.03G0016130-1A transcript:Sspon.03G0016130-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTEARVGVVVDGGGGGGSRAAVGRCQEQRHIGTAAHLAAGGFAGAVSKTCTAPLARLTILFQVAGMHSDVATLKKYSIWHEASRIFREEGFGAFWKGNLVTIVHRLPYSAISFYSYERYKNLLQTVPGLDTDSNNVGVVRLLGGGLAGITAASLTYPLDVVRTRLATQKTTRYYKGIFHAVSTICRDEGVKGLYKGLGATLLGVAPSIAISFSVYESLRSHWQMERPHDSTAVVSLFSGSLSGIASSTATFPLDLVKRRMQLQGAAGTSSVQKSTITGYTMAMWQFCS >Sspon.06G0009010-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:39205049:39206245:-1 gene:Sspon.06G0009010-2B transcript:Sspon.06G0009010-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding HFWAPTFKWGISIANIADFAKPPEKISYPQQRNLNLFSVNVAMAGTGLYQLSRKIRQDYFSDEKDAAPQLEA >Sspon.02G0024170-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:82828413:82828921:1 gene:Sspon.02G0024170-1A transcript:Sspon.02G0024170-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQLSAAAVPQFHGLRGYASPRSAVAMPSVRVGRKRSQGIRCGYIGSATNLIMVTTTTLMLFAGRFGLAPSANRKATAGLKLEARDSGLQTGDPAGFTLADTLACGAVGHILGVGIVLGLKNTGALDQIIG >Sspon.01G0004960-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:11138266:11147600:-1 gene:Sspon.01G0004960-2B transcript:Sspon.01G0004960-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAGSRLEKALGEQFPEGERYFGLENFGNTCYCNSVLQALYFCVPFRDQLLEYYANNKNTGDVEENMLTCLADLFSQDAHEFLNFLLNELVDILEKEHNAARESLQNLSFPKNSNGPIDGQPNGSHKELAATWVHKCFQGILTNETRCLRCETVTDRDETFFDLSLDIEQNSSLTSCLKNFSSTETLNAEDKFFCDKCCSLQEAQKRMKIKKPPNILVIHLKRFKYIEQLQRYKKLSYRVVFPLELKLLNTVDNSDLEYSLFAVVVHVGSGPNHGHYISLVKSHNNWLFFDDENVEMTDESMVQAFFGSPQEFSGNTDNGYILFYESLAER >Sspon.07G0031080-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7C:16344749:16349519:-1 gene:Sspon.07G0031080-1C transcript:Sspon.07G0031080-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAPPPPPSFFSSFPPLSSSSWAQVVASPPAAPPAARPPGAAPLQPGLREGASSQARPPPWALGRPPRARTSSPPSPAPRPSSTSSPPSPPLLPILWLPSVPGSQPLSFPLSFPRSPASLLSWRRCFSVATPVPSPPLHLRARTPPSQPLSSRLGLPPRRGRLAFALQPSHGNLHYHRGVQNIRLLVPVVLEPESPSYARWRDLVLLTLRRYALDDHVLVDALVAVQTPSWLRLDSIVLSWILGTISLDLHDLVRTSPDARRAWLALEGQFLGNAEARALRLDASFRTFVQGDLSVAEFCRRMKGMADSLGDLGWPVEDRILVLNVLRGLSDRYAHLRTWITRQRPFPTFLEVRDDLVMEELTQGLQPVSTTPAGASASSTALAATSPRPPTPPRTTAPPPSSLLGPPPSGPSGGGGGRGGRRRRGGGRGGGRSGHTQAQAQAPGGFPGGAPWPSFQHPWAGRISMWPYHTTGAESRPPVALLTGAPPAGAPPAGTPPGAFPAAAWTPPGVAGWDTTALARSFSTMGLTPPVGPEWIADSGATYHTTPDPGILSSLHSPSSSLPSSIMVANGSCLPVTSVGTAGAHGSFRLPDVLVAPSMVHNLFLFVVLQLTILVLLNLTLLVLLSRIWLPGVLCSDVTAPGPFTPFASGGACVFLAVPFVSCLRRFYFLYYLAPASRPSWTISGYKYYLVVVDDFSHYSWTFPLRAKSDTFTTLLHFFAWVSTQFGLSIKAVQCDNGREFDNSTSRAFFLSHGVQLRMSCPYTSSQNGKAERMIRTTNDTVRTLLFQASLPARFWAEGLHTSTYLLNRLPSAACPAPTPHHALFGTPPRYDHLRVFGCACYPNTAATSSHKLDPRSTLCVFLGYSPDHKGYRCFDLASRRVLISRHVVFDESVFPFSGTSTSPVPDPPTLLPTDTVVQPPFLWSPAGTVSPRSAPATGPGSPASPGPSSSGAAPSPSVGADPGPPSPASAPGGSCRLPTPDPVSAPAPAPPSRFAAPVRVYQRRPRPPPIAVPSPPGTPTPPPLSPPARGPSPVYHPPLLHRHPRHVHPMVTRHAAGTLPPRVLAASTDDAVVSPVPSSVRDALLDPHWRRAMEEEYAALLANQTWELVPRPPGSNVVTGKWIWTHKRWADGTLERYKARWVLRGFTQRTGVDYDETFSPVVKPATVRTVLLLALARSWPVHQLDVKNAFLHGLLTETVYCSQPAGFVDSSRPDMVCRLNRSLYGLKQAPRAWNHRFAAFLLTLGFVEGKSDTSLFIYHHGADTAYLLLYVDDIVLTASSEPLLRRIIAALQQEFAMKDLGVLHHFLGVTVEPHPVELLLHQRQYTRDILERAGMTDCNPCSTPVDTQGKLSEAEGPPVSDPTAYRNLAGALQYLTFTRPDITYVVQQVCLHMHDPREPHLTALKRFLRYLRGTIDYGLLLHRSSSADLVVYTDADWARCPDTRRSTSGYAVFLGDNLVFWSSKRQPVVSRSSAEA >Sspon.04G0033310-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:53902176:53905497:1 gene:Sspon.04G0033310-1C transcript:Sspon.04G0033310-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FAM10 family protein At4g22670 [Source:Projected from Arabidopsis thaliana (AT4G22670) UniProtKB/Swiss-Prot;Acc:Q93YR3] MDASKLRELRDFVEACKKDPSLLADPSLAFFRDYLQRSISHPSLLAISFDSPPSSFIPSDRRTFFFQSGCQNSRRRRLLRQAQGAFLSPCLFLRNYALQRSSMDDIDDDDDDDDDLDMRDPTPEPDELDEEIVESDLELEGDIVQSDHDDPPQKMGDPSVDVTEENRDASQEAKGKAMEAMSEGKLEDAIEHLTNAIVLNPLSAIMYGTRGKRQPLHAKCHHLCMMNHSSQILIMLGNSYVYTASVFIKMKKPAAAIRDANAALEINPDSAKGYKTRGMAYAMLGKWEEAARDLHTASNMDYDEEISAVLKKVEPNAHKIVEHRRKYERLRKEREEKRAERDRLRRRSEAQAAYDKAKRKEQSSSHSSGGASPRGFPGGMPGGGFPGGMPGGGFPGGMPGGGFPGGMPGGFPGGGMPGGFPGGGMPGNVDMSKILNDPDLMAAFSDPEVMAALQDVMNNPANFAKHQANPKVGPIIAKMMAKFSGSQ >Sspon.08G0016750-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8B:60872386:60877948:1 gene:Sspon.08G0016750-2B transcript:Sspon.08G0016750-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PEPKR1 [Source:Projected from Arabidopsis thaliana (AT1G12580) UniProtKB/TrEMBL;Acc:A0A178WE53] MPSRSNGRRRSWTATLQRVIEHEQRPVHLTSARLPASACRLSLSRFWAAPTTEARIGRGPPPAFRERDRDSMTTTTQLKLAIGNPSEAVTTFFFLWLPTTRLGLSGSAGLPTTSHHHLPSPSLRWRLAAECRELGLGGADPAAGGGSERRGPGRGGSEAVPSETRLDLLCAATQSWPPALGPGDSAAGRASGEPAPRPRRFRARGTRSAGGGGGGFGLAGFASVWDLSAMAAAGCGGGGDARYNSYKAPGLRGAFLEAAHVSCLEDRYALGPQLGWGQFGVIRSCSDLVTGEALACKSIAKGRLLSPDDVRGVKLEIEVMARLAGHPNVVDLKAVYEDRDSVHLVMELCAGGELFHRLEERGCFSEHEAAVLFRYLMEVVAHCHSKGIVHRDLKPENILLVSKSPSSPIKLADFGLATYFQPGRSLSGMVGSPFYIAPEVLSGGYNEAADVWSAGVILYILLSGIPPFWGKTKSKIFECIRSMELRFPSDPWDRISDSAKELVTGMLRRDPRQRLTAKQVLEHPWMQEHADQSQDSCSHCHEIGLRREDPGSCSSAPLASRSRDVSFNTGGPITCQGMQEDEACCSPTFAAACRSSFSAFVTDLAPSSCPASTSGGSGFSFGGACELPGGGFPLPVSSMPSFSFFWAQDCSEPEPPPPLAEKAPCDATVAASSLRTARGTTSR >Sspon.05G0026470-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:106249539:106253400:1 gene:Sspon.05G0026470-2P transcript:Sspon.05G0026470-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DLIIGRPEAVYFYEVDGRGPCWAFDGEKKFVGWFRGYLLCIIEDQRTQKSTLNVYDLKNRLIAHSMPVGDVSHLVCEWGYIILIMADKKLLCIGEKDMESKLDMLFKKNLYTVAINLVQSQQADPASTAEVLRKYGDHLYGKQEYDEAMSQYIHTIGHLEPSYVIQKFLDAKRIYNLTNYLEKLHDRGLASKDHTTLLLNCYTKLKDVEKLNHFIKDEDGVGEIKFDVETAIRVCRAAGYHEHAMFVAKKAGRHELYLKILLEDLGRYDEALQYISGLEANQAGLTVKEYGKILVEHRPAETVEILLRLCTDVGDPTTRRGSNSMHLLMIPSPMDFVNIFVHNPQYLMEFLENYIKAVTDSPAQTEIHNTLLELYISNDLSFPSLSQENESENQYIKETKGKETANGYRSGIKEKGSLGKEDPKIAKNIVDRRRKGLALLKSAWTSEMEDPLYDVDLALILCNTNAFKDGLLFLYEKLKLYKEVISCYKQAHDHQGLIACCKKLGDSSQGGDPSLWSDLLKYFGELGEDCSKEVKEVLTYIEKEDVVPPIVVLQTLSKNPCLTLSVVKDYIARKLEQESKLIEDDRKSIDKYQGETELMKREIEDLKTNAKVFQLSKCSACTFTLDLPAVHFMCMHSFHLRCLGDNEKECPECAPEYRSVMEAKQKLEQNARDHDLFFRQLRGSKDGFSVIADYFSKGIVSKTTVPPENGR >Sspon.02G0050070-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:39133664:39136412:1 gene:Sspon.02G0050070-2D transcript:Sspon.02G0050070-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding YKIILGLGSALRYLHLDWEQCVVHGDIKPSNIMLDSSYNTKLGDFGLARLVDHGIGPQTTSIIRGSAGYMDPEFVNMRRRSNESDVYSFGIVLLEIISGRLPVNRQEPAFMLPKWVLGLHNQNLILEAADERLRTGDGASERQIERALVVGLWCAHPDPVERPSMAQVMNVLQSEDARLPALSPHMYRQVVPPSFGVGESGVDIKTDAFGSRNHYFDRCSTGLGAFRHGTRQHSALT >Sspon.08G0003600-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:9552801:9557736:-1 gene:Sspon.08G0003600-1A transcript:Sspon.08G0003600-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGALRVMLLRLALLAAAAATVTAARPSEVAVGALFTYDSTIGRAARLAIELAVDDVNADRTVSRAPTSTCSPRTPTAAAFLGPSKLMERNVVAVIGPQSSGIGHVISHVVNELHVPLLSFAATDPTLSASEYPYFIRTTISDYFQMNAVASIVDYYQWKEVTAIFVDDDYGRGGVSALGDALAAKRARISYKAAIPPNSNTEVINDVLFKANMMESRVMVVHVNPDTGMRIFSVANKLQMMASGYVWIVTDWLAAVLDSSTSRDRKDMSHLQGLIVLRQHIPESDAKNKFTSKWNNAARNRSITSGLNSYGFYAYDSVWVVARGIDQFLNNGQQINFSTDPMLHDSNGSTLHLSTLKIFDGGEQMLQQLLLTNFTGLTGPVQFNSDRNLGHPAYDILNIGGSGSRLIGYWSNYSGLSVAAPEILYQKPPNTSSLAQRLHNVVWPGDSTTTPKGWVFPNNGQPLRVGVPMKPSFKELVAGGKGPDNVTGYCIDIFNAAIKLLPYPVPCQFITIGDGRKNPNYDDIINMVAANIAEFTQPYIESGLVIVAPVKQATSSAWAFLKPFTLEMWCVTGALFIFVGIVVWILEHRSNEEFRGSPRRQLITIFWFSFSTMFFSHRQNTVSTLGRFVLIIWLFVVLIINSSYTASLTSILTVQQLASGITGIDSLISSGLPIGYQDGKFTRNYLIEELNIPEYRLVPLNTIQEYADALKRGPKDGGVAAIVDEMPYVEIFLSYHCNFRIVGQEFTKEGWGFAFKRDSPLAADLSTAILQLSESGQLQRIHDEWFTRPSCSSDDSEVGATRLGLGSFWGLFLGH >Sspon.07G0013220-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:47097088:47101332:-1 gene:Sspon.07G0013220-3D transcript:Sspon.07G0013220-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRLSRPASPGGGGLGYASRRGLYAQAASHHHQAGGSAQTSPGGSPKELSPVHRHARAGSLGGAGAASTAGRRAGVGAGARAQNSAARAAAQRLARVMGGGAGGDAGSGSDDDDDGYELSGPPIELSNTPRRTSARSPSPSIGRYLADQTQVGRPPSLTNRYTAGKSVPMIPSIKRPATSGAGAGAGSESPMPNRREQRRSVDLGSSLRVRRTSSSLNDEINTLQVENESMYDKLQLAEERSDDGDAKSMHMEREIAMRIASRRSSSASCNEIATLKSEAKEEVVLKRCWLARYWTLCSKLGTKITKKNTGPHLHRVHLKQSYQLGKRQEMELYQ >Sspon.07G0014680-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:52586772:52590246:-1 gene:Sspon.07G0014680-1A transcript:Sspon.07G0014680-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thylakoid membrane protein TERC, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G12130) UniProtKB/Swiss-Prot;Acc:F4JZG9] MYAEKETINSTPRAQTNKGVEPVPVSSTNRKGAIRRVTLVVLAAVVFGVSIALRDGAEKASEYFAGYLLEQSLSVDNLFVFVLVFKYFQVPKEYQNRVLSYGIAGAVVFRAAMIILGTATIQLFAEEEEESDLSDNFIVKTCQKFIPVTDSYDADRFFTTQDGLWKATPLLLTLAVIELSDIAFAVGLRSLYVLISESMGELEYLQPAIGVVLGFVGTKMIFDF >Sspon.02G0009140-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:24506595:24507302:1 gene:Sspon.02G0009140-2B transcript:Sspon.02G0009140-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHAGAVVGITFTFTNRCGGTVWPGVLANSGSSALQTTGFELGPGETRSLTAPPGWSGRFWARTGCAFDASSGKGACATGDCGSGEVECRGRGAAPPATLAEFTLGGGGSGGSKDYYDVSLVDGYNLPMVVEAAAPGCPVTGCLVDLNERCPAELRAGGGGAQACRSACEAFGTPEYCCSGQFGNPDTCHPSVYSQMFKAACPRSYSYAYDDATSTFTCTGTDYSVTFCAPRAGTPN >Sspon.02G0021400-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2A:71937328:71937942:1 gene:Sspon.02G0021400-1A transcript:Sspon.02G0021400-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAFDDCCDDCCYSWKDFWWCVLCLVILAVIAVIIILVVAFGFVRHVDVSVDDASLTRLALVAGTPNTTTTTALAYNLSLTLTIRNPNWAMSMTNAKPLEAAYSFDGQQFDRVRLADEGDKHPAGKTRVYRLTSGSGGALVSLGNAGVAEFRKENATGVFEVEVAVKGEVKYTARVTKCAIEATCPLKLQLAPPGQAPAALVFQK >Sspon.06G0005670-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:17577351:17581901:-1 gene:Sspon.06G0005670-4D transcript:Sspon.06G0005670-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAGTGVFAEILDGEVYRYYADGEWRSSASGKSVAIVNPTTRKTQYRVQACTQEEVNKAMDAAKVAQKAWARTPLWKRAELLHKAAAILKEHKAPIAECLVKEIAKPAKDAVSEVVRSGDLVSYTAEEGVRILGEGKLLVSDSFPGNERNKYCLSSKIPLGVVLAIPPFNYPVNLAVSKIGPALIAGNALVLKPPTQGAVAALHMVHCFHLAGFPKGLISCVTGKGSEIGDFLTMHPGVNCISFTGGDTGIAISKKAGMVPLQMELGGKDACIVLEDADLDLVAGNIGCIFTRDINKAILISDAMETGTVQINSAPARGPDHFPFQGLKDSGIGSQGITNSINMMTKVKSTVINLPSPSYTMG >Sspon.07G0018050-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:80396194:80396447:-1 gene:Sspon.07G0018050-2C transcript:Sspon.07G0018050-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MCINYYRCAYRQEQGCKATKTVQQQDDDSKGTDHPVMFTVVYHGQHTCKDNNGTDDSETNS >Sspon.01G0002790-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:7791239:7794342:1 gene:Sspon.01G0002790-1P transcript:Sspon.01G0002790-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGPESRSHSRQEGEDNVNARNSWKEEHENQENADSRNYSDISRKHGYEYEVGHQDDNRMITPSNDRNESRRNADRSSGLARGADDDVYNLRRDSRSPKVPRRSPDDSKDRDYDRRRGREDKNDWEPSRRFSPDASARSSERREGSREKQGQRDQEERASVRRADEVYVDENGGSLRVDTREAYRDDKSDRGRDRNWNEKTRDIEGSKDYGRNTQLRDTKEPNDSEWRNAQERLDGGNFHGRGGYRRDSRGRSESIRGPSTYGNRYDNSDSIEIRPNSNLNFGREGSVSGRRFEVGAHRDSVPGGTDDKSSDHPESDPSGSTGMVSSFPQQGPKGDRPSRGGRGRPNGRDSQRIGVPMPIMPPPFGPLGLPPGPMQHMGPNIPHSPGPPLLPGVFMPPFPGPLVWPGARGVDVNMLSVPPNLPIPPVAPEHRFAPNVGAGSGHNIHLNQIDTGLGAPTDVSGLGYNNMGPQSRDMLHDKPGWTPHRNSGPNRKAPSRGEQNDYSQNFVDTGMRPQNFIRELDLTSVAEDYPKLRELIQRKDEIVAKSASPPMYYKCDLREHVLSPEFFGTKFDVILVDPPWEEYTHRAPGITDHIEYWTAEEIMNLKIEAIADTPSFVFLWVGDGVGLEQGRQCLKK >Sspon.07G0011630-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7C:47463897:47465671:1 gene:Sspon.07G0011630-2C transcript:Sspon.07G0011630-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLPILPLPIGHRPPLPLCRPVHAATTVRQAASPPLPPEPCAADPLRNSPIYINHHLPQAHDSRQPTLQSSLHLNDTMEPAAAAKAVERLAQRLVPPAEPTPTGPHRLSWLDRYPTQMALIESLHVFKPDPARDGVSPAATIERALARALVDYYPLAGRLAVSEDAGGLHVDCSAEGVWFIEAAVRCRLEDVDYLEYPLQIPKDDLLPHPLPRPSHDEESKHILLVQVQPHRPRPCPVTAFACGGFVVGFRFSHAVADGLGAAKFMGAVGELARGAEQVSVSPVWARDAIPDPPGALVGRLPDPTGAKRLEYLAIDISADYIDHFKSQFAAATGGGRCSAFEVLIAKAWQSRTRAAGFEDPANTPVQLCFAMNARPLLGATRLPRGGAGFYGNCYYIMRVSSTADRVASSSVTDVVKIIREGKKRLPSEFARWAAGEEGAGGVDPYQITSDYRTLLVSDWTRLGFAEVDYGWGPPAHVVPLTNLDYIATCILVKPWAHKPGARLITQCVTPDRVSRFHDAMVDMN >Sspon.04G0003280-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:9823921:9828493:-1 gene:Sspon.04G0003280-1A transcript:Sspon.04G0003280-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVDEIVKGHYEFSEWYNQWPWERSSIIGKVRTDLGQLSGKSALHCSDASIARYLIARNWDVKKATRMLKKTLKWRSEYKPDEIRWDDISDEAVTGKIYRTDYFDKIGRSILVMRPGCQNTKNPNGQVKYLVYCMENAILNLPHGQDQMVTKMTADVLQGHYPERLGVAILYNAPKFFEPFWKMASPLLEKKTRNKVKFVYSDRPDTMKIMEELFNMDELECAFGGKNPATFNINDYAVRMREDDKKMPSFWSPDNSALASEPYQMSSQKALESISGAKTEDAGSGKRENMETSPEKSEESDTESEKEEQTRTESSTVEQKTLPGEDNAPADKMDACLLVVNDLLRNALTGHLADARELRVLEDLGDVGVPPHLVAFLLAGRQVAAHEADARVVQRHAHGDASLNQTLARS >Sspon.05G0009780-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:19480621:19483935:-1 gene:Sspon.05G0009780-3C transcript:Sspon.05G0009780-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPASEELERRSRYLSALVRRTKLADPPQPEPEAEAVETKAKADVEPELKAPPRPHVGGEGKGGKEEEAKAEEKERAAVSVKARGEVKAAEGRKVAVCVRAADMPLPLQRRAVRVAVEAVAAMPRLESKRLALALKKDFDTTYGPAWHCIVGTSFGSYVTHSLGGFLYFSVDKVYILLFRTAVQPLAQPQ >Sspon.01G0002560-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1A:6800036:6804894:1 gene:Sspon.01G0002560-1A transcript:Sspon.01G0002560-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHPPAPLPPPSSRSVTILSRRSLLRASSLGPPPHRGLRLPRRPMLPLRRVRSLHRVRPLRRRRPFLVLLPLGRAGVGGPWWSPSPRHWTWGGRGGANQVAPTAPQGAPWPSFHNPWSGRISMWPFHAPGGEPRPPAAMFTGAPPPGVSTTSPWATPSGTPLGLAGWDQAALARSFSTMGLTPPVGPEWIADSGATYHTTPDPGILSSVHSPSSSLPSSIMVANGSCLPVTSVGTAGAHGSFRLPDVLVAPSMVHNLLSIRRFTADNSCSVEFDSSGLTPTHHHSCSYPSSLFPTGTVVQPPFPLSPASPASSSYLPGSGTRSPCFHKSGSVVLRHCPGLSARRGFGDLLLWCYPSLSARRGFGAIVLWSCPGTSSVVRCAGTRVPAPCAAAAAARGLFSAGDSDTTAAVPACPCRAAGLPPAAASPAPAACPPDVPSSVRDVLLDPHWRRAMEEYVALLANQTWDLVPRPPGSNVVTGKWIWTHKRRADGTLERYKARWVLRGFTQRPGVDYDETFSPVVKPATVRTVLSLALARSWPVHQLDVNNSFLHGLLTETVYCSQPAGFVDSSRPDMVCRLNRSLYGLKQAPQAWNHRFAAFLLTLGFVEAKSDTSLFIYHHGADTAYLLLALGVRSDRTDRSRSSVISKVRSSKNGDRSGYLLLYVDNIVLTASSEPLLRRIITALQQEFAMKGLGVLHHFLGVTVEPHPAGLLLHQRQYTRDILERAGMTDCNPCSTPVDTQGKLSEAEGPPVSDPTAYRSLAGALQYLTFTRPDITYAVQQICLHMHDPREPHLTALKRLLRYLRGTIDYGLLLHRSSCAELVVYTDADWARCPDTRRSTSGYAVFLGGNLVSWSSKRQPVVSRSSAEAEYRAVANGVTEASWLRQLLAELHTPPSRNTLIYCKNVRVLHVPTTSQFADIFTKGLPSSTFTKFRSSLNITSG >Sspon.08G0010080-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8A:44389390:44390468:-1 gene:Sspon.08G0010080-1A transcript:Sspon.08G0010080-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLKALILAILGVAFFCGAALAARDLNDDSAMVARHEQWMVQYNRVYKDATEKAQRFEVFKANVKFIESFNAGENRKFWLGVNQFADLTNDEFRATKTNKGFKPSPVKVPTGFRYENVSVDALPATIDWRTKGAVTPIKDQGQCGCCWAFSAVAATEGIVKISTGKLISLSEQELVDCDVHGEDQGCEGGLMDDAFKFIIKNGGLTTESSYPYAAVDGKCKSGSNSAATVKGYEDVPANNEAALMKAVAVDGGDMTFQFYSGGVMTGSCGTDLDHGIAAIGYGQTSDGTKYWLMKNSWGTTWGENGYLRMEKDISDKRG >Sspon.07G0008800-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:21040865:21053735:1 gene:Sspon.07G0008800-4D transcript:Sspon.07G0008800-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTLAQPRFAPLRTEQIESQTDAGQSSSALKSYKDVITDTCNYGIPVRSMLIFISGFGFQWLQGTSSGWSLTTSWPSAELVQKEGIHYNDLVEKYVPSDHVNTALENNSSTEEDKNTKPELVQKEGIHYNDLVEKYVPSDHVNTALENNSSTEKDKNTKPDNSKRGDPNDPRVRLKRDCVGLLAAFRLSDPCDHILIVANTHIYWDPEWIDVKLGQAKYLLSRVSQFEQLISNKYNCKPSVIIAGDFNSTPGDKVYNYLVSANLESTDETPIKLRSLYAENGGEPEYTNYTPGFTGTLDYIFLSDGSSIKPTSLLCLPRGDSEDVRGGLPNFQHPSDHLPIGADFLVVNS >Sspon.03G0036680-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:92554872:92557186:1 gene:Sspon.03G0036680-1P transcript:Sspon.03G0036680-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKCSSCGNNGHNSRTCSGHGRTTVFENGVGGGGGGGGVRLFGVQLHVGSSPMAMKKCFSMECLSSTTSSSAMAPAYYAAAAALAATNSNSPSASSSSSLVSVEEAGTPEKMANGYLSDGLMGRAQERKKGVPWTEDEHRRFLAGLEKLGKGDWRGISRHFVTTRTPTQVASHAQKYFLRQSSLTHKKRRSSLFDVVENADRAATSSVKDREQRLRLKEAIATTASAAAPGTTTPELPALSLGIISRPARRPDHVALPPTSLSLQLPRYSAAMGSASPSLALAAPKHHHPSSTLTAAAKASSSQALPDLELKISTARQSDVHQVQTGSPPTTTTRTPFLGTIRVI >Sspon.01G0036740-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:19563196:19569999:1 gene:Sspon.01G0036740-1B transcript:Sspon.01G0036740-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Anaphase-promoting complex subunit 6 [Source:Projected from Arabidopsis thaliana (AT1G78770) UniProtKB/Swiss-Prot;Acc:B3DNN5] MPSKPGGAAVVRRCGSSAARAQQYNTVGVGRRPGQGVTCASSLPTEAGGHTSSSLAVARRRGGAARALECLVDNYMLTCEEVLSIIEPKMVQRYFSESELLSSLQFGEEDGWLSAFYSCLITKHEKEYLVEAKFKELEQEACSISSSSSGETMKNNIDVLACKAEYYHQSGEYQKCFQLTSSLLERDPFHLKSTLVHLATAMELGHSNDLYLLACNLVKDYPQKALSWFAVGCYYYCIKKYDQARRYFGKATGLDGTFPPAWIGTGIAYAAQEEGDQAMAAFRTAARLFPGCHLPTLYMGMQYVRMHNFKLAEQFFTQAKSICPSDPLIYNELGVVAYNMKEYRKAVQLFELTLDHTSSSLNEMWEPTLVNLGHALRKLKEYQKAVSYYEKALTLPTKSLSAFAGLAYTYHLMDNFEIAINYYHKALWLKPDDQFCTDMLTYALESICQSTARRRI >Sspon.02G0022950-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:79991404:80014841:-1 gene:Sspon.02G0022950-2C transcript:Sspon.02G0022950-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTPRYAGYPYPAQQGYYNQGPYPYQGPPVMAPPQYQYAQQPPPRSSPGFLEGCLAALCCCCLLDECCCDPSVVFVS >Sspon.04G0036520-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:31469741:31471569:1 gene:Sspon.04G0036520-1D transcript:Sspon.04G0036520-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thylakoid membrane-bound ascorbate peroxidase, Tolerance to bacterial blight, Response to NaC [Source: Projected from Oryza sativa (Os02g0553200)] KDGPGEPGGQSWTVEWLKFDNSYFKDMKFLSQLPSEEQKEQDLLVLPTDAELFEDPSFKVYAEKYAEDQEAFFKDYAEAHAKLSDLGAKFDPPEGFSLDDDMSTAPADEKTEEAISFGERLKQFLAGISWKNFQLWTDLLKRISTGTPWKWGTALTLEPWGTALTLEPSVAAAPPPVAPEPEPAAEPTPEPVAAAVATATADDNNGAAPQPEPFVAAKYSYGKRELSESMKQKIRAEYEGFGGSPDKPMQSNYFLNIMILIAGLAFLTSLVGN >Sspon.04G0007640-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:21681313:21681591:1 gene:Sspon.04G0007640-1A transcript:Sspon.04G0007640-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GGRGGGGGGGGGGGGKRAGMQQPAGPGPLLAPARGSAAPAVGAGQARPEFQLPLRRAQLRAQLRRRPRRARPGPRRCRPRRPCPQRQQRATVN >Sspon.03G0011310-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3B:38293271:38293822:1 gene:Sspon.03G0011310-2B transcript:Sspon.03G0011310-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding KCSTFDVVTAIIFKCRALAVDFAPDAEVRLGFAASTRHLLSNVLPSVEGYYGNCVYPGGLTKTSQEVKEASLVEIVTAIREAKEALSSRFLDWLSGGAKENHYNVSLDYGTLVVTDWSHVGFNEVDYGFGEPSYVFTLNDDVNIVPSVVYLKPPKPKQGIRLVLQCVEGQHSAVFSEELQKHA >Sspon.01G0053780-1P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7C:66094355:66095144:1 gene:Sspon.01G0053780-1P transcript:Sspon.01G0053780-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAEPDFSPPPPEPTHSPEPEISGKDSRVSISSSSCWCYSPSSSCWWDVFRFRDSLRFCACGGGIQNWKADMMSALGESVSFGRFLTEPLEWGKWSAFAHNRYLDEAAVQARPGSVAQKKAFFEAHYARKKRKSENHAAARDDVASGDGDLEAAEDGGAASWSLSAAESSCMTDEPSAPAEEVCCGWEDGVVDCGDSASDKAVHVPEELAAITDAVGPSCRMDAPTNEMCHMEGSDQVVGAVLQLQKQDLCMDSLTAVDATLK >Sspon.02G0015640-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:42612221:42613627:-1 gene:Sspon.02G0015640-1T transcript:Sspon.02G0015640-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGASAPRHHSSSPFQRSLLSKRIITFALYTLVPLALLHYLLSFPPSPAPTTPSPSPPQGPKIAAAAGAAGGGAARKIAAPRCDYSDGAWERSEAGPLYNGTSCGETVKAAQNCEAHGRPDTGYLHWRWRPRGCALPPFDPAEFLRLVRGRHVAFVGDSLARNQCESLVCLLSSAFPAQLVRGAGGGDGDGDGDELRKFRRWAFPSHNATVSVFWSPFLVNGTEKAKGAAVGKGLDHNRLYLDQPNERWAAEVPGIDVVVLSAGHWFLHSALFYDRGAVVGCHHCPEPNRTETGFFGAFRLAVRGALREVVLRGARAQRQQGGRGGPKLAVLTTFSPAHFEGDWDSPTACARTEPYAPGARAMEYMDGEMLRAGAEEVAAAAADARARGAGVTVEALQVTRMAGLRADGHPGAYMHPFPFAGGARERVPNDCVHWCLPGPIDAWNEILLQIVKRWADGVDADASSSSSP >Sspon.05G0007710-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5D:26340949:26341829:1 gene:Sspon.05G0007710-2D transcript:Sspon.05G0007710-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MESTTRILAVLALGLALLCGAAGPAAAQNCGCQPGYCCSQYGYCGTTDAYCGKGCREGCPSGGGGGGGGGSGANVASVVTDAFFNGIKNQAPNWCEGKNFYTRSAFLNAVNAYPGFAHGGSEVEGKREIAAFFAHVTHETGHFCYINEINGASRNYCDANNRQWPCVPGKKYYGRGPLQISWNYNYGPAGKAIGFDGLGNPDRVAQDPVISFKTALWFWMNNVHGVMPQGFGATIRAINGALECNGKNPAAVNAR >Sspon.02G0039830-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:56938019:56940493:-1 gene:Sspon.02G0039830-3D transcript:Sspon.02G0039830-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPAPAARPPPTSPRLRAFPRDGVLPSFDAGRFPSIPGRFSLRSCARAGRVFCLFSGGDSRKKQDEARKALENALGKKKAEFDKWGVEIERRQRGQPGGPAAGGGGWSGGGRWFRRLTGGGFWDAAKQTVFTILGIIGAFFLIANFNVLVAAVINSLLLVLRQIRRILSFIALCVSQGALVEKSGPKSSTLGSSNVAGVPAKERAGMSAKERAGMSAKEE >Sspon.03G0012250-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:43872346:43874763:-1 gene:Sspon.03G0012250-1P transcript:Sspon.03G0012250-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLAVEGGGFFSSSASGYSHGLALLLLGRKAEEKPVKVSPWNQYRLVDRETEQVYHLPSAAKDQAPGKCAPFVCFGCTANGLEVASPPKAASSSAPGGIGTSQEEASCSANKTLTTSGSISGSERRGCLKSNSKRDSLEHRIVVSEGEEPRESVEEVQTLRSSVERRKVQWTDTCGKELFEIREFETSDEGLSDDDAENEGFRKCECVIQ >Sspon.02G0007570-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:15970702:15977472:1 gene:Sspon.02G0007570-4D transcript:Sspon.02G0007570-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MECAAKGLAAEPCFGGVADRRCGGCGAVAYCSRAHQFIHWRVHKEECKRFAEQMRRIDVLSQFPFTFLEPPALNHELSSARCLFLQSIKLHQKGLWKSECICGRDVAPVKDLSIEAEWNLQSSLCPCTEPRNPVPSVLASWEDYYEWRSLPLHSPVAVLLHWPLSLYHCIQLSWQTPRDDGQDNLCIHYLGPEKELLQLAAFGELRALFPGVQIHIELVGPEVPQSRDGEVVNISRYACCSDESCCCKSSIGSKDLSCTAVTLKLWKGFYHERCSDIMKDSTPHLIFAPNAGVAAYPSWMPTIEIIRRTGIPAIFTDFCEEAAHLASCCISSITGQPLKIPIQVNPFRQPVAADNSALYLPWYDIPKGSLRQAWEPLLQAQE >Sspon.04G0030090-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:81303685:81308744:-1 gene:Sspon.04G0030090-2D transcript:Sspon.04G0030090-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGGRSGTRLTSRLVIHRGQVVPCRSYVPSGNKRAWNRNVVVRLPNNTATDGFLGLYDKDMAIVTCLGFLGVHPIDLDLNTRPHPFDSVQAAGRAFNSGSLMAMRGSLYQKRRLKNLDHINTWVFDSQDISKAVLGGPLLGCDNKILGMNLDIYDPSDGILRCTFLSMDLLCKRLKHFQILNPKKLDFRGYTLPKHVSSIVPSVNGQLLNHFEECFGELLPWKGFPYGDPPGGSRERVWNELPKEVVTDVSRRVVSLASFNGYVRSFACTGLLIKWHGSKAKHTVILTSASLVRGRCKEDSIDNSLTIEVFLPPNQRAGGKLEFYNLDYNIAIVSLKKNFNAICPDYIFIESAQNSSKKVVAIGRDAKFGILMAASGEVMPVNKSCELDCKDVRLSSCKIKKAGIGGPLINLDGSFVGMNFYDGSGVTPFLPRHKIVKVLSGVNSLPSECGYNHPMPVNVIGGTKKGIQKNRLYIEAKGQ >Sspon.01G0001380-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:4284113:4290679:1 gene:Sspon.01G0001380-2P transcript:Sspon.01G0001380-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ICRAVAVIKQEGAWAWTWAWGTAAAAAARECSSSTSAAAAAAAAAAAAAEAEERQLLKGEIAVHPLCEQLVAAHVGCLRVATPIDHLPLIDAQLAQSSGLLHSYAAHHRPFLSPHDKHDLDSFQAQYLMLLCSFREQLQQHVRVHAVEAVMACREIEQSLQDLTTLEEGTGATMSEDEDEPPMLEAGLDMGSDGHDMMGFGPLLPTDS >Sspon.04G0000640-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:3015206:3021201:1 gene:Sspon.04G0000640-1A transcript:Sspon.04G0000640-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFVSRSNHALAVDSGRQEWLQRVSKALKETVSHWCRAYDYSNAPNFGLTRTSLGYERKYWKGDAL >Sspon.07G0002600-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:86208041:86209837:-1 gene:Sspon.07G0002600-1P transcript:Sspon.07G0002600-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMGRASATTLLVALAVLAPAVMAQTCDDELPAQLVGNFSGLACAPVWHNFVLRYAQGKDNVLRVVLSTMYSTGWVGMGFSKNGLMVGSSAMVGWMGKTGLPHIKPFSLGGKTPSQVVADQGFLVSSDHHKPTVLVHQAKIYLAFQLSFTEPLKSQNVLLAFGSAIPVNDRLSEHQDKTAIVFDFTTGSSSSSSSFPEGLKRTHGALNLFAWGVLLPIGAIVARYCRRWDPLWFYLHAGIQFVGFILGLAGVVAGVSLYNKIQADVPAHRGLGIFVLVLGILQILAIFLRPNKDSKYRKFWNWYHHWVGRLALFFAAINIVLGIKVGGAGNSWKIGYGFNLAVLLITIITLEVLLWTRWKNNSGSTGAY >Sspon.02G0002570-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:8576876:8578130:-1 gene:Sspon.02G0002570-2C transcript:Sspon.02G0002570-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADCALSMVTSWEAQLASQRKKHVTIELSDQFEELTADVISHTAFGSSYKEGKRVFQALKELQFIAFSTLFSVQIQGFRYLPTKKNMRVWKLDKEVRSTLTGIIENRLAAKDKAGYGNDLLGLMLEACAPEHGGDQLLSMDEIIDECKTFFFAGQETTSHLLTWVMFLLSTHPEWQEKLRAEVLRECGGGRDGRAPTHDMLGKLKLMNLFILETLRLYSPVPLIRRRTRCPVELGGVVVPADALLTLPIATMHRDREVWGDDAGEFNPLRFDAATTKAAPKNLSALLAFSSGPRNCIGQNFAMVETRAVVAAVLQRFALTLSPEYVHAPTDVITLRPKYGLPMIVTSVDDA >Sspon.02G0037050-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2B:29073751:29074813:-1 gene:Sspon.02G0037050-1B transcript:Sspon.02G0037050-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRGLASERRTPPRTHVTAPTNTPTPTFTWGPMPLCFPRLCMPMWGPHLDLRPHCFLHLPLTRLHTHEHARGPSSSRRLRQMGPAATSRHLLVAWANRGSSTQSLTPKRSSMPWRSPVRSSSVTRISTAQSSPTAVQVELSDDAWMSATRSSAATRGSQHARSLSAARRERVGYGRDTREAGGYVSSRRGGDAQTSQHPSSPYCFEWRDRGERDEMEPERISLKGYLTGVSDICVVKKIREPREAM >Sspon.04G0031440-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:13169441:13175458:1 gene:Sspon.04G0031440-1C transcript:Sspon.04G0031440-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGSVGASASESVGAQIPESVRFEPGKATGVRIHWMRARLSDGRSGSGIRGKPFGLGRQRLLDHDESTREWVVTRTTPKPPPAMASSSSASSPPPKLPIPGRRNILITSALPYVNNVPHLGNIIGCVLSADVFARYCRLRGYNALYICGTDEYGTATETKAMEEKCSPKEICDKYHAIHSEVYKWFDIKFDKFGRTSSPQQTEICQAIFHKLMENNWLTENTMQQLYCDTCQRFLADRLVEGTCPIEGCTNKAARGDQCDNCSHMLNPTELIDPKCKVCKNTPHIRETDHLFLDLPLLEDKLVNYINDTSVAGMWSQNAIQATNAWLKEGLKSRCITRDLKWGVPVPIEKYKDKVFYVWFDAPIGYVSITASYTPEWEKWWKNPDNVELFQFMGKDNVPFHTIMFPSTLLGTGENWTMMKTISVTEYLNYEGGKFSKSKGIGVFSNDAKDTNIPPEVWRYYLLMNRPEAADTLFTWSNLQAKLNSELLNNLGNFINRVLSFIAKPAGAGYDSIIPDAPGAESHPSTSDIAEKVSKSVEQYLDAMEKVKLKQGLKSAMGISTDGNRYLQDSEFWKLYKDNPANCAIVMKTSVGLVYLLACLLEPFMPSFSKEVLRQLNLSPEETLSFSEEKGESVKAKTPWDLVPAGHKIGRPVPLFEELKDEKVSKHRETYAGSQAERSSKAVADAEATKIANQLNSIALSGGSKKEQKKKSGNSKSKVAEAELSVAKLDIRVGLIRKAEKHPDADSLYVEEIDVGEEAPRTVVSGLVKYIPLEEMQNRKVCVLCNLKPVVMRGIKSHAMVLAASNEDHTRVELVEPPADAAVGERPEASLSGKSKVWEKLAADLHSSGELVACYKDVPFMTSAGVCKTRCLKAA >Sspon.01G0013220-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:36233683:36237006:1 gene:Sspon.01G0013220-1A transcript:Sspon.01G0013220-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVATQARDSVFHNLFFWRPGDAAWSAAAEVYPRERLHSVAFHGGYMYCMDSLKRLAVYTSSTLGRTTTGSPPVLHRGMYAGYEISNMLCNRRCGKKVHGTRAAHFVTRNGELLLVVLFYDSHPSFAEVYRQNNLMPGRDLELGERVMDLGGYSLFLGRGDAFALSAHEFPAAIRQNCVYYAVHALNSDLKDWVFVFNLETDALEKFPFPQEREGDPGKECRPISWFCPKRPIVKKHV >Sspon.03G0019610-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3A:61160543:61161058:1 gene:Sspon.03G0019610-1A transcript:Sspon.03G0019610-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVISAEPPPPPPPDPVAADAAAVEAAAATSNARQAAAFSSFPSLKTWGSHRALRCAHVNRAGDATATATRRSPGKLAVVEEKALSHLHEVEAGSSDAADADHVAAAEAEAAPALPPWKLRTRRRPKPKVAAPSASMSPPHERRPSRACAEALDRARFSVTLTSEEIEEDIYA >Sspon.04G0025670-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4B:28652508:28655192:1 gene:Sspon.04G0025670-1B transcript:Sspon.04G0025670-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTDMEHHGYRRRCHCSILLVASVILAWPAWASASAGSAGTDADHRALMQFRSIVTGDPYGALASWGGGGNMSAARTPCGWRGVICGVRGRRHGRVTALDLRGLGLASSVAPSSLSGLTYLRRLDLSDNRLSGGMPSPLPPSLELLNLSRNTLQGPLPPELGSLHRLQVLNLGFNNLTGTIPASLGNLTSLTVLSLTSNYLTGAIPVSLSNLQALTSLYLNGNMLRGSIPSTIFNVSSLQHLVVQINNLTGTLPPEAGSRLPSLKLLSVDSNRLHGAIPASLCNASKLEVVQMLDNSFSGVIPNCLGANLKNLWALVLDNNQLEANADADWGFMDSLTNCSNLKVIGLSANKLGGVLPGSIANLSTSMQFLSIFINVVSGQIPQEIGNLVNLNTLYMHLNNLTGTIPDSLGKLNKLSNLHLYGNKLSGQIPSTIGNLTVLSRLFLDDNMLTGPIPSGLGSCPLDSLNLENNRLTGPIPKEVLLIPTLSTNAYFQGNMLTGSLPSEVGHLRNLQALDVSGNRLTGQIPASLGDCQILQYCIMKGNIFEGNIPDSIGQLKGLLALDLSRNNLSGHIPDFLATMNGLQQLDISFNNFDGEVPRHGIFLNASAFSVEGNTALCGGIPQLKLPPCSNHGTPTIKLSRKLVMIISIAAAILGISLLLALFVFCHQQRNLRKEEHALPLINGNYDRVSYTNLMNATNSFASENLIGVGSFGSVYKGTMMNHEQEQVVAVKVLNLQQRGASQSFIAECETLRCARHRNLVKILTVCSSIDSDGLDFKAIVFDFLPNGNLDRWLHQHHSDQGTHRCLDLVQRIDIVIHVASALEYLHHYKPSPIVHCDLKPSNILLDNDMIAHVGDFGLARFVHQDQTNLSDISSGWVTRRGTIGYAAPGLIS >Sspon.02G0018910-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:60909823:60910846:-1 gene:Sspon.02G0018910-1A transcript:Sspon.02G0018910-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding FVASFRFAEPWTDHATKKSYCLFHPYSPAQLLPVKLNPNEGFLHILVRANLKEDPKVTGSAHALFVKGFYIKESGKACASTDSQVIVYAVLDIELFWNAKDLLRVSRVDTSENNGVLSRIVYRVEALKRQSFSDKVTIVLPATGQTEEVEVIYDTGENAEPPSSWGLTTSAVMLTCIIVTIVTVALS >Sspon.02G0032880-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:44683533:44684927:-1 gene:Sspon.02G0032880-2D transcript:Sspon.02G0032880-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSARIDLNNTAGSASASQTLPPALPKRGRGRPRKNPPPPAHPRPPDPDTPMVGGFAPGDMVWGKKLNHAAWPGLVYSAGGNGTGHEGQLLVSYFGDKAFAWCDAAELRPYEPYFPVAELYDDGGEDFDAAVEASLDEFSRRVEAALASAARPFPPADFLASLHDLAADRMGFTNRVQAAVAKAHLRAFDAFRALPDPPQYTLELGLLPHIPLPLPNPKAATDADTTHASRRGRKRKEELVKDYDSDEDWDPRKRGATDSDSDVDFDRRRGSRGRGGGGGSGVPRGRPRGRPRKTDVKDDDDGIQDKLEYPSAAEMLLQLLSVAADPVNGNYDSAPVIVSFFSKHKDSEAPSVYEDKELLETFGCKKGRKKSIVSLGPATKSEAGDDKDQFMSADGQRGRRKSAGSLYSARKAEDSYWCDIIISDFDDGDSDYEGRKRKRPSQNTNRSANKKMKQEEQPPQGAPSD >Sspon.02G0044470-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2C:121642443:121642692:1 gene:Sspon.02G0044470-2C transcript:Sspon.02G0044470-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRFVPSEFGMDPSKMGHALEPGRVTFDEKMDLRRAIEDANIPHTYVSANCFAGYFCPNLCQMRTLLPPKEKVHVYGDGNVKG >Sspon.07G0034190-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:70694816:70699964:1 gene:Sspon.07G0034190-1C transcript:Sspon.07G0034190-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTCTAIIEAYAVNQRHKEALQLFTWMLSNKFVPTKATFNVVLKICDAAGLHDEALGIFNSMVQEYNLETSQENFDCIIRLLAGAGRNSEAQRFADLKSTLFNLPTPRLARKTAKIGLSAINSFKKKALHLMGCLCSKGAKDDANATSGRRTPSRKSDSAADAVSNSGGTAVLNAKTKEKLSGGEKVVVALDARISSGNNAELKGLSGEHVVAGWPSWLINVAPKAVEGWLPRRADSFEKLAKIGQGTYSIVYKARDLESGKIVALKKVRFVNMDPESVRFMAREIHILRRLDHPNVIKLEGIVTSRVSQNLYLVFEYMEHDLAGLVATPGLKLTEPQIKCFVQQLLHGLDHCHKNGILHRDIKGSNLLIDSNGTLKIGDFGLAISYDPNNPQPLTSRVVTLWYRPPELLLGATEYGAAVDMWSTGCIVAELFAGKPIMPGRTEVEQIHKIFKLCGSPSENYCNKSKVPETAMFKPQQQYRRCVTETFKDLPPSAVLLIDSLLSLEPEVRGTAASALQSDFFRTKPLACDPSSLPKLPPSKEYDVRLRQEEARRQRNVALGGRGAESIKPGNENHVASRAIDIAAEVKQPTHNTSKSICEKFNTEDSVPGFRVEPRALPTSMQVPECGTTWNNTGGYADHRSVLGRVYSSVRVARKKGSSNSNIPQYDAADLRNGIEITDHNQQADRPVSSQKKDQQEDHGRKYKRIHYSGPLMPPGGNIEDMLKEHERHIQEAVRKARLSKGSK >Sspon.08G0026840-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8C:35435332:35435553:1 gene:Sspon.08G0026840-1C transcript:Sspon.08G0026840-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIQATIAERPLGSPRLGLEATPSRIRPPWTLTASYQVADAVATLHQKSPRKGLATAIIAFTKPAAASCSGGW >Sspon.01G0008420-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:24341507:24347068:-1 gene:Sspon.01G0008420-2D transcript:Sspon.01G0008420-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHKLTLVLALLAALVGHLMQRCLGRGHPNAAHRADAGRGLTDASSYDAWRCAARPAPRGCSPSPAHHRGQRLGGAGEGPGPPHGVPDQLRHRHGAATDHDARHRQRPHLDAVPAVPSCYAQALPYFDPTLSATSVELTCSSSACQQLDLSSCGTDKWGNQTCAYSYFYGDKSVTKGLLDADTFTGAGVAVPGLAFGCGLFNKGTFNSTETGSGIAGFGRGALSLPSQLKVDNFYCCFTNITGSTPSPVLLGLQANLYSGGASGAVQTTQLIQSSSHPTFYLLPAAEEHHGGSTRLPVPESAFGLTNNGTGGTVIDSGTSVTVVLPLVYGLLHDAFVSKVDLPVTNDEPLCFAEEKKKKKVPKLELQFEGATLDLPRENYVFEIEEDGRNNMCIAIVSSGGDMTIIGTFSTTSPKLLAVLLALLAALAISCHAAAASAVRTQVIHTDAGRGLTRRELLQRMTRRSKARAARFLASSSASAPVTPGQRNGSDPSTEYLVHLGIGTPPQPVQLTLDTGSDLTWTQCRPCTSCFHQALPYFDPSLSSTFQELTCGNETTRVYTYSYGDNSTTNGQLDADTFTFVAVDGRTATAVPGLSFGCGHNNSGIFTSNETGIAGFGRGSLSLPSQLKVDNFSYCFTDITGSAPSPVLLGLPANLYSSAGGAVQTTPLIQSPKIPSFYYLSLKGITVGSTRLPVPESTFALTNSGTGGTIIDSGTSFTTLPLQVYQLLRAAFVSQVKLAPAEASNATTDADPICFVLPSSGDRPELPKLLFHFEGATLDLPRENYMFEIEDAGRSFTCLAINAGGDLTIIGNYQQQNIHVLYDLVNNRLSFVPAQCERL >Sspon.03G0034760-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3B:76918312:76919898:1 gene:Sspon.03G0034760-1B transcript:Sspon.03G0034760-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding KKKAHLIPNIGASSPRPTASPPFATAPRGSRPPPSAAAALHCARPPLRPPLAAQEPPSAASALSRAGTALSRAGTALRRARPQSHGSRPPPSAASALRRTRPAPCAFRRAALRLIRRLRPPPSAVIPFHRPSAPFSPSSPSPSMKGTSPSTKGKASRLKAGSRRRPFHTCVSRVQRVQQVRRSHVGATLASYSSSDGSPKRPVKVVVVGGDAGGGGDGSRVRVPVFGGGDQIPRSSDNIGESRPSSASVPKMGAGGERPSEQVKLGACGGGRSNEAVKLGAGGSDGSPERVVNIGRSRLRVDNLGQLYVLDSEDEGTDMEAGLYAPDSEEEDGGSCGGRRQLYRRAVVPSMVNKMAEKMTMVPNLPVEMAMVADPAPEVVMAVDVPVADPAPEVAPNVDVPVEVATAPDELLPEVKPF >Sspon.05G0002330-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:6777149:6777403:1 gene:Sspon.05G0002330-3C transcript:Sspon.05G0002330-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GVPPAGVRGGEGAHAGVQPLGAAQPPAAARAPPAGGLRRPVRRARLARRVGQRRPGARRHLAAQREPWRRRRVRPLRHAQHLRLT >Sspon.03G0005710-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:16179408:16188175:1 gene:Sspon.03G0005710-1A transcript:Sspon.03G0005710-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPAADPQPKKRKVADAQDPSPFSSNPAPARLPSPALPPPETLGAVAPSTSSPPPTETASQPPEEARLQKLRNQEELRNVFQRYNRIRKYIQEHKDGGLTPELEQDYLYLISASRGCESVQCFLSLPIPRFASRCPTALEAATKVTINMYKCNMATVKRGEDLKGVPYKTAKACIIGLTHICSAASSEELKSSVMKGICSVVYRTVLSFFISSLEGKDIYRMIYTKHAMLQDPVALLETLKLELDNDKQPTIDNLSELGAICLLCTFLLFPENILEACFTLLASAECDDVKGEGLYLLNQLTCHLTCNAANVAMGDKIDEQCPVMEGNLSSTNKIVDSNPVVSENAMESNECYITMAISRHPSLRRWISSRYKKLCDSCKPAVVSEVSSCLKVLGSLSEPSEDKSHTGNESSVLEKLDNNVRENMRPDELISSSEQGALAKTESVNNYGNKSLQNMNVDMVRSDNQKSDVLTDAKLNDFKGRTVVSDARHQGTRPDLLMPKSVYDPAGGSTSLTSPGQHFGRAKHLFSEPFDIYGTYVARDVISVSKELWVGSLGNRAMESLVRSKFEEFGPLVNFLFYPSKNFALVEYRNILHAVHACGYMQGSSIWGGFLQIRYLDRLIGSKGFIRGIAIGESRHIYVAKVKNKKDKDEVFDELKMAGLKWPSGITDISGENALLLEFEAAVDAATAKFYIRHQAPPDVCSRDMNPPGHQLLVQNIDHSVPDIDLINAFSQFGEVVRNQFNRSDSSCFIVYRSQDAAARAKSHLHGARFGLKSLSVELRTCSAGSVHDKTVPPVAPLLGQSVPDNSVHHEIRNPRVPGYHAGYAAPGDRPIYGPPPPNTSRAPQGIFPCPPVSAHHGSVIPPPPIQTSFVRPIYPGPGSPWENTTPNPPPFSHVSPRMMPGSSFRLLFLSYPLVLPLFHSFLEVQHSILRKCHLTTSANYSSPTIYTSRYATPPPPPLPISQPPLVPPPPNSPPPQPIADSSDSQKSSSHPQWQGPLLKSGMHYCRIYASRIELDTCRYENSVSEPAEWPSELDVTKRSAFQHVKTTFNNTPPNKREVCRLVPCSNGDQRGFRDFISYLVQKDCAGVIRIPAVKAMWTRILFILPPTSDTCGMVGISPVPADTMIVVTLPKETTIEAS >Sspon.07G0000110-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:371988:375932:-1 gene:Sspon.07G0000110-1A transcript:Sspon.07G0000110-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDNKSPLSLSPMGGRDRDRDRELLIPVSGGGSAPGDGVEDGDRASSPSAALSSSGREAFHKVVRSWASKKFMTGCVILFPIAITFYITWWFIHFVDGFFSPIYAQLGINIFVFSLHSDDSTGLGFITSVTFIFLIGIFMSSWVGASVLSLGEWIIKRMPLVRHIYNASKQISAAISPDQNKQAFKEVVIIRHPRVGEYAFGFITSSVSLQSYSGQEDLYCVYVPTNHLYIGDIFMVNSKDVIRPNLSVREGIEIVVSGGMSMPQILSTLDPQMILGDRTGPSRS >Sspon.04G0019540-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:77761155:77761745:-1 gene:Sspon.04G0019540-3D transcript:Sspon.04G0019540-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDATTAPTADASAAHKQAPSAAASLETTMASIRRGGGSSVSVAATISQASQQQQQPRLDDDQLAELREIFRSFDRNADGSLTQLELGSLLRSLGLTPSADQLDALITRADTNSNGLVEFSEFVALVAPDLLADRSPYSEDQLRKLFAIFDRDGNGFITAAELAHSMARLGHALTVKELTGMIKEADTDGDGRINFQ >Sspon.08G0011830-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:50994591:50998465:-1 gene:Sspon.08G0011830-1A transcript:Sspon.08G0011830-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tic22-IV [Source:Projected from Arabidopsis thaliana (AT4G33350) UniProtKB/TrEMBL;Acc:A0A178UWE7] MAPPDSISTRSSLPLAAAPMSDPTPFPSAASPPPNPLAAAASFLQHHLSRLASHLNAPRPALAAAAARTPGPQGASLSLALAPDEVARALTGTPVFTVCNSSNEFVLVSDPATGLRSLGLLCFRSEDADALLSHVRTRQPMLGKGAKVVPITLDQVYMLKAEGIAFRFLPDPLQIKNALEMKSGLTAFDGVPVFQSDLLVVKKQKKRYCPIYFQKEDIERELTRASKGSRGSALSKQIMVGSLEDVLKKMEINEGILAGMI >Sspon.05G0009820-3D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:5D:30597740:30598603:1 gene:Sspon.05G0009820-3D transcript:Sspon.05G0009820-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVALVASWGITLYTLRLLIELHECVPGVRFDRLRDLGAHALGPRLGPWVVVPQQLIVQLGCDMVYMVTGGKCLQKFAESVCPRCAPLHQSYWICIFGSFQFLLSQLPNLDAITAVSFAAAAMSLWYGRHFLRIELLAAACFDLSDELDRSRNCVACSYSTISWAACVARGPVPGVSYAYKSGTAADSTFRVFSALGQVAFAYAGHGVVLEIQATIPSTPTKPSRAPMWKGTVAAYLVTAACYFPVALVGYWAFGRDVGDNVLVALQRPPWLVAAANMMVVIHVVGSYQ >Sspon.07G0012750-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7A:46208296:46208586:-1 gene:Sspon.07G0012750-1A transcript:Sspon.07G0012750-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SRRLGVPNHVHRTEPWFSAPWRRQQRPHSVPRVQHVREDEQAGGVSVAVRPPRPPDYRVRHQLIIVFKFKKASRSPAAVAAPFPSLSRDLVPLLEY >Sspon.06G0013880-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:72722050:72728496:-1 gene:Sspon.06G0013880-1A transcript:Sspon.06G0013880-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DDLRRELSPLPLLPQPEGRRRQEKMEEHKPKEQRPKASENKPQAAMSWAIRSGKAPTDYNGSGSSKQETLGGQ >Sspon.02G0053510-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:105762791:105764632:-1 gene:Sspon.02G0053510-1C transcript:Sspon.02G0053510-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVHASLLQALCVRKPGTSCSFKRSIRNNFIWHSTLGTARPSPWPPALAVAPLVCSPQPSPTPKSSSSMAASAWCCSNPLAKASGTARWRQRAARLGLRRVARVRGRDGASGAAVAAAAGASSLGMPCRRMGCSASNSLLIGKNNAQQVDGEKQKYLDDRGTCGCTVSYGAVAASAGLGGRRWVPADWVADVGAGRLDGRRWEGPRTVSCRGDGAADASGDGAA >Sspon.05G0002220-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:6909773:6914565:-1 gene:Sspon.05G0002220-1T transcript:Sspon.05G0002220-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MENQMLLHATLLSTAGTADTLKLLTYANLNPVMLIYPLDRLITGCCHRNTVTQRQLSVGELQQSSSTPFLAVTEDIEHPVMDHKAWLWRKKSTERELEKEKVLLLEKSLQDLNEQLSFAHSKCVEKDGILAKQSKVAEEAILGWEKAEAEALAIKTQLDDTLDEKMAMEQRICQLDEALNVAMVERDSLIKETAQMISCEQDKVQKLEENLVEKINIIASLDAENDKLSEILSVKENIISELIESKGVTESKFKDLAVKLESAERSNSSLRYEVCMLQKQLEIRSEERKFSLKSADAAHKQHLENVKKITKLESECQRLRSMVRKRLPGPAAIAKMRSEVETVGSDTGITRTRKLNSVTPQNSCNSHDTSHGNSPLLARLHAIEDENKAIKESLSRKDGELQFSRTMLARTTSKLSQVEAQLEDLSKGRTTAELVKGSPAVVENPLSSISEDGRNEDNVSCSGSWASALLSELEHFKKGKLTAHSSKSTRVSDMSFMDDFAEIEKLASLCNDKHVEPYASMREATESSGKEVVAVDISTGTADQVRQPKIEKAVLKLIELIEGVIQKSSKDCSSTVVLSGGDEENDQGTLSGYVARAFLWNTSELTSVLQNFVFACNELLYGNTDVENFVHDLQLTLDWIINHCFSLRDVSDMKEAIMKHLELNNSDGLEIVAVARHTGIHTADEPRTPENVQMSLLSYSSCIDLKPDVNKQKTGNEVAVSKFDGIEEKASHLRAELNELKESRKIMAHANGKSTMTECITHESIFISGQNKGKQEGVCCPEPKHQPERRLKNVAENEDKHLQMELEISTASEKLIECRETIINLGKQLKALAAPKDAILFDQVLQTATRSERKPRSQSLSEMLSMEDGGFYYSGSPKTKEIICTEPSASGKRNSPAADEGDDGSAAACSSSYPMPVAAPHVKQACRVNGTCKGEADVKVVTLAVVPRKQKGNGSLLKRILTGRRKEAMAKPQ >Sspon.01G0038930-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:18983815:18989549:1 gene:Sspon.01G0038930-2C transcript:Sspon.01G0038930-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTDAAADAEAAAAPLPPSSSETAEREEAKVEEADEEGQVVERLVELVSEIAAISDFRNSYRRQFCNLSRRIRLLVPMLEEAKEAPAPLPAASEAALRRLRDALHAARELLRLGSSGSKIFLVLDREKIMKTFQDVTVRLEQALAGISFDELNISDEVREQVELVHTQFKRAKERSDLSDDDLFNDLMSLYNSSSSASVDPDILRRLSEKLQLVTIYDLNHESLTLHEMARGGDPGAVVEKMSMLLKRIKDFVQTEDPEMGAQASTANISPKDNSARPVIPDDFRCPISLDLMNDPVIVATGQTYERGYIEMWLEAGHDTCPKTQQKLANKSLTPNYVLRSLITQWCEANGIEPPKRPAQLRDAPLPCSAAEHSNVLELLQKLSSQNLEDQRGAAGMLRQLAKRSAENRACIGDAGAIPILVSMLSTTDVITQEHVVTALLNLSIYEENKARIISSGAVPGIVHVLKRGSMEARENSAATLFSLSIVDENKVTIGCSGAIPALVQLLSDGSQRGKKDAATALFNLCIYQGNKRKAVRAGLVPILLELLMEIESGMVDEALAILAILSGHPEGKTAIGAASAIPVLVGVIRNGSPRSKENAAAVMVHLCNGEGEQQQQHLAEAQEQGIMSLLEELAENENKGNTSACAAIDRLP >Sspon.04G0000190-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:2146632:2151745:1 gene:Sspon.04G0000190-1A transcript:Sspon.04G0000190-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSAAALRPCPARQLVSRNPSGPLLPARPLRVSVSAAGSVATTRGLPLGLLSASPDREGKSRQRQVSCGAAGDAVAAPSAEEGGGLMKTLWLGSLFGLWYLFNIYFNIYNKQVLKVFPYPINITEAQFAVGSVLSLLFWATGIIKRPKISGAQLVAILPLAIVHTLGNLFTNMSLGKVAVSFTHTIKAMEPFFSVLLSAIFLGEFPTVWVLASLLPIVGGVALASLTEASFNWIGFWSAMASNVTFQSRNVLSKKLMVKKEESLDNLNLFSIITVMSFFVLAPVTIFTEGVKITPTFLQSAGLNVNQVLTRSLLAGLCFHAYQQVSYMILAMVSPVTHSVGNCVKRVVVIITSVLFFRTPVSPINSLGTAIALAGVFLYSQLKRLKPKPKTS >Sspon.01G0019760-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:79306390:79308206:-1 gene:Sspon.01G0019760-2B transcript:Sspon.01G0019760-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AASSCSSPLSPRPSSPPTRGRATPSSSPSPPIPTPAEATAPSTTTSASPTSPRSSLSSASAASVCTSPTATAATPISTPSPPTSRSTAPISRTPPRPRPPGPRSAPGTSSWSSSGSSSASPAARSPLPPCTSSGPWWPAPPRPPRTTSSTTRRRRRLTRRAPRRSATSSSRSSRSMTAVRTRHDHVW >Sspon.02G0036660-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:25970334:25974131:1 gene:Sspon.02G0036660-1B transcript:Sspon.02G0036660-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AMRSLSNNGVRSPTRRKIHHAGAFDFSTRMILPFHSVSSQARIAASASSSFSKDKNPKPRESQVVTNVFLVNDGDDWLGVICAEVSCFLEGDLDFDLSLLLGESLLLFGESLLLGESLLLGESLLLGESLFLGDSLLLGECLLLGESPLLGDSLFLCDDLRGDIDLLRGQENYVAHVETTPLKRLFCGWGHVFQKIGTDVLPVGFQNELNHQHLWHDIVTPSSQNDGHVNFQLEEISSRLEIRLDIPILRPC >Sspon.07G0015330-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7B:59375525:59376694:1 gene:Sspon.07G0015330-2B transcript:Sspon.07G0015330-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSGSGSSDIGTTKSQRWRELHGEHSWKGLLEPLDMDLRKSIISYGELVAATSDGFNDEAHSPHAGLCRYAYGYDDLLTKSGVAAASLYKVTKFIYAWEKKKPTWIGYVAVATDEGVAALGRRDVVVAWRGSATATEWFKDILFLPTKPWLALGLTESKSLDPSARVHSGFLSLYTATIDTAVADSTFAVNKTSARDQVLEEVRRLVGLYKDEETSITVVGHSLGAALSILNAVDLVGNCVNNNAPAANDDDSSGAGRPPCPVTAIVFACPHVGNDAFRHAFASFKDLNVLHVKNRQDAVPFLMDWLHDLGVTLHIDTARSDYLKEPNLVTAHNLECYLHGVAGEVGSDGGKLKLVVDRDVALVNKYSDALKDEYHVPASWWVTQNKSM >Sspon.01G0059820-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1D:68758168:68759148:-1 gene:Sspon.01G0059820-1D transcript:Sspon.01G0059820-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MESEGAADPCAICLGDIGCGQAIFTAECSHVFHHRCISDSVAHGHRDCPLCKSAWRDLPAVCDRVHRPPPARPVRVRDARAPPARPPPPGPYDDDEPAVEQSVVDDLDRAADDEVVVVKTHCERTTLARGASNGSFAVLVHARAPGAAAAALAGGEKRAPLDLVTVIDVSTSMRGQKLCLAMQAVSFVIDTLGPADRLSIVSFSNDATRVLRLARMTADGKASAKRAVRSLVARGGTNIGEGLRVAAKVLDDRRQRNAVTSIILLSDGRDGYIRHRHVDLVPSSFTAAGNRAAPIHTFGFGTDLVSDRCRWIAMKKMNSNFREPCRR >Sspon.02G0057510-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2D:60282565:60284290:1 gene:Sspon.02G0057510-1D transcript:Sspon.02G0057510-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding CLPPAPSRLTAASPSRIEPRHICCRAVLHPTPRPLCLIAPCPVPAPAHRALPLAASRCCRHHRSPPGGRGRCQCQPCNDA >Sspon.04G0032750-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4C:32983999:32984980:1 gene:Sspon.04G0032750-1C transcript:Sspon.04G0032750-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGGYFTDLMTKDFVAGQSENAPPAQEVVAQDEVQATGKGNPKRTKNFTIDEDEQLVKSWLKRADALKMFIKEDRQRRQFPYMHCWKLLKGQAKWADRQKQMETHKPMTKKQKVSANSSLTLAHHLLPAAAVDENQHSNSALQRPSGQKKEKQKLRQHSSIEALDYLLAKKKEADAEKELKKEERYKKAFALQEERIRLEKEKLELQREQFEFTKNLEEERIMNVNT >Sspon.08G0030380-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8D:59021497:59021871:1 gene:Sspon.08G0030380-1D transcript:Sspon.08G0030380-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTTSTRFSPRPDAARGKGIARPDQATRRGVALRRFALHYPASSRGTVRSRDGCARGEEHRVHLIHSRRRPSVQRGDFVASSLGARAILVVSRDDELGRLLTREGLFCKKHRIQTSRKDALYVP >Sspon.01G0006240-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:16194803:16199863:1 gene:Sspon.01G0006240-1A transcript:Sspon.01G0006240-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Digalactosyldiacylglycerol synthase 2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G00550) UniProtKB/Swiss-Prot;Acc:Q8W1S1] MSTRRHFAIFTTASLPWMTGTAINPLFRAAYLAKNGDKDVTLVIPWLCLRDQELVYPNNIVFDSPLEHESYVRHWIEDRIDFRPSFSIKFYPGKFSKEMRSILPVGDITECIPDEVADIAVLEEPEHLNWYHHGRRWKNKFRRVIGIVHTNYLAYVRREKNGQVIACFLKCANTWVTRIYCHKIIRLSGATQDLPRSVICNVHGVNPKFLEVGKLKLRQLQNGEKAFTKGAYYIGKMVWSKGYRELLDLLSKYQSKLVGLEVDLYGSGEDSDEVCESAKRLSLSVNVHPGHEFVQLTLNALSEQPAPLTDAQRYELSWEAATQRFIEAADINPHVLESRTHQSSRALLPAFLKTRKLKQNLEDASVYLHQALSGLEVTRCAFGAVPKTLQPDEHLCKDLGLAPP >Sspon.05G0017710-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:70814073:70820841:1 gene:Sspon.05G0017710-3C transcript:Sspon.05G0017710-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD kinase 2 [Source:Projected from Arabidopsis thaliana (AT1G21640) UniProtKB/TrEMBL;Acc:F4HY34] MAHLPSPSPSPSPSPLVFDDSTAPPSKATALAPCGCHRGARRDNISHDSDAAALPRRELVAAPAQSSGLSWPRPLLPDAGAALGLMLDVCAPHGPVKLPAASVGGVRVAWVAGRWWWRSAAARHGVSARASSYGSRIGLDSQNFHTRDLSQLLWVGPVPGDIAEIEAYCRVFRAAEQLHTAVMSALCDPETGECPVRYDVESEDLPVLEDKVAAVLGCMLALLNRGRNEVLSGRSGVASAFQGSEDSNSDRIPPLALFRGDMKRCCESMQVALASYLAPSEPRGLDVWRKMQRLKNACYDAGFPRPDGYPCPTLFANWFPVYLSIVSDDSGTDELEVAFWRGGQVSEEGLAWLLEKGFKTIVDLREEDVKDDLYLLAVQEAVSSGKIEVVNMPVEIGTAPSAEQVQQFAALVSDGTKKPIYLHSKEGVSRTSAMVSRWKQYVTRSERLAVQNHSLNGNGKVLTSYKAMQHMGSPSSSTNGTENGTIAESDRTMNNGESCEIDIETARHNLEVTNALSNDQSTQQGEMPGTGAELLSDFKLESNPLKAQFPICDVFSRKEMTKFFRSKKVYPKSVLNSSRRSSSLLVSRRKQNLSAERNGTMDYEAAEFAVLESSNGKSFDNDYILSVASGITNGKPSNNGASSLIEEKETEVSVATVDTRAYASSSNGKVQVGSQKIAEKNGAPYLERNKSDNVDGNMCASSTGVVRLQSRRKAEMFLVRTDGFSCTREIVTESSLAFTHPSTQQQMLMWKSPPKTVLLLKKLGDELMEEAKEVASFLHHQEKMNVLVEPDVHDTFARIPGYGFVQTFYTQDTSDLHERVDFVTCLGGDGLILHASNLFRTSVPPVVSFNLGSLGFLTSHNFEGFRQDLRDLIHGNNTLGVYITLRMRLRCEIFRKGKAMPGKVFDVLNEVVVDRGSNPYLSKIECYEHNHLITKVQADGVIVATPTGSTAYSTAAGGSMVHPNVPCMLFTPICPHSLSFRPVILPDSARLELKIPDEARSNAWVSFDGKRRQQLSRGDSVRISMSQHPLPTVNKSDQTCDWFRSLIRAN >Sspon.01G0048600-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:101271446:101277383:-1 gene:Sspon.01G0048600-3D transcript:Sspon.01G0048600-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA methyltransferase, Maintenance of methylation, Gene silencin [Source: Projected from Oryza sativa (Os03g0798300)] RPKRAAACSNFKEKELGLSEEDLIITIKESRVEEEEIEAVRLSKTEPEDRRPSRKLIDFSLHDADGNLQPFEMSEVDDIFITALIMPLDDGLEKDREKGVRCLGFGRIKEWIISGYNEGTAVIWISTEIADYKCVKPTSSYRSYFDHFSEKARVCVEVNMKIAKSVGGNPLLDLEELLASVVRSINSNRKSGGTMSKDFVISNGEFIYNQLVGLDKTAASNDEILGTLPVLVALREESKSRVKFNKFSAMPSNGALKIKDEQHMGVGDNGDEKLARLLQEEEEWKMMKQRGKRGTSQKNVYIKISEAEIANDYPLPAYYKSYNQEMDEYIFDSDISIYSDDMPVRILNNWALYNSDSRLIPLELIPMKSGAENDTVIFGSGFMRDNDGSCCSTAESMQLSSSSSKSNQDPGIPIYLSPVKEWVIEFGGSMICITIRTEVAWYKLRQPTKQYAQWCEPVLKTARLAVSIITLLKEQSRASKLSFAEVIKRVAEFDSVHPAFISSNTTLVERYVVFADFPDVSIQRSAFVTGLILKMEERRHTKLVMKKKSQAMKGENLNPSAKMGPILRRKLMRATTTRFISKIWGDYYATHFPEDTKEGDGNEQKEIDEELEENEDDDDIEEDVNIEMEQAAKTPPSTRSSKSLKSCKEAKWKGQIAGKTVSGEFLYKCVTFQDLSIGVGQSVSLEDDSGEAIMCFVEYMYEKHDGTKKIHGRILQKGVQTVLGNAANEREVFLTNDCQEFELGDIKELVTVNVQLIPWGHKYRKENSEVNRIEREKAEARKRKGLPVEYFCKSLYLPKKGGFFSIPHDKLGIGTGACSSCEERNQAVLSSRILDTMFMTSCILGLIFFSQGEGYETYKAGRNVGLKPYVVCHVLSIDAPDGSNKAHPESTKINVRRFYRPDDISSTKAYTSDIREVYYSEDIMSVPVTMIAGKCEVRMKDDLPNSNLPVVVEHVFYCELLFDPVTGALKQLPPNAKLMSLIRKAPSASKKNKGKQICDDELDGSDKKDMPSDNSLATLDIFAGCGGLSEGLQIAGASKTKWAIEYEEPAGEAFGENHPEAAVFVENCNVILKAVMDKCGDADDCISTTEAAERSSKLSDEKIKNLPVPGEVDFINGGPPCQGFSGMNRFNRSPWSKVQCEMVLAFLSFADYFRPRFFLLENVRNFVSFNKGQTFRLTLASLLEMGYQVRFGILEAGAYGVAQSRKRAFIWAAAPGETLPEWPEPMHVFASPELKINLPDGKYYAAVKSTAAGAPFRSITVRDTVGDLPPVENGASKPTIQYGSEPVSWFQKKIRGSTVLLSDHVSKEMNELNLIRCKHIPKRPGCDWHELPDEKVKLSTGQMVDLIPWCLPNTAKRHNQWKGLYGRLDWEGNFPTSVTDPQPMGKVGMCFHPEQDRIVTVRECARSQASLTATVSRAASSASTGRLATPCRLRLPTRSGGSSRKPSTASAE >Sspon.05G0013100-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:23125853:23126734:1 gene:Sspon.05G0013100-2P transcript:Sspon.05G0013100-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAPCCEKMGLKRGPWTAEEDRVLVAHIERHGHSNWRALPKQAGLLRCGKSCRLRWINYLRPDIKRGNFTREEEDAIIQLHQMLGNRWSAIAARLPGRTDNEIKNVWHTHLKKRLEPTKPASQAPKRKPKKQQQQQQPEAVTTTLEGPTTGAAPVSPEQSLSTTTSTTSTATDYSAAASSMENASDSFTSEEEDYQIDDSFWSETLAMTVDSSDDSGMQAEGSTFGASSAAPSSDDDMDFWLKLFMQASDMQNLPQI >Sspon.02G0002960-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:9978022:9981828:1 gene:Sspon.02G0002960-1A transcript:Sspon.02G0002960-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHTPIALLLASTAAYANQRRRHLGRRRELPRHRGDCENAIRYLNGIEGNKLSIWMAARGIRYRAALFSQWKQRGMYATCMWVVELLLVLSNSNNIFHYVPEFYVESLVTLVVKHFDDTRIVNPDLKDLLLQSISVLVQYKEFMLVFENNREAINKMPRSLLSAFNNRSWIPVTNILSRFCKGSGFASSKNGESSSSATFQVLLRETCIHEQELFFSFLNRLFNTLSWTMTEFSMSIREMQDKNQVADLQQRKCSVIFDISCCLARILEFCTREIPCAFLMGPDMNLRRLTELVVFILNHIISAADAEFFDMTLRRSGQHQEKTNRTMILAPLVGIILSLMECSSTSEQRELNDVIAVFASMDCPATIHFGLQYLLSYNWSNVLRGDSSLAKLAQLEEFSHYFRRITVSVDDEKDHSINMGDEEADDTCCICYNCDSDATFQPCHHRSCFGCISRHLLNNQRCFFCNAVVTSVTRIADS >Sspon.01G0037880-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:21715224:21716154:-1 gene:Sspon.01G0037880-1P transcript:Sspon.01G0037880-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATSLSSCSSLPRATAKPGGVSYYTQLTFRSRHPFEKAAAAATFQKLPPELLRLSYAARNNKHARTSCRRATDNDQAAPAAAQETTANTPPPPASPVPSAERSPVTPGSNGQPQQPVANANGSAPSEPPKRAPLTARERLRAARVLGKYAEPSAKGSSSSKSAKPEFGSGVLDALREADAKKGGGGGGRRGSRLPEAPGNLFDDSKRGMPKEGWTFELPFGVDVFLVLVSFTLITTIMFGTAFLVWKLGAIHFN >Sspon.02G0013240-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:28966363:28968886:1 gene:Sspon.02G0013240-4D transcript:Sspon.02G0013240-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CYP707A4 [Source:Projected from Arabidopsis thaliana (AT3G19270) UniProtKB/TrEMBL;Acc:A0A178VA28] MAFFFILVCTLIFLAIASYVQYARWHKGKGRFGGGHEKASSSSSLKLPPGSMGWPYLGETLQLYSQDPNIFFASKQKRYGEIFKTHLLGCPCVMLASPEAARFVLVTQAHLFKPTYPRSKERMIGPSALFFHQGDYHLRLRKLVQGALGPDALRALVPEVEAAVRSTLASWDSHVRSTFHAMKTLSFDVGIVMIFGGGRLDERRKAELRKNYSIVEKGYNSFPNSLPGTLYYKAMQARRRLHDVLSDIMRERRERGEPGTDLLGCLMQSSQQGDDGAPLLSDEQVADNIIGVLFAAQDTTASVLTWIVKYLHDHPKLLEAVRAEQAAVREATDGGRLPLTWAHTRSMPLTHRVRTTQIKPLMTACVILESLRMASIISFTFREAVADVEYKASLLRSAWGYVSGWKVMPLFRSIHHSPDYFQDPHKFDPSRFQVAPRPNTFLPFGNGVHACPGNELAKLEMLVLIHHLVTAYRCVHLLPDPPYISACTYLVDQDDTWLVPLHRPPLRACSKSPPIIGSSDEVEYSPFPVPKHGLPVKLWREENSTVDRKGRRETDDDVEDIIV >Sspon.07G0003160-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:7720156:7722086:1 gene:Sspon.07G0003160-1A transcript:Sspon.07G0003160-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GDTLYLFFETKTTTSMQGDIGVARSFDQGATWEFLGIALDEAWHLSYPFVFKYENEGYWWLFASDFTRYGVEKNAELEIWYSNSPLGPWTEHKQNPIYKSDKSLGARNGGRLFVFEGSLYRPGQDCSGTYGRRVKLYKVEKLSKEEYKEVPVNLGIEEPKKGRNAWNGMRYHHMDAQQLASGGWIAVMDGDRVPSGDSTRRSLIGYIAFLLASALVIFVGFMKGAISCYVPPSSWVPLTRRTELSRVFSVYRFNQKVRRYSTNISRYISATKTKLSEKTWSNMLFFCVVALFGIVNVCIAVHFLCGGNGAEEAYTYQGQHSQFTMVTMTYEARLWNLKVFIEHYSRCESVREIVVVWNKGNYPSSDAFDSTVPVRIRVEEINSLNNRFRVDPLIKTRAVFELDDDIMMTCTDLEKGFKVWREHPERMVGFYPRMIDGNPMQYRNERYARTYWSEKAREGRDYVHKNFNCEDLLMNFLYANASSTRTVEYVHPAWAIDTSKLSSVAISRNTQKHYDIRTNCLAKFSSIYGPLPQKWEFGMREDHWDK >Sspon.02G0022740-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2A:76807516:76807842:1 gene:Sspon.02G0022740-1A transcript:Sspon.02G0022740-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVGTRSMYLRSTTFLFLATTCRSCSTPPPSSPHAQDFAATDSSRNHACESARIHAPSSHGDGPYNAAGAILSASEHGPSPWPHETTQPPSPGLDGVVFTKMARKVP >Sspon.04G0030690-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:3163388:3167979:1 gene:Sspon.04G0030690-2D transcript:Sspon.04G0030690-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQYKNLGRSGLRVSQLSYGAWVTFGNQLDVKEAKALLQACRDAGVNFFDNAEVYANGRAEEIMGQAIRDLGWRRSDVVISTKLFWGGQGPNDKGLSRKHIVEGLRGSLRRLDMDYVDVVYCHRPDASTPIEETVRAMNWVIDQGWAFYWGTSEWSAQQITEAWAVANRLDLVGPIVEQPEYNLFSRHKVESEFVPLYSTYGIGLTTWSPLASGVLTGKYSKGSNLANRSLVDDTLRKVNGLKPIAAELGVSLAQLSIAWCASNPNVSSVITGATKESQRITTALSKHVYMSDPFGKPHEQIVENMKALDVIPLLTPEVLDRIEAVVQSKPKRTESY >Sspon.04G0014950-4D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4D:64489991:64490248:-1 gene:Sspon.04G0014950-4D transcript:Sspon.04G0014950-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MANTCFMAFTILSSFLSVVAGQLRRLPVAGLPGDLFGLVFASRIRTDRNWTAKASTDFGQMVKAAPEAVFHPATPADIAALIRFSV >Sspon.01G0038680-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:21351196:21356699:-1 gene:Sspon.01G0038680-2D transcript:Sspon.01G0038680-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLSRHTVRNEFALGGRDLYRAADQHDPEAVLDGVAMAGLVGVLRQLGDLAEFAAQVFHGLYDEVMSTSARGHGLMLRVQQLEAELPLLEKDSCQRDYLYVASNRGVDWHSNPRVDHGVVTRGDTPRFIMDSIKQCRGPPKLFTLDKYDIGGEGACLKRYTDPSFFKTDSACSTLLQEGIQNERRPLKAMEIRPNLQNAEIFRPPNAADYVSKLETDLSGEAMDEVPTNRRRLKYRQLNGSVFQSFRPLMQNLYEKPSSEEKLVTGDHSKVHISMNDSPESDTEERDIMVDTSVNMEKGKVTVRKNRSISEEALSRSSDTRSAGSSKGYNSEVDIYMDALTTMDSEVETDSEHRGHGQYTLDRMDSDNRSSNAQNNMASRTTSFERKDKSDVASANRDMSNKYEEAIVSTQHTKPVFGEHERTSSLEELLDKEKPASWDHERTSSLEELLTEDFNAPESGVREQATEEQSCNGSVTSAVSNGTQDITKTKEVKEHSNIATISFKKIASKRSKYVGSMELIASKVGILPRKLSKKHDPFSDSLRYMAKQLLELKYDGTQDRDLYDFEANGVGCDVKYQEIYDPPVEIKESDVHKIPSDSPYDDVDSRKCLQEEVNHELEHDVPPTDSPHDSVPDEGNGFQDSNMVYLAGIITSCSSQEEEGCASTALDENSSTGVINHILEHAQENFEEHLDKEVNEDIHIEVISENASDKCEDLKEVGIYTEQVNVEDIEESNKSDVYVLDDETAEYIEEQLVSDGMISSPVSSKQSDDPCRITPLTVADEDYTVACKIFDGPEVEHITLSETFTDIDLPNVVTESVINSEHAMPDNEQYYLCPKTTFGQDPILGSYEIVGKNGQAPLCSSTPAAITPELTVNTEEKHEFHQAVCQEASNSLNSSTEVIGDPLAPDSRDVPPSVISSFDWMLNGAMQQSFNILPSHPTNGNAQENGSSEDAPPPLPPLPPMQWRTNKLQTGSSPLSAKIGRPPRPKPPVKQQESEAACALQEKSLQNEVVQSTISSDHEINQILNMDCHENHHHEGEEYDAQDFNPFPSSEVECLSEVASVKSENLHTLQLPELIVVPEEAWSVFGNIKFIPEQEGKHQLSNGLSVCNGMCTSDLSAQKTSQKPEIFADYKEKEFSAAGSDKVADSEENRPNGAIKQDVMLNPDLPVQQKEDKHDCDDKAREFSSALEEESSKSPTHTVPKPPRYPLLPVTSHDRSMLRKAPTLVQPSSKLSDEKDTLLEQIKNKSFNLKPVLAKRPNVMGGPKTNLQVVAILERAQAIRQAVADDDDEDSWSE >Sspon.07G0009700-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:27836746:27865247:1 gene:Sspon.07G0009700-1A transcript:Sspon.07G0009700-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLERLPPPAGSRHGHHRYARMPPQADLEGEAAPAEQERELERRPEVLAASASASLRVPDAARVFDELPRASIVAVSRPDAGDITPMLLSYTIEVHYKQFRWRLYKKASQVLYLHFALKRREFLEEFHEKQEQVKEWLQNLGIGEHMPVVHDEDEADDVNVPPQSDDNSIRNRNVPSSAVLPVIRPALGRQNSISDRAKVAMQEYLNHFLGNLDIVNSREVCKFLEVSCLSFLPEYGPKLKEDNVSVGHLPKIQKDRKKRCCSCGLFNCCKSNWQKVWVVLKPGFLALLEDPFDPKLLDVIIFDALPHMDISGEGQISLAKEIKERNPLHFGFQVSSGGRTIKLRTRSSSKVKDWVTAINAARQPPEGWCYPHRFGSFAPPRGLLEDGSMVQWFVDGQAAFKAIASSIEEAKSEIFITGWWLCPELYLRRPFQYHVSSRLDALLEARAKQGVQIYILLYKEVALALKINSLYSKQRLLNIHENVKVLRYPDHFSSGLDLCFGRYDSPEHKVADSPPVMWPGKDYYNPRESEPNSWEDTMKDELDRAKYPRMPWHDVQCALYGPPCRDVARHFVQRWNYAKRNKALNEQAIPLLMPHHHMVIPHYKGRSKEINDEAEGKQYHDKDVDIGKPVLTSRTSCQDVPLLLPQELEPRELSSSDLRMTDLDINHSDHVNKKSFNQPLLNRKAKLDSSHQDLPMRSFVDNLSSLEPSSIRRFDSSKDDRNHIDKKWWEVQERGNQVASVLDVGQVGPRATCRCQVVRSVGQWSAGTTQIEGSIHNAYFSLIEKAEHFVYIENQFFISGLSGDDTIKNRVLEALYRRILRAEREKKRFKAIIVVPLLPGFQGGIDDGGAASVRAIMHWQYRTICRGSNSILQNLFDVIGPKAHDYISFYGLRAHGKLYDGGPLVTSQLMIVDDRITLIGSANINDRSLLGSRDSEIAVVIEDKEVVNSKMDGRPWEAGKFSLSLRLSLWAEHLGLHPGEV >Sspon.07G0002060-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:3618920:3622980:1 gene:Sspon.07G0002060-2C transcript:Sspon.07G0002060-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPGSLGLLHAPSFAVVKCQPVASRASARRAPRALFAVRASAADAANKDAVLRAFRENRALKIISGLQNFDRSSVASVVTAADKGGATHVDIACDEDLVKLAMDLTNLPICVSSVDPSAFHSAVEAGAKMVEIGNYDSFYEMGIEFSSEQMRLAEVLEEEGADIIQTEGGKCSSPTKPGVLGLIEKATPTLAAAYSISRAVSIPVMCSSGLSSVTAPMAVTAGAAGVGVGSAINKLNDVVAMIAEVRSIAQAMGLSPRIVSENLRTVRH >Sspon.02G0030120-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:108700151:108702501:1 gene:Sspon.02G0030120-3D transcript:Sspon.02G0030120-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNVCVGSRFSKNGGFFGNFSLWPSRSRNSGGTPSNPTTTSRSVPVVQVQPSESDAKPQPARTTEAPAPIVISEPAPLPQPQPTPSEPDPSPPAPASQQPAQPQQQQQAPPRQQSRKKAAHIKRISSAGLQVESVLRRKTDNLKDKYSLGRKLGQGQFGTTYLCVDKATGLEYACKSIAKRKLVTDEDVEDVRREIQIMHHLAGHPNIIAIRGAFEDAVAVHVVMELCAGGELFDRIVRRGHYTERQAGELARVIVAVVESCHSLGVMHRDLKPENFLFVGNDEESPLKTIDFGLSMFFRPGEEFTDVVGSPYYVAPEVLKKRYGQEADVWSAGVIIYILLCGVPPFWAETEQGIFEQVLHGSLDFESDPWPSVSENAKDLLRKVLVRDPKKRLTAHQVLCHPWLQTIASAPDKPLDSAVLSRLKQFSAMNKLKKMALRVIAENLSEEEIAGLKEMFKMMDTDNSGQINFEELKAGLQRVGANMKEPEIYQLMQAADIDNSGTIDYGEFIAATLHLNKVEREDHLFAAFQYFDKDGSGYITADELQQACDEFGIEDVRLEDMIGEVDQDNDGRIDYSEFVAMMQKSTTGFGKKGHQYNLSIGFRDALKANS >Sspon.08G0013960-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:55768491:55773563:1 gene:Sspon.08G0013960-2D transcript:Sspon.08G0013960-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPETQRQHDGDGGAAEADIERLPVDLLAHILSLLSPFRDLSMAGGVSRRWRWAVERALASRRRLSFAGQRTGNDTAARLIRAAVNLRDLDISRSCWGCHITDEGLIKISSAGCVANLTSISLWGLAGITDKGVVHLVSRAYSLQHLNIGGTFITDESLYAVANSCTNLKVCLFLSLSLSFPESQSIILWSCRHVTEAGLVALVNKCRRLECINVGGMRVPPESFVGLLSISPALQIRSIPRILNAGVQ >Sspon.08G0015860-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8A:63255498:63255833:1 gene:Sspon.08G0015860-1A transcript:Sspon.08G0015860-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVGSKPPEEYTIPKGTITRIMRQVLPKDSRVTSGAKETMDQYIVQFCAALTRAVVQECHRGRHLTITADDLIAGFARLGLVDYVPPVTKFLGRYHENANDGTLDHVTRPL >Sspon.08G0007320-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:23429693:23432619:1 gene:Sspon.08G0007320-1A transcript:Sspon.08G0007320-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRLLLLLFALSLVASASAVPAVIRMVPDDPTAASSGAVEDADALFCDSWRLSVETANAGPWRAVPARCGDFVRDYMEGPRYASDSAVAAADALAFASDALAAAAEWGGSASARPAWVFDVDETLLSNAPYYAVNGWGSQEFNETSFDEWADAAKAPTLPSSLNLYNQLQGLGFHIILLTGRIEFQRNATESNLLSAGYNSWEKLILRQSSDIGKTAVQYKSERRAAMEAEGFKILGNSGDQWSDLIGSPMATRSFKLPNP >Sspon.02G0032410-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:120337089:120342280:1 gene:Sspon.02G0032410-1A transcript:Sspon.02G0032410-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRQDVSLPDAFEFVLGKDWDNWPPEAGLLVAAYYGDIRRLKEIAKIIDTEGKGLQDTLANTHFLGTYALHAACDSGCMPVLQYLVKDLKIDVNKADTLRGFTPVMHAVLYGNLPALRFLVDHGADVHQQHKGMSLFHSAAEGGRSEIAKFLLSKGVRVDGESSLLTPLLIATYRRYPSIPNERMNDEVTPLSMALKHSSMPCLKLLVQAGADVNGFGSYNPLAKAAEKGLTEAIKCLLEAGANPNVPDTFGRLPIELAAEYGTWEDVELLFPVTSKIPTVADWSVNGVISHVYMEVMQLEDDAFVKKKKSELKRQGADAFSKEDYLNASVFYTQALKVDQFDATLFSNRSLCWLRLGDGKKALLDAMKCKHLRPKWGKAYYRQGAALMFLEDYDSAYDAFNRGLELDPESEEMEKLLWEAMDLKTT >Sspon.05G0004830-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:14863622:14865246:1 gene:Sspon.05G0004830-1A transcript:Sspon.05G0004830-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGLSGAAMTGFVAKNPLLAAAARRRAPPLAGRALPFSPLTITTTTRAPRRRGLGTVTCFVPQETEHPAAPAAPVPVPVPETALDEEARAAAARRIAEKKARKQSERRTYLVAAVMSSLGVTSMAVAAVYYRFSWQMEGGEVPVSEMLGTFALSVGAAVGMEFWARWAHRALWHASLWHMHESHHRPREGPFELNDVFAIINAAPAIFLLAYGFFHRGLVPGLCFGAGLGITLFGMAYMFVHDGLVHRRFPVGPIANVPYFRRVAAAHKIHHMDKFEGVPYGLFLGPKELEEVGGLDELEKELARIGRTI >Sspon.03G0039160-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:10797075:10801850:-1 gene:Sspon.03G0039160-1C transcript:Sspon.03G0039160-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSRIWKSALIAMVNILEETDDGEQNIFVHHDVPLADFPLCTAWMDFNLKGGEKAVGTMDPAIEIWDLDMVDELQPHMVLGGLSKKKKAKGKKGKKYKKGSHRSSVLGLAWNKEVRNVLASASADTTVKIWDVQAVAWSPQSPEVLLSGSFDKTVAVNDMKDGGQSCHKWSVEADVESLAWNPHNEHSFVVSLENGMVQAFDKRTASSSSSGQSMYTLHAHDKAVSSISFNPSAPNFLATGSVDKMVKLWDLSNNKPSCIASQNPKLVWDTLREPAVAHKFSK >Sspon.02G0014330-3D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2D:31655176:31656878:1 gene:Sspon.02G0014330-3D transcript:Sspon.02G0014330-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDDVQAAAAVIDLLEKYNVQAIIGPQKSFQTVFVSALGNTCQVPIISFTARSAYLSSHYLPYFVRVTVNDSAQVSSITSIIKTYGWREVVPIYMDNDDGKGIIADLVDVLEGIDVHVPYRSVIDESATGEQITQELYKLMTMQTRVFVVHMSPSLGSLFFTKAKEIGMMSEGFVWIITDRLANLIDLLNPSVGGRVLCS >Sspon.01G0050940-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:10013335:10015196:1 gene:Sspon.01G0050940-1C transcript:Sspon.01G0050940-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPTQTLNNKASAGLGFPSSSSALLAPPPPPPQFASCARELVCLRPRLRARSESMDTQVKLAVVVKVMGRTGSRGQVTQVRVKFLDDQNRLIMRNVKGPVREGDILTLLESEREARRLR >Sspon.03G0017960-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:55432706:55436795:1 gene:Sspon.03G0017960-1A transcript:Sspon.03G0017960-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MACAAAHMFVYNATLCACDPGYYLFTNSTGGGNGSSTSCVSLPRGGDGFGDWQVGSVGASKNQSFYFLTPVLSLDVVRRLTQSQAVLLWVALATLLSWFAFCAAVRFAGQDPARHKKLFGARFWVSRLDCIFDNNHYANDQQVLRKRKTELGGMFSVATVILFLGLVTVLLYQAIHRRNAQVHRVKPANAPDLLDFVNDLEFHITTISSMSCAQVVAPSTIAMGTPGFMDFRVLPLSTLLTYSCQNTSEGPSITLKCNGCRIPPRDHYVSWQFVDLPRQPAAAVGFQFNLTAKEHGDDKDMSFVSGTLSSDNYIDAKLKTFRGPDSNVLKIQLFPQIYNNLRGLKLLQPLVQDFTQGSTLSDLSSLNASLQNPRDGVINTTLYISYLSDYIVEISNENVLGPVSILASIGGLYAFSVAIFLCLMAQCEGRIKKLRDEDTRMLNILSKQRAQRNWNKVRKFVMYTWGPSNLDPTDRSGKWPEGSVMDSLHGSFHKRRKPIRRGASNGSKPNKPADMAVEIERVGEIQESSSSSTCDHD >Sspon.01G0037530-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:22586795:22586950:-1 gene:Sspon.01G0037530-1B transcript:Sspon.01G0037530-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGILFSLNPNTSIIVLNTLVLLGECPIALEHGRNDTKIANLLIRSRSTFR >Sspon.08G0008670-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:15115386:15120267:-1 gene:Sspon.08G0008670-4D transcript:Sspon.08G0008670-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLVDGDLALSSSGGASAGAASRTGGTGRELVAALLESPGIRDAADRLKATPERRISAGQEGAPRHVYVFQREYATVDPARVEVRGVALSRLDQLVGTDEATTCIGVVIRNNKTGMTSVSHMDFPKIVEGGINQMLELLGDDNAPFDVHLIGGFADASTKVVHSSGKKHIKQEGYSYPLCCKIVEVLHKSQQQFHLRSFCVLENNTKTDSFGNALPIIGGFVIETSSGIVIPATFDMDSRCPDEVVRRIRVSVSSYDPTWQGRLLETYDTQCDVFQIAPACWMPDWADIASSLNQLSDSEVLLQCSTSPAAEPPHFVENERRIWKYLINNPDWEETFPKHKPRVFHRTSDGGWSRYS >Sspon.08G0017230-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:358796:360022:-1 gene:Sspon.08G0017230-2D transcript:Sspon.08G0017230-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPPPSDLNTHLPPRKRLLAGLRTAVATAGDAAAEPLPSPPASAGDLAARLREMALAANASASSPEEMIEAAMAAAQAAADAAVAARAVAAEKAAVAAKARAAARAAMEFLDSFSSRGAGASRNGGLQFKVKSRKKHVQVKMLYRPNGTLGPGEAPKPRRRKQSDEEVARNLHRVMNSSPRISHTGPPKRPRTITAGDAKDGVVAPGGGNGEGGADACNGSSIHVPIEAGSGLANGCSEGKSSERTVPLLNHEGPVVDGENSSRHAAKSSGDIAENGVWVGNLSAGQKVKIKRKELLLNQNSGSKETEEPKESEQPRETEPFVHSIALDESKSNGKGRSKPNGNEAEKLAGPADTKAPGDGVTPMKITSVWKFKKLKTSHCSSDSKVLHKVCPPPPAAAETSASVKAD >Sspon.03G0036510-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:90350658:90355624:1 gene:Sspon.03G0036510-1B transcript:Sspon.03G0036510-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKKKSIFPGMTNLQSSSSLAGKSDAIVGFYECCSCFITLEGQAHNHPLLMAQTGNLSQRDHTIDIPMSDGTSPSTSHQDDPNGLDELHHSRGPLNEVPPGPERSSGTNDVSNSHNASSARIDRGHRQQNPLNSGFWISIELIVNLSQIVAAIAVLSVSRNEHPHAPLFTWLLGYTIGCIAILPHLYWRYLHRNRPNMEQEMPPQSSSERNISETNSYAAVSSPRTSEAVDGANSTGVSRINLPLASPRFYAMVACFKLMLDCFFAVWFVVGNVWIFGSRSSAHDAPNLYRICIVFLAFGFIGYALPFILCTMICCCLPCIISILGVHEDLDLNRGATTEAISTLVAYKFQSKRVHDGDVGEDGGGVLAAGTDKERIISAEDAICCICLSKFSNNEDLRELPCAHVFHMECIDKWLQINALCPLCKAEIGGSTRSNPENGSVGPDNTNRVGNDVESQRGGSLKRKPQFSWGCWCLVVDTEASRIAE >Sspon.03G0035760-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:47589046:47590541:-1 gene:Sspon.03G0035760-3D transcript:Sspon.03G0035760-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNIMDSEVVQVVELSFVVPNEPTPREGLWLSPLDLIIANRGHTPTVYLYSSSNVATADDFFDVARLKEAMAKALVDFYPLAGRLGVDDDGRAEITCNGEGALFVVARADFSVDDIKDGFKPSPELRRLFVPRIEPASVILAIQVTFLKCGGVVLGTALHHAAIDASSAFHFFQTWSAISKHGDRATMELPFHDRTLLRARSPPTVHPDALSRFYPKLTFSDPSGPLAFEVFAISKDQVASLKSLCGGTSTFCAMSALVWQCALIARRLPPDSETCLTFPANARRRVRPPLPNRYFGNALVRLGVTGEVRDIAAEALASVAGRIKDAIDRMDDELVRSAVDYCEMAEMDSRPVKGTLVETDLQIISWLGMPMYDADFGWGKPGVMSRAESIRGGFVYLMSDGVADDAGSGGVRVLMCMEAANMKELERLIYEKL >Sspon.01G0015510-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:117234877:117237553:-1 gene:Sspon.01G0015510-2B transcript:Sspon.01G0015510-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQAFRKLFDAFFGNKEMRVVMLGLDAAGKTTILYKLHIGEVLSTIYVVDSLDRERIGRARAEFQAIINDPFMLNSVLLVFANKQDMRGAMTPMEVCEGLGLYDLTNRIWHIQGTCALKGDGLYEGLDWLATTLDEMRASGRITSASSSAS >Sspon.01G0025930-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:101069070:101072462:1 gene:Sspon.01G0025930-2B transcript:Sspon.01G0025930-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAARWAALLLVLLVACGGVRPGAAAGGGASWLGGLSRAAFPRGFVFGTATSAYQVEGAASTNGRGPSAWDPFVHTPGNIVGNQTADVAVDQYHRYRVKFEVKGSLLLSLILGPFGTLQEDVDLMKSLNFDAYRFSISWSRIFPDGEGRVNPEGVAYYNNLINYLLQKGITPYANLYHSDLPLALQNKYGGWLNTKMAKLFTDYADFCFKTFGDRVKHWFTFNEPRIVALLGYDGGSIPPQRCTKCAAGGNSATEPYIVAHNFLLSHAAAVARYRNKYQAAQKGKVGIVLDFSWYEALTNSTEDQAAAQRARDFHVGWFVDPLINGHYPQTMQDIVKERLPKFTPEQAKLVKGSADYIGINQYTASYIKGQKLFQQKPTSYSADWQVQYALERNGKPIGPQANSNWLYIVPTGMYGCVNYLKQKYGNPTVFITENGMDQPGNLTRDQYLHDTTRVQFYKGYLAELKKAIDDGANVAGYFAWSLLDNFEWQSGYTSKFGIVYVDFSTPKLERRPKASAYWFRDMLQKH >Sspon.08G0010700-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:41786382:41790798:1 gene:Sspon.08G0010700-1P transcript:Sspon.08G0010700-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEIRAEFQNSGFSIGGASPEDAAQILSTLLTYCINYKMSPADLVSNWEVYYLNRQLDGLKLESSYLDGFLSHLQNEVKDRIIKEEANLHIYSSNDVDMLLSNSHADEVAFHEKPPEESSNSELTPLTSDRPSSSRVTKTNADRITPFATRVNKFTQQYVLNADNAVSVPSKNAEITEDEVIRRIQTSQRCSLQVQRSQPEPGCRFMYDRMEDRFNYLEGRIRKSASLFSASGFCGEPADATLASEEKMFAVGTVACDGEGHLNEKSILLQGRGQRVRLDLKDLDHFSLFPGQVVGIEGHNPSGHCFVASKLIDSIPVSVDTQLPSAKKQAIDNESNQNSDAGSLSRALSSVIAAGPYTTTDNLLFEPLQELLSYACRKQPQLLILMGPFIDSDHPDIKKGTVDQSFHDIFHFEILRKIQDFTQYLGNTVRVILIPSVRDAHHDFVFPQPAFDLNFPEDITHQITCLANPCLFSSNEIRFGCCTVDILKQLSGEEISRKPPVGKPGDRIGRLASHILKQQSYYPLYPPAAGVPLDFSLAKEALEISSAPDVLLLPSDLAPFVKVLSLGEGSDDQKRFICVNPGRLAKGIGGGTFVELYYNEGIDKTNASIIRI >Sspon.03G0046720-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3D:44478732:44478977:1 gene:Sspon.03G0046720-1D transcript:Sspon.03G0046720-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGLNATRTSATDRGSVVARVWRRSAGGDGTERTPERLEPHAVAAVRRRGGKGRMAQSCRTRHVRRGAVGAAPRRRSWTPG >Sspon.01G0019950-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1C:75401228:75401963:1 gene:Sspon.01G0019950-2C transcript:Sspon.01G0019950-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SSFIYRMDKLSHKTDNYMQGFKEHLTLGPKISETIKGKLSFGAKVLQAGGIDKVFREYFGVEKDEKLLKAFQCYLSTTAGPIAGMLFISTKKIAFHSDRPLNFMSPKGGSTRVPYKVLIPTKRIKSASVRENLYNPDEKYIDVVTVDGFDFWFMGFVSYEKSFKYIQHVIPELR >Sspon.03G0005550-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:10742997:10746617:-1 gene:Sspon.03G0005550-1P transcript:Sspon.03G0005550-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEDPAGSRRWRCDLGGDERWLSGAAGDDHFDRLPDALLLVIFNRIGDVKALGRCSLVSRRFHELVPLVDSVLVRVDCVIPDEPPSSSSSPSAPSSPTASVRARGVFSQIARIVLGGIVKPIQALGQILSPANSASGFPASSASSPSSSSSSSPLPPGDVSHHSPSEVLRSFKELRHLRIELPAGELGMDDGVMLKWKADFGSTLGSCVILGASSASASPLPSSASSDSSSSAPSVDGGDRTEPDECDDSGSIPESFYTNGGFKLRVVWTISSLIAAAARHYLLQPIIADHRMLESLDLTDADGQGVLTMDKCQLQELRDVITTDVEKFLDAFYAPICAYFGCAKYVLLYAFSC >Sspon.07G0001690-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:2654976:2655695:1 gene:Sspon.07G0001690-2B transcript:Sspon.07G0001690-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRQKIEIRRIESDEARQVCFSKRRAGLFKKASELSILCGADVAAVVFSPAGKAFSFGHPSVESVVERFLASSSSSSPSPAGAGAGHSSAGGGEDRAVSELNRQHGELRAQLDAEKTRQERADEAIRKEREARSPAMAWIDADLGAMGHDDLVAFWAALAGVQAAVAASADQLLRDALLVGRRGRHQPPPAQLAGGGVAFDVGAFGIGMQVQPPPGFAGVDLQGFGGQAAAILGAAGPS >Sspon.01G0004350-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:10909928:10926488:-1 gene:Sspon.01G0004350-1P transcript:Sspon.01G0004350-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRISVPSMRATAGSASASATVLAAAMNMKQQPHGASTLLALLSLSLLLLRLLLRLRLAAFRDAALSLHLLARLRLRPVLLRLHDGGSGGSATTLRVWCPATPSSKPPLLLLHGFGGDAKWTWARNLPRLSRHFHVYAPDLVFFGAQSRSASPLRSVAFQARCAAEAMRLLGVPRYDVAGISYGGFVAYRMAAAEASDAVGRLVIMTTGVAATPREMRAMAAREDRPVEEALLPDTAEGLRLLVRRSMHRPPPWMPDFVLDDFIQLPLLQETLIIWGDKDQVFPVDLGHRLHRLLGERSRLEIVRDAGHALQLEGADHVNRFIKSFLLDERTGPGAGRNSEPPTRARAMGASLSLVPVLDYFARRECLGAGLRQNAVTLPYPDGGAGATCTVQYWAPQGKPQLPPLLLVHGFGPRADWQWRCQVGPLSRHFHVIVPDLLGFGGSSYPFETAPPPTEATQAAVLAALLDALPGMEGRRVAVAGTSYGGFVSYWLARAAGAARVGPVVIASSDLLKTAADDRAFLKRAGEGWGGVDEILLPAEPAALRKLLELASYRPPPRLMTPDFLLRDFIQVRRSACANRNLSSLTVSLVPGVNVTLTRHPHPLLGWAPPWEPRLPPLLLIHGFGPMATWQWRRQVGPLSRHFHVVVPDLLCFGASSSCGGSPAPSESAQAAALATLLDALPGLAAGARVAVAGTSYGGFVAYALARAAGPGRVGPVVTSNSDLLKTADDDRAHLQRAGPGFARTADLLMPLDPRGARRLMELSFYRRQAITLLPDFVIGQAVQ >Sspon.03G0010860-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3C:46005450:46006066:-1 gene:Sspon.03G0010860-2C transcript:Sspon.03G0010860-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GEKRGRRPPDLQRPHGSTTGEGVRCCMDPPTGEAVEVGARQGGGMPTTRLDPHPPTMDPPRGAVHHGSDVEGAHAAVLVGRARQGGADPPRRRICNSSHESSTGTLALHGSTRGKSQEPPLRAEGRAGERKAWCGSLERRRRGRWEEQRRERGVRKRRARRRSERGGVREWRGEGMDERVDKEGVGMGERVAPHRLGTRPLRPDV >Sspon.01G0024330-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:86125567:86129822:1 gene:Sspon.01G0024330-3C transcript:Sspon.01G0024330-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable E3 ubiquitin-protein ligase BAH1-like [Source:Projected from Arabidopsis thaliana (AT2G38920) UniProtKB/Swiss-Prot;Acc:Q8GW10] MGAFGLRPSGAEPIFGRWPWAGNLFGWHIRLDTVQTADMDKMKFGATYEEYLRAEQDKFLGQCSHVEYKRLKKVLKKCRVGRSLQADGTNGDEQQEGSDESSNICECNSCTLCDEMFFTELNKEASEIADLEYNASSIFMSLQDYNACFIDDQQIMVQEGRMLLNYVTMNAIAIRKILKKYDKIHGSVSGRDFKSKMQTEHIELLQSPWLIELGAFHLNCDDSDADEPGAGGFFKNGFFKNFFCDLSGAQPLLTMTISETLKYEYSLTCPICLDTLFNPYALSCGHLFCKACACGAASVYIFQGVKSAPPEAKCPVCRAVGVFGRAVRMTELELLLKRRDKDYFAQRLREERSVMVKQAKEYWDSQAMLSMGI >Sspon.07G0021570-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:4158883:4174404:-1 gene:Sspon.07G0021570-3D transcript:Sspon.07G0021570-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVLQDASFLYMGRLLEGFGVGIISYTVPVYIAEISPQNMRGALGSVNQLSVTFGIFLAYLLGMFVPWRLLAVIGALPCTVLIPGLFFIPESPRWLAKMNLMEDCETSLQVLRGFETDITTERAVASSSKRTTISFQELNQKKYRTPLLLGIGLLVLQNLSGINGVLFYASSIFKAAGVTNSDLATCSLGAIQVLATGVTTWLLDRAGRRMLLIISTSGMTLCLLAVSVVFFLKDKISQDSNSYYILTMISLVAIVAFVITFSFGMGAIPWLMMSEILPVGIKSLGGSIATLANWLTSFAITMTTNLMLTWSVGGTFLSYMVVSAFTLVFVVLWVPETKGRTLEEIQFSWAASTGAMASNIASESGSDHDGALQKPLLPNSGSGYRMGMGSRQSSLNTAGTSSIAVLRASHVPALLCTLIVALGPLQLGFTNGYSSPTQDGVIRDLNLSISEFSAFGSLANVGGMVGAIASGQMAKCVGRRGSLMIAAVPNIMGWLAISIAKVWRYDTSFLYMGRLLEGFGVGVMSYVLSVTFGVLLAYVLGLFFPWRFLALIGTLPCLLLIPGLFFIPESPRWLARMNRMDDCETSLQVLRGFDADITAEVAVASANKSGTIRFQELNQKKYRTPLIVASSKLQVLATGVTITFLDRAGRRILLIISSSGMTLSLLAVAVVFYIKDNISNDSDLYNILSMVSLVGVVAYAIAYCFGMAAIPWIIMSEILPVSIKSVAGSFATLANWLTSFGVTMTENLLLSWSAAGTFASYMIVSAFTLMFIILWRQREEPSRKYSGPSSELQHSSVYAISSVWFEPYVDSNSYYILTMISLVAIVNLCQNNDWCNYIQAFAITFSFGMGAIPWLMMSEHQESRRKHRDTGQLADILCHNNMTTNLMLTWSVGGPHHTFALDFRSSSMRTRNNQPLKVFRQGEGDISCTVRDHEAHDGRRWPTGFFFKKKWR >Sspon.05G0030810-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:5C:1433478:1434055:-1 gene:Sspon.05G0030810-1C transcript:Sspon.05G0030810-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DPYLKLTGPTRAVSVLDPATFEVDLKVKGTTESEDQWLSFLAVTYHHFSQLHSHLFEREYASKLSELQFHLGSIIRSVEATIEVRITSGSWPHGLRAQFTAGTASIPGAEVILLDSGDNEGLVVKDGCIELSRCVASVEVKGELRVCVKAFLGDEIVVNKVLVFESKTAGVSNDSLSVDFYIEISKAKTKTA >Sspon.03G0002880-5P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:16379251:16381252:1 gene:Sspon.03G0002880-5P transcript:Sspon.03G0002880-5P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGARHAGLRRGQAAAAVFSACPFLAFAVLLALPGLAAADTHYYTFNVQMTNVTRVCVTKSIPTVNGQFPGPKLVVREGDRLVVKVHNHINYNVSFHWHGVRQLRNGWADGPAYITQCPIQGGQSYVYDFTITGQRGTLWWHAHFSWLRVHLYGPLVILPKRGEGYPFPRPYKEVPILFGEWFNADTEAVINQALQTGAGPNVSDAYTFNGLPGPTYNCSSKDTYKLKVKPGRTYMLRLINSALNDELFFGIANHTLTVVEADANYVKPFTVNTLVISPGQTMNVLLTTPPNPASPAYAMAIAPYTNTQGTFDNTTAAAVLEYAPTTLPPLPLPLPALPLYNDTGAVANFSRNFRSLNSARYPASVPAAVDRHLLFTVGLGTDPCPSNQTCQGPNGTKFAASINNNSFFQPRTALLEAHYRRRYAGVLLADFPTTPPHPFNYTGTPPNNTFVQHGTRVVPLGFNTSVELVLQGTSIQGAESHPLHLHGYDFFVVGQGFGNFDPVNDPPKYNLADPVERNTIS >Sspon.03G0006480-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3C:33226230:33229443:-1 gene:Sspon.03G0006480-2C transcript:Sspon.03G0006480-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGLVKIFKEVRRRDLPVSVAGVAQTADSGGSGVGLVKLMGRSAGHIALHATLNNCDVDCCLIPRRTSTSAAPAGCSTTRPRERPRRGCRRRGRWAAPHSETRPTAAASSSTTSPATWCSWTWARGSRPRRRAGGVVGGGACRGTAHVEVHRSDVHGPRRGGQRGRQPVLHAAGTFGDPRHRGGVHGFRAGADQRTMAAIATSPWWRSPRRAIMSTPRTTSGLGSEPLQLQASRLMAPVAPLGLALKPPYRMVLMVVLSLVTAYARSRTVLFTCTDRPASTSSVVQQDRTDGDSLPSDEQLVEQEITGKRLTKRKYIIGYFASLLLCIVVSDGATLGVGWGGHPSYRRLRLKPPDPLFFLGPGCRVPELPSRGRRRRDERAASSKEPKKMTAASLSYVRIGVDASQQSPPAAPPRRHPSHRWLPARQPTRRPLLGFSASQCPSGRQPTARSGLPGTEEQELGS >Sspon.06G0019490-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6B:3168878:3169603:1 gene:Sspon.06G0019490-1B transcript:Sspon.06G0019490-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQRQNEPEEMEVGVVPKHRHTTTTGGATGAHSVSPVSKPTGPVQPICKNCRTRRAFQFQPEPEQSNLSQQHVPRTPRESDDERGDPRQEDEGAFNSSVDGRFATLDADHDDLLTYAEMTGELMSLRVLEKHFGVDEATDGSGKVDRHEFQSEMREVMLAVANGLGFLPVQMVVEEGSFLKVAVDREQGQLAKAAR >Sspon.01G0000920-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:3105649:3108181:-1 gene:Sspon.01G0000920-1A transcript:Sspon.01G0000920-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAAAAVPDGVSSCGSTNMRRADHLPQLPIPLPCMGEPTAASRVSPGSSPARSEASRGAPGYNADAEPDPEPEAEASMLLAMAAMGGRGGPYGRRPASSYGSCAAWSAGSLTRHRPASPSPICSPVNSHGGDGGGGEEDREPHGGDDASSFVTPRMIEAETNNPNLLLKEEEQGRARADFMNPSATPRNIRQTPRHPSLLDRRVEGANQMPPRFIHKTTPARFMRRVRSSHNFRQRVGALDAINEWRLPKVSEGDDEGGDQKDWQADTVSSHISSARDWNFESDGAYEGSNHSDRAFGDSDGENCPVEVQRMERRIPSSVLKPQGNLVHAKLVAWKDAQIAKLIEKLKRKEADIDDWQRKKIAKAKQKMRSAELKLEKKRAEAAEKMQKAIKHAQKKADKKKVKEQVATKVQIDGVERALDKMSRTGRLPWSLAFL >Sspon.03G0026370-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:2554845:2556796:1 gene:Sspon.03G0026370-1B transcript:Sspon.03G0026370-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GRGGERLGGERDRDRDRALYCTTCSTQTRYSPLGVGGAAAAQLRGPEEEEEIFIRFGSTARSVASPFYLLLRWAAVTKSRLYLQRRKQRNFKSLRLLRPQSAAAAPLSAACAESLPGPISVASGRRFPSDTGAFADWVASSAAAARGDDLSLGFNASAAAAAAAASASGASLGAGLWGPASSASAAAMRQAAAMNYGMADVGMVVVAPAASFHHTHHHHHHHEDAAAAAAAASGDPIFPLLSGGPCVLDPDAAAKSASSGAPASAIQFWQPPLQSPSSAAAAAGANPNPSASPFAYLKKPLPMLDAGAGSSGSGATTCQDCGNQAKKDCGHNRCRTCCKSRGFDCSTHVKSTWVPAARRRERQQLAASGSASSSPATATASAAAVAASASKKPRLLSSQTTTSHTSTSNATTPRSFDTTSSHHDASFRDSLPRHVRAPAVFKCVRVTSIEDGQDEYAYQATVTINGHLFKGFLYDQGPDDGRHAATSNDDSTAGVPNISELHLGAASGSGAGGVREGGTSMAPTELYGGGGHHLHILGGSSSYGNTMN >Sspon.08G0007650-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:25351879:25355203:1 gene:Sspon.08G0007650-3C transcript:Sspon.08G0007650-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGYRAEDDYDYLFKVVLIGDSGVGKSNLLSRFTRNEFSLESKSTIGVEFATRSLQVDGKVVKAQIWDTAGQERYRAITSAYYRGAVGALLVYDVTRHSTFENVERWLKELRDHTDPNIVVMLVGNKSDLRHLVAVQTDEGKAFAERESLYFMETSALESTNVENAFAEVLTQIYRIVSKRAVEAGEDAASGPGKGEKINIKDDVSAVKKG >Sspon.02G0002540-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:7464494:7466293:-1 gene:Sspon.02G0002540-2B transcript:Sspon.02G0002540-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVAWMVAAAVAAVLASWAFNELVHLVCRPHAITRRFRAQGVRGPDYRFFTGSLGEIKRLRGEGAAVTLDVDDHDFIPMVQPHLRKWIALYGRTFVYWTGARPNVCLADVNVVRQVLFDRTGLYPKNLMNPHVSRLLGKGLVLTDGDDWKRHRKVVHPAFNMDKLKMMTVTMSDCARSVMCEWEAQLAKGGEVEIELSSRFEELTADVISHTAFGSSYDEGKRVFLAQRELQYLAFSTFFNVQIPAFRYLPTEKNLRTWKLDRQVRGMLMDIIKTRLANKDTAGCGNDLLGLMLEACAPEHGETPVLSMDEIIDECKTFFFAGHDTTSHLLTWASFLLSTHPEWQDRLREEVRRECGDEVPTGDALNKLKLVNMFLLETLRLYGPVSLIQRKTGSDLDLGGIRVPEGAILTIPIATIHRDKEVWGDDADEFKPERFENGVTRAAKYPNALLSFSSGPRSCIGQNFAMIEAKAVVAMILQRFALELSPKYVHAPMDVITLRPSHGLPMLLKCL >Sspon.05G0031300-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:4305560:4309596:1 gene:Sspon.05G0031300-1C transcript:Sspon.05G0031300-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLGRRAGSYICSELCGTALNQRHYSTSRVDWKQLRPMILKRIKKRSKEYPIKRMIPVAEEVVRAREIVNEGVSRLLKVVPVQSCKFCPEAHIGATGHQMKTCYGFKRMIKDRPHEWQPGNLNDILVPVQAFHQKNMFEDEIKHDQRFDFTRVPAVLELCHHAGADIPDEILYKSEQIFNTLKSNNQQSAPFLPDELRYIGQRTLDAWEYLRLGVTKLLLVYPSKVCKHCSEVHIGQSGHKARMCGVFKFEGWKGMHKWNKAGVDDLVPQKIVWHRRPHDPPVLVDGGRDYYGHAPAVIELCMQNPEGETEKKRFSEGRYTK >Sspon.02G0019280-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2C:64335333:64335821:1 gene:Sspon.02G0019280-2C transcript:Sspon.02G0019280-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRPPLPPSLYSHFPPLSSSSTWAQVLAGSPTSGAAPTAPLPAAGAARPAHSGAAPTTGAGPEADGSASAASALPPSMPPPLSTLQPPPFNSSCASTCRARPSFPSRCRGRPFLLPLPPLEPPPLPRSSPQPPLLAPGGVLKR >Sspon.01G0040940-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:39498553:39500669:1 gene:Sspon.01G0040940-3D transcript:Sspon.01G0040940-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LTPTRSRRKWVDKSKTNPTTPPRHHRLLSVSPLLSCNCKRPPTTRRRFDPLPCFPCPHPNPIGAAAAATAAAAGGRAVGAEMKEVVLHVYDVTNSDSEKTNNTILQINRIFKDRIGLGGIFHSAVQVYGEDEWSFGFCETGSGVFSCPVGKNPMYTYRESIVLGETECGIAAVNRILRELSREWPGHSYDLLSRNCNHFCDVLCERLGVPKLPGWVNRFANAGDTAVVVAESTAVKFRQAKTEIVNASRVAYRFMAGLASKNQASPESPGNIQNRGSPTFQGAWFK >Sspon.03G0038230-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:115061746:115062909:1 gene:Sspon.03G0038230-2D transcript:Sspon.03G0038230-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPQPNSAPRLALPAMVRSQADLDFPSLISDLTSLLLHSPAGAASSSSGPVFSSSSLSIPTPTPKPKPNPSPTSATATPTPTPTPLARAAIGACAGAAAGAFTYAALLPIDAVKTRLQVQAPSATSWQVFLDILRTDGPLGLYRGLSAVILGSASSSAVYFGTCELAKSLLRPHLPPFLVPPLAGASGNVSSSAIMVPKELITQRLQSGAATGRSWQVLLGILRADGFFGLYAGYAATLLRNLPAGVLSYSSFEYLKAFTLKRRAGESLTPGESVLCGALAGAISAALTTPLDVVKTRLMTRVGAEGSRTVLGTMREVVAEEGLVGLSRGIGPRVLHSACFAALGYCAFETARLAILQCYLERCQIKAKAAAQPEVEPGVAAAAAT >Sspon.01G0024970-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:88770398:88771714:-1 gene:Sspon.01G0024970-1P transcript:Sspon.01G0024970-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAARRLSELLQEQQEPFLVEAARARRPRRGRGASGAAAAGGGLTGCCPAAACRRLLRLCNHGFKKRRAGGGTGGGSGGVASGLRSALSKVLCSRAMRRVLRWDDLGGGGCFSGASACGSREFRRLRRRSLGDSGECDPRAMVFPGDDGEEEERMGWKPDMDVDSSRQLSPVSVLDLHSDDDESPVHSHWGDEKPSTSGSSPPSESFLGPASPCFSYNLHDKFCEMEMDDEAEEETVRNGSRSIEEQISSWEKIAGDISRIPAMMELDLSRSARQWREPKPEVREIGARIETLIFDDIRRETVCDMLASHCRLAAAAAAAATPC >Sspon.04G0006420-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:10046452:10049266:-1 gene:Sspon.04G0006420-2P transcript:Sspon.04G0006420-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVGASSVVAPEGYGRGWGQTSLGDMPESCVAAVLLYLDPAEICQVACLNRAFRGAASADCIWAAKLPANYRYLAALAAAADDDDDDCGCDDAAEGSDSRCCSSAAIKKEIYARLCRPTPFDGGTKEFWIEKNKGGFCMSISSKSMSITGREDRRYWSHISTEESRFHSVAYLQQIWWLEVGGEIDFCFPAGSYSLFFRLHLGRPHKRMGRRGHGSENIHGWDIKPTRFQLSTSDDQHIASESYLTHPGRWILYHVGDFIISSSDEVTELKFSMMQIDCTHTKGGLCVDSVCIYPKDQGYEEDCVFCQKIL >Sspon.02G0023760-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:80907489:80911462:-1 gene:Sspon.02G0023760-2B transcript:Sspon.02G0023760-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVGSLQPGMEALHVQGDYKDAPPPAKAAVVAAVESLQPAMESLHVQDYKDPAPAKGAAAAAVGSLQPGVENLQAQDYKDAGMYYGAYPAYAYGAYGGWGEYSTYVSHDGAQSPTAGAYADMYYGYAPYGVATLGSDGQIYGSQNYDYQYPSTYNKQQNSTAKLSSNGKSEKLAPAPQGDVSTVGVDEVKGLKNSNSTLKADRNTPSSNGSYGRSSARFGSYQNQPSWSHYPYYSSEMFSDKQQKFTSNRNSTASNAKTKGQSRNQNTRQYPHLMGLQTPTSPSVYSDNGIYGYDGSYGAGLWYGSHMYSSGLYGGWNSLYDGKYRTRGRGNNGYYVYGNGSLDGFNELKRGPRSGMYKNQLGLGATTEVPAKEQDTLSANGSHPAMKDQYNQADFAETYSDAKFFIIKSYSEDDVHKSVKYNVWASTPNGNKKLDAAYQEAKEKSSETPVFLLFSVNASGQFVGLAEMVGHVDFDKTVEHWQQDKWTGCFPVKWHIVKDIPNSLLKHIILENNENKPVTNSRDTHEVKLEQGLQVLKIFKDHVCKTSILDDFGFYDNREKLMQERKAKQQQSLRKVIDVKLPNATGTEKSLKGETGSTELTEAEADILNKELSLDKAGEKNGEKGNDVAPQDLKSPTDKLAGPNGC >Sspon.08G0029870-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8D:51900246:51900937:-1 gene:Sspon.08G0029870-1D transcript:Sspon.08G0029870-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMSATDSTAVTTPQSAASTRSGTSRTSWGHRRAAVPSCSGRDRSHCHHSWTWTRRCAGGRSDRGRLVLAIWPGRASLVAASRKDWGGRCAGHYRSGMGTVMQFFRGGQWTMEMAESSTAGSVECVGGTRVDWPSSNFARQMAHSALTPLPSPSPSTPHPLHCGGDPARTGPDGGVGGRSGGARPWTACSSTTVEDLAGAGERVILPIAPAWE >Sspon.02G0014210-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:35852585:35861280:-1 gene:Sspon.02G0014210-1T transcript:Sspon.02G0014210-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRPLANRAKENRCLLLGVPMKARAAGQVLVAGARSSGSVSALSFRLLAVLLLCLVGAEQAAPAAGAGERRRVDVGVILDRTTWLGNISWACMELALQDFYADASHASYSTRVRLHLRDTSAGPSAVDAATAGVDLLKNVRVQAIVGPQTSTQAKFLAELGNKTSVPIISFSANSPSRSSSQTPYFIRTAWNDSCQAEAIASLVQKYNWREVIPIIEDDDSNTRFIPDLIDALGHVDTRVPYRCKIHPSAGEGEIKHAISSLKENWTSVFVVRMSYQLALKFFQLAKDEGMMGQGFVWITAYGLTDIFNVVGYPALDVMEGVVGIEPYVQETAKLDKFRQRWREKYRSENPGTSINEPITSGLYAYDTVWAIALAAEKSGYVNSDFVLSETNNGSTDFDKISTSRSAEKFRDAFLKVNFTGISGQFVIQDMQLVSTTYKIINVAGRESRAVGFWTPGLNISRIPIVWPGGSEKTPRGWLLPMNKELKIGVPVKPGFSSFIRSEDGIPKGFCIDVFEEVIGKLPYKVPKHYVEFGNGKGESNGTYDELVYKVYLKEFDAVVGDITILANRSLYVDYTLPYTESGVRMLVPVRDRRQKTAWTFLEPLTADLWLGTGAFIVFTGFIVWFIEHRTNQEFRGPPASQIGSVFYFSFSTIVFAHRERIVNNLSRIAVVVWLFVVLILQQSYTASLSSILTVEQLQPTVTNIDEVIRRGDYVGYLNDSFMPELLKRLKINETKMIAFSSPEEYNYALSTRKVAVIVDEIPYLKVFLSKYCHKYTMVGPTYKFDGFGYAFPRGSPLTPEISRGILELASNGRMDELEKQLYGDTSCPDKDDSQTSSSLTLHSFLGLFIITGTTSLLALILHVVITLYDHRSHWINGSGQISWHELLAILFKIFHERDNNSSNTPDEEEHGMEDIDPTTAESPWSMSNHIIEHVDSDTDTGSTPEEGTPGREVSNQDPGPPSFAYMHSEGAME >Sspon.03G0034410-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:70060946:70069285:1 gene:Sspon.03G0034410-1T transcript:Sspon.03G0034410-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSATVEEQMVVKAIREECPWESLPKRLLSTLQTKDEWHRRIVEYCIRKRLQWNTCFARRVCREGEYYEEMMRYLRRNLALYPYHLADYICRVMRISPFRYYCDILFEAMKNEQPYDSIPNFTAADALRLTGVGRNEFIDIMNKCRSKKLMWKLNKSIAKEMLPTQPVDFPVEPWWGVCLVNFTLEEFKKLSEEETATIDKICKEEANSYVLFDPKVIDGLYKRGLVYFDVPVYPDDRFKVSRLENFVSNKDQSYEDPIEEPLYAVFVVSSANATVAELAATLQADLYQLQAAASFACRLGWATKVMDADSVLNDEGAPAFPSSILSDDEEGSNTSINSEKSGQQLISTDSDGPRKISGTAHVGFVVDANVTSYLMMGSLSPGLKSHAVTLYEAGKLGSSCIAELCSDLASLEGKKFEGVLEEFASHAFSLRCFLECLQSGVSANEITGNAGEAKTPRSSVHDIDNAAEHLAKVNIEGVADNNHNEFSEHNQCVGDLDNSDGNILSPAMAISESAERMVKNDDENDSTMQLDVSTESRVLKNKRKYKVDILRCESLASLAPATLERLFLRDYDIIVSMVPLPFSSVLPGPSGPIHFGPPSYSSMTPWMKLVLYTAGHCGPVSAVFMKGLRFRLLPEPLAGCEKALIWSWDGSAVGGLGGKFEGNLVKGNLLLHCLNSMLKQSAVLVQPLSIHDLNASGNLVTVDIPLPLKNDDQSIESVVAQTNLPKEQILDLTSVLKDLSSKFELSTLGYLRLLRLHRIDEPDKFDPENVSYQWVPLSLEFGIPLFSPKLCEKICERVVASHMLQKDDLNEHSDVMQNVRRQLRELCSEYQATGPIAKLFNKRGSSRDSPRALINSISGRWNLSNDPSTPTGGGAPSEHERLKFAGRQRCRTEVVSFDGSTVRSYALGPEHNEATFRPNSEEQSSVHDVKPDPEETESKDVVLPGVNLIFDGAELHPFDIAACLQARQPLWLISEASTASSALL >Sspon.02G0006600-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:20856762:20858485:-1 gene:Sspon.02G0006600-2C transcript:Sspon.02G0006600-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSKSKAVAASLPSYGKVLETYKKAAATAATVTAYAVLARGMARELLPDELREVARWAADFLLRGGARLRAPPQRRTFVIKRFDQESRGGDNGLFADARAYLATRIDPHATTRVCIARRSAVGRGGGGGGGGGDYEYDYEYGDGYGDGGGREAEDDGGGGRHVLSLEAGDRMADVFEGVKFTWVTVGQGQTNGSNDPVTSLELTFDAEHTDMALKRYIPFIAATAEAARRRERTLKIFSSDFGSWRGSSYHHPATFDTLAMDLDLKQSIIADLDRFLKRKDYYRRIGKAWKRGYLLYGPPGTGKTSLVAAMACYLRFNLYDLDLSKVESNSTLQRLLTSMSNKCILVIEDIDCCFSATSRGDLEKSGDDEDPSDASHDPPPNDEYRYSKRQQREGITLSGLLNFIDGLWSTSGEERIIVFTTNYKDHLDPALLRPGRMDMHVYMGYCSWEAFRTLAQNYFLVDDHILFPEIQELLLAVEVTPAEVSEMLLRSEDVDVALQGLKEFLEEKKQGSKQEMRGRH >Sspon.03G0032620-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3C:47785164:47815697:1 gene:Sspon.03G0032620-2C transcript:Sspon.03G0032620-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLFFRTTMKRDTVTDGGLYLGAIFFSVLSIMFNGFSELALTVVKLPVFFKQRDLLFFPAWSYTIPSWIIKIPITFIEVGGYVFLTYYVVGFDPNVGRFFKQYLLLLAVNQMAAGLFRFIGGASRNMIVANVFASFMLLVVMVLGGFILVRDKIKKWWIWGYWISPMMYAQNAISVNEMLGHSWDKILNSTASNETLAYGNSRPSVSEEELQEKHANIKRVVLDGNHLVSASNHRSTGVNTKTDSAIMEDDSASTKKGMILPFDPLSLSFDNIKYSVDMPQEMKAQGVQEDRLELLKGVSGSFRPGVLTALMGVSGAGKTTLMDVLAGRKTGGYIEGDIRISGYPKKQETFARVSGYCEQNDIHSPQVTVYESLLFSAWLRLLKDVDSNKRKIFIEEVMELVELKPLRNALVGLPGVNGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFEAFDELFLMKRGGEEIYAGPLGHLSSDLIKYFEGIQGVSKIKDGYNPATWMLEVTATSQEQILDVDFSDIYKKSELYQRNKALIKELSQPVPGSSDLHFPSKYAQSSITQCMACLWKQNLSYWRNPPYNTVRFFFTTIIALLLGTIFWDLGGKVSTSQDLMNAMGSMYAAVLFIGMMNSTSVQPLVAVERTVFYRERAAGMYSAFPYALGQVVIELPYALVQDILYSVIVYSMMGFEWTASKFFWYLFFGYFTLLYFTFYGMMTVGMTPNYHIASIVSSTFYAIWNLFSGFIIPRPKTPIWWRWYCWICPMAWTLYGLVVSQFGDIMTEMDDNNKTVVVSQYIENYFGFKHSWLGWVAAVVVAFAVLFAALFGFAIM >Sspon.02G0030880-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:113182952:113188722:1 gene:Sspon.02G0030880-1A transcript:Sspon.02G0030880-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQVESPTASERPPPQDAWVVELRSLLPQWESLRDSSKVIIPISISRVNQFDAARLDVEMSAMLKEQLVKVFSLMKPGLLFQYEPELDAFLEFLIWRFSIWVDKPTPGNALMNLRYRDEQAAPITGKEVRTGLEGPGLSVSQKIFYCISFVAGQYIWSRLQSFSAFRRWGDSEQRPLARRAWALMQNAEVLYRAASFFNLLLFLYGGRYRTIVERILKARLVYGSPNMNRAVSFEYMNRQLVWNEFSEMLLLLLPLLNSSSVKKFLLPFSKDKSAGSSGDEADCPICRSSPSIPFIALPCQHRYCYYCLRTRCSATNSYRCTRCNEVVVAIQRLGS >Sspon.03G0019160-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3A:59315915:59316748:1 gene:Sspon.03G0019160-1A transcript:Sspon.03G0019160-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRTNSALPPWPAPAAASYTYYIHPLHHLPLTGPKASSSDRRLVPSSSFSSDLLNPDPLALIELVWVFMGNTPSCILLAVAPAGGGGRASSSSSSSSAATTTKVIHADGTVTRLARPVRASELMLDHPGQFVCDARRLAVGCRVPGVAADELLQPRHACYFLLPMDMLYSVLTDEEMAALSDSHAATAAASAWKRIRITTAAHRHHGRRGPEKNEACSDGARVHPMLGLLETGDLGADNGKRELRAGSAGKSSGGGGSGLRRHQSWQPVLDTIEEVP >Sspon.06G0014720-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:63857201:63859830:-1 gene:Sspon.06G0014720-2B transcript:Sspon.06G0014720-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein COFACTOR ASSEMBLY OF COMPLEX C SUBUNIT B CCB1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G26710) UniProtKB/Swiss-Prot;Acc:Q9LSE4] MDATTAAAVRRLLLPLRALPPAPRGAAAVSARPRQRSGPRRRVRVAPALASLDRAAVLLDAAAAVAAGGTGYSQASYYTSLGLFVLSVPGLWSLIKRSVKSKIVQKTFVKEEGELMAPKQVAGEVLSFFTRNNFTVSDRGEVITFEGTMIPSRGQAALLTFCTCISLGSVGLVLSIAVPEGGNNWFWLMTLSPLAGVYYWTKASRKEEIKVKMILSDDGNVSEILVQGDDVQVEQMRKELKFSEKGMIYVKGIFET >Sspon.03G0036980-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:91051349:91055606:1 gene:Sspon.03G0036980-2C transcript:Sspon.03G0036980-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRLGRRVIHFANLPLKLMLPPAPLSSVQEFAVRTVPSASKVDIRRCLESMYGFSVAEVRTLNMEGKKLRRGPFLAAKPDYKKAYVTLRAPLAVSRDLFPIGAILAERERKASAAAARRKAVEGAEVEGEGKGKHWMEDEREGFSRAGCGKVVYGNPGRLGRKRRGGGKVKEEAEDEGAKFPWTGMRLATEKPRRVRHSPPKKGIALKQKSRKLSLQHRSKKLKA >Sspon.07G0009780-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:3532192:3535152:1 gene:Sspon.07G0009780-2B transcript:Sspon.07G0009780-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFIYIGCLRDDIPQAEQDAVAQALLETHNCVPAFLPTDIAERYYHGFCKQHLWPLFHYMLPLSPDLGGRFDRALWQGYVSANKIFADKVLEVINPDDDFVWVHDYHLMVLPTFLRKRFNRIKLGFFLHSPFPSSEIYKTLPVREELLRALLNSDLIGFHTFDYARHFLSCCGRMLGLSYESKRGHICLEYYGRTVSIKILPVGVHMEQLKTVLGLPETEAKVAELMEMYSGKGRVVMLGVDDMDIFKGISLKLLAMEELLRQHPEWRGKLVLVQVANPARGRGKDVAEVQAETYSMVQRINEVYGEPGYEPVVLIDEPLQFYERVAYYVIAEVCLVTAVRDGMNLIPYEYIVSRQGNEKLDRMLRQGKPEEKKSMLVVSEFIGCSPSLSGAIRVNPWNIEAVADAMETALVLPENEKRLRHDKHFRYVSTHDVGYWAISFLEDLKRTCSDHSQRRCWGIGFGLRFRVVSLDLHFRKLSLESILMAYRRAKTRAILLDYDGTLMPQAINKSPSTESVRILNSLCGDKNNVVYLCSGYDRRTLHEWFPCENLGIAAEHGYFLRCKRDAEWKTCVTATDCSWKQIAEPVMCLYSETTDGSTIENRETVLVWNYEDADPDFGSCQAKELVDHLESVLANEPVSVKTTPHSVEVKPQGVSKGLVARRMLVSMKERGQCPDFVLCIGDDKSDEDMFQLIASAACGDSLGSKADVFACTVGRKPSKARYYLDDAAEVVRLMQGLSYVSEELALANHRDEDEDSSLDVWE >Sspon.05G0033490-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:5C:33386931:33387213:-1 gene:Sspon.05G0033490-1C transcript:Sspon.05G0033490-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEECPVDATDEALLDGSCKRVLLATEGEDTGGTERVLAGGAECVLAGVAEGDAPDDLGVKELLKLLLHLCCCGYCPCNSFSASIASWNNWLVV >Sspon.02G0010160-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:28238983:28242449:-1 gene:Sspon.02G0010160-1A transcript:Sspon.02G0010160-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Flowering time control protein FPA [Source:Projected from Arabidopsis thaliana (AT2G43410) UniProtKB/Swiss-Prot;Acc:Q8LPQ9] MSSEPPPAESPEASGSGSGSPSKDAVGTEGGAAAGGPETNTIWVGNLPLHVTEGDLLALFGPHGALDCALARAGSRSYAFVLFRSPAEARAAVEATRGEKVKGAAMRTEFARPAKAVRNLWVGGISPSVSKEELEEEFQKFGKVEGVAFSQDQTSAYIDFEKLEDAISAHRALNGKTLGGKELCVDFQRSKGRAEWSEASSFNGRVSGPVGDKRGSGPPKGSAGIRMREAQPTNVLWVGFPGAYKVINEETLKQAMSAFGVVTKIKIFQTRQYAFVEFANVADAYNAKMNLDGHLFNDPRIQILFSNSELAPNKLDNPTSAAGFPRSEMYSSDGRQGPGIGSGTLQGYDPPRGGRSRYYDYGGMPTPGGILSQPESFDPREAKRMRLDAGADPHLRAGSTGLYSAGYRHQGNSVHAEGSSTPVIRVRGTVHRTSYIDHCWRGSIAKGGSPVCRARCLPITKGSDIPLPDVMNCSARTGLDMLAKHYADATGFDIVFFLPDSEDDFVSYTEFLRYLGSKSRAGVVKVDAGTTLFLVPPSDFLTNVLQVDGPERLYGVVLHIPQISAAAALRPQLTGTEQQPYYDERETLLTQRKYSIISPNDSGHVDADYRASLHEDSMHRLGHIPGRPRVDEGQAVQPALAGFPANQATGLQVQSSLKPDIMATLAKLLPSVQSPLVSGQMNATDRPSQMQDPSMLSKVWIPENQVTASNSSVGQIANVQHPGQQFSRQASAAHLTNYGNMVSAQERSIQHTAYNPEVALNLPPPPPLPTVPHSSATLPSQGGHSLPTQTNQQLYQPEQYYVPQSNYGPLAPASHSNLQISNTNNPTPTIPQVNPGPPTNNQIGNLAQLQHSMPLHVDRASQDFSFRGNSKILVLVLHKLPRRIKARSTRRHSS >Sspon.05G0016780-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:69200393:69204095:1 gene:Sspon.05G0016780-1P transcript:Sspon.05G0016780-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MACAAYSIRGPLKLAARDGTDVLASNNLCIGFKSSVSYPVAQRWSSNRSSVVMWHVTSHRHLIVRASARWRSNSKASSTEVGTAFSDERIAVLVIGGGGREHALCYALNRSPSCSAVLCAPGNAGIAQSGDAICVSDLDISSSADVISFCRKRGVGMVVVGPEAPLVAGLVNDLVKVGIPTFGPSSEAAALEGSKDFMKKLCDKYNIPTAKYRTFMDAVEAKQYVKQEGAPIVVKADGLAAGKGVVVAMTLDEAFEAIDSMLVEGSFGSAGSRVIIEEFLEGEEASFFALVDGENALPLESAQDHKRVGDGDVGPNTGGMGAYSPAPIVTDELKHIVMESIIIPTVKGMAAEGCKFVGVLYAGLMIEKKSGLPKLIEYNVRFGDPECQVLMMRLESDLAQVLLSACRGGLSNVSLTWSPEMAMVVVMASQGYPDSYKKGTVIKKLDKAEQASPSVKIFHAGTALDEDGNLVAIGGRVLGVTAKGKDIEEARARAYNAVDAIDWPEGFFRRDI >Sspon.03G0031080-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:32425590:32429101:-1 gene:Sspon.03G0031080-2C transcript:Sspon.03G0031080-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAHQVSAVIFDLDGTLLDTERATRDVLNEFLAAYGKVPDAQKEEKRLGQMYLESTTGIIRDYGLPLTVEEYSKAMHPLYLRRWQKAKPLPGVKRLVKHLHNNGVPLALASNSIRRNIDHKLPKLEDWGECFSVILGGDQVPNGKPSPDMWAAKRLGVNPSSCLVIEDSVVGVKGAKASGAKAVAVPSLQSQRKHYYIADVILYSLLDFDPELWGLPPFEDRIQGVLPIDPLPSNAGIGDKIVNNLHWVISDDCTYECIPDQISGIYLGWAKSKVHGLSKVIIGTGWDFSQQTVERVMQVDFLDSSGRIETEPVKLLVIGYIRKLQSADAILQALSITDEDRSIAREALDLPTFSEYANDLHLA >Sspon.02G0026980-1P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2A:70058729:70060063:1 gene:Sspon.02G0026980-1P transcript:Sspon.02G0026980-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEMVSSAVIQESFSQILSGLVKKYEGKEEINGIRNIERLEMAHIRLEAALETSNKWQITDTSMLRWQKKLKHAAQECDEKLHKCKQRILEEERMEQEVRNSSIPKQIGHATKSFVFSIFNRNNDELNPSVVKRFEWYADGASEFLRFIELGGTPLCHIMPFGSLIKNLFAGKVLHHKIVRGSQQPLCQLWLIPCSTAEQEREVALIFIKKDGTTEGNIYFSMIVQLSESTDIVGIAVRSLQLFAPHVKFIVENITNELTQLPTQDFSWASFAYSYHREHWYNLHNIASQWFRPNPLCCKQQQQHELSHYSNLNMAGLSDVSLEPVIEFNLQWQVTHSVYSKQKTSLSEGTMSLQNSPYLKAGIAFAPHGSSADMLPLNKSSETVEIVGGQQHVLHTDISLEQLEEIMLAKAVDYFCHNDEASVYQMIWRSQHGAARFMLRSQA >Sspon.06G0006600-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:22647934:22659189:-1 gene:Sspon.06G0006600-3C transcript:Sspon.06G0006600-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEFELEDNLEFILQSIQELMEDQGDNNAFGDANQNELFASLVSYDQENMLPDVSAADVAAGKDMQGIPWEKMLFSRDKYREMKMKNYRNYQNLSYAREDALQECKQVEKDSPYYDFHYNTRRARPSIIHFQLRNLRGHGSQSVAMVQVTTMAVDSNLLVVGGFQGELICKRLDDDGVVYSTRVTDDENAITNSLEIYQDPSGSRRLVAANNDCSIRIFDTEYFDLLKHYVFPWSVNFLLQQSVSVSPNGKLFAVLGDHEDGCVVDPKCGKAIGSLRGHLDYSFASAWHPDGNIVATGSQDTTCRLWDIRNLSQSVAVLGGRMGSIRCVKFSSDGRFLATAEPVDFVHIYDAYADYSKSHEIDLFGEIGGLAFSPDTEAFYVGLADQTYGGMIEFSKRHQHHYLNSLW >Sspon.07G0011710-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:41668659:41671865:1 gene:Sspon.07G0011710-1A transcript:Sspon.07G0011710-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MWKQFLGKISRKSPKSGGGGGSPPAKSPSSHGAANGAELSASSPALAAFPAPSGAETREDVFLRKLNVCCVVFDFAAERGRDSPEVERKRQVLVSLVDCVSAAEEPLTEAMLSACVRMFAINLFRVFPPKLRSGASAATDEDEPFFDPSWYHLQVVYELLLRFVMSPVVDVKVARKYMDNSFISRLLDLFDSDDPRERECLKTVLHRIYGKFMGNRPLIRKAVSNIFYRFVFETDHHNGIAELLEVFGSVISGFAKPLKEEHKLFLWKALIPLHKPKTVGVYLPQLTYCITQFIEKEPKLIGTVIRGLLKYWPVTNSQKEMMFLGELEEVLELTEMADFQKCAVPLFRRIAQCLNSSHFQVAERALFLWNNEHLFDLISQNRQVILPIIYPALERNARWHWNQSVLNVTMNVRKMFFDMDERLLLACQTNFQEEEKKRAASEERRRLVWEHLERNAAFHPVTGDIGFAVPPTSAPLVAPTMT >Sspon.01G0043360-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:65248482:65254425:1 gene:Sspon.01G0043360-3D transcript:Sspon.01G0043360-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFWNGVDTAEQRIGVVHNTEAMEDSVQVACVIPNAADTAFQIYSLKRSAYAAVVRAFCAQSDILSRDKVRCLTELRNELKILQTEHAECLVKARSNKQIKSFSAGLHSKGNTCSTEVLKDFLGLACVLPDAGDTVFQIHCLERSAYASVLRAFCAVTNHLSLVKLLSQLKNELRISHSEHKEVLMKVSLNEHIKSLRKFSLANLSVVTKTNPAFDVHAVLHDKIGSTGQVCTSSTSCLSLIQQSPVSENSMSSTRDIGISDSSNGAKEGPYFESHTVVSAKRLKTVNGHAPEYLKCVPSNQLPVTVSAVMVEKHSQLNAGQSPVSVDHARQESGKRKTVVPEMSVSESLGVMDRKYEIEYQKPKNKDSDLEHGSEIIKLCLTASLLNKVERIFKENPDSTNLEKAKLTLKAQEKDLLDALAKLSEVSYDVVYFGANHNHGPVNLNEHDDGKGDEAVLPRLGDGGTENKLKIQGTASVALSPSAATPTGGTASSSPLLLAPQLLVAASSAPGPLMAVGPTSTPSALCKKRSLIKFTAEQKERMLEFAERFGWRIHKAGAEAVDAFCAQIGVPQRVFKNWMYRHLASAPSALCKKRSLTKFTAEQKERMLEFVERFGWRIHKAGAEAVDAFCAQIGVPQRVFKNWMYHNRRLAKIPPSALPSHHQDHPASTAQGPTTEEDKSLEAEVDVSADGGKEDENEVSEVVVIQPGMGHRARKPNKRHRWPEWITI >Sspon.03G0036310-3D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3D:52842172:52848598:-1 gene:Sspon.03G0036310-3D transcript:Sspon.03G0036310-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDTTQPEYWLNWRFLLCALWIYSCMALAFFLIWKYEGASSRDSNGDNGEDREEALPRVRPGVVYLEDCWKTCIEGIHPGWLLAFRLVAFFVLASLLVVDIVTDGWSIFLYYTQWTFLLVTLYFGTNAGAVMITDLVFWFILYPFLAHNQYEMNFILIGTHSINVVFIVGDAALNKLVALPISRLDIPWCTCMVPGGGTAALPVLRPIHPGAEAQAYVVGKLVPSNLCENESSGRAVLSDALKGISPHKFVANTSSLQICGSLQAQGMILTKSCAVAGNGRVFSWEFCVWAGKEKRKENGLYGLGPQRLRVSQLDGLGATPTPPGKPQSAYCSGRARSHVCTGAAAAAAAPGATGRRGDRDRLPARLRASIHPPSPTPRCPATVSQAGEQIALHDQDPPRPRRITVVEYGAHIPAPSASAPPAWGGTALDFAATLAVPPQLSSWVWLDVA >Sspon.05G0030370-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:84738052:84739823:-1 gene:Sspon.05G0030370-2C transcript:Sspon.05G0030370-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVLPDGVLEHILSFLLAPEAVQTCVLARRWRQLWRFTTCLRVGCRHEDEVALVKEHHEFLEHLLLLRGGSPLDVCEFGFTGFQDDDVPRVNLWFRHAMMCRVRALKLHMFSMFYLVLDDLPLVSQHLTRLDLCGVQLHSSFLNFSSCPVMEHLELVDCGLSTANKISSKSLKHLSLTDCGFDSVNSVCIYTPSLVSLCLDDLCETTPTLDSMPSLVKAFVRIAEECADVCAKLLDQKFLDCICQLCNSSENTDGSGSSVLLRGLSQAKSLVLISEPDQIILRSDLRWCPIFNNLKALFLNDYWCTPDFNALVCILEHSPVLEKLTLELFCEGPKYKIEMKGSLNLMELSAKISEHLNIVEVKCQDVDERVLKALKFLSTINIYFGVLIEAL >Sspon.02G0002570-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:8338424:8340522:-1 gene:Sspon.02G0002570-1A transcript:Sspon.02G0002570-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GEMEYGWVLSAALAAALASWAFEALVRLVWRPRALARSLRAQGVRGPPYRFFHGNLGDIRRLRAAGASLRLDVADHDFTPIAQPQFREWIPPAGRVFLYWFGSTPNICVADYAMAKQLLAERTGIFAKNRSNANLLRLLGEGLVLANGDDWHRHKKVVHPAFNIDKNQMMTATMADCALSMVTGWEAQLASQQKKHVTIELSDQFEELTADVISHTAFGSSYKEGKRVFQALKELQFIAFSTLFSVQIPGYRYLPTKKNMRVWKLDKEVRSTLTGIIENRLAAKDKAGYGNDLLGLMLEACAPEHGGDQLLSMDEIIDECKTFFFAGQETTSHLLTWVMFLLSTHPEWQEKLRAEVLRECGGGRDGRAPTHDMLGKLPQMNLFILETLRLYSPVPLIRRRTRCPVELGGVVVPADALLTLPIATMHRDREVWGDDAGEFNPLRFDAGTTKAAPKNLSALLAFSSGPRNCIGQNFAMVEARAVVAAVLQRFALTLSPEYVHAPTDVITLRPKYGLPMIVTSVDD >Sspon.01G0055110-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1C:73353599:73353982:-1 gene:Sspon.01G0055110-1C transcript:Sspon.01G0055110-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTHEHDVSSGWRAISVHLPAHVASCVGLTTPGTRATPRCSSTATAWYREREAPPASGQGPRRSPRTVPKERNSCARSPARSLLPPAAGSGGPAAGAAASLSFRFFTGPVAGEASMSLVSGESYYRE >Sspon.03G0031960-1P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3B:32241116:32242238:-1 gene:Sspon.03G0031960-1P transcript:Sspon.03G0031960-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MERDDGGFMKFPSRYTVAKSKQEGIIESTGLNLYDGRWLPGSGRRGRGRGSRGGSRGGRGRSRGGRIPRGISSSSRIEFKDDIVASDKVPRKNARRGRARGRGSRRGRRTVRPRQPSAGRARSIPKENLLGSFSMLSSSKPAPVEESPQSSGADEWGLETRVTYNECDDNSSGSQSEDNGENGQPMDEDYEEQVPDYSMGYSSGSRHHGTMSMMDHETDEEDEDVEGDENVEEDDADQAVDDADVEMDEDDEIGDDGEDGDVGGEMNADEDPDATSYSSDYSE >Sspon.05G0000800-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:2837474:2838800:-1 gene:Sspon.05G0000800-1A transcript:Sspon.05G0000800-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAERLLQAHQVSDLCIGKPALRWLPPPSTVADAIADLEAAGGGGDAAVAVWDGSEGSDVAGRVCMADALLFLCADDANLASPAAVLQATLADLLAAGTLQPVRRIDPDASVLEAVDAFLDGAHTLAVPIRERWRGADRGKLCWLTVEDVVRFLLSSVGVFSATASRSVSELGAVRPAALAVAAGDSALSAVPLLRAALASHASVAVVASTGSTARCLAMVHRATHVWVVEEQELVGVVGLLDVLRVLRHHLVAGSGCGMHPDLD >Sspon.04G0005980-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:13150660:13153455:-1 gene:Sspon.04G0005980-2B transcript:Sspon.04G0005980-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLVCSVLFVLLILPMCRSFPLQDTCRSFAAGHPSIGYDYCIRIFQADKSSAEATDARGLAAIAARIAEAKANATAARIASMSALERDARRRDRLSVCAEVYSDAVDQLDQAEEELAHGAEGGGGGIDDAVTQLSAALDAPETCEDAFGEADDTSPLAAEDAEFKNLATVALAIAASLAPPPSMPRPKIKSWSNNELFAEQVEKIIILDQSETKQAIKLLVGAGSIGDGMGFNLLLPGRLLEKQ >Sspon.01G0024190-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:85550411:85556913:-1 gene:Sspon.01G0024190-3C transcript:Sspon.01G0024190-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GMATALARRGAAALARWRGMCSSSASAPAAGRAAALSSEELMRMERDCSAHSYHPIPMVFSKGEGSHIVDPEGNKYIDFLSAYSAVNQGHCHPKVLRALIEQAERLTLSSRAFYNDKFPIFAEYLTSMFGYDMMLPMNTGAEGVETAIKLARKWGYEKKQIPKNQSMEIGFVVFYLNLSKVKLRDLCSKHNILMIADEIQTGIARTGKMLACDWENIRPDMQILGKALGAGVVPVSAVLADKDVMLCIRPGEHGSTFGGNPLASAVAVASLKQLQKVQQKFPQIIREVRGRGLLNAVDLNNDALSPASAYDICIKLKERGILAKPTHDTIIRLAPPLSISPEELAEASKALSDVLEHDLPQMQKQIKKPESEAEKPVCDRCGRDLYG >Sspon.08G0020840-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:26615767:26622211:-1 gene:Sspon.08G0020840-1P transcript:Sspon.08G0020840-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MWPRRPRGPPPDRVVPLPTRLLHLSLSSSCPPPPTPRPARFPLPPRLRETLARLRNGRLGASASRSSRPSQTCKLW >Sspon.04G0033460-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:60700427:60705187:1 gene:Sspon.04G0033460-2D transcript:Sspon.04G0033460-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LIHLRSLASSTSYGNLTAPSCHPDHAAALLQLKQSFLFDYSATTLPSWEAGTDCCLWEGVGCDGVSGHVTILDLSGCGLYSYSLDGALFNLTSLQRLDLSKNDFGGSRIPAVGFERLSVLTHLNLSYAGFYGQIPVVIGKLPSLISLDISSFHNIDAAEIDTLYNVVDSYNFLVLQEPSFETLVSNLTNLRELYLDKVTIASGREDWGRTLGKYVPHLHVLSMEGYIIFLRGCADISIHFTIIITIGSFIESTFWTHTRGKGSNSTFRLLPKLSELDLKSCGLTEIPSFLVRLDYITILDLSCNKIFGTIPNWIWQTWDHSLRALNLSNNAFTDLQLTSYVLPNSYLESLDLGSNRIQGQIPIPNMLTMDSYSEQVLDYSNNRFTSVMLNFTLYLSQTVFLKMSNNNITGYIPPSVCNLTYLNVLDLANNNFRGQVPSCLIEDGSLSILNLRGITSKESCLIILIANTININGNNIQGQLPRALSKCTDLEVLDVGNNKIVDVFPSWLGNLSNLRVLVLRSNQFYGTLDDPFRSGKFQGYFSMIQIIDIASNNFSGNVKPQWFKMFKSMMEKMNNTGQILDHSASNQYYQDTVAITVKGQYMTFERILTALTSVDFSNNKLNGTIPDLVGNLVSLHILNMSHNAFTGNIPTQLGKMSQLESLDLSWNHLSGEIPQELANLTFLETLDLSNNNLEGRIPQSRQFGTFENSSFEGNIGLCGAPLSRQCASSPQPNELKPKMPQDHVDITLFMFVGLGFGLGFAVAILVI >Sspon.04G0000230-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:2203163:2205334:-1 gene:Sspon.04G0000230-1A transcript:Sspon.04G0000230-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKKNNLAKRKKQYEFDLQSATSLLLPPLLSLSNFDRRLGEDSWSNLIDGGEKKRKGGQFKVGKKKVKTKLSALAKAKAAQAMEVDK >Sspon.07G0037980-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7D:66391022:66392828:-1 gene:Sspon.07G0037980-1D transcript:Sspon.07G0037980-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SSSTFDMVIIDNEFMYKFAKRLSLFARALSGRSHNQINPRRSLSSIPEAMATKTLHEFSVPIVENIRIGPALETNNLEFELKPSLINMVQATLFSGKVHEDAIGKAKLLMEKISENQGWSQDHTQHCHQSEETVEEVNALSTKMNDLLNWLDQRAKYKEDHRAIEAAYKLDLPVCLVD >Sspon.06G0022720-3P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:46966126:46969160:-1 gene:Sspon.06G0022720-3P transcript:Sspon.06G0022720-3P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MACRGFFECVLKLLNLVVMAVGLAMVGYGAYLLVMWLQVAPPSPAPAPVAVPPSGGELVRLGRPLLLLVDASLSDADGGTVERLSSAWFIFAFIGVGAILFITSIFGCAGARNGCCLSIVSFLILDSHLFMNLISCDVLIQFDFCYSLVNYQYSFLIILFILVELGAGGFIFFNHSWKEVIPVDKTGNFDMMYSFLKENWRIAKWVALGAVVFEALLFTVAIIVQSGNQADYDSDDEYIGARSGIRQPLVNQQAAAADPRVPNLDYRPIRNDAWSQRMREKYGVDSFDPNRFQQATISPGEQRNQCTIL >Sspon.02G0020590-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:62587548:62588534:-1 gene:Sspon.02G0020590-4D transcript:Sspon.02G0020590-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFINVGILLSYVSNYAFSGLPVHLGWRVMYGIGVIPPVFIAAGVLFMPESPWWLAMQGRHGDARAVLMRMSDTPADADLQLREIKRAVADAEPPPAAARHSGGAGVWKQLLVRRPSTCVRRILTCVLGLHFFVQASGVDAILLYSPLVFKAVGMASNSAILGATVAIGAVKTCFVLVGMLFTDRLGRRPLLLASTAGVATTTAALAVTLYVGTSTTSSSPATAAACLASVLAVVATYSIGYGSVVSAYSAEVLPLRLRAQGSSLAMAVNRLTCGVVSMTFISLADGITMPGCFFLYAAVTAAAFVFVYTWLPETKGRSLEDMEVLFHK >Sspon.06G0000170-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:14676940:14679124:-1 gene:Sspon.06G0000170-1P transcript:Sspon.06G0000170-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DFRSHRAALFDGIEEGGVRAPAYSSREIHEHENDQALDSLHDRVSFLKRLTGDIHEEVENHNRMLDRMGNDMDASRGFLSGTVDKFKMVFETKSSRRMATMVASFIVVFLLIYYLTK >Sspon.05G0002440-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:7528023:7531033:-1 gene:Sspon.05G0002440-1A transcript:Sspon.05G0002440-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTPSAPPPCPHLAAHRLSSRPLRFLRRCLRVRPLGRPEIRRDPRELPRCSPCAATAPSPTSRLYACLSCAAVFCPSHAASHASASAGPGHQIAVDVDRAELFCAACGDQVYDPDFDHAVFLAQSSSLLPSTSASPSPSATLRKRRRVDYRAWAPDPAEFALMSSADPTTSASAAAPAGLRGLNNLGNTCFMNSVLQALLHAPPLRNYFLGDRHNRFLCPRRTPVRHRATDADAARVACLACDLDEIYSAAFSGERMPYSPAKFLYSWWQHASNLASYEQQDAHEFFISILDHIHENIKDDQQKSHAQGHGDCCIAHRVFSGILRSDVTCTSCGFTSTTFEPCMDISLDMDAGDNSSFGVANTKPHVRNGERGLAGVNSKLSTLMRCLERFTRSERLDAEQKFFCEHCNERQESLKQMSIRRLPLVSCFHIKRFEHSSVKKVSRKVDHCLQFPFSLDMAPYLSSSILRSRYGNRIFPAEASDADAVSELSSEFEIFAVITHSGKLEAGHYVTYLRLNNHWYKCDDAWVTRVEEHTVRTSQAYMLFYVQKTLYYKACERAATV >Sspon.05G0002770-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:5926788:5927870:-1 gene:Sspon.05G0002770-1P transcript:Sspon.05G0002770-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAVTSPDLFAFHCPMATAAPVEADVDEFEFHVVPAAAAALSAADELFSGGKLVPLHRPAPASAPCSPPPCLEVEPASEPTSPRAPRCAGRRWRDLLLVSSSRKPKAASGSSGDASKCAAEGYLNARRETHFRPLLSRDSSSSSSASSVDSGKNARRPPPSSCSPLRTRSAPVANLLHLMSRTRSTGDKVGAAAADAPLRPKRQEPAAAGAHPLLTRASSSSSSSASSSDSCRNPRAGAGAGPWRPRGPSRPSSRPAVAAESPRVSASGRVVFRGLERCSSTPASAGIGPRRPRPRGMERSYSANVRVDPVINVFGFGHLFMPSSPAKEKKADRERDVAAGRRNRPEKLAMVLRDPQD >Sspon.02G0000880-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:3825336:3830063:-1 gene:Sspon.02G0000880-4D transcript:Sspon.02G0000880-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAADDEFDDYNPHPYAGGYDITATYGSPLPPSPATCYPVASLAATPAPTGQPVPRSLLPQPPPPAPAPQPPKPSSPPPAPAPAAEPYYWPKPYDYGDAPRHQPLYPTPEVFRGWPFLPHCHCRSACACARARHRDYWRQCMRGLDYLFGHCDGYGERRIGVDSFGVPVYANRKGGVEDAVVVDVPPPATGTVQWHDAGEEQFQSNRSSWYGNAQEEMHSYEQPTYTSYDQSYEQPTYTSYGRSYEQPTYTSYDRSYEQLTHTSYDRPYEQPTSYDGSYEQHNRFHGVPDKTTWFPNQSYQDVYREEESQYQGFLSYDEDSKISSQPIFSYNQHFGEQPLHIHVEPPETVSSHKLEYYENFSTYNSQNDVDNLESSRQSYEIQPYVHMPYDQLEPYRPSWSLNSGYYQACTEGITPEYDNHTLASDECWDMSSLFMSPFYPQETRSYEQSHGQIFAAILGLHGLAQLVLWSTL >Sspon.04G0030380-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:861400:863411:-1 gene:Sspon.04G0030380-1C transcript:Sspon.04G0030380-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASRAAVDLSRELCAAVIKSTFRPNLHLALLAAAPSLLTTVLHRLSPLPSAALAFFRALPPPHPLDASLALLRLLAPHPRHHPAARALLRDLSLRHPLSSPLLLPSLLAAADPRVPSWLVLVLAQSARPHDAVRVFDQMRARGLAPDAHACTALLTALARARMTATARRVFDEMARAGLTVSTHVYNAMLHVCLKAGDAARAEALVTRMDAAGVPLDRFSYNTVIALYCRKGMRYEAMCVRDRMDKERIRADTVTWNSLIHGLCKDGRVKEAAQLFAEMVAAQATPDNVTYTTLIDGYCRAGNIEEAFKLRGEMEAEGMLSGVATYNAILRKLCEDGKMKEVHQLLNEMDERKVQADHVTCNTLINAYCKRGDMTSACKVKKKMMESGLQLNQFTYKALIHGFCKAKELDEAKEAFFQMVDAGFSPNYSIFSWLVDGFCKKNNADAVLAIPDELMKRGLPPDKAVYRSLIRRLCRKGMVDQAQKVFEQMQGQGLVGDSLVYTTLAYTYLTEGKPVAASNTLDDMAKNQLYITPQIYNCLCTSYADEKETLNMLWVCAIERGLIRKSVYKLMHQARLESMKLTAETGGCAPVSRPDYLEATL >Sspon.02G0035030-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:10496791:10497015:1 gene:Sspon.02G0035030-2D transcript:Sspon.02G0035030-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFFCFLVDQRRKVRSSKPAAGICSRCGGCASVADMETATRVCYLLTVHRRTWRAIICTFCGAMLKSYRHYRLY >Sspon.07G0018100-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:65238982:65247472:-1 gene:Sspon.07G0018100-1A transcript:Sspon.07G0018100-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALRHLAAGPTYHHHGVAAATASLQLHRLPLPSCRPPPLPLPHHPTSCFSVFGGGRLISLLAGVYALSSNDIRVGTNVEVDGAPWKVLGDTSIPPPFLISPLHPTSEFLHVKPGKGAAFVRTKMRNYVTGNTVEKTFRAGSTLQEPSLSKETKQFTYKDGAQFVFMDLVIISQNSCHSSYAHRTGTAAPPPLLLRRPPHSSSLTPPSPAPVRTRERPRAAAGRGHLLTRLRTRRSAGAPAAPKPPPAPVRAGPRCRLLSTARRSLGPQPSSRRLRLAATCAPLATARAPRPTVRTPIRVGALRLSPLGAAALPRHAGVHTSSPVPPTTFEESRLNEADVGDKQKWLKEGMDCNLLYWNGKIIDFELPITVRLTVTDTDPGASDSAQGGTKPATLETGAVVTVPSFVNVGDDILVDSRTGQYMNRA >Sspon.06G0010450-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:57172909:57174692:-1 gene:Sspon.06G0010450-1A transcript:Sspon.06G0010450-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRLVAASAGAGGRAPAYGGLLLDAGGTLLQVARPVAETYASIGRRYGPYASNPKNPPPRTFSSPSMSLVLCISSHVWRVVGLVVFLTFGPSPGVTKPEKRIMEGFKRAFSAPWPKTLRYQGDGRPFWRIVVAEATDCTNDDYFEEVYQYYAHGDAWRLPIGADTTLRELKDAGVKLAVVSNFDTRLRKLLKDLNVSDMFDAIVVSSEVGYEKPAPEIFKIALDQIGVKASRAVHVGDDEPADKAGANAIGLECWLWGEDVKTFSEIQDRILTTDDPQ >Sspon.06G0006170-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:21003032:21012545:-1 gene:Sspon.06G0006170-1A transcript:Sspon.06G0006170-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVVDFSVLGALQKVRSFVAGAAPAEAADGRPPATPRSLSGGPSPENSPPPAATRSGGRRAIALRRQISSPQLLHCRAVRHIAVSHAKSHQNHISGVILTSMELFSEVGHLKRFAVHYDGYGRPNGTAEVVFTRRSDAIAALKRYNNVLLDGKAMKIEVIGSDLGLPMTPRINVIGASNGRATRTVVMTPEFSQRGRGSSSRPLSNPSNRFNNRGGFQAGRGRGQFQARGRGRGQFQIRGRGRGQFQGRGRGRKPEKTADELDKDLESYHAEAMKTD >Sspon.04G0017680-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:67959607:67963063:1 gene:Sspon.04G0017680-2B transcript:Sspon.04G0017680-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWRRGGGGDGGVSRRWAVLLCVGSFCLGLLFTNRMWTLPEASEIARPNANVEEGNVPVAAECGSKKVQEKQDYRDILQVQDSHHDVQTLDKTIAGLEAELSAARSLQESLLNGSPVAEEFKVSESIGRRKYLMVIGEKRKKLEEEKGIIIRFVIGHSAISGGIVDRAIEAEDRKHGDFMRIDHVEGYLALSGKTKTYFATAVSLWDADFYVKVDDDVHVNIATLGQILSKHALKPRVYIGCMKSGPVLSEKGVRYYEPEHWKFGESGNKYFRHATDCEWKAQAGNICAASFDWRCSGICNSEGRIWEVHNKCAEGEKALWNATF >Sspon.04G0023740-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:14948113:14948475:-1 gene:Sspon.04G0023740-1B transcript:Sspon.04G0023740-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRIWGAKDLGAVAIKVLKTASSLNSSEKEKAAAANKRPGGHVHGSNLALPRTEMLAQRREAQGRGDKGQLISHQKISLMMAKGSHARSRSNEVAGCSTSTAAREGGAYCGERRPAGRAP >Sspon.03G0006430-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:22716315:22724952:-1 gene:Sspon.03G0006430-3D transcript:Sspon.03G0006430-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLAGSALEAALQAVGRGLDAAGDHRLLYCKGAGRLVALEEDRARDLPLPDGGVLPSVPPDVEVEQCRGEPQRIRNFPYGPGSAVADAPFVCSFDKMAEYFNGKSGLSETVPLGSFNSLFSFTGSWKNDAAATKALAIDGYSLPLFRVKIRSSELTLLESVKRAIPNVWDPSALASFIENYGTHIIISVTVGGKDEVYIKQHSSSQLSELEFKNYVREIGRERFSDVENKLNATPINYSEKDMTVIFRRRGGCDLVQSFSDWKGTVASAPDVIGMTFLSIVSLVDDIPGKKHLARAVELYLTYKPPIEELQYFLDFQVPLVWAPAPPGIAGHHRKEPVCPSLQFSLMGPKLFISTEQISVGRRPVVGLKLLLEGAKLNRLAIHMQHLGSLPKIFLPHWDSHITIGPPKWQGPEEQDSRWFEPIKWKNFAHVSTAPIEYTETNITDLSGVYIVTGAQLGVWDFGAKSVLHLKLLFSRVPGCTIRRSVWDHSPSSSSIHKTDESSSSSNDNAKLLKIVDMTETLKGPQDAPGHWLITGALGSTESATRATQCGDVRGMRALRQCGDCVQRIADNRVYVSYTTDRVQRIADDRVYEHEEMSKASEANGKADSISM >Sspon.08G0015710-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:14898341:14899399:-1 gene:Sspon.08G0015710-1P transcript:Sspon.08G0015710-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTVSRASLDQKLALAKRCSREATLAGFKAAAVATVASAVPTLASVRMLPWAKANINPTGQALIISTVAGMAYFIAADKKILSLARRHSFEGAPEHLKNTSYQGTGRPHPAFFRP >Sspon.08G0023460-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:55761640:55764427:-1 gene:Sspon.08G0023460-1B transcript:Sspon.08G0023460-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVQQAGSGHTTQHAGAGKPPMNPGSSTQQFTYKIEAVVVQQQEDWYQKVGVRCCEGLAAGAAAREAVQGS >Sspon.07G0017440-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7A:62734755:62737961:1 gene:Sspon.07G0017440-1A transcript:Sspon.07G0017440-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GAGGCIMLGFQHFILCLGTAV >Sspon.05G0023400-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:4590603:4592611:1 gene:Sspon.05G0023400-2C transcript:Sspon.05G0023400-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ADFYNWNRVKIRYCDGSSFTGDVETVDTAKDLHYRGFRVWRAIIDDLLTVRGMNKAKNEGYLWELLRQGSAKNLPASCTSKQSPDLVKNVLAPSPADPKKTWAQCKLDIKSCSPSQLTTLQNFRTDFLAALPTTPSVGMFIDSCNAHCQSGSQDTWLADGSPTVNKTQIGKAVGDWYYDREVSRQIDCPYPCNPTCKNREDD >Sspon.02G0044970-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:106336197:106337272:-1 gene:Sspon.02G0044970-1B transcript:Sspon.02G0044970-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding HGILPTLLPPSLSLAVLLFSLLGRLLPPPPSSPLPLRYIGRDWIGKGAPGEFEPNQNPHLSRLVLSRFPPPALPPSADAASGLEPNAARSARVTPPPAGASLRAPGHGALRPGSLWWLRTLDRRKRK >Sspon.01G0062570-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:105857863:105858095:-1 gene:Sspon.01G0062570-1D transcript:Sspon.01G0062570-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYLVGDYGGGGALHVMAAPSKLDGSRRWTPRAVRDGARRGARLGRVRHGGEAP >Sspon.04G0023980-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:16710298:16712667:1 gene:Sspon.04G0023980-1B transcript:Sspon.04G0023980-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKKKRAAIDLSLEAERSDEDHSSCGGGKGDRRRDKDGGEVDKKEEQFKDQGEAPKEETGEEKVVVEVVVDQGGDGTKEIKYRTQQGEEMEDDKQSAADAHGDGESDGGETRTQDKHVVEAAGNGDGDDSYSTMVQDEVSAMQEEMEKMKEENRMLRRAVDRTVRDYHELQKKVEACYQQQQADEPKEPEVFLSLGATAAGTGGGFWEPKRKERAARRPSVGSDDTDDDGKEDLGLSLSLRASSYDDEKLEAGHDLDGASLVGADGNKAKGYALLESSKLGAPAAAGGDLAAAGITSQSVNPANRKTRVSVRVRCQGPTVSRMLLHLTDRVLAAHDLMAKLMMTSDPMNDGCQWRKYGQKVAKGNPCPRAYYRCTVAPGCPVRKQVQRCLEDMSILVTTYEGTHNHPLPVGATAMASTTSAAATFMLLSSTSSSSSISETGGGSAAPPYLSPSYLLNSTSHHSAVSPLMLSTPPSAMPSAPAGAASGVQHLNIFGHSSSMLAQQAPHFSSKYPWSSDPSQGMRGGGLPSGSKRPFWSTGDDEKTATLPDNVGTVMADPSKFSVAIAAAINNYMGKDGQVAGSKEGESSSSKSSNKWGVVESLPPP >Sspon.01G0009730-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:33604914:33605876:1 gene:Sspon.01G0009730-2B transcript:Sspon.01G0009730-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding KSEEWTTERVNQLKEKISGLFEACTTTVELLNLVDTLQHLSIDHHFNNQILSVLTSIHGAEFNSACLHDVALRFRLLRQEGFWVSPDEFNRFKDGNGNFDVGITNDARGLLSLYNAAYLFTHGEAELEEAILFARQHLESMRNNLEYRLAQQVNRALHLPLPRTFRRVEALHYISEYKGSPTHNPSILEFAQLDFDLLQRLHLKELKALSRYNQYLAIRIMKRHEKS >Sspon.07G0001330-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:3253873:3258516:-1 gene:Sspon.07G0001330-1P transcript:Sspon.07G0001330-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sister chromatid cohesion 1 protein 1 [Source:Projected from Arabidopsis thaliana (AT5G05490) UniProtKB/Swiss-Prot;Acc:Q9S7T7] MFYSHQLLARKEPLGQIWMAATLHSKINRKRLDKLDIIKICEEILNPSVPMALRLSGILMGGVVIVYERKVKLLYTDVSRLLTEINEAWRIKPATDTTVLPKGKAQAKYEAVTLPEKIINMVVEQPMFFSETDSARFRGMRLEDLDEQYFNVNLDGDDFSHADQRHQAEAVNITLVDNFESGLVETDVFNRFERFDIADDETTVNITPDEHPQVPSTLVPSPPRQEDPPQQEEQYYAAPSPVHEEPQQGGPEEQEEQKMKQQQPPKASKRKARRELPQVIMDNNQMMIPGNIYQTWLKDASSLVSKRRKVNSNFNFIRSTKISDLMDIPPVALISHDNSPSELYYPKPLMQLWKDCTEVKSTKASSGGQRSSSQEQQPKNSPPHEFPPQAGGQYEMETGGLPMDFTDGIEKLRANMSAEYDRAYDTLHSDHSVTPGSPGLSRRSASSSGGSGQAFIPLDPEVQFPSGSG >Sspon.04G0009750-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:27995435:27996467:1 gene:Sspon.04G0009750-3C transcript:Sspon.04G0009750-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLARHLILCSSSPSQRRRRPPPPDATAGTDRQPAPPPQSTTRRLAVAASTALAATAALSARRPAPPPPAMAAEAAAVPAPPPTTTPGGTVPRWGTRSYVRERYFEPELTAEEAAARIRQTAEGMRTLRPMLETMSWKYVLFYVRLKSKYLGLDLTTAMAGVPVGRRADYVRVANELVDNMTEFDRFVRAPKVYESYLFYEKTLKSLDDVAEFLA >Sspon.02G0033690-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:8292902:8294429:1 gene:Sspon.02G0033690-1B transcript:Sspon.02G0033690-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLEIAARSDPATLVRCAATCRDARRRVADDRSFHRRLRLRHGADGRFVPSLLSDHLVVLDKYNRSRCLTGVEVHLVDATAAPDTGARSRLLSAAEGFPPHPDGGKSIMWHKPLAWRDGLFLVRTSDLPRRCDELRVCDPATGRSQTLPSEPTFTGDAKRLHPWAATATEKRWQPYVLLGGGDGEVGGDASGIIRPFQVLKANLVMSEHHRYLETQTFSSESGTWGTYAKLRVPHFHGSTLLRKGGGMPLVVGGVVHWLCLTDAASYVLRLNIRTTPAQVTVTTLPASFPRPNNDYRMSIDYLLATVTAGGSLMVLVADREKISAWVQTATKPTAKWKPRPEVVLEYEAFLRFRSIAWSGTFLVRLHWFAERSGFLLVYTGVQYGFFLLDLRSKKIARKSIL >Sspon.08G0006490-3P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:66143113:66149768:1 gene:Sspon.08G0006490-3P transcript:Sspon.08G0006490-3P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKKKVAVPTVCHGHSRPVVDLFYSPVTPDGYFLISASKDSNPMLRNGETGDWIGTFQGHKGAVWSACLDTNALRAASGSADFSAKIWDALTGDVLHSFEHKHIVRACAFSEDTHMLLTGGFEKILRIYDLNRPDATPREIDKSLGSVRTVTWLHSDQTILSSCTDLGGVRLWDVRTGKIVQTLETKSPVTSAEVSQDGRFITTTDGSSVKFWDANHFGLVKSYNMPCAVESASLEPKCGNKFVTGGEDMWVRVFDFFTGEELACNKGHHGPVHCVRFTPVGESYASGSEDGTIRIWQLGPANSDEQEAASANGKTIVGVNDVARKIEGFHIPKDVQAEG >Sspon.02G0030260-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:110507724:110512460:-1 gene:Sspon.02G0030260-2C transcript:Sspon.02G0030260-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding PPSASLPAAPRSPFLFLPTRRSLACLRTGAPPVRRPRAHAAAAICSGTRPSSPRSGSTPPAHPRALSGLRIRLLPILQQETSTAPNPPGSRAFGSPSLLLRVPPRTLKFTMSGMASDDAPAQVSVEGDVSDKKVEEVQDQNEVGGMPSRQEEEAVIKKKYGGILPRKTPLISKDHERAYFDSADWALGKQGGVPNKPKGPLEALRPKLQPTQQNARARRTSYASADSDETLNLSPEDLVPQGEPSQQGEPVEDKNKE >Sspon.01G0034160-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:1097058:1098719:1 gene:Sspon.01G0034160-1B transcript:Sspon.01G0034160-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAEQTQAREQAEVAELRLEEVRRGAEAKAKAKAELDAVRERRAAVLADMRASRTEAESLEKVHARAAAEAGAAVARAREAAAASREAGKAVEDLAAELVALKGELESSRAAHVEAEEKRMGLAVAWEEDKSRWQIELEEAELESKRLREELVAACDVEMKAEAATQLLASLKAELSAWTAEGTLDQEEKPATISTQSVLEKTKKELDDVKASVERAKDEAKCLRVVAASMRDELEKEKVELTALRRKEREREGLSSASIPYLEEELRWVTAELAEAEARAKADDDESKMAERVGEARREAEEAKAKARSAREEVARAKEDASVAKAAVAAMEARLEAVAREILAANTSEEIATASAGALLQESKPSTNAQSNKAVEGGESVTLTMEEYDELSRRAQETEEAAGMRVVEAVKLIKEAKDAEVRRLEKVARLDKQTELRRQALEAATLEAEEAEFEKLSAERELRQWRAEHEQRRAPGDTGSPRPGLAEISVLDDPGAGDGRGNPHIVSPRGGYMPRTELWSATDADADARQRKTFFPRIVMFLARKRAQTWK >Sspon.04G0019580-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:69160598:69163267:1 gene:Sspon.04G0019580-1A transcript:Sspon.04G0019580-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSCIGWTPLLLCLVQLLHFHTHAASASGVHPHGHANLTHHSAPWLCRPDQANTLLTLKQSFSFHPNGSPSPLFRLASWHRLLPLGGHRLQHSSSGHHVVTALDLSGFRLNSDGIHPVLFNLTSLRMLDLSLNNFRGYDIPSVGFERLSLLTHLNLSTSGISGQVPTGISKLTNLSPWIFPIVILILKTRKTTTLISMPSPLHLIMASRGESEQFDRALPDYLSLKVEAVINLSDNDGITPGPFPEFLMNFPNLRVLQLSGINLQGWFPRGMFLSENLRVLDLSGNSNLSGHLPSFSNAASLETLRIDWTNFTYVTKSSYFSDFKALTELGIDGKIISMEFHPLFGMLASLHELFTQTIGDTFFLAGRHQEPEKLEFQRLRIIHDNTFLHWKSKKLDNWRTEEAEITGTTLYRSFGRIPSTITNLTQLTELLLEGNYLSGTVSSIFQPGNRIRTNWPLRPASLLLGRLGRKPQSPVLVPGAHITSLPCLAAAQAGRQRLVRPRGRRWQFFSPAKLRSKRSSDGGGGSFGSGGRIHFSPGRICEGRPYPVGWSLILAISAWAAAVELEPRNPHGWRRRDRAGGVEVAPSGGRRRLAHWHGGRVGGVPVPCLRALDAAAQ >Sspon.04G0034020-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:69255347:69257909:-1 gene:Sspon.04G0034020-1C transcript:Sspon.04G0034020-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LSVEAHTYYLIARPKWKLCAYRNVLQETALHDAEVNSYRRRERKNRKRDGAYIDKDGVARTFDRKKISRKRGGAIKGRGWKYGSGFVDGVFPVLSPMAQDILEFVQKGTDVANIWESLDNIPQAHDLWDDIVNVAVQLRLNRQWEPIITVCEWILYRSSFRPDVICYNLLIDAYGQKRQLNKAESIYMALLEAHCVPTEDTYALLLRAYCNSGQLHRAEGVISEMQKNGLPPTATVYNAYLDGLLKARCSEKAVEVYQRMKKERCRTNTETYTLMINVYGKAKQPMSSLKVFNEMKTIGCKPNICTYTALVNAFAREGLCEKAEEVFEEMQQAGHEPDVYAYNALMEAYSRAGLPQGASEIFSLMEHMGCEPDRASYNILVDAYGRAGLHQEAEAAFQELKQQGMRPTMKSHMLLLSAHAKSGNVARCEEVMAQLHKSGLRPDTFALNAMLNAYGRAGRLDDMERLLAAMERGGDDAGAGSATVAPDTSTYNVLVNVYGRAGYLDRMEAAFRALAARGLAADVVTWTSRIGAYARKKEYGRCLEIFEEMVDAGCYPDAGTAKVLLAACSDERQVEQVTAIVRSMHKDAKTLFAL >Sspon.01G0033810-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1A:113732962:113734403:-1 gene:Sspon.01G0033810-1A transcript:Sspon.01G0033810-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATATATATEAAAAGHVQQQQQQQPLFRVVGTALVAPAAAAGPAPALLPPRSIPLTFFDVKWLHLPPVERVLLYRLSPDADVPAILSALRTSLSQALRAFYPMAGHVRMPTEGRRHQLSYSPRGRAVLAVQATLLLGRCRGLAVGVTVHHTTCDGAGSTHFLHTWAAAATAGADEHRQLQPPQPPVIDRELIPDPRGLYDIYLSSMPPMVSQDDFEFVLGKHQDPGEDKALATFTLSQQLLQSIKSAVAGEAARRGMVTPPRCSSILATYGFIWSCYCQVRRAKTNMSYFLFSVDQRSRLKPPVPDKYFGNCCCPAIATAPTDEVAAGGMVGLFAACAAVAAAVEEEVREGAQDRWDACVARVKEAVANGTLSVAGSPRFRVYDIDFGFGRPAKVDMVSVAKTGAISVADARGGGGGGVEVGISLPAATGDMDRFRHIVADGMAWLLKSQTHRD >Sspon.02G0015980-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:46883116:46886977:-1 gene:Sspon.02G0015980-3C transcript:Sspon.02G0015980-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLLPPPALSTTPPFLSALRPARLPCVRCTRTTTATASVSSSPPASASSPSTSSPVASDGAGGGKSKKKRRPLKPSFEEQALRRWSARAPSQRASVPWEQPQQQSPLPPSLPHRTGRESGGAGGQNRSGGGSSATLRSIVDYFAVGSSEDDGVRAEEGACDTTAVRGEAAREQDDGSHFRPSYLLGGQPVSAPWIHGEESTSDKWVSGPVAEGEEGVDMSDVSDDEQGLADRDKEEMDSGEELLTGSSEEELYDDYATPTVNSSYGVDLSVDSDSYGGRFDRSMRQCSVNTIVKTLRGSMEESDPNAAIELSNAEDFVQKLGPVLLPWEREEEDDEAFSGGRAGRRSNTELAERTIPEPELRRLRDTALRMKERIKVGPGGVTQDVVESIHRKWKVDEVVKMRFEGPPSLNMKRTHDLLEDRTGGVVIWRSGRSVVLYRGMNYNLQCVQSYAKFIETDSGKEVGDANSAVSSRGGHNLQDSRADGVKLLTSTDNFSLESSETFDIDNFLDQLGPRYRDWSGRGPIPVDADLLPGVVHGYKPPFRVLPYKIKSTLRDKEMTALRRLARQTAPHFALGRNREHQGLAAAMVKLWEKSAIAKIAIKRGVPNTCNDRMAEEIKKLTGGVLLSRNKEYIVFYRGNDFIAPKAKTKVAQAERALAKVQEFLSPAELPTDLETVTDEERFLFRRIGLKMRAFLMLGRREVFDGTVENMHLHWKHRELVKIIVRGKSFAQVKHIAISLEAESEGVLISLDKTTKGYAIIFYRGKNYRRPQIMKPRNLLTRRQALARSIELQRREALKHHTSSLQGKIWKLQSQLVQTKAASEKQDLKLLQTVEDDLSSDDDDVE >Sspon.03G0014590-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:65947126:65948538:1 gene:Sspon.03G0014590-1T transcript:Sspon.03G0014590-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIIPKPGVPGWEEARAAVTASMVAHGCVVLRQVLFGHDLPELFALPLETKQRNVSAKAHFRGYIATAEPVYESVNFDGATDEGSIRDFTNLFWPQGNPEFSDMMLRFGNNLLKLQQIVSKMTLEGLGVPEEKITSHLGSLTHTLRLCHYGVQSDTRSGLSMGAHRDFNMSTLVVQHEVEGLEVQAKDGSWNLIRPEADTFTFQAGDLLTVVTNGRVPASVHRVRTASNRERFSLVFGSWSRDGDEVRAMDELVDGENPLLYNPCRPDEYIEFVLTKEGYSKLDDPLKAFCGVHNGTCTSME >Sspon.05G0019420-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:72582879:72583592:-1 gene:Sspon.05G0019420-2P transcript:Sspon.05G0019420-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding HGRLAGPPRRRPAGVDAAAPGRRHRVVGDQRRVRPRRLPQGEHQPQVRPQEPPRRRRRPQQRRRVVAEVLGQPEADGGAHHRAVGEAGRVRAAAPAPAGHVPQEGQDRRRRARAQGGRPGARVPQGVLHREGALGPRARALRPPAARRRQGARVLRRARPPHAAQPVQAQRRRVRRPVPAAPGGRGGEVEGGGGGDGCGAGAGAGAGRRAAVRVGAAGGGVGGPDGRRRTRGEIWAVV >Sspon.07G0021060-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:65133:66654:-1 gene:Sspon.07G0021060-1B transcript:Sspon.07G0021060-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSALAKGKSASGSAKFEAKRKFSSSSSPAAAAAATKRGTATTTTSSSSRGRGKGRGKADKKVYSLPGQKFDPPEEREPLRIFYESLSKQIPSSEMAEFWLMEHGLLSPERAKKAYERKQKRQQQVRMGTPIKSSAVMRKGKPESSKKPSIMDSKAKRRVDYSDDDNDFIVKMKRSKG >Sspon.06G0020130-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:7792009:7793283:1 gene:Sspon.06G0020130-3D transcript:Sspon.06G0020130-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLQAVQYSGDKMKISLFLVPLLITLLSPNGPLPSAVALNVKGRLVKTKTFLSPPIFLRPGDVADKYYYDIAFPRGHLALKSFNGEVVDENGVPVPLHETYLHHWVVEPYYAAKDATAAAAEGRPRKLPARNSGVCNHTLGQYYGLGSETRRTATWVPDPYGIEIGDPDAAPEGYEERWFLNVHAIDTRRVVEKLACTECRCDLYNVTVDQHGRGLPGDYAGGLRCCYDETRCAVEEGAFAVNGGEPRKVFLRYTVMWQDWSDAAVLPVKIYIFDVAACKVEYQVEECAGNGDGGECVHVQTATQVLPRGGDVVFGVAHQHSGGISASLHGDDGRLLCKSTATYGEGQEAGNEAGYIVGMSTCYPKPGAVKVRDGEALTVVSRYSSERRHTGVMGLFYILVAEHQQQPGLCFSFPVSCEQASSF >Sspon.01G0006600-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:20875687:20881676:-1 gene:Sspon.01G0006600-2B transcript:Sspon.01G0006600-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Autophagy-related protein 9 [Source:Projected from Arabidopsis thaliana (AT2G31260) UniProtKB/Swiss-Prot;Acc:Q8RUS5] MMSFLSKDTHAQTRLNWPWRSQSPLSAQLLVDIPPEIELSDYRRLPSSGSESPSGLLHGEGVKEEHIPDLDIFFERLYEYFCAKGLRCIITKWIIEVLNVLFMVCCIGFFFLFVDWDHLIHLKCGVEALESGEKPCDLMKVIKHDPLVPFTLPKMIIVGSMVIMTAYGLTNFLKFFVQLRSTLNVRQFYYDRLKVNDLEIQTISWPKIIEKVVLLQKSQKLCVVRDLSEHDIIMRIMRKENYLIGMVNKGILSFPIHSCVPGAGPTVGSHEHGRRNYLILPKALEWTLNWCIFQSMFDSKFCVRKEFLTSPDVLKKRLIFVGIAMIILSPCLVIFPLVYVILRHAEEIYNHPSTASSRRWSNLSRWIFREYNEVDHFFRHRMNNGAVHSLNYLKQFPTPLVSIIAKFISFVSGGLAGALIIIGFVGESILEGHIFGRNLLWYTIVFGTIAAISRKVVADELQVIDPEGAMCLAVHQTHYMPKRWRGKENSELVRREFETLFQYTIIMLLEEMASIFITPYLLIFEVPKRVDDILRFISDFTIYVDGVGDVCSLSLFDFKRHGNRNYGSPFNALKGLRSSQGKMEKSFLSFQSVYPSWEPNADGKQFLSNLQKFKEKQIRQQALAQYQAMEASGFVAGTRGQRDDTFQQLNSDIHGHAEATLPPVYNPSPLGLLDTDQRTHPYILDWYYMCHPPLSDRAEASQFEQAFPETGVSTSPPARETSEIEEVGEWDYELYERIQSHLGASTSSALFRNTPLKHHGTEDNTNNNWWAHAPAYPSGPEGSFIEPPEFGNRYMTDPHSSNNSGHTSEGSTEDLEQSNGRSSSVWRSPQALSKTRYMVDSDIEEGLSLHFADVHQKDEDDRHLVMDHQDPVPAGLP >Sspon.08G0020970-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8C:21928828:21929322:-1 gene:Sspon.08G0020970-2C transcript:Sspon.08G0020970-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MWPVARKPCQKPHVYYTHGRWMDRRRNVAATEYKRHQVNYPGCGWRIADPASLLDSIIVLKKHDPDLWKRVLAEEELLQDGFIAEAGKDRSMTIDVAACRDGDFTE >Sspon.07G0012930-3D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7D:43121898:43123823:-1 gene:Sspon.07G0012930-3D transcript:Sspon.07G0012930-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LNGLSVGDSLPDNIMESPARSETASCFRDEILSQYSPMSEDSDDYRCYDTQLNPSGNHPDAMISPSTSPMSSPHRHQRPQSPLLPSNPYPLPSCSLSSVVCSHARRGSDNEGRFPSSPNDMCHGADLRRTALLRSVQMRVQGPHSYDLSFGMRQGQEHVHEHEDEHEHEHLEDLERTERSPSCNKSIVDEISYQRPDHDFGRPEHEIDYINNCTSDDCPSDLKFKQEDKSHSKFDARMDKNT >Sspon.01G0043530-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:75346431:75347495:-1 gene:Sspon.01G0043530-1B transcript:Sspon.01G0043530-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGTNGQQHNRPPHLFPPYVLHVSLNVTSSSLSSRQKTQPLPALTRACVLLPAPTPQPGPHRLPVAHLDGPPPHALLHIPAPCHCAAVPVAPHASSPTSPRSVAPFGRCVWPGGHERGSSLARPRPGGGAASRCQAAPSCSAAGLLESAIPPLYVASYGRRRRRVKTILYMECVRKVVGSANNIWDDASDVRGAARTTAGAFGRALRARRPLPSCAS >Sspon.01G0036920-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:19886033:19886413:1 gene:Sspon.01G0036920-1B transcript:Sspon.01G0036920-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATEKTKPLLCLHPLRQGKLRNRVVNDGPAPLALCLSPRAIVYPAREAPSAGGGNLDIDNNNSRSSYGCNTGKAATFYSRGGSTRATAVAA >Sspon.02G0021690-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2A:72542323:72542462:1 gene:Sspon.02G0021690-1A transcript:Sspon.02G0021690-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AARTEQKEPLVPLVQARRLNVKTNDYSSYDPSPSMDKPHSKLIPN >Sspon.05G0015660-4D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5D:54232097:54234193:-1 gene:Sspon.05G0015660-4D transcript:Sspon.05G0015660-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRPSGSFQEALRREPWCLMRMCDARTVSPSPPAADDMVQCARFGTDPAVGRAGLKPPYCCRDNGAPPKFYRHERDGRETLEVEDELSPLFYKFLLHYIIEYVR >Sspon.04G0013750-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:54731026:54732330:1 gene:Sspon.04G0013750-3C transcript:Sspon.04G0013750-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable WRKY transcription factor 27 [Source:Projected from Arabidopsis thaliana (AT5G52830) UniProtKB/Swiss-Prot;Acc:Q9FLX8] MEEERCFNNWDLDAVVRLGCRRRLSPPRQPDPFASFLPPPPPPPPQKEKPVVPPPAKEPEPEPYPGWRFPDLGAGGGQDGDELLRALLAAPLPPPQPLPTPTPTPTLPPPPPPQQPRQPAVAAVDVALPQARPAPARAQPSGRQVPGGVPRSKRRKNQVKKVVCHVPADGSSSDVWAWRKYGQKPIKGSPYPRGYYRCSSSKGCAARKQVERSRADPNTFILTYTGEHNHAAPTHRNSLAGTTRHKFPSSAAPQPPPPSVVVGDASAVPGDAHHQHQQPSPSPTSTSTAGLSPTTPLRTPSMEEEEEEEEDELLVEDMEMAGEDELLFLNTDADAGAPMSSLFDVVDEPFLSSPWVTATSSA >Sspon.06G0032850-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6D:3733547:3734290:-1 gene:Sspon.06G0032850-1D transcript:Sspon.06G0032850-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VVIRRFGAAPPLPPLTVVVQMSGGSSSSRGRGKGVRKGQPIVWEGSLGPDSFEEPIEQFPLKSKSDFTRERPLSSYDNRTEDWPKCRHGEDCVVQMYNDCDGGGRRFFRCPRGFGRIFDLEYGPGSGNRDMSKDDSINDAEEPVCNNPYCQCPYHKKHGPPSPPPPPPPPPPSIGGYYGEGVTQFNMWYHY >Sspon.07G0004640-3C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7C:7377571:7381136:1 gene:Sspon.07G0004640-3C transcript:Sspon.07G0004640-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTSRDRKRSQSRGSGIRKPMPPRNLDTSTPGHQPRPHFHRSDMAPAGAENTARSLGPQNAPMFAPTMLPRGPMNPAVPSPVPLANQMGGCFMNPAQQQFLPQQPNMVWPGGFPQPPHLNMGVDGAALAANIMQNLLAGANQFQQQFQNPNFSGAGANQFQPQFQNQNFSGAGANQFQQPFQNQNFGGFPNQMPMPFPQFIPQTGMPANPMPFGGRPPVNPPFGPAPQVPMGQGNFGQPPNSQGFTNLAPPRGDGEQDSPPQFSSRQFHQGSPSFGRGRTQQRGGGRHSSGRGGRGGRHRR >Sspon.07G0037770-1P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7D:63458557:63459843:-1 gene:Sspon.07G0037770-1P transcript:Sspon.07G0037770-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRGFLRARKPAHALALFRRVLRDRLPADARTIVFAVKAASNSSSPAEAIHCVAFKRGFIGQSVLAGNALVHMYASSMLLPNARKLFDEMADRDVVSWTTLVDGYARGGLPDEAWRVFCRMVVAGGVWPNEVTLVAAASAAGQIGLLGLGRMVHQCVVESGGRMSVNLENALIDMFGKCGCVASAKEVFDGMAIKDVYSWTSMVSAYAKCGDLENAGKLFKEIPNRNAVSWSCMIAVYAQANLPEEAVRIFNDMIATGVEPIDATLVSVLSACAQLGCLDVGRWLYDTYIVSHKVELTVNLSNAFIDMFAKCGDVGAASRLFSNMEDKNVVSWNTMIMAHALHGQSEEALHVFQEFKGLGILPDEITYIGVLSACSHSGLVSEGRCHFKEMKIVYGIEPRAEHYACMIDLLGKVGLLERRLKVQGVCQ >Sspon.07G0016190-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:25643347:25644843:-1 gene:Sspon.07G0016190-2B transcript:Sspon.07G0016190-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGPRTYPGGVSKWQWKRMQAKKARQLLKARLARERQLYEMRKRAELRDAVAHLERPWDPDSSSAADASAVAPNLLSVAAEDQLRALADRFHRPGGVDLWNDRDGPRVFASPATGAASARFFPRNAFHSIQPYALVSAGGEASAQGVRENAAEAEAYSGITDREPAVELAERDDGTWEPVNALGDADDSTAGDWTSDDDDDGDDAISESEGMGDVGSWREQRAMVTRNGRSNGVARWEAAGAMTAGSGNDRGWSGDAFFSDSERAREGHREQRWQQRSTSASPRKQASGRWTGLKTIAGSHVRQRGRTGACSFSESEVIRGGSEPKWRASKGKRDDTRNGAGRWNALKKDWMGDGFDSESDSGRGRELEPRRGARNKMNGSDGLGGRSKPNYSANANGGEKLLKYLRGDPHANSNSGFAGDLEAPKWKPRRMNRARNNNGGRDDDMGGRFRRGEDGRKDGGRKTSRNGGRRLWGDEYSLRPTSELRGSWPATGSDGL >Sspon.03G0007290-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:18637049:18641465:1 gene:Sspon.03G0007290-3C transcript:Sspon.03G0007290-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNLLPLMSHLLRLMYTELASISSMSRLRALWQASFNATKRALVWSSDDLIPPSERCIFNFNSKDELKRWHLYSDSEYGGVFSGKLSLDMSEESTWRIRRYGFCGMRSKKFDGFIDLDAYDTIAMKIKGDGRCYISTIYTENWVNSPGQQEDNSWQAFVYIPQGRWQVLKLNKSGLKPVTVSNGNFYTRLSLMERS >Sspon.01G0023370-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:82875417:82885396:-1 gene:Sspon.01G0023370-3C transcript:Sspon.01G0023370-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQFLRGKAAAAAGEAALRASAPVPWRRTASASYHHTIQAVPRETAGPRAAARERRHGRVPAVLLTLAGAAPGNGIAHRQLLTADRRQLAEMLKQSPYFLSTPVRLQVRAGERSNAIIHDGTVLPIKVHRYGTGNILNLVMVKADEGTMLKKIRTSLVYLCPAEHIPPKIEVDLTNLDVGDRVLMHDIPVHPSLKLLSKNETMPICKILASKPIEPV >Sspon.06G0003760-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6A:11534131:11535563:1 gene:Sspon.06G0003760-1A transcript:Sspon.06G0003760-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQEEAAARPSKKARGTAASGLTAFGLRLAKHLADVAEGADGVGRQNVVFSPLSIYAALALLSAGACGTTLDELLTVLGAASRDEIAEFVSAVVGRALADCSESGAPLVAFACALWHEKTMALKPAYRTAAVESYKAETHAADFVDKPEAAREKINRWVSKATKDLITAILPEGSVRSDTALVLANAIYFKGSSSMPFDKEDTETRHFQRLDGSHVRTPFMRGRGDQAVAAEYDGFKVLKLAYHPYRLPHWQDKYGRGRNRNAKQQDGQGSDERSRFSMCVFVPDAHDGLPSLMDKMASCPSFLWDHMPSERVMAMGIMAAFEEGTADLSDMLEGGAELVLEHVFHKAVIEVNEEGTEAAASTACVVTLECCCYPVDFVADHPFAFFVVEEVSGVVVFMGHVLDPTKS >Sspon.04G0007050-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:19741708:19744475:-1 gene:Sspon.04G0007050-3C transcript:Sspon.04G0007050-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin carboxyl-terminal hydrolase 3 [Source:Projected from Arabidopsis thaliana (AT4G17510) UniProtKB/Swiss-Prot;Acc:Q8GWE1] MGKRWIPLEANPDVMNQFIWGLGVPEGDVQFCDVYGLDDELLAMVPQPVLAVLFLYPLTSLDEEEKEESSGSATSTAGGKELSKKVYFTKQTVGNACGTVGVIHAIGNATSQIKLVEGSYFEKFYKQTADMDPVQRATFLEEDDEMEDAHSVAASAGDTDVSPPKQFLLICIFLSSAKYYSLESRFK >Sspon.06G0004790-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:15321490:15329006:1 gene:Sspon.06G0004790-1A transcript:Sspon.06G0004790-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable serine/threonine-protein kinase PBL16 [Source:Projected from Arabidopsis thaliana (AT5G56460) UniProtKB/Swiss-Prot;Acc:Q9FM85] MRGVQQRRTRGMWCWLTESMRGVSRTGRWGQTRQASLPLGSFLKESGVEGDEDAVVGSKRSSRRRFRCCASRAQLSPPNTLMPASSPSLPRPPAGDLQPHRRHQPASSRRHRAPHTIGAASAALASRRPRPALEHRSAPSVNRSSANRRPQLRKLGRYNWRLGREENRSPRRAANDAGEAKPVPLGGTSSKNESENTATVHHFFPNLVRTECMFCRIPKSQRPSERTEKEDCQLPCNPKEAEALRKDTARNPLIAFTFEELKRITKKFRQDSLLGDGGFGRVYKGFITKDLCEGLEIEEPLRVAVKLSPPNLVKLIGYHSEDDHRVLVYEFMPLGRVMVPLPWSTRMKIALGAAKGLAFLHGADKPVIYRDFKTSNILLDEEYNAKLSDFGLAKDGPVGEKSHVSTRIMGTYGYAPPEYTMTGNVILTDHTVYLSQAVNGVVVLRTVRGPVGQPRRLRG >Sspon.06G0002340-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:7397273:7399793:1 gene:Sspon.06G0002340-1A transcript:Sspon.06G0002340-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSNLLLLLLATATPLITGQLSDYPTANLSTLWTNNNASLKHSITYADGSVVRAIVLRSPKAAFYGPSFAAGFFCPSAPCDNGAFLFAVFIVYTNSGAGITSVVNGIPQVVWSANRLHPVKENATLELTGDGNLILRDADGAGVWSSGTAGRSIAGMMITELGNLVLFDQKNATVWQSFEHPTDALVPGQSLLEGMRLTANTSATNWTQNQLYITVLHDGLYAYVDSTPPQPYFSRLVNENKTGNHTTQVTLTNGSFSIFVQSTTDPDSSIPLPAAKSTQYMRFENDGHLRLYEWSNTEAKWVVVSNVIKMFPDDDCAFPTVCGEYGVCTGGQCSCPFQSNSTSSYLKLIDGRKPNIGCTPLTPISCQEIQHHELLTLKDVSYFDINTSHTIANARNSDDCKQACLKNCSCQAVMFTYGQNESNGDCLWVTRVFSLQSIQPQILHYNSSAYLKVQLSPSPSATTANKKKAILGAIIGGVTGIVLVLIVVTLYVQRRRKYHEIDEEFDFDQLPGKPMSFEGKLNEERVAVKRLESARQGKKEFMAEVETIGSIEHINLVRLVGFCVEKAHRLLVYEYMPKGSLDRWIYYRHNNAPLDWSTRCRIILDIAKGLCYLHEECRRKIAHLDIKPQNILLDDNFNAKLADFGLSKLIDRDQSKVVTVMRGTPGYLAPEWLTSQITEKVDIYSFGVVVMEVICGRKNIDNSQPEESIHLINLLQEKAQNNRLIDMIDKQSHDMVTHQDKVIQMMKLAMWCLQHDSSRRPLMSTVVKVLEGTMTVETSIDYNFFSSDPVFSVQSDQSAYSAPPLASILSGPR >Sspon.03G0025530-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:78115730:78127121:1 gene:Sspon.03G0025530-1A transcript:Sspon.03G0025530-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPPAPEAQPEQAPAAAADPAEDAPVEKPPAAPITPEPDAAAAADGAADEVEDDEEYVSDPDDAPLPAMRRREASDDEGSEDGRPRARIGPDQDDDGQGAPEAYDYEVDEEDEEYYDEEEEDVGEGFEEEYEGRAAPPMEDGGGGGQVSRGEDGVTGEEGMPEGEAKGEGEEKEQEPFAVPTSGAFYMHDDRFQEENRGRRRAARFREPAPNAAAARDSGQVSHAQPEVVPPKKNVISSSLNSASPPFYPSGASTQDFPVAAQRRDIQTGGSNKIPPSSMKMDDNSKLQSGSMVRGRTSMDYGGRDRFHADGPVRSSPGRAPTTSLNSGFTSSLVNPGQSPIVRASGGNSNIGISSNNQPTSSLHQTSRISTQPQNHGSVMHQKSGQVQNQSAARIPTQQLSHRTSNSSPAAQHLPVKSTESSENGSYPSLNNSKTASAVVKANSQETGMGSFMYGGAQVIGAAGLSQGDQNFPGTPALLPVMQFGGQHPGGLGVPTVGMALPGYVAQQQMGMGNNEMAWLPLLAGATGAFGGSYPPYIALDPSFYSRSSGQTSSSVPSRGSKSPPRNDIGNEELDQRQNKPRRYSEMNFSQ >Sspon.01G0055470-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1C:79155808:79156245:-1 gene:Sspon.01G0055470-1C transcript:Sspon.01G0055470-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRQCSGRRLDGECHLLKGPFLPLWRLVARIRQSRLHRCNGAVIGWVNEGVGQAEAMQNVPPGLAHPMRKFWSFLESLAGCMRDGWMTCIRQESSMRGEVLHQANQTASVEGLAGQARHPNERLLQLISLGTVDLHGWDGPGRAD >Sspon.05G0006430-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:11496978:11498237:1 gene:Sspon.05G0006430-3C transcript:Sspon.05G0006430-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRVVGAADTDADGEGGDAAAASAPAVEEDEVGTKWAVLVAGSSGYGNYRHQADVCHAYQILRKGGVKEENIVVFMYDDIAHNILNPRPGVIINHPKGENVYNGVPKDYTGDQVTTENFFAVLLGNKSAITGGSKKVIDSKPNDHIFIYYSDHGGPGVL >Sspon.03G0010420-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:43703428:43707659:1 gene:Sspon.03G0010420-3C transcript:Sspon.03G0010420-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKLREMEMFGEDGRDGVWTVMANVMDPESLHRAFDGCAGVFHTSAFVDPGGMSGYTKHMATLEAQAAERVIEACVRTESVRKCVFTSSLLACVWRQNYPHDRRCPTIIDESCWSDESFCRDNKLWFALGKTAAEKAAWRAARGRDLKLVTICPALVTGPGFRRRNSTASIAYLKGARAMLADGLLATANVETVAEAHVHAYEAMGDNTAGGRYICYDHVVKRPEEFAELERQLGLPGGAAAAQGSDDDRPVRFELCKRKLSRLMSSRRRCTYDTYYSVAFD >Sspon.03G0001970-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:20321930:20324623:1 gene:Sspon.03G0001970-1P transcript:Sspon.03G0001970-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding TDGGCGEVHLWNFRKCHCSLPLPIPQAYLLEDHQEEVDGGFLRGAVQHDTSQLPLVRQVRAAVRVPEQHPGVDDQRRGRGDRGRVRGDLPGVRVLPADAAADAGPGVGGGGGVRRRGAGVDAGAPPRPGAEAHVRPRRHRLLHLHVRLAAVHAEAGGEDQERGVHAVPAVAGRVPVRHVLVRLRPARSRPLRADPQRVRELPGRCAARPVRHLPEQRRQQSGRGRRQEAGRRRRGDGLRREEQQEGRRRRWRRRQGGPP >Sspon.08G0020660-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8C:26391769:26392251:-1 gene:Sspon.08G0020660-2C transcript:Sspon.08G0020660-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQFAASPDNLLFFCSVTASVAAAFALVSLYRHLAHRRPRQPSAYDGLAASSGGGSAESEEELLPLSAAAAGLPPFMYNRLVRHSGKGASWTECAVCLGVIQVGAMVKLLPACSHVYHRDCIDLWLSSRSTCPLCRCRVGGPSEAGAGQETPRQLAQPPPA >Sspon.02G0014360-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:38167632:38172868:-1 gene:Sspon.02G0014360-1A transcript:Sspon.02G0014360-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAALLASWPWDNLGFYKYVLYAPLVGKAVASRAWEAASPDRWILLLLLLFGLRALTYQLWSSYSNMLFATRRRRVVRDGVDFHQIDKEWDWYVYGQLPDPARPDGGHGAVRVPVARHLPLWPVDARGLAVALLVHAAATEPLAYLAHRAFHHAGGGRLYARYHSLHHSTRVPQPFTAGLATPLEHMVLGALMALPLAAACAAGSGSVALAFAYVLGFDFLRAMGHCNVEVIPSSLFQAVPVLRYLIYTPTYHAIHHTKKEANFCLFMPLFDLLGGTIDPQSWEMHKKMSAGVDEVPDFVFLAHVVDVMQSLHVPFVLRTFSSTPFSVQLFLLPMWPFAFLVMLAMWVWSKTFVISCYSLRGRLHQIWAVPRYGFQYFLPFAKDGINKQIELAILRADKMGVKVLSLAALNKNEALNGGGTLFVNKHPDLRVRVVHGNTLTAAVILNEIPKGTAEVFLTGATSKLGRAIALYLCKKRVRVMMMTLSTERFQKIQKEAPAEFQQYLVQVTKYRSAQHCKTWIVGKWLSPREQRWAPPGTHFHQFVVPPIIGFRRDCTYGKLAAMRLPKDVQGLGACEYSLERGVVHACHAGGVVHFLEGYTHHEVGAIDVDRID >Sspon.05G0015230-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:52678466:52679095:1 gene:Sspon.05G0015230-2C transcript:Sspon.05G0015230-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLPRRRHLVLLACLVLLLVVAADSAAAVSPGVAVDFVRRSCRSTEYPRVCESTLVPCAAGVGRSPRRLARAALVVGADRARNCSAYIHGADSGSGSGSGAMKDCAELARDAEERLRQSAAEMERMGRAGTPRFAWSLSNVQTWASAALTDTSTCLDSLAQHQARGGNGKDSDDAVRVKRRVVAVAQATSNALALVNRLQPAPHRQRLL >Sspon.01G0004980-2D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1D:11727407:11727883:-1 gene:Sspon.01G0004980-2D transcript:Sspon.01G0004980-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDDWSGGNDAAAVVAVAVVTLSLRAISACMKGARKDSHRDTHEAPTEPGRGETNPHRISIEPGCEGRFASVETGPKERSGNQTPQHCIRRARAGRIWPTNHALGGTTGATKMISKPVERSAQTVSRSCSKINTVSIRIETGFHLTHVTKEDHRKQPK >Sspon.08G0023800-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:58322818:58331597:1 gene:Sspon.08G0023800-1B transcript:Sspon.08G0023800-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNEKLIPVDHDLGRLIDWMEKVSFSYPNTNSEEIAMIVSRTGSKNGSTTFKSNASELTPDQMKRYGCKMIRTLVSLMKIVDSKPKMEETEDYEPPCAENEAINIWNKNPLKMEVGNFNSKHLVLALKVKGVLDPCDDNNAKRGWDEMKVDSDFDIDGDFSDTQVQLSGRRFGYVLCTSQKGFKFMLDSGATHHICNDEAIMRNLREVKKEDRLYVYSAGGKELSVERMGCIDLKNFSLNPVGLIPSMQFNVVSVGQLANQGLMICCGNGQFSVYDMTRARIVGEGYLDYIQNEYVFRTLKWSPEAEKLVEHLVPDVDLLTNVRHDKRPFQTAFGTIWSSHRGKYKAGKLVLNDVLCCKSVKDNLMSGPSLDKAGYRMTFCGKTCTLVHKDGLELRGCHSRCEQQYKKKGGTTGSQLREMQRRPKGGDLNKQLLHTPLPDITSVADPVPETEHRSG >Sspon.08G0005340-3C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8C:16278290:16279145:-1 gene:Sspon.08G0005340-3C transcript:Sspon.08G0005340-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding KRPTVPKFGTWDSDDVGYTVYFDKVRENKGATAPPLHRPHNPNDPEEGPMMRVPPPSSSRPATAGGHRDREPPSSRRHHGQSHHRRTESSSSAASDPGGASHQSKFAPPPQYYQRASPQPQPQPHHHHGGHHYHHGGHHHQHQPPSAHGHRAPHAHREHRAAPGPRARSASPQSNAPNRQRPSTVPKFGVWDEQTAASAGQGFTVVFENVKRHREVARSAMPAVPRVPSPPEGAALRRAHQKTPF >Sspon.08G0008950-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:39131827:39146902:-1 gene:Sspon.08G0008950-1A transcript:Sspon.08G0008950-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRPPCDFNCKRSTRTYPVGSDPRTEAAGERSTEPAMGSVAMDSDYGAPRELSPLQKARALYRPELPPCLQGTTVTVEYGDAAIAADIADAHVISHAFPHTYGQPLAHFLTKTANVPDASIITEHPVVRVGVVFSGRQSPGGHNVIWGLYDAVKAHNSNSKVIGFLGGTDGLFAQKTMEITNEALSSYKNQGGYDMLGRTRDQIRTTEQVKAAVATCQALKLDALVIIGGVTSNTDAAQLAETFAELKCPTKVVGVPVTLNGDLKNQFVETTVGFDTICKVNSQLISNVCTDALSAEKYYYFIRLMGRKASHVALECALQSHPNMVILGEEVATSKLTIFDITKQISDAVQARAEKDKYHGVVLIPEGLVESIPELYALLQEIHGLHNKGVSVENISSHLSPWASALFEFLPPFIRKQLLLHPESDNSAQLSQIEIEKLLAQLVETEMNKRLVLGHVCYHIIAAGLNAYMATVTNLKSPVNKWKCGAAPITSMMTVKRWSRGPATSQIGKPAVHMARQGADTKPISLCVEDRDYMGRIKQLQEYLEKVKSIVKPGCSQDVLKAALSAMASVMEMLTIMHVSELIEIR >Sspon.06G0006040-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:18424004:18428683:1 gene:Sspon.06G0006040-2B transcript:Sspon.06G0006040-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dihydrolipoyllysine-residue acetyltransferase component 4 of pyruvate dehydrogenase complex, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G25860) UniProtKB/Swiss-Prot;Acc:Q9SQI8] MATAPAPLSLSASTLPARLRAGAVPAGTRWRQPRRGRMVVRAKIREIFMPALSSTMTEGKIVSWSAGEGDRVSKGDAVVVVESDKADMDVETFHDGIVAAVLVQAGESAPVGAPIALLAESEEEVPLALAKAQELSNGQPQQAPTAPTEDAAAAPPPPPAPAAASAAVAAGTKGIASPHAKKLAKQHRVDLAKVTGTGPYGRITPADIEAAAGIQPKSKPAPAAAAPLPVAAPSVGAVPQAAVLPPVPGATVVPFTTMQAAVSKNMVESLAVPAFRVGYPIVTDKLDELYEKVKPKGVTMTVLLAKAAAMALAQHPVVNASCRDGKSFTYNSNINIAVAVAIDGGLITPVLQDADKLDIYLLSQNWKDLVKKARAKQLQPNEYSSGTFTLSNLGMFGVDRFDAILPPGQGAIMAVGASKPTVVADKDGFFSVKSKMLVNVTADHRIVYGADLAAFLQTFAKILEDPESLTL >Sspon.03G0003690-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:9562319:9566136:1 gene:Sspon.03G0003690-1A transcript:Sspon.03G0003690-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATSIK [Source:Projected from Arabidopsis thaliana (AT3G08760) UniProtKB/TrEMBL;Acc:A0A384KX76] MMGCFTVLRSKKKKTPFDNPLVPSKKSVDARESTSSRLPEPEVHVPSLQSAPPSFRNRTKISQSSSKVSNSRARVLSAPSTLIVVDQFGFPYAEYRDQDDSRDKEGSTKGHRFSNPLPLPLPSPEGHSFRNSDSFKASNVSGPLEMSGPLPLPPKKRDGLRIFSYEEVSSACQWFSSDQCVSETLGSTSYKATFRDEFIDTKTTEATVARLLPSTQSLKEFKTQATTLASLQHPNLCKLIGYYAKEDSNERMLVYERLHHGSLDKLLFGRPDGRFMDWSKRLKVALGAARGLAFLHDEGPFQAMYSEFSTLNIQIDKDFTAKLSGYGCVGFNTEEISNAPASAANLSVETLEKGLLTPKSNVWSFGVVLLELITGRKNLDANSSKEERNIVRWSRPFLTDDSRLSLIMDSRIKGRFPTKAARIVADIILKCLHKDPSERPTMRDVVEALARVQEIKVPCRYPLQEPSAAPRKIMLKSTSLNGIVPHHPVITFSPSPPSHNQHLISPRSSTSALFHPRTCSSTLDDPGVSSIKKTPPIMRRQAWQEDRNLLFSTNSPCVCRLVMSALFD >Sspon.02G0039820-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2B:61997445:61998348:1 gene:Sspon.02G0039820-1B transcript:Sspon.02G0039820-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VLEEEQPQAARRRENQTLADGARRRGGRDGIPAWRRPDAGAGAGAAGCCGCGGWAVSRVEEESLAASRAEEERPPPRSSPPRATRERTGNRARRLETWGGASEKMRGSGCPTPPHWFPNGTGIWPVASFLAGFSPRKKAGIPRDSLLPNRPKRGLGGRAEEKRGREGPRILLGFAVPAHTASSFLTTTVHSRRAQGRREDATEGRQGQGGGAAAGGRRTRGARSGTADAAQEEPASAPVAPAAALTEAEVDELPRAIVRRLVKDKLSHVAGGDGAEVIVNKDAMVAFAESARIFIHYLSA >Sspon.04G0027920-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:67252900:67254911:1 gene:Sspon.04G0027920-1P transcript:Sspon.04G0027920-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLCTIARRLCLSKPSSGSRLSVICAHLYSTEAAKDTGAKKYKYPDVYDPYGPMLPPSEKVVDLADRIAALPPGEIKQIAPALLFRLNQEPPQAISGQGFSFGAQGGSGAGAGKAEEKKAEKTVFDVKLEKFDAAAKIKIIKEIRTFTDLGLKEAKELVEKAPVILKQSLTKEEAEAIIGKIKAAGGVAEETEVSPFTLDAIPSAVLLDLWRRAGLEDEDCLRAWSGEEPVVHVPDDLHGRLVDEQRLVAPELPLQALDVQQHEAVHRDPHLGIPVGARGGERVLDDDLVLLAPPGVEPRSRREAATAEHALPAAYQHGVLQRRPGLGSLVRVLLAVAEQEDDLVVVADQRDVVAAGGLRAAARRELGGAQVPEHVDAVAAAHVQRLATLLAPERPRLELHLVGDRPVPVAGPVDGDGEGLVDEALAPDGGDEARGAVDLGENTDTVEHCAAAAAEDGAL >Sspon.06G0034460-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:45716412:45721885:-1 gene:Sspon.06G0034460-1D transcript:Sspon.06G0034460-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGDGVRTITSALDGWMDGMWMDRDELSMGAVRSNPAFRRTSGVMPCDERQCPKTQGGVVRVNCIMGSDKSSCSRTRSGLVRKKGIFALSRASCSRTRSGLLRVKSFVDSSDVSSSRTRSGLLRIKRFVDSRDGSCSRSQSDHVRGRPPIVEAIINDEAVLKESPDGLMKEEMPARTQNGLVRQSSADKACYFSTSRFLFVFRALLYAVTKDESGTRALPNGCLSEDMPSRTRSGLVRRSPTVKTLSKDQSVIKGLAEGLLKEDTPLGTRSGLVRGSLAAKAWIFVPTKAELVTKGQPDGWMKNDKTVSEDERVVEGLPDGWRKEYRPRKSSSFQDPYYIDPVSGYEFRSLKDVHRYLETGDIRQCAVRPKKSTTFEVHITESQTHTSASSQHTRPGTADKGIQCEILTSDGIMVPWEELITPYNGNDTEHTVLPEPKSLKASQGYGNKLDTSEHMSVQPVSAHNGSRQTKSVKRKEPNAEVKSKKRKTSSAVTPVRASPRLAALNVQLEVSIEHEDEIVSINHGDRVQTIEESAIDQTQKSQSGSVDQIHGNLESTFSLLPLSQADTANGMQAIQENTTIHSQPSQLDTLNPTQKKQENSANQLQSVLTDSLIPKQITQECTIDQPSQPGIDHVHTDQEFTGNQFQSSLPADTVISLGDIEECTVGHSQPSNTDTTGQIQANEENTADQVQFSLADTVIPVPAIQEYATGYSQLSKADTTNQTQANQEYIADQVQSSLTDTVIPVQGIEEYTTDYSHISKADITNQIQANQENTDDHLYLSQVDCATQMQIIEENLSKQPQLSQSETGDRIQIDLESTTNHLQPNYDENSMLQSGFSWAPEQNGGAPITDFWKNVESQASPVSMPINGVPVASFPANVRFHNAAAAEPALPPQVAPTETVLISLDWPFNPFSETSGQILASSLLLRPLQVTFLFWTTQRLSQTTSQSNKT >Sspon.03G0033370-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:48956481:48957225:-1 gene:Sspon.03G0033370-1B transcript:Sspon.03G0033370-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLVRAIPPLVRTASTTAASSPNRVLRVGDAVRERRHFTGADVAAYAAVSGDRNPVHLDDGIAREVGGFQRGRVVHGMLVASVFPSVIAAHFPGAVYASQTLRFAAPVYVGDEVVAQVRALHIRMMAGNGSTSRVKFETKCFTEKDESSLAIDGEAMAVLPTLDWSSETAGAKMGWLPP >Sspon.02G0016130-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2A:44226710:44227203:1 gene:Sspon.02G0016130-1A transcript:Sspon.02G0016130-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding INLRYVSDGTYVDGGENHVVAAAAADQAKNGRVDAIQSLRSFPSGKWNCYALPTDTAATYLVRMQFLYGNYDGTGSSSVQFEIHLGSVPWDTVTVGLADTNATTKEAVFVAWARWAPVCLVNTGRGTPFVNVVELRKLGNGLSGNQSMSMYDRRMMGTDAPVTR >Sspon.07G0037990-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7D:66400306:66400640:1 gene:Sspon.07G0037990-1D transcript:Sspon.07G0037990-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VLIYDFTYATCGLQPILKQALKRLEYAWDFVEAMGQRMTAGIQYCVRFRQNQAINSSSSGYIYGMSCPRRYKAMESVVVQALHYINNDRGYTIRDKHYQQWRQIRVAAGL >Sspon.04G0020460-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:71857797:71863884:1 gene:Sspon.04G0020460-1A transcript:Sspon.04G0020460-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine carboxypeptidase III precursor (EC 3.4.16.5) [Source: Projected from Oryza sativa (Os02g0114200)] MASSRLLVLLCLAAVAVAAAAAGEGGLRLPRDATFPAAQAERLIRALNLLPREEAGPGARGDGPSVAPGELLERRVRLPGVPDGVEDLGHHAGYFRLPHTHDARMFYFFFESRGKKEDPVVIWLTGGPGCSSELAVFYENGPFTIANNMSLVWNKFGWDTISNIIFVDQPTGTGFSYSSDDRDTRHDETGVSNDLYDFLQVFFKKHPEFAKNDFYITGESYAGHYIPAFASRVHQGNKANEGIHINLKGFAIGNGLTDPEIQYKAYTDYALEMNLIEKSDYERINRFIPPCEFAIKMCGTDGKASCMAAYMVCNNIFNSIMKLVGTKNYYDVRKECEGKLCYDFSNLEKFFGDKAVKEALGVGDIDFVSCSTTVYEAMLTDWMRNLEVGIPALLEDGINVLIYAGEYDLICNWLVLRRIPALLEDGINVLIYAGEYDLICNWLGNSRWVHSMEWSGQKDFVSSSDLSFVVDGAEAGVLKSHGPLSFLKVHNAGHMVPMDQPKASLEMLRRFTQGKLKESLPETMVLKAAM >Sspon.08G0013940-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:57800068:57812668:-1 gene:Sspon.08G0013940-1P transcript:Sspon.08G0013940-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAAAPPAVASSASASASAGRSRPSTSAAQVCLSPPAVLRFRVRGSACGSRLGSRGQCRLDLVVDAAAIGRFGVIRAEIWRRLWGCRVAGAGFRCRALADCFVCAAARAHSFREDTTITAVQAGEENAASPYVLSVIDSLKKRITADRLTYIKNRIGENKTNLSSYTQRTHNLSKNRQINTLKGTDLASNLLTKRQDDALCTLDSYDIIPVDKDGGNFQDESPFSSSNAIFGGNLGPKNAIRPIKLPEVPKLPPYTTWIFLDRNQRMTEDQSVLGRRRIYYDTSCGEALICSDSEDEAIEDEEEKKEFKHSEDRIIRYEILHGEKTKDSCKKGTEHNAEVEDLYRDKDLDAALDSFDNLFCRRCLTEKQTAWSGVDDGVPCGIHCYKLASEPDSVAGVDHMLIDVEEPAHSSDNVMNQPGPNRKKNISCGRKTKSQQSESSSTARVISESSDSEVHPISNKSPQHSPSPSKVKIGPKGGIRKITNRRIAKRILRSVKKGQREMASSDSNSVSGSSLARDMKLRSDTRNGNKELIFSKHKNFQKEEYTSIGNNSASAEVHNDSTEEANNRHSATDGYDSSRKEEFVDENICKQEGYLRSWKAIEQGLLVKGLEIFGRNSCLIARNLLAGMKTCRDVFQYMNYIENSSASGALSGVDSLVKGYIKGTELRTRSRYFRRRGKVRRLKYTWKSAGKGLLKGRISLVDNIILVVVNLHVESSVHVLQMGHAVRNTAGVQKFARTVFEDVTVQRASVAAANVHVLLLTGNAIRMFAETAGLGMLT >Sspon.01G0040550-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:12122084:12124617:-1 gene:Sspon.01G0040550-1P transcript:Sspon.01G0040550-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVMAEVVSTTGAALRSPASQPPPPAAVKDADAEKLQFIEEMTSDVDAVQERVLGEILARNADTEYLAKYGLTGASDRATFRAMVPMATYEDLQPYIRRIADGDRSPILSGHPVSEFLTSSGTSAGERKLMPTIEDELNRRQLLYSLQMPVMNLYVPGMDKGKALHFLFVKSETKTPGGLAARPVLTSYYKSNHFKNRPFDAYNNYTSPTAAILCADAFQSMYAQMVCGLCQRQDVLRVGAVFASGLLRAIRFLQLNWEQLADDIEAGSLTPCVTDPSVREAVAGVLRPDPELAALVRSECSKGDWAGIITRIWPNTKYLDVIVTGAMAQYIPTLKYYSGGLPMACTMYASSECYFGLNLRPMCDPSEVSYTLMPNMCYFEFLPMDAAASGGGDASQLVDLARVEVGREYELVITTYAGLNRYRVGDVLQVTGFHNTAPQFRFVRRKNVLLSIESDKTDEAELQRAVERASALLRPHGAAVVEYTSQAYTKSIPGHYVIYWELLTKGPAAGTGGAAVDRETLDRCCLEMEEALNTVYRQSRVADGSIGPLEIRVVRPGTFEELMDYAISRGASINQYKVPRCVTFPPIIELLDSRVVSTHFSPALPHWTPGQRTHSD >Sspon.07G0016740-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:59693183:59693868:1 gene:Sspon.07G0016740-1A transcript:Sspon.07G0016740-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASPPLLPTTVVPAAAPSPTPTPTPAPTALSSADATDTNPAAIRAFLSRLVDSTRRALSGARPWSELADRSALSRPDSLGEATSRLRKNLAYFRVNYAAVTALCLAASLLAHPFSLAALLALLAAWCLLYVLGGLVAASAFVVFLTSVGSLIFSALALGAAVVCAHGACRVPEDLFLDEVADQGAGGAGNPLLSFIASATGGGRV >Sspon.02G0038560-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:43425350:43433087:-1 gene:Sspon.02G0038560-1B transcript:Sspon.02G0038560-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLVLFAAFFVLAAPPVHVVGQRKGFVSIDCGLDASSGSYTDTQTGIEYEPDGPYVDAGAGENRMVGSDLESLFDRGDQTLRSFPSGLRNCYALPTVSGTKYLVRGTFAYGNYDGRNSSSLEFDLHLGPNYWRTVRPDAKTSYVHEAIFVAWAGWAPICLVNTGRGTPFVSVLELRPLEASLYPQVTPGLILSLYRRRNMGATISTIRYHDDPYDRFWWPMDNGSPRWVNVTAPGPIPLDITSPVPSAVLQTAVVAGANDTALTARAWQYDTNYSLMMVMHFADFQKTQLRQFDIYVNQQSGLESYSPRYLTPTYVYVEDYRATDGNYNITLVATNASVLPPMINAIEIYARVPCKTPTTLTQDFDAIMAIKIEYGVKKNWTGDPCFPIKYAWDGVKCSNASGNASRITSLDLSNSSLHGTISKNFTLLTALQNLNVSGNQLSDDSLCKNYTGSLIFRHDSNKNSCNKKITASRKSTVILVISVVVAVLVVSAIVLAYFICRGKKKSTVSVDDHTRNAQLEIAPRSRTNQGDHLQDNENRRFTYKDLEKFTDNFKQFIGQGGFGVVYFGHLEDGTEVAVKMRSESSSHGLDEFLAEVQSLTKVHHRNIVSLVGYCWEKDHLALVYEYMSQGNLYDHLRGKIAAAETLNWGTRVRIVLEAAQGLDYLHKGCSPPIIHRDVKSSNILLGQNLQAKIADLGLSKTYISDGHTHISATAAGTAGYMDPEYYFTGRLTESSDVYSFGVVLLEAATGEPPLVPGQGHIVQRVKQRVSATGDIGSVADPRLGAAYDVNSMWKVVDTAMACTAEAGAGRPTMGEVVAQLKDSLALEYARDNDDDRSVPASIAASDSAALMMSGKEETLWHHQTTQLGASPCLDGKEQPRN >Sspon.06G0022650-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6B:33976347:33976601:-1 gene:Sspon.06G0022650-1B transcript:Sspon.06G0022650-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MCPSPSWRGLSQSPPVLLLSRSPPHVPPPLPSGSAPLSPDALLCSPPSLLPFQIYSRRRPLPDRADGGIEQTAESSRIDEETAE >Sspon.01G0007840-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:5324269:5326146:1 gene:Sspon.01G0007840-1P transcript:Sspon.01G0007840-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEICCEVAAAGSDRKGKCVGADAGSRAARRRRMEIRRLRVVAEEASAKRPRLEADVEDAVRGPAPRYGVTSVCGRRRDMEDAVTARLGFINGHHFFGVFDGHGCSHVATSCGQRMHQIVAEEATAATGSSASDDAARWRDVMEKSYSRMDAEAVGSRETAGPAPTCRCEMQLPKCDHVGSTAVVAVVGPRHLVVANCGDSRAVLCRGGAAIPLSDDHKPDRPDELERIHAAGGRVIYWDGARVFGMLAMSRAIGDSYLKPFVISDPEVRVLERKDGEDEFLILASDGLWDVVSNEVACKVVRTCLRNRAPHPHGGERSSPTSNLSPRQSSGSGGSSSSGDEEAAPSDGLGSESDDGESSEDRACAEAAILLTKLALARQSADNVSVVVVNLRRRPRS >Sspon.02G0044100-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:104056347:104057649:1 gene:Sspon.02G0044100-3D transcript:Sspon.02G0044100-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LEKQLEVTKAISSHKEEMERSFKAKNDKLRLEVSIIEEKCSKFEAEVERLKKELGALAEAKEATAKEFNDKKAKIMLESEDLRRRLEEIQAIKDLAESENDKLRSEALIAKEKQNMSEAEIERLKMELGGLAEAKEAAAKAFDAQNAEITKELGELKRKLKEIQTNKDLVEGENDKLRSRFSQRRRNIEQKQSMSEAEAKSLKMELGALVEAKEAAAKAFDAKKAKLTKELEDLKRKVEEIKTRKDLVEGEKDKLRSEILIAEQKQAMSELEVKRLKMDLAALTEAKETAV >Sspon.08G0009170-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8A:40303490:40303868:-1 gene:Sspon.08G0009170-1A transcript:Sspon.08G0009170-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VKLDPGSNTVSVPLVHRHGTCAPTQSSDKPSFSERLRRSRARSKYIMSRVSKGMVGTTRDDANVSIPTHLGGSVDSLEYVVTVGLGTPPVSQVLLMDTGSDLSWVQCKPCNSTTCYPQNDPLFDPS >Sspon.02G0045230-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:90413706:90418780:1 gene:Sspon.02G0045230-3D transcript:Sspon.02G0045230-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATVPNGHPGGGGAGDEDAPPPASSSLVFLGTGCSSAVPNARCLIQPPDPPCPVCSQSLSVPPELNPNYRCNTSLLIDYCQDEGAHKYIIIDVGKTFREQVLRWFVRHKIPCVDSILLTHEHADAILGLDDVRVVQPFSPTNDIDPTPIYLSQFAMDSIRQKFPYLVKKKLKEGEEVRRVAQLDWKIIESDIQKPFTTSGLEFVPLPVIHGEDYICLGFLFGRKSKVAYISDVSRFPPSTEYAISKSGGGQLDLLILDCLYRTGSHNVHLCWDQTLDAVRRICPKRALLIGMTHEMDHHKDNQTLEEWSRREGIDVQLARDGLRVYIDL >Sspon.03G0020470-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:63772100:63776494:1 gene:Sspon.03G0020470-1A transcript:Sspon.03G0020470-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRDFERLHHVAFFDAMATELPDEYASQEVNHLTLAYFAVGGLSLLRELDRINKDKIAKWVLSFQVHPEANDDKGVGLFYGFCGSRSTQFPLPNVKDPCCDVSHLASTYSALAILKIIGYDLANIDCKALLLSLKKLQQPDGSFMPTHIGAETDLRFVYCAVAICSMLDDWTGMDKLKAKEYILNCQSYDGGFGMVPGSESHGGGTFCAVAALHLMGFFQVDWASNLRDSTSIDICMLLEWCLQRQVTNGGFQGRRNKPSDTCYAFWVGGVLKILGAYHLIDSCALRGFLLTCQSPYGGFTKFPDDRIPDIYHSYYGLAALSLLEEEGLEPLCVELGILSAAL >Sspon.02G0046640-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:1813428:1813652:1 gene:Sspon.02G0046640-2P transcript:Sspon.02G0046640-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMPGIVTVAAVVGGAVSAYFLWPTAAVPAAAAATMKAPGAMGFLISRAAFLANPQLYFQILRTAGAAAAAAAFA >Sspon.02G0004730-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:9352555:9355552:1 gene:Sspon.02G0004730-4D transcript:Sspon.02G0004730-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQQTAPPPPPPYRPYRQVRQATPHSRAVSCVRFSPCGRLLATASLDGTVALLSPSSLAVIAVLRGHSDGVSDLSWSTESYYLCSASDDRTIRIWDIRPVLAGGAQAAAADPGADRCIRVLKGHTNFVFSANFNPQTSSQIASGGFDCTVRIWDVKNGRCTQAIEAHSEPVTSVHFIRDGSIVVSGSHDGSCKIWDAKTGACLKTVIDEKKPAVSFSMFSPNGKFILVAMLDNSLKLCNFATGKFLKVYSGHVNRQYCIQSAFSVTNGKYIVSGSEDNCVYIWDLQGRNILQKLEGHTDTVISVSCHPTENKIVSGGLDNDRTVRLWVQD >Sspon.06G0021550-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:17208380:17243700:-1 gene:Sspon.06G0021550-1B transcript:Sspon.06G0021550-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQAKMCQGGPEMLLRQSSDLRDQERVNTNFGGDTGDAFEAHEWRYVRTFNGIRIFEDITNPKGGKGILLKSVGVVGANPDTVFEVVLNLDKHKRYEWDMLTADLELVETIDGYCDVTPAGYKKRPPKHGYERTKINPLTWEIRSLNTSESSSPKCVVTLMLEISLSFWGRWKRKHSSNFDKSIPFALLSQVAGLREYFAANPALTSELPSTVVKSKASETLIIQSEHEDSEPGDEFYDALARGESFEDEDSDDDDDATSPKAGKVKLKNVSWAIAGLTLKTTKALVETSELVTNSSPVAVDPSHFHGTLHRTKSENDQNSWSAPGGEKFMIRGKTYLTDYTKVVGGDPLLKLLAVDWFKVNERFDSVALHPKSLVQSEAAKKIPFILVINLQVPAKPNYNLVMYYAAERPVNKDSLLGRFIDGTDAYRDARFKLIPSIVEGYWMVKRAVGTKACLLGKAVTCNYLRQDNFLEIDVDIGSSSVARSIIACRRNKACLLGKAVTCNYLRQDNFLEIDVDIGSSSVARSIIGLVLGYVTSIVVDLAILIEAKEEKELPEYILGTVRLKPCESRQCCINLIKFQPICANFFLSSVLF >Sspon.04G0022520-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:12418132:12418383:1 gene:Sspon.04G0022520-2C transcript:Sspon.04G0022520-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSISRSSFVLLLLIAVLMLLAVSGSTARQLDLDGDRSTSTGGKSAFGVGRPIQIMKRLYLQQLATAGPSCETYDQNNPNCH >Sspon.02G0016120-3D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2D:38037227:38038041:-1 gene:Sspon.02G0016120-3D transcript:Sspon.02G0016120-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMIDVLTRVDAICKKYDRYDADKHRNDGDPFSRMYAAVDAEIDAAIEKSARVAKEKNRAASVTLNADVRRSKARLLEEVVKLQKIIAKKVKGLSPEEKVLRADLVAALPHRIQAIPDSHDSNATDQDAGWNWKARPGIKLDDYSAERLEEGYFHTTEESEQFRREYEMRRIKQAGLPFLAAETAISSSEF >Sspon.05G0014390-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:53059480:53062551:1 gene:Sspon.05G0014390-1A transcript:Sspon.05G0014390-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDVFSVKEAVEEIIPYLEDTGRSAHKPIYFDGWDGLAASAVLRAIAEHPPPSLMNKFDRIIHIDCSRWKSRRALQRVIADELKLPQSVMVAVDREDDKDDYSGVDEGSRVEIEEVGRVIAGSLSQYRCLVVFHNGSTDMVDLADFGIPPPFFGAKIMWTFGGRLRLNPEIKEKVDNSHLFVYRNINWMFHFYTAQLILAEATEIVKHMQHKQSITPEIAAKCITYILWLHEMGGSTMDYNWATHASNYWVCDGIIGQQGQLDDDESWEVSAALHKQMQTMELSSRPVRFRGHEYMDVWKSVAYTSDSGEKENLTALSRNLTSFFLASKGRLYTTLPCDMFQQSERLRVLKLSGCRFNFSSPPFCCCHSLRFLGLDHCEDQGQEEEDKQGRPIIEFFQSLWVLDISHTDWEFDLSQDLTEQMASNIREVHIKKGRIWRSHLAWRQLQNLRKLRVIEPTRTWETGKKDEFADMEKLELLHLSGNNSIQVLPSLYGATRLKTLVLDGCVGLEHVGPEGIPPSLESFSLDGGTAKDGNNIAKISRITLVGCAKLVDFTLLGSLPNLEELDLSCTTVKTLNLKKEVQVEKLEQILLMGCYQLRAIVWPENGMKQLRLLQIDTRQGVTSHPSIVCQEKEGYCHAHVSITDMRFLQSLVLTGHQEFCWSIAPFKLNLYLSCTAKDDGKNYNSEKVGHPFHSTGLIAGSRSVVHKSLMSNTCSTYNDVNIEQIVITEDDDSSALQFEPQDLHVEMGQEMIDVNVVNSQGIKAIIFVMNKVQSLHMRNNSSVTIVIPERMSIGDDKISWHALKWCHVQSCPKLKTVLTTNYDIYCFQELETIWVADLLMASSIWSRGRTVSTEDSDSFAKLRAIHVDHCPRLTFVLPLSWFYTLSSLETLHIIKCSDLRQVFPVEAEFLNKIATNHPNGMLEFPRLKDLYLYHLSSLRQICEAKMFAPKLETVRLRGCWGLKRLPATSRRQLASRVVVDCEKDWWDSLEWDGMDFGHHPSLFAPNHSSYYKKRMLRGAVLR >Sspon.04G0018390-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4A:66194812:66196675:1 gene:Sspon.04G0018390-1A transcript:Sspon.04G0018390-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDARNVLFAKDPIDRLCRSRSSPVPNNSGVPNPQPLHPDKQGRVVQYNSSPLPWISAPRRHSLRLSFPTAKSTRWMEAKAKAERLREGMGKNLRWAVSADPSPASPMRATSAPPSRPWHQQEEGPASRRSTTSENGAGEKRADGGAGAGAGGGPHGPSSRMKRGGEPGSRGQGKTDGREERGGEERRGSRGVDENDRRPRMTWRGTATGRTRTRTRSTPRHPRAGSSSPPVLSLTVTSHDRTRHHHPRLGVTVMSCYTTAWSGPDVRSPLLIGGLASGRSGRRRRVRPRGNDEPVPGGLEVRTHASWDTCAQRGRRRT >Sspon.01G0047530-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:103819066:103826644:-1 gene:Sspon.01G0047530-1B transcript:Sspon.01G0047530-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mg-protoporphyrin IX chelatase [Source:Projected from Arabidopsis thaliana (AT1G08520) UniProtKB/TrEMBL;Acc:A0A178W355] MATATALSTSLPHLPPRRATSFPSAAAAVSLPVPSRAARLRESRLAAAAPAASEVLESTNGAIPTAAKGGAGRGYGREYFPLAAVVGQDAIKTALLLGAIDREIGGIAISGKRGTAKTVMARGLHAMLPPIEVVVGSIANADPSSPDEWEDGLADQIQYDSEGNVKTEIVKTPFVQIPLGVTEDRLIGSVDVEASVRSGTTVFQPGLLAEAHRGVLYVDEINLLDDGISNLLLNVLTEGVNIVEREGISFRHPCKPLLIATYNPEEGSVREHLLDRIAINLSADLPMSFDDRVAAVDIATRFQESSKEVFKMVEEETETAKTQIILAREYLKDVNISTEQLKYLVMEAIRGGCQGHRAELYAARVAKCLAAMEGREKVFVDDLKKAVELVILPRSILSDNPQDQQQEQPPPPPPPPPPENQDSSEDQDEEEDDQEDDEEENEQQDQQIPEEFIFDAEGGLVDDKLLFFAQQAQRRRGKAGRAKNGPIRRLAVDATLRAAAPYQKLRREKERDKARKVFVEKTDMRAKRMARKAGALVIFVVDASGSMALNRMQNAKGAAMKLLAESYTSRDQVSIIPFRGDYAEVLLPPSRSIAMARKRLEKLPCGGGFLFKAVRVGMNAEKSGDVGRIMIVAITDGRANVSLKRSTDPEAAAASDAPRPSSQELKDEILEVAGKIYKAGMSLLVIDTENKFVSTGFAKEIARVAQGKYYYLPNASDAVISAATKTALTDLKSS >Sspon.08G0005930-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:41014007:41015251:1 gene:Sspon.08G0005930-1P transcript:Sspon.08G0005930-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTDNAMRALFLLALVCAAQAGKDKESSSAPAEGGDAKASGPGGSFDITKLGASGDGKKDSTKAVQEAWTSACGGTGKQTILIPKGDYLVGPLNFTGPCKGDVTIQVDGNLLATTDLSQYKGNWIEILRVDNLVITGKGTLDGQGPAVWSKNSCAKKYDCKILPNSLVLDFVNNGEVSGITLLNSKFFHMNVFQCKDMLIKDVTVTAPGDSPNTDGIHMGDSSGVTIVNTVIGVGDDCISIGPGTSKVNITGVTCGPGHGISIGSLGRYKDEKDVTDINVKDCTLKKTSNGLRIKAYEDAASVLTASNIHYENIKMEDSANPIIIDMKYCPNKICTASGASKVTVKDVSFKNVTGTSSSPEAVSLLCSDKIPCTGVTMDNVKVEYSGTNNKTMAICKNAKGTATGCLKELACF >Sspon.02G0037170-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:35574770:35577597:1 gene:Sspon.02G0037170-2C transcript:Sspon.02G0037170-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:tRNase Z TRZ2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G04530) UniProtKB/Swiss-Prot;Acc:Q8L633] MATASLFSLPSLRVLSRTSARGSRFRILAARKPVESSTTTASGSRRGGGKGGGLLSVLDRALADEEEYRRARAQVQRKGVEVEGYAIEGISVGGHETCVTVPSLNVAFDIGRGPQFAVSQDYLFITHAHLDHIGGLPMYIATRGLYNLKPPTVFVPPCIRDDVEDLLQVHRRMSQIELKVELVALDLGETYEIRNDLVARPFQTYHAVPSQVLLLRASRHGYVIYSIRRKLKKQYAHLKGSQIMKLKQSGTEITDTILYPEVAFTGDTKSDFILDPRNADALRAKVLITEATFLDDHVDVEHAREHGHMHLSEIMEHSQWFRNETIVLTHFSNRYSLEDIRQAVSRLQPKLNSKNFVRLIEHFDEKVEYSSGSLRAGMMKTSDSSGNLKLTLLTTLFWLLMDIRSMEKLSLTFGELFS >Sspon.03G0042170-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:61336444:61338052:-1 gene:Sspon.03G0042170-2D transcript:Sspon.03G0042170-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGPMEIPVIDLSGLNGGDEERSRTLAELHDACKDWGFFWVENHGVDAPLMDEVKRFVYSHYEEHLEAKFYASDLAKSLETDDGRPEGEAEEPSDKVDWESTYFIQHRPKTNVADFPEITPACRETLDAYIAQMVSLAERLGECMSLNLGLPARHVADTFAPPFVGTKFAMYPSCPRPELVWGLRAHTDAGGIILLLQDDVVGGLEFLKGGKDWVPVGPTRGGRLFVNIGDQIEVLSGGAYRSIVHRVAAGDQGRRLSVATFYNPGPDAVVAPAAREDADAAAALAYPGPYRFGDYLEYYQGTKFGDKDARFQAVKKLLC >Sspon.08G0015610-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:62420854:62422215:1 gene:Sspon.08G0015610-1A transcript:Sspon.08G0015610-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKKVKILAGAAAVVLVALELTLFLCFRLSRPFYLSTAVILSAVLAGTVTVLLCHALSPHGRAERMARRPVLDGGEEVSVRVEYSYFRKVAGLPSRFSLEALSAATDGFQYVVGRGSSATVFKGILDDGTSVAVKRINGSAHVDKEFRSEVSAIGSVQHVSLVRLLGFCLVRNGPRFLVYEYMENGSLDRWIFPQHGAGGGGRCLTWLQRYQVAVDVAKALAYLHHDCRAKVVHLDVKPENILLDDRLRGMLSDFGLSTLMGKEQSRVVTTVRGTTGYLAPEWLLGAGVTEKSDVYSYGMVLMEILGGRRNLQAEPGPSGGSRRWSYFPKLVAERAREGRVVEVLDRRLLSSAVDEASVRRLAHVALWCAQEKPGARPTMARVVEMLEARRGAASVDPPPPSDMVLVDLLALDPAQAGPFGLPALPPGPGSAGTAASSAMSMGDSFALSYLSG >Sspon.08G0026980-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:37923371:37923736:1 gene:Sspon.08G0026980-1T transcript:Sspon.08G0026980-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAVKAKAEDAASSAKASVAKAKATADEKVVRRRSPQHAAQAVDHPYVEKAKTRDPMKKREAEEDKEDRKLQIESDERVEKAGHGPLGPERTVTHTAGER >Sspon.06G0003890-4D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6D:9413408:9414097:-1 gene:Sspon.06G0003890-4D transcript:Sspon.06G0003890-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSLKLRLVWLASGSRASSSWLVIANELKSWLGSTRYHNEPSRAEPSQSRAERANELRVFRPTLDEDPTLFIGEYPLAPQAEYVTSLPVAVADVVNANPADGEGAGAGNDQDLPGLVEDDNCFAFMNSLSDLVPGFDLLHCNEMMVPDA >Sspon.01G0023020-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1A:83581315:83582286:1 gene:Sspon.01G0023020-1A transcript:Sspon.01G0023020-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGAAASSSSRGRRRPRRGLSGRRPRGRCKNYRGMQQHKNKYSAV >Sspon.02G0019410-2P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2C:65636186:65636796:1 gene:Sspon.02G0019410-2P transcript:Sspon.02G0019410-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKEMDVSTLEAGGARDYADPPPAPLIDIDELGKWSLYRAVIAEFVATLLFLYITVATVIGYKHQTDATASGADAACGGVGILGIAWAFGGMIFILVYCTAGISGGHINPAVTFGLFLARKVSLVRALLYMAAQSLGAICGVALVKGFQSGFYARYGGGANEISPGYSTGTGLAAEIIGTFVLVYTVFSATDPKRNARDSHVP >Sspon.03G0011240-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:40472158:40475195:1 gene:Sspon.03G0011240-2B transcript:Sspon.03G0011240-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMFSRIFGKPKEQANSNALATLDKLNETLDMLEKKEKVLEKKAAAELERAKEFSKAKNKRAAIQSLKRKKLYEQQIEQLGNFQLRIHDQMIMLEAAKATTETVDALRTGAAAMKAMQKATNIDDVDKTMDEINEQTENMKQIQDALSAPLGAAADFDEDELEAELEELEGAELESQLLEPVAAPPVHPVHVPANKQPARPAPQKTTAEDDELAALQAEMA >Sspon.04G0000720-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:3106927:3113185:-1 gene:Sspon.04G0000720-1A transcript:Sspon.04G0000720-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIFALVCKDAPLVEYQADKPITAKDVAEMDFVRINHDILLDKKSALYPLKPDGTCSDFPCSILLEEVETINFPSEDAFKTLVQSVKAEMNTCEEIFKDDFDQAKSFYESVVSSEWALVDDTFKSLPTPILPDDKAMRSMLPPIEVLCSLKPLPLSAADGIYLDWHLLSEGPCNQESCSTYASMVEEVKPCSLSPELQISCQQMPALDINFLEDFPRSAKLQHEDKKNEIYVPGPIPHDPSANLETTQKNMLESDVRGHNHMDKLSPEKESSLFKSTSQSNGLSFYLNVRNDTNKVRKNEDISTFIPSSKQAAPFSTRPRVNKLIEIHPVNLSDLIQGLIKDIHVSYTSALQESAYFRHSFSDGQGLSISKQKLLELITGEGSEGLYSYCKYEDKMELIVLYALKQVAYYLCFFGLHAAYLYVGNLTGTFEKIPERLRNLQRCIGEARLKAEKQLLESHPSLSVIETILRSNTQIGRKILIVSDRAFWLPLGQKLTAMKITSAEFETYHSTTYSDPVIKTNSKTCMPEELWKSDCILLDNKNIPASFPFSEFCMILEYGGPNKSSILLSLAPKLDDLPPLHFLYVTVDGEGLPNALVEDNHTDQDLKSTLDAVLHTLQKDLQEKMNKMRIVDSLNFIPATNQQQHLQTNHLTADSSKKITADVQLHNQGNLNEKNIADPHNFVTAAEQLNMLNQITIANSQNFVPAVEKSSSTSSVSANVIKAPQDNQSAVVLPLSEKMDSTKAGIPCPEAVIVVNTGNHGKNMLFSRRSSYQQILALERGGMQVVERDVDLPVDLILTAAVCLLWYDTRTSGSSELTISSDTSGITNFVEDIATNILMALSFCFCGCIMVFEGENHLLSAVMEASDSLYASAASLDMNLQLFFSQTPKSTDQIILDCIQNVVRINQAPCPQIPESESLVESFVTAFPSVSPLSAHMILSSGTLLDFLRWSHEQRTQAVEKYRLPPQSISLFSALCKFGELGESKSIMTECSSVDSDISSALLQSARKKKRCATQDFSAAVSHPAHPNPCTQLHGDCVENDKVFSPPKLRKFSHIDDMMPDLPEVFMIDQRLNMGSEGVSCQPRKYDVDAVTGIHIIDNDFINELTPNFRTYNERASSMVDTCNFSRQSKLGAKQPIRSSFRASMPSACITSSHPAFPSALEISNDPGNWDVSCGINQTWTDNVHGDFATSSGRNDLGSRYHESRQEIMQTPASSLPFLKQDFGCHGASQGSGWEMDYLRQMNENRKASQEQSRCNVSATWSNSRTRDGSSRILSVPPIESFRYQRNTDTPFRDQSPSEIESFRHRRNINTLLRDQSPSNEAHRYEKGRRGTKAQSHRVRKDFMVQPSINHGKSIVPSIEPTWTPLDKRARQKLTFATHGSEKQTKLVWRHQSSPGVGCGFPKRYQEEAP >Sspon.06G0007010-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:23150504:23152848:-1 gene:Sspon.06G0007010-2B transcript:Sspon.06G0007010-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPAVRFPVFGLVRLLGLAAAAGILFWAVHFRGGMALSTDEESKLPLFNVHPVLMLIGLVALNGEALLAYKTVPGTKKLKKLVHLAVQFLAIGLLGFGPFGTPVDQEVAVHFCFPGMSFLSAKMIERFSTEAMFMNSLGMLLVLLSGLVILAIVSPGPSKIDTYRGSSE >Sspon.05G0034850-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:65227040:65238610:1 gene:Sspon.05G0034850-1C transcript:Sspon.05G0034850-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding EMASAEQEQETPPNRHVAAGGRLRQTHPPLLPSSWCLTDPVPPSSSSTRRLKQSPTPPGSSPGLLIDPVPPASSSGLLIDTVPPAPASTAARPPNYRRIAPIPPIRRSPPFSKRLTYLLRLGRDRDGITSSALTSSLLAGDDGCGDCNGISSRPHTSSSLATIDEIQQLTSSSSAGDQSPPPLTCSSSASDEIQQPLTISSSSVDPHPASLTSAVVIYNEHDCPAVQVPDLLVALLNEEARECVAS >Sspon.02G0013530-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:15106375:15124694:-1 gene:Sspon.02G0013530-3C transcript:Sspon.02G0013530-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLVLLLILLVPTISLPVADCNDNAGFQLKLTHVDAGTSYTKLQLLSRAIARSKARVAALQSAAVTSAPVVDPITAARVLVTASSGEYLSATYRALPCRSSRCAALSSPSCFKKMCVYQYYYGDTASTAGVLANETFTFGAASSTKVRAANVSFGCGSLNAGDLANSSGMVGLGRGPLSLVSQLGPSRFSYCLTSYLSPTPSRLYFGVFANLNSTNTSSGSPVQVQSTPFVINPALPNMYFLSVKGISLGTKRLPIDPLVFAINDDGTGGVIIDSGTSITWLQQDAYEAVRRGLVSAIPLPATNDTDIGLDTCFQWPPPPNVTVTVPDFVFHFDGANMTLPPENYMLIASTTGYLCLAMAPTGVGTIIGNYQQQNLHLLYDIANSFLSFVPAPLSRRTTAARQREPLYEPRRSSSFAFLPCSDRLCQEGRFFDKNCTRNRCMYDELYGSAEADGVLASETFTFGVDSKVSLPLGFGCGALSAGSLVDASGLMGLSPGIMSLVSQLPVPRFSYCLTPFAERKTSPLLFGAMADLHRYKTTGPVQTTSILRNPATATAYYYVPLVGLSLGTKRLDVPATSLGIKPDGSGGTIVDSGSTMAYLAEKAFKAVKKAVVEAVRLPVVNGTEEEDYELCFALPSGVAMGAVKTPPLVLHFDGGAAMVLPRDNYFQEPRAGLMCLAVGSSPDDFGVSIIGNVQQQNMHVLFDVGPYTDRLAVSFGTPPQPRTLILDTGSDLIRTQCKLFVSSILENTTANLYGSNYYYNVPSIGVSVGTKRLNVPVSSFAIGPDGSGDTTGMPPSAAMEALKEALVEAVKLPVVNATAHGYDYELCFQLPNGIAEETVQVPPLVYHFDGGATIFQGMAPHSMHIVLPVLCLTVAATAAHALLIDFRADLNHPYAGSSLSTDDVIIHAARASKARAARINARLARVLGNLSAADVPVAPLSDQGHSLTVGIGKPPQPRTLIVDTGSDLIWTQCRLSRRTTAARQREPLYEPRRSSSFAFLPCSDRLCQEGRFFDKNCTRNRCMYDELYGSAEADGVLASETFTFGVDSKVSLPLGFGCGALSAGSLVDASGLMGLSPGIMYTSPLLFGAMADLHRYKTTGPVQTTSILRNPATATAYYYVPLVGLSLGTKRLDVPATSLGIKPDGSGGTIVDSGSTMAYLAEKAFKAVKKAVVEAVRLPVVNGTEEEDYELCFALPSGVAMGAVKTPPLVLHFDGGAAMLPRDNYFQEPRAGLMCLAVGSSPDDFGVSIIGNVQQQNMHVLFDVGPYTDRLAVSFGTPPQPRTLILDTGSDLIRTQCKLFVSSILENTTANLYGSNYYYNVPSIGVSVGTKRLNVPVSSFAIGPDGSGDTTGMPPSAAMEALKEALVEAVKLPVVNATAHGYDYELCFQLPNGIAEETVQVPPLVYHFDGGATMLLRRDSFLVE >Sspon.04G0031890-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:20499150:20500162:1 gene:Sspon.04G0031890-2D transcript:Sspon.04G0031890-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable lipid phosphate phosphatase beta [Source:Projected from Arabidopsis thaliana (AT4G22550) UniProtKB/Swiss-Prot;Acc:Q9SUW4] MATATTTSPRAPAPSKPTLLGGVRGLDAAVSLRLHALFLPVPRLLLKALEVAGDGRIWLPVPISLLLLSASPANASGAASPLLAGLVAGLVLDLILVGLVKVVVRRPRPAYNAKDMYVAVAADHWSFPSGHSSRAFLVTSFLAGGGFHPREALFLWATATSASRVLLGRHYVLDVVAGACLGVFEAWLSNLLLGFMCAQSTFLVRLHTCC >Sspon.02G0027140-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:96124010:96128321:-1 gene:Sspon.02G0027140-1P transcript:Sspon.02G0027140-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQLRLHLAATPPLLLPHRRPHLRHLPRPLCPTLNPIRARLPALPVPRVLSHARPARAVGGGVEPKEGVVAEGEESGGEPELVGEDSAAFELKDQSVASWAYFAVILTAVLVGLNVLWIDPGTGVGTKFLDAVASVSDSHEVAMLLLTIIFAVVHSGMASLRESGEKIVGERAYRVLFAGISLPLAVTTIVYFINHRYDGIQLWQVQGITGIHELVWFSSFISFFFLYPSTFNLLEVAAVDKPKLHMWETGIMRITRHPQMVGQVIWCLAHTLWIGNSVAIAASVGLISHHLFGAWNGDRRLASRYGEAFEVLKKRTSVVPFAAIVDGRQKLPKDYHKEFFRLPYVAITMLTLGAYFAHPLMQASSYQVPW >Sspon.01G0060980-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:86479209:86479943:-1 gene:Sspon.01G0060980-1D transcript:Sspon.01G0060980-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAISSLPCLRNREGKSARLCPRCHPPPLQHFLNAWENREARQRLEFTGGSRAIATIDQIDSAYCKAGGDPFVAADILGCMRRSPHSRP >Sspon.03G0001050-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:8991846:8995552:-1 gene:Sspon.03G0001050-2D transcript:Sspon.03G0001050-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVLLMSSAAPTVLSAHYFETVDYHTGSSRSFNDIDGTLVAMGEITEQIRQKSKEFPETKPLDYHRYLVVSLGTGLPEQDIKFDACRVAKWGIFGWFGRENTMPLLQMFLHASSDMTDSLVKIGENLLHKPLSKDDYKINYIETMPKDSRNLTYAAMLTRFAKLLSDEMKLRLQNMELDA >Sspon.01G0046420-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:97362251:97362565:-1 gene:Sspon.01G0046420-1B transcript:Sspon.01G0046420-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMGIFSNMLILNFAVVGNALVFESAKFISSTSWWVVALCWSSISLLLEMHERFICFEQSSNFLPFCGSITRALFCCIKRVRAPSTV >Sspon.04G0000290-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:2691948:2694812:-1 gene:Sspon.04G0000290-1P transcript:Sspon.04G0000290-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWCTIESDPGVFTELIQQMQVKGVQVEELYSLDVDSLSLLRPVYGLIFLFKWIPGEKDERPVVRDPNPNLFFAHQVITNACATQAILSVLMNRPEIDIGPELSQLKEFTGAFTPDLKGLAISNSESIRTAHNSFARPEPFISDEQRAVTKDDDVYHFISYLPFEGVLYELDGLKEGPVNLGQCGGADDLDWLRMVQPVIQERIERYSQSEIRFNLMAIIKNRKEVYSAELEELEKRREQILQENKTSATESLNNSLTEVISAIETVTEKMIMEEEKFKKWKTENIRRKHNYIPFLFNLLKMLAEKQQLKPLVEKAKQQKSSSPSTR >Sspon.08G0010160-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:44608667:44613400:1 gene:Sspon.08G0010160-1A transcript:Sspon.08G0010160-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVASAARPPTPTPAPPARLGSLWSTLEDQRGAAVPLLSSAWTLPTTSQDGEQQQPKEGLLRRAGGAVARWWGAACGAVAELWTFARADPRKPVFAGKVALALALISLLVFLREPRDIVSHSVWAILTVVVVFEFSIGATLSKGFNRGLGTLTAGAFALAVAELSKHLGKLEEVILITSILIVAFVTTLTKLHPMMKPYEYGFRVFLLTFCYVMVSGYNTGKFTDTATSRFILIAIGAAVSLGINIGIYPIWAGEDLHNLIAKNFTGVAKSLEGCVDGYLRCMEYERIPSKILVYQASDDPLYSGYRAAVEASAQEETLLGFAIWEPPHGPYKTMNYPWRSFTKVSGALRHCSFAVMALHGCILSEIQAPPESRRVFAAEIQRVGQEGAKVLRELGSRVKTMTKLSSSGILFEVHMAAEELQKKIDEKSYLLVNTERWDASKQAQGIKDVVNDTRAVEKENKNKENKNDGTSAVEKENKAKENNNGGVEPTIVDQTLVHQSKSFVANSFLSRFDSASTIDGFKPLLSWPARRSFHPNIPLEDEDSQTYESASALSLATFASLLIEFVARLQNVVNAFEELSEKASFKDPVEEPTAVSTSDVSYIPPHDLAKEEEGSMAASEFRHRIVR >Sspon.08G0027570-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:53002181:53007176:1 gene:Sspon.08G0027570-2D transcript:Sspon.08G0027570-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GMAAATASDMPPPRLVLPPPAGDPGGGLRRSYLRLVALSSTLRHLDQLLAVSVASGHYALDPAPATALLLRYASLRAPPRHLLRLFRAFPRPDRFLRNALLRSLPSLRPHLLFPSPDSFSFAFAATSLSSSCSSRGNDTAAAARTLHGLSVAAGYAADTFVASALAKLYFKLSRVDDARKVFDAVPSPDTILWNTLLAGLPGSEALETFVRMVEAGRVRPDSTTLASSLRAAAEASHMAMGRCIHGYGVKCGLAEHEHVVTGLVSLYSKCGDMDCARCLFDRMEDPDLVAYNALISGYSVNGMVESSVELFKELAASSWRPNSSTLVAVIPVYSPFGHELLARCLHAFVVKARLDADALVSTALTTLYCRLNDMESARSIFDAMPEKTMESWNAMISGYAQNGLTEMAVALFQLMQELNVQPNPTTISSTLSACAHLGALSLGKWVHRIISKEKLELNVYVMTALIDMYAKCGSIAEARSIFDRMDNKNVVSWNAMISGYGLHGQGAEALKLYKGMLDAHILPTSSTFLSVLYACSHGGLVDEGQKVFRVMTNEYRISPGIEHCTCMVDLLGRAGKLNEALDLISEFPQSAIGPGVWGALLSACMVHKNSDLAKLASQKLFELDSENAGYYVLLSNLYTSKKHYSEAAVVRQEAKNRKLVKTPGCTLIEIGDKPHVFMAGDRFHPESEAIYSYLEKLTAKMIEAGYQPVTEAALYDVEEEEKEHMVKVHSEKLAIAFGLLSTEPGTEIRIIKNLRVCLDCHNATKFMSKVTQRLIVVRDASRPMSAEQAVITVAMVYSLGCTLSCLILLNRRSPSAAKPFWTHPLSIEVHDTTFRPGILSNTFRAHSKSPAFAYMSTKLFNTKPSAPPALTASQCSLLPYPYSVDLMQALSASDSTKSSCASGQGRRRRM >Sspon.08G0005230-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:13320923:13323519:1 gene:Sspon.08G0005230-4D transcript:Sspon.08G0005230-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGGRTLGRSSFSRATSNPVASSSGAAGVKIGPNGAAFVSSGIPDLDRILGGGFLLGSVVMIMEDADAPHHLLLLRCFMSQGVVHKQPLLFSGAMKEPRLFLGTLPAPVSSSKEDGRHRAMGAAASSDGRANDEGLRIAWQYKKYFGDDSRVEHKDNKQEFSNDFDLRKPLERHLLNGQNIECVSIQDADTLSSLQDCCTAFLSKLPRKDGGSLTAGRIAIQSLCAPQCRYFEKDWDMVSFIRSLKAMVRSSNSVAVITFPSTVLSNSFCKRWQHLADTLLSIKAIPGKLGLLKFIFNEDKDLAKLLTGYQEMVGFLHVHKVAQTNSQVPVILEASTLSLKLRKRRSLVLERLNQAPVDGSSGPSSAASSSCSSQGSQLDF >Sspon.03G0026400-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:7700009:7702393:1 gene:Sspon.03G0026400-1P transcript:Sspon.03G0026400-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAASARPVLRVAAICGSLRKASFNRGLLRAVLTASLPRPTNYPAAAEVCEDSIPGLRVDELDISDLPLINTDLETGGGTGFPPAVEAFRAKVCQADCFLFGAPEYNYSIATPLKNALDWASRGKNCWADKPAAIVSAGGGFGGGRSQYHLRQVGVFLDLHFINKPELCVQAFQQPPKFDSDGNLIDAEIRERLKKVLLSLQAFTLRLQKD >Sspon.02G0018810-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:62071207:62075050:-1 gene:Sspon.02G0018810-2B transcript:Sspon.02G0018810-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histidine phosphotransfer protein, Cytokinin signaling and stress respons [Source: Projected from Oryza sativa (Os09g0567400)] MAAAALREQLNALLSSMFASGLVDDQFQQLQMLQEDGGTPGFVAEVVTLFCDDADRIISELAALLEQPIVDFDKVDAYVHQLKGSSASVGAQKVKFTCMQFRQLCQDKNRDGCIMALAVVRNEFYDLRNKFQTMLQLEQQIQAQQ >Sspon.07G0007930-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:21410859:21414512:1 gene:Sspon.07G0007930-1A transcript:Sspon.07G0007930-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGLDRWRDFFRGAGAGICDVIENAILVAAADAPRELLHRRDRIAERLFTTLRRDAAPPAPPSFGSAAASTTPATPVEEDKGSVRRVAEKECKVDSSSNVAHGGGHGHGDEDDDSDSDDERLRRAAASNYGHSYDDDDDEDDDQQQEDEQQHASDDTEEGEEDHEAEELEALTNEIDEESQIVGEVIRIKELLLHKEDHSDATLFESLRRLQLMQLSVSTLKATEIGRAVNRLRKHNSQEIRHLMRTLIEGWKVLVDEWVSTTNAALAENSPGSSNPSVVDEEEEEGLPSPPLDEGAFFATQPTSIQLSEFFDEMDEDGNLRHNESSLGSKRGNNGGRLGNHSAVARQEPPRSSPGVVEKVQSRRPDLARQEPPMTQANPQKPQSSSLQAKPHGVLNKQSNPSSYESGPGRPLKAASQQRPFGDLKPKQTREHIAIERKPMASQMDKSRLGAQSLAGAKLELAKPKVYDDGLDNNRKLEAAKRRLQERYQEAENAKRQRTIQVMELGDIPKPKHQNRQPMVKSRNNLRNWANGRR >Sspon.05G0036270-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:85176139:85179600:1 gene:Sspon.05G0036270-1C transcript:Sspon.05G0036270-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGGRQRSMSSLYRASQDDGPSRQRRSRRRREPTPPEDEADEGQEDPEQEDEPSAEANEGSAASGGPHPTCEVPPASRPDRYHFTNASDPPRWTKFVEGRGRKPNGILGLLCREHYPGIVQYAGKREPAYTFDHYTVVPDPNYPNKAARVRAELWQGKEAEAEVVSTRSCRKLVTDIIYEARIQAIVNYNATVEGRRVSKVEARGMTLSRAQYIQEMIVDRWLSEEWTAQHAEAREARLQMPGVPHHQGNRNLAEYAQAWPCTTFMAYAMSHKGKATAPETSYNPDDPPEAYSNSSVHTRLTDYTEAARKVHGADFDPASEDLDGSLVMRVGGGKKHGRYWLGDGVIDSSSTPTLSQLRAQTTSGSVPIRPRPSPSQEREERKLAESQMRSQMEARLEAQAKQMQDLITFMASQGMQVPAALMAPPPPSQNADSTPRLDGTRPGVKAGLLLRGGQGKPNGLSAKKADPLTAPFLRRGPQPDKRLSAKKALPIGFLPGQLCRELLSAKPLPRSLEPSAKPPPPVILYAMLPGNLLSPCFSSCCPPLLLQNLAVQKQNPALDSWSGDKLMRLRCANQGRRPNFPSCSTHKQRHHI >Sspon.01G0024870-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:97486291:97490735:-1 gene:Sspon.01G0024870-2B transcript:Sspon.01G0024870-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAMTAYKYQAQAMMRDYLLADPLVPYTSVLIGILLCKMAYDFTRILSSFYFKGYTSLTKIQRIEWNNRGMSSAHAIFITAVSLYLVMSTDLFSDRVKGPITFRNSIISTSALGVSVGYFITDLAMIFWLYPSLDGMEYVLHHTLSLVAIAYTMLSGEGQFYTYMVLISETTTPEINLRWFLDTAGLKKSNAYLVNGILMFVAWLVARIFLFMYVFYHIYLHYSQVLRSSFQMYFICFIQLYSNNADACLWVLPDICCAISALCNEHNVVHEDLKRCDENIGKMAMMDEAKRTPELSTGFDLSGITA >Sspon.02G0011120-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:42911212:42913304:1 gene:Sspon.02G0011120-4D transcript:Sspon.02G0011120-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDYVASLLMGSSAPALDFAALDTGFLDTLCGGAGLFGVPGIAAGAGCGGGGGGSPEGSSVSDPAWARARDDGNVRKRKAPPTGSAGGKEACLGKVRKRPAAEVKGPDGKRCRVGASDSPVKPKVEEAAASDASVEVKGQKKGKGKSPKPAVEPPKDYVHVRARRGQATDSHSLAERVRREKISQRMKFLQDLVPGCNKVVGKALMLDEIINYVQSLQQQVEFLSMKLATVNPQLDFSNLSTLLHKDMYQPCGPSANSVFPLESAGAAFPFCDQADLFQNFGSGGMEDQCSLSLLDTALPHTANPQFAFQKQQRDFWEDGLQNALPTGSEQRQEDGLLVPNFDGQLQADQAKIEF >Sspon.08G0010710-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:47073260:47077667:1 gene:Sspon.08G0010710-1A transcript:Sspon.08G0010710-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSREVTGWGRMAGGDGAAAAAAAVEKAVRCLGRGVDMTGDLRLKHCKDAGGCLVLRTDEKAAAEKVVVPGFGVVADVPADVKCGKGDRIRFKSDVLEFNKIPSGLFNSCFDLECGSWAEDASATKCLAFDGYFISLLDLRLDCRPLALADHVVRDVPAAWDPSAIASFIEKYGTHIIVGLGLGGQDVVYVKQDNSSPLSPSEIKEHLDRLGDQLFTGTCTLPPSNRKSRDHKFKVPEAFNVFDAQVTQQRLQGMITPVSCKEGVTVIYSKRGGNAAASDHSEWLQTVPTMPDAINFKLVPITSLLKGVTGVGFLSHAINLYLRYKPPIEDLRYFLDFQHHRLWAPVLSDLPLGPCSNRQGASPALNFRLVGSKLYVSSSEVIVPILPVTGMRLHLEGKKNNRLGIHLQHLSNNPTFINERSAKQPIWRGSEMISDERYYDRSSGECLPIAGEVRPSLGQRRLAVSVHRLRRPAARQGPRLTNILHLRLLYTQLPGHAVVQSKWAHNTARLSGKGSFLSKSFAASLGAGVDKEQHPARIHIDSACFAGGPPVPVGTQRLLKFVETSQVTMGPQDSPGYWLVTGAKLDVEKGKISLH >Sspon.03G0011690-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3A:31906295:31915082:-1 gene:Sspon.03G0011690-1A transcript:Sspon.03G0011690-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQTNWEADKMLDVYIYDYFMKRNLQATAKAFQAEGKVSSDPVAIDAPGGFLFEWWSVFWDIFIARTNEKHSDVAASYIETQLMKAREQQQQQTPQQRQQQPQHIQMQQMLLQRAVHQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQPQQQQLQQQQLQQQRRDGSHLLNGSANGISGNNPLMRQNQSTANVMATKMYEERLKVPSQRDSLEEASLKQRYGENAGQLLDSNEASLLKAASSAQSSGQILHGTVGGLSGTLQQVQARSPQLPGPAQGLEQLRSGILQQKSFIQNQQQLHQQIQMLTPQQQQQLMLHAQQNMSSPTSSDVDNRRLRMMLNRTAVLGRDGQTNSGSDIIPNIGSPSQSGGDIDMLIKKKLAQQPQLFQQQCNSQQLPQQHQLQQPAVSSQQSQSSNQHLQQEKPGIGSMPVDGGIPNSFGGAEQTAKKRKKPGSSSGRANSSGTANTAGPSPSSAPSTPSTHTPGDAMSVPQLQQNGGSAKPMVMFGSDGTGSLTSPANPLDDVDRLLEDGSLDDNVESFLSQDDMDPRDNLGRCMDASKAEELFVLGFGFSEIAKARASANKVVCCHFSADGKLLATGGHDKKVTLWCTDSLKPKSYLEEHSSLITDVRFSPSMSRLATSSFDKTVRVWDADNTDYSLRTFTGHSASVMSLDFHPNKEDMICSCDSDGEVRSWSINNGSCLTCVKVFKGGATQMRFQPRKGKYLAAASEKFIYILDGETQLACRSPLQGHGKNIQSLCWDSAGDYLASVSEDSVRIWSFSSGRDGEFVHELNRSGNQFHACVFHPTYQSLLVIGCYESLELWDIREKNTMTFNNAHDGLVAALAASSATGKVASVSHDRTLKLWK >Sspon.01G0062890-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1D:107867734:107868123:1 gene:Sspon.01G0062890-1D transcript:Sspon.01G0062890-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGRAGATALAGTAMWLAVRTSILRNGRWALFRISSSANWATAREAASLRIKWAEALGWRSGVFRPYTQLAAGWPSQSQVSHPSFFPSRKRALSSPAPASLLRRGDVAPPKSPTPSAPHRITAARPHP >Sspon.01G0050290-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:280136:285157:-1 gene:Sspon.01G0050290-1C transcript:Sspon.01G0050290-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVAPAPTAADQSTNLLQKLSLESKDGSDAAKKPSGMPYGSAHAGDAQSTASQVDRSITPLLQEAMDPNFFYQPNAYASPAYYFPSGYDGSANEWDSRYSGHEGMEMPPSVYGDMYHGYGYAPYGPYPSGSPVPTVGHDGQSYGSQQYQYPTQYYQQPTPTNAKHGVNGASSQPEPPSVASQQARVLVDATKATPNVSANGMTTAHNSSLPRKQTHLNVSVANNGSYGRGPMQGGGPSASNYGHSGVRSPAQWYDGPVYSNGHQIPTASSTSYRSNSSFTKSQSQRPTTNLMNSTWTSCRGRFYSGEDDVHKSIKYNVWASTPNGNKKLDAGYREAQEKSSDCPVFLFFSVNTSGQFVGVAEMVGPVDFDKTVEYWQQDKWNGCFPLKWHIVKDVPNNILKHITLDNNDNKPVTNSRDTQEVKLEQGLEMLKIFKEHVTKTSILDDFGFYENRQKKRGQSSNCFKARQGGDVSQEKDKDATNGKPGATQKQALSKEGTPAEAEANASKPLYYC >Sspon.02G0038350-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2C:46019371:46019601:1 gene:Sspon.02G0038350-2C transcript:Sspon.02G0038350-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALGRKVRELRRLVPGAAAMPAERLLFRTADYIVRLRARVELLRALSELVAVTNHGGIIGSGGHHDGDDDATRNNL >Sspon.01G0011360-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1A:31081020:31085238:-1 gene:Sspon.01G0011360-1A transcript:Sspon.01G0011360-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine protein kinase, Hyperosmotic stress respons [Source: Projected from Oryza sativa (Os03g0390200)] VFFFHNKSISYGRDPCVCVGLGRRPTGCGWATPDGKRGDTWSGYSTGTGLLRLFLLTSLARCPVPTSNSTPPRLLVLDQLTSAPLPPPPAPPPGPRRPISTPHLRRLLPLLRLAWPWPPPALVRSRAAMERYEVIRDIGSGNFGVAKLVRDVSTKELFAVKFIERGQKVIANHRPPPFFFLKNIARPPFSLPPPTSKIIFFPLLLLQRVSLVPCAYYALRSNGALVFLGSTARWGGVNTCSAAHYPAASSLLRRLIANANPSKMLGSQFCPEISAAFRSIRQTEIDEHVQREIMNHRSLKHPNIIRFKEVVLTPTHLAIVMEYAAGGELFERICNAGRFSEDEQVCHRDLKLENTLLDGSVAPRLKICDFGYSKSSVLHSQPKSTVGTPAYIAPEVLSRKEYDGKVADVWSCGVTLYVMLVGAYPFEDPDDPKNFRKTITRILSVQYSIPDYVRVSTECRHLLSRIFVGNPEQRITIPEIKNHPWFLKNLPIEMTDEYQQSMQLADMNTPGQSLEEVMAIIQEARKPGDAVTHAGHLPGLGSMDLDDIDLDDIDDIGIENSDDFVCAV >Sspon.01G0012280-4P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1C:28002589:28002849:1 gene:Sspon.01G0012280-4P transcript:Sspon.01G0012280-4P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMLRWCCGHTRRDRVRNDDIRDRVGVAPIEEKLIQHRLRWFGHVQRRPPEAPVRSGVLKRADNVKSGRGRSKLTWDESVKRDLKE >Sspon.05G0008330-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:25217823:25220940:-1 gene:Sspon.05G0008330-1A transcript:Sspon.05G0008330-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSGPPAAPTPPLLLPESSGEDGGHDSSSRAAAAAGSAPKRRAETWVREETLCLIALRREMDTHFNTSKSNKHLWEAISARMRDQGFDRSPTMCTDKWRNLLKEFKKARSHSRNSSCGGGSGAGGNGNAKMAYYKEIDDLLKRRGKATGSGSGGCVGSGAGKSPTSNSKIESYLQPILGIDDRLEDDRHPLPLTAADAVATNGVNPWNWRDTSTNGTNLHITRGDNHGSFGGRVILVKWGDYTKRIGIDGTPEAIKEAIKSAFGLRTRRAFWLEDEDEVVRALDRDMPVGTYTLNLDNGMTIKLCDGNRMQTPEDKTFYTEEDFRDFLSRRGWTFLREYGGYTNVDSLDDLRPGVMYQGLRSLAHGLIFKRAR >Sspon.05G0019220-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:82475538:82476122:1 gene:Sspon.05G0019220-1A transcript:Sspon.05G0019220-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding QCHCCQYSCSRHRRAGRPAAGCASCARPSARRTSRGRSATCWCLRGKTRQTTSTMKLGRARNLLVRVPSLSGVSPAGLGRRRRRDLAGGGFCAHTAHESGDGGIGLFENQASPSLRGHATLTTGQVFDAALHLRQKWHQLSLLSDTSLPAEGGEDLRCCRVGGMPTRSARLLIHIINCFHAPCLTWSWQDTTVGL >Sspon.04G0017260-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:10062118:10066019:-1 gene:Sspon.04G0017260-2P transcript:Sspon.04G0017260-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSHAAGTSNGGSGDAAAGGAARRNTRMPKYSKFTQQELPACKPILTPKWVVSVFFIVGVIFVPIGVVSLLAARDVVEIIDRYDEACVPGNMTDNKLAYIQNETISKECIRNLTVTKFMKQPIFVYYELDNFYQNHRRYVKSRNDAQLRDASKANQTSACEPEKTTANGQPIVPCGLIAWSLFNDTYNFSRGNENLTVDKKDISWKSDREHKFGKDVYPSNFQNGALKGGATLNPKIPLSEQEDLIVWMRTAALPTFRKLYGRIYFDLKENDTITVRLNNNYNTYSFGGKKKLVLSTATWLGGKNDFLGFAYLIVGGLCIFLAFAFTLLYFVKPRKLGDHNYLSWNRPPAGR >Sspon.08G0016370-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:64867280:64878773:-1 gene:Sspon.08G0016370-1A transcript:Sspon.08G0016370-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVTEEVAPAVAVAVAEEPAPKEAKEVVETPEMVEEGKKPDEDEGKKAAEKEKKARKPRSRKPKSAGPHHPPYFEMIKEAILSQDGGKVGASPYAIAKHIGEKHQDMLPPNYRKVLAVQLRGFAAKGRLVKVKASFKLSASEEKKASSAAAKTKKKAASALTLAKRTRPAAAAAAPAKKKTAPAAAAPREARKARAKRARMVAPAPVQPKPKEWSDLWFLIMATVTEEVAPAVAVAVAEEPAPKEAKEVVETPEMVEEGKKPDEDEGKKAAEKEKKARKPRSRKPKSAGPHHPPYFEMIKEAILSQDGGKVGASPYAIAKHIGEKHQDMLPPNYRKVLAVQLRGFAAKGRLVKVKASFKLSASEEKKASSAAAKTKKKAASALTLAKRTRPAAAAAAPAKKKTAPAAAAPREARKARAKRARMVAPAPVQPKPKSARAAAAAGKKANKASA >Sspon.02G0041440-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:75490396:75493111:1 gene:Sspon.02G0041440-1B transcript:Sspon.02G0041440-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding PMAATAAPLRRLLLRLRDPPPLPFNTLLSRLTPHLQQGPTPASATPLPASTLAQTPPGILRDALFSFHPGLQIHPCLDPVGGAPAWEEVGGGAAEVWADSVKKKRIGYKDVGLETWVSNAVAHWFIVYGLITCCKFCLAKELHVQLQPAIVGTNSLETMTEIKLNQDRARFKSRFLSNKAPD >Sspon.07G0037030-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:47822977:47824693:-1 gene:Sspon.07G0037030-1D transcript:Sspon.07G0037030-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSAHDEVTDSGAFDPSPSTFRSFVSRDSSARFAAAPGRYHLYVSYSCPLACRCLAYLKLKGLDHAIGFTSVKPIFERTKETDDHMGWVFPAAGDEEPGAEPDPINGAKSIRELYEIASANYAGKPSVPVRLPEDISSSHFFPVHSTTFIVLANALCEQVLWDKQLKTIVNNEISDIIRMLNNEFNEFAENPGLDLYPAHLQASIDEINELVYEAINIGVYKCGFAKQQGPYDEAVTKLYEALDRCEDILSRQRFLCGNQLTEADVCLFTTLIRFDEVYSVCFKCNKKLIREYPNLFNYTKDIYQISGISSTVNMEHIRKSYYGGHSPINPYGIIP >Sspon.05G0011490-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:33120958:33124418:1 gene:Sspon.05G0011490-1A transcript:Sspon.05G0011490-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRQWSSGGGLAVAVLAVLSVLCSSHPVPGGGFPLQPQFYDHACPQMQAIVGSIVAKAHTEDPRMAASLLRLHFHDCFVQGCDASVLLDADGSGRFVTEKRSNPNKDSIRGFEVIDEIKAALEHACPHTVSCADIVAVAARDSVVLTGGPGWEVPLGRRDSLTASLSGSNNLIPAPNDSLPTIIGKFANQGLDVVDLVALSGGHTIGDSRCVSFRQRLYGQNNNGQVDRSLNPAYAAELRRRCPRSGGDQNLFALDPATQFRFDNLYYHNILAMNGLLSSDEILLTQSRETMELVHRYAADQGLFFDHFAKSMVKMGNISPLTGTAGEIRHNCRRAQTDASMSQELRQVANGFDRRVKSFNGYDVNGYRFHTAIYEQIENDDEDAGDEVVNEKDIQMLERFLLGEANEDNLPSSDTEEYLDMVDSDDDTYAPANPDDADYF >Sspon.04G0015480-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4A:57947670:57950487:1 gene:Sspon.04G0015480-1A transcript:Sspon.04G0015480-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DEAD-box ATP-dependent RNA helicase 41 [Source:Projected from Arabidopsis thaliana (AT3G02065) UniProtKB/Swiss-Prot;Acc:Q3EBD3] MPDPHCNSDKRPISGVVGSALCGKSQPTGEVGVWGASISPDAPLRPTASGIRCAPRLAGKQEPLAASGASALNFQTLGAVKVRTVGALTVTVRGGQRCDPRAAGNELKDALSREKLFLGSLAVLCVADMITAKTKPAVKAAQRVNLPLGDESFCIKDINFPNIPTLADSRISSLRSKLDICVKGDAVPDPIMCFSACGLPEKLVHNLETAGYCMPTPVQMQVIPASMSNRSLLVSAETVRSQECTSNQGPLAIVLAPTRELCLQVEEQAKVLGKGLPFKTALVVGGDPLPQQIYRIENGIELIVGTPGRLIDLLMKHNVDLTYVSVFVLDEVDCLLERGFRDQAMQIFQSLSHPQVMMFSATLHSEVEKMSNSLAKNVISISCGNPNRPTKSVKQVVIWVESKKKKQKIFEIMKSKQHFKPPAVVFVSSRVGADLLSEAITVAIGLEVVSIHGEKMMKERRENLRRFLTGEVSVIVSTGVLGRGMDLLKVRQVILFDIPNSIDEYIHQVGRASRMGEEGMAVVFVNEEDRRIFKELVQVLKTAGAPIPRELANSRYTAGVYVGSERKRKLSSRSRS >Sspon.04G0032670-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:31670051:31676827:1 gene:Sspon.04G0032670-2D transcript:Sspon.04G0032670-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ssDNA-binding transcriptional regulator [Source:Projected from Arabidopsis thaliana (AT5G09250) TAIR;Acc:AT5G09250] MWGKGKKRFGGGGEPAAKRQAAGDDGPSEPAEDGTVVAEISKNKKVSVRSWKGRVYVDLREFYVKDGKTLPTRKGISLQLDQWKILRDNIKAIDEAIKENT >Sspon.03G0028310-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:12325343:12327921:1 gene:Sspon.03G0028310-2C transcript:Sspon.03G0028310-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNCGPPDQLPPASAPSCFLNLNWDHSMAAATAGDHLDPALSSMVSSPASNSTAAAATDGLALHGISPQPQYGGTPLSSPRKLNLSMMGQFHHYPPPQVGGAAPGGLPILENLMPMGHLDQFLADPGFAERAARLSGFDGGPGGSGYGGAVPGQFGLPDAGPIGALKELELGNGRDESSVSDPASASAEMALKAPSDGNAKKRKASGKGKGKDGPGSTAAKDLTKESSGKRCKSADESNGAEDNSTKGKAAQSNSENGGKKQGKDSTSKPPEPPKDYIHVRARRGEATDSHSLAERVRREKISQRMKLLQDLVPGCNKVVGKAVMLDEIINYVQSLQRQVEFLSMKLATVNPQLDFNNLPNLLPKDIHQSCGPLQNSHFPLETSGAPLPYLNQPHQGNPLGCSLTNGMDSQSSMHPLDPAFCRPMNSQHPFLNGVSDAASQVGAFWQDDLQSVVHMDIGQSQEIAPTSSNSYNGSLQTVHMKMEL >Sspon.05G0037940-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5D:28336462:28337213:-1 gene:Sspon.05G0037940-1D transcript:Sspon.05G0037940-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAKGGCSLPPFSSCGKRLGFNAALLAEATCLTGAACSSPPVVHDRGWRPSIAALLVEEETCKQENRELHLIRREEDINTWTLQAFAPEGFRGRVGGLEWLMFRWMCTIFFYLWCSSTCNHRSPSTSGHKASMASPVKPPPCPPTSSPAAPHRQIRLHLPQPR >Sspon.08G0011080-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:44309751:44312816:-1 gene:Sspon.08G0011080-2B transcript:Sspon.08G0011080-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIISDFKEDEAPQQAAAAAAAGPGVEESLVAALERSGGALPFLQAAIDVAHRRSGLFRDPSAVSKVTAMAAAVRAQVEAEERAAREAKRKAEEAERKAAAEAQKAAAEAAATATAEEKPESSVEKDSMEVDKKEEGNVRQPNAGNGLDLEKYSWTQQLPEVNITIPVPQGTKSRFVVFDIKKNHLKVGLKGQPPIIDGELYKPVKVDDCFWSIEDGKTLSILLTKHNQMEWWKSVIKGDPEVDTQKVEPESSKLSDLDPETRQTVEKMMFDQRQKQMGLPTSDEMQKQEILKKFMSEQLCKGMTV >Sspon.03G0011140-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:1373573:1378210:-1 gene:Sspon.03G0011140-2D transcript:Sspon.03G0011140-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MYPPFRGDKSKILPIKMPICSCEETIHEFEMLTRDAGRVQKDTLKKILELNADAEYLKKFGLNGRTDVESYKSCIPLCVHSDLEPYIHKIADGDSSPLLTGKPVTSLSLSSGTTQGKPKFLPFTDELLETTLQIFQTSYAFRNREYPIGRGKALQFIYGSKQVVTKGGILATTATTNLYRRARYKEGMKDIQSQCCSPDEVVFGPDFNQSLYCHLLCGLIYSDEVHQVFSPFAHSLVHAFQTFEEVWEDLCADIRGGVLSERVTVPSIREAVTKILKPNPELADLIYEKCMGLSNWYGVIPVLWPNAKYVYGIMTGSMEPYLKKLRHYAGHLPLISADYGASEGWVGANINPTLPPEQVTYAVLPQTGYFEFIRLEKPEGEETENSASIHYIESEPVGLTEVEVGKIYEVVITTFGGLYRYRLGDIVKVAGFHNSTPELRFICRRSLVLSINIDKNTEKDLQLAVEEAAKLLDAEKLEIVDFTSFVEKSSDPGRYVIFWELSSDASEDVLRSCANCLDLAFVDAGYMGSRKIRAIGPLELRILKKGTFKEILDHFLSLGGAVSQFKAPRFVSPLNVKVLQILSRNTTRSYFSTAYGL >Sspon.02G0019070-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:64154211:64155409:-1 gene:Sspon.02G0019070-2C transcript:Sspon.02G0019070-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSTDDDSPMMERFQRWKAAYNKSYATVAEERRRFRVYARNMAYIEATNAEAEAAGLTYELGETAYTDLTNQEFMAMYTAPPPAQLLADEDEDAAVITTRAGPVDAVGRGAPGQLPVYVNLSTAAPASVDWRASGAVTPVKNQGRCGSCWAFSTVAVVEGIYQIRTGKLVSLSEQELVDCDTLDAGCDGGISYRALEWITSNGGLTTEEDYPYTGTTDACNRAKLSHNAVSIAGLRRVATRSEASLANAVAGQPVSVSIEAGGDNFQHYKKGVYNGPCGTNLNHGVTVVGYGQEQEAAGGDKYWIIKNSWGESWGDGGYIKMRKDVAGKPEGLCGIAIRPSYPLM >Sspon.08G0004450-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:10828714:10848357:1 gene:Sspon.08G0004450-2B transcript:Sspon.08G0004450-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIISPSTRLKNMFTSVPVSSDSSTKLKRDSIRDEQLVQELQEPGIIDLTGDGTEQTANVAWGEGLARDGDAEEPGRAGNGLEVSDGCVAARGANTASRTEAAQRKARRSGNVGTGSGWPLRRDGRRRRRWDDGDENAAAAAAMVIGGGWGGVGRDGAEERRTDGCERRGRRDSDSGGGAARGPRPRAAPQRVEWDARDVGRMKQWHTRVGVEMEKVDGLLGCGGRAGGRQMFQSPIAAPLRRRGGDWRRGAVARDLGSAVRTGRRACMGQRALRQARFLDKAHQRLGPCPRLEASSPHALICFTLSTRKAESPLCPSSPRGSVANQSHTEPLKRRHKNLPNGKRHKPLTITGGGQEQSPTLVNASKLLQALGGRERGPQTLSTAQTPTPANPVAVDNESNGPRNEVAEEKEEVWSTPPMPHNGMSFASLDEAKGYYNSYAKRSGFSVRTNTSYRSAITREIQKVQFVCNKEGFGRKRRVAAQLVDAITCYSDNDEAEEEDSVQEEEDDQGEKRKKLDGSRGMPLTGQKTLRFTNASTAFAALAVEGCTSDENYDVLEKHIKEMRSEFEEIKKRKMANRQNTGATKGGATEGNPPKSKAKGRRKEKAHKKGMNGQAKRKNRCSDLLSDENEDGDTDQDEEDEDSEDEDSDSDHETAFDDDGNENLPPELEEEDEGIEKQDEDDTASLEESKLDATRVDKQCNVQPPVDSSTRVEAQVDDSEDLVPLKVRLERLQALKVKDKKEDTSTCSEPQPLEIIPAEPTINPKSNAQRKKEKLRLPSRDRGTTARYVIGGCKQKVVRVRVSSAGGREDPKPSLPLKLQRLAEEKEEVWSTPPMPHNGMSFASLDEAKGYYNSYAKRSGFSVRTNTSYRSAITREIQKVQFVCNKEGFGRKRRVAAQLVDAITCYSDNDEAEEEDSVQEEEDDQGEKRKKLDGCKKRKREKMVYTNCKARMVVKMIGSRWQVIYFLAEHNHDLVVKPSLKKFLRSHRGIPKPEKDFIILLHGCNLSTGRIMQLMSEFYGSAHLVPYEGKQVLNFRSTIHKIEKFKDMQETLDYFRALKEEDPDFFYKIKLDDNHRVENLFWVDSAARRAYKEAYSDCISFDATYMTNIYEMPFTPHPLEKHASTVYTKNMFYKFSKEFEKTAEYDVRPVGQFQYWKTLRFTNASTAFAALAVEGCTSDENYDVLEKHIKEMRSEFEEIKKRKMANRQNTGATKGGATEGNPPKSKAKGRRKEKAHKKGMNGQAKRKNSRRGHVAEDESLCEESEEDLLSDENEDGDTDQDEEDEDSEDEDSDSDHETAFDDDGNENLPPELEEEDEGIEKQDEDDTASLEESKLDATRVDKQCNVQPPVDSSTRVEAQVDDSEDLVPLKVRLERLQALKVKDKKEDTSTSSEPQPLEIIPAEPTINPKSNAQRKKEKLRLPSRGNFTS >Sspon.08G0005920-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:18722345:18723731:-1 gene:Sspon.08G0005920-1A transcript:Sspon.08G0005920-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLRLAAALLLLATATTSWFAEVAAASRVFNVSDFGAVADGRTDDSEAFLRAWTKACATPGRAAVVVPRGDYRLHPLVFRGPCKGYMEVHVAGVLRAPPGLGAFRGCREWVHFSSIDGLLVTGGGTFDGRGATAWPLNECPQKRDCKLLPTSIKLGLVRNATITGVTSLDSKFFHVTVVGSHDVRIHRVSIRAPRSSPNTDGVHIQGSSNVRVTDCAVATGDDCVSVGPGASDVVVSGVSCGPGHGISVGSLGRYPGEEDVRRLRVANCTLAGTSNGVRIKTWRGGSWPTAVAGLVFEDIVMRKVRNPIIIDQKYCPYASCRESVRTLISITFSIVGIQEQRPSAVRISDVKFRNIRGESATKVAVKLSCSEASPCRELELRDIDLRYVKRGVATQSRCAHVAGGVVGGTLVPPSCI >Sspon.02G0030800-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2D:92659831:92661322:-1 gene:Sspon.02G0030800-2D transcript:Sspon.02G0030800-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPNNTFGALKGYSCYLRYQVGAFDVTLPPVPAPPPAPGAQPGQPLSPGNKKLHVVQHSRIFSSHKSFIFVQDKGCNRHVRWFGLVRDHSRLHDDVPPTPERRRQRTAKLNEEDSKQETQDLFDDEFEKGTGPKRFRYGELAVATDNFSDKHKLGEGGFGSVYRGFLQEIKLHVAIKRVSKSSKQGRKEYASEVRVISRLRQLIGCLDKHLYGATNDDGVVLPWPLRHQIVLGLGSALLYLHEEWEQCVVHRDIKPSNVMLDALFHAKLGDFGLARLVDHGRGSHTTVLAGTMGYMDPECTVTGRFNTESDIYSFGVLLLEVACGRRPVVVLPNDTVVHLAQRVSELHERGRVLHAADPRLNGEFDPKEMERMLLVGLWCTQQDRNQRPSIRQVVSALRFEAPLPSLPERRMPVATCVPVPLGLLNYVPSLHVDDTTRTGTTTMYLSTTAS >Sspon.07G0028930-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:78388570:78389513:-1 gene:Sspon.07G0028930-2C transcript:Sspon.07G0028930-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRCSSKSSSPLPLAALALLLLVCSSSFHRAAAARLLVAVPLPPLIAHHENGAKAAAAAAAADGLVLESAAVVSGDELSVSSEMMGADAEEEEAGVACEEGNEECMQRRLLQNAHLDYIYTQHKGKP >Sspon.06G0013840-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:59696521:59699083:-1 gene:Sspon.06G0013840-2B transcript:Sspon.06G0013840-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSVEKTSSGREYKVKDLSQADFGRLEIELAEVEMPGLMACRAEFGPSKPFAGARISGSLHMTIQTAVLIETLTALGAEVRWCSCNIFSTQDHAAAAIARDSAAVFAWKGETLEEYWWCTERCLDWGEGGGPDLIVDDGGDATLLIHEGVKAEEEYEKTGKIPDPESTDNAEFKIVLTIIRDGLKADPKKYRKMKERLVGVSEETTTGVKRLYQMQETGALLFPAINVNDSVTKSKFDNLYGCRHSLPDGLMRATDVMIAGKVAVVCGYGDVGKGCAAALKQAGARVIVTEIDPICALQALMEGLQVLTLEDVVSEADIFVTTTGNKDIIMVDHMRKMKNNAIVCNIGHFDNEIDMLGLETYPGVKRITIKPQTDRWVFPETNTGIIVLAEGRLMNLGCATGHPSFVMSCSFTNQVIAQLELWKEKGSGKYEKKVYVLPKHLDEKVAALHLGKLGAKLTKLTKSQADYISVPIEGPYKPAHYRY >Sspon.08G0008510-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:32003013:32019282:-1 gene:Sspon.08G0008510-1A transcript:Sspon.08G0008510-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGFGAAASAAAAAERCALAICARPAASSPAKRQQQSASLRRSGEGQRRPTTLAASRRGPVVPRAVATSADRASPDLVGKFTLDSNSELQVPPRDSSEPSAAGFGVSDRPGGDQHKRFPDSALGSPLPGQEEEYEAARAELIEELNRGVSLEKLRAKLTKAPEAPASDESKSPASRMPVDKLPEDLVQVQAYIRWEKAGKPNYPPEKQLVEFEEARKELQAEVDKGISIDQLRQKILKGNIESKVSKQLKNKKYFSVERIQRKKRDIMQLLSKHKHTVMEEKVEVAPKQPTVLDLFTKSLHEKDGCEVLSRKLFKFSDKEILAISTKVQNKTEVHLATNHTEPLILHWSLAKKAGEWTAPPSNILPSGSKLLDKACETEFTKSELDGLHYQLKDNGDAGKGTAKALLERIADLEEDAQRSLMHRFNIAADLADQARDAGLLGIVGLFVWIRFMATRQLTWNKNYNVKPREISKAQDRFTDDLENMYKTYPQYREILRMIMAAVGRGGEGDVGQRIRDEILVIQRNNDCKGGMMEEWHQKLHNNTSPDDVVICQALIDYIKSDFDISVYWDTLNKNGITKERLLSYDRAIHSEPNFRSEQKEGLLRDLGNYMRSLKAVHSGADLESAIATCMGYKSEGEGFMVGVQINPVKGLPSGFPELLEFVLDHVEDKSAEPLLEGLLEARVELRPLLLDSPQRMKDLIFLDIALDSTFRTAIERSYEELNDAAPEKIMYFVSLVLENLAFSIDDNEDILYCLKGWNQALEMAKQKDDQWALYAKAFLDRIRLALASKGEQYHNMMQPSAEYLGSLLSIDQWAVNIFTEEIIRGGSAATLSALLNRFDPVLRNVANLGSWQVISPVEVSGYVVVVDELLAVQNKSYDKPTILVAKSVKGEEEIPDGVLGVITPDMPDVLSHVSVRARNSKVLFATCFDHTTLSALEGFDQKLLSFKPTSADITYREITESELQRSSSPNAEVGHAVPSISLAKKKFLGKYAISAEEFSEEMVGAKSRNIAYLKGKVPSWVGVPTSVAIPFGTFEKVLSDGLNKEVAQSIEKLKIRLAQEDFSALGEIRKAVLNLIAPMQLV >Sspon.01G0025370-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:89720097:89725619:1 gene:Sspon.01G0025370-3C transcript:Sspon.01G0025370-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AMATSPAASSSSAPTASAAAAATMAAEATDGPVLSVVSKRLRALRKKYNRITQMEESLAAGKTLNREQEEVLRSKPVVAALIDELERLRPPLASAIAEEFSSRPAPAPAPAAASSSDSDSSVQDLLALIYFGSLFDVKQQSDFVSLVYASELERSSCLTYDYVGDDPEDSLAETDLNAVSALASLAASRPPAAAGVSHRDALQACAHHARLWLRRADEPIHPDSTIIDAGVRAKLDRIMASGYYTAQLEMRPPLDLAAAVASFGAGGVQVQVQESMVVSPQAPEAVEENLAVEGHQDEKEDSQATEIYSDHQAPPVVDVEHQEDEGLVNPTDEVPSAEAEQETFDADVEDQEQKDQQFTQRRSYQNQRGGGGGRGGGRRGYPNGRGGRGGRGGGYQNGRGGGGYQNGRGGGGGYYYESGYYQPRNYNNRGRGGRSGGGNSYYNNHG >Sspon.02G0053350-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:104097347:104098425:-1 gene:Sspon.02G0053350-1C transcript:Sspon.02G0053350-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMTTMAGNTTDFEPIACTGSTDAAANVCHSVTDALIKRQSSSSSRSHPSAPAADLPRRLAISSPLSRRPPTAPPARRPRPPIIPILQTLCRAVTPATGTSHPDESYPAPRRLRAASLATRPACK >Sspon.01G0032520-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:104352065:104357125:-1 gene:Sspon.01G0032520-2B transcript:Sspon.01G0032520-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinetochore protein NUF2 homolog [Source:Projected from Arabidopsis thaliana (AT1G61000) UniProtKB/Swiss-Prot;Acc:Q8RXJ0] MASSYSFPKYEPAEIVDALHSYGIAPSPNLCAEDVENPRPGFVAEVLQLFLANFVCDDPDEQLQFQALQALDNPEHHMRALRFSRIFKRANAFLQSIQFRDLNLRDLLRADGPRVVHILSALINFLHFRQDRLSVLLPVVQEYGALDERHKELRAKIAELQKTKDDHLLKEQMEAPVVQQLEKEVNALKQRLHEYNTEQLSLRAKSKAIDEKKEETLMKASWLKLAQINQADFELVKVMQEKQKLSAKIVHSPEKLQRNLEEKKAVRDELKNLEKMALQKVQEKTNTLEMYTKVSEKLAKHLSKISAVHEKSAAAKASEKDVKAHKEKISDQNLEIKALRNKAAEWEMKDVITLLCMNILQLVLENEAKLKAKEKERDQRVGENNRKMTALKSEVESEHKCLDEKQRKIKEKIDKGSELCSQADSVAEAGRKKLRKSMGSLIKFARRI >Sspon.01G0013280-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:36386591:36388867:-1 gene:Sspon.01G0013280-1A transcript:Sspon.01G0013280-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMNKSRWCRATLLLLLSLALRGAAYLQERKNYIVHLRPREGAADGSVEEWHRSFLPQAAPRLDSAADGGGDDGPRIIYSYSDVFTGFAARLTDEEAEALRATDGCARLYPEVFLPLATTRSPGFLGLHLGNEGFWSRSGFGRGVVIGILDTGILPSHPSFGDDGLQPPPKGWKGTCEFKSIAGGGCNNKIIGARAFGSAAVNSTAPPVDDAGHGTHTASTAAGNFVENANVRGNADGTASGMAPHAHLSIYKVCTRSRCSIMDIIAGLDAAVKDGVDVLSFSIGAYSGTQFNYDPIAIAAFKAMERGIFVSCAAGNAGPDPGTVGNGAPWMLTVAAGTMDRAIRTNVRLGNGEEFHGESLFQPRNNSAADPLPLVYPGADGFDASRDCSVLRGAEVTGKVVLCESRGLSGRIEAGQTVAAYGAVGMIVMNKAAEGYTTFADAHVLPASHVSYEAGAKIMAYLNSTANGTASIDFKGTIIGSYPSPAVTFFSSRGPSKASPGILKPDITGPGMNILAAWAPSDSHTEFADGGADLSFFVESGTSMSTPHLSGIAALLKSLHPDWSPAAIKSAIMTTSDAVDRTGLPIKDEQYRHASFYAMGAGYVNPALAFDPGLVYDLHADDYIPYLCGLGLGDDGVTEIAHRPVTCGGLRAITEAELNYPSLVVNLLSQPITVNRTVTNVGKASSVYTAVVDMPKDVSVTVQPPMLRFTELNEKQSFTVTVRWAGQPNVAGAEGNLKWVSDDYIVRSPLVIPPKGE >Sspon.02G0028840-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:102984983:102989389:1 gene:Sspon.02G0028840-2B transcript:Sspon.02G0028840-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAPAAMLLALLTAALLSPAAAAVRCPEECGVVKIPYPFGIGRGCYLETAGDGGDEPFNVTCSNLDADGALQPGPIPKVAGLQVLNIDVAGGRMRVYSWVNSRCRNYTSPSTVDENIWGYDSATFRVSGTENVLTVVGCDVLAYIGTQERGVENRYVAGCNASCPRGGVRRPPSSMMANNGGGISACDGTDGCCQTTIQRGIRSFVPSFVADGEDRPDGSGSGGSPCRYAFLVEREKFKFRTSYVSNRELAGAAGKRLPLVLDWAVGNKSCLVAQKDKATYACLSDNHECVNSTNGSGYLCKCKTGYRGNPYRKNGCEFVLHLSVTMYSHTTGSSVGVVVLAVVVSCTYAVQEKKRLAAIKKIYFRQHGGLLLFEEMKQSRQGQGSSPFTLFTEKELEQATDRFDERHVLGKGGNGTVYPGDLRDGRAVAIKRCRVADDERQRRELGKEVLILSQVNHRNIVKLYGCCLEVAVPMLVYEFIPNGTLCELLHGGDRARVSPSFAIRLKIAQEAAEALAYLHGDVKSANILLDENYNAKVSDFGASVLAPSDEAHLVTLVQGTCGYLDPEYMQTCRLTDRSDVYSFGVVLLELLTRRKALCLAAPEKERSLAAHFLSSVRDGRLDALLDAGIRDEVGGEVLGMVAKLAKQCLDMSGEKRPPMREVAEELDRVRKLWRQRCFGEVAVLVSD >Sspon.01G0057430-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:7133685:7135978:-1 gene:Sspon.01G0057430-1D transcript:Sspon.01G0057430-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SNAVGKNGSPREPCDPERARVDPRPAADAVRAAEVGDDPACPRKRRRRRRRRGAAGAPADHAGAPARVLEKAASRLGTLGFIWATVVLLGGFAITLGQTDFWCITTLLLVEGARILGRSHELEWQHETTARAPVSWAVGRVFHWLQLLSASSCAALSLVRLVHQRYGGTEEARVNRHSALDIFYGLALAEALLFLVEKALWQWRVGHHRLLERVATDCHLATACGAVAVRRFFYDSYSRCLNGSIFDGLHMDLVYYADDLLTAGSHDEQRLGASILAALVESDRFADATLRKIGTSAPAIERLIEMLSWKNASEKDVRRSAAVVVFMLTGRKVNALRVTGIPGAMESVASLLYADLDELNLLGLSILNKLARDHDNCDKIGKTRGLLDRIISYSGISLRVVKRLASTTGTTGKLLRRELSDIVFTVSNVREVLQQQHDEKDVSELHRLAIEILTNLAMDEEAREMIGGTGDAVRVEAGEALAMLALDSRRNCGAIIMAFGGGVERLVEALSDPVVVISAARILRNLCTYAGDEWQLTLRGVTAGATKVLRSIMVEKTKLLNISLGLAAQMLRFMQPGELRASLATAGVTDAALARTLVLVLREYSRPSLEVPRIRLYTLELAIALMRSDARFVSLFVELGMEAEQRRVAETTSGLECFNVFSGSVGLSRRAVSVGSLVDSAMELMRQQACTS >Sspon.01G0017060-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1A:61575676:61576023:-1 gene:Sspon.01G0017060-1A transcript:Sspon.01G0017060-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARLAAVLVAFLALFAVAATAQAPAASPKRAPAPAPPKMAPLPPPPTRAPMASPPSPPTTAPVSAPSADAPATSPIGAGVGEATAPAGASALTPAAAPVTEKSAAASASAASFFV >Sspon.04G0007620-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:21647482:21655365:1 gene:Sspon.04G0007620-1A transcript:Sspon.04G0007620-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAASSSPPAAITCRAAVAWGPGQPLVMEEVEVAPPGPMEIRVKVVSTSICRSDVTAWQSKAQPDLFPRVFGHEASGVVESVGEGVTEFQVGDHVLTVFIGECKSCKHCVSGKSNMCQKLGLERKGVMHSDQKTRFSVKGKPVYHYCAVSSFSEYTVVHSGCAVKVGLTVPMDRVCLLSCGVSAGLGAVWNVANVSKGSSVAIFGLGTVAQGAKLRGASKIIGVDTNSEKQEKGMLPATLSSCTICYDPFRVNYFVPGTGKSFGVTDFINPDELSEPVQQVIKRISDGGVDYSFECVGDTGVVSTALQSCSDGWGVTVTLGVPKTKPEVSAHYAFLLSGRTLKGSLFGGWRPKSDLPSLVDKYADKEIQVDGLVTHDIPFSEINKALDLMLENKCLRCYNRSYATPPNVYSSSADLEFPEEA >Sspon.06G0013010-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:56440533:56452393:1 gene:Sspon.06G0013010-2B transcript:Sspon.06G0013010-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCVSSKQFHGGDDHGDGKPRRRPSSNSLKRLVSYSSSKRHEDLEEEDEEGVPVPATSSSSAVRRTGNDASTARLIRKPSAPVVEAVPALPEEAATLAVGAVDAERAVVAATGNRKRPPADVQVNGAAEQEPRSGGLRPEGEAKPRIRDVPNGVQGEHVAAGWPRWLTEVAAEAVRGWQPRRAESFEKLDKGANLLLDNNGILKIADFGLATFFNPNQKQHLTSRVVTLWYRPPELLLGATNYGAAVDLWSAGCILAELLSGKPIMPGRTEIGQGTYSSVYKARDLENGKIVALKKVRFANMDPESVRFMAREIHILRRLDHPNVIKLEGLVTSRMSSSLYLVFEYMEHDLAGLAATPGLKFSEPQVKCYMQQLLSGLDHCHNRGVLHRDIKGANLLLDNNGILKIADFGLATFFNPNQKQHLTSRVVTLWYRPPELLLGATNYGAAVDLWSAGCILAELLSGKPIMPGRTEVEQLHKIFKLCGSPSEEFWANLKLSRATIFKPQHPYRRCVNDVYKDFPTTALTLLDHLLAVEPGNRGTAASALDSEFFTTKPYACDPSSLPKYPPSKEYDAKLRDEEARRQRAAAKGQEAEAGRRKQLPAPDGNNGLQHRRVQVNPKSSSYKFTPKEDALSGFPIDLPARAADNGYPQRVPLMQAGRSSSTLGRSSGMDPKAQRFHTSQIITAEMSNQSTASGQRGNAPKMSNLGESARRQYLREHRSSSRYSQLTAADPSDRPEWNHQVQERPSSSHRKDDAAANKEPTVVNGTKKNIIHYSGPLMPPGVNMDEILREHERQIQQAVRRARLDKGKGKHNVERDQSEALLYTTGNIRADR >Sspon.04G0031140-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:7232213:7240849:1 gene:Sspon.04G0031140-1P transcript:Sspon.04G0031140-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding TLKRVKSDGTILHESNASISGSGHCHNEPLSASQPDVQNGFQFPTSESDSLHENEISSVCGSGVSHLRYTPTASDVLHVPRAEFEYCHDSGDSNFLDLEWLSTSGNSSDERIDRLAGIHVAHTSVSQEQRPGKPPPPNLATQKAVVATPGRVLPNLHVARPRSPGAVRCQRASRQSCTLPSICVCPEQRAARLPPRRAARLRAAASAQSSVLPGRLCLDLRTAKPLREG >Sspon.01G0028850-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:111175458:111179601:1 gene:Sspon.01G0028850-2B transcript:Sspon.01G0028850-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin-like protein HCF164, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G37200) UniProtKB/Swiss-Prot;Acc:O23166] MASVTSSSCSGLLLPPSFAGVRRSSRPSSSLRLRPRWGLRRPRTLACVAPPDSAEPQTDEQAVKAESTEEEGRTSTPQDAGLPALPNKDLNRRVALLSTLGAVALFASQRLNLSEASLKDLAANAVPYEEALSNGKPTVVEFYADWCEVCRELAPDIYKVEQQYKDRVNFVMLNVDNTKWEQELDEFGVEGIPHFAFLDKEGNEEGNVVGRLPKQYFLENVVALASGDPNIPHARVVGQFSSAESRKVHQVPDPRSHG >Sspon.06G0004310-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:13714736:13720230:-1 gene:Sspon.06G0004310-1A transcript:Sspon.06G0004310-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-prolyl cis-trans isomerase CYP71 [Source:Projected from Arabidopsis thaliana (AT3G44600) UniProtKB/Swiss-Prot;Acc:Q8W4D0] MASASETTEQSTLTSTTVDDGGAHESKREHLNGAAATPTVGQEEDEMIGPGPAPAKQRQKRPLQFEKAFLDALPSAAMYEKSYMHRDVVTHVAVSPADFFITGSADGHLKFWKKKPVGIEFAKHFRSHLSPIEGLAVSVDGLLCCTISNDRSVKIYDVVNYDMMFMMRLSFVPGAIEWVHREGDVKPKLAVSDRNTPFVHIFDTHSGSNDPIMSKEIHGGPVKVMKYNHIHDVVISADAKGLLEYWSPSTLKFPENEVRFRLKSDTNLFEIAKCKTSVSAIEVSNDGTQFAVTSPDRRIRVFWFKTGKLRRVYDESLEIVNLHTNKVSCILGKVENNERFLRIALYQGDRGNKKVRKIPSVAANVNDSKEPLSDPTLLCCAFKKHRIYLFSRREPEEPEDATKGRDVFNEKPPPEELLSVSDLGKTATTSLPDNLVLHTSMGDIHLRLYPEECPKTVENFTTHCRNGYYDNLIFHRVIKGFMIQTGDPLGDGTGGQSIWGTEFEDEFHKSLRHDRPFTLSMANAGPNTNGSQFFITTVATPWLDNKHTVFGRVVKGMDVVQQIEKVKTDKNDKPYQDVKILNGSDIVPALLGWTYC >Sspon.05G0004520-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:8255985:8256934:-1 gene:Sspon.05G0004520-2B transcript:Sspon.05G0004520-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHYHHPVSTVAYGDLEAGFSGHSSSPLKPAASPRRPGRMFCDPCDDADELHGHHHFLDICFRCRKLLSGNRDIFMYRGDMPFCSEECRQEQIEIDEAREQRLKQTGRAEEQRQRQQKQSPQRIPIWAW >Sspon.01G0009150-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:22755612:22764455:1 gene:Sspon.01G0009150-2C transcript:Sspon.01G0009150-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRAPCCDKVGLKRGRWTAEEDQILSNYIAEHGDGSWKSLRLLRCGKSCRLRWINYLRADVKRGNISKEEEDIIIKLHATIGNRWSQIASHLPGRTDNEIKNHWNSHLSRQIHTYRRKYTAGPDNTITVDMSKLHSADKRRGGRTPGRSPRSATSSSSSRKSKSKQPDPEPEPESGDAKGASSPATVTIDMSKLRSDDNRRGDRTPGRSSKSATSSSKTKRNQPDPEPEPESGDAKGVSSPATAATSAAHSDGARPGSGSEGPYSEDATGPWVLDPIELPDLWEAESEIDGLMSIGAGHDSTVTPEGLKFDKVIGEAQVDDLFDMDWDGFAAHLWGGPEQNDQSAQLQLAATEPQAAAALGCAVVDPDPNQPNSSSGSTAEGPCSEDAAGPWVLDLIELGDLWEAESEIDALWSSIGAPLEGFDAVGGEAQVDDLFDMDWDGFAAHLWGGPEQNDHSAELQQATEPQAAAAACTPDEHELEALETWLLSDSF >Sspon.08G0000720-3D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8D:1506692:1507442:-1 gene:Sspon.08G0000720-3D transcript:Sspon.08G0000720-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ASNYASHSSSSGATGTRTERAEDVLVHMQLLDRAKSSETENGLPSLCLQRL >Sspon.07G0018970-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:74098177:74099607:-1 gene:Sspon.07G0018970-2B transcript:Sspon.07G0018970-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYPCSPLIPFPTHHEESSYLLWSPQVAIPLENGNLCDGDADPSPNHQQQQDHELMNRLIQEANVLLLQDELSNGDPSLDGYDQRLGRQENGSLLLGVQEFMEESSLGDLLVAGARAVESRDSISASAILSRIDAAPVSSSDHLAYYFARGLRSRISGECRPTAGAPEPGNRMPAYRILQELSPFIKFAHFTANQAILEATADGSAVHVVDLNVGEGVQWASLMSDLARHGSRKPFRLTEAFVTADADADAGAGAHRMAATARRLSEFSASLGVPFQYSSLHVRSDEDLHGFATSCCNGGSGTSVIVSCDTTDQPYSSLTRLQLLLAGSVVKILRPKLVITTEEELFRMGRNPGAASFSEFFREALHHFGALLESLASCFRDGGYGACLALVEKEALGPRIQDAVGQYQYGPLAGGACSVELEGFRTCEMSSFSVAQGRMLAGLFSRGFGVVHGEGRLALCWKSRPLASVSVWSPV >Sspon.03G0029990-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:19320286:19325736:1 gene:Sspon.03G0029990-1B transcript:Sspon.03G0029990-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMQERLPSYRQVGGLMKNLPSVAALTAERDGEIRKAALNTLATAYKNLGDDVWRYVGKLSDAQRSMLDDRFKWKAREMDKRREGRPGDARAALRRSVRENGDFGYADAHMDRHMVTRQIPAATGPADWREALEIVALGLPEQSVEGMKVICHELTQAADPESTVLEDLIKEADRLVSCLAVMTFQIKRLAHAVKEGTLDNLITELLLWLLDERVLQSTIYEVDLDRILQSIHIYLQELGMEEIRRRAGADDKPLRMVKTVLHELVKLRGTAIKGHLSMVPIDAEPQPIILAYIDLNLQTLAAARMLTPSGPMGQTHWGDAASNNPNPSSHSADAQLKQELAAVFKKIGDKQTCTIGLYELYRITQLYPKVDIFAQLQNASEAFRTYIRDGLAQVEKNAAAGRTPSSLPLSTPPPIAAIPSPKFAPSPVHTKSIGSKTDYNEDNASGETQPFRGQGDATDQQTDRYQTSGTLDALRERMKSIQAAAIGHFDGAQARPLASMNGSNMLHGGTRLDGEPQQQSNIPPMDERALSGLQARMERLKSGSMESL >Sspon.05G0021010-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:69470582:69473638:1 gene:Sspon.05G0021010-2B transcript:Sspon.05G0021010-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLICRARLLFQLLLLLTTATPPVAAQPQSPARALDAALQDYAFRALSARPRTGIVYNATVPGNLTGIAASALRLRSGSLRRRGFPGYFQFAVPPGVLVQPHVERAVLVYHDLGNWSDRYYPLPAGYTYLAPVLGLLAYDAANLSAVGLPELSIVASGGPISVAFGGVRAVPAGGAVPRCVVFDLNGTPQFRDLEGTNVCTTYRQGHVSIVVNSSGIAPAPAPAPAPPPPPPPAGAIAPPIPAEGGGKKGSSDAWKIAVGVVGGAAALGLLAALLLCLVRYKRDKKLQLMERNAEVGETLQMAQVGRTQAPVASGTRTQPVPFLAAESLKFRNEGSFRAVSGDLDDQLRKDVIILSWSSIIKLIGNVEASCRAVSEDLGDQQRKYHDGNDEEHERSEVYFVGGREKKQKQGDTRTLAACGDGKTKDP >Sspon.02G0025310-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:95927788:95929507:-1 gene:Sspon.02G0025310-1A transcript:Sspon.02G0025310-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GTTSARAIPPPPAARRARRRRRPLPLLHLDRHLRPHHPAPHHPAGPGHPDQRAVPRPTHRLRHQRQPRRHRHQRPRRAVPPHLERHQPSGRTRGRTACRAPTAPSLQAPTTPTISRPRTRSAPSSTSPRLPSTAPRGGFGALNVYQRPAIPVPYPPPAGDFTLLVG >Sspon.06G0013900-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:59864390:59870651:1 gene:Sspon.06G0013900-2B transcript:Sspon.06G0013900-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNARRPAADSPAASDREVGFAKLQGECFEYYMQTYSIVLGRHSRRSSKGPAAPPPEADDGVDVDLGALGGGMNVSRRHARIFYDFPRRRFALEVLGKNGCLVEGVHHIPGSAPVKLDSQDLLQMGDAKFYFLLPSRSVFDAGVPRRASAVQRAIPPPPSDDDEDEDEQGEAVAAAKRRRDGDNGSKSYREADDQLLLQLEEKDVISSAATILSDLCGPKEWISMNKLHEVMFDKYGNMWHHSRVRKYLTSEDFPETETDGRPWHGLVLLLRKYPEHFVINIRKGGGFSTEFVSLVSLQS >Sspon.03G0007770-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:25983449:25984441:1 gene:Sspon.03G0007770-4D transcript:Sspon.03G0007770-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSSRSSFTAADGLLPAGMAYRQPCSGDSATSSSYFGSRPAAPFPFGTAAQLDVFECLSDEGGGAVPAAVPGAFAPPPPPLMPAERVVPDAAGYSSHARSAAAAAADEGPARRTDRIAFRVRSDDEEVLEDGYKWRKYGKKSVKNSPNPRNYYRCSTEGCNVKKRVERDKDDPRYVVTMYEGVHNHVSPGTIYYATQDAASGRFFVAGMHHPGH >Sspon.01G0003060-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:8293575:8296638:1 gene:Sspon.01G0003060-1A transcript:Sspon.01G0003060-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSAALSTSLPRSLASRTPLSSPLHARARARSQLGSRSLHRLRARAGKDDPEDLYGPYPWDQPLDLTTGFDIQWVPEDRVTLFTSDGLIQIGGSLVPRRVSASEKRQRKMKSAQKLRRFQESSYMDPNQSLCLGALFNIAATNGLDMGRRLCIFGFCRSIEMLSDVVEDTVLEHGGEVVTAEKASNDGLQEKLTMSVAVPLLWGVPPASETLHVAVRSGGGIVDKIYWQWDLF >Sspon.06G0014330-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:75749659:75752739:-1 gene:Sspon.06G0014330-1A transcript:Sspon.06G0014330-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ARAAHERIGHSSPSHQQLHTRSETPSLSSPVSKKKKISLLSSPAFCRIPNSNSEPDSFPLPHLCPPHAASGGSVRTARIDRMQQKPDDAMLEAAAEAAPKAAAVTAGPELGFWLAVRRRLAPDDPFFAAGDLERELLAKHLALDLSEDDRNQLEKMEVASTCTVFCPIASCGAHLDCLEEFEDHYVTRHTASCSVCSRVYPTSRLLSIHVSEAHDSYFQAKVARGFPMYECLVEGCGMKLKSYKSRQQHLIDKHQFPKSFEFFKKARASQRQRQKSQRRQTAHKGEETRDNSMDIDGKGARQTNWRYRPKQHDSNESKENKQKHKEAKENEMEVEQKIDELTSAVSKLSTADSTPASVTFGHRRSRGLTFVPRSIRQNKQAASQMEATND >Sspon.02G0002830-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:7663327:7664205:1 gene:Sspon.02G0002830-2B transcript:Sspon.02G0002830-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ras-related protein RABA5a [Source:Projected from Arabidopsis thaliana (AT5G47520) UniProtKB/Swiss-Prot;Acc:Q9FGK5] MAYDGDDGQSQDYLFKIVLLGDSSVGKSNLLARFARNEFYPNSKSTIGVEFQTQKLVIDGKEIKAQIWDTAGQERFRAVTSAYYRGAVGALLVYDISRRQTFDSVGRWLNELHTHSDMNVVTILVGNKTDLKHAREVSTAEGQALAEAQGLFFMETSALDSSNVAAAFQTVVKEIYSILSRKVFQSLEQKKSELQSLSNGKAVVLQGEANQTSSGG >Sspon.03G0002610-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3A:6354114:6354343:-1 gene:Sspon.03G0002610-1A transcript:Sspon.03G0002610-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDPLCAAVRSRQGWCTGTGRTPSYSCPFTIRGLVHSGSSRSLLSDAYARGNRYPDPHRKDDGLCDEFSPVCIDIR >Sspon.02G0015180-1P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6A:21706017:21707771:1 gene:Sspon.02G0015180-1P transcript:Sspon.02G0015180-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endoglucanase 11 [Source:Projected from Arabidopsis thaliana (AT2G32990) UniProtKB/Swiss-Prot;Acc:O48766] MARGIIKMIASFCAVLTLALLPFAAAGGGSGGGGHGNFNYKRALHMSLLYFEAQRSGHLPYNQRVKWRGHSGLADGLQQGVDLVGGYYDAGDNVKFGLPMAFTVTMLSWSAMEFQDGIAAAGEWRHVLEAIKWGTDYFIKAHTEPDVLWAEVGDGDTDHYCWQRPEDMTTSRKAYKVDRDNPGSDLVGETAAAMAAASIVFRRSNPHYAHLLLHHAEQLFDFGDKYRGKYDSSVSEVRRYYASVSGYGDEMLWAALWLHRATMRTEYLDYAVAMADEFGGTSWAINEFSWDVKYAGLQILAAKLLLERSHRPEHRTTLEKYKSKAEHYLCACLGKNGAAGNVDRTAGGMLYVRQWNNMQYVTNAAFLLTVYSGYLAASGAASPLQCPDGPVRAGELQALARAQADYVLGANPAGVSYMVGNGQRSPRRVHHRGASIVSHRADGRFIGCVQGYDHWFHRAGSNPNVVVGAIVGGPDHRDRFSDRRSNYMQTEACTYNTAPMVGVFAHLH >Sspon.02G0021750-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:72848022:72848481:1 gene:Sspon.02G0021750-1A transcript:Sspon.02G0021750-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSQRTLSATAAVVLVLIIVVTEMSPVGAGRALCRHLSSGFTGVCGFDTDCRTQCLDESRDNIGGVCDGFPAKCYCVTSCLP >Sspon.06G0003990-1P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6D:9709728:9710123:1 gene:Sspon.06G0003990-1P transcript:Sspon.06G0003990-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLTAIVRLMLMLAAATAGMVTDAGGTLPAGRQQARTRRSRFLLANSPSPYACSKKSAAAVCFAPGSPGATCCGGQCVDTVASADHCGGCNKVCKHDRSACCGGRCVDLLSDKDHCGACGNQCSKKCSNGF >Sspon.08G0000230-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:99743:102695:1 gene:Sspon.08G0000230-2C transcript:Sspon.08G0000230-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAAANNLPSKWNWVMLERFVFRRDDDESFPDESKAPIRASGTTSWGAPFRVAFKLADPPAISRLYAQLPGFPDPSKQTPLAILATHQHFALFRVGMQDTRGALVQDFFIYSASDADNPLKRLPPCTLPDMDNHTLISRCRRRPPPPGKVEDRRVMNIASMGFLSRGERDWQTDTVVPVHNRLCWINNYRGILLCDLFQEPTPTLSLVRFPPEEYEYPSTDIHIIASCWFYCSASAIDDGRALKFVRVIRHDGNRYGPLKTDAGGFTITCHTLLLETMVWSKDYTVTSGELWSVNPPEHLPRDILLFPQVNIDRPHVLHFLITEFRYVMKKMWVVTIDMNTRIAESCFQYIIGREDIGTEDADFTERKSSSPKSFLPCELFKFLHISRMYDLDQSL >Sspon.01G0003450-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:9509321:9509518:-1 gene:Sspon.01G0003450-1A transcript:Sspon.01G0003450-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMRAVLVAVLLMQCCNVFVAARPLLEAPAVATTADSGWLGMIMQVLQGPGGNNNNCQAPNGSCP >Sspon.03G0026500-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:3016301:3017089:1 gene:Sspon.03G0026500-1P transcript:Sspon.03G0026500-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MANKLATSLLATCFAAAVLLALAAPAVLAGDPDMLQDICVADYKSLKGRKPENVTANDFFSNVLATPGNTGNAVGSAVTSANVERLPGLNTLGVSVSRIDYAPWGVNPPHVHPRATEVIFVLQGSLDVGFVTTANRLYARTVCAGEVFVFPRGLVHYQRNNGGGPAAVLSAFDSQLPGTQPVAETLFGASPPVPTDVLARSFQAIKSKFPPK >Sspon.08G0012550-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:62295063:62296747:-1 gene:Sspon.08G0012550-1P transcript:Sspon.08G0012550-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVVLAALAAALVPLLWAALVRQVWRPYAVARAFARQGVRGPPYRFYVGNNREARAMLAAASDEALERSSNDIVRRVMPHVRAWASLYGKVFLSWTGSTPRLWAGDLDMAKRILSDKAGLYVKPDQGPALLALLGIGLVFTEGDDWARHRRVVHPAFAMDRLKSMTAAMAACAAEVIGDWEARVAASASGEATVEVGQWFTELTADVISHTAFGSSYRQGKEVFLAQKELQLMVFASMNNVVVRVPGMDHVPTKANVRRWQLERTVRGTLTAIIDERLASAKESKGYGNDLLGLMLKANAGDDNGKTQQVMSMDEIIDECKTFFFAGHDTTAHLLTWTMFLLGTHPEWQQRLREEVLRECGGAEVPLNADALNKLKLVTMVLYETLRLYGPVNIIDRQATADVDLCGVKVPKGTHLAIPFPMLHRDEEVWGADAGEFDPLRFRDGVGRAAAHPNALLAFSLGPRSCIGQDFAMLEAKVTLALILRQFAFEVAPEYVHAPAAFLTLQPSKGLPVVLRLLDPHTLA >Sspon.02G0020060-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:65484236:65493109:1 gene:Sspon.02G0020060-1A transcript:Sspon.02G0020060-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear pore complex protein NUP54 [Source:Projected from Arabidopsis thaliana (AT1G24310) UniProtKB/Swiss-Prot;Acc:Q8GYF7] MFGTPSTTPVFGNPSTTPVFGTPSTTPAFGAASSTPAFGTPRRRPPSGPPFGTASTTPAFGASSSTPVFGTPSTTSAFGSLNFGTPSSTSAFGTPSSAPAFGGLNFGTPSSTSAFGAPSSAAAFGATPSPSPFGFQQQATPSPSPFGLLGGGGAQITTQMAPVAPLPLSPSDRDIQAIVDAYKEDPGNPRYAFRHLLFSVTEPSQRVKPVAASDIMWAEAMGKLECMDSADRERLWPQLVQGFKDLCHRLKLQDEVLASDTDRLSMTHSNVKKLQRHFQADTYPWIQRLKQQELVIQRRLLRFVRIVEALENRGYRSPLTKEEADLYERLLKGPSADLSKRVNTLLSTSRLLASTGGAGGSVYIPSSAKVDERSVTELLEALQLQTEAVAKLEDIRDLEIIQSEDTDMAEDSVGRRAQKIRSKQ >Sspon.08G0010560-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:41559390:41564154:-1 gene:Sspon.08G0010560-2B transcript:Sspon.08G0010560-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGGVSYSSNVDLYRPTGPPPRAGATPSRSRSGPICPTRPSAATRSSSGTRTPPPSGAPCWASFRRGSGSGPRAWRPLPASRARLWCAERTMGLVPHSDPGVLTVLAQDAIGGLQVKQSDDDGSSRWVDVKPVPGALVINVGDLLQIMSNDKYKSVDHRVIMNTREEARISIAIFFNPGKRGDTIFYGPLPELVSSSSDSPPKFRNFTMSEFLGAFFRRDLASRALVDTSNWFNAYKLPALESGSCALKSFDLLAFIETVGNSLTELEKDITRVGKVCALSIRVRDNSCRCFFV >Sspon.08G0004920-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8A:14308544:14312628:1 gene:Sspon.08G0004920-1A transcript:Sspon.08G0004920-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASSASLEDLKNENVDLESVPIQEVFAVLKSSPHGLTSTDGASRLQIFGPNKLEEKKESKLLKFLGFMWNPLSWVMEAAAIMAIVLANGGGRPPDWQDFVGIVTLLFINSTISFIEENNAGNAAAALMASLAPQTKARPCDAAFFFFFVLRDGKWSEQDAAILVPGDIISIKLGDIIPADARLLEGDPLKIDQSALTGESLPVNKMPGDSIYSGSTCKQGEIEAVVIATGVHTFFGKAAHLVDSTNNVGHFQKVLTAIGNFCICSIAVGMLIEIIVMYPIQHRQYRDGIDNLLVLLIGGIPIAMPTVLSVTMAIGSHRLSQQGAITKRMTAIEEMAGMDVLCSDKTGTLTLNKLTVDKNMIEPFVKDLDKDAVVLYAARASRTENQDAIDASIVGMLADPREARAGIQEVHFMPFNPVDKRTAITYIDSDGSWHRISKGAPEQIIELCRLREDLSRRVHAIIAKFADRGLRSLAVARQRIPECNKDAPGTPWQFLAVLPLFDPPRHDSAETIRRALNLGVNVKMITGDQLAIGKETGRRLGMGTNMYPSSSLLKDGDTGGLPVDELIEKADGFAGVFPEHKYEIVRRLQERKHICGMTGDGVNDAPALKKADIGIAVADATDAARGASDIVLTEPGLSVIISAVLTSRAIFQRMKNYTIYAVSITIRVVLGFLLLALIWRFDFAPFMVLIIAVLNDGTIMTISKDRVKPSPMPDAWRLQEIFATGVVLGTYQALATVLFFWAVRDTQFFTNTFGVHHIGDSTEELMAAVYLQVSIISQALIFVTRARSWFFVERPGLLLVAAFLAAQLVATLIAVYAQWPFAKIKGIGWGWGAVIWLFSIVTFFPLDVFKFAIRYFLSGKQWNNVFDNKTAFANELDYGKSKREAQWAIAQRSLHGLQQPEASGLFNTDNSNDFIELSEIAEQAKRRAEIARLRELHTLKGHVESVVKLKGLDIDTIQHNYT >Sspon.01G0003060-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:6730844:6733775:1 gene:Sspon.01G0003060-1P transcript:Sspon.01G0003060-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSAALSTSLPRSLASRTPLSSPLHARARARSQLGSRSLHRLRARAGKDDPEDLYGPYPWDQPLDLTTGFDIQWVPEDRVTLFTSDGLIQIGGSLVPRRVSASEKRQRKMKSAQKLRRFQESSYMDPNQSLCLGALFNIAATNGLDMGRRLCIFGFCRSIEMLSDVVEDTVLEHGGEVVTAEKASNDGLQEKLTMSVAVPLLWGVPPASETLHVAVRSGGGIVDKIYWQWDLF >Sspon.04G0001760-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:7030292:7033958:-1 gene:Sspon.04G0001760-3D transcript:Sspon.04G0001760-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NUDT3 [Source:Projected from Arabidopsis thaliana (AT1G79690) UniProtKB/TrEMBL;Acc:A0A178WDC8] MQDSNLDLTIGPYETYEDGLFSYKATFEAFVGIRDDTATSQVKLFGDQLQDLEKNLPMDNIFKSDSISAAPIRVINLLYNSGDVKGPQTIAFNLPNDERIVNERGTSMVMLKNISEAKFKHILKPIADACIREEQKEYVDFEPYYTHIELQEFHSALEEAKADIVGLWALNFLIKKGLLPKSLSQSMYVSFLAGCFRSIRFGLEEAHGKGQALQFNWLYEKGALVLHSDGKFSVDFTKVEEAVESLSREILTIQAKGDKPSAQSLLQSRATLTQPLRVALDKIEHMQVPVDIAPIFGTANKLLANPHNAWTKPGIAQE >Sspon.01G0005740-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:15047261:15052473:1 gene:Sspon.01G0005740-1A transcript:Sspon.01G0005740-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ESYLKENFGGVQPKHSSDEALGRWRKVVSVVKNPKRRFRFTANLEKRNEATAMKRNNHVEKLRVAVLVSKAALQFIHSMIPPHLSPEFTTTFIVFFNHQSYHHFTSTGLAPLSEYKVPADVKAAGFGICAEELSSIVEGHDVKKLKSHGGVQGLASKLSTSESDGLTTSADKLATRRDVFGVNKFAEAESRGFLVFVWEALQDMTLMILAACAFVSLIVGIATEGWPKGAHDGLGIVASILLVVFVTASSDYRQSLQFKDLDKEKKKITVQVTRSGYRQKLSIYELLAGDIVHLSIGDQVPADGLFMSGFSLLINESSLTGESEPVAVNAENPFLLSGTKVQDGSCKMLVTTVGMRTQWGKLMATLSEGGDDETPLQVKLNGVATIIGKIGLIFAVVTFAVLTESLFRHKITDGTYLSWTGDDALELLEFFAIAVTIVVVAVPEGLPLAVTLSLAFAMKKMMNDKALVRHLAACETMGSATSICSDKTGTLTTNHMTVVKACICGKIKEVDGASDAKSLSSELPDSVMTILSQSIFNNTGGDVVLNQDGKREILGTPTETAILEFGLSLGGDFSAVRKASTLVKVEPFNSAKKRMGVVIQLPEGALRAHCKGASEIILASCSKYLNEEGDVIPLDEGTIDHLKATIDSFANEALRTLCLAYMEVEDGFSVNDQIPTDGYTCIGIVGIKDPVRPGVKESVAICRSAGITVRMVTGDNINTAKAIARECGILTEGGIAIEGPDFRTKSEEELTQLIPKIQVMARSSPLDKHTLVKHLRTKLDEVVAVTGDGTNDAPALHEADIGLAMGIAGTEVAKESADVIILDDNFSTIVTVAKWGRSVYINIQKFVQFQLTVNVVALVVNFSSACLTGSAPLTAVQLLWVNMIMDTLGALALATEPPNNELMKRTPVGRKGNFISNIMWRNILGQAFYQFLVIWYLQTEGKWLFGIKGDNSDLVLNTLIFNCFVLCQVFNEVSSREMERINVFEGILNNNVFIAVLGSTVIFQFIIIQFLGDFANTTPLTLNQWIACIFIGFIGMPIAAIVKMIPVGSS >Sspon.02G0059210-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2D:90267240:90267511:1 gene:Sspon.02G0059210-1D transcript:Sspon.02G0059210-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNECCWCCGETPLFLVAASALRPALPNGASTTAPTRGDRFLDRLLATEAAAQGEASGLAQKPSNLASYYGCGALMQTLEEAAMRYDVFAS >Sspon.02G0041890-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:82913689:82914473:-1 gene:Sspon.02G0041890-2C transcript:Sspon.02G0041890-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAIVISPSVGMSFDSRAEAYEFYNLHSWELGFGIRCNMTVDKSVVSQDIVCSCEGKPELSNTASVQTDCKAMKPMIQLHRSDGNCWYIQEFRGDHNHPLSGICSEHFCWPSHNHLKPYTKNLVCRLRDDNVDLSKKRHSIYEYFWGMENYKFVKGDLESLCKNISSDLSDIDSDKTIELFDDFGSLRRDDPSFMFRIELDDIEDQFNTVLWTNGRSRMQYAHFR >Sspon.07G0004890-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7A:12342342:12342908:1 gene:Sspon.07G0004890-1A transcript:Sspon.07G0004890-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKIAVAAAAALCLAALVAVAVGQGEVERQRFKDLQCWQEVQESPLDACRQVLDRQLTGGMRYGVGPFRWGTGLRMRCCQQLQDVSRECRCAAIRSMVRGYEETMPPLEKGWWGQPQGGQGGYDYPCSQAGEGYGYGESGQPMYPPCRPGTERKIARVRLTKARQYAAGMPMMCRLSEPQECSVFSGGD >Sspon.08G0006650-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:20860767:20862627:-1 gene:Sspon.08G0006650-2C transcript:Sspon.08G0006650-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding CHGHLHGDQAVRGARAAVRRHAVGDAAPGLGRPVPDAPRPRRVHAHLLLRRRREDAASAGAGRGSSRGRRRTGADEEGGDHQAEVPGRGGARRAGGRAGALLPVRGADRGGRAGAPRRAVLRRGRLLRGGRRQLHPRRRQLPGAAAAPRQGAARAVPDAGALARRAAQQPRHDSGHDLHLRRLRGGPAHQPRGGGRHGRGAVPERRRRPRPRAAGAPREAHLGPGPVPRPGHQARPAPGAAGAGAGVHRVRFPHCLPRQAQVAVRGVHGRQDLLGLRHRHRQAVAVPDARHRRRQRRRRRRQALLLRQRPPRAEAGAGLLRQRHLPRQGAGAGGEGGRLVGGRARRHGPGGEAADGRGVPELGAGPDRRARPVPDDLRLRVRVRVGLEQARVRRRGLRVRRAHVRRAAGELRPHRVGHRHEGARAARRHAASGQLRHQGARRRLRPQDARG >Sspon.06G0004090-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:12513166:12517961:1 gene:Sspon.06G0004090-1T transcript:Sspon.06G0004090-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAPAMLRWAAAALALAALLAASPAAAFYLPGVAPNDFHKKDPLLVKVNKLTSTKTQLPYSYYSLPFCKPNTIVDSAENLGEVLRGDRIENSPYVFEMGEPKMCQIICKAKIDDKQAKELKEKIEDEYRVNMILDNLPLVVAIARQDRDATVYQAGYHVGVKGQYAGNKDEKSFIHNHLTFLVKYHKDETTDLSRIVGFEVKPYSINHQFEGPWNDKNTRLITCDPHASKLVVNSDTPQEVEAGKEIIFTYDVAFEESDVKWASRWDTYLLMTDDQIHWFSIVNSLMIVLFLSGMVAMIMLRTLYRDISRYNQLETEEEAQEETGWKLVHGDVFRPPANSDLLCVCVGTGVQFFGMLLVTMIFAVLGFLSPSNRGGLMTAMLLTWVLMGLFAGYASSRLIRCSKDQNGRASP >Sspon.06G0001490-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:401643:402803:-1 gene:Sspon.06G0001490-3C transcript:Sspon.06G0001490-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding WVEEPTLLVTRFEYANLFHTITDWYSAYVSSRVTNLPNRPNVIFVDGHCKAQLEETWEALFSSVTYAKNFSGPVCFRHAILSPLGYETAMFKGLSESFSCEGASAESLREKPDYEKTARLSEFGEMIVASFGLLQDDIMSSKKSNGLNVLFVRREDYLAHPRHSGKVESRLSNEREVYDAIDKWAQGLKCKVNVVNGLLPT >Sspon.06G0035220-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:69555088:69558891:1 gene:Sspon.06G0035220-1D transcript:Sspon.06G0035220-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEDERSGGSGEDRISGLPDEDRISGLPDELLHDILVRLRSAQAAGRTSVLSRRWRHVWAHLPELHLVAPPRVPELRFVAPPAAAPASFLDTVDGALAGYLAPTLGHLNISLKQRDVSITAGRVAPWLQFAAEHVVGEIHLYVPPSPPRFPPSPPRLFEPEVVEDEAVLKLPACEGAKQIELSLTDEWRLRLQASGLFTALTSMKIVCGRMKGSELSSLVCTQCPSLRDLTLILELIVVSDVSFHSNSLYSVEFLVRNTRRLEIIAQKLEELTVHYHPMEAHISAPKLADVVWRAHAYDPHLHRFTDVGRSLRYLEISTTSLVPSLVKQFDEVDELKLDISISEWHSCPPSCPCRLEENHKFDGIALSSLEEVEISDFTDSLENMELVGFLSCNAAILKRLVINYTMFDAPPLTKEVCEKSGVPLWNEKS >Sspon.06G0025040-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:61047586:61054162:1 gene:Sspon.06G0025040-1B transcript:Sspon.06G0025040-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MENYHMLFGATTTRAQPSSATPNSYNFMATAAGTSGGGLRDHDRGQHSGHGGGSSSSFFAELSNNTDSKDGGAPVAADSGRGESSAAAGEVDRPAARRKGEKKERRPRYAFQTRSQVDILDDGYRWRKYGQKAVKNNKFPRSYYRCTHQGCNVKKQVQRLSRDEGVVVTTYEGTHTHPIEKSNDNFEHILTQMQIYSGMGSTFSSSGHNMFH >Sspon.02G0011360-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:30436909:30441328:-1 gene:Sspon.02G0011360-1A transcript:Sspon.02G0011360-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MERESRSGMLSETASCAGTPRSVQSTCSLQRRYSSRSILKPQEGALDMSPRFSYCKPVTHSRDKMFNRRHSLNLPEQLPGHYSRKATERTQKATSKSVADLVREIAALEQEVIRKELHLLSLYRRAFDQYVSESCSFTSEQVDQEILKNIDEGALRLRDIKHSAAFNLPTVSNSEVSKSGARHSSLVNFLSASISEYVPTISCKLSEDILGCIAAVYCKLSSTESQGAECMASPSPSVSSSSTFSPRRRNDSWSPRYNFDSPRPCGLQKESNEQNIGLIVIPRIHIDADKFDYASKMLETIRSLIQRLEKVDPMKMTHEEQLCFWINIHNALVMHAFLAYGLHDKRMKSTDMILKAAYNVGGQSVNAQTIQNSILGCQSHRPSLWVRALFTPTKRSAAGTARHPYALHHPEPVAHFALSTGAFSDPPVRLYTAKKIQQQLEAARTEFIQGSVVVRKQALLLPKVLHYYARDAALELRHLVELVCESMSDAQQKQLQQHGLRRRVDKCVEWMPYKSSFRYVVHRDLAD >Sspon.01G0052650-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1C:24971854:24974505:-1 gene:Sspon.01G0052650-1C transcript:Sspon.01G0052650-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AT4G30480 protein [Source:Projected from Arabidopsis thaliana (AT4G30480) UniProtKB/TrEMBL;Acc:Q9M0B2] MVVIELEPEEATRPSSPAAEEQAAAAAGGKAARPSSSAAPGEAAAAAGGEASRAAEEEEEEAFEDALTDEQLREKARSQANDAKAEGNKLFGAGQYDEALSQYEMALQIAAELESAEDIRAACHSNRAVCFLKLGKHDETIKECTKALELNPTYLKALLRRAEAHEKLEHYDEAIADMKKVIEVDPSNQQATRSLFRLEPLAAEKREKMKEEMIGNVEFMQTQISAVMLYCFKKHSVMKCEVLRS >Sspon.02G0008200-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:24306790:24323171:1 gene:Sspon.02G0008200-1A transcript:Sspon.02G0008200-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DKVNLSVAIIPMSHQYGWNSSTAGLVQSSFFWGYALSQLPGGWLAKLFGGRLVLEVGVVTWSLATAIIPVVAGFHSLARTIKSSCCCFWWFELWKCLRVHSLARTIKSSCCCFWWFELWKCLRVLLFAPPIIQNLGWESVFYIFGLLGIVWCLGFESLKVQRFGDKEGLLNLGQSSAGSDGLISSAMSSESSNSSLEDLQNSLKVSVLPPLGSMIITSIAAPFADNLISNGVDTTKESAYLCMKKKGITNTVGAVPGIVGVALTGFLLDSTHSWSISLFVPSIFFYLTGTAVWLAFASSEPQDFSKSGPDS >Sspon.04G0032710-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:31104434:31109404:-1 gene:Sspon.04G0032710-1C transcript:Sspon.04G0032710-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPGKETAAAPPPLPQETVPHAPAAVAEPRLEADTPISASQDTETAGGGTATLDSALPKAESPHRAPPPQETVAVAAVGAPEQTTTEADGQPPVATMSQKVAALPPPAETPAQESAEAAAPTPPPPRKQEGGDPKLASSVHEEEKGASKARVAEGEEKPARRRWRCLRAAVCLLFLRPKSGETKPATAATPPGDKQPVSGLEEKKPTPGEMPTPQKDSGSAVRVPSKPEAGKGEGETKPAALDGKRPEPGQEQVATLPPPAETSSQETMAMAAEAEAEKQHAAPTPQQPPRKQEGGAGALEQAPSVQEEKGAAPAPAAAKRQEGEEKPARRRWRWLQAAVGLLFLRPKGRESKGRRDETQAGKTTPQLEGEHPSLKKFRKAGRLVQFLMSWYNKHRSHRRNNWPREEVRLEHILEEAFTRLLATEYTKELSGVRQKCLLTFSVFELASEVKKQAMIYWWVAEFNLRHRSDPPAPHAARAPPAAGGAGRSDSPAAAPQGKGADAGRRDEDAEGIFFMLSDHGFLVPIKNWCSKVIHGCQVNPLVHWMLKRQARGDRFAELDDKGSPADLQLNSSILCLTAGNRPVLQKMRMEDESQSQPQQQVQARKKDESQAGRKRKVTKEPSLQRKGSRGAQNIKPSSQLEYVDDIPPLFEGKRVILNVNAHVYPISKFAFLNLAECLVVLQLGRWNNLDDKTYMEVDGLDSRNAIGLLKNLRYLGLRGLSRLTELPKGIETLKKLVILDMRGCQNLVKVTASVISQLKQLTHLDLTECYMLEHIGRGITFLTELQVFKGFVFATGTQGKKACRIQDLKKLKKLQKLTVSITTDANVGKSEMEDLKYLTSLRKLTITWSEIPSILEGDTEKVKKKRKDLVERWTSFQLPKDLMKLDIRCYPKEKLELEWHEKLEKLYLRGGDMERFSTKKSTSIKTLRLRYLQNFKMGWDEIRSELEKIEYVEIVVNKDATQEKDNDDVVKHIDEEVVKSLMKIMKIPDFTLDRHGVWTKDEKEEHNQKFHATNKDVAGTDKGQDDATGKNKAGPSEPTKDSSTETASDITNVNKDGDKATTKEQAGPIFSKDI >Sspon.02G0031260-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2B:108134509:108134994:-1 gene:Sspon.02G0031260-2B transcript:Sspon.02G0031260-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding KFEVQTPVGPITTPPATPRRSPPHPSAAASRSVRAPPSSGQARVEIRPGPSPLAHPLVGECSFRTPDPLRLPLCSLCVSSLRFCPSNPEKSLSPSTSPLGLFPAAAPAQSDSAIIRVGSSNSPRETKPAEVRGGAVCLPEILGIPFNPVSLASFRLGILVVG >Sspon.03G0002080-1P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7D:14553871:14557695:-1 gene:Sspon.03G0002080-1P transcript:Sspon.03G0002080-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKYEAVRDIGSGNFGVARLMRNRETRELVAVKLIERGHRIDENVYREIVNHRSLRHPNIIQFIEVILTPRHLAIVMEYAAGGELFDRIVDRGRFSEDEQICHRDLKLENVLLDGSPAPRLKICDFGYSKSSVLHSRPKSAVGTPAYIAPEVLSRREYDGKLADVWSCGVTLFVMLVGAYPFEDQDDPKNIRKTIQNLPRELTEAVQLSYFRRDNTVSAFSEQTTEEIMKIVKEARTLPKSLRSGYGYSEECSDEEEKEEESEPKEEEEEDECDKRVREVRESGELDMTSLHI >Sspon.06G0029740-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:32678704:32685364:1 gene:Sspon.06G0029740-1C transcript:Sspon.06G0029740-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDQDHMAIDDEQTAVDQVEDDDEKDLEPPTKGKKLASAVDLRAINPEPMTPPPVEKKATPIVVHRMTNFEPAKPASPEKKALPAVGRRIPKTEPASSPPVEEYEEIQGRPSQPSRSQARMQNIGLIYLGLRFLRKSILNRGENVECDDEGIGERCYLVGEEEAIWLLRLVLPYINEVLLNLRSLFSGEPATTMKVGKFWLERLRDAWESCSHKKAVVAAVFTLVWNVSSTVARVWAVFMLVVAMKCYQQRMVEFGWSSSTVEDAQESAADEAIGEEPPAKHRAQDEPQQEFGAPVVPRHRRAPVSGEFARERLRVRGGIQPR >Sspon.06G0017750-3P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:84240732:84243812:1 gene:Sspon.06G0017750-3P transcript:Sspon.06G0017750-3P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPFLSSSSLLLSPMAAAVAVVAVSIILSATPPVAAAAVEHTFVVSQVNMTHMCNEIPVTVVNGQLPGPTIEVTEGDAVIVHVVNKSPYNLTIHWHGVFQKRNCWNDGVPMVTQRPIRPNGNFTYRFDVAGQEGTLWWHAHDAFLRGSIYGALIIRPRNGAAAYPFPKPHKEIPILIGEWWEKDLAAVDRNFSRGLYDEFSSGSTINGKLGDLFNCSGVFEDGYVLDVEPGKTYLLRIINAALFSEYFIKIAGHKFTVVAADANYVTPYTTDVIVIAPGETMDALVVADAAPGRYYIAAQPIQAPPPDTQTPEFATRGTVQYQHSGGATNSSRDNVVAVAPEMPHQHDTIKSFYFHGNLTGLRHRQRARVPARADERLFVTLGLGSICRHGRKSCKRGDEPESNQVIANMNNVSFHDATATPILEAHYYRRGEAGTAGLPDHPPSAFNYTDPALIPFGPEEMRLEPTSRATVVRRFRHGATVDIVFQSTAMLQSDSNPMHLHGHDMFVLAQGIGNYDAATDEAKYNLVNPVRKNTVLVPNLGWAAIRFVADNPGAWFIHCHFEFHLAMGMAAVFIVEDGPTPNTSLPPPPPNFMEGRP >Sspon.07G0020210-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:75116596:75116841:-1 gene:Sspon.07G0020210-1A transcript:Sspon.07G0020210-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGGPSAAGGGAAAAAPKDSWPELVGMSSEEAKKKIKEDKPGADVQVVSADAFVTMDYNTGRVRVFVDSNDKVARAPRIG >Sspon.01G0031040-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:108015025:108019069:-1 gene:Sspon.01G0031040-2B transcript:Sspon.01G0031040-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nudix hydrolase 16, mitochondrial [Source:Projected from Arabidopsis thaliana (AT3G12600) UniProtKB/Swiss-Prot;Acc:Q9LHK1] MCDLVARTGRHQQRYEDGRRLVAGCIPFRYRANSDETSGDKTKKLVEVLMINSQSGPGLLFPKGGWENDETVEEAAAREAIEEAGVRGDIVHFLGLYDFKSKTHQDACCPEGMCRAAVFALHVKEELVSWPEQSTRQRTWLTVPDAASRCRYQWMQEALLTGFSDWHDKWSRGGGGTNCDPA >Sspon.02G0035610-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:20453796:20456408:1 gene:Sspon.02G0035610-1B transcript:Sspon.02G0035610-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MCTDDVQPSLIKQEELGKQLEEALFCDSKNRLVICVTGEGGVGKATLVRELYERPTTKSKQFECQAWVSFPPYLSSSSILQLIHQELEETDNWCPRKEVDKKLQKILDGKRFLLVIDGEVINSDWNAILGALREDHNGSRIVRIMQGIHKRPRGIAAKNWIELKCFDTKKTTSLFNQRVCMEEKIEDQIKIENFDEVLHGITKGLPLAIVLLSGLVQTKEYPNEWQAVFEHLKSKKSKRLDSILAMCFDDLPHDLKSCFLYFAALPMNTPVEARKLVCMWMAEGFLRPKDGKTMEKVGRIYLKELVMRHLVKLVKTDNAGGRDEFVAVHHKVHEFLQHEAQEANFVDIHNGDDIPSLATTRRLSLQNYTDKYAALSSSLPKLRSILSNFQEEVEDDEEEEDNEESEDDEEDEDNEESEYEEEDSEEEGDIGAEQKVEGDGDGEVEEEGEEDENNEIVLCADNENNGEVEGSHEITEEGGKNETSEEAKQEVMPSTLPLSNLLQCWVTPLSGLLRCCGEQDSPPESTNLYIKEMLQVSKFLRVINLQGIEIGESLPTTIGNVAHLQYLGVTACSLKYIPSTIENLKNLQTLDVRDTFVYKLPEAFWSITTLRHVFGDGLFLPKQVGDLKHLQTLESIDPDMDNGWDSNTFEKMVHLQSLHVWDSKDNGVNAEALSTVIDKANFLEHLDTLTLDVNNIPLSVFTSSSLRRLRTLELEGKLDMLVLRSKEKESKFHFPNLSFLSLTKTEVSQDFINKLGKLPSLTTLILDTDSYNDDQDQLVFLAGGFASLTKLILSDVEILKLEIKKFALPELIELEVEWYPEDIKIEVYGERGFAKKIEEEDEYLYGRITQVPIAPKKIGQLPSMKQRVAS >Sspon.02G0030390-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:95189187:95190011:1 gene:Sspon.02G0030390-3D transcript:Sspon.02G0030390-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AMRYGEVAHFSHPQHRLRLEHAETPFRCDGCREVGIGARFRCPYPGCDHDLHRQCALPLSPPPPPLRHPFYPRCAFVFLARAPGGPGARYCNACGRDVAGYVYHCRACGFDLHPCCAALPHALDAGGGVRLYLYPDSRAAGVPPCHRCGHRGRSWSYRSQCRCYSLHVACVMDMLVESWHGVGRHKGAAGGGGGVNVYDGGMVVPGSGGYRVPAIRGAAKSSHASSGGGGYSYWGRKKGKVKRCCEIAGFAAQVVISAVLGDPTALIAGVIGSLI >Sspon.02G0031800-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:116476394:116476765:-1 gene:Sspon.02G0031800-1A transcript:Sspon.02G0031800-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VTYQVRHLPSPLAVLHQPTDHCHGGSSTYHPRPKACQGVFDFIPLPEGITGAESLVFDRRGPNLLT >Sspon.01G0004720-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:10789882:10800989:-1 gene:Sspon.01G0004720-2B transcript:Sspon.01G0004720-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPLAIRIVDALITLYNELEISSLRQQQTDPNFQDIPTQSWYPPSVVGSSSRPSTPTSSSASPHQRPSDNPQSSSRGQPSPAEAAGIIARLKDKSIEELQRLLKDKEAYNAFFNSLDQVKTQNNCTIIRTSELAAAQDRLTDLERQKDDIMRSYSPAALLDKLQTSMAKLDEESEELHQKFLEKDIDLATFVQKYKKLRTAYHEQASIY >Sspon.06G0011230-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:61056093:61059099:1 gene:Sspon.06G0011230-1A transcript:Sspon.06G0011230-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSMTIGAKYKTTIKDPGTPGILRMVRANLPSPSLPLRAALPPLPLLQARADNVESTDPKTLAEALDPREYFDSQQANALRSLGGSNDGRKAPLDGYPGIATAFLVIVQRVKEAMTQIYQKLQLIKESTA >Sspon.01G0011380-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1A:31106284:31107091:-1 gene:Sspon.01G0011380-1A transcript:Sspon.01G0011380-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAAASKLLEVAAATCESEGEEGRSRLGPRSDRKGLHTSLPYSSSHAFSTCYATAISASLSLVLPSLGRPDVGHSCAVLSHRRLYPARHAPPSAISSLARVTFDQSRVGLVRRVKSYIVRAEPTGLTRNKVTLLFLALRLSLLASLNANQWSEVRRCRLVVALTEALPNTP >Sspon.03G0016200-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:71710437:71712603:-1 gene:Sspon.03G0016200-3C transcript:Sspon.03G0016200-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding KMITWVWSNVAWNTSDAEVAGEKVHSSSDRLAALGRMNHREFLIIVYGNDFAVIAYQGQVQRSKGKAKSLRLPQPPALKEGVQLRKQSSASVSALLSMGRHSCCYKQKLRKGLWSPEEDEKLMNHITKHGHGCWSTVPKLAGLQRCGKSCRLRWINYLRPDLKRGAFSQEEEDLIIELHAVLGNRWSQIATRLPGRTDNEIKNLWNSSIKKKLRQKGIDPNTHKPLAEVEHSKAAPTISTERTSESSDVDPSSGGALGNLSHLLSETAQSPELLPVLGKHRKETTSLAHLRVPPKELFLDQLVSGHENLTSCRPTGPIPNFPFQQLMCYNNEFGNKNGGSNNSFWFNQNESSGSTISTVMPPVSPSTLSTSTGLNRSPDNPHSGGTGLQNTQFYWETANPSSSSSRGSSGSNGLGFELQSTSSLLESSVFPWTDLTPDKNSQVHLEEELKWPDLLHGTFTDTPATMQNLSQALYEDVIKAESQFNIEGLCAAWSQNLQPQQHL >Sspon.02G0012310-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:26778181:26781396:-1 gene:Sspon.02G0012310-2D transcript:Sspon.02G0012310-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFVLCKMRVQASCGLVVSVVDPQPGETIVDCCAAPGGKTLFMASRLSGEGKIWALDINKGRLRILMDAAKLHGLDDMITDIHADLRLYARADLRWNRQFEDLEELMCLQDELLDSASMLVKPGGILIYSTCSIDPEENEKRIAAFVQRHPEFAPQSVCGYVPTEFITDDGFYSSNPTKHSMDGAFAARLVRSSH >Sspon.07G0021830-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:4726048:4727184:1 gene:Sspon.07G0021830-1B transcript:Sspon.07G0021830-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AMGRRRFRLADMMPNSWFYKLRDMRRPRGHLPAVAGGGAASAAMLPSSSPPPQRGARSATRPASPRRGSVALPHRTSYYYPTRDRELPAPQPRDVATEEDRHRQLLPQHQQQSLPSCSRRGHRVGAVRVGRGLEALAGAHDAHQSRRRDMYVGRDSSDEEDVCEVRRPAVIAPYSVSCKVIASETDIVIDLGSGGTADAERVLPPIVARPAMREVVRYELKDRHVDVDAADTTAAGGSSASEQGSKSHPRRPSVSVGRRLRTRVNSPRLASITTRSSRKSSSKPTTTPGASPRNKTTTPAPPPAPLAESFAVVKSSADPRRDFRESMEEMIAEKGIRDAADLEDLLACYLALNAAEHHDLIVEVFEQIWASLAVAGANP >Sspon.05G0027470-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:53666604:53667014:1 gene:Sspon.05G0027470-1B transcript:Sspon.05G0027470-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding IGLTDHNTCTARRTGGRSWSGMDCRPATIHHSTSRAIRRPTTRSASSGARFFGKDTHIIYPGTGGANPVMHHVLPLSVPLQVAAAAIWTIKDLLLH >Sspon.01G0004290-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:11679800:11683062:1 gene:Sspon.01G0004290-1A transcript:Sspon.01G0004290-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSARDRETAEALVRLAASLDGAVLGLGTAAVAVASWVKYLAVSGQLRLVASAATASVADARSLLPDDGDEPRIAAVRGYVRTQGSFLRAPFSGEAGVVVKHTQMCLFTEWRGIFGWTFDLHALLFRSWKEQIVTSFRSVFHLHSYNIIIRITFASILTVLLFRKVPFVLVSTELGNSTGVVHVNVDEADYQPLPLTTVFHKLIPLETTPYTLFQTIIGNGYPIALLDEEKILPIGKKITAIGLCRAKNAESVEITSCPEIPFFLSELTKDEMQAQLASRARILFWGSIVLGTLSVCLVGHAIYRGWKRIKLRREARQAQQMFEDAEDAIREDNSSGDDDDEVGDGQLCVVCLRKRRRAAFIPCGHLVCCCKCALRMEREFKDLGIFCRLIVTSSAVEVWVLQKITLLVVMNTMLFQLYGNLAPDDSGVRAPGPLTLASG >Sspon.02G0000770-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:4411506:4415007:1 gene:Sspon.02G0000770-3D transcript:Sspon.02G0000770-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRAPRRQSGEARRGAYKSRVDFSRSRRRREDDLLALRRLDRDAGLFKRRRDEPAPAVPASDPTPAPAEAAAPAGTTRPTLTPSSPADVAAPRNAAESELEGLSELVDKLCSDDTTSQLEATVQFRKLLSDEKNSTVIKIIRADVLPRFAEFLSRHGLPQLQMEAAWVLTNIAASDYTLLVAECGAVPRLVELLGSPNANIRHQAIWCLGNIAADLPSCRDILFDHGALTPLLSQFREDMKIPVLRTAMWALSNLCFGKLPAEVQVKPILEIISQLIYSADEKILADACWTVYYICGGKGDAVQDVLDAGVCPQLVNLLMHASANVLLPVILALARISAGDDKQVEVLVENGILNCLAQLLARNYPKNIKKQACLIVSNIAAGSKDQIQAVIDADIITPLVVLLRTSETDIKTEAAWAISNAASSGSSDQIQYLVSRGCLEPLCTVLTYSDPDLVYTCLEGLENILQAGEAGKKGEESGTNPYAEFILECGGLEKLEDLQDVDSDRIYELVMKLLQSYWEEEVSESDDPNIPGSNDSSDTVEATSEDAAQPPLPPPSGADEAE >Sspon.05G0000940-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:2232816:2235567:-1 gene:Sspon.05G0000940-2D transcript:Sspon.05G0000940-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin X, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G50320) UniProtKB/Swiss-Prot;Acc:Q8LD49] MASAPSTTAPTLAPSLRTPVSSAPRGARNPAALRLSRPQPGPAGCRAAPGRLARPRARVRCGAAARFIGQGEFPAEVLESELPVLVDFVADWCGPCRLIAPVVDWASEEYNGRLKIVKIDHDANPQLIEEYKVFGLPTLILFKNGQEVPGSRYEGAMTKDKITLKYAFASETWTETMKTQAASGFLLP >Sspon.05G0036370-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:86145707:86148063:-1 gene:Sspon.05G0036370-1C transcript:Sspon.05G0036370-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SMWGGTIAARSGICRRPRWRAPGDVDVLTGLKIVKVEEIVWRGGLKALVGWRPEAAMLRYPFQLPENSEVEGRYERFAVHRDDISTTI >Sspon.03G0040650-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3C:31276029:31278813:-1 gene:Sspon.03G0040650-1C transcript:Sspon.03G0040650-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAAATPALRRRPLSVHKESNGTAAATGAAAARVGRAGHAEELGEPVDSSARLVEDFYIVVVIGTSTPVNLAAARAGIEAQLARYPHFRSIQVKDDASSEDGHRRWVPTTVNLEDHIIYPKLDAAAVARDPDRAVEDYVATLSTLPMDWSRPLWEFHVLDFPTSEAAATTASACTTRSATACRCSRSSWRAPGAPPTRPGCPPCRRRPPRARARYGSGRGPRRPRAPWRSAWVWSFAVLAWHTVVDVVAFFATILFLRDPHTLFKRVSHGEHQRKRLVHRGLSLDDVKFVKNVMNCVGEADIKKEIRVRSILLVNLRPTTSLQAKKVVDRKKSSLEVVFTHLAAEVILKIFGLKAARATFHRMISQTTISFSGMIGPVEQALTVNFQSYVNTMMVNLAVDEAQFPDSHELLDDFVESLRLIRAAASSLGKNQRND >Sspon.01G0036700-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:19420186:19421472:-1 gene:Sspon.01G0036700-1B transcript:Sspon.01G0036700-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEQRRMNEKMEQRGQQHEAGHRDTKGGENPGMKNNSLCDLPPSTRNNIFIMVACHASAPIMAKAFKIGAEQKRDPAIQPRFRSPSTPPVVPVRNNIEIQISPEHTQIRSLQARKLCSLVSLQADSLGLAGAGGLAEESNVFIVRLAESDGGHEAVRRVSDDDWWRQVNCVGWPQVAGN >Sspon.07G0002000-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7A:4942469:4947632:1 gene:Sspon.07G0002000-1A transcript:Sspon.07G0002000-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSVGISPAMCGRGRTSSSPSRDGGPWMGGQTALIEPGNISDDRGLWRRANNTWRQAAHAVSVGPSRSLGVCLPAPPRIVVIPRRPRCTACQRRVPRLLLFPTRQEQENGGASDDGAVRPGGRGFYDEHATILVRWPMNARGEGSEAINLLTRMRWHINVNGVHGVGYEEQGAAGSKEWLAVVSSCGSDCKPTRYVVAPYESTPAPTSIDDDDIDTPSLYFYTPIIIHSIGQQRQYTASESEDARVTDATPAPGQIWCTCLLRPRATDGDVRTARAIRSGQASPMPPPTPRPRAALAVTSSARARPTSATARAAEKHGHGRGLPLPHRGSARVGASERDAFAFRALFRCQIWQPKSAYGIWEENWETEQGLNKPRHHPRRSLSGAGRWRKQRTKQLRQCVNCRDPSVASHSPRRHGSPASLLLLSDFRVREARGRGGNNRSRYFGALAFFLRWHPVRPVPAGTKTIGANCQSRPQGFHFTIELGSIRAPAVDVSVRPSGVLLLIRPDVRTLALSGWSSDACTRVVLDAPRAYIRSRASESCPTTHDSIPSQLRPCVKPAALLPTLRRHPRRTPVIRRGCPTGTGRRRRHRGEAAEGEERMQHSIYF >Sspon.04G0016550-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:60870699:60873264:-1 gene:Sspon.04G0016550-1A transcript:Sspon.04G0016550-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCFGNPSVIQNIFSRPSTFDGSQRMYTLDEVVELHPPQIWLNVQYNSFFLEHKLSSEDYILELPKDTLSYISSPEVEFLKSLGGKLKKAKTKLIFRFLNENIEEPSTKKTYGELLKDLKAIKEFAAGILVPKTYIWPVEKDQYLAPSSTSLVKDAHALGLEVYASGFANDIVLSYNYSYDPTAEYLQFMDNSDFSVDGVLTDFPVTASGAVACMAHSKGKPLPPPGKSRPLIITHNGASGMFAGSTDLAYQEAIKDAADIIDCTVQMSKDGTAFCMHSADISSSTTAGTAFASKASTIHEIQNKSGIFSFDLSWSEIATLKPAALISPFAQAGLQRNPLAKNAGKLMTLPQFLDLAKASNITGILIEIEHASYLAKRGIGMVEAVSSALTKAGYDKETKQQVFIQSDDSSVLEAFKKFTTFRRVLNIEAKISGASKPSVEDIKKFADTVRIHRNSVAQITGYFMTHFTDTVGSLQAANLTVFVGVLKNEFMNLGFDFFADPTIEVATYAFSVVADGIVTDYPATASSYFRSPCSDMKLNLSYSILPAQPGALVNLAAPGMLAPAGAPAPLLQPTDVVDPPLPPVKAVIAADAPAPGAADNTSSAFNSNAGNGLLWAGIVALLSLTFLH >Sspon.05G0007840-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:24425639:24427563:1 gene:Sspon.05G0007840-1A transcript:Sspon.05G0007840-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPERGLIAYEEPAPESTDLLSSAWCSSAIQVLHTGPKECSMALVEHPVMALGNDRNDILAKSDRSLVVDNSSFSTTQWKYDDLKSWIWLQKAIHPELDYDLCLKKKWALKISELGVQLPRKMPPWNGISLKKWVKERKQKRKEEARLQRAEVHAAVSVASVAAALAAIAAENAAPPRAPGMRETAVASAAPSSRRSAPRSPRPRVPHATRTLKNTCFPVWHVTALRGAATLRGRRSASGGGHGQNERAEHSGSALSHDDLDFDFNHARSRAALAKGDEMFVAMPDGKWKLHTVSAASNKRGEIVLRIKKTNLVMAFSHAKE >Sspon.08G0011810-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8A:50967302:50969725:-1 gene:Sspon.08G0011810-1A transcript:Sspon.08G0011810-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSQGLYQPRHQDLDCSIDGAKGSGNASSSPENAHHGLLLGGLLSPDFDAATCLSRYDASKRWKPSPFPVSPYLIQKLRQYESNHRRCGPGTANYREAMAQLMSGRNGDRAECRYVVWFPIQGLGNRMLSVVSTFLYALLTGRVLLVHEPPEMEGLFCEPFLGTSWILPPDFPYRDGFWVGSNDSYLSMLENNIVRYDDGSGGDASALPPYVYFHLEQIQLRLPKHTFCEEDHRVLDRFNWMVLRSDSYFAVALFLMPMYRAELDLMFPAKGSVFHHLGRYLLHPGNRAWGILTFEVMYEQITRCTREHDLLPQVTDTSEPGARPSNGTAAKVKAVLVVSLKPEYYDKLHSVYYTNATATGEVVTVYQPSHDQDQHTEARAHNERALAEIFLLSYSDRLVTTGFSTFGYVAHSLAGQRPWLLTLPDRTTQRAAVACVRPASVEPCLHSPPSLVCRAEQNLDPVAHLPFLRHCEDVDAGGGLKLFD >Sspon.02G0015910-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:43767459:43773051:1 gene:Sspon.02G0015910-1A transcript:Sspon.02G0015910-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQRLNGKEPFFTLKNLPPLQKASRYPAGCPTTYDYIIVGGGTAGCPLAATLSLRYKVLLLERGGSPYGNRNVSYMENFHIGLMNMAPDSPSQAFISTDGVINARARVLGGGTCINAGFYSRASSSFIQEVGWDEDLVNKSFPWVEEKIVQWPKIAPWQAALRDGLLQAGVAPFNGYTYDHVSGTKVGGTIFDETGYRHTAADLLAAGDPDNLRVLLHASVHKIVFDSRQGRLKARAIGVQFTDENGRHHQAFLNSNKDSEIILLLLSGIGPKNDLKNHNIPVVLHNKYVGKGMADNPMNSIFIPTRSPPRQSLIETVGITEEGVFIEASSGFGQSSESVHCHHGIMSAEIGQLSTIPPKQRTLEAAQKYTHNKLNLPKEVFHGGFILEKIDGPLSTGHLVLTDTDVRNNPAVTFNYFSHPQDLNRCVYGIKTIERILKTNRFSELSADGAGLSMERVLNMSVQANVNLIPKHTNDTESLEQFCKDTVITIWHYHGGCHVGKVVDQHYRVLGVSGLRVVDGSIFSRSPGTNPQATVMMMGRYMGVKILRERLGRAAGVYPETIIAKLDVKTLCFHQLGKIT >Sspon.01G0015620-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:46124698:46130655:-1 gene:Sspon.01G0015620-3C transcript:Sspon.01G0015620-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LTLARAACGDDQLVIFDASGGLLNLSVNGVLVQDRVLACHKLGFYFASGCLRCSNLSDALRGAVKQYCDMPYHTLHQDVPRKLLRRPTENSSRNDYDPCGRFGLNENNQDTGDSSEKQDHLLAVPGVILFCCGLMLPCFHAERKEASRHDTTTSQRNAIESVSSYEVSMSSEKVPPTPHRIPPSPSRFAPSPQIARVGSVDLSIQQILRATQNFSSSFKLGEGGFGMVYRAVLPDGNVVAVKRAKKDQFAGPRDEFSNEVDLLAKIDHRNLVRLLGFTDKGNERIIITEYVPNGTLREHLDGQHGRVLDFNQRLEIAIDVAHALTYLHLYAEKTIIHRDVKSSNILLTDSYRAKVSDFGFARSGPSDTEKTHISTKVKGTAGYLDPEYLRTYQLTPKSDVFSFGILLVEILSARRPVELKRTPDERITIRWTFKKFNEGNTREILDPLLEDHVDDEVLEKLLSLAFQCAAPTRDDRPTMKEVGEQLWEIRKEYGKSIRKV >Sspon.01G0033220-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:111691487:111693279:1 gene:Sspon.01G0033220-1P transcript:Sspon.01G0033220-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQFLRGSGGGGGGGGFGGTAWEVLRRHFSRKRAVDVRRINPKVPKEEAVAISERLLQILSDNGPLTVGNTWNHAKDAGIAGLNSKTHMKILLKWMTGRNIVKLTCVHVGNAKKFLYSPFTESSAEALSAAAAETSNKASAQGGRGKAARGQPKKQAAAALQ >Sspon.04G0015080-2P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4B:60321821:60324428:-1 gene:Sspon.04G0015080-2P transcript:Sspon.04G0015080-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWVEGLGYELLLNAIETFKANVVLEKLWKMLKDAVQSKSNIDVVKLHKSEGVVLRNSKYRQKTRSFRIREYFYGIANDLAPHSNIVNFSDVSVYKIGGGHQAPRSALPIGAEPVADPTRLVAVNISTDMIHTVLAVSYAKEPDEIISSNIAGFIHVTDVDIQRKKLTYIAPCPGDLPSRLLIASSLTWYEA >Sspon.07G0021290-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:2417541:2418885:-1 gene:Sspon.07G0021290-2D transcript:Sspon.07G0021290-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MENLKSIGDSVIANTPNLVPKEYNKTVFTSEVSHGAADELLNGAIESYSVPKDPSSLDTVSPAKGTDVEAPSLSDNAQGSLTPDVSEDGVEKDTNLEESNTEMSVQFEDTHSVGLKLDHVTFISVLTAYSHAGGVELRLRYLNANKGYRLEPEKSTTFNHEAEELIARDGVVWTSLLRACAAQGTKESGKPWGSGAHGSMANLYASKGQ >Sspon.05G0013150-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:41758854:41761051:-1 gene:Sspon.05G0013150-1A transcript:Sspon.05G0013150-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:At4g28530 [Source:Projected from Arabidopsis thaliana (AT4G28530) UniProtKB/TrEMBL;Acc:Q8GUJ6] MGLREIESTLPPGFRFYPSDEELVCHYLYKKVANERAAQGTLVEVDLHAREPWELPDAAKLTASEWYFFSFRDRKYATGSRTNRATKSGYWKATGKDREVRSPATRAVVGMRKTLVFYQGRAPNGVKSCWVMHEFRLDSPHTPPKEDWVLCRVFQKRKDSEQDNGGSSSPTFAGASQSQGVLPDQPSMMDASYVVDQPGSSVGFAPPPQENLNLGGSLDALLMNGAMWQYSSSSVFDHFPQQDVISSPMMGLGSRGGGGDGCSFFYDSGFEDMANIGGM >Sspon.03G0020430-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:63644160:63648051:-1 gene:Sspon.03G0020430-1A transcript:Sspon.03G0020430-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding HMITWEATNLTPPILSCAPLSSFPLQEAKSNLEAPLPLFPIRTQSNRPFAEMGRKFFVGGNWKQNGTTDQVEKIVKTLNEGQVPPSDVAEVVVSPPYVFLPVVKSLLRPEFQVAAQNCWVKKGGAFTGEVSAEMLTNLGVPWVILGHSERRALLGESNQFVGDKVAYALSQGLKVIACVGETLEQREAGSTMEVVAAQTKAIAEKINDWSNVVIAYEPVWAIGTGKVASPAQAQQVHASLRDWLKTNVSPEVAESTRIIYAGSVTAANCKELAAQPDVDGFLVGGASLQPEFIDIINAATVKSA >Sspon.07G0004830-1P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7B:11542495:11543744:-1 gene:Sspon.07G0004830-1P transcript:Sspon.07G0004830-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAKAKPRKKLRILLMPFFATSHIGPFTDLAFHLVAARPQDVEATVAVTPANAVLVQSYLARRGAGQATVKVATYPFPSVDGLPPGVENQSTVKATDAWRIDSVATDEKMMRPGQESLIRERSPDLVITDVHFWWNVDVATDIGVPCMTFHVIGTFPTLAMFNLSHAAGAIDAAGNGQVKRAYFVGPLLLPSPPQVAAGTYNSRCIDWLDKKPPQSVVYLCFGSLTHISKAQLGELAFGLEAFEKPFLWVIRSETWVLPEGWKERVGDRGLVITGWAPQNVILAHRAVGAFVTHCGWNSVLETVVAGVPVLTWPMVFEQFITERFVTKVLAIGSGCGQRVLGEKHKV >Sspon.01G0043450-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:74794546:74795795:1 gene:Sspon.01G0043450-1B transcript:Sspon.01G0043450-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVLVAVDDSDGSRHALAWVLDHLFPAAEQQHEEEPQPPPVLVLVHAQEPLRHVMMYPVGPGSAVYGAPSMMESVRAAQAENARNLLDRANRICHRRGVSSEGVVVEGDPREALCRAAQDMGAGLLVVGSRGLGAIKRAFLGSVSDYCAQHASCPILVVKPPHDNEHDHRATT >Sspon.05G0003040-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:9038898:9040692:1 gene:Sspon.05G0003040-1A transcript:Sspon.05G0003040-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVGQAAAYLTAAGGGDDGWAASAVRVVVCFLAMALTTAVWAVLMLLLLPWPSQRIRQSNVYGHVTGRMLLWILGNPIKVEGAEHLNTRGIFICNHASPLDIFLVMWSAPTGTVGIAKKEIIWYPLFGQLYVLANHLRIDRSNPAAAIHSMKQVARAVVKNNLSLILFPEGTRSKNGRLLPFKKGFVHAALQTGLPVVPIVVTGTHLAWRNNSVRVRPVPLTVKVLPPIGTGGWEEARVDEHVEAVRSMYVCNLPDSQKPLDALVARKSD >Sspon.01G0016720-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1A:57678766:57680368:1 gene:Sspon.01G0016720-1A transcript:Sspon.01G0016720-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding QNVQNTLVSMLSLLSPVAGERTAARALLSPVANRQAIHAPPSPCALLAANPTEARPPSRSSLAASRTRRSSGRCSWGRAHRVLRLPPPLAAAACATLLPPSAAEFLPTNLPALLDHKLTLGAYLAIQRGGGGPDKDPARTPSFALLSVWDATRSLHLHLRLRATRTRTRNSDWPQTTSSADTAYSTPMLCQAVEATRNKERAPAAATRHRTCAPARHATGRAPAHPAPRERAKEAHDLDVAEDEEAVHGAQVVVRGQRREVYIGASGRGEVQEAAARGASGAVREDVTGHRSQAALGSGEASPRGSIATEPASYSSTPCSRSQLEIWPSSPWPRLDQMWRRAMVGWGAVGVRGALGRGVAGPVGARGQEKPLILSVHVINILARRAAEPLQSSPTSDNCLSSGQGEESWRFPSIYAAQ >Sspon.01G0003010-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:5216573:5221498:1 gene:Sspon.01G0003010-3C transcript:Sspon.01G0003010-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSGAAHNPDGGGGAQATQRPPPPPPPQAAARTALTTPPPVSGGAAHSASTSGGSAGSPPSSRSEQHAPDGAGKGPALEAAPAAAAASTPASDSTFLRLNNLDINGDDAPSSQAPTSKKKRRGTRAVGPDKGNRGLRQFSMKVCEKVESKGRTTYNEVADELVAEFTDPNNNFEAPDPDNPNAQQYDEKNIRRRVYDALNVLMAMDIISKDKKEIQWKGLPRTSISDIEELKKELVGLKGRVEKKSAYLQELQDQYVGLQNLIQRNEQLYGSGNTPSGGVALPFILVQTRPHATVEVEISEDMQLVHFDFNSTPFELHDDSYVLKEMRFCGREQHDGAQESTSNGAESSSMSNIYWQQVQHLERPNNGTVRLPSSPPIPGILKGRVKHEH >Sspon.02G0019380-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:62919606:62923522:-1 gene:Sspon.02G0019380-1A transcript:Sspon.02G0019380-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMVNSVKPTMIMSLRKFKQAKPMWGYLKKRYVQDSSALLHNLMQQIHDIEQADMSIDDYYSAYDRLMGSLTSMVPECTADDCPAHKFIEKFLTYRFVMGVKPEFDSIRTRLLHNASNLTMDQALAELLAEETRLKSLSSFSSMTVSHSVLAASQRNTVPKGTSSEPCKHCGKTTHISENCFSAHPEKLAEYRARRAARATRGRGTSSIARGGAAASPIGASQPVVSIAAASPIGASQPAWVLDSGASFHVTSDQSQLASSKPITGGASIQTADGYSPEHKGYRCYDPSTRRIRISRDVTFVEDRPFFYNPSIQSSSSSTESTSFLSLPPILSNDDVPCSTSSPSHDHPPILAPQSSPPRPPSCKPPITHVYTRRSTNSIEPPSNPSSSASPDTPVLVDSNASDESQVRPRYNLRDRTTIAPPDKLGFPRASAILDEPSTYHEASLIPEWQAAMSEELAALERTGTWDIVSLPPHTVPITCKWVFKVKTKSDGSIERYKARLVARGFQQTQGRDYDETFAPVAHMTTVRTLIAVAASKSWNISQMDVKNAFLHGDLHEEVYMQPPPGVDALQDMFAVFVAPYMVLNRLPVLGIEVFHSSKGYYLSQSKYVHDLIARSGISDNRTAATPMDLHLQLRPTPTDGTPLEDPSRYRHIVGSLVYLTVTRPDIAHAVHILSQFVSAPTSVHFGHLLRVLRYLRGTSSQCLFYARDSPLHLYAYSDSTWASDPTDRCSVTGYCIFLGSSPLVWKSKKQSAVSRSSIEAELRALATTTAEIIWLRWLLADFGVSCDAPTPLLCDNTGAIQISNDPVKHELTKHIGVDAFFTRSHCHQKTIALQYVPSELQVADLFTKAQTREQHRLHMLKLNASHPPPPP >Sspon.05G0005240-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:16610860:16613712:-1 gene:Sspon.05G0005240-1A transcript:Sspon.05G0005240-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDTSFKASSAKRKKAGGAKRGLTPFFVFLAEFRPQYLEKYPELKGVKEVSKAAGEKWRSMSDEEKAKYGSSKKQDDKASKKESTSSKKVKTDGREGEKAAKSEVEDDDEQDGNEDEE >Sspon.08G0000260-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:1325678:1330055:-1 gene:Sspon.08G0000260-1A transcript:Sspon.08G0000260-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAEVDPSPTTASDLPNGSKTKAREADRRRRRRKAKKNKSAAEADAQDADGGASASDAKENADPNPEPQVEVEIEYVPEKAELDDPLLDDFKAIFEKFTFKDADAAAAEDDKKDEGATDATKKGSDDDDDDEDDEQEANKKKEGGLSNKKKKLERRMKIAELKQICNRPDVVEGKRGIEKQPFQLPDFIAATGIEKIRQAYIEKEDSKKLKQKQRERMQPKMGKMDIDYQVLHDAFFKYQTKPKLSSHGDLYYEGKEFEVKLREMKPGMLSRELKDALGMPDGAPPPWLINMQRYGPPPSYPQLKIPGLNAPIPPGASFGYRPGEWGKPPVDEHGRPLYGDVFGVLQQDEPNYDDEPVDRSKHWGDLEEEEEEEEEEEEEEEELMEDEEMEDGIQSVDTISSTPTGVETPDVIDLRKLQRKETEKPAERPLYQVLEQKEERIAPGTLYGSSHTYVLGTQDKSSALKRVDLLKNQKSDKVDVTIQPEELEAMDDVLAAKYEEAREEEKLRNQKEDFSDMVAENAHKRKRKQEKEGKSKKKEFKHLKHRVAVPNMVVL >Sspon.07G0014640-1T-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7A:52540391:52548970:-1 gene:Sspon.07G0014640-1T transcript:Sspon.07G0014640-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFGPSDFNPTTCNHPSELNPEKAETHHFPNYSVNAAGRRLTRRAAPRPALASSSWDGESMRPPRATSCGAAAQRSANRQPASRRPRTRGAGAGPRGSTSSANRRGRAGGDRPRCGAAVPSSFLVDVPIGRRAVGLFQSTQKYPSPLAARGPRADVARARTRSSQSDTNPCDEPPAGRVGDVTDSRAGPGRGVASLCPAPPLSGLSGGGSHACTAPHRSRVRRPSPSPPRYKPWTSPSPLYRTRPTASPTNQRHESAAHARADERSHRPTRTDRSATRALAPAAIGGSPDPTQERARVRLAMDAGDDAVLQYALAAIADESAGAGEAAAALAALCDVLAVSGPDFILAIPHAGLAARLPALLAGGSGSGSDCGGDVPLLAARAIAEACEAAPEWASRFARHGAVEALRDRLLAVDCIALAEECLRALDAISLACPDECLRQGVAAAVLQFFDFFSTNKQKVALQIVSNIFTDYDEVNVLKAMEAVPALCNLLQYSDRMILESAISCLALVAAGASGNAKHMSKLCESNVVEVTMTLMDNEGWKSLSDATLTGILGLLKSLVGVSAKAVKSLFEFNFCELLKQMITYYSYLHHDSDKVQILVELIYHLMPPLETSGQHAKLVTAKRKLIMRQSRYMNQLASIVALIVQVAKSAALSSICYRCVVVIGKIVELSTPNFLMELQKTVNLSSIENDAVMALAEEIKKNFFSEKQSKKSPHRFGFTIKSVRDFFARLNVYALTHPAENPDSCKQLSDLSRRLLSDELPVTSTFEFVQSGSIKCFAVYLSNGAYNADLNDGPVLEQLSKISRESMMIPLRYPEAQKPTSLELKFRRSQKERELRNYNDVLSVDLFSTPDTTEPILFPEVFRRTDQEPTSKNTNQEKVANGSRKSVESKNDDGNTSSRLRFLYNGVTLQPSVTFFESILRLMNKGQSNLLIDPSFWEEEHNITYRKRNKSKEISSQSSYYTRLSDVQENLQRAWSKDPFFTAILHGKLPGDLDVSDLSYNLLFMLKVLEGLNRFSYQLLMDEQINKFAEGTLQDINDLEVARYAVPQHHFVSSLLVNKLEVQMQDALFEDGLILSWCVYLVETCPFLLSFDTRWKYFCLTVHRSFVPDQVNSSPEQVHNILNQVNGHSDQVKSPPQSKKYRVARSAILEGAVSVMTSHGPSSRIIEVEFEGEVGTGRGPSFEFYTTASHELQRAGLGMWRGDSGEHGFIHAPFGLFPKPWSSSGTSSQGVDFTNMLQKFKLLGNLVARAVLDGRILDIPLSKAFYKVMLEQELDIYDIPLFDPELGKTVIEFQALVSRKKFLETSSRASNPKADLSYKNVKLEDLCLDFTLPGNPEYQLVTGGSEKLVTLDSLDEYVSLVVDATLKSGIAKQIEAFKSGINEVFALKALKMFTEEEMERILCGEQDAWALKNLEDHMEFEHGYDMSSQAIIIFLEILREFGREDQRAFIQFSTGAPQLPLGGLASLDPKLTVVRKQCDGNVDDELPSVNTCRHFIKLPPYSSKRDRVEDGNGEALLTAGLREVRHGVGVGGEKRCSGAAGGTSRRWQCHPRDRLGLGRWVTAVAVNLSL >Sspon.05G0031090-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:3126887:3129699:-1 gene:Sspon.05G0031090-1C transcript:Sspon.05G0031090-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLKQKRLEQKKASEISGDTRAWNSFYMRQDTVVENIARKNGISKSELLDREADDLAVRIALGETHVIAETKKFLSRDTPLYLEWAPENILSPTSAPVDEEEKNEVGERIVTKANIEQTVEGVSAEVIDPDRVEVKKHVKKGKTVSMGFGFVEFDSVETATGVCKDLQGTVLDGHALILQLCHGKKDGQAAKKNGKDKSSTKLLVRNVAFEATEKDLRQLFSPFGQIKSLRLPMKFGSHRGFAFVEYVTKQEAQNALQALASTHLYGRHLVIERAKEGETLEELRARTAAQFVDEHSGFQSLSRKRKQSSLVDDGSVKISRIVQ >Sspon.05G0001490-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:4831587:4835202:-1 gene:Sspon.05G0001490-1A transcript:Sspon.05G0001490-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPSSPSASAPSSAGERWPPLESTPEVFNQFMWSLGVPEDAVEFHDVYGLDADALDMVPQPVLAVVLCFPDPPQRAVFLEKDDAMARAHSLAANAGVTEPTMIILLHQDVVPVIKAIMCNIPNSINFNLMPMALFSSSIQYSF >Sspon.08G0001900-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:2660321:2664686:1 gene:Sspon.08G0001900-2B transcript:Sspon.08G0001900-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPASPWPTPRSVRQASQLHAVLTTSGRIAHRPSAEHLLNSLTNCLSAPRHLRYVLSLFDRLPRPTTFLHDTALRACLQASAGADYPVLLLRRMRRGGVRTSAFTFHFVFRCCAAGTGAGLCRMLHAACLRTMLPSAARIVANPLIHMYASLGLTDDARRAFDEMPVKDAVVWATVIGGLVRWGLLDEARRLLVQAPERNVVSWTSLIAGYSRAGRPADAVYCFNCMLSDGVEPDEVAVIGALSACSKLKNLELGRLLHLLVGKKRMRMTDNLVVALIDMYAKCGDIAQAQAVFDAVGRDQKPEPWNAIIDGYCKLGHVDIARSLFDQMGARDVITFNSMITGYIHSGWLRDALQLFMQMRRHGIRADNFTVVSLLTACASLGALPPGRALHASIEQRIVEEDVYLGTALVDMYMKCGRVDEATAVFHRMGERDVHTWSAMIAGLAFNGMGKDALESFCQMKRDGFQPTSVTYIAVLTACSHSSLLNEGRLHFNEMRSLHKLHPQVEHYGCMIDLLARSGLLDETMHLVQTMPMQPNAVIWGSILSACRVHKNIDLARHAAEHLLKLAPEEDAVYVQLYNIYIDSRQWVDAKRIRMLMEEQGVKKTAGYSSITVAGQVHKFGVNDQLHPRTLEIIMMMEEIARRLKSAGYSPATSRIAVDVDEEEKEQALLAHSEKIAIAFGLISLPPNLPIHIMKNLRVCEDCHSAIKLISQLWNRKIISQPLRGKTAEPVRPPCSGDRRPPSALTSPAFDPTWTVAALHVLDTGEPEYISASDKELEVDEKVPPSTGNKVLKADVAQFNADQILRPPASESLRFASEQLESLGMNGSSCRHPPRTRLRRLSDARHLFVKLSTLILRLHHRFADRGVPLLVRLGFADHGVLLTTAFVGMAFTIQFVLEFAHLGLHLFVGGVLAFARARELLPVITAVIAAGRVGSAFITELGTMEVSEQVDTLRVLGAHSDACA >Sspon.08G0016530-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:59587431:59607015:1 gene:Sspon.08G0016530-2B transcript:Sspon.08G0016530-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATAVHPSYAQNSPQDYLTPHNNARAAVGVGPVAWSTKLQQFAESYAVQRAGDCRLQHSGGPYGENIFWGSAGADWKAADAVRSWVDEKQWYNYATNSCAAGKVCGHYTQVVWRATTSIGCARVVCRDNRGIFIICNYEPRGNIRMSFLRSLADSFSSLLFSSGGEAAPMDAAGAAPSPAAVVGERVAVKLRGYFDLAKEEIDKAVRAEEWGLPDEATAHYRNAMRVMLEAKAARVPDAVSSSERGQVRVYQEKIAKWQAQVEERLRVLGQRSGEGATAAVVPKKVAASNPIGRTARTAPNSIQRSPLQNSPTFNRGGQASGHQKIGSGASRPVQKAGGSYDDKLVEMINTTIVDRSPAVKWDDVAGLDKAKQALMEMVILPTKRRDLFTGLRRPARGLLLFGPPGNGKTMLAKAVASESEATFFNVSASSLTSKWVGEAEKLVRTLFMVAIDRQPSVIFMDEIDSVMSTRLANENDSSRRLKSEFLIQFDGVSSNPDDLVIVIGATNKPQELDDAVLRRLVKRIYVPLPDPNVRKLLLKNQLKGQAFKLSNYDFERLAAETEGYSGSDLRALCEEAAMMPIRELGPQNILTIKANQLRPLRYEDFKNAMTVIRPSLQKSKWDELEKWNEEFGFMSPTWWLTTQVVTHTPIEASSLGLGSTTSTEAEEILDIYLMAMIDEPLYPIAVLIDELKNEDIQLRLNSIRRLSTIARALGEERTRKELIPFLSENNDDEDEVLLAMAEELGVFIPYVGGVEHAHVLLPPLETLCTVEETCVRDKAVESLCRIGAQMKESDIVDWFIPVVKRLAAGEWFTARVSSCGLFHIAYPSASDQLKTELRTIYGQLCQDDMPMVRRAAASNLGKFAATVEQNHLKTEIMSIFDDLTQDDQDSVRLLAVEGCAALGKLLEPQDCVAHILPVIVNFSQDKSWRVRYMVANQLYELCEAVGPEPTRADLVPAYVRLLRDNEAEVRIAAAGKVTKFCRILNPQISIQHILPCVKELSSDSSQHVRSALASVIMGMAPVLGKDATIEQLLPIFLSLLKDEFPDVRLNIISKLDQVNQVIGIDLLSQSLLPAIVELAEDRHWRVRLAIIEYIPLLASQLGVGFFDDKLGALCMQWLEDKVFSIRDAAANNLKRLAEEFGPEWAMQHIIPQVLEKINNPHYLYRMTILQAISLLAPVMGAEITCQKLLPVVINSSKDRVPNIKFNVAKVLQSLVPILDQSVVEKTVKPCLVELSEDPDVD >Sspon.01G0045090-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:80778304:80788609:1 gene:Sspon.01G0045090-3D transcript:Sspon.01G0045090-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fructokinase-like 2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G69200) UniProtKB/Swiss-Prot;Acc:F4I0K2] MASLLLPPQFTCSLRPYHRTRGQLVHYKTNILGKDMTKSTVRLLNHSANFASRTSQDAVDGLSDESDGESSTKKKSAPRRGRKKATAEETKVVKRRGRKKAAVAASSEEEKDKAKEPKKRGRRKLKIVEESSDDDGGHRSKDLMLYNEGEDQTQLLNSANVLESKIESVLHEDIGDVDDLIPLVCCFGPAKYSFIPSGRPANRLIDHEIHDRMKDMFWSPDKFVRAPGGSSSNVALALAAIGGRVAFMGKLGDDDYGQSLLYHLNINGVQTRAVCMDPSAPTAVSLMKVRTEGSLKTNCVKPCAEDCFLQSDINPAVLKEAKMFYYNSSALLEPTTESSLLKAIDVSKKFGGTIFFDLNLPLPLWSSSKETKLLIKEAWEAADIIEVTRQELEFLCGIKPSEKFDTNDNDKSKFTHYSPEVIMKLWHNNLKVLFVTNGTSKIHYYTEKHNGWVRGTEDAPITPFTSDMSQSGDAIVAAIMRMLIINPHLVTDKVYLHKAVKHAIKCGVIDQWMLARERGFLPKERADPTSEQYEVRSITEKEYRTLPDDMQSENSSTSELAYVE >Sspon.07G0012370-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7B:49920152:49921670:1 gene:Sspon.07G0012370-2B transcript:Sspon.07G0012370-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVLSPTRFPAFYGCRCCESLGTPHLSPFMKPLGSNYTHGVNFAMAGSTATPGVTTFSLDVQVDQFVFFKERCLDLIERGEAAPIEEKAFPDAIYTMDIGHNDINGVLHLPYHTMLEKLPPVIAEIKKAIERLHKNGARKFWIHGTGALGCMPQKLSMPRDDDRDLDEHGCIATINNVCKKFNTLLSEALDELRLTLKSSTIVFVDMFAIKYDLVANHTKYGIEKPLMTCCGHGGPPYNYDPKESCMTSDKYLCKLGEKFISWDGVHFTDAANGIVASKVLSGEYNIPRVKLTSLLPKAKSDD >Sspon.02G0025970-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2A:90200148:90200594:1 gene:Sspon.02G0025970-1A transcript:Sspon.02G0025970-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTGRFSTESDMYSFGVLLLEVACGRRPVVVVQDENENTVIHLARRVLEMHGRGEVLDAADPRLDGNFEARQMERVLVVGLWCTAHDRSLRPSIWQAVRALRFEASLPTITNGHEPPPVIGWLASVPSSAFISEEDDMATRSMHASLGP >Sspon.06G0031970-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:75590290:75608775:-1 gene:Sspon.06G0031970-1C transcript:Sspon.06G0031970-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLAFAIILLGCIPNSCCVATEFQVEALVEMKMQLGDNRGLLGNWKDNQMSPCYWEYVNCQDNKVTTITLSSSGLTGTLSPSIAKLTALQQLSLEGNYISAEIPPELGNLSNLVSLNLGRNNIRGSLEGNYIFEEIPPELGNLSNLVNVHQELEIEGSSSNFMIFDFSQVLEATNGFSVENKLGQGGFGPVYKGQFPDGLDIAVKRLASHSGQGFREFKNEVQLIAKLQHRNLVRLMGCCSQGEEKMLIYEYLPNKSLDFFIFDETRRSLLNWSKRMTIIEGIAQGLLYLHKHSRLRVIHRDVKASNILLDSEMNPKISDFGLAKMFDSNDTEGNTKRAWQLWSDGRWLQLVDASLVIESHQALEMMMRCINIALLCVQENAVDRPTMTDVVAMLSSASMVLPEPNHPAYFNVRVAREEASTSAEQCSANDVTISSPRGRYVLSFQGEE >Sspon.02G0015870-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:43508189:43509290:-1 gene:Sspon.02G0015870-1A transcript:Sspon.02G0015870-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGKRERDSKNPMRRTTSMTEFAPPDALAAVMEDEEEAQLPDNSHSIRGAAGGQQDWLSAFAGGGGAGGAAAQEDWLAAYRARAAPARAGLRRNSADYSVVETTAFLRACGLCRRRLGPGRDTFMYKGEAAFCSLECRERHITQEEWKDKCAVTSIKDAAAGAAKKANGRRAGSGKAGGTVAAA >Sspon.01G0015810-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:48836210:48842965:-1 gene:Sspon.01G0015810-3D transcript:Sspon.01G0015810-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGPLAGGAREGGPLAREGGGGGNRGIPGGGSRKKGWARRGSSKRGPGSRVGEGGKEKGAEGGGGWKAAQWGSGGWVAAQGGGGGREAAQWGGSGWVEGSQEGDGREEGGGGGGGGRPAMGGGGAGLPACWSKGQSQVIVGTSSMVFYENCGLQNLYDIVRYCQSKSCRRGAFFRHFGEALQDCNGMCDNCASSIELKDIDATCHTKIIVSLLHDLQLNDQRATLLQLVDKFKVKWKGLGRSNQAVDLKREEIEQLIVQLIVDRVLKEEFQHTAYSTNAYVTLGPLWKPALEGNRPVKLEIAVFGSQAGGGDTSKGTKRSRMSDLEAKLDELRRELSSSSTGGISIFPHAVLSTQQISLLSCQKPTTEAELEKVIGKVKTEKYGGRIIELMRSHIADPGAGNESDAKRRPKKDKDVTSR >Sspon.06G0010370-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:62371866:62375360:-1 gene:Sspon.06G0010370-3D transcript:Sspon.06G0010370-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANLEDVPSLDLMHELLRRMKCSSKPDKRLILIGPPGSGKGTQSPIIKDEYCLCHLATGDMLRAAVAAKTPLGIKAKEAMDKGELVSDDLVVGIIDEAMKKPSCQKGFILDGFPRTVTQAQKLDEMLAKQGANVDKVLNFAIDDGILEERITGRWIHPASGRTYHTKFAPPKAPGVDDVTGEPLIQRRDDTAEVLKSRLEAFHRQTEPVIDYYSKKGLVVNLPAEKPPKEVTAEVQKALSR >Sspon.02G0028280-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2A:103206767:103207108:-1 gene:Sspon.02G0028280-1A transcript:Sspon.02G0028280-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEREPLHVCHCRVCTTGSTCKGTTSDFSGPIPSAPAGAIDACSPERVLLTSPKRRFEKHIWWLTKSSICRSYAAPSTICLPPRVPKFEPAGPIVLGLHRAEVALALDHLSHAR >Sspon.07G0023230-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:14000261:14001950:1 gene:Sspon.07G0023230-1B transcript:Sspon.07G0023230-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRALDRYTSCKDVAYSCGFCGYALNLSSSTRNTANIGSKYGKHIRKGVVSFFAIDESRFTQTDEVSCTPYFHSSRSWGFFRNRTRLLCRKCSGHIGNAYEDEDPTLCEGSDDLDMSSKGSSTSPRKKYVIKINALQPSSDDSGAFFSP >Sspon.05G0038220-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:5D:35957805:35958086:-1 gene:Sspon.05G0038220-1D transcript:Sspon.05G0038220-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVASRPVTREVSAAAAAGGAYVSASTSGAVAGRSLRLRATRNAASASVGASWFFRLIIRMALLDVLVLWADDDCRLVSRSISVLIIHLAASGF >Sspon.01G0019120-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:69364687:69369727:1 gene:Sspon.01G0019120-2D transcript:Sspon.01G0019120-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVTHKRPAPMANCCPAVAGKKRARYDYNLGSIYNYKNLETLGEGTYGTVVKAQDQRMGETVAVKWIRPDDEGVTDLGAVFHEAACLEACLGDPSIVQMKEVAANEVTGHVFIVTEFVGPSLEIHLPRRFSGAETRAIMRQLLRDAETLHGAGMIHRDIKPDNVLVGTGGALKICDLGMAARTRPAGGEPYPEETVAALWYRALELMRGSWSRRTYGTAVDMWALGCMMIELLIGEPLFKDAETEDDVLHRARDLEYQMESMTDLASEPEFLPELSKAGREVLQGLLSLVPEKRLTAADALSHRWFEEEDAPLSPVLSSQKDQRVESEVRVAGFSSAARWLGRASASPAWHRRSRRVGFTSAARWLRRASGFTGVAPSLAVSELDQCHAMAGAGVGFTGVAPSLAASESPSSDAP >Sspon.08G0008550-1P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8A:32194376:32195503:-1 gene:Sspon.08G0008550-1P transcript:Sspon.08G0008550-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQDAPNALASDADHPAPPSRLLSKHRPRRRAAAPRPPLPPPAPPAPARGLPDLSLCHCCGVRFPTPQPGARSKRRPVRPLSSLWRVVLLCAECLSLVRSAAVCSYCLSLDNLPPEDSGVVCRRCKRCVHRSCIPAEHRTTVIQPVDVEDFLCVDCCPTVRSKNGGFNLGLNLEACFWDRTSVAGENALRKAAEVKLTSKRGNNAVGSSGLVGRNSGVPVLLDEELALQLHLAMNGSQRISRSGNSAGGGSAEPGKGKNAGVGGRICNINQEICITNMMAQLDEEEEPGCNRVLKCLRSSDSSVTVVLALECVKGKHAEERMKAKRKGPHVITQQDDLVDPSSKLAKDGYVESRNMCDGMDIDADHGGNGVAPMK >Sspon.05G0001120-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:2674226:2677639:1 gene:Sspon.05G0001120-3D transcript:Sspon.05G0001120-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPTTVPSTSLALPTAARAGARRHAFFSNRSPSSVPTARLRRAPRLVMVSASASLEALIFDCDGVILESENLHRQAYNDAFANFGVRCPPASSDPLYWDEAFYDDLQNRIGGGKPKMRWYFGENGWPSSKIFETPPSTDSDKEKLVDIIQDWKTERYKEIIKSGTVKPRPGVLRLMDEVKDAGIKLAVCSAATKSSVIMCLENLIGLERFNGLDCFLAGDDVKLKKPDPTIYITASEKLGVGSKNCLVVEDSVIGLLAAKGAGMSCIITYTPSTASQDFKVQLLPILTLVMSGFRISSYYSRKLLLLGSEVSCFPQGIH >Sspon.06G0032520-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:87556500:87560215:1 gene:Sspon.06G0032520-1C transcript:Sspon.06G0032520-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAQFNATAGILLLFNKTWCATPDATLVANFTVSGDLRNTERLMVTTTVLMTILGAALFALCLVGRISGRHRGGHSTATRLFFRASFALFLPFITCNYVVMGESQLERQETPYGFRLPELDDILDGQRSELAVPVPAGAGPASETTTKLIRVCDVWHLADSDLLFRYDENRKRKLQDTCLGLALFKLLRRRIEGHHMVEAGPAGRKQARALRAFAVVEQELTFLEEYYQAIIPLALPRPQLFFANFAFSILFVVLYCVAVMLVTGNGDMFRVLASFFHGLVSVSTDMVLQYRCFAHQTSVLIGMVLSSSDLIVTFLLTLTLLTVETYEFVQYLLSDWHLASVLCSYGRKLALRRQSSVRRAVKAALWIKKRSNPVIKVHQFTVLKLHQLHPRRVWMLLSRLLKRRLVGLPDVVVTVQAKEAIAAVGKSSGDVCTVYICYNMDVGLYRTSDKAI >Sspon.05G0022290-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:3076348:3076740:1 gene:Sspon.05G0022290-1B transcript:Sspon.05G0022290-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRRQATAAATLAFLLLSVSAASSSSSPPALLTTAASRKVLHWKLGCPWDAVKFGACVGVLGAVGLQAGAQLGSKCCDVVQGLAAAEAAACFCTTVKETVLGIPTEWDVGVGVLASACKTELPDGFKCV >Sspon.05G0002680-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:7930516:7934971:1 gene:Sspon.05G0002680-1A transcript:Sspon.05G0002680-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lecithin-cholesterol acyltransferase-like 4 [Source:Projected from Arabidopsis thaliana (AT4G19860) UniProtKB/Swiss-Prot;Acc:Q71N54] MTLLEELIRAIELWLRIVKEQVPLVDPTLDPVLLVPGIAGSILEAVDEEGNKERVWVRILAAEHEFREKLWSKFDASTGKTVSVNEKTRIIVPEDRYGLYAIDTLDPDLIIGDDTVYYYHDMIVEMIKWGYQEGKTLFGFGYDFRQSNRLSETLDRFSKKLESVYTASGGKKINLITHSMGDYLYLKNMSRVGSQLPHHSKLLECPSIYELLANPNFKWKDTPLLQIWRENLDNDGKKSALLESYEPEEAIKMIEKALSSNEIIADGMHIPVPLNLDILNWAKETYDLLSSTKLPESVKFYNIYGIDYDTPHTVCYGSEKHPVSNLSHLLYAQGKYVYVDGDGSVPTESAKADGFDAVARVGVAADHRGIVCSRHVFRIVQHWLHAGEPDPFYDPLNDYVILPTLYDIEKHCEKHGDITSVTEDWEIISQSDGKTMRPSELPPMVSTLTTSREGKEGSLEEAQATVVVHPEKEGRQHVEVRAVGVCHGG >Sspon.02G0029590-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:97527041:97529004:-1 gene:Sspon.02G0029590-3D transcript:Sspon.02G0029590-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGPAAVDDPAAAPRPRQLTVLPLIALIFYDVSGGPFGIEDSVRAGGGALLPILGFLILPVLWSLPEALVTAELASAFPTNAGYVAWVSAAFGPAAAFLVGFSKWASGTLDNALYPVLFLDYLRSGGGVALPPPVRSLAVLALTAALTYLNYRGLHIVGLSALALTAFSLSPFLALTVLAAPQIRPSRWLAIDARAVDLRGYFNSMFWNLNFWDKASTLAGEVEDPRKTFPKAVFGAVGLVVGAYLIPLLAGTGALPSETAAEWTDGFFSEVGQRIGGPWLRVWIQAAAAMSNMGLFEAEMSSDSFQLLGMAEMGMIPAIFARRSKYGTPTFSILCSATGVVILSFMSFQEIIEFLNFLYGLGMLAVFAAFVKLRVKNPDLARPYRIPVGTTGAAVIAPRPSCSSPPSCA >Sspon.05G0026420-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:35323691:35325244:1 gene:Sspon.05G0026420-1B transcript:Sspon.05G0026420-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTRRGACYSCHAAAPAPGEGPEAVHRRKRRRTAAEGSPAAAGAPGVRLAGDMFEELPDDLVVSILRDVAASAGSPADLAGAMLTCKRFRELGQTKVVLARASPRCLAVRANAWSDDAHRFLQRCADAGNLEACYLLGMIRFYCLQGSRGSGAALMAAAAVGGHREALYSLAVIQFNGSGGGKDDRDLRAGAALCARAASLGHVDALRELGHCLQDGYGVRRSVLDGRRLLIQANARELLQAAVTASASVAGAGAGAGDGEASAAPRRHSCLLSDFGCRAASGEPHAANRFLVDWFASRPLGASAAAAAAPGGNGNGNGNPGTAAASPAEDAGGALRLCSQALCGRPETRRHEFRRCSVCGVVNYCSRACQALHWKTAHKAECTPTDRWLDVAVGGGAAALPNANANADAA >Sspon.05G0004100-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:15484639:15489633:1 gene:Sspon.05G0004100-2D transcript:Sspon.05G0004100-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Secretory carrier-associated membrane protein 4 [Source:Projected from Arabidopsis thaliana (AT1G32050) UniProtKB/Swiss-Prot;Acc:Q9C6X2] MHHDPNPFDEGSADDNPFSNGGGGGGKQQFGFRPTEPVGFGGAGRGDAVVDVPLETMGDIKRREEALKNGASLFFSFFLVSDCLWRLTCYLFQLNYRRVIIGIFLHLLGAAGVPMEEKNWPPFFPIIHHDIANEIPANVQKLQYLAFASWLGIVLCLSWNFIAVIVCWIKEGDSKLFFLATIYALLGIPLSYLIWYRPLYRAMRTNSAFSFGWFFLCYLIHIGFCIIAAIAPPIVFHGKSLTGILAAIDTFSEHVIIGIFYFVGFALFCLETLLSIGVLQKVYMYFRGNK >Sspon.03G0001060-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:2829942:2831735:-1 gene:Sspon.03G0001060-1A transcript:Sspon.03G0001060-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSSQCVDLGIGIQKPQAHGSRSYFFAIAMENNYACPPPSKGNLITVLSIDGGVVKGIIPATFLAFLESKLQELDGSNARIANYFDVIAGTSTGGPITAMLATPSLSNAKQPCYEAKDIVPFYLKHGPRIFPCRTGIFGWFFKILQIIKMIIGPKYDGKYLHKMTSDLLGDTRVKEALTNVCAKPTIFSTFKVLFLNLVHGIASIDFFRVN >Sspon.08G0012480-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:49953892:49963084:-1 gene:Sspon.08G0012480-2B transcript:Sspon.08G0012480-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable pectinesterase/pectinesterase inhibitor 12 [Source:Projected from Arabidopsis thaliana (AT2G26440) UniProtKB/Swiss-Prot;Acc:O48711] MPPVTLSPPLLLAILLLTTAGTTQCHHGHKSQRHHTGGTRMHKKIAAAGASSPSADTVHHAICHTTPHPVSCLAAVASHLDASAAGAKVAEASAVSVQLLPPNVLSVLLASLRGAESALSSLSPALSALSAPPAGSPAGASLRRGAAQDCQELHAATLSSLSRSASLLAAPGEGQGLPAVRAHLAAALTNKATCLDGLAGASGPRIGGLLASLDDAYEHVSNSLALVARRGGGGVPAAGFVNAVAKTVHNRRLLQDDDDDDDSDGDDDDNSSADDDDDDSNGDDSGGNTGQPAATVITVAKDGTGNFRTVGEAVAVAPNNSETRTVIHVKAGTYEENVDVPPYKTNVALVGEGRDTTVITGSRSAADGWTTFRTATFGVSGEGFLARDITFRNTAGAGRGQAVALRVNADLAALYRCGVEADQDALYAHSFRQFYRECAVSGTVDVVFGDAAAVLQGCALLAKAPLPGQSVVLTAQGRADPNEDTGIALHHCTVSAAGAGLPAGTRVFLGRPWGTYARAVVMDSYLGQVVDREGWLEWPGAEPGRGDTVYFGEYGNDGPGADTEGRVDWAGVRQMEYDEAAQFAVENFIYGDEWLGATSFPYDDDV >Sspon.05G0011650-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:33645256:33659465:1 gene:Sspon.05G0011650-1A transcript:Sspon.05G0011650-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRSESDSDDVFFDAFEDVRSPGEPSCSEDCSTSDEVSVPMTFEYEIWANEPMSVQERRQRFLKGMGFDDFVSARTDSFQCHGEITAVESSTDMEERSISGHSSVDSSVCDDESEFDGACCIRDMDSGKRYIVHNGAHSSITDMLKEVGSDKVMSLLEFESLLGLSRSVQKLLRRGCGNRPAKQTKSAKKKDVKSLWKKFTTKRSFGSICKYDVHVKNCTNSIPTRTRVQHRKKKFLEFSAVYMDQEIRAHKGSIRVMKFSPSGWYLASGGEDCVVRIWQIIEVEASPKLYRGEDPCEMVEKVQVINTNIEKGRNQGLAVIPKKVFRISETPLHEFRGHTSDILDMAWSKSDYLLTSSKDKTVRLWKPGCDGCLAVFKHKDYVTCVQFNPIDEKYFISGSLDGKVRIWDVLDKRVTDWADTRNIITALSYQPDGKGFIVGTIAGACRFYDQSGENIQLEKELFVQGKKKSAASRINSLQLCTSDSTGIIITSGDSKIRVANGDTIQKFEGPWKSKALSSPSLTSDGRYLISAGKDSNYLLTSSKDKTVRLWKPGCDGCLAVFKHKDYVTCVQFN >Sspon.06G0012500-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:54160625:54163453:1 gene:Sspon.06G0012500-3C transcript:Sspon.06G0012500-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGGRRRPKPRARARGKAKRTKYLSLSDLMVKAEVAGTPTPPADEPASPRSPLEEEAEVKREEDAGGDGGAGRQQVEPFALHHHHEASTLFEALPAPSLSDILGPSPSASPDGAGSGASGLPGAQDEDLARRALRGRERWVYCSSPAATPTATATTSSSSPCSSAASTGASAARSLLLKLDYEEILAAWADRGSLYIGAGADGAGSPDLELDAMLSVLGDTDVVIVTTVPPPTSVQVQVLVEVEPSEHAMAAPSERAERVRRYKEKRRRRFFAKRIRYEVRRVNAVKRPRFKGRFIKEREESELRPT >Sspon.04G0016700-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4B:64881527:64881865:-1 gene:Sspon.04G0016700-2B transcript:Sspon.04G0016700-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSPPPPCSKTAAGHDPAAARYCLCAPTTHPGSFRCRLHRSSSSMDATAAAVAAAPSAASEEAKAKEAAAAAAARAFLERMTVRKPRRQSVSGSGMFLPGPSRLGATATDE >Sspon.05G0018910-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:75902937:75937328:1 gene:Sspon.05G0018910-3D transcript:Sspon.05G0018910-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGDQREAEGVDKEERGMEGSSASGMGFPKGWAMPNALDLPLASRTFTAVSPVSSTYSVDLPRDHGRRSQKARRDSSDASAKHFPSADTFNSSEMFVVKKFKRNDDLEEGESKNGHLFYQPESADGSSHSASALTVEIQKTAGAFGPTMNTMECLDLSMEKDSCSFSLNCVEGFTQGTKPDLLPLINDVERSYPCVTDSSCPTVPNMEQKDDVLENAEQPHPSEMVNPDGTSHSPVGRAFEVEEQGAEAGLCEVEDVEEQVDGSSPASESWGHLVPLKVVILRPWHDAPNSILRSKPPSNYSQSMQGSDQYVGNMDVLYPSKEWSDSRLKLDEQGAEPGLFASVEYVEQTHPNFTDKTSPIWSSEEQVDGSSPTSESWEQPDPLEVVRPWDDAPNSILRSKPPSNYSQPMQGSDLDVGNMDVLDPSKERMDSRLMSNTVDPSSSSNGGGTSVEKDNTEKTECLSGMDTVTPELDTVQGSNPSAEPSSSSNGGASAVEKHSAEITECLLGVGTLTPALHNVQALNPSTPKECLVSNADNSDEANRSDEVHRSHNDFNGSYYPKPVLKGRISKMMYPRLWFQLPKMWLVGKHHKWKMSLPSKHVSPRKATRSSFRKQYKGPNRHIPRHTKRQKTKLVMKDRNTDPAHIRSCRPSNQIDRTCSSASLDLSPKIKEVNDANDAEPRCSKSVRIFENVMAKKRKRPILSYDEDAEAMQMEVRMRRGHVKSHVMKQRRSAENSEEAMSPGNSNNQHANDDMKARKQRRANIDKKAPLGNASVPCTRNDAARLASDQQSFICTRPIDKPYWTGIMKIGQEYISLAAHLSNQACKEVQELSLSLPALMKVTKHSKLKAWPGRWKASKPSAECIGLYFFSDNMRELDQLVHYLTDHSLVLKYVVGFAKLLIFPSVFLPEQCQTFQGKHYLWGVFKHRMGTGKTGAAGVSHRQRDQLPRAVHGFCSETDPCDRWKPRSNSESSPPKLFGLVIAQTTSTDQQGLLDAQLELWHSTFAFIKPMAFKAALGLGIADAIHCHGGTATLTQIATKAALHPSKTPYLRRLMRVLTVAGIFSIAKNSSDDNDGDHVYGLTPASRLLVGSSRNLTPTLSLILNNIFVSPFLELGTWFEHELPATDLPLFELSHGKNVWDVVGHDPSMSQLFNAGMVADTRFLMDIAIKECGGVFQGISSLVDVGGGHGAAVQAISVAFPDIECTVLDLPHVVATAPACAGLSFVAGDMFEAIPPANAVFLKWIMHDWGDSECVTILRNCKKAIPLRDAGGKVIIVDTVVVAGPPNLKNRETQIIARKSKKSELAEIEQSRRDLATEIVVREVHFCEPPEVTNSRWYLPGEVIAGHVKTGKHRERADARRDRTRQIGVVAEVEAGKEHKMQSYSVECEQEATWKAKLSRREVLIRSYFQWHGGLGIYSN >Sspon.04G0008300-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:20311583:20317882:1 gene:Sspon.04G0008300-2B transcript:Sspon.04G0008300-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGVQTADRRTPLLARRDDGRGFPWPLILYPLRVSSVNPSRQYLAQGEQPIRLASSARFSPDDKYSRQRFLLKKRFGLLPTQQPAPKYSSAYKHLSLEHASNAEAKEDGQSKHITVIGTRHRIGRQPTDDGDVAQVLVPGNVLEHLEALGDRQINLSQDDVDLAALVVENIDHLPRRLGAGY >Sspon.06G0009980-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:53873388:53878226:-1 gene:Sspon.06G0009980-1A transcript:Sspon.06G0009980-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLPRKLLPWRRPAQDAQALAGRLLRSSSSLSDPDDDPPFTRIPKHPPRAPSTPPPPKPKAVASKIRPDEPAHSDLPFDFRYSYSETDPAWRPIGFREPTRFSPFGPGRLDRPWDGAAAARVGEDASGEERSREEVLGEPLSEEEVAALVERYRHSDCSRQINLGKGGVTHNMLDDIHNHWKRAEAVRIKCLGVPTLDMDNICFHLEDKTGGKVIYRSINIIILYRGRNYDPKRRPDIPLMLWKPLAPIYPKLVQNVAEGLTFEDTKELRNRGLNSPPLTKLTRNGVYVNVVDKVREAFKTVEVVRLDCTHVGISDCKKIGVKLRDLVPCIPILFKDEQIILWRGKVRQEHSVSAQCSSRPQ >Sspon.06G0001230-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:4058459:4059719:1 gene:Sspon.06G0001230-1A transcript:Sspon.06G0001230-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGASAYHSPDPCTDDGEHAAPDAGTSIPVVDFDALVNGAAEQRAQAVRDVGRACQDWGFFMQVVNHGVPEDLKEAFMEACEELFSSPEEEKAEYLEAEPMAPVRVGSGFYAAVDGAQYWRDYFKMFAHPELHCPATPAKLREVATEYAARTRALLLSLATAVSESLGLAGGRVSEAMRLDSCFQILVANRYPPHAAGPGLGLGLPAHTDHGFLTLLFQNGVDGLQVHHGGRWLLARPLPGAFFVIAGDQLEVVATQRTRRRRRRPGAAHLYSLRLVSRVLVTDCVRAQIVSNGRYRGVLNGRYRGVLHRAVVDGERARMSMVSMISPCLDTVVEPVPELADGRGLQFRGVRYRDYMEHQQSNKLDGKAALDIARVQRVVTAGTQN >Sspon.04G0006490-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:18000867:18002354:1 gene:Sspon.04G0006490-2C transcript:Sspon.04G0006490-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GESQDAAGEGPYPPHGVEASRPRRPLPAPRPPRPPCHLSAGRPRHHDDAALALLARGALLRGVVHAPVAPQHELQVEPRPVRDVPRPPPRKVADELPAVDVFVTTADPELEPPVVTVNTVLSLLAVDYPAGKLACYVSDDGCSPLTCYALREAAAFARLWVPFCRRHGVGVRAPSVYFSSAPEPRAAGQEFLQEWAFIKNEYEKLVSRVEKAEKATLVQSDSEFTEFLGVERNNHPTIIKVLRDNSKSTTGEGFPGLVYISREKRPRFHHHFKAGAMNVLTRVSAVLTNAPIMLNVDCDMFANNPQVILHAMCLLLGFDNEAHSGFVQAPQIFYDALKDDPFGNQTEVMYK >Sspon.02G0025900-3C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2C:95837451:95838929:-1 gene:Sspon.02G0025900-3C transcript:Sspon.02G0025900-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSAIFPSLQLKERRPAARPDGVIQEGVRGRRRRVGAGVCPRAAPGGPRRDGHGAEQRGRRAVAVRPEDGRRRPLGAAGANSSMYASVRLISPRELTAFSDFPFFPSNDGTGDARRYPGHDEFLRYIRNFCDAFGLMDVVRLNTKVLHVGLAPPRAADDGANRWTVRCANANSMHGDFEGEVVTTEEVFDAVVVAVGQYTQPRLPTINGMDKWSRRQLHSHSYRVPDSFHGEVVVVVGFHESGKDIALELSRVAREVHVSVKSMEGITPGVAKAVSRHHNLHLHLQIECLCEHGQVMFADGSCVVADSIIYCTGYRFSFPFLNTGALVTVDDDRVGPLFEHTFPPALAPSLSFVGLPRLVLVPLFYEAQARWVAQVLSGRRPLPSEEEMLRSAEEYHRAREMAGVPRRLSHAIFFDFEYCDEFGEKHCGFPRMPEWKRDLLWSAVARMRDDDMETYRDSYHDDSDLVLEGLRSEGWLPWTPQDKEVGQDEDDG >Sspon.05G0005880-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:12860164:12863742:-1 gene:Sspon.05G0005880-2B transcript:Sspon.05G0005880-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding METTFNLATSIVRFFVIHVEAYVGQPKVKSAAAACRAYDIVVDATNSLASRYMLSDCCVLLNKPLISGSASGLEGQPVAIQNPLPKNSRITCREYKRVLDSGRAHLLLDVRPVHHFQIASIANSVNIPLHELQERLPRLRDALSEVADVSHGKHRPLYFVCQSGDDSLAAVGILRENGFPYASAIAGGLECWAREVDPGFPVYW >Sspon.03G0037610-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:99778078:99779195:-1 gene:Sspon.03G0037610-1B transcript:Sspon.03G0037610-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSLGSSSRILVIGGTGMMGQHLVKASLAAGHPTAVLVRPASSSAAADPSKLKLLEAIKASGATVIGGDIYDHESLVAAFKQVDVVISAVGHHGPLDLEDGQLRIVAAIKEAGNVRRFVPSEYGCDVEQVATAAVLEPARSILLAKVRVRQAIRAAGIPHTFICSYWAHGFVLPRLGDPHVDGPPATKATVFGDDATRVIFVHEADMAAVTVRAVDDPRTLDKILYVRPAANTCSLAHLVRLWEQKTGKALDKYYMPEEELVARIRDSPLPLNFQLAMVHATVVAGVCDQTVDAEAGGVEATDLYPDVNYVTVHDYLDGLKEVLT >Sspon.01G0006610-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:17371072:17373555:-1 gene:Sspon.01G0006610-1A transcript:Sspon.01G0006610-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MERYHPSEVYELFVRHMNTPRVVVDNGVCATATLVQVHSARKHGVLLEAVVALSDHGVCVRKGYISSDDGRWFMDVFHVTDASGRKVADADALLARLESSLSADALPPRTPPSAAAGAGMPTLLELVGADRPGLLSEVFAVLHDLRCDIADARAWTHCGRVAALVFVRDEETGAPIDDAARVRRVESRLRHVLRGGALGARMVRADAAAVNMDRRLHQLLNEDGEAESRAGQAEATAVAVQDWGERGYSVVTVSCLDRPKLLFDVVCTLTDLDYVVYHGTFDTDGDHAQQEFYIRRLDGRPISSAAERRRVIQCLQAAIERRASEGVRLELRITDRRGLLAYVTRVFRENSLSVTHAEITTRGDMAMNVFHVTDAAGRPADPKTIDEVIQRIGTESLRVDEERWPRLCSTEGDAGRGGGGGAGIFSLGSLVKKNLASLGLIRSCS >Sspon.03G0014490-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:46002515:46008863:-1 gene:Sspon.03G0014490-1A transcript:Sspon.03G0014490-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKGRTELDVGADGVAVITIYNPPVNSLSIDGSDAILKESYEEALRRSDVKAIVVTGKGGKFSGGFDISSFGGVQGGQTLQPKVGYIAIDILTDTVEAATKPSVAAIDGLALGGGLEVAMACHARIATPTAQLGLPELQLGIIPGFGGTQRLPRLVGLTKSLEMMLLSKPIKGGEAHQLGLVDALVSPNDLVNTARQWALDIYECRRPWIKSLYKTDKLEPLGEAREILKFARAQAQKQAANLHHPLVCIDVVEEGIVAGPRAGLWKEATSFQELLFSDTCKSLVHVFFSQRATSKIPGATDLGLMPRKITKVAILGGGLMGSGIATAMILSNYPVVLKEVNEKFLNAGIDRIKANLQSRVKKGKMTEERYEKAMSLVTGVLDYERFKDVDLAVIENVKLKQQIFSDLEKYCPSHCILATNTSTIDLNLIGEKTKSQDRIAGAHFFSPAHVMPLLEIVRTQHTSPQVVVDLLDVGKKIKKTPIVVGNCTGFAVNRMFFPYTQSALFYVDLGMDVYKIDRACTKFGMPMGPFRLADLVGFGVAVATGMQYLENFPERVYKSMLLPLMMEDNRAGEATQKGFYKYEGKRKATPDPEIMKYIEKSRSMAGVTPDPELLKLSEKDIVEMVFFPVINEACRVLDEGIAVKASDLDIASIFGMGFPPYRGGVMYWADSIGAKYIHGKLEEWTKRYGGFFKPCSYLAERAAKGIPLSAPAKKVQARL >Sspon.07G0019260-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:70449154:70451001:1 gene:Sspon.07G0019260-1A transcript:Sspon.07G0019260-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAASLLKSSFLPKKSEWGATRQAAAAPRPATVSMVVRASAYADELVKTAKTIASPGRGILAMDESNATCGKRLASIGLENTEANRQAYRTLLVTAPGLGQYISGAILFEETLYQSAVDGRKIVDILVEQGIVPGIKVDKGLVPLAGSNNESWCQGLDGLASREAAYYQQGARFAKWRTVVSIPNGPSELAVKEAAWGLARYAAISQDNGLVPIVEPEILLDGEHGIERTFEVAQKVWAETFYAMAENNVMFEGILLKPSMVTPGAEAKDRATPEQVAEYTLKLLHRRIPPSVPGIMFLSGGQSEVEATQNLNAMNQGTNPWHVSFSYARALQNTCLKTWGGQPEKVKAAQDALLLRAKANSLAQLGKYTSDGEAAEAKEGMFVKNYSY >Sspon.05G0009740-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:27954009:27958601:1 gene:Sspon.05G0009740-1A transcript:Sspon.05G0009740-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MACTHLAAAGASFPAAAAVRSPAHSRAAAFARLRSTPCFASAGLSIKGNRAAAFPLVAAAGPAAAAPVADLDGRPAAEKQSIIVIDNYDSFTYNLCQYMGELGLNFEVYRNDELTIEDVKRKNPRGILISPGPGEPQDSGISLQTVLELGPTIPIFGVCMGLQCIGEAFGGKIIRAPSGVMHGKSSPVYYDEELGKALFNGLPNPFTAARYHSLVIEEETFPHDALEATAWTEDGLIMAARHKKYKHIQGVQFHPESIITPEGKKIILNFVRFIEELEKQRS >Sspon.08G0016790-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8B:61339134:61341617:1 gene:Sspon.08G0016790-2B transcript:Sspon.08G0016790-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAISNHTMISGLCPPSIDRYIKNLTSSYTEKSNEASMVSASVIMFVLAGLFFNLNLFSGISDVSATLDPKVRLFLSSALSLLLPVMSYLFSEAKNAAVGASFSSSATSGRAAAELSMGAGLILAWMLLVELLRKKVDEIRMRGFSGSIQRAGRVVWLGSLVFFNIRSTGRKALFAILWILCATRVVQRIAYTEIGKNSYAHGKNARLINSYMAKAKKLEEDEARRQAAAAPQGARHDDDVEQAAHQVGDLLKSCSYIVMGEEKMVRQPTADGYELDLTTLGGGDSGGVITVGKVWELNENDDIFASPSEVQRLKRLCLSFALFKLLRRKFERLPAVTDKEAEDCRSLIFRGLLHHSRNAGGNSAAAAEEVFQVMNDEVVFLSEYYHSVVPVVLASPFFLFVNYFLVLAVVAALCVMTVILCGNGDAVYAFTSIGDDNYTFRAGIGKIAICLVLKAKNSPEAFFSIVDLSITLLLFVIYFYEEIWEFFVFLLSNWFMVSLVCSYMAKPHWRDSPWIRYAFHRIIWLRSMLNHGSLSFRQFSVLHHRWPLGLPFFSTLSLVLRTELVPKNLKHSIIERLLDLDHGHCTGGSTACLTPLSNGKATLQSNNFLFDKLSWACRSDSVSEVFLTWHIATCILEVQSGQQRGDEAVAQRTAVRLSKYCAYLVAFHPELLPDSPEKTERVVDDMKAELGGIFWCWEYYLFPQSARAKKIMDAATSTGSDQVNGVVRNGAKLGRLLVGVADAWKVLADVWTELIVFVAPSSDEERVKGHQDVLVQGGEFITVLWALTTHIGVSHGANKLPVKTLEDLMGESMRNAPHIAPEISIM >Sspon.01G0011000-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:30376856:30378217:1 gene:Sspon.01G0011000-1A transcript:Sspon.01G0011000-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYLAWTAAARRRQSRCYLLDYVCHKPRDDRKLSTDTAGDVIHRNARLGLTDYRFLLRVIVRSGIGEETYAPRNILAGREDSPTLRDSLEEMDAFFDEAIAELFARTGFAPRDVDVLVFNVSMLSPSPSLSSRIVRRYGLREDVAAYNLTGMGCSAGLIALDLARNALRTRPRALALVVSSESIAPNWYSGTDKSMMLANCLFRCGGSAVLVTNDPAHRGRAKMELSCLVRANIGASDDAHACALQREDAEGRVGISLSKALPKAAVRAFTVNLRRLAPRVLPVAELARFTARHLARRLFFQLPHMLGSGKQQKGGDAAPKINFKAGVDHFCVHPGGTAVIDAVKQSLGLEDEDLEPARMTLHRWGNTSASSLWYVLSYMEAKGQLKVGDRVLMVTFGSGFKCNSCVWEVTGDMADRGAWADCIDAYPPEMLANPYMDKFGWINDVDGDTLLL >Sspon.07G0003650-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:8529490:8530245:1 gene:Sspon.07G0003650-2B transcript:Sspon.07G0003650-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGASDDKSEPARPLAVPFPTVYPASSAHDAEAEADAPGSRSTPYIRKRRCALCCGGCCVTTIVVIGVIILVLALTVFKVKQPRLTVNNVWLTAISAGPGSSSGIPVATNATLTADVSIKNPNAAAFKFSQTETDVYYRGQTVSVAYAPAGRVGAHGSVRMNVTVDLLADRLARVMNGTGLVFGQEYDLDTYTDINGTVNVLGIIKKDIEIKLNCSVVVQVGGAAAALEYGVASTVQSKSISCSADVTM >Sspon.02G0059540-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:95071686:95074272:-1 gene:Sspon.02G0059540-1D transcript:Sspon.02G0059540-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MACSKKTLAIVVVPLLIALLASAVPASQGSRALLGGEQCSKSDNCNEQSCGATCAVLGLNAVGFSNNDDETNASQFITTSRTNNSTAYPDDNSRVDQLEPFENIKGIKIDLIPSTTSFEPKASWRVPRRLAIVIVPLLIALLASAILASQGSRALLGAEQCSKSDNCNEQSCGATCAVLGLNTVGVCNVVGGVSSCCCVPKPSTSISIRH >Sspon.08G0005890-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8A:18620739:18621071:1 gene:Sspon.08G0005890-1A transcript:Sspon.08G0005890-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFESPIIAIFSGLAGVPGSVSLELGSRPGCFLVAGGSGEKVQVGCTGGVQKKHGDGGDWFRQAASFARAEPLRRYHSMSFAARGVRRNFLLEPLFTLRDEFYTIYFNLVA >Sspon.07G0006010-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:15667949:15673088:-1 gene:Sspon.07G0006010-1A transcript:Sspon.07G0006010-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable apyrase 7 [Source:Projected from Arabidopsis thaliana (AT4G19180) UniProtKB/Swiss-Prot;Acc:F4JSH1] MRLSSSLQDLPTFTRIDALERGSSVGSDLSSGRAKPVRMLQRDGAVASFSKERTPPSSPTNRKKCMRAAGCAIALILLVFFAYGSWRYFHVFLSEGSSEYYVVLDCGSTGTRVYVYEWHINHNDANAFPIVLKPLGNAPKKKSGKLTRLYQRMETEPGLSKLVHNESGLKKAIEPLLQMAEKLIPRHAHKHTPLFLYATAGVRKLPSADSEWLLDKAWDILNNSSFLCSRDRVKIISGMDEAYYGWIALNHHMNMLGTSPSKMTYGSLDLGGSSLQVTFENDKSVQDETSVRLSIGSVDHHLSAYSLTGYGLNDAFDKSVAHLVKRLGGAASNGKVQVKHPCLQTGYKEDYTCSYCHPLKQDGSPNVGEKNTGKEKQGIAVELVGAPQWNECSALAKVTVNLSEWSSASPGLDCNIHPCALASNFPQPHGQFFAMSGFFVVFKFFNLTADATLVDVLKRGQEFCEKPWKVAKSSVPPQPFVEQYCFRAPYIASLLREGLQIKDSQVIVDSGSITWTLGVALLEAGQALSSRLDIQGYRILHREINPNILIVLFLISIVLVVCAILCVSNSMPRSFRKSYLPLHRHNSGGSSVLGMGLPFKHLWNPISSGDGRTKTPLSPTVAGSDPHPFGMSHGLGGSSVQLMESSRQSLGAYHSYSVGSLGQMQFSSGVRNPSRGQTTLQSRRSQSREDLISSLADIHVPKL >Sspon.06G0004270-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:13453290:13462179:1 gene:Sspon.06G0004270-1A transcript:Sspon.06G0004270-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMYESMDAATIVAYFKGKSILITGSTGFLGKILVEKILRVQPDANKIYLLVRGTDESSAKQRVQQEHGDGFQQFMREKIVALPGDIINDSLGLEAPTLEALAKDIDIIVNIAATTNFYERYDVSLDVNVMGVKHLCQFAQQCANLKMLMHVSTAYVCGGDRDGLILEKPIKPGESLREGRYLDVGAELRLVREAKKELMDGASDDEHKKTERKAMKELGLQRARHFGWSNTYVFTKAMGEMVLEQLRGDIPVVIVRPSIITSVRADPLPGWMQGTRTIDTIIIGYAKQNLSCFLGDLDFVMDVIPGDMVANAMMAAMVAHSEEKGAEVVPLYHATSSLRNPATCSVLYESSLRHFYKNPRVGKNGEIIPTTEMRFFPTIAQFHLYMMLTFKLPLEILHLVNLLLCGLFSRLYDDLNRKYKFVMHLVDVYGPFALFNGCFEDTNLERLRLTMAMKTPEDNMFNFDPKTIDWDDYFTRIHVPGVL >Sspon.07G0005270-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:11988925:11991683:-1 gene:Sspon.07G0005270-4D transcript:Sspon.07G0005270-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADQLTDDQIAEFKEAFSLFDKDGDGCITTKELGTVMRSLGQNPTEAELQDMINEVDADGNGTIDFPEFLNLMARKMKDTDSEEELKEAFRVFDKDQNGFISAAELRHVMTNLGEKLTDEEVDEMIREADVDGDGQINYEEFVKVMMAK >Sspon.07G0009790-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:28564461:28580691:1 gene:Sspon.07G0009790-1A transcript:Sspon.07G0009790-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAADVWGRSSSSSSSSAAAAARRLQARYDLYMGFDDADAGGDEVTDPRGGAEPYNCPFCGEDFDFVSLCCHIDDEHAVEAKSGAQSSKSFYWVPFFVIFVEKGLEKWQFAIISRWIILSPDPFLSSLICNLPVAEPSKDLHSNSSDNNFLLNKFPDEKTVERRRVRKVSTGSHSLLSLLRKDLRNGSLQSFLGGSSYVSNPPAAAPDPFLSSLICNLPVAEPSKDLHSNSSDNNFLLNKFPDEKTVE >Sspon.04G0008490-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:25573929:25576600:1 gene:Sspon.04G0008490-3D transcript:Sspon.04G0008490-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTATAIVAGHGLALRRSLPLPNPPGRATTSVSLSARPVTPARCMIVPASPSPRSRRCRSISSESSTAASAAAGITDEEVEPEDEEDEVDPQAEVCYLDPDADPESIREWELDFCSRPILDARGKKVWELVVCDATLSLQFTRYFPNNAINSVTLRDALASVSEALGVPMPDRVRFFRSQMQTIITRACGELGVKAVPSRRCVSLLLWLEERWCTADTRPLLALDNPFPTTLPENLFGDKWAFVQLPFSAVREEVESLGRRYAFGAGLDLDLLGFELDDSTLVPGVAVESSRAKPLAAWMNGLEISAMEVDTGRGSLILSAGVSTRYIYSGYQKTPAATQEAEAWEAAKKASGGLHFLAIQESLDSDGCVGFWLLLDLPPPPV >Sspon.06G0004770-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:12895105:12898072:1 gene:Sspon.06G0004770-3D transcript:Sspon.06G0004770-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGNGAKKVVDVAVKAGKAIDWDGMAKMLVSEEARKEFATLRRTFEDVNHQLQTKFSQEPQPIDWEYYRKGIGSKVVDMYKEAYESIEIPKYVDTVTPEYKPKFDALVVELKEAEKASLKESERIEKEIAELKEMKKKISTMTADEYFEKHPELKKKFDDEIRNDYWGY >Sspon.07G0032720-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:46327118:46337369:1 gene:Sspon.07G0032720-2D transcript:Sspon.07G0032720-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AtAPC7 [Source:Projected from Arabidopsis thaliana (AT2G39090) UniProtKB/TrEMBL;Acc:A0A178VSZ9] SPVHSATVVGSANQSNPSNTENPRTSSGFKFPRQSSPPGMEAARESMAALLDAGLFGPAQTLGCFLVSSAGAGNDAGMSMKVESLVQHGDALYGEREFRRALNAYKQAMQCSRSIPRQATSNTRSSVSATGRSPSPNSSNLLSFNENEVKFKIALCHSALCEHREALHEMEGIPSKVRTLKMNMMLGKLYRISKIVVQLLQCPYVFEAITALAEMGLSAKEFSLLFPQAPNRGGKVPGDFVDAQRWWSRYVEAQCCIASHDYKGGLDIYLELMQRFPNNVHILLEIAKVEAIIGRNDEAIMNFEKARLIDPNIMTYMDEYAILLKSKSDYIKLNKLVHDMLHIDPARPETCVALAAMWERKDERKALTYAEKSLRVDDRHITGYIMKGNLHLSLNRPDLAVTDFRGAQELRADLRSYQGLVRAYLALSKCKEALFTAREAMKVMHQSAKALKLVGDVHAISSSGREKARKFYESAIRLEPGFLGAALALADLHVVEGRNKEAVMLLEKYLRQWADDSLHIKLAQGVDPDAPEEDEENEEDDIDDRDENKNFEARHSQDIDHPNPGTNDAIARTQREA >Sspon.02G0023140-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2B:76967862:76969343:-1 gene:Sspon.02G0023140-2B transcript:Sspon.02G0023140-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLVACNPTKDSNHWSDVCKSLFSGQVAPLTLDGVTRILDHCYNDLPADLKTCSLYLSIFPKGSKISKKRLTRRWISECFVTEKQGLSAEEVAETYFNQLISRKIIRPEDHSSNGKVKSFKVHDMILEYIVSKSSEENFITVVGGHWLMPTPSNKVRRLSMQNSGPKDGNSTKGMNLSQVRSLTVFGSQNRRLPFHSFNNGIIQVLDLEGWKGMKDKYMNDICKMLVLKYLSVRRTEVVHIPSKIGKLEYLETLDIRETRVEELPKAFGQLKRLRSMLGGSKNPKKKALKLPNEKNKEPMKALRILSGIEINEDSTAVASLHQLTGLRKLAIYKLNIQEGGQTFKQLRSAIEYLCSCGLQTLAINDEGSDFINSLDSMSTPPRYLVGLELSGKMVSPPKWIKDLNNLYKLTLSVTVLRTDTFKFIKNLPKLFTLTFTLTADKDDRDIVDILEENKLLTDREIIVPPGGFESLKLLRFFATLVPRLSFAVTEEE >Sspon.04G0029140-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:70477522:70479045:1 gene:Sspon.04G0029140-1B transcript:Sspon.04G0029140-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIPPEASLAMAAVGVGGAVACLLPQRRRGGTVFPWSGAAACVGRRRASAGVGVMATKVGMMTYFEPETGKPVPGGNVVTQVKTVATDGYDAVQDKLTRPELGHLGKAGAPPLKHLQEFRLTAVDAFEPGQPLDFADLFKEGDIIDVSGNTIGKGFQGGIKRHNFKRGLMTHGSKSHRQLGSIGAGTTPGRVYKGKKMPGRMGGTKTKIRKLKIVKIDNDLRVLMIKGAVPGKPGNLLRITPAKIVGKNIPKN >Sspon.08G0011890-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:48240693:48245058:-1 gene:Sspon.08G0011890-4D transcript:Sspon.08G0011890-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPVDSEAAAAEKARRKKDKREKRKKHKEAKKDDCGAATAEEEAPKEKKQKQRKGGDEGDGQEKKKLKPTVSIAVAGSIIDNAQSLELATLHEALQRASLLSQLAGQIARAATVFRIDEIVVFDSTPAAESGGAGDGEESGARFLVRILEYLETPQYLRRRLFPMHKNLKFVGLLPPLDAPHHVRKHEWSEFREGGVTLESDPSKGTLVDVGLSKNVLVEQTLEPGKRVTVAMGTNRDLTTGKPFISWVTKYDCVDTSLSIPFPMYEEFVHVLTCIRKVVPPSTPREQMGSYWGYKVRYTSNLSGVFKNSPFKEEYDHIIGTSEHGQIVNSSELTLPTFRHLLIAFGGLAGLEESIEEDTNLKGKRADDVFTSYLNTCPNQGSRTIRTEEALLISLQYFQDPIRRAEQKFLVLPRFDNEVCALENSAALVIDGP >Sspon.02G0026430-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:92036431:92040946:1 gene:Sspon.02G0026430-1A transcript:Sspon.02G0026430-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHLAIQRPNRNSLLLTPPDPSRRRREGKPPAASIDGLTDAESRHAATSRPPTPPPTWPLVAPMRPSPPAPRRDRRPVASTPFCNTPGVIWQFYNLFEIIANHRTPPPDDAGDLRFASRRARDVQRPPRHGQLAPRVATASPGHVYKYRHHRRTPVSLPPPLLAATGHRHPPPKFAAAAAPPSNSSRLQLRSVCAQLLEPLIAPHLLCNRPQAAFFLTAGSDATMGPWGSGNAVGVVEVIPMLRRLVVGELTVGGHRGSTSARAVEKKEKEWGMTCGAGASAREGRAGARAMPGRADGPRSWAERASAREENAGRAQCDPARKIVDDSGFPRTPDRLLKIYGTLVQCCPLDVTDDPVPRP >Sspon.03G0022490-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:54522460:54529608:-1 gene:Sspon.03G0022490-3D transcript:Sspon.03G0022490-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLTRVYVPIVYGFNSSFILVKKYHISELELSFTQGRWNYEQWGGFDPMSTNNAKPPGVELWAVFDLPLSEIDATWKNLTHTLSGLFCASINFLESSTAFSAPRWGFKLNEGNLRYGALPREAVCTENLTPWLKLLPCRDKAGIASLLYRPSIYKGYYHSQKLKLRSSQSLGIILDQTLTVVLQPNTISGSPTDCSVKAVIFQVVPWYVKVYYHSLEIFIDGSRKTVSEVVDKIHVIPSEDKLLPGTLEMLLRFPCSMQSGTLTLDFDKGFLHIDEYPPDANQGFDIPSALVSFPEFSSARSYPEIDPVLESPLLENFQEDSVVKSYTEVLLVPLTTPDFSMPYNVITFTCTVLALYFGSLLNALRRRIGEEERELKKTATRRGLIPQLLAKLRGQKVDPTESGSSSESTGSKKLLFKVSYWTSIQSAAACESIAFQMTSSANKIREIHGALGRVLARAKMMTALTRIHGGKIPLTSLDDRSGSGTPLIATAAITAGTSRPATITDTGFVHDSCRQRKAVGLVPRYPRAARCKP >Sspon.01G0050080-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:53772206:53774142:-1 gene:Sspon.01G0050080-2C transcript:Sspon.01G0050080-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSTIRKALGAVKDQTSIGLAKVTSNIAPELDVLIVKATSHDDEPAEERHIREILHLTSGSRAHVAAAVAGCSRRLSRTRDYVVALKSLMLVHRLLADGDPSFHRELLHATRRGTRLLNLSDFRDEAHSGSWDHSAFVRTYALYLDQRLEFLLHERKQGSNTGSSSSHNGPSPRDRDRWGSPDPYGRRSPSYSSPPGYGGYDDYRERNGGNNDDKRPPTPVRDMKPERVLARMHHLQQLLDRFLACRPTGGAKQSRMVLVALYQIVRESFQLYADICEVLAVLLDRFFDMEYAECVKAFESYASAAKQIDELCAFYSWCKDTGVARSSEYPEVQRVTDKLLETLEEFMRDRAKRPKSPPREPEPEPVKEEPEPDMNSIKALPAPEDYKEPEPEKVEEEGDLVDLREDTVSADEQGNRLALALFQGPPAAGGSNGSWEAFPSNGGNEVTSAWQNPAAEPGKADWELALVETASNLSKQKPAMSGGMDPLLLNGMYDQGVVRQHVSSQVSTGSASSVALPAPGQKTQMLALPAPDGSMQAVGGDPFAASLAVPPPSYVQMADLEKKQQLLTQEQIMWQQYQRDGMQGQSSLNRLDRANNNGFAPNPAMPYGMPTAYNTNPMPMAYTGNTGYYYPTY >Sspon.04G0018000-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4B:68573312:68576607:1 gene:Sspon.04G0018000-2B transcript:Sspon.04G0018000-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGELPSALSNCTYIITIDLKNNNFSGELQKVNFFNLPNLEALDLLYNNFTGTIPESIYSCSNLVALRLSGNNLHGQLSPRISNLKSLIFLSIGDNKFTNITNMLQILKNCKSLTTLFIRANFKGEAMPEDETIDGFQNLRALSISECSLPGKIPLWLSKLKTLEMLFLQRNKLSGPIPSWIKNLTSLFHLDLSYNNLAGEIPIALMEMPMLTTDLTATHSVFELPVYLGHSLQYRIINTFPKTLDLSHNNFTGAIHQEIGQLKLLAKLNFSFNSLSGEIPKQLGNLTNLQVLDLSSNHLTGAIPLALNNLHFLSKFNASHNDLEGPIPSVGQLSTFPSSSFDGNPKLCGIMVAEVCGLAEAPPASVPSTEKMIKRVSFAIAFGAFFGVGMLYDQIVLSRMYLGSASPSHMTLQPRLRQLSSGTCGEPSGHRADAAPGQRRRASCGVCAYASALRRVCLLRRIGPFM >Sspon.04G0024460-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:24182317:24188702:-1 gene:Sspon.04G0024460-1P transcript:Sspon.04G0024460-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHVIGGKFKLGKKIGSGSFGELYLGVNVQSGEEVAIKLESVKSRHPQLHYESKLYMLLQGGTGIPHLKWFGVEGEYNVMVIDLLGPSLEDLFNYCNRKFSLKTVLMLADQMIARVEYMHTRGFLHRDIKPDNFLMGLGRKASQVYVIDYGLAKKYRDLQTHRHIPYRENKNLTGTARYASVNTHLGVEQSRRDDLESLGYVLMYFLRGSLPWQGLKAGTKKQKYDKISEKKMLTSIEALCKSYPSEFITYFHYCRSLRFEDKPDYSYLKKIFRDLFIREGYEPDYVFDWTVSRQAAENNRLRLSGKTGGLVGPSVDRAERAAARHDVPERFSGPADAFARRTGSGSGHYGEHTKHRPLLDSLMSSKMAVDSDKRRHSSSRNGSTSRKALLSSSRGSGDPSDPNRSSHLVPTTTSSSRPSTNQRLHQSTGLEGRTSSFPKPGRIGHDDPTMRSFERLTISAERRK >Sspon.05G0011290-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:23683744:23688835:-1 gene:Sspon.05G0011290-3C transcript:Sspon.05G0011290-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box protein 7 [Source:Projected from Arabidopsis thaliana (AT1G21760) UniProtKB/Swiss-Prot;Acc:Q9XI00] MASSDISVDIRPDVNSFDHFLSMRYIATDRPWLKLYGIRVQPVPPFSSLSYKPDPALIHHCLPDELMLEIFTRMSPYTLGRAACVCRKWKYTARNPTLWRAACLKTWQHPILCYEEEWNGGKLHDGLYVSRNTYIHTGVTEWQFKKTVNVVCYYRYLRFFPSGKFLYKISPDKVKDAVKCMHFRASKADCVFKGDYILSEDGQIEMALLYPGHRYTLVRMRLRLRGTTIGANNRLDVLKILTTGVNATELQNWKGSILELVEGWEEDETHDPDVPAVSHSRGLSPFVFVPFEEVDTSVLNLPVEKMDYYVP >Sspon.08G0001720-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:3037802:3040990:-1 gene:Sspon.08G0001720-4D transcript:Sspon.08G0001720-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMDAGGGGVRSQGVNPDCPNAANPFHRCADYCPVPAPAANKAAAAPRGKPAPPRPRTTTVAQNGTAKHGDGAARVVPAADAEEEEDRGEREESRAVNPGYPVSKASPPRQGPAPVLGYAAQNGTARRDDEGECEITVVDVSEEQGESVEDYGAEEVSGGRTRTSARSPATDGEVGGGRTRTSARSPATDGEAGGEKQWQAVNPDCPNAANPFHRCAEYCPVPVPKVPPPPRGYEGSTHSDPGELHPRPRRREKGGSGGLPLYVFLREGSDGDGKKVDPRCPNAPNPFHVCTDHCLAKIADAGRSSEGAKSPISLFSRHFRRSSSSSEEGSLKSPGSRKVDPKCPSAGNPFHECGEHCAAKMQQAEQHKGVNIKSPRRKGGKNAAVIPNWKVDPRCPNASNPFHMCAQYCFDHLSQTAQTSASKSDKRRGKDVSKDEQRGEINPDCGNASNPYHKCGDHCKRKG >Sspon.03G0019680-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:83951407:83961270:1 gene:Sspon.03G0019680-1P transcript:Sspon.03G0019680-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKHGTRQPSVSLRLPACADEARELAPVNTRPVVPTTSNHFTAARLREDGLPLLQACGGDHVPSTGEFLVVRQPRPPSPPDEEEDYRRFVDSDLYDLPSAPLAPLAGTPRSEVAIGGADSVAGRLDLSRLDVSAALDQIFDQFGLPDGMRGELRLLKYVEEAEFGPDASINTVYIIGSLESKLDALQGQMLNVLVFLSRELLDHHALPMAESCKWMSKECALRLLSEAKPGNDRIGQYAYIGLLNSELSSNCTASPALPSQEYPPGITLVPMKSRTLAPFRTTNLVVVRSTNGAGGSTCSDCFASGEALLIDPGCSSHVHAELADLIDSLPKRLLVLVTHHHHDHIEGLSVVQRCNPDAVLLTHQSTMDRIGKGNWQIDYTSVTGGEKICIGDQELQVVFAPDYFETTYKFLDLSPHVLIPMHGRINLWPKNRRAREASILQSIESGAQTLFDIVSKTYSDVDRKLWIPASFNVRLHVDHLNSLRKLPKDFSLENFKESCGVHFILRWAVAYVHSRSSPAILAASALAGGLAVACALRRNYWKQS >Sspon.04G0021010-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:73480339:73482924:-1 gene:Sspon.04G0021010-1A transcript:Sspon.04G0021010-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RGERTGEGEIRLDFVDAKQPNPSCHSLARDPSIHPLVPRPRLRLLLLRTPLIRIDDANARSPSTPPAPRPPADSTSLPGLQAVKEPYELVGWGFTPILVLLRWVRACSTTSLGQD >Sspon.01G0042480-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:65924339:65926499:1 gene:Sspon.01G0042480-1B transcript:Sspon.01G0042480-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQTEKMAARRGREWDGDEQGAAPPTPLAAPVVCLLRSAGDLAAGAFVGSLVGYGKGLITNQGTKASLSIAGSSAKTFAALAGVQSFIMCLLRRLRGKDDTINAGMAGCCTGLALSFPGTTMCNYQYYCLEFY >Sspon.06G0009130-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:48982332:48984610:1 gene:Sspon.06G0009130-1A transcript:Sspon.06G0009130-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVRIPAADLNQHLSSPFTALRCRSPGDAALEAPLRVASRTLRRRAESTSTRIVCSAAEVQGSGGSKRRREREPLRRGAVSARLPVPDHIPRPPYVGADAVPDVCPDRQTHDGESIMRMLDACELAARVLHHAGAMVKPSVTTDEIDRAVHQMIVDAGAYPSPLGYGGFPKSVCTSVNECTCHGIPDSRELQDGDIINIDVTVYLNGYHGDTSRTYLCGEVDDATKQLVKVTEECMMRGISACKHGASFKEIGQRISEHAEKYGYGIDPFVGHGIGRIFHCEPIIWHTYDYEPGFMVAGQTFTIASVPRPLSLPLSSLDLLSSLRELFTDHRMATDSMQCNAEPTLSMGSTQCVVWDDGWTAVAVDGSLSAQFEHTVLVTSDGAEILTGFP >Sspon.07G0002300-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:4899012:4902516:1 gene:Sspon.07G0002300-2D transcript:Sspon.07G0002300-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding EDCWSDGETAALVAAWGSRYVELNRGNLRQKQWQEVADAVNTRRGASARRRPPRTDVQCKNRVDTLKKKYKAELARNAPSGWSFFPELDRLVGPTLSASASKRPLPLPAPQFALPIHPPAVRRPPSPSPSSSSPPPPMALPLPNYRRGSPLPAAALIQKEAAAAAAAVSDSEDSDDAGGNNNHNSLRSPSRSVSSLSGNNKKRSRDEAGNSADKGFRELARAIEAFAEMYERVESAKQKHAVEMERQRIEFLKQLEVKRMENFVDAHVKLARAKRTKKTTGGAAEGAGAMELVATVAALPFIISVNLMQWHSLSSEKGRWVVNTTALG >Sspon.07G0005080-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:13128311:13129245:1 gene:Sspon.07G0005080-1T transcript:Sspon.07G0005080-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRICLSGATTFGGASATPAPTSGSGRRLALAAASSPSRRRTASAMAEAHNGRRVGMVGDVRDAPAGHENDLEAIELARFAVAEHNSKTNAMLEFERLVKVRHQVVAGTMHHFTVQVKEAGGGKKLYEAKVWEKVWENFKQLQSFQPVGDAAAA >Sspon.01G0020770-1P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1C:77162893:77164596:1 gene:Sspon.01G0020770-1P transcript:Sspon.01G0020770-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MACGLWTSEGKTIDTLEGANPASLANKVAKVAVPASVAESAVPASLGVAAGPAVLENIQKMAQQNGSSAAESTNSGSTEDALNKRLEQLVNSHPVFLFMKGTPEQPRCGFSRKVVDVLKQEGVKFGSFDILTDNDVREGMKKFSNWPTFPQLYCKGELLGGCDIVIAMHDSGELKDVFEEHNIPLKPQGSKNEEAGEPGSATEKGGAVAEPIGLTDAQKARLESLINSSPVMVFIKGSPEEPKCGFSGKLLHILKQENIPFSSFDILSDDEVRQGLKVLSNWPSYPQLYIKGELVGGSDIVMEMHKSGELKKVLSEKGVIPKESLEDRLKSLISSAPVMLFMKGTPDAPRCGFSSKVVNALKKEGVSFGSFDILSDEEVRQGLKTYSNWPTFPQLYYKSELIGGCDIILEMEKSGELKSTLSE >Sspon.02G0025490-3P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:95566953:95568039:1 gene:Sspon.02G0025490-3P transcript:Sspon.02G0025490-3P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDELVGEILLHIPPHDPASLLRAALVCKRWGRIVSDPGFRRRFREFHRNPPLLGFFRNRNSFVSTSSFRFPPAALLNFHRRIDRRHGRVLRHRLMDARHGRVLLWNLRRQYDDDEIHLGNELMVWDPITDDSSELPLPPTPERPSKTGMFAHVYSSETAAWSEEISAHHLRVNHMYMLPSALVGNNLYFVCSAAVLKYDLVARQMSLIHLPWDRINGRTILSEGGRLGRADISSDRLYLYLWSREVGPDEDVGWAQRKVINLRKLLPDVPLRSLRLAGFAHGLDVILVGSSKGTFTIDLKSLQATKLQGEDCCEFWFPYMSFYTPGTS >Sspon.04G0005050-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:14623938:14625111:1 gene:Sspon.04G0005050-1A transcript:Sspon.04G0005050-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCYPPLGKIVSKALGKCNGRERWREGRLDFDYSLAYPPGPPTEIHYMRPVARTVTFASNNSVNVIPPSPPPPPQPQQQSTPEPQQPQTPPRHEPEQQHDTPESQPPQPQTEAPAQTQDAPPQAEPKPPKGPKRGKKKQPGRVRFGPEPPPQQQQEQAQQEHAQGPGNIEKAPDQWPHGASPAPAPTQGQGYLLRYTPSPLPRWEATPRRHEYFSGEYRSYYPTPVREGIYRIATDANRLTTIFSEENPNACTIV >Sspon.01G0011090-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:20858002:20859738:-1 gene:Sspon.01G0011090-1P transcript:Sspon.01G0011090-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKHGVVLEDIAAARHFSFPPPRTATVAGGSDSCRKLAAQVDLGPAVVGSWLDSMKASSPRHRLMAPLPGAADAEHDDWMERHPSALDRFEALAAAAKGKQVAVFLDYDGTLSPIVEDPDRAVMTDEVRALLLSASFASNLIHKMDEKAEHALLSSSNNKKRNKQNKEMRIPLALTPTMLVNTQMREAVRGVAARFPTAIVSGRCRDKVFSFVRLAELYYAGSHGMDIRGPTADPNHHGKAESSVLCQPASEFLPVMEEVYAALVSQVEPSIPGAKVENNKFCLSVHFRCVEEHAWAALFEQVRAVLKDYPGLRLTQGRKVLEVRPMIRWDKGKALEFLLSALGFADAAKDDVFPIYVGDDRTDEDAFRVLRARGQGAGILVSRFPKETSASFTLRDPAEVKEFLRKLVV >Sspon.01G0008190-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:22298971:22303232:1 gene:Sspon.01G0008190-3D transcript:Sspon.01G0008190-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFTSQSLIFRAPASPPCARIAPSVGRVTLRDYRAAFPPVAAVSTSMASSESEDKREAKLWGGRFEEGVTDAVERFTESISYDWQLYKYDIMGSKAHASMLAGQGLITATDRDIILEGLDQIEKLIQEGKFEWRKDREDVHMNIEAALIERVGEPAKKLHTARSRNDQIVTDLRLWCRDAIDKILIRIKQLQVSLVILASKYVDLIVPGYTHLQRAQPVLLPHLLLSYVEQLERDAGRLVNCRERVNFCPLGACALAGTGLPIDRFQTAKDLKFTAPMKNSIDAVSDRDFVLEFLSANSIAAVHLSRIGEEWVLWASEEFGFLTPSDKVSTGSSIMPQKKNPDPMELVRGKSARVVGDLMTVLTLCKGLPQAYNRDLQEDKEPLFDSVKAVLGMLEVCTEFAQNISFNSKRIQSSLPAGYLDATTLADYLVKKGVPFRTSHEIVGRSVALCVYKQCQLAELELDDLKSVHPVFEGDVYEYLGVENAVNKFISYGSTGSEQVKKQLEDWRIQLGISS >Sspon.02G0019910-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:64897610:64908189:1 gene:Sspon.02G0019910-2B transcript:Sspon.02G0019910-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKWLCCNCHVDDEEDGHDKEQAKAQSNKIDPKQKSSKPPVSQPEPEFFPPTIDVPELSLDDLKQKTDDFASSALIGEGSYGRVYHATLDDGRQAAVKKLDASENEPNDEFLKQVSLASKLKHENLVEMLGYCVDGNYRILAYEFATMGSLHDVLHGRKGVQGAQPGPVLDWMQRVKIAIEAAKGIEYLHEKVQPSIIHRDIRSSNVLLFEDFKAKIADFNLLNQAPDMAARLHSTRATPRLSEDKVKQCVDPRLKGEYPPKGVAK >Sspon.02G0009560-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:26120989:26133591:1 gene:Sspon.02G0009560-2B transcript:Sspon.02G0009560-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MITMLSASSVMNSNLACSSRISSCSDFTSGHSWRPIEAMKLHRMRAVSSIRISCAATKPAKTPAEEEWKVKRQLLAEKRVRSVDVKEALRLQKENNFVILDVRPEAEFKEAHPPGAINVQIYRLIKEWTAWDIARRAAFAFFGIFAGTEENPEFIQSVDAKVGKNAKIIVACSTGGTLKPTQNFPDGKQSRSLIAAYLLVLNGYSNVYHLEGGLYTWFKEGLPAGAGLHDGAHVHRGPLERQHLAAFSIVLLVAAHPACLVELQRPARHDGEDLLEEREEGALHVVARERGRLGEEEPLLLGEARGLVGGHLAPRRGHVGLVPDEHDDGGGAVGVRAELLHPPRHVLERGAPGHVVHHHGAQRAPVVRARHRPVPALCVHSDAPENGKSKPNHSEEQFHCNNK >Sspon.04G0017350-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:62974816:62978165:-1 gene:Sspon.04G0017350-1A transcript:Sspon.04G0017350-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGTSTAAAALLLPLLVLVLFAAGVRADDGAIEFSPPRARRAGPVAVDVRAEEDCALIRVPSLVDACRSAPGLPACVAQCVAYQYRGGYCDMLPNGRPGDCSCTNCLGTTLALWSKGSVDQCEDLRQLCADPRARAAVLADMDSVGKEAQLRGFEFAKAVRLVAEPFTVENGLLTPTFKVGPTGDSRGNLQTEMLSGCARVPARPADHGGLPPCLPVLSTSGLHLRTRRNWVKTPLGSSGLTAAMAIHMVLLLLLPFPRTSDVLGLATLSSNAQSM >Sspon.02G0023720-4D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2D:75066784:75072040:-1 gene:Sspon.02G0023720-4D transcript:Sspon.02G0023720-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPRGRAGFVKARSRGGAQAVDLG >Sspon.05G0019220-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:82418393:82422866:1 gene:Sspon.05G0019220-1P transcript:Sspon.05G0019220-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPVARVHLKVAHAALPALLPTPPKWKMLPLLPTPCVAAILPKPLANAKPSRADSDERWDAHKTKPACPASSASSSSRPPSAHSVVRSSIKNATTPLPKPGRADSAERWDAHKKAVIPASSSSSSGTSSLVGSTCTISRPSSSERWDVHKKRCPPQAELLDDGESSSTGSNDIDTEEEILWKPRAMYAGPGFAVAAPEPSMVPMPTAFLVAHAALPALLPTPPKWKRLPLLSTPPCVAAILPKPPAKPSRADSDERWDAHKAKPACLVSSASSSSSSGPRSADSVRSSAVGRGSPCKNASSPPAKPGRADSAERWDAHKKAVSPASSSSSSSATSSLASSNKWPICSASSADRWDVHKKRRPQQAALLDDGESSSTGSNDIDTEEILWKPRAMYAGPGFAVAAPEPSMLPLPTAFL >Sspon.02G0001430-3P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:60768033:60773006:1 gene:Sspon.02G0001430-3P transcript:Sspon.02G0001430-3P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCVHGRPSAPSPDHPPQPPEPPAPAPAQEAAGKAEQPAAPAEKPARRERRSRSSRSGPGPSFANRARGEQVAAGWPAWLSAVAGEAIDGWTPRRADSFEKIDKIGQGTYSNVYKARDTVSGKIVALKKVRFDNLEPESVRFMAREILILRRLDHPNVVKLDGLVTSRMTCSLYLVFEYMEHDLAGLAASPEIKFTEPQVKCYMHQLLSGLEHCHDRGVLHRDIKGSNLLLDNNGMLKIADFGLASFFDPDRKQPMTSRVVTLWYRPPELLLGATDYGVGVDLWSAGCILAELLAGRPIMPGRTEVEQLHKVFKLCGSPTEEYWKKSKLPHATIFKPQQPYKRRIRETFKDFPQSALQLIETLLAIDPADRLTATSALRSDFFTTEPFACEPSSLPKYPPSKEIDAKRRDEEARCLRAAGGRANGDGAKKTRTRDRPKAVPAPEANAELQVNIDKRRFITHANAKSKSEKFPPPHQDGAVGVPLDTSNHMDPLYEPPDPSSFSTVFTYEKGAVPTWSGPLVDPAAVVNQKRKHKSGRSSKQPATARAREIEFEVYILLIKSGCA >Sspon.07G0003040-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7B:6975278:6976435:1 gene:Sspon.07G0003040-2B transcript:Sspon.07G0003040-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSINNFLDADDDQDRGSLGLRSVRTLRLEFFPGHCSSGINRLIAKAADSWGVEDLEVLVKNTFQQHFAHSFPHHGLCNNPHNSRLRSLKLAACYIPPLEGFHALTSLVLQDLPESTPTTAYEAIFTLCPQLQALHLKSCMLNQGVVAVHAPKSQIKQLIMEHCWFGLIKLYTLPMLESMAVLQTNVSYELSSFPYLTHLNITFHHGLAKTRCVHVGNHYDLNQYLGGTPGISNLIVRFTGYHRWFKPWSPTLLFPKLRRLLIADVPSSWDVSWPRLLIEAAPCLECLHIHITSWEEEPHDDISWEPSEFCHNQLKELVIIGFQGTERQIYFVNFVIKVSTSLQLVSLYKNGHVQDRGRWDWDMVTQQYQWVKEEKVKILNQIAE >Sspon.02G0038660-2P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2D:38452355:38453287:-1 gene:Sspon.02G0038660-2P transcript:Sspon.02G0038660-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDGRRPDGSYGPEYGPVPRELEYALYHQLPSRSRRSPWPLHHGDYPWRLPEQRFTRRPFQRSSSWYSSKDSSVCLLNLSFRCIKFWTLELDTIITRSIWPTDVVLRHPLQPYTPFQIHHVHDSSSSGATLNPRQRREDTGLTDEEFREAMDQLRKQEYRPPDPQKKQQGGRGILQTRSATPPSTTEEEKACTVCLETFLPGEQVAITPCNHMFHQGCIAPWVKGHGNCPVCRFALCERETPLMPTKTEGWIWSCWR >Sspon.06G0018610-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:83779210:83781674:1 gene:Sspon.06G0018610-4D transcript:Sspon.06G0018610-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKSRLLLAHKLFSAMLSLLLHGRRPPPHSKSSSTASPVVHRGAAGPPTVQDAAALVVDVDTALLRSGGDLFPYFMLVALEAGGFLRGLVLLLLCPLIRLLMPRGAAIRAMAAVSFCGLRAGGRFRAGRAVLPKWLMEDVAAEAFEAVHAAGARRAVCVTAMPRVMVDGFLREYLGVETVVAREMKVVWGFYTGLMEELPEGGEMVMKAVLAAEESDRAVVGFSGSMEFLNHPLARCCKEIFIVSADEKSRWQPLARDKYPKPMVFHDGRLAFRPTAADTAAMFAWLPLGVALGAARLAVALTVPYRYSTPILAATGMSWRLKGSRPALPGGGRGQLFVCNHRTLIDPVYVSVALDRQVRAVSYSLSRLSELISPIGRTVRLTRDRDSDGAVMARLLNRGNLVVVCPEGTTCREPYLLRFSPLFAELSDDVVPVGIAVETSMFYATTAGGLKCFDPLYYMVNPRMCYTVQFLEKVDTSAVRSRAAPSTDMANLVQRKMGQALGYGCTMLTRKDKYLMLAGNDGIVRSTGDKSAPSAGAAAAEIGRKNN >Sspon.01G0033440-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:112674554:112677991:1 gene:Sspon.01G0033440-1A transcript:Sspon.01G0033440-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKATLKGRYEGDKATAATTLAAPAGDLRLKASATEAAFANGPSLRGLTLTLEKPGAFLVDLKPHNQDVRFQFMNSALVLDKRVSLTYTHSTSFATAPAPPAAAPPSRTALDCSVTFDPANKVTLSHSLGSGGCRLKYTYAHGVDRLTTIEPLFDTNKNAWEFAVTKKFTGGDTVKGTYAASTKLFGLEWSRDSIAGGSFKVGTTFDLSDQSKAPKLIAESTWNYEI >Sspon.06G0007870-1P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6A:39675686:39676442:1 gene:Sspon.06G0007870-1P transcript:Sspon.06G0007870-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding CRRRPCRRPWPPRPRRQGPRRQRSPPRRGNAAGTSSSPPPANATAWRRGLRRRRTRGWTRSTTGPTRSSTTRACSPEGSRRRTRGRPRTPRTRTGFCGSHRATTRSWTASPLRSAATCGARAASCRAACTRTCSSSPSCRCSRTGTPACWSTWWRRPGESRCTRCARTSGTPTSTTPTTTGRSPRVHAHARRP >Sspon.05G0029020-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:74669425:74670309:-1 gene:Sspon.05G0029020-1B transcript:Sspon.05G0029020-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRSWRSRFQQIRLPGENTSLSIASDDNGNVVLAKTNCRDLRQLWVQHFPYPCENRFSLVNLAKKNELRAMMILEDGPYPMMKLARYGPWNVPAYTLWTQDTPLFCDGFYKIRSCYKDGRLVLDELRGNVHEGTVVGAYPAHHSADNVLWKMEGFLSNP >Sspon.02G0019950-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:60325853:60326636:-1 gene:Sspon.02G0019950-3D transcript:Sspon.02G0019950-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVGRNMVAPLMVLNLIMYLIVIGFASWNLNHFINGQTNYPGVAGNGATFYFLVFAILAGVVGAASKLAGVHHVRAWRHDSLATNAAASLIAWAITALAFGLACKEINVGGHRGWRLRVLEAFVIILAFTQLLYVLMLHAGLFGGSGGYRDHDFGVGGGAAGEPKGAPRV >Sspon.08G0030410-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:59584386:59588701:1 gene:Sspon.08G0030410-1D transcript:Sspon.08G0030410-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWPLPTVTMILQHITATTTYGVLSNSFAKPGCPDKCGNVSIPYPFGIGENCFHEGFNVTCNDEDQAAYLGTQKTFKVFDIDLSHGEARVQKYIAFSCDTDNEYTGLPKYDQESSFRYGHPFTISNTKNKFTAIGCATIAIIQGKNQHEYTMRIASKMTQNALAWAVVKLPFQGMNYAAVQNFSPCSYAFVAEANWFKFNTSYAKSTTFGELYYGDGDRGVPMVLDWVVDNKTCGEAKKMKSYACVAMNSSCIDAQNGLGYRCNCARGYEGNPYLKGGCQGDIDECDHPSLYPCKGDCSNTFGSYNCSCPPGTHSEDPKSMPCTPIPNLSQLEVVTGLSSSGVKFIIDRKSTYFYFPNDGAIKNTINSKQKNAFPPASSSSLFAPLLY >Sspon.01G0001160-3D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1D:3456850:3457356:1 gene:Sspon.01G0001160-3D transcript:Sspon.01G0001160-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAARSRCCLQAAVLLWAALSSWTLALGAAAVPAKLKAAPAPVATGPVSRVEDATMFRIYYGQSFKVIKNSGDGKSYLLMQVSNKSYCVAGFFVSQFPEKEVLTIPAEHVQDGIKDEVLHGEDQVIRHPPRQLLCRHHHFSRYFLTVYCSELFTLNNGKWCNSVQTPP >Sspon.07G0005030-3D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7D:11450772:11451728:-1 gene:Sspon.07G0005030-3D transcript:Sspon.07G0005030-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding KHLKGKELKDAASWLSLAPPVSRDEANLKGSNITWMHSQITPSIPAHNAGLIFESSFRGMPVPRAPTPCLPLFPMASTRDKQQMLTAIVTSSDQGTSSNGIPARPTTLPFGWNSGGLSSHGIQRAPAPQPLTSAMASLAGDSRPIIPLVACTNDYGLNFKGSSTSIAPRAFRASAFTQPKRGGMGSNGIMRAPAPHLAACYMPATAGERHQSTLVTQDAMVNTIGGLAPSHSGPARAMVALHDLHIKMEPVDDNPLTYGNNAIPLAVGVMGTAAATSSATSIREPDVMGIGDCKASAFYPWCPPGFKPDDGSPSSSRQ >Sspon.03G0005710-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:40578382:40586948:-1 gene:Sspon.03G0005710-2B transcript:Sspon.03G0005710-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding CESVQCFLSLPIPRFASRCPTALEAATKVTINMYKCNMATREDLKGVPYKTAKACIIGLTHICSAASSEELKSSVMKGICSVVYRTVLSFFISSLEGKDIYRMIYTKHAMLQDPVALLETLKLELDNDKQPTIDNLSELGAICLLCTFLLFPENILEACFTLLASAECDDVKGEGLYLLNQLTCHLTCNAANVAMGDKIDEQCPVMEGNLSSTNKIVDSNPVVSENAMESNECYITMAISRHPSLRRWISSRYKKLCDSCKPAVVSEVSSCLKVLGSLSEPSEDKSHTGNESSVLEKLDNNVRENMRPDELISSSEQGALAKTESVNNYGNKSLQNMNVDMVRSDNQKSDVLTDAKLNDFKGRTVVSDARHQGTRPDLLMPKSVYDPAGGSTSLTSPGQHFGRAKHLFSEPFDIYGTYVARDVISVSKELWVGSLGNRATESLVRSKFEEFGPLVNFLFYPSKNFALVEYRNILHAVHACGYMQGSSIWGGFLQIRYLDRLIGSKGFIRGIAIGESRHIYVAKVKNKKDKDEVFDELKMAGLKWPSGITDISGENALLLEFEAAVDAATAKFYIRHQAPPDVCSRDMNPPGHQLLVQNIDHSVPDIDLINAFSQFGEVVRNQFNRSDSSCFIVYRSQDAAARAKSHLHGARFGLKSLSVELRTCSAGSVHDKTVPPVAPLLGQSVPDNSVHHEIRNPRVPGYHAGYAAPGDRPIYGPPPPNTSRAPQGIFPCPPVSAHHGSVIPPPPIQTSFVRPIYPGPGSPWENTTPNPPPFSHVSPRMMPGSSFRVNPASLPFVPSCVTPLPQLPGGSAQHSEKMPPSLPLPTIAPPPFTPLDMPPPPPPPLPISQPPLVPPPPNSPPPQPIADSSDSQKSSSHPQWQGPLLKSGMHYCRIYASRIDLDTCRYENSVSEPAEWPSELDVTKRSAFQHVKTTFNNTPPNKREVCRLVPCSNGDQRGFRDFISYLVQKDCAGVIRIPAVKAMWTRILFILPPTSDTCGMVGIPPVPADTMIVVTLPKETTIEAS >Sspon.03G0023280-1P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3C:50055399:50055695:1 gene:Sspon.03G0023280-1P transcript:Sspon.03G0023280-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSNSFATANKSQSFAGAFAFSFFFSFFSSCELMASVKAYVLLFTAFFFSGLMQLSMAAQEKPATVAVTARGIDAKGIDQAIAYLLMFAALFVTYLAH >Sspon.02G0023830-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:84211911:84213151:1 gene:Sspon.02G0023830-2C transcript:Sspon.02G0023830-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GA 20-oxidase3, GA metabolis [Source: Projected from Oryza sativa (Os07g0169700)] MAAAGVVFDAEVLSCEEHIPAQFVWPAEDRAPASAGGGGVEEIAIPVVDLRGFLRRGDGAELPRGVAEACERHGLFQVVNHGVRAALLADAYRCLDAFYARPLADKQRAQRRPGESHGYASSFTGRFRCCLPWKETLSFNCPAGAERAVVDYFVDVLGEDYRHMGEVYQEYCDEMARLALDVTEVLAAALGLRRGALRGVFAGGDSIMRLNHYPACRQPHLTLGTGPHRDPTSLTLLHQDDVGGLQVRAGGSGNGAWRAVRPRADVFVVNIGDTFAALTDGRHASCLHRAVVSGDRARRSLAFFLNPPLDRVVRPPDALLQLQEEKGRCPRAFPDFTWREFLEFTQKHYRSDASTMDAFVSWIAGGRGDDGHAGQEG >Sspon.07G0022030-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:5948755:5949633:1 gene:Sspon.07G0022030-1B transcript:Sspon.07G0022030-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIKNCELKFQGNREGFSVFTSLEELRIIDCPELIPSLVHEDKIDDQANGRWLLPCSLGVLDINDASAETLQPCFPGDLTHLTVLQVTEMNALMRMKSLQLHSCTALEKLEIGDCESLEGVQFPRSLRYLEVYGCPGLPQCLESLSTQGYELCPRLERLQIDDPSFLTTPFCKHLTSLQCLQLGGDKYLNIDAAGLTCEQEAALLLLTSLQELRFEGYYKLSDLPVGLHSFLSLKRLAISYCPNISRLPERGLPPSLEELEVLHCREELTEQCRTLATSKLKVIIDEEYVKL >Sspon.07G0009700-3C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7C:24609977:24610273:1 gene:Sspon.07G0009700-3C transcript:Sspon.07G0009700-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLERLPPPAGSRHGHHRYARMPPQADLEGEAAPAEQERELERRPEVLAASASASLRVPDAARVFDELPRASIVAVSRPDAGDITPMLLSYTIEVHYKQ >Sspon.01G0032570-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:109842218:109846570:1 gene:Sspon.01G0032570-1P transcript:Sspon.01G0032570-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKQFLGRLPKKPSKSGDKDPIGRSSPSVSHPPLGPRAGDRAANLSSYPPVISSSGLSYGSGMHAGNANTRAHVNGDSATSAFLSLPSFKDVPNTEKQSLFIKKLNLCCMQFDFTDPTKNIKEKEIKRQTLVELVDYIASATGKFSEASMQEITKMVSANLFRTLSTPPRENKVDGFDLDEEEPVMDPAWPHLQIVYELFLRFIQSQETDAKLAKRYIDHSFVLRLLDLFDSEDPREREYLKMILHRVYGKFMVHRPYIRKAINNIFYQFIYETEKHNGIAELLEILGSIINGFALPLKEEHKLFLVRALIPLHKPKCIAMYHQQLSYCITQFVEKDCKLADTVIRGLLKYWPITNSSKEVLFLGELEEILEATQPAEFQKCMVPLFRQIARCLNSSHFQVAERALFLWNNDHIESLIKQNSRVILPIIFPALEKNTSGHWNQAVQSLTLNVRKLFSDHDPGLFTECLRKYEEEKAKEKEVKLKQEATWKRLEEIASAKATSGAAVLVSRPLSRQSSAV >Sspon.03G0032120-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:43459010:43459807:-1 gene:Sspon.03G0032120-2C transcript:Sspon.03G0032120-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DMEDRSVVRRQEPTAAVRTEDDDVASGDEDSDAEFEFPFVSRESAAGAGAAAADELFAGGRIRAFYPVFGRVLQDEAPAPAPASPRAPLGRLFQLEQARTSSVASTSSSSSSSTAGAGLDGASPDSYCLWTPGSSASAASSPSRPPRKSGSTGSIARWRRIGELVVGRSHSDGREKFLFLSAPPSPARDREHSSPASKAKAKQPPKGSKAAAATELDTVAAGRRVSYGGGAKASTTGGRRTFLPYRQDLVGLFANVRGLSRSHHPF >Sspon.04G0020330-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:80102913:80106616:1 gene:Sspon.04G0020330-3D transcript:Sspon.04G0020330-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-prolyl cis-trans isomerase FKBP18, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G20810) UniProtKB/Swiss-Prot;Acc:Q9LM71] MGNGIFLNLPPTRAPLVCPVSSFEGDLRPCCLPGAVSRRRAAVQLLSAGFLTSVAPPLPSLAARRGRIVVPLEDYATAPDGLKYYDLVEGKGPIAEKGSTVQVHFDCIYRGITAVSSRESKLLAGNRSIAQPYEFTVGSLPGKERKRDFADNANGLYSAQAAPKPPAAMYTITEGMKVGGKRRVIVPPELGYGKRGMSEIPSLTFLNSGPSSIEGFDGFVLAELRVTKPSTKALVQSPEAAYREK >Sspon.06G0029260-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:78011520:78012764:1 gene:Sspon.06G0029260-2D transcript:Sspon.06G0029260-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTHTPPPQDLPSYGSSGGCPHVLLVPYPAQGHMLPLLDLAALLTARGLAVTVAVTSGNAALLAPLVRACPSVAVLSLPFPSSPLLPPGSGENTKDLPRHLFRPFMVSLAALRAPLLTWCNERRRHGHRVTAVVSDFFTGWTQPLAKELGVPHVTFSPSCALHLAMSHVLWRSPPTRRRREDPDAAADDEAVTFPEVPGSPTFPWRHLSGLFRQYAAGDELSEAIRQLFLWNLGSACFVANTFEALEADYVTRPLPDLASKRVFAVGPLSDAGGAAMSTSGGDRGGKPAVAAARVSAWLDAFPDGSVVYVSFGTQQALSAAQAASVADALARSSAAFVWAARAGTAVPDGFEAGTASRGLVIRGWAPQVEVLRHRAVGWFLTHCGWNSVLEAAAAGVAMLAWPMGADQFTDARLL >Sspon.07G0001910-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:4625854:4626797:1 gene:Sspon.07G0001910-1A transcript:Sspon.07G0001910-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSAVLSLTCAGLGASQEDEDGVVIGYAKSDHCLDNLKDLQRFLRRDDPQRREVFKQVCKWKIASRDLVPIIENYQTDRNLVITAVKVLVFLTMPVEPSSEDVAQQIEYLWDLKAALTRNVAVTVIVSLLEDPLDRLE >Sspon.02G0018110-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:57591755:57593100:-1 gene:Sspon.02G0018110-1A transcript:Sspon.02G0018110-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFAALFDPLYCPEEHLDLYHEEPGEGADEQWPDQHGQQQQQPAALDDELPALFEALRAKEGVVLAGEGEEDGYGGAAGREAAVGWASRAAARLGFSALTAALAAAYLDRCFLPGGALRLGDQPWMARLAAVACVALAAKVEETRVPLLPDLQLCAAATSDADAADPYVFEAKTVRRMELLVLAALGWRMHPVTPFSYLQPVLADAAMRLRNCEGVLLAVMADWRWPRHRPSAWAAAALLTTAGGDDGDTELLALINAPETALLTSPLDASQDETAECAKIISEVTGMSFLACDVGVSAGNKRKHTAARMYSPPLSPSGVIGALSCFSCESSTSTATTAAGVVGPWAPSAPVSVSSSPESHGRPPKRAAAVPHPLPPDEESRDAWPSTCAA >Sspon.04G0016760-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:64971016:64988141:-1 gene:Sspon.04G0016760-2B transcript:Sspon.04G0016760-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDPGGCTLRHPPTAREVVGRLKDDGDFDALRRAIVRKVKDNEVLRNKIISEVKQSVVLHEDGSERMELKELSDAIFQDIGSKIMGQISDEVWNVIQSNETDIQGTVKAILNPEKVPEPSSKKLRRKGKEEEVLPTKTATTVAVEAEDDDPEEPPGFGFSNTQHNDIGATKPPMNLENGNEAKPNGGGTTEQNHLRVVLHEDGSERMELKELSDAIFQDIGSKIMGQISDEVWNVIQSNETDIQGTVKAVYNRILNPEKVPEPSSKKLRRKGKEEEVLPTKTATTVAVEAEDDDPEEPPGFGFSNTQHNDIGATKPPMNLENGNEAKPNGGEPAAISNPADEEDDPEVPPGF >Sspon.05G0011610-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:33337966:33338964:-1 gene:Sspon.05G0011610-1A transcript:Sspon.05G0011610-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VLMIWRNKRKRYNFTLETAQGGSGIVPFRYTDLQHATKNFTEKLGEGSFGSVFKGVLSDSTTIAVKGLMLHIKERNNSGCSRSDSKLLVYEYMPHRSLDIDLLLGTITLGIARGLAYFHESCRECIIHCDVKPQNILLDKSFISKIADFGMAKFLGREFSRALTTIRGTIGYLAPEWISGGAITPNYSYGMLLLEIVSGRKNSHRECTTTGGDDTYFPVQVASKLLVGDIGSLVDDKLHGDVHLNEACKVACWCIQDNEPNLPTMGEVVQVLEGLIELDIPPMPRLLQAIGGSHS >Sspon.02G0010690-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:29086376:29090839:1 gene:Sspon.02G0010690-1A transcript:Sspon.02G0010690-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGATSLGLDAFLLVICLMHLLHNAAAIRFVIDREECFSHNVDYQGDTVHVSFVVIKANTPWHYTQDGVDLVKDPTGAQIHDSRDKTSDKFEFMVQKRGVHRFCFTNKSPYHETVDFDVHVGHFSYFDQHAKYEHFGHLFEQIAKLDEALYNIQFEQHWLEAQTDRQAILNENMSRRTVHKALFESAGLVAASVVQLIWRSLHYINLTVWCGESSDDIPNPRRKFVIPYKQQPEAGAAKARKAF >Sspon.01G0049670-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:113656314:113658182:-1 gene:Sspon.01G0049670-1B transcript:Sspon.01G0049670-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQWTPDGYVVSSTPTPIAVVTMYNYQQFRHIGPPGWQLGWTWAKKEVIWSMIGAQTTEQGDCSHFKGNIPHSCKKDPVVIDLLPSIPYDMQIANCCKAGVISTFNQDPANAASSFQLSVGLAGTTTKTVKVPRNFTLRAPGPGYTCGSAIVGKPSFLFSADGRRAFRALMTWNVTCTYSQFLAQKTPSCCVSLSSSYNDTVMDCPTCSCGCQNKNGKKCVNLVHLPVRDSPRLRSEIDGPGKWSGQPLVECTSHMCPVRINWHVKQNSKDYWRVEDHHHKPQLPNELHGSYNDVLMQDGKLGTVQSELLLRKDSQTFIFEKGWAFPRRVYFNGDNCVMPAPENYPSLPMQA >Sspon.04G0002920-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:9041288:9053361:1 gene:Sspon.04G0002920-1A transcript:Sspon.04G0002920-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MWVFYLISLPLTLGMVVVTLRYFAGPAVPRYVVITVGYAWFCSLSIIILVPADIWQTLTDSAKGGIGFFWSWSYWSTFILTWAVVPTIQGYEDAGDFTVKERLKTSIHMNLLFYSIVGAIGLIGLILLLIMHRAWDGGIVGFAMACSNTFGLVTGAFLLGFGLSEIPRNIWKNADWSHRQKVLSHRVAKMAVKLDNAHQEYSNAIVVAQATSNQMSKRDILRPYMDIIDNMLSQMLREDPSFKPSGGRLGENDMDYDTDDKSMATLRRQLRRAHEEYYRCKSEYMTCVMEALKLEDTIKNYERRDANGWKYVSSFRESRSGTLGSILDTIEFIWCCILRKQLQKAFAVILGCMSAAILLAEATLLPSGVDLSLFSILIKAVGKQEVLVQVCSQVAAFVPLMYMCICTYYSLFKIGMLMFYSLTPRQTSSVSLLMICSMVARYAPPISYNFLNLIRLGGNAKTTFEKRMGNIDDAVPFFGRGFNRIYPLIMVENTVEMKVGATSSRNDRRAGQLKSANNRETIASKYTSIREQNRQSGKAMRKEISPNSVSLLEERNSEQRSNAGVPPTGVSATWASMKIGFQNFKANMGSKKFLPLRQDPGFVLNSNASSPESLDDIFQ >Sspon.01G0049600-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:113453535:113457835:1 gene:Sspon.01G0049600-1B transcript:Sspon.01G0049600-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha-glucan phosphorylase 1 [Source:Projected from Arabidopsis thaliana (AT3G29320) UniProtKB/Swiss-Prot;Acc:Q9LIB2] MGYPWEVVRNDVSYPVKFYGKVVEGTDGRKHWIGGENIKAVAHDVPIPGYKTRTTNNLRLWSTTVPAQDFDLGAFNSGDHTKAYEAHLNAEKICHILYPGDESLEGKVLRLKQQYTLCSASLQDIIVRFESRAGESLNWEDFPSKVAVQMNDTHPTLCIPELMRILMDVKGLSWSEAWSITERTVAYTNHTVLPEALEKWSLDIMQKLLPRHVEIIETIDEELMNTIVSKYGTTDTELLKKKLKEMRILDNVDLPASISQLFVKPKEKKETPAKSKQKLLVKSLETIAEVEEKTELEEEEAEVLSEIEEGKLESEEVEAEEESYDDELDPFVKSDPKLQELSEWQTSVLLMWPTKFQNKTNGVTPRRWIRFCNPELSALISKWIGSDDWVLNTDKLAELKKFADNEDLHSEWRAAKKANKMKVVSLIREKTGYIVSPDAMFDVQVKRIHEYKRQLLNILGIVYRYKKMKEMSAEERSKSFVPRVVFVPDYNVSVAEALIPASELSQHISTAGMEASGTSNMKFAMNGCILIGTLDGANVEIREEVGEENFFLFGAEAHEIAGLRKERAQGKFVPDPRFEEVKEFVRSGVFGTYNYDELMGSLEGNEGYGRADYFLVGKDFPSYIECQEKVDKAYRDQKLWTRMSILNTAGSSKFSSDRTIHEYAKDIWDISPVILP >Sspon.05G0036290-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5C:85204155:85209658:1 gene:Sspon.05G0036290-1C transcript:Sspon.05G0036290-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNRPLAPDEDAVVGVSTLQPIRVGEVLEDQGYSKVTIDAVTKTRYRAIELDHPEDEDRKTLGQNLSCQVFFGTSATYPLARIPNPTMIWMTKTHKTRNNIGNQVFHHCYDPRLARPVHLLGLQLNHLRTCKKIDQQRNHYDPRLARPVHVLGKISQPSQNLQENTTTEEELADAELQRDREEVPPVWQFQAGGDLVAPGEVLKLGSRGREFKALSQFYQKLALDIHIMSLWTMKEADFYSAQQIQIGFLNPVVCNHGTLKYTSTIDELAKSFRLNKSCSILLSYNYVEDHHVLLDISVERTIVNVYDSRGSQLEDIHPFIEALNKAYEKLKSKSKKHCELGRSGFQVVSAGSIPMQPAGNDLCGFYVMHYMRSLINALSGSQTEVSSTPELIDLHISGFQEDLSGFIMDQV >Sspon.07G0000420-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:1043550:1045325:-1 gene:Sspon.07G0000420-1A transcript:Sspon.07G0000420-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding HGRHRRHPPAPAPLRRRLLREVPLAPPPPRPPPLLFPIGIVLRLPPFPYPAPPPPPTPPHHHQQQWVPPRNPPPPGPPPQWSPQGHPPPPPRGHGPPPPQHQHVPPPPPRGYGPTPPGPGHHMPPPPPRGHGPPPPGQHMPPPPPPHGHGPPPPGQHVPPPHGYGPPPTGQHGPPPPPPHGHGPPPPGQHVPPPPPPHGHGPPPPGQHGPPPPPLQRAPPPPEPMAGPGELMGLCREGRVKDAVELLAKGAHADPPAFYELATACSNPKLLEELRKVHDFFLRSPFRGDLRVNNKLLEMYAKCAAMPHARRTFDNMPDRDMDSWHIMIDGYSVNGLGDEALRLFELMKECMAPTSQTYLLVLNACANSEAIEEALLYFDAMSRDHGIEPGVEHYVGIIEVLGKSGHLNEALEFIEKLPFEPNAMVWESLLNLARMNGDIDLEDRAEELLVSLDPSKANPKKLPTPPPKRRLGINMLDGRNKLVEYRLPPKIEKKVVNEQRYVPDTRYVLHDIDQEAKEQALLYHSERLAIAYGLISTPARTPLRIIKNLRICGDCHNAIKIMSRIVGRELIVRDNKRFHHFKDGKCSCGDYW >Sspon.06G0016070-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:72408289:72413089:1 gene:Sspon.06G0016070-2B transcript:Sspon.06G0016070-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GSQYGNDSVKPLSMSDVVATSRNDHIAAHGEIIDDESMDADKKKVARKSLTRIRTHVGDLEESQLIGRKKEKSEIIDLISNNDNSQQVQVISVWGMGGLGKTTLVGGVYQILKLRDKFDKYVFVTIMRPFNLAELLRTLAGQLHEGSSKKEELLENRVSSKKSLASMEVDKLTEKLERLLEKKSCLIVLDDFLETSEWDLIKPRLLPLLEKTSRIIVTTREENIANHCSGRNGVVHNLQVLQHDDALYLLSEKDTTYLDEKKNPGLFKEAKRILKKCAGLPLAIVVIGGFLANQPKTVEEWRKLNENISAELEMNPNLGRIRTILEKSYDGLPYHLKSCFLYLSIFPEDQQISRRRLVHRWAAEGYSPETRGKSAIETADDYFMELKNRSMILPYQQSVHSRKSIDSCKVHDIMRDIAISKSMEENLVFRLEAGSSNIHGAIRHLAISSNWKGDKSEFEGIVDLSRIRSLSLFGERPFFISNKMRFLRVLDLEGTNWDLKYHHLDQIWKLIHLKYLSLRGCISIDLLPDSLGNLRQLQTLDIKGTFVKALPKTIIKLRKLQFIHAGRKSDYVRNENDRLMKRCLEGARLCATCCVPLLLGIDGLNRKALTRRDAWNFACCVEFPAVMTGADGERGAMVPRGIRKLKDLHTLSEVSVGRGNNVLRDIRMLTGLRKLGVSCINKKNGRAFSSAISNLSRLESLSVSSSGKPGLCGCLDEISSPPENLQSLKLYGNLEKLPQWIKELQHLVKLKLAGTRLLEHDAAMEFLGKLPSLEILVLCWSSFQCEEVGFKSLQGGIAFGSLRVLTLEVTRNIKSVKFEQGAMPKLERLQVTGTVNNEIDFSGLGFLPSNNDVQVRVYFLWNWDRIRAAPDPETWHKIIEEEELEEQRKIGELKKKIQEQLAQNTNEPIVTVD >Sspon.04G0017130-4P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8C:13322606:13325806:-1 gene:Sspon.04G0017130-4P transcript:Sspon.04G0017130-4P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVKRVRPRVFFGHHCTIVEGLTSMSLLLVAEVTSSPIFHICRYWFCLTVGAVAADLVRRHEGSRDDTEDAEREERGGKGNLLDWRATRTSHRAADQVVVVGDGEGVVHVRH >Sspon.02G0023520-1P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2D:73880343:73880792:1 gene:Sspon.02G0023520-1P transcript:Sspon.02G0023520-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMSSVAFATAGARGAGAFPASALAPRRRALVVVRAQSEDVETTPKAKAAIPGLWDALAFSGPAPERINGRLAMVGFVSALAVEASRGGGLLSQAGSGSGLAWFAATAAVLSVASLVPLLKGDSAEARSGAVMSSDAELWNGRFAMLGL >Sspon.03G0043110-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3D:42201524:42205790:-1 gene:Sspon.03G0043110-2D transcript:Sspon.03G0043110-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGILQATSSTRVSNLRTPVGKDQEPLTTLGEGSNNLQLRAQSPPLLQAVYRVRKHGRVTRNPQQLKDQHVSLSLSYPLRPAAMREMATAPQRATRAAPTTVLDTTLRVASAAPVALACARARFLRLSVSKATAASEAHACGRRRRRRLQRLRRPTRVGGDGGSGILSARRSSDPVDGGSACPRRETFPPKRSSVRST >Sspon.03G0015500-2P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3C:69705903:69706810:1 gene:Sspon.03G0015500-2P transcript:Sspon.03G0015500-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MANLFLKQAKQYVATRPVYPPELFDFIASKTPRRDMAWDVGTGNGQAAASLATLYKAVVGTDTSAQQLAYATRLPNTRYVHTPPDLPLEGIHATVAAPASVDLITVAQAFHWLDLPRFYAQVRSVLRPDNGVLAAWCYTEPRIDSAVDAVFWRLYHGSEKYWALNRRMVDDEYRSADFPFDPVEGETHTGPFEFSTQRRMDLDDYLMYITSWSAYQTAKDKGVELLDEATVQEFTAAWGGDGKEVKTV >Sspon.04G0027350-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:62587590:62589469:-1 gene:Sspon.04G0027350-3D transcript:Sspon.04G0027350-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGYFMGGGSSSYGGGGGSIMSAEVPHFHPGMLLDHGGGFGFGLGDAAVCGAGAATATDLVGAHYAANNIVLASFASQFFANTTAPPPPQPQDDRTCGRTPPEEMDDEVYGVSGSDSRVVAASLRCPSHPGAMAVWSSPSSSKKPYGIWTSAGGPVAASGHDPYHLAGAGLPDLGGGFHYPLAACSGANASAAAASELSLTLCSNSISSSDSALNATEQCSSGASRSALTELPPHPTRARSRMAPHFTVVVARSRYAAVVQEVLNDVVGHMLDGVADVADDSCSGVDGGSVGAPSAVSSNRFMVASSADAGARWGEAQRVRSKLLKTLQLMDQKYNQCLDEIQSTTTKFNTLMHSPSGGNGGSICAPFAHRAVSAMYRGLRRRLAGEIMAAASRASCWGESSSSAVTVTAAGGDVERSWESAFIQKHWSAHQLRRAEQQCWRPQRGLPEKSVAVLKAWMFENFLHPYPKDHEKDVLAARSGLTRNQVSNWFINARVRLWKPMIEEMYQDLKRSSGAGAGGQGPAMEQQQHMSKRRIICELEDGGQ >Sspon.04G0003720-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:11450664:11456786:1 gene:Sspon.04G0003720-1A transcript:Sspon.04G0003720-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGGGGGGGAGPKHDDLTPHPVKDQLPGVSYCITSPPPWPEAVLLGFQHYLVMLGTTVIIPTALVPQMGGNNVRFRSINTLLQSFFGTRLPAVIGGSYTFVLPTISIILAGRYANEPNPHTKFLRIMRGTQGALIVASALQIIVGFSGLWRNVARYLSPLSAAPLVALVGFGLYELGFPSVAKCIEIGLPELILLVIFAMYLPHTVHMLKSIFDRFAVLFTIPIVWLYAYLLTVGGAYRNAPPKTQFHCRTDRSGLIGGAPWIRVPYPFQWGAPTFDAGEAFAMMAASFVALVESTGAFIAVSRYASATPIPPSVLSRGIGWQGIGILLDGLFGTGNGSSVSVENAGLLALTRVGSRRVVQISAGFMIFFSILGKFGAVFASIPAPIFAALYCIFFAYAGSAGFGFLQFCNLNSFRTKFILGFSVFMGLSVPQYFNEYTSVAGYGPVHTHSRWFNDIVNVIFSSKAFVAGFVAYLLDNTIHRHESSVRKDRGYHWWDKFRSYRTDTRSEEFYSLPFNLNKFFPS >Sspon.07G0001880-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:3993867:3995413:1 gene:Sspon.07G0001880-1P transcript:Sspon.07G0001880-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHLSFFLLFSLALNLVSSTTVVTGQDQFVFSGFSGSNLNLDGGATITPDGVLELTNRTVTIKGHAFYPTPRRFRRSSGEVVQSFSVAFVFSMVPIYPGICTDGMAFLISPTKDLSGAQTSQYLVIAIQLGINSSAPQIDIAKLPKLPNVGPKPRSKVLKITLQIATAIFILIVGTTIILFVRRRMKYAEIKEDWEDEFGPHRFSYKDLFHATDGFRNNNLLGLGGFGKVYKGVLPVSKLEIAVKRVSHDSKQGMEFIAEIVSIGRLRHRNLVQLLVYCRRKELARTGKATPMTDVYAFGIFILEVTCGQRPISSLAEDSSQILIDWVVEHWHKGSLTYTLDRRLQGNCNADEVHLVLNLGLLCAHPVCNARPRMRQVTQYLNREMPLLEMICPQT >Sspon.02G0026670-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:76440697:76448023:-1 gene:Sspon.02G0026670-4D transcript:Sspon.02G0026670-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFVAASGQEPNLSSWGWMAGCFRPSSTSKQSAVRKPSPGSSLKDLCLVSKQGSIAEVESALALLKKSGGNIDGRNAFGLSALHLATWRNHLPIVRRLLDAGADPDARFGASLTLEDTKGRTPVDLLSCPVSQANGDFPDAVATEVFSWGSGTNYQLGTGNAHIQKLPCKVDTLHGSYIKTVAASKFHSVAVSSDGELYTWGFGRGGRLGHPDIHSGQTTAVITPRQVTVGLGRKRVNVVAAAKHHTVIATETGELFTWGSNREGQLGYPSVDTQPTPRRVSSLKQRIISVAAANKHSAAVADTGEVFTWGCNKEGQLGYGTSNSASNCMPRMVEYLKGKVFRGVSAAKYHTIVLGVDGEVFTWGHRLVTPRRVVVARCLKKGGNTNLKFHRMERLQRATSVCVGETHMLVLSSIYHPEYPPKPKSQGIKSMLEWNSGTEELDEDILFNDVQPNSGLSGSSGEMSKGVPSLKSLCEKVAVEYLLEPKNAIQLLEVADSLEAKELKKHCEDLAIRNLDYIFTVGAPSIMNASPEILVNLEKLLDEKSSEPWSQRRLPTMTATYPAVIDSDVEEDEAREFPKPRKCGKSASRPSAMSSHDNFLQKDCTAEQAVSKQIRALRKKLQQIEILEAKQLSGHQLDNQQLAKLKSRAALEGELAELGVPLEAYSASSACPAEGRKAEVSKKQKRKNKQATQTPSVKSEPGQQISITDLQEVLPGNVSAEKEVCAADPIKRIETGAFSNTKDIASPLEKKALQPTSSKKKNRKGGLSLFLSGALDDTPKPSLPAPVVLVTPKPEGPAWGGAKITKGPASLRDIQSEQSKTNEPTSAKTKDRYENSPDSAGRVRLSSFIPDSHSSPIAVTPARAVPSSEGDKSTPPWSSSATSPNISQPSLRDIQMQQEKRHHGISHSPKTRTSGFSIPPGGSPEVGGVKDSVPNRWFRPETDAPSSIRSIQIEEQAMKDFKRFYSSVRIVKPQMVSKYFVALFISLPPMTIGVRWQ >Sspon.01G0056020-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1C:85785233:85785736:1 gene:Sspon.01G0056020-1C transcript:Sspon.01G0056020-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding HEPRRRLRDRGGRGGGPRGAHRGHRRRRVRGGLRRRATGRRPGGGGGAAAVHDVERALGDATLVTYEQARAAGKSGGSASAADEEEAPRCALCLSEFASSKAGESDLVRVVSACGHFFHAKCGVDGWLRARGTCPLCRAEVWTTALPRPPRPECPPLPPLSGGATVGS >Sspon.01G0053850-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:95331984:95338138:-1 gene:Sspon.01G0053850-1P transcript:Sspon.01G0053850-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSRGVPNSGDDDTGHRSKRRRVSSGGDATDSISAAIGGAGEGGGKKALYHCNYCNKDISGKIRIKCSKCPDFDLCVECFSVGAEVTPHRSNHPYRVMDNLSFPLICPDWNADEEILLLEGIEMYGLGNWLEVAEHVGTKSKLQCIDHYTTAYMNSPCYPLPDMSHVNGKNRKELLAMAKVQGETKKGISLLPGELTPKAESPFSPSRVKMEDALGEGPAGRSPSHIAVGANKKASNVGQIKDGANVSKVEDGHVDRSVGVKKPRYSADEGPSLTELSGYNAKRHEFDPEYDNDAEQALAEMEFKETDSETDRELKLRVLRIYLSRLDERKRRKEFILERNLLFPNPLEKDLTNEDREVYHRYKVFMRFLSKEEHEALIRSVIEERKIRRRIQELQECRSAGCRTLAEAKIHIEQKRKKEYELNAQKAKESSHLIANNKLVQKMNRPMKIESDGNLDPKKGGAGLDSPKTTGLTSVKQWDDWDIVGLPGAELLSASEKLLCCQNRLLPSHYLRMQEVLMQEIFKGSVLKKEDAHVLFKVDPTKVDSVYDMVTKKLGNHEEAPTV >Sspon.06G0010260-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:39172830:39174452:-1 gene:Sspon.06G0010260-1A transcript:Sspon.06G0010260-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEANANATGGAPPAAAAAVRVLSVSRVTPAESAAGATDPVVVKLSFFDTPWVVLPPIQRVFLYLLPGGDADGHEFTAAVTRLKSSLAATLAAYLPLAGKLAYVAATGDVVVDCADDPGVAFVEAEADGAGTDTDTFDVRRLAGDEAHDIPAFLALVPDLPTKALPAPVLSVQATRLPRGGGLALGLSVHHAVADGQAVWRFMAAWVSAAREGSPVTKALPAPHYGREAIRVPNGDESARQMLKMIAPKLPVASTVADYDFSQRFRLARRTFYLAGDDIRALKRRIDALAAAEDDDAVVVADGSSTRNKKPVSTFVALAALGWTSFVRSKGLVAGDDTYLMFLADLRARLDLPVADGYMGNCIKGVLATADAGDLLFDGGRGLLAASRAIQAAVAEMEAAPLAGTERWLEKMMGLPFTRLANVAASPRFRVYEASDFGFGRPKRVELVSMNNDGEMVLVGGRRDGEVQLSVSLDPARMDEFKAHVFASSSAPSEEATN >Sspon.06G0017510-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:95151967:95155240:-1 gene:Sspon.06G0017510-1A transcript:Sspon.06G0017510-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding NNQLDGQISPDIGQLTQLRYLNLSMNSLRGEIPKAISACSHLDTIDLDSNSLQGEIPPSLVRCSSLQTVILGYNNLQGSIPSQLALLPKLYTMFLPSNNLTGSIPEFLGQSKNLTWVNLQNNSLTGEIPTAVFNSTSLYYIDLSHNTLSGSVPPFSQASSSALNYLSLYQNNLSGEIPSSLGNLSSLAFLLLSYNSLQGRIPESLGKLKTLQALDLSYNNLSSTVAPALYNISSLTFLGLGANQLVGMLPASISNTLPSITKLILEGSRFEGPIPASLANATNLQYLDLRSNSFTGVIPSMGSLTLLSYLDLGANRLEAGDWSFMSSLVNCTQLNNLWLDRNNLQGTISTYITNIPKSLEIMVLKHNQFTGSIPSEIGKFTNLMVINNFLSGKIPDTLGNLQNLSILTISKNQLSGDIPRSIGKLERLNELHFEENNLTGPIPSSLEDCKQLTTLNLFSNSLYRGIPQGLFSISTLSVGLDLSNNKLTGDKPFEIGGLINLNSLSLSNNRLSGEIPSTLGQCLLLESLHLEANNLQGSIPDSFINLKGITVMDLSQNNLVGRIPEFLESLSSLHILNLSFNDLEGPIPGGGIFARPNDVFIQGNNKLCATSPDLQVPQCLTSRPQRKKHAYILAVLASLASVAAVTMACVPVIILKKRRKGKQLTNQSLKELKNFSYGDLFKATDGFSPNSLVGSGRFGLVYKGQFKIEECAVAIKVFRLEQFGSPSNFLSECEALRNIRHRNLIRVISVCSTFDPTGNELKALILEYMVNGNLESWLHQKDCTESTKRQLSLGTRIAIAVDIAAALDYLHNRCTPPLVHRDLKPSNVLLNDEMVASLSDFGLAKFLSVDFSTGFNNSSSALGPRRSIGYIAPGDHVFAMQLANLGLNCSEMSPKDRPRTEEVYAEMLAIKEEFSTLCSLGSGSMLL >Sspon.04G0008550-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:24929649:24931914:-1 gene:Sspon.04G0008550-1A transcript:Sspon.04G0008550-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQDNASPRDAFWRNALFGDPEGDSDMEAEEDDPSDPEGFTQEALNAKQVVLCLSKSIVSLVSSVGTFTALHLYCIGSVFSIIKFYRHGKPLFACTGTVVNHVGSETWILTSATLVRKPDTDHDAYKADEVKIEVLLHDKRTINGCISMCNLHYNIAVVTVEIQFDLPMVALNDLPECYSLLGRPVIAVARDSKSQALLVRQGNMIRKRSKLDCSELLLCTCPVNKIFIGGLVVDFERIIIGVAFLGKDTTHIVPTEIMARCVKHFKKFRTLKQPRVCIRGHALHSLELSSLEILCLKFPNLSCGIGVVVDQ >Sspon.05G0017150-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:67051427:67052705:1 gene:Sspon.05G0017150-2C transcript:Sspon.05G0017150-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MERGTIDVSLENSISYLSDDCLLSIFNKLESESERSAFGLTCKNWFKVRNLGRKSLTFHCSFNPAIDKEHAKCIPKILAHSPCLNRISLAGLTELPDSALSTLRMSGSSLKSLSFYCCSGITDDGLAQVATGCPNLVVVELQSCFNITDVGLESLSKGCRALKSVNIGSCMSISDQGVSAVFSNCSNICTLIITGCRRLSGVGFRGCSSSFCYLEAESCMLSPDGLLDVVTGSGLKYLNLHKLGSSTGLDGLGNLAFAKSLCILNLRMCRYLTDDSVTAIASGCPLLEEWNLAVCHGVHLPGWSAIGLYCNKLRVLHVNRCRHICDQSLLALGNGCPRLEVLHINGCAKITNNGLALFTISRPHVNLRVDEGDWFFGHYVDSVCSGAYL >Sspon.02G0027560-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:100129692:100137948:-1 gene:Sspon.02G0027560-1P transcript:Sspon.02G0027560-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSRVLVVGGTGYIGRRIVRASLAQGHPTLVLMRPEIGLDIDKLQMLLSFKAQGARLVEASLEDHAALVAAVAQADVVISASAMSGVHLHSHNLSLQHNLVKAIKEAGNIKACRSASLFQSIVLSPTMEKSRVLVVGGTGYIGRRIVRASLAQGHPTLVLMRPEIGLDIDKLQMLLSFKAQGARLVEASLEDHAALVAAVAQADVVISAMSGVHFRSHNLSLQHKLVEAIKEAGNIKCFIPSEFGMDPSKMGHALEPGRVSFDEKMDLRRAIEDANIPHTYVSANCFAGYFCPNLCQMRTLLPPKEKVHVYGDGNVKVIFCDEDDVATYTIKSVDDPRAVNKTIYLRPHENILTQNDVIAKWEKLSGNVLEKIHIPADEFLASMK >Sspon.03G0002270-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:5527124:5532347:1 gene:Sspon.03G0002270-1A transcript:Sspon.03G0002270-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQMELVSPASSAPRQEVMMASPLRPLLPPPPSFQQHHSLPLQLHGDGGGDHDKRKHGSTRKDGRLVDAKTERRLAQNRDAARKSRLRKKNACMNGPGIAESNLSLQAYVQQLETSRIRLQQVEHELQRARSQGLFVGGCSAAGDMSSGAAMFDMEYARWLDDDSKRLAELRAGLQAQLLDGNLGLIVEECMQHYDELFQLKAALARSDVFHLLTGAWATPAERCFFWMGGFRPSELLKILIPQLDPLTEQQLLGICNLQQSSEQAEEALAQGLHQLHQSLADTVAAGTLNDGAAAPNYMNIMAVALDKLACLESFYQQFKILEAPMCSIAKTRNNTNVTSHLSFHVFVLFQQADNLRQQTLHQMRRILTTRQAARCFLSIGEYYSRLRALSNLWASRPRDNFIGTESLSPTATELQALHHQQQNQFNGF >Sspon.02G0033010-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:3962161:3966178:1 gene:Sspon.02G0033010-1B transcript:Sspon.02G0033010-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GTQRKAIMDSFMAAQKYCLLTTCHRRFLLQYFGEECNTDCGNCDNCTAVKNERDLSKEAFLLLSCIKSCGGRWGLNLPIDVLRGSRAKKIVDNNYDKLQMHGRGKDYSSNWWKALGGLLIAHDYLKETVHDTFRFVSVSPKGVKFLSTVDKMDGTPLVFQLTAEMIELEERGSSHHKEGGGLNPVPTLESEKFSEDESKLYQMLLNVRMKLAQDIGTAPYAICGDQTIRNFAKMRPSTGARLANIDGVNQHFISRFSNIFIQNIAQLSKELNLPLDNSPLPPPPTNPAVEYIAGIPKPVQNNLPGILGDAKLTAWELWHKQEYSFRKIAYFRRAVPIKEQTVIAYILDAAREGCEMDWSRFCREVGLTPDIATAIRLAISKVGSRDKLKPIKEELPENVTYDMIKTFLTIEGRGLSEQVFGNGTADGVPSRIAESPISSSHASEAGRNDMGDGVPAAEASDANPEAKRGQTVSAEEPATKWQRIDEHGAESTGTATATEESVLALVASCNGVSLEDVATHFKGSRRALVLEILEGLESEFTIYKKNGNYMML >Sspon.07G0016760-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:59749597:59753522:1 gene:Sspon.07G0016760-1A transcript:Sspon.07G0016760-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPARRPPPRLPARITTAAPTLLLLLLLLLLSPPPAATAAQHHRHHPTGDGVVISQADYQGLQAIEHDLSDPYGFLRSWNDSGVTACSGAWAGIKCVLGSVVAITLPWRGLGGTLSARGLGQLVRLRRLSLHDNAIAGPVPASLGFLPDLRGVYLFNNRFSGAIPASIGGCVALQAFDASNNRLNGAIPPAVANSTRLIRLNLSRNALSDAVPVEVVASASLVFLDLSYNNLSGPVPDAFAGSDKSPSSTVSKLTLDDDDDKEAITGSYQLVFLSLAHNALDGPIPESLTKLTKLQQLDLAGNSLNGTIPAQLAALSDLKALDLSGNALAGEIPPGLDNLNATLQSFNVSYNNLSGAAPASLARKFGEPAFTGNVLLCGYSASTPCPAPPSPAPASPAEEPPSRGGRKFSRRELVLIIAGIVVGVLVLLLLCCLLLCFLSRNKRSSGGTAGTRSGKQAAAKEAGAGGAGAAAAGRGEKPGSGAAEVESGGDVGGKLVHFDGPLAFTADDLLCATAEIMGKSTYGTVYKATLEDGSLVAVKRLREKITKGHKEFEAEAAVLGRIRHPNLLALRAYYLGPKGEKLLVFDYMPNGSLHSFLHGQISTLLLSRAPNTPVDWATRMTIAKGTARGLAYLHDDMSIVHGNLTASNVLLDEQHSPKISDFGLSRLMTTAANSNVLAAAGALGYRAPELSKLKKASTKTDVYSLGVIILELLTGKSPADSTNGMDLPQWVASIVKEEWTSEVFDLELMRDTAAAATAGDELMDTLKLALHCVDPAPAVRPEAREVLRQLEQIRPGPDGGAGPSEEGGAAHVPAASASAGDD >Sspon.03G0019370-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3C:80175844:80176752:1 gene:Sspon.03G0019370-2C transcript:Sspon.03G0019370-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGLKAFKNQHINKHQLPELSSEDDDMYDDEDDEFDDDYEEELRFLGDKMSQLGFHSNNHHQNQNKNGNNAAVNNNHNNGNGKKGNGGGANHHQNNHHQNQKNVNVINMAAANAKMGAGVQNQKNANAINMAAAAAAANAKMAANGAQRNTGAMSGMLGLSHGLGAGSAAPGFQGYTGFNHPSYAAAGYGGLQQQQQHLQQQQSNNLMASMQGYHHHPAATAAMMNNLRGLNSNMMMMHQPQQQQQPQMMYHSSPQISPYTGYYNPYNYYYHPHPGSAGYPASNGDVETMFSDENTKGCVVM >Sspon.03G0015870-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:50048355:50052314:-1 gene:Sspon.03G0015870-1P transcript:Sspon.03G0015870-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCFACCGDEDTQVPDTRTQYPGHHPARADAYRPADQPPKGPQPVKMQPIAVPAIPVDEIREVTKGFGDEALIGEGSFGRVYFGVLRNGRSAAVKKLDSNKQPDQEFLAQVSMVSRLKHENVVELLGYCADGTLRVLAYEFATMGSLHDMLHGRKGVKGAQPGPVLSWSQRVKIAVGAAKGLEYLHEKAQPHIIHRDIKSSNVLLFDDDVAKIADFDLSNQAPDMAARLHSTRVLGTFGYHAPEYAMTGQLSSKSDVYSFGVVLLELLTGRKPVDHTLPRGQQSLVTWATPRLSEDKVRQCVDSRLGGDYPPKAVAKFAAVAALCVQYEADFRPNMSIVVKALQPLLNAHARATNQG >Sspon.06G0028370-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6C:5760541:5760903:1 gene:Sspon.06G0028370-1C transcript:Sspon.06G0028370-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPPHSVKVRTSRRLAAAHRRSPLLRGRTLALIRPRLLLLLTVALVAAMTFNFFHYGYQYKVPLPHISCLIAGAGTPLITSSYG >Sspon.07G0015060-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:52361752:52362210:-1 gene:Sspon.07G0015060-2D transcript:Sspon.07G0015060-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMVVSASTGVLSSLLSKLSVLLIDQYMQRKGVRRDIELVCCELTKMNAALEKLANMENLDGQTKVWRDKVREMGYDIEDCIDIFMHQLGQGDDKDGLFHKIARKIRELRLHYQLANMIHDIKARVEEQSKIRDRYMIDESISNIQSGGGS >Sspon.03G0002020-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:19803553:19806890:1 gene:Sspon.03G0002020-2P transcript:Sspon.03G0002020-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARNPGCTVFIGNLDDKVPERVGRVVDLHIPRDKETSRPKGYAFAEYETEEIAQYAVKLFSGLVRLQNKTLRFAISGQDKPSSNGNIPITPRLNSVPQPKQPQPMRYSDTPLPQHTTVDGRSAGYGISPNHSYDTHTQAPVSSGLPSRGLSNGTYEYSRRVFGSVLNDVSRRATREPVPYPSY >Sspon.02G0023820-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:81359518:81360135:1 gene:Sspon.02G0023820-1A transcript:Sspon.02G0023820-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLRLLCEGVGLCPDYFEGDLSAGDVVVNVNHYPPCPDPARTLGLPPHCDRNLITLLLQGSVAGLQVSYRGDWIRVQPVPGAFVVNFGHQLEIATNGLLKSVEHRAAANAATPRTSVATFIMPTDDCLVAPAAELAGGGGGARYRAVTFREFMRVYKTVGARRESVEKAFKI >Sspon.05G0005250-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:11216162:11218585:-1 gene:Sspon.05G0005250-2B transcript:Sspon.05G0005250-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MCIAAWAWQCHPTHRLLLLFNRDEYHSRPTEPAQWWAEGEDSKEILGGRDLLGGGTWMGCTRDGKLAFLTNVSEPSSLIGAKTRGQLPVRFLQGSHGPLEYATEIANEADQYNGFNLILADVNSGIMVYISNRPGGDPVIQIVAPGLHVLSNAAIDSPWPKAMRLGQSFKTYLATHNDAEASLKQMVEELMMDTARPDRSMVPDTGVDPEWEYKLSSIFIDTAKEQAKTLAFSISVAIAQYGTRSMVALAAKLEGEVAFYERYLENSLWKENLIQFQMEKAQ >Sspon.03G0034030-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3B:67727662:67727867:-1 gene:Sspon.03G0034030-1B transcript:Sspon.03G0034030-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding PRVLVNLYFAGGYQNNSRIDIFHEKEPMSIGLISMSRIRKKLLEDTRGLHEEGHHEAPLGGADQPQP >Sspon.01G0009760-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:33487370:33487955:1 gene:Sspon.01G0009760-2B transcript:Sspon.01G0009760-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKLGMKSITGVSRVTVKKSKNILFVISKPDVFKSPNSDTYVIFGEAKIEDLSSQLQTQAAEQFKAPDLSQMISSPETSGPGQEDNEEEVDDTGVEAKDIELVMTQASVSRPKAIKALKASNGDIVTAIMDLTN >Sspon.03G0046450-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3D:38042057:38042750:1 gene:Sspon.03G0046450-1D transcript:Sspon.03G0046450-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AAAIVGVFPRGNPSTDLWQQARGRSLEIGAAEAQNSDNPAMSAMFAVMKAFDGVEGSLRRVSGALSQARDDRRQLQRDHDAEIERLTAEMAQLTQQQLANAEEYNDNLHEEVHRLNNQLHPYVPRGAVEMDLDEDKDPEEPEAPAEDDDDVVDGDNANISDLDSDHDE >Sspon.03G0033210-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3B:46849740:46849909:1 gene:Sspon.03G0033210-1B transcript:Sspon.03G0033210-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VGSTAVMVMKVVIPPLDKRRWIETIWIEAMMQRGGGCHERDKVVSKLNGSTYVKL >Sspon.08G0015730-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:59997827:59999617:1 gene:Sspon.08G0015730-3D transcript:Sspon.08G0015730-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSNRNLSRAEGRRLGNVALIAFMVGSLLLLSLIRAKFSPIGKTGDAIKAEEQQAMAKEMATAHEAAAAAEEEEEETRSKPTDSNSGTSVSGAAIVVGDDHTERASKPVCYESSRRSDTCEAAGDVRVVGRAQTVLVSPLDREWKVKPYCRKHDAFALSHVKEWTLRPVGGDADAPRCTVNSSATAFVLSTGGFTGNLFHDYTDVLIPAFITARRYGGDVQLLVSSYKPWWTTKYLQVLQQLSRHEVVDADADAEVRCYPRVVVGPTFHRELGVDASLSPGVSMPEFRAMLRDAFGLERAAAAPSGDRWDIRRRPRLLIISRRTSRRLLNERAMVDMATSLGFDVRTGDPEVSTDVGRFARLVNSADVMVGVHGDGLTNMVFLPAGAVLVQVVPYGGLEWLARGTFREPAEGMEVHYLEYVVQKDETTLSEEYGEDDPVIKDPAAIHKQGWDALKAVYLDKQNVRPHLGRLKNTFVQALKLLPHGRQTTDD >Sspon.01G0014270-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:43035989:43040221:1 gene:Sspon.01G0014270-4D transcript:Sspon.01G0014270-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Autophagy-related protein 3 [Source:Projected from Arabidopsis thaliana (AT5G61500) UniProtKB/TrEMBL;Acc:A0A178UM04] MQVKQKVYELYKGTVERVTAPRTVSAFLEKGVLSVPEFILAGDNLVAKCPTWSWEAGDPSKRKPYLPADKQFLVTRNVPCLRRAISVEEEYDAAGAEVVLDDDEDGEGWLATHGVQASKREEEEDIPSMDTLDIGRSDGIKSIPSYFSSGKEEEEEEDIPDMDTYEDTGNNLAAAEPSYFVAEEPEDDNILHTRTYDVSITYDKYYQTPRVWLTGYDESRMPLKPELVFEDISQDHARKTVTIEDHPHLLAGKHASVHPCKHAAVMKKIIDVPMSGGVEPEVDKYLFIFLKFIATVIPTIEYDYTMDVDLGSTS >Sspon.05G0012180-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:30604276:30605425:1 gene:Sspon.05G0012180-2B transcript:Sspon.05G0012180-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVQARHYSHNPAPAAGGSLFLDEYAGCAPAPAGRIGDATTVLSDFPRSELAFAWCNNGFLPRKRPRLEAADQAVAPAAGGHLLEDQRASTPPACTERLLPVPPFVGVRSRAVGSGAASTSGRVANGATTVVLRELLSSWTHHHGVEIDALVALEAERMRAALEEARRRHARALLAAVGRAASGRLRASETDLERALRRNAELEEKARQAGAECQAWMGVARSHEAVAAGLRATLDQLLLQSPCCGAAAAGGGGCEAEDAQSCCFEQAPAAAAHATEEDDGACASRSKSLACKSCGSGEACVLLLPCRHLCLCRVCEAAADACPVCATTKNGSLHVLFS >Sspon.05G0012250-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:37236345:37241248:1 gene:Sspon.05G0012250-2B transcript:Sspon.05G0012250-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Golgi SNAP receptor complex member 1-1 [Source:Projected from Arabidopsis thaliana (AT1G15880) UniProtKB/Swiss-Prot;Acc:Q9LMP7] MEASSWDALRKQARRLEAQLDDQMIAYRKLVSMKSDGSENDIESDIERSLKQLQQVNSQMQTWVSSGGSEVLSHTLTRHMEILQDLTQEFYRLRSSLRAKQQHASLLDLRDFDRAKFDVEDPSDSADQALLREQAAIGRSTGQMDNVISQAQATLGSLMTQRSTFGGITTKISNVSSRLPTINHVLSSIRRKKSMDTIILSLVASVCAFLIFIYWLSK >Sspon.02G0057950-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2D:68783872:68784091:-1 gene:Sspon.02G0057950-1D transcript:Sspon.02G0057950-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGSPEPHFSGALRHHLPRHRQPHLPALTQPELQQPSRWDPTQHRLPQAPPRPLPGPKQAHRCHPNSQVTSA >Sspon.02G0023200-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:78646196:78650059:1 gene:Sspon.02G0023200-1A transcript:Sspon.02G0023200-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LKKALRGVRVETTHQQGKRSIYKITGITPVPLAQLSFSCNEGPQLTVVQYFAQRYNYRLRYTAWPCLQSGNDSKPIYLPMEVCQIIEGQRYPRKLSDTQVASILKATCKRPQDREDSIIKMVRHNNYSADKMAQVFGITVANQMANVQARVLPAPMLKYHESGKEKTVAPSLGQWNMINKKMVNGGTVHSWTCLSFSRIPLHVVDRICEDLAQMCNSIGMEFNPRPVTEVQSASPNHIEAALRDVHMRAPNLQLLIVILPDVSGHYGRIKRMCETDLGIVSQCINPKKNKNKQYFENVALKINVKVGGRNTVLERAFVPNGIPFVSDVPTIIFGADVTHPTAGEDSSASIAAVSFLSSANYCFVQMLYFHSAFEHLLPMDMLRHKVVASMDWPQVTTYKALVSAQAHREEIIKISSGLVQIQRRALQELLTSFYKRTGRKPQRIIFYRDGVSEGQFSHILLHEMDAIRKACASMQDGYLPPVTFVVVQKRHHTRLFPEVHGREILLTKVETFFLELWLIPAFVIPASLISTFVAMLELRPTHYHVLYDENHFSADALQMLTNSLCYTYARCTRAVSVVPPAYYAHLAAFRARYYDEQDSTDGTSVVSGGAAAAGGGPTAFRRLPQIKENVKEVMFFC >Sspon.03G0001860-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:15871654:15874191:1 gene:Sspon.03G0001860-3C transcript:Sspon.03G0001860-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGGQDLQLPPGFRFHPTDEELVMHYLCRRCASLPIAVPIIAEIDLYKFDPWQLPRMALYGEKEWYFFSPRDRKYPNGSRPNRAAGSGYWKATGADKPVGTPKPLAIKKALVFYAGKAPKGDKTNWIMHEYRLADVDRSVRKKNSLRLDDWVLCRIYNKKGGLEKPSAAAGDHKPMVFAAGAVSSPPEQKPFVATPGGLPPAAFTADLAAYYDRPSDSMPRLHADSSCSEQVLSPEQLACDREVQSQPKISEWERTFASDPVNPASSMLVDPVVGGHAGDPLLQDILMYWGKPF >Sspon.02G0011750-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:25474422:25474955:-1 gene:Sspon.02G0011750-3D transcript:Sspon.02G0011750-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQRDKKEEPTELRAPEITLCANNCGFPGNPATQNLCQSCFSAATASRSSSPSPPSPTSSSSASAPVAAAVSQPRPALVDAAAVELQASPAAAAVGQSMEVVAAAAPAAAARTSVNRCSSCRKRVGLTGFRCRCGELFCGAHRYSDRHGCSYDYKGAARDAIARENPVVRAAKIVRF >Sspon.03G0024620-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:93361355:93363186:-1 gene:Sspon.03G0024620-2C transcript:Sspon.03G0024620-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVSPFVALLLVALLSLLLFATRRKGSPSSRDGRRLPPSPPGLPLLGHLPLLGSLPHRKLRSMAASYGPVMLLRLGRVPTVVASSAAAAQEVMKARDLAFASRPRVRMAERLLYGRDMAFAPYGEYWRQARRVCVLHLLSQRRVYSFRQAREQEAAAMVGRVRRAGAGGRGAGAVNLNAVLISYSNGVISRAVFGNVGRSYGLDGGEGEKLAELFADFEELLGTVTVGELVPWLAWVDTLTGLDAKVKRTSGEMGALLDRVITDHRQRRRGNRRPPEEGDHRDFVDVLMDVNEAEEAGGVKFDNVAIKANILVLFAAATDTTYTTLVWAMAELINHPNEMRRVQDEIRAAVAGSGHDVVTEDHLDKLRYLRRVIKETLRLHAPLPLLLPHETMEDTELLGYHVPAHTRVIVNAWAIARDPATWERADEFVPERFTDDDDDVKTDYLLGQDFRFVPFGAGRRGCPRVGFAAPAMELALASLLYHFDWELPAGGASKVEMEELNGLSVRLKAILRLVAKPCYPQ >Sspon.05G0026780-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:39883842:39896042:-1 gene:Sspon.05G0026780-1B transcript:Sspon.05G0026780-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEKDRISYFYDGDVGNVYFGPNHPMKPHRLCMTHHLVLSYGLHKKMEIYRPHKAYPIELAQFHSADYVEFLHRITPDTQHLYANNLGEDCPVFDNLFEFCQIYAGGTLDAARRLNHKTCDIAINWAGGLHHAKKCEASGFCYINDLVLGILELLKYHARVLYIDIDVHHGDGVEEAFYFTDRVMTVSFHKYGDLFFPGTGDIKDIGEREGKYYAINIPLKDGIDDASFTRLFKTIIAKVVETYLPGAIVLQCGADSLARDRLGCFNLSIEGHAECVKFVKKFNIPLLVTGGGGYTKENVARCWAVETGVLLDTELPNEIPNNEYIEYFAPDYTLKVPNLNMDNLNSKTYLSSIKVQVMESLRSIQHAPGVQMQEVPPDFYIPDFDEDELDPDERADQHTQDKQIHRDDEYYEGDNDNDHDDGTR >Sspon.07G0016250-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7A:57857358:57860301:1 gene:Sspon.07G0016250-1A transcript:Sspon.07G0016250-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ras-related protein RABD1 [Source:Projected from Arabidopsis thaliana (AT3G11730) UniProtKB/Swiss-Prot;Acc:Q9ZRE2] MASGIEYDYLFKLLLIGDSSVGKSCLLLRFAVSFPSFLQITDWKIRTVDLDGKTVKLQIIVYDVTDMESFNNIKQWLSEIDRYASDNVCKLLVGNKCDLVDSKVVDTEKAKAFADSLGIPFIETSAKESLNVEEAFLTMSSEIKKRMATQPTVERRPTVHVHMKGQPIQQKSSCCSS >Sspon.02G0038800-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2B:44993234:44997671:1 gene:Sspon.02G0038800-1B transcript:Sspon.02G0038800-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEARAVEEREAQRQRIEELVGFVSTLGAAMGQTMPASLVLPLPQAATPCDTRQTVCLVFLGLCLVPAALGKSRDSSSEGCFAREQFHLNCNYTTSSSPTLLLDSRSLYHVTNINVDEGLIEYISPDERHYEISTSTWDGQLHDLRNLYLTYGSNTTFSVPWFAAQQTCQQAKQNESAYACAASECVTVRFTDDYDDYDVGYRCKCPRGYHGNPYVSCIGIAIGLSVGLGILLLCLSGVFLIRRWRKDLQRKLRKKYFRQNKGLLLEQLISSDENQTDNKIFSLEELQKATNNFDPTRILGSGGHGTVYKGMVRVVAIKKPKIINEGEINQFINEVAILSQINHRNIVKLLGCCLETEVPLLVYDFIPNGSLFKIIHGDQSNNNEFSLSWDDCLRIATEAAGALCYLHSAASVSVFHRDVKSSNILLDGSYTAKVSDFGTSRLIPIDQTHVVTNVQGTFGYLDPEYYHTGQLNEKSDVYSFGVVLLELLLRKEPIFTSDSGSKQNLSNHFLLEMRSRPITEIVAPQVLEQASEDEVTTVASLAEECLRLRGEERPTMKQVEMKLQLLRNKGLRSGN >Sspon.02G0042860-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2B:86773508:86774315:1 gene:Sspon.02G0042860-1B transcript:Sspon.02G0042860-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQCSVLVPHPKTNPIVLVYCLLRVPDQRRKVKVPKAVKVSIINAVRNLINGHRLSNGAESPRQSQVGQSFLLACNGKGTSDIIEVKHPYRPDDQEQGSPPNPSSANYKIAATHLSKYCAYLVSWCPELLPDKNNAWSKSLYKAVKKDAERALSGPQKNAVARSSELEDEYEKLIHLLSANSKHEVLQNGVELGKQLAEKINDEEMAWNLLADFWSEMILYIAPSDNLKGHSEAIARGGELITLLWALLFHAGIVSRPGEEDGAAPASS >Sspon.06G0034410-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6D:44910107:44911025:-1 gene:Sspon.06G0034410-1D transcript:Sspon.06G0034410-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVARRDRFPAQADGGFRSLVSGDGFSSAVERNVSAVVRRWGSALVLCSDSASIVLRRPIRPPDGATRELLPRRTRPAKAGRRRRSLPRGAHAGTRGSFDEFLAPVRSEAPSRLEPLLLAAGAVLLRGFPARTAADFDRAVDAFGYEELPYVGAAPWTNVVGRVFTANESPPDQSIPFHHKMAQAPTFPSKLFFFCQVEAKSGGETPIVLSDYVYKKVNDKVPQFVEKLEKCGLIYTRVLGEHDDSSSPIGRGWQSLFATSDKVVGEE >Sspon.06G0030540-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:48984113:49003947:1 gene:Sspon.06G0030540-1C transcript:Sspon.06G0030540-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVFALKKIGSEAIGSPGKHTFQVLASGRPRSRHPHDLRGVPCVWRRAGGEPPRPEQPPDQETAVAVGCRVVARHAVCLRPNDAKARKFRGRLREDGWWEMEMGPLRTPPAGRPADYDGEVVQASIEVLGWYPKRGLVVEGIDLRASALTPSTRPTRREPPRPEQPPDQETAVAVGCRVVARHAVCLRPNDAKARKFRGRLREDGWWEMEMGPLRTPPAGRPADYDGEVVQASIEVLGWYPKRGLVPPRPEQPPDQETAVAVGCRVVARHAVCLRPNDAKARKFRGRLREDGWWEMEMGPLRTPPAGRPADYDGEVVQASIEVLGWYPKRGLVVEGIEFRPLRDS >Sspon.01G0043780-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:73110178:73120808:-1 gene:Sspon.01G0043780-2C transcript:Sspon.01G0043780-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDASILGGCLMEGRKRKGKFRNSLRRMAMECLCSGEQLKGADETVRSSDSTITKDFSASGYSSRNGEIEQYLDNGNIEEAELSLREGICLNYEEARALLGRLEYQRGHVEAALRVFDGIDMSALVPKMKISIARKADRRKTHSQWDSPPMPLHAVSLLMEAIYLKARALHDLGKDKEAAQECKMILDIVEAAVPEGLPAGFGKGCKLNEIICKSVELLPELWKSGGFSLETISSYRRSLLNNWNLDGETIARIQKKFAVFLLYSGCEARPPNLHSQLDGSFVPRNNMEEAILLLMILLRKFNLKRIERDPSVMHHLTFALSMSGQLIPLAGQFEELLPGVLDKKEWLYSVALCYLAEEDDLSALNLLKRILKSGEDSDHLKELLLASKACIEMSAHTEGASYARRAIANMQGGCKPMAGLADLLLGVALSNQARSAISDTDRASWQCEALEALGNAEKKMHGKDSRHCTRKLEFAAFYAKRLVKLEAGSELRSWLLLARILSAQKLFADAETVVDAALDQTGKWCQGDLLRTKARIQAAQGQFRDAVETYTQLLAIIQLRTKSLTAGFCLPKGNKDDKGLETETWYDLALLYLGMAQWRDAEVCVLKIRSISPYSALAWHATGKIYEAKGLTKEALGAFFRALDLDPKHVPSLISIATVLQQLGDRPLPSIRCFLTDALQLDRTNHVAWFNLGLLYKEEGGRSVAEAAECFQAAAFLKETAPVEPFR >Sspon.06G0012780-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:67385836:67389774:1 gene:Sspon.06G0012780-1A transcript:Sspon.06G0012780-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDRQPSEEPEEQVDLEGDDDGMEDDDGGYRRRGSRDDSEEPEEDDDNDERHGDGDGRGDDDAGMEPEPEPAGGGGKGGDETGKGADAAEGSGPEDEEERSKWDELLALPPHGSQVFIGGLPRDTSEDDLRELCEPLGEIYEVRLTKKDANESKGFAFVTFTDKDAAQRAIEDIQDREYKVKTIYVKNLPENVSKEKIKDLFDKHGEVTKIVLPPAKAGHKRDFGFVHFAERSSALKAVKGTEKYEIDGQVLEVSMAKPLADKKPDHSHRSGGGPNYPLPSYGGGYMGDPYGAYGGGGPAYNQPMIYGRGPAPAGMRMVPMVLPDGRLGYVLQQPGGVPPPPPPRRGGDRRDSGRGGEGHSRRYRLTSFALVRSTPITV >Sspon.05G0004030-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:12341028:12343252:1 gene:Sspon.05G0004030-1A transcript:Sspon.05G0004030-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homodimeric diiron-carboxylate protein, Cyanide-resistant respiration in mitochondria, Reactive oxygen species (ROS) scavengin [Source: Projected from Oryza sativa (Os04g0600200)] MMSSRAGSVLLRRAGSRLFTAAAVSPAAASRPLLAGGNNGVQAVMVRLMSTSSPAAASEATKDEAAKASKEGGDKKAVVINSYWGIEQNNKLVRDDGTEWKWTCFRPWETYTADTSIDLTRHHEPKTLMDKIAYWTVKSLRFPTDIFFQRRYGCRAMMLETVAAVPGMVGGMLLHLRSLRRFEQSGGWIRALLEEAENERMHLMTFMEVAKPRWYERALVITVQGVFFNAYFLGYLLSPKFAHRVVGYLEEEAIHSYTEYLKDLEAGKIENVPAPAIAIDYWRLPAHATLKDVVTVVRADEAHHRDVNHFASDIHCQGMQLKQSPAPIGYH >Sspon.01G0001000-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:2640143:2641822:1 gene:Sspon.01G0001000-3C transcript:Sspon.01G0001000-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFRGGDDEEELLERVRGMVPVAAAAARAARGFPGRWKAIAAKLDTLPACLSDLSSHPCFARNALCRELLQSVAATLADAAELAARCRDPPADGKLRTQSAVDALSGRLDLGLRDCALLVKTGVLSDAASPSPSPLPPAEAAAAEAAPSADVRELLARLQIGHAEAKSRAVDGLLDALRRDEHSVLAALGRASVSAMVQLLTASAPVAREKAATVVCQVAESGGAACEALLVSEGVLPPLVRLAESGSLVGREKAALTLQRLSASSPDVARAVVGHGGAGALVEICQTGDSVSQTAAAGALRNLSAVPEVRQALADEGIVRVMVGLLDRGAVAGAKEHAAECLQNLTSGNDGLRHAVVSEGGLRSLLLYLDGPLPQEPAVGALRNLVGAVSPDCLVALGVLPRLVHVLRVGSIGAQQAAAAAICRMSTSAEMKRAVGEHGCIPLLVRLLEAKSSGAREVAAQALASLVSCAANAREMKKDDKCVPGLVQLLDPSPANTAKKYAIACLLTLSGTKRSKKVMVSHGAIGYLKKLSDMDVAGAKKLHERLESGRLRSMFRRQ >Sspon.01G0019890-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:73973673:73974752:-1 gene:Sspon.01G0019890-1A transcript:Sspon.01G0019890-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding EMAVDRPLLVLHDAEEGHLVYDLLVDGEEETLTCFPCPVARFTSLQHWCCSFAVSGGCVLGVKYDWHDTWFHDTVMKVGGYDFWERHPRPRCRDSEGRLVRWWPMFRSYASRPLGGRHRDRPAMLPMGDGTVVRMDTILFDGIYTFETLRLDPSGGCLAAPLPNPPVGRLAENEIVFMSAYLALGTRVWISLTRKGTFSFDTEHGTWQREGTWLLPFEGRALYVPELGSVIGLTAETRLLCACDVKTGTPPVVRYVWTETFPLPWEECISDGHHPPSRPRDMPSLAYLGKGRICICRPMSTTEPNDYGPPTTYSASSFLVVAVKRLPNGELLLAKRGKMTYMWPPHGRQSPYIGFIQPAT >Sspon.04G0025460-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:26909314:26910381:1 gene:Sspon.04G0025460-1B transcript:Sspon.04G0025460-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVKQPPSSSTAAAFYATLARGLDDLDRALAASSSSSAFLSLPTLRAALALLRAAHGAWRASSRRSTSRGARPGWTSTWTRRRGSATRAARYGSAPPPSRATPAAPRSSPRSSCRRPRAPTSRDRREAMALKEENRALVETRAEALALRLSEGVPADAKLGGFNGFRGVLCATRMLTSFLLTLLSWGVLHYWPAPDAGAGGDCAAYFGAAFASALSRAQQRAAAEAGRSVAAPAPGGAGVMMHEFRRARAAVEEAKDAVERGGDVAAAAAEVGLRAGALRAACEDVLALIDDLFDEVVEARKKLLDLCSGGN >Sspon.08G0016220-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8B:58677861:58678394:-1 gene:Sspon.08G0016220-2B transcript:Sspon.08G0016220-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNMLVLRPTCWCSVLQMATRLLEHTESRSLTGRGRLFLLSPSTSMEGVEKGGYVISDNSSGNKPDLIVLGTGSELESAAKAADELRKEGKTVRVVSLVCWELFEEQSDEYKESVLPESVIARISIEAGCALGWQKYVGPRGKIIAIDRSGASAPAGKIYKEYGITVESVIAAARSL >Sspon.02G0018540-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:62562810:62567514:-1 gene:Sspon.02G0018540-3C transcript:Sspon.02G0018540-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:U-box domain-containing protein 32 [Source:Projected from Arabidopsis thaliana (AT3G49060) UniProtKB/Swiss-Prot;Acc:Q94A51] MSTATAGSSSPLTPHADDSPEPSFPAEKVYVAVGREVAESRATLLWALHKFPKGAFVLLHVYSPPKFLPFLGARIPAAQVREQELAAHKEMELQRISDSLDQYLLLCAQEKIHAEKLVVESDDVAQGLVDLISELHVTKLVMGAAADKHYTKKAVQLSHEEIQECRQSSGVIHNSVEKSASLSEMWCVASTWLCKSIREPQIERTTSDPSYISGKHFLKELESARREAYEEKCRREEVERELYEAFQKAQASEILYLRELKQKNELEEKLTTIMEEIESLTIRTDELCLKLQGEREQRIVLEKRGAHSDRIMKDLMLQRDKALREAEKLRAKKGESTATAEGTMQITEFSYSEIKEATNDFDHSMKIGESVYGSVYKGFLRHTNIAIKKLNPESTQTQSQFNQEVEILSRVRHPNLVTLIGACKDAQALVYEYMPNGSLDDRLACKDNSKPLSWQLRTRIASNICSALIFLHSNKPHSIVHSDLKASNILLDGNNIAKLSGFGVCQILSDQFKATTTLYRYTHPKGSFVYIDPEYLISGDLTPLSDVYSFGIVLLRLLTGRSGFGLLKEVQQAVEKGCLQAILDSSAGGGLPFMLSSWLRCCEIRRKHRPDLQTEVWTVLEPMLNSAPTMLCSLSFKSVSEDLGGVPSYFICPIVQDVMRDPLIAADGFTYEAEAIREWLDSGHRTSPMTNLELSHRDLLPNHALRSAIQEWLQTNGD >Sspon.03G0008980-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:24434630:24456065:1 gene:Sspon.03G0008980-1A transcript:Sspon.03G0008980-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSQHGILLAVNIISDHFGPIVSVSPLPSPPPLPAKVCGCLLRHGALSLQEIVRRLELSPGQVKNSLLILIQHNCVQAYTSPRGKLLCETLLIASDLVHYQNLMPLLGGLQGTVTLYLAIFDNVLHRLRFSKFLSVVRVDIPESEVLLEGLLQNGRLTFKQLVERTIFKVPEGSPLPTREEIRMNFNKLVCAHYVERCPKPEPFFDPLAVEQPTSTRKRVPKTVETVLSLEKKVVCTAALSDAERFSQIPYFMEGSSSANDGHHAVAGNKRKHEALEVDEEDSIIAESEVLWRINFERFIFCLKKKFCAERKKAKMRLGTLAIWEAFFEANVTNNDNKTVTSPIDGILERLGQKEGGTSMTLDQIISVLNDLECTSTTNNPEEFTFDLNKLITDRTILDKQVVHETLYKLWKDEYIDSERVPSTSGTGTGSTQFFVWRVKNIYREHIMDHLYHAALNLRQMVNYMAELLLEGSKDEIKLRNRKNILVLALTRHDESLMLFHDF >Sspon.08G0024380-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:63565795:63568563:1 gene:Sspon.08G0024380-1B transcript:Sspon.08G0024380-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPALSHCSQPPRFSTARPPTSSPPPTNHCAAALTPNPTEKARQQGGQRQRRGAAGCDCGPRPPGSAALTLSSWLPPSLPQSAASSGRAQAQAAVLAVGRAISIRRCICIAVHEPTIAESGGKGKKMMTASCYFCRSVVRAPPLRSRTAVRCQSAAPPGASGATSTSKVKERLAREHPGLPTGRNGRDDDDMVLWFLKDRKFSVDEAVSKLTKAIKWRQEFGVSELSEGSVKGLYQTGKAYVHDSLDVYGRPVLVVVAAKHFPSTQDPVENQKLCAYLVEKAVSRLPSGAENILGIFDLRGFRVENGDLQFLKFLRLGQVLFVDAPFVFQPMWQVVKPLLKSYASLVNASQTISIILAMYCYVAPARFCDAETVRKEYFNEETVPPDFRN >Sspon.03G0004340-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:11226381:11231537:-1 gene:Sspon.03G0004340-4D transcript:Sspon.03G0004340-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEFTLVKLVDVRKEVQCPICLGIIRKTRTVMECLHRFCRDCIDKSMRLGNNECPACRTHCASRRSLRDDPNYDALILALYPDIDKYEEEELAFSEEERTRNKRIQESIAETFRRQTEALVKKRSTVKATDAASTRKTRRNMRPRRRGRISSPDIAPTDFDDEDREENDDAGSKESSSVDDHSPDVRPKRARRWPMPRRSPAKTIGNTDNSIEDNDDSGGARDFVTASPLRGEMLAWGKNGTRSQTRHGNTSGSSGRMGKGGRVAKLVDQLRSADDFDSKLNLYLVLLPLDGQSVPKLEKPYLSCLPTLSVQHLCQFVALQLSRQPKEVEIYIRKNMDACLSANGTSKHETKPDQSNGLERLWEEKSLLDLYPSLATRQGDLELLYSLKAQEQG >Sspon.03G0031040-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:24047547:24055212:1 gene:Sspon.03G0031040-1B transcript:Sspon.03G0031040-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAIVRAAQAPSADRRLSTLVRHLLPSSPRRTAADTSATLESFPTMASQGSSSVFAALAQAPEDPILGVRPSPQPRLSFLASTLPVIWLSLSLALFRRDRAASWVTVAYNKDPSPGKVNLGVGAYRTEEGKPLVLNVVRRAEQMLINNPSRVKEYLPITGLAEFNKLSAKLIFGADSPAIQENRVATVQCLSGTGSLRVGGEFLARHYHERTIYIPQPTWGNHPKVFTLSGLTVRSYRYYDPATRGLDFKGLLEDLSSAPSGSIVLLHACAHNPTGVDPTIDQWEQIRQLMRSKSLLPFFDSAYQGFASGSLDKDAQSVRMFVADGGELLMAQSYAKNMGLYGERVGALSIVCGSADVAVRVESQLKLVIRPMYSNPPLHGASIVATILRDSEMFNEWTLELKAMADRIISMRQQLFDALKSRGTPGDWSHIIKQIGMFTFTGLNSEQVAFMRQEYHIYMTSDGFISLPSSCAGSSGGGAGDTSSFLPSESPVHPMKSSSVVCLLWSSSSPASCGQRHPAAGSAAGGAFFLAFSLATLSAWMTSSIRDMTLNARLSRTLE >Sspon.06G0024420-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:54640238:54647218:1 gene:Sspon.06G0024420-1B transcript:Sspon.06G0024420-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYHMRSVSVPSSPRSSKTGVEEQLQSLKAAVSSPSATIETMVDGLSKLGSIYNCIDVLTCLPTSQRKAVEEELERSLVLLDLCSAVQESFVELKASVQEMQLALKRGDDAALQTRAECYARLVKKEQKQFKKVNKKTASDIEGCRVINLVAEAREIAVSILESTLLLLSKQIVMPSSSKWSLVSKSFQKKRVLCEAEQLQGLELDIVNLESRVGTLFRTLIQSRVSLLNTLSLGFSISQFSLIPKMAYHMRSASVPSNPRSSKADVEEQILSLKAAISLPSATIETMVNGLSKLGSIYIHIDALTCLPSSQRKAVEEELEHSLVLLDLCSAVQESFVELKVSIQEMLLALKRGDDAALQTRVQCYARLVKKAQKLFKKVNKKTASDIEGCRVIDLVAEAREIALSILESTFHLLLKQIAMPSSSKWSLVSKSFQKKRIVCEVEQLQGLELDIVGLESGVGTLFRTLIQSRVSLLNALSLSISVPQLSAFHQFYRMACHLRSASVPSSPRSNKTDVEEQLQSLKAAISTPSATIETMVEDLSKLGSIFDCIDALTCMPISQRKAVEEELERSLILLDLCSTVQESFVELKASVQEMQLALKRGDNAALQTKIQCYVRLAKKAQKLEAVQEVLESTLHLLSKQIAMPSSSKWSLVSKSFQKKRIVYEAEQLQGLELDIVDLESRVGTLFRTLIQSRVSLLNALSLSWIFEVTEKANYSRWTPLKQFSICSLLLLSREQNSTTISITTIAYHLRSASVPSSPRSNEVDADEQLQSVKTTLSSSSVTIGTMCNGFRKLGEVYNCISELACLPSSQVTQQRKAVEQELECSLVLLDLCNTIQESFGELKMSIMDMQMALKRGDDAAVQVMIQSYIRLVKKAQKQLKKISKKSTAGSSSYSLKPE >Sspon.03G0020580-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:50675704:50676220:-1 gene:Sspon.03G0020580-2P transcript:Sspon.03G0020580-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALPLATAEACDANAALIMNGDLRALQPIFQIYGRRQIFAGPVVTLKIFEDNVLLREFLEEKGHGRVLVVDAGGSTRCAVLGGNLAQLAQNNGWAGVVVNGCIRDVDEINGCDVGVRALSSHPIKSNKKGVGEKHAPVTFAGTRICDGEWLYADSDGILISRSELTV >Sspon.01G0001500-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:4388801:4392018:1 gene:Sspon.01G0001500-4D transcript:Sspon.01G0001500-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ANGRTGKQWKQLLPLLRTRLADQCNVSRSSFSTSRSLTDNFFHSGICNCHLKPVLGLGRSHSHLTECFHLLVFAHQICECITSGPSHAIDVTREAIKDGADAVIAVGGDGTLHEVVNGFFWKGSPVRALDRGPDHSTALGLIPLGTGSDFARTFGWTNDPRDAIDRIVRGAKSKLDIGVMEGPNREPHFFVNVADIHLSAKAGYFASMYKRFGNLCYVFGALRGFWGHNNQDMRIKVNGGEWRTVHKVTALCIGNAKYFGGGMKITPTADPFSGNLEVVILQDFKWYDFLLKLHRLYGGTHLSVNGVSSMRVQSIEAAEVTASGGIFVQSDGEHFGFLPTKFSVLPGAVDFFC >Sspon.05G0000560-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:1466499:1470873:1 gene:Sspon.05G0000560-2D transcript:Sspon.05G0000560-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGPLGAIIGRYPSAAGGDEQLGGSGGGGIIRHDRRCRDIAFLVLFAAFWVAMIVNSSFGFNQGNPLRLTYELDYKGNICGDKHGNPNLQELDVRYWMNPNQVYQSGLKDSKVNLADAKAICLMECPNPAVDGLNFICDYPEGDIHLSVDDWINRDYDYFEFLTPDMRNSSLQLQGPCYPIIFPTVNVYWSCQFIARASNVSLKHWHEMGGVSIDENILIDKTVHKAIDSRSAVLKRYIADIGKSWPVFIVCGGILPVFLSVIWLLMIRYFVAAMTWITVVLFNALVISVTMFFYIKAGWMGNDPLTVVIGESDPYVHISGREISHLHTVTILMTAVMIIAFLSSIAIVRRILIATPVLKVAAKVIGEVQALIAFPLLPFLILSIFYMFWFSATLHLFSSGQVVRNDCNTDCCSYDLKLGKVNCDNCCGYNIHYTPHISIAILFHLFGCYWATQFILACSSTVVAGSVASYYWARVYVYSPVLTIQAISMQHDIPFLTVVSSLKRLMRYSLGSVALGSLVVSVVEWVRFILECLRRKLKLVDSARESCFGKATSSSSECCLGCIDWTLKSVNRNAYIMIAITGKGFFKASVLATGLIMKNILRIGKVNVIGDVILFLGKLCVSLFCALFAFLMLDTHKYKSAHTKISSPVIPVLIVRFHFHLLKPEAKLPCDDMPTLQVTWALGYIVAKLFFAVVEMSIDTIILSFCQDAEEHQGNAQYAPPALMETLDEQGELQRLTQGP >Sspon.03G0007060-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:19186559:19190963:-1 gene:Sspon.03G0007060-1A transcript:Sspon.03G0007060-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LVLQLWQLETLLLQKDTYVNYSQALSYTSQALSQNLAGLRKKSPIRKISTTLSYYLEDNWKRLWVLALWIGIMAGLFTWKFMQYRNRYVFNVMGYCVTTAKGAAETLKLNMAIILLPVCRNTITWLRNTRAARALPFDDNINFHKTIAAAIVVGIILHAGNHLVCDFPRLIHSSNEKYAPLGQYFGETKPTYFTLVKGVEGITGVIMVICMIIAFTLATRWFRRSLVKLPKPFDKLTGFNAFWYSHHLFIIVYLALIVHGQFLYLIHAWYRKTTWMYLAVPVCLYVGERVLRFFRSGSYSVRLLKVAIYPGNVLTLQMSKPPAFRYKSGQYMFVQCPAVSPFEWHPFSITSAPGDDYLSIHVRQLGDWTRELKRVFAAACEPPVGGKSGLLRADETTKKALPKLLIDGPYGSPAQDYSKYDVLLLVGLGIGATPFISILKDLLNNIIRMEEEEDASTDLYPPVGPNKPHIDLSTLMTVTSRPKRVLRTTNAYFYWVTREQGSFDWFKGVMNEIAELDQRNIIEMHNYLTSVYEEGDARSALITMLQALNHAKNGVDIVSGTKVRTHFARPNWKKVLSKIASKHPYAKIGVFYCGAPVLAQELNKLCHEFNGKSTTKFEFHKEHF >Sspon.06G0001610-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:3516754:3519946:1 gene:Sspon.06G0001610-1T transcript:Sspon.06G0001610-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAGRERDRAVPAEDLGVATKDTAVVNTKPAKRYPLALWIAILGLIMLVGVYIFSLSLKQNGMLFGLMQTNLIEKEREKPCHDPSIPDTEIPYLHYPMPNTYDRKECACTGVRFFAILSMQRSGSGWVETLLNSHPNISSNGEIFSVKERRSNITAITKTLDKLYNLDWYSSAAKNECTAAVGLKWMLNQGLMKHHQEIVEYFNRRGVSAIFLLRRNLLRSYVSILANAHDSAMKQLNGTHKAHVHSKHEAEILAQYKPTIDKKTLITELKRSDKLASDALVNFKNTRHVVLYYEDVVSNRTMLMDVLDFLRVPKRKLSSRHVKIHTKRLCDHIDNWADVNNFLKGTRFESFLNGSRR >Sspon.07G0002720-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:6541377:6542486:1 gene:Sspon.07G0002720-1A transcript:Sspon.07G0002720-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAAVAVGGGRRPLHRTFAHPPPPEEEEEHHQRACRSRGSSSSCSSSSAPARGTGTGMVVIVGATGTGKTKLSIDAAEAVGGEVVNADKIQLYAGLDITTNKVAPADRRGVPHHLLGAIRPDAGALPPSTFRSLGAATVASIAARRRVPIVAGGSNSLIHALLADRFDSDAADPFSSSSRGHSHYRPALRSPCCLLWVHVDDAVLAEYLDRRVDDMVGGGMVEELREYFATTAAAERAAHAAGLGRAIGVPELGAYFAGRTSFRAAIDDIKANTRDLAAAQVSKIRRMADAWGWPIQRLDASATVRARLQGAGPDAESACWERDVRGPGLAAIRSFLLELDGDSIITNGAEMEPLPRVRCCDVVG >Sspon.05G0025570-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:24683587:24688064:-1 gene:Sspon.05G0025570-1B transcript:Sspon.05G0025570-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFWGVEVKPGKPYTLTHGDFIGRLRLTQATLGVEVGKGEKGTGVKRCVLQCSIESKDPVFLCVLMPEQSETCHLELEFEEEVTFSVIGTRSIHLAGYYMTDVCGEDRSDSDSGSDSQGSDEDGFLEEDDDGNMVMGYSDSEDDDSEYDSESDDDEMAYNRGRGKSSVVIEEIEEDDKAAVAEVQKGSKKKQSRENGDESQLQLAVRNPPTESLESEDEDGFPVSFSESKKSSESVSNKKGGKDKETSTEDRKRKSGAISDRGDSSGEVKAEIDGASSKKKKKAKDKSTAMDTGKVNNKEKEIKQHDSPADPVSTKQKKKKNKNKSASEQSAKNNNIHKDNEEASAQEASKKNKKKKADVINRSESQAATGLGESDSKEPLQTRTFANGMIIQEVEMGKPDGKKATRGKKVSVRYIGKLKNGTIFDSNVSGRPFEFRLGVGQVISGWDVGVNGMRVGDKRRLTIPPSMGYGSKRVGQIPQNSTLIFDVELVNVK >Sspon.04G0025740-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:35903857:35905203:1 gene:Sspon.04G0025740-3D transcript:Sspon.04G0025740-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Copper transport protein CCH [Source:Projected from Arabidopsis thaliana (AT3G56240) UniProtKB/Swiss-Prot;Acc:O82089] MAAETVVLKVAMSCEGCAGAVRRVLSKMEGIETFDIDLKEQKVTVKGNVKPEDVFQTVSKSGKKTSYWEGQATAPDASAPAAAEAAPNTAAEAPADAAAAVPEITPAKADA >Sspon.04G0034390-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4C:73610055:73610961:1 gene:Sspon.04G0034390-1C transcript:Sspon.04G0034390-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVAPEYQTPSHQRSRGDTAKIRAIRSQYGKNTRPYAVARVLDVTPALLATDSQLDAMQIHAKIVATKNYRGHQESNRGPRALDRRAWPTELRRIAIQVTATTQILRLPPTPQARTTTPWSLDPSLPHPLLEASTTCTQSGSSHHPCDLLPMASPPQALP >Sspon.06G0002250-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:7188135:7188457:-1 gene:Sspon.06G0002250-1A transcript:Sspon.06G0002250-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPEPSAMSSLQSILARRFPAVAVGFMAVQVVALEPSAIFSMVLLFVQAWWFPATVRSSVAL >Sspon.02G0057120-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2D:43279599:43280102:1 gene:Sspon.02G0057120-1D transcript:Sspon.02G0057120-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHGAWRNRGCCSTSAMAAAAAGVHRVRGVLTQAELEGRGVQRGSGAWAPRGSSSGPPRPWWRRRLEGAGAEMGDGSGAMERPVELESTFLSGRLALGGARGDGVRPAGPHGRTSGGVREGARGGDASGRDGRLGGTWQRAEGERGGDVPLAAGPVAAVGVGKHRRIG >Sspon.05G0004320-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:12878707:12879030:-1 gene:Sspon.05G0004320-1A transcript:Sspon.05G0004320-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MINGNTKEGDNEKGDTEDEDEPVVVVSKMWENSKFADYDSSKEKENDDGDSQVDLESNKGDAGLDSNGLHSAKENSGRASPTKQHQQHKKKPLLKRFSGLLKKKSEN >Sspon.03G0005390-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:30890515:30897087:-1 gene:Sspon.03G0005390-1T transcript:Sspon.03G0005390-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAAAVPGGGGAADAEELFRTKRIPEIRAAEGATRREISAKEEELRQLVGRSYRDLLDSADSILLIKQSSDAISDNLARISGSLSSLSPPPEPSPAVSAASPSPSAGGRARLYALAARAKYLVDTPEHIWGRLDEGLLLEAAGRYLRAQVVHGRLSRDAAAAARFPLLAHQAQLVEAFRPQIAQRSRERLADRRLPVAAHADALAAVAAIDPPSLAPAQALLLFLTSRRAWISQALAGLASDLSSYTSVLCDIARIVRITLGHVGQLFVPALSDMPLFFKTVLEKTPPEQLFGGIPDPDDEARLWKEHMNQLEATMVLLEPDAIAGACTDWLKECCTEIFGVIAGEQKLVDAIGSGELLGSVQRLVRDTLDGRDGLEGSLEQWLKSVFGSDIESPWDQIRGLILKDGKDIFEDWMEEAFVRRMKDIVHSELDRLGASVNVKESVHAIGGNADPKDAGDFLAYLRKSSKGGGFWFSESKIKKGGVLAHLKPIADENDFHSCLTSYFGPEVSRIRSAIDSKCKNILDDLLSFVESHNSAPRLKELVPYLQEKCYRTISGVLKELEAELRKLSALLGTKKEGNDMPAASIIAERSLFIGRLLFALRYHSSHVPLILGSPREWVKEAGGAAFARLSSPTPRHSRASFDSLVSFTPRRRTFDSPKSPGRQFSDSPRRQTIAAAVSLFGADDRSNPRLDELNKTLQSLCIMAHNVWIAWVSTELSHILSYDLNKDDSLSSSTPLRGWEVTVIKQEESTEGPLEMQIALPSMPSLYIISFLYQACLEIHKVGGHILDRIILHNFAWELLQKVINIYENFLSSVESGNSPVSEKGILQILLDLRFIGDVLSGGKSSSTNTTEMQTKQDSLPSTVTKTSFRRKQSQLQADSAVIEPINKLINRLSQRLDPIDWATYEPYLWENEKQSYKRYVVLFGFLVQLNHMYTGTVQKLPTKSNADSNIMRCSQVPRFKYLPIRSHKSSLQSPSSDSTSKNPWKSYSNGDRSTTPEFDDNASLVGAAPLLKSFVTQVGSKFGENTSRWGSMLSDGQVGKLSDILPGPAAGFFSSFTSGARYDS >Sspon.07G0009350-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:26362189:26363392:-1 gene:Sspon.07G0009350-1A transcript:Sspon.07G0009350-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASETNKQWRHHFVLVHGMCHGAWCWYKAATALRRSGHRATALDMAGCGAHPARLADVHTFEEYSRPLLDAIAALPAGEQVVLVAHSHGGYSVALAVERFPDKVAAAVFVAASMPALLAFVGLDFFLDSKLKQENPEIKGKPFIFGPNFMAQRLYQLSPPEDFTLGLMLIKPANTFTTGNPDEVVMRDEKLLTEDGHGSARHVFVVVEDDHGIPAEFQRRMVTQSPGVGVREITGADHMAMLSRPVELVQLHQNCGPIARLTHKSDI >Sspon.05G0003190-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:5094080:5097313:1 gene:Sspon.05G0003190-2B transcript:Sspon.05G0003190-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLVVQGWSPASSRPDCSPASRRRCSTAGQKMVRCSLNEETQLNKHGLVSKQLISCLAASLVFVSPPSQAIPAETFARPGLCQIATVAAIDSASVPLKFDNPSDDGSTGMMMMRGMTAKNFDPVRYSGRWFEVASLKRGFAGQGQEDCHCTQGVYSFDAKSRSIQVDTFCVHGGPDGYITGIRGRVQCLSEEDMASAETDLERQEMIREKCFLRFPTLPFIPKEPYDVLATDYDNYAVVSGAKDTSFIQIYSRTPNPGPEFIEKYKSYVANFGYDPSKIRDTPQDCEYMSSDQIALMMSMPGMNEALTNQFPDLKLKAPVALNPFTSVFDTLKKLLELYFNRSYRPENTMVGITCSWQQ >Sspon.05G0007400-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:23127588:23129529:-1 gene:Sspon.05G0007400-1A transcript:Sspon.05G0007400-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGNLFGRVLSYVVNEFLVEGLANNRAFQRFAVKTNRTLENLSSKAKEVREELSEQLKEARGEKDFSVLYMISDQRSVCSTSSSEARSHIDSTR >Sspon.01G0031030-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:106788073:106789071:1 gene:Sspon.01G0031030-1A transcript:Sspon.01G0031030-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPARFPMAARLPLPTSITLPDAAAPVPVVGCRRVAAKHKAVVVLGATGTGKSRLAIDLALRFGGEVINSDKIQAYAGLDVATNKVDPAERAGVPHHLLGVVDQDAEFTAADFRREAARAAAGVASRGRVPIIAGGSNSYVEELVEGDRRAFWERYECCFLWVDAQLPVLHDFVARRVDEMCRRGLVDEVAAAFDPRRTDYSRGIWRAIGVPELDAYLRARGLGDVSDDQRARMLAAAVDEIKANTSRLALRQRGKIQRLARMWRVRRVEATEVFLKRGHAADEAWQRLVAAPCIDAVRSFLLEDQEYSSMVTASMFASTAAAAVAAAAV >Sspon.02G0019670-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:63703469:63706581:-1 gene:Sspon.02G0019670-1A transcript:Sspon.02G0019670-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPAPAPPPSLAVHLVTGGGSSPELALLLRSLAAARVVALDAEWKPRRRGSPAAAAPAALGDGTTLASEASPALPKFPTVTLLQVACRFGDGGEGERSEVFVVDLLSVPLADLWAPLRELFERPEALKLGFRFKQDLVYLSSTFSAALGCDSGFDRVEPFLDVTNIYYYLKGHDRQKKLPKETKSLATICEELLSVFLFKELQCSDWSCRPLSEGQIQYAASDAYYLLDIFDLFQKKITMEVTKYSEKIILTESDAKPRTSRRKEKLKIPANAKCKDKVDCSSEWQGPPPWDPSIGGDGYPKFLCDVMVGSPDLVTPNSCTIHFAVDKMNLDLTKT >Sspon.02G0039810-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:62984129:62985533:-1 gene:Sspon.02G0039810-2C transcript:Sspon.02G0039810-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LLPMDGATAAGGSSKPATNGSKPEEQQFDPSRSIVKRKALIKDLATAYHAECIASCKELLQLQRKWEEEQHVEAKMPEEPRSLMIKTSKHRKK >Sspon.05G0031440-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:5908127:5912620:-1 gene:Sspon.05G0031440-1C transcript:Sspon.05G0031440-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKFVIRKSNMHSDQQEEEKKNQQCSPNHLNGLAEGSSACRQELPGHPMAEIIYVQLNRLLSSDSFEVGTRGTRIGGTAARRDVLAQASAAAGRAPAKSGQGRGGCGDVFLVPMQAMSSIPLSFGGAAVLSVDYHRSPEHRFPTAYNDGLAALRFLDDGRPDVHGPGAPPINAARCFLAGDSTGGNIAHHVARRYALDPSAFANLRLAGLIAIQPFFGGEERMPTELRFVGAPIVSVPRTDWMWRAFLPHGADHTHEASSPEGATAGIDAAAAAGFFPPATVVIGGYDPLQDWQRRYRNALCGKGKELKT >Sspon.01G0048030-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:106960127:106963486:-1 gene:Sspon.01G0048030-1B transcript:Sspon.01G0048030-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMPALLLLAAAMMVSPADARIPGVYGGGGWQSAHATFYGGSDASGTMGGACGYGNLYSQGYGVNNAALSTALFNEGLSCGACFELKCENQPGWRWCRPGSPSILVTATNFCPPNYALPSDDGGWCNPPRPHFDLAMPMFLHIAEYRAGIVPVSYRRVPCRKSGGVRFTINGFRYFNLVLITNVAGAGDIVRASVKASSTGWLPMSRNWGQNWQSNAILVGQALSFRVTGSDRRTSTSWNAAPRNWQFGQTFEGKNFRV >Sspon.02G0022760-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:76811550:76812005:1 gene:Sspon.02G0022760-1A transcript:Sspon.02G0022760-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLTLGRRKKPAELPAPVSIALASQNDALLPTDVLYEVLLRLPAKELCRLRLVCRSWRSLTSDPGFARAHASRHLPLVAGIWKSTEVHLLDLTRCNNIVRRLHVPQPVYDLSTAQLGLLCVSPIDGCLSYVLDSAVAGEVVATLPDNACDF >Sspon.05G0026100-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:27423995:27426796:1 gene:Sspon.05G0026100-2C transcript:Sspon.05G0026100-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAILVITMVDLQCCRCRAKITKILECLKEEFCIEKIEFEDKVNKVIVRGKFDGEKLKTMILCKAGKIVKEIAIVEKWPPPPPPPPPPPPECKTAILVITMVTCAAPAEPRSPKSWKASKRSFASRRLSSRISSTR >Sspon.04G0017200-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:12508785:12514512:1 gene:Sspon.04G0017200-1P transcript:Sspon.04G0017200-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEPAAAAAAGRLLLAAALVLAACTVPVAAQAKSEADVLNEFRAALRGPDGDPPGELNQWATARGAPCDVNATNWPRVKQCINGRVVVLQLEGLRLQGAAPDLALLAPLQGLRSLSLSNNNLTGAFPDVSALPALRFFFLYQNRLAGEIPDGAFAALRGLRKLNLAGNAFSGPIPSSIASSGHMLSVDLSNNNFSGPIPEGLRKLGANVQLQGNKLLCGDLVGTPCPSPSKSSGSSSGGMNILINIAIVVVAIGAVLAVAGVIAAVQARRNESRNAEGTKALGGSPDAAKVTTAPAVKIEKGGMDQHGGVVTPAAGKRGGRRDDHGKLVFIQEGRARFELEDLLRASAEVLGSGNFGASYKATLLDGPSLVVKRFKDMNGVGREDFSEHMRRLGQLVHPNLLPVIAYLYKKEEKLLVTDYMVNGSLAHVLHGGARSSLPPLDWPKRLKIIKGVARGLAHLYEELPMLMVPHGHLKSSNVLLDATCEPLLSDYALAPVVTPQHAAQVMVAYKSPECAAQGGRPGRKSDVWSLGILILEVLTGKFPANYLRQGRAATDLAGWVNSVVREEWTGEVFDNDMRGTRSGEGQMVKLLQVGLGCCEPDVGRRWGLEEALARIEELRERDTADDSSTASSFLSDGEPPAASRPPGEPQSHSE >Sspon.06G0012420-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:49111011:49112453:-1 gene:Sspon.06G0012420-2D transcript:Sspon.06G0012420-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSTSTGGVRIVSRRLVRPEPAAASPDHSAPWSEPETMHLTPWDLRMITVDYVQKGLLLPKPPTARGAQLVDSLASSLARALARFYPLAGRLAVEEATTGGPGVVVSLRCNGEGAEFVHAEAPEVTVSDIIAPGPGDHYIPSSVVWSFFPLNGLLGADAALDDDDNNNGNRRPVLAAQVTELADGVFVAMSLNHTVADGTTFWHLFNTWSEISRTRDGCELSTPRPVLDRWFLETSPVPIALPFGKLEDIVRRPVYPPVRECFFHFSAESVKKLKEKANTEMAGTATATVSSLQSLLAHLWRASCRARELAPDRETTYTLLVGCRARMKGIPQKYMGNAVTSAVARSTAGDVVSKGLGWAAWLLNRAVAAFDEASVRDDLASWPRDPRFHYVEPTRKEDPATVITGSSPRFDLYGNDFGWGRPVAVRSGAGNKMDGKVTVYEGRCGGGSMALEVCLVPKALARLVADDEFMEAVSAPTA >Sspon.02G0048850-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:29965404:29966151:-1 gene:Sspon.02G0048850-1C transcript:Sspon.02G0048850-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGYDEPLRVTSSPMTPNQLTAAITDLVRSVAAIQSYLGIPPLQLASWPLPQSVVTSLPPVFPYRMLGYGMTLLPFQDVQPTVQPTLQQIEQAMDITTEPTRKMLTCKEMRQPMHEATMVTVDLSSAERDLTLWDGHQQLRRPATVFRREHGDFSAGSGLQLCGSGGRGVAPLLVTGGDALPSATAFRHRPSRGRFRWSLSLLIPGGYTRAPLSFRWAPWDSGGYTRA >Sspon.07G0010320-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7A:31578847:31581972:1 gene:Sspon.07G0010320-1A transcript:Sspon.07G0010320-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEANVPQEGALPRQGLGVDHESLQLPQSDRQRRKGGWITFPFLGAAMMGLGVATSGVFSNLVVYLIKEYNVPSVDAAQISNIVGGCLSLAPVAGAVVADAFFGCYPIVAISMAFSVLSSVVFTLTASLRGLRPAPCHLGTGPCEPASAGQMAALYAGVFLMCVSAAGSRFNQATMGADQFDSAADRDVLFNWFFVFFYASSVLASTVIVYVQDTVSWTLGFGISAAASAVGLVALLLGTRYYRRSPVWGSPFTGLARVAIAAARKWKVNVPTSGELKFYHGRPRRGDGEDKAGNSDTDLAPSDDSFSFSPHLCTVSLHALLFLSAAAPVIPSARPTSTATSLSAPPRDFLFETSTATSFPAPPPQPPSPLRFLQPPYGDLHRDLIPYSGPVISFPDLRVIFLNRAALITDRDTIAAADGSTMIRPWRVCTVQQVEDLKTVLRILPLWSAAIFLSVAIGVQINFTILQALVMDRAVGRFVIPAGSMIVGTLIAVVVSLGLLDRVLLPLWRRLIRHDPTPLQRIGAGHVITIVSMAASAVIERRRLATVHARGEEGNPAWVSPLSAMWLLLPFALSGFGEALHFPGQVTLYYQEFPPSLKNTATGMVAMIVALGFYLSTALIGIVRRATAWLPDNMNASRLENLYWLLAVLVSLNFAYYMLCA >Sspon.07G0029860-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:71275747:71283125:-1 gene:Sspon.07G0029860-2C transcript:Sspon.07G0029860-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSACSPVPTLRPDERSDLLSLLAAAARPLADVVADFLARFPRERRLRDKKMLHPTGRLIAFAILHQSYSPQTANPYIPILLNAACDETSEKSERAFVQLLLTSSSGNNNNEVLNQSAVDYINGSVSASQDFLPREQLEKQYCSTSVHSRPQISSFRAAMVRSAIPDPDVPQSLRYHHLEANRNLLLMIEILLLLFYSKTNRGKVGSAMDSAHTPRLPVLDGELQWLNPDNNHELLWDYSMCADTSRGAAIRDLIARALKGPLAPAQQEQVIVELTKDPKLVYYCGMTPQKLPDLVEHNPLIAVEVLSKLINSPDIPGYFDVLVHMEMSLHSMEVVNRLTTAVDLPTEFDKYMQNRLVRLVCVFLQSLIRNKIINVQDLFIEVQAFCIEFSRIREAAGLFRLLKSLE >Sspon.01G0014630-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:31936935:31941171:-1 gene:Sspon.01G0014630-2B transcript:Sspon.01G0014630-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYLQEARENHVKKKVEEALRSKMKQKALKECDLYCSKYAECARGRTFSVCSSTQSSSTTWSCSSGLRPQVTSNRNIPNAKTSVPGGAFPVRSSSGERYPMAPTTFVVLGLVP >Sspon.08G0030450-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:59877813:59878036:1 gene:Sspon.08G0030450-1D transcript:Sspon.08G0030450-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKTTSAPARRFSPSADRLGSLMLPINPRPPLLLATHPTCSHPRSLLVLSEFQCPSLLTEVLLFTSQSFFA >Sspon.05G0020540-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:87064118:87065116:1 gene:Sspon.05G0020540-1A transcript:Sspon.05G0020540-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPPCCDKEGIKKGPWTPEEDIILVSYIQEHGPGNWRSVPINTGLMRCSKSCRLRWTNYLRPGIRRGNFTPHEEGIIVHLQSLLGNRWAAIASYLPQRTDNDIKNYWNTHLKKKLKKHQAIGAIFAPPPPASDCSSSSSIVMPTTTVGGSHVIDHHHHRDMLGTNPSYYARPGGGCCSNPAEVAQLIARRSPFAADGGDISSSSYASSMDNISKLLTGFMKQQQQSSPSPDAAAADIKPSAAQVNNNHALLSSSSFHHMSAGTGSTPPAATCFNDMMPSPPHAQQAALMGHGGYDDPRQPSPLSPIETWLFEEAAAEQVGDLMDLSEDCCSS >Sspon.08G0022930-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:53839093:53841731:1 gene:Sspon.08G0022930-3D transcript:Sspon.08G0022930-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPYSLKKTQDIHTVKLNKGFGEDNIKRQLQTVAEGVAASVLQPPFPEKPAAFSGDHIDSHGAVVDAKVQDEGNNQSDKTSQGVQVLDDIDNLQIIKNSDLEELRELGSGTFGTVYHGKWRGSDVAIKRINDRCFAGKASEQERMRTDFWNEADKLASLHHPNVVAFYGVVLDGPGGSVATVTEYMANGSLRQALQRHEKIFDRRRRLLIAMDVAFGMEYLHGKNIVHFDLKSDNLLVNLRDPQRPICKVGDLGLSKVKCQTLISGGVRGTLPWMAPELLNGSSSLVSEKVDVFSFGIVMWELLTGEEPYAELHYGAIIGGIVNNTLRPPVPESCDPQWRSLMEQCWSAEPSERPSFTEVGKSLRAMAAAPTKAQQQSK >Sspon.01G0000540-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:2050764:2060385:-1 gene:Sspon.01G0000540-1P transcript:Sspon.01G0000540-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPGRGDAAGSKPYRSMEADAQACGDGRSALPDLDHRGRGGPTTAAAARAEAEAGEAAQEEGRHGRGGSGTGAAARPGRQEEGGRGGGRLLHLLRRGQPRRLRSEVYHPACIKRDEAFFQSRSKWNCGWHICSSCEKAVHYMCYTCTYSLCKVCIKQGKFFSVRGTKGFCDTCYGTILLIESKDESATKVDFDDVLSWEYLFKLYWLDLKGKLSLTLEELTTAKNRWNVPSTSARKEKEESSDDLYDANDDDDAGSDCSSRKRRRTNSRKKGQKRRKVNKDCSTAAKKVELPTRNTESVPMEVPNERVPLPVDTKVPNGRIPLPVDTKVPNEPVPLPPNMKWASPELLEFIGHMRDGDQSFISPFDVQTLLLDYIKKNNLRDPQRKSQIICDSRLHRLFRKARVAHFEMLKLLEMHFPMNETSIVSDSNQVTINLNSAHVDTNGYNDMAAKFSPDKRRRIHRKMERDTQVNLEDYAAIDMHNINLIYMRRSLMEDLIDDAKFSDKIHGGFVRIKISDVCQKQDIYRLVKVIGTHKVPEKYSIGKKMTNFALEILNLNKKEIITMDTISNHDFTEEECKRLRQSMKCGGDILQKAMVLQSVRVNDWFENEKQRLGHLRDRAKKLQLLDNSEERTRRINEVLEVYVDSHMDPNYESAEETDEKRTVAKLVNRTRPDTTISRRISRYLSTKQNHPKKVSDSSHHPKSLSTESTICESGARSLENSTANRTMYEAGSLSSSGVTMSNDTEPEKVWHYKDPSGNVQGPFTLLQLSKWTSYFPRDLRVWLTFESEERSLLLTEVLSKQQKDFTQAASHTSSKATLFGTGDTRNSPSVDQTNAFSPVGHSMVSSSGITVQYSKYSVPERESVYSPDDSLSLSTSSVPMKDAYTVNSQAHCQNKHSVFIQSPGSSYGHTDLNHDGIQGCFGESNHHHSSGTLWSPTVAHMSCSGRGNVESHQNQYVSWSQSQHESKNSSQRGPVKDLMNSRRDLSKNLPTQRIGKDVSSPLFAWSPAESRTASSQHEGSCLSSTANPSFLDEPHSSIASAKPKSCAPATPIEDRGSSSPSGMLSHAERVPICSPQSAPLASASDMCKMEITNQQRTLEADTSNASVNQSPESKIFPVSSPDNRDIDREFPSPVPRSENKDIAVDSSGLTPASPENITTNLPGSDTCKMEDVVSQQQVIGAVVLNSSDSHSPHSKVSPVSSPNNEDEKHEHPSSTPRSENKIPAVDSLLSTSAAPENLTTTSAHDSDTCKMEELVNQPKTLEADASNAPLCQPPHSHIFPVPSDNQDIERECPGPTPNSDSKEPLVNNSATTSASASDTSKMEEFVNQQKTLEADASNAPLNQSPHSHIFPVPSDNQDIERECPGPTPRSDTKELLVNNSVLTSSAPEILMTTSAASDTCKMESVNQQKTLEADASNAPLNHHPHSHSVPVSSDNQDIQRECPSPTPKSDSKEPLVDNSVLTSAAPEMLATTSASDTCKMEEFVNQQKALEADATNASLNQPPHIFTVSSDNQDIGRECPSPTPRSDCKEPLMDNSVFTSVAPGILATSSASASGTCKMEEFVNQQRPIEADASNASLNQPPHSSVFASSSGDNQDNACERPSPRPEGEQPLMDNSGLTSTVPQNLTSTSASASEICKLEEMLNEKRMLEANPSNGSITQSPQSKVFLVQSPDILERGFSSETPRREFKEPVVDSSGLTSAAPENLMTKQHVHSSDAFVPPKSGAPTGKLGDVKSDFKGEEIIQKEQYCESESIVATRENLLIDPSFGAESIDVSDVLESLMEERGGTSYMPGSVEDFLAASAEEEPHYSSPIALSPWGEPSYYQGDAVDSALWGIQDSINDMWPLLSPRPMLQPSSGIGTEGKETYDINEVAVTHVNSDFFQRGSMIGEENVNQANLSAVADWMLSDQVPSIPNGMSTSSVDESTRVLGSQESTNQSLDWGTTWTTSQNLSMYSNEKAEPSSKSYLEESRKQETNNSSVSISGEAIGNNKGLNPPDNANRGSQLSHHHRGRYSQISESWLLSSNHSRSLIDLAAVGHQDQLQRDKLGANVYSQVQEK >Sspon.06G0013230-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:52339424:52348090:-1 gene:Sspon.06G0013230-3D transcript:Sspon.06G0013230-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLSPSVKSASPAISSRYPHALRPLVARHAAARPPLRHCLPAVPVLASSGTAAWPAVAKEFAAQSQAEQAYVGIMDLMPFFYMYTKPTKNRLPHLSGQGKSIAPSITFRKKTGVEWTELSVGAPVASHCVPHTTQSLASESMKSSSAAQRLKLKQEQGDDCSKMQGSRGLMSSLELRLGISSDNGAGCGGGGDPWLGVGMHPWSLAARQAEKEALEQDHQRPPPPQPVGWPPVGAFRRSHLQAGAKTVEEPTSKVKPGEQRPAPAPATMFVKVNMEGCAVGRKVDLQAHRGYASLSRALQAIWSVEDRWQRRRRRRRGTAGADEEGANKSNKKAYILLYEDNEGDRMLVGDVPWEGVLVEVVVVDAELWTMDDGCCRLFMASVKRLYIAQDPRKTKN >Sspon.01G0042660-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:67808853:67810465:-1 gene:Sspon.01G0042660-1B transcript:Sspon.01G0042660-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHCKNVGGAPSDGDNSPPRLTEVAQGKRKKTSTKKRKRPFTEVEVAQVVADAADRAERGGRGSGIQISERHFHLEGRQLGTEATEETEEQPTEQAEESQEQTQQTPPRRRSRQTGRDRRLYSTRVREILRIPTSEIRIHQLYFGQTPPPRRPHDGTVPPTDLVRPCFREPFGEGSKRTPAIMTPIARVLDAIVSRTLLLRLGYREGLTHMHLWVVHHLVSQTPFDICDLMLYEMEDTLAEGFKGHRQLPYAHWICFLIRCACDLLAEIQEEISDTTTAFLEYDVRQLWATITMEEAPRQGQRQRAELADPDEDDATDSTDDDYQPLPRYRSPRPHDHEAGGSGSASHSDPAMVAILKRLTQA >Sspon.04G0002970-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:10181029:10181946:-1 gene:Sspon.04G0002970-2C transcript:Sspon.04G0002970-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MACSTASLAATLLAFALMFRACLAGRRLTALVQEPAITMKYHKGALLSGPIAVNFIWYGDFSAPQRAVITDFVSSLSAAQPEPSVATWFKTARKYYASSKVRFPALRLGSHVVDQNCSLGKRLTERDLLRLAAKGSPSRAINLVLTAADVAVDGFCMSRCGTHGASPRSRSGRFAYVWVGNPASQCPGQCAWPFHQPVYGPQSAPLTPPNGDVGVDGMVISLASMIVGTVTNPFGNGFYQEGSADAPLEAATACAGVYGKGAYPGYAGSLLVDQASGASFNANGAHGRKYLVPALVDPDTSSCATL >Sspon.06G0032990-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6D:6387171:6387587:-1 gene:Sspon.06G0032990-1D transcript:Sspon.06G0032990-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSASSCSPARPSAPPVARNAVLAGRRQRMGAVAAGARERGHALAILACMTLLCLARTRSHGCAYATPKSSSPHSRPCAAAASSSGDDRPRTLPRQTTGGPAPLPGARTRTSERAAAQARHRAITGEEAGSILCLVAY >Sspon.07G0033400-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:59863057:59863820:-1 gene:Sspon.07G0033400-1C transcript:Sspon.07G0033400-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEPSSTFALLDMSGQIKMMVWSQATRSWQSMYAQPADPCTPYATCGPLTLMLHCHMIPRALQVLPLKANVQKLVFEIALAPLIPITIVDALFGMANCFM >Sspon.06G0031340-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:65003896:65006772:-1 gene:Sspon.06G0031340-1C transcript:Sspon.06G0031340-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGDADAFRRVERVRREFNRLHIQPQGTTEQFNSSFLDPQAMPQQATLFVRRVYVAGLPPSANEQTIGVFFNQVMAVVGGNTAGPGDAVCSVCMNHEQRSALVEFRVAEEASNAMALDGILFEGVPVKVRRPADYNPSQAAVMGPSQPSRKLNLAAVGLTAGSAGGGLEDPDRIFVGGLPYFYSEAQVRELLESFGPLRGFELVTDRGTGNSKGYAFCVYMDTTVTDIACAALNGIKMGDKTLIARRAKPGGISAQTRVGKYPIAGTATGAIAACHLHLRCALVKLANPVDAAPTTVVCLGQVVSTDELKDDEKYEDIMDDMRQEACRYGNLVKVVIPRPDPSGHPVTGIGKVFLEYADVYSSTRAKMALHGRKFDGKSVVANYYPELKFANLDFDG >Sspon.04G0017390-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:63108072:63111519:-1 gene:Sspon.04G0017390-1A transcript:Sspon.04G0017390-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARYLLAPTTSSSAGLLPKDAAKNSPTSRPLRLRALGSRTSKARPIVAVASEQAAPAARYPKVAAPTTGPIPAAELLGVIMEAVNKPRNIQYKDGTTNFAHGYPSFSVSIGVLFCGKPAASTVVEFCGGPMCWSTRTISASAGGGAYCNGQKIHVSQTDKVEQSLLVTGFGYEHDDAWTTNINLFKEFTDISRGVRRLGSAAADMSHVGLGITEAYWEYRLKPWDMAAGVLIVEEAGGVVTCMDGEEFTVFDRSVLVSNGLVHGQLLDRIGPPTEDLKKKGIDFSLWFKPDKYPTDF >Sspon.07G0027710-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:61136065:61140290:-1 gene:Sspon.07G0027710-1P transcript:Sspon.07G0027710-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RAAGAPRGHECLLEREPWRRRRRGRRCCSSSSSPSPLLRLPRRFLSLTATPYPLYYDLIVHRPADPNPPKSSASDAAGADRQPQPASDEQPLDRAKRRYLRKRRSRLLPDPDATTTTKPSSSSSEFVELRPEVVDFPRLHAREEALYFHDTFAMPWEKDKHYRMLYRLEKKYFPHQSLDNAFVSADAAPASDADRTSKKGGDSGDKGEVLERKVEDFFRSLKKGPGQADTKAKRPGAEPRQVKREVPREEERPQPYLVTGPRSCRPGGTARPGPSCSSTSPKVGHAGTLDPMATGLLIVCVGKATKIVDSYQGMVKGYSGVFRLGEATSTWDADSPIIQREPWEHIKDEDIRKAAASFKGEIWQVPPMFSAIKVGGEKMYDKARRGETVAFTKTQNLIFRVTCSKGTYIRSLCADLGKALGSCAHLTALRRDSIGNFLLCASRLLSTWSSCSCSQASKAYFAGEYSVNDAWNFDELEQQITKGYL >Sspon.01G0015090-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:44629808:44636806:-1 gene:Sspon.01G0015090-3D transcript:Sspon.01G0015090-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGWRGTEGCDGRRRRLVRLMWPAARVEAEAPPSPATATSPSRFSVLPPRTTSYQLAAVAAREQECVDSPRPLSPGSFIKERQEEVNRLLYRTRLEMHAAVQSGGRSPKQLNGSSASQQLKTSTDGTQNCGLSKGKKRERGEQGNDPAKRDRDRLHADNSEPGSKLDDIKSEIAKVEKGGLPNTEAVEKLVHLMQLDQTEQRVDLAGRVMLADVIAATESPDCLGRFVQSRGLPVLDSWLQEAHKGKSGDGSSKEADKPIDDLLLALLRALAKLPISLSALQSCSIGKSVNHLRSHKNLEIQKKAKCLVENWKKRVDAEMKSNDLKPLTGQSASWPGKAGFQEISNAGSKRGGSSEHSPKKPASTVSSPKVLTDKPGGTDAVAKLNHVPYVTSKVQHMQPGNVAANLKDQPCKSTGGSELPTVKEEKSSNSSQSPNNSHSCSSEPSKDARSSTAVSGGASKTSGSASWGHRKATNGLVSGNLNEASVGRCVSLDRSLLPDKSSPTGSASEKEVDMPSDHGNNHRLIVQFPNLGRSPARSTSGGSLEDPSVTGGRASSPVVADRHEQTDRRVKMKAENSRPHLTSDVNAESWHSNEIKGIAGLEEGDKSPCAMLDDDNSRTPDDSVKDTHVSRVVCSASSYMNEKGVCSSETKVGNSFSPMNALIEIKYSEASHSLRAEDDTAMDLLASVAGEISKSELVSPSSSPRNSSAKKLGCERDNAGKVKVESDVGPSQDPRPADAKKVVGGKEVKRDSCLAAKEEQRQTVPSPGLADSKAVGSSVKIEIHEGPANKCNSQPDPVDSKGEHDPNSNLCHSANARIVNVPNMDSSAGENRDACRHGKVEDGCTDKGGAVDSTLGSQCKVVVSSRNSRLVLAGESSLSAADKQAQGLLKSSTNHKQPLGVSGHSGAFDSRDSMAGKLDLMGAEVKKADAVGDSSILRNEEEKKENASFPSADVPKLVVAAATPTNGIKEMKESKDTSSESNSHVKSEGVNSQQSEHSAKQSSKKSSDGVSEKEDGKDDLVSSDEGSSLAAHTKSNATAKLDFDLNEGIPGDDGHQSEPTISPVICSSTVHLTGLSPFVSPITCGLQSAPITVAAPAKGPFIPPENLLRAKPEIRWKGSAATSAFRPAEPRKILEMPGTTRDIPGSHTAGKQSRPTLGFDLNVAGDQALQEDIPESSAQTTCSESGNTKSRDGSSRSAGIELDLNRTDEVSDNGQFVSNASHPVELPLSSTRSLPGIVSNAGMNISRDFDLNSGPGLDDAVTEPVPKNLPAKNTSGIQFLQVSGLRMNNVATSNISPWLASANPCGSVAIQSFLPSRGEQLYQIEAASGAQRIIASTTDSGQFGGDPCRAPVISTSPAMVFHSPAYQYAGFPFPPSVHLQAPAFSVGPATFANSASAVPYFQTLSPSLVGPAGSLPAQHSRQYAINLAEGSSSSGRDSSRKWESQGLDLNSGPGSIDLEEKDARAPLPVRQNLITPPHGFAEDQGRIYQMPVVGTKRKEHDGSWDTERSTYKQLSWQ >Sspon.04G0037600-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4D:75080580:75083737:1 gene:Sspon.04G0037600-1D transcript:Sspon.04G0037600-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MWTLHFSFMKYSNRFPTSFLALALMLLLFFASPTSSCTEQESDSLLHFLAGLSQDGGLTKSWQNGTDCCTWEGITCSPDRMVTDVFLASRNLEGGISPFLGNLSGLLRLNLSYNLLSGVLPLELVSSSTIIVLDVSFNQLNGGLQELQSSTPLRPLKVLNISSNLFTGQFPSTTWEVMKSLVVLNVSNNSFTGQITATFCVSVPSFAVLELSYNQFSGSIPPGLSNCSRLTSLSAGDNNLNGTLPDDLLHIALLEHLSFPNNQLEGSIGDVSKLQNLVTLNLEGNGFSGGIPDSISKLTRLEEIYLGNNNMSGELPSTLSSAQNSKLSTSRATVSAENSPRFHGQLSGKISNLMSLSFLSLVDISLTNITSAFQILKSCRNLTTLLIGLNFKHEVMPEDDRIDGFENLHVFSITGCSLYGKIPPWLSKLKSLEVLDLSSNDFTGTIPAALNNLHFLSQFNVSNNDLEGIITTAGQLGTFPSSSFDGNPKLCGPMIVNHCGSAETPQVSKKQQNKKAIFVLAFGVSFGGISIMFLLACLLLLFRRTSFMARNRSNNKDAIEAIPSNFNSEQSMVIVPQRKGEQNKLIFTDLVKATNNFDSENIIGCGGYGLVYKAELPDGSKLAIKKLNSEMSLMDREFSAEVEALSMAQHDHLVPLWGYCIKGNSRFLIYSYMENGSLDDWLHNRDDDASTFLDWPMRLKIAQGAGQGLSHIHNVCRPHIVHRDIKSSNILLDKDFKAYLADFGLARLILPNKTHVTTELVGTLGYIPLSTVKVGWLLLEWVHDMRSQGKQIEVLDPALRGTGYEEQMLKVLEAACKCVNHNPSMRPTIQEVISCLDSIDANLRMQNSISIE >Sspon.05G0033230-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5D:37350495:37350930:1 gene:Sspon.05G0033230-2D transcript:Sspon.05G0033230-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPVNGFRVLIIDDNEDDANHTRDMLHQLNFHVVMFTSPREALDFLNDHAQDIDFLLVSVDMEEIPGFEFLDMATEMYENIQMISKCVLSY >Sspon.08G0003820-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:7949124:7953519:-1 gene:Sspon.08G0003820-2B transcript:Sspon.08G0003820-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPAFSASKPSPSGREKGRRKGASAGEQLLTGQALPLRTRLHDALALGLTKSDGHGAKKWQSTDAGVQSHVLKSVGAFVGCLSNELLKFPPIKESISDILVALEGILKTKNVSVLIQAADVSSKFVSTLGNSVRQYSVLEMVSSLSCHLSANQLRIAVPCATALTCILNSLVTAKVSTQAEIWEALDQTNAVASVISALQNYTEDAYPLNYLTEMISLLRSILWIWPSSRYHVWSNHNLMHITVLALKQQFLKLYAALALCGNGAMVLLKNEELITKTGDLIGKSHPTVTRIEALRLCQVFLRSSRGCDQLMTAHCQPIVQGITNAMSEIDEKTLVREGCQTALLALRYSGNHHRCFWFNAIDEVLYKILSGSCNSSHHAHRTLCYGQLFNIDSKDIMNIYPYVWDILGYLAVHCDNEHLSIGKRQNSFLQGLISCACSLATDLPLKNSPMKLSKEEQEPALRAVLMMLLSPSQFIFSEASSKFLEAVLPLGNEYMNMLMSSLESNVTRNLTASFDCVKIMTNLMNIACLLIKERWGIVCHECRDYYTEGLVGVLKHALCQNMNPGPKSYIAHILSLFGLCGFPSKLGGNMRNALCDNELVDLELLLADGESLSAHAAILSARCPKLLPSEKTFVHDGSVTDEWGKRSCYPVRMSDRVDSHALKKILEYAYTGMVTVDDAIVKPVKTLAKYCHLRSLHLMLRKEQPRWHSCPIYDLTTALEPSGMHESFAEIIRVPVGWEALRILVQWFYSGELPRVPPNCRWKTISTEEKLSILKSYAELSSLADFWFLDGVKEESLEVLTSCLNSSTNASLEFIGFAANLGQWELVEAAISSVAHLYPKLRDSGQLEQLDEDVLNMLRTEYVRYSQHYSASN >Sspon.01G0032740-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:110153035:110155850:1 gene:Sspon.01G0032740-1A transcript:Sspon.01G0032740-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHQGVPKFGSWEDEGDHLYTQYFENARKGKSPGRSASQNDHSGDPEALSKDSPSAKASPLRTGSDPVVRKPKDERHANREDDLRRHEAPARRPYTDSATHKHGVNTSHDSAARKTGMERSPLHPHHQARVVNKGGVSSPSWERRGSSEGHRGMAPTTPGRSKMRPSGRGDETPERGSAVPKFGEWDEKDPSTGEGFTDIFNKVREEKQSGDAPVITSDTGLLMLQLVQKLMLPCRVAHASVGSETNASLQGCSSFSWFGN >Sspon.05G0037830-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5D:24527246:24528525:1 gene:Sspon.05G0037830-1D transcript:Sspon.05G0037830-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GRIRQGPYPTISGSRPYPPVLDPSSTRPRPSLDPPPVSREERLVWHRTQGLFTVSSPTSPWCPRMAGHSWGTSLQPHPQGSTKLHLKAPRRPGLLLPYKRAGQGSTRSNRATKETTNQPTNEQRHTTHRLTSQAIILEKVTHPKVCPLQQHTHPSDISASPAEPRAPRASPVSHPTEPPSPPCRHRAHLAVVLRADPPAPPATARHRTPLATACHRAPPPPHATELRLPCAATRHAVVEELRRAAPAARRSRCSPRAHRALLELLASSHAAPLQPLVSRPTARRSTNPTPLTTNVVRVRCLGPHQIRHPMALSASELEVAPRLHLGL >Sspon.06G0009310-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:40237100:40238317:-1 gene:Sspon.06G0009310-1P transcript:Sspon.06G0009310-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MENAPQTPTTAAAGNVLRVADLPGRGRGLVAACNVREGEVLLSEPPVLLYPSTLSSLASYCSACFRSLPATATIPCASCRAAAFCSPACAAASHPRLLCAALSHGGGAALAAAAPTEAIQEPLLFLLSAYSLPEPTLRSILSLSSAPPPPPGAQDAAGLHAAVAALAPRHMLPQGFSPDLTAALLAKDRGNSFAIMEPYRIGMSLELLKARAYAVYPRASLFNHDCLPNACHFDYPDRPGPGNTDIVVRALHDIPEGREVCISYFAANWRYADRQRRLLEDYGFRCECDRCQVESQWKDDDDNNNNGDDGDDTMEEEGEDDAGDRGDDGMEEEEGDGATNGNDDFPHAYFFVRYLCDNEGCWGMLAPLPPSPNGDLSHVFECNLCGKLRKEEDAMPDEGTSGMVH >Sspon.08G0029290-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:30616700:30624361:-1 gene:Sspon.08G0029290-1D transcript:Sspon.08G0029290-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MERNNDEAMGTSRHNSHPKVHSKMCNELTLMLDKKLLNQDAKQAITSEATAMRCERIRNSLRRSLFLIQNMVEQLLANEL >Sspon.08G0007670-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:23198575:23202138:-1 gene:Sspon.08G0007670-1P transcript:Sspon.08G0007670-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEKKQSNPMREIKVQKLVLNISVGESGDRLTRAAKVLEQLSGQSPVFSKARYTVRSFGIRRNEKIACYVTVRGEKAMQLLESGLKVKEYELLRRNFSETGCFGFGIQEHIDLGIKYDPSTGIYGMDFYVVLERAGYRVARRRRCKSRVGIQHRVTKEDAMKWFQVKYEGVILNKAQANTS >Sspon.07G0027810-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7B:62349138:62350079:-1 gene:Sspon.07G0027810-1B transcript:Sspon.07G0027810-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMETTTAMRSFVFVLLFLAAASSSASADSSSKDRCHSGDKAALLAIKAALGNPYHFASWTPDNPCCDWYDVDCDATTGRVVGLAVFQDANLTGTIPDAIAGLVHLQNLRLHHLPGISGPIPQAIAKLSNLSFLTISWTGVSGPVPSFLGALTRLNQLDLSFNALTGAVPASLATLPSLYSIDISRNRLTGSLPPLLFSKLNNKAQEAYLRLSHNNLTGSVPAEFSAVAFAQVDLSRNAFAGDASGLFGRAKPMQQMDLSRNAFSFNLSTVELPEQLVSLDLGHNAIYGGIPAQVVNLTNLQFFNVSYNRLCGA >Sspon.03G0028860-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:9480408:9499339:-1 gene:Sspon.03G0028860-2D transcript:Sspon.03G0028860-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCDNQFRVTFDWEVEKQGIPGAIIVKNNHASEFFLKTITIDNVPGHGTIVFVANSWIYPQSKYRYNRVFFANDTYLPSQMPAALKPYRDDELRNLRGDDQQGPYQEHDRVYRYDVYNDLGLPDSGNPRPVLGGTTELPYPRRCRTGRKPTKTDPSSESRLTLVDGDVYVPRDERFGHIKKSDFYGYAIKALVNAVIPAIRTYVDLSPGEFDSFKDIMKLYEGGIQLPKIPALEDLRKQFPLELVKDVLPVGGDYLLKLPMPQIIKGRSSLRANDAELIFNLVVKYGYQFHLHYSGYAEDKTGWMTDEEFGREILAGVNPMIVKRLTEFPPRSSLDPSKYGDHTSTVTEAHLENKLEGLTVQQALDGNRLYILDHHDNFMPFLVRINSLEGNFIYATRTLLFLRGDGTLVPVAIELSLPELRDGLTTAKSTVYTPTSTTGAEAWVWHLAKAYANVNDYCWHQLISHWLNTHAVMEPFVIATNRQLSVTHPVHKLLLPHYRDTMNINSNARQMLTTVFPRQYAFEMSAVIYKNWNFTEQALPDDLIKRGMAVADPSSPYKVRLLVEDYPYASDGLAIWHAIEQWVTEYLGIYYPNDGVLQADVELQAWWKEAREVGHADLKDAAWWPEMQTVAELVKACTTIIWIASALHAAVNFGQYPYAGYLPNRPSVSRKPMPVPGSDEYAELGKNPEKVFVRTITSQFQALVGISLLEILSSHSSDEVYLGQRDTPEWTSDAKAQEAFRRFGTRLTEIESRVMTLNADPRLKNRNGPAKFPYTLLYPNTSDKKGDAAGITAKGIPNSISI >Sspon.02G0034220-3D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3D:391924:394535:-1 gene:Sspon.02G0034220-3D transcript:Sspon.02G0034220-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVASAEVTRRRPAASRRHGSPPSLHSFPRAARPPAMEVASAEVARRLPGGAAPPSGTGGAAQPPLAVLVFFLVSFLPPASAVRLLPPADCTTTMVVSPSRIRAPRAAPRGGPDGGYREERGTLNLACYCIELNGSVRHAVARCTPGSAIFGVAIRLEARGREVICYESPRPPAGIHRVVFVLFQQMARGSIDQPPLLRHNFCTRNFAVDHGLGAPVAAAFFTCQPEGGTGGRRHDFRQPRTPPGAG >Sspon.07G0015380-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7A:55101224:55101694:1 gene:Sspon.07G0015380-1A transcript:Sspon.07G0015380-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGENLQTEDDMPKRPIGQKAAKKAALATKDKSKAMHGDEKSKESAVEKLDKLSKVQEEANAKRMKNRESKKLEIEGRKLEMESKKLEKESKMMDAYNSLISQDTSSMTVDEKAERVAAMKCLRKMLFPELI >Sspon.07G0015500-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7B:59791339:59793511:-1 gene:Sspon.07G0015500-2B transcript:Sspon.07G0015500-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVSNRADMVDILIRESREGSVKSPASYAGPDGQTALHAAVFARNAASGKTGVVKLLLVNSLLAYIPDDDGLYPVHYAAIAGYSEIIREIMEICPSCDELVDKKQRNILHCAVELGRTKFNSLRFLQGMVENDPAYLAW >Sspon.04G0004060-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:7813561:7814726:-1 gene:Sspon.04G0004060-2B transcript:Sspon.04G0004060-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNCLKNSRSILSRLLRHRPHVAAAPTPAPVPAAQSPASRYYYASRVLRNKPAVSRPAPPPQLPRPRHYYTSPRRQEVIHFDRRRGGSRWYHDQRKLTAAVLITSGGAVAFYFGHLETVPYTNRSHLVVLSPRLERQLGETQFAELKKEFGPKILPPLHPDSIRVRLIASEIVRAVHRGLSGHQRHSAAYGEDASYGYGGIADDLTIMNRDADATAAMLGASPDKNARAAVAAQRDDEVLDDRWVTECRSRGKARGAQPQTAHLDGLNWEVIVVKDDIVNAFCLPGGKIVVFTGLLNKFRADAEVATVLGHEVGHAIARHAAEQITKNLWVAILQIVILQFIYMPDLINAVSTLLLRLPFSR >Sspon.01G0015330-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:44465372:44469133:-1 gene:Sspon.01G0015330-1A transcript:Sspon.01G0015330-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:H3K9 demethylase, Floral organ developmen [Source: Projected from Oryza sativa (Os10g0577600)] EGRSCLPAEVRNGLETLKRRRLERMRLSAQKEAGDNPAVAARSGGDALWSPANCGVRLHSNNSTALPGNVQNKDPFAKRKVEKFDMSNLEWIDKIPECPVYCPTKEEFEDPIAYIQKISPEAAKYGICKIVSPVSASVPAGVVLTKEQPSFKFMTRVQPLRLAEWAEDDTVTFFMSGRKYTFRDYEKMANKVFSKKYSSSSCLPARYVEEEFWREIALGKMDFVEYACDVDGSAFSSSTHDQLGKSNWNLKNFSRLPSSILRLLQTPIPGVTDPMLYIGMLFSMFAWHVEDHYLYSINYHHCGAFKTWYGIPGDAAPGFERVASQYVYNKDILNGDGEDAAFDVLLGKTTMFPPNVLLDHNVPVYKAVQRPGEFVITFPRSYHAGFSHGFNCGEAVNFAIGDWFPLGSLASKRYALLNRTPLLAHEELLCRSAVLLSQKLLNCDPRSLDKLEHPNSQYCVKSCFVRLIRFQRHARGLLAKMGSQICYKPKTFPNLSCSMCRRDCYITHVLCGCNFDPVCLHHEHELRSCPCKSNRVVYVREDILELEALSRKFEQDVCLSKERSCSFKEAEISDTNVERVPNLGITLDFGNSKAGSSGFMTVDGGNSSAAVSILTSSAHHKAPKHSEARTINTSMTKGTYTVDESSSGMDDACNEHGSCNASAMECSDNSDSESEIFRVKRRSTSFDKPTSETKTSTLSEQQVLRRLKKVHPEVQQVSRRPEEYDNGSVHSARMSQKSSNPASSDDERDDKVPISWRIKRRQLETQHNVTSPGARPQSYPASSGGSREESAERTRDAAAELRPKRVKIRLPSSASRQIEQQGSSGQRFMREDKLSLGFPRALLTTKRGDRREEWEGSGGDCGKADLISTGAAD >Sspon.01G0033210-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1A:112085672:112086112:-1 gene:Sspon.01G0033210-1A transcript:Sspon.01G0033210-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPALPRALTVLLLLLLASTARSQEEAPSPTAEPPASAPLAADSQLARSPISHPPTASAPSAADTAADAPSLPPPAPPKTSPVAAPSSDAPVPAPSHSHLAPAHPPAADEYKDDDDNKSPSPAPIKAAKAAAASIGSGESRSIGR >Sspon.01G0013910-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:40108749:40111477:1 gene:Sspon.01G0013910-3C transcript:Sspon.01G0013910-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-N-acetylmuramoyl-L-alanyl-D-glutamate--2,6-diaminopimelate ligase MurE homolog, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G63680) UniProtKB/Swiss-Prot;Acc:F4I3P9] MATAPLASRLPFPFPSASRPPPSRILAPPNPRRLPLRLAAAAARRFRPPTADDEPPEAAEDSSHGLTRYDQLARSVERARSRQPEITPDNPLFSSPSPAGGGGGGSYDPDDEFFDEIDRAIAEKREEFTRRGLIKPSPASPPPSSSQSQPEDEDLADELSPEEVIDLDEIRKLQGLSVVSVADEEDEEVEGGEDEDGDDGLPLDEDGEGFDVAEELGLEGARMRQPAFRMTLAELLDESKLVPVAVTGDQDVALAGVQRDASLVAAGDLFVCVGEDGLAGLTEADKRGAVAVVADQDLNIEGTLACRALVIVDDILAALRVLPACLYRRPSTDMAVIGVTGTDGVTTTTHLVKAMYEAMGVRTGLVGVLGAYAFGSNKLDARPDASSDPIAAQKLMATMLHNGTEAVVLETATDGMPPSGVDSEIDYDIAVLTNVKHTDGEHGMAYEQYMSSMASLFSRMVDPERHRKVVNIDDPCAPFFAAQGGHDVPVVTYSFENKKADVHTLKYQLSLFETEVLVQTPHGILEISSGLLGRDNIYNILATVAVGIAVGAPLEDIVRGIEEVDAIPGRCELIDEEQAFGVIVDHARTPEALSRLLDGVRELGPRRIVTVVGCCGEKERGKRPVMTKIAADKSDVVMLTSDNPANEDPLDILDDMLAGVGWTMEEYLKYGANDYYPPLPNGHRLFLHDIRRVAVRAAVAMSEQGDVVVITGKGNDTYQIEGDKSEFFDDREECREALQYVDQLHRAGIDTSEFPW >Sspon.03G0046580-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3D:41034653:41036512:1 gene:Sspon.03G0046580-1D transcript:Sspon.03G0046580-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase 9 [Source:Projected from Arabidopsis thaliana (AT1G44970) UniProtKB/Swiss-Prot;Acc:Q96512] MAPINIVLGAIFIASFYLSGSLAFPPGHHEGAHPIGNGPISGLSTDYYKFTCPQADEIVVPILKKAMAKEPRIAASLLRLLFHDCFVQGCDASVLLDDTEEVVSEKNAIPNKNSIRGFEVIDEIKAALEEACPNTVSCADTIALAARGSTVLSGGPYWELPLGRRDSKTANMKLANKNLPPPNATLHRLIKFFQRQGLDKVDLVALSGSHTIGKARCVSFKQRLYNQHRDNRPDNTLEKSFYHTLASACPRTGGDDNIRSLDFVSPSQFDNSYYKLILEGKGLLNSDEVLWTGKDPEIAGLVKSYAENEPLFFEHYVNSIIKMGNINPLMGYNGEIRKNCHRVNQEN >Sspon.06G0000870-1T-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6B:1550894:1551742:1 gene:Sspon.06G0000870-1T transcript:Sspon.06G0000870-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MERSATTTSPTKRPASQGVPASGGARDDDHSFDGYEFSYDAPSELSDRATTRGGGAATPTPTAPTAVFFHEEAVRVGERLPLHFRAAAPAALGLLPRGVADSIPFTTAALPAVLALLGVPPGSSRAAAMAETLRTCERPPPVAGEARFCATSLEAMVERAVAALGTRDVRAVTSALPLAGLPPQAYTVRAVRRIGGGASFVACHDEAYPYTVYWCHGTGPARAYLVEMEGARGGGAVTVAFVCHTDTSRWNPEHVSFKVLGTKPGGAPVCHLMPYGHIIWAKN >Sspon.02G0032740-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:121511403:121519631:1 gene:Sspon.02G0032740-1A transcript:Sspon.02G0032740-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHINPMPLQLRVMGRPELRRVVWPGLCARSRRSNGLKNKSKELFRTFGLSASSPLLGLFEPLYRGPTNAYKRPSSRTIRRRLRVCCHSASLKSSRARTREPQHSPPPRTDGHPPRAAVARRVGRGGAAVPPGGLRHVRRARAGPVAPAAASPTASDGLSPVMIPPPLIPVPVPASESLMPSSPPPASSCLLEVVSDLDDYADDDDIDVSWARPPPPALLGTVRGFSALQSTEPNKRDLTETKNEHAPTSVSQKPKLSKAERRAIQEAQRAAKAAAKEAGLKPTAMASDASTKISKQPKTAKTSLKKDVNQVNPPVASDKKTGERLPDKDRKKDVPQPRMQFDDAHRVVKAKKRSVVSQSEARNRVELFRHLPQYAHGTQLPDLESKFLQPDLMHPSVYKVGLQYLSGDISGGNARCIAMLLAFREAINDYSTPDEKILNRDLTAKISSYVSFLIECRPLSISMGNAIRFLKNRITKLPLALSESEAKVSLQSDIDRFINEKIIVADKVIVSHAVTKIRDDDVLLTFGSASVVEMIFDHAHELGKKFRVVVVDSRPNHEGQRLLRQLVAKGISCTYTHINAVSYIMHEVTRVFLGASSVLSNGTVYSRVGTASVAMVAHAFGVPVLVCCEAYKFHERVQLDSICFNELGDPDAISRVPRDESLSHLKNWAENENLHLLNLKYDITPSDYVSMLITDYGMLPPTSVPVIVREYRREHLGQAIVVATLWR >Sspon.08G0008090-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:31781843:31782886:-1 gene:Sspon.08G0008090-2C transcript:Sspon.08G0008090-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSRTCSWLVPLILSILLACTANGDHLKVGYYDKTCPDVQQIVHSVMASRVDADQSMAPAVLRLFFHDCFVDGCDGSVLLDGTPFSESEKDAKPNANSLRGFDVIDEIKSYVERACPATVSCADILALASRDAVALLGGPTWKVQLGRKDSRGANRTGAEYGLPAPNSTLAELIDLFKQYDLDARDMVALSGAHTIGTARCHHYRNRVYSYNGEGGADIDPSFAELRRQTCQSTYDAPAPFDEQTPMRFDNAYYRDLVARRGLLTSDQALYGCGGPLDHLVEMYSTDGEAFAKDFARAMVKMGKIPPPPEMQVE >Sspon.06G0002540-4D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6D:5563437:5564574:-1 gene:Sspon.06G0002540-4D transcript:Sspon.06G0002540-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQQRGGVGAGRRGDDPGLLTRAVDRVFRFVRLAEFEIFFVLFFLIAFFLFRDLMSRPEYNQLFVKKPDLDDPWP >Sspon.07G0017350-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:84541546:84543484:-1 gene:Sspon.07G0017350-2D transcript:Sspon.07G0017350-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:At5g21140 [Source:Projected from Arabidopsis thaliana (AT5G21140) UniProtKB/TrEMBL;Acc:Q84VP9] NGDKGALSEVDELGGLEAIVQEAGTDGSITSIDALNVRLDNQVVIVDGSEDSQSRLPSSIKNFSLSQKEKTLDELIRDRWLSYTSTGKIGLGTRSFLDLRSWFRDNDIPSCVVCNEACIKASSCLNEGCNVRIHEYCLKKKFSQRKASRACPSCGTEWPCQDGEADGDDDVNEPGEHQVSSANRSSRKKRKRVKAELVEENNNAGTSTEVPRRTLRSAKVEAVKAAQEASSAGASQLGRVSKGRKK >Sspon.06G0001920-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6B:4864031:4864450:1 gene:Sspon.06G0001920-2B transcript:Sspon.06G0001920-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CP12-3 [Source:Projected from Arabidopsis thaliana (AT1G76560) UniProtKB/TrEMBL;Acc:A0A178WDK7] MASPSIGSTSSSPTALPTGAGLRRSPPSVHLWRRRQHQQQQQARRLVMAAARRRYRGTVRREAALAELVERKIAEAMEACGERGQDDEGCRVAWDEVEEVSQARADLRRRIAEAPGDPLEHFCALNPSADDCAVVYVDD >Sspon.03G0033500-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:50853607:50854877:-1 gene:Sspon.03G0033500-1B transcript:Sspon.03G0033500-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSALFHDTRYVVKPAETADTVNREIFSGLAMNFGDEYPLISASKGVVVSYAVQEEDTLPQIASLFRSTSQDILNLNPSLLNPDFVKPGSILAVKYFSYLTTPWIPEADAEYRMLDFASASGRRPEPPSRSSTSTAPPCTGRRLAWRLVVECPSPSDNPVGRPPHVAAQAARRCPPPAPKSAKLMGLPR >Sspon.08G0005340-4D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8D:13839597:13841450:-1 gene:Sspon.08G0005340-4D transcript:Sspon.08G0005340-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSAASSLMSALLALAPENNLDCSFLDDVYLQKRPTVPKFGTWDSDDVGYTVYFDKVRENKGATAPPLHRPHNPNDPEEGPMMRVPPPSSSRPATAGGHRDREPPSSRRHHGQSHHRRTESSSSAASDPGGAAHQSKFAPPPQYYQRASPQPQPQPHHHHGGHHYHHGGHHQQHQPPSAHGHRAPHAHREHRAAPGPRARSASPQSNAPNRQRPSTVPKFGVWDEQTAASAGQGFTVVFENVKRHREVARSAMPAVPRVPSPPEGAALRRAHQKTPF >Sspon.08G0026510-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8C:23960980:23964760:-1 gene:Sspon.08G0026510-1C transcript:Sspon.08G0026510-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSSCLLMGKPSGLHLLDKPLKDFIVNPADLPWKWLKRLAASGERVLSFKQKEKESLGATWARFNDLVNSGPNLAIQDHMLLQHFYVGLSGETAQFLDSTSGGCFLHCSASEGKTILRKILENTPYTSIHDDDLEGVVEKTLEEEPVIVEPETLTAPLEASTVLQVPEPSKEEEIPPLENILEFEDELFSDFGNTSNYSAIRKFSAKSAPNQHLPDPTEEKFLKKTVKELTTIISNEWLGESKLSSEDETLRLLTVLERHRSAFSYSLEDLKGISPALCTYRIPIDPTYPSSREPQHRLNNAMREVVKKEVLKLLHIGIIYLVPQSEWVSLVQVVPKKGGMTVVKNEKVELIPQRIVTGWWMCIDYRKCKKATKKDHFPLPFIDEMVERLAKHSFFCFLDWYSGYHQILIHPDDQRKTTFTYPYGTYAYRRMSFGLCNAPASFRRCMMSIFSDMIEVIMEVFMDDFSVNGKTFDDCLENLDKLLQRCEKKHLVFNWEKCHFMVREDIVLGHLVSKRGIEVDRAKIEVIEQLPPPTNIKGVRSFLGHEGFYRRFIKNFSHNARPLTSLLAKDAPFEFDDECLNVFQTLKKALIFAPIIQPPDWSLSFEIICDASDYAVGAFLGQMEDRKHHAISYASKTLSDLNLIMQ >Sspon.04G0030600-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:1874606:1876730:1 gene:Sspon.04G0030600-1C transcript:Sspon.04G0030600-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNGSVDDHLSSYFRDLKTSNILLDENWDAKLSDFGLARHGPAEVPDMKNRVKKFENGIEVIERFDYLKTSQVVGTLGYAAPEYMQTGRLTAKSDIWSYGVLLYELITGRRPIDKNRPKSEQKLLDWVKPYISDVKRFPIIVDPRLEGHYNLKSMTKLSSIANRCLVRMPKSRPKMSEVYDMVQKIVDSIGTGPPQPPLLHYRGSASEPGAKRAKKGSLRRRLREFGFGCRNIVWRGWKPEIAKNL >Sspon.03G0006680-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:18363700:18368364:-1 gene:Sspon.03G0006680-1P transcript:Sspon.03G0006680-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFCHYRDFSGHSLLRDPRHNKGLSFTEKERDAHYLRGLLPPVVLSQDLQEKRMLQNVRQFQVPLQRYTALMDLQERNERLFYKLLIDNVEELLPVVYTPTVGEACQKGRILEVLRNWPEKSIQVIVVTDGERILGLGDLGCQGMGIPVGKLALYTALGGVRPSACLPITIDVGTNNEDLLKDEFYIGLKQRRATGQEYSELLDEFMAAVRQNYGQKVLVQFEDFANHNAFTLLEKYRTNHLVFNDDIQGTAAVVLAGLIAALKSVGGTLADHTFLFFGAGEAGTGIAELVALEISRQAKVSVEVARKKIWLVDSKGLIVTSRKETLQPFKKRYAHEHEPVKDLLGAIKAIRPTALIGSAGVGQSFTKEVIEAMSSINERPIILALSNPTSQSECTAEQAYTWSQGRAIFGSGSPFDPVKYNDKLFVPAQANNAYIFPGFGLGVVISGAVRVSDDMVLAAAEGLAEQVTPEHIEKGLIYPPFSIIRKISANIAGRVAAKAYDLGMASQLPRPKDLVKYAESCMYSPVYRSYR >Sspon.05G0002940-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:8766625:8768582:1 gene:Sspon.05G0002940-1A transcript:Sspon.05G0002940-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DGLCPAGRTGRGAPPQLGETRAPLAPIPPNPSPSQPHPRADGSKSKSKPRAAAASSPAAGYVTFRPSSLGHREARALRDRLAGELGQVRALLSRIDTWQQQGPPLPPAKQQLRGEMRKRCGQILTRLRKDKRSVWFNAPVEVERLGLHDYHAVIKRPMDLGTVKEGLAAGRYASHDDFAADVRLTFTNALRYNPVGHEVHTFAGALLAYFERMYKEALANFEEECRRLEPPRPVAAELPPPLAAEPVEAKVKPRAGNARMRKPKAREPNKREMSLEEKNMLRIGLESLPEEKMHNVLQIVRKRNNNPEMLGDEIELDIDEMDVETQWELDRFVTNFNKALKKSQRAAMMNGGVADVTSASVVAEDDTAPASDVPALIDNDDAESEKPVMSTAMAEQVDEYVDIGDEMPTATYQSMEIEKDAEGATGSGGSGSGSSSSSGSESRSSGDSASGAGNAHSLA >Sspon.06G0010130-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:55511403:55514814:1 gene:Sspon.06G0010130-1A transcript:Sspon.06G0010130-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding QPLLSTSHPKNKKHQSKGSSVQGQHRKYPAQFPINALARSVTSHSHHANRAREQHQREGSARARGLPFSTLLSHCVIPPRSVCICAAPNPPPAQEPPLRSGEDRPGCEKKKVATVVDPRKQSWILMSHSQEMTSRKPPGLRLLGGAGSLRTYQTLVLVLTFFAYTCFHMTRKITSIVKSALDPQTKVGFSHWGRLHMSKSNALNIGWLPFNTVDGSALLGEIDVAFLAVYSIGMFFAGHIGDRMDLRIFLTIGMIGTAIFTTLFGAGYWLNVHSFYYFLVIQMLSGLFQSIGWPSVVAVVGNWFGKSKRGLIMGIWNAHTSIGNIAGSLLAAFLLKFGWGWSFAVPSLIMALVGLMVYLFLPVNPEVMEIDIDSGEFNREKDTVKEPLLEPGQEVKHKAVGFVEAWRIPGVAPFALCLFFSKLVAYTFLYWLPFYISHTRIGGQYLSDAMAGSLSTIFDVGGVLGGVLAGHISDRLNARAITAASFMYCAIPALFLYRTYGSMSIMWNISLMFITGMFVNGPYALITTAVSADLGTHSSLNGNSRALATVTAIIDGTGSVGAAIGPLLTGYISSKSWSAVFTMLMAAALLAGLLLTKLVCAELKGKTPSNASKGVADAQGTYSDEV >Sspon.05G0033350-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5C:31233502:31234015:1 gene:Sspon.05G0033350-1C transcript:Sspon.05G0033350-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MELKQTGSGRETTGNCVKQDQAPNPWHQRRDQGSSAAIEQDPHVSELERTPARGRRNGGAPRQAQADVAAEMGQFAAQDVDLVGDELLRTVSICSETARFTAYGVDSAKSRAAEVTDGRSDDGSQ >Sspon.02G0050910-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:68526461:68527300:1 gene:Sspon.02G0050910-1C transcript:Sspon.02G0050910-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHIHFELDKPAAARVRIWPTLRRTLLIPPGPLISLRVGGTPELAPRSLRPHPPPALLHPIPGEDRSRSLGWDLVASRDARALPVPATLVGALGLVGEDLRHYDTCALAAMAGFAVCPTMMGDG >Sspon.05G0014430-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:52146251:52168613:-1 gene:Sspon.05G0014430-4D transcript:Sspon.05G0014430-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGASEGKDQVYKDEKEGKKKNGEQGDIKKSTSSVRFSLILSKTLTLDVDLSTIDVILIDDEPCRDEHGKVVMSDGKRLIHTDGTGFISENLAKKCPSRIIKGKKSKDHIHRGETTVRLFYNGYAVKGTLLVDKRADGAVVQSQLITNIRNNTIVIRPSMVKVKADPKLCQMKSLSSLEIVSTSHQPNRTSTSRALIALLHYGGVKEEYFMELLHNAIEGVENARYSFRHALKLASGYANMEDSMLERMIHSGIPLEEPYLLSRLSFMAKQEMKGFREGKLPIDECYYLMGTTDPTGTLKPNEVCVILDSGQYSGDVLVFKHPGLHFGDIHILTARQINGLEKNFIGYSKNAILFPTSGQRSLADEMAGSDFDGDEFWVSRNHMLLKAFEKRSEPWVQLLKPKETQHKPRGPRDFKEPTLERLLFNECLTTTYALGLSSDCWLHYMDRFLTEEVDQDEKKSIAAKMIKLVDLYYLALDGHKVNVDRNLRVQAYPHFMEKEGFDSYHSTSILGRIYDETDRVISQQCDEQIQITTLPCFDEVEATPECTFLWERHYHEYLRKSTELFKLDKEEKNNEFQKLYQSYKLLLYGAEEFEETKRDLSDVFMEACTIYRIVYERARSTRSVTKCRFVWNVAGAALCYLHATKYAVQHGEKTVLCPLSVLADARDSTATPDPHDENINLS >Sspon.02G0044580-3D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2D:100428611:100430207:1 gene:Sspon.02G0044580-3D transcript:Sspon.02G0044580-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding TLMCGLIGIPPSNGVIPQSPMHTKSLATLKHQGLNELKDSTVQLASSMGNIDAPVDETVFDIEKEIDDLLPIEVKEQRLSNLLQASMVGGCVAAMPLLKKIPTSVLWGYFAFMAIESLPGNQFWERILLLFTAPSRRYKVLEEYHTTFVETVPFKTIAMFTLFQTAYLLVCFGITWIPIAGVLFPLMIMLLVPVRQYILPKLFKGAHLTDLDAAEYEESPAIPFSLAAQDIDVALGRTQSAEILDDMITRSRGEIKRLNSPKITSSGGTPVAELKGIRSPSISEKAYSPRLTELRHERSPLGGRSSPRTPSKLGEGSTPK >Sspon.04G0012370-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:39583731:39588746:1 gene:Sspon.04G0012370-2B transcript:Sspon.04G0012370-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEAAAGRRPGTNRRRPSGSGREHQRLVALAVAARVAMVTTRSAGPAVAGGDGAGAAGTGAGAAGGSGRCMEDFFDCLLGLLGALGMTWAAARPQRQPRPPLPRGVGAGPAPTDTRRFAAELRATPGRIAGNGACAVASLYTLQGKKGVNQDAMIFWENFCSRDDTIFCGVFDGHGPYGHLVAKRVRDLLPLKLGADLGMEDGRVTSTGNIKLNAHDVASPEHIERGGTAISSEAQQNGEYPEIFPALRTSFLKAFHVMDRDLKLHKNIDCFFSGTTAVAVIKQGRNLIIGNLGDSRAVLGTRDENNQLVAVQLTVDLKPNIPSEAQRIRQRRGRIFALPEEPEVARVWLPKYNSPGLAMARAFGDFCLKDHGVISMPDVSYHHITEKDEFVVLATDGVWDVLSNDEVVSTVSRATSQASAARFLVESAHRAWRTRFPTSKIDDCAVVCLFLNTNEASESSSSLANNLADAVEVSSAQRSRTIQVSTGASIDVTALVTDGNEVSVVETVTKPVTLMDLPKDNQ >Sspon.08G0010700-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:47029014:47033457:1 gene:Sspon.08G0010700-1A transcript:Sspon.08G0010700-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEIRAEFQNSGFSIGGASPEDAAQILSTLLTYCINYKMSPADLVSNWEVYYLNRQLDGLKLESSYLDGFLSHLQNEVKDRIIKEEASLHIYSSNDVDMLLSNSHADEVAYHDTPGSKQEKPPEESSNSELTPLTSDRPSSSRVTKTNADRITPFATRVNKFTQQYVLNADNAVSVPSKNAEITEDEVIRRIQPSQRCSLQVQRSQPEPGCRFMYDRMEDRFNYLEGRIRKSASLFSASGFCGEPADATLASEEKMFAVGTVACDGEGHLNEKSILLQGRGQRVRLDLKDLDHFSLFPGQVVGIEGHNPSGHCFVASKLIDSIPVSVDTQLPSAKKQTIDNESNQNSDAGTLSRALSSVIAAGPYTTTDNLLFEPLQELLSYACRKQPQLLILMGPFIDSDHPDIKKGTVDQSFHDIFHFEILRKIQDFTQYLGNIVRVILIPSVRDAHHDFVFPQPAFDLNFPEDVTHQITCLANPCLFSSNEIHFGCCTVDILKQLSGEEISRKPPVGKPGDRIGRLASHILKQQSYYPLYPPAAGVPLDFSLAKEALEISSAPDVLLLPSDLAPFVKVLSLGEGSDDQKRFICVNPGRLAKGIGGGTFVELYYNEGIDKTNASIIRI >Sspon.02G0052520-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:93189210:93193736:1 gene:Sspon.02G0052520-1C transcript:Sspon.02G0052520-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTKNGPRLATTELKFLIILPCQADAVGRGPPSC >Sspon.05G0030090-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:81664426:81667250:-1 gene:Sspon.05G0030090-2C transcript:Sspon.05G0030090-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MIEVVLNDRLGKKDDTIGDLKKLVAAQTGTRPEKIRIQKWYNIYKDHITLKDYEIHDGMGLELYYN >Sspon.05G0007590-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:23640246:23644700:-1 gene:Sspon.05G0007590-1A transcript:Sspon.05G0007590-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calmodulin-binding receptor-like cytoplasmic kinase 3 [Source:Projected from Arabidopsis thaliana (AT2G11520) UniProtKB/Swiss-Prot;Acc:Q9ASQ5] MVAATTAGMFLVCFESLCPCFRSNRKDGSEDHVLAEHSNSLNSSEMRSISDRIPGSSLRVPASPSRFSLSSSPPSRNEPLNLSLEHVVKLTNNFTPALMIGEGYFGKVYRAALRDGRVVAIKRAKKENFVSLRAEFSNEVALLKNIEHKNLVQLLGYIDKANERILITEYVSNGTLREHLDGQHGLILGFNQRLEIAIDVAHGLTYLHLYAEKPIIHRDVKSSNILLTEGFMAKVADFGFARTGPTEPGQSQIQTDVRGTAGYVDPEYLRTNNLTIKSDVFSYGILLLEILSGRRPIEARRGPTERITVRWAFNKYQRGNVRDILDPMLTEAVNEDILNKIFDVAFQCVAPTREDRPSMKEVVERLWKIRRDYTKIQRIAELTL >Sspon.06G0003000-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6A:9556253:9557704:1 gene:Sspon.06G0003000-1A transcript:Sspon.06G0003000-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSPLMEPLLVPKDHAPAVAATPGEHSGAAADESSTVVDTTSGPDRALADAEEKTNDDGDELSASVQRRLDERSAVEKEDEEEEEIGDDAEADDMAARMGRRLAVLPGKAHESEPFTIFRVAGPMRDRNRHLYDPQMISIGPFHRGAGRHLAAMEAHKWRYLRDILARPGGATLATYARAARAMEAHARRRYAEPVALPAAEFAEMLLLDGCFAVEFFLKGQESAEDALIDATWAMQNVYNDILLLENQLPFFVLERFYDLATGGLGRDHFVTNVLVNYLIVDMSSGPRDSESTRPPDGEIHHLLHLYYHWFLPPEDRLGSGSGATSKSKDEALEELTSKSADDDERTPCLLPPASELQDSGVTFRAKKSPRSMVDVTFDRRGGTLEIPAVESYTNHAIFANLLAYEQSRGRWELQRLVSYVLLMASVVDARRDVEALQRAGVFVRGDEETAAFYAHLGELCPPPEFVNNCYAGLFRDVRAVA >Sspon.01G0037290-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:17844768:17848404:1 gene:Sspon.01G0037290-2D transcript:Sspon.01G0037290-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGGFLSRVLLLAFGYAYPAYECYKTVELNKPEIEQLIFWCQYWLPFYSEAKLMFFVYLWYPKTKHENEIDRNLLELRARATDMVVLYFQKAASVGQNTFFDVLKYVAAQSPSQKSRQRPHQESQQPQQQQPQVQVQLQQPQPQKQAAPVMRRAASIAARQAAMAQQSQETKPIPSSPKIKRQTSGKSGSVASTKPAAAASTPKPGGSPKKGEVKPAADPVHTPATSANSPKSEPSAPPLLEAEGVDKMAIDEVSGDSAEGAEELDPALEETPMEETIRVTRAKLRRRTATEDPAGN >Sspon.01G0042830-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:45305526:45308461:1 gene:Sspon.01G0042830-2C transcript:Sspon.01G0042830-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPLTDLGRTSPPSAGAGRARRLPTTTAPPRRPQPPPVHGVLQPPPLHPGRHQEEQRRHAVHAALPHQRRGGALQVRAQFAAVGRRAEAPPLFDRSPRSGDTRPVVERRVPKIGNTPEKQLRREAELQARLESAQEDLKKAKDQLAFILGERDRLVGELNEAKRVADETHEKLQDALMAKRWAEEATEIEKFRADELEQAGIDEAQRREEEWQREIECVRGQHAADLETLVNTTEELERLRRDLSMANEAKKAALGHADDAMKIAEDNAEKVEILSNEVVRLKGLLDSSAASEESKSREAELLVKNLESEVSSLKGKLEEAKVLEERLAEAEKMIEELKSEIADVQKVEADIRQQLEEWKEKSGSLEMKLEEVTLSEKFKSDSLASTTEELDKTQLILQDRESEIEVLKGKTTALEIEVARLVADVNDSNEHLDASQQEVFGLQTTIDVLRNKLDAAELAASEALDNERTAITKIECLTEEKTKLISELDDARDREEREKRAVEDLTAALDKVSCEAQEAHDRFQKKEDDYEHALAQIGDLKMALKSMEESYEVMLDEAKHDTTCLRETVEKLEAEVSKYREECESKELDLITASKQSEQEIAALKEEAEHVCASLQGAEQELEAVNEEKERLQEKLSCTESAVAEAKDAVQEAKAEKERLQEKLAHTESEIAEANIAAQESKIEIERLQEKLTYTESAVAEANKAVQEAKAESSQLKERLLDKENALQSITQENDEFRIREADAMRKIDELSALLAEAMTKKHPEEEEKLVVVDEAHNSVREEVIRSVAENEDTEETDDKKPRLEVDAADMNSNGESKHEEKDDSKVEQEELKTELSLQESNKVSFEKEAQTEYKRQETESSNDELDSKKDSSTESANGTTVPEDTATKVALSPTKPQQQKKNKPLLKKFGSLLKKKNSK >Sspon.02G0013070-2P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6C:13881004:13882086:-1 gene:Sspon.02G0013070-2P transcript:Sspon.02G0013070-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPVLLLVAAALCLCALLFGAGEAAARGAGPVVEASAFRSRATDPNMEVKFDFSPFLIQYKSGRVQRFMGTTFIPASMDSRTGVASRDVVVDHGTGLAVRLYRPSRRAVASGGRGRLPVLVYFHGGAFVVESAFDPVYHNYLNALTAKAGVIAVSVNYRLAPEHPLPAAYDDSWTALAWVLENARRGGDPWLAKHVDASRLFLAGDSAGGNIAHNLAMRVGQQQQGGSGAGAAARIKGVALLDPYFLGRYVSGGAQRAWDFICAGRYGMDHPYVDPMAALPAEVWRRLPSPRVLMTVSDQDRLGPFQRAYVDALRASGWGGQARLYVTPGEGHCYFLNNLDSPKAAMHMATLAAFINGS >Sspon.08G0021760-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:44411347:44416921:1 gene:Sspon.08G0021760-2C transcript:Sspon.08G0021760-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAVTSNGGAVAANGGPAPGRLASVYSEVQTNRLLHALPLPSVLRSNFSVVDGPASSAAGSPDEIAKLFPNVFGQPSVSLVPAAEPAATRPLKVGVVLSGGQAPGGHNVICGIFDYLQERAKGSTLYGFKGGPAGIMKCKYVELTSDFVYPYRNQGGFDMICSGRDKIETPEQFKQAEDTANKLDLDGLVVIGGDDSNTNACLLGEYFRSRNMKTRVIGCPKTIDGDLKCKEVPTSFGFDTACKIYSEMIGNVMTDARSTGKYYHFVRLMGRAASHITLECALQTHPNAALIGEEVAAKKQTLKNVTNYITDIICKRADLGYNYGVILIPEGLIDFIPEVQKLIAELNEILAHDVVDEAGAWKSKLQPESKELFEFLPKTIQEQLMLERDPHGNVQVAKIETEKMLISMVETELEKRKAEGRYSAHFRGQAHFFGYEGRCGLPTNFDSNYCYALGYGAGALLQSGKTGLISSVGNLVAPVEEWTVGGTALTSLMDVERRHGKFKPVIKKAMVELDAAPFKKYASMRDEWAIKNRYISPGPIQFSGPGSDDSNHTLMLELGAEL >Sspon.01G0032870-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:111621438:111625389:-1 gene:Sspon.01G0032870-1P transcript:Sspon.01G0032870-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MYHGLSPYISFGLFDPQAPALCLSKASDQLPPPMTPPHLPVTVAFLLLFLCLPATPPAAAATADPTPTPWPPQFHATLVMDYHGNMSVADLWYDWPGGRNLHVTRYQLAADALFYDNEWNNGTSFFYTPARRTCRSAAVGVGILRPDWLRPGAIYLGRRDAGGFDCHVWAKADFITYYEDVKTKRPVKWIFYTGRTAYVMSFEVGAVLEDAAWQAPEYCFSEDGGLAAETTTKISDGHHGSSFIPRSVL >Sspon.05G0008640-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:27866613:27871284:-1 gene:Sspon.05G0008640-4D transcript:Sspon.05G0008640-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASTSPLLLLALLLLPALTAAASLPALPLSTASRWIVGADGRRVKLACANWASHLEPAAAEGLARRGVSDIAARVAAMGFNCIRLTWPTYLATNATLASLPLRWSLERLGMLESVAGVRVNNPALLDLPLVDVFREVVSSLASKGIMVILDNQMTTPGWCCSRTDGNGFFGDVYFDPDEWLKGLSAMATMFNNTKYVVGMSLRNELRGPKQNVSLWYRYMQMGAEAVHAANPNVLVILSGLDFDNTLSFLFKEKVHLSFSGKLVYEQHWYGFSDGGNWETQNQNDACGMVVDFIWAKGLFLLQQGWPLFFSEFGFDMSGTHIGDNRYLTCFLSVAAEMDLDWSIWALQGSYYIREGILAYDESYGLLSWDWCTVRNPSFIKRINSLQSPFQGPGLPNSQEPYNIIFHPQSGLCVLARSSKLLELGPCDESNAWNYTSAYELVVKSTGQCLQAKSVGNNAKLGTDCSKASSKWHRISNSKMHVSAELTKNGTRVCLDTSPGGAIITNQCKCLSVDPACDPESQWFKVILSSRDIPGGDPILQLPSLGPWPPTSLSS >Sspon.03G0040160-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:26715739:26717763:-1 gene:Sspon.03G0040160-2P transcript:Sspon.03G0040160-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFTSRKKAVLVLCCFMLSCLLLPRASSAAPLSRSLSLRNRQQPWDPALEDEGAVGGGNLGEVAARMDIELNDYPGSGANNRHEPRSPGRP >Sspon.04G0026440-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4B:43289701:43290222:1 gene:Sspon.04G0026440-1B transcript:Sspon.04G0026440-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LLLAVALALLLPASLCATEPIKSTPTLWGFHLPLPDGVTGAESLAFDRHGQGPYAGVSDGRVLKWGSTTLGWTTFAYSPNYRKIPLCTASVLPSEETESMCGRPLGLQFFAMTGDLYIADAYMGLMKVGPDGGEAQVLATQADGGAPFHFVNGLDVDQATGDVYFTDSSATYPR >Sspon.01G0014340-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:41711349:41720297:1 gene:Sspon.01G0014340-2P transcript:Sspon.01G0014340-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRRVRRRTCREREGRVVRGASRVVSAGPEGEEDAAAAVEVDWRALPDDTVLQLFARLNYRDRASMASACRAWRALGSSPCLWSTLDLRAHRYDREVASSLASRCGSLRRLRLRGHEAAEAVPGLRARGLREVVADGCRGLTDATLAVLAARHEDLQSLQIGPDPLESISSDALRHVALCCSQLRRLRLSGLREADAVAVGALARCCPLLEDVAFLDCGTVDEAALAGIHSLRFLSVAGCRNLKWATASTSWTQLPSLIALDVSRTDVPPSAVSRLISHAKTLKLICALNCMSVEEEQLHNHAVFSNSKGKVVLTINSDFKTFETMFPVVDVKEHEVFNQCNWSHKDKIAGDTMTWIEWILSQSLLRIAESNPQGMDGFWLQKGTALLLRLLKSLQEDVQERAATALATFVVMDDESANVDPARSEAVMQNGGIRMLLDLARCSRESAQSEAAKAIANLSVNTKVAKAVADEGGITILTNLAKSMNRLVAEEAAGGLWNLSVGEDHKAAIAVSGGIKALVDLIFRWPAGTDGVLERAAGALANLAADDKCSLEVAKAGGVHALVTLARSCKLDGVLEQEAGALEALVQLTGSQNEGVRQEAAGALWNLSFDDRNREAIAAVGGVEALVALVQQCLNASEGLQERAAGALWGLSVSEANSIAIGQGGGVAPLLTLARSEVEDVHETAAGALWNLAFYYGNALRIVEEGGVPVLVKICSSSGSKMARFMSALALAYMFDGRMDEVALVGASSDSSSKSVNVEGARRIAFKHIETFVLTFSDPQMFSMAAASSAPAALSHVAEAVFIHEAGHLRCSRSEIGRFVAMLRNPSPILRACAAFALLQFTIPGGRHAVHHAGLLQEAGAGRVLRAAAAATTASIEAKIFARIVLRNLEHHQSGTST >Sspon.07G0010560-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7A:34060310:34060801:-1 gene:Sspon.07G0010560-1A transcript:Sspon.07G0010560-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGNGKKPCGGNKAARPAPATDATQQQPAAQAAVLETPALSDDAAAAQQVDDGQPDVASVDESRGGGGAEEEEDNRDDDEQVERFYAVLANIRAMRGLAPHNMASSSSCGGRGDNDDTDTDTAGAGDSTRNKRLRRSAEPPWRPAFRMEDFEELPPPTAASCKM >Sspon.08G0013130-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:55777318:55780876:1 gene:Sspon.08G0013130-1A transcript:Sspon.08G0013130-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSAMRKENRAKERGLVFIEASAKTAQNIEEVNGSGHLCGTTEMVGQGHGLLLSG >Sspon.08G0016610-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:65387083:65390116:1 gene:Sspon.08G0016610-1T transcript:Sspon.08G0016610-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ISI1 [Source:Projected from Arabidopsis thaliana (AT4G27750) UniProtKB/TrEMBL;Acc:A0A178URL9] MSRKEAEPAGQDGSSSSAVDGAGGSGSGRELADALARRRLYREVTLALRSGLRDAKADFSFLRARGLRSLLGFLRSTASAADDARLLLFRHSQSIPDLQVIPVLFQNSLHQPKQDPVVTLDHIFGTEPMKITSPPTDSEIALALRVLEGCCLLYSRCTALAHKYKAVKVLLNILASRGPAEQGVCLDTLISLMLDSPSNQMDFEEYSGLEKVAELLKDVQIEMRGVPIVAHWTLCITYMGSKPVWLHLGCRAKADDLANTSNESCGILGTLLGL >Sspon.03G0009250-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:30159559:30164901:-1 gene:Sspon.03G0009250-3D transcript:Sspon.03G0009250-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTPRGTQRRAAAPAAAAGGEPYNILPIHDLLAEHPSLRFPEVRAAAAALRAVGGLRPPPFLQWRADQDLMDWLGAFFGFQRDNVRNQREHLVLLLANAQMRLSSADFSDTLEPRIARQIRKKLLRNYTSWCGFLGRRPSVYIPDGDPRADLLYTGLHLLVWGEAANLRFMPECLCYIYHHMAGELHRILEGFIDTATGRPANPAVHGENAFLTRVVTPIYNVIRAEAESSRDGKAPHAAWRNYDDMNEYFWRRDVFDRLGWPMEQSRQFFRTPPDRSRVRKTGFVEVRSFWNIYRSFDRLWVMLIIYLQAAAIVAWEGAKWPWDDLRSSRGSKSKDTQARVLSIFITWAALRCLQSLLDIGTQFRRAFRDGRMLAVRMVLKVIVAAAWVLAFAVLYKRIWNQRSSNGQWSSAADSRIMSFLYAAAAFVIPEVLAIVLFIVPWVRNALEKTNWKICYALTWWFQSRSFVGRGLREGTFDNVKYSIFWVLLLAVKFAFSYFLQIRPLVKPTKEIYKLSGIEYTWHEFFGQSNRFVVFVLWLPVVLIYLMDIQIWYAIFSSLTGAFVGLFAHLGEIRDMKQLRLRFQFFASAMSFNIMPEEQQVNESFLPSRLRNFWQRLQLRYGFSRSFRKIESNQVEARRFALVWNEIISKFREEDIVSDREVELLELPPELWNVRVIRWPCFLLCNELSLALGQAKEVRGPDRRLWRKICKNDYRRCAVIEVYDSAKHLLLEIIKEGTEEHGIVTQLFSDFDGSMKMEKFTVDYKMTELHNIHTRLVALLGLLLKPTKDVTKIVNALQTLYDVVVRDFQAEKRSMEQLRNEGLAQSRPTRLLFVDAVVIPEEENATFYKQVRRMHTILTSRDSMINIPQNLEARRRIAFFSNSLFMNIPRATQVEKMMAFSVLTPYYKEEVLYSKDQLYKENEDGISILYYLKQIYPDEWEYFVERMKREGMSDINELYSEKERLRDLRHWVSYRGQTLSRTVRGMMYYYEALKMLTFLDSASEHDLKTGTRELATMGSSRIGSSRRDGVAGGSGYYSRASSSRALSRASSSVSSLFKGSEYGTVLMKFTYVVACQLYGEQKAKNDPNAFEILELMKNYEALRVAYVDERQVNGNEKEFFSVLVKYDQQLQREVEIYRVKLPGEFKKIGEGKPENQNHALIFTRGDAVQTIDMNQDSYFEEALKMRNLLEEFNRYYGIRKPKILGVREHVFTGSVSSLAWFMSAQETSFVTLGQRVLADPLKVRMHYGHPDVFDRLWFLGRGGISKASKTINISEDIFAGFNCTLRGGNVTHHEYIQVGKGRDVGLNQVSMFEAKVASGNGEQTLSRDVYRLGHRLDFFRMLSFFYTTVGFYFNTMMVVLTVYAFVWGRFYLALSGLEDYISKKTSSSNNAALGAVLNQQFVIQLGLFTALPMIIENSLEHGFLTAVWDFMKMQLQFASVFYTFSMGTKTHYYGRTILHGGAKYRATGRGFVVEHKKFAENYRLYARSHFIKAIELGVILTLYASYGSASGNTLVYILLTLSSWFLVSSWILAPFIFNPSGFDWLKNFNDFEDFLNWIWFRGGISVQSDQSWETWWEDETDHLRTTGLWGCILEIILDLRFFFFQYAIVYRLHIAGHSRSILVYLLSWACILLAFVALVTVAYFRDRYSAKKHIRYRLVQAIIVGGTVAAIVVLLEFTKFQFVDTFTSLLAFLPTGWGIVSIALVFKPYLRRSEMVWKTVVTVARLYDILFGVIVMAPVAVLSWLPGLQEMQTRILFNEAFSRGLHISQIITGKKAHAF >Sspon.06G0013780-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:71891093:71892651:1 gene:Sspon.06G0013780-1A transcript:Sspon.06G0013780-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable pectinesterase 8 [Source:Projected from Arabidopsis thaliana (AT1G05310) UniProtKB/Swiss-Prot;Acc:O23038] MSAKTNRAAAAAAFLLFYTHHYFPTTAHLYSSTTPLPGALVNLTAPPLPLYPISVASTSTHHQYGCKYNPLCDDFPPDFPPPTHQRCPSSVSTPMAAVNSQPCRQQSMQFQTTAARGMFEKVTVPASKPNITFQGQGFDLTAIAWNDTAKSANGTFYSASVSVFASGFIAKNISFINVAPIPRPGAVDAQAVAIRINGDQAAFWGCGFFGAQDTLHDDRGRHYFKECFIQGSIDFIFGDARSLYEVSEEILKKDCKIILISIADPVPSGQRSITGSVTAHGRVSEDDNTGYSFVNCSIGGTGWIWLGRAWRPYSRVVFSYTSMSDIIASEGWNDWNDHTRDQYASSNVSLDSYLQNRKTVFYGEYKCTGDGANLADRVPYAQKLTDVQVLPYLNTSFIDGDQWLKPYCDSLISA >Sspon.02G0011900-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:35776107:35776532:-1 gene:Sspon.02G0011900-2C transcript:Sspon.02G0011900-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding YGHIDRRRAVPAVRGRAPPGAVSPPGARGVPRGAGAAVRGGACGAERVAGDQPEHGRVALPGPLRLLQLPAGERGEAGARAERQDALRRRRRARQGVLGPAQVQRPRRLQPRRRPRLGLARPHRRLLHPRHLQQRIRPQLLL >Sspon.03G0006840-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:18733995:18734626:-1 gene:Sspon.03G0006840-1A transcript:Sspon.03G0006840-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWQTYVDEHLMCEIEGHHLTSAAIIGHDGTVWAQSTAFPQEMTNIMKDFDEPGFLAPTGLFLGPTKYMVIQGEPGAVIRGKKGSGGITVKKTGQALVIGIYDEPMTPGQCNMVVERLGDYLVEQG >Sspon.08G0029250-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:29324645:29333608:1 gene:Sspon.08G0029250-1D transcript:Sspon.08G0029250-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAWMWLFFATIGGLYVAGDCFRVLRHLALCLRKPKDLRRRYGSWAVITGPTSGLGWSMAMELARAGLNLVLVGRDPAKLQDVSAKIARCHGVQTRTVVFDLSLVSTAQGDEAMRRLREAIEGLDVGVLVNNAGVNKPGALYLHEVEAESLMRMVRVNLQALTEVTAAVLPGMVRRRRGAVVNIGSGSTLAVPSFPLYSVYAATKRYVAVFSKNLYVEYKSKGIDVQCQVPLYVETNMISSAAKNSLFPVFVMGPDACARAAVRWIGHGPLCVPNLVHQLQWWAAAFLPEILGDTYCLALHLHQRAIFRMLRSSRAHPQGNGGSSAPPLAWFFPLLAFVGAVYSASLSLRLLSYLALSLRRPRDLRRRYGAWAVVTGPTSGIGRSVALELARRGINLVLLDLDAANLEETSDMVVSRHGVETKTVVFDLSLVGTPQGDESVRRLRAAIEGLDVGVLVNNAGLSRPSMVYLHEADVEALVRMVRVNLWALTEVTAAVLPGMLERGRGAIVNMGSASSEAIPSFPLNTIYAATKRYVAMFSRSLHVEYRSKGIDVQCQAPFFVATRMVSSAVRDKWLSPLVPTPDAYARAATRWIGHGPLCTPTLGHQLLWCLAGILPDAAHDWLRLREHLRLRALSEIVPKLATRSG >Sspon.05G0005680-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:10067460:10068203:1 gene:Sspon.05G0005680-3C transcript:Sspon.05G0005680-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVRDDELDEYGVVNNAIYASYLHSGRDVVLEKLGIGVDYWTSTGNAMALSELNLKYFVPLRSGDRFVVKVKPVQIKGVRMIVEHKIEALPDRKLVLEGRATVVCLNKDFRPTRVFPELSARAKEVFSCKVA >Sspon.02G0059610-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:96210347:96212225:1 gene:Sspon.02G0059610-1D transcript:Sspon.02G0059610-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AMWCYGMARAPAHSLFVEMTSSSAGDERPTGYTAVDEISRPAIQIALRESTSNPSLTSSDLHRQKYLEIAQHTSFDQIPSSWQI >Sspon.06G0006980-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:26250656:26253865:-1 gene:Sspon.06G0006980-1A transcript:Sspon.06G0006980-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPFNGVTARQASRHVRADFSRSGPVPDLTLTRAPPRRRRQSRLLALWPSAGDGGCFPEPAGRPPLVGSSSLHLVLRFAASFLRYTARSITPPADRNGTSSSPPPKRRSPSRSPPPKSASRSPRPRSPKRRSTSRSPPRRRGRSRSPNDRNPGNNLYVTGLSTRVTEEDLQKFFSKEGKVKNCHVVLDPRTKESRGFAFVTMDTLEDARRCIKYLHRTVLEGRLVSVEK >Sspon.03G0030590-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:21812050:21817750:1 gene:Sspon.03G0030590-1B transcript:Sspon.03G0030590-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRYDRNPFEEDDVNPFAGGSVPPASNSRMPPLPHEPVGFYNDRGATVDIPLDSTKDLSKKEKELQAREAELNKRERELKRKEEAAARAGIVIEDKNWPPFMPIIHHDIANEIPIHLQRMQYLAFSSLLGLTACLFWNIIATTAAWIKSEGVMIWLLAIIYFISGVPGAYVLWYRPLYNAMRTESALKFGWFFLFYLLHILFCVWSAVAPPFPFKGKSLAGILPAIDIIGRSAIVGIFYFIGFGMFCLESLLSIIVIQQVYMYFRGSGKAAEMRREAARVVVVLWISGDSLHGLKPLGSELCQILVEVHTQILY >Sspon.05G0011220-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:23541794:23544524:1 gene:Sspon.05G0011220-1P transcript:Sspon.05G0011220-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRVLPPALLPLILLLLLPLSARDTVAAGEDFPRDGRVIDLDESNFEAALGAIDFLFVDFYAPWCGHCKRLAPELDEAAPVLAGLSEPIVVAKVNADKYRKLGSKYGVDGFPTLMLFIHGVPIEYTGSRKADQLVRNLKKFVAPDVSILESDSVIKTFVENAGTSFPMFLGFGVNDSLIAEYGRKYKKRAWFAVAKDFSEDIMVAYEFDKVPALVAIHPKYKEQSLFYGPFEENFLEDFVRQSLLPLVVPINTETLKMLNDDQRKVVLTILEDDSDENSTQLVKILRSAANANRDLVFGYVGIKQWDEFVETFDVSKSSQLPKLLVWDRDEEYELVDGSERLEEGDQASQISQFLEGYRAGRTTKKKISGPSFMGFLNSLVSLSSLYILIFVIALLVVMVYFAGQDDTPQPRRIHEE >Sspon.03G0003290-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:15755049:15756402:1 gene:Sspon.03G0003290-3D transcript:Sspon.03G0003290-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADDGGSHEGGGSGGGGGYREQDRFLPIANISRIMKKAVPANGKIAKDAKETLQECVSEFISFVTSEASDKCQKEKRKTINGDDLLWAMATLGFEEYVEPLKIYLQKYREGDSKLSTKAGEGSVKKDAISSHGGTSSSSNQ >Sspon.07G0025390-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:33889801:33891830:1 gene:Sspon.07G0025390-3D transcript:Sspon.07G0025390-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding EMVGLVGGSTARAEHVVANAGGETEYVRRLHRHAPAEHQCTSTLVKHIKAPVHQVWELVRSFDQPQRYKPFVRNCVVRGDQLEVGSLRDVNVKTGLPATTSTERLEQLDDDLHLLGVKFVGGDHRLQNYSSIITVHPESIDGRPGTLVIESFVVDVPDGNTKDETCYFVEAVIKCNLKSLAEVSEQLAVEPPTSPIDQ >Sspon.05G0024620-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:14250528:14256196:1 gene:Sspon.05G0024620-2C transcript:Sspon.05G0024620-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADMNFDEILEVPDTPDQQQSKYHVSSSIVGRDDTMAAANPSPVRKLRIRFKNNSLHGSSQNNACSVLPAASDTDHIFKQAEAAQILELSKDCNAKISLKKSVRTGISVDNEKRAEKHGLHQSRSISNNISCSVTGGRKPTFQAKDGEVVQQDAGHQNANFLGIGSGLPTIPVGKPGNRTCTSTTAKLKGVTGADVCPGSSSGEIKGEVITNKVIAGPTSPLCVVPRRHVGQKRLVRNGCISPSNIAKRNIKVDEKREMCSSSEHLHHPHPQLDAFDRSNVIDLTDNSPIMTRQRSKVNNKLIPGHNMDTRATKKLRTDTTGRTSVPLSKYHANSSNCSEANLSGHNNKGKGISSDILDSDQIGESNLRGVDLSTAGTYVNKNSSDINVEQGWRTTHNHTSKLPISFMGNATSSSRRESGSSMRSNQNHGSAGGNHSSVSGATMMVPDRLGNKTIMIRKGWRKETSTSSHTGESSSAVDESRRSLQSSKISAVRNHTSHQHNIPVITIDDISPEARPSSSGFTNGTSVDPNIEAQLESDELLARQLQEQLYNESPRFAPTEEIDAIVAMSLQHEEDTHRTFRPARRFQNNTRDARASRLSSYRNALRAHLATANDMISRLQNTAPITLGLGAALARYPGALHIQPNIDLNDYDALLALDENNHQHAGASESQINNLPQSVVQSNSIEDPCSVCLENPSVGDTIRHLPCFHKFHKECIDEWLRRKKLCPVCKFGIN >Sspon.01G0004980-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1A:13328136:13328612:-1 gene:Sspon.01G0004980-1A transcript:Sspon.01G0004980-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDDWSGGNDAAAVVAVAVVTLSLRAISACMKGARKDSHRDTHEAPTEPGRGETNPHRISIEPGCEGRFASVETGPKERSGNQTPQHCIRRARAGRIWPTNHALGGTTGATKMISKPVERSAQTVSRSCSKINTVSIRIETGFHLTHVTKEDHRKQPK >Sspon.07G0020550-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:70219405:70226666:-1 gene:Sspon.07G0020550-2C transcript:Sspon.07G0020550-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGTALDPEDEEMLLRPTPVPPSISQRGDAPPACTRAAVHLPSRRAICRGEARASERKDRRNDETVEVEYRTGERKEGLDGACRRARSGPPVAVILRSGFHSPLLCRSGARSGTRISIPPSVVFSRVRALHPPSRDSAAFISRVLGVLGLGRTGLDVLVVPPHTQGGRNVRMEAPPVALFDSLKAAKPFFLLAGPNVIESEEHVLKMAKHIKGITTKLGIPLVFKSSFDKANRTSSKSFRGPGLEEGLKILEKVKATYDLPVVTDVHESHQVMMNSAEKVRLAGNPNVMLDGGGVASGGLRELIPCIARTSVAVGVDGIFMEPLRNLEELLEELIAIAANVGENVDMLTNILPEDHIRSLSHVNAKQLRQILVHCFPPVLKIGLPFVHKDQWELPEPRKQALLWSLDQQKEIVIVLCVWRLFLQALCNLNDTNSSEISPRFGLWNHTWEVCNLD >Sspon.04G0019270-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:87442259:87444381:-1 gene:Sspon.04G0019270-1P transcript:Sspon.04G0019270-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GADMVLWELTAITAYFLGLRRTYRLALRIQRRLIPPNHPRIRDLFCRRTRDVFNIAVSVHKNIQQRDIEVGRNLGNAILRWLDRMKPSAEIRPRLPGPPNGSSEQYRHVSSASRSAGAQKTASKASPHDSSGKMLFSRLNIRPKSFLVLPTMTQPNRISASSQCRRISYTPFPSVTAKRKSLMEGVFRKDIAQL >Sspon.08G0000440-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:178793:181110:-1 gene:Sspon.08G0000440-2B transcript:Sspon.08G0000440-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAPTGCFKCGRPGHWSRDCPSSSAPAAASDADAPTNPSRPSAASRFNAKPRPPAAAPEGDGTDGGALPQPQDGKGKKKKKKERATRPKLTPDLLLSDGGIGFVLRYFPKAFKPHARPGHEVEDLGNLIKLYADWHSRSIPYYSFEQFVRKVEKLGAGNRVRRCVSELRDRVARGGDPTLLHEPPVYEDMPEGEPDGTAPEDPIFGTEAPLSDNHVTDPVQEDADPPMESNDVDPMEEDLLNEIYEKAADEPWIPAGEGTNKEIPEPSAPKEVNLPAQKPQDGAASDGPKRAKIELTEEQKARMEANRLKALERAATRA >Sspon.08G0010110-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:34453522:34455824:-1 gene:Sspon.08G0010110-2C transcript:Sspon.08G0010110-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSPSSSTLRHVAMLPFMAKGHAMPMLHLTRLLLRRGLASVVTLLATPREASFIRAGVAGIPGAASMEELPSASESHFLDLISATAALRPAFADTLARLEPRPDLLVHDGFLPWAKDAADGLGVPRLVSLGMGAFSCYVTLAVLVQKPHARVSSPWEPFEVEGLPGLQLTTADLGPPFDDPEPAGRHWDFICESGVATASSWGTILNSFHELESLYIDKMNQLENPPKVWPVGPLCLSTEPAVQTTLDADLAGWLDSRLAMNRPVLYVAFGSQANLSRAQLEEIAAGLDRSGVDFVWVVRSKWFDGEDRVDGRFGDRGKVVQGFVDQLGVLRHKAIKGFFSHCGWNSVTESISMGVPILALPLAAEQKLNAKFVVDVLGAGLRVWPSNKEDDDDDGGSECGGELVASQDIGALAWELILGEGGKHAAARAAELAACARTAMDAGGSSFENLELMVRAVISGTSRVQASSDLASTFLKVSINLASLLLKE >Sspon.07G0029640-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:69968380:69977235:-1 gene:Sspon.07G0029640-2D transcript:Sspon.07G0029640-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQNQSTPALTTTTTTPGAELLQAQAELWCHMFGFLKPMALQCAIKLGIPNTISRHGGAASLSELCAALPVAPSKRTCLSRLMKLLATMGIFREEKETTTPGGEGEEGSYLYHLTAVSRLLVDDDNGGHPCLSAFMDNIAAPFHVVASLRLADWFENDDGGTAAETPFMMAHGTSFWGVVGRDAEFAAEFYASMRADSRFVAQIIVRECGEVFAGVNSLVDVGGGDGTMAKAIAKAFPHVRCSVLDLPHVVGGNGMPGEGEGTVEFIAGDMMVFIPPADAVLLKAQAELWCHMFGFLKPMALQCAIKLGIPNTISRHGGAASLSELCAALPVAPSKRTCLSRLMKLLATMGIFREEKKTSPGGEAEGSYLYHLTAVSRLLVDDDNGGHPCLSAFMANVAAPFHVVASLRLADWFENDDGGAAAETPFMMAHGTGFWGVVVRDAEFAADFYASMRADSRFVAQIIVRECGEVFAGVNSLVDVGGGDGTMAKAIAKAFPHVRCSVLDLPQVVGGNGMQGEGEGTIEFIAGDMMVFIPPADAVLLKFIFHDWGDEDCVRILKRCKEAISTREPKGKVIIIDTVIGSASKPIFEEAQLLMDLNMMVLVPGKERAEEKWNKMFMDAGFTRYKISPILEPRSLIEVYP >Sspon.08G0011770-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:50824168:50826296:1 gene:Sspon.08G0011770-1A transcript:Sspon.08G0011770-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSQGQAATDGRGDVEDTGGRTQAAHFVFVPLMAQGHLIPAVDTALLLSTHGAVCTIVGTPATSARVRPSIESARQSGLPIRLVEFPLDYAEAGLPEGADNMDNVPVEYAQNYFDAVALLRAPIESYLRAHAPYPTCVVADFCQPWTTVLAANLGVPRLSFFSMCAFCLLCQHNVERFDAYQGVADDNEPVVVPGLEKRFLVTRAQAPGFFRGSPIPWWEEFGDYVERARAEADGVIMNTFEEMEPEYVAGYAAARGMKVWTIGPVSLYHQRAATLAARGNTTDIDADECLRWLDGKEPDSVVYVSFGSIAQANPKQAVELGLGLEASGHPFIWVIRNADKYDGAVRAFLDELEARVTGRGLLIRGWAPQVLILSHAAVGAFVTHCGWNSTMEAITTGLPVVTWPHFTDQFLNQKMAVEVLGIGVSVGITEPLLYQKVEKEILVGRNVVEEAVRSVMGGGEEAEERRRRARALAVKARAAMQEGGSSHRNLLDLAGRFEGVMAGATKGVSVSVVLLWKNTFICIDGTFKCNYHDIVPESEDELTTREKVLGLKNEEE >Sspon.03G0002650-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:17269570:17273132:1 gene:Sspon.03G0002650-1P transcript:Sspon.03G0002650-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MYIARETSKVWRKVTTEVSVELQLLRDKWGLLLAGLIFQYVHGLAARGVHYLHRPGPLLQDLGFMALPELGQDKGYLSESIFSSIFISFVLWTFHPFIYHSKRFYTVLIWRRASQFLRIITFYSTQLPGPNYHCREGSKLATLPPPNSVLEVLLINCKSILLVSRFCIEFSLTNQQSESPFAVPRGVLFGCGDLIFSSHMIFTLVFVRTYHKYGSKRFIKFLAWFMAIIQSLLIIASRKHYSVDVVVAWYTVNLVVFFVDNKLPEMPDRTSGLPLLPLSSKEKEGRLKEEKDSKLKDEFHKLLNGNHVDPTDR >Sspon.06G0023480-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6B:44897052:44899706:-1 gene:Sspon.06G0023480-1B transcript:Sspon.06G0023480-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVEVDRHCSEEDEGADWGLEVDAGRSSRWIEVACLFVGVAAGKRECQRLEFEDSSGTRAPGCQRVQIQPPQVELRQTIHYVRADHQGNFNPLQWRTLEFEGQSVFNLRRDLAAALGEANNVLGITLCAWAGSNGRLTPLVIDLPSDEKTMNIVVLTTGSPAAQALVYPNVDAA >Sspon.02G0046360-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:2658233:2659057:1 gene:Sspon.02G0046360-1C transcript:Sspon.02G0046360-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVLMNHRCALVYDTETAAVSIGPHGPRPCSDVVRLRHHRACRWHALRIVASLLGQGGSAVIPCDAAGGRRLVLEDPAGTTTGHQRIYDPNDQGEAIAYDYEDWDDRHGSASLTYMNMMGGTSKFCIVETMMPDGVDEDQDYCLADQLRFTMFGLKYDWRATHNESLLHALLCHRTWCKDEMIFT >Sspon.06G0028290-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:5086301:5095788:1 gene:Sspon.06G0028290-1C transcript:Sspon.06G0028290-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADTTPQLFQTQRSGGGSEKGDDDSSSLIISTLPTREGSWAAPLFRLHGCWLTAQLARSVELVQAEFKPRPDDVLLATFPKSGTTWLKALAFAVTNRSRHPIIITGDDDHPHHHPLLSSNPHDLMLFLELPDRSLRPVAELEALPSPRLLCTHLPPALLPPGMLMTSSRAVYLCREPKDVFVSYWHHLRTLIHESLVDFDKAFELFCEGVSFFGPVWEHYLEYWKLSKRNPSSSRVLFLKYEEMMAQPARHVRKLAEFLGVPFTEEEESGGVVEEVVRLCSFQNLKDLPVNTHGVSAQTPTEHTDDDGGHGEGGGDSLTATLPMREGWWRPFFLFRGCCWLTRPAVESNTAMHAEFQARPDDIILATYPKCGTTWLKALAFAIANRSKHPVVDDGHGHPLLTRGPHDLVPFLDLRPATDLAALPSPRILATHLPLQLLPSGTAALGCRIVCLCREPKDAFVSTWHYMNKIHGGFHIELDKALELFCEGISIYGPIWENFLSYWKQTTAATAAGSDDDDKRQVLFLRYEEMMDDPVRHARMLAEFIRVPFTDEEESGGVVEAVVRLCSFDNLRALAVNSVGTSNPSGRHSV >Sspon.03G0025610-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:274555:278687:1 gene:Sspon.03G0025610-1B transcript:Sspon.03G0025610-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSISRELPGEGSDGEEEVFINEEDIIHEITIDEEDLPDRDDEEDDDVGVGMDEVFIVACSPTDASLVASGGKDDRGFLWRIGSEEGALELTGHKDTVGTVAFSSDGNLLACGSFDGHINVWNTATQTLKGTLEGSGSGFESLKWHPRGHLIIAGSEDCNVWMWNADHNAILNTFAGHSNTVTCGDFTPDGHGYHSDGLTCLSMTSDSQTVVSGSKDSSVHIVNVNSGQVVGSLVGHTNSIECVGISSSYGWVATRSMDQKLIIWDLMHQSSRCICEHDVGRLLLPSVCTCSLFVQSFIHSSARALMQEGVTSLAWLGSSRYVASGCIDGKVRIWDSLSGDCARVFSGYADVVQSLAITADGNTMVSASSDGSARVFDISMFK >Sspon.06G0017730-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:79267377:79274186:1 gene:Sspon.06G0017730-2B transcript:Sspon.06G0017730-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLGRLKSAIFDREERKMQYQSHIRGLNAYDRHKKFMKDYVCTMRQHIQRTHDALINQHIQHTHDALKYMLQAAASDITNYLSPQMLNYFYGHEKNVDSSLPIKTDKDTLREGYRFILSEEDDMDSTWEKRLIGLRWRTEKEVISGKGQFICGNRHCDEKHGLGSYEVNFSYVEAGEQKQALVKLVACKRCAEKLAYKRQKEKEKENELYGEKEIDLKDSDKRKRKHEENDDTSEDEDDKYRRKKKDRSGASSRSSGNNDEGFEEYLEGMFP >Sspon.06G0004510-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:14215893:14220608:-1 gene:Sspon.06G0004510-1A transcript:Sspon.06G0004510-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPAGAGTEGRPARRRGTGTGAGTSPGRNKVWVEPLGKSHHQTPARSPPPASSPAPAAAAAKRVAVVYYLCRNRHLEHPHFIEVPLAAPEEGLYLRDVINRLNVLRGKGMASMYSWSCKRSYKNGFVWHDLSDDDLVLPAQGNEYILKGSELLDRSPPPDRQQNGVSNPKVEGLKHGKEESPQSRGSQEGCSSSSSPSAAGKEISPPPATPRPQQQTQSAVLPSSSASTIHEDDLCRTAQSGSSGNQSPEPAGRNAPLSEASSPGPSEYRVCRPIGAQDAATQTDGSERDVAEHHSRVAGVSMDTASDAEIQECNQRSSMLSPKVPEIVQESLAVCSSDASPGGRVETLESLIRAEASRRSCFRTLEEEHMLGPMGVKLKPANLLMQIITCGSISVKEHRGFGFIPSYRPRFTQVEFPSPVFSTPVALRHLDKIPCNTRTVGMRAPESECFSGGLVETKKQDESGRGISSLKRSSSYDEDRYKFTEADIPKVIQKALVSQTIRIISCKQSRNGTILSPVSDVRNSSSQQEYSTRSSPLGSSKSASNRMTDPSLGKLSSARVESFHEDKDVIKIEESFLLELGSKRHRQTNEADEDEAGTCSTYKGLRTQLDRE >Sspon.04G0001980-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:7362650:7364890:1 gene:Sspon.04G0001980-4D transcript:Sspon.04G0001980-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKCQVYHPALESEWDLQAVPITPRPPPPPPPPLGLSPSVGANRGILSSSVEVLSTAMTSPMQRAPSLCSACIEGYERERAEMASSERAPCPAEQPMSLWLQIGTPSSGRPADRAQEKAREADELRRRWRDRCAQLHSHARPPLVTCSEWNGATILANMQAPPPVVRPSVQHRVTVDTDLALGLAAARPACETDDKLLVRRLTEAVRWQPEAAAAVASTIAKARSREARRRGKADVDAWVVFAGPDVAGKRSMAEALSKSVFGTGAVTVRLGYPQAGDDGGESVVSCRGQTALDRMAEAIRANPFRVVVLDGVDHADSVVRGSILRAIESGRLSDSHGRDVALGTNMFVVMSQWSPPLPGHLRSLQEAEPFLPDLPWNLECGMITGGKKRRPEQQLEGDRRTKARKHSAREPLPLDLNLSMSDDHTDALDDSGGEGSRNSSSDLTVEHEQEYGQPASARCSSAPPTVSELIKAVDGVVVFKPPVNLEPLMKRSVSDLVVPAAKFGDITVGGWSVHVDDGLLGRLAAGAARAAGATAATPMEAWTGEVLCPSSLRQFKRSLSTNDVDGATVEGGGRRKDGEMFSMPVTVDGN >Sspon.07G0004840-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7A:12284077:12285525:-1 gene:Sspon.07G0004840-1A transcript:Sspon.07G0004840-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASARQSNKFRILLMPFFATSHIGPFTDLAFHLAAARPDDVEATVAVTLANASIVQSALARRGVSHQATINVATYTFPSVDGLPPGVENLSTVKATDAWRIDSVAIDEKLMRPGQESLIREHSPDLVITDAHFWWNVDVANAIGVPCITFHVVGTFPMLAMFNLLYATSAIDATGGVMTLPGFPPPEVQVPITELPEMLRNQQITGEYCAIEAHKRCFGLAVNTFFDLEHRHCDMFVGNGYVKRAYFIGPLSLPSPQAPTGAYDSQCIDWLDKKPTQSVVYLCFGSLTHVSEAQLRELALGLEASGKLFLWVVRSETWVPPEGWKERVGDRGMVVTGWAPQTIILSHHAVGAFVTHCGWNSVLETVVAGVPVLTWPMVFEQFITERFVTKVLAIGERLWPEGAGVRSTRSEEHELIPANAVAQALAKFIEPGGAGDAARIRVKELSVKARAAMEEGGSSHRDLRRMIDDLMEARADIPANAGT >Sspon.08G0014730-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:56908627:56911216:1 gene:Sspon.08G0014730-3D transcript:Sspon.08G0014730-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMKVDMSALETNFGNSTFPAGDDTYFSAGAPDVPSMVLPTCDDFDGFQADTKNMVKNKKGTTTLAFIFDKGVIVAADSRASMGASQTVRKIIEINPYMLGTMAGGAADCQFWHRNLGNKCRLHELSNKRRISIAGASKLLANILYSYRGMGLSIGTMIAGWDEKGPGLYYVDSEGARLVGNKFSVGSGSLYAYGVLDDGYRFNMSVKEAAELARRAIYGATFRDAASGGCVSVYHVGPDGWTKLSGDDVGELHYHYYPVQKTPVEQEMTDAPTTSA >Sspon.05G0033430-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:32981091:32986377:1 gene:Sspon.05G0033430-1C transcript:Sspon.05G0033430-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to RAD23 protein, isoform II [Source: Projected from Oryza sativa (Os02g0179300)] MVGARENPFKALVSDFGIMAVKKNIEEIQGKDSYPWGQQLLIFNGKVLKDESTLDENKVNEDGVKHLVQVEPHLPRYPPSGEKTMSTFVETERAPNTCDNAASNLLSGSNVDTMINQLMEMGGGSWDKDKVQRALRAAYNNPERAVEYLYSGIPVTAEVAVPIGGQGANTTDRAPTREAGLSGIPNTAPLNLFPQFQAVREMVHTNPEILQPMLVELSKQNPQILRLIEENHDEFLQLLNEPFEGGEGDFLDQPEEDEMPHAISVTPEEQEAIGRLESMGFDRARVIEAFFACDRNEELAANYLLEHAGEED >Sspon.03G0024080-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:97094701:97096835:1 gene:Sspon.03G0024080-2B transcript:Sspon.03G0024080-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMGSVPFADAGLLDAFYGGGHGHNDYGLVSQLGLGASSTSPVVLDGGGLLDAAASTEEAPKRKGDHRRDDKAAMALKSHSEAERRRRERINAHLATLRTMVPCSDKMDKAALLAEVITHVKKLKATAARIRDHCAVPADADDVAVELVQGAPPSSTGGVLVRATLSCDDGADVFADVKHALRPLQLSVVGSEVTTLGGRVRITFLIMSSSSSSSCGNGNGDVGAVVESVHQALQSVLDRANSALEFAPRASLLNKRRRVSTFESS >Sspon.03G0031910-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:38811687:38814445:1 gene:Sspon.03G0031910-1P transcript:Sspon.03G0031910-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVAPAPPVPAPPGRPPLPILSSSLRRGSSSWWFSNGSAHGRDSTTATTSSRATRRRWWSDPDGSQEDYGSSSLEDDYDYDYEDEAAFPGFGGAGELFDEPWFSKVFKTYGFLLPVMLVSMFAATGTKAFLMAMAFPLGQSAISFLLEAVWGRRKGNRDDRWRRRPVQDEEEDYPEDATDFATGVEAVDTAAAAAAIMRGGEGGAGTSQGFLTILLMLPQLLLELMMITPRAAAVEMAGEPAKAVADMEDGMSFWTTALLLPRRQRGTETHSQMAILVTARNRGLQRLGRKMRIILPLPVAEEWSRE >Sspon.01G0016190-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:50858179:50863157:1 gene:Sspon.01G0016190-1A transcript:Sspon.01G0016190-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRVTVAVLAGVEWRRESWVVVAADWEALRLHSTGDQLGGTVAFQGGKGGRRHRIGRRVAVKSGDCAGVVTTKSELLWNSQLRSQEGPEAKIWEQVADRDCWKEDRKGTRIVGLSGRIQGFGDLEGEEEGSQWMPIPPPSREGKVGGYAGVSPQSPSSAGRSSDWHSDTAHASSPCLLLQCSFTALPQEVAMELPRSMAVLVVVFFVLCRGNELSANRPNASFFCQILRGHQQWRAATFTFVNRCTDTVWPGVLSNAGSPRLEPTGFELSPGAARAVPAPVGWSGRMWARTGCSQDGATGRLVCATGDCGSGSAECAGAGAAPPATLAEFTLDGSGGLDFYDVSLVDGYNLPVLVETSGGGGSTGPASCAAAGCAADLNAMCPAELRAGGGAACRSACDAFARPEYCCSGAFASPAACRPTAYSQVFKTACPRSYSYAFDDPTSTFTCGGGPDYTVTFCPGATPSESDARFGDLPQLFYHLCLPVIPAEQADYAHSLLSSFAPLVTKTKSTTMPGATPTTTVPGTTTTTTVPGATPTTVPGTMPMPGATPAMPTGTMMPGTTFTDATPDSAMPMGGGGLGIEGGDNQGSVLLGSSSSEGGVSWLANMATGDASAAAAPLFPNSLP >Sspon.04G0022180-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:9635185:9645092:1 gene:Sspon.04G0022180-2D transcript:Sspon.04G0022180-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVATPTADWLNLVHELLLPILMKNHGTATLSHQENRILGEVEEQIEQTLAMVFENYKSLDDSIPLGLAEDFRPPTGLAAAALEPAIKLYSLLHDVLSPEAQLRLCGYFQAAARKRSRRYMLETDEYVSGNSEGIRVDLVTVTTAYQKMKSLCNNLRNEIFTDIEIHNQHILPSFIDLPNLAASIYSVELSNRLRAFLVACPPAGPASPVADLVVATADFQKDLSSWNICNIKAGVDAKELFHLYIVLWIEDKRRMLLENCRLDKVKWSGVRTQHMTTPFVDEMYDLLKKTLTEYEVIICRWPEYIFVLENAIADVEKAVIESLEKQYADVLAPLKDCIAPKKFSLKVVQKLTKRNSTVPYTVPEDLGILLNTLKRLLEVLRPRIESHLKSWSSCIPNGGNSAAIGEKLSEVTVTLRAKFRNYMQAVVEKLSENGRFHLYDHSVVFQNGRDLTSTLQRSTFVVKNNFLTKVFMQTRMQNTTKLKKIIQDSKELVMESDIRSRMQALKDQLIEAINHVHKVSEVHVFVAICRGFWDRMGQDVLSFLENRKENKAWYKGARVAISVLDDTFATQLQQLLGNTVPPKELEPPRSIVEVRSILCKDAPRQKNSSFYY >Sspon.01G0031790-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:108503775:108506148:1 gene:Sspon.01G0031790-1A transcript:Sspon.01G0031790-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAGDEKAAAAAGAGAAGAEGEGAVDSKDLQQQSKALDKLTDHVEDRQLDSSRVQSAMAALASSKEADWNAMRLREKELAAVKINPTDVEIIANELELDKKIAERTLREHKDSCEH >Sspon.01G0024730-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:86670504:86676088:-1 gene:Sspon.01G0024730-2C transcript:Sspon.01G0024730-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQFFGGSSLTSVAPEATPAPAAPPGTGTGASAQVLYVFNRNGVCLLYREWHRPLRTLDPTQDHKLMFGLLFSLRSFTAKIDPTTAEKGNLGVPLLPGQGCSFYSFKTNTYKLNFMESPSGIKLILITHPRTGDQRDSLKHIYNLYVEYVVKNPLYAPGTPIKCELFNKHLDQYVRTLI >Sspon.01G0007460-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:20747148:20756213:1 gene:Sspon.01G0007460-1A transcript:Sspon.01G0007460-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLGFPPGAVVDGPEVWRRDAYGFAVRPQHVQRFREYAKIYKVVIYFAVLKMLCSLSVYGKVLILILGIEEEEERAHRWRDFLDSKCARHTQRFTICSRQEWCRRSRTVQENNNLGIHCDDDEQEEGAENGEDNNKLENPKEADTSGESREANGKSEDLKDVTDNLDEVKEETSSSSTEAIKALEGLMEANGDVEELKDLNGSSEELKKRLVELFLDKGLLDELKPIKVESRRRVRAALSIIDKMMSSRVVKGGDGANDTHGKDGAQLASIEEEGRTAEGSHDGDPAEVDESCVAEKIELGQETPDDSTGTALEGGNDGSYFPWREELESLVRGGVPMALRGEIWQAFVGVGARRITGYYNKLLDDRTATLDEKDLVDPVINEQRSAPRKVTQPEKWKGQIEKDLPRTFPGHPALDEDGRNALRRLLTAYARHNPSVGYCQAMNFFAGLFLLFMPEENAFWALVGVIDDYFDGYYTEEMIESQVDQLVLEEVVRERFPKLAKHMDFLGVQVGWVTGPWFLSIFINMLPWESVLRVWDVILFEGNRTMLFRTTLALLDLYGPALVTTKDAGDAITLLQSLAGSTFDSSQLVLTACMGFQSVREMGLKELRKKHRPEILTAMEERSKDRGSWKDKKGLATKLYSFKHDPSFVCSPVKSKEGLDGSKVNGETGPANLETYLSTSSILDNDLDQGVDLQDQVSWLKIELCKLLEEKRSADLRGEELETALMEMVEHDNRRMLSAKVEKLEAEVYELRKAFSDKQEQEQVMLQILLRMEQEQKVAEDARIAAERDAAEQKHAAHLLQEKYEAAMAALSQMEKRAVMAETMLEATKQYQAGQVKANQSFTSSSPRADHVLGKINQEPNQDAPNRRIGLLSRGLGWLDKSK >Sspon.05G0002130-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:117180:136670:1 gene:Sspon.05G0002130-2D transcript:Sspon.05G0002130-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRQHNAQFHKSKTLDNKYMLGDEIGKGAYGRVYKGLDLENGDFVAIKQVSLENIPQEDLNIIMQEIDLLKNLNHKNIVKYLGSLKTKSHLHIILEYVENGSLANIIKPNKFGPFPESLVAVYIAQVLEGLVYLHEQGVIHRDIKGANILTTKEGLVKLADFGVATKLTEADINTHSVVGTPYWMAPEVIEMSGVCAASDIWSVGCTVIELLTCAPPYYDLQPMPALFRIVQDVHPPIPEGLSPEITDFLRQCFQKDAMQRPDAKALLMHPWLQNSKRALPASLRQPTPLRNIDGDDEGSRGDNSSGLCDTPGDTQTTIASNVDQENGKKEPIMDSAAQNKSEGLHDGNLKLTEGSSSNNVAPMKDNVVLNKDPTLVLHEKLPVESSSGGADLNGKVMAHGLLQGGLPSKVELENKESSSVEDGDVFSFQAGRQNIDFQKMVEPSVIEGPKQLSRFSDKPEDASLEDLFPPIDKRGDNGAEPSTSTTVQELQYNGVHNEFAKGLNARVEKQKENDSESMNGGKLIEFAMQLENIDASGFGEHIPGESLFPLQSVEYSKIVAQLKPGESEDVILLACQKLLSIFSHRPEQKQIYMTQNGFLPLMELLEIPKNRILCSVLQLINNIVKDSTGFLENACLVGLIPVVMNFAEPNRPKDVRVQASLFLQQLCQARDMVHLAIDGIWQVFKLQHSTPRNDFCRIAAKNGMLPRLVNTLHSLNEATRFASVSGSGASVTQNGSTPRRRSGQLDPSVLESCKTRLDHHHSSGSLQSLQADADKHHILMDSSSPRFSDKTGSNLERNENDLVIRPPRLSVSAGRTSTDRSPKHVELVSNGHNSGQNDQVRPLLSLLEKEPPSRHVSGQLDYVRHISGLERHETILPLLHSSTERKTNGELDLMMDFAEVSRHGRENGHLDSSIKASNRVHSMKYAPSASASNEGASTSGAASQTASGVLSGSGVLNLRPGSTTSSGPLAQMFSSMSADVAREYLEKVADLLLEFAQADTVVKSLMASQSLLTRLFQMFSKIEPPILLKILRCINHLSGDPNCLETLQRTDAIKHLIPILELRDGPLVLNALFNLCKINKRRQEQAAENGIIPHLMNFVMSDSPLRQYALPLLCDMAHASRNSREQLRVHGGLDVYLNLLEDDAWACTALDSIAVCLAHDNDHRKVEQALLKKEAIQKLVKFFQDCPEQYFVHTLDAFLKIITKSSRLNTAMATNGLTTLLIARLDHREAIARLTLLKLIKVVYEHHPRPKQLIVENDLPQKLQNLIEERRDGQRGGQQVLVKQMATSLLKALHINTVL >Sspon.05G0018270-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:77916862:77922946:-1 gene:Sspon.05G0018270-1P transcript:Sspon.05G0018270-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding HGMAAADGDAPIAAFAVAKGGVVLKHIFLNAPPPEEATTRGAAGERGVEEEDPPVMVGRHPDCHVLVDHPSVSRFHLELRCRRRQRRITVTDLYAVHGTWVSGRRIPPNTPVDLATGDTLRLGASKREYRLLWLSLREAFEMDDLLYMPSLPEEDKEEPHVQEPSIQLVPGHRDSVDMETIQDTSEQIVSEDITFPGKVAPSAPPLSDLVHPFFAEEPSLSQFHEKSDGVTEEKLVDKNQISESFGSLIIQEMPGTLISAGKSIHSDKQDASNKMSKRSKLKSVKSLRVDTGRSRDRSSTLSHSIQKGDQNEILVCSQSCGTECAACIALFGISEVERAEEKEELIAEDKVDMNPPASMIMEGSMKERKPENYITQDPVDAKLQKNLGLLDSALPLHFKDDAFTNKVIPQGNGATVHTESELVSENLIMPEMKHDGLNHLNLEGDLSENENMDPNNIAEGPGNCTFEGTICGNLFDNLDTEGIEEHEEICPLDKDEITPNVSGNLIMERSHRGLKPTISQQLMDSISPLNLDHNDFSENENSKLNTGDQMKSNEPVSENLNPSMPISHLEFKDDILLDMENSVPALGKSEAMSSPVKQENVFSDKENVTPASKVKTNVRRVLGTRMDNSVSAANASNKKKVLGSRVDNSMSTENSSNKKQCGELSSKSEKFHTVDFDVFYSDKENLTPIASGGMKARKCFPKDLAVDLDQDQEAFCSDKENLTPLSSAAQKTRDMSGNRARVESAINTKKRVADRLPFQTLLSNSPLRPASSHDCTCAVAGPADIAAGDLVIKLEDKFNNGQCNNQESGSAGQGMKTWTMVANTDSLLDDDSRKAIMLLRGLKGTHLFIPTTVIRELDSMKQREGLFRRSTKATSILQWIDECMATESWWIHVQSSADMFPVAPTPPATPSAQRIDEEIEIGSGSGSFNPMAAFFSPRSSALADIVSPRPEDRVLDCALVFSRLRSGEKVVVLSNSVTLKIKAMTQGLPCEGAKEFRESLMDPSSRRFMWAASAPRGSAWSCLDASALAENYYNSHHHAMRRRVLAAARPAQAAKGLKLILRHNSLYAQATDAAVNETPLLASV >Sspon.01G0052050-3P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:54540264:54542497:1 gene:Sspon.01G0052050-3P transcript:Sspon.01G0052050-3P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATYEPKNILITGAAGFIASHVANRLVRNYPHYKIVVLDKLDYCSSLKNLNPSRASPNLKFVKGDIASADLVNHLLVTESIDTIMHFAAQTHVDNSFGNSFEFTKNNIYGTHVLLEACKVTGQIRRFIHVSTDEVYGETDEDAVVGNHEASQLLPTNPYSATKAGAEMLVMAYGRSYGLPVITTRGNNVYGPNQFPEKLIPKFILLAMRGLPLPIHGDGSNVRSYLYCEDVAEAFEVVLHKGEVGHVYNIGTVKERRVIDVAKDMCRLFGLDTEKVIRFVENRPFNDQRYFLDDQKLKRLGWAERTPWEEGLKKTIEWYTTNPDYWGDVTGALLPHPRMLMTPGVERHNWTEEIKSLTSSPAEASTTAPATSTKRTTDAPQKPLYKFLIYGRTGWIGGLLGKICDKQGIPYEYGKGRLEERSQLLEDIRNVKPTHVFNAAGVTGRPNVDWCETHKQDTIRTNVVGTLNLADVCREQGLLVINYATGCIFEYDAKHPEGSGIGFKEEDTPNFTGSFYSKTKAMVEELLKEYDNVCTLRVRMPISSDLSNPRNFITKIARYDKVVNIPNSMTILDELLPISIEMAKRDCRGIWNFTNPGVVSHNEILEMYKKYINPDFKWTNFTLEEQAKVIVAPRSNNEMDASKVKAEFPQLLSIKDSLIKYVFEPNRKVPVN >Sspon.05G0033080-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:5C:26054506:26054805:1 gene:Sspon.05G0033080-1C transcript:Sspon.05G0033080-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKTKQTVNKKNKIWHRPASCYEPILSKFGLFSASYTIGAPIRFGVLTGADELPAERWTRGGPATLPSQPQTPQPPESSEGGTADSTALTSHPRRCGRQ >Sspon.03G0004230-3C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3C:28995550:28996644:1 gene:Sspon.03G0004230-3C transcript:Sspon.03G0004230-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKEGASGGGGGGGGGDGGMPESVIRKVLISYMYVAVWIFLSFSVIVYNKYILDPKMYNWPFPISLTMVHMAFCSSLAVALVRVLRVVDLPSSPAMTSQLYVSSVVPIGALYSLSLWFSNSAYIYLSVSFIQMLKALMPVAVYSIGVLFKKENFKSSAMLNMLSISFGVAIAAYGEARFDARGVALQLAAVAFEATRLVLIQILLTSKGISLNPITSLYYVAPCCLAFLVVPWVFVELPRLRAVGSFQPDLFVFGTNSLCAFALNLAVFLLVGKTSALTMNVAGVVKDWLLIAFSWSVIRDTVTPINLFGYGIAFLGVGYYNHVKLQALKAKEAQKKAAQADEEAGSLLQERDSLGDRKTDNQA >Sspon.01G0047360-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:102496867:102499396:1 gene:Sspon.01G0047360-1B transcript:Sspon.01G0047360-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SMPDGRKNAKKWILMLALGSGKIITAPIITEDGFPIKGPLVLLEATSDTQGTSTTTTGSGGNGIDVDNSMDRVLDKQIIDWKLTLHQIGLDVLRTDRTMVFYENKDNLSKLWDILAVYAWIDKEVGYCQETLGGGDYLFAFRMFMVLFRRELSFGDSLYLWEMMWALEYDPDICSTYEETGAAVHKIEGFKPKCPEREQSKASARGSRD >Sspon.07G0001100-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:1338090:1340632:-1 gene:Sspon.07G0001100-3C transcript:Sspon.07G0001100-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPPQHCPPAAGAWGGVAGAGAGPTTVDEASMERSKSFIKALQELKNLRPQLYSASEYCEKSYLNSEQKQMVLDNLKDYAVRAVVNAVDHLGTVAYKLTDLFEQQASEVSTYELKVARLNQQIFTCQVYTDKEGLRQQQMMGANIKHHKHYIMPQRVLNFDSPFLSAKLAGYKRSPAHGHQQADTDQESKPRPYPSGGHSCLFSLLMMKFQGMQCTILNKKELIFYLVGAAKTLSWHLSLENSTKANTHKPTFALVDTAPSKPASGKERSASPMRRPLQFNRSTSSDAMQKVGTKNQSGVKEFSTFHSFGNPKGRAIQKAPVGTKSMLAALFIKHKSSKMKKIT >Sspon.05G0009110-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:26510926:26511471:1 gene:Sspon.05G0009110-1A transcript:Sspon.05G0009110-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding PMAKAEARTQGRAASHAPAPSPTWAQRAEALTHILTHPFHAPSLHSQLFVASRVPCPPRGLGSTTTYPPFLCPGASLLHWALASVFLPRVARLCLPPSSWRSRCPFQLPPPLVPSAAIEPAPERWADAELRDYARRRRARRGPLTVRPPVSVTGAVLCTVPNVVIVVAFVREYFWVDRPNRI >Sspon.01G0020870-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:81957602:81960677:-1 gene:Sspon.01G0020870-2B transcript:Sspon.01G0020870-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVLRRAVAQRFASPSSSSATFGLRRRFLQEQPSFRPAVPPDRGFMPLAADRIRDLGVGFAFPRINLDGLVPPAAPAPARRDPDAAALPAAASLTVEEARKVLRATQMEAARARIRASGAGAVPYADFLRLCCDAAGPDAGPSVARALDESGSVIVLGKTVFLRPDMVVKAIETAIPVRQALPAAAAESDPAREEELKAMEAQKADIDRVAAAKVRRELWCGLAYLVVQTAGFMRLTFWELSWDVMEPICFYVTSMYFMAGYAFFLRTKKEPSFEGFFESRFVAKQKRVMQARGFDLHRYEELRRACGVPPPPAQALQAQARTPCASAGAQEGSHCHSYCHCH >Sspon.01G0028970-3P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2B:86478819:86480779:1 gene:Sspon.01G0028970-3P transcript:Sspon.01G0028970-3P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding PPPPPQTTATSSSTTASPARTLPSSLDGSATVTPAGLVELTNGTTHEKGHAFHPSPLRLRGPPPQGSPSSTNGTTTAAVRSFSVAFVFGIVSSYLDFSTHGLAFVVAPTTDLSTALTDQYLGLTNTQDDGNASNHMLAVELDTVQNIEFHDISANHVGIDVNSLASVQSHDAGYYSDNNKGSSSSFQNLSLISRDAMQVWVDYDGDATRIDVTMAPLGMAKPVNPLLSHVQNLSAVLAEPSYVGFSSATGPGNSRHYVLGWSLGTNGRSAPAIDVARLPKLPQVSSSSNARSKLLEIILPIASAAVVLAAGAALVLLVRRRLRYTELREDWESEFGPHRFAYKDLFHATRGFRDTHLLGAGGFGMVFRGVLRESGVEVAVKKVSHGSRQGMKEFVAEIVSIGRIRHRNLVRLLGYCRRKDELILVYDYMPNGSLDRYLHGGGGQGDGDGDGDDADNVAPTLDWDRRFRVIRGVAAGLHYLHERWEKVVVHRDIKTSNVLLDGEMNARLGDFGLAKLYEHGDHPQTTRVVGTTGYLAPELVRTGRATPLTDVFAFGTFVLEVTCGRRPIAGQTLLVDWVLQHWHGDTLIQAVDPRLRGEFSSEEACLALKVGLLCSHPSADARPSMQQVLQYLDGEAPLPEMTRAELSFDMLAL >Sspon.01G0011860-3C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1C:31842578:31845409:1 gene:Sspon.01G0011860-3C transcript:Sspon.01G0011860-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHDAFGDEISDIEVHVRHEYQSTIIHDILKYGGEHKLDAPSCKVLAADDEMRVQLARLADPAVPYSQQRCLSWSPSLPLQDCRKRGSVYVCRVEAAQPCIRTTHTPSLYILNFRLVRCQLPSYSLRLIIEAAALVKIGTWGGDRGSPCDITVAPQRLESISLRWGKVIDWISFSYRDRDGKLHTVGPWGGNGKGEGDETITLGPHEYVTEVVGTIGTIGEFTHTISSLKFVTNRATYGPFGRGAGASFNVPVLNNASIVGMFARAGDFLDAIGFYILPF >Sspon.06G0016260-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:88357460:88364315:-1 gene:Sspon.06G0016260-1A transcript:Sspon.06G0016260-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPATANGSRSGSGSGPIAGDTRDWSRLPEDLLVSVLRALHVADAVRSGAVCTSWNAAYAAFRRFRVPSPKQPPCLLYASDALGPGAAALHCPSTGATLRIPCPRGPLVRRPLLGSGHGWLVTADEASDLHLLNPVTGDQVALPPITALHHVERGADEDGDPSYLVYENLPEYNYSERRFVVDTEPTILPVDRAHKFMYYRVVLSASPSAGRACVVLLLHMPRGEVSFARLGDDRWTWVAPGDDDTGLLSRYGYHGAVCNDAHGLFYLLRLDASMYSLDLNGPSPVARKVLNYLPNSVNPTKYLVETPAGDILQVWRKKKYIHSLTPVYFPPDYVDDGERGMDPCLELNTTEMQLYKIDLHGQRAELIEGLPEYALFLGFNGSICLPVKDFPGLKPNCVYMTDDSIEYVNYNKLNCREIGIWSMAEHSMSGSGSGPIAGDTRDWSRLPEDLLVSVLRALHVADAVRSGAVCTSWNAAYAAFRRFRVPSPKQPPCLLYASDALGPGAAALHCPSTGATLRIPCPRGPLVRRPLLGSGHGWLVTADEASDLHLLNPVTGDQVALPPITALHHVERGADEDGDPSYLVYENLPEYNYSERRFVVDTEPTILPVDRAHKFMYYRVVLSASPSAGRACVVLLLHMPRGEVSFARLGDDRWTWVAPGDDDTGLLSRYGYHGAVCNDAHGLFYLLRLDASMYSLDLNGPSPVARKVLNYLPNSVNPTKYLVETPAGDILQVWRKKKYIHSLTPVYFPPDYVDDGERGMDPCLELNTTEMQLYKIDLHGQRAELIEGLPEYALFLGFNGSICLPVKDFPGLKPNCVYMTDDSIEYVNYNKLNCREIGIWSMAEHSMSKLIDVSPTTYPWLNWPSPIWIQPSLC >Sspon.01G0009980-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1C:27814148:27816461:1 gene:Sspon.01G0009980-2C transcript:Sspon.01G0009980-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RCGEKRARLRLREASPGAARPSIVLRRHRKARLRHRHRFPLQNPNPKPSSREPSPMENPRPQPPAPTPPMAPLPVPVPVHPPIAPIPVPPPRATAAAAAAASMASTSTSSAGGGGEAEYEVSDDHRAARERHERAVQELLQRRRAYAMAVPTNDSAVRARLRRLGEPITLFGEREMERRDRLRALMVRLEADGQVDRLLRAQEDDQAARAGEEEGEEEQIQYPFFTEGTQELLKARVDIAQYSLPRAKARIERAKRRHEDPDEDPEAEAELVVKQAGEFVLECSEIGDDRPLTGCSFSRDASILATSSWSGIIKVWSMPQITKVATLKGHTERATDVAFSPADDCLATASADRTAKLWKPDGSLLMSFDGHLDRLARLAFHPSGKYLGTASFDKTWRLWDINTGKELLLQEGHSRSVYGVSFHPDGSLAASCGLDAYARVWDLRSGRLFFALKGHVKPV >Sspon.02G0044140-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2B:99863346:99863540:-1 gene:Sspon.02G0044140-1B transcript:Sspon.02G0044140-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKYVGAVEENHSNGFLSSATWSQCSWQVGGTVGKTRGNGMMGFSNLVQWKGLLLKRKSETPEL >Sspon.01G0043330-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:73838226:73841263:1 gene:Sspon.01G0043330-1B transcript:Sspon.01G0043330-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding EELLGDHWSKKIALSPPIRQFFGMRSHIGRLLEMLLGGTLSEYSISPQPNLPACHAKEILLTLPLIIVASELLYTDNSKKSSHHDAVANHANPVSSSSAILHMPVIQVSENHGRKNAELLKTSSQGGGGIGEGIEVTKPTPDIEKGVLTTKVINPTLRSVVPKDDLIAEAKRKAKRDLDKNVMTTATKHKTIPEVVKNEFAIKVKDNQNDELNKKVTKVKAKAVDKDLLNSTTRTKTKPDVDSDELIAKVIDHHRRGDLRLLTVNDLKCFLGAKKAKVGGTKE >Sspon.01G0018280-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:68346190:68347287:-1 gene:Sspon.01G0018280-1A transcript:Sspon.01G0018280-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLASAVRVFLAYCLLLHGCMGSEEAGGAFDQGCHGVSLTHLDEARAPSRCAARPSPSGPAILPPKPLLVAAPREAGEYPVLLFLHGYLALFQHVASHGFIVVGPQLYTISGPDTTEEINAAAAVIDWLATGLPSALPPGVRADLTKVSVSGHSRGGKVAFALALGHAKAKLALPLAALVAVDPVDGMGVGKQTPPPVLTGRNCSLHVGAPAMVIGTGLGELPRGPCSRRARPGAHAAFYDELDRAAPACHLVARDYGHTDMMDDDTPGARGMLTRTVCRSGGARAPMRRFVAGATVAFLNKWVAGDAAAMDGIRARPDQAPVALSVVEFRDGKR >Sspon.07G0012810-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7A:46394960:46395508:1 gene:Sspon.07G0012810-1A transcript:Sspon.07G0012810-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATARSSQPWPRPASHGRQHAPSQAAKAMSRQHAVASLGHVQPSQPISLPRLVTDALALVAYLDSVWERRGEEESRVWESRAVGWASGERVGVTCGVGRVMSLIK >Sspon.05G0015160-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5A:58350306:58351007:-1 gene:Sspon.05G0015160-1A transcript:Sspon.05G0015160-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAAATAAEQLVLPALLLIRRVDAPFAAALRQRFRVLDFFASGSPPLPAFLAPRLSRNPLAPRSSWGATRPRGRRLPRRPALASLCRQNGGGSRPHRPPRVRAAAWPSPTPAGSTPPAAPTVLTPIEMVRALKEGRIAGAGLDVFENEPNNVPPELLAMDNVALTPHVAAWTSESRSDLRDRTVANLDAFFA >Sspon.06G0008110-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6B:33325767:33325934:1 gene:Sspon.06G0008110-2B transcript:Sspon.06G0008110-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GIDLSSNSLSREVPSELTKLEGLRFLNLSRNRFSGGIPEDIGALKEFGVSRFILE >Sspon.05G0011520-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:35350237:35360146:-1 gene:Sspon.05G0011520-4D transcript:Sspon.05G0011520-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDSDVDEDELLQMALQEQAARDLSHQRPPAANKPVVNLVRPPAPNARGGGNARAGGGAAAKARQPSRGGDEDDDSEVELLSISSGDEDDNPRARGPPPPRGGGGGGGRAGARRAASRDDGDFDDEEPKSWKRVDEAELARRVREMREAKVAPNIQELDQKAAAAAAAARKALTTVQTLPKGVEVLDPLGLGVMDHKSLRLITDASVSSPISREKSQGLDPSMRDKVTYSSPNFDPKVFLSWVHKDTSAADLEAGALTLKTDLKGRTQQKKQLVKENFDCFVSCKTTIDDIESKLRQIEEDPEGAGTAHLYSVTQKISGVANRAFEPLFERQAQAEKIRSVQGMLQRFRTLFNLPSAIRGNIRKGEYDLAVREYQKAKSIVLPSHVGILKRVLEEVEKVMQEFRGMLYKSMEDPHLDLAELENIVRLLLELEPETDPVWHYLNIQNSRIHGLFEKCTQDHESRMEVLQNKIREKVLSDSKWRQLQQDSNKSAASGNVVSDSDMNAKPAANKNDDKGGEVKYTNHTLDEVASMVRGTISAFDTKVQSTFRDFEECNILCPYMSDTIKEIAKACQTLEGKDSSPTAVKMLRTLHFEMTKLYILRLCSWMRATTKEISKDETWVTLSTLERNKSPYAISCMPLEFRDITISAMDRIDTMILNLMSETAKSFDISQPLQEINESVRLAFLNSFLDFAGYLERFGGELTENRPNKENNYVQNGYINGTRETSANTDGDLHKKLLVVLSNIGYCKAELSEELYNKYRHIWSPVRNNDERSSDMRDLMTSFSALEEKALDQYTFAKSNMIRSAAQSYLLDSGIYWGAAPMVKGIRDATLDLLHILVAVHAEVYSGARPLLEKTMKILVEGLVDIFLSVFHENKTKDIRLLDANGFCQLMLELEYFEAVLHTYFSPEAQQAMKSLQENLLEKACESVAEAMENPGHQRRPTRGSEDAASDDRQSSVSPDDLLVLAQQYSSDLLQGELERTRLNIACFMESTLQSTAAPAGSKPAAYSSYQAQVPQHAPVQTSSPSFRRQQTGTSSPVVSRRRW >Sspon.03G0046740-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3D:44481896:44482654:-1 gene:Sspon.03G0046740-1D transcript:Sspon.03G0046740-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRESFLPAMVMSAASHRSTTSMGALAVLSVFAVLVSDVEGRHHVCPPFSCGGFSNISYPFRRQGDPHRCGVKSYELVCTDTNATIRIGSGTYKVLSINYTHSYFWVVDTNLSMQSSCPLPRWDYRLFRGASQRSIEMDPYSSWWATFLNCSRTIENNGTYDWYEPVACLSTNSSFIYVVTAGVGYGGYVAADRVEPSCGYLAITPLGGPGMTVLKNTSYPDVVKIMRKGFTLGFPFTIGEVMRECLVYSMR >Sspon.01G0029740-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:103242962:103246392:-1 gene:Sspon.01G0029740-1A transcript:Sspon.01G0029740-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGNPPPKPWERAGASSGPVPFKPPSGGSTSDVVEASGTAKHGEVVSAAGNNVASNVNSNISMPVPPRPWQQQGYGNSYGGYGSSMYSSYGGYSGPYGNNMYSGYGGGYGSMYGGSGMYGGSMYGGGMGGPYGGYGMGVNPYNQGPNSFGPPAPPPGFWVSFLRVMHGVVNFCGRVSFLISQNTQAFHMFITAFLQLCDRAGMLYGELARFVLRLLGIKTKPKKGGVKGAGAPSSEGQGQQFVEAPKANSSWDSVWTEDGKGT >Sspon.02G0026750-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:82210367:82214484:1 gene:Sspon.02G0026750-2C transcript:Sspon.02G0026750-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQGARQASVPSTAGPRPNTANSATIPHSDQPPRDAAAREGGRGSTGAEESLVRGSVVAPRVSCGICGGLLRDATAFTECLHAFCRKCIYDKVAKDNINCCPKCGIFLGNPLEKLRPDHSLQHIRSLIFPPKRRKVVTMKKRKERSLKNCNFSFQDLALVERDALSGIKGRDFDSACGLTEETGALIVWQASPILEEMVAHNQLDSKQDPESFWLPATSDIENQRQGPTAQMTNISFMVESSSSARPTVQDDENLRGDFLTLINESNARIMGRYDAHISKLKAENTKSIEELENERERTRILEERLQRELENERTAAAERTRLLEKKLQRELEHEREAAIERTRILEERLQRELENERAAAAERTRLLEKKLQSVEHEREAAIERTRVLDERLQRESEIARTTASRNEALKEEIFKLNEELEHGRADNQALMSDILEKSEELATLKYYSNMLESEKTHLENQVDHLDKELKYARKEHKRYVSKVLDAARAIPNDLEPINSDALPGSRRTSGISIESQLDNLKRSLAEEKRNARAIDELRARLLKRTISLITSSVHPAVPGPLERRGAEVKEELEE >Sspon.07G0023900-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7B:20421695:20425685:1 gene:Sspon.07G0023900-1B transcript:Sspon.07G0023900-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding QVFHSGIPITLVPLDATNTIPINKEFFYEFERHQSTYEAQYCFKSLKMARDTWFNDEFYTSYFMWDSFTSGVAISSMRNDKNGKYGNDFAQLEYMNITVVTSNKPYGVHDGSNPLFDGHTTPKFGLQKVGVHSGHVQTGITDSFCRVKGSNKGRCEDGYTKEVSSPEAAHIRVATKAKPNVDKNSPLDREFFLSFLQENSGRFDIKAQFPFYREVLNKPSLKSKKTGKPVIIDMDMSPGDFVSLIYLLKAPPEVIDLKGILVSGNGWANVASIDIIYDILHMMGHDDIPVGRGNTTALGTPSLGCEYVSIIPQGSGGLIDSDTLYGLARSLPRSPRRYTAENSVKHGAPRNTDHPELRQPLAFEVWQAIKEQLDPSEKITILTNGPLTNLANIMLSDRNSSSVIEKVYAVGGHIRDENDSKGNLFTVPSNRYAEFNMFLDPLAAKTVLESSLDITLIPLSSQRKAASFQCILQALKHTDHTPESSFVHRLLLSLHDLQQKHGLYHHMDIFLGEILGAVYLVEGLNIKPSLQLKPISIVANNTTDMDGQQLCLYMHAPREPHLAAMKRLLRYLRGTLGYGLLLGRSSTAELVVYTDADWRDAWTPA >Sspon.01G0015340-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:44517916:44528659:1 gene:Sspon.01G0015340-1A transcript:Sspon.01G0015340-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHAPPLVAFAGGACPATAASSPSPWLASPRAAILAAPARLLRSRRGALRLEAKAAWRAAGGGRGPRVPAKGAVLASYMGAEEVVGPLSLLDEEELISHIRKEVDNGKLPADVASNLEELYYNYRNAVRTAGLIFAVLQNGDPNAYEIMLSNMTALFDRVLLDVQNPFTFPPYHKAVREPFDYYMFGQNYIRPLVDFRNSYVGNISLFHDMEEKLHQGHNVVLMSNHQTEADPAIISLLLEKTNPWISENIVYVAGDRVVTDPLCKPFSMGRNLICVYSKKHMNDFPDLIEMKRRSNTRSLKEMALLLRGGSQLIWIAPSGGRDRPNTSTGEWYPAPFDSSAVDNMRRLLEHAGVPGHIYPLSLLCYEVMPPPQQVEKEIGEQRVISFHGVGLSVTEEIKYGDITAHAKNADEVARPGTSLQRPLWPPASRGRARAEGIPPVPWGPPNNLPWRLLLPPILLQAAPPTSSRRSAISWARLPSLLPPIFFQAAPPPSRRRLAISWARLPSLLPPFFFQAPPPPSRRRLALSWARLGPLLPPIQLQRPWLLSSAAARLTAFLLCPCSVLLGPRAPISPGCLAPALAPFSPGCSLQPPSSLLRAGGRELFTNTLYNSVVNQYNVLKSAIFRDHGAAVSNNVISLSQPWR >Sspon.01G0000570-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:1107798:1123049:1 gene:Sspon.01G0000570-2B transcript:Sspon.01G0000570-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPSPPPASNAGLRILLSKDRPTPSPPPTAAVSSHADRDRIIAVFRSALSRNEPPETFSLQTVQEAIKPQKETVLVLEENQSLENALRRLLQELVTIEALNIKGVFNTSNVTKYEKDAMDGISVDFNFYKTLWSLQVVLSTFEAQPLSDDDGKLNNLNEEEDAAFNIKYLTSSKLMGLELKDPSFRRHILVQCLIFFDYLKAPGKNDKEGPTGSMKEEINSCEEHVKKLLEIIPPKGKEFLKSIEHILEREKNWVWWKRDGCLAFEKPPFEKKPGQAGGRKRKPRWRLGSKELSQLWKWAEQNPNVLTDPDRVRMPSITEYWKPLAEDPSPPPASNAGLRILLSKDRPTPSPPPTAAVSSHADRDRIIAVFRSALSRNEPPETFSLQTVQEAIKPQSSAVQSGKKIMQYGNSLDSGESNCLITRLLDIVLYLCERGHVEGGMVFQLLEDLTEMSTIKDCKDIFGYIESQQDVLGKQELFGRGKLVMLRTCNQLLRRLSKANDVVFCGRIIMFLAHFFPLSERSALNIKGVFNTSNVTKYEKDAMDGISVDFNFYKTLWSLQEHFSNPALTSTNPAKWQKFSSNLAVVLSTFEAQPLSDDDGKLNNLNEEEDAAFNIKYLTSSKLMGLELKDPSFRRHILVQCLIFFDYLKAPGKNDKEGPTGSMKEEINSCEEHVKKLLEIIPPKGKEFLKSIEHILEREKNWVWWKRDGCLAFEKPPFEKKPGQAGGRKRKPRWRLGSKELSQLWKWAEQNPNVLTDPDRVRMPSITEYWKPLAEDMDPSAGIEEEYHHKSNRVYCWKGLRFSARQDLDGFARFSDYGIEGVVPSELLPPEVNARFSSKPAEKVKRTRREDSKGVSAQPKEQQVAATPETDGGGSGGDPEEGAAPMDSDNGAVEDSQKRSPGEVSGPESGQCEPEADADDNVKTETTSRYARAGEKQ >Sspon.01G0035750-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:14102648:14105370:-1 gene:Sspon.01G0035750-1P transcript:Sspon.01G0035750-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLPGWNPLAPVLQTVAAFSRHILIAPDAGPDDHRLRPLLALSLSPPSPAPPRPEVLKASRKDKDAKEAPLTKEEVGRATWMLLHTIAAQFPDEPTRQQKRDAKELMHIISRLYPCKECADHFKEVLKANPVQAGSQAEFSQWLCYVHNVVNRSLGKPIFPCQRVTARWGKLDCPERSCDLEGNIDIMPNR >Sspon.05G0021710-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:78505431:78506102:-1 gene:Sspon.05G0021710-1P transcript:Sspon.05G0021710-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VIVERFSRSNLPQMEKRKYLVPCDMPVGQFIFILRSRLHLSPGTALFVFVNNTLPQIASLMGSVYDSYKDKDGFLYMCYRSEKTFG >Sspon.05G0018340-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:77542807:77545920:1 gene:Sspon.05G0018340-1A transcript:Sspon.05G0018340-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GSDSLKITSLGMTKHSATAIPHPQFLLLLMACCARVAICSLDGNSTDMLWLLEFKMAITSDPQQALVSWNDTTHFCSWKGVQCSAKHPNRVTSLRLENQGLAGPISLSLGNLTFLRILILSTNSFTGEIPPSLGRIPSVANCSRLEVLGLSNNQLTGQIPSDLPHSLQQLILGYNNLTGTIPDFLANITALFVFGFESNSIEGSIPSEFAKLSALQYLYMGGNNFSGSFPQPILNISSLTELNAADSLQTTVEIYHQILATLFPILKCSSSNFFLGRIPPSFTNISKLYLCDISRNKLTGVVPSSIGKLSKLSWLNLEVNKLQASNNQDCEFMNSLANCTELQVFSLSMNQLEGNVPNSVGNLSSQLLFLLLAYNQLSGEFPSGIAKLHKLISVALNVNKFIGVVPDWIGTLRNLQKLEQLYIDSNQFDGNIPPILGNHQTLGSLNISINNLHGNIPKELFRIPTLREITLSFNNLQGPLHADVSDAKQLTYLDISSNNLSGNIPTDSQHVPPEGIFKNATAIRIEGNQELCGGTLELHLVACRGGQVSTSSDVYSFGVVLLEIFIRRQPTDHMFKDGISIVTFTENNFPDNVLQIVDPQLLHELDLSMETPMTITDSEAQILQSVINIGLCCAKTSPNERISMQEVAAKLHGVRNAYLRAN >Sspon.05G0009310-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:29663300:29666895:-1 gene:Sspon.05G0009310-4D transcript:Sspon.05G0009310-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWKDPNPGLESSMGFQTRGVRPDTIGGHSNEDVKAGFEKHGLSVAISSPQEEGRSLPLTPQFGQKTSPGSSLAERMQARAGFKVPKLNMPFSTAAAADNSVPGAPSPYLTIPPGLSPATLLESPVFVSNAMGQPSPTTGKLFMFGSTNDNDPIKFGGPPVGDGTNDNTFSFKPLDLKSSHYTAEAMKEQNMPPSVKTETKTQPVQEANLLGQLNQQNHNVQTNMNSGGPHDSKLSRLASGTGAGNEHVSPPDYGQTAEESDAREDYPAMAATAPADDGYSWRKYGQKQVKHSEYPRSYFKCTHPNCQVKKKVERSLEGHITEIIYKGAHNHPKPMPSRRPGVQQPVHPSGDAVGGGRSRQPGANAAEANYQPWRAGVQDGMDAATSSPSVPGELCDSSASMQVEHAPRGFGSPEGADVTSAPSDEVDVDDRVTLGSMSHGGADAEGDELESKRRKLEAYTIDMSTASRSIREPRVVIQTTSEVDILDDGYRWRKYGQKVVKGNPNPRSYYKCTHPGCTVRKHVERASHDLKSVITTYEGKHNHEVPVARNSGGHPGTAAAAAAATGAGGGSRSRPEHPSSVHDGLMMRHLGGCGVPFGLPLQPPSRDPLAPMGSYPTYPFTALGGGPTSLPSLPMPAGNLSAVEGLKLPMLATSLSLHQHPLLRHRQAMQAAALVAAPMADVKVEGNVAGGVTAAPSSVYQQMLRSGLRLGHQM >Sspon.05G0019120-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:83830396:83832768:1 gene:Sspon.05G0019120-2B transcript:Sspon.05G0019120-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MERAIIAAVFLLLFSLQAAAAAAAGAGRVIRRRPPTLASRHYASSSLQVPRAGDDASDGGVSVPPTVQYETRRYTQRLDHFNSLPASYATFQQRYLINDTFWGGRTAPIFLYAGNEGDIDLFTNNTGFMWEAAPRFRAMLVFVEHRYYGESMPFGGTREAAFRDAATKGYLTVTQALADYASFVLSLKANLSAPAAPVLVFGGSYGGMLAAWMRLKYPHVVMGAVASSAPILSFYGIVDPYAFYDRINDDFKSESKNCYDVLRKSWDVLYNALATKEGQAQLRRTFNMCNGSSVQDIPSLLREAVVEAAMTDYPTASGFLTPLPAYPVRSMCRAIDNRQQAAAASSGNDGNSSTTAQLLLLSQQVRDAMNVYYNHTGAAACFGAEEVDDPYGIYDGWNWQACTEVMVMAYGVRDGSVLPPSPFNFTDVVDDCRKNTGLPPRPFWIETEFGGYDIANVLKKSASNILFFNGLRDPWSTGGVLKSISDSIIALVEPKGAHHVDLRFSSKEDPEWLKQVRVKETRIIARWLKQYYSDEGIAT >Sspon.03G0023000-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:88934527:88938349:-1 gene:Sspon.03G0023000-3C transcript:Sspon.03G0023000-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Regulatory protein NPR1 [Source:Projected from Arabidopsis thaliana (AT1G64280) UniProtKB/Swiss-Prot;Acc:P93002] MEPMDSQLTAFALSDSDSGSVEGAAAADAADADLQALRRLSENLAAVFRSPDDFAFLSDARIVVPGAPDLRVHRCVLCARSPFLRDVFARRAAEDKGKDRGKVELRDLLGEEVEVGYEALRLVLDYLYSGRVAALPKAACLCVDEDACAHVGCRPAVAFMAQVLFAASTFDVAELTNLFQRRLLDVLDKVEVDNLPLILSVANLCNKSCVKLLERCLDVVVRSNLDMIALEKALPPDVVKEIVDARLSLGLVSPEDKGFPDIHVRRVHRALDSDDVELVRMLLKEGKTNLDDAYALHYAVEHCDSKITTELLDLALADVNHRNPRGYTVLHIAAMRREPKIIVSLLTKGARPSDLTFDHRKAVQISKRLTKHGDYFRPTEDGKPSPKDRLCIEILEQAERRDPQLGEASVSLATEGDSARGRLLYLENRVALARILFPMEARVAMDIAQVDGTREFTLGSGANLPTEIQRTVDLNDTPFTMKEEHLARMRALSKTVELGKCFFPRCSKVLDKIMDDETEMASLGRDTSTEKKRRFHDLQDLVQKAFSEDKEENNRSARSSSSSSTTSVGAVRPRR >Sspon.06G0021430-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6C:16657540:16658131:-1 gene:Sspon.06G0021430-2C transcript:Sspon.06G0021430-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGADGAQQHHPCSICMEPMAPTAAHRGGAACAHAFCRACLSGHVRAKLECGGGGAVVRCPDASCAAALDPELCRGALPSEVFERWCAKLCESLFLGARRTYCPFPDCSEMMVADDDGEECVTQSECHGCRRLFCARCGVPWHAGVTCEEFARLGEGERAREDLLLVKAAREGNWKRCPRCRFYVEKSSGCLHITCR >Sspon.08G0027310-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:45140541:45142015:1 gene:Sspon.08G0027310-1C transcript:Sspon.08G0027310-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding EDSVKVGKVTAAGMYFLGFPVYRFEQNNLAAAAKDSDSAFFKRLDSFQPCDIHELKPGTHFFAVYGDNFFKSASYTIEIVCGESFPAEKEMLRNVLAKFTEMTSKYTQEMQAIDDLLKERNEIHASYTNNPPLKRSSSRNKGKSPSKVAKTDTEKHPQKEKKVKDHCMEGYGSDSDNSSEKKSKERFPRKKWLNIPFKLDRRKTC >Sspon.07G0016410-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7A:58143269:58145759:1 gene:Sspon.07G0016410-1A transcript:Sspon.07G0016410-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAATSFATLAVARSAGQRSLLAPKAAPSPLLSLRGAGLPSQAVSFSGGLAASPSHSRGRFATSASAEPKEADLQSKITNKVYFDISIGNPVGKNVGRIVIGLYGDDVPQTAENFRALCTGEKGFGYKGSSFHRVISDFMIQGGDFDKGNWFTLDLEWSAWLMQDRTPMAASFSFALSRHVVFGQVLEGMDIVKLIESQETDRGDRPKKKVVISECGELPVV >Sspon.07G0036140-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7D:23079468:23080182:1 gene:Sspon.07G0036140-1D transcript:Sspon.07G0036140-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAYRIVAYPKHGLCVLTFARFPDRGEGGVRAADGGAVRVRRVVHIAADGRLSGLVETDGCVRACGVDRATVGISSDSLLDPRVAGAVCSPACLRGCPNIVDLYSNLAAGEGKNILMFKD >Sspon.05G0027490-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5B:53948140:53950741:1 gene:Sspon.05G0027490-1B transcript:Sspon.05G0027490-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GSPLLPCAATSRLDRRRRRLPWPANLLLPLLHLPLPLLPLPVVREEGDQLVARRSPDLVARVRPGLPRTDAPGPDLPPRADQRPSPPEEAPIRGRPMATPDDGPAPAGAGGRKFWRSVSWSEPREPAPRRTPRAGPGQRGAGAGRSPHVRGAPRRGPASLRARARAPQPPAQRVRPSNMVGVSGTSMPLLGSRARRFSLMRPSQVLSVQLQLSMASQVLSSLVCLQLQRARPSSTARSSPVRLQLPRARPSFTARRGTSTDAAAAALLLASSAVAAAAAAGPGLPLPPTSSLHAAGPDSALADALVAARATAVDSQARVRAAALAWEHERDAADALARQLAETEQLLHLPASPGIAAGPSVPPRGELHPSAAMLTGAPPGFHPTSPWATHPGASPGLVGWVPATLASSFSTTASPPPVVSPSVSPDWIADSGATFHTTPDAVSFLLSVLPTLPVPLPSWSPMAPAFLSPPWALPVPTAPFIFLMFLWLPFDPSGLTVRDLASRVPLLRCNSTGPLYTLRFPVSVSPSSPFSASVSPSSPSSTAFAATPSSTTWHRRLGHPGRDALMQLSRSADIRCSRAHDDHLCHACQLGRHVRLPFQSSSSHATRVFDLIHCDLWTFVLSMSGYKYYLVVVDDFSHYSWTFPLRAKSEAFPTLSHLFAWVSTQFGLTIKAVQCDNGREFDNSTSRAFFLSHGVQLRMSCTYTSSQNGKAERMIRTTNDIMHTLLLQASLPAHFWAECLHTSTYLLNQLPSTACPAPTPHHALFGTPPRYDHLRVFGCACYPNTAATAYHKLAPGRPSVSFSDTLRITRVTDALTLPLAVSSSLA >Sspon.01G0032610-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:109884576:109925413:-1 gene:Sspon.01G0032610-1A transcript:Sspon.01G0032610-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSNEMEDIQEAYAGQIVAVFGVDCASGDTFTDGTVKYTMTSMNVPEPVMSLAVSPISKDSGGQFSKALNRFQKEDPTFRVGLDAESGQTIISGMGELHLDIYVERIKREYKCYTSAKPVILEPVMKVELKFPTEFQGTVTGDMNKRKGIIVGNEQEGDDTIVVCHVPLNNMFGYATAIRSVTQAAMAMARRSASHLLSSFRPFSLLLQPHLADSPSPAAASARRAMSAASALRARDDKDVARWRESMARMRNIGISAHIDSGKTTLTERVLYYTGRIHEIHEVRGRDGVGAKMDSMDLEREKGITIQSAATYCTWKDYQINIIDTPGHVDFTIEVERALRVLDGAILVLCSVGGVQSQSITVDRQMRRYEIPRVAFINKLDRMGADPWKVLNQARSKLRHHNAAVQVPIGLEEEFEGLVDLVEMKAYKFEGSSGQNVTASDVPLNMEDLVTEKRRELIEVVSEVDDQLAEAFLNDEPITANQLKAAIRRATVAQKFIPVYMGSAFKNKGVQPLLDGVLDYLPCPLEVDNYALDQNKSEEKVLLAGTPAEPLVALAFKLEEGRFGQLTYLRIYDGVIRKGDFIYNVNTGKKIKDIQEAYAGQIVAVFGVDCASGDTFTDGTVKYTMTSMNVPEPVMSLAVSPISKDSGGQFSKALNRFQKEDPTFRVGLDAESGQTIISGMGELHLDIYVERIKREYKCYTSAKPVILEPVMKVELKFPTEFQGTVTGDMNKRKGIIVGNEQEGDDTIVVCHVPLNNMFGYATAIRSVTQGKGEFTMEYLEHNIVSQDVQMQLVNSYKAAKGTE >Sspon.05G0003250-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:10001352:10006312:1 gene:Sspon.05G0003250-3D transcript:Sspon.05G0003250-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPELAAGDGSYDFHLRSLSAASRDSAAASDPASDPNLLQSVRRVCEMCRTAKEAKDEMVARAFPVMGKLFQRCAAAPTQAVASTGVLLLTILQFFLDFGEVVLHDADGSLKTFFRSCLSREFADPVVAERTVEFLVTNKTKILSSFPNLIPQFYPLLLKLIASNGERLENKFSEVLPLMMSAGSFLPLFLSLMDLPMLVVALEKVERSSGTLIGSSIATIQKSAAPEMLLALMDEAYTGSAIEESSGNSGSDDSGPLDLADPMFLDLLKDENDGIAAKHWISPTISSTLQAAFNSPQSDRLKQSLEMAPRFLTLYFATALRDVNDSLLCALIPVVMSRYAAMFPDKVFSFKVRKRLSDFILAAFQRSPDIIAVLKTELALHLCWAIGEHGAGGIDRKDVARELFENLELLLYENLATSRVVLNQEPGSDSMGASSRKSSQARLLCFVVTAIAKLATCHSELLPRARVSLGKVARSPTSDRRVCQRACDYLGLMNEPAICLSVLGPSTAQGNGPGIVNWSEGGTKMVAHVPFYLLAEQK >Sspon.05G0001720-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:5613440:5615631:-1 gene:Sspon.05G0001720-1A transcript:Sspon.05G0001720-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding NEMLKHCDGVPNPSLYGTKQVRLFLSEDSPSQAGLRPQDNLQAKGSWLMHAAGPSSDDSLPPGFESLQPTSNLKIDISQIPLIRWRCPPQILYNPDWLVVAGEESEEVALQNERMFGALEAIYPRPSNIPPNPLVTPDVKDSQFDDSQTQLVPLIPVEEDDASDQLEEPPVGLPSSYLQSDKYDSAIFRAPQASDAPFTQPNGSINTTRSGVPVEPDAVAAASAAYTAIMQSNQMGNMIDQDLLIKILSDPAQIERLMKEYGALKHEQSTNSSVVPMVQGPPPQMTASVPVSFPDHTTTFHNTNPTLPPPPVLNRLPPAIPSVTMNPPASSSQAISFPSGPTRGLNYYQTLIHQHGGERQEPLQQHGRQFAMHHQPVASQASTTDIVSSGTMTARDTKQRPTKPCAYFNSARGCRNGANCTFLHDVSAARKEQPKGSKRIKLDSRIAGRY >Sspon.05G0016500-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:125966128:125966844:1 gene:Sspon.05G0016500-2C transcript:Sspon.05G0016500-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPLLVLALIFVIAGVAHGAGECGRASADRVALRLAPCVSAADDPQSTPTSSCCSAVHTIGQSPSCLCAVMLSGTARAAGIKPEVAITIPKRCNMVDRPVGYKCGDYTLP >Sspon.05G0026170-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5C:27501017:27501953:-1 gene:Sspon.05G0026170-2C transcript:Sspon.05G0026170-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVITKINSFSSTETLVPRNQDAGFPATVAALKNPNPKVVYDEYNHERYPPGDPSKRAFAYFVLSGGRFIYASLLRLLILKFVLSMSASKDVLALASIEVDLSSIEPGTTVTVKWRGKPVFIRRRTEDDIKLANSVDVASLRHPEQDAERVKNPEWLVVIGVCTHLGCIPLPNAGDFGGWFCPCHGSHYDISGRIRKGPAPFNLEVPTYSFLEENKLLIG >Sspon.02G0059240-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2D:90321173:90321396:1 gene:Sspon.02G0059240-1D transcript:Sspon.02G0059240-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLWHLGPCSAQLHLRQQPQAPECHATSCSLALHESIGTPVRWPVPPLLTGPAPINVTVSRTCRRRHLERAEF >Sspon.01G0043280-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:64665908:64667245:1 gene:Sspon.01G0043280-2D transcript:Sspon.01G0043280-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DNSTVCDTAKCGKGTCTVVPGIIPLLTSSYKCTCDPGWSQQKLLNLTVLDFAPCVIPNCSFSSACLNLTMLTPPRGIPVTDPCVVVNCGQGGHCKKGAGFSYQCRCQPGFKNLLNDTSMPCIGGSCTFGEDCAKLGLVNTPAAPAPAPVGNDLY >Sspon.04G0006910-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:19520549:19523415:1 gene:Sspon.04G0006910-3C transcript:Sspon.04G0006910-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNPPGRHLLRLSSRAVRSSSSSSALGPGAGASTSAASPRPRAVAGGRPLRASSPPPPSAVAAAAYWESRALRRDGEEGDWQEVVAGAGAPGTGEMEEEEEYRVVFWSPPTIDEVTGAVTSIQEVFENPVGLDSDTTDRQTALLSASGHSSGNSSGSDDWIEPAAYVLNSTALLSREHRNKMVMSLSCDKAVWNAVMNNEAVQDFRRSLHDGKENDKKGNTDGPAEVLKWILESAQAKIVEFFENVMKIINMLIHPEEDEDKPDAYSDAVKVSFMLTVFVFIVVAIARINYEHWDYKVW >Sspon.02G0022650-2D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2D:69830879:69831733:-1 gene:Sspon.02G0022650-2D transcript:Sspon.02G0022650-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding IPPPSCLAFRGHEAEWIAGAWQPNTSGISDVSRFWETTQRCTLMVCRCSHEIDGPLCTLLGELYGKPVLPSGLLAPYDAAAAADGEGGHDDEESAELMRWLDAQPEQSVLYVAFGSEALLTPAHVRALALGLELAGVRFLWALRKPVGGERPQLPDGFEGRVGGRGVVRVGWVPQVRVLAHAAVGAFMTHAGWNSLMESFLFGHPLVMLPLFADQGLTARLMTERRVGLEVPWDDRGSAFRSEDVARTVRRVMVEEEGTEFSRNAEGLQEVLRDTARQERYMDEL >Sspon.03G0038490-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:5342567:5345990:-1 gene:Sspon.03G0038490-1P transcript:Sspon.03G0038490-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSSSPSPAAAAARLDLDGNPIAPLTICMIGAGGFIGSHLCEKLMAETRHVVLAVDVYCDKIRHLVDPAPPHLAGRISFHRLNIKNDSRLEGLIKMADLTINLAAICTPADYNTRPLDTIYSNFIDALPVVKYCSENSKRLIHFSTCEVYGKTIGSFLPKDHPLRKEPEFYVLKEDESPCIFGPIVKQRWSYACAKQLIERLIFAEGAENGLEFTIVRPFNWIGPRMDFIPGVDGPSEGVPRVLACFSNNLLRREPLKLVDGGQSQRTFVYIKDAIEAVVLMIENPARANGHIFNVGNPDNEVTVRELAQMMTEVYANVSGEAPLDEPMIDVSSSQFYGEGYDDSDKRIPDMTIINKQLGWNPKTPLKDLLETTLTYQHKTYKEAVKRQMSQASATS >Sspon.01G0058860-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1D:36033065:36033481:1 gene:Sspon.01G0058860-1D transcript:Sspon.01G0058860-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTDSQDHSSHSDESVNSEKIEEMMWEEFNDPIEAQVEAQLEEKLLARLSGSSNHRGGYKCRYINRDHEGDHNRLFAKYFSSNPLYNDDQFRRRFRMRKHLFLRIVDALGAWSPYFRLRQDAFGKVGLSPLQKCTAAI >Sspon.05G0018460-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:79220452:79250881:1 gene:Sspon.05G0018460-2B transcript:Sspon.05G0018460-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MYDLPYCTELPSRWSQLPCLEYLHIRRAPVIKRIGLEFLQPNNQVGVVFPRLQKLVFSGMVECEEWEWEEQVKGMPILDKLRLDTCKLRRVPPGLAFHTRALKELLIYYVKNLSSLENITSVVRLDVFRSTDLVRISNLPKLQKLFIIECPKMKVLEAMPALQSLTLEDYDMEIVPRYLQDVNPRHLLLDCFLSLLIAIAAGKSGPEWVKLSHIRQVKAHANEKGSPRKWYVLYTSYPFRFETNISRSAIAEACNRRTWLAYSQTCPIEDEWPVERQTYAEKRVPLCVRFRCNAYLYLSRWLDEACLHCREADDIASPSDQWTEAAVFSSKTERFLTQFGQISFSKAAATGRFLLVLMACSVHAVTCSSSNTTPGNQTDRLSLLEFKNSISLDPQQAFASWNDSTHFCNWEGVTCRTTRNRVTNLDLGNRGLVGQISPSLGNLTFLKHLSLATNRFSGQIPASLGHLHRLQTLYLSNNTLYGVIPTFQNCTNLEKLWLDGNNLLGGFPGLPLGLKQLELGSNNLSGTIPPSLANITTLEKLGLNFNNIAGNIPDEFAKFPELQTLSASVNNLSGSFPQAILNLSTLVSLAIVMNHLSGEVPPGLGSSLPNLQFLTIGRNFFNGHIPSSLANASDLRLIDMSNNSFTGVVPSSIGKPGNLYLLNLELNKLKARNRQDWEFVYSLGNCTNLQTLSLYSNQLEGHVPTSLGNLSVKLQTLILGYNQLSGNFPSGISNLRNLMWLALHGNQFTGKVPEWLGTLNSLQRLLLDNNNFTGFIPSSLSNLTHLSNLGLQANKFEGHVPTSIGVLQNLQVCNFSNNLLHGGIPKEMFGIAAILRIDLSANNLHGELPDEIGNAKALVYLNLSSNMLFGELPTTIGNCENLEYIGLRQNSFGGSIPVSLGNIRGLEVLDLSHNNLTGSIPMSLTNLQYLEQLDLSFNNISGEVPLKGIFSNVTAVRIDGNPGLCGGPLELHLLACHVMPANSSKKRHSIVQKVVIPLSSILALVIVITVM >Sspon.02G0042820-2D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2D:80960973:80963090:-1 gene:Sspon.02G0042820-2D transcript:Sspon.02G0042820-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCHHLLPVILSVGLVLLPAASAATDDGDQFVYNGFAGANLTLDGSATVTPAGLVELTNGTTHEKGHAFHPSPLRLRGPPPQGSPSSTNGTTTAAVRSFSVAFVFGIVSSYLDFSTHGLAFVVAPTTDLSTALTDQYLGLTNTQDDGNASNHMLAVELDTVQNIEFHDISANHVGIDVNSLASVQSHDAGYYSSSDNNNGSSSSFQNLSLISRDAMQVWVDYDGDATRIDVTMAPLGMAKPVNPLLSHVQNLSAVLAEPSYVGFSSATGPGNSRHYVLGWSLGTNGRSAPAIDVARLPKLPQVSSSSNARSKLLEIVLPIASAAVVLAAGGALVLLVRRRLRYTELREDWESEFGPHRFAYKDLFHATRGFRDTHLLGAGGFGMVFRGVLRKSGVEVAVKKVSHGSRQGMKEFVAEIVSIGRIRHRNLVRLLGYCRRKDELILVYDYMPNGSLDRYLHGSGGQGDGDGDGDDADDVAPTLDWDRRFRVIRGIAAGLHYLHERWEKVVVHRDIKTSNVLLDGEMNARLGDFGLAKLYEHGDHPQTTRVVGTTGYLAPELVRTGRATPLTDVFAFGTFVLEVTCGRRPIAGQTLLVDWVLQHWHGETLVEAVDPRLRGEFSSEEACLALKVGLLCSHPSADARPSMQQVLQYLDGEAPLPEMTRAELSFDMLALVQRKGLHVMSCACSSTMMSAGTISDLSGEDDALS >Sspon.03G0005400-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:15403443:15406160:1 gene:Sspon.03G0005400-1A transcript:Sspon.03G0005400-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLTTTTSSPAALPAATTAAAASSISPHAGSKRPLLAGDDAPWRATAATAAGQGIRPVPRIHHAPVLRVATQEDSAAYALAIMKHPDPIGEGLAMEAFAEAAGPECIVPGQQAPLRLMGLKVWPLDIDMKFLEPFGRELQSMKKFMDKSCSVMDSSMANK >Sspon.02G0058930-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:85061331:85062580:-1 gene:Sspon.02G0058930-1D transcript:Sspon.02G0058930-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding PRELTAFSDFPFFPSNDGTGDARRYPGHDEFLRYIRNFCDAFGLMDVVRLNTKVLHVGLAPPRAADDGANRWTVRCANANSMHGDFEGEVVTTEEVFDAVVVAVGQYTQPRLPTINGMDKWSRRQLHSHSYRVPDSFHGEVVVVDIALELSRVAREVHVSVKSMEGITPGVAKAVSRHHNLHLHLQIECLCEHGQVMFADGSCVVADSIIYCTGYRFSFPFLNTGALVTVDDDRVGPLLEHTFPPALAPSLSFVGLPRLVLVLVPLFYEAQARWVAQVLSGRRPLPSEEEMLRSAEEYHRAREMAGVPRRLSHAIFFDFEYCDEFGEKHCGFPRMPEWKRDLLWDDDMETYRDSYHDDSDLGWLPWTPQDKEVGQDEDDGVPERATTTDTATQT >Sspon.05G0027540-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:58413252:58415372:-1 gene:Sspon.05G0027540-1P transcript:Sspon.05G0027540-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQGTSFLSKDKGPTAEPGKAIGVKRLQTDTSSGPAYHNVYVRRKVESEHSKVNPSQELKGNGRDKAKELETRQGVQHEEANKPQVASPVVESVELVSSESPEKTNAELVPEKTEPPVACSTGIQEEVKRSSVEYWNERFNRLQTYLENCDHSTQEGYLRKLRSLSAARRNMHAIELEKRAIHLVVEEGKELQRMKALNVLGKIHQIGGVPQGEL >Sspon.06G0003180-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:8029882:8033247:-1 gene:Sspon.06G0003180-2B transcript:Sspon.06G0003180-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGKAQLSDSNRRIMELDAPPRRVYQAWKGSNLFFLGGRLIFGPDVRSLVLTVCLIVVPVIFFAAAVCPQLGHEFHSQIGGWVASVAIIFTVYILVVLLLTSGRDPGIVPRSAHPPEPEDIDESSNLPDWPGGQQGSAGLPFTRDVLVNGVSVKRNYRFFFMFVFSTTLLCIYVFAFCWVNLRRIMDMHQCKIGRALLKYPITALLILYTFIAVWFVGGLTSFHLYLISTNQTTYENFRYHYDRRTNPYNLGVGRNFVDVLFSRVPSSKHNFRAKVKDDSSAFMSSLSMGRVLSPPKMSVDLEMGMKRQAVAAEDLEDLHSQIGSAMGLERCGTEPPHFVGRKGCSEMSSDIEAFAEEFGMERGFNERKKIERRTNDDS >Sspon.05G0013150-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:13640833:13646307:-1 gene:Sspon.05G0013150-3C transcript:Sspon.05G0013150-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEQRQGASREGGMGLREIESTLPPGFRFYPSDEELVCHYLYKKVANERAAQGTLVEVDLHAREPWELPDAAKLTASEWYFFSFRDRKYATGSRTNRATKSGYWKATGKDREVRSPATRAVVGMRKTLVFYQGRAPNGVKSCWVMHEFRLDSPHTPPKEDWVLCRVFQKRKDSEQDNGGSSSPTFAGASQSQGVLPDQPSMMDASYVVDQPGSSVGFAPPPQENLNLGGGLDALLMNGAMWQYSSSVFDHFPQQEVTSSPMMGLGSRGGGGDGCSFFYDSGFEDMANIGGMGFPQGWMG >Sspon.01G0040530-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:41436587:41441348:1 gene:Sspon.01G0040530-1B transcript:Sspon.01G0040530-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGGGPPGGGGAPNGGSAQVAGEEERAGSRRWRSCAPPVARIRDGEEGWGGERGGRPDMAAGGGPPGGSGAPGGSGAQGSFEMSHQQALAQVTAQAVHSQYNMINHTDYAIPFSSTMTPALITAQHANSSANLTSAEEKPALPSHTGNSNIESNEVSQGLKTSAPPFDKPADDGYNWRKYGQKAVKGGGYPRSYYKCTHGSCPVKKKVERSAEGHITQIIYRGQHNHQRPPKRRSKDGGGLLNETDDFHENENTPTRSEHEGSNDGMAGPSVSRRGEGDEQLSGSSDSDEERDDEQRAGNGDPGYANANRRHVPTPAQRIIVQTNSEVDLLDDGYRWRKYGQKVVKGNPHPRSYYKCTYQGCDVKKHIERSSQDPKAVITTYEGKHSHDVPAARNVFCFTLCAAAKWAL >Sspon.01G0020540-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1A:76441309:76445385:1 gene:Sspon.01G0020540-1A transcript:Sspon.01G0020540-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRVAGRGGRPLLGGSGGGGKRGGRPSMAVVAALLLACAALLLLLALGALSLPGASDGPGGRGAGLARPRPRSRFRRSTFDSGLEMRGEKGEPWTEVLSWEPRAFVYHNFLSKEECDHLISLAKPHMRKSTVVDSATGGSKDSRVRTSSGMFLRRGQDKIIRTIEKRIADYTFIPVEHGEGLQVLHYEVGQKYDPHFDYFHDDHNTKNGGQRIATLLMYLSDVEDGGETVFPSSTTNSSSSPFYNELSECAKGSLSVKPKMGDALLFWSMKPDGSLDPTSLHGHAPVQIRGHFGTCRGHYAMGPTSHINGLDAPDQGVRSRPKWPLGHLGRRST >Sspon.06G0004610-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:12345691:12348934:-1 gene:Sspon.06G0004610-3C transcript:Sspon.06G0004610-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSDASKKKAAQKKAAAAAKRGAKVPTPSSSSSSAVAKSVDAVAALKLSDRTCTGVLASHPLSRDIHIESLSLTFHGHDLIVDSELELNYGRRYGLLGLNGCGKSTLLTAIGCRELPIPEHMDIYHLTHEIEASDMSALQAVVSCDEERVKLEKEVEILAAQDDGGGDALDRVYERLEAIDASTAEKRAAEILYGLGFNKQMQAKKTRDFSGGWRMRIALARALFMNPTILLLDEPTNHLDLEACVWLEETLKKFDRILVVISHSQDFLNGVCTNIIHMQNKKLKLYTGNYDQYVQTRSELEENQMKQFKWEQEQIASMKEYIARFGHGSAKLARQAQSKEKTLAKMERGGLTEKVVRDKILVFRFTNVGKLPPPVLQFVEVTFGYTPDNLLYKKLDFGVDLDSRIALVGPNGAGKSTLLKLMTGELVPLDGMVRRHNHLRIAQFHQHLAEKLDLDMSALQYMMKEYPGNEEERMRAAVGKFGLSGKAQVMPMRNLSDGQRSRVIFAWLAYRQPQLLLLDEPTNHLDIETIDSLAEALNEWDGGLVLVSHDFRLINQVAHEIWVCENQAVTRWEGDIMDFKEHLRSKAGLSD >Sspon.01G0058720-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:33984313:33985483:1 gene:Sspon.01G0058720-1D transcript:Sspon.01G0058720-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQIQRFARNAKLTVVCLLLTVVVLRGTVGAGRFGTPQQDLIELRQHFVSHPHRALAEHHDARSRASSTTTTTSSSSGRRDDEPDPPPRSLRDPPYTLGPKISDWDEQRAAWHRRHPETPPFLNDIKPRVLLVTGSSPKPCENPVGDHYLLKSIKNKMDYCRVHGIEVFYNMALLDAEMAGFWAKLPLLRALLLAHPEVEFIWWMDSDAMFTDMAFELPWERYGPYNLIMHGWDEMVYDDKNWIGLNTGSFLLRNCQWSLDMLDTWAPMGPKGPVRMRPARYEEMLENYKPGLGDHRWPLVTHFVGCKPCGKFGDYPVERCLKNMDRAFNFGDNQILQ >Sspon.01G0049190-2D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1D:98550531:98550752:-1 gene:Sspon.01G0049190-2D transcript:Sspon.01G0049190-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFVLVVSLPFIFLSILLGFGCYFLGKHRGREEMRTGVGAQIYGTPLPPPGLVGVSSPPPEPFHAKRQGPEAV >Sspon.06G0014380-2T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:62065267:62065966:1 gene:Sspon.06G0014380-2T transcript:Sspon.06G0014380-2T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GMAQSGKLLLLLPALVLLLLVQAPGARPAAASKAKPTSKCAASSVTVSQSNTGDKAGYDPVFEVTVRNTCRCAVRAVYLRSEGFASSVAVDPRLFRREGRDYLVGDGRRIEPAAEVRFRYAWDRAFRMTTATVHDDCS >Sspon.01G0038620-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:19187364:19187840:-1 gene:Sspon.01G0038620-3D transcript:Sspon.01G0038620-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLIRRSNVFDPFSLDLWDPFEGFLFGSGTSRSLVPSFPRISSETAAFAGARIDWKETPEAHVFKADVPGLKKEEVKVEVEDGNVLQISGERSKEHEEKNDRWHRVERSSGKFLRRFRLPENAQTEQIKASMENGVLTVTVPKEEAKKADIKNVQITG >Sspon.01G0018000-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:56130671:56134589:-1 gene:Sspon.01G0018000-2C transcript:Sspon.01G0018000-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFIQSNHSVIMYNNTMISFNMLEAARINGVKRFFYASSACIYPEFKQLDTNVSLKESDAWPAEPQDAYGLEKLATEELCKHYTKDFGIECRVGRFHNIYGPFGTWKGGREKAPAAFCRKAQTSTERFEMWGDGLQTRSFTFIDECVEGVLRLTKSDFREPVNIGSDEMVSMNEMAEIVLSFEDRKLPIHHIPGPEGVRGRNSDNTLIKEKLGWAPTMKLKDGLRFTYFWIKEQIEKEKTQGVDIAAYGSSKVVSTQAPVQLGSLRAADGKEGL >Sspon.06G0015260-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:81258955:81262198:1 gene:Sspon.06G0015260-2C transcript:Sspon.06G0015260-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAVPEDEKAAELAGPLRDLLPPVDFCCAYGSTLLHARPDGTSMVDYILGVADPLQWHSEVLNRNLTISLASPHLTSLLSVCSTNPSNWNCFLVGAEPGKEPRALLPLDITGLADRVGVGVYFNPFVEWRDKRIKYGVVRMRDLAMDVLTWDRFYLSGRLQKPVHVLVDNWDITKVNSINLEMATSASLLLLPEEFTEYDLYAQICSLSYMGDLRMLFAEDKDKVKKIVEGSFQSFQLMYRPLLQEYIAEGLLKTSSHGQYKTFRQDCGPSTTNELFSVLPWTIQSQIQGRHTLHGKEISPRTIVSSKDMAANCVRRALRRRVMVSSVLQAVSGLLASGGAVAAQYLGKKMAKAWQSRVA >Sspon.02G0000500-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:2035210:2038896:1 gene:Sspon.02G0000500-1A transcript:Sspon.02G0000500-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQGTPGGMGKQGGAGDRKPGDGGDKKDKKFEPPAAPSRVGRKQRKQKGAEAAARLPNVAPLSKCRLRLLKLERVKDYLLMEEEFVAAQERLRPQEDKAEEDRSKVDDLRGTPMSVGSLEEIIDESHAIVSSSVGPEYYVGILSFVDKDQLEPGCSILMHNKVLSVVGILQDEVDPMVSVMKVEKAPLESYADIGGLDAQIQEIKEAVELPLTHPELYEDIGIRPPKGVILYGEPGTGKTLLAKAVANSTSATFLRVVGSELIQKYLGDGPKLVRELFRVADELSPSIVFIDEIDAVGTKRYDAHSGGEREIQRTMLELLNQLDGFDSRGDVKVILATNRIESLDPALLRPGRIDRKIEFPLPDIKTRRRIFQIHTAKMTLADDVNLEEFVMTKDEFSGADIKAICTEAGLLALRERRMKVTHADFKKAKEKVMYKKKEGVPDGLY >Sspon.05G0028430-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:67645023:67645459:1 gene:Sspon.05G0028430-1B transcript:Sspon.05G0028430-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SATSINWDTRFKIVKGICQGLHFLHKELVGGPLIHMNLVPNSIWLDDNWVPKIAVFGLSRLFGKEQTRMYTVNVKGHNGYIAPVHSSTLQIHQNWKTDEQIMYNTHYWIQMAFSK >Sspon.01G0009410-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:29611835:29616622:-1 gene:Sspon.01G0009410-3D transcript:Sspon.01G0009410-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKKAAMGRGKPAPGQGKRRRHGPQLSKAMRRELDAMGPGPARGSDDEEGSDEVAEDVYEYEEGVPEEEAGKNGRYDAVAKYEYNFDSDASDADEDVPSEEGEDMEEDDNDDGDDEDKQIRILQETTGMPREAFDGKKRKQPLELPLQHGDGDGPVTIHDLLDNVQGKPGYSKIRKRLQQQEKKPMVVLAPLPKVERDKIERSVTFQKSKNELTKWEGIVKTNREAPVLYFENDTDLGVNTVAAIASEFKPRTEFEKRIAEITRSTEIIEAHKNDGAKILELNKIDVEDVRERQNRLAKMRSLLFRHEMKAKRVKKIKSRTYHRMLKKDKLKAASADFEADPEAVKDHARKQEFKRAEERMTLKHKNTSQWAKRIIKRGLSVQDEGTRAAIAAQLQQNTLLTRKMNSMKDDSSSEESTDDDDEDESDSEAKILNRGKEKILKTLEEDNEIPNSGVFSLPFMERAMKKREEATYEEARQALEEYDDSLKKLEENNTEQNEDSIKVSGKRTFGPVKNTHEEFKKRRKLQDTENSSDSEYDSEPAQHLSNNEVTMKHDDIQLGNALLDDEPQNDLYKSFNDIIKNPGPKTTFEVGMLAGDSWKKVVILQQDHNSDSDSEEEMVEGFLTVSDRKENYELPSQADLIRQAFAGDDVEAEFEKDKMDVLNEENPEPEKPALVPGWGQWTDIQQKKGLPSWMIKEHEVAKRNRQEALKRRKDSKLKHVIISEHVDKKVEKYLARNLPFPFTSKDAYEQSIRMPIGPDFNPVISVSALNRPAIVKKPGVIIKPIQYEEVNPHEKPDEPKRVIQRAIPNRNAKKAFAKQGKRATSQKRK >Sspon.06G0003650-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:9445843:9447212:1 gene:Sspon.06G0003650-3C transcript:Sspon.06G0003650-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDPGASASRHHLSPQQQLGGQPPVPRSPTPLDLASAAAASGYRRLSPSLRPPAHPQARLPSPYGQIPSPGAGAHHARSLSQPLFFSLDSLPPPLPPYADLGAAPPAIPPSPPPSTSADPPPLPFGLPPRRAGHRRSQSDIPFGFAQLSPPLPPPAPVKREVTTAADGCRSDGGGGDDAALYDLVNSYMDLDGLDPLNSSEDRHDDRGSRASGTRAGSAAESSENEAESQSTSVDRKDGGKSRHCRSLSMDSFMGKLNFAAGDESPKLPLPSPGGSLTRSESGSLEGGAVALFDMEFANGEFTESEKKKIMANERLAEIALTDPKRVKRILANRQSAARSKERKMRYIQELEHKVQVLQTEATTLSAQLTMLQRDSAGLATQNNELKIRLQAMEQQAHLRD >Sspon.07G0029830-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:67419498:67439861:1 gene:Sspon.07G0029830-3D transcript:Sspon.07G0029830-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding TNRRLSRLEMGTMVGGCVGPSELHLRKELTALQKARCLQDPDTCSTWRSPLSSRSLVATSRITCNGGVSSNLAPKLNESPCVPPNTDKKRRKVYLYNWRQNSCKSSESGMKIGEEVKQPSGELSLDSPCKCNGVNSKGDAYLDPPASIYNVQSSTSCTPVKRIARRRKGVLSRKGAVRNQDVSKLSDLQVHSGEQSEGTENCNSETLEIFQRGYLSRPTSPLFAACGCVSSSNPSKLLKIGRREGSSFSCTPVSTSSYYRHVRRNTSTVGSWDARTATSFDGDESNQSAVLRSQRSHVPCYASKRRKHRRSEGSNYSPSLSAILRRKGSSLICGSQTMHKKKRSFGSMKWAHSKKSAQGMPLLGNSCDFGSSSFDSSSDELSTNIGELDMEASSRWERWSSCKSQDGMDRSVRSADLAESDLRSLSQKYRPRAFPEIVGQNIAAQSLSNAITRERIAPAYLFQGPRGTGKTSTARIFSAALNCLNTGDNKPCGVCNQCTDFFSGKGTNLKEVDASNRKSINIIKHLLENLPPSAPLSRYKVFVVDECHMIPSKLWSAFLKFLDEPFPHVVFIFITIDPDNLPRAVISRCQKYVFSKIKDVDTVCRLRKICVKENLDVELAALDLIALNSDGSLRDAETMLDQLSLLGKKITPSLVNDLVGVVSEEKLLDLLEIAMSGDTTETVKRSRELMDSGTDPMALMSQLAGLIMDIIAGTYKLADVACCNGSAVGGRITEAELERLQQALKILSDAEKQIRLSSERPTWFTAALLQLGCGHSSDMNQQKSSTQEHHKVANDAMSEIARESSSRTVSHSLSAFGISKRTLDAKTISVHSSPQVLASHSSRLRLNDNLVYGECRSVDRIPLNSNQLNDSCSQQRALVNGISDNLAQVWIRCIENCHSKTLQQLLLDHGKLVSIRQFEGHAIAFIAFEDCGIKSRAQRFLSSITNSIETVLKCNVEVKIGPLAELMDGEIALEAVPNVRRYESDVLSCSSNSDRLKGTLDSRRSLDHPDEVKEELETYKNTASVHERLRLEVPTQTSKESTNDDQRLESAWLQVSEKHTPGLMNQARHNQHQVLSQFVGNQYQRKSSMSLVVPSSHADEDLAHEIEALKIVDSYGSQKHQGRRSENGFAISPSRMHKKDDMVDCDKESMLL >Sspon.01G0045340-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:89588588:89594067:-1 gene:Sspon.01G0045340-1P transcript:Sspon.01G0045340-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAQQIAPVPEHVCYVHCNFCNTILAVSVPSHSMLNIVTVRCGHCTSLLSVNLRGLIQSLPVQNHYSQENFKVQNISFTENYPEYAPSSSKYRMPTMLSAKGDLDHMLHVR >Sspon.07G0011320-2T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:36817461:36819488:-1 gene:Sspon.07G0011320-2T transcript:Sspon.07G0011320-2T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding METATVVVAPAPVAITQPPQHKLTELKSSFAAVAKSSPARKAKPAKKKLAGGCGGYVLEDVPHLTDYLPELKSYPNPLQDHPAYSVVKQYFVNPDDTVAKKIVVHKSSARGTHFRRAGPRQRVYFQPDEVTAAIVTCGGLCPGLNTVIRELVCGLHDMYGVTSIYGIEGGYKGFYARNTVELTPRSVNDIHKRGGTVLGTSRGGQDTAKIVDSIQDRGVNQVYIIGGDGTQKGAASIHEEVQRRGLKCAVVGVPKTIDNDIAVIDKSFGFDTAVEEAQRAINAAHVEAESAENGIGVVKLMGRNSGFIAMYATLASRDVDCCLIPESPFYLDGKGGLLEFVEMRLRDNGHMVIVVAEGAGQDLIAKSMNFADTHDASGNKVLLDVGLWLSHKIKEHFKKKPSFPITLKYIDPTYMIRAVPSNASDNVYCTLLAHSALHGAMAGYTGFTVAPVNGRHAYIPFYRITEKQNKVVITDRMWARVLCSTNQPCFLTHEDVEGAGLDEEEPHIPLVEGENALVKNPSVCNGNGHLCNGAA >Sspon.05G0013450-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:47066326:47069926:1 gene:Sspon.05G0013450-1A transcript:Sspon.05G0013450-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ER membrane protein complex subunit 8/9 homolog [Source:Projected from Arabidopsis thaliana (AT5G55940) UniProtKB/Swiss-Prot;Acc:Q9FG71] MGAECRYEVAQAAYLKLALHALKHPATAVNGLLVGRLVEPSSSPAVVSVIDAVPLSHHPHHLPLLPTLELALTLVEYHFATQGEGLAVVGYYHANPRCDDTELPPVAKRVGDHIFRYFPRSAVLLVDNKKLEEAVKGTSRDPVVQLYTRDSSKSWHQAGSDGSSQLVLKEPSTNVVLADHVTTKKWEIIVDFDDHLDDISKDWLNPGLLD >Sspon.08G0013650-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:55233024:55234565:-1 gene:Sspon.08G0013650-2D transcript:Sspon.08G0013650-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRREWAFGDMDDEDGSLGIRNWGFYDTVKGNLGLQLMSSVPPDRDTKSLLPNGAFLQHHGHHNAPHQLHMQHSQHPRGPAAGGASGGMPTESQPIHMDFSRNEAWLHQSHHQRPCEQKVLHSRSIRPAGHAGHPGHGGHPAHVGHIVHHHPTGYGMISDAQHTLQMMQPQLETQLQEPPPCKEEEAPPPLIEDHSVVNTGPPVKKKQRGRQQNRQPKSPKPKKPKKIAVPLEDGALNGHAPRRRGPKKTVGMVINGIELDLATIPTPVCSCTGAPQQCYRWGPGGWQSACCTTSISTYPLPMSTKRRGARISGRKMSQGAFKKVLEKLAGEGYNLANPIDLKTFWAKHGTN >Sspon.05G0038080-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:32028714:32029665:-1 gene:Sspon.05G0038080-1D transcript:Sspon.05G0038080-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GGALKATVLLRVSLPPRRDRQVHHHTHAPPTKNSQAHRRHRKFPREGKIPSEIQRSKSVRPLGAPPNPSRIPQILAEIPQSSVLAAAAAFPRPGAPPPVAAAAADFHPAGFVSVSLSPRYCRHRLAPPTRHLGSSSAREARPGAPPAEIHSRPEAAALSSSSPPPRSAGTRVAWGGDPPGRGSIPLRFFVRWFLSFRRGISIYIGARGVYISPIFRGFN >Sspon.01G0000060-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:1418255:1437159:-1 gene:Sspon.01G0000060-4D transcript:Sspon.01G0000060-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LVDSGLDGCILVLRVCLNQVLLNAGEVKNLQLQQKLLSDVFRYCLHKTCFATSFCEVLTTIALTDDFLESLSNLLELSVAEKVGVGLVLSDSEDSELKQKGRHFCVSLMVVDIASFVSGQLFSIAQIEELCTNPIQSVSNDQIHEIVVFLHQSDGLSKHMDTLNNVISLLKVTERPTDDDFESLLSEIGKEISMADIVAELGYGCTVDNTHCKEILSIVEPLDDVAVSKLLGAVVGTHSGLGEAHNTYATFVSAIRNSHTNDSPQLTKWNTDVLVDSINELAPSTNWVRVMEYLDHEGFNIPDETGFYLLMSIYARACKDPFPLHAVCGSLWKNTEGQISFLKHAVSAPPDKFTFAHSSRHLDLAGPSQGNHAWFCLDLLEVLCQLAEVGYTASVRSMLEYPLGHCPELLLVGLSHVSTVYNLLQYEVLSCVFPAVLKDPTKHNVVNYLWHTNPYFTLRGFVDAHSDPDCLLRIVDVCHDLKILSSVLDSTPFAFSIKLAAAALRKDYSHLEKWLTEKLSLYGKGFVEECVNFLKATMSDTDYVLEGTTQPQSIVKNIYWESCYVFIKVLQSHPGQLLSDVILDEIRKLCSLYESRNPSSAVKELTSSEGGSDDIEVEANAYFQHMFSGQISVDSMIQMLGRFKESTDKREVSIFNCMISNLFEEYKFFPKYPDKQLKIAAVLFGSLIKHQLVAHLALGIALRGVLDALRKSVDSKDLSDIVTFFYISNCSLMLQTCNADGVIGLQMFMFGTTALEQFMDRVIEWPQYCNHILQISHLRGTHFEMVSAIERALAKISSSQNEPNVGNLLSAEQHVSGSSSMEGIEVSESSWLMGTIPSQLGRPLSSSPLQHRQQGLLGERSKVSMSSLNKSIVSSQPPLASSSADLTINPKTFRCSGCFHFFLEYLYSRFLFACSLHLYIFTADNCSTLFTSFTSSVYQCVNECAYWISASSKYLRQPSYTTGFGTALNIETLVAAAEQRETPIETPPPEVQDKILFMINNISISNMEAKAKEFNEVIQEQYYPWFAQYMVMKRFLNLATQYAAPVRLPTNSTVEDDKVALMMPEQVPSLTQVSPAQTQSPSPSPFSVNQLMAAIPRDEIRFKINPKLGSLGPQLQYSKYASKIMDLALDKANREIILPVIQRSVTIASRTTKELILKDYALESDNNMITRSAHLMVATLAGSLAHVTCKEPLRVALYSNLRNLIQNLMSGTETIEQLIHMLVNDNLDLGCAIIEAVATRQAVELIDVEIAQSFSQRKQRETSGPAYHDSFAYAQGPFARVPEALRPKPGHLSTSQQRVYEDFVHVWNPHSQNVGATGSGLSGGATASSTLGVPRAYSPNSAPVSSSNLSTIHMSGLTSITQPTELGSEESVTGSAQFSSNPAQVGASESSVLVGGTIGAASTFSTLASNDLPASAMTVTTNEISALVPPPSTSAADRLGSILPEPLNTGDALEKYQQVAQKLEALIVNDGKDVEIQSVIAEVPDILRRCVSRDEAALAVAQKVFKSLYDNTSNSTYVSWLLATLVAIRDVCKLVVKELTSWVIYSDEEKKFNIEIIFGLIRSELLNLGEYNVHLAKLIDGGRN >Sspon.03G0005280-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:21325637:21329418:1 gene:Sspon.03G0005280-2B transcript:Sspon.03G0005280-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANPSTGAGADVPAAPAAVRLSAAAQAAVIQPSSPRFFFSSLAGTNPASPHRRIAIAVPVEMQQGRQMSCTLYRRTNLFITMRLRCRKMQKTEVMDASSWRTRKT >Sspon.01G0011860-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1B:39301760:39302210:-1 gene:Sspon.01G0011860-2B transcript:Sspon.01G0011860-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding QSSVVKIGTWGSGDHGSTYDITVAPQRLESISVRHGMIVDCISFSYRDRDGKLHTAGPWGGTGGLSEDTITLGPDEYVTEVAGAIGPFGELTHTVAWHKFVTNRATYGPFGHGDGTPFSIPVLNNGSIVGMFARADQYLDAIGFYVLPF >Sspon.02G0017790-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:54054749:54056015:-1 gene:Sspon.02G0017790-1A transcript:Sspon.02G0017790-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPPAAKEAPPPPFPPAAEEDAMLSATAAMAKEAALAFQGRRYADCAVLLTKLLDKKEGDPKVHHNMAITESFLDSCPDSNRLLKILGDVK >Sspon.02G0030850-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:113143540:113145447:1 gene:Sspon.02G0030850-1A transcript:Sspon.02G0030850-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMPYGTAAEAEAALGRSMTWAEALWFRYSAAMPDLWLMWHIALVYLVVDALAPLPAMILQQLAPGYALRHKLQPRVAQPSLVSSYLRYIRESTRVALAILGPFPLVYSVVFKLFGVRTGLPLPSVWETAMHLVVFTLVEDYLTYWLHRFLHTKWGYENIHHVHHEKTAPSGFAAAYSHGTELSLLAVTIFAGPAIVPCHVTTHWLWFAIRLMEASDAHCG >Sspon.02G0033940-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2C:2105821:2106717:1 gene:Sspon.02G0033940-2C transcript:Sspon.02G0033940-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGEGNNESAEFAPSTTASVLKELSGTREEVERAREAAVQAWLVSMPLGEELERLRANLAAAKNRLASTAAEIPLLKSQIESTNGAIATRQEAAVRKKAAAEDLRRHVDGARAELRRLCAEVAASRGAKDALEQRVLVRRQAARALQLAERAIAAEAHALAWSEAAASELTARARGDGDGDVDDEEDPHYDVVALPARKLEELRRLVEAEERKAEARVEEAEAARRAVKARRAAAVARLDAARAKRRVAAEAVLRRRANGDDGRGTRARSALVPKSRSGRSCFEVKKLRRFLCNLTKD >Sspon.05G0001600-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:4993735:4996245:-1 gene:Sspon.05G0001600-1A transcript:Sspon.05G0001600-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGAGESQEVERLLSYADDLLGVLRVSTDRDDNAQVGAGARRLVSACRSESDDLELQIKEYQEKIDSCKEKIDKAKVETIADDELNALQSKMEEKLQEEKQLREERKMENFEFEKTTPPVQICDELWKKI >Sspon.01G0028460-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:95891127:95899511:1 gene:Sspon.01G0028460-2D transcript:Sspon.01G0028460-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTTSRAAKIPSLHQTEINWDNLDKTKLYVVGAGMFSGVTVALYPVSVVKTRMQVASGDAMRRNALATFKNILKMDGVPGLYRGFATVIIGAVPTRIIFLTALETTKAASLKLVEPFKLSEPVQAAFANGLAGLSASTCSQAIFVPIDVISQKLMVQGYSGHARYKGGIDVARKVIKADGIRGLYRGFGLSVMTYAPSSAVWWASYGSSQRIIWSALGHLHDKEEAPSQLKLVGVQASGGVFAGAVTSFVTTPIDTIKTRLQVMDNENKPKAGEVVKRLIAEDGWKERLCAKVEELQTSVQSQLNPPRKPSKDLFGLRRVGNRSDENEAMNEAVQEAKLLRQVNALIVAHLRSQNLGQAAAAVAAATMTPISAAESVPANQLLRLVAKVPPLSPSSCLGFVLHGPKPSLLVRRASRRRAEEEPPLPHSTQPGSVGWRSRSAPVPWISGKPQPCAPVVLPSGSVFLDVKGSSKSFPKHEVKHVSDHKSAARCAKFSPDGKYFATGSADTSIKFFEVAKIKQTMVGDSKDGPARPVIRTFYDHTQAINDLDFHPESPILISAAKDNTIKFFDFSKTNARKAFRVIQVRYSCTGSLYVTASKDGSLRIWDGVSAECVRPIIGAHGSAEATSAIFTKDERYVLSCGKDSCVKLWEVGTGRLVKQYAGAIRRQFRCQAVFNETEEFVLSVDEQNNEVVVWDALTAEKVARLPSGSTGAPRWLDHSPVEP >Sspon.07G0024820-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:30565897:30571309:1 gene:Sspon.07G0024820-1B transcript:Sspon.07G0024820-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLRTRGFPTPHYSRLPNGQRPRILPSLDYSWWVERAAEGNWRREDDNNLAREEEVERTARGLAVPASWGAFPAVGDATVGTRETAQFGFGFGGGSRDGGRYLSRMFNWNDEQPQVGDAIWAEFNESEDHIVPYPKGAEDSTTRKNNEEEAASIAGITEHSAGVQTGLQGKEKQTANQTSAHFSATRIDMESWPDLPSLNPTLDRNYSDDNIASTYLDFSAEPSLQKVTGKATGRLYGESEVFGNDQEEKSNSFLDCDWGNIGDFDDFDRLFSNSDSIFGNEIVANDSDFLSASSNLMDNAVQSIPIPQLPLNKQPSCDRGPSLLLTNEISSGVTKQENKVANANAKSGEEAEIKIHLTCEFSGKPNQFLQEVEVQKRPVRSHRKPEERGKSKISSSTSGLSQSKVENPSTNLQAPMQPVQTPQYALFQDSKKIGQVQHANQFMFPGYGYAAYPFPTIPLVSNIQAEGYQTKPTGTSYRTLEDSPKQSSSIEMSQDIPSRPVTMTPQEKIEKLRRRQQMQALIAIQQQQQQFGQEGSGSDTMVSQAYSPRNKNPDSLGSSIIIDENANKLDTKTRLCIRDSLLRLAYSAAERQIDGDRSSTNKTKKDEDEASENDASTRRTRSPTKEAETTTNPIDRIVAHLLFRRHCSKVATATKEEIISSTPLILEPERKVPLGTPRVPSEDQRDEQEINQNDSKSSDDGNLLITLWLRRSLV >Sspon.06G0029290-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6C:19060181:19060750:-1 gene:Sspon.06G0029290-1C transcript:Sspon.06G0029290-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALHEDGWDSGSGSSAAPASRLWQAAGGRLTAMGEGEARRSLVVVARPALRCAPDCDGGHRAPGAAHGAPARQQAGWREARQEQSASESGGRRRRSKRCCRRVEHRRVEAELRLHLPARA >Sspon.07G0004980-3C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7C:8197863:8201544:-1 gene:Sspon.07G0004980-3C transcript:Sspon.07G0004980-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glyoxysomal processing protease, glyoxysomal [Source:Projected from Arabidopsis thaliana (AT1G28320) UniProtKB/Swiss-Prot;Acc:Q8VZD4] MEAQEIAAAARHFSAMARIVGPVSLRLRTITSSTPNYLANRRASPLLRRLRFQDPKAVKMRRHAFHLHHSGSTTLSASALLLPRGALAEPPPLLDHICAAHGHAAGDVALTAASLVEPFLVAEQRNNPGEELQPRLVPEVRLDVLVEHELGNTQDGKSGPPRWLPARLLAMVDVPTAADSALSLLRHEEFIRRPTWDVGWSLADANQKQVENDTRSSLESNRNNASIESVDPLMLSKSATKIAILGISTFNSNDARCINVSVMQQRGDPLLIVGSPFGLMSPFHFFNSISVGAVANCLPPCTVRSSLLMADIHCLPGMEGAPVFDRNSCLVGLLMNPLRQKGSNIEVQLVITWDAICMEWNSKKLEEIGCAPRELPNDKNTDSKSMELRHGYNYGRFVSSTVNKINQHCISPPSLREAISAVVLVTVGDTSWASGIVLNKRGLVLTNAHLLEPWRFGRTSPSDLQASFTGEHLNAGENKSLQPQGKFSNEDAVNHKVSLFNLGFKREKRISVRLDHAERQIWCNASVVFISKGPLDVALLQIDKVPIELNTIRPEFVCPTAGSSVYVVGHGLFGPRSGEKS >Sspon.03G0023420-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:89814770:89816990:-1 gene:Sspon.03G0023420-3C transcript:Sspon.03G0023420-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEQNSWLRTKFSHTVYTRVDPRRVAVAPVGKDVVLPFAPLSKDVERKLQKFANIKKSVSMPVDRDDEDTGTAIKHCTSLPLVRSSLQLDRDKVNKPKRASLKIPSSPPMNSESCKTPKARSLVKSPSSMMLLSYLNKAPSNQGYSPQKAYGPRPKPRSKSPLPSIVPSGVFKEAKSSSQRFASPPPQRRGSEKSIYGNSFGRQVSDMGQSPDWCSTPVVSGKHKSQKDNAWTRKYSGGRRVSAVNPADDRRAQMIRMNQAVQTTVDWTLVPSKLLVGHRFASGAYSRLYKGFYDDKPVAIKFIRQPDDNDNGKMAAKLGIHLVAAYKCPPVFYIITEFLPGGSLRSYLNNTENHPIPLEKTISIALDVARGLEYIHSQGIVHRDIKPENILFDEDFCVKIADFGIACEETLCDVLVEDEGTYRWMAPEMIKQKAYNRKVDVYSFGLLMWEMVSGRIPYENLTPFQVAYAVANRNLRPTISPECPSALRPLIEQCCALQPDKRPDFWQIVKVLEQFHSILSQGGCLETPKSGTCQDPKKRLMQWIQKLKPMHST >Sspon.08G0002010-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:4507228:4508432:1 gene:Sspon.08G0002010-3D transcript:Sspon.08G0002010-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAYDGNKSNCSEGVKQLPLAMFAVERNSKKRLLFDVSSRKIRGVSSYVFPDATCAFENRGWLLMIRHKPCHFQEQSAFLVHPSSGKQLNLPVFPCREQGYEGFFVFYVGSHGIPLVVARFEIWSIVPTVHIACPGDTYWSAYKYGVEPPHMSRTTRRLLERTWIADLALLGTQVICVDISGQILIFNITEMTWGRMAPCPKWSQEDHHFLVASHGEVVLVSRPGTMENAFKFFRLDIEAMEWSQLDDRELDDTSWFLCKGQSFRVKDAGRRRVYTFSGPKQWSVPMDSYEQTTNGMAMGSAACFTGTSGHDVGLKSITNVYAYDLDDGTVDMVIPASLVTEVCHWVQPKMYYACIVVNSIELITE >Sspon.03G0008260-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:77510423:77511796:1 gene:Sspon.03G0008260-1T transcript:Sspon.03G0008260-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GPPGLHDRAWQDPRRAGAVRHNRRRRAALQHGRHGAFPRRRLRGQPVHLLPPPAQGRAPPARQVQAPRGAHLRARPCLQPAPPRVPGRRVPGHARRRLLLQHRAGRGGGAPCARLLLLHLGRRGPGLLSVPPGAPRADHGELPGHGGGARARAGHPAVPGDALHPAHHGARRRGLRQLPKEFQRPLPLPGPPRQHVPLAGAARRRDRGRGPLHAPRPPDPAHLLHRAAHQVGGGAGQGRRGVPGVAGRAAQGQRGVPLLRQHRPVQRGADQGGGGRRGGQRAAVPVGGPGPAQRRPGEEVREAAGAGPGRAPPGGVPGPDQGQGPRRQVVGAAAGRAGAPVRGRLRDALRLELGPGGGDGGRADAGVAALRGAAAQPGVPGEGDAAGRGRGRVRQRHGPRGGRGSCCQGAVAHGLRRREEAPGAHAGGHAAGQGRAARGRRVGGHTGRAGGPVETHLT >Sspon.02G0001400-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:1561560:1564884:1 gene:Sspon.02G0001400-3D transcript:Sspon.02G0001400-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAHIVRRRLLDVALVAFAAAMLRAAPAAAEDTILGHKCGMPAPAGAGAGNSSTSSDAAYRSNLNALAATLIAGARANGSAVGAAGASPSSSSPDAAYGLALCRGDFRGDACARGLRDALSSAINDSENAFGCGPPRLRDITLFYDRYQLRLSGADFLSGDAGGGGNAPRWAGNNTNFVAPADAARRFDALVRELVTTIAGVAAGKPGRYATGRSWFEEQRLRLFALVQCTVDMSPDRCRACLDGLISAFPATFPSGQHGGRILVPRCTVRYETDDTFFSTADLSVDLHKQKQAKPSKAWLWTTIAVVSLLLLSASFLLHRWIKLRRKRVLARSELRRLSIAVKNVISLWRLEEGNSGFSLYDFSQMKGATNGFSIENKLGQGGFGAVYKGVLPDGLEIGVKRLGPCSLQGLLEFKNEIQLIAKLQHRNLVRLLGCCIEGEHEKILVYEYMPNKSLDLIIFDSKKGASLDWPKRLNIIEGIAQGLLYLHIHSRLCVVHRDLKASNILLDSEMNPKISDFGMARIFSSSVAESNTTRIAWLLWRSGQWPELVERSLLGNGTYDYTMERHVHVALLCVQESADDRPAMDEVVRMLSSGEGAALPEPKQPAYFNVRPVGTEMSASCDMTISITLSR >Sspon.07G0013870-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7A:50082711:50083577:1 gene:Sspon.07G0013870-1A transcript:Sspon.07G0013870-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPFRPSAPAPSAKGGCGGDRCASGRDAWPLHHFRHEGVFCLLCSSCVLLYSPSAFCAACLHILSSSPPAAAAPPGDPAVAAPGPTAPCSACGVSVAHLSCVPGADRHPFVCPPCAAAAEGRAFSFAPAPGRRPLQERDARVLLVAARLAHDSVSRAAAAAREEAERRVAEAAEARKRSREMLDAAFRALEAEARDAKMKPAAAAQPPPPSKKKPAANLPPPPPPPPKKKPEANRDKDKLLKLNAMQQPALAFAAAAAAAAAATSVPLPTTSFREEKKPVVKQEEAQG >Sspon.08G0017810-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:3024365:3041687:-1 gene:Sspon.08G0017810-1B transcript:Sspon.08G0017810-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRLKVSEGGGPWLRSENSFLGRQVWEFDPDAGTPEERAEVERLRQEFTRHRFQRKESQDLLLRMQLENSEEVTEEILLTSLRRALSQHSTLQAHDGHWPGDYSGLLIIMPMFIFSLYVTGSINIIISVEHRREILRYIYNHQNEDGGWSTHVLRTSTMLGSSLNYAALRLLGEVAHGENDALSKGQAWILSHGSATALPQWGKIWLSIIGAYDWSGNNPITPELWMVPYALPIHPGRFYCFFRLVYMPMAYLYGKKFVGPISPTVLALREELYNIPYDNINWKEARQSCSKEDLLYPRSQVQNIILTCLNKFVEPVLNCWPINKLRERALHNLMEHIHYEDETTNYICLCPVNKALNMICCWVENKKSDAFKQHLPRIYDYLWLAEDGMKAQVYNGCQSWETILTVYAFCSTNLIDEFGPTIERAHEYIKRSQVLKDQPNYQSYYRQRSKGSWTLSTLDSGWNSPDITAEALKVLMLLSKISPDLVGDPIEEQKLYDAIDCILYSMNKGGTFSSYECQRTTSWLEILNPSENFVNIVVDYPYVECTSSVLEAFVLFKELYPLYHTQEIDKCINNAAMFIENKQRKDGSWYGTWGICFTCGTLFAIKGLVAAGRTYDTSSSIRKACNFLLSKQQTTGGWGESYLSSETGNYINGETHAVNTAWAMLSLIYAGQVERDPIPLYQAARKLINMQLDTGDFPQQEHVGCFNSNFYLNYANYRNLYPIWALGEFRHRLEHQEHKEEEEGGIRKGKEGEGE >Sspon.01G0047430-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:103498193:103499796:-1 gene:Sspon.01G0047430-1B transcript:Sspon.01G0047430-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLARASPSPPPLSAAANFPANLERPLRVRGLPSFRAGVATATAAAKPAPSSLGGQKRKQVASVANPLVKHCVKLRLSAAYRRSCRRLLPVGLAPILEVCRFELDAIDYLLLLDGVEVPEALREFSGDVVYVSAAVMKKVSGMQSVDSTEAIAVMHMPNHFRDLGSHEDGDALDGLFSYPKRILVLDGIQDPGNLGTLIRSACAFKWDGVFLLPTCCDPFNEKAIRAARGASLQLPIVSGTWHDLHSLMTKYGMKMMAGHPESSSDASKEIYSLSKELADSLLNESLCLVLGSEGNGLSAETLQACELVNIPMEGTFESLNVSVAG >Sspon.06G0006920-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6A:26192833:26192995:1 gene:Sspon.06G0006920-1A transcript:Sspon.06G0006920-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GSDGKLPPSSPLPLRHHLRPLDCVVRLHCILHTIATLTVNIDPMEDSTSSKPH >Sspon.03G0017790-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3B:79482762:79486088:-1 gene:Sspon.03G0017790-2B transcript:Sspon.03G0017790-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEREGRDAAEKPLLVRVVGSGSGDSQGGSASSSSVAVVVGCTAIAVAGSFEFGISVGYSSPTQLGIMRDLHLSLAEVPTADRAHSWYSVFGSILTIGAMLGAIVSGSIADRAGRRGVPVYISEITPKNLRGGFATVNQLVGLLLIPESPRWLVFTEKLQRLPKSKMLDLFQKDYIRAVTAGVGLMALQQLGGVNGILFYASEVFVSAGFSSGNTGTVAMAVVQVPMVGLGVLLMDKAGRRPLLMEQHWERDLNVLALAGLLIFPINMKGSAGSLVTLVSWLGSWVVSYAFNFLLIWSSYGN >Sspon.01G0021810-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:83537356:83544282:1 gene:Sspon.01G0021810-2B transcript:Sspon.01G0021810-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAQKRPPPPPSPSPSAAPGAGSPPPASPPTAEPAEKKPKLEENGSAGAGAEANGNANDSGVKAAAAAAESSDSESEDADAAKQEYVLGRSSPTVPLLALPLSFPRRRGFLPDACTRCGTAKRPRAHARARSNNECPACRTHCASRRSLRDDPNYDALIAALYPDIDKYEEEELAFNEEENDRNKQIQASIAEAFRKQSEVIGRKSTAKATAAAFVRSRRNIRPNGQNTYFRGRGRANSDDVALAGSEDEEDGNAKRPHLLRRVPREKAETTAKMANATFFTCPGACNDEVASDEKDDVGISRENFSTSPLRAWGKNGTRSQTRHSSFSGSNGRMVKGGRMIKLVECLRNSDDNDGERDVHLCLLPLDGQTAPNLEKSYLCCGPTLSIKQLCQFVASQTSLKDEEVEMYALKPSYSNPVSTNPSGLDKARLAGEERLSDLRSSFTFPNGILELVYAIKVAN >Sspon.01G0049240-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:112206414:112208545:-1 gene:Sspon.01G0049240-1B transcript:Sspon.01G0049240-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPGVVAAALLVAALAAFCGTDPLRMGSMVDFPGFEAHFVELPDPAEMPPHADERERLRGAEVRFRGEVQGPESVAFDPQGRGPYTGVADGRVVFWDGERWVPFATASPRWTQELCGGPKASPLEYLPNEHICGRSLGLRFDKKTGDLYIADAYFGLLKVGPEGGQATPLATEAEGVRLNFTNDLDLDDEGNVYFTDSSIHYQRRNFMQLVFSGDPSGRLLKYNPQTKETTVLHRNLQFPNGVSMSKDGSFFVFCEGSRGRLSRYWLKGEKAGTVDLFAILPGFPDNVRTNEKGEFWVAIHCRRSLYARLMSRHVKMRKFFLSLPIPAKYHYLMQIGGKLHAVIIKYSPEGQVLDILEDTKGEVVRAVSEVEEKDGKLWIGSVLMPFIAVFDLAKAS >Sspon.01G0000120-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:736729:739219:-1 gene:Sspon.01G0000120-1A transcript:Sspon.01G0000120-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAVLIAFWAEEQLIPIFHDKGMRQQALSSYVFIAANVILHSRQSVQIYHLNQLLPPILPFLTSHHHSLRGFTQLLVHSVLSRLWPTLHLETSEDAVFERRCFQELKDYLAENSDCVRLRVSIEGFLDVFDPNASGTPSGIFSSRPEVSDFECVPVSVMERVIEFLNDVREDLRQAIAKDTVTIKNEDLAVGERHNLEKSDESGSEVEKTGQDVLNFQKKITPHRDSEQALNLNTRGHSGIGDENISRLISEMEEDDQVFNLALEARLHAAETIKQSRQELIVVASLVDRIPNLAGLTRTCEVFFHTIIPPYLAYLVGANAIKSRVFRAAGLVVADKSVLQDKQFRLISVTAEKWLPVTEVPVDSVKAYLERKRGEGYSVIGLEQTAHSRPLDRFVFPDRTVLVLGREKEGIPVDIIHILDACVEIPQLGVVRSLNVHVSGAIAVWEYTRQQRNQRYS >Sspon.07G0003720-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:8924333:8926405:-1 gene:Sspon.07G0003720-1P transcript:Sspon.07G0003720-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSSSMKRDISETHDTLRFGINAGVKADLAPPHPLQSTIQSETKFWADKKKFGTDAIYGSALNIRKDLDAQILSRFQRPPAALPSSLLGYEALTGSLDDFGFEDYLNRRPFECLKILTVSVNLTCTTEWRFALVCPRDLSALASIEAVNVVLLHVHLSVQYFSQ >Sspon.03G0021080-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:51281174:51284985:-1 gene:Sspon.03G0021080-3D transcript:Sspon.03G0021080-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLHSDPLRIGSFLAAHLRFREIMAAPLIAGLAVAATALAGRYGIQAWQAYKARPIVPRMRKFYEGGFQPTMNRREAALILGVRETANAEKVKEAHKRVMVANHPDAGGSHYLASKINEAKDVLSGKTKGVFRLMSDPPEFQSSELLNPIPDKLEVLFALVSAAPVELEIICVLLVLESFEYQPVICDELHVGPSNVTLPSAGEIVATGQAEKPLDKEFR >Sspon.02G0016500-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:46838468:46843489:-1 gene:Sspon.02G0016500-2B transcript:Sspon.02G0016500-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHASCDDVHEHVIIVAHGETASTSTSHQDMYSDSDELHQEDRPSTSTQTPSSESSPSISPTAYSSRNLSFPRRDSIYGHGRSPWNSGLWISFEIVLYIAQVVAAIAILVFSRHEHPHAPLFAWIIGYTVACIASLPLIYWRYVHRNRHLDQEPQQPPTTYPTLTPSQSSEGRNHRTSGIVLRLGCIAISCPRLSVLAYHFKTAVDCFFAVWFVVGNVWIFGGRSISSDAQDAPNMYRLCLAFLALSCVGYAIPFIMCAAICCCFPCLISVLRLQEDLGQNRGATQELIDALPTYKFKPKRNKNWGIDHASSSEHLDEGGILGPGTKKERVVSAEDAVCCICLAKYGDDDELRELPCAHFFHVQCADKWLKINAVCPLCKTEIGGVVRSFFGLPFGRRRVDRMAGRGVASSRFNV >Sspon.05G0010230-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:5A:29274894:29275503:1 gene:Sspon.05G0010230-1A transcript:Sspon.05G0010230-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DDALTLTGPYRALAGDVMVFELNLKIIMGDEEAVDQEFSKGLMERKTVTCESHLRTLSLNSCLSKVKMEFNDIPNALEASVEVNILNEESYFHGKITAGYKKNGILLYDSRVAGTETKLGCGGSVSLTRRVVAVQWGSDLVLRFSVPRAKPKSKSIRLEHDEEEWTFKLGTYELQVKIVWTGVLIKHRKNVLKKIGRGVVLL >Sspon.01G0063410-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:115070585:115073580:1 gene:Sspon.01G0063410-1D transcript:Sspon.01G0063410-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPTPVKSLRASAAVLNRSAEQDAAWVREVEAAKERRRQREAAGASTVAGPDPAEAGSEPARVVAPGPVEAEPEALPSAEATVEVATEGRLPMRPRRELHRRRSRRRRRRGSRSHSKTYRCSRWARRRAGPSSLLPEPRRQRRRRLRCRSRGHRWSERRFPSRRRARYRPQRRQREAPAPEVLVAEGPVTADGQAPVVVTLDDAPLDKGKEIGNEAGPSTGPGGTPAASSSSWPDFAALAIARAEEEIPRWGGPPLQFKDAANLDGEPILTLNDRDEVHRWQYLEGVRQHLTRLLSVASDAVSRGMRDATEELKETSRRKSLFIRGESGVWASLSRQRALVEETQKRLADRTAEMAELRTAFSTVKEEAIQAWAAEASMRTEADKAREEAAQARRDLEPCRRGSRSSRRTSPRSAVNVTSSTSRSGRSQPDVRGLRDEVTGLKTHVEELESENREAHSTANSLQSLLEAETQRTRKLEGAITTVCDGLGVGPDADTGEDAPGNSFVRRMAALGHLAREKIRDSLHHGVKRALAVVRSGFVFDMELVADGFITDPERSDAENVDACLDLIEAAEGPGSRLASLFEPEVVPPADDEGL >Sspon.01G0050230-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:122348163:122348357:1 gene:Sspon.01G0050230-1B transcript:Sspon.01G0050230-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLIGRFRTVTVSAAITGCDMTPSAYKTVPGFGILVQSMQSSKHSIFLSRVVHFSTTITRNKLE >Sspon.04G0006840-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:20527188:20527541:-1 gene:Sspon.04G0006840-3D transcript:Sspon.04G0006840-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKAFALFLVVNLVVLGVASAWTPPAPSSSSSGKCPRDALKLGVCANVLGLIKAKVAVPPAEPCCPLLKGLVDLEAAVCLCTAIKANVLGINLNLPIDLSLILNYCGKTVPTGFKC >Sspon.04G0000250-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:849970:857304:-1 gene:Sspon.04G0000250-1P transcript:Sspon.04G0000250-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRANIPTNSSALIAIIADEDTVTGFLLAGVGNVDLRKKTNYLIVDNKTTVKQIEDAFKEFTAREDIAIVLISQYLFILLYHGIMAELIFLPKVQVANMIRFLVDGYNKPVPAILEIPSKDHPYDPAHDSVLSRVNLPGVATKIWKGKKKTENGKAKLSDSTREIIAFLFVRLEHPPFLLHIAVPESSTAPSPPDGTCVPRLINF >Sspon.03G0005570-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:20268343:20274694:-1 gene:Sspon.03G0005570-4D transcript:Sspon.03G0005570-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGVEPEGRGGASSSAAAENFDAGQYAFFGKDPLDGFELGGLEEPGGDGNGGGFGGPDEGLYRLNSVGDETDDLSNLSDIDDLASTFAKLNRSISGIRNPGVIGDRRSISRGSSLTVDWAEDVEFSNWGDQDIFENEEFHESKRWWSSNSSVQQGDSNSRPLSRTSSYPQQPLQHRSSEPIVLPKTSSSTSFPPPVAGGGRSPYPTQGLTRHGSIPSIGAGLQMGSPSMSLSASPYHMVGPSHGLPYTGGMPYGALNLPVNNPVQNDWSNHGNPFTGEHLNLLPNLLHKQLSLPNSPMPSLLFSQHQQRLAQVQSPHQNYLNITPHLLYPHPSAEITGRFDSVGSSHSSRDKRSRSGRGKHSIRFSQPPSDTGSQNGDSGGIKFRSKYMSSEEIESILRMQHSASHSSDPYVVDYYHQACMAKRGATSKQKTNFSPTSMKDIPSKSRSSSDQHTYLQVDALGRVSFSSIRRPRSLLEVDHPSSADGSHDQKATVRPLEKEPMLAARVTVEDALCLLLEVDDTDRLLQSSQSQDNIFQLKRRRQVLLEGLAASLQFVDPLGPSKSSHSSGLALKDDVVFLRIVSLPKGCKFLARYLRLLVPGSELTRIVCMAIFRHLRFLFGGLPSDSSAAETTVALAKTVSSCVHHMELGALSACLAAVVCSSEQPPLRPLGSSAGDGASLVIKSVLDRATELLTDRHAAASYTVPNRALWQASFDAFFGLLTKYCVSKFESIQQMFVMQAPSSGIGPEASKATSKEMPVELLRASLPHTNEQQRQRLLDFAQRSMPVTGFNPSGARGGHITSESVPAKKTIVGVVFSLRRRPPGLDRYEAHTVIKLTQKVVQIITSDLS >Sspon.03G0024290-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:74027100:74030040:-1 gene:Sspon.03G0024290-1A transcript:Sspon.03G0024290-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLLHLPDLAAARPPARRRRGVAVAAAPAGGRVKQGEAGTARGRVTRVADPVREGRVPVPLPPPPLFAAPATPSESPPAAGRRGDEDDEERQRYYLNMGYAIRTLREELPDVLYKEPSFDIYRDDIVFQDPLNTFKGLENYKRLFWALRFTGRIFFKALWVDMVSIWQPAENMIMIRWIAHGIPRVPWDGHGRFDGASVYKLDKNGKIYEHKVHNVAMNPPTKFKLLPVHELIRSLGCPSTAKPTYFEASSQYLCAGPSYLRLAWIRCYISLCRMLSLANLVEG >Sspon.06G0017320-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:75890231:75892388:1 gene:Sspon.06G0017320-3D transcript:Sspon.06G0017320-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTLLAFRLPPLFLLLLLAAAAVAADNANMTEAGAAAKAALVNLKKSFTDPTGRLEAWSASSPFPPCDAASPWPGVQCYKGSLVGIRLTHMNLSGTFDFGAIAKLPRLHSVNLKHNALSGPLPASLGALRGLRALYLSSNNFSGPIPADVFANMRWLKKLYLDNNRITGPLPAEAIASAPRLIELHLDRNQIDGPIPFKLPESLKRFNVSHNRLSGSIPQSVAERYEASSFAGNPGLCGSPGSDAAVCVAAGPALPPAMPPPTAADYMAMEEETSVFVVIGIILLVILLVTGAMVLMLRQDERNSAAPAWNYYAGSTAAAGAGAGAGAGSSKSTAAAAESTTAPRAGEMVAVDVAGGGSSSHGGSSAAGGGRRMGEFVLLNEDFPAFGLPDLMKASAEVLGNGTLGSAYKAAMRNGVTVAVKRLRDMNRVGREEFEQHVHMLGDLHHPNVLPPVGYHYRKEEKLIVCEYMPRGSLLYILHGDQSPNRLILDWQGRLRVAVGVVRGLAFLHERLGIPAGRLVSMDGADFDAPPPPPPHGNLKSGNILLDAGMEPRLVDYGFFPLVNAAQAPQAMFAFRSPEGTTRGVVSARSDVYCLGVVLLELVTGRFPSQYLLSARGGTDVVHWAATAVAEGGEAELVDPSIAAAGRDAAVRLLRVGVHCASPEPECRPSVAEAAWMVEEIGSSGGGA >Sspon.02G0019950-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:65103532:65104362:-1 gene:Sspon.02G0019950-1A transcript:Sspon.02G0019950-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVGRNMVAPLMVLNLIMYLIVIGFASWNLNHFINGQTNYPGVAGNGATFYFLVFAILAGVVGAASKLAGVHHVRAWRHDSLATNAASSLIAWAITALAFGLACKEINVGGHRGWRLRVLEAFVIILAFTQLLYVLMLHAGLFGGSGGYRDHDYGVGGGAAGEPKGAPRV >Sspon.03G0025160-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:94743406:94749360:1 gene:Sspon.03G0025160-2C transcript:Sspon.03G0025160-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLDPSPASAHGDGGGCADAWPFDSLATSMLFSSVSASLQHQPLPANSSSWLTPPSPLWLFEDRHTPPLDAPAAAPEAAVAAAVVEEVQRPRSGNSDTSSKRVEQINHKWQFHLSLDEDGTDNSSLVKEKLTQALRYFKESTDQHMLVQVWAPVKNGDRYVLTTSGQPFVLDHQSIGLLQYRAISMMYMFSVDGENVGELGLPGRVYKQKVPEWTPNVQYYSSGEYQRLNHAISYNVHGTVALPVFDPATQSCIAVVELIMTSKKINYACEVDKVCKALEAVNLKSTEILDHPNVQICNEGRQAALVEILEILTVVCEEHKLPLAQTWVPCKYRSVLAHGGGLKKSCLSFDGSCMGEVCMSTSDVAFHVIDAHMWGFRDACVEHHLQRGQGVSGKAFISHKPCFSKDIQKFCKWAYPLVHYARMFGLAGCFAICLQSSYTGNDDYILEFFLPPDCIDEDDQNALLESILTLMKRCLRSLKVVGDRDSSGASLQISNVLKLENEEFKTDAQFDNSDGSLRESPDGDRHGGAHKFDNGNKRVLDVTEGQLLTDDYSQDNGTSAGRPNGSGASDSSLLHKTNKPPERRRGKAEKTISLEVLQQYFSGSLKNAAKSLGVCPTTMKRICRQHGISRWPSRKINKVNRSLSKLKQVIESVQGSDAAFNLTSITGPLPIPVGPSSESLNVEKLTQSKVADLSNLAVDGDRDSLQKSLENDDHFGIVMAQQGFIDNNNDTQLEADKASHSRSSSGEGSINSRTSEGSCQGSPANQTFVCKPIASTFAEPQLNQEFNKEPFKNHNYRFPGC >Sspon.05G0035470-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:73940558:73945402:-1 gene:Sspon.05G0035470-1C transcript:Sspon.05G0035470-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LLYFSILIAVGNIPYDATEEQLVQICEEVGPVVSFRLVIDKETGKPKGYGFCEYKDEETALSARRNLQGYEINGRQLRVDFAENGRNTDRNREKVDDPQIFTVEEPFLPPLEFSVNMRSCIVFVPVNDNSCALSNHPVSPVSISYKHVCVCTAAGLYIHTLAIPSNPSLNYIVLGRGGPGMASNVDSQKQLAGTSVVGETNLHQPVGLPPAIHAASVMAGVLGGAQTANVQNGLPVQYGLGNDPLTHYLARMSRHQLHEIMAELKFLTTQNKEHSKTLLQGIPQLPKALFQAQIMLGMVTPQMMQMAKSQRPLGSLAQSSSHLNEPYPQPDPMIPVVSRPSSLPTNIPPNPTILPEQTAALHSFPQHQHASQPQVKMFPHGQQSGIAAQSPMLHQPLGGSSSVPTQSLVASVGLISQVQPPFVPQHPGPPVMPTSVQQLPLTHPHLAQVAAATETLPNEIRVADQASHLTEFTHPSKLRKLEDGTSVPGIVNSSHAVYTAPLQAVGPSGPSGGYGAGAVSLQQPGNEGQLTPDVESALLQQVLQLTPEQLSSLPPEQQQQVIELQKMLSAGK >Sspon.07G0026250-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:49672836:49674703:-1 gene:Sspon.07G0026250-3D transcript:Sspon.07G0026250-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTVVDAAPAVVAEEVTEHMLGGKKVTVVFVLGGPGSGKGTQCANIVEHFGFTHLSAGDLLRAEIKSGSENGTMIENMIKEGKIVPSEVTIKLLQEAMIKNENEKFLIDGFPRNEENRAAFENVTKISPAFVLFFDCSEEEMERRLLGRNQGRVDDNIETIKKRFKTFVESSLPVIEHYNSRDKVKKIDAAKPIPEVFEDVKAIFAPYSPK >Sspon.07G0020870-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:78277054:78278088:-1 gene:Sspon.07G0020870-1A transcript:Sspon.07G0020870-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKGGCCLAPRYGAAAAAQQQAGAAWQMGRIMLKFRPIAPKPAAMAPVPAPTPVAGPAAVGAAGRGKRKAVCGGGGGRRGRKPKKAAATPGVVTAAPAPAAAAAAQDAGDCRKHCNKEKSSSSRSSSSSEMTSVDSSPPPGPQQQHQLATLLPLMPVAPPVEDKAAAGAAAEEPAPAPLPSQVAAAPAAGSARPSAPRAMRPAPAAAASLVTVEEVTAMWRDGEAPPSSTACVVGSGADDAAPAFVSDQWGRVTWRNAAFVRAVSADDGDEVETQTPVALGGALPAWGTCAGFTCRVRVRHSGPRVGSSSSVVAPCDVWRLDAGGSYLWRLDLQAALTLGGRL >Sspon.07G0004160-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:10199270:10200196:-1 gene:Sspon.07G0004160-1A transcript:Sspon.07G0004160-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFSEAQEELVIRSWKAMKNDSESIALKFFLRIFEIAPGAKQMFSFLRDDAGDAPLENHPKLKAHAVTVFVMVGHLDLASVFVTAGLYACFCPHLPTAACFDFQACESATQLRSTGDVKVREATLKRLGATHVKAGVADAHFEVVKTALLDTIRDAVPDMWTPEMKAAWEEAYDQLAAAIKEEMKNGAVKEETKDAAAA >Sspon.03G0036270-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:85452243:85457104:-1 gene:Sspon.03G0036270-2C transcript:Sspon.03G0036270-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MWARGPRMWMKWWWVAVAALLAVILPPSNATLSPAGINYEVVALMAIKTELEDPYNVLDNWDINSVDPCSWRMVTCSSDGYVSALGLPSQSLSGKLSPGIGNLTRLQSVLLQNNGISGPIPGTIGRLGMLKTLDMSDNQLTGNIPSSLGNLKNLNYLKLNNNSLSGVLPDSLASIDGFALVDLSFNNLSGPLPKISARTFIIAGNPMICGNKSGGNCSSVSLDPLSYPPDDLKTQPQQGIGKSHHIATICGATVGSVAFVAVVVGMLLWWRHRRNQQIFFDVNDQYDPEVCLGHLKRYAFKELRAATNNFNSKNILGEGGYGIVYKGYLRDGSVVAVKRLKDYNAVGGEVQFQTEVEVISLAVHRNLLRLIGFCTTESERLLVYPYMPNGSVASQLREHINGKPALDWLRNFIKKSS >Sspon.01G0051350-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:14946087:14948952:-1 gene:Sspon.01G0051350-1C transcript:Sspon.01G0051350-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative pentatricopeptide repeat-containing protein At3g49142 [Source:Projected from Arabidopsis thaliana (AT3G49142) UniProtKB/Swiss-Prot;Acc:P0C899] MHASRSHLPTFGESVLRLVAACRAPAHLPSLRAAHARLLVLLHPSHPSAAHASVKLIQAYAACSALPLAHAVLESSSSSPDGSSRTTTVYINVLIRALTAASLHRDALVLFASMRPRGPACFPDHYTYPLALKSCAASNDLLLGLQIHSAVAKLRLDANVYVAHSAISMYARCGRPEDAYRVFDGMQHRDVVSWNAMIAGFARAGLFDRAIEVLNQFVVLQGSMPDAGTMAGILPAMGNANSDDIAFVRGVFDHMQFKELISWNAMLAVYANNGYHVKAVELFMLMEKDEVEPDSVTLATILPPCGELSAFSVGKRIHGIIKRKNMCPNLLLENALMDMYASCGCLKDAREVFDSMSARDVISWTSIISAYGKHGHGREAVDLFEKMLGEGLKPDSIAFVAVLAACSHAGLLDDGKRYFDSMTSRYRIAPKAEHYTCMVDLLGRAGCISEAYDFITTMLIEPNERVWGALLQACRIHSNMDIGLVAADNLFRLVPEQTGYYVLLSNMYARAGRWADVTSVRSVMVNKGIKKFPGTSIVELGDRVHTFHIGDRCHPQSEMVYQKLDELLAKSGEWVEATLHDVEEEDKEGHLSVHSEKLAIAFLLLNTSPGTTIRVTMNLRTCSDCHLAAKLISVITSREIILKDTNRIHYIKLKKSVDLSKTVSFSRTSPPLCTGLIGWEEADDSLEIL >Sspon.02G0019090-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:64341075:64343334:-1 gene:Sspon.02G0019090-2C transcript:Sspon.02G0019090-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISTWSARLLLTPASSRATLCPRRPPATNPLSSSFPRNRLHFARPRPPRAYISAPAPGPEAAYAPPSLDAAAAAADVAAAISSSDAVTWAGVWALLSRHRARIAVCLAALVACTACTLSMPLFSGRFFETLIGRGSEPLWRLLSKIAVLYTMEPIFTIIFVINMTIIWEQVMARLRSQIFRRILIQKMLFFDRHKVGELTGLLTSDLGSLKSIVSDNISRDRGLRALSEARNFTL >Sspon.03G0037500-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3B:99241913:99242137:-1 gene:Sspon.03G0037500-1B transcript:Sspon.03G0037500-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSVGGARHSGIPAAQSRWRRMAVLGQLCDPEDWAHACGGGDSSKRVVQPCAASMPRRCRHGDSGEEGRREAG >Sspon.07G0001220-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7C:1689937:1691082:-1 gene:Sspon.07G0001220-2C transcript:Sspon.07G0001220-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GLRPVTPPASTPLLLWLQGGPGCSGLVGNFFELGPYFVNPDGETLSRNPFAWNRRFGLLFIDNPLGTGFSAAPSPADIPTNQSVVAAHLLAALQSFYALDPTLRARPFFLTGESYAGKYIPAAGAHILDANAALPEAQRVNLRGVAIGNGLTHPVAQVATHADSAYFTGLVNARQKRELEALQSEAVSLTLAERWVAASDARGKVLSRLQDLTGLATLYDYAKQRDYATEAVGTFLNRAETKSALGARGDVAWEECSDTVGAAMHGDVMRSVVPQAESLLRRTRVLLYQGVRDLRDGVVSTEAWLAGVRWDGLRAFLDAQRAVWRTEGGELAGYVQRSGSLAHVVVYGAGHLVPADNGRAAQEMIEGWVLGTGPFGRG >Sspon.03G0040500-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:17924949:17925670:1 gene:Sspon.03G0040500-2D transcript:Sspon.03G0040500-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RMGNCLRPQRAATWADGDEWQDEAEVCTAAVEEKKVEVKIRVTRRQLQELLEKAGCGGGLGDGGKAKRRQVEEVLAELMTSGRVCYQQQEMRRHWRPALYSIPEAAEDRVPILQYSTLLPGASQCGRYVCSIAHLT >Sspon.03G0020910-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3A:64655880:64656682:1 gene:Sspon.03G0020910-1A transcript:Sspon.03G0020910-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATNELSLKLLIDTKAQKVCFAEAGNDVVEFLSTLLCLPVSTITSLLTKERMVGSIGNVLDSLQELDAKYVISSRSKERYVSPAVAPSVLHPLQLLLDAPLNASDSFFTCRGKTDGYGRLAAPACGYFSAIKGTICPSCSNSMNVAMRHVKADGLVAGTATYTVKDDLSITPASSVSSIALLAQSGVKDLSTLHERIVKIGKEEVAYLFIILLVLEILLASLKSKTVLTDVFLQKKKVRCKKETAA >Sspon.02G0020010-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2A:65241017:65242399:-1 gene:Sspon.02G0020010-1A transcript:Sspon.02G0020010-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAAVVSLNVGGELFQTTTATLSRAGASSPLASLGPSSPSAPHFLDGDPRLFAHLLSFLRHGRLLAPSRPSAALLAEARYFALDGALLASLSPASAFAPLSLRPSALLPLTGRVAPSAVALCPSPLHPASLVAAHGGVVTCFDAALASRNSVLTPLPAVDSLVAVSPALALAGARDFPGVHLCRFSDGASAASADPDVLSWPGSPSATVLSMATATASGAPSPPWLFSSFESARRNSSAVVVFDLNSLSPVAEIGRKEVFGADVEAAIPATKLAWLGGHSLLLAAGSHSGPAGVVGDIRLWDVRASATVPVWEVREKEDCFADVAASDALSAVFKVGALSSEVFMADLRRLGDGGGIGLEPWVCIGDGQKAAAAASSGRKERNGCRIECYCSWVFVARGADVEVWSQVELAPEAGGKKVMRRNWVGSELSTKPKIVSWAFGGSRMALARADKLSVEIWD >Sspon.03G0006960-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:34519752:34521542:-1 gene:Sspon.03G0006960-1P transcript:Sspon.03G0006960-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMVTSWSYSPMSQTLSAIRTSGSKLLTPKLAALITLLNVLKPLFRFSLLVV >Sspon.03G0005360-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:15307236:15311568:1 gene:Sspon.03G0005360-1A transcript:Sspon.03G0005360-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDDRAMANVRVDGAPAEATLGDGELRLRRGAAGGAGAERALSLEREVLGVQVRGKEVVVRAFVAAAAARALSCAGAATAAGGKRCRRDFVLEMADGEGAAVAWGEKLTRCLDSFGRPKRLFVFVNPFGGKKCARKIYDTEIKPLFEAAGVSVTVQETEYQGHAREVASSLDLADYDGVVCVSGDGVLVEVVNGILQRTDWEEAIKKYSVSNAVFAIIKGYFSNFFPPYHAQNYMTHGDFQWRDGNMNFLVFRSQALLRCLVADIDIESEKYRWMGSARFDFYALVRIMNLRKYCGSIQFVPAPGYEYGEPIKQVKNSIVESLEQNGKSHRSSYPGPSVEFQASDWRFIDGPFIAVWVNNVPWAAEDIMAAPEAKFSDGYMDAVILRHCPKADLLALLLKMSDGSYVKSPYVTYLKVRSFQLSPGQLVENQKRGGIIDVDGEVIARGKGTYGKNEHQDVMAYGHPIQLTVHQALATIYCPDKIR >Sspon.01G0049270-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:112263174:112272573:1 gene:Sspon.01G0049270-1B transcript:Sspon.01G0049270-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polycomb group protein EMBRYONIC FLOWER 2 [Source:Projected from Arabidopsis thaliana (AT5G51230) UniProtKB/Swiss-Prot;Acc:Q8L6Y4] MCLQHSRARLSPDEQLAAEKRQVGQIIGEDSYSGNDVERSSLQKLEGKCSWGKISTNVLASSLEKCVTLSTGDAVDLASTVTMNPSFLEPKFLEQDSCLTFCSHKVDATGLGCHLNSTHDLFHYEFWISEECQAVNVSLKADAWKTESTTAAKAPVLPFTQGTGEKTTPTVFYVMGSQQAAAGPIGPSVSSLAVKLGQGCLATKLGLGSSRSSSILVHRSAPHPLSIATTTRSRNHHQPPAPSEKNPHRHVQHHFHRRPPEPEARPIPLLSSPPLVTIADGPDSHQDQHLLYYATEEGAIKLSSSRASWVSKGGGDVMGSQQAAAGPIGPSPMSLEQVFSDHDSEDEVDDDFADFEDRRQMLDDFVDVTKDEKLIMHMWNSFVRKQRVLADGHIPWACEAFSRCHGEQLVQNPALLWGWRFFMIKLWNHSLLDARAMNTCNTILQGYQDGSSDPK >Sspon.05G0022310-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:3096435:3101335:-1 gene:Sspon.05G0022310-1B transcript:Sspon.05G0022310-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRCCPCPCASASSTTAPFLLPPIPASSSRSGGGGAFSAAVGRSRLRCGGGPRRGHVTVAAGSKDDGSGTAEGEKGEDDAPAFNPFGFVTDNPSSRSAIQLPAVPAEDGNVGQMLYRIEDKGREYGSYVRAGEFRWFVRETGSPDARRGTIVFLHGAPAQSFSYRVVMAQMADAGYHCYAPDWIGFGFSEMPQPGYGFDFKEDEFHKAFDDLLGTLNITEPFFLVVQGFLVGSYGLTWALNNSSKVLKWPLFGEFTCQNAVLAERFIEAALLEAARKIDFQDVLSRISAGFSSNSWEKPILLAWGISDKYLPLSIAEEFKKANPSVVKLEAIEGAGHMPQEDWPEKVVKALIYFL >Sspon.01G0026010-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:91046842:91050398:-1 gene:Sspon.01G0026010-3C transcript:Sspon.01G0026010-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding PVMEPLEVAITIIFDALLLVFMVKLFFAMFQMKLVVILFYLVILLFAMAFSGRVVGGAVEVEEEREQRRVEDSVEHPAGHPLAAASGEVEPQHYHGGGEAEEELHDLQLRDGALPAVGPAHPHAAGEVVGVHDDVHAGVGDERDGHQGLARGEPEVAHGDDDGVVVDVEQRERAAGQQDEQRVAELVHLGEVEDVRPEEDGARRLRGARGGKQKGHAHRGAAAAVESAHPAAMAAESASRTALWAAEAARSARGGSGGRRGRTRRRRTSTAA >Sspon.03G0040690-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:20660809:20661635:-1 gene:Sspon.03G0040690-2D transcript:Sspon.03G0040690-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLTPRLVVPIDVKKQPWEQKVPLHNRWHPDIPPVADVTEGNCSVLRCGGRVKDDNSADDMKFMDFTIAHYLSGPLRIVDSEGIPASPGDLLAKSATLAHFLAMSGVILQYLKGKGGGFLTDHFPSARKAIWYFEGIYAYSPQIPGVRFPGITHPGVVELHHQLSFLIYGMKEKRLSETRPETIKLCEVLHQRPLVPLPTLDNCLLGKA >Sspon.02G0057980-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2D:68834875:68836626:-1 gene:Sspon.02G0057980-1D transcript:Sspon.02G0057980-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLVACNQDQEKGFWDRICKLLPEVSVTAGAVEQETSLNLDGVIRILDCCYNNLPGYLKTCLLYLAIFPKGWKISRKCLSRRWISEGFVSEKQGLTAEEVAESYFNHLLRRKFIRPVEHSSNGKLKTFQVHDMVLDYIVSKAREENFITVVGGHLMIPASSNNKIRRLSIQSSSSKHGDSTNGKNLSQVRSLTIFRSLTQMSFQTFNNRIIQVLDIQGLKAFKNKHMNYICEMLVLKYLCLRGTGITEIPSRIGKLEYLETLDIRETDVEELPKAVQQLKLISSILGGNKNPRKGLRLPQEKNRKQPKSMLAQDKEKEGMIALRILSGIEINEAIAIEGLHRFTGLKKLTIYNLNIVEGDNKMEIFKELLSSIEYLCSCGLQTLSINDKDSQFINKLDDMSAAPRYLIALELSGKLKKPPEWLSNLQTLNKLTLPVTVLRTDTFKLIHALPLFSLTFLWNAENQDENITKTLEENKSQSDGEIIVPQGFKSLKLLRFFAPRLPKLGFWDNAMPALEMIEMQFQAFEGLFGIDTLENLKWVRLREAKLGERDKEATEINKLLVDNLKDSTQELKVIVDHTFTS >Sspon.03G0040220-1P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3C:27960650:27961099:1 gene:Sspon.03G0040220-1P transcript:Sspon.03G0040220-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEELVGVTACVLGDMASRPNFGLNELDFVFSTLVVGSILNFVLMYLLAPTAGVAAAASSAAKALPSHMFEPGAYSLGSRVATIMSKGATFAVVGFAAGLAGTAISNGLIAMRSAWTHRSRRQQGAAHAAQRRYLGAPHGCQQQLAATRR >Sspon.01G0019830-2T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:80013951:80015136:1 gene:Sspon.01G0019830-2T transcript:Sspon.01G0019830-2T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RHDPLDLLHDLGLHAGVPAEQPEEPRERHRRGIAPGQDEVDADVAEELVRVRAPVLVAHLGEHGEQVRPAAPGRGLGGELLLAPADDVVRELVDAGQAALEHALVPDAERAHRAPHRGGRDEADGGGLGGVLERPGERDLGEARAEAARVDAEGDHADVVEREAEEHVLEVDGAATGLGIGEHGEQPAVHELDERAGRERAELGGVELEAGRLALPPPHLVVGAEDAVAEEVPHGVAE >Sspon.01G0047650-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:102715915:102721628:1 gene:Sspon.01G0047650-2D transcript:Sspon.01G0047650-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRCFPFPPPGYEKTARPDAQLASHLQQQQQLDKEKQKEKKHKKDKKDKDRKEGKEKKDKDRSKDKHKDKKDRKEKHKDKKKDKSKDKSRESGEGIDRNDEALGQKVGESSRGSEEIKDLKFREDLVRKVQDEKGAASRPIENYAVSNDRNRKGFSASPAMETERSALNKVHIQPSNASRKNEGLVQQSINANQQKNGTSIWHSESFTASAQRTAGGFPPAPTTEEKFKAARPSSSTEAAPRKEGIGQRISNISILVQKRTENTNKDVAKKEVGTSSPLPPNPANAMHKGNGKVGRPMENTQASMQRFGSPSTSSAAGGMDRGTPRSSIPSPSITIRRPNGMVRPAENLSVSAKKPDAGGLSPAMAVEKAADGRAERMEKVRDGAPDVAKKEDKKSDRHEKKKRKEKDKHKEKKKEKEAKKEKGEHNHKEHDKTRENNISYPIDSLNLKPSAPPLVPAVDDGKSVVPDENLKKRKNHETNGYLQNNLDMRPTKLPRPALPSNHVENGTASHVAAPLSSVKLEAINIEKAERLHKEEKVNGNQQAQRAPVDPVAAYENGTTSRKSPHPTASILVRYTAFLKHHK >Sspon.03G0026130-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3B:1959908:1960330:-1 gene:Sspon.03G0026130-1B transcript:Sspon.03G0026130-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MWSSIPGVVGCTRASSAPSMANWVGGREMCVGGLRPGCRELYASELHIRPQRARQAEAEVFLAADQASGSPWWRRKRSSSPRAGGIARPVELVRTRLAAALDARTYADGGGSYADVGASGARAYVTEGRAVWWNRCEWGR >Sspon.02G0039430-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2B:53507799:53508074:1 gene:Sspon.02G0039430-1B transcript:Sspon.02G0039430-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSRGGMRVCSRGTGICWRQRKGPDRRRTPRLAPAALALDSPSGCADFLSNSLDSPPGCAISGAGALLTTSDKSGGRSWPLVCEERKGAE >Sspon.07G0034710-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:76849341:76850726:-1 gene:Sspon.07G0034710-2D transcript:Sspon.07G0034710-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSPGPARSARAPPTPTCTVPGLLVGLTKFFSLTKVCAAPTPASDDGDGKAAATTKPRHIPDPDPGPDPRLVLVRLFDAVSALKSGYVKLQRAHFPYDPDKVASADEAVASELNSVAALQYLCTSRRGIGPLVDDRWAQAQRLEAQARRRDADIAALARELRRLQRDNARLSRQVVRSRNENDRGRALLFVPKELATPAALVSQFVAASRLVGDFAELLLGGTGTCSLTAAAAAALSPEPCAVSDDADAEQARSWRRYSLEAHLWRAMLLVGGAGAGDEECCGGDAGSSFRRIMKPRDALDALMQFPRSGLSAFCRAAYIAAVPAEAEAAACGNLDHRAFVSRGGHPRTPLYRAFAAAARSVWALRVLMTAVARCSESESESGQGGGVRMFYAGRGSPYAAEFMESVPALGAEEARRVEAGEEEKLSVAFTVTPGVKVGDTVVPCRVLLCRRREGFVRIR >Sspon.02G0046300-1P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7C:21948432:21950436:1 gene:Sspon.02G0046300-1P transcript:Sspon.02G0046300-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTSGIGSVDGHRPAANGPASGVPGCVMSSASTGGAPPPRDATLGRHLARRLVQVGVSDVFAVPGDFNLTLLDHLIAEPGLRVVGCCNELNAGYAADGYARARGVGACAVTFTVGGLSVLNAIAGAYSENLPVVCVVGGPNSNDYGTNRILHHTIGLPDFSQELRCFQPVTCHQAVVNNLEDAHEQIDRAISTALRESKPVYISVSCNLPGLPHPTFATDPVPYFLAPRKPSNLMGLDAAVEATVAFLDKAVKPVMVAGPKIRVAKAGDAFVELAEASGYAVAAMPSAKGLVPETLPRFLGTYWGAVSTAFCAEIVESADAYLFAGPIFNDYSSVGYSFLLNKDKAVVVQPDRVTVGNGPTLGCVMMKDFLSALAKRVRANTTAYDNYRRIFVPDGQPPECEPGEPLRVNVLFKHIQRMLTGDSAVIAETGDSWFNCQKLRLPDGCGYEFQMQYGSIGWSVGALLGYAQGAPDKRVIACIGDGSFQVTAQDVSTMLRCQQRSIIFLINNGGYTIEVEIHDGPYNVIKNWDYTGLVDAIHNAQGRCWTARVATEEELTAAIATATADDNKDCLCFIEVVAHKDDTSKELLEWGSRVSAANSRPPNP >Sspon.02G0031760-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:116361244:116363254:1 gene:Sspon.02G0031760-1A transcript:Sspon.02G0031760-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQSIRALCESLSSLLNHAESSSRELADAVSQRPIHLDMARTSFLHKLEYRAEAAAADLQHIESIALGTVSFEELLGHCGEALNVYARHASALQSHLASFGYEPAVEDGNVGKVEDLGDGCLSVSRSVLRSGKRRFDDDNDAIFEGSLKDLGFSDACLATLSSEGANYGVSPKKLYKNPESTDYGEKIMNEAEIMTPQNERNGQGNSFKEVIRASKEEYEQLPSYMKSLSSWEELQEGISKLNSYFGGDKAQGSVALNQDHVGEIGLGRKGRACLLMLLRLNQLTMETVDGSTFYNLCKNN >Sspon.03G0022390-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:91049153:91049614:1 gene:Sspon.03G0022390-2B transcript:Sspon.03G0022390-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GHAAAARSTSCCSWRSPRASRARRTARATARATSRSSPPPTTSSRCSCAASPSSSSCGPTRRRGRPAPAGQARRVGRLRGAERHVRFARRRHHADAAAETGSVGRHRRVPRPGAHLLLCCHDADRPAEPERGQEDSGSRPAKASRELSPEEMA >Sspon.07G0038000-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7D:66401481:66401708:-1 gene:Sspon.07G0038000-1D transcript:Sspon.07G0038000-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLPVKDEEKGVTTSPGRQGRMRASLARLRRGRARRIETGARRGWRAWPQTRKRGRVASVAGLRDCRSAAWRQQ >Sspon.03G0022350-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:67989720:67993362:-1 gene:Sspon.03G0022350-1A transcript:Sspon.03G0022350-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AVTVHSIELSEASHGLIHPAVSNKPSKRTKAPGRPRFLQFLLSPLDPNAGTGEKGTEPDLAALGIKKRRLKIPPSLVASIDLTAAARASSFRSPPHSDEFCHCQGCAGKYTLLRDEENPRLAIFERRLPCCGCGIGWSSFLVSFDLPLFTAASTTTRILESALALIFTVLATIALSVALIICVYKRGKAMAKKQLAGPV >Sspon.08G0004910-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:11866429:11868660:1 gene:Sspon.08G0004910-2B transcript:Sspon.08G0004910-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding TLNATSPTRATGRQRKHSSVLKVRCWVAAHHKHNSNAQQFQAIGIGIAKILHAFYQFCRPHTIFGTIIGITSVSLLPVKSLDDFTLTVLWGYLEVLAAALCMNIYVVGLNQLFDIEIDKVNKPTLPLASGEFSVPTAVLLVVSSLVMSISIGIRSKSAPLMCALLVSFLLGSAYSINVPLLRWKQHAFLAAFCIIFVRAVLVQLAFFAHMQQHVLKRPLAPTRSVAFATYFMCCFSAVIALFKDIPDVDGDRYFGIQSMTVRLGQQRVYRLCINILMTAYAAAILVGASSTNLYQKIVIVTGHGLLAFTLWRRAKQFDIVNKECITPFYMFIWKLFYAEYFLIPFIGEL >Sspon.06G0027760-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6B:89194650:89198634:-1 gene:Sspon.06G0027760-1B transcript:Sspon.06G0027760-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELAASLVVAPLVSLVQEKVSSSLLDQYNVMEGMEKHHKTLMHWLPAILDVIAGAEKQASRRGMKKWLDELKMAAYDANDVFDEFEYEALRRQAKKNGHITELGIIAGVKLFPTHNRVAFHVRMGYRLRMIVDTFKDLVDEMNTFGFNKLEVETAPAWKEWRETDSVIVDPKNIVCRSRDKERKKIVEILLDHQASSGGDLLVVPIVGMGGLGKTTLAQLIYNDPQVKEHFQLLKWVCVSDDFNVCNLANEICNESEKKLEKALNKLQELLRGKRYLLVLDDVWNKDVDKWGKLKACLKQGGVGSAVLTTTRDKEIAEFMDRCVGSPLAAKALGSILCNKTTKEEWEDILHRSRICDDDETGILPILKLSYDDLPTDMKQCFAFCAMYPKDYQIDVDKLIQLWMANGYISDQNKLPAETMGKRIVNEMVSRSLFQYEEQRRIGYSSTAFVKIHDLMHDVALSASEKECVCITYEFFRTGCQFLSRLPEQMKHMSALRHLYTDGCTELECMPPELGRITSLQTITWFVVGSGLNCSSLGELKDLNIGGSLMLKQLENVTGRRNAKAANLENKKELRQLSLEWTSGKEEEQQCHEVLECLQAHDGLLALEINSYQGTSFPSWMGMLKSIVELRLSNCSKVERLPPLWQLAKLQLLLEGLGKLQVLCSNCTSSTFGKLKDIKLVNLHDFHGFCEAMHGCVVAFPQLEILHIEGCKNLAALREASVLREPYGGGDYIVARSAFPELKKLTLKDLCSFERWEAALKIEEEPALFPLLEIVFIEKCPKLTTLPRAPKVKELVLHEANQQISLGGIRYMTSMSSLSLAGVKLDGKEKWDYPSSVVDMKLYRCSLFFQPRALALWVCYGQLQDLTINSCDELVYWPEKVFQSLSSLRRLRIGHCNNLIGYAAENVPEQATSGDSSGDVIR >Sspon.04G0019730-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:78331061:78334065:1 gene:Sspon.04G0019730-3D transcript:Sspon.04G0019730-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKVHTPAAAAAARNDDEGLKTSWPEVVGWVTLNAAFQINSDRPDVSTAFYSDTTPLPPDYDPKRVIIIFDIRNVVVKTPELVIPLPCVCFDSTDTNLPTVYLSYVLQSCSVKLLKFSSDRARMFSGGLEGVIVVWQLDTGKILKHYTLDNPAGNSRMGKAHTPAAATAARSDDEGLKTSWPEVVGWVTLNAAFQINSDRPDVSTAFYSDTTPLPPDYNPKRVIIIFGVGNVVVKTPVVGLAQNASIPD >Sspon.02G0032230-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2A:118013548:118014051:-1 gene:Sspon.02G0032230-1A transcript:Sspon.02G0032230-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSSSILLLLLAATSVACASASTFTIRNNCGFTVWPAATPVGGGRRLDPGQTWSLFVPAGTSSGRVWGRTGCSFNGNSGSCQTGDCGGALSCTLSGKPPMTLAEFTLGGSQDFYDISVIDGYNLAMQFSCSTGVTLNCGSSSCPDAYLFPNDNTKTHACSGNSNYQ >Sspon.02G0045880-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:112600342:112600731:-1 gene:Sspon.02G0045880-1B transcript:Sspon.02G0045880-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding NGGAGAEVRGAEQAARQRPAVATRRGSVLLRGSPASAAQGVPRGAPGVPGPGAEAHRRAAHGAAAAEQPAAPPTPPPRGRRGGAGGAAAARARRRYWRAAGVRRAGAVVLVPDGRRGGAGGAAPARRFPL >Sspon.02G0045680-1T-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2C:104243993:104244247:-1 gene:Sspon.02G0045680-1T transcript:Sspon.02G0045680-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKSVVLPATVVIAMVLLFAASTQALPYGALPDDLAHGDDVLTNQFHHGAFDLNQAGATFPVPQEVTGFALDGERPDLTIDANA >Sspon.03G0012210-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3A:33794627:33795605:1 gene:Sspon.03G0012210-1A transcript:Sspon.03G0012210-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLFTEQAAVYAAARPAYPKDLFAKLSAFTAHHRRAWDIGTGNGQAAIGVSMHLKSLLLSVKQRLQFNLFMFNVQVAEHYDSVVATDASAEQLRHATPHPKVRYLHTPDATPGEDDLVAMLGGEGSVDLITVAQAVHWFDLPAFYGVARRVLRRPGGVIAVWGYNYRMSPVEDMMARFFDTTLPYRDPRARYNTAKPDQLTYCTDGYRDLPFPFEDIGLGKEGEPASLDMEQEMSFEGLIGMLRSWSAVTTAKQQGVDLLGERVVKELEEGWGGASLVRKVTYKGFLLAGTPGPVADE >Sspon.04G0000520-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:2134794:2136394:1 gene:Sspon.04G0000520-2C transcript:Sspon.04G0000520-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLRRRAGRAIAAAPGGFLHLSLLASLRRRPSLQAHAQLLLLGLPLPPAAASRLLRPHLRAGHPLASLRLFLRMLRDHQPAPTCATASPEEGAVPDSRSLSAALAACSRLVSPSAGFCTHAFLLKSGFASDVFVANSLLHFYASFGLHALARKLFDEMPARDTVSFNTLIGSYVQSGCVERALGVFRNMVEGGFRLDEWTITALLGACAGLGDLMVAKAAHGFASRALRHTLFDSAEVVIGLVDMYVKCGAVQFARKAFNLFGEKAKDARVWSVMVSGYARAGEVDMARKLFDEMPNKDLVAWTVLIGGYVQAGRYKEALRLFEEMEATGLEADEVTVVTVLSACVQHGAIGLAKRLHRRVNQNGLGSRNARVATSFVHIYAKHGCIQTAMDVFRGVADEFKTVELFNAMIHGLAHHVMYGVKPNVKHHACMADLLGRAGRIDDAYNFIQNMPFKANPVVWSSLLTACKIHGNNKIKNLVERQIL >Sspon.06G0006030-3C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6C:19045573:19046033:-1 gene:Sspon.06G0006030-3C transcript:Sspon.06G0006030-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LLLATKSAVSAAASITGGIRAALLHAMNTDITASTKPEYPVVDRNPAFTKVVGNFSALDYMRLSTISAVSVTVGYLSGIKPGIRGPSMVTGGLIGVMGGFMYAYQNSAGRLMGFFPNDAEVARYKYKL >Sspon.03G0035860-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:48853464:48859616:1 gene:Sspon.03G0035860-2D transcript:Sspon.03G0035860-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSTPAAGGRFRRGGLPWRLRPRSCLASPPSSSGGGGEPEKARPLLAERYRDGVVKRYISDGNSKLQLHLEKHESPVNAVEDENADSLIPQAIWDFVLPAGFPVPNKCDRLDLSCIGDIKSFEGVGSFTGTSAAASAAAIRWVSKDGIGAFGRLLIGTEDLWMASLGGRFGALFDDDPKKWRMYADIIGSAGSIFELMTPLYPGYFLPLASLGNLAKAIARGFKDPSFRVIQNHFAESGNLGEVAAKEEVWEVGAQLLGLSTGVLIMDTAGVKSSYLTLALTWLSVRLLHLWLRYQSLSVLKFRTVDRLLKLYKNEKYILFFEQFGSREPTFLVTFKESATSMSVLRSLWQAHWLHKNQLRQEEDGFTDFLEQMGSAGWDQNLIILKVPKEPVLVLEHLDQE >Sspon.03G0006530-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:33301860:33305979:1 gene:Sspon.03G0006530-3C transcript:Sspon.03G0006530-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DRP5A [Source:Projected from Arabidopsis thaliana (AT1G53140) UniProtKB/TrEMBL;Acc:A0A178WBH9] AASSLSSPAASRTPNLKSAPGPSPSPSTRRTAADAASAAAAADSKARFEAYNRLQAAAVAFGEKLPIPEIVAIGGQSDGKSSLLEALLGFRFNVREVEMGTRRPLVLQMVHDPTALEPRCRFQVEDSEEYGNPMVLATAIADLIKQRTESHLRKIQAAVSSKPIVMRAEYAHCPNLTIIDTPGFVLKVKRGEPESTPDEIRSMVKSLATPPHRLVLFLQQSSVEWCSSIWLDTLKEIDPTFRRTMIVISKFDNRLKVFTERWEVDTFLSASGYLGDNIHPFFVALPKDRGTISNEEFRRQICQVDIDVLRHLRDNVKGGFNEEKYGSYIGFSCLRRYLESELQKRYKEAAPATLALLEQRCTEVSMDLTRLDSKLQATSDVSQLRRLAMLHAASICTHLVALLDGAADPAPEIWGKTTEEEQMHSGIGSWPGINMPVKPANSSLKLYGGAAFERVMHEFRCATYSMECPQVSREKVANILLAHAGRGGSSRLTEAAAEIARAAARSWLAPLIDTACDRLAFVLQSLFDLAMERNRSKDSQCNQNVEDMDSYVGFLAALRCSYYKFVKELSKQCKQIVRHHLDSVTSPYSHICYDNDFLSGVGSVANSMSRFNHFTGVTSFDLADSGSALEEAQENLPPRDQQHMTPPNKGNESKEVLRESQLTVPETPSPDLPSDIHGGKKKDNGIPNDGGPRKRQARMAAYTNRNHHNSMIGADDMGSKSGSSYSTICAISAQYFAKMREVLIERNVPSALNSGFLTPWYERLFLALGFELFAVSDDKFMDMFVAPGAVDAIQNERQSLLKRQKILLSCLNEFKNISRAL >Sspon.01G0020560-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1A:76452256:76452569:1 gene:Sspon.01G0020560-1A transcript:Sspon.01G0020560-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SVAKDIINEGRNLASKGSSPLMYEWHGKKYWGAAHGFAGIMHVLMHTELKPDEQDDVKNTLQYMIKNRFPSGNYPSSEGNDSDRLVHWCHGAPGVALTLAKAYE >Sspon.05G0025920-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:29212152:29220175:-1 gene:Sspon.05G0025920-1P transcript:Sspon.05G0025920-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSLSGTALGFWRRYKPSFIGSAQTLWWFPALAQRDFAAASTILNQHLEHVLRLSLYGPQSIGVKIENLSKRCYTTLKVKPSYYMEESQIAIKDATQRNMVGTGTEDGTESPPIRATIKDVIKGNNTAIDGIWPATIDVNSSHRDGTIYKKRLYWKSEYNIDITDRDETRVEPMRYAAATNCHPDPDSCYCHVQYEMMQIFSLKLAKASVKSGLIQLYGYIAARDYLDSKLNYVFNRSRDDPITVQQGSLIEMTGPKRGIVLNCDVLFEFDMRIKNGEQEENDLQLIDGMTEFYEMSMPGKPFTIRINGDAGAVDMSLTNVYGVEATVEIFVSEVENGFDLSICCVVSVLEEHKEFQLFGAPIGESCGLRRFVIAVSMDTMMHLKFKVHQHGSNAVEHCCSFEAKLHGCASQDIKLEVVSLSVKVTWSKLMEGA >Sspon.06G0024690-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:57470688:57471303:1 gene:Sspon.06G0024690-1B transcript:Sspon.06G0024690-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLPPSILGARTRPSSPHHSQGHKRSPPPASPPPPPPPPAAPAADAGLVRTTCNSTAYYDLVATADVRGLSSIAVSAAAVNASGGAATAVALATGGNSTTSVDGTVQALLRTCATKYGEARDALSAAKDSIAQENYDYASVHVSAAAEYPQAWGLPAGAGCKGALRRLCTVALDIITLLSNNTN >Sspon.03G0031560-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:28786744:28790240:-1 gene:Sspon.03G0031560-1B transcript:Sspon.03G0031560-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding QMAHQVSGQTIVIENDNAVTARLTQGHSRKILTEVQDYDYGGANSRHDPRRKPGIGGRNG >Sspon.01G0012930-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:34819916:34822383:1 gene:Sspon.01G0012930-1A transcript:Sspon.01G0012930-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARVLAQVVLVAALALAAPARASNDEGDALYALRQRLSDPNGVLQSWDPTLVNPCTWFHVTCDQASRVVRLDLGNSNVSGSIGPELGRLVNLKYLELYRNNLNGEIPKELGNLKNLISLDLYANKLTGGIPKSLSKLDSLRFMRLNNNKLTGSIPREFAKLSNLKVIDLSNNDLCGTIPVDGPFSTFPLRSFENNSRLNGPELQGLVPYDFGC >Sspon.04G0035490-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4D:11254610:11254915:-1 gene:Sspon.04G0035490-1D transcript:Sspon.04G0035490-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLLPFSSLRTLSRPAWLPRRALLHLVLCLLHRHTALHLPLWPLQLAVRHAPLLCTAPRPPRTPRCCQCAIHAVVSHQKVVAVNDVMAAAMRDLSKEMLR >Sspon.03G0027270-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:9372059:9373951:1 gene:Sspon.03G0027270-2C transcript:Sspon.03G0027270-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLPTPVVAGIAAGAATLLMAAALAVAWWLVRRRRRRDRSSDTGSSSEAPPPTLAEWGRCGRTLSALEFHGARHFSLEELAHATHNFAEANLVGAGGFGLVYKGLLLDGTVVAIKRRAGAPRQDFADEAYTGKESMTRLEFKQRLSIAIGAAKGLNHLHSLVPPLIHRDFKTSNVLVDENFIAKVSDAGIDRLLRGFDGAAAPVANGGVFQDPEVHSLAQLSESSDVYSFGVFLLELITGREAAGLVPPESKDTFAQLMEARFSSNELELVDPRLGGSFTSEGMAEVVGLAFQCLSPSARRRPRMRLVAAELDRILEKEMTLTTVMGDGTAIVTLGSQLFTS >Sspon.03G0019160-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:45194134:45194772:-1 gene:Sspon.03G0019160-3D transcript:Sspon.03G0019160-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNTPSCILLAVAPARGGGRASSSSSSSASAAATTTTKVIHADGTVTRLARPVRASELMLDHPGQFVCDARRLAVGCRVPGVAADELLQPRHACYFLLPMDMLYSVLTDEEMAALSDSHAATAAASAWKRIRITTAAHRHHGRRGPEKNEACSDGARVHPMLGLLETGDLGADNGKRELRAGSAGKSSSGGGAGLRRHRSWQPVLDTIEEVP >Sspon.01G0023660-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:90103163:90115960:1 gene:Sspon.01G0023660-2B transcript:Sspon.01G0023660-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSSADDAELRRACAAAVAASGARGEDVAFSIRVAKGRGIFEKLGRLAKPRVLALTVKQSSRGEANKAFLRVLKYSSGAVLEPAKLYKLKHLTKVEVISNDPSGCTFVLGFDNLRSQSVAPPQWTMRNIDDRNRLLFCILNMCKEILSYLPKIVGIDIVELALWAKENTLTIDNQVSTQGGQETSVATQTERKVTVTVENDLVSQAKEEEEDMEALLDTYVMGIGEADAFSERLKQELVALEAANVYQLLESEPLIEEVLQGLDAASATVDDMDEWLRIFNLKLRHMREDIASIESRNNGLEMQSVNNKGLMEELDKLLERLRIPQEFAASLTGGSFEESRMLKNVEACEWLTGAIRSLEVPNLDPCYVNMRAVREKKAELEKLKTTFVRRASEFLRNYFSSLVDFMISDKSYFSQRGQLKRPDHADLRYKCRTYARLLQHLKSLDKSCLGPLRKAYCHSLNLLLRREAREFANELRASTKAPKNPAVWLEGSGGSGHNGSSADTSTVSDAYSKMLTIFIPLLVDESSFFAHFMCFEVPALVPAGSPNANKSKSGGNDADDDLGLMDPDGNDLKPDSTSAELGTLNEALQELLDGIQEDFYAVVDWAYKIDPLRCISMHGITERYLSGQKADAAGFVRKLLDDLESRISVQFSRFIDEACHQIERNERNVRQTGILAYIPRFAVLASRMEQYIQGQSRDLIDKAYTKLVSTMFATLEKIAQSDPKTADIVLIENYAAFQNSLYDLANVVPTLAKFYHQASESYELACTRHISSLIYLQFERLFQFNRKVEELTYTIAAEEVSKLYYMIYTVIGNRLTFVVNSQIPFQLGLSKTDLRRVLKSSLSGIDKSIGAMYRRLQKTLTSDELFPSLWDKCKKEFLDKYESFVQMVTRIYGNEPIMSVNEMKEVLASF >Sspon.02G0046270-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:10609551:10613204:1 gene:Sspon.02G0046270-1C transcript:Sspon.02G0046270-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPSSSLSRPTVRPCVCCWSPATNPTGHREEGTGSRWLGSARLSSARHRLPPRDREHDATSIQIQPAGRVGVAPSPSTPLPWSGIIHLNWVDRFIPWWWLIGGVQNLTLTAMFSLFYGLWKHVFSKTEFHVLILGVHKAGKTTLLEKLKSIYLKGEGLPHDRIVPTVGLNIGRIEDANAKLVFWDLGGQPGLRTIWEKYYEEAHAVIYVIDSASASSFEDAKSALEKVLRHEDLHGAPILIFANKQDSPAAVTEEELARHLHLKELDERPCMFQAGSAFDGTGIKHGVDWLVEEMERSKRTEALRARTEAAGKI >Sspon.05G0029320-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5B:77760639:77763233:1 gene:Sspon.05G0029320-1B transcript:Sspon.05G0029320-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ESVSKSKSILLRRSPSPCPLRLETVTGWPPAAADDVTVELLPFIRLYKSGRVERLIGNDTAPASLDGTSTGGVASKDVTVDPATNLSVRLPFGPATMHGGAIELNPAMHGGGVHVPRGMQRVVNADVAEKRGKTYPATHHGEAKNKKKFPTAAGTGDKLPIVVYFHGGGFMVESAGSAKYHRYLNALAASAGAVAVSVDYRRVPEHRLPAAYDDSWAVLAWAVRGACGCAGSEAEPWLAEHGDPSRVFLAGDSAGANIAHNVAMRAAAAEGLPAIRIRGVLLMHPYFWDASDAMGPELEERIRR >Sspon.02G0029360-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:106822651:106823412:1 gene:Sspon.02G0029360-1A transcript:Sspon.02G0029360-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VTVCRRRGGNHGEAKQQRWERAPSQGRRHGHPEGPEANGAQDRLRHEKIGKDDGVPAGRAGPAGGDDERRQGAGEAADERGETAAADQGGARGPAVGGGARGAVAVGGGAAGPAVQGGGAGRAVGGHGPGDARGAAGARAPAAGRGPRRRVPRPRGAGVAGGAAGRRRARLRRRRRRRGRRVHVRVQAAGLLQLMN >Sspon.01G0037210-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:17376589:17378357:1 gene:Sspon.01G0037210-2D transcript:Sspon.01G0037210-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding NERWRRHQHAWRRGPERQRQRQRRPWTWRKRRRRALRRLQVPPAQVRQRLHLRALLRLGAGRGALRGRAQGVRRQQRVQAAAPDPGAQAPRRRRHHLLRGPGSAPRPRLRLRRPHLRAPAAGGESPGRADLPASTPRHAGAAVPASAAGPAADAAATRPVLHLGPAVVDQRPHHRRPVRALRPAAAASAVGGPGGTAAPPPPPSAAATAAPPAPATGAVWRARQAGRRPRHGRELQRRRRRRPAVSGEGAPGPPPVRRREARAAATTTATLKM >Sspon.05G0015420-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:59259570:59263056:1 gene:Sspon.05G0015420-2B transcript:Sspon.05G0015420-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:E3 ubiquitin-protein ligase WAV3 [Source:Projected from Arabidopsis thaliana (AT5G49665) UniProtKB/Swiss-Prot;Acc:Q9LTA6] GTGWRRALCTSVQRDDDRDRDAKNKKRRPPHDAPSPRAPSGGGFFSAVKSAATGGGSSGSNPSTPTLRCRTRPLQQPADAAPVTPPPSAPAPARKHRVPLFQALSAPASPRSPSRFALLKASLLPSKVPGAACARAASRAAAARRCSRPSAPTPSTSPASPPTRAPPPPTASCPAPSAPRRGARRRSSPPSASTAPSTTTRRASTGATAARRRRPPPPVVAPKLYDDDEPLLAPKAAANGGGFNPIPEADEDGDEEQGAAEFRGFFPRPRTTSGLAVTVAPEAALVSSGRRHGKYVVAVKVKAPGLRSSSAAPRRAPIDLVTVLDVSQGMMGEKLQMLKRGMRLVVASLGPADRLSIVAFSGAAKRLLPLRRMTRTGQRSARQIVDRLVVCAAAATTATATQGQEQGQQSACVGDALRKATKVLEDRRDRNPVATVMLLSDTQQQQQAPPAEISTRKQFGRPAVAPATRFTHVEIPIGPGDAPPLVSFESEEKQLAEPPVEHAFAKCLGGLVSVVMQEVHLELAFPTGEITAVYSCGPGQQAVAVSGGGGAGVSVRLGEMYAEEERELLVEVRAPLGSHPHSLSVRCSYRDPASQETVRGAEQPLLLPPLQGERASSSSSRRLHDLFVATRAVAESRRLAELQDLATAIHLLLSARALVLQSPPTQQQQELVGNLDTELSDMRWRRANQHQYQQQEPLTPTSRSGRRRGDGDTTTPVGTPRGSAGPPGGAGEPLTPTSAWRAAEQLAKVAIMRKSMNRVSDLHGFENARF >Sspon.01G0044800-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:85204683:85210188:-1 gene:Sspon.01G0044800-1B transcript:Sspon.01G0044800-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDEEMEKKVQQYLQRKGFRLTELALQEERNRLSTSATSDVALARSDNDPARYHDGYSRLRTWAYSSLDQYKHELLRVLYPVFIHSFMDLVAEGHMQEARSFFHTFREDHEVMHSRDLQKLEGVLSPSHLEEMELARSFRKNKFKIKLCEYSYELLLQYLQKTQALVVLGVINEHITFEVSPGQPSLITDDADVVALIGTSKDLAKQINQKEVHWGKRNAEGGKQGASLKKAKKDKLVGATGKNIRTETSMVSVAPRVKPELTLPSTPVEVEQSILEDLRNRAQLNSVALPSVSFYTFINTHN >Sspon.08G0015710-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:62920935:62922285:1 gene:Sspon.08G0015710-1A transcript:Sspon.08G0015710-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTVSRASLDQKLALAKRCSREATLAGFKAAAVATVASAVPTLASVRMLPWAKANINPTGQALIISTVAGMAYFIAADKKILSLARRHSFENAPEHLKNTSFEGTGRPHPAFFRP >Sspon.02G0036520-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:25440030:25452621:-1 gene:Sspon.02G0036520-1B transcript:Sspon.02G0036520-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGNGNGEVDLDATMTTLGPEDDTSQGLILTNKQRVMYRPPAGKSVLGLDTLADKKRAAGGGSVFKPPPPKVAVAAGSIDEDEKPAGTENDATSLSTAIRSNSSRRYRGSGSDDKTSLNEPTVTDKGERAPTPSHRDEPHRQETHISGSSRGSRPHGTPRGYDYYDDRGSRDKHGDHERSASIGYSSSGRRRYHDDRESHTRRDERGRSTSIEYTNKRSRHEHSSRSSRTPARSDWDDGRWEWEDTPRRDYRDNRPGSRRQSSTRSPMLAAASPDARLVSPWLGGNTPRSAASPWDNVSPSPAPIRASGSSKGSSYSHSSGRSHQLTFTSTTSSNVIDADRSPSNPDRNYEITEEMMQEMDYNADRAWYDCEEHTTMFDGDNSMYLGDDNSYKKKEAEMPKKLTRRDGSLMTLAQSKKLSQMTADNAQWEDRQLLRSGAVKGTEVQTEFDDEEERKVILLVHDTKPPFLDGRVVFTKQAEPVMPLKDPTSDMAIIARKGSSLVREIREKQSMNKSRQRFWELAGSKLGNILGVEKTAEQVDADTAVVGDQGEINFKEEAKFSQHLKDKAEAVSDFAKSKSLSQQRQYLPIFTVRDDLLQVVRENQVVVVVGETGSGKTTQLTQYLHEDGYTTTGVVGCTQPRRVAAMSVAKRVSEEMETDLGDKVGYAIRFEDVTGPNTIIKYMTDGVLLRETLKDADLDKYRVIVMDEAHERSLNTDVLFGILKKVVARRRDFKLIVTSATLNADKFSKFFGGVPVFHIPGRTFPVNIMFSKTPCEDYVEAAVKQAMTIHITSGPGDILIFMTGQEEIEATCYALAERMEQLISSSTKTVPKLEILPIYSQLPADLQAKIFQKAEEGARKCIVATNIAETSLTVDGIFYVIDTGYGKMKVYNPRMGMDALQVFPVSRAAADQRAGRAGRTGPGTCYRLFTESAYQNEMLPNPVPEIQRTNLGNVVLLLKSLRVENLLDFDFMDPPPQENILNSMYQLWVLGALNNVGGLTEIGWKMVEFPLDPTLAKMLLMGEQLDCLDEVLTIVSMLSVPSVFFRPKDRAEESDAAREKFFVPESDHLTLLNVYLQWKSNQYRGDWCNDHFLHVKGLRKAREVRSQLLDILKTLKIPLTSCHMEWDVVRKAICSAYFHNSARLKGVGEYVNCRNGMPCHLHPSSALYGLGYTPDYVVYHELVLTTKEYMQCVTAVDPQWLAEMGPMFFSVKETDTSLLDHKKRQKEEKTAMEEEMEKLRQEQAEAARMEKEKEREKRAKQQQQVAMPGLKKGATYLRPRKMGL >Sspon.07G0033210-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:57923089:57927054:-1 gene:Sspon.07G0033210-1C transcript:Sspon.07G0033210-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable serine/threonine-protein kinase PBL25 [Source:Projected from Arabidopsis thaliana (AT3G24790) UniProtKB/Swiss-Prot;Acc:Q9LRY1] MSCFGCFKSKKKMPPRRLESREVTVVKKAPSQNEAPPRESGSVRPSPVTSKHKHKPSSETATSIEPPKGSCSVSKTAKAFTFRELATATKNFRSDCLLGEGGFGRVYKGKLENGQLVAVKQLDLNGYQGNREFLVEVLMLSLLHHPNLVNLVGYCADGDQRLLVYEYMALGSLADHLLDSTPDQVKPLLRDRKRYNELVDPNLRGEYPEKDLSQAVGVAAMCLQEEASVRPYMSDAVVALGFLAEMPAGYKHKSGPILQMKQVGDSSLTNSGSAKQDKDAYNRQKAVAEAIEWGSLRQKQKAQSPEKKAQSQGATSPPEASKFYTKA >Sspon.01G0041230-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:47401988:47402979:-1 gene:Sspon.01G0041230-1B transcript:Sspon.01G0041230-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLLVWQVLEANQTARRAYQRVLCESTGRDVAKKVICLLLWLEMTMGFQVLGSVATMTPGDMSLARVIIEACAVYNYVLHGCYEQPAPLADIPTIVALCGVHGGRLVDARFFMFHKDIVARGVAFIRDTFAALIFDDYLHAMLRRFKDDSNSFLIPTPLPAPELMAPFIVLTSLPPEDCRTAFVAIPEYDPLSSQDILEYFERRLMFGPCIERIDTERPGAGQGPKHCVIVFRSTQLRDEVMFQETAAFFRVNNGDMWVQRYMPP >Sspon.01G0012230-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:33356918:33359586:-1 gene:Sspon.01G0012230-1A transcript:Sspon.01G0012230-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glucose-6-phosphate 1-dehydrogenase 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G35790) UniProtKB/Swiss-Prot;Acc:Q43727] MAATAAMSFHPASFSVSAHPREAAAATTKQALRHCSPLRILVPRARRTCLLRARSSNGRPQISAPFGNSNEVLDGMPTLDGAPGQQGGSTVSITVVGASGDLAKKKIFPALFALFYEDCLPEHFTVFGYARSKMSDEELRNTISMTLTCRIDKRENCGDKMEQFLKRCFYQSGQYNSEEGFAELDRKLKEKEAGRLPNRLFYLSIPPNIFVDVVRSASRTASSSSGWTRFIVEKPFGRDSESSGELTRSLKKIDHYLGKELVENLSVLRFSNLVFEPLWSRNYIRNVQLIFSEDFGTEGRGGYFDNYGIIRDIMQNHLLQILALFAMETPVSLDAEDIRNEKVKVLRSMRQLKLEDVVVGQYKGHSKGGRSYPGYADDPTVPKGSVTPTFAAAALFIDNARWDGVPFLMKAGKALHTRRAEIRVQFRRVPGNLYRRNIGTDLDKATNELVLRVQPDEAIYLKINNKVPGLGMRLDRSNLNLLYSERYRREIPDAYERLLLDAMEGERRLFIRSDELDAAWAIFTPVLRELEDRKVAPELYPYGSRGPVGAHYLAANYNVRWGDITSDDAAF >Sspon.01G0015820-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:49115519:49116766:-1 gene:Sspon.01G0015820-4D transcript:Sspon.01G0015820-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATGGAGASQGRNAATFVVPAVGHAGVTGAILRARDAGYRHFDTAVLYNTEAPLGDAVIEAVRARTIASRDDVYVTSKLWLTDAHPGRMEYVDLYLIHHPVSMRPPDEAQGAGPVMLVKKDLVPLDMKGVWEEMEECHRRGLAKAIGVSNFTCKKLEYLLSFAKIPLAVNQVEVNPCCRQAKLRQFCRTKGIQLCGYSPLGSTRMSWANNSVMDSPVLKQITEERGKTVAQVCIRWVYEQGDCVIAKSFNQSRMRENLDIFDWELTDDDRRKISHLPESRGNYDFLVHESGPYKTVE >Sspon.02G0017480-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:50682140:50702429:-1 gene:Sspon.02G0017480-1A transcript:Sspon.02G0017480-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMISDDDDAEPQLNAVESYYFVDSTDENEPVCFSTLPFRFGDTDDLPGCKKRLVLRGTGDPGVKVYNEVVAWRLGLEGKQPQFAVLTAEGRRWVRLIRPLKSYEEMIRTVLITAQMLHFLRRKPHEPEKTLWNHLCKMFNTDAYRSDLCFCSKFDVRPSEDDFRNHRSLMKQFATKDPVLAKSKVGADHIDVKQSFIANDEDIDEMIVEDADNESDEEEDEDLFDSICAICDNGGDILCCDGPCMRSFHAKEGSGEDSYCDTLGYTEAEVDAMKLFLCKNCEYKQHQCFICGVLEPSDGAAAKVFLCNNATCGHFYHPKCVAQQLHPNNIDEASELEKKITEGFSFTCPIHWCFHCKGLEDRTQGPLQFAVCRRCPKSYHRKEISFDEIEEEGVIIRAWELSKRILIYCLYVTLSLFKFLITDLINCHRDHEIDSDIETPARDHIKFPKIEKPLQFVKGANLLPKKKKRTYSETVLEQPPKDTVKMKSKVRMQEREQNKQNTREVSAKSFTENPVDRPVKKKAKLKEKMQLPYVAKDHIVSSPKSVKEQDQELPSLPLSATRKIPLSLFPRVDSETEKRVITILGNKVSSLTIKDVTRNCSLPSTHVYSGRLTDKIPQGKLERSVQAVEAALKKLENGGSINDAKAVCEPDVLRQLARWHSKLRVYISPFIHGTRYSSFGRHFTKVEKLVEIVDRLHWYVEPGDTIVDFCCGANDFSRLMKEKLDKVQKKCNFKNYDLIQPQHRFCFEKRDWMTVQPNELPRGSQLIMGLNPPFGVKASLANKFIDKALTFKPKLIILIVPKETKRLDQKRTPYDLIWEDSEVLAGKSFYLPGSVDLNDKTVEGWNASAPPLYLWSHPHWTKKHMQVAEERGHTCMGKIACHVEENNLSDDLHVRKQSEATNKWNPRTGKETTCNDRKANLLDDGPAKKQARSEEEKETLAKIEHHVKEANMPDNLPVKKQAEASSKVISHSGKDRENGRYNSRRYDNRSRKWTPDHVESLPPEKQVEVAYEETKVIIPSKKITHNEQRGACRENRSSCVEETKSAQYNYKKIAAGMPNMRSREGGDSDMSISPPVSSNARSKSMSYSPSRPIEHFDTTAHPDKYIDSVLNRATHQDGYLAFNRKYDPIFTDVDDSSRMRGFSIEEVTKPYITAPTSDPYSLRSRGDGNFYRHPSSENLNINADVHMQGYSARYGQNGGDSYPQARMVPLATDSQAHQRMHSGTSADNYLSTRYSLGSSGARFSQPASTVPCFGLPGASSQRGSSSVMDKYSYGLSGPTVPQSSVIDRHAASLDGTSSLPEQYPFRQSGSSRG >Sspon.03G0020720-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:50767635:50768277:1 gene:Sspon.03G0020720-4D transcript:Sspon.03G0020720-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEAKTEPAAPAAAASLGLASGEPQQKKTVVVVAVDDSDHSYYALEWTVRHVVGGGGMAGGAADLVIVHAKPSPSSVVSFGGPGAGEAIRYVEADLRKMAEAVVDRARRVCVANSVHALIEVVEGEPRSVLCGAAEKHRADLLVVGSHGYGAIKRALLGSVSDYCAHHAHCSVMIVKQPKSK >Sspon.05G0029590-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:83835341:83839118:-1 gene:Sspon.05G0029590-2D transcript:Sspon.05G0029590-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDYAREMMELKTLVTRTLEKKGVLAKIRAELRASVFEAIEEEDRVVENDDGGNPALLGSCNDRAKQLHASPSGRLLTALVCEYLEWAQLSHTMKVYLPECNLPKDFWKNELKDFSNKSGAEGSRSAESGPMLLDVLEGYLKYENLSQTRMGGRRMMSSESDPSLNAEHRSMRRPPSASVGSLPPMGRPISSSQASDRRGGSSASNTRKDEYNWRYDADDISEEVLRASAALESVQLDRKSRNLPTSWRHSGDGAE >Sspon.02G0016380-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2B:43775723:43778730:-1 gene:Sspon.02G0016380-2B transcript:Sspon.02G0016380-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVGWQIVLGSLIGFFGAAFGSVGGVGGGGIFVPMLTLIIGFDPKSSTAISKCTSTKAFLKGIETWKKETIIQREAAKRLEQTAAEEAEYAPLPTGPGVAANKKTLSSDEANYTATCSPWYWVLNLLQVPVSVGVTLYEGFGLMSGKRVLSSKGSEQTTMKFHQVVVYGLFGIAAGLVGGLLGLGGGFIMGPLFLELGIPPQVSSATATFAMMFSSSMSVVEYYLLNRFPVPYAVYFTFVAFVAALIGQHAVRKLINWLGRASLIIFILAFMIFVSAISLGGVGVSNMVHKIARHEYMGFENLCKYDA >Sspon.07G0024400-3D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7D:22889899:22890752:1 gene:Sspon.07G0024400-3D transcript:Sspon.07G0024400-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARASNAAMLERQTLTSASVASGMCSAASLGFDGRRAASVTEATDASVAFGRARSGSDRRVCRVRARSGAYWTASGCDRRRLAKRRTCLLLRKSTVGFRSLGHVACVGATDATVRRLSAWRQTLLCSVYRPRKTSSCHLLDGLVAYNSVEARVEIVRSSGGGFVRGIVLSPRGSRRATLVKLMAWRIPILRVDVRHPLRV >Sspon.05G0038570-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5D:49462336:49463785:1 gene:Sspon.05G0038570-1D transcript:Sspon.05G0038570-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SSAASTTSMYGMTLMLMVVCCLASGLSFGSTPKGVMATLCFFRFWLGFGIGGDYPLSATIMSEYANKRTRGAFIAAVFAMQGFGNLTGGIVAIVVSAAFKARFDAPAYKDDPAGSTVPQADYAWRIVLMFGHSHIKRVHHLRPSTRKLCDYVFGAIPALLTYYWRMKMPETARYTALVAKNAKQAASDMTQVLNVEIVEDPEHAEELARREQFGLFSRQFARRHGLHLLGTTVCWFLLDIAFYSQNLFQKDIYTAVNWLPKADTMNALEEMFKISRAQTLVALCGTIPGYWFTVFFIDIIGRFIIQLGGFFFMTVFMLGLAIPYHHWTTPGHHIGFVVMYAFTFFFANFGPNSTTFIVPAEIFPARLRSTCHGISAAAGKAGAIIGSFGFLYAAQSTDPNKTDAGYPPGIGVRNSLFVLAGCNVAGFFFTFLVPESKGKSLEELS >Sspon.01G0041160-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:40500295:40501387:-1 gene:Sspon.01G0041160-2C transcript:Sspon.01G0041160-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVISMSSKVAAVAALFVVLAMYADAASPNGGWQAAKATWYGAPNGAGPDDNGGACGFKNTNKYPYMSMTSCGNEPLFKDGKGCGACYQIRCLNSTHDACSGRAKRVIITDMNYYPVSKYHFDLSGTAFGAMAKTGLNDKLRHAGILDIQFRRVPCNYKGLNVNFRVQVGSNPNYFAVLVQYAGKDGAVVQLDLMETDKATGKPTGVWTPMRVSWGAVWRLDTKRPLQPPFSLRVRNGSGKTLVASNVIPADWKPLTDYPSSVQFP >Sspon.01G0022290-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:22558299:22566389:1 gene:Sspon.01G0022290-2B transcript:Sspon.01G0022290-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFFLLLHSVLMHVTAALVILVYIPLSVPVKLFVRTFVKPLRKEDLRGKVVLITGASSGIGEYAKEGACLALVARREQALKGVAAAALERGAPDVLVFPADVSDPEQSRRAVEETVAHFGKLNHLVANAGIWSSCSFDQVTNITAFTKLMDVNFWGSVYPTYHALPHLKASKGKLIVCSSAAGTVATSRMAFYNASKAAQLRFYETLRTEVGSEVGITILTPGYVESEITKGKGIQKTGEVAVDEDARDAQIGVFPVGRVEALCEVALDGIRNGDWYVTWPSMYRPLQLIACLAPEVLDWMSKTMYKEATTAQGSRRPLGQRILEATGAKRLYPPSLLQPRIKTD >Sspon.05G0014780-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5A:56587669:56588218:-1 gene:Sspon.05G0014780-1A transcript:Sspon.05G0014780-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADRRCCVVGADSAPGSRLQLASLLLPASGCCFFHFPFPFPIFPLEEERLQPGEPLVIPPQEKKGRQPEPVQVGTSAADPSIGFLIHPSLQSILPLEQEHFSV >Sspon.07G0001080-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7A:2338527:2338702:-1 gene:Sspon.07G0001080-1A transcript:Sspon.07G0001080-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ANYPSPTSNDDSTRRQRHRQSLSHVKQIALVKVLLVLGFPPLKAIRTPTAQRGARSP >Sspon.02G0038060-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:38544807:38550883:1 gene:Sspon.02G0038060-1B transcript:Sspon.02G0038060-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant UBX domain-containing protein 2 [Source:Projected from Arabidopsis thaliana (AT2G01650) UniProtKB/Swiss-Prot;Acc:Q9ZU93] MMKDKMKEFMKKVTSSGSGTPSSFKGTSHVLGSGPSPSSSHPAARPSNPSPNPRPAPKRPSPPPPPTSTTDFTPFTPLVSSSRRPDANGTAAAATVACPSCGDAFPSELAVSEHLDGCLASAGSARTRAAAYLAADPPPPAASVEVVKRLLGNLLREPGNDKFRRVRLGNPRIKEALADRDGGVELLEAVGFTVGDEGGEPFAVMDEVPSDPRLNGIRRAVLLLEGAHPSAPPVKAEAEAKESCSNVADVQEGAKTIDRQIRVFVSVPGSSMAQNDVPDSFYKLSGEEIRNEAKMRRERLEQSRLLIPKSYKEKQALAARQKYKQAVIRVQFPDRMILQGIFLPGEATSSLYEFVTSALKQPGLEFELISPAIPKPRVVPHFPNPGERARTLQEEELVPSALLKFIPKETDSMVFTGLLDELLMASEPLPAASQ >Sspon.05G0015370-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:57763321:57776335:-1 gene:Sspon.05G0015370-2B transcript:Sspon.05G0015370-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fatty acid amide hydrolase [Source:Projected from Arabidopsis thaliana (AT5G64440) UniProtKB/Swiss-Prot;Acc:Q7XJJ7] MPPVEEVDIAAVRYKSPTLQAPHLTGFSLRAFLWLMESSLLGPLITSMLQQTVIPERPMYYPEFPPQDPEPEVVLGPVERVHEALQCLPQYDPSIHWTTEENPPFLYWKIRDFAHAYRSGITTPSAVAEYVIAGIEEWNNKKPPMPMLIYFNADDLKKQAEASTKRFQQGNPISILDGVFVAIKDDIDCFPYPTKGATTFFDQIHTVEKDAVCVARLRNCGVIFIGKANMHELGLGVTGNNPNYGTARNPHSVDRYTGGSSSGPAALVSSGLCSAAIGTDGGGSVRIPSALCGIVGFKTTYGRTDMTGVLCDSGTVEVASPLVSSVEDAILSPLCVPNLLSPENSNILGSVKIGKYTEWFNDVSDHEISSTCDDALKLLCSTFRCQIEEITLPELEEMRTAHVVSIGSESFCDLNPHYKAGRKTEFTLDTRASLALFGSFTATDYVASQSIRRRIMHYHMEAFKKVDVIATPTTGITAPKIPPSALKSGESNYVVSAYLMRFIIAGNLLGLPAITVPVGHDKQGLPIGLQLIGRPWGEASLLRVASAVEELCLNKRKRPSAFYDILKA >Sspon.03G0017430-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:74294127:74298224:1 gene:Sspon.03G0017430-3C transcript:Sspon.03G0017430-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGAAAEQLVCVTGAGGFIGSWLVKELLQRGYAVRGTARDPGESAQDSKNSHLLALDGAQERLSLYHADVLDYMSLRRAFSLCDGVFHDLVPVAIEGTKNVMNAAADMGVQRVVFTSSYGAVHMNPNRNPDRTVDESCWSDLDFCKQTQNWYCYAKTVAEKTAMEEASKRGIQLLIVVPSVTIGKMLQPTLNLTLSGVATYMKGTKKAYSNAVGAYVDVRDVALAHILVYEDLSTHGRYLCIGDMLHQSEFLQMMKELFPQYPITTKCKDDNKPMIKPYKFSAQRLGALGMKFTPLKESLYNTVISLQENGHVPVLLHKSS >Sspon.06G0017860-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:80004628:80013552:1 gene:Sspon.06G0017860-2B transcript:Sspon.06G0017860-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinesin-like protein KIN-14U [Source:Projected from Arabidopsis thaliana (AT5G27950) UniProtKB/Swiss-Prot;Acc:Q8W0Y9] MEGHVIVPLQSLSLELPDGEIVVGYNKDTLALQEEISTLRSKQRHLDRRRRDKLIDLKDIGQHSGSIRVFGRVRPPVQTNNLNTKSPVTVQQEKIAIKSVGIKKEFNADRVFGQESTQEDVYQEVKPILRSALDAHNVCILAYGQTGTGKTYTMVGCNLSILATKSGAVEIEGLTDVSIPDLKKANQCLTRITIRRHGANEEVSKLIDLGGSERLLKTSASGLTKDEGKAITLSLSALGDVIAALRRMRSHVPYRNSKLTQILSDSLGDGSKVLMVVHISPSEDDVGGTDLKMLKQKRLVELDREICTAEEELKYLNEQIRRAEISLEEKKKLTSSVCRALSDEKGSPRSTLVVGHIDATESPHTTEKAISWFSSSLHIFDSVQPAKT >Sspon.03G0011460-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:35792394:35793776:1 gene:Sspon.03G0011460-2D transcript:Sspon.03G0011460-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIRKGNPVEVWTQEAGSPVGAWRSGEVTWGNGHSYTLRWHDGDGEVSGRISRKSVRPRPPPAPVPRDLDTGDMVEVFDDDDSLWKCAEVQGSAAANDRHFDVKIVGAAKVLTVPPQRLRVRQRLRDDDVWVALHKDNRISVQSAMQPRAIVGMGVGRGKGGYKHMAPGFTPFLQKRSFGMLGSDTSSHVKRFEDDTKRLKQEPRCRVEVPVPNVCVNNKQDEMSGEDCDNDVGIGINPHDDDDHQQQQQQHERKDDKEEDDDDDDSDSDSSSDDSSSGSDSDSRTRSIDAGKDCAAAPTARPCNDQKADQLQPVKKEQPIIKEEQPVKKEQHCDDIAESREMKSEPLKDQMGATTVREHIHHLELEAYAALMKAFHACGNALSWEKEGLLSDLRVHLHISNDEHLQVLNVILNRKRRTGGPR >Sspon.03G0018030-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:76910370:76912816:1 gene:Sspon.03G0018030-3C transcript:Sspon.03G0018030-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHLAATPHTSSAAAAVPTAQPTAAGGRVAFRRPWNTPRLRVSSRGVRREDGGGGGVRTEEGQEQERTASRTFYDLLGISAEGSPDEVRAAYRRLALKYHPDVSPPGAAAENTRRFIEVQEAYETLSDPSRRASYDRALARGVCRLAFSGRRSQSHRAYYQHDHHQEQEEKSGWRRSWEDQIAELKRRSTTKDSEENLSWGARMRRRAEASSSAEQL >Sspon.07G0034680-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7D:76173964:76175297:-1 gene:Sspon.07G0034680-2D transcript:Sspon.07G0034680-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVTLPFVPLSLTFENIRYSVDVPKVNKAHGEMNDRLEILKGVSGSFRPGVLTALMGISGAGKTTLMDVLAGRKTGGYTEGIITVSGYPKKQETFSRVFGYCEQSDTHSQHLTVLESLLFSAWLRLPSEIDSKTIKMFVEYLTELLELTSLHGAHVGLPGVNGLSSEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTGIEGVN >Sspon.04G0021610-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:665147:667696:-1 gene:Sspon.04G0021610-1B transcript:Sspon.04G0021610-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SMGLPPPFRQVVLPSANFLGSPRFCHATAGMSDRPTDVDASQVLKVLKSTSADKADDLSDALRRFAVHMDEDIMLTVLQKQWSNWQVALAFFNWAATLPGYAHGSRAYTEMLDILGRMKKVRHMRQLFDGIPEERRGVVVTNKMFAVLLNRYAGAHKVQEAIEVFYLRKDYGFELDLVGFQILLMSLCRYKHVEEAEALFREKKDEFPHVTKSWNIILNGWCVKGSWRDALRIWNDIIASKVERDLFTYGTFINALAKNGRISAAVKLFKSMWEKGINPDVAICNCIIDQLCFKKRIPEALEIFGEMNDRLCQADVATYNTLIKYLCKIKRMEKVYELLDEMEAKGCSPNNRTYSYILKTTEKPKDVIALMQRMEQTGCELDSDTYNLILNLYINWKYEKGCNSLLLDTMKATAKSTGIEGRIVNLSSVAHHHTYPKGIDFDNLNDENRYNDKMAHGQSKLANLLHAKVCDKKLPCGVHRCKVNCHDGECLPCLVRVEQKCRCGSSGQMVECYKVSM >Sspon.08G0008240-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8B:27557196:27559541:-1 gene:Sspon.08G0008240-2B transcript:Sspon.08G0008240-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPDFSRASGGPSFEFAFNSVNFSDRVLRIEIVAGDDALGAKGAAGEGCSSLADWARHRKRRREELRRGKESGKYMPDPGICKVEAEECDAYDEGNEPVAMIEESPPDIEPDG >Sspon.04G0021460-2D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4D:1218153:1218345:1 gene:Sspon.04G0021460-2D transcript:Sspon.04G0021460-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:A-type response regulator, Cytokinin signalin [Source: Projected from Oryza sativa (Os02g0830200)] DGDNVHMIITDYCMPEMSGYELLKRVKESAELRQIPVVLMSSENSPTRIRRCLEEGAEEFLIKP >Sspon.06G0030100-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6C:41201627:41205916:-1 gene:Sspon.06G0030100-1C transcript:Sspon.06G0030100-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RWRPETHTFHLPCDEMTVTLEDVKAILCLRIGGSCMTGVVDSDGWRQKVYEFCGHYPNDNEETKKHKKTSGVMSQWLIGRFDNLDTHAGPGTVETYARVWLWHFLGTFLFPDTLGNVVSWIFLDILHQPWENIALFSWGSAILAWISGSGTGDLSGGPKWPDWEPQDTMPTALWVWSGAEQVTGNAEHKYREYTDLIDVLTHMQCWQENDEFRSDVPLVFFDVVEMHYPIRVCRQFGKRMSMPPPLYSMGKELHSVDRRLRMKQKDWREIHVRQVYKEGELPANSEDDIVTDEYEEATMRETNKGRGVLETFVHSTASASLRTPAGSSDPVTGSTSSARTAPHRPDKALVEVDDDEDDDSESSDEDEAPGFGIPQHRHPTQQGPSDIGPFQQGFFQQGPFYPYQHSPYQPSPYQHTPYQHAPSQPSPYQHTPVDPSQHQEIGMSQLFDAPLGNQGDEQGPTYVQGMSHAQGQGRRHHHRDHTDVGYTPNMVPTNPKRRRWPSNPLLMAAVPPPTEKEARASYENNLCDGRDGGWGGAASKRRMTTAQEEKRIKGALRLPLLDLGSGRLADVAVAKSGHGGAAPPLRSARLAAPQGGSGSPVPAFHHCHLSMIAAPPRGERQS >Sspon.01G0027750-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:98343620:98344927:1 gene:Sspon.01G0027750-2B transcript:Sspon.01G0027750-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LDQASTRQSARAPRLRARATSLHGDGHLAPAHAGASDHSPRVQPPPAVGGAGSRLSPRGGGRVGRCSLTVPAAAGASASSPGLAPDTGAPAWDALGGVSVLAAGTGNAVALTDLWDSTEGLAVVALLRHFGCFCCWELASVLKDSMAKFDSAGAKLIAIGVGTPEKARILADRLPFPMDSLYADPERKAYNVLGLYHGLGRTLFSPASAKIYSRLDYIKEATKNYTLEATPADLTGVLQQVEPLKSSSALY >Sspon.06G0031510-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:80452466:80456065:-1 gene:Sspon.06G0031510-1T transcript:Sspon.06G0031510-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIYITSSNTVSPRQQDDLHIHPSNHTCIETMSHIATHSISNMPLLAFIFIHFLALCQYTSPAALRESSALLCLKSQLRDPSGALASWRDDSPVFCKWHGVTCGSTQQASRVIALDLESENIAGSIFPCVANLSFLERIHMPNNQLDGLISPDIGRLTQLRYLNLSMNSLRGEIPEAISACSHLDTIDLDSNSLQGEIPPSLAQCSSLQTVILGYNNLQGSIPPQLGLLPSLYTLFLPSNNLTGSIPEFLGQSKNLTWVNLQNNSLTGGIPPALFNCTSLHYIDLSHNALSGSVPPFSQASSSALNYLSLHENNLSGEIPSSLGNLSSLALLLLSHNSLRGRIPESLGKLKTLQALDLSYNNLSGTIAPGLYNISSLTFLGLGANQLAGTLPTSIGNTLTSITQLILEGSRFEGPIPASLANATNLQYLDLRSNAFTGVIPSLGSLTLLSYLDLGANKLEAGDWSFMSSLVNCTQLKNLWLDRNNLQGIISTYITNIPKSLEIMILKENQFTGSIPSEIGKFTNLTVIQLDNNFLSGKIPDTVGNLQNLSILTISKNQLSEEIPTSIGKLERLTQLLFEENNLTGPIPSSLEGCKQLTTLNLSSNSLYGGIPRELFSISTLSVGLDLSNNKLTGNIPFEIGGLINLNSLSLSNNRLSGEIPSTLGQCLLLESLHLEANNLQRSIPDSFINLKGITVMDLSQNNLSGRIPEFLESLSALQSLNLSFNDLEGPVPGGGIFAKPNEVYIQGNNKLCATSPDLQVPQCSQRKNHANILAVLVSLASVAAVTMACVAVIILKKRRKGKQLTNQSLKELKNFSYGDLFKATDGFSPNSLVGSGRFGLVYKGQFKVEECAVAIKVFRLDQFGAPSNFLSECEALRNIRHRNLIRVISVCSTFDPTGNEFKALILEYMVNGNLESRLHQKEYPKNTKRPLSLGTRIAIAVDIAAALDYLHNRCTPPLVHRDLKPSNVLLNDEMVASLSDFGLAKFLSVDFSTGFNNSSSAVGPRGSIGYIAPGEDGGQEMVEMQHCAMQLANLGLKCSEMSPKDRPRTEEVYAEMLAIKEEFSTLCSLGSVSLLL >Sspon.05G0020080-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:86051298:86053955:1 gene:Sspon.05G0020080-1P transcript:Sspon.05G0020080-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHLEHGFATFGLILKTGWRVNEIVLGQLLKGLCDAKRVDEAVDILLRRMLEFGCTLDVVSCSLLLKGFCNEKRVEEALELLHMMANDGDGSCTPDVVSYSTVINCLFGEGQVEKAYNLFLEMLDQGILPDIVTYTTMIDGLCKAQAVERAEGVLQQIIDKGVKPNIQTYTSLILGYLSTGLWKEVVRMLEEMFARGLQPNVVTYAVLLDYLCKNRKCSEARKIFDSMIRKGIKPDVNLWHSYSRTDGRRQELFFEMWDQGVRPNVVFFNKIMCNLCKEGRVMEAQRLIDSMELVGVRLDVISYNTLIDGHCLAGRIDEVAKLLDVMVSVGVKPDMVSYSGSKKDAMDLFAAIPAHGLVPDVVTYGLTMENLIKEGLLDEFENLFLAMEKSGCLTNSGLLNAIVRRLLGGGEIVRAWVYLSRIDEMNFSLEASTTSLLISLLSREEYKNHAKSLPE >Sspon.06G0018040-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:97627784:97628136:-1 gene:Sspon.06G0018040-1A transcript:Sspon.06G0018040-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQLTRSLAAEWASDKIRVNCMAPGHIMTDMAKEAGEPVEVASMVSFLCMPAASYITGQVICGDGGRTISA >Sspon.07G0024140-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:22301473:22306232:-1 gene:Sspon.07G0024140-1B transcript:Sspon.07G0024140-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding NLTAVDCMQNAVPKGVEWRNNAKIKEHQLGLTKFPLVCFLVALCKSVSASKNVQVRACPHPGYINGLCYTCGNPQDEEDVSGVALDYIHKVFRLGTSEIDRLRCADLKNLLRERKLVLILDLDHTLINSTKLQNISSAEKDLGIQTAASKGNPNRSIFALESMQLLTKLRPFVREFLKEASNMFEMYIYTMGDKTYAIEIAKLLDPSNIYFPLKVISNSDCTQRHQKGLDVILGAESVAVILDDTEFVWKKHKENLILMERYHFFASTCRETGFGVRSLSESMQDERESDGALATVLDVLKRIHAIFFDMVVETDLSSRDVRQVIKAVRKEILQGCKIVFSRVFPNNTRPQEQMVWKIAEHLGAVCSTDVDSSVTHVVTVDLGTEKARWGVDNKKFLVHPRWIEAANFRWHRQPEEDFPVTPRKEKSREKDNAVAGKKETSKDKENAAPVQKEMRKDKEENDVASQKETRDERNVAGQEKDGAKENVVAISTTGPADS >Sspon.02G0032770-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:121834193:121835562:-1 gene:Sspon.02G0032770-1A transcript:Sspon.02G0032770-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAERVSRLSTEKAVVIFATSQCPMCHTVSSLFYELGVCAAVHELDKDPRGREMERELARRLGRAPPVPAVFIGGKLVGSTDKIMSLHLAGKLVPMLKGAGAIWL >Sspon.04G0030650-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:2105250:2109575:-1 gene:Sspon.04G0030650-1C transcript:Sspon.04G0030650-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGGGGSPNNTEWRFNQTLRNVQGMLKGRSFPGKVLLTRRSEPLSPPDYSPRFESEHGEDERKEGSQEGEGQASGNSFDSTSSKKSNLLSTNSSNSLPDAQGLVSGARATDSARIAKFTTELSRPAVILDKLRELSWSGVPPYMRPNVWRLLLGYAPPNKDRREGVLTRKRLEYVECVSQYYDIPDSERSDEEITMLRQIAVDCPRTVPDVTFFQNHQIQKSLERILAIRHPASGYVQGINDLVTPFLVVFLSEHLEGNMDTWSVDNLSAQDISNIEADCYWCLSKFLDGMQDHYTFAQPGIQRLVFRLKELVRRIDEPVSKHIEEQGLEFLQFAFRWFNCLLIREVPFHLVTRLWDTYLAEGDYLPDFLVYISASFLLTWSDKLQKLDFQEMVMFLQHLPTRTWAHHELEMVLSRAYMWHTMFKSSPSHLAS >Sspon.01G0062360-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:103462252:103465630:-1 gene:Sspon.01G0062360-1D transcript:Sspon.01G0062360-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGTREAARISIDRRSKHHRPQHQGHAHLARERCAVHGPSVVAAPAPTRSVASSSMEQPAAPNSARKCEWREELRWQQSQVDMLWERLVKEEDQEGLPLLFSLLIVSSNGSKGERQCKLKGHHMDFNPQLEKCKSWPSPSMSKEEARRRLCDSGGSEDYRDTFTSPSIQQPIKMLNYDYAHILPTRYTPYLNASLVSKSPPFTYNGGVTKSSGDEAQKKSSGDVESEIHSDLTATRSIYKRGFM >Sspon.01G0037290-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1B:21018824:21019381:1 gene:Sspon.01G0037290-1B transcript:Sspon.01G0037290-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKSCFDVFQESQQPQQQQPQVQVQLQQPQPQKQAAPVMRRAASIAARQAAMAQQSQETKPIPSSPKIKRQTSGKSGSVASTKPAAAASTPKPGGSPKKGEVKPAADPVHTPATNADSPKSKPSAPPLLEAEGVDKMAIDEISGDAAEGAEELDPALEETPMEETIRVTRAKLRRRTATEDPAGN >Sspon.03G0033220-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:53601269:53603354:-1 gene:Sspon.03G0033220-2C transcript:Sspon.03G0033220-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTATRRWWRRHDGGDDDPDDLVPMDTQEQEELVRSLEAKQAQQSRRWRVRFRFPIPSAWRYGRRDLPWAQGSGLCLDLAILCSHHLLFCAARLRGFLLGYAAFLVYSSFHHAWSPWELRYHAYFMEDLPAPMVIIADWIAALACLFAVKGLLQNSGPSKKWMWYSCYIGILVAIFWTYYILRLPRIRWDVAWLPLGPLLAGALSLYVDHVLLESMQDISTLRSYMYNFKAL >Sspon.03G0006390-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:24717774:24719018:-1 gene:Sspon.03G0006390-2B transcript:Sspon.03G0006390-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAVALFLSRLLPLLVVAVIAGAAPLDPQQLLALRALGLGAHPRADPCGAGAVVSAVNASCDAGAPFRRVTSLALTNCSDTTSVSAAALEALAPSLRALAFTDCPAAPPRALPPEQLASGLQAFSCTASLHRLSAVWLSHLINLTELTVADTLLATGSPTELAVVVSHMEHLTRLTISNANLSGFLPHHWHCPNLTHLDLSGNRITGAIPDTLTLLAGITHINLSSNVLNGPIPTSIGDLISLTTLDLSNNTLSGGIPDTLSTLPELEVLNLGSNRLNGSIPLFLAEMRGLRELNLENNDFDGMVPFTAKFLSRLRVFRAAGNGKLCYNRSVLSAEIAVGVAPCDKYGFPVLPPPATARSERSADYDDGGGDGEADARADTRGGPSATVLGVAIGLSCLAFLVILLVCICKVCR >Sspon.06G0024930-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:59310818:59321412:-1 gene:Sspon.06G0024930-2P transcript:Sspon.06G0024930-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPGGRRGAKQRPKWTREPQLGDLVLAKIKGYPPWPAKISRPEDWQHKPTPKKFFVYFYGTQEIAFVSLADLEEFTEKTKNDLLDRAPNIKVQRKYVQVFNDAVEQICKAYDELPKTSEAANGALPDQSEKTTEHLVRSPDVGEIPGLGQMEGDSPTDYSNTSGQGSGTEEDVKDGGHEVGDHSLAVSQKKTSLLQDPKHPKTKKSAASKSALDMYTEQEHSPTSVHTERETEEVKIEKESRSLEGFDLEPNLEVVCALEVPKKSKSNKLLRDAERKENKRAGIGSPTGRIAAEAASDVVLNMSTGKESREFKKSKIMTKLSLTTDSEKRDYNKTVHGKPDKQLTGKSSAGFSSNKKSLPGSGQHKMSSSADTRPAKKPRLMDRAGETDKTVAKSETKNINYEKHNAMKHERSTAMETGKNTILKTGTSDGARRSGGVVSPISRLHSEVMEPASGSVTQSGVADSVKKGSSMKEDASRVDRQLVKPKRRACRFDDDENEGQRTPLHRTSAKSIGEIHRTSAKSIEHVPSEKAGTRGHVGNASGKKSGPAREEKSRSVRMSPVKHEPVFSSPSQDKVHARHQVTGRRLITGSVETPAVIGNKMNLVDCKSSAQVKMPASSEVKKLHSSSSKPSHLTSGNSHSRNYPASEKIALLPKSEETKAKSKPTASVEHKVGTNLSTEWTGKRDHLKEERSSSVDKAASSEPNPDSAKSIKHLIAAAQARRNLMASAHAKFDGSSTDNAAITSTPYGLPGLSPSPVFRIPSPPRIAFPESPGQRILKSPMELDNGHGKSPKSRQASGSPSGGTDAAIARDALEGMIETLSRTKDSIGRATRHAIECSKYGIAGEIVELLIQKLESEPNLHRRIDLLFLVDSITQCSHSQRGVAGASYVPTVQAALPRLLGAAAPPGAGARENRRQCLKVLRLWLERKIMPEDILRKYMGDIEVPNDGTSTALMLKRPSRAERSVDDPIREMDDMLVDEYGSNATFELSGILSSKVFEDDEDFPRNNGSSPFVSRPVESDVIQETEETIAPASVEEHIIRVENVTTDAAMEDALEILGNNQQTDGAVLIEHEHDSSQDPCSEQALIDQDELPPLPDGPPPLPSDSPPPPPPLPPSPPPATPPPPPPPLSPASPPPPPPPPLPSGPPPQPAPPPPQPTPPPQPAPPPLPTQAPPLPSIPPPVPSSPSSLGYQPPAPEYFMTPNGNQLTQMTGNTSIQAIGNTTTFIPGGPVNGQAAVNFVTSMPAEYGNNNVFMAPQTSNVSAHWCTFSAREFQCLSICTNASSSSPLCMAHMNPMGQQAVPPPRNPYAVQSFPNSQSHYPPEEHWRMASGNFSPGGRALSCSEGPFMQDGYSRSNIDRSSMNPMNHQHTVLNHLPSGAPLPGFDAESSGHWRQFELSATVGGFV >Sspon.06G0014330-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:61859515:61862754:-1 gene:Sspon.06G0014330-2B transcript:Sspon.06G0014330-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQKPDDAMAEAAPKAAAVTGPELGFWLAARRRLALDDPFFAAGDLECELLAKHLALDLSEDDRNQLEKMEVASTCTVFCPIASCGAHLDCLEEFEDHYVTRHTASCSVCSRVYPTSRLLSIHVSEAHDSYFQAKVARGFPMYECLVEGCGMKLKSYKSRQQHLIDKHQFPKSFEFFKKARASQRQRQKSQRRQTAHKGEETRDNSMDIDGKGARQTNWRYRPSNMIVMNRKRTSRSIRRPRRKKWRSNRRLMSSPLLYRS >Sspon.07G0004740-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:11577862:11581372:-1 gene:Sspon.07G0004740-2B transcript:Sspon.07G0004740-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATHPASPTAGAGGDRSPSGPPPVRLSAAQAVAAIQPTSPRYFFSSLAASSAAASSPHRRIGIAVDLSDESAFAVKWAVQNYLRPGDAVVLLHVRPTSVLYGADWGSIPVSVDDEPDADIAEGAAAAEEEPEEAKKKREEEFDAFTSTKAQDLAQPLVGAKIPFKIHIVKDHDMKERLCLEAERLGLSAMIMGSRGFGASRRAGKGRLGSVSDYCVHHCVCPVVVVRYPDDAFGDELRTVPENEPVYHEAPEAQKEK >Sspon.04G0034970-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:15658:17080:-1 gene:Sspon.04G0034970-2P transcript:Sspon.04G0034970-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPGRALLAILLAVVLASASAALQPPPCSRSCAALNCDSVGIRYGKYCGVGWSGCDGEEPCDDLDACCRDHEERLVRWV >Sspon.02G0016270-3C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:48651328:48656657:-1 gene:Sspon.02G0016270-3C transcript:Sspon.02G0016270-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLFRESRRDSSHSSSSSNGFLPPAAASTPSSAASALPSPFPDLGVALSAADLREAAYEVLVAASRTTGGKPLTYIPQSSSVAAAGPPASPASSSASSAALQRSLTSAAASKMKKALGLRSSASSKGVGSPGSGGKAATPRRPATVGELMRVQMRISEPADTRIRRGLLRIAASQLGRRAESMVLPLEFLQQFKASDFPDPQEYEAWRSRNLKLLEAGLLVHPLVPLNKSDSSVQRLRQIIRGAYDRPLETGKNSESMQGLRTSVMSLAGRSHDGTSDGCHWADGFPLNLHLYQMLVEACFDNDEGTVVDEIDEVMELLKKTWVILGINELLHNLCFTWALFNHFVMSGQVDIELLSAAENQLAEVAKDAKTTKDPNYCKVLSSTLSSIMGWTEKRLLAYHETFNTSNIESMQGIVSIGVSAARVLVEDISHEYRRRRKEATDVARSRVETYIRSSLRTAFAQRMEEADSKRSSRNPTPVLSILAKDIGDLAMKEKNLYSPILKTWHPLASGVAVATLHSCYGNELKQFVAGLTELTPDTVQVLKSADKLEKDLVNIAVEDSVDSDDGGKSLIREMPPYEAENAIANLVKVWIKERVDRLKGWVDRNLKQETWNPGANRDNFAPSSVEMLRVIGETLDAFFQLPIPMHPALLPDLTAGLDRSLQLYVAKVKSGCGTRNSFMPQLPPLTRCEVGSKLLFKKKEKPQNLQVRVSQNGAANGNDPLGLPQLCVRLNTLQYIRVELENLEKKIKTSLRNVESAQADITDGLDIKFELCQAACQEGIQQICETTAYKVTFYDLGHVLWDTLYVGDTASNRVEVLLRELDPVLETISGMVHNKVRNRAITALMKATFDGFLLVLLAGGPLRAFTRQDSQIIEDDFRALRDLYLADGDGLPEELVDKASSQVKNVLPLFRADSESLIERFKRMMVESNRSASKNRLQMPPTTGHWSPNEPNTVLRVLCYRSDETATKFLKKTYSLPKKI >Sspon.02G0051560-2D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2D:70762125:70763462:1 gene:Sspon.02G0051560-2D transcript:Sspon.02G0051560-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCTLSTQKRAHLAHQAAPAAATSYWSSSLPKDVTIKLAERLVADNDIDCYMAFRAICHNWRTETTNQPGKVDDPTDPTRFQPTKWALLDKQDDHVTFVDVDAGRFLRMSIPILRSYLFVGATSGGLILLGEKEEPHRACLLNPFTGAIAHFKVRAPAEGVMAVAVTMKPLMVFVSLEDGDIIWADQSSEHFKRYNLMDSDKPTLIAAFDGDVYASDPDGAIFMSIIDDVPDGEKCRSAAIIWMGPTIPSPNNNASPDASPEANPGSGGRYYLVQSGGDLLLVTRPLDGVADQPLVHRVDTKRNVLEPVNSIGSRAIFVSHVRCISINADKFRGIKGGCIYFVEPPILAQDDYAPSTIITYQVAAGPQAGLIMFEEGILEGCFRPFTITQVFADYCRSVHYHELYQLIFSNWDWDFTDSESDYEAELWELEEAAEFLGINYEAEE >Sspon.04G0002340-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:8043607:8045923:1 gene:Sspon.04G0002340-2C transcript:Sspon.04G0002340-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEADQKVVVHVRSTGDAPILKQSKFKISGRDKFLKVIEFLRRQLHQDTLFVYINSAFSPNPDELVIDLYNNFGIDGKLVVNYALSAAWG >Sspon.04G0014340-3C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4C:57625320:57629945:1 gene:Sspon.04G0014340-3C transcript:Sspon.04G0014340-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:E3 ubiquitin-protein ligase DA2 [Source:Projected from Arabidopsis thaliana (AT1G78420) UniProtKB/Swiss-Prot;Acc:Q93YV5] MGNRIGGRRKSGVEERFTRPQGLYEHKDIDQKKLRKLILEAKLAPCYPGADDAAAGGGDLEECPICFLVSTRGEISPHPLTPPSLSLSLSRFPPRANFGGGVCCCDAILSKPQPFKVLLKGDMYRVFSSNETDSYCSAYTEEQKVIEAQLRMRQKELQDEEAKMKRKQSSDDNVDVNLEDMMVMEAIWRSIQEQGHLVNPVCGSYFPVIEPPSRERQAFLPAAPLEMPHPGGYSCAVAALAEHQPASMDFSYMAGSSTYPVFDMIRRPCNMSSGSLCGVENSSLDTWSGIAPSCSREAVREEGECSTDHWSEGAEAGTSYAGSDIMADAGTIQPLPFAENFTMAPSHFRPESIEEQMMFSMAVSLAEAHHGRMQAQGLAWL >Sspon.01G0008520-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:29324842:29345432:-1 gene:Sspon.01G0008520-2B transcript:Sspon.01G0008520-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIPRACSILYVGSVVAQTAQLTVDASPQNAQTIPGNMFGIFFEEINHAGAGGLWAELVSNRGFEAGGSNTPSNIDPWSIIGDESNIIVATDRSSCFASNPIALQMEVLCGASGTNACPSGGVGIYNPGYWGMNIERTKVYRVTMYIRSSDSVELTVSLTSSDGLQNLASHTIMGDKEDFAEWTKVEFDLQSNYINTNSRLQLTTTKSGIIWFDQVSLMPSDTYMGHGFRKDLASMLANLKPRFLKFPGGNYVMGNYLINAFRWSETVGPWEERPGHFNDAWAYWTDDGLGFFEFLQVSFEAGGSNTPSNIDPWSIIGDESNIIVATDRSSCFASNPIALQMEVLCGASGTNACPSGGVGIYNPGYWGMNIERTKVYRVTMYIRSSDSVELTVSLTSSDGLQNLASHTIMGDKEDFAEWTKVEFDLQSNYINTNSRLQLTTPKGHGFRKDLASMLANLKPRFLKFPGGNYVMGNYLINAFRWSETVGPWEERPGHFNDAWAYWTDDGLGFFEFLQLAEDLGACPVWVVNDGASIYQEVSSATIAAFVKDVVNGIEFARGDPETAWGSVRAAMGHPEPFQLYYVSIGNQECSKYYYKDNYVKFYSAIKASYPDIKIISSCDRSAISPVNPADLYDVHVYTSSGDMFSKSRMFDNTARNGPKAIVSEYAVTGSDAGRGTLIAALAEAAFLIGLERNSDMVEMASCAPLFVNDNDQRWNPDAIVFNSWQHYGCPNYWMLHFFKDSSGAALHPSTIQVPNYDQLVTSAITWKNPHDGNTYLKIKVVNFGSKAVNLNISVTGLETDIQTFGSIKTVLTSGWLRDENSFQQPDKVVPAASPITNAGKQMGVVLNSYSLTSFDLLLDSDQTVPSASASSLHSSV >Sspon.02G0048150-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2D:33960483:33961958:1 gene:Sspon.02G0048150-2D transcript:Sspon.02G0048150-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSPAPSSQSGTLAAKPTVVLVALWGVSHFAPMVEIGKRLLAIGGSSLTVDVLVVPSPTGKWEAEIFDRIRRDEASGLDIRFHHLQAAEPPTDTTGIEEFVSRYVQQYVPQVRAIVSAMSMACPVAAVVVDIFCTTLLDAPQELGVPAYVFLTSSAAMAAVLLRSPSLDEEVVPGVEFEELEGGLDVPGLPPVPASCLPTGLDNRKIPTYDWFLYNGRRYMETNGIMINTIAEVEPSVLAAITDGRCTRGIRPPTVYTIGPVVAIAPPIQLANECLRWPVARLAANSLRAVHMLGRRRRVLQHATGARHSTGPGTQRASIPVGAARAAGAPRTQTSRSCSRRPTPPWVASSRTAAGTRSSRACGSACRTPPWPNAAEQHFNAYTLVAEMRVAVAMKVDKKRNNFVEAAELERAVKALMDDSEAARKVRDKSVEMKAACRMAVEEGGSSVVSLQRLRDALIQGAVHPKK >Sspon.01G0003580-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:9084314:9087322:-1 gene:Sspon.01G0003580-2C transcript:Sspon.01G0003580-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAAGGSRGGVPRGAALLGVAVALLALSAVAAADFFSPLAPIFSPVINSICSTVACGQGNCTVAPGTLGYRCDCRPGWTQLHVGDSLRFLPCVIPNCSIDSTCFNGSPAPAPALALTPLPAPKNFSLDPCELAYCGAGGTCRKNGSGLSYHCECKEGHSNLLNMTMMPCFQNCSFGADCASIGIHPSSNSPPAPPPPGSESISNQGNVGAPGSISQRILLPLLVVVSLAVGQAI >Sspon.02G0040840-3D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2D:67882789:67883550:-1 gene:Sspon.02G0040840-3D transcript:Sspon.02G0040840-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKGKAAMPRRKIEIKRIENEDARKVCFSKRRHGLFKKASELSILCGATVGSVVFSNSGRSFSFGHPSINDVADRFLNSVAPGDLASGGASHDNSGAVTDTAHRLNMELLELQHALDSENKKKERLQEAIEKENGEHMMQWLNANVLELGLAELQEFQKCLEAMDSAVKEKTNQILLEASQITQGSEPQPPMEIASTSRYQFGQHINANPMALADPSSSNGFIDGFEVNDPLRSGGLQDVCGLGNFQNNQNQG >Sspon.07G0032240-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7C:47444143:47444723:-1 gene:Sspon.07G0032240-1C transcript:Sspon.07G0032240-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GYNTHRYETSKMHEPRTIAATPPSAGRSILSSPPTYDGIGADEYIEWESKIDNIFAHCHMCERRKIKNASSVLRHLASIWWESLSSSDKPHTWNDMKILMRETFVNPSLVINSYDEEHQLDQSPSIPPVVPNLLQDNAQKSGDDMTENEVLPVSCKNSTRDTSANSESKGNANDANLIEDESSLDVLNFSTNH >Sspon.08G0027510-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8C:51186276:51187926:1 gene:Sspon.08G0027510-1C transcript:Sspon.08G0027510-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ADNSCSVEFDSSGLTLKDLASRRPLLRCDSTGPLYTLRFPAATSSSPSVLSAAFATSTSSTTWHRRLGHPGRDALMQLSRSSDIPCTRAHDEHLCHACQLGRHVRLPFHSSSHAARIFDLVHCDLWTSPVISISGYKYYLVVVDDFSHYSWTFPLRAKSDTFHTLLHFFTWVSTQFGLTIKAVQFDNGREFDNSTSRAFFLSHGVQLRMSCPYTSSHNGKAERMIRTTNDTVRTLLFQASLPARFWAEGLHTSTYLLNRLPSAACPAPTPHHALFGTPRYDHLRVFGCACYPNTAATSSHKLDPRSTLCVFLGYSPDHKGYRCFDLTSRRVLISRHVVFDESVFPFSNPPTTTTPDPDPSSLFPTDTVVQPPFPWSPAGTASPSSLPGSCPSSQAGPGPSSSGAAPASPLAADSAPSSSGAAPAPPSWFAAPLRVYQRRAWPPPLEVSSPPGTPTPPPQSPPARVAPPVYHPPLLHRHPRHVHPMVTRHAAGTLPPRALAASPGDAPVSPVPSSVRDALLETHWRRAMEEYATLLANQTWELVPRPPGSN >Sspon.06G0010720-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:58279912:58285262:1 gene:Sspon.06G0010720-1A transcript:Sspon.06G0010720-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTKTLGPHPRGDDGDRPPRPPRQDKGKAVEQPRQKKQKHPDRQTQQSIEVAATTKAAKCGGNNGALRIGGSGPHSTQPLRRSPRGRTFVYPAEPQQQPEPGLGSRSHHRARDDSDVPPTEAEESRVLRKVRRGLSAEEREEQDEAIRQDEHEDLAHLFGEDLPSSDSSDGDFRVPSPPPPPQAHDAEAGGSGSGNAQAGGSGSAADSSRDQWIREIYSRYSDLFPPSSFCHVILGLIMSANFQVPAVFKPLANLRNEEQERMVKAEGTHQATCDTAGKIRSGRSAFTAIGLLTFETGARATIGGCSKTKRENKTRACLQIISKTMLSKKLQHGNRKKNSTTGQEVPWALQ >Sspon.04G0032590-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:28888530:28890855:1 gene:Sspon.04G0032590-1C transcript:Sspon.04G0032590-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAPSACAASTSPPPPSPRADNPQLRHRRESLRCHRYCNVHRAACQVFPFFCIRDVRVSGAAARGPQRGGPGEGALRWSGRPWDGCSGSSRLLQRLFGQIRRLCGRIRPLSTQSVLDPRFMLYFCKARQILLDERSNEKKIAQANVIAENLSEDEIAGLRHMFKMLDTDNSGQIMSEELRSGLKRVGANLKGLGNCNINLRN >Sspon.03G0022520-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3A:68618819:68619279:1 gene:Sspon.03G0022520-1A transcript:Sspon.03G0022520-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEAAAAKDAMPGKGISPNVETYNCLITGFTTSRDWRSVSGLLDEMKEKGVKADVVTYNVLIGALCCKGEVRKAVKLLDEMVEVGLEPKHMTYNTIINGFCEKGDAKGAHEIRIRMEKHKKRANVVTHNVFLKYFCKMGKMDEANVVLNEMLE >Sspon.02G0022540-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:76235453:76236806:-1 gene:Sspon.02G0022540-1A transcript:Sspon.02G0022540-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASQDVWDWEVLPDNRSFSMSHDSKNLDDQETEEHDLLPPSEDVDVHEPADECKDIGVVPDETKPVPSVVADLMVSDDGGEEEEKAFQSPPDSKEAAADADDKFAQEEEEEDIKKEDNDDDKARPLQCVVFSVGKLKVNGIVGALCSFGVAATTVCIFLIGGRLQQHHRQREQQKIQLQFYGDDKRIQQVVQQTSRLNQAMSSVMGAGGASTRANISFGGFYDGF >Sspon.05G0034080-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:48758909:48763497:-1 gene:Sspon.05G0034080-1C transcript:Sspon.05G0034080-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ECGRCKLASEIFDELKSASGVNENLQQLSDIVEEIKSFLQNVGDKIINDPAFTWMTELKEIAYDIDDLLSDFNMEANKCKSIEINSEKHVMV >Sspon.05G0014750-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:48547467:48549227:-1 gene:Sspon.05G0014750-3C transcript:Sspon.05G0014750-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQGTSLLPKDKGPTAEPGKAIGIKRLQTDTPSGPAYHNVYVRRKVESEHSKVNPSQELKGNGKDKAKELEACQDVQHEEANKPQVASPVAESVELVSSKSPEQPNAEIVPEKAEPPVASSTGIHEEVKQSSIEYWNERFNRLQTYLENCDNSTQEGYLRKLRSLSAAGRNMHAIELEKRAIHLLVEEEWLLNL >Sspon.02G0010990-1T-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:33845501:33846895:-1 gene:Sspon.02G0010990-1T transcript:Sspon.02G0010990-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPSGTMRAVQYGRYCGGAQGLKHVEVPIPSPKKGEVLIRMEATSINAVDWKFQNGIARPFMPRRFPFISGFDLAGEVVELGAGVTSFKPGDKVIAINFPDGGGLAEYAVASASLTVARPPEVSAVEGVCVPIAAVTALLALQRAGVSLDQAHASTTRPKNVLVTAASGAVGHFAVQLAKMGGHSVTATCGARNLALVRSLGADEALDYKTPEGAKLRSPSGREYGAVVHCAAGFPWSVFRPVLAAKGTVVDITPGFVAGVTAILQMVTFSKKRLVPLFAMAKKEDMELLLGMLKQGRIKTVIDSRHPLGSAHEGWAKSMSGHATGKVVIEIGAAQPE >Sspon.04G0004010-2D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8D:40089833:40091113:-1 gene:Sspon.04G0004010-2D transcript:Sspon.04G0004010-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAACGGAPGGGPGGWRRRREGEENPSLIPCFRCATLIETVYCSQPAGFVDSSRPDLVCRLNKSLYGLKQAPRAWYSRFATFLQTLGFTEAKFDTSLFIYHYGGETAYLLLYVDDIVLTASSESLLHRIIASLQKEFAMKDLGVLHHFLGVTVEPRPSGLFLHQRQFTCDILERAGMTDCTSCSTPVDTQGKLSEAGGLMLGPADSTAYRSLAGALQYLTFTRPDITYAVQQLCLYMHAPREPHLAAMKRLLRYLRGTLGYGLLLGRSSTAELVVYTDADWAGCPDTRRSTSGYAVFLGGNLVSWSSKRQPVVSRSSAEADYCAVANGVAEAAWLRQLLAELHRPLARSTLVYCDNVSAVYLSTNPVQHQRTKHVEIDLHFVRDRVAIGDVRVLHVPTTSQFADIFTKGLPSSTFSEFRSSLNVSGG >Sspon.06G0022240-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:25920225:25931682:1 gene:Sspon.06G0022240-1B transcript:Sspon.06G0022240-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLYNKYSANPWLVVGVMAASIGLISTLELTIHRRLLGGSTPCTPTIGMVRELTRNVDPQEYDPQHVSIGPYHRKRSPNVWRYNDKLASLDAILSAATPAKTVEAYLAELATDEDRAWSYYANTFDDMTSGEFLRMLLLDACYVLHWFGYAVGVSQNGAPAANGHVQGGYHYQHQAGSDNKQEVLAVVRDVFYLVENQIPYFILDKVHKLTFSGAAGVVPLSDTLAENLSQYILQKQQYTMARIQPPAEPGNLLHLVHMHFLKPTSTCNLVTDRAAASGQVAHGNGLLHQRCELEGPPRRRRVDGGPLHPGLARCILDVTLVVPRLRIDAETGRILRNLVALEQQNPGVGSHVTAYCVFMSQMACTASDVGLLSRTGVIVHLLANDGEVAAFFSDLCRGVLFDPDDADHNYLRATCQVLDKLYRSRPRRCMALLYNKYSANPWLVVGVMAASIGLISTLVQTLYTALSYYGGPQ >Sspon.07G0010430-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:32710402:32713194:-1 gene:Sspon.07G0010430-1A transcript:Sspon.07G0010430-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAALPRSPPLLQPTRRRPAPPACTHSERGVSFDPGSAFYRSDSAAGRDLAVLAATLHRCRGRPDPSAPFLCLDAMCGCGVRALRYLAQAGADFVWANDASEALRPVILANLSRFERSSAAAEAGRRRWVVSHNDATRLLAERYLRREYFDVIDVDSFGGDAAYVRAALLALKIGGLLYLTSTDWRSARGYGSRSSLSSYGAYVRPMPYPNEDADSINVVGPLWTGPLHDASFLTEMLSLANEWGWARTIENGVTLEKLLGMMIEESDPRLPPGYIRLDEISRRAKVNSPPLGTLINSLRKEGFSACRSHIGANTIKTNCPIASCINVAQDIRNLR >Sspon.03G0042200-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3C:52627578:52627696:-1 gene:Sspon.03G0042200-1C transcript:Sspon.03G0042200-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHDGPSEFSCNSRFLRRLCSRLRLDNEPSWLGSARLVLA >Sspon.07G0008450-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:19877704:19881458:-1 gene:Sspon.07G0008450-4D transcript:Sspon.07G0008450-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSQCCDNPPALNPASGEGKVVDSFGGLKAYVAGPEDSKAAVVLVADVFGFEAPILRKIADKVASSGYFVVVPDFFHGDPYVPENGKPIPEWIKSHTPEKGFEEAKPVIAALKEKGVSAVGAAGYCWGAKVVVELAKAHEIQAAVLLHPSFVTVNDIKEVKCPISILGAEIDKMSPPELVKQFEQVLSVNSGVGHFVKIFPGVAHGWSVRYSHDDAAAVKSAEEAFADMLDWFNKNLK >Sspon.01G0035910-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:15407451:15409808:-1 gene:Sspon.01G0035910-1P transcript:Sspon.01G0035910-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSKPDRKSEHGASRLHFLEERDEETLSRRLIKLSQNNKVTSATELFDSMRASGLQPSAHACNSLLACYVRRSPLADAMRMFELMKGKGMATGHTYTLILKAVASNQGYVSALEMFNKIQEEADSKKIVDAIVYNTMISVCGRAKEWMLVERLWRRLEENSLSGTLLTYDLLVSIFVQCGQSELAIAAYQEMLQKGLDPTEDIMKAIIASCTKEGKWEFALSTFSRMLSAGMKPSLILFNSIINSLGKAGQDELAFRMYHLLKKSGLKPDQYTWSALLSGLYRSGRCWDCLELFQGIKAKHPALLNDHLYNIALMSCEKLGQWEHGLQLLWMMEKSGLEISVKVAPDSSIYNAVIHGLCLRGKIGLANRVYAKMRSIGLVPDGKTRAFMLQHIATD >Sspon.02G0035550-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:20110465:20111884:-1 gene:Sspon.02G0035550-1B transcript:Sspon.02G0035550-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRNEESELLIEQLKEELRQQKLKAKEDVEDLTQEMAELRYQITGMLEEEYKRRSCIEQAAIQHIQELETQVSKEKTKLSGTLRRLQESHELAHTQAMEIKKLKAALQRFNSVTNLGSVCKSCSCGFSAMLLELSNCSIEGPSGGARSPSSNHIDDKSQNRALIEWRPGEASDGDG >Sspon.08G0011500-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:45938813:45943008:-1 gene:Sspon.08G0011500-2B transcript:Sspon.08G0011500-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGIVVSALVLFSWVLFLSCIFAVKVLHNARVAIFSAVDGLSKVRGVNLGGWLVIEGWIKPSLFDGIPNGDMLDGTQVQIRSVVLNKYVSAANGGGSNVTVDRDVASTWETFRLWRVSDNEFQLRCLGGQFLTSNSEDGLILATAKHPLSTETFFIERNAGRVHIRLSNGGYVQATNDHLLISTYQFQPGWDNNLATFELVIVANNLHGDYQLANGYGYDKAKMVLEEHRRSFITANDFDFLSRNGINTVRIPVGWWITQDPYPPSPFVGGSLAALDLAFSWAQSYDLKCIIDLHAAPGSQNGNEHSASRDGSVDWPSPEYISQTLEVIDFLATRYGGHPSLLGIELLNEPSAVTVPLDVLVSYYMRGYQIVRNHSSTAYVILCQRIGNADPIELFQAGIGLSNVVVDLHYYNLFDPYFATMNSIQNIEFIYKMRAPQLQDLKAMNGPLVFIVLLDYIQSHVLAHIILICTEHGAMSLCSGEWVNEWDVQNASQSEYQKFGRAQLDVYANATFGWSYWTIKNDMIHWDFEWNIQNKYLLFSKWFIHGEDTKLPAAASIRMGNSPDHYAKITWIIVTELIESVDVHIDHQ >Sspon.04G0010740-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:32960334:32961476:-1 gene:Sspon.04G0010740-1A transcript:Sspon.04G0010740-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSTTTVEDLPADVLACALRRLDGPSLAAASCATAGLRALAVDPETWRALCLARWPSLAARPDLLLSSSAAVSPQRLFADAFPFPCDASSAEQLPDPVPVPSELVSAVDVYHRGEPLLSRVVETSTSSSWFLTSPFCVDAVECKSGPAVPIVGRAAAAVAPAELELSWVAIDPASGRAVNVSSRRPVAVDRHWYTGDTLVRYAVVLGGCKFEATVTCSQETGHVREISLTVEDADGAAVSGEGTLRLLAAAMAGPRKGGAEEQEEAAKRRYEDFVRSKRGRKESKARKEVLVDLCCSAVSAVAVLSFIATVVLRYMYNNVPVKPKRQKH >Sspon.05G0003420-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:9912258:9913925:1 gene:Sspon.05G0003420-3D transcript:Sspon.05G0003420-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKNSHLKDLQALGPLEVLRADLDEGGSFDEAVAGCNYAFLVAAPINLASGRIQRCRSQLFTKELIEPAIPGTLNVMRSCVKAGTVRRVVLTSSAAAVYIRQDLRGGDDGHVLDDESWSDVEYLKANKPPTWGYSASKVLAEKAESSLVTVCPVITVRRTSILHCLSLLSDDHQIDIGRYAMPCMHAFAHEHGVSLVTVCPVITVGAAPAPTTGTSILHCLSLLSGDKASLGVLKVLEQVSGSVPLVHIDDLCRAELFVAEEAAAAGRYNCCSHSTTVVDLARFLTQKYAQYAVRRNLHTYDQDQLLEKPRACILSAKLVREGFSSSTTPWMNVIEYGKALGILSN >Sspon.03G0024630-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:74825642:74832102:1 gene:Sspon.03G0024630-1A transcript:Sspon.03G0024630-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVSPFLALVLIAALSLLLLFTIRKPSQNRGDARRLPPSPPGLPVVGHLPFLGSLPHRKLRSMAAWYGPVMLLRLGRVPTVVASSAAAAQEVMKTRDLAYASRPRVRMAERLSYGRDMAFAPYGEHWRQARRVCVLHLLSQRRVHSFRHDREQEAAALVDRVRREGARDDAVNLAALLISYTNGIISRAAFGDDGSSGNYGGEKLTKLFADLEELLGTITVGEFVPWLAWVDTLMGLDAKVTRTYEEMGALLDRVIEDHRQRRRAGGYRKDDDHRDFVDVLLDVNEAAEDTDAARGVMFDNGSIKAIIRNMFAAGTDTTYTTLVWAMAELINHPHEMRKVQDEIRAAVGGGGGATEDHLKKLRYLKCVIKETLRLHTPVPLLLPHETIEDTELLGYHVPVRTRVAVNAWAIARDPATWERAEEFVPERFAGDDLTKDYLPGQDFRFVPFGAGRRGCPGVGFAVPTLELALASLLYHFDWELPAGAGSKLEMDEVNGLSRSADMDVSPFVALLLVALLSLFLFATRRKIDSPSRGGDGRRLPPSPPGLPFLGHLPLLGSLPHRRLQAMAASHGPVILLHMGRVPTVVASSAAAAQEVMKARDLAFASRPRVRMAERLFYGRDMAFAPYGEHWRQARRVSVLHLLSQRRIHSFRRAREQEAAAMVGRVRRAAGGRGDDAVVNLNAVLISYSSGIISRAALGIGDVQSYGLDGTARSGGEGERLTKLFDDFEELLGTVTVGELLPWLAWIDTLMGLHAKAARTSAEMDAFLERVIADHRQRRRAGHRERREGGGDDHRDFVDVLLDVNEAEHDDAARGVLFDNDSIKGIILNMFAAGTDTTYTTLVWAMAELINHPHEMRKVQDEIRAAVVGRGGDDVTEDHLQDLRYHRRVIKETLRLHAPVPLLLPRETMENTELLGYHVPARTRVVINAWAIARDPAAWERADEFLPERFVDDDMKTTDYLLGQDFRFVPFGAGRRGCPGVGFAAPAMELALASLLYHFDWELPAGGPPKVEMDELKGLSVRLKTTLHLTAKPWSPQ >Sspon.01G0055190-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:35185374:35186213:1 gene:Sspon.01G0055190-2D transcript:Sspon.01G0055190-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GGDGHLRGSGGDDAGSGGGHLHHLRHLLLPLPLRQAVPRRRPHHRRRRRRRRRRPAGPGPAPLRVRGRGVPRRGRRHGRGRHRGAAEEGGGRRGPARGLRRVHRRGGPRGGGPRAAPVRPRLPRGVRRHVAALPLHLPAVPLRGGRGGRRRREGRGAGGTRGRPGVAQLPHQRPLLRLPGRCQHPGRRRRRAGSGGSAGGAIGDAAAGAPVGGPRAHRRSRGGRGGGQSSRPTAAAGLRRRRHARAVAGAAAAAEPRRRLGVRARWRRDQRVAAGEAAVE >Sspon.02G0017200-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2B:49146343:49146879:1 gene:Sspon.02G0017200-2B transcript:Sspon.02G0017200-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLAAVAVALAAIAAVAGAHETYYASVENHLPALGMKLACHAMGGFLTELSVVPRGRVPHGRAGRRVAELLVEQGQHGWVRCNWAYAGNYVAGITVLDSRWPEARRCQDPAGQGLCRVVFENDVVVLKTPDRGERVIGDLPVKRCRRHWMLFSTGCTYPDHHYPYAGRRLGSAFEYFA >Sspon.02G0031630-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2B:109276191:109284601:-1 gene:Sspon.02G0031630-2B transcript:Sspon.02G0031630-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GRGLAHGDGVRLRLRRARRRRRRVRVRERDPHGVPVPVPVTVPVRVTVPSAPGTPTAAAASPGPVAGPRPAPGYTVVDAAMDKKEDGPGCRCGHTLTAVPAVGEEGSPGFVGPRLILFGGATALEGNSATPPSSAGSAGIRLAGATADVHCYDVLSNKWTRLTPLGEPPSPRAAHVATAVGTMVVIQGGIGPAGLSAEDLHVLDLTQQRPRWHRVVVQGPGPGPRYGHVMALVGQRFLLTIGGNDGKRPLADVWALDTAAKPYEWRKLEPEGEGPPPCMYATASARSDGLLLLCGGRDANSVPLSSAYGLAKHRDGRWEWAIAPGVSPSPRYQHAAVFVNARLHVSGGALGGGRMVEDSSSVAVLDTAAGVWCDTKSVVTTPRTGRYSADAAGGDASVELTRRCRHAAAAVGDLIFVYGGLRGGVLLDDLLVAEDLAAAETTSAANHAAAAAASANVQREPGRYAYNDDQSGQTVTVSSPDGAVVLGTPVAPPVNGDMYTDISPENAIMQGQRRLSKGVDYLVEASAAEAEAISATLAAVKARQVNGEMEHSPDREQSPDAAPSTKQNSSLIKPDAALVNNSTPPPGVRLHHRAVVVAAETGGALGGMVRQLSIDQFENEGRRVIYGTPENATAARKLLDRQMSINSVPKKVIASLLKPRGWKPPVRRQFFLDCNEIADLCDSAERIFSSEPSVLQLKAPIKIFGDLHGQFGDLMRLFDEYGAPSTAGDIASDPTENDSVEGLRPNARGPGLVTFGPDRVMEFCNNNDLQLIVRAHECVMDGFERFAQGTANNAGAILVLGRDLVVVPKLIHPLPPAITSPETSPEHHIEDTWMQELNANRPPTPTRGRPQAPNNDRGSLAWI >Sspon.04G0005830-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:16502795:16510790:-1 gene:Sspon.04G0005830-1A transcript:Sspon.04G0005830-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding NGRDGHGPPRSGRGRGRARGRRRRGGGHPRRRRRGDIANRASAADGADDGRPVAAGVDVPDVEHRALLVRAHELPQPVLLLPDGAARGDGDHGAGGVAARGPLHGPGAAAHQVPGARAAGRRGVEPQPGPLQHQGARAHLHLRQRWLRVRWRERLRRRHHQHHQSLLRPPHLLHHSLAPRHHHAGARVRVGRSDPQVRGGAGAHVVAGHARPGLPLPALHEKDESAKASRQISRSKFFVVVLACSFAWYAVPGYLFPTLTSISWVCWVFSKSVTAQQLGSGMKGLGLGAFTLDWTAVASFLFSPLISPFFAIANVFFGYVFFLYVIMPIAYWGFDLYNAKTFPIFSSHLFMSNGTNYDVKSIVNNQFELNLDAYKKNGKVNLSIFFALTYGFNFATIAATITHVGFFYGKEIYHRFKSSQKEKPDIHTKLMKKYSEIPAWWFYSLMALSIIVSLLLCTVLKRESPGLNVITEYAMGLIMPGSPIAVVCFKVYGYMSMAQAVAFLSDFKLGHYMKIPPKSMFLVQFVGTIVAGTVNIGTAWWLLGSIHDICSDSLPPDSPWTCPSDRVFFDASVIWGLVGPRRIFGPKGNYGALNWFFLIGLAGPVIVYAFHRMFPNQKWIPLINLPVLFGATAYMPPATAVNYNSWLFIGTIFNFFVFRPKQSTRRRCQYRSAYYSIRPRTMGEIGTDDRGLAEPVGAVKEEGILDDDDEETSPIEQVRLTVPTTDDPSLPVWTFRMWSIGLLSCALMSFLNQFFSYRTEPLIVTQITVQVASLPVGHFMARVLPRTRFRAPAMLGGGEWSLNPGPFNIKEHVLISIFANAGFAFGVGSAYAVGIINIIRAFYQRQISFFTAWLLVITTQ >Sspon.04G0000880-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:4201507:4211187:-1 gene:Sspon.04G0000880-3D transcript:Sspon.04G0000880-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carboxyl-terminal-processing peptidase 2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G17740) UniProtKB/Swiss-Prot;Acc:O23614] MLSSYSSLMITLHGNLSRCYKAMSALRPDGASRHFMSASFGRLVLGVLLVMSISAASYTAPSSSALTEENLLFLEAWRAVDRAYYDKSFNGQSWFRYRENALRNEPMNTREETYAAIKKMLSTLDDPFTRFLEPEKLKSLRSGTQGALTGVGLSIGYPMAINGSPSGVAVMTATPGGPAEKAGILPGDIILAIDDRSTEDMDIYDAAERLQGPEGSSVDLSIRSGTNTRHVVLKRQTVTLNPVRSRMCEIPGSKDSSKIGYIKLTTFNQNAAESVKEAINTLRDNNVKSFVLDLRNNSGGLFPEGIQIAKIWMDKGVIVYICDSQGVRDIYEADGADTIAASEPLVVLVNKGTASASEILAGALKDNKRAVVYGEPTYGKGKIQSVFGLSDGSGLAVTVARYETPAHTDIDKVGVIPDRPLPASFPTDEDGFCSCLRDSTAACNLNAAQLFARS >Sspon.03G0033680-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3B:54377102:54378988:-1 gene:Sspon.03G0033680-1B transcript:Sspon.03G0033680-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPLGSQEVHLEASLIKTQHQLGVPRSTLSTASQRARLEEDLRAPSHAALRKRLVHDRVQQPKEKQGATKRRLTGTSGVEAPTLSFEMLATLLDLVLSSVSRIVLHLLSGHRRLKASHLGHQHLDLRLSSCLMLHELPLGVRGLAYIALLDESGPSDQTDLHPTVFLDPQRRWSIIRETRPDWSRGQGGRPRAQGGRPVSWPVTAPVRSRGFWNLLDVR >Sspon.03G0042660-2T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:37845836:37846087:1 gene:Sspon.03G0042660-2T transcript:Sspon.03G0042660-2T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGKSSFFASLFGCKKKQGSGGRQEEAAPPPQRYYHGTRVRPSDDDYYYGQNWYADRDINRRASEYIERVHRGMLATSEQDE >Sspon.06G0016140-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:77288485:77293544:-1 gene:Sspon.06G0016140-2C transcript:Sspon.06G0016140-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-carotene isomerase D27, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G03055) UniProtKB/Swiss-Prot;Acc:Q7XA78] MEVAATCMLLAHAHGVGVLPASSRSSSSPSVRKQSYTRRKHLTAMRGVMARPQEVVVAPAPPARPAAPPPPPARERTAPLPPAPATTTTTTYRDNWFDKLAIGYLSRNLQEASGVKNGKDGYEGLIEAALAISALFRVDQQWETVASALERAFPSYILTMATLAPVRMQIKVMMPPSRFSREYFAAFTTIFFPWLVGPCEVRESEVDGRKEKNVVYIPKCRFLESTNCVGLCTNLCKIPCQKFIQDSLGTAVYMSPNFEDMSCEMIFGQQPPEDDPALKQPCFQTK >Sspon.03G0029290-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:14766848:14770086:-1 gene:Sspon.03G0029290-1P transcript:Sspon.03G0029290-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGAGTRRRRLVERGSDRLAFITGQTRSLSCDPIPDSPLRSEFEGGTDDGKFSERNQSQKSEPSDLVPEFQRPGIRQGVKARTLSYDDLVPEFQRADGRREIKASTLSYEDELFRKFKTGSAVPEIQPVNQTPLPTHEQETVSKNTSHDGVSKSTSHDGVSKNTGHDGVASVQPSREVEMRPRSAPPSQSSQADNSGWSVETLKELLDFTPQEITKAISATESNRFLASVAIALLVVLSNWGLDIGGAITRVLVGTRPLLFLIITNITIVFTLLMENKDPNVRSRPVGANLGSADSLGQMLEIGLLLQKALGALLIDCSVCAVIMICFLGF >Sspon.05G0032800-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:33399223:33400393:-1 gene:Sspon.05G0032800-2D transcript:Sspon.05G0032800-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding NMEDSGLFMQWAMTTLQHDEDLAVAPMDDGRGGGATFPSLQALREASQAAEMIQELIGEPHAANNSRCSGSDGDTNTTDGGGTAGNISGTAPRSSSSGSATATAQPVSWNFGAAPAPPGRDGGVPEAGAATGSLLPDLVYGSPPTRRAVVLKSVGSIYAQDHIIAERKRREKINQRFIELSTVIPGLKKKMAPCNTLGSDQMDKATILSDATRYVKELQEKLKALEDGGCGSNDRSITESWVLVKKPCIAVPDEDAAGSSPSWDSSGTTSPARNPLPEIEARFLNKNVMVRIHCLDGKGVAVRVLAELEELHLSIIHANVMPFQACTLIITITAKASFFLFIFHNCTLKSIHIIMLHYFLT >Sspon.02G0026890-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:95967669:95971171:1 gene:Sspon.02G0026890-1A transcript:Sspon.02G0026890-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGNLQVHVNKKNRSRSLPVRVSPAPLLARTHTCHRRSATAQPKRDVAAALRSSRRRRSISHTTSIAQPRLGLVRCRRAELAPWGRAPRAAEMRLIRWGHHLSGGVRVGRVGTPTGPDAGRYLDTAGRSHSHRGAGAAIRVWRRMTCRCCWGAGAAGFEEGDDADPRGLAAPPPRAPSARNRSLHRGSFLRWGQDAPSSGCATTATTRARHARESRVRLNRRSTSSAVRARRGSANHRRSASCTRIDGVSPATNRGEQLVLDGNHRTSIPSSSCFTGPLRERRSREGRGLGARRRRRCRHHITPSVSAGRSSSRRHRR >Sspon.08G0011700-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:50553684:50556093:-1 gene:Sspon.08G0011700-2P transcript:Sspon.08G0011700-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTTATRTAPVVRHGGSSVPFDWEERPGTPKRWFGGGVAANEAAWDTEFEFGTHVGKAAPVETLTTADELFEKGKIRPLKPKAKTADEPKIRPLKPPPGLLDGGSVASSPRSPMARGAIGRRGGGAGSAPASTSTRSPPRCWRQPRLHRHPRSAAKIASPGSPPKKPAARTASRSRSAGWRRWRLSDLLLFRSSSDGGRVNKQEHPDMKAVQQFNEPIKKASAQPAVTIKANAKGDDMGKDWKRHGNRNAAAAAESVAGCARLSPLQRLAKGLGAYSWHQHHHGMAAPANKGSEYEY >Sspon.02G0003750-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:11020773:11025439:-1 gene:Sspon.02G0003750-2B transcript:Sspon.02G0003750-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable 6-phosphogluconolactonase 1 [Source:Projected from Arabidopsis thaliana (AT1G13700) UniProtKB/Swiss-Prot;Acc:Q9LMX8] MALSDFFWLLFIGRKYASVIVVQIKEAMERESSTAYEPKRNCEIRVFESSDEISTDLAEYISQISEISVKERGYFAIALSGDPLVSFLGKLCEAPYNKTLDWSKWYIFWSDERAVAKNHAESNYKLTKEGFIAKVPILHGHVYSINDNATVEDAATDYEFMIRQLVKVRTIGVSERNDCPKFDLILLAMGADGHVASLFPNHPAMELKDDWVTYITDSPQPPPERITFTLPVINSASNIAIVSTGDDKANAVHLAVSDTTEGPDAPTSLPARMVQPTDGKLVWFLDKEAASSLESMNDDASYERHEY >Sspon.08G0014880-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:57273515:57274958:-1 gene:Sspon.08G0014880-3D transcript:Sspon.08G0014880-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRRLLLLLVVVALFASMSASGAATITVDVKDYGAKGNGVDDDTKPLMTAWKAACGSAGAVTMLLSPGTYYIGPGTLKADTDLSRFRNDWIEFGWVTGLTLAGGVIDGQGAAAWPFNECPFRKDCKVLPTSVRFVNSRNTAVRDVTSVNPKFFHIALLQAKNVRMSGLRISAPPDSPNTDGIHIERSAGVSITDARIGTGDDCISIGQGNDGVDVARVRCGPGHGMSVGSLGRYAGEGDVTRVRVRDVVFTGTDNGVRIKTWENSPTKSSAAHMLFENLLMEDVRNPIIIDQKYCPYYNCEHKVSAVLYVNDVVARHVAAIGDDYISCACEKCCSRIDYSTCPG >Sspon.07G0026840-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:49851639:49858138:1 gene:Sspon.07G0026840-2D transcript:Sspon.07G0026840-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSESSRAFHLDAPSSSSSAAAAGDHHHHGKPASATTAGGGGGGGSVPFHRLFAFADGADAALMSLGTLGALANGAAMPLMTVLFARLIDAFGGATDTRDVVARVSNVSLQFLYLAVASAVASFVQVACWMITGERQAARIRGLYLRAILRQEVAFFDQRATTGEVVGRMAGDTVLIQDAMGEKVGKCIQLLVAFAGGFAVAFAQGWLLALVMLATIPPLVLAGALMSSVVARMASLGQAAYADAAVVVDQTIGSITTVASFTGEQRAVAKYSSSLKRAYSSGVWEGLAAGVGMGIVMVLLFCGYSLGIWYGAKLILEKGYTGAQVMNVIFAVLTGSLALGQASPSMKAFAGGQAAAYKMFETINREPEIDAYSTAGRKLDDVQGDIEFRDVYFSYPTRPDEQIFGGFSLAIQGGTTVALVGQSGSGKSTVISLIERFYDPQLGEVLIDGVDLREFQLRWIRSKIGLVSQEPVLFTASIRDNIAYGKDNATDEQIRAAAELANASKFIDKMPQGFATSVGEHGTQLSGGQKQRIAIARAILKDPRILLLDEATSALDTESERIVQEALDRVMTNRTTVIVAHRLSTVRNADTIAVIHRGSVVEKGSHHDLVRDPEGAYSQLIRLQEASHASEGANYQNKPSRKGDSGIHLGKQMSTNQSPSQRSPQNSSSNHSFSVSHGVPLEIDVQNSSSKNIDEEIQHEVPLRRLASLNKPEIPVLILGSIASAVSGMIFPIFAILLSNVIKAFYEPPRILRKDAEFWSSMFLVFGAVYFLSLPLGSYLFSVAGCKLIRRIRLMTFEKVVNMEIEWFDYPENSSGAIGARLSADAAKVRGLVGDALQLNLATLVAGLVIAFVSNWELSLIILALIPLIGLNGWIQMKFIQGFSADAKVMDLYKKKCEGPLRAGIRTGITNGIGFGVSFFLLFGVYAASFYAGARLVENDKTTFPKVFRTVALVGESGSGKSTAIALLQRFYDPNAGHILLDGVDIQKFQLRWLRQQMGLVSQEPSLFNDTIRANIAYGKDGQATESDVVAAARLANAHKFISSLHQGYDTMVGERGAQLSGGQKQRVAIARAIVKDPKILLLDEATSALDAESERTVQDALDRVMVNRTTVIVAHRLSTIQGADVIAVVKDGVIVEKGTHDTLIKMEGGAYASLVTLHSAAPS >Sspon.01G0058750-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:34874444:34875664:-1 gene:Sspon.01G0058750-1D transcript:Sspon.01G0058750-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding APPRIRTIPPSSPPQPLPRLVGRPAPQLPSPSSSAAASHRRPRQLLAATGRATNAPSSMPCFYRLYAAAAADGTPTRLHRSSPRPPPPPAAPSALASSAAPPTCSCRQSYKSHVWMDLDSAAQWSCNFVPPAPSRTLSARAPRSSSEATWASISREYIEAGNGMKINNTKM >Sspon.08G0030180-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8D:56544648:56545546:-1 gene:Sspon.08G0030180-1D transcript:Sspon.08G0030180-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIPLPHPTPRDSLLEKKNSYSSHSASRRRHSCVHLVRPAASHRHRAPPSCARPLTPPSPARAPIIAPRPLRLRAAIHSLSSRPSTQPPHDHPPRVACPIRTHPSHPRRAPPPPPRHHREKVVSGRDIVSRGQPKTPRQGGPLFQSYVAASCSILQVFYLRVLCCSDEDEPGASRQGRYGWGGALGHAHRARGVVREGGHGGLRGERHVGGPAD >Sspon.02G0001860-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:4893490:4901329:-1 gene:Sspon.02G0001860-1P transcript:Sspon.02G0001860-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASAAPGSAERQARGGAASATGNAVFKSGPLFISSKGIGWKSWKKRWFILTRTSLVFFKSDPSTLPQKSGEVNLTLGELTLIILGVVREDKRLLTVLFPDGRDGRAFTLKAESSEDLFEWKTALEEALAQAPNAALVMGHNGIFRNDTTDVYEGAIPNWREKRPIKSLVVGRPILLALEDIDGSPSFLEKALCFLEKHGIKVEGILRQAADVEEVDRRLQEYEQGRTEFAPEEDAHVVGDCVKHVLRELPSSPVPASCCTALLEAFRLEIKESRIKSMRAAISETFPEPNRRLLQRILKMMHTIASHTAENRMTPSAVAACMAPLLLRPLLAGECEMEDDFGMSGDNAAQLIAAANAANSAQGIVTTLLEEYESIFNDEHFRCSLSPDSQTGDSGSEESTDDETVDIKDNGFHDAENDVDQELDDAERILSGKLSETSASARADLNDYKEVNGKNSDAELSEDNTFESNVDLNDAPLSRLTENGKDPSNLVFTHETPLSVGEILLSLDAGIPLPGRAAEYSNDRHSSKPNGTQQHVKRTNLWGRSNARKGQRLELVDPSGEEELAIQRLEVMKNDLQIRIAKEARGNAILQASLERRKQALHERRVALEQDVSRLQEQLQAERDLRAALEVGLSMSSSQFSSSRSMDSKTKAELEEIALAEADVARLKQKVAELHLQLNQQRQHQYSSSADANDLPQHLPNHLSQNIVQTGFDRSIAFCNQEKKQRNEESLPSTSHWRSIKQHVLSHGSSRPFSLKHSLDTSLSDSREASTSVPAEGGPMLVNIPRTTEQGVEYGRPPSVPSSTLVELTTRLDFFKERRSQLMEQLHSLDLGHGSTSHGFPYKPSSPWNSPR >Sspon.05G0017490-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:73895469:73900261:-1 gene:Sspon.05G0017490-2B transcript:Sspon.05G0017490-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAALASGLLNVVGNKLDSLISSEFAAITGVKKDLSELQGVHAEITSWLSMLHDRAIESDPSLPWLRKLRNLLNDIYDLLDEVYLEDEKHRIDKDHGKHAIAIWFCGKAKLLLFQQKVAHKMKAIKVEFDAIVKQKSDANTILHNLHLDQLIQSKSKTTREPSLLNNSKESKIPSRDHVKSEIISELVESKKGEAGHIVSIVGLGGSGKTTLAQHICHDDKIKGHFKDTIFWIHVSQEFCRDKLIGKLFEAISCKINKDYLIEQWMAHGFIKLKKEELAHDIGNEYFDSLMRAGFLQDPVKMPQTVECKMHDLIHDLTLYILRNEVVTSLPNNMTTECSKNCRYLSLTSCSGKVERSLFHKVRAVYVSGGNPSFDNIVKKSFSIRSVVLDYAVDTPFPLFILKLEHLAYLEIHHVSCRKFPEAISGCWNLQSLHLISCKGFVTLPKSIGKLKKLRTLEFNYITDLETLPQSIGNCQDLQSLQINSCGKLREIPSSVGRLGNLRVLHIIGCSSLQQLLLDFNGELSNLQTVSLHRCQVLRDLPSTFACRILHTLDLSETKITVLPKWVTSIGTLEHIDLHNCKELVELPKGIINLKNLEVFNLAGCSKLQCMPSGFRQLTRLRYIGRFAVGCGEDDARISELENLDMIGGYVEISNLKNLKDPSEAEKAMLKWKNIWSLELDWSSSQTEEELVSDVEQDQGVLNALEPPSQINSLKICGYRGPYLPPWMMKQNDSSCCGGKMIKQTSVCQFLSLTNMTLEGFPSLKYIRGLLVFGSLESLNLLRMANLEELWTTTSGFEIQGEELGAQCCFPVLSELCIKGCPKLNVKPYFPPSLGTLSFEDSNEQLLSPCSFSHRRLPPSADESSSSGNVHSAALRLKKLRLTGSSSSWEHLQHCSELEILQIEYCNDMTELPEILRNLTSLQQLEIMECQALGMLPDWLGELRSLRRLMVFVTPLIDDLPQSTKQLTSLVSLSICRWDNLKQLPDVIQHLTSLEHLNLGLCDELTVLPEWIGQLSALQQLRIQHCRALQFLPQSIKCLTALQDLYIVGSPGFARRYEQGVGPDWELVSHIPSVSREVRWIVLPVDRNFRIPILLVYRQTKANLKSQCKEVAIGIVYSDCTEFSPCTEPPCNLNFS >Sspon.08G0004790-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8A:13678580:13678898:1 gene:Sspon.08G0004790-1A transcript:Sspon.08G0004790-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATHRDRRGNNTSVSLHFLPPIPGWEPRPSLDPASTTATSQQERKADVAQDSGSFHRLVPNVITMS >Sspon.03G0032200-3D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3D:33645068:33649162:-1 gene:Sspon.03G0032200-3D transcript:Sspon.03G0032200-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLENITVSEILKAKGEAEAGAVYWCSTSHLVHEAVQHMTAHNVGALVVLKSGDMNQLAGIVTERVALTNAQVKYSFTTDFARKILLPGRPSEETRVEDIMTEEDKLITVSSHTNILRAMEVMTDKHVRHVPVFDEKVVGMISIGDVVRAIVDQQHQEVKQLKKCYFAICKLVITTDDEGALTAVPSSSPPAAGPPLPLLFFFFLHCFQRLYHSVVFAVFSAGTGTARPVRVLSEEYSKAEAIGTHGRH >Sspon.03G0015960-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:71351140:71354723:-1 gene:Sspon.03G0015960-3C transcript:Sspon.03G0015960-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQKHLLLLACFWVLSCSLLLHASSDGLLRINLNKKLDKEALTAAKLAKKESRLRRSVGAGQYLGASTDDIVPLDNYLDTQYFGEIGIGTPSQNFTVIFDTGSSNLWVPSSKCYFSIACYLHHRYKSTKSKTYKKNGESCTITYGSGQIAGFFSEDNVLVGNLVVQNQKFIETTRETSPTFIIGKFDGILGLGFPEISVGEAPPIWQSMKEQKLVAKDVFSFWLNRDPDASAGGELVFGGVDPKHYKGNHTYVPVTRKGYWQFDMGDLLIGGHSTGYCAGGCAAIVDSGTSLLAGPTTIVAQVNHAIGAEGIISTECKEVVREYGEMILELLIAETSPQKVCTQIGLCVFDGAHSVSNPIESVVEKQNLGSDLFCTACEMAVVWIQNQLRENKTKELILNYANQLCERLPSPNGESTVDCDQISKMPNLAFTIANKTFTLTPEQYIVKLEQAGQTICISGFMAYDVPPPRGPLWILGDVFMGAYHTVFDFGENRIGFAKSA >Sspon.04G0036300-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:28130386:28132939:-1 gene:Sspon.04G0036300-1P transcript:Sspon.04G0036300-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAMKMACPNLLKAAVLSTMTLLAVPLEASAETCQPPNSFANMPIFIAVALIGAAVGGKTSEHVIVSTPKKVELKWLNNQLHQINAALRRQAQIESFVPGLTYAPVGRTNETDVIVDPRKQQLVTHLRNEKNYMRNQDLDKAILAESIGDRFEEKKAARGLGASLQSLGKYREAMRQYTKVLELSKEMGEDSGCTEAYGAIAD >Sspon.02G0045630-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2B:110301182:110302072:-1 gene:Sspon.02G0045630-1B transcript:Sspon.02G0045630-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLPRPTPLCRPPAAYLPSAGDARRGQRSCQRCRPHCGRPHPPALSPGPHRPLHPPAPLCGIELHVGVELCVNEPPFVQAASRCYAKSQCYWVFHMECAWEAAGGTGEIRGDIGDIRGVAVDTRPKRRKSAAWVLARSLCGHRLDASAPDQTTGH >Sspon.06G0024470-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:50783621:50788312:-1 gene:Sspon.06G0024470-2D transcript:Sspon.06G0024470-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SETH2 [Source:Projected from Arabidopsis thaliana (AT3G45100) UniProtKB/TrEMBL;Acc:A0A178VAH7] MSVFIDIPFGGNAFSDIMDGQGTKHRILMVSDFFFPNFGGVESHIYYLSQCLLKLGHKVVVMTHAYGNRSGVRYVTNGLKVYYVPWRPFLMQNTLPTLFLTFPIVRTIIVREKISVVHGHQAFSTLCHEALMHARTMGYKVVFTDHSLYGFADAGSIHMNKVLQFTLADIDQAICVSHTSKENTVLRSGISPEKVFMVPNAVDTAMFTPCPRRLSCDEIVIVVISRLVYRKGADLLVEVIPEVCRLFPKVRFIVGGDGPKRVRLEEMREKFSLQDRVEMLGAVPHAQVRSVLISGHIFLNSSLTEAFCIAILEAASCGLLTVSTRVGGVPEVLPDDIIVLAEPAPGDMVRAVKKAIGMLPGIDPQIMHLRMKKLYSWDDVAKRTEIVYDRAMQSPTTNLLNCLPRYLTCGSWAGKLFCLVMIINYLLWRLLECLQPAEGIEEVPDIGPLHAHLGSSNGLCEAQEK >Sspon.02G0053690-1P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2D:92934081:92934536:1 gene:Sspon.02G0053690-1P transcript:Sspon.02G0053690-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MIIGKANAESDVYSFGIVLLEIACGRQPLVARREEEDVIHIMQWVWEWHGRGAIVDAADARLKGEFDAREMEIVMVVGLWCAHPNRSLGPSIRQAVSVLRSEVPLPNLPARMPVATYEPPPNAFNYTSSVVTGGSSSTDTAGTTQSSMTIKT >Sspon.03G0013680-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3B:51352485:51354769:1 gene:Sspon.03G0013680-2B transcript:Sspon.03G0013680-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWTAAATLCCRLLRLPSARRPPSSRARCSAAQSPDAVDKEYADLNLRPLYPNVCRHPSSLRPCPGAVLTAHPSLYAEGPSHAHTAAREPAQLILLGKEPTEPPEWKEVFDDPLLPLMVDIGCGAQLVERAQFWVNELALMNVYFMFANATVSFQKIISSYPGPLSLVSILVFIQSDVLEVAVDMRERFDGYSGILAHVNYVDQDLQCDHEGWLLDNPMGIRTEREIHAELEGATIYRRMYQKIRDASY >Sspon.06G0002030-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:6517500:6518284:1 gene:Sspon.06G0002030-1A transcript:Sspon.06G0002030-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQWSSVAARPDQWIGPCRCSSPLPPFRAALPRRRRAERNGRIGCASVPRELGTAAEAELPPVVVEGTEEEAVACEECSGLGWMLCDFCKGKKNNVKSEGTRVYRRCPTCKAAGIILCPRCRVYKCITFPESNES >Sspon.08G0021650-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:39412330:39412938:1 gene:Sspon.08G0021650-3D transcript:Sspon.08G0021650-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEQSAAAPPRQAAAAEAKRKPTTLLDAYEVECIRRELQRLVLKHNQSAPGGDTDTAPGVHVHGHRHNNVGGHLHHHRASSAKKATSAKRVSPSPSPSLTTQPPPGPKPKKDRRRGARFLGRHAVAICGGTVPLVAAAPVCGRGRRAMLICSGTTAPVTGGRGKQRAVALCSGTTTAPVGCVAVGVDGRRRPRGGYREVEKV >Sspon.02G0029020-4D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2D:99677460:99678273:1 gene:Sspon.02G0029020-4D transcript:Sspon.02G0029020-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GVYTSIRERQKIDRGLGVTVADGAEAEAEPSRGRHRQTTSMMSLQNGGWAVEAERGEKQPAARRGRPGAVLLLLLRVGALCASAAAAALAASTSAMLRRPPFRFLLAADAIVAVYSAFEAAAAAWEVARGATLLPEAMQLWFDFAHDQGFGYMALAAAATAARDAAGCGGGGRDEGWTTSGSGAAACVRADVAVGLGFAGFALLAIAALVTGFRVACFLATGSRFPPPPPASY >Sspon.07G0017070-4D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7D:80963778:80964365:-1 gene:Sspon.07G0017070-4D transcript:Sspon.07G0017070-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKALLSKEADMLKIPFQFNDVNGRLETLDFSNLRDVLGIKYGEAIAVSCSLQMHRLLVVDDNVSCSAIDQLQKMANAAQLKQMASSVYSPASILNYPRTPSPLLLARFLNAIYTLKPNIMLVMEQDANHNALLFSDRFVEALNYYAALFDSFNAMAAANSQWANERTQVERMILGEEIKTYYCVKESIGTSGMRG >Sspon.07G0021090-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:438154:440459:1 gene:Sspon.07G0021090-1B transcript:Sspon.07G0021090-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ASPQLQPASASTLFADTTQQGSSQHSDEHIDYGKREVLDIQAAHRAESAACQTPPPGLNIQETPPREAPAKSAVAPKHPGITHDNVKKRLYSDMKSDTQPTKAEKHGGHGKLEHSGKKHKSAVSANVDAKRAHCSPAAAAAAAAEMSVWNYVVTAHKPTSVSHSCVGNFTSPNQLNLIIA >Sspon.03G0002640-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:6410344:6413683:-1 gene:Sspon.03G0002640-1A transcript:Sspon.03G0002640-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGKHQGRICHRGWGGSSPPYRGRAHGPVFRNFRQGKRNFYHGAREGGAGADDAKHMHPLRSEHGASCHVTWPVGICGAYPYPCQRCSRAGAWLGVPNAESSRSGRVSMASLTLTCAMRGDRDSNPGPSGHRR >Sspon.03G0017950-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3A:55419990:55422117:-1 gene:Sspon.03G0017950-1A transcript:Sspon.03G0017950-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LVGPATIEYRAGRRRPCWLAGRLGWLARCRRRRVDLLKICGVEWEIRWLGVHVRAGKNSVTWFGPTPRVIITEPDLLRQVLSNKFGHFERADGFGQLTRLLHYGLSTHEGSKWAKRAPEDHQPRLPSRQAQALRSILLQRMLPAFASCCADLVSRWEGLLVAADGEPCEVDVWPEMQRLTGDVISRVAFGSSYLEGRRIFELQEEQVHLAMLVANKIHIPGYMMLPTRVNRRMKRIAAEIEGILRGMIATRESSLRAGKATSDDLLGLLLESNMEQFTGEGEGESGTSSGRGMSVDDIIGECKLFYFAGMQTTSVLLTWTMVVLSMHQEWQDRAREEVLRVFGGACTVPDYDGLSRLKIVTMVLYEVLRLYMPVAALHRRTYKPMELGGVRPRGGRTPTSSGRTGSLRDAPAFFPFGWGPRTCIGQTFALLEAKIGLAMILGKFAFELSPSY >Sspon.03G0003590-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:13427808:13442084:1 gene:Sspon.03G0003590-2P transcript:Sspon.03G0003590-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MCENHTKDFSRPPAMLSHAPSPSPAAFFKPRPSSSGSRLGAKHLTATAAAAASTRRSVSASATSRRGFLLFVPSLAAASAVLRPLPSAATEADDAETPAPHPSPTEEPLSPQPAAEAAAAEAEAETETKPEPSELAMSRVYDATVLGEPEALAGDARGRVWEKLAAARVVYLGEAELEPDPDDRVVELEIVRGLAGRCADAGRGLALALEAFPCDLQQQLDQFMDGRIDGRILKLYTSHWSQDLWQQYEPLLNYCRDTGIKLIACGTPLEVKRTVQADGIRALKKAEREAYAPPAGSGFISGFMFGSGRSLIDKISSMDDSLFGPTSYLSEQARVVDDYTISQVITRELSDGDLSRLLIVVTGASHVMYGPRGSGVPGRISKKVPKKDQVVVLLDPERQVIRREGEVPVADFLWYSAAKPCTRNCFDRAEIARVMNAAGRRPEGLPQDLQKGLDLGVVSPEILQNFFDLEKYPVMTELIHRFQGFRERLLADPKFLQRLAIEEAISISTTLLAQYERRKGRFFEEIDYVLTDTIRGSVVDFFTVWLPAPTISVLQYADDGSGQSLEFVKGLLGSLPDNAFQKNILGQDWSIKRRIAAVLVGGLKLAIVGFISSVGAGVSSDLVYAARGIVKPSENVETGRKRAPIWKSAAVYSCFLGTSANLRYQIIAGLVEYRLGESLVTYYNQPLIAGLLSFVARTLNSYWGTQQWVDLARYTGLQKSEEKPPSAEATMPPDSAHLEGCTTEGHNFEDSSNNTNESTGPADANAKRFSLEPYVSESEQVILVIFRRNMV >Sspon.04G0010750-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:29061867:29068826:-1 gene:Sspon.04G0010750-2B transcript:Sspon.04G0010750-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding TISIMVSRPVVLVFLLVILIVTSQFEWKQQLVNELESKARNQKHVSSREELVKDQQAVLALMSMPMKGWNAILYRTGDIEAKAEVQRRKLKLL >Sspon.06G0007660-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:61537012:61537960:-1 gene:Sspon.06G0007660-3C transcript:Sspon.06G0007660-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVINAPAAARCSAAGPPQLLPPRGAASSFPPSMTRKRTTGAAGRLVAVSAVGDVAAEGNTYLIAGAVAVALVGTAFPILFSRKDTCPECDGAGFIRKAGATLRANAARKDEAQIVCPNCNGLGKLGQIDK >Sspon.01G0018660-1P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:75800509:75801119:-1 gene:Sspon.01G0018660-1P transcript:Sspon.01G0018660-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPAAAAATATAAALRLRAASSSLSPPLAASSSLSPPLAAFLPYRRASLILPPLRRICSAAPPHASAAPDSQPPPRLPSPIMDAQFELFRAQLDESSTLRDRIRAVVAEIESASRVATAALLLVHQPVPLADVLVKAKAQVEVIKGLYAQLAEVLKECPGQYYR >Sspon.03G0023900-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3B:96414248:96414832:-1 gene:Sspon.03G0023900-2B transcript:Sspon.03G0023900-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNGTASPGAGGGTRCCKATTLVAVAATSFVCGFILVVAFLFVRFLLLRQRWRHRARRLLQEQHHRSKPGLDAAAIALIPSFPYRRQADAEAEGSTSVPAAAAECAVCLGVLDEGQMVRQLPGCKHVFHQECIDVWLASRASCPVCRGKAEPPAPARAEDRAAAASTPARVAAVDTFGDEERASSSTPRGSRG >Sspon.05G0033090-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:26089027:26101784:1 gene:Sspon.05G0033090-1C transcript:Sspon.05G0033090-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVRPSLACFPSDPALHEACGIPWGVAVTPFSATDERGSKPAVGAEGHLLPRCQSCFAYFSLLCPLDRWSWNCAVCGAENDLPADAAARYARDGGHDPPEMRSAFVDLLLPGEEGEAAAAAASTPVYVAAIDLSSSEEFLELVKSALQAALEGSLFGLLTFSSKIGLYDVQGPIPIVKNVFTLLILMVPYKSTLKISCPLLILAPIDNCKDSITEALETIKPMSSWEVAANAHEGQDHVLHHVRGFWGVALDVLINYLTRIFAFLSGPPNYGAGQLDISGDQNAGKPRGADHVLLQEQTSFYKNLATSAVQAGVCVDLFAITNEYTDLTSLKVLSVESGGSLFLYSSTDESTLPQDIYKMLSRPYAFGCVMRLRTSSQFKIADSYGHFFPDPQYMHVQHINCCDSFATYSYDFEFEKDSQFSRKSSPPIIQIAFKYTVLVHNGDTSDFPNSGSRSKYLLERRLRVRTIQYNTTANIWDLYDFVDPDVVLTILVHQAVAPRLVGVVIAQYNKAYKNVTSGGGTETYNIDVNFSHCSQLQPLSRFVFAILLSPLLQVSSEGIHPDYVTYLQCLLSALEPASLRQAIWPTLISYSSPDVEAEVHQSLSRTVFTSERPIFLLDAYKDLLVYYSPTASSEIPFPPPRLLRSTVDRLKQERNITPKLIFIHGAHDDTTEFEKYLVEDQTLDGSLLSSSTGFSSFLDEIR >Sspon.06G0018770-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:64821147:64826636:-1 gene:Sspon.06G0018770-3C transcript:Sspon.06G0018770-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DEAD-box ATP-dependent RNA helicase 40 [Source:Projected from Arabidopsis thaliana (AT3G06480) UniProtKB/Swiss-Prot;Acc:Q9SQV1] MPYQHGPYMQPLQQQQAPPYSYQADQQLQMPQTAYNQAQQPPMPQAAYNQGQSQQPPMPQHSYNQGQVQQPPMPQPSYNQGQQSMIPQSAYNQAQQPQIPHGAYNQSQLPQGVRIAQSQGQHPQQSLSFPQASQSPQVSQPQGLKMPSQQGQPQHGLPFLSKENRHCHMGNKDDDGGVHEGKRSGFSLPLSQQRGQAPLPNQQLPFSHQHPGALSSQPNIPGVGGPLYPAKHLPGGSSSAETNNMGFMNSPAQMHQGGADTNYQQKPVSGHAVPNHVGPSPIRPPMGFDMGNSDGHFERDDPHSYGRLDGTKALQQQPKLAPLPTSQNPMGENVPAPFMTFESTGFPPEILREIHAAGFSNPTPIQAQTWPVALQNRDIVAIAKTGSGKTLGYLIPAFIHLRRCHNNPMLGPTCLYGGASKGPQLRELERGADIVVATPGRLNDILEMKKISLHQVSLLVLDEADRMLDMGFEPQIRKIVDEIPNTRQTLMYTATWPKEVTKIAGDLLRDPVQVNIGSIDELVANKSITQYVEVVPPMDKQRRLEQILRDQERGSKIIIFCSTKKMCDQLARGIGRNFNAVSIHGDKSQAERDNVLNQFRTGRAPILVATDVAARGLDIKDIRVVINYDFPTGIEDYVHRIGRTGRAGATGVSYTFFSEQDWKYAGDLVKLLQGANQHVPPQLQDMAARSASGGPRNQAAGMSRWDGPGGRRFEPGAGGPVGYGGRVLEVSVVVRAQVDLVFEKAQQCLVDGKALMAWVAGVALVALVAGKALVALAGNGRVLVALVAERAQVALVDEMALGQVVLVVEGSVDLVVLAVEADQALGALVDVVAGVILLVLVAVAGVIFPVLVGVAGVILPVLVGVAGEIFLVDVVAGAVDLAGEDVLTEAHMTGLSQMDGDDMITVEDLETRVGI >Sspon.05G0034000-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:5C:47598060:47598263:1 gene:Sspon.05G0034000-1C transcript:Sspon.05G0034000-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLPRLCPTIISSMAGGNVDFTPGSHIWFGSLEFIITGEGPFIVAEVLKPGTYKPANEKGEVFTNA >Sspon.04G0005100-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:10404768:10411206:1 gene:Sspon.04G0005100-2B transcript:Sspon.04G0005100-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKPRSWGILLAVLVAAAVAVPPATAAVASIDLGSEWLKVAAVHLAPGRAPIAVAINEMSKRKSPALAALADGNRLAGEEAAGIMARHPSKVFARARDLLAKPFSYVQSVTESLFLPYDLVPDARGAAAVRADDGQVYSLEEIVAMVLHYAAGLADAHVGAPVRDAVIAVPPYFGQAERRALTQAAQLAGINVLSLINEHAGAALQYGIDKDFSNASRHVIFYDMGAGSTYAALVYYSAYNAKEFGKTVSVNQFQVKDVRWNSELGGVELEMRLVNYFADQFNKQLGNGVDIRQSPKAMAKLKKQVKRTKEILSANTAAPISVESLYNDVDFRSTITREKFEELCEDLWEQALTPVKEVLIHSGMKIDDIYAVELIGGATRVPKLQAKLQEFLGRRELDKHLDADEAIVLGASLHAANLSDGIKLNRKLGMIDGSTYALVLEIDGPGYVKDESIDQTLVPRMKKMPIKMFRSIRHTKDFDISLNYDKAYELPPGIPSHKFAEYSVSGLTDASEKYAHRNLSAPIKANLHFSLSRSGIIALDRAEAVIEITEWVEVPKKILTLESNITNQNSSSEVGAANSTTDSKENLSSGSDTNSSTSTDESNAQEIITEKVLKKRTFRVPLKVVEKTTGAGTILSKELYSEAKNRLEALDKKDAERRKTAELKNNLESYIYSMKEKLEESTDILTVSTEQERESFAEKLSEVQDWLYMDGEDAQANEFKERLDQLKAIGDPILFRLSELKARPAACENARLYLDELQKIVKNWDTNKPWLPKKRVDEVVSEAEKVKAWLEEKENLQKNTPVYSPPVFTSEEVYEKVLDLQDKVSSVNRIPKPKPKVEKKTAKEEEPASKAKTTSSESAPNEGEYTETSQESKAQEEDQSASANTSDSEPESHDEL >Sspon.05G0011460-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:24308888:24312510:1 gene:Sspon.05G0011460-2P transcript:Sspon.05G0011460-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHDRNNKAGSYAGVDNNAARSKLASPAVVHAGDDNNSGSKITAPVVPHAIVDKTTGTKLNSPVTLHASEHNNAGFKLPNPAVLHASKDMDNNAGRPKFASPGTVLLHAGGDNKARTKIAIQAVHHAGGNAGSSRLTRSAAAALHAAKENASKLAIQVVPRPHAGKDNNAVLSKAATGPAAVDAGESNAKEGKNNVAGEQRAHEADVGGGSGKGKAPAVEDADPNLHIFTERERRKKMKNMFSTLHALLPRLPDKADKATIVGEAVTYIRTLEGTLQKLEKMKVERKRALVAQQQLAVGAGSSRASSAHHSAPPALPAPAPAPAASSSSEANLADMVHDLAQHVAVAAANKALAVAASTAVAGSSSSSSGAAGAVPFPAPAAGFQTWTGQNVVVSVANNEAYINLHCPREPGTLTKALFVLERHSIEVVTTTISTHDGFRMYGIHARANPASASARFPENLCAEDRFKLAVSEMVQLININI >Sspon.01G0018690-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:66617504:66619846:-1 gene:Sspon.01G0018690-4D transcript:Sspon.01G0018690-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHCFRFASWEREADEWRGAAAKTTTTTTGRSLSARSNSSTTSTDRDVRRSASECSLNASELSSAGSLQGRCRQLSLSSQRPPNTLRIFTFQELKSATRGFSRALMLGEGGFGCIIFRDLKPSNILLDDNWNAKLSDFGLARLGPQEGSHVSTAVVGTIGYAAPEYIHTGRLSTKNDIWSFGVVLLELLTGRRPLDRNRPRGEQNLVDWMKPYTSGAKKLETVIDPRLQGNYSTKSAAQLASVASKCLVRHARYRPKMSEVLEMVQKIVESSETGTPEHPLISNSKELASDEKKRKGLDLKRRIADIKAREGRCFAWQRWTPKLVRTQ >Sspon.03G0043840-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3C:84307737:84311258:-1 gene:Sspon.03G0043840-1C transcript:Sspon.03G0043840-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding CPPCPPWMTTPSLVSTFPTTMPRSSPTPLVSPPPLTVMPLPQSRDSSGRRPPDPSSAPSSVSSTSTVISPLRRPPSTATQSGKSVTRCIISWLYNSISKDLIATVRTPKATGFTIWHKIRDHIRDNELHRAVYLEAEYRNLVQGDMDIKQYTGRLKQLADALHDIGQSVHETSQVLNLLRGLSSKYRHAIPV >Sspon.01G0047600-1P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1D:113267242:113267680:1 gene:Sspon.01G0047600-1P transcript:Sspon.01G0047600-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ASANVWAVLADEDPGDNETAKRHDRETKGREAAEYRHQPATASEAAVSNAQSSAAGTYVEKAARNERGRKQRKKLQPVAVANGDANTAVEVQAAEATTVGSDDESDGEESTSCCRTVIRRLLHVAVAAVLIAFWVHAAAPAHTAT >Sspon.02G0020210-4D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2D:61347115:61348419:1 gene:Sspon.02G0020210-4D transcript:Sspon.02G0020210-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDDGSLGIRNWGFYDTVKGNLGLQLMSSVPPDRDTKSLLPNGAFLQHHGHHNAPHQLHMQHPQHSRGPAGGRASVGMPAESQPIHMDFSRNEAWLHQLHHQRPPEQKVFHSRPIGPAGHVGHPGHGGHPAHGGHIVHHHPTGYGMISDAQHTLQMMQPPLESQLQEPPPCKEEEVPPPLVEDHSVPVVTTGPPVKKRQRGRQQNRQPKSPKLKKPKKAAVPLEDGAPNGHAPRRRGPKKTVGMVINGIELDLANIPTPVCSCTGAPQQCYRWGAGGWQSACCTTSISTYPLPMSTKRRGARISGRKMSQGAFKKVLEKLAAGADPACVLGELLSPPTPARKMEPPTILCMDEEEKKMEEDEEKEKCASPLPPQIGDPGSATGCRALELNL >Sspon.06G0012780-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:55368566:55372555:1 gene:Sspon.06G0012780-2B transcript:Sspon.06G0012780-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDRQPSEEPEEQVDLEGDDDGMEDDDGGYRRRGSRDDSEEPEEDDDNDERHGDDAGMEPEPELAGGGGKGGDETGKGADAAEGSGPEDEEERSKWDELLALPPHGSQVFIGGLPRDTTEDDLRELCEPLGEIYEVRLTKKDANESKGFAFVTFNDKDAAQRAIEDIQDREYKVKTIYVKNLPENVSKEKIKDLFDKHGEVTKIVLPPAKAGHKRDFGFVHFAERSSALKAVKGSEKYEIDGQVLEVSMAKPLADKKPDHSHRSGGGPNYPLPSYGGGYMGDPYGAYGGGGPAYNQPMIYGRGPAPAGMRMVPMVLPDGRLGYVLQQPGGVPPPPPPRRGGDRRDSGRGGEGHSRRYRPY >Sspon.08G0004930-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:12166607:12170735:1 gene:Sspon.08G0004930-4D transcript:Sspon.08G0004930-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SMRPQPHPLPVLCSPVLALLLLLAACSSPANGRAAPSSPGAATPVARLVRAADAAASGANGTASVAPAPPPAVIIVERRHHFHRVLVIASVLASIAVVAIILSTLYAWILWRRSRRLPRGKSVRSAGFVSRFSGLPRLALEFLNCSWPAHLHCADTARGIMLVPILSKFNSLKTSRKGLVAMIEYPSLEAATGRFSESNVLGVGGFGCVYKAVFDGGVTAAVKRLEGGDPECEKEFQNELDLLGRIRHPNIVSLLGFCVHEGNHYIVYELMEKGSLDTQLHGTVSYDFSYCLWMQLFLEIFILGAFGRAFTWISPELAYPDEDRTRHGQISDFGLAVTSGNIDKGSMKLSGTLGYVAPEYLLAGKLTEKSDVYAFGVVLLELLMGRKPVEKMSQTQCQSIVTQAMPQLTDRTKLPNIVDPVIRDTMDPKHLYQVAAVAVLCVQPEPSYRPLITDVLHSLVPLVPVELGGTLRVVAPPSPNLTASSQREQHYCLVRLQICFVSPTGKSTTHADVNVFWILFAFPLNTSLALENWTITPHARPCQWPP >Sspon.01G0007660-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:21197167:21203612:1 gene:Sspon.01G0007660-1A transcript:Sspon.01G0007660-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MYDVSTCDYYPGGGGCIILLSKSLCGSHNGSDLKNALLHEMIHAYICIKDNNSNHSDHGAKFQKLMNMINLSSVVDPHRPVDGYSITLLHEIHKKYYHYKQPVQCQSCADFIKSTNMRGPSGDDCIERKGVDDPCHNSNCHWHRHKQRCSGNYCRVQESSPGGLEAKCSEAVEAFNEGKVEEPACTSWHPAHTSNKSRRSNRNEREDASMEFLHVADNAVGCSGLDSSSKDGSNKKIKFSKDVSSDLRRTETVQEARKRPRTAVVDNQECSRRKKRKQSKRYGSYSVIIEWLNYYCLSESDEDEVPLINKRTERRRRQRLHEMSLARESSDVVEFGSSTSHTVGSCALAPKDNSKLEIVPASQPEERSCSSHPVASNGIAGNQAGHEPMPSPMDSPIRGEIVDISDG >Sspon.01G0023420-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:84409221:84413959:-1 gene:Sspon.01G0023420-1A transcript:Sspon.01G0023420-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALTGRRFGTHQCWAVCIWATHSDVPCVPRGGGNFISMQQMAMPSFTVTSPPSHGGTLSALHARHSQRLHKRHVRSQVRAVAQTQLQYQKLGDSDLLISEVTLGTMTFGEQNTEKEAHDILAYSFDQGINIIDTAEIYPVPVNKETQGRTDLYIGRWMQSKPRDKIILATKVAGYSERSTFLRDNAEVVRVDAANIKESVEKSLKRLSTDYIDLLQIHWPDRYVALFGEFSYNPTKWRPSIPFEEQLKAFQELIDEGKVRYIGVSNETSYGVMEFVHAAKAQGLPKIVSIQNSYSLLVRCRFEVDLVEVCHPNNCNIGLLAYSPLAGGVLTGKYLDANADITRSRLNLFPGYMARYNASLAKEATLEYVKLAKKHGLTPVQLALGFVRDRPFTASSIIGATTIDQLKENIDAFTSTPRPLPQEVLDGIEDLFKRYKDPAIL >Sspon.06G0013140-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:10960163:10963772:-1 gene:Sspon.06G0013140-1P transcript:Sspon.06G0013140-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein NCA1 [Source:Projected from Arabidopsis thaliana (AT3G54360) UniProtKB/Swiss-Prot;Acc:Q9M2V1] MSSRCPFAGATTGGGVCPVKAADKSSAGLCPAAKSDKSITGVCPVTGKGHGNEHKESTDGGEEKGTDDPRMVPAKCPFGYDSNTFKLGPLSCIVCQALLHESSKCKPCAHKFCKACISRFKDCPLCGADIEGIEPDAELQALVDRFIDGHARIKRSHATGDVEAADCKDKVIYEDVSMERGAFLVQQAMRAFCAQNIESAKSRLTMCADDIREELKSSEDNLDLCSQLGAVLGMLGDCCRTLGDAPSAITHYEESAEILSKLPTKDLELVHTLSVSLNKIGDLRYYDGDLQSARNYYARSLDVRRNAVKEHSAVASQVIDLATSLAKVADVDRNLGNESTAVDGFEEAIQCLEKLKLDSEQASLEQRRLSVLQFLHNQLADK >Sspon.08G0005570-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:14416472:14422041:1 gene:Sspon.08G0005570-4D transcript:Sspon.08G0005570-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMVSVASPSPSPSPSPMVRSEEDLGPPWLRPLLGTSFFVPCRLHPELSKNECNLFCLGCTGDALCAYCLPAHRDHHVVQIRRSSYHNVIRVSEVGKLIDISHVQTYVINSAKIVFLNGRPQARPGKGVTNTCEICCRSLPDSFRFCSLGCKLGGMQWDPSLTFAIRPKRGQGSGDDGSGSDDSFSPKKPRRMAGFDLGRFERPGIRWSDDEGSRSNNGPFTPPMNRCRPSRRKGIPHRAPFYG >Sspon.05G0025200-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:21654977:21666880:-1 gene:Sspon.05G0025200-1B transcript:Sspon.05G0025200-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPTAAWAASADPVDAESLEVRCAGCGETLEVERGLTEFICPDCATPQSLPPELMPPPPPRRKALPLPRGAADVRGARLPCGACGALLSVPVGMTRCACPLCGAELAVDTARLRHYLLSSAASADAVPVVPLGALSSEPPILQVRQAHTEHADQFIPEPLESEHPDYTIDGDEDTARYQEQRNIYPGSSRIVGAENRHGEPISQVRHQAQDLTSSHAIRAKQSYQQNPDRVIEALQNPVNFALFSESGRVADINDTTSIDINGTVGRSICPRTVSVERGIMQTAQQITNKSQKQHSCYVTSLELAQAGSENGTIHVQEKQQKPGSKTTHREGACTQLVNETIAGNDNRGRRQLIGLDVMGSERRQEQTTNDATQEVRREHSESVIRREMGNQVTHVESEQPGRHRVHAGKRKGLVAASNSVLQLRRSKRLAKDSNVGCQAVSPNGLMPTAIIDAEQTESEPDEQLLVSPVRSSDLSDTNRIINNLCNSPLPSPEFLQTCSNESENLHITTLPSPNHDMSDAEHFSSNLDMADPEDFARTYIPLDVKRALAKLDSKSSPHHMTSQQSSGEAYLRDSMDSEGQEVQLASQRKGRRPRGLTLCLKVWTMHKGMRIPVSLNSSGQPIGKEAATLTSFLGALARDGILAPLTYHNWKLVPEKNKDVMCHIVKLKFDIAPFPKSWIVKSLAKKWRTWKFQLKQQHFDTHETEEERLADRNPRVLKEHWRFLVAYWSTEKAQALSAQNKAIQAKVTTYQTSGTKSFARRIEEEKQKWSNKDEPTVEDLFILTHTPKDGRPVTKAAADAIARRLHELRQKQSEGPKRRRGKAALKASLDEAMEAKRKAEDEAAALREKLIAMEESQKKLQEATAIKKSAVVYA >Sspon.06G0019170-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:105814576:105818864:1 gene:Sspon.06G0019170-1A transcript:Sspon.06G0019170-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPLFFSYFKELVGKEVTVELKNDLAIRGTLHSVDQYLNIKLENTRVVDQDKYPHMLSVRNCFIRGSVVRYVLLPQDGVDIDILHDATRREARGG >Sspon.08G0006450-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:17785302:17788029:1 gene:Sspon.08G0006450-2B transcript:Sspon.08G0006450-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTISYARSIVPILPRTMDMHSTSLFLLALIHLLLHVSPHDFLLPGSSLSVEHSSDVLLSPDGTFTCAFYNSVFSIWFSKSEKTIVWSANHLRPGKGDVILWQSFDSPTDTLLPNQKITMATKTASILMMYQHLLTLFDDEEDISFIYRPNPNINIWAEKRNSFNSTMVGVPESSGHFLGSDNLSFTATNSGPGIVRRLTLDYDGNLRLYSMDKNGTWMVSWMAYSRLCYVRGLCGFNGMCVYTPTPTCTCAPGYEAIDPSDGRKGCKPKLKLQCEAEDEILKLPSTDFLGYDQDVHHSVSLHTCKNICMSTCRCVGFRYFEGAGDCYTKFAIDDGVTEPELAASTYIKLPEDVEVLESSIPHSQPFGPKYSSGCSSALSTNVTANLLDATEGSHSGPKYWYVAGFLSAIFLVEVIFLVLGWWFILRREGRQLRGVWPAEAGYEMITNHFHRYTYKELQRATRKFTHELRRGASGVLYKGVLEDKREVAVKKLADINQGEAEFQHELSVIGRIYHMNLVRIWGFCSDGPHRILVLEFVKNGSLDKALFGTVGSPILLEWKQRFNIALGVAQGLVYLHHECLEWVIHCDVKPENILLDKNLEPKITDFGLAKLLIRGGSNKSISWICGTRGYIAHEWVSNLPITAKVDIYSFGVVLLELLKGARVSEWSANADETVEMAITRLVRMLEENTKMQASTQAWIPGFIDPRLNGHFNGMQARSMIKLAVSCVQEDRNMRPTVENVVQQPVAL >Sspon.03G0036830-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:94552875:94563539:-1 gene:Sspon.03G0036830-1B transcript:Sspon.03G0036830-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FRIGIDA-like protein 3 [Source:Projected from Arabidopsis thaliana (AT5G48385) UniProtKB/Swiss-Prot;Acc:Q67ZB3] MPDMESVAALMDSTSSKIQQLQEAFAELESQSAVSMNLKWKQLEDHFRGLEQSLKKKFDELKEQEEEFQETVAKSDLMLEQREAAVVAKELTSLERLQEKRDAALAMIFSKSRLSLPVPAINPMNKALDNLGVKWPKPASEESVHLQDGNAAVRPRSELASLCEEMNVKGLHKFISDNRKNLAAIREEIPSALKKTSHPYSLVLDSLEDFYSGDNLVLDGKKDGDLLGVRRTCLMLMESLGQLQTNDITCFSSEGHMLTTNIVERAKKIAFEWKSKLDNLDIDASNGNCLEAHAFLQLLATFGISAEFNEDDLCKLLPYVSRRRQTPELCRLLGLSQKMPGVIEVLVESGRPIDAINLAYVFELTEQFEPVQLLKAYLRDVKKVSHARNVKVSPGAQNEMNERELAALKSVIKCIEEHKLEEQYPVDPLQKRVLQLEKAKADKRRAVEAAKPQSKRPRANGSAFAHRATGFADKSFYPVNPERHPSNPYERQFVYGAEAHLPPMVSSASYTMQPAHGPYYGNGYPVQYQVPYIH >Sspon.04G0033450-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:58163073:58166199:-1 gene:Sspon.04G0033450-1C transcript:Sspon.04G0033450-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDSTDPLPTQPSPDPDTPPRKPLAGGGGGKLKKPLTDKQRAAAEQSLSHLRAHLVLRPLESPAAGARALPPPQEAALDALGLLNFFRLDLQSEAPRPDLIAPLVAYYDPACKRSFVRGVRVAVSRHDLTRALCLPPKPASAAPAPADVDPAAVVPAVMRLLQDYVLLPFQGDDMCILPQEVAAAEQAVREGAAHRVDWGGLIWGLVEKEMLELPKREDGVCYYGPHLQWLIYAQKPHLLELVEEGPVPEVSADVEMEEEEDDDVDLKSKSLVELEPGDGDGDAGNDVRSKSLEESELGNAGARNDGLDKLGLGDAVTRNKVMGELGLGDVDARNNSIEELVQEYARIKSLNECEAVDVDQDAMDNNIDEMETVNEDARSKSLNESEAVDVDPGNGRGSTSGGDDVPGGDDVDGEEPSVEAVVVTQEVVAVAEELGDEEAEGDEEAERDEEKDAMGLSLGFNSSNGYGAMDVEEETNVENLDEDEDESDSGNEEAESEDDAFDVNDGEDMNWRIGDGQGDEGMAHSLQRCNTFGSMEFENLNKGEAEMRDELRFDDFPARASLERMTSSNLLQAMNSIPSSYNVAENVHDLPSGDFLAMGADAHKSGVDLGPGSSFLFGNNGKRNIDDIDGYNGNMQVQEEFPQSNQQKRMRHSNSSNVSPGSGFFNANFSVPIQNLMVEASRLYEQNEQKLQNLQFEKQQWSHMLQQKEAIIQSLNSARFEQQNKYQAELRRFEHDLNVMAQLVTTYKKALKQTRASFDEYRKKFPCNVRLYGDVAGGGGLVLGVRELEKRRCEEEQQKIAMVNGMIERFQYEWFSKLDEWGLAVNSLWSRMEGLYKEIELLKENRRARFATPATEESTLATEESIPATEE >Sspon.02G0018380-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:61958634:61959918:1 gene:Sspon.02G0018380-3C transcript:Sspon.02G0018380-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGILCCFQSHTDGSAHHDHVVASPPATSSSSAPSSYRNNDRRAPPERQAAGEEKSSRRNESVDNGNLVALVNDIVAESASYRHRRVAEEILKMGKAGKVTARAFTYAELSEATGGFRAESLLGEGGFGPVYRGRLGSPSGPEVAVKQLDRNGMQGTREFLVEALMLSLLKHPNLVTLLGFCTDADHRMLIYEFMPLGSLEDHLLDLPPGRAPLDWATRMSVAQGAARGLEYLHDTARPPVIYRDFKASNILLDTGFRARLSDFGLAKVGPVGDKTHVSTRVMGTYGYCAPEYALTGKLTTMSDVYSFGVVFLEIITGSRAIDTTRPPDKHNLVLWAGPRFKDKRRFAEMADPLLQGDYPTKGLYQALAIAAMCLQEDATMRPAISDVVTALEYLT >Sspon.05G0028440-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:63258172:63259632:1 gene:Sspon.05G0028440-2C transcript:Sspon.05G0028440-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDYHFHCFHASWETEPFLKAGLPVHGASHTMSPLSGNHATPPHDNDTTVGERGHHFLDACGRCGRLLGRNKDIFMYRGDTPFCSEECRQQEIDADEAKESRRRLFRFSAQPVQCKRVQLT >Sspon.06G0029110-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6D:14868271:14869234:-1 gene:Sspon.06G0029110-2D transcript:Sspon.06G0029110-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SSSLYLLVLL >Sspon.06G0016890-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:75706511:75724593:1 gene:Sspon.06G0016890-3C transcript:Sspon.06G0016890-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ATQDDTYRTLEYMLCDKIGEPLSLKQHFLETITNNFSKDNLIGYGGYGEVYKVGVLEDGLVAVKKLYSAHAIDDESFHREDTFKSYCDVKLYKTNGNFVLEHTSQDT >Sspon.03G0013440-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3B:50012457:50017154:-1 gene:Sspon.03G0013440-2B transcript:Sspon.03G0013440-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRGIPQYAEGSCIEVMC >Sspon.02G0013200-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:37887593:37889298:1 gene:Sspon.02G0013200-2C transcript:Sspon.02G0013200-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRKLRPLQQPAVRRAAWPVGLLFALCFTTLPLFLALSPGRPTLFDMWQQIGIRVAVHYDDEPKPSSEPSDSPLESRDILLGGLLSPDVSASTCLSRYLSSLYRKASPHSPSPYLVSRLRKYETLHRRCGPGTPLYDKSVRQLASVHNSMGLAECTYLVWTPGNHLGDRMVSMASAFLYALLTRRVFLVDMAKDMAGLFCEPFPGASWELPPGFPVHNLTQLRRGSEHSYGSLLGAKKISNDDPVSVRSESLPSYAYLHLAHDYQLPDQRFFCDDDQTVLGKVNWLLLRSDLYFAPGLFLVPQFEDELRWMFPATDTVFHHIGRYLFHPSNKVWKMIQGYYTSYMAKFDEKIGIQITTLAWNPVSTEAYFNQIAACTSQEKILPEVDPKVASSEHEAAATSSKAVLVISAQPEYAERLKSMYYEHATVTGEPVSVLQPPGAGNQPQNHKVLVEMFLQSYCDVSVVSGWSTVGYVGHGLAGLSPWLLLPPTNQTVAHLPCVRAMSMEPCFHAPPSYDCRAKTNGDLGAVLRYLKSCED >Sspon.04G0010380-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4A:31166310:31166699:1 gene:Sspon.04G0010380-1A transcript:Sspon.04G0010380-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAKMESSDAEASDSGRRGDVTFELRVGSTITPSRHGQALVCELPRDVPRRRPRSDHPKLREDRARGEQQHGVAERVERVGGHTGRRGDVIGEAADGDGGVAGLLPHTEQTAEHATAEAAVVEQLRHHV >Sspon.07G0036310-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7D:32130396:32132998:1 gene:Sspon.07G0036310-1D transcript:Sspon.07G0036310-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRNPLSDALHRNPGVVLNGQGPGRHPLSGASYRDPGTMKNEQGSGRRNLSGALHLRPGIVKNEQGSSHFPRRVRRVRKLAEPTRIRLGSWNVGSLTGKLRELFDAAIRRRVNILCVQETKWKGQKAKEVDDTGFKLWYMGTTSDRNGVGILIDKSLKDGVVDVRRQGDRIILVKLVVGDSALNVISAYAPQVGLSEGTKRQFWEDLDSMVSTVPINEKLFIGGDLNGHVGATNVGFERVHGGFRYGSRNQEGEDVLNFALAYDLLIANTLFRKRESHLVTFRSGQHSSQIDFILARRDDRRACFDCKVLPGECVVPQHKLVVADFRFRVRVHRDKRAKIARTKWWKLRGEAAQTFKERMLDEGPWEEGEDVDDMWLKMATCVWKVASEVFGVSRGGKREAKDTWWWNDEVQRAIREKKECFKRLHHDKSAANIEGYKIAKRVAKRAVSVAKGQAYDGLYQRLDTKEGEKDIYRMARIRERKIRDINQIKCIKDGTDRLLVKDEEIKDRWREYFDKLFNGENEDIGQKKDLHMVFIDLEKAYDKVPRNIMWWALEKHKVPTKYVTLIKDMYRDVVTFVQTCDGDTSDFPIKIGLHQGSALSPYLFALVMDEVTRDIQGDIPWCMLFADDVVLVDDSRAGVNRKLELWRHTLESKGFRLSRTKTEYMRCDFSATRHEEGEVSLDGQVVAKKDTFRYLGSMLQKDGDIDEDVRHRISAGW >Sspon.06G0019210-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:667073:670035:-1 gene:Sspon.06G0019210-1B transcript:Sspon.06G0019210-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPPHPSPLAPLRASSSSPASRLRFHLPFLCSPYPSPLLSSPSPRPRWPPPIRAHASGETGLGGRRGVFGPDALLSAAELLCLAPPAICSVVCAARLVFLPAGSSAGPPPLLVLQYVLLVGAVAIGSLIRRRQSGRLRPAGGGLTRRVEKVEETVRGMMTAVAVLSRTVEKLGLRFRVLRRTLRDPISETAALAQKNSEATRILSAQEDLLEKEIGAIQKVLYAMQYCKEQQQKQLDLILSIGEASRILDGEQDLLDGDSARSSSASPAPELENKQVETESEAATGGNNKS >Sspon.01G0013360-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:36533071:36533873:-1 gene:Sspon.01G0013360-1A transcript:Sspon.01G0013360-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGDDLKLLGMWASPFVLRVKLALSLKGLSYEYVEEDLRDKSELLLKSNPVHQKVPVLIHNGKPVSESLIILQYIDEAFAGTGPSLLPADPYERAIARFWAAYIDDKMLRAWNQSTMGKTEEERAEGKKQSLVTVETLEGALRDCGKGKPFFGGDSAGYVDVVLGGLLGWVRASDELHGVKPFDPERTPLLAAWSERFGALEAVEPVMPDVSRLVEFGKMLKARLAAADA >Sspon.02G0043870-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:97386925:97387239:-1 gene:Sspon.02G0043870-1B transcript:Sspon.02G0043870-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding CRRRRCLRRGRGGRPGRTATRRRRTRTGARSGGCRTASRRGGRGCGSSSTWTSWCRRWRACRPRTRASRRAPPTSRPSTRASSRRTPCSAPAPPSSATASAPSTR >Sspon.01G0011820-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:32021978:32030073:-1 gene:Sspon.01G0011820-1A transcript:Sspon.01G0011820-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LMDSTSLGLPSSSVALQAAEFYTDLNMRRSFQSTILPFKPDVVLFLGDHFDGGPYMSDEEWQESLFRFKHIFSLNEQRTKPEIPIYYLSGNHDIGYSAFHSVHPEVLSRYEKEFGSRNYQFSAGKVDFVVVDAQTLDGAKKAKKGPPLGSSLKLYLQMITRNASNPKVLLTHIPLYRPDNSPCGPHRSSPIINQRVSYAALDQGITYQNYLTKETSDLLLNLLKPVLVLSGHDHDQCTVVHSTPFGPVTEHTLGTISWQQGNLYPSFMLLSAGPKVSQNSTDLEHEVVTKLCFLPKQTHIYVWYICQFVVTILLLVFWPTNGLSSLPYMNTFVSFMRSVGAELLSRTKEKDDEEDGEYDMIFDAEGSMHLVKKAVAKTPSASSDSRPTGRGSVVARATAGKHRLEPDSSSIHVDMGSEMTSEDGGKLARGNKSRVRKVLQRLFRVIQSIVVIAALNVPLYMMLLFKDWIDR >Sspon.04G0018410-3C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4C:71717866:71718386:1 gene:Sspon.04G0018410-3C transcript:Sspon.04G0018410-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VSMPRSNVIILTDPGSKISVNQGSATLLPIEGNYSRGNLMLQRIRTYVAFLEQKLVEFDSMEGLNHFVLTDSDIGVVDDLGHIFKKYPHCHLALTFRNNKGQPLNSGFVAVRGTRDGITK >Sspon.06G0000220-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:907361:914051:-1 gene:Sspon.06G0000220-1A transcript:Sspon.06G0000220-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARIQSHLDRINKAPVRSIRSADGDTIDCVAAHEQHGLEHPLLRTHTVKTEPPEAPMTRGGFVVPAAAGGGAATATNGSRSRNNDRHGAWQTWHHGGHCPRGTVAIRRTTAEDVLRARSISRFGRKRRRHRNAAVAAARAANAPDVITGNGHEHAIAYTAASQQQVYGAKATINVWDPAIQESNGFSLSQLWILSGSFNGSDLNSIEAGWQVSPELYGDSRPRLFTYWTSDAYEATGCYNALCPGFVQTSSRIAIGASISPVSSAGGAQYDMTLLIWKDPKLGSWWLSYGDQLVGYWPAQLFTHLSDHATMVEWGGEVVDTRPGGVHTATQMGSGRFAGEGFGRASYFRNLETVDADNSLAEVALDAIQTLAENPACYDIRKAYDDDGQHSARGGWGTHFYYGGPGHNPACP >Sspon.02G0051460-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2D:69912583:69913440:-1 gene:Sspon.02G0051460-2D transcript:Sspon.02G0051460-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LLNLPPDSKDAQVFEQFAKQAAAQGSAPSGGGSAAPATAQKNGAAAGPGKRWLGVGSRVGDGGARAVALALFAPLAVPFLILLG >Sspon.03G0026060-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:6806943:6811942:-1 gene:Sspon.03G0026060-2P transcript:Sspon.03G0026060-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPRRGRSASRSSSGSSSRSPSHSVSSGSVSSRSRSRSRSFSSSSSQSRSRSPPPAKRRKASPPAESAVLCIDHLSRNVNEAHLKEIFGNFGEVVNVELSMDRMVNLPRGYGYIEFKKRADAEKALLYMDGGQIDGNVVKLRFTLQPRQRAASPMKAPPPPPKRDAPQIEKGVSSAEKDAQQRPRESSPRRKPASPPRKRSPNRRAESPRRPPDPSPRRRPDSPPIRRRPDPSPVRRGDTPPRRRPLSPVRRRSPSPPPRRHRSPMRPSPRRGRGSPSPRRRSPGPLRRRSPPPRRLRSPPRRPPPPRRHSRSPPRRPLRSRSRSISPRRGRGPPLRRGRSDSSYSRSPTPPPRKGPRRVSRSRSPRRPPRGRSISSDSRSSSSPSPRRGR >Sspon.02G0015360-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:41829399:41838144:1 gene:Sspon.02G0015360-1A transcript:Sspon.02G0015360-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GNANNDIDEPEKSIDNIPSPLFLGTKPKKRLTSKVWDDFVPSFVDGKLAHAECMHCHRIFNCCGTSRLWNHQAKCSPRIQIQKRQKLHEHTSLALCHLSKRAQQQPNWIQNRRSSQSCYPASGKAQAQQMQWKNQETIQNGSHEEPTPLEQKDLALPVISTDHKKKNQGTDQNISYEELVFSIILDDTFIDDSVASNVKTSLQKRNKIAAKRTLFVGMDELDTIMENSTECSRMYPTPSSAHHPKYRYASVDAWTKAQKICKYLQDFHRYKVLVHKFPRPYNLFDKVWNVKEKVLRNCWEIDRVKTHREIYLREKQEEGISMMRLNMKKKFNKCWKVCFLHFCMPMVMDPKYRLEHIKARIQPFTVESMYSVDSDIDDYICEVHDTLLNLYGEYSNQDQEPDCASWSKIEMGKLIGRDILHELYLHTEYPYRQRPLTELDHYLQEAQHPTGKFSVLQWWKENSLTYPSIGRMARDILALPCHTDCKAAIRTARLFLSEPVYGSGVEKVVCIQDWLAPAGEEDVVDCANNDIGDGEESTGSIPSPLFSGTRPSKRLRSKVWEDFIPTFVDGKVVQAECMHCHRIFNYNSTNGTTRLRNHQAKCGPRTQKRLKQQKSTPADSSDPNQKKLPFLLSHQNKCTGAADAVPVQELAFPDAHTNKNNKNQEVDQNGSHEVLAAPELSTDQYKNQPYGEIAMPEQDFPNDSSQKNQKVDQNCSPEELVRILAIHGHLPRMMEQDGFRKVAACLNPMVNMPSHSDFIGNICDLFQQEKSKLKEKEWDLHDKVFSIIVDNVFIDDSVASNIKTSLEKWNKVNANQNLFVVRSATHLLDQVIHVGLDELNKIMEKSAKCPKYAKGSNCSAVQYPNIRYAASPEDWSTASEISEILEHFHEYLNWMPNFPSPSDLFDTLKNVYSKAHSSSRYRTDKAIFKVVEKIKQKFKECWKFCFLHFCMPMVMDPKYSLKCIKLFVKENGKHDYMHEARDTFVNLFNEYADQVDDPNCTTGSKTGKGIVEDADTLSKYYHDSKPYYYYHDSEHRCCDRPMTELGQYLQETRPGRPASCKPSVLQWWKEHSPIYPTISQMARECSTDSRVATRTAGLAMCELAGESHIEMLVCTQDWLTPAETKANIIQMFKEDTGILVCVLQKEKWNLGNPLCYCSAA >Sspon.06G0012240-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:52991373:52993058:1 gene:Sspon.06G0012240-1P transcript:Sspon.06G0012240-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASATATATAAAAAPAFALTSSLSRRGFLPLPRRAGRPVPQSLRLVASAVRRRRGAVVVAADAAAAAGSAEFGDERTRMRPLDSFDHMKMAYKKKRKDAEETGDDEFLAKLDKAYDTVMMQQLQYRKKGVTYGSVQVSKDIKYADNQPMVPWGPRFSRSTVKDMRINMAISAAF >Sspon.06G0012680-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:54829826:54834245:-1 gene:Sspon.06G0012680-2B transcript:Sspon.06G0012680-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRHPHQIQRPASSSSPSRTLSLPVPSTPPLAARHGHTESVFPSPPPRPAPSRRVVRLPFSQSEPRSHPPFPDLDSYRLVRSDPTDPFERRASPAISGGRGGRQGLFGFGDPFVGFGSFGQPGSLMPGFFGGTNPFDDPFFTNPFGSMMQPSFPTPFSSMMQPSFMNPFGSMMQPSLLGPSMFAPHGDLNGGIFGSQTHLSQGMSNASGFIQQAPEPSRPKGPIIKELSSDDEDGADNDKEDEKKNGNFRKHPRESKEPYVEDPDEEVEDNKRLKHGQFGREFSRASTSRSQPQTFMFQSSTVTYGGPNGACYTSSTTRRTGADGITLEESKEADTTTGKASHRISRGIASKGHLLTRNLNSDGRVNTLQTLHNLNEDELAGFEESWQRNARENMPGWDPRMNMLGNGNVHSNFRDVNQMPALPAPDQSRGTNSSWNFQNGSSMGRAPRT >Sspon.03G0001600-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:3878088:3879555:-1 gene:Sspon.03G0001600-1A transcript:Sspon.03G0001600-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GMDFILNLPSSPAAAGFPYHRRRPAASRWRGGYGFAGNARPGFSARRSSTADSCPPLSRQGSKRTGLVEPKVSQSEAEGIFSGSQASSRRFIWSKHRTKFLTNYLMQQASDGKGRDALFKEDTLRQVAEAVSQQFQRECCVADVQRRLTTLREKWRRVEKIKSLGSVSWDNATRTISIREEDYQQYAKDSGLLNRPIQDYDELSFIFGDEYDPSAAEIQLERDQNTHSDDSKISEDPMEQKIGNEDIRYLVLKIGELIDAVKSLKPRDFAEDLWKAVTACSYNERMSITAFEYFLKNEVEGKIFLVRSPDLHKEWLAKFFSS >Sspon.05G0030110-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:81120413:81133258:-1 gene:Sspon.05G0030110-1P transcript:Sspon.05G0030110-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MIDHGGSVESRRLFLARRTALEMLRDRGYRYSVPEPELARTLPEFCAWWAASKPELERLAFSTTLASDPSNKVKVVFCPPEPVKVAVIREIYSGIKDENLSGLILILQSKIMSKARESIKEIFPFKVDTFQITELLVNITKHVLTPKHEVLTAEGKVKLLKEYYNMVDSYLPRMLESHAVSHYHGFRKGTAVKVTYDSDLTGNHV >Sspon.01G0046710-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:99919603:99924500:1 gene:Sspon.01G0046710-1B transcript:Sspon.01G0046710-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:cyclin H;1 [Source:Projected from Arabidopsis thaliana (AT5G27620) TAIR;Acc:AT5G27620] MADFRTSTQRERWIFQSHDLMERWAAANQRAAQTLAQYGTTRLSVDLLDGSVSYPEPAPDHVEGSSGVKPLSYEEEQLTRVFYEQKIQEVCAAFKFPHKIQATAIIYFKRFYLQWSVMEHHPKHIMLTCVYASCKVEENHVSAEELGKGIQQDHQIILNNEMILLKTLDFDLIVYAPYRSIEGFIDDLEDFCRAGNGPFQRLKELRQAAISRVDKMMLTDAPLLYTPGQLALAALHKSNDLLRVINFERYLETIFSRQHSDCPVEQFVQSINAINYLVDQLNIPTVKDMRHVDRKLKHCWDPSSHDEHKKKEKKSKHKSKRTSADAQ >Sspon.05G0011820-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:34245712:34248288:-1 gene:Sspon.05G0011820-1A transcript:Sspon.05G0011820-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRIFVQRGTAGSSSSSGRSGSQPAQQQAAAAREEELPLQPQPQLPELLAIDDTTEHLNEGSENSSSSKKPLRTDDTVSESSSSAEERAAREKPPKDDSNVINPTFLVEELIGLQIPDQIEHGDSVPSGTSSSQMAGAASHPPPPPAPPPKPLLGNNGLRRMGPGSSNSVRIGSSRRPVAWPPVVARTSASGSRPSSPRSLVDGEGYNSADEQGPCYPSSYDDLVYGNNVEIQAFAEMYNRPIHIYSYGAVVDPRRQTVGAGLGFSSLRGTNNVDRDQVKAAIKAQQDQQIENALLAEGRFYSDLELTEREIERMVMEASRSEYLAKEKKLNIRESSTSGAEPSSSAAISGSSRSVAGADRGSEDYFVLPDTVLTRSMQLLLAMGFSYIQVMEAYSIFGEDVDSMVCYLVETGGTGASAGGSNRRKGKAAE >Sspon.05G0014560-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:54517249:54521396:1 gene:Sspon.05G0014560-1A transcript:Sspon.05G0014560-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGASASIPCVALNTGHAIPVLGFGTGSSSTPEDLAATILHAVRLGYRHIDTASMYGTEGAVGAAVADAVATGAVASRADLFITSKLVMKDAHPDRVLPALRESLARLGLDYLDLFLIHWPLAADENNKFVPFDMEGVWRAMEECHRLGLARSIGVSNFSAAKMSRLLAFAAVPPAVNQVELNVGWRQEKVREVCAKNGVVVTAFSPLGAFGSMWGSNAVMESGVLQDVAARRGKTIAQVALRWLHEQGVCFVARSFNKDRLKQNMELFDWELNDDDKEKIMGIPQRRACRGEFFLSPDGPYKTLEELWDGEI >Sspon.06G0028930-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6D:11802962:11807096:1 gene:Sspon.06G0028930-2D transcript:Sspon.06G0028930-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGGRGNPYYLQNHHHQHQQQLFHHGHALDATMDGGGGFMEEPPAAGSGSAADAQCHHALLYNLSVLRDKVQQLQPLVGLALAHDGPGPVAAAPGAGAVIQEIMAAASSMMYAFQQLCGHGGAAPNAAQAQPQPQAGSSSSVIAAGHGNNARMADAAAATCGDHHGQQHQQATVIDHVMQQQWRQQQQQQHRTRYGGTTPVAAAAAMPSSQPEATTAAMMAEEEVVAGTIIELEAAELLAKYTHYCQVCGKGFKRDANLRMHMRAHGDEYKSSAALANPAKATAAGGDAATTSRSFYSCPQEGCRWNRKHAKFQPLKSVICAKNHYKRSHCPKMYLCNRCNRKHFSVLSDLRTHEKHCGDHRWLCSCGTSFSRKDKLVGHLALFTGHQPAVPLDRQANGGRRSSSMSTSTQLGYTEIL >Sspon.05G0014670-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:55671149:55672327:-1 gene:Sspon.05G0014670-1A transcript:Sspon.05G0014670-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding HGRQRRPRRGGRHAARHGGAAGAREGQAQQEAARARAAGRRGGARGSRVVVVRGVGCHGASRGGGLGRLGVRDDHHVAVRRGRGRVRVRRVLPHRGGRGHGALPHAPRARRAGSGGGQGRWRPDDSGGGGGGQGGQQQVQEPPPGCCSRRKEALLLPPASTCTSARRATSASRLSRRSAATAPATRSHACCFLRCRRCLRRHFQPPQEKDLVLTPAPEAAEPTDAPSPPMTPAPAPAEGTGDATVLPAIPVGAAPKQERQDAGAATPAAVPVAASSSSSAKHHHHPRVHECSICGAEFGSGQALGGHMRRHRPLVPAALRDRDRDDAHAPTSRKEKSLLELDLNMPAPCDEAEAPAAMTSPRFAFAAVAVAERPPAPLLFPPATPSALVDCHY >Sspon.07G0003200-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:7458979:7462424:1 gene:Sspon.07G0003200-3D transcript:Sspon.07G0003200-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDGKDSLDLSALGAAIPNSAELSAEDKAHLVASIKNTLEGLASRHTDVLENLEPKVRKRVEKLREIQGQHDELEAKFFEERAALEAKYQKLYEPLYSKRYEIVNGVVEVEGVTEESAAETPAEQKSGDETSAEQKEEKGVPAFWLNAMKNHEILAEEIQERDEEALKYLKDIKWYRISEPKGFKLEFHFDTNPFFKNSVLTKTYHMIDEDEPILEKAIGTEIEWYPGKCLTQKVLKKKPRKGSKNTKPITKTEDCESFFNFFSPPQVPDDDEEIDEDTAEQLQNQMEQDYDIGYVVMCSVLYVVVAVLLQIMLLRPRELLEGKGSKGNDLQSASSSKVLHKYWQALVVEQLVWFCLMQCH >Sspon.02G0033200-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:6310555:6314834:1 gene:Sspon.02G0033200-2C transcript:Sspon.02G0033200-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSPADGAAYWLRWQVLVCGALIALPTAAAAALLPRLRRAAPPLRAADLWVPCWARLHPGWLLAYRAFALAAAVALLARLLVAKGLTVFYFYTQWTFLLVTIYFAFATAISAHGCWVYSRKSLGKAHESHVFLNADVENLDVSNSISGERKKDETNMMVSYYEQIVNERRAGFWGRCMQIIYQTSAGATMLTDVTFWGLLVPFFYRGKFGLSLVTDGMHSLNAVFLLIDTVLNNMPFPWYRMAFFVFWSCAYVTFQWVLHACGGLSWWPYPFLDLSSSGAPLWYLGMAIAHIPCFFLYWFIVKAKHTYFPRMFPHAYIRTI >Sspon.01G0013830-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:40509670:40515329:1 gene:Sspon.01G0013830-2D transcript:Sspon.01G0013830-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQYFLKAKFHYSKNQYADLLLIIGITGSFSQLTVMPLLVPKLGEQKLLIIALIASCGQIRSIVSKKAGPFEQAILTTAVEDIMATGLYSQIVSPSPMFTKQCCGDVSTILYLGKPHLVEAQEHHS >Sspon.04G0020030-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4A:70486866:70487237:-1 gene:Sspon.04G0020030-1A transcript:Sspon.04G0020030-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLAATQLQIHTHTLKLTPLNLLSPRRPSRAAWAELPPRRRGHSYLRPFTSPSLEWLPRLPVACKDGGGWACFPPPLVVLCRGGGLPFRLQIRQPRARSRDVVAGSDGLVGPAGFSTRERWRP >Sspon.08G0014410-1P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8B:54200518:54200907:-1 gene:Sspon.08G0014410-1P transcript:Sspon.08G0014410-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTKQTARKSTGGKAPRKQLATKAARKSAPATGGVKKPHRFRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSSAVAALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARR >Sspon.02G0007780-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:16831266:16833434:1 gene:Sspon.02G0007780-3D transcript:Sspon.02G0007780-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKWKTILKDMAPLRSTGRFFRRHPSALCLLIFLLVLYKYFFGWFTLIVTTSPIFLIAGIFLGIILAYGEPNNPEKDHVYKKIENARSSDIHDSSKSVRGVPLPTIPFGEGRVAKHKNRVKKIRKRSHGVASSSEPGSSESGGSDTDTAPMLHAFHHLGSGSNSSQSSQDGDSNDSSTEDGAENQQGNDGNVRKRKQHAKVVAWTADDQKNILKIGCLEIERNQRLETLIARRRARKYVDRNLMEFGSTDSLPTIEELSKFNVQIPAVFAPRKNPFDLPYNEDNFPDSAPSALLETVNPFDLPSEQANESSSNGGANSSHAEPIPVACHLQRSALLRRHESFTEGAPFLSEFLQDTQPSRFRPYFMTEKMANEEMTDSVLEGETSEKSNSKASSAQDSASTSSVADQESQKDVLEDCSNQGQQSSFSQTEEHAHIARHVREVSLALDMEPPVLISDSSDDDISLSGEHINDWEEAQQSENFSFSQNTLLEDPSVMQHHQEIDMTSNGLNQMSPHSNDLELTSSSTETTDDPFEVNDIEPPAKEVVVIDDTHILDPVYDSSPSGSEKPAPIGLVVDGPVLKDGHARTLDAEASIEEGVSPSRTEASSSEVAGPSLSSVEESKFLEKEASEIREQSMVGHVEEHGGSVSHADPSVCDISSQPSTGSSTN >Sspon.04G0000530-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:2714723:2717160:1 gene:Sspon.04G0000530-1P transcript:Sspon.04G0000530-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHDRLNSPSTSAISLEVMGHRLYIAQDPNSKHLGTTVWDASMVFVKFLEKNSRKGRFCPSKLKGKRVIELGAGCGLAGFGMALLGCDVTTTDQVEVLPLLMRNVERNRSWISQSNSDSDSIGSITVAELDWGNKEHIKAVEPPFDYIIGTDVVSFLMQLGYEIRSTTVHEKMMEMWKSNFIVKTVSKSRYGNVE >Sspon.04G0002960-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:9153561:9154525:-1 gene:Sspon.04G0002960-1A transcript:Sspon.04G0002960-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MACTVASRRAVLLVRALEKLLAASSAPGAGSAVRPVAVAGGLRRYNTGAQLRRYEGAESEDDSVREYESRRGSRDYAVPSLFSDIFRDPFSAPQSLGRLLSLMDDFAVAAPGRAGAVRRGWNAKEDEEALHLRVDMPGLGKEHVKVWAEQNSLVIKGEGEKESGEDEDVPPPRYSGRIELAPEVYRMDKIKAEMRNGVLKVVVPKVKEEQRKDVFQVNIE >Sspon.03G0019650-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3A:61311928:61312122:-1 gene:Sspon.03G0019650-1A transcript:Sspon.03G0019650-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMREEKLSTRLETSASAFCSSDFCFCTAAAAAAAVGPGAEPGPPPALLIAGDLRPLWAARESAC >Sspon.05G0018210-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:76787260:76788955:1 gene:Sspon.05G0018210-1A transcript:Sspon.05G0018210-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding METLTNKRQQHGGHKRSLSNKRRRRSDSPPAAAGPRWLILNSCIDDSSVADAKTTAACCTSSGKPFSISFGIAAPPACCSLHCDWLGGGTPSDSDGKHSNSEPDDVRIIAGHDDALLIEIREIRVPSPEVRRGQPPTTTFLDHFLYEAGGGARPPSLSLLPGFYVPVQLGYRKHRDPTPQFRYLGMTNTGILRRGEDDLVVCQYEMTCDPPIYDTAELCMLRIGRDREWIVRRVPIVEHREGSSELQPWRHRQRSLVADAAVPVGNRFLCWVDYGTTGFILCDMVAEEIPKLRYVPLPPATQHDGYCGHCPDETRAYCTLGAAGHDAVRFVGVSPPRCCLGDVPGKTSCEGSSSSFNVTTWTMTLSTEEATTWVKDVVLDCDEIWKWRLPNYGCLPRDKHLEYPMVSSDDPDVIYFKAEDDPDVICFKVEVMAIEINTRTKKLLSVVPSGTRDLLEDEESSRLITAKLRWHQSTDDGILVAFSNAAN >Sspon.08G0008630-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:5453105:5453629:-1 gene:Sspon.08G0008630-2C transcript:Sspon.08G0008630-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAASCRCSRVVFVGNIPYHASEDELCAACEEIGPAVSLRVATDNKGSSSSRPRPWGFAFVEYLDDETTLSVCRNLHARALHGRDLRVGLAQRTPPAAGGGGDHVDDEPVGVDGATHAASLLAPGACPRGAVTSYLAGLSRRQLRELAGRSGAGGCGARGACQAGVRRAGHVD >Sspon.05G0005310-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:11453565:11455033:-1 gene:Sspon.05G0005310-2B transcript:Sspon.05G0005310-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSKQDAISEVQSAPPTPRPPTPPVVSTPPSQIQSPRAPSGGRSPLHAMASPLRAMASPLRAMATPLASPIRKAVAGVKAVGNVTRLADPRDAWLPITESRSGNAYYAAFHNLSSGIGFQALVLPTAFASLGWTWAIICLTLAFGWQLYTLWLLVRLHEPVAGATRYSRYMHLANTVFGAKWAKILALLPVLYLSAGISTALIIVGGGSMKSLFSIACGESYLPVAS >Sspon.01G0004210-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:10008011:10009943:1 gene:Sspon.01G0004210-1P transcript:Sspon.01G0004210-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LYPCAENGTVMIQFGHQMPDYDSPATQSTSESHQEVSGMSEGSLNEHNDHSGNLDGYSKSDENKMMSALSLGNPETGYAHPKPDRTQSFAISYPYADPYYGGAVAAYGSPAIMHPQLVGMVSSSRVPLPIEPAAEEPIYVNAKQYHAILRRRQLRAKLEAENKLVKSRKPYLHESRHLHAMKRARGTGGRFLNTKQQPESPGSGVGGSSDAQRVPANGSLFTKHEHSLSPGDRHHYHARGGGA >Sspon.07G0001130-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:2588537:2598381:1 gene:Sspon.07G0001130-1A transcript:Sspon.07G0001130-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHRSVLVSALGVGVGVGLGLASAKWAVQPAPNGDSFGAGAADLEAELRRLVLDGRECDVTFDKFPYYLSDQTREVLISAAFVHLKNAELSKHIRNLSAASRAILLSGPTEPYLQSLAKALSHYFEARLLILDATDFSHRIQSKYGGSTKAMARNQSVAETTFGRMSDLIGSFMAYPKKDEPRVRRTGSWCFNEKVLIQSLYKVMVSVSENGPIILYLRDVDHFLWKSQRTYSMFQKMLAKLSGQVLILGSRLLSPDADNRNADERISTLFPYHVDIKAPEEETHLDCWKSQIEEDTRKIQMQDNRNHIIEVLSANDLDCDDLSSISEADTMVLSNYIEEIIVSAVSYHLIHNKDPEYRNGKLMLSSKSLSHGLSIFQGGHGGKDTLKLEETKDGLKGAPGSKKTETVPAGEGPLPPAKPEVPDNEFEKRIRTEVIPASEIGVTFDDIGALADIKESLQELVMLPLRRPDLFKGGLLKPCRGILLFGPPGTGKTMLAKAIANDAGASFINVSMSTITSKWFGEDEKNVRALFSLAAKVSPTIIFVDEVDSMLGQRARYGEHEAMRKIKNEFMSHWDGLLSKTGERILVLAATNRPFDLDEAIIRRFERRIMVGLPTQESRELILRTLLSKEKIDENIDFKELATMTEGYSGSDLKNLCVTAAYRPVRELLKKEREKELERREKESKEKAAENSEAPEGKKEGSESKEAPKSKESSESSKDENSNSEADSSETKAEGEKEAAIDLRPLTMEDLRQAKNQVAASFAAEGAVMNELKQWNDLYGEGGSRKKQQLTYFL >Sspon.06G0025600-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:69854535:69860032:-1 gene:Sspon.06G0025600-1B transcript:Sspon.06G0025600-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPETEKERETYDFQRQDLVRNNSIVRKIGDRTVTEVPLVLDWAIRVDGSCPPLIEKDGVLEKSTASACVSANSHCVNASHGSGYLCKCSKGYIGNPYVTGGCTNINECELRKSDPARYEKQYPCGSGSTCYDTVGDFECKCNFGRRGDGKSDKGCQPIFPGYAIAIVATFTASVLACFVVMEIKKRKQKKFFDKNGGEILKSMGINIFTEEQLNKITNRYSTPIGEGAFGKCYMECLSRIGELAVRCLKEDVDERPTMAEIVKQLKQVK >Sspon.08G0003070-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:6687959:6688865:-1 gene:Sspon.08G0003070-3C transcript:Sspon.08G0003070-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GHGEGCCRRSARRRPARLPCLGVARRPGHRHLLHRLRSVGVLRIPGRGHDDRGGERRALGQWRGVRADVPGVLRRRHQRHPEPLQRRQRHRQDRRPLPVAGVPGHARPLPGGLQHHRQPRRRQDPHQLQPVRTRHALHHISSPVHPQG >Sspon.05G0027020-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:58660737:58682913:-1 gene:Sspon.05G0027020-2D transcript:Sspon.05G0027020-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHDAHTEAPTHAVPPSEDATVDDWARDDAEPMSVESGAAPAEVAAADAGADAPHAPSPSEGVKEIQSSLQSLELKTNVDDRTIQKYEKEAKDKSRESWYMAYIMDTNEEERVKGKTVEVGRAHFETEHTRFTILDAPGHKSYVPNMISGASQADIGVLVISARKGEFETGYERGGQTREHVLLAKTLGVAKLVVVINKMDEPTVKWSKERYDEIEAKMVPFLKSSGYNVKKDVQFLPISGLVGTNMKTRMDKSICSWWDGPCLFEVLDRIEVPLRDPKGPVRMPIIDKYKDMGTVVMGKIESGTIREGDSLLVMPNKSHVKVIGLNLDESKVRRAGPAENVRVKLSGVEEEDVMTGFVLSSVANPVGAVSEFMLSYRFSSCLTMLFSLLATKLCCISTLLSKSVKLSSS >Sspon.05G0039600-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:73793930:73794618:-1 gene:Sspon.05G0039600-1D transcript:Sspon.05G0039600-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIVVPPVYVGIISSHVWASRGSHLMILRRPKTQAVGSAKQRYLPQARPRRRVHVCRQQNLGRHQLRVSFRCAAPPAISSVCYDYANNHEVAVVAAHGDSIVLPMMLRRRHTVVDGCVVPPTFDHFDAIATRPMTCLPELLRRHRRCWPLRYEVRLHRALLLLMQSRGIGIDGRVAVVISTGKAHKMTAV >Sspon.01G0017280-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1A:63278704:63280270:-1 gene:Sspon.01G0017280-1A transcript:Sspon.01G0017280-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRMGSGGADVGRIGGGAGERASEVAAGRGLGVAHGGGRPNGIGRQYQRAHTHSLTSRNFYSVVILLPGVAIEMAPAGFSGRRRRFDVACGVLSRCVKKAEAATAGKTMAAAPAAAAAAAPTTAPPTTMLLMPGADVREEEEPSEATTQLAPLTIMYGDRVVVFDDFPSYRVGELLVLVAERERPDLPGAGGTTTDIPVARKASLQRFMEKRRDRLVARAPYAARPAPASSSEERRNLQAGEQDAGSSWLGLGVPG >Sspon.01G0017080-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:57623687:57631224:-1 gene:Sspon.01G0017080-3D transcript:Sspon.01G0017080-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGDKVDYVFKVVLIGDSAVGKSQILARFARNEFSLDSKATIGVEFQTRTLVIDHKSVKAQIWDTAGQERQVHSLFASSAASHALLFQLRRYRAVTSAYYRGALGALLVYDITKRQSFDHIPRWLEELRGHADKNIVIMLVGNKSDLEEERAVSTEDAKEFAEKENLFFLETSALQATNVENAFQTVLTEIFKIHGKKNMAADPKANGAAPSLAGKKVIVPGPAQEIPKSKCCSS >Sspon.04G0005470-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4A:15801283:15802340:-1 gene:Sspon.04G0005470-1A transcript:Sspon.04G0005470-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTRVRGTPFSVVCGCRCSSVSARDAVSRPLTSMASGRFLPRGMDAQARLAGTRPQARPIPPELVGRCFNCLGSVHVAVPGSSGVGRRATMLVNADLEHHLPCLLPPRRARPPNCHFMPVCLVARHAGRDVVEDPMVVEVVASLPVIQPAEAPTIGSPSPVLAAPAVHDAPRLRLCIVVGHRTLMGLRRIPLGIISWCPSSMPSSYRSSSRLLRHRLGCVSPRTPRLLIMAISPQAERPFSC >Sspon.02G0029490-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:107166607:107175222:-1 gene:Sspon.02G0029490-1A transcript:Sspon.02G0029490-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMYSSRSPKHQEHAKPPSIPPHRYLHPPMTEEKDLHSGSLTLPASNKYAPQPPYPPPLPPQHDSCTQSCLSTLISEHEQIRADGSCSFSPGCRQTVLNLSDSSVTSPSKSSIAATECPLGASDFVDEKVTSRPNIVTGMDFPITNEDTNSLLHMLTCSSPPKTSQHGPPPPPPPPGGYAEALMPPPPLGGCVGAPPPPPPPGGYAGAPPPPPPPGGYIGAPPPPPPYGGIGGVPPPPPPFGGLGGTPPPPPPAGFRGGAPAPPPPPGGHGGPPPPPPRGHGGVGGPPPPPGAPSPPMPPGMPGGPPPPPGGRGMPTPPGGRGHGLARALGPTLQSAVRSRAEFDVNELESLFTIAPKTKAGSKSEGRGKSLGTKSDKVQLIDLRRANNTEIMLTKIKMPLPDMMSAALALDDSVLDADQIENLIKFCPTKEEMELLKNYSGDKEALGKCEHVSIHILFYRGYKCILEHCIIIKILMYLFSFNLPCCCHLQFFLELMKVPRVESKLKIFAFKIQFQSQIRDVRKNLQTVSSACEENSSLICHSAQKLEKLKVIMKNILLIGNTLNQGTPRGQAVGFRLDSLLKLIETRATSGRMTLMHFLCK >Sspon.02G0007090-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:23322452:23328244:1 gene:Sspon.02G0007090-1P transcript:Sspon.02G0007090-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLILRPHTVIRTLPEFPPSFLVRSFQPSPTPRLLPKMTSIRHLFLFVLLASLRCGAAAAGYGGGAEGFCSAEPSNECSGGQPLYWKVTHPTLAPTHLQDLPGFTRSVFKRDHALITPESHDQYLRSIFDQSSYWCTLHYVSGKHARLVFVLQGSISLTVGTGTTHSLLVDSYAYLPANTKHSMISDEPTTVVIFERRYSAIEDSHPDLIVGSTDKQPLLETPGEVFQLRKLLPTSLSYDFNIHIMDFQPGEYLNVKEVHYNQHGLLLLEGQGIYRLGESWYPVQAGDTIWMAPFVPQWYAALGKTRTRYLLYKDVRVPHDD >Sspon.03G0010800-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:29451787:29453719:-1 gene:Sspon.03G0010800-1A transcript:Sspon.03G0010800-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding PAMFLGALLAAVASAPRSLLVYGVPGLVLLWQGGRLLNKLWWEPRRLERALRAQGLRGTSYRFLTGDLKEFGRLNQEAWARPLPLGCHDIVPRVTPFLCNNVREDGKTCFSWFGPIPNVTITDPALVRDVLSNKFGHFQKPQFPALTKLLSDGLTSHEGEKWVKHRRILNPAFHLEKLQLMLPAFSACCEELVSRWAQQSLGSDGWCELDVWPELQALTGDVISRAAFGSSYLEGRRIFELQSEQAERFVGAVQKIAIPGRRYLPTKNNRRMRQINKEVNSILRGLIAKRMQAMEEGESSTSDDLLGLLLESNARHTDENGGQSTLGMTIEDVIEECKLFYFAGMETTSVLLTWTMVLLSMHREWQDRAREEVIGLFGRNKPEYEGLSRLLQVNMILYEILRLYPPAVVFSRKTYKEMKIGDVTFPAGAFIELPVLFMHHDPDTWGNDVHDFKPERFAEGISKASKEPGAFLPFGWGPRICIGQNFALLEAKMALCMILQRFEFELAPSYTHAPHTVMTLHPMHGAQIKLRAI >Sspon.08G0014470-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:56477259:56481060:1 gene:Sspon.08G0014470-2D transcript:Sspon.08G0014470-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATIFSLTEGAVRSLLCKFGCLLSQERWLVQGVHGDIQFIKDELESMNAFLRTLTMSEGHDDQVRIWMKQVREIAYDAEDCIDEFIHHSSDMLGVGFLRRVMCIVGTFGCHRRIAIQLQELKARARDVGKTTLARMVCESPVVKGADFQCCPLFIVSQTFNVRNLFQHMIKELIQRPHEAMAIAGGKYDHFTGETLEGIERLGIAVLAEKLRRYLQDKRYIMILDDIWTISSWESIRCALPDNMKGSRLRLLRVLDIEGCRCLNNSTLDCVSSFFQLKYLSLRKTNICKLPRRLGNLKHLETLDIRATLIKRLPSSANNLSCMKHLLAGHKELLTRTGSVKFFKHCSGLEISPGMVRNMAALQSLTYIVVKDEPLVLREIGLLQKLRKLKVLLRNVKVNWKAFVGSLGKLACSLHSLSIHIIDEKEHDSSLDILAFVDSPPLLVTNFSLVGKLDSLPPWISSLRSVSRFTLRETGLHAEAIQVLGDMPNLLCSQALSQVVHFEEGSVPNLERLTLSFLQEPKDGISYLIYLKKLKEIEFF >Sspon.05G0020660-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5B:89777005:89778136:-1 gene:Sspon.05G0020660-2B transcript:Sspon.05G0020660-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPTSSSTLASLAASPPNFESTAAIAVSAIIALARRYASRSWLCSLSHKPCSCSAVVNVYYCLNNGAARGNIRVRTDLLSVRAYGRASTAAPATSLLRQGSSTPPRRPGTRPRSSTPASLLCHRSTTPASLLRHRSSRLEAGLVLGAVLRALAALVLIAVLADGEGALVAGHEGSKGASKKAEESVAGKQGIWHTCPFGWSIDFGCKEAREQARKQRNQRQGTTGGGTKQSEA >Sspon.02G0004620-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:42620418:42625446:1 gene:Sspon.02G0004620-2B transcript:Sspon.02G0004620-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein FREE1 [Source:Projected from Arabidopsis thaliana (AT1G20110) UniProtKB/Swiss-Prot;Acc:Q9ASS2] MQHGSGDYASSAPSGHYYQHQYAPPGSNPYPAATDAPAPGAVAAGGYASAPPYSLGGGYPDQPPSAPSYSQPPSAPVYSQPPPQPQPQHSAGYPPYNTNPTTYPPEAYYTYTPPPTQTTAPPPAEPNPTPLPYDAPYYGGEYQPPAAGYDNEDYLNEGAYAYSGGGGSEPYGARGTAPARSGSALFDDYGRSISAPSDDYGRSIGAPSGWEEQSWGSGGGGGGGGSYGVIAKALPKAETHEDASGGAQKFRVKLLPEGAGNPTDVLCQIGLDGIRMLDPSTSRTLRIYPLDSLTRWEVLDATIFAFWAKTSVDVEPKRIRLKSSSYTSNTMLDTVTAATVQFKEIGGDARSKGAVETGKPSVQSNEKKKGFDWMFTKPVDEVKDHWVPDEVAKKCHSCAVDFSPFNRRHHCRNCGEIFCDKCSQGRIALTAEDNAPLVRVCDRCMAEVTQRLSIATEAANRSATVQSHEDLARKLKEEMEKNRKSSGPASGGGASGTRMREVACPTCTVHLQVQVPTSGSETVECGVCQHAFL >Sspon.04G0015830-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:18455669:18458321:1 gene:Sspon.04G0015830-2C transcript:Sspon.04G0015830-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RALLLSLYLSPTSPTFRPHFEAHRIPCDSSPLASSSSPRGSPERNRKEGRLIRVKEAMEHKETGCQAPEGPILCINNCGFFGSAATMNMCSKCHKEMIMKQEQAKLAASSFDSIVNGNDAVMEPVVSGNTVVAVAQVELQTMNVQPTDVAGPSEGAAVISKGKVGPNRCSTCRKRVGLTGFNCRCGNLYCALHRYSDKHDCKFDYRTAARDAIAKANPVVKADKLDKI >Sspon.04G0031500-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4C:13770008:13770850:-1 gene:Sspon.04G0031500-1C transcript:Sspon.04G0031500-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQATATSLAHTTRLLQPPRASLAWVCRNRPPSHAPPRSALCPIHLASQPRRALRLAEDTATGRRGLRLRLGWLADSGRLLLLLRRSRGSTPWVPHADVVLQRTQALALGGMQTQGV >Sspon.06G0003660-4D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6D:7970908:7975234:1 gene:Sspon.06G0003660-4D transcript:Sspon.06G0003660-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VTSQMDQKQYWAGDQNKYQYHTIEKFAESFCTSYLPLLVEDKLCSSNNTGKSKVVKVHPALSGTFCHASNVNGPVSLLSGHRKDANDLQPWLRWGYWTSPFTYAQNAIALNEFHDKRWATEFYYNDANTVGEAILKIRGLLTEWHWYWICVTILFGYSLVFNIFSIFALEFMNSPHKHQVNIKTTKVNFVYHRQMAENGNSSNDQVILPFRPLSLVFDHIHYFVDMPKKRIQISHQEMTKNGVTEKKLQLLQDVSGAFRPGVLTALMGITGAGKTTLLDVLAGRKTGGYIEGTIKIAGYPKKQETFSRISGYCEQSDIHSPNLTIYESLKFSAWLRLPSNVKPHQRNMFIEEVMSLVELTDLKNAMVGIPGATGLSAEQRKRLTIAVELVASPSIIFMDEPTTGLDARAAAIVMRTVRKTVDTGRTVVCTIHQPSIEIFESFDELLLMKRGGQLIYSGSLGPLSSNMIKYFEGQNPAAWMLDISSHITEYEIGVDYAEIYRNSSLYRSNTDFVCNSKDEQDVFNILGIVYGSALFLGFMNCSILQPVVAMERVVLYREKAAGMYSTMAYAIAQVSAELPYMLVQVLIFSSIVYPMIGFQLTAGKFFWFFLYLMMSFMYYTLYGMMTVALTPNIEIAMGLSFLIFIFWNVFSGFITTREMMPLWWRWAYWADPAAWTVYGLMFSQLADWTKKILVPGLEEQTVREFLEEYLGLQDRYFELVTCLHLAIIGLFSFLFFLAIKHLNFQR >Sspon.01G0062370-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:103859680:103873155:1 gene:Sspon.01G0062370-1D transcript:Sspon.01G0062370-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRAQMVTHGLGAKRDNAGAKKSPQQIQMLEKFYSDVQYPKPEEMGEYATCVGLTYSQVRIWFKERRRKDRRREMGVIGAQMERQFRARSTGPRSSSNSSSCNQAPIYGISRSQSELDTRGMSTAGEESKIISQVLFPKDYILSKIFRKDGPPLGSEFDPLPQSAHGCNRDTACHHSSENQRTVKKRKIMESTTNQRSDVYEDTAPVRKHGIGKGLMTVWHAMYSHNVKCQSGPNFIDETGCLRSLRPFDDFDGLEDRDNGRKTQNQGMTWKKIVKRSKVLANRKKVPCKRVTGPKVHPPMDCHLSVDKSESSELQTELVTLVDDEELELSELQAGPNPLRCSAHLSSSGRHDCPLCKDLLAKFPPQSLKMKKLFSTKPWESSPEMVKKLFQVIRFVYTHFGGIDVHPFTFDEFVQAFHDKDSLLLGEVHVGLLKLLLLKAEMGSDGVREQEFDANVWIRSLNALTWVEILRQVLVASGFGPKQHLLNQEFFNKEKNQMVKYGLRPRTLKGELFVLLSKAGSCGLKVSVLAKSSEIIDLNASSPLELEQLISLALSSDITLFEKIAPSAYRLRVDPKIKGEEDSILDSDDSGSVDDEEDASRSDDGSDSSQKFKFPENENRLARKKEKNAHESPNKCSEIDESYSGERWLLALMEGEYSDLSIDEKLDCLVALIDVVSGAGSVPRLE >Sspon.03G0001320-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:14775172:14778058:-1 gene:Sspon.03G0001320-2P transcript:Sspon.03G0001320-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEHAVWRQGLRVSFPSQGGFVKTQKTSAYSKRFQVKFKRRRAGKTDYRARIRLINQDKNKYNTPKYRFVVRFTNKDITAQIISASIAGDMILASAYSHELPRYGLEVGLTNYAAAYCTGLLLARRVLKIRGLDQEYEGNVEATGEDFSVEPADERRPFRALLDVGLVRTTTGNRVFGALKGALDGGLDIPHSEKRFAGFKKDDKQLDADIHRKYIYGGHVADYMKNLAEEEPEKYQAHFSEYIKKGIEAEDMEALYKKVHAAIRADPSVVKSTKQPPKEHKRYNPKKLTYEQRKASLVERLNQLNSGAADDDDEDDDAVSNFVQWVPHNVNKEPVSASLEGNSGDA >Sspon.05G0011420-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:27260324:27268754:1 gene:Sspon.05G0011420-2B transcript:Sspon.05G0011420-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MYTYKLQNNYEEEECVEAPSYIHIDSNNFSYRKHKRQTEEDIAVCECQYDILDPESPCGDRRGAYTRARKVGNNHMLQGPTLPDLVRVQAPAAGHCDLAEGYVCVTWAIGEEEQQVTRAGGLKWENGKKKKNSENANRTYSQPNCETRKWNVLGEVRVGIFAKQNIPFGTELSYDYNFEWYGGVMVRCLCGAASCSGFLGAKSRGFQEATYLWEDDDDRFSVENIPLYDSADDEPTSINKDILLSSHGMVTEYSNISTVQSTENPGNAGSNEFAPIIVDKVTASSNGLAPMNVDPLTASSNEFTAMTIEPLNAMPMVAHLAENGSTEYGVQDTHDASQNSVPKAANHANQTGSQNNSNHSALVPVKPAPKRRGRKPKRVLHKQLDIPDICDRLTSSVACEEILYCEEVKNQAVSEIDALYDEIRPAVEEHERDSQDSVSTSLAEKWIEASCCKYKAEFDLYAAIINNIASTPLRSKDDVAPREQNGLKYLENGS >Sspon.08G0004660-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8A:13480165:13481229:-1 gene:Sspon.08G0004660-1A transcript:Sspon.08G0004660-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAHRPQCTHTKAFRDAMHHSVATVPLAVTAAMLYARFAASSTRPGLCRLFALIPVLALLLVLPFLIPLYGVRGLVAFFLVWLGEFKLLLLAFGHGPLDPHIRPLPFVFTAALPVKLRRQISKDAAVTKTKTKTVLLSSSIKFAATAAIVHHLHHSKERTHPYAAFVLFGIITYCILDSVLPCVAATGRALGMELEPQFNKPYLAASLQDFWGRRWNLMVSAVLRPSVYNPVRAHLGVPAGVLATFLVSGLMHEVMAYYLTFRAPTGQVTAFFVLHGASMCAERWCARRWSARPPRVVATPLVVAFVVGTACWLFLPAIFGDGMDDLYLAEAAALVSSFRDVGARFLGLGSLGS >Sspon.03G0031900-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:38813769:38817265:-1 gene:Sspon.03G0031900-2C transcript:Sspon.03G0031900-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRNAGAMQREGSAGSVKDWSEFDPSPSPKLAYSQSYVAMRGLLTSVASLDPVLMSSSLKSLWAALSSHRHARSLERPKYKGVNWKRPMFHLLVCFLVGVFIGFTPLFSLDLSNNIDSENETLPFDGDVVDRQMLELKSTKLEPFVAAAETEATEEQLVDESPPVPAMLDDEADFIEASHVQPSVNDSDFVARKQLIIVTTTSVRPHQAYYLNRLAHVLKNVPPPLLWIVAEWPYQSRETAEILRSSGAMYRHLICNRNSTNIRKIIVCQKNNAIFHIKKHRLDGIVHFADVERVYSVDLFEDMRKIRRFGTWPVATHVGSRYKVVLEGPICRGNQVTGWHTNQRRGHYTLGSQRWNSPTLESIILHSGGRGGLQESRFIEKLVEDETQMEGLADNCTRVMVWNFDLEPPQLNYPTGWLLQKNLDIIVPIT >Sspon.04G0001620-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:6717465:6723293:-1 gene:Sspon.04G0001620-3D transcript:Sspon.04G0001620-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIDLQRSKNCEIMLRNIKMPLPDLMGSVLALDDSIVDGDQVDYLIKFCPTKEEMELLKGYTGKKENLGNCEQFFLEMMKVPRVESKLRILSFKIKFVTQVADLKSAAVGFRLDSLLKLSDIRARNNRMTLMHYLCKTLIRFIRRKLHATRAQKHERATRRVVFFLRSGLYARRALEGGGASGGGQTGGDGEFRGGERGGGRQPDGRSQRRVRDARARLLWLGLGVGGMERRGRVVGGAAGGRKPLAGAAVEAGGGSALILSDKLPEVLDFSRDLANLEPASKIQLKELAEEMQAITKGLEKVEQELATSEKDPPETEIFYRVGFPKLKLFLADAQAEGRSLALLYSTAGKSADSLAHYFGEDPVRCPFEQVVSTLLSFTKTFERAHAENVKQLDLEKKRAQAEAEQEKPKLTAHKKGESLELGILKEKAKLTAHKKGESLELGISGRLRSVAVVKKGSTDTVSSRRELRSLVNLGNSPVIVASVIACPYRVKCMHSQEAREK >Sspon.02G0025640-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:90483658:90484485:-1 gene:Sspon.02G0025640-2P transcript:Sspon.02G0025640-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding APRAKYIGLSEASASTIRRAHAVHPITAVQLEWSLWTRDAEQDIIPTCRELGIGIVAYSPLGKNMEKNALIFERVSQMAARKGCTPSQLALAWVHHQGSDVCPIPGTTKIANLNQNLGALSVKLTLEEMAELESYAAGVQGEPYHGTVIKTWRDSETPPLSSWKGN >Sspon.07G0000760-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:1189525:1192098:-1 gene:Sspon.07G0000760-2B transcript:Sspon.07G0000760-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At4g01030, mitochondrial [Source:Projected from Arabidopsis thaliana (AT4G01030) UniProtKB/Swiss-Prot;Acc:Q9SV26] MAAQAISLSLPTPPTHHAKPPPPPLHHAHAPPAHLLLKASSLPEALRGVDSFRDGRLLVSLLRQSAELLHGDEDTERVSAARRIAPQLHSLAVRAGHARDPRVVCALADLLVRLGRGASARRLLEEATTENEEGGDEEHDAVLWNKRVSMLAEAEEWGDAIAAFGEMRARGVAPDGYACARALHACGRSGALREGQAVHAHAAKAGHVAAHPLVPGFLAGMYAESADVGAARRVLETEDAPPVAWNVVVACCARLGLVDDALDLAEGMAGSARLEPSLATWNAVLSGCARYGRDREAFGVVRSMLDQGLRPDSSSMSSLLKSVASLGLLAHGMEAHCFFLRNQLEPDVYTGTAFVDMYAKCGRLEYAQKVFDTLELRNITTWNSLVAGYANAGQFDHALDLVEEMKRNRLDPDVTTWNGLINGYSMNGMSSQAVLLLRQIKAIGLTPNVVSWTSLISGSCNNGDYEDSFYFFNEMQKDHVQPSVVTMSVLLRACAGLALLKKGKELHCFALRRAYDHDMVVGTALIDMYSKSGNLASAKRIFERIQENNLVSCNAMLTGLAAHGQGHEAIALFHDMCNSGLKPDSITFTALLTACRSMELITEGWEYFDSMESRYGVTPTVENYACMVDLLARCGYLDEAMDFINKSPFKSAASLWGALLTGCTVHGNLALAEAAARKLFKLEPYNSANYLQMVSLYEHEQMFDEAESLKYAMKARALDTRPGWSWIQIEQSIHVFEVEGKPHPDTAEIYEELIRLVFQIRKAGYVPDTSCIVYNVPEEEKEKLLLSHTEKLAITYGLIHSDASRVPIRVIKNTRMCNDCHEVAKYISALCERQIILRDADRFHHFTDGKCSCNGCW >Sspon.01G0010450-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:35756466:35761432:-1 gene:Sspon.01G0010450-2B transcript:Sspon.01G0010450-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-prolyl cis-trans isomerase PASTICCINO1 [Source:Projected from Arabidopsis thaliana (AT3G54010) UniProtKB/Swiss-Prot;Acc:Q7DMA9] MAAPGGGQDGPAPAPGSPPVAGGARGAAAAVGRRGGAGEKTAACRGAVGPMAAPGGGQDGPVPAPGVPPAAGGARSGGAQGSSGRCRGLGAQRWGGCGHLGPGSGVGEGREVLEEVPEGFEMCVRLMLPGEKSIVTCPPDFAYDKFPRPANVPEGAHVQWEIELLGFEMPKGNRLFKEGKFELAKAKYDKSSLHLNVAFCYQKMGEYRKSIETCNKVLDANPVHVKALFRRGTSFMLLGEFDDARNDFEKVCNRTTLSQTVKALELTTNGQYVNQEAEKKARKQFKGLFDKKPGEISEVGVESEDGKDAGGARGSDDATSADRGANTMDSPTREPEYAFEEERPGLLGRLWPSARRIFSSLGMNRCTIL >Sspon.04G0012820-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:55744058:55754799:1 gene:Sspon.04G0012820-3D transcript:Sspon.04G0012820-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLGDALRQAFLMPRRAYAALRDDEHSLPPRLRRPLAVTAACCVAAAVAAAACVSLGIVFPAEPAERPFCRERRMLEALPAAASSREEEPEAYRYRGGAFYMTTAEAADFYWMVVFVPSAVLFGASFTYLVAGMSVAYAAPRRHPMICVVENNFCASRRGGVRCLAILNVVFAVIFGLMAIILGSTLLALGSTCSVPLFWCYEITAWGLAVLYGGTAFFLRRKAAVVLDEGDYVTHNVGLEMLESKMEATPEMQRRINDGFKQWMGSSLLSSDDEEEATDDYIEHDAPSPTALGQQRQQEHDLET >Sspon.07G0021640-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:4202579:4204049:-1 gene:Sspon.07G0021640-1P transcript:Sspon.07G0021640-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNIDPEAKDQEGSGGGGHLLPRQFISSIGTAPDDPLRSMGSDAVRGGGGDSSGSTSNAEPPQPLDYCPGNGLKDMMPLPAFEHGHQQQHLAHEMGSSSRADEPHHLAAQQGWLPNKVHKFLPAKGPEPVPEAATMRKARVSVRARSEAPMINDGCQWRKYGQKMAKGNPCPRAYYRCTMAAGCPVRKQVQRCAEDTTVVITTYEGHHNHPLPPAAMPMASTTAAAASMLLSGSMPSADGGSLMAGSNFLARAVLPCSSNVATISASAPFPTVTLDLTQPPPGAASASSFAQQPQPAPAQARATGTEPSQLQAALADAAGRPMPLTTQLFGQKLYDPSSKAPAAQADAAGDTVSAAAVIASDPNFTAVLAAAIKSYIGGSSSNGAGGSSGTTVLPPAAAASSAGDSSRDDKVGEQGS >Sspon.02G0023020-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:77816131:77818096:1 gene:Sspon.02G0023020-1A transcript:Sspon.02G0023020-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSALITTATPMNTPANSNTCALKYGAGQLNPVKAHDPGLVERLRGYAVRAGYNATQLALITGSNTMACDNGSESSSPRDLNYPTMAARVEPGKNFTVVFPRSVTNVGAASSAFVFPDEAVNVLTAEVSPSELEFSEKNKRVSFTMTVSGVALEEGQVYSFTIVWYNNEHKTDSEMARWSRAGNFSLKMEKPERERQLAGDRAQYLSG >Sspon.06G0022470-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6B:32201456:32202709:-1 gene:Sspon.06G0022470-1B transcript:Sspon.06G0022470-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VVAPVLRTLKSHLVQSGHEVGLIPPAGTLLWCGRQQSHRIGELGGSEGDVRLWVRAQNARLLRAPRHMWVEPLLLLRAPQGEGHPRASRRGGATIATATMGTRTATTAAAPPVFAAALDIAAAAATTTSTVLVVTLAAAAAGATSALARAVCAACHPTRREAGMECCLSGDLALVSEKQFFEDQLLAGGDEFRKQIRVDNVDGNAREARIEAVQQVEDELQVEDCVADITEHISGGFHILVVLVDGEVALGHGVELVAKEDGTRSIVRLEEDLDGRPKLSAIWFSTMSRLRTSSATEPSSQLWTQESEMKKADQRLKLGCFNLRVIDMRLDDDHGVGATKIASAGSNPGMALVLWELMVPLEAKNPSTWQWTRMGWRRWRGRRPAPLARV >Sspon.08G0002050-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:355063:359533:1 gene:Sspon.08G0002050-1A transcript:Sspon.08G0002050-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLCYFCPGLATRSRMPVKRYKKILAEIFPKTQDEEPNERRIGKLCEYASKNPLRVPKITVYLEQHIYRDLRSEQYGFAKVVMLIYRRLSVSCREQMPLFASSLLSIVHTLLDQKRQDDLRIVGCETLFDFAVNQVDGTYQFNLEGLVPRLCEIAQEVGEDERATALRAAALQSLSAMVWFMGELSHISSEFDNVVQVVLENYKPQKMQNDDQSTNDADNQLVQEGQNAEHPPSPFIITPAPSWENIVNVKGGVNLPVEDARDPKFWSRICVHNMAKLSREATTFRRILECLFRYFGNNSSWLSENGLALCVLLDMQLLVESSGQNMHLMLSLLIKHIEHKTMLKQPDMQLSIVEVAATLAEQSSAIASPATIGAISDLVRHLKRTFHITLGSKDMELVKWNEKFRKGIDECLVQLSKKVTDAGPVLDMMAVMLENIASTPVVARSTAAAVYRTAQIIASVPNLQYQNKVFPEAMFHQLLLTMIHPDHEARVAAHRIFAIVLVPSSVSPSIQASPSGQAKKHDMQRTLSRAVSVFSSSAAIFDKMKKDKYSENSQGESKDNSLHSVGEGTGQSKSQNLHVSQSRRRSMKVPNFSMKRGPSMAMRAPSVAIRAPSISLRGPSMASRAPSMSVKEDQSSSNKSDEETESVLVKLSTRQITLLLSSIWAQATSPENTPANYEAIAHTYSLLLLFSGSKHS >Sspon.02G0020190-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:61160268:61160944:-1 gene:Sspon.02G0020190-2D transcript:Sspon.02G0020190-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding NGGSHDPRHDKDQGHSLAGRPGRPRPIRGVDDHGILIPGTTTTTATVPCSSFRHAVAPAPAAARFLAHARRRGRGAQHGGALGAVQRRVVRRRGPLRDEPGVRAVGAGVRHAGPGRPRLLRHLPVPGRLRVRARRVPGGAVRAGLRDLPPLRRQPNGRLLRPQRRRQGRARRLQRALRAVRVRGL >Sspon.03G0012200-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:33771252:33776270:-1 gene:Sspon.03G0012200-1A transcript:Sspon.03G0012200-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAPKQRWTPEEEAALKAGVAKHGPGKWRTILRDSDFSELLRLRSNVDLKDKWRNLSVTAGGYGSREKARMALKKGRRVVPKLTAEPMDVDGKDMDIAHDAIIEAEPLAMALEPLAIEESPDKSVARLDDLILEAIRKLNESSGSNKAAIAAYIEDQYWPPADFQRLLSTKLKSLVNSGKLIKVNQKFRIAPSSPPSGGISTKVSLLKGWIQKIIMLNG >Sspon.04G0012630-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:37527869:37529997:-1 gene:Sspon.04G0012630-2B transcript:Sspon.04G0012630-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding EMDPTMGDTPTSLECFWDCLDSEGMHSLYIGADDDTAVSSGGQLHDGYSSAPDAAGSNFSAATTAGVGAEREGRPCNMVTVMERRRRRRLNDRLYALRSVVPNITQMDKASIIKDAIEYVLQLQQLERQLLAEVALLDTAANAHHLVVGGTPSTQDGCAVSPTKKMKRNPSFSSHSRSSSPPVDALQVRVSGAGDKVLVVSVACTHRRDAVAKVCRALDGLRLRVIAANVTAASGTVMHTALVQGEEMHQTEMKEMIETAISQLDDIVGSPLSTTSY >Sspon.01G0009770-3D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:30061389:30064022:-1 gene:Sspon.01G0009770-3D transcript:Sspon.01G0009770-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding QKIDCLHNSAVMELMRGLRNQLTELITGLGAQDLGPMSLGLSHSLSRYKLKFSPEKVDTMIIQAIGLLDDLDKELNTYAMRVREWYGWHFPELTKIVTDNIQYAKVVKMMGNRVNAVNLDFSEILSDEEIETQLKEAAVISMGTEVSDLDLSNIRELCDQVLALSEYRTQLYDYLKSRMNTIAPNLTALVGELVGARLIAHGGSLLNLAKQPGSTIQILGAEKALFRALKTKHSTPKYGLIYHASLIGKASQKHKGKISRSLAAKTALAIRYDALGDGEDNSIGTESRLKLETRLQVLENRELGKSAGSTKGKPKIEVYEKDRKKGAGALTTPAKTYNPAADLVLTEETPKKSELASKKRKHHEVETEPSAEPAEEAIQEDGKKKKKKKSKESEEAPAVEGDGEKKKKKKSKEAEEEPAVAAASEGEKKKKKKKSDADGEDVAMQTEESGKKDKKKKKKKHADDE >Sspon.06G0005210-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:15379352:15379771:1 gene:Sspon.06G0005210-2B transcript:Sspon.06G0005210-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGGLGKLRCMIRRWHSSSRIARTPPASDDDGATIVSGGDARGGGASSFHGADEVPKGLHPVYVGKSRRRYLIAEELVGHPLFQTLVDRTGGGGTGAAAGTATVVGCEVVLFEHLLWMLENADPQPESLDELVDYYAC >Sspon.03G0044070-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:86785201:86787627:1 gene:Sspon.03G0044070-1C transcript:Sspon.03G0044070-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding HEVLHNCNSSPRTIYDGGAPTMYDGGAASLVPTDGSSTSSRRHDISGNGSDASLFWLGNKGQGTTIPGGSLARRALHSKPYWRATPRAQDAWLLGHILDLGEGQPRGEGVDSVRDVPVVGVQEAWLVGVAKHGARQERLVPTAVRIFVHGVGVWWLPEDDEYCRRLAARGGDAAERRRRMAMTAAAAASNTAPAVPFLLTSSGMI >Sspon.06G0003610-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:8294732:8301745:-1 gene:Sspon.06G0003610-4D transcript:Sspon.06G0003610-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPAGARKEWRAVPDSSLRSNGAEDAAEHGKLGQSEDRAIYEVRAPLACLLARCAKCSLFSLFSISVSFCGLVSARGREGAGGLEDFCAITIDGSGGLSEDILQQRLQSLARQREELQQVEIELRAQAMAQPQIIEAQQSFQAAAKKHAAAVAKIKEQLHEREQYILELEMKLNDKDRELNALKIDHQTVWANQDLIREQTKELATVRRERDNSEAERTQHLKQIHELQELLREKESQFIALEEQHRAAQDNILYKDEQLREAHAWVSQVREMDALQSQSLQVELRERMEQFNQYWISFQQQYAEMQRSLLHTIQQLQQELAEARERSGAQKDGLQVSREGSAESSHVQSIANSVASNGSATADGNQQLLKNNGSVDASIKGNNASAVPVQSLLGIGGPAAHIAAMHSFMIHPQGIPQPLASPNSGVPQFGSFQSQSMVQPNSHWPNQQEVQNVSQPQHETNYQTSQSDQTALQQGSINTDDLSSKPSQGIHPDHLNAHGKQQQSPSSAPTESTHELTVDETNVAELVAYDEQQKALKEQDSSSNMNSHIGRVEHQEQNTESKDDRAASDKQPEPVSRQHKPSNFPPSTTQIHLKNSATENPNVVNQVDTMKSVASGFGSQLPRVPKEPALLDERSLLACIVRAVPAGPEGGIRISSTLPNRLGKMLAPLHWHDYKKQYGKLDDFVASHPELFVIEGDFIHLREGAQQIISATTAAAKIAAATASSAPYSSLLPSVAVTPVAQSTRQKRGPAVDSRSSNAIPSGNGFTDQFNIVQGVSDVTISGKMKFELGSHLCSQLLPMVQDTTKVQIISDMVMEESNRGGQLGQHTFPEDEMLLVFEGADAVGNHTSGNVLASKWSILKMTWIKIILDLFSFAPLLFTVPVWGPLVLAKLY >Sspon.02G0034730-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:13953372:13957183:1 gene:Sspon.02G0034730-1B transcript:Sspon.02G0034730-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRSGARGGDVEEEDRKPVIKPGVRVTLKVQDTEGRTVERTIRRTQKLQVVMDAYYASVPDVTYGTGRFLYDGGRLSGGQTPAELEMEEGDEIDFFTELLGGGGRAGGAAAERPASGMVSPWRKEEPVARPFRFAGGERGLVAAMAPAVAVNPVIKPATLVTLKVQDTQRRVVTRTMRRTDKLQVLMDCYYDVVCSAGAGAGTRRAGRFVFDGKRLKGEQTPKDLGMKSGDQIDFFGDLRATDGGDAAAAEEEVDRKPVVHVTVKVKDTAGRTFKFIAVRTTQKLQVLMNAYYARVPDVTKGTGKFLYDGRQLKGEQTPAELKIEDENEIVIDFFIDMMGGGG >Sspon.05G0007090-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:23870666:23874317:1 gene:Sspon.05G0007090-3D transcript:Sspon.05G0007090-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPSMICAVLQPVCGFINEAGVPAATARGVSSFACIKRNLGDLIKAMEDLQAVEKVVRGQVTLETNNLNECHPQVSLWLTRVLHVLVDPIVQESDQLFQSSCLCSSFLSLRKRYRLGKRVAEMLEDVDRLIREGKQFDTFASKRLPDSVEERPRTKTFGIEPVLKDLGKFCDSINVSIIGVCGPGGVGKTTLLNTFNNELKACGRDYQVVIMIEVSNSKTLNKAAIQSTITDRLGLPWDDRQTEEARARFLMKALRRKKFVILLDDVWNKFQLEDVGIPTPDSESKSKVILTSRYVDVCYQMGAQQSLIKMEYLEKEAAWELFRSNLSTQAIAAIDSSGPNNAVKEHADAIVQSCGGLPLALKVIASAVAGLTTPSEWSLAMQATKHDIKDIDGIPEMFHKLKYSYDKLTQTQQQCFLYCTLFPEYGSISKDQLVEYWMAEELIPQDPNRGHRIINRLLSACLLESCGSDLEVKMHHIIRHLGLSLAVQQKIVVKAGMNLEKAPPHREWRTARRISLMYNDIRDLGISPECKDLVTLLVQNNPNLDKLSPTFFQSMYSLKVLDLSHTRITALPLCSTLAKLKFLNLSHTFIERLPEEFWMLKKLRHLDLSTERTLDNCSKLYKLRVLNLFRSNYGIRDVNDLNIDSLRELEFLGITIYAEDVLKKLTNTHPLAKSTQRLSLKHCEQMQSIQISDFTHMVQLRELYVESCLDLIQLIADPDKGKASCLQILTLAKLPSLQTILVGSSPHHFRNLLEITISHCHKLHNITWVLKLDALERLSICHCNELEQVVQETINKVDNRRGGIEHSIVQRSGIINGFSEEQEIHCMVEDACNEHVKGYQNETENERIKGVHHVDFPKLKAMVLTDLPKLTAICNPRDFPCLEIIRVERCPRLTALPLGQMSDCPKLKQICGSYDWWKKLEWNGKETIENKYFIPIKDED >Sspon.03G0042040-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:49830220:49832730:1 gene:Sspon.03G0042040-1C transcript:Sspon.03G0042040-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVLLLCLVISMQALIGTVAVGGRPPAMYVFGSSILDVGNNNYLRGAAVGRANSPYNGVDFPGSIPTGRFSNGYNIADYVAKNLGFACGPPPYLSLAQSSSSGPLNAGNTIPLSKEVKYFGATKAKMVAAVGPNTVNPVISRSIFLIGMGNNDLYVFAASERARNRSDADQRSDAAAALYAGLISNYSAAVTELYSLGARKFAVINVWLLGCVPGERVLSPTGACSGILNEVVAGFNKALGSLLVDLAARLPGLVYSLGDSFGFTEDVLANPGASGYTDVASTCCGGGRLGAEAWCARNSTLCVNRDQHVFWDRVHPSQRTAFLIARAFYDGPSKYTTPINFMQLAQSG >Sspon.04G0006150-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4A:17316968:17317474:1 gene:Sspon.04G0006150-1A transcript:Sspon.04G0006150-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAYFLFHSGRAAQEAACAEQEEDIGSPSDSESPSTSTSRESAGSSSELDDDATSSSGSDPDRFEMSVLMTQLPFKRGLSRFFDGKSQSFASLAAVGSLEDLPKPAARKRLKPSRSCGGALDAHRGRLLSPRRHCTKPASGARKQAAAARSGALSVLAAAVAPRMPPPP >Sspon.02G0048990-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:20072474:20075213:1 gene:Sspon.02G0048990-2D transcript:Sspon.02G0048990-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SRGRQRPGNGLHRSRSPSATLGSGLASTLSQSPGPHSSSTSSLSPSPQISHRNPTRASAMAIAARVLRRLPLHLSPSLSRSFCAVSRPPPRPPRPPPRRPPRSPTASCASSPSIPTGHAARSSASRGRHSSARSLTRGSSSRPPTASRRSTRAPPSARSTSRRSGSTSCRHRPTRSGTCSRARPGTASSTSTRAWAARSSSRRSCRGWSLLSPSLSRGTSRNGLPRRK >Sspon.03G0011190-3C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3C:46496525:46499208:-1 gene:Sspon.03G0011190-3C transcript:Sspon.03G0011190-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MERRCPCRLLLAVAAPAVVLVLVLVAAAAQAQETCSGTVPAPPRRGARVSVASFGGAGDGRALNTAAFARAVASIERRAAPGGAELYVPPGVWLTGPFNLTSRMTLFLARGAIIRATQDTSSWPLIEPLPSYGRGRELPGGRYISLIHGSGLQDVVITGENGTIDGQGSAWWDMWKKGTLLYTRPHLLELMSSSDIIVSNVIFQDSPFWNIHPVYCSNVVIRNVTILAPHDSPNTDGIDPDSSSNICIEDCYISTGDDAIAIKSGWDEYGIAYGRPSSDITVRRITGSSPFAGFAVGSETSGGVENVLAEHLNFFTSGFGIHIKTNTGRGGFIRNVTVSDVTLDNVRYGLRIVGDVGNHPDDRYNRSALPIVDALTIKNVQGQNIREAGLIKGIANSAFSRICLSNVKLTGGAPVRPWKCEAVSGGALDVQPSPCTELTSTSDTSFCTNSL >Sspon.02G0010010-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2B:27101454:27101858:-1 gene:Sspon.02G0010010-2B transcript:Sspon.02G0010010-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYQRSSEQDIFYICGDGVDNHMEHFCPFNVLFQPANEQYFSLITNQRTTLSAMTFQPDEQDGRYFGDTCRGEYPVGEHTVTSRDHRKFLRRFIRVSNLPPGFRVWELENLFSPFRPLLTWDVPRFRNDICGCC >Sspon.04G0012070-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:38628020:38639615:-1 gene:Sspon.04G0012070-1A transcript:Sspon.04G0012070-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDLLLQAACDGDLRLFKSTPALPRSPIPAAIGLVRALDKGRGRLREAVEAARTDRGDRALHVAAGCEQLEVCSYLVEGLRVDVNVVDDEGRTPLVYAVVSENAAVVKYLLDHGADPNKVDDDGLAPLHSAAGIGDCEMVELLLAKGAYVDPLADECGTPLHLAAKERQAGTMKILLDHKADAINVSSVKCVKLLVEAGADVSSDCVLTSLTDSNLGNEGSTECLNFLLDAIASSNLPDDDHVKKRKIALKSLGSKAVEKEDYFSASSFYSKAMDLDPDDATLFSNRSLCWLRMCDGQKALLDSLACREMRPDWPKACYRQGAALMLLNDYKSACEAFFDGFMLDPENTEIENALRQAMQSLKVSEGTKVK >Sspon.07G0016730-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:59684890:59689767:1 gene:Sspon.07G0016730-1A transcript:Sspon.07G0016730-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTSPPVTVRAVACALTLTPSSRLSRASFRACLAQRHRRRRRPARCSGKQAMVEEETPSSQEAKNGEGDEGRAVQGWFSLDTIGLDILSIALPAALALAADPIAALVDTAFVGHLGSAELAAVGVSISVFNLVSKLFNVPLLNVTTSFVAEQQATDDGYTGTREGYDFPRSPEEVTTKRKFLPAVSTSLALAAGIGLLETVALIFGSGTLMDMIGVPTFVTVSQPINAIAFVIDGLYYGVSDFTYAAYSMDREGEEQQRPESSERSGAEEEKAAGQPEAVAERRKRKRKRARMPCLNVSTNVNLEGVDTSAILAEASKSVADIIGKPEA >Sspon.08G0020020-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8B:16883329:16885601:-1 gene:Sspon.08G0020020-1B transcript:Sspon.08G0020020-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LVKILKKYDKRTGALIRLPFIQKVLQQPFFTTDLLYKLVKQCEAMLEQLLPVSEASVSSEDVKGDSNDEEKLAKPSSSLVNGGGIPELDEIEYMESMYMKSTIAALRSLKEIRSKSSTVSMFSLPPLQGNNAQGSYQIRAEQTKLDEEPDRWSKLCSPALRRRRQALVTCAMPPRKRPAPPPEEPSPSSKPLLDAQPSEPSKSAPDSEQPTISATILAQLPTQERLVYKLIFEAGNKGMWMLDIRKKLLMGPNIATKVVRSLVGRRLLKEVSDVRHRSRKIFMATDFQPSAEITGGTWYHDGRLDTDAVTTARRCCQAQVERLGAATAQMIHHGILKDDPRAGYTIDKIRDIIKTMVLDKVLEEVKSTGTGEFTAVRAGTMCYRLVTGAPQGGMMEGIPCGVCPRIDECSPEGVISPSTCVYYYKWLQMDF >Sspon.07G0018770-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:73295690:73296448:1 gene:Sspon.07G0018770-2B transcript:Sspon.07G0018770-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSTRARRGGNLQFPVGRSWRHVAVVDAGCGCRPRRATTRLLSQLPSFLRPSPKPPQPPPRSTSRSSSGFFPSSASTASSAASAATFATTHSSYSNYSAYSYNNPTPTAVTKHPAAKTAVATSSRRRQQQGGHHGHQGRRKKRYEKMAAASAAEAAAGEDGGDVGVAVEKESSDPRADFRESMVQMVVEMGLCGWDDLRCMLRRLLALNAPRHHAAILAAFAEVCAQLTAPPPPPQPQPAYHAYDYHYHY >Sspon.06G0009310-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:40272986:40274203:1 gene:Sspon.06G0009310-2B transcript:Sspon.06G0009310-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MENAPQTPTTAAAGNVLRVADLPGRGRGLVAACNVREGEVLLSEPPVLLYPSTLSSLASYCSACFRSLPATATIPCASCRAAAFCSPACAAASHPRLLCAALSHGGGAALAAAAPTEAIQEPLLFLLSAYSLPEPTLRSILSLSSAPPPPPGAQDAAGLHAAVAALAPRHMLPQGFSPDLTAALLAKDRGNSFAIMEPYRIGMSLELLKARAYAVYPRASLFNHDCLPNACHFDYPDRPGPGNTDIVVRALHDIPEGREVCISYFAANWRYADRQRRLLEDYGFRCECDRCQVESQWKDDDDNNNNGDDGDDTMEEEGEDDAGDRGDDGMEEEEGDGATNGNDDFPHAYFFVRYLCDNEGCWGMLAPLPPSPNGDLSHVFECNLCGKLRKEEDAMPDEGTSGMVH >Sspon.02G0056220-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:19180509:19181813:-1 gene:Sspon.02G0056220-1D transcript:Sspon.02G0056220-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVKVLSSKLVKPAYNAGAAPPASADEYIPVSVLDRVTYQMQMAIIYAFPPPAPSTAAIEKGLAAVLAEYRAFAGQIGEAPNGTPAVLLNDHGARVVEATMDANLVDLAPAKPTPELLLLHPDIVQDLEELVLLQLTRFRCGSLAVGFTSNHAAADGHATSNFLVAWGRATRGLPTGLPPVYYQEGLFKPRSSPSVVFDHRSREYYLPSPAEKHGYGEDVVENIVIHKAHFTKEFIAGLRSKASEGRGQPFSRFETILAHLWRTLTRARALNPDETSQIRLSVDGRHRLALPAEYFGNLVLWAFPTATVADLLGQPLKHAAQVIHDEVARVDGNYFRSFIHFATSGAVEKEGLAPSAICKDVLCPNVSVDSWLTFPFCELNFGTGNPTYFMPSYYPSEGTLYLVPSFGDGSVDAFVPVFKYNLEAFKECCYAME >Sspon.03G0023130-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:89270506:89273321:-1 gene:Sspon.03G0023130-3C transcript:Sspon.03G0023130-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLAADLPPHRGRGGPGAGPIALASALLRRENHRLRALACGAVLTSALLLVATPRFRHTPALHLFADMRNLLGVPNTLNVLTAYPLLLAGVPGLVLCICGSGCFGVSLRWEALGWFLFYAGNVAAAFGSAYYHLKPDDDRLIWDRLPMMISSSSLLSVLVIERVDERVGLSCLISLLSFILLSSACERVLDDMRLWVILNFVPCIAIPAMLFLFPPKYTHSRFWFLATGFYLLARFEGLADRKVYSVNRYFISGHSLEHFALPCFTKNVYKYGVDIRF >Sspon.02G0026010-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:90312583:90319666:-1 gene:Sspon.02G0026010-1A transcript:Sspon.02G0026010-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDEIPKWNTTRAHEVNPYKIIDRGCKEKNKIFINVDRYTKYSTSFCYAPRNARITPLATASFGDMADSSTSIFPRIHVKDPYQRLGISREASEEEIRAARNYLISNGAKYACCGDNGLSTTVFRLYKPDMWWGIFSHRMFGSFFASWFLGTFLMALSVDAWNVESGKVQENMSWY >Sspon.05G0027260-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:42944973:42993743:-1 gene:Sspon.05G0027260-2C transcript:Sspon.05G0027260-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCVAWWAVLLAAAALVGARGNRTLSALASVSETWYDDRFALVPVEFGGGGGDGEGAAGLRGELPCQTYSANSRSCEELNGSGSFNTTCVISSSSSLDGDLCVYGDGSVEIRPHVKIICPVRGCYITVNVSGSIRIGEHVEVIAGSVSLYAANVSLNRHSTINTTALAGEPPPQTSGTPHSLEGAGGGHGGRGASCKVSNDTNWGGDVYAWSTLHWPWSYGSMGGSMSADQFGGYGGGRVMLRTTDFLNVDGQVLAEGGVGSLKGGGGSGGSIIIQAFKLYGNGTISAAGGNGWGGGGGGRISLDCYSIQQDLEITVHGGQSFGCAQNAGAAGTIYDSSLQTLKVSNGNFTTRTETPLLGFPMTRLWSNVLVESYAKVLVPLLWSRVQVTGQIRLLTKGSICFGLSENPISEFELVAEELLMSDSVIKVYGAFRMYVKVLLMWDSNIQIDGGAKDVVLASMLEARNLVVLRHGSVISSNADLMVYGQGLLNLSGPGDGIKAKRLFLSLFYNIEAPLDEAVPSSLDALSRCESKTCPSELITPPDDCHVNRSLSFTLQICRVEDITVSGVVRGSIIHIHRARTVTIAKDGTVSASELGCKEGIGKGKFLKYGAGGGAGHGGRGGTGIYNGMKSEGGLEYGNADLPCELGSGSGGSALSADNTAVIGSMKWPLSRLLVYGSLNSDGESRRGTSENLNGTLENSNGTFKGGVGGGSGGSILLFLQWLLLEKNSSLSASGGNGGVYGGGGGGGGRIHFHWSNIATGDEYVQIASVNGTIASSGGSGKNDGHFGEHGTVTGKKCPMGLYGTFCTECPVGTYKNVVGSNSSLCSPCSVDNLPNRAEFIYVRGGVTEPPCPYRCISDKYKMPNCYTPLEELMYTFGGPWSFSIILSLTIILLALVLSAVRIKIGESDITYQATNAIHNDGCSSFPFLLSLAEVPGASRAEETQSHVHRMYFMGPNTFREPWHLPYSPPDAIIGIVYEDAFNRFIDEINLVAAYEWWEGSIHSILSVLAYPCAWSWKQWRRRKKIHRLQEYVKSEYDHSCLRSCRSRALYKGLKVGSTPDLMVAYIDFFLGGDEKRLDYVSTAIWNRLVAGLNAQLRTVRQGSVRSTLGPVVSWINSHGNPQLERHGVRVELGWFQSTASGYYQLGIVVAVNENFYKSLHHHEHAPEFSERLRKNVAVPLQDFNQANQDQPCTSYTVSRKRLTGGVNGGIINEGTLKSLHYKRDYFFPFSLLLQNCRPLGYAETLQLLICIVLLGDFSITLLMLVQYYWISVGAFLAILLIPPLALLSPFLAGLNALFSRGLKRSSVTRIFALWNITSVVNIIVAIIYGSLYFWFSSLVVSSVHQVYNTKSFKSREDNEWWILPTILFLIKSLQAGLVNWHVANLEIQDYSLFSPDPDRFWAM >Sspon.05G0034800-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:64676675:64678336:1 gene:Sspon.05G0034800-2D transcript:Sspon.05G0034800-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATATSKATGPWSRLSAAEAAVNRAVAGSRVGAYFKLDARKSSFTKELRAGAATFLTMAYIISVNAAVLTDSGGPCTARDCTPVATTNSTSTTPPGPECTVVGANPGYEQCLARTKSDLIVATAVAAMAGSLAMGVFANLPLALAPGMGANAYFAYNMVGFHGSGPIGYRTALAAVMLEGLVFFALSAVGLRSRLARTIPRNIRLASAVGIGLFLAFTGLQAHQGVGLVGASPSTLVTLTACSDVDPATGACLGGTMRSPTFWLGAVGFLITATCLARDVKGSMIYGILFVTVVSWIRGTAVTVFPDTAAGNAGFEYFKKVVDFHMIRTTAGQVSFAGFRHGNVWLAFLTLLYVDVLDTTGTMYSMAEYGGFVVDGDGAGASGGSFEGEYRAFLVDAGSTVLSAGLGSSTVTTYIESTAGIREGGRTGLTAITVAACFLASLFFGPLFMSVPPWAVGPSLVLVGAMMMRVARDIEWGDMKEGVPAFVTMALMPLSFSIANGIIAGLGVYVALHWYDWARHGYGKVSNALDERRNQVAAAAGGEVGPAAAQDVV >Sspon.03G0005530-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:15845771:15848883:-1 gene:Sspon.03G0005530-1A transcript:Sspon.03G0005530-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSSLARPLRPPVLAGCGGRRGHGAPRGSVSVARCRAEAAPTVGTASRAPAGPYTGRDPEVKKPAWLRQRAAQGEKYARLRESIGELKLNTVCVEAQCPNIGECWNGGGGAGGEGDGIATATIMVLGDTCTRGCRFCAVKTSNKPPPPDPLEPLNTALAVASWGVDYVVLTSVDRDDLPDGGSSHFAQTVRALKELKPGILVECLTSDFRGDLEAVSSLANSGLDVYAHNIETVRSLQRIVRDPRAGYDQSLAVLKHAKDCREGMITKSSIMLGLGETDEEVKQAMMDLRAIGVDILTLGQYLQPTERHLTVREYVTPEKFQFWKEYGESVGFRYVASGPLVRSSYRAGELFVQNLVRNNKTGSSSS >Sspon.04G0003960-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:12286806:12292121:1 gene:Sspon.04G0003960-1A transcript:Sspon.04G0003960-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGSAAPLVAAAICLSFAVLASAVPGGGATLESVPDLVKAMYLNIESFPCVRLLNLSGEIGCSNPGSEKVIAPIVRFRKGSDQLIQPSTILLPLDQMSDFFLRVSNDPELHQKVAGVLIESNGVNNDLQELSPDRKFPQDDFAPYSNHSHDWNPAGSGIMWNRYNFPVFLLSEESTKTVQKISEKNGKTGNGYRANVAEFDLIMQTTKAQTHDSASCLKEQSCLPLGGHSVWASLPPLKNGSTEHQKPLILAIASQDSASFFRDRSLGSDSPISGLIALLTAVDALSHIHDLSNLKKQLVFAVFNGEAWGYLGSRKFLQELDEGAASVNGISSLMIDLVVEIGSVGKAILEEYPSFYVHAEGNSSASKKILDALQSASKSLGSDNVKVKQAASSNPGVPPSSLMSFIRKNTSTSGVVLEDFDSHFSNRFYHSYLDNPVNINSSSIAAAAALVARSLYILASDDSVVDLITLNTIKVNVSLVEELIGCLLTCNPGLSCGLVKRFISPSNSCPSHYVGVFLDDPSGTQFPSYADDTSRFVWNFLADRTSNLAGNKSSCTGKCGDEGEVCVGAEVEGGGRCVVSTTRYVPAYSTRVKFEDNAWHVLPASSSDPMGAADPVWTESYWNTIGLRVYAVQDSAYDWLILLAGLIITAASYCGVHFGRTYISKVVKRD >Sspon.06G0005810-3D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6D:17799082:17799483:-1 gene:Sspon.06G0005810-3D transcript:Sspon.06G0005810-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MWMRLKKVTRHFEYQYPSRSGDAVQMIWSAVARKMHSVQLQLHKRSRFQRTALATVAVSHTHERCHVRLR >Sspon.04G0019280-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:68734806:68737761:-1 gene:Sspon.04G0019280-1A transcript:Sspon.04G0019280-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ankyrin repeat domain-containing protein EMB506, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G40160) UniProtKB/Swiss-Prot;Acc:Q9SQK3] MLPWAATASPPSTAAAVSFAPPLRVAAPRPPPPLCRPLPRLLCAGLNPLPRAAASDGDVFWEEPDDGSGSDYEDDGPEQRRASRFPSSSPSSRLEAARQQEQDLRREIELLLTPEEKAILDQHETPDVTRISSVKLPPAPKWHPLHSYALALQIPLMDKLLDSGVDINLLDQDGFTPLHKAVIGKKEAVISHLLRKGANPHVRDRDGATPLHYAVQAGALQTVKLLIKYKVDVNVADNDGWTPLHLAIQSRNRDIAKVLLVNGADKTRRTKDGRTALDLSLCFGRDFKSYDLAKLVKLIPASRGV >Sspon.03G0035410-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3B:80713407:80716063:1 gene:Sspon.03G0035410-1B transcript:Sspon.03G0035410-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RRYADSLHRESRGVLATIGVLPRKKNGKKSDRLLVRKVPFAPPICPVLHNPQTIFLGTKLVVLFPTVPLTIATQCVHIDDIEHPVGDYSDDEDPWKPLNPHEPGHLKIRPYMRGNPNVFGDLKDDNGSNTGINFDDDDPDMPNDIDVDPDIPTYLDETIAATPNGTQDDIDTHASLDNLCWSHLTSF >Sspon.01G0021440-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1A:78750569:78751276:1 gene:Sspon.01G0021440-1A transcript:Sspon.01G0021440-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELLSWLAWLIASLLAVCFLDLLAHPRRGLPPGPRPLPLVGNLHLLGDQPHRSLAGLAKLYGPLMSLRLGTVTTVVVSSPELAREFLQKHDAVFATRFVPDAVVDHAKNSVVWLPNSPRWRTLRKIMGKELFAPHRLDALQHLRREKVQLLVDHVGRLAREGVAVDVGRVAFTTMLNLLSRTMFSCDLTNLDDHGESKGFQEVVTEIMEAAASPNMSDFYPALAAADLQGCRRQG >Sspon.02G0020960-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:68185340:68203637:1 gene:Sspon.02G0020960-1A transcript:Sspon.02G0020960-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSGSPKVTERKVDKDNDGHDDDEKGGFFDKVKDFIQDVGEKIEEAVGFGKPTADVTGIHIPHVSLEKIELIVDVLIANPNPVPIPLVDIEYLIESEERKLVSGTIPDAGTIHAHGSETVKIPFLLIYDDIRSTYKEIEPGSIIPYKVRVVLHIDIPVIGRISIPLEKNGEIPVPYKPDVNIDKIKFEQFSFEESTAILHLNLDNKNAFDLGLNSMDYEVWLANVSIASAELKQSTNIKKQEITTMNLPISFRPKDFGSAMWDMIRGKGTGYTIKGHIDVNTPFGHMKIPISKEGGTTRLKKGDDDDVDDKVGSLGLPACRLPRISNNDDYNCAQTT >Sspon.03G0001320-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:3212855:3215481:1 gene:Sspon.03G0001320-1A transcript:Sspon.03G0001320-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGFVKTQKTSAYSKRFQVKFKRRRAGKTDYRARIRLINQDKNKYNTPKYRFVVRFTNKDITAQIISASIAGDMILASAYSHELPRYGLEVGLTNYAAAYCTGLLLARRVLKIRGLDQEYEGNVEATGEDFSVEPADERRPFRALLDVGLVRTTTGNRVFGALKGALDGGLDIPHSEKRFAGFKKDDKQLDADIHRKYIYGGHVADYMKNLAEEEPEKYQAHFSEYIKKGIEAEDMEALYKKVHAAIRADPSVVKSTKQPPKEHKRYNPKKLTYEQRKASLVERLNQLNSGAADDDDEDDE >Sspon.07G0011230-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:44354046:44354483:-1 gene:Sspon.07G0011230-2B transcript:Sspon.07G0011230-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding TDMQGGGGVSCAVAGEAPTPGRAPHHHRVGAGGRLGLTIDPAGGDDGEAPAERIGRLVRESPVVIFARRGCCMCHVMRQLLQAVGAHATVIELEEAAEEAAASAAAAAAVPALFVGGAPVGGLDGLMGLHLSGLLVPRLREVGALC >Sspon.03G0025080-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:75857213:75861261:-1 gene:Sspon.03G0025080-1A transcript:Sspon.03G0025080-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPSLPSQIVQFNSKEMEDKLKRLAHKVSHHEDNIRFLKSQLNTVEEACIDLEIKLGNYHSSVAAVVNNDTSAQEAEQRTIRSILDQDNTAAGIICQLKVRHHELASKMPLMKDIIGFVATLGKVNNDNLSRLLTEYLGMDNMLALVCKTYDGVKGLEKYDKDGIIDKTSGVHGLGRSVGKFLDGRFTVFCLENLRPFSGDVNIDDPQRKLILHRPRLPGGEPPPGFLDFAVNMIHLDRAHLSCLTASGHGLRETLFYTLFSHLQVYKTRADIQSALPLIKDGAVSLDGGILRPNGSFCLGDSQNLEVKFPVNIEVSSLPENIAEMEEQVKLKNWEKERVLEDMKREGDLLKQVKELYMKQKQELMDYLTHPAVTQTSRDSPTTHSPATPGSNPFGAKPSHRRW >Sspon.07G0030600-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:24654705:24660170:1 gene:Sspon.07G0030600-2D transcript:Sspon.07G0030600-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGLHGTDACFSPARAISPQVVRPPGPPDVGSQYLAELLQEHQKLGPFMQVLPICSRLLNQEIMRVSNIRRQHGAAGDFDRFPAASPNQMHPSPPMPNFCGNGFSPWNGMRSERVGVPQGAMGWQGPPQSPASYIVKKILRLEVPTETYPNFNFIGRLLGPRGNSLKRIEASTGCRVFIRGKGSIKDSGKEEQLKGRSGYEHLSEPLHILIEAELPANVIDARLAKAQEILEELLKPVDESQDYYKRQQLRELAMLNSPLREESPHPGGAPPSPFSNGGMKRASGIILNTVEKKNMLENNAL >Sspon.07G0012270-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:49493597:49496115:1 gene:Sspon.07G0012270-2B transcript:Sspon.07G0012270-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLRGRRLAVAAAVVAAVCAATLAPAAEGFDILQILGKHDEFSQFCKLLNETHLAGDINRDRTITVLAVANGDMGHLTGGHYSLGTLRHILELHVVADYYDDKKLKQLSHAATAASTLFQRSGFAPGMAGYVNITQHRGGKVSFIVDDAADTVKPVTYVKQIESHRYDYSVLQVSGVLSSPEAEAPVAPPAPVNLTDILSKKYCKSFAGLLAADPKVFDTLNGTKDTALTIFCPVDAAVAAFMPKFKNLTAKAKTAILLYHAVPDYYSMQFLKSNKGKVTTLATTSGAKKDYTYEAESKEDTVTLDTTVITSTIQATVRDDDPLAVYAVSKFLQPKELFKAKTTDLAPAPAPEARPKKKKKKPSSGSAASAPSDESADGPSADDSTDDAADKAAAAPSSLFARWVTIAMAL >Sspon.02G0042640-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2B:85259560:85260213:1 gene:Sspon.02G0042640-1B transcript:Sspon.02G0042640-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ETLRVKDDELHQLLQDIRARNSTINETADKLQETAEAPETAASAALSIDEERGFLRQNLNLRESEEKAKLLVEERDRWLTERDSALQEAQMWRSELGKARGNAVILEAAVVRAEEKARVSAADADIRIKEAMSRLESAIKEKEDLLALVDALQSQIQR >Sspon.04G0034960-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:80680796:80685828:1 gene:Sspon.04G0034960-1C transcript:Sspon.04G0034960-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding FGVLKFDIEAERLSKQGQGPEKFIEMPKHEALKALHIYRRAGRQIGFDPQWMSANVPQTTNLSGAAIESFDYEVIISHLSDDLIEHLNSCGGGAEGLTSEDTGCGLKKVEDPLQKGTDFYSSIIKLLAGLWVTTRGTRQAKLVAAVAAMSQSRRGGCWTVHLRLGSVGGQGHGGTYRPTVRHQEKLGGVEKETCGMIKKLVEVEKKQ >Sspon.03G0039660-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:12417832:12421442:-1 gene:Sspon.03G0039660-2D transcript:Sspon.03G0039660-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLDLDCRFDVLRLVQILRNRIAKGCRKFHLRSQFCIFNLHCCYRRAWVQCSAHLRNGDPENDGTKDEFQCSLENTLFSDCMQRFLYARCCNSFEFIAALKTVQSQSRREVSGAGIYFVMIDSIGAFYWIDRGSQPARENKGRTLQSITESVVHELRKLLQLQPALVLVTKAPIYGEGTTTANDFNRVSSKYVLADSTGLGYSRQEEERTLSNREYMPSIWQSFVTHRINLQVEEAEVPLVPVSEVLPMHTSEWVQPCLKTKEKFSIMDVRFWTVLALSSELPIWHSVMNMSNLTE >Sspon.07G0004180-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:9446591:9447473:-1 gene:Sspon.07G0004180-3D transcript:Sspon.07G0004180-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPTDQSPEEVYSVWALPPEHARDRFRRIMEGLRAAYGGPAFEPHATVVGDFRARRSEAVEVLRAAAAGVQPYTARVTGVARGSFFYQCVYLLLEPTLEVVGASDHCCAHFGYKRKTPYMPHVSLLYGYLTDEEKEEARKKAEELDKDICGLEFEISELALYRTDTADKSLESWELVEVCHLEKK >Sspon.05G0018630-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:77007203:77010831:-1 gene:Sspon.05G0018630-2C transcript:Sspon.05G0018630-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMGGVGVTELPTLQTGDRNGVPTLPSIAKMLISNENRIASNTVHRIAAVAKVVPDEEAVEMPMSHGFRITIELRFTFTIAGVGESPAFPAVEILRIASQVALNSSVWISSEMKALNKDCVWFEIFLPGHLYSSAAGEQQTLISHFWRIQIVLSLTSSSDLPEETSIWIRTMVEPSKMTLTVETPLQKRVKREINATQDEIKELLKSKGWNMVTEATIKGNNMENTMSTEGPVGSLVVDGMGGVVCSSGSFVSGEGHGAVFLNGSSFAGVRECMVPQFPLVGCLSTED >Sspon.04G0010960-3C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1C:26054810:26055214:-1 gene:Sspon.04G0010960-3C transcript:Sspon.04G0010960-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SEQEDDESTAQGQESSSIPSSKKARPPGRKQSKEKLKKNEGDDEYKDMMQNLLVMKTEEHMMKKERWEKDMMLEQRRLQMEEERLQWEQEQKIMFCDLTTMDDDQRAYVKAKRAKIVKAMSASVGETASGESGV >Sspon.05G0030570-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:91271172:91276172:-1 gene:Sspon.05G0030570-1B transcript:Sspon.05G0030570-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SMAAISSALLFLGRFVTAMLSLEARVSVLSLVWAGLYLLQRVLVGKRRCSDHWFVQYGAWAGYYLPAPVAFSAASALYYSTSHINGSFATLVLGVLTMFCYTVNAYDSSIRGRAFTNMISYSLRDRPADRLEWEWARISTSNWLALEMFIYTIVLAFGVLFEEPPESTLDCQTKAVADYMKQRKSSKSSSTSPFFDDDDANSNSSLQLDCCRYPFVQGKDGEWVTVKDLVQRDDLPNEDRDIWLSYSLSRLLARRYYGFHPAEEGDDKVRRFVLADLGRTNNGYKRAFSIVQDAFDPFQTKAGGAYWRNKIGQYLILQDYDRRRSLKKALVAWFQGTMLSQPSYRFIKHLPIEEEEVDMLELDSMRKLVADTLHSIDGPPTNGTRGPPTRQQLNEALSSSIDEASTSSMSFLPRCKGPWKKQAKIQLCVGPDVVGLSGCLPPDLLMPRSRPPRAAADADDDEAEGEVVVGARKPMFSTAPTVLKKRRRLSSSTSVRCSSWLRMSTIDRFRVILLRQLVPSSSSRCRSRPACWCCGDMLHCRCSCRASCTVAESPPPPPNLVLDEPLPVQQLGMGSASSAPEA >Sspon.02G0015850-1T-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2B:41607163:41607615:-1 gene:Sspon.02G0015850-1T transcript:Sspon.02G0015850-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTRSAGRMTKAAVFCRRISRCTVKAVATSPRALCPEGSKNDYYKVLSLDHSAAVGAEEIKRAYRRLALRYHPDVCPPSRRAESTELFLELRRAYETLSDPAQRLRYDAELRANGQEDPAAGAAFARDVWEAQLCALRARSEQRQSARSGG >Sspon.07G0023160-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:13570218:13570589:1 gene:Sspon.07G0023160-1B transcript:Sspon.07G0023160-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMTSKAMASGLLFLLLLMNIGLAALPVHGSEDDCWVDDRADDAVCFKTERCRSICLGHSYVDGRCQWGFPNLLPYCQCLRSNCHS >Sspon.03G0015170-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:48517410:48522310:-1 gene:Sspon.03G0015170-1A transcript:Sspon.03G0015170-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/arginine-rich-splicing factor SR34 [Source:Projected from Arabidopsis thaliana (AT1G02840) UniProtKB/Swiss-Prot;Acc:O22315] VLIRVGLQKTMSRRNSRTIYVGNLPGDIREREVEDLFYKFENPRDADDAIYGRDGYNFDGYRLRVELAHGGRGQSYSYDRSSSYSSARRGGVSRRSDFRGWYFCHMRKFLAPYTDSQVSFPESCSYGHWFTFIGIVARSEETIGIVDYTNYDDMKYAIKKLDDSQFRNAFSRAYIRVRDYDARSRSRSRSRSYSRSPSYSRSRSPKSLSRSPSPVDESLLLQDLRAEALGAEAHPVLGLL >Sspon.01G0058470-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:28965050:28965272:-1 gene:Sspon.01G0058470-1D transcript:Sspon.01G0058470-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding METMPVAGYVPLSQPTQLHRKVATYLPLSSFWNVRAHPISRAYQFPNQKDVISSHFQPG >Sspon.03G0001700-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:4257635:4261663:-1 gene:Sspon.03G0001700-1P transcript:Sspon.03G0001700-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAVGLKRSATQTITLPPPETRLAVRDVMRSTIPSQPAEAPAASERPAPAAALQGFLCLEEVDGRRWSYVVDGGAAKGRGGGGAAVPAGASVRAVPLQSPLPPAEEIMAFIRSYVVPEGFPDSVTPSYVPYMTWRALKHFFGGAMGVFTTRTLLSSVGVSQSKVTPGAIAINWILKDGAGRVGKMLFARQGKKFDYDLKQLRYTSDLLLEIGAGIELTTAAFPQFFLPMACVANVVKNVAAVTSTSTRTPIYKAYARGENIGDVTAKGESVGNIADLLGTGLSIFISKRNPSLVTSFAFLSCGYLLSSYQEVRSVVLNTLNRARFTVAVDSFIKTGHIPSLKEGNSQETIFNPPWRHEPVAIGSRFGEAFQEPASFLAIRPLFEDERYMVTYNPTKDKVYALLKDQAKSDDIIKAAFHAHVLLHFINASHARRLKQKQKQANPDRSEHLYSRNMDFLAHIAESCKIVSSSYGTFKKKAREQGWIMSESLLNPGKARLCAAKPQ >Sspon.01G0004140-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:9881545:9881901:-1 gene:Sspon.01G0004140-2D transcript:Sspon.01G0004140-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VLSVSYAFFYVATVVSRYFKSRSDSGWQHRGRPKWHGRHPGQRGHTTGALPREPDALGAHLLPVGSIHARSDVRALTSPIQYQGLRHFTTKVDRNDATVASNLGSGGEGGH >Sspon.01G0049580-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:110761376:110764475:-1 gene:Sspon.01G0049580-2D transcript:Sspon.01G0049580-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAMDVDRASGGERRPSEKELFRAAESGEAAAFSSLAPADLSLRNEDGRSLLHVAAAAGHPQARKGAFYSLVVLALTQCSGDAAASVLNAKDEEGWAPIHSAASSGNSQIIDILLERGADVNLVTDGGRTALHYAASKGRHNIAEKLIAHGANVNKKDKFGCTPLHRAASTGNAELCEFLIEEGAEVDAVDKTGQTPLMHAVICENKGVALLLIRHGADVDVEDKEGYTVLGRASNSFRPALIDAAKAMLEG >Sspon.07G0021720-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:4607160:4608162:1 gene:Sspon.07G0021720-3D transcript:Sspon.07G0021720-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:E3 SUMO-protein ligase MMS21 [Source:Projected from Arabidopsis thaliana (AT3G15150) UniProtKB/Swiss-Prot;Acc:Q8GYH7] MSSMATKLVNTAEVASSDAQTLVAVKKLEEMVLEMVASYEDCAAMAQAIKAVPGVYQPSDQPTDFKALIEVEVSKIKGASSASQQNHPLFRQFRESVWNVHHAGQPMPGEEQEDIVMTSTQMSILNVTCPLTGKPVIELIDPVR >Sspon.07G0014670-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:52575351:52578433:1 gene:Sspon.07G0014670-1A transcript:Sspon.07G0014670-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATREEWSMSDFEIGKYIGEGKFGKVYLAREKQSGYVVALKVIFKAKLEKYRFHAHLRREIEIQRDLDHPNVLRLFAWFHDEEKVVLVLEYAARGELYKVLRAAGRFDERTAATYVASLAGALAYCHKKGIIHRDIKPENLLLDLEGRLKIADFGWAARSNVKRHTLCGTIDYLAPEMIEKKAHDHAVDNWTLGILCYEFLYGSPPFEADEQDDTLRRIVTVDWAFPSTPRVSSEAKDLISKLLVKDSSKRLCLEDIMKHPWIQKNAEPSGSCIKHKDLATAKVDDK >Sspon.08G0005540-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:13889754:13892475:-1 gene:Sspon.08G0005540-2B transcript:Sspon.08G0005540-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGPQGDSDRRHDILRLHHQMLTAVHDGSSEKAQASHVYTYSNGFQGFAAKLNKRQAMELAEMPGVVSVFPNTKRRLCTTHSWDFMGLSTNAEGDVPGLSTKQENVIVGFIDTVIMGCLRFLKDGEGNAKAERQIHHQISPVTVTHLPKSNRKIIGGRYYLNGYQTEESGSSKNAIKFISPRDSSGHGSHTASIAAGRFVRNMNYEGLGTGGGRGGAPMARIAAYKACWDSGCYDVDILAAFDDAIRDGVDIISVSLGPDYPQGDYLSDAISIGTKAKGKILICRRNEGSSESRLSTSMVVKEAGAVGMILIDEMEDHVANHFAVPGVTVGKAMGDKIISYIKSTRHASTMIMPAKTILGLRDAPRVAAFSSRGPSSLTPEILKPDIAAPGLNILAAWSPAKNDMHFNILSGTSMACPHVTGIAALVKSVYPSWSPSAIKSAIMTTATVLKHKRKTIARTLMGDCGNAI >Sspon.01G0014050-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:39782967:39787068:1 gene:Sspon.01G0014050-3C transcript:Sspon.01G0014050-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQVDCRRLLIRAHLQPLSSPSLSLGSQIPSPSPPPSWSFPSPVRGLAGAGAGIGYNLAASVRDKNTLKRWFFIDKRTGLNSPVIADHLPTLASPAAVMTFTTPTSFPSPGLCLNTTKKIPLPGKIEEVRATGWLDLMKASSPTRKRQTKDVICDTQSDDLDLQYHNWTVNYPSALTSFEAISDLAGSKRLALFLDYDGTLSPIVDNPENALMSDEMRAAVRHVASLFPTAIISGRSRDKVFDFVKLNELYYAGSHGMDIMGPVRKTTDSNGVECIRSTDAHGKEVNLFQPASEFLPMITEVYEKLGESVKDIDGARMEDNKFCVSVHYRNVAEDDYKKVFHRVSAVLEDYPCLRLTHGRKVFEVRPVIDWNKGKAVEFLLESLGLNESEDVLPIYVGDDRTDEDAFKVLKASNRGFGILVSSIPKESDAFYSLRDPAEVMEFLRMLAAWKEQST >Sspon.01G0011290-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:25421317:25427092:1 gene:Sspon.01G0011290-2C transcript:Sspon.01G0011290-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQGFDSSYSDYGILDDLSAFQCAEDKSLPSVDPSSALCDNQFNDTYLFSEQKNIYQEDVMELLPTDQICSEHENIDMVGNQYSSENAIQGIEDKKFSIASTSQLSSSQNLLNQRHHLDSSSPSNITSESYPEKFGPSSGSFAQRNSKVQKKTVNTQPRQTTNDNVMNRHPQTLTRRASYPCENYETERRGLGKRTLGDPQVTMGTSMVVDGSFVSSMSSDNSVEESSFRQLQDAVSQLDVQTKLCIRDGLYRLARSAQHRQVFPNMMNSNGDSQDVKDLQNAETSRKFVDPRSIETQTNPIDRSIALLLFHQSSDHAAVAVDDVSSLKSPAGNKQHQGPAANQGLMPASSVYSPGGQGTPKDVQSLDKY >Sspon.03G0022160-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:67584859:67587345:1 gene:Sspon.03G0022160-1A transcript:Sspon.03G0022160-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ASLIPAQPWRRCLPSLPPPPAARSTSAISWRTTHRTTSPPPPPGSVSRAFLSATVFFLTECGGVVGAENLREDLRQECLRKGTELAQAVADALFALPPSEDRDGPIVHLPPPTVRLPREKHLPKPKPPTKWELFAKAKGITKRKKNKREWDEQTQSWKRTYGYDRVNDDKDIPIVEAKLTDEPGVDPFAQRRDEKKKRVEKQEKNRLENLKKAAKIGALPSHIQLAAKALPITGTKADLPKKSRKEDLESVVGMASSATASGGKFDEKLPGEKPPKHPGKHRKVFISE >Sspon.01G0006610-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:15712039:15714579:1 gene:Sspon.01G0006610-3C transcript:Sspon.01G0006610-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MERYHPSEVYELFVRHMNTPRVVVDNGVCATATLVQVHSARKHGVLLEAVAALSDHGVCVRKGYISSDDGRWFMDVFHVTDASGRKVADADALLARLESSLSADALPPRTPPSAAAGAGMPTLLELVGADRPGLLSEVFAVLHDLRCDIADARAWTHCGRVAALVFVRDEETGAPIDDAARVRRVESRLRHVLRGGALGARMVRADAAAVHMDRRLHQLLNEDGEAESRAGQAEATAVAVQDWGERGYSVVTVSCRDRPKLLFDVVCTLTDLDYVVYHGTFDTDGDHAQQEFYIRRLDGRPISSAAERRRVIQCLQAAIERRASEGVRLELRITDRRGLLAYVTRVFRENSLSVTHAEITTRGDMAMNVFHVTDAAGRPADPKTIDEVIQRIGTESLRVDEERWPRLCSTEGDAGRGGGGGAGIFSLGSLVKKNLASLGLIRSCS >Sspon.02G0019400-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:58800910:58803862:-1 gene:Sspon.02G0019400-3D transcript:Sspon.02G0019400-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGNGVVKKVADAATKAGKAIDWDGLAKMLVSEEARKEFANLRRTFDDVNHQLQTKFSQEPQPIDWEYYKKGIGSKVVEMYKEAYESIEIPKYVDTVTPQYKPKFDALLVEMKEAEKASLKESERIEKEIAEMKEMKKKISTMTADEYFAKHPELKQKFDDEIRNDYWGY >Sspon.04G0010120-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:30196894:30199343:1 gene:Sspon.04G0010120-1A transcript:Sspon.04G0010120-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LFLVKEHSKIAIRILARTRLNKAAPGSRVGLSKLSFPFPAPESEKATAARPMDSNNLLAAWPVVGPGVAGAVFGAGWWFWVDAVVCSAAAVPFLHYLPGFFASFAALMFNAVNREDIGDGYYSPYDDSEWRVKLWLFISYVVSFVSLAGSVGFLVQDALTDTGPSAWTGTAGVLQCVFVLVSGLIYWTCHSED >Sspon.04G0006950-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:16209995:16224845:1 gene:Sspon.04G0006950-2B transcript:Sspon.04G0006950-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDGGEVLFLDGLGEVAVTVGRNGLSVQPLHPELVSSCWSSITLQPKLDSKIKFSDVYAIEMLDKGPICGPWNTRTAIQGKNNIEMHRFVVHGITRRRKRPSPWVPCEYIFGHKDLKICKDWFERLILCINNEGVRPKNLMVFVHPLCGKGRGVRNWETVSPLFVRAKIKTKVIVTERAGHAYDTLSSLSDIELKAFDGVVAVGGDGLFNEILNGILSSRHKVSYPPTPEGFGYVGSNDNCEEQTRNWINSSIPTPDSGNAMFPGNSSKCDDQEPLLSTSHPTGLEIPSSNSTKEPCIEDQDNSVAFPNDWFRLGIIPSGSTDAIVLSTTGERDPVTSALLIILGRKVSLDIAQVVRWKSSPTAEVLPTVRYAASFAGYGFYGEVIRESEKYRWMGPARYDFSGTMVFLKHRSYEAKVAFLETPYTSLTASAEDDITGAQPLQSRWKKPRKVICRTNCFVCKEASTSRQNSEDVSENSRTLCENQKWVWSEGRFLSVGAVIISCRNERAPDGLVADAHLSDGFLHLLLIRDCPLPLYLWHLTQFTKKGLDPLSFKFVEHHKTPAFTFISSHDESVWNLDGEMFQASEVSVQACRGLVNLFASGPEMHRFVVHGITRRRKRPSPWVPCEYIFGHKDLKICKDWFERLILCINNEGVRPKNLMITRQHIIVHPLCGKGRGVRNWETVSPLFVRAKIKTKVIVTERAGHAYDTLSSLSDIELKAFDGVVAVLEAQGFLSPTPEGFGYVGSNDNCEEQTRNWINSSIPTPDSGNAMFPGNSSKCDDQEPLLSTSHPTGLEIPSSNSTKEPCIEDQDNSVAFPNDWFRLGIIPSGSTDAIVLSTTGERDPVTSALLIILGRKVSLDIAQVVRWKSSPTAEVLPTVRYAASFAGYGFYGEVIRESEKYRWMGPARYDFSGTMVFLKHRSYEAKVAFLETPYTSLTASAEDDITGAQPLQSRWKKPRKVICRTNCFVCKEASTSRQNSEDVSENSRTLCENQKWVWSEGRFLSVGAAIISCRNERAPDGLVADAHLSDGFLHLLLIRDCPLPLYLWHLTQFTKKGLDPLSFKFVEHHKTPAFTFISSHDESVWNLDGEMFQASEVSVQACRGLVNLFASGPEVQICGAV >Sspon.08G0021010-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:29161237:29168741:1 gene:Sspon.08G0021010-1B transcript:Sspon.08G0021010-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RGGTDDFNNVAHTKPICDTSFGKYDICELAGDAMARGGAAATVMLVSPRAPLREWTIKPYSRKYLDGLKAVTVRSVSIPEHAPQCTTRLNIPAMVIELGGLTGNYWHDFTDVLVPLFIGARRFNGDVQLLVVNLLPFWVDKYQSILSQLSRHEIVDFDRDDGTVRCYPHVVVGYGSRKEFTIEPSLDATGGGYTMVDFTELLRRAYSLPRQRPIKLSDNPAGRRPRMMILERTNSRRFINLHEVVAAAQAAGFMVTVAGRPRTNYDKFAREVNSFDVMLGVHGAGLTNCVYLPTGAVLVQIVPYGRLEDIARADFGDPARNMGLRYIEYNIQADESSLMDVLGKDHPIIKDPLAVHMSGWRNVAECLRKEMRRAWALMASTAYSRPSKPPGTAAGERKGPRLDKELGRIEPKKLGIGLVAGCCLALLTYLSFARLFAIYSPVFDSSSLVLKNTPPATTTVPATETLPVQQNTQVEDQKDVPDPEADPNMPNLPEVTTEKDQQEEATTTKPAGAISEAKITCDENGVDEGFPYARPPVCELAGDIRISPKEKAMYFVNPSGAGPFDSNGEKKIRPFARKDDFLLPGVVEVTIKSVSSAAAAPQCTRRHDVPVVVFSVAGYTDNFFHDNTDVLIPLFLTTAHLKGEVQFLITNFKPWWVHKFTPLLKKLSNYDVINFDKDEEVHCFRAGHLGMYRDRDLIISPHPTRNPHNYSMVDYNRFLRRAFNLPRDAPAVLGEETSAKPKMLIIERKGTRKLLNLREVSALCEALGFAVTVAEAGADVRGFAERVNAADVLLAVHGAGLTNQIFLPTGAVLVQIVPWGKMDWMATNFYGQPARDMRLRYVEYYVSEEETTLKDKYPRDHYVFKDPMRIHAQGWPGIAEIIMKQDVMVNMT >Sspon.07G0031540-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7C:24362192:24363392:1 gene:Sspon.07G0031540-1C transcript:Sspon.07G0031540-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DYDPGSPKEDRVVGTPGAASAIRWSGPSQPTVWAPGNQWRIGSGGQGRETAGTNTKDQKTLWVGWGPFQRETPFNASCGHGATPPPRLAVCLAIRARHLNEALVIRPMEGLPLCSALPSRVRT >Sspon.05G0012940-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:33810086:33811739:-1 gene:Sspon.05G0012940-2C transcript:Sspon.05G0012940-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GITMVLVLGALAMEQVVNALCLFLVALLLPVLLLKLNWHGGNAGQRLPPGPSRLPVIGSLHHLLFMRIPLAHRAMAELSRRHGAPLMYLRLGEVGLVVASSPAAAQEIMRAHDVAFASRPWIPSMRPAMERGAVGLVFGRYGALWRQLRRISVLELLSAKRVRSFHRVREDETRRLVAAVALAAATPGEAVNVGKRVAALTADATMRAVVGDRFEWREEFLRAIEEGSRLVSGFSLGDLFPTSRLASFFSRTAGQVTAVQRKTMELMDRAVRQHEERRHAATTVDDDEDILDALLRIHKEGGLEVPLTMDIVKSIWQDLFSGGSDTSAATLGWAMSELMRNPGAMEKAQAEVRSKLQGKPAVTEDDLHDLRYLKLVIKETLRLHPPLPLLLPRECMEDRKVMGYDVPKGTMVLVNAWAIATDPEHWDDADAFKPERFEDGRIDFKGTDFDGRRMCPGATFALATVELVLASLLYHFDWELPGGVMPGELDMDEKMGMSVMRKNDLYLCPVVRVPP >Sspon.01G0042670-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:67830118:67831357:1 gene:Sspon.01G0042670-1B transcript:Sspon.01G0042670-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATGSNNRFAVMCGLLRQYMLREQQRHQLVEAEAEETDSRTMQLFPVPTRAGTSSQPSHDDQPEAQANCKAPLTIFYEGRMLVFEDFPADKAKELMQLAGSGSEKPAATEPSAAVPSDLPIARKASLQRFLQKRKERIGTAMEPYPKPKVAASPAPEKDVPATGNSKQVMTALKEKPAASWLRL >Sspon.01G0025810-1P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1C:97343767:97344111:1 gene:Sspon.01G0025810-1P transcript:Sspon.01G0025810-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVPLPWPLAVSVRRSLQEGAAGAASYAQAQRAPQQGAARSATTARSVETLVVIVAAIVLAAVLAGVLARVCGGRHVMPSAEYHEEEGWVEKRCRSCLDSGLPPPPSAQGSSKT >Sspon.08G0005310-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:15983227:16024608:1 gene:Sspon.08G0005310-1A transcript:Sspon.08G0005310-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LEAFLEAQKEVLGDDDGDDDLFKVKPKARAGADDEAEEDEEEKQTKELAGEVFGKDEELDENEKFLKEFFLKRLYLEAEKRNSFLDDIQELKKESSRKQQRKSKEERMAHIKQEQAEELKHLKNLKKKEIAEKLERIRMIAGIEGDAACKLGADDLEEDFDPEDYDKKMQVMFDDSYYEADDVDPEFGSGEEMDLEKPDFDKEDELLGLPKGWASDQSEESTATDAKGAKGKISLKDKVELEKEMEEYYKLDYEDTIGDIKTRLKYKQVKPNSFGLSTYEILASEDKDLNQYVSIKKLAPYREDEWKVTHHKRQSKDLILGKKDKSGKKSRSEEGGPCSSKTEKDRLTNGQESTDDKKKTNKSEQRKRRKADLKMSDDREASEPQEKLRVLGSLSSSPDQDIFLEALNFMFTDEPYHQQRHVGGGDFRPADEIRRIPSHLPHHHHHQQPPQHHHHQQQPQPQHHHHQQPPQHLHQQPQLSWEEAEEGRRYAAHQLKPQLSWEEAEEERRYAARQLRVSLSPPGARKRYRCAMHDSGDRESTSSSGPPPRRQRQQPHPSYSPPPDDSFVDRATSYSCYGSHEGFVAHSDSNGNRKMPMSMPTMLPGSPRRAPQKMAPTRLSVWHRIEENPSLYTPPSPRKAKSSDCKGSDDSAGMKKNAAKKTEKVLSSVIVKPSPEAKEKERPVNKVTKKPDKVGNNIPGFTSAGVRSAAFPGPGVKKVKKIVIKKIVRKIGAKDKQTSSSTISKKDSIDANADASEKEEANANASEKEEGEITTSSFEKDAISAHNLVSTGDTAGVANSVEVQKEQNNDLVNLSKSNAASTIASTDTLDTASASRRKHPGKEDYKSFVNSIDGNACPATESTKTFNTSGVEHPGKEDDRYFINSSVKNATLHCENNNSQPEEEGEILAVSGPVNLGINCSRILDAAETHDCEVENMEDNKVPEVLSGNSAVYVNGAKDCTTEVSGSEDDRREEGLFLLNDPIRSPSTDEVSMTPSNDNHEKEGMILIGASEVCAASVGQPEGNPKIAEAAVAHGARKEEGNLLNNPGAKDVASFGSSGTLNTTEKSKESQMPMDSSAFQTIECAEAPNTIEVISSKFAQNVACKSPMDLNGTNVGTSDNSLYAPEFDVAGGTDDSSMQVDFHDAKAALSKPDLPLEVVDTDTHALQSSRDTESTILPSLDDDPMKDSSGAVILNNSVGRSTSSQVAELTHLHRPHPPPDHNPSSLHSHDSPSVSGNSEHSVPTALTLGNNIYFSSAESEGQPEENHNLVDVNQGFDVTMTEFGNTVKRKGESGNDFMNAGSQNWLTLPLTVSCLDNDATGSNERFGLEQIVDEGACVCQDHDSMPDIDQRGSIDILSGQDHSLKLCDISMPQADLLATEEGNKDVEDEIVLPGSSVNSVNVLDHNSYRTVDKPIDNLNKPILLSSQSADAPGRELASQVSVDSDHTYHGSTEDPVGVSSTTPDSISSWIEAIVSEAKKDHQSCKSSLLPISSPDKVSAPKEDSKKALSDSVVNSVVKSPPRINIASSTVSKTSGLPPKLPVKKNSKSENSYIRKGNALIRNPATGNHLHSSSSLDSQNKL >Sspon.02G0026370-1P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4A:21438551:21440194:-1 gene:Sspon.02G0026370-1P transcript:Sspon.02G0026370-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to Peptide transporter [Source: Projected from Oryza sativa (Os07g0100600)] MAGEGDETTTTTTLDGTTDRSGKPAVRSKTGTWRACPFILGNECCERLAYYGMSSNLVNYMIDRLHQGNAAAANNVSNWSGTCYVMPLLGAFLADAYLGRYRTIAAFMALYVVGLTLLTMSAAFFVALYLIAVGTGGIKPCVSSFGADQFDDADPRERHSKSSFFNWFYMSINVGALVASSVLVWVQMNVGWGWGFGIPAAAMAVAVASFLMGSKLYRHQKPGGSPLTRMLQVVVAAWRNKGKQPVPADASLLHEAPAVSAIQGSRKLEHTEQFRWLDRAAVVTADEEGKDGKNPNPWRLCTVTQVEELKSVIRLLPVWASGIVMSAVYSQMSTMFVLQGNTLDPRMGSGAGAFKIPSASLSIFDTLSVIAWAPAYDRLVVPAARRWTGHPRGFTQLQRMGIGLAVSVLSMLAAGALEVARLRVAASHGMLDDATNYLPISIFWQVPQYFIIGAAEVFTFIGQIEFFYDQAPDAMRSMGTALSLTSSALGSYLSALLVSVVTAVTTRNGGLGWIPDNLNRGHLDYFFWLLSMLSVINFVVYLWIAKWY >Sspon.06G0027220-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:67163517:67167051:1 gene:Sspon.06G0027220-2C transcript:Sspon.06G0027220-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHSTVDKERNQSSLPTRFCHTADRPGIGKVRRCKPVSNCVYRNVAVVDHATCEVKKMSSEDVASNPMVPHPASASLSSSRPLASVVVGSEPSRDRQLNTTTPLMEGRLKLLK >Sspon.03G0000600-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:1912791:1913057:-1 gene:Sspon.03G0000600-1A transcript:Sspon.03G0000600-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHYQEVDYCSEEVKSVAGGPAGFGRHHGGHGGVQQHVVKEKFEEVDSVSRAGGRHGHFEARESKFEEDVNTCTGEFHERKENFVVKAD >Sspon.02G0016140-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:42810860:42817221:-1 gene:Sspon.02G0016140-2B transcript:Sspon.02G0016140-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTQLDIQPDPSFDVPSSILQTAVEPAGNNSTSLTVIAGREYRNTYRFMAFLHFADFNNTQVRGFDIYVNDKPLMSKPYSPQYLAGSCVRSPEWYSAPDGKHNITLVGTAASYVVKRNWTGDPCFPDTVWDGVKCNNNTSPDLLARIISLNLSNSNLSGEISPNFTSLTALKTLDLSYNNLNGLIPDFITSWGQYHLTVTLYRNLSGNHLSGPLPESFCKNLTRQLVFSYDQLVVGPLCAQRTNPSRKGTIVKAVSIGVSVLVVAILFLGYFIWRKKIHPNVRPESTHDDLARDPELENNVPRSAKGHEGNLQNSESRQFTYKELEKITNNFEGFIGHGGFGRVYYGRLENSTEVAVKMRSESSLHGLDEFLAEVQSLTKVHHRNLVSLVGYCWEGDHLALVYEYLSQGSLFDHLRGKNGVAETLNWGTRVRVVLEAAQGLDYLHKGCNPPIIHSDVKTSNILLGRNLEAKLADFGLSKTYLSDSQTHISATAAGTSGYIDPEYYHTGRLTESSDVYSFGVVLLEAATGKAPILPGHGHIVQRVNQMITAGEDISRIADARMEGAYDVNSMWKVVDTAHQCTSDAAAQRPTMATVVAQLKESLALEEARESDSSVRATLGGDISALLSAGGPSAR >Sspon.05G0010370-1T-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:5D:31944056:31945504:1 gene:Sspon.05G0010370-1T transcript:Sspon.05G0010370-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSDEGTSGPGPLATVPDPSDRLLGGLLSPDFDENSCLSRYSAALYRRPSLHAISTYLVSALRRYESLHRRCGPGTPAYARAVELLRANASFATSSSAAASGSSCSYAVWTPIEGLGNRILSITSTFLYALLTDRVLLLHSSGDDLHGLFCEPFPGSTWILPAADKDDFPIRGIEKLTDRWHHDSLGSVLRRGEDPGMAPWLYVHLRHDYTKDNRDQQFFCDDVQAKLGTVPWLVFRSDNYFVPGLFLMPRHEAELALMFPRRDVVFHHLGRYLFHPSNTVWGMVTRYHDSYFAKADERVGIQVRRFYWAPISTDDLFGQILNCTQREDILPGAAAGGVPAAAKGGSTAGGQPGKQKAVLVVSLHGEYSEKLRDLYHEHGAAGGEAVSVYQPTHLGSQRSGEQQHNQKALAEMVLLSFSDAVVTSAVSTFGYVGQGLAGLRPWVLTSPVDKKAPADTPCRRAATIEPCFHAPLDYDCRAKAK >Sspon.01G0052580-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:24383887:24386865:-1 gene:Sspon.01G0052580-1T transcript:Sspon.01G0052580-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKHLNRRHLLLFAAAAVLLLLPSGCSATAIEYCKKGRDYPVKVSGVEVVPDPVVRGEPATFKISASTDKNITKGKLVIDVAYFIFHVHSETHNLCDETPCPATGEFVLASQQTLPTFTPPGSYTLTMKLLGTAMRNLPASPLGSASGLLHQTPLSKPDIKFAMAVVT >Sspon.08G0022360-3D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8D:51606026:51606355:1 gene:Sspon.08G0022360-3D transcript:Sspon.08G0022360-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQFHLAQRRRASPGAASQRNSGRRKKRMAVVRLGGEGRKRRFFGVLRTRLRRLRWPWLAAVYRRALRRLRASYEQALRELVDGNGLVGALHAPAGVDRAHAASFGPVATV >Sspon.01G0001880-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:4407088:4408224:1 gene:Sspon.01G0001880-1T transcript:Sspon.01G0001880-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVLRHPSLSRLKPPNPNLPRTPSPSLPPPSLLRIRARRLIAAAVFQDQKPKEPASKGGDDEEAYGEVDRIVSSRTVSSPVFAEDGSASAAVATEYLVEWKDGHEPSWVPAEAIAADVVAEYETSWWTAAKKADAEALSALLADETLRRDPDAEDAQGRTAAHFAAGLGSEECLRALAAAGADLGHRERAGGGLTPLHIAVGYGRASAVRALLELGADPELPDGQGRTPLELVQEVLARTPKGNPAAFQLRQGLEAAQKELEKAVYEWAEVEKVIDGRGEGKWREYLVEWRDGGEREWVKAAWVAEDLVSDFEAGLEYAVAEAVVDKRQAATATAEGEERWEYLVKWVDIEEATWEPAENVDAELVQEFEQRQSGSAG >Sspon.05G0023980-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:18868332:18871523:-1 gene:Sspon.05G0023980-2D transcript:Sspon.05G0023980-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALLPLLLAHLLLCADGATASSAATPPPLPVLPVPSYAQLRWQLSEMALFLHFGPNTFTDSEWGTGRADPSVFAPSALDAGQWARVAAQGGFGRVVLTAKHHDGFCLWPSALTDYSVAASPWRGGAGDVVAELAAAARAEGIGLGLYLSPWDRHEPVYGDTIAYNEHYLGQMTELLTRYGDVEEVWLDGAKGDAKKMNYMFDAWFSLIHQLQQRVVIFSDAGPDTRWVGDEAGVAGYTCWSSFNKSSVTIGHTIAEYSRSGDPFGQDWVPAECDVSIRPGWFWHASEKPKNATTLLDIYYKSVGRNCLLILNVPPNSSGLIANEDIQCSWGQDNLQFAPSKVLEDGIYSYWAPQEGQTAGNALRPWAIYFLQHAPAPGAYTNGQRVIEFHVAILIDELWQTI >Sspon.04G0007390-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:21899382:21902239:-1 gene:Sspon.04G0007390-3D transcript:Sspon.04G0007390-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plasmodesmata-located protein 8 [Source:Projected from Arabidopsis thaliana (AT3G60720) UniProtKB/TrEMBL;Acc:A0A1I9LQV4] MHLLISCLAAVLFFLHVDVAPGTFVYAGCSPSRYAPNSNTAFESNLNSLLASMASTASSGATYNTFTSGAATSAGPEAESESAAGAAASAAYGLYQCRGDLRPGECEACVRDTVARLGAVCAGATAASLQSDGCYVRYGAARRNLVGRALADTSVAYHRCSAGTSGDAWFLRSRDAVLSDLQGGVDATAAASSGGGYKVSASGPVQGVAQCLGGVAAADCTACLAQAVAQVHGTCGAALAADVYLVQCSVRYWTNANYVRPSQGNPEDDAGRTVAIAIGISAGVAALVVFVSFLRKACE >Sspon.02G0044760-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:114212811:114213414:-1 gene:Sspon.02G0044760-2C transcript:Sspon.02G0044760-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GPRRAVPPPRPPARPPVPGGGEGPDPRPRRAPRRRRRPAAGGAPPRARTDPPRRPARARHRAAGDAVFDGRRGEEGGVLGGNDGIRGRVASAVSGVPGRESRQVDHPAAQCGGALEIYRRARGPCL >Sspon.01G0019470-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:78330831:78333334:-1 gene:Sspon.01G0019470-1P transcript:Sspon.01G0019470-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRRAQPLQFPLERTEWLIRWLMSLAALRTTRTHTAKMGGLQKNKHSNALKRSSRDNLRMRTAAMTRAHGRA >Sspon.01G0036130-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:96296454:96300773:-1 gene:Sspon.01G0036130-2C transcript:Sspon.01G0036130-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSARRAAKSQHAAATPRIISLNLARRSGGGRPSRGAGRAQPRPPPPPVNLGALFEMERRVRGLESAPASPPPCSRAAPRSQEDAEEQEEKWRFQAEILRAECNFLRMEREVALRKLDRHRGQMEAALKSAVETLVSGRKKMDGKGDVCVAAALDEGIEDLEEMMEELRVEKESGRRAMSGVRELQRSHGRNFDRQASSLRRRLEKMPPSDPEPCIKDIREIALPVPVPAPPLPPAEQSDDDERVHIANTSDVEMLRMKMEGMSKGMRERMAEYSRRLEAVASGDNTGCQSRKCGNRHSRKASASSQRSWSGGSTASTGNAPSTLDAGPHGRSRQNVVPEKRQQQHTIMAEECKLVGSSSCCDCREIVWKIMEQVKAESDQWTEMQDMLEQVRLEMQELQSSRDTWQHRAMASDISLRSLNSQILEWKNRAQASEQRVEELHRKISELESKLHTFKVHLPTPAAIPIPNQNQWSDACKMENPRAKPHHQRSQESGKEEIKKHVLICRVKHSPSSVLPKRSPFQEIGNISLPRHR >Sspon.02G0025650-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:88899128:88902650:-1 gene:Sspon.02G0025650-1A transcript:Sspon.02G0025650-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGSAHRRRGSDHCGSETGEGERPRAPAAPTAGTAAWRALGLPDLRERVEEGATVAISLDLRERVGEGGHHCWLLAGSEGEGGGGRSRRRWLLAEYEGEGVGREPPLLSARWIVGSCSGWGGAKEREWREGGREGEGGDARSTGNWCHEYRKLKAKIETIQKCHKHLMGEDLESLNPKELQQLEQQLESSLKHIRSRKSHLMAESISELQKKERSLQEENKALQKELAERQKAAASRQQQQVQWDQRTQTQAQTSSSSSSFMMRQDQQGLPPPQNI >Sspon.05G0014930-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5A:57159540:57160599:1 gene:Sspon.05G0014930-1A transcript:Sspon.05G0014930-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSTRKDKPETSYILQDQIWFDMALSPLFTDSCVCSDFAEETGSGECSKIVELNNSFKHADLAVVEERQPLTPKQKQVLEQMFMDCQYNYLLRPFRTWEEKVVMILHVVRHREFTDYDPKKGCCLPCRFSEFNIAFFDFDKESEVVHGPKFCDIPPSNYDALEYSFNVISIKVAESDVPYPIYIYGTILARDHNDYRCVYLFKRGRDDPQVITRKGSVRITMLLEIEVLEITLGSNVLWFVS >Sspon.01G0017540-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:64366779:64368284:-1 gene:Sspon.01G0017540-1A transcript:Sspon.01G0017540-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSSRLVKTTSLPRHQRSLVQPLHSPRHKRVAALCPAAPESLLQELVTAAAGDAHHQPRARRASDETLAVHAGEKLGKKGADVGGSGTGTGTETDSIATPIVSGTTHWFKSSEDLIAFKEGRRHSFEYGRYSNPTVKVLEEKISALERAEATLVTSSGMNAITTTLLALVPPGGHVVTTTDCYSEARAFIRDKLSRMGIRSTFIDLDDGMESLKAVLDENDDVTLFYADSPTNPTLKCVDIRHVAELCHRKGALVCIDSTMASPINQKPLTLGADIVLHSATKYMAGHHDAIAGCVSGSAALISRIRAWHHDLGGAISPNAAYMVIRGLKTMALRVEAHNSTALRMARMLERHPKIERVHYPGLESSPWHEVATSQMSGYGGVVSFELKADLHGTMSFVDALEIPFIATSLGGCESLVQQPAVMSFWGQSQEEKAKNGIKDNMVRFSFGIEKFEDLRDDILQALNRI >Sspon.03G0020550-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3B:86416645:86418049:-1 gene:Sspon.03G0020550-2B transcript:Sspon.03G0020550-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDRLTDASEVLKVLKSTSADNADDLSAALRRFAVHMDEDIILTVLQKQRSNWQVALAFFNWAATLPGYAHGSRAYTKMLDILGRMKKVRHMRQLFDEIPEERRGEVVTNKMFAVLLNRYAGAHKVQEAIEVFYLRKDYGFELDLVGFQILLMSLCRYKHVEEAEALFREKKDEFPHVTKSWNIILNGWCVKGSWRDALRIWNDIIASKVERDLFTYGTFINALAKNGRISAAVKLFKSMWEKGINPDVAICNCIIDQLCFKKRIPEALEIFGEMNDRRMEQTGCELDSDTYNLILNLYINWKYEKGVQQVWDEMERRGSGPDQRSFTIMVHGLHSQGKLDEALQYYTTMKSRGMIPEPRTKILVKAMHMKKDGAATEDDSTSMAGKHLKLDPRSRLFNVLAVRS >Sspon.04G0026070-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:43158543:43163074:1 gene:Sspon.04G0026070-2C transcript:Sspon.04G0026070-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LKESYERFMEFIKRSNAVSPTVTMETATALQVKLEQVTRRAQEQLQLVLEEQSRYGLDIDLDAPKVRIPLTANQPSLGNEYFVLDFGHFTLHTRVGRHDEERQSLYSGFYITGRDMAAFLICDLAQDIYSIPENLDQDTLLGHTSDDNQFSSLLDRCGMSVIIEQVKVPHPNYPSTRVSFQVPNLDIHFSPKRYCKIVELLGVLSHMKGSNNEHSNNHESGSLAPWYPADLAGDARTLVWRVIGYSQAEWHTCYVVLSGMYLYILESEFSPNYQRWASRQVFEVPPTSVGGSLYSIGVCSRGGDTHKVLELTSTLVIEFRNEIEKAMWMKALVQATYQASVPPDVNILGDPVSHPPESSTPRLNSLGSVDLVVNGSVIETKLSLYGKVDRKNNDPQELLMLELLGNGGKV >Sspon.01G0006080-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1B:15902870:15904222:1 gene:Sspon.01G0006080-2B transcript:Sspon.01G0006080-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWCSKTAMEMLSNAVLSDIVSRSVSLLAKKREKQTTAAAQEYLLRRLCHLLLRSGTIVEEAERRHVTNPAMLRQLESLRDETLRGYYVLDTIRCQATPRGADDGRKDDDEAAALMSRHAFALSRFNPAKRVRVPSGNPEAPTTTMTRALRLRELRQAVCSLEAMIGDIKEFVVFMASYPPFHRQPYSAHLFIDRCMFGRHMEKERVMEFLLQMEEHPGSAATLGVLPIVGPAHIGKSTLVEHVCCDERVRDHFSLILFYTRNDLKDETVNSFRENCVIRHRNEEAVGKKLLIVIELLEDVGEETWNRLLHCSSKGSMPEGSRMIVTSRSEKIARLGTTPALRLKCLPIEAYWYFFRTTLFGSDDPGQYPELTSLAMETANLMQGSFMFANVGAVVLRENFSARSWRIALSRTREYMAKNVSLFGEYPDDIKPTSWDHPRVTWRIVQERPD >Sspon.07G0022730-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:9776875:9781653:-1 gene:Sspon.07G0022730-3D transcript:Sspon.07G0022730-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAGDREEYEDFCERELKPGMQHLVHHTASPGPRDAPMHCFIRRDKKNSTFSLYLSLTQALTDKGKFLLAARRFRQGAHTEYIISYDYDDLHPRSSSYVGKLRSDFLGTKFIIYDSQAPYDGAKPSRSRSTRRFASKQISPQVSGGNFEVGQVTYKFNFMKSRGPRRMQCNIQCPVGQGTASDPSMEKTPSPSSLDLKNKAPRWHDHLQCWCLNFHGRVTVASVKNFQLVATAGSGGPWGVGDEETVILQFGKIEDDAFTMDYRQPLSAFQAFAICLTSFGTKLACE >Sspon.01G0023990-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:86058895:86062783:-1 gene:Sspon.01G0023990-1A transcript:Sspon.01G0023990-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTRRVQTKPGRHDTGVPVPRKSAGSLPKSAGGGRATVMAPNMETYTTDDALSMMGFGKFQVLVLFYAGMGWVAEAMELMLLSFLGPFIREEWNVSPENESLLSSVVFAGMLLGACAWGFVSDKYGRRTGLIFSTLFTSGMGFLSALSPNYLCLVALRFLVGVGVGGSHVFISWFLEFVPAQNRGTWMVIFSLFWTLGTILEASLAWVVLPALNWRWLLVFTALPCFLLLPFFGLTPESPRYLCAQNRMSDATDVLERMANANQSALPPGILTYNRQTKFDDDALAPESECLIPVRENECDNAKRSESGSLAALRILLSRKLLRSTLLLWFVFYANSFAYYGLVLLTSQLSDANKSCASGVNVGLHQKVTNLYKDTFITSLAEIPGLFLSAVLVDWFGRKASMWSMMFACCAFLGPLLLQQNELLTTILLFGARACAMGSFTVLCLYAPEVYPTVVRSTGAGIATAVGRIGG >Sspon.04G0003770-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:7498355:7499041:-1 gene:Sspon.04G0003770-1P transcript:Sspon.04G0003770-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DAPARDTSHHAPVPPPPRGRALGARRGAAAGAGGRSEAGLLQPVVPARGADHRGGDADEADGEPDDGRGRAPRLLPRLLRQRVRRVGADRVHPVPEVGARRGDQPLPPRGRLRRRGARQAGPGAGVPRGGVLRRHPRAGVGRAGHHDRRAPLPDPAGAQGLAVVVAHGARRRAAPLQLHHGPPHPDVRRQGVHGAGAGGAVGRPHAGLLPLQGVRRPALQLPQQGREAG >Sspon.04G0017260-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:66632992:66636697:-1 gene:Sspon.04G0017260-2B transcript:Sspon.04G0017260-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MESHDAGSSSGGSGDGAAQPRRNSRKPKYSKFTQQELPACKPILTPKWVSSIVISVFVLVGVIFVPIGIVSLRASQQVIEIVDRYDDACVPAGVTDKLAYIRNESIPKTCTRNLTITKDMKQPIFVYYQLDDFYQNHRRDHKFGSDVFPTNFQQGPLKGGKTLDPSIPLSKQEDLIVWMRTAALPTFRKLYGRIYVDLKENDTITVQLENNYNTYSFSGKKKLVLSTATWIGGKNDFLGLAYLTVGGICFFLAFAFTLLYLIKPRKMGDNSYLSWNRATLGR >Sspon.02G0038710-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:39207337:39218243:-1 gene:Sspon.02G0038710-2D transcript:Sspon.02G0038710-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYHSPPPGNEATAKVRPRKFAACLASKAQPECQPIKTDSAPGVDQHKESVAMPPLISTQNSISWSTSDSDIDIVFALLIVLFLRKRLFNEQEHGNRRQTWKRRRLAEVSQAGVGSTQDHPYGNAAHDFYRHQESHRPSLAQSRIALAPPQPQSASPHALLFPLPAPSLLLPLPQRDTLLGYSLPAPAQRHPSPSHPGAGAVVPLLLLNQGQQLTGGREDTCKSDGAAAKESRREQLHVAYGNALYIS >Sspon.02G0024040-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:78781148:78782134:-1 gene:Sspon.02G0024040-2D transcript:Sspon.02G0024040-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAEAQVVLLDFWVSPFGQRCRIALAEKGVAYEYREQDLRSKGELLLRSNPVHKKIPVLLHAGRPVCESLVILQYIDETWPDVTPLLPKDDPYAASQARFWADYVDKKLFDSQTRLWKLKGEAREQAKKDMIEVLETLEAELADKPFFGGDAFGLVDVALVPFTCWFLAYEKLGEFSVQEHCPKIVAWAERCKERESVAKALSDPDKVFEFVQFLQSKFGAK >Sspon.04G0013780-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:49988077:49989756:-1 gene:Sspon.04G0013780-1P transcript:Sspon.04G0013780-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTGCTVSSAKGSDDVSCMDYDISFKLSLGNEGTSKLHKQACDSRRTMEKPRLDLKLSLAPSQSDVTDADLIRSSAPQDMFVHPYLMSSVPTVDEGSTSARHSSGGMVSSFLNRAGISLSQAFPVNSNRVQGPAPSAPTVLQLPKSSAASSSGFVRSQQRNTSTKICSQPGCAKGARGSSGRCIAHGGGRRCQKEGCNKGAEGKTIFCKAHGGGKRCEHLGCTKSAEGRTDFCIAHGGGRRCSHEGCKRAARGKSGLCIKHGGGKRCQEPNCTKSAEGRSGMCIAHGGGRRCQYAGCGKGAQGSTNFCKAHGGGKRCTHPDCSKGAEGSTPFCKAHGGGKRCSADGCTKSVHGGTQFCVAHGGGKRCAVEGCGKSARGRTDRCVGHGGGKRCHFAGCGKSAQGSTDFCKSHGGGRRCSWGHPGSDLGSGGAPCDRLARGKRGLCDRHNPLVDDNSVHGGVSFSGFSIVSSAALSEGDGSPGTETSMRSFFMHTVEAPPRHVAASAREGRVHGGNFMPIMLDGGVGLGKKPASNADASTSAPRYWKNMEKPFGSAQRSWL >Sspon.03G0027620-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:5176978:5178653:-1 gene:Sspon.03G0027620-1T transcript:Sspon.03G0027620-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSRAMNRLFVESASGSGGCRDAEPVLCAPRPRRVQVHPCSADLILGPPPFLLNKSSKEGGRTKPAEEDEDGGCWAVFGGSPPARADNPLVHDPHFLLNQRHPAADPTPLELGFFDHRSRTSYVHRPTYTSSNSSSSNNNSFAPSSFAPAVRIQGFDVAACRSSHSNGGSRVLSARA >Sspon.03G0039470-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:15763592:15768478:1 gene:Sspon.03G0039470-1C transcript:Sspon.03G0039470-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LTKGAHTPASICSVRALHCPRWSHVLLCFLFSLFSSAFPFPTSCSLLSPPRSNGLTPRHTVNETTGPLPDGCRPPTPPFHEAAAPLAAALPLPGPLLPLLAAGDGASPWRRRLHRGRAFQPTLSSLREPNKATLRKASPNVPFRLGGGGGGSGSPKDRRPAADDKEEEAEGDGGAGALTGTLIAGALLVGFVGGFGAAGYVYKEQINTFLTQFSGFIDGYGPAGYALFVLVYAGLEVLAIPAIPLTMSAGLLFGSVTGTIIVSVGGTLAAAVAFLIARYFARERILKLVEGNKKFLAIDKAIGENGFKVVTLLRLSPLLPFSLGNYLYGLTSVKFLPYVLGSWLGMLPGSWAYVSAGAFGRAIIQDESEIGLGGNGQLWTLGVGLLFTAIAATYVTRLAKDAVKEIDD >Sspon.05G0013540-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:41142654:41146305:-1 gene:Sspon.05G0013540-3C transcript:Sspon.05G0013540-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSLSITVMTLNLQEGEQPSESPNSWEKRRDICVSVITSYSPTILCTQQGLRWQLDYLQQCLPGYEQFGISRKGSQDNTDEYCTIFYEKEKVELTEGGTFWLSESPSVPGSISWGANAPCIATWAISCNAMLFLLFIVLLLEPPGFSFQIVNTNLDEVSPRARRRSALLTWQHIASLPPNLPVIYCGGFNTQKESMTGRFLLGRS >Sspon.06G0023380-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:43399375:43401640:1 gene:Sspon.06G0023380-1B transcript:Sspon.06G0023380-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAAAAGEGGKEKGGAGPGPGGACELCGAAARVYCSADEATLCWGCDAQVHGANFLVARHARALLCRGCARPTPWRAAGPRLGPTASFCDRCVRRGPGAVGVGGADEEMGGAGGGRDDEDDDNGSSDGDDEVVVEDEDEDEDEEGEGENQVVPWTEEAEATPPPVASSTSSSSREAPANGASAAECAKENVPCSTSQPGLCHHGGRSDEATSSRNGGRFLASRHRKRSPSDFFSSGSAQSGSGTPARNCSNAGIGRNDFT >Sspon.04G0023250-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:9231555:9233293:-1 gene:Sspon.04G0023250-2C transcript:Sspon.04G0023250-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNFIEAQKPLLSRLMKMAGLRPIEVELEPGTTMHVWAPKHHVGKKGTTISPLEPTADAKKEKKKKSPESRPNVVLIHGFAAEGNVTWQFNFGVLVSRYNLYIPDLMFFGKSTTASADRSPDFQAECVAGALARLGVARCDVVGFSYGGMVAFKLAEARPELVRSLCVSGAVVAMTDAVNRETMERLGAGSSAELLMPDTLKGLKALLSVSMYKKMWFPDRFYKDYLKVMFANRKERMELLQGLITSNMDAKIPVFQQKIMLLWGEEDKIFNIDLAKKMKEQLGDNCFLYGIRKAGHLLHVERPCAYNRQLQRWFAFVNSTAGGDQAS >Sspon.08G0027600-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:52589020:52593370:1 gene:Sspon.08G0027600-1C transcript:Sspon.08G0027600-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLQQVKDSGLKHRRKGSELAGELSTLSQKVQALVSEVMRQEYYINRQKTLHLKYENKELLMIVTLSSMQCEISYVFTQSELENTLPADRKRCINELCTLIQTVEQLLFASSTTAEPILTPWPLMRALDDMENANAQVEVSVEEVTKARTQKIKVVNCDGCGPVVQLWHPKHNRYGSLDK >Sspon.06G0022620-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:31491992:31494513:1 gene:Sspon.06G0022620-2D transcript:Sspon.06G0022620-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPRCSNAVFACFNVLTLLLGAAVLAWGIYAGAPHRGGGGATDCQRFLRTPALILGAAVMVVSMAGIAGACCRASLLLWLYLFLAALLILAVLCFAVFALVVTNAGAGQAVSGRGFKEYRLGDYSSWLRRRVEDDRTWGRIRSCLAEAGVCRSLQSNRTFNEFVNDNLSPVQSGCCKPPTECNFAYLNETYWMKPSGPSNSSNPDCDAWSNDQSELCYACQSCKAGVLGNLKNSWKKIAIINAAFIALLIVVYSLGCCVLRNNRRHKYTLVGK >Sspon.07G0016310-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:62343053:62345836:1 gene:Sspon.07G0016310-2B transcript:Sspon.07G0016310-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGGDGVARQAELRRIEGNVCFKKARLGAAIDCYTEARNLPAIALCPDVAVYWMNRALCHFKLKEWAKVEEDSRKALALDHTLVKGRYLLGCALLEKEESALAIKEFEKALILLKSANSTDEMAEEIWQVLAKAKYLDWEKHSTERLWRMQSLKEACESALQEHHFLTGTLEEDSNGSSNEYSEQFKLLSEVFSQATIADTPADVPDYLCCQITFEIFRDPVITPSGVTYERATLVEHLHKVGNFDPVTREPLKEHQLVPNLAIKEAVQAYLKEHSWAYKLN >Sspon.03G0032070-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:32939793:32948058:-1 gene:Sspon.03G0032070-1T transcript:Sspon.03G0032070-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSAACSMASVSRALRPRPRAAVCSAARLGCGLGIACSMPSLGMGNEKHELGLAVASAPAATTTPVLRSRQILCMAEANVSSNLPDSLPTGVSQYEKIVELLTTLFPVWVTWLETDLFTMGLGFLMLSMGLTLTFEDFRRCMRNPWTVGVGFLAQYLIKPLLGFSIALALKLSAPLATGLILVSCCPGGQASNVATYISKGNVALSVLMTTCSTVGAIVMTPLLTKLLAGQLVPVDAAGLAISTFQVVLVPTVVGVLAHEYFPKLTERIITVTPLIGVLLTTLLCASPIGQVAEVLKTQGGQLIIPVALLHVAAFALGYWLSRLSTFGESTSRTISIECGMQSSALGFLLAQKHFTNPLVAVPSAVSVVCMALGGSALAVYWRNKGLPANDKDDFKE >Sspon.06G0004990-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:16544850:16549018:-1 gene:Sspon.06G0004990-1A transcript:Sspon.06G0004990-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSERDAHDGGDTTGRSAAASIDSFSQLPFIRPPAAAREKQKQQPPPRSSGTSGIRLFGVDVPPDAAAPGPASPADVEEDGSVNESTANNTAAEPAAGSDSGGGGGARKFECHYCCRNFPTSQALGGHQNAHKRERQHAKRAQFQTAMAMHHSQYYYHPDPAHLYPAFAAYRHNHRFAAAPPPPPPPHYPSWAGASRYYSGPGSISQPINGSPVTTSSGLWQQVPTGAGIGLLGTPALLAARRQEQPVQPLPMMLGAEEPAVVVRGAGSAPFSPSTSSSSSSASPHKRRPAPPESKENDIYGSSKWGTFNSRVDQLAHICNVIYEITQKTSFPMV >Sspon.02G0003590-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:12526181:12530029:1 gene:Sspon.02G0003590-3C transcript:Sspon.02G0003590-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTRKSKTTSAWRSFLGGCLGGGGEGRSAGDRQRKVRPGGGGGGRLSFTDLSGAADQDLSVSLVGSNLHVFSVAELREATRGFVSGNFLGEGGFGPVYKGFVDDGVKKGLKPQAIAVKLWDPEGAQGHKEWLVQIPAVLPWSTRLNIAVGAAKGLAFLHEAEKPVIYRDFKASNILLDSDYKAKLSDFGLAKDGPEGDDTHVSTRVMGTHGYAAPEYIMTGHLTAKSDVYSFGVVLLEILTGRRSVDKTRPSREQNLVDYARPCLKDPLRLVRIMDPAMEGQYSARAAQSAAMVAYRCLSSSPKNRPDMSAVVQALEPLLDLNDDVPVGPVGPVGPVVLFVAPAEEKKERAPRKDVRRRRPMSPKASPRKRPGAGPKEEFWVWHLPAEQKA >Sspon.06G0005430-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:17035074:17040380:1 gene:Sspon.06G0005430-3C transcript:Sspon.06G0005430-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding HGGHRLQPVPVPLPPPAGRQPLLRRLPATTPQRPPRARAPRLAPVPPPLLLSHLAPFLSSHLFHRDPFTLSLPADPAAPCALCASPPVPHLHGALRFGDSLPDEWLAVSLLFALTRAFPDIAARAWDSDGEFLLIEAAFALPRWLDPESAPNRVFIFRGELHILPPSLFPETPSLEAALAAVYDDSIDTRAADAIQAAIQRRIAGLPEKAAENLHTARVIVPAPVAKVLKEEPCLIARAVEGFYDRDIDTMKHAARMEKFLKGPGGEGVEMVRTTVRMTRAMYAQLVQQNFQAPRGYPMPRREEGPEKWMEAELGMKIACGFEMMYQERRRQGEEGKGSTWEVYRKSLEAAGCFEGLLPGSMEYKRVMEDAMQYYKSSNLYSRTREILSEPVRRIDEILSMPYSADEFKGTDLPPSDDDSWLHGGDDELNVELRERQKELEEYEAAKKQRRSQKQSVSSSSKSQTDDFKLGEITESMQEFIRKMSSFEGAEIPADRRDMESVDLDVNQFFKAMESVLGGGSQEQAGSDDGFDRKSSSSDMDFDDSDEDNDFAEELGDKDVDESFMESYSDALNKELSSTTLEKSFARAPRPETNNEGPSDAAATDAEMTPVDVDLNLVESILNSYSSQQGLPGPASNLLGLMGVKVPPDAKKS >Sspon.01G0031470-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:108382963:108384369:1 gene:Sspon.01G0031470-2D transcript:Sspon.01G0031470-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAGRKRAYSIIVPTYNERLNVALIVYLIFKHLPNIRGEGRQKREEELEEDWEGQALVSAPSPSAPDAMAVANFCDIGHLGGAAAVSNSRSAIYRRLEGIWKGAAPAVRKGRGRGWEEWLRTAAAQMENGRGRDGEKSLAQRGAVAAETECLSMGRAEGTDR >Sspon.01G0013760-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:38388293:38389234:-1 gene:Sspon.01G0013760-2C transcript:Sspon.01G0013760-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding NGRGVPSDAHHAGVVQVGGAVLPSRLRSPEEAVVRAGAVHQPPRAPSPARGGAARLGARAAGVGRRARADGARVGRRRRRARGPRPVGARGPAGPPAGPRCAAAAPLDGAAAGRPPPPGRPPRVLPRVARGAQAAPRRDARRAAPARLRRPPRRRAARAAAVGAGGVPHGLRRAGPLPPRGARRRVRRGHARRRLRGRHGRRGRRLVRGLRRRVGRLRRVGGQRRAVAEDADALLPRADPRQPDVAGHRPAPAAADRVVRGLVHRGGGGAEGGHGQGARARGVRRRRRGWLRGGVPRARERQSLPAQPAHAHIL >Sspon.01G0051570-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1C:16476616:16481105:1 gene:Sspon.01G0051570-1C transcript:Sspon.01G0051570-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATIPASASATLPATGDGTLPAIGSDVAAPLPPIMAHPYATVNIKAHVPITLDLQSNYSKWAFFFKSLCGKFGLRSHIDGSVPPQPVDPQWDAAECCVRGWISGSVDDSVLDLAMDGPDPTARDLWVAIEGLFRANREPRAIFLLNEFHSMVQGDSTISAYCQRLKVKAAALRDVGHPVADSQLVLALLRGLNPRFSNIADDIANSAVLPTFARAHDMLALKELRLANDDKTVASTALLATAGSGCTSPGGCRALTATHNPHVTGYGSGNKGGGGGHSSGGKGKGKGRRGWNSGPAQQRGGTAGALQHAGQAGQYQPMGPWFCYNPWAPQGQQGGWRPSVLGAPPPQAHTAFAPVQPPTTSAPLHVSQPAPMWNQDGLVAALNQLHLQGQQPWVLDSGASSHMSSSDGILLSRHPPSSSSITVGNGQTLPILCRGESVLHTPASNFHLNNVLVVPSLVCNLLSVRQFTRDNNCSIEFDALGFSVKDIPTRRVMLRCNSAGDLYTFPAASSAPHASIAISTDLWHHRLGHPSSATIDILRRTASISCNKVEHHLCHSCQLGKHVRLPFSDSSSFSSMPFELVHCDVWTSPVASVSGHQYYLVLLDDFTHFCWTFPLTRKSEVPTHITNFCNYVHTQFGLTIKAVQADNGTEFVNNTLHTLFTSRGIHLRLSCPYTSPQNGKAERLLRTLNNISRTMLIHAHMPAQYWAEALATATYLLNRRPCSAIGNAIPYTLLHKKPPEYSHLRVFGCLCYPNLTATARHKLSPRSTACVFLGYPSSHKGYRCLDMATRRIIISRHVVFDETCFPFGLYPSRISSSDLDFLLAGTTVPVHPASLPTAVGQPNQGFIEELHDDPAILFRGHILPPAPPAAAAAPPAAAPAPGARDIRFVYSRRPRSSSASAPAAASVQQAPMPAQQPPAPVQQAPMPAQQPPAPVQQAPAPVQRPEAPTAPPAPPVQQERRVTRTQSGAIPVVRYVGLSAATTPSPLPANYRSGLADPNWRAAMAEEHKALLDNKTWRLVPRPPDANVVTGKWIFKHKYHSDGTLARHKARWVVRGFSQRHGIDYDETFSPVVKPATIRAVLSIAASRTWPIHQLDVKNAFLHGHLEETVYCQQPPGFVDPAFPDHVCLLQRSLYGLKQAPRAWYQRFATYIRQLGFSRLPAPYVDDIVLTASSTAFLQQVIGRLHSEFAMTDLGALHHFLGISVTRSADGLFLSQRQYAVELLQRAGMAECHSTSTPVDTQAKLSATAGAPVADPSEYRSLAGALQYLTLTRPDLAYAVQQVCLFMHDPREPHLALIKRILRYLKGTLSSGLHIGVGPVQSLIAYSDADWAGCPDSRRSTSGYCVYLGDNLISWSSKRQTTVSRSSAEAEYRAVAHVVAECCWLRQLLQELHISIASATVVYCDNVSAVYMTANPVHHRRTKHIEIDIHFVREKVALGHIRVLHVPSSHQFADIMTKGLPVQLFTQFRSSLCVRDPPA >Sspon.01G0038260-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:24770554:24771195:1 gene:Sspon.01G0038260-2D transcript:Sspon.01G0038260-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAVSLSCAGVAPRSALLSHSFADEAIARALHFSLSDHAPAEPPPVATTAAAMLMHGAVAGTDHCPGPAMAAAAAPSPSSSARCRLGPAGGHAGKRRRPRPSKRAPTTYISTDAATFRAMVQRVTGADEADLLPRQQDGGFGIGLLLPHLGVEQFLQAAGHAPYVAAAAAPYATATPAAAAAEQQQPLFPTLDSWNVMYGKKNEVVSALTASP >Sspon.04G0024090-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:47023631:47029449:1 gene:Sspon.04G0024090-2D transcript:Sspon.04G0024090-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MACAAAETLASFPIASPSRSLLRPFPRRPAAAAGTGAPSIRISAVPPRGLGLALVHRRVRRCPPAARANVERDGDGASGNGEPSSSSGDGDRDAAAESGGDSTSTSTTSAAATPPPPSSKRGENKWRRRLIKGGGGVGRWLWEPIVQGREMGFLLLQLGFAIFALRMLRPEIALPGSEPRPQTTYVSVPYSDFLASIDKDQVKKVEVDGVHIMFRLRPEVESQVRVVQTPTQRGTDAVVDNTGASRRIVFTTTRPVDIKTPYEKMVENMVEFGSPDKRSGGMLNSALVALIYVVLIAVVLQRLPISFSQNSAGQLRNRKNSNSGGTKVSESTDIVTFADVAGVDEAKEELEEIVEFLRNPERYIRLGARPPRGVLLVGLPGTGKTLLAKAVAGEAEVPFISCSASEFVELYVGMGAARVRDLFARAKKESPSIIFIDEIDAVAKSRDGRYRIVSNDEREQTLNQLLTEMDGFDTNSAVIVLGATNRADVLDPALRRPGRFDRVVMVEAPDRFGRESILKVHVSRRELPLSKDVDLADIAAMTTGFTGADLANLVNEAALLAGRLNKEIVEKIDFIRAGIEKKHAKLKGNEKAVVARHEVGHALVGTAVANLLPGQPRVEKLSILPRSGGALGFTYTPPTTEDRYLLFVDELRGRLVTLLGGRAAEEVVLGGRVSTGALDDIRRATDMAYKAVAEYGLNQRIGPISLATLSNGGLDDSGGSPWGRDQGHLVDLVQREVKTLLQSALEVALSVIRANPAVLEGLGAYLEENEKVEGEELQEWLKSVVAPKELTSFIRGQQEQLYNQRNSPFYGPPNKH >Sspon.02G0044770-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:98899371:98899874:-1 gene:Sspon.02G0044770-3D transcript:Sspon.02G0044770-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADKPPQLRPWGGRKGCAVLTQAFVVSFVIWLPLVTGIYFLVTFLDRQFPSEDTVFTVAITGVTGLELDPARDVDPPTALSPVFNLTFQIDNTGDSVYDACVAGLSRADVSYGDAFLAAGSVPPLCAGEKRRSDRVAARASGENVAVPRFFRDQLAAELARATRRWTS >Sspon.01G0028560-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1A:99595044:99600261:-1 gene:Sspon.01G0028560-1A transcript:Sspon.01G0028560-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSGDEGRVVVDLRSAAESAAADEDAHATPLHEIESLCMRCGENGITRLLLTLIPHFREVVLMAFECPHCGERNNEIQFAGQLQPKGCCYSLVVPLGQSEILNRQVVKSDSATIKIPELDFEIPPEAQRGTLSTVEGIIMRAVDELQALQDERKKVDPQKAEAIDQFLLKLRSLGSGEAAFTFILDDPAGNSFIENPHAPSSDPLLSVRFYERTREQQAALGFLAEPPTEQPGEAVLPASAVESNSDGLQSVPHGSVGAVAGRRAIAQGNPDEVAAALCRYSAPEELKPGGEIPAKGKKITLHVQNARDLTRDVIKSDSASVKVPELELELSCGTLGGMVTTVEGLIVKICEALERIHGFQLGDSTLEWKKKKWEDFKERLSKLLSLQEAWTLIIDDGLAASFVAPATDSLEDDSQLTMEEYQRSWEQNEELGLNDMDTSSADAAYNTTST >Sspon.08G0022170-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:47546551:47548049:1 gene:Sspon.08G0022170-2D transcript:Sspon.08G0022170-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPPRALPWISPLQYRSPARGAPPSPPPPPPPPPPTRPPRYLHHPELARLIASSPSAQRALDLFNAASSQRGFSHTPATFSALLVRLARARLPRAAAAVLRRTAAEPCRFQEPQFLPLVRLLPPDHALALLRFLPAVLRTSRVSHKALAVCLDRLVSSRGCHGVLDELLADLRDPRNSTFRGLTHHYVKNGKLETAFKVLDEMREYTCADVKPNLVTYSTLIGGLCRGGKMMEAFELFEEMIEKDRIVPDQLLYNVIIDGFCRLGQVDKARAIFGFMRKNECEPNAFNYATLINGHCKKADIEAARSVFEEMRNAGVEPDAVSYTALIGCLCRHGSVDEGINLVLEMKQKGCTADVVTYNLVIEGLCKDGRTVEAMDLLESVPLEGVKLNVASYRILMNCLCSCGEMDKAAGLLGLMLGRGFLPHYAASNNLLIGLCDSGRIADATMALYGLADAGFMPEARCWERLVGTVCRERKQRRSTELLDVLVGVG >Sspon.01G0010860-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:37540691:37541814:1 gene:Sspon.01G0010860-2B transcript:Sspon.01G0010860-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDPTTKPPSPRPRRRRRLCGLCLGTALLALLVSALVHVVAPPPRPAPASASARFSVIIDGGSTGTRAHVFATGHDGRPDLARSTVMRVSPGLSSFATDPARAGESLKPLIDFARDEIGGAGGAAGEAEVRLMATAGLRLLEERAQEAILASCRDVLRASGFRFEDTWAKVIPGSDEGIYAWVAANYALGRLGGDPNKTVGIIELGGASAQVQR >Sspon.03G0001350-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:3344706:3347275:1 gene:Sspon.03G0001350-1A transcript:Sspon.03G0001350-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AMAAGRELVVSFGEMLIDFVPTVAGVSLAEAPAFLKAPGGAPANVAIAVSRLGGGAAFVGKLGDDEFGRMLAAILRDNGVDDGGVVFDAGARTALAFVTLRADGEREFMFYRNPSADMLLTADELNVELIKQAAVFHYGSISLIAEPCRTAHLRAMEIAKEAGALLSYDPNLREALWPSREEARTQILSIWDQADIVKVSEVELEFLTGIDSVEDDVVMKLWRPTMKLLLVTLGDLGCKYYAQDFHGAVPSFKVQQVDTTGAGDAFVGALLRRIVKDPSSLQDEKKLVESIKFANACGAITTTKKGAIPSLPTEAEVLRLIEKA >Sspon.01G0005980-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:14851849:14852986:1 gene:Sspon.01G0005980-2B transcript:Sspon.01G0005980-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKHGWQLPYHPLQVVAIAVFSALGFAFYVFFVPFVGTKPFQIVAMAIYTPLITCVVVLYIWCAATNPGDPGIFDSTKNLKLDKHEKHSYVNSDQGINHGGRPLSETFGTADNNEKLSSMLKRKDSPSWPRFSGIL >Sspon.02G0012770-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:31915901:31929287:-1 gene:Sspon.02G0012770-2B transcript:Sspon.02G0012770-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVEAARKDLTTPTTRRAKVMHRLLVALNCGMLALGTTAGPLLTRLYYDKGGQREWLSACIQSVGWPLLLIPVAASYAARRARDKQGAPVLLTPPRVLLAAAGLGVATGADNYIYAYSLRYLPVSTSAILISTQLAFTVFFAFLIVRQRLTPATVNAVALLTVGAVVLGLHVSSDRPAGVNRGKYLLGFALALGAAALYGLILPLVELAYKRAAAGSGRAVTYALVMEMQLVMGFFATAFCTVGMIADKDFQQDDAPPHGGDQLLDARAGRHRWAAPQPPLLQQGWPPAMALRVAPDRPLAAAAPTSGSFLRPSPSPPRILLAAAGLGLIAGLDNLLYAWGLEFLPVSTSAILVSTQLAFTVLFAFLVVRQRLTVATANAVALLTAGAVVLGLHVSADRPAGVTGSQYWLGFVLTLGAAVLYGLFLPLVELTYRCADAGGGGHCAVTYALVVEMQLVIGFVATAFCTVGMIVNKDFQASHASHPRVRDCVSRGQTQTCAGRASRSAMDVEARKDAPPARGKAMHRFLVALNCGMLALGAIGGPLLSRLYFSKGGHRQWLSAWLETGGWPLLVIPVAASFLGRRARDRAAPVLLTPPRILLAAVALGVATGVDDFIYAYGLAYLPVSTSAILISTQLAFTVFFAFLVVRQRLTAASVNAVALLTVGAVVLGLHVSSDRPPGVTRGQYWLGFTLTLGAAALYGLVLPLVELAYKRAAGGGRAVSYALVVEMQLVMGFFATAFCTVGMVVNKDFQRSRGRRGITSWGRAGTTRCWCGRRCCGSASSWARWASSSACTRCWRASSSPCSSRSRRWPPSSSCARSSAARKAWRWCSRSGASPPTPTASGARPRRPRRRERTAAPPRSPSRRP >Sspon.04G0010960-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8B:3824927:3825472:1 gene:Sspon.04G0010960-2B transcript:Sspon.04G0010960-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LLEAQARYARKANGKNCQFEHCWLTLRHTQKFESTLEGNKKPAKSKELNLPVRSEQEDDESTAQGQESSSIPSSKKARPPGRKQSKEKLKKNEGDDEYKDMMQNLLVMKTEEHMMKKERWEKDMMLEQRRLQMEEERLQWEQEQKIMFCDLTTMDDDQRAYVKAKRAKIVKAMSASVGETAS >Sspon.07G0020070-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:74676156:74680402:-1 gene:Sspon.07G0020070-1A transcript:Sspon.07G0020070-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMKLTIKRVPTVVSNYQDDADKPRAGCGRNCLGNCCLPASKLPLYAFKLNLAKRSQDAAASTKLLVDILLSEWEDRMARGLFRYDVTACETKVIPGNLGFVAQLNEGRHLKKRPTEFRVDRVLQPFDPAKFNFTKVGQEEVLFQFENGDGDDSYFLNDAPIIAVDRAPNVVAINVSPIEYGHVLLIPRVLDRLPQKIDPESFLLALQMAAEAASPYFRLGYNSLGAFATINHLHFQAYYLSVPFPVEKAATHKIPLSEDTMKNGVTVSKLINYPVRGLVFEGGNTLDDLANVVSNACIWLQDNNVPYNVLISDCGKRVFLFPQCYAEKQALGEVSQELLDTQVNPAVWEISGHIVLKRRNDYEEASETSAWKLLAEVSLSEERFEEVKAYIFSAAGLVQSDEEEVEASEGKGTTTYAPVPVTPLPVAEGCLVRHPKYFSSGELVPNPNRPLNGLDC >Sspon.02G0050110-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2D:39912110:39915969:1 gene:Sspon.02G0050110-2D transcript:Sspon.02G0050110-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASCASTLPSLSFVSSSSSSSHRFRRSGVVAMASVGQKVYAPGVAVSEGNGGLQKIDLKSPHGSEAEIYLFGACVTSFKVPNGKDLLFVRPDAVFNGQKPISGGIPHCFPQFGPGPMQQVSLHSTSLSTTLEIINTDVKPFSFNSALHTYFSASITGVSVKGLKGCKTLNKDPDPKNPLEGKEEREEVTFPGFVDCIYLGAPSELILDNGLGDKIAIANSNWSDAVLWNPHLQMEACYKDFVCVENAKVLELYLRNFWVLALEQHHAHVDSDDARSPAPPMAALADMDCYALWVWSLAALTATLSTDHNQELFSAVSHNQEFKRGSADWPSVPPGAERGRQCCWTPHPERVAVHVGEGSHRWGPSAGAARP >Sspon.03G0007630-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:25681903:25682073:1 gene:Sspon.03G0007630-1P transcript:Sspon.03G0007630-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSKRPTMLKVMAMFKELQASSAVDSKTSECTGATDDACFGDVEMTTLKEDKEEKD >Sspon.07G0003900-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:8910757:8913246:1 gene:Sspon.07G0003900-4D transcript:Sspon.07G0003900-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEESSGERAPSTPQLLNLIRDEREWKMIRQAEDGGGSSTTTSPDAEEDSKLELKLGLPGAQDDERTARPGEKMEQQQESCTALSLGSGCFPSHSKLATSTGATTGAKRGFLATVGAKAEGCNQRQEDREGCGNELLTLGGENMAGERKKGCCPPSSSHDSAAAGPVHSSSSSNPHLTRGAVLPVVGWPPVRSFRRNLTNASSSKPSPEQQNDEACDRAKQTCKRNPLIKINMDGIPIGRKINLSAFDNYQKLSSAVEDLFCGFLEAQKDLACSEIREQGAEDKIFSGLLDGTGEYTLICEDSEGGRTIVRDLPWNVFVSAAKRLRVMKSSELPHGLIKTASERADN >Sspon.08G0006700-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:20949216:20953145:1 gene:Sspon.08G0006700-2C transcript:Sspon.08G0006700-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARRGRGDGANGVVRPRPRDRGVGGGGSMAGRVAVLAFCVAGIWSAYIYQGVLQETLSTKRFGPEARRFEHLAFLNFAQNVICFVWSFIMIKLWSSGSSSDGRAPLWKYWGVSITNTIGPTMGIEALKYISYPAQVLAKSSKMIPVMLMGTLLYGVKYTLPEYFCTFLVAGGVSSFALLKTSSKTIKKLANPNAPLGYTLCFLNLAFDGYTNSTQDLIKSRYPKTNPWDIMLGMNLWGTIYNAVIMFVAPLLFSNWPYANGFEALRFCQENPEVAWDIFLFCLCGAVGQNFIFLTISRFGSLTNTTITTTRKFMSIVVSSVISGNPLSLKQWGSVVMVFLGLSIQIYLKWKRKKGRDHKE >Sspon.04G0025090-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:29289163:29291544:1 gene:Sspon.04G0025090-3D transcript:Sspon.04G0025090-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding TMIAAGDPESFFATSPPLRDASAVAANLQEFVARNSHASSGRYFLKAGYAVIFVHRSFLDCSVYTYCTKDYSSPKIHYVVHECRGSCQPFSRFLPDDSFLQFFDVTTDLKVPVVESQATVVKKAIGDYRQAIEGGSLLKLPFTTIFEYLQLLKMVATSMSSVGLHGMFYLAAAVSDFYVPWDSQAKHKIQSAGGPLDMKLSQVPKMLSVLRNQWAPLAFCISFQLETDSDILVQKAEMALNKYRMNVVVANLLATYKEEVVIISNGKSNTIRRCNKDEDLEEHIIKLLEKSHSKYIYNSTEGCNKNDYGKIISLGIKSLA >Sspon.06G0004890-3C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6C:14919902:14920874:-1 gene:Sspon.06G0004890-3C transcript:Sspon.06G0004890-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPACRHLRLAVTALLAAALLISAAMPAARAHLETEHEEEFSYVPGDEHGPEHWGSIKKEWAACGTGRMQSPIDLSHERVSLVRSLGYLHHSYRPAEASIVNRGHDIMVRFEGDAGSLVINGTAYYLKQLHWHSPAEHTVDGRRHDMELHLVHESAEMKAAVIAILYEVGRHEDAFLRHLEPFIRRIADVRDREERVGVVDPRRARGSASVYYRYMGSLTAPPCTEGVIWTIVKRVRTVKYQLELLREA >Sspon.05G0025580-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:24812617:24821514:1 gene:Sspon.05G0025580-1B transcript:Sspon.05G0025580-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MACFSCPARPIFSFMASATPSWWPSALARRVSSLMVPFCRVSRFPHEPRNIATTNRVKGDESGKSDRRLSISSANVITRSQNKHSAVTQGTKNITSGRILEAIAGDGKSDKNDCRVASEFIYDKRDTSGQIVKHTDYGEEDQRNAVDNQRGEIVASKDKEVSDDKEYTLDIILPKSRHRDGSIYRDMDRWWKSLFHIADRNETRLEAMALSNPPSCIIRNGTCVKHYPRRMLQILSLELDGFLVDGGLVELYGYIAVRDQLDPLLNYVVNFSRDDPIVVEQGSLINMTGPKRGIDMMDLSLIEYDMRIKTGEEEKNDLQLIDGASLIGSAGEWEQPLTMRIPGNYGAVDITLSRFNSAVEATVEVLISEVQCSFNLSLTCLTSQLSKEIRLFHGAIAGSRGLQRSVVAIPWNSSINLKFKVGALSSSSNQHYCSFMAKVHGHDTQEINTLFALISVKVTWSMLPIALGCLY >Sspon.01G0038950-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:53796770:53797366:-1 gene:Sspon.01G0038950-1T transcript:Sspon.01G0038950-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGSCASPRWSPWKPSSRSPSSSSNKTTVFFNDSARNTSPPGRPPVSTQSSWGARRWCQGRTWCWRASTTSRRRCRSSGRPPVITSRPSSGPPPWRPQ >Sspon.06G0008290-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:43678862:43687669:1 gene:Sspon.06G0008290-1T transcript:Sspon.06G0008290-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEVVSQILEKQVLSAAKAVEDKIDEEIAALDRLDPDDIEALRERRIQQMRRAAERRAKWRALGHGEYTEIPEKEFFAAAKASERLVCHFYRDNWPCKVVDKHLSILAKQHVETRFVKVHAEKAPFLTEKLRIVVLPTLAIVKNAKVEDYVVGFDELGGKDDFSTEDLEERLAKSQVIFLDGEGSAYAAKQAAATKRSVRQSDTGNSSDSE >Sspon.04G0018120-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:65527699:65530865:1 gene:Sspon.04G0018120-1A transcript:Sspon.04G0018120-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPLHFSYKKYRSRLPIPVLAIALVLLISLATPTSSCTEQEKKSLLQFLAGLSKDSGLAKSWKEEGTDCCKWQGITCDGNKAVTEVSLPSRGLEGSIRPSLGNLTSLQHLNLSYNSLSGVLPLELVSSSSIIVLDVSFNHLSGDLHELPSSTPGQPLKVLNISSNLFTGQFTSTTWKGMENLVALNASNNSITGQIPSHFCDISPSFAVLELCFNQFSGRVPPGLGNCSTLRVLKACHNNLSGTLPDELFNATALEYLSFCNNDLHGVLDGARIIKLRNLEILDLGGNNFTGRLPYAIDQLKRLKELHLDHNNMTGELPSTLSRCTDLITINLQSNNFSGVLHKVNFSSLQNLKTLDLLYNSFTGTIPESVYSCTNLSALRLSGNNFHGQISPRIGHLKYLTFLSITINNFTNIRNTLQILKSSRNLTTLLMGSNFMGDAMPDDVKIDGFENLQVLSIEDCLLSGEIPLWISKLVNLEMLVLNGNRLTGSIPTWINTLNYLFYLDISNNSLTGEIPKELMNIPMLTSDMTEAHLDLRIFDLPVYDGPSLQYRIPIAFPKVLNLSSNKFTEIGQLKALLSLDISYNNLTGEIPPSISNLTNLLVLDLSNNNLTGKIPAALENLHFLSTFNISNNNLEGSIPTGGQFSTFQNSSFAGNPKLCGSMLSRRCSSADAPLVSTKGRDKKATFAIAFGVFFAVIVVLLLLWRLLVLIRVNSLTAQSTRKDNEDLETTFNSSSEHKVIMMPQGKGDVNMLTFTDIMKATNNFGKENIIGCGGYGLVYKAELPDGSKLAIKKLNGEMCLMEREFTAEVDALSMAQHENLVPLWGYCIQGNSRSLIYSLMENGSPDDWLHNSDDDTSTSLDWLMRLRIAQGASRGLSYIHNVCKPHIVHRDIKSSNILLDKEFKAYVADFGLSRLILPNKTHVTTELAGTLGYIPPEYGQGWVATLRGDIYSFGVVLLELLTGLRPVPVLSTSKELVPWVLEMRSQGKQIEVLDPTLRGTGHEEQMLMMLEGACKCVNHNPVMRPTIMEVVSCLESIDAGLPTQKSAKT >Sspon.02G0028830-3D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2D:100375047:100385139:-1 gene:Sspon.02G0028830-3D transcript:Sspon.02G0028830-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNADFSSNQPRLPSEKIGLEASNSGEYSRFNSLSEHNGGPNKRLKLNQQHSYSQFRSDLVTLAPIGSTSGKNNVQSSEVTNQFENGITMNLNVNETDTTVTTTELADQIQQGGATKNLRHHKDTGGAGNHAMLESHDELASRPPFTDTQTIPEDTSALAPVTSAQARQQVLPVGTSPVLDGTPQLQPGKENAGCLNCSGQIGVDTRNTTLFSLLEMEELRDKELEDAQEHRQKCEAEEREALRAYRKAQRALLEANERCAILRRKREICSSQVHGLIAENSSLVQSLSIRNPEDDLAMPSLLNSQIHADSQMPENQGGRHRLHPEEPSQQPVDKHEARPHYHDKLAASTAEPSSVTAVNADSVLSDYMEDDLLFPTRQARSECALDLENQMEETIHVYAEENRQVSGDSAQDYELLEASLRSRLVERFGKKSCLNGTIDDGTEEFAVGKVAAEHGKQSTYVGHQLQEAEQNIMTTPTPEGIMELENDGAEKTGGLSNSSSGPSMGNCDPEDNISSLKELCMPLATDTLIFPSSAPLNAARQIKRALPGICKEPSDYKNDYLTSDAASEVTESVQDMIHDLVGENMKILPTTQKDNNMVHSVIDPFWPFCMFELRGKCNDEERGRGLAVRGSSSAAGAVAGSPAAGAVPSWACRPPELLCCRRGRGLTVRGSGSVAGSPAAGSIAVARAPRAPSSEHRGSSVAVRRASIAGAGRGSACRASTGRSSSAVAAPPRERVVFGASSSASAGQPTLHAQSHRERRAVDAASILDLTQEEARNPTFPCAVAAAASGGELPRPPRGLENSKPTHIVTARGLTGVGASQLRPRICPHGDHLIVANLGDSRAVLCPLDSKDRLIPVQLTTDLKPDLPSEMSTNTSEGSGTHDSEEGHTSLTPRRKRSNVWEHFEKALVDVDGELKAVCKYCQLKLSTKFGTSSLRGHVANSCPAIESDTRKRFQASMNKQPLDANFVFDPHLCRQEMIKYLIHAEIPFLEFEDPYLQPWINTMQPTFIVKGQQTIHNDSFQKFEELKKQLYTELQNLDSRVCLTSDIWTSSQNVEYMAVTAHYIDAEFKIKKKIIWFKKLEYPHSGIAIEEEIVRCLTEWDIRDKLFTLTLDNASNNTSACEELITNHKHELLFDGEHLHVRCSAHILNILVQDGMTVIHTTIQKIRELLKHIDSSVSRLQVFNSLANGMGLPSKSAIYLDIPNRWNSTFKMLREALQYKAVLISYAPRYLEVLPTEEEWAKAAAICEFLKVFEELTLAVSAHRKPTSHKFLPLVLSILYALKDPAWQSTDLLKELAASMHSKFEKYWNPDEDNLHNVVKKKRKKKEITFNLVLVIATILDPRRKADYLDFFFQKVCNNTNQIDMHVKHALEWMRKYFTLYEQRCAMKSSVDMIAPANEAFVNVGSLILGKRNLEEEFAQYKSRRRVARAPKFEIDAYLEEEIEEDNEDFDILAWWKGKSDKFPVLSTMARDFLSIPLSTVSSESAFSLGGRIFGDHRSSLTPEMLEALVCGKDWLFKTKDHISEGQDLEPKEG >Sspon.02G0049490-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2C:39277398:39277790:-1 gene:Sspon.02G0049490-1C transcript:Sspon.02G0049490-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGRALNRPDAPVAQYRAPRSNDRTRSSQRPDATVPASGRSPVSSLDDRTRPIVCDRTRTASDRPCALRCLTGRAGPASHRTRRLQTLARAPDSCAVLTGRVRSHRDRVRCSVRSLCDLRSPPFLFRLPA >Sspon.08G0001890-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:4173498:4174283:1 gene:Sspon.08G0001890-3C transcript:Sspon.08G0001890-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSFQLNPNASPFIPGSLGSFAHKAPEKQGAGLIISAGSSSKGEASGSSFDPSQHEENDIDELALANMVFSMFPNVSTDFIDELLKANDFDINVTVDMLHELNSQDMLYDDAEATNDLHNGQGVPGADYHNAEVSESSSKMSQDLQNEKSATSDVKSVLPKFSEINLLHND >Sspon.03G0001500-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:15219244:15222737:-1 gene:Sspon.03G0001500-3C transcript:Sspon.03G0001500-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:TPR repeat-containing protein ZIP4 [Source:Projected from Arabidopsis thaliana (AT5G48390) UniProtKB/Swiss-Prot;Acc:B0M1H3] MKISELSPEYRISQLSPEYRPPPPHAALLTDLNRIVADVEALDASDSSPLEKLAADLRCLLTNLASAASSSSSGLNGAFRLKVWNLAFRLWNACVDRANHNFPARAPEAAVAETEIRQAAPELLLVAGLPEGVPNATAKVASLFHRTGLVWLDLGRADLASACFEKATPLVCAADTEEDRGILLDLNLARARAASSQGKHALAVALLNRSKPLAAASSEGVKALAEAYLLLGKAAFATKSPDPAIDASTLLTEALDLCEKAAASPCCDTPTTPRSTPATPKLQVIKDQCLRFLAAERLEANDYEGTLHCTRVSRASPGLGKEHSSIAFMALRACLSSGKLVDAERELGRLMANEEAPEFLCVSAAELYLASAGLDAALKVLVALAARCRASAAAAAVKVLKTVVQGAGGGAGRARAIAELVSDERVVALFNGPANTHERDTMHALLWTCGSEHFHAKNCEIGADLIERSMLYVSRDEESRSRRAKCFRVLCLCHMALCHLDRAQEFITEAEKVEPNIHCAFLKFKILLHKKEDDEAIKLMKTMVDYVDFNPHFLTLSIHEAIICKSFRVAVASLTFLLGLYSAGKPMQMSEATVLRNLIALLLREPGSEAEILKYSRRAKLRMDELGVETFLGKGTVGLRELNWFAVSSWNMALKVVKEKKYDCSSEFFELAAEFFSSGDGEEDANRLLICKSLIMSVSCMLQAEELNKSPLSDSELKKGIEMLRKAGKLLPLTLPSAPATSDQLENNLPFLHTFNFYQLLNRLDTSAHPQQLQLVKSFAVSKACTPDHLLILGDMASKGAQPNLQVAEFLLKASISTALASRSPNYGVISAALRKLVCLSGLQDFSGSMSDAAYDVFQQAYQIVVGLRDGEYPFEEGRWLAITAWNKSYLPGRLGQHSVAKKWMKMGLDLARHFDRMKLYIPGMEECFEKFQKLSGKEPDECSQQDGEPSTSMSGTGSMSQPVLV >Sspon.05G0025540-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:31853409:31855703:-1 gene:Sspon.05G0025540-3D transcript:Sspon.05G0025540-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLGGDAEPQPQGAGRRPRFLCLHGFRTSAEIMRKQVLGKWPADVTARLDLVFADAPFPAEGKSDVDGIFDPPYYEWFQFDKSFMEYRNFDKCLAYIEELMIRDGPFDGLMGFSQGSILSAALPGLQEQGLALTRVPKIKYLIIIGGAKFQSRTVAEKAYANKVACPSLHFIGDNDFLKVHGEKLIESCVDPFVIRHPKGHTVPRLDDKSLVVMLRFLEKIEGEVLEYSSKDVNENEEVCL >Sspon.05G0023730-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:16789778:16792100:-1 gene:Sspon.05G0023730-2D transcript:Sspon.05G0023730-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSLEARPGVLLVGAPGVGKRTILSREISLSSFPYVWVSFSRFECETSSGWTIQTKYYSADLSIWTANLDEEFSLGSLPHVDQLAALVMVFDMNDESTLLTLQSWAANVDIQRFEVLLCIGNKADLVPGHSAHIEYRRRMQKLGESSSDPHPDYFDFGINESEGCSLLSEEEPCIEIRNSTAQWCIEQNIEYIEACASNADFDKCLSVDGDSQGLERLFGALSAHMWPGMILKSGNRITAPSLVEKEESTDDESNYDFEYEVLSHGSDDQWEFVGETRLERDERLESEVERRGGGEEREEGGGVVEVGARGKELGSQLLHLV >Sspon.01G0009510-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:28894897:28895358:1 gene:Sspon.01G0009510-2D transcript:Sspon.01G0009510-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASARHTLLLPLLLAAATTMAALAVCGGATASELMMPTIHHARVAAEWAWSAAGASSSSDDSCWGSPEECPVVYDVDAEGGAASPRGRMRLQLYDDVNTVASLLPTAQYLSYSALMPDAVPCSVPGMSYYNCQPGADANPYRRGCSAITQCRD >Sspon.01G0010830-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:32606408:32612447:-1 gene:Sspon.01G0010830-4D transcript:Sspon.01G0010830-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PTB1 [Source:Projected from Arabidopsis thaliana (AT3G01150) UniProtKB/TrEMBL;Acc:A0A384LJ51] MSSGGGGGQQFRYTQTPSKVLHLRNLPWECAEEELVELCKPFGRIVNTKSGVGANRNQAFVEFTDVNQAISMVSYFASSSEPAQIRGKTVYIQYSNRQEIINNKSPGETAGNVLLVTMEGVQASDVTIDVIHMVFSAFGYVHKIATFEKAAGFQALIQYTDAATASAARDALDGRSIPSYLLPEHVTSCCLRISFSAHQDLNIKFQSNRSRDYNNPYLPINYSAMDGTLQPAVGADGRKVEAQGNVLLASIENMQYAVTVDVLHTVNVNTAAVAKEALEGHCIYDGGYCKLHLSYSRHTDLNVKAHGDKSRDYTIPAGIIQGVLQPPGVQATSSGWQGNLQAAGAYAPPSAPAQSHNANGQVLNWNPGNYPPAPGTYPGQMYSSPSQYATSGGFPNTPSTAPPQYAASGSFPNTPTGAPPGSLPQQLHASQQMPPQHENQSRGTPGTSQPPPPASYYR >Sspon.04G0006400-3D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4D:19011148:19012854:-1 gene:Sspon.04G0006400-3D transcript:Sspon.04G0006400-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSCAGATMAFRDAAGADEEALTASLLSKTEVRLPVDDLPPVLTSKPPGRFARAVKEAWSVSLSVTFPMMPSMSAGAAGAEARSILGLALPMILTGLLLYLRSMISMLFLGRLGGLALAGGSLAIGFANITGYSVLSGLAMGMEPICGQAFGAGHYELLGVTTQRAVLMLLAAAVPIGGLWLHIRPLLLLCGQDAGIAAVAETYILASLPDLLLQAFLHPVRIYLRTQSINLPLTLCAALAIALHLPINYVLVSVLGLGISGVALASVLANLNLLLFLLAYILLKGVHKRTGGFALSAESFRGWGELVSLALPSCVSVCLEWWWYEIMILLCGLLANPQATVASMGILIQTTSLIYIFPSSLGFGVSTRVSNELGANRPDHAGRAATVGLMLGFAFGGVASAFAYLVRGAWATMFTADPAIVALTASVLPILGACELGNCPQTTGCGVLRGSARPKDAASINLRSFYLVGTPVALVLAFWYHYDFQGLWLGLLAAQATCVVRMLLVIGRTDWAAEAKRAQQLTGAGTEGETKESSGKGSSVVEVAAAGEDEELGLAIGVVIERPEDQR >Sspon.02G0015490-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:42300680:42308417:1 gene:Sspon.02G0015490-1A transcript:Sspon.02G0015490-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative potassium transporter 12 [Source:Projected from Arabidopsis thaliana (AT1G60160) UniProtKB/Swiss-Prot;Acc:O80739] MDDGGIQEEPPSARFLTPTRSGGTRWVDGSEVDSSESAPSWSLEDERSAGAVSSNGGAAAASRVSSGAFRRRLGKRPRRVDSLDVESMNVRGAHGHSAKDGTIGSALRPTIRRFFIRVWNEETCAFQILIFYSRIKIPMTENSQLHFAPGNIDVEHPRNGLSNPWCGVRRHGDKPSLCLHKVPIKSEVEILGALSLVMYTIALIPFAKYVFIVLKANDNGEGGTFALYAKVSMLPNQQRVDEDISSFRLKLPTPELERAISVKDCLEKKPLFKNILLFLVLMGTSMVIGDGILTPSMSVMSAVSGLQGQVPGFDTNAVVIVSIVVLLLLFSVQRFGTGKVGFMFAPILALWFINLGSIGIYNIVKYDISVVRAFNPVYIYLFFETNGIKAWSALGGCVLCITGAEAMFADLGHFSVKSIQVAFTAVVFPCLLIAYMGQAAFLMKNPLVVERIFYDSVPGVLFWPVFVIATLAAMIASQAMISATFSCIKQAMALGCFPRIKIIHTSKKVMGQIYIPVMNWFLMVMCIIIVATFRSTNDIANAYGIAEVGVMMVSTALVTLVMLLIWQTNLFLVLCFPILFGAVEFVYLTAVLSKIQEGGWLPLAFSSLFLCIMYTWNYGSVLKYQSEMRGKISLDFILDLGATLGTVRVPGIGLVYNELVQGIPSIFGQLLVTLPAMHSTIVFVCIKYVPVPYVALEERFLFRRVGQKDYHMFRCVARYGYKDIRKEDHGFFEQLLVESLEKFLRREAQEIALEASTMEAERDDISVVSEVPQSPACEGDLQTPLLSDQRSGDNNRMVTTDGSDPVLPSSSMSAEEDPGLEYELAALREAMASGFTYLLAHGDVRARKESLFTKKFVINYFYAFLRRNCRAGTATLKVPHSNIMRVGMTYMLSKSVKWRLGRDNNACITTDKLDVIRSYKGSTGGIVLCRAYGSVNLETKSPWIQCPTRQPSLALEDKIN >Sspon.08G0016600-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:60798545:60803406:-1 gene:Sspon.08G0016600-2B transcript:Sspon.08G0016600-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRVKDVARRSTKKYVEEALYRRLFRKGSTPQAVREEVDGFLDSRKRAFKWEVGVCVRRMRRNALYRPALKVQPFLCLSEVMARRGMNPTVSDQAIRLDLVAKSRGIAAAEKYFLDLPETSKTHLTYGALLNSYCKELMTEKAESLMEKMKELNFAFTAMSFNSLMTMYTKVNQPEKVPSIIQDMKADDVLPDVFTYNVWMRALAALKDIPGVERVIEEMKRDGRVAPDWTTYSNLASIYVVAGLFEKAEAALKELEKRNTSNDVEAYQFLITLYGRTQNLVEVHRVWRSLKRNNPRMANMSYLNMIQVLANLKDLPAAEACFKEWEAQRIHPPKTNAKDPTEDKTSTADPESSSNLPNNQSGKAAQDLQPKHPKYDIRVANAMIKAYITEGMLDKAVAVKKRAKMHGGRLNAKTWEIFMEHYLGTGDLKMAHWCADRAMKKGHSSGRIWVPPRAVTDTLMSYFEENKDVDGAEQYVEALKKVQKDLGAPVFEPLVRTYAAAGKKFPGMRQRLKIENVEVGEETAQLLDSICTDQ >Sspon.08G0017200-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:354028:359965:1 gene:Sspon.08G0017200-2C transcript:Sspon.08G0017200-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGLSEGEKHFIRGGIAQDLRTDGRRRLQFRALSVQTGVIPQANGSARVRLGATEIIASVKAELGKPSILHPDKGKVSIFVDCSPTAEPMFEGRGSEELSAELSVSLQRCLLGGKSGAGAAIDLSALIVVEGKVCWDLYIDGLVVSSDGNLLDALAAAIKVALSDTGIPKVNVSVSAASDEEPEVDVSDEEFLQFDTSSVPVIITLTKVGRHYIVDATSEEESQMSSAVSVSVNRHGQICGLTKRGGAGLDPSVIFDMISVAKHVSQQFISLLDSEIAAAEAEEAE >Sspon.05G0009640-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:30313055:30314239:-1 gene:Sspon.05G0009640-4D transcript:Sspon.05G0009640-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASPALLSLTPAVSRHPHLLFSPCPPPRHVRLAPLAATPSSPGGTGPGGPGVFLSPRALSQLDELAAFRYEHAFPHGLLTVRALRRGPDDDAVAEALVRLLASSFSETVRWAPAQRYAQLLTFVIRRYLHDRRGLAPHSAVLVGFYRPADADDDGAAKEGDRDDEGGEGEGEDEGEMACTAEVSFDAVGAPGAPPTPTPPLDFPYICNMTVKTPLRRRGIGKQLLKACEDLIFKMNARRRVYLHCRIIDQVPFNMYRKAGYIIVQTDSILVWLSLQKRKYLMSKELPQASVVSETSTKNFDDNI >Sspon.07G0008260-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:20823519:20852390:1 gene:Sspon.07G0008260-2B transcript:Sspon.07G0008260-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSVSGATICLQKPGSKGRRARDATSFARRSVAAPRSPHAAKASVIRSDAGAGRGQHCSPLRAVVDAAPIATKKRVFYFGKGKSEGDKSMKELLGGKGANLAEMSSIGLSVPPGFTVSTEACKQYQDAGTILPAGLWAEILDGLQFVEEYMGATLGDPQRPLLLSVRSGAAVSMPGMMDTVLNLGLNDEVAAGLAAKSGERFAYDSFRRFLDMFGNVVMDIPRSLFEEKLEHMKESKGVKNDTDLTAADLKELVGQYKEVYLTAKGEPFPSDPKKQLELAVRAVFNSWESPRAKKYRSINQITGLVGTAVNVQSMVFGNMGNTSGTGVLFTRNPNTGEKKLYGEFLINAQGEDVVAGIRTPEDLDAMKDVMPQAYEELVENCNILESHYKEMQDIEFTVQENRLWMLQCRTGKRTGAGAVKIAVDMVSEGLVERRQAIKMVEPGHLDQLLHPQFENPAAYKDQVIATGLPASPGAAVGQIVFTAEDAEAWHAQGKAAILVRAETSPEDVGGMHAAAGILTERGGMTSHAAVVARGWGKCCVSGCSAIRVNDAEKTVAIGDHVLSEGEWISLNGSTGEVILGKQPLSPPALSGDLGTFMSWVDEVRKLKVLANADTPEDALAARNNGAQGIGLCRTEHMFFASDERIKAVRQMIMAPTVELRQQALDRLLPYQRSDFEGIFRAMDGLSVTIRLLDPPLHEFLPEGNVEEIVRELCAETGANEEEALERVEKLAEVNPMLGFRGCRLGISYPELTEMQARAIFEAAIAMSNQGVEVFPEIMVPLVGTPQACIFFIFIAEQAEFFSFGTNDLTQMTFGYSRDDVGKFIPIYLAQGILQHDPFEVLDQRGVGELVKFATERGRKTRPNLKVGICGEHGGEPSSVAFFAKAGLDYVSCSPFRVFYFGKGKSEGDKSMKELLGGKGANLAEMSSIGLSVPPGFTVSTEACKQYQDAGSILPAGLWAEILDGLQFVEEYMGATLGDPQRPLLLSVRSGAAVSMPGMMDTVLNLGLNDEVAAGLAAKSGERFAYDSFRRFLDMFGNVVNPKKQLELAVRAVFNSWESPRAKKYRSINQITGLVGTAVNVQSMVFGNMGNTSGTGVLFTRNPNTGEKKLYGEFLINAQGEDVVAGIRTPEDLDAMKDVMPQAYEELVENCNILESHYKEMQDIEFTVQENRLWMLQCRTGKRTGAGAVKIAVDMVSEGLVERRQAIKMVEPGHLDQLLHPQFENPAAYKDQVIATGLPASPGAAVGQIVFTAEDAEAWHAQGKAAILVRAETSPEDVGGMHAAAGILTERGGMTSHAAVVARGWGKCCVSGCSAIRVNDAEKTVAIGDHVLSEGEWISLNGSTGEVILGKQPLSPPALSGDLGTFMSWVDEVRKLKVLANADTPEDALAARNNGAQGIGLCRTEHMFFASDERIKAVRQMIMAPTVELRQQALDRLLPYQRSDFEGIFRAMDGLSVTIRLLDPPLHEFLPEGNVEEIVRELCAETGANEEEALERVEKLAEVNPMLGFRGCRLGISYPELTEMQARAIFEAAIAMSNQGVEVFPEIMVPLVGTPQACIFFIFIAEQAEFFSFGTNDLTQMTFGYSRDDVGKFIPIYLAQGILQHDPFEVLDQRGVGELVKFATERGRKTRPNLKVGICGEHGGEPSSVAFFAKAGLDYVSCSPFRVPIARLAAAQVLV >Sspon.03G0001700-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:4248385:4252963:-1 gene:Sspon.03G0001700-1A transcript:Sspon.03G0001700-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAVGLKRSATQTITLPPPETRLAVRDVMRSTIPSQPAEAPAASERPAPAAALQGFLCLEEVDGRRWSYVVDGGAAKGRGGGGAAVPAGASVRAVPLQSPLPPAEEIMAFIRSYVVPEGFPDSVTPSYVPYMTWRALKSAFTALLWWSDGVFTTRTLLSSVGVSQSKVTPGAIAINWILKDGAGRVGKMLFARQGKKFDYDLKQLRYTSDLLLEIGAGIELTTAAFPQFFLPMACVANVVKNVAAVTSTSTRTPIYKAYARGENIGDVTAKGESVGNIADLLGTGLSIFISKRNPSLVTSFAFLSCGYLLSSYQEVRSVVLNTLNRARFTVAVDSFIKTGHIPSLKEGNSQETIFNPPWRHEPVAIGSRFGEAFQEPASFLAIRPLFEDERYMVTYNPTKDKVYALLKDQAKSDDIIKAAFHAHVLLHFINASHARRLKQKQKQANPDRSEHLYSRNMDFLAHIAESCKIVSSSYGTFKKKAREQGWIMSESLLNPGKARLCAAKPQ >Sspon.05G0020020-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:85627890:85631378:1 gene:Sspon.05G0020020-1A transcript:Sspon.05G0020020-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGYPPTGSGYPYGSGGGYGAPPPYGSTPAPSAPPYGETKPPKEGKTSSSSSSGSAAPYYGAAPPHSQPPYGGGGGYGAPPPQQYGAPPPQQYGAPPPQQYGAPPPYGAPPAPYGGGGGPAGYGGSPFASLVPSAFPPGTDPNVVACFQAADRDGSGMIDDKELQSALSGYNQSFSLRTVHLLMYLFTNTNVRKIGPKEFTSVFYSLQNWRAIFERFDRDRSGRIDTSELRDALLSLGYSVSPTVLDLLVSKFDKTGGKSKAIEYDNFIECCLTVKGLTEKFKEKDTAYSGSATFTYEAFMLTVLPFLIA >Sspon.07G0008180-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:19082754:19103646:-1 gene:Sspon.07G0008180-3D transcript:Sspon.07G0008180-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGAALQSTAVAIVSDRVGGGGRSGVAGSSGGFENMEGTTSEMLETVLSNKVLDRETPVGSEDVLSSPTCTQPGLQNDQSKSLQNDQSKSVVTFAASVEACIGAKQESVKLANSPMHDTKSAYSSSCPTGRISFKLYDWNPAEFPRRLRHQIFEWLASMPVELEGYIRPGCTILTVFIAMPQHMWDKLSDDAADLLRNLVNSPNSLLLGKGAFFIHVNNMLFQVLKDGATLMSTRLDVQAPRIDYVHPTWFEAGKPVDLILYGSSLDQPNFRSLLSFDGDYLKHDCYRLTSHDTFDHVENGDLIPDSQHEIFRIKITQSRPNIHGPAFVEVENIFGLSNFVPILFGSKQLCSELERIQDALCGSYSKNNVLGELLSASSDPHERRKLHSSVMSGFLIDIGWLIRNPTPDEFKNVLSSTNIQRWICILKFLIQNDFINVLEIIVKSMDSIMGSEVLSNLERGRLEDHVTAFLGYVSHARNIVDRRGNHDEKTQIETGGISVNSPNQPSLGALLPLASENTYIGDDNKLNSADEEETMPLVTRDVSHRQCCQPDMTARWLKPSLIVTYPGGATRMRLVMTVVVAAVLCFTACLVLFHPHGVGVLAAPVKRYLSSDSAS >Sspon.08G0022340-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:49977103:49978119:1 gene:Sspon.08G0022340-2C transcript:Sspon.08G0022340-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTAARALVALLLVAVAVADDACNLFSVSVFFLLMRLVLHLPGATLVEIKKSFRNVGNVLYDWAGDDYCSWRGVLCDNVTFAVAAL >Sspon.06G0018290-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:98528783:98533220:1 gene:Sspon.06G0018290-1A transcript:Sspon.06G0018290-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAEQALVSKRRSDDICSEGRLEEPRLRLQPSGGDGWTPRFSQTPLTPLGAYLPSDRSRESSLPLLAFARETYVYNARALHLTTSRRVRACKVGSTSPEGEGANSGHGDAVAAAWCWWKASAWSGFRWGRVHLARCLCPETPEQCVQGSGRKVFAEMPSQREPSFRLPAPQPAASDPLTALPLITMATTPEEFFGKGLMEQPPPSPPVFTDDLPQEPNGSSEGQHHVPNDMMLSYVSHVLLDDDIDEQLDNHPALLQVQQPFAQILSSPSSGTNTGSREGSGDFLHEGNVDESELNSALSKGTDAVGAFLKGMEEANGLLPKDNFRRDELVNQTVRENSSHSGVKKRHSRDDHLQVEIRISKAMTVIKEPEDDSANEMLDEIMLYGYKVCIRDMDNLRVTCDNNEVEKKSRNSGSKETRDNNVVDIRRLMISCAQEVALVGTGSLLWELLMAERPSVVEFIKACNLYYEACCFNKVALAFSEMTVMQAMVGKSRLHIVDYGMQFGYQWVGLLRSLASREGVLPEVKITAIGLPKPKSYPTEKIEEIGCRLGRCAHEFGLPSFKFHTIKTNWEDACIEDLTHSGRFSTLMDESISFDGLSPRDIVLNNISKMRPHVLIQSVYNCSYGSSFLSRFREMLFYYMALFDIFDATIPRESRSRMVLEQIVLGRSAVNALSCEGVDLVERPERYRQWQTRNQRAGLRQLPLKSSIVEVVKDMVMKHHHKDFLISQDGQWLLQGWRGRTHFAHSTWRLQNLETTTVFQQTLHWIQKSQLFNIALMDAGPWMDRHSRYCKPNQRAL >Sspon.06G0026250-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:75474433:75480625:1 gene:Sspon.06G0026250-1P transcript:Sspon.06G0026250-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCSVVGSGDANSASAIVATAVKGSHVLRIDGYSRTKGLGNGKFIKSEPFDIGGHPWCISYYPDGETKESAGWIEFYLHLNHNYATGAEASYMFSLLDDAGEPVPSYCCSSDGATHTFKNPDKGWGFRKFIQKKALEESNYLKDDCFRVRCDVIVSKEIRTEGTVSKEIHKEGTSHFVSVPPSDMNQHIGRLLSSGVEADVKFHVGDETFAAHRLVLGARSSVFMAELLGPMKERNSRVVRVDDMEPGVFRAMLFLEADVTFQVGEETFTSHRLVLGARSLVFLAELLGLMKERNSRVVRIDDMEPRVFRAMLHFIYTDDFPKLDKGDTIAMAQHLLVAADRYDLQRLKLMCEHKLCGCISTSTATTTLVLAEQHGCKCLKEACFKFHKSPCNLKKIMYSDEFQHLTSSCPCLCGISSLKIETTQTGHSVVGSKEKILVPCYVDANNQSRDAMN >Sspon.04G0010470-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:27368034:27371510:-1 gene:Sspon.04G0010470-2B transcript:Sspon.04G0010470-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGAVPLAYQSSSSSPEWLNKGDNAWQMTSATLVGLQSMPGLVILYGSIVKKKWAINSAFMALYAFAAVWICWVVWAYNMSFGDRLLPFWGKARPALGQSFLVAQSQLTATAVLYHRDDGTTAEAEMLRPLYPAATMVYFQCMFASITVIILAGSLLARMNIKAWMAFVPLWITFSYTVCAFSLWGGGFLFQWGVIDYSGGYVIHLSSGIAGLTAAYWVGPRSASDRERFPPNNILLVLAGAGLLWLGWTGFNGGDPYSANIDSSMAVLNTHICASTSLLMWTLLDVFFFGKPSVIGAVQGMITGLVCITPGAGLVQGWAAIVMGILSGSIPWYTMMVLHKKWSFMQRIDDTLGVFHTHAVAGFLGGTTTGLFAEPVLCNLFLSIPDSRGAFYGGDGGSQFGKQIAGALFVIAWNIVITSIICVLIGLVLPLRMSDAQLLIGDDAVHGEEAYAIWAEGELNDVTRHDESRHSGIAVGVTQNTRRLAPNASGSAPPSEGRNRSGANASGSAHTAASWG >Sspon.02G0039180-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2B:49168391:49170850:1 gene:Sspon.02G0039180-1B transcript:Sspon.02G0039180-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKRLLQQFADNGAQSKNARPRPRQERPAGTGSARAPQDDAAAGGTLWALPPLRRLHQAEAEQGEVLKFFPYPMNITTVQFAVGTVVAFIMWVTCILQRPKVSPAQFLATWFVVSLAPTTVTLQSSNVPSKKLMVKTKVPYISIGGNAEGSLRKDREEG >Sspon.06G0034420-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:45068922:45073824:1 gene:Sspon.06G0034420-1D transcript:Sspon.06G0034420-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVPATNTVLSTGLRSGPPPRGHIGLLSEPSAPQAPALGAPLASHRSPPLRDPLTRSAQPRIPNDDARMKGMSPSPILHAVPDHFCLYFPAGGGGSAFVSLPENDVMLRIIYESVIGDIDLIPGLRRSSTGALAWPDHSNVAWLEDCLSVTEIKCLSMIHPGLGFQGFLNLDPHRASACPQQKNGFQGFLRLTLFKYNSDFDQSLQSGFGLSCLLRTIGLRRRSLRGCDQFRVHSFQPGIPMKVQYPLPIP >Sspon.05G0036670-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5C:89348032:89348845:1 gene:Sspon.05G0036670-1C transcript:Sspon.05G0036670-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGRVRSVAEQCAARVRSVRPAHPVDRGTGASGQAPRGTANRKVDWTWCASGHDRPDASGHAWVLTRLKPDAGCNASGCHLLDGLVACGSVEARKKIVRGSGEAL >Sspon.03G0033850-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3B:62615403:62615819:-1 gene:Sspon.03G0033850-1B transcript:Sspon.03G0033850-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYHQCSTAALPQQQRAAAVQAPAEEQHQGPDRCRVQPKKGAQPKQQMQALSRGALGAKTHTNGQRCTMRTGRVGDICADDPRGRCEDGLRTLCVQQTDRICAALMKWTCWNKARTTTDVVLAIATDERWPPVWLNRG >Sspon.07G0030420-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:6988162:6988890:1 gene:Sspon.07G0030420-1C transcript:Sspon.07G0030420-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DVAAAAAAAGGDSRGGVLRGRGQGADGAEDDALHPGGRAGGVRPPEWRAGVPRRDVRPRRGVRGRRRQGGPRAAGRPGRARPHGAPPPPQPAQPLGGLPRRRRRARPEARLLRAPLLHPRRRHGRRRRPRRPPRPRRRRRGVPRRRVIPAPLLPRRRLRQGLGCGRRQGGGGGGGRGGGGGEAEGGRGRARHDGPGRLRALGARGVRRRLRHGDRARARPDHRGRRRRRPGRTPPRGSHLAGV >Sspon.04G0028640-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:71973880:71977543:1 gene:Sspon.04G0028640-3D transcript:Sspon.04G0028640-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLRCTTAHHSLLGSPTCLARPRRRACPVVRAAVAVEAGAEAKVSLIRIGTRGSPLALAQAHETRDKLKAAHSELAEEGAIEIVIIKTTGDMILDKPLADIGGKGLFTKEIDDALLQGRIDIAVHSMKDVPTYLPEGTILPCNLPREDVRDAFICLTANSLAELPAGSVVGSASLRRQSQILYRYPSLKVVNFRGNVQTRLRKLKEGDVSATLLALAGLRRLNMAENATAVLSVEEMLPAVAQGAIGIACRSNDDKMMEYLSSLNHEDTRLAVACEREFLAVLDGNCRTPIAAYAYRDKDGNCSFRGLLASPDGSKVFETTRSGPYSFDDMVELGKDAGHELKAKAGPGFFDSLQ >Sspon.03G0030390-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:20676921:20679429:1 gene:Sspon.03G0030390-1B transcript:Sspon.03G0030390-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLFVPGAAARKIWTGCALAETRAYMRAEGVFFRNSHQESTMDANWTYLLATWNEWEIRMLVLTSLALQVFLLFSAGIRKRNVSAVLSLLLWLAYLLADSIAIYALGYLSQTRVPKGVDVDPQSFERTHSIQAFWAPFLLLHLGGQDTITAFSTEDNELWKRHLLSLLTQVRDRPCRMRACFQIFSAVRPCPRQTQTNVASIRLLARTQVALAVYVFTKSHPGTNVLAPAVFMFLSGIVKYAERTWALKCASMDNLRSSMVTTPDPGPNYAKFMEEYRFTREAGLEAEIVIEQERRAEAAAAVTVAVAEESVPYTTVITEASHFFVIFKRLFVNLILSFQERTRSQGTFLRLTPEQAYKIIEIELSLMYDTLHSKAAVIHTWYGRLFRCLTLLSTSTACILFNVLDKGTHKSYNHIDICITNILFGGALCLEVYAIGMMLVSYWTYAALQDCNCRSLGSLVFRSIQYFRPESRAKWSNLMAQHNLVSFCLLDKPTMLTKVLSVLGLKAHWDSWLYIRHIDVSPELKVLVFRELKDKTVSIVDAESYRKFSNHRGQWALQCKGYYKELGWSVEVEFDESILLWHIATDLCFHSEDGEDDDNAAKISHYVDISRAISNYMLFLLVARPFMLTAGIGQIRFGDTCAEAKNFFERAEMARPDARAAARMVLDVNAEIAPRDVKGDRSKSVLFDACRLAKSLLELQPHKRWRVIRVVWVEMLCYAANKCRSNFHAKQLSAGGELLTVVWFLMAHFGVGEQYRIEAGHARAKLIVEKN >Sspon.05G0007440-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:23165312:23167909:-1 gene:Sspon.05G0007440-1A transcript:Sspon.05G0007440-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALQVTGGVGCCCPRPLPGSGGRRLAQAAALCRPPRAVASGATTVVEEDEGKVRLGGSSVAVTKLGIGAWSWGDTTYWNDSEWDDRRLREAQDAFDASIDNGMTFFDTAEVYGTALMGAVNSESLLGGFIKDRQQKEQVEVAVATKFAALPWRFGRGSVLSALKKSLDRLGLSSVELYQLHWPGIWGNEGYLDGLADAYEQGLVKAVGVSNYNGLYHSLRDAHARLKKRGVPLAANQVNYSLIYRTPELNGVKAACDELGITLIAYSPIAQGVLTGKYTPEKPPTGPRANTYTPEFLTKLQPLMSRIKEIGASYGKSPTQVSLNWLTCQGNVVPIPGAKNASQAKEFAGALGWSLTGDEVEELRTLAREIKGIKMPIEES >Sspon.01G0009360-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:28369427:28370212:-1 gene:Sspon.01G0009360-3D transcript:Sspon.01G0009360-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTKRPCILLLAVAVATAACPTASAQTRLGKLVVTGVVPCNTGTLIDAATSPAFPDASVELRCGGNVVAGGTTSRNGSFAIEADLTNALAALVGSCQLLVDTPLAKCNASLPAAGALASYLQGSLAGMLGGVFRLAPAGFSFRMN >Sspon.06G0021920-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6B:21183097:21183309:-1 gene:Sspon.06G0021920-1B transcript:Sspon.06G0021920-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGSLLEELKFLVRVNFLEFECSFLSRVGNRAARALAALRYVCVEGEELITRSILEDIHVIVSDDLSNQ >Sspon.04G0000180-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:2080849:2084384:1 gene:Sspon.04G0000180-1A transcript:Sspon.04G0000180-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding IAESRSRSVSSIRSPRSVEYHHLRPSVLATSSGAASALCRPGVPATVAAVLLRHSPPWPATSTARTPAALNYITDGSRVLSKSTTYAKALLGYAVFGSSALLLVATQLSASVPNLPGGGCIYTVAESQWIKIQLQNPQPQGTGEQKNIRELADLDIDGKHFFCETRDVTRPFPSPMTLREPDEEFVWNEWLSKPFKDIGLPGHCVILLQGFAECRNFGGAGQQGGLVALIARRSRLHPGTRYLARGLNACSGTGNEVECEQLVWVPRKGGQRIPFSSYIWRRGTIPIWWGAEIKNAVSVEAEIYVANDPYNGSLQYYQRLGRRYGNKSSEANVSRQKKSGRVPVICVNLLRYGEGKTESVLVDHFKESIRYMNSTGKLGSTWIQLINYDWHATVKLKGQQQTVEGLWRHLKAPTMVIGFSEGNYYDVRQQLSECKGSVICNDDVNGGFCMESIQNGVIRFNCADSLDRTNAASYFGALQVFVEQCSRLNISLDIDAMFGLSSRYSEYDGRNTRSLPPGWEERFDSVTGKSFYIDHNTRTTTWEHPCQEAPQKPWKRFDMTFDQFKGSTMLAPVNHLAELFLLAGDIHATLYTGSKAMHSEILNIFKEETGKFSKFSAVQNVRITVQRRYQNFVNDSSRQKQLEMFLGLRLYKHLPSIPMFPLKVLSRPSGCMLKPVPSITPMTDGGSSLLSFKRKDLIWVCQQGADYVELFIYLGEPCHVCQLLLTVSHGAEDSSYPATVDVRVGSSIDSLKLVVEGACIPQCSNGTNLLIPVTGRIDPEDLAVTGKSARPNVQESSYLPLLYDFEELEGELNFLNRVVALSFHPS >Sspon.03G0038860-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:3842361:3850404:-1 gene:Sspon.03G0038860-2D transcript:Sspon.03G0038860-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding EMGMRDKKRNQKRVLARRTAAPRAGEGKDFQPLEGGPGKKLRKVQQPEEPENTATVVYIGHIPHGFYEDEMPGFFKQFGDIKRLRIARNRQTGKSKHYGFIEFESPVVRTLFQISLQHRWKGVRRGFIPIDRVAIERKRHNQDKTVEEHKKMVEGIVKRDEKRRKRIKAAGIDYECPAQIGSIQPSAKKIKFDKKKMKLLPQKRFRQMVRENIMTMTGWNVENGDKKASSNQSLTQPEGNQSFINHDQN >Sspon.07G0004110-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:9386285:9391581:-1 gene:Sspon.07G0004110-2D transcript:Sspon.07G0004110-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHFGNAMPRSPSTNRNGEGWRVAPSIAWHAAGLELWTYTVHDTWKQEMSCKLPVSWPRSQAHCPVEGNGKIENGVSSSQNPENLEHPVLLSTSQPVPNNLGIRKNYKRAANRGKKGSQGLTGQAYTLRSSDNDVRVLRSTSSSKTTPTEHVQTPVQPAAKRRKRSRASNKCSTDEFSQIRKRIRYILNRMNYEQSLIEAYASEGWKNQSLDKIRPEKELERAKSEILRCKLRIREVFQNIDSLLSKGKIDESLFDSEGEISCEDGSDLSIEDSWEKVFPEAAAMANGSKQDDAFDLPSDDSDDNDFDPNMPEEHVVSKEEGSSEDEEDEDGGSDSDDSDFLTCSDDSEPLMDKKKVDDLGLPSEDSEDDDYDPAGPDSDKDVEKKSSSDESDFSSDSDDFCKEIAKSGGHDEVSSPPLPDAKVSDMEKSTAQAKTASSADDPMETEIDQSVVLPVSRRRQAERLDYKKLYDEAYGEASSDSSDDEEWSGKNTPIKSNEEGEADSPAGKGSSVVHHNNELTTQSTKKSLHSLHGSVDEKHGDLTSNGSNSTARKGHFGPVVNQKLYEHFKTQQYPSRSVKESLAEELGKGISPDKHSPENTNSPVTPSMLPKEPEGTVMEESNVSINGDATISKKAVSSKVGSRKKHGKNSPGSDVGGSKVDSAEDQNPGPDLAAEKARQKAVQQELKKKKMGR >Sspon.05G0012370-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:31959148:31965153:1 gene:Sspon.05G0012370-1T transcript:Sspon.05G0012370-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SRIVPATLHRSRSGQSRVRARATMLNNMPTRTLTLGGFQGLRQTNFLDTRSVIKRDFVSTVASQMSQPRGSASRGVVRVMFERYTEKAIKVIMLAQEEARRLGHDFVGTEQMLLGLIGEGTGIAAKVLKSVGINLRDARVEVEKIIGRGSGSSMPLNIPFTPRAKRVLELTAEEARQLGHNYIGSEHLLLGLLREGQGVAARVIRMVGESTEAVGAGVGGGSSGQKMPTLEEYGTNLTKLAEEGKLDPVVGRQDQIERVTQILGRRTKNNPCLIGEPGVGKTAVAEGLAQRITNGDVPETIEGKKVITLDMGLLVAGTKYRGEFEERLKKLMEEIKQNEDIILFIDEVHTLIGAGAAEGAIDAANILKPALARGELQCIGATTLDEYRKHIEKDPALERRFQPVKVPEPTVDETIQILRGLCERYELHHKLRYTDEALVAAAQLSYQYISDRFLPDKAIDLIDEAGSRVRLRHAQLPDEAKELDKELRQITMQKNEAVRGQDFEKAGELRDREMELKAQITAIIDKSKEMIKAETESGEVGPLVTEADIQHIVSSWTGIPVEKVSSDESDRLLKMEETLHTRIIGQDEAVKAISRAIRRARVGLKNPNRPIASFIFSGPTGVGKSELAKALAAYYFGSEEAMIRLDMSEFMERHTVSKLIGSPPGYVGYTEGGQLTEAIRRRPYTVVLFDEIEKAHPDVFNMMLQILEDGRLTDSKGRTVDFKNTLLIMTSNVGSSVIEKGGHKIGFDLDYDEKDTSYNRIKSLVTEELKQYFRPEFLNRLDEMIVFRQLTKLEVKEIADIMLKEVFDRLKVKGIDLQVTERFRDRVVDEGYNPSYGARPLRRAIMRLLEDSLAEKMLAGEVKEGDSAIVDVDSEGKVVVLNGGSGVAEPLEPALSVGEPELLGL >Sspon.02G0035260-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:18071881:18073815:1 gene:Sspon.02G0035260-1B transcript:Sspon.02G0035260-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKENLALLSLVLLLVASPFVAAAWLSDSDVEIVAWSESGVGCGGSGRSYGANSTYEANLRHLAAVLPAQTSASASLEWTVPSGVQASATCWSGDDGDCATCIAEAFKEVERVCPFRREATFFGRETRDCYLHLAEFRVFSIHVFGKFCDHILRLISFVSLRDTITACEKKKCFPSTPHETVPMPKASHPSSIWRSIIERLGHKFDDT >Sspon.04G0019510-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4A:69042206:69042763:-1 gene:Sspon.04G0019510-1A transcript:Sspon.04G0019510-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLAVLRPDPHLNAHSSIALHYIASSIAHLRERKRKFSNQMKRNAETKPTILFLSCLHHPDPDPVSVRLVAYSSLVILHDLISRRHYNNAYFARVGGVDVAKMNALELHLLFALRFRLNVDPDTFARYCTALECHIVMADPAVPLPLMPSLASDDDETMTKEKKGAAAADDDVVVVHCHHHRREV >Sspon.03G0013960-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:54416054:54417828:-1 gene:Sspon.03G0013960-2B transcript:Sspon.03G0013960-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MREGTPGGGKGKNKGLGKVAIVAIVLSDVVGILIIALVFFYCYWRAVSTKDRSKGHGAAAGSKGSRCGKDCGCFSRDESETPSEHAEQYDLVALDQHVRFDLDELLKASAFVLGKSGIGIVYKVVLEDGLTMAVRRLGEGGLQRFKEFQTEVEAIGKVRHPNIVTLRAYYWSFDEKLLIYDYIPNGSLSAAIHGKPGMLTFIPLPWEARVKIMKGVAKGMYFLHEFSPKKYVHGDLRPNNVLLGTNMEPFISDFGLGRLANIAGASPFVQSDRAGLEKEQSQQSDASVSPLTSKGLCYQAPEALKTLKPSQKWDIYSYGVVLLEMITGRSPSVLLETMQMDLVQWVQFCIEDKKPSADVLDPFLAQDSEREDEMIAVLKVALACVQANPERRPSMRHVAETLECLNGSS >Sspon.03G0022270-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:90775715:90776182:-1 gene:Sspon.03G0022270-2B transcript:Sspon.03G0022270-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGGYSPPFQRQAFCSCAPSISRRGFVRAGFDLDGDDDYYDDDDVVFHSSSSSSSKVDGGPGAPYYSAGAPRPSARARLRALWRRIMREKKRILLCSTGCVPAHAPAPHREPYDAYSYAQNFDDGETWVEPDNLSRSFSARFAVPSRVFQRVAV >Sspon.01G0008290-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:23133610:23137655:1 gene:Sspon.01G0008290-1A transcript:Sspon.01G0008290-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMLPAAERPRLTLEDYIVFFTTRSGGGLNVHHLNDIIYMHGFAKLHRAPKVHLSPFHPVDVLSSIDWVSDHWRLLRSPRRQPAMVDALRSVELMRPRRSTVPLNATAPPPGTATAAAAVLSAEEVTRGIEELGWRECPVGSILSVRAGMRSPAAAAAESPMPICAIAPGSAERISPPILILFMHGFAELHHGKKAKIMERLVGQVDLLPPRRSTLHRAALSVATPPSAAVITAAQARDDVEAIGWAECPIGCVAAFAAPSADAPEPVERVPRPADFVLAGRRPRSKRTRGSAYGPRDVAAASMKSKAGAGAPRKQ >Sspon.04G0002550-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:8857827:8858768:-1 gene:Sspon.04G0002550-2C transcript:Sspon.04G0002550-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKRSAQPPPPPPPAASSEETVASGSGSEEEEEIAHSTPPAAPKRTAPPPQKDQESEASDEDEDGEEEDDHVIPSSATKNPPPPPPTREESETSDEEEEEEEEAGDELPQTKPAPNQEMGAKGAKRPSAPFQRTWSTGDEFRILEALAAHRLEHGTLPQTDVLANALAGKLDNSGCSLSELQRKVKSLQSRYTKAVKKGAPPSKDHDRRLFDLFKNVWPSVTKAPTKAAANSGAGREPDEMCELYPYLAEEVKALQKAHPGLFKREFAMIDDSKARALDEKIKKQRHLQMRLHLRRHDLTKEVTKTLMDLAE >Sspon.01G0007160-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1C:17935720:17936070:1 gene:Sspon.01G0007160-2C transcript:Sspon.01G0007160-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSGGEASGKRQQFGSMEEFWGFYLSQHSKPGTRWWHFLGTLASLACAVLAAATGRAAILLAAPVLGYGMAWYSHFFVEGNRPATFGHPVWSFICDYRMFVLILTGRIDAELARFR >Sspon.03G0024640-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:98343272:98345147:1 gene:Sspon.03G0024640-1P transcript:Sspon.03G0024640-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTSALLALLLLVALLSLLLFFSAGGGRNNTSPSHGDGQSLPPSSRRPALALLGHLPLLGSQHHGHRRLSSARKRADDGQRLLPPSPPGLPLLGHLHLLGILPHRSLRSLAAAHGPVMLLRLGQVPTVVASSAAAAEEAMKTRDLAFSGRPRLQMAERLLYGRDVGFAPYGEYWRQARRVCAVHLLSPRRTASFRRAREQEVAALVARVRASDDGAGAVNLSDALICYSKAIISRAAFGDGDYGLHGDEGGEKLRRVLADFQELLLATPMREVSPWLGWVDTLTGLEAKTRRTFEALDGLLERVIADHRHRRREGGGGRPAVVGVAADEDDHRDFVDVLLDVNEMDSDAGLRLDTDSIKAIIMQDVFAAGTDTSSTVLGWAMAELMNHPEEMRRLQAEVRGAIAGRCDVTEDHLEGMPYLRAVISETMRLHAPAPLLIPRETTEDTELLGHRVPARTRVVINAWAIGRDPAAWERAEEFVPDRFVGGPGAPPVEYSYSKVGVGQDFRSVPFGAGRRGCPGAGFAAPTVELALANLVYHFDWAAPTAHGGGVGTPPVDVSEVYGLSVRLKTPLILVAKPWLG >Sspon.02G0048810-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2D:21278536:21279453:1 gene:Sspon.02G0048810-2D transcript:Sspon.02G0048810-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQHERTARRTGTVETALRDGHGRRGAQRPETNLRGWERVMARAGGAWGARGERHNHERATARACGAVRPDRDGRPRPRGCMLGAVVRVPAPILLPLLPGPTRPLLRRSRHCLPPETPMASATPRDGGTAKPDAAPAPAPTPLPLPPEKPLPGDCCGSGCVRCVWDIYFDELDAYDKALAAHAASSGSGGKDDSADTKASDGAKS >Sspon.01G0027630-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:96821862:96824315:-1 gene:Sspon.01G0027630-1P transcript:Sspon.01G0027630-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRECISIHIGQAGIQVGNACWELYCLEHGIQPDGQMPGDKTVGGGDDAFNTFFSETGAGKHVPRAVFVDLEPTVIDEVRTGTYRQLFHPEQLISGKEDAANNFARGHYTIGKEIVDLCLDRIRKLADNCTGLQGFLVFNAVGGGTGSGLGSLLLERLSVDYGKKSKLGFTVYPSPQVSTSVVEPYNSVLSTHSLLEHTDVAVLLDNEAIYDICRRSLDIERPTYTNLNRLVSQVISSLTASLRFDGALNVDVNEFQTNLVPYPRIHFMLSSYAPVISAEKAYHEQLSVAEITNSAFEPSSMMAKCDPRHGKYMACCLMYRGDVVPKDVNAAVATIKTKRTIQFVDWCPTGFKCGINYQPPSVVPGGDLAKVQRAVCMISNSTSVVEVFSRIDHKFDLMYAKRAFVHWYVGEGMEEGEFSEAREDLAALEKDYEEVGAEFDEGEDGDEGDEY >Sspon.05G0006140-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:21431079:21439427:1 gene:Sspon.05G0006140-2P transcript:Sspon.05G0006140-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding EINPADEIDKCEAGGGAEPQPADPEPEAEAEAAAAALEQPPWREQLTARGLVAAALIGSMYTVVVMKLNLTTGFVPTMNVSAALLAFLALRGWTGALARLGVAGARPFTRQENTVVQTCAVACYSLALCGTCQTPDSSAQLARLSVARARHRTWSRVVTREIAVCGGAGACAGFGSFMLGLNKRTYEAAGVDTPGNVPGSVKEPGFGWITGFLVASSFGGLLTLIPLRKVLVIDYKLTYPSGTATAVLINGFHTPQGDKNAEWQVRAFLKYFGISFFWSFFQWFYTGGNACGFAQFPTFGLKAWKHSYFFDFSMTYVGAGMICPHHVNLSTLLGAVLSWGILWPLISKRKGDWYSADVPESSMTSLYGYKVFVCIALIMGDGIYHFVKVLGVTAKSLHERSKLRRGNNRGTDEGNAAAIDDLRRDEVFNRDSIPAWLAYAGYAVMSAVAVVAIPMMFRQVRWYYVVAAYALAPVLGFSNSYGAGLTDINMGYSYGQLALFVLAAWAGRDDGVVAGLVGCGLVKQLVLISADLMHDFKTAHLTLTSPRSMLAAQAVGAAMGCVVTPLTFLLFYRAFDVGNPNGYWKAPFAIIYRNMALLGAQGFSALPTNCLPLSAGFFAFAVLANVIKDVLPPRYARYVPLPTAMAMPFLVGASFAIDMVVGTLVIFAWQRIDGNETTLLVPAVASGLICGVEAAPASGGVPALEIEKCVADADDIESEPVAAADRRAEEPVPPWREQVTVRGLVAALLIGFVYTVIILKLALTTGIIPTLNVSAALLAFLSLRGWTRALGRLRLGLGLGAGSAPRPFTRQENTVVQTCAVACYTMGFGGGFGSSLLALDKKTYELAGVNTLGNAPGSYKEPGIGWMTGFLLAISFVGLLNLLPLRKVLVIDYKLTYPSGTATAVLINGFHTPRGEENAKYFFDFSLTYVGAGMICSHLVNLSSLFGAILSWGVLWPLISKQKGNWYPADVPESSMTSLFGYKVFLCIALIMGDGLYHFTKVMVITVNSLHERFKNKHIKKGKNEDTLAIDDMKHDEVFNRDYIPNWVAYTGYALLSIIAIVVIPVMFRQVKWYYVVVAYVLAPVLGFSNAYGTGLTDINMSYNYGKIALFIFAAWGGKDNGVIAGLVGCGIVKQLVQVSAELMHDFKTAHLTLTSPRSMLVGQVIGTAMGCIISPLTFMLFFRAFDDIGNPDGYWKAPYALIFRNMAILGVQGVSALPTHCLELSAGFFAFAVATNVARDLIMPRSRYRRYVPLPTAMAVPFLVGANFAVDMCVGSLVVFAWHKMNSTEAELLVPAVASGFICGDGIWTFPSSLLSLAKVKPPICMR >Sspon.03G0010430-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:43827058:43827588:-1 gene:Sspon.03G0010430-1P transcript:Sspon.03G0010430-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPARPYRFPALPEKEEDEQVATRCTRQTCGTCSASAVASCVALCCCPCAVVSCLTLALVKAPYVAGRRCVARIARRRLRKERTRRVRDLDNDDQQGQGPRRSNELWGGLPRAVVDDGAGDGGSRAKVSSRMDASEKVWVDMYQVGLWGFGRLSFSAAVGGGGDSEKDGNTAPES >Sspon.02G0036040-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2D:17478711:17480075:-1 gene:Sspon.02G0036040-2D transcript:Sspon.02G0036040-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ACRRPREDPCPYYYWYGDQSNTTGDLALESFTVNLMAPGASRRVDGVVFGCWHRNRGLFHGAAGLLGLGRGPLSFASQLRAVYGHTFSYCLVDHGSDVASKVVFGGGRPGAGGASAAQLKGVLVGGELLNISSDTWDVGKGGSSGTIIDSGTTLSHFVEPAYQVIRHAFMDRMSRSYPLIPDFPVLNPCYNVSAVERPEVPELSLLFADGAVWDFPAENYFIRLDPDGIMCLACIGRRSSCASVELGRLHRPPRVHHPPSEAPLLGHAGRGHDGGEHLPQVRGHRLDAWYRLPVGFATAMACTAAANRSSRAFLQEGKVTRAVRDVGPPTQARIHHGSKGRLEDARRGGSTGTTCGGSPRPRGGSSTRIGRTGGDGNRVLRLAKPGHEKTRSTSVSSEPGQGVVGG >Sspon.05G0008500-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:17283345:17287271:-1 gene:Sspon.05G0008500-3C transcript:Sspon.05G0008500-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMDMRRHSVSVDVPLSRTLVQLKRVRSLRDPATNSMSKYASPSDHMIWETASSNGVTLELGRSAHHHLVEEDEDVGAEPTMGSERSFRGPNARTASYRKSSTVRIRGLNPPRNKQVHRVRQDGHRKSLDSNHSNHSSIRQLANNMVNNVDADKEEEEVNSYERPKFAMPDKADEEVKMPDYSKFRSKSSAAMSRVGSPCMSASEARSVGSRRSTLGHGTEDTRLRSNDVVGSNFSGCGISYCWSGASKYRELYSDSDGPDQPLLSTDGTEAAFQGNVPYTETPRCLSQKFRPRSFSELIGLNVVAQSLLYSSCKGKVAPMYLFHGPRGTGKTSTARIFAAALNCVSLEEQRPCGFCKECVILFSGKSRDVKELDAAKMDRLGRVKALLKSASLVPYSSRFKVFIIDECHLLQEDAWSAILKSLDEPYRHTVYIMITSDIESLPRTSITHCQKFHFPKIKVADIVYRLEKICIEEGLEFDHDGLYFIAAKSNGSLRDSEIMLDQLSLLGKKITISLVHELVGSVSDDELIELLDLALSSDTTNTVRRARELMGSAIDPLQLVSQLANLIMDILSGRCQSALTEVSKISEVGIKKLRHALKILSETEKQLRTSRNQATWVTVALLQFSTNEPNLAAEPNDMHAHPMTWVSKVHSSANFCQACNSSKSNCSERHCRRLKLENIWRRAIGKCRSRSAKSFLRKEGFLSSVHVTEGKNKHAISIEFLISHIWIVLKMIHYMYKFSELAIAEVGFGHPDHLSRAEKMQSLIECSLQHVLGCNVEIRFKLVPCPVRKDARLKRQSFSFLNCSGRKQELSDSVVTDEDEAVRPGARETPLKGYTSSQQESPYTMQRADSKPTVHGCEDDARSTLTSNRSMTDDLTRTCRSETNYSKGHFNSIQEPDLQPNCFSRTLKLQKKLLSSGAAHTICLRIQPHNKMDFLPKKEFDTYFCAYEPYEQCSRSNSRATYSGARIHALVRIYLLEGPKTIHVTEERMSILDGTWKTAILL >Sspon.01G0020750-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:77719050:77719641:-1 gene:Sspon.01G0020750-3C transcript:Sspon.01G0020750-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAVPLILELDPSHDRTGRVIEDIVRLERRIFPKHESLARSLHDELKRRNSGLIYTTSGADGEEVIGYAMYTCNTSLCATITKLAVKENYRRQGHGEALLAAAVERCRRRKIQRLSLHVDPTRAAAVALYRKAGFQVDTTVEAYYAPHRDAYRMYLDL >Sspon.02G0031310-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:108332982:108334229:1 gene:Sspon.02G0031310-2B transcript:Sspon.02G0031310-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWAWKWPKAILHSGAPRIRCGCRRYGFRPSTSAMELDQLRRQRRKEPKDAVGRRTRGARSIHDVSHHHLRRFFLRLESLIWPIGLSAKKAGPLTAPFLCREPQPDKRLSAKKALPIGFLPRQLCRELLSAKPLPRSLEPSAKPPALVMMRLQAVAPRLCAFLHLASSLHMPTVVGQYHNHASGDHRFVLSSSTPSPIDGSRFATDFLVKNSKLARR >Sspon.01G0022690-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:91931417:91931791:-1 gene:Sspon.01G0022690-1A transcript:Sspon.01G0022690-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHAEKTEMELCNEKKCTAELDDALQRAMYGHARMVEHYVELQELYNDLLEKHRRVMEAISEVKRAAAKAGRKGCGTAFAAALAAELSTVRIDREKERGQLKEQNRRLRIQLRDTAEAVHAAGELL >Sspon.02G0030470-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:110977908:110978751:-1 gene:Sspon.02G0030470-1A transcript:Sspon.02G0030470-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARQMDLREAKDDQGRNALHAAAAKGHLEVCRFLVEESGLDVNSTSTDGGSTPVAQAAFAGKVDVLRYLLDHDGNPAMSNHATGITPLHYAAELGDYEAVRLLLSKGVDVDVLTCRHLTPLQLAAGNGHDQAVKVLLEHGADGHAMDAH >Sspon.07G0000830-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:1883434:1887719:-1 gene:Sspon.07G0000830-1A transcript:Sspon.07G0000830-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKWEFSEANMKKVNEILSHYPSNYKQSGIIPLLDLAQQQHGGWVPVAAMDAIAKIVEVAPIRVYEVATFYTMFNRTKVGKYHLLVCGTTPCMIRGSREIEETLLEHLGVKRNEVTSDGLFSVGEMECMGCCVNAPMIAVADYSKGSEGYTYNYYEDLTPKRVVEIVEMLRRGETPPRGTQHPERKNSGPAGGNTTLHGEPKPPPCRDLDAC >Sspon.01G0006080-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1A:15939286:15940800:1 gene:Sspon.01G0006080-1A transcript:Sspon.01G0006080-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWCSKTAMEMLSNAVLSDIVSRSVSLLTKKREKQTTAAAQEYLLRRLCHLLLRSGTIVEEAERRHVTNPAMLRQLESLRDETLRGYYVLDTIRCQATPRGADDGRKDDDEAAALMSRHAFALSRFNPAKRVRVPSGNPEAPTTTMTRALRLRELRQAVCSLEAMIGDIKEFVVFMASYPPFHRQPYSAHLFIDRCMFGRHMEKERVMEFLLQMEEHPGSAATLGVLPIVGPAHIGKSTLVEHVCCDERVRDHFSLILFYTRNDLKDETVNSFRENCVIRHRNEEAVGKKLLIVIELLEDVGEETWNRLLHCSSKGSMPEGSRMIVTSRSEKIARLGTTPALRLKCLPIEAYWYFFRTTLFGSDDPGQYPELTSLAMEMANLMQGSFMFANVGAVVLRENFSARSWRRALSRTREYMAKNVSLFGEYPDDIKPTSWDHPRVTWSIVQERPDKYCMLCDIYERGSQEEVPEIPFSDMLAGCAHPRGEYEILFWKSRIPPHLSYVCK >Sspon.02G0022870-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2A:77153145:77153513:-1 gene:Sspon.02G0022870-1A transcript:Sspon.02G0022870-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding QKQEAAAAEEASPQNGMEVSHVDREASNESQSAFERQASPNSPPPLEHVLPLPPAKGPPRARPPCYGWISEESETESEPEDGDMLSDVARPIIFPKKDVPNPVEKLPPKRKRPSRWDVCPNW >Sspon.06G0014470-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:79619375:79621881:-1 gene:Sspon.06G0014470-1A transcript:Sspon.06G0014470-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARVVGSGKLLPAGEDARRWGFNLCGERSGWGRDGIRGRSTRSELVLKEKAFNLWESLCHHGVGFR >Sspon.08G0007000-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:22166183:22171610:1 gene:Sspon.08G0007000-3C transcript:Sspon.08G0007000-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSSSSSPGDGGGFQFQVQVAVAVRGDGRASRRAARWAAASLVPAGGRVALVHVIPPVSFVPSPSGERVPVDKMEREVVEMYAQDCRARAQEVFLPFRRLVGRGGRTVETVVLEGDSVAEALVKYAAESGVRSLVLGSATLSWFRRILRLQDVPFTVLKTVPSFCNIFVVSRRRLTIKIANQARNSKSNASIRIQSISHKAFDQIQRDWLQDKQALNNLADDEIPKYSGNSSSGSFSQVCSSLSTSSNAIKSSESHRRGFLGSLGRRTPGRERNKDFDAISQLKEVHYVALSSVEEYQHIGEEEKLRKELKDTLMMYDRACGNLAHAKKKIQLLSSECCEDVNKVQDALQREEMLKQTVADEKTKHLEAIGAVEMAKNAFTHETYSKQQAEILANMVSIENAKVVDALLSTSKSCRQYSKHEIELATDYFSDAKKIGEGGYGNVYRCTLDHTEVAVKVIQQDSTNKIDEFLREVEILGQLHHPNLVLLLGFCPEIGCLVYEYMENGSLEDLLINNKGQPLHWFLRFQIIFDVACGLAFLHGTKPEPIVHRDLKPGNILLDKNYVSKIGDVGFAKLISDLVPEGFTEYRDTLIAGTLYYMDPEYQLTGTIRPKSDLYALGIIILQLLTGKRPHGLVNSVEEAIKKGILSDILDKSQPDWPIAEAEMLAKLGLWCTALKCRDRPSLESEVLPELENILSRVTVSLKLENILAPSHFFCPILQEIMEDPYVAADGHTYEHRAIKAWLEKYKISPVTNQRLPHLSIIPNHSLHAAIQQWKLRTS >Sspon.05G0014720-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:5A:56292544:56296422:-1 gene:Sspon.05G0014720-1A transcript:Sspon.05G0014720-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAASLVHSILSFAGSKLASEIFDELKSASGVNENLQQLSDIVEEIKSFLQNVGDKIINDPAFTWMTELKEIAYDIDDLLSDFNMEANKCKSIEINSEKHVMVKCFCDKPKSIRFQHKMAKKIKIIRERFEAIITKRRDLNTLRSSLPLQQTHDRKIVTGELPSVTIVDETTVVGRDHDKSSIIYELTKSDYQEAKVAKSNEEGMISTISIVGLGGSGKTTLAKLVFNDENKIKKHFDVRLWVYVSQEFDVGKLIGKLYEAICDQQSESRPLQYMSRIISEKLKGKIYLLVLDDVWNTDVLFWEPFMLHLKVGAAGSRILLTTRHQEVAETLRSTVSYKLPFLSEDDSWKLFLQSIEGGKEDWDIEFTEIGKDIVRKCGGVPLAVKAIGGMVGSKKEISSWRAVRDSELWHIEDRVVTSLQLSYFHLPSYLQQCFLHCSIFPKGYSIDKDHLIAQWIAHDFIIPMNESEQLEDVGNIYFDSLLKISFLQDLVQDQYNDIVTCKMHDIVHDLARHILGDEILFVPMALNDFKQSCRYLSLVQCIEKIDRKLVKKARALYVSDGTFAFNKPIKKAGYVRTVILDHIYTMSPGKVILKFEFLGYLRMSNLQCETLPEAISCCWNLQALHAIDCRQLLSLPESIGKLRKLRTLDLSGAWKLENLPQSIGDCDSLCSLRLICCANVRMLPLDLFRMPQNLQKINLSACSRITLLPHSIVQLKNLNTLNLSFCSNLQDLPSSCNWDALCALKLSCSKLVKLPDGIVNLHRLKELDLTGCDELCGMPLGIGQLTQLRRLGLFVVGDSREYASMSELCNLNMLIEDLEIKNIRYLGGLYDDGVKVCLSQKSNLQELTLTWQSRKHDTDMEQELDIINKLQPPPGIKKLNIHGYNGQQFSHWMTKPKNDSGSFGGIIKQFGTPCFSRLTHMKLVNFPNLKDLSGIVKLPSLNTLELNNLSAVESIGGGPFPLLKELNIKKMPHLSECSMIIFTNLSDRDGGVSNYQALQSFPCLRVLEIIRCPKLKVKPSFPSSLESLVLANSSMQLLDTPNTSDLLEAATTCSSSSYKGGSAPSSLPLLKILNLCAMMASSSRWNLLEELVGLQSLEISSCDDLAELPLSMRKLTSLQQLKIWNCVSLQTLPGWIGELSSLGRMDIRGCTRLSSLPLSMVQLNSLQHLIIGNCDALRLPEWMGDLGSLQSLDIWGLPGLTCLPPSLQHLSSLQTLKLSCFDALLVLPEWLGELSALQQLKIETCPSLASLPISMRRLTSLQELEIIRCPKLRRRYRKGEGEDWQLISHIPH >Sspon.03G0044110-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:88058833:88062967:-1 gene:Sspon.03G0044110-1C transcript:Sspon.03G0044110-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MISARAATVAAASPASPWKRGGRSEGGGSCDGCSTYRKTVRRRAATAKVRALPPKRVEAVAIGSAAETETEEVVVEVAAATEELPVVPWATSVASGYTLLRDPHHNKGLAFTEKERDAHYLRGLLPPAVVSQELQIKKFMNNLRQYQLPIQCYMAMMNLQAIPETDERLFYKLLIENVVELLPYVYTPTVGEACQKYGSIFGRPQGLYVSLKDKGRVLEVLRNWPHRNVQCLPITIDVGTNNEKLLNDEFYIGLRQKRARGEEYDELMEEFMAAVKTFYGEKVLIQFEDFANHNAFDLLEKYSKSHLVFNDDIQAGTGIAELIALEMSKQTKAPIEECRKKVWLVDSKGLIVDSRKNSLAPFKKPWAHEHEPLTTLYDAVQVVTLMCIVQSCCLHVLIKNFI >Sspon.03G0046020-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3D:31983641:31983943:-1 gene:Sspon.03G0046020-1D transcript:Sspon.03G0046020-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASGRRRNHKPPLHYLSFISSLSLPCHALANTPLPSVSAVVEGEDGSVVRVYGSDGCPIGWCIHVSLFYKAVTPFHFMPPESAPPSHPVLRLSVVSVEV >Sspon.01G0020130-1T-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1D:73701514:73701825:-1 gene:Sspon.01G0020130-1T transcript:Sspon.01G0020130-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMGNSQREQMWTRSPSGRRERRPMARVAAAAEVVTAPRLFATGRSAITRWSGHATVTDSGMPPPSAAAAPTAAEAPAAAAEPVAAAPESDEPILLRRICSIVR >Sspon.02G0043370-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:95848270:95851917:-1 gene:Sspon.02G0043370-1T transcript:Sspon.02G0043370-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPENPHLRFLLFLAVAAVAAGGAAAGTTLTASLSGHQIKIRWTGLPAPDGLDYVGIYSPPSSRDRNFLGYLFLNGSTSWRGGSGELSLPRLPTLRAPYQFRLFRWPANEYSYHHIDHDRNPLPHGKHRVAVSADVSVGDPARPEQVHLAFADGIDEMRVLFVCGDRGKRVVRYGLQKEDEKEWKEVGTDVSTYEQKHMCDWPANSSVAWRDPGFVFDGLMKGLEPGRRYFYKVGSDTGGWSEIYSFISRDSEANETNAFLFGDMGTYVPYNTYIRTQDESLSTVKWILRDIEALGDKPAFISHIGDISYARGYSWVWDHFFSQIEPIAANTPYHVCIGNHEYDWPSQPWKPWWATYGKDGGGECGIPYSVKFRMPGNSILPTGNGGPDTRNLYYSFDSGVVHFVYMSTETNFVQGSDQYNFLKADLEKVNRSRTPFVVFQGHRPMYTSSDETRDAALKQQMLQHLEPLLVTYNVTLALWGHVHRYERFCPMKNFQCVNTSSSFQYSGAPVHLVIGMGGQDWQPIWQPRPDHPDVPIFPQPERSMYRGGEFGYTRLAATREKLTLTYVGNHDGQVHDIVEIFSGLVSSNSSVAEVVDDTKHGTGVSTVRKISPLYLEIGGSVLFALLLGFSFGFLIRRKKEAAQWTPVKNEES >Sspon.01G0023800-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:108443591:108444579:-1 gene:Sspon.01G0023800-1T transcript:Sspon.01G0023800-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVAAAASYGLKAAADVDNLRATELRLGLPGTEEEEPQQKAALPLLPPPPSTPRGKKRDVVSSGPEDAPKKRDSNADAAPPAAKAQVVGWPPVRSYRKSCFQQQAAANKSKPAAPAPAEEAPAAPASGLFVKVSMDGAPYLRKVDLKMYKGYRELREALEAMFLCFSGGADAPAVNPSDFAVTYEDKDGDLMLVGDVPFDMFISTCKRLRIMKGSEARGLGSVKNN >Sspon.02G0007970-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:25586164:25587337:1 gene:Sspon.02G0007970-2C transcript:Sspon.02G0007970-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VVAPILYWESTTFSRPAIALICFGAITLFPSVLLPSSPFMWLAGMTFGYLYGFLIITVGMSIGMSLPYFIGSAFHCRIHRWLEKWPKKAAFVRLAGEGDWHHQFKAVALLRISPFPYIVFNYASVATNVKYYPYIAGSMAGTIHETFLAIYSGKLLQSLAVATSHGSFLSLDQIIYNGIGFTIAAASTAAITIYAKKALQKLQAEDEIF >Sspon.06G0020650-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6B:10538531:10539299:-1 gene:Sspon.06G0020650-1B transcript:Sspon.06G0020650-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MACHLRSARENAEIEANIQFQSYIRFAKKTQKQFKKVSDKSTSANQESCRIVKLSGETRHIAITLNLIAVQSSREQSLIHKTFQESRVPCKVEQLEVLEIEIVDLEAGVEALFKKLIQNSTLSA >Sspon.01G0048010-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:106930972:106931947:-1 gene:Sspon.01G0048010-1B transcript:Sspon.01G0048010-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding YKKHHPPFLEDEVWRLEKIGKEDIRWWHVSKDVGGHCGTCKTCVLTDKVHHYYPDSLNKAGVVFNVVGEVRGLISDKYVSVDDLTEKEKAEARAAVKQAYEHWKDVFTCDNEMLVENPSQPFNMRSPSLRENQYNQFPTQVSTDEFSLSHSTIPSPDIFSMEPSSALDPCVLETEETNANQFQSVLPPVGAMKYPKNLSHWISSPTLWYTMTAPPIPIQ >Sspon.07G0025180-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:38173284:38176062:-1 gene:Sspon.07G0025180-2C transcript:Sspon.07G0025180-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFSPQRKSAERNPGSFEHDDNDDDFRSESTASKPFAHSHDSNKSKPKTSHGGSTHATTTTPLPPQSSSEPTGAAILAAATPTATAGNNNAEATSVDSGGPRSNSMESSSSGSTTSGTTPANVRRHTGGDSRWDAIQLATSQEASLNLGHFRLLKRLGYGDIGSVYLVELRATPAFFAMKVMDKASIISRNKMARAQTEREILGLLDHPFLPTLYTHFETDKFYCLVMEYCSGGNLHSLRQKQPVKHFTEPAARFYVAEVLLAMEYLHMLGIVYRDLKPENVLVREDGHIMLSDFDLSLRCTVCPTLVKSSSVHTTGSGSGGGGGGGGGGGGGGSSVGRGVDVADGDVITANQGCIQPSSFFPRILPRRSRKPSKSELGLSGPPAVEFNAEPTDARSMSFVGTHEYLAPEIIRGEGHGSAVDWWTLGIFLYELLHGSTPFKGAGNRATLCNVIEQPLRFPSDGAAGGPAVSSVARDLIRGLLVKDPQKRIAFTRGATEIKQHPFFEGVNWALVRSMTPPSVPDPVDFRQYGASKEKKASDSSTTAAVEAAPAGPFGKQNSGESYTDFDLDNS >Sspon.05G0035240-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5C:70296041:70296461:-1 gene:Sspon.05G0035240-1C transcript:Sspon.05G0035240-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFYFQMFPDVYTYATDDDGPLVYNCSSGIDYQIIFCPPADLVSLSSPPPSPTANGTNNDCRGAKRCRKRTKQSLGGYQGCPEGSHLSSSKKQPISSERR >Sspon.01G0024590-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:85790684:85793152:-1 gene:Sspon.01G0024590-4D transcript:Sspon.01G0024590-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMHYLAALSVTLGAILLLRLAFKWMNGGRTGEEGMLPPGSRGLPLLGETLEFFAASPTLELVPFFKRRLERFGPIFRTNIVGEDMIVSLDPELNARVLQQEERGFQIWYPSSFMRILGANNMVSMLGPLHRHIRNLVLRLFGPEALRLVLLHDVQQSTRDELRSWLDRPDVEMIFGVTAKKLISHDDVASGGSLWKCFDAWTKGLMSFPICVPGTAFYKCMQGRKNVMKVLKQQLDERRNAAERKTVDFFDIVIDELNKPNSIMNENIALNLLFLLLFASHETTSMALTAILKFLTDNPKAMQELTEEHEKIVERRVDPDSDITWEEYKSMKFTSHVIHESLRLANIAPVVFRQANQDVQIKGYTIPEGSKIMICPSAAHLNSKVYEDPLAFNPWRWKDTPEPVGGSKDFMAFGGGLRLCVGADFAKMQMAMFIHCLVTNYRWKALSEGTMMLYPGLRFPDGFHIQLLKKT >Sspon.02G0018700-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:59978930:59982948:-1 gene:Sspon.02G0018700-1A transcript:Sspon.02G0018700-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAPGALQKGRTKAADHELQRSWWRRRKIQPQRQHEAGSIIITRASMRMSKQERLKRKQEEDKWKLRRMYGFRSSFGVAISVALRLGQVAAVTTCMLLSLKRLKKQDYVEPQQLHQDDHRNISPSLNIFYGLVVAQGIIFICMLLNVLVFGLKMMTLHQYRLFGPSGHNILTRYEKENYLEFITGNVRATLNTDLVTFANNLAVSSSVDDQLVGIRAMDRILRAVRTTEEQAIRGHAARVVLKLTPDLLVQKFPEILYLIFSSLLTTSNKMDLDLLWFGLRILDKLTDNPENCRQTKDDDGDLLLKIIDLITNLCGHGSTSNRISNSWIEQEIIPILEKEDDFPPPFIQKIDHEIILGMSLSILSKLLAASPVAGAVVFMRESSSQDFHFLTSSGMISNHFEATRVISCLAVDEAARREIGKLPDIIENLKDCLLSKAPYLNFSKVVAKLLLLEYTASDHLDQLKLFINENNILQDQSFSLPVSAIIQELQMHENSTPMQNIVQDLDLEDVLSAPRVNHSEEAAKALILLTTECENNVEAFLQVINPQELEKIVKVVSSEEGEKDKRKMMAHFEGRNLDKETLCIVRQIICAEGEEHKRSLQSNLRWSVKIGGSIIY >Sspon.03G0017110-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:53555823:53560170:-1 gene:Sspon.03G0017110-1A transcript:Sspon.03G0017110-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAAEDPTRCPEIPWRCHHCAGPLSKDMETSSWTVPPLVRDSFSMIGSALGGIAGAFYGFNHVMPVVRRHIKGPMWMHFFVGAPPVIVFSSACAGLAGGTIPATAQLVSSSYRAVSSHSFARSSSHVAAHSPSFAPSASHDDVHKARSSSPLCGVGVGVGVGVALNLNGDR >Sspon.01G0045800-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:92893498:92894984:-1 gene:Sspon.01G0045800-1B transcript:Sspon.01G0045800-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNTTPVGMASGKTAATSWCGHCGMGLVAPPPGSSSSSVRCAFCHGVTRIEHHQQQRGGAVGDSTPMTTRTLAAAASLPRPPPISAGLLEIPVGYPRRVSSDENKRALLVEVSYMGTNHELRGTVNDVKDMRNLLCDRFGSRAPASWSSPRRRVTPPGCRRGRTCCGGAAHQGGGRWRLDGTSGWGIVPRVVVGNPVISHLLILTPHPHLPRRRPRPPPRPRPQHFPPSHRDALGFCRTSAAMVTATFFPSPSLRGHGALTMELPYPDLARLPPLPLGPLNHPAAIPTAWSAFLPQTPFLLCPPELRKKEWGERGRVAIWRKHCGENEQCAGERRKKEARLNLDHQFAIRRASMSTDGNAKS >Sspon.01G0063340-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:114365911:114366571:1 gene:Sspon.01G0063340-1D transcript:Sspon.01G0063340-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSSSCRVTAEKPNGSKAVTLLLRLSTLALSLTSAVVMASASECTIYGHGGAKVTITFKNYPPFVYQVVLSITAAVLEAAAIYLQLGKGDDDGEEEAPKLPRIILVVLDVVVPALVNTATGAVISAVIAYGPEINACTAAAGGRFCEQVSRSKLLSIATSIAASSAAVAKDVPLPFSVWPISS >Sspon.06G0011180-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:48064717:48069110:1 gene:Sspon.06G0011180-2B transcript:Sspon.06G0011180-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LFLVVASGGQVDFAVGKACDYQPPEAASGRAVCRWQRTHDPEVVTELDAVAGFSEIVPDTVVFDDFERFAPTAATVSSSLLLGIAGLPDTKFKSAIDTALADGECNALEKLRTGCPVTSPSFAQAAAAAQAGASVVQIFVGRLRDWARNHSGDPEIDEALKKGEDAGLALAKKVYAYIHRNGYKTKLMVAAIRNKQDVFSLLGIDYIIAPLKILQSLEESVTDPDVKYGYVPKLTPAFGKTYNFTEEELVKWDQLSLSAAMGPAAEELLASGLEGYVNQARRVEELFGKIWPPPNV >Sspon.01G0010900-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:62731751:62740653:1 gene:Sspon.01G0010900-2B transcript:Sspon.01G0010900-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARNLTENRTRNTLIVIVIFGLCSFFYLLGAWQKSGSGGGDITHKWVIEQIKCAQLPNLSFETHHSASNLPNDTGSSKIEPFKPCDEQYTDYTPCEEQKRAMTFPRDNMIYRERHCPPDKEKLYCLIPAPKGYVAPFRWPKSRDFVPYANVPHKSLTVEKAIQNWVHYEGNVFRFPGGGTQFPQGADKYIDQLASVIPIAEGKVRTALDTGCGVASLGAYLLKKNVLTMSFAPRDNHEAQVQFALERGVPAYIGVLGSIKLPFPSRVFDMAHCSRCLIPWSGNDGMYMMEVDRVLRPGGYWVLSGPPIGWKIHYKGWQRSKEDLRNEQRKIEQFAQLLCWNKISEKDGIAIWRKRLNDKSCSMKQDNPKGGKCDLTSDNDVWYKKMEVCIAPLPEVNSVSEVAGGQLEPFPKRLYAVPPRITLGSVPGFSVQSYEEDNNLWQKHVKAYKKTNNLLDTGRYRNIMDMNAGLGSFAAALESPKLWVMNVIPTIANTSTLGVIYERGLIGMYHDWCEGFSTYPRTYDLIHSNDVFSLYQNKCQFEDILLEMDRILRPEGAVIIRDKVDVLVKVEKIANAMRWKTRLADHEGGPHVPEKILFAVKQYWDVAETSS >Sspon.05G0013080-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:41378893:41381539:1 gene:Sspon.05G0013080-1A transcript:Sspon.05G0013080-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDLRRSPSLDTGGGPAPRDQRRALLLPSDSNGVHPYLLAEATGIGALDVCVSASCFSTVLASSMNSWVMFVAVSRVNGSDLLIFADIGSRRTLTDIKNLIGIASYPYEEQMGRNENSVAKYPAHDKVRTRISLPPWRAKGNLNVNAASVMEINSKADAEMIVNCCGVELRST >Sspon.03G0013390-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3A:37562610:37563548:-1 gene:Sspon.03G0013390-1A transcript:Sspon.03G0013390-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding PHEAAIYRRGSGGKALLVGVYVDDLVITGTKEVEIEAFKEKMRATFQMSDLGPLSFYLGIEVHQDNSGTSLRQTAYAKRIVELGGLTGCNPAHTPMEERLRLSRESTEEVDATQYRRIVGSLRYLVHTRPDLAFAVGYVNRHLRLRLALLEVPRCGAFHRYSDSDHAGDIDTSKSTSGTLFFLGKCPISWQSVKQHVVVLSSCEAEYIAATTAATQALWLARLLGDLLGRDAEAVELRVDSKSALALAKNPVFHERSKHIRVRYHFIRSCLEEGSVRANYINTQDQLADF >Sspon.02G0027170-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:98672288:98677307:-1 gene:Sspon.02G0027170-1A transcript:Sspon.02G0027170-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVPSDFSCHGVVDNGPNGFTQGRREEANKLGPSWYFSRKELEENSPSRRDGIDWKKESNLRKSYCKFLQDLGKKLKLPQLTIATAMVFCHRFYLRQSLAKNDRRIIATVCMFLAGKVEETPIPLKDVILISYEFIHKKDPTAGQRIKQQKELFDKQKELILLGERVVLVTLEFDLNIHHAYKPLVEAIRKFNVGDINNFPQVAWSFVNDGLSSTSLCLQFEPHQIAAGVIFLAAKFLKVKLPSDGDKVWWQEFDVTLQQLEDFSNQMLELYQQNRTTQAQPSHGGEAKGSSAGVRNKHSSVKPEENSKEPSAHGRHQVSRLSNLQHSSSTGAPGHHDVGHSNSDKHFSGHKMLQNDNGGSKVKNRSGTKSDACMDRLHHDKRSSPGHHYSKASYESHNLVEEHKPHRSHDNSNETRDSVGDKEAPGLSTLRTDVVHKSDMDKVKAALEKQSKSEGGVSTKKNVMDDGDLLDRGLEHDVKLAVEDENVKHDKRQNLSHGSMPPADLQNTNQAMENGHHVKQDVPTTAEDMGFPDSKEHHPPFHRQTDVPEHKAQQLDHMLKHQKGQDHSQIVW >Sspon.03G0013510-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3B:50576308:50576537:-1 gene:Sspon.03G0013510-2B transcript:Sspon.03G0013510-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MACRVKVVGQVERVDGESLSYAEFVHRFMAPNRPVVLTGLTSSWRARKDWTLAGPGDRRRPNLSFFTQNFPSPLVQ >Sspon.01G0011650-4D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:51064607:51065979:-1 gene:Sspon.01G0011650-4D transcript:Sspon.01G0011650-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVWKAAAQTRFRLFKHENGITVRVIACFQLLQNCQVNPWCHWHNPPWKFNTETSAGNLRPPDVGLVNANSVALPAYLSTVAAPVPFFTASIAERPLSTAPRYATTLAPSLELPALYPSRKRPLVFYQKENHAPIAAPLLSKGPLDPVPELQGSNETNVTDVGAEETEGIHENTDEINALLDSDSDEGYEKLLELDRVRRQSPAENDTLSVESVASAGAATDSAPPAKKRKLSSCTDKSVVDTASSARPDHSIEQKVLVNDCDAQSCCVGEVESDHKFSLGEVEAAEGDSPDDQKRRRERIQETVAALRNIVPGGIAKDATAVLDEAICYLQYLKLK >Sspon.02G0007030-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:21095442:21096583:-1 gene:Sspon.02G0007030-1A transcript:Sspon.02G0007030-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSAPAKVREICRAQRADGPAAVLAIGTANPANCVPQDEFPDFYFRATKSDHLTGLKEKFKRVCQKLGVQKRYLHHTEELLSAHPEFLDHSSPSLDARLDIVKTAVPEEGCFQTLITVLLFNGREEGCFQTLVNQGLFGDGAGAVIVGADPVAAPPAERPLFEIVSAAQAIIPESPYSSATTSSDALTDAFAPLGVIGAEWNDLFWDVHPGSSAILDQVDAVLKLKPEKLAASRRVLSEYGNMFGVTVIFVLDELRRRMENGEEEGAPEWGVMVAFGPGLTVETMVLHRSGTPAKKKLAEA >Sspon.03G0019570-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:83400763:83404242:1 gene:Sspon.03G0019570-2B transcript:Sspon.03G0019570-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:At1g32500 [Source:Projected from Arabidopsis thaliana (AT1G32500) UniProtKB/TrEMBL;Acc:Q058Q9] MSSPSPLCAASCSTALSAPPPLLRFRRSSAPVSASVARAAPAVSDDLVLRIAEQLEDSVTSTSPLLDPLRSASALSLLSTPWPTRRSSEAFRFTDISYLRSLPISLPSRQPDLTLPSSPFSSHVLFSDGILLSSSGAHVSTLADLPPGRARDRAAAALAASAEFAHKDLFYDFNAVGARDVVVVHVPEGVKVADDPIHIMFAYNDSGAESMLMSNPRVLVVAEKAAEVAIVEEHFGAGEEGGCYWANPVTEIIIDEGARVVHSYVQQQSFAAAHTKWTVVKQDTTSKYEFVEVSTGAKLNRHNLHIEQLGPETETELSTLHLTSQNKQIHDLHSRLILNHPRGFSRQLHKCIACGTGNSIFDGNIKVNRYAQQTDAGQETKCLILSPKALVNVKPNLQIIADDVKCTHGAAISGELDPNELFYFQARGINTETATDALLYFFGAHVIKRIPYKPISEKALAQFKELLASSRQTTNEALHS >Sspon.06G0007840-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:38477700:38482909:-1 gene:Sspon.06G0007840-2C transcript:Sspon.06G0007840-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSATSGAMELVAALLRGRVPPELMGGEGAEGRALVATLAAAVLGAALFVLWRRAAAGKKRKREAAAEATQAKARGVKGGAEDEKPADDGRKKVTVFFGTQTGTAEGFAKDDYAAEDEEYEEKLKKEKLALFFVATYGDGEPTDNAARFYKWFTEGNERGVWLNDFEYAVFGLGNRQYEHFNKVAKVVDDILTEQGGKRLVPVGLGDDDQCIEDDFNAWKEALWPELDRLLRDENDVSTGTTYTAAIPEYRVEFIKPEEAAHLERNFSLANGHAVHDAQHPCQANVAVRRELHTPASDRSCTHLEFDIAGTGLTYETGDHVGVYTENCAEVVEEAERLLGYSPDTFFTIHADKEDGTPLSGSSLAPPFPSPITVRNALARYADLLNSPKKTSLVALATYASDPAEAERLRFLASAAGKDEYAQWVVASQRSLLEIMAEFPSAKPPLGVFFAAVAPRLQPRYYSISSSPSMAATRIHVTCALVHETTPAGRIHKGVCSTWIKNAVPSEESKDCSWAPIFVRQSNFKLPADPSVPIIMIGPGTGLAPFRGFLQERLAQKESGAELGRSVFFFGCRNSKMDFIYEDELNNFLEQGALSELVLAFSRQGPTKEYVQHKMAQKASEIWDMISQGAYIYVCGDAKGMARDVHRVLHTIVQEQGSLDSSKAESFVKNLQMEGRYLRDVW >Sspon.01G0008820-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:24533537:24536373:-1 gene:Sspon.01G0008820-1A transcript:Sspon.01G0008820-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPTEPRWRINSSFSPPTSRRWDCRYSSDGLPHRVHDAPHDHPPYVSSLSSHSKGSRSAFGSDQYLNHHHSVSDGALSYFGSPADSLQAPRWTPSLQRFDLGEFSTPAGGSRPETSDYPQSSERPLTATSSFSSASPFSESSQLASSSKQPAPYLHRNHMGRRSFMSKPVYPLVFRNPVSESEACRMLEVTNAGRATPSDDSQASPLWRRSLASPELKFHNALNELGKMEASPEPNTSSRREGFRWSNGSSYDFGYDGDAIDISDHISIESQRSPTSSVRFLKCGLCERYLRQKSPWTSNRIVRNADMPVAAVLPCRHTFHADCLEESTPKTEAHDPPCPLCTRATEDEGHVSFSEPLHVALRSARSRNLSLGGGAGGSSSSTNPPCSDRGLKRNHSAVVPRRGGSSLFCNRFKKQFPFKARIGKELFGGRVFNRVGSSSSSGHRQQEPKHDRPMK >Sspon.07G0006790-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:15580763:15584648:1 gene:Sspon.07G0006790-2P transcript:Sspon.07G0006790-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Coronatine-insensitive protein 1 [Source:Projected from Arabidopsis thaliana (AT2G39940) UniProtKB/Swiss-Prot;Acc:O04197] MGGEVPEPRRLSRALSFGCGAVPEEALHLVFGYVDDPRDREAASLVCRRWHRIDALSRKHVTVGFCYAVEPARLLARFPRLESLALKGRPRAAMYGLIPEDFGAYAAPWVAQLAAPLDCLKALHLRRMTVTDEDIAVLVRARGHMLQVLKLDKCSGFSTNALRLVARSCRSLRTLFLEECTIADEGSEWLHELAVNNSVLVSLNFYMTELKVEPADLELLAKNCKSLISLKMSECDLSDLIGFLQTSEALQEFAGGAFFEVGEYTKYEKVMLLGGLTFMSKNEMPVIFPYSAMLKKLDLQYTFLTTEDHCQLIVKCPNLLVLEVRNVIGDRGLEVVAATCKKLRRLRIERGDDDPGQEEQGGVSQIGLTAVAVGCRELEYIAAYVSDITNGALESIGTFCKNLYDFRLVLLDKQNKIADLPLDNGVRALLRNCTKLRRFAFYLRPGGLSDVGLGYIGLYSGNIQYMLLGNVGESDNGLIQFAMGCTNLRKLELRSCCFSERALAVAVLQMPSLRYIWVQGYRASQTGQDLMLMARPYWNIEFAPPCPESAYRMMADGQPCVDTHAQVLAYYSLAGRRPDCPQWLVTLHPA >Sspon.05G0013510-1P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5B:48189542:48195465:1 gene:Sspon.05G0013510-1P transcript:Sspon.05G0013510-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLYGGEGEVLEHQHARAHPWEVESRSEMVCGGLPTYAGELTAADMVASCAPAIPVWYSSKERAGEHHGITRQPKQQRRKRELLQRSLATARDGHGGAASCHGENRWRGRLRPNRVLQGCKSSAQQRYGAINGLTDKPESFTESKETRNKEDKGERVAGSVWPRARRVRRPWRLPMAATGRLAVPSSEGQREQVREERQEKTTQQAQGIGQGQSSYGQLRRRVEARTTNGDVPAASGCPPPACGASRRSSCVLARGAVGNGHGNAGDSERGEEKKKQSTSTPSWLRLDSIVLSWIIGTISLDFPDLVRNSADARQAWLALEGQFLGNAEARALRLDASFRTFVQGDLSVGEFCRKMKTMADSLGDLGWPVEDRILVLNVRDDLVMEELTQGLQPGSITAPGSSTSSTALAATPPRPLAPPRPSATPSSSLMGPPPSGPSSGGGGGVTVAVAAALDNPWSGRISMWPFYGPGGEPRPPAAMFTGAPLPGVSPTTPWTKPGSSPGIAGWDQVALARSFSTMGLTPPVGPEWIADSGATYHTTPDAGILSSVYSPSSSLPSSIMVANGSCLPVMSMGTAGAHGSFHLPNVLVAPSMVHNLLSIRRFTADNSCSVEFDSSGLTVKDLASRRPLLRCDSTGPFTLFAFRRRLHLLRRPFCQLLSPPLLPLPPGTGASAILDFGLTIKAVQCDNGREFDNSTSCAFFLSHGVQLRMFCPYTSSQNGKAERMIRTTNDTVRTLLFQAYLPARFWAEGLHTSTYLLNSLPSATCPAPTPHHALFGTPRYDHLRVFGCACYPNTAATSSHKLDPVRLSVFFSGTPRITRGTGVLILPPAGFSSLAMWYCFTTLLAGHLSRLPGRSGRVVLWRGPDLSDWRIPGDLVSRYCLGGAVPVAVAWSCPGTSVAVRYGGYQRRPRLPPLAVPSPPVTPTPPPQSPSARGAPPVYHTPLLHQHPRHVHPMVTRHAAGTLPPRALEASTGDALVSPVPSFVRDALLDPHWGRAMEEYAALLANQTWELVPRPPGSNVVTGKVDYDETFSPVVKPVTVRTVLSVLARSWPVHQLDVKNAFLHGLLTETVYCSQPTGFVDSFRPDMVCRLNRSLYGLKQAPRAWNHRFTAFLLTLGFVEAKSDTSLFIYHHGADIAYLLLYVDDIVLTASSEPLLHRIIAALQQEFAMKDLGVLHHFLGVTVEPRPAGSLAGALQYLTFTRPDITYAVQQVCLHMHDLREPHLTALKRLLRYLRGTLDYGLLLHRASSADLVVYTDADWAGCPDTRRSTSGYAVFLGGNLVSWSSKRQPVVSWSSAEAEYRAVANGVAEASWLRQLLAELHTPPSRSTLIYCDNVSAVYLSTNPIQHQRTKHVEIDLHFVRDRVAMGEVRVLHVPTTSPFAAKGLPSSTFTEFRSSLNITSG >Sspon.03G0000740-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:9960425:9963702:-1 gene:Sspon.03G0000740-2B transcript:Sspon.03G0000740-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIWPTGTFLWPHGRVHLEFSTPKSMASSPRSPPPAPTPEFEISRQSRLFAALSKKVIDLDELRMLAAQGVPDAAGVRATVWKLLLGYLPNDRLLWEQELAKKREQYAAFKDEFLRNPRVETEGHHNVNAEHVDNGFLHRLEVTREEHPLSLGKTSAWNQFFEYSEIMEQIDRDVKRTHPDMHFFCGDSSFAKSNQESLKNVLLIFAKLNAGIRYVQGMNEILAPLFFVFRSDPDDKNAKFAEADSFFCFVELLSGFRDNFCQKLDNSAVGIRGTLAKLSQLVAKYDRELQQHLEITTEVNPQFYAFRWITLLLTQEFNFADTIHIWDTLLSDPDGPQETLLRICCAMLILVRKRLLAGDFTSNLKLLQNYPPTNISHLLYVANKLQ >Sspon.01G0051310-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:14456566:14459353:1 gene:Sspon.01G0051310-1C transcript:Sspon.01G0051310-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAGRAPCGLPRIGLGTAVQGPRPDPVRAAVLRAIQLGYRHFDTAAHYATEAPIGEAAAEAVRAGAVASREELFITSKVWCADAHPDRVLPALRRTLRCVRCNLQMGYVDLYMVHWPVTMKAGRFTAPFTPEDFEPFDMRAVWEAMEECHRLGLAKAIGVCNFSCKKLETLLSFATIPPVVNQVEINPVWQQHKLREFCREKGIQLCAYSPLGAKGTHWGSDSVMDSGILHEIAKSKGKTKCLPWTLSDMLARWMDGWMQQVCLRWVYEQGDCLIVKSFDEGRMKENLDIVGWELTEEERQRISKIPQRKINQGRRYVSEHG >Sspon.06G0000380-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:2174992:2178328:1 gene:Sspon.06G0000380-1A transcript:Sspon.06G0000380-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLAPLTPALPPNPALPSPWRGRGGRGALLRARAVRAAPRPPSQWSVGSWRARPALQQPEYPDKADLNEVLRTVETFPPIVFAGEARTLEERLAEAAVGRAFLLQGGDCAESFKEFNANNIRDTFRVLLQMSVVLMFGGQMPVVKVGRMAGQFAKPRSDGFEERDGVKLPSYRGDNINGDAFDEKSRLPDPHRMISAYSQSAATLNLLRAFATGGYAAMQRVTQWNLDFTEHSEQGDRYMELAHRVDEALGFMSAAGLTLDHPIMTTTEFWTSHECLLLPYEQALTREDSTSGLYYDCSAHFLWVGERTRQLDGAHVEFLRGIANPLGIKVSDKMDPAELVRLIDILNPENRAGRITIITRMGPENMRVKLPHLIRAVRGAGQIVTWVTDPMHGNTMKAPCGLKTRSFDRILGEVRAFFDVHEQEGSHPGGVHLEMTGQNVTECIGGSRTVTFDDLGSRYHTHCDPRLNASQSLEMAFIIAERLRKRRIASSPLYTNQLGSIRL >Sspon.02G0017880-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2A:54534800:54535366:-1 gene:Sspon.02G0017880-1A transcript:Sspon.02G0017880-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RGYYRCTHRYSQGCAATKQVQRTDEDPTFFDVVYLGDHTCVQRAAGQVAADAQAPEYKHDDNPGASRSLLQSLSSSLTVKTEGQDVEPEQLLLGWDAPAPFYFSSTPAMASGGCLVPERSPFSASSTPENWGVSPATSDSNHVVSFPPFEVALYRSSSCGDDVQFGFEEVMSAIDRADGDGFLDDLDID >Sspon.03G0008760-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:28703428:28707047:1 gene:Sspon.03G0008760-4D transcript:Sspon.03G0008760-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLNSLFNRSTFGTKCKTCLNLVISRIKLLRNRRELQLINMRKEMVQYLQTGQESIARIRVEHIIREQNILAAYEIVELFCEFVLARVPIVEVQKECPLELREAIASIIFASGRCSDLPELMHLRNLFTTKYGKEFVAGAMELRPDSSVNRTIIEKLSVKAPSGESKLKVLKAIAQEYNIEWDSSNTEAEFNKKYEDLLDGSGSSVHQVQPPIIEGSVSSPVASASRGKPPALNSPVIDAQKHRVRESPTSPAGGTRACVATKGNVATKEHPSTAEEMSSTSPSSSDVLEKARAAIAAATRASAAARAAAELAKVKITSQ >Sspon.05G0015940-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:64124528:64127576:1 gene:Sspon.05G0015940-1A transcript:Sspon.05G0015940-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLLVWLGNVVNISNSMNMVSYLRGTMNMGVAAASTTSTMFLAVMQMFSIPAAFVVDSYIRRFYTVLIFAPIEILGYILLAIQAHVPSLHPPPCQVPNNCELVHGSNLSLLLLGIFMICIGEGAIRACLPALGGDQFDKSDPVEQRLEASFFNWYTFAVSFGGLVGLVLIVWVENNKGWDVGFAVCAGIVLLGLAVWAAGFPFYRNRLPSGSPFTRILQVLVAAFKKRNLQLYNNPDGLNQITGGNAKGVEVLERTKGLQCLDKAAIDNGKGGSWSLCTVHQVEETKIVIRMIPIFITSALGYMPASIILTFTVQQGNTMNTRLGAINVPPATLFVIPTVFQLVVLVVYDRFIVPFLRKKTGYVGGVTHLQRIGIGFVAAIMACGVAAIVEMKRKSVAEQSGLMDSSAPVPMSVFWLVFQFFFVGVVDVTSFVGLLEFFYSEASTGMKSIGSSLFYCMIGVAAWLVTLLIQLVNRVTRDGGRKQGWLDGANLNRSKLDSFYWLVCVIELLSFLGYLYWARRYVYRNDQRVVDKDNKSPVDCDMDEI >Sspon.07G0027530-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7C:62790234:62790393:-1 gene:Sspon.07G0027530-2C transcript:Sspon.07G0027530-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSCWLILNVCTECSQRCSQQPAHEKIGVVDSQWIVHQVVPSLGNQVRRNIMI >Sspon.07G0000500-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:839638:842400:1 gene:Sspon.07G0000500-2B transcript:Sspon.07G0000500-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRNQFDLLGDVDNDDPAHLLAAAEKKAAAAPKPAPAPAPAKLPTKPPPPAQAVREERSYGAPPRDGPGRGGPGRGRGGRGGRTGPRRDFSDADANGFEGGYGGGGFGDGGVARGENGEGRQAERGRGLRQPYRGGGRRGGYTDGQSGDEFGRPRRAYERHSGTGRGYEMKREGAGRGNWGTPTDEGLAQDTVDAVNPGETAAVVEDEKKPEDAPQSEVEKDKEGAENEEEEKEAEDKEMTLEEYEKVLEEKRKALLALKAEERKVEIDKELQSMQQLSVKKDADEVFIKLGSDKDLKRKKMLKEKNGPRSINEFLKPAEGERYYNSSSRGRGRGRGRGERGGFRGGYNGGYRGPAAAPAIEDQAQFPALA >Sspon.08G0011350-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:45313865:45315570:1 gene:Sspon.08G0011350-2B transcript:Sspon.08G0011350-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding TMATWPNPAVPLLLVAVLLAFEDWLSTPSCSGGPPAAQGSGDLRAMMVADLMLLGSDASFADRHFRDHVMSKFFQNSIQRLKPDIIVVLGDISARGSESTEAKWISVIQQFEGILGPYSTLPLHIVLGDKDVGTCENLEGKFVRRRAKHLSGLDSSGCGSFDISNVSFVSLNAVALLCSDNALRFSIEKVMERENHHIQRERVYEAECNPLRCERSESFADISWRQNNMESGSGPVVLLHFPLHKFEGEVTGVPTFSETIVSDHSLADSSSKLSGANGRRLYDHLHTLPVNSTQYILQALKPRIIFNAHSGSFSVFVHADGTREVTVPAMTWKTREVPGFVIATFDTKGSVT >Sspon.01G0037980-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:27095255:27097250:-1 gene:Sspon.01G0037980-1B transcript:Sspon.01G0037980-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MERWPQDHKEMVHGHEMLIMMECTSNDGLDDQVEEKDLRAQGKEPKKIEDALKDFDWVNAMHEELNNFTRNQVWELVERPKNYNVIGTKWVFRNKQDQYGVVVRNKARLVAQGYTQVEGLDFGETYAPVARLEAIRILLAYACAHNIKLYQMDVKSAFLNGYINEEVYVEQPPGFEDDKKSNHVYKLKKALYGLKQAPRAWYERLRDFLLSKGFKMGKVDTTLFTKKLGNDLFVLQIYVDDIIFGSTNQDFCEEFGNMMAKEFEMSMIGELSYFLGLQIKQMKNGTFVSQGKYIKDMIKKFGLQDAKPMSTPMGTNDQLGVDASGNMVDQKQYRSMIGSLLYVTASRPDVMFSVCKCARYQASPRESHLKATKRILRYLKGTHDVGLWFPKGSNFELIGYSDSDYGGCKIDRMSTSGTCQLLGRSLVSWSSKKQNSVALSTAEAEYISAGSCCAQLFWMKATLNDFGIKFKNVPLFCDNESAIKMTQNPVQHSRTKHIDIRHHFIRDHQQKGDISIESIGTEDQLADIFTKPLDEKRFYKLKNELNILDFSNLK >Sspon.01G0043790-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:77061652:77064044:1 gene:Sspon.01G0043790-1B transcript:Sspon.01G0043790-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASGERAAEVRRLMEAKEVSGKTFSGIAAETGLTNVYVAQLLRRQAQLQADTVPALRAALPTLTDDLVQLMMRPPFRSYHPDIVHEPAIYRLNEAVMHFGESIKEIINEEFGDGIMSAIDFYCSVDKVQGADGKDRVVVTFDGKYLPYTEQKSEHMMSRPTRKTS >Sspon.06G0011330-3C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6C:48281733:48282185:1 gene:Sspon.06G0011330-3C transcript:Sspon.06G0011330-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GDWVPLQWNQFVILLVNQAAATAEPQFDKAFIWLASRTSSIPGTGWGGTSKIMRMIPTTIKASSGISTPRPRLQLVLMLIVHMPWRNREGSMMRATATFRALLAWLCMLRRWGGGWRLDRQGDLLPWNCDSACCESASFGSANAAVYVVR >Sspon.01G0007980-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:21723178:21726171:-1 gene:Sspon.01G0007980-3D transcript:Sspon.01G0007980-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAASEGNLSPALPLATLIGRELRGDGTEHPHVRYGHSGFAKRGEDYFLVKPDCLRVPGDPCSSFSVFAVFDGHNGVSAAVFSKEKLLEHVMSAVPQGISREDWLQALPRALVAGFVKTDIDFQRKGEMTPTHRHRVILGILAMPLGIWAILAEKMLTLGTGAGETSGTTATLVVVDGFTVTVASVGDSRCILDTHGGEVSLLTVDHRLEENAEERERVTASGGEVSRLNLCGGQEVGPLRCWPGGLCLSRSIGDTDVGEFIVPIPHVKQVKLPNTGGRLIIASDGIWDALSSEIAAQACRGLPAELAAKLVVKQALKTSGLKDDTTCVVVDIIPSDHCSTPPALSPKKNQNKLRSLIFGRRSHSSVGKLSKSASLGSVEEIFEEGSAMLGRNFPSKANLPPFRCAICQVDQEPFEGLMTDNVGGCCSTPSTPWGGPYLCSDCRKKKDAMEGKRSNRSTTC >Sspon.01G0034050-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:717244:721014:-1 gene:Sspon.01G0034050-1B transcript:Sspon.01G0034050-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKEIEEEGDGYGAAIPMSPPSLGLPFPSATASTSAAAAAARVLARPMPASVPGLRAPSPFVRAMGTRVDPQPPQPLTAPPRPRPLPPPPIPEKRRRGRRRNCDRLLPPPPGFHLAPPARAPPPPALPAHGQPSSTLAFHFPKQVISSAIAFREENLQTAEGPLEIIHVFGSILTSDSPGFGCLSVTLACGDCSVVGGIAVGPLIAATPVQAIIGSFHNDAFQANKKPKLIGYGVTHTGTGCTPYLSSQVAVGTGSMCRINSKVTIGSWRKHDPSSWFPIGNGSTNHSDSQVTVGNGSTHHPNSQVTVGNGGTHHPNSQVTIDTRSMRCLSFEVGIGSWNKHDPNTWFPIGVGSTNHSNFQVTVGGGSTHRPSSQVTIGTGSTQYPSSHVPIGNGSTYLSDSHVTKGDRSTSMTNSQATVGYGIKHQDNSLVTAGNGSTSNVNSQYIVGHGSTRYPESLPAVGDGNMINANSEVAVGDGSTNKGNSQDTLVDGNTNCPSSKVSVGNGSSSYPNSKVAVGDRSTPSSEGSNPEYASCTVVEQGGPSEIDVKPSQLVKKGISGAVSGIKARLQQKLIM >Sspon.07G0009240-5P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7C:21735998:21738027:-1 gene:Sspon.07G0009240-5P transcript:Sspon.07G0009240-5P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKEKSHINIVVIGHVDSGKSTTTGHLIYKLGGIDKRVIERFEKEAAEMNKRSFKYAWVLDKLKAERERGITIDIALWKFETTKYYCTVIDAPGHRDFIKNMITGTSQADCAVLIIDSTTGGFEAGISKDGQTREHALLAFTLGVKQMICCCNKMDATTPKYSKARYDEIVKEVSSYLKKVGYNPDKIAFVPISGFEGDNMIERSTNLDWYKGPTLLEALDQITEPKRPSDKPLRLPLQDVYKIGGIGTVPVGRVETGVIKPGMLVTFGPTGLTTEVKSVEMHHEALQEALPGDNVGFNVKNVAVKDLKRGYVASNSKDDPAKEAASFTSQVIIMNHPGQIGNGYAPVLDCHTSHIAVKFAELITKIDRRSGKELEKEPKFLKNGDAGMVKMIPTKPMVVETFSEYPPLGRFAVRDMRQTVAVGVIKSVEKKDPTGAKVTKAAAKKK >Sspon.07G0030870-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:14786160:14786762:-1 gene:Sspon.07G0030870-2D transcript:Sspon.07G0030870-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPEAVVQPMAGSCSVAPAGTDQLDLEAAEQLIQLSGGDGAAAGDNDAGSESRSADSVKCGRKEKEAAVESRRRSVGRIPAAGKDDGSAGGESEIKGVDSFAACSGTAADVVEKEKVVVVESCRRRTAAAERCPAGKKDRDGGIVRGEARKRPRFRLLADIYRDTEPSRLTAAGGGEGHADRDPPEGDRKKEKKRARPTR >Sspon.01G0031180-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:107574585:107586130:1 gene:Sspon.01G0031180-2D transcript:Sspon.01G0031180-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWSGMEVRPEWDVNKLRELRFVVFVLLVSLPCFSASDRQGEDERRIAFGQLKRFAWRELQIATDNFNERNVLGQGGFGKVYKRVLPDATKIAVKRLTDYESPGGEAAFLREVELISVAVHRNLLRLIGFCTTQTECLLVYPFMQNLSVAYRLRDFKPGKPILDWPSRRRVAIGTARGLEYLHEHCNPKIIHRDVKAANVLLDEDFEPVVDDFGLAKLVKKLQREGELDSIVDNNLNQNYDSEDLEMIIQIALLCTQASPEDRPSMSEVVRMLEGEGLAERWEEWQHVEVTRRQEYERMQQRFDWGEDSVYNQEAIELGPGQRKRKPPRVDGFIYDGNEVDLDASKDADFSMEPCSKSEKKSLKKTVKPRHEVVAVHERNSTGNIKASLSSNGENKSLPLITAKQYACRAAHLSSLVVSWSPVVSSSDNTSCLLRHW >Sspon.04G0004820-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:13993671:14002766:-1 gene:Sspon.04G0004820-1A transcript:Sspon.04G0004820-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVYTQEHVYRHPWDRVTAAAWRKFTDPASRTALSHVADVHTLHRRLDSDTGRLHAARSLTVRSPPLPFILRRLLPSAAASPNGAALCHCVETSLVDAQRRAMDVVVRNISLRGLIEVEERASYRPHPDRPDDWTQFRQETTIRCRPLAALAAVAEKVETRCAERFLQNSAKGREVVERICRYLEAESAGAAPSHGFHFDAVLQPHLYFVDQATASPCMITFKKKAPNAIKEIRKFAQKAMGTTDVRIDVKLNKHIWSSGIRSVPRRVRVRIARKRNDEEDAKEELYSLVTVAEIPPEGLKVDQNSEVDGHLNTLLHESALGVVGTQLRGAVEDDLVAAAVPGDLHEVAHDAEPETPAARGGIDHHVLHVPHLAAASQELTLHEEAPCREDPPVRGGGVLGHEDDVVVALRRELGEARGELGC >Sspon.02G0003940-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:13967375:13972818:1 gene:Sspon.02G0003940-3C transcript:Sspon.02G0003940-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosome biogenesis protein WDR12 homolog [Source:Projected from Arabidopsis thaliana (AT5G15550) UniProtKB/TrEMBL;Acc:A0A178UL95] MDEDPSRQARVRFVTKLPPPLRAPPAAIAVPADLTRMGLSEIVNSLLAAAEPDHQAQPFDFIVDGELVRLPLQEFLLAKGISADVVVILRGFAVNVLQERVLELEYVKAVAPRKQEEPCPHDDWVSAVDGSNPRLWKDAAVCTQILEGHSDAITSARFINKVIGMNDVVTGSETEGSLHVVTGSKDRSLRLYKLCSGSWDNTIKLWAVEGSEDDDAVPLKKRRMNSDSSGPEESQLEGSATSTLLGHTQGVTAVTWPEQKTIYSASWDHSVRQWDAQTVKETWNMFCGKALNCLDCGGEGSSLIAAGGSDPVLRWPLASVDSHEDKVLCADWWKGNSVISGGADSKLFILALAGATDGNVKYTM >Sspon.03G0009780-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3A:26319410:26320090:1 gene:Sspon.03G0009780-1A transcript:Sspon.03G0009780-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIASVPRDILIVIFTYLQCFADLTSVAGVCRDWRKAVHLRLGASQQDQLPWLLQPSHDDAPPSTITSYVSGTTGRRICLPEGVRRTDRFCGSHDGGWVAVAADRRGHYYYKYKLVNLIKQAIASIPLPSTLRSHRPTRSEMVDIRMVTLSDVPTAGSCIAAAHTVGGYPSIIFCRPQVDGHWVPPLMDTDPLQDTLYHRGELYEGFYSISNRDNLYLFMPMEINN >Sspon.04G0026550-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:53730524:53734269:-1 gene:Sspon.04G0026550-2D transcript:Sspon.04G0026550-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKRRAPSPSPSPSPPPPPPQQQEESSSSEESGSEELESPRPLAHRRPPQAAANNADSSEADSESDTDVQAFQMRQVPRSPTKHTHPAPQPESDAEEEEVGESSESEPENPVPVVQKKTAAAAARMSKTEQERKRPASDPAPTGKAKKAKAGEEKAVAGPEATLPAKAKKGKVELDKPAPDATPAGKAKKGKAELEKPAPDATPAGKAKKSKAEKAAPEATPSGPVRWGKDDEMKILEALAAHVKSEGELPKTDLLLASVRDRLVRKNCTYSDIYEKVRRLKERYEKAVSMSTVPSTEDGLQMYNLSEAVWGEKAREAAAAATSQKAGTVTKGKKGQANKEKMDGNAKGGVAKEAAPSTANQSGDSQKGSKKGQARLSEEAATTASPSKSKKQESHNEELKKDAGNLAKGKKGKTDKGKMDRDTDSLTPKEIINANENGGILIRSKEEEIHDDETEGDANVQGVHRGFDDLQKLYSNLAVYVEEIEAHHPCGETLKRAFGSIADEKAEGLESKIKKLRVAETKAEVRQGDIKKELCTLKWTEGVDAHEES >Sspon.06G0035350-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:72072977:72074278:-1 gene:Sspon.06G0035350-1D transcript:Sspon.06G0035350-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVLTLLLILLASLCCSAAAPPSGYRSTLTHIDSHGGFTKAELMRRAAHRSRHRASTMLSHYSTMSTSSNAGPARLRSGQAEYLMELAIGTPLVPFVALADTGSDLTWTQCKPCKLCFPQDTPIYDTATSSSFSPVPCSSATCLPIWSRNCTASSPCRYRYAYGDGAYSDGVLGTETLTFGSSPGAPGVPVGGIAFGCGVDNGGLSYNSTGTVGLGRGSLSLVAQLGVGKFSYCLTDFFNTSLGSPVLFGSLAELTPIGSAAVQSTPLLQSPFSPSRYYVSLEGISLGDARLPIPNGTFDLRADGSGGMIVDSGTIFTILVEPGFRVVVDHVADILGQPVANASSLDSPCFPAPAGARQLPDMPDIVLHFAGGADMRLHRDNYMSFDQESSSFCLNIAGSASRYGSVLGNFQQQNIQMLFDITIGQLSFVPTDC >Sspon.02G0020840-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2A:67883569:67884162:-1 gene:Sspon.02G0020840-1A transcript:Sspon.02G0020840-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RQLDDLPSDVLYKLLAGLPAGDVVRTSVLSQAWSSRWESVPDLDIDLDDRVRDWESAAGFLERCAAPVRGVSIRGIPLRLSDRADGWVRTVAGKSPRSLSLALDMTPLPSLFACNPAALAELKLATCVLPPPPPAFTGFHGLTALDLDFVLFSGEKGWERLEAMISAAAPTLKKLRLANIGFHDVALGEGLPGAWIIQ >Sspon.04G0007270-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:17430433:17431859:1 gene:Sspon.04G0007270-2B transcript:Sspon.04G0007270-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAKRIVLPSTGAQLTLHDFSADIKAAAPKPSSIDATMASVSRRVLLALLSLLLLPAALSAPAATFPGDKAALAALRSAVAASSVPPYSCLASWDFARDPCAAFPCGLRCYSPAGATNSSYLRVTAVALDPVGYSGALPAALLSSLPFLASLSLADNRFHGALPGGVPLPPSLRILDLSGNAFSGAIPGSLFTASSALQELYLSRNGFSGGVPPQLALLGALTRLELQHNALAGPLPRLGAMRSLVHLDRQRAIGLAARRAGDAAAVALVRRGAQQLLLRPAERRGAGRAPAVRVLDLTGNAVSGAVPGAALAHPALQQLRLGSNRLDAVQEAPDGGSSSQLVELDLSGNRLAGRLPACLGAMPRLAAVALDRNRFTGGIPERYAVRAAAEEATEQWVPFVRLMLQGNYLCGALPRQLRQLKEGNAVVSLADNCMPRCPRKFFFCQGAPQKDHATCPKCDTSIHREDILLRMP >Sspon.07G0010160-3C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7C:26651702:26652067:-1 gene:Sspon.07G0010160-3C transcript:Sspon.07G0010160-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKALPDLLKEYDMPAGLFPRDATNYEFNEETKKLTVYIPSACDVGYKDSSVLRFFTCVTGYLEKGKFSDIEGMKTKVLVWTKVTAIKTEGPKVHFTAGVKKTRSRDAYDVVRDGITIDKF >Sspon.04G0002790-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:8539000:8543315:1 gene:Sspon.04G0002790-1A transcript:Sspon.04G0002790-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Methionine aminopeptidase [Source:Projected from Arabidopsis thaliana (AT4G37040) UniProtKB/TrEMBL;Acc:A0A178UWL4] MASLSSPRLLSSFLGDRLALSGRPLLLRSAVPGTCPPPSTALPLCGCAPGFIALAQSRSSLRRLLLLGSRRVTYQATRTLCNLVDILFNRRSRDDAPENNPRRLHPGKVSPHLSVPNHIQRPPYVNSRQQRPGMNNGPEIHDEKGIKCMRASGKLAAQVLKFAGTLVKPGITTDEIDKAVHQMITDNGAYPSPLGYCGYPKSVCTSVNECICHGIPDSRPLEDGDIINIDVTVYLNGYHGDTSATFLCGDVDDEAKKLVKVTRECLDKAISICAPGVVIKQIGRTIQYVKHMKLAHSIALFYRSMSSEPMLTIGSINPVMWSDDWTAVTEDGSLSAQFEHTILITEDGPEILTQC >Sspon.07G0014800-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:58142529:58144172:1 gene:Sspon.07G0014800-2B transcript:Sspon.07G0014800-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLELVAKQVEKVDPGAPGFWRDFLLGMLKPVAATAVVAMAVALSFSQRLGLEGEMLYATARAFLQLSVIGFVLQFIFTQKNALWSLLVYLFMVTVAGYTAGQRAKQVPRGKYIACVSILVGTAITVLLPVLLGVFPFTPRYIIPVAGMMVGNAMTVTGVTMKKLREDVKIQRNLVETALALGATPRQATLQQVKRSLVIALSPDIDSAKTVGLITLPGTMTGLIMGGVSPLEAIQLQIVVTYMLMSASALSSILSTYLCWPAFFTKAFQLDDKVFAD >Sspon.03G0019080-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3C:80374842:80376090:-1 gene:Sspon.03G0019080-2C transcript:Sspon.03G0019080-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DWEAPMREWKPLCDLTSNYRIDWCELEGDVRVVGSNASVTLVAPPGADNHTFHEESWSIKPYPRKADPNAMHSVRSLTVRSSVATATATDAPPPACTDWHDVPALVFSVRGYTGNYFHAYTDVILPLFLTARQYAGEVVLLVTDFQAWWVGKYLPVFRSLSNYAPVDLDRDPRVRCFRHVQVGLTSHDDFSIDPRRAPNGYSMLDFTQFMRDTYGLPRDVALSPVAPASRDQGQGRRGRRRPRLLVIARARTRRFLNTEEIVRGARKVGFEVVVSEGTHEVAPFAELVNTCDAVVGVHGAGLTNMVFLPRGGVVVQVLPLGPLEFVASYFRGPARDMGLAYLEYRISPEESTLVDQYPRDHPVLTDPMSVKAKDWVSFMGVYLFKQDVRLDMKRFRPVLKKALARLRAKPKSNNN >Sspon.07G0022080-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:5387481:5387870:1 gene:Sspon.07G0022080-2C transcript:Sspon.07G0022080-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRAASTRPLQTREWRRAWNVALEWEQKAGTARKTWSAASGREAAPRWSMSAANAVGCSGTRRERSAVRSGGMSGKSRARARRYSTHAAKGWSWRWRGAERSEAAAATGEGRDLRWRRKGCGSGGGFGL >Sspon.03G0031490-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:26234893:26236960:-1 gene:Sspon.03G0031490-2D transcript:Sspon.03G0031490-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding IAMSALRVLLLTLAIAASCASASAPAPSPDAVALLAFKSVCSDRAAALASWTESSDPCAAKWRGVTCRRPSSLTSPLRVRRVVLEGLRLGGHAAALELLADLPVLSSLSLKNNTFTGALHGVDFSRLAPHLKLLYLSGNGFSGRFPESVLRLRHLRRLDLSGNHLAGTIPPEIGHCLRALLTLDIQRNSFVGFVPDSLNAMPKLAELNVSGNHLEGRIPNRLAAAFPASSFDGNPGLCGAPLARRCNEPQQIVYNNGGGEASNGSMTTGRGNKIHDRWMVAMIMSAVGAAVATLVAAALCAVLLLKNRKPARRPGASSTSASSTVAREETVRFDGCCVEFDVATLMQGAAEMLGKGATATTYRVVMGGNVDASDAGVEEAHGEVVVVKRMRRRDGASREDERRRRELAREMGTWRHANVVGLRAFYASTEELLLVFDYMPTGSLHSLCVENRGPARVPLGWQTRLKLAQDAAHGLAYLHGVSGGNLSHRHLTSSNILVDGSGNARVSDFALLQLLAPAPPGEALQKQDVHGFGVILLELLTGRQSPEDGSADLPRWARTVVREERTSEVFDVELPRSRGAEDEMVALLQVALLCVADNPRERPRMAVVAKMIEDIRDRGSKRSNNKCSASPSQAGHSYESSPSVSEDTTRSTPASS >Sspon.06G0019400-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6C:1334000:1336909:-1 gene:Sspon.06G0019400-2C transcript:Sspon.06G0019400-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQHDDDLAGVHPEEGDRHGVRMDDSDYEDDELDQSTSKVTEHVTAMDVKKGKDIQGISWDMMGTTRDKYRQSRLQRYANFENECMPSEKGQLYYEFQHNTRSVKSTILHFQKHHGNYYDGFYRTPVSTLAVKHNLLVIGGFHGEIICKFLDRQGVSYCCKSTHDDNGITNSLEIFEKPSGSLHFLASNNDCGLRDFDMERFQICNNFRFAWPVNHTSLSPDGKLAAIVGDNPDGLLIDANSGKLASVCFSQTVHELCGHLDYSFASAWNPDGRTFATGNQDKTCRVWDIRNLSKSVAVLGGNIGAIRSIRYTSDGKFMAMTEPADFIHIFDVESGYSRKQELDFFGEIAGISFSPDTEALFVGVHDRVFSCLLQFNRRRFYSYLDSPL >Sspon.04G0020080-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4A:70667992:70668819:1 gene:Sspon.04G0020080-1A transcript:Sspon.04G0020080-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RWRKATKLREMFGGKAAHRKDDALPKLERTPWILSPSKRRPAAAGGATDDNAAVDDERDQPALHVVPDGDPERWHAQVFRSVDAGSVKRFPRPWERAEMARRHLVGDKNLAVEQSIHAAYVAEIRSEERFVYVENQYFIGGSYAWPSYRNSGAWNLVPMEIALKVASKVRAGEPFAAYVVMPMWPEGNPGSGPAQEILFWQNQTMEMMYRVVAAAMEGKEGEHPQDYLNFYCLDNREPAEDGAAAGGGDRNKWAAPADPPVARAPARRVRGGTGGS >Sspon.01G0032480-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:109753397:109759888:-1 gene:Sspon.01G0032480-1A transcript:Sspon.01G0032480-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSEQGMVDEAQKALEEAEALKKLAPRPSHLQTLLNIPPLMFGFDRRLADHFGGKLHLGYMLIREKQKELQEQRNKRRTGKTEDDRRSREHSKDRNGRSSRDRDSERRDRVESRDGRRDYDRDRDRRHDRDRRHDRDRDRDYDRSRGHDSRRRERSRSRERSRFLLEKSES >Sspon.01G0039770-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:30046022:30055747:1 gene:Sspon.01G0039770-2C transcript:Sspon.01G0039770-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGRGGGGGRKARNFATFRLFPRAGAADPNDRVFVRVDNNDYTVPGFADEDSFDPSLSDPSAGDGNFHSASGPLPEHVRREILELGLPDDGYNYLSHLRELRPAATAASSFVPSSTARPEPLPLDVKAYDASRVRVGPSDGELDEGKTMCKVAAKTAPVRRIEKAVDPDVARLLDESDVSHVGSEDEGLEEDFVIIANRAEGEELEEEDIEEMEEWNGVLSDVEEEEFDFEEDEPKPRLALEEYGDSDDDDRVVKDGEYELPSEAINELKLFQSQNVCVDEEYRTPADFVRQKLDSSTTDEVDESACVIKKCAEYAERYLNETAEEEVVVLVSESSDESEVWDCETIVSTFSNLDNHPGKIETPGIPRKRLPRVFPGETTTTNDIIKLHGKEKLPVEYLPQRRRNGEKEKKVKPVEASVTDKFKKGAEKETKEEKKARKAAVKEERRQAREAKKELKGLYKSETQKAQKVAAVTGPSSIRLIRAELFLFQDELEPGSQALNSELK >Sspon.07G0033720-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:54329865:54339011:-1 gene:Sspon.07G0033720-2D transcript:Sspon.07G0033720-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLQLLQLTDRGRGLLASRRRTLAVVSGAVLAGGALAYARSSQSRRRRRPEANRGGEGGALAANGDGAGGGLAAARQKSSGLKSLHFLAAILLKKIGPRGTRYLLGLVLTAVLRTAVGHRLARVQGFLFKAAFLRRVPTFTRLIIENLMLCFLQSTLYQTSKYLTGSLSLRFKKILTDIAHADYFENMVYYKISHVDHRISNPEQRIASDIPKLSSELSELVQDDLAAVAEGLIYTWRLCSYASPKYVFWIMAYVLVAGGVIRNFSPAFGKLKSTEQQLEGDYRQLHSRLRTHAESVAFYGGENREASHIMQRFEALVEHLNLVRHENWWFGMIQDFFLKYFGATVAVVLIIEPFFSGNLRPDSSTLGRAEMLSNLRYHTSVIISLFQSLGILSISTRRLNILSGYADRIHELLDVSRELSGVRDRSLNHNSPGNYVSEASHIEFSDVKVVTPAGNVLVDDLTLRVETGSNLLITGPNGSGKSSLFRVLGGLWPLVSGHIVKPGVGSDLNKEIFYVPQRPYTAVGTLREQLIYPLTADQEIEPLTYDGMVDLLKNVMLFKLRFTFDIVDLEYLLERYPLDKESNASLGSKDHSYCTEVIATSPKVEIEHAARTSRIPHLRCHPRPLPLRVAAMLKILVPRLFDKQGGQLLAVAVLVFSRTWISDRIASLNGTTVKFVLEQDKAAFIRLIGVSILQSGANSFVAPSLRTLTAKLALGWRIRMTNHLLRYYLKRNAFYKVFNMSGKSIDADQRLTLDVDKLTTDLAGLVTGMVKPLVDILWFTWRMKLLSGRRGVAILYAYMLLGLGFLRAISPDFGRLSGQEQELEGTFRFMHSRLRAHAESIAFFGGGSREKA >Sspon.04G0017380-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:63073141:63075802:1 gene:Sspon.04G0017380-1A transcript:Sspon.04G0017380-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:MADS box transcription factor, Regulator of early seed developmen [Source: Projected from Oryza sativa (Os02g0170300)] MGRGKIEIKRIENSTNRQVTFSKRRGGLLKKANELAVLCDARVGVVIFSSTGKMFEYCSPACRLVVGCLRELIEQYQHATNSHFQEINHDQNEMDKLQTGIRRYTGDDLSSLTLDDVSDLEQQLEYSVSKVRARKHQLLNQQLDNLRRKINENQQAALTGEVKLGEMTAPLAMLPPPAAFAHSTYYGGESSSSGTALQLMSAAPQLQHADLGFRLQPTQPNLQDPAAACGGLHGHGLQL >Sspon.05G0021470-2D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7D:83362802:83363149:1 gene:Sspon.05G0021470-2D transcript:Sspon.05G0021470-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGVSSKGFDDESSADQLLPSVGEAGDDGEGDDAGGADVPRGHDGSSSNSSTVELDEAGGDSGRMAAGASPSSVRPYVRSKNPRLRWTPELHLCFLRAVDRLGGQDRKQSNHLMS >Sspon.07G0000400-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:6623066:6627274:1 gene:Sspon.07G0000400-2C transcript:Sspon.07G0000400-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHAAAGSGLQRPEKPARGAGPGAVVVVAVRAAAREISKTAAVWALTHVVQHGDSILLLVLIPPPSSGRKFWGFPFFAGSCASGHKAVLNQRSDVAELCSQMIRKLRDVYDPNNKINVKVKILSGSPPGALKHEEKRCMEELQCNIVVMKRSGPKVLRLNLVGSHEKESKPAPPASPEPSTSVGNTVSNTKEQRSPIRVPSVTPNSSPESEAPFDTTDVGTSSVSSSDPAASPFCASDTNSSLTKEAAKDNIQHSDVNISDSESEASTPPPASSLQPWMADILQGPASARLLGNRPRRTPTADSLLEKIAKLDLLTEINAIRSRSDLNFRGNVRDVVSLSRSAPPGPPPLCSICQHKTPIFGKPPRWFSYAELELATGGFSRANFLAEGGFGSVHRGVLPDGQAIAVKQHKLASSQGDVEFCSEVEVLSCAQHRNVVMLIGFCVEDKRRLLVYEYICNGSLDSHLYDRNKETLEWAARQKIAVGAARGLRYLHEECRVGCIIHRDMRPNNILVTHDFEPLVGDFGLARWQPDGDLGVETRVIGTFGYLAPEYAQSGQITEKADVYSFGVVLVELVTGRKAVDINRPKGQQFLTEWARPLLEEYAIDELIDPRLGSRFCENEVYCMLHAANLCIRRDPHLRPRMSHVLRILEGGDMVVDSGSDAGSRSWRLQNERCQEQSSPAQRVSQS >Sspon.01G0048020-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:115689348:115693387:1 gene:Sspon.01G0048020-2D transcript:Sspon.01G0048020-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCCCWGARIKDGSLHPGASGVFSKSSGKDGSRLSGCSSRASSASMPPSAKTECEILQSANVKVFTFNNLKAATRNFRPDSVLGEGGFGSVYKGWIDENTLSPCRPGTGIAVAVKKLNHEGLQGHREWLAEVNYLGQFCHPNLVKLIGYCVEDEHRLLVYEFMPRGSLENHLFRRGSYFQPLSWNLRMKVALGAAKGLAYLHSAEAKVIYRDFKTSNILLDTDYSAKLSDFGLAKDGPVGEKSHVSTRVMGTYGYAAPEYLSTGHLTTKSDIYSFGVVLLEMLSGRRAIDKNRPQGEHNLVEWARPYLTHKRKIFRILDTRLEGQYNLNSAQTIAALALECLSYEAKMRPTMDAVVTILQEIQDSGEAEKHQEPKAGTKQAPSAVSASMSSRKPRRRSLAGTKETVGPNPKPLAHSR >Sspon.06G0028690-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:10228313:10228935:1 gene:Sspon.06G0028690-1C transcript:Sspon.06G0028690-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGSSKRIDGGGADKSSPDDGQNQNASPNVPASAAGDDGASAAAAPPAEGRRPFTSLSQEEADLALARVLQEQERAYMMLTGGEYAISDAGSYDYDEEEGEDDDDGSDYEEEGEALDEDEQVGDAQAEGAEGDLDLDPSQYEDDEAFARALQDAEERDVADRLMALVGIGDCECID >Sspon.04G0012060-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:34320779:34322677:1 gene:Sspon.04G0012060-2B transcript:Sspon.04G0012060-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AAIQQVKWDPSKVKDKLKRDIEAHVASVRAAKLSELCAKYEAQLTKALAEPVEALLDSASEDTWPAIRKLLQRETKAAISGLEFALLAFELDEATEKELLAKLENHGRSVVESKAKEEAGRVLIRMKDSRDADSMPRVWTGKEDIKAITKTARSASMKLLATLAAIRLDEDGDNIENTLSLALVDTSRPGTTDRSIQSFDPLASSSWEKVPEEKTLISPVQCKSLWRQFKAETEFTVTQAIAAQEANKRNNNWLPPPWALAAMAILGFNEFMTLLSLLKVLQTDIDEGQRPAASDRQRELELQPTNRSSYSSVTSAGSSSITATENGPEYSSPVAK >Sspon.04G0025500-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:30902507:30904582:1 gene:Sspon.04G0025500-2C transcript:Sspon.04G0025500-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SHVEPTAVGADQAGEGAVVPHGDVVGGSGGEVATTEAQVAVAVSTSGDERRGDYGDDAENEEEEEAATVQGSKEGTEELLRKVVYSEEAAYKLYCDYGHRMGFSIRKGKQSYFTGTKRIRTKDYFCSKEGLKEGEKLTDTNFNDPHTRTNCRAMVRFRVNDQGEWKVIRLVSDHNHNLARPEERHLLRSARSLIAGRSSSVEAMLYGGYQVCDSESEFEETWAQMLCEFKLQDNKWLKKLYKLKQKWCSALNKCTFDGGIEYEPQCDNMSNIFNNVSDKLTSLCAIAVAVDKQTEDWREKELDEDARCLQKPPACIIKHSDILNHAAKVQGQEALRKVLWETLESG >Sspon.04G0004530-2D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4D:14389832:14390758:-1 gene:Sspon.04G0004530-2D transcript:Sspon.04G0004530-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIDTGSDVSWVQCKPCSQCHSQVDPLFDPSSSSTYSPFSCSSAACAQLGQEGNGCSSSQCQYIVTYGDGSSTTGTYSSDTLALGSNTVRKFQFGCSNVESGFNDQTDGLMGLGGGAQSLASQTAGTFGSAFSYCLPPTPSSTGFLTLGAGTSGFVKTPMLRSSQVPTFYGVRIQAIRVGGRQLSIPTSVFSAGTIMDSGTVLTRLPPTAYSALSSAFKAGMKQYPTAPPSGILDTCFDFSGQSSVSIPTVALVFSGGAVVNLDANGIMLQTSSSILCLAFAANSDDSSLGIIGNVQQRTFEVLYDVGG >Sspon.03G0031320-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:25103434:25106082:-1 gene:Sspon.03G0031320-2D transcript:Sspon.03G0031320-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWLSLAALKGCYCWSPWCERALNRTKKAINGCCRACGKPSIIFMDEPTSGLDARAAAVVMRTVRKTPSILEELLSALSINQVLISLKLFDEQVLQSLFSHPAAAFNEKRRPDHILWPLGRNSHKVVEYFEEVPGIPKIKEGCNPATWMLDVTSASTEVQLKIDFAEHYKSSTMHQRNKALVKELSKPPPGSSDLYFPTQYSQSTFDQFKFCLWKQWLTYWRSPDYNLVRMVFALFTALMLGVIFWRVGSKIKSSADLLIIVGSMYFAVAFVGFDNCITAQPVVSVERTVFYRERAAGMYSAIPYAFSQVVVEIPYVFVESVIYALIVYSMMSFQWTPAKFFWFFYITFLTFLYFTYYGMMSVALTPNPQVASIFAAAFYGLFNLFSGFIVPRSRIPVWWIWYYWICPVAWTVYGLIVSQYGDVEDFIKVPGKSDQQVKTFIKDYFGYDPDFMGVVAAVLAGFTALFAFLYVYCIKRFNFQQR >Sspon.01G0007580-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1A:21032597:21033658:-1 gene:Sspon.01G0007580-1A transcript:Sspon.01G0007580-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSAAAQAGVVAACVVLFVPMGLAGWHLSRNKVLFFSGALFISLAVGVHLSPYLPSLPHLVSSFLLPHPGAASASSGSSCVPFLHRVSWSDAAGTGDDGSRGGKARAWSWPPSLASACGFARLSRDDASLLLNGSWVMVAGDSQARLLVLALLRLLLDPAAAAAAEPELFRRHTDYRAAVPARGISVDFVWAPFESNLTRLLREDLRLAPRLPDVLVLGSGLWHMLHVTDAASYGDALASVAGAAKSLRSQLPVPPPHMFWLGLPHLVNHMLNTDAKRAHMNGTMLHAYDREVDRRGVLRGDGGPFLLLDVGKLTQGCGQQCTADGMHYDGDVYDAVMHIMLNALVIESQQRI >Sspon.04G0002840-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:4578577:4579329:1 gene:Sspon.04G0002840-2B transcript:Sspon.04G0002840-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAYSVVIRLPGPRALRVLARSVLFAVALLSLPWLRTAEAPARGRAVDTCSAAAAQAELLLRDLRQEGLLAHGARAVVLGADGDCDPPAPKRDQDSVLRPVSLRRMLMIGDSSVDFLLDFGYFSEDADRFAFADRVLKHGGILAAPINSLSALSLPQNYRVTYIHRFAEAFVGVKKIAPADDNSHAGTRTELSSPPSLKEGVLYSQPAETTNGEFKNMARKLLLSDITGTPTAYYKRKMLVQMLRQDQKS >Sspon.07G0008000-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:19096486:19100964:-1 gene:Sspon.07G0008000-1P transcript:Sspon.07G0008000-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSPAAAAAAADEAARATETVVVDERVASHVDPFLVEALDNPRHRLMEVPSRQARNDHEAAEKLKFVIYQRPKAFQNGSADSRNMNGAPKTVEERIEEYNKARARIFNGSISADSDAASVLGTLSTGRDEPVNVEPSVDEIKVSTMNSRSRVAVFKDTEKDRSDPDYDRNYTRYVRSPVPDFNLSPGAFNFVVPQFMQYGVGYVQSPGMSTNQPTVYFGQPDLAMGSSSGAAVYPHWPTPAMMYPHCYDNVGPMISQVPLYQSFNHESKDFSDLKKDA >Sspon.04G0017860-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:68423799:68427535:-1 gene:Sspon.04G0017860-2B transcript:Sspon.04G0017860-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGSLLNSKFYNKCKHAIKCTRTRLDLLRRKKQAMVKFLKKDVADLLTSGLESHAFARMEGLIIEMNQASCYDMIEQYCEYIVKLLNHMQKESECPQEALEAVSTLIFAAARFPDLPELCDLRHIFTEKYGSSVEPFVNSEVLKCLYFSYIELISIFVQNLQSKSFTNEEKLRVMKRVAEEFSVPFDSRALEWKITCGSQNKHDLPKKSSLKQEMEASARDGHKVDKHAVHERKSKAMPEGYEQKQEMAVKPKDIHVVPDGIGQLGEKSRKKYSDKPSEKNHMDNPLPPLDMKEKNGQKEMKKYDKKDGHHRRELMDAEVLDLNGLKKQDAGAAKPSGGPDRMGVDDEINNARPFHRIPSERRKHRSRRNGSTSGSDYNGASEDHESDGDDVNTAIDFGNLLPRAPSSHRKHRSRSADPRKGGRDDEEKMMDKLLMHYSKKGLDREEHKERVKSRIPRPRADQRADDGAGELSNKEGASAHRPERAVSLPSESASPKAKPKAKAPVRSLSMQPEMSRGNVHPSMPDFDELAARISALRNA >Sspon.01G0036240-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:18581523:18587152:1 gene:Sspon.01G0036240-1P transcript:Sspon.01G0036240-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKKRSPQPPASPAGGEVGAQTAGKATPVTAAPESAPVAVVPKPPDVAPFLTKVYDMVSDPATDAVISWSATGGSFVIWDSHVFERDLLPRHFKHNHFTSFIRQLNTYGFHKVDPDRWEWANEGFVKGQKHLLKTIKRKKKSSQDVPSDLQSVPVKTAPGTENIEIGKYGGLEKEVETLKRDKALLMQQLVDLRQYQQSSSLEVQNLIQRLRVMEQNQQQMMALLAIVVQNPDFLNQLVQQQRRSNWWNDDGNRKRRFQALEHGPVDDQEASGGGAQIIQYRPPVPETSNQPIPANEAIYSNHAQPVSSPALEMPMDVEMTSNNVDTLDSTGNDFTDTSALCEWDDMDIFGDELEYILQQPEQNFQVDPPLTVEDYGYDRPRLEQDCQMEAQQNCKNPQYADVITEA >Sspon.06G0026090-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:73009359:73013343:1 gene:Sspon.06G0026090-3D transcript:Sspon.06G0026090-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKASSPLIPPPSEIDLEAGGGGEQLQCRICLETDGRDFIAPCKCKGTSKYVHRDCLDHWRAVKEGFAFSHCTTCKAPYYLRVHSHTDRKWRTLKFRFFVTRDILFIFALVQIVISALAYLVHFIDGYQQYWLRTAWGFDNEVSFYYICEYGMTWLSLVENYVFVAVNQDCHLPGTLCMWTDCTTCFEGCATTAGECGGCLGGAGEAGLPLLLIMGVIVLGLFTVIGIFYSVLVATMVGQRIWQRHYHILAKRMLTKEYVVEDVDGERADWCPPPLPAEHISQLKSLGLL >Sspon.03G0041560-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3C:43370304:43371649:-1 gene:Sspon.03G0041560-1C transcript:Sspon.03G0041560-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding TTKRKGWINHSIKGPESIADHMYRMALMALIAGDLPAVDRERSRSISSNYCFVFLVSLCSSLCHISVFYTCVDDHVLNRCIKIAIVHDIAEAIVGDITPSDGIPKAEKSRREQAALDEMCEVLGGGPIADEIKELWEEYENNSSIEANLVKDFDKVEMILQALEYEKGNNFFVMANLCTFKT >Sspon.05G0022630-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:3762147:3764645:-1 gene:Sspon.05G0022630-1B transcript:Sspon.05G0022630-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSAFSEDILADKLAKLNNTQQCIETLSHWCIYHRKNAEQIVQTWDKQFHSSGNEQKVPFLYLANDILQNSKRNGTEFVEEFWKVLPGALKNVSENGDDRGKKVASRLVDIWQERRVFGSRAGGIKDVMLGVAPLPVLDMTKKRSHSSSIRIVKRDSRSVKLRLGIGGTAERIVSALHTVLNEQADEDADLEKCKTSMRHVGKMEKDVDSACSKAEDPRCEVLCTELKDEEANMKKCIEKLKVVETNRAAVVSELKEALQEQESELEKVRTQLQLAEAMVHEASNMQRRLKNEPTIPLPKSASSVEPGKVLSNGQVKEQQKTAAAILADKIAASSNSQQILQSALSKFAAEEAKNSSETRSDKRQKTDQLSQVPSVANAAAFVPMPQVVATTAQQQPQAILVQQAPMQSQSPASQPQYNIYQAPPQQFVPQPGGVMMGMPYNMSTMAPPPPPLPPQMMNLGRPSPSAPQPQMAVMPQTQPPTPPMLQQQMPMNLAPQMQFALQPPGMPPFRHMQPPPGMQYFHPQSQ >Sspon.02G0051640-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:81226794:81228178:-1 gene:Sspon.02G0051640-1C transcript:Sspon.02G0051640-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQRKDLNALWALVALVVGWDFGAKIWAYAYLGPRANGTGACVEDHWTSEAVTTLACVGRVVCGSGNPLFLEPYWRRHAATSAVVFSGWHRMSYTTTGRFQSVELERQIRRLHRAVGNAVADDKHLVFASGSLQLINALVYALSPDAMAAKPPSRVVAAAPYYPAYRVQTYMFDGREYRWGGTTALWTNSSRTNSTDGFIEFVTSPNNPDALLREPVLCSSAAAIVDHAYYWPHFTHIPAAADEDIMMFTISKPSGHAGSRFGYINFATSFDY >Sspon.01G0008300-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:22502848:22509019:-1 gene:Sspon.01G0008300-1T transcript:Sspon.01G0008300-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMRLLSPSTPPPLFPSPGPKAPASISASPSSNFSVRLRRARAASAAAGAAAAGGSDRDGGRFEGEAMSGAFDRGLAEIARKVPLFEPAADGELAAAAGERPLPINLELWLYRVKVHTRKFEFPEAEKLLDKCISFWPEDGRPYVALGKLYSKQSRYDKVRAVYERGCQATQGENPYIWQCWAVLESKSGNIRRARELFDASTVADAKHIAAWHGWAILEIKQGNIKKARNLLGKALKYCGGNEYIYQTLALLEAKAERFEQARTLFEQATQSNSKSCASWLAWAQVEMRAGNNTMARKLFEKAVQASPKNRFSWHVWALFEANEGSIDRARKLLKIGHAVNPRDPVILQSLALLEYNFSSANVARVLFRKASQIDPRHQPVWIAWGWMEWKEGNARTARALYQRALSVNSTNECAARCLQAWGVLEQRAGNYTAARRLLRSSLSINSQSEVTWMTWAALEEEQGDPVRAEEIRNLYFQQRTEVVDDASWVMGFLDIIDPALDSVKKLLNLDQPAGPTRQDDVKSTARSSAAGESSETSAAVGSDFSGLKSHDAGNNGSEATGTPTSDFDLDGFIKKRLALDPGELDAVLEGSDPRGVVSQRRKQRLPRTPLPLLPAP >Sspon.01G0061870-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:98737250:98737678:-1 gene:Sspon.01G0061870-1D transcript:Sspon.01G0061870-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIQIVQVFPVGLVPGLESLETERGLRGFRRIESKEKLRQLRNSKTGFGGTSEHRELYIRDRRRVASDQARGFGEARRMDATQMQGREGGDGFVVRCQCGDDVRRGGGRGVMWGCLVRMTKEMGRGWQWPSPEATRCLSLHR >Sspon.07G0025460-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7B:42418975:42421107:-1 gene:Sspon.07G0025460-1B transcript:Sspon.07G0025460-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGKSAALMLGLLLLLCVLRHGRRSGTTPSGASATPSPTPATSAWAGAPPGSPRGSHPTGRPSSAAPPGAAPTAASSSTSWVLTRSGTTGRSTPKSSGSASSSHPSAETVRKSRVKLNVTVESQVIGRPADCKNYLSKSLFVVGEFGGNDYNAALFAGRSMAEVRGYVPRVVSKLIRGLETIVRSGAVDVVVPGVLPIGCFPIYLTLYGTSNAADYDRDGCLRSYNGLSYYHNALLKRSLASLQRTYPHARIMYADFYTQVTHMIRAPHNFGLKYGLKVCCGAGGQGKYNYNNKARCGMSGASACADPGNYLIWDGIHLTEAAYRSIADGWLKGPYCNPPIQH >Sspon.05G0004360-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:8081773:8082075:-1 gene:Sspon.05G0004360-3C transcript:Sspon.05G0004360-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTAKVKAKDMVSEAKEKAKEAAAKMQGKTGEATAATHGEKEMAKEAARAKKDQASADKHQEKVEHRADAATTGRHGAGAAVDPAYPSAGSTYPASGKYI >Sspon.03G0024800-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:98740376:98741463:-1 gene:Sspon.03G0024800-2P transcript:Sspon.03G0024800-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GHDWAEHGECRARHPAAAEPVQGERHRALGHRRQRQRGPPDRRRHDPGVLGAPVPVELAEARRRAGERAAARGRRRLHEGVRFPQLLRLHRGAGQPGAVGEHAEQRVVPAGGDLPDLWHAGGAAARVLGAGEQALLQARREAGGAGAAVVRERDDVPAPCAPGDAGAPGLQRQHLHRQRQLPSVHPRPLRVHPRGDGERRRGARGAPARRPLHHHPLPFRPRRWRLLAQQRGRHVPRHRGQERRRRHAQVRAPPRPRWRAGAGRRPGRGHV >Sspon.06G0032640-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:89130168:89132273:-1 gene:Sspon.06G0032640-1C transcript:Sspon.06G0032640-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVLVCSSPRPQHQAFHRLQAGESSLGFLMRAEGLANVLAIGTANPANCVLQEDYPDWFFRITQTDHLTHLKAKMKKIYLLRSHPDFLDGTLPSLDARQGIAATVVPELAAAAGAKAIAEWGRPASDITHVIFCTYSATHMPGADLRLASLLGLRPSVERTMLYYNGCNSGSVALRLAKDIAENNRGARVLVACAEITLVFFREPDEARTDTLVVPALFGDGAGAVVVGSDPAAGTERPLFEMASSAQAAIPGTEHVVSTTLGKCGLVYELSSELPSLVAANIERCLVDAWKPLALGQLMSGDWNDLFWVVHPGGRAVLDGVQDALGLDECKLKASHRVLSEYGNMSGVTIIFVLDEMRRRCRHSNGDKEDGVEDMEKESEWGAMVGFGPGLTVETMLLRAMGN >Sspon.02G0018400-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:60797923:60799369:-1 gene:Sspon.02G0018400-2B transcript:Sspon.02G0018400-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAPCCDKASVKKGPWSPEEDRKLKEYIHKNGTGGNWIALPHKAGLKRCGKSCRLRWLNYLRPNIKHGDFSDDEDRIICNLFATIGSRWSIIAAQLPGRTDNDIKNYWNTKLKKKLMHGLQAPYYTTHNHHSTAVSAAAAAAASPEAAPIMSLQHLSSPHDYSHLYSGGGGSFGPNNSTTSLLSAAASSRGLLNGEQQVLRTSPCLDSRGDHDIYFDEPCATTISSSVVHGHGH >Sspon.05G0015960-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:59329589:59333676:1 gene:Sspon.05G0015960-3D transcript:Sspon.05G0015960-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRCLADAHLPASLRPPARPPRIPPAAVQQLLSRAAAASALAASARPLPSRRPGAAAAPPACRDLREAANRKPPPLELLLGWGSRNISFESHSQMMPSVHVHADEYVRPPQRPLVLMAHDKPASHPQQVPASIDMLRSQCIASTFYKLVIVFSHPTGAHFGGGGARHANYLGHRRPQRTVRGGVRDISGRTTYQFLSYTSGAIHHVTIGPLEPGTTYYYRCGGRAGDEFSLRAPSATLPIEFVVIGDVGQTEWTSSTLSQIGAANHDHDMVLLPGDLSYADGQQPLWDSWGRLVQPLASARPWMVTEGNHEKETLREPDTDRPVRRFVAYNARWRMPHQESGSSSNLYYSFDASGGAVHVVMLGSYAEFQEGSEQHAWLRRDLAAVDRRRTPWLLVLMHVPWYNTNRAHQGEAEGMRRAMESLLLAARLAHLSLFREASFGHGRLRIMDNRRAVWTWHRNNDEDAAVSDEGQIYYRGRGLKPPTPARFSALAAANGGGVDDGAKTAEAKCRVGAREATALRNAAAHSGDNSRASRAPGSRPPDG >Sspon.03G0044870-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3D:5031838:5032077:-1 gene:Sspon.03G0044870-1D transcript:Sspon.03G0044870-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQQNKPFLCTAGQAKEPITRCICIGQSFFGLVFGGGDWWRGVTELAAGHYYPAVACMHWVARHAVTLHGRARNLTQQQ >Sspon.07G0014510-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7A:52434000:52434493:1 gene:Sspon.07G0014510-1A transcript:Sspon.07G0014510-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGPDPEALSLVWTLSQAEGAALDQTQAHGMIGPSAESPVVELRHAGGDVAAQEGPDPRLGPIIWSQPDPGFDSGLWVRLRREAKGSDVALGGGPCPDCSESSYLGQTGFKGFLPSLDLSISGRTLGFARSGHDGAVRSRGGLCA >Sspon.06G0015330-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:80926274:80930542:1 gene:Sspon.06G0015330-2C transcript:Sspon.06G0015330-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMADLVGPRVYSCCHCRNHVCLHDDIISKAFQGRNGHAFLFSHAMNIAVGPKEDRQLMTGLHTVADIYCRDCREVLGWKYERAFEESQRYKEGKFIFEKAKIVKENWYLSSFGNDAGSNSKQVSSQLKKSSFKYGNPTPRMTILDIGYLLFNKRSLSLRMS >Sspon.01G0048040-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:107870260:107872799:1 gene:Sspon.01G0048040-2D transcript:Sspon.01G0048040-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SWRRRYAPTSPPTLVNAERMQLKVKTKWMRDDQPDVSRKPLTSSETMQLASAADEAREDREREARMLAGKLQMTEVYIGSVERTENRDMGGLEWLAEWASVIREAVERGKEEDGELYSFVRAVPWWAQAVRRPGRSSPVKLVSSVHLPPPSFSRVCARECSSVLPRANNWYRSWCMYYGGSISPPRQPPKKKRVIPRRRPAATAMAGGDGKAEASSPRTVVVERGFGGVEIPKLTKTNYREWALEMQVNLEGMELWDAVETGKAERSKDRRALAVILRGVPPEMKSGLAAKETAKEAWAAVKSLRMGDTRVQEAKVQHLLKQFENAAFKDGEAIDDFAMRLGSLAAELRELGEDMKDERVVKKMLRVVPSRFNQVACSIEMFADFKTMSLEELVGRLRVAEERCGGVGLGGEHTGQLLLTEEQWETRRRQRRNKDRARAGDARNNRGGGRGDDNDDDDGSSSTYSENGERKSKNKKGKCYECGQRGHFSRECPKKKQEEALLAAADDEPTLL >Sspon.02G0040080-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:64683946:64686372:-1 gene:Sspon.02G0040080-1B transcript:Sspon.02G0040080-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GSRSRRISNAAGGEPGGVGSPWRRACARAGCPASCWTAPTASRPCGSAAPTTASACTCRATSASSPGCPSRTTTRSTPPSASSSTTSTPTRNKRASSRGSTRPSRPRATTPPRSSGGCAPRTLLLRTPPPLLQSTSAAGSSSPRARTPSASSRSSRARRTSPAPYPTSPSTSAARRTAASASSSLDAGTQAWRSASTSATTMPSRPWSSGTRCTSCRGRCSASPPSPSPSSSSASCRSGWWTPSSSSSRASSSATWTSSASAARPGARSSSRTPGAGPPCSTSARSPGSAPDTYRSCRGSRGSSAAAPSSSTADASPPTRSYWPPATTATSLSGSRGSDFFTEEGYPRVPFPHGWKGESGLYSVGFTRRGLAGRTKFRETIITQETEEKQARIGIQQQRIQTPEVPKTGERASWSWMLGKWQGGRIERVINNKLQ >Sspon.05G0000160-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:985518:988758:-1 gene:Sspon.05G0000160-1A transcript:Sspon.05G0000160-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AP4M [Source:Projected from Arabidopsis thaliana (AT4G24550) UniProtKB/TrEMBL;Acc:A0A178UX13] MISQFFVLSQRGDHIVFRDYRGEVPKGSAEIFFRKVKFWNDDEAEEAPPVFNVDGVNYIHVKVAGLFFVVTTMVNVSPSLLLELLQRIARVTKDYLGVLNEDSLRKNFILVYELLDEVIGYILTSEIDGTIQMKSYLTGNPEIRLALNEDLSIGRTGSSSYDYRSSSGGGTVILDDCNFHESVHLDS >Sspon.08G0020880-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:31570306:31579167:-1 gene:Sspon.08G0020880-2C transcript:Sspon.08G0020880-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSASCLAPPAPRFRVRLPPLSAARPSLGFGPRCAAPAKGWALWHVSCFRNDQDGPTTSDEGDGFKYVAQSQSSGGVEVKEEEIKENLLGRIVRFQTERWTVPWTGQTIAQVMILWIATFWFVGSWIVPFLAHAAGFSKETLTHRGQALYSLLTDITEGLAGIAILHQCLGRFQPLPPGWFEFNLKGKWHLDVAFGCLLFPLVNLLSHINISLVPMSPGPVVGVSSVEQSIVARDPVAMALYAVVVTVCAPIWEEIVFRGFLLPSLTRYMPLPWSILASAAAFALAHFNAQRVMPLVFLGVVMGGVFARSRNLLASMVLHSLWNGFVFLDLMK >Sspon.04G0002530-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:8775785:8778641:1 gene:Sspon.04G0002530-3C transcript:Sspon.04G0002530-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATVSFPVVNMEKLETEEKATAMEVIRDACENWGFFELLNHGISHQLMDEVERLTKAHYASFREAKFQEFAARTLEASDKGAHVKDVDWESTFFVRHLPASNLADLPDVDDHYRQVTKQFASEIQKLSEKLLDLLCENLGLEPGYLKAAFAGSNGPTFGTKVSAYPPCPRPDLVDGLRAHTDAGGIILLFQDDQVSGLQLLKDGEWVDVPPIRHAIVVNIGDQLEVITNGRYKSVMHRVLTRPDGNRMSIASFYNPGADAVIFPAPALVGAEEERAEPTYPRFVFEDYMNLYVRHKFEAKEPRFEAMKSAIATA >Sspon.07G0010520-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:23728896:23741536:1 gene:Sspon.07G0010520-1P transcript:Sspon.07G0010520-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALIVQGEDTAFGSLEWWAYAGISCFLVLFAGIMSGLTLGLMSLGLVELEILQRSGTDAEKAQAAAILPVVQKQHQLLVTLLLCNAAAMEALPIFLDRMFHPVVAVILSVTFVLAFGEVIPQAICTRYGLAVGANFVWLVRILMVICYPISYPIGKILDCALGHNESALFRRAQLKALVSIHSKEAGKGGELTHDETTIISGALDLTEKTAAEAMTPIESTFSLDVDSKLDWEAIGKILARGHSRVPVYSGNPKNIIGLLLVKSLLTVRAETETPVSAVSIRRIPRVPSDMPLYDILNEFQKGSSHMAAVVKAKPKNEPLPDKTEPDREAVGPAQLTVPLLSNAEESAENVVVDIERPHNRQVNGNPASNAVPRSSEDIEDGEVVGIITLEDVFEELLQEEIVDETDEYVDVHKRIRVAAAAAASSVARAPSVRRLTGQKAAVSTAFLNLQGAQNRQGQQPPGILKKPTEGDSNPSKQVNLVEPLLEKRR >Sspon.04G0011480-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:35580619:35592024:-1 gene:Sspon.04G0011480-1A transcript:Sspon.04G0011480-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGSKRMPSLGSPLGRNHTKPPPCPGNHAWRLPRYRRASAVAWELGLVGPPPFPPDASCETVHPPSPFVSFVPCHTGRVRSAPTAGLPPSLWCWCGFVMAPARVDAEKDAQHELEMKVAKYSRGQGADLKTLGDKKLKGQLSVKEKLYGQSAKAAAKAEKWLMPNDGGILEPDHLEKTYRFSQRDILTEVDLLSSRKPFDMILPVLGPYNIGYTSNGRYMLIGGRKGHLAMMDMLHMDLIKEFEVRETVRDVTFLHNEQLYAVAQKKYPYIYNQHGTEIHCLKEHGKALKLQFLSKQFLLASINSFGQLHYQDVSTGEMVANYRTGLGRTDVMRANPYNAVIGLGHAGGKVTMWKPTSVKPLVTMLCHHGPVTAVAFDRGGHLMATAGVDRKIKIWDLRKYEVVHSYPQRAQSLDFSQKGLLACSNGSQVEIYRDFGGHDYKLYMKHKIMKGYQVGKVLFRPYEDILGIGHSMGFSSILVPGSGEPNFDTFVDNPMETTKQKREKEVHALLDKLPPETIMLNPNMIATVRAPKKKEKKTKKEIEEEMEDAIEAAKNIERKKKTKGRSKPSKRAKKKEEDVFKAKRPFLEQSEEINGRPDKKQRIGEEVELPKALQRRRLGGD >Sspon.08G0015220-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8B:55962008:55962340:1 gene:Sspon.08G0015220-2B transcript:Sspon.08G0015220-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRFSGLTKVGLGVLACNSALAVYNSRGDAGAVAFVLVADAALVLLFVCIREFERRRGRAGSGRIKAAVWALTALLTAMFASRVAPLMPPPVDALVWGMAVATAIGALWA >Sspon.04G0030800-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:2635513:2657643:-1 gene:Sspon.04G0030800-1P transcript:Sspon.04G0030800-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:LEUNIG_homolog [Source:Projected from Arabidopsis thaliana (AT2G32700) TAIR;Acc:AT2G32700] MAQSNWEADKMLDVYIYDYLLKRNLQTTAKAFMAEGKVAADPVAIDAPGGFLFEWWSVFWDIFIARTNEKHSEVAAAYLEAQQIKAREHQQQMQMQQLQLMQQRHAQLQRTNANHPSLNGSINALNSDGILGPSTASVLAAKMYEERLKHPHSMESEGSQLIEASRMALLKPATNHAGQLVPGTPGNVSTTLQQIQARNQQTIDIKSEGNMGVPQRSLPMDPSSLYGQGIIQPKPGLSGAGLNQGVSGLPLKGWPLTGIDQLRPNLGAQMQKPFLSTQSQFQLMSPQQQQQYLAQAQAQGNLGNSTNYGDIDPRRLTALTRGGLNGKDGQPAGTDGCISSPLQSSSPKVRPDQEYLMKNNRKRKQPTSSGPANSTGTGNTVGPASSPPSTPSTHTPGDGLGMGGNMRHVPKNLMIYGADGTGLASSSNQMDDLEQFGDVGSLDDNVESFLSNDDGDPRDIFAALKRSPAEPKPATSKGFTFSEVNCWRTSNSKIVCCHFSSDGKILASAGHEKKAVLWNMENFQTQYMSEEHALIITDVRFRPNSSQLATSSFDRTIKLWNAAEPGFSLHTFTGHNSQVTSLDFHPKKTELLCSCDGSGEIRFWNVTQPTSSHFIKGGSAQVRFQPHVGQFLAAAAENVVSIFDIETYGKKYTLQGHNTDVQSVCWDNNGEYIASVSQDLVKVWSISSGECIHELSSNGNKFHSCVFHPSYSNLLVIGGYQSLEVWNMVKNQSLTVQAHEGLIAALAQSPVTGMVASASHDNSVKQVASCCCNVFDLLQ >Sspon.08G0011420-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:47226193:47233691:1 gene:Sspon.08G0011420-4D transcript:Sspon.08G0011420-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNPGSSPASAHHDHEHTPLCRSCGAPTTAPTPAPWSGTTDSPPPAYRPIRLPAISAPTNTAAIVLSPVPQPLPVPPAAPPHAFQVPAKRITSPDDIARFHASVHGRHFLGFVAALSASIHGRKLSDPLPSPPSPAVAALLDLVSALAALVESTPPLPHSSRYGNPAFRLWHEKLTDSASELILRITATASSPADLAGAEVELASYLLDSFGNATRIDYGTGHETNFAAFLYCLARLGVITEPDYPAVVLRVFAAYLDLMRTLQDTYQLEPAGSHGVWGLDDYHFLPFIFGSAQLIDHKYMKPKSIHNPDILENFSKEYMYLACVAYVKKVKKGPFVEHSPMLDDISGVANWKKVNSGLLKMYKAEVLEKVPIMQHFLFGSLIKCCRIEHNLHFLDAHILLQLNKRQLRLTKVTRQCHRRVGTCALLLPCRH >Sspon.08G0006860-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:21698044:21701917:-1 gene:Sspon.08G0006860-3C transcript:Sspon.08G0006860-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPPPQTLLLLFLLLGSLAPAVTAVAFSGLDAFLASAAARDPSAGNDTFAALPAALRRALSARTSLLPSFLLNLSATVPVHVRLAGSSFPASSGRSLPSLVNAAVSSAHFLSSRRPHRLAVSHTLHLDVTGPVAASKLASSAGAAVRAHLDKSPAPFHNNALSGVPYSLVDDLVAEDYRALAGSGPAEAVYIYLLDLGKQPRQYAYTAASSGTDASSPGYSRCLGPVWAGKDRYIWIDLGAGPVNYGPALSGDGVLPRGEFHPLATLHGRPKSEKALLADLASLVLSAYKSLLVPSLRIPVHYENSLLIRFIHIHGDQKEEDGLDFRVIEQSIRDGDLPYGGQSLKFDMHTVKYSECPICSFAIARSTNSFTSRFLFENYTLIVNEYLDSKRLRQVLSDSADEIHRLAGVHENYEHDKVVPVFVFDLDYDKLLPLDRYHQAVAFGDMVVAVRTRSSQTVSDYTCNGRHVLTMTRNLERPIIGSVLQSMWGVSPTHLSWSPEHNATVVDYTWSTGHTPFGPFSETKSLSFVQKDAARRNILLTTLNYTITSTIDILESMAAHGREKILVRKKRHVEFIQRWNLLTYKLEKVVSAMSRLDYDKAMYFLRSSDHDLYEIHSLVYQASQELEASLL >Sspon.03G0027710-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:10137234:10139670:-1 gene:Sspon.03G0027710-2C transcript:Sspon.03G0027710-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDSAEVKLVDEIAGEGGAEGDWGYLGSDGMGSGSYPGYPFPRDVLSTPTSASLLLSMDPAALFDFNGTFPPSSSAAATAGSALPAFHDFSCVNPFDDAGHFLGGPPPLPPAAAAQQQGQKGGFFAPPPGSDFNDTGMSWDDEDEIDQSVDTSSMAISASMENAAGAAAGGSGAGCGSGRGKKKGMPAKNLMAERRRRKKLNDRLYMLRSVVPKISKMDRASILGDAIEYLKELLQRISDLHNELESASSASFVGPTSASFNPSTPTLQTFPGQVKEELCPGSFPSPTGQQATVEVRMREGHAVNIHMFCARRPGILLSTMTALDSLGLDIEQAVISCFNGFAMDVFRAEVCGHHTNTILLNSDGFNSIQTNPPLLLTLFMSLLPPPAVRGWSWNGA >Sspon.08G0005910-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:18668900:18671325:-1 gene:Sspon.08G0005910-1A transcript:Sspon.08G0005910-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDPYTNFLRGYYSHFPPSNATPASSYLHHPPPPPSPPIREALPLLSNLTPASSATNHHHGSDVRDHKDCKHATSCSDDQEAADQAAAGEVTVALHIGLPSPSPSESAADGGESQKPAAAEGRSQLQQQGVDHEEEERKMREGGGRRHGGGLRVHRDREADERAVLDPHPSQILIGPTQFSCPVCYKTFNRYNNMQMHMWGHGSQYRKGPESLRGTQPTAMLRLPCYCCAAGCRNNIDHPRARPLKDFRTLQTHYRRKHGIKPFMCRKCGKAFAVRGDWRTHEKNCGKLWYCAC >Sspon.03G0028530-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3B:9766711:9771372:1 gene:Sspon.03G0028530-1B transcript:Sspon.03G0028530-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGPGSSSSSDTASAEASASAPLSTSAALIPSAPSLPLATTVSTNALDALTTAILGMQRQMGDLALRVAAIESTPSGSSSPQPALGLPGCGGFPLLPHPVVSEITSTQAPAATLPASSLATLGSIVQPQVPPSAPVPITQINFPHSPSPVPSLSSIMNAGQVDVMAPLRVHVPPEPEAAGVPRYHKLSFPTYDGKEDPLGWLNRCERFFNAQRTREADKVWLASFHLLGSAQQWYFVIERDTGTPSWEDFKQLCHQRFGPPLTTNHLAELARLPFTADVATYLDAFLARLAHAGRLTPHQQAQLFTGGLPEHIRIDVELHDPQDLQRAMRLARAYERRNSNTQLALPAPPARPPRRNTSASVPALSSTGSSSTPRPFKRLSPAEMAERRKQGLCYNCDEPYVQGHKCARLFYLEVSDYIVEEPDDGDEEAEVPTAPVQSDQNLAVISLGAITGIRTQDTMQIYVTIGNEQFIALLDSGSTHNFVREDVARRVGLQFVPCPGAGVIVANGDRVACRGLAHDVGIRIADEFFAVDCYSIPLDKWDMILGVTFLRTLGPILWDFDDLCMAFTRDGRRVFWRGIGSTRHDVQSTRRLNVIHNEPELLDTLLHSFEDVFAEPQGLPPARACDHRIHLLPNTPPVAVRPYRYPQLQKDELEKQCASMLQQGIIRPSTSAFSAPVLLVMKHDGSWRFCVDYRALNQQTVKDKFPIPVVEELLDELKGARFFTKLDLRSGYHQVRMSATDIEKTAFRTHEGHFEFLVMPFGLTNAPATFQSLMNAVLRPFLRKFVLVFFDDILIYNPSWSSHLQHVNAVMTALRAHQLRLKRSKCSFAQPTVQYLGHVISADGVAMDQDKVAAITTWPQPRSVRGLRGFLGLAGYYRRFIKDYGAIAAPLTQLLKKDGFLWSPEAAAAFESLKRALSAAPVLQLPEFTKPFIVDYDASGSGFGAVLHQGDGALAFFSRPFAARHMKLAAYERELIGLVQAVRHWCPYLWGRSFVVRTDHYALKFMLDQRLSTVPQHQWVSKLFGYDFSVEYRPGRLNTVADALSRRGDDDASLAATSVPSFQLYDELRRELQENPQLRAYRDGVVADRGDPWRVVDGLVLHGNRVMVPESSSVLPAVLQLAHVGHEGVQKTLQRLRRDFVVDHDRRLVQDFVSACAVCQRNKTEALHPAGLLQPLEVPSQVWADISLDFIEGLPRVNGKSVILTVVDRFSKHAHFIPLSHPYTAATVAKAFFDSIVRLHGFPVSIVSDRDPVFTGHVWRDLFKMAGVQLRMSTAFHPQTDGQSEIVNKIIAMYLRCITGDRPRKWLEWLPWAEYCYNTSYHSALRATPFEVVFGRPPPALLPYAAGAAATETVDVLLRDRDTFIAKVRDRLLQAQAYAKKYYDAKHRPLEFSIGDWVLLRLLHRPAQSLVPGFRGKLSPRYAGPFQVTERIGPVAYRLQLPEGARIHDVFHVGVLKPFRGQPPSTSPGLPPLHNGRLLHQPACALRAQLRRGAWHVLIQWTDMAESDATWELVDDFKARFPDFQLEDKLFLEGGRDVMVGNVYQRRGKTSG >Sspon.02G0008980-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:25669784:25672728:1 gene:Sspon.02G0008980-1A transcript:Sspon.02G0008980-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALYFKWQTTFPSSEAPLGSLLGPLLRLDLESAAATQAESRARRGMAAIDMEDDEDIWANTASSPSASPPQPVAVSTCSAFISTQLSLNSRLHVLSSAAAAGGSSPAGRGVGGGAYAADDGVRHHMVLGGGFRNAVAPSPASSFFPYAADVAPFDAGAARGVLEDDMCLGAGAATWAGGGSDRRKKRMIKNRESAARSRARKQAYVRELERKVQMLQDENESLRVKYDQGVRGGGGADCEEDSAEDAVRAILRTPTRTLTVAAACLPACLPRRRGCRPAMAAAAAC >Sspon.02G0045510-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:109795837:109797370:1 gene:Sspon.02G0045510-1B transcript:Sspon.02G0045510-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAGRTNTPSTTALTMKLLVESCPLRQRVVFAEAAKDTVDFLFSLLAMPAGTAVKLLGKESMAGCMGNLYSSAERLDDSYVDPGPPGIKDDVLCATPLCPAAAGPNSCLLFRVPSPAPAPAPKSFYVCPYTYNHSNCGGYVTEVKGARCPSCGSQMSKDTQFVGSPPAPPAEPARRGFVKGAVTYTVTDDLVISPMSNVSSIALLNACAVRDLGALQERTGLEILRASLQSKTVLTDVFLGKKPPSMNNKQPFLGQRQKT >Sspon.05G0020800-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:83531232:83536824:1 gene:Sspon.05G0020800-4D transcript:Sspon.05G0020800-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable serine/threonine-protein kinase PBL8 [Source:Projected from Arabidopsis thaliana (AT5G01020) UniProtKB/Swiss-Prot;Acc:Q8GXZ3] MGNCGTREENAVVAAHAQVQQLHLLQHPVKNAIADRKHTRTSSDISDPSTPRKVEDAKNISIYNDVIAFTLFELETITKSFRADYVLGEGGFGTVYKGYIDENVRVGLKSLPVAVKVLNKDGHQGHREWLTEVNCLGQLRHPNLVKLIGYCCEDDHRLLVYEFMFRGSLENHLFRKTATPLPWGTRMSIALGAAKGLACLHTAQRPVIYRDFKTSNILLDSDYTAKLSDFGLAKAGPEGDQTHVSTRVMGTYGYAAPEYVMTGHLTARSDVYSFGVVLLELLTGRKSIDKSRPSREQSLVDWALPKLNDKRRLLQIIDPKLEGQYSVRAAHKACSLAFYCLSHNAKARPLMSDVVETLEPLQGSSGSDGSGQSSGLPDYRGRRRLTGNSVHFRAIPNPKCSPAVPACRWRAHPSACAANVHPMMKLVAAARGSAGTGGASNGCVADAEAGGDEHAGVQVRWDHFIPKRVWVRLGLTKLIVWAE >Sspon.07G0003800-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7D:8359806:8361638:1 gene:Sspon.07G0003800-2D transcript:Sspon.07G0003800-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding QETKFWADKKKFGTDAIYGSALNIRKDLDAQILSRFQRPPGALPSSLLGYEALTGSLDDFGFEDYLNMPQDSDSFRQPDMHHGMEVRLGLSKGPICPSFN >Sspon.07G0022760-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:9309176:9309855:1 gene:Sspon.07G0022760-2C transcript:Sspon.07G0022760-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAKVHPNVAVPPSLGQPPAVAPAPALADEEPVTLTVWRKSLLFNGRGFTVFDARGDLVYRVDSYASDSRAEVVLMDAAGCPVLTLRRCRKLIGLGLGSDQWLVYPGEETRRLPPLYAVKRAAQYMRGGGGGAKSMAHVAACSGGAAAKTGAGGYEVEGSYLRRRCTVYDERRRAVAEEAVVGSDVFRLVVLPGMEVSLAMAVVLALDQMFGKPSLLRSWSS >Sspon.04G0016560-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:64487144:64488839:-1 gene:Sspon.04G0016560-1T transcript:Sspon.04G0016560-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAPLMAMLLLASTLAPRVPVARGSGTSAAHPAYTDAAFDGLAAVSAVDAGLEEYGGGRIVDITHAYRPELPFPGRDGLGAVTRLTESMANGSVNNVSELRMVVHSGTHVDAPGHMVQEHFEAGLGVDKLDLDVLNGPALLIDVPRHTNITAQAMESLNITKGIRRVLFRTLNTDRKLMWTKEIDTSFVGFTEDGAQWLVDNTDIKLVGIDYLSVAAFDHLISAHLVFLNRR >Sspon.05G0034770-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:64070184:64071658:-1 gene:Sspon.05G0034770-2D transcript:Sspon.05G0034770-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADGYYSGRPLGLEHDPQQAQAVPAPPLEAQPQATAAEQANGVPAPQPQQPRQDVGEQVANHTHVHGVPGYYKARLSNTNTAVAPLSAAATVAPPAPAPAPAPAVEPERKLSWIDKYLMCFAGSRNVR >Sspon.01G0004880-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:13230156:13230418:-1 gene:Sspon.01G0004880-1A transcript:Sspon.01G0004880-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MINYMEYWTGTHLSNHVTQEDINNFRFKLHVILYNSPINEARGLPDNEQQNETP >Sspon.03G0004290-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:10767802:10770310:-1 gene:Sspon.03G0004290-1A transcript:Sspon.03G0004290-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASALAPASFSLARPAARRAVASAGPGATLRRAGLAAAFPPERLLGSDSLSAGADPRLAIHVASRCRSASSAGRGTRAAATMAKKSVGDLAAAELEGKRVLVRADLNVPLDDSQNITDDTRVRAAIPTIKHLINNGARVILTSHLGRPKGVSPKFSLAPLVPRLSELLGIQVQKADDVVGPEVEKLVSALPNGNVVLLENVRFYKEEEKNDPEFAKKLASLADLYVNDAFGTAHRAHASTEGFPDLLHKIKSQELDYLVGVVSNPKRPFAAIIGGSKVSSKIGVIESLLEKCDILLLGGGMIFTFYKAQGHSIGSSLVEDDKLELAISLLKKAKEKGVSLMLPIDVVIADKFAPDASSQAIAKKLAELSGKGVTTIIGGGDSVAAVEKAGVADSMSHISTGGGASLELLEGKELPGVAALDEA >Sspon.07G0010550-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7B:24953925:24954482:-1 gene:Sspon.07G0010550-2B transcript:Sspon.07G0010550-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGALTPKAGSGGKAVLPVPAGIDAGAATSSARVARKATLPAAAAGAAEADAPAAGTAAPGGESNGGEEDDDEQVERFYALLDNIRAMRGAYGSGPGSGDGTGALDDGVDTGGGGARVKRLRGSEPPWRPAFRLEDFEEPTPTSSSSDVAPCAKRTRGQEAEGSGGARPDVAAVVRVRLDGGRKS >Sspon.01G0033770-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1A:113673926:113676200:1 gene:Sspon.01G0033770-1A transcript:Sspon.01G0033770-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQCRHRGMNDASGRLSSARRSCGSGGGAGQHPSRRWYHRCWGRRLGRGTLVHFAEMADVRSSRWCRRCRFWRAALIDNAKADRPAWSSSRGEMSSRLNSRAGHDDGRVVEQRLLDLRRRGSDEDEGGCSAPKGGATDAAEDADLEEAKTQENKKLQLQLQELQLQLKDTKDLLKREHEAAKEASEKAAAVPEILADTAQVNELTAENEKLKNLANGSLHSDEQTPHGTPMEFGRRSIIERHNESVDTLINCVVENVGFSEGKPVAAITIYKCLLH >Sspon.01G0035350-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:9696990:9698001:1 gene:Sspon.01G0035350-1B transcript:Sspon.01G0035350-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCTTSRQARHDLRYSPSPLGALPRSQSFPARCPSDVGVHVVRLTSSTLGSLELDKTLPRAPEPAAARAPTRLAPRTPTMTPPNEPEDIDAWALMAGLEDHSPLLAAPFGRHSFSFPVATAPQDLTARRRLPMHRPDAALTNGGEGKAPPPQRRAVLYFTSLRGVRATYEDCCLARAILKGYGVRLDERDVSMHRGFRDELRGLLGLGGGAIAKCWAPAEPAVLPSLFVDGELVGNAEELKRLHEAGELAARLAGCESAAAAGAHGEAGACEGCGDVRFVLCEVCSGSCKVYVDDEDEPEEEGDECGGGAGAGFRRCTECNENGIVRCPVCCC >Sspon.01G0003440-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:9408348:9411552:1 gene:Sspon.01G0003440-1A transcript:Sspon.01G0003440-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Subtilisin-like serine endopeptidase family protein [Source:Projected from Arabidopsis thaliana (AT4G26330) TAIR;Acc:AT4G26330] PDEAREAILYSYSCGFSGFAALLNSTQAATLSAHDHHKAGTEGVVSVFRSRMLEIHTTRSWDFMGLRLHMQMEQSSQRHLKFGDDVIVGVLDTGVWPESESFRDDPHYGPIPSSWKGTCVKGEEFDPATACNRKLIGARYYLKGFESELGPLNTSDGSEYRSPRDRVGHGTHTASTAVGSVAPNASYFGLGRGTARGGSPRARLAVYKVCWYKDLTGRCSDADILAAFDEALCDGVHVVSASLGSPPPLMPLLSTSTEIGAFHAMQRGVVSVFSAGNDGPDASMVQNVSPWGLTVAASTIDRRFPTVITLGNNASIVVGFLSAPTLSLTHSLDSDRLLASDQGIYLPFSAFCGRRMCTFDQLINRTAASGKIVLCFATMGTVSSDGAALAVYASNGTGVIFADTISRKSSQDSFWPTVHVDLYQGTQILYYIRDSSKPTVHISPSKTVVGETPAPAVAYFSSRGPSSISPKILKPDVTAPGVNILAAWPPKSSPTVIPLDKRSTEWNFDSGTSMSCPHVSGIAAVIKSVHPTWSPAAVKSALMTTAYMYDDTSDVMQAGGTLKAADAFDVGAGHVDPLRALDPGLVYDSGARDHVLFLCSLGYTEAAIRNMVLPCPALDTSCPPGGAAAAGHADLNYPAIVLPDLSGTVTVKRTVTNVGANRDAVYRAAVASPQGARAEVWPRELAFSSRHGGGEQASYYVTVTPAKLSRGRFDFGEVVWSDGFHRVRTPLVVRVTNLPDDGVQVQAPNATGDVHGA >Sspon.03G0031550-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3D:26778464:26779623:1 gene:Sspon.03G0031550-2D transcript:Sspon.03G0031550-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAMASFVAAPHHHGSHLAAGFPFSARAGRSVGRSGATISMRAQVDAQPELTSSVSLCFSSHSSPNSHTLALVLFCSKIVSQKKKSNSDSGSSSGGGDGRASSGRRVWRRRKLTKEDDMLRYKLDRIPFLEEKVRKVRENGKIICLDINQLMLSQENRFAFTMEVAEEANAYLEKNRHEYGLKKPILHVLSDRMNEAGFSRPE >Sspon.02G0028940-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:105162234:105168789:1 gene:Sspon.02G0028940-1A transcript:Sspon.02G0028940-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSEFVDSMDESQKRAVSTANANNISSSLDEDFGYDFLSSWDFGNDFLSSWKLPKSGKDTIDFTVPKSSKKFSFDNLDDFELDGAFDKLPSFPSFQMGMSDLDFSSPQKKKVKHSSSNADHSEEKKETDKDNFSFSFDFSELGKFSLDGKLGIEEKSTSRFTGKSDPVSSEVKKDTQRGLSAKGNAILEENNSTNKAHTLDTCTLRPSHLTNHENVKNVSQPTSNIDAADSSDKMQEHTSVNPATMEQTKVDSVLNDNPGEQPKEIYPTKAPVNLPSQDFSCSAISSEDPTQGLADPVNSKDAPIVDSGKVHVSRESNDDEQLNGLRSRDTSIINPNVSRRPVGQFNSRNEVLEESVSLNEGSQDNQSFSGAPKKFLKKTSHGTKNTEEEISGPKSLSCSMQREIRSVEPALTKERGSFSLLSKSVHMYLVVVKEGGVLQDKSSQSLEMPLEDIDPVNQPQMHGGSTKKLAPDLCNALSLTKQKKQQAAQEGKMKKQSKRGKKVADESSHALEIPQANLD >Sspon.03G0038700-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:6537781:6543238:1 gene:Sspon.03G0038700-1P transcript:Sspon.03G0038700-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGVWGKGSSNSQFPNPKQVIRGQSVLQLSSSWILDPSSDHFIIMWGERTHHKHWHQGHGSSGNSKDKNHDKRQPKIIPDNYSSVDEVTTALREAGLESSNLILGIDFTKSNEWSGRHSFRRKSLHAINGTPNPYEQAISIIGRTLSPFDDDNLIPCFGFGDASTHDHSVFSFYPENRLCRGFEEVLVRYRQIVPHLNLSGPTSFAPLIYAAISVVENSNWQYHVLVIIADGQVTANTNDGRLSPQEQATIQAIVDASHYPLSIVMVGVGDGPWDAMQHFDDCIPERAFDNFQFVNFTDIMSTSKDMSKKEAAFALAALMEIPSQYKATQGLRPPEKHAQRIDSPRILPPPNKVLENDNAAASHPPQTASSKSTGIGKSTADEQVCPICLTNPKDMAFQCGHLTCKECGPTLSTCPLCRAPITMRVRLYS >Sspon.02G0045800-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:100242392:100245800:-1 gene:Sspon.02G0045800-2C transcript:Sspon.02G0045800-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AMVSTLCSPPPLLWFVLFVIFFFASGDGGPAAALELDTQAAYLAKMKEQFPGPGMSRWWDFTSPAPDYCRFHGVACDRSGNVTGIDVTSWRLVGRLPPGVCAALPALRELRMAYNDVRGGFPLGVLNCTSLEVLNLSFSGVSGAVPPDLSPLRALRVLDLSNNLFTGAFPTSIANVTSLEVVNLNQNPGFDVWRPAESLFVPLRRIRVLILSTTSMRGGIPAWFGNMTSLTDLELSGNYLTGTIPVSLARLPRLQFLELYYNELEGGVPAELGNLTQLTDIDLSENRLTGAIPESLCALRNLRVLQIYTNRLTGPIPAVLGNSTQLRILSVYRNQLTGEIPADLGRYSDLNVIEVSENQLTGPLPPYACVNGHLQYILVLSNLLTGPIPPAYAECTPLLRFRVSNNHLEGDVPPGIFGLPHASIVDLNYNHFTGPVAATVAGATNLTSLFASNNQMSGVLPPEIAGASGLVKIDLSNNLIGGPIPESVGLLSKLNQLSLQGNRLNGSIPETLAGLKSLNVLNLSDNALSGEIPESLCKLLPNSLDFSNNNLSGPVPLQLIKEGLLESVAGNPALCVAFRLNLTDPALPLCPRPSLRRGLAGDVWVVGVCALVCAVAMLALARRWVLRARRLAEQDGALALSRGSSASYDVKSFHKLTFEQHEILEALIDKNIVGHGGSGTVYKIELSSGELVAVKKLWVSTRRLRPPSSNRQVDWAAEYAYSSKATTKCDVYSFGVVLMELATGRKPIEPEFGDTRDIVHWVSGKVAAGAGAEADALDKRLAWSPYKEEMVQALRVAVRCTCSMPTLRPTMADVVQMLAEAGPPAGRTTKDDKDHHHAATPP >Sspon.01G0049600-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:110734109:110739038:-1 gene:Sspon.01G0049600-2D transcript:Sspon.01G0049600-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha-glucan phosphorylase 1 [Source:Projected from Arabidopsis thaliana (AT3G29320) UniProtKB/Swiss-Prot;Acc:Q9LIB2] GRALTNAIGNLEITEPDAALGNGGLGRLASCFLDSLATLNYPAWGYGLRYKYGLFKQIITKDGQEEIAENWLEMGYPWEVVRNDVSYPVKFYGKVVEGTDGRKHWIGGENIKAVAHDVPIPGYKTRTTNNLRLWSTTVPAQDFDLGAFNSGDHTKAYEAHLNAEKICHILYPGDESLEGKVLRLKQQYTLCSASLQDIIVRFESRAGESLNWEDFPSKVAVQMNDTHPTLCIPELMRILMDVKGLSWSEAWSITERTVAYTNHTVLPEALEKWSLDIMQKLLPRHVEIIETIDEELMNTIVSKYGTTDTELLKKKLKEMRILDNVDLPASISQLFVKPKEKKETPAKSKHKLLVKSLETIAEVEEKTELEEEEAEVLSEIEEGKLESEEVEAEEESYDDELDPFVKSDPKLRRVVRMANLCVVGGHSVNGVAQIHSEIVKQDVFNSFYEMWPTKFQNKTNGVTPRRWIRFCNPELSALISKWIGSDDWVLNTDKLAELKKFADNEDLHSEWRAAKKANKMKVVSLIREKTGYIVSPDAMFDVQVKRIHEYKRQLLNILGIVYRYKKMKEMSAEERSKSFVPRVVFVPDYNFVPDPRFEEVKEFVRSGVFGTYNYDELMGSLEGNEGYGRADYFLVGKDFPSYIECQEKVDKAYRDQKLWTRMSILNTAGSSKFSSDRTIHEYAKDIWDISPVILP >Sspon.02G0008740-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2B:23550194:23550607:1 gene:Sspon.02G0008740-2B transcript:Sspon.02G0008740-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSTKRIAQLAKKWQRMAALQRKGLTWRTAAKEVEKCCTSMASKGHCAVYTTDGARFEVALACLGTSVFAELLQMSKEEFGFTGGDGRITLPCDAMIMEYALCLLRRGASAELEKAFLSTMAMSCHAANHAAPYVAAC >Sspon.06G0005680-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:17584857:17587362:1 gene:Sspon.06G0005680-1P transcript:Sspon.06G0005680-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASLIVLAVAFLVGAGPGGIDGGAAAPVEVEGSEVTYGSVIKLMHEKTKHRLHSHDVPYGSGSGQQSVTGFPEGDDSNSYWIIRPTPDSSSKQGDAIETGGIIKLQHMRTRRWLHSHLHASPLSGNLEMGMVAGTMSSASTSAMPMLHPSQPSFTCTTTVPCHLPLLPGGLFGTSTSSSSNGTIESLKA >Sspon.02G0012990-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:32181465:32183012:-1 gene:Sspon.02G0012990-2B transcript:Sspon.02G0012990-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIDAYLTHLMLLLLVSMAVLHANASQQAQLEKFILSRKGRTSGSSKASVHDSGVRVKSSLIHLQAQAGYLGSDQSPLKAADKITALPGQPHVDFDQYSGYVTVDEKHGRALFYYFVEAPQDASSKPLLLWLNGGPGCSSFGYGAMLELGPFRVNFDNTTLRLNKYAWNKEANVIFLESPAGVGFSYSNTTSDYEESGDSKTAEDAYIFLVNWLDRFPEYKTRAFYISGESYAGHYVPQLAATILSHNLYNNRTIVNLQGILVGNPYLDEYKNQKGRFEYLWNHGVLSNEAWANITNHCSFNSSDNKLCSEFYAWYDFGPIDPYDIYAPICIDEPDGSYHSSSYLPGYNACDYYPTVTHLNDPVVQEAFHARKTEWSGCS >Sspon.07G0004360-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:6708502:6708729:-1 gene:Sspon.07G0004360-2C transcript:Sspon.07G0004360-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRVVGIALLVILLVGAELVAVPEARKIQRASGAVVGGGESTGIRRRPSRWNRGMVLGGDKRSVPGGPDPQHHN >Sspon.08G0002070-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:4823132:4823926:-1 gene:Sspon.08G0002070-3C transcript:Sspon.08G0002070-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKNKSGASNYLCVAPIFSSCVSSGKQQSNADAARSRLSFSFPERQQQQKQQPAEEQSNSESIIDPAASVIARKEKQKDGGKHCTVIVGTIFGRRAGRVTFCVQRDAAVPPPFLFELSVPMQSLATEMGSGLLRIALECHRTGTGGTGGGESRNVWKASCNGRDVGHAVRRQPTDWDRRVLESMRTMTTGVGALPPAVALEGQGPNGDGNTQQDGAGEVLYMRATYERVVGSRDAVSYHLINPGGTAGGSPPQELSVFLLRTRG >Sspon.04G0028990-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:69553883:69554720:-1 gene:Sspon.04G0028990-1B transcript:Sspon.04G0028990-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLTTAGSSMEEQYYSVSVSAEEVGTHLSLGIGSDGGAKSLRLAPPPPRTVQLFGEVLSVQDDPDGDEAQALQHHRGQPTGPAPASRKKKRDAAAAGGATAAAADRHQSKKAKSTCRDDADGGGGGGGRRKKLRLTAAQATMLEDSFRAHNILSHGEKQELARRVGLSARQVEVWFQNRRARTKLKQTEVDCDLLRRWCDRLTDDNARLRRDLADRRQAAAASTSLGAAVCASCGADKQLAVVTVPGNMLA >Sspon.03G0015850-3C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7C:56173123:56174313:-1 gene:Sspon.03G0015850-3C transcript:Sspon.03G0015850-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVDTFLFTSESVNEGHPDKLCDQVSDAVLDACLAEDPDSKVACETCTKTNMVMVFGEITTKANVDYEKIVRETCRNIGFVSADVGLDADHCKVLVNIEQQSPDIAQGVHGHFTKRPEEIGAGDQGHMFGYATDETPELMPLSHVLATKLGARLTEVRKNGTCPWLRPDGKTQVTVEYRNEGGAMVPIRVHTVLISTQHDETVTNDEIAADLKEHVIKPVIPEQYLDEKTIFHLNPSGRFVIGGPHGDAGLTGRKIIIDTYGGWGAHGGGAFSGKDPTKVDRSGAYVARQAAKSIVANGLARRAIVQVSYAIGVPEPLSVFVDTYGTGTIPDKEILKIVKENFDFRPGMIIINLDLKKGGNGRYLKTAAYGHFGRDDPDFTWEVVKPLKAEKPSA >Sspon.04G0017010-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:67993837:68000550:-1 gene:Sspon.04G0017010-3C transcript:Sspon.04G0017010-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPLSSWPWASLGNYKATTLDSPFLPFTFVHIYMHYALLGPLAWKVVQEWREQGALPLALGSWWLHLLLLFVVRGLIYQFWFTYGNMLFFTRRRRVVADGVDFRQIDAEWDWDNFLVLQTLIGATVVNSPLLPGLRQLCLWDARGWAVALLLHVGFSEPVFYLAHRALHRAPLFGRYHAAHHSSGVTQPLTAGFGTPLEALLLTVVMGAPLAGAFLVGAGSIGLVYVHALAFDYLRAMGYSNVEVVSPRVYLSLHHRERRGNFCLFMPALDWLCGTLDERAWSLQRAAYDGAPGGGALGTPGFVFLVHVVDIMSSMHVPFTLRSLGARPFTNHFFLLPFWPLAFFFMLLMWCCSKTFVVSFYCLRGQLHQTWSVPRYGFQYFLPAAKKGINKQIELAILRADRMGVKVLSLAALNKNEALNGGGTLFVNKHPDLRVRVVHGNTLTAAVILNEIPSNVKEVFMTGATSKLGRAIALYLCRKKIRVLMFTMSSERFVKIQREAPPEYQQYLVQVTKYQAAQNCKTWIVGKWLSPREQRWAPSGTHFHQFVVPPIIGFRWDCTYGKLAAMRLPKDVQGLGSCEYTMERGVVHACHAGGVVHFLEGWDHNEVGAIDVDRIDVNKKSDG >Sspon.08G0015390-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:61750646:61754477:-1 gene:Sspon.08G0015390-1A transcript:Sspon.08G0015390-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-repair protein XRCC1 [Source:Projected from Arabidopsis thaliana (AT1G80420) UniProtKB/Swiss-Prot;Acc:Q24JK4] MSGSKRSLPSWMGSSKDGEDDSSKKKHAGTSQKAQKGPDFSKLLDGVVFVLSGFVNPERSTLRSQALDMGAEYRADWTSDCTLLVCAFVNTPKFRQVQADNGTIISKDWIFESYKQKKLVDIEPFLMHAGKPWRKNKEPVETDQDEKETRKVHQKQVQQSRVKPSTSNATEAGNLESANKCFSPSKIKQWAVDDLAQTMSWLDSQEEKPEPSELKTIASEGVITCLQDAIESLEQGNDIKGVAEQWSFVPHVVNELLKLDGGGKGAALPKEQLLQLAAKCKKIYQAEFARLDIDGKNKDKHQNDPPVTEHRRKTKSDDDHYDSDETIEMTEEEIDFACRQLPGLCG >Sspon.02G0023900-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:77412346:77415107:-1 gene:Sspon.02G0023900-3D transcript:Sspon.02G0023900-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CST complex subunit TEN1 [Source:Projected from Arabidopsis thaliana (AT1G56260) UniProtKB/Swiss-Prot;Acc:Q6NME7] MAAGLKPGVPVILRELEPSSEMFKQGAVSLKVDTQHLRDISFRMNSAYQFIGELQIRQDNDAILLARIGRNVDGLDLNLYQQALLIRRQHEAKLLSSRTRRA >Sspon.06G0015010-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6A:82161560:82161988:1 gene:Sspon.06G0015010-1A transcript:Sspon.06G0015010-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDRRRWMYAANRATDDYLDGVESFLNAARTDMVNGNKSSIWCPCFDCKNERLFQNSLTVHAHLILRGFMDDYICWNKHGEEGVNVQDQAHAAGHQHNGLHENDVDGEEAPFGNDRLSDDDVAEIVASPVPMVENLEEMVRE >Sspon.01G0056990-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1C:95155861:95156610:-1 gene:Sspon.01G0056990-1C transcript:Sspon.01G0056990-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKKQAAAPPAPAAGLITLVHIRPVSLQQPAGSPVLYTESSKGRRHAAVLTGWSGRPSWMPDGGGGIRAGQAWMDAMNNGSPLQCRLGERLGMVLLQAGRPVAVAAASCGQRGGKKAGAASRLRGSYHCIPAAAVAVVMSAGILRSGVEKRSMGVFRW >Sspon.08G0001700-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8A:5377738:5380495:-1 gene:Sspon.08G0001700-1A transcript:Sspon.08G0001700-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGREGVVAGPVAEGSKSRPECINSSNPYHECSDYCLRKIAEARQRLDDELPDSWKRPPEQRTVHPDCINASNPYHECSDYCFRRIADAKSDLERGEGQPPADVATAAGSSDAVEQQRAEDNDADEQEGAGADDGYPQMTEKQKKLFELRLKMNEARKANQQAMVAEKKRMEPRGESRGVSKQKWLDDRKKKIGKLLDSNGLDMSKAYMLDTQETAETKYKKWEKEPAPYGWDVFNQKTLYDAYKKRTKNIEVDMEAYNKAKEADPEFYRDASSLQYGKVSNVPEENIDKMVKELKEREEKRKSFSRRRKFNEDKDIDSINDRNEHFNKKIERAFGKYTLEIKNNLERALPPAARQKRTLTTTCCSPTGSSAEANLDDDLLVLDAEFVLVDFFLVRYAGAASSIL >Sspon.03G0022650-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:87574919:87581501:1 gene:Sspon.03G0022650-2C transcript:Sspon.03G0022650-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MESEMADAPAAAAIPAAEPLAAVAEEGEGEAEAGADAPAEAVGSTLTMERVAAAKKFIENHYRSQMKNIQERKERRFRLERQLASSQVPKEQQINLMKDLERKETEYMRLKRHKICVDDFELLTIIGRGAFGEVRLCREKTSGNIYAMKKLKKSDMVVRGQVEHVRAERNLLAEVASHCIVKLYYSFQDTEYLYLIMEYLPGGDIMTLLMREDTLTEHVARFYIAETILAIESIHKHNYIHRDIKPDNLLLDKNGHMKLSDFGLCKPIDCSKLSTLNEDEPMGDDNLRESMDIDSSLSDTANGRRWRSQHEQLQHWQMNRRKLAFSTVGTPDYIAPEVLLKKGYGMECDWWSLGAIMYEMLVGYPPFYADDPITTCRKIVHWRNHLKFPEDAKLSNEARDLICRLLCDVDHRIGSAGADQIKAHPWFRGVAWDKIYEMEAAFKPQVNDELDTQNFMKFEELENPPTKTGSGPSRKMMLNSKDLSFVGYTYKNFDAVKAIKIS >Sspon.08G0014070-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:57932988:57934934:1 gene:Sspon.08G0014070-1A transcript:Sspon.08G0014070-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to PIN1-like auxin transport protein [Source: Projected from Oryza sativa (Os05g0576900)] MISWHDLYTVLCAVVPLYVAMVLSYGSVRWWGVLTPDQCSGINRFVAVFAVPLLSFHCISTSDPYVMNLRFIAADTLQKVLVLASLAVWSYLPTARGCGGTNKREPFDWSITLFSLSTLPNTLIMGIPLLVAMYGQYSGDLLVQVVVLQCIVWYTLLLVLFEFRAARVLIAGQFPAGTAAACIADIYSVSSSRNATPRGSSGIAHADIGWAAAATPHGASSLRMSSFGAADLFSLHPTPRPSSFDEQGVRARSAATVAPSNDPRDVHMIEWSSGASATSEVRGLPVFHGGDLHRGMDSRRLVPSEGPPRGQSLKPINM >Sspon.01G0001940-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:5622287:5623794:-1 gene:Sspon.01G0001940-1A transcript:Sspon.01G0001940-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIMAGAPSMEVEQDLPGFRFHPTEEELLGFYLSRVVHGKQLHFDIIGTLNIYRHDPWDLPAMAKIGEREWYFFVPRDRKAGSGGRPNRTTERGFWKATGSDRAVRSSADAKRVIGLKKTLVFYQGRAPRGTKTDWVMNEYRLPDRAAAGRAAPPPPKEDMVLCKIYRKATPLKELEQRASAMEEMQRRSSAARAASLVQAAGSAADDYLSVSSDDAHDSSFLQLPSSSSSAPSGDSYGAPAPREAKTEAADAMAITVASTSSMAAVQPPAVRHADLPTLQVPTNNLGVADWTQMQDPFQLRSPWQDQLFFSPLAHLLY >Sspon.01G0033240-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:112116365:112119761:-1 gene:Sspon.01G0033240-1P transcript:Sspon.01G0033240-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSAPAPSGAPPAGKRPASSGREGDQMVITPLGAGSEVGRSCVHMTFKGRTVLFDCGIHPAYSGMAALPYFDEIDPSTIDVLLITHFHLDHAASLPYFLEKTTFKGRVFMTHATKAIYKLLLSDYVKVSKVSVEDMLYDENDIARSMEKIEVIDFHQTLEVNGIRFWCYTAGHVLGAAMFMVDIAGVRILYTGDYSREEDRHLRAAELPQFSPDICIIESTYGVQQHQPRIVREKRFTEVIHNTVSQGGRVLIPAFALGRAQELLLILDEYWSKHPELHKIPIYYASPLAKRCMAVYQTYINSMNERIRNQFAQSNPFHFKHIESLNSIDNFHDVGPSVVMASPGGLQSGLSRQLFDKWCTDKKNACVIPGYVVEGTLAKTIINEPREVTLANGLTAPLHMSVHYISFSAHADFPQTSNFLDELRPPNIILVHGEANEMSRLKQKLITQFDGTNTKIVSPKNCQSVEMYFTCEKMAKTIGRLAEKVPEGGESSGGLLVKKGFTYQIMAPEDLRVFTQLSTANITQRIAVPYSGSFEVIRYRLKQIYESVESATEESDVPALIVHERVTVRLDSESYVTLQWSSDPISDMVSDSVVAMILNIGREGPKVVPVEEAVKTKEETEKVAQKVVYALMASLFGDVKVAEEGKFIISVDGNVAHLDGMSGDVECENATLRERIKTAFRRIQSAVRPIPLSAS >Sspon.02G0029530-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:107457146:107458200:-1 gene:Sspon.02G0029530-1A transcript:Sspon.02G0029530-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDPAMTPPLQEQWFILAGIANVVKDKKAKRTFPPGADVSVAYAEPPRASVLTVPYRVSSPPCLFGYPYVAAADSSGLILLCATEPEGTNSWVTYHLCDARTGEDTCLCEHNRTVGCHGNNLGLMVRGGRPAAAWSPSSSPPATALKELAYSPPLHREWRGEGVISHGGMLWWVDLSYGLLSCDPFVDTPELLHVPLPSVGDQLPVLFANGGAHRCVRVSGGMLRFVQIHGSPNAPVVSTWALVESSGKWNPERHVALADVWANESYLDIMLPGSIPALALLHPEDPDKLFFFLDSCIFAVDLRQRKVVEFSNNFAMPDSPNELLMRSSHFVHAW >Sspon.01G0011420-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:25457015:25461414:-1 gene:Sspon.01G0011420-2C transcript:Sspon.01G0011420-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MERAAPVRSSHTSTADLLAWPQPQGPAPAATPSPPRRPGQPSEAIRKVVFGGQFTEEEADSLTKRKPCSAPKWKEMTGSGIFAAGSNGEAGEASAASKPARSASRQAISTVSHISFAEDGTDPPKKPTSVAEVAKQRELSGTLQSEADSKMKKQISNAKSKELSGHDIFADTPDSRSNRARNTSNGSTASHTPVKNTNVSTFSFGEANADSAPKTAKKITGKKVNDLTGNDIFKGDAPPASAEKHLSTAKLKEITGSNIFADGKEPIRERVGGNRKPPGGESSIALI >Sspon.03G0040430-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3C:29014224:29014391:-1 gene:Sspon.03G0040430-1C transcript:Sspon.03G0040430-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MCRRVPCNPMASEASSMMTGPIRNLRSMESDAMVYPHGTNRDCRAMNVPENDPYI >Sspon.08G0013930-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:55718849:55719451:-1 gene:Sspon.08G0013930-3D transcript:Sspon.08G0013930-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GDGEGRHCLLPAATARAGRPGFGAARDGAGRRWWSGRPARRRGGGRQPRGAQGRRGGGAHRRRHPQEGGRGRRPRRRAPLRRRRPRWRRRRRLSAAAVVPPSPPPQEPRPQPGAHPGYLRRR >Sspon.03G0012520-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:51071101:51072081:-1 gene:Sspon.03G0012520-3C transcript:Sspon.03G0012520-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTADRMSSYSSLLSPSHANADGHRVLGGDADDDMAAVSSYLSLDDVVDDVAGEECYRPLGEEAAVAVAAELQAVQQGHQEPLFFATLQAEDGYCISGGGVAQSRPGVSSVLSSTALTSDNHDMINLTQDGGSRRLLRREHGKIAFKTRSEVDVLDDGYRWRKYGKKLVKNSPNPRNYYRCSSEGCRVKKRVERERDDARFVITTYDGVHNHPAAAPPRSPAYRLGDMPHGHHV >Sspon.08G0005430-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:13243474:13247935:1 gene:Sspon.08G0005430-2B transcript:Sspon.08G0005430-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAVASTVAARFAFFPPTPPSYGVEPPPSPAAAAADSEVVELSGVPVSRGRGVEARRLPTKRGTEVVAMYVRQPGARLTLLYSHGNAADLGQMYELFVELSAHLNVNLMGYDYSGYGQSSGKPSEQNTYADIEAVYKCLIETYGASEENIILYGQSVGSGPTLDLASRLPHLRAVVLHSPISSGLRVMYPVKHTYWFDIYKNIDKIPLVKCPVLVIHGTADEVVDCSHGRALWELSKVKYEPLWVKGGNHCNLELYPEYIKHLKKFVSAIERSPPVKDESPESSDPSDPSETGSESAESSRRSTDIRDKPRSSIDHRPSIDRREKPRGSIDRRDKSRKSVDQLDKPRASVDQPDRPRKSIDRFVYTFLWFLLYIPLWNFVVTRILFPALLTLVMPRCDSFGGMMRSVKLCNIDCFTAASGS >Sspon.02G0009200-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:28957577:28971564:-1 gene:Sspon.02G0009200-3C transcript:Sspon.02G0009200-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:E3 ubiquitin ligase BIG BROTHER-related [Source:Projected from Arabidopsis thaliana (AT3G19910) UniProtKB/Swiss-Prot;Acc:Q9LT17] MEGSKGSGGGGDGAGPEKPSAESNPSLNPPPPTAAAVEATDDGGAAAAAAAAAAAAAAAEAARRPFTALSQEEADLALARVLQEQERAYMLLRMNGAGGEGSDYGSSDAGSYEYDEEGEEDYEEELEHHLRVHHHGHPSGDGEGEGEGEGEGAEGSDYDEEFEEDEEGEAEVDPAEFEDDEAYARALQDAEEREVAARLMALAGLSDWRTVDVEHEEDHVNDPQELVALGEVVGTENRGLSADTLASLPSVTYKMQDVQDGNTEQCVICRVELEEGESLIALPCKHLYHPECINQWLQINKERAYMLLRMNGAGGEGSDYGSSDAGSYEYDEEGEEDYEEELEHHLRVHHHGHPSGDGEGEGEGEGEGAEGSDYDEEFEEDEEGEAEVDPAEFEDDEAYARALQDAEEREVAARLMALAGLSDWRTVDVEHEEDHVNDPQELVALGEVVGTENRGLSADTLASLPSVTYKMQDVQDGNTEQCVICRVELEEGESLIALPCKHLYHPECINQWLQINKVCPMCSAEVSTSGNKEP >Sspon.01G0007760-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:17709316:17710548:-1 gene:Sspon.01G0007760-1P transcript:Sspon.01G0007760-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGQWEFQVGPSVGISAGDEIWVARYILERITEIAGIVLSLDPKPIQGDWNGAGAHTNYSTKSMREPGGYEVIKKAIEKLGKRHKEHIAAYGEGNERRLTGRHETADINTFKWGVANRGASIRVGRDTEREGKGYFEDRRPASNMDPYVVTGMIAETTILWKGN >Sspon.01G0041090-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:40169366:40171697:1 gene:Sspon.01G0041090-2C transcript:Sspon.01G0041090-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLGSRRNQTQEVSTNSSKRKSLSEIVDLNSDVRTSIRRKSDAYHEVIDLEKPSISGDVVEAIGCSGFGNLANQNGKSQDGSCCISPENTSLAESLQLCRVWNTSRVSVGSVGSSDTPDCQSPIKTSNTEARHSLFDLNVPQEESLLMPSTLFPSSSTYCGNFPDNPRETCEKHVSGIGSMKGSSITVITPNLVADSSSDVVTESSVQQKFLFDLNVSPESTDMPSEISDYRNKVVNNDVSKGAAPDHSLSTKNSLHAENSTKHVVPGNDHMLANKDDSHVLLTAPTTNGINKVQSPESETIDKELLILGSPLVDNNFHPRLGISHSGASNIQKLSVLQDKVDDDGTAADIAARTLLSIFQHSSDCMAHCFGSSNQTAAQNGDDEPQPSLDSFEKMVLNLEEIKDDGQSVNVSPRYNEGPACRIKLKRGRGMRNFQREIIPGLLLGRQEICEDLEVIGYEPKKTRSRKTRKGPGASSTRPRPRKRGAVKH >Sspon.07G0003290-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:7682137:7684802:-1 gene:Sspon.07G0003290-2D transcript:Sspon.07G0003290-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eukaryotic translation initiation factor 3 subunit F [Source:Projected from Arabidopsis thaliana (AT2G39990) UniProtKB/Swiss-Prot;Acc:O04202] MATSAALLFPSPSSASSPPSAARVEAVVLFNVCDSYVRRPDQADRVIGTLLGSLLPDGTVHVRNSYVVPHSESADQVAIDIDYHHNMYASHQKVNPKEVIVGWFSTGFGVSGGSTLIHEFYSREVQNPIHLTVDTGFTRGEASIKAYISSNLSLGDRHLAAQFQEIPLDLRMIEAEKAGFEILKSTMVEKLPNDLEGMESSMEKLYILIDEIYKYVDDVVEGRVAPDNRIGRFISDSVASMPKLSPAAFDKLFNDKIQDNLALVYLSSITRTQISIAEKLNTAAQVL >Sspon.05G0011730-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:25834152:25835062:-1 gene:Sspon.05G0011730-3C transcript:Sspon.05G0011730-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATALLLPSLAAPTATQRRTHSSRQPSQLQLQLQLQLQRGSLACCSRSLRPPRRRPRLAVPAVQETKEGEAKTAEEITEKYGLEFGLWKVFSSKDEEGGEGKKSRTDQAKELLAKYGGAYLATSISLSIVSFTLCYLLISAGVDVQELLAKVGIVTGETGGKVGTFALAYAAHKAASPIRFPPTVALTPVVASWIGKIRKGG >Sspon.05G0020790-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:85632405:85638436:1 gene:Sspon.05G0020790-3C transcript:Sspon.05G0020790-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGNGCSARALAACVIGGIVLGASVLALHLAGGPAAIPPLPLPPPLGALRRRLRRRPRRPVRVYMDGCFDMMHYGHCNALRQARALGDELVVGVISDDEIKANKGPPVTPLHERMIMVRAVKWVDDIIPDAPYAITEEFMNKLFNEYNIDYIIHGDDPCLLPDGTDAYALAKKAGRYKQIKRTEGVSTTDIVGRMLLCVRERSSDAHNHSSLQRQFSSGHGQKFDDTGSGTGTRVSHFLPTSRRIVQFSNSRGPGPDSRIVYIDGAFDLFHAGHVEILRLARELGDFLLVGIHTDQTISSTRGRHRPIMNLHERSLSVLACRYVDEVIIGAPWDVSKDMITTFNISLVVHGTIAENMDFTEDDSNPYAVPKAMGIYRRLESPLDITTSTIIRRIVANHEAYQKRNEKKEASEKKYYESKSFVNGE >Sspon.02G0025600-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:88713227:88715142:-1 gene:Sspon.02G0025600-1A transcript:Sspon.02G0025600-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQSIESHRAGAAVVTGDAASRKKSVELLEELGLPKGLLPMEDIQEFGYNRDTGFFWLVQGKKKVEHTFKKIKQTVSYTAEVTAFAEKGKLRKITGVKTKELMLCLASSRCLAVDFRGRYGAHGCSVALALPRGGALHIIRWSDLDKN >Sspon.02G0017120-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:49046187:49048499:-1 gene:Sspon.02G0017120-2B transcript:Sspon.02G0017120-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSKLSLLCLVPFLLLAAVAVAGGDTLTTFIVHVQPPEPEENQQIAGDREAWYRSFLPEDGQLIHAYHHVASGFAARLTREELDALSAMPGFVAAVPEEMYELLTTHTPLFLGLDARPRGRPASHGHGGSERGAGVIVCLLDTGISPTHPSFDDDGMPPPPAKWKGRCDFGVPVCNKKLIGARSFMSVPTAGSNSSSPVDDAGHGTHTASTATGAVVQGAQVLGQAVGVAAGMAPRAHVAMYKVCNATRCLSSDILAGLDAAVGDGCDVISMSIGGVSKPFYRDTIAVGTFGAVEKGVFVALAAGNRGPNASSVTNEAPWMLTVAASTMDRSIRSTVHLGNGVSFHGESVYQPDVSASAFHPLVYAGASGRPYAELCGNGSLDGVDVRGKIVLCEYGSGPDGNISRILKGAVVRSAGGAGMVLMNGFPQGYSTLADAHVIPASHVDYAAASAIMSYVQSTASPTAKILFGGTILGTSPAPSMAFFSSRGPSLQNPGILKPDITGPGVNVLAAWPSQLQVGPPTTASTALPGPRAPTFNIISGTSMSTPHLSGIAAFVKSKHPDWSPAAIRSAIMTTADVTDRAGNAIRNEQRVASDLFATGAGHVNPEKAADPGLVYDIAPSDYVGFLCGLYSSQNVSLVARRPVDCSAVTVIPESMLNYPSVSVVVQPTWNWSTPVVVERTVKNVGEEVSSVYYAAVDMFDDDVAVGVFPSELVFTKVNQEQSFKVMVWRRHSNKGAKVVQGAFRWVSDTYTVRSPISISFTGHHKST >Sspon.06G0002400-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:6393537:6394328:1 gene:Sspon.06G0002400-2C transcript:Sspon.06G0002400-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASTMPHHLALLLLLLASPAATRLASAACASEKFPAGRAYATCEDLPKLGASLHWTYDASKNSLSVAFVAAPAAPGGWVAWGLNPTGEGMAGTQALVALAGSGSAAPTVRTYNITGYVPLGKASTPLDFPATDLAADAAGSGGKIRVYGKLQLRTGMKAVNQVWQVGASSSGGAPDKHAFQADNLNAKSKLVLAGKAAAEAPSPALAPEAGGPSASSGGAGSDSGASSSTAPSKSPNAAVPAAGVSAPALLVLALVGFLVTV >Sspon.05G0027520-3D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5D:29300217:29302276:-1 gene:Sspon.05G0027520-3D transcript:Sspon.05G0027520-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSELVPREIVSIRNSTARRNLGYLSCGTGNPIDDCWRCDSDWHNNRQRLADCGIGFGRNAIGGRDGKIYVVTDPSDDDPVNPRKGTLRYAVIQEEPLWIIFKRDMVITLKEELIMNSFKTIDGRGANVHIANGACITIQYITNVIIHGLHIHDCKPTGNAMVRSSPSHYGWRTMADGDAVSIFGSSHVWVDHCSLSNCADGLIDAIMGSTAITVSNNYFTHHNEVMLLGHSDSYVKDKAMQVTIAFNHFGEGLIQRMPRCRHGYFHVVNNDYTHWEMYAIGGSAEPTINSQGNRYLAPTNPFAKEVTKRVETAQTVWKGWNWRSEGDLLLNGAYFTPSGAGASASYSRASSLGAKSSSMVGTITSDA >Sspon.01G0017940-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:68263342:68265522:-1 gene:Sspon.01G0017940-3C transcript:Sspon.01G0017940-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATLPPASAKVAARNLARAVSSRQPLLLLLHASSRRDRGRGRSLHPLPLAASQGAHLRRLLSFSASASAAAGGNNGAAPRAAEREYDYDLFTIGAGSGGMRASRVASALYGARAAVCEMPFATVASDALGGVGGTCVLRGCVPKKLLVYASKYSHGFEESRGFGWVYETDPKHDWRTLITNKNLELQRLVGIQTNTLKNSGVTVIEGRGKIVDPHTVSVDGKLYTAKNILVAVGGRPSKPNIPGIEHVIDSDAALDLPSRPEKIAIVGGGYIALEFAGVFNGLKSDVHVFIRQKKVLRGFDEEVRDFVAEQMSLRGVKFHIEQSPEAVTKSDDGLLSLKTNKETVSGFSHVMFATGRKPNTKNLGLEDVGVKMDEHGAIV >Sspon.02G0002200-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:6384402:6387842:-1 gene:Sspon.02G0002200-2B transcript:Sspon.02G0002200-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVAARRLLQPRWVVGGRCRLLGTAAEASPDGEGARGGGGGSDDAIYVKKPSAAAAVTTRDETSVAMPTSFMTGSLVGKRFYRDATVRRADDGNGWTVMLDYRTLKSPAKRPLKLPSRALAMAIAAEWEYQESDGIRPFTMPLMKLACTALERVPLTRRKVIDNLMKKFHQDLVFCRSPADSDVTIGVHQKQKEKIDPILEWVDTEFGFKPVVYTTFFGGKQDEGLAKAVETVLKKATDCELASIDAMAAAAHSLVIPLAIFRERLGIEEAIELIRLEEDHQVDRWGLVEGGHDVDIADLKVQMSSAVGS >Sspon.02G0023150-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:81121875:81124112:1 gene:Sspon.02G0023150-2C transcript:Sspon.02G0023150-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding YLVIIDDIWHCGEWETIKKSLPDNNLCSRIVTTSRVNAITDKWRDDFDALVHKIDHNDHWDALAMDCMMSALAKERERREQQGLCVLGTRTLENEIIKQMMRDYLRGKLREDNFITCTSDGVPSYPVGRLCIDYWPSSDAVEPVDPLSGIDWHHVRSLVVLKDAERVPYKHFQQLRVLDVQSNSGGLENQLLKDMCGLLRLRHLLVSGGEGITEIPADIGKLNYLETLQVIETKIARLPAEIGDLKQLKILDVDWNEELAELPREMGNLQHLETLLIRQSKIREQAWEIIGTLKKLKTLDVSGNSELSGIPRDIGELQQLKKLDVSKSSRITELPKEIGKLQHLEFLDANTTGIKELPPEIGNLQRLVYLDLHHTAITKVPRDVGKLQHLEYLNLRETKVRMMPREIGGLQNLKYLHSDVGILPLEAGQLSKLEELPKCVRQAFKNSHLVSSLAGEILSFEKAFYEGSDGGLIVGTKHMHIPEWIKEHFNNIHFLDIRICKLEEQDLKILREMPNLRELKLRFEAVPRKPIAISSGGFANLDSLTVDSRVPRITFQELAMPRLSCLQFEFQFYGGPPNTDHPMGIKNLVNLERVIFICNEWYRGDSPCISTTIDVIKKETLEHPNWIRIKVSGEDDETFPRAEEMEEIQT >Sspon.01G0046630-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:92207373:92211905:-1 gene:Sspon.01G0046630-2D transcript:Sspon.01G0046630-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATITTPLRSALLSPAAVSSAGRRRGGGGRRRAPSSVRCDASPPSSPAAAASLDPDFDKKAFRHNLTRSDNYNRKGFGYKKETLELMSQEYTSDVIKTLKENGNQYTWGPVTVKLAEAYGFCWGVERAVQIAYEARKQFPRSASGSPTKSSTTPLSTRLDEMGVEIIPVDAGIKDFNVVEQGDVVVLPAFGAAVEEMYTLNEKKVQIVDTTCPWVSKVWNMVEKHKKSEYTSIIHGKYSHEETVATASFAGKYIIVKNMAEATYVCDYILGGQLDGSSSTKEEFLEKFKKAVSPGFDPDVDLDMVGIANQTTMLKGETEEIGKLVEKTMMQKYGVENVNDHFMAFNTICDATQERQDAMYQLVKEKVDLILVIGGWNSSNTSHLQEIGELSGIPSYWIDSEQRIGPGNMISYKLNHGELVEKENWLPEGPITIGVTSGASTPDKVVEDALQKVFEIKRQEILQVG >Sspon.05G0001660-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:3259168:3259878:-1 gene:Sspon.05G0001660-2D transcript:Sspon.05G0001660-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKLYQGGKGRRVHPAPADAAAAVALPAAVLALASALTAEEQEVLAYLLSCGGAAAAGRPRRRRGPHPPEMGCACFGCYKSFWARWDASPNRHLIHRIIDAVEEGGGGLGGGPPRRPPRRRRRGGRRGGDGDAADDDAADDDAAETGPAVDHLLGCDAGAAMGVGMDHHGEYECDGEEEEEGGSSMDGDGYGDGDDASVVSEGDCSIGDGLDGGAEKSTVGRLVRFIGEKVWGAWN >Sspon.04G0034450-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4C:74305020:74305268:1 gene:Sspon.04G0034450-1C transcript:Sspon.04G0034450-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRNSHSLNLITTLASSSRTERRRRGTRLLHRFHHFLLQAMVHPHATGRKWRQISMKSLWWQIPSLGNDDRADVMLRVASRT >Sspon.06G0004680-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:14941701:14943590:1 gene:Sspon.06G0004680-1A transcript:Sspon.06G0004680-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTTTTTVTEEPNSADDASPPMPTSPPPKKVMYELAARNIYYAKPAAAPRSLVAQLLKPCGAAAAPPDYILRDVSLTARAGEILAVVGPSGAGKSTLLDILATRTAPTHGRLLLNAAPLRSTSSFRRLSAHVPQEDVALDLLTVSETFAFAASLLRPESRAAASAAVAELLADLRLAHVAHTRVSPARLSGGERRRVSIGLVLLRDPGVLLLDEPTSGLDSSSAHVVVSCLRAVAAARGTTVVLSIHQPSARLLSAVDCLLLLSRGTVLHHGSLASLDAALLSHGLVVPAQLNPLEFALEVLDQLPHPNPSTAEPKQPTTQELNSPSSSHHKMATATSSSTGSPSSRLHELVVLYKRAWKVVYRSKQLLLTNFLEAVLVGTLLGTIYIHAGYGEAGAHKRLGLFAFTLTFLLTSTTETLPTFVTERPIVLAETAAGLYRLSSHVAAATLVFLPYLLAVALLYSVCVYFLVGLCSSAGAFAAFVLVVWAVVLTANSFVLFVSSFAPDYIAGMSLVSVSLAGFFLFSGYFLSRGSMPSYWVFMHYASPYKYALDALLANEYTCAANRCFGVGGGGADGSECSETGRDVLVEKGLTAEERWTGVQVLFGFFLLYRVLYWVVLSRRASRAKR >Sspon.03G0010360-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:28205420:28207375:-1 gene:Sspon.03G0010360-1A transcript:Sspon.03G0010360-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AMVPLYVAMFLAYGSVRWWRIFTPDQCSGINRFVAIFAVPLLSFHFISTNNPYAMNLRFLAADTLQKLLVLAGLAVWSRLLPSRLAAAAPRLDWSITLFSVSTLPNTLVMGIPLLIAMYGPYAGSLMVQVIVLQCIIWYTLLLFLFEFRAARMLIADQFPDTAAAIASLHVDPDVVSLEGGRAETEAEVAEDGRLHVELYSMHSSRGPTPRQSNFDERSASARSSSRPAGAVPSCHDAKELHMFVWSSSASPVSEVSGLPDFTGGAGGGVNVGAKEIRMVIPAELPPQNGSAGTENESNGASVAAAAAEAEAFGFGGGKTTAEDAEAGEAGGLTKLGSSSTMAELRVKDVDGVANGGGGGYDPDDAGGGARAQQQMPPASVMTRLILIMVWRKLIRNPNTYSSLIGLAWSLIAFREATIKFGMDRR >Sspon.02G0041880-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:79127534:79132644:-1 gene:Sspon.02G0041880-1B transcript:Sspon.02G0041880-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MREQPLPAREPTTGASSWTGAHRNSGGADLGQPLPRNSSPSGVDGQKRRRQHERIPNICPPPMEAITTESTENFKRNSDATGSTENFKFLLRTRTIPPLAAGTRKPTAWGRAPLLDARPPAHDFLHELSSPATAGHPPSLDLFRKQRSPSLINSQQVLQAY >Sspon.03G0035780-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:84417078:84417818:1 gene:Sspon.03G0035780-1B transcript:Sspon.03G0035780-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAPSLSWLWLSLVSSLWTAWAWSVPVMLATAAPTTAADRQGGELCTPTLCGGVNISFPFGIVAATETNCGMIGFQFQVLCSKNIPYFGSSTYSPQILDIFYNNSSLLIADVHKLDDFHSSSYKLCHSPTNNSSSKVVPPFSISPANQNMIFYNCEEPPAQAERQRRGLVDTACGNKTLVGVTKGPDVPDSYFMEGCSATVVPMLARPGEVNPANYKEFISGGFLLTWSLPPSPPSPAGKLAVRI >Sspon.08G0005220-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:15550730:15552482:-1 gene:Sspon.08G0005220-1A transcript:Sspon.08G0005220-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLPVHLLLLLPLLAVISLLWLSRGALCRRGSGERLPPSPWALPVIGHLHHLAGALPHRAMRDLAARHGPVMLLRLGGLPVVVASSADATREVMKARDIEFATRPVTRMVRLAIPEGAEGIVFAPYGDGWRQTRKICTVELLSARRVQSFLPIREEEVGRLLHAVASAAAPRPRAVNLSELLAVYAAESSVRAIIGSRIKDRDTFLALLERGLKLFANMSLPDLYPSSRLAMLVSRMPGRMKQHRQEANAFMDAMVQEHGESRAADDGDKEDLLDVLLRIQREGDQQFPLTTDNIKTVIGDMFAGGSETGATTLQWIMAELMRNPGVMKKAQDEVRQALAVAGRQRVTEDDLSNLHYMHLVIKEGLRLHPPLPLLLPRECRSSCQVLGFDVPAGTTVFVNTWAIARDPSYWDKPEEFVPERFEDSSVDFKGTDFEYVPFGAGRRMCPGMAFGLVSMELALASLLYHFDWELPPGMTATDIDMTEEMGVTARRLHDLLLVPFVRVPVPMT >Sspon.02G0036910-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2B:27945615:27946304:1 gene:Sspon.02G0036910-1B transcript:Sspon.02G0036910-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGLVPFFANVSRLLNIVGVSCKRHDMLRDVRAQKLKKALELGEIETGSGLNQEMGLARPGDTRWGSHYRTVLHIIDMYSTIREVLITLGKDPTQRDDWPNIHAMVLTLESFEFVFNAHLMLVILGYTNELSQSLQKRDQDIVNAMTLVGVAKIRFQQLRSNGWEDFLEKVKSFCIKFSIDIPAMDSKYEPHGRSHRFYPVQTIDDHYRREVYIGIIDRIHQELENRFDE >Sspon.02G0008620-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2A:25003417:25003851:1 gene:Sspon.02G0008620-1A transcript:Sspon.02G0008620-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSAKRLVQMASKWQRIAALTRKRVMPIPAKESEGSCSTSTSVAGKGHCIMYSADGQRFEVPLVYLGTVVFCELLMLSQEEFGFTSDDGKITLPCDAAVMEYVMCLLRRDASEEVVRAFLSSMVRPCHTVSGVAPWNQRLAVCV >Sspon.07G0008880-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7A:24517378:24517873:1 gene:Sspon.07G0008880-1A transcript:Sspon.07G0008880-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVILGVGADGAEPCRPRSARYAEKGYPNYAEHDQGLTPRWRKDGTGGRSNKPEARRGRKRGLGDAAAEEVVVAAGKAPPPILQREEAVAQDQGRKRRMTGAAAGVAAAGKAFPLIADNDEEEVEVSATEGGGDERGAAGVCSKNPRLRVMKTLRAFSTNYLHFVQ >Sspon.01G0041070-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:41554077:41557721:-1 gene:Sspon.01G0041070-2D transcript:Sspon.01G0041070-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLATRQGALLNLGFTANSAKKLVAAMEKVFAVLPAEFKAGQSTLSWVLDHFGGSRTTVVITHVHVPPQMIPVMGVKFHSSKLSPEQVKLFRRIEHEKVDKQLDGYVHQCLKMKVKCEKLIFEKEDVVAGLVELIVLHKVTKLIISGAADRQYSRKMGKPKCKTATEIMQRADPSCKIWCVCKGQLICTRGEEEEIAPSATPFLPDFDHQALQLVPYQKEDDVKSELGLYDELKEACIAAENLMKRALNESSRRQKADGEVASALQKAKEYQELYLEETRKREELEGALARAHREIARLRKTPVDEQNTATDKLQEAMSERSSLEGHVVDVDAVFGTAGQVTEAQKEHVQIQIDLGTGERDLELEIQALLNRSKLAAFSPSSVIESPYDEDRVPSYFLCPILQEPMRDPHVAADGFSYEGDAIRGWLDAGNDASPVTGQPLAHRELAPNLALGAVIQDYTAMKRRQYQFGDSHDTVAALQFFSSPVGSER >Sspon.04G0002300-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:8099535:8104118:1 gene:Sspon.04G0002300-2C transcript:Sspon.04G0002300-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVFLEASCGAWRQRERRGEERRSSSSSSSRAIRLLACFPFSPSSPHRRRRLFALRAPRRCTRGWRPRTMDAGGEKCGDAAAAEGGEGGGDLYAVLGLKKECSDADLKVAYRKLAKKWHPDKCSSSSSVKHMEEAKEKFQEIQGAYSVLSDANKRLLYDVGVYDDEDDEDSMQGMGDFIGEMAEMMSQVRPTRQESFEELQQLFVDMFQSDIDSGFCNGTAKGHQVQGQAKSRTCSTSPSSSPSPPPPTIVKEAEVPSCNGFNKRGSSAMDSGKPPRPVEGGAGQRQAGFCFGVSDTKQAAKPRGPNTSRRRNGRKQKLSSKHDVSSEDETAVRIICE >Sspon.06G0003330-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:8443497:8447576:1 gene:Sspon.06G0003330-2B transcript:Sspon.06G0003330-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Biotin synthase, mitochondrial [Source:Projected from Arabidopsis thaliana (AT2G43360) UniProtKB/Swiss-Prot;Acc:P54967] MAMMLLARNLRSGLRPPLSAAFSSAAAAEAGRAIRDGPRNDWSRPEIQAVYDSPLLDLLFHGAQVHRTTHKFREVQQCTLLSIKTGGCSEDCSYCPQSSRYDTGLKAQKLMNKDAVLEAAKKAKEAGSTRFCMGAAWRETIGRKTNFNQILEYVKEIRGMGMEVCCTLGMIEKQQAEELKKAGLTAYNHNLDTSREYYPNIITTRSYDDRLQTLQHVREAGISICSGGIIGLGEAEEDRVGLLHTLATLPTHPESVPINALVAVKGTPLEDQKPVEIWEMIRMIATARIVMPKAMVRLSAGRVRFSMPEQALCFLAGANSIFAGEKLLTTANNDFDADQAMFKILGLIPKAPSFGEEEAAAPADTERSEQAASM >Sspon.01G0039160-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:20996046:21001145:1 gene:Sspon.01G0039160-2P transcript:Sspon.01G0039160-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNMISSFINGRVKMDGSTRGGGHSEALRNYNLGRTLGIGTFGKVKIAEHKLTGHRVAIKIINCRQMRNMEMEEKAKREIKILKLFIHPHIIRLYEVIYTPTDIYVVMEYCKYGELFDYIVEKGRLLEDEARRIFQQIISGVEYCHRNMVVHRDLKPENLLLDSKYNVKLADFGLSNVMHDGHFLKTSCGSPNYAAPEVISGKLYAGPEVDVWSCGVILYALLCGTLPFDDENIPNLFKKIKGGIYTLPSHLSALARDLIPRMLVVEPMKRITIREIREHQWFQTRLPRYLAVPPPDTTQQAKMIDEDTLRDVVNMGFNKNHVCESLCSRLQNEATVAYYLLLDNRFRATSGYLGQIIKNQWTGI >Sspon.03G0023100-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:94117867:94120675:1 gene:Sspon.03G0023100-2B transcript:Sspon.03G0023100-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAATMTVATHHPRARAPLRVCAAWDMNPAAATVATPKPSKAKAKPPPPATTTPARPPPPTHADLFARSSEGQGVVKKSTYMGYEKWWLPPPPEVKKPRSLYSAASLAYLGDCIYELYARRHFFFPPLSINEYNKRVMDVVKCESQDLLLNKLLGEDFLTEEERDILRWGRNIVSSKTRTRKRAGIAVYNRASSLETLIGYLYLTNFKRLEQLMFQLGFTSGASSQHIADELRSSFQKTTPASAAPPQPATK >Sspon.02G0053810-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:93727183:93727938:1 gene:Sspon.02G0053810-2D transcript:Sspon.02G0053810-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MCTLFNTICDLRTVADTGIYFHKAQLHPGSTMTLSFPAEAETPILPHDVADKVPFENLKDVLATFHILPGSAEAAQVEDTQSKCQEPPLAGEAKACTTSLESTVQTAMEMLGSTTIKQGGGGGDVWAATSVLPRGGLLPRRQYVVQAVTPLEGSNYVSCHKVPFPYAVYQCHVAYTGYVTYTGYGGYMVSLRGRHEGPTVSLLAFCHFDTSCWNPMHPAFEILNTHPGGSTPVCHFMSYGNLAFIKKGGTA >Sspon.01G0003580-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:9998473:10001013:-1 gene:Sspon.01G0003580-1A transcript:Sspon.01G0003580-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAAGGSRGGVPRGAALLGVAVALLALSAVAAADFFSPLAPIFSPVISKRATSTLLNPDFPYSICSTVACGQGNCTVAPGTLGYRCDCRPGWTQLHVGDSLRFLPCVIPNCSIDSSCFNGSPAPAPALALTPLPAPKNFSLDPCELAYCGTGGTCRKNGSGLSYHCECKEGHSNLLNMTMMPCFQNCSFGADCASIGIHPSSNSPPAPPPPGSESISNQGNVGAPGSISQRILLPLLVVVSLAVGQAI >Sspon.08G0024240-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:64980472:64981688:-1 gene:Sspon.08G0024240-2D transcript:Sspon.08G0024240-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450, family 715, subfamily A, polypeptide 1 [Source:Projected from Arabidopsis thaliana (AT5G52400) UniProtKB/TrEMBL;Acc:F4KG63] MPMFGRGLVMAEGDEWARHRHIIAPAFSATNLNIRASSMHALLTLTLTVGLQDMIGLMQETTAKMLAEWGDAVAAAGNGLGAVVDVERGVVRNAAEIIAKASFGVADDEDEAGARVFRKLQAMQAMLFQRASGWRTTEATAGAERRLTTRELVDECKTFFFGGHETTALALSWTLLMLAAHPDWQDALREEVARELGDGDHLDAAALGRLTKMGWVMSEVLRLYPPAPNAQRQALEDVAAEGMAAAAGVAIPRGTNMWVDVVAMHHDVELWGADAHEFRPERFGRDPVEGGCRHRMGFLPFGFGGRICTAATSRPWSTASCWPCCCTGSGCRWRPSTGTRPRSCSRSGPPMASSSASCRWSMLRLLLPRHHRPS >Sspon.03G0047040-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:51292004:51295664:1 gene:Sspon.03G0047040-1D transcript:Sspon.03G0047040-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLPPLATPPSREAAATTESGRPLPGIRPPPRHPVAVAPVSRLCRPSASRQRSWGCCRHLDARLTEAVPLRARLQHRLHHQALPHALAHRRSTVLSVEAMLVEAMFELRRKTQLQTLYAVQCSNSSSAVNNLLPNGNRETPHDVEITPR >Sspon.01G0032850-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:111974668:111976247:-1 gene:Sspon.01G0032850-2D transcript:Sspon.01G0032850-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAALLLSPRLVSLPPHPSLAPGFIKRPTSYPLGRRGAGSRLRAVGDGPGAGLPDQTTVYYGAYGPWTVEDSDVREVLLYRSGLVTAAASFVAAASAAFLSEGNPAAGAGAADLLYAAGASGLGLSLLLIHIYVTPIKRFLQALWAVGVLGSLGTYLVAARPLDEGLVQYVLEHPAALWFVGPTFAALTGLVFKEGLCYGKLEAGILTFVIPGLLLGHLSGLMDNSTKTTLLGVWMLLFTVFAARKFQQPIKDDIGDKSVFMFNALPEEEKNALIQKLERQKAQQQFE >Sspon.02G0053970-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:109033789:109036280:-1 gene:Sspon.02G0053970-1C transcript:Sspon.02G0053970-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRFAETEEKMAHNPLTYSRTGFADETEGKMEQDLLMYSPRSAARATATGIPVGHQGVAVLPGALIVGAGPAGLACAAMLTMGSVPYVLLERDVCVASMWHRRTYRRLCLHLPKRYCELPLMPFPRSYPTYPTKQQFLAYINEYMRTFGIRPFFRQEVVAAKHDGEYWCVRTKDAIVGPINDGSEESIHSSAREYRSKWLIVATGENAEPVVPEIEGIHSFKGQVMHSSEYCSGEAFQGKKVLVVGCGNSGMEVSLDLANHNVHTSIVVRDSGHVLPREIMGLSTFTLSLWLLMFLRVQIVDRILLLLAWFVLGNTARFGIPRPSMGPMELKKVCGKTPVLDVGTIAKIKSGDIKVFPAIQSFQEHSVEFIDGTIESFDVVILATGYKSNVPYWLKENDFFSHKDGFPRRPNEWKGKNGLYAVGFSRRGLLGVSMDATKIADDI >Sspon.05G0012250-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:36856361:36857017:-1 gene:Sspon.05G0012250-1T transcript:Sspon.05G0012250-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASSWDALRKQARRLEAQLDDQMIAYRKLVSMKSDGSENDIESDIERSLKQLQQVNSQMQTWVSSGGSEVLAHTLTRHMEILQDLTQEFYRLRSSLRAKQQHASLLDLRDFDRAKFDVEDPSDSADQALLREQAAIGRSTGQMDNVISQAQATLGSLMTQRSTFGGITTKISNVSSRLPTINHVLSSIRRKKSMDTIILSLVASVCAFLIFIYWLSK >Sspon.03G0019650-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:47226304:47228898:-1 gene:Sspon.03G0019650-3D transcript:Sspon.03G0019650-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRVRASSRELGALELDEAGPPWPPRRKTKGHGLNAEILHLCYQDTYKPTRALTCSPQRPKRQSPAMSKAGGGPGSAPGPTAAAAAAAVQKQKSLLQKADADVSSLVDNFSSLINIARVNDPPVRNSQEAFQMEMRAARMVHSADSLLKLVSELKRTAIFSGLASLNENVDRRIEVLGQQAEGTERMLERIGQEAAASLKELEAHYYSSV >Sspon.06G0012500-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:66255571:66258147:1 gene:Sspon.06G0012500-1A transcript:Sspon.06G0012500-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGGRRRPKPRARARGKAKRTKYLSLSDLMVKTEVAATPTPTPTTPPGDEPVSPWEEEAEVKPEEDAGGDGGARRQQQVEPFALHHHHEASTLFEALPAPSLSDILGPSPSASRDGAGSGASGLPGAQEEDLARRALRGRERWVYCSSPVATATATATTSSSSPCSSAASTGASARSLLLKLDYEEILAAWADRGSLYIGAGSPDLELDAVRVLVEVEPSEHAMAAPSERAERVRRYKEKRRRRLFAKRIRYEVRRVNAVKRPRFKGRFIKEREESELRPT >Sspon.01G0045830-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1C:82428260:82432003:-1 gene:Sspon.01G0045830-2C transcript:Sspon.01G0045830-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADASPPASSSPPPPSAADGDGDGVGCGAARCSSPTPAQRRRTSPNRSGGSARKVRSPHPHLILYLTVWRRPVRIVWFSAWGFARLLSEESRSLIGLGVRVAALVSAVGYGTLDWSDAVIRFVLSKSIRFLCNYFVSKSRNRRSGSPNNWTPRKKTESYMKRKIKHLQEADGMTASLHETLGNANPHYTRMAREKIAAREAARKATDARKAAMVEASWCRILRAARIQNKNAEEVMEKAMLHATEAFEEARAMGVMMYDRPDCPHQQYEVESSSHTGAQSTHKVTASFQTAFQVDMEVSAAVKKAFVQLANSPDSAKREEFKELLWKISQNPDLTETGENSEDKQQLVDCSNEDTSVLKLNKENISSSCVSSDFNTTKVQESIDVVNIMLERLKALHEEELASLAVIVATSGLNAALQNERSKYHETGAENNIGAGSLRSQTRRYSTAASFIGVQGPNKEVTSELPSLDKFLVKHLSKLEREVQEAREASRKSTSVKSVTQGAHSQFTGSNAKAPESTSDLSSILVKHVSKLEKEILEAKKINERIHQVEGSCKDVKSNDKQLEFNKIQPEAENNCDLKGSCESKGSCKDSNHIKDNYDCVQENKENKNWYSRQLPPSGAKGKQGGKRLTRVEAARLEALKSFCTIDGNTLDAGLDKIFIKPIHRLEKEKREAREGQIDVQKHPQKLGQSATVTEGLDDILVKHVSRLEREKIDYQKKDALGEGWTNVQKHPQKLGQSATVTEGLDDILVKHVSRLEREKIDYQKRNALGEGWTNVPHDQRTNDNNCKSSDSLDQVLVKH >Sspon.01G0026920-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:89951633:89954976:1 gene:Sspon.01G0026920-2D transcript:Sspon.01G0026920-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMKWKKPEEGWCKVNTDAAFDTVYGTGSAGVVICDEQGQVIAGAARWFDHVQDALTVKALAAKEGLELAMEMGCGKVILETDCNTLKSLLVNSEGMRFSTGERGGFRSCTSPSTSPRAPSGNPNPPAAASTSASRASGEFRLSDFDRLAVLGRGNGGTVYKVAHRRTSALYALKVLHRGDPGAASEVDALRRADSSPHVVRCHSVLPAASPGDVALLLELVDGGSLDAVAARRGAFPEAALAEVAAQALAGLAHLHARSVVHRDVKPANLLVSAAGEVKIADFGIAKVLSRAGDHCAAYEGTAAYMSPERFDTERHGHADPCAADVWSLGVTILELLMGRYPLLPAGQKPSWAALMCAICFGELPSLSDGAASPELRAFVAACLQKDYTKRASVAQLLAHPFVARRDVAASKDALRRL >Sspon.03G0041390-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:40223450:40224004:1 gene:Sspon.03G0041390-1C transcript:Sspon.03G0041390-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADCMQEWPEPIVRVQALAESGLSVIPLCYVKPPCDRPAVAPAPAAVQETNETSEPCISIPVVDLGELLLAEDGGAVGSVFGLGNDVTEAVAAACREWGFFQVVNHGVRPELMRAAREAWRGFFRRPLAEKQRYANSPRTYEGYGSRLGVQKGAVLDWGDYFFLHLAPEAAKSQAKFWPANPSNC >Sspon.01G0019560-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:73188319:73190033:1 gene:Sspon.01G0019560-1A transcript:Sspon.01G0019560-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-xylose transporter 1 [Source:Projected from Arabidopsis thaliana (AT2G28315) UniProtKB/Swiss-Prot;Acc:F4IHS9] MSDGAGSRTGVAGALGLSVTSSVAIVICNKYLISTLGFFFATTLTSWHLMVTFFTLYVAQRLHFFEPKSIDARTVISFGLLNGISIGLLNLCLGFNSMTKLAIIPFTIVLETIFLNKKFSQTIKASLMVLLLGVGIASVTDLQLNLLGSIIAVLTIAATCVGQILTNQIQRRLKVSSTQLLYQSSPYQSAVLLVTGPFVDKLLTKRDVFAFNYTTQVVVFILLSCSIAVCVNFSTFLVIGTTSPVTYQVLGHLKTCLVLSFGYIILKDPFSARNVVGILIAIFGMGLYSYYSVVESRKKTEAASSLPVAAQMSEKDSAPLLGAKSSPRTENKAEETFDYMPRTAKSAFTG >Sspon.02G0036440-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2B:24741011:24741409:-1 gene:Sspon.02G0036440-1B transcript:Sspon.02G0036440-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFQRCATSSRCLGEQRLVGMWSTSEVAARERRLCNAARRQCPHDWTREPDPPGSHSCRPCRTGPPMIGAVGAVAPPCRGPLRPSCRCPLTLRPPCQRAGMLARTPERERGERVALEARERGAMADKVHMRA >Sspon.03G0006570-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:9136072:9137479:1 gene:Sspon.03G0006570-2B transcript:Sspon.03G0006570-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVGEVAAGGGNEMSLSSMVLGFYEEAEREMWPEDAAATTAGDGSNDDDDEGSSPSGGRVETAAFWREQRSLLHAALAKTSSAESRIQADTEEAVRQMRATPGGVCSCATRAAPAAAAGSCRGCALRFVAERLRDAGYNSAICRSKWSRTPEIPSGEHSYVDVVVPTRSGKAVRVVVEPSFRAEFEMARGGAEYRALVAALPDLFVGRSEKLRAVVRVMCDAARQCARESGMHMAPWRKHRYMEAKWLGTPERVVAPGGGTGAGGVAVVVVGSPEKQPRFRASMLTLDFGGRTAVEVV >Sspon.02G0028170-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:102271188:102273239:-1 gene:Sspon.02G0028170-1A transcript:Sspon.02G0028170-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SSCASGLRHFAPRSAQRGFVGDTAAFTPPLLPHAPLSGSHVLRRGLAVIPGEGDHSLVAEVSSGDIFYPDDPEAPVAFTDDIDDPETCFAYDGEDLASEETMWAMYERWCVFHGVKRDLEDMLRRFSLFKDRARSIHEFNKSGKPWTQGLNRFGHGLSISKGQITKLVDDS >Sspon.02G0022100-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2A:73918026:73918289:-1 gene:Sspon.02G0022100-1A transcript:Sspon.02G0022100-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPYGAPPPHQAPAPLAVVSPLFCAPYAVPLTVTKKAISRSGRDFVVTDANGAEMLRVNGALFSVHDRRVLLDAAGQPLLSMREKV >Sspon.01G0035250-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:9138513:9141307:-1 gene:Sspon.01G0035250-2D transcript:Sspon.01G0035250-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPMNVDNGGCGGLDAQIEQLMQCRPLAEQEVKALCEKAKEILMEESNVQPVKSPVTICGDIHGQFHDLVELFRIGGKCPDTNYLFMGDYVDRGYYSVETVTLLVALKVRYPHRITILRGNHESRQITQVYGFYDECLRNFHLMAHHHYA >Sspon.06G0014610-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:80547524:80553451:-1 gene:Sspon.06G0014610-1A transcript:Sspon.06G0014610-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSAHSSHSSVSTAGGDDDEDDEEPSAAAPAPVRVPPAASASKVLEQEPEVLPCLAADSPLSPQPSAAGTPRLLAGPGIKVWDPCHVLLPPPPPSPSPHPGREADAAAVEVVLVSHGECAAAMRPDLVGGRWPAAALTARGERQARALAVFLRSRGARLAAAYASPLDRARATAALVCRELDFPEGQIQLSDALTEMSQGQWEGCPKSEIYTPEMVNLMESTQPDFSAPSGESLRQVQFRMMEFLNRTVLRLPEKVAMGDTLSQQNELKGFSRQSSSNSVQDGPPWDLLYRLNRHSLQRKKSGKSRLQFVTSGDNEAEDDFSPKEVNQRHLLHEGSLGSSATSIAIFSHVTPIRCLIAGLLDCNPIISKRICIDDSSVTVLEHSLKTGWQLKRLNDTAHLRLL >Sspon.03G0026120-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:1952586:1958874:1 gene:Sspon.03G0026120-1B transcript:Sspon.03G0026120-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding PRRRRPRGLPYAPSASASTSSATTASSAARADPWLIELDHGEAQDIVLPGGVTVAGVTKSVKCDKGERMRFRSDVLSFQQMSEQFNQELSLSGKIPSGFFNTMFQFSGSWQKDAANTKTLAFDGWYVTLYSVALSKGQIVLRDHVKQAVPSTWEPAALARFIEKFGTHIVVGLKMGGKDVIYLKQQHSSTLQAADVQKRLKEMSDRRFFDANGQSDMGFKDTYGNNKSDIREQQLRFVQSSPLNSYSSTEDLVMMPKRRGGRDKEFISHSEWLNTVQAEPDVISMSFIPITSLLNGVPGSGFLNHAINLYLRHKPPIEELHQFLEFQLPRQWAPVYSDLALGPQRKRQSSTSLPVNIIGPKLYICTNMVDVGERPVTGLRLFLEGRKSNKLAIHLQHLCSLPQIIQLEDDPYNHQIPEYDRKYYEPIGPWKRFSHVCTAPVESDELSIVTGAQLDVVNHGLKKILFLRLHFSKACNAVVRNSEWENSPNLAQKSGLISTLISTHFSSVAQKPPPRPADVNINSAVYPGGPPVPVQTPKLLKFVDPTEMMRGPQDSPGYWVVSGAKLNLERGKISLRVKYSLLTAMMPDDESLDDDEF >Sspon.02G0014580-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:36754855:36755804:-1 gene:Sspon.02G0014580-2B transcript:Sspon.02G0014580-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALERVSPVGDALAEELQLQEVILFSAFQEMVIQDTSVDSLLDDLFMQDHMTEASERPSTSADAGQSSSSIPPPGDEFYCPICMESLPNSRKFVISSCGHAFCVTCIGQYIAAKIGENVVHVKCPEPSCGDGTIELADCCGVIPSELFSRWDVALCELTLGEQRLYCPFRDCSAGLVAEDGNGNGAIVEAECPHCHRLFCARCMVPWHDGIGCEEFQGLGEDERGREDVMVRRLAGEQRWQRCPQCKMYVEKSEGCMFIKCRCGHCFCYSCASPMSKELHYCKICKR >Sspon.02G0002210-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2B:6226501:6231293:-1 gene:Sspon.02G0002210-2B transcript:Sspon.02G0002210-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSASGPVAVASASAATKAAPSPAVGISPDLYPTEDDLPYEEEILREPFKLKGWWRYLVARAAAPFAKRAVIYERALKALPGSYKLWHAYLRDRLDHARPHPIDHPAYSSLNNTFERALATMHKMPRVWVLYLTSLLDQRLLTRARRSFDRALRALPVTQHDRIWPLYLRLASLPACPVETSLRVFRRYLQFDPSHAEDFINFLISANHWQEAANRLASVLNDDGFRSVKGKTRHQLWLELCEILTKHADEVAGLKVDAILRGGIRKFTDEVGKLWTSLADYYVRRGLFEKARDVFEEGISSVVTVKEFSVVFEAYTQFEQSMLAAKLEAAEEEGAEDENEGGGRKSGMDKLSKKFLDEFWLNDEDDTDLRMARFERLLDRRPELLSSVLLRQNPHNVEEWHRRVKLFEKDPARQVATYVEAVKTVDPMKAVGKPHTLWVAFAKMYEKHNRLDSAEDIFKRATQVNYKAVDHLASIWCEWAEMELRHNNFDKAIELMRQATAEPSVEVKRRAAAEGDEPVQMKVHKSLKMWSFYVDLEESLGTLDSTRAVYERILDLRIATPQIILNYAYLLEEHKYFEDAFKVYERGVKIFKYPHVKAIWVTYLTKFVQRYKRSKLERARELFHEAVQQAPPEEKKPLYLQWAKLEEDYGLAKRAMNVYDEAVRAVPNSEKMAMYEIYIARAAELFGVPRTRQIYEQAIESGLPDRDVLTMCMKFAELERSLGEIDRSRAIYVHASNYADPNNPDFWKKWNDFEIQHGNEETFREMLRIKRTVAASRSQTHFILPEYLMQRDQRLNLDEAVDTLKRAGVPEDEMAALERQLAPGPSTAPPAAPSTAPASANRMMNFVSAGVEAQAESSRQQAGNSEDIELPDESDDEEPDVQIAEKSVPAAVFGELGKRAAENAAENNEESSGAQGNEQLGALERIKRRRQ >Sspon.05G0023610-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:16408429:16409805:-1 gene:Sspon.05G0023610-2D transcript:Sspon.05G0023610-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADKKKVEVKETKVEVKTATYKVFVHCGQCARDIQTEFTEFQGVEEVKVDAGAGKVTVKGFAFDVEKLRKKVEKGCRKKVELIPPAPPKDDMVVEVKTKKEELKVITVKLPLHCPDCAVRVKEMLLENKSIYEAKTDFGKNTCTVEGVLEEDKLVKYIFERTRKKGIIDKVEKKVIIKEEKVLVKKADKEKEKKEKEEKEKKEKAKEAAAKVLKEVIAPYFIPCTHPHFVDYSHPGHRYGCGCGSCSPYGGGYGYGCAGGYPPYGGVSYTHTELKGYQETAFLHCTHPSEFISEENPYACA >Sspon.02G0017270-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:50149982:50153001:-1 gene:Sspon.02G0017270-1P transcript:Sspon.02G0017270-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRYLLTVPFSSVEKPTRKAERRQRLKKHQVDSTDDNDGDTPVTKPVVKRSAKIFDSGDDDTPVTKPVDKRSAPSMFDSGSDEDDNVPISVALSKKDNAKVAEETDPRNGQANDVTKKKITDVKKRKHSAIIEDPALSMDTTDANGTSVSKQGAEIKKKSKKKTKKQSGEKDEKQSNIRTLEDGLMVEDLSIGNIDAKVASDGCKVYIKYVGMLKNGKIVQSNVSEKPYKFKLGNIFANTLTFILHLELLNININAVPCAGKVIRGWDVGIRGMRVGEKRKLTVPPSMLSGGKSVGEVPENSSVIYEIELVKLEALGRSAKVRRQPQNVAEDATCSHLRARAWTPHDQWLLLVPRGGEGDDVVRAGELGERVIPLVPT >Sspon.08G0007030-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:21897183:21898613:-1 gene:Sspon.08G0007030-1A transcript:Sspon.08G0007030-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAIYMSQLSTALPLMEGDHQEHHHHHQGHFQAFTLPKDPPILFPFVIGNSRSSESSLSYGSADHHLLRQHRHQAMLEPQHMIGGSSAATASSVFATPFPTVESIRDDMIEPASYDPYDMGKLHQVVSGGSMDACSWTPPAAKMRITRKATAADPSGAGKKPRRRAHQAGYDADMNMSGQPNLGVIRVCSDCNTTKTPLWRSGPCGPKSLCNACGIRQRKARRAMMAAASGSGPAVPTDSGKAATTASNAGTAAAAHPKVKKEKRVDVDRSLPFKKRCKVVQVQQDHAAAVAAPAAATNRAAVVVQATAAEVGDDDACPGRDLLVHDIGGLISWSRSPAAPASAAAASCSLFRASPALPVQQDEITDAAMLLMTLSCGLVRS >Sspon.01G0031760-3D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:109141150:109147678:-1 gene:Sspon.01G0031760-3D transcript:Sspon.01G0031760-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPATAAASADGGAFLEFVDYAISMLSSSAGDGDGYESPGDGPAPRPPWGWAVAQLLKSCCAYSSGVTAAILLSDLFQSWTEQRKSLTSKRKVELAKLMNTKNKRRRLPNTVTIDSIHEKNFLSPNSVLEAVIIDVFVLPGTNIYMLTLGDMWSASTIDLYLHRRYYDCIGQNGILKKGREVMLTGCCLRTAMEGSGHARILPTEYMVILLDEDQDEDALLLAAQFCTYSFSSMILEESRNNVPYSFYARIEKIESLEPFRCTERMQIILEDNDDAKMNVGSMLALDRPFIANFIDNNREESQELCLEYGSASQVYMVPIAQQEEQAYVSDLHDKMVGISLFGTVTSVCKLHLTIADDSAKVFAWCVGQTAVEFLQISPDEYLELPEDERAMYLYTLQNESFVVAIANTRKRADGCAVGDEAVPAWEITRAQKCE >Sspon.06G0017880-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:105633750:105633941:1 gene:Sspon.06G0017880-1P transcript:Sspon.06G0017880-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQANLCKHDGAGGDDDSDSGGISLPDDIIFEVLLRLPAKALCRFRCVCKGWRALISDQAFVAA >Sspon.02G0002940-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:9926296:9926901:1 gene:Sspon.02G0002940-1A transcript:Sspon.02G0002940-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPRPLLRRMLSFREPLLVIPYLLSFLGAAASALARSHSSLLHSLARALFPSASANAKHTALHAPSSAVAVAAAPRSDDIDGEDEDAALSKAEVEAIMATIGLAAAGRGEGLAAAMGRDDVSELFDAEEPSFAEVRAVFAVFDADRDGFIGAEDLQGALARLGVRQDAAACRAMVVAAGGGRDARMNLFQFVRFLENGLC >Sspon.05G0033160-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5D:36899528:36900289:1 gene:Sspon.05G0033160-2D transcript:Sspon.05G0033160-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFVAAYLLAVLAGNNDPSVEDLKTILESVGAEIDTEKMEHLLSQVSGKDITELIAAGREKFASVPCGGGGGVAVAAAAPTAGGAAPAAEKKEEKVEEKEESDDDMGFSLFD >Sspon.01G0020480-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:80964569:80967012:1 gene:Sspon.01G0020480-2B transcript:Sspon.01G0020480-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding TECIDLSTKSRDNIPETFAKSVLSRDTRTAIDSDGLPRLGQAVHPNEQYYSVHNSLTGVIRPVRLKGTEPAFIDYVAVNGAGSKRGLQKANIRLRRVRNPIIGDKFSSRHGQKGVCSQLWPDIDMPFSANTGMRPDLIINPHAFPSRMTIAMLLESMAAKAGSLHGKFIDATPFANSLKKDKDPCTPNSIVDEFGPMLASYGFNYHGKEVLYSGVFGTEMDCEIFIGPVYYQRLRHMVSDKFQHYYLEVGILTEYGRYLCIQVRTTGRIDQITRQPIGGRKHGGGIRFGEMERDALLAHGLPTSCMTGSTPAQTTTSRMCAPSVGAC >Sspon.01G0054190-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:49291410:49316109:-1 gene:Sspon.01G0054190-1C transcript:Sspon.01G0054190-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFVVTKVCSGGGKARAGALHIGGGGTGIETPALLLSTRKGLPAFLSCDLLASLPLPDSLLLHVCPTHFIEGPPAKTISAIGGLHGMLGLPDHILVAAASDSIESLPSSDAANKFGASFETPSGRRLVKPSDYMELISCMKPNIWASLADEVPAWVNEKRNKVSVDRTLRWLDACIALDVASGTNSFGVIVGGSSLEQRKLCATEVSKRNDCLPPEKPRLVSRLGLPEMQNGILNTSGGDSTKINLRATTYRKDMSRLVDSCNCFTCQNHTRAYLNHLLNVHEMLAQILLEIHNTHHYLRFFHSIREAIKVGEFDLFRQDETPQIDGLQGDGESGLELYKESVESGQITGQPEDGFVIDGVDSKDSKLSEVKLRSVKRMT >Sspon.02G0036490-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2D:21328931:21331630:-1 gene:Sspon.02G0036490-2D transcript:Sspon.02G0036490-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTICDVCESAPAVLFCAADEAALCRPCDEKVNPAPCSLLPAEVVVRPSRRSDATAVSIGVAGARWSLLPVFQFARSVSVVSGLVYSILSPTFSILTILHLFRSSMKFVIVHMCNKLASRHVRVGLADPNKLARCDICENSPAFFYCEIDGTSLCLSCDMTVHVGGKRTHGRYLLLRQRVEFPGDKPGHMDDVPMEIKDPENQRDQKTVPKEQM >Sspon.04G0030370-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:855088:858000:-1 gene:Sspon.04G0030370-1C transcript:Sspon.04G0030370-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLLPPAKTLLLSPFPAFSPPPPPPHPLPRVHIRTSAGRGGAAENAAASGTTARERRLVKVREERRRREYDREHTYPGWANFNPLNSFIWFELFGEPTDRDVDLLGGVIQAWYVMGRLGAYNSSNLQLANSMMDYDPSYDSEEASSVMPSSFHDISDVEFQDNWARVWVDLGTSDYLGLDVLLNCLTQLSSEHLGIKQVVFGGKKMGDWEEGMTSSDYGYKHFKI >Sspon.02G0010430-2P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2D:6482520:6482993:1 gene:Sspon.02G0010430-2P transcript:Sspon.02G0010430-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RRRQGGAVLEPDLLGHRRRRQRPYASCADLPRLGATLHYNYTAATNTVAVAFRAPQAKGDDGWVAWGINPSGRTGMVGTQAVVAFQHSNGSLVAYPTVLDSYAPSMAPAAPKDLAFPVSDVAAEYADGKEMVVYATLALPAGKGSKFTTCGSRGLRW >Sspon.01G0001130-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:3649230:3650915:1 gene:Sspon.01G0001130-1P transcript:Sspon.01G0001130-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding IVEDFRDWTNWLFYRGGIGVKGEESWEAWWEEELVFGLNPKAMIAMAWKPVVKKLGLWKTVRALARLYDAGTGMIIFIPIAICSWFPFISTFQTRLLFNQAFSRGLEISLILAGNNPNAGM >Sspon.07G0011090-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:35156940:35160802:-1 gene:Sspon.07G0011090-2D transcript:Sspon.07G0011090-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to 60S ribosomal protein L17-1 [Source: Projected from Oryza sativa (Os09g0258600)] MVKYSREPTNPTKSAKAMGRDLRVHFKNTRETAFALRKLSLTKAKRYLEDVIAHKQAIPFRRYCGGVGRTAQAKSRHSNGQGRWPVKSARFILDLLKNAESNAEVKGLDVDTLYVSHIQVNQAQKQRRRTYRAHGRINPYMSSPCHIELILSEKEEPVKKEAESQIATRKA >Sspon.02G0049990-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:47144262:47151772:1 gene:Sspon.02G0049990-1C transcript:Sspon.02G0049990-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKGRTGQRVRLYVRGTILGYKRSKSNQYETTSLVQIEGVNTKEDVAWYAGKRMAYVYKAKTKSSETRYRCIWGKVTRPHGNSGVVRAKFKSNLPPESMISPAKSEYFTIKAGRRDSTWTGTLPAEVCPGGCLRRHLIELYELANLSSSEMCIADASNFSNLQIEGKGITNYNVQQSTTTTTCSNLQIEGEGSRTNLDETGTY >Sspon.08G0000520-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:338203:341060:-1 gene:Sspon.08G0000520-1P transcript:Sspon.08G0000520-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGAVLVAIAAAIGNLLQGWDNATIAGAVIYIKREFHLETQPAVEGLLVATSLIGATIITTFSGPVADIVGRRPMLIASSLLYFAGGLIMLWSPSVLVLLLARLVDGFGVGLAVTLVPVYISETAPPEIRGLLNTLPQFTGSFGMFFSYCMIFYMTLGDHPSWRFMLGVLSVPSLAYLALTVLYLPESPRWLVSKGRMKEARAILQMLRGREDVSGEMALLVEGLGSGGDTVIEEYVLGPATGAAAAGDESEHDTRDQVTLYGPEQGLSWVAQQVQGARSSVLGSAVELASRQGSMYEQMKDPVVTLLGSVHDKMPESGVPGASARGSTLFPNLGSMLSVAERAGDWDEENVPPNDDLDDDDEEEYLSDDEDGAGAAAGGGALHAPLLSRQSTDVDTSSSKKDAGSTSQPPGSSPMQRYSSMTGGETASTMGIGGGWQLAWKWTENVGPDGVRRGGVKRMYLHEEGGGGDGGDSSGPPRAGEYVHAAALVSRSMLYTKDVLIGQSPTPAFDNPPETVANRAAAASGPRWRELLEPGVRRALFCGVMIQILQQILDQAGVSVLLASLGLSADSASILISGLTTLLMLPSIGLAMRLMDVSGRRSLLLWTIPVLIASLVVLIVANLVPMATTVHAVLSTASVIVYFCCFVMGFGPIPNILCAEIFPTRVRGLCIAICSLTFWLGDIVVTYSLPVMLKAIGLAGVFGFYAFVCCLALIFVYLKVPETKGFPLEVIIEFFNIGAKSQSEQ >Sspon.01G0028050-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:98173464:98175671:1 gene:Sspon.01G0028050-1A transcript:Sspon.01G0028050-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADSGARRQPTFTKVDQLRPGTHGHNLIVKVVDSKMVVQRGREGGPQGRQMRIAECLVGDETGIIVFTARNDQVDVMKPGTTVELRNAKIDMFKGSMRLAVDKWGIVKAAESSAEFTVKEDNNLSLIEFELVTVVE >Sspon.07G0012390-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:44187144:44191288:1 gene:Sspon.07G0012390-1A transcript:Sspon.07G0012390-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGATNGNGANNGGGGGGKVISMPLQYFCVLAAVVVFVMVLSLSFMSPAAMVAVRQNLSSSVAAAAPGSNSSTASSSGAGAAGLAAASPPAVARKEKEQARRAPPVVLFNFGDSNSDTGGVAAAGGIRIMPPEGRTYFHRPTGRLSDGRVVIDFICESLGTHELNPYLKGIGSDYSNGVNFAMAGSTVTHGVSDYSLNVQVDQFVYFRHRSLEMFERGLKGPVSKEGFQNALYMMDIGHNDMVGVAHTPSDQWDKKITEIIGEVRKAISILYDNGARKFWIHGTGALGCLPALVVQENTGEKDKHGCLAGVNRAAKAYNRKLSQLCDDLRFHLKGATVVYTDMFAIKYDFVANHTKYGIEWPFMVCCGNGGPPYNMELGKPGCGDLCPPEAKVVSWDGVHFTDFGSGLAAKLAMSGEYSKPRVKLVSLIHGGSKKASDS >Sspon.03G0029070-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:13221325:13222003:1 gene:Sspon.03G0029070-1B transcript:Sspon.03G0029070-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Extradiol ring-cleavage dioxygenase [Source:Projected from Arabidopsis thaliana (AT4G15093) UniProtKB/Swiss-Prot;Acc:Q949R4] MQLKYPAPGAPDLALRTKELLEQAGFGPVKEDHSRGLDHGAWVPLMLMYPDANIPVCQLSVQTDRDGTYHYNLGKALAPLREEGILILGSGSATHNLRKMGPFDAPVPQWVAEFDTWLKDSLLSGRAEPRRCAAAEVLIHLRSSCLAIRYEDVNRYEEKAPHGRVAHPWPDHFYPLHVALGAAGDAAKAEQIHQCWTNATLSYASYRFTTNS >Sspon.02G0015180-2P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6B:19849913:19851666:1 gene:Sspon.02G0015180-2P transcript:Sspon.02G0015180-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGIIKMIASFCAVLTLALLPFAAAGGGSGGGGHGNFNYKRALHMSLLYFEAQRSGHLPYNQRIKWRGHSGLADGLQQGVDLVGGYYDAGDNVKFGLPMAFTVTMLSWSAMEFQDGIAAAGEWRHVLEAIKWGTDYFIKAHTEPDVLWAEVGDGDTDHYCWQRPEDMTTSRQAYKVDRDNPGSDLVGETAAAMAAASIVFRRSNPHYAHLLLHHAEQLFDFGDKYRGKYDSSVSEVRRYYASVSGYGDEMLWAALWLHRATMRTEYLDYAVAMADEFGGTSWAINEFSWDVKYAGLQILAAKESPAGAPHDAGAVQVQGGALPLRVPGQERRLRQRGPHGRRHALRPAVEQMQYVTNAAFLLTVYSGYLAASGAASPLQCPDGPVRAGELQALARAQADYVLGANPAGVSYMVGNGQRFPRRVHHRGASIVSHRADGRFIGCVQGYDHWFRRAGSNPNVVVGAIVGGPDHRDRFSDRRSNYMQTEACTYNTAPMVGVFAHLH >Sspon.04G0025860-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:39386738:39388788:1 gene:Sspon.04G0025860-3D transcript:Sspon.04G0025860-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARIKVHELRGKSKTDLQAQLKELKSELSLLRVAKVTGGAPNKLSKIKVVRTSIARVLTVISQKQKSALREAYKKKKLLPLDLRPKKTRAIRRRLTKHQLSLKTEREKKREKYFPMRKYAIKA >Sspon.04G0003550-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:33600948:33603098:-1 gene:Sspon.04G0003550-2C transcript:Sspon.04G0003550-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCLVALPPMARAGRRRPSTSVRRRGRTLAALPCRCGRRHVIGASSAAALLPFLAPPSPAAPPIDPDVMLQRVHPSRPDWYEEFYASAMDQGMKSYEAEIAGYKAKLFSQLSPAGKNILELGVGTGPNFKYYASEDGVNVIGVDPNKHMENYARTAAVSAGLPSSSFTFRRGVAEALPAEDNSMDAVIGTLVLCSVNNMDMALREIKRVLKPDGLYMFIEHVAAPDGTLLQFVQGTLDPLQQFVADGCHLTRKTGQSIRDVGFSSLSLDSVRLSNAYIISPHVYGVACK >Sspon.01G0010210-3C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7C:15074310:15075712:-1 gene:Sspon.01G0010210-3C transcript:Sspon.01G0010210-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIVGFFGKSSGQMVEFLQCSVGIVHKNHAESITSFIKESVDEELKDTDSSKPTQKKRLTFCVEGNISVGKTTFLQRIANETIELRDLVEIVPEPIDKWQDVGPDHFNILDAFYAEPHRYAYTFQNYVFVTRVMQERESQAGIKPLRLMERSVFSDRMLPSDMIIKYHHPTDCSVIVYQVFVRAVHEANWMNEMEISIYDSWFDPVVSSLPGLIPDGFIYLRASPDTCHKRMMHRRRSEEGGVTLDYLQGLHEKHESWLLPSKGSGPGVLSVSQLPMHMEGSLPPEIRDRVFHLEGNHMHSSIQKVLAPIVSNSGFRKHMGVFPDLSGLSKVFNKKWLTNIT >Sspon.03G0032160-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:35283974:35287592:-1 gene:Sspon.03G0032160-1B transcript:Sspon.03G0032160-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPAQAQVLPLLRWSRSASFLCASPRRLFSALRRPVAAARCEAGSKAMLKGMDYSELENWVQVQGFRPGQAMMLWKCLYGNNVWAHCYDELAGLNKDFRKMITEHADLKALTVKDIHTASDGTRKILFSLEDGSVIETVIIPCPRGRTTVCVSSQVGCAMNCQFCFTGRMGLRKHLSTAEIVEQAVFARRLFSDEFGSINNVVFMGMGEPFHNIDNVTKASAIMVDEQGLHFSPRKVTVSTSGLVPQLKRFLQESNCSLAVSLNATTDEVVRNWIMPINRKYNLNLLLGTLREELKLRKKQIVLFEYVMLSGVNDSMDDAKRLIELVQGIPCKINLISFNPHGGSQFKPTPDDKIIEFRNALIQGGLTVFVRLSRGDDQMAACGQLGEPGDYQLPLLRVPEKFQVAL >Sspon.03G0042680-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:37990133:37993103:1 gene:Sspon.03G0042680-2D transcript:Sspon.03G0042680-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTHIGSVDRPPAAASNHTVGCPMASTPAQPAATLSAGEPSLGRHLARRLVQVGVNDVFAVPGDFNLTLLDHLIAEPGLRLVGCCNELNAGYAADGYARARGVGACAVTFTVGGLSVLNAIAGAYSENLPVICIAGGPNSNDYGTNRILHHTIGLPDFSQELRCFQTVTCHQAVVTNLDDAHEQIDTAIATALRESKPVYLSISCNLPGLPHPTFSREPVPFFLTPRMSNRMGLEAAVEATVEFLNKAVKPVLVGGPKLRVTAQDVSTMLRCEQNSIIFLINNGGYTIEVEIHDGPYNVIKNWNYTGLVDAIHNGEGKCWTSKVKCEEELTAAIETALGEKKDCLCFIEVIAHKDDTSKELLEWGSRVSAANSRPPNPQ >Sspon.08G0012480-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:51493008:51495584:-1 gene:Sspon.08G0012480-1T transcript:Sspon.08G0012480-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable pectinesterase/pectinesterase inhibitor 12 [Source:Projected from Arabidopsis thaliana (AT2G26440) UniProtKB/Swiss-Prot;Acc:O48711] MPPVTLSPPLLLAILLLTTAGTTQCHHGHKSQRHHTGGTRMHKKIAAASASSPSADTVHHAICHTTPHPVSCLAAVASHLDASAAGAKVAEASAVSVQLLPPNVLSVLLASLRGAESALSSLSPALSALSAPPAGSPAGASLRRGAAQDCQELHAATLSSLSRSASLLAAPGEGQGLPAVRAHLAAALTNKATCLDGLAGASGQRIGGLLASLDDAYEHVSNSLALVARRGGGGVPAAGFVNAVAKTVHNRRLLQDDDDDDSDGDDDDNSSADDDDDSNGDDSGGSTGQPAATVITVAKDGTGNFRTVGEAVAAAPNNSETRTVIHVKAGTYEENVDVPPYKTNVALVGEGRDTTVITGSRSAADGWTTFRTATFGVSGEGFLARDITFRNTAGAGRGQAVALRVNADLAALYRCGVEAHQDALYAHSFRQFYRECAVSGTVDVVFGDAAVVLQGCALLAKAPLPGQSVVLTAQGRADPNEDTGIALHHCTVSAAGAGLPAGTRVFLGRPWGTYARAVVMDSYLGQIVDREGWLEWPGAEPGRGDTVYFGEYGNDGPGADTEGRVDWAGVRQMEYDEAAQFAVENFIYGDEWLGATSFPYDDDV >Sspon.07G0026970-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:58241365:58245314:1 gene:Sspon.07G0026970-1B transcript:Sspon.07G0026970-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQRAASNAYSWWWASHVRTKQSKWLDNNLRDMEDRVKCILFLLGEEADSFAKRAEMYYKRRPEVIRSVEEAYRAYRALAERYDHMSGELHKANHTIATAFPDQIQYSLLEEDDDNLPKAFTTVDRRKIHKSTVEGLMKKKHGQKSGLKDSGKKSAAPISKVNAKAEISRLQKEILVLQTEKEFIKSSYESGIAKYWDLEKQINEMQEEVCYFQDEFNESAAIEDHEAQALMTATALKSCEGAIINMQEQQKSFFRQAMIESERVKVSRDKLKGIFRAHGKSLTYSGNSADENVNNDASARKDELFSMKQEKTELQELVDKIRGYFEMSSDLSVEYIAEKIDELVNKVVDLELMISTQTAQINRLCLENNELEKSLQKLEEEKTEQTSDPGELNDKLKQAEEELIRVHNLEASYDAEERIVYTNFTETINSFCDISHMLQSPLIEHQAVSRCMLTDEATASTDTEPSSVHGKTSPSEDPEMDETASKAHVDGFPNRPDTSEPSIFHDDCQSSCCHYEIKAEKHSHVDKTEDLWCCEFEDKFSTSASVDVGTTENADHNLSADNNNGGPEHVDEMTSNNKRSVQPYIVHSHENSTQCNNMSESRSEQNMDLNEAEASYITKNPSSSNGEVANVGDQKDVMINLQQLLMTGLQDKEKVLLDEYTSILRNYKNAKQRLAEVETKNQDCLNEMRAMISELECANGMKDAEIRSLRELLESLTYKDASQRGHQLNSTMSLSEKNGMVRGHRRTPSILQLHQRAQSVSSIPRRIENNSSLKNNLSTNSSMEPDAAHNAVTNQESINLEDLTSKNVVEMEKASSLEEKFRRNIDAFLEENLAFLMKLSMSFQQIQGFQTKHDQLQSEISKLTNGKLKPNKDDTNEYPADPEMEATKKRLRELQIELQVWSEQNMMFKDELQSRFDSLCNLQEEIEGATEMDEDTEHGARFTSYQVAKFQGEVLNMKQENNKVADGLQASLDHVKGLHTELDKVLAKIDKSTSLSGPKASSTWRNAPSKSKVPLRMFLFPAKKKKTSLFACVNPAYLSKQHSDMAFFTKMS >Sspon.08G0013730-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:57095049:57100011:-1 gene:Sspon.08G0013730-1A transcript:Sspon.08G0013730-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MYVVKRDGRQETVHFDKITARLKKLSYGLSQDHCDPVLVAQKVCAGVYKGVTTSQLDELAAETAAAMTASHPDYASLAARIAVSNLHKNTKKSFSETIKDMYVHFNERSGLMAPLVAEDVYEIIMKNAACLDSEIIYDRDFDYDYFGFKTLERSYLLKLGGKVVERPQHMLMRVSVGIHKEDIESAIRTYHLMSQRWFTHASPTLFNAGTPRPQLSSCFLICMKDDSIEGIYETLKECAVISKSAGGIGVSVHNIRATGSYIRGTNGTSNGIVPMLRVFNDTARYVDQGGGKRKGAFAVYLEPWHADIFEFLDLRKNHGKEENRARDLFYALWVPDLFMQRVQNNGEWSLFCPNEAPGLADCWGEEFDNLYKKYESQGKAKKVVPAQTLWFDILKAQIETGTPYMLYKDTCNRKSNQQNLGTIKSSNLCTEIIEFTSPTETAVCNLASIALPRFVREKGVPLESHPSKLVGSSDSKNRYFDFEKLAEVTSTVTYNLNKIIDINYYPVETAKRSNMRHRPIGIGVQGLADTFILLGMPFDSPEAQQLNKDIFETIYYHSLKASAELAAKEGPYETYEGSPVSKGILQPDMWNVVPSNRWNWPSLRETISKVGIRNSLLVAPMPTASTSQILGNNECFEPYTSNIYSRRVLSGEFVVVNKHLLHDLTEMGIWTPTLKNQIIYDDGSVQKIAEIPDDLKAIYKTVWEIKQKTLVDMAVDRGCYIDQSQSLNVHMEQANFGKLTSLHFHAWSKGLKTGMYYLRTRAAADAIKFTVDTTLLKENGNVVSNGKPTEEDVEAKMAQMVCSLNNREECMACGS >Sspon.02G0050770-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:60868056:60869412:1 gene:Sspon.02G0050770-2D transcript:Sspon.02G0050770-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PSKB [Source:Projected from Arabidopsis thaliana (AT4G34850) UniProtKB/TrEMBL;Acc:A0A178UTW2] MVSSGMDTTSNKHASSMLAPNPGKATILALGHAFPQQLVMQDYVVDGFMKNTNCDDPELKEKLTRLCKTTTVKTRYVVMSEEILKNYPELAQEGLPTMKQRLDISNAAVTQMATEASLSCVRSWGGALSSITHLVYVSSSEARFPGGDLHLARALGLSPDVRRVMLAFTGCSGGVAGLRVAKGLAESCGPGARVLLATSETTIVGFRPPSPDRPYDLVGVALFGDGAGAAVIGTDPAPGERPLFELHSALQRFLPDTEKTIEGRLTEEGIKFQLGRELPHIIEAHVEDFCQKLMKERQREEVSDDDSGPELSYGDMFWAVHPGGPAILTKMEGRLGLGADKLRASRCALRDFGNASSNTIVYVLENMVEETRRRKLLAARAKAAADDGEDCEWGLILAFGPGITFEGILARNLQATA >Sspon.02G0036610-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:14434543:14444612:-1 gene:Sspon.02G0036610-2D transcript:Sspon.02G0036610-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding IRAPVSTSQGAGGRQRPQVTTKEPVAARVNLPGATEAKISSKPPRALPRVAMMRSSTNTSVISGVSDKRSSTGGAVNRLAAGKTASTSAGLRSVGGTKSTSISRSGPFTSATSSHGVSMDTKPDAKTKLATLSNKNRTAQRVPVRPGSKSDISKTIPSRSSGNKIPAKGHADRASPSISPSSSMDSMSSVISGASTASTVGRMSHTSESLNALSPSFRKSNDCPPTPKLRPPIVTDGHSSGTAASGDNLKAAAEITNQGKGFKPSGLRRPTPKIGYFDAEKYNDQNIGQQVQPQPSKIQCLPGTPKSQNMNVNATPAAYGQQESKRTAAPHEESCASKSKVVKPLPLMVEVEPFKVAEPASHLTDPLVSRPEAAQPQSMEVQCLLPATPNSHPTFGQQVLKPIAAPHEVSACKSDTAKAPLQVVQLEVEAPLGAVPMEVEPFKLDEPEACRHLASPVVVKPKDKSFDQDAGGLLQQQLVAEPEACTRKTETVVVADYSKENIPALHQNIQANVDASSLVDLLTQKLSSISLGEATPNVAPKAE >Sspon.03G0025530-3C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3C:49718057:49718668:-1 gene:Sspon.03G0025530-3C transcript:Sspon.03G0025530-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPPAPEAQPEQAPAAAADPAEDAPVEKPPAAPITPEPDAAAAADGAADEVEDDEEYVSDPDDAPLPAMRRREASDDEGSEDGRPRARIGPDQDDDGQGAPEAYDDEVDEEDEEYYDEEEEDVGEGFEEEYEGRAAPPMEDGGGGGGGQVSRGEDGVTGEEGLPEGEAKGEGEEKEQEPFAVPTSGAFYMHDDRFQEENRGRR >Sspon.02G0025120-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2A:86708360:86709883:1 gene:Sspon.02G0025120-1A transcript:Sspon.02G0025120-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEIVSSALVHETVNQLISNLVQKYEDKAVSSANRNLERLEMAHIRLEAALETSEKWQISDTSLLRWRGKLKRAAQECDETLHRCKQRILEDEQTEEEVRKSSFPVRIAHTTKSFVFSIFSPNKDESSSSAVRRFEWFADGASEFLRLLELGGRPRYHMPFDPLVRHLLNGEKLQHIIIQANKRPLFIQLVAYINAGHGIEAKFILMQTDGNASEDDFFFSLMLQLSESTDIVGMAIQCLQLYAPLFNFKSAVETVRNKLLQLPTEDFSWVPDIDTHHKEHWDILHRFGTDWFRPYPLCCKQHDQHKLCHGSRKSKKSRSPDVFLEPVIEVHLQCHVSVFDCNQHRSLMSETKYSVQDSPYLKVGLLFAPHGCLEDILLVDRTPAIPAIYSEEQHFLHTDFTLGQLEETMLPKAIDYFCKNDEAAVYQMLWKLKHGAAYILVEKASMGTQRTSMRTFQGPSKGKMLQWHDQEIGRQTSTIFQFLNLWVAHAPVQLQGTIVDWIQIEKE >Sspon.03G0029340-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7C:13179203:13179685:1 gene:Sspon.03G0029340-2C transcript:Sspon.03G0029340-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSVSGSSSSPSALDAAPGGGVEFWHGAERAGWLNKQGEYIKTWRRRWFVLKQGRLFWFKDPAVTRASVPRGVIPVASCLTVKGAEDVLNRQFAFELSTPAETMYFIADSEKEKEEWINSIGRSIVQHSRSVTDAEVVDYDSRPQPPPQPKASEESEPAA >Sspon.08G0006640-3D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8D:17688064:17689451:-1 gene:Sspon.08G0006640-3D transcript:Sspon.08G0006640-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNWGGGDTTGGGHSDEVAINCDPTRRAATPRFYQKKPYKTRYRSYLASQVSQPASARTHHRQSTAAAAQIPPHRERAATNMRSAGAAATVTRLAQRVVAPSAPTPRGQLPLSWLDRYPTQRALIESLHVFKGRADADAEAEAPARAIERALAAALVSYYPIAGRLAVSDEGELVVDCTGEGVWFIEASASCTLEDVDYLEYPLMVPKDELLPHPSYPPESDPLAEDSLILLVQVPPNPS >Sspon.08G0001480-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:4969383:4969880:1 gene:Sspon.08G0001480-1A transcript:Sspon.08G0001480-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSHLVASKRANVQPKARRSSRRNLMLGISDLKAPLQERTSLKLESTSMGLLARRFLNLIVPGCSPGVKSLRRIDLTRQRLFYQVGYGDGSEPAGGQATIARSPASPSEL >Sspon.01G0035760-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:13972822:13973639:-1 gene:Sspon.01G0035760-1B transcript:Sspon.01G0035760-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYWWDRVVLPVRRAWLGVASRFGVRQTGLWRLRQEVSTCEYEDVHVMWEMLSRTTAPPPAPRRPSRFRPQPRPWGDRFRLCRGF >Sspon.03G0012650-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:51496595:51499245:-1 gene:Sspon.03G0012650-2C transcript:Sspon.03G0012650-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGGPLSPDEYRVASPPALLHQPASLIVVAIDRDRNSQLAVKWVMDHLLSGASQIVLLHVAAHYPTNHGFAMAEMTQGALEAEMKEIFVPYRGFFNRNGVNVIIIITTMQNIQSFYGPHNLMADGMIQSSRCFQQVEVSEVVLEEADVSKAILGYITANKIQSIALGGASRNAFTKKFKNADVPSTLMKCAPDYCNIYVVAKGKSVNVRLAKCGVPPMHSGAEIPSDTDSQSGLYMRRGSRGHLPPVMPDATRRSVDGRTLPELNTRPAFRERSLPSSATKNVVVLPGKDYSETSSRSARHESFGGDLDFGPSTRFSSIDFGDNLDLSTTLTASPAREPMSPATGAQRDIEVEMRRLRLELKQTMDMYNAACKEAINAKQRAKEMQMMKLEEARRLEEARHAEESALAVAEMEKAKCRAAMEAAEAAQRLADLEAQRRRNAEVRARREADEKVRALDAISNHDFRYRKYHIDEIEMATERFSDELKIGEGGYGPIATALLFLHQTKPEPLVHRDLKPANILLDRNYVSKISDVGLARLVPPSVADSVTQYRLTATAGTFCYIDPEYQQTGKLGVKSDIYSLGVLLLQVVTARPPMGLTHHVEKAIDAGTFAQMLDITVKDWPVEEALGYAKLALKCTEMRRRDRPDLATVILPELNRLRNLGHAYEARMNAAGAYGGSGESGAQVSATSMTVGGSWKTAES >Sspon.03G0025940-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:1272298:1276161:1 gene:Sspon.03G0025940-1B transcript:Sspon.03G0025940-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DSMACRGAPAWGGATVRVGPDHPLRLPHPPCGLLNVSSRRGACYTPLGEISVEEECDHLISLAKPHMRKPTVVDSATGASKECRVRTSSGMFLRTGQDKIIQTFEKRIADCTFIPVDAGGTHSEGSSIKLLRIRMLRIVSMIILH >Sspon.06G0006880-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:25992399:25994707:1 gene:Sspon.06G0006880-1A transcript:Sspon.06G0006880-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MIITSVASLPTRSMIPDAMVKAAASSYTKSNVRMNMSLAFSPCCTLLRQHRQSKRSYLPCPPYPTYSENV >Sspon.02G0048110-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:21226963:21227364:-1 gene:Sspon.02G0048110-1C transcript:Sspon.02G0048110-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding CVDGRPLECDQATLADQVALLTKGRRQSKVGALSCRGTRGAIGRHRKPGLQVAHVNAHLACGDAQSLSAVPNSLLFQDDGIQVLQHDHCQCYRREEEVAHCRLWHTSWALVAKLAWHFVYLGRWTTGGEDHRH >Sspon.01G0003320-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:10007992:10012884:1 gene:Sspon.01G0003320-1A transcript:Sspon.01G0003320-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ITAGDGNKLPFLALGEGNKLLLFPLMRLHREAPGSGSSYPWTDTTYLSSTSQCPLEKWFHIGCEASCRRNFILEVVLTNAFGEPAKDKEVVASLVYADDGTVVAKSRDDSEPPLLITCEGLEYAAISRPLSIIRGRALFKLKISQLSSKCDNKLFRIQFSTLHMQRYPFLEAYSKPIRCISRSRTVRPLGPGKRASSATADETDLLDTGQGFVSADKNKNARKVVVSKEAQNVMGTDSSTSNYDSFDSGSSWSGSDGDDGIETFSDAVVFRYCLDSTYDRSKFLRGAAPTVNKDDLVKLADQVSLYSGCSHHRNQILMSKRLLREGADTWNMISKNNERALWSSAIPEIITKFMNIAHSVSRGLSEQDLEVLRGIAGCGEDIGRDEFDRLCDASAENLQDLLLQEPELSQLGR >Sspon.02G0008350-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:39635974:39643679:-1 gene:Sspon.02G0008350-2B transcript:Sspon.02G0008350-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVGLPPGFRFHPTDEELVNYYLKRKIHGLKIELDIIPEVDLYKCEPWELADKSFLPSRDPEWYFFGPRDRKYPNGFRTNRATRAGYWKSTGKDRRVLHHGGRPIGMKKTLVYYRGRAPQGVRTDWVMHEYRLDDKDAEDTLPIQDTYALCRVFKKNAICTEVDGLQAQCSMALLEGACRQLLTSGSQEYQTPSPDVPVGSTSGGADDDADKDESWMQFISDDAWFHPTDEELVNYYLKRKVHGLSIELDIIPENRSIGMKKTLVYYKGRAPQGLRTNWVMHEYRIEESECENTMGIQDSYALCRVFKKNVALGEFQKQKQGECSSSQAKEKQEQYTSVGDAGQSSGSNEHGKDNTWMQFIADDLWCNKTK >Sspon.04G0031260-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:9368752:9370663:-1 gene:Sspon.04G0031260-1C transcript:Sspon.04G0031260-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding HGEHKLVHVLLPRASWTVLQSGTRPSPVSGFNWESWKQNGGWYKFLMGKVDDIAEAGITHVWLPPASHSVAEQGYLPGRLYDLDASKYGNKAQLKSLIKAFHDKGIKVIADIVINHRTAEHKDGRGIYCLFDGGTSDSRLDWGPHMICSDDKVYSDGTGNPDTGLDFPGAPDIDHLNMRVQRELVGWLNWLKTDIGFDAWRLDFAKGYSAEVAKIYIDNAKPSFAVAELWSSLAYGGDGKPFHDQNAHRQELVNWVDHVGGKASPATTFDFTTKGILNVAVDGELWRLRGSDGKAPGMIGWWPAKAVTFVDNHDTGSTQHMWPFPANKVIQGYAYILTHPGNPCIFYDHFFDSDLKNEIAHLVSIRNRHGIKPDSELRIIKADADLYLAEIDSKVIVKVGPRFDCAPLIPQGFKIAAHGDGYAVWEKN >Sspon.04G0013430-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:53532135:53537088:1 gene:Sspon.04G0013430-3D transcript:Sspon.04G0013430-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSVDAAGAESSDHHNRKKPRLEHRTKMPLADAVAAGDSGRGVDSAAAGADCFGMTVEEIVQHPLPGYGAPSVLSFSPDDRRVAYLYSPDGTLHRKVFTFDTAQRCQELLFGPPDGGGLEEGNLSAEERLRRERARERGLGVTRYEWRARHSGESSSRAGIVVPLPSGVYFQDLSGSEPVLKLQSSPTSPIIDPYLSPNGSMIAYVRDDELHTLGFSSGETRQLTFGARESRKVHGLAEYIAQEEMERKMGFWWSPDSKHLAFTEVDSTEIPLYRIMHQGKSSVGPDAQEDHAYPFAGAANVKVRLGVVPSHGGEVTWMDLLCGDPNGSHSDEEYLARVNWMHNSKREVLLEEQHDIWITLHDCFTTLDKGVNSKHPGGFIWASEKTGFRHLYVHDNDGACLGPLTQGDWMVEHIAGVNESNGLIYFTGTLDGPLETNLYHTNLFPDWSFPLQTPKRLTRGTGRHSVILDHQLLKFIDVYDTIKSPPVILLCSLLDGSVIMPLFEQPLTVPPLKKFQQLSPEIVEITAKDGTNLYGALYLPDERKYGPPPYKTLINVYGGPGVQLVSDSWMCTVDMRAQYLRSKGILVWKMDNRGSARRGLHFEGQLKYNIGRVDAEDQLQALSEGPCKTWHIGIYGWSYGGFLSAMCLTRFPDTFCCAVSGAPVTAWDGYDTFYTEKYLGLPAEHPDAYEYGSIMHHTKNLKGKLLLIHGMIDENVHFRHTARLVNSLMAEGKPYEILLFPDERHMPRRLGDRIYMEERIWDFVERSL >Sspon.03G0042790-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3C:72834261:72834398:-1 gene:Sspon.03G0042790-1C transcript:Sspon.03G0042790-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFVQELKQTRKIEKSFAPPGVSSAQGLQHIELQKQIIKSIAITEK >Sspon.03G0021910-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:53056729:53059392:1 gene:Sspon.03G0021910-3D transcript:Sspon.03G0021910-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQILLHGTLHATIFEAESLSNPHRASGGAPKFIRKLVEGIEDTVGVGKGSTKIYATIDLEKARVGRTRMISNEPINPRWYESFHIYCAHMAADVIFTVKIDNPIGASLIGRAYLPVTDLLDGEEIDKWLEICDENREPIGDSKIHVKLQYFDVFKDRNWARGVRSTKYPGVPYTFFSQRQGCKVTLYQDAHVPDNFVPRIPLADGKNYEPHRCWEDIFDAVSKAQHLIYITGWSVYTEITLVRDTSRPKPGGDVTLGELLKRKASEGVRVLMLVWDDRTSVGLLKKDGLMATHDEETANYFHGTEVNCVLCPRNPDDSGSFVQDLQISTMFTHHQKIVVVDHELPNQGSQQRRIVSFIGGIDLCDGRYDTQYHSLFRTLDTVHHDDFHQPNFEGGSIKKGGPREPWHDIHSRLEGPIAWDVLYNFEQRWRKQGGKDLLVRLRDLSDIIIPPSPVMFPEDKETWNVQLFRSIDGGAAFGFPETPEEAARAGLVSGKDQIIDRSIQDAYINAIRRAKNFIYIENQYFLGSSYGWKAEGIKPEEIGALHLIPKELSLKIVSKIEAGERFTVYVVVPMWPEGVPESASVQAILDWQRRTMEMMYTDITQALQAKGIEANPKEYLTFFCLGNREVKQEGEYEPEEHPEPDTDYIRAQEARRFMIYVHTKMMI >Sspon.04G0034500-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4C:75678133:75682335:1 gene:Sspon.04G0034500-1C transcript:Sspon.04G0034500-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:U6 small nuclear RNA (adenine-(43)-N(6))-methyltransferase [Source:Projected from Arabidopsis thaliana (AT2G21070) UniProtKB/TrEMBL;Acc:F4IGH3] MGGGRKRRRRDGSEAPSMHPRNRYAAAAPDFAALAELYPSFRPFVSVTDRGRASVDFTDFSATRELTRVLLLHDHGVNWWIPDGQLCPTVPNRSNYIHWIEDLLSSDLIPPISSSSERVKGFDIGTDVTNVALEWAKKNVESNPRLAELIEIRNANVPSSESETVVREAAREKILEPAEDVAMPKPHILVGVVKESESFDFCMCNPPFFESIEEAGLNPKTSCGGTAEEMVCPGGELAFVTQIIEDSVSLKNSFRWFTSMIGRKANLKLLISKVTLSDEQIEAAILHGDDYAGSLEDSAAKLQSVVKGISFRITVFEQFPGTLLIKGSLLNKALSGTFSSLFSQLEDALRMECLNK >Sspon.03G0037650-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:61059847:61061256:-1 gene:Sspon.03G0037650-3D transcript:Sspon.03G0037650-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEMKDSNAPAALDGNPQPMDQTEDNSMPSAQQQEEAIKKKFGGLMPKKPPLISKDHERAYFDSADWALGKQGVAKPKGPLEALRPKLQPTRQQQQQRARRSIYTSSENKDGDSAGAEDMSIN >Sspon.06G0013660-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:59185736:59189555:-1 gene:Sspon.06G0013660-3C transcript:Sspon.06G0013660-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKAVVGDDAHLKAFEETLASSSSPPPQAQVGLVVGKLSASSDRALVYALLPTPLTDAAAPACSLRAAPKSKPSKGGGRGSSSSSSDASLDFDVEWIAEHARQVSRVLLGGMSVIGAYVWASEASFKATTPAVLSQVIRAISQACYGSACGERLLIHISYSPRRWACRICEVASASLRPCDFKYSKLLSSLQTFRCTYNFEIRLTSVQAEPFKKVILKAISHLTEEVQNARALVDGHLFSEDMNISTEGPHQVDFLVPFNNAAPVEECSLEGVAGLIRFVGSVSALAYLGPKESISEAISDLKADIITSLKSRLDIILDEADDGLATDEVEKSPSQKATQVIFHELREPYSFSFPRRVLIPWMSGTYVCDYLQQSETAEDAMDRCKEVIALETAVESSSILESESAAPCSTLESFWDMVPGSRSGGQGGSSKPKDSHSSVQNDDSSKRQGGGNFNIVAALIVLLVALIAGLVFTLSSGSNT >Sspon.03G0005550-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:31324261:31327421:1 gene:Sspon.03G0005550-3C transcript:Sspon.03G0005550-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MELEAAPRQQQQLEEEEEAVGCPCGGAEAADQFERLHDAVLLDVLNRIGDVKALGRCALVSRRFHALVPLVDSVFVRVDCVIPDDPPPSSSTAGAAPGSPPHQPPAARGRGALAHIARVLLGGIARPIHALGQILSPAAAAVSRRSEPPLPASPPAPVADVSHHSPSEVLRSFKELRRLHIELPTGELGIDDGVLLKWKADFGSTLGSCVILGASSVSSKPPTGSTQAEPVAADSTAAAPDTSRETEEPGSLPDSLYTNGGLKLRVVWTISSLIAASARHYLLHPIICNHETLESLDLTDADGQGVLTMDKRQLQELRVRPVSPSGSSHRTLMPALSMRLHYAPLIELPGGMLLKGATLVAIRPSEDALREGQGAGAAGPVGTCWISEAFEEPYRTAAKVLLKRRAYCLEMNSMAGSGVPFA >Sspon.01G0034760-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1B:5456079:5456502:-1 gene:Sspon.01G0034760-1B transcript:Sspon.01G0034760-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ATRSSFQRSVGPLSSGVFAGGGVERGARLLGCSVCIALGSRSSSSFVPPASSISPVRVRIPVQLSGDDDIGGVVFGEICMRVRFPGGVSLVEAAALPWWNKILLVFVYLAVTRSGAGGRAVRWCWSRSGACASDCVGRRA >Sspon.01G0045520-3D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:82498008:82501017:1 gene:Sspon.01G0045520-3D transcript:Sspon.01G0045520-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Type IV inositol polyphosphate 5-phosphatase 11 [Source:Projected from Arabidopsis thaliana (AT1G47510) UniProtKB/Swiss-Prot;Acc:Q5EAF2] GWSRPAGHCGLPIAMWPDAILALSSEATTQYDYILLALGFGRIFSRTLMRQPKKTILFALAGTGTASNKGRMIPVLLLDRHLIGTSLKKGPDQMLPFKEHINIHKHRRGSKLLNNGMVSIDEDGTHEGIKTIPIQKVCEFTTNSVLCVCIVTWNMNSKMSVEDVTKLVSSNRKFDLLVVGLQEASKSDVSQVLLEALAETHMKVDKHAVGGCGGIIGRKKGAVAMYINFSGIRMVFVSCHLAAHEHKVEKRNSEFQHISHSLFSKYGTPYAQSADITVWLGDLNYRLEGISSIPARKMIEENRQSKLRGKDQLLQEAEKGEVFNGYCEGTLSFKPTYKYDVGSSIYDTSSKIRVPSWTDRILFKVGHSSGLDAVLSSYESLDCVRSSDHKPVKAHLCLRVRSDGDAD >Sspon.01G0017000-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:61767118:61767702:1 gene:Sspon.01G0017000-1P transcript:Sspon.01G0017000-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding EMAAHLAASASAGEEAFWTACPHCCYVHSFPRLYLGRRLRCPTPACRRAFPAAELPAAPPIVPGADMYFCTWAFFPLGPPVTANSWVPFTPFHPFNPPPSPSPAPNPAAASADTPPRAGPTSRMKMGVCFKGRARAEAEEEEEEAAAAIDLEVEVEVEAEEGVLGEQWDSDIAINETVDLSELGFRVDEMGVLHD >Sspon.04G0015390-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:57752173:57755692:1 gene:Sspon.04G0015390-1A transcript:Sspon.04G0015390-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVVQVLASATELVSAMVSAVRALEQAASDLAEAPRRLQVLEDFVSDLDALMEQSRQRHAHKLHGPQLDRQFQSLGRLMDQLRGNVAKARKVLSGNGKGKGKGFARLVRSSVVGDPLMRYVRLIRDDLNQWLELQELTQSVGKVIASTARSTPALVRVKSEHGYPVSKKCSYVRELLERDRAHRVVLIVGLSGIGKSCLARQIASDPPFSFVDGAIEIGFGRWCSRAACNGSRSEYHKRLARKICTFLVQIGSMTVKEEVGKDLDDVCCLLQTALVGRSMLILLDDVWEQDIVDRFTRLYDNDCRYLVTTRDEAIYEIAEAEKVEISKDDIKEISREILLYHSLLSVGELSPAAEVLLDRCGHHPLTVAVMGKALRKETRVEKWEKAISNLSTYATCAPGPVSYVNEKDVETTLTIFGSFEFSLEAMPENSRSFFMALAAISWEEPVPEACLESIWSALEQGGLFSLVVSKLVEGSLIIKLEDQPLYHMHDMVSLYLENKTNDVARALLSESISYYAALVAPWLFVFGKECMKMPAEQKMGSFFSLLEFTEIEILLVNTTQALMACRSLSEFEASRLGFSKILGPRIAEIISVGSPDLIFAVTTAIIVIFFQADYINLARSLETAGSIDKLIDLLGACEDASTLANLSSVLAKISEHVDATIADEILSRIPMDRIADLLSVENEQWHEIVFTTLASLTKVGKLKAVETMIESGIDKKLLVLLGNGSEISQHHAIITLKTFCELGAPLQGCMGPAVLLHLPWHARISLERFVLFDKNVSQSPKPQQTFEVILHKILQRDNKDIIEAIQGLLSLAERANDTRVQDLLLGSNLFDRLALLLQRREVENNQVRSQTAFLVMKLACTGGEPYVHRFLELNIVHELIDMLQCNIDELQDSAYYALHQIVFAKGGSLVLQRFLQLGTIEKLVNLLDRKSLKTKDLAMQFLVDITEVGTKPCIERMLASQVVEKLVALEKGGDPFGGAVSRYIQGLNMCKKLQTAERAVMKQHILRKVRSAVRGHKLEASLVASVEASIAEGSRGASSSRKKK >Sspon.05G0025050-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:19979224:19985551:1 gene:Sspon.05G0025050-1B transcript:Sspon.05G0025050-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDARDDGDPSAAADGRLGCHERQSHLNLRVSGSSDEQRVLNVPNDHLNSTGWSPYHFHAIDKAALSVNEVCVTYISFHVMKRGKHGPESRISPLEESPVIQAMRNSISRSGEPVFLPMVGMEFNSAKEAKDFYNLYSWEIGFGIRKGRNRTNDNNYTTRQDLVCSCEGISLNIMAATCRTGCKAMVRLHRTCDHGWVISRVVTEHNHPYSAIYGQNKQWPSHSEIDPMTKDLVLKLHENNIPIGRVCSIFNVHGTQFSPSDSERGCKIYQCQAMAAAIKSTFPRSNHRWCRWHVLRKTKQKVGPAYSKKSKFKKEFNKLATEERNAPRFERKWKQLMRKYNLDKNQFL >Sspon.06G0021540-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:41315298:41318096:1 gene:Sspon.06G0021540-2C transcript:Sspon.06G0021540-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTPSMLTQYDIEEVQDHCNHAFSQQEIVSLYHRFCQLDRNGGGFVSADEFMSVPEFAVNPLSQRLLRMLDGLNFKEFVAFLSAFSPRTSPQQKVEFIFKVYDTDCNGKVAFDDILSILRDLTGSFMTEQQRQIIGSSELKMEVEVPID >Sspon.07G0022600-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7B:9476931:9479309:1 gene:Sspon.07G0022600-1B transcript:Sspon.07G0022600-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDPDPPDPSLSSVFPKQPAPNPARSAPSPAAPPHQLPGSSPPSPDLSTAPPPEHGSHAGSSCAGACSRSGVQRPTPAPAPDLAHTLSLPSPGQKDVQLSRLVHSPSSPRAATGLSPSARPFHPGGGTMGRSKLRRWADKDEDLLANDSDGNCSPSSCLEAARRALAATPTAPASPQDVAAPDAVDAAAAAVAPADGDRGAPRVRCPWRRRRRHHRQRRRRTRHKALNRSGGPGAQAASDQMDPVRLRVPVHQRLGPRRRVSAPDAEGWQAQRRGVVGGGDFTGGRRPLPAVLQVKCLPMPHTRPGAPGCAGRRRRSSEGADVPACHPRHHIPRSGVSVDNEGFTVVASRRRWRRRLCTTRPRPVPAALVGLCFNCMAGDHIAADCRFPSRCLHCRGSGHRARECNRGRPPARHAARTKDGPRRRLLSQSTRPAMEIVVIPRTQELSAAKEALYDANGDGGLNVGAGESDPQWGPGWGPTYRQGATVVVGAFQCPVPHAGAQWPTYGRRRVRLLLGGPRHLPTSRPSSPTASEASQEFEIRILSSPTVAPERLDPMLCLTEVDCCPTSLRESSGQRVAALEPEMVLHRVPKQSSWAAQAVADVHAISASCPVAPVVSQAVSPPNGDVVDSAPTMFVHPQLDVDVCTDNDGQPVQPTDDGPSTPVHGVDTPPSVEDFIAGLRLPLEVPLIQSPPRLRVSRMRDENWVPRRSERLAAMSAFRDPNPEKQAKRVLLGKWQPSACDLRSAPATPDATIAARFREMFREPLSSSKREALQELFPLIGAHGRRRLRLD >Sspon.02G0016890-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:47681415:47682542:-1 gene:Sspon.02G0016890-1A transcript:Sspon.02G0016890-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGCPNLSFSCSNISCSIWFCCGGGGGSNKEHEREQNKQHQAPVVPNNNPQPSPPQPQVIAVPDHLTKPTPTYVEQPAAASPPPAPLPIAAPPPPAPPPRRMPPTETYYTQPTTTQQQAARPPPILQTPTLPAPPPKVHDPWTQTTQMLPQTHPVWSQTPSAPMLPPSLPSPPNKVLHDMQRPHMQMIPASHVQSEMVHKLPRPARQPSKTYHQTMPPTVNQHNPAHSHLPSETYYYNDAALPDVVPPQAALPLVTGQLVNVPGDAIMQGQQK >Sspon.06G0007050-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:23288128:23291649:1 gene:Sspon.06G0007050-2B transcript:Sspon.06G0007050-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-prolyl cis-trans isomerase CYP38, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G01480) UniProtKB/Swiss-Prot;Acc:Q9SSA5] MAAALASSRCCCSRPSLPPLPTRGRRSVARCALSGGEKTNSLSWKECAISVALSVGLITGAPTFGSPAYASPLEPVLPDVSVLISGPPIKDPGALLRYALPIDNKAIREVQKPLEDITDSLKVAGVRALDSVERNVKQASRALNNGRSLILAGLAEPKRANGEELLNKLAVGLEELQRIVEDRNRDAVAPKQKELLQYVGTVEEDMVDGFPYEIPEEYSTMPLLKGRATVDMKVKIKDNPNIEDCVFRIVLDGYNAPVTAGNFIDLVERKFYDGMEIQRADGFVVQTGDPEGPAEGFIDPSTGKVRTVPLEIMVDGDKAPVYGETLEELGRYKAQTKLPFNAFGTMAMAREEFDDNSASSQIFWLLKESELTPSNANILDGRYAVFGYVTENEDYLADVKVGDVIESIQVVSGLDNLVNPSYKIVG >Sspon.02G0020190-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2A:66048344:66048796:1 gene:Sspon.02G0020190-1A transcript:Sspon.02G0020190-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSQAPPPPPFLVPPSITPWLLLLLPLASLHTRGAEAAAPNTEALSVLCNGASYGAGDPFATSLAYVLSELVSATPARAGRDFYDISPYPAAFAYGHAACRAALSAPDCATCLRSAVSQMDASCGHSVGARAVLVDCSVRYEQYAFVD >Sspon.07G0012570-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:53543436:53547743:1 gene:Sspon.07G0012570-3C transcript:Sspon.07G0012570-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGCDCIEPLWQADDLLMKYQYISDFFIALAYFSIPLELIYFVQKSAFFPYRWVLIQFGAFIVLCGATHLINMWTFTTHTRTIAVVLTVAKVATAVVSCITALMLVHIIPDLLSVKLRERFLKAKAEELDREMGIIRTQEETGRHVHMLTHEIRSTLDRHTILRTTLVEMGRTLGLAECAVWMPSRSGTTLQLSHALHSSAPLGSVVPINLPIIATIFNSNRAERIPHTSPLASMKTQKSKYVPPEVVAVRVPLLQLTNFQINDWPELSAKAFAVMVLMLPPDSARKWRPHELELVEVVADQVAVALSHAAILEESMRARDLLMEQNIALDAARREAEMAICARNDFLAVMNHEMRTPMRAIISLSSLLLETKLTAEQRVMIETILKSSDLLETLSNDVLDISKLGDGSLELEIAPFNLHATFTDVVDLIKPVAAVKRLSIMVHLAPELPTCAIGDRKRLMQIILNVAGNSVKFTKEGHISISASIARTYAPDLHPVLSDGSFYLAVQVKDTGCGISPQDMPHTFTKFAHPQNATNKVQNGNGLGLALSRRFVALMQGNIWLESEGVGKGCTATFFVKLGLSDKPNANLRRIVPPVQPKQGTADPDASSIINVDMAILPHRYQSMI >Sspon.01G0022750-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:53679488:53683197:-1 gene:Sspon.01G0022750-3C transcript:Sspon.01G0022750-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKRSKKSKSKRVTLKQKHKVLRKVKEHHRKKRKEAKKEGKAGQRKMVEKDPGIPNEWPFKEQELKALEARRAQALQELELKKEARKERARKRKLGLLEDEDIANLASAASAQGSEFAAKDAAKENAPLAVAKSNDHSERSFYKELVKVIEASDVILEVLDARDPLGTRCIDMEKMVRKADPSKRIVLLLNKIDLVPKEAVEKWLTYLREELPTVAFKCNTQEQRTKLGWKSSKLDKTSNIPQSSDCLGAENLIRLLKNYSRSHELKLAITVGIVGLPNVGKSSLINSLKRSRVVNVGSTPGVTRSMQEVQLDKKVKLLDCPGVVMLKSSNSGVSVALRNCKRVEKMEDPVTPVKEILSICPHEKLLSLYKVPNFSSVDDFLQKVATVRGKLKKGGVVDVEAAARIVLHDWNEGKIPYFTLPPKRDAGEDSDAVIITEDGKEFNIDEIYKAESSYI >Sspon.02G0036940-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:28440945:28447300:-1 gene:Sspon.02G0036940-1B transcript:Sspon.02G0036940-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLWFNSIKSSLQQSAEQEARSEQKPSESQTHPNPPFLSPVPPPSSFPSSVPGTAMAAPSGTMRAVQYGRYCGGAQGLKHVEVPIPSPKKGEVLIRMEASSINAVDRKFQNGIARPFMPRRFPFISGFDLAGEVVELGAGVTSFKPGDKVIAINFPDGGGLAEYAVASASLTVARPPEVSAVEGVCVPIAAVTALLALQRAGVSLDQAHASTTRPKNVLVTAASGAVGHFAVQLAKMGGHSVTATCGARNLALVRSLGADEALDYKTPEGAKLRSPSGREYGAVVHCAAGFPWSVFRPVLAAKGTVVDITPGFVAGVTAILQMVTFSKKRLVPLFAMAKKEDMELLLGMLKQGRIKTVIDSRHPLGSAHEGWAKSMSGHATGKVVIEIGAAQPEYVPAAEPPLSRALSLHAHRSKHQAMAAPKKTMRALQYDKYGGGAEGLKHVDVPVPSPNKGEVLLKLEAASINPIDWKIQKGMVRPFLPRKFPFIPDFKYFCAYKFYDRYSFYCSSAPYHVIMRELDLVAVAKTVGDISGEVVELGSGVTHFKPGDKVISISFATGGGLAEYAVAPASHTVARPPEVSAAEGASLPTAASTALQQLKAAGVSSFDGGSGSGADSNGPKNVLVTAASGGVGHYAVQLAKLAGLHVTATCGARNLGLVAGLGADEVLDYKTPEGAKLRSPSGTRYDAVAHCATGTPWSVFAPVLADRATVVDVTPGIAAAAKSFLQKVTFAKKRLVPLVLIPKKEEMEWLVDMTRQGKLKTVIDSRYPLSRAQEAWAKSMEGHATGKIVVEMGGAE >Sspon.06G0020900-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:12163057:12164688:1 gene:Sspon.06G0020900-3D transcript:Sspon.06G0020900-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGDLQVLTALDTAKTQWYHFTAIVVAGMGFFTDAYDLFCISLVTKLLGRIYYHVEGSATPGTLPPHVSAAVNGVAFVGTLSGQLFFGWLGDKLGRKKVYGMTLMLMVLCSVASGLSFGHTPASVMATLCFFRFWLGFGIGGDYPLSATIMSEYASKKTRGAFIAAVFAMQGFGIMAGGLVAVVVSAAFKVRFPAPAYAVDPAGSTPPQADFVWRIILMLGALPAALTYYWRTKMPETARYTALVAKNAKQAAADMSKVLQVEIEELAAPAQDNSNSSRASSAAAAPAASFGLFSGEFLRRHGLHLLGTSATWFLLDIAFYSQNLFQKDIFSAVGWIPKAATMSALEELFHIARAQSLIALCGTVPGYWFTVALIDVVGRFAIQATGFLMMTAFMLGLAVPYHHWTTTPGNHIGFVVMYGLTFFFANFGPNATTFIVPAEIFPARLRSTCHGISAASGKLGAIVGSFGFLYLAQSRDPGKTEHGYPAGIGVRNSLFLLAGCNLLGLAFTFLVPESKGKSLEEMSGENDEAAATASYNRTVPV >Sspon.05G0029460-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:75438747:75438986:-1 gene:Sspon.05G0029460-1T transcript:Sspon.05G0029460-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LQEWETLKGSAAPDKELLPLWRFKLKCYIVVDVMQLRQFLEVDIFDVWEWRKRYLIIRLFVENCLHVGAEEDHQSLQGR >Sspon.02G0009310-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:26397245:26400730:1 gene:Sspon.02G0009310-1A transcript:Sspon.02G0009310-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDDDDDDDDDDGHGDNQTLCGWQYTSKGERFEVIEKEKGGEGGVRIISAGSCARLGFSEPCAGAASDRGSAGLAYARLGARRPRTVACGQFGFGVVACRQRAGTLRTLSSTGDMWLLLARWEGDSDPELSSLQLPIAATAGIDASKCALPTSSLTQTWMPRKDEDDGVIKAQNRAFAQEEATKRAKRTNSDDWPTYPPTPAPVSRRHIGDEHGHWSDTPLFPPVCAAVPPSSRGTFLRDRDQATTAREGMYAAVRSPTLLPIPTAVHGQWRRQSAIAIEMWAVFSLLLRPSPCRPLQGAAAGRGILGCEVLTWSP >Sspon.04G0036380-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:28781087:28795073:1 gene:Sspon.04G0036380-1D transcript:Sspon.04G0036380-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SMALVVYDDSSQGRRRLEGIFEGSFWRVNYPACGQQDFEADLANLKTSPNGIECDNPRVKTEEVASSKS >Sspon.08G0005240-2T-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8C:15848731:15848970:1 gene:Sspon.08G0005240-2T transcript:Sspon.08G0005240-2T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGQSSNSNPTDAFEEYMLLEKDPDLYRMVFSGPSQISPWIDPSVLNLKHRIGRGPFGDVWIATHHQRTEDYDRYHEVA >Sspon.06G0007850-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:39349522:39352333:-1 gene:Sspon.06G0007850-1A transcript:Sspon.06G0007850-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIKKPKVVLAQPAVGAPASRPPFFSRAPGPVRGAGDEAAYRASLRYRALLQDYQELIKETQAKKKGLHMERLRKQRLLAEVKFLRKRYKSMSENPSQTIVCRLRNPAMSSASWTAASAGDAQHQSVHAAGSSSRSQLVHRRHGGSPRASPVIDLNEAQPGYEEMEIEEHYGYRAPLGVNKSKRYPMEGDAAAGPSQVRMPVFWDVQNPAGRSGKRKISWQDQLALRV >Sspon.02G0004010-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:12394923:12405490:-1 gene:Sspon.02G0004010-1A transcript:Sspon.02G0004010-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVNPPPPPPPGSSSSAPAGASYFPLPFHLQQHQPPPQMPPPMAASSYQQYQQQLHQAHQLFQRDAQTITPEALQSVKAALATSDVLDPAAAANARPSDPSTSKKPIPRRAAGQSWEDPTLTDWPENDYRLFCGDLGNEVNDDVLSKAFSRFPSFNMARVVRDKRTGKTKGYGFVSFSNPTDLAAAIKEMNGKYVGNRPIKLRKSNWKERTDVEALERQKNHVQRKPKIPKKSILH >Sspon.01G0005220-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:12218201:12223170:-1 gene:Sspon.01G0005220-3D transcript:Sspon.01G0005220-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTALAHHFQGKKKTQKMNLKDFGQCDVKRCTGRKLSRFGLLKELRATNGFGGVVLSPVGTQCVSKEDHPIVQRKGLAVVDCSWARLSDVPFVKLRCGAPRLLPWLVAANPVNYGRPCELSCVEALSAALIICGEEDTAHLLLGKFKWGHAFLSLNRDLLKAYSQCENGSEIINVQNSWLSSNSSVPKPPVNGTEEARQSTEEGSEGDSDDDLPPLEKNLNHLNLDEDEESEEESESE >Sspon.05G0011080-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:33865395:33870538:-1 gene:Sspon.05G0011080-4D transcript:Sspon.05G0011080-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ultraviolet-B receptor UVR8 [Source:Projected from Arabidopsis thaliana (AT5G63860) UniProtKB/Swiss-Prot;Acc:Q9FN03] MDSVMAAAPDSPPQTVVLVSAGASHSVALLTGNVLCSWGRGEDGQLGHGDAEDRLVPTVLSGFDAPGIASVICGADHTTAYSEDELQVYSWGWGDFGRLGHGNSSDVFTPQPVKALQGLKIKQIACGDSHCLAVTMAGEVQSWGRNQNGQLGLGTTEDSLLPQKIQAFEGVCVKMIAAGAEHTAAVTEDGDLYGWGWGRYGNLGLGDRNDRLFPEKVSSVEGEKMVLVACGWRHTITVSDSGNLYTYGWSKYGQLGHGDFEDHLIPHKLEALKDSTISQISGGWRHTMALTSEGKLYGWGWNKFGQVGVGNNDDHCSPVQVRFPEDQKISQVACGWRHTLALSEKKNVFSWGRGTSGQLGNGEIADRNTPVLIDALSPDGSGCKKLESSAAAPFTAKVWVSPSERYAIVPDENVPKAGEGTARGNGADANVPENDVKRMRVQS >Sspon.04G0030300-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:76690693:76697801:-1 gene:Sspon.04G0030300-1B transcript:Sspon.04G0030300-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFGIFYGIMVFNWSSVDTCAEFIADPDTDEVYAQMTLQPVNTFGKEALQLSELALKHARPQMEFFCKTLTASDTSTHGGFSVPRRAAEKILPPLDFSMQPPAQELQARDIHDNVWTFRHIFRGQPKRHLLTTGWSLFVGGKRLFTGDSVIFVRASPTEFVIPFAKYQKALYSNQISLGMRFRMMFETEELGMRRYMGTITGISDLDPVRWKNSQWRNLQVGWDESAAGERRNRVSMWEIEPIAAPFFICPQPFFGVKRPRQIDDESSEMENLFKRAMPWLGEEICIKDAQTQNTTMPGLSLVQWMNMNRQQSSTLANTGIQSEYLRSLSNPTMQNLGAAELARQLYVQNHLLQQNSVQLNASKLPQQMQPINELAKGSLSCNQPDAITNHQELKQEEQQKLLLDMQQLSSSHSLAQQRIVPQQDSKVSLQASQAPPPMKQEQQKLSQKQVALADVSDIAFPPISSTNVLSKAGSQLMIPGATQSVLTEEIPSCSTSPSTANNGNHLAHPTIGRNEHCKVNMVKVPQSSALMSIPTSGEAVTTPIMMKESSKSNHNQKENVITSKSPTVGTGHDNLLNIVPSTDNLETASSATSLWPTQTDGLLHQGFPTSNFNQQQMFKDALPDVEIQEVDPTNNAFFGINNDGPLGFPMETEGLLVSALNPVKCQPNLSTDIENNYRIQKDPQQEISTSMVSQSFGQSDIAFNSIDSVINDGAMLNRNSWPPPPPPQRMRTFTKVYKRGAVGRSIDIGRFSGYEELKHALARMFGIEGQLEDRQRIGWKLVYKDHEDDILLLGDDPWEEFVNCVKCIRILSPQEVQQMSLDGDLGNNVLSNQACSSSDGGNAWKPRCDQNPGKKNEAYLELWQVEMSDRGHYEETLYISFG >Sspon.08G0018860-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:8187683:8189516:-1 gene:Sspon.08G0018860-3D transcript:Sspon.08G0018860-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Patellin-4 [Source:Projected from Arabidopsis thaliana (AT1G30690) UniProtKB/Swiss-Prot;Acc:Q94C59] GEEDGKKEADAEEEKKEGEEEGRKKEAEEEGGGEDTKDEAKKEEAGEKAAAKEEKEEEKPAETAAVVVVDKDIALWGVPLLPSKGDEATDVVLIKFLRARDFKAGAAFEMLRRTLRWRRDWAGFSVDADADADDADLPRSSRARATSTARTGRATRCATTRWACSRTTPCTRRRWAPRKARPGSSGGGSAMERHVAELDLRPGGAGSLLQVTDLKNSPGPAKKDLRVAVKQVLDLFQDNYPELVARNILINVPFWYYAFSALFYPFLTQRTKSKFVVARPSKVTETLLKYIPIEAIPVKYGGLKRDGDTEFSADDSEVTEVTVKGSSTQTIEIEATEGDATLTWDLTVLGWEVNYKEEFVPADEGSYTIIIRKGKQMGSGEEAVRNSFRAGEPGKVVLNVENTSHRKKKVLFRHKAKSACAKKC >Sspon.02G0047620-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:18357901:18358503:1 gene:Sspon.02G0047620-1C transcript:Sspon.02G0047620-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLGLPKNPTRQPKLLWNGKHRRRLHGSTIQRRAPSIAAPCSFPATPALARYASRPAATCRAPSPTRANVLLGCCRGTAGAAIPT >Sspon.01G0040790-3D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1D:70379470:70380156:1 gene:Sspon.01G0040790-3D transcript:Sspon.01G0040790-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LPLESKAKVAVRGNGFEGFGHHYSRASSGKLDWAESMILVTQPPQDRNMEMWPTNPPTFRSVFHVHLSIEDLPMPRPNSVSSTTRDALEVYSVEMIDLAMRLLGFMAADLGVEQEALLDAFTGKRQSMAIHYYPPCLHREKVMGITPHTDGLGLTLLLHVDDTPGLQIRKDGRWFPVRPLPGAFVVNVADILDVLTNGAYASVEHRVIPDAERGRTTVVIFQEASVGGL >Sspon.07G0007960-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:19031310:19032274:1 gene:Sspon.07G0007960-2P transcript:Sspon.07G0007960-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSSSANAIPPAAAPLKLFGSWASSYTHRVQLALRLKGLEFDYVEEDLGNKSAELLRHNPVHKKVPVLVHGGRALPESVIILQYLDDAWPETRPFLPADAFDRALARFWCHFADDKLGPAVGAVFASTGEDQEAAVRQVHENLALLEAELRDGAFRGRRFFGGDEVGLLDVVLGCGSYWLAVFEDVTGVRLVDADAFPRFHAWLRDFEALDEVRETIPAVERLLEYARGLRHMLLGLAGAAAAPAADAAPTAAAPAPAADIAVDI >Sspon.02G0008360-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:18120640:18133376:1 gene:Sspon.02G0008360-1T transcript:Sspon.02G0008360-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADDDDFASPPPAPRARAEGGGGGVYQVGGVPVEFPYKPYGTQLAFMGRVIATLDRARRQGRSHALLESPTGTGKSLSLLCSALAWQRHYPLRSPPAPAPASDPFLHGGGFVPDDTQPQATPVVPEKAVKKKNAPTIYYATRTHAQISQVVREYRKTSYRVRMAILASRKHYCVNQVACASDNIDEQWNAQKLSRHPSLQIGGCYEVHDIEDLVRVGQKAKGCPYFAAQHMAEAAQLVFCPYNYLISPVVRRAMDIDISGSIIILDEAHNIEDIARDAGSVDIDEESLHLLQGELQNLATDEAVAMIYQPLHDIIQGLIGWIAEREDNLHNHEFGHPASYWTGEKAMNELQRAGISPIYFPAIKAASDTESDGSHLSGGRAMTLESNDEISSRCTMSLWCLNPAVVFQEIADRTLSVILTSGTLSPMGSFTSELGVQFEACMEAPHVINADSQVFAAVLSSGPTRQILNASYKTADNSSFQDELGASLEEICRIVPGGALVFFPSYKLLDKLQVRWSQTGQWARLNAQKHVFVEPKGSSEELDPVLKGYYDAILGKAPVKKGRGGSKQIVKNRVTKKSSQESAKAGAALLAVCHTNIDSTWIRNDVQVKLKKRYNDSYKSSKHLLSGSEWYCHQAFRALNQAAGRCIRHKSDYGGIILIDERYQEGRNVAYISKWLRNAIKKYDSFQETMAELQKFFQNAEEKIKLKDCGIFPKVKLDSEAPQSLSDDKRKLPWPELSSSNHSVSQCNQKVKTECFSQRVPNTDGVAVDQKVMGMCYTSPEVSKISLRSSLLVKKENSSSPESLPMAYPLPPCKIQSDFEGVANTGANYGVKTEVINLEEILNLGVLTCTEITILNPLEDRSRQPTLVEETSAATPVASPSNYSEVNTSVRINNGDQIVDMPISLFSTNRNLSSLSTPAATPEKTTTKTVMSLLSTEV >Sspon.03G0015240-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:48720803:48725641:-1 gene:Sspon.03G0015240-1A transcript:Sspon.03G0015240-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEYHEEYVRNWRGVQLFTCGWLPVATSPKALLFLCHGYGMECSGFMRECGVRLAAAGYGVFGMDYEGHGKSMGARCYIRSFRRLVDDCSHFFKSICDRFLKSLMNLFLCLGAELEEYRGKSRFLYGESMGGAVALLLHRKDPAFWDGAVLVAPMCKISEKVKPHPVVITLLTQVEDVIPKWKIVPTKQDVIDAAFKDPVKREKIRRNKLIYQDKPRLKTALEMLRTSMYIEDSLSQVPPGLPDEHFAEAIQNYSTTVKTCQSQQLNSGSELFLKCFLVRLPFFVLHGEADTVTDPEVSRALYERAASTDKTIKLYPGMWHGLTAGEPDENVEAIFSDIVSWLNQRSRSWTMEDRFRKLVPAPPKFIDGDDAMDGKAQTQVRPRRRRPGLLCGLAGRTHHHAEM >Sspon.07G0005590-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7C:9774585:9775270:-1 gene:Sspon.07G0005590-2C transcript:Sspon.07G0005590-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SSGTVPKKNSELRRTYLASCKKAIPGWNPGGGGELHSLPRRVRRATPLGSASASAATQELKETNGDDDAGKRIPTPGKKANRPRSRSRRPPQDRASQSPPRANSARNRSKGDTGRSAVETAGIRQRPGRRRKPRWLDHRPHRLLSPFTGARAYRGGSWAVPFSVSPSPSPRVPTSAPPSRCSCERTQAASDADVMPRDGGTREECAVVVLVRMRAPLSVCELAVHLRQ >Sspon.04G0015860-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4A:58763726:58766037:-1 gene:Sspon.04G0015860-1A transcript:Sspon.04G0015860-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGTAAGSEEGNRISHCALAGDRRPSDRDSTIRIRRYPFAKSSDSLFNIVPGLDGNPNSVSLELGTRPGCFLVTGTNYSAGTKIQISCKNSLQSIGGILEQAASFSQTDPLRQYHPISFIAKGMIRNFLLEPLYSLRDEFYTVYFNIGA >Sspon.04G0018970-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4B:71029916:71033071:-1 gene:Sspon.04G0018970-2B transcript:Sspon.04G0018970-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Trivalent AI influx transporter, Aluminum (Al) toleranc [Source: Projected from Oryza sativa (Os02g0131800)] MQAGADFKYQLLWVILVGMVFALLIQTLAANLGVKTGKHLAELCREEYPRFVNICLWIIAELAVISDDIPEVLGTAFAFNILLKIPVWAGVILTVFSTLLLLGVQRFGARKLECIIAAFMFTMAACFFGELSYLRPSAGEVVKGMFVPRLQGKGAAANAIALFGAIITPYNLFLHSALVLSRKTPRSVKSIRAACRYFLIECSLAFVVAFLINVAVVVVAGSICNAGNLSPGDANTCSDLTLQSTPLLLRNVLGRSSSVVYAVALLASGQSTTISCTFAGQVIMQGFLDMRMKNWVRNLITRVIAIAPSLIVSVVSGPSGAGKLIIFSSMVLSFELPFALIPLLKFCNSSKKVGPLKESIYTVVIAWMLSFALIVVNTYFLVWTYVDWLVHHSHLPKYANALVSIVVFSLMAAYLVFVVYLTFRRDAVATYVPVSERAQAQAEDGTGAQAVAAADDADQPAPFRKDLADAS >Sspon.02G0016760-4D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2D:42641595:42641944:1 gene:Sspon.02G0016760-4D transcript:Sspon.02G0016760-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHQKREGSSADDDCTSKRLKGTDTASETGGSVEASVSQKMDAEARRTCLKESEVPSDKCVPDGEAAANSQVSGEQKMVLTAVEADAAEDKGCRHTMEDTWVVLPDGDAESPGSLR >Sspon.01G0021430-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1A:78679535:78680209:1 gene:Sspon.01G0021430-1A transcript:Sspon.01G0021430-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVALFPWLAWLLISLVGIYHLGHLEHGHRRRGLLLGPHPLPIIGSLHLLANQLHRSLAWLKKNLRSLSSRIVAVTAPILESAPRPHSASLLLPVSTARSLPRRERPPAALGSPFLRRAPSCAAPRASSPPPPTHTLPRLSSHLVTARPAASDGVCVVGGGEGARAAHGVEGGLGDDTPRGAPLCGGPPWPPARLGLAVATPAPPPGRPPSLSVLLADLPQFTIR >Sspon.06G0027700-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:88728563:88728987:-1 gene:Sspon.06G0027700-1B transcript:Sspon.06G0027700-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGADLRMPPPLVFRSHLPHYEEPPPQVFHRAAVSLPRPGQQVPEIEGKIEVVLRSIIENGFDVKVKQKQRKPIMSSKYLLIDAQMYTQLKFGASSALNLEMQK >Sspon.03G0001650-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:3994895:3997931:1 gene:Sspon.03G0001650-1A transcript:Sspon.03G0001650-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLDVNALRYLSKDDFRVLTAVEMGMRNHEIVPAELVDRIAGLKHGGTYKVLRNLLKNKLVHHDATKYDGYRLTYLGYDFLAIKTLVNRGVFTSVGRQIGVGKESDIFEVAQRMRSSERVTKSDDVFDTILGLIVRLAEHGLIHCDFNEFNIMCIIQFIDANVMQIDDDEKITVIDFPQMVSVSHRNAQMFFDRDIECIYKFFNKRFNLTSEKNEEQAGSESDDEGNSRPSFLSVKKAAGSLDKELAASGFTRKEQVEMEKYIEEDAEGHDSSSDDDDDDDKVGDAVPLVSLKIDQDGSDVPDCNLASRDSDAPGTFSEEIGNSSLRCNGVVMTPLESGIKMLSLEDDDNDDDSSEDADEEEDAELTKH >Sspon.03G0001220-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:11946897:11953953:1 gene:Sspon.03G0001220-2B transcript:Sspon.03G0001220-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LVQQCTPLPLFSVFLIALCFAASNLPPASSQSSADSCSNGLSLGSLVPFNTTGLTCFQAWPSQDFVLRFGEAAPGSNAWSFVLSAPDNGGYISIGFSPTGRMVGSSAVAGWVTAAGAGSARQYYLGGTTSRSCPPDQGKLALASGAAAPTIVSKGSRLYLAFQLAGQPLTNVVYAVGPSGTLPGSNGLLPQHQDMAAGTISLSGGTSGGGSPATGGGDDDDDGGEGGEGKGKGNGDGGDEDGDEGKGEKRTSPASASSSSGASGGGAFLSAARRHGVLALVSWGVMVPAGVALARFFKRFDPFWFYAHVVAQGLGFLLGVLAVVAGFRLDDDEGPVATHKAIGVAVLVCACLQVMALLARPAKETKARRYWNWYHHNVGRVAVVLGVANIFYGLSLANERQEWSYVYGIFIGVFAVVYLVLEDYVARSQTADSCTGTAGLAAVSRLIPFDTSNLTCFDAWTSEGFIVRYGKSGQNNTWSFVLSAPDGGGYISVGFSSDGAMVGSSAVAGWTTASGAGVAKQYRLGGTSPGSCPPDQGSLALVPGTTLLVAQSSRLYLAFQFTAAQPPPPYLIYAVGPSGAQLSNNYLVRHRSYASAAVDYATGVASSASGAGAFNTKKWHGAMAGLGWGVLMPVGVALARYFKRHDPFWFYAHVSVQGVGFVLGTVGVVAGFKLDDDVPGADSHQALGVAVLVFGCLQVLAFLARPDRGSKVRRYWNWYHHYVGRAAVACAIANVFIGLSIAHEAAALSAFYGIFLAVWVLASVVLE >Sspon.05G0013400-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:46018596:46020652:1 gene:Sspon.05G0013400-1A transcript:Sspon.05G0013400-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGGRRDSWCCSSAVASLAILLSLGAAMAARAAPLATRAAANGTCQSRVEPFGYKCEEHTVTTADGYILSLQRIPGGRGSGQSATAGNKIPVLLQHGLLMDGVTWLMNSPNESLGYILADGGYDVWIANTRGTVYSRGHTTLSSSDPTYWDWSWDELASNDLSAVVPYVYAQSGQQRLHYVGHSLGTLIAFAALSQRQQLGMLRSAGLLSPIAYLNKVASPLALAGADTFLAEALYWLGLDEFDPTGEPVHKLVTDICSQPGINCYNMMSVFTGDNCCLDNSSVQVFLAHEPQASATKNMVHLAQMIRRGTLAKYDYGNAADNTKHYGQATPPAYDVSAIPDDFPLFLSYGGRDTLSDPQDVSHLLQVLKSHDGDKLTVQYLEDYAHADFVFAGNARERVYAPLMAFFKLQDK >Sspon.03G0005170-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:14810529:14816625:-1 gene:Sspon.03G0005170-1A transcript:Sspon.03G0005170-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSITEEEKVEFGITIGGLERACPSGPVIPRPLLADIVQEEDIKELCKLLVVVSGEAAKTYAGRKTMEERVGEKEDERGGQKEGDRADSLALTNHDREGNNQDPDQKASIDDHSSRGTHTGKAILEESRPNCADDQKSNRPMSPGTLALLCDEEVLEKMCDEQDTVFTTSQNAVPQQTVTVNQNQSALYAEQERVVLTEFRECLRKFVVKGRRKENSMAIKSETSGYPGQKFFPADNMN >Sspon.01G0025680-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1A:90161498:90162130:1 gene:Sspon.01G0025680-1A transcript:Sspon.01G0025680-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKRPAAGTGKKTRSAPRPPFLATSRTAATVREGPRVLARLAAWHREKEEMHGGGEGRGQRAGGGGRGRRRRAAEIRPPQAPPAASSLAELHPPSEGAGATSPLHGWSRGHRTEQRAGARRSRGRRRRGRRRPPAEEPSPAAEEPSRGRRGAPPPARRGRGGRRVLARSPCAEGRGPGGEEASPRELHGRAPPLRTWLLPCPPRWVGKR >Sspon.01G0003060-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:5274516:5277499:-1 gene:Sspon.01G0003060-3C transcript:Sspon.01G0003060-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSAALSTSLPRSLASRTPLSSPLHARARARSQLGSRSLHRLRARAGKDDPEDLYGPYPWDQPLDLTTGFDIQWVPEDRVTLFTSDGLIQIGGSLVPRRVSASEKRQRKMKSAQKLRQFQESSYMDPNQSLCLGALFNIAATNGLDMGRRLCIFGFCRSIEMLSDVVEDTVLEHGGEVVTAEKASNDGLQEKLTMSVAVPLLWGVPPASETLHVAVRSGGGIVDKIYWQWDLF >Sspon.02G0039740-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:60671082:60676384:1 gene:Sspon.02G0039740-1B transcript:Sspon.02G0039740-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEVVVVVLASFAGGQSSLAPSPPLLHATQRNNSTHTGKQVNLGKQIKKKKIMASMEGLIGLMNRIQRACTALGDHGGGSDLPTLWESLPTIAVVGGQSSGKSSVLESIVGTDFLPRGSGIVTRRPLVLQLHQQTMDLRSTQSSYISRKQALVRQEIADETDRLTGKTKQISPVPIHLSIYSPKVVNLTLIDLPGLTKVAVEGQSESIVEDIENMVRSYVDKPNCIILAISPANQDIATSDAIKLSKEVDPTGERTFGVLTKLDLMDKGTNALDVLEGRAYRLQNPWVGIVNRSQADINRKVDMISAREKEREYFETSPDYAHLASRMGSEYLAKLLSQHLESVIKARIPSITATINKTIDELESELDIIGKGVAADPGAQLYTILDLCRAFDRVFKEHLDGGRSGGDRIYGVFDHKLPAAFKKLPFDRYLSVQNVKKVVSEADGYQPHLIAPEQGYRRLIEKGITYFRGPAEATVDAVHVVLKDLVRKSIGETEQLRRFPTLQAAIATAANEALERFREDGRSTALRLVDMEAAYVTVEFFRKLPQDPADPSSKVGTKPPVEPSPDRYGDGHFRNIASNVSQYIRMVGDELLQKIPKAAVHCQVREAKRSLLNHFYVQIGKKEGGEFGHMLDEDPAMMERRQQCLKRLELYKSARDEVDSVAWSSSR >Sspon.04G0005930-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:16182105:16183391:-1 gene:Sspon.04G0005930-3C transcript:Sspon.04G0005930-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEPPHLFLCPISMELMDDPVTVSTGVTYDRRSIERWIFGCGRATCPATMQPLANLELTPNHTLKRVIGSWLDDRGGSPSTSASSSPSTSSLSSPAHELATPLSRVLEAQRLRSALAGLEETPFKVTALKSMRACMAGDVAMQCEFVSSGGIQAVARVMAQSLAESGAGGDFSSFTACEEAAAVLALLPLADAASVGLLLAPECMRPVVALLQRGSAEARLHAMDIVTKISNAGAGDWTACVEVDDVLKSLLELLADEVSISTRLSSRALDVLLDVVERSRIGPTKAVEVGAVHVLVELLANADDRHDAERILLLLKRLCKCPEGRLAFAEHDLSVAAVAKTMLRVSELATQLAVKVLWLVSVVAPSEKVLEDMVLTGAVAKLLGLLHVESAPATKQKTVRMVRINGVFWRQYPCFPTDLRDHLRLLD >Sspon.05G0018810-1P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7B:74274545:74277199:1 gene:Sspon.05G0018810-1P transcript:Sspon.05G0018810-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFRSIVRDVRDGFGSLSRRGFEVKLLGHRRGRSHGAVHELRDPAPVIQSSCWANLPPELLRDVIERLEASEATWPNRKNVVSCAAVCRTWREICKEIVKNPEFSGKITFPVSLKQPGPRDVTIQCFIKRDKSTQTYYLYLCLSTAVLVESGKFLLCAKRTSRPTCTEYTIFMNSENLSRSSKMYIGKLRSNLLGTKFAIYDTQPPCNTSQPGKTSRRFYSRKVSPKVSSRTYNIAQVSYELNVLGTRGPRRMNCVMHSIPASCLEAGGTVPGQPDSILARSIDESFGSISFSKSSVVDHSMRFSSTRFSEISMSSHRIGDAALGDNDECKERPLILRNKAPRWHEQLQCWCLNFRGRVTVASVKNFQLVAATQPAAGAPTPSQATPVPPPEHEKVILQFGKVAKDMFTMDYRYPLSAFQAFAICLSSFDTKLACE >Sspon.01G0022710-3C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1C:53662359:53666451:1 gene:Sspon.01G0022710-3C transcript:Sspon.01G0022710-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQAIPVHPAPMAMTVAELEAAIAALPGKRDALREAFDRLAACSPSPLPFAWEDLDGHISSLQSSIALRFRQLRVLEAAGAAPVAAALGGTRGDGKGENPGEELEEEEEEEEEEVVEVEVEEEVAVDVEEEKKKADEEIQEADSDKICNDEKDGKEAREVEVEEEAAELEKADEEMHEANSNDEKDGKETREVEVEEVVAELEKKADEEMQEADYDKICNDEKDGKEAREEVVEEEEVEEAVNVKIGNEIKDEEEAREEEQASKVNEQGPDKEMQVVDNDKDGLNNKDALKASQDKEEEDQDTNMDETAAKMASSLQHKEVETCDKKQEQDAHEKVEGAKNVTDQVSSDRGNRAVPCRSDDRTVTCANMNAQRLVKLICTNTEFNSEFHAAARRAPDAAALALHVVELFLHNKKYVKTNKVWASCVGLFQMVPVVVTKPSADTIEQAKRVAKDWKEMIDNPESCNVLGSLASWGLLNFLISYNIVTEFDTKEIFHLFGSIPFKQKKKSAVLLLKGLGLVNRIPELMDCLIGNGQQMDVLGLARVFNMVNKYPPLSLLKGYIEKAKQTAMEIPQKNMTHTFQRAVIIKELEDLRRAHVLAKNEITDSNLCTSIREEINILIGELEKKKRSLANPLRASTSNSQQQQTKSKKKRKIEQKQEHHKGQMRGQLSGLAEKLEEKERKPQQEQQQKQEDKPEEKKQKQEDEAEEKEQKQEDKAEEKKRKQEDKPEEKQQQNKQKHEKRPRQRTPKVPTQGYPAMWNAALRGDLERPPYAAMHGVHHGYPAQPGWPGVHCASPFMPLLGAPEYIGSFTPLYPRPQFYPR >Sspon.08G0002100-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:6539294:6542800:1 gene:Sspon.08G0002100-1A transcript:Sspon.08G0002100-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVYTFVCRNSGGEWTAKQHSGEIEASAATPYALQRALVAAASAADSASGVQSSFSMVTPTSAVFQVIVGAVGGGAMMVSAGGGAAAASGGAAAEAPKEEKKEEEKEESDDDMGFSFDPRAVRIEEDFLALKPHIAPNATDCKTLKEKETLDVQTKTVTTHE >Sspon.01G0037130-1T-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:20210483:20212087:1 gene:Sspon.01G0037130-1T transcript:Sspon.01G0037130-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SHLNVDVPGLVSRRQREGGLRRPVVVAHREDVLPQVAAEAVGAVRVGLPARLQRPPLVQQAVDHVPRGQVAEVPVREAHLVAAVGVQRRPQHDAADGRVRVGLPQHLLQVRGLRLRVELVADVDLGEPDGQPQGGELVEYGPHQRDVGLGEVALQADPLQDGAAGPELLELVHVRVHGGERVVAGLDDVVLVHEERGQRVGVLRHAEELHGRVGPQALLVEVVVQHLVVDVPVRELAAVAGHEALDARVHGGAEVVRRQVLHPPGRRVLVLPEDVVAAHHHAVRPHERQHLVGDVEAEQPRHGLRGVPLELVLEHRRVEAVHEPLLVRLVPQDGGGDRRPDREVLGRLLHRHGRAHHAGALRVGDVDGRHTLPPLPDGILLVHRPLVCRERLQRPARDGDLHGGLVSILKEDDRGLRWPVQDEAALDESPAVAPGKNRGDAPCAGVVRRQPRSDQSRVRAFQLHP >Sspon.01G0055060-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:68879484:68882373:-1 gene:Sspon.01G0055060-2D transcript:Sspon.01G0055060-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding TVRIWQENENGSYNCVHTLKDHTAELVSASSPSHQVLGSKPSLCSISAGVGEASGQEGYTSAAFHPDGLILGTGTTDAVVKIWDVKTQSNVAKFEGHVGPVTAMSFSENGYFLATAAHDGVKLWDLRKLRNFRTFSPYDSDTPTNTVEFDFSGNYLAIGGSDIRVYQVANVKSEWNLIKTLPDLSGTGKVTSVKFGADAKYIAVGSMDRNLRIFGLPGDDQMEESNTAAE >Sspon.07G0004270-1P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7A:10589364:10590429:-1 gene:Sspon.07G0004270-1P transcript:Sspon.07G0004270-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALKAALDLRIPDAIHQHGGSATLPQIVTKVTLHSSKTPCLRRLMRVLTLTGVFSVHAGGDEPVYGLTPASRLLVSSGLNVTPFLTLMLGTAFVSSFFDLGEWFRHELPDPSPFKFAHGRHIWDLADHDASFGKLFDDGMVADSSFIMDIVVKECSDVFQGISSLVDVAGGLGGATQTIAKAFPHVECSVLDLPHVVANAPTDTNVKYITGDMFESIPSANAVFLKWILHDWGDAECVKILKNCKKAIASQGEGKVIILDMVVGAGSSDERHVETQFLFDLFMMFINGAERDELEWKKIIFEAGFSSYKIIPVLGVRSIIEVYP >Sspon.03G0013840-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:52408795:52415395:1 gene:Sspon.03G0013840-2B transcript:Sspon.03G0013840-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKFRSADGTSTPARSMNQAVSLREETSGRTHVDDASLLRVKHLQRLAAWAGAEAGVGPIGALLGRRLAASAEAAGVTLDAASFLCQRCETILKPGFNCTVRIRNKRNKAKRRKKSNCCQNSVSYACHFCGDQNLILGSGKGVLKSLLPSREHATMNLSHIIMRGNNSNTRTHDKKEVLELSQAAILQVELSSLLRQSTSGSDEHGERLKCNLDEQMHETDPISSEVKICEATSQAELPVGSSFVTPQKKKPLVATVPKDSAGLLKTGSSVISGSVTDTLSGCSKSASNDSRNNVKYAARDSSHVSGSSRKRARKGWTTLKQIAEKDELERKEKMGNFVIPFFMQ >Sspon.02G0036690-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2B:26211236:26211778:1 gene:Sspon.02G0036690-1B transcript:Sspon.02G0036690-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSGGGGVGFFWCDVRGGGTQYVRFARRGRWALGWHGEAGVNAASASSVHGYDTTDTPARRDAPCRAELHRAPQQVPRERWEYSLGELGGTALAGREEARGHGRGGAWGRTAAGGRVRAGDAPIGNRARAGAAASTWSCCYATVVDLWCVCLAVVLLPLTRCRRCTGRAPDGHITLYFFG >Sspon.04G0004700-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:14736538:14738548:-1 gene:Sspon.04G0004700-1T transcript:Sspon.04G0004700-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAKMLPSPWISASVLVLLLSLHPGVHAFYLPGTFMHTYSSGEVISAKVNSLTSIETELPFSYYSLPYCKPPDGVKKSAENLGEILMGDQIDNSPYRFQVNVNESVYLCTTDPLTKEQAELLKKRARDLYQVNMILDNLPVMRFTEQNGMTIQWTGFPVGYNPTGSNEDYIINHLKFRVLVHQYQAQGDVVVTSEDGVAMVESDRKSGFQIVGFEVVPCSVRRDPEAMSKLKMYDKADSVNCPLELEKSQVIRENERITFTYEVEYVKSNIKWPSRWDAYLKMDGAKVHWFSIMNSMMVVFFLAGIVFVIFLRTVRRDLTRYEEMDKEAQAQMNEELSGWKLVVGDVFREPSCPKLLCVMVADGIQITGMAVVTIVFAALGFLSPASRGMLLTGMIILYLFLGIIAGYVGVRVWRTIKGTSQGWKSVAWLTACFFPGIVFIILTVLNSILWGKKSTGALPISLFFTLLALWFCISVPLTLIGGLLGTRAASIDYPVRTNQIPREIPERKFPSWLLVLGAGTLPFGTLFIELFFILSSIWLGRFYYVFGFLFIVLFLLVIVCGLLCSGSVAFYVFLYSINYLVFDLRSLSGPVSATLYLGYSLIMALAIMLSTGAIGFLLSFYFVHYLFSSVKID >Sspon.05G0013600-4D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5D:47547814:47548760:-1 gene:Sspon.05G0013600-4D transcript:Sspon.05G0013600-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AEVPLPARVHVHGLPGGGDGALFRGAPAFSAALWRCTGYVPKRFGFRYCEFPSWADDNDGADALFALAREKPREMADDALIGIEPKKEKHYVRSLSCRGKKLDSDQQAMPLTKAKKREPDSWNDGCQQSEGCGIQDSIHDDGHTEANMASSDLQTAKTKKKMESSGEKKSCEQVLEALSKDGKKVPKKLEVSSGVQTTSSMMEQEVHSGFIGVEFALFGYSAFSTQKAWYYFKDQAHRNCL >Sspon.06G0018240-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6A:98460978:98461906:-1 gene:Sspon.06G0018240-1A transcript:Sspon.06G0018240-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPEYRNGGAISKKFDVFSLGVIIIKMLVGNTGYDRRHEMPPEQFIKFVTEKLKEKLQSAWGYSSSQETDILQLKTCVDIALRCVKDERNERPDIKDIVNELEMLEAQIKKMSIASSSNPAYYQSGVCQDIRQTEHRFHLYMTQWGVSTINSNEKFAVSLPGGFGSIAVDDFTIRDGPAANANLVGRARGMHVSNGIGDDHWLFCHSILFTDTRFNGSSLKMLGDFAYENDAEWAIVG >Sspon.03G0026560-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3B:3137322:3138155:1 gene:Sspon.03G0026560-1B transcript:Sspon.03G0026560-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEDKQAKMSGGAVKVIGFFDSPFSHRAEAALCLKGVPYELILEKDLRNKSELLLQHNPVHKKVPVLLHGDHRSVCESLVIVEYVDEAFQGPPLLPADPAGRAAARFWAHFIDDKVTVNPIRLTSQVSSGSFEVVNRDRSNGVHVPGRRQCSKAFWLAMWTEGEVQRGFVKEIKENLKLLEGQVKGKRFFGGDAVGYLDIAASAFAHWLPVCEEVAGVTLVTAEEYPDLCQWAREYTSHDAVKQCLPDREELLARFSARKDSFVATAKLMAPATAPE >Sspon.07G0005620-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7A:14415929:14416390:-1 gene:Sspon.07G0005620-1A transcript:Sspon.07G0005620-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYLHAEGGGKFIHGNIKSSNILISQELSACVTEFGLAQLMSTPHVHPRLIGYRSPEVLETRKPTQKSDVYSFGVLLLEMLTGKAPLRSPGRDDSIEHLPRWVQSVVREEWTSEVFDVDLLRHPNVEDEMVQMLHVAMACVAVVPDERPRMEEV >Sspon.04G0016920-5P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:70574043:70579374:-1 gene:Sspon.04G0016920-5P transcript:Sspon.04G0016920-5P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVYLSTPKTDKLSENGENDRLKFGLSSMQGWRATMEDAHSALLDLDSETALFGVFDGHGGRVVAKFCAKYLHGQVLKSEAYSAGDLGAAVHRAFFRMDEMMRGQRGWRELSALGDKINKFSGMIEGLIWSPRGSDSNSQQDDWASEEGPHSDFAGPTCGSTACVALIRNSQLVVANAGDSRCVISRGGKAYNLSRDHKPELAVERERIMKAGGFIHMGRVNGSLNLSRAIGDVELKQNKFLPPEKQIVTANPDINVVELCDDDDFVVVACDGIWDCMSSQQLVDFIHERINMESSLSAVCERVLDRCLAPSTIAGDGCDNMTMILVQFKKPVDRNKKAEVAGQSANNADEVKSRVAEE >Sspon.04G0013990-3D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4D:69723779:69724162:-1 gene:Sspon.04G0013990-3D transcript:Sspon.04G0013990-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPWFPAVPPALAAADGAGRINKKRAGLPRLLHKLFIKVLRLRPASAAVGEECCYYYSYGGAGSSSWAGVLSSIPEEDYGDDSSEEEEEGTPDVVVVPGPAVLRKAKSERFLVGPPDAATVVHVEVLV >Sspon.05G0009550-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:22482338:22494518:1 gene:Sspon.05G0009550-2B transcript:Sspon.05G0009550-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Poly(A)-specific ribonuclease PARN [Source:Projected from Arabidopsis thaliana (AT1G55870) UniProtKB/Swiss-Prot;Acc:Q9LG26] MQMQGRLRRVLRAPPPRILLKRAISSPPPGGDGDGGGGSGVAVKQVTRGNMAEALEKLRGRVREAAFVGVDLEMSGVTSAPWRDTFELDRADVRYLKLRDSAERFAALQLGVCPFRWDPAKSAFVAHPHNFYIFPRKELSRVSYLSRAQEEEALQKFNMLYHGETATSSTNSEEDRDIPLKSASDILFTERMKMNFKEWRDVIVSKPMVDNHLSGNIKCCAGQFQTVFFKMRPAIMLNGFSSHQLKLIQQVLRKNFKDLVFVCTFGEDDTSEKKVVYSDTDEDRILLMKDVQEDLLKNREESVKSAIGIRLVIDLLSSERKLIVGHNIAQVYSKFVGPLPSSIKEFALSFHKIFPHIADTRHLMSVNQAVQKLMKHKSKSLSSAFSLLCPSSYSSAEKPSSHSPVTIEVEGDETTSSCFISGAKHEAGYDAYMTGCIFAQLCAYLGIKFEQFSPLENLATNTKLQKHINFLSPSWNSGTVIDLSTGMERPEPGYVRRYPAAVYDNIIVIWGFPSKVRPKEIKDCICKVFGSGSVTTVFSIDSTAALVQFKKQESVNDFLDLKAVLERADSAISILHPLSTILEGGQTRAAKYDTYRDICNSSESKYLFADQAEAVCATSKNQLKENVDDNLISGVLDGVAFASVTEGDGIISGSKNQDAVDVTCCDILDALHDGKALLGRRM >Sspon.02G0009540-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:26784729:26786579:-1 gene:Sspon.02G0009540-1A transcript:Sspon.02G0009540-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MENAAANPSAAAAAAAAAAAAGNGVQASGAGGERPEDASKQNLAQVTSSIQKTLGLLHQLNLTVSSFNSASQLPLLQRLNALVAELDTMQKLAEGCNIQVPMEVVNLIDDGKNPDEFTRDVLNSCIAKNQITKGKTDAFKSLRKHLLEELEQAFPEDVEAYREIRATSAAG >Sspon.06G0000500-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6A:2348583:2351257:1 gene:Sspon.06G0000500-1A transcript:Sspon.06G0000500-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MWQCVQETKTRTFLSDVLCAGAPTEHEAPGTPASPVRSCGSPHTDRPSSDSSGDGALVSFIDDIKLPLEQPLMRSACLAAKSKFRATKPDDQARKVLLKKLGLEEVETEKPDEASFEVFQETFTTPRSLGTREAMEALFPGRGYAFEEIVIGSKTIRLSRWPFTRLFADPANFCQEKSSTEKDISPSLAGEAMSND >Sspon.05G0012600-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:39138888:39141371:-1 gene:Sspon.05G0012600-1A transcript:Sspon.05G0012600-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRKGVIPRRSRGEQTRNTEEDDRLCARRRCGGTAARSASGAGGRCDGRGRGSTGGRVCEAGRAGGHGAGMPGGRGAERAARRGGRAAEAWAGAGRFLLSIKSDVYSYGVLVLEIITGHKIYTFEGQDSEGLVEYHWTEKRASDVVDGDLGVEGQEHAARQALRCVHVALLCAANHGAGHRHAEQRRRGGGGAAGAVSARVRRPSTGGVRCPAVLWVPVAPPGDVRAMTGTYKR >Sspon.07G0036750-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7D:42049529:42050523:-1 gene:Sspon.07G0036750-1D transcript:Sspon.07G0036750-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GAVRKFAKSTSSQPIRLPTSLHFRFLSLPPAPLLSSGGRTTCWPRRRRRRRVRRSKEVAPIRHCKSVNDLNKVVLREVQGSSAEVSFCHSRESPGMSWCLPWCCSKPDFSVAALAFSLPYAAYVCFRWRADWVRDAPGVGRRWCCDQGALVLSRLLSATHAERKEGVKGDWWVKCVRGAGQRRMGSGRRRTGVGCAGVRTWAFVWTSGR >Sspon.06G0033930-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:28185103:28185678:-1 gene:Sspon.06G0033930-1D transcript:Sspon.06G0033930-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTAAQSGLPTMTSIATARRASTRRATAPATHVALSALQPRSWTTSPVHTTGLSPPRSGLPPPPTTGTTTMTANSVSLRYGFNFLVADCATADGTTNDGSYLFLLNVAQEPLGCCITVLCIHPQHTPPKEMQCELSYSCHVPVNSRRGGQKLIKHYQEYTFAVGCTDLSSGLPKPDEYERTVFSSHNKSI >Sspon.03G0025480-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3A:77802007:77803143:-1 gene:Sspon.03G0025480-1A transcript:Sspon.03G0025480-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIDFPPWAIKAVDKLRRGFLWRGRKDARGGHCLLAWEKVTRPPQLGGLGISDLKQLGWALRMRWVWLKKTEPHRPWAALPIQIPDQVNAFFSVAVVSEVGNGNHTLFWTDRWIHGQCIADLAPRLLEAIPKRRVKRRTVQEAITNRSWVLDIKGARTVGVIVDFLHLWEVLYNFELQPDVEDVHIWRLSNSGQYSAKSAYEGFFLGSTQFGPYQRIWRTWAPPKCRFFLWLVAHNRCWTADRLARRGLPHPEQCPLCDQEDETINHLMVSCVFARQFWYHLLRQVDLHSLSPQPSDTSFESWWEKAIGATSGLMQKGLNSLIVLGAWTLWNHRNRCVFDGIVPSLGAALTLAGEERRLWSLAGAKGLSSLTAPLLGG >Sspon.03G0008990-4D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7D:5281092:5284677:1 gene:Sspon.03G0008990-4D transcript:Sspon.03G0008990-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GVARPPAASAARARRDGGGSQRRAAPGAREEARAAAAGARPRGAGRVPGRPREGRRAPPRVHPVAAGVPPRAPRRRGGRVRVRHAALVGGLHRHGPVLRALPRGHPRAAVRAGGRHRQRPHLGKVPRRRRRRRNRRWVAGARVPHRRVQVVGAHRVLLRHVHLLRALLPRQLLVAGQPLRLRLPVSTQYLPRLTPDALQPLPCFALTPIDRLVPLDPLARTRAPHSTGSRPRHATACLVAGSGSGGDTAGRAGFAAPTPQAGSGSSKCWLVGGWVGISRAPLLAQLFFTAVLLLPVSPRHGRARHSIASLG >Sspon.01G0022200-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:81439542:81443265:1 gene:Sspon.01G0022200-1A transcript:Sspon.01G0022200-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHDFCFTIPYGFAVLAGGVLGYLRRGSTASLAGGAGAGALLLLAGFVSLKAFEKRRNSYVALALQTSACDLIMFSTAGFGPLGSGLFGWSHEEICLLYGLGGWKPGPFLLTVLLQTYGKRFPIVERSS >Sspon.01G0003710-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:10416020:10423847:-1 gene:Sspon.01G0003710-1A transcript:Sspon.01G0003710-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RLIRSSRSKSIRIEYNQAYMAMVPSDSSHHGVVDNSPNGCTQARCDEARKLGPSWYFSRKELEENSPSRRDGIDFKKESNLRKLYCKFLQELGIALKMPQVTIATAMVFCHRFYLRQSLAKNDRRIIATVCLFLAGKVEETPKPLRDVILVSYGMIHKNDPKASQRIKQQKEIYDKQKELILLGERVVLVTLGFDLNIHHAYRPLVEAIRRFNIDNKSPLAQVAWNFVNDGLRTSLCLQFQPHHIAAGAIRLAAKFLKVKLPSDGDKHWWQDFDVTCRQLEEISSQLLEMYEQNRTTQAQSSQGSEAEGSSAGVCNQRSSVKSEANSKEPSAHGYLQASRSQNLQHSSSTSASGHHDDGHSNSDKHISGHKMLQNDNANHGGSKEKNKSGIKSDTGMDRLHHDKKFSPGHRYPVEEHQRHRSDDNSNETRDGVGGIEAPVVSTSRMDAMNKIDKDKVKAALEKRRKSKGGFATKVNLIDDDDLLERELEQGVELAVEVEKIKQDKKQNLSDGSKHPPDLQNTDQVMENGHHVKQSLPTTAEDMGCLMDSKHPSPFDKQNDVPEHKSQQLDDTLKHQKGYDHPQLVGSPEQDGKDYKRPKLDGAAPEQILTH >Sspon.08G0009290-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:40664694:40666704:1 gene:Sspon.08G0009290-1A transcript:Sspon.08G0009290-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFAPSKFQTNTKLADWKHIAQFILGHTNGNKLPVVHRLSPCSPLAGGGARKHGKPSLHEILHHDSLRLRYLSQVQAATASAAAAPAPSPSADTPASRLSVPATQNVVASLPGVFEYTVLAGYGTPAQQLPLFFDVSGMSNMRCKPCFSGTGGEPCDVAFDPSKSSSFRSVPCGSPDCGSSCSTGGSCTFTFQNSTFVFGNGTLVMDTLTLSPSATFENFAVGCLQLDNGLFTDDVAVGNIDLSLSRHSLATRVLNSSPPGTAAFSYCLPADTDTHGFLTIAPALSDYSGHAGVKYVPLVTNPTGPNFYYVDLVAIAINGKDLPFPPALFRGNGTLIDSQAAFTYLNPPIYAALRDEFRKAMVQYPPAPAFSDLDTCYNFTLTEYIYLPNITFRFGNGETMDLDDRQFMYFFREHLTDGFPFGCLAFAAAPDENFPWNFIGTQVQRTKEIVYDVRGGKVAFVPSRCGLR >Sspon.03G0007290-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:26796941:26801356:-1 gene:Sspon.03G0007290-2B transcript:Sspon.03G0007290-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNLLPLMSHLLRLMYTELASISSMSRLRALWQASFNATKRALVWSSDDLIPPSERCIFNFNSKDELKRWHLYSDSEYGGVFSGKLSLDMSEESTWRIRRYGFCGMRSKKFDGFIDLDAYDTIAMKIKGDGRCYISTIYTENWVNSPGQQEDNSWQAFVYIPQGRWQVLKLNKSGLKPVTVSNGNFYTRLSLMERS >Sspon.08G0019080-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8B:10149429:10149599:-1 gene:Sspon.08G0019080-1B transcript:Sspon.08G0019080-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGQGHPIGEYSPQIRAQLAPEKTTNEDVPARTSNARVKSMRKRPPGCNIPDVKNN >Sspon.07G0016420-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7B:62432422:62432871:-1 gene:Sspon.07G0016420-2B transcript:Sspon.07G0016420-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMVIVLAVCLALSAASASALQMPWAGLQGFSPLTTTMGAGWLYPCAEYLRQPQCSPVAAPFYALREQTMWQPNFICQPLRQQCCQQMRMMDMQSRCQAMCGVVQSVVQQLQMMMQLQGTAAASSLYQPALMQQWQQLLPAAQALTPMAM >Sspon.06G0012240-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:53150168:53154392:-1 gene:Sspon.06G0012240-2B transcript:Sspon.06G0012240-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASATATGAAAAPAFALTSSLSRRGFLPLPRRAGRPVPQSLRLVASAVRRRRGAVVVAADAAAAAGSAEFGDEENPYELLGIRPLDSFDHMKMAYKKKRKDAEETGDDEFLAKLDKAYDTVMMQQLQYRKKGVTYGSVQVSKDIKYADNQPMVPWGPRFSRSTVKDMRINMAISAAFVVWIAIMGNADWKPLQFLCFAFFYRILQKLRATEPAITPIYNEYGEVEGRGIRMAKRVVRALGLIFGCVFTASLGYTAAINLIELSMQYTPRIVYYYQELIVTAAASVLLYITASYYR >Sspon.02G0000470-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:23120188:23123344:1 gene:Sspon.02G0000470-2B transcript:Sspon.02G0000470-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPPLLLRILLPLASLSWLLLLLARGSAATGVLGGIGADEDEWSRQPAAAHLILGDSRNVQARRLLQSSKNEHPQPPRQAPPPNPHQKKPKTNERPHRPKHHQHKMHAPSPSPFSSAPKALPSPSASAPAISSSGSHHPLAAPPRPLSPSPSISPPHKHPSRKYSLVAAGSAVFVVMAAASVMYCRVKKVGTVRPWATGLSGQLQRAFVTGVPALKRSELEAACEDFSNIVGSTPSCMLYKGTLSSGVEISVVSSSVTSVKDWSKECEYHYRKKITSLSKVSHKNFMNLLGYCEEDTPFTRAMVFEYAPNGTLFEHLHVREADNLDWATRLRISMGIAYCLEHMHQLNPPVVPRNFDSSTIYLTDDFAAKVSDPDFWSDTATATATNTKGSDSSSTTDDEFSSVSDIDVMVHQYGMLLLEILTGKVAYSEEEDGVSLEQLASRYFDGNMPLAELIDPSLGSFPQEAAHAMCEVARSCVDPDPKKRPRMVQVAARMKEITALGPEGATPKVSPLWWAELEIMSSEAS >Sspon.03G0031390-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:27361396:27363765:-1 gene:Sspon.03G0031390-1B transcript:Sspon.03G0031390-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEAEKEATEEYESDLDDGPLSAARRRGAASDDDDEGGGGSRRGSPPPSTVLGSESDDSGGQGAAELYDDEEVYGTEEEDEDVEYEDVREESEAGVGGGETGVVNGEVSLAADEGKSPILTGATGVQEGAISPPYFGSNCLQHSELASSLASPSDHGVMKDPISLTSQDPMSLTDQKIPAEVKGHELVNLQNRTHRTVVELTAS >Sspon.03G0010600-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:35852684:35855407:-1 gene:Sspon.03G0010600-1P transcript:Sspon.03G0010600-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKKPQQESGSAEIAGEMAREAASKATASRGSGAEAEAAAGEYWSEALKSFLDHIPVSSVPGALQPTASPAVEVKLDGSVLGALDAMYSSNAAGAVIVDVVQSSFGKYVDRDIGFVEFSSLVLWALEELGKVEGEPTDSTSDFLSSLKQHNQIAETKIAWLAKMSLWEPFFPVRTHDTLFHAMLLFSKHHRLNVAPVVESMNSSVIGFVTQNAVMELLLQSSGLEWLDKIADKQLSEFRFAYVRKPVLVYSDQTLADGLHILSKEKMGVAVIDRKTSCLIGSIQCSDLYLFLDDSSLFSRRTTTTLEELINLNNKADSKCSTAENSSAPAQNILALRNRQPSVAGLSATNLKSDTLKQAMEKLTASRSSCSFIIDEQGHVEGVVTTRDIISVFSPPCMDSRIDGGTFFSAALEQAGCRVENGQMIQNS >Sspon.04G0030400-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:868662:871137:-1 gene:Sspon.04G0030400-1C transcript:Sspon.04G0030400-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAHEALSVTRPRKRTFTQHGGSTSTDLLQALEDYLPVLLGLVKEDNKRASVEIFLKASGFLECAIQHVLPRISPENRKGLPVDLSEGVLKAICMQALGQAIDVQLGLAIDSPKATLAVKRRLACEMVKCWQQAHESMADLPLTDSWGEKHRLFVTWKYIEAKAAAYYYHGLILDEGNTEKYHKMAVAALQSAEEFLKESKDAAEAFHAAPPVSRQWQCMHPYPCISYLCVSFVFG >Sspon.03G0016580-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:52468642:52471371:1 gene:Sspon.03G0016580-1A transcript:Sspon.03G0016580-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGGGGGLGGFRWTAEEASTIGGIATVSLLHSFIPTHWLPFSIVARAQRWPLSRTLLDIVILQMMPFFLYVCTKKRLLTSAAAFGGVLHVVSTALLGITAVTMANTIAGEETVHKLASLLLIFLGASYILLFALGKGGHSHAHNHPMEKMAVAGLVLVPALSPCATTLPVFLAVGNSSSMMILAIIVLLFSTITVMTSLVALSFYGASQIKFHWVERYDKILVGTVLCLVGVLTYVFHHHDGDEHSLHEHVHRKLVSL >Sspon.02G0009140-3P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:10269558:10271449:-1 gene:Sspon.02G0009140-3P transcript:Sspon.02G0009140-3P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRARLPGSPASIAVLILSFFQGSVCGITFTFTNRCGDTVWPGLLSGSGTPPLETTGFALSPGQSRSLYAPQGWSGRFWGRSGCAFDGSGKGSCATGDCGSGEVECRGAGASPPATLAEFTLDGAGGKDFYDVSLVDGYNLPMLVQAAAPDCPDTGCLVDLNERCPDELRADDGRACRSACEAFGSPEYCCNGAYGNPNTCHPSQYSQLFKSACPKSYSYAYDDATSTFTCNHTDYTITFCPKSTPTSDKSKHSPRRPSHEQLEDSVWLASLKASDASALKITSWWSASIVLRSALAIAVVTLLVAQLAPYHPMV >Sspon.01G0023080-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:80942331:80942815:-1 gene:Sspon.01G0023080-2D transcript:Sspon.01G0023080-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLALCRSCSVRRHRLRSLRLRSLRLLPPVSSTADPRHRPLGRSSVFTQVGPWHIHIGCNRCTSIRQGASDRVQIQDARRGGIVELARKAAASARTEWAATTWPVQKLPWHATAQEQVLRRDLEHNLWCSLRSLPPMSSIVAPPALSPSATGPESVHPSSP >Sspon.05G0028840-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5B:72907857:72908919:-1 gene:Sspon.05G0028840-1B transcript:Sspon.05G0028840-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNGEGPPLTFEGDDFPYWKIRMEAYLEAIDVGCLRATTEGLPEIKDPANPVGDEEKYDRWNAKAKNALYQGLGKDIFNRVRNAKNAHELWENICALHEGTKSEREEHYHIALKKINSFEMLPKESANDMYTRLNVLIEDLNALGLTQMSPSDVARRILSASLCRRLIAKTLHAGHHSRRLALDSYGVRLTTMASRNRCFGVRFAPNRAWRTPYTGPILGGKQECAERPGVREKLACAQREMRGRNIAQREEHAGDLEGETTAAVDLRALPREEGEAEEREREREEGEAEGRREEQSSASGEGSRAGHPERGGGKLSSHSCNRGVMRRRNSSGERRR >Sspon.03G0006320-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:24651929:24656513:-1 gene:Sspon.03G0006320-2B transcript:Sspon.03G0006320-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARLLRGLRSSASRAHIHSLSHASSPSALGSSLLRRLYSSAAASTAASPAFTPGGVMDPSRIRNVAVIAHVDHGKTTLMDRLLRQCGADIPHERAMDSISLERERGITIASKVTSVSWKENELNMVDTPGHADFGGEVERVVGMVEGAVLVVDAGEGPLAQTKFVLSKALKYGLRPILLLNKVDRPSVSEETCNEVESLVFDLFANLGATEEQLDFPVLYASAKEGWASLTFTKSPPDNAKNMSSLLDSIVQHVPSPKADLEAPFQMLVSMMERDFYLGRILTGRVRSGVVRVGDKVHGLRSTDDGVQKIEDGKVVKLMKKKGTSMVTVEAAGAGDIISMAGLAAPAIGHTVANSE >Sspon.07G0013310-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:48019133:48020296:-1 gene:Sspon.07G0013310-1A transcript:Sspon.07G0013310-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAAGSPVVALGDDLLREVFIRLPASVDLLRAAAACKPFLRAARSAPFLRRFRRRHPSSCPRLLGCLILFSNRRGGKSHFVPISASSSSSSAAAAADGGDFALSFLPGGGGQGASTWKHLDYRNGRLLLENLGSHELAIADPISRRCVSLPAPPAGRAVGYGLFSDDGDSSEFRVVCVSRDAASPELRALVLASGELSWADVAGIACQPDVAAGSRVMQANRSLYWRLEGGERMVAFSTASMELSVLNLPPALRELRIDAVDRGEEEDVNVLHLLTMIGFRVEVWAGTADGDGGMVWRRVEKSMRFHKALTEMIDPLFQSYRRNEVDVIGVAADLVFFRQWNHLVSVDLETMKLKKLPNVEPMGALTYPYTIAWPPSFLNPAGQGG >Sspon.01G0012330-4D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:36709931:36710766:1 gene:Sspon.01G0012330-4D transcript:Sspon.01G0012330-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RVTIDNTSCPNATVIHVDSANKYGVLLEVVQVLTDLKLIVKKAYISSDGGWFMDVFNVTNQSGHKIMDESVLESIKDYIYKSIGPDSCFLPSRRRAIGVEPSSDYTLIELTGTDRPGLLSEVSAVLTNLECNVVNAELWTHNERAAAVMQSLIGSLGWQFLMHKGLAESKSGFAMCSKGGVETPRQRSQWA >Sspon.07G0012530-1T-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7B:50874771:50878330:-1 gene:Sspon.07G0012530-1T transcript:Sspon.07G0012530-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDETVVAIAGDGDDSDRRRPLLAPTEEIHPYTDPPSPQHPPLDAAAAQPDEQRKPERVASLDVFRGFTVAMMILVDDAGGAWPGINHAPWFGVTVADFVMPAFLFVIGVSAALVFKKMPNKTAATKKAAIRASKLFILGVILQGGYIHGRHKLTYGVDLDHIRLLGVLQRIAIGYFVAAMSEIWLVNNNLVDSPVSFVKKYFMEWFMAIAITVLYVALVFGLYVSNWEFEIQTSNSTLSIPSNSIETKMIHCGVRGSLGPPCNAVGLVDRVLLGENHLYKNPVYKRTKECSINSPDYGPLPPNAPDWCLAPFDPEGLLR >Sspon.05G0012550-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:31805919:31808011:-1 gene:Sspon.05G0012550-2C transcript:Sspon.05G0012550-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LPVIGHLHLLKKPLHRTLYALAAQHGPVLLLRFGSRRVVHVADPAVAEECFTTHDVTFANRPRLPSARYLSNDYTTLGSSSYGPNWRNLRHIATVDVFSSHRVLRSVDVRSSEVRDMARRLFKAAAAAGADASRPVRCDVKARAFELALNTVARVVARERYYGDGAAVASSEEAERFRGMVREYFAMHGASNLQDFVPVLALVDVRGVNRRAIRLSKARNEWAQRLIDEHRAAAAAGTELGKTMVGDLLEMQASDPEAYSDKVIRALCLSILQAGTDTSSATIEWGMAQLLNHPAAMAKAQAEIDEAIGTARILEEVDLPNLPYLRCIVTETLRLHPVGPLLAPHESASDCSVAGYDVPAGTMLLVNVHAMHRDPRVWEEPERFSPERFEGGKSDGKWMLPFGMGRRRCPGEGLAVKMVGLALGTLLQCFEWRRIGDEEIDMAEASGLTMPKSVPLEAFCWP >Sspon.05G0013100-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:16117888:16119944:-1 gene:Sspon.05G0013100-2B transcript:Sspon.05G0013100-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAPCCEKMGLKKGPWTPEEDKILVAHIQSFGHSNWRALPKQAGLLRCGKSCRLRWINYLRPDIKRGNFSKEEEDAIISLHEQLGNRWSAIAAKLPGRTDNEIKNVWHTHLKKRLEPTNKPEQRGAKAAGAGKKHRPKRGGAKKATVPATTAPVSPERSAASSSVTESSSMTEQEQEQGNTGSSPGFPKEESFTSSSDAEEFQFDDTFWSETLSMPLESFDVPMEPSDALGASSVGADGDMDYWLRVFMESGDVHQELPQI >Sspon.01G0022040-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:78470011:78472141:-1 gene:Sspon.01G0022040-2D transcript:Sspon.01G0022040-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEVLPRSTWRKKPSQKGKLKFKLLNPLKVFTPKPPLTARKASVRKVRKKKTETGDGAVKNPVRRRLNLEEEAGDGGNPLLVRRRLDLDDAKIGSGAPLDCFSRATLMDNLRSLAKLTLGDDVKKPPRTSASPKIDLRSYSRAQLMENLRSLAKQNTLLLPLADVDTSTADGQGTTKGKKKKAVLTRRVAKLVLKPYKSKQKADAVSDEDEDAIVADDDDPIAMALAVRNESAGTELMYVPHWGFESVVLPDAKTLRQLVRITPTIEAAYNELVRSDETCLGDDLDGVPEGPELEEERQRLQVLVDKFMGPARAIIGKREFSNWKGSVLTNVVGTFLTQNVTDVTSSNVFMNIAAKFSSAKNGSNASQSTNVPLITDCDSAVSKRRDNKHINQLIASLRTGEILNWDKDKEHIKEVLEERFEDSTAKKILQDIKSFLENDTSHWKYLLEEAYKNGYRKEETDETIDWEALLHASFGEIEECIKDRGTHCLMAFRILVQFG >Sspon.03G0026910-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:4118730:4119673:-1 gene:Sspon.03G0026910-1B transcript:Sspon.03G0026910-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFQPSEQGESACATADVVQLYQSNGINQIRIYFPDTNALNALSGSNIGVIMDVPNSDLSSLASDPSAAATWVQSNVQAFPGVNFKYIAVGNEVSGGDTNSILPAMQNVNSALANANLGSIKVSTAVQSGVTQGFPPLQGSFSQGYMGPIAQYLQSTGAPLLCNVYPYFSYTGNEAQMDLSYALFTLPGTVLQDGGNTYQNLFDALVDTFVSALENAGAGNVGIVNQNLINHVGQGTPKRPGAIETYIFAMFNKDQKTGAETERHFGLFNPNKSLAYLINFS >Sspon.07G0023360-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7B:15354667:15356634:1 gene:Sspon.07G0023360-1B transcript:Sspon.07G0023360-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFGLKNAGATYQRVFQTCLGEQIGDNAKAYIDDVVVKTKNPDMLVEDLKQTFKNLNKWKWKLNLNRCVFGVPSGQLLGFLVSNRGIEASTKQIQAITKMGPPVLCKRCAKTNRKHGSPQSLHLMTRQKRTPFLQAIKKDRSWTQDFIDYIRENKLPSNKEEATRIIRRSKNYILVGENLYRRATSSGVLLKCISREKEKEKEIRDEIHSGCCGNHATSRTLVGKTFCTSFYWPTTLKDAELVRQCKGCQMFARQVYVPAHDLICIPPAWPFACWGLDQVGPLKKAKGGFEYIFVAMFTKWIEYKSLVKYSAAKAVNFI >Sspon.05G0016810-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:69627522:69630493:-1 gene:Sspon.05G0016810-1A transcript:Sspon.05G0016810-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding HGRAPASSNAEHLGDPPRRPLAFDTRPRPVQGRPRQHVPRLQGVAPGRPPHQERGRAARPPLPWLLLPSRFRNDPAFRAACVLSGCCVHPHHNHLSILPLPPGARFVGSYDGAWIFLHYGGQMRTHGLLNLRTGQSLAIPSSFLRWDDLYLNGMFILAATLSSSPDDPNCIGAAIVVAWRDPDPYAAIAAPLPPLRRFLAFWRMGWAVASEMVLGGAAANHPGVYHVEDVVHHDGAFNVLVNYGDHILVCTQAAPVHQGTCRWMKSELLRFRPGERIHGQFVRARHIVASRGELLKVMRWKPRRDQPTSMFKVFRATKRPQMPDDADFPVAEFPWAWSELDTLDGRILFVGYGCSRSYDADQYPGFEAGIYFLDDGKFYDEGVFFRDHNLRPYPCRDNGKLGDSI >Sspon.02G0017990-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:49796161:49797468:1 gene:Sspon.02G0017990-3C transcript:Sspon.02G0017990-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GSSAVPCDIYAGAHRHRRRRRSATGDVRVRVVDTGRGQQQLPAGAAVGRANSPYNGVDFPGTIPTGRFSNGYNIADYVAKNMGFACSPPPYLSLAQSSSGPLVQTALTSGINYASGGAGILDSTNAGSTIPLSKEVTYFGATKAKMVAAVGSNAVNPLISRSIFLIGMGNNDLYVFAASERARNRSDADQRSDAAAALYASLISNYSAAVTELYSLGARKFAVINVWLLGCVPGERVLSPTGACSGILNEVVAGFNKALGSLLVDLAARLPGLVYSLGDSFGFTEDVLANPGASGYTDVASTCCGGGRLGAEAWCTRNSSLCINRDQHVFWDRVHPSQRTAFLIARAFYDGPSKYTTPINFMQLAQSS >Sspon.02G0000760-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:3089042:3090078:1 gene:Sspon.02G0000760-3C transcript:Sspon.02G0000760-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLVNYVAAHGEGRWNALARCAGLRRTGKSCRLRWLNYLRPDLRRGNITAQEQLLILELHSRWGNRWSKIAQHLPGRTDNEIKNYWRTRVQKHARQLNCDVNSQQFKDLMRYIWMPRLLERIGSGDGSDDSGDGAVVIDAVAHDDATMTPTPTPPPLLTAAAWRVDDVELSCTTAVSSSSVSTDSMHHHHQQLVAVSTPPPPAVASAAFGESNNDASYYGVDNSNAAMSVWDSLCQPPHSTTAQLAGAGSCSGWSDESLLVVPSGLPGGGGVYADMGFPDLCDPDTMWTAGVSGADDLWYTQIMGL >Sspon.04G0014770-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:58046628:58048038:-1 gene:Sspon.04G0014770-1T transcript:Sspon.04G0014770-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGAAMVHPSEVAAKVQVGKICLNLFAGINGVLYYTPQILEQAGVAVLLSNLGLSSASASILISSLTTLLMLPSIGLAMRLMDLSGRRFLLLGTIPILIASLVILVVSNVIDLGTVAHAALSTVSVIIYFCCFVMGFGPIPNILCAEIFPTRVRGLCIAICALTFWIGDIIVTYSLPVMLNAIGLAGVFGIYAVVCLIAFVFVFLKVPETKGMPLEVITEFFAVGAKQAAAKA >Sspon.03G0017590-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:42160233:42178066:1 gene:Sspon.03G0017590-3D transcript:Sspon.03G0017590-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LEASSTTLDLDSTHRFCSSDFRVPVPPPGLQAAARGREKSRRREKQQRRRKKQIKATTTPPGTGSCREPRPRRGSRGEEKGKPNPSTHPSIPPRKPPQLSQSPSPSPQTLPPAPSSRAHGPRLASAATSAPAPAAPAPVAAAPAAAAAAAAAAVCRASSPGMAARLGREGCRSGRGRGIREDTLRNFVFNVLAAVLILEFLSGINLIVQTSALEVVAPAMPPFQGWRPFHSLASQAEVLVSVSAQPADGHQRQKKLYSSAVAMSSAHPPISAPSYGSMPGALDLAIYSSDLSHTPVQHTRRLATAAPAHIDADPPDAASNSSAAPSGLVQPPVSPHNGCCAPNMVQKRGTQDCHCVYPVRIELFLRNVSLTSNWSNEFLQELASQLNLRVNQFEIVNFYVVGASGLNITMDIAPHTGISFAADQVKAMNYSLTLHTVRIDPVLVGDYNLLNLTWFRPLAPAPAPAFTIAPRASPSTVSNLPRPSVGPSNNGHPSLITVIIICVGSLIGVLLIVLIICFCTIRKGKKRVPRVETPKQRTPDAVSAVESLPRPTSTRFLSYEELKVATNNFEPSSVLGEGGFGRVFKGVLSDGTAVAIKKLTNGGHQGDKEFLVEVEMLSRLHHRNLVKLIGYYSSRESSQNLLCYELVPNGSLEAWLHGALGASCPLDWDTRMRIALDAARGLAYLHEDSQPCVIHRDFKASNILLENDFHAKVSDFGLAKQAPEGRTNYLSTRVMGTFGYVAPEYAMTGHLLVKSDVYSYGVVLLELLTGKRPVDMSQPSGQENLVTWARPILRDQDRLEELADPRLGGQYPKDDFVRVCTIAAACVSPEANQRPTMGEVVQSLKMVQRSVESQESMPTPPARPNIRQSLTTYESDGTSSIFSSGPFSGLSPFETETIPRTAVFSEDLHEGGAAALGIVAAICVVWYHEKKNREAASAGGSHTSGWLPLYHSHTSNKSSGHLPANLAGMCRHFSFADIKVATKNFSESLVIGVGGFGKRNGTLPDVVDPAIKDQIAPECFKKVADTAEKCLAEQSIDRPSMGDVLWNLEFALQLQDTFEGGSCGRRTVGDGSGSGTGRPALEPSNSNGSTASVTTLGTSSTSRAHEACVIMEETDDEVANSAAFSQLVCPTGRIQLTKTECGSQQQWTSKPDYSKQRTPDAVSAVESLPRPTSTRFLSYEELKVATNNFEPSSVLGEGVLSDGTAVAIKKLTNGGHQGDKEFLVEVEMLSRLHHRNLVKLIGYYSSRESSQNLLCYELVPNGSLEAWLHEYAMTGHLLVKSDVYSYGVVLLELLTGKRPVDMSQPSGQENLVTWARPILRDQDRLEELADPRLGGQYPKDDFVRVCTIAAACVSPEANQRPTMGEVVQSLKMVQRSVESQESMPTPPARPNIRQSLTTYESDGTSSIFSSGPFSGLSPFETETIPRTAVFSEDLHEGR >Sspon.01G0018360-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:73571737:73572779:-1 gene:Sspon.01G0018360-2B transcript:Sspon.01G0018360-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:1,2-dihydroxy-3-keto-5-methylthiopentene dioxygenase 4 [Source:Projected from Arabidopsis thaliana (AT5G43850) UniProtKB/Swiss-Prot;Acc:Q8H185] VGVLYWHLDPKKSESEEELAKIRKDRGYSEELENYEEKVKNFFREHMHPDEEIRYCLEGSGYFDVRDKDDKWIQIWIMEGDMIILPAGIYLRFTLDSTKYSKLMRLFIGEPVWTALNRPQEDHPARQEYVKNVSAGTGFALAAH >Sspon.04G0021080-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4B:75994570:75997839:-1 gene:Sspon.04G0021080-2B transcript:Sspon.04G0021080-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHQVELEDPVENIGAKLVRQAAAKTNDLAGDGTTTSVVLAQGMITEGVKVVAAGANPVQITRGIEKTAKALVSELQKMSKEVEDSELADVAAVSAGNNYEIGNMIAEAMKKVGRQGVVTLEEGKSAENNLYVVEGMQFDRGYISPYFVTDSEKMTVEYENCKLLLVDKKINNARDLITILEDAIRSGYPILIVAEDIEQEALATLVVNRLRGALKIAAIKAPGFGERKSQYLDDIATLTGGTVIREEVGLSLDKADNQVLGTAAKVVVTKDSTTIVGDGTTQEEVNKRVTQIKNQIEATEQEYEKEKLNERIAKLSGGVAVIQVGAQTETELKEKKLRVEDALNATKAAVEEGIVVGGGCTLLRLASKVDAIIETLENDEQKVGAEIVRKSLSYPLKLIAKNAGVNGSVVTEKVLANENFRYGYNAATGKYEDLMAAGIIDPTKVVRCCLEHAASVAKTFITSDAVVVDIKVPEQAPAPANPMGGGSGFGF >Sspon.01G0015940-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:47600128:47602762:-1 gene:Sspon.01G0015940-1A transcript:Sspon.01G0015940-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKFWREFMSSYPEDAESLDSLLFHISTLRAATCNFAESNRLGEGGFGAVYKGVLLDGQEIAVKRLSQTSDQGIQELKNELVLVAKLQHKNLVRLVGVCLQEHEKLLVYEYMPNRSIDTILFDPEKRKELDWGKRFKLINGIARGLQYLHEDSQLKIIHRDLKASNVLLDSDYTPKISDFGLARLFGRDQSQEVTNRVRLYGTRICHAWPLFYEVRCGILMLEIISGRRSNTSFDIEHHVDLLSLVWKHWTTGTVDEILDSSLRGDAPGRQILKCVHIGLLCVQSNPDDRPMMSTVNVMLSSSNVSLSAPLKPVFFIPQSGVYSAIDSETHPTASQSIGRSGALSRNEVSVTELEPR >Sspon.01G0031930-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:109503370:109505526:-1 gene:Sspon.01G0031930-3D transcript:Sspon.01G0031930-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSTFATSCTLLGNVRTQASQAAVKSPSSLSFFSQVMKVPSLKTSKKLDVSAMAVYKVKLVTPEGQEHEFDAPDDTYILDAAETAGVELPYSCRAGACSTCAGKIESGAVDQSDGSFLDDGQQEEGYVLTCVSYPKSDCVIHTHKEGDLY >Sspon.02G0011890-3C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2C:35782706:35783177:-1 gene:Sspon.02G0011890-3C transcript:Sspon.02G0011890-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RFLKARKFDSEKAMQMWSEMLRWRKEFGADTILEVMRDFRFTVLFQKLSNLYESWFLPVMDNRDSFCQDFEFDELDDVLQYYPQGYHGVDREGRPVYIERLGKVDPNKLMQITTVDRYIKYHVQEFERAFRERFPACTLAAKRHIDSTTTILDVHGV >Sspon.07G0000740-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:1179432:1184742:1 gene:Sspon.07G0000740-2B transcript:Sspon.07G0000740-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCDGAVKDQDQETAAASTASAASVVAASVASHAPVVVVVSARPSARSSPHDKRLGVRHPLKHRRFRAGGKMMVEPGGVPPAHAVPEGEEQEEEEEEEEGASEVEEEELVDAEAEQTSSAVTAMQGAEVEVSSAPAAGVQEMEVEVEVEVEVEGGEMEVSPEPAVAVGGTELEPHPDEEDEVSSVAVARGEGKQEATAPPPPASAVLAVEAPRDMDQDGERAEKERRDKERERQKERERVDEVGYMSGGWKSVDGTLNCGYSSFRGKRASMEDFYDIKSSKINDKQINLFGIFDGHGGSRAAEYLKEHLFENLMKHPEFMTNTKLAISETYRKTDSEFLDAEKNTHRDDGSTASTAVMVGDHLYVANVGDSRAVISKAGKAIALSEDHKPNRSDERKRIESAGGIVMWAGTWRVGGVLAMSRAFGNRLLKQFVIADPEIQEQEINDELEFLIIASDGLWDVVPNEDAVSLVKMEEEPEAAARKLTETAFSRGSGDNITCIVVKFQHDKPGIGSGGGHSPSSPPGDKS >Sspon.01G0009870-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:27681691:27685745:-1 gene:Sspon.01G0009870-2C transcript:Sspon.01G0009870-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPARSLLLRHLRLAVAPSAASVRPTASLQEALWGRRWMSSEDAKGWQIVKCPLSWTRARSLNASSRSSRASRRSKTLPSLDAVEVVMALEEEFRFEIPDNEADKIDSVKVAVDFIASHPQAK >Sspon.03G0030780-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:22421204:22427635:-1 gene:Sspon.03G0030780-1B transcript:Sspon.03G0030780-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRKMSAAAPPSRSWSNVGESVIPAMLQYLKRLATYILSKGGFFFLLIALLVASGILLAVSDGQNKKLVQEFLNYAKFVLWWVSLGSGLHTFVLYLGPHIALFTIKAVQCGRIDLKMAPYDTIQLKVGPSWLDKKCSEFGPPEYPASAHSVRIPVFDLLPQIQLEAVLWGIGTALGELPPYFISRAARLSGSESKAVKELDAATSKEDGPVASTLNRTKRWLLSHSQHLNFFSILILASAPNPLFDLAGIMCGQFGVPFWEFFFATLIGKAIIKTHIQTLFIVSLCNNQLLYLIEKELIWIFGHIPGFSATLPSVIAKLHAAKDKYLSPPAPVSPSSQMEEKQWNFSFTFVWNSIVWLVLLKFFIKIITSTAQDYLKKQQDMEMELISDSPLQDHSKTN >Sspon.06G0018900-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6C:63308418:63308912:-1 gene:Sspon.06G0018900-2C transcript:Sspon.06G0018900-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTTNNAGGSKNHLSSLQHGSAYYSENSGEPGDAKENMMPIVFVAITVVVVLLRLLCCGLGKDAEEQVAGGGDRVGQPSSRSEGLADRHPSTVAAVEVAPVWAAEPPLVCTYRKEDSCGDDSCGVCLAELADGEAIRVLPACMHFFHAACVNEWLHGHNTCPLC >Sspon.01G0008640-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:23979643:23980786:-1 gene:Sspon.01G0008640-1A transcript:Sspon.01G0008640-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRQNTREARAEADARRAVEELARARDEHLEKAELNARSAADEIARSRADRGGAGGGILESVQEGARSIASAVGRTFGGAKDTAVEKTSQTAQATGEKLGEYKDYTAEKARETKDSVAQKTSETAEATKNKLGEYKDSAVEKARETKDAVAQKTSETAEATKNELGEYKDAAAGKAREAADTTAEKARQAKEATKQRAQETMGATAEKAREAKDGTRQKAGEYTEASREAAQEARDRTRATAQTAADKARETASTHDTDEGQGQGLLGALGNVTGAIKEKLTLGQGQQQHVDVRLGGKDERAAKERAAEKAASVYFEEKDRLMRQRAEERVDQCVEKCVEGCAGSSCVHRQGKM >Sspon.01G0017950-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:66882317:66892557:-1 gene:Sspon.01G0017950-1A transcript:Sspon.01G0017950-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRTEWPADGKLDGSLGIADVVPVVMCPRDNCFPGLYCFGLLRDPWLTDGQTMIISSVWGSREVILSVNVVSCEVSRVSPQDSDYSWNVLAVDKNNILAVSSSLITLPQMYYAIKVPQTESNWEWQEVSTPFPKPSDKISSILAEHKFSILKIPISNPSDKLANGAKLPFEAIFVSHKDSASNPTIVVLHGGPHSVYPSSYSKSLAFLFSQGYNLLVVNYREHTPFLTLTCMCIFRFLRGSLGFGEEALQSLPGNIGSQDVNDVLTALDLVIKRGLIDPSRVAVVGGSHGGFLTTHLIGQAPDTFVAAAARNPVCNLSLMVGTSDIPDWCFVEIYGKEGKKYFSESPSVDDLCQFHQKSPISHISKVKTPTLFLLGAQDLRVPVSNGLQYARALKERGIESKTIIFPEDIHGIDKGTAGLKYSDGVAISLQKQKIL >Sspon.03G0006980-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:310609:313445:1 gene:Sspon.03G0006980-1P transcript:Sspon.03G0006980-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQHREESGASVMDKISDKLHGRGGGSSSSSDSDDERLSATAAVKAKIYRLFGREKPVHSVLGGGKPADLFLWRNKRISGGVLAGATAIWLLFEVMDYHLLTLLCHCLILTLAILFLWSNATTFINKSPPNIPEVKIPEDLAVNVARSLRYEINRGFATLREIGQGHDLKKFLIVIAGLWILSVLGSCCNFLTLSYIVFMVLYTVPVLYEKHEDKVDAFGEKAMVELKKYYAIFDEKCLSKIPKGPLKAKKQH >Sspon.01G0024070-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:83258360:83265330:1 gene:Sspon.01G0024070-1P transcript:Sspon.01G0024070-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RECA1 [Source:Projected from Arabidopsis thaliana (AT1G79050) UniProtKB/TrEMBL;Acc:A0A178WA75] MTLASSTAETFPSGCLTLDFALGGGLPKGRVVEVYGPESSGKTTLALHAIAEVQKLGGNAMLVDAEHAFDPAYSKALGVDIENLIVCQPDNGEMALEIADRMCRSGAIDLICIDSVSALTPRAEIEGEIGMQQMGLQARLMSQALRKMSGNASKAGCTLMFLNQIRYKIGVFYGNPEVTSGGIALKFFASVRLEIRPIGKIKSPKGDEDVGVKVRVRVQKSKVSRPYKQAEFEIIFGEGVSKLGCVLDCAELMDVIAKKGSWYSYKDIRLGQGREKALQYLRESPTICDEIEKVVRAMIPEGSRHMSLLAFGQSSSTEDEQVYDEQ >Sspon.02G0014600-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:38890748:38894110:1 gene:Sspon.02G0014600-1A transcript:Sspon.02G0014600-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPTTREMQAMAAAGQISLDDLRAAAGAAGGVHDDFLDQMLGSLPPSAWPELASAAGGKAPDGGAQAEGMQHQAQHFGGGLYDESALLASRLRQHQISGGPAGGGAEAAKQMVLQQLAADLRQGHHMLLQGMGRSTGGGGSGDGGLHLPLSLGGGGSGGDVQALLKAAANSAQQTAPLPGQGFGGGGGGAGASGGVSQPQAGAAGGGAAAPPRQRVRARRGQATDPHSIAERLRRERIAERMKALQELVPNANKTDKASMLDEILDYVKFLQLQYDDVVDGVADMHVTCVYQPTQVLSMSRLGGAAAVAPLVADMSSEGRGGAAAAAGSDGLTVTEQQVAKLMEEDMGTAMQYLQGKGLCLMPVSLASAISSATCHMRPPVGGGLGIAAAAHHMAAMRLPHSMNGGAGAGAGADAVPASPSMSVLTAQSALINGAGGGGADGEGSHSQQQHQHPKDAASVSK >Sspon.03G0027510-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3C:9838460:9839380:1 gene:Sspon.03G0027510-2C transcript:Sspon.03G0027510-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGSSTKAVELKPHSDVAEEDPARRGGPGTDEADANEKAPRRSRRVASLDVFRGLTVADIEAMKEFGMSALLQAAAELLNDRLPEAREAARGVVASMHAAFAKDAAASGQEDDAAASWESLCSLSLPPISAQAVAKIAAAASSSPSQ >Sspon.04G0007510-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:18216671:18217490:1 gene:Sspon.04G0007510-2B transcript:Sspon.04G0007510-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding EERTGRDGVPETSSLEVHELGAPGEGPAKRIVGADAPAAAAESGFGCFDCNICLECATEPVVTLCGHLYCWPCIYEWLRPDAEADAMSSARRQCPVCKAAVSPDALVPLYGRGGSSDAKKPPRGLASIPPRPALRQSAQDSGGHHHRHAETDAPAGRTPRHPADAHAAQFDALLPTPFGDRGMMHPTAGGMLGGMAVAVLPLVLRGQAQPPGMYYSGTYHLMNPRQRRLHMEIWFFLFVFVALCLLLF >Sspon.07G0012580-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:43188737:43191602:-1 gene:Sspon.07G0012580-4D transcript:Sspon.07G0012580-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to 60S ribosomal protein L18 [Source: Projected from Oryza sativa (Os05g0155100)] MGIDLVAGGRNKKTKRTAPKSDDVYLKLLVKLYRFLVRRTKSNFNAVILKRLFMSKTNRPPISLRRLVKFMEGKEENIAVIVGTVTDDKRIQEVPAMKVTALRFTETARARIVNAGGECLTFDQLALRAPLGENTVLLRGPKNAREAVRHFGKAPGVPHSHTKPYVRSKGRKFEKARGRRNSRGFKV >Sspon.01G0010490-3P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:6769433:6774102:1 gene:Sspon.01G0010490-3P transcript:Sspon.01G0010490-3P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLCHGKPTQIPEAEAEEDPHVACGAGDGGDGATSPSAAAPAAKPGTPKQPKFPFYLPSPLPPSSYKGSPANSSVASTPARGGFKRPFPPPSPAKHIRALLARRHGSVKPNEASIPEGGEPELGLDKSFGFSKHFFAKYDLGEEVGRGHFGYTCSAKAKKGEHKGRTSPSRSSPRPRTDASKRHFSSWSTTGGIYMTTAIAIEDVRREVRILNSLTGHSNLVQFYDAFEDEDNVLCKGGELLDRILARGGKYSEEDAKVVMVQILSVVSFCHLQGVVHRDLKPENFLFSSKEENSPLKVIDFGLSDFVKPDERLNDIVGSAYYVAPEVLHRSYGTEADMWSIGVIAYILLCGSRPFWARTESGIFRAVLKAEPSFDEAPWPTLTAEAKDFVKRLLNKDYRKRMTAAQALSHPWIRNAQQVKVPLDMIIYKLMRAYISSSSLRKSALRALAKTLTTNQLFYVREQFELLGPNKNGYISLQNLKSVCTLQYRKLDFEEFAASAISVYQMEALETWEQHARRAYELFDKEGNRPIVIEELASELGLGPSVSLHVVLQDWIRHADGKLSFLGFIKLLHGVSSRSIPKA >Sspon.03G0019980-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3A:62044314:62049031:1 gene:Sspon.03G0019980-1A transcript:Sspon.03G0019980-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVALFLSWLSLVSSVWMAWACSVPPVMLATAAPTAADREGGELCSATLCGGVNISFPFGIVPEHTTESNCGMLGFQVHCSNNTPYIGSYNSDYWFKILDIFYDNGSLLIADVHKLEGFDGSASSKPCHSPKNNSSSRLGFPFSISPVNQNMIFYNCVAPPKQAERQSLGLVDTTCGNKTLVGVPKGPDVSGSYFLEGCNATVVPMLVRSGQHANATNYKELIRDGFLLTWQLQLSPPPLPSPAGARPAAVRPCGPPFQLKCNSNRAFLTKSVYQAYEVLSIFPNNNSFHVVDHNLPLVTGCPPPTCTGFPPARSPGFHFHSLPCDNSSFVRLGDGRDFSSQGIQGGIPQGCLFTVVPFLGFPDGNGDDYVAGMKNGFLVEWKGVPDDCLECMARGGECRYYGDTGNEFACDCSGDKCGKLDDGRLVAVKLLHGSKGDGEEFVNEESKLSMTGTRGTIGFIAPEVHSRTFGVVSAKSDVYSYGMMLLEMVGGRKNVKSMAEESMKIGLIISMQKA >Sspon.05G0003930-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:4229013:4235771:1 gene:Sspon.05G0003930-1P transcript:Sspon.05G0003930-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dual specificity protein kinase YAK1 homolog [Source:Projected from Arabidopsis thaliana (AT5G35980) UniProtKB/Swiss-Prot;Acc:Q8RWH3] MEESGGTSGRREDAAPPWRPSEATAFGRFAAAAASSEASPSASANGATARVSSLHGVKRKPFVARLTAGIIQTYLQCDPEFKYSEVLNPKRFLTSPSTPAHNDGLDNANWDLILYVNLELVNKMSNRRFIVKEMLGQGTFGQVVKCWDTETNDYVAVKILDAMVVMREAGIIHCDLKPENILLAPRGQPPDELLRGAKNTIRFFKHAGSIYPGNEAPTGLSSAYRILSEEEVEVVLHFDSETEKTDRLGLVDFLKGLLEFDPNKRWSPLQALYHPFITGESFTGPYEPVPETARIPVARAAAIDHNPGGGHWLHSGLSPQVGSVNRYLPVNNAYPPKVPFSYGSSYGSYGSHGSYTGNPGFGNSYGSTGDVNAINMYYSPLGSSGLAQIGSSPDVRLRMRFPHDRGIRLSPGSLGPMSLGASPSQFTPPNYQMQIPANSTGKHGSGSPASGGIHGSPLGKAAAVGPYNMRRNLPMPPNDYVSQHGQGRFGDGVSFSHSDAYARGHTGHSHNAAGPSSCHSGWRPQIGSRSGISLEASSSHVPSQAPSQSFDFSASSELDPANWDPNYSDESLLQEDNSLSADLSSNLHFGDAGGQGSGSIRSANFQGHVFTTSNPVPTNQRADQLFHVSSQGVSAHSSVPINYGGYNPPNYPQQNLLPRHGQPILHQRYNQATSSPMRPMGSHHSGQPAWPSSFGMGDGVPWGGTGGHSFTTSGLPSSLPRKDYGSIF >Sspon.07G0035640-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:11914652:11919959:1 gene:Sspon.07G0035640-1D transcript:Sspon.07G0035640-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AMEAAEVAARAANRELPAEFHLEAPSTPSGPSTSRRLLLGAAESVPEGELQVVEAVPKKMTPRRKQLASKIKKK >Sspon.08G0022520-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:51148798:51152177:-1 gene:Sspon.08G0022520-2C transcript:Sspon.08G0022520-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKATSWGAAVPWRSLESEAAATAGNGPCGPLKEISQPINAQAIRLSEKPALTLPSPRPPPEGDACAASTITCRRGRDLSRRQFGPVLFLRAPHKEVVFKFCITKMVQYNFKKITVVPPGKDFIDIILSRTQRQTPTVVHKGYAINRIRQFYMRKVRYSQQNFYEKLSTIIDEFPRLDDIHPFYGDLLHVLYNKDHYKLAWINTARNIIAKISKDYLRLLKYGDSLYRCKCLKVAALGRMCTVVKRISPSLAYLEQIRQHMARLPSIDPNTRTVLICGYPNVGKSSFMNKVTRADVDVQPYAFTTKSLFVGHTDYKYLRYQVIDTPGILDRPFEDRNIIEMCSITALAHLRAAVLFFLDISGSCGYSIGQQAALFHSIKSLFMNKPLVIVCNKTDLQPLEGLSEDDMKLVMEMKAEAMKTITQAGGPNEEGVLLTMSTLTDDGVMAVKNAACERLLEQRVDVKMKSKKMMDCLNRFHVAVPKPRDNKERPVCIPQAVLEARANAAAKEKKKLEKDIENENGGAGVYSASLKKHYILANDEWKEDILPEILDGHNVADFLDPDILVRCEELEREEGLRLEEQAAEDAFQIDGHELTQEQKEILAQIRKKKALLIQEHRMKKRTAESRPIVPRKFDKDRKFTTDRMGRQLSSMGVDPSAAMNRARSQSRGRKRERSVSRAAADGDGMEIDGQQSNKKLRLTSRSRSRSRAPEEVIPGEGFKDSEQKKKAIKKAKAATRNRNKDARRGEADRVVKD >Sspon.08G0007750-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:23691704:23692910:1 gene:Sspon.08G0007750-2B transcript:Sspon.08G0007750-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARASSVIRCLLTVAAVLAPLLAGAAAGGRLSTSFYSKKCPNVQSIVRQGMASAVAAEKRMGASILRMFFHDCFVNGCDASILLDDTATFTGEKNAGPNANSVRGYEVIDAIKTQVEASYNATVSCADILALAARDAVNLLLMRDLTHPPSNIMQLGGPTWTVYLGRRDARTASQSDANANLPGPGSSLATLVTMFGNKGLSARDMTALSGAHTIGQARCATFRDRIYSDANINATFASLRQQTCPQASDGGAGDAALAPIDIQSPEAFDNAYYQNLMARQGLFHSDQELFSGGSQDALVKKYSGNAAMFAADFARAMVRMGAISPLTGAQGEVRLDCRKVN >Sspon.06G0020960-1P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6D:12530330:12530617:-1 gene:Sspon.06G0020960-1P transcript:Sspon.06G0020960-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSSALSKRGGDGGDGILAAISRSQVAAHGREAAAVAKKLLRSTGKAAWIAGTTFLVLVVPLIIEMDREQQLNDLELQQQTLLGGPPPPAAAAK >Sspon.08G0021630-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:41673085:41673540:1 gene:Sspon.08G0021630-1B transcript:Sspon.08G0021630-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:15.7 kDa heat shock protein, peroxisomal [Source:Projected from Arabidopsis thaliana (AT5G37670) UniProtKB/Swiss-Prot;Acc:Q9FHQ3] MADFFFGSPFRRLFHARPFFPAVEWSSGTAAAAMDWVETPASHVLRINVPGLGKDDVKVQVEEGNVLTIRGAPPAAKEKGKGKEDDDEEGTVWHVAERGKPEFARAVALPENVRVDGIRAGVENGVLTVVVPKEAAPARPKPRPIAVSSKL >Sspon.01G0038250-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:29312368:29313430:1 gene:Sspon.01G0038250-1P transcript:Sspon.01G0038250-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHPLLAAVTAAASSSFVSPPRRVPGSPGRRRRPGGFAVRCAPNGGGVTAGDTKSKLKVGSPIVILEAPVMLKTAASVPSLRHNSGQVKAGDVGRIMARKPKDVWVVRLAVGSYLLDGKFFSPLDSDDGDDDEPQAQDE >Sspon.06G0007180-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6A:26879787:26880447:1 gene:Sspon.06G0007180-1A transcript:Sspon.06G0007180-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHVRDEKPYPEVSADPICSRDCIYGDDDWVIVKKQRITILIPPQSPITANPQSNMCSKQSCITRSKRDCNAARKKHPEKLAAKKCQDSPPVHGSIVHEDVPIMTGDKLPHSSAVPVAKTEWTKDHAVQELFHQEIGKATSFFGSMGKPRMPLISSPVASKIIRAQLLERQVAGFGGLRNWLFGCGLGWFVNILDTEKLGMYQLASLTMKQLKDMGLVAV >Sspon.01G0026100-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:90430622:90430871:-1 gene:Sspon.01G0026100-2B transcript:Sspon.01G0026100-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEEALPMPMEVYFSAAELARIAGYGEEPVSSVSVSGQLTCELCLRPGSRLLTLEMPVTPSCLFIPRTST >Sspon.02G0015500-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:22938446:22940910:1 gene:Sspon.02G0015500-1P transcript:Sspon.02G0015500-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSGDGFDFRQPFKSLPRVVTSPGIISDPDWDTISDGNSVGSASSTEKKIIVANFLPLNCTRDETGKLSFSLDHDALLMQLKDGFSNETDAVYVGSLKVQVDPSEQDQVAQKLLREYRCIPTFLPSDLQQQFYHGFCKQQLWPLFHYMLPICLDKGELFDRNLFQAYVRANKLFADKVMEAINTDDDCVWVHDYHLMLLPTFLRKRLHRIKIGFFLHSPFPSSEIYRTLPVRDEILKSLLNADLIGFQTYDYARHFLSCCSRLLGLHYESKRGYIGIEYFGRTVSLKILSVGVHVGRLESVLKLPATISKVQEIEQSYKGKILMLGVDDMDIFKGISLKLLGLELLLDRNPKLREKVVLVQIINPARSTGKDVQEAITEAVSVAERINTKYGSSSYKPVVLIDHRIPFYEKIAFYAASDCCIVNAVRDGMNLVPYEYTVCRQGNEEIDKLRGLDKDTHHTSTLIVSEFVGCSPSLSGAFRVNPWSVDDVADALCRATDLTESEKRLRHEKHYRYVSTHDVAYWARSFAQDLERACKDHYSRRKLSSEHFVSSYNKASRRAIFLDYDGTLVAQSSINKAPSEEVYTETTDGSSIESKESALVWHYLDADHDFGSFQAKELQDHLERVLSNEPVVVKCGHYIVEVKPQGVSKGRAVNKLIHTLVNNGRHRIS >Sspon.08G0002070-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:3487495:3488289:-1 gene:Sspon.08G0002070-2B transcript:Sspon.08G0002070-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKNKSGVSNYLCVAPIFSSCVSSGKQQPSSDAARSRLSFSFPEGRQQQKPAEEQSNSESIIDPAASVIAKKEKQKDGGKHCTVIVGTIFGRRAGRVTFCVQRDAAVPPPFLFELSVPMQSLATEMGSGLLRIGLECHRTDTGGTGGGESRNVWKASCNGRDVGHAVRRPPTDWDRRVLESMRTMTSGVGVLPPAVALEGPNGDGNTKQDGAGAGAGEVLYMRATYERVVGSRDAVSYHLISPGGTAGSSPPQELSVFLLRTRG >Sspon.05G0014250-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:50784135:50785971:1 gene:Sspon.05G0014250-4D transcript:Sspon.05G0014250-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFRLQARPGFTDRSIQGIARHGGRDPQELEALFGRVLSYTHRALPAPPVSADGDLCILFDADGGGVDRLSRLPDTLLCDIISRLPIKDAARTAVLSRRWSPIWRAAPLVLVDTHLLPGGDDEIPIDLDHAHSDAVAAAVSRILVAHPGPFRCVRLTCCYMEEDRARVERWLKLLASKGVQELFLMNRPFPLTIDKHLPATFFSMTALTRLYLGFWRFPDTTALPRGAAFPCLRELGLCSTVMDSGEMNFVLARSPVLEILIIEGHLLPPLRLRIISHSLRCVQIHGSTVDSVTVVDARRLERLLLGFRTNEDSCCKIKIIHAPALHMFGEIELGKNELQVGNNIIKAGTMVNPSVRLPAVTILDLHVRFGVRNDCKMLPTILRCFPNIDTLHIHSKKTTESTGRLGIKFWKESGAIKCVTSSINMLSVHDFRGERSELVFLKFFIESAQMLKVLVIVYANGCFSSRGEANSKVKALFAGRRATQCCKVAVCESRHPEGGSNWNFEQGSDFSFEDPFGIIGCSSFGLSQ >Sspon.07G0005410-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:9024325:9027682:1 gene:Sspon.07G0005410-3C transcript:Sspon.07G0005410-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLTFTKLFSRLFAKKEMRILMVGLDAAGKTTILYKLKLGEIVTTIPTIGTSRAHVLPLVRVCMRGLTGFQTTSPTRLEASRQGFNQWKHSGYLGANCLYHYSYELIVVTVSDDKRLFWSR >Sspon.07G0006060-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:15705383:15709438:-1 gene:Sspon.07G0006060-1A transcript:Sspon.07G0006060-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDRVKSMLKLIGADGDSFGKKAELYFRSRPELINHVEEMFRSYQALADRFDRISSELHKANHTIATVFPDQVQFSMQEGDGEGFPKAIGGIDLSNFKFPALEGLSMGSQSASRGTSPVPKRGAQAHRRVTSNMTKEKAQEEIDKLQKQILALQTEKEFLKTSYDSALGKYLDIEKQVAELQDEVCNLQDAFSTGVAIEDNEARALMAAQAIMSCEDTLVNLQDQKNRSTEEAKVELRRANEAIEKLKTSRMNDADDSALNEGRFDLQEICQRVKEIIESYPELSVAELADKVDRLVEKVINLELATTSQNAQIDRMKTEVDGLHERLHALEQDKVALVVDSSNMVDRLRKVEEMLQEVQQLWKSVQNGSENICKQMTEAIHELTNSLKHYMLLSKKSVSSKGDASLEDDSELTSLSVQNEPSKSLHGTTSEIEKHEETSEGLVAQKQLVPKESKGEGKILLEDYASVLQSYKDTEQKLSEIEKRNQEYHLEAMSELKELKSANATKDEEIHSLRRMLSSLQKKMSASIIESIEKSEETSKISTSPTTEDKEIAKSEETSKISASPTTDDKEIAEIEEYIKQWQVDDSLASSVAEEKFRVEIDRVLGENLNFWLRFSTSYHQIRNFQISFDMLKTEMHRLTDEQEDGGTYGFAGSYQVAKLESAVLEKKFRDLNTDLQVWIEKNMLLKGEVENRFSSLCSIQEDISKITTLDKCDEVHFNPFQAAKFQGEVHNMKQENNKVAKELEAGLDHVRGLQVEVGRVLLKLRENLEVSIARSHRAQQNFRNLSTKAGFPLELFCLAQSQRDHHSFRAWVLGLTESRWKLDSRIRILLLSKKETKSVFASKTMNNFYVLMR >Sspon.08G0014010-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:57890239:57894719:1 gene:Sspon.08G0014010-1P transcript:Sspon.08G0014010-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEAAVDDLIRRLLEARGGRTPRNAQVTDAEIRRLCAAAKDVFLQQPNLLELEAPIKICGDVHGQYSDLLRLFEYGGYPPDANYLFLGDYVDRGKQSIETICLLLAYKLKYPENFFLLRGNHECASINRIYGFFDECKRRFNVRIWKIFTECFNCLPVAALIDDKIFCMHGGLSPELKNMDQIRNISRPVDVPDVGLLCDLLWSDPEKELDGWGENDRGVSYTFGADIVAEFLQKHDLDLICRAHQVVEDGYEFFANRQLVTIFSAPNYCGEFDNAGALMSIDDSLVCSFQILKPSEKKGKAGTSNMSKPGTPPRKIKISVTRI >Sspon.03G0017410-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:54471016:54472761:1 gene:Sspon.03G0017410-1A transcript:Sspon.03G0017410-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AAMSSATPAVASPASEHSKSKKKKHKSKDNPAADPPSLAEAEEKTDGYLIKPQSLVPSLETSTWPLLLKNYDRLNVRTGHYTPLPSGHSPLKRPLAEHLRYGIINLDKPSNPSSHEVVAWIKRILRAEKTGHSGTLDPKVTGNLIVCIDRATRLVKSQQGAGKEYVCVARFHAAVPDTGRVARALEALTGAVFQRPPLISAVKRQLRVRTIYESKLLEHDPERHLAVFWISCEAGTYVRTLCVHLGLLLGVGAHMQELRRVRSGILGEQDNMVTMHDVMDAMWSLDNYKDESYLRRVVMPLEVLLTSYKRLVVKDSAVNAICYGAKLMIPGLLRFENDIETGEEVVLMTTKGEAIAIGIAEMTTAVMATCDHGAVAKIKRVVMDRDTYPRKWGLGPVALKKKKLIAEGLLDKHGKPNEKTPAEWLRNVVLPTGGDVSIASIAAAPEPDKAKVEQDTAVTEEVKEKKKKRQKDEDNDADASVPAKKIKVEEAAEAVEGEKSEKKKKKKKDKGESGSTEAVEVKEEVDAADEKGSEKKKKKKKSKEGSDAAAPESAQNGDGTDAEKSEKKREKKKKKNRDAEEA >Sspon.05G0034280-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:5C:53239172:53239534:1 gene:Sspon.05G0034280-1C transcript:Sspon.05G0034280-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPSGRGLTYVGPAPISLKKHTPPILQLPSLTAASVPSDATRLCLSSAPTPAHGAPLPCHAPGVHASRLHCAPSLDAPAFTVAPHSEIRAPPSFSGASSCKTGGLPSTVAPHSEIRSSET >Sspon.05G0015480-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:59540273:59549583:1 gene:Sspon.05G0015480-1A transcript:Sspon.05G0015480-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial uncoupling protein 2 [Source:Projected from Arabidopsis thaliana (AT5G58970) UniProtKB/Swiss-Prot;Acc:Q9ZWG1] MATASSSFTAIFFSSAFAACFAEVCTIPLDTAKVRLQLQRKTPLPAPPAAAAAAGGGMLATIMCIAREEGVAALWKGVIPGLHRQFLYGGLRIALYEPVKAFFVGGAAVGDVSLLSKILAALTTGVIAIVVANPTDLVKVRLQADGKANTVKRSYSGALNAYATIIRQEGIGALWTGLGPNVARNAIINAAELASYDQFKQMFLKLPGFTDNVFTHLLAGLGAGFFAVCIGSPVDVVKSRMMGDSTYRSTLDCFAKTLKNDGPGAFYKGFIANFCRIGSWNVIMFLTLEQVRRFFL >Sspon.02G0011940-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:26151312:26154884:-1 gene:Sspon.02G0011940-3D transcript:Sspon.02G0011940-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At3g24000, mitochondrial [Source:Projected from Arabidopsis thaliana (AT3G24000) UniProtKB/Swiss-Prot;Acc:Q9LIQ7] MRKHHKLLFHHLLRRRTSKPYTSAAHLAVPISFPSSPASSSSASCLRELDLLHAGELAPTPRLYHSIIAACAQSKNLAGARAIHAHLSGSRLAGDGLLLNSLIHMYCKCGAVSDARHVFDGIPSRDVVSWTYLIAGYVQNDMPEEALGLLPDMLRERFKPSGFTFTSLLKAAGACGGHGIGEQVHTLAVKYNWDEDVYVGSALLDMYARCQQMDMAIRVFDRLDSKNEVSWNALIAGFARKGDGETTLMKFAEMQRNGFGATHFTYSSVFSALARIGALEQGRWVHAHMIKSGQKLTAFVGNTMLGMYAKSGSMVDARKVFDRVDKRDLVTWNTMLTAFAQYGLGKEAVAHFEEITKCGIQLNQITFLSVLTACSHGGLVKEGKHYFDMMKDYNVQPEIDHYVSFVDLLGRAGLLKEALIFVFKMPMEPTAAVWGALLGACRMHKNAKIGQYAADHVFELDPDDTGPPVLLYNIYASTGQWNDAARVRKMMKATGVKKEPACSWVEIENSVHMFVADDNTHPKSEEIYRMWEEINTRIKKAGYVPNTDYVLLHINEQERETKLQYHSEKIALAFALINMPAGATIRIMKNIRICGDCHSAFKYVSEDAVLCSTEDDSVESISKEHRNASHIPAVQCLNSDCLGGNALGIAFLQVILRNINGFFMGAAAYLVQAWQAEL >Sspon.04G0023980-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:8889024:8893880:1 gene:Sspon.04G0023980-2C transcript:Sspon.04G0023980-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKKKRAAIDLSLEAERSDEDHSSCGGGKGDRRRDKDGGEVDKKEEQFKDQGEAPKEETVEEKVVVEVVVDQGGDGTKEIKYRTQQGEEMEDDKQSAADAHGDGESDGGETRAQDKHVVEAAGNGDGDDSYSTMVQDEVSAMQEEMEKMKEENRMLRRAVDRTVRDYHELQKKVEACYQQQQADEPKEPEVFLSLGATAAGTGGGFQEPKHKERAARRPSVGSDDTDDDGKEDLGLSLSLRASSYDDEKLEAGHDLDGASLVGADGNKAKGYALLESSKLGAPAAGGGDLADAGITSQSVNPANRKTRVSVRVRCQGPSMNDGCQWRKYGQKVAKGNPCPRAYYRCTVAPGCPVRKQVQRCLEDMSILVTTYEGTHNHPLPVGATAMASTTSAAATFMLLSSTSSSSSISETGGGSAAPPYLSPPYLLNSTSHHSAVSPLMLSTPPSAMPSAPAGAASGVQHLNMFGHSSSMLAQQAPHFSSKYPWSLDPSQGMGGGGLPAVSKRPFWSTGRDEKTATLPDNVGTVMADPSKFSMAIAAAIDSYMGKDGQVAGSKEGESSSSKSRL >Sspon.05G0017740-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:71438637:71442055:1 gene:Sspon.05G0017740-4D transcript:Sspon.05G0017740-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRARVGRPGVHRQETDGEVAGVANSHDDKDHVHGTIRRRADADSEEEDDEEHGGGQEPFFQCLDQEQPPDTVHLHDARVEFPSDDDGDGDDVRFSFATADGDHLLEEQAELDLDVEDEEEDTSRYDYGTWMAPEPVSIQERRRRLLQGMGLTSSKDLLRSRNARARLPPDIPRYVPRRQQQPPAAVADAPSTTSAAPTVAAALPEIAEHQRTAVLNRSRSDSRLAVRGGAARKTSSFRRVRSLPHKLQGSPVHKTLRAAARCPLPAAPSKDGGTGNAIAGDTGGGFKNRDNGKEIVANGQLNHAQRSAPLNIDELQRFIAHTPFVTQPVRRSQSQPVPAAGAAKGDEKPVEKRRTRWLRNIKLVASAAGLRNDKEKQDGDGGSRPARTPSVTMSKSASAHAAVSSASTGPERLKVHHYGKSSRELTGLYMRQEVRAHEGSIWSIKFSPDGRFLASGGEDSVVRVWEVLNVDASSSAVAQELSTSLPPQPPPAPTDGRSAAAAQLSRRVRRRRSSKDALPEHVVVPESVFALAEQPSCALEGHQDDVLDLSWSKSQVCMPSHSAIAAATSAAPAPEIEGVTCQLLSSSMDHTVRLWDVVTKTCLRVFPHSDYGEFAILHLSAVTCVQFNPVDDGYFISGSLDCKVVDWSDLNDMVTAACYTPDGQILVTSADSQIRVFNGISTSLARIKDAMHKLRNPKREPNTSSQISASYTADGRYVVCASEDSNVYVVSGVGGSGGTGGGGSISVRAKTWLTSRSYEYFFCRDVSVAVPWPGSPSSFPPCDANGKSDTPRKQQSSSRRDDVVGGAGGCLPRGTKSGPMAYHGGGRQLLQPELSRRESQSSARWHGGAEGGNAWGMVLVTASRGGEIRVYQNFGLPLANLFH >Sspon.04G0010640-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:34334716:34335539:-1 gene:Sspon.04G0010640-3D transcript:Sspon.04G0010640-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAEAAVRVLAAIAASDCIEEESKRRVAAALAADAPSAAAALARVLRSGSSLEARVDAARLVESMLRNAGARAAAVESEELVGELIRLMGPADDEKEKKGGGGGLALDRQAVAAGLSCLAAIAATRRGARAEMVRLGAVPAAVRVLEADAGQALRVLEAAVGCAEGRAAVCESAGTAVPAVVSKMMKGGMGGAEAAVSVLWAVCHRYRDRRAVEAAAACEGGLTKLLLLMQSGCSAAARQMASELLKIFRVNGKSCVAGYDSKTSHIMPF >Sspon.01G0050850-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:8956603:8971251:1 gene:Sspon.01G0050850-1C transcript:Sspon.01G0050850-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKGGKGLQATKTTAAKSTDKDKDKKKAPVSRSFRAGLQFPVGRIHRQLKSHAFTHGRVGATAAVYSAAILEYLTAEVLEVAGNASKDLKVKRITPRHLQLAIRGDEELDTLIKGTIAGGGGMEESLQTQQSPPRLRPSLTSPHTATSPSDRSSLGFPTAMAGKGGKGLLAAKSTDKDKDKDKKKAPVSRSSRAGLQFPVGRIHRLLKSRVFAHGRVGATAAVYSAAILEYLTAEVLELAGNASKDLKVKRITPRHLQLAIRGDEELDTLIKGTIAGGGVIPHIHKSLINKTTKDPSDRSSLGFPAAMAGKGGKGLQATKTTAAKSTDKDKDKKKAPVSRSFRAGLQFPVGRIHRQLKSHAFTHGRVGATAAVYSAAILEYLTAEVLEVAGNASKDLKVKRITPRHLQLAIRGDEELDTLIKGTIAGGGGMEESLQTQQSPPRLRPSLTSPHTATSPSDRSSLGFPTAMAGKGGKGLLAAKSTDKDKDKDKKKAPVSRSSRAGLQFPVGRIHRLLKSRVFAHGRVGATAAVYSAAILEYLTAEVLELAGNASKDLKVKRITPRHLQLAIRGDEELDTLIKGTIAGGGVIPHIHKSLINKTTK >Sspon.02G0041920-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:74218674:74222562:-1 gene:Sspon.02G0041920-1T transcript:Sspon.02G0041920-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSSLIRVVTQLTTSQASASHALAALHIQSKHHTPPAGMEKKRVVIVGAGVSGLTVCKHLLELGCQPTVFEADTVLGGVWARAPACTELQTPRPMYQYSDFPWPESVTEVFPNHRQVVAYLEAYARHFGVLGCIRFGHRVVGMEYHGVGEQAMAAWEEWAGNGQAFGSGAGEWRLSVADADGHVETHKADFVVLCMGRFSGVPNIPTFPAGKGPEAFDGQVIHSMDYAKMGNSKAKEMIRGKRVTVVGYLKSALDIAAECAEVNGTAHPCTMVVRTKHWILPDYFAWGFHISKLYLNRFSELLIHKPGEGLLLSILATLLTPLRWAFSKFAESYYSIPMKKHDMVPDHSLFEALAAATIAITPKDHYKRLEEGSIVLKKSKTFSFCKDGVLVEGEPSPVKSDVVIFGTGFRGDDKIKDMFSSEYFRSVAVGSESTTVPLYRECIHPKIPQLAVIGYSESIANMYTSDIRAKWLARFLDGGFRLPNIAAMQKDVLEWEKYMKRYSGRYFRRSSILILHTWYNDQLCHDMGCNPRRKKGFFSELFEVYGPGDYANLLSNSKSKK >Sspon.03G0035930-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3C:83019762:83023022:1 gene:Sspon.03G0035930-2C transcript:Sspon.03G0035930-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMRVMSLLPAATLIMVAVASWGAHGSASDDARSLLAFKAELAGSSSSVLASWNGTTGVCRWEGVVCSGGGQVVSLSLPDRGLAGALSPAIGNLTFLRTLNLSSNWLRGEIPASIGRLARLQALDLSYNAFSGTLPANLSSCVSLLWLRLSSNQLHGRIPVELGAQLMNLQRLSLANNSLTGAIPGSLGNLSSLDYLDLTDNQLEGPVPHELGSIGGLQTLFLFGNSLSGVLPQSLYNLSSLKNFGVEFNMLSGTIPADIGDRFPGIETLSFSHNRFSGAIPPSVSNLSALVKLGLAGNGFIGHVPPSLGKLQGLTVLDLGDNRLQANDSQGWEFITSLTNCSQLQNLILGNNSFSGEVPGSIANLSTTLETLYLGDNRISGAIPSDIGNLVGLKLLEMANNSISGAIPESIGRLENLVELGLYNTSLSGLIPPSLGNLTQLNRLYAYYGNLEGPIPRSLGNLKNVFVFDLSTNRLNGSIPKEVLKLPQLSWYLDLSYNALSGPLPVEVGSLANLNQLILSGNQLSSSIPDSIGNCISLEQLLLDHNSFEGTIPQSLKNLKGLALLNLTMNKLSGSIPDALASIGNLQQLYLAHNNLSGLIPAVLQNLTLLSKLDLSFNDLQGEVPKGGVFANATSLSIHGNDELCGGAPQLHLPPCSMAAVEKNKRQVSRSLMVTLTSLGALVFLGVVVTFIHLIRKRFRQRKASQLISTVIDEQYERVSYQALSNGTGGFSEANLLGQGSYGAVYRCNLHDQGITTAVKVFNIRQSGSTRSFVAECEALRRVRHRCLIKIITCCSSINYQGEEFKALVFEFMPNGSLNDWLHPVSKVHTLSNTLSLAQRLDIAADIMDALEYLRNQCQPPVIHCDLKPSNILLAEDMSARVGDFGISKILSDDTSKTLLNSISFTGLRGSIGYVAPEYGEGRSVSTLGDVYSLGILLLEMFTGRSPTDDMFKDLLDLHRFAEAALPNGASEIADSAIWLHEEAKAKDPADAATMRSRSEECLVSAIRLGVSCSKQQPRERMAMRDAAVEMRAIRDAYLM >Sspon.08G0007350-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8A:23752907:23753932:1 gene:Sspon.08G0007350-1A transcript:Sspon.08G0007350-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNFTPNTFNHTQFPTPPTPFNHSHYLQHSQPTPTHAMHNLNHFGGVGNLQRYAQFSPGYQGFQPLPNFGFPSGMFVGAAGGASSHGSDSATPESQIREPEKDEEKEDSSASSPDEGRRTVRINYSEDENLRLVSLWIKHSVDPIHGTDQSREAYWNKIAEAFNSGQAEGARRRSKGQLKSHWGRINAAVTKFNGVYGRMTYCSGESDDMLMDKACVVFKRENKKAPFTLEYVWKILRKEPKWYRSIPGQDCSEKNKRTKVDESGAYTSSSNQEINEGDSFKELRPEGQKKAKARMRGKGKGKALPQSPLGSPPDEDMVLFHDAMLKRASALEKTAEASNEQ >Sspon.04G0015490-3D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4D:66837367:66839069:1 gene:Sspon.04G0015490-3D transcript:Sspon.04G0015490-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMKRPWSSPCEGEAGLLLLSNSSIICRAILSSSSIGVAGGAVVADVDCDATSVDVAPLLSSTV >Sspon.07G0007360-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:15174792:15176392:1 gene:Sspon.07G0007360-3C transcript:Sspon.07G0007360-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AWCAISPARVPALGPGVLDGARGRGCRRGGEPGSAPSLVVPKEQTSPGPCLLVSVRRAERTTLNTCVLLFPIQLKSCCAGHPRIRQLYGRPHCSQQQAEVAGSTVDDDEACELVSGSDLVIGEGDDSVSAYLFKAVKNNNGTGVLLLSDIFGFEDSATRDFAYRVACNGYNVLVPDLFRGNPWKLNVPFDGDSIQQWLAGHAPGRVSGDIDACTRWLVDEFKAAGVSKKLAVVGFCYGGERLVETLARDADNCFSAGVCFYGCPMDASLGDRIAAPVLFVCGDGDPYCSVEMVQELERRARGARAAVYAGRGHGFAHRPQSVEEDADAEHAFNAMRGWLHDHLLA >Sspon.03G0035720-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3B:83877426:83877809:1 gene:Sspon.03G0035720-1B transcript:Sspon.03G0035720-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHSPLSPLPSCSAPVAPLPRIHRHPPWLCPKFHWMCLLLAVSICSRASPPPLTPTRLQRHLTISGAAILTSWRSPTITMMGLVLATYILFFPHCPFEDTTTLPPLLPRPPLPPGDLLPPRSIERYM >Sspon.02G0031450-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:105789667:105791425:1 gene:Sspon.02G0031450-3C transcript:Sspon.02G0031450-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GAMAMPSTYAPGGGAGALAPGKGRVCGPAGLGFLGPSKAGGIPRPLALARRMSSSVAVGAGGGAARLRCAASSSPAAPAARPVTAPRFIQHKKEAFWFYRFLSIVYDHVINPGHWTEDMRDDALEPAELYSRHLKVVDVGGGTGFTTLGIVKHVNPEKVTLLDQSPHQLEKARQKEALKGVTIMEGDAEDLPFPTDSFDRYISACSIEYWPDPQRGIKEAYRVLRFGGLACVIGPVYPTFWLSRFFADMWMLFPKEEEYIEWFTKAGFKDVKLKRIGPKWYRGVRRHGLIMGCSVTGVKRQSGDSPMQLGPKAEDVSKPVNPITFLFRFLVGTICAAYYVLVPIYMWIKDQIVPKGMP >Sspon.06G0015310-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:84393826:84397988:-1 gene:Sspon.06G0015310-1A transcript:Sspon.06G0015310-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding IQHRIGLDIKEIRSRIKDVSERRDRYKVDLVPSKPVDRSIDNMRISALYRKATELVGAEEKSDDLEDEAKQLATMSFSHVRSLTVSTQAFQLMPNLSTFLVLRVLNLKKCVAVKNHHFKDICIMFHLRYLSLNAKFITEIPREIQNLQFLQVLDISNAGRIENMPTIIHLRQLLCLCLKPQQDIRLPDGYGKLMSLQELTITIKSPSMLCDLQCLTELRTLAINFCDWGESYEEPFIQCLSNLVNLKSMEINGTMMSSLCSERDKLYPGPQQICSIVMESHADTVIMTAVPRWMSSLCFLSTINITLLTLGEPDIQVLGSIPSLSDLSICVKETTQDRDGRLVIGKCYPFQCLTKMSINYSAMEVVFAPEGMQNLKELHLVFWVAEVMHKFGDCNLGLEHLSSLEHVSVQIFEERSPFKETVEDEIRKAIDMNPGKPTLLISSSRTKWSRFG >Sspon.04G0002380-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:8104540:8108256:-1 gene:Sspon.04G0002380-2D transcript:Sspon.04G0002380-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MYASLDCSGNSSGNTVNDHMRSTLSFDNKQPPFASQNIDYGQTIACISYPYNHSGSGGVWAAYESGTTAATVFHSQISGGGTSARIPLPLELAENEPIYVNPKQYHGILRRRQLRAKLEAQNKLVKARKPYLHESRHLHAMKRARGSGGRFLNTKQLQQQQQSHTASTRSTTNGTSSSGSTHLRLGGGAAGDLSMSAPKTMASHDSSKKAVSSALAFTVTPMLRRDDAFLQHPSHHLSFSGHFGRQARKLGCIMEVSIGFQL >Sspon.04G0016860-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:61577860:61589975:-1 gene:Sspon.04G0016860-1A transcript:Sspon.04G0016860-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MYFEGYGFRGSTFEQTYRCYPASFIDKFTGLLFCFFPVIMPPSALDRLASLHIEYPMLFEVHNAAAERTSHCGVLEFIAEEGMIYMPYWMMQNLLLQEGDMVFIKNANLPKGTYVKLQPHTTDFLDISNPKAILEKTLRNFSCLTTGDSIMVAYNNKKYYIDIVETKPSNAISIIETDCEVDFAPPLDYKEPEPVKPVVPASTEPTTEAPAEEPKFIPFTGSGRRLDGKPSKDKDVLAPSPAKRQANATNGVQPSTATTSQGSSSRKTTGKLVFGSGSGGSRAEKYFEGYGFRGSTFEQTYRCYPASFIDKFTGLLFCFFPVIMPPSALDRLASLHIEYPMLFEVHNAAAERTSHCGVLEFIAEEGMIYMPYWMMQNLLLQEGDMVFIKNANLPKGTYVKLQPHTTDFLDISNPKAILEKTLRNFSCLTTGDSIMVAYNNKKYYIDIVETKPSNAISIIETDCEVDFAPPLDYKEPEPVKPAVPASTEPTTEAPAEEPKFIPFTGSGRRLDGKPSKDKDVLAPSPAKRQANATNGVQPSTTTTSQGSSSRKTTGKLVFGSGSGGSRAEK >Sspon.05G0013610-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5B:49247407:49257480:-1 gene:Sspon.05G0013610-2B transcript:Sspon.05G0013610-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFAAAAMAGLTSVGSRGIRGSRDRNGLPLGARTTEHHNKLIFTAGGKQLNKHLTVYQAVQRQVVHDEDDEDRLGGSDLPDDGNHFWGDVFTITYQKADNTAEKGSVGGSASVSKPSKSDSCRTLSEKSCTSLLDSILQGELPCDLEKSNQTYNILSLLRVLEGLNQLSPRLKLQATCDDFAEGKVATLDGLYDVGAKVPSEELSTQQPGDNTNTAFEREVRVGRLQRQKVRVSRNRILDSAAKVMEMFSNQKAVLEVEYFGEVGTGLGPTLEFYTLLSRELQRVDLGLWRSHSPDDSGMQIDGNADDLRDEKRESESLVESRNIVKAPLGLFPQPWPPSAAASEGSKFFKVVEYFRLVGRTMAKALQDGRLLDLPLSIAFYKLLLGQELDLYDILSFDTEFGKTLQELQILVARKQFLESCSSESQKIEELCFRGAPIEDLCLDFTLPGYPDYVLKEGGENAVVNIYNLEEYISLVVDATVKTGIMRQVEALKAGFNQVFDISSLQIFSPQELDYLICGRRELWEPETLPEHIKFDHGYTSKSPAIVNFLEIMAEFTPEQQHAFCQFVTGAPRLPPGHSSVANNTSNPTGATESADDDLPSVMTCANYLKLPPYSTKAIMLKKLLYAINEGQGSFDLS >Sspon.01G0044110-1T-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1C:77980728:77981445:1 gene:Sspon.01G0044110-1T transcript:Sspon.01G0044110-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKLQVATVASLLALAATAGAVTFDATNTASTTPGGQRFDQAVGLDYAKQVLSDASTFIWNTFNQPSPADRKPVDAVTLVVEDIDGVAFTSANGIHLSAQYVGNYSGDVKTEVTGVLYHETTHVWQWNGQGQANGGLIEGIADYVRLKAGYAPGHWVKPGQGDRWDQGYDVTARFLDYCDSLKPGFVALLNAKMKDGYTDDFFAQILGKT >Sspon.07G0011190-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:36144469:36146981:-1 gene:Sspon.07G0011190-4D transcript:Sspon.07G0011190-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGCSSWLPFLGAGIGDGVSSRSGSEGQQKDLPISSQFYLLMDMEKNGHQSEPEVVVPSSESSMFRSFSGIPDETSSLKPRSSNNTTANNSCHHTAEVSSQQIQLSPIVTYSSDRPYDHQCYGNLDTILNLDDFKCQEAHNERAQHKNGHGGAFMPYVRHLSPKKKPNKPGSGGQRAIKAAMSTVARMHMVRLAQWRQCCYQMEMAVAPPTGGSNCNQQQHVLSERKRREKLNDSFKALRTVLPPASKKDKASILIRARDYVSTLKSRVSELEEKNRILVELLHRRNNGGDPGDVSGKKIEVDIDINREASAVKETSQEFRLKIMVGSECNAMDAVVSILKCLKEIGDVRLVAMDTGSRSTTLTLQMKVNFRGSKRISTCIFILQMPESPSSGWDDNILKEYVTKSVKGAMKSKIETS >Sspon.02G0058350-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2D:72692788:72697307:1 gene:Sspon.02G0058350-1D transcript:Sspon.02G0058350-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLSFLMAAHEERDEHKVVKTWVKQVHNVAYDVEDCLQDLAVRHKRHGKSSWWCFLRKLVDRHRVATRMKELRAKVEDVSQRNMRYRLIEGTGPKSATGAGPSHISGATMFGIEEAMRQKDKAKVDLSQLINEGNEGLRVIAVWGTCGVLGQTVVIKGVYDDLKRSNRFEQFAWIRIVHPFNPLEFLQCIMRQFYETSFEEVGKTQEETNIGAQVLKKMGMMKQDDLVDAFSEYVNEKSYLIVLNDLSTVEEWDAIKKYFPATRKKAESLYQQSMVKLQAYVPGKNFQRPIVCVQIDNGKEFDNSASHAFYAKHGIALRLTCPYTSQQNGRAERILRTLNDGVRALMFQAALPSSFWPDALAASTYLLNRRPCRPRGNETPYALLFGVAPDYSNLRVFGCLCYPNTAATVPHKLAPRSARCVFLGYPMDQRGYKCYNPETKRVIISRHVYFDETCFPFAQVSDIGSVAAPRHVPCLGDVLPLPLVHRPRANNHRQPCAPHVTATPHVEPPPTAPAVPTPDTPMGSQPPETPSASTSAPTASATPSASPDPEEFNALQANGTWTLVSRPPNANVITGKWLFKNKLHPDGTLERRKARWVVRGFSQRPGVDFHQTFSPVVKPTTIRTVLHLAATQQWPVHQLDVKNAFLHGDLAERVYCHQPAGFVDELHPDHVCLLVKSLYGLKQAPRAWFQRLGNYLRSIGFTSTGSDTSLFVYKQGDAMGYLLVYVDDIILTASSTTLLQRVVQALCREFAIKDLGALCFFLGIQVRRDATGFSLSQAQYTEDILERAGMANCKPAPTPVDAKQKLSAADGELAKDATFYRSITGALQYLTLTRPDIAYAVNQACLYMHCPRAAHWTLVKRILRYLRGSISDGLFISASPSTELKAYFDADWAGCPDTRRSTSGYCVFLGESLVSWSSKRQPTVSRSSAEAEYRAVANAAAECCWLRNLLQELHVHVGKATVIYCDNISAVYLSQNPVHHRRMKHVELDIHFVRERVALGQFRVLQIPTRHQLADIMTKGLPTPLFTEFKSSLCIRTTDAQTVGGG >Sspon.08G0018920-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:9284092:9294574:1 gene:Sspon.08G0018920-1B transcript:Sspon.08G0018920-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMNSGGGGGGGSKRQRVDGLDDRCEAVGSDAIPVDRISALPDELRLRVLTHLPLKDAIRTGALARGWRDLWRGRWAHRTSLKVHLCSRDDLRRELDALAREPRPRRRLERFSLIVDTSYLKSSEFQRFLDYATECGVEDLHVETQTRRTTAAAKLKFPLPLSSPALACLSLRDIPVSMFYKGAQRPFHALEVIRLVSVSFRLEAFRKMMALCPNLLTLDLRLCRCNGNGLVFDRLPPNLRSLTIACCDRITSLDFVRVPTLRSFRYRGCPSNLPFSIPRDAVLSDLYIQLYSYDSALMKEWNIDKLRKSLPEDLSSLSVLTICHKALTGASVLPADGASAQLPNFNLHSLKELHLLMLGMKAVNLSNLYLFLKTFQCPNLERLFVKFPDYRYAPMEGSVDQVWEEPPEDGLDNLVMVKVMNFNWNPAEVQLVSFLLRKARSLRKLLIVSRSVTPVDLPDAPEADLSLLKEALVNGKIMFTESDNAATQPYHDAFIMLPIWCLLDVTFMFLEYGKADALMLQQHGPKAQNSVRIPWWSVGSDAIPVDRVSALRDELRLHVLTHLPLKDAIRTAALARGWRDLCGGAGGRTAPPSRSTSARATPYRGSSTDWLGSRARVAASTASPSSSRPASSSPRSSGASPNTLSSAASRTSTSRCGRAASSTSTCRYRARSSRASRSAASASPACTKRTPSRSTLSRSSGSTQYPLRWGLTKSWRCAQASITLDLCGCHCDFKRRWTIMHWNLRSVTIVECDAYTRVNLACLPRLGSYRYSGDFLEMPFFVQSHTALADLYIRFTHPVKEVNHKLKYCYFPKDLSGLNVLTICCNTLPVAKYSTKCPKAQSQLTRFERATATHLPTFKYLPKKGSVDEVREEPPQDGLENLVMVKVTNFNWCCVEVQLVSFLLRKASSLWKLLIVLPNGISLDLPGVLEASVLLLKEALASGKIVFREFDDGATEPYHSETYIMFL >Sspon.01G0045560-1P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:90412626:90413389:1 gene:Sspon.01G0045560-1P transcript:Sspon.01G0045560-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGVVVLLNCFVSPFGNRVRIALKLKGVAYEEKAENLAAKSPLLLSSNPVHAQVPVLIVDGKPVCQSLVILDFIDDAFSAAGKPLLPADPYARAHARFWASYVDTKLNEAGVRVWRSPKGAAAAVEEAKKDTVAALKTLEAELGGKPYFGGDALGYVDVALVPFAPWFLTYERFGGFSVAAECPDLAAWAERCARENACVAESLPEPEHVFQFVCGMRKAFGLDD >Sspon.08G0013950-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:55750541:55751913:1 gene:Sspon.08G0013950-2D transcript:Sspon.08G0013950-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLLKQQQRVLLGRSDVSGWGAFLKNSVSKHEYLGEYTGELISHKEADKRGKIYDRENSSFLFNLNNEYVLDAYRMGDKLKFANHAPDPNCYAKVIMVAGDHRVGIFAKERILAGEELFYDYRYEPDRAPAWARKPEASGGKDDGQPSNGRAKKLAQNTRG >Sspon.01G0006960-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:14823511:14827923:1 gene:Sspon.01G0006960-2C transcript:Sspon.01G0006960-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYVERGVVKDKRTIWRLSIISDFFRAIVNFIRIFFDTLFSVDKTDSYKKGYGTGKKWDGGPGGGGGGRGPYGGGGGGFGGGGGGGSRGPRTLSDIRSNDHSSLPACGSCCG >Sspon.08G0007260-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:23154302:23160565:-1 gene:Sspon.08G0007260-1A transcript:Sspon.08G0007260-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPRRRSNHPGSSFPLPFLLVCGLLLAAAAAGRGGAGHEGRAGKPEVEAEAEARGDGVAVAEAGGEVVAQGNATEAKEGSLADMIDRALEKEFPESEGEQGGGETDPGSFNNTVAEKQGVLETVARRVTKKNETKDNKSFPFKEVFLDRSEQEDVPTLIDRKVQMCNHILWIKFSIKLLHICHTCWHSVVDIFVLIQSLPQDNVFIISNPKSKYPVLQLDLRLISDLVVVIVSATCGGIAFACLGQPVITGYLLAGSIIGPGGFSFVNEMVQVRCFHLSVILVITVKTFIVAIVVKGFGYSNKTSLLVGMSLAQIGEFAFVLLSRASSIHLIEGKLYLLLLGTTALSLVTTPLLFKMIPAVVHLGVLLRWFSVDTNQVELGLKNDVLRMDSGKRINLIVQGSHDS >Sspon.02G0035810-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:21810116:21810789:-1 gene:Sspon.02G0035810-1B transcript:Sspon.02G0035810-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMGSRSLKLTEIVSKKWGVGGGSKVASPSTAACPRGHFAAYTREGRRFFIPIAYLASDTFRELLSMAEEEFGEPGDRPIVLPCSADRLEQILDAFRSGGGGGAKKKSAGAGRISNILPLPAG >Sspon.07G0004670-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:10820307:10823449:-1 gene:Sspon.07G0004670-3D transcript:Sspon.07G0004670-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLVVSNRSEYSRTRKLHAIMFYSTYGHVAKLAEEIKKGAASVEGVEVKIWQVPEILSEEVLGKMGAPPKTDAPIITPQELAEADGILFGFPTRFGMMASQMKAFFDATGGLWREQSLAGKPAGLFFSTGTQGGGQETTPLTAVTQLTHHGMVFVPVGYTFGAKIFDMESVHGGSPYGAGTFAGDGSRWPTEVELEHAFHQGKYFAGIAKKLKGGSA >Sspon.03G0010300-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:27901373:27906517:-1 gene:Sspon.03G0010300-1A transcript:Sspon.03G0010300-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKHGAGCRVAHSSVAPSSPVPIPTDKMRKQELTEGAGRQAPPPRRLGPLARPPRAPARLSTCNRPPPAFPTSPHLPPLPLTLLAPHPPPVVIREAVTLLTASQSRARHRATPSHRPMAMGGASFPFQWPEEPADAGLYGVTLPPLPTAVPDAAGVAAAYYAADMHAMQHMEALPDLAAALAAMRREEEEAAGIRLVHLLMSCAGAVEAGDHAGASAHLADAHAALAAVSPTSGIGRVAVHFTAALSRRLFPPPTPSPPAPAPPAADDHAFLYHRFYEAGPYLKFAHFTANQAILEAVQGCRHVHIIDFSLMQGLQWPALIQALALRPGGPPSLRLTGIGPPSPPGRDDLRDVGVRLADLARSVRVHFSFRGVAANRLDEVRPWMLQVSQGEAVAVNSVLQLHRLLADDARRAPIDAVLDCVASVRPRVFTVVEQEADHNKPGFLDRFTEALFYYSAVFDSLDAASGGAGDAAAEAYLEREICDIVCGEGADRRERHEPLWRWRDRLGRAGLAAVPLGANALRQARMLVGLFSGEGHCVEEAEGCLTLGWHGRPLFSASAWRAAEENNQTDSCNVDGSRSRSSGGGSGSDESNISCSS >Sspon.05G0026170-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5B:31592541:31593474:-1 gene:Sspon.05G0026170-1B transcript:Sspon.05G0026170-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVITKINSFSSTETLVPRNQDAGFPATVAALKNPNPKVVYDEYNHERYPPGDPSKRAFAYFVLSGGRFIYASLLRLLILKFVLSMSASKDVLALASLEVDLSSIEPGTTVTVKWRGKPVFIRRRTEDDIKLANSVDVASLRHPEQDAERVKNPEWLVVIGVCTHLGCIPLPNAGDFGGWFCPCHGSHYDISGRIRKGPAPFNLEVPTYSFLEENKLLIG >Sspon.08G0004540-2P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4D:70985080:70986196:-1 gene:Sspon.08G0004540-2P transcript:Sspon.08G0004540-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRVPACQGADRGGRGRGGVPRRPARPGCAYMVFATTVAALQACFVGLLGVEALQWSKLCNIYTRFCEQAAAGMVCSLLAAAGMAVLSTFSARDLFRRRPCSPCVQVQQL >Sspon.04G0029560-1T-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4C:75711668:75711904:1 gene:Sspon.04G0029560-1T transcript:Sspon.04G0029560-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding HMNDETILNAVSIEKDVDGFHPLNIGRLAMQGRDPFFVPCTPKGCLELLHRYGVEIKGKRAVVIGRSNIVGMPAALLLQ >Sspon.01G0004130-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1B:9868103:9868264:1 gene:Sspon.01G0004130-2B transcript:Sspon.01G0004130-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLQNVVSGETQPSNGGYNYRLVITVSGGKTTQYEAFVWGILKTPSWKLLSFTP >Sspon.03G0012190-1P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3A:33812976:33814743:-1 gene:Sspon.03G0012190-1P transcript:Sspon.03G0012190-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RTETRHVWAPGPVHRRLRLCVRDVGSGRQRGSAGRRLEERRQRSNQPRKSRKMAPRALGKAVQDLPRLSRLARRTIEVTEAGRAGQAERALELFDAMPVKSQVSWNAALAALVDAGRTDWALSFFREMPRRNATSYTTMIGGLSRAGGAAAASARLLFEELPLDQHNVFTWTAMVSCHVRNGEPGKAVELFVALYAEFFARGVLPNAHTFSSLLKACVGVRSLAMLLQLHGVIFKLVDEGSRHCFVWNALIDVHAKLGALLDAEKVFYGMRYRDICSWNIMMDGYSRHKLVDRALDLFRSMRKKDASTWSIIICCLGENSLGEDALRLFIDLIRSECHCGGNAKVLNPSIYTTVLHTCSVLAMLAFGRQVHARTIKDGFGRSNVFVSNSLISMYSSCGATLDLERVFEEMTVRDVVSWNSVIQGLGQNGLGRQALAVGERALEHGMYNGNTFIAILTSCSHAGLVVEGLSYFDAMAKKHGVEPTLDHYISVIDLLGRAGRLEEAYDLLWKMPFVSNALAWRTLLHSCLAHKNSAMGSIAVQELRALQPDGGAGNYERLMQGCGGSTADETQAGNEKSADHTPGCSWVT >Sspon.03G0013670-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3B:51360180:51367486:-1 gene:Sspon.03G0013670-2B transcript:Sspon.03G0013670-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CBL-interacting serine/threonine-protein kinase 8 [Source:Projected from Arabidopsis thaliana (AT4G24400) UniProtKB/Swiss-Prot;Acc:Q9STV4] MVGGGGGGGALRRVGKYEVGRTIGEGTFAKVKFAQNTETGESVAMKVLDRSSILKHKMAEQVLASRKKIFIILEFITGGELFDKIIRHGRLNEADARRYFQQLIDGVDFCHKKGVYHRDLKPENLLLDSQGNLKISDFGLSAWPAQGSSLLRTTCGTPNYVAPEVLSHKGYNGALADTWSCGVILYVLLAGYLPFDEVDLTTLYGKIESAEYSFPAWFSGGAKSLIRRILDPNPETRIRIEEIRNDEWFQKNYEPVKEIENEEVNLDDVNAAFDDPEDDTEDAFGDEAGPLTLNAFDLIILSQGLNLAALFDRRQDYDKLRNRFLSRNPAKVILSSMEVVAQSMGFKTHIRNYKMRVEGLNADKTSHLSVMVEVFEVAPSIFMVELQRAAGDTSEYNTGQIYIGQ >Sspon.04G0002200-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:6910317:6913757:-1 gene:Sspon.04G0002200-1P transcript:Sspon.04G0002200-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPASSSAPPAPPEEAAARRRLPEELKLRRRTLESVLEQCQRALEMTHEADLGEAAEGASFKEVEVEVEVEVEEEGCADGGGDEGAPPPPLSEADYEADELCDLLKSRVQSPEFLEKLDTLQKSVYQHGAVDETVSWDIISAADIWDDKSMNVSDDSEDGYVLVKQEDIVDGIACFMAAYLLSLKQTKDLTPNQLQQALSKTFSAKKRKSKLQKAWDGTKVVYNIASWSATAIGIYQNPAIVQAATAAFWTSCRVISKFL >Sspon.01G0052020-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:19774313:19778322:-1 gene:Sspon.01G0052020-2P transcript:Sspon.01G0052020-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPGGSDESWEYSLRKYLLLLATLVTTVTYGAGFNPPGGVWQTADPAAANRIAGDPIIRDTNYARYLAFFYSNATAFASSLVVIVLVLILSVLHDSGARITLAPLLGVLRAVMVLDLLSLMGAYAAGAFRDTLTAVYSLVLSAGVVGYLVAHLVLASRLPAEEDKYKRGKSAPERLRKVLMLLATFAASVTYVAGLSAPGGFWDHPEDGHRPGDAILKGGPHDARLKAFFVCNTTAFVASLLILVMLLEKKLCFSQKVRSYEIYGFVAVTLISLLAAYADGSSRKIDTTIYVSALVGVVAVCILIQVVFVLLFQQANSSQGQQTNGNGSDERQQTQGPETSNDNNGSNEQQQQTQGLQTSNDNVSDEHEKQQNQALEKARSLVLLLATLATAITYQAGLSPPGGLWQSDGGGGSIYKTGDPILLTTNPRRYKAFYYCNSVAFVASLVAIVLVRKKTLHHHNALEAAMVLDLFGLIGAYAAGSCRDVSTSIYAMALAGVVLVYVVIHVVLLTLDHKDGSASVRGNDETSGEEKKDTGLVEKRRKRLLLFAILAATITYQAGLTPPGGFLVEDDKHTGRRAGDPVLLNNYPRRYTAFFYCNSVSFMLSIALIILLVNPNVYRPAIRSNALSVCTAAGLIGIMGGYAAGCTQHLKTSIYIFALAAVVLSTVVLLVAVFLVNHLRKPEGIANSTRAAVREAPGEEEEEEASAGAAGVENAIGAKVRRKEKRIHAKRKYLMLLGILVASVTYQAGLAPPGGAWQSNDGTHTAGDPVMHDYRRARYLAFFYSNSTSFVASVVVIVLLLPLPESLHEHKWWWLWLGVMNATIVLDLLGLLIAYAAGSSRTWKTSVYVSALVVAVLAYFAIHVLLSSCVRRGKKTAPESPSQENGEGNEAATRDVQLAQTERTVRS >Sspon.06G0029770-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6C:34671136:34675212:-1 gene:Sspon.06G0029770-1C transcript:Sspon.06G0029770-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AREHVILPPPSTTSSSSSHGHRHHAALATTSHSRGPDNHVTQPYPWPQPRRPQAYPWPDFASSTSDPRRAPQIHPRSHGGLPPRRIHDQQQAAGSTSDHGLRIRTKLSPQPRVHASSISHQAISDELAGHQAISDERTGEREVTVGECLSLKNSNRTLDRTRSRVDLRVRSAQVEGRDARAKGSRTGASGPSWNQRVRSRTQESSMKGTCERTRWRVRSRVTGRVRSLEELSRLRSDAGCSASGVSRHPRWLGAAVVIELVIGDLFEPSLSDCEGFLILPRGSSRIGYSSRARGLEDPHLGGQFIGTSEDINFQSGDEFLTQSNINKETAAGCCLTELKDEQTCHNDQSDMSVTLRVW >Sspon.04G0006600-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:19839328:19843086:-1 gene:Sspon.04G0006600-4D transcript:Sspon.04G0006600-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQARSKSGLRSHGSGGRASSRPGSGTLGFPEEQPMLPDRGGGDRREVVVKIDGNGNGRAPFSFHGADAGGDGGGAKAGNATSGTNSTATTPRTESRPRSSEISSPRSPAKVWREGSYEFWNKDGGGAAAPAGANGRPAATETFSFKNRPSPQAPQASSPSMSPQQQPQPPEGGGGVDPPTRLIGNFLRKQAASGGEMSLDLDLEMEELGRTAQLRAQPSFSSSLERDGRVSFQEPQKRHSTSSCSSDSDTDDGRKRRGDDGEVVRCTSSSTAAGAGPLLRVKTRSRLMDPPPQSQPAPAPEPASAPAASPAFDEDRKSSGVRTPTKSGRLFSGFMSGNKSGPIGGKSGPMDEEEDDPFVDEDIPDDFKRGKLDALTVLQWLGLFLIIAALACSLSIKILSTKQVLGLHLWKWELLVFVLICGRLVSGWVIRIAVFGVERNFLLRKRVLYFVYGVRSAVQNALWLGLVLASWHFLFDKNVQQETNSPVLPYVTKILFCFLVATLIRLVKTLLLKVLASSFHVSTYFDRIQEALFNQYVIETLSGPPLVDENHVLEEVHELQRAGATIPKELHDAVPTKHVSGQRNIQLSGVMPKGEGSKQLSKEKGEGISIDALHKLNQKNISAWNMKRLMRIVRLGTLTTMDEQIQQATGQGDESATQILSEYEAKIAAKKIFHNVAKPGSKYIYLSDLMRFMRQEEAVKAMNLFEGAQEHNRVSKRSLKNWVVNAFRERKALALTLNDTKTAVNKLNQMANVLVGIIVFALWLLILGIATTHFFVFLSSQLLLAVFVFGNTLKTVFEAIVFLFVMHPFDVGDRCEIEGVQVVVEEMNIMTTVFLRYDNLKIYYPNSVLATKPIMNYYRSPDMGEAIDFSIHVATPVEKLALMKERLLRYIDNKKEHWYPGAMVVLRDVDDTNKLKVSIWLRHTLNWQDMGMRFVRRELVLQEMIKVLKDLEIEYRMLPLDVNVRNAPPIQSTRMPTTWSYS >Sspon.02G0046310-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:2317360:2318457:1 gene:Sspon.02G0046310-1C transcript:Sspon.02G0046310-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLSKPSLGERDAPRTSKPTGQQHLYLIFDDWELGYSIRELNLSNAGAEQRHLPPPFIRLEATRGCPEFFAAVGTKILATHPRVEFGDAARVPGGTLPIVDVRSRGVNFAPGELYPQHPIYLPVGDEELFALDIHTFKMLSMKPLWPPRLEYEYRHQISEWSWRNLPMPTFKRMDVTSYAVDSDGRTILASTAAATFAFDPLHHEWKKRVEWSLPFSGRANFVHGLDVFVGLPKDVVDAFGHLCFCRWLGDDKHVWFSKENLSSKDPAESHVGTTLVYLGESRFCLVECVSKGDDKAVQKWLEEWEELDQTEKWEDCPLSSRCRLTTFSLSSDMNGDLTAAKTVVQCYEVPVEASYNVNPVAFWL >Sspon.01G0058920-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:36785045:36789046:-1 gene:Sspon.01G0058920-1D transcript:Sspon.01G0058920-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSFIGGPRDMRRRYMDAMALVRRFGKPDIFLTMTCNPKWDEITRELYPGQTPQDRPDLIDRVFRAKLEELKHLLLKKDILGKVRAHVYVVEFQKRGLPHVHFLLIMEGRYKIMCPEQYDLLISAELPNKKKYLDLYKMVTKHMMHGPCGVLNRNCPCSKGHESCKNRYPRPFCDATLQGKDSYPVYRRRDDGRKQKVRGHDLDNRWVVPYNPYLLRLFNCHINVEACGSIKAVKYLFKYIYKGHDRVSVAVREADKEDNEGNIDEIKQYRDARWVTPPEALWRIYGFDISDRSPSVLSLQLHLPDMHMVSFHQREGVRRVLNRPGVERSMLTAYFEKNNTCEHARGILYRDFLEYYKWDSQRKEWIRRAQKNCLRQIGRVVCANPAEGERYYLRVLLNHVAGATSFIDLRTVSGDLLLTFHEAAERRGLIEADNTLHEGLAEATLWMMPYALRRLFATILVFCEPSDVLDLWEKHKEAMSEDYRRNNQSNFIVEQMVLIDIRKLLESMQKDIKMHPLPDIDDTYDPSGDIPREIFEEASVEASVDDMALSKTLNEEQQAAYNEIMFAIDSDHGGLFFVDGPGGTGKRLICTGPCSLP >Sspon.08G0011690-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8A:50618973:50621046:-1 gene:Sspon.08G0011690-1A transcript:Sspon.08G0011690-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTPALLFLLSGRLGAPVVWIRSTVASVGAQRQTGFDEQTCASRYQSVYYRKNMTRLPSPYLIKRLREQEALQRRCGPGTEPYMKASERLRSGETGAVDDVDGCSYLVLISYRGLGNRMLAITSVFLYALLTNRVLLVDRGYGNTLPDLFCEPFPGTTWALPLDFPLDSQFRQLDEAAPESYGNVVVNRSGSVSGMRFVYLHLDHAASPANRLVYCDNHRESFLRRMQWAIIRTDQYMAPGLFFNPAYQEELGRLFPRKDSVFYLLSRYLLHPTNEVWGMVTRYYNSYLRDADERLGIQIRVLFGGADKPAQNVLDQILACTSREHLLPGVVTTEGAAPQLPPPAGARRSKKAVLVTGLSAWYHDNIREMYWKSATVDGEVVSLFQPSHEEHQQWYHNKHDMKAAAEIYLLSLTDKIVTSAWSTFGYVGYAMGGLRPYLMFMPENQTAAPDPPCTRAMSMEPCSHGAPSFECTRKEINTIINTGVLLPHVRNCEDISWGLKLTDPDEEN >Sspon.03G0012460-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:44598956:44603813:-1 gene:Sspon.03G0012460-2B transcript:Sspon.03G0012460-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MWLNKLDLISSIFNIYRRSGTWLGQRELRLFKLLLNYITDPSAADNFIDLILPFFSKKDLNSDDCLEALCVVRGIIQNLRCKAGLIRDLNAVSASELGELDYDTRLNTYDKAGKRSKALSLFRQGIKENNFSEDITMKVFVPLFFNMFSDVKAGKGEQVRDICLDTLSAVAAKVQWEHYRTILKRCFRELNLRPDKQKIILRLICSVLDAFHFMKPANDASGNSDAMSEDTDSSLTFSLTTVSSDKQQYLQKVVFPQVQKLLGSDPERVNVNINLVALKILKLLPVDYFESQLSSIIHRICNFLKNRLESIRDEARSALAASVKELGIGYLQFVVKILRAILKRGFELHVLGYTLHYLLSKNVTADMYGRLDYCLEDLLAVVDSDLLGDVAEQKDVEKLASKMKETKKRMSFETLKLIAQSITFRTDLLKKIISPVSLHLQKQLTPKLKTRLEMMLHNIALGIECNPSTETSNLFIFVYCLIEDTITGSRSECKEITQSSPDQDSTVGKTFLGLGESGSQNSYILTKFALDLLRNRLKSIKLDKEDEQLVKMLDPFVDLLRKELETPMVIGLLVGDHTLQTGKHLKSVLAVVKKIVESSVIASGAIQLGLSDEAVLPLWKEAYHSVAMMERLLLRFPELYFEQNM >Sspon.01G0001440-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:3235515:3237648:-1 gene:Sspon.01G0001440-2B transcript:Sspon.01G0001440-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPRQPPELVGDAIAEILLRVPPKEPAHLVRASLVCKPWRRVLTDPAFLRRYRRFHGAPPLLGFFNNIHSAKHVPRFVPTTATASPFSSSAAFDCRPLDCRHGRVLFEQTDDTDEFLVWYPITGAREEVPEPNIRCWSAAVLCATAGCDHSSCHGGGPFLVVCVGFKGDWHAYASVYSSQAHAWGASVHLDVGVLDYCRGHLDLSRPVIVGDGIYLVLELNARIAILKYDLGRHHLSIFALLPASHIGNLLIMPTEDSLLGLASIKGSRLCLRSRIVNGDAAPRWVTYRVIDLQTVLPITIPINQAKVIGFAEGVNVIFVATDVGTFIIDLESERSRKMMKVGHVALQPVISPI >Sspon.01G0008410-2D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1D:24207976:24209286:1 gene:Sspon.01G0008410-2D transcript:Sspon.01G0008410-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQLQKIALLLLSFEKMVLWLPLLALTFSCCDAAALKLHAHHADGGRSLTRRELLHRMAARSKARAARLLSASGRATSARVDPGTYTNGVPDTEYLVHLAIGTPPQPVQLILDTGSDLVWTQCRPCPVCFSRALGPLDPSNSSTFDVLPCSSPMCDNLTWSSCGKHNWGNQTCVYVYAYADGSITTGHLDADTFTFAAADGTGQATVPDLTFGCGLFNNGIFTSNETGIAGFGRGALSLPSQLKVDNFSHCFTAITGLEPSSVLLGLPANLYSDAGGRVQSTPLVQNFSSLRAYYLSLKGITVGSTRLPIPESTFALKQDGTGGTIIDSGTGMTTLPQDAYKLVHDAFTAQVRLPVDNTTSSSLSQLCFSVPRRAKPDMPKLVLHFEGATLDLPRENYMFEFEDAGGSVTCLAINAGDDLAIIGNYQQQNLHVLYDL >Sspon.02G0054640-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:116515230:116517197:-1 gene:Sspon.02G0054640-1C transcript:Sspon.02G0054640-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MANGTTGRRGVHVISRRVVHAEPPPAPSSVPRTIHLTPWDLRMLSLDYIQKGVLLPKPEPDRRVQPAELVDHLASAFARALGRFHPFAGRLAVVERADEGTITVSLRCTGVGAEIVHAAAPGVTAADIAGALYIPRELVASLFPLNGLASADAASSVDDGDSDSRRRAAPLLAAQVTELDEAVFVAVSPNHAVGDGTTFWHFVNTWSDFGQSGGGGAGATSAGSSTPAPCQCWNRGSTNDHMPLPFAKLEHAIRGYDRSRTLHECFFHFSAESVRKLKAAANAEVRSGEGGADDAAMVTTISSLQALLAHLWRAVSRARRLEPSQETAYVLLIGCRGRVKGIPSAGYVGNAVVPCKVTSTAGEIQAKGLGWTAWQLNRAVASFDEAALVRESVKRWVRAPRLAYNTDKLSVAAVGTGSSPRFDVYGNDFGWGKPLTVRSGPGNKMDGKTSVFEGRGGGGAVALEVCLAPDTLARLVADGEFMDAVTV >Sspon.01G0002100-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:5893901:5895400:1 gene:Sspon.01G0002100-2C transcript:Sspon.01G0002100-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMAPAPAASLSYGCAACGADLNLSAAHLYPADFYFEAGNKGTLSFSWVDESRLRFAPEDRIRPFFETLNYWGIQRKRTRISCDACGHLLGYVYDDGPPVMQGTGQLGMGPSQVIPRRPRYRFKIKAVAASSSPPAAAYGKTFWEEEVNEWDILLDMLKQVQINNDKDTVIWKLEKLGKYTINAELLLRAHSLLRPAANEIYDPTKETNKDDELIRTNQEDELICIHARKEIDKKLTCNETTK >Sspon.03G0027830-3D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3D:5639772:5641003:1 gene:Sspon.03G0027830-3D transcript:Sspon.03G0027830-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMQEVDNLTLRRFLRARDHNVDKAGATLLKFLRWRAEAAPGGSVPEDQVRGELEQDKVYMGGVDRTGRPIIVGFLAKHYSANRDMAEFKSFVVYFFDKICARIPRGQEKFLAIMDLKGWSYANCDVRAYIAAIEIMQNYYPERLGKALMINVPYIFLKVWKTMIYPFIDANTRDKFVFVEDKSLRETLRREIDETQLPEFLGGKMPLVSLKDYAQQPQPSARLRMSLSVSDGRPVS >Sspon.08G0019170-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:13190790:13194813:1 gene:Sspon.08G0019170-2C transcript:Sspon.08G0019170-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:WAV6 [Source:Projected from Arabidopsis thaliana (AT5G57090) UniProtKB/TrEMBL;Acc:A0A384LBE9] MITGRDIYDVLAAIVPLYVAMFLAYGSVRWWGIFTPDQCSGINRFVAVFAVPLLSFHFISSCDPYAMQYRFLAADSLQKLVILAALAVWHNVLSRYRRGAAASSLDWTITLFSLSTLPNTLVMGIPLLRAMYGDFSGNLMVQIVVLQSVIWYTLMLFLFEYRGAKALISEQFPPDVGASIASFRVDSDVVSLNGREALQADAEVGSDGRVHVVIRRSASASTTGGGGGGYGAARSGVGGYRPYGPSSAMTPRASNLTGVEIYSLQTSREPTPRGSSFNQSDFYAMFNGSKMASPLAQPGAAGARAPGLDEQVANKFASGKGGGDAMAYPAPNPGMMPPPRKKELGGSNSNSNKELHMFVWSSSASPVSEANLRNAVNHAASTDFAAAPPPPMPVDGATPKGVSGTVTPAKKPDPAANGGDLEIEDGLKSPATGLAAKFPVSGSPYVAPRKKGADAPGLEEAAHPMPPASVMTRLILIMVWRKLIRNPNTYSSLIGLVWSLVSFRFNIQMPSIIKGSISILSDAGLGMAMFSLDYIRDVSYLNREIRSDGATFDLGLFMALQPKIISCGKRVATFAMAVRFLTGPAVIAATSIAIGLRGVLLHEYNCHPQILSTAVIFGMLIALPITILYYIQSKACIEESKALQAGMHALAPRDSAVDHMRKHLLVIVN >Sspon.01G0019190-4D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:69408462:69410750:-1 gene:Sspon.01G0019190-4D transcript:Sspon.01G0019190-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKSSDTLYEKSLKGKEETVDVVHLTHLTSLHSIYHMRPGFAEYASRPYASKWYVRMMWPMSWLSMVLTWTYGSSFTVERNVMKKLKMQSWAIPRYSFHYGLTWEKGAINSLVEKAICEADKKGAKVVSLGLLNQAHNLNGNGELYLQKYPKLGVRLVDGTSLAAAVVMNSVPQGTDQVVLAGNISKVARAVAAALCRKNIKVVMTNKQDYHFLKPNMAEDAAENLLFSKTATAKVWLIGEGLDASEQFKAQKGTQFIPYSQFPPRMARKDTCTYSITPAMAVPKTLQNVHSCENWLPRRVMSAWRIAGMVHALEGWNEHECGDIVLDMEKVWSGALLHGFRPVAQV >Sspon.06G0005590-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:17592897:17594404:1 gene:Sspon.06G0005590-3C transcript:Sspon.06G0005590-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKQVVVVDTTLVAPSGETPRQPLWLSNLDLAVPRTHTPLVYYYPAPAQGGAALGTGSFAPDRLRAALAAALVPFYPLAGRLGVDPDGRLQIECNSKGALFVVANADLTGADVFDDYEPSAEVRRTFVPVAESGDATSSPMAMFQVTFLKCGGVVLGTAIHHAAMDGVGAFQFMQTWSGVARGLDVAEACGPQAPYHDRTLLRARCPPCPTSDHFVYSPAFLSGRPRAYVTRVYAVSPKLLADVKSRCAPGVSTYCAVTAHLWRCVCVARGLAPGADTLLGLPANVRHRLRPPLPRTFFGNAVVRDLVTAPVSTVLDSSLGSVAETIKKAVDRVDDAFARSVLDYLELQQQNNAGGGGQQAQQTQAPSREQLVPATDLWAVSWLGMAMYDADFGSGAPRFVAPAQMFGVGTAYMTPRGPDRDDGIAVLISLEPEYLPCFEKVFFYD >Sspon.05G0017290-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:67823261:67824634:-1 gene:Sspon.05G0017290-3C transcript:Sspon.05G0017290-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGLHLQHNLFTKVGQLPAYTFIDVLDLSFNKLGGSVPFPFSGNWFDYSNNIFSSIPSLDFIRQFRTATKMNLSNNELSSPVPYTECQDNQLSGPVPPYLLKGCSNLLRMLNLRGNNLSGILPDEMGESCSLYVIDLPGNQIQGRLPRSLASCKYLLHLDVGRNKFVDSMAWPGMNPDEFSYYVGSYFGGFPYPVPVDVDMKQLYLSMIKNPFDMVVIDLSDNRFSGSIPKTIGNLVALHVLNMSHNALSVSR >Sspon.01G0009900-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:30307739:30309104:1 gene:Sspon.01G0009900-3D transcript:Sspon.01G0009900-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAVAVSSSLNPDAPLFIPAALLQVEDFSPQWWDLITTTAWFRDHWSREHAHLDEMAEQLDAASLLPDDEDLFYDDQLEQAPAAAATLKTDEVLKALNLTSPKGGDAPRGFREKPRHSEKPTKYAGSPKSSAPRVIHQPR >Sspon.01G0048250-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:107619910:107621585:-1 gene:Sspon.01G0048250-1B transcript:Sspon.01G0048250-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein trichome birefringence-like 34 [Source:Projected from Arabidopsis thaliana (AT2G38320) UniProtKB/Swiss-Prot;Acc:O80919] MTGCNPPRKGRSWAGAGGDGLEEAWLVAEAPAKKPHARAAAWGVTVSFGLRRGHFNSFVLLLLVLFVVLAISVTTTKNDSGGPEQRVTTRTTAPPPADDASAGGQGECDMSSGRWVYDDAAYPLYEESACKFMSDQSACGKFGRTDLKYQHWRWQPHGCDLPSWQLLMNAARPAGLALCRFDAVKLLQRLRSKRLAFVGDSLNRNQWISMVCLIDTATPMLRKSMAGGNGSLVSFKIHEYNASVDFYWSPLLVESNSDHPVHHRVADRVVRAGSIDKHARHWADADVLVFNSYLWWRRPTMKVLLKLTVHAFGAAYVQVGVVRGGGGGRAQGGVRGDGQPARVRAVDQDMVGMVGAPRRPRPHAALLHEHVADAPPLRRVGGARDRDRRQPPVLRRDGAIMAEGHRGQDTDPAFARAVEAQVARLGARGVAVRVLNVTQLSEHRKDAHPSVHRRQWSPPTAAELEARARDPSSGADCIHWCLPGVPDVWNQMLYAHLVSSS >Sspon.04G0021750-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:1519728:1523588:1 gene:Sspon.04G0021750-2C transcript:Sspon.04G0021750-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEGISGDAGLAAAPYDQWVLLSPAGGSPRPPARYKHAAQVVQDKLYVVGGSRNGRSLSDVQVFDFRTSTWSALNPARDSNQLNHENNAAGGSFPALAGHSLVKWKNYLVVIAGNTRSSSSSNKVSVWLIDVQANSWSAVDTYGKVPTARGGQSVSLLGSRLLMFGGEDNKRRLLNDLHILDLETMMWEEIKSEKGGPAPRYDHSAAVYADQYLLIFGGSSHSTCFNDLYLLDLQTLEWSQPDAQGAHITPRSGHAGAMIDENWYIVGGGDNANGSTDTIVMNASKFVWSVVTSVSARDPLACEGLTLCSTTVEMVKSYHFLMLKDMLNYLTKTSTLLQIYVLKPKARNLVQPRLLQSPAAAAAAASVTAAYAVITATDEKTRDIVATDDFDIKRAQPASNSKKFVAEIDVLNGENGKLASRLAEVRNENSKLKDKLDMANLSYGELAK >Sspon.02G0050750-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:66553414:66554473:1 gene:Sspon.02G0050750-1C transcript:Sspon.02G0050750-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MECFASQFSRGKSLDHPLKYGVVSNLPPQVAHADPLSCRARVRSGGAPARTRRCSRPRLAALATRVLDLTAGGHETRRRRLPGLELPASSTSSARCSEVRCFHNKRLEVLQAGAVVTAHGEGWSGLRCGNLAELAVAVGGARAHGRAPARGRQRRARRSSLASCMPGDGGLTRARMKKKLRTR >Sspon.07G0014020-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:50407052:50411076:-1 gene:Sspon.07G0014020-4D transcript:Sspon.07G0014020-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPSAAAAAEAPRTVASAVLRIQMALLDGAAASNEALLHAAASALLSRADYDDVVTERTIADACGNPACPNPLPSAAAAATGPRSHIALSEHRVYDLEEARKFCSERCLVASKALAASLPHDRPYGVPLDRLAAVVALVEGAAAAAAAAVGDGSGLGFQGVDGRNKDEGRKVEIKEKEVAGAGEVSLQDWIGPSDAIEGYVPRRDRSAQGQKPQAQQNKVAGPVQSRTENVDHRTAAPGEDGMRSSPSLVETHMSSEVIAERMGDLVLGENTKTPRKKKTKTPSKMLEQEEDNSMLSSCISDSIAKQLEDVVLEERKGTKKNTASKASSRTQKSKSRKRPAGSDGHEVDFTSTIIIGDASTNREESAMNQYNYLSSSVLVDNHPSSSQSSAKDSMQAYAEQLCEEFNEAVNIGNDETSDEKMRPALKSSLKVTASKSGRQSVTWADENGSVLETSKAYESPSSSIKQPEEGIDSSLRRASAEACAAALIEAAEAISSGTAEAEDAVSKAGIIILPDVLNQKEYDNAKNTGGDDDPEIDRDVIKWPKKPVLLDTDMFEVDDSWHDTPPEGFSLTLSAFGTMWAALFGWISRSSLAYVYGLERGSVEELLIANGREYPEKIVQKDGLSSEIRRALDSCVCNAVPVLISNLRLQIPVSKLEITLGYLIDTMSFVDALPSLRSRQWQAVVLVMLDALSVHQLPALAPVFSNSKLVQKMLNAAQVSREEYDSMVDLFLPFGRSLQATTPM >Sspon.01G0017640-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:69299330:69300472:-1 gene:Sspon.01G0017640-1P transcript:Sspon.01G0017640-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEKQGHPRLNERIMSTLSKRSVAAHSWHDLEIGPGAPAVFNCVVEITKGSKVKYELDKKTGLIKVDRVLYSSVVYPHNYGFIPRTLCEDGDPMDVLVLMQGEKDDKIIAVCVDDPEYHHLTDLKELSPHRLNEIRRFFEDYKKNENKEVAVNDFLPPTTSLEAIQHS >Sspon.04G0009110-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:27128004:27131058:1 gene:Sspon.04G0009110-3D transcript:Sspon.04G0009110-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGYCNRKKTDGICEGVCDSELGSKSVLSMSRLKCALRGFDLRVLLILLIGVPILIFAIYVHGQKVTYFLRPIWEKPPKPFTILPHYYHENVSMDNLCKLHGWKVRETPRRVFDAVLFSNELDILDIRWHELSPYVSEFVLLESNSTFTGIKKDLHFKENRQRFNFAESRLTYGMIGGRFVKGENPFVEESYQRVALDQLIKIAGITDDDLLIMSDVDEIPSGHTINLLRWCDDIPEILHLQLRNYLYSFQFLLDDKSWRASVHRYRAGKTRYAHFRQTDELLADSGWHCSFCFRYINDFIFKMKAYSHVDRIRFKYFLNPKRIQHVICEGADLFDMLPEEYTFQEIIAKLGPIPSTFSAVHLPAYLLEQNDRYRYLLPGNCNRESG >Sspon.03G0001490-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:3616885:3619532:-1 gene:Sspon.03G0001490-1A transcript:Sspon.03G0001490-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQERQAVARNRKVVLRGYIERAPREDDMELVDGGAMELRVPEGAGGPAVLVKNLYLSCDPYMRGRMRDFHGSYIPPFKPGSPIEGFGVGRVVDSTHPGFSAGDIVSGMTGWEDYSLITKPEQLRKIQQSDIPLSYHLGLLGMPGFTAYVGFYEICSPKKGEFVFVSAASGAVGQIVGQLAKLHGCYVVGSAGTNQKVELLKEKFGFDAAFNYKEEPDLTAALKRYFPEGIDIYFENVGGPMLDAVLLNMRTHGRIAVCGMVSQHGVTAPAGIHNLFSLISKRIEMKGFIQSDYVHLFPQFVDDITKHYRDGKIVYVEDMSVGLESGPAAFVGLFSGKNVGKQIRGREEALLLSTIWHIMD >Sspon.01G0003230-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:7337320:7344281:-1 gene:Sspon.01G0003230-2B transcript:Sspon.01G0003230-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MESIMEKPMMDAATVGGGGGAGIGRTKSEQLAPSQSLSRTPSADTVLSTPADAPSLSRKSSFGRKRRSASVGSGNNNSHIRKSRSAQLKLDVEDLVGSGAAFSRASSASLGFSFTFTGFTPPLQHMCSADPPPFSDDDENPMDIEAGTRRKKLMTEPTLPIYLKFAEVKYRVAGKGSPREILGGISGSASPGEVLALMGPSGSGKTTLLSILGGRAGRGAVEGCISYNDEPYSKSLKRRIGFVTQDDVLFTHLTVKETLTYAALLRLPRTMTRQQKKERAMDIIYELGLERCQDTMIGGSFIRGVSGGERKRVCIGNEILINPSLLFLDEPTSGLDSTTALRIVQLLHDIAEDGKTVITTIHQPSSRLFHKFDKLILLGKGSLLYFGKASEAMPYFQSIGCTPLIAMNPAEFLLDLANGNTNDVSVPSELYDKVHMENNNSKNDHRPSAQDVHEYLVDAYETRVAFKEKKKLLAPLPICDDLKATITSSKREWGTSWWQQYSILFCRGIKERRHDYLSWMRITQVIATSIILGLLWWHSDPSTLKGLEDQAGLLFFIAVFWGFFPVFTAIFTFPQERAMLNKERAVDMYKLSAYFLARTTSDLPLDLFLPVIFMVIVYFMAGLKATATHFFLSVLTVFLSIIAAQGLGLVIGATLLDIKKATTLASVTVMTFMLAGGFFVKRVPPFISWLRYLSFNYHTYRLLLKVQYDPVPDILTTTKHMDNGATEVAALVAMIIGYRVLAYLSLRRVKAGSS >Sspon.02G0003320-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:9698360:9700856:1 gene:Sspon.02G0003320-2B transcript:Sspon.02G0003320-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGGARPWTSAPDPGAAGKGGESRAVVRPAAGNTAAGAAPGGDAAICAQDGWEGEGKKEKREGKEGGRSAMAGGGSGSSADERLNDIVGSAYYVAPEVLHRCYSTEADVWSIGVIAYILLCGSRPFWARTESGIFRSVLKADPSYNEAPWPSLTPEAMDFVKRLLCKDPRRRMTAAQALSHPWIRNYNDIKLPLDILIFRLIKAYIRSSSLRKAALRALSKTLTVDELFYLKAQFSLLEPDRNGCITLDNIRMALTREATDAMKESRVQEILVSLSALQYRRMDFQEFCAAAVSVHQLEALDRWEQHARSAYEHFEKEGNRAIVIDELASVRIGPQPFSATACRSTRLDQAYGWEIELSRICQVVAWHVQQVPVKDEIAVDNF >Sspon.02G0014470-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:40862733:40869704:-1 gene:Sspon.02G0014470-2C transcript:Sspon.02G0014470-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTPATSCGAHGAALTPCPCRPTPPGSLLKPFTGPSRRTSGHRLLAPMASTVDSPGSSSSDFAKRMERAWLIFQIFFYLRASQVVLIAKGLGFVPSGLKNLLLTNEEVNHT >Sspon.03G0041700-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3C:44577996:44578461:1 gene:Sspon.03G0041700-1C transcript:Sspon.03G0041700-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding HHVGWPSVRRQPPARGHVAAPPPGPTVRAPPPGRGHSSSGHGGELCRHPPLLAAGASSATQAVAAVASSAAVLLFRPRGGELLFRCHGPCPATVWPFTQVSSRWRDSSSRFSAACMACRREREGGRERRRESCWREIERPVPYPFGEGEREIWG >Sspon.08G0002420-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8A:7127633:7129675:-1 gene:Sspon.08G0002420-1A transcript:Sspon.08G0002420-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASRDDEGHQEEARRMASLLAARRALRAGLDKSRALSHALAPRLEAIQARLPAMEASVRPIRAPREALATAGPNIDRAVGPAAAVLKVFDAVHGLEPPLLDRAAVAADLPGYLAVLAQLEAALRLLADNCGLATQWLSDIVAYLGERRLADQRFVAGLAAALDRLRDAAASADLDAGLLAAALDLLEAEFRRLLKDHSAPLAMKDPAGGSDAPAVVPSRIPPSVVYKLSLILDRLAANGRLDHCSSAYADARGDTVSASLRALGLDYLKETSGDAQALSPSVERWGRHLEFAVHHLLEAERKLCVAVFERRPEAAPLCFAEIAARAGILDFLNFGRALAGVKKDPIKLLRLLDVFDCLNKLRLDFNLLFGGKACVEIQSRTRELVKTVVDGAVEIFEELLVQVELQRNLPPPVDGGVPRIVSFVAKYCNQLLDQPYRSVLTQVITIHRSWRKEVFNDKMLVDAVLSIVKTLEINFDTWSKAYGDSTQSSLLMMNIHWHFFKHLKGTKLGELLGDPWLREHEQYKDYYLAMFLRESWGTLAPLLSREGLIMFSKGRATARDLVKQRLKSFNASFDEMFQKQSKWVISDRDLQQKTCHLVVQAIVPVYRSFMQNYGPLVEQDVSASRYVKYSADDLDKKLNTLFLAKPGRPMRAGSFQIKNSDDKITSAMTGLYRSASTLK >Sspon.04G0005560-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:15616164:15625316:1 gene:Sspon.04G0005560-3C transcript:Sspon.04G0005560-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGPLNSSSGHNAAAGGGGKTIEEMYQKKTQLEHILLRPDTYIGSVEKHTQPLWVYEDGAMVNRSVTYVPGLYKIFDEILVNAADNKQRDPKMDALRVEIDVDGCCISVYNNGDGIPVEIHQEEGVYVPEMIFGHLLTSSNYNDNEKKTTGGRNGYGAKLTNIFSTEFVIETADGHRQKKYKQVFSENMGKKSEPQIIKCKQGENWTRVTFKPDLAKFNMTHLEDDVVALMRKRVVDMAGTLGKTVKVELDGQRVPIKSFCDYVDLYMKSANCDRTDKTFVLIFYKHKVLVMSINNFFWVISDHSQWVYYFRIYEKNDRWEVCVSQSDGQFQQVSFVNRIATIRGGTHVDYITNQIANHVMAIVNKKNKNANMKLHNVKSHLWVFVNALIDNPAFDSQTKETLTTRQGSFGSKFELSSVFLKKVETSSIIENVLTWANFKLNKELKKTDGSKKSRISGIPKLEDANEAGGKDSEKCTLILTEGDSAKALAMSGIAVVGRDYYGVFPLRGKLLNVREANHKQIMDNAEIQHIKQILGLQHGKQYESAKGLRYGHLMIMTDQDHDGSHIKGLLINFIHSFWPSLLKVESFLVEFITPIIKATRGQTTKSFYTMPEYEEWRRNLGASASSWTIKYYKGLGTSTAKEGREYFKAITDHKKDFVWEDDQDGNHIELAFSKKRIADRKQWLTNFQPGTYIDQQEKKVKYSDFINKELILFSIADLQRSIPSMVDGLKPGQRKILFCSFKRNFVKEAKVAQFSGYVSEHSAYHHGEQSLASTIIGMAQNFVGSNNINLMYPGGQFGTRAQGGKDAASPRYIFTKLSHITRSIFPKDDDTLLNYLNEDGQSIEPTWYMPILPMVLVNGSEGIGTGWSTYIPNYNPRDIVANLRRLLNEESTVPMHPWYRGFKGSIEKTVNTKVAGSTYTVTGIIEVVDNTTLRITELPIRRWTQDYKDFLESLCPDKHNKDKVSFIEDVTAQGDNEDIYIELQLSEGNMNIAKEEGLVKKFKLTTTIGTSNMHLFGSDGKIRKYDTPEQILEEFFQLRLDFYVKRKEALLKSIKLDLKKLENKVRFIRCVVDYEIIVNNRKRADLFLELRQKNFDPFPKKKKRAEPAAVGALEDENEESPEAEGAEPSDYEYLLAMSIGTLTLEKIQELNAEKQKLVDAVEELKKTSPKSLWLKDLDAFEKELDVLDQMDLDEERQREKRIKEGKKGASKAGPKNQRKKAAVKKEKIDTEDDDAAEPVVPKRGAQRKKASKKAPVDEEEVDMPSLKDRLANIYINDSSPDHSAMETETTEQQNEKIVTKGPSKRGGGNKASSSLAMIPSDDEDDDFTLEEVSEVQAQKKGRGKKPAAAVKPKAPATRKRVPAQGKAVQKKIDEMLKPTEDNNTSPEKKVRKMRPSPFNKKSGSILQRGSTAASANSETTAEASPLSGSSAEPVGAAQPRRTARATKKPVYVTDSDPEDEVVELTDDSDFDVDVSYWVWRRSKEEIWTPSVTHVSQFCGYMWQNTLHTAMAEESLGDKLIGMAQRFVVTNNTGGHFGTRYQRRGDGCDTENMFTKLSPITRSIYLEDDNGPLNYLQRDGKSIEPTWYLPILPMVLVNGTKGSGNGWSTYVPKFLGASSLT >Sspon.05G0027380-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:52497395:52508706:1 gene:Sspon.05G0027380-1B transcript:Sspon.05G0027380-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VGLANARTGVNKPELLPKEFTTVIDVAGFLSSGQENRLRQEIEDLEKDTGYKLRVLAQNYPDTPGLAIKDFWQVDERTIVFVADPTFGNIINFDIGPLVDLDVPRSFWSQVSGKYGNMFYWKEKGEDASIEATVTAISRCLRNPTGTNNCS >Sspon.07G0018140-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:65343730:65349149:1 gene:Sspon.07G0018140-1A transcript:Sspon.07G0018140-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTAKPMQSPAPPDPYTPAAVVSLLRRLPRRSCAAATGHQFHALLAKLGLLHHPACLAALLSHLPPTSSSSLSLLLAAPPCVLSSSLFCPVISAFSSSPAPSASLTLFNYVSSFSLLTPLPAFPALLKSCACAFRLSCTRAAVFAAKGAELHCRVLKLGCGQDRYVQNALVSMYGKFGHLGEARKAFDEMPVKNAVSWNALVVAHGVYGDLQGAERVSQATPARNISWWNSEITRNVRLGDMAEAARISRDMPERDAISWNSLISGYAKLGMYDRAVDIFRDMRKNADGYVGNALVDMYAKCGNLKLARQVFDSMSIRDVTCWNAMIVGLSVHGHSHDALKLFDLMNTEPDHVTFLGVLTACSHSGLLNEGRVYFRSMIEDYKIFAKREALWLLHGHFDLANKAVHIMHELMPMDDGDVITVSNVYAEAERWDDVEHLRTKAVEQNLCLSPK >Sspon.07G0003090-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:7605576:7607881:-1 gene:Sspon.07G0003090-1A transcript:Sspon.07G0003090-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEQPDKRTTAAVRPIHRRRLGSAISRQGWCRRRGLRASCSDDRLSALTDDILLLILRRLDTRTVLATTTLSKRWACLPRGLDSLDFRVSDILPPRYHRCIRVHHEGARYTYGLEVNLKAICASIERYQRRAMRAMAASIKNFLDADDDHDRDALGLRSVRKLRLEFFATQCSSGINRLITKAVDSWEVEDLEVSAQSMFRRRDAHSFSHHGLCNNPQKSRLRSLKLTACYFPPLKGFHELTSLVLQDLPKSTPTSAYESIFTLCPQLQSLHLKSCMLDQGVVAIDAPRSEIKQLIMEDCSFGWFKLHALPMLERMAIVDTTVIYRLSSFPYLRHFSLTKCHGFSKSRTIRFTPDWDLDRYLGGSLGITNLVVRFTGYDRWFKPWSPALLLPKLRRLLIADVPSSWDVSWPRLLIEAAPCLESLHIHISPWEEDPCDDIAWQSPKFCHNHLKELVMVGFDGTERQIYFVNFVTEVSTSLQLVSLYKKGHVQDMGRWDWAMVTQRYDWDEDEKFSSQRINTKQLEVLVNI >Sspon.08G0023370-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8B:55215960:55217144:-1 gene:Sspon.08G0023370-1B transcript:Sspon.08G0023370-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAESLSWSDLPPELLGLVLRRLPSLADRVRVRAVCHPWRANARMQSLPPPFPWLTLPDGTFLSIPDGEIIRMAVPDDARCYGSIDNWLFLMKRHGGCSLMNPFSKATLDLPRLATVWREDWYNQDPRRNPLFYKVVVPSPLDTSPESLVAVLILDDGNGSRVCICQPPVATDMSRGGSMEPCRYLHDVVFFNGKLYGVAFCDNLLMFEIGYDLGNKPKISFTECIINSMDAYLRDLPHSLSREKVYMIREYVVECCDRLLRVIRFIHNDCPPSRSLFFEHHRTVGFAVFEADLSTNPGQWRRVNKLGGQALFVGRHFSKSFAVEEYDGIQEDCIYFMCDYPWPDYAGDPLRDSGVYNMRNGMITPLLSENAPVPHYNGGQWRPTWIFPADPI >Sspon.05G0007220-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:16751483:16756330:-1 gene:Sspon.05G0007220-2B transcript:Sspon.05G0007220-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCFLACFGGDADRRRRRRKSRKQSPARHPFLRQARGAGGFLTLIGLWGFVAQVAVAREAADVVVKEVSPPLRGAKPSTLAEAVEVPDEVVAEAADVAANDASLLSKEVKASTTPSAVAAADEAVTDASPVEELRDLSEQKVLEKQAAPSLSPVKCSPIVPAVVSPQDSVECSPVAAVVVSALDSDLSDAVYMIMTVNKPSFMLYREVNEHGSQSSGKKKVTFDMNVTTYENAVPPDQEEEPPKEDENYVQNIVVLPENHRYQNCFDSDDDVGDEYAEDDVYGDDSDEDEEDFLDCKIDLVDEEEIRTEENKQESHESVFSLSMSNDQQNDQEVVSPAPKSSGTSVEAESPLITTKKLRDRCQYVHPVLNPVQNLSQWKEVKSLKAQPVHDKMLDKENVILVPDVGPSHYCNSASHTRMNPSMSSNKEVSLDASLSTWLVSSENSTVDKVQSKSPCSNSSVNREERPILGALTVDDLKQSSAASSPQRSPRTNREGAPILGTVGSYWHCTEQNNEYCSSGSNSGTNGIPNSTSKYREDERVNWHSTPFNRLRHSTAITHRPMLLPLITMQPLRALIMPRHLRNHRVLMVMATMVLTVHRRQVIMGIVSTTHQHRRQVSMVTTVTMHQHWRQVITVIIAITHQRRRQVIKSIVPQHRYMPTTNRLRLQNYIILTITPQCPLLFMVARP >Sspon.06G0032170-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:67301742:67306234:-1 gene:Sspon.06G0032170-2D transcript:Sspon.06G0032170-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAAAQAEAEEELRLELEAVVAVYGDDVRVLRDLPPHLVVHVRPRTADDSSQQFVELFLGIKASSQYPKEPPHIYAVESKGLDENRQIYLITSIQNKAKELSNYPMLVTLCEEAGEILSNMNHPAGDCPLCLYALVGEDKVGSELPFMKLMSCYHCFHSDCIMRWWEWLQHGDANLKKRNTGDKHYNVNQPRGFCPVCRKVFDENDIEHASLTGDLGEDETELLHSEAEQNRRKRIESIVNLQQERNGLIEPKKDLAIQPGMYVSLPPTMPGTAEEENADHSKDTATSTSETEQRGLANNTSSNKPKNSSYRRRNRANASRRQPHGQPPRQQWQRKEADTSHQ >Sspon.07G0006660-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:17208013:17210767:1 gene:Sspon.07G0006660-1A transcript:Sspon.07G0006660-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:At5g05930 [Source:Projected from Arabidopsis thaliana (AT5G05930) UniProtKB/TrEMBL;Acc:Q8L870] MWPLCVISERLFKMAGDDGVQAAAGSPSPDGQIPLARRSYYVDVPHVQQAFTWDCGLACVLMVLRTLGIDCCAGIADLERLCRTTSIWTVDLAYLLNKFSVSFSFFTVTLGANPQYSAESFYREQLQEDINRVDELFGKALDAGISIQCRSITAYDIAFLLLSGHCIAIALVDKSKLNLPCMSDHDVQQFNEESDYMGHYVVICGYDADDCEFEIRDPASSRKRERVTMKSLDEARKSFGTDEDILLVSLTGKNGMKLSRKLLACSV >Sspon.02G0013860-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:39161730:39164661:1 gene:Sspon.02G0013860-3C transcript:Sspon.02G0013860-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAQSWRTYLCCAGGSAAVEDNDGSTPRRRRRITRRRGGKDSPRSSSSSRMSFTSLSSSEMLSPEDLSLTLSGSNLHAFTYAELRSATAGFSRANYLGCGGFGPVYRGAVGEALRPGLRAQDVAIKYLDLEGGTQGHKEWLAEVFFLGQLRHKNLVKLIGYCYEAEHRMLVYEFMSFGSLENHLFKSVNGALPWMTRMKIAVGAAKGLAFLHDADPPVIYRDFKASNILLDSVSKLTIPLRDRQALPVPALDTRFRNASSLELPTKEDMLTHVTLDYNTKLSDFGLAKDGPQGDETHVTTRVMGTHGYAAPEYILTGHLTAKSDVYSFGVVLLELLAGRQSVDRSRRTREQNLVDWARPYLKHPDRLYKVMDPALECQYSCRGAEVAAIVAYKCLSQNPKSRPTMREVVRALEPVLGMDDDFFPAGPFVFTISVEEDKVVGVKVEVEKPPQHHQSHQDRHRQKYPNSSIHAGIVLHSREGMVGGDYTAALRRQRRASSHHKERGA >Sspon.05G0019090-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:83428288:83436544:1 gene:Sspon.05G0019090-2B transcript:Sspon.05G0019090-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAGGCAVQQALAAEAAAVVRQAVALARRRGHAQVTPLHVASAMLSAAGLLRAACLRSHSHPLQCKALDLCFNVALNRLPTTGPAAAVMRPNAGGKADDDAMRVLDCMASGTKRCVVVVGESAATAEVVVKAVMDRVSKGELQQRHERLKNLQFVPLSAASFQRMPREEVEAKAGDLRALVRQGCAAGKGVVLVLEDLAYAAEAWAAVSERRRHGSGGREHGQFGYCPVEHAVMEVGSLVSAAAGGGGRGFDRFWLLGFGNNQAYMKSRAGQPSLEAVWELHPVVVPDGGLSLSLTCNRFFAIINDHYKMINVWKCRMVFFSSDAEQANQERATRPWPFFANGTATGESELITSCAKVAATTPSVPPWFQGYQVQDMTRPESCSTSFQLQDWNPNFNGSAAYHTSELTLSFSSQATNSPDASSISGSFAPSFNAASLMMMSSEPWQFKLMQPCPNYRHDDPNALVRTYDHQQLHGRPSPEKSYSVTNSSEGAPAESPKFTELTAENLKILCNALENRAPHHKDVVTEIASVVLQCRSGLTRRRRWFQEKPSAVTWLLFQGGGNDGKKAVSRELARLVFGSYSKFTSISLADEFTLVHSDSSSREPMLKRQRSLGTGNGYVQRLEWDKKSSGFTLDLNACAEDSEGNEESVSDNARIINIVDESLHAGQEASLDFLPGNEEINVQELLDMVRIEA >Sspon.04G0030370-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:787246:790091:-1 gene:Sspon.04G0030370-2D transcript:Sspon.04G0030370-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLLPPAKTLLLSPFPAFSPPPPPPHPLPRVHIRTSAGRGGAAENAAASGTTARERRLVKVREERRRREYDREHTYPGWANFNPLNSFIWFELFGEPTDRDVDLLGGVIQAWYVMGRLGAYNSSNLQLANSMMDYDPSYDSEEASSVMPSSFHDISDVEFQDNWARVWVDLGTSDYLGLDVLLNCLTQLSSEHLGIKQVVFGGKKMGDWEEGMTSSDYGYKHFKI >Sspon.01G0024550-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:87721529:87733233:-1 gene:Sspon.01G0024550-2B transcript:Sspon.01G0024550-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAPSVRTFSPSSSSSAGARPCLLLAGPASRRARALAAPPPPRAIASSRAPLVVTSPPPPEASGTPAPAHAKVDRSGRFCSPRAARELALMISYAACLEGTDVVRLFDRRISARREPGFVFDKACLLSYNHMSFGGGPLEVGTEEEAEKLTSQNEKDSANEEDVLSAPPKLVYNNFVLRLSRELLVAVASGWDKHVDIIDKIIPQAWKDEPVARILELCILHIAMAEMTSKGTPHKVVINEAVDLAKRFCDGGAPRVINGCLRTFVKDHVDVAGTRQGAESKS >Sspon.02G0017140-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:48585116:48587761:-1 gene:Sspon.02G0017140-1P transcript:Sspon.02G0017140-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYSTSIAATATATSVVVRTSCVPAAVFSSSSFIRPVLRTGRRAFHCRCSSSSFAKQDWVSTSSAAVLYRLDDALFMFPEVFQWESGMSMNAIAAAQGIQIRRRFRARYPSEGTGADRAVPRSILEQIIWDKEVEVIQRKARTPLGDVKAAAAPGVAPPPRDFLGALRAACHRNGGVPALIAEVKKASPSKGLLRDHFDPVEIAQAYEKNGAACLSILTDEKHFQGSFENLETVRNSGVNCPLLCKEFVINVWQIYYARSKGADAILLIAAVLPNIDIAYFLRVSKSLGMTALVEVHDERELDRVLNIYGVELIGINNRSLGTFEVDTANTNMLLEKRGDIIKKKRIQVVSESGLFTADDVAYVQNAGVFAVLVGESLLTQEDPGRAIAGLFGKELLP >Sspon.01G0000620-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:1278155:1294114:-1 gene:Sspon.01G0000620-2B transcript:Sspon.01G0000620-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGGDEVSAIVIDVGSYSCKAGYAGDDTPKAVFPSVVGSIEQTEDTDDPKPDKEADSASDSKNGAKPMDVDKAKTKRKLYVGQELEFRRDHMEVISPMKDGTVTDWDIVDNIWNHAFRRRLLINPEEHPMLIAEPSTNTAQQREKAAELMFENYKVPALFLAKNAVLTSFASGRATSLVVDSGGGSTVVSAVHDGFVLQKSVATSPVGGEFLTDCMMKSLESKGIVIRPRYSFKKKETSPGEYKIVDLDLPNTTESYRLYCMRAIASDIKESVCRVPDTAFDEVAYANVPTTSYELPDGQTIEVGADRFKVPDILFNPSLSQTIPGIDGFADSTPARGLHRMVVDSINKCDVDIRKELFSNILLSGGSSSILQLKERLEKEVLEESPQAARVVGSIEQTEDTDDPKPDKEADSASDSKNGAKPMDVDKAKTKRKLYVGQELEFRRDHMEVISPMKDGTVTDWDIVDNIWNHAFRRRLLINPEEHPMLIAEPSTNTAQQREKAAELMFENYKVPALFLAKNAVLTSFASGRATSLVVDSGGGSTVVSAVHDGFVLQKSVATSPVGGEFLTDCMMKSLESKGIVIRPRYSFKKKETSPGEYKIVDLDLPNTTESYRLYCMRAIASDIKESVCRVPDTAFDEVAYANVPTTSYELPDGQTIEVGADRFKVPDILFNPSLSQTIPGIDGFADSTPARGLHRMVVDSINKCDVDIRKELFSNILLSGGSSSILQLKERLEKEVLEESPQAARVKISAILNYKRVGQTHDAAIILYRVRNVVEERLRDAVEERLGEAVQQRERKREGESIRFQVPLALQPYRSNADAV >Sspon.07G0026680-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7B:54550221:54550493:-1 gene:Sspon.07G0026680-1B transcript:Sspon.07G0026680-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRLIVVPHTIYHRPCAKPASTHHGVAVHAAAPCPDRVCGHNTVVPGNTCTCHANLRHPRQISSLGRAYSTPPSARGAQRVQLPVSKFTV >Sspon.01G0039180-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:32848548:32857150:-1 gene:Sspon.01G0039180-1B transcript:Sspon.01G0039180-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTESDLTSATSGALGPTSAPAICPFATINVKLHVPMVLELKPSNFTKWSTAFRAMCGKFGLLRHLDPASPARPTDEAWQQADFCVRGWMYSTASDSVLNLAMTDTQQTACALWQAIDDVFQANKAPRAIFLNHEFHSMIQGDSSIDDYCVRMKAKADELRDVGQTVLESTLVLNLLRGVNEVYSTVADNIAGQQPLTFSSARHQLLLKELRLQNDEKVRAAAALFTAASFPAGGQQQQQQQQQQQDNRRPNSKKGGQQPSASGSHHPNRRLPWGFNPWTGERVSPGERVTPPGQRRHGGFGGSGGPNAQSGRQQGLLGPAPQAHTAFAPAATSGNSSSTTWDAAGLIATLQAMNMQGNSPWVVDSGASTHMTSSDGMITQHLPPSTSSITVGNGTTIPVTSRGHSVLPTPASNFILKNILVAPSIVRNLLSVRQFTRDNSCSFEFDAHGFSIKDLRTGHVTLRCNSDGDLYTMPASTPVALLAASSTLWHQRLGHPAPAALAHLNKIHAVAFELAALAQTQFSLPIKCIQADNGTEFLNTTTTQFLAARGTHLRLSCPYTSSQNGKAERIIRTLNNSVRTMLLHASLPPTYWAEALLTACYLLNRRPSSSIQHDVPFTRLHKQPPTYSHMRVFGCLCYPNLQATSKHKLAPRSAACVFLGYPPSHKGYRCLDLSTRRIIISRHVIFDESTWNSSLDTRGRSIYLGCRATAHRRRPYITSSRISFSGTGRAPPCGPLSTGLHPTPPTAHAPAPSPAAPAPPVPPAPPVPSTAPPAPPPPPPPRVTRTMTGVIPRVSYEGLTATSSSTSPLPTNYRSALADANWRAAMTDEYQALVNNNTWQLVPRPPGANIVTGKWLFRHNIAASRAWPIHQLDVKNAFLHGHLEETVYCQQPPGFVDPGAPDSVCLLQKSLYGLKQAPRAWHQRFSTFVRQLGFVASASDASLFVLQEGTHLAYLLLYVDDIVLTASSTALLQRIMAQLSSEFAMTDLGKLHHFLGIAVTRSPTAYSCLSASTPSTSFSVQAWLSVILRRHLLTLRPSSPRITGNSLCLFMHAPREPHRALIKRILRYVKGTLSSGLHIGAGPVDKLTAYSDADWAGCPDSRRSTSGYCVYLGDTLVSWSSKRQTTVSRSSAEAEYRAVAHVVAECCWLRQLLQELHLQLPSATVVFCDNVSAIYMTVNPVHHKRTKHIEIDIHFVREKVALGEIRVLHVPSSHQFADIMTKGLPTALFLDFRSSLCVREPPAATAGGYVNQGDYPLPCKARPAGHIIEGVPLTLRCGQLPPNSIPPLLFCNGTPNWSHFKDLLNMRFGPPLRSAPMFELAECRRTGTVADYSNQFQALLPRAGRLEESQRVQLYTGGLLPPLSHAVRLHHPATLAEAMSLAREVEMMEASRPTPPLPPRAPQRGVLPAPAPRQVLPAPQQPLALPAPPVPGLCFNCNEPYTRGHNRFCKRLFFVDGVEVDDAVDDTDAAHAATEAPGAEAPCYSLHAVAGVPVADTIQITVSVGAASLLALLDSGSTHSFIGEDAARRTGLPIQDRPRLTATVANGERVACPGVIRNAPFSINGAVFHTDLFVMPLAGYEVVLGTCWMGTLGPIVWNFADRAMSFRQDGRTITWAGVPSSSAASLRTIAAASGTLLDELLAAYEDVFATPSGLPPQRTRDHAIVLKPGSAPVAVRPYRYPAAHKDELERQCTAMIEQGVVRRSNSPFSSPALLVHKADGSWRFCVDYRALNALTVKDAFPIPVVDELLDELHGARFFTKLDLRSGYHQVRMRTEDIHKTAFRTHNGLYEFLVMPFGLCNAPATFQALMNDVLRAYLRRFVLVFFDDILIYSTSWADHLRHLRIVFELLRQHRLFVKRSKCCFGVDAVAYLGHTISAAGVAMDPSKVQAIHEWPRPRSARAVRGFLGLAGYYRKFVHNYGSIAAPLTALLKKDGFTWGAAAEEAFGALKAAVTSAPVLALPDFTKLFVVECDASTYGFGAVLLQEGHPIAFFSRPVAPRHRSLAAYERELIGLVLAVRHWRPYLWGRHFLVKTDHHSLKFLLDQRLATIPQHHWVGKLLGFDFAVEYKSGATNVVADALSRRDAEEDSMGGLRVISAPRFDYISRLRQAQAVDPALVAIHDEMRAGSRSAPWEVRDDMVLYDGRLYIPPTSPLLQEIVAAIHDDGHEGVQRTWHRLQRDFHFPNMRRIVQDFVRDCATCQRYKSEHLRPAGLLLPLPVPSMVWADIGLDFIEALPKVDGKSVILSVVDRFSKYCHFIALGHPYTAESVAQAFFTNIVRLHGMPQSIVSDRDPWLRWLPWAEYVYNTAFQSSLRETPFRVVYGRDPPTIRSYEPGETRVAAVARDMEEREEFLAEVRHRLEQAQAVQKLHYDRHHRQVTYAVGDWALLRLHQRQASSLPRTTSGKLKARYLGPYRVIEKINEVAVRLELPPQARIHDVFHVGTLKKFSGTPPATPPPLPDIQHGAVLPAPERVTQARLARGVPQVLVFWRGEPASSASWEDLDAFRASYPDFQLEDELGLEGARDVMWGHSYHRRRRNRDVRRAAERAERDAQAGSAGASSSG >Sspon.04G0006370-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:18066795:18071885:-1 gene:Sspon.04G0006370-1P transcript:Sspon.04G0006370-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGSGLNLVTTVIGFGMSATFIVFVCARLICGRAARADADAADAAAVAAARALAQPPVPFDFDVEFRNVADLDRTIERSRCGLDPFAIAAIPTMNYSSEAFHSKDDAQCSICLGEYNDKEILRIMPTCRHNFHLECIDVWLQKQTTCPICRISLKDHPGAKSTASPLRTLPQILGHAESSVNRSPHWILPIHRDRAGGRQNSPTSQESLEVIIEIQPQRQ >Sspon.01G0042760-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:68096241:68098429:-1 gene:Sspon.01G0042760-1B transcript:Sspon.01G0042760-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHVDAEGHPASRETHCLHGQQRRLVVARMSSMQAEKKKKLQELGEELRDKDARIVKLLEASRHPRQQVKRDMQFPQTGTEKIVLSYLPSSIFVGNIAPGTGSQEHASEDDAREQALMLGRDIPLLSNQWSNEGEQHELHGVREPARPRVHENKRLELAKPHGRERVIRSVRFHGGRLPYLPVHQQSEVRQMHAIRDQTTYSLLLMAFIRIQITSRSRKDEKRARKAANRWRRWSTSEDGERRGSGVELPECVCSCVRRIDGDRIDQAGKGWWTGSNSRWTDGEERGGE >Sspon.08G0018300-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8B:5715966:5719674:-1 gene:Sspon.08G0018300-1B transcript:Sspon.08G0018300-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MERERESDRCLDPQLWHACAGGMVQMPPVHSKVYYFPQGHAEHAQGPVVDLPAGRVPALVLCRVAAVRFMADPDTDEVFAKIRLAPIRPNEPGYAADADDAIGAAAAGGAQEDKLASFAKTLTQSDANNGGGFSVPRYCAETIFPRLDYSADPPVQTVLAKDVHGVVWKFRHIYRGTPRRHLLTTGWSTFVNQKKLVAGDSIVFMRTENGDLCVGIRRAKKGGIGGPEFLHHHHQPSPPPGGGYAGFSMFLRGGEEDGSKMMATAAATRGNKVRVRVRPEEVVEAANLAVSGQPFEVVYYPRASTPEFCVKEGAVRAAMRTQWCAGMRFKMAFETEDSSRISWFMGTVSAVQVADPIRWPNSPWRLLQVAWDEPDLLQNVKRVSPWLVEIVSNMPAIHHLTPFSPPPRKKLCVPLYPELPLEGHQFPAPMFHGSPLGRGVGPMCYFPDGTPAGIQGARHAQFGISLSDLHFNKLQSSLSPHGFHHHQLDHGMQPRIAAGLIIGHPAAARDDISCLLTIGTTPQNKKPSDVKKAAAVAPPQLMLFGKPILTEQQISLGNVAGFPLPKKSPSDDIAERTVSNSDVSSPGRSNQDGSSSGGAPLSCQDSKVPDLGLETGHCKVFMQSEDVGRTLDLSAVGSYEELYQRLADMFGIERAELMSHVFYRDDASGALKHTGDKPFSGRSAVAISKEESPLPKCWTAGATSKRGQFSLVFVDDWDLGGAPEHTFLLLLSVPVAIAVAFAVRMFLTRCYDSRHARSTTCHVHASCSGGNPTEILVGVVQTPRIRTTDGVDQPGPRRAVRTSGRDPVKPQLCRGQWYARRPAYAFHLLLPFPFSELSCNCKFPCLLQYLLVVLVYVASVVQ >Sspon.07G0024730-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:26645307:26646346:1 gene:Sspon.07G0024730-3D transcript:Sspon.07G0024730-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSPRRTGKTSQGRRRREMVLIEDPKSRLVTFSKRKSGFFKKASELSLLCGARVAAVVFSATGKPSAVGAPSVERVISRFAPLPSGGDDDREREVMEATARRAKETGARVAEEKTRMDAVGEKVLRAAAADGVRFWWQADAEALGEEELREFARKLRRLRDNVRRCADKLQVAPASQPMTWLQGLREGRPAMTEVTVVTVGSRRSFVETLPLCGGAVASGGMSGGGR >Sspon.07G0000070-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:303236:306393:1 gene:Sspon.07G0000070-1A transcript:Sspon.07G0000070-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEGEEAVPPPAAMVGEEDAAAGEAPPPAVDFGLPAELMSVLPSDPFAQLDVARKITSIALSHRLGCLEAEAARLRSQLAERDAEAEDLRERVEQLDAALAVATGRGAAEAALLRSQLAERDAEAEDLRERVEQLDAALAVATGRLRRAEEEKEALLRDNSALSNTVTKLNRDVAKLEVFRKTLVQSLQEDDSSDNTAPTARVAATSNFSSAPSDEDSAFPTSKSSQISETASSVPEENSQVDPDAPRPPHPGRQHVFLPSYNSTPRMTPPGSPPRRFASVSPPRRHSISVTSMNMFNDRSSGFSGQHSSPFDTPSQTGRTRVDGKEFFRQVRNRLSYEQFGAFLANVKELNAHRQTREDTLRKADEIFGPENKDLYIIFESLITRNIR >Sspon.05G0014040-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5A:50785314:50786201:1 gene:Sspon.05G0014040-1A transcript:Sspon.05G0014040-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKARVEPETLFYLNGAGLEDILQQISLLSQVWAVIVSFLPRFMPFIKLVPSLFPYEFASKVVSWAFALRKLA >Sspon.01G0000270-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:12536580:12541275:-1 gene:Sspon.01G0000270-3C transcript:Sspon.01G0000270-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEELLIFTRGGLILWSSCRALGAAALKGSPIDALIRSCLLEERSADASFSQDNYALKWTFHNDLGLVFVAVYQKILHLLYVDDLLAAVRREFSQIYDPKRTSYDDFGDIFRQLHREAEARAEEMKKSKQALSSRLQPALSQKKVGPKGRRGDSRGAAAANKGGSGAKDDSDGDDSGNKDHNTLANGAFKGQENGAPKENSLARPVVVKGKENGGPKDNGAFDVSKLQKLRTNKKNVAADNGTKKLTKPDTKKKGKQDRVWDDKPSNKKLDFTDPADERGDEVIDNVAVIEGESMMDKDEDLSSDSEDEEVEDGPKKKGWFSSMFQSIAGNNVLEKADLQPALKALKDRLMAKNVAEEIAEKLCESVAASLEGKKLGSFTRISSTVQTAMEDALLRILTPRRSIDILRDVHAAKERGRPYVIVFVGVNGVGKSTNLAKVAYWLLQHNLSVTLAACDTFRSGAVEQLRTHARRLQIPIFEKGYEKDPAVVAREAIQEATRNKSDVVLVDTAGRMQDNEPLMRALSKLINLNSPDLVLFVGEALVGNDAVDQLTKFNQKLADLSAVPTARLIDGILLTKFDTIDDKVGAALSMVYISGAPVMFVGCGQSYTDLKKLNVKSIVKTLLK >Sspon.06G0006310-3C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6C:20460959:20464173:-1 gene:Sspon.06G0006310-3C transcript:Sspon.06G0006310-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGAAPPPKQEELQPHPVKDQLPSVSYCITSPPPWPEAVILGFQHYIVMLGTSVIIPSALVPQMGGGNEEKARVIQTLLFVAGINTLCQSFFGTRLPAVMGGSYTIVAPTISIIMAGRYSNEADPHEYLPHAAHAAKPVFDRFSVIFTIAIVWLYAYILTVSGAYKNARTKTQVHCRVDRSGLVGGAPWIRVPYPFQWGAPTFDAGESFAMMVAAFVALVESSGTFIAVSRYASATMIPPSVLGRGIGWQGIGTLLGAFFGTANGTAVSVENAGLLALTHVGSRRVVQISAGFMIFFSILGKFGAIFASIPLPIFAALYCIFFAYIGACGLSFLQFCNLNSFRTKFIMGFSLFMGLSVPQYFNEYTSVAGYGPVHTGARWFNDMINVPFSSKPFVAVLVAFFLDNTIQVRDSGVRRDRGYHWWDKFRSFKTDSRSEEFYSLPFNLNKFFPSV >Sspon.02G0023420-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2A:79856198:79858006:-1 gene:Sspon.02G0023420-1A transcript:Sspon.02G0023420-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPLKLEDSKKLFLSRVFGSTDASYPKEFEGVMSDILKKCGGLPLAIVSIASVLVGYKSLGSKDKWDIICKSIGSQMERHPTLEGMKHIITLSYNHLPHELKGCMMYFSIFPEDYVIRKDRLLNRWMAEGLVHQKRGLTMWEVAESYLDELLSRNMIEEAGHSGGYAWREQTYRVHDMLLELMVSKSLEANFLSLHGGQYKGMLYDKIRRLSIHADVESVDSVAKRKVEGHRGQDNLNMQHVRSLSMFQLHGQHKLLKKLGNFVLLRVLDLEDCEGVTNKHVRYACNLYLLRFLSLRGTNISMVARQIENLEHLQTLDLDETLLTGLPKTVTKLEKIEYIRFSNKDDYWGTMWTMPQGINKMKALRVLRGVCLGNDSKVAQEVGELEELEVLNLSIDINNAIDEEVLKELALSISKMHSLRRLTIGRHGSSDDGGKILNFLHHLPTPPRLLRTLWIRGDIVNGLPRWIGSLAHLVNFTALNTTLTDDELFGVLCMLPNLKTLWVDWDCYTGDELVARTIYKFPVLRDLIIGGYLPKVIRFDEESMEMLEMLELLFGSRSRHVERSIVGIEHLTNLKKVTLHCFGDNSALMNAVLEQLKAENDR >Sspon.05G0001890-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:954588:955733:1 gene:Sspon.05G0001890-2B transcript:Sspon.05G0001890-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein WUSCHEL [Source:Projected from Arabidopsis thaliana (AT2G17950) UniProtKB/Swiss-Prot;Acc:Q9SB92] MAANVGAGGGGGAGGGTATGSVATAVCRPSGSRWTPTPEQIRILKELYYGCGIRSPNSEQIQRITARLRQHGKIEGKNVFYWFQNHKARERQKRRLTNLDVNVPADASTHLGVPSLSSPSSGAAPPSPTLGFYAGNGGAGSGVLLDTSSDWGSSAAMATETCFLQDYMGVMGTGNAGASPWACFSSSDTMAAAVAQAPTVTRAPQTLPLFPTGGDDSQPRRPRHGVPVPAGEAIRGGSSSRYLPFWGAAPTTATPTVSATSVGIQQQHQLLQMQEQYSFYSNTTQLPGTGSQDASAAASLELSLSSWCSPYPAGT >Sspon.03G0020810-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:86826824:86841014:1 gene:Sspon.03G0020810-2B transcript:Sspon.03G0020810-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSSPEAVTPTLSMKLLVDTKAERVLYAEAGKDVVDFLFSFLALPVGTVVKLLGKESMVGCVDNVYASVESLDDTYVEPGAAKDALLRPTVPSAAVGCCLLHQRQSTSPSQRSSSGAAAGVTVITPHRFAVMVMVKAHAGRENRADWLRGDMAAASSATLSMKLLVDTKGARVLYAEASKDVVDFLFSLLTLPVGTVVKILGNDAMVGSIGNLYGSVEKLDETYVRSAEAKGALLAPAGGYDGGKLLQLPEAAQSTEFYRCASGGNYSECITYMSKVSGTCCLSNNCSRTMTTKMIVVDSSRASGGEAAAAQSSGHAAGKGFVQGVVTYTVMDDLKVAPMSTISGITLLSTFGITDIGMLQEKTVKLGYEEVTHTYTFGLEDPEGVAAVQDGVHGRLPCEEEIIVQAFTDANTTLSMKLLVDTKAARVLYAEASKDVVDFLFSLLTLPVGTVVKILGNDAMVGSIGNLYGSVEKLDETYVRSADAKGALLAPAGGYEGGKLLQLPEAPQFAEYYRCNQYYTGRTYYTECVVAPMSTISGITLLSTFGITDIGMLQEKTVKLGYEELAAHARHMGNAAATPAFAPSAATPTIKLLIAKEAQVVALAEAGKDVVDFLLGLLAMPIGAVVKLLGQKEKEGALAGLASLYASVQQMDAGYMQSLETRDALLNPAPAHPALITAAGGFPSLVQPAAPPPPSAPPAPAGKASAGPGGLSLKNLSLPAFGIGSACHCAACLAAQAQEQGSKGFVRGAVTYTVMHGRSQRHPHVQHLEHRAATQAWRGGPRRARGEDGQDRLSGGEFRSKNYGLEILKASLHSKTVLTD >Sspon.04G0013720-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4B:52094538:52098956:1 gene:Sspon.04G0013720-2B transcript:Sspon.04G0013720-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKDSSANLIVHEMATGVRITMLIFLAVSAAVAQNVTESKVEEFHVGVVLDLGTTVGKVAHTSISIAVEDFYAIHPNHTTRLVLHVRDSKSDDVQAASAVLELLENYNVQAIIGPQKSSQAVFVSALGNKYQVPIISFTATSTSLSSRSLPYFVQATACDSAQVSSIASIIKAYGWREVVPIYVDNDYGRGILPDLFNVLEGIDAHIPYRSAIDESATSEQITQELYKLMTMQTRVFVVHMSSSLGSLFFTKAKEIDMMSKGFVWIITDGLANLIDSLNPSVVEAMNGALGVQFYVPKSARLDNFTMRWYMRSRNDHPNDPTLKLSVFGLWSYDTIWAVAQAAEKAKVTEAKSQRPPALKDSTTLGTLGNSRNRPAFLQAILQTKFEGLSGYFDLSDGKLQVSMFQIINVVGKAHRVIGFWTTQNGISQRSDQRITNTTYWSTTHNLNTVIWPGESTEVPRGWEIPIDGKKLQVGIVIGGGFPKYIDANEDSLTGVVKASGLAIEIFEEAVKGLPYALPYEYVVFNTTRNLQPTVTNVYELLKTRESVGYSRGSYIKGLLEELGFDASKIKAYDTPEDYHNALSKGSKNGGISAHVDEIPYIKLFLGEHCKGYTMVGPIYKTAGFGYAFQKGSPLIGDISQAILNITGGDTIIQIEKKWIGDQNNCQHVGTVSGTSSLTFDSFAGPIIATGVASTTSLVVALIIHFCKNKKVGPENGDSEPILPQEETKDGVNEERQCQEEDR >Sspon.01G0043780-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:77055944:77060563:1 gene:Sspon.01G0043780-1B transcript:Sspon.01G0043780-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:no pollen germination related 2 [Source:Projected from Arabidopsis thaliana (AT4G28600) TAIR;Acc:AT4G28600] MEGRKRKGKFRNSLRRMAMECLCSGEQLKGADETVRSSDSTITKDFSASGYSSRNGEIEQYLDNGNIEEAELSLREGICLNYEEARALLGRLEYQRGHVEAALRVFDGIDMSALVPKMKISIARKADRRKTHSQWDSPPMPLHAVSLLMEAIYLKARALHDLGKDKEAAQECKMILDIVEAAVPEGLPAGFGKGCKLNEIICKSVELLPELWKSGGFSLETISSYRRSLLNNWNLDGETIARIQKKFAVFLLYSGCEARPPNLHSQLDGSFVPRNNMEEAILLLMILLRKFNLKRIERDPSVMHHLTFALSMSGQLIPLAGQFEELLPGVLDKKEWLYSVALCYLAEEDDLSALNLLKRILKSGEDSDHLKELLLASKACIEMSAHTEGASYARRAIANMQGGCKPMAGLADLLLGVALSNQARSAISDTDRASWQCEALEALGNAEKKMHGKDSRALYSLSLENAVQRKLEFAAFYAKRLVKLEAGSELRSWLLLARILSAQKLFADAETVVDAALDQTGKWCQGDLLRTKARIQAAQGQFRDAVETYTQLLAIIQLRTKSLTAGFCLPKGNKDDKGLETETWYDLALLYLGMAQWRDAEVCVLKIRSISPYSALAWHATGKIYEAKGLTKEALGAFFRALDLDPKHVPSLISIATVLQQLGDRPLPSIRCFLTDALQLDRTNHVAWFNLGLLYKEEGG >Sspon.07G0017010-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:65693475:65695280:1 gene:Sspon.07G0017010-2B transcript:Sspon.07G0017010-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLTAAFRATKIPRPLPPKCSEPSATSSASASGDPPPGASKSIKAPPPPWLRQHNGELKGGAKAASAGRPWHLACLVEGFANRSEACEFESKWKNISRKMARKRTEPSMKSVLQHREAALGRVEAFMDCSHLQIKWQSS >Sspon.03G0001240-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:11939322:11945243:-1 gene:Sspon.03G0001240-1P transcript:Sspon.03G0001240-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIRAQPTNLRLAPPPASASFRRTPLRTSFLKGNVSLKVVQLRQSNVNRFKCNSIRSNLFDRLTRVVRSYANAVLNSFEDPEKILEQAVLEMNDDLTKMRQATAQVLASQKRLENKYKAAEQASADWYRRAQLALQKGDEDLAREALKRRKSYADNASSLRSQLDQQKGVVENLISNTKVLESKIAEAKQKKDTLKARAQSAKTATKVSEMLGNVNTSSALSAFEKMEEKVMAMESQAEALGQLATDDLEGKFALLETSSVDDDLSQLKKELSGSSLKGELPPGRTAVSNSGAGRPFPDLEIESELNELRRKAKEY >Sspon.04G0001430-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:5033933:5038730:-1 gene:Sspon.04G0001430-1A transcript:Sspon.04G0001430-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPVPLVRLALLLLVALPFCAAHPGPGAFPAPRHFQSPALHSGFILRKNPMFDFDPAKSWFWANYTVDNLRNLSDSLSAAKRIDIAQSFLLPPNVQTQINEIQGKLNSSATDLAIKTTDNAAKIKKLLNRVLVVIGWILVTGTFILCGVFLLLHNVVSDTCVAMEEWVAHPTEHTALDEVIPCVEPATANESLYRSRQVTFELVNVVNQVITNVSNRNFPPQLTPFYYNQSGPLMPLLCNPFTPDLRDRNCTRGEVTLDNATQVWKNYECQSKTVSGAEICATAGRVTPSIYSQMAAGVNVSQGLYQYGPFLIQLEDCTFVRDTFTTINQGYCPGLERYSKWVYVGLVMVSSAVMLSLVFWVIYARERRHRVYSKQHQPYPVEDKPAPMVPGA >Sspon.03G0018960-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:81178826:81181088:-1 gene:Sspon.03G0018960-2B transcript:Sspon.03G0018960-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRDQQAAAAAMAPEKLKLFIGVLALQFLLAGFHIVSRAALNMGISKIVFIVYRNVISLALLAPFAYFLEKKDRPPLTFSLLVEFFLLALCGITANQGFYLLGLYHLSPTYASAIQNTVPAITFVMAAVLRLEQVDLSRRHGVAKVVGTVVSIGGATVITLYKGLPLFHHNLHVKSLVTLSSSSPILNWTLGCVFILGNCLSWSGWMVLQVPVLKRYPARLSVLSLTCIFGLLQFLAIAVFTEEDLSKWKVRSGVELFTILYAGLVASGVAFALQIWCIDRGGPLFTAVFQPVQTVAVAVMAAAILGDQLYTGGIIGAVLIVIGLYFVLWGKSAEKKDARNLQDQLAHQGGDVTRHLLVGEASVKDEEAPATD >Sspon.08G0011940-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:48998857:49001411:-1 gene:Sspon.08G0011940-3C transcript:Sspon.08G0011940-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVAVASPSSAAPAPSAGRLHPTYKEMIMQALTELRDPGGSSRTAIANYIADHFSGLHSRHDALLSVHLRSLRSHGQLRLVSGNYFVSTATQQPAPGQKRGRGRPRKIPDLAPSASIPAFQGPKRGRGRPRKNALDPVASSPSPLQGASAPPPPSGVKRGRGRPRKDALVPPPSSSSPLPGAIDPPPPSGVKRGRGRPRKSALVPVRSSFSQLLGSIAPPLPSGVKRGRGRPRKNAYPAVAPLVGVEQGPAGGQPQRNTTPLSPPPATVPHSGKSKPVRPFRVAVDVSALRGSSMNISACSNSVVGGKEKMQPESVQSADASLKRGRGRPRKEKAVESSHLTEGQQEALTAQAADQAGAVQNEVEAGDLQSLGTSFTEKRGRGRPRKRPLETETAEAGVPASTVKRGRGRPRKEKTLETGDLKVAQMTEGQHEALPAQAVDQGGPMQNEVEARILQSFGTPLMEKRGRGRPRKRPLETETAETQGDALVKKRGRGRPRKARPFETVSVETAVQVSRDLTEDRPEKDGDLVSGKKAEAPGVLLVEGIGNGPADAGCLVVSREEVPIAPMDAGGVLLSAEGAAIALMDAGRATPRVGPMDVGTKSH >Sspon.01G0028630-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:97856065:97858861:-1 gene:Sspon.01G0028630-4D transcript:Sspon.01G0028630-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPWSGLWGGKAGGDAYRGTPVVVKMENPNWSISEISSPEDDDEDILAAGGRRKGGRTKNAKQIRWVLLLKAHRAAGCLASLASAAVALGGAARRRVAAGRTDAEAGVVAATGESPVVRSRFYGFIKAFLVVSLLLLAVEVAAYFNGWNLAASALALPVIGLESLYASWLRFRATYVAPGIQFLTDACVVLFLIQSADRLIQCLGCFYIHIKRIKPKPKSLALPDAEDPDAGYYPMVLVQIPMCNEKEVYQQSIAAVCNLDWPKSNFLVQVLDDSDDPLTQTLIREEVAKWQQQGARIVYRHRVLRDGYKAGNLKSAMSCSYVKDYEFVAIFDADFQPHPDFLKRTVPHFKDSKSNSSNGPLQDNDELGLVQARWSFVNKDENLLTRLQYINLCFHFEVEQQVNGVFLNFFGFNGTAGVWRIKALEDSGGWVERTTVEDMDIAVRAHLHGWKFIFLNDVECQCELPESYEAYRKQQHRWHSGPMQLFRLCLPDIIKCKIAFWKKANLIFLFFLLRKLILPFYSFTLFCIILPMTMFVPEAELPDWVVCYIPALMSLLNILPSPKSFPFIIPYLLFENTMSVTKFNAMISGLFQLGSAYEWVVTKKSGRSSEGDLISLAPKELKHLKTGSAPNLDAVAKEQLASKKDAKKKHNRIYKKELALSMLLLTAAARSLLSKQGIHFYFLLFQGISFLLVGLDLIGEQVE >Sspon.02G0035290-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:19988392:19994827:-1 gene:Sspon.02G0035290-1P transcript:Sspon.02G0035290-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFEPVAQSRTLKPPLNTSFSATGTTAPRLHIMMLAALLLLLSSMKLLAEGQSVCSNANIMYIESSTHMSNLNALAEALFTKVTSSNSYSAHDTAGTGPNMIYGAVLCRGDMTPAIACAKRLKEVLDAAMNNSANSSCSSQKDMTLFDDGYLVQLRFSDQDFISNFHDSQECIVRANLNPPLLGDVSEQFHSLVSKLMTKLTDAAVKNMGRYETGQGWLTEKSQTVYGLVQCAEDMLKGACQDCLSSAMAKREQMVDQIGGAILGVRCSLWYQTEVQFFAGTPALSLNMPTQRARFKLQLLSMAIQNVINLWRIEEGNSGFSLYDFSQIKEATGNFSSENKLGQGGFGPVYKGLLPGGLEVAVKRLAPCSVQGLLEFKNEIQLIAKLQHKNLVKLLGCCIQGDQEKMLVYEYMQNKSLDLFIFGNLACFQIFRYVKKGVQLNWSMRLHIIDGVAQGILYLHKHSRLCVVHRDLKASNILLDSDMTHFGMARIFSSNMMESNTTRIAWKLWKVGEWRQLVCCRIGEDHEAIERCIQVALLCVQENAEDRPVMDLAVSMLNSESVILPKPKQPAYFFTRSIQSEVSSCSMNISITLE >Sspon.01G0000180-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:818457:819074:1 gene:Sspon.01G0000180-1A transcript:Sspon.01G0000180-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRLSLRYVVAVSWPLPQQPIGPPPARSEGDTQTSKARPRGRGQRDLVRLLLLLVASDPATMAEDATSSHPSRYVKLTKDQDAPHRGHPPRRAQPASPRPAGYMYPSSDESDLNLSPTPLPDTSSKAGGAAKLRAARRRALDHRDLRMHR >Sspon.08G0019500-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:12322053:12324194:-1 gene:Sspon.08G0019500-1B transcript:Sspon.08G0019500-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIRCSSRLSSASWEALGHFDIGELIIDDCSDEIPLPESIQGCRSLQKLQILNCDRITTLPEWLGEMTSLRELTVDTYFMKTLPACIQQLTGFSYPVPNIALHDQSSPASQKARRDHALFCIRTPSTEINIHVEAAFNAFGFAIGSAALVSLALFGAFVSRAAILTVDVLSPKVFIGLIVGAMLPYWFSAMTMKSVGSAALKMVEEVRRQFNTIPGIMEGTTKPDYATCVKISTDASIKEMIPPGALVMLTPLIVGILFGVETLSGVLAGALVSGVQIAISASNTGGAWDNAKKYIEVKPLKTIAISEKAGASEHARTLGPKGSDPHKAAVIGDTIGDPLKDTSGPSLNILIKLMAVESLVFAPFFSTHGGILFKWL >Sspon.04G0007100-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4A:20360672:20361098:1 gene:Sspon.04G0007100-1A transcript:Sspon.04G0007100-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VFQYSMLHTVAEVSSADYSACSASNSIQSYSDQNTKIALTAPGTRYFICGTSGHCGNGMKLAVTVAAAAATTPPASSSPPATPAAPGADTPPETTTPTATSPPATTKSTSSSAAAACGGEARLAMGVLAVAAGLAGLALMG >Sspon.01G0029700-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1A:103157989:103158243:1 gene:Sspon.01G0029700-1A transcript:Sspon.01G0029700-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVQLLLLMPLPFSSLHHASAPRDRSTESASLYDGSSGKQVRGELHVTLPRCRTGELRAPCVVADLRAGIELCNGKPPFVQAAR >Sspon.02G0036960-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2B:28565501:28568794:1 gene:Sspon.02G0036960-1B transcript:Sspon.02G0036960-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MELTRKPRRHHESGQPGEYIVAGGGRVVTSGVGVTMLLMKLAKTGSKELVTKTMIAASPDMDRRRIILEVVSIRRERAFEKKPYLPSTSVGLQRARGSRDMLSQLSVHLGTEDGETTMKVRVPDNQNAPSRLQAEFQQTVHVGALVQRSSLASEQSAPDGQTVHRLILRQGREGLAGIIYVFNWIFPVNGGQFALLMADNPLTN >Sspon.05G0002710-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:7967432:7971121:-1 gene:Sspon.05G0002710-1A transcript:Sspon.05G0002710-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:APO RNA-binding protein (DUF794) [Source:Projected from Arabidopsis thaliana (AT1G64810) UniProtKB/TrEMBL;Acc:F4I896] MAMEIVNSVSFSSIGVGRIIINKTVMVGSQPHRIGWKLRRTCCEYSPGTSRKKQEKCEQQPQNVDLPELHPKNKKKPFPVPIKKMLQASRRDKRLAQMHIEKPLEPPKNGLLVPELVPVAHEVLDNWKVLIRGVSQLLNVVTVYGCRKCPQVHVGPVGHQIQDCYGSGSQRRNSHHSWARGSINDVLIPIESYHLFDPFGRRVKHDTRFDYDRIPAIVELCIQAGVDLPQYPSRRRTAPVRMIGKKVIDRGEFVDEPKPHRSEDCVSLLAELDTFSNQQGQSPSPSNVQELAERTLKAYLNVQRGVKQLMSKYTVKACGYCSEVHVGPWGHNVKLCGAFKHQWRDGKHGWQDAVVDEVIPPNYVWHVPDPSGPPLRSSLRSFYGKAPAVVELCVQAGAEIPDEYRPIMRTDIVIPDSEEARMAA >Sspon.07G0032430-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7C:49995693:50001503:1 gene:Sspon.07G0032430-1C transcript:Sspon.07G0032430-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding TLTLDVSRDGPVSDFSPHVHERLPSALRVIGSGSLEMPSTRTRAPRSAIFTELSSLLVKLVAELSPLDHVSGHAGDSSPSSRSSSSGSSPSSRSSTMSPATPGTHHRALAARRRALADPRRALAARRQALAARHRALADPHRALAELSPLLADLSLLLAKFSLNSHRSSPSSRRSSSRALSLLEPCLQPCSGTGLANTACLRSPSTQHSLVLVYYRRSLPDRIAISHLLPPSLSPEIRRTAAGPMDASYRRAGAGSGSAPRSVDDIYKDYRARRSAILRALTHGTPAPAAPALPATHACEGSSSNPSPSSRFADVEEFYALCDPEKENLCLYGYANEAWEVALPAEEVPTELPEPALGINFARDGMNRRDWLALVAVHSDSWLVSVAFYYAARLNRNDRKRLFGMMNDLPTVFEVVSGGVKQSKERDRSSTDNSGRNKLSAKQTSEPRLENNAREPDEGYDEDDGNHSETLCGTCGGIYSADEFWIGCDVCEKWYHGKCVKITPAKAESIKQYKCPSCCNTKRPRPL >Sspon.07G0013790-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:49881137:49885310:-1 gene:Sspon.07G0013790-4D transcript:Sspon.07G0013790-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRRRNHHHLLLPATACLWALSCALLLLRASSASPHAHGLLRVGLSKRGLDQHALQAAKVARQEDSLRRLGASAGSTSTSGGDDVPLVDYLNTQYYGEVGLGTPPQNFTVIFDTGSSNLWVPSSKCYLSIACYLHPRYKSAKSSTYKKDGETCKITYGSGSIAGFFSYDDVLVGDLIVKSQKFIETTRESSITFIIGKFDGILGLGYPDISVGKAPPIWQSMQEQNLLAEDVFSFWLNRNPDAESGGELVFGGVDPDHFKGNHTYVPVSTKGYWQFNMGDLLIDGQSTGFCAKGCAAIVDSGTSLLGGPTTIIAQVNEAIGAAGIISQECKEVVSQYGEMILELLIAQTSPERVCSQVGLCLFDGAQSVSEGIESVVGKENLGSDVMCSACEMAVVWIENQLRENKTKELILQYANQLCERLPSPSGESTVSCEDISTMPNLAFTIANKTFTLTPEQYIVKLEQGGQTVCISGFMAYDVPPPRGPLWILGDVFMGAYHTVFDFGNDRIGFAESA >Sspon.01G0023410-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:82787965:82793255:-1 gene:Sspon.01G0023410-3C transcript:Sspon.01G0023410-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRLVGGAAAVRSPAAAAFRRLLHNGGGGGGCSSGEPESVAYRMSMLRRPSSVRKKGLTWNSCSLIGRLDAPVRPFDRSSDEYPMVYTFLSVTPSSPAVTLQLNGELANVSLKHLKRNDLVYVSGRLGSYHKVSPSGERYIFYKVFFANPYEWWDNRQSKPWPTYPDFKHKDTREKIWLRPDDPPWVRKQLELHDLEVAENGHRGLQSHELPSTSAVPLLQCPATLGVSIKILPSENPTSQWGVGKQSKIAVIRVANFNKINCDETKLYQHML >Sspon.02G0024670-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:85052899:85056222:1 gene:Sspon.02G0024670-1A transcript:Sspon.02G0024670-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ARGPLSNPLQTLSIYTTPYLHLSDHPAVAPTEVLGSLPLHAHTRRWMPSSPVASCASAFVSCRSLYGPSLFMRKHLPLYARLPHRCGREETGPPPSPVWRRGGYGQQQAHPRRRCIRHVKRPPRAHQRHTPAERPRHLPDPAALPGPEHDDTRPGGAVRSFSASFVFGILSGYPDVSANGIAFFVAPTTDFSSAMAAQYLGILNISNNGNATNRIFAVELDTMKNNEFRDISDNHVGIDVNSLVSAMQAWVDYDGAAKKIDVTLAPLTMGKPARPLLSAAYDLSTVIPDMAYIGFSSSTGLVDSRHYVLGWSFAMDGPAPAIDIAKLPKLPREFPKPRSKVLEIILPIATAALVLFVGTVLVLLRRRQLKYTELREDWEVEFGPHRIWESIQGVLPASKSEIAVKKVSHNSKQGMKEFVAEIVSIGRMQHPNLVQLLGYCRRKGELLLVYEYMSNGSLDKYLYCQESTTTLNWAQRLGIIKGIASGLLYLHEEWEKVVVHRDIKASNVLLDSGMNGRLGDFGLAMLYDHSANPQTSHVVGTIGYLAPELGRTSKATTLTDIFAFGVFVLEVICGQKPIMQDSEDNQIMLVDWVVEHWNKTSLVDTVDAKLQGDYNADEACMGLKVGLLCSHPFPEARPSMRQVLQYLNGEMALPELVPAHLSFQMLAVMQNEGFESYVMSYPSSVDSIQSISDLVQER >Sspon.07G0032760-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:54109698:54110142:1 gene:Sspon.07G0032760-1C transcript:Sspon.07G0032760-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDIWLEVEAHHLSPFVLAIVLECIGNQAVVDENVEKLKKVLEVYEARLSQSKYLAGDFLSLADLSHFTMMHYLMATEYATLVEALPHVSAWWEGLAARPAAKKVAELMPVGTRAPKKQE >Sspon.07G0013220-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:53345137:53353688:1 gene:Sspon.07G0013220-2B transcript:Sspon.07G0013220-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRLSRPASPGGGGLGYASRRGLYAQAASHHHQAGGSAQTSPGGSPKELSPVHRHARAGSLGGAGAASTAGRRAGVGAGAGARAQNSAARAAAQRLARVMGGGAGGDAGSGSDDDDDGYELSGPPIELSNTPRRTSARSPSPSIGRYLADQTQVGRPPSLTNRYTAGKSVPMIPSIKRPATSGAGAGAGSESPMPNQREQRRSVDLGSSLRARRTSSSLNDEINTLQVENESMYDKLQLAEERSDDGDAKSMHMEREDSDIGDAVETEPILISRKDASLEQRKIAMRIASRRSSSASCNEIATLKSEAKVTSNLVTSVSRRVKSARSELRSLQATANRMILSQEELEEVVLKRCWLARYWTLCSKLGIHSDIAEEKKEYWSSFAPRALEAVLSIGQKARDGTLSDNADMESRSKMSDVNDISSDGNIETMLSVEKGLRELASLKVEDAIMLTLAENRHIKLLSGQASEGRSPSESLELSAEEREDVRFKQAWLTYFWRRAKNHNVEEDIADERLHFWIEQSNHPVTTSDVIEVERGLHELKKLGIESQLWDATRRPLDDDLSNHGSPTGSEA >Sspon.02G0024790-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:85443751:85444906:-1 gene:Sspon.02G0024790-1A transcript:Sspon.02G0024790-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPASEDQWRRRWRDDGDLDDDDEPRVVEEEGEVVPGCHSETDTDEYYNRHSPSESDDEAVVSGRKGATSIVVPANNGSNASTSCVARGWGGTGKRGHSGGRAVSSSADSDHQSMAVVVAAAHKAVLQPVPLAFAPPTRHAAAAAAAAGAVACACRGPAEPGRFTCPKCGMWFATHQGLGGHSVGHKHREKELLAVLCSRRDAKRERVHVCGICGAEFATGVQLGGHKRKHWAGAPIVPKKKPRAVVQPLPPPAGPARAADLMLLLPLPIKTDEAAPPAVEPAVETTPQPATGSPVPGRVRLFGVDIVPAVQTPQAQQGSPASAIKSLGDPPAGSSSHS >Sspon.08G0013460-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:52491121:52494475:1 gene:Sspon.08G0013460-2B transcript:Sspon.08G0013460-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cystathionine beta-lyase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G57050) UniProtKB/Swiss-Prot;Acc:P53780] MAAAVPTAPARLFLLQSTPSPNPSSTAAAAQAQALRVPPLRLSPSRRMAARPLTVIAGASGGSEQDLSASAVSVDALDSVASDSDLEAKEPSVATMLTSFENSFDKYEALSTPLYQTATFKQPSATDYGPYDYTRSGNPTRDVLQSLMAKLEKADQAFCFTSGMAALAAVTHLLKAGQEIVAGEDIYGGSDRLLSQVVPRNGIVVKRVDTTKISDVVSAIGPSTRLVWLESPTNPRQQITDIKTISEIAHSHGALVLVDNSIMSPVLSRPIELGADIVMHSATKFIAGHSDLMAGILAVKGESLAKEIAFLQNAEGSGLAPFDCWLCLRGIKTMALRVEKQQANAQKIAEFLASHSRVKQVNYAGLPDHPGRALHYSQAKGAGSVLSFLTGSLALSKHVVETTKYFSVTVSFGSVKSLISLPCFMSHAAIPAAVREERGLTDDLVRISVGIED >Sspon.08G0004750-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:13659549:13660738:1 gene:Sspon.08G0004750-1A transcript:Sspon.08G0004750-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGGGVCVASSSPSSVEVAWGRRTRTTRGARRGAAAATSIRCSVVGEAGAGASGGLAEDPYRTLRLRPGATRGEVKKAFRRLALMYHPDVRKERESESDGDGDSGVQFQRINVAYQMVMRSMREADERLEYWRLKYGLTDEDLDRYRHHLNQEDGDDWFDV >Sspon.01G0020400-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:13676544:13680419:1 gene:Sspon.01G0020400-3C transcript:Sspon.01G0020400-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGSNWLGFSLSPQTAMDVPSASEPAPAHHAPPPSSTMISSSTTNATTSNFLFSPMAAPYPGYYCVGGAYGDGTSAAGVYYSHLPAMPIKSDGTLCNMEGMMPSSPPKLEDFLGGGNGGSQETDAYYSHQQGQEEGASRDYRQYQHHQLVPYDIQPLTEAEMLQEDAVPMEEAMAAAAKNFVLTSYGACYSNGEMHPLSLSMMSPGSQSSSCVGAAPQQQQQHHHHHQITAVATAAAAAAQGRSNGDGEQCVGRKRGTGKGGHKQTVHRKSIDTFGQRTSRYRGVTRHRWTGRYEAHLWDNSCRKDGQTRKGRQGGYDTEDKAARAYDLAALKYWGPATHINFPVENYRDELEEMKGMTRQEYVAHLRRRSSGFSRGASIYRGVTRHHQQGRWQSRIGRVAGNKDLYLGTFTTQEEAAEAYDIAAIKFRGLNAVTNFNITRYDVDKIMESSTLLPAEEARKVKAIEAANNAPMMHNGGRELNPAEETGAGWRMVLHGSPQEAVHCPEAADLQSGIMSESHPSLHGIVGLEKIESAVHDHHLDVPGKTTGSINFSNSSSQVTSLGNSREGSPERLGLAMLYGKQPSAVSLGTMSPWMPMEAQTVAQVLKQPNVVSHLPVFAAWADA >Sspon.03G0013090-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3B:46281539:46281856:1 gene:Sspon.03G0013090-2B transcript:Sspon.03G0013090-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALCKARAYIRYVNEKQIQVENDSCLSLPSPSALTRRRQNHAPTRLPSEGISPATATRVGEVADAPTRYPPSTTTPRGEPTCRLALRVKGGRHRWTKGGRELLES >Sspon.01G0029540-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:99467688:99471145:-1 gene:Sspon.01G0029540-3D transcript:Sspon.01G0029540-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAAAAANVAVIGAGISGAVCASLLATRGVAVTLFDSGLGAGGRMAQRREVMEDGTELRFDHGAPYFTVSNNEVARVVSGWEARGIVAEWKATFASFDLATGKFTDFEKEGTAKKYVGVPAMNSICKSLCVEDGVVAKFGVTVGKMDWLQDRSSWSLASLDGKDLGYFDYVVATDKNVASTSFSGLTGRPPPLDLSSFPHLPTILQDIPVRPCFALMVAFSEPLAMVPVHGFSFNNSNSLSWAFCNSSKPGRACVPPNRQSWVLHSTTEYASKVVKNIGPRKPSAEALAKVAEELFSEFQATGLSIPQPIFMKAHRWGAAFPAIAISGDDKCVWDKSTKLAICGDFCTNPSVEGAILSATRGASKILECLSLPSGL >Sspon.02G0025490-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:89806557:89809988:1 gene:Sspon.02G0025490-1P transcript:Sspon.02G0025490-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRPALMDELVEEILLRIPPDDPAHLLRAALVCKRWCRIVSDPGFRRRFREFHRTPPILGFLCSRGPWTSYVPSTSFVSITSFRPSPARLNYRAVDARHGRVLLHGVSWNHERKHWDDSFVVWDPITGGERARLPLLPRSRHQESNSTSGTWNAAVLCASAAVDDACDHLDCHSGPFLVVFVARGRRWGRSGMCAHVYSSEAAAWSQRIYAPRLAEHMQLVPSALAGNSMYFLCSKSVLKFDLTTREMSLIDLPQETFGRAMLRTTEDSALGLASMCSDGLHLWSMEVGPTEVVGWAQSKVIKLTELPPADPIGSLLLTGFAHGLGVILVCTSEGIFTIDLKSVRVIKVSQESSLALTFPYMSFYTPGYLGEKDSTSEILDSEGWLRTGDLCYIDQDGCVCVVDRLKAIIKYKGYQIPPAELENLLQTHPDIDEAAVVPYPDDVAGELPVAFIVRRPGSHLHESHIKEFVAKQVCRWLNTTIL >Sspon.06G0015800-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6B:70859381:70864704:-1 gene:Sspon.06G0015800-2B transcript:Sspon.06G0015800-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNSSAVPFSIALVFIAAVITKVAWGRITAADPASSCNNTRPQPPAVTGITSAIRLVHTLRTKGFRAMLQEQYTKFGSVFTISFFGMKTTFLVGPEVSAHFYEGLESEISHGNILEFTVPMFGKDIAYGVDIATRTEQNRFYVDALKPAKLRCHVAPMLQEVEISV >Sspon.04G0012590-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4A:42266699:42266890:-1 gene:Sspon.04G0012590-1A transcript:Sspon.04G0012590-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGSERIGDERRRSGRNSGPAASRGGAMAGDGYLALRSMSSRTKESREYITLLKSRIKMNNDI >Sspon.07G0006700-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7A:17349845:17349997:1 gene:Sspon.07G0006700-1A transcript:Sspon.07G0006700-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFIEGLPNLPNSRHFSCISVVADTFAKYAHFLGLNHPFIVATVVTPFMQQ >Sspon.07G0013490-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:48494480:48499430:-1 gene:Sspon.07G0013490-1A transcript:Sspon.07G0013490-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGDLSLLAVASASPVVLPPSKELHGVLPLQGKRPQDAGVQLQQHLPHHLEGMPYHQGQPMQMVVPGAHQGHALPAAYQAFAMPDTATLIDVQDSHPDSVQLSLGIAEQCARQEKLLKFLVSGSDIKELDESLLAEFTGQQTLAINMGTQPYMPDDKLSISELVLNEPQHYLPEKELVIPDPLLHVVQSHGFALTIDQNGRVLFAGNGDEMIDLLSVFLEFNMSKRETGGCKAAFLVPYFERKRRSRANSQVSNSKLASTAADVSKSTDVNTKSLSKKKRKVKNIKERDLYQRNYTHASEAILSILLDKDKSSSTILSLKKAGPEITELLTQCSIGIAGTGLAILLSVMCKMATGMRTPFASARLLSTSVGFGLFWLSWAVNGLRDTIASIFRSPSNMNLEDDEVAVKIERSVNEILFRALTLLAITALKFA >Sspon.06G0005700-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:17688733:17689593:1 gene:Sspon.06G0005700-4D transcript:Sspon.06G0005700-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPPAAEGPDAVEIREVWAGNLEEEFAVIRAVVDAYPYVAMDTEFPGFVVKPSAEYRFTCDRNYAALEGNVNVLKLIQLGLTLSNGAGALPPCGTGGRGCIWQFNFRGFDPHTDPSSNDSIDLLRRSGIDFDRFAAEGVDSTRFAELMMSSGIVLNDDVQWVTFHSGHDFGYLLRLLTGREMPNTLDEFLKLTKTFFPVLYDIKHLMKFCGGGLYGGLSKLGELLKIERVGIGHQAGSDSLLTLQCFMKLKQLYLKESVKLYDGVLFGLIPGEVEIKPAAPPIE >Sspon.07G0003110-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:7177251:7179059:-1 gene:Sspon.07G0003110-2B transcript:Sspon.07G0003110-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDFNGGWSMQYAAADPCLPSRPGDDGGLLLGAFLGGGFDLHSDHGGLNLPSSHPVQNLMPCHGGATLFVSDGFMGLDTADMLASVTGALDDGGLLDTFAYGSDVVVAEAEEPAQPTASSNAFSGGYSSTTGGNYGNISSGESNTCGGGGGGHDTEVVSSPCALSRSALPQATAAPTSKRKLGKYPAIAETTSTEAQVAAPRRGAKRDSATSSSSTSITFAAGHGVDHHAAGGSSSSAGGGYEYEPDSEALAQVKEMIYRAAAMRPVHQLVCGAGSEPPPPSQSKPRRKNVRISSDPQTVAARLRRERVSERLRVLQRLVPGGSRMDTASMLDEAASYLKFLKSQVKALERASPSNGGYHNSSLLLQSYTASLGVGGDGTGVAFGRDGAIGVYTYFMFFSNKHYHTAVLCCAVSTSKVIT >Sspon.02G0040610-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2B:70914156:70915624:-1 gene:Sspon.02G0040610-1B transcript:Sspon.02G0040610-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLWIDFGCLSWILPAAGDGDGDGRFCLAVLSRRSIEFWEREAELSDDAAEWVLCLCKTVQLDKVIPVQFEEEDSVHVQELGISGFDEEGNAIFVWTNDGVFMSHIESFRTCSLAIAVQTSDYGWNLVYNGASFKTYDGSWMQETFMFDPALLHCISHLASLIPQSQLRPRRCRHEAHLLTQAVVQRAWHRQRHQHRLNLEEPTSESPYGAQLIHYEPDETTRWCTRIQESGVAIQ >Sspon.01G0041610-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:51676745:51688086:-1 gene:Sspon.01G0041610-1B transcript:Sspon.01G0041610-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVELESLLDLLVSFPRSSSNNYCIRTYMASSWDRVGQAASVMQVTGVDAFGLVSMIVQAAHTARRNRDLCQQLAQHVLIVANLLRKLDIPALRQHLETRRPLEQLDAALFRAYKLVRSCAQQQENTSQLYQMFTGGEVASKLRLAQEEIDRYINLIPMITLVAAVGTRQATNDEVYVDGGNDAAPTQSQRNRLSLQHVVQLLTLEELQPQENQQTYGGWDKNMESDLTASWGSMGEDIGEEPKLKSAKFFSLEELKLCTNDFQEINAIRAGSYGMVYRGKLPNGQLVAIKRSKEGSMQGGLEFKTEIELLSRVHHKNLVGLVGFCFEKGEKMLVYEFIRNGTLSEALYGMKGIQLDWSRRLKIALDSARGLAYLHDHANPPIIHRDVKSTKILLDEKMTAKVSDFGISLQVTDSEEGQLCTNVMGTLGYLDPEYYMTQQLTAKSDVYSFGVVLLELIVGKPPIHNNKYIVREVKMALDMEDGTHYGLKDVMDPVLQKMGGLLGFPRFLKLALRCVDEVGTGRPSMNSIVREIEAIMQDNGLTVGSMSTTMFSGHLINRD >Sspon.08G0006430-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:20011231:20015073:1 gene:Sspon.08G0006430-1A transcript:Sspon.08G0006430-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding PHKRAAAAAPLLFCRVRSAAQGGGRNKPPPAALLRLTTSLPPLRPAPPRGGAASNSGFLSGFSPEVESKLFSKKMAATMVAMTARSKNSVLSVEKKQGWSIQLPELRFPWDSHEDKGFSLSLQGSGPAHGGLFASVGLKVSTGAPAVAPGPGDKDIKIPFADHCMKYVSEAVGYKVISTKAEPVEEEVVDAKAKKAAKKRGLKLKIKIGNPHLRRLVSGAFAGAVSRTCVAPLETIRTHLMVGSNGDSMIEVFQSIMNTEGWTGLFRGNLVNVIRVAPSKAIELFAFDTAKKFLTPKADEPPKTFLPPSLIAGALAGVSSTLCMYPLELIKTRLTIEKDVYDNFLHAFVKILREEGPSELYRGLTPSLIGVVPYAATNYYAYDTLKKLYRKTFKQEEISNIATLLIGSAAGAISSTATFPLEVARKQMQVGAVGGRQIYKNVFHALYCIMEKEGISGLYKGLGPSCIKLMPAAGISFMCYEACKKILVEGNEDSE >Sspon.07G0019980-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:66573969:66578990:-1 gene:Sspon.07G0019980-1P transcript:Sspon.07G0019980-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGIFAYLNYNVSRERRYILEVLFNGLRRLEYRGYDSSGIALDAGGGQLPYPASPYAGAPPLVFRQEGKIENLVRSVYSEVDEKDVNLDAAFSVHAGIAHTRWATHGVPAPRNSHPQSSGAGDEFLVVHNGIITNYEVLKETLTRHGFTFESDTDTEVIPKLAKFVFDKSHDEEGDVTFSQVVMEVMRQLEGAYALIFKSPHYPNELIACKRGSQLILGVNELSGQQNGKSFHDVKTLTTNGKPKELFFSSDLCAIVEHTKNYLALEDNEICHIKDGSVSILKFDPHKEKPASVQRALSVLEMEVEQIKKGSYDHFMQKEIHEQPHSLKTTMRGRLKDGGVLLGGLKEYLKTIRRCRRVVFIGCGTSYNAALAARPFVEELTGIPVTMEVASDLLDRQGPIYREDTAVFVSQSGETADTLMALDYALENGALCVGITNTVGSTLSRKTHCGVHINAGCEIGVASTKAYTSQIVAMAMMALAIGSDQISTQARRETIISGLNNLSSNVSEVLKLDVEMKELASSLIDSESLLVFGRGYNYATALEGALKVKEVALMHSEGMLAGEMKHGPLALVDENLPIIVIATRDACFSKQQSVIQQLLSRKGRLIVMCSRGDASAVCPSGSCRVIEVPEVADCLQPVINIIPLQLLAYHLTVLRGFDVDQPRNLAKSVTTQ >Sspon.02G0017020-3C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2C:52487213:52487923:-1 gene:Sspon.02G0017020-3C transcript:Sspon.02G0017020-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding METLPPLSNLSSLATLRIIKCGGDVRGLSSGLQVPTHGCLQELTVLRTTNFFSICPDSILSSLSSLETDDVAGALAAPICSLLSSSLTKLFLRSNKEMERFTEEQDEALQLLTSLQHLKFNDCEKLQSLPAGLHRLTSLKTLEIEYCPSIRLRLLPKDALPNSLQNLTISCSAIRSLPKDGLPNSLQELHILLSIHPALPKAGLPTSLKLIDVSYGNSDLTRQCRKLIGSIPIVRA >Sspon.01G0034040-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1C:16080919:16084718:-1 gene:Sspon.01G0034040-2C transcript:Sspon.01G0034040-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MELQLAAVLASLALGGAVLVLFFGKWWQPLAGTDRRVKELADAVEALLRQRAEVLGHDPAPSSDPVRAWLRRVQEAQDELASIKARHDGGQLYVVRLVQYLFLPTGPVAGLAEQQLKAVWALREQGAAILDAALAAPQAPPPLLCDPEELEGLPAEAGPARAYLNEALRFLGDCDAALGIWGAGGVGKTTVLKLVREVCGRVARFDHVLLVAASRDCTVAKLQREVVSVLGLRDAPTEQAQAAGILSFLRDKSFLLLLDGVWERLDLERVGIPQPLGMANGKVRKIIVASRSEALCADMGCRNKIKMECFNEEDAWSLFQANVGGDTIHGHTQIPALARQVAAECKCLPLALVTVGRAMSNKRTPEEWSNALDTLKASLPSGTPGLDKSTHTLLKFCYDNLESDMVRECFLTCALWPEDHNIFKEELVQSWIGLGLLPDLGDIEEAYRFGLSVIAILKDARLLEPGHNHRYNMFPSDTHVRLHDVVRDAALLFAPGKWLVRAGAGLREPPREALWRGAQRVSLMHNTIEDAPAKVGSALADAQPASLMLQFNKALPKRMLQAIQHFTKLTYLDLEDTGIQDAFPMEICCLVNLKYLNLSKNKILSLPMELGNLGQLEYFYLRDNYYIQITIPPGLISRLGKLQVLELFTASIVSVADNYVAPVLDDLESSGARMVSLSIWLDTTRDVERLARLAPGVRARSLQLRKLEGARAIPLLSAEHAPELGGVQESLRELAVYSSDVEEIAADAHMPRLEIIKFGFLTKLSVMAWSHAAGSNLRDVGMGACHSLTHVTWVQHLPCLESLNLSGCNGLTKVLGGAEDGGSATEEVVVFPRLRVLALLGLPKLEAIRAEGECAFPELRRLQTRGCPRLKRIPMRPARGQQGTVRIECDKHWWNALQWAGEDAKACFVPVV >Sspon.07G0009770-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:28157557:28162554:1 gene:Sspon.07G0009770-1A transcript:Sspon.07G0009770-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MISARTAASPASAWKRGGRSEGGGSCDGCRTYRKTLRRSAAAGKVRALPPRRVEAVAMGSAAETEKEKEKEQEEVEVAAAGGVVEDHYGEDGAAEEVPIMPWAFSVASGYTLLRDPHHNKGLAFTEKERDAHHLRGLLPPAVVSQELQVKKIMHNLRQYQVPLQRYMAMMDLQERNERLFYKLLIDNVEELLPVVYTPTVGEACQKYGSIFRQPQGLYVSLRDKYCLPITIDVGTNNEKLLNDEFYIGLRQKRATGEEYHELIEEFMAAVKQIYGEKVLIQFEDFANHNAFDLLAKYRKSHLVFNDDIQAGTGIAELIALEISKQTKAPIEECRKKVWLVDSKGLIVDSRKNSLQSFKKPWAHEHEPLTTLYDAVQSIKPTVLIGTSGVGRTFTKEVVEAMASFNERPIIFSLSNPTSHSECTAEEAYNWTQGRAIFASGSPFDPVEYDGKIFVPGQANNAYIFPGFGLGLVISGAIRVHEDMLLAACK >Sspon.04G0028180-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:80325616:80328540:-1 gene:Sspon.04G0028180-2C transcript:Sspon.04G0028180-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIIHRLHLHYPVGVGVGGGAAARSGGEYTTRLINSSLCNLVPRTAKASVSGAGPSSDGEDLNEVIDTVEVESTTPGASLLAKVAVAISIAATATVISLVMKEPSSGPSFRLPQIVDASTQSDAAAATIGYTFSLFGKKVIIPEYTPGWVYFWLLMAAGFGLFISEEALNVWAGVSLARTLCLDGTWQSLVTSFSTNASYIVSTVLWIYWGVCISDMIPFYLGKLFRQTRASEDISSKIGIGKEKALSISRAVQKYGNLIGFVERFSIGVRNPTAFLAGALGISADFFFAGVCFGCLFTLPIQLAVGFVLRERPVVALASVAAAVGIWTMFPYAAAACTALFFYFRQRKSSS >Sspon.03G0022560-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:91273396:91277752:1 gene:Sspon.03G0022560-1P transcript:Sspon.03G0022560-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGTPVGVDNTSRRKFDKEEYLERARQREQREKKGSPVQRQPLKHRDYEVDLDSRLGKTQVVTPIAPLNQQVQKRFEALKKRKDPSAFTEQDLDERIMKQQQEEEERKRQRKEKKKEKKREQAAQHEPEDVDPDIAAMMGFGGFGSSKKTRPTSEQAAILAE >Sspon.05G0012300-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:5A:37187610:37187962:1 gene:Sspon.05G0012300-1A transcript:Sspon.05G0012300-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ERTNTPRFLSPHSSPRLSPPAQQSPLHTKNFLFRLAPIPARPYNPSRPAAGWVGPRGWARSRGARVWSVVSSASRAPALLAKLARAVALGFPGAMVLQRFSWPYGGRSASFCGSFTG >Sspon.03G0014410-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:67379808:67388547:1 gene:Sspon.03G0014410-2B transcript:Sspon.03G0014410-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding PAMDDSVLFNDLGPSKPPSASFYDDMFDSYFNRAAEAPEPSPKASSSPSMPPPVFDKPVFDDDPDMADPFDAIPLFGGGGSGEGEDFLGRVGSAAKPERRESEAVGFDEDLLPGLGGSTNSMEEPVREVERDRQPVGFDDDLIPGFDGSMKPAPQMEPETVAFDDGVIPDFGGGTSHPYSYREEPIIRQESESISSSKMSVSTPEDPFVILGGTHKSGYSSFGLFSDPLNNIGMPVKEGNTKVDAPDNTSGIFLGSDIFTDFPNAMPPFSFTSENQSDINERRYAENINSMSHSDRVPQEKPVQQASTEAPDNKLFEMNFPEASVIREVPVTTGFQTLNPFAGEDDLLEANQSSQRPNDVWVTVSDIALVTLPTSAPPPSRPPPPLAAKKPPTESVTSEAYAHHRNQGYHHSACSNTSKTSQIDELEDFFMAKPANFANGHPQVLKHEGNGHYPSAATASFMDWTEMGHSKGGNQGAFDSMFTGNQYRQPEIDEKAEVCAHEMETTDEEERLENERMQREHKEEQRRAEREREEQLEREREKVRQREQEEQRRHEREREARQAVDKAVREARERAAAEARMQAEKEARQRAERAAVWKATTEARERAAVEARERAAKAAAEAKEREAAEARERAAAESRERDAKVAAEARERAARAAAEAREKEAAEFQEKAAAEARAKAERAAVEKAAAEARRRAERAAFERVAAEARQRAANEARERAAAEAWARENQQRRATAEPDLESFFGMPSRSSSVPRSYNNTFQNPFDVQPHGNADSGGVRRTSSSSASSFTQPSSGNLMDGLSSIYVAPSSSAVFQEVDGESEERRKARLERHQRTMEFQAKALAEKNERDLQAQQEQEEFQRIGESLDFEIKRWATGKEGNLRALLSTLQYVSTLVQILWPECGWRPISLTDLITAASVKKEYRKATLCIHPDKVQQKGANLQQKYIAEKVFDLKQEAWNKFNSEEL >Sspon.03G0016180-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:71632391:71635093:1 gene:Sspon.03G0016180-3C transcript:Sspon.03G0016180-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MCAWCRSDSNEFAELLWENGQAVVHGRRKQPQAAFPPFTCGAASSSRAQEKQPGTDPVALLKTGGLFGDFSPGLDATRDNGDLHDSVPWIHYPIVEEDSAAPALAESYSPDFFSELHAAAVAAAATNLSPLPPPVQHTGNNRSTPVATTSRGPEPSKETHRIPVPGPASRPEPQAEFAATRKPRPESGGEGLMNFSLFSRPAAMARASLQRPPQTGTDKASNVTTSTRVESMVLQLASGPRTAPVFTDQRMPWSQSKEVRFSCAAAPTAGNLQQEMPRDMTPQKKVENRKASEVAVATSSVCSGNGAGIGNDESWRLQKRKSQAECSASQDDDLDDESGGMQRSGSRGTKRSRTAEVHNLSERRRRDRINEKMRALQELIPSCNKIDKASMLDEAIEYLKTLQLQVQMMSMGSGLCIPPMLLPPTMQHLQIPPIAHFPHLGMGLGYGMGVLDMNSTAAVPFPPMPGVHFPCSMIPGTPPQGLGMPGRNMMPMFGVPGQAIHPSASSIQPFPSLAGLPVRPNLAPQVSAVMANMVQEQQQGVATQQQQSLNNEAQQGANTGDPQLQTIVQAENQHFSVPSSAQTESNQFLDGGGNTTHAAGRNEAET >Sspon.02G0031570-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:115265547:115267327:-1 gene:Sspon.02G0031570-1A transcript:Sspon.02G0031570-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DETOXIFICATION [Source:Projected from Arabidopsis thaliana (AT3G59030) UniProtKB/TrEMBL;Acc:A0A178VKA2] MHCIVYNADWHASAVQTVCGQAYGARRYAAMGVVCQRALVLQLTTAIPIAFLYWYADPFLRLIGQEADVAAAGQLYARGLLPQLLAFTLFCPMQRFLQAQNIVNPVAYITLAVLIFHTLVSWVAVFVLGLGLLGAALILSFSWWVLVVLTWGYIVWSPACKETWTGLSLLAFRGLWGYAKLAFASAIMLALEIWYVQGFVLLTGFLPNSEIALDSLSICINYWNFDFNIMLGLSYAASIRVGNELGAGHPNVVRFSVIVVVIVSVSFSFLATITVLILRYPLSTLYTSSATVIEAVISLMPLMAISIFLNGIQPILSGVAIGSGWQATVAYVNVGAYYLIGLPIGCVLGYKTSLGAAGIWWGLIIGVAVQTIALIILTVRTKWDKEVEKAMKRLKQTGVVPVNDIIA >Sspon.07G0021120-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:908963:913099:-1 gene:Sspon.07G0021120-1B transcript:Sspon.07G0021120-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRALPALLLALSLSLSLVAADPPERERSALQAFLSGTPHERPLQWNTSLPTCSWTGVRCDAAANNATVTELHLPGVGLVGVVPNGTLAQLHNLQVLSLRDNRLQGPVPPDVLALPRLRALYLQGNLLSGAVPPGLAAGTLPALEHLALSRNQLSGPVPDKLLVGMPRLRSLMLDGNRLSGGLPEAGSVGGGAGGTRLEVFNVSFNDLDGPIPASLARFPPESFAGNPGLCGAPLVDRPCPSPSPAPGSVPAPGKETKKRKLSGAAVVAIAVGCGAAALLALLLLALCVAHRHRRQSEAASADAKATPPTRGLTPSTPSGDLTGGDFTSSSKDISAAAAAGGPERGRLVFVGKQGAGHLRYSFDLEDLLRASAEVLGKGALGTSYKAVLEEGTTVVVKRLRDVAAARREFAACVEAAAAAAAEHRNLVPLRGYYYSKDEKLLVLDYLPGGSLSARLHGSRGTGRAPMDWEARTRAALCAARGVAHLHTAHGLAHGDIKSSNLLLRPDPDAAALSDYCLHQLFPPAPARPGGGGYRAPELADARRPTLRSDVYALGVLLLELLTGRSPAHHAASGSLDGSGSGALDLPRWVQSVVREEWTAEVFDAELVRAGSGAAEEEMVALLQVAMACVSTAPDARPGAHDVVRMVEE >Sspon.04G0002880-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:34761551:34763531:1 gene:Sspon.04G0002880-4D transcript:Sspon.04G0002880-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCRAGQPSLETLWGLQTLAVPAGSLALSLNFVGDSATAMAINHQSISKMAKCDADTSGNGSAPRCLSLLDAGGSGHLTAVSSFFADDCSATKCDPALDMRWLAVSSSPDGPKLLHGGVAQHYHLHQPYQPWLVVADAHEAKHPCNEAKHPCNKARCAAVQLHVVDDEDVKLLSAIKVKSHDSSASNGSVECRSRFKELSAENLKVLCSALEKEVPWQAEIVPEIASTVLRCRSGMVRRRDADASSSRQACAKEDTWMLFHGGDAEGKARVARELAHLVFGSRKSFVSISGTTASSPARSGDSSEEQRKRPRLTEVSNHGCHESLYEAVRDNPHRVILVEDVELGGWRCQRDILEAIQSGLVRSHAGGDKAALGDAIVVLSCQSFDAWSTTSSPPTTKKAKAEREEEPKEEESTGDDHHRKEAITTAAASPSSSPCFDLNMDVENDDLESCFTDASLLKAVDRTFFFRRPDESRD >Sspon.02G0037330-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:32524557:32525298:1 gene:Sspon.02G0037330-1B transcript:Sspon.02G0037330-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGECSPPAATTTRSGTSRSHSEAERKRRQRINAHLATLRTLLPAASRMDKAALLGEVVRYVRELRGEADAAAAGAAVAVPGEGDEVGVEEGQHCFCHGGERERAAASANTRRVRAWVCCADRPGLMSELGRAVRSVSARAVRAEIATVGGRTRSVLELDVGGQHDGEGTSTSSRPALQAALRAVLLSREEMLGAECYKRQRFSAHLARV >Sspon.03G0010560-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:33692567:33693937:1 gene:Sspon.03G0010560-4D transcript:Sspon.03G0010560-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLQAGYTVRATLRDPANVKKTKPLLDLPGATERLSLWKADLAVEGSFDDAIRGCTGVFHVATPMDFESKDPENEVIKPTVEGMISILRACKEAGTVRRIVFTSSAGTVNVEERRKPVYDEDSWTDVDFCRRVKMTGWMYFVSKTLAEKAALAYAFGARPSPHHHHPDARGRPFLQRGHAAEHDHRAGARHAERAPLLDPEADPVRPPRRPLRRPYLPLRAPGRLRTLRLLLARRHHTRPRRHAQGKVPRVRHPAELPWDRGRPRASGHVVQEAPKPWVHFQVRDDGGHVRRRHPDVPGEGPHPPRHCRRGRLCLSARTRRDGCDDWRL >Sspon.07G0002600-3P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:4595504:4597638:1 gene:Sspon.07G0002600-3P transcript:Sspon.07G0002600-3P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASVVAALIVLGVASVATAQLETCNGDLPPVLAANYSGLACQPVWNNFVLRYHQDKNNVLRIVLSTMYSTGWVGMGFSRDGLMIGSSAMVGWIGKKGLPHIRQFALRGKSSSKVVVDRGFLVSKDHDHTVVVQQAKIYLAFQLRFSYRLTHQHIIMAFGNSIPVKNKLTRHQDKTSFTFDFTTGRASVDGSFPYGLRRAHGALNVFAWGVLMPIGAILARYFRRMDPLWFYLHVGVQFVGFIIGLAGVVAGVALYNKIQADIPAHRGLGIFVLFLGILQILAFFLRPNADSKYRKYWNWYHHWAGRLVLFFAAVNIVLGIHVGGADNSWKIGYGFNLAVILVAVIALEFMLWTRWSKNSTSTPTY >Sspon.05G0012570-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:41137493:41141544:1 gene:Sspon.05G0012570-3D transcript:Sspon.05G0012570-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEAQRVVGLARWPATVCRMPCAPASGFAGFTLLIMDDKSKVKKEIDGPLGPPPRKGGLKFAPKVPQKKPAKVVPKKEPVEESKEEAVDKELLMKLKMSQYLAILQNKYPSARIKSEEKISTSYSLSMLKATVINSKSGTQVAFGQGNSSYARYFPMPKKDSSAGEASMLPKEYAEPWDYSHDYPVTLPLRRPYSGNPEILDEEEFGESSASRAQDAKLSAAEELGLMDRAAESQFVFFQFPSSLPLPRQPQSVADPNVVSDERREGMRPLPHIGSKLKEIPEGYMGKILVYRSGKVKMKIGDALFDVRIET >Sspon.02G0039670-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:59432620:59436717:1 gene:Sspon.02G0039670-1B transcript:Sspon.02G0039670-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MELAPLLLLTQLLLLPAVAIVSGEPVAHPGYAHAEEACGGVAGAEAATVLVPAPERREEFDGGRIVDISHYYREDMPGWESSEGTGEFLQLTRSMRNGSDIANFSELRLTAHSGTHVDAPGHVFEHYYDAGFDVDTLDLAVLNGPALLVDVPRDKNITADVMASLNIPKGVRRVLFRTQNTDRKLMWKKEFDTSYVGFMKDGAQWLVDNTDIKLVGVDYLSVGAFDECIPAHLVFLEKREVILVEALNLERVSPGIYTLHCLPLRLRGAEGSPARCILIK >Sspon.02G0023030-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:76912072:76924100:1 gene:Sspon.02G0023030-2B transcript:Sspon.02G0023030-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRWDEILTLPVQNPPSLEFSAADISWSMVEGWKDSMDRLALIPYSRVNDFVRGESNNKDCPTRFHVEARRRRPPTMNCKPKVDGILEYILYWCSFGPDDYRKGGNVRPSRPISEKRKTPAGRPNTKRGCVCHFIVKRLIVEPSVALVIYNHNKHVDKKGIPCHGSMDKMAVGTKAMFAPYISDELRLQVMSLLYVGIPVETIMQRHTEMVEKQGGPSNRDDLLTHRYVRRLERKIRRSVYELDDDDAISIDLWVENNQDYVFFYEDFSDTDTFVLGIQTDWQLQQMIQFGSHSLMASDSKFGTNKLKYPVHSILVFDQHKNAIPVAWIITPNFAHGEIYKWMGALYDRAHTKDPTWQLGGFIIDDPLADVRTIREVFHCPVLISLWRIRMLGIKIWLTNVQTLRSVQRWPNVLEMQYLVYAEEMVMLNYLKITSKLDGFQDLVSSCTFPVSYFAFLSLDFTVLANDPLLLTVKYFPGAWITVLKATSLATAQVASAVESYHHLLKLRLLNEADKSVYWRADWLVHKLGTKVHSYYWLDEFSGKNSFSRYWRSEWSSGPNPWCQGMQIPDSDVVIEGNYASVVSQKNKEKSHVVLNPGSEFALCDCSWSRKGNICKHVVKSAKVCRDRGLALPSLAMFHYYQALANLVHCPPSDTLISDHAMAVAVSVKTQLDAVLCAVNGSSSISPAFKDPQSTSKPRESETEEANSENGVCASQSQPGAGDDEDQDIPTGKKRKSGNASGDSEDVSIYQDSLARKKHKSGEASGDNEEASTEEDSRARESRKTGETSANDEEVSIAFPFTILQYPVHSILVFDQHKNAIPVAWIITPNFAHGEIYKWMGALYDRAHTKDPTWQLGGFIIDDPLADVRTIREVFHCPVLISLWRIRHAWHKNLVNKCSDIEKRSAMAKRLGDAISSICRGNGDVELFEGFLQDFVDCAGFLDYFEARWLPRLGAWITVLKATSLATAQVASAVESYHHLLKLRLLNEADKSVYWRADWLVHKLGTKVHSYYWLDEFSGKNSFSRYWRSEWSSGPNPWCQGMQIPDSDVVIEGNYASVVSQKNKEKSHVVLNPGSEFALCDCSWSRKGNICKHVVKSAKVCRDRGLALPSLAMFHYYQALANLVHCPPSDTLISDHAMAVAVSVKTQLDAVLCAVNGSSSISPAFKDPQSTSKPRESETEEANSENGVCASQSQPGAGDDEDQDIPTGKKRKSGNASGDSEDVSIYQDSLARKKHKSGEASGDNEEASTEEDSRARESRKTGETSANDEEVSIGQDSRDREKRKSGEPSGNEGTSATQATQPSETETSQATNGLP >Sspon.01G0031330-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:107226758:107231720:1 gene:Sspon.01G0031330-2B transcript:Sspon.01G0031330-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFIATTPEGDPAQHLVEEVLFRAANKAGMDFHELIEIPHGDRRRYHDDVSVIVEPPPAKTGLFVGQNKGHVVTKRELPPRPSAHKGVSINAAMIDKRALKVAKRKLGTHKRAKKKHEEMLNLLRRK >Sspon.07G0002360-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:4440568:4442479:1 gene:Sspon.07G0002360-2B transcript:Sspon.07G0002360-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGRSRKGKRGGGAAAAPISRGSRSEGSAWRSWRRSGCRARWPSTCNALLVTRLRSTELEDSRLSHSLPSSPSSSAFYAANIGVSSSSYPIHRHQITMALSMVQKHESICLNRPYDLNQTADSSNLDDQEVDLELKL >Sspon.05G0013730-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5A:48675028:48675904:-1 gene:Sspon.05G0013730-1A transcript:Sspon.05G0013730-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAPGEQCYCNEMLFRSKKPPRRSKTPLDRGRLNDTPCHELSVRSRVTVDVSRSKQYNGHDNMGARKASSVLGYISWMLVELLTYKSFAYKRLST >Sspon.02G0054260-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:111785496:111788065:-1 gene:Sspon.02G0054260-1C transcript:Sspon.02G0054260-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SMAPSSSSRPLAATPTIVEDEGYCLPPFSCNPGDAEILGYLPPLLQRYRSVGHTGVNAGVPWLDPWNIPGMPLDEEEANRREKYYVTDCSVLKSNSTNPATQTGFWKPRDLGWGIPGGGIGPSRFAGFKTTYDFYVGETIHQEGSKGTSWIMKATHETTSHGRDAQPIIMNHPKKQKSMAKATIPYLKDLEDYWQHT >Sspon.07G0006910-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:1338629:1341774:-1 gene:Sspon.07G0006910-3C transcript:Sspon.07G0006910-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATQLVFSNASSCQCPLGISPINVRKTLLGESASFQRKKWFSGDSYRFSRLECSANSRRAGPRRTKDTLYDLHPEISLLYGEDNGAATVSSKEQGIDTAAERLVDTSPSYCYNEPKIKVIGVGGGGSNAVNRMIESSMKGVEFWIVNTDFQAMRMSPIEPENRLQIGQELTRGLGAGGNPEIGMNAAKESQELVEQAVAGADMVFVTAGMGGGTGTGGAPIIAGIAKSMGILTVGIVTTPFSFEGRRRALQAQEGIASLRSNVDTLIVIPNDKLLTAVSPNTPVTEAFNLADDILRQGVRGISDIITVPGLVNVDFADVRSVMSDAGSSLMGIGTATGKTRARDAALNAIQSPLLDIGIERATGIVWNITGGNDLTLTEVNAAAEVIYDLVDPGANLIFGSVIDPSYTGQVSITLIATGFKRQEESESRSSQAGGDSNRGGSGWFSPTSQEEGHALQIPEFLQRKGRSGFPRV >Sspon.04G0025860-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:39617049:39618970:1 gene:Sspon.04G0025860-1P transcript:Sspon.04G0025860-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARIKVHELRGKSKTDLQAQLKELKSELSLLRVAKVTGGAPNKLSKIKVVRTSIARVLTVISQKQKSALREAYKKKKLLPLDLRPKKTRAIRRRLTKHQLSLKTEREKKREKYFPMRKYAIKA >Sspon.04G0000660-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4B:17964943:17966606:-1 gene:Sspon.04G0000660-2B transcript:Sspon.04G0000660-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MELARLLHFVFLHWKRLIKKKNAIQVVILVPTRELALQTSQVCKELGKHLKIQVMVTTGGTSLKDDIIRLYQPVHLLVGTLGRILDLTKKGVCILKDCSMLIMDEPAPASQLRLPSSQPPKPWSCPALAGRRDRSDSTASRSSSASLLRVATHLPLITCIH >Sspon.07G0033960-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:62461209:62469798:-1 gene:Sspon.07G0033960-2D transcript:Sspon.07G0033960-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKILLLLLLLALPPPAAVALTAEGLALLAFKAAATEDPYSALSRWSESDPDPCGWPGVRCANASSSSSSAPRVVVGLAVAGKNISGYIPSELGSLLFLRRLSLHGNRLSGAIPAALSNASSLHSLYLYGNRLTGGLPAAALCNLPRLQNLDVSGNALSGELPLDLRGCRSLQRLVLARNAFTGELPAGVWPEMSSLQQLDLSSNAFNGSLPPDLGELPRLDGTLNLSHNRFSGVVPPELGRLPATVTLDLRFNNLSGAIPQTGSLASQGPTAFLNNPGLCGYPLQVPCRAVPPPTQSPTPPVATTTPLPSTASSSDRGHQQPIRTGLIALISVADAAGVALVGIILLYVYWKVKDRKDNHLGCRDDDGDHSSKTGLCRCMLWRHGGSDDSSDASSGGDDDGEAGKYSSGGGGEGELVAIDRGFRVELDELLRSSAYVLGKGGKGIVYKVVVANGTTPVAVRRLGGGGGGADRCKEFAAEARAVGRARHPNVVRLRAYYWSADEKLVVTDFVGNGNLATALRGRPGQTALSWSARLKIAKGAARGLAYLHECSPRRFVHGEVKPSNILLDADFTPRVADFGLARLLAVAGCAPDGPPSSGGAGGLLGGAIPYVKPPAAPGTGPDRFAGGGYRAPEARAAASAKPTQKWDVFSFGVVLLELLTGRGPAADHASPSTSASFSAPVSGSTATDRSGSGEHGGVPEVVRWVRRGFEEDARPVAEMVDPALLRGPALPKKEVVATFHVALACTEADPELRPRMKAVADSLDKIGS >Sspon.02G0019650-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2A:63688631:63689743:-1 gene:Sspon.02G0019650-1A transcript:Sspon.02G0019650-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSSAKRFSLRIIGSAAALIFLVLLLVVAGGFATLHHRDNTPARGVVVRVNDRLLPRKILRLEVIEEASSSSSIDFANQQHRPPPQLPRGLVRETTNLEMEPSLAGDPERMHAAAAPTKPKSLLAVPVGIKNKAVVDKLVSKFPAADFTVVLFHYDGAVEQWGDLEWSGRAVHVAARGQTKWWFAKRFLHPDVVAEYDYVFVWDEDIEVDAFDPVRYLDVVRREGLEVSQPALDRRSEIHHAITARPLLPTADGVHRRVRNVRCVDGDSTGPPCEGWVEVMVPVFSRAAWRCAWGMVQNDLIHGWGLDYRLGYCAQGDRALNVGVVDSEYVLHRGVPMLSDGGTATPSAGRAAVRLRSFKEMQLFNRRWE >Sspon.06G0001440-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:2301513:2306163:1 gene:Sspon.06G0001440-4D transcript:Sspon.06G0001440-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSDQELAQYVESLVQHTAAQGGTGISADAVVRQLGAQLGVDLSPKAQLIRSVLVALLGPAAAPAPDPAASRKDPFDPATAATGGGGGARAEAPAQQLPFSTSAAASSGPAPSPAVPHFFPQQQQQHQHQMQSFLSAPQQYQQHHQQQRSGAPPSPFDVPASYRYGHQPFPQADQAQLQRLVQLQQQQQQQQQQLAAAARAAAAAAPTPAESPRARAPAPAPAGSKKDSSASAGAKRRGGPGGLNKVCGVSPELQAIVGEPAMARTEIVKQLWAYIRRNNLQDPNNKRKIICNDELRLVFETDSTDMFQMNKLLSKHIRPLENKNDSKREAKKLKPEGGEPIPSVETDVNQLPLTVSDALATFFGTGEREMVHSEAVKRVWDHIKSNNLEDPENPTVILCDSKLKQLFGCDSLTAHAMMQTIYKTLQLYNAR >Sspon.03G0000700-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:13406257:13408535:1 gene:Sspon.03G0000700-3C transcript:Sspon.03G0000700-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSSVDTEAAGQQLKQGSGYSIPATAHAVDTDSWQQVGLLMVIGFNCAYVLSFSNLMMVPLGWAWGVACLLLVGAAAWYANWLLAGLHFAINMEFTHTPVRLQWFITATGIIYFAFAYFVPTISAMRNWLATSAALTLAYDVALLAILIRDGKSNKHKDYNVHGTQAEKVFSALGAVAAILVCNTSGLLPEIQSTVREPAVRGMRRALLLQYTAGAAGYYGISVAGYWAYGSAVSEYLPNELGGPRWAAVLINATAFLQSIVSQHLFTVPIHEAMDTRLQRLDEGMFSRYNLTRRLCARGLVFGFNVFVTALFPFMGDFVNLVGSFALVPLTFTFPSMVILKIKGKSGGRCNRLWHWGVIVFSSVLCVATTAAAVRLIFNNARIYHFFADM >Sspon.03G0020650-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:86548896:86552445:1 gene:Sspon.03G0020650-1P transcript:Sspon.03G0020650-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRSPSLLLLLSSLLSASMLFSTLVAAEGDEEASLLAFKAAAISGGYNDPLASWNRSTTGGYCSWEGVRCRGTRRRVVAVSLPSYGLTGVLSSTIGNLSSLRILNLSSNGFSGSIPASLGRLRHLHSLDLSQNAFSGPLHANLSSCTSLIEMFTGTIPASLSNLTSLQQLHLAQNMLSGYVPRTIGRLRALQKLLLQINMLQANDGEGWEFIASLSNCSQLQLLDISYNTAFTGQLPSSIVNLSTTLQYLRLGNTGIWGSIPSAIGNLVGLQFLDAYNTSISGVIPDSIGQLGNLIDIYLFNSNLSGQIPTSIGNLSKLDILDASSTNLEGPIPPSIGNLKSLHALALSMNHLNGSIPREIFQLSSSYYLDLSYNSLSGPLPSQVGSLQNLNQLSLSGNQLSGEIPESIGNCVVLQQLWLDDNLFNGSIPQYLNKGLTGLNLSVNELSGSIPDAIGSINALEELYLAHNNLSGPIPTVLQNLTSLCELDLSFNNLQGEVPKEGIFRNFANLSITGNNKLCGGIPQLHLVPCKTDHVRKNKRGKLKYLKIALATTFAVLLLAIVIAFLVYRKQRRKQKERTLIQDAVNEMHAIRDSYLKFARTLVVEDGAGTILQAWGIDMRSELDGVAIA >Sspon.02G0026370-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:90873086:90874837:-1 gene:Sspon.02G0026370-2C transcript:Sspon.02G0026370-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to Peptide transporter [Source: Projected from Oryza sativa (Os07g0100600)] MAGEGDETTTTTTLDGTTDRSGKPAVRSKTGTWRACPFILGNECCERLAYYGMSSNLVNYMIDRLHQGNAAAANNVSNWSGTCYVMPLLGAFLADAYLGRYRTIAAFMALYVVGLTLLTMSAAVPGLRPPPDGAGAVTAGQSAAFFVALYLIAVGTGGIKPCVSSFGADQFDDADPRERQSKSSFFNWFYMSINVGALVASSVLVWVQMNVGWGWGFGIPAAAMAVAVASFLMGSKLYRHQKPGGSPLTRMLQVVVAAWRNKGKQPVPADASLLHEAPAVSAIQGSRKLEHTEQFRWLDRAAVVTADEEGKDGKNPNPWRLCTVTQVEELKSVIRLLPVWASGIVMSAVYSQMSTMFVLQGNTLDPRMGSGAGAFKIPSASLSIFDTLSVIAWAPAYDRLVVPAARRWTGHPRGFTQLQRMGIGLAVSVLSMLAAGALEVARLRVAASHGMLDDATNYLPISIFWQVPQYFIIGAAEVFTFIGQIEFFYDQAPDAMRSMGTALSLTSSALGSYLSALLVSVVTAVTTRNGGLGWIPDNLNRGHLDYFFWLLSMLSVINFVVYLWIAKWYRYKQATTSYELSSV >Sspon.02G0040740-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:66311060:66311692:1 gene:Sspon.02G0040740-3D transcript:Sspon.02G0040740-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GRRRRDRGRRRRRKRRGRGHEHPHHGAGRHRQRELALHPGGLPGPGVAAVLRRAGAGRRRRPAHGEPLRRGGPRRVRPRLLPRARLRLLPLLQPRRALPQAARPHLPRPPPPPLRRRRRLFLLRRRGRPRPDRADQPRRAPRRDPRVRRGLRGRVRIPDDGARQRGAGEARPARVRRRRGRRLGRRRAARHPCPLRHAHLHRHRLLRLHTL >Sspon.06G0005130-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:17223873:17227515:1 gene:Sspon.06G0005130-1A transcript:Sspon.06G0005130-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEEMKATEVAALLDLKPHPEGGFYAETFRDGSITLTTSQLPPHYKVGRAVSTAIYFLLPAGSVSHLHRIPCAETWHFYKGEPLTVFELHDDGHIDLTVIGPHLEAGQRPQYTVPPNVWFGSFPTLDVESFASDGSVLVKSRKRDPELHYSLVGCTCAPGFQYEDFEMAKFEDVSSIAPKAEPFLKFLIPSTE >Sspon.06G0002260-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:5658792:5663861:-1 gene:Sspon.06G0002260-2B transcript:Sspon.06G0002260-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEERRRRFANLRSVRWRVDLGILPASPGASVDELRRAAADSRRRYVSLRRRLMVDPHLPKEEDRSSNHVVDNPLSQNPDSSWGRFFRGAELEKTVDQDLSRLYPEDGSYFQTPNCQAMLRRILLMWCLQHPECGYRQGMHELLAPLVYVLQVDIDKLSQVRKLHEDCFNDDFDGVPFPDTDMVFSYKPRKDSKWHSGADNGDDSESASRVNTLDELDPDTKEIISLSDAYGAEGELGIVLSERFMEHDAYSMFDGLMDGGSGVVRMAEFFSTSKVGSSSSLAPVIEASSSLFHLLSIIEPSLHSHFIELDVEPQYFALRWLRVLFGREFCLNDLLVVWDEVFACSNDTLLGENEEYSFKILCSSRGAFIAAMAVSMILHLRSSLLATEINTSCLQRLLNFPNNVDVHKLIEKAKSLQSIAIDANVSSPPLLSKKDIILLLQLLQETPLHPLPESYWEKQWRNLHNDGTAPKGSDKGLSYKKSLKQSLTQRLGLSRTESDPSPVKVVSVKSDTKNSVRRCLLNSYSDKVVQSSEVAGKFQQDEFPIVSIYKEPLLKGAASENLTVSPPSVTKFNPLIDSPVKPAEESSTKRTEACSSGENSPVFYAACAGNEHENCHDNDSERSSITSNSCAGDDDRDEVLADESSNCNCEDKNVSEATESATNVDLVGSSERTTVSNERKPFINKLQWFLRFGRPSAEGNVGKGSAEASDDKHDAVLPCSSAADVSSDNSRSGINLASGDNKKVMGTLKNIGQNMLENIQVIETAFQQDRAQPSAMENFPNNILGGKGQVTAMAALTELRKISNLLREM >Sspon.07G0016670-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:59469524:59469895:1 gene:Sspon.07G0016670-1A transcript:Sspon.07G0016670-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MWGVLGNAATLAQLVGFDAAGLIAKIRQAARTAQQNNKDCELLARRVDMLGEPLPRLMRQDPEAVRVLAGLDGTLSEAHELVMSCQGRGRTRTYKFFTASRIADRFRDVEKKIDSYLSLIPCR >Sspon.04G0019740-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:69676401:69676625:1 gene:Sspon.04G0019740-1A transcript:Sspon.04G0019740-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AMAAADGGGDEQKTSWPEVVGWVTLNAAFQISSDRPDVSTDFYSDTTPLPTDYNPNRVIIVADGRDVVVRIPVIG >Sspon.03G0013020-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3A:36474062:36476284:1 gene:Sspon.03G0013020-1A transcript:Sspon.03G0013020-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ESAQVASASAAQACAWAAVVCYAGVWWRGTIGPVGRGRLAARASGIAARSEVGRAWAREAVVCAGGLQLGRPAAQVWWRLKTTVWWRNVPAGEFAENNLPSPNPSFPLIRLPHRRPTSPTAAPPPFTSPATTPRCCSLYVFPIPPSLSRGEPCEVSGIRRAQRHGESHESIGAEIGRNLPASAAHTLVAHGSRQSGSQLTMRSSTPRRMRPGVEGVVFIFGDLAPAASAVEERSSAEEKLLCYEEMDGTRDLLGHAQGPPLASTHDLLFMITVAS >Sspon.03G0001090-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:2849153:2854959:1 gene:Sspon.03G0001090-1A transcript:Sspon.03G0001090-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-glucosidase 42 [Source:Projected from Arabidopsis thaliana (AT5G36890) UniProtKB/Swiss-Prot;Acc:Q9FIW4] MGSTGREPEVTRADFPDGFVFGVATSAYQIEGARREGGKGDSIWDVFTDDKERVLDRSNAEIAVDHYHRYKVLSTVPIKEKKNQQKKRGRAEGEDIELMASLGFSAYRFSISWARIFPDGLGEKVNEHGVAFYNDLINFMISKGIEPYATLYHWDLPNNLQKTLGAGFLTRLEYFALYAEACFANFGDRVKHWITINEPLQTAINGYGIGIFAPGGCQGETARCYLAAHHQILAHAAAVDVYRRKFKAAQGGEVGLVVDCEWAEPFSENVEDQIAAQRRIDFQLGWYLDPIYFGDYPESMRQRLGSDLPTFSEKDKEFIRNKIDFVGLNHYTSRLIAHHQNPDDVYFYQVQQMERIEKWNSGEKIGERAASEWLFIVPWGLRKVLNYIAKKYNNPAIYVTENGMDDEDDQSATLDQVLNDTTRVGYFKGYLNSVAQAIKDGADVRGYFAWSFLDNFEWAMGYTKRFGIVYVDYKNGLSRHPKASALWFSHFLKGEAAENKADKN >Sspon.03G0008270-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:22432844:22438785:-1 gene:Sspon.03G0008270-1A transcript:Sspon.03G0008270-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGERQATRIRSLYLKSVLKQDIAFFDVEMTTGQVVSRMSGDTVIVQDAIGEKVGKFLQLTANFIGGFVVAFVKGWLLSLVMLSCIPPVVVAGGVVSKMLSKLSSKGQTSYSDASNVVEQTIGAIKTVVSFNGERQAIALYNKFIHKAYKAAVQEGITNGIGRGSVFFIFFASYGLAIWYGGKLILSKGYSGGDIVSILFAVMIGALSLGQATPCIAAFAAGQSAAYRLFTTIKRKPEIDPDDTTSGKQLEDMRGDIDLKDVYFSYPARPEQLIFDGFTLHVPSGTTMAIVGESGSGKSTVISLVERFYDPQAGEVLIDGINIKSLQLGWIRGKIGLVSQEPLLLMTSIKDNIAYGKEDATIEEIKRAAELANAANFIDKLPNDYDTLVGQCGAQLSGGQKQRIAIARAIIRNPKILLLDEATSALDVESERIVQEALNTIMVFFALMLSTVGISETSALASDSKRARESTISIFALLDCKSKIDSGSDEGLILEEVKGDIDFRHVSFKYPTRPDDQIFSDFTLHIPSGKTVALVGESGSGKSTVIALLEQFYRPDSGTISLDGMDIKKLKINWLRDQMGLVSQEPVLFNDTIRANIAYGKHGEVMEEELIRVAKLADAHEFISSLPQGYDTSVGERGVQLSGGQKQRVAIARAILKDPKILLLDEATSALDTESERTVQDALDHVMVGRTTVIVAHRLSTIKDADVIAVLKDGMIVEKGRHEALINIKDGFYASLVELRSASPDRI >Sspon.03G0045810-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3D:25489743:25490630:-1 gene:Sspon.03G0045810-1D transcript:Sspon.03G0045810-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASTMSHPSPALAGKAAKIARASVFGEGRITMRKTAGKLPKPAAASGSPWYGPDRVLYLGPLSGAPPSYLTGEFPGDYGWDTAGLSADPETFAKNRELEVIHCRWAMLGALGCVFPELLARNGVKFGEAVWFKAGAQIFSEGPGLPGEPQSRARTEHPCHLGMPGTNQSDPKSPTRLSRFGFCSDRLMLQCNASRIDQVVLMGAVEGYRVAGGPLGKVVDPLYPGGSFDPLGLADDPEKFAELKVKEIKNGRLAMFSMFGFFVQAIVTGKGPLENLADHLADPVSNNAWAYATKF >Sspon.01G0002700-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:7530709:7533172:1 gene:Sspon.01G0002700-3C transcript:Sspon.01G0002700-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLRSPPPLPAAFRRSRAVVRASSSSSSSSSSSAVSSSSSAPKARFVARRSESVPVQQLARPLAEYMSLPASQYSVLDAERIERVDDSTFRCYVYRFRFFALEVCPVLLVRVDEEPDGCCIRLLSCKLEGSPLVEAQNDKFSASMANRVFCSSRSQDSTIQQLTSDTTIEVAIDIPFPFRAIPVEAIESSGRQVLEQLLRVMLPRFLKQLVKDYQAWASGDASRKPLGTGEI >Sspon.05G0001400-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:4576807:4579196:1 gene:Sspon.05G0001400-1A transcript:Sspon.05G0001400-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MCASRVSITRVVGGRGSAIGRLTSTRRPIKRPHGHPRAKSPDSSLPPVCGPSPRDTPREGGGRCTEPAPPPPDPPGTDRILKELKDLQKDPPTSCSAGDLLSSSVVCVQISRNCVAIAMYASSVLLSICSLLTDPNPDDPLVPEIAHMYKTDRAKYESTARSWTQKYAMG >Sspon.04G0029090-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4B:70334776:70335573:1 gene:Sspon.04G0029090-1B transcript:Sspon.04G0029090-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAMAAAPPQAPRLDTVLSFSDAPASPDAEAESATLAFSDAGSGSDTDAEDADFEFAFAPPLSPRAGAYPAIAPADDLFAHGRILPAYPLFDRHLLVADHDDQASSPDHHPQQQASTAPPSPDTYCAWAPRSAPGSPSRDDNPAAAAFPKSSSTGEARRFWRLRDLVAGGGGRSHSDGKEKFVFLQSCDGKEKHAANANANAKAAAPLQKQGNKKKGGNGKAAGATEMDMATAHRLFYGKPGAAATALAGDRTKQQQSYLPYRPAI >Sspon.06G0007590-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:26341345:26345850:-1 gene:Sspon.06G0007590-2B transcript:Sspon.06G0007590-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMTAIASPSSRTLIPLRHHGAAPSPSTSGDSSLRLLRAHPATAGAAAGCPSPRPRRGADRSSSPARGVRLQELPDLPGPRRQHGGAGTRLYPLTKKRAKPAVPLGANYRLIDIPVSNCLNSNISKIYVLTQFNSASLNRHLSRAYGSNIGGYKNEGFVEVLAAQQSPDNPNWFQGTADAVRQYLWLFEEHNVMEFLILAGDHLYRMDYEKFIQAHRETDADITVAALPMDEARATAFGLMKIDEEGRIIEFAEKPKGEQLKAMMVDTTILGLDDVRAKEMPYIASMGIYVFSKDVMLQLLREQFPGANDFGSEVIPGATSIGKRVQAYLYDGYWEDIGTIEAFYNANLGITKKPVPDFSFYDRSAPIYTQPRHLPPSKVLDADVTDSVIGEGCVIKNCKIHHSVVGLRSCISEGAIIEDTLLMGADYYEVNIYKCSLLSMQQESSHLGILFFQTEADKKLLAENGSIPIGIGKNSHIRRAIIDKNARIGDNVKILNADNVQEAARETDGYFIKGGIVTVIKDALLPSGTVI >Sspon.01G0003400-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:7541497:7542051:-1 gene:Sspon.01G0003400-2B transcript:Sspon.01G0003400-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPAASDEASTSVASTTSAAGSTSPCSSSSSVRCNKDDDHAGNDSNSIVAVGKNPSALSESGLSSAIASRRFFLSSPGRSNSIVDSSAHGAAAAALGAGVGAAGVAVPTYSPDPHADFLRSMEEMAAALRLDARRRGDRARLHELLLCYLALNDRRAHKYVVSAFTDLLLRLTAAANLDDDRHD >Sspon.08G0019570-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8B:13170763:13170981:1 gene:Sspon.08G0019570-1B transcript:Sspon.08G0019570-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAKDFLGDVPDTRHLLRTIIREHDSDDIEVLYMPDYAHGDFVMGYKSTMLHNSNTSPWWNSSSVTENLLLL >Sspon.01G0035470-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:12125980:12127616:-1 gene:Sspon.01G0035470-2C transcript:Sspon.01G0035470-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLFLERLEGEGVFRCRRCRVDAASKDAIISRDFYGRTGRAYLFDHVVNICLGPNEDRYLVTGLHTVNDIYCSCCQQILGWRY >Sspon.06G0015090-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:66848914:66849856:-1 gene:Sspon.06G0015090-2B transcript:Sspon.06G0015090-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSDSERAAATASLLLRVLTLLLLVASIVILVTNKIYAPFRNVVDPPNITFRDFYAYRYVLSAAVIGGAYTLLVLPFAAIHVAQERRIGRDRRAIALLVFTDVVFAVLIATGAAAGLGLTVESQRFPQGPDFMNFFKLVDVSCGLMLVATLCKVIIIMVSVRSIN >Sspon.07G0000760-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:1693491:1696990:-1 gene:Sspon.07G0000760-1A transcript:Sspon.07G0000760-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At4g01030, mitochondrial [Source:Projected from Arabidopsis thaliana (AT4G01030) UniProtKB/Swiss-Prot;Acc:Q9SV26] WPRKPSPSRSRRRPPTTTTTTTMPNLHPRRSTTPTPRRLTSSSKPARSRRRCEAWTPSATGGSWSPSCGSPRSSSTGTRTPSASPLRAASRRSCILLPSARATRGTRVWRARSPTSSCAWAAGPRRGACSRKRRPRRRRRATRTRTRCCGTSALPCSPRRRSGATRSPRSGRCGRAGWLPTGTRARGRSKRAAARGRRARARAVHAHAAKAGHVAAHPLVPGFLAGMYAESADVGAAKRVLETEDAPPVAWNVVVACCARLGLVDDALDLAEGMARSGRLEPSLATWNAVLSGCARYGRDREAFGVVRSMLDQGLRPDSSSMSSLLKSVASLGLLAHGMEAHCFFLRNQLEPDVYTGTAFVDMYAKCGRLEYAQKVFDTLELRNITTWNSLVAGYANAGQFDHALDLVEEMKRNRLDPDVTTWNGLINGYSMNGMSSQAVLLLRQIKAIGLTPNVVSWTSLISGSCNNGDYEDSFYFFNEMQKDHVQPSVVTMSVLLRACAGLALLKKGKELHCFALRRAYDHDMVVGTALIDMYSKSGNLASAKRIFERIQENNLVSCNAMLTGLAAHGQGHEAIALFHDMCNSGLKPDSITFTALLTACRSMELITEGWEYFDSMESRYGVTPTVENYACMVDLLARCGYLDEAMDFINKSPFKSAASLWGALLTGCTVHGNLALAEAAARKLFKLEPYNSANYLQMVSLYEHEQMFDEAESLKYAMKARALDTRPGWSWIQIEQSIHVFEVEGKPHPDTAEIYEELIRLVFQIRKAGYVPDTSCIVYNVPEEEKEKLLLSHTEKLAITYGLIHSDASRVPIRVIKNTRMCNDCHENGRLFFEMLIGFTILRMGNALANASGWPPTSPAILRPYRAPFKVTSETVMFRMNPPLPELVLIWIPTPMPKKFRCSTRTFSTPPEVSLPTAKPANGPDPVILRMVMPELGRPKAMPYSSQPLLMEIRSSPT >Sspon.04G0006840-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:15733808:15734197:1 gene:Sspon.04G0006840-1T transcript:Sspon.04G0006840-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSKALFLVALINLVLFAVANACGGGCPTPTPPTPTPPSPSTGGKCPKHALKLAACANVLGLVSAEVGHPPAEPCCSILGGLADLEAAVCLCTAIKANVLGITVDIPVKLSLIVNYCGKNLPSGFICA >Sspon.02G0060090-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:108736847:108740456:-1 gene:Sspon.02G0060090-1D transcript:Sspon.02G0060090-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEVPGNQDNQPFLGNITGAMFADHGEQSAGCSSLEAGWVPMSERSPARNGLGTIDNANLFDDQSLASAFGNMSLSFTGSAADSSANSGSVGSRDALRFADYLFTSADNPTNLPLQPAFDQDEFVPSYLMVNNAGHMKPKFGAQNPPMYTGMHGTDNAFVARTNLPQALPFQQHLIIDRQPQAYAPYYQQQMDSKFKRHDIDVETNFIMQPQYSYQQIPQASDVHWINSNQYGVINSSTKYVAAPHLRVPTVRHLGHGSPDIYWNGAIIPNGNCISDKVKSSPSSLDSEVAMKSAQLNCNSVDEVVGELYHLAKDQNGCRFLQRIFTEGSQEDAQKFLLNAATKYCVELAKDRQGCCIIQKCIIHANKEQKNKLLYSITTRALDLAEHQYGYVTWATNEILDKLEGSYGYLSMQKCSSNVVEKCLKEAQEPKRAKIILELISDPKLQNILLDQYGNYVIQTAFRECEDAEVEAALVRAIKPHVGALRNNMFGKRILSKTCLKSRKL >Sspon.03G0009220-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:25270930:25279010:1 gene:Sspon.03G0009220-1A transcript:Sspon.03G0009220-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SMADGEPSLTRWTFQYHSFPQHVPEISWRDRLASPPQDFVVYYETRLGIRREPNGDDGDDRDEGPTPRGGSDHAASHHPAAAVRANGGADLAVFEQFERQTMLAKAVATECKTTFFNISASSIVSKWPGDSEKLVKVLFELARHHAPSTIFLDEIDAIISQRGEARSEHEASRRLKTELLIQMDGLTKTDELVFVLAATNLPWELDAAMLRRLEKQILVPLPEPDARHAMFEELLPSTPGTMEIPYDVLVEKTEGYSGSDIRLVCKEAAMQPLRRLMSVLERRQEEVPEVVVLSP >Sspon.01G0056160-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:86499485:86499709:1 gene:Sspon.01G0056160-1C transcript:Sspon.01G0056160-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding CCSPAAAGTERKASACWCRCGCSATLPWRSCWTWRRSGTGTASRACCGCPATPATSARSSTAPCTDAAASARQPE >Sspon.01G0061330-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:92940214:92941833:-1 gene:Sspon.01G0061330-1D transcript:Sspon.01G0061330-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGETTTVMAASPFPTVEKCSSNDRSGDTVVADLDGTLLCGRSSFPYFAHMAFETGGVLRLLLLIALAPLAGLLYYFVSEPAGIQVLIFASMAGARVADIEAVARAVLPKFYCADLHPESWRVFSACGRRCVLTANPRIMVEAFLKEYIGTDVVVGTELVVWRGRATGLVRSPGVLVGEQKADALRKVFGDDVAPEVGLGDRKTDYPFMRLCKEGYVVPATPKPKPVPRENLPKPVVFHDGRLVQKPSPALALLTVLWIPIGFLLACLRIAAGALLPMRMVYHAFRALGVRVTIRGNPPPPASRETGQTGVLFICSHRTLLDPIFLSTALGRPITAVTYSVSRLSEILSPIRTVRLTRDRAADAAMIRRLLTEGDLVICPEGTTCREPFLLRFSALFAELTDEIVPVAMENQMSMFHGTTARGWKGLDPFYFFMNPSPGYVVTFLNKLPAELTCNGGGKTSHEVANYIQRLIASTLSYECTNFTRKDKYKALAGNDGTVVSKPNIDKKVMGC >Sspon.02G0012430-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:32771347:32776439:-1 gene:Sspon.02G0012430-1A transcript:Sspon.02G0012430-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGQQQHLPNVPRWTPSPPRRQAHGGRGDAHDEEDADSALGGSMRSTDGSSFPFGSGRSFPFPLPQPSLEISVLPAANGTTTAGSGVDGPVAREKSLRRTDEGVVISWEDLWVSAAGGKGGRVPILCGLSGYARPGEVLAIMGPSGCGKSTLLDALAGRLGSNVSQKGDILINGRRQKLAYGTSAYVTQDDVLMTTLTVREAVHYSAQLQLPSAMPASAKRERAEETLREMGLEAAADTRIGGWMHKGISGGQRRRVSICMEILTRPALLFLDEPTSGLDSAASYHVVSRIARLARREGMTVVAAVHQPSTEVYSLFHGLCLLAYGRTVFFGPAAETNQFFALSGFPCPSLMNPSDHFLRTINKDFDKDIEEGLNGEVKMTTAEAIDTLGGAVVKKEWQTSFLTQSLVLTKRSFVNMYRDLGYYWLRFAIYIMLCLCVGTVFYDIGHSYGSILARGSLLNFVAAFLTFMAIGGFPSFVEDMKIFGRERLNGHYGAASFTIANTVSAAPYLALISVVPGAIAYYLVGLQSSFGHFAYFALVLFTTMMVVEGLMMIVASAVPDFLMGIITGAGIQGVMMLNGGFFRLPNDLPKPFWRYPMYYVAFHKYANQGLYKNEFLGLTFPNNQAGGPATISGGQILTDFWQVEKGYSKWVDLAILCGMVVLYRVLFLAIVKLTEKVKPMIKGFRFRNTAPSVHVADKGSGSP >Sspon.06G0001080-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:3781888:3787189:1 gene:Sspon.06G0001080-2P transcript:Sspon.06G0001080-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MYVPPLPTGGAGEQPRVYQVWRGSNEFFLQGRFIFGPDVRSVFLTMFLIIAPVVAFCVFVARHLINDFPDSWGISVMVVAVVFTVYDLMLLLCTSGRDPGIIPRNSHPPEPESIDGINDTGVQTPQQFRLPRTKEVVVNGISVRVKYCDTCMLYRPPRCSHCSICNNCVERFDHHCPWVGQCIGLRNYRFFYMFVFSTTLLCLYVFAFCWVYVIKIRDAEQLSLWKALLKTPASIVLIIYCFLCVWFVGGLSVFHLYLMSTNQTTYENFRYRYDRRDNPYNRGILNNFLEIFCTAIPPSKNNFRARVTVEQGLQQTRSQSRGFMSPSMGKPIGDLEMGRKPVVPWDEPRSAADIRDLEAGLGGMFDEKEGRVAHASPDLSRDLPAEFVEGRSGMHSRQSSWVQRGGDAIEASAVQMANARSAEASSNVARSGTR >Sspon.02G0015210-3D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2D:35906392:35909192:1 gene:Sspon.02G0015210-3D transcript:Sspon.02G0015210-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMIRCQRCVKRIYCVRCVTNTYTMSVDDVREQCPFCRGLCTCTPYLNKDKQLRPESLRKCNSNVSSKREKRSTSAGVKSPQARSAAPCTEATGLSFVTTNGVNNVSAMSAEVDTSDVRAEEVDPETKRKYASYLLHYLLPCLTQLNKDQMEEREAEAKIQGLQLSELIVEKAVSWNDERVFWYWTLSVIMNNVICFLLIVFGILIMQVQGKLPPERTPQIITYTVQYQIMVVICAKDFFNGYYHGRMYHNLWPEMLKLKDWPTSDHFENILPSHGKTYINSLPFQPYTNLKSGLLNVSALLPGDILKLDMGPKSYIAYGYAQELIRGDSVTKLHCDLSDA >Sspon.01G0040700-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:55774819:55776313:-1 gene:Sspon.01G0040700-2D transcript:Sspon.01G0040700-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDGAATTAVQGCGAHGHANPMLQLGQRLAFHGLRPTLVVSRHVLSTTSTSRSCPFPVATISDGFDAGGISTCGRMEAAGSETLAGLLDAEARARRPVRVLVYDSHLPWARRVARAAGVPAAAFLTQMCAVDLVYGEAWAGRVPLPLADGGELRGRLAVELGPDDVPPFVAAPQWYPAFTESALSQFDGLEHADDVLVNSFRDLEPKQEADYLESTWRAKTIGPTLPSFYLDDGRLPCNKTYGGDLFTGIDQAACMAWLDKAGALLRRPRVVRDQELGNGLCDSGKPFVWVLRSNEAEKLSQQLGGRCKERGLVVPFCPQLEVLAHKAIGCFLTHCGWNSTIESITCGVPMVAMPQWADQPTTSKYVESAWGIGVRMRKGLVRREEVERCIREVMEGERKTEYRQNAAKWMKKAKEAMQEGGSSDRNIAEFAAKYLSN >Sspon.02G0053370-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:90187316:90200213:1 gene:Sspon.02G0053370-2D transcript:Sspon.02G0053370-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPGEQQQQAAPEEVVSVEMPAPDGWTKKFTPQRGGRSEIVFVSPTGEEIKNKRQLSQYLKAHPGGPAASEFDWGTGDTPRRSARISEKVKVFDSPEGEKIPKRSRNSSGRKGRQGKKEEAPETEEAKDAETGKEAPSEDAAKETDVEMKPAEETKEAPAETEDTEKSADKADVAPAPAPMEEDKKETEKPAESVVAPPAPTEEKKEDAKPAEPEAVAPAENSAPAPAEPVAVPAPAPETKPDAEPAVVPAPAPETKSDAAAADPAPETKSDAAAADPAPGTKADAAAADPAPGTKADAAAADPAPGAKPDAAPVENSADKGGNQESQPVNNGQVPHSTVKCI >Sspon.07G0008090-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:19310828:19318072:-1 gene:Sspon.07G0008090-2B transcript:Sspon.07G0008090-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MANATVPESQPQVQAAPGGRKVVDEVSGWLRVLDDGSVDRTWTGPPEVLPMMQPVAPYAVPRDGHTLHDLPGEPSFRIYLPEVAGGRDKGGRLPVIVHLHGGGFCFSHPSWVMYHHFYSRLACAAPAVVVSVELPLAPERRLPSHIDTGVAALRRLRSITLSEDGALADKAAKLLRETADVSRVFLVGDSSGANISHFAAARVGQDGAGVWAPLCVAGCVLIQPGFMRATRSRSELEVGDSVFFTLDMLDKCNAMALPVGATKEHPFTCPMGPQAPPLESVPLPPMLVAVAENDLVRDTNLEYCDALRAAGKEVEWLLNRGMGHAFYLNKFAVDMDPSTGERTQELIDAIQQPQVQLAGGRKVVDEVSGWLRVLDDGSVDRTWAGPPEALPLMEPVAPYAVPRDGHTLHDLPGEPNLRVYLPEAKAEAGARLPVILHLHGGGFCISHPSWLMYHHFYARLACAVPAVVVAVELPLAPERRLPAHIDTGVAALRRLRSIALSEDGAVDDPAAALLREAADVSRVFLIGDSSGGNLVHLVAARVGQEADAGSWAPLRVAGGIPIHPGFVRATRSRSELETKADSVFFTLDMLDKFLALGLPEGATKDHPFTCPMGPQAPPLESVPLPPLLVSVAENDLIRDTNLEYCNALRAAGKEVEVLINHGMSHSFYLN >Sspon.02G0009830-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:27439976:27443879:-1 gene:Sspon.02G0009830-1A transcript:Sspon.02G0009830-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAVVDAGDAPEPTVRNLLDQESLKWVFVGGKGGVGKTTCSSILSVLLAGVRQSVLVISTDPAHNLSDAFQQRFTKFPTLVRGFTNLYAMEIDPKVENDDLSNEGMEGFLSELTNAIPGVDEAMSFAEMLKLVQTMDYSVVVFDTAPTGHTLRLLQFPATLEKGLEKMMELKNRFGGLLNQASRLFGLGDELNEDAMLGKLEGMKDVIEQVNRQFKDPDLTTFVCVCIPEFLSLYETERLVQELAKFEIDSHNIIINQVIFDEEAVESKLLKARIKMQQKYIDQFHMLYDDFNITKLPLLSEEVCGVQALQNFSQHFLTPYESALKRGTVEELEERITLLKSALQEAEAELDRVRKGKQSA >Sspon.06G0020860-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6C:12119341:12119732:1 gene:Sspon.06G0020860-2C transcript:Sspon.06G0020860-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAGEGRRVCGMPEKAQLHVAMLALQFGYAGFHVVSRLALNMGISKLVFPVYRNIIALCLLVPFAYFLEKKDRPQLTLNFVIQFFLLALC >Sspon.05G0011540-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:35317365:35318765:1 gene:Sspon.05G0011540-2D transcript:Sspon.05G0011540-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVVLRLFLCGLFGIAINQNLLVLAMKLTNSTTIVTALSNLTPQSTFIVAILTRQEMVKLGKASGRAKLAGTLVGLGGAMLVTFYRGPEIGFMHRLARTAGLRDAAQHDDGGDVLFHGTSSAAARTVGSFLAITSCFSYAIWLSIQARVGEVFPCHYSIAALVCLFGAVQSALLALCFHRDAPHWRLGLNVRLYSSAYAGIVASGFAFPLMSWCLRKRGPLYVAMFGPLIIVFVAVLSSIFLDETLHLGIVLGAVLIVAGLYMVLWGKAREAQEKAAVPTDEELGKESTAPAATANGETK >Sspon.04G0000120-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4A:1842965:1843187:1 gene:Sspon.04G0000120-1A transcript:Sspon.04G0000120-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GGATCCISRGGSSSPSTRRGGAELRRCAEPGFVRRELPGGGGGGDGGRAAGHGQRPHRGGRAPPNAFPRLLRQ >Sspon.03G0004120-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:17437438:17440309:-1 gene:Sspon.03G0004120-1T transcript:Sspon.03G0004120-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRNHNNAATAAAPQLRHHSRGAVPALGKSKAVPARAADAMNRRPPLGDIGNLVTVRPAEGKPQPQEQQVNRPITRSFGAQLVKNAQANAAIKNAAILPARHALRQDRKAPAKLPPPEDVIVLSSDSEQSRTQSESSASSVRSRRKAINTLSSVLSARSKAACGIADKPRQVIEDIDKLDVNDELAVVEYIEDIYTFYKIAQHERRPCDYIEAQVEINAKMRAILVDWIIEVHHKFELMPETLYLTMYIIDQYLSLQPVLRRELQLVGVSAMLIACKYEEIWAPEVNDFILISDSAYSREQILSMEKGILNRLEWNLTVPTVYMFLVRFLKAATLGNKVEKEMENMVFFFAELALMQYDLVTRLPSLVAASAVYAARLTLKRAPLWTDTLKHHTGFRESEAELIECTKMLVSAHSTALRAS >Sspon.06G0009640-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:51398517:51400850:1 gene:Sspon.06G0009640-1A transcript:Sspon.06G0009640-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNSPRLQAITDSSEPNNCSLDSSNLASAGLATSQRSAAEQSRVVGPTHRQSRAECVPAWRCQKCDRLRVLCYLFYIYLSEDIVCKPTPLFVHLPKHLGLMATILESFVGSCAKKLQDIVTEEAILILGVKEELIELQRRMEQIRYFLNDAEQRSIKESAVTNWLGQLRDAMYEADDIIDLAKSKGSKLLRGHSSSLSSSSNTCSALSLSSCFSSIRTRHEVAVKIKNLNKIIDNISNGNDTVKTSGRRRTHPTGVTRCDPSRPSPLPSPYQFVRPP >Sspon.01G0039120-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:20731443:20732563:-1 gene:Sspon.01G0039120-1P transcript:Sspon.01G0039120-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MYMLSKEVTKEKEDIEWEVVETTKALSRTGPVEGAYKPKKLSLEDELKALQQKVDINSYDYKPIPRPNEK >Sspon.05G0007020-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:13332029:13337490:1 gene:Sspon.05G0007020-3C transcript:Sspon.05G0007020-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYLAEARVRQKASRGRPELSTKYPKLYIWPRSMEARPVQLGLVCLLLLLAAQDALLFQFLALNAKDSVEALTSITRSPRSMEVRCVQLGLICLLLLLAAKDAPALAVPIPQCQRQCGSVDIHYPFGIGDNCSLSPGFNVNCDEVQDGIPKPLIGNVELLNISLIHGTIRVLNSISTSCYNSSSGLMEGNQWFLNGTNSPYRFSDVHNKFTVIGCNTLAYISDSNGTGYQSGCVSTCSNLSDLVDGSCSGMGCCQTAIPRGMAYYEVGFDSRFNTSQIWSFSRCSYGVLMEAEAFNFSTLYITTTKFNDTNVGRAPVVIDWAIRDGTTSCEVAKRNETGTYACLSTNGECVESPNGPGYLCNCSKGYDGNPYLPDGCKDYNECRDVSSCPSGSICHNTIGGYRCSCRAGRKFSEQNKTCDPDTGLIIGVTVGFLVLVIFSFFGYMILQKRKLNQENNLDAILPSHVKGQESNELIRGLAELAKQCLDMCGCNRPSMKEIADELGRLRKLSLHPWVQINAEMIETQSLLSGTPTASFEIEASTTGYPTQESENLPMNPRSSYYARSRYP >Sspon.01G0044520-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:79474115:79481023:1 gene:Sspon.01G0044520-2C transcript:Sspon.01G0044520-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAQKRPPPPPRPPPRGPGRRLAPPASPAAADGRARGEEAQAGGERHRRHRCRSQRQRQRFRREGGGGCGGVVGF >Sspon.06G0015790-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:78979285:78981274:1 gene:Sspon.06G0015790-1T transcript:Sspon.06G0015790-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding CFRQLKAVALHHSTNNRVIMDMTNGIVWFTIALVFITVVISKATRGGIMFDPKCNPPHPPTVKGVSFIRVLHTLLSKGLQAMIHDQYTKLGSVFTISFFQFKVTFLIGPEVSAHFYQGLDSEISHGNAIEFTVPMLGKEVGYGVDTATRNEQARISLDALKPSKLRSHAGPMIQESGDYFAKWGEQGMVDLKQELDKLLMLISGRCLLGKEVREKMFDEFFTLFHELTDNGMCLTSVLFPYAPTPANRRRDRARAKLSEMLTEIVRSRKRYDNVESDVLQNLIDSKYGDGRSTTEAEVIGLVISLLFAGKHTSTITSVWTGAHLLACKRSLTSVLEEQKKIVRKYGMI >Sspon.05G0024240-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:13442296:13447286:-1 gene:Sspon.05G0024240-1B transcript:Sspon.05G0024240-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGTELENPTSRSSSQKSSRRSSSRRSQKSAGQHSLTSTQEKRSKSKSLRQKHLVIDDKEAKKGKNHAHKIDVADERSNFLGYEVYAGKLIFDKKNRSASDNNQLPSNGKADAIDARLTSKALIWGSSVLLLEDVVSVSYNSGVKYFTLHAYPTKKSLFGKTHRVQKDFCFIASTLDEVILWVTCFAEQSIVLIYYLALEHLVSIKTQRIPSPPIKCKSPQRVLVILNPRSGHGRSSKVFHEKAEPIFKLAGFQMEVVKTTHAGHAKSLVSTFDFSACPDGIVCVGGDGIVNEVLNGLLIRSDRAEAVSIPVGIIPAGSDNSLVWTVLGIRDPISASLLIVKGGFTALDILAVEWIQSGQLHFGSTVSYYGFLSDVLELSEKYQKKFGPLRYFVAGILKFLCLPSYFYELEYLPVSKEMAVNGKGTDQDKTYLSNVYTDVHSRSKREGIPRASSLSSIDSIMTPSRMSLGDVDTSGSTVASTEPSDYVRGLDPKAKRFSLGRSNIVSEPEEVLHPQPHHGSFWPRTRSKARAERNSVGVTSTNDTQLSWAAPSMHDKEDISSTISDPGPIWDCEPKWDTGPKWDSELTWEPDHPIELPGPQEDTEMGAPMELVPNLDEKWVIRKGHFLGVLVCNHSCKTVQSLSSQVVAPKATHDDNSLDLLLVGGKGRWKLLRFFILLQFGRHLSLPYVEYVKVRSVKLKPGANTHNGCGIDGELCRVKGQVLCSLVPEQCRLIGRQCRQSI >Sspon.04G0028020-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:68706475:68709380:1 gene:Sspon.04G0028020-2D transcript:Sspon.04G0028020-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding WAAASPLRGRRATTRPPATATRGGSGGCGPATTTAASGTSTTGPPSTSPSSTATSPASSAPTAPPTSSSSRRRPPLPPSHTNLSLNSRPFFLCSVEKNSLRRTR >Sspon.03G0008480-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:17329294:17335136:-1 gene:Sspon.03G0008480-2C transcript:Sspon.03G0008480-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSIAIASQEEARKEKGKDRRRDGGSKGCHTLDSRGNASTSPTNSSGAPIPYITSHGSKVARLHMYDWIVLVLLAVLDGVLNIIEPFHRFVGSEMMTDLRYPMKDNTVPFWAVPIVGIIGPMIIITAIYFKKRNVYDLHHAILGLLFSVLITAVLTDAIKDGVGRPRPDFFWRCFPDGIPGLWPHAYFRHIGEPEGDNQVQPTYM >Sspon.01G0010860-1T-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:37537581:37538455:1 gene:Sspon.01G0010860-1T transcript:Sspon.01G0010860-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding METLAVLLLLALLSMTLSSSAQGYGVGRWINAHATFYGGADASGTMGGACGYGDLYSQGYGTATTALSTALFSGGQSCGACFELRCAAGDRGSCAPGPGGSSSSVVVTATNLCPPNYALPNDAGGWCNPPLRHFDLSQPAFLRIATYRAGIVPVAYRRVPCRRRGGIRFTVNGHPYFNLVLVANVGGAGDVRALAVRARTTRWLAMARNCGQNWQSAARLDGQPLSFRVTTSDRRSVVSYNAAPAGWAFGQTFTGAQF >Sspon.04G0009600-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:24118864:24123455:1 gene:Sspon.04G0009600-2B transcript:Sspon.04G0009600-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFGEAARRLLAAVDWEREAYPAYGDFLALPAFVLFFPTVRFLLDRFVFEWVARRLINGNGHQRANNETEEARKKIRKFKESAWKCVYFLSGELLSLSVTYNEPWFTNTRYFWVGPGEQVWPDQKIKLKLKAVYMYAAGFYTYSIFALMFWETRRSDFGVSMSHHVATAILIVLSYVFRFARVGSIVLAIHDASDVFLEVGKMSKYSHCDWLANVSFLFFVISWVLLRLTYFPFWILRSTSYEVLLTLDKKKHSFDGPIYYYVFNSLLFSLLVLHIYWWVLIYRMLVRQIRTRNVGDDVRSDSEGEDDHED >Sspon.08G0000100-4D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8D:8268586:8269609:1 gene:Sspon.08G0000100-4D transcript:Sspon.08G0000100-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding QMYRSSSSSSSSTHHQSSLQKLTSTAASNSKRVFLSREDHCAYYASQDGNIPASSDKNIYTTMLRGCSHSSPYQIPSLEEVFRSCWEQRRGRVPWNSNVLTTEKAIRPSHTKKPEKQHTGCDLTLSIGLWEDASSDDADGSSSISEEQLPARPAAGGARRVAAVKEGESKPAALNLDLTISSSWLA >Sspon.01G0012860-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1A:34691764:34692444:-1 gene:Sspon.01G0012860-1A transcript:Sspon.01G0012860-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGFEAIPDPTALSLALPTPAPSPGLKLNKEDYLAICLAALAGTRKFGLGRQREQEQHQHQPTNKWCPPTHAPAQQELRFRCAVCGKAFASYQALGGHKSSHRKPPTPEQYATAAAQPQAVSTADSEETTSSSGGTAGGPHRCTICRRGFATGQALGGHKRCHYWDGTSSVSVSLSASATVSSGVTVRNFDLNLMPVPENAGMKRWVEEEEVQSPLPIKKRRILD >Sspon.01G0036490-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:18644702:18646434:-1 gene:Sspon.01G0036490-1B transcript:Sspon.01G0036490-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGKLRRDFMKQSHSRSNASENSVTNLLLFSQNAGKSTPVSRGSLDTGKLLAGGVIRRASPSAPERRALVVRDGLLSIRTSVATAAASEPAPEKEPAKKAGVPRSTARGFLNGIFNGSPAPPSPRRRGGRSPCAPRRPPTRARAAGDELGVVGVVKLASLVLVSAPPPSQTRHRRARSPLPHAAAVGTCSGRAPPLWGRSPWPRERACAAIFTCGCAVAKRHHRGVAPWPSAAAAGTRPRLPREHACTAISTRVCAPAKCHRRGAAPWPSAAAAETRLGRAQMRPHRRSKASSTLGWRAAPVAGTTRRRPWPHAMRCRGAPRGQRGAVSETRRSRVAPVASRHRRSSTVEAASTRPEATVESVLLSGATMPAQPLLLQRGVVEARGNATVQTTAGEGDALLHFVSQSASACSRRRGVNRRECLTGSRPLLKILSSTLLLESAGEPSDQNWTMDVRGKLQRDFLEESHRIPVRAGAGVRPQGADLVDGSSAHPQPYLHPL >Sspon.01G0005290-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:12620882:12622952:1 gene:Sspon.01G0005290-2B transcript:Sspon.01G0005290-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AMSTSTDAVAVAVAPAKRPPINKYAFACALLASMNSVLLGSDISVMSGAQLFMKEDLKITDTQIEILAGVINIYSLFGSLAAGFTSDWLGRRYTMVLAAAIFFTGALLMGLAPDYGLLMVGRFVAGIGVGFALMIAPVYTAEVAPTSARGFLTSFPEVFNNFGILLGYVSNFAFARLPVHLSWRAMFLVGAVPPVFLGVAVLAMPESPRWLVMRGRIDDARRVLQKTSDSHAEAEERLLDIKKVVGIPEGVSDADDVAAIVRANKGSSRHDGVWKELLINPSRPVRRMLVAGLGLMFIQQATGVDCVVMYSPRVFERAGIKSKTNSLGASMAVGACKTFFIPISTLLLDRIGRRPLLLASGGGMAIFLFTLATSLHMMDRRPEGEAAALGAVSIAAMLSFVASFASGLGPVAWVYCSEIYPLRLRAQAAAIGTGLNRIMSGATTMSFLSLSNTITIAGSFYLYACIAAAGWVFMYFFLPETMGKSLEDTVKLFGKDADDEDVGDSRRHVPSQKPSTELSAQQ >Sspon.05G0018950-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:80866648:80868841:1 gene:Sspon.05G0018950-1A transcript:Sspon.05G0018950-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVDVDNNRQWQVEQRYRQYHGQMQAVSSSFEAAAGAGSARTYTALALRTISRQFRCLRDAIASQVRAASRALGEDADAAVAAGGRTVGSRLRYIDHQLRQQRALQQLGMMQGGAWRPQRGLPERSVSILRAWLFEHFLHPYPKDSDKIMLAKQTGLTRSQVGKSFFLLLLIINLTVLLAVCRTGSSTRGCGCGSPWWRRCTWRRPRTRRVAAAAQRRREVRWRRSKSGDTVDGVTPRADAMSKSAVRVGGGGAAENASTNKGIHGSSLLELGGGGDHQHSHAGFYDDDEDDGDDAMGRRLKKARGDEPGAFHGHHVHDMAALHAQAAAAARQQHEEVSHRELLMKFMESGGGGAGARNHHHHHHQDGGGYSLFAPGPYGQFAAEPAAFAFSGNGGVSLTLGLPHGAGGSAAEQTASFLMGSTTAGADSGSHGGAAGGYDMNMQSTKSFAAQLMRDFVA >Sspon.06G0010610-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:45567155:45571632:-1 gene:Sspon.06G0010610-2B transcript:Sspon.06G0010610-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLFGLGRNQKTFRPKKSAPSGSKGAQLRKHIDATLGSGNLREAVRLPPGEDINEWLAVNTVDFFNQVNLLYGTLTEFCTPESCPTMTAGPKYEYRWADGVQIKKPLEVSAPKYVEYLMDWIEGQLDDESIFPQKLGTPFPPNFKEVVKTIFKRLFRVYAHIYHSHFQKIVSLKEEAHLNTCFKHFILFTNEFGLIDKKELAPLQELIESIIVPY >Sspon.03G0016810-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:53059961:53062746:-1 gene:Sspon.03G0016810-1A transcript:Sspon.03G0016810-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCAAAWPPPSSALGRRPCRGRRRRSSVRLRPRAAAVGQEGGGAAGEEAPPRLVLHDSLDAVGVATAHARAARDGFVAQVGRLTRVSAGSSIAISRGPDLARAALCVAAEDDSLVSHSSVPLPVDAFIARLDDLSAGFCAGGNFPPSGAPPEVFFDYLDRYLYIHKGFRRTNRVSDVRTMYLHSVLTCRSGSALMLALIYSEILKTVRIYGLLDFDAEIFFPNDLNSLPRGYDKQKGKLSDEPHIMTSKSLLVETLRTLKSTFWPFQSDQSSSLFLNAVAVNHYGPGTLGDNQARSHGNISAIEMAAAKAAHHRLMRGVWTNVRFGDMRRALAACERLIILHHDPHELRDYAALLYHCGYYEDCLHYLSLYPTAKAGQSPMNRLEILEDEAVNTLKARVTLILAEDGWSSRCRPVASYWTKNSEPW >Sspon.05G0027700-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:55976170:55979011:1 gene:Sspon.05G0027700-1P transcript:Sspon.05G0027700-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPAPEPAGKRGPKPKAQSNEQNSGEYSQSENNMVETAQGHDKETTPGPVKATKRIKKLKASKHNLGTSNSGAIEGLLTKKFINLLQGAEDGTLDLNKAAETLEVQKRRMYDITNVLEGVHLIEKGLKNMIRWKTEQMINVASSQCPE >Sspon.05G0012420-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:31741841:31744474:-1 gene:Sspon.05G0012420-2B transcript:Sspon.05G0012420-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein MHF1 homolog [Source:Projected from Arabidopsis thaliana (AT5G50930) UniProtKB/Swiss-Prot;Acc:Q9FI55] MAMDPDPDLDLDLDMDMDMETLADDADAALAGDRGGEAERNEAAEAEAERYEAAEAEADILRDRFCLAIISIATAEGKKAGMTVADPVVACIADLAFKSAEQLAKDAELFAQHAGRKSVRMDDVILTAHRNEHLMGMLQTFSQELKGKEPASERKRKKSSKKDERVIDV >Sspon.06G0010630-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:45580331:45582893:1 gene:Sspon.06G0010630-2B transcript:Sspon.06G0010630-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATQISKKKKFVSDGVFYAELNEMLTRELAEDGYSGVEVRVTPMRTEIIIRATRTQNVLGEKGRRIRELTSVVQKRFNFPENGVELYAEKVVNRGLCAIAQAESLRYKLLGGLAVRRACYGVLRFVMESGAKGCEVIVSGKLRAQRAKSMKFKDGYMISSGQPVNEYIDSAVRHVLLRQGVLGIKVKIMLDWDPKGKVGPITPLPDLVTIHTPKDEDELRPPVLAPEV >Sspon.03G0000850-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:2427894:2432000:1 gene:Sspon.03G0000850-1A transcript:Sspon.03G0000850-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Two-component response regulator [Source:Projected from Arabidopsis thaliana (AT1G67710) UniProtKB/TrEMBL;Acc:Q0WRT0] MALADATAFPYGLRVLVVDDDPTWLKILEKMLRKCSYEVTTCGLASIALQILRERRNKFDIVISDVNMPDMDGFKLLELIGLEMDLPVIMMSIDGETSRVMKGVQHGACDYLLKPVRMKELRNIWQHVYRKKMHEVKEIEGNDSCDDLQIFRNGVEGFDERGLFMRADSDTMRKRKDMDKDHADQDSSDGATVKKARVVWSVDLHQKFVNAVNQIGFDKVGPKKILDLMNVPGLTRENVASHLQKYRLYLSRLQKQNEERIMGAARQDFSHKGPSDNLNLRSSFLEQPGNLSNGFQHSSQKIQAQTNIPDPHLDDTKTSVPLKVPDKNGTSVSDAVDPQNVTSASPLSGVFSFERMPVNQDRKLSETMILECQSCVPPKQFMQYPKHNHERCDLLGDYSCLPKPDLEHPIAPGHLYAHHQ >Sspon.03G0011610-1P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3C:47735503:47736491:1 gene:Sspon.03G0011610-1P transcript:Sspon.03G0011610-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRRGDDVFSRSSREEDDEEALRWAALQKLPTYDRVRAPSSARPRADGPRRPAGRGSWTSTCSALARARNGRCWSASSASPTRTTSGSAQAHRVDSTFSPAQDQGPLAGSDDFARAREGWLLVPAGVFAVGIVEVVLAGAGAEPDRRSGGGWRQAGTGVQLEEELLLLREHGEPRDDLPGGHLVLQALGLPDEAARLVDIGRHVLDDGSEHGHLPGLLEVVRLLVRWRAELPQHGSAPAGHHGGGWPFSSGNRCCGGWR >Sspon.03G0006290-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:22361593:22363381:1 gene:Sspon.03G0006290-2D transcript:Sspon.03G0006290-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAPAASPWLRPSPTVRLRETAFLACSISSAPTRLCIGRRCSDRTSVGIQTAGSNLRRCMIAHVKSGEADGYPKTEDLVDEETLQSNLDRAIKEEDYARAAKIRDDLRILHEDAKASLLAANARFYNAFKNGDIAAMYSIWAKGDHVYVIHPAAGRISGYDVVMQSWEMVCNADYEFPLNIDLKNIEVHIHGSLGYVTCLEVVKTKGRTWGKQIATNLFEKVDGTWLMCVHHASHIEE >Sspon.07G0006140-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:16035626:16038648:1 gene:Sspon.07G0006140-1A transcript:Sspon.07G0006140-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKIKTSRVKYPEGWELIEPTLRDLEAKMREAENDPHDGKRKCEALWPIFRISHQKSRYIYDLYYRRKEISKELYEFCLDQGYADRNLIAKWKKVGEHPL >Sspon.07G0026870-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7C:57273418:57274911:1 gene:Sspon.07G0026870-2C transcript:Sspon.07G0026870-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDDITVHVEWLARRLTQQQEDAAATEQHRIMVNTLLVSRVPDHVREHNRDAYTPGLVAIGPLHASDSRLRAGYRLKMAYLHSLISRDHPDPGRHLAVIQSYVRLVAAREREVRAMYAAEDVDMSAEDLIQMLVLDGCFIIEHLVNVATGVEEASLHATPFGPVQLSVDLVLAENQIPFFVLVDLIKSTRLPEFDNTGYQPTELLMKLVLYYLAGEKGRDMSEGLPAAGDVYHILHLLHAMVTAARTGWEPPPRLQDAAPVEMMQEAARLLRRLPVLLLVPLLYPILPEESRWRASYGREVLPSASDLKRMWVRFKKARGGSTGKAQAGIASVLGPVPLAVKLAHEDRLRLPQLRIEFRTAPLLLNLMAFEQSADLRPGDVSAYVWLMAKLVQSPEDAGVLVAAEVVTSSGSESKEDIARFFKRVGAASEAAAELEKSYLGETRHKLRERSQHPLFMMWADVQRNYFTVPWAVVAEFVAFITFISTILQTYGSFKH >Sspon.02G0019780-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:64314604:64316991:1 gene:Sspon.02G0019780-1A transcript:Sspon.02G0019780-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVSHILFVDSPVGAGFSFSRDPKGYNVGDISSTLQLRDFLIKWFGDHPEYLVNPFYIGGDSYAGKIVPFLGQMISEGIEVGSQPFPNLKGYLVGNAVTGESIDSTSKVPYAHGVGIISDQLYETILGHCQGEDYSNPANKLCAQALDTFNNLINEVQNAQILLDTCVYASPAPNAVSRMDGSDGRRILRAEMGRGMLNHPPARPPFGCITYGYYLSYFWANDKRTREALGIKKGTVDEWVRCHDGDLPYTKDLKSAIKYHRNLTSRAYRALVYSGDHDLLVPHLGTQAWVRSLNFPIVDEWRAWHLGGQAAGWVHDKLLQQHDVRDNQSTFSSMHIWSVDVIFMLI >Sspon.06G0007590-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:27920965:27925179:-1 gene:Sspon.06G0007590-4D transcript:Sspon.06G0007590-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMTAIASPSSRTLIPLRHHGAAPSPSTSGDSSLRLLRAHPRHGRRSRGVSVSTPAARSRPFVFSPRAVSDSKSSQTCLDPDASTSVLGIILGGGAGTRLYPLTKKRAKPAVPLGANYRLIDIPVSNCLNSNISKIYVLTQFNSASLNRHLSRAYGSNIGGYKNEGFVEVLAAQQSPDNPNWFQGTADAVRQYLWLFEEHNVMEFLILAGDHLYRMDYEKFIQAHRETDADITVAALPMDEARATAFGLMKIDEEGRIIEFAEKPKGEQLKAMMVDTTILGLDDVRAKEMPYIASMGIYVFSKDVMLQLLREQFPGANDFGSEVIPGATSIGKRVQAYLYDGYWEDIGTIEAFYNANLGITKKPVPDFSFYDRSAPIYTQPRHLPPSKVLDADVTDSVIGEGCVIKNCKIHHSVVGLRSCISEGAIIEDTLLMGADYYETEADKKLLAENGGIPIGIGKNSHIRRAIIDKNARIGDNVKILNADNVQEAARETDGYFIKGGIVTVIKDALLPSGT >Sspon.07G0011670-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:41621286:41621570:-1 gene:Sspon.07G0011670-1A transcript:Sspon.07G0011670-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding PWSSQRPLPPPSARQRTTTSRPHQRPALTAAAATARRRRRRRRGSIGAGGSAAAVAARGSGGGATRCSSSSGRGSTSSAGASPCCSAGTSTTCQT >Sspon.04G0001270-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:5085470:5088313:1 gene:Sspon.04G0001270-1P transcript:Sspon.04G0001270-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSCASSTLPWTSASSSRPSAERRLTASRRAPSLVIVAQGKVKKYRQVILTDDIEAVGKKGDTMKVRAGFYRNFLLPKGKATLLTPEGNAARTERIEAEKKRVKEDAQQLARVFETIGAFKIPRKGGKGKQIFGRQDIVDIIKSQLNRDVDKKLVTVPEIREIGEYVAEIKLHPDVTARVRLNVYAK >Sspon.01G0026920-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:89967769:89970094:1 gene:Sspon.01G0026920-1T transcript:Sspon.01G0026920-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALTVRERRLPQLHISLDVPSCAFRHPNPPAAASTSASRASGEFRLSDFDRLAVLGRGNGGTVYKVAHRRTSALYALKVLHRGDPGAASEVDALRRADSSPHVVRCHSVLPAASPGDVALLLEFVDGGSLDAVAARRGAFPEAALAEVAAQALAGLAHLHARSVVHRDVKPANLLVSAAGEVKIADFGIAKVLSRAGDHCAAYEGTAAYMSPERFDTERHGHADPCAADVWSLGVTILELLMGRYPLLPAGQKPSWAALMCAICFGELPSLSDGAASPELRAFVAACLQKDYTKRASVAQLLAHPFVARRDVAASKDALRRLQLDFTLRQCSNFTLTKINCRPLANSTQDIV >Sspon.03G0003340-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:15967776:15970834:-1 gene:Sspon.03G0003340-3D transcript:Sspon.03G0003340-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQALGLGRGCIPLHASHCRRTASPSPPAPPRPRNVTRIKTCIAAVRASAAQMERRQEVKVVKLRAVEATPESFASFGQVITASPDGDEFGPHDAQLDLSRGTPRFYIMRLQDRPLKFSTITHHASVTQCLGSIGGHDWYLGVAKPSIVDGESEQSGPEGRKLLQSAAGHYYLPPDPAEVRVFRVSGSKFLKLNKGTWHAGPLFKADAVDFYNLELSNTNVVDHTTHYFKKHDGITFLVED >Sspon.02G0009290-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:26372852:26374464:-1 gene:Sspon.02G0009290-1T transcript:Sspon.02G0009290-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCSDDDAEISPYFLSLLGGLGGLLGGLGGLAAAAGRLLGHLLGQEHRVDVGEDATLQHLGGEVLQDGGEVDGGARAHALRVPALLEVAPDAADGELQPGLDGPRHRLLPGAASLAPGRTLLRLATGSAGVHGCSLLLLRLRGENFGGSGRWELRCRSTAKRGAFKWRGWGRCGGPVDPRARKSDKIPEDVDGWMGLQQGDPREG >Sspon.08G0030060-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:54581248:54581735:1 gene:Sspon.08G0030060-1D transcript:Sspon.08G0030060-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AMEDGLQHTSAIPRDLTFDFLEHITNKFSNDQIVGRGGYGVVYQGVLDNGEEIAVKKLYSTHPGDNDKQFKNECTNLMRLQHDNIVRLVGYCYEIAHKAVEHKEKYVYAEVEKKPSASNTCMVEALTSIFLV >Sspon.02G0004220-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:14799328:14802241:1 gene:Sspon.02G0004220-3C transcript:Sspon.02G0004220-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHQRVALLVPAPAPLDPRYPPISAASASTAASPYSALHPLLLPSPNPHLFLKPKTLTLSLSSSSLTSMASSSPPAPAPAPDAWEVVTPTAPHVDGELDDCAIFPPRLHEGLGLDGEPEEVPPLAAAAKEAEEEEEEEEGVEDDEWLWGWGWRWDRCRLAARRAWAAGVGTVQDRVLVHGACGCPAVRPAVWSAAAATAVVGALLYARRRDKRERDLLVLLSKEKDKRIAQLLHQIALMSDIRSGNEAVKIIRNS >Sspon.06G0014990-1P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6A:82159060:82159446:1 gene:Sspon.06G0014990-1P transcript:Sspon.06G0014990-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVEILDGSTVRSFVEDEGAFNSSVDGRFAALDDDHDGLLTYAEMAGELMSLRVLEKHFGVDEAVAAPEELGALYRGLFARFDTLCQKRANPPTLRQTPCQNASVTKKLQTRIRKQASVVTCPVNARL >Sspon.03G0010610-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:35899186:35901497:1 gene:Sspon.03G0010610-2P transcript:Sspon.03G0010610-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCLYFLPLLKMDHSSDEDTEISDSEIDDYEGKIYARLMSGDLKVKNNGENYSCLFCSSKKKNNYSKSSLVQHASGVSAAPNRKAKEKAAHRALFKYLKNDLAKSPEPRPHVIPVEPQPLQNRDEKFVWPWMGILVNVPTEWKDGRQIGESGNRLKEQLSHFCPLKVIPLWTFRGHTGNAIVEFGKDWNGFRNARTFESHFAAGGYGKKDWTGKKNQGSELYGWLARAEDYNSPGIIADHLRKNGDLKSVNDLAKEGARKTDRLVANLANQIEVKNRYLQELESKYSETTASLEKMMGQREQLLQSYNKEISKMQQLARRHSQKIIDENQKLRSELEAKMNDLDVRSKQLDELAAKSDYDRRNLEQEKQKNAIKSSHLKLATLEQQKADENVLKLVEEQKREKHAALKKILMLEQQLDAKQKLELEIQQLKGKLKVMEHMPGDEDSESKNKINELSEALQEKIDELDGMESLNQTLVIKESKSNIELQEARKELENGLLDLSGGQAHIGIKRMGELDLKAFSKACQKEHTEDTEVTAAFLVQSGRLK >Sspon.08G0011030-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:45963087:45979230:1 gene:Sspon.08G0011030-2C transcript:Sspon.08G0011030-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNFLRKLHIGDSAAGASSPSAPPAPPTKKGDGIEHRHASGLSGWLSSVTGRPPHPPPPPPPPVSAATAAAAAPEVGVEESALASSVVEERRAAEEDEERARRESRKEAEQERRREAETEKKEKQEAELEDYHMQLALEMSVREDPEAMQIEVAKQISLGSCPIQSSPAEVVAFRYWSFNALSYDDKILDGFYDICATGDELALSTIPSLMELQALPFSHGVKTDVVLVNRALDSELVALEQKAFIMSLEFRSQESEFVGHSLVQTLANLVSNYMGGPVIDPESMLLKYQNMSSALKADIRSVVIPLGQLTVGLARHRALLFKVLADSLAVPCRLVKGRQYTGSDDGALNIVKFNDGRECIVDLMIDPGTLISSDGADLGRELEDSLVIDNQHVNKDDTSTQLVSSFSEASSSMHGSFGNESLEKGFICNAGHFGPYGAITAQSDNDVLGSGVSSSFEELSVSTYASENVPIIHESNTDHTMTAKGKDKSITSNNSSSSSPPSSEMGSTPAVRRKKVKDVSEYMISAAKENPQIAEKIHAVLLESGVVPPPDLFSEESKEQPKDLIVYDTSMFQTKDEMIRTMNELESTAHDGCAGLGPSLPHHPGHELQTKVVPYRPPPDLKPVQGLGVYHQFDFRDNVKPIPLYEPSAPQENPLQFIKQMPVTAAAVATAAVVASSMLETNHGQDNALEHEKDSVQAPQEAERISDRSTGTESARSEIALDEIAEFEIQWEELTLGERVGLGSFGEVYRGEWHGTEVAVKKFLQQDISSDALEEFRTEVGIMRRLRHPNVVLFMGAVTRVPHLSIVTEFLPRGSLFRLIHRPNNQLDEKRRLRMALDVARGMNYLHNCTPVIVHRDLKSPNLLVDKNWVVKVCDFGLSRLKHSTFLSSRSTAGTAEWMAPEVLRNEPSDEKCDVFSYGVILWELCTLLQPWEGMNPMQVVGAVGFQQRRLDIPGAVDPAVAEIIKRCWQTLRSKDETVIFRDHGFSETIYAKCVFDA >Sspon.01G0038710-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:31458542:31459522:-1 gene:Sspon.01G0038710-1B transcript:Sspon.01G0038710-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTETLIQRQQSFYRITAQSPNCEDLNANLTLSHGGRRALLYKPPRSPRHSPHTLQASTPRPRLDPLHTPSSHFRCRSCSTVSQSHSHERSGERIGSESHSLRGGRGRSKLATPRGQGAAASPRPHETAELDAAEAAFVAAGESAEQRNRFLVLRLYEALNARDARRAQELLAPDLEWWFHGPPTRQHMMRLLTGADKNRGGDGGGGGGFVFSPRSVDAFGSTVIAEGADETRQLYWVHAWTVGPDGVITQLREYFNTDLTVTLLSGAASSAKKADIAGAPPKQQDAASASSSSSSSPTAAAGPKCLWQSRRADSAHKSLPGLVLAI >Sspon.03G0001860-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:16030972:16031579:-1 gene:Sspon.03G0001860-1P transcript:Sspon.03G0001860-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGGRAPAAQSQELQLPPGFRFHPTDEELVMHYLCRRCAGLPISVPIIAEIDLYKYDPWQLPRMALYGEKEWYFFSPRDRKYPNGSRPNRTAGAGYWKATGADKPVGTPRPVAIKKALVFYAGKAPKGDKTNWIMHEYRLADVDRTARNKNNSLRVRSELC >Sspon.02G0039460-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2B:53538940:53539640:-1 gene:Sspon.02G0039460-1B transcript:Sspon.02G0039460-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSEPLDLKPTAGIRSGRGEKGNPAGYSAERGGVRSKKKGRRRGGFGLPLPESSSNTTGGRGRPGAADMRARNVSETGERGAAGCCWLGRPTFAAHGQEGRGAAGPKRKRKRDAGKPGFRPKAAKEKFSFFLFLF >Sspon.06G0028450-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6C:6747270:6747794:-1 gene:Sspon.06G0028450-1C transcript:Sspon.06G0028450-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding THPYSLTSTWFRALAVPASSNPAPPLYRSANAHPTRDRPIGDRGLPSATAHAAERPEIRYLRPPLPLAGQLQIRSSRSVSDSVVAEEESAAYRSIGRDAAAAGRGRGREEGDDPGLLTRAVDRVFRFVRLAEFEIFFVLFFLIAFFLFRDLVSAHTNLAFRLRWNWDRIEYAWRV >Sspon.07G0008210-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:22424881:22427790:-1 gene:Sspon.07G0008210-1A transcript:Sspon.07G0008210-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Soluble gibberellin receptor, Gibberellin signalin [Source: Projected from Oryza sativa (Os05g0407500)] FLFPSISPSPPVPASLTHTHTPHSLQRSHLLLQRPPRLLSSSYCRRARRSFLAWLGEPRGWWRRGFVLEPRRVLAARRSGTPEGAALEPGSVQRDHLVWPGLRGPCREQKPGFVTRGGDLALAAVKPAVRSLAERRPPPTAMAGSDEVNRNECKGAVPIHTWVLISNFKLAYNMLRRADGTFDRDLAEFLDRRVPPDARAQEGVSSFDHVIDTSTGLEVRIYRAAAANNGAAGAAAVTLPILDFLAGAPSPDPFPVILFFHGGSFAHSSSGTAIYDNLCRRFVKLSKGVVVSVNYRRAPEHRYPCAYEDGWTALKWAMSQPFLRSGADARPRVFLSGDSSGGNIAHHVAVRAADAGISICGNILLNAMFGGTERTESERRLDGKYFVTLQDRDWYWKAYLPEDANRDHPACNPFGPNGRRLRGLPFTKSLIIVSGLDLTCDRQLAYAEGLQEDGHHVKLVYREKATIGFYLLSNTDHYHEVMEEIADFLRANL >Sspon.03G0006570-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:22893605:22895938:-1 gene:Sspon.03G0006570-4D transcript:Sspon.03G0006570-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMEEATDGEMSLSNMVLGFMENFGRDQRRPENDDDDDDEGSSGGDTAESKAFWQTQHSQLHEALARTSPAESRIRADTEEAVKSMRAAACSCTGRPAARDCRLCMLRHVAERLRDAGYNSALCKSKWTRSPDIPSGEHSYVEVVVQTRSGKAVRVVVELSFRTEFEVARASAGYRALVTALPEVFVGRADRLRGVVKVMCAAAKQCMKENNMHMGPWRKHKYMQAKWLGTPERTAAVEAAATPVVVVPSVTVGSPEKQTKFRASMLTFDFGRTAVEVA >Sspon.06G0005700-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:17587827:17592129:-1 gene:Sspon.06G0005700-1P transcript:Sspon.06G0005700-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPPAAEGPDAVEIREVWAGNLEEEFAVIRAVVDAYPYVAMDTEFPGFVVKPSAEYRFTCDRNYAALEGNVNVLKLIQLGLTLSNGAGALPPCGTGGRGCIWQFNFRGFDPHTDPSSNDSIDLLRRSGIDFDRFAAEGVDSTRFAELMMSSGIVLNDDVQWVTFHSGHDFGYLLRLLTGREMPNTLDEFLKLTKTFFPVLYDIKHLMKFCGGGLYGGLSKLGELLKIERVGIGHQAGSDSLLTLQCFMKLTQLYLKESVKLYDGSMNPWVGLVQRFQRLRMEDDNIATCDSRGGVH >Sspon.05G0002550-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:7726538:7727919:1 gene:Sspon.05G0002550-1A transcript:Sspon.05G0002550-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQASASARVYHVTDYGADPTGATDATAAINKAIADAFRPPTNATMTGGIPDLGGAEVHLDGGTYLIKGPLTLPASGGGNFKNKVAVAACLAGWLLPLLSADTCIHSGSLRASDDFPTDRYLIELSATKSGRSYDYEYATLRDLMLDCSYRGGGVTVVDSLRVAIDNCYVAHFASDGIAVRGGHETFIRNTFLGQHMTAGADPGERGFTGTGIRLDGNDNSVSDVVIFSAATGIMVTAPANSISGVHCYNKATGFGGTGIYLKIPGLTQAWISNSYMDYTSIVAEDPVLLHVSGSFFLGDANVVLKAVNGVARGVQVIGNIFSGRDKGVDIVQLDGNFTTVDQVYVQQNSATGMTIKSTSARASVEGNGSSWTLDFSPVLLFPDRIGHVQYSLVAGDEFPGHTLRNVSGNQVVVATDKAVSATVHVLVDQNSD >Sspon.02G0018030-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:56090057:56094638:1 gene:Sspon.02G0018030-1A transcript:Sspon.02G0018030-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCGLSKEDAEGGAVSRCRERKHLLSAAVEARHAMAGAHAGHAAALKNVGAALSDYAAGETDRHDAIVVPRSASAAAALAAAAPATVIKALPSPLDAVLPPPPPPPGRRRRRRRARRAAAQPIRKGRSGEAPIMEEEEGEGDAAAPGDDAGRRREDDDPPPPPPPPPANVPPPSRSPPPVPAAAEANRERATAAGDSWNDFFFGSPDAMPAPPPTLDPSASAAAESSWAAERRMPAPPPPPPESDQQPPKQPQPVPSAEEIAEGKKPAAELVTHRAAAQKAARKTEGKKSRVVTVPPQTARLGDVLRKLDDHFLKASQSAHEVSKLLEAARMHYHSNFAETRGFVDHSARVMQVITWNRSFKGIPQPENVKNELDEDEWETHATVLDKLLAWEKKLCHEVKEFEIIKVTYQRKLAVLNKKKQRGVSSSSIEKTKSIVSHLHTKYIVDLQTMESTVAEIDRLRDQRLYPKLLELVKGLSDMWDVMYAQHKVQLRIITELKASDISVNTRETSEQHHDRTLQLWRIVQEWHVQFDRFMTYQKEYVGNLYSWIKLNVIPIDTNLKPNSSQPHETTPPIKRLLHGWHDIFEKLPVNETKKAIHRFGEVVNTILVHQDEELKLRMKIDETRRDFEKKRRQFDDWAQKNWDRGASIPDGDNPARADPAAERKAAVDKVENALKDLEDSYKTQCKVVRDKSLNLLRSNLPELFRAVSDFSLQSAESEVVHIDAFHDLHGLATAAARKRFYATVSHVCLFNKGPPAGDRAEQLVYEPNS >Sspon.07G0037810-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7D:62704579:62710181:1 gene:Sspon.07G0037810-1D transcript:Sspon.07G0037810-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding EHESVVKAIYTGSEYITTATGDEDFGLVLESTSFYAEQGGQIFDTGSIEGSFGTFNVNNVQVFAGYVLHIGSFTKGSKVLSLGDSVICKEVLGDHVDQKGSIVLPEKLRFDFSHGKPVQPEDLRKIESIVNQQIKDELGVYALEIKLEDAKRINGLRAVFGEIYPDPVRVVSVGRKVEDLLADPDSKEWLSISTELCGGTHITNTRDAKAFALLSEEGIAKGVRRITAVTAGCATQAMELASSIDSDINEASQLEGALLEKKIASIKSGLDAAAIPAAKKADLRGKVSKLEDELRKAKKKMGEQNIQKAVKSAMDAAEAALSGNKPFCVTHVDVGLDTTAVREAVIKVMDKKGLPIMLFSTDEASNKAVIYAGVPPNAPSGFKVLDWLTPSIAPLKGRGGGGKNGIAQGQGVGARTRDSEITRLGATNLGSEVPDHPHGILGGTDVAGTSEPQICGSKIQNIGRAAPKLLRF >Sspon.02G0042340-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:78586802:78592152:-1 gene:Sspon.02G0042340-3D transcript:Sspon.02G0042340-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMDPEMLRLAQEQMRRMSPDDIARMQQQMMSNPNLMRMASESMKNMKPEDLRRAAEQLNQTRPEDMRDMTEKIATLLQRSLLL >Sspon.03G0014460-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:45711307:45718245:-1 gene:Sspon.03G0014460-1A transcript:Sspon.03G0014460-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGRWAPGIRAAALILLAVAAAVGAATGDPDPDELERAFPIVEPDHGHTKLRLSEQGLEAIRRIETPIAVVGVIGPYRSGKSFLLNQLLSLSCDKGFGVGHMRDTKTKGIWIWGTPVEMDVDGSKVSVLYLDTEGFESVGKSNVYDDRIFALATVLSSVLIYNLPETVREADISRLSFAVEIAEEFYGRFGQDVAFEPAKLLWLIQRDFLQGKSVQQMVNEALQRVPNDNGDKYIDEVNQIRDSLAVMGNNSTAFSLPQPHLQRTKLCDMEDKELEPLYVKRREQLKQLVSSIVKPKIVQGRTLNGKDFVSFLQQILEALNKGEIPSTGSLVEIFNKAILDRCLKVYMEKMDGLGLPIPVDELQKLHEMANGEARILFDKQHFGKHHAAQSALKLEDEIKRFVYRNFLLANEYQSSKLCEARFSECEDKMDHLQVLKLPSMAKFNAGFTHCNQSFVKDCVGPAKESYERRMSKMLAKSRALFIKEYNNKLFNWLVTFSLVMVVIGRFVIKFFLLEIVAWVMFIFLETYTRMFWSAESLYYNPAWHIIVSSWEIIVYSPILDLDRWAIPIVIMLSFGVLYWRCFGGRRKRGRGSLLPLYKNSYKNSSRPRSD >Sspon.01G0045630-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:85741888:85746116:-1 gene:Sspon.01G0045630-2C transcript:Sspon.01G0045630-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to Nf-Y-A subunit [Source: Projected from Oryza sativa (Os03g0647600)] MMSFKSHEGFGQVAAATTTGAGSQAVSHGAAPAGAAPLPWWAGPQLLFGEPAPLSPEETRRDAQFQVVPGAQGTPDPAPAPPKRGTPEVLKFSVFQGNLESGGKGEKNPKKSTTIALQSPFPEYNGRFEIGLGQSMLAPSNYSCADQCYGMLTTYGMRSMSGARMLLPLNAPADAPIYVNPKQYEGILRRRRARAKAESENRLAKGRKPYLHESRHLHAMRRVRGSGGRFLNTKKEGHGMDIAANGGSKMAAAAPSRLAMPPSSEPPRPPGLSNISNPHCHSQSSVSRLSGSDVSNIYGGLEQPPRAPPFFTALPPIMDGDHGGATHISSFKWAASDGCCELLKA >Sspon.02G0053550-2D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2D:91564565:91564786:1 gene:Sspon.02G0053550-2D transcript:Sspon.02G0053550-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MCFPVHCSSSLAVSASSNIYADLRQGAGLLPATPNRPSSTRAAYTEHSEASPHLANRSANADSSPPSLPPAAA >Sspon.05G0008380-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:19657128:19661335:-1 gene:Sspon.05G0008380-2B transcript:Sspon.05G0008380-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKQILGRLPRKPGKTGDSREAAAAGNGTEPSNSYSVARSMDPGNKRTGNGDYPVPCGVTPNPVMNGAVVYHSNEPLPAFKDVPASEKQNLFVKKVNLCCAVYDFADPTKNLKEKEVKRQTLMELVDYVTSANGKFSEVVMLEITKMVSINLFRSSSPTPRENKAIEGVDLEEDEPLMDPAWSHLQIVYEVFLRFVASQETDAKLAKRYIDHSFILRLLDLFDSEDPRERDYLKTILHRIYGKFMVHRPFIRKAINNIFYRFIFETEKHNGVAELLEILGSIINGFALPLKEEHKLFLVRALIPLHKPKCVSMYHQQLSYCITQFVEKDCKLADTVIRGLLKYWPVTNSSKEVMFLGELEEVAERALFLWNNDHIENLIKQNYKVLLPIIYPALERNSRDHWNQAVRSLTLNVRKIFSDHDSSFFGECVQRFNDEELKQEESDSKREALWKRLEEMAASKPGENNPLGARCTQWQTQSSCWLE >Sspon.05G0007450-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:23179682:23197881:1 gene:Sspon.05G0007450-1A transcript:Sspon.05G0007450-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAALEAARAKDTKERLAGVERLHEALDAAARRGLAAAEVTALVDTCMDLTRDANFRIAQGGLQALSAAAVVAGEHFKIHLNALVPAAVERLGDGKQPVRDAARQLLITLMEVSSPTIIVERAGSYAWTHKSWRVREEFVRTVATAIGLFASTEISLQRVLLSPVLQLMNDSNQSVRDAAISCIEEMYKHMGSQFHEELQRHNLPSYMLKEINSRLDKIEPKVRSSDTAMQYKAVESRSVSANPKRGSPRTKSIPRESTLFGGDTDVTEKPVEPVKVHSEKELLREFEKIAATLAPEKDWSLRIAAMQRIEALVYGGAIDYPSFLMLLKQLVPPLSTQLSDRRSSIVKQACHLLNILSKELLGDFEPCAEQFIPMLFKLVVITVLVIAESADTCIKTILRNCKVARILPRIVDTAKNDRSAILRARCCEYALLVLEYWADAPEIQRSADLYEDMIKCCVADAMSEVRATARTCYRMFTKTWPERSRRLFMSFDPAIQRIINDEDGGVHKRYASPSLRDRVVQPSRASSHSSGTHVPGYGTSAIVAMDKSAAISSDSSFPSNNLRLSQSKTIGRSSERSLESVLSSSKEKVSAIESLLKGVSMSGQNFSAARSTSLDLGVDPPSSRDPPVPLAAPASNVLSLQNSALLNSSLPTIPPSSRNGGSRLLDTMTTHMPTKERSRRSLERLQEGGRMDESYDIRSTRRIPQMHLERNYVDMSYRDSSHRDSHNNHVPNFQRPLLRKQVMSRASASGRHSFDDSHVPSGDVSGYTDSLASLNDALSEGLSPSSDWVARVSAFEFIRNLLKQGQKGIQEITQNFEKVMKLFFRHLDDPHHKVAQAAFSALAEIIPACKKPFESYVERILPYVFSRLIDPKELVKKPCSVTLEIVGRTYAIDMLLPALVRSLDEQRSPKAKLAVLEFANKSFSKYTVDSDGYSNSGFLKLWLSKLAPLVNEKNAKLKEASISGIISVYSHFDSTAVLNFILSLSVEDQNLLRRALKIKTPRIEVDLVNYLQSKKERPRPKSYDQVDFGTSSDDGYALTSKNSYPFGRFSSSSLDAEGGKKINSMQEPVLHNVSIGRTASDMSMDHAIQSLESSTGAEVHLTRSREPKTSSNSVVEAARSWTNYPEKTDASLDGETATGTPRLDFSRFLTSDRHNTLGSSTEESVQEGDMIVNLSSIKTNLQTDNGLSIPQLLHQISNGTEVSSSEKREALQQLLHLGKGDTDVTEKPVEPVKVHSEKELLREFEKIVATLVPEKDWSLRIAAMQRIEALVLWRCDRLSIIPYALEATGPPLSTQLSDRRSSIVKQACHLLNILSKELLGDFEPCAEQFIPMLFKLVVITVLVIAESADTCIKTILRNCKVARILPRIVDTAKNDRSAILRARCCEYALLVLEYWADAPEIQRSADLYEDMIKCCVADAMSEVRATARTCYRMFTKTWPERSRRLFMSFDPAIQRIINDEDGGVHKRYASPSLRDRVVQPSRASSHSSGTHVPGYGTSAIVAMDKSAAISSDSSFPSNNLRLSQSKTIGRSSERSLESVLSSSKEKVSAIESLLKGVSMSGQNFSAARSTSLDLGVDPPSSRDPPVPLAAPASNVLSLQNSALLNSSLPTIPPSSRNGGSRLLDTMTTHMPTKERSRSPYLSNISSESMSGLSLPYSRRSSERLQEGGRMDESYDIRSTRRIPQMHLERNYVDMSYRDSSHRDSHNNHVPNFQRPLLRKQVMSRASASGRHSFDDSHVPSGDVSGYTDSLASLNDALSEGLSPSSDWVARVSAFEFIRNLLKQGQKGIQEITQNFEKVMKLFFRHLDDPHHKVAQAAFSALAEIIPACKKPFESYVERILPYVFSRLIDPKELVKKPCSVTLEIVGRTYAIDMLLPALVRSLDEQRSPKAKLAVLEFANKSFSKYTVDSDGYSNSGFLKLWLSKLAPLVNEKNAKLKEASISGIISVYSHFDSTAVLNFILSLSVEDQNLLRRALKIKTPRIEVDLVNYLQSKKERPRPKSYDQVDFGTSSEDGYALTSKNSYPFGRFSSSSLDAGGGKKINSMQEPVLHNVSIGRTASDMSMDHAIQSLESSTGAEVHLARSREPKTDSNSAVEAARSWTNYPEKTDASFDGETATGTRLDFSRFLTSDRHNTVGSTTEESVQEGDMIVNLSSIKTSLQTDNGLSIPQLLHQISNDTEVSSSEKREALQQLVDASLDNNSSIWAKVLDDSDSSTRELALSLIAEMLNNQKDSIEDSMEIVLEKLLHVTKDAVAKISNEANKCLNVLLAKYDPFRCLAIVVPLLVSDDEKILVVCINCLTKLVGRLSQEELIDQLPTFLPALFDAFNNQSPDVRKTVVFCLVDIYIMLGKAFAPYLEGLSSTQLRLVTIYANRISQASINKRLPSVKSS >Sspon.01G0041940-1P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:116129315:116129823:1 gene:Sspon.01G0041940-1P transcript:Sspon.01G0041940-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAKAIKAAMATCMPRLVRGGGCRASTARPAASVSLLERIPHQRCGSGKSSSKAPSPGDASRHRESDACVAVAAACRRDDSIRNEAVEDCIEFLKRSSAEGDAAKFSTASAADAKLAEVGGEDKETGAFFILNVEARREVSPSSGCREST >Sspon.05G0033580-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:42527019:42530794:1 gene:Sspon.05G0033580-2D transcript:Sspon.05G0033580-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MELRAPAVSDRPRMMCYEPPAPSTGIHRMVLVLFQQLGRDTVFAAPSRRHNFNTRGFARHYNLGAPVAAMYFNCQRQTGSGGPRFTGPYTSRRRAG >Sspon.08G0004710-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:11415075:11417495:1 gene:Sspon.08G0004710-2D transcript:Sspon.08G0004710-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFGFRAGDRRPRHPSPDRRFTPPQEIDRDRRFSASERPRSHAAGPFHGEPPPSPFEWEAAARRERIIREEVERRLIEEEVRRELALARARFHGGFGPVPFVGPDGHTIVPPPPPGPFYMPDGPFMPPMPLPLMPVAVGMHPNCPPPALFGSWEGFGPRRLPGFGQPMTRPVPPPKRRHQLQLREIAPSESSEVLSSETKVGVKRKADASSATTKPTKLQNAARDWSCALCQVSATSEASLNQHLEGKKHKSKLVQCGAIKDTGKSGLQVTTGNNNVAGTSDARKKIHILVDGEMHQVVQKSNCVWCERCRVSCTNAAAMADHLRGKKHSLLNNAR >Sspon.01G0011080-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:30912299:30913882:1 gene:Sspon.01G0011080-2C transcript:Sspon.01G0011080-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding METRRMVTQFDQSIPTKLFLTCSPISFRSSHSRKAKAVDLDQSLDDTLDRLETAVAHMAEFVVLLGGCERLSRRPYDAHLYIDNFMFGRHAEKQRLFNFLLHQNGSPPPVLSVIGDRSVGKRTLVAHSYFALSLILHLNEGDLFRVEEEHERLAKGKILIVVEFDSGVVDEEDWVKFYTSVTNMKKGSKVVIISKHRIEKIATVKPIFLNTLPYEEFRYLFKILAFGSTNPMEHPQLLRIGDELSKELQLGWSLVTANVLGEALRTNLDIRFWLCMLNKTRRITERNMSVFGAYPKALAERGHHVDMADLDTKAHDDSVKKELPKVTIRELMVDPTARPKGEFIMIIWQSRIPPHTCFGHFVPNCDQGMRQHVLLSGRKRRGVPL >Sspon.04G0008240-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:24748993:24752325:-1 gene:Sspon.04G0008240-2D transcript:Sspon.04G0008240-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVLPLLLLCYGVSNVYCSTVNGNSEDFHSLLEFKNGTIDRNGALSNWNPNTHFCQWYGVNCSSKPPYRVVYLNLTGQNLGGQISSSLGNLTFLGMLDLSNNSFHGPIPPLNKLQHLTTLYLESNHLQGFIPDTLINCTNLAYLYLSRNNLTGVIPPRIGSLKNLASITLDSNYLTGGIPAALGNITTLQIVSFTQNRLNGKIPHEVMQMSNLIGLYLDQNDLSGEIPEVWQMPNILALYLGINNLSGRIPQTLSNVSSLQELSLTSNMLGNSLPSNIGEALPNLTILWLGENNFDGHIPASLGNPKGLENLDLSGNHFTGQIPSSFGNLSQLQVLNLERNMLQSSTYNEGWEFLQALANCSSLSVLSLSNNKLQGSIPNSIANLSTNLTRLLMGGNSLSGIVPQSIEKFSALIQLSLDDNNFTGTIEDWVGNMTNLQHLNLQSNSFTGTIPPSIGHLIQLTYLYLAENQFTGMHEKKMPRSQHLIFLSFGKKFPRVSYKDLEQATGSFSKSNLIGRGSCGSVYKGKLTQAKIEVAIKVFDLEIRFADKSFVSECETLRTIRHRNLVPVLTACSTIDNSGNDFKSLIYEFMPNGNLDTWLHQRHGGADPKILSLAQRISICVDIADALAYLHHDCGTSIVHCDMKPTNILLDDDMNAYLGDFGIANLIVDSRSVAVGHSGCSNSLTVMGTIGYIAPEYAQSVHASTCGDVYSFGIVLLEMITGKRPTDSMFEGDFNIAYFVERNFPDQVLHIIDAHLQEECKGSNKAIMTTENKAYQCVLSLVQVALACTLRLPRERMSMREVAINLHAIRKSYVTTTK >Sspon.08G0012060-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8A:51720714:51721975:1 gene:Sspon.08G0012060-1A transcript:Sspon.08G0012060-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding CLTFGSRPNFRSSRTSEEGLPKIPDWEPVILASSMGRESTLGKFDGPRINAGPLVFVGERSFVHSHPIAAAATGTRTHTRIRIQMLRLRGFPIPGRPTPQLQGRTAQHSKGGL >Sspon.06G0032650-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:90616834:90618654:1 gene:Sspon.06G0032650-1C transcript:Sspon.06G0032650-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVEAPTQEVKLFGRWSFEDVQVNDISLADYLAVNPTKHAIYLPHTAGRYSAKRFRKAQCPIVERLTNSLMMHGRNNGKKVMAVRIVKHAMEIIHLLTDANPIQIIVDAIIN >Sspon.07G0024010-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7B:21236055:21236462:-1 gene:Sspon.07G0024010-1B transcript:Sspon.07G0024010-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFQAGGAPVRRGAAAASAERRAGRPQEASPLSSAAVAGAVAPPGVMGRSATG >Sspon.08G0013140-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:55844283:55854957:1 gene:Sspon.08G0013140-1A transcript:Sspon.08G0013140-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein arginine N-methyltransferase 1.6 [Source:Projected from Arabidopsis thaliana (AT4G16570) UniProtKB/Swiss-Prot;Acc:Q944R7] MPSCLLPGIAPRPPPAALRLLLLRRRRMASSGPARAFQLRLNPLTGDSEWLVVDEAEAEAPAPAPTHHKQLLAATSYLDMLNDASRNRAYRLAIDATVTDPTARVLDIGAGTGLLSMMAARALAAAGGEGRGGSVSACESYLPMGKLTRRVLRANGMESKVKVFHKRSDELRVGVELDSRADILVSEILDSELLGEGLIPTLQHAHDMLLVKNPKTVPYRATTYGVLVESTSLWKMHDLHSNEVNAEDGVWLTPSGTQNVLSVKSQQHAMQCDALADEIRLLSEPFKVFEFDFSKRPDSHRETKIEIKATAHGHAHAIVSWWVLQLDSAGSVFYSTAPRWVRQSSGTKDWCDHWKQCVWFIQGTGAPAKKDQALSLSASHNQTSISYQLNMNGEGSRSPKSNHLTLLPEKVALYGDKGWRSALISVIRNSMSERSSLTCIVADDSVFLALIVSSLLPSSKVFTMFPSLRDRGFNYLQAVADANNLSMDRIKVIGKKASSLTMNDLNHEKVNLIVGEPFYHGSEGMLQWQNLRFWNERTLLDPLLSEDAFIMPCKGILRFCAMSFPDLWRSRRGLKDIEGFDHSVVNDTLGACGDLPGEQQGPCLPYYVWQCGYTKKLSEVYSLMDFNFSEPIHSCFGETKIEFAHIGTCHGFAVWIDWVLDKENSIVISTGPESRYWKQGVQLLSKPIQVNPANSVMHVEAHFDADMGELAFKSTTLSCCDHVMQM >Sspon.01G0050730-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1C:7116007:7117629:1 gene:Sspon.01G0050730-1C transcript:Sspon.01G0050730-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRHSCSCTSLFLTLTPACMRFSRLPSPKPEPEHKPQAYTALQGLRQRSSGSMERTGAHSHSAKRWLPGLMLLAATSWLLVIYFHLAVLRGTPVVSAPRGSLAEVASDDSGDGQRLLLQHEERLSKVKKAPPAATGNALPAARDERRRRRDEDCRGRYVYIHDLPPRFNADILANCRNWYPWMDMCVYLENGGLGRPVDNADGVFADEGWYATDHFGLDVIFHSRMKQYDCLTSDSSRAAAVFVPFYAGFDVVQHLWGVNSTAREKDALPLDLVEWLTRRPEWRAMGGRDHFFLSGRTAYDHQRQTDSDSEWGNKLLRLPAVQNMTVLFVEKLPWTSFDFAIPYPTYFHPARDAQVFEWQRRMRAMKREWLFSFAGGARDDPYSIRHRLIRQCGSSSFCKLVQSGKNQRNCLVPSNFMRVFQGTRFCLQPTGDTMTRRSAFDAIMAGCVPVFFHPDSAHTQYRWHLPEAHDTYSVLIPEADVRAGNVSIEETLRRIPPDVAERMTETVIGLIPRLVYADPRSKLETLRDAVDVTVEAVIGR >Sspon.01G0001780-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:5313595:5315894:-1 gene:Sspon.01G0001780-3C transcript:Sspon.01G0001780-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEPLLRTASSVGLNLDVSSSKALAESLDNAKSNDPYFNKLIRILATRCMTQAVYFCSGDLTFSEYFHYGLAASLYTHFTSPIRRYADVVVHRLLAAALGIAKLPPIFQDGPQLTGIADNLNYRHRNAQMASRASVELHTHIYFKTRFGIEGPIYLTPKGDKGGDWVVDEVHQRVIKPGTNISYAVLQTVRIHMEVVEPQPHRPKLQLTLI >Sspon.05G0011660-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:35619852:35620877:-1 gene:Sspon.05G0011660-2D transcript:Sspon.05G0011660-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding HVEPFPFPARFPLPVPPPRPRPRPRRRRDGAPGPPGGAAGDGPAVVVGPPRPHHAAPAAAGPAPAQAQRPDGVARHQEALGVVGGCSARAGEAGPPPAAARAGARHHLRRVAQGHPRQAQRVHGARAAPHGPRRRRWIRLRHAAAARRAGAVRRRRRRPAAGAGVPAARAAALAVRRHVPGRAPGHHRAVRARPHALRAGGNGLRRPRTDRRRRRRRHPRGGAGPRAPPQHPVPAAVLAAPRRRVGAVLAAALRRQQHQLAQRAQPHPPGRSSSVHGQRRRRRLFVRLRPGRRHEQRRRIPAAAAGLLLRPVRPQPPQPPRHAHRAVAGHVRRVLRQPSGPL >Sspon.02G0055820-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2D:13027893:13032051:-1 gene:Sspon.02G0055820-1D transcript:Sspon.02G0055820-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLKWELLFSMSNNRWLSILIFLPISWATMGLRKQESIVGKYQHPEVVSGAALVAEVPCLSSMIWQRSRMSSASYMTSFSSLTHRSTAESRMRFLSASAKILLRSPSVVSSLVISPGTPRSISTSSLAIPIRVYTSLDANASSTAA >Sspon.05G0015600-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:46366089:46384093:-1 gene:Sspon.05G0015600-2C transcript:Sspon.05G0015600-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGALTSTELNFLVFRYLQESGFIHAAFTLGYEAGIHKGGIDGNAVPPGALITIVQKGLQYIELEANNDENDDEVERDFALLEPLEIITKDVEELQQIVKKRKMERSQTEREKDKGKEKERNEEHERRPGGERERERHDKEKEQVREKDKSEKDRDHDKEKEKEKEKKGSMQSVKHEDDSLAGGGPTPMDVSTTAQEISNTDVTVLEGHSSEVFACAWSPTGSLLASGSGDSTARIWTIPDGPCGSNMQSSPPGVHVLKHFKGRTNEKSKDVTTLDWNGEGTLLATGSYDGQARIWSRDGDLKQTLFKHKGPIFSLKWNKKGDFLLSGSVDKTAIVWDTKTWECKQQFEFHSAPTLDVDWRNNNSFATCSTDNMIYVCKIGEQRPVKAFSGHQSEVNAIKWDPTGSFLASCSDDWTAKIWSMKQDKCVFDFKEHTKEIYTIRWSPTGPGTNNPNQQLLLASASFDSTIKLWEVEQGRLLYSLSGHRQPVYSVAFSPDGEYLASGSLDQCLHIWSVKEGRILKTYRGSGGIFEVCWNKEGSKIAACFSNNTVCVMDFRIMISSLYVKMMSPLR >Sspon.03G0008210-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:6786845:6797690:-1 gene:Sspon.03G0008210-1P transcript:Sspon.03G0008210-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSGGRWTRVRTLGRGASGAEVFLAADHDSGELFAVKSAPASSPGAELLRREQGVMAGLRSPHVVPCIGGRAARDGSYQLFLEFAPGGSLADAVVRNGGRGLEEPAGPPADVWALGCTVIEMATGHAPWRGVDDVLAAVRLIGYTDAVPEVPRWLSADAKDFLGRCLARRPSDRATAAQLLEHPFIASASGTSDCRAALDLDAAAKGRWVSPKSTLDAAFWESDSDDDDHDVVLAQSTAERIGTLACPASALPDWDSDEGWIDVLSAPAEASSSDATNAPADEDVPCVDDGVAGSEEEVVRAEAAEPLDIDVDGAHNVGETDASSDHEWHLRVNSPCDGETPCKLLCDTNNAMDFISGRRLTRLRTLGRGASGAVVSLFAAGEGELVAVKSAPCGATAAAAQLRREGGIMASLRSPHVLPCLGFRAAAGGEDEFQLLLEFAPGGSLADAVARNGGRLEEPAGPAADVWALGCTVIEMATGRAPWAHVDDVLAAVRLIGYTDAVPEAPEWLSAEAKDFLDKCLRRDASERWTAAQLLEHPFLASAGKAEDAKPKWVSPKSTLDAAFWESDEEEDEEEEVEEMPESAADRIKALAGPCSALPDWESDDGWIEVCSGYSELSAAAAGAGQEVKFPTTQCEIPSTAVATSSEQVHSEVPDVPPVAAPAAETTSYEFFWGDESEAELDPDLFDADLDVGNDPVHNVGGAADAYAHQQQLDVYAYFTSDPIVLHLDISAEEIAKTTFHGQNQIAPCRLPPPPPPLSVF >Sspon.02G0036910-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7C:25137995:25138801:-1 gene:Sspon.02G0036910-2C transcript:Sspon.02G0036910-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGEVKGLKTLIMKESPSAYYVHCFAHQLQLVLVAVAKGDVGASTFFANVSRLLNIVGVSCKRHDMLRDVRARKLKKALELGEIETGSGLNQEMGLARPGDTRWGSHYRTVLHIIDMYSTIREVLITLGNDPTQRDDWPNIHAMVLTLESFEFVFNAHLMLVILGYTNELSQSLQKRDQDIVNAMTLVGVAKIRFQQLRSNGWEDFLEKVKSFCIKFSIDIPAMDSKYEPHGRSHRFYPVQTIDDHYRREVYIGIIDRIHQELENRFDE >Sspon.05G0032110-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:5C:13964766:13965119:-1 gene:Sspon.05G0032110-1C transcript:Sspon.05G0032110-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MISKEQEKHILHETYKETIGCRSRKGPGHGYLAKYPTRSQLMNERIEEQARASALAAAAQQKNSETQAEVEKLKEQLTIQATERESDKEKIQQLQHQMESTSSKIREELRQELFSLM >Sspon.01G0007230-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:19719575:19724425:-1 gene:Sspon.01G0007230-1A transcript:Sspon.01G0007230-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding HLILERAKDLRNTLENASGDTTVLLKKLERQSKTEAENKGLLSGFRAELDHSLGVLHATVVGSVCEQSKILESMNEQTKLYFSARNESENQLERRIAKVKDMYASGVQCMKELANTMRQQSIIDSEQMRQNISTNAIAVDNFLAMMVSEAEQVLNDVLKSTSELKELLAFSAKLQHSGLKRSFTSAQAMSKTSIDFFKDIRIHVSRLITLMEQNQMERSSKLVKFENEFKETCVKDEQTALNKIAAILSELTAKKTTMVSSYMGQLNERYSEEHKHLKLEMSNLQQVSDNGKNASVARVGVIESQFHEDMSSHAKLNDQMEGILQQCLKKGSHSVSYWSHTQSSLHDLVKSSIMETDDFIEERRNKNENMFQERLLFSSQNDAEFHAITSDVLTASKNSLLLDHKTTKMIETNSTTFSDHLELLNEKHSEGAESIRNMTSNCLEKDYMQNYITVISMLKISSDEVILLNANSPVRNHPRELLTSANSLESIEKLRASVPDLVAKFRVRIRIWVRSWTGSKAGWLHDRIRVVASSFFVNVLQLPWRWGMKYFWDTLLDADLESDALGWQYITGSLPDNRELDRIDNP >Sspon.02G0027230-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:98763817:98768145:1 gene:Sspon.02G0027230-1A transcript:Sspon.02G0027230-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ELCPIRPPEKSKEDILLFFKLYNAEKEELRFVGRLFVKALGKPSEILTKLNEMAGFSPNEEIELYEEIKFEPNVMCEHIDKKLTFRSSQLEDGDIICFQKAPVPDGDTQVRYPDVPSFLEYVHNRQVVHFRSLDKPKEDDFSLELSKLHTYDDVVERVAHQLGLDDPSKIRLTSHNCYSQQPKPQPIRYRGVEHLLDMLVHYNQTSDILYYEVLDIPLPELQCLKTLKVAFHHATKDEVVVHSIRLPRNSTISDVITDLKTKIFPPHEKIENINDQYWTLRAEEIPEEEKNLGPHDRLIHVYHFMKDPNQNQQIQNFGDPFLMVIREGETAAEVMERIQRKLRVPDEEFSKWKLAFISMNRPEYLQDTDVVSARFQRRDVYGAWEQYLGLEHTDTTSKRSYTANQNRHTYEKPVKIYN >Sspon.08G0026670-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:30771249:30772239:1 gene:Sspon.08G0026670-1P transcript:Sspon.08G0026670-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGNIAFGRFDDSFSAASLKAYVAEFISTLVFVFAGVGSAIAYTKLTGGAPLDPAGLIAVAVCHGFGLFVAVAIGANISGGHVNPAVTFGLALGGQITILTGIFYWIAQLLGAIVGAVLVQYSTGVATPTHGLSGIGAFEGVVMEIIVTFGLVYTVYATAADPKKGSLGTIAPIAIGFIVGANILVAGPFSGGSMNPARSFGPAVASGDFTNIWIYWVGPLVGGGLAGIVYRYIYMCGDHAPVASSDF >Sspon.07G0006530-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7A:16890316:16890998:1 gene:Sspon.07G0006530-1A transcript:Sspon.07G0006530-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLYDSGKARAIGVSNFSCKKLDDLFAVARVPPAVNQVECHPVWQQDKLRRLCQSKGVHLSAFSPLGSPGSPGINGPSVLKNPIVVSVADKLQKTPAQVALRWGIQMGHSVLPKGANESRIKENIDIFGWSIPEDLMKCNE >Sspon.01G0003840-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:10045494:10049605:1 gene:Sspon.01G0003840-1P transcript:Sspon.01G0003840-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSRVALEPLAEEPGGGEEEAARRRSGLHAALHRWARLLSGGRQGTTPDRPPTCACSSPCSPARSPPCPCSRAFPATYVASSAQYIIEQFRATTGCGKLEDGAVKSMYASGRVRLSMLQEPGGGGSGVIGGGGRGHEGSFVLWQLAPNMWLVEMSVAGQSVAAGSDGRVAWRRTPWLGAHAARGGSRPLRRALQGLDPVTIASIFSTAEHAGEKEVDGEDCFVLRLDVGPSVLSSWSDGTAEVIRHGLTGFFSQRSGLLARLEDSQLTRIQSPGAAAMYWETTIATAVSDYRAVDGGVAVAHAGTSTAHLARFGVGVRAARVVTRMEESWTIDDVAFNVPGLGPDAFIPPEEVRRSRSYGAAIAGG >Sspon.04G0029890-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:74727764:74729255:1 gene:Sspon.04G0029890-1B transcript:Sspon.04G0029890-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ACMGKPKPKTQAFRLLRSCLFFSGHKRDKEEAEAMDDVKLGLGGGDHPEVEGEGDVSEAVSSSPQPTQLPEDIIFDVLSRLPVKTLCRFRCVSKTWRALISSPAFASAQASRAAAPLVAGVFGTPRPLDKFRPARAPRFLPEASLELRVMDPADGGSVLRVVKDVKSTKLMCGALLGRLIMVDQGECGARVIDPATGRVMVLDNGGSSTTATTVLYSDGRTATAAGGDGDDINTVHACRWSCYNSLGRATPSGAYKVVRLRDGLAKNGFVQICHVATVAWPWDDVCIALEPTTDTCWRQRPEPPILTCCCSSCTAIVDGVLYFMNHRSPSHTGQSVEASNNEPPANKDVGWETALAALKGSLCLIQTDAGLDQNNNLGRRYYTKNIWLLVDSERSIWVKEYTIQMPEGWCLFKPLEILVDGRMLMLNAFKKKEENLCDVHCVLQLYHPSTGALTYLMEMGNDFRGPLTLYTRSLF >Sspon.01G0002720-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:7500328:7502692:1 gene:Sspon.01G0002720-1P transcript:Sspon.01G0002720-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding WVRSSTPRSTSTTPTSTGTSSSRPRSQSSSPRTASSPRTSGAPSVCSRAAGGCTTRSTGRSRTSCCSAARSTTSSSRRRLQRRCWPSKLIRK >Sspon.03G0000470-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:1420805:1427872:-1 gene:Sspon.03G0000470-1A transcript:Sspon.03G0000470-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPAGLPPWPTPSTTRLATPTPTRPPPRTTHVRPPPPPYTRVRLPPPPPATTPPPPRLESAAPKPAAVSTPLPPATVSAASSSSTCLDCVHFGKCSGCTHELDLDKPPVLQEVANFFKGHGVGDFTFSRGRLSQWWCRAKLAVRGTSENPLIGLYQEGTHVVIDIPECRAHHPSINAAVKLLRQGITELSIQPFDEDAGTGELRYVQMAVTTYNTSVPVDKRYEQGKVQVSLVWNSRDERSQNAEKLTSLIEIIFGHKWRHLKGERDLWERYGGVDISLDPCTFGQANTLSFNSLLHKLNKYVPRGSTVVDLYSGAGVIGLSVAASRKCRSVKCVEINKQSKMSFETSASRLPANLGCTITWHNTDASVEPVHWLEGSSVVIVDPPRKGLHPSVISALQKVALSERKAYKAKSSLAKVKDEKRPWILRAREAAVHVDNTTTEEGSETWPETLIYISCGWESFKKDCKSLISSKAWQLENAHAFNFFPGTDSIEILAIFKRESEAGQKKKKKAKKKMAK >Sspon.02G0020890-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:68036491:68038903:1 gene:Sspon.02G0020890-1A transcript:Sspon.02G0020890-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKAPPNLLNATSSIHMIVRQLFSRMVFSLQMECSLSMEIISFWLWLEGNGHPDFLASIESFDNYHLRGIAFAGKMFIEALRRKCHLNHRSEEEGYFQKEAMEGIVFYLNNFCYKALEDILEIAEAKERIYRTNQQVQQQNMKGKAPMMSTKDLLSKIKASFTGTPSHEEGSSSRSMPSPKNQILRDIENPIDQCLSTYPLATLFDSLNLRDDPEAVPIRVQQQPSIPRDERTLFVTFSNGYPFTADELYEFFEGNFGGVEVISVEEPVEPRPPLYAHICFFTQETILHILRGNPRVKFVIRGKHLWARQFVPKRKKSGTKLVSHAWMLVPYISCKSKNLE >Sspon.02G0004190-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:12818305:12819955:1 gene:Sspon.02G0004190-1A transcript:Sspon.02G0004190-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VMAACHQPALTLLCACLLLAGAASGGRVDVEDMLMMDRFRAWQATYNRSYVTAAERLRRFEVYRQNMELIEATNRRGLSYQLGETPFTDLTSEEFLATHTMPRLRAPEAAAARHRELITTRAGPVSDGGRRHWNWNSTTDLDVPESVDWRTKGAVTPVKNQGPSGSCWAFATVAAIEGLHKIRTGQLVSLSEQELLDCSRSPPNSGCGGGDPGVAMDWVAANGGLTTESDYPYEVKQGKCKPDKVRNHVVRIRGRKDVDGNNEAALEIAVAQAQQPVAVSINAGPINQHYIGGVFDGPCNPEKIDHSVTIVGYGAESDGRKYWIVRNSWGQEWGEKGYFRFERRVKDARGTCGIAVEPNYPVM >Sspon.02G0014570-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2A:38656691:38657255:-1 gene:Sspon.02G0014570-1A transcript:Sspon.02G0014570-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEIAGQPGRKGDDSSRAVLTPPPRGPGLLSPSTPPSGSGPIPSPPSAAAAVLALPVSAASQSAGLLASSRLAGEMDGGGEALLVRRSKGKKKRPQPAAPAERGSGGSGDRFRTLWRDYHDLLQVSGASLVDSCCAFAFSNFHAALLFRAVLNGFTAGLIAGFLVARAIPVPVASTRIRFVIVLPMLG >Sspon.01G0049710-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:114272416:114273033:-1 gene:Sspon.01G0049710-1B transcript:Sspon.01G0049710-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQFQAKTLALPGPSCLKHCGDVEIQYPFGVGDGCSMKGFELNCNNTKDGRSFLTVFGVIPVRNILLLDGQVRIMKHISSMFYNRSTKEMEYSTWGSDLSNTPFRYSGKSNMFTVIGVNTLAYMTDNTRVFAYLAQISRYSNLRAQDGKCVGAGCCRVALTED >Sspon.01G0024940-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:86544231:86546569:-1 gene:Sspon.01G0024940-3D transcript:Sspon.01G0024940-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIGGDGYCGWATALHLSNKGYEVAIVDNLVRRLFDHQLGLDSLTPITSIQNRVRRWKSLTGKTIQLFIGDICDFEFLSEAFKSFEPDAAVHFGEQRSAPYSMIDRSRAVYTQHNNVIGTLNVLFAMKEYSEECHLVKLGTMGEYGTPNIDIEEGFITVTHNGRTDILPYPKQASSFYHLSKVHDSHNIAFTCKAWGIRATDLNQGVVYGVRTDETAMHEELSNRFDYDGVFGTALNRFCVQAAVGHPLTVYGKGGQTRGYLDIRDTVQCVELAIANPAKPGEFRVFNQFTEQFSVNELAKLVTAAGAKLGLEVQTKSVPNPRVEAEEHYYNAKHTKLIELGLVPHLLSDSLLDSLLNFAIQYKDRVDTAQIMPSVLWKKMGAKPRTSSCLVDSVAHSSSWSNAPEG >Sspon.08G0003470-1P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8C:51381388:51382089:1 gene:Sspon.08G0003470-1P transcript:Sspon.08G0003470-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTHFKAFDICTNLSYNSSYLMPAPMNNIIDKYIIQTPFLSSQFLSRGWFDEETHLSSYFRPQYIEYATARHLERSSSCKDHFDQNRSGAQPKKKVVKQVYCVKYDGRKEKSSDLNSTIEKPITLLKNLAIDDKEVEKSSIDILGAKSKQKKVRVLKVKNDLPLSKTKIKLICSINLSKWQEKKLQKLSAEKLKEKGLAWVPQRRIQAQKDDAQASVATKAKERRMFKKQLPN >Sspon.04G0006760-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4A:19263538:19264836:-1 gene:Sspon.04G0006760-1A transcript:Sspon.04G0006760-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LLLAATALHDDDDDTLTLPQLQGGQHDAVWDTSNMGEGVVIGVLDDGIDAGHPSFADEGMPPPPARWRGRCKHAGVASCNNKLIGARDFTRHLRQPGTAPRAGTHGTHASSAAAGASVRRAGGGAPGAPVVLVSGVAPRAHLAFYQVCAGTARGCSRGSVVHAVEAALADGVDVLSLSLGDDDGLGFVEDPVAAATFSAVVGGVFVCAAAGNKGPTPGSVANDAPWILTVGASSQSQQGTPRSAIKSAIMTTADASLTDETGTPACYFAMGAGLVNAAKAIDPGLVYDTSPEEYIPYLCGLGYTDEQVNRIIYPAPAVHCAEMENTEAKYLNTPSIMVALTVDEPAVTVRRTVTNVGAARSVYRVDVSAPDEVSITVVPGELQFDEVNQKASFVVTMEKAPGSALESEILGAQLAWVSEEHVVRSPISIISA >Sspon.02G0025400-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2B:89525910:89529472:1 gene:Sspon.02G0025400-2B transcript:Sspon.02G0025400-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPPLPAAPPPPQPSPMPPPSARTPTALPEELVEQILLRFPPGETASLFRAALICKPWRRRFCELHRTPPMLGFFCNSLYMSVLHFVPTTAFRPHHAERGHRRALDARHGRYTDKGVLSWTAVVLCSAAGTSGCDHLDCHHRPFIVVFVASFVSMGHDAAVTFMYTYSSNAAAWSEPTCGQQPGYFVNPWISSVLVGNTLYFGGTLKSSTALKYNMQLRQVSWIQLPSECSALATTEEGGPRLATEHGYELYIWSTKDADEVDARWEQNKVIKLNTLLPVDADFTSLSVVGSTDDLSTIFVSVKDVAYAIDLKTDKVKKVYEGTTKTIVPYMPLRKRESHLVTFRSGQHSSQIDFILARREDRRACFDCKVLLGECVVPQHKLVVVDFRFRVCVHCDKRAKIAKMKWWKLRGEAMQTFKERMLGEGPWEEGEDADDMWLKMATCIRKVASEVFSVSRGGKPEAKDTRWWNDEVQRAIREKKECFKHLHHDKSAANIEGYKIAKRVAKRADGADRLLVNDEEIKDRWREYFDKLFNGENEGPTLELDDSFDDTNRCFVRRIQEAEIGEALKRMKGGKAMGPDGIPIKRHTLESKGFRLSRTKTEYMRCDFSATRQEEGDVSLDGQVVVKKDTFRYLGSMLQKDGDIDEDVRHRISAGWLKRRQASGVLCDKMVPQKLKDKFYRTAIRPAMLYGAECWLTKRRHVQQLSVAEMRMLRWFCGHIRRDRVRNDDIRDRVGVAPIEEKLIQHRLRWFGHVQRRPLEAPVRSGVLKRADNVKSGPKLTWDKSVKRNLKEWNISEDLAMDRSAWRLAINVSEP >Sspon.08G0022020-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:45096767:45098570:-1 gene:Sspon.08G0022020-1B transcript:Sspon.08G0022020-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTRKRPRNNNGSTPSSAGRSSGGFTSSSSSAMRRTTSLSDLAPPPEIPGRPQTREARGDAVVAGAGTVWGGAEMMRRHSGDFLPAMETAAFLKACGLCKRRLGPGRDTFIYMGEVAFCSQECRQQQMNLDELMEKKCSTPAGGGGGGSSSDQSGKSSTVAAA >Sspon.02G0031100-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:113641500:113645672:1 gene:Sspon.02G0031100-1A transcript:Sspon.02G0031100-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATPASGGGGGAYSCETAERTREWMEALAAFLRLHRPLLEAHVVNFFKVLIPPREFCGGLSADWRVCLLRENWPATLREFVLTARSLVIPREQKAPQSLVSDIHVAPIGTVLAQGMNSKKKHEIENLAAVVHAIAKKCGAKTVVDVGSGQGYLAQSLSFEYQLPVVAIDASSHHASVTIARAERIKKHYAAKCVEKQLLMVPRTVTCHVLSSDTLAAVTLDACKDDHGEHVRETKASTKKITQIQEPTQGSPPLILAGLHACGDLSVNMLRLVFAKVFVSCEQVKALVSVGCCYNLLSEDSNEGTDTCPGFPMSKAAKLSELVLGKSIRDLACQSAERWRNLTMDIAVQNFDVHALRAAFQMVLEKYFPEVSRLSPSIGRQGKALRRQRLRKVVESQMTTEKSDDFSCSTLKEQNKNANDVNSVIYGVDTGPDDIHHNACQKFTLFKDFTLSGLGRLGCGSVEDSRLLEIWKDVQPFSV >Sspon.04G0014980-4P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:22316116:22319801:-1 gene:Sspon.04G0014980-4P transcript:Sspon.04G0014980-4P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGVLLLALPALLAAAAIIIVPSPANATVSYDHRAVVINGQRRILISGSIHYPRSTPEMWPDLLQKAKDGGLDVVQTYVFWNGHEPVQGQYYFGDRYDLVRFVKLAKQAGLYVHLRIGPYVCAEWNFGGFPVWLKYVPGVSFRTDNAPFKAAMQTFVEKIVSMMKSEGLFEWQGGPIILAQVENEYGPMESVMGGGAKPYASWAAKMAVATGAGVPWVMCKQDDAPDPVINTCNGFYCDYFSPNSNSKPTMWTEAWTGWFTAFGGAVPHRPVEDMAFAVARFIQKGGSFVNYYMYHGGTNFDRTSGGPFIATSYDYDAPIDEYGLLRQPKWGHLRDLHKAIKQAEPALVSGDPTIQSIGNYEKAYVFKSSSGACAAFLSNYHTTAAARVVFNGRRYDLPAWSISVLPDCKTAVFNTATVREPSALARMSPVGGFSWQSYSEATNSLDDRAFTKDGLVEQLSMTWDKSDYLWYTTYVNINSNEQFLKSGQWPQLTIYSAGHALQVFVNGQSYGAAYGGYDSPKLTYSGYVKMWQGSNKISILSAAVGLPNQGTHYEAWNVGVLGPVTLSGLNEGKRDLSNQKWTYQIGLHGESLGVHSVTGSSSVEWGGAAGKQPLTWHKAYFNAPSGNAPVALDMGSMGKGQAWVNGHHIGRYWSYKASGGSCGGCSYTGTYSETKCQTSCGDISQRYYHVPRSWLNPSGNLLVVLEEFGGDLSGVKLVTRTA >Sspon.06G0024480-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:50777550:50779102:-1 gene:Sspon.06G0024480-3D transcript:Sspon.06G0024480-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SGPNFCLGRNPNNPALYNRTHAASIQTLEAACLLRLHPRRRLSLSPSETADPSGERKPPAPMASGGGAAPVAAAAPAGGAAAAAAPAAEEKKEEVKEESDDDMGFSLFD >Sspon.02G0021690-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:65795972:65797107:1 gene:Sspon.02G0021690-4D transcript:Sspon.02G0021690-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MISEMGTLPCPWRSGHLFAFITFALLFSTSFAGRHYSFLTDQDALRQQGEAARTEQKEPLVPLVQARRLNVKTNDYSSYDPSPSMDKPHSKLIPN >Sspon.02G0007190-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:23900432:23908473:-1 gene:Sspon.02G0007190-2C transcript:Sspon.02G0007190-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDAAGNILASLGRVHLVDLMASEGLPSDNYKMCVSTLMQSLAQYSAVIIQLSPADGALLRSGLDSARFFFHQRGYNSNEAVHSDDSREWCKTSGYYVDPQMCLEMYDYRPGVTATDPSGEMELPPSGLPDIFSVLGKVSRDILDAISFSLNLRSCAFTEILDNIPLRSQEVSSSVLSACCHSRPSFEGAHQHSIASQDNGHLLMFSEQEQQIDKTLLTLVKPDRSGLYVKDLHGRWILVDGDIGPHDVVVYPGLALYQETAGYVNPAVHKTEVDNIHGCMFGRCSLMFKLMPRSVARLSGSEMRAAGHGVDAQFQVPIPKGNARTKPLPPSKRLRLEAQRVLKERVQDIADKKGIKLRFCNLKECESHIQSLDSPCENTRTEIGWPQGVPFVHPHDLPNKAKLGFLEAYEPGWTASQQEMEL >Sspon.01G0019570-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:79040661:79041694:1 gene:Sspon.01G0019570-2B transcript:Sspon.01G0019570-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEKLQISVGPPFNSKKIWEKLHQAVRKHGELKGFCFDSDQQGYKVAQQTVSGDRSKGKGLDQQCTVDIKHDVVAAGKKVLPNQDVPEPLALQKNFMNPLWISDVQSKELLMLLQKKGQFTWDEVVVMVLHMVRCREFTKYDPKINLVVCTRFCLFNIAFFDLNKESEVVPRPPISKIPVSDLWRLEDSVNVISIKVAESDVGYPINVYGTVLARDEYDYRCIYLFKRGRDNPQLITSPAMK >Sspon.05G0037330-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:11901614:11905806:1 gene:Sspon.05G0037330-1D transcript:Sspon.05G0037330-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPSSSGDPAAAGEPVPIPSLGPAQRRHPTSRISHIVRTYLDLSGSRKRRSAPKNQLNAGDKGTDAADDETDGSKAGPSSSNPSRLLRELGIRVSRYTQEERRDIILRYMQKRSGRQVVNRAASKVPSRQALAVRRRRGAGGKFLGKDDAQVQSGISEFVEDHNHQLAAPFDIEMLKSQRYLGYDTDLSLFLKFFESSAEKRRQEEIQADYQANQGVPRTPLPLLWQAVNLYTPTIFELFRKECELSMDCMAYGCGEFGSLSEYMITIKNKTKDQLIAAKAAENEEIFALMASQSDNLLVEVEGTLLSTLSDKSSGHSLTDQLTHMAQNDYLLSSGLGGIGSTGKKCEVARRRNDSETNKRKKARKGQPDATDGGPTRGELNIAPGSFQSEPSNDSNQFIPDQLMQGHYVLGHNFGLGTSQNLHDNLNQFDQASSASTLQQQPFTGNGQLTQGYPGDMHALQFVETTPQIDHQNGDEGQSSIPVWDFL >Sspon.06G0025890-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:43020094:43024140:1 gene:Sspon.06G0025890-3D transcript:Sspon.06G0025890-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEMLLRLGFVLHPKSKPGQRQQASTSRFFLQTRRSPSSPRLHRAQTSPRDPTSPVSAYPASTTEAMQEAKHHPTTTKLPSQSQSSSPSPSAPTANPLQYHHGYGTFPPPFQPTPPVVGAACHDYHASPIGGFGGQGFVASPCAVQQQAFVEGVPVREPPLPFCGAGVGWFLFLLGFFLAAIPWYAGAFLLFFVALDHREKPGLIACT >Sspon.03G0034570-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:71582032:71587907:-1 gene:Sspon.03G0034570-2C transcript:Sspon.03G0034570-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protoporphyrinogen oxidase [Source:Projected from Arabidopsis thaliana (AT4G01690) UniProtKB/TrEMBL;Acc:A0A178UVN5] MVAATTMATAASAAAPLLNGTRRPARLRHRGLRVRCAAVAGGSAEAPASTGARLSADCVVVGGGISGLCTAQALATRHGVEDVLVTEARARPGGNITTVERPEEGYLWEEGPNSFQPSDPVLTMAVDSGLEDDLVFGDPNAPRFVLWEGKLRPVPSKPADLPFFDLMSIPGKLRAGLGALGIRPPPPGREESVEEFVRRNLGAEVFERLIEPFCSGVYAGDPSKLSMKAAFGKVWRLEEAGGSIIGGTIKTIQERGKNPKPLRDPLGSKVKLSWKLTSITKSDGKGYVLEYETPEEVVLVQAKSVIMTIPSYVASNILRPLSSDAADALSKFYYPPVAAVTVSYPKEAIRKECLIDGELQGFGQLHPRSQGVETLGTIYSSSLFPNRAPAGRVLLLNYIGGATNTGIVSKTESELVEAVDRDLRKMLINPTAVDPLVLGVRVWPQAIPQFLVGHLDLLEAAKSALDRGGYDGLFLGGNYVAGVALGRCVEGAYESASQIYDFLTKYAYK >Sspon.06G0004470-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:14047420:14056664:-1 gene:Sspon.06G0004470-1A transcript:Sspon.06G0004470-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding PAVAISWDSQALRSHAERCEIESEGCVIDPSLLPVLEELLQDIYASLQPQPVDYEHRYRMINVFNTIAGGIFGKNNGLPIVEPFGSFTMDLFTPKSDLDLSINFNTDTNDQYPRRNKISAIRKLANVIDQGTGVECDISVENKDGMSRSVIFKFISSIDKRFRILCYLMKFWAKVHDVNCPKDRTMSSMAIISLVAFHLQTRRPPILPAFSAILKDGTDFASIEKNVSLFEGFGDSNKESIAELFVSLMSKLVSVEGLWEQGLCASNFEGSWISKTWAKGVGNLNVEDFLDRSQNFARSVGMKEMQKICECLRATVSDLSNFSKGKIAAPKLKALLFKPLNQVNPVINPRQKTVKRKRANPNKTSTNTIQKNDKKSSKVGPDSGSSHVQQKKVKATVSTGRAANTHVSRSRPRPVFIPQAHWPTQPVVDQFAHIPQHLISPSAFGYGLPSPHLHPAYPHPHPHQGLVGQPQGNFLHLNPGIQLQHQGQAFFGPPPVRHPMANGSLHPFGINGAQQVQRIDNRLVQRPPYGFGPGFW >Sspon.02G0014000-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:16437121:16445666:-1 gene:Sspon.02G0014000-2P transcript:Sspon.02G0014000-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MWAPSRGSSSGSGRRTWRRRIADYLADDQTDVSDNESFITAHSDEYVAASTSAVGGVGAGGMLPAFLADQSDLVEVMLELDEESMVVRSVTPTTAALYGTPTTSLPGAGPAAQAAHTPDGPRSLSRCSSTSSRIRRKFAWLRSPSPSSSLRRPAPPPAAAASSDQQVVREAALAARERRRVQARLLNRSRSGARRALKGLRFISRTTTDADGGAALWRAVEERFNALATDGLLARDDFGDCIGMVDSKEFAVGIFDALARRRRQNLERISKDELYEFWLQISDQSFDARLQIFFDMCAHNPALISSSVFPSQLLIVLSASANKLSKLKEQAEEYASLIMEELDPENLGYIELWQLEALLLQRDAYMTYSRPMSSGSAAQWSQGLSAGAGAGAGVGGQPQPQPSWQRRRWSPRRAAARARVAAAESWRRAWVVALWVAAMASLFAWRFVQYRRSAAFRVMGYCLPTAKGAAETLKLNMALVLLPVCRNTLTWLRSTWARFFVPFDDSIAFHKIIALAIAVGICLHAGNHLACDFPRLIASAPDEYRLVARFFGRDKPSYRALLAGAEGVTGIVMVTLMAVSFTLATRPFRKREADRANKAAATRGGRRWWPLAHLAGFNAFWYSHHLLIVVYLLLLVHGWFMFLVDKWYQRTTWMYISVPLVLYVGERTLRAFRSKAYAVKILKVCLLPGNVLTITMSKPYGFRYRSGQYIFLQCPTISPFEWHPFSITSAPGDDYISVHIQTRGDWTQELKRIFVENYFTPCVPRRAAFGELGAVEHKSPPRLLVDGPYGAPAQDFRNYDVLLLVGLGIGATPFISILRDLLNNIKLADELM >Sspon.07G0023150-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:13503319:13505941:1 gene:Sspon.07G0023150-1B transcript:Sspon.07G0023150-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDGINCYVVPQTTGTGRNIFQGGSPLQESLPLLGVQLVLIVAITRVLYFLLKPFKQPRGGIILGPSMLSRSPAFKEMVFPARGDSVLHTVATFGLMYVIFLIGVRMDPMLVVRSGKKGVIIGISGFILPLAMTTAGLSGAAMVSEPDVTRRSTFLFALATSLSVTSFAVLSPILLELSLLNSDLGRTAMSASMTTDGIAWLIMVGYILAEAFLVSAVTSLWAFLSVAALGAVILFAVRPVALKVIERTPPGKPVDENYVFFFLLIVLLVGFYSDVIGTNSFHGALMLGLAIPDGPPLGTALGEKIDAMVSGLILPLYYAMTGLSTDVWSLHWGRLQLVVLLGWFGKLVGVMVPSLFLEIPIRDAVSLSLFMSSKGIVEVITFTFFLTNKLIGKNSFSVLICSSVAITAVSVPVAGLLYDPARRYAVYKRRTLQHLKPDADLRILACLHDESHVSGTLALLEASHATPQTPIGLYLLQLVEIAGRSAPVFIPHNPRRNASRIGAPNAPSTDFDRIINAFFRHELRQPEGAVSVHPFTTISPYSSMHDEVCRLAVEKRTSLILLHYHKHHLLAGGVHASVGLRVVNRKVLEVAPCSVAVLFHGQQWPVDERAPVPRRRGGALFGGGDDREAMSYVARMARHPGVTVAIVRFLPARGIKDDPADRRVDNRAIEEVKALAARSRNMQVREELVGDMEKIVEVLRGLDKAGYDLVIVGMRHRWYPVMPANGLSDWSECPELGVIGDLLASSDFDTPYSVLIMKQQDQAGLNAAVPGAQDQWH >Sspon.05G0020650-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:67905255:67906794:-1 gene:Sspon.05G0020650-1P transcript:Sspon.05G0020650-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSGGGSSSRVPGNLNLPAGFRFHPTDEELIVHYLMNQAASMPCPVPIIAEVNIYQCNPWDLPVASPTSSAKALFGENEWYFFSPRDRKYPNGVRPNRAAGSGYWKATGTDRAILSTPTSQNIGVKKALVFYGGRPPKGVKTDWIMHEYRLTGTAANHKSSAKRRAGIHKKNNDFQLSDQEQEGSTVEEVDTLLINDSTTTFNNMNDDSTETTLAGQHHDEQKQQQQQLHHQTMSKSCSLTDLLNSMDYASLSQMFLGIPAEAEEPPQQSPPLIYPPATPTQTTTHQALTNNYDNNVMNNNLPTAAVDAVIGSDNNGVKKRKRVMAVDGASAAESSFDDGSSSFSSKKLKLPSDSRSGGHFGFGTASSGYCNQQQLVDSGSAGFQYGTLLLSNPFFNQQQLLLNSHIGMQ >Sspon.02G0021460-3C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2C:73205824:73206744:-1 gene:Sspon.02G0021460-3C transcript:Sspon.02G0021460-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MACSVSVAVVAPLLLLSVLVSTAAAARAVGDTVQDACSKTQFPKICVDSLAAKPESAKATPRKLAELFVNIAAEKGAGMATFVHGKYNAAKDNAGLFKCYDSCSDDVEEAVAHLNGLVREPTDAKFLELKSWLSSTLGGTSTCEDACKDAPKSGDKDDVVNFSLDFEKLQRVTLDLITEASGSMSAGIALPPSDAGAPSYGAAAPADAPAGSGAGSEGPAASAASGPSSGGDAPAYGGSAASGPAADADAPAAASSGPSGAPAPTSSESSGAPGPASDGGSSSAPAPSSGDDDDDDDDDSDADGSA >Sspon.02G0025770-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2B:91001646:91002469:1 gene:Sspon.02G0025770-2B transcript:Sspon.02G0025770-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MERDDPKLELVAAATAVVAAPVATAAAFVAAPEAAAASADDEGTLELAEVVEKTKMMKLTDEQVTSSAASQERRRGPLADAAVNSSVDVGAAVASVETREDAAHRHQIHHEEAADSDSKVPVSLVTQIRSRKKLLVLDLNGLLADINKDVHNARLSHGRCRGKLVFKRPFCDDFLKFCFRNFDV >Sspon.06G0030900-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6C:56203306:56207171:-1 gene:Sspon.06G0030900-1C transcript:Sspon.06G0030900-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNVVTLYHGGRVEEDEFGNVSFVEMKRVPVIFDDRPQFCELMGSARDELKCNSTEDAILVEGVLHHGRSGTIFRRLIQIASEAQWDKYVKTVMKSEFQCLDLVVRKVSNDPTTHGYSPPNGHSPPGGFTRQPENPAPSVVVVPDAQSAPNEVGVCVDDVVAGPQEIPLTQNHPRDNPGNDGCPPVPPSRNADPAFIASNSVDVLIEDDEEPYGTARAVDSDDDRPVAPLSEQEMELIRRLCPDRDPLVHEFNDLSHSQHAYAEGLHVNWEPYDDIEVQQLGVSIMCSADEDLYKMVCPLICFYAVEFHLPHRVARQFGLAQEWPVKPVSTNVELHKIDRQKQKKTVEFETLHRDHIEQWDQFHDNLYENEQPHNNYNFRAYLTWYLGVTRTRLKTQWTQADYAYLESSEDEDTSYDLAARQGTLIEAAPVLDRVGNALKQSVVDIERFPRTGVDEHTLNNFLRRLARRLRRAAARCGCRTSAAMDIHGSQEGHLGTPVGLGTSSQGGSGGQSSSRATADTFQGHGDEDDDEDDEEGEDRGYDELDESQLQDAPSTQPTQGVGTRRRRPPCKFTPGTGALGHKGKGKTRRQ >Sspon.06G0025890-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:39267226:39267471:1 gene:Sspon.06G0025890-1P transcript:Sspon.06G0025890-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTPLRSVGSAARASSPSRAPSSSRSSWRASPSESRPYRSAASGVGWFLFLLGFFLAAIPWYAGAFLLFFVALDHREKPGL >Sspon.01G0000150-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:792323:796834:-1 gene:Sspon.01G0000150-1A transcript:Sspon.01G0000150-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIGGFVEGPAGADYGGRVTSFVVLSCIVAGSGGILFGYDLGISGSQRPPTISIWHLVAGGVTSMESFLRKFFPDVYHQMKGDKDVSNYCMFDSELLTVFTSSLYVAGLVATLFASSVTRRFGRRTSILIGGTVFVLGSVFGGAAVNVYMLLLNRILLGIGLGFTNQMAPPQYRGAINNGFELCISIGILIANLINYGVEKITGGWGWRLSLSLAAVPAAFLTVGAIFLPETPSFIIQRGGGGGGVAGGNIDEARLLLQRLRGTTRVQKELDDLVSAASEASRTTTTRRPFRNILRSKYRPQLVIALLVPFFNQVTGINVINFYAPVMFRTIGLKESASLMSAVVTRVCATAANVVAMVVVDRFGRRKLFLVGGVQMILSQVMVGAVLAAKFKDHGGMDKEYAYLVLVILCVFVAGFAWSWGPLTYLVPTEICPLEIRSAGQSIVIAVIFLVTFLIGQTFLAMLCHLKFGTFFLFGGWVCVMTLFVYFFLPETKQLPMEQMEQ >Sspon.04G0022140-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:4415693:4419388:1 gene:Sspon.04G0022140-1P transcript:Sspon.04G0022140-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLKDLLPAPKTSASAYYDHSSDPWFKERYGGESAQAAAAARPAAAARPVPPYGKRTGFVPRRPEDFGDGGAFPEIHVAQYPLGMGRRDDKGGSKILALTVDAHGSVAFDAVVKQGENAGKIVYSKHSDLVPKIATADSQATSDDEEEQKKIEETTERTKAALEKVVNVRLSAAQPKNVPTHDSESKFIKYKPSQQSAAFNSGAKERIIRMSEMAVDPLEPPKFKHKRVPRASGSPPVPVMHSPPRPVTVKDQQDWKIPPCISNWKNPKGYTIPLDKRLAADGRGLQEVQINDNFAKLSEALYVAEQKAREAVQMRSKVQRELMLKEKERKEQELRALAQRARMERTGAPPAPSGVPAGGGRGAVEAVDEDMDMEQPREPREQRRESREEREARIERDRIREERRRERERERRLEAKDAAMGKKSKITRDRDRDISEKIALGMASTGGAKGGEVMYDQRLFNQDKGMDSGFATDDQYNIYSKGLFTAQSTMSTLYRPKKDGDSDVYGDADEQLEKVMKTERFKPDKGFTGASERTGKRDRPVEFDKQEENDPFGLDQFLTEVKKGKKAVEKIGGGGTMKASGGSSMRDDYDGCRKKLNSDKKECAHCAVIARLPFWDMDGLNMAICCHGMGIAAQESSLL >Sspon.01G0054440-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:55835670:55836576:1 gene:Sspon.01G0054440-1C transcript:Sspon.01G0054440-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding PHAQPHRQSGSAQVIPHIYSAAALLQAVVIPSSSHTSSPSFLSYHKNQRVYLSFFPFPHRHVLAIARPRHLAVALVRTHGYASSLAQQKHGARRCHPPRSGRRCSSCSFQLLIQARGEQWPGSRCAVEQQPGVRLFLFSSRIS >Sspon.01G0004830-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:11957530:11959208:1 gene:Sspon.01G0004830-3C transcript:Sspon.01G0004830-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALEAVVFSQPQLAAAHFGYGRGDSPYALPWPCDLQLQGGGGGGFADLCVAAAGEWDHDLDTWAAVGDDWDWEALSRDQSSDASTDHGSSKAAAPEPTAAAAPGRRKRRRTKVVKNREEIESQRMTHIAVERNRRRQMNEYLAVLRSLMPPSYAHRGDQASIVGGAINYVRELEQLLQSLEVQKSIKSRGSSGSTGTGSSPFAGFFSFPQYSTTTSAHGGCSGNTSNGANCSDAAAASAGSAETGRRPAAAVADIEVTMVEGHASLKVLARRRPKQLLKVVAGLHQLRIPLLHLNMTTVDAMVLYTFSLKVEDDSKMGSVEDIATAVHEILSSIQQQEETAVM >Sspon.02G0017840-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:54195587:54208373:1 gene:Sspon.02G0017840-1A transcript:Sspon.02G0017840-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDNWTINLECDGKAEGHKYVQRQMDRDQICFFDLIDMIEGSGYTSVDYLYYKRKDSLVVIEQDSDVMKMLNECESTKTHIQPHRGRGGTKKKKGLNLMHTEALYANEVEQNDDENQNTSGDGNEVPDKRKGTVLTHVWDLLEGDRIVVRCNMLGQPIGKEGGLLGQFLGTIARNGGYCPIGAKDWREVKKNNAGTIIQFVQTKFLYPRSCEKWILKSIGRDWRKYKATLKKTLFNPKKKKSVLNKRCPDDIDEDQWRALVKYWKSSEGQNLSEKNKISREMKKTTHTAGTKSYARWSEDMRQADPEKKQPHRAMVYLATHRKRGKGINEPVEIRQLKEHSRMQDKVIEELKNNQRHHENQEEIVLGVILTILRIKRCVLKERVHCLAPNQNDGFLEHIDELNKETCESEFSDDDSLLLSTTSRTTKKQKGQHGGPGETTTITHQEVAHDKATCYKRQTPKQLPLMKVGSMVLLMTSKYPNKAHVAYATLLSTDPEASVGGVKTGSQFYKVRINHVIAKDEPLVRPMPRCNNIGDAQAKGVSIAWPSIEENILHDLRSEAIAVVMKSASSMSHATRA >Sspon.04G0003970-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:12936717:12941051:1 gene:Sspon.04G0003970-4D transcript:Sspon.04G0003970-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PYD1 [Source:Projected from Arabidopsis thaliana (AT3G17810) UniProtKB/TrEMBL;Acc:A0A178VD08] MESLTLRASTAAAAAASPLQQRRLPGRQRATYVRATASASAAGEPDLSVRVNGLQMPNPFVIGSGPPGTNYTVMKRAFDEGWGGVIAKTVSLDAEKVINVTPRYAKLRAEPNGAAMGRIIGWQNIELISDRPLETMLSEFKQLKKEYPDRILIGSIMEEYNKAAWHELIERVEESGVDALEINFSCPHGMPERKMGAAVGQDCDLLEEVCGWINEKATVPVWAKMTPNITDITQPTRISLKSGCEGVSAINTIMSVMGINLKTLRPEPCVEGYSTPGGYSARAVHPIALAKVMQIARMMKEEFADGQSLSAIGGVETGNDAAEFILLGADTVQDFMREHNFSSIEEFRGASLPYFTTHTDLVHRQQEAIKQRKAIKKGLQSDKDWTGDGFVKETESMVSN >Sspon.06G0000950-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:1427306:1429001:-1 gene:Sspon.06G0000950-3C transcript:Sspon.06G0000950-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCVSSKILAKSGSFQEKVSCSLGHSFQRSSAIEEIILSTSKSNGDQFLALLRRSTSSARKAKEPEAAPAAVAEPVAKIETIDVSELLAGLEEESCTAAEEARERRQSSRDGSPAPWPASDGGAAGRARSFRTVEEFDAMVLTQQQQTQSESGSSARQLGELNVPAAAFDFSISKSGSLRDWLRQGGQIFSPGSYVTPRFGTSPPAERGGAAAGEQQQALFDPELVAQFERAMEQLSEDEGRVLDEILEALELEAAEKNGAAAAVGRVRDGQPADGGGGCVSLSF >Sspon.02G0003500-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:11274848:11276834:-1 gene:Sspon.02G0003500-1A transcript:Sspon.02G0003500-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DITLPEMKCAKASNEEKALILSTLKLEEFWRSSCYHLEEDAPKKKNEDKEIERFSDRKRKTQSKREALAFYLKLTPSNFPAELDLEEGKQATRNSGGIRIQDGSKVEKEGDDEDEQEEEEVQEEENSDDDYNQNIEFDDDDDDWNQEEEAQEDFYD >Sspon.02G0030450-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:109502665:109508539:-1 gene:Sspon.02G0030450-2C transcript:Sspon.02G0030450-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQFAGFAAADDGEGPSGDFCVDSEGCSIDELASFSDSPAPSVTRVLYTDKDVQARRYIKGIMNQLGLAVREDAVGNIFGRWEGSEPGLGAVATGSHVDAIPFSGKFDGVPKRSLEVIMFTSEEPTRFGISCLGSRLMAGIEELAQSLRKVVDNQNVSFLDAAESAGYKLHLDDLHSVFLKKDSYSAFIELHIEQGPILEKEGIPIGIVTAIAAPASLKVNFEGNGGHAGAVLMPARNDAGLAAAELALAVEKHVLESGSIDTVGTVGILQLHPGAINSIPSKSHLEIDVRDIDEKRRNDVIEKIRRSATQISKNRGVELSEFKIVNQDPPALSDKSVVDAMEFAAKQLNLEYKKMISRAYHDSLFMARVSPMGMIFIPCYKGYSHKPEEYASPEDMANGVKVLALTMAKLSLE >Sspon.05G0016160-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:65800675:65824610:1 gene:Sspon.05G0016160-1A transcript:Sspon.05G0016160-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGASHMLLEEPLRLASVLSPAKPKVFPSLTKIVGTLGPKSHSVEVIQECLTAGMSVARFDFSWMDAAYHQETLDNLRKAAQNVKKLCPVMLDTLGPEIQVHNSTGEPIELKAGNHVIITPNISKALSAEILPIKFGDLTKAVKKGDTLFMGQYLFTGSETTSVWLELRAFLQSHALPDTQIYAKIENSEGLDHFDDILKEADGIILSRGDLGIDLPPENVMLDTLGPEIQVHNSTGEPIELKAGNHVIITPNISKALSAEILPIKFGDLTKAVKKGDTLFMGQYLFTGSETTSVWLEVVETSGENVNCLVKNAATLAGPIFTLHVSQVHISLPTLSEYDKHVISTWGSRNNVDIISLSHTRSAEDVRELRAFLQSHALPDTQIYAKIENSEGLDHFDDILKEADGIILSRGDLGIDLPPENVFMFQKTAIHKCNLEGKPVIITRVVDSMIDNLRPTRAEATDVANAVLDGTDGILLGAETLRGLYPVDAVSTVGRICAEVGSAIKVKAAAIVVFTFSGRAARLISKYRPTMPVLAVIFPREGSDPSKWRSYGTTQARQCFAVRGVYPLMGSTDEAETGGLTKEEYGIKLAVSYGRSVGIVKPFDRLIIFEKIGDSSVVKIIECEG >Sspon.05G0022230-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:6909673:6914625:1 gene:Sspon.05G0022230-3D transcript:Sspon.05G0022230-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGLKYRAGLCLIVAVVLIWVLSAEVTQGIFTKYKHPFAITYLGASLLVIYLPLSFLKDYIYNSMRRHSGNTSALKIASKSSFGGSAPLKNGEFQKVLEMESQKTIVINCTEVSIPVVEETKPLIYGITEMNDGVLKDKQLSTKEIATYGLYLCPLWFVTESGH >Sspon.04G0020130-3D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4D:79321786:79323154:1 gene:Sspon.04G0020130-3D transcript:Sspon.04G0020130-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding WVTLATTASPRCGATSTAASTTRSSPSTYSSPSGPDSSTSSRYGSHTAPPRWVHLAHGLLLFLYQ >Sspon.06G0012240-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:65233385:65238592:1 gene:Sspon.06G0012240-1A transcript:Sspon.06G0012240-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASATATATGTAAAAAPAFALASLVASAVRRRRGAVVVAADAAAAAGSAEFGDEENPYELLGIRPLDSFDHMKMAYKKKRKDAEETGDDEFLAKLDKAYDTVMMQQLQYRKKGVTYGSVQVSKDIKYADNQPMVPWGPRFSRSTAKDMRINMAISAAFVVWIAIMGIADWKPLQFLCFAFFYRILQKLRATEPAITPIYNEYGEVEGRGIRMAKRVVRALGLIFGCVFTASLGYTAAINLIELSMQYTPRIVYYYQELIVTAAASVLLYITASYYR >Sspon.07G0003810-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:9372444:9377811:1 gene:Sspon.07G0003810-1A transcript:Sspon.07G0003810-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RSLRLGYADLPKTAQALVASDVLLALRLLPDVQTGYAVSTANVLLQAVEVALPKQKKAQAVSEFKHSIIAHKRRARVQQNSGSPDMPQDILVHIFSFLDMHSLVAAGLVCWSWNSAANDNKLWKMNYSIFFGLSHLSCNNIPVSGVQYSMNSVSDYPTFSWKESFHSKYEECASWKFASNRALCAHCRSVIWLSNLTCASPHHCPKNRQDEVKLRPLLPDTVAKYILHVEDIAASSSESDDTDDSDYENWHPRFWAL >Sspon.01G0047750-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:105565537:105569299:1 gene:Sspon.01G0047750-1B transcript:Sspon.01G0047750-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSPELPVDLLLQIAARTDAATIFRCAATCKLLPSEIRSADFIRRVPTPAVVPSRTLGILAETFSVLHPVTAATVTLERYLASFMSRSGNIVAAGLLEQYRALTSRNGLVVLWRRRLGSERGSADLCVYDPMTNSRAFLPFAPDIGWDPYRGLGDVHLIDQVQTVSSSDWDAGAGWGWGPVKTSDRHPCPRWCTNLDRHNDAAVVAGGVVHWLIHCGISSSSSLQEAAGEYILTYDVNAAASTFPRTAGAPTCRERACDAVPAGVVTGREAELRRRGPLRGVRVGSVRTRLLGAARADRHEGGGARGTDVAEPWIWMDHRVELESFFGDRRSGGVLLRFVLDMETGATCPTRVGGQTGIPYEVDLASRLLPVCVLLEIAARTDAATIFRCSATCKLLRREILRAGFVRRVCQGPDAVVPSRTLGVLGETFSVVHPPTVASVTLERYYLSPFMSRSGSIAAAGLLERYKPQTSRNGLVVLSRREINGRRRSERRSDLCVYDPMTNSCAFLPFAPDIERDPCGGSVDHYALLTAADGIGCSFMLVAADMARNLDCRTSARVRVQTVSSPDLDAGGGAQWSPIKTTDDHPCPWWCISWDSHEDAAVVVSGVVHWLMHAPDAWLLSEDDVDEYILTYDVDAAAVGSVDIPRDRQRASTPRGSRSSSSQLASSPDGKLSFVATHQCTVSVWVLSSGGSWARHVEIDMMAEWGPLLGPKPWMDHRLELESFGDQRSGAVFLQIDGVFFALNMETGATSQTSLTRETGIPYEVDLASRLASMKSF >Sspon.04G0032300-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:25043158:25046595:-1 gene:Sspon.04G0032300-1T transcript:Sspon.04G0032300-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding PMASLFQDPSKLSAYRDRRFKGTQEEYEATLLASTTLYIGNMSFYTTEEQAYELFSRAGEIKKIIMGLDKNSKTPCGFCFVRYYSREDAEDAVKYISGTMLDDRPIRVDFDWGFEEGRQWGRGRSGGQMREVSGREDMEILIGMTEEVTHVKIVLLCVYFCPLTRYESPDYQRKRFRNDDGSAPEESKRAPDSDPQEKNPRFREKGDSDEEEDDYDKRRRR >Sspon.03G0039900-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3C:23085767:23086243:1 gene:Sspon.03G0039900-1C transcript:Sspon.03G0039900-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEDLVTTLSMENGGGHGPCTLLSMDPSGHLSAPDDRAVGVMVQALIGGGAGIGARAHAVSPSGAPPPDINQPWQTDLCDMLDVGLGPQVYSAEAVLSCAPKAGSRKAAKRGDTIWGAWFFFTFYFKPLLSDKCKDKVVRDNSGVSGFDKSDLRLDMFL >Sspon.01G0018230-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:64473819:64475653:-1 gene:Sspon.01G0018230-3D transcript:Sspon.01G0018230-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSKEHDSGNSGNSGLENHDSRMASKDSNDESRQHEPLGQRAVQLLAISANFPICKIICYDWHQGRCIYVQREGEVQEVQEGMVDLVPIGPGEVLMAYGYFCLRVYADKSGPPIIAEEWDVNDDDEIEEYTRTICAGFGRNFEITYLVIPNAIEANVGVKLKLQDLGSRSRAVYGKIKASTTDYRNKSVHLFSCERGKSLPFPSSSTCILPLSPSMVVVPCRWQLELHIEVDLTVITTCDGQEEQDKNLKFSLEFTREIMSQEREVDDEEVQVNIKWLPIY >Sspon.05G0008460-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:27503544:27505307:-1 gene:Sspon.05G0008460-4D transcript:Sspon.05G0008460-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVASTSFAYHKPRFAVVCRKNKDGRDRERERDGKEHKHPFKVVEITPPPRCLGVRCFPTNIHCGESVTIEGQAYTVSAVTHRYQLRKGRYEPSEKRLDVLSTGRYILNLYLQNLLDQS >Sspon.07G0004480-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:9879394:9880283:-1 gene:Sspon.07G0004480-1T transcript:Sspon.07G0004480-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MISGNLTNEELGTGCTKAEGRPRPPAPALSSRHWPPSTESRIVRVSRVFGGKDRHSKVRTVKGLRDRRVRLSVPTAIQLYDLQDRLGLSQPSKVVDWLLDAAQHEIDKLPPLQFPPQAQDLVAHLPPSMVAPFSNVAAAADRTAAANASAAAMADGDKRHCHGGGIKGLMGLNNSIGLVNATMPLAHGLYYTPAGESWTTNGNNAAVHDHQVSHGNSPQTVAHHSPFSSLLSLAAPGPQLVFYSPEGGGFAMKETTDHQFPVDSLEQSQGQLSLSSARSFLHPGNQG >Sspon.02G0018800-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2B:62060714:62066588:-1 gene:Sspon.02G0018800-2B transcript:Sspon.02G0018800-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDTSRIPGYFKNKGILITGATGFLGKILVEKILRVQPDVKRIYLPVRAPDAESAKKRVETEVIGKELFGLLRETHGKGFQSFIEDKVVPLAGDIIHENLGVEGPQLSQMTRELNVIVNGAATTNFYERYDVALDVNVMGVKHICQLAKQCPNLEVVLHVSTEAETAIARPSIAAYVVGEKQGVILERAFKQGETLRETEAEAELDVDAELRLARDYQRQVAGDDAEQKNERKAMKELGLARAREFGWPNTYVFTKALGEMVLARELAGGVPGVIVRPSIITSVQKEPLPGWIEGTRTIDAILIGYAKQSLSCFLADLQLTMDVIPGDMVVNAMMAATVAHASPAPAPDQTPPPPPTVYHATSSLRNPAPYAVLYRTGLRYFSDHPRVGKDGRPVRTRKVHFFGTVAGFTAYMVLRYRLPLELLRLLSLLCCGLLFSRLYADLDRKYRFVMRLVDLYGPFALFRGIFDDANVERLRIAMPVADRVEFNFDPKTVDWDDYFYKIHIPGVMKYVLN >Sspon.04G0030390-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:1560987:1569297:1 gene:Sspon.04G0030390-2D transcript:Sspon.04G0030390-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LQEMGCFNSKPNDADAIRRRPGNIGEVAVFIPGLRVPESLELSQRLGDGHPRRLTERLAALRSRIVVMAAHEALSVTRPRKRTFTQHGGSTSTDLLQALEDYLPVLLGLVKEGSNLEDKIQFSWMNQEDDAEETALPSSWYEVLSVLHMMAMLRLSQANSLLLPKTSLEGYHAKVSEDNKRASVEIFLKASGFLECAIQHVLPRISPENRKCLPVDLSEGVLKAICMQALGQAHESMADLPLTDSWGEKHRLFVTWKYIEAKAAAYYYHGLILDEGNTEKSHRMAVAALQSAEEFLKESKDAAEAFHAAPPVSRQWQCIHIPVLVTSASPPACGSMKYLHEKIQKDSSCKVRINKDLYSNDSIREAVPALPDFAVALKPEEYQLPAVTGDILFLHMNKEPVRTGEIIVFNVEGRDIPIVHRVIKLSALCWVAYNRHDGETSPQGNFLGSLITADDNTRQPLSRQSCRPPMAASRAAVDLSRELCAAVIKSTFRPNLHLALLAAAPSLLTTVLHRLSPLPSAALAFFRALPPPHPLDASLALLRLLAPHPRHHPAARALLRDLSLRHPLSSPLLLPSLLAAADPRVPSWLVLVLAQSARPHDAVRVFDQMRARGLAPDAHACTALLTALARARMTATARRVFDEMARAGLTVSTHVYNAMLHVCLKAGDAARAEALVTRMDAAGVPLDRFSYNTVIALYCRKGMRYEAMCVRDRMDKERIRADTITWNSLIHGLCKDGRVKEAAQLFAEMVAAQATPDNVTYTTLIDGYCRAGNIEEAVKLRGEMEAEGMLPGVATYNAILRKLCEDGKMKEVHQLLNEMDERKVQADHVTCNTLINAYCKRGDMTSACKVKKKMMESGLQLNQFTYKALIHGFCKAKELDEAKEAFFQMVDA >Sspon.03G0042810-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:73223900:73234482:1 gene:Sspon.03G0042810-1C transcript:Sspon.03G0042810-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSNVSQAGAPPPPPPTYASTPPPFEIAAAPPPKPHEEEATEEKVDYLNLPCPVPYEEIQREAFMALKPDLFEGMRFDFTKMITPYFALSHSVYMGSTEIPAQGSDVIKVPTSNYEFGANFINQRTMLMGRVSHDGRENIRVKHDITDNLSLKINAQLTSEPHYSQGMLNFDYKGKDFRSQIQFGNNGFYGANYIQVATAQIATTGMVALSYVQKVSEKCRLRGKLDTNGVISALLEERLTPGVTFQLSAELDHWKKDYKFGFGMALGE >Sspon.07G0023920-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:20473215:20473907:-1 gene:Sspon.07G0023920-1B transcript:Sspon.07G0023920-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding EVGDRRQRGDGRRDGAGDLIEADVERPEVGQRAEAVRYLAGEEVLADRQEPETRARRERRRELPLDAPGHERELGERGQPAQRWVQPPRQPRRPRPWVAERERRHPVPVAEHAGEPARIGGEVPAVEELGAGHVVQRPPHRLQRQEVDRVEDPRRRPRRHRHGRQDDARHDGAEPRGHRHCRSSSAFARARRLWPLSPQKLQQEGTDQKAPINRVGPWRKEQRERRRGNL >Sspon.04G0034530-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:75864951:75865824:1 gene:Sspon.04G0034530-1C transcript:Sspon.04G0034530-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPSSPATASTHHPPRISISISSCCCPSSPCPLQIQITSRRTPPAAYLLRAATASSTASSTRSRGRGHLPPPAALPDPQAAAALLLAAAGTVGAASLLLRSSSSSAASQQQRQEEQEQVEGEECPDCGGTGLCGRCKGEGFVFKQLSEETATKARKAAKNMATRYTAGLPTKWTYCNKCSSSRSCTTCRGSGRIITTPVT >Sspon.07G0015630-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7B:60151879:60155318:-1 gene:Sspon.07G0015630-2B transcript:Sspon.07G0015630-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GGVGVGHRLGV >Sspon.01G0049520-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:113321818:113324160:-1 gene:Sspon.01G0049520-1B transcript:Sspon.01G0049520-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEALVGLRVAAPAVPQPRSHRSRLLPTARLAAFRRGRLCTARAAVAGPPEVDEDDSMSIDNLHRFFDLNVGKWDGSFYQFDAHGRVLQEISTRLSVSSYGEDNLISLLQSLYIKQASSAISIVDEEDSEPEWVEYKIKETNMFTVDKYQQIGFFPEKRHLL >Sspon.03G0027530-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:9822464:9835507:1 gene:Sspon.03G0027530-2C transcript:Sspon.03G0027530-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLPAMTHSSAYLLPAPTAPSNPSGGADTNTYALVVLNQRLPRFAPLLWSRARLRVCADGGANRVFDGMPELLPGEDPAEVRTRYKPDVIKGDMDSIRPEVKEYYSNLVYGAEKLHQALQGRPKGKALLTGMDMALSKLNSGGWVHIFPEGSRSRDGGKTIAPAKRGVGRLVMDADSLPVVIPFVHTGMQDIMPVGKRIPRAGKRVIVVVGDPINFDDLLIDNCDDTQHISRGILYDKATERIGQRLQELKVEVDRLAAEQQSELQNHNVSDDGYRLWQQVDWEGFGIGSSMLSSEPSAVQVPSKEAEPELHLEVERSASPAPSASTSYNVAVPHWFQRHVDPSELMGFAARGLIKNGKFLEEGYRELQQSATTLNTWWISRANNAAHRWSTA >Sspon.02G0056960-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:40404821:40407338:1 gene:Sspon.02G0056960-1D transcript:Sspon.02G0056960-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGDCNLLERCIYKFEQLWFLSHASWKGLPVDLSEGVLKAICMQALGQLKNSMWIGRSLKKLMSGSIEDQPYIL >Sspon.07G0017460-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7A:62745968:62749426:1 gene:Sspon.07G0017460-1A transcript:Sspon.07G0017460-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDLQCSYCEHKEGIGSTPTVVPVGFCHVTPTNIDDGDAASSPQHMEPPRGRVVVDSGNDNDLSSSSSCVSLDISSDESFVLVLSGCKRCCRHYMVSKKEFPTCINCKQPCLISFCSDEKHS >Sspon.06G0001460-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6B:3351955:3352628:1 gene:Sspon.06G0001460-2B transcript:Sspon.06G0001460-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VQVPTAAPVEEAPVVVEAVQPAAVVEETPKEEAAAPVVAETPAADEPAPAETEVAKEPEAEAVPAETETKEVEVEAVAEPTEAAETKEAEAEAAPAAETAAKEAEPETAAAPVEAVPAETEAEPEVAAEPEAAAPAEAETKEAEVEAAAAPVEAETKEAEPAAAAEAEVAVPVPTEEAKEEEAAPAVAAAPEAEAAAAEEAAPAEAEVAAPAPEVAAADKADE >Sspon.05G0007010-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:22015355:22018342:1 gene:Sspon.05G0007010-1A transcript:Sspon.05G0007010-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding QMASNTAILESDPLNWGKAAAELTGSHLDEVKRMVAQFRDPVVKIEGSTLRVGQVAAVAAAKDASGVAVELDEEARPRVKASSEWILDCIAHGGDIYGVTTGFGGTSHRRTKDGPALQVELLRHLNAGIFGNGSDGHTLPSEVSRAAMLVRINTLLQGYSGIRFEILEAITKLLNTGVSPCLPLRGTITASGDLVPLSYIAGLITGRPNAQAVTVDGRKVDAAEAFKVAGIEGGFFKLNPKEGLAIVNGTSVGSALAAVVCFDANVLAVLSSVLSAVFCEVMNGKPEYTDHLTHKLKHHPGSIESAAIMEHILDGSSFMKHAKEVNAMDPLLKPKQDRYALRTSPQWLGPQIEVIRAATKSIEREVNSVNDNPVIDVHRGKALHGGNFQGTPIGVSMDNARLAIANIGKLMFAQFSELVNEFYNNGLTSNLAGSRNPSLDYGFKGTEIAMASYCSELQYLANPITNHVQSAEQHNQDVNSLGLVSARKTAEAVDILKLMSSTYMVALCQAVDLRHLEENLKSAVKNSVMAVARKVLTTSLDGDLHSARFSEKALLTAIDREAVYGYYDDPCSANSPLMKKIRAVLVDHALANGEADKDASASVFSKINRFEETLREALPREMEAARVAFETGTAPIANRIKESRSYPLYRFIRQDLGAVYLTGEKLKSPGEECNKVFLALSEGKLIDPMLECLKSRTPLPIGAKRQEEEITP >Sspon.01G0028360-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1A:99176430:99177065:-1 gene:Sspon.01G0028360-1A transcript:Sspon.01G0028360-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGAHAAPPAERAVPRPRGEHQRLSRRRRQPPLSGPLVCRPQRRPLLPLDPRLGGGRLMRGARRPLARLCHCRHRRLPLHLAAQRLHFRRCRPRRRRRRRRGHLPARLALALSRHAMVVVSASGATTDRLGAVEELVSPSAGASMAATRRADGATSATEVRAAPAPPLAATAATTTSATELRGATAPPSASTATATAYFVAATPAASAVAR >Sspon.01G0026560-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:82507024:82508181:-1 gene:Sspon.01G0026560-2B transcript:Sspon.01G0026560-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPPPPKRLCGRSLLDLSDDIIPEILLRLPPHDPRRLVRCSAVCKPWRRLLTDPAFLRRYRAFHGVPPMLGLLFHLELPSSRFLARFVRTTSFRPRTLDHAGCYVRDARHGRILFSNATGEENDHDLFVWSPVMGERWGLHMPSPFQYWSVAVLCAAAAREGGCDCDHLDCHGGPFLVAFVDTDDDGRTYARVYSSETGAWSDATYAQHPNDLADMDMMDPVALVGNRIYFPAAESKTIVEYDLGRRKLAFVDPPLAHQGHGILMPAMGGGLGFASVRGSRLYLWSRETGSDRTAAAWTQSRVLELNTLPDRETRVPLNQPTALGFTEILMEEDVTAVGFAEGLGVIFVRTSAGIFAINLESGQVKKMSSRMPGVVIPYMSFYTP >Sspon.07G0033960-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:63846940:63853455:1 gene:Sspon.07G0033960-1P transcript:Sspon.07G0033960-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLQQLDLSSNAFNGSLPPDLGELPRLAGTLNLSHNRFSGVVPPELGRLPATVTLDLRFNNLSGAIPQTGSLASQGPTAFLNNPGLCGYPLQVPCRAVPPPTQSPTPPAATTTPLPSTASSSDRGHQQPIRTGLIALISVADAAGVALVGIMLVYVYWKVKDRKDNHLGCRDDDDDHSSKTGLCRCMLWRHGGSDDSSDASSGGDDDGGAGKYSSGGGGGEGELVAIDRGFRVELDELLRSSAYVLGKGGKGIVYKVVVANGTTPVAVRRLGGGGGGADRCKEFAAEARAVGRARHPNVVRLRAYYWSADEKLVVTDFVGNGNLATALRGRPGQTALSWSARLKIAKGVARGLAYLHECSPRRFVHGEVKPSNILLDADFTPRVADFGLARLLAVAGCAPDGPPSSGGAGGLLGGAIPYVKPPAAPGTGPDRFAGGGYRAPEARAAAGAKPTQKWDVFSFGVVLLELLTGRGPAADHASPSTSASFSAPVSGSTATDRSGSGEHGGMPEVVRWVRRGFEEDARPVAEMVDPALLRGPALPKKEVVATFHVALACTEADPELRPRMKAVADSLDKIGS >Sspon.01G0043590-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:76017782:76025732:1 gene:Sspon.01G0043590-1B transcript:Sspon.01G0043590-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQEAVAATNGTTAGGRARPHAVVMPYPLQGHVIPAVHLALRLAEHGLAVTFVNTESVHHQIGAGGDIFAAVRRAGATTTTTTEDQQHQELDVRYELVSDGFPLGFDRSLNHDQFMEGVLHVLPAHVEELLRRVVVDPATTCLVVDTFFVWPATLARKLGVPYVSFWTEPALIFNLYYHMDLLTKHGHFKCKARYARTTYEMAQGAAGVVETTRPHAVVVAYPLQGHIIPVVHLALRLAARGFAVTFVSTEAVHHQTARALGVDPDGYDPFAAARAHEEGLLLGDVSYELVSDGLPVGFDRSLHHDDFMGALFHALPAHVEQLLRRVVVDPGATLLVADTFFVWPATLARRLGIAYVSFWTEPALIFNLYYHIDLLTQNGHFRCSEPRKDTITYIPGVASIEPSELMSYLQETDTTSVVHRIIFKAFDEARGADYVLCNTVEELEPSTVAALRADRPFYAVGPIFPAGFARSAVATSMWAESDCSRWLDAQPPGSVLYISFGSYAHVTKQELHEIAGGVLASGARFLWVMRPDIVSSDDPEPLPEGFADAAAGRGLVVQWCCQVEVLSHAAVGGFLTHCGWNSVLESAWAGVPMLCFPLLTDQITNRRLVVREWRAGVSIGDRGAVRADEVKARIEGVMGGEDGAKLREQVKKLRGTLEAAVAPGGSSRRSFDEFVDELKRRCGGTH >Sspon.01G0057450-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:7516296:7516727:1 gene:Sspon.01G0057450-1D transcript:Sspon.01G0057450-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLKKLLALTRLLKKKLTAEGCHRSTGAWTSPGATRAAGRTDAGARGASWSSLSLAARPRATATPWLRVTPQTPPALPDLGGAPSARKRIKVAV >Sspon.07G0019510-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:76350192:76351634:-1 gene:Sspon.07G0019510-2B transcript:Sspon.07G0019510-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQIKPAEVSLEAGNLVGHSAELDDDGRPRRTGTVLTASAHIVTSVIGSGVLSLPWAVAQLGWAAGPPVLLVFGGATYYTSTLLAECYRAGGGGNGDPAATGGRRNYSYMEAVRAILGGWKVSFCGVIQYANLAAVAVGYTIAASISMQAVWRANCFHARGHADACRSSSVPYMIAFGATQIVFSQIPDFHQIEWLSIVASVMSFTYSGIGIGLAVAQTVANGGFRGTLTGVAVGAAGVTVAQKVWSTMQALDNIAFAYSFSNVLIEIQDTIKAPPPSETAVMNKATALSIATTTVFYALCGCMGYAAFGNAAPDNLLTGFGFYEPFWLIDVANAAIVVHLVGAYQVFCQPIYAFVESRAAAAWPESAFISKELRVGPFAPSALRLVWRSAFVCLATVVAMALPFFGSVVGLIGAFTFWPLTVYFPVEMYIKQRAVTRGSTEWICLKALAAVCLVVSVVATAGSIASFVGAFKVFRPFSG >Sspon.06G0003560-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6A:10790255:10793770:-1 gene:Sspon.06G0003560-1A transcript:Sspon.06G0003560-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSLLLPVVTMVASKATDELVQSVTRMWGVDTDCGKLERLLLAVQCMLPDAKVKGETSCTSPVVRRWMKELKAVAYQADDVLDDLQYEALRRDREANEGEPTARKVSRYLTLHSPLLFRLTVSRNLSKVLKKLDDLVLEMHTLGLLEHPVAQHTLCQQKQVVLDGSGEIFGRDDDKEVVVNLLLDHQHQKNVQVLPIIGMEGVGKTTLAKMVYNDHRIQKHFGLKIWHCVSENFEATSVVRSVIELATGERCDLPDDSKFWRARLQGAIGRKRFLLILDNVRDEEQEKWEDELKPLLCTSIGGSGSMIVVTSRNQQVAAIMGTLPTQELACLTEDDSWELFSKKAFSKGVQEQPELSVWLNGCSRLRYLPDGMTTMRKISYIHLLECDSLERMTPKLGLLQKLRTLTTFIVDNGDDLGIEELKDLRHLGNRLELFNLSKVKSGSKVNFHEKQNLSELLLYWGRDRDYDPLDNEEFNKDEEVLESLVPHGELEVLKLHGYGGLAMSQWMRDPKMFQCLRELVITECPRCKDLPIVWLSSSLEVLNLSKMISLTTLCENIDVAEAGCNTSQQIFPKLRRMRLQYLPELERWAENSAGEPCTSVMFPMLEELRIYHCYKLIGSCPSIVHWPVEELRCLPCLRSLNIWYCKNLEGKGSSSEEILPLPQLEWLPIQHCESLLEIPKLPTSLEEMGIHCLNSLVALPSNLGNLAKLRHFSIEDCGEMKALPDGMDGLTSLERLSIEECPGIEKFPQGLLQRLPALKFLEIKACPDLQRRCRQGGEYFDLISSIPNKDIPAVESNIKKFVKKLIPFC >Sspon.07G0015200-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:54366517:54371555:-1 gene:Sspon.07G0015200-1A transcript:Sspon.07G0015200-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAAAPGLLPVAADRDRDKDKDDRRRWAARCGFAVLGIMSTLLVYGVLQEKIMRVPYGVEEEFFRYSLFLVFCNRITTSMVSAMVLLASKKSLDPVAPLHKYGVVSISNILTTTCQYEALKYVSFPVQTLAKCAKMIPVMIWGTIIMRKRYGGKDYFFAVIVTLGCALFILYPASMDVSPFNKGRESTIWGVSLMLGYLGFDGFTSTFQDKLFKGYDMEIHNQIFYTTVATASQFFISYTIRTFGALTFATIMTTRQLVSILLSCIWFVHPLSWMQWVGAAIVFGALYTKSFLRSKPQKPAVASPPRGSSPNPVNNS >Sspon.08G0026760-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8C:31631866:31632314:1 gene:Sspon.08G0026760-1C transcript:Sspon.08G0026760-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding WQILVSITQVLQDGSRPTSTCDQPCATAWPCAAHHLMARAARHLAQPWRREGEEEAGARGHLAAVARGPPAAGAPSRSRWRERDTTTVPPSSLMGEKEGEGRDARGARGGRRRGRGAEGKEGVHGRGAEGKEGARGAKSGLGAAGWGG >Sspon.03G0043330-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3C:79849747:79850394:-1 gene:Sspon.03G0043330-1C transcript:Sspon.03G0043330-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVPCGFNKAGCKEKVPYAGKRAHEAAYCHHAPCACPIPGCAYSGLLLDDHIRDTHRAGAGTGRDDATISFVREATYAMVVRAAGEGEPGPGGALSLSASGPVPCTRRWAGPDHLPPEGFLFMQDAYWSSSGSVSVTVHVKKRVLPPAVCTGSCKRIRYST >Sspon.06G0032950-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6D:6185019:6188439:-1 gene:Sspon.06G0032950-1D transcript:Sspon.06G0032950-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESLLLPVVRGVVRKAADTLVQTVARMWGVDGDRRKLERHLLFVQSLLADAEAKSETNPAVKAWMRELKAVAYQADDVLDDFQYEALRCEAQSGDSKAAKVRRYFSLQSPLRFRLTVSSNLNKVLKRINELVTEMHIFGLVERMEAPQPLDRQTHSALDDSSDIFGRDDDKEVVVKLLLDQKDQPNVQVLPIIGMGGLGKTTLAKMVYNDSNVQKHFELKMWHCVSDNFEATAVVRSITELATNARCDLPDTIELLRGKLQEVIGRKRFLLVLDDVWNEEQQKWEDDLKPLLCSSVAGLGSMIVVTSRSRQVASIMGTLRAHELACLGEDDSWELFSKKAFSKGVEEQPELIKIGKHIVNKCKGLPLALKTMGGLMSSKHQISDWEAIKEDDRVGRDEILSILKLSYMHLSSEMKQCFAFCAVFPKDYVMDKDKLIQLWMANNFIHADGTTDFVQKGEFVFNELVWRSFIQDVNVEANDEHCFVPYKIIGCKMHDLMHDLAKETTDECAFAEELVQQKASVNSLKVGQRPISHEKKNLTELFLNWGCDPEYSLSQDVINNNEEEVLESLAPHVELKTLGLFGYAGLSISQWMNDPQMFQCLRELYISNCPRCKDLPLVWLSSSLEKLYLRRMDSMSVLCKNVSMEATASLAIFPKLKTMWLEALPELERFAENSAGEPNSLVVFPQLEQLHIIDCNKIAALPESPGLTYLNCYSRKPEEGLVPMGMPLGSSPYLVRLDIGMLVDIVMPVKYHQNQSQRPPLDSLRSLCVRSDNGFISVFNSYKLQLGLGDCLASVERFVISSCHNIARWPVEEFRCLVGLRSLDIRECHRLEGKGSSSEEILRMPQLERLNIYSCASLLEIPKLPDSLGEMVIRWCKRLVALPSNLGDLAKLRHLILWGCDELKALPDGMDGLTSLERLRIGSCPGIDKFPQGFLQRLPGLMFLEIKYCPDLQRRCRE >Sspon.03G0006990-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:34661209:34661841:1 gene:Sspon.03G0006990-3C transcript:Sspon.03G0006990-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding EMATEIQGYSSDDDIYVLSFDEDYFDATLTESGGRVESWVAETYRIHRSCRHPLVVGLDVEWRPAAPVPGPVAVLQICIVRRCLVFQILHADYVPDALSRFLADPRFTFVGVGVRDDAAKLRVGYGLEVPRAVDLRALAADTLGKPHLRRAGLQALVREVMGVEMDKPHHVRVSDWDTRNLSEDQFKYACADAFASMEVGWRLYTCNCDDA >Sspon.01G0010410-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:29230730:29235245:1 gene:Sspon.01G0010410-3C transcript:Sspon.01G0010410-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRLIPSLNRVLVEKLLQPKKSAGGILLPETTKQLNAAKVIAVGPGDRDRDGKLIPVSLREGDTVLLPEYGGTEVKLAEKEEHDILGKLEE >Sspon.02G0013860-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:14939100:14941361:-1 gene:Sspon.02G0013860-1P transcript:Sspon.02G0013860-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSATTQPWRSLLCCVSGGAVSGDDDGPSTQRRRGSRSRRRDRGDRLLLLPSSSASRVSLSSLSSSAGTLTPEDLSLTLSGSNLHAFTYAELRAVTGGFSRANYLGSGGFGPVYRGRVDAGLRKGLDAQQIAVGAAKGLAFLHDADTPVIYRDFKASNILLDEPDKLYRVMDPAMECQYSCQGAERAAMVAYKCLSQNPKSRPTMREVVQALEPVLDMDDYLQIGPFVFTVIVEDRNDRSKESEGKVIVDGEKVDMTIETTVEEKQHQMSHQDRHRQKFPNSAVHADVVLHRDRDGGELGLHISALRRHRRTSSYVKERGA >Sspon.04G0006240-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:17144078:17154946:1 gene:Sspon.04G0006240-3C transcript:Sspon.04G0006240-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLSALLLLFFLCLCAFPAPARSQNTTTATAAPASVEGFNCSANRTYPCQAYALYRAGFAGVPLDLAAIGDLFAVSRFMVAHANNLSTAAAPANGQPLLVPLQCGCPSGSPNSYAPMQYQIASGDTYWIISTTKLQNLTQYQAVERVNPTLVPTNLDVGTMVTFPIFCQCPAKADNATALVTYVMQPGDTYASIAAAFSVDAQSLVSLNGPEPRTQQFAEILVPLRRQVPNWLPPIVLRNNASATPPSPPPSASPNATVVSNDRNGVVTGLAVGLGVVGALWLLQMLLLACLCRRLKAKGRRGDAVASGDGVEGGMFAKNSSAAAAGGAGERFLVSDISEWLDKYRLFKVEELERGTGGFDDAHLINGSVYKADIDGVVFAVKKMKWDACEELKILQKVNHSNLVKLEGFCINSATGDCYLVYEYVENGSLDLWLLDRDRARRLNWRARLHIALDLAHGLQYIHEHTWPRVVHKDIKSSNVLLDSRMRAKIANFGLAKTGHNAVTTHIVGTQGYIAPEYLADGLVTTKMDVFAYGVVLLELVSGREAADESGEPLWADAEDRLFRGRDERLEARVAAWMDPALAEQTCPPGSVASVVSVARACLHKDPSKRPSMVDVAYTLSKADEHFADYSGESVSVDGSGEIAAR >Sspon.08G0007960-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:28654238:28655506:-1 gene:Sspon.08G0007960-1A transcript:Sspon.08G0007960-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding TMAFALSLKPTRLVICALLCIFLSSELSLVRHATALGINYGQVGNNLPSPPQVVQLLSSLRIGKVRIYDVNPQVLSAFAGTGIELIVTVPDDLVPGMASSASQALQWVSASVRPYFPATRVTGIAVGNEVFTGDDEQLKASLVPAMRNLHAALAQLGMDAYVRVSTANSLAVLATSYPPSQGVFTQAAAPYMAQLLRFLAETSAPFWINAYPYFAYKDDPTRVSLDYALLNPSHVGAVDPFTKLQYTSMLYAQVDAVTFAAARLGYGNVAVHVSETGWPSKGDANEAGATVENARQYNRNLLMRQVSGEGTPLRPRLRLEVYLFALFNEDMKPGPTSERNYGLYQPDMSMVYNVGLSQLSTMSAASLSLATSPAART >Sspon.08G0006450-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:20123530:20126949:-1 gene:Sspon.08G0006450-1A transcript:Sspon.08G0006450-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQFDILHLRPHPYPGLQGSKVRGAYVIARSQPRNRGPALPLVTTSGHRLPRQAYRRQISRGQANQGPCFFGSSTHLTRSLLTIPGPESDAVKLRLSLPRKCPELSRTPIVVLLNEFLRFAQILISGFGQ >Sspon.08G0008230-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:26969777:26972312:-1 gene:Sspon.08G0008230-4D transcript:Sspon.08G0008230-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKRILKELKDLQKDPPTSCSAGPVAEDMFHWQATIMGPSDSPYAGGVFLVSIHFPPDYPFKPPKVAFKTKVFHPNINSNGSICLDILKEQWSPALTVSKVLLSICSLLTDPNPDDPLVPEIAHMYKTDSAKYESTARSWTQKYAMG >Sspon.01G0034540-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:5205448:5210692:1 gene:Sspon.01G0034540-3D transcript:Sspon.01G0034540-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQAPQTDDDGLLDCGVMEDVAVGDLDLMEELFMAVPGFDFSDFSQPGAGGASPGACFSPLFDICSTTTTATPPPAGADDDRDHQVATTPARRGWVFQPRQEVEATVKERLRRALERIASLSQTQPGELLVQVWVPTVIGDRQVLTTCGQPFWLDRRNERLANYRTVSMKYQFSADETARTDLGMPGRVFVGRVPEWTPDVRYFSTEEYPRVRHAQYFDIRGSVALPIFEPRSRACLGVVELVMTTEKVNYNAEIQNICSALKEVDLRSSDVSSDPRAKVTDTSYRAIIPEIVDVLRTVCETHKLPLAQTWIPCICQAKRGSRHTDEKLKDCVSTVDEACYVRDLKVKGFHEACSEHHLFRGEGVVGRAFGTNEPCFSEDITTSSKIQYPLSHHAKLFSLRAAVAIRLRSIATGSLDYVLEFFLPVDCIEIEQQRAMLNSLSITIQQTCYTLRVVSLKELVDEGSIETSALAPPEYAKTMHENLDEVCSGIDVPARTASLETSEEVSSWIASLVCAQNKGVKEMDGDLPFGFGKQEDEGFSVTAGWHTSPVIGPEGSIFSGFKQHEEYKVKEVTCLRDPSSSNLEKTVEKRRTKMEKTVSLEELRKHFAGSLKEAAKNLGVCPTTLKRICRQHGINRWPSRKIKKVGHSLKKLQMVIDSVHGSEGTVQLSSLYENYTKTTWSERESLGDATYPLSEEKGLSEPSVPDRHCEGRFTSHTSGSNSLSPSCSQSSNSSHGCSSGSKSQQHGSAPQLAVKKEVFMEVNQSSTLLKDASHAELQMFPEERPVTLPRSHSQMIFSEQKPVENMPAMQMSKPDSLKIKAMYGEERCIFRLQPSWGFEKLKEEILKRFGVAQEMHVDLKYLDDESEWVILTCDADLLECIDVYKSSSTQTVRILVHSNGQ >Sspon.01G0028300-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:99017565:99021563:1 gene:Sspon.01G0028300-1A transcript:Sspon.01G0028300-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARWRPAALLVVALAAVLSAAWRADALSVTVTDTECIHEFVPYEGDTVSGNFVVVDHDIFWSSDHPGIDLTVTSPGGNTVHTLKGKSGDKFEFKAPRGGMYKFCFHNPYGAPETVSFYIHVGHIPNEHNLAKDEHLDPINVKIAELKEALESVTAEQKYLKAREARHRHTNESTRKRVMFYTMAEYIAFMAASALQVLYIRRLFSKNVGYNRV >Sspon.05G0025290-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:30532667:30533869:-1 gene:Sspon.05G0025290-3D transcript:Sspon.05G0025290-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MANRARWMMKYEKGLVDILHENNNSHYRTPNGWRTEGWRKIVRDFTVRYPEAKFSKSQIQEHETQLKKDYKVIKSVLQRDGVSWDQSASMVRTTDEIWDEIIEDMPKARKYQSKSFPLLDSLELLFDGPIPEGGQKSPSSIPQNVGGNVDDGGNNISRVPGLSERPSGNTSVNEAWNNISLLQQTALGPQGTDDLDMLQNRDEEVLERLQHGADPRPQRADEQAQSSSCVELQRDRRKKRKVPDIQQIMETYLNFRMKQARVKEQRAKDADQFTISSCIKALHTMADVSDEVKVLASDVFKDAENREIFLSYEPRLRTLWLKREVGKLLS >Sspon.07G0012330-2P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3C:68409709:68415342:1 gene:Sspon.07G0012330-2P transcript:Sspon.07G0012330-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGARGYFFVTVAAVVLALLPASAIAGGLKVGFYNKSCPSAEALVQQAVAAAFKNNSGIAAGLIRLHFHDCFVRGCDGSVLIDSTANNTAEKDAVPNNPSLRGFEVIDAAKTAIEARCPKTVSCADILAFAARDSVALAGNLTYKVPAGRRDGRVSRDTDANSNLPSPLSSAAELVGNFTRKNLTAEDMVVLSGAHTVGRSHCSSFTNRLYGFSNASDVDPAISSAYAFLLRSICPSNTSRFFPNTTTDMDLITPAVLDNKYYVGLTNNLGLFTSDQALLTNATLKKSVDEFVKSDSKWKSKFAKSM >Sspon.06G0000880-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:1557921:1559909:-1 gene:Sspon.06G0000880-2B transcript:Sspon.06G0000880-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWALHSPCFMAPLFWVTLLLVVVAPADAFYLPGSYMHTYSQGELIYAKVNSLTSIETEMPFNYYSLPYCRPKGGIKKSAENLGELLMGDQIDNSPYQFHVNANESVFLCTTKGLNENDAKLLKQRTRDLYQVNMMLDNLPVMRFTEQNGVTVQWTGFPVGYSPAGTSDDYIINHLKFKVLVHEYKGGKVEIIGTGEEGSAVISETDKNGMSGYQIVGFQVVPCSVKRNAKDFSKLNMYDKIDPVDCPVELKKSQVIRQQERITFTYDVEFVKSDIRWPSRWDAYLKMEAGAKVHWFSIMNSLMVILFLAGIVFVIFLRTVRRDLTRYEELDKEAQAQMNEELSGWKLVVGDVFREPTCSKLLCIMIGDGVQILGMAVVTIVFATLGFMSPASRGMLLTGMIVLYLFLGIASGYVSVRFWRTIKGTSEGWRSVSWLTACFFPGVVFMVLTVLNFVLWKSESTGALPISIFFTLLALWFCISVPLTLVGGFLGTRAEKIEFPVRTNQIPREIPARKYPSWLLVLGAGTLPFGTLFIELFFILSSIWLGRFYYVFGFLLIVLLLLVAVCAEVSVVLTYMNLCVEDWRWWWKAFFASGSVALYVFLYSINYLVFDLRSLSGPVSAMLYVGYSFLMAFAIMLATGTIGFLTSFAFVHYLFSSVKID >Sspon.06G0005850-3D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6D:18254380:18254874:1 gene:Sspon.06G0005850-3D transcript:Sspon.06G0005850-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ATATPVAQAPSLVTEKKNGDGPVETQKQEASVGGGAAIKCAANGCGFFGSTATKNMCSGCYKDFLKDAHAAPAAEDKVVLAAEQPAAAQISASTSSAAPAVEAPPAVKSAAPNRCASCRKKVGLLGFPCRCGGTFCSLHRYAEKHACDFDFKAAGREKIAKNNPL >Sspon.03G0032640-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3B:38958139:38958324:-1 gene:Sspon.03G0032640-1B transcript:Sspon.03G0032640-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSATTAAVPFWRAAGMTYIGYSNICAALVRNCLKEPFKSEAASREKVHFSISKWADGKQEKP >Sspon.05G0009370-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:22204356:22206390:1 gene:Sspon.05G0009370-2B transcript:Sspon.05G0009370-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLVRFLMKLNNETVTIELKNGTVVHGTITGVDISMNTHLKTVKLTLKGKNPVTLDHLSVRGNNIRYYILPDSLNLETLLVEETPRVKPKKPTTGLVSRPLKALGAWSRPWSWTWSGPGATLSFTVPLLLALLPDEFPVQCNLFPLHAM >Sspon.01G0031300-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:107512989:107516064:-1 gene:Sspon.01G0031300-1A transcript:Sspon.01G0031300-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSRSNSRSRSRSHSRSRSRSRSPRRRDRLRRERAPRRSRSHSRSRSRSRSPYRRRERRGYRDLVCKNCRRPGHFAKECPSAPTCNNCNLPGHFAAECTSQTVCWNCKESGHIASECKNEALCHTCNKTGHLARDCPTSGANVKLCNKCFKPGHIAVDCANERACNNCRQPGHIARECKNDPVCNLCNVSGHVARVCPKTTLASEIQGGPFRDILCRICGQPGHISRNCIATIICDTCGGRGHMSYECPSARIFNRGLRRF >Sspon.01G0000500-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:40900050:40901459:1 gene:Sspon.01G0000500-3D transcript:Sspon.01G0000500-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPCDLPVQQQQAAALLLACTLALLAVPLRPCRAQQQPPSPGYYPSGMFRALGFSEAYRTLWGSQHQTVSPDGKSLTLWMDSSSGSGFKSARAYRNGYFGASVRVQPGYTAGVNTAFYLSNSEEYPGHHDEIDMELLGTVPGEPYTLQTNVYVRGSGDGSIVGREMRFHLWFDPTADFHHYAIIWNPDQILFLVDDVPIRRYERKTEATFPDRDMWAYGSIWDASDWATDGGRYRADYRYQPFVSRFAGLKIGGCAADASTGCQPVPASPASGTTALSAQQEAAMRWAQRNSMVYYYCLDASRDHALYPEC >Sspon.05G0008360-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:25256491:25263228:-1 gene:Sspon.05G0008360-1A transcript:Sspon.05G0008360-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VMSGGSTPSSRRNSFNSLSRDLDLPSEQGCLSIVVLGASGDLAKKKTFPALYHLFDQGFIQSGEVHIFGYARSNLSDDGLRERIRRYLKGAPEDLSEFLQQIKYVSGSYDTGEGFEKLNKAISEYEASNKSGSYRRLFYLALPPSVYPSVCKMIRTYCMNPASQPGWTRVIVEKPFGKDLDSAEELSAQLGELFEEHQLFRIDHYLGKELVQNQLVLRFANRLFLPLWNRDNIDNIQIVFREDFGTEGRGGYFDQYRIIRDIIQNHLLQVFCLIAMEKPVSLKPEHIRDEKVQVLQSVNPIKPEEVVLGQYDGYKDDPTVPDDSNTPTFASVVLRVHNERWAGVPFILKAGKALNSRKAEVRVQFKDVPGDIFKGKKQRRNEFVIRLQPSEAMYMKLQVKKPGLEMATEQSELDLSYGMRYQNIKIPEAYERLILDRIRGDQQHFVRRDELQAAWQIFTPLLHDIDDGKLKALRYEPGSRGPKEADELSARVGYVQTHGYVWVPPTLA >Sspon.04G0009960-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:29702612:29702943:1 gene:Sspon.04G0009960-1A transcript:Sspon.04G0009960-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding HADRRHVFLGRRHPRHLRRPHQHAHHRPYPAWLRRGLHQPVCTRVPGGDRTGTVARCVHDLLPLLQRGHVHGGHGEL >Sspon.03G0036660-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3B:92052916:92053142:1 gene:Sspon.03G0036660-1B transcript:Sspon.03G0036660-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSEEHDSGNSGLENHDSGMASKDSNDESRQREPLGQRAVQLSAICANFPICEINGYDRRQGRCIYVQREGEVQE >Sspon.03G0009900-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:33206972:33215367:-1 gene:Sspon.03G0009900-2B transcript:Sspon.03G0009900-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDADVAKQIQQMVRFIRQEADEKANEISVSAEEEFNIEKLQLVEAEKKKIRQEYERKEKQVEVRKKIEYSMQLNASRIKVLQAQDDLVNKMKDDAMKELLRVSHNHHEYKNLLKDLIVQGLLRLKEPAVLLRCRKEDHHHVESVLHSAKHEYASKADVHEPEIIVDHDVYLPPAPSHHDAHGQFCSGGIVLASRDGKIVFESTLDARLEVVFRKKLPEIRKLLFGQTAA >Sspon.04G0033920-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4C:67171957:67173453:-1 gene:Sspon.04G0033920-1C transcript:Sspon.04G0033920-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRAQAEVREKLQGKTTVTEDDLVHLKYIKLVIKETLRMHPVVPLLLPRECRESYKVMGYDISKGTIVFVNVWTLGRDPKYWDDAATFKPERFEAGTVDSKGTDYEYTPFGVGRRICPGMAFAQASIMELVLAALLYHFDWKLPGGMLPSEVDMTEEMGINVRRKHDLYLHPVVCVPPHA >Sspon.07G0021300-3D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7D:2539236:2540366:-1 gene:Sspon.07G0021300-3D transcript:Sspon.07G0021300-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVGGSSSSSRAWTQRQNKQFECALAVYDRETPDRWHNIARYMGGTKSADEVRRHFEQLVHDVTQIEAGRVPFPRYGYGSAPPVAGGGLDDMAATRYTYLVIVNQFCSSELVRQFGKKKEE >Sspon.02G0034390-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:12408936:12412577:-1 gene:Sspon.02G0034390-1B transcript:Sspon.02G0034390-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGDSIEHGVNHPGSVKMSDSHETDRNIEIWKIKKLIKALESARGNGTSMISLIMPPRDQIARVAKMLGDEYGTASNIKSRVNRQSVLAAITSAQQRLKLYNKVPPNGLVLYTGTIVTEDGKEKKVTIDFEPFKPINVSLYLCDNKFHTEALNELLESDDKFGFIVMDGNGTLFGTLSGNTREVLHKFTVDLPKKHGRGGQSALRFARLRMEKRHNYVRKTAELATQFFINPATSQPNVAGLILAGSADFKTELSQSDMFDQRLQAKILNVVDVSYGGENGFNQAIELSAEILANVKFIQEKKLIGKYFEEISQDTGKYVFGVDDTLKALEMGAVETLIVWENLDINRYTLKNSSTGEITIKHLNKEQEADQSNFRDPSTNTDLEVQEKMSLLEWFANEYKKFGCSLEFVTNKSQEGSQFCRGFGGIGGMLRYQLDIRSFDELSDDDDLYEDSD >Sspon.04G0032470-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:28160033:28160756:-1 gene:Sspon.04G0032470-2D transcript:Sspon.04G0032470-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AMAQQKIVIKVPMASDKSRSKAMALVAAVGGIDSVAIDGGKDHVVVVGEGVDSIKLTSDLRKKMGDAQLVEVGEDKKKPDPVADAVAAYAYYNQYYYPYPPPPPPAGVVYDYDPYGYSRPGGTCSIM >Sspon.08G0004160-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:11970192:11971265:1 gene:Sspon.08G0004160-1P transcript:Sspon.08G0004160-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKKSVGNLTEAELKGKKVFLRADLNVPLDDDQNITDDTRIRASVPTIKFLTEKGAKVILASHPGRPKGVTPKFSLKPLVPRLSELLEVDVVMANDCIAEDVVQLVASLPDGGVLLLENARFYEEEEKNDPEFAKKLASVADIYVNDASAHRALLESEGLGGVFAVDINDARAADGHHISSDDDDGVSSELPVGKSRRTLPGDFAYEITTEDKKVIHLISSDDGRRASTKVQEGKGLPGVFDWSGEDGSLVSGESRVED >Sspon.06G0027450-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:85509612:85511107:-1 gene:Sspon.06G0027450-1B transcript:Sspon.06G0027450-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKTVKYFMDFVRLVVERVSDLVDYWVVFNEPHVFVMLTYCAGAWPGGDPNAIEVATSALPTGVYNQALHWMAIAHAEAYDYIHSESKSKRKPIVGVAHHVSFTRPYGLFDVAAVTLANSLTLFPYIDSICDKLDFIGINYYGQEVISGPGLKLVDDDEYSESGRGVYPDGLFRILIQFNERYKSLNIPFIITENGVSDETDLIRKPYILEHLLAIYAAIIMVVTTGKITRQDRLGAWRELQQAAFQKKTRPFFRAVDKHGRMYA >Sspon.08G0002690-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:4516734:4517655:-1 gene:Sspon.08G0002690-2B transcript:Sspon.08G0002690-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SMALAPAPLQCFLTGSSRPVCTATTPKHARRPSRISCKAAGDDKDKVPSGGNDLGVKLGKLAMVALAAGVLVLGPVDGAMAAKSGGRVGGQAFRSAPRSSGPRINNSRTNIYINPPVAPPLGGYGYGSPFFGGYGYGWSPFSFFAPGPSVAVGVGGGFDTLVLFLVLGAIVGAVRRFLNRNNDDYDDY >Sspon.08G0003500-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:8185076:8187550:1 gene:Sspon.08G0003500-3C transcript:Sspon.08G0003500-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SVR8 [Source:Projected from Arabidopsis thaliana (AT5G54600) UniProtKB/TrEMBL;Acc:A0A178U954] MAGMAALQGAMASLSVSAAGAASTSSFWGSRLATYSAPQHGVRFMVKICPIEMRLKRWERKKCKPNSLPVLHKMHVRIGDTVIAGREKGKVGEVTRLFKHNSTVIVKDLNLKSKHKKGTDDEPGEIVMIEGPIHSSNVMLYSKEKSVASRVGHKFLEDGTKVRYLVKTGEVIDSVEKWVKVFKEGNSE >Sspon.01G0038280-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:29433572:29437798:1 gene:Sspon.01G0038280-1B transcript:Sspon.01G0038280-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPADAGDFLLDEDDDDFFGEFNPHPYQGGYDLAATFGTPLPPSSNICYPVSSSAATAVPAIPSPPSPTPEPEGPRPEEPYGNEEALRDLAHEIPPNGAARKGKVRRGGRGFWKKCVRVLDYLFCYKEPYEERRIVVDSYVVPVCAIRKESGEDALSVEVEVAPPSVGIVEADDATGELVQTNDLSWHSNNRDEADTYSQSMSNSYYTPSFAQSYGLHGVLGKPDWFLNFSYSESHQAEELQHEAALSYGIECKISDQPIHCYHHHCYIQSLDVQVEPPEPVSSERLEYYEHSLISGEYGGIGSLFISPFYPGETESFQLAPGHEHASFEHNWHNLRYRNMPMDDVSLITQSAEDSYSMMNGSSWPFEEHSAYNV >Sspon.03G0022340-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3B:90973412:90974962:1 gene:Sspon.03G0022340-2B transcript:Sspon.03G0022340-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIVSWLLGFFGRYPELMVSLACFLLLFHRLNRRDGLPTNWPVVGALPAITINTGRVHEWLTEFLRAAGLSYVIKGPWGSPVDVIVTADPANVAHVFTANFGNYPKGKEFAQLFDVLGDGIFNADGESWAFQRRKAHALLSDVRFRAGVAASTARKLRDGLVPLLDGLAASGAVVDLQDVFVRLTFDLTAMFVFGIDPGCLAPDFPYVPFAAAMDTIEEVLFYRHVTPVPWLRLQKFLKTGHGKKMRNARRVLDASIAEFISLRRGRAAESESTGEASEADLLTSYLACQDEIGMSGAEFDRFLRDTTFNIMVAGRDTTSSALTWFFWLLTKHPDVEAKILEELSAHLPSSGADGHRTTAELKQLVYLHAALSESLRLYPPVPFEHKAAARPDTLPSGARVGPSRRVIVSFYSMGRMEAVWGKDCSEFRPERWLTAAGRFRHEPSYKFVAFNVGPRTCLGKDLAYSQMKAVVAAVLPRFRVEADAGAVVRPKLSIILHMKDGLKVRVHKREEDGLA >Sspon.02G0006620-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:20878854:20882303:1 gene:Sspon.02G0006620-2C transcript:Sspon.02G0006620-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DEAD-box ATP-dependent RNA helicase 32 [Source:Projected from Arabidopsis thaliana (AT5G54910) UniProtKB/Swiss-Prot;Acc:Q9FFT9] MRRPKRRVAAKQTRLREVDEIHLLNEWIDAGKPLPRTIPPPPSESAGPAPAAGEHPEYGACTLFDELPLSQKTKDGLRKARFTKMSEIQRAALPHALCGRDVLGASKTGSGKTLAFVIPVLEKLYRERWGPEDGVGCIILSPTNDLAGQIFKVITEVGKFHNFSGGVIVGKRKGIELEKERVNSLNILVCTPGRLVQHFNETANFDCSQLQMLVLDEADQILDRGFRSQVDAIISQIPKVRQTLLFSATQTKSVKDLARVSLRDPEYISVHEEARTATPDTLEQYAMIVPLDQKLNMLWSFIKRHLNSKTIVFLSSVKQKLRPGIPLKCMHGKMGYVVQQAIVADFNETTSVLFSTDITSRGLDIKNVDWVVQVDCPENIDNYIHRVGRTARYNKKGKSLIFLCPEEEAMLEKLKAAEIVLDRLERPFKKKKLKINVNRPSGTRVKYDDEGNAIPPLASVAEEISLEPVVHKDKISQRYAEMLREMQEHDKEDKFEHKKSLREKKLQKKMKLKRKRHEETEAGSEDDSGSESDRANKGKKRYFNSDDEENDVAKDGDVLAQQEALALKLLSKMHS >Sspon.07G0001290-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:2971728:2976762:1 gene:Sspon.07G0001290-1A transcript:Sspon.07G0001290-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLSCPGVSSMSKTAFDLGQLVAPCRSRCPGARAATRRRRTPCVCFVASLATTQPGPAAVDVPSRMIPTVATASAPERISVSSLLEVVSDDLLNLNNNLKSLVGAENPVLVSAAEQIFGAGGKRLRPALVFLVSRATAELAALSELTTEHQRLAEIIEMIHTASLIHDDVIDDSGMRRGKETIHQLYGTRVAVLAGDFMFAQSSWFLANLENIEVIKLISQVIKDFASGEIKQASTLFDCDVTLDDYLLKSYYKTASLIAASTRSATIFSGVDTTICEQMYEYGRNLGLSFQVVDDILDFTQSAEQLGKPAGSDLAKGNLTAPVIFALRDEPRLREIIDSEFSEPGSLATAVELVHRSGGIRRAQELAEEKGALAVQCLQCLPRSEFRSALERVVQYNLQRIQ >Sspon.01G0013820-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:62149850:62152096:-1 gene:Sspon.01G0013820-1P transcript:Sspon.01G0013820-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHPWRHPGPGAAVAAHHGAADLCLREVGDLLPRRFARRAAGSEDLVMRLQIQRKLDRHTGCVNTVSFNEVGDILISGSDDQWVMLWDWDTGTVKLEFHSGHGGNVFQARFMPCSDDQTIVTCAADGEVRLAKIQDGGDVSTTLLGEHGGRAHNLAIEPGSHYIFYSCGEDGLVQQFDLRTNTASKLFLCRSSFIKSVSSTRVHLNAIAIDPRNPNLFAVGGSNAYARMYDIRKCKWDGSSDFGRTSDCYCPPHLVDDKSVGITGLAFSHLSELLVSYNEENIYLFPKNGGLGSDPKKSVKIGADEGCKSTMLASGHDVSQPAPQVYVGHRNCETVKRVTFIGPNDEYVASGSDCGRMFIWRKRDGKFLRAMEGDECIVNCIEPHPHAMTIASSGIDNDVKVWTPSAIERAPVVNVDEVISPGHLCPLIISTFRLQPTYILRIVEKLQRSAEDDSSEDHEDNTGLLNLVLRAAIG >Sspon.01G0039100-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:32697164:32699536:-1 gene:Sspon.01G0039100-1B transcript:Sspon.01G0039100-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATEVLSRSLKDGRGNFKKTLEKDTEGLLSLYEASHLAFEGDHLLDEARVFSTEALRELRPSMHPHLRSSVDNALAVPLHWAAPRWWRNANLSENLPFARDRLMECFYFATGVAPEPSLEACREVVAKTFALIVLLDDIYDIYGTLDELASASEQLPEYMKAIYLTIVSTSNEVADHVFRQEGCDATFLLKKAWHYSNYKPTLHEYLENGWISVSGPLMLIHAFPMIEKKGVTPNSIQQLESYPKLVQMEELKRGDAPSSIAIYMSENRATEHDARKAMRDLTMETWKMVNQDAFSKCRFPLPFANACVNMARIAHCIYRGGDGISAPDDARRLEIKQLFLEPFKVEN >Sspon.04G0001250-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:5092042:5092849:1 gene:Sspon.04G0001250-3C transcript:Sspon.04G0001250-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGYWAASGPLGTFTWASKQPAQQSVAFINPPTHSRSSFGAGEPPPPPPPPPQQPESLVRSSEHHSSGSAAAAAAMGKVHGSLARAGKVRGQTPKVAKQDKKKKPRGRAYKRMQYNRRFVTAVVGFGKKRGPNSSEK >Sspon.03G0019600-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:61071929:61073681:-1 gene:Sspon.03G0019600-1A transcript:Sspon.03G0019600-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLNHQQVLIKKVLAKKTNTKRMSGFGLKPSAALLKSRPQQQQPPAPVQPRRRVRVLFEDPDATDSDSDDEEAGAPTGPAANTKRFSFEAATPTASSSSSTSTASFAHPSPSSVIESTKAAAPNPQSPELVATPTPPVVLPLPSTESAQVPDDPEFYQDLLRGLQLPDIDPMDFRAGLDALDVSDAAFCLDDEQDLLLGDLGDEELDIDLDLDDINDVFPEFPGCDLGRGMDDFLQTVDFCCVSISKH >Sspon.03G0004460-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:11445228:11447569:-1 gene:Sspon.03G0004460-1A transcript:Sspon.03G0004460-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGERRRRASAASLGGGSGGVAPARAEEDKATPAPGKAPPPTVWFALKRSLHCRSEPSEVHVPRAKAGPVTGGGGGAAVGGAHLSSIVTKRATRSGCSRSIANLRDVIHGSKRHPGQPPSSCSPRSIGSSEFLNPIAHEVVLSTNSRCELKITGFGAGCGGLAAVGVGVGAGTGADGGVVSSFVGTLRPGTPGPAWAGAGGHGLPYSGSVRGGGVRCTPPRSPNVLLERNGSVVNGNGHRASCDETGTKHGAGKGSSGGLSCHRCGEQFSKWEALEAHHLSKHAVTELVEGDSSRKIVEIICRTSLLKSESSCIRIERVFKVHNTQRTLARFEEYREAVKLKASKLPKKHPRCLADGNELLRFHGATLSCALGGSGSSSLCASDKCAVCRIIRHGFSAKKEGKAGVGVFTTSTSGRAFESIEAGDDGADQQLGTTTRRALLVCRVIAGRVHKPLDNLKEFVGQTGFDSLAGKVGPYSNIEELYLLNPRALLPCFV >Sspon.08G0004350-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8A:12539117:12539274:1 gene:Sspon.08G0004350-1A transcript:Sspon.08G0004350-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWWGENGCDLVGDQVSQVSAIRGTRVQATSLAFSSPTTETGRKVCWSSVGS >Sspon.06G0006080-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6A:20513166:20513459:-1 gene:Sspon.06G0006080-1A transcript:Sspon.06G0006080-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPYKFLSKKQRASRWQVAAAHHPPASSASASQRSPSRVTSRCADSLAGASSQARSRRAFDTSRWFHLGRRKGWHLAEAIRRVMDGVQDANPDAARL >Sspon.06G0018090-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:97793470:97795353:-1 gene:Sspon.06G0018090-1A transcript:Sspon.06G0018090-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGFEVIEIIVDVENCLQAFVGVAPDPRSIIIAFRGTQQHSVANWIEDLFWKQLDVTYPGMPDAMVKFGSKEVELMTFGQPRIGNPAFAVYFGEQVPRTIRVTHQNDIVPHLPPYYYYLGEWTYHHFAREVWLHESIDGN >Sspon.03G0007710-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:27922653:27926194:1 gene:Sspon.03G0007710-2B transcript:Sspon.03G0007710-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VVEFPVLGALGYYGQVTGKVDQIGAFGKEIYQVRFYQGERTGKDFHKADNNRYSTGEDRTLSENSPVISEPVLVNVHKNVAVNGKDENASDRAGQQDLQNQSIVESKSSAPGQLGEDQAAAKAQAAFRGYLARRSFRTLKGIIRLQALIRGHLVRRQAVSTLRTTWLIFVEEMLDFSGAHMQFIVKFGQRNFGGVGSSDAWKEKLSSNAYVRKLLSSPIVLEPLHFQYDKRDPNSTYNWFERWTIGCIWKPAFQPKRVADGKPLVKKASYAMETESAKLKRNIRKGSAAIAGSFHTSGESDKVKRNPKKFSIFPADSVPDSQLSELEKVKRNLRKVTDSMAEASKISSSRVDSSKVCDSTADVPKEFNPVAEISKIPSLLSGISDHQDIQCENTREASFPLETKECSDNDHLLRYSNMDSLDLVPGLKSDQEIQLDSLSVGENVDDPTVVAPAVEEMPLQNIDIEDNVLWKKQEARSKEEHLSNGSLRTSKRKSSFPSKSEYVENGTHATPVQPRQPSYMAATESARAKLRAQNSPRLDSDSSAEKNGFTRRHSLPSSTKSRAIKAEWKR >Sspon.07G0007330-3C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7C:14862617:14864309:-1 gene:Sspon.07G0007330-3C transcript:Sspon.07G0007330-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding KTGQSDSELRRARQPMEELQAAVTAHLDQVSGLVQALSSELRRGMGPAADNLRGFIRAVDWTEPWLMCLMAFHVVLLLTAVAFRRNANFQLFLLFLAYSGVYLAEKMNIYLGEHWKSFSSRNYFDRSGVFISVVWSGPLIFISIVSVISSLIALCRLMVKWKRAELRHRARLARDKKD >Sspon.02G0010700-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:28047135:28048648:1 gene:Sspon.02G0010700-2B transcript:Sspon.02G0010700-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTGAAAWLPSTASRRRILASPLPSFAAPISIHMPRRAPLTSTIPQKPRLVVASAQFDFSRGNDGPHISWFPGYEPKGGVRL >Sspon.04G0008920-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:25937703:25940502:-1 gene:Sspon.04G0008920-1A transcript:Sspon.04G0008920-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEARLPAASEMDGGAGEEGKQERHLVLAHKLFLLSHHAVDDLSKVALRAEVLDTVKSDDMATLFESLVAAGVLEADAALLAEMRGRIDEEIRKLDEKIADAEENLGESEVREAHLAKSLYFIRVGEKEKALEQLKVTEGKTVAVGQKMDLVFHTLQIGFFYMDFDLISKSIDKAKNLFEEGGDWERKNRLKVYEGLYCMATRNFKKAASLFLDSISTFTTYELFPYDTFIFYTVLTSIITLDRVSLKQKVVDAPEILAVIGKVPHLSEFLNSLYNCQYKSFFVAFSGLTEQIKLDRYLQPHFRYYMREVRTVVYSQFLESYKSVTMEAMATAFGVTVDFIDQELSRFIAAGKLHCKIDKVAGVLETNRPDERNAFYQATIKQGDFLLNRIQKLSRVIDL >Sspon.02G0024820-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2B:87082443:87083932:1 gene:Sspon.02G0024820-2B transcript:Sspon.02G0024820-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDRLIESAAVLCATHGCDHLDCHGGPFRVVFMVNHDYKPTIFACVYSSETGAWSQPISLDNSCEYYAQHMQEGLAVRSYYLPYLQPRRGTHIGDAIYNTIQLDNTIVKFDWCKDRLSLIRPPSKDVYYIALMAMDNSTLGFASVLGFSLHMWSMKMDTEEAVEWLQYRVIELEKIIPVANPTDETVVVGSAEGVGVIFVSTDVGLFSIELKSGRVKKLDEPGVYFSVLPYMSFFTPVFISSSKELSSIVRVLGHIVVETGIRDVI >Sspon.03G0010220-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:42463960:42465321:1 gene:Sspon.03G0010220-2C transcript:Sspon.03G0010220-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSGLDDSSVGVAPRHRHGCCCACASVLCKIVKVLLHVAIVFSVFILIIPSIVESFGAKIALQSVSFAVLACLYICNSSDREGRIISGVFLGVLAVVIVTAAPSVASKGDMAPVIVMLVCNVIALLVYCIWKISALVQACLRRLRARGKAPAADPEAPLATMLKSEAAASTTPVQVQQTTFHIEDLPRKFSYDEIRAVTGDFGTVVGRGGSAEVFRGLLDDGTAVAVKRITSYKPVGEEEFLREISIVANVHQRSLVRLLGYCLVQGVPGTTTHGQYLVYPFFENGSLDWWLFNGEDRRRLLPWPTRRRIAVDVARALAYLHHECHRQILHLDIKPANILLDGSFRAHVSDFGISMSIAQDLTSVDTCGRGTPGYMAPEIWFSSLSTKSDVYSYGMTLLELVSGRRGYEASRDSSETPDFFARVVREKMARGELMELVDATMAPVDEGEVEAL >Sspon.03G0022680-1T-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3D:47986415:47987161:1 gene:Sspon.03G0022680-1T transcript:Sspon.03G0022680-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MACHLCTLLNLRLLLLLGAVLGNAGLGRGGGAEASDRAEPDPYSILMWHDYSPPSPPLPPPDPASPTATCEGDLHGKGDFLTRCEVSEEVELGGDVHITGNGSLVLLSGASLTCEKYGCVISANLSGEVRLGRGVRVIAGRVTLVATNITVADTVVVNTTALAGDPPDRTSGVPTGTHGDGGGHGGRGASCFVKEGQRRRIHGAVMPMHGLTSNTPGATGAKGARPALRRTTVVLVVASCGCLLRSWS >Sspon.08G0004510-1P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4B:66160113:66162260:-1 gene:Sspon.08G0004510-1P transcript:Sspon.08G0004510-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPTSTDVDFTFGPMQPYVGFDAGMAMPGVVERPLLQHNNNNNLGVYDSFDFAAAGLPFQESGLLPPAASLPLAPPPTSMAAMAAMPPSLLLTLPGVPTAADVVYPFGGGAGGFLKREDGGGLPTLVDAGGGGGGRIGLNLGRRTYFSPADVLAVDRLLTRSRLGGGVGMGMGMGMGLGMGVLGLGLGAAHHHQPPRCQAEGCKADLSAAKHYHRRHKVCEYHAKAGAVAAAGKQQRFCQQCSRFHVLAEFDEAKRSCRKRLTSTTAAAGSPSAHRARTCRPPPHKESGYLHNYLLQ >Sspon.03G0017800-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3A:55275171:55275944:1 gene:Sspon.03G0017800-1A transcript:Sspon.03G0017800-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAVEALGLPPGVRFDPTGDELVEYYLLPRALGCPPAVPGIIIEDDAATATTSAQHPWKLLTRHRRTNDSEAYFFERIAGTDDATKGARQDRSCGGGRWTWVGQKRAPDEALPPRGEGEHVSWGKYSLNLQEGRRKGGSTGWVMHEYTVASPQCPLLPVKLCHVSFTGHGQKRQRVPDDDDGEGEGQELEPQAAKSAPQHKRAATGSSMVTTAMPNQELGEDQEHRFSSYNPSSIGHFWSSDAGFTTLPSYDSSRLP >Sspon.02G0042330-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:99891752:99894721:1 gene:Sspon.02G0042330-1P transcript:Sspon.02G0042330-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MACMVLGEKMKPGDMSIPAAMGDGSSVVGAGAFAACWRWPSVSRRGSPPPSSADDDAAGGLPVSRCTTVMNSCGLAWMTLGVCSYTITGCFLVVDDGALLDVVVGGDEPRDDDDDTFSGSGRGPRRPPAARLEGDDAASEEGMAATVMVMAAASSCTKLLIDQLALRALLDVLAMQGNARGLAL >Sspon.07G0007710-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:20704725:20712310:1 gene:Sspon.07G0007710-1A transcript:Sspon.07G0007710-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGGLSKLATALVGAPCCPYTFRARSNGQRVDAMSHGAELNSLGDMVDLDAIFYGGDLSATRSGAELPSHLKAGEQRERIHRHDKTLRRLTQHCSPISPTFPCYWYVCICGAEVGTIIPAADICHAGQLLVKKGHHLSWTNRCDTFTRWLSPLLGLSLPASLLCSRGVLTVSLPPTHPIPLLFLLLLLLQRTGGRAKEQEQQQAAATAELCSANP >Sspon.07G0012020-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:42935207:42937400:-1 gene:Sspon.07G0012020-1A transcript:Sspon.07G0012020-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSFCFGFGFGRRRPTIACFVPFFLLLVSFLASASAAAVTTTKQGSTGNATQRVAFRSEEELRGFRSITARLARLRDASVKTIQARAFSPDGDVIDCVPAHLQPAFEHPKLRGQKPEVGKSEPAERPRSSSGRFSDADRGEADDPLPQVWRRSGESCPEGTVPVRRTTEDDVLRASSSATRFGMKARGGSGSARRDSTGGGHEVSVSQSIASVLLCLQHAVGYVTGGHFYGAKASLNVWPAQVASPAEFSLSQIWVISGAFGHDLNTVEAGWQVSPQLYGDNSPRFFTYWTDDAYQETGCYNLHCSGFVQTSSRVAIGAAISPISTYAGRQFDITLLIWKDPRRGHWWLQLGGSGGGGGLVGYWPSALFTHLGSRADMVQFGGEVVNARPAGAPHTPTQMGSGRFPAEGYARAAYFRNVQVVDWDNSLVPAAGLRLLADRPGCYDIAGGSGGAWGTYFYYGGPGRNARCP >Sspon.02G0002800-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:9528376:9534225:-1 gene:Sspon.02G0002800-1A transcript:Sspon.02G0002800-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding PMSSAPAVDEMELDGGERHRDKERRERHRREEKDYNGSGRRDREKEKDDRRREKDDGKHRDRDRDRERERDRDKDSKYRDREPERDRNRDRDRGKDREREPDRQRDKDRGKDRDKEAERDKERERRDRDKERSRNRDKDKDRAEREDRDHEKSRGQGRREDDADSSKGDKGDQKQRVDASGDAEQPAADELRERIARAKEERLKDKKEGGILDGNDGASEILSWVGKSRKLDEKRQAEKEKALRLARALEEQDNILAENGDDDDDEEEDTQVADHLSGVKVLHGLDKVLEGGAVVMTLKDQSILANGDINVDADMLENIEIGEQKQRDEAYKASKKKGTYDDRFSDDSLSKKSMLSHYDDQMEDQGVTLDEGGRFTGEAEKKLEQLRKRIEGNYIQKKTEDLTSTTKMATDYFTPDEMLKFKKPKKKKSLRKKEKLDLDALEAEAIASGLGAADRGSRNDGKRQSAREEEQAADAEKRNSAYQTAIAKAEEASRALREEKTAPTKPAEELVFGDDYEDLQKSLEQARKLALRKQEEAAASGPQSIVELATATKSQEDTEAAEGDSEQNKVVITEMEEFVWGLQLNPGTRKPEDDVFMDEDDDAMPSGNLAKDDANGLAEMEEEALTEIPAKVEEDGVKPDEVIHEVAVGKGLAGALKILKERGSLNEGTDWGGRTTDKKKSKLIGVEDGPKDIRIERIDEFGRQMTPKEAFRHLSHKFHGKGPGKMKQEKRQKRYEDELKTKHMKSSDTPLLAAEKMREAQSRNQTPYLILSGNAKTSETSAGGYATLETEQPGSLTPMLGDKQVEHFLGIKRSAKPGSLPPPVPKKPKN >Sspon.08G0010580-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8A:46844160:46844591:1 gene:Sspon.08G0010580-1A transcript:Sspon.08G0010580-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPIVMRTLELHPLVVWWRMLPTIFLIGVVFMSGGGVFAGGALSVSVVLGGGNERRCLLPGNGGHRLQVIVPSSCVAIDVPCSLNASAAEASLAPRADDHHGGGVAGIARVRHQYRDLFLVAADIEVAKHSLVFGLTSNNHCY >Sspon.01G0019190-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:73002892:73009167:1 gene:Sspon.01G0019190-3C transcript:Sspon.01G0019190-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATNPGLFTEWPWKKLGNFKYLLLAPWVAHGVYLAATKGWKAADLGYIAIFPSLLLRMLHNQVWITVSRLQNARSRRQIVDRGIEFEQVDRERNWDDQILLSGILLYLGAMYLPGGQHLPLWRTDGAVLIALLHAGPVELIYYWLHRALHHHFLYTRYHSHHHASIVTEPITSVIHPFAELVAYELLFGIPLIVCALNGTASILAFEIYLVYIDFMNNMGHCNFELVPNWLFKWFPPLKYLMYTPSFHSLHHTQFRTNYSLFMPFYDYIYNTMDKSSDTLYEKSLKGKEETVDVVHLTHLTSLHSIYHMRPGFAEYASRPYASKWYVRMMWPMSWLSMVLTWTYGSSFTVERNVMKKLKMQSWAIPRYSFHYGLTWEKEAINSLVEKAICEADKKGAKVVSLGLLNQAHNLNGNGELYLQKYPKLGVRLVDGTSLAAAVVMNSVPQGTDQVVLAGNISKVARAVAAALCRKNIKVVMTNKQDYHFLKPNMAEDAAENLLFSKTATAKVWLIGEGLDASEQFKARKGTQFIPYSQFPPRMARKDTCTYSITPAMAVPKTLQNVHSCENWLPRRVMSAWRIAGMVHALEGWNEHECGDIVLDMEKVWSGALLHGFRPVAQV >Sspon.03G0019530-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:83282493:83288633:1 gene:Sspon.03G0019530-2B transcript:Sspon.03G0019530-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSFSRSAILSYLLWCLLFLTLASSNGAVATAEPKTVGYGYKLVSLVQLPNGGGLVGYLQVKQRTSTYGPDIPRLRLFVKHETRDRVRVQITDADKQRWEVPYSLLPREPAPPVTGGKVTGGPFTGAEYPGEELVFTYGRDPFWFAVHRRSTGQPLFNTSAGALVFKDQYLEVSTALPKDAALYGLGENTQPGGIRLRPNDPYTIYTTDISAINLNTDLYGSHPVYMDLRSLGGRGVAHAVLLLNSNGMDVFYRGTSLTYKVIGGLLDFYFFAGPTPLAIVDQYTSMIGRPAPMPYWAFGFHQCRWGYKNLSVVEGVVEGYRNAQIPLDVIWNDDDHMDAAKDFTLDPVNYPRPKLLAFLDKIHAQGMKYIVLIDPGIAVNNSYGVYQRGMERDIFIKLDGEPYLAQVWPGPVYFPDFLNPNGASWWIDEVRRFHDLVPVDGLWIDMNEASNFCTGKCTIPKTHQCPIPDSKTPWVCCLDCKNLTNTRWDEPPYKINASGQTARLGFNTIATSATHYNGILEYNAHSLYGFSQAIATHKALQGLQGKRPFILTRSTFVGSGAYAAHWTGDNKGTWENLRYSISTMLNFGIFGMPMVGSDICGFYPSPTEELCNRWIELGAFYPFSRDHANFASPRQELYVWESVAKSARNALGMRYKLLPYLYTLSYQAHLTGAPVARPVFFSFPDFTPCYGLSTQFLLGASVMVSPVLEQGATSVSAMFPPGTWYNLFDMKKVVVSKSGAPVKLDAPLNEINVHVYQNTILPMQRGGFVSKDARATPFTLVVAFPFGATQADAEGAVYVDDDERPEMVLAEGQATYARFHASVRGKAVTVRSEVLMGSYSLHKGLVIEKLSVLGLEGTGKDLAIQVDGTDATAVATSSPYFSAGGNAKLQGEEGVEHSKNGVMVEVGGLALPLGKSFTMTWNMRIEA >Sspon.07G0004240-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7A:10455361:10455717:1 gene:Sspon.07G0004240-1A transcript:Sspon.07G0004240-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGTAFVSSFFDLGEWFRHELPDPSPFKFAHGRHIWDLADHDASFGKLFDDGMVADSSFIMDIVVKECSDVFQGISSLVDVAGGLGGATQTIAKAFPMWSAACWISHTLLPMLPLTPM >Sspon.01G0029610-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:103831236:103835037:-1 gene:Sspon.01G0029610-1P transcript:Sspon.01G0029610-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVQTVPSERTNPLLNRSPSPSVATRLQPDAGNGAGFYDGSAYSFLDTTKPCTTRFSSGSVTSEDSPALTPRLLSFKSSSSPDNCSSSAEWRDRAAASRSNRYLFDANAQARCAEYLDLMRLEVDAQLGKLKGGVTGLESYALPDNGRVIGGAHLGMSLDVMLIEIDERFNALKLLMGSVFRQAKEMLGSVNSSVSDLQSENELQLEVFSAVIGECVSGLQEELERKLYEQINITNTMSRNWKEAITQFAAMREDLGALCKLLLPLVPEAHISNGKNESPGNRSNRWKYNFFGKKPKEDRSPRAEDSKSFRKQKSFGAKDVISEKSDFRHLNGMTREQVISYFKSEISKLKRMHESALQEKTEELFRFKREKGSHSLKNDIEFEPLRKKIPEIVLRMDQIISKNIKIPAICMTHDELDERCRLMSRIDALFYENHHLRGLLADRMKDVKALSSQLSEASTELSLQLSSEEELLRQIDKVREDCEDLRIEGDVREGMYQTVTKQLLDDYKDNMDGAALNLSAKLFSLESAVSEKNKALCLYNEENHRLKVKLAELEKERLIHNHQEVPEVIKQESTEIVLRDIEVEPRTSPRGSNGNDLQYDELVKLNSSLEQTSGVLKEMDNKNMYRSSGLTRNEQEKQLECILVSVMKLSKEFVEIEKKLSAERTENRSEDLSDHCSHMVRQAVVLTKIGLWYKHMIEARRCELQKAEAKVMTLGDKITAQLSLLQKIYLTLDRYSPTLQQHPGLLESFLKTCKLVADLRSKQNEGDMT >Sspon.06G0005630-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:16822641:16823434:-1 gene:Sspon.06G0005630-2B transcript:Sspon.06G0005630-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTAADSDADYHNSDGSVMPDVLAKGREACYKARDAFYACVEKHADKKPTEIATMGLLYPADCKKSRADFVSNCRPTWVKHFDRQYCAKKRVQRLLDGDEDRRGPISLPQPYTFKQ >Sspon.06G0019650-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:2729599:2732174:1 gene:Sspon.06G0019650-2D transcript:Sspon.06G0019650-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVLTVLVAAATAVSTAAALNTDGLALLALKFAVSADPGNALSTWRDADADPCFWAGVTCASSSSGATGRVSAVELANASLAGYLPSELSLLSELQALSLPYNRLSGQIPAAVAALQRLATLDLAHNQLSGQVPGGIARLVSLQRLDLSSNQLNGSLPPALAALPRLSGVLNLSYNHFTGGVPPEFGGIPVAVSLDLRGNDLEGEIPQVGSLVNQGPTAFDGNPRLCGFPLKVECAGGASGEDGPRIPDSNGAGGGVTDPGAAAEVGRRAPKPRRRSSPTVPVLAAIVVVAIVAGVALQWQCRRRCAAAGGDEEKESAKEKGSGAVTLAGSEDRRSGGGGEEGEVFVTVDDGFGMELEELLRASAYVVGKSRGGIVYRVVPGRGPAVAVRRLSEPDDVDSDGSGWRRRRAFEAEAAAIGRARHPNVARLRAYYYAPDEKLLIYDYLPNGSLHSALHGGPTASPTPLPWSVRLSIVQGAARGLAYLHECSPRRYVHGCIKSSKILLDDELRAHVSGFGLARLVAGAHKAGGHHSKKLGSAALCALRGGTGAASYVAPELRAPGGAPAAAATQKGDVFAFGVVLLEAVTGREPTEGEGGMDLEAWVRRAFKEERPLSEVVDPTLLGEVHAKKQVLAVFHVALGCTEPDPEMRPRMRAVAESLDRIG >Sspon.06G0020950-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:12846668:12852636:1 gene:Sspon.06G0020950-2C transcript:Sspon.06G0020950-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLSAADSRMKKRRRRRELPLPAACSSRSAAGAAQGQCQCQCCCCSSPGGGGLVATTIPCAFFLCSTGASCAPAAAVRLGTQHQQHKLTMLLLALALLLVLPPPPAPFLVAGVSVSVSPRRMVRIQSHLDRINKTPVRSIRSADGDTVDCVAAHEQHGLDHPLLRTHTMQTEPPEAPTPRAGGGFFPAAAGGGDATATNGSSSKNNRHRGAWQTWHHGGHCPRGTVAIRRTTAEDVLRARSVSRFGRKRRNAAVAAAARAANAPDVITGNGHEHAIAYTAASQQQVYGAKATINVWDPAIQESNGFSLSQLWILSGSFNGSDLNSIEAGWQSDAYEATGCYNALCPGFVQTSSRIAIGASISPVSSAGGAQYDMTLLIWKDPKLGNWWLSYGDQLVGYWPAQLFTHLSDHATMVEWGGEVVDTRPGGVHTATQMGSGRFAGEGFGRASYFRNLETVDADNSLAEVALDAIQTLAENPACYDIRKAYDDDGQHSARGGWGTHFYYGGPGHNPACP >Sspon.08G0001380-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:1496962:1499857:1 gene:Sspon.08G0001380-2B transcript:Sspon.08G0001380-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SAPAASSSSSGGVHSKTKASAASTPTRTIQELSEERGAQRLRVFDLDELGSATNGFSRALKLGEGGFGSVYRAFFRSAAGARVVLAVKRLNQRSLQGHKQWLAEVQFLGVLEHPNLVKLIGYCAVDSEASKHRLLVYEFMPNKSLDDHLFNRAHPPLSWRLRLQIMIGAARGLDYLHEGVPEFSVLVWQVIYRDFKASNILLDAEFRPKLSDFGLAREGPTEGKTHVSTAVVGTHGYAAPDYIQTGHLTAKSDVWSFGVVLYEILTGRRSLERSRPAEEQKLLGWVRQHPPDSAGFRAIMDPRLGGRYPLAAAREVARLADRCLGKNPKERPAMRDVVEELERVLQMEPPPPPPADKKKGGDGRLPAKR >Sspon.03G0017290-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3D:41539400:41540562:1 gene:Sspon.03G0017290-2D transcript:Sspon.03G0017290-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEDRLSSLPDDLLHSILRELPLKHAARTSALSRRWAPQWLRGLAASKHGAPLDVFRVALMSPPAGSSDVVGWIASAVARGAREMEVDLTPTPNPAEGDEVQDADDGSVASVELPGDLFVARNSLARLALGGFSLRAVLAAPAGLAGLRSLSLSHADFTGEAFRDVVSSCRALEHLSLSSCDLLKSIRIASETLCVLEIVRCRAVRELRVSAPALESLAFHGDIFVYDYIDGFLSSPIDWGSTPALQDAYLSQIGFGQNDDESDDVRYELCGCDAHEYDYGQLLGCVAHASVCTLSSDGWLQFGRKSRDERFEPN >Sspon.07G0011280-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:39090177:39093823:1 gene:Sspon.07G0011280-1A transcript:Sspon.07G0011280-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIMIQVKISLDRIGEFLAEDEFQDDAVDRTSMSMPASDMSLIVQDGFFSWEPSKAIVSVSGSVAYVSQTSWIQSGTVRDNVLFGKPMNTEDYEKAIMCCALDKDIENFPHGDLTEIGQRGLNMSGGQKQRIQLARAVYNDADIYLLDDPFSAVDAHTAATLFNDCVMAALKNKTVILVTHQVEFLSKVDKILVMENGEITQEGTYEVLLQSGTAFEQLVNAHRDSKTTLGSQDRGKGAEEPGTFLQNQIRMIPQNSEAEISEANLLSVQLTEEEKRELGEAGLKPYKDYVSVSKGCFLLVLLILAQCAFVILQCLATYWLAIAIQSSQFSVVLVVGVYAVMAAASCLFAYIRSLLAAHFGLKASREFFSGLMDSLFRAPMLFFDSTPTGRIMTRASSDLSILDFDIPYTMSFVISGTIEVAGTIIIMIMRYYIASARELVRINGTTKAPVMNFAAESMLGVTTIRAFAATKRFIQRNLQLIDTDATLFFYTNAALEWVLLRVEALQILVIITSSILLVSLPEGAVAPGFLGLCLSYALTLSSAQVFLTRFYSNLENYIISVERIMQFMHLPEEPPAVIPDRRPPPSWPSEGRIDLDNLRVKYRPNAPTVLRGITCTFSAGNKIGVVGRTGSGKTTLLSALFRLIDPYSGRILIDDLDICTIGLKDLRMKLSIIPQEPTLFRGSVRSNVDPLGLHSDEDIWEVLDKCQLKKTISALPGLLESPVSDDGENWSAGQRQLFCLARVLLRRNKILVLDEATASIDSATDAILQRVIKKEFSGCTVITIAHRVPTVIDSDMVMVLSYGKMIEYNMPSILMENKNSAFCKLVDEYWSNYN >Sspon.02G0028880-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:115533041:115536942:1 gene:Sspon.02G0028880-2C transcript:Sspon.02G0028880-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNYYDIDDILMEDEPISVVFQVTANGVGLLDPGAESNCIEKGAKVDLPFWLAHGLLSLEQAVSINPPPCFTQRTRKEIQADAACVDLRVLCPYFYELGCKIVPLVNDKSIGLFLRYAFTSRYKEVLSKSHSSSMMTMPKFVPRLTKEESRVFESARESMAAFKKWRAGGVRLQKASILGRKRKTKLHDGQPTP >Sspon.01G0008510-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:24788731:24791147:1 gene:Sspon.01G0008510-2P transcript:Sspon.01G0008510-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Small and basic intrinsic protein 21 [Source:Projected from Arabidopsis thaliana (AT3G56950) UniProtKB/TrEMBL;Acc:F4J0V0] MSPAPSRPRIRPWLVVGDLALAAAWVCAGALVKLLVYGGLGLAGRPEAEAVKVSLSIVYMFLFAWLEAASGGASYNPLTVLAAALASHGGPAAYLFTAFARIPAQVIGAVIGVKLIQLTFPSVGKGARLSVGAHHGALAEGLATFMVVMVSVTLKKKEMKSFFMKTWITSIWKNTIHILSSDITGGIMNPASAFAWAYARGDHTTFDHLLVYWLAPLQATLLGVWAVTYLTKPKKIKEQEADDNKTKKE >Sspon.01G0002470-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:6625877:6627056:-1 gene:Sspon.01G0002470-1A transcript:Sspon.01G0002470-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAISSLMLQEGWRKGPWTALEDRLLTEYVQQHGEGCWNSVAKLTGLRRSGKSCRLRWVNYLRPDLKRGKITPDEETVILQLHAMLGNRWSAIARCLPGRTDNEIKNYWRTHFKKARPSRRARAQLLHQYQLQQQEQRRQYLQSLQLLQQQQHQQQQQQQLLLLQQQSQQQLVVKQQHLEQQSPPEPDHQAMMAMMDSLQSTGECSCSPVSPVLVPEQCCALPDDDDAMLWDSLWRLVDGDGCGDGSGSSGGDY >Sspon.04G0000480-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:2673905:2678400:-1 gene:Sspon.04G0000480-1A transcript:Sspon.04G0000480-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSPLDSSSSPPAAAEVPLAPGFRFHPTDEELVSYYLRRRVLGRRLRVDAIAEVDLYRLEPWDLPSLSRIRSRDAQWYFFARLDRKVAGAGAGGRGGPGNRTNRATPRGYWKTTGKDREVHHRGKPVGMKKTLVFHAGRAPKGGRTNWVMHEYRLLDADGPQDLHVVCRIFQKHGSGPQNGAQYGAPYMEEEWEEDDDAIENGPTSGASAQMAAITCAVDEESNEDDENAYCETNRPARVEPSHLPLVHEMLNPPEMAPLQGQDSKETSDGSCADGAISLEEILQEPLSNISVENIGRLEGQNATDDSINVDDLLSAYPRKDNGYVGQDNTMNGSGPADGDHTSWPLRAYSNQNYVNGPLADEFFDTGNDTNGVAYSGHQQADGFPAPRQVDDSMVFYDAPSDYNLVDGNDDFVYLNDLLNEPLGNESLFDGDDMMAYFDATENDFKYDILGSAQGSNYQLADMPLNFAQKSDNKDKFTFNGISEVPEANAQYGASSSGSHEDLYTDTEFPGVPTDDTADKTYGKRLASMLGSIPAPPAMASEFPPSTGKSVGMLSAVSSSSIRVTAGIIQLDGLTFSSGSDRWPLQKNGDLSLLVSFAVESDVSSKLPVGLEDATRISTVPMVLRSGFYLFFMSAMILLLSYKVGSCIYSR >Sspon.06G0014190-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:88761905:88763995:1 gene:Sspon.06G0014190-1P transcript:Sspon.06G0014190-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGGESMMTREQLLHLFSRFSFLTSLPEVKQRIADAVRDKQEAVAVTTEIQEEILREMGVDPSFGIGCLGKVNVVYENDKDLMIKFYQFVA >Sspon.06G0026560-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:79915307:79915859:1 gene:Sspon.06G0026560-1B transcript:Sspon.06G0026560-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASCKLYSALLAVAVVLAVGPATRPVSAAAHLHFYMHDVLTGSAPTAVQVLNGPRGHMGDTIVIDDVLTETASRSSSMVGRAQGHYIWASTGNPELLVTMNVVLTSGPYAGSSVTVVGRDDIGAPVRELSVVGGTGQFRMARGYVLWKTVSLDHPNAVLELDVYLRSILSST >Sspon.08G0025890-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8C:14343461:14344999:1 gene:Sspon.08G0025890-1C transcript:Sspon.08G0025890-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAEQRRRGRGGARASERTAGAGSASSSAPLLRGRSVAGAWLWRQRLACARRCGRPGRALLLRRGGDFGGAAAPMWDPAVHSLRSIGAAMRCDMVMLLAGDGAPPPRAEAPTPSRLLDPALSSSSGSGGSGSSSAGEQHRRSIRRARNRRPSPRSSHEGRRASTAEGRARDPFVLYRWSGIPGNLADALEDSGGAGGVAYPAAGSPSENFLQFALSLPLPLSYLCLTRYHDFSRASPKSSPAQAPLPSPTPTPTFASANDSGPSLHLTAIVHSRKPGRRISGPA >Sspon.01G0010610-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1A:29566667:29568358:-1 gene:Sspon.01G0010610-1A transcript:Sspon.01G0010610-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLRGLRQEDPLSPYLFILAIDVLNRLFEIATEEGRLSPLRGQHARLRLSLYADDAVIFTNPKREDVSCIMDIMQAFGDATGLKINIEKSTVAPIRCESVDLDHVLADFAGACVGFPVRYLGLPLTLRRIRMVHLQYILDRAKGMMQGWQGTMISIVGRQELIKSVITAQPVYLMSVIKPPKQFIKEFDKIRRRFLWAGDGEITSGKCKVAWPRVCQPVENGGLGIKDFETFSRSLRLRWLWYAWDDKDRSWKDLPTPTDIADMKLFNEATTGVVLNETQQDKIRWKLTVHGEYPAGSAYIMQFEGAAKSLTADLTWKTKAPPKCKFFLWLALQDRIWTAQRLQRHGWPNEYFCRMCIRNLETVAHLFMECYVSRRVWGMVAAWARLSALAPQNWDLTESMQVWVLGMANNQRGQYGEAAKSMLILVIWELWRERNERVFRNTSRSVQQIVSSIQDEARLWASAGNKGLKDLLNDLELQQEILFSRVHLY >Sspon.01G0041060-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:73450450:73453597:1 gene:Sspon.01G0041060-2C transcript:Sspon.01G0041060-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AYTFRWNRSAAIVSFFLARNGFSEELVYQAPNEGKSIGKKKELPPNGKESGDDAPSSATKQRVAAAKQYIEKHYKEQMKHLQDRKERRCSLEKKLADADVSEEEVHNILKQFEKKETEYMRLQRHKMSVEDFDLLTMIGKGAFGEVRVCREKTTGNVYAMKKLKKSEMLRRGQVEHVRAERNLLAEVDHHCIVKLYCSFQDSEYLYLIMEYLPGGDMMTLLMRKDTLTEDEARFYVGETVLAIEAIHRHNYIHRDIKPDNLLLDKYGHLRLSDFGLCKPLDYSNFPDLNEKDVTPTKSSSMHGDGKQQSMPKRSQQEQLEHWQKNRRTLAYSTVGTPDYIAPEVLLKKGYGMECDWWSLGAIMYEMLVGYPPFYSDEPMTTCRKVTHFKLLAMDTFMVFPVIGARSSSKSTDFLVQSPCLYVQKQIVNWRTHLKFPEEARLTADAKDLISKLLCNVDQRLGTKGAEEIKMLSSKDLNFVGYTYKNFELVNDHDVPGMAELKKKEKAKRPSVKSLFGIYM >Sspon.06G0029940-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6C:38449725:38450102:-1 gene:Sspon.06G0029940-1C transcript:Sspon.06G0029940-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQKTATQRPDRLILKAEEDSNLNWTEPPAWLGRPCWPPKVVAKEEDIQELPWCVLQVLGVCSHLGQGPKDE >Sspon.07G0029050-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:76568398:76572252:-1 gene:Sspon.07G0029050-2C transcript:Sspon.07G0029050-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGATIAIEIDGEAICLGSVEDNEQEAEENGEMQQIIYTAENGEQEHSWLTDLYQQRHKWCTALHKDVFDGGIESMDRNNEGSWHKTESLEDIQCNQASLECTVKHNRILQHAAEVYTHKIYKSLETDFLDGSGNVKQVVLPQPADPVFVDPPNQDQYFSAEDIASNSSVGRPYFYQGYPATVVSTSQIQGHTNMHSEPQCASQVPFAVCTSIFDKIYDLK >Sspon.03G0041750-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3C:45764781:45765209:1 gene:Sspon.03G0041750-1C transcript:Sspon.03G0041750-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding EAGLDCCGSSVRRKRTKFMEKKKINWKRFGGGARESRGSIDGRHEESMPLPNPKAGFNLPGDRVSMDRLLPKEAIGRPFFYYENVALAPKGVWATISSFLYDIQPEFVDSKFFCAATRKRGYIHNLPTANRSPHSLATKDDT >Sspon.01G0045230-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:86013011:86016564:-1 gene:Sspon.01G0045230-3D transcript:Sspon.01G0045230-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MREILHIQGGQCGNQIGAKFWEVICGEHGVDSTGRSSGTSPQQLERINVYYNEAGGGRYVPRAVLMDLEPGTMESIRAGPFGGIFRPDNFVYGQSGAGNNWAKGHYTEGAELIDAVLDVVRKEAENCDCLQGFQVCHSLGGGTGSGMGTLLISKIREEYPDRMMLTFSVFPSPKVSDTVVEPYNATLSVHQLVENADECMVLDNEALYDICFRTLKLTNPSFGDLNHLISATMSGVTCCLRFPGQLNSDLRKLAVNLIPFPRLHFFMVGFAPLTSRGSQQYRALTVPELTQQMWDAKNMMCAADPRHGRYLTASAMFRGKMSTKEVDEQMINVQNKNSSYFVEWIPNNVKSSVCDIPPVGLSMASTFVGNSTSIQEMFRRVSEQFTAMFRRKAFLHWYTSEGMDEMEFTEAESNMNDLVAEYQQYQDATAEEYDEEEQDGEEEQA >Sspon.07G0016660-3D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7D:83470083:83471788:1 gene:Sspon.07G0016660-3D transcript:Sspon.07G0016660-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding QVEEALAKATEDDKSQQVDAFDAGKPPPFRIGDVRAAVPEHCWRKSPWRSLWYVAWDVAVVAALGAAAAATDSWAVWPLYWAAQGTMFWALFVLGHDCELFSHKEKGDVMLSTTCWCVMLASLLAMACAFGPLQVLKMYGLPYLVRKPTNHY >Sspon.02G0019240-3D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2D:58437982:58442851:-1 gene:Sspon.02G0019240-3D transcript:Sspon.02G0019240-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQVMPSVMMLGTLTFCFLCNVEQAVDKRSASDEVVMENILSNSDFSEGLHLWQPNSCHAFVAAEGSGYHYGVRPHSGSTYAVLTHRTQSWQGLEQDITEKVTLGTEYFVAAYVRVHGEVHEPIGVQVTLKLEEEGSSTNYLSIARIVASQERWEKMEGSFNLTTLPRRLVFYLEGPPPGVDLLIDSVTISYKEVKVPSGAETIVKNPHFEDGLKNWSGRGCNICRHEFTAYGNQEITGRVQRKVLYEISSAVRIFGSANDTEVRATLWVQEYGRERYVGLAKWSCLISGKKATSCTVPKNENVVYGTNLLHNNAFTRGLAGWSPMGSCRLSIQTEAPHMLSSILKDRASQKHISGRYILATNRTDVWMGPSQVITDKLRLHVTYRVSAWVRVGSGGHGRHHVNVCLAVDNNQWVNGGQVEADGDQWYEIKGAFKLEKQPSKVTAYVQGPPPGVDLRVMDFQIYPVDRKARFDYLKEKTDKVRKRDVVLKFQGSNAVNLLGSSVRIQQTENSFPFGSCIARHNIENEDLAEFFVKNFNWAVFENELKWYHTEAEQGRLNYKDSDELLEFCEKHKIQVRGHCLFWEVEDAVQPWVRSLQGHHLMAAIQNRLQSLLSRYKGRFRHHDVNNEMLHGSFYEDRLGRDIRAYMFREAHKLDPSAVLFVNDYNVEDGCDTKSTPEKFVEQVVDLQERGAPVGGIGVQGHISHPVGEIICDSLDKLAILGLPIWITELDVTAENEHIRADDLEVFLREAFAHPAVGGIILWGFWEMFMFREHAHLVDADGTINEAGRRYLALKQEWLTRANGNVDHYGEFKFRGYHGSYTVEVDTPSGKVARSFVVDKDSPVQVITLNV >Sspon.03G0002320-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:5755327:5756739:1 gene:Sspon.03G0002320-1A transcript:Sspon.03G0002320-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPKLSPVSPVRQDDKPCAPSSSSSSTVLRVQDASAAEAYEQYLRLPELSSLWKARCFPEWAGEGLVKPALQALEVTFRFASLALSDPRGYASRRELARRLESLAAREVELVSALCEGGDRSAPLAELSASGGVLPRERSASEVWQLPGSAAAVVCQVSEASLLPRLAAWDKSETLAAKIMYAIESQMQGCAFTLGLGEPNLAGKPVLEYDRVVRPHELHALKPKPAPEPKSGYRNRELETLFTMYQILESWLRAASQLLTRLNERIEAKNWEAAASDCWILERVWKLLADVEDLHLLMDPDDFLRLKSQLAVRAAPGSDASFCFRSRALLHVANTTRDLKKRVPWVLGVEADPNGGPRVQEAAMKLYHSRRRGEGEQAGKVELLQAFQGVEVAVRGFFFAYRQLVAAVMGTAEASGNRALFVPAEGMDPLAQMFLEPPYYPSLDAAKTFLADYWVQQMAGASAPSRQS >Sspon.02G0013260-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:28979832:28984506:-1 gene:Sspon.02G0013260-3D transcript:Sspon.02G0013260-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to Heat stress transcription factor Spl7 (Heat shock transcription factor) (Heat shock factor RHSF10) [Source: Projected from Oryza sativa (Os09g0456800)] MEEAVAVAVAAASKSSGGRGGGGGGPAPFLTKTHQMVEERATDEVISWAEQGRSFVVWKPVELARDLLPLHFKHCNFSSFVRQLNTYGFRKVVPDRWEFANDNFRRGEQGLLSGIRRRKSTTPQSSNKSVGSGGVNVAFPPPLPPPPPPPASATTSGGNERSSSSASSPPRADQLTSENEQLKKDNRTLSSELAQARRHCEELLGFLSRFLDVRQLDLRLLMQEEGEDVRAAGAAGDDAQQAQRRAAVANQLLERGGGGEEGKSVKLFGVLLKDAAARKRGRCEEAVASERPIKMIRVGEPWLGVPWSGPGRCGGEN >Sspon.07G0012000-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:47625659:47629400:-1 gene:Sspon.07G0012000-2B transcript:Sspon.07G0012000-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNASQFMDKQIQGLAASAAAAASSPPASDGGGGLVDLMSSDPQEEAVSHGPGHRSLHGGANGTGNGADEVLPSYDFQPIRPSAAAGAFPVGSAPATGAWGSLDSKAASPNLQGAGVLEPHVLKKVNHEEERSNFSAVTIVDIDRTMKKYADNLLHALESVSSRLSQLEDRTYHLENSVGELKLTIGNNNGSTDGKLRQFENTLREVQTGVQILRDKQEIVETQIQLTKLQMPKAEDVQSEAGQVDSRQQPPPSQPTIQSQNQALPPTQPPVPLPALPAPTAPPPPPTQNQPPTQFPGHVAHPQVPSVPPALPAPSVTTIPQESYYHPSAQPTEATHQQYQVPPALQQPQAPQPAPPQHYQTLPQYAQFSQPPPPASVNPTAALPPPVAQQPEDAAPYGLPSQGYPPNVRAPPPYMPPPSGPAAPFYGPNPGMFDASAARPNSGPSPPYNAVYKPPAAGGFTESYGYAGSPSHHGNAGMKPPSPFAPPGGSGGSYGRLPTAQVLPQAAPVRSTPSSGSSGARVPIDDVIEKVATMGFSKEQVRATVRKLTENGQNVDLNVVLDKLMNDTDAQPQRSWYGR >Sspon.04G0019010-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:67770122:67775951:-1 gene:Sspon.04G0019010-1A transcript:Sspon.04G0019010-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSPSAANLLALLRRNAASPAVALRLFLHLSSAACPPPPRSTSFLARLVAAHPAADALLPRLHRHILSFPDPSPHLLALLSCSDVLPLRLAIPAFRSLRALASAPPPPTPVYNRLILAALRESRLDLVEALYKDLLLAGAQPDVFTRNLLLQALCDAGRMELAQRVFNAMPARNEFSFGILARGYCRAGRSIDALKVLDGMPSMNLVVCNTVVSGFCKEGLVEEAERLVERMRVQGLAPNVVTFNARISALCKAGQVLDAYRIFKDMQEDWQHGLPRPDQVTFDVMLSGFCDAGFVDEARVLVDIMRCGGFLRRVESYNRWLSGLVRNGRVGEAQELLREMAHEGIQPNSYTYNIIVSGLCKEGKAFDARRVENFIRSALGRLGNSFLSVVSDSSISQRCLPDRITYSILISALCKEGRFDEAKKKLLEMIVKDISPDSVIYDTFIHGYCKHGKTSLAIKVLRDMEKKGCNPSTRTYNLLIWGFEEKHKSDEIMKLMSEMKEKGIAPNVMTYNSLIKSFCERGMLNKAMPLLDEMLENELVPNITSFDLLIKAFCKTTDFPSAQMVFDAALRTCGQKEVLYSLMCTELSTYGKWIEAKNILEIALEMRVSIQSFPYKQIISGLCEVGEVDHAHSLLKLLIAKRHLFDPAAFMPVIDALGDRGKKQDVDMLSAKMMEMADRSDGLGTDS >Sspon.07G0010510-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:33715354:33720456:1 gene:Sspon.07G0010510-1A transcript:Sspon.07G0010510-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRQFDLQSRKAKFLSLNEAEIPSYVKHINTYLREDPFLKNYLPMDPTGNQLFDLIRDGVLLCKLINLAVPGTIDERAINKKRSLNLWERNINHTLCLNSAKAIGCTVVNIAAQDLEEGRMKNGDSQKVVCSSDEMIEDGYSDEDMGDADSDIIYLEGFRISDETESEDDEEELDDDEQELDDDEFEADNYNQSLEAGGAEEKRPEAKHTTRDIVDILDDDYELQVESGFVTRWALLNTSLPYMSSRRFLAKLRYTCLSLGMKFATRPIREFRETVDLVAIEKLLDVLEIELVLLIPPWPVYNDVKIEEMCEKLGIAYQSCYPKGPLKSLARKIQYKVVKRLVRLRRLELPLVRDAPTIIFGADMVQGVGFEHVAHAASVVVSVDWPKFRKYLGVLSYQQYNEGIIEDLYGMMTHYFNSFYKKNKERPKKLIFFRNGLEEGDFDHICRQEINAIERACASNDVLFTYVVVVVPVQGPKPQSAKFKYKFYCRHNTEITSKVACYCVVRDDNHFPAGELQALTSQLCTFRHRRKNPFMDCVVPAYYAWRKAVEELED >Sspon.03G0047080-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:51981858:51989353:-1 gene:Sspon.03G0047080-1D transcript:Sspon.03G0047080-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSLSMRGSMRSRRDLPPPEKTIERLESMVAGGNFYEAQQMYKSTSARYITAQKYSEALDILQSGALVTCGGELAVLFVDTLITGELAYSEQIFDRIRKIYEAFPRITVPHFLGDDYDDEGHQLSEAISAAKVRAESCSSFLKAAISDRVMHDSDSFALVSYENSESSDWLSQKDMTKVSSHFVRGNDPKKFASMLVNFMGKCYPGEDDTAIARGVLMYLSQGNLRDANLLMDEMKEQLKSANSDFPKTDLIQFIKYLLPTLERDVYPLFRTLRQKYKTSTDRDPVFQELLDEIAAKFYNIQRQNPLEGLFSEMFKI >Sspon.05G0017400-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:69711031:69714178:-1 gene:Sspon.05G0017400-3C transcript:Sspon.05G0017400-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGRLKKQQGTTSSAAAPAKRRESNKEKEKEKEASKAKEAREIGREPARGRPRRKGKETEMGGDGEEPAKEKQKKPQGKGKGKDKETEVIEVGEQNDREKEKTGAEKNVCPQFFKVFFPEQSRERLKIPPMFHQHLKEQPTGPVSLKGPSGKKWQATIASESEVWSFEQGWKEFATDHSLKKGYFLFSVAVFSPSGIIDPAAMDAKPTNEVVLKIEEDEGVQGDMDAGGASEVSILPTEEGNAVTGRRTRAMTGGATEIPPLPTEEGHGVTGKRKRATSDIPAEANASKRHSTVAKKADKRRHQAGTSKDVPPIVHNATFSLLDESKTFNKTQIRDKNVPRSVLLKTSRAPVVISQRRPVTEEEKDLALRKANEFKSKYPFTVQIMMESYVYVGFFMNIACEFVRESLPQTNKKITLWDPLGKAWEVNYVYYSDRSVGAFSGGWGKFALGNNLEKFDVCIFELFKEDNIKVHIYRVVPEITPLLRASNRD >Sspon.04G0002660-4P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:48784479:48786988:-1 gene:Sspon.04G0002660-4P transcript:Sspon.04G0002660-4P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKFASFETIVELIYKNAVPVPKSECSKPFQLGISFAGGYIAGVFCAIVSHPADNLVSFLNNAKGATVGDAVKKLGLWGLFTRGLPLRIVMIGTLTGAQWGIYDAFKVMVGLPTTGGVTPAPAPATEEAALKASA >Sspon.03G0010040-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:32184409:32188681:1 gene:Sspon.03G0010040-4D transcript:Sspon.03G0010040-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding NVRSTDYWHVGPEQPSGFFKSARKLSSPLSSSFLPQAPPQPLPAPSRSPRQSCDPANAAMAFEKIKVSNPIVEMDGDEMTRVFWQSIKDKLIFPFLDLDIKYYDLGILHRDATDDKVTVEAAEATLKYNVAIKCATITPDETRVKEFNLKHMWKSPNGTIRNIINGTVFREPIICKNVPRLVPGWTKPICIGRHAFGDQYRATDAVLKGPGKLKLVFEGKEEQIDLEVFNFTGAGGVALSMYNTDESIRAFAEASMTTAYEKKWPLYLSTKNTILKKYDGRFKDIFQEVYEAGWKSKFEAAGIWYEHRLIDDMVAYALKSEGGYVWACKNYDGDVQSDFLAQGFGSLGLMTSVLVCPDGKTIEAEAAHGTVTRHFRVHQKGGETSTNSIASIFAWTRGLAHRAKLDDNARLLDFALKLEAACVGTVESGKMTKDLALLVHGSSNVTRSHYLNTEEFIDAVATELRSRLGAN >Sspon.03G0002750-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:7689093:7694091:1 gene:Sspon.03G0002750-1P transcript:Sspon.03G0002750-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGGIGGSAGMTMENADSARAFVKDVKRIIIKVGTAVVTGQNGRLAMGRLGSLCEQVKQLNFQGYEVILVTSGAVGVGRQRLQYRKLIHSSFADLQNPQMNFDGKACAAVGQSGLMAIYDTLFSQLDVTSSQLLVTDRDFKDPSFGDQLRETVFSLLNLKVIPLFNENDAISTRRQPYEDSSGIFWDNDSLAALLAAELNADLLIMLSDVEGLYSGPPSDPQSKIIHTYVNEKHGKLISFGEKSNVGRGGMQAKVAAAANAASKGVPVVIASGFATDSIIKVLKGEKIGTLFHNEANLWECSKEATAREMAVAARDCSRRLQKLSSEERKKILLDIADALEANEDAIRSENEADVEAAQAAGYEKSLVARMTLKPGKITNLARSIRAIADMEDPISHTLKRTEVAKDLVFEKAYCPLGVLLIIFESRPDALVQIASLAIRSGNGLLLKGGKEAMKSNTILHKVITGVIPDIVGKKLIGHVTSKDEIADLLALDDVIDLVIPRGSKNLVSQIKATTKIPVLGHADGICHVYIDKSADMDMAKRIVLDAKVDYPAACNAMETLLVHKDLNKSEGLDDLLVELEKEGQNSKSLSLSFVY >Sspon.02G0015470-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:28513447:28514898:-1 gene:Sspon.02G0015470-1P transcript:Sspon.02G0015470-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding TAMATPPVSCQALHGPHVAIFPLMAKGHTMPLVDLACLLRGRGLAAVTFVTTPGNASFVRAALRRGGAGDGDDAAVLELAYPAGGHAPAGGEAAEGVASASSFAAFAEATSALQPRFEEALAALRPPVSLLVADGFLYWAHASAAALGVPSVSFLGTSAFAHVVREACVRDKPGASVVSPQEGGAGFDDDASTSAATTYYTVPEFAHLRFSLRDLVPPPLPMIDLDTKMAAAVAASRGLIVNTFHDLEGRYIEHWNQHIGPKVWAIGPLWLARQSSSFSSTGSQQLHAKPSWMQWLDDMAAAGKSVLYISLGTLAAISQAQLKEVADGLDRAGVNFLWAVRPDNADLGRGYEERVVGRGKVVREWVDQRQILRHPCVRGFLSHCGWNSVLESVAAGVPLVAWSCEFEQPINAKFVVDELRIGVRVHASDGAIGGLVKSEEITRAVKELMFGEAGMAMALRATEIAAQAQLAVSDGGSSWKEVEE >Sspon.07G0000910-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:10377990:10381286:-1 gene:Sspon.07G0000910-3D transcript:Sspon.07G0000910-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEEAAAAAAAAATPVGPAEGSVIAIHSLDEWSIQIEEANSAKKLVVIDFTATWCPPCRMIAPVFADMAKKHPNVVFLKVDVDEMKTIAEQFSVEAMPTFLFMREGDVKDRVVGAAKEELAKKLELHMAQ >Sspon.07G0002930-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:7241155:7251568:-1 gene:Sspon.07G0002930-1A transcript:Sspon.07G0002930-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTGAKGGPVNMNQISSLLGQQELEGKRVPRMVSGKTLPCFPPWDISSRAGGFISDRFLTGLRPQEYYFHCMAGREGLVDTAVKTSRSGYLQRCLMKCLESLKVSYDHTVRDVDGSIVQFQYGEDGVDVLKTSFLKEFKELADNRKAVLAKLGGHSMKPTYNEYITELPEKLNRQAEEFLERSKEFQRCRYNIEAKELKELLDVKYLSSLVDPGEAVGVVAAQSIGEPSTQMTLNTFHLAGRGDLNVTLGIPRLKEILMTASANIRTPVMKCPLLEHVSVDDAKMVAAKLTRVRVADIVEKIEVCTVPFYNCNGHVSTLYKLQMKLYPEDQLESGLTVDECQATLRTVFVDAMEHAIEKHLDLLHKINEIRAVKIAKKIARTIFVKACNNIDHCKIVRPTDDHARIETAGVNFEVVWNLDEYISMCDITSNDIHAILKTYGVEAARKTIINEVSGVFKPYGIDVDMRHLSMIADFMTLDGGYRPMNRMGIGQFSTSPFGKMTFETATKFIVEAATHGEADFLECPSASVCLGKPP >Sspon.02G0009360-3C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2C:29370769:29371389:1 gene:Sspon.02G0009360-3C transcript:Sspon.02G0009360-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKQLCEMHRARRRTRMIATALLVASVAIVAATVLAVYLIFRPLKPQASVVRAAVYHMAATAGNSSEGRGPPYTLAASVRFTTLLHNPSDRATVFYDSLFAYVTYRGEMVAPPVPLPGVVQERGADVALSPRFGLGGAVPVPVSADTAQALEGDCAAHRVELLLVVMGRVKYRSGPLMTRWRGLYLRCDVTVGLGVDATVGGDEAGD >Sspon.01G0052150-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:20326316:20326736:1 gene:Sspon.01G0052150-1C transcript:Sspon.01G0052150-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKNQTGGGKEYRPPQQPATMDSPRAAQAATRRKKMTKQLTGKRDDTAMHAAARAGQLASMREMMSGKDAEELGALLSRQNQAGETPLFVAAEYGYVALVAEMIRYHDVATASIKARSGYDALHIAAKQGDVLMIGF >Sspon.02G0016110-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:38031572:38035300:1 gene:Sspon.02G0016110-3D transcript:Sspon.02G0016110-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRKGGNRDANGGLAEATASRLRFDSDEEAEEVGMEVEESLNAEGEDEQASAEVIGSEKTSADYYFDSYSHFGIHEEMLKDVVRTKTYQNVIFQNSFLIKDKVVLDVGAGTGILSLFCAKAGAKHVYAIECSQMADMAKEIVKSNGYSDVITVIKGKVEEIELPVPKVDVIISEWMGYFLLFENMLNTVLYARDKWLADGGVVLPDKTSLRLTAIEDAEYKEDKIEFWNNVYGFDMSCIKKQAMMEPLVDTVDANQIVTNCQLLKTMDISKMTPGDASFTVPFKLVAERNDYIHALVAYFDVSFTKCHKLMGFSTGPRSKATHWKQTVLYLEDVITICQGETLTGSMTVTPNKNNPRDIDIKLKYSINGHRCQVSRTQFYKMR >Sspon.03G0010530-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:28713280:28714803:-1 gene:Sspon.03G0010530-1A transcript:Sspon.03G0010530-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding CRPTRRRRRLSGRSRSKSCSSAPWRCTTRTPRTGGTTWLATWAAARLWRRCAAPTSGSSWTSRGSSPAECPSTGTPPPRRHRRCIELKYLNE >Sspon.02G0006020-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:18500204:18500972:1 gene:Sspon.02G0006020-1A transcript:Sspon.02G0006020-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARVEAVVICLLVLAMDVAAGVLGVHAEKAQNQASRGFVRIQAGCPALTWRGWRLQGRHLRILFIECRQPVRRAYELGIAAAAVLAAAHAIANVAGGCACACSGDKLRRASPNRQMASFALVLTWMVLVVALALLVLGALPNAKRKLAECGVPRHRFLSIGGVLCFVHALFCAVYYASASAAVREDRRAAPHV >Sspon.02G0053090-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2C:99270946:99271203:1 gene:Sspon.02G0053090-1C transcript:Sspon.02G0053090-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPLTTLDPGYAALPETLMPSPPATPTWRPSKAMTPPPTASSTPKADMEPSPTTPRELCLRSAVPASTLKFQRQELDLRLGRGVL >Sspon.05G0011770-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:25898212:25899266:-1 gene:Sspon.05G0011770-3C transcript:Sspon.05G0011770-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSLHPPHLHFHKLKRRHHPAAMAKKGLAAILYKFRDVHRPPSASPPAPSTPSAHYAQRCYPPPPSAWPWPSCRHPRTSSFRGPKDDDAAVFRTLNSVYDTTSEQFLRRSSMDEAACIDRSPLSLLGEAVAEQVDEEEKETELRETAVVRGMRSERLFFDPAGAEFLPKQGVAPARGKNEAATVAVAGVKSEEPATDAPRDKNESAAEAAVAAKGGAVVVTVESKDPYGDFRASMAEMVAAHGLQDLEALEELLAWYLKLNAKGVHAAIVGAFIDLLVTMQPQASSPPSLPSPSPSSSCITFEEYSSATFDEEDGKS >Sspon.01G0015920-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1A:47461207:47462859:-1 gene:Sspon.01G0015920-1A transcript:Sspon.01G0015920-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSTSDGHLMIKLIAVSVLGPHVLLGLNQHKGSCEIHPKLTQKCTIALRNLVSSAMHQVQLQKVPRGGRCRGHEEPRGRAAKPAVKRGGAGEGSSEQDKKAGEGSSEQEREAGEGSSEQGRGLTLVLRDAPGGGCADGMRPAAGGDEPPPGGEQGDRRAASRLGMAGGEGAPSGTSRTGGEELVRRDRTRVRLRAASEPPRAPPRRLEPPLRRLAPPSAQIGDYPPRPGDGLRLATSRA >Sspon.07G0002320-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:4226389:4236103:1 gene:Sspon.07G0002320-2B transcript:Sspon.07G0002320-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWWSGKVSLGGLQDIAGAVNKISESVKNIEKNFDSALGLEEKRDDEEASGSRTSNSDRIGFFNPVMAFMGHNGEEDGTEVSEKPQSPKNLSAEEENHSTPTKKQISEVDPSEVSGTTSPKQPSKSEEAHSISNESPVSKADVSEQSMTPQTPTYPSVAEEKIDGSTEAPASKVGDAEAPETSQSPGHPSTVEENQDHQDSKHSGPSDEAEPNQLRESAGDLPDGSASSSPIKIDKSGDTETGESIHTGKEDTSDGNTSQPQPAESMLVSSDNITEAEDEIAQEYDAPKELSTPLENSDTVDRVTHLEVKLHDGDINTEKSEEESNKMEAGEASVVVLEDNVMEKPEDLTSKSITAVHDSHLQNESVVSSTDVPAGLGGAGPASDFTREEKIPESVRSTDSQILDSVVSVAELEKLRREMKMMEAALQGAARQSQSKADEIARLMNENEQLKSTIDDLKSKSSEAEMDALKDEYHQRVATLERKVYALTKERDTLRREQNKKSDAAALLKEKDEIINQVMAEGEELSKKQAAQEATIRKLRAQAWTRTLRYVQMIKMLSSIRELEEEKQRLNSKIQVEEAKVESIKRDKAATEKLLQETIERNQTELAAQKEFYTNALNAAKEAEALAEARVNSEAKVELENLLREAGEKENMLIKTIEELRHSLTRQEQEAAFREERLKRDYDDLQKRYQASELRYNELVTQVPESTRPLLRQIEAMQETAARREEAWAGVERTLNSRLQEAEAKAAASEEKERSINDRLSQSLSRITVLETQITILRTEQTQLSRSLEKERQRASESRQEYLTIKEEAAMQEGRAKQLEEEIKELRAKHKKELQEAAEHRELLEKDLEREKAARAEIEKTSSREAPKVPLPDQTRNAPLRKLSSSGSINSLEESHFLQASLDLSDNASLERRMSSESNMSYYLRTMTPSAFESALRQKDGELASYMSRLASLESIRNSLAEELVKMTEQCEKLRTEAAAVPGLRAELEALKQRHFQALELMGERDEELEELRNDIVDLKEMYREQVDLLVSQK >Sspon.03G0011280-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:38360884:38370699:1 gene:Sspon.03G0011280-2B transcript:Sspon.03G0011280-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:maternal effect embryo arrest 18 [Source:Projected from Arabidopsis thaliana (AT2G34090) TAIR;Acc:AT2G34090] MAAYGGGHSAAAGADAVAAAPHAPPSLLVFSGDQTSPCLSACHECGFVLRGTGDAGSVRGMEVWAAYVNERAPMGCANSGGTAFNGVVEELKKVTTRVAHVLPVSDDGGSTAEIVRVLGGPAVGDIRSRCLRLSDESTAEALSVRKLLGHRLPIDPSEAKLEWYQIKETTLYGRESLVHTGKRFVPFWSTFTVRYFDGQLKCFASPTAGDSSKDGTIIRGQNEISHPSNGRREIVNKDCNSCSALPSRIKRVFYMSSEGSNLLHEVFPEVNHTVLEQLSKVDCIVYAMGSLFTSVCPSLVLRGIGETIASRSIPKVLLLNGSHDRETAGLSTSGFVTAITDSLNRTYGDPHKSLKNRPNDYVNAILVPEGGQVPLDIKNLAAQGIFHVVTVKSINDPKVGVIFDARSLIQALTNLISEQMDMCLSERGHLTENFLWEG >Sspon.07G0016040-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:57297194:57301030:1 gene:Sspon.07G0016040-2P transcript:Sspon.07G0016040-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGEDIQPLVCDNGTGMVKAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQSKRGILTLKYPIEHGIVSNWDDMEKIWHHTFYNELRVAPEEHPVLLTEAPLNPKANREKMTQIMFETFNVPAMYVAIQAVLSLYASGRTTGIVLDSGDGVSHTVPIYEGYALPHAILRLDLAGRDLTDSLMKILTERGYSFTTSAEREIVRDIKEKLAYIALDYEQELETAKNSSSVEKSYELPDGQVITIGAERFRCPEVLFQPSMIGMEAAGIHETTYNSIMKCDVDIRKDLYGNIVLSGGSTMFPGIADRMSKEITSLAPSSMKIKVVAPPERKYSVWIGGSILASLSTFQQMWISKEEYDESGPAIGTDRPTHVVRRASPPVAQPPLHFPLFYLTAVRVSQ >Sspon.07G0036790-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:42561473:42563949:1 gene:Sspon.07G0036790-1D transcript:Sspon.07G0036790-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVRVTRWVKFYGCGYGYGWALPVGYVPVVFLFRFNNIFLFRFNNSASNEQSYTSLSLPRRSIPVNRLRRPPPDSRSLIHHFPSPAPLNRAPPLLHLSSAERRPPLAVVARLRGHPTTVDPVRVSLNDWPLLLSRCAMCAAHYRTHFPRRGCLFLFSACVSARFLALTAPVASSSLSAAAFSGGYPWMRDDGSAVVRISRYLTLPAPPASQQCFSLTPIQHQPPASQQYFSLTTNQHQPLATSQPNEAEGRPTFQHV >Sspon.02G0016350-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:47324073:47326238:1 gene:Sspon.02G0016350-2C transcript:Sspon.02G0016350-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(H) kinase 3 [Source:Projected from Arabidopsis thaliana (AT1G78590) TAIR;Acc:AT1G78590] MARRRVLLFLKPFDVYPPRPYVGAAASSPTPAPSTPPQPRAASPKVAPPSLSPSLMSLIMLFAIASRGLDQGARILSYLDDRCRVHKDTIDLCQRVLQRKSLDWISVQRNHLSQPIRDVDLVIAVGGDGTLLRASHFLDSSVPILGVNSDPTCTKEVDELTDEFDARRSTGYLCAATAGNFEQILDATLDGSRRPLELSRISVKLNGIQLPTYALNDILVSHPCPASVSRFSFRSSGLRVSTAAGSTAAMLSAGGFMMPLSSCELQYMIREPISPTDADKPMLHDFLKQEQHMLVVWYNQEGAVYVDGSHVVHSIQHGDSLEISSDAPTLKVVLPEHLLKKAPE >Sspon.03G0011400-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:38841524:38842477:-1 gene:Sspon.03G0011400-3C transcript:Sspon.03G0011400-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLYDPAAAATAASQSQQRANKRARQDEAAAAKSSSSSSSSSAAAAAASGAMVPYAGMTVLDVAPISSAPPLQLRPPPPAAGAGREEPPCLRRHFLVALGLRADLPVHFIGDKCVTSTDLDAHQNRFRIPREGVDRRLRPILSLQELHAANLLEDPSSPVPRKKRPRQQELQQPGPSEPQQNAGADEGKKMKKPKKKGKVHGGLRVKLVCLDAGAKELQMSRWESSRGTIVKGEGYLHFIRQCGFKERDNVEIWAFVQRRFHLFGADVCDDSLLHLLVVKKQEEPRCCYCRAPAHVPPLCPSLLAPTPGIGFRDGGQ >Sspon.07G0025240-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:39110980:39127291:1 gene:Sspon.07G0025240-1B transcript:Sspon.07G0025240-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLDEWQLIIKLRKFIKGKRNSGIELEFHLVALAFYAEEAYRLRSQIVHLKIVYNAMNQEVLEMGDIKIDLNPSDAKNKVQGFHQLFSETYNRVQHKLLPVQEDTPIHDRDLAHHAPVEDIKAMEQALEILTVQYPRLAAMLDELRYTFSLQGAMPMPHVLNLNIRVFDIMVDKLGLDLGLLGKISSLQGIKAHVICDFCFPTEVEEVEAELRQVVEIHHPNNPPTLEIIRYAEDRMVKSDSDSQMLFERQKCKTEEIEDTERALQILTVEYPRLAAMLEELITALGLEAEDEDEVTDDKEATATSLSDRKLEDEGAVPRARVMALYIDVDDVMDNNIGLHFGLGNHRSLQGQGVKAYIDCALCFPTELESVEAELRHAIHIQQPNNPPTIEIIRFREDKMVNSDSQMLERQKRREQRFLEHFNAIKDRVEHELLQVYENIPNPDLKRVVEDIKAMEQALEILTVEYPRLAAMLDELRYRFSLQGAMPMPHVLNLNIRVFDIMVDKLGLDLGLLGKISSLQGIKAHVICQFCFPTEVEKVEAELRQAVDIHHPNNPPTLEIIRYAEDRMTDEIEDMERALQILTVEYPRQAAMLEQLKTALGLEAEDEDEDEVTDDKETSATSLSKEVVFAASVSETNGEEVSAASLPGREEEKKRRRRPWQSPYPTEKRRMR >Sspon.03G0033490-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3B:50567965:50568612:-1 gene:Sspon.03G0033490-1B transcript:Sspon.03G0033490-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSGAGGVTGKKEKPAPWPCTRGKAAASACSRPAVAVAAAAAATAGSAKNRPGGVAAAAPVPQAALTPAPALAARAVAALGALGAAVVARGIRSGEEGPALREGRDRWRSAAPAPQAALTPAPAPPWRRPAGAVPLQEEAAAAGADPRGCVGKRAAAGADPRGRVGEEERRDPSAAALGWAAAALGWHWEAAAPAACGQLEWGRGGRERKEWGGV >Sspon.06G0004150-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:13636430:13639882:-1 gene:Sspon.06G0004150-3C transcript:Sspon.06G0004150-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLWRLPTTTLLPPPSAPPAPSTASLAAFLPSPPFSIIAAASLRTGPAAATTPQIRWVDAPGTGPGMSSSASSAGGEGAGEFTEVVIVRHGETSWNASRIIQGHLDAELNDIGRQQAVAVAHRLSKEAKPVAIYSSDLKRAAETAQTIARICNVPNVVFDPALRERHIGDLQGMKLQDAAAEKPEAYKAFMSHKRNQQIPGGGESLDQLSERCVSCLYNIVEKHKGERVILVSHGGTIRQLYRHASPTKPLHCKIHNTSVSVILVSDSTGRCIVKMCGDISHLQETGVLENAFGGDKTSA >Sspon.02G0008280-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:24431509:24433363:-1 gene:Sspon.02G0008280-1A transcript:Sspon.02G0008280-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGSKPDVPLFQLLSDLLQQVESMSNQEEVELRAKIEALGLEVTKVPEQAPKHLSELEIAAELDKLSSRLDNVDKMISSAMASDPEVKSLLSSTSDIWMPVITASADERRGFAGTSSEGSQEEQENSSNSWHMGCNSFILWVCTNLIYVHLNLCF >Sspon.05G0025870-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:35492942:35496267:1 gene:Sspon.05G0025870-1P transcript:Sspon.05G0025870-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLRRAAAECPKKVAGLMDLVNLPTQLREFAGGRSQMSHISFFLRVWSYIKDNKLQDPTNKNIVNCDEKLKAVLLGRSKVELSELPMIVKLHFPRFP >Sspon.01G0037620-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:24143925:24144494:1 gene:Sspon.01G0037620-1B transcript:Sspon.01G0037620-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSRQPSPPAPSPALAPAAAVAVAEPRSRGARHRRAPPAVPAAAALILVAAVALLLLWRRRRRRRKLAVAAGAQHAADLRRLSYHQLRRATGGFAAGSKLGQGGFGPVFRGALPRSGQPVAVKVMDAAGSLQGEREFHNELSLPPTFSAAPPPPTGEGEAEAEGDPGPTRPTSCSRSPTPSPRSRAAAG >Sspon.03G0028470-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:9578474:9583951:1 gene:Sspon.03G0028470-1P transcript:Sspon.03G0028470-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEAPAEEIGGEAADDFMFAEDTFPSLPDFPCLSSPSSSTFSSSSSSNSSSAYTNTTGGVGGGAAADGAAGEPSEPASAGEGFDALDDIDQLLDFASLSMPWDSEPFPEASMMLEDAMSAPPHPVCDGRREEKAVPEGTGGEEAYMDASAAVAGEELPRFFMEWLTSNRENISAEDLRGIRLRRSTIEAAAARLGGGRQGTMQLLKLILTWVQNHHLQRKRPRDVMEQAAGLHGQLPSPVANPGYEFSAGGQDKAAGGGTSWMPYQQQPFTPPAYGGDAVYPSAAGQQYPFHQSSSTSSVVVNSQPFSPPTVGDMHGAGGANMSWPQQYVPFPPPGASTGSYPMPQPFSPGFGGQYAGGHAAMAPQRMAGVEASATKEARKKRMARQRRLSCLQQQRNQQLNLGQIQGSVHPQEPSPRSAHSTPVTPSAGAWGRFWSPSSQQQVQNPISIKSNSSRAPVQQVPPSLQDDSPQRLAAASDKRQGAKADKNLRFLLQKVLKQSDVGSLGRIVLPKKEAEVHLPELTTRDGISIPMEDIGTSRVWNMRYRFWPNNKSRMYLLENTGEFVRSNELQEGDFIVIYSDVKSGKY >Sspon.03G0043640-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:82825945:82828902:-1 gene:Sspon.03G0043640-1C transcript:Sspon.03G0043640-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTFLDALTARFLTKLGEIIQDEIVMTLHVKKDIKRLKNYLEHFGAVREDVEALAMQDRGIESWWKNMTGVMFDVDDVIDHFTVHSQKLLLPPRLVCCNQSLLSYFAKLSMEHRAAKRIKDINEKLDEFKMNREMFSLERISHQQIQITVVNRSQTSPIDELEVVGRDIKQAVDSMVKMIVNCRENGSTVFGIQGMGGIGKTTLAQKIYNEQRIRETFQIHVWLCISQSYTENGLLKQAIRMAGAASDQLETKTELLPHLMDTIRGKTAFLVLDDVWKSDVWIDLLRAPFERCLHTCILVTSRDSDVMKEMHATYTHQVNKMNDYDGLQLLMKNDVGYQIVKKCDGLPLAIKVVAGVLSTKRTRVEWESIRDSQWSIHGLPKELGGPLFLSYSNLPPQLKQRDAVAYWWVAEGFVRIEHKYSVHEIAEEYYHELIRRNLLQPKPGLVDKCVSTMHDLLRSLGQYLTRDHSLFVNSENNEALPNLRRLGISSAVEEIPAIEEQERLRSLLVFGNKNFKSLNKGIFRKLQHIRILVLRGTGIQSVPASVGNLVLLRLLDLSFTKINELPESMGSLISLEYLSLFGCHKLKSLPASLMRLSNISFLQLQQTVIAQVPQGIANFQLGLCCTVDMSTHDRTQYKTDDIQRIQGVYEMLIPSPSLVYIFFVGFPGLNFPEWLCIEPELKLPNLAHVHLNECISCSELPPAGQMPELLVLQIRGAEAVVSIGGELLGKGVISAAAFFPKLELLHIIDMRNFESWSLSTGNLCRQFMLMPCLERLLLLDCPKLRALPEDLHRAVNLKRIHIEGAHKLQEVVNLPAVMWLKVKNNRCLRRISNLNKLQDLFAQDCPELDQAESLSSLKHLYMVDCPNAEQFRQCLLREEQDVLVHVATHGADGRDIFPDESLYN >Sspon.01G0024070-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:86469288:86474799:-1 gene:Sspon.01G0024070-1A transcript:Sspon.01G0024070-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RECA1 [Source:Projected from Arabidopsis thaliana (AT1G79050) UniProtKB/TrEMBL;Acc:A0A178WA75] MAVATVTASHLAPSFPARSLRRRAAPRTAAGGLTARARRLRCEFVAGGGNGALSGEDDPRLVDRQKALDAAMNDINSSFGKGSVTRLGSAGGAFVYVCSFLISSLKSSLAFTFVLYFYYSDYYCLQPSETFPSGCLTLDFALGGGLPKGRVVEVYGPESSGKTTLALHAIAEVQKLGGNAMLVDAEHAFDPAYSKALGVDIENLIVCQPDNGEMALEIADRMCRSGAIDLICIDSVSALTPRAEIEGEIGMQQMGLQARLMSQALRKMSGNASKAGCTLMFLNQIRYKIGVFYGNPEVTSGGIALKFFASVRLEIRPIGKIKSPKGDEDVGVKVRVRVQKSKVSRPYKQAEFEIIFGEGVSKLGCVLDCAELMDVVAKKGSWYSYKDIRLGQGREKALQYLRESPTICDEIEKVVRAMIPEGSRHMSLLAFGQSSSTEDEQVYDEQ >Sspon.01G0044650-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:84336862:84337178:-1 gene:Sspon.01G0044650-1B transcript:Sspon.01G0044650-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSPTDFNDCVSKGELTTFMTEQRNLMTELTRNVNNLVTRIEQLEQRLHLIVLMMTMRLPPFA >Sspon.05G0013860-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:5A:49403949:49404572:-1 gene:Sspon.05G0013860-1A transcript:Sspon.05G0013860-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ARLGMRREILASMGKWWPRRILFGIESMLQKDGDIDEDVKHRISAGWLQWRQASGVLCDKRVLQKLKGKFYRTAIRPAMLYGAECWPTKRRHVQQLSVAEMRMLRWCCGHTRRDRVRNDDIRDRVGVGSIEKLIQHRLRWFGHVQRRPPEAPVRSGVLKRADNVKSGRGRPKLTWDESVKRDLKEWSISKDLAMDRSAWRLAINVPE >Sspon.06G0021250-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6B:13950991:13956422:1 gene:Sspon.06G0021250-1B transcript:Sspon.06G0021250-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPASLRHGPRPGLLARHEHGPAQKSPCSCRPGPRHQAMLGPPSRPKARHGHDTAKEAARRAARCLQRLFRPSPSTGRSIYNAAPPVCPPNPNPNLISPTPPRRTRSLLSPSRSRSRSAAAGPLELTDPAPVTSPLVLDYTSLLSLPLPPSSLLSLPPPLVYHAPWQGRQSAHVHRRGAVLELQWLWMSTTTVPMASTPSPRRSWKSRTTVMFGIDLSDGSNSIDVNGGDGDDGGVEGFEDTNGTSSTGKRTSTCWEYFVPILDANEVRTHAICKWCGKKFAARSSIGTGSLNRHMKSCRKKHNNDRRVQFKLSLNVDGLHNWVYDDALARNELCRLIARLDLPLGFGETQAFVPDYTVWIHHGETMVGDDDNNDKGLDAETLKLLHQYDEEDMFYDYGGNEQGGDFSNEQGANDGGGAAPDGGAHLDKCPRCGASRYKNNDLYNGGEASTGNKRNKKGAKKVNANTYCEENAKSKSRWKEEVDQQRDVYKDDQLLELVGDLCNFIIDQVYVSYPDSETELRTEDSTPLHSLARTCRAPGRDVDAMPTLACCKQLSSVNGELRAVSLARHQIRPTGRRSPDRESGPDGTCCSPHSTQCMRADQSTAGSPPLGRTPEAGREPATTTRLGIGITVHMAAEPGSRTWPASDTRARDTGWYGGRHQLPLSSRYHFERRRSSSSSPLRPSPPVRGTSNVRARPTSIGAGVIWPGWTLAVTTDETGYRTDRPRPAGRCIIASPCRCTWTLVHPPWAAAVVSPLWTEVDVYMLRCSRPSGHGTLSDTSLLVWMRATRHARSIRVLQVNDVEAPGTQGCPSVPTAMYHMGGASARPGGPAMTLLGVWAATS >Sspon.01G0054080-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:47456997:47458628:-1 gene:Sspon.01G0054080-1C transcript:Sspon.01G0054080-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSIFLYRNDVTDTFCNCANIHTVVSSPQDALAFQGLFLTALGLGGIWPCVPIFGADRFNDTDGADKEPKEIYYNWYYVSVNGGFLFASTVLVWVQDNYGWGLGFGIPTVFSAVGVAGFLASMKLYRYQKLGGSALTRICQVVVAATRKVNVDLPTDSSLLYEMPGKESVIVGSRKLMHTDELRFLDRAATITTADEKFLDTPNPWKLCTVTQVEELNILTRMLPVFLAAMIFNTAEALFPLFIEQGQVMDNHIHSFSIPPASLTTFNCLCIVILAPAYNKVLTPIASRFTGVKRGLSELQRIGMGIYGFRNAIAAAIVEMARLDMAKKTSLVHQSTVVPMNILWQAPQYILVGVAKVFSVVGFIEFAYEQSPDGMKSCCRACVLVMVTLGSYLVSIMLKMIDSVTEGRGPWLDPENFNQGRLDLFFWLMAGLQLLNLLGFAYCAMRYKSKLAR >Sspon.04G0006010-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:16532556:16534451:1 gene:Sspon.04G0006010-3C transcript:Sspon.04G0006010-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVQAQYPSNLLFHDRGEPDRKGMDMPRPSQLAGVSPAAVYFSSAGATGNNNRRKRPREAMAMAPPAAKEEYVNLFTLQPQQSTSSFANVALFHNQSRVSSSPSPAATTALVSTGLRLAFDEQQQLQQQESKQTNALRYSSSSPSLFASVSDELAAQVKMHDEEIDRFVRDQGEQLRRAMADRLRRHNRAILVKADQSAARRLREKAAEAEREARRGAELENRLARLRGEAAAWQAKALSEQAAAVTLHAQLQQAAAAARASVEELAAAAGDAGPAESSSSAYVDPCRRRTTGPSSDRACLGCRLRPASVVLLPCRHLSLCGECFAAGDADEAAMACPVCLCVRTGSVEAILC >Sspon.07G0025070-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:37496202:37497225:1 gene:Sspon.07G0025070-1B transcript:Sspon.07G0025070-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRTAAVSYSDDLASTVREQTTNAEPHYKYHSSEHKSKEANARHENYYKPINLLLHIRPNQTKMI >Sspon.07G0014750-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:52692639:52696706:-1 gene:Sspon.07G0014750-1A transcript:Sspon.07G0014750-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIVRTGAGVRLPRLDGGEEASPDATEDDEEEEESRATPSQESEADGFSAGGEDDDDEDNGGGGGGGGEEPEEVEEEDEDEPEEEEEDEGDSGMGSDELDVAELGEPGAEMCQVGEQSVAVPLELYDLASLGDVLSLDAWNTLLSEDERLHLAALLPDMDQETFARTLVELLAGQNFHFGSPLAALFERLKGGLCDPRVNLYRRGTRFAELRKHYYWLQSYHNSMVRGLREIKDCWKGRAGYSLDERLRMLDTLKAQKQQQQQQRKALDSARRAGSETDSESRGSGEQVLARLKHDKSGQKKAGKLVKEKSKGLLRVGTPKGVDEEYMGGSGHDPVVALSELSRQDNNVYGYDSGAHRGKHHRSVGGLYSEDLGYERDSSRSRFPRLLPKPVKKKELATSYDGNLYGNNYSDNHTASPYYYGRNPSANQGVTLAAAYDPPYFDTRRNARYSERDWVQGGKGVHANKAEMGDEMNWPSGTHSGNLDDWQMGQSTGDYRSRKDQAGYGLKVKSYKSIEQQVNDARVGSDPRSKISQAKMAGKSSAQLDRTGQKHSRSNTVYAQSEETESDSSEKFEDSGEVHYLERKTEHHHSGYHRPAHGAKKSNKLAKVVKMNYPTADADLEPSQSKGFKGKVSETGYLRDVDVMMTEQISDDMKPPAASGERKRKGVANLDMHVYDNSDLHEVDENANDSSRLAENERLASRSGHAVQDSNGDYGGTERVSSSSKKAKGRVEVPSLDEHGEHLSSSGPKVVENIGGSKKKSKKKPESSTTDAVVIAEPAATVPENNVVTAEPEKPEKPEKVEKPKKKYVSITPTIHTGFSFSVVHLLTAVKKAMVTPAEGTPATAKQPDGEEGKKWLNSEECSKTPHQEQSTTDQAEQVLEGANTSAAEHEGANATAAEQTVPINAPALTVQEIVNRIRANPGDPRILETQEPLQDLVRGVLKVLSSRTAPLGAKGWKALVAYEKSNKSWFWVGPVPSVSSYDDPDEETSAEAWSIPHKMLVKLVDAFSNWLKSGQETLKQIGSLPPPPPPNPANLDLKERFKELKAQKSLNTISPSSDEARAYFQREEFLRYSIPDRAFCYTAADGEKSIVAPLRRGGGKPTAKARGHPMLLPDRPPHVTILCLVRDAASRLPARTGTRADVCTLLRDSQYLNHEEANKEAAINQVVSGALDRLHYERDPCVLYDNDKKLWTYLHRGREEEDFEDDGTSSTKKWKRPRRDISDLAEPGAANDDIDDDGTGTPSASNAKKQKTDHGDTLSGEANDEGNHPTQNPSSGGLEGDPDLNAVPSSKNYEESGGVVYIDATPDDGGSKSIDAKPGSRADDNTASWQSLPVQNKTNTALPENTSMDATPP >Sspon.02G0021140-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2A:70154391:70154645:1 gene:Sspon.02G0021140-1A transcript:Sspon.02G0021140-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGRERCIWWRRRTSRYGGGEGGDDAVVAARVADGSNNATELVTARRRPSSSSHGTTAVWRNVHGATSDVRKRPWLLQWVQET >Sspon.07G0018410-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:72123089:72124462:-1 gene:Sspon.07G0018410-2B transcript:Sspon.07G0018410-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VLFASSLCLVALALVLMLLRRWPWCSCHVCRAYLTGSWARDFTNLGDWYAHLLRESPTGTVHVHVLGCTVTANPANVEYMLKTNFDNFPKGKTFAALLGDLLGGGIFNVDGQAWRHQRKMASLELGSVAVRSYAFGTIAQEVEARLMPLLAAAADAGAVVDLQDVFRRFAFDTICKISFGLDPGCLGLDMPVSKLADAFDTATRLCAMRGAAASPLLWRAKRLLNVGSERELREAIKLVDELAAAMIRERRKLGVANSHDLLSRFMASAGAGDAHHDVDDKYLRDIVVSFLLAGRDTVSSALTTLFMLLSKNPAVAAAMRAEAGDDSEAPLTYEQLKRLHYTHAVLYENMRLFPPVQFDSKFCAGPDVLPDGTYVSCGTRVMYHPYAMGRMPRIWGADHGAFRPDRWLTGAGGSFVPESLYKYPVFQAGLRVCLGKELAVTEMKAVAVAVVRAFDVQV >Sspon.05G0004390-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:8052107:8053333:-1 gene:Sspon.05G0004390-2B transcript:Sspon.05G0004390-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEPPQLFLCPISMELMEDPVTVSTGVTYDRRSIERWFFKYGKTTCPATMQRLNSFDLTPNHTLKRVISTWLDRASSSSSSSSPSTPLCNKLAREKLPSVLAGIEATPFKVTALKNLRRCMDEDVAAQQDFVAYGGIQVLGRVMTQALEESCAGGDFSAFRTCEEAGAVLATLPLSDDASVELVLKPECMKPVVAVVQRGSAESRLHAMAILAKISSASGAERDWTPGVDVDDLVKSLLELLSDGAPAKLSSRALDVLLDVTARSRGARRAKAVEVGAVCVLVELLPDADRRVAERALLLLKRLCKCPEGRLAFAEHALAVLAVARTMLRVSVLASRLAVSVMWLVACAVTPAERVLDDMLMSGGVAKLLALLQVENSASTKEKAAKLLRVHCTFWRQYPCFPTDLRD >Sspon.05G0011600-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:27814140:27819478:-1 gene:Sspon.05G0011600-2B transcript:Sspon.05G0011600-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding TTSQLARKLQRLAMEMRARESVSTSKDLTGEIAPYATALHDVFLQSHCSSCFTKLLPQPLSVVSCTICCSLRYCCSECFGADSAVHFSSGECCFFVDHLKRASPSYVSEGTSDLRAALRLLYVLEMHGLVSSDSIDKYSRIGGLSASGIEEVLEEGEVIAERILEGSLLMSSARKSRAQTSFIFSDRLKLEKMALWAVIINSVEVQISEGLAMGVAVYGPSFSWFNHSCFPSASYRFVLAPRNEDYASQKSKSCVVPASKGVAADLNKKCNNMKISFDGMLGNMKKIIVLMVLHYIRLSHATVKFITLNFLPLCKYGPRVVVRCIKPINKGDEVCITYIDILQTRCDARDLDKAEDTVTTPAIEDLGDILQQAISEYTSNDDPKACCDMIESMLSNNLVSGLKQEEISGRKHILHPLHHICLTAYMTLASAYRFRALSLEAVCLDGENTDDFFRMARAAAAYSLLLAGTTHHLFLSECSFMIPLSHFLLNTGQSLLYLVESIKGKTRQNISEARFSFSSCPASSTKNDSPPYHEFRSTCEEFGKKMLSLSLHCWSFLVRSLPSLEKIKNPMEFSMLGTTTYQSVLSEEDHVNLSAHQRVSRRNKRSAFLVWLYVVSPIANILQPSFDDNDGGCKNSNTDGYGGSGYKKSSTNDYESETKNTDEYSGSGGYEKPSTGNYNSGHNMPSSDDDYGRSGRSETDDYSGGYNKPGSDEYTTGWDCVLY >Sspon.04G0032030-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:20782450:20786300:-1 gene:Sspon.04G0032030-2D transcript:Sspon.04G0032030-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQNAGSFLAVRRLSGGAIHHHRHHSSPGTRVAPSFGYAVCLRAEAHGYGFFAFLFRAPAAEVVGVSTAWLGKGLSCVCAQRRESDARLSFDLSPIQEECLHRLQNRIEVQYDGSNLEHQKALEALWRASFPGTELLGLVSDQWKEMGWQGKDPSTDFRGGGFISLENLLYFSRNYPKSFQELLYKKNGDRALWEYPFAVAGVNITFMLIQMLDLQAAKPTSLIGATVIKSTRRQLERELLLEDIQRIEDMPSYRFLTC >Sspon.07G0016410-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:46341206:46343809:-1 gene:Sspon.07G0016410-1P transcript:Sspon.07G0016410-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAATSFATLAVARSAGQRSLLASKAAPSPLLSLRGAGLPSQAVSFSGGLAASPSHSRGRFATSASAEPKEADLQSKITNKVYFDISIGNPVGKNVGRIVIGLYGDDVPQTAENFRALCTGEKGFGYKGSSFHRVISDFMIQGGDFDKGNGTGGKSIYGRTFKDENFKLVHTGPGVVSMANAGPNTNGSQFFICTVKTPWLDGRHVVFGQVLEGMDIVKLIESQETDRGDRPKKKVVISECGELPVV >Sspon.05G0012190-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:29004973:29008379:-1 gene:Sspon.05G0012190-2C transcript:Sspon.05G0012190-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGGRALLLLLVSALLVQIRASDPLLYEPFDEDFEGRWVVSKKDDYQGVWKHAKSDGHEDYGLLVSEKARKYAIIKELDEPVTLKDGTVVLQFEVRLQNGLECGGAYIKYIRPQDAGWDAKEFDNETPYTIMFGPDKCGSTNKVHFILKHKNPKTGNYVEHHLKFPPSVPYDKLSHVYTAILKPDNEVRILIDGEEKKKANFLSVDDFEPALIPSKTIPDPDDKKPEDWDERAKIPDPDAVKPDDWDEDAPMEIVDEEATKPEGWLDDEPEEIDDPEAAKPEDWDDEEDGEWEAPKIDNPKCEEAPGCGEWKRPMKQNPAYKGKWHAPMIDNPNYKGIWKPQEFPNPEYFELDKPDFDPIAAIGIEIWTMQDGILFDNILIADDEKVATSILEKTWKPKYDVEKEKEKAEEAAAGADGLSEFQKKIFDVLYKVADVPFLAPYKTKIIDVIEKGEKQPNITIGILVSVVVVFVTVLFRILFGGKKPVAPVKPAAEAKKPKATETDGAGSSGDKDEKEDEKEETAAPRRRTRRET >Sspon.04G0001030-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:5158773:5160256:-1 gene:Sspon.04G0001030-3D transcript:Sspon.04G0001030-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEEALRREYVIGEEIGRGRFGTVRRCYAAATGEPFALKTTPKAALRQLEADPLDLALAEQEPKLHLLASDASRHVVALHAAFEDADAVHLVLDLCPGGDLFALVSARGPLPEPEAADLVAQLADALAGCHRRGVAHRDVKPDNLLFDASGALRLGDFGSADWFGDGRPLTGLVGTPYYVAPEVVAGREYTEKVDVWSAGVVLYMMLSGTVPFSGATAGEIFQAVLRGNLRFPPRAFAAVSPEAKDLMRRMLCKDVWRRLSAEQVLSKAPMDCDSRRKCGGELILQCR >Sspon.07G0034370-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7C:72440169:72440837:1 gene:Sspon.07G0034370-1C transcript:Sspon.07G0034370-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKLGFDVDTLDTCASYLVMQLLLADDLPPATETPHVTNVTSLTMLLADDVTEPPCLLI >Sspon.04G0024450-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:23263262:23264768:1 gene:Sspon.04G0024450-2C transcript:Sspon.04G0024450-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatidylinositol N-acetylglucosaminyltransferase subunit C [Source:Projected from Arabidopsis thaliana (AT2G34980) UniProtKB/Swiss-Prot;Acc:O64761] MWRKVAYGGRQSGYDDNYTDESFLEEMVMNANVVKRDLLKVMIDSVSISQYLCIVALVVSTWILTLNLDIDESTLLKLDVGLLLVGFSVLLLTTCPFSLKLLTKYVLNISFFISGLYVLAPIYHTLTRSISSDSIWALAVSLLLVERHDGGEHGEKNSGNEADQMEWGG >Sspon.04G0014560-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:58466322:58468846:-1 gene:Sspon.04G0014560-1P transcript:Sspon.04G0014560-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPRTHKSAMPRVESLLLLFMLSIPFSQAWSIDYPSPIANLPAIWTNNEATIPYNTTYEDGSTIRVILVRQKPAGFGPSFGCGFICTAPCKVFLFAVFFMSIGDPNDPASNASATPRIVWTANRYRPVKENASVQFNKDGNLVLRDFDGSLVWSTTTSGSSVAGMNLAETGNLILFNMMGKTVWESFAHPTDTLLIGQSLWQGKRLSSTFSETNSTQGQFYLTLLNNGLYAFIDEDPPQFYYRKSFNITDAIAQSKRNISSDQVKNSTAYISFLQGSFSAFLRFNSTDIQLFDLSLPLPSSVQFMSLEDDGHLRVYAWDSVSWKALADVLHVYPDECAYPTVCGAYGICSQGQCSCPGGNNDIDLFHQLDDRQPNLGCSLETPLSCDLIQYHKLMALPNVTYFNFANNWTTEEESCKEACLKTCSCKAVFFQHQNVSKGSCYLMPKIFSLMNYQPEVVGYNLSAYVKVQMLPPPSSKRTNATAYHVGVPVLVVVICLLILMIRRKIVKRMEEDDPFKGVAGMPTRFSYKQLREATNNFSKKLGQGGFGPVYEGKLGNVKIAVKCLRDIGHGKEEFMAEVITIGSIHHINLVRLIGYCSDKFHRLLVYEHMSNGSLDKWIFRKNQSGSLSWATRYKIILDIAKGLAYLHEECRQKIAHLDIKPEISSLMTSSTQRSLTLLIDRDQSHVMTKIRGTRGYLAPEWLSSTITEKADIYSFGVVVLEIVSGRKNLENNQPEGSPNLINILQEKMKVGQVLDIVDNQDEDLQLHGSEMTEVIKLAVWCLQRDCGKRPAMSQVVKVLEGAMDTESTAGHDATGRDDIIFDASSPLSPVPVSAR >Sspon.01G0004810-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:13068062:13076162:-1 gene:Sspon.01G0004810-1A transcript:Sspon.01G0004810-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTAERADEEACRVALLDAGVKEEWQVAEGHHHGQRGGEGEGEGGNKQQLGARVWEESRKLWDIVAPAIFSRVVSYSMNVITQAFAGHLGDLELAAISIANTVVVGFNFGLMLGMASALETLCGQAFGAKKFHMMGVYMQRSWIVLFLCAVLLLPMYFFAEDVLLLTGQSPELSAMAGRVSVWFIPLHFSFAFLFPLQRFLQCQMKNFANAAASAVALAVHVFVSWLFVSRFRFGLAGIALTLNFSWWATGAMLFAYVSCGGCPDTWHGFSLEAFAGMWEFVKLSSASGVMLCLENWYYRILVLLTGNLKDAAIAVDALSICMTINGWEMMIPLAFFAGTGVRVANELGAGNGKGARFATIVSSITSLVIGLFFWVLIMGLHDKFALIFTSSSVVLDAVDNLSVLLAFTILLNSIQPVLSGVAVGSGWQSMVAYVNIGSYYLIGIPLGILLGWLFNLGVLGIWAGMIGGTAVQTLILAIMTVRCDWEKEAMIASTRMDKLSEVR >Sspon.01G0006020-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:15281800:15286240:1 gene:Sspon.01G0006020-2P transcript:Sspon.01G0006020-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MELRLRLLLLALICLHAPRWASAQQPEEATVIVKGSSRIAATDDNYICATIDWWPPEKCNYNQCPWGQASILNLDLGHPFLAQAIQAFDHLRIRLGGSLQDRVVYDVGTESPCSPFTNVSNGLFGFSVGCLGMDRWDKLNDLFQRTGAIVTFGVNALYGRYNVRRSIWTGKWNSTNAYDFIKYTISKGYPALVLSGNELSGHGIGAKVDAKLYGKDVIEFKSILRQLYKAPLSQPLLLAPGGFFDQQWYSQLLETSGHGVVNALSHHVYNLGGGNDVHLIRKILDPKYLDRAEDTYRDMQLTIQRHGTWASAWVSESGGVFNNGGLLVSNTFINSIWYLDQLGMASQYNTKVFCRQTLIVLYYGIGLWAMEFFQLISMHHVKYVLMLIITHSEHGCFKMQQGITLLLINLSNTTGYNVTLQNDINVSLGKRPDFEKRSSFTHRLKKAVSWLARKPPSNTKRREEYHLTAKDGDLQSKTMLLNGVPLELVPLELGDAGSIPAMDPVLVAVDSPVHLAPTSIAFVVLPKFEAKACS >Sspon.08G0023190-2D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8D:55582981:55583193:-1 gene:Sspon.08G0023190-2D transcript:Sspon.08G0023190-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFIAGESSDASTGSFPLPFRGHCQILDTEKLSPLLPAPILFPSVALEELELEEQLRTVTAQQRKAEKGGPR >Sspon.01G0021710-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1A:79681540:79681780:1 gene:Sspon.01G0021710-1A transcript:Sspon.01G0021710-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RSRASGSTAVAASGCGSSSRPWEPRRTVWCVCAWRSASARSAPAAYRCVWPSVDDVAIAAAQPGSPPRPDRRPARVRWEG >Sspon.07G0004040-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:9934579:9938930:1 gene:Sspon.07G0004040-1A transcript:Sspon.07G0004040-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTESKAAAVPESVLLGQDPKSRLLCFNPEGAANNNYLVQYALAQPPQIFLSTMEEYVKEAPLRSSRWSSSTKSLESPYPCVTGEDMPGSSTSWLESFLDLVDDITEELNPCLIGEDVPSNQHDLWTHTR >Sspon.07G0012250-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:49967024:49972630:1 gene:Sspon.07G0012250-1P transcript:Sspon.07G0012250-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDGTSTSVAPQEQESTVVSALSRLLPLWRRRLHRLIPFPVSLLDLGLTVQRAGSVKQQLSRLVLSSLRATVPDVEVEPMVEVSAKFADYQCNNAMGLWSKVKGSGTSFKNPNAIGQAIAKNLPSSDIIESTSVAGPGFVNITLSNRWVAQRIQDMLVNGIKTWAPILPVKRAVIDFSSPNIAKEMHVGHLRSTIIGDTLARMFEFSNVDVLRRNHVGDWGTQFGMLIEYLFEKFPNWQEIGSQAIGDLQVFYKASKHKFDNDAEFKARAQQGVVRLQGGEEKYREAWKKICDVSRSEFDLVYKRLNVVLEEKGESFYNPYIPQVLEELNNKGLIKESEGARVIFIQGHQIPLIVVKSDGGFNYASTDLAALWYRLNVEQAEWIIYVTDVGQQQHFDMFFSAAKMAGWLPDPSEKKFPKTSHVGFGLVLGSDGKRFRTRSTEVVRLVELLDEAKSRSKSELLQRLTENRKIVDWTDEELEQTSEAVGYGAVKYADLKNNRLTNYTFSFEQMLSDKGNTAVYLQYAHARICSIIRKSNKDVEELKMDTNASVESLVSSSFDLIAYMVVEEACTNLLPNVVCEYLYNLSEMFTKFYTNCQVVGSPEETSRLLLCQATAVVMRQCFNLLGITPVYKL >Sspon.03G0007630-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:27796237:27799596:1 gene:Sspon.03G0007630-2B transcript:Sspon.03G0007630-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MESPGLVAVVALFVVVAAAAVASAADDAQLLEQFKEAVQSQAAAGLHGWSASDGACKFPGAVCRGGRLTSLSLAAVPLNADFRAVAATLLQLGSLETLSLRGANVSGALAAATRCGAKLQSLDLSGNAGLRGSVADVEALAAACAGLSALNLSGDSVGGPRSAGVVASGFARLDALDLSDNKISGEGDLRWMVGAGVGAVGRLDLSGNKISALPEFSNCSGLEYLDLSGNLIAGEVAGGILADCRGLRTLNLSGNRLVGPFPPDVAALTSLAALNLSNNNFSSELPADAFTELKQLKVLSLSFNHFNGTIPDSLAALPELDVLDLSSNSFSGTIPSSICQGPNSSLRMLYLQNNYLSGAIPESISNCTRLQSLDLSLNNINGTLPASLGMLGELRDLILWQNLLEGEIPASLENLDKLEHLILDYNGLTGGIPPELSKCKELNWISLASNQLSGPIPTWLGQLSNLAILKLSNNSFSGPIPAELGNCQSLVWLDLNSNQLNGSIPAELAKQSGKMKVGLVIGRPYVYLRNDELSSECHGKGSLLEFTSIRPEELSRMPSKKLCNFTRVYMGSTEYTFNKNGSMIFLDLSFNQLDSEIPKELGNMFYLMIMNLGHNLLSGVIPPELAGAKKLAVLDLSHNQLEGPIPNSFSTLSLSEINLSNNQLNGSIPELGSLFTFPKISYENNSGLCGFPLLPCGHNAGSSSSDDHRSHRNQASLAGSVAMGLLFSLFCIVGIVIIAIECKKRKQINEEASTSRDIYIDSRSHSGTTNWRLSGTNALSVNLATFEKRLQKLTFNDLIVATNGFHNDSLIGSGGFGDVYKAQLKDGKVVAIKKLIHVSGQGDREFTAEMETIGRIKHRNLVPLLGYCKCGEERLLVYDYMMFGSLEDVLHDRKKSGIKLNWAARKKIAIGAARGLAYLHHNCIPHIIHRDMKSSNVLIDEQLEARVSDFGMARMMSVVDTHLSVSTLAGTPGYVPPEYYQSFRCTTKGDVYSYGVVLLELLTGKPPTDSTDFGEDNNLVGWVKQHSKSKLTDVFDPELVKEDPALELELLEHLKIASQCLHDMPSKRPTMLKVMAMFKELQASSVVDSKTSECTGAMDDACFGDVEMTTLKEDKEEKD >Sspon.08G0016690-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:62053884:62057346:1 gene:Sspon.08G0016690-2D transcript:Sspon.08G0016690-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLSRALGRRLFSSAAAASETAAAASTSAVRKAQNPLEEFFEVERSTEEDKPPPHYGRSWKASELRLKSWDDLQMLWYVLLKEKNMLMTQRQMLHSENMRFPNPERISKVKKSMCRIKHVLTERAIAEPDPRRSSEMKRMINAL >Sspon.03G0020870-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3A:64613900:64615870:-1 gene:Sspon.03G0020870-1A transcript:Sspon.03G0020870-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIANTTLSMKLLVDTKAARVLYAEASKDVVDFLFSLLTLPVGTVVKIRGTDAMVGSIGNLYGSVEKLDETYVRSAEAKGALLAPAGGYEGGKLLQLPEAAQFAEYYRCNQHYTGRTYYTECVTNLSKRDLACSAFYLRASFPAPDSSTAREVAISYRRDAATVLHVYMQDGARSISDSGLCALPRLPAGCGPCRITPSRGPAVPAARLPLQPCSPPVVCFWIHHCSGTMITKMIVVDSSRASGGEADAAAAAQSSGHAAAGKGFVQGVVTYTAMDDLVAPMSTISGITLLSTFGITDIGMLQEKTVKLGYEETRVSVQGLKIQKASLQSKTVLTDVFLVKMK >Sspon.06G0005050-2D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6D:3504535:3505148:1 gene:Sspon.06G0005050-2D transcript:Sspon.06G0005050-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RQMFQSMMCMVTGGKQRPDVEDVGAEYGFVLAPGAKRPDGWAYQFPRTNTTILYHWSSTLCDLEPVNPSDRATSYARHLDRPPAFLKNNLHRFHVLILNTGHHWNRGKLRANKWEMYLGGAPNNRNIAIIWKAKNFTIHSIVKWMDAQLPRHPQLKVFYRTISPRHFFNGDWNTGGSCDNTNPLAKGSGIHLNHSEDGDARVQ >Sspon.03G0035610-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:82874352:82879071:-1 gene:Sspon.03G0035610-1B transcript:Sspon.03G0035610-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMDDVAGSSSMAAAASDPSHGWQTVSYPKRNRKQAQQPPRATAPDLALQANGKAAGVFDAVEKRSQERHRALQQQLASRAADLDDARIAAATGAAYSDDEDSDEAAAPRQEGEVKKPKKPKVKKPKVTVAEAAALIDAENLAAHLVEISASYENQQDIQLMRFADYFGRAFVAVSASQFPWAKMFKESTVSKMVDIPLCHIPEAVIKTASDWISQRSSDALGDFVLWCIDSIMSELSGPSAGPKGSKKVAQQSPRAQVAIFVVLAMALRRKPDVLVNVMPKIMGNNKYLGQEKLPIIVWVIAQASQGDLVTGMFCWAHSLFPTLCAKSSGNPLARDLVLQLLERILSVTKARSILLNGAVRRGERLVPPVSFDLFMRATFPVSNARVKATERFEAAYPTIKELALAGPPGSKTVKQASQQLLPLCAKAMQENNAELTREAIDVFIWCLTQNAESYKQWERIYPENIEASVAVLRKIVIDWKDVSPKLSSEALKATVKNFKAKNEAALESATDAGKQASIKEADKHCKVILGKLTRGATCLKSSLVVIGLAVAVGFVLAPDMDLPFEWEKVQAMVSSHLSF >Sspon.05G0021250-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:84787915:84792189:1 gene:Sspon.05G0021250-2P transcript:Sspon.05G0021250-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRPSRSAAAPPASLTSPKSGATKRQRKAAAAAPLGDVTNLLVLAETPTPSKPRRTACRSLPAPSDVSAVSSTCSSSASAAPAPKPSAAASVTPARKPSSAACFTPAPKPSSAAVLEEERSVLKSPTISTVHRRTPEAQGRWRRNPATANSKGKEPVAATARCPPLGKSARTNSRKKDTRPISASAPCHEGKKKRSIASTPNLLEDILEKQRAYFADIDAFELVEEEASESELE >Sspon.01G0006800-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:24462283:24466363:-1 gene:Sspon.01G0006800-3C transcript:Sspon.01G0006800-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDLPLPLFVLLFLVLLPTPCSSWQPADDGDASVSRSVFPMDGDVAWVVQVSDLHISTYNPERAAELALLGTALRAIRPHLLLVTGDITDAKNQQRTSSRQDEYEWVTYKKTIDAIVGQGGIDKSRIFDIRGNHDTYGVPYRGGKLDFFSTYSVNSQFKRLSTINSILVQGDRSYQFLGIDDTMSVGIRFPANLFGHATDKRIEAVDSELQYWTNHSNVPVTKVVFGHFPMSFTASSEKGQRYESVFARQSISAYLCGHLHAKVSKQLWRYHEIRTTEDHKSSFWEWELGDWKDSRLMRILAIDGGAVSFIDHTLKQALQTSILITYPTDSRSMNVLDSEKWSMRNDINVLIFSHQVIRNVSARVFDSHSEFKIVEEIPLQLVASTSAHRPLFHAKWNAENYRSSSATRYWLQVFVLDSHGVKISSEQRPFSVEGKMAIPTSSWTNYLLLEVQWEDMYQVLLWSNLVFTIVLLFIPKLLYHFVRRSSSYQRWAVSILSSPIQQRKAYFWLVWFLMEGTRSKPFWFSMVIYVLWLIEMPWFWGHATSENGEIAQMYLSGWSVPVHDGGLMGNKLSNPDVLVITLPFLYLVVVPVIVLIYGLFAENAIVFLRHRRRIVYSADSANMHAGPSSLLPVAPRALLMKFTDKMVSMMIQFCGSWTRRALLLTCLITAAIHLKLCSKLMSAYGIVPVVLSPPLTWIPLLLLGGAAYCTVLHVD >Sspon.08G0014230-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:56209628:56211142:1 gene:Sspon.08G0014230-3D transcript:Sspon.08G0014230-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYPTVVLIPLCVPGHLTSMLEAGKRLLGRSRCPMSLTVLVPQMTMSANLMSDVADTIRREADSGLDIRFVHLPAVELPPAGHGLEDFMVRFIQLHGTYVKEAISGMSSPVAAVVMDYFCTTLFDVTRELALPVYVYLTSGASMLALLLRLPALDEEISGDFEAMEGAVDLPGMPPVPARLMPTPIMTKGPSFAWLVYHGTRFMEAAGIIVNTVAELEPSILAAIASGLCVPGRRGPTVYPIGPVVPVKPPGDGEQPQQVHECVRWLDAQPPASVVLLCFGSMGGSFPSPQVREIADALDHSGHRFLWVLRGPPADSKYPTDANLDELLPEGFLERTKDRGLVWPKWAPQKDILANPAVGGFVTHCGWNSILESLWHGVPMVPWPLFAEQHLNAFELVSVMGVAVAMQVDRKRDNFVEAAELERAVRSLMGGSEEGRKAREKAAEAKDLCRKAVADGGSSEASLQKLVREIGEHREYQARTTEATPLPQHVHYPAATLGAASHG >Sspon.07G0016160-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:57937604:57941544:-1 gene:Sspon.07G0016160-1P transcript:Sspon.07G0016160-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMVPLDPSSKPTSQRGIEEGDTVVVYERHDAMRAVAVRAGGVLQNRFGVFRHDDWIGRPFGSKVFGCGGGSNKGGGGGGKAKAGGGGFVHLLAPTPELWTLVLSHRTRSFTSPTSASSSPTSSLCPVASFSSRVRAADRSPLRWHAPSRPMAKSTPSISTNRGPPQQARIGVSDPAFCSCVRNEIEDFQFPLVNREDFEKNGLSSLITVNVRDVQGEGFPEEHCGAADAVFLDLPQPWLAIPSVGLMLKKDGVLCSFSPCIEQVQRACEAMKTCFTDIRTFEILLRTYEVRDVSLKSVTSDEACVGPLPQKRRMLTTPEREHAQTRAER >Sspon.08G0012660-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8A:54090764:54091372:-1 gene:Sspon.08G0012660-1A transcript:Sspon.08G0012660-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFETRLNGPTMPPAWTHPSHVARNKNLHQFPLESPLLPYPFSNPLSNRRRARTAAVRSTTTALAAAETWWHATPRSSAVVCLPISPPPLTTRCRVLEPTQRGPRRRLPHDVLHRAACMSSSSFSTTPERPLLATVISHQILQKYI >Sspon.03G0008550-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:23042184:23046555:-1 gene:Sspon.03G0008550-1A transcript:Sspon.03G0008550-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MESWVRAVVEAIHSSRSQAVIYLAGGASQALGWLLSVPGASGTVLEVVVPYSRASMAQLLGKMPLQFTSKQAAEDMALAAYNRALKLSGPVCVGLQVMGVGFTGSLASSRPKHGDHRFYVSTWTHNCLRTSHVTLSKGLRIREEEDKVSSYFLLKAIADTCRVSATIHSDIHEPEIPEESMEEFDEDQELQQIINGQVCMKVYNFSAPVENNFSRKIILPGSFNPLHDGHLRLLEVASSMCDDGLPFFEISAINADKPPLSIAEIKRRVEQFRKAGKNVIISNQPYFYKKAELFPGSAFIIGADTAARLVNPKYYGGDYNRMLEILLECKSIGTTFLVGGRKIEGDFKVLEDLDIPEELRDMFISIPEEKFRIDISSTEIRKSHGL >Sspon.01G0063440-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:115309430:115317642:1 gene:Sspon.01G0063440-1D transcript:Sspon.01G0063440-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMWALEYDPDICSTYEETGAAVHKIEGFKPKVKSIRQFGKYERENMKNRANGGDGPVPISVFLVASVLKENSPKLLQEARGIDDIIRILNSVNGNLDAKRACVVALKLHRKYHKKEFSKFGAIKSGGIQVKCQDNVFVQLICTIQYRVVKENADDAFYELQNPQQQIQAYVFGGELLASSFLGFKNDVAKAALQELEKVMSDYGYSIEHIIMVDTIPDAAVRKAMNDINADYHLLHR >Sspon.02G0015940-2P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:46565065:46565497:-1 gene:Sspon.02G0015940-2P transcript:Sspon.02G0015940-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATATAAAQAHPASMEGRGWDVASYRREILRSRDLSSCTLFRAVFSGHSDGPDPNVLLAAASSDGSLASFSFLSCISAGSAAAQVETAAALVDPVCVVQAQSSTAYDVRFYPDPQHFR >Sspon.03G0014830-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3C:67425232:67425709:-1 gene:Sspon.03G0014830-2C transcript:Sspon.03G0014830-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RPKSAGLVDYDDDDDEDFNPPPKEPSRPAEDDVPLNISPVKRKPVNAVDGKHADGEGRRRQKIETRISCAKIAAVTSTAIKHTDLQNKHASHLPTSATPSTEANGVFRERGTNSEEHQHSVENTETSRQAGGDCIKDVGSMSPEKAVNTTNTSDSEPYS >Sspon.02G0058850-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2D:84352006:84354230:1 gene:Sspon.02G0058850-1D transcript:Sspon.02G0058850-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLALKEEFLVHVIFASLLKEFDTFVVNYNIQLEKWDLECCMAMCVQEEERIKAANGGTLSFVKDNKKKNVNANANSPSKPKGKGPMPHQFQQNKFAVNKDQCLYCKKEGHYKKDCPEFLKMIMAKNAREIDLEEKRVCVPTPIIQEPFFELPVLVAPTVRDTIVPTHIVSSPMVTVNDDEEHVPQAPIETNATDEGKQQQPEIEDVPNVEAPIRSQRVRRSAIPDDYEVYNTEEFQIEGDPTSFEEAMRSDNSSKCLEAMEDQIKSMSTNKV >Sspon.06G0023000-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6B:39735334:39735963:1 gene:Sspon.06G0023000-1B transcript:Sspon.06G0023000-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLMSEFYGSAQLVPYEGKQVSNFRSTIHKTEKFKDMQETLDYFRALKEEDPDFFYKIKLDDNHRVENLFWVDSAARRAYKEAYSDCISFDATYMTNIYEMPFTPFIGINRHCQTFQLGCAFIRNEKTTTYEWLFVTFLEAMDGKAPLNIITDQDAAMRAAICTVFPNTTHRNCRWHIMDKFSGTIGPILAKNEELNEEFVDCLNVDGP >Sspon.01G0022580-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:82340585:82344358:1 gene:Sspon.01G0022580-1A transcript:Sspon.01G0022580-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAITAPPAPPPASTSCRLLRRRAPLIRFAASSSSSSPSSRFRPSSSFPGAAGSSSSGGGGEILHVSPPPPPAAPPGAPVYVTLPADAVGPGGRVARRRAMGASLAALAAAGVAGVAVELWWGVVERHRPGEYDWAGYLELAAMARRHGLRVRAILAFHQCGAGPHDHPWIPLPQWVLEEMDRFQTCHIPIDTRRETKNIYHWDVIFFLSPMQAYSDFMRSFRNTFEDYLGAIEVQVGMGPGGELRYPSCPTEKLNQPGSSSELGEFQCYDKFMQASLNARAQIFGLQEWGNGVQLAQMVHSRTLKKQVFFALMEAIGIHLMVTFSLSGMLLLHGERLCMIADAIFSGTGVTISGKVAGIHWHYYTCSHPSELTAGYYNTLLRDGYLPIAQMFAKYKAALCCGCFDLRDAERTNSESSPEGTLRHLAGAAKMCNLPLNGENSVTRLDDASLNQVIRSSRLYSGRTSGTSFSFNYVRMNKTLFEFHNWNRFTNVVAANNIES >Sspon.02G0019240-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:63480392:63486563:-1 gene:Sspon.02G0019240-2B transcript:Sspon.02G0019240-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRCGWLSSLFRPRRGGRALPQPDPQGPDSEPKGSYNRQPLRAVDKRSASDEVVMENILSNSDFSEGLHLWQPNSCHAFVAAEGSGYHYGVRPHSGSTYAILTHRTQSWQGLEQDITEKVTLGTEYFVAAYVRVHGEVHEPIGVQVTLKLEEEGSSTNYLSIARIVASQERWEKMEGSFNLTTLPRRLVFYLEGPPPGVDLLIDSVTISYKVLQWISCLLVFSSENRGSASSIGGTENIILNYDFSKGLHPWNPICCHAYVASQWSGFLDGIRGNSGENYAVVSKRTEHWQGLEQDITNQVSTGTAYVVSAFVRVDGNVQGQVEVKGTLRLQNADGSTHYNPVGSVVASKEKWNKLEGSFYLTNMPKNVVFYLEGPPAGVDLVIDSVTITCSRHKQSKFTAYGNVRPLNGSYFASATGRVHNWNGIQQEITGRVQRKVLYEISSAVRIFGSANDTEVRATLWVQEYGRERYVGLAKNQTSDKQWTHLKGKFLLHAPFTKAVIFIEGPPAGIDILVDGLVLSPARKLQAAPCPKIENVLYGTNLLHNNAFTRGLAGWSPMGSCRLSIQTEAPHMLSSILKDRASQKHISGRYILATNRTDVWMGPSQVITDKLRLHVTYRVSAWVRVGSGGHGRHHVNVCLAVDNNQWVNGGQVEADGDQWYEIKGAFKLEKQPSKVTAYVQGPPPGVDLRVMDFQIYPVDRKARFDYLKEKTDKVRKRDVVLKFQGSNAVNLLGSSVRIQQTENSFPFGSCIARHNIENEDLAEFFVKNFNWAVFENELKWYHTEAEQGRLNYKDSDELLEFCEKHKIQVRGHCLFWEVEDAVQPWVRSLQGHHLMAAIQNRLQSLLSRYKGRFRHHDVNNEMLHGSFYEDRLGRDIRAYMFREAHKLDPSAVLFVNDYNVEDGCDTKSTPEKFVEQVVDLQERGAPVGGIGVQGHISHPVGEIICDSLDKLAILGLPIWITELDVTAENEHIRADDLEVFLREAFAHPAVGGIILWGFWEMFMFREHAHLVDADGTINEAGRRYLALKQEWLTRANGNVDHQGEFKFRGYHGSYTVEVDTPSGKVARSFVVDKDSPVQVITLNV >Sspon.05G0011970-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:29479106:29481660:-1 gene:Sspon.05G0011970-2B transcript:Sspon.05G0011970-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding TRREVAASLHRSLARFFVHDDPEKFHSLVAAKASHHRALGSADLSCALQACRGRGNRWPLVLEIHATSVVRGLGADRLIGNLLIDLYAKNGLVWQARQVFKELSARDHVSWVAMLSGYAQNGLAKEAFRLYCQMHWSAVVPTPYVLSSVLSACTKGKLFAQGRMIHAQVYKQAFGSETFVGNALIALYLGYGSFKLAERVFFDMLFCDRVTFNTLISGHAQCGHGERALQIFDEMQLSGLRPDCVTVASLLAACASVGDLQKGKQLHAYLLKAGMSFDYITEGSLLDLYVKCGDIETAHDIFNLGDRTNVVLWNLMLVAYGQISDLAKSFEIFCQMQATGIRPNQFTYPCILRTCTCSGQIELGEQIHSLSIKNGFESDMYVSGVLIDMYSKYGYLDKARKILEMLDKKDVVSWTSMIAGYVQHDFCEEALATFKEMQDYGVWPDNIGLASAASACAGIKAMRQGLQIHARVYVSGYAADISIWNTLVNLYARCGRSEEAFSLFRAIEHKDEITWNGLISGFGQSRLYEQALMVFMQMGQAGAKYNVFTFVSSISALANLADIKQGKQVHGRAVKTGHTSETEVANALISLYGKCGSIEDAKMIFSEMSLRNEVSWNTIITSCSQHGRGLEALDLFDQMKQEGLKPNDVTFIGELDRARRFVDEMPITADAMVWRTLLSACKVHKNIEIGEIAAKHLLELEPHDSASYVLLSNAYAVTGKWANRDQVRKMMKNRGIRKEPGQSWIEVNNAVHAFFVGDRLHPLSDQIYKFLSELNDRLAKIGYKQEKPNLFHEKEQEQKDPTAFVHS >Sspon.06G0014430-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:79017227:79026968:1 gene:Sspon.06G0014430-1A transcript:Sspon.06G0014430-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAERWCKCDEHVTVKVCTPKAGHPVQQSYGMRLKPEKTGKGRVWSEAEHAHMVASRFWSEAVKGHVKIFNLRWQPTIPARCYLDAISMELNSTPSARWTDDENDPGTRPHHVDTESSISISDDDEQGWESDRSMNLG >Sspon.02G0017340-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:49920051:49922058:1 gene:Sspon.02G0017340-1A transcript:Sspon.02G0017340-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding TSRRATSPILSPSLSIPSHVTVSNPTHSHRKMRAPAPAPPLPALLSRCSSPPSSAPPRRLLSSPRTPAACRPPPAVARSVSVSVDAPAAAAEPAVLGAPSATPRRRLILLRHGESTARGRSTRDHDRPLSKAGRADAISVSNKLQQMGWIPELILCSDAMRTKETLKILQEHVQGLSEAVVHFIPSFYSIAAMDGQTAEHLQKAICKYSSDEILTVMCMGHNKGWEEAASMFSGDSVVLETCNAALLEAAGKSWVEAFSLAGLGGWKLHGIVKP >Sspon.04G0023160-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:16587970:16590889:1 gene:Sspon.04G0023160-3D transcript:Sspon.04G0023160-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGITVDGVVAEEVPNGVNSSPQNENIPAPKSTAASTMAASMQSEALEMHVEGSGAGEPSIEQLYNNVCEMESSSEGGSPSRESFGSDGEESRIDSELRHLVAGEMEAMKVIEEEEENGSVANVVPPAPAENGTPVKEQSSNSSKKSKKASKSQLESDASVGPNGKAPTEEGESEVSKPASRVGRRRKSNANPQNGTEDAGLDNPDLGPFLLKHARDLIASENPRRALKYALRATKSFEKCAGGKPSLNLVMSLHVVAAIYCNLGKYEEAVPVLQRSLEIPVIEEGQEHALAKFSGCMQLGDTYGMLGQTALSLQWYASGLEIQKQTLGEQDPRVGETCRYLAEAHVQALQLDEAQRLCQIALDIHREHGETASLEETADRRLMGLICDTKGDHEAALEHLVMASMAMVANGQETEVASVDCSIGDIYLSLGRYDEAVFAYQKALTVFKTSKGENHATVASVFVRLADLYNKTGKLRESKSYCENALKIYQKPIPGTSLEEIATGLTDVSAIYETMNEHEQALKLLQKALKMYNNSAGQQSTIAGIEAQMGVLHYILGNYGESYDSFKSAIAKLRTCGEKKSAFFGIALNQMGLACVQRYSINEAAELFEEARTVLEQEYGPYHPDTLGVYSNLAGTYDAMGRLDEAIEILEYVVGMREEKLGTANPDVDDEKRRLGELLKEAGRVRSRKAKSLENLLETNPYTATKRNAVAA >Sspon.01G0045180-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:86380230:86380704:1 gene:Sspon.01G0045180-2C transcript:Sspon.01G0045180-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding CPRTGMNGGSTGRSSSKASKKTGATSGAGASCAAPRRRWSGTRGTPAATSASSTRAHTSTAPRRRRLLLLPADSCRAAAAPPTPTDTSWARSTSAGPGRSD >Sspon.01G0009990-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:28092432:28096428:-1 gene:Sspon.01G0009990-1A transcript:Sspon.01G0009990-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAACAAARMFAYNATLCACDPGYYYHLSGNGTASCAPMPGGGWGDWQVGSVGAPRNHSLYFLEPVLSIYAIRRLTQSQAVILEATLASLLCWLAFCAAVRFGGRDPRGEKRLFRARYWVSRLDCLFDNSHWTGDQQVLRKRKTELGGTFSVASLIIFTGLLTVLLYQAIKRRSLEMHRVKPANAPDLLSFVNDLEFHITTVSSMSCTQAVVPSTVATGTPGFMDFRVVPLPALFTYSCTNTSQGPSITLRCNGCRIPPRDHYVSWQFVDVPGQPASAVGFQFNLTARQHGNNQHMSFVSGTMNSDGYADDGKLKTFRGRDSNVLKIQLFPQIYNNLGNLRLLQPLVQDFTQGSTFSDVSSLNSSLQNPRDGVVNTTLYISYLSDYIVEISNESVVGPVSVLASIGGLYAFSVAICLCLMAQCEARIKKLHEEDTRMLKILSKRRAQRNWDKVRKFVMYTWGLSSLDPSDRTGQQPEGSGGIDIERAEGMHHSSSSSEPGNQTLPLTALVPP >Sspon.08G0003400-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8A:9037448:9039549:-1 gene:Sspon.08G0003400-1A transcript:Sspon.08G0003400-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIDSGGGAGVSGSGAKRRRVDELDDRCEAVASDAVPVDRISALPDELRLLVLTHLPLKDAIRTGALARGWRDLWRGRWAHRASLEVHLRSRDDPWRELDALAREPRPRRRLERFSLIVDICNLKSSELQRFLDYAAECGVEDLHVQTLQGTTASRLNFHLPLSSPALACLSLRHISVSKMYHKGARPFHALEVIRLASVSFRREAFREMMALCPSLLTLDLRCCSCNGWVFDRLPPNLRSLTIAGCDRITSLDFVRVPSLRSFRYSGCFSNLPLSIPRDAVLSDLYIQLYDSVTMKEWHIDKLRKSLPKDLSRLNVLTISYKALTGASVLSDDGVNAQLPNFNLHGLKELHLLMLQTKAVNLANLYLFLKTFQCPNLERLFVQLPAYRLKPTEGSIDQVREEPPEDGLDNLVMIKVMNFNWCPTEVQLQQQQPPLPPWMQLCSSLSSPPFQFPAAPSPPFLPAALPLPYGCCCKRAGLEIQWVQW >Sspon.08G0004830-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:98508943:98514064:-1 gene:Sspon.08G0004830-2B transcript:Sspon.08G0004830-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLWRWYQQCLATHPVRTQVVSSGILWGLGDIGAQAVTHYSARPDRRGHASSPPEDKDNKDNKEFKVDWKRVGITSSFGFAFVGPVGHYWYEYLDRFIRRRFQPNTFKFVASKVAADGFLFGPLDLLLFFSYVGLGQGRSVEQVKEDVKRDFIPALVLGGTIWPAVQIANFRFIPVRYQLLYVNLFCLLDSCFLSWIEQQGDASWKQWFTSFQKIEDQKSKGPSDIVEMEYNKQKC >Sspon.08G0008210-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:26811820:26817590:1 gene:Sspon.08G0008210-4D transcript:Sspon.08G0008210-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEPPPAAAAAVAAALLKCRGNGGGGEPTLEAFGKAGRRRGEEEEEPLRRGLAAAQARARARRKAGHATPSPSWKLEPSPPRPEDEALAEADSGAGRRGAPAASARQLGATLWEIQDVIRAAGASRRIRRRGRRAPAADDANADADRPRSSGGFGAQIAASVMEHEKLHEERCHSRQPHSPASYTSSVGATTINLISPTRSLDCNARFRQPGNDIKTSTELLKVLNRIWSLEEQHAADVSAMKGLKRELHHAQACIQELLQERQRYHHEIDSLARQVTEDEMARRSKDQEKMRATLGSLHEELEDERRLRKHSETLHRKLGKELSEMKSAFCKAVKALEKEKKTTCLLEDLCDEFAKGIRNYEEEVRLLKQKHVKEYEHKFDKSVVHISEAWLDERMQMHKTKMREDLSGKTSITERLSSEIEGFLHHAKRLGNSQNVNLDNGSEKRDASLCRQSLESVHLNGATSAPQLAEDDDGSSIASDLHCFELNMHGGVIRNHDLAGTRRRVTGCMHSPIRRLEYSNGISVEGSPMSNAPPCPKKEKTRSSISRQQFITSTPEISSRNDASLAPADEQNETVMTQVSRRLRDDLLKIKSEAPQHAYLGQKSNQPWTNQFHESTSRDLCDVRSPARHLNNPAQSLGYEISEPPAHHLIGTKENTLKAKLLQARLEGQHARLSASVFPLISTRRK >Sspon.06G0004210-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:13296897:13300556:-1 gene:Sspon.06G0004210-1P transcript:Sspon.06G0004210-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKLQSEVLKDAISQIVGDAKEKNRKFTETVELQIGLKNYDPQKDKRFSGSVKLPHIPRPKMKVCMLGDAQHVEEAEKMGLDYMDVEALKKMNKNKKLVKKLAKKYHAFLASEAIIKQIPRLLGPGLNKAGKFPTLVTHQESLESKVNETKATVKFQLKKVLCMGVAVGNLSMEEKQIQQNIQMSVNFLVSLLKKNWQNVRCLYVKSTMGKPYRVF >Sspon.05G0021670-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:73006057:73009868:-1 gene:Sspon.05G0021670-3C transcript:Sspon.05G0021670-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAGGDDLAALHEQVALASSAAISASDLDLAFQLQVAEAIQASLRSPNAAAPSSSSQAASVLPVPEPSSDAAYAFAVQADDLAHAEQDRRDAEACRAAQARAAASARIAAHDALFARDLAAIPEEQWAHDGDYFERPVDSSPRPLFRVFSKGMPSRDVVGSRDRDPSIAVLAVAVCRTQGGVVLRIQKPVEHFVGGRMIVEVMALMEGLDAALGLGIRSVTVVTGYRPLYNHLPVRTEKHCTVFATLLFIRNIGKSLLLDAWHLAPSGKKLADMMNQVLSVRRKFDQCEVSLVEPSQVSYVVKLATDSLTVQIAKALAANAVKEKRESCAICLEDTDITKIHVVEGCAHRFCFSCMKEHVKVKLLNGTLPACPQEGCATKLSVEGSRVFLSPRLLEIMVQRMREGQIPPSQKIYCPYPKCSALMSLGEVIRPMQESSSRYTAADAATLRKCVKCRGSFCLSCKVPWHDGMSCYEYKMRYPHARPEDAKLQNLARQRLWRQCVKCKHMIELAEGCYHMICVCGYEFCYTCGKEWKNKKASCSCPLWDERNIIRDEDDDDYEEDEDGLY >Sspon.08G0011240-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:46928754:46929303:-1 gene:Sspon.08G0011240-2C transcript:Sspon.08G0011240-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRRSRASVSEEEINELISRLQTLLPSARRRGGSQASTTKLLKETCSYIKSLHREVDDLSDRLSDLMATMDHNSPGAEIIRSLLR >Sspon.03G0038680-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:6979970:6981229:-1 gene:Sspon.03G0038680-1C transcript:Sspon.03G0038680-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAGAPAAPQAARPGPGFDVIVVGAGIMGSCTAHAAASRGSRVLLLERFDLLHPLGSSHGDSRIIRDAYAQPRYLRMVRLARRLWAAAEAEAGYRVLTPTPHLSMGPRASAALAAAVANIGAEEVVADDLGSGSRWGGAFAFTVPDPADGWVTALSDHGGVLSATKAVAMFQSLAVAKGAVVRDNTEVVGIEKAPEGGVVVKASGGEAYHGAKCVVTVGAWAAKLLRSVSGVDLPITPLHTLHLYWRVKPGQEQSLSASAGFPTFSSYGDPPVYGTPSLEVPGLIKISCDGGPPCDPDARDWHAGDAEVTDRVARWIQEAMPGHVDAAGGPVLRQSCMCAMTPDADFVMDFLGGELGRDVVVGVGFSGHGFKMGPAVGRILAEMAIDGDSQTAAEAGIDLGFFRIGRFDGNPMGNAKN >Sspon.01G0062490-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:104995766:104996262:-1 gene:Sspon.01G0062490-1D transcript:Sspon.01G0062490-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MERKQVPNLTGNGTNLVLPTKESVPPFKRARTTRKEEIATHRDGRIFKPARAREEQRPSVEDPEERRRTTAARNRAKGGFGGAGLDAPHHQQRGRRRAGEGEAGPTHTGSVAQLWLCFVSRRVGLGSSLRRAQPK >Sspon.03G0032790-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:40571314:40572111:1 gene:Sspon.03G0032790-1B transcript:Sspon.03G0032790-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding PEEARSQINEWVARATAGRIKDLLPTGCLHSGTPAVLANALYFKGAWERKFDACMTRDEAFFLHDGCVVRVPFMSSTSKQRIACRPGYKFLRLRYAQQGGGKHRLFSMYIYLPDAHDGLPTLLHKLSADPAALLESSRTLTHEVPVRAFRVPRFTVAYKMNAREMLLDLGLLLPFDRVVADFGDMVEAAPEPLVVSDVYHESFVEVNEEGTEAASATAVALRFGCARMEAPVDFVADHPLVFLIKEEVSGVVVFAGQVIDPSISQ >Sspon.05G0026240-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:31225315:31233345:-1 gene:Sspon.05G0026240-2C transcript:Sspon.05G0026240-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTSLINLMAIAESCVDAVVMEMVAVYCGGLYAAKPELAARRIEAIGFQVGHQLSESEEAGFEVVIAHVARTTTSKKRNHEIFKTIIKCNRQGKEQVAKSLEEEESEIDKDIGKATENKKENKCANEIKLSLRHGGQRRKRHMEDNKA >Sspon.08G0010830-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:43203724:43206668:1 gene:Sspon.08G0010830-2B transcript:Sspon.08G0010830-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAASASGGDIPKQLLSIIRDFAYEKSHGGKHPTPPAPSAHATRLFARSRLIERRVSDLRRRLADARAAADAAAAELDAAKRAREAAEQDLRGSQVQAAIAADSILALEATISHLQEEISKSGTDLDALKEFISKMYEMNAKIRDESKNVDSEGSLKDLADKVSNIEAEMQLLEEEYKKDLLDHDKVRQELADVQAKRALMETVMGETKQLQELSEYPEIYLSVSMHFYSHFPMLSVKLSLTWNTRTAELEKVHASLAEELQRRYTCPGCGVNNMPELEEAAN >Sspon.05G0015080-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:57969640:57971180:1 gene:Sspon.05G0015080-1A transcript:Sspon.05G0015080-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MYHCQKHVLVAIALMAASILQGVSSTAITTAKFPEYAVTTAYDVLEQNNLPQGLFPLGIQAYELNAGGAFVVTLPGECNFFVTFAEKEIKFRFDSSVSGTIKSGSISRLSGAKILVEFALRGFNQVNRAGNLLNFHLENSVIRSFPASAFAESV >Sspon.01G0005870-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:13982935:13986691:1 gene:Sspon.01G0005870-1P transcript:Sspon.01G0005870-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFASRPVVHPLEVAAPADPVQPAPGVLMKDLPGMPGTPGGLGLRVLQLLFAAISLAAMSSTVDFASVSAFSYLIATTILQCVWSLTVAIVDIYALLVKRCLRNRRAVALFAIGDGITWAVSFSGACMAAGVTVLIDTDLNMCYENHCANFQTAVAMEFMCCFSLLPSLLLNLYSIASSRG >Sspon.08G0002440-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:5438911:5448951:-1 gene:Sspon.08G0002440-3C transcript:Sspon.08G0002440-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:E3 ubiquitin-protein ligase BRE1-like 1 [Source:Projected from Arabidopsis thaliana (AT2G44950) UniProtKB/Swiss-Prot;Acc:Q8RXD6] MANSVARALYLDPGIPSVATVDLDGSHGVQRCPLGLCLLLKKLDPNAEVDDELWCGGPTQSPPLPKRGQFIVVLHQHLMFPFWQLDFAVLKYKNQKLAEQLEVHKFEFRALESRFNDLKEKQKTHNETLVLVKSYWERLVADLGIIPVCKSESSHSSCSTGNNNIRKDFLNGLLEAGATESSGSPNCQLGNDVSSEQSTTIDILQKLFLPSNGPWHVNNEFVSAALTKLPENEHSRQLHSATNDVLSKLHVVMCAVDNLHLKHRQLAGNYQKQRDLNAWNRAEQKRLKEELTSVVAKLEGSKQKLAVLKAQGDNKQATPILVPTLGNKNMTAEKVRDKQTELQDLEATHKELMELISKRLEEIRRLHTERIEILNKLAAFQNILTDFKSIRSSKAFQLVNDQLQKSQAELDDHQTLLEKLQVEMDTFVWRERQFNQKVDLAEIPQKVSAYCVSRIADLEKDVQKLCNEKNMLVLKLEEASREPGRNQVISEFKALVSSLPREMGAVQSELSKHKDVSLQLHSLRAEVHSLSSIRTRKEQEIEETSCRSAHAGSDISQLQSLVRELRENTQELKLFVELYKHESTDSRQLMESRDRELAEWARVHVLKYSLNESKLEQRVIAANEAEAISQQRLATAEAEIAELGQKLETSRRDLVKQSDILKSKHEECEAYVVEIESIGHAYEDIMSQNQQLLQQIIERDDHNTKLFMEGVKAKQSHDALHLEVCSLQRNLRHASTLMDLCNQKIIRLEDQLRGWSERLRRLSEDGMQQSISLGNSQRKLAGMHGEAPKLRQSMDVLQAKVGSNRLEVAELLIELEKERFSKKRIEDDLDLMSSKANSLREKTDNSAVLQKLLHEAKEYRGILKCGICHDRQKEVVIAKCYHLFCNQCIQKSLGSRQKRCPSCGLSFGVNDVKPIYI >Sspon.08G0006200-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8A:19481076:19481351:1 gene:Sspon.08G0006200-1A transcript:Sspon.08G0006200-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARALRVGVASDGGAREISGGGAAAAALARGSSGHGGAQAAVRRLDGGAAMDSAGSGRLRQARTVRGRRKHGGARSSGLGHDGAARVGEED >Sspon.03G0032750-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:39834394:39837142:1 gene:Sspon.03G0032750-1B transcript:Sspon.03G0032750-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SPSMILQVAAVLLPRLLQFIVCASSFGRLMHLMSQPQQNRSTSGCNQGNGIKKYQLREEGSQRDGKREEILIQT >Sspon.03G0026960-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3B:4266308:4267474:-1 gene:Sspon.03G0026960-1B transcript:Sspon.03G0026960-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytokinin oxidase/dehydrogenase, Crown root formatio [Source: Projected from Oryza sativa (Os01g0940000)] FGIITRARIALEPAPKMVRWIRVLYSDFESFTEDQEMLIMAENSFDYIEGFVIINRTGILNNWRVSFKPQDPVQASHFQSDGRVLYCLELTKNFNSDDADIMEQEVTVLLSRLRFIQSTLFHTDVTYLEFLDRVHTSELKLRAQGLWEVPHPWLNLLVPRSSIRRFAKEVFGKILKDSNNGPILLYPVNKSKWDNRTSVVIPDEEIFYLVGLLSSAPSLSGHGSIAHAMNLNNQIVEFCEEADIGMKQYLAPYTTQQQWKAHFGARWETFERRKHRYDPLAILAPGQRIFPKASLPLSL >Sspon.04G0024390-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:23854026:23856794:-1 gene:Sspon.04G0024390-3D transcript:Sspon.04G0024390-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative cyclic nucleotide-gated ion channel 15 [Source:Projected from Arabidopsis thaliana (AT2G28260) UniProtKB/Swiss-Prot;Acc:Q9SL29] MASGASRNVRFQNEIEVQSFRTSPLQQNLSSRKHGRAHDPRKCRLGFRGGCLEKACRNPTLKDRVLSRAFSEELESLMHAAGSSHLFFDPRGHLIHLWNKIFLSACLLSLFVDPLFLYLTGTQRNNHMCIEFKYSLALTLSMIRSLLDLFYAAHILFRFRTAFIAPSSRVFGRGELVIQPYKIARRYLGRTFWFDLVTALPLPQFVIWIVIPKLNESPTANRKSILRFSIIFQCLPRLFQIFPLTSQIIMATGVMAETAWACAAYNLILYMLASHIDADLIFFSFWTTEPDEYVQVLGALWYLFSVQRQEACWREACLLESPTCQTMFFDCKALSSNRTIWYELSNITSLCTPGNGFYAFGIYEEALHAKLTSSSFTQKYFYCFWWGLKNLSCLGQNLSTSLSIGEITFAIVIGVLGLVLFGLLIGNMQSYLQTTMVRLEEWRTKRTDMERWMHHRQIPQPLKQCVRRYHQYQWVATRGVDEEALLQDLPMDIRRDIKRHLCLDLVRRVPLFDEMDERMLEAICERLRPALYTRGTRLVRELDPVDSMLFIIRGYLDSFTTQGGRSGFFNSCRIGAGEFCGEELLTWALDPRPSAKLPLSTRTVRAVSEVEAFALVADDLRFVASQFRRLHSARIRHRFRFYSHQWRTWAACSIQAAWRRHKRRRASVELRVREGGDVRTAGSLRRSCRHSIDGEASIKKPMEPDFTVEEED >Sspon.08G0006080-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:18937211:18945731:-1 gene:Sspon.08G0006080-3C transcript:Sspon.08G0006080-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAWFSSGSGPSSSSSAASSSQPSLLAEWNSYAAARSAEDAGDGFGIDIEAAVRSANDRVAGTFGVYLYCKIEGIIGSSQIISARNVMVSKGVKGLPGSFKSTTSSVPSGRSLMYFGLFLASGVFLVFIAFTIFLPVMVIMPQKFAICFTVGCAFIIGSFFALKGPKNQLYHMISKERLPFTVGFVGSMVATIYVSMVLHSYILSVFFSVLQVLALAYYAISYFPGGSAGMKFLSSAL >Sspon.05G0005540-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:12086845:12090284:1 gene:Sspon.05G0005540-2B transcript:Sspon.05G0005540-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box/kelch-repeat protein SKIP30 [Source:Projected from Arabidopsis thaliana (AT3G63220) UniProtKB/Swiss-Prot;Acc:Q9M1W7] MPLAPLLSLLPKKTPEQERRRRLHKYCCFIAAILLCTMPSTLLDGLPNEVALQCLARVPFLFHPMLQLVCRSWRASVCSGELLKIRNQIDATEELLCVLAFEPENMWQLYDPLRDKWITLPVMPSQIRNIARFGVASVAGKLYVIGGGSDRVDLLTGDHDRIFASNEVWSYDPLHRVWSQRAPMLVARAMFACCALDGKIIVAGGFTNCRKSISKAEIYDPEAGLWEPLPDLRLAHSSACTGLVIKGKMHVLHKGLSTVQILEDGGSHWAVEDFSWLQGPMAMVGGELYVLSNSCIMKQRGENFPDKMVSCASEFQSRIGFGMIGVGDNIYLVGGVIGPGPRNQCIKPLSDVDILNVTSERPTWRPGSPMTHCRGSICGCALLRI >Sspon.03G0030730-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:30833147:30838789:-1 gene:Sspon.03G0030730-2C transcript:Sspon.03G0030730-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAADSATASSSSPDCPAAPSMPTDPDFLSCVLQPPTSSSSRPDADYAALRRLLLRRKPPSALQHRMEWRCNGKGYVAYRNFLLRRIDGGSAHSTPSNSGRWAPSPVHATLSEADSWSYMRDLRSNSGVLSRTVSIGSKQSDTERHVRFAEPAYSFVGMHCIFDNCKASVTILKFGRASSDLLAYGAADGSLTICQVSEPPSVLQKMTGHSKNITDFDFSSNNQYIASCSLDKTVRVWEISKGTCIRVVYGVSSQLCICFHPVNNNLLLVGNANKEINAINFSTGRVISKLNFDDAVTALDIDHTGQFIFAGDAQGYIYTVSVNSHTGSLSRTHKNKSNKSKYPITTIQYRTFSLVARCPVLLSCAQDGNLSFFSIMTDAKGYLTLICSLKLASRLQTIRASFCPLLSLEKGEFIVTGSEDANVYFYDLARPKNSCVNKLQGHGSPVIGVAWNHGENFLASSDSDGTVIVWKRSKTN >Sspon.04G0032600-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:30422951:30425523:-1 gene:Sspon.04G0032600-2D transcript:Sspon.04G0032600-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLGGTKNDESRECGVRSSSWSQLYQALVVLIFLQFELISAACSYLFVSVKFNLAETFIVAAK >Sspon.02G0026630-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:81584576:81596244:1 gene:Sspon.02G0026630-2B transcript:Sspon.02G0026630-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone deacetylase [Source:Projected from Arabidopsis thaliana (AT3G18520) UniProtKB/TrEMBL;Acc:F4J8S1] MASDMRAPSSGKKSEISHGVEKCGVSDQACHGKCQSCGIDVKPFCAGVDGVSSLTGGHTDVKASKENCGACSLNNDRADSLEEEVKGSTERIGHVESADPDGCVDVKKESFMAVDDLPQEFEGEQAGATLEDLFFFNGEEEDDSDWEPASRLVDNRWFCFNCTMPIVDEITHCMNCRELKGSVVDGYDVFKKQIAQTALLSADTELLPVSTAIGFDERMLLHSEGSFPQNVLWYLLEKSRRRNSLCYFTSDTYANGHSACAAKLAAGLCADLASLMVSGRVRNGFALVRPPGHHAGVKQAMGFCLHNNAAVAALAAKRAGAKKVLIVDWDVHHGNGTQEIFEGDKTLFRICTCNFLRESQVGVLDGQGFSVNIPWSRGGVGDNDYIFAFQTVVLPIAAEFAADITIISAGFDAARGDPLGGCDVTPAGYSWMTSLLADCSNGRLLVILEGGYNLRSISSSATEVVKVLLGDGPNRASFVGSPSREALKTVSQVLKIQQQFWPVLGPTYASLQAQQGSVSSNHITKRNELKKRKHSGGLGPFWWKLGSKRLLYEALFERCRQRKIKGSGEGKATGFSSTLDG >Sspon.04G0018710-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:5805463:5807621:-1 gene:Sspon.04G0018710-1P transcript:Sspon.04G0018710-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAPRASTAGGSGWRRVLLAAALLLLALAPPASAQPTPTSPALQAAYTALQAWKRAAIFSDPSNFTANWVGPNVCAYNGIYCAPRPSDGALAVAGIDLNHADIAGHIPADLPLGLPDLALLHLNSNRFCGVLPDTFLRLRLLHELDLSNNRFVGAFPAVVLGLPALRYLDLRFNDFEGPIPPAVFDRPLDAILLNSNRLRGPIPGNLGNSPASVVVLAHNRLGGCIPPSIGRMADTLNEIVLIDDELTGCVPPQVGLLRKVTVFDVSGNHLQGPLPASVGGMAAVQQLNVAGNLLRGAVPTAVCGLQGTLRNFTYEDNFFTSRPGCAVATADGRWNCIPAPAAAVRRCGRAVRLQDGAMPGTADVRHSWVGPRHSFTATRELRHAVAPVPARELHYAVAPVPAWQLHDAVAPVPARGHHDSVAPVAAHRRQQPQAINATFIRAFIPWRLASVVRLPAASAVVRVGTVGPTRGRTTTHRASWWRLAAAHASGSRHTRISVPARHTRIAIHTDDSGHARFGIPADDPRSARFGIPADDSRHARLDIPADDSRCTRVVAIHPDDSGHARFDIPADDSGRTRVVTIHADNSGHAWINVPANDTRLPPSVPRWWFSRRPRRQPARHSTIDTRQRRRRPAIPAHPRHALLLAAATTVRPSGEPAVPARPRRVLLIAATAAPARLWRVLRVAPAAAPARLRRVLLVASATRDAVQEQLASC >Sspon.08G0003760-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:7617325:7620930:-1 gene:Sspon.08G0003760-2B transcript:Sspon.08G0003760-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MENAEGPSPDSGDRATPGVYSIELRLKEQSECQILSLHLFIIENERSDESHELDEVSWAPVRDGDAQTTPGHNSRRPNLSLQIPARTLDTSMPTSTRVTISSSPSSTRVGLPPRPNSTRTKSSIKNIIPQNSFRARSSAQEGDRVVLLNPGTSSEGQQDNPITARSFSFRKVISSLSAKRTHSLPVTPVGTTDKVASPANQLDTLPTTSNEGVEAKIRRSLSVPGNRKNRILRRADSIGVIRVIPTTPRPVPVDATASNDGIEETIDVPEDGGEDIPEEEAVCRICFVELNEGGETLKMECSCKGELALAHQDCAVKWFSIKGNKICDVCKQEVQNLPVTLLRIPTETANRRVANAAQQRTAQQYRFWQDIPILVMVSMLAYFCFLEQLLVTNLQSRALAISLPFSCVVEELSMGLCFIPVCYRILFAHIFYNVVRNSSWVTSTADKCLCDADYCLLRVNPVLAVLLSSFTGFGIAISTNSLLVEYLRWRARRNHRLAQQAANAAQHQESGNDRANDNDGAQQGHDPNSGNNAIMKCYGCEQLSV >Sspon.07G0005130-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7C:8572858:8575331:-1 gene:Sspon.07G0005130-2C transcript:Sspon.07G0005130-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRNHRAAAPPPENRGAARIAGKQKDAAAAGRPNATRPALGNIGNVAPSNVLDGGIKQPEGIHRPITRSFGAQLMKAALANKNAVAPAQPVAARAVTKPARKLPAKNIPRPEQAPKENRKPTEGAAKDPEGNRKPSEGAAAVQKNGRKKLVCTLSTVLSARSKVQHLCSALASNTSAALASLLIGSALCINLLLCSRRCQAAACGLTEKPKPLIEDIDKFDGDNQLALVDYVEDIYTFYKTAQHESRPIDYMGNQPEITSKMRATLTDWLIESHQRFHLMPETLYLTIYIVDRYLSLQPVPRRELQLVGMAAMLIACKYEEIWAPEVRPKLHSYLAVYSGCSKPENFLSQVNDFIEIADCAFNRHQILVAEKAILNSMQWNLTVPTPYHFLLRFAKAAGSADEQLQHMIYFFGELALMDYGMVTTYPSTVAACAVYAARLTLKKIPLWTETLKHHTGLHERQLMEGARMLLRSHAAAPDANLKTVYEKYAVERFGRVALHPPAALCDLV >Sspon.08G0026200-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:17811883:17814687:-1 gene:Sspon.08G0026200-1C transcript:Sspon.08G0026200-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPGSRRWANVRVMSGTILGGVLGFYVMHRARMEERLQRYEAHMLAKAKEAQQVQDEAQREDKAQLLPDSLPDSDRQEVYLLDGGRRYRKQVPPPLSAASHYQNPSGKWFRGVACTCLCGFGGNQEEGSGFRRVASIPV >Sspon.02G0002880-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:8962045:8963278:-1 gene:Sspon.02G0002880-3C transcript:Sspon.02G0002880-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAANTPQCHRPWQLVVPSRASASRRPGRPAAAAGVGAGGAPNAWTGRPPPPARRAVRAKAGAAEVRPSSPPDAVTYSASISTDTPLHEPPGVSHLPPNLCECGHGCGLGQELKLSRTGLCARVQVSFDEYLQDRARVFRAMFPDESRSQRVGDVRNHHLTRTLLSISSASSPGETYRFWVLQGEWRVQMLPLQFLLLTVRPVVVMQLLHRAGGLDLRVTEWELRGLDVSYAPSSFDLGVSGSLYADRSRSQSRGCRMRGHLKIAITCVLPPPLRLVPETVLRGVAESVLSRLAEKMKRDVDVGLVADFRRFHREKAAASGATPTLDATASGRDQASES >Sspon.07G0000220-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:470724:472869:-1 gene:Sspon.07G0000220-2B transcript:Sspon.07G0000220-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding QGKPVAPDTVGSTAVVALVCSSHVIVANCGDSRAVLCRGKQPLALSVDHKPNREDEYARIEAQGGKVINWNGYRVLGVLAMSRSIGDRYLKPYIIPVPEVTIVARAKDDECLILASDGLWDVMSNEEVCDAARKRILLWHKKNADASPSAQRSGDSADEAAQAAAEYLSKLALQKGSKDNITVIVVDLKSHRKFKSRT >Sspon.06G0026330-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:78212113:78214199:1 gene:Sspon.06G0026330-1B transcript:Sspon.06G0026330-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIVLLAAAAAIAFLLHFVTKNHRSCSTYNLPPGNLGIPVIGQTFSLLYALRSNTDDQWFRTRIKRYGPVSKMSVLGSPTVLLAGTVPNHFIFTNEGLILTQTRALRSLLRRSILTLTGDELKQVRRALQGYLRPEMVRRYVEKMDGEVRRQLKLNWVGRSTVNVLSLARSLTLGVICSVVFGEETSTIADALATDFQLLGDAILSFPVNIPFTRFGKGMRSSAKIRETITKFAKKREESLLEEQCTISTTDFVTYMLIMRSKGVHSLTLEDIVDNVMGIIVGAHGTTSALITFMMRHLANEPDALAKITEEQDGIANKKGTDGALTWEHVSSMKYTWRVALETLRTVPPVFGSFRTATKDIEYEGYHIPKGWKVFAAQSITHMDSRFFTEPTKFDPSRFEKRSSIPPYSFLPFGGGPRMCPGTEFSRVETMVAMHYLVTQFRWKLCFKDEAYKKDPKPTPVFGCPVELELRVPPTMTYDA >Sspon.01G0027140-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1A:95528389:95529825:1 gene:Sspon.01G0027140-1A transcript:Sspon.01G0027140-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAEDIQPLVCDNGTGMVKVLGDCWGPNNIDFWQAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQSKRGILTLKYPIEHGIVSNWDDMEKIWHHTFYNELRVAPEEHPVLLTEAPLNPKANREKMTQIMFETFNTPAMYVAIQAVLSLYASGRTTGIVLDSGDGVSHTVPIYEGYALPHAILRLDLAGRDLTDYLMKILTERGYSFTTTAEREIVRDMKEKLAYIALDYDQEMETAKTSSSVEKSYELPDGQVITIGAERFRCPEVLFQPSFIGMEAAGIHETTYNSIMKCDVDIRKDLYGNIVLSGGTTMFPGIADRMSKEITALAPSSMKIKVVAPPERKYSVWIGGSILASLSTFQQMWIAKAEYDESGPSIVHRKCF >Sspon.07G0017140-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:65964301:65966789:-1 gene:Sspon.07G0017140-2B transcript:Sspon.07G0017140-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGDDTKPAAMEVTSSSSSPSSSPTPAPPPPSVLRSVLLSYAYVGIWMSLSFSVIVYNKYILDPKLYNWPFPISLTMIHMAFCATLAFLLVRVLRVVDVPSPPKQAMTPRLYASSVLPIGALYALSLWFSNSAYIYLSVSFIQMLKALMPVAVYSLAVALRTDAFRRATLLNMLAISAGVAVAAYGEARFDVFGVMLQLLAVAAEATRLVLIQILLTSKGVSLNPITSLYYVAPCCLVFLTIPWYAIELPRLRAAAAGGRLARPDVFVFGTNSFCAFALNLAVFLLVGKTSALTMNVAGVVKDWLLIAFSWTVIKDTVTPINLVGYGIAFLGVAYYNHAKLQALKAKEAQRKAAAMAVAKPDDDAEAGARLLPPDNKDGAGDGGNAKDKSAARALPIIDQQIIEENSLNIIDMKEN >Sspon.04G0021430-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:983316:989347:-1 gene:Sspon.04G0021430-1P transcript:Sspon.04G0021430-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LEVIRRLEQITPADLGGKAQQSQDSETKLDQWLIYAMFACSCPPDIREEFKIKSAREVFHMIFPSLRHGSEAYALAATSALGHSHLEVCEIMFGDLALFVEEVSSETEGKPNGRFRREDLRTHVANIHRMIAEKVWPGMLSRKPVLRQQFLKFIEETYRQITISLADSFQDLQPLRYALASVLRYLAPEFVDAKAERFDNRIRKRLFDLVLTWSEDSGSSWGQESSSDYRREIERYKSNQHTRSRESLDKLAFDREMAEQLEAINWASMNAIASLLYGPCFDDNARKMSGRVISWINSLFMEPSARAPFGHSPVDPRTPSYSKHTDGGRFGGRDKQKTSHLRLLLAKTALKNILQTNLDLFPACIDQCYSPDPQIADGYFSVLAEVYMRQEIPKCEIQRLVSLILYKVVDQTKLIRDSALQMLETLSLREWAEDDTDGVGHYRASVVGNLPDSYQQFQYKLSSKLAKDHPELSEHLCEEIMQRQLDAVDIIAQHQVLTCMAPWIENLNFVRLKESGWSERLLKSLYYVTWKHGDQFPDEIEKLWSTVASNTRNIIPVLNFLITRGIEDCDANPSAEITGAFATYFSVAKRVSLYLARICPQQTIDHLVCELSQRMLEDNEEPVRPGKVDISANVVLEFSQGPTASQVATVVDSQPHMSPLLVRGSLDGAVRNVSGNLSWRTSAVTGRSVSGPLSPLAPEVSIPNPTAGRSGQLLPALMNMSGPLMGVRSSAGNLRSRHVSRDSGDYYFDTPNSTDDFLHQGGSGIHGINANELQSALQGHQHLLSRADIALILLAEIAYENDEDFRENLPLLFHVTCVSMDSSEDIVLEHCQDLLVNLLYSLAGRHLELYEVESSERENKHHVVSLIKYIQSKRGSLMWENEDPTLVRTELPSASLLSALVQSMVSAIFFQGDLRETWGSEALKWAMECTSRHLACRSHQIYRALRPSVKSDSCVLLLRCIHRCLGNPVPAVLGFAMEILLTLQVMVENMEPEKVILYPQLFWGCVALMHTDFVHIYCQVLELFCRVIDCLTFRDRTTENVLLSSMPRDEFDINGYASDLHRLESRTTSERLLSVAETGKVPAFEGVQPLVLKGLMSTVSHGSAIEVLSRITIPTCDSIFGSPETRLLMHITGLLPWLGLQLTREREVPSLGSASPLQEQNQKAYYVASNISVWCCAKSLDDLAEVFRAYSFGEIMSLEDLFARASPPICAEWFPKHSSLAFGHLLRLLERGPLDYQRVILLMLKSLLQQTPVDPSQIPQVYNVVSQLVESTLCSEALNVLEALLRSCSGVAGGQGEEAGFGENGHGTGEKVLQSMLLPQSSFKARSGPLQYAAGSGFGSLMGQGGGGGGGGSSSAADSGLVAGDVALQNTRLLLGRVLDTCALGRKRDHKRLVPFVANVG >Sspon.04G0017490-3D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4D:72089991:72090874:-1 gene:Sspon.04G0017490-3D transcript:Sspon.04G0017490-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQWMELKAESPVLSSNPPKRRNLSADSSEMAQQTFASSTVTKTESSEYVSFPIYDNCAMQNEVMSPDEPKAQNANQLLDEGEESSKQSSEYGKHRVTSLSHWLKPSSADDESNSSPDDGNVGKETWYEASVSDVPIFPAFGLNWETDNPTPVLPKAWDGNGIPNTTTKYKE >Sspon.08G0007320-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:24030053:24033735:-1 gene:Sspon.08G0007320-3C transcript:Sspon.08G0007320-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRLLLLLLALSLVASASAVPAVIRMVPADPTAASSGAVDDADALFCDSWRLSVETANAGPWRAVPARCGDFVRDYMEGPRYASDSAVAAADALAFASDALAAAAEWGGSASARPAWVFDVDETLLSNAPYYAVNGWGSQEFNETSFDEWADAAKAPTLPSSLNLYNQLQGLGFHIILLTGRIEFQRNATELNLLSAGYNSWEKLILRQSSDIGKTAVQYKSERRAAMEAEGFKILGNSGDQWRFTVEPNDRYCTVVEC >Sspon.02G0045520-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:103140140:103141221:1 gene:Sspon.02G0045520-2C transcript:Sspon.02G0045520-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHNNYGNPPGMHMPPQNSQPVQFDNPLYGASSGLIRSGIGVYGEKFFGSSSEFMQSNINRYFSNPQYYFHVNDQYVRNKLKIILFPFFHRGHWTRISEPVGGRLSYKPPIYDINAPDLYIPFMAFGSFIILSGFTLGFMGKFTPEAINLQFTRALIGWGFQLMLLKGLLYSMGGGEVPLLDLVAYGGYLFAGLSLAVVARLVWAYSYYVMMPWMSLCMGVFLVRTMKRVLFTEMRSSERHSSRQHYFLLFMAIAQFPLFFWLGSIGA >Sspon.03G0020920-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:51119866:51120901:-1 gene:Sspon.03G0020920-3D transcript:Sspon.03G0020920-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSDAAPHVVEDFFGVVRLFSDGSVVRGDESVLMPEGPFPDVPGVQWKDAVGGSRKLPVLVYFHGGGYCIGAYDQPMFHSCCQRFAAELPAVVLSVQYRLAPEHRLPAAIDDGVTFFSWLRRQTAGGAQGTEPWLEESADFAQTFVSGVSAGANLAHHVVVQIASGKLAVDPARIAGYVLLSAFFGSAERTAAESESAANVSLTAAFDQIWRLVLPAGATRDHPLANPFARDSPGLEPLPLPPVLVVVPGLDTLRDHMLRYAARLEEMGKAVELAEFAGERHGFSVRGWSEANEELVRILKQVALEARSLLTLGIDKLFTTRHKGNGVTE >Sspon.01G0052200-2D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1D:20149638:20151008:1 gene:Sspon.01G0052200-2D transcript:Sspon.01G0052200-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAETLARSPSREPSSEPPRAASSEPHHNGDGSDAGAGDSSRRRRRSRWEQSNDDSAANNSGGEGGTGGRKRKTRWAEEEPRPAIALPDFMKDFAAEMDPEVHALNARLLEISRLLQSGFPLDDRPEGARSPSPEPVYDNLGIRINTREYRARERLNRERQEIISQLIRRNPAFKPPSDYRPPKLQKKLYIPMKEYPGYNFIGLIIGPRGNTQKRMEKETGAKIVIRGKGSVKEGKLLQKRDLKPDPSENEDLHVLVEADTQEALDAAAGMVEKLLTPVDEVLNEHKRQQLRELAALNGTIRDDEFCRTCGEAGHRQYACPNKMNTFKSDVQCKICGDGGHPTIDCPVKGTSGKKMDDEYQNFLAELGGGSAPESMNKSGGPMLALTGSGGSGGASAGTGSNPPWSTSGGAAATGLNGIKKDYDETNLYIGYLPPTMDDAGLVSLFSQFGDIVMAK >Sspon.07G0010960-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7B:42384335:42386412:1 gene:Sspon.07G0010960-2B transcript:Sspon.07G0010960-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRRGLPPACLPVLVLVVCLAAAAVSGEPLPQYYDAIFSFGDSFSDTGNFVIINSGKLPNMPKFPPPYARCSNGRLVIDFLAEAFGLPLLPPSANTGTNFSQGANFAVMGATALDLQFFKDNNVWSIPPFNTSMNVQLEWFQEVKRTICPSDAAACRALFGRSLFVFGEFGGNDYSFAWKADWSLEKVKTTLVPAVVASLVSGVERLLDEGARHVVVPGNLPAGCIPITLTMYPSEDRSEYDPRTGCLKKYNSVALYHNAVLRVALDRLQRRRPESRIVYADYYTPYIQFARTPHLYGYKRGALRACCGGGGPYNYNMSASCGLPGATTCEDPDAHVSWDGIHLTEAPYRFIANTWVKGPYAHPPLATVVLEDMVD >Sspon.07G0011210-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:38462397:38463269:1 gene:Sspon.07G0011210-1A transcript:Sspon.07G0011210-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADRVYPAAKPNPPPGAAAANGNGNGAPPAPFPAPKSQMYQRPIYRPQAPAKRRRGRSCRCSLCCCFCWALLVLILLAFVAAVAGGAFYLLYRPQRPSFSVSSVRLTSLNLTSSPTAPVLTDAITLTVTARNPNKKMVYLYDDLTVSVATAANAVPLGSATVPGFTHAAGNTTVVTATVSSNAVTVDPSGAGSDIKRSGAFSVVVDADTSAGVRVGGLKTKKIGIQVHCEGIKVTPPPPPPAAPRKVKGKNSTADALAPAPAADAATTTVSTAAHSCKVRVRVKIWKWTF >Sspon.08G0006820-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8A:21077890:21078279:-1 gene:Sspon.08G0006820-1A transcript:Sspon.08G0006820-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPPCLLRPRPPPCSRQPPQQQQVPLPPFQVEPAPTSLTKNMSPSSGGASGRAARAAAAWAWYRPTDGGDGLCLSLTKNEPPTPSPDNSHQLAISIERTAQLAAHQTCSHEYRLDFDRANTETTTSKI >Sspon.03G0023690-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:56954612:56956408:-1 gene:Sspon.03G0023690-4D transcript:Sspon.03G0023690-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSYVPVLLVFIMASLVPVSIASLPHKLRLSASDVAALEAVTPRPPAPDQPTVFFEVDRPHRPPAGSFGPCSTLLLSHSFAYAYAKPPATAAYSPPPCLAAVGGRASAILLAVLEWRATCRGDQCGRVFGVWLGGAELLRGCTAESPIKSAGGVEWTVSKDVTKYASLLAARDSTTLAVYLGDIVDQQSTGVLNANVTLHLYFRHPPPPPPQPGLGPADAVVPISRSLPLNDGLWFEILNDLYDATASVTVPTNTYRAVLEVYLSYQSHDEFWYGNNEYGPFREVVVQIDGDLVGVVWPFPVIYTGGINPMLWRPITGIGSFNLPSYDIELTAFLGKLLDGEKHEVRFTVTNAIDTWFVDANLHLWLDPRGTATAAGMVSYDAPPLDTATATLPDGSGYTTAFRHVSASGWVQTPSYGKFTATWTQRLGYENTMLLRDSYSETEVNQTTDAFSAAHVVDRAGVLYSQEAQQSFTLYKFVDVGYADFDSYTAVTKVRLGFREERVAAGRSGFWARSVSNSQECAGVVDVEYGETVRESWDAHQTYRYEASDACYFRNVTSHGNDVVSDHSDEACVKGSPAGGIADRAVAAGAPQLSSS >Sspon.05G0002750-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:1819182:1824401:1 gene:Sspon.05G0002750-2C transcript:Sspon.05G0002750-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxisome biogenesis protein 6 [Source:Projected from Arabidopsis thaliana (AT1G03000) UniProtKB/Swiss-Prot;Acc:Q8RY16] MVEMRQRRKPLVLASTQALLDSLPGDRPPPPPKEPVRLRAGVLRFPSSAGGGAEFGELASFVALPASALRRLAVVTGTPVLVKNTDTNVGRIVKAVLFDNPPLDESRSEHTEQVVPASPSDRAMGFLPCRTFPTTGFASMDEDVAYVSPLLAFNLGLHISCLKLLIQRGGQPFKFCSQAEELMQLPVLEINSSFGGSDYQDMVDQALNEYFKFDRFLARGDVTSMEPSDEPILRVNCNETALVLGGAASAAIPPYSLFAASGCGKRTVLRHVANHLGLHVVECSCHDLMTSSESGAPAALATAFKEAQKYSPCIILLRHFDAIGNASSNEGPQSEQSGVASNIESVIKQYTGQCWVAKDSLPGKDVNGSSYLMEPECVSSLQIILVATADSSEGMQQSIRRCFRHEIDMKTMDEEQRNKLISETLQGIPKVADESIGDKFLKDLAAQTSGFMPRDILALVADAGVSFAHKIASGKDSKGISKHEEILPESSSATQNEEKHFCKEDIMSSLERAKKRNRAALGTPKVPNVKWEDVGGLEEVKKVILDTIQLPLMYKHLFSSKLRKRSGVLLYGPPGTGKTLLAKAVATECSLNFLSVKGPELINMYVGESEKNVRDIFEKARSARPCVIFFDELDSLAPARGSSADSGGVMDRVVSQDLFIIGATNRPDLLDSALLRPGRFDKLLYVGVNTDASYRERILKAQTRKYKLHKNVKTFEIDTSRSNDASAEDVIVEIDDFITVLGDIAPSLSLEELQNYEQLRQKIEGPSR >Sspon.02G0022390-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:75116579:75120025:1 gene:Sspon.02G0022390-1A transcript:Sspon.02G0022390-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGGGGVLAAVLCMLLVFAIFPLLLWRRRSDAATADNHRVPPQPLQEDQVLHGRAAARRMRRRPGAAGAGSSAASTSRNASVLGCAVVEDDAESDEEEVPEGRNVPRSSKKEKKRQEREEQRQAEEAARDSRRSKQDRYDEMRRRKDEEREAQERQLEEEARARKAKEEEAAALEFEKWKGAFSVDAEGTTESETQDDGQGLLHNFVEYIKKQKCVPLEDLAAEFRMRTQDCINRIVTLESMDRLSGVMDDRGKFIYISTEEMKAVAEYIRKQGRVSISHLANNSNQFIDLEPKAQYEEESQQDDSAAAGTEP >Sspon.01G0007510-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1B:32396272:32396940:1 gene:Sspon.01G0007510-2B transcript:Sspon.01G0007510-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding METSFLPTTLPTTKPLPAFRTHAAAASIRPQQRPRRSTIRAAITRGRKEETVATVREQLEGCYLLAGIKYEGLTVKQLQGIRDALPETCSLLVAKNTLVGKAIEGTPWEALKPCMKGMNAWLFVHTEEVPTALKPYRAFQKEERVEETNDFVGAVFEGKFYGPGDFKTLETMPSRAEVYATLLGALQGPATSLVATLQAPARDVVAVLSAYVRKLEEEAGAA >Sspon.02G0019710-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:63823592:63825278:1 gene:Sspon.02G0019710-1P transcript:Sspon.02G0019710-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQMEGTVFTPSLEGMKHVKSESGVILTKPFLQVCKQILPVLEKFGSAMSIVKTDIGGNITRLETKYASDPTKYEQLHSMVKVEVSAKTAKSSSSCTNGLLWLTRAMDFLVALFHNLIQHPEWQMSQVCSDAYRKTLKKWHGWLASSSFSVAIKLAPDRKKFMEIISGSGDINADIEKFCATFSPLLEENHKFLASVGMDDLKAS >Sspon.03G0014840-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:42478729:42481510:-1 gene:Sspon.03G0014840-1T transcript:Sspon.03G0014840-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLGTAAVEALIPVAALIGIAFAMLQWYVVARVPVPSHAGEGSGGGEGSKQGRRVRGEEDEEGEEEDGMDYLLVEARCAEIQRAISIGATSFLLTEYKYLAAFTAAFAAVIFVFLGSAEQFSTRPSPCAYDPSRECRPALANAAFSAVAFLLGAATSVLSGYLGMRVATFANARTALEARHGVGRAFAAAFRSGAAMGFLLASSALLVLYAAVNLFGLYYGDDWGGLYESITGYGLGGSSVALFGRVGGGIYTKAADVGADLVGKVERNIPEDDPRNPAVRYARDRRQRGGQRRRHRRDGVGPVRVVRGVVLRRALRGLHLLLRAEHDLTAMMYPLLISAVGLLVCAITTVVATDVAEVKESDEVGPALKRQILISTVLMTAGVAAVTFLALPPSFTLFDFGNDKHVKNWHLFICVSAGLWAGLVIGYVTEYFTSNAYGPVQAVARSCRTGAATNVIFGLAVGYKSVIVPILAIAADLRQLPLAAMYGIALAALGMLSTIATGLAIDAYGPISDNAGGIAEMAGMPRRVRERTDALDAAGNTTAAIGKGFAIGSAALVSLALFGAYVSRAGITAVDVLSPRVFVGLLVGAMLPYWFSAMTMRSVGSAALRMVEEVRRQFDTIPGLAEGLAVPDYATCVRISTDASLKKMMAPGALVMLSPLVAGTLFGVETLAGLLAGALVSGVQVAISASNSGGAWDNAKKYIEAGMSEEARSLGPKGSEAHKAAVIGDTIGDPLKDTSGPSLNILIKLMAVESLVFAPFFAAH >Sspon.02G0053940-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:94521143:94521679:1 gene:Sspon.02G0053940-1T transcript:Sspon.02G0053940-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTSATLIVLFVAVVCGVSGAVGSANSALDQVCEFVGASYVTPELCASALCYDPVSPCRDARDYAAVASLAARLLARNGTATRDSVAVAARAANATAGLKSCLQLYDGLVPALEWAAGSVAAGRAYGAARELMQATQFAQRACAGMVGAEMPRENGGFVTMATVAHAVLSTSVPKTD >Sspon.05G0009120-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:26512419:26518977:-1 gene:Sspon.05G0009120-1A transcript:Sspon.05G0009120-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHIFFLLLWLPLSCSYTLAQHNISLGSTLSPEGPNRSWLSPSGDFAFGFRPLETNSQYLLSIGFNQINENIIVWYANGNTSVSSGSSLQFTLNGSLSLRNSTGAEIWSSQIAGGAYASMNDNGNFVLYGADGSPKWQSFTTPTDTILPSQELPSGTILQAKLMDTDYSNGRFILSLETGGNLTFYTVAVPTGFKYDGYWSTNTSGKGGKLVYDMNGMIYYALKNSTQDPNVIMPAEMDSIDQYYHWARLDPDGVLRKYKYPKKEEVRSGLPAMLTVVQAVPANICSIMYSNFGSGVCGFNSYCTFNLSQTECSCPQHYSFFDTERKYKGCKPDFAQQSCNSSETEVLELFQMIPMNYIDWPLRAYEQYYPITETACLSLCLIDCFCAAAVFNNSGDCWKKKLPLSNGNQGSEVQRTVYLKVPKDNHSQTLLNTEASSKWKTNRKDWILGGSIIIGSSVFLNFLLISAHFLGARSWATRQKNHLRAWTRMMTRDFTYRELEQATKGFNEEVGRGASGIVYKGYLHGEFDTSIAVKKIIDRIPQETEKEFTMEVQTIGHTLHKDLVQLLGFCYEGAERLLVYPFMPNGSLAKFLFSDKRPSWDLRADIAHGPETFFLTIVSDKIHPPLSRQRCCRWTKRQQALESIEPDDMLRLIGAKNTADPPGTCLSKGKC >Sspon.04G0023210-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:206428:208427:-1 gene:Sspon.04G0023210-3D transcript:Sspon.04G0023210-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALSLRQKQLGTPPSPWFPDRLIIPSCPLFLAKFLIGSSLPDLIVRMLHLNQPPPPPGTAAEQQEEVYKILVLDTFCLSLLSPLLRVADLRKHGVTLYFPIDKPRQQVADAPAVYFLRPTQANADRVAADAAASLYASFHLNFSSALPRPLLDRLAAAAAASGSAHRVARLADQYLDFVSLEDNLFSLAHPRSYVALNDPKAADADIEALVDAIALGLFCVAATLGTVPVIRCPRGGPAEMVAAALDARLRDHLLAKPNLFTEASASASVASFQRPVLCLLDRNFDLSVGIQHDWSYRPLVHDVLGLKLNKLKMPADKSGPAKTYDLDDADAFWVANSWSPFPRVAEEIESQLAKYKQDVDEVNQRTGGSKDGIEFDGTDLIGNTKHLMNAVNSLPELTERKKMIDKHTNIATALLGHIKERSLDGYCDCENDMLTKGAVDRSALLGLLRGKGTKEDKLRLAVTYLLAFESPVASELEQVEAALREAEVDMSAFQYVKRVKSLNTQFSASSNTATRSNIVDWAEKLYGQSISAVTAGVKNLLSTGRQLALTRTVEALMEGKPNPEVDSFLLFDPRAPRSGSGGQFKGPFREAIVFMIGGGNYIEYRSLMELAECSQPSKHVIYGATEIVNGVEFIEQLSELGQKAGLGGGVNNPPQLQ >Sspon.05G0013930-1P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:5C:43132626:43135376:-1 gene:Sspon.05G0013930-1P transcript:Sspon.05G0013930-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNVAGRTALTKATMSAIPIHMSIALCLSPWAVNSIDKLRQAFIWSGNESVASGRCKVAWETVCRPRDLGGLGVSDLRHAGIALRVRWEWKARTERRLRLCSDERSVVAVFQAATIFSLGNGESTFFWTDSWIQGSSIQVLAPAVFAAVSTRKRRATVAGALHQDAWIRHITGPLSMQVLMEFDRLCDILEEVQLTPQPDTFAWRLTADQNYSAASAYRAMFLGSSQPFGARQVWKTSAPPRVKFFWWLVVHGRCWTGDRRYRHGLQDSNTCIICDQTAETLDHILLECPFAREVWGRCLMKLHLSDMITVQHGPAIYWWIQFRKRIPKT >Sspon.07G0006560-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:12274053:12277525:-1 gene:Sspon.07G0006560-3C transcript:Sspon.07G0006560-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEGYANLPTSHLLGSVPAVTQDDRKPSPPAAQDAAATSRLQEFPPAPGGNGGGYRPAGGPADGDVENQADWKGYFNVASYAPYFNVDTDVVVDRLISSIYPMDGFYRKIDANPDMYGPLWITTTLVFMLAAFGNYATYLMQNKKDLDIWNFDVGYFSWAASVMYGYAIIVPAVFFFLFQYFGSRPSLVRFWCMWGYSLFVFIPASVLLLIPVEFLRWVIISLAGGASSWFIALNLKECTQGADLMVLMASASVLQFALALFIKVFFFA >Sspon.01G0052040-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:54505551:54510409:-1 gene:Sspon.01G0052040-2P transcript:Sspon.01G0052040-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQKETNGSNGEHISTRPPPTPSPLRFSKFFQANLRILVTGGAGFIGSHLVDKLMENEKHEVIVADNFFTGSKDNLKKWIGHPRFELIRHDVTQPLLVEVDQIYHLACPASPIFYKHNPVKTIKTNVIGTLNMLGLAKRVGARILLTSTSEVYGDPLEHPQTEAYWGNVNPIGVRSCYDEGKRVAETLMFDYHRQHGIEIRIARIFNTYGPRMNIDDGRVVSNFIAQAVRGESLTVQRPGTQTRSFCYVADMVDGLIKLMNGNNTGPINLGNPGEFTMLELAENVKELINPDVTVTMTENTPDDPRQRKPDITKAKEVLGWEPKIVLRDGLVLMEDDFRERLAVPKKTKA >Sspon.01G0049780-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:115026603:115028291:-1 gene:Sspon.01G0049780-1B transcript:Sspon.01G0049780-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding PMGGVSLNQPLINDDPLPLPGSIAQGDQIEGLSSAGWTNERHSSYISSMEASFVEQLYGQENCSYDANKNNLRNIAIKCLPENPWVRRFKPRGSCVNHRGTGMEPTVDDYGSEVTDQNFPDDGVQSSNDPCKKQKSTSGTASND >Sspon.02G0017690-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:56019915:56025679:-1 gene:Sspon.02G0017690-2C transcript:Sspon.02G0017690-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein NEDD1 [Source:Projected from Arabidopsis thaliana (AT5G05970) UniProtKB/Swiss-Prot;Acc:B3H5K9] VSWLKQHSAPTSGVCISPSSDKAIATVGLDKKLYMLDSGSRRPTQTIPHEAPFSSLAYNDDGTILAAGTNSGRVVFYDVRGKPKPLTILRAYNTSEAVTGLCWQRSKPVVVNENSSSEVALLGGTSEESVLMPDPLPSATPTSLGSGVATTSLRSSLTANTSGYLSTSNSYTTEETPYRTRPLSGGPLSKLLAPRSNYNLKDDMDVFSPLVDVQPFTPSSGNWWDEHGSDDAKKDDKPGEKKFSATRKYSYMEGNDEPHPIADWRSTANSRQDSISSVTTTSMPSWKSELSVSSPETATVNALPDRLTQRQQISRFGSSAFPTGGLAFTALQDSASAPSHSLKGSLTSNILMNLQNKGILSNAHSSLDASSPNLQSSLPSSYVSKTMPAVIPDQPGAAQSTSMWRPTTYTDRMSSSSVFSDGLASAFGSPKSKKTGAEPKDELLSSILSRQEAAAASSSAIPLASNGVVPPQLANTGSSSDQHGASSFSLQYVQRMLEESLGSVQKSIHEDVRNLHIELLRQFHMQEMEMSGVLKLVMEKVEGLTKEVQQLRRENQQLRQQLL >Sspon.02G0010260-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:31700583:31702137:-1 gene:Sspon.02G0010260-3C transcript:Sspon.02G0010260-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKILAIDAKKITMLGLRDSGFFKHWGDGRLNWSTATSYLRKISSTIQLGIRDTLL >Sspon.02G0024270-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:83080255:83082691:-1 gene:Sspon.02G0024270-1A transcript:Sspon.02G0024270-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GGGGSRCGGPRAGQGRRRRGEQAPLRDLLPSRVQVPVRLRRPGRPLPYRRRAPRGLARPPARARCASSPSTAARARPTGSWRAPRWCAWRPRCGGAPAARRLGSPTSSTSSRGPARGASWRRCCSRAGRAGSPCAAPTRRWRSSSAACAAAATARGGLQGLGHLVFARRRGPSSAAATFGRALGDLTLRDTVRPVLVPCYDLSTRAPFLFSRADAAESPAHDFRLRDVCAATCAAGAGVVEVASVDGATRIRVVGGGVALGNPTAAAITHVLNNRREFPAAATIDDLLVMSIGTGAGEAGGRGRRAPEVASIAAEGVSDMVDQAVAMAFGHSRTTNYIRIQGTGGRCGGGRAPRACGGETKQEAVWKAEAMLLQRSVESVLFQGRKLAGETNADKVERFARELAKEHARRKQQQQLSLGGHPRPGDVPPVVVDSAAAPNSNDKEQPTPKATASATASTVAPAEQAPPRRRRQAAAPAKKHGPATSRPDGGSQLVGGGTR >Sspon.08G0017010-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:61100804:61107461:-1 gene:Sspon.08G0017010-2B transcript:Sspon.08G0017010-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIVCSGHRVSIPLSDRGWLPLNRLGGPCSPFPSSESRPSAMADVLYRDRLRADSIQKGINGTDAAKRGDVTTVPTRLGSSLHTLEYVVTVGLGTPAVTQTMLMDTGSDIPWVQCDPCPGRTCHPQKDTFFNPARSSTYSALRCGSTACKGLDRDGNGCSRRRRCQYIVNYGDGSNTTGTYSADKLTLTPSFAMAEKVFSYCLPPTASYSGFLTLGVPRRPPASSSSRFVVTPMYKIDTFYLVLLEGITVAGRRLRVPPSAFAAGAVMDSGTVVTRLPPKAYRVLRAAFRKEMRMFPRVAPPSAIFDTCFNLSGDVKVPSVALVFERGATVELDQSGIILDGCLAFASNGDDESAGIIGNVQQRTLEVLYDVGGRTIGFRRGAC >Sspon.03G0022050-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:89981329:89985418:-1 gene:Sspon.03G0022050-2B transcript:Sspon.03G0022050-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDSAAAATVDGSALAGRALAAAGTRHMFGVVGIPVTSLASRAAAAGVRFLAFRNEQSAGYAAAAYGFLTGSPGVLLTVSGPGCVHGLAGLSHATANAWPLLMVSGSCDQADAGRGDFQELDQIAATKPFAKLAVKATTIADIPRLVFQALAAAVSGRPGGCYLDIPSDVLHQTLPESEAAALIAAAAANSAASNPSPSKHKSLDEGIEKAADLLRRAERPLVVFGKGAAYARAEEAIRKLVDTTGIPFLPTPMGKGVVPDSHPLSATASRSLAIGQCDVALVVGARLNWLLHFGEPPKWSKDVKFILVDVSEEEIELRKPHVGIVGDAKRVIELINREIKDSPFCLARSHPWVEAITKKAKDNVLKMEAQLAKDVVPFNFMTPLRIIRDAILAEGSPAPVVVSEGANTMDVGRAVLVQNEPRTRLDAGTWGTMGVGLGYCIAAAVAEPERLVVAVEGDSGFGFSAMEVETLVRYQLPVVVIVFNNNGVYGGDRRSPDEITGPYKDDPAPTSFVPAAGYHKMMEAFGGKGYLVETPDELKSALSESFRARKPAVINVIIDPYAGAESGRMQHKN >Sspon.03G0041810-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:5283930:5292747:1 gene:Sspon.03G0041810-1P transcript:Sspon.03G0041810-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALNMKTLTQALAKASAVIEKTVSTTVQEVTGPRPLQDYELLDQAGSGGPGLAWRIYTARPRDGAPSAPYPVVSVWVLDKRALAEARARAGLSKAAEDAFLDLVRADAARLVRLRHPGVLHVVQALDETKAAMAMATEPVFASVANALGCLDNVGKVPKELKGMEMGLLEIKHGLLQVAETLDFLHNNAHLAHRAISPETVFITSNGSWKLGGFGFALSVDQATGGLTSSQLFHYSDYDVEDTALPLQPSLNYTAPELVRSGDSKVGSACDMFSFGCLAYHLVARRPLLDCHNNVKMYMNALTYLTSEAFSNIPSDLVSDLQRMLSMDAVSRPSAMAFTGSSFFRDDTRLRALRFLDHLLERDNMQKTEFLKALSDMWKDFDSRVLRYKVLPPLCAELRNMVMQPMILPMVLTIAESQDKGDFELATLPALVPVFTSASGETLLLLVKHADLIINKATQEHLISHVLPMLVRAYDDNDPRLQEEVLRRTVPLSRQLDTKLVKQAVLPRVHGLALKTTVAAVRVNALRCLGDLVPSLDKEGILGVLETVRRCTAVDHTAPTLMCTLGVANAIYKQCGVEFAAEYVIPLIFPLLTAHQLNVQQFAKYMLFVKDITSKIEEKRGVTVTDNGNTEVKASPPLTNGIHSEPMSGQIPAAKSSPAWDEDWGPTKKTGVPSLSVDSSAQTKQPSVDPFDFSTQTNQSTTLPFDLSTRAKQPSLVSQVTAATIPPAQPQPSLQSLVPSSGPQTSGSCVPVDIEWPPRRSSSSDFNAPLSISKENDSGRLSNDGLDDIDPFADWPPKASNVTSISATEHRPSINQNISGFSSGNIGFGGSGNSMGQTKSNQMSWSNTSNLMGMNSTGSYLNQGNAALGFGNPIGGLSTGLSNPSSSSTGLSMMQTKSDFGSLSMSANNAAHGPPRLAPPPSTSVGRGRGRNQGQSALSRASRPPHSNSSSGQQQPILDLL >Sspon.04G0017720-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:6581097:6582496:1 gene:Sspon.04G0017720-2B transcript:Sspon.04G0017720-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARPTALLALVVCAVAALAASAGATQFRVGGQSGWSVPGAGSESYNTWAGRLRFQIGDQLLFVYPKETDSVLLVDAAAYNACNTSSYVARFDDGSTVFTFDRSGPFFFISGNEASCRANEKLIVVVLADRSGARTPPAVPPTSPAPLPSPPSSPPAAAPATSPPSSSPPSPGAAPVPAPAATPPSPAASAPAPAPMTTTPSSPPAPAAQTPSPSATPTPGGGSSSPPPSGSANAPAGEGGSPTTPPPPSAAAPVVAGFVGSLGAFIGYAMLAA >Sspon.06G0018320-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:68424315:68428652:1 gene:Sspon.06G0018320-3C transcript:Sspon.06G0018320-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALWSGVGQVASMAQLAGVDAYGLISMIVEAAKTVKRNHETCQLLARRARMIGDLLQQLERTQLMHHMETRNPVEQLEETLRHAYVLITSCRDSSYLHSFCMGGKQADRLREVQNEITFYLQLFPLVSFVDNTRTWERLLSRACPLCTRESTDALCSAHHVEHEDRLRSEALKATKFENLGRHPPLKPDKEQMEDNFSEKNLLGNGGFGYVYKQILLKYFCNKCIHVFVDETKRELINWSKRLEIIKAIADGLAFLHGHSQMCIVHRDIKASNILLDHEMNAKITDFGLALMLAPNTTAELQVFSTCIVLIILSIISGYADPGYVATGNISEKADVYGFGIVLFEIISGRLIQSYMKAEGTRKLPPPAYAHKYNRKALLQLVDPLLRVNEHERAQILECVRVAQLCVHHLAKHRPTMSEVVTMLGSIKEVQRAHVYLEME >Sspon.05G0028000-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5C:53504398:53507611:-1 gene:Sspon.05G0028000-2C transcript:Sspon.05G0028000-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYSRNKLLWTFQGSLRLYPRM >Sspon.03G0025060-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3B:99238548:99238804:1 gene:Sspon.03G0025060-2B transcript:Sspon.03G0025060-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKLTMIARVTDGLPLSEGLDDGRDLKDADFYKQQAKLLFKNLSKGQHEASRMSIETGPYLFQYPKSLLIRYILCSTISHLEIGD >Sspon.01G0047560-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:109549402:109552061:-1 gene:Sspon.01G0047560-2D transcript:Sspon.01G0047560-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Electron transfer flavoprotein subunit alpha, mitochondrial [Source:Projected from Arabidopsis thaliana (AT1G50940) UniProtKB/Swiss-Prot;Acc:Q9C6I6] MAAMLVGALRRGSGCGGGSLLLAQSLRRFVSTLVVAEHEGGFVKPSSLSALAAAEAIAKENKVSVLLGGSGPALHKAADHAASSHPLVSEVLVADSEALVHPLAEPWAELLRSVQQKGGYSHVVASSTSFGKNLLPRAAALLDVSPVTDVTAVKEPRIFVRPIYAGNALCTVKYTGEDPCMMSIRSTSFSPATEAMSETKVAPITQVDLSFLSEGKSSWVNLTSQDTERPDLANARVVVTGGRGLKSAENFKLLEQLAEKLGAAVGATRAAVDAGYVPNDLQVGQTGKIVAPELYMAFGVSGAIQHLAGMRDSKVIVAVNKDADAPIFQVADYGLVADLFEVLDELLKKIPEKK >Sspon.04G0028420-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:65658768:65663023:-1 gene:Sspon.04G0028420-1B transcript:Sspon.04G0028420-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SSGSAVTGGGAEEAAAAVPLGQKLMVHVAENGNTLEFQCGGDTLVEAIQHSIQLHCGIPPSDQLLLCGNTSLDGANGHALAYYKLPRDDREVFLYNKARLLADSRPPAPESLYIPEPNIPPPPRPQDSPPVDASADPALKALVSYETRFRYHFQVANAVYQSSLAKFELCRRLLREGQVQERALDTARSNLEHTFRKLSQRYSEFLRCFTQQHRSHVEMLANFERDVQKLRAVRLHPALQSEGRHCLMDLLKENDLRKLADGCLSSHKKFEVKVSQLKANFLELKKRVEGLFNAMSSGGCKDVEKLIKEHQGVIGDQKIIMQALRLDVDTSKKLVDDCSSCQLSASLRPHDAVSAVGRIYEVHEKDNLPSIRNFDHRLTKLLEKCKDKKNEMNTLVHVCMQRVKSSQISIKGMMSELIAFQEVMGHQEDFDNLKIVSGLGHAYRACVAEVARRKSYFKLYTGLAGTYAEKLATECQNEKTRREDFHRTWSRYIPDDVMCSMGLFDSPSQCDVKVAPFDRDLLPIDVDDVEKLAPQSILGSFLKSERSQLAKPLLSNSSTSKLEVENARLKAELASAIAILCNVGAEYGYESIDERQIDAVLKKAREKTAEALAAKDEFAYQLQSLFTAKQEKCLAYEKRIQDLEERLANQYMQGHMVSGSKGTSDSLLSAFKSNDCNLDVCGGRQTQIRDESSVAMDETSSTSEQPSKQTEGGDENMTDISGALNLQLLDSAACTNLDAFMTELPRDNEHKIVNIDKEGHMLTQLTMADTSDVPIEDPLSILNSRTNEHHALELRNKELLVSELQNTLDQKSKQLGETEIKLSAMMDEVNSLNKELEQTRGLLDESQVNCAHLENCLHEAREEARTNKCSADRRAVEYDALRSSALRIHGLFERLNNCVTAPGVTGFAESLRSLAISLARCVKKDEADTTVQFQQCIKILADKVYLLTRQSAELLERYSAMQAVHGGITKELDEKKELIKNLYNKLQLEKQVSKEKISFGRFEVHELAVFFRNPAGHYEAINRNCSNYYLSEESVALFTEHHPQHPAYIIGQIVHIERRIVHPGQMGGAPRPDSSGGRRLPASMLNPYNLPGGC >Sspon.03G0027800-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:6734610:6735883:-1 gene:Sspon.03G0027800-1B transcript:Sspon.03G0027800-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSVLQKRAGSEMHLPNLHPENDSDAPPAPEYCIDASSIGNFARFINHSCQPNLFVQCVLSSHNDVKLAKVTLFAADTILPLQIMLAAENRKL >Sspon.07G0020240-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:69602186:69605395:1 gene:Sspon.07G0020240-3D transcript:Sspon.07G0020240-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VTFLRFNNAELLPYFRTPRSAAASRRPTRLRLRLVPSLLPHQEYKGAFVVEGGERWSTGRWTIRARRRSLSWRRTIPSPTLPRVAFCGYSIPHPAENKVNIRVQTTGDPAKDVLKDALQDLMVMCQHIRGTLDTAVADFRGNKTAEAMDIDLNKK >Sspon.07G0032980-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:56634004:56637616:-1 gene:Sspon.07G0032980-1C transcript:Sspon.07G0032980-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVSVPAAVLAVCAAAAALLLLASAAEAAHHLKLGYYKETCPGVEKIVKYHVAKAIKANRGAGAALVRLIFHDCFVRRDLPDSTFTIAELIRNFRRKNFTVEELVILSGAHAVGVGHCSSFRARLSSPPAQIVPAYRNLLSAKCAAGPDPVVPNNVRDEDPSVVAASFPSFLKKLRKAKDFLDNSYYHNNLARIVTFNSDWQLLTEKEALGHVKEYAENGTLWDEDFSDALVKLSKLPMPPRSKGEIRKTCRWVNHH >Sspon.07G0024060-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:19226156:19227931:1 gene:Sspon.07G0024060-2C transcript:Sspon.07G0024060-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPHSAPHHPSLAAGDAKKQPHLGGGADPNKKTSSCFGGGGDHHPKKPGPSAAKLALASFLAVILLLAADASLTGAGAHRRLRQQYLHYIGVGVGGGGGGSTDSLSWLSVPDRPNFTNDLLARWLAPGGSPCRDARTANISVGVLDDAAARGEATALGAGQIHEVTFWALDEAGQRRCLGGGYFEVDLSGDAWKSRPPIVDHGDGSYSFRLQVAPRFAVGEFRLTVVLLFRSFEGLKFSSSRFKYRAELRRIPLLFRVDNNASLPALETCRAADFSRDVWSGRWTRLAKNDNCEDVDAAGRYRCLEPDHPCEAPWCDGPLGALESNGWVYSAHCSFKLFAADAAWRCLDGKWLFFWGDSNHVDTIRNLLTFVLGITDTSAVTRRFDAVFTNPSGGAETLRITSIFNGHWNMSMNYLGLHSLRNRGFRQLIRSYFMSGDRVPDVVVLNSGLHDGCYWTSVRAYAQGADFAAQFWSGVMAKVRARGHAVPRVLYRTTIATGGYARDLAFNPNKMEAFNGVLVEKMRQYGVLTGGVIDNFDMTFPWHYDNRCNDGVHYGRAPARLVWRDGKIGHQYFVDLMLGHVLLNAICNG >Sspon.04G0023770-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:18622060:18625824:1 gene:Sspon.04G0023770-2C transcript:Sspon.04G0023770-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:YDA [Source:Projected from Arabidopsis thaliana (AT1G63700) UniProtKB/TrEMBL;Acc:A0A178WLG6] MPPWWGKSSSKEVKKTAKENLIDTFQRLISSNEQKGSRRSRGSRKHGKDTAGDKGCWSTAQSRSTSPSKEVSRCQSFAADRPPAQPLPLPKSRARVTRTSSDITNSKSTLEKHGKGQLLPLPPIQPKKRPEATEPVTEAAIASVSSNCSIDSDDPGDSRLQSPVGNEAENATRITATSSSSVLHKERSSAITRKNTKEVAKPNNAFLSNQILSTSPRGTVADGYQSNLQSPRQIALESAPNSLMSSPARSPRIICPDQIPTSAFWAVKPPTDITFLGSGQCSSPGSGQTSGHNSVGGDMLGPIFWQPSRGSPECSPIPSPRMTSPGPSSRVHSGSVSPLHPRAGGVTPESPTNRHAEGNKKQTHRLPLPPISTPNISTFLPNSSTPASPISRSPGRTENPPSPGSRWKKGKLIGRGTFGHVYVGFNSDRGEMCAMKEVTLFADDPKSKESAKQLCQEISLLSRLQHPNIVRYYGSETVDDKLYIYLEYVSGGSIHKLLQEYGQFGEQAIRSYTKQILLGLAYLHAKNTVHRDIKGANILVDPNGRVKLADFGMAKHINGQQCPFSFKGSPYWMAPEVIKNASGCNLAVDIWSLGCTVLEMATSKPPWSQYEG >Sspon.03G0032880-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:49355380:49356699:1 gene:Sspon.03G0032880-2C transcript:Sspon.03G0032880-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MENQNTCAPPVLPVSTLSEPLLLGKTADLATGDDDLEAQLTSYRATTGASFSRTCLNLTNAVSGIGVLSMPYAVAQGGWLSLLLFALVGAVCYYTGTLIERCMRADPGAIASYPDIGKFAFGSAGRRTIAFFMYVELYLVAISFLVLEGDNLDKLFPGSSVQLVGGYRLQGKQLFIALAAAVVLPTTWLKNLGVLAYVSAVGLVASAVLTASLVWAGVAETGFRRNSTSVLSLGGLPTSLGLYFVCFTGHAVFPTIYSSMKNNRHFSKVLLISSVLCSVNYGLTAVLGYMIYGDDVQSQVTLNLPSGKLYTKVAIVTTLINPLAKYALLVAPITAAIEERFSLPAGSAPARVSISTVVVVSTAVVASTVPFFGYLMSFIGSFLSVMATVIFPCLCFLKIYRAEGIRRIEVAAIAGILMMGVFVAVTGTYTSLQQIIGTF >Sspon.02G0037970-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:37902519:37904843:1 gene:Sspon.02G0037970-1B transcript:Sspon.02G0037970-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:[Fructose-bisphosphate aldolase]-lysine N-methyltransferase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G14030) UniProtKB/Swiss-Prot;Acc:Q9XI84] YGYIHIWKPTVVIVRTPNHYLLRRRGSPPLRLRLLAARHRVMATLHHHHLLPLQRLPNSPRPPPPRLRLRLRLPTKPSRPHSRLLPRAVASTAATVSALEDFRRWLASHSAGDGGKTFPAAVPEGLGLVAARDLPRGEVVAEVPKKLWMDADAVAASDIGRACGGGGGLRPWVAVALLLLSEVARGADSPWAPYLAILPRQIDSTIFWSEEELLEIQGTQLLSTTVGVKEYVQSEFDSVQAEIISTNKDLFPGSITFDDFLWAFGILRSRVFPELRGDKLALVPFADLVNHSPDITSEGSSWEIKGKGLFGRELMFSLRTPDDVKSGQQIYIQYDLDKSNAELALDYGFVESNPSRDSYTVTLEISESDPFYEDKLDIAELNGLGETAYFDIILDEPLPPQMLPYLRLLCIGGTDAFLLEALFRNSVWGHLELPLSPDNEESICQVMRDACKSALAAYHTTIEEDEELSKRENLQPRLTIAIGVRAGEKKVLQHIDNIFKQREEELDGLEYYQERRLKDLGLVGDNGEIIFWES >Sspon.04G0005430-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:15218096:15220864:1 gene:Sspon.04G0005430-1P transcript:Sspon.04G0005430-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSPEAARFVLVTQAHLFKPTFPASKERMLGPQAIFFQQGDYHAHLRRLVSRAFSPEAIRGSVPAIEAIALRSLSSWDGRLVNTFQEMKLYALNVALLSIFGEEEMRYIEELKQCYLTLEKGYNSMPVNLPGTLFHKAMKARKRLGAIVAHIIEARRERQQQQRGSDLLASFLDDREALTDAQIADNVIGVIFAARDTTASVLTWMVKFLGDHPAVLKAVIEEQLEIARSKGSSDEPLTWADTRRMRMTSRVIQETMRVASILSFTFREAVEDVEYQGYLIPKGWKVMPLFRNIHHSPDHFPCPEKFDPSRFEVAPKPNTFMPFGNGTHSCPGNELAKLEMLVLFHHLATEYRWSTSKSESGVQFGPFALPLNGLPMTFVRKD >Sspon.05G0015170-4D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:5D:56547765:56548724:-1 gene:Sspon.05G0015170-4D transcript:Sspon.05G0015170-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSAATQLPALLLFRVREIDFHAALLERYRVLDFFTSGEALPAFLAAAAADAADPPRAALFVGGGTAARVDAAFLDAVPSLRFVFNTGAGMDHIDLGECARRGVAVANSGTVYSTDVADHAVGMLVDVLRRVSAAQRFLRRGLWPLQGDYHPLGTKVGGKRVGIIGLGNIGMLIAKRLQALGCVISYNSRKPKESVSYEYFASVHDLASESDVLVVACALSKETRHVVNRDVLDALGKDGVVINIGRGPIIDEAELVAALKEGRIAGAGLDVFEKEPKVPAELFSMDNVVLTPHVAVFTTESRSDLRDVAIGNLEAFFS >Sspon.03G0021530-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:88241470:88248895:1 gene:Sspon.03G0021530-2B transcript:Sspon.03G0021530-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKAPDDQLQATEQEGSVHPSQQAGQQHVNTPDQISKTELTEGSKNEPPVQVEQQNSHLQQAHPEIQLQQAETNSFQLAEKETGYFGQQSFAGAKVDVAQPSVVLQNVKQTVGQQASSGAQDTRKGPSIPFNMLIPILQAHLDRDKDMQLQAVWAKLRRNEVHKDDFLRVIRNIVGDQMLKQAAHKVFVQMQAQAQRNSQANPSQQSLFSQVSSQQMPSSGSAQLHDQKLRPPGPPNQGQKNQVSSSPQAFAPPSGTQTQNSVHYLAHDNPNQNPDTKGTNAVPNQPPRMNTAVPLQAKNKQHQPTQLQQASQQIYGASNPGAQAYPRSITGSLRSPSPVPETQPSMHAPGMAPAKIIPPPTHPMMQHNAVAWQMHQNKELKTNAPPPNANAKQNSESVGKARMAGTGNSSAKGKQGTPNSTPNASGGAKSSKKSGGQKKSLEAAGSTQPSSKKQKTSGAFQEQSIDQLNDVTAVSGVNIREEEEQLLSAPKEESLASQEARRIAQEEEENLFLRKGPLLKKLAEIARKCDLKNISGDVEHCLSMCVEERLRRLISTLIRVSKQRIDTEKTGHRLVITSDVGRQILQMNQKAKEEWDKKQAEEADKNKKQTEADGSGATELEKEKEESRPKNVKPNKEEDDKMRTNAANVAARQAVGGSDMLSKWQLMAEQARQKREGLDVSAASQPGRGPGSRPLSKFGKGPGEHQEGSKRSHSVAFGTGGMKRPGSTPFAGPQRMISVKDVICALEREPQMTKSRLIYRLHERLPDSTVD >Sspon.04G0009930-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:28376141:28380289:-1 gene:Sspon.04G0009930-3C transcript:Sspon.04G0009930-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASQSSMSGAGEPGMRTVVWFRRDLRVEDNPALAAAARTAGEVVPAYVWAPEEDGPYYPGRVSRWWLSQSLKHLDASLRRLGAGRLVTRRSNDAVVALLDLVRSTGATHLFFNHLYDPLSLVRDHRVKEQLTAEGITVQSFNADLLYEPWEVLDDDGCPFTMFAPFWNRCLCMPDPAAPLLPPKRINSGDLSRCSWDELIFEDESERGSNALLARAWSPGWQNADRH >Sspon.01G0047500-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:103162578:103163153:1 gene:Sspon.01G0047500-2D transcript:Sspon.01G0047500-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding KRALATVGVGPTCQQVLQPTGPFVRPPPPVLPPPVRITRARALRFLARNIHRSGGGETDYVNRFTKTIKKNEGNEKAVLPLAPPVAAATAGGSVAMSICGYHSPRFSEDIAWLPQWLQPYGTPTVGDRRNTTTAVSSPSC >Sspon.04G0015330-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:57483110:57492343:1 gene:Sspon.04G0015330-1A transcript:Sspon.04G0015330-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVAMDISKPTPAASGDEAAAAAKGRSGAGGEGLRQYYLQHIHDLQLQIRQKTHNLNRLEAQRNDLNSRVRMLREELQLLQEPGSYVGEVVKVMGKSKVLVKVHPEGKYVVDLDKSIDITKITPSTRVALRNDSYMLHLILPSKVDPLVNLMKVEKVPDSTYDMIGGLDQQIKEIKEVIELPIKHPELFESLGIAQPKGVLLYGPPGTGKTLLARAVAHHTDCTFIRVSGSELVQKYIGEGSRMVRELFVMAREHAPSIIFMDEIDSIGSARMESGTGNGDSEVQRTMLELLNQLDGFEASNKIKVLMATNRIDILDQALLRPGRIDRKIEFPNPNEDSRFDILKIHSRKMNLMRGIDLKKIAEKMNGASGAELKAVCTEAGMFALRERRVHVTQEDFEMAVAKVMKKDTEKNMSLRKLWKSRANWSKMGRLAMAVPVMLLLLCTTCRLTLGITDGLLPNGNFERGPLPSQLRGTLVVGASAIPSWQTSGFVEYIPSGRKQGDMVLVVPEGAYAVRLGNEASIRQRLRGAARGARYSLTFSAARTCAQAEQLNVSASGQSGLLAMQTMYSSNGWDSYAWAWVADADEVDVVIHNPGVTEDPACGPLIDSVAIKTLNPPRRTNKNLVKNGDFEEGPYIIPGTKWGVLIPSRVVDDHSPLPGWMVESLKAIKYIDGDSFAVPRGRRAVELLAGRESAIAQVIRTVPGRQYALSFTVGDASNACRGSLMVEAYAGRESTKVAYESAGKGGVKRAVLPFRAASARTRLVFFSSFYSTRSDDLSSLCGPVLDDVAVVS >Sspon.07G0027580-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7B:60928179:60928472:1 gene:Sspon.07G0027580-1B transcript:Sspon.07G0027580-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ALHAVTAHVAHRCRRRGAVLPALLPTAVHAARMGAAYLLMLAVMSFNGGVLLAAVAGHALGFLLTRSWAARAEELEAGLGAGAGRDLVRPSDGAAKA >Sspon.01G0019300-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1A:72258161:72265211:-1 gene:Sspon.01G0019300-1A transcript:Sspon.01G0019300-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MELVTLLALTLLACSAAMLARLLVARAQRRRCYLLDYVCYKATDDRKLPTDLCGEIIQRNQLLGLEEYKFLLKVIANSGIGEETYGPRNMIEGGEARPDRLREGMEEMDETFHAVLDELFARSAAPGGVGIRPADVDVLVVNVSMFSPAPSLSARVVRRYGLREDVKVYNLTGMGCSATLIALDLVDRFFSAHAGQVALVMTSESIAPNWYAGNKKSFMLGNCLFRSGGCAYFLSNDPRLRAHAKLRLRHVVRTHTGASDEAYNCALQMEDDAGRPGFHLGKELPRAAVHAFIHNLRVLAPKVLPLPELLRLSCATFSARLARKRGSKSSNHLTIRMKAGVDHFCVHTGGAAVIDGVGKGLTLTEHDLEPSRMTLHRFGNTSASSVWYVLSYMEAQGRLRKGDRVLMVTFGAGFKCNSCVWVVENPATDAGVWKDRIHLYPLKDVSNPFMEKYGFLKDLTIDGPMM >Sspon.07G0011510-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:38864415:38870278:-1 gene:Sspon.07G0011510-4D transcript:Sspon.07G0011510-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSPERSIVHPSNAGPTRQMEGRTTTRAQHVRRTPRNEQHLWQLLERSRPHPTPHRSAPPPLILGEIYRPSLAKALVSTTSDDYDARSRSAAACWDRASGGLHRPRPRPAPRRAAAAEGGPKMSVVGFDLGNESCIVAVARQRGIDVVLNEESKRETPAIVCFGDKQRFIGTAGAASSTMNPKNSISQIKRLLGRKFSDPSCRAISPPSRSGPGGFPLVHVRFLGEERTFTPTQLLAMVLSNLKGIAEGNLKTAVVDCCIGIPVYFSDLQRRAVLDAATIAGLRPLRLFHETTATALAYGIYKTDLPEHDQLNVAFVDVGHASMQVSIVGYKKGQLKMLSHAYDRSLGGRDFDEALFKHFAAKFKEEYKIDVYQNARACLRLRVACEKLKKVLSANPEAPLNIECLMDEKDVRGFIKREEFEHISAPVLERVKGPLEKALAEAGLTTENVHFVEVVGSGSRVPAIIKIITEFFGKEPRRTMNASECVARGCALQCAILSPTFKVREFQVNDGFPFSIALSWKQDSQNSAPQQTIVFPKGNAIPSIKALTFYKSSTFEVDVLYVDTGDSQIPQKISTYTIGPFQPSKGEKAKLKVKVRLNIHGIVTVDSAMMLEEEDVEVPVSSANEGPKDTTKMDTDDAPSDPVSGMDVNMNEPKSADSTEAASAAENGAQDPEEKSVPMETDAKVEPSKRKVKKTSVPVHELVYGALAAADLQKAVEKEYEMALQDRVMEETKEKKNAVEAYVYDMRNKLYDKYSNFVTPEEKEGLIAKLLEVEDWLYEDGEDETKGVYISKLEELKKIGDPIEARHKEWTERGSAVDQLVYCINSFREAALSNDQKFDHIDISEKQKVINECSEAENWLRERKQQQDALPKHANPMLLVSDLKKKAETLDRFCKPIMTKPKPAPKAQTPPPQTPPPQPETQAPEPQTPEQQQSGSGAAGGEPGSEGGVQQASGEQMDMDKPDDSADATAA >Sspon.03G0026760-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:8175145:8181183:1 gene:Sspon.03G0026760-2C transcript:Sspon.03G0026760-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFVVIGKKPKGTKSYLPRKNTAAYAILITLLRAKAIGKDFMMKQELIDAAEASGLSRDAIGPNKSKAKQSYGKDWYTGWSCMKTLLSNRLVTKWSNPAKHSPELVGGSSLTKKKSSCYRQVQTTGFAKLAMPPRRANENFLEAYEVILILDDREKFGSRSRKVADNIRSQSHFGVEVRQLPVGDGIWIARHKEDHTEYVLDFIVERKEVMDLDGSIEDNRYKDQKFRMQKCGLRKLIYLVEGDPNRAPQRVKTACFTTEILDGFDVQRTTGFADTQKRYIDLMHSVIAYYDANFSIVGKTSHVCPTFDEFKKKCCELKKKTVTWQYLKKVSQLVPKVTEEAALAVVELYPTPFLLAKAYLILDGDILAQEKMLKNKNEMVNAGASRNIFHFVWGDGGNTLDPVPN >Sspon.05G0003540-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:4590988:4604894:-1 gene:Sspon.05G0003540-2B transcript:Sspon.05G0003540-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding QLRSCHTEYNKWAKRKNQATTPALCEYTDSQKFHIVTVHLISHEVGINFVALLQAWDCWSQHKIEDLLDSAMEKPEFGLLIVLEKCVQIGLLCVQQLPDDRPTMSAVVTMLNSDDSEIYPPEMPMFDDGSARASAAGIASDTLNNGGNITDGETLISSGSSFTLGFFSPAGVPAKRYLGIWFTASPDAVCWVANRDTPISNTSGVGVLVVGSTGSLRLLDSSGQTAWSSNTTSSAPAVAQLLESGNLVVREQSSGKMLWQSFDHPSNTLLAGMRLGKDPQTGAEWSLTSWRAPNDPSTGDCRRVMDTSGLPDCVSWQGNTKKYRTGPWNGLWFSGVPEMVSYSKLFSNQVVVRPDEIAYIFNTSADAPFSRLVLNEVGVLQRLAWDPASQVWNVFVQAPRDVCDDYAMCGAFGLCNVNTASTLFCSCVVGFSPVNPMQWSMRESGGGCRRNVPLECGNGTTTDGFRVVQGVKLPDTDNTTVDIGATLEQCRARCLANCSCVAYAAADIRGGGDGSGCVMWKDNIVDVRYVDKGQDLYLRLAKSELGKFQLPANRKRTDMVKIVLPVTASLLALVAVALYLVWICKLIGRRRNKDVQKKAMVGYLTTSHELGDDLELPFVSFEDIVTATDNFSEDNMLGQGGFGKVYQGMLDAARKKLLDWPTRFKIIKGISRGLLYLHQDSRLTIVHRDLKPSNILLDADMSPKISDFGMARIFGGNQHEANTNRVVGTSGYMSPEYAMDGAFSVKSDAYSFGVILLEIISSLKISLTHITDFPNLLAQAWSLWNEGKAMDLVDSSQTIGSLPIMAPPGHVHVPQLDLYLDAIFVSPDSSAMSREGRMGTTCLTIFILLLLLMICVCKSDDQLTSARPLSPGDLLISKGGVFALGFFSPSGSGSNTSRHEVPDDPQGSPRRRRAPGLVERPRRPVHRRLSFGLGPVFNLQLMIWHGADPYCRISVWNGVSVSGGMYTSSPSSMVYQTIVNTGEEFYLVYTVSDSSPYFRIMLDHTGTMKLLSWDANSSSWTVVSERPTGGYGLYGSCGPNGYCDFTGAAPACQCLEGFEPVAVGMNSSRGCRRMEPLQCSKGSHFVALPGMRVPEKFVLLRNRSFEQCAAECSSNCSCTAYAYANLSSSGAVADQSRCLVWTWELVDTWKSINYGEKLYLRLASPPGKWQKREIQKKLMLRYLSTSNELGDKNEEFPFVSFDDIVAATDNFSDCNMLGRGGFGKVYQKNAIMQGMLEGGKEVAVKRLSQGSGQGIDEFRNEVVLLVKLQHRNLVRLLACCIHEEEKLLIYEYLPNKSLDAFLSDTSRNHVLDWPTRFNIIKGIARGLLYLHQDSRLTIIHRDLKASNILLDTEMSPKISDFGMARIFGGNQQLANTTRVVGTSGYMSGQTSGAFSVRSDTYSFGVLLLEIVSGLKILSTQLIMDFPKPYS >Sspon.05G0027380-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:29052835:29071554:1 gene:Sspon.05G0027380-3D transcript:Sspon.05G0027380-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMALLPSVGLLPLTLSTTTAPTSSPLSVRRPRPRALSIPRVHCCASRTPHPLAAAREAAAFWAGKLAGAVPWKAAISGVLAVAVSFTCLVGLANARTGVNKPELLPKEFTTVIDVAGFLSSGQENRLRQEIEDLEKDTGYKLRVLAQNYPDTPGLAIKDFWQVDERTIVFVADPTFGNIINFNIGPLVDLDVPRSFWSQVSGKYGNMFCWKEKGEDASIEAAVTAISRCLRNPTGTNNCSEVL >Sspon.05G0025920-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:26980139:26981059:1 gene:Sspon.05G0025920-2C transcript:Sspon.05G0025920-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLHVRLSLYGPQSIGVKIENLSKRCYTTLKVKPSYYMEESQIAIKDATQRNMVGTGTEDGTESPPIRATIKDVIKGNNTAIDGIWPATIDVNSSHRDGTIYKKRLYWKSEYNIDITDRDETRVEPMRYAAATNCHPDPDSCYCHVQYEMMQIFSLKLAKASVKSGLIQLYGYIAARDYLDSKLNYVFNRSRDDPITVQQ >Sspon.03G0012840-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:52547458:52552253:-1 gene:Sspon.03G0012840-3C transcript:Sspon.03G0012840-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPASAAASAGRKSPVTVLLLYVACAFILLLLLASYSPRLQPHAHGRSLHRRLKLHPKSAPSSGAASGGNGGQQQTQHQNHHAASFDPTIAELERRLDDKEWEREHYSLLHGGESDDHMKEWEEFLKDEEDFINDDERFNVADRIRELFPKIDVSPQDGFVSLDELTTWNLQQARADQHHRSAREMELYNKDGDGIVSFEAFNKLRQESHGEGNMLGFQWWKEEHFNASDANADGFLDKAEFSDFLNPSDSDNPKIINLLCRQEIRQRDKDGDGKLNFEEYFNGLHDHIHGYDDENADISHIGNVTVAKDRFSKVDKDNDGTSYNLNLYSFEKDLFCRFISEHELEPVLDKLYLSERYYSRQQAIHAFQRLLFREMTCSICFTVDKDHDGRLTLEEMIENPYAFYGSVYLSDDDDEDYFHDEFR >Sspon.05G0035870-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:80499050:80506842:-1 gene:Sspon.05G0035870-2D transcript:Sspon.05G0035870-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLESVASTGLTNNPVLEKIYSLIGDETANQLGVHKQLRFLHHELSSMRGALEDVADMEDLDNGTKAWRNEVSELYYDTEDCIDDFRHRVEGGPSQGGQGFIRKAGRLLETLMANYQISRKIKELRTRVQEANDRRTRYKLDECVSRSTRVSVDPRITALYAETSSLVGIDAPKEEVVKLLTEVDGVSLKELRVVSIVGFGGLGKTTLANEVYRDLRDNLSGNPEKSFSCKAIISVSQKPDMNNLLKSLFSKVSGQSADHTYDLQGLIDIVREYLKGKRYLRQQQHKSRRLSLQFHDRNLGVVESAGRINLPHVRSLIVFGWPAPNLALFELKFLRVLYIVRAADDLDLTLICKLFQLRYLCIRGKHRGLQLPEEISGLKHLQVLDVISGLSASGIPRDVVYLPALLHLQFPLTAVYPDGIGSMRCLHTLLQFDASKQSVANMLALGELLNLRVLDLWINDASFATKEAHMDALMSSLEKLISCNLKTVSILARDNVGRHSRWSSLCFSCSQAQLEQLHLYVWCPRMPAWVCQLRALSILKIKVVELCKDDVAVLAGLPALSRLILDVRNNNVPGQQGIVFSADTSFRLLGYLRIPYDAETGITFEAGSMPQVETLRFPLRADDVKRWGVRFSGIEHLLNLKQVLVDLRYGDCDESERPVIRAAVRSAFDAHSAPSSIQFEFY >Sspon.04G0007250-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:20776042:20779468:1 gene:Sspon.04G0007250-1A transcript:Sspon.04G0007250-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHHHGGAGSPYMAPTTAGTGMGTAPFSSTPTGPAVSVTDIPAAPPTMQLQPVGPSANFEELPAGGSGSGAGAGAGAAASIIQDDDMQADFGASGLGASGSGGHHRWPREETLALIRIRTEMDADFRNAPLKAPLWEDVARKLAGLGYQRSAKKCKEKFENVDKYYKRTKDARAGRQDGKSYRFFSQLEALHAAAAPPPHPSGMSMTTTVQTGPHQPMAVPWTAGPTALGPPAGAGLPDLSFSSMSGSDSDSDSCSDDYDDSDAGEQGLGRGDCDREMMAIFEGMMKQVTDKQDAMQRVFLETLERWEAERTAREEAWRRQEVARMNREREQLARERAAAASRDAALIAFLQRVGGGQGQPVRLPPHSAGAGVVPAPPISDYTPSSPRRHDAAATSLQQLVPAPLKAVEALAWAGGEGSGPTSSSRWPKEEVEALIQMRNEKDEQYQDAGAKGPLWEDIAAGMRRIGYNRSAKRCKEKWENINKYYKKVKESNKRRPEDSKTCPYFHQLDAMYSKKHRGGGRGSRTAPGANMATAVTVAAVAVAATVQDNPSQRELEGKSSNDVDNRKNDEKGNVHTSPGNGDTAPTTTPPGDGAKGKTAEDNVNETNVQHQQQFSADETDSDDDINMARDYTVYTEEGNDEDKMKYKMGVQKPDVIGSSGNVPEPSPAAAAATAAAPTSSAAPTSSTFLAVQ >Sspon.01G0035820-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:14702282:14713908:1 gene:Sspon.01G0035820-1B transcript:Sspon.01G0035820-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPKPAPRLFQYISNKSRKPPSPPQPAATSAAPASHDGPASDADAGEVYRIVTSAATPSAMESALAASAVPLSSPLLDAVMRRFRFSHGDPLRALSLLSLAADRGGVAPSPYAIDTALYVLGRARRFPHMWDLLATTRRICPDAVTPRTAMIVLGRVAKVCSVHETVASFRRLARMFRAVDTAGLFNALLRTLCQEKSMSDARNVFHALKYEFRVNRHTFNILLSGWKSAEDAEAFVAEMRELGVEPDLVTYNSLIDCHCKNRDVEKAYKLLDEMREKDISPDIITYTSLIGGLGLIGQPDKAKDLLKEMHELGCYPDVPAYNAAIRNFVIAKRLGDAFALMDQMASKGLTPNPTTYNLFFRCYYWAFDVGSTWRLYERMRSEGCFPNTQSCMFIIRLCHRYGKVVQALELWSDMVRNRFGSFTLVSDVLFDLLCDEGKLEEAERCFCQMVELGQKPSNVAFRRIKILMQLAKQEESIARCPAIKAISKFKPRQASPRPQTNAGASLIARPEPSPANPSGKPRQSEERIRATIPSVGSSMRVRRRRPESEAMESKENSAHSAPPLNRPRGKRKALAELPTSTGPNTNHGSAPRPSKPRTRSAARTEAEAEEARKRREAEDAARGADVGRPLDPRPPGAEAARAAVAPYVGDIDRYLRSLEVVKMEADLLKSLRFEIGGPTVTTFLRKFIALCRGGNSINRGKLESMCSYLAELSLLDYDCISYLPSAVAAACLFVARFTIHPKTRPWNLTLEQNTGYKVFDLQKSIYVIHELQLTIRCPDQEAIREKYKDPKFGCVSTMASPREIPTSFLEDCHNVVDCWYSGTGLTVSIPLAMLWAVAFVFWGICSNGIQKLVSYEPQTEPYKTTIPQTTRERRNWWLTRLQAEMGWCTMGWPAIGKSGLGTFSDSGRNRVPATNTSTRANRSDQRAEGGGVPGWGWRGADLAALTFGGAADHDDGDDALLGAGHGCSASAPVAEWWG >Sspon.05G0021590-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4C:68052246:68052683:1 gene:Sspon.05G0021590-2C transcript:Sspon.05G0021590-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMATINDHCWPEPIVPVQILSNSGVPTMPQQYIKPPSEHPYGSITRMNCPYLSIPIIDLACFSDIPEHRKAVLEAIREACKNWGFFQVVNHGVGIDSVKRMREAWREFFDLPMEEKKLYANSPVTYEGYGSRLGVEKGVTSYQMI >Sspon.03G0036400-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3B:89622246:89622995:-1 gene:Sspon.03G0036400-1B transcript:Sspon.03G0036400-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEREQQDPGAAGADPGVLGLDLTQPPEKVYYKTRLCEKFEAGKCAYETGAPSRTASTSCARRCPCRPRSSGGGRRCGRGRRRLVTPPTAPKAAAATGCASSSGTRGPATGGIEMMPFPGGPRSVEHALRNASPYAKAYSSPGSAAAAHRSSSSSSSYAPSSTRSFPSVPADVAGEGRRRKVTRLELLSRKKMSGIYGDWPEQD >Sspon.02G0052290-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:90120652:90126570:-1 gene:Sspon.02G0052290-1C transcript:Sspon.02G0052290-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKNILLLGHHLKRPARTSKPSRRPSPIRVRVCFGLLDQSAIKRTPRTHPDSPSWRGQPDCDTVDNQRRRGAKVAGRIRVSLPTKLIVILNLMEDRESRPHHSSTPAPSSPGASPPPKPPPLLDGRAAIPWASPDAGTAGASPAPCCCHREVLSLSPSLPPSLPNLPLRSQISTTVLLVTPCAAQSSAEDWVDLHNAARADVGVGPVSWNNSVAAYAESYAEMRQGDCELTLSDGPYGENLFWGSASAGTDWKAADAVRLWVAQKKNYDHDTNTCATGKRCGAYKQVVWRDSTSIGCARVVCSNGSGVFMICSYNPPGNLDGESPY >Sspon.01G0010440-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:29449986:29453961:1 gene:Sspon.01G0010440-2P transcript:Sspon.01G0010440-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding TMQLAAVCTDPVVLSCAFLCLLLHIALRSLLHPSSAAPSSGRRGGQLPPGPPGLPILGALPLVGPAPHAGLAALARKYGPIMYLKMGTTGVVVASSPGAARTFLKALDAKYANRPAVASAVDITYGCQNMVFANYGPKWKLMRKLASVHLLGARALADWACVRRDEAGHLLRGVAEAAAAGRPVVVPELLVCALANIVGQITVSKRVFDAQGDDSNRYKDMIVSLLTGTGMFNISDFVPALARLDLQGVQAKLRRVHHQFDGLITKLMAEHAATAADRARRGRQDFVDRLRATMDAGADDESGETITEVNIKGLIQDMFTAGTDTSSIIVEWAMAEMLKNPSVMARAQEELDRVVGRGRRLEESDLPSLPYLQALCKEAMRLHPSTPLSLPHFSFDACDDVDGYRVPANTRLLINIWAIGRDPAAWEKPLQFRPERFLPGGGAEKVDPMGNCFELIPFGAGRRICAGKLAGMVFVQYFLGTLLHAFDWSLPDGEEKLDMSETFGLALPKAVPLRAVVTPRLVPEAYA >Sspon.04G0016780-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:65022427:65027567:-1 gene:Sspon.04G0016780-2B transcript:Sspon.04G0016780-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDAEADELVAAAAGIICSMRGADLAGWTPPWRKPEPEPAAREGELIWPAVARGKRSRRRSPSAGSSGGKARWGRASPASPLDYSGGSGSGSAASTSGGEDGGGGFCSLAHHRPVPATKVGAIERPQLITFPTPLPRPSGQRPRKKLRLPEIQQLVRSLAVENENLREEMRDLQRACKALSKENNKLETRLGQSNSQNEITSKEQKGKEQLDQQSVTQSARDSFVLPDLNLPPEVSADVST >Sspon.08G0016800-3D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8D:62955318:62955881:-1 gene:Sspon.08G0016800-3D transcript:Sspon.08G0016800-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVNAKCCTLAALLATLVVAAIVTAFFVLLCPARITFSVARTGSSHNSSGAAGGGSSVLSLTLAADNPSRRAKVTYESMFVDVSNSTAPGAEGDNWVRATVTTRMPLRQQGRTAAIDVTVPLVDAPWTQDFTGNMSSLFSVMVTAQARFRVGVAWTRLYDIKVSCSPVSFFTAKAIPAGAAGGAAGLP >Sspon.01G0025730-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1A:90185872:90186777:1 gene:Sspon.01G0025730-1A transcript:Sspon.01G0025730-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDATVASRQRQQQPASPATTKDCRGRAMMAELDAPLHTLGFEMEELSPSRLTGRLPVTRTCCQGFFYRPKPFKVLHGGVSALVAEALASMGAHMASGYSRIAGVQLSINHFRSAALGDTVLAQAVPVHVGRSTQVRRPWLSLPSSMIASFPLYDHR >Sspon.01G0043050-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1C:67360330:67365100:1 gene:Sspon.01G0043050-2C transcript:Sspon.01G0043050-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPEASMLSQLQLQLLALVSEFGLLRERERGAREELRDAGQRWEAAAEEHRREARELRAEVAARDDSIRRLEARIKCLENENELLEKNENNLKESMEDETIERLTSENQAMRLELHNMEIALQKFQDLFGSIGHEGMKSLPAISESQDVQDVNNEQLESIPGTQCGLANEHTSVTAVVKEATTQNVDHQLETDPGSMHVQSPVHFKSGALPSPELVAVNTETTNSLLEPKGDINMELKESQCRFLYWLWLRLSKRPKDARSVGLALRRHPSGPGFDSQWERISAEVSGRGQGSGGFSVRATEKLLLKWNAVGEGGLSPARPTDCLNLDPDMENKS >Sspon.03G0018160-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:43036574:43039451:-1 gene:Sspon.03G0018160-4D transcript:Sspon.03G0018160-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHPYAPPELELPGFVPLKLSQAEILVSYLGASLFVLLAVWLVSGRCGRLTKTDRVLMCWWAFTGLTHIMIEGPFVFTPDFFKKENPNFFDEVWKEYSKGDSRYVARDAATVTVEGVTAVLEGPASLLAVYVFLSPTRLTSSYAAYAIASQKSFSHILQFTVCLGQLYGCLVYFITAYLDGFNFWVGPFYFWAYFIGANSFWIWIPMLIAIRSWKKICAAFQAEKVKTK >Sspon.05G0012250-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:36849251:36849907:-1 gene:Sspon.05G0012250-1A transcript:Sspon.05G0012250-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASSWDALRKQARRLEAQLDDQMIAYRKLVSMKSDGSENDIESDIERSLKQLQQVNSQMQTWVSSGGSEVLAHTLTRHMEILQDLTQEFYRLRSSLRAKQQHASLLDLRDFDRAKFDVEDPSDSADQALLREQAAIGRSTGQMDNVISQAQATLGSLMTQRSTFGGITTKISNVSSRLPTINHVLSSIRRKKSMDTIILSLVASVCAFLIFIYWLSK >Sspon.03G0029210-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:14285705:14286186:1 gene:Sspon.03G0029210-1P transcript:Sspon.03G0029210-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein BOLA2 [Source:Projected from Arabidopsis thaliana (AT5G09830) UniProtKB/Swiss-Prot;Acc:Q9FIC3] GVTKEDVEAAITSALSPSNLVVTDTSGGCGASYEIEVVSEKFEGKRLLERHRMVNTALAPHMAEIHAVSIKKALTPAQAQPQPEPAADKPQA >Sspon.04G0016110-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4A:59160526:59161068:-1 gene:Sspon.04G0016110-1A transcript:Sspon.04G0016110-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLKEMARSDEERAPPAWLRTLLETTFFDACPEHPASKGRANRRTTGCNFFCTHCAGRALCFGCLGNHEGHELIQVHRTVPLCRGKGGLVPAFEKEIIVMLALCEVQIRKSSGHNVVKVDDVQHLLSMSLVQTYLYNGGYVVFLNRRPMLGQGKHGASHCEECERGLQDEACRFCSIGCK >Sspon.04G0006120-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4A:17299498:17299809:1 gene:Sspon.04G0006120-1A transcript:Sspon.04G0006120-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDAVTYTEHARRKTVTAMDVVYALKRQGRTLYGFGG >Sspon.02G0044410-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:105186500:105190254:-1 gene:Sspon.02G0044410-1T transcript:Sspon.02G0044410-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSPVTTAAASAAVVALAVANRVLYKLALVPLKAYPFFLAQLTTFGYVAVYFSILYARCRSGVVTRDMLALPKHRFVAIGLLEALGVASGMSAGAMLPGPAIPILSQSFLVWQLIFSALLLGRTYSARQIIGCFLVISGVILAVASGANEGQFLSEVKLIWPALMIASSAFQAGASILKEAVFIDGAKRLKGKRPDIFVVNSFGSGFQALFVFLLLPFLSNLRGIKFAELPAYLNGGAECFLNVAESPIDCGGAPFLPLLFIVVNMAFNISLLNLVKMSSALVASLTATSAVPISIYILSLPLPYIPHGAELSTSFIIGAMVLLMGLILVGAVVAAGVVVKTANMLHSDQVAASEDRMGSKR >Sspon.04G0034850-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4C:79387428:79387697:-1 gene:Sspon.04G0034850-1C transcript:Sspon.04G0034850-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAECQQPGPTGLLHARTLVFAKESPIYLVITRRVCITIPPETGFALSTLKELTFALSRSLTPLHATARLRPTRHTLAGHPSETPAQPAG >Sspon.08G0029320-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:33626627:33633571:1 gene:Sspon.08G0029320-1D transcript:Sspon.08G0029320-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEITNEALSSYKNQGGYDMLGRTRDQIRTTEQVKAAMATCQALKLDALVIIGGVTSNTDAAQLAETFAELKCPTKVVGVPVTLNGDLKNQFVETTVGFDTICKVNSQLISNVCTDALSAEKVILGEEVATSKLTIFDITKQISDAVQARAEKDKYHGVVLIPEGLVESIPELYALLQIEIEKLLAQLVETEMNKRLVLGHVCYHIIAAGLNAYMATVTNLKSPVNKWKCGAAPITSMMTVKRWSRGPATSQIGKPAVHMASVDLKGKAYELLRQNSSSFMMEDIYRNPGPLQFEGQGADTKPISLCVEDRDYMGRIKQLQEYLEKVKSIVKPGCSQDVLKAALSTMASVTEMLTIMSSPSFSGQATI >Sspon.06G0010610-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:55950925:55951218:1 gene:Sspon.06G0010610-1P transcript:Sspon.06G0010610-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWIEGHLDDESIFPQKLGTPFPPNFKEVVKTIFKRLFRVYAHIYQSHFQKIVSLKEEDHLNTCFKHFILFTNEFGLIDKKELAPFQELIESIIVPY >Sspon.02G0037420-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:38237098:38239785:1 gene:Sspon.02G0037420-2C transcript:Sspon.02G0037420-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPLPPALPRSLAFLGLVLILLPARAADAGPLATELLRPPFTASNILYIDTGGAFLESNNGAFKAAVLNPGQGEQQDRFYLVVLHAPSATLVWSGNRDAPTTSSGSVKLTSQGLTVSSPDGTVLWSTPSQLRSPVVALRLQDSGNLQLLDAGNATLWQSFDNATDTLLPGQQLRAGAYLSAARGANDLAEGNYRLGVTTADLVLTWLASTYWRLSNDVRSYKDRNVAVASVSVNASGLFAVAADGGLVFRVDLGEAAFPVLKLEYDGRLRITSYPLVNSSASLGSDFVAPPNYCDLPLQCPPLGLCSPAGNSSTCTCPPLFAASAMTPGACTPGDGSALASPALCQSSNSTVSPSYLTLKSQVAYFATKFDPPIKTGVNHNACRGLCSTSCGCLAYFYDNSSLSCYLIQEKQLGSLYFSSSASALGYIKTVPSPNNATRNSSNSSSANRVVPIVLPSIAAFLLLAVIACYAFWRRMRKNGVKQVYMGRQKDTGNTDDDEEDDNVVVPGMPTRFSYMEIAAMTANFGTKIGSGGFGSVALGAARGLAYLHTGCHQKIVHCDVKPENILLADGGQVKISDFGLAKLMSTEQSALFTTMCGTRGYLAPEWLSNAGISDRADVYSFGMVLLELIHGRKNRGEQTNDSVAVAVAVPVAGSSVQSDWPSGWSSATAASSPSGASGSGDEYFPMVAMELHQQGRHLDLVDPKLEGRVDEAEAARAVRIALCCLHQDPAQRPSMAAVVRMLDGTVAPPEPRVEALGFLRLYGRGHTVSNTSLIAMAGTSGSAATPSSTAGVSQLTDTLQSMSAPR >Sspon.03G0024540-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:93243278:93245761:-1 gene:Sspon.03G0024540-3C transcript:Sspon.03G0024540-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQPQPHEEGGASPKHESLMEKLADKLHVGGGKGDSSSSSDSDNDERPRPSAPPADEVKQPSFSDSAATAAAEAKAKVFRLFGREQPIHKALGGGKPADVFLWRNRNISAGVLGGATAIWILFELLGYHLLTFVCHGLIFSLGVLFLWSNASSFIHKAPPKIPEVVIPEDLVVNIALSTRYEINRAFANLRQIALGRDIKKFLMVIAGFWLLSVLGSCCNFLSLVYIVFVVLHTVPVLYEKYEDHIDSYGEKGWIEIKKQYAVFDEKVLSKVPRGPAKDKKH >Sspon.01G0046550-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:91662178:91664595:1 gene:Sspon.01G0046550-2P transcript:Sspon.01G0046550-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVSMIADEKPQPQPQLLSKKAACNSHGQDSSYFLGWEEYEKNPYDPVANPGGIIQMGLAENQLSFDLLEAWLEANPDALGLRRGGASVFRELALFQDYHGMPAFKNVSAWLNIVLTAGATSANEALMFCLADHGDAFLIPTPYYPGFDRDLKWRTGAEIVPVHCTSGNGFRVTRAALDDAYRRAQKQRLRVKGVLITNPSNPLGTTSPRADLEMLVDFVAAKGIHLVSDEIYSGTAFAEPGFVSVLEVVAARVNADGLLSERVHVVYSLSKDLGLPGFRVGAIYSSNAGVVSAATKMSSFGLVSSQTQHLLASLLGDRDFTRRYIAENTRRIKARRDQLAEGLAAIGGIECLGSNAGLFCWVNMRGLMRTPSFEGEMELWKKVVFEVGLNISPGSSCHCREPGWFRVCFANMSAKTLDVALQRLAAFAEATVAVEGRGRGPAARRVLGPARSMSLPIGFSWANRLTPASAADRKAER >Sspon.03G0021330-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3C:84010307:84014285:1 gene:Sspon.03G0021330-2C transcript:Sspon.03G0021330-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RIFQLKRLRFLDLYWNDNLCVQLPEFERGKQLEVLDLVMTKINCSSVIPASLVNLKSLNHLGLSTSGISRASDISVIGKIQSLEELRLYGISGPRKLEFSWSWIGGLKNLTYLELDSYDLSGALPSSITNLTNLNSLTLLRTQGVELGGGGRRQGEGQVETCRADGPGAVKGGPQVYMGVIVLTELRQRTCESSGAQEDLADDWSRTAVTRVERRGTRMEYATREQTEVPMWNGRHVTASGSSRRGKATDEEARWPSDEDDTGLDHNQGSKLLFVCFLEVDFGTVVESFERNLRLQFKPPLLRSSFPVLQLHSCNISGSIPLWIGNLTKFSDLNLGSNSLTGKIPRSIFVLPTLKTLDSNQLSGHLEDIPAPLSSSISEIDLSNNQLSGPMPTSFSQLPSLKYLNLESNHLIGTVELNPFWKLSNLYFLGFSNNMLSMIDAEDGALPPVLPNIQHLGLASCNLTKLPRVLRYLDIILDLSSNQIGGSIPGWIWVVWKDTLGKLDLSNNAFTSLEKSSLITMTHLNLLNLSFNRLQGDIPIPVISLPYGVVALDYSNNGFSSIIPNFGRYLNNVV >Sspon.08G0000300-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:1382297:1383402:1 gene:Sspon.08G0000300-1A transcript:Sspon.08G0000300-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AVLSSPLAFSARGSGTPNPTRLPPLQIQPPFPFLPLPLPPLRRPPLPLPPRSPHPPPAPNSAGTLRFASTSLVFGWETSTLASRSSLLKAMISLCQGTTGNFGKTE >Sspon.08G0008490-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:28533656:28535670:-1 gene:Sspon.08G0008490-2B transcript:Sspon.08G0008490-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAGVIVLGPIPEDPAFLPICFNGSRSPHCSSVSQLQDSILIFLAVPGMPPMPMSVLGSESIASVKLRIQRFKGFVVTKQRLVLDGHELARNNCPVKDYGLAEGNVLHLVIRLSDLRVINIETATGKKFQFQVDQSRNVKFLKNKLAAEGDEDIGNLEDHKLEYDGEELEDHQLVADISKRDDAVIHLFIRKPAKVRTQQVDRDTLVTVVNPQEKGNLQNEARAMNSAKSVGVRPAPVEPIVNRKAKLSPEVMEMINSTIAGLEKGHLPVMSAEGSGGVYFMRDAAGQKNVAVFKPIDEEPMAKNNPRGLPLSTDGEGMKRGTIVGEGAFREVAAYILDHPVSDSKSGHSVGFSGVPPTTLVRTLHRGKSFKIGSLQMFVENNGSTEDMGPRPFPVKEVHKIAVLDIRLANADRHAGNILVCKEGEGGNYKLIPIDHGYCLPEKFEDCTFEWLYWPQAREPLNDETIEYIKLLDAEEDIKLLKFHGWELSPRCARVLRISTMLLKKGAARGLTPHDIGRILCRETVNRASEIEDIIQEAEDAVLPGSSENMFLETVSEIIDHHLDKV >Sspon.08G0000620-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:377516:379458:-1 gene:Sspon.08G0000620-4D transcript:Sspon.08G0000620-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGAKSKGAAKADAKLAVKSKGAEKPAAKGRKGKAGKDPNKPKRAPSAFFVFMEEFRKEFKEKNPKNKSVAAVGKAAGDRWKSLTESDKAPYVAKANKLKLEYNKAIAAYNKGESTAAAKKAPPAKEEEEEDEEESDKSKSEVNDEDDDEGSEEDEDDDE >Sspon.01G0018280-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:69240167:69241272:1 gene:Sspon.01G0018280-3C transcript:Sspon.01G0018280-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding NLASAVRVFLAYCLLLHGCMGSEEAGGVFDQGCHGVSLTHLDEARAPSRCAAQPSPSGPAILPPKPLLVATPREDGEYPVLLFLHGYLAVNSFYSQLFQHVASHGFIVVGPQVYTISGPDTTEEINAAAAVIDWLATGLPSALPPGVRADLTKVSVSGHSRGGKVAFALALGHATAKLALPLAALVAVDPVDGMGVGKQTPPPVLTGRNRSLHVGAPAMVIGTGLGELPRGPLLPPCAPRGVSHAAFYDELDRAAPACHLVARDYGHTDMMDDDTPGARGMLTRTVCRSGGARAPMRRFVAGATVAFLNKWVARDAAAMDGIRARPDQAPVALSVVEFRDGKAIEDL >Sspon.01G0029400-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1B:111761290:111761988:-1 gene:Sspon.01G0029400-2B transcript:Sspon.01G0029400-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALAASSTAAFTAKPRLQRARLSVACSATSGDSNGNANSVSLASSVKTFSAALALSSVLLSSAATSPPPAAADIAGLTPCKESKAFAKREKNSIKKLTASLKKYAPDSAPALAINATIEKTKKRFENYGKFGLLCGADGLPHLIVSGDQRHWGEFITPGLLFLYIAGWIGWVGRSYLIAISGEKKPAMREIIIDVELATRLLPRGFIWPVAAYRELINGDLVVDDKDVGYY >Sspon.02G0002420-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:8736113:8737455:-1 gene:Sspon.02G0002420-1P transcript:Sspon.02G0002420-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFPTRRHARNSQIVGVTVLACNLCYRVGKAAAAAAPPSPLGLLAQRRRAFQGVRMETAGSKGGRGALVVLEGLDRSGKSSQCARLLSFLKGKGYDAEGWRFPDRATSVGQMISAYLANESQLDDRTIHLLFSANRWEKRALMESKLLSGTTLIVDRYSYSGLAFSAAKGLDIEWCKAPENGLIAPDLVIYLDVQPEKAAERGGYGGERYEKIEFQKKVAEHYHSLRDSTWK >Sspon.08G0004840-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4B:65236049:65237246:-1 gene:Sspon.08G0004840-2B transcript:Sspon.08G0004840-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPWISSQPSLSLDLHVGLPPLSLRQAPVTVALARPKVLVEENFLPPKKEPEVAALEMELQRMSEENRRLTEALAAAASRYEALRSQYTEMVATAGGNGNNPSSTSEGGSVSPSRKRKSESMDTAPPPPAAAAQHPGPHPHLHHPADQTECTSGEPCKRIREECKPKVSKLYVHADPADLSLVVKDGYQWRKYGQKVTKDNPCPRAYFRCSFAPACPVKKKVQRSADDTSILVATYEGEHNHGQPPPAPSQQAQAAHDGSAAPAASKNAAVAKPPSPPRPAGAVAPALHRPQLQLHHQQQQEDAVAKNGEPPVGAAAAAASEMIRRNLAEQMAMTLTRDPSFKAALVTALSGRILELSPTKD >Sspon.05G0034640-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5C:61225738:61227057:-1 gene:Sspon.05G0034640-1C transcript:Sspon.05G0034640-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNGEGPPPIFEGDDFPYWKIPMVAYLEAIDVGCLIAATEGLPAIKDPANPSGDEEKYDRWNAKAKNTLYRGLGKDIFNRKYGHIITVLHQSDLSTATPTQVLGKINAHEMYMHITPEEGSSSSKKDLAFKASHDKKKKKGQAMMVQESSSESDIDDASLALMVRKTTKMLKKLNKSGINFDGKKKKFFTSSKRKLISEMDCYNYGELGHLAHQCPKPPKDKYTNKNKGKKNDSSDEEDEKKKNKPYKKKNGKRNEFHKKKKGGKAYIVGDWLTDIESSYESSGDESDDEKEKVAAFVIGPSLSSPTSSSPPSPSSSTTHLCLITKGERKVQNNDSDDDDSDSDDEYDALSYDEL >Sspon.07G0024170-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:21107582:21112060:-1 gene:Sspon.07G0024170-3D transcript:Sspon.07G0024170-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAGMGRGGRVMAIVADFMLVWLPAPTVSLQPPLAVNSGAIAKFFYNCPDNAFQRNGAKLFAVGTSASLVGTGVTNALIKARQAASKDFDGEVENLPILSTSVAYGVYMAVSSNLRWIDYARWVGVQ >Sspon.07G0013170-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:54044542:54087714:1 gene:Sspon.07G0013170-3C transcript:Sspon.07G0013170-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPAACLAHYRLRPQKSPSGISSDFRLAKLHCSMDDLPEALLAEIVKRLTSPSDLKSLSLVSKRLYAVEGELRNSMHIGCGDNGLDSSVLPLHDHGLEVFSSCCPSLTHLTLSFCLDVDDSGLGYVACFKKLMSLRLNTLPAITSSGLLSVDVGCKNLSALHLIGCKRVVGSEKWLEYLGRVGSLEELVVSCCVKISQFDILKFGPGWMKLQKFEFQIKGCPNIFDPRDPSCVQHCPYRYDFSCESLEDLTLARVSTEKEIGLRCLLRKCKALKNLCLYYVLGVQDNDIVTLSNNCSNLTSISLRLTPQFNEGRVFRTSLTDDSLKALPSEIGFTQEGLVMLIQSCPIRNLVLCDAHIFDDEGMKAVSSAQFLESLQLTLCMNVTNAGMRLLAHCPCVVNLTLRQCNRLSDAGVTEVARARKLETLVVEGCSQDKTSAILCSNAQIFDESGFLHVHTPVITTVSHSEYLPHLEIAKLAKLHCSMDDLPEALLAEIAKRLTSPSDLKSLSLVSKRLYAVEGELRNSMYIGCGVFPLTVALIRLCFRYPNLCKVEFNYSGWTSNHGMQLDKHGLQVFSSCCTSLTDLTLSFCTNVDDSGLRLLVCFKKLMSLRLNTLPAITSSALLQVAIGCKNLSSLHLIGCNKVGGTIWLEYLGRFRSLNELVVNRCEMIRQFDLLKFGPGWMKLQKFEFQIKGCPNIFDPRDPSCLEHCQYRYDFSCESLDDLTLARVSTEKEIGLRCLLRKCNALKNLCLYYVLGVLDNDIVMLSNNCSNLTSISLRLTPEFNEGHVFRTSLTDDSLKALALRCRKLQSFELIFWGCDENWPEIGFTQEGLVMLIQSCPIRNLVLSGAHIFDDEGMKAISSAQFLESLELMDCINVTNAGMRLLAHCPCLINLTLRQCDRFSDAGVTEVARARKLETLVIEVSLSEYLPHLEIAKLAKLHCSMDDLPEVLLAEIVKRLTSPSDLKSLSLVSKRLYAVEGELRNSMYIGCGVFPVTVALIRLCFRYPNLCEVEFHYSGWTSNHGIPLNNHGLQVFSSCCSSLTDLTLSFCLDVDDSGLRFLARFKKLMSLRLNALPTIASSGLLSVAVGCKNLSALHLIGWPIQTFLIGSWHILSEPIIIGTMLVSWETWSYYAQTIVLGVVRIPLKCNKLMKMCGRMGSSHEASKIRTGTNDTWNNGTERVSMITLEYLGNTIYLGYESDAHVNGIVKSTMCED >Sspon.04G0006850-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:20505146:20506588:1 gene:Sspon.04G0006850-4D transcript:Sspon.04G0006850-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DDSTSKLELESRYAEENKKIQKNLVQDDSVSKLELERSPPENKGVVSWGLLDDFCAACLLVIFTSGIPDVRRQSLASYQI >Sspon.01G0031210-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:107169842:107180255:1 gene:Sspon.01G0031210-2B transcript:Sspon.01G0031210-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPPPIPHPLPPLTSALAHLRSVLSAASSALAALPSPLQPHPTTPIATIPSPQSTTTKPPLPPPVTAINLPLPAAPAPYSDCPAVVCMSPVPTSAATSLPAFLAGVCADFSSSTTGRSPSHPPRILPSELSLLHRELDSWVAGGHHLPGSYSYAVTRVVATFCLGVTPRWEVKLRQWVLESSPRYGVKVNVTDVDHILVLLWLLLKAMAVEARYLLEGMQNGDNEGLGFDPRAMRFECPRLVEGLSWLGAQLGVLYGESNGKLFALVSVKEAVLQMAYCLAVCVGDGAAGVGEDKVGAGEKGSDAGDVVARPVFLSQVAASIVALYERFYLEEKTKALQAQRLSKYQLLLGYSQALERGILERSNRPNYRAVLEYDGVLSRRVSNKESARAKTREELLAEERDYKRRRTSYRGKKVNRNPTEILRDIIDEHMDEIKQAGGIGYVVEAPADIAWNVFRSNSHSGAYHGTPLMMRKLWLLGHLAVTTRIVLILWEDFHQGEVTQEIHTITEGVKLMETVTQNHRHSNDNRNSGYKFKKDVSNHHHDSNDCTTDIDMIPKAQILLEIIRQPCLVMLLKESMRYTMMKYIVMDIMKGSAITITEGMS >Sspon.02G0014680-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:42036269:42041031:1 gene:Sspon.02G0014680-3C transcript:Sspon.02G0014680-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLSGMAVAFKASTSSTTQQHWLHPTKDQCRYGFTQLSRQKCRKRFAALRVTAISGKLELDFTDPSWNQKYQEDWNRRFSLPHITDIYDLEPRRTTFSLKKNRIPLGDGDGSSTDMWNGYVNKSDRALLKVIKYASPTSAGAECIDPDCSWVEHWVHRAGPRKEIFYEPEEVKAAIVTCGGLCPGLNDVIRQIVFTLETYGVKNIVGIPFGYRGFFEKGLKEMPLSRDVVENINLSGGSFLGVSRGGAKTSEIVDSIQARRIDMLFVIGGNGSHAGANAIHEECRKRKLKVSIVAVPKTIDNDILFMDKTFGFDTAVEEAQRAINSAYIEARSAYHGIGLVKLMGRSSGFIAMHASLSSGQIDVCLIPEVSFTLDGEHGVSRHLEHLLNTKGFCVVCVAEGAGQDLLQKSNATDASGNVILSDFGVHMQQKIKKHFKDISVPADLKYIDPTYMVRACRANASDAILCTVLGQNAVHGAFAGFSGITSGVCNTHYVYLPITEVITTPKHVNPNSRMWHRCLTSTGQPDFH >Sspon.07G0011220-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:38559879:38564832:-1 gene:Sspon.07G0011220-1A transcript:Sspon.07G0011220-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALGGLRRHVGQFLTSSNALRLTGLTLCVRAELMAASLSAVSCADEVQEEAEGAACRDDGAALRLKEVAMAAILVAGVLGVGLPLAGRKRRALRTDSAAFLAAKAFAAGVILATGFVHMLHDAQQALSSPCLPATPWRRFPVPGFVAMAAALATLVLDFLATRFYEAKHRDEAARVKAAAAAALVATSSASDEDITVVTVDAEDERKAPLLQTHCHGHSHGHGHSHTHGHELVQAEGSEGEVSAHVRSIVVSQILEMGIVSHSVIIGLSLGVSRSPCTIRPLVAALAFHQFFEGFALGGCIAQAQFKNLSAILMASFFAITTPAGIAAGAGLTTFYNPNSPRALVVEGILDSVSAGILIYMSLVDLIAADFLGGKMTGSLRQQVMAYIALFLVHRAPAESAEEPSCSDGEECQPQEVVVAPISESQNFDEMEIEHGDSISFAQDEHITLLETATVPAVLNE >Sspon.01G0013620-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:37560981:37563557:1 gene:Sspon.01G0013620-1A transcript:Sspon.01G0013620-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to Rhodanese-like protein [Source: Projected from Oryza sativa (Os10g0545700)] MARSVSYVSAAKLVSMARGNPRLAIIDVRDEERSYQAHIAGSLHFASGSFEARMPELARAASGKDTLVFHCALSQVRGPTCARMFSDYLSETKKDSGIKNIMVLELGFNGWEGSGQPVCRCTDAPCKGTCC >Sspon.06G0001130-3D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6D:5791418:5793978:-1 gene:Sspon.06G0001130-3D transcript:Sspon.06G0001130-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAERAPVLVRHAGGGLEELRQLPPGFRFRPTDEELVVQYLRRKAFGVPLPAAVIPVVRDLYNLDPWDVVVPAPAPDASSEGEKYFFAVRPAGAGKSGGARATASGRWKPSGKEKPVVLPRPCGGGRLLVGVKRAMTFVPRRKKKASPSASAALAIGWVMHEYRLAAPLHKNGCSLAQGEWVVCRVFQKGSSRPTRRRRAVPAAHPAAAASPSPSPSSASSCVTDGSNSDLDEVSG >Sspon.08G0014320-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:58803839:58804012:-1 gene:Sspon.08G0014320-1A transcript:Sspon.08G0014320-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFAGRSVLNLRAELARLLGDGNPSDITLYAWTAGPATASRGSLWSLICLQTWTPWL >Sspon.03G0004340-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:12663761:12667928:-1 gene:Sspon.03G0004340-1P transcript:Sspon.03G0004340-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLADSDADDEEEEEGDREGGGGGGGSGSGSGGDDDCDSQSSQSDGVMDEFTLVKLVDVRKEVQCPICLGIIRKTRTVMECLHRFCRDCIDKSMRLGNNECPACRTHCASRRSLRDDPNYDALILALYPDIDKYEEEELAFSEEERTRNKRIQESIAETFRRQTEALVKKRSTVKATDATSTRKTRRNMRPRRRGRISSPDIALTDFDDEDREENDDAGSKESSSVDDHSPDVRPKRARRWPMPRRSPAKSIGNTDNSIEDNGDSGGARDFVTASPLRGEMLAWGKNGTRSQTRHGNTSGSSGRMGKGGRVAKLVDQLRSADDFDSKLNLYLVLLPLDGQSMPKLEKPYLSCLPTLSVQHLCQFVALQLSRQPKEVEIYIRKNMDACLSANGTSKHETKPDQSNGLERLWEEKSLLDLYPSLATRQGDLVFSISK >Sspon.08G0003660-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:10238349:10241676:1 gene:Sspon.08G0003660-1A transcript:Sspon.08G0003660-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRMEAPSSPAPRILVVLLASLLLLARADDPYRFYTWNVTFGDIYPLGVKQEGILINGQFPGPQIDAVTNDNIIVNVFNNLPVPFLLSWQGIQQRRSSWQDGVYGTNCPIPPGGNFTYNMQFKDQIGTYYYFPSLLFHKAAGGYGGIRVLSRPRIPVPFDPPAGDFTILAGDWFKLNHTDLKGILDSGNDLPFPDGLLINGQGWNGNRFTVDQGKTYRFRVSNVGIATSVNIRIQGHSMLLVEVEGSHTMQSTYTSIDVHLGQSYSFLVTADQPPADYSIIVSTRFTTPVLTTTAILHYSNANGAATVPPPAVPTVEIDFSLNQARSIRWNLTASGPRPNPQGSYHYGLVNTTRTIRLANSRATINGKLRYAVNSISFIPADTPLKVADFYKIPGVFTLGSMPDNPTGGGAYLQTSVMAANMRDYVEVVFENTENFVQSWHIDGYAFWVVGMDGGQWTPASRQGYNLRDAIARYTLQVYPQSWTAIYMPLDNVGMWNVRSESWARQYLGQQFYLRVYSPANSWRDENPIPKNALLCGRASGRRTRPL >Sspon.03G0003370-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:18055544:18058073:1 gene:Sspon.03G0003370-2B transcript:Sspon.03G0003370-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Farnesol kinase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G58560) UniProtKB/Swiss-Prot;Acc:Q67ZM7] MLSLGPLGAHISPLSCSTYRAPLLQSRRLSPSPTAPASAAAASCAPRSLCFLRRRSSRFAAERTRRPTMAAAISLEAGGGLAHDLGSAAVTAGVALALLKFFEELAKRGVFQQKLSRKLVHISVGLVFLLFWPIFSSGWYAPFLAALAPGVNIIRMLLLGLGLMKNEAMVMALWLLNFLEHRELLKGPLYYATTVTFATSVLWRTSPVAIALICNLCAGDGIADVVGRRLGKEKLPYNPNKSYAGSIAMAVAGFLASVGYMHYFHTFGFIEETWYMALGFLMVSVAATLVESHPISTELDDNLTVPLTSFLVGSLIF >Sspon.07G0027840-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:54653035:54653247:-1 gene:Sspon.07G0027840-2P transcript:Sspon.07G0027840-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DAGLGTGVHLVCALHPALPRAALPDACQVAPHRLRQLPHQRRLHRRPHHPLLRPRRHPPRRHRRPDRARQL >Sspon.03G0014420-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:51202576:51208669:1 gene:Sspon.03G0014420-1P transcript:Sspon.03G0014420-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVGAAEPKEQAATAAGAPAEEDKAAAVSCSICLDAVLAATGERSTARLHCGHEFHLDCIGSAFNAKGVMQCPNCRKIEKGNWLYANGSRSAHDVSMDEWAHDEDLYDVSYSEMPLRFHWCPFGRLAQLPSLFEEGESSPPITFHDFMGQHVFTEQVAVSAAPGTAHPCPYVAYLHPLPPLTSSSSSHVPERTMDRSAYHDHWNPMAGPSDVRPMQTMQPADFHHSHWAHVPHSYAPPNSNNEQPGIPFGTRAARVDGDSQRRASVVSPSYFSNGSGSRSRPPSVPPLMPPFMRAHGSISEQYQQNSSSSLFAGAHRSGGMRPAPTPLPENPTFSLFPPGSSGHSSMETDDVGGSRFYAWERDRFAPYPLMPVDCETSWWTSQQQSHSTSEPASAPRRLFGQWLGVGRSSPENRSPEGSSYRQMHSPRM >Sspon.03G0024260-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:73918458:73920647:-1 gene:Sspon.03G0024260-1A transcript:Sspon.03G0024260-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSGKKVSEFSGEEEVIADFERLTRNAATVQRETLRRILDENAAVEYLQRHGLAGRTDPDTFRACVPLATHDDLEPYIARVADGDTSPVLTAKPITSISLSSGTTQGKRKYLPFNDELFKLTMHVYRTSLAFRNRAFPVEGGGKALQFVYGSRQFTTKGGLTAATATTHLYRNEEYKAAVRDIQLPCCSPDEVVFAAADFAQSLYCHLLCGLLFASEVRTVFAMFGHNLVLAFQTLELAWEELCHDIRHGALSPGRVTEPALRRAVSTLLAPPNPALADEVARRCAEARLGDWRGVVPALWPNARYVHTIVTGSMEHYVRKIRHYAGGLPLVAMDYGASEGMVGANVEPEVPPDSATFAVVPDIAYFEFIPLKTNDGSGACGNTGTSNAEADPVGLTEVTVGDHYEVVMTTFAGTFMNVRYIPCNASYTGTLKWHAGLYRYRLGDVVKVAGFYNSTPKLKFVSRGAIGPTLSINVDKNTEKDVQLAVDGAAEILAARNTSLEVADYTSHADVSSDPGHYVVFWELSGEADDDVLQRCCDELDRRFVDAGYVSSRKTRAVGPLELRVLRRGTFQKVLHHCLSLGAPANQFKLPRCVARSNSGVLQVLSDNAIKLFFSTAYD >Sspon.04G0010620-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:28241477:28242181:1 gene:Sspon.04G0010620-2B transcript:Sspon.04G0010620-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ARRVRVLAEGSAAGSAAQLRGECGGEPGGGRAAGSPGERRCQERRWEQQHQQQLRRERGHDPGRAPVRAHLRAGAQLHRAVDVARRGAAAACRGGGGAGAVVGGAARAGRGAAEGAARDADAGVLDGAAAAAGSWRRRARVRHLPGRAGARGARAGAAQVQPWLPRPLRRPLAAGAVHVPDVPAAAVRRAAQGLRLRRRCRHRRRAAGAGVPRAAPAGRFHHAVRFLD >Sspon.08G0019510-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:15769963:15773661:1 gene:Sspon.08G0019510-2C transcript:Sspon.08G0019510-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heptahelical transmembrane protein 1 [Source:Projected from Arabidopsis thaliana (AT5G20270) UniProtKB/Swiss-Prot;Acc:Q93ZH9] MESEEGATVCAHAHQEEAAAAAMKEAGGGGKRRRKGGDGGGGRKKYALVSYHELPEYMKENEFILNYYRSEWPILNAVLSLFSWHNETINIWTHLLGFMLFFGLTLVHLGQYFPQVADLIGHLSWPISKVAENVSSNIGDVLSGAAMFIQTNPTLVSYGVAVTSQTTRWPFFVFLAGAMFCLLSSSACHLLSCHSHGLNLFLIRLDYTGIAVMIVVSFFPPIYYIFQCEPHWQVVYLSAITAAGVGTVYALMSPRLSAARYRAHRALLFVGMGLSGVVPAVHAVAVNWHEPARNVTLAYEGAMAASYLVGTAFYLTRVPERWRPGAFDLAGHSHQIFHALVIAGALAHYGAAIVFLKARDEMGCPAS >Sspon.02G0000210-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:1033870:1034409:1 gene:Sspon.02G0000210-1A transcript:Sspon.02G0000210-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding TMRRLRRELEISRNRSKAPLAPTGGVVAKKPSPPPPSKAKPSSPAKSKCIGKGAQVRVRTRVGTVCTGQHLVLWLRAVVECAADEDADGCLCVAYDYTNGKFPRVARVSPNDVKLHVVAPADASATAASTGSSTATSDHSTRTSASSQSQQDKAGPPPRPTVAGKKLPLLKKLEKVRRRC >Sspon.01G0008690-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:24265814:24267805:1 gene:Sspon.01G0008690-1P transcript:Sspon.01G0008690-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASCRSPLAWLFALAAALFFFSWYLLLDSAAGPAAARRPNQWLRPGPGTKCDPAEALLRVFMYDLPPEFHFGLLDWKPPGFGGGVWPDVRDGVPDYPGGLNLQHSIEYWLTLDLLASEQGAPTPCAAARVRHAADADVVFVPFFASLSFNRHSRVVPPARDSEDRALQRRLLEFLAARPEWQRTGGRDHVVLAHHPNGMLDARYRFWPCVFVLCDFGRYPPSVANLDKDVIAPYRHLVANFANDTAGYDDRPTLLYFQGAIYRKDGGSIRQELYYLLKDEKDVHFSFGSVAGNGIEQSTQGMRSSKFCLNIAGDTPSSNHLFDSIVSHCVPVIISDEIELPFEDVLDYSKFSVIVRGTDAVKKGFLMSLITRISREEWTRMWNRLKEVEKHFEYQYPSQTDDAVQMIWKAIARKVPSIRLKINRLRRFSRFDTNKTDETLPQSSWLQNETP >Sspon.06G0007950-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:41013731:41015614:-1 gene:Sspon.06G0007950-1A transcript:Sspon.06G0007950-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSRLLQQPLPPPAPPPPPLLAKSQHRRHALLAATIASAAAAAALLLLLVVVLLLRRRRLRHPTLPFSPPPDPARPLRRYSRRTLRRATGGFHPSRLLGRGAASPVYLATFPDASLAAVKTCASLHELHLLASLPESPRLVSLHGYSPGSGSGSGSASGGGAAERPLLLVFEYMAQGSLQSALFGGGDAAARDGQFLDWPKRLAIIRDVARALAFLHVECQPPVVHGDLKPSNVLLDANFRAKLADFGLARFKTPDAVAASGAAGDDFMSQELGEAGDHLSTTASAAGGAKTDTKDESGPAGAWGKEWWWKQDGSGELDSRDYVAEWIGSQICPERNPDWADENEDDANEHKNSPSGTDENALSASPEDKKNTDCNGNGNVDGAKKEVTKMREWWKEEFFEEMSKKQGASFDKRRGGGGKPWLRSISMNTGHGNTNGESNNVEPSAVDLSFRRSRKRSRRRGRSVGSDVHSGCGGDYLSRELSSTTSMRGTVCYVAPECGGGPCEHGSDLLEKADVYSFGVLVLVILSGRRPLHILSSPMKLEKANLVSWCRQLARAGNVLELMDERLDGGYDRDQATKCVQLALLCLQRQPELRPDSTDIVKILDGEMELPPAPVEFSPSPRVRPFP >Sspon.01G0051810-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:470771:472939:1 gene:Sspon.01G0051810-2D transcript:Sspon.01G0051810-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFEDRCHKVQEPKFDCLLFDLDDTLYPLSSGIAGHVKTNIEDYMVEKLGIDESKIENLGNLLYKNYGTTMAGLRAIGYSFDYDEYHAFVHGRLPYDNIKPDPVLKHILKNLRVRKLIFTNGDMVHAVRALERLGLEDCFEGIICFETLNPPCPPQGDQEPEIFDIAGHFARSGTADELPKTPGPPNVDAMEEALRIANVNPHKAIFFDDSVRNIQAGKQIGLHTVLVGKSQRVKGADHALESIHNIREALPELWEEAEKAKEDVLYAERVAMETSVTA >Sspon.07G0028260-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:84609317:84619667:1 gene:Sspon.07G0028260-2C transcript:Sspon.07G0028260-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVLGALPSLIPKLGELLADEYKLQKEVKGGIMFLQEELETMKADLQHISKISADQLPDQDKIWARNVRELSYDIEDNIDTFMVQVKGRELVKKHGFKKFIDKALGSLLQPKIHRKIAIDIREIKRRVIEVHDWRRRYEVNHSFDKPVKLDPRALVRYEKVTKLVGIEEPRDEIIKILIEGNELSKQQEKIVSIVGFGGLGKTTLANVVYEKLRPQFDCSAFVSVSQTPDMDRLLRDMFYQLAKDSTASINVVHELREFLRKKSMFVNIKEKEKKREEWKSWTIGLLLAGTSSPLIALHCSQLEVSWTRTDTVFQAIVVLIAKPQELKCSPVDGTNKPDLALHSVPEGIGSLIALGELSRLCISRNGKMLEELAHLTELKVLDLQIITSISNDIGFHLSHLESDGGVDKSMVECLNKLHKIQSLVITITNWECNLDGWVVTAPQNLRRLQVWGPCWFATLPAWLKVNPSLLLSLSFLQIRVKRLQQEDLEILGRLPALHYLNLVVDHDFIKSSIPIIPDFAYYIM >Sspon.02G0043250-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2B:90453419:90453778:1 gene:Sspon.02G0043250-1B transcript:Sspon.02G0043250-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQDIECHRAGAEVFTGDATCRTKSVELLEEFGIPKGLLPVEDIQEFGYNHDSGFIWLVQRKKVEHTFKKVKKTVSYAAEVTAFIEKGKLRKITGVKVKELMLWISIIEMYVPEASPDK >Sspon.04G0000400-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4C:1726587:1727346:1 gene:Sspon.04G0000400-2C transcript:Sspon.04G0000400-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAAAAAANGGAAAAAATGAGSPCGACKFLRRRCVPECVFAPYFSSDQGAARFAAIHKVFGASNASKLLSHLPVADRCEAVVTITYEAQARLRDPVYGCVAQIFALQQQVAILQAQLMQAKAQLACGVQGAAHSPASHHHQWPDSASISALLRQDTAYSARRPGGPLDDCFMPELMAGFRDDVAAAAQHCAGKVDAGELQYLAQAMMRSPNYSL >Sspon.07G0004470-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:9833045:9834362:-1 gene:Sspon.07G0004470-4D transcript:Sspon.07G0004470-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSGGGGGGASGPELSTDNMKGIVLALLSSGFIGASFIIKKKGLRRAAVASGVRAGVGGYSYLMEPLWWVGMIISKVFPLSVIVGEIANFVAYAFAPAVLVTPLGALSIIVSAVLAHFILNERLHALGVLGCVMCIAGSVVIVIHAPQEQEITSVREIWNMATQPAFLLYVASVIVIVFVLVFYFSPLYGQSNVLIYTAICSLMGSLSVMSVKALGTSLKLTFEGTNQLIYPETWFFMLVVATCVLTQMNYLNKVGSTCYTLLSF >Sspon.04G0016130-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:68479917:68481971:-1 gene:Sspon.04G0016130-3D transcript:Sspon.04G0016130-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein LYK5 [Source:Projected from Arabidopsis thaliana (AT2G33580) UniProtKB/Swiss-Prot;Acc:O22808] MAPPPQPELPAAALTLLVLLLAAAMPARAQQEYEANKQNACYATNASSVLGYTCNATTASTPACDSYLIFRSSPAYYDTPVSISYLLNSSVSATAAANAVPTVSPLAASSLVLVPVPCACTPGGYYQHNSSYTIQFLGETYFIIANITYQGLTTCQALIDQNPLHDSRGLVAGNNLTVPLRCACPSPTQAARGFRYLLSYLVMWGDDVPSIAARFRADQQDVLDANSLAADDSIFPFTTLLIPLKAAPTPDMLASPAPPPAPTPPQPVPAPSGGSGRGKWVGVGVGLGCGALALAAILGLLLFLRARRRRRQRLADGESVRQRSKVVIDVSSSAEYGALASGKQTTNTTTSSTSSWTRSLVPSDVRGAVESLTVYKYSELEKATAGFAEERQVPGTSVYRAVINGDAAAVKRVAGDVSGEVGILMRVNHSCLVRLSGLCVHRGDTYLVFEFAENGALSDWIHGGGGGSTLRWRQRVQVAFDVADGLNYLHHYTNPPCVHKNLKSSNVLLDADLRAKVSSFGLARAVTATDGGAGAQLTRHVVGTQGYLAPEYLEHGLITPKLDVFAFGVVLLELLSGKEAAFADAGTGEETLLWESAEEALVKDGGEDVDRAKVRAFMDPRLHGDFPMDLALAMAALALRCVATEPRARPAMDEVFVSLSAVYNSTLDWDPSDYGTSGSSMVGR >Sspon.07G0035080-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7C:85487312:85489390:1 gene:Sspon.07G0035080-1C transcript:Sspon.07G0035080-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLPCFVQFLHLILVLLLLSVSAEAGDATAVDHGEFIYDGFSDSNLTFDGEVLILDGLLSLTNFLQVKGHGFYPYPLNFTDVPNGSSLASFSTTFIFSIVGPYTDLSAHGLAFVLCSNKKFSAALPGQFLGLLNIKNNGNTTNHLLAIEIDTILNKEFNDIDDNHIGIDVNSLISVASRTAGYYTSDGEFHPLSLISRKPMQVWVDYDSKQTMLNVTIAPCCPSSKPSMPLLSIVYDLSPVLPTTPMFVGFSSATGALDSQHYILGWSFKLHGQAAPLNYSALSLSTLQKFVRRAPDEPFVGIHSRRKSNKTVLLATILPALGITILVSATLVKVHMKRRIQARKTELEWEEYGTPSFTYKDLFAATTGFKDHMLLGKGGFGSVFKGLLPHSKQTVAIKRVSPESKQGMKEFMAEIIILGRLRHRNLVQLHGYCHHKQQLLLVYEYMPNGSLDCYLHTPNHQNTTGLCWAQRFCIIKGIASGLLYLHEECEQVVIHRDIKTSNVLLDSEMNARLGDFGLARSHDHGADAHTTHVAGTWGYIAPELARLGKATKATDVFAFGVLMMEIACGRRPILVNTDNGEPLALADWVLAAWRGGSITGATDPRLDSYIEEEMELVLKLGLLCSHSLPNARPRMRLVMQFLERDASLPADLQPGTLLSSDLGQQDCQDEKHYQVAMSCPVTAITDLSKGR >Sspon.08G0013730-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:55371394:55375964:1 gene:Sspon.08G0013730-3D transcript:Sspon.08G0013730-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MYVVKRDGRQETVHFDKITARLKKLSYGLSQDHCDPVLVAQKVCAGVYKGVTTSQLDELAAETAAAMTASHPDYASLAARIAVSNLHKNTKKSFSETIKDMYVHFNERSGLMAPLVAEDVYEIIMKNAARLDSEIIYDRDFDYDYFGFKTLERSYLLKLGGKVVERPQHMLMRVSVGIHKEDIESAIRTYHLMSQRWFTHASPTLFNAGTPRPQLSSCFLICMKDDSIEGIYETLKECAVISKSAGGIGVSVHNIRATGSYIRGTNGTSNGIVPMLRVFNDTARYVDQGGGKRKGAFAVYLEPWHADIFEFLDLRKNHGKEENRARDLFYALWVPDLFMQRVQNNGEWSLFCPNEAPGLADCWGEEFDNLYKKYESQGKAKKIVPAQTLWFDILKAQIETGTPYMLYKDTCNRKSNQQNLGTIKSSNLCTEIIEFTSPTETAVCNLASIALPRFVREKGVPLESHPSKLVGSSDSKNRYFDFEKLAEVTSTVTYNLNKIIDINYYPVETAKRSNMRHRPIGIGVQGLADTFILLGMPFDSPEAQQLNKDIFETIYYHSLKASAELAAKEGPYETYEGSPVSKGILQPDMWNVVPSNRWNWPSLRETISKVGIRNSLLVAPMPTASTSQILGNNECFEPYTSNIYSRRVLSGEFVVVNKHLLHDLTEMGIWTPTLKNQIIYDDGSVQKIAEIPDDLKAIYKTVWEIKQKTLVDMAVDRGCYIDQSQSLNVHMEQANFGKLTSLHFHAWSKGLKTGMYYLRTRAAADAIKFTVDTTLLKENGNVVSNGKPTEEDVEAKMAQMVCSLNNREECMACGS >Sspon.08G0024070-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8B:61602656:61602818:1 gene:Sspon.08G0024070-1B transcript:Sspon.08G0024070-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GRAARIRGGSGGKARARGVPLTPQHGSQPFESYYGQVGASTASAQTRGRPRWS >Sspon.04G0028010-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:68690580:68690810:1 gene:Sspon.04G0028010-2D transcript:Sspon.04G0028010-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding WAAASLLRGRRATTRPPATATRGGSGRCGPATTTAASGTSTTGPPCTSPSSTATSPASSAPTAPPISSSRHRLPPSD >Sspon.04G0017300-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:71554695:71560676:1 gene:Sspon.04G0017300-4D transcript:Sspon.04G0017300-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CYP97B3 [Source:Projected from Arabidopsis thaliana (AT4G15110) UniProtKB/TrEMBL;Acc:A0A178V2Z2] MAAATLLPGPATAASPRLGSAASAPSASHGRRRRLTFSFRCQSTSVDKQQQQPPPKPKQRNLLDNASNLLTNFLSGGNLGAMPVAEGAVTDLFGKPLFFSLYDWFLEHGSVYKLAFGPKSFVVVSDPIVARHILRENAFCYDKGVLAEILKPIMGKGLIPADLDTWKQRRKVITPGFHALFIEAMVRTFTKCSERTISKLEELTESEGREQKSTIVDLEAEFSNLALDIIGLGVFNFDFDSVTKESPVIKAVYGTLFEAEHRSTFYIPYWNLPLTKWIVPRQRKFHSDLKVINNCLDNLIKNAKETRQEADVEKLQQRDYSSLKDASLLRFLVDMRGADVDDRQLRDDLMTMLIAGHETTAAVLTWSVFLLAQSPTKMRKAQAEVDSVLSNGAITVESLKKLEYIKLIILEALRLYPQPPLLIRRSLRPDKLPGGYNGAKEGYEVPAGTDIFVSIYNLHRSPYFWDRPNEFEPERFSVPKKDESIEGWSGFDPDRSPGAMYPNEIIADFAFLPFGGGPRKCVGDQFALLESTVALALLLRNFDVELRGLPDEVEMVTGATIHTKNGLWCRLRKRT >Sspon.04G0015280-3P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4D:65919888:65920222:1 gene:Sspon.04G0015280-3P transcript:Sspon.04G0015280-3P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VRSAKFIARKQWVVAGADDMFIRVYNYNTMDKVKVFEAHTDYIRCVAVHPTQPFVLSSSDDMLIKLWDWDKGWVCTQIFEGHSHYVMQVTFNPKDTNTFASASFGSHNKG >Sspon.03G0002030-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:4911104:4913569:1 gene:Sspon.03G0002030-1P transcript:Sspon.03G0002030-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRSRRALLRRTAAAQEQSAVAEAAANGRKRRLYGFSASLVVASWVAVLLLHSLVGHGDGQRDGGGSAVDLTVVEPTMNVGSINPVVQEEHGENLAVPGDTCVNSVENAVLSEDTLVQADQLCSNDEVRSENTEALTKDSQVELSGDQGGYLPQSDVDSGVQPGEKVESEDLPRPPRLSRVAPPDLDEFKTRAIAERRPGVSNQPGHVIHRREPSGKLYNYAAASKGAKVLDFNKEAKGASNILEKDKDKYLRNPCSAEEKFVIIELSEETLVDTIAIANFEHYSSNPKEFELQSSLTYPTENWETLGKFTAANAKLAQNFTFLEPKWARYLKLNLVSHYGSEFYCTLSMLEVYGMDAVEKMLENLIPVENKKTEPDDKTKEPIEQIPLKEPEPLDEDEFELEDDKSNSDPSKNGANDPVSETRALQAGRIPGDTVLKVLMQKVQSLDVSFSVLEKYLVELNSRYGQIFKDFDADIDSKDVLLEKIKSELKNLESSKDSIMNEIEGILSWKLVASSQLNQLVLDNALLSFVFACLALAKLSIGIMSKFCRFYDFEKFHNVRSGWVVLLLSSCIVSTILIIQ >Sspon.06G0005130-4D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6D:15649117:15649472:1 gene:Sspon.06G0005130-4D transcript:Sspon.06G0005130-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LILLVCVCHRSL >Sspon.01G0044430-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:76627425:76636017:-1 gene:Sspon.01G0044430-1T transcript:Sspon.01G0044430-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding QLDEPAVSPKNPQLVPSADSSTSFFLFSPRVRRTRRRNPSLRRHRCPKCAELKLPRENAAFCPLRPYPISKMRVVPDEIEKPDWALDGIPKIEPDSDLQKRVEIKTPELIERMRETCRIAREVLDAAARVIKPGITTDEIDKVVHEETISRGGYPSPLNYHFFPKSCCT >Sspon.07G0032140-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:46333527:46334224:-1 gene:Sspon.07G0032140-1C transcript:Sspon.07G0032140-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEERFIQLKERRVGRRDDMPLSCGNDHGKRDITNQPEPESAGGSSYHSNQICKACMAER >Sspon.03G0001070-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:2840784:2843549:1 gene:Sspon.03G0001070-1A transcript:Sspon.03G0001070-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLPAASSRAVDGGGAPARAGDGGDAPACVGVGGDAPARVGDGGGAPARIGDGGGAACVDGGWKCSELTPVEVEVAEVTPVGSARPDGRKGSAEQVAARRQAQSRRRLLHCGGVRIEKEANYNEISSSSPLLRGLDRDRKLRIFSGNEHPFHDRPLEPFVMPPRQVREMRPRARRAMLRAQKKEQANRAKEEDAKKAAAEVTA >Sspon.01G0010920-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1B:37739061:37739381:-1 gene:Sspon.01G0010920-2B transcript:Sspon.01G0010920-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPPTIASVVFPLQQPLAPAPSAMTEPPYAAPPLELKLFPEVASAEGREPCEDQEAPSHVARPQARYPTQSASWPVSLFSGLPRMEDEQCSSSTTGSSKEASTDRE >Sspon.06G0034920-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:59720476:59723421:-1 gene:Sspon.06G0034920-1D transcript:Sspon.06G0034920-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQFTAAGVLIIGLLALATFASCNTEGDILYKQRLAWKDPNNVLESWDPTLVNPCTWFHVTCNNDNSVVRVYGTVYFFPLRDLHKFRISETSSDRMQDLGNAGISSPLLPDLAEIQNLQYIELYGNSLNGSIPATLGNLTNLISLDLWDNLLTGLIPTTLGSVSTLRYLKLNENMLTGTVPSEVLSLVIVGNLTELNIARNNLDGTVRSSGEFTYLRSAAMAAQFAAAGVLTGLLALATFASCNTEEFRISETSSGRLQDLGNAGISGPLLPDLGELENLQYIELYGDRLNGSIPETLGNLTNLISLDLLVNLLTGPIPSTLGSISTLRYLRLYQNKLTGPIPSSFGNLTSLRELKLQENSLSGSIPASLGNVKTLQFLELNGNMLTGTVPLEVLSFVIIGNLTELNIARNNLDGTVTSSGLRGTDVI >Sspon.04G0009150-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:27273374:27275305:-1 gene:Sspon.04G0009150-3D transcript:Sspon.04G0009150-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVPLPLLLGSLAVSVVVWCLLLRRGGDGKKGKRPLPPGPRGWPVLGNLPQVGSHPHHTMCALAKEYGPLFRLRFGSAEVVVAASARVAAQFLRAHDANFSNRPPNSGAEHVAYNYQDLVFAPYGSRWRALRKLCALHLFSAKALDDLRGVREGEVALMVKELARHQHAPVVLGQVANVCATNTLARATVGRRVFAVDGGEEAREFKDMVVELMQLAGVFNVGDFVPALAWLDPQGVVGKMKRLHRRYDDMMNGIIRERKAAGEGKDLLSVMLARMRERQPLADAEDSRINETDIKALLLNLFTAGTDTTSSTVEWALAELIRHPDVLKKAQQELDAVAGRDRLVSESDLPRLTYLTAVIKETFRLHPSTPLSLPRVAAEECEVDGFRIPAGTTLLVNVWAIARDPEAWPEPLQFRPDRFLPGGSHAGVDVKGSDFELIPFGAGRRICAGLSWGLRMVTLMTATLVHALDWDLADGMTADKLDMEEAYGLTLQRAVPLMVRPAPRLLPSAYAAE >Sspon.06G0018510-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:67657883:67659063:-1 gene:Sspon.06G0018510-3C transcript:Sspon.06G0018510-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGGEGLTLLGLWPSPFVIRARIALNLKGLTYVYTEESLYDKSELLLKSNPVRKKVPVLIHDGKPVCESQIIVQYIDEAFPAAAGAAPILPSDPYDRAVARFWASYVEDKLFRTWVPVFRGRTAEERVEAATQVFAVLETLEQAFKECSKGKVFFGGDSVGLVDVVLGGHLGWLYATEAICGVKVVDATKTPLLVAWAERFCALDAVKGADSGR >Sspon.04G0011960-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:40038940:40041889:-1 gene:Sspon.04G0011960-4D transcript:Sspon.04G0011960-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKLSGSARLMIVSDLDHTMVDHHDEENLSLLRFGALWESVYCEDSLLVFSTGRSPTLYKELRKEKPMLTPDITIMSVGTEITYGEAMVPDDGWEQYLNNKWDRNIVVEETASFSELKLQPETEQRPHKVSFLVDKKSAQEVIKSVAERLDKRGLDAKIIYSGGQDLDILPQGAGKGQALAYLLKKLSSCGKPPNNTLVCGDSGNDAELFSIPGVHGVMVSNAQEELLQWYAENAKDNPKIIHANERCAAGIIQAIGHFKLGPNISPRDVDFPYAKEASFKPSDAVVKFYVLYEKWRRAEVPKSDSVIKYFKNITHANGVIIHPAGLELSLHASIDALGSCYGDKQGKKYRAWVDRLFITQTGSDSWVVRFDLWESEDIDYAICCRLQLVEYHHDHFTRETLNM >Sspon.08G0006150-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:19400633:19403018:1 gene:Sspon.08G0006150-1T transcript:Sspon.08G0006150-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTVERQQLPPSWQGNGDADAEVEVEEDHLWPTNDGPLPIFLKFENVEYRVKMTLKNPLRAAKVAFASQMRVDQGSSCKHILKGIAGSVDPGEILALMGPSGSGKTTLLKILGGRLGGGIKGQISYNDTSYSPCLKRRIGFVPQDDVLFPQLTVEETLEFAAFLRLPACMSKQQKRDRVHAIITELNLERCRHTKIGGAFVRGVSGGERKRTSIGNEILVDPSLLLLDEPTSGLDSTSASKLILILQRLAKKRRTIMTTIHQPSSRMFHMFDKLLLISDGHGIYHGKARDCMHHFSSLGFVPEIPMNPAEFLLDLAAGNLDDISVPEALRGSPDPQEFRSQVIRHLQLKYRAGGEPPAGRRAPTEQLRLAVRARKDHRRSIGWLQQFAVLSRRTFRERASDYLDRMRLAQAVGVALLLGLLWWKSQTGTEAQLRDQVGLIFYICTFWTSSSLFGSVYVFPSEKLYLVKERKADMYGLSAYYASSTLCDAVPHVVYPALFMAILYFMAGLRRTVPCFFLTLLATLLVVFTSQGTGELLGAATLSVKRAGFIASLVFMLFLLTGGYYVHHIPKFIRWLKYVSFMHYGFNLLLKAQYHGHLTYNCASRGGCQRLQSSPSFGNVELDGGMREVWILLAMALAYRLLAYFCLHKRISLTPL >Sspon.08G0014820-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:60066195:60068970:-1 gene:Sspon.08G0014820-1A transcript:Sspon.08G0014820-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAEAGSRRGAAARRKAKEAAVGAAARVLFYPTLLYNVVRSKVQAEFRWWDEVDQFVLLGAVPFRRDVTRLQKLGVHGVITLNEPFETLSRGIDHLVIPTRDYMFAPSLVDINQAIDFIHRNASCGKITYIHCKAGRGRSTTIVLCYLVKYKNMTPAAAFEHVRSKRARVLLTHSQWKVVQEFSKKNAELPALTSDSATASPARDVVRVTVADLNGNDAPEFLTEDASLSCHKTTPSRPMIKMLSCLFPSRI >Sspon.04G0005490-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:11800355:11807421:-1 gene:Sspon.04G0005490-2B transcript:Sspon.04G0005490-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMKTLACCRRRPHDFSIDMDQEPDRVMTYNGLETCIINSSAYDDDSAISATTGGDGCVTTDSLDDEVSSCSSKDASGSSFSSHCLSKQEEHSLDELGTPIAIHLLPFKGKKPITYTLSASDIENMKEKFAKLLLGDDTSGGARGVCAALALSNGIINLSATVFGELWKLEPLCEDKKIRWRKEMDWLLSPTTYMVELVPTKQSGADGCTFEIMTPKARSDVHVNLPALQKLDTMLIEVMDSMIDTEFWYEESGNRADGRGKITGPRKSKKWWLPSPRVPEEGLSQFQRKRLVFQAKLVHQILKAAKSINEQVLFHMPIPAAVMDALPKSGRASLGEDLYQAITTEYIPIEEIFVSLSLKTEHSVLETINRLEGAVFAWSQRILEERSKRSPGRHSWSFMKDSSSELDKMSACIKRVDTLVQLLKSRYPNLPPTFIDVLKVQYNVDVGHAIVEAYSRVLVGVAFSILSRVAEILLEDDLIKKPNTPMATLKFDLSSDVYLAGITETPPGHIRRSLMDQISMVDGRFDAVKKKGVKQLRW >Sspon.01G0019990-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:75921596:75928164:1 gene:Sspon.01G0019990-3C transcript:Sspon.01G0019990-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein arginine methyltransferase 6 [Source:Projected from Arabidopsis thaliana (AT3G20020) UniProtKB/TrEMBL;Acc:A0A178VA69] MFTGGADGNGHLPRPRRPRRGGVGHAGVMVSPQVQVAPGAHPHPAAPPCTDYDVAYFKAYSHIGVHEEMLKDHVRTSTYRNAIMHHKDLISGKVVLDVGCGTGVLSIFCAFAGATCAMEIVRENELSDKVVVLHGRIEDVNIEEKVDVIISEWMGYMLLYESMLGSIIFARDKWLKPGGLILPSHASLYMAPVTNCQRYHDSIYFWRDVYGIKMSSMMPLAKQCAFMEPSVETISGENVLTWPTVVAQVDCYTIQAQELETITAAFKFTSMLQAPLHGFAFWFDVEFNGPVRQKSKKQPSQSSDGNAQNASPSNLLYLFEPIELNKDQIIEGSVTISQSQQHARFLNICLKYFTGDQWYVKESVMR >Sspon.08G0000330-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:1509374:1511675:-1 gene:Sspon.08G0000330-1P transcript:Sspon.08G0000330-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFSGHLHRPLSTMAFAAFATVSSHELPDKLSHHRLSDASTSADALGLLPSTRADGLAAAAPSASALSGTQLLPRDLHSLRLLKAPFVSLPVMQTVYQYASFPKTSGQADAMPAIPSSPSDVLYRWHLPDPRVYADFPDKSQTVVVLLGWLGSRQKHLKRYADWYTSRGFHVVTFTLPMSDIVSYNLGGKAEKNVEMLSEHLADWVREESGKKIIFHTFSNTGWLCYGVILENLQQQDPSAVDKIKACVVDSAPVAAPDPQVWALGFSAALMKKRSVTTKGLGSDDSRSDVLVVESNKEPKLGATEAVLLSALESFFDVVLNYPKINRRLSDVMELLSSKQPKCPQLYIYSSADRVIPAKSVEAFIEGQRKAGREVRACDFVSSPHVDHYRSNPGLYTSQLGNFLEECVLAKRCD >Sspon.01G0054500-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:56997794:56999170:-1 gene:Sspon.01G0054500-1C transcript:Sspon.01G0054500-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMSIIREVFSAVILSADILGKYDTNVVQRIKKALPHLPPMKVARDGTIMEWAQDFQDPEIHHRHLSHLFGLYPGHTMSLEETPDLCKAVANSLYKEGTGRSQQQQSLARITELAKSVAAVTELDKGKD >Sspon.04G0025030-1P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:5B:23162045:23162250:1 gene:Sspon.04G0025030-1P transcript:Sspon.04G0025030-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding CCVGGSLYMLLTAVTGLGACVYSCIYRSRLRSQYGLTEQPCADCCVHLCCEACALCQEYRELKARGFD >Sspon.03G0001230-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3A:3151555:3153255:-1 gene:Sspon.03G0001230-1A transcript:Sspon.03G0001230-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTHQPIPNLRINNVVAKAEHTPSAYLNAPLSRHSRHSASIQTLAVHGTTYGAHSFRPYRSVGLAVLRACTMARTMRAPKHLVQQCTPVPLFSVFLIALCFAASNLPPASSQSSADSCSNGLSLGSLLPFNTTGLTCFQAWPSQDFVLRFGKAAPGSNAWSFVLSAPDNGGYISIGFSPTGRMVGSSAVAGWVTAAGAGSVRQYYLGGTTSRSCPPDQGKLALASGAAAPTIVSKGSRLYLAFQLAGQPLTNVVYAVGPSGTLPGSNGLLPQHQDMAAGTISLSGGTSGGGSPATGGGDDDDDGGEGGEGKGKGNGDGGDEDGDEGKGEKRTSPASASSSSGVSGGGAFLSAARRHGVLALVSWGVMVPAGVALARFFKRFDPFWFYAHVVAQGLGFLLGVLAVVAGFRLDDDEGPVATHKAIGVAVLVCACLQVMALLARPAKETKARRYWNWYHHNVGRVAVVLGVANIFYGLSLANERQEWSYVYGIFIGVFAVVYLVLEEWR >Sspon.01G0038430-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:29829652:29845462:1 gene:Sspon.01G0038430-1B transcript:Sspon.01G0038430-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPERPLPPLSTPPLPPSQQTEEAAEAPGMDVPTRLPDPVPSPILRHSSANSMRRSRSLRSLMADSPSVTFATNNLRSGSKAESTASSLESFRFHREGSASGTPAGLGRVSTRRSASERAGSQRDLRDEDARFVYINDAERTNAPPAGLPDNSIHTTKYTVLTFLPRNLYEQFHRVAYLYFLVLVALNMVPQLDVLTPAASVLPLAFVLGVTAVKDAYEDWRRHRSDKNENNRTASVLVGGVFVPKRWKEVQVGEVLRVVANETLPCDMVLLSTSDPTGVAYVQTINLDGESNLKTRYAKQETMPTPAEALAGVIKCERPNRNIYGFLATVDLDGRRAVSLGPSNIVLRGCELKNTAWAIGVAVYTGRDTKVMLNSSGAPSKRSRLETHMNRETIMLAVVLFLLCAIVSVLAGIWLGDHSDELGVIPFFRKRDFSVKDDPDATYNWYGTGAEVAFTFMKSVIQFQVMIPIALYISMEIVRVGQAFFMVQDKHMFDDKRQAKFQCRALNINEDLGQIKYVFSDKTGTLTENRMEFRCASVHGADFSDTAGGDADDGHSVIGEDSVVLRPKTAVKTDPKLVALLKDGAGAHADRARDFFLTLATCNTIVPIAVDAAAAGARLLEYQGESPDEQALVYAAAAYGYMLVERTSGHITVDVFGSRQRYMPFFALATYPGFTGESLQGQAVDRVARPRRSVTLQQSSGVLLQDHGSSRHMSASQKELGDEDARVVRVGDAARTNERLDLAGNAVRTAKYSPLTFLPRNLFEQFHRLAYVYFLVIAVLNQLPQLAVFGRGASVMPLAFVLLVTAVKDAYEDWRRHRSDRAENGRLAAVLSPGAGPGGAQFVPTEWKHVRVGDVVRVVSDESLPADMVLLATSEPTGVAYVQTLNLDGESNLKTRYAKQETLSTPPERLAGAVIRSERPNRNIYGFQANLELEGETRRIPLGPSNIVLRGCELKNTAWAVGVVVYAGRETKAMLNNAGTPKKRSRLETHMNRETLFLSAILVVLCSIVAALSGVWLHTHEEGLELAQFFHKRDYLRRDKDNDYRNYNYYGIAAQIVFIYLMAVIVFQIMIPISLYISMELVRLGQAYFMIRDTRLYDESSNSRFQCRALNINEDLGQIKCIFSDKTGTLTQNKMEFRCASVDAEGDRIWAPKISVNTDPELVKLIRDGADTEQGTQTREFFVALATCNTIVPMIADGPDPKKKVIDYQGESPDEQALVSAAAAYGFVLVERTSGHIVIDVLGEKQRYDVLGLHEFDSDRKRMSVIIGCPDKSVKLFVKGADSSMFGVIDKTVNADVVQSTEKHLHSYSSLGLRTLVIGMRELSQGEFQEWQMAYEKASTALLGRGNQLRNVAANIETNLRLLGATGIDDKLQDGVPEAIEKLREAGIKVWVLTGDKQETAISIGYSCKLLTRDMTQIVINSRSRDSCRKSLEDAIAMVNKYQSFSTDPQLRVPLALIIDGNSLVYIFDTDWEEKLFEIAIACDVVLCCRVAPLQKAGIVDLIKKRTSDMTLAIGDGANDVSMIQMADVGIGISGQEGRQAVMASDFAMGQFRFLVPLLLVHGHWNYQRMAYMILYNFYRNATFVFVLFWYVLYTGFTLTTAITEWSSVLYSVIYTAVPTIVVAILDKDLSRRTLLKYPQLYGPGQREENYNLRLFIFIMIDSIWQSLACFFIPYLAYRKSVIDGSSLGDLWTLSVVILVNIHLAMDVIRWNWITHVAIWGSIAATWICVMIIDSIPIMPGFWAIYKVMGTGLFWALLLAVTVVGMIPHFAAKAFSEYFIPSDIQIAREMEKSQDFHDVTHPEVQMSTVSRA >Sspon.01G0002840-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:6428424:6429226:1 gene:Sspon.01G0002840-2B transcript:Sspon.01G0002840-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ASYMMETRIC LEAVES2 (AS2)/LATERAL ORGAN BOUNDARIES (LOB) domain transcription factor, Crown root formatio [Source: Projected from Oryza sativa (Os03g0149100)] MTGFGSPCGACKFLRRKCVRGCVFAPYFCHEQGAAHFAAIHKVFGASNVSKLLAHLPLADRPEAAVTISYEAQARLRDPIYGCVAHIFALQQQVMTLQAQLASLKAQAAQGQQGVHEDAKGYVGSGAAEQLGYGYPWCNGNGGVSGGAVGAPAAQPGAYGNGGHESLTALLGSDYMQQSLYHAFEHAGADEGNASFEAAAESSSFGAEESGWRSSSGYQDCEDLQSVAYAYLNHR >Sspon.02G0019140-4D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2D:58128611:58130884:-1 gene:Sspon.02G0019140-4D transcript:Sspon.02G0019140-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRPNPIPNSHRRTPTAPDFRSSKANDAGGGADVGVGQQTGASAVAGGLPGGDAGILPRPRRRRAPPGLGRAARRLLRARPRHPLLGCRLRLPDPAGLLHHHSLTRRDQPVQHAPRWRGGGRGRGRWDGVRAGSRRGSGDVPRRA >Sspon.02G0019700-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:63742859:63745634:-1 gene:Sspon.02G0019700-1A transcript:Sspon.02G0019700-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRTPGIAMFGQLDSLRSVITQNRRYGVLPYWQFRIRRSLRIHRTPPLPRIRAGAAPSPTIARVVLPFRLPSSPAAMDPAPAPPPPLAVHLVTGGGSSPELALLLRSLAAARVVALDAEWKPHRRGSPAAAAAVPAALGDGTTPASEASPAPPKFPTVTLLQVACRFGDGGEGERSEVFVVDLLSVPLADLWAPLRELFERPEALKLGFRFKQDLVYLSSTFSAALGCDSGFDRVEPFLDITNIYYYLKGHDRQKKLPKETKSLATICEELLSVFLSKELQCSDWSCRPLSEGQIQYAASDAYYLLDIFDLFQEKITMEG >Sspon.03G0037650-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:100245358:100248224:-1 gene:Sspon.03G0037650-1B transcript:Sspon.03G0037650-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCRMPEGREEAVKKKFGGLMPKKPPLISKDHERAYFDSADWALGKQGVAKPKGPLEALRPKLQPTRQQQQQRARRSIYTSSENEDGDSAGAEDMSIN >Sspon.06G0025800-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6B:71927502:71927849:-1 gene:Sspon.06G0025800-1B transcript:Sspon.06G0025800-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADARTLTRSAAFGRRCLRPRRRRRQGRCHSHGATRVGANALLEAYRAGEQEVHEGEHMEGDKVGLSVVSSAPRLPVRSVPSASLDPSEEGRHARHGKVARVRMEFRHSRCRSAW >Sspon.01G0039310-3D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:30077451:30079980:1 gene:Sspon.01G0039310-3D transcript:Sspon.01G0039310-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEGEDDQRNQMLGAGRDHEPERAEAEEAKKPAPGEAEAGGDGAGTGTEAATCDYCGSAAAAVYCRADSARLCLPCDRLVHGANGVCSRHARAPLCADCRAAGAVFRRASSSAFLCSNCDFGRHRDGGDPPLHDRCAVQPYSGCPPAGDLAALLGVALFDKPAAEDGAWWNIWEEPQVLSLEDLIVPTTPCHGFEPLLTPSSPKNRSISPDGKLNEEILRQLGELAESDGGVQASAGREEAQQAGGDQFPSWASPQYATGHGNFGTENNHEVATMPTPVYENGRWNNCDLDALNDACKVEVAYDQVPVNSAEPCLSSFAPLSEICPSMSNGNSMEDNHQANPGIGMPMQGLPKRTGFDVVPCPDRDSVISRYKAKRKTRRFDRQVRYESRKVRADGRLRIKGRFAKANQT >Sspon.05G0020370-3C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5C:84169043:84170370:1 gene:Sspon.05G0020370-3C transcript:Sspon.05G0020370-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADTPPPPPPPPPPSPTTINALGDDLLLEIFLRLPDVTTLARAAFACRAFLRAVRSSPAFRRRFRELRAPPLLALFLTPDMRAIVPAAAGKGSDIAAAFADLLQDSDASEWRSDPGIRYSDGYVDFFNRATDQGACYSPHSQALNIYPKNIRYGEDNDACLEFHTLSPDGEEQRPSRVVCVHRDHAWAWASVAVFSSQTMEWQREGCIFALNTVTFQFSQMELPPLLKGPFSMFQRGHTEDGKFCVVSVLQCMLSVWLWAADDEGVGRFMLHKMFSLRENVSKITELSEEANVGMRPMAVINGFVYLSVYLRHPQLAYFGDLQSPEWFLSFCLETDEMNLLYKESQLLRCIADPYFMVSWPSSLINGKFASHESFGLSYWCGNLPSSFRFK >Sspon.04G0006810-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:19343647:19348298:-1 gene:Sspon.04G0006810-1A transcript:Sspon.04G0006810-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAALFGAQRNGAADLVGGEKTLFWQEGKAKALLEPRSVLDCTRSPSPNNSTSTLSSSLGGGAADSAGVAVVSDAAEATKWGAPAEHGGGGKEDWAGGCELPPIPTGLDMGVAGGGESWDAMLGNAAAPGQDQTFLNWIIGAAGDLDQPGPPLPVHQQPLLDNVGFGFPAADPLGFTLDPHLGGVASDMSSPGAVSHATNSGGGGNNKASSAFGLFSPDSASLQPLPPPPVLFHEGIDTKPPLLGAQPPGFLHQYQHQPTPATTFFMPIPSFPDHNQQSPLVQPPPKRHQSIDLARNRLLPPPAGQAPAFATTTPRGDEGDGCGGGAAAVVGRAGGGGKGRRSWQFHWRARDIGAAQSSASPLGKPFLRSASYLKEALLLALAEGHHGGCHLTSPLDVALKLAAYKTFSDHSPVLQFTNFTATQALLDEIVGSTSSCIHVVDFDLGVGGQWASFLQELAHRRGAGGAALPFVKLTAFVSAASHHPLELRLARDNIAQFAADLGIPFEFSAISADMINPAELISASGDEVVAVVLPAGCSARAPPLPAILRFVKQLAPKIVIAIDHGADRADLPFSQHFLNCFQSCMFLLDSLDAAGIDADSAGKIERFLIQPRIEDSVLGRGKVEKPIAWRSAFAAAGFVLVPPSNLAEAQADCLLKRVQVRGFHVEKCGVGLTLYWQRGELVTVSAWRPSIPALTTTLASCSIAGEPAEQKVSASPDLVSRRSKR >Sspon.03G0015600-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:70382973:70385995:1 gene:Sspon.03G0015600-2C transcript:Sspon.03G0015600-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVQEVCDQDMLVDLEKGNCLLPKEDNSGMKVNSIAGHARTKPHSSYDDLVALKDDKSHHISCCSSHCRDSTGKSGEPMTSEGEMKVGLLDKSAAAKEKKKWYKKPPRPPRPPITSPLDPADQKLISELSELAVLKRARIERMKALKKMKNSKPASSIGNLVALIITVIFCFFILWQGVFSRHGASISFHRPSISSVRMHGGLISIQFYKKNVAAISPHSSPAALKCDTPFYLILHAILLL >Sspon.03G0034050-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3B:67740572:67743607:-1 gene:Sspon.03G0034050-1B transcript:Sspon.03G0034050-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWMMQNQAEIQCQQKVVVVTAPNGDKINVDVAMQKQPTAVVNQIEDTIKENSVIEEFPDVFPDELPGMPPDRDIEFLIELLPGTAPIAKRPYRMGVDELEELKKQIKELQEKGFIRPSSSPWGAPVIFVDKKDGGQRMCVDYRSLNEVTIKNKYPLPRIDDLFDQLRGACVFSKIDLRSGYHQLKIRASDIPKTAFTTRYGLYEYTVMSFGLTNAPAYFMYMMNKVFMEYLDKFVVVFIDDILVFSKTDVEHAEHLRLVLQKLREHKLYAKRSKCEFWLKEVSFLGHIVSNGGISVDPGKVKDVLNWKPPTDVSEIRSFLGLAGYYRRFIEGFSKLAKPMTSLLEKNAKFVWSDKCQASFEELKKRLTTAPVLVLPDLSKKFSIYCDASRQGLGCVLMQEGRVVAYASRQLRKHELNYPTHDLELAAVVHALKIWRHYLIGHKSDIYTDHKSLKYIFTQSDLNLRQRRWLELIKDYDIEIHYHPGKANVVADALSRKSYANEIRTLSMTSELCAEFEYLNLGIVTNAMELVIEPTLEQEIYKGQLKDEKLKKIAEDIVIGKSPGFRMNDNGILWFGKRLCVPEDQAIRQTILREAHESAYSIHPGSTKMYLDLKQKYWWVGLKRDVAEYVALCDTCQRVKAEHQRPAGLLQPMKIPEWKWEEVGMDFIVGLPRTQRGYDSIWVIVDRLTKVAHFIPVKTNYTGVQLATLYMERIVCLHGVPKKIVSDRGTQFTSHFWQAVHESLGTKLNFSTAYHPQTDGQTERTNQVLEDMLRACALQYGTSWDKSLPYAEFSYINSYQQSLKMAPFEALYGRKCRTPLFWDQTGESQVFGTDVLRNAEDQVRVIRENLRVAQSRQKSYADNRRRDLVFEVGDYVYLKVSPMRSVKRFNMKGKLAPRYVGPFKVIARRGEVAYQLELPENLSGVHDVFHVSQLKKCLRVPEEQIPLEELTVKEDLTYEEFPIKILETAERVTRSRIIKMCKVQWNRYSEAEATWEREDELRKSYPQLFE >Sspon.02G0034970-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:10184155:10184499:1 gene:Sspon.02G0034970-2D transcript:Sspon.02G0034970-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSSSSSSALVVLMVVLAAAVACSATRPVPAQQQAVSAAEDVKRPDTLQEGTVLIPGLGRYEIGSSYIPDIGGLDHSIPAATHGQFMPGADDTWVPNPGFEVPNPFLPGAATP >Sspon.03G0007760-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:35904379:35907937:1 gene:Sspon.03G0007760-3C transcript:Sspon.03G0007760-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ras-related protein RABG3b [Source:Projected from Arabidopsis thaliana (AT1G22740) UniProtKB/Swiss-Prot;Acc:O04157] RYVHKKFSQQYKATIGADFVTKEVLIEDRLVTLQIWDTAGQERFQSLGVAFYRGADCCVLVYDVNSNRSFDTLNTWHDEFLNQASPSDPKTFPFILLGNKIDVDGGKSRVVSDKKAMEWCASKGNIPYFETSAKEDYNVDNAFLTVAKLALEHERDQDIYFQSVAEPVPETEQRSGCAC >Sspon.06G0009230-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:49617001:49617857:-1 gene:Sspon.06G0009230-1A transcript:Sspon.06G0009230-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding HVDDEEGGDAGGRPPAAPHRRCGGGGGAVGGGARVPAPERGLQGDLHVGPQLRAGVLAGRLGRRQLRRHHAPVQVHQAVL >Sspon.03G0010340-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:28058372:28058975:1 gene:Sspon.03G0010340-1A transcript:Sspon.03G0010340-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGAKRSTKPLPTGPFTAMFSAMSVLLSSLARRLVPLRRRKSITSSAFVARRRPFFPCGGGHREVVVSDSTFAVVKRSKTLRRKVNPRRVGERKRGRGGDDDDLLGGDEACVWRRTILLGRRCQPLEFTGAIHYDCEGQRLWQPRTPPPSPPPLIPVHPSKLGYMDRA >Sspon.02G0005300-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:18509747:18512176:-1 gene:Sspon.02G0005300-2C transcript:Sspon.02G0005300-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSAAAVMAPAAATQKSSLEVLLETLKKRDEQPKDAPPTLPARPTCRGRLPTTRRPSLPAGFKLENGMAPVAATEAAVVDKKADAKMEIAGLEAKEEKPVKGCIFGTKKKFPNTEALEESPYVDEFHEERKGTAVCKDLHSVSSATIKTNGKPACTNIMDYVLQKKLRVWCSSPNAKWELGRIQSISGDDAEILLANGKVLTVSPGQLLPANPDILDGVDDLIQMSYLNEPSVLYNLQLRYSRDLIYTKAGPVLIAVNPLKEVALYGKSSIMQYKQKTNDDPHVYAVADLAFNEMLR >Sspon.01G0019950-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:74173420:74174348:-1 gene:Sspon.01G0019950-1A transcript:Sspon.01G0019950-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MESFTQEHVIGIPLTSFAYADEERQGKPSCSALVHKKNKKSSFIYRMNKLSHKTDNYMQGFKEHLTLGPKISETIKGKLSFGAKVLQAGGIDKVFREYFSVEKEEKLLKAFQCYLSTTAGPIAGMLFISTKKIAFHSDRPLNFMSPKGGSTRVPYKVLIPTKRIKSASVRENLYNPDEKYIDVVTVDGFDFWFMGFVSYEKSFKYIQH >Sspon.02G0008060-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:38804730:38805014:-1 gene:Sspon.02G0008060-3C transcript:Sspon.02G0008060-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GVGDAGGADQGRRVRAGAGAGVPHPVAVLHRVLAHQEPRPPPRAVAQRAPHARAAPLRPAARRRGALARRRLRPDAVVRVVAHARRHRPPEAGLR >Sspon.05G0008540-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:25590465:25592690:-1 gene:Sspon.05G0008540-1A transcript:Sspon.05G0008540-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLYADLDALRASAADVRIVTSDGQTIAAHSYVLASASPVLERMIDRARRGWGAAADCTIAVLGVPSDAVLAFLHLLYSSRVAPGTEELVAAHGPQLLALAHAYRVGWLKLAAEAAVSARLTADCAVDMLKLARLCDAPRLYTRCARLAAKDFAAVEASDGWRFARRHDPALELELLQLLEDADSRRARWARARASQEAYRQLGHAMGSLDRIFSADDDAPSPSSAGPTGKCVGDGDGDATCQGLRLLMRHFATCARKVAPGGCARCKRMLQLFRLHASVCDRPEQDQTCRVPLCSHFTAKMQTEKADKTWRLLVKKVTRARAMAGLADRQVPEVVAMSWAKYSTNSKWARN >Sspon.02G0046300-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:2274455:2276459:-1 gene:Sspon.02G0046300-1C transcript:Sspon.02G0046300-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTSGIGSVDGHRPAVACPASGVPGCVMSSASTGGAPPPRDAKLGRHLARRLVQVGVSDVFAVPGDFNLTLLDHLIAEPGLRVVGCCNELNAGYAADGYARARGVGACAVTFTVGGLSVLNAIAGAYSENLPVVCVVGGPNSNDYGTNRILHHTIGLPDFSQELRCFQPVTCHQAVVNNLEDAHEQIDRAISTALRESKPVYISVSCNLPGLPHPTFATDPVPYFLAPRKPSNLMGLDAAVEATVAFLDKAVKPVMVAGPKIRVAKAGDAFVELAEASGYAVAAMPSAKGLVPETLPRFLGTYWGAVSTAFCAEIVESADAYLFAGPIFNDYSSVGYSFLLKKDKAVVVQPDRVTVGNGPTLGCVMMKDFLSALAKRVRANTTAYDNYRRIFVPDGQPPECEPGEPLRVNVLFKHIQRMLTGDSAVIAETGDSWFNCQKLRLPDGCGYVCTYVFQMQYGSIGWSVGALLGYAQGAPDKRVIACIGDGSFQVTAQDVSTMLRCQQRSIIFLINNGGYTIEVEIHDGPYNVIKNWDYTGLVDAIHNAQGRCWTARVATEEELTAAIATATADDNKDCLCFIEVVAHKDDTSKELLEWGSRVSAANSRPPNPQ >Sspon.02G0049360-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:38193109:38193882:1 gene:Sspon.02G0049360-1C transcript:Sspon.02G0049360-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFDLLNYSPEAQLELMNTMLQLEQLTALDGHQSPTMAPVSPPISPMQTHAGHNSFSPPPHMSTPTTTTTITTGYRAEQYTPPAPAVYEAATGGLEHLQDCYVLSSSPGSADNNGGAAAAALQQAMGSSSPTSADAMREAIFHIAALQPVEIDPEAVRPPKRRNVRISKDPQSVAARLRRERISERIRTLQRLVPGGTKMDTASMLDEAIHYVKFLKSQVQSLERAAAATHRAAALGAAYPAFHAPWQYALPHGGDM >Sspon.06G0029700-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6C:26944738:26945658:1 gene:Sspon.06G0029700-1C transcript:Sspon.06G0029700-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQATAPTRRPHHRGAPPDREATSAPQRPLPPRQACRHAEAENRCWIRAIHDVFTSEHRPCVHTFPAMECIHRSSAGVECSVTISYIPKPYHSVLDFAYTELATTATEKPPSASAGFCINVAPSNIADFVPTKCSMYCRSGFTSMVTTALSNSTPTWVSAEVVHSFDKQPWPPPSQVQVIREQATALQELLTCLMALSVSMDGCALIQELVQWKCSGNYRCSLLEETGQKFRVAGGKAMGHWQAKQDLLQQSLSYDASCTEVLNLKWSIQSQLIGSARVPSLLTVATARWMAKGLLLSERRVVAVTQI >Sspon.03G0010860-3D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3D:34341328:34344593:1 gene:Sspon.03G0010860-3D transcript:Sspon.03G0010860-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSFP >Sspon.01G0029890-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1A:103897403:103898728:1 gene:Sspon.01G0029890-1A transcript:Sspon.01G0029890-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAPVHGALVLFLLLLAGAGAAAEETAPQEPTLPAAGSAGAAAVGVNSNSVLVALLDSHYTELAELVEKALLLQTLEDAVGKHNVTIFAPRNEALERDLDPEFKRFLLEPRNLKSLQALLLYHVLPSRLPSDAWPAASHPTLSGEEVELAAAGTGMRVGHAAVTRPDAVLRPDGVIHGIERLLVPRSVQEDFNRRRSLAAISAVLPTGAPEVDPRTHRLKKPAPPVPPGAPPVLPIWDAMAPGPSIAPAPAPGPGSGKHHFDGHSQVKDFIQTLLLYGGYNELADILVNLTSLATEMGRLVSEGYVLTVLAPNDEAMARLTTDQLSEPGSPENILYYHMIPEYQTEESMYNAVRRFGKVRYDTLRLPHKVVAREADGSVKFGQGEGSAYLFDPDIYTDGRISVQGIDAVLFAPDDTKATQTADPNRKPPAIVTHKKKIKLRR >Sspon.02G0058590-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2D:79927532:79928906:1 gene:Sspon.02G0058590-1D transcript:Sspon.02G0058590-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RLKTNYNDITEESPSPLRLMRPRTSSMPPSSISSGSTATAPSTEVLFYLAFFHDINPSECTLLPPYQHHDNLSLLPPDEQEAPLIHLMALVDDLIEWILLRFPPDDPASLGRCESTTSFRPRHVGNGNGVQIERSLDARHGRVRLRGYSPGSTRHDNDLIVWDP >Sspon.01G0001760-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:5300773:5302356:1 gene:Sspon.01G0001760-3C transcript:Sspon.01G0001760-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LCVVHSAFGQVLGSASDPNRLHQAPPVKTGPPAAADARLHHRGFHLRAPARNLPKRGPAMRAESTQISALTAGAAHLLCFAGLAAAHALAGRGALVSDPALALRLLVVFSLTSPPLVPVCEAPIVIAVFSYLRRDAKSCSVWCACNLFDQLSL >Sspon.05G0024730-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:25031948:25033600:1 gene:Sspon.05G0024730-3D transcript:Sspon.05G0024730-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAVFNKDVLSWYLITIKFREAVDANLHKSPPPQRPRADLPLRNTNGDASSPPPLQRQSEQDRPATRSRALSPAHSPKPQDSEWVIAIRGKLAQARAEEAACPWARLSVYRVPKCLRDGDERAYTPQVVSIGPLHHGRRRLREMDRHKWRALHHVLKRTGHDVTAYLGAVRALEDRARSCYEGRAAGMGSNDLAECLVLDGTFVLELFRGARDGGKGFVDDLGYSRHDPIFAMRGAMHAVRNDMILLENQVPLFVLDLLLGIQLGNPEQTGAVASLAVRFFDPLMPTDAPLHRKDRSKLESSVGAAEATVAFDPLWGPMLHCLDVFRRSLLRAGLQPTPPPPVRLWRKKWSGLRRVADKRRQQFVHCVSELREAGIRCRRHNTDRFWDIKFDNGVLHIPRILIHDDSKLLFLNLIAFEQCHMDIATPGGNNITSYAIFMDNLINSAEDVKYLHDRGIIEHWLGSDAEVADLFNRLCEEVVFDINDSYLSGLSDQVNRYYDYKWSTWVASLQHNYFSNPWAIVSVVAAVFLLLLTMAQTFYSAYSYYRPPQ >Sspon.06G0018540-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:83620706:83626170:-1 gene:Sspon.06G0018540-3D transcript:Sspon.06G0018540-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SPDGDIIDCVDIYEQPAFNDPLLENHTIQVPLSAGAFPFPFQCSVQPRCSASREHIHMCMYHDITQWCSFITFQMQPSSHPKGLHDDSKCPENTIPIRRIKEQDVLRASSIGRYGKKMPRSIPKLISVNDTVKPGVTSGHKHRRMSTMEPKPTSICGNQQFPRPRTSVWPSSGLRDGYQRTGCYNLNCPGFIQTNNQTPRGAIGGCKWEAMLWAIGHHPYSPNLADSAFTVMWGGECTHLTLSKLPMGSGHFPEEGFARASYIRNIQVVGSSNILKSPDNVDLLSSQQGCYDVQNGTNRNWGTYIYYGGPGKNPNCI >Sspon.01G0015650-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:50733523:50733888:-1 gene:Sspon.01G0015650-2B transcript:Sspon.01G0015650-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSGSNANASKEEDASGGQSGKPPHWRRREYATTTVYVVHPTQFRTVVQQLTGAASPPPPLSTHQHVGGGGNGAGTRTNAVAQAQAQAQHGGGEQSSGGSRGRTLGQMYQDCLAWANADDN >Sspon.05G0022660-2D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:5D:8225746:8226269:-1 gene:Sspon.05G0022660-2D transcript:Sspon.05G0022660-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AHPWLTDSEREQLCRLMNCQKLSLEACTHAAQNERLPLRVVVQVLFFEQLRLRTTVSGWFFVSDNADRGSSSDNCVLQRRPDDDLDFEAGSEETTEEDGFATDDDGFAAARHEEPSSPAMSVAEIHRPGKPKSALSRLLGKLGLCRRSSLRQQQPPPLPGASGKRRKSFDFGC >Sspon.03G0037040-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3B:96438897:96439676:1 gene:Sspon.03G0037040-1B transcript:Sspon.03G0037040-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPAVVLFAHVRCWAVLVFRQAIGSCGHTDCKVRDRPVVADACIDARPHCAAISIVVYRVSVEPTNGRGSARPTCIARTRTPWHLIYRLQRGVVRARPPDPSASEPLDQSPEGRRTGWATADAEDGGRGDTVRSRIYVPHVLLMFRSAAGYSADRADGISGSHQSYRPPGRLCSLPPPALRCLPAHGN >Sspon.08G0005550-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:13881958:13883786:-1 gene:Sspon.08G0005550-2B transcript:Sspon.08G0005550-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGERVPDQTLEIVGWIYGSVTEDILTGFKMHCHGWRSVYCMPKRPAFKGSAPINLTDRLHQVLRWALGSVEIFLSKHCPIWYGYRCGLKPLERLSYINSVIYPWTSIPLLIYCALPAFCLLSGKFMVPEMNIYSSILFIALFVSIAATSILEMQWGGVGIEDWWRNEQFWVIGGVSSHLFALCQGLLKVLGGVNTKFRVTLKGGDTNEFSELYEFKWTWLLVPPMTLLLLNVVGVLAGVSKAITDGYEAWGPLLGKLFFSFWVILHLHPFLKGVIGKQNRVPTIVVVLSVLLASIFSLLWVRVSPFATKRKIEKGEDSAAVVDIETGTID >Sspon.01G0024580-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:87795310:87796265:1 gene:Sspon.01G0024580-1A transcript:Sspon.01G0024580-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MANNRTYEEYEPAVEWSRTAEADTKEDIRVLVDNHGHLRTRGERPIVANRWSRFQKDLELPANCNADGIRAKFENERLTITLPKNAPSPPMPAPPRRPPMAAPPAVPEQAARPTAPPPTVPAAPAPSVKPPAEPRRSMPMKPYAPVPAPPPAPAPEVEQPATTKPKSPLGAVQQEEEKQRVREAEGKTAEDRKKEMVQQDHKATEQQEAAAMPGEMALVNQPRPASASRGLLVNVAVAVVVLLGITVYVWHSLRKATGGAGEHSHGHLGVGSYRDEM >Sspon.04G0022440-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:10353191:10355784:1 gene:Sspon.04G0022440-3D transcript:Sspon.04G0022440-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Inactive exonuclease DIS3L2 [Source:Projected from Arabidopsis thaliana (AT1G77680) UniProtKB/Swiss-Prot;Acc:Q0WPN0] MGLKRMNNRASSVTGLFHLLPTDPKFPLMVVSFSTLPDSVRQSLRGDAAIEKELVAAQIDEWNEENLYPYAHVIRFLGKGGHVKTHMDAILFENAISDAEFSPESLACLPDNCWKIPQKELEARKDLREVLSFTIDPPTASDLDDAISIEILSGGTVRIGVHIADVSYFVHPETALDAEAQSRSTSVYTLKRKISMLPSRLSEVVSLYPGVDRLAFSIIWDIDPHGNIISRWIGRSVIFSCCKLSYELVQDLICNEASQARSAVSSLEVHGKFERDDVIKSLRGLYEVSKNLKEVRFKGGALSLDTAKLMILFDEDGAPCDSYRYVRNNACFIVEELMLLANMSAAEVISNAFPDCALLRRHPEPNLRKFREFEAFCAKNGFELDASSSGQLHLSLSRIKEKLQEDPVMFDILMFYASKQMQSAEYFCTGDLISKQDDWAHYALSVPLYTHFTSPLRRYPDIIVHRTLNAVIEAEQVYMKQKKSSTGRNGVKASCELMDRCFTGLQLCKDAAESEEGKKALSAAAKKFKVPYSENLGEIAEHCNERKWAGRRAEDAGQKLYMWALIKNKEVVVCNARVLGLGPRFMSVYVPKLAMERRIYYDEVEGLSVEWLEATATLVLDACRNKPAQRRGTQMKCRPIEEVAMVVNPSELSEEDEESGATEAGGCTAKAVLLSGDTVKAQTAPAVLPLVIHYLSDIPVVLHAIGGEDCAVDIGVRLYMASYFEL >Sspon.06G0012880-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:55419831:55422697:-1 gene:Sspon.06G0012880-3C transcript:Sspon.06G0012880-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGGSGDHQQQHGGGSGDDGQLQQGGGDMVMPGFRFHPTEEELIDFYLRRRVEGKRFNIELINLVDLYRYDPWDLPALASIGDKEWYFYVPRDRKYRNGDRPNRVTPSGYWKATGADRTVYVEVKRPIGLKKTLVFYVGKAPKGLRSSWIMNEYRLPSGEADRYQKEISLCKVYKRPGIEDNFHLSTTTTRSSSSKAAATMEKKHHRTSASPRLAPMFDGGHSTAHMNKPYSGANTTIAMTSSAAARAATMAPQTSMFLSTPSLSSTTSTEEDGTSLYHMKGANPPMLPSSTHALLNANSATMATIPIDELSRAIGAYNSQGNPNQPPLPSQGPLLPFPSMEKIWDWNPLLESPKVCTSFK >Sspon.04G0013570-3D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4D:52849205:52851316:1 gene:Sspon.04G0013570-3D transcript:Sspon.04G0013570-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MIEVEAKRVLPLLFFYGLHLASAFAAGDSGDGDGGFVYSSFAGASLTLDGAAMVTPRGLLQLTSETALSKGHAFHPTPLHLRGPLGDGEKKAAAVRSFSTSFVFGIVPVTPGMGGHGLALVVAPSKDLSSGMASNYIGLLNSSSNGSVHNHIVAVELDTIQSPEFRDIDDNHVGVDVNSLVSVDAASAGYYDDRTGELRNLTLVSGQVMRAWVDYDGDATRIDVTLAPVGTERPKKPLVSATVDLSTVIVADVAYVGFSSSTGRLSTLHYVLGWSFAVGGPAPAIDMARLPPLPDRRNSGSRSSKTLVIALPVAAGALAIIAMVVACVLLVVRRRYRYIELREDWEIEFGAHRLPYKDLFDATDGFKDKNLLGVGGFGKVYKGVLPTSGMEVAVKRMWHESEQGMKEFVAEVATIGRLRHRNLVQLLGYCRLKDQLLLVYDYMPNGSLDKHLYTHDENTAPVLNWAQRFQIIKGVASGLLYIHEEWEQVVIHRDVKASNVLLDGEMNARLGDFGLARLHSHDAELLHTTVVAGTFGYIAPELALTGKASPLTDVFAFGAFLLEVVTGRRPVEDTVDGDRLLLVDWVFEHWRKDQSLIEVVDPRIQGDYDVNELSLTLRVGLLCSHPLPSVRPSMRTVMQYLAGDMPLPEIMPTHMGMSMLALLQSQGFDSSIMATSSSSSSSMRSSSTLPSQKGDDKSNVLVP >Sspon.08G0024530-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:64396004:64398157:1 gene:Sspon.08G0024530-1B transcript:Sspon.08G0024530-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTAPRRPSFRGRGAPPPGTGYVRRGPAPGPADAAKTLRKPVFTTVDQLRPQTHGHTLTARVISARTVLDKPSTHLARTRVAECLVGDSTGTVLVTARNEQVDLLKPDTTVIFRNAKIDMFKGTMRLAVDKWGRIEVTQPADFKVNQDNNMSLVEYELVDVDEEE >Sspon.05G0001800-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:4396630:4404777:-1 gene:Sspon.05G0001800-1P transcript:Sspon.05G0001800-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding FRRDGRGEGGAAVGADAGTGGGAPPGAAQHRRPLQPRRPRHSQARRRRPPRHLIPRLRRRHQHFVVPFEEDDKDPRIWFLDHNYHESMFSMFKSGAAKEHVVGWYSTGPKLRENDLDVHALICSYVPNPVLVIIDVQPKELGIPTKAYYAVEEPLQNATQKSQKVFVHVPSEIAAHEVVSSGVEHLLRDVKDTTISTLSIKVTSKLAALKGLDARLREIRSYLDLVIDGKLPLNHEILYFLQDVFNLLPNLNVNELIKVCAVKTNDMMLVIYLSSLIRSVIALHNLIYDKVNGKRNM >Sspon.02G0051170-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2C:73364009:73364270:-1 gene:Sspon.02G0051170-1C transcript:Sspon.02G0051170-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding QGSRDAAVALHPRWLAAPPAAVATRPSPPSGPPLSAASPPARLRFGPLRFELHSGAGVALTSRAPAAGRTLPVPAPSLQGIPLLIW >Sspon.03G0041170-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3C:37623755:37624198:1 gene:Sspon.03G0041170-1C transcript:Sspon.03G0041170-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRSVLRLRGFAAAVLVAAGPSRRRRVWRPLRDRGNMCEWPAWGRGYAHGRRPEWRIGAAAANAAARANVAGESSMVRGPRARVRRAASRRAGAACGSAGAACGSAGAANQRAGVAEVLATTTSCSHWRWLKVFFSSSTAMAFLLQR >Sspon.08G0008620-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8A:34780677:34782884:1 gene:Sspon.08G0008620-1A transcript:Sspon.08G0008620-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQKLARFPPTPFYSLICFFLHWLLHPSVDLSFTLQRRLCSTAPPHRTTEVERGGAGAAWITFWGDHDSGICICMSLKATNENLPPNVIRQLAKELKNLDDSPPEGIKVSVNDDDFTTIFADIEGPGFFLTKIFHPNIATSGEICVNTLKKDWNPGLGLRHVLLVVRCLLIEPFPESALNEQAGKMLLENYEEYARHARLYTGIHALKSKNKLKSGVISESTTALNVGQSNTVLGENTPLASAVISTSAAAKALGKNSLDPNSATSDPVVGASAAPKKDAPHAVK >Sspon.04G0028350-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:65181405:65185765:-1 gene:Sspon.04G0028350-1B transcript:Sspon.04G0028350-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKARGVHRAQWHGRLRYFEGYGFRGSTFEQTYRCYPASFIDKVFIMPPSALDRLASLHIEYPMLFEVHNAAAERTSHCDDAKSSSARGRYGVIKNANLPKGTYVKLQPHTTDFLDISNPKAILEKTLRNFSCLTTGDSIMVAYNNKKYYIDIVETKPSNAISIIETDCEAPAEEPKFIPFTGSGRRLDGKPSKDKDVLAPSPAKRQANATNGVQPSTTTTSQGSSSRKTTGKLVFGSGSGGSRAEKAPEKEAKEEPKKEDPKFTAFTGKKYSLKG >Sspon.03G0009630-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:30857867:30860432:-1 gene:Sspon.03G0009630-4D transcript:Sspon.03G0009630-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding YQIYRRNREDLSLVSIILNQEIRRLATQDRSLRVTHNLAIFGGCGMVLSIITGLFGINVDGIPGAQNTPYAFGLFAGLLFFIGIVLIGVGMLYLGLQNPVNSEKVKVRKLELQQLVSMFQYEAEQHGKVREGLSRHGSPPSSSAASDEGRSNGVSVVAFKHPKFPTGECQ >Sspon.05G0016210-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:65886784:65889443:-1 gene:Sspon.05G0016210-1A transcript:Sspon.05G0016210-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AMALALRLRRVLAAASTSAPLLRPSASVARPSSPLAPPASSPVAPLPRAPWRLLPGGAAAGFRSTAAAAARGGADYGADDSKISPDEILFEGCDYNHWLITMEFPDPKPSREEMIETFLQTLAKVVGSYEEAKKRMYAFSTTTYVGFQAVMTEEMSEKFTGLPGVVFILPDSYLYPETKEYAGDKYDNGVITPRPPPVHYSKPSRTDRNRNYRGNYQDGPSQQGNYQNNRPQGGYQNNPPQQGNFQTYRSQQDGRGYAPQQNYAQGGQDGRGFGRNDYTDRSGYNGPPGGFQGQAQYQGHVNPSGQDLGYNNPQERRNFPQGQGGGYRPGGPSAPVSYGQQSAPGSYGQPSAPGSYGQPSTPGSYGQSSTPGNYGQAPPSANPGGDRVPGVNPSYGGDGRQGTEPAYGGDNWQRGSGQYPSPGEGQGNW >Sspon.08G0002330-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:3776715:3782315:1 gene:Sspon.08G0002330-1T transcript:Sspon.08G0002330-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQIEAELLILQAFIAQAGAKVGDKAFDAWLDQVRDVAHEVEDTIDEYAYLAVQAVDTGSFFKRKFRQIKKFAAWQKFHSQISQVEARIQRLGEIRNRYGILAGEIDRSNKLRKPSQLFMSDSSYLTDSSEIVGYVDEIGRLTQWLLEDKQERTLIAIFGMGGLGKTTIASSVYKNKNITRTFNCQAWVTVSQTYQVEELLREIINQLIDQRASMASGFMTMNRMRLVEAIQSYLLDKKYFIVLDDVWDKDAWLFLNYAFARNNCGSKVLITTRRNDVSCLAVDHYTIELKTLQYAESWELFCKKAFRASKDSQCPENLRFFAEKIVARCQGLPLAIVTIGSTLSYREFEEHGWESFYSQLSWQLANNPELNWISNVLNMSLNDLPSYLRSCFLYCSLYPEDYNINRKMISKLWIAEGLVEDREDGTTMEEVANYYLVELTQRCLLRVTESNAYGRPRTFVMHDLVREATSIIAKKEKFGIAYGDASSTQVPHEVRRLCIQRGAQTMNSIASSRLRSFILFDTEVPCSWIDEILSRFRLLRVLCLRFANIGQVPGMVTELYNLRYIDFSYTKVKTIPASFGKLVNLQVLDLRFTYVEELPLEITMLTNLRHLQVFVVHDLLQRSLDCFSATKIPGNICLLKNLQALHIVSASKDLVSQLGNLTLLRSLAIMKVRQSYIAELWSSLTKMPNFSRLLISAIDTDEVLDLKMLKPLPNLKFLWLAGKLDAGVLPSMFSKFEKLARLKMDWSGLKKDPIISFSHMLNLVDLRLYGTYGGEQLTFCAGWFPKLIRLELGDMEHLDWIEIEDGTMIGLHHLELVGLGNVKAVPAGIQYLRTLHQMFLTDMSKGFIQRLQGSDNYIVQHIPNIHIFYSSDSQAVNNLHLVPWLVKKFGPGAAKHAPTFTKIDDFEL >Sspon.05G0000130-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:583494:587110:1 gene:Sspon.05G0000130-2D transcript:Sspon.05G0000130-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPTGGADTILADPLLHPLPPSKSNKQQQEEEEDNSNSPALAGVSDYLGRPVQRGSSGGWRSALFVVGVEIAGSFAYFGISANLITYLTGPLGQSNASAAASVNAWSGTACLMPLLGAFLADAYLGRYRAVIIACTLYGYGMLMLSATVPALRPSHMPCREDGKSVSSSSFSCQPGWPQVAFFYVSLYLIAIAQGADKPCGLAFAADQFDAEHQGERASRGSLFNWWFFCMAIGISVSVSVVGYIQEYVGWGLGFGVPCAIVLCAFLVFLLGTPTYRLYAPTPEAKSPFRRLARGLAAVVKGGGRLPSSQSQEEAADDARCVLRLLPIWASSLAYGVVYAQIMTLFNKQGRTLDRRIGQGGPELPPAVLQTLGPASILLFVPVYDRAVVPALRWATGNPSGLSMLQRVGAGMATSLAGVAVAALVEARRLATAREHGLVHWRSLVGAKLGARPPFLRTGRGSLDVYVCSFVRS >Sspon.05G0005520-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:12242502:12246651:-1 gene:Sspon.05G0005520-2B transcript:Sspon.05G0005520-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSAYQVQEELQQRAERQLRNQLAAAARSINWTYALFWSISSTHQPGKISNSVELTADQLVMQRREQLRELYVALLSGECDRRAARPAGSLSPEDLGDTEWYYVVCMTYAFRPGQGLPGRSFASNEHVWLCNAHLAGSRAFPLALLAKSIVCIPLMGGVLELGTTDTVSEDPDLVSRATAAFWEPQCPTYSEGPSSDPSANETGEAAEDVVLFEDLDHNAMDMETMTAAGGHGQELGEAESLFNASLECITKDIDEFYSLCEEMDVQLLPIEDSWIMVDGSNFKVPSSPQPTPPVATTSSVADTSSAPADGSRATSFMAWTRSSQSYSDEVAVSAVEEQQKLLKKVVAGGRAWANYDGGGTIGTAQESGIKNHVMSERKRREKLNGMFLILKSLVPSIHKVDKASILAETIAYLKELQRRVQELESSRELTSRPSETTRQIKGPRGNDSVRKKLCGGSKRKSILRRCRGEGARAPLDPPQRGTSNVTVTVANKDVLLEVQCRWEELLMTRVFDAIKSLNLDVLSVQDSAPDGFMELKIRAQFVGSGAVVPWMISEALRKAIGKR >Sspon.01G0052250-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:20335876:20342306:-1 gene:Sspon.01G0052250-2D transcript:Sspon.01G0052250-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding KMSAAATMTWHEDLGTLVGDTGVRLPGAGGHAPAVANVAAVGVGAGWYGEEEEGRAEEEGWAQQAKGFAESTAEMLRELGRGLWDVAAQSLASAEDSELARRLRKRAAATGKRLSFMNEYLPEERDPVRCWLIVAAVAFVALPVLGGGSGNETPVELPKKLIIGPPSADRIQLPDGRHLAYEEQGVSADRARFSLIAPHSFLSSRLAGIPGISASLLEEFGVRLVTYDLPGFGESDPHLGRNLNSSALDMLYLANALNIPEKFWVVGYSGGGMHAWSALRYIPDRVAGAAMFAPMANPYDSKMTKDERRKTWDSWSTKRKLMHILARRFPSLLPFFYRQTFLSGKQGQPESWLSLSLGKQDKTLLEGPVFNAFWERNVAESVRQGDARPFVEEAVLQVSDWGFSLSDIQMQKKEARGFFELIKSLFNQAEREWVGFLGPIHIWQGMDDRVVSPSVAEFVRRVVPGATVHKLLDEGHFSYFCFCDECHRQIFSTLFGIPQGPINPAPQPSGVVSELTEETTVPDNATDQEQGKSGLP >Sspon.01G0043520-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:75215000:75219138:1 gene:Sspon.01G0043520-1B transcript:Sspon.01G0043520-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Organic cation/carnitine transporter 7 [Source:Projected from Arabidopsis thaliana (AT3G13050) UniProtKB/Swiss-Prot;Acc:Q940M4] MVFPVKARAPFRISFPNPSGTDYGAKSRPNEKAQRSPGSSSVADARIRDRESTPAPAGFAACRRSKKRIPMEEGQSASYTVDDALLSSGFGRFQILILSYAGIGLIAEAMEMMLLSFVGPSVQLEWKLTSHQESMITSVVFVGMLIGAYSWVGIGLGGGPVLGSWFLEFVPAPTRGTWMVVFSAFWTVGTILEASLAWTVMPKFGWRWLLALSAIPSFLLLLFYAITPESPRFLCMKGRTAEAVDVLEKMARLNNVQLPSGRLVSDKNIELDEVSGSSESTTLLSGAEESDNLIEDQGSDFGGIKSVGKLLAPKLIRATLLLWMAFFGNAFAYYGIVLLTSELSNGNRICAKEDVESVNSTNASLYKNVFISSFAGSFLSAMIVDRFGRKLSMASMLFTSCVFLFPLVFSRTDILTRISLFGARLCISASFTI >Sspon.01G0002180-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:5307166:5309305:1 gene:Sspon.01G0002180-2B transcript:Sspon.01G0002180-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRMVATMASSLLLRPRAVLPPHPSSSSSGRRPFPAPRAQLQANIQHKPGLTARSDGERRQPAGTRLYSLAPYPLLLAALLPGGEPVTAVFAPFVELVKTWDLPGWLVHWGHPGNMAVVLFAMGGYGTYLGFRIKLSDDLEEKAKAKDLHPKLLAGMFFFFALGATGGITALLTSDKPIFESPHAVTGVIGLALLTIQSILPKLFEGNPGLRTTHGLLGSGIMTLFLIHAALGLQLGISF >Sspon.03G0004130-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:17449504:17451342:-1 gene:Sspon.03G0004130-4D transcript:Sspon.03G0004130-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASGKTRGCGCHIVAVPFPGRGHVNAMTNLSRLLAARGAAVTFVVTEEWLGLIRSSSAAAEPAGIRIRTIPNVIPSEHGRAADHSGFLDAVATEMEAPFERLLDGLEGPPPAALVADAYVPWVVGVGNRRGVPVWSLFPMSAAFFYAYYHFDRLPAWLTDYEHAPDSGETIANSDQRLGQYIAGLASSSIRLSDLEPLIHDKRKMKHILATISSARNAQSLLFTTMYELEASVIDSLRSVLSCPVYPIGPCVPYMTLEDQHTMSNGQVASQRDYFTWLDSQPVNSVLYVSLGSFVSVSASQLEEIALGLVASEVRFLWILREQSPQLQELFTGINNGMILPWCEQLKVLCHRSVGGFLTHCGMNSTLEAVFASVPMLALPLFFDQPIDGRLIVEEWKVGLNFRDWASKDGLIGREDIARAVKKLMSSDETETKALRKRALELKEASRRAVDKGGSSYCNLSSLMETVCTTN >Sspon.05G0017710-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:74102736:74106272:1 gene:Sspon.05G0017710-1A transcript:Sspon.05G0017710-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDVCAPHGPVKLPAASVGGVRVAWVAGRWWWRSAAARHGVSARASSYGSRIGLDSQNFHTRDLSQLLWVGPVPGDIAEIEAYCRIFRAAEQLHTAVMSALCDPETGECPVRYDVESEDLPVLEDKVAAVLGCMLALLNRGRNEVLSGRSGVASAFQGSEDSNSDRIPPLALFRGDMKRCCESMQVALASYLAPSEPRGLDVWRKMQRLKNACYDAVYLSIVSDDSGTDELEVAFWRGGQVSEEGLAWLLEKGFKTIVDLREEDVKDDLYLLAVQEAVSSGKIEVVNMPVEIGTAPSAEQVQQFAALVSDGTKKPIYLHSKEGVSRTSAMVSRWKQYVTRSERLAVQNHSLNGNGKVLTSYKAMQHMGSPSSSTNGTENGTIAESDRTMNNGESFEIDIETARHNLEVTNALSNDQSTQQGITNGKPSNNGASSLIEEKETEVLVATVDTRASASSSNGKVQVGSQKSAEKNGAPYLERNKSDNVDGNMCASSTGVVRLQSRRKAEMFLKLGDELMEEAKEIPTQVWKSL >Sspon.03G0021100-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:51589980:51597175:-1 gene:Sspon.03G0021100-1T transcript:Sspon.03G0021100-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVTVVLAAILALSYCCAASVATTVTAAAESDRIDRLPGQPTVNFSMYSGYVTVDAAAGRALFYWLMEASGVPADSAPLVLWLNGGPGCSSVGYGAMEELGAFRVNPDGSTLSLNPYAWNKGPASMPLFPQVKLVIYSMFVMVAMDGWIGLLAVANVLFLDSPAGVGYSYTNTTNDLYAAGDNKTAHDSYAFLINWLERFPQYKYRDFYIVGESYAGHYVPQLSQVVYQNNKGIENPTLNFKGFMVGNAVTDDYHDYMGTFEYWWTHGLISDETYVKLWSTCKYDAASTPLRNIYEVAYDEQGNIDFYSLYTPTCKKTSLLKRRQIRGRMEAFHANVTGIPYPWIGCSDPVYEYWEDSPRSMLPIYCELISAGLRIWVFSGDTDSVVPLTATRYSIDALSLPTITKWYPWYYDEEVGGWCQVYEGLTLVTVRGAGHEVPLHRPRQGLKLFEHFLRDEPMPKPVESVQSY >Sspon.02G0022130-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:72020467:72022609:-1 gene:Sspon.02G0022130-2B transcript:Sspon.02G0022130-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLYRGRLSSVLDIGPAPQKIFPQSTVRAKDASTSTFSCQLSVCYIGVLHSNERAEKSKRKLQAGQQEGAPPIKSAVEQLSALSAPAAKAIELAVNPGRSMAGPYQPLSAPVPAPVVVVSPQFCSPDVVPLTVTKKVASLSGGDFTVTDDNGAVVLQVKGSFFTVRNRHVLLDAAGQPVLSMHEKYAQQMGSVQRGQHERKRFAVHREAVYADATEDTAGCLAGNTAQQACDFRMKGSYFDRNCAFYLGDSDVMIAQISRKYTVSNVLLGKDTFNVTVLPHVDHVFVAALVVVLDEVHSRDRNY >Sspon.02G0001930-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:6452018:6458473:1 gene:Sspon.02G0001930-3C transcript:Sspon.02G0001930-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding TMRTTATTTLMAAAVLCLALPLLPGATAKAARPRQGDYLARLRGSPRQSSWPAESASLAVAATDRAARHAKSPPVGRKEDDRVDKLPGQPSGVDFEQYAGYVTVDAAAGRALFYYLAEAVGGGSAAKPLLLWLNAGPGCSSLGYGAMEELGPFRVKSDGKTLYRNPYAWNNAANVLFLESPAGVGFSYSNTTADYGRFGDNKTAEDALQFLLNWMDKFPEYKGRDFYLAGESYAGHYVPQLAHAILRHAAGKPSSSPINLKGIKIGNAVINDWTDSKGMYDFFWTHALISDATADAIGRYCNFSAAAADSDECDEATSDAGEALEDIDIYNIYAPNCQSADLVSPPITPQMDNFDPCSDYYVNAYLNDPDVQKALHANVTRLDHPWSACFSDVLRRWTDSAATVLPILTELLKNDVRVWVCSGDTDGRVPVTSSRYSVNQLQLPVAAKWRAWFSSFQGAGEVGGYVVQYKGKEKGSLSLVTVRGAGHEVPSYQPKRALVLVQGFLAGKTLPDCKTCES >Sspon.07G0012760-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:51874597:51876060:-1 gene:Sspon.07G0012760-2B transcript:Sspon.07G0012760-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQSLVVREGSEPDHFWKALGGRSEYSKEKRVKGWPADPYLYAYLNKVKEVYSFCQDDLATEETLILDCNEEIYVWVGLHSDITSKEQALNIGKMFLQDGVLHGGGRSIETTVYAVTEGDEPVFFTNCFNNWDNSKQSSMVGNSFERKLAVLKGVSPKLEKHAQAALEEARGIVGADHAGAPAPAATADGEKGFRLRVCREVCQRALSSCCRAANVITICQKPLLVLDVVDAHHGGTAALPCLGARVGGRARGLHGDSSTTVIAGRKTLAT >Sspon.07G0014530-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7A:52447233:52447426:-1 gene:Sspon.07G0014530-1A transcript:Sspon.07G0014530-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VRPRIGGGRLAAVSLTTGRRRSAVGGLDNARLVVALDVRAYVDGGGTCEDMGASRARAYATEG >Sspon.07G0020800-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7C:67948456:67952965:-1 gene:Sspon.07G0020800-2C transcript:Sspon.07G0020800-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SNSRGQWHRPYKISAGREIFRLASPSLAFLSFSNFGTSSTR >Sspon.02G0031580-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:90407808:90410678:-1 gene:Sspon.02G0031580-4D transcript:Sspon.02G0031580-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRHLTSNPLLPRRCRLLLHPNRLRATATSSAAAGEIAPAPAANETPLEDDLAEELRSRLVRDTCRLLELRDSWSAKLEAQLRHFLRAMTPPQVCAVLRAQAQRDARAAFEFFRWADRQWKYRHAPEVFDEMLALLSRTRLHDPARRVVRLMIRRGMRRGTRQFAHLMLSYSRAGKLRSAMRVLQLMQKDGCAPDICICNVAVNVLVVAGRVDKALEFAERMRRVGVDPDVVTYNCLIKGLCSARRIVEALEMIGSMLQNGCPPDKISYFTVMSFLCKEKRVAEVRNLLERMRNDAGIFPDQVTYNMLIHGLAKHGHADEALSFLRESEGKRFRVDEVGYSAIVHSFCLNGRMAEAKEIIGEMISKGCRPDVVTYSAVVDGFCRIGELEQARKMMKHMYKNGCKPNTVTHTALLNGLCKVGKSSEAWELLNKSEEEWWTPSDITYSVVMHGFRREGKLKESCDVVMQMLQKGFFPTTVEINLLIHALCKERKPADAKDFMEQCQSKGCFINVVNFTTVIEKLCAFGKLSEAYNLLSKVLRTASKRDAQTCHILMDSFLNRGLPLQSYNVACRMFQRNLIPDLKLCQKVDSQLALVGEKQAAGKLITKFVERGVNMHNGEEVTVNL >Sspon.07G0035860-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:14029085:14036334:1 gene:Sspon.07G0035860-1D transcript:Sspon.07G0035860-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSIFAIAILAATTAAVLFHGSDAQELMQSHHTERISGTGGDVLEDDPVGKLKVYVYDLPAKYNTEPVEKDPRCLTHMFATEIFVHRSLLSSAVRTLDPEEADWFYAPVYTTCDLTASGHPMPFDSPRMMRSAIRLIAERWPYWNRSEGADHFFVTPHDFGACFHFQEEKAMARGILPVLRRATLVQTFGQRNHVCLKDGSITIPPYAPPWKMEAQLLPPATPRSIFVYFRGLFYDAGNDPEGGYYARGARASVWENFKSNPLFDISTVHPTTYYQDMQRAVFCLCPLGWAPWSPRLVEAVVFGCIPVVIADDIVLPFADAIPWADIGVFVAEDDVPRLDTILTSIPVEVVLRKQRLLASPAMKRAVLFPQPAQPGDAFHQILNGLARKLPHGDDAFLRNGQTMSGLFVPARTTTPAVVPNPSISTSSWFKVLSRSSFEPEKPPRPLARPIASISSMNTILGAHCLASWNKFRTLDGPTPTNISMKSEPEIDKNGTPDSPAVALASKVFPVPGGPTSRAPLVLDDMDDSIRPRINRGARKFCRCFIAFSWKFHPSSVLDSSAHHKIAFHQK >Sspon.03G0037520-2D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3D:60592055:60593944:-1 gene:Sspon.03G0037520-2D transcript:Sspon.03G0037520-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding QPPVVPDAAVPIAGPRSPTPPHLRRFGLVYRRQREPSPPSPPPGRFGIVYERRREPAPPLSSPARSSPVHAPPASPRSRADPPVYHPPLLHRDPRHTHPMVTRQASRSQALTVAACEPGISPVPSSVRAALADPHWRRAMDEEYAALLTNQTWDLVPRPPGSNIITDKWIWTHKSRADGSLERYKARWVLRGFTQWPGIDYAETFSPVVKLAIVRTVLSLALERSWPVHQLDVKNAFLHGTLTETVYYSQPAGFVDSSRPDFVCRLNKSLYGLKQAPQAWYSRFATFLQTLGFTEAKSDTSLFIYHYGGDTAYLLLYVDDIVLTASSESLLHRIIASLQKEFAMKDLRVLHHFLGITVEPRPSSLFLHQRQFTCDILERAGMTAYQSCSTPVDTQGKLSKAGGPMLGPADSTAYRSLAGALQYLTFTRPDITYAVQQLCLYMHAPREPHLAAMKRLLLYLLGTLGYGLLLGRSSTAELVVYTDVDWAGCPDTHRSTSVFLGGNLVSWSSKRQPMVSRSSAEAEYRAVANDVAEVAWLRQLLAELYRPLAKSTLVYCDNVSAVYLSTNPVQHQRTKHVEIDLHFVRDRVAIGDVRVLHVPTTSQFADIFTKGLPSSTFSEFRSSFNVSSG >Sspon.06G0031390-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:65820790:65821736:1 gene:Sspon.06G0031390-1C transcript:Sspon.06G0031390-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPQVIIVTLAVLGVLAALPLCKGNKEEAGAAVAGDASASSWPCCDDCRLCNRKNPPDCQCNDISLHGCRPECKKCVRYTLTADDDGIQMPATAAGRPGPVRTYRCADVLTNFCERRCTPAPAAAFLGEAF >Sspon.08G0011080-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8A:48477306:48479328:-1 gene:Sspon.08G0011080-1A transcript:Sspon.08G0011080-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIISDFKEDEAPQQAAAAAAGPGVEESLVAALERSGGALPFLQAAIDVAHRRSGLFRDPSAVSKVTAMAAAVRAQVEAEERAAREAKRKAEEAERKAAAEAQKAAAEAAATATAEEKPESSVEKDSMEVDKKEEGNVRQPNAGNGLDLEKYSWTQQLPEVNITIPVPQGTKSRFVVFDIKKNHLKVGLKGQPPIIDGELYKPVKVDDCFWSIEDGKTLSILLTKHNQMEWWKSVIKGDPEVDTQKVEPESSKLSDLDPETRQTVEKMMFDQRQKQMGLPTSDEMQKQEILKKFMSEHPEMDFSRAKLA >Sspon.02G0016870-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:47523109:47524326:-1 gene:Sspon.02G0016870-1A transcript:Sspon.02G0016870-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding PMHGKPTNLQLEGSGSGSGLSMRGDAEGVGVGRQTLEAMPTPASVHGKVNNAGGSSSSSSSSIPWNYRGSMPMYSRSKEQALQQLSDLLSLWSSTVSSTSDQSMASSVAGSCQGWAAVPVLANKFDMMSIGGSSCSAGPQTPWNYSSLSVENSTPAPALPKLILGGMDRPGLAPRSPALGAGASSSMSRRGCTKEHFFSEADMEKVNKDNRLKELMKTEPKRVSRILLNREYVARLKVQKANHMQDLQRRANALKMECTSLSAQVQSHQEMVDSLKTGNRELQIKLKGLNEQANLSQGELVHFCLNSRVNSFKFRS >Sspon.02G0033480-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2C:8400201:8402033:-1 gene:Sspon.02G0033480-2C transcript:Sspon.02G0033480-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVRGGGAAARPEHLGAHARLVKSACPDAFLVTTAMRGYLRACLPLQALLLLRSLLPRAPRLLGNSFSLSLALQATAALSGSVPAMLGLGLGAASLHARALKSGFAAADLFVRTALVEAYAKAGRADLARAAFDEAPRRDVFLCNVMLAVYVARGEVAEARRVFDGMRERDMVSWNTMIHGYAVNGEVALAREMFDGMQVRDAFSWSSMMSAYAKGRRSKDALELWREMRAACVNPDCITMVSVLSACGDMGALTVGAEVHQFVGSNGVELDVKLGTALIDMYAKCGDIENSVRVFHSMPVKDVLTWSSMIIGLANHGLGHDALSLFSRMLSEGLQPNEITFIGVLISCTHLGLVSDGKKYFSSMSVVHGVTPKVEHYGCMVDLLGRSGHIEEARQLIRDMPFEPDAVIWRALLGACRIYKNVEVAEEAMAKLRVLDPHADGHYVLLSNIYAQANSWEGVAEMRRTLRRESIQRIPGRSSIEWQNTIYEFVSGDRSHPRFKEIYKMLEEMMDRLRQAGYKPMTGLVLQDIDEQSKERALAEHSEKLAIAFGLLTTPAGSTLRITKNLRACEDCHSAIKLISLLYERKLIIRDRNRFHHFSEGQCSCKDYW >Sspon.03G0041990-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3C:48753379:48754175:-1 gene:Sspon.03G0041990-1C transcript:Sspon.03G0041990-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLDISCIQIAITLLVFTHTKSSTEASTHRNDTQDYKRCIAHERSALLAFRAGLSDTANRLSSWEGDNCCEWKGVQCSNTTGRVVTLDLSGSSLVGRIPPQLGNLSNLRYLNLHSSSGNTHSTDITWLSRLSSLEHLDMSFVNLSAITNWVSVVNKLPSLSGRVIFD >Sspon.02G0015970-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:43846883:43850914:-1 gene:Sspon.02G0015970-1A transcript:Sspon.02G0015970-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MESEPPQRWAATYTKQVKQKRKAYQDGALLLYPASGRLVLLDDAGDTLESRFLRSSEEISAGAAVTFQAHLVDVGESEDGPARYTSSSASTYAAAGSRNARRGGGARARPPSSGRVFPPRVPRTFVNPSKSHGCGDGGEGEAAGSGSGRADVAESKFQGLRAICSMHDQRSVDVYEWTALYTAQLTQKAKKYHDGLVRLVQIGPQVKQIVLLDEDGQVLCSRHLKSGESVASGKKCHFPNYLVDICEAKNQNKEHTSEESMVHTKPMNGKSTSNKMGIGALSESQKFISPHPQKFHGTWLQILRTLTQKSLLALASQRLTMLKQCLLTNQGALSKQIQISKIVLLDEYGEELCSRYIKSVESVESGKRFQMPNYLIDVCEFRNQKNEPGHSSKEALSQTGLRNEETTSDKLSGKSKSPKFVSPFKCQDLRKSHWGSTTSSNRPQIGPTSSNLDAPPNFNDLQDGKSGCPRSFDRREVGKSTFGNMDHSVRTEKNSVVDDSNRSFGGRETSGSSHFATQLRSSIQSCLNLETLPRKNSIGDHQWNESSGNNYSTYDDPNIRRPAAFEGQNLAMVDTLASNVSNAKGQKLDSSNQHSGSSSDTVPVMNIVTDTGFQDGRSGTADQ >Sspon.08G0011710-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:48348742:48354024:1 gene:Sspon.08G0011710-2C transcript:Sspon.08G0011710-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)H dehydrogenase C1 [Source:Projected from Arabidopsis thaliana (AT5G08740) TAIR;Acc:AT5G08740] RLVLALGAEAKIDVVPGSAEYALPFTTLEDALRVESKLKMLERKRFGKSSPTIEVAIVGLGYSGVELAATISERLKNTGTVKAINVQTTICPTAPQGNRDAALKVLESRNIQLFLGYFVTCIKEASASDDSSSTVTYSEVDGDHRKLILDLQPAERGLKGQTLEADLVLWTVGSTSQIPRLQPPDAPYVIPLNGRGQVETEETLQVKGHPRTFAIGDSAALRDPSGKLLPATAQVAFQQADFAGWNLWAAINDRPLLPFRFQNLGEMMTLGRNDAAVTASFIEGLTLEGPLGHAARKLVYCLRMPTDEHRVKVGISWFTKTAIDSLASVQNAVANSVPPPIKMGDAAATNPSSPTAAMDPDSEIVFDFQPYLCQYKSGRIHRPGGAPDAPAGTDPATGVVSKDIRAGPASARVYLPPGATGKIPVIVYFHGGGFVVGSPARPGTHNYLNDLVARSGAIGVSGYYRLAPEHKLPAAYDDAWAALRWAVTLGDGEDPWLLEHADLSRVFLAGCSAGANIAHNTAVRASAAGALPDGVTIRGLALRGRERRDGVRPRDPAVHGPDLAVRGLGDGGAGRPAREPVRGRRGAQGLRGIPCERVLVCVAENDFLLKERALWYYREIKASGYAGEVELFESKGVGHAFHFDMLDSEQGVELQERTVAFIKK >Sspon.02G0054600-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:115311936:115313542:1 gene:Sspon.02G0054600-1C transcript:Sspon.02G0054600-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAANCIIQCAMFLVLLCLVASSSELIPLVHGQLVDDSPGFISIDCGVAANRTYTDESSSRLHYVSDAGFTDAGLNAGVGQQYNYPDLAEQYRTVRYLVRAAFYYGNYDGLYRLPAFDLHLGANRWATVHVTAVDGVYILEAVALSPSDFLQVFGLQFEAK >Sspon.07G0029060-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:73712896:73715226:1 gene:Sspon.07G0029060-3D transcript:Sspon.07G0029060-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNGNFPRRDYSIVVLRSLDNHDPLKAKTTMNRSKHINNSQSPQFKAMAGLVHRPKDFDGLDGYNDALPSRLKRQVSLDNGGGFIVDNHSDRELTIKETEEASPKQMKKQRNKEAKGDDTGDRNPMGEINGDVYPFGVKDYSERNLTYGPMKRQRGNTKTNEDEAIDNGDMELMEVEDVEAHDCRPKNKKKRGIESNEVDDGKADDCFAKKKLESLPKDHVSLDCTNEATHPEKLTHRKLKKWRQDIMRNEDEELHVDGGDHSPLDADDDSSRLTSQAPIPIDCLAEVPAQFVLEHVKKQCNYCSKPIDEPIWSGILKIDGEEYISLAGHLSTKSCDKVWELSRSLRPVVEVTKHSRHDEDLDKLVKEVIEDDLVLQTVIGEAEMLIFPSTLLPERYKTFQRKHYLWGVFRPRQDQCAEVAEPVHDTVWCAQEKEKEEQHASNQQDEVQEVHWKSPAKSMQQAAATIGVLGSPDMDFGPEAPEERQLGDALRHTLHRAEATAVATNPAAVTTEAAAVATDPAIVTTEAATIPTEANENDTNAATIPGHHGRSDSIVGVPPGKFFCFVAGQTPKLEQLIQEMQREGSLVLAIRGEPIGGGLWPETSPPLI >Sspon.06G0010370-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7C:67324909:67325369:-1 gene:Sspon.06G0010370-2C transcript:Sspon.06G0010370-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VPQTCARLHTRIPNLLSCNKEDNVTYADSHEKWKDTQESCRKYQASCMKEANPVPLAPCFLFFLYLSHSSIPISRGKPAAAAVENEITMWQWLRTIPAAAEANYGIKANGCVGRHSISGRQRRRLATGSPAPPACATRLCGTIPALELSYTL >Sspon.07G0003980-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:6105392:6114303:1 gene:Sspon.07G0003980-3C transcript:Sspon.07G0003980-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVDGGVEGIHEADRMDECLTPGIQLPPDSTDEELRELLSDGVVLCRVVNTLIPGGSWEGYAPSDQRLSNVKKFLSVVADMGLPGFSVKDLDEGLMSSVVECLLVLRDSVDPKLGGNIPPDVTRTPSRKQWGVLEMDKPQVPGAALGKRSPAEDKRNGVADPKAQQKTPVFSGQKFREVFQLKHGSYSDLPAAKISEMMHSNSLDNASTQSLISVVNGILDESIERKKGEIPHRVVYLLRKVVQEIERRLCIQAEYIRSQNVIIKTREQKYCSKIKALEILVNGTNEENQMAINRLQIVKNEKSKIEERRKLSEQDVHRLMKEKEHSENIIANLKKDMEAMNRLHEQQLEQIERKAKEMEEQLTTRIKEVECLLLQSNKKVEEVEIASRLKSQLWDKKENNFQSYMDNQQLIIKDIRILSRSYENDMYSLQMQWRNEISNLGSGLKCLVDAAENYHKVLAENQKLFNEVQELKGNIRVYCRVRPFLSGQDKKSTTIDYMGENGELLISNPFKQGKDGYRMFKFNKVFSPFASQAEVFSDIQPLIRSVLDGFNVCIFAYGQTGSGKTYTMSGPSTSKQDWGVNYRALNDLFDISLSRRNAFSYEVGVQMVEIYNEQVHASLHSVKSTSDVLDLMEIGQANRAVGSTALNERSSRSHSILTVHVRGLDLKNGSTSRGCLHLIDLAGSERVEKSEVTGDRLKEAQYINKSLSALGDVIFALSQKSAHVPYRNSKLTQVLQSSLGGQAKTLMFVQINPDAESYSETISTLKFAERVSGVELGAARSNKEGKDIKELLEQVASLKDTISRKDMEIEQLQVIKDKVKSPNLLLDRNGPGLTKITVDQPSQLLSGERMFKSSDRVLSDPQSYGEVNGDSNHNLTDTAPVSLDEAEYEDNASDDGLSGETENYNSAAEMIVERPHRFPSRISRFTLTKNGQPSMSRSKSKDAKTPSNTKAPPSQFTGGSSVRGSKRWQ >Sspon.01G0009060-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:53409257:53412661:-1 gene:Sspon.01G0009060-3C transcript:Sspon.01G0009060-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AMRPLALLVLAHLAALVAAAEAKGGAAGAGLGDDVLGLIVFKADVSDPDGRLATWSEDDERPCAWDGVTCDARTGRVSALSLAGFGLSGKLGRGLLRLEALQSLSLARNNLSGDVPADLARLPALQTLDLSANAFAGAIPEGLFGRCRSLRDVSLASNAFSGGIPRDVAACATLASLNLSSNRLAGALPSDIWSLNALRTLDVSGNAVTGDLPIGISRMFNLRALNLRGNRLTGSLPDDIGDCPLLRSVDLGSNSLSGDLPESLRRLSTCTYLDLSSNEFTGSAPTWFGEMASLEMLDLSGNKFSGEIPGSIGGLMSLRELRLSGNGFTGALPESIGGCKSLMHVDVSWNSLTGALPSWVLSSGVQWVSVSQNTLSGEVKVPANASSVLQGVDLSNNAFSGGIPSEISKLQNLQSLNMSWNSMSGSIPASILAMKSLEVLDLTANRLNGCIPASTGGESLKELRLGKNFLTGNIPAQIGNCSALASRDLSHNNLTGEIPETISNLTNLEIVDLSQNKLTGVLPKRLSNLPHLLQFNVSHNQLSGDLPPGSFFDTIPLSSVSDNPGLCGAKLNSSCPGVLPKPIVLNPNTSSDPISPTEPVPDGGRHHKKTILSISALVAIGAAALIAIGVITITVLNLRVRAPGSHSGAVLELSDGYLSQSPTTDMNAGKLVMFGGGNPEFSASTHALLNKDCELGRGGFGTVYKTTLRDGQPVAIKKLTVSSLVKSQVEFEREVKMLGKLRHRNLVALKGYYWTPSLQLLIYEFVSGGNLHKQLHESSATSCLSWKERFDIVLGIARSLAHLHRHDIIHYNLKSSNILLDGSGEAKVGDYGLAKLLPMLDRYVLSSKVQSALGYMAPEFACRTVKITEKCDVYGFGVLILEILTGRTPVEYMEDDVIVLCDVVRAALDEGKVEECVDERLCGKFPLEEAVPIMKLGLVCTSQVPSNRPDMSEVVNILELIRCPQDSPETELG >Sspon.05G0015900-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:58594545:58597460:1 gene:Sspon.05G0015900-3C transcript:Sspon.05G0015900-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRSKKPKSSNLASHRRFELLDLNKSSSSLNMSTSSLRSVGEETRKGGGVVAVQASRRATTVRFAPPPLSSATKASSGPVSHSQSQQALAMARPATASGARPGSASGTRCRTPAGRLPEPTGPKAMRRSWGWTAGESDAKEPAASGNPVVSKVMAKTHSRSSSAPRRLPAEEKEKPLPKRGSKIMTTSTSKTKTNLGTPPKTETHGSRSPPGVAAAAARTNMKIPNSVSPKNMDTVSPSPCTKTSVATIGACWESLPSDLQNLGLEVMRYRDDAEAAAVQALHQSSAAEILLRCLSAFADLTSAVAELSPQQTVDEFLALHGALAAAVPRDDKREGHATAGAWLRAAVTTDLAHFSLLYSVTSPSKSSGAASPTVSPASLPPPLAQRPMPCGEAGAEESWMEAAWRALGEEMRAWFLGHVERLLDGDVAGTLGQLKRVNDWLDAVGLGPESDAVER >Sspon.08G0021860-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:793728:794105:1 gene:Sspon.08G0021860-2C transcript:Sspon.08G0021860-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVDETPIRVVRNLRSTVPGYEFPAKPMLIRASIWDGSSWATDGGRTKVDWSKAPFTARYQGFDVSGCATGSGTPCDSPALWWNGGGYRDLTAQQRAAYEGVKKKYMISDYCANKKGRKIECSYA >Sspon.05G0010860-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:22580616:22583385:-1 gene:Sspon.05G0010860-3C transcript:Sspon.05G0010860-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LTSPSLRRTEENRDGRRRRQAGPRGGGSIRDRLSALPDELLLRVLSFLPAQQVVRTTVLSKRWTDLWRSVPGINLSFLDFRSNLEEDWSAVWERMDDFVNNLLMLHRAPCLDAFRLVSVVDFDRHVDRWVRRAIKDDPLLPYLSSSPCRRLRSLHLNGVSLDHSFAERLHSWWPELKDLTLRNCCTVFCGIESDKLENLVVRYCIDQPADVFAIKAPHLASLSVQLGVPFSLDAGNSLVRASISLNHGEFSPRSGAMLLGSLFNVTSFEWEYFQATAVLDEVFDEVPIFHNLRTLSLNSLFINNNKSGVCELKALGRFLHKSPNLEKLMLEGFKVVPVVDHIDFPILKNLRTLCLHFGNLNDNFQILLHCLRNSPNLEKLEILHCKLSDDSVGGKGKAQLKKTYSQSRNLARFRCLKLEYAEISHYAEEAGVRELVSFLLDFAAPTITIKVTSL >Sspon.02G0021710-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2B:71453966:71454841:-1 gene:Sspon.02G0021710-2B transcript:Sspon.02G0021710-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVKELPPLQVRDLFDPSKLPNKEIVHKILNRATETTTNSSGAILNTFEALESHELEMIRDQLAHKGIPPFAIGLLHKLITSNTETSLLNQDRGCIEWLDTQAPGSVLYVSFGSVVHVTQDEFMEMAWGLANSGNPFLWVVRRGLVLGVDKQELPDGFMSAVEGRGKVIEWAPQQEVLAHPAVGGFWTHNGWNSTLESIYEGVPMLSRPIFGDQLPTARYVHDTWKIGILLEGVLERGEIEKAIKKLMEEDEGDCIRERAKDLKAKVRMCLESSGSSQQAIDKLVDHILSL >Sspon.03G0006610-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:9898875:9900422:-1 gene:Sspon.03G0006610-2P transcript:Sspon.03G0006610-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVSAECAGGGRVRKEVDLFLVDDLLDLPCDDEEEAQEAVVVGDGEGDGSKQQAAAVLGRACGGGGEEGAAGNASNDSSAVTTALDSCSNSLSVSGLADGDFSGGLCEPYDQLAELEWLSNYMGEDNFPTEDLKKLQLITGIPPAGTGTATAPAPAVVAAQAQAQPAGGVLPPEAPVPGKARSKRSRIAPCSWASRLLVLPPPPASPPSPASAAISPSESGTAAPAFPAKKPSKPAKKKEAPTTPVPNNAAAAAAAAASAAAAGEGRRCLHCETDKTPQWRTGPLGPKTLCNACGVRYKSGRLVPEYRPAASPTFVVSKHSNSHRKVLELRRQKEAHLHPHPHPHPHPHHQYQPQPPQAALAHVGGGALMHAPTPLMFDGPAGPLIGDDFLIHNHHIGPDF >Sspon.05G0011300-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:32263372:32265744:1 gene:Sspon.05G0011300-1A transcript:Sspon.05G0011300-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATFCAGPAASAAANPSSAGCRRQSLARAGVLPACWRPTRPTPAFLSLRRPNAELRPLRVAAGSGVGPKVVNGEDFRPMKDLIQLYRTAFLEGNDEVLGEVEKAITAVEKEKSRVASQFESITTEITSGKEKFIRLNADLENFRKQTEKERAKFTSNIRVEVVQSLLPLVDSFEKTNLENTPETEKEQKISTSYQGVYKQLVETLRYLGVGVVETVGKPFDPSVHEAISREASMQFKAGIVTHEVRRGFHLKERLLRPATVKVSTGSSKQSVSS >Sspon.05G0019450-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:72322274:72323107:1 gene:Sspon.05G0019450-2P transcript:Sspon.05G0019450-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSVEPLIVGRVIGEVLDSFNPCVKMIVTYNSNKLVFNGHEIYPSAVVSKPRVEVQGGDLRSFFTLVMTDPDVPGPSDPYLREHLHWIVTDIPGTTDASFGREVISYESPRPNIGIHRFIFVLFKQKGRQTVTVPSSRDHFNTRQFAEENDLGLPVAAVYFNAQRETAARRR >Sspon.01G0026870-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:89738170:89740959:1 gene:Sspon.01G0026870-2D transcript:Sspon.01G0026870-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLSDLINLDLSDCTEKIIAEYIWVGGSGMDVRSKARTLSGPVDDPSKLPKWNFDGSSTGQAPGDDSEVILCPRAIFRDPFRKGENILVMCDCYEPNGKPIPSNKRHGAATIFSHPDVKAEEPWFGIEQEYTLLQKDTNWPLGWPLGGYPGPQGPYYCAAGADKSYGRDIVDAHYKACLYAGIDISGINGEVMPGQWEFQVGPAVGVSAGDQLWVARYILERITEIAGVVVSFDPKPIPGDWNGAGAHTNYSTKSMRSDGGHEVIKKAISKLGLRHREHIAAYGDGNERRLTGRHETADINTFIWGVANRGASVRVGRDTDKEGKAGYFEDRRPASNMDPYVVTSLIAETTILWEPSHSNGKDAVAP >Sspon.04G0033940-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:67500235:67504687:-1 gene:Sspon.04G0033940-1C transcript:Sspon.04G0033940-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSMPPPLPLLCSSVFVVLLLLLCRPLVANGRATPTGASPPSPEGPSAAQPALQPAPAANANGAAAVLPAAAAPPPLGVIVVERHHHLRTELIAAIVLSSVASVVIALAALYAFLLWRRSRRALVDSKDTQSIDTARIAFVPMLNSFNSYKTTKKSAAAMMDYTSLEAATEKFSESNVLGVGGFGSVYKANFDGRFAAAVKRLDGGAGAHDCEKEFENELDLLGKIWHPNIVSLVGFCIHEENRFIVYELMENGSLDSQLHDFSAKISDFGLAVISGNHSKGNLKLSGTMGYVAPEYLLDGKLTEKSDVYAFGVVLLELLLGRKPVEKMAQSQCQSIVTWRSII >Sspon.07G0032280-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7C:47460289:47460538:-1 gene:Sspon.07G0032280-1C transcript:Sspon.07G0032280-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRERRWRSASDESKMGNAVSGLGRGGRTVEGHPVSETPGAEASGESEVPRDPPCGRPRTRRGHGLIYSRGLLEAQQGEIWPMG >Sspon.01G0024710-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:88007563:88017312:1 gene:Sspon.01G0024710-1A transcript:Sspon.01G0024710-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLECLRRGLSLVQQPTTRVLARPYLHPARLFLHHFAADAMGEGSSAGKDAKAKGKGKSKAPAADSVLVVRDDSYLEAVTQKRIRLFEEIQARQAVERLNISGEVIKVTLPDGAIKEGKKWITTPMDIAKEISSGFASSCLIAQVDETLWDMGRPLEGDCKLQMFKFDTNEGRDTFWHSSAHILGESIERAYGCKLCIGPCTTRGEGFYYDAYYKDQTLNEEHFGIIESQAKKAVAEKQPFERIEVEIINELPEDKTITVYRCGPLVDLCRGPHIPNTSFVKAFACLKASSSYWRGKVDRESLQRVYGISFPDSRRLTEYKHFLEEAKKRDHRILGKAQELFFFHELSPGSCFFLPHGARIYNKLMDFMRQQYRARGYQEVLSPNMYNMQLWETSGHAANYKENMFVFEIEKQEFGLKPMNCPGHCLMFANRVRSYRELPLRMADFGVLHRNELSGALTGLTRVRRFQQDDAHIFCREDQIKDEVKAVLEFINYVYEIFGFKYELELSTRPEKYLGEIETWNKAEQQLTEALNEFGKPWKINEGDGAFYGPKIDIGVFDALKRKFQCATLQLDFQLPIRFKLAYSAEDEAKIERPVMIHRAILGSVERMFAILLEHYNGKWPLWLSPRQAIVCSVSSGSVEYAKQVLATLHEAGFHVDIDASDRTIQKKVREAQLAQFNYILVVGAQEAETGNICVRVRDNADLATMSVDGFITRLKEEIM >Sspon.01G0000560-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:1084372:1091602:1 gene:Sspon.01G0000560-2B transcript:Sspon.01G0000560-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPSSSMRAASSMVYPVYLSLYHHPNSHHACFRPSRANHDNTHKCTKSPKILRRSLFSLPASFLLLHTSSSLAVDDTNTPSTSTIDTTITDRIFMDFSVCPSYFRSDRPLGAELSSCPDSEPLGRVVFGLYGRLLPVTTANFKATCTAAAYRGTLVHKLLQGQFFAAGRQGSRRDKGEVEPPSGLVRNSETVNPKAFELRHARPGTLSLCLGQNDDDDDIKLNPNYHNVEFLVTTGPGPCPQLDGQNIVFGTVLEGMDVITSIATIPTYKPAERIRLFNDFAQLIGDERAQTARAMWDRPLKTVYISDCGELKYSEETESEVLSFLMLIGMDVITSIATIPTYKPAERIRLFNDFAQLIGDERAQTARAMWDRPLKTIYISDCGEL >Sspon.01G0011720-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1A:31842289:31845295:-1 gene:Sspon.01G0011720-1A transcript:Sspon.01G0011720-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKNWSVRTLVRTQRPPAVDAGLPGASSPWLLLRLRRLTPATKPGVTRVPIRARLNLHGGTCLTSPKHTGMSWEYDGDCQSGTTRSPHLWELGPLISRGVVPAGHINKLTNYESLDHDPLSTCAYFTSCKCLKMKSSLVSN >Sspon.04G0000170-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:983736:984470:-1 gene:Sspon.04G0000170-2C transcript:Sspon.04G0000170-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTRPDGSGSAAPADKQLVPVSNGNGALAVRKAPSKDRHSKVDGRGRRIRMPIICAARVFQLTRELGHKSDGQTIEWLLRQAEPSIIAATGTGTTPASFSTSSTSPHPLAASPTGGLQHAAAAAPFILGKRFRGDGADAEPTVAAPAPALLGAGRLRPALELRGRAGDDGGRRRAGHGRGGVRRQGRQLPPRRAGQPQPARLLLRRAGSHGGRRPDRGGKPHAERLRVLVISLIPRFPRPVRRR >Sspon.03G0007850-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:21285645:21287150:1 gene:Sspon.03G0007850-1A transcript:Sspon.03G0007850-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding EDGGQEAADRRSRRGRGRSHGGAPAVRRRRGQVRGDGRGGRRPRGRAGAHVRVRRPPRRDGRHVDSGDRREPRVRARARRRRARLREGPPPLRAHGRRVPGPRAHGRRGRRGGRRGPGGQADPGAVQGHDGGRARRRVQRRRRRRGVPAARPPSVPGGAPGRRRQGAGGGRGRATRHAHQPGADGHLRRRPRRPRPRRRGRVPRLPRRARHDPRWVLPRRRPPRRGAPAGHRPPRPPPPPPRLERHPRAPSLRRRWRDGDHRRPRHPHGVAGRPQGEPRQGRPRRGRHRLRPTTPAVQARRRREARLRRRGQAVHGAGGRASGEIGWWRRPPASRVCATRVPVPAHGVPGARVEDPVVDARHRVDLPHPCGLQRRAGVVRRAGGPAPRVAPRRRGHPRSPRHAGLLPPGPVTMAVEADQEERVGDGPALPGVLQLRDRRVQRRGPRPDGRAAATRVGRWWYAAASRYVRRRGDAPDALLDDARRVPERCEGGRAVAAALQLL >Sspon.03G0025980-3P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:35425374:35428105:1 gene:Sspon.03G0025980-3P transcript:Sspon.03G0025980-3P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATSSRHPAALLVLVAAAAVVALAAADDVEVEGRYPPLAPGLSFDFYKKSCPKAESIVREFLTSAVRQNVGLAAALIRLHFHDCFVQGCDASVLLDSTATQPSEQLSPPNLTLRPAAFKAVNDIRARLEQACGRVVSCADIVALAARESVALGGGPAYKLPLGRRDGLAAASNETVIGALPSPTSKVPTLLSFLAKINLDVTDLVALSGGHTVGIAHCSSFNNRLFPTQDPTLNKFFAGQLYGTCPTNTTVNTRVNDVRTPNTFDNKYYVDLLNREGLFTSDQDLLTNATTRPLVTKFAVDQNAFFEQFVYSYVKMGQINVLTGSQGQVRANCSVRNAGAGGDELPWSVVETVVDAAGSLVL >Sspon.04G0035970-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4D:21272620:21273327:1 gene:Sspon.04G0035970-1D transcript:Sspon.04G0035970-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGIVRRSCPGTSGARSYPFPVDPPQSRSDPASTVISRTRNHDPYIDHRESHVHYSTPDVLPSRHQHHHDAPGMAGTHQGTSPQQHDSARFVFPSKASPRVCCKPYPPLESIKGEGKELSKGRRGHDRGRKNKTGISHASEINISSNTPLYSFFETWDRLPLSRLITPTQALKCKEIQYSPSPAGRRTFFCPNQDKPLCILFASSSVVGNTQHKFTRRLRALPGPNTDSTVQHKQ >Sspon.02G0022420-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2A:75332106:75334925:1 gene:Sspon.02G0022420-1A transcript:Sspon.02G0022420-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLLRLTTRRLLQLLLLISAVATTSAAATLYPPGHDPWPDDERALVAFKAKISGHSGVLDSWNQSTSYCSWVGVTCGRRHRWRVVALNLSSQGLAGTISPAIGNLTFLRSLNLSYNSLHGEIPAGVGSLRRLRLLHLGQNTLTGVVPSNISRCISLREMYFYSNKGVQGIIPAEIGNMPSLSVLSLFNCSITGTIPSSLANLSRLAALSLSWNFLEGPIPAGIGNNPYLRFLQLSGNNLSGLFPPSLFNMSSLYYFYAADNHLHGRLPPDLGKSLPSIQQLGIGGNRFTGALPPSLTNLSRLQILYAGLNSFTGIVPSGLGGLQNLEVFALDANMLEANNEEEWEFIGSLANCSRLHHLSFGWNRFAGKLPGLLVNLSTNLQYLQIYNNSISGVIPLDIGNLAELDMLDFGKNLLTGVIPESIGKLTRLRQLFLDSNYLSGHLPFSIGNLSSLLQLYADGNSFEGPIPPNIGNLSKLLVLDLSINKLNGLIPTEIMQLPSISIGLRLSDNMLEGPIPLEVGRLVYLNELRLSGNKLSGEIPDTFGNCRAMEILYMDGNSIQGSIPSTFKNMVGLTVLDLTDNKLNGSIPGNLATLTNLQELYLGHNNLSGTIPELLGNSTSLLRLDLSYNNLQGEIPKEGVFKNLTGLSIVGNNGLCGGIPQLHLPKCPSSCVRKNRKGIPKFLRITIPTIGSLILLFLVWAGYHHRKSKTVPKKDLAPQFAEIELPIVPYNDIMKGTNDFSEANVLGKGRYGTVYKGTLENQAIVIAVKVFHLQQSGSYKSFQTECEALKRVRHRCLLKIITCCSSINHQGQDFRALVFEFMANGSLDRWIHPNLDGQNGHRALSLSQRLDIAVDIVDALNYLHNGCQPSIIHCDLKPSNILLNQDMRARVGDFGIARVLDEEPSKHHVNSSCTIGIRGSIGYIAPGNSSYFISCFKL >Sspon.02G0037720-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:30780908:30782038:-1 gene:Sspon.02G0037720-3D transcript:Sspon.02G0037720-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKCLCLYLLVSLLLLLAVAAALLAVFITKLKKPAFYLQSIQMDRSFSLTSSNRSANGTAAPCAVASLVFAAQNLNGIGIRYGATVLDVAYANESVGAMDVPAFYQPPRSANVTVLMHAVLAQRNVTRLLVSELSAQRSYMEIRVAGRIDARTHIMNSPLPKIQFSLDCTFGTNYTDIVLREGIESVMTRKALLVSSLPHLSQKCSIKINMRPRRAKGRNLDDL >Sspon.05G0004080-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:12457175:12459864:-1 gene:Sspon.05G0004080-1A transcript:Sspon.05G0004080-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGQRSPRLPERGSPKIQDESLTVPLIQDKKTGSKAPAVVLGFECLESTAFNGIGTNLVVYLETVLHGSNLASASSVTSWFGTSYLTPIFGAIIADTFWGNYNTILVSLLVYLLGMMFVTFSAFLPATELCGVGSSCHPVLGSQNIAFLGLYLVAFGSGGVRAALLPFGAEQFDDDNAVDRERKMSFFSWFYICVDFGMIISGVFIVWIQQNISWGLGFGIATACIALAFGGFVLATPMYKRRMPTGTPLKSLGQVVVATFRKVSLRVPADASLLYEVHDKIEQPKIAHTDEFGFLDKAAVVAESDLEEVTNDATAAAAGSSSWRLCTVTQVEELKILLRLLPIWATSIVLSAAYAQLNTTFVQQGGVMDMRVMSLTVPAASMVSFEVLCVLAWVLINGAVIVPALRALSPARGEPSQLQRMGAGRLLMACAMAVAALVEMRRLDAAGRGESISIAWQMPQYFVLAGGEVFCYIAQLEFFYNEAPETMKSMCTSFALLTVALGSYMSSLIYAIVDALTASGGRPGWIADNLNEGHLDYFFWTMAALCTLNFVVYSGFARNYKVKTVVS >Sspon.01G0032460-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:113151611:113152564:1 gene:Sspon.01G0032460-1P transcript:Sspon.01G0032460-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSAENDPTTVTERGKDKHEDGDKEQGSGGGFIEKVKDFIHDIGEKIEEAVGFGKPTADVSGIHIPHISLHRADLVVDVLIKNPNPVPIPLVDIDYLIDSDGRKLVSGLIPDAGTIHAHGQETVKIPVSLVFDDIKSTYKDIQPGSIIPYLVRVVLLVDVPIIGRIKIPIQKDGEIPVPYKPDVDVEKIKFHHFSFEETTATLHLKLDNKNDFDLGLNLLEYEMWLGDDSIASAELTQTAKIEKQGITRMQVPFSFRPKDFGSAVWDMIRGRGTGYTIKGKIDVDTPFGNMKLPISKEGGTTRIKKDDDDDDDDDN >Sspon.03G0004510-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:11494966:11496615:1 gene:Sspon.03G0004510-1A transcript:Sspon.03G0004510-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAKKIPAALGFPSLPALPCVLLGCSVAINLHAAKNHPASLSLWFLPSSRRLQAPHRGHLVPAARTAVTLLRLHLFLREPRVKGRDRFKSRRRLRLHPTTQTPPVVFNPLPRCLLAAETRETSRVEERQGGCFAGCGLHIHPWTSGTAAEFVALDIRGEAESPPNDPQLKLLESSFVAAKEFERDRRADVNSSSTGQCAQSPHVRSPRTSLARLLI >Sspon.03G0028010-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3B:7761596:7761695:1 gene:Sspon.03G0028010-1B transcript:Sspon.03G0028010-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SQGGAVLHDVGVWCVLVGGGAWRPRKGLIWWR >Sspon.08G0004580-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:11103295:11105373:1 gene:Sspon.08G0004580-4D transcript:Sspon.08G0004580-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein transport protein Sec61 subunit gamma-1 [Source:Projected from Arabidopsis thaliana (AT5G50460) UniProtKB/Swiss-Prot;Acc:P0DI74] MDAIDSVVDPLRDFAKDSVRLVKRCHKPDRKEFTKVAARTAIGFVVMGFVGFFVKLIFIPINNIISFSVAVYSLGDGKRDLVGLVF >Sspon.01G0026490-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:74746445:74747991:1 gene:Sspon.01G0026490-2B transcript:Sspon.01G0026490-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMSKRTASRALLVMTATVMILHRTLCVAASPATPVTAPPATKQTRTPALFLFGDSIVDTGNNNGIITAIRCNFAPYGQDFPGHNATGRFSNGKVPGDIVASRLGIKEYVPAYLGTELSDFDLLTGVSFASGGCGFDPLTAELVSVLTMDNQLDLFKEYKEKLKRVAGAHRAADIVSTSLYLVITGTDDLANTYFTTPFRRDYDLESYIEFVVQCASDFIKGARRINIAGAPPIGCVPSQRTNAGGLERECVSLYNQASVVYNAALEKEIKRLNGSEALPGSVLKYIDLYTPLLDMIQRPDAYGFDVTNRGCCGTGVFEVTLTCNRYTAEPCGDPSKFLFWDTYHLTERGYNLLMAQIINRYG >Sspon.07G0024720-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:26186208:26186935:-1 gene:Sspon.07G0024720-2D transcript:Sspon.07G0024720-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGSPCASCKLLRRRCTKDCIFAPFFPADDPHKFAIVHKVFGASNVSKMLQELPVQQRGDAVSSLVYEANARMRDPVYGCVGAISFLQNQVSQLQMQLAVAQAEILCIQMQRPDDGGGGGGSDDVDVVVPPSSLVVGAGGGADHHHMTMAMQQQAMTMATDDVDAFLMQNAAGAGAIIPPQLMGMGYGGAASAGAMGETTALKRESLWT >Sspon.08G0029210-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8D:28675187:28679391:1 gene:Sspon.08G0029210-1D transcript:Sspon.08G0029210-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPAEKLRKCRRSWQGTRAEVAHLFEQLPHNLPPSTTTGQCHPSAKDSLSLTSALIPLAGEERRHEHDNGILDDRRNEERFLIIRFCPDNFFEVEAFVSSALELLSRYLGVILQCRDGSSQNHSSNTLISELSIASETMACRIKGECENGRICVATPSSQKPNNLAKERAESDGECTSPKVVFGAMISDSYKLLTKILLFCLGEATALAKLVPEIVYQSENMHMLGLHVLRSYAQKELTEGSETKMDSFMMLLTELLGDLFLVMQTTLKELAEVNTISRKLFSHFIQIPSVAIQIKDIMLSAPPERRLQLQDMVRASVSQGQITVPAAMSAHSEQNIQDSSSKNPGSTAGGSDCVATHGKNENEVDDDWDDDWDAFQSLPASANDGVDSGEISLTTRYNEQTPQESSSHGSSSVDITAGAMEDITCVDKELEEPSDLQFSSTEQQAKHELPGSSHEDCDELERHPSVDCKEQLAHNETADELPQVHEDIDQVIEDSAEIHGIEVDVHDDIVEDESPINSNNLSDITEDESKGWDNTSRVDGKFVKDDSREE >Sspon.01G0003450-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:10297283:10297474:-1 gene:Sspon.01G0003450-2P transcript:Sspon.01G0003450-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAVLVAVVLMQCCNVMVAARPLLMEAPAVATADGGWLGMIMQVLQGPGGNPGGWQAPGHQP >Sspon.06G0026570-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:80058478:80065647:-1 gene:Sspon.06G0026570-1B transcript:Sspon.06G0026570-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTHRRRRVIRISRIFTIEFSWPCWITRVSLLFSVQMIDMVSAVEELSGLTSKELGEMLKESDNFVLRSKTEDGGPKQVDMEELVSSLPLHLLAVCLELGQGLDLTYVLRGIRFLHSLSDLASRHTRLEQVLLDDVKLSEQVMDLIFFLLSILAEQKKENNDGASPLVHASLVAASLHLLTSYFTSQWHELVHILLAHPKVDIFMDVAFDSLHEDELSRNGGILSLSHTILKLVVPECLKQSTDLVASISRLKAKILSILLQLCEAESVSYLDEVATNPNSRRLGQTLALEVLNLLKIAFGRKQNITSDSHDKGKIYPMGSVLISALRLVDVFSDDSNFRSSFMTNTVPFLTQILATPHEEFVSSWCSVNVPAIEEDASLDYDPFGASEVALLASDNVLTEAKANYSCPFRPSLPSMAYAQTRTSCVVKIIANLHIFVPNICEEQERDLFLLNFQKYLESGSLKLSADEPASSDFKATKVCRNLGSLSDYAKTLVPNLLNMEDVMLLSDFSDKLQSWCKSQVGQNDTPPESTEDIHPVQQPLLTRTSTPDSKINNLPKDVQNMEVSTPIPPINPEGNDKDGTPKNTVSRNGGFLQNAVGQNLVHLGVARTVSGGSSVVASGVSTGHQRSKMDLDPASSSVDNFKTPELTKENGLQEDEKGESSMYDERQPKRRKRTLMNNEQIDELEKALVDEPEMHKNAVLLQSWSEKLSLQGSEITASQLKNWLNNRKAKLARIAKERGPFEGENADKPSTPATIHVGESSESAGEDNYLPPARVMNALSKGRLVSLDSNEQTSQAELSPNTMLIRPFTRSFSLEPGRLVSLVDSNGKEGQGLPNSREEPAESRVCMVDVTELRTEKWRELPHPSEASGRTFQEAEARNGGIMRVSWDVVRLSPAV >Sspon.08G0013940-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:57607266:57621082:1 gene:Sspon.08G0013940-1A transcript:Sspon.08G0013940-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:H3K27 methyltransferase, Polycomb repressive complex2 (PRC2) key subunit, Enhancer of zeste [E(z)] genes, Long day repression of flowerin [Source: Projected from Oryza sativa (Os06g0275500)] MPIGFGGRCGGDRSDTTITAVQAGEENAASPYVLSVIDSLKKRITADRLTYIKNRIGENKTNLSSYTQRTHNLSKNRQINTLKGTDLASNLLTKRQDDALCTLDSYDIIPVDKDGGNFQDESPFSSSNAIFGGNLGPKNAIRPIKLPEVPKLPPYTTWIFLDRNQRMTEDQSVLGRRRIYYDTSCGEALICSDSEDEAIEDEEEKKEFKHSEDRIIRMTIQECGMSDAVLQTLARYMERATDDIKASSLLFPYDSLMPCMRKARYEILHGEKTKDSCKKGTEHNAEVEDLYRDKDLDAALDSFDNLFCRRCLTEKQTAWSGVDDGVPCGIHCYKLASEPDSVAGVDHMLIDVEEPAHSSDNVMNQPGPNRKKNGSCGRKTKSQQSESSSTARVISESSDSEVHPISNKSPQHSPSPSKVKIGPKGGIRKITNRRIAKRILRSVKKGQREMASSDSNSVSGSSLARDMKLRSDTRNGNKELIVSSQQSSPSTRISKKKSTPQIGNNSASAEVHNDSTEEANNRHSATDGYDSSRKEEFVDENICKQEGYLRSWKAIEQGLLVKGLEIFGRNRCGDGTLGVPNQRGDNYECRNMKLLLKQQQRVLLGRSDVSGWGAFLKNSVSKHEYLGEYTGELISHKEADKRGKIYDRENSSFLFNLNNEYVLDAYRMGDKLKFANHAPDPNCYAKVIMVAGDHRVGIFAKERILAGEELFYDYRYEPDRAPAWARKPEASGGKDDGQPSNGRAKKLAQNTRG >Sspon.02G0026040-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:96386409:96392502:1 gene:Sspon.02G0026040-3C transcript:Sspon.02G0026040-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SRFR1 [Source:Projected from Arabidopsis thaliana (AT4G37460) UniProtKB/TrEMBL;Acc:A0A178V335] LYLDLAYPEKLLNCLEKAIQVDSRSAIKELSIALTYEGSSIECLYLRASCHHAIGEYKAAIKDYDDVLDLELDSMDKFVLQCLSFYQKEMALYIASKANLEFSQFNIDDDVDPLFKYRMAGLAAIEIAQKVSKAWRFLRNPKNIAKLVRKRDKLNMSQNRGGYCSTSTLAGSPTSSPNEDRVSSGISLSWQDVYNIAVKWRQISEPCDPVVWVNKLSEEFNSGFGSHTPMLLGQAKVVRYYPYYQRVLETAKTIMLDLKYVNNAEDRAIFLTDIEKLKKIEIASSCSDLYHVVGETYWVATRCDSMAFRGRRLEGTRITTQNMGKTGFDFAIRTPCTPSRWEEYDEEMSAAWEAICEAYCNDTNPTRDPGMLDAVKDAILRMTYYWYNFMPLSRGSAVVGYVVLLGLFLAANMDITASIPPDVQVDWEAILSPDPDTFVDAIKPWLYPSTKISRCLKDYTDVSIAFSTTGSVVAALTSVDP >Sspon.06G0012250-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:65302786:65305623:-1 gene:Sspon.06G0012250-1P transcript:Sspon.06G0012250-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPIVSAAAAAAAAPPPGAPSFSYLAVFSNCPLVAAVLAFAIAQSIKVLTTWYKENRWDAKQLLGSGGMPSSHSATVTALAVAVGLQEGFASSLFATAAVFASVVLNQIVYELPSEHPLAETRPLRELLGHTPQQVFAGGVLGFAVATFTAMIAGLGS >Sspon.07G0012480-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:50218919:50223106:1 gene:Sspon.07G0012480-2B transcript:Sspon.07G0012480-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVPVPPPPLLASHAAIRAAASAVSHSLRVRLAGDHPPQVAALRRGDWVKLICGASFEDAADVRNLSLVYTLAGVDCIDCAADASVVGAVNEGIDLAASIVPSVQRPWVMISVNDDCRDLHFRKAEFDPEDCPPDCSKPCEKVCPADAISLEHSMVGGEHTRSDPLRGKYEGGVITERCYGCGRCLPVCPYDRIRAVSYVRDPTMTAELLKSNDVDAIEIHTTGMGTDMFNTLWDSLSRSINNVKLVAVGSTVGFRLYPHICIAENVSLPDIGESTVDLMNAMYTIMESHFKGYNLWQHHFANHLDVVGTWHKYDLCTTFEF >Sspon.03G0012580-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3A:34829763:34833500:-1 gene:Sspon.03G0012580-1A transcript:Sspon.03G0012580-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDVVAVVAPLAVPPTPAFSPAAAGLTPIAAAVVGAMEGISVPPVRTASAVDDDALAPEGEGGDASVAGSPCSVASDCSSVASADFEGVGLGFFGAEGGPMVFEDSAASAATVEAEARVAAGGRSVFAVDCVPLWGYTSICGRRPEMEDAVAIEPRFFDVPLWMLTGNAVVDGLDPMTFRLPAHFFGVYDGHGGAQVANYCTERLHVALLEQLSRIEETVCAANLGDMEFKKQWEKAFVDSFARVDDEVGGKAIRGGGGEAGTSNAVVLAPEPVAPETVGSTAVVAVICSSHIIVSNCGDSRAVLCRGKQPVPLSVDHKPNREDEYARIEAEGGKVIQWNGYRVFGVLAMSRSIGDRYLKPWIIPVPEVTIVPRAKDDECLILASDGLWDVMSNEEVCEVARKRILLWHKKNGTSSSSAPRFGDSADPAAQAAAECLSKLALQKGSKDNITVVVVDLKAQRKFKSKT >Sspon.01G0002450-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1A:6605006:6614363:1 gene:Sspon.01G0002450-1A transcript:Sspon.01G0002450-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSMHTTTVHHRGEYKIHVERVVSHHPPAVAGATWIKAGHLHGRVFPHVPLPLHLRERRARPPRLPALQRAVWRELPSVHPSQPSSMPPTLPRQPLPRVELMHGVQQPVPAVQSPSQPAEPEVFDDDEVELPSGEDNQRQAAASSGTLAVKTHVEFSAVARDSSHNNFAVLVHVKAPAYEAAAGDRDAPRAPLDLVTVLDVSGSMHRNKLALVKQAMGFVIGSLGPHDRLSVVSFSSGARRVTRLLRMSDTGKSLATEAVEALRAGGTTNIAEGLRTAAKVLAERRHRNTVLVPPSFVPGTASTGELSAPIHTFGFGSDHDAAAMHVVAEATGGTFSFIENEAVIQDAFAQCIGGLLTVVVQEARIDIACGHPRVRISSVQSGRYESRVDEDGRSASIAVGELYADEERRFLLFMAVPTVEAADVGESETLLLKARCSYREAAGGTHVDVTAEDTVVARPEHAADAERSAEVERERARVEAIEDMAAARAAAERGAHQEAAEILGNRGRAMARAAERVGGYPNGALRAEVSRMRKRVANRESYERSGRAYVLAGLSSHAQQRATTRLLRPLQAVVQPSAGSVGGGEATNSVADAGDAEAVDMGKDKNLMGYFGVGAPAGEAGKSDAASADVESHEADAAAAYLTPAMRAMLLRSRQAREASVEQQQQPTAAEEAGSSGSKE >Sspon.01G0008870-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:24654631:24656517:-1 gene:Sspon.01G0008870-1A transcript:Sspon.01G0008870-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MIMANPDMLPPGFRFHPTDEELILHYLRNRAANAPCPVAIIADVDIYKSDPWDLPSRAAYGDKEWYFFSPRDRKYPNGTRPNRAAVSGYWKATGTDKPIQSSATGESVGVKKALVFYKGRPPKGTKTNWIMHEYRLAADAHAAHTYRPMKFRNASMRLDDWVLCRIYKKASHAPPMSVPPLSDHELQDEPCGGFDDNPYAATSAAMLLQGASFPVLHAASAGAQRMPRIPSFSELFNDPSLLAHFFEEGGMQQDMPRLGNQQQHAPLLGRPVTSQLLVNSGSSMSPGQIQQMDPPASTSAAGDGAAGKRKRSSEAITASASALSSQQQASAAKKPNGYCFGATTTFQIGNGLQGSSLGHQMQLYSSNMGMN >Sspon.07G0005800-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:25446990:25450818:1 gene:Sspon.07G0005800-1P transcript:Sspon.07G0005800-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MYPSGAMVGAAPATRPSAASRVEKATSHLLMGPDWAVNLEICDILNADVWQTKDAVKAVKKRLQNKDPKVQFFALTLLETMMKNCGEYVQFEVAEQHVLQEMVKIIQKKNDMQVRDKILLLLDSWQEAFGGPGSKYPQYHWAYLEVKTTGVVFPKRPIDAPPIFTPPATHNSQTYGSPKYAAGSLSDRMSSDVETLSLGDLSKIRNVTDLLNDMVYALNPSDRTAINDEIITDLVTQCRSNQQKLLQFVSTSGNEQLLKQGLEINDLLQSVLSKYDAMASGASLAVEAPVREAIEAPREAPAVKPSAPPEHNDNVKEEEDEFAQLAQRY >Sspon.03G0007520-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:25454863:25458446:1 gene:Sspon.03G0007520-3D transcript:Sspon.03G0007520-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLNPFELLGADDNDDPSQLIAAAEAAAQKAEAKKSAAAPAGKAVQPAAAAKFPTKPAPPSQTVRDARGGGASARGGFGRGERGRGRGGRGYGQNRDFSGDNANGFQGGYGGGGYGGGGYGDGAVTGGAEGERERGPRPPYRGGGRRGGYRNGEFGDDSERPPRRNYERHSGTGRGYEMKRDGAGRGNWGTATDEVLAQETEEALKVEEGAPVAEKQGEQNDAPAADENKDNKDAAANEEEEKEEDKEMTLEEFEKIREEKRKALLALKAEERKVEVDKDLQSLQPLSNKKENDEVFIKLGSDKDKKKESAERDERAKKSVSINEFLKPAEGERYYGGRGRGRGRGERGGFRGGYGGGYNRGPAAAPSIQDQAQFPSLGGK >Sspon.05G0008680-3C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5C:17509442:17510844:1 gene:Sspon.05G0008680-3C transcript:Sspon.05G0008680-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLLPRLCMATGTGTGDGDREGNGNSSKLGTAVSSSSSTVSTSSAAAAAVSEASSSSTSLPSLPSLSSATSASLAASFAHVTTLLPLSASSAAVVAAAAGDSLHGGLVVVARPACVALHDLPTLEATSTSDAADAAAAAGSVKCVAHLHGGGGAAAAAVTGHQDGRLRLWRVSSRSPTRLRLAAALPTVSDRLRRFPVPSNHVTVRRHHHAQGVGAPSLRCLQSLPAHDDAVNAVAVAPDGTVYTASADKRVRVWAPGRPDNKPPPPSSRRGARGGSKKHQQQQPGVYHLVATLSRHTAAVNALAVGCAGQALYSGGNDRCVLVWEREDSASHMVAVGALRGHRRAVLSVACAPGGLVVSGSADQTVRAWRRTADGRGYGCVAVIDGHDTAVRSVAAAPVSIQKRSREGGVDGGDEEEWKVCSASFDGEVRVWSLRAS >Sspon.01G0051680-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:17304215:17306390:1 gene:Sspon.01G0051680-1C transcript:Sspon.01G0051680-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQTEKMAARRGREWDGDEQGAAPPTPLAAPVVCLLRSAGDLAAGAFVGSLVGYGKGLITNQGTKASLSIAGSSAKTFAALAGVQSFIMCLLRRLRGKDDTINAGMAGCCTGLALSFPGTTMCNYQYYCLEFY >Sspon.02G0008960-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:24128782:24129932:-1 gene:Sspon.02G0008960-2B transcript:Sspon.02G0008960-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKQDVAIETAEAEAAAKAPYWDPPPAPLLDTSELKKWSLYRALIAEFIATLIFLYVSIATVIGYKNAEACKGVGALGVAWSFGATIFILVYCTGGISGGHINPAVTFGLFVGRKLSLVRTLLYIVAQCLGAICGAGIVKGIMKQPYNTLGGGANSVSDGFSLGGALAAEIVGTFILVYTVFSATDPKRTARDSFIPVRRAPRLLDLRACSCYKCNVLIRGRACVQVLVPLPIGFAVFVVHLATIPITGTGINPARSLGAAVVHAAWKDHWIFWVGPLIGATVAALYHKLVLRGQAVKALGSFRSTSAT >Sspon.03G0040230-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:27485294:27488504:-1 gene:Sspon.03G0040230-1C transcript:Sspon.03G0040230-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAQLPPPTASGNRLAVIASHLSCTSLGSQAAISGEKRAALAAEPTGTPTIYDKIIRKEILSQVVYEDEKVLAFRDVSPQAPTHIIIILKVKDELSRLSKAEEGHIEVLGSLLYAAKVVAKQEGLDDGFRIVINDGPRG >Sspon.08G0028530-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8D:13009240:13010719:-1 gene:Sspon.08G0028530-1D transcript:Sspon.08G0028530-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSDEALWDQIAKALADINAQQAHLNSRLATMEIHQASSSAGAVPQSFPYWMSGYGTTALPTYTTTTLMPGSAADSFQHRSPASCRRHCFCCCRSFLRRRQRPLFKRQRHVTPADRHSAAAAVLREDMALRLPKARVSPAAIVPSPKDLYAYMSSTIAQMQAKADELLQLLQFKKTMEQETEMHTHQVLAAVRLQAATRGLLARRQARELRGLQRVPEPRTPSHHHQAKLRRMEGLDIVRRVVEIGRAVPTTGSELGIDNGGGWRDGRAVTSRRTLITAVVSRRQTLPGRLRWSSLGQLTGHGACSSRLPLLCATGTGDRLATRSSSSAAVPRHRPPRGRLRWSQLTAPCSEGVAAWDAVQLVPSWGQNFCRVSSLACRMRLMASSHEFACVSLLQFNIKAAAPSLLRAGLSLFKLLDGGHLRIHIVA >Sspon.04G0005390-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:11516637:11523196:1 gene:Sspon.04G0005390-2B transcript:Sspon.04G0005390-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLDLLSGKFLFSSCAAAKPPSKKMVTFLAAGASPSTPSRSTSSNAPSLRPRRVRTVPSSPTKQTPRPAVSSTSGTAKRPVRRRKVDEEEKGLGGGGGGGECVPSMEEASISVGTLYQNGDPLGRNELGRCVVEWLRQGMRSMASKLAAAEMAGDLVPTALALEWASAEGRLGFVIQAQPYLSAAPMPQGLEALCLKACTHYPTLFDHFQRELCDVLLSYQNQGVMSDLRATQSWRILEEMANSSEHRAAVRATSPRPKAVHSSIGITLKKVSLMQARIEEFVRHMSDLLRIERDVELEFTQEELNAAPMLDDDSKPPKPVEYLVSHGQAQQEQCDTICNLNVISSSTGLGGLHLVLFRVEGGHKLPPTTLSPGDMRNLEALMLLERNGLQKDNVSIAVVATLFGDSKDVVKMAKNNLTDWDESSGPDLSLSERNAYDASQLRALKLGSNKKRPVLIIQGPPGTGKTVLLTELIVRAVKQGERVLVTAPSNAAVDNMVESLSSTGLNIVRVGNPVRLSPFVASKSLGEIVNCRLRQFRKELERKRTDLRKDLRQCIEDDSLAAGIRQLLKQLGKDLENKEKETIREVLSDAQVVLSTITGAADPLIRRTGSFDLVIIDEAGQAIEPACWIPILQGKRCILAGDHCQLAPVVLSRKALDGGLGKSLLETASSLHDGLLTTRLTVQYRMHDSIAMWASKEMYHGLLKSSGLVASHLLADSPAVKATWITRCPLLLLDTRMPKGALNIGCKEHLDPAGTGSFYNEGEADIVTQQSPYIAQVQLLREKLEEYPGLSAVEVSTIDSFQGREADAVIISMVRSNPLGAVGFLGDSRRINVAITRARKHVTVVCDTSTICHSTFLARLLRHIRRYGQIQFDFVVSGS >Sspon.03G0024030-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:73237094:73237553:1 gene:Sspon.03G0024030-1A transcript:Sspon.03G0024030-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding FVMCEIGGNDYVFLLAANKTVSETRAYVPTVVKAIAGGIELGAKRIVVPGNLPIGCTPTILTLYASHSKSDYDKYGCLDKFNDLARYHNELLRQEVQALQDKYNLTKIAFADYFLI >Sspon.02G0016540-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:45824515:45825986:1 gene:Sspon.02G0016540-1A transcript:Sspon.02G0016540-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYRAEDDYDYLFKVVLIGDSGVGKSNLLSRFTRNEFSLESKSTIGVEFATRSIRVDDKVVKAQIWDTAGQERYRAITSAYYRGAVGALVVYDVTRHVTFENVERWLKELRDHTDANIVIMLVGNKADLRHLRAVSVEDAKGFAERESTFFMETSALESMNVESAFTEVLTQIYRVVSKKALDIGDDPAAPPKGQTINVGGKDDVSAVKKS >Sspon.08G0004770-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:11534126:11537435:1 gene:Sspon.08G0004770-3D transcript:Sspon.08G0004770-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGDSVKQPLLHRAYPSHVASASSPALPSAAPGSAGRRFPGGLDVPNLKKRGGGTRSWIRVEAATASVQTLEIDKATMMRRCELPARDLRLLDPLFVYPSTVLGRERAIVVNLEQIRCVITADEVLLLNSLDSYVLQYAAELQRRLLQRADGDELPFEFRALELALEAACSFLDAQAAELEIEAYPLLDELTSKISTLNLERVRRLKSRLVALTRRVQKVRDEIEQLMDDDGDMAELYLTEKKMRMESSVFGDQSLLGYNLAGAAGTSVSAPVSPVSSPTESRKLEKTFSLCRSRHDSTKGSDNTTTEHIQELEMLLEAYFVVIDSTLNKLTSLKEYIDDTEDFINIQLDNVRNQLIQFELLLTTATFVVAIFGVVAGIFGMNFETSVFEIENAFQWVLVITSVVGIFIFCSFLWFFKYKRLMPL >Sspon.01G0035550-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:12133015:12134235:1 gene:Sspon.01G0035550-2D transcript:Sspon.01G0035550-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding NVADARLATRRGGRRRRPPGQRVGRRCRAVHDVHARQDVRQVHRPTDAGRHAGVDLQCAERDAGRGVHELLHLAVGLGGLGRERGRASDDGGARARRLLRPVHGRAPGAAVPPLPRREAAGVAARVPAAGHPAAGVLRVARLPRAHRPRRRQGDRGGHHPAVPEPHQGALRVEPGPVGDGVHLGRRRLRAGPGDGLRVARRHVQAAPRAGHRGGHGGEPADAGHVLPAQDHQPVPQVLEVVPPPGRVRLRRRRGGQRVPGLRGHGARRLLLEARLLPGARHADGRLRGAGGERVGDLLPEAAGGEAHEEGGGGRRRQGQGSCLL >Sspon.06G0020470-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:9778387:9779391:-1 gene:Sspon.06G0020470-1T transcript:Sspon.06G0020470-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDITDAAAAAAADASAPPMLTNLFMQIVINPDGTVTRPEVPLVPASEVAAAGVISRDVPLDASAGTYLRLYLPSPSPAPAATATAKLPVVLYFHGGGFVILSPATVFYHGHCEAMAAAVPAIVASLEYRLAPEHRLPAAYEDAAAAVAWLRDGAPGDPWVAAHGDLSRCFLMGSSSGGNMAFFTALRTGGLDLGPATVRGVLLHQPYLGGVDRTPSEARSVDDAILPLEANDRLWSLALPLGADRDHEFCNPVKALAPEALAGLPPRCLVTGNLDDPLIDRQREFARWLQDRGGAEVVVKTDVAGYHASELFVPEIAEVLFAAMREFVFTGDA >Sspon.03G0009700-3P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:3622457:3625131:1 gene:Sspon.03G0009700-3P transcript:Sspon.03G0009700-3P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Iron-sulfur cluster assembly protein [Source:Projected from Arabidopsis thaliana (AT4G22220) UniProtKB/TrEMBL;Acc:A0A178UZH3] MKLQIRVDEGSGRIVDARFKTFGCGSAIASSSVASEWVKGKQMEEVVAIKNTEIAKHLSLPPVKLHCSMLAEDAIKAAVKDYEAKKGKLAKTEEQDIPSP >Sspon.01G0016660-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:6993364:6995067:1 gene:Sspon.01G0016660-4D transcript:Sspon.01G0016660-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLPAQSARKAGGNARSRRAVQSKGPCPCSLRTLAILAVVAWTFFLYLDFSVITAEVSNGGDPCRGRYIYVYDLPPRFNTDIIRDCRKAGGRWGDMCAFLSNAGLGRPLTDDGTDGGEAGWYDTHELALDAIFHNRMKQYECLTNRSAAASAVFVPFYAGFDFLRYQAGYDKATRDAASADLSFWLTVQPQWRRMAGRDHFLVAGRTGWDFRRSGGGGGDANPDRGNGLLLTPAGRNMSLLVLESTLEHGSDFSVPYPTYFHPRSDADVLRWQDRVRGQHRTWLMAFVGAPRPDVPRRTQVRDRAIAQCKASSACGMPGCARSAGTTQCHSPASIMRLFQKATFCLQPPGGDDDYSRTRRSVFDSMVAGCIPVFFHAASAYKQYAWHLPKDDHLKYSVFIPDAEVRRRNVSIEAVLRAIPPATVERMREEVIRLIPTLLYADPRSKVETPKDAVDVAIDGILDTVARIKNGEQVNCGGPVDTDPPNLFAATASRIAPNGSVRAVDQHR >Sspon.08G0027350-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8C:46363925:46364485:1 gene:Sspon.08G0027350-1C transcript:Sspon.08G0027350-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPYPVLQQQVHLGHACKPTATASVHHHGHGNPKTDALAASLRRGAPADAPGLRALIKALPASSAAAAVAVHAHATKLGLDRERTVRNGLIALYLACGERAAATALFDAFPGDGPDVVSWTAMVTGHARLGLARDAVALFFAMLDLDDGVSVDAVAAAAGFEACAEARDLARPGGAPARVALDVVA >Sspon.06G0003810-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:10016107:10017886:1 gene:Sspon.06G0003810-3C transcript:Sspon.06G0003810-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable 6-phosphogluconolactonase 5, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G24400) UniProtKB/Swiss-Prot;Acc:Q84WW2] MSTTAAASATAAWTTLSSVNPRRSSSSALRLPSSLRRSLPSRLLSSSPSCSPLVPVSAMASPAAAGETASRKKLIIFDAEEDLAASLAKYTAELSAKLAAERGAFTVVLSGGSLVKALRKLAEPPYLEAVDWSKWHVFWVDERVVPKDHADSNYKLASDEFLSKVPIPADQVHAINDALSSEGAAEDYETRLKQLVKNGVIKMSLVSGFPKFDLMLMGMGPDGHVASLFPGHPVVNENQKWVTFVKDSPKPPPERITFTFPVINSSAHIALVVTGAGKAGAVHKALSGGQNTADLLPVEMVSLQDGELTWFTDKPAVSMLSSI >Sspon.06G0003370-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:10212215:10214048:1 gene:Sspon.06G0003370-1A transcript:Sspon.06G0003370-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHRITGMKALIDRNVGEDPRLEAFKKQWIENKDCLDIGCNPGFGNDWLRCYVVLADKCLNPQDEVMSIEYYLNRIHFYLSLLGLTETAKWNLRRIMRQDKVAPKNYSPGEVASKLSNGNKHQDLFKIVSFRRENFVESMDGCSEQYDTILCLSVTKWIHLNWGDDGLVTLFVKIWRLLRPISMPLYFLVAKENFNTICIYPETFREILLDKFGFRLVELIADRLVGTVSGFNHPIEVYHK >Sspon.06G0004970-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:16516969:16520154:1 gene:Sspon.06G0004970-1A transcript:Sspon.06G0004970-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKYGEGDKRWIVEERADGTNVHNWHWAERDCLEWSRARLSSLLAGLTVLDGEGGLTLRTVALDKLDGEAYVNIRKGKVIPGYELSLTLSWEAEAASESEAVKVAGAAEVPYLADENADEDPELRVTVRGDETPLALRAKDAFLARGKPLVLEKIREFVAAMAKGGPAKDELESKKTPAKVAAAAPGAAPAKEEVVAPAPAAEKKKAKGKDKEGFKTIEMTEKFYCRSKDIYEILMDENRWKGFTQSNARISREVGGEFSLFDGSITGVNEELQEGKLIAQKWRFGSWPDGLYSSVRLTFDEPESGVTIIKLKQTDVPEEDKYGNSTVVENTERGWRELIFQRIRGVFAIKYAAANTVDDDCGPLQMQPLWAVAYGGSGQARLLVRYQ >Sspon.04G0009680-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:50823875:50825330:-1 gene:Sspon.04G0009680-2C transcript:Sspon.04G0009680-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MYPKADEGAHQPLATGIPISGGGGGYYQAGGATAAFAVQAQAAPVAAWSTGLCNCFDDCSNCCVTCLCPCITFGQIAEIIDRGSTSCGTSGALYTLIMLLTGCQCVYSCFYRAKMRAQYGLQESPCADCCVHCCCQCCALCQEYRELKKRGFDMNIGWHANMERQGRTAATMPPQMHLGMTR >Sspon.02G0022760-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:69971915:69972370:1 gene:Sspon.02G0022760-3D transcript:Sspon.02G0022760-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSTLGRRKKPAELPAPVSIALASQNDGLLPTDVLYEVLLRLPAKELCRLRLVCRSWRSLTSDPGFARAHASRHLPLVAGIWKSTEVHLLDLTRCNNIVRRLHAPQPVYDLSTAQLGLLCVSPIDGCLSYVLDSAVAGEVVATLPDNACDF >Sspon.04G0029250-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:71328731:71333505:-1 gene:Sspon.04G0029250-1B transcript:Sspon.04G0029250-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AEGTHRATATKPTGGISEKVAANHHQKTTSAILVNIPKTKKTYCKNKECWKHTLHKVTQYKKGDDSLSAQEIELQYLEEIMRAHNESTQIIQGSRSMAEEKSYPQLNEGIMSSLSKQSVAAPSWHDLEIESSEKLAMGEYFGIKPPEKATRKQLAYIELRGKEVARSNATGLIT >Sspon.06G0001060-4D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6D:5928705:5929670:1 gene:Sspon.06G0001060-4D transcript:Sspon.06G0001060-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCTHERTNRATFLQVYRKKSTGGFSSVPYVVALFSSVLWIFYALVKTNSRPLLTINAFGCGVEAAYIVFYLAYAPRKERLRTLAYFFLLDVAAFALVVVVTLFVVREPHRVKFLGSVCLAFSMAVFVAPLSIIVKVIKTKSVEFLPISLSFCLTLSAVAWFCYGLFTKDPFVMYPNVGGFFFSCVQMGLYFWYRKPRAAKNNVVLPTTTDGAGAVQVQGQVIELAPNTVAILSVSPIPIVGVHKIEVVEQQHKEAAVAAETRRMAAANPDGAMPEVIEIVPAAAV >Sspon.03G0030530-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:21405456:21407435:1 gene:Sspon.03G0030530-1T transcript:Sspon.03G0030530-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALLQSSFPFLTPTSPAPSPPPRRPAAATARPESPNAPNPASAHLRRLIAREDLAGAARLVERSASCDGEAPDVYLCTKLIRNLCRRGRTSDAARVLRAAEGSGSPVDVFAYNTLVAGYCRYGHLDAARRLIGSMSVAPDAYTYTPLIRVLCDRGRVADALSLLDDMLRRGCQPNVVTYTVLLEAMCRNSGFEQAMAVLDEMRAKGCTPNLVTYNVIINGMCREGRVDDARELLNRLPSYGFQPDTVSYTTLLKGLCASKRWDDVEELFAEMMEKNCMPNEVTFDMLIRFFCRGGMVERAIQVLEQMTEHGCATNTTLCNIVINSICKQGRVDDAFKLLNNMGSYGCNPDTISYTTVLKGLCRAERWDDAKELLKEMVRNNCPPNEVTFNTFICILCQKGLIEQAIMLIEQMSEHGCTVGVVTYNALVNGFCVQGHIDSALELFRSMPCKPNTITYTTLLTGLCNAERLDDAAELVAEMLRRDCPPNVVTFNVLVSFFCQKGFLEEAIELVEQMMEHGCTPNLITYNTLLDGITKDCSSEDALELLHGLVSKGVSPDVITFSSIIGILSKEDRVEEAIQMFHVVQDIGMRPKAVVYNKILLGLCKRCEIDNAIDFFAYMVSNGCMPNESTYIILIEGLAHEGLLKEAQDLLSVLCSRGV >Sspon.08G0022600-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:51589144:51590029:-1 gene:Sspon.08G0022600-2C transcript:Sspon.08G0022600-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEARRTARALLASFVLAALAAQALVAVVESRASPAEKASQGDDVKKPDCVPGMDPRSFPGIGGGGITPVTPSHGGSTGTPSHGGGYVPTPSHGGTTLPSPSHGGFGSSPTSPSTGGGYGGSPSHGGGSGSSPTAPSTGGGYGGGSPSHGGGAYGGSPSTPGGGGGAYGGGSSPTPAHGGGGAYGSSPTPAYGGDSPSHGGSIGTSSPTPFVPMDPHSFGSLPGSCE >Sspon.01G0024660-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:86465820:86474609:-1 gene:Sspon.01G0024660-3C transcript:Sspon.01G0024660-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPEQTFLRVHARLSGTLSQLLTPRIRLALEYLYLAGAVALFCLLVVMHTNFVQQPGCSSEFSGIEFGEAQLVQIKIISGGLWSSRGASYIMDLQNLGRSAEKILEVNGDKFNILASKFWSTWVGPGARRSLPDLKAAGDGSVHHPLSAKESFKAAVTYLFRKWYHRAVSFWKNIKQLSENTLQLMVRSNWNDFLHIFKDLQLPSMDHLISTIVQWFERRSKAFEPTYLYGVEKGYFLLSEGAKIRHGVRTINITISARNPCFGNRWQQLLINSIVGYDTILTNSLVNSPGHGYLYNFQTKELYDLSYGHEPPEGPTRFGDYFVTKCGVLLMSLFVFFTTTMSVSFTLRETQSRMLRFTGCGLDCFALNILFDSPMQLQHHARHHLPTFQLIFVHVIESLVFVPIMIGILFFLFEFYDDQLLAFLVLTLVWLCELFTMISVRTSISMQFFPRFFLLYFLVFHIYFFSYTYGFSYLAFSATAAFMQHLILYFWNRFEVPALQRFMRSRAHIHQQTGVQISSTIYTSTLHIARVNVRDPGTINDGLGAAREADGLLVQDESTRNQQEGQQHGISEPAANNALQYQEQNPQQAGSTPAGSGSLNPFGSLLLWLLGGGASDGIVSFFSMFRDVRDHGQDYTDPPRNENDQLV >Sspon.08G0021770-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:43404331:43409971:-1 gene:Sspon.08G0021770-1B transcript:Sspon.08G0021770-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEAAAPPPLPLLAAASSPAAAALPRARRRQQQQRRGWRRPRRLLVWGALVAFFFIMNWWMFSRLQDPSARPHFRLRRHPPRAPATANSSLVTLEEVAGAAKGKRAHRVMLTRLLALAGHALAEAETRPEPKDLWEEPINATMWRPCSDQRNLKASEGTNGYIMISANGGINQQRVAICNAVTISRLLNATLVLPKFLYSNVWLDKSQFGDIYQEDYFIKYLKSDIQIVKDLPVELQSLDLEAIGSLVNDTDVMKEAKPSLYVKKILPILLRNRVVHFIGFGNRLSFDPIPSDLQRLRCRCNFHALRFVHKIQETGAVLVERLHGHRASSSPLKDNLLGQFAMKSDPSVNKSVASKYLAVHLRFEIDMVAYSLCYFGGGKDEEDELEAYRQIHFPVLSELKKTTKLPSAAFLRSEGKCPLAPEEAVLMLAAIGFKRSTNIYIAGAEIYGGKDRMAAISRLYPTLVTKETLLSPSELEPFRNFSSQLAALDFIACAAADAFAMTDPGSQFSSLVQGYRMYYGGGDLPTIRPNKRRLASILVKNATIEWNEFESRVRKLIQQTKQVHERPVARSIFRHPRCPECMCRTEH >Sspon.02G0010950-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:69973774:69976580:1 gene:Sspon.02G0010950-1P transcript:Sspon.02G0010950-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQSSEAGTTGEDDEEQRLRAALRHLQAEAGVLERLVRRDLKLLLGAGFTEVLNAVFPVLACRKLANTILVPTKQTKKKPGADHSHHERLLGVARLLSQMSEPVMKAAISFFIDLCMAVFSLLARVRVLIQQMLLDVVSIYNKVTDLTDRKQAVKISISGVQAFRECYPSMDDAYTVLECVWVKDKFILHEKVTDICQETQGKDQKCCDPESPILNLEIRKSQVQPLKTKSSEVNKKQRLDTISPSNIQSGLYNKLLD >Sspon.08G0024740-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:1551101:1560722:1 gene:Sspon.08G0024740-1C transcript:Sspon.08G0024740-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MREHIRDLIIHGTHGGCGAAATSSSRGELGAWVLRLAQLDAASAGRTFVSRRLQHTTRSWVIAIHAISRSVASFSGWCSSQEQEEALPWPPASEFVGFVAATFLLMLPFVDAVVALDDVISPASSSISSDDHGHGGVVSGNKGAVASAHKFRTLTDIREALSGVSEQVQLWRSCVSSSCSSSTDAEAARISGKMRSLLLAKLDKVDEAMRNTRDCIRTHFMFLFLANNFYFLWHQLLSQNLLLDVRTDALARKIDSYINSYLQVSWTPVLKPLHGHSPCCFFFTRYSAQRKFLSEFKKAYAAQKLWKVSDPELRKVLRTTIVDKVISAFTKFLEDGGVSASRVIISPESLQEMLEELQELEEERGASACMGADASKEVPPGIATTVHMSMGNSVKLLRYKV >Sspon.03G0022790-1P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3A:69657678:69660888:-1 gene:Sspon.03G0022790-1P transcript:Sspon.03G0022790-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNVAIRCASTSVAQGSSGGFWTWLTGARSNEIPPPDFTLPGVIIPPPLPDHVEAGKTRVTTLPNGVKIASETSAGSSCSVGVYVDCGSVYEAPETTGASQLLKTMAFATTANRSELRVVREIEAIGGSAKASASREMMSYTYGALKTYMPEMVEVLIDCVRNPAFLDWEVKEQENYTAPRIVLAASGVDHDELVSIAEPLLSDIPSVSGTRPKSTYIGGEYRRSADSSTLLGGGGKFSWGRQGKGLHSRLNHLVNEFDQIKSISAFKDIHSNTGIFGIHTSTDASFVPKAIDLAARELTSLATPGKASLTEDIGRQVLAFGERKPAEHLLKAIDGVTLKDVTSVAEKIISSPLTMASHGNVLNMPTYESVSGKFRSK >Sspon.02G0007670-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:25037989:25041708:-1 gene:Sspon.02G0007670-2C transcript:Sspon.02G0007670-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MELEILGMNFGCVLAALADAKIPDKDCMLPLISKLLGYAIVAASTTVKLPQILKILKHGSVRGLSVASFELEVVGYTIALAYCIHKGLPFSAYGELAFLLIQAIILVAIIYYYSPPMGTKTWMKALLYCGLAPTVLAGKIDPALFEVLYASQHAIFFFARVPQIWKNFTNKGTGELSFLTCFMNFAGSIVRVFTSIQEKTPLSVIMGSAIGIIMNGTLLGQILLYQKTAPKKQKKED >Sspon.03G0028550-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:9826892:9828340:1 gene:Sspon.03G0028550-1B transcript:Sspon.03G0028550-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVRHGKVGEEKVSEVKWFLDASAVPGVPAGPPKLSATARRESFAKRAGLATNPMGRKLFEVREAKQSNLCVAADVWTAKELLELADKISHLLYSFSLLSVYLR >Sspon.07G0019100-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:74432619:74451446:-1 gene:Sspon.07G0019100-2B transcript:Sspon.07G0019100-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSFHRALDGGEAARTELVLLLVLSVVLALASSASAIDGSTSNLTAGVVQVELPEGNLQVRSPYDVPENQRYSYDNVTGVRTFLVYASDKPFNTVTGTKPRIEVCLTFEGYGYVPVGTSGASVMQIHNEDAGAKATTLMLHVYNGTLRYYSDEVLSSIMAASSFHLALGGGEPMRVELVLLVLSVVLALASSASAIDGRGNLTAGFVQVELPEGNFKVQWPYDVPENQRYSYDNATGVRTFLVYAGDKPFNNVTGTNPRTEVRLAGHDYSSGVWQFEGYAYMPTGTSGASVMQIHREQGAAPATVLMLHVYNGTLRHYSGEAVEDRIYDRWFRLNVVHDVGASTVAVYVDGHRKFGTSVIPSDSYYFKFGVYMQHHDVSPLM >Sspon.03G0002010-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:11033600:11044030:-1 gene:Sspon.03G0002010-4D transcript:Sspon.03G0002010-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPVRSLARGGRRGRGRGGRGHGRGAAATVTRSNRAVYSEAIDVDDDHDDCSHKKMLTEKIASAEGTSADGLEASPHDANDEYGGEPVPTRNLRRLRKQTGESKAAVAKEHAIVVAVEVKESDTEDSGNLAPSESKAKAMKGIRIRQTSIVMVSDKFNFILFLMSDGQKLGQHRRSKRLLGKQTRIQDMDVTDFKENIFDDSRSSSEDEERKFVPKRSKRIQRKYKSGYASGAVSEDKDACWSSSNDDHGKAAPCRRMSKRLKEKQKAVLIPDESHIEASSSLSASSSSSSALISEDELLCNSVKSSTRNGPFCLICKSGTASSHIIRCQNSNCSVSFHTFCQDPPLQDTTRTSECYLCKMKASLASETEEILVKRIQRYVGHRMVLIHESDFQYQALVKWHSLSHHHDCWVPLEWLQVFDCIRVRSFLKKSILLKEVYSEDQRKPEWLEVDRAIACRRKCDPDGTCDILTAFQDNKNFEAYEILVKWKGLDYCDATWESCCTEGVQAALSMLVERHQKTLKRIDHVSPLFLDRVIPEEVHNGALYDYQLQGLQWIFNNFKTKRNVILADEMGLGKTVQDKDSRKCLQAHEMYSSEGKILFDALVTSYEFVQIDKTILQKIKWSTIVIDEAHRMKKLDCNLATCLKRYSSKFHLLLTGTPLQNNMLELFSLLHYIDPDEFTDPKADDLFTPIESGNELTMEEKIARIHDILKPRMLRRMKSDVLKDSMPTKKWVEVPCALTDTQRELYIDILEKNYSKLNGAIKSGKKLALNNILMQLRKCCNHPVGLEIRQQPQDVFLSLVAASGKLQLLQKLLPRLKERGNRVLIFSQMTTMLDILEDFLFYLGYKYARIDGQTSLSSRQESIKEYNRAESEIFIFLMSTRAGGLDPDFNPFMDLQAQSRAHRIGQTRPVVVYQLITKCSVEEKILQKSKQKLAIENMLMNSSNKKPNADELQSILLHGAKTIIDKKKISATSIHYDDEAIENLLKLDPVLRKSAPKMTMAILGAL >Sspon.01G0021880-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:83681662:83685025:-1 gene:Sspon.01G0021880-2B transcript:Sspon.01G0021880-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFSLLRSFNALKPSPLLLPLPLPRRVATSPYPAMSSATNLRLLSWDCADDPLDFGAFAGAAFLPLQRREAKRERGVSPEAVQARPVDELGGGAAEGGEKVRAAKKGNSNNHSDKKTVKIMTYNVWFREELELIRRMNAIGDLIQHHSPDLICFQVLYSNCITYFPLLLGFGYSFVELQEVTPNIYLLFEKSDWWQAYKCSLPHEMAMQRPYYSMQMSKLPVKSFDRKPFYNSKMGRELCIADVTVGGVIKLVVATSHLESPSPGPPTWDQMFSKERVGQANESVRTLGAFRNVIFCGDTNWDDKGDGPFPLPDGWIDAWDELKPGENGWTYDTKANIMLSGNRKLQKRLDRFVCKLSDFKVDSIEMIGEEAIPGVTYIKEKKVRQEIRQLVLPVLPSDHFGLVLTISSQSEI >Sspon.06G0002220-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:4326402:4331886:-1 gene:Sspon.06G0002220-4D transcript:Sspon.06G0002220-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGISRPLVAVVLALLIAVAGATAEEPVVAADSVAGAVEAAAALKAEEAAALRAELGQLRAKISALESDIAERSQVLKNKDDVIANLEKAIEEKSKAITSMQGEIASLQAKGSVAAEEQANKANAKAVELEKQIDKLKKDIEAQSSQKAALESRANDAEKKVEELNEKLNTIQKESDEQKRKIKKTERALKVAEEELMRLQLEATAKAKQLTEVHGAWLPPWLAAQYAHYVEVVSGHWNEHGKPAVHNVLHKKWIPVKEKLVVLKKNAEPYVQKVSTRSVEFYESSRDAVTPHVVKVKEFAHPYYQEVKKFSKPYIDQIAEITKPHVEKVRTTLKPYTKRAVRAYGSFLESATTYHRQAQASIMDYLHQHDITKSFATKELVWFLASALLALPVFIIYRLLVETFCTKKNKRPRGGNGNHGHKRHKRRHTDK >Sspon.05G0016530-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:67986387:67990205:-1 gene:Sspon.05G0016530-1A transcript:Sspon.05G0016530-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTDNKHIQAHQVAPKRIDDAATIIEASISELQQPSGALADGRMLAVKRLVENTLAAPGKAFDTEVTNLMAIKHENIVELVHYCHESQKKVIQHNGRYVHQNWKTDEQIIYKYPQLDSNGLQQVKACIEIGLKCVEADRRKRPSIVDIVDRLNGKRVPIFYQCPSLPPMPSSFLWIPRVKNRTYAKS >Sspon.04G0000760-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4A:3231608:3231961:1 gene:Sspon.04G0000760-1A transcript:Sspon.04G0000760-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSAAPAPAPGPRRPLRGVVFDMDGTLTVPVIDFPAMYREVLGGDRGAVDILHCIEDWAPDKQRHAYEVIARFEREGLDRLQIMPGLATTHRPARLAPASGFLLVSSSVGVARCGV >Sspon.01G0048570-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:109789419:109791833:1 gene:Sspon.01G0048570-1B transcript:Sspon.01G0048570-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCFADVEVDTEVAAAGPKKRTFRKYSYRGVDLDALLDMSTDDLVQLFPARARRRFQRGLKRKPMALIKKLRKAKKDAPAGEKPEPVKTHLRNMIIVPEMIGSIVGVYNGKTFNQVEIKPEMIGHYLAEFSISYKPVKHGRPGIGATHSSRFIPLK >Sspon.03G0011040-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:30043950:30044840:1 gene:Sspon.03G0011040-1A transcript:Sspon.03G0011040-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding REIKECRLFLIRKPMLILTQRHGLTLQVQLLPSVQTFAILVLSTWCPETKYFPLQQGPFSGIWFPRRIPKPVDQINLKLRKSGETKTAKPSSSGFRLGKESSASPLSRNVQSNITSLDIVSVGMKVIIMCSQAKPINFLQFQDQPMTRHLSSFSSLDNPRGRQIQKAPLRTKSMLAAFFVKHRSAKMKNV >Sspon.03G0030090-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:27837596:27844394:-1 gene:Sspon.03G0030090-1P transcript:Sspon.03G0030090-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCGVIYSREFTHRVKSVSMSKFTTQEVQALEQGGNQRARDIYLKDWDWQRMRLPVNTNPDRIREFIRAVYVDKKYAGGSSNKPATESMKGNDNDMRRPSSYHSYSQSPPYDFQYEDRRYGKQVDTLARRPSDRALFDGKLGNLLFSPGRLREQMNEDRFANESSGSRFSDFSASSTGDFRNDVLSPSSQETGYSSPSVHHSRNVSADNPQSQKYPNAASQIDFNGVRRSQRTASSGSFGSFDGSSVSNKSFESGNPPDAPTEKSAHCAVNHQTVASPEACSTQQYASPPNNHNLVPQKPADLGSQTAATRKPVQHSGAQIEAVVSMRAPVQPMTSTPLDLFDQSTVQQPVTSAAPIDLFAGFNEQLPASHNTDNVSSHSDVAKEPAHSVVQKGIVPSAEALATSHAVHQDLFSLSILPEPATSSPSQPIDLFAGFDQHLPHLSTVQKIPSAAPLPANDGWAFFDMQHGSLTSVSNVQVPAAFPPSDGIAKGIDQSTLPTSPPNAIGSQSSLAMMDNWSLNAEEVKIPVPKENSQSWNAFGESTQGTSNNLFTFNTMPQVEAHQFSMPSGPYVEARTPQDLARGEPERPTPGDMFSGFNVSHVEVVGPSFPSSLEPHLGGMVSHPGKSTNPFDMAFESDVDANDMFMDLTSLQEALPDPHTPTDYSGSLTEPWISQNSTMSYIPSGPQEST >Sspon.02G0026150-3C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2C:96101305:96101922:1 gene:Sspon.02G0026150-3C transcript:Sspon.02G0026150-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISISSSSVAASNKRLLLVAVVSLALSASPSAVSARRRPVRLRLYMHDIVGGPGQTAVRLVKGPGPENPSMHPGNYFGDTVAVDDLLTEGLAVDSAPVGRAQGTYMTGSMRHPVFVVAVTLLLSAGPYNGSTLVVAGRDDTSLPVRELVVVGGTGALRRAAGHVLWSTARVESALHAVLLLDVHASVPAPRKAAAAVELLVSSA >Sspon.05G0013510-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5A:47303724:47310206:1 gene:Sspon.05G0013510-1A transcript:Sspon.05G0013510-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQHRSSRRHPWVEPPPAPFWPAPHHGRPPLPSSLPFLFLLLPLSPHILLGPGCSCRGPARRPACRPARSGRRRWWLARQGCPAGLPCRWVLLSLPFRRRLFSSFPGPQRLSSLCCCPHSRRCCGGRCRGLGLLPPCCSGGWGAWGDPAAALPAGGV >Sspon.01G0003560-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:9060437:9062314:1 gene:Sspon.01G0003560-3C transcript:Sspon.01G0003560-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPMLPATVTVKEEWPPEEEEEVVEDVDAPRPMEGLHEVGPPPFLTKTFDLVADPATDEVISWGRAGNSFVVWDPHVFAAVLLPRFFKHNNFSSFVRQLNTYGFRKIDPDRWEFANEGFLRGQRQLLRLIKRRRPAAAPPYLQASQSQSQQLQGSCLEVGQFGGLDGEMDRLRRDKSILLAEVVKLRQEQQSTLAGMRAMEERLQHAEHKQVQMMGFLARAMQNPDFFQQLAQQQDRRRELEGALMLSTASRKRRRPIGAAPALDGGVQEQEEQADDDDPTATQALFAELDERGTTSELENLALNIQGLGSKRRQDGGEKQGGGARSQQQAAAVGGGETAELTDDFWEELLNEGMRGGAAAEMLPPERRRPGWYVDALAQKLSSMSNTTAK >Sspon.06G0001180-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:1595583:1597393:-1 gene:Sspon.06G0001180-3C transcript:Sspon.06G0001180-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DEHMGLHSLEMLKVRANLEERLKKKGLYNLTKSKPEKLSKTRTTSNKRGLQTLDDFDDEVLKNNQMMKPSKPAAAAKSNKSMFVSGDDDLPKRDNIGERRRKHELRVLSRVGANSVEDHELPDGDASEDDASEDDHELPEGGDASDDGFYEDVKRQRTEKLSIKNALYSRTPGVEPLEEETEGDGKRTISYQILKNRGLTRSRNKKKKNPRKNYRDKHKNKLVKRKGQVRDIKKPSGPYGGEMSGINPNVSRSVRFKS >Sspon.04G0014980-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:56094184:56098083:1 gene:Sspon.04G0014980-1A transcript:Sspon.04G0014980-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding NADVARADSEGQGRRPRRHPDLRLLERPRAGAGAVPLRRPLRPRPLRQARQAGRPLRPPPHRPLRLRRVELRWLSGLAQVCAGHQVQDRQWPLQGGNAEVRREDSVHDEVGGAIRVAGRPNHHGSVQPCNATRNWSRTWSLICPLCSGGERVRADGVRRGQCAKPYAHWAAQMAVGTNTGVPWVMCKQDDAPDPVINTCNGFYCDYFKPNKKYKPTMWTEAWTGWFTKFGGAVPHRPVEDLAFAVARFIQKGGSFVNYYMYHGGTNFGRTAGGPFIATSYDYDAPIDEFGLLRQPKWGHLRDLHRAIKQAEPALISGDPTIQSIGNYEKAYVFKSKNGACAAFLSNYHMKTAVKIRFDGRHYDLPAWSISILPDCKTAVFNTAMVKEPTLLPKMNPVLHFVWQSYSEDTNSLDDSAFTRDGLVEQLSLTWDKSDYLWYTTHVNIGANEQFLKSGQWPQLTVYSAGHSMQVFVNGRSYGSVYGGYDNPKLTFNGHVKMWQGSNKISILSSAVGLPVSCLTTGQFNVMKKSSYGEMFVTLNMVVLQNNGNHFELWNVGVLGPVTLSGLNEGKRDLSHQKWTYQVGLKGESLGLHTVTGSSAVEWAGPGGKQPLTWHKALFNVPAGSDPVALDMGSVGKGQVWVNGHHAGRFWSYRAYSGSCRRCSYAGTYREDQCMSNCGDLSQRWYHVPRSWLKPSGNLLVVLEEYGGGDLAGVALATRST >Sspon.05G0010340-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:24391503:24396349:1 gene:Sspon.05G0010340-2B transcript:Sspon.05G0010340-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSASRSGRRRTGSVALGDLLRREASAERAALGGGERDRPSVAAGQACRAKKGEDFALLKPACERRPGAPSTSFSAFALFDGHNGSAAAVYAKEHLLGNVLGCVPTDLSRDEWLTALPRALVAGFVKTDKDFQTKAHSSGTTVTLAIIDGSVVTVASVGDSRCVLEAESSIYYLSADHRFDANEEEVGRVTECGGEVGRLNVVGGAEIGPLRCWPGGLCLSRSIGDQDVGEFIIPVPYVKQIKLSNAGGRLIISSDGVWDALTADMAFRCARGLPPEAAAEQIVKEAVESKGLRDDTTCIVIDIIPPEKPKCTIESPKTPGKGLVLLKKFFLRKTASDSLSLAVTDNYPEPDLVEEVFEDGCPSLSRRLNSEYPVRDMFKLFACAICQIDLESGQGISIHEGLTKPGKLRPWDGPFLCHSCQEKKEAMEGKRHSRDSSSRNSGSSE >Sspon.03G0018130-1P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3C:77073330:77073982:1 gene:Sspon.03G0018130-1P transcript:Sspon.03G0018130-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAAATAVGFSAVLPAKARPAARSTVVARVPATRRSVRAAAAAVVVAEPTQVDYSSRFSVFPMEACDLLGGDACIGEMYPEAKLAAAAPEASRRVDGVERDYLSYDDPKTVFPGEACDDLGGEFCEAPYLDGVSRELAHA >Sspon.02G0007270-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:21687798:21690059:1 gene:Sspon.02G0007270-1A transcript:Sspon.02G0007270-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASASKEEVIGKLNVRVVRGNSLAIADPLTHTSDPYVVLQYGAQKVKTSVQKKNPNPVWNEVLQLTVTNPTKPVHLEVFDEDKFTADDSMGVAEINITDIYDAAKLDLKHATDGTRIKTIYPVGVNYLGGESHVQWKDGKVVQDLILKLKKVESGLIVVQLEWVHVPGVKLGARTSVDPAKGCLRLA >Sspon.01G0024880-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:118988035:118988556:1 gene:Sspon.01G0024880-2B transcript:Sspon.01G0024880-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAMKSSAGMAVKKSRKPYVITRPRERWTADEHDRFLHALLLFGRDWKRVQAFVTTKTATQIRSHAQKHFLRAHKKLGLAAAPRQARSSASAGPGHRQQRTLTGCPAAWCTDDGASAPDVETIQLPLSPDDLHFAQVYRFVGDVFGSGASRPVEAQLQRLLGADPVIVDTILR >Sspon.04G0032790-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4C:33362130:33362570:-1 gene:Sspon.04G0032790-1C transcript:Sspon.04G0032790-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLGPPLSPAELAMQDSANFWKCSFTVFSAPDTSFGGTGRWLREHRTLVLRASDAGCASGEYAVLQPRWNQALDAPVLLHRTLKWYCSCVRWLRDVSSFFEPSCAPDAVESVDPPSDASGERKSSLIAYWSASDAECWASNASSAR >Sspon.03G0025090-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:94552787:94556329:1 gene:Sspon.03G0025090-2C transcript:Sspon.03G0025090-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRMTPSKRPFQKNSSDYNGRGKWQKTKHSSPHKSQFKIEPGVPIFRILCPASKSGNVIGKGGAIIAKIREETRMRIRVDRAAPGCDERVIFITAAEKDEEASSERGGENDGGVADSTGGDLERDKDISKEENDDPEGNNSKEQNDDSEKGNGKEEEDGFEKDHSTEEKDDSERDHIREEKDHSEKEHDKEEKDDPFVAEVTKSEPEKVIPSALKAVSLVFDRIFAAEDNNETRNASAASTPVNLRLLVLYSQAGWLLGKGGSVIKQMSADNGCEIRVLRDNLPSCALLNDKLCQITGEIDSVRKGLNAVSELLLAHPPKETDAVAGVHSSGSSSRSLFSQSDGLPSGMQSNFHIPLQGPSQANGPFDIVDLQPPIHGHASVPIESLSFRMLCPQDKVGSIIGKGGNIVKTIQKDTGCEIKVLDTVPKTDDRIISISGPAHPSDGISPAQNAILHVQRKIMLPTSDKKEGPAICRLIVSANQVGCVLGKGGSIIAEMRKLSGAFIVVLSKDKIPRGVPEHDEVVQISGSCEAIQEALMQITARLRNHLFRVDRMPAMGPNMRPPFGLLDSQFGPFAGNHESPSLFHKDFMGRPLDGISAPWTVKGMRDLSDPVSISDIPGAGHREAGGFSGFSWSAIYDAKLDCRGLVPRLIIPALCGEDGGCLDRIREFSEAKITVAEPIADAMDTPVLISGTPDQMHAARSLVQAFVMSESFAP >Sspon.02G0008460-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:23402117:23408153:1 gene:Sspon.02G0008460-2B transcript:Sspon.02G0008460-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKQQQAPPARRSPAMSAPPPPKRRKKKGRPSLLDLQKRSLRLEQQQQRKQPQGRRANRRSAGSADEDDDGPASGSGRREKKLRLVMGLHDGSAKGEKTRKATDGREEPSDSGPTTPLPNKKLLLFVLDRLQKKDTYGVFSEPVDPEELPDYHDIIKHPMDFSTIRKKLDKGAYSNLEQFEDDVFLISSNAMCYNSPDTIYYRQARGIQEIAKKDFENLRQDSDASEPEPEPEPKLEPEPEPEEPKPQPRRGRPPNKNNAKQKVGKPPAECATADFSGAALATVANIGRHAQADVDLSRRVMDKATIADVLRASFANRRNEHNWSGERKFERIEDYSGYGGTWSAKMGKRPILMEDSRRSTYYETQPSSSIYELPVSSSYNGTKKLLVGVQLQQSYSRSLARFAAQLGPVGWEIASRRIERSLPPGTKFGRGWVGDDETPNSFQPPVLAAFSETMTPPSSIAASGVQPSMNAPPATEACLGNVSHPVGSQPHAVPYASTSTSTAQRMNSEALASQQCGSVPQISINRGGLAVEMKGNPNNLHGHPPMQQTVNGFNAVPGPMMFPPAAQLVVNQMQTHTAD >Sspon.07G0014710-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:52629245:52629454:-1 gene:Sspon.07G0014710-1A transcript:Sspon.07G0014710-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNSSTAGVELEPSSAGAAPPPADAASAFSTDDSEDPESLASIAAGFSGATSATFRSSSISLLSNPTRK >Sspon.02G0032920-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:2765528:2769013:-1 gene:Sspon.02G0032920-1P transcript:Sspon.02G0032920-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVSSRLQSLLRPLLLCRSRAAGRAFQTLALRSPPPPPPPPPRLPSSFLLRTRRLPPPHPYGAPSRLLFHRPFASVSPAPAPVPGRDHLNSKDQGPPLPPAPLPPPPPEELASEDESYYHEHLLEVAQENQSRVVPVKAFFLCTSIDLRSLQSQNSFNVIPPTSRATNYVVLRYYDVKGDPEGFKSGVIDECHCHYMVVFQYGSIVLFNVSDHEADGYLKIVEKHASGLLPEMRKDDYAVVEKPTLETWMQGGLDYIVLRDLSIDGIRTIGSVLGQSIALDYYIRQVDGMVAEFTDINRGMEKTGTFTMERKKLFQLVGKANSNLADVILKLGLFERSDIAWKNANYAQIWEYLRDEYELTQRFGNLDFKLKFVEHNIRFLQEILQNRKSDFLEWLIIILISVEILISVYNIIQEQM >Sspon.03G0007080-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:34762748:34765437:-1 gene:Sspon.03G0007080-3C transcript:Sspon.03G0007080-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SMENGQQLQGDESSSAHALPSFPYFAVPSPPYAPPPSLPLPPSDDQHSTLITALQQQPSSACNNDDLPLHLGPDQLAAAAAAAVAPMILPPMVDWSALLQQASLMGPLAPGLLQQVPPLESLLDQSGENDGGDAGSSSSSKEKATKGGGGAAGGRSGKKKASRPRFAFQTRSVNDILDDGYRWRKYGQKAVKNSEHPRSYYRCTHHTCNVKKQVQRLAKDTSIVVTTYEGVHNHPCEKLMEALSPILKQLQFLSQF >Sspon.03G0004800-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3A:13481029:13481298:-1 gene:Sspon.03G0004800-1A transcript:Sspon.03G0004800-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLRRLQPQRIGRLRAHTDPAPAIAYGSPRPILCQRLRLATRDPFRASNPSRLRPSVKPATLHPATRSSPRRGTIPRLAPVLRRAPSPS >Sspon.06G0006030-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:18423094:18423475:-1 gene:Sspon.06G0006030-1P transcript:Sspon.06G0006030-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTDITASTKPEYPVVDRNPAFTKVVGNFPRSTTCASPPSPPSPSPLATSPVRLPPLPNLISHFTPIAQTRACRAGIKPGIRGPSMVTGGLIGVMGGFMYAYQNSAGRLMGFFPNDAEVARYKYKL >Sspon.06G0034780-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6D:54069842:54071008:-1 gene:Sspon.06G0034780-1D transcript:Sspon.06G0034780-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSGLRFPNLSVIVDKRIPIRGKEWCCIDLAREKLFNDDGTAPPPAPQATDESSEEEGKFASAAADAEDHKNKNKMNMKTMQRATLRPSPNMKFPVSKMTKGSNWYVDFLPLAGRNLLRVHDSGRTVLTMGAGSCVAKALPNLQRPKRSPLALVVPSSVPGDGDNGIGSILVMERSPVLEPRSVAAQLSEVRDSHQFEALVYCGISESWESIPLPPPPFMEYNNNPFFSIWYPTTTTTIRSYAVVPGGGSHAVCISVDAGAGAATYCFDTATRAWTKVGDWKLPFIGRAEYVKELKLWFGICPTTTTNKDKEQDLDVMQFQLGAADLSTMSTMSMDSQSQQPLPQPQLVGTWNELKLMMPPHGWTELRRPQFVNGAAASSVCQPGLWQ >Sspon.04G0018630-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:70115932:70124674:1 gene:Sspon.04G0018630-2B transcript:Sspon.04G0018630-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGNLDKIKNNNHTSEIVDSWELWNSFRLLCDHSSQLCVALDISSTLPSINSLVRWFGEPVKAAILQTNAFLTNSRGYPCLSKRHQRLLTGFFNHSVQVYYFYAFTITPSQPLYFHIFRVIISGRSNFNVFPASGVLSGDESYTEDSPVRHALTPYLEYIAYLYQRMDPLPEQERFEINYRDFLQSPLQVSPVVFAIDSMPLMDNLEAQTYETFEKDVVKYTQYRRAVAKALVDRVADDAVSTTRMAAEETGRKLKVYAVEKNPNAVITLHSLIKLEGWESLVTVISSDMRCWDAPEKADILVSELLGSFGDNELSPECLDGAQRFLKPDGISIPSSYTSFIQPITASKLHNDIKAHKDIAHFETAYVVKLHRIATLAPPQQVFTFTHPNFSPNASNQRYTKLQFEMLPDMGSCLVHGFAGYF >Sspon.08G0020120-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:20550658:20552944:-1 gene:Sspon.08G0020120-2C transcript:Sspon.08G0020120-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DGERRGDQEGAGGRTGAGRPVDVRQGGYCCYGHRGRCRRDVVRVAGGQRRPPPRPQPRRQGAPAPQKLLRNRVSAQQARERKKAYLSELEVRVKDLEKRNSELEERLSTLQNENQMLRQVRFYK >Sspon.04G0034900-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4C:79916722:79917082:1 gene:Sspon.04G0034900-1C transcript:Sspon.04G0034900-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSLGIPVKLLHEAAGHVVTVELKTGEVYRGSMVECEDNWNCQLENITFTAKVRFVSFGLPRLSIKSLLNNVQAMDGFQDGKVSQLEHVFIRGSRVRFMIIPDMLKNAPMFKRLEARIR >Sspon.01G0011390-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1A:31108760:31111394:1 gene:Sspon.01G0011390-1A transcript:Sspon.01G0011390-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:COX6B [Source:Projected from Arabidopsis thaliana (AT1G22450) UniProtKB/TrEMBL;Acc:A0A178WPQ4] MATYVSIGSFLKVAMHVGLLTFNEYSLPPQEVAVEKTAEEKPSSGTETEAAPSTNDEAPPSVEDKNETSEVQDTAEKSEAEETNTAAEETPAAEEASETAEEEEAEKPEIKIETAPADFRFPTTNQTRHCFTRYVEYHRCVAAKGEDAPECDKFAKYYRSLCPGEWVDRWNEQRENGTFPGPL >Sspon.04G0017240-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:12867558:12869593:-1 gene:Sspon.04G0017240-2P transcript:Sspon.04G0017240-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPSSKKQASTGAASSNDRPTMCGQGGDSGGLVLTTDPKPRLRWTPELHDRFVDAVAQLGGPDKATPKTIMRVMGVKGLTLYHLKSHLQKFRLGKQHKEFGDHTAMEMQRNVASSSGVIARSMNDRSVNVNEALRIQMEVQRRLHGELEVQKHLQMRVEAQGKYMQSIVEKAYQALGSSDCATWPAGYRSLGSQAVLDISTSSPSFSSIQDLQCFYGGSSHMDQLLHQMERPMDGFLTLGESCFIGSADNKKGPNHCSSSGKSSMTMWASEEQQQQAKSGNDQLQMGSSTRMEGAGTDVMDPVTGLYEGAMSGDSMDDSKGFEGSSSRLEMKTPAQQAPVGSQRI >Sspon.07G0009710-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:26423233:26425861:-1 gene:Sspon.07G0009710-2B transcript:Sspon.07G0009710-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQMASGGPALEPLVDQVISVITNDGRNIVGTLRGFDQATNIILDESHERVYSRKEGVQQLVLGLYIIRGDNISVVGEVDEELDSRLDMSKLRAHPLKPVIH >Sspon.01G0017190-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:58999703:59004502:-1 gene:Sspon.01G0017190-4D transcript:Sspon.01G0017190-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAWAAWLLVLMCFVAWTPRQILVTAATDANDVTALNTLFTSMNSPGQLQGWKVSGGDPCGESWQGITCSGSSVTAIKLPNLGLSGNLAYNMNTMDSLVELDMSQNNLGGGQQIPYNLPNKKLERLNLAGNQFSGAVPYSISTMPKLKYLNLNHNQLSGDITDIFSNLPSLTTVDLSSNSLTGNLPQSFTSLSSLKTLYLQNNQLTGSINVLANLPLDDLNVANNRFTGWIPNELKKINSLQTDGNSWSTGPAPPPPPFTAPPQARNRRKSPGQRSNGSNNSSSGGSSGIGAGAIAGIIISVLVVGVVVAFFLIKRNQRKGAMPEHYEQRQPFNSFPSNEVKNMKPIEEATTVEVESLPSPAAVNLKPPPKIERNQSFDDDDFANKPVAKKSNAAPVKATVYSVADLQMATDSFNMDNLIGEGTFGRVYRAQFSDGKVLAVKKLNSTALPRPSSDDFYELVSNISKLHHPNLSELVGYCMEHGQHLLIYDFHRNGSLHDMLHLSDDYNKPLSWNSRVKIALGSARALEYLHEICSPSIIHKNFKSSNILLDTELNPHISDAGHSSFVPDAEFQASDQGSGYSAPEVDMSGQYTLKSDVYSFGVVMLELLTGRKPFDSSRPRSEQSLVRWATPQLHDIDALDQMVDPALKGLYPAKSLSRFADVVALCVQPEPEFRPPMSEVVQALVRLVQRANMTRRMIDGEEASRRPDARNRNSSNKL >Sspon.03G0025230-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:76232577:76234056:1 gene:Sspon.03G0025230-1A transcript:Sspon.03G0025230-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding CPSCCCTGKPRRAFSIRAAHSGLSDVSVESLPLPDNEAPVTGAAYSFTGATTSLTNRILTSSKKVTLVRHGLSSWNAESRVQVGSNLSVLTETGTKQAEKCRDALANIKFDVCFSSPISRAKVGAEIIWKDKEEPLVFLDSLKEAHLFFLEGMTNGNAKKQYPELYTRWREDPAHFHVNGIYPLREVWRTARQAWEQILLTPVYPPFLLLLFRF >Sspon.03G0009840-3P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6A:5104335:5105417:1 gene:Sspon.03G0009840-3P transcript:Sspon.03G0009840-3P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MERYREQKKDLHMVFIDLEKAYDKVPRNVMWWALEKHKVPTKYVTLIKDMYRDVVTFVRTCDGDTSDFPIKIGLHQGSALSPYLFALVMDEVTRDIQGGIPWCMLFADDVVLVDDSRAGVNRKLELWRQTLESKGFRLSRTKTEYMRCDFSATRHEEGDVSLDGQVVAKKDTFRYLGSMLQKDGDIDEDVRHRISAGWLKWRQASGVLCDKKVSQKLKGKFYRTAIRPAMLYGAECWPTKRRHVQQLSVAEMRMLHWCCGHTRRDRVRNDDIRDRVGVAPIEEKLIQHRLRWFGHVQRRPPEAPVRSGVLKRADNVKSGRGRPKLTWDESVKRDLKEWNTPKDLAMDRSAWRLAINVPEP >Sspon.05G0020800-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:87999309:88003848:1 gene:Sspon.05G0020800-1A transcript:Sspon.05G0020800-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable serine/threonine-protein kinase PBL8 [Source:Projected from Arabidopsis thaliana (AT5G01020) UniProtKB/Swiss-Prot;Acc:Q8GXZ3] MGNCGTREENAVVAAHAQVQQLHLLQHPVKNAIADRKHTRTSSDISDPSTPRKVEDAKNISIYNDVIAFTLFELETITKSFRADYVLGEGGFGTVYKGYIDENVRVGLKSLPVAVKVLNKDGHQGHREWLTEVNCLGQLRHPNLVKLIGYCCEDDHRLLVYEFIYTLPHLRDYTAKLSDFGLAKAGPEGDQTHVSTRVMGTYGYAAPEYVMTGHLTARSDVYSFGVVLLELLTGRKSIDKSRPSREQSLVDWALPKLNDKRRLLQIIDPKLEGQYSVRAAHKACSLAFYCLSHNAKARPLMSDVVETLEPLQGSSGSDGSGQSSGLPDYRGRRRLTGNSVHFRAIPNPKCSPAVPACRVR >Sspon.04G0008610-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:25571350:25575831:-1 gene:Sspon.04G0008610-3C transcript:Sspon.04G0008610-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAAAKKMTRSISRQLSSGAARLWRQLSLDPHTPRRGGPGPAAGARQQTRFAIARQSSLDPTPHGGPDGSSAHQQLAVPENLDATMRLLFAACQGDAAGVEELLRSGVDVDSIDLDGRTALHIAACEGQGEVVRLLLDWKANINARDRWGSTPAADAKHYGHFEVYNLLRARGAKVPKTRKTPMAVSNPKEVPEYELNPLELEFRRGEEGTYLAKWYGSKVFVKILDKESFSDADSINAFKDELTLLEKARHPNLVQFVGAVTQNVPMMIVSEYHQKGDLASYLEMKGRLKPHKAIRFALEIARGLNYLHECKPEPIIHGHLSPKNIVRDDEGQLKVAGFGSLSLTKVSEDKMIEGTPAFHPNPQEEAAKMICLEGSRPTFKNKPKYYPSDVKE >Sspon.08G0010310-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:45336869:45337925:1 gene:Sspon.08G0010310-1A transcript:Sspon.08G0010310-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPGVATADDARFCTPWAPPHFENWGDSGIVVTSPLAETASTDVDDVSGGNHHYDAQMVCSARVYSTSILVSTGGAITQSVDGHENSLPVCKVESRDHKAQRRLAQNREAARKSRMRKKAYIVELENSRSKLAHLEQELQRARQQGMFIASGRSSDHGGSTGGK >Sspon.02G0021470-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:65409655:65411738:1 gene:Sspon.02G0021470-3D transcript:Sspon.02G0021470-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEISGTSALTIFLFVVPLLILVSSLRANSNSRSKKRRPPGPWAFPLVGSIHHMLTSQPQAALRDLAERHGPVMLLRLGQVDTVVVSSPAAAQEVLQGNDLSFASRPSLVSSEIICYGNLDLAFAPYGDYWRALRKLCVLEPGAPQRAQGQAFRAHQGQRDHIRAAAAAAAADGGGEEAATVNLGRLLVSCTNSITGLATFGDGCSGERKEQFLSAVAVVLSHAAGLSVSDLFPSLWFLDVLTGTTRRLWRAHRQLDELFDKIIEECEARWKDESAAAGDNLLSIMLRVRDNEEFAFPFGNANIKAIIVDLFIAGTETISSTAEWVMSELIRHPEAMAKAQTEVRTAFNNISPQHHESHMDGLHYTRLVIKETLRLHPSVPLLLPRLCRKTCDIGGFEVTQGCRVMINAWAMARSPEYWGDDGEEFRPTRFETSVADYKGTEFQYLPFGSGRRMCPGAAFGTATLELVVARLLCYFDWSLPGKMRPEELDMETIVVSTARRRNQLHLVATPHD >Sspon.06G0024380-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:54440021:54441709:-1 gene:Sspon.06G0024380-2C transcript:Sspon.06G0024380-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFGTHLACASVSAVDLLLAVRRYTRPRTTVIKLQVLLVALLGLAKSLQKDLNEIAERVEASNQRWYKFILTETICSLRRHNNCCISSSLSLTILVAADGAQKFPEVRNYADLEAAAEKLNSIPARQIQ >Sspon.06G0007630-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:31644095:31647706:-1 gene:Sspon.06G0007630-1A transcript:Sspon.06G0007630-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:BR receptor kinase, Brassinosteroid (BR) perception in the roo [Source: Projected from Oryza sativa (Os08g0342300)] MAAPISMLLLLLHLARAVAAGTDDEAAALLAFKLASVTADPAGRLASWGEPDSTSGSGSPCEWTGVSCADGRVRALNLSGMSLVGRLHLDTLLALPALQSILLGGNAFHGNLTHRALPRRTAPPCVLVDVDLSSNAFNGTLPRAFLASCSNLQLLNLSRNTLTGGGFPFPPSLRTLDMSRNKLSDTGLLNYSLNACHGIQYLNLSANQLTGRLPEFPQCSQVSVLDLSGNLMSGALPGRLLTSAPANLTHLSIAGNNISGDISRYEFGGCANLAVLDFSYNRLSAMGLPPSLANCHHLKELDMSGNKVLSGRLPKFLGGFQALGRLALAGNNFTEEIPDKLSLLCGTLVELDLSSNHLIGGLPASFSRCRLLEVLDLSSNQLSGDFVVTVISKISSLRVLRLPFNNITGTNPLPTLAADCPLLEVIDLGSNMLEGEIMPELCSSLPSLRKLLLPNNYINGTVPPSLGSCSNLESLDLSFNLMVGQIPSEVMLLPKLVDLVMWANNLSGEIPDMLCSNSKTLETLVISYNNFTGVIPPSITTCVNLIWLSLAGNNMTGSVPSGFGNLQKLAILQLNKNSLSGPVPAEFGHCSNLIWLDLNNNNFSGAIPPQLAAQAGLITGGIVSGKQFAFLRNEAGNICPGAGVLFEFLDIRPERLAQFPAVHSCASTRIYTGMTVYTFNESGSMIFLDLSYNSLTGTIPASLGNMTYLDVLNLGHNDLTGAIPDAFTGLKAIGVLDLSHNHLTGVIPAGLGCLNFLADFDVSNNNLTGEIPTSGQLITFPASRFENNSGLCGIPLDPCMHNASTGGIAQNSRNGRMKFLEEFVLLAVSLSVLMMATLVVTVYKLRRPRESKTEEIQTGYSNGLSSSTSISWKLSGSREPLSINLAIFENPLRKLTYAHLHEATVGFSPEALIGTGGFGEVYKAQLKDGSVVAVKKLMHFTGQGDREFTAEMETIGKIKHRNLVPLLGYCKIGDERLLVYEYMKNGSLDVMLHEKGKTDASLDWATRKKIAIDDQPNRRPTMIQVMAMFKEFQIDSGNFFLDGFSIDSDRGII >Sspon.06G0000160-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:776885:779595:1 gene:Sspon.06G0000160-1A transcript:Sspon.06G0000160-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFQEAGDLDKDVHHLKRQVMAHRRLVQHLSTNCLYSSSSSSMVLPSSGGSKEEEADMDGIGILPYQREHDEELELDVLLSEHRMDEALQLLELQGQALQTMQQQTAGAGADDDGEAIAFASSVRALSARKARVAARLASLGENPRTPRPELLKALSGLCRLGDAERANHLLFELHRTSVARGVEELRASQGHHHNSGGGGGGGNYIKDLARVVFSSIVRTSRSFVALHGHPSPYTPRLVRWAREEMEDLSAAFSEYVRSMSSPATAAHSLALALEAAECAVSYSPLLRAVDVVASERDVVALVVPCVRDAVAMYGRHLREVVRLLVASDAWVLGRFLMPPGVVQGAAGAPQAEYCLLTTNGRKFVTLIQEVVEDVAWPLHSLGIGTNDSVGLQLVAELFREYMHSIVELIPRKEAAALQLKDLLQLQDEANGGDERYTWQLAVLINCTTLVSLFPTMASGVFRTPPSSSPDFPASAQREVNSLISLIKEAAGQVWSCFCQQFIRDTMSSSAQGRRFGSGTPPPPPPPQAQGAMMPSMAFQVVFLRVRRLNEVYGAILSGEDGTMKKLLRELMEAMICWLSSNLDSWAVHGAAQVQLDVHFVLEFAQLGGFCSESIRSGAMDLLIKAQEKVAGGELDDVDEVGGGGWAGDAAKHAVQVLLAMGDGGVAAVDAGEESDEMARRNGSDEEAGQEEALEDEDETVTTNKSSDEFISLEDEEDEDDGVRMPPPAAISSQIQKPGYSEMGDGEERTNIRSGHHPELEQAEIGGEDNVTQEEEEEEEEEEEEEEEEEEEEEEEEEEEGMCHDAIQVEEQSSSSWEDIIDGEGGGGGSSRTRRQSTPLVMAAGKHAPSRSRKKREAVSRSSRPRWHV >Sspon.02G0042570-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2B:84932899:84933195:-1 gene:Sspon.02G0042570-1B transcript:Sspon.02G0042570-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKVAILLLLVLLAMVSPHQATKLGCRDFEKARILHDCRDFIRPGGRYLIPLRTGNCCWAVRAVPGLDMPCILTLLTPVEKKEHSESKILGLRNVCR >Sspon.01G0006010-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:34344622:34354773:1 gene:Sspon.01G0006010-3D transcript:Sspon.01G0006010-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLRISPSMRSITISSSNGVVDSMKVRVAPQPPPPPPPPHGAARRGGGGGGGWYWRAVAFPVVVALGCLLPFAFILAAVPALEAGGSKCSSVDCLGRRIGPSFLGRQGGDSTRLVQDLYRIFDLVNNEEFPSNEKLPESFRDFLLEMKDNHYDARTFAIRLKATMESMDKEVKRSRLAEQLYKHYAATAIPKGIHCLSLLLTDEYSSNAHARKQLPPPELLPLLSDNSFQHYILASDNILAASVVVSSTVRSSSVPEKVVFHVITDKKTYPGMHSWFALNSISPAIVEVKSVHQFDWLTRENVPVLEAIENHRGVRNHYHGDHGTVSSASDNPRVLASKLQARSPKYISLLNHLRIYLPELFPNLNKVVFLDDDIVVQRDLSPLWAINLEGKVNGAVETCSGEDNWVMSKRFRTYFNFSHPVIARSLDPDECAWAYGMNIFDLAAWRKTNIRDTYHFWLKENLKSGLTLWKFGTLPPALIAFRGHVHGIDPSWHLLGLGYQDKTDIESVRRAA >Sspon.07G0011670-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7C:47493852:47494151:-1 gene:Sspon.07G0011670-2C transcript:Sspon.07G0011670-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MELAASASASVCSAAYHHLSAPAAAAADRGGDSGVGAQEETTTTRLDRRRRKRAAGGGGGCAGLRRRCYAVLKQQRTRLYILRRCVTMLLCWHEHDLSD >Sspon.08G0000590-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:633258:635111:-1 gene:Sspon.08G0000590-2B transcript:Sspon.08G0000590-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPPPSPSPSPRRRATTRVLLRLLFLVALSLAALLAFLTSTPSSASSPARRALQLVPPRRHRQPLTTTLLAVRRDEDDEARPTSPVAPRAVGQDQHGSHSSVSDLDAVLLPDWEVLVLLRPNDDDSLPSGNATCAFPGGARSPARPLGRMPASGRRAYTCAMPRPERRHSRPFRAPRLVVVDTLSSSAAGDKDVAPAAAAARSSTPEMMRWSGRLVYDAAALAGGGDGVLVFAKGVNPRQGVNRDAADVRCIYYRHSAATGNGDRDVVASFPAATSAQHVFRCPPPPPSTAEAQQLRITLAVAGEDPIPSVATYNPPPPQDAETAQTATKKKLVCACTMVRDVAKFLREWVVYHAAVGVDRFYLYDNGSGDDLEGQVRQLSAEGFHISTHAWPWPKTQEAGFSYAAVAHRDSCEWMAFVDVDEFIFSLDWAGSGKPTKSMLRSVVTAIERDVGQVTLGCKDFGPSGRTKHPKEGVTQGYMCRRRAEERHKSLVKLDSVAPSLMNSVHHFKLRPEFKWERSRDARVNHYKYQAWDEFKVKFRRRVSTYVVDWTDRVNHGSKDRTPGLGFEAVEPTGWPHRFCEVEDTLLRDVTRRWSGVGFTNKLAHRPVGGTTHSSS >Sspon.03G0001610-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:15308493:15309497:1 gene:Sspon.03G0001610-3C transcript:Sspon.03G0001610-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MACSVIPMMMPDEMFRRVNAAPPHQQRMTASVPVSAPEPAPEKLRLSDLDWIGDLGEGGLARVCKARHCRTGAVFALKLSFDPDPFVVEEEAEVLRRAAGAPHVVDFHALLRGPGGKAAFVLEYMDAGSLGDLLRRRGGLGIPEAAVAEVAAHCVVALAQLHSRGVAHLDVKPDNLLANACGEIKISDFNLSRILYGGSGERLQVPITGGTRMYLSPERFAPNARAGPHGAMAADVWGLGVTVLELFLGRLSLLPGVQKPSAEEMKQAICDGEPPSVPEDAEASAELRGFVAACLQKEPARRATVGQLLSHPFVARRDAEASRRALREIIVDTL >Sspon.05G0011740-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:34094778:34095640:-1 gene:Sspon.05G0011740-1A transcript:Sspon.05G0011740-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GHGPDGDGAGAADDGQGGRGLVSPHADDAHVLLLGRPRGGAVPGVARRARRRGVPPLPPLRARARRAHRGARRGLALRRAPRRGGWGGRARPGVLGRAAHRGARRQDGHGVPRHAGRHVLQRRRAPRRRGRPRARVPPRAEQGAPWRRGCRRRRRQWPRARWHGRWTSSGWLQGLLLCSLMKS >Sspon.07G0019420-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:71895906:71902097:-1 gene:Sspon.07G0019420-1P transcript:Sspon.07G0019420-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMRAAAALPRRSPRPPEPGSSSSRAPTPGAAFDPFDVDTDPPPRPELSPQQIGLCSDALAHFEGKGKRWDDLSNEYRSLSATEDNRVATFISTQGPLVRTFGDFWEMIYEYQCPAIVMLTQFDSIKCDEYLPRGSGRRTYGNYDIKVSKTRTDSHHLQLRDVKSGKVSFSSAMSPTRIGRTGAYITIHNTIERILLGDKSSYDLVETVKNFRSQRPGMVQTEEQYKFCYRAIADELKDLLNSDH >Sspon.01G0036840-3D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1D:16525544:16526362:-1 gene:Sspon.01G0036840-3D transcript:Sspon.01G0036840-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPKPAAVAIVSLPVQSIPSFDVLSYPGLLMHPSWPRYRNVTTARKRRSSPLSRPRLLPLPPPRLLAVAIAFAFALLFLLLVLLFATSPTPSPPSHHHAVVARSSSSSAPPSPRCSSAAASLGELGDAMVSMLPKDLPFTVFVPSADSFSRVLRLQGPSSNSSAAAAGGEAAASDTDANTYAILSRVLSFSAVPRRLLAADVPPPPRGAAAVRLLDSVSGLRLYASRDARGALVVNGVRSECVDIVRGETVVHVIAGVLMDAEFERSFSAEFD >Sspon.02G0011970-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:35714848:35718443:1 gene:Sspon.02G0011970-2C transcript:Sspon.02G0011970-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding METGVAVAAAPHVASATGSGTVEEQAAGVGILLQISMLVLSFVLGHILRRRKFYYIPEASGSLLIGMIVGGLANISNTQKSISLAPKPFFSNFDAIITFAILGTFIASIVTGLLVYLGGLLYIVYRLPLVECMMFGALVSATDPVTVFATTYQILKEFVHELGTDTNLYALVFGESVLNDAKLFLTSYPLFNFFLLMLIKFSVPFYGLSFKVAISLYRTMASIRTHHSGKNFFLVILRFLENFVGSMSSVTIICTRYMLAEGIGLSGIVSILFTGIVMKRYTFSNLSDDSQRFTARFFHLLFFTSRSFR >Sspon.06G0017370-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:76069997:76073684:-1 gene:Sspon.06G0017370-4D transcript:Sspon.06G0017370-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSFKGHVLPGTLFLLVGLWRVWSAAARHAASPSTFRVRAWNPVPSSSPLRLLELYVIAGGAFADMCVEVLYSTHLRVFVDGGEVNPAHLNDLEHGGMLLMFFLFGALALASQLCPRYFPLTDGLLCLIAATAFTAELVLFYFHSTTHMGLEGYYHHLVVVLVALCVAATVLGALLPESFPVDLASGVLIALQGLWFYQTAFTLYGPMLPAGCARDADGHIECRARAAQERAEQLANFQFFGLVFLAFCYVLGCYAVAAARYGHRELAVMHDKHVAAMECHGDAGGDRQEDCAI >Sspon.01G0029430-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:98595632:98597694:-1 gene:Sspon.01G0029430-3D transcript:Sspon.01G0029430-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMSNASSGMGVAPNIRETFVELQMKKAFRYVIFKIEEKQKQVVVEKTGATTESYDDFLASLPENDCRYALYDFDFVTGENVQKSKIFFIAWSPSTSRIRAKMLYSTSKDRIKHELDGFHYEIQATDPSEVDIEVLRERAH >Sspon.07G0010610-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7A:34343759:34345204:1 gene:Sspon.07G0010610-1A transcript:Sspon.07G0010610-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding PRVRSLPRRPVPSSRRCLARRTERAGRDGCGSLRSAPLASSSVASPPSRTRRPPAASIHRRRRSARLQRRRSARRGCGGRRGRPGRIPARIHRPLPAAATLAAAAGAGAGGQGAARRGSVAAASSPPLREPIAPPPLLLLLRYVSVRLHCVALPFVVFIDTPEDEAAAAPSRLQRRRYKLSIRSPRPSPSTADNAPLPATPALSAGLLRGRAGKPPPHFSPSATPDLSVDLDFSATPALSAVSEVSGCAAIAWRPCRRMARLTVPRPRQLGVATTSSTVFAATSTRRILPRLRPRRPRPRLLRRKKGYPPLRSSHYVCDTIATAGGCHRFGFVRLQSHRVCAPVVTAGGCWSVRWKGYGPNDDTWEPMEGLK >Sspon.08G0027730-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8D:974265:974695:-1 gene:Sspon.08G0027730-1D transcript:Sspon.08G0027730-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQMLVFVDVLIREVVVTKGEPVPYMKLSNLLGVHGALSKALSEIWLLFHSLPSAQVQVVEHKIASLLSTKEAKAAEAVWSTMEKIQACILESMDDGGDSSGTPPLQGSSGIHKSTVSVMTYIKFLQKNYLSVVSIVSEAACL >Sspon.03G0024440-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:74437510:74438670:-1 gene:Sspon.03G0024440-1A transcript:Sspon.03G0024440-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MWPKEEEMFVAVRRTEHVEVTSRAVEVAPAAAKGREAAGASAVAGPRTVRVFCDDYDATDSSGDEAEEDAAARRRVKRYVQEIRLERAVKEEAPAGRAAPSSAAATAGAAGERTTRLVDDAPVPVPDEVAERLPQPPASAPAPAASKNASSSATSYDSGEESHAAAASPTSVLRSFPPSAVADDTCSKAAAKKSAPAPAVPAAPAPETDESTGGSSSVFGCPFSSADDCFGGEFPPLYTDFDLLADFPEPSLDFLADIPEEPLSLPPSIPDGTPDELPSDAEPASPARWQQVDDFFQDITDLFQIDPLPVV >Sspon.03G0006400-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:17521575:17526767:-1 gene:Sspon.03G0006400-1A transcript:Sspon.03G0006400-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MESAAAQACAACGDDARAACRACSYALCRACLDEDAAEGRTTCARCGGDYAAIDPAHGNEGAEAEEHHAAGGLRERVTMGSHLSDRQDEVSHARTMSSLSGIGSELNDESGKPIWKNRVESWKEKKNEKKASAKKAAAKAQPPPVEEQIMDEKDLTDAYEPLSRVIPISKNKLTPYRAVIIMRLIVLGLFFHYRITNPVNSAFGLWMTSVICEIWFGFSWILDQFPKWYPINREAYVDRLTARYGDGEESGLAPVDFFVSTVDPLKEPPLITANTVLSILAVDYPVEKISCYVSDDGSAMLTFESLAETAEFARKWVPFCKKYAIEPRTPEFYFSQKIDYLKDKIHPSFVKERRAMKRDYEEYKVRINALVAKAQKTPEEGWIMQDGTPWPGNNPRDHPGMIQVFLGETGARDFDGNELPRLVYVSREKRPGYQHHKKAGAMNALVRVSAVLTNAPYILNLDCDHYVNNSKAVREAMCFMMDPTVGRDVCYVQFPQRFDGIDRSDRYANRNVVFFDVNMKGLDGIQGPVYVGTGCCFYRQALYGYGPPSLPALPKSSICSWCCCCCPKKKVERSEREINRDSRREDLESAIFNLREIDNYDEYERSMLISQMSFEKSFGLSSVFIESTLMENGGVPESADPSTLIKEAIHVISCGYEEKTEWGKEIGWIYGSVTEDILTGFKMHCRGWRSIYCMPVRPAFKGSAPINLSDRLHQVLRWALGSVEIFLSRHCPLWYGYGGGRLKWLQRLSYINTIVYPFTSLPLVAYCCLPAICLLTGKFIIPTLSNAATIWFLGLFMSIILTSVLELRWSGIGIEDWWRNEQFWVIGGVSAHLFAVFQGILKMIAGLDTNFTVTAKATDDTDFGELYVFKWTTVLIPPTSILVLNLVGVVAGFSAALNSGYESWGPLFGKVFFAMWVIMHLYPFLKGLMGRQNRTPTIVVLWSVLLASVFSLLWVKIDPFVGGTETVNTNNCNTVIC >Sspon.02G0017940-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:41639430:41642226:1 gene:Sspon.02G0017940-1T transcript:Sspon.02G0017940-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPHRAGRAWQLQVLPALLILAQSLELCASDTIVPWNLALGTEHPAAVWALHICYHRLTMARIMNQQSRAFLKGTVEFE >Sspon.03G0017680-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:55001687:55005555:-1 gene:Sspon.03G0017680-1A transcript:Sspon.03G0017680-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein TRIGALACTOSYLDIACYLGLYCEROL 4, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G06960) UniProtKB/Swiss-Prot;Acc:Q9M903] MSLRRMRWMSDGDGRWELDAETPVTMEGTVRPVPGDPLPLGLSRGYRVTRPKQLDFFHRFMASPLVPTFSAARDGLSVNHAHILYITDNWSSTILEKINVDKLVSVVKEKFANRQEEASWTKDLKKHLHDVMSLGLPHHNITFQASWPGLFVDKKGAYWDVPLSLSADLASVGSSSGLSYHLLLQQNSGEPKYFGGDETDDVPIALLPGLCAKAAISIKKSIDAWRKKEDKLKNVQPYDIFLSDSHVSLTGIIGAVASGYLGDCSRRVAILNETQKSNACRMFDERNRCAAFADLFASVTFTAQYGNFQRLFLDLTKASARFDITSGSLFLCGASRLAQDFFFSRRPDVETFCDICPDVTVSLQQQIVGPFSFRVESSVAIDPRSQDHLVRVDDPIFAIDWALKVLGSAKATAWYSPKHQEAMVELRFYEA >Sspon.03G0008710-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3A:23341855:23342321:1 gene:Sspon.03G0008710-1A transcript:Sspon.03G0008710-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding PSKHELPLKASADVLRDIAVVQGGHIRCVEHQTQVRPWSHINGTYISDDWTVTTWSWKVTNPALPLLGRGVGRGTARLTLHTFQAAAHRAGHPTLSLDDDDVVYVVAKVDDRDDKAFVLAINMRYGARQAADYFRAERM >Sspon.08G0020950-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:30712117:30716137:-1 gene:Sspon.08G0020950-2C transcript:Sspon.08G0020950-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPQERDYIGLSPAAAATELRLGLPGTEEADGGEAAAGTPLTLELLPKGGAKRGFTDAIVRREAAARGKAPAEDEEDKKKTQAPAAKAQVVGWPPIRSYRKNTMAMNQPTLKTKDDGEAKQALVQDCLYIKVSMDGAPYLRKVDLKMYKNYKDLSLALEKMFSCFTVGHSESNGKSGREGLFDCRLMDHKNGTELVLTYKDKDGDWMLVGDVPWRMFTGSCRRLRIMKGSDAVGLAPRVSDKSKNG >Sspon.07G0008700-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:20772424:20777152:1 gene:Sspon.07G0008700-4D transcript:Sspon.07G0008700-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVQDRLSPHAAAAAGQNAKPSSSPRGAPGADRRHPRPFAKNLDFASWASEHSSKLLLLLFAVASAAAVFLLRGAAPDAAALLCLDRSARSGSGAPAKLPYPDVAWSKVPPLAIAAGSPFASFRAERWIVVAVSSPPTAALAALARVKGWQLLAVGDSRTPAGWELKGAIFLSLELQAQLGYRSVDFLPYGSHVRKTAGYLFAIQHGAKVIFDADDRAEVPGNDLGKHFDVDLESGVTNHPVLLQYSHADPNRTVVNPYVHFGQRSVWPRGLPLDKVGEVAHEVFYTEVFSGRQFIQQGLSDGLPDVDAVFYFTRKPPTSAFDLRFDSEAPKVALPQGMMAPVNSFNTLFQSPAFWGLMMPVSVSSMAADVIRGYWAQRILWEIGGYVAFYPPTIYRKDHIQVYPFAEEKDLHVNVGRLIKFLNEWRSNKRTLFEKILDLSYAMAEEGFWTEQDVRLTAAWLQDLLAVGYRQPRLMSLEIDRQRATIGEGDMKEFVPKKLPSVHLGVDEIGTVNYEIGNLIKWRKNFGNVVMIMHWACGSHSLEWRLLYGRIFKTVIILAEQSNAELAVERCTLSHAYKYLPKVFERYSGADGFVFLQDHMILNYWNLMQADKEKLWITNKIAHSWVTVPLETNKEEWFVKQGAMVKQVIGSSPVHFQTNYKESMGKDKIAFCGSELFYVPRRFVEDFGDLVGLVGDLDLHHKIAVPMFFLAMDSPQNFDSDALAGTVFKNQLPANATFSSIYTAQAPAVFPVKVMNEIDFIKVIRLMSIGDPLLMELLFISLLLYIGVSLVTRLLGISSVQLSC >Sspon.05G0030820-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:10986052:10988785:1 gene:Sspon.05G0030820-2D transcript:Sspon.05G0030820-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding METVDNALVEGAILWLAQTILANLLLDKLDAWLRHVGLADETERLMSEVERVEMVVAAAKGRANGNRLLTRSLARLKELMYDADDMVDELDYYRLQHQVQGDMFASANELQGTNGVGDGAEQHLVADKEIHSTIASIGKMTALQELPMFNVRNASGFDIRQLQSMDQLVQLNIYGLNNVKSKQEAGEVRLTDKGNLEQLCLSWESWESDSESCSSESSDSDSSNLGNSRETTSENLCSSQVEQRSWKSNLEGTSSVIPQKVLRLAEFRRNIG >Sspon.01G0046540-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1B:98355402:98355722:1 gene:Sspon.01G0046540-1B transcript:Sspon.01G0046540-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRRRTRSRKAPDAAPVAAPDAVTEDRRVRSVQRGRQARGLGFDTGASGDPRDRRVRSGEQRVTKSSDAVRVRSLTIGRVRWSRELTGLAPDAGTVASGGCEERVW >Sspon.02G0057530-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2D:60385338:60387188:-1 gene:Sspon.02G0057530-1D transcript:Sspon.02G0057530-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEEWRSILVPIFKNKGDVQSCTNYRGIKLMSHTMKLWERRYREQKKDLHMVFIDLEKAYDKVPEMSCGGPWKSTKSQLIDDSRAGVNRKLELWRHTLESKGFRLSRTKTEYMRCDFSATRHEEGDVSLDGQVVAKKDTFRYLGSMLQKDGDIDEDVRHRISAGWLKWRQASGVLCDKRVPQKLKGKFYRTAIRPAMLYGAECWPTKRRHVQQLSVAEMRMLRWCCGHTRRDRVRNDDIRDRVGVAPIEEKLIQHRLRWFGHVQRRPPKAPVRSGVLKRADNVKSGRGRPKLTWDESVKRDLKEWNIPKDLAVDRSAWRLAINVPEP >Sspon.03G0031380-1P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8B:10649338:10650365:-1 gene:Sspon.03G0031380-1P transcript:Sspon.03G0031380-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGARRLNLVPWLLAVVVLALFAPPGISGAESLAFDGKGEGPYAGVSDGRILKWGGSAVGWTTFAHSANYRKIPLCTAGAVPSVETESMCGRPLGLQFHFKTGDLYIADAYLGLMRVGPGGGEAEVLATGADGVPFNFVNGLDVNQATGDVYFTDSSSTYPRRFNTEIMMNADATGRLLKYDARTKSVTVLKAGLPYPNGVAVSRDGAQVVVAHTVPCQAFRYFLRGARAGQYELMADLPGYPDNVRRDGKGGYWVALNQEKQRLDAAPATAPAKHLVGVRLDAHGVEVEELTAVKGVTLSDVAERRGKLWLGSVELEYIGL >Sspon.03G0006780-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:33761183:33765815:-1 gene:Sspon.03G0006780-2C transcript:Sspon.03G0006780-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKFTAEELRAIMDKKNNIRNMSVIAHVDHGKSTLTDSLVAAAGIIAQEVAGDVRMTDTRADEAERGITIKSTGISLYYEMTDESLKNYKGERDGNQYLINLIDSPGHVDFSSEVTAALRITDGALVVVDCIEGVCVQTETVLRQALGERIRPVLTVNKMDRCFLELQVEGEEAYQTFSRVIENANVIMATYEDKLLGDVQVYPEKGTVAFSAGLHGWAFTLTNFAKMYASKFGVDEAKMMERLWGENFFDPATKKWTTKSTGSPTCKRGFVQFCYEPIKQIIKTCMNDQKEKLWPMLQKLNVTMKADEKELIGKALMKRVMQTWLPASTALLEMMIFHLPSPAKAQKYRVENLYEGPLDDVYATAIRNCDPEGPLMLYVSKMIPASDKGRFFAFGRVFSGKVATGMKVRIMGPNYVPGQKKDLYVKSVQRTVIWMGKKQESVEDVPCGNTVAMVGLDQFITKNATLTNEKEVDACPIRAMKFSVSPVVRVAVQCKVASDLPKLVEGLKRLAKSDPMVLCTMEESGEHIIAGAGELHLEICLKDLQEDFMGGAEIIVSPPVVSFRETVLEKSCRTVMSKSPNKHNRLYMEARPLEEGLAEAIDEGRIGPRDDPKVRSQILSQEFGWDKDLAKKIWCFGPETTGPNMVVDMCKGVQYLNEIKDSVVAGFQWASKEGALAEENMRGICFEVCDVVLHADAIHRGGGQVIPTARRVIYASQLTAKPRLLEPVYLVEIQAPENALGGIYGVLNQKRGHVFEEMQRPGTPLYNIKAYLPVIESFGFSSQLRAATSGQAFPQCVFDHWDMMGSDPLEAGSQAAQLVLDIRKRKGLKEQMTPLSDVLLRLFAIHRDVTDETVGIC >Sspon.02G0052470-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:92543057:92547075:1 gene:Sspon.02G0052470-1C transcript:Sspon.02G0052470-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQCPLHVCRFDSEIGTRDLVLFSAVKYQRMLNKENCWVIPGISGIILCYCCSGLRRLLAIPDPSDNASPSTASSCDHLDCHRGPFLVVFVGTSPQEVYAYVCSSQARAWSHTASAAREAADSSSLFYQNELCRSAVARNAVYFRLLNNVRVLKYNLSVQEISSVDLPGVPARWQTWDQIRPQILLTTTQYGGLGVARIDMPWIHLWSGEAGPDGLFRWELAPVIKLETVHHADLPPFFVVDIVHSLGAIIIWAHDGVFNVDIESNRVTKLCDDNCASYSVPYMSFCIPALSAAFTGEGSGVPKCKKNKRKRKRKRKKNKMKLNS >Sspon.07G0002370-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:5850992:5854792:1 gene:Sspon.07G0002370-1A transcript:Sspon.07G0002370-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSRRNSGVILREGSVRDWSEFNDPSPSPKLLYSQSYVAMRGLLASVISLDFFLLSSKLKSACVAMTSQRHSRSQERSKSKGLSCRRVAVHLLFFFMIGIFIGFMPLFSVDVYKKIVSENKMLPFHEGVIETETMGNKVNELETVVVEKEVEPIDEPQVEESPPVPAMLDDEADFAESSPALPAIEESDIVAKKLLIIVTITSVRPQQAYYLNRLAHVLKAVQAPLLWLVVEWPEQSYETAEILRSSGVMNRHLVCRKNTTSVRKIAVCQRNNAIYHVKRHHLDGIMHFADEERSYSADVFEEMQKIRRFGSWPVAIHVGTKYRAVLEGPICKGNRVMRWHTVQTAQKKSLTRRFPIGFSAFAFNSTMLWDPQRWNRPPMDSVIVHSGGRGGLQESRFIEKLVKSERQIEGLPDNCNRVMVWNFNLEPPQLNYPTGWAIYKNLEADMPIRVFGGRKKHLVDTNTSQVT >Sspon.06G0022770-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4D:76109366:76114122:1 gene:Sspon.06G0022770-2D transcript:Sspon.06G0022770-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding EDLLTPRTHLQNAIWTFLYKCVEPVLSSWPVNKLREKALNKLMEHIHYEDENSQYICVTAGSKPLNIICCWVEDPNSDAFKRHLARIPDYLWLAEDGMKGMGYDGCQIWELSFTIQAFCATDLVNEYGSTIKKAHEFMKHSQIPRNHPGDQSNWHRHQSKGSWTLSSADNGWAVSDTTAEALEAVLLLSEISCAIVGDPIESERLHDAVDFLLSLMNKDGSFSTYEPKRTYSWIEPCLGQRWCCSSGTTTVEPWYAEFSPQLKTHGVVPSKVKRTTIIMVYENIEGECAHAVNTAWAMLALIYAGQVTFYVGFWLIRNFDEAQF >Sspon.04G0033660-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:66274529:66278902:1 gene:Sspon.04G0033660-2D transcript:Sspon.04G0033660-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDEVNSVYVGGLPYEANEEMLRDAFGYYGTIVSVKVINDHSVKGKCYGFVTFTHPRAAEQAIAGMDGKKLGNRIVRVNEVRTRGPRDFGRDGFRRDPRRYGRDPYWDRRDRERSYDRERDPYHDRDSDRSREHDRERDYDHGGFNREIDYPMDRDDEVDERRPREHDRAAEMHNMDSDNDKDREHGTRKSRPKGRDSRDLSSSSDDVQNDVNQIKDKISAKEQHIADLQKKAQKLEDELAAARKVSSERQLAVTDLYKHFLQLQDYNDRVKTAEQRLQSLVDAAMVELDMAEDATTRDGSMYENGVV >Sspon.04G0033390-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:56751229:56762896:1 gene:Sspon.04G0033390-1C transcript:Sspon.04G0033390-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTPPGNADGGQEAAAGPAPAAASATPSAPPRSRWASEIKVYSRKHPRKNPKPPPPEPAPNPNPLSETLSSEHTPALGDISPGLNRDGSAVPNGHGNGWAVVAAEKAQKRRARSELRRQLASELDQVRVLSKQLKAAADALAEGDSMALPQAMVLLPSQVVDAGSMRSQFSPRGPVTPIPARDMFAPARSLLQRAPLTVSVVHTEAYEKDKRTPKANQLYQNSEFLLAKDKFPPADPHGRKKSKHHKKKRRTLESRGADFDAERRLYSHAFKKSSSLLSRLMKHKFAWVFNKPVDPAALGLHDYFAIIQHPMDLGTIRGRLSHGQYRNPKEFAEDVRLTFQNAMTYNPKGQDVHFMAEQLLGIFEAQWPEIEAEVDYLASCPPLPKKFPPPPIDLRFLERSNSVKHHVPLDSNSRPISHTPTYSARTPSMKKPRAKDPNKRNMTMDEKRKLSDNLQNLPPEKLDAVVQLIKNKNLSVMQHDDEIEVEIDSMDAETLWELDRFVANYKKNLSKKKRRAERALLARQDAELRARDSVQQIPTQEPNVCKKSPKQNLTVGEQLTSTVPDQANNNGRNAISSSSSSSSSSDSGSSSSDSDSDSSSTVGSVFLPHSFLLVLAAQHLDLPLVVDACMWSASAQHPDGVLRACVDLWQ >Sspon.06G0011750-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:64084575:64088277:1 gene:Sspon.06G0011750-1A transcript:Sspon.06G0011750-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium/calmodulin-regulated receptor-like kinase 1 [Source:Projected from Arabidopsis thaliana (AT5G54590) UniProtKB/Swiss-Prot;Acc:Q9FIU5] MKGVSEGLIIGITVGVVIGVLLALGTLLCLRYRRSQTQIRSSSSRRASTVPIRTNGVNTCAMLSNSTTGQESPRELEDRVSSLWIEGPGRKSMISASGIPKYAYKELQKATSSFTTLLGQGAFGPVYRAEMSSGEILAVKVLSNNSKQGEKEFQNEVLLLGRLHHRNLVNLVGYCADKGQHMLLYAYMPNGSLASHLYGMSSLYVIPCFSLMIVIIMAYPCLFRGENSAPLKWDLRVNIALDVARGLEYLHDGAVPPVVHRDIKSPNILLDQAMHARVADFGLSREEMVTRNGANIRGTYGYLDPEYVSTRSFTKKSDVYSYGVLLFELIAGRNPQQGLMEYVELAAINADAKTGWEEIADSRLEGAFDVEELNDMSAVAYRCVSRVSRKRPAMRDVVQALTRVLKRSRSRKHHSNRHPQARADDESVDLEGSEVHSSFSGLQREESVGSVSDLPDV >Sspon.06G0009970-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:43515392:43518765:-1 gene:Sspon.06G0009970-2C transcript:Sspon.06G0009970-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MCWMQFVLIQSFRVLAFSVGRFICKIFEEAMIPSVRLSPGPAAFSGSSLRSKLPSIPSISSLKPSKYVVSSLKPLYLAPLNGPCTAELKSRRQPLEFCCAASAADDKESKTQVAPVQSEGAQRLKISIYFATWWALNVIFNIYNKKVLNAFPYPWLTSTLSLACGSAMMLFSWATRLVEAPKTDLDFWKVLFPVAVAHTIGHVAATVSMSKVAVSFTHIIKSAEPAFSVLVSRFLLGETFPVPVYLSLLPIIGGCALAAVTELNFNMVGFMGAMISNLAFVFRNIFSKRGMKGKSVSGMNYYACLSIMSLVILTPFAIAMEGPQMWAAGWQKALAEVGPNVIWWIAAQSVFYHLYNQVSYMSLDQISPLTFSIGNTMKRISVIVSSIIIFHTPVRPVNALGAAIAILGTFLYSQAKA >Sspon.06G0024800-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:58091778:58095612:-1 gene:Sspon.06G0024800-1B transcript:Sspon.06G0024800-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATIPAVPAASPGGGGGDVSIRMPAAVAAAVAVGTVPPPRRDGEGGAAPNAYPGKQLSRFVRCVAFGEWAGNAFGALAFVIFSRSYKLDSQSLFGTTRALRWRSSSFLRMLGRPQEGNQLVLVVGLWLNLIDKLEIGLILRLILAMAVFITWSWRLRRPKQWQIRALAIAYLAFAARKLTPIVRLLISIKPPQTPYILLSMLPIIIDVVAVLVLNLRPRRIANLTSTPCGCKLISLAKVISALWLASDAPLTMIATPSHSHLYNSIKSILLYLKCEFSVPFALLVLSLGSLQNRAGSLFGRWTDAIMHISFLFCFVVPSPLIIVSVFVPGLSELSSNGLSFMLLLVLLLSGNLQIPAAVAQIVLSSLRLINLIVAHIDYNPLPRDSSPNMVPAIAVFYVLALCQGSLYVVASFLGLLSCFPRRWLMRRSKFGGKLGAKAIDLYYEHAYATRMEMGVFGAAVSTGSFADFAVESLSSSGSRDLQVAGLCVLHNMLQERRRDCNSKDLTLRVTSSTTAVSTLVGMLAWTDMEDDGSYLIIRLFAARVTAELAGNLRISSIPGMLKLVSSLLKLAENADAVVLVPNQQGQGHQQTPVAVANGGSVQLAQGSSNGGWRSWAVCRFWQRMKEEYWSIPEEPTLSHQDSPPVLGMVILEKLARDLDNCTEIFKDYTANLISKTIGIIGLATSDNQQYDALVCSSLEFLKRLVTTGERIGAALRHELWNHPFLLTSLARVLEDDRSSSGGLQLWEPAMDIIAKLALDKEAGLEIGRNQVITARLLHAFLGRQGPTNMYYDQSLRKVSGEALANLALWGSANCSAILEEPVYQVISDLTNMLSDDDHRYVAASLLLNLCAHCSVNVLLSYPHASEHLSSALTTVMEHIMAAEGKQLESLINLASEIGDVIRDAFVRELESQTNSGAELVQKLVDTLNSKKIPNPEYPRMRRVIVKILISILESCRRTVEKLTEEGMMERLLESLTKIERAPSRVEDYRVFYGNIGVVLEDGEPMSALVARAKLLLVRRTT >Sspon.04G0028880-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4B:68974601:68976718:1 gene:Sspon.04G0028880-1B transcript:Sspon.04G0028880-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGELPSTLSRCTDLITINLQSNNFCGVLHKVNFSSLQNLETLDLLYNSFTGTIPESVYSCTNLSALRLSGNNFHGQISPRIGHLKYLTFLSITTNNFTNIRNTLQILKSSRNLTTLLMGSNFMGDAMPDDAKIDGFKNLQVLSIEDCLLSGEIPLWISKLVNLEMLVLNGNRLTGSIPTWINTLNYLFYLDISNNSLTGEIPKELMNIPMLTSDMTEAHLDLRIFDLPVYDGPSLQYRIPIAFPKVLNLSSNKFTGMIPPEIGQLKALLSLDISYNNLTGEIPPSISNLTNLLVLDLSNNNLTGKIPAALENLHFLSTFNISNNNLEGSIPTGGQFSTFQNSSFAGNPKLCGSMLSRRCSSADAPLVSTKGRDKKATFAIAFGVFFAVIVVLLLLWRLLVLIRVNSLTAQSTRKDNEDLETTFNSSSEHEVIMMPQGKGDVNMLTFTDIMKATNNFGKENIIGCGGSGLVYKAELPDGSKLAIKKLNGEMCLMEREFTAEVDALSMAQHENLVPLWGYCIQGNSRFLIYSLMENGSLDDWLHNSDDNTSTSLDWLMRLRIAQGASRGLSYIHNVCKPHIVHRDIKSSNILLDKEFKAYVADFGLSRLILPNKTHVTTELAGTLGYIPPEYGQGWVATLRGDIYSFGVVLLELLTGLRPFPVLSTSKELVPWVLEMRSQGKQIEVLDPTLRGTGHEEQMLMMLEGA >Sspon.02G0038280-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2D:36541829:36542805:-1 gene:Sspon.02G0038280-2D transcript:Sspon.02G0038280-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSCQLNWLTLKLEHLFGEICQQHSDTVKAIKKKTLHPLFRHRGRHSPLRVATPQFVSPLARPPPVPAPVTGAARPHSATPALLIRAPPASAPPRQASIRRRRAPPRRPPGQADSRTARQRPAPSGHRPAAQVAASSPQRAAARPSPIRPPATPRVRVQ >Sspon.08G0017550-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:3276844:3281601:1 gene:Sspon.08G0017550-2C transcript:Sspon.08G0017550-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARKENPELIACQAPNGRITRAQAAANRRSFGALPSVPLPAKAERKQTTQGKTKRGSSYDNTSASTAISGPQPKRRTVLRDVTNLRNANSNKTFAAAPKVQTRPSLRTGRTVSKGKQCTKRIPKIPPQSGNGGSFANDLSIAEETQEEKLLAQKEEPILLLENRGSLSLQNVERNRDSACHEAFYKERNVRDICEPSVSKNGESSVLDIVDIDKDNGNPQMCASYVVEIYSNLMASELMRRPSPNYMEGLQRDITRGMRGILIDWLVEVSEEYKLVPDTLYLTVYLIDRFLSRNYIERQRLQLLGITSMLVASKYEEICAPRAEEFCFITDNTYTKAEVLKMECQVLNDLGFHLSVPTTKTFLRRFLRAAQASRKTPSMTLGFLANYLAELTLTDYEFLKFLPSLVAASAVFLARWTLDQSDQPWNQTLEHYTSYKCSDIQLCVCALRELQHNTSNCPLNAIREKYRHQKFDCVANLTSPELPRSLFS >Sspon.08G0008340-3C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8C:31930128:31930886:1 gene:Sspon.08G0008340-3C transcript:Sspon.08G0008340-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHYAPLPPLPPGIPVRSVWEDNLELELRFLYSFVHNARYAAVNIHYPGAIHGGSQKHTSQTADERYSVIKANVDALKPIQVGLAIYNDFGHIVAWDWEFNLRGFHPATDPHAANSVGYLEARGLSFDEHQAHGITASRLATGFNGCGLFRERRPQISWITYAGVYHIGYLMKILSMGNPLPESLGGFLDMVRQFLGQDVYDVARIAVDCALLPRAGACGEQQPLLGSGGPEPSARRRGQPTRAASVYETQV >Sspon.01G0018450-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:68749070:68752307:1 gene:Sspon.01G0018450-1A transcript:Sspon.01G0018450-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGGGCVPSKKRQPPAAAPSSSSAAAAVPREAPEEEAANAASPAAAAAGRKLRLYIVFYSMYGHVESLARRAAAGAGAVDGLEAVLRRVPETLPPEVLEKMQAPPKDPAVPVIASAAELQEADGVLFGFPTRYGAMAAQMKAFFDSTGSLWEAQKLAGKPAGFFVSTGTQGGGQETTAWTAITQLAHHGMLFVPIGYTFGSGMFNMDDIRGGSPYGAGVFAGDGSRQPSETELALAEHQGKYMASIVKKLAHHA >Sspon.03G0034550-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:75043974:75045884:-1 gene:Sspon.03G0034550-1B transcript:Sspon.03G0034550-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYHQRVPHEKVAHVLTYEDQDGDWMMVGDVPWEFIKKDFAEQSGHFMQAERSAPRIDASGLGLIW >Sspon.01G0030940-3D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:103590224:103594983:-1 gene:Sspon.01G0030940-3D transcript:Sspon.01G0030940-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SKKIFGLSISLVLINLASILERADENLLPAVYKEVSAAFDAGPTDLGYLTFLMNFLKSIASPLAGVLALYYDRPAVLALGTAFWALSTGAVGVSQYFGQVAFWRAVNGVGLAIVIPALQSFIADSYKDGTRGAGFGLLALIGSVGGIGGSVLATIVAGGDYYGFPGWRLAFISVAFVSFLIGLLVYLYAVDPRKISPSHFGGDDDNERLHLVSNGILPPHSIWKDSWIAARSVMKQLSALNSFFAIGCASGSFLGGVIADRLSRYYPDSARIMCAQFSAFMGIPFSWILLTVIPQSVDYWSAYAVTLFLMGITISWCATCANNPMFAEVVPPKHRTMIYAFDRTFEGSFGSLAAPAVGIVTEKIYGYNAKTVDLAHGSVDGAYALSREEAVLFARGRRATVPLALHGGLGAPASRSRTPLRGSCGLGAALMAVTAVGGHREALYSLAVIQFSGSGDGKDDHNLPAGAA >Sspon.01G0003390-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:7547018:7547910:-1 gene:Sspon.01G0003390-2B transcript:Sspon.01G0003390-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQGQPRRPSGHEETSGEQGAVRYGDVFPAVSGGLAEKPVAPLDAATMQSAENLVFGQTLRGGPAAATANERMGAVGHDQATDATAVQGVTVSETRVPGGRIVTEFVAGQAVGQYLPQDDDGATAGGGASAGAVDKDMTKVTIGEALEATALAAGDAPVERSDAAAIQAAEARATGLDANVPGGLAAQAQSAAAANAWAWRAEDKATLGDVLANATARLVADKPVESADALGVAGAENRNRDDGTARPGGVAASMAAAARLNRDEAVWE >Sspon.01G0015250-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:45290674:45295003:-1 gene:Sspon.01G0015250-3C transcript:Sspon.01G0015250-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MWVEILCGLLAYKILQRVFFAGGDDASYLADLDSSHSDLCFAVASRLEKLYAGRCFVGLRIPDPDAGERQHIDVVLVTKREVMVVAIKNFSGFVEADKDGNWSCPTDKKRKQEIFPNPVLEVNRLAANLQSYLEQRGAKLPDGHIIGRVVLPNPNCRPSYTISIQPEVMLYDQWKDLKTDSKSGLSAWIKGAFTGSKSDMQDSVLQNLHFILSSSPMWDRLELKGDKNVLGEFIEFKGRHEDIQLLKNLKRSKVSRFIIQKSTLFGGFGRSRVQILYSPRDYRTEGTSSSEWKEISVKQYTEIVFQPLHSKKARKFKLSSVVSVTLTCMSWACLLFNAKPLGGTSKT >Sspon.03G0025850-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:3708895:3709518:-1 gene:Sspon.03G0025850-2C transcript:Sspon.03G0025850-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALACTAARLVHPCMGMVSKHPRTPPPPSSCCLHLHILHHRPVASSHFSRHSVDVSKDDKPLETPTATTTQTESPQQATTALQEEAEDDDGGPKLDPRRFEEKFAVLNTGIHECRSCGYRYDQAAGDPSYPVPPGLPFAQLPDDWRCPTCGAAQSFFESKSVEIAGFAQNQQFGLGGNSLTSGQKGLLIYGSLLVGFLFFISGYFLQ >Sspon.01G0022620-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:73966929:73975287:1 gene:Sspon.01G0022620-3C transcript:Sspon.01G0022620-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MENVDNEAVLTPLEVYKNLESEGLPIKYARVPITDGKAPKSSDFDTIAFNVTSASKNTAFVFNCQMGRGRTTTGTVIACLLKLRIDHGRPIRIPVCQYGHEDDAIYSAGGDTADHNSHLNSESWKPRTLTKLNSGFGINDILLLRKITRLFDNGIECRQTLDTVIDKCSALQNIRQAVLKYTRVINQQHVEPRVRRVALNRGAEYLERYLKLIAFSAYLGSEAFDGFCGQGETKISFKGWLQQRPEIQTMKWSIRLRPGRFFTVPHEQKATYPPPQDDVTMETIVKARSGSVLGKGSILKMYFFPGQKRSSSVNFRGAPHVFKVDGYPVYSMATPTIDGASDVLSYLGSKDTTGRSIGQKVVVTDLREEVVVYIKGSPFVLRELDQPVDTLKHVGISGPMVENIETRLKEDILSEVKQLGGRLLLHQEEFNAATSQCSVVGYWEHIDLEDVMTPAEVYSSLRDKGYCIDYNRIPLTREREALAADVDAIQSLIDEYVH >Sspon.03G0041830-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3C:47615555:47616515:1 gene:Sspon.03G0041830-1C transcript:Sspon.03G0041830-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVAAKNPYATPRMANIITNMTAKQNRLPLKLGRTIDASEGHTVDVSDSSAACRLKSFTRRVLKKVDSPLIREPPKQPPAKPVLPWRSRRLAAQRLSRVPASKQGEVLIMQRMGYTNGPSAPSASELEAFDKIFDGNLTASNVKALDTLFPDGGKGSSRQPRRRKATS >Sspon.02G0004720-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:14405026:14408633:1 gene:Sspon.02G0004720-2B transcript:Sspon.02G0004720-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHRAAMLPLLLAAVLSITAAASAASSRSNPHPLDPLTPEEITAVRAAVLASPLVPARPLTFHYVGLDEPDKADVLAYAYGGTGTGGSSLRPPLPRRALVIARAGGQSHELRVDIASNGTSATVLSHAVHRGAGFPILTLEEQFAAVALPPAYPPFVESVRRRGVDMGDVLCAVFPVGWFGAGAGTAKRVAKMLCFVAGPTANFYARPIEGVTMVVDLDAMAIVGYRDRVTYPVPKAEGTDYRAGKTGPPLPGLQPAPGVAVQPEGRGFHIDGHVVRWANWEFHVGFDMRAGTVISLASVHDADAGTRRRVLYRGFVSEVFVPYMDPVEEWYYRTFLDAGEYGLGLWAFPLQPGADCPANAAYFDGSYAGQDGKPVSGENRICVFERYAGDVAWRHTEAGFPDQLVSKQQQLGRARTVELFITRCFDGKIVPGACKITEVRPDVTLVVRMVVSPGNYDYILDWEFKTSGSIKFVVSLTGLLEVKGTSYTHADEITADPHGSLVSENTLAIYHDHYVTYHLDLDIDGTNNSFVKNIITTRRNTGDPATGGAITPRRSYWTVRREVAETEADGQADVNAGPADLLFVNPGKKTRMGHEVGYRLIPSGATAASVLADDDYPQRRASYTKKQVWVTPYSKAEKWASGLYADQSTGGDSLTAWSRRNRGIRDEDIVLWYTLGLHHIPYQEDFPVMPTLSGGFELRPSNFFERNPILRIRPPSTHGASANCSCYSR >Sspon.01G0018280-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:73615057:73616419:-1 gene:Sspon.01G0018280-2B transcript:Sspon.01G0018280-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLASAVRVFLAYCLLLHGCMGSEEAGGVFDQGCHGVSLTRLDEARAPSRCAARPSPSGPAILPPKPLLVAAPREAGEYPVLLFLHGYLAVNSFYSQLFQHVASHGFIVVGPQLYTISGPDTIEEINSAAAVIDWLATGLPSALPPGTRADLTKVSVSGHSRGGKVVFALALGHAKAKLALPLAALVAVDPVDGMGVGKQTPPPVLTGRNRSLHVGAPAMVIGTGLGELPRGPLLPPCAPRGVSHAAFYDELDRAAPACHLVARDYGHTDMMDDDTPGARGMLTRTVCRRGGARAPMRRFVAGATVAFLNKWVAGDAAAMDGIRARPDQAPVALSVVEFRDGKR >Sspon.01G0036510-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1B:18684553:18684810:-1 gene:Sspon.01G0036510-1B transcript:Sspon.01G0036510-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSVVASEGESEVRQVCLPLLPLPGSIGFGEEKKTVRESGLGLPLPDLGSGSLAAPPGGSGSPVLAWHHCHVSSLAAPPRGERQS >Sspon.07G0006440-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7A:16811677:16815974:1 gene:Sspon.07G0006440-1A transcript:Sspon.07G0006440-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWIRSAVKGVLIAPKPWGSRQFAVTAAAAGETQAERVAAEMVRYALGGAVHRSSPGSPFAPSICAKEAMRILEQGASNLQGGGEGSAEAVGLLMLAMSTLLYRSGRRQDAMEKLKATQQVAPSAAFRVAAWESLMGLRMEAGQEMSYLISPNDLVDLSIKDDSKWSDQDHLKFRVNAIRGLVALLNGETESENAAISYGEYLHCVGDFQMAAQVYEKILEAFCMDDMSGNLLAAGNMVPEEASLGATCSYGQLLSHSGKFAEAEDYLTRALQKAEEQFGANHPKVGIILTCIARMYKMKAKSEGSTSIMVQEGLYRKALEVLKAPAINSEGTSKQVDWRDIISLARGEYAELLLIQSNRKAEGERMKQWAEDAWRSRRLTLAQALESSEPSKPTLVVDTRIGRAV >Sspon.03G0020460-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:82862709:82866323:-1 gene:Sspon.03G0020460-2C transcript:Sspon.03G0020460-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:TSC13 [Source:Projected from Arabidopsis thaliana (AT3G55360) UniProtKB/TrEMBL;Acc:A0A178VGP2] MKVTVVSRSGREVVKGGIDLKDSAKVADLQEAIHARTKKYYPSRQRLTLPIQPGKGGKPVVLNPKASLSEYCEKGSGSLTVVFKDLGPQVYYSTLFFFEYLGPLLVYPMFYYLPVYKYFGYEGERVIHPVQTYAMYYWCFHYFKRIMETFFVHRFSHATSPLSNVFRNCAYYWTFGAYIAYYCNHPLYTPVSDLQMKIGFGFGIVCQIANFYCHILLRNLRSPSGSGGYQIPRGFLFNIVTCANYTTEIYQWVGFNIATQTVAGYIFLVVAAAIMTNWALGKHSRLKKASLFDGKDGRPKYPRRW >Sspon.02G0032150-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:117809325:117812646:-1 gene:Sspon.02G0032150-1A transcript:Sspon.02G0032150-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAWREILGTFRPSRGHHFSEKMVAAAFAVDLDKPLVFQVGHLEEQYQEWVHQPIVSKEGPRFFENDILEFLTRTKWWAVPLIWLPVVCWCLSTSIQMGSTITDVAMMILFGIFLWTLIEYVLHRFLFHIKTKSYWGNTAHYLLHGCHHKHPMDGLRLVFPPAAAAILCFPFWNMIKLFSTPSTTPGLFGGGLLGYVIYDCTHYYLHHGQPSSDPAKYLKKYHLNHHFRIQTKGFGITSTLWDHFLILIHGPTMNAITSCWLADATEGLVRSMVLPRAVSFQVKSISGRSDY >Sspon.03G0010040-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:27184978:27189093:1 gene:Sspon.03G0010040-1A transcript:Sspon.03G0010040-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFEKIKVSNPIVEMDGDEMTRVFWQSIKDKLIFPFLDLDIKYYDLGILHRDATDDKVTVEAAEATLKYNVAIKCATITPDETRVKEFNLKHMWKSPNGTIRNIINGTVFREPIICKNVPRLVPGWTKPICIGRHAFGDQYRATDAVLKGPGKLKLVFEGKEEQIDLEVFNFTGAGGVALSMYNTDESIRAFAEASMTTAYEKKWPLYLSTKNTILKKYDGRFKDIFQEVYEAGWKSKFEAAGIWYEHRLIDDMVAYALKSEGGYVWACKNYDGDVQSDFLAQGFGSLGLMTSVLVCPDGKTIEAEAAHGTVTRHFRVHQKGGETSTNSIASIFAWTRGLAHRAKLDDNARLLDFALKLEAACVGTVESGKMTKDLALLVHGSSNVTRSHYLNTEEFIDAVATELLSRLGAN >Sspon.01G0051320-2D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6D:28872296:28873105:1 gene:Sspon.01G0051320-2D transcript:Sspon.01G0051320-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AHGVVPQRARLLEVGPGALPGAAGLVQRALRGRGLPASPLPRRVRRGLLLHRSPQLLIRLGVLLLLADGGRRSSSVGARREDDGADGELQLRRRRRRVGFLLLGRVVVVDREVVVVVLVNGGADAAAAEAGRSWSSGGGGGGTVGGCRGTAGRMTGSADGGTPATTASPRIRLELHASWCSMKLSTLQESTINPSFIISSSLTTLLISPADGDQISRGCICRCHEQAGIGACVRARDGKAPLHRHRDARCLPGRAGARARGKRPHGVHA >Sspon.02G0059740-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2D:99203425:99203937:-1 gene:Sspon.02G0059740-1D transcript:Sspon.02G0059740-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPDCETMATDDGRRWIDEWRRGATERGASLGEDGGRRGGSLYMERGAAGKWARPLLACGGRQLAVGERAAYGPIVGERAVSCQPAGWGCGPGTACSPRPGQPGHDGLGAEPGLGRGQNPGLVPVSRARAACPNIFVLCMKCACLPAYTHSP >Sspon.05G0005130-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:16075289:16077948:-1 gene:Sspon.05G0005130-1A transcript:Sspon.05G0005130-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMEEEAFFDSREELTASPAPSPGPAFPWSGSLDSVCQRRERFMRSMGLECCPAPLQADAVATVGDIEKEEEVVPEFGRLWSQSDENDCSMSSWSTEETGSSVDGVSDDNSVSGSSRDDASSKVGRSFSSLSLIQRLVSRSGKLSGVPKAIERRRNGWLRRLGLRAGVLDHGGDEASTSSSESEQNRCGRYERVKVRCYRKRSKELSAVYQGQVIKGHDGAILTMKFSPDGQFLASGGEDGVVRVWGVTQSEDCKIPMDDPSCVYLKAHRQSGLAPVDADNEKKCKVKGVKQSADSACVVIPTMLFQISDEPLHEFRGHSSDVLNLSWSNNKHLLSASTDKTVRLWEIGSANCITVFPHSNFVTCVQFNPANENRFISGSIDGKIRVWDIPRCSVIDWVDIRDIITAVCYRPDGKGAVVGTITGNCRFYDASDNLLRFETQIALSGKKKSSLKRITAFEFSPSNPSKLMVTSADSKIKILDGTIVTQNYSGLRTGSCQSLATFTPDGQHIVSASEDSNIYVWNHENQDEPSLKHTKTIWSSERFHSNNAAIAIPWNGQKPRNPVSLASQILSPQGDNFWCMSKAVKCSSSHSEDSAINSFVSRFAPGIFNLNQEFSESTCRSSATWPEEILPSRSIRAILDESQYKFLRNCFQTTPNSWGQVIVTAGWDGKIRLFQNYGLPAHQ >Sspon.08G0022750-2D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8D:52737818:52738066:1 gene:Sspon.08G0022750-2D transcript:Sspon.08G0022750-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding PTPPSAQPRSLQFHRFQSAALPAPSPTGSVMFCILLLDEDAASRTLPYRPAVPGYAAAQLLQLGDGYGNDEHRSQGRSCDWPC >Sspon.01G0028580-3D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1D:96696419:96696844:-1 gene:Sspon.01G0028580-3D transcript:Sspon.01G0028580-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAASSMLTAASLSFPPLPAPRLRAAASLAPPRRAAAALVVRAAAASSKSPAAAEAAPKKKRATGITQPKPVSPALQAIVGEPVIPRTEALKRLWAYIKERNLQVPEQKPLLTYAVSVHCGWYSLFGCILKMFNSVKQELT >Sspon.01G0020400-4D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:15217782:15220962:-1 gene:Sspon.01G0020400-4D transcript:Sspon.01G0020400-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMPSSPPKLEDFLGGGNGGSQETDTYYSHQQGQEEEASRDYRQYQHHQLVPYDIQPLTEAEMLQEDAVPMEEAMAAAAKNFVLTSYGACYSNGEMHPLSLSMMSPGSQSSSCVGAAPQQQQQQQQQHQITAVATAAAAAAAAAQGRSNGDDEQCVGRKRGTGKGGHKQTVHRKSIDTFGQRTSRYRGVTRHRWTGRYEAHLWDNSCRKDGQTRKGRQGGYDTEDKAARAYDLAALKYWGPATHINFPVENYRDELEEMKGMTRQEYVAHLRRRSSGFSRGASIYRGVTRHHQQGRWQSRIGRVAGNKDLYLGTFTTQEEAAEAYDIAAIKFRGLNAVTNFNITRYDVDKIMESSTLLPAEEARKVKAIEAANNAPMMHNGGRELNPAEETGAGWRMVLHGSPQEAVHCPEAADLQSGIMSESHPSLHGIVGLEKIESAVHDHHLDVPGKTTGSINFSNSSSQVTSLGNSREGSPERLGLAMLYGKQPSAVSLGTMSPWMPMEAQTVAQ >Sspon.04G0036660-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:40132601:40136499:-1 gene:Sspon.04G0036660-1D transcript:Sspon.04G0036660-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPQAVAPAPEAPPSAQVVGNAFVQQYYLVLHQSPDLVYRFYQDASRLARPASAAGAAGMDSVTTMEFHFDRDAEGFWEPGAATQAISEKIMEMDVSKAEIRTVDSQESLGGGVTVLVTGHLTGRDGVRREFSQSFFLAPQEKGYFVLNDIFRFVGDIPAPTAVEAQPEADAVVPPVAAPLANGTATPAVVPAIPDDHDAVPQQEHHVVDRAPPQPEEEDEAEVYNPPPEEVVDEEQPVPEVINEVPNNVAPVVATTVAPVKVMKEVPLPAPAPPTRPAPPKPEKQSPPAPTPTPTSVTDVPPFSSNPDNSNIQEPEVDAHAIYVRSLPLNATETQLEDEFKKFGTIKQNGIQVRSNKIQGFCYGFVEFEDSTSVQSAIEASPVTIGGRQCYVEEKRTPGSRGSGRGGRFAPGRGNNFRSEGTRGRGNYGGGRGYGRGEFSYRSDYGGRSGGRGGSARGADVGYQRVDHAGYAGGRGGRTAAAGAPAK >Sspon.03G0039850-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3C:22041406:22046451:-1 gene:Sspon.03G0039850-1C transcript:Sspon.03G0039850-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMTREKGSAMTITWWQRQARENKQFNVSRQPRAKRLDQLKVDVKENDAMETCIYNGSMAGWQGSSHHLVSIGGRRKRQFDIDNKDIAARVKWWDDQVLCGDDDVVLVDERQAGVNRKLELWRQTLESKGFRVSRTKTKYMRCDFGNAAYEEGEETLRGTSALWSPKSRYEYEKR >Sspon.07G0007300-4D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7D:14607950:14608764:1 gene:Sspon.07G0007300-4D transcript:Sspon.07G0007300-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTAVAVLVSGVVALFGVTSAVLGFIAEPNRLKPDEIHVSGRDCVYPANPAHTLGFCAIFLLVVAQIIASAAGGCCSCCRPPGGASYSNTTRRRVVGVVASVLSWRGAERAEPKSRALTRRSATYSRAVSSSGRPCSVSSPPRSGSCPASCSACRRLRTRRRNKASMRSGCRSGPLRGSS >Sspon.01G0051580-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:16505852:16506327:-1 gene:Sspon.01G0051580-1C transcript:Sspon.01G0051580-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLIHRSNVFDPFSLDLWDPFEGFPFGSGSSSSLFPSFPRISSETAAFAGARIDWKETPEAHVFKADIPGLKKERLSGERNKDQEEKTDTWHRVERSSGRFLRRFRLPENAKTEQIRAAMENGVLTVTVPKEDVKKPEVKSIQISG >Sspon.04G0000340-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:2247053:2248768:-1 gene:Sspon.04G0000340-2C transcript:Sspon.04G0000340-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calmodulin-binding receptor-like cytoplasmic kinase 1 [Source:Projected from Arabidopsis thaliana (AT5G58940) UniProtKB/Swiss-Prot;Acc:Q9FIL7] MAGLDRRGSLAPSSSSGSGSGSGRRKPEHERWPRSPAWSRPLSDSGGGRGRRSSSSSSSSLRSLFRSIGVWFSSLSTASSSSSSASASMKRRSRDAGAKKPPLPGYAGTKTSARGLYSGGGHGSGREHRPSFQSSVFSIEEILTATNNFSPALKIGQGGFGAVYKGVLPDGTVVAVKRAKLRMQNPHVDVEFRSEIKIMARIEHQSLVRFYGYMECGEERIVVVEYVPNGTLREHLDRLNGRFLDFGTRLDIAIDVAHAVTYLHMYSDHPIIHRDIKSSNILLTDSLRAKVADFGFARLGAGLGAGEATHVTTQVKGTAGYLDPEYLKTCQLTDRSDVYSFGVLLVELASARRPIETKREMKERLTARWAMGRFIGGASADVLDPQLARTPATERALEMVLELAFRCMGPVRPDRPAMSDCCRALWAIRKTYRDMLAADVTPQFSDRATTASSADSSGDLWRI >Sspon.01G0040460-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:33605070:33606990:1 gene:Sspon.01G0040460-2C transcript:Sspon.01G0040460-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASTARTVKDVNPHEFVKAYSAHLKRSGKMELPEWVDIVKTARFKELPPYDPDWYYTRAASIARKIYLRQGIGVGGFQKIYGGRQRNGSRPPHFCKSSGAISRNILQQLQKMGIIDVDPKGGRLITSQGRRDLDQVAGRVAVEA >Sspon.08G0016050-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:63684949:63688249:-1 gene:Sspon.08G0016050-1A transcript:Sspon.08G0016050-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFVWLTAFFLVVALIVLVIYQLMCLADLEFDYINPFDSSSRINKVVMPEFVLQALLSVLFLLSGHWAMFLLSLPLVYYNYTLYQRRQHLVDVTEIFNQLGREKKRRLFKIVSLIVLLFLSLFWMIWSVLSEEDE >Sspon.02G0005180-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:10515179:10525514:1 gene:Sspon.02G0005180-2D transcript:Sspon.02G0005180-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ADP-ribosylation factor GTPase-activating protein AGD5 [Source:Projected from Arabidopsis thaliana (AT5G54310) UniProtKB/Swiss-Prot;Acc:Q9FL69] MNEKASVSKELNAKHKKILESLLRLPENRECADCKSKGPRWASVNLGIFICMTCSGIHRSLGVHISKVRSATLDTWLPEQVAFIQSMGNEKANSHWEAELPPNYDRVGIENFIRAKYEDKRWVPRNGTSRPSSGVRDEKSQESPASANRSGHGHRSSFEQNRGSPALPSKVAPVASRIPSQVEPPVPKVVSPPQPQKSPAKVEATPPKVEKPSVAPPPKVDYATDLFNMLSMDGTTEKESESSSNDDNAWDGFQSAQPVPSSEKKDSAKPAESKTQSTSGIEDLFKDSPAVSISSAPAVSQVNAKNDIMSLFEKSNMVSPFAAHQQQLAFMSQQQALLMAALKAGNAAQMIPGNANQLNANGSNPPLGTLPFQNWTNLGYQNPGLTPAAAQNGATKVANNNQDFSSGTFGFGTPGVYNTSSAVPANGATATGASNNGTASTASSTLPSQSGKDYDFSSCRTIRVEICRKIAHVVSTSEGFLAGLTSAAATSAIASCPSRNSIRTVCNKALFLTNRKELKSATSESEPLFAAEATAGLAHEEAKVHKGAGVLHGRAKTAAPASGLWQDFLWH >Sspon.07G0008120-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7A:22101911:22103541:1 gene:Sspon.07G0008120-1A transcript:Sspon.07G0008120-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRAVVLFAAALLAASAAAVSGFHLGGDESGLVRGVLAALRERAEAEDAARFAVAHHNKNQGAALEFTRVLKSKRQVVTGTLHDLILEAADAGKKSLYRAKVWVKPWEDFKSVVEFRLVGDSESKSEPSVASDDSSGQGIVGLGCLDSSIFVDNYPM >Sspon.03G0021430-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:65634330:65636467:1 gene:Sspon.03G0021430-1A transcript:Sspon.03G0021430-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATITVPRVVPSPAEDAAALLKAFQGWGTDEQAVISILAHRDAIQRKQIALEYEHKYSESLIQRLQSELSGDFEVLPVQFAAAVISILAHRDAIQRKQIALEYEHKYSESLIQRLQSELSGDFEAKIVHEAVRNGAAAGGHEELIRVVGTRSKAQLRATFACFKDEHRSSVTKALPRGDDPTGYPRALRTAVRCVAKVLRNATRESAGTDEDSLTRVVVMHAEKDDMGAICAAFQKRASCTLEQAIAKETSGDYRSFLLALLGS >Sspon.02G0011800-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:31552682:31554581:1 gene:Sspon.02G0011800-1A transcript:Sspon.02G0011800-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKPRQVVRKFLARPQHEGAGAVVRRSIGRFELRYFDPFLVLDEFSVSAPAGFPDHPHRGFETVTYMLEGAVTHEDFEGHRGTIKAGDVQWMTAGRGIVHSEMPAGPGTSKGLQLWVNLSSGNKMIEPGYQEIQSKDIACTSADGVTVRVIAGHAMGVRSPVCTRTPTMYLDFTVRPRGVVRQPVLASWNAFAYVLEGEGVFGAERCAPVGAHHLLLLGQGDGIEVWNKSDDRPLRFLLIAGEPIGEPVAQLGPFVMNTEEEIDMTVNDFECYANGFEKARHWKSQAMVALGVE >Sspon.04G0019040-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:70723389:70727370:-1 gene:Sspon.04G0019040-2B transcript:Sspon.04G0019040-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAARGEEQEQERDRGGERYPSWYVSREEIERGSPSRRDGVSAAKEAELRATYCSFIRDVCIRLQLPQITIATAIMLCHRFYLRQSHAKNEWQTVATVCVFLASKMEDTPCLLKHVVIVAYETMYQKNPDAAKRIHQEHPYEPLKFALKKLGIVQKELRQSAMSLINDTLPTTLVVQFKPHYIAAGSLCLAAKFHNVDLSQNQNGIIWWQLFDVVQDSLKVVVQQMFQLFKKRAPCSMGPVIKPVPSSSVMDKHQIKPTPTPTPMDKHQIKQIPTPAPMYRHQIKPIPTPIDKQQSNLAPTPMDKQQIKLAAAPALMYRHQIKPIPTPMDKQQSNLAPTPMDKQQMKPAPIPMDKQQIKLAAAPVPTDRRRIVSAPVPALRHTQSSRRSSSNSNSNTEVSSCVTTSSSFNKNSTSRSPRNEGNWYRGKNEENPYWRRHINHNLEQRLEEQSNQRTLKSDLAYLVRPKDTNATEIKNLTRQKRRIQEVVGLPTPVYMSDTNAWRQRPGSLLDCYFEIKVSNVDGASVKIAIRLKLLMFNEEIESVLAVDTITNF >Sspon.04G0017790-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:64713371:64715949:1 gene:Sspon.04G0017790-1A transcript:Sspon.04G0017790-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLAVRSAASESWAELPCRAELDCAGCSRHERISRRGGDAATEAERKKKKDGSAQGSKGGGVAASPPAGSILCARSSFPGIGVGAGDIWDPSSLLVFLSRTTEVVAYFCEIHIMDERRTILMDRYEIGRQLGQGNFAKVFFARNLNDGQSVAIKMIDKDKITRVGLIVQIKREISIMRLVKHPNVLRLFEVMASKSKIYFVLEHAKGGELFNKISKGKFSEDVARRYFHQLISAVDYCHSRGVYHRDLKPENLLLDENENLKVSDFGLSALAESKRHDGLLHTTCGTPAYVAPEVLSRRGYDGTKADIWSCGVILFVLVAGYLPFHDPNLIEMYRKISKAEYRCPRSFSSELKDLLFRMLDPDPSTRISISRIKKSTWYRRPIEVNAAKIKHDTTRDKVCNGEATTSNSIDCSNPEENQGSSSLPNLNAFDIISLSTGFDLSNLFEEKYGRREERFTTRQPAGAVFAKLNELAERLKLKIKKKENGVLKLAAPKEGIKGFLELDAEIFELAPSFLLVELKKTSGDTIEYQRLVKEEIRPALKDMVWAWQSDRHQQREQSVQGEQQQLSPLPPQQ >Sspon.07G0021240-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7B:1781703:1782059:1 gene:Sspon.07G0021240-1B transcript:Sspon.07G0021240-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GGGSEQGAEVPRLERDVTSPLATVPVVNPTAMPTATPTPSLATGAGGGSWCVASPSASATALQVALDYACGQGGADCSAIQQGGSCFSPDTVRDHASYAFNSYYQKNPVQTSCDFAGTA >Sspon.01G0049690-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:113844229:113845316:-1 gene:Sspon.01G0049690-1B transcript:Sspon.01G0049690-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFAAPKPHVMVLPFPAQGHVIPLMELSHRLVDYGFNIDFINTEFNHDRIFKSMQNKGAIPEGLHMLSIPDGVDPDDDHTDIGKMVGGLSAAMLSPLEEMIRSKKIKWVIADVSMSWVLELTNTVGVRIALFSTYSASVFALRMKLPKLIEEGIIDESGNVKVHEMIQQMPPIDSTEIPWVSLGNTPERRRVNIQNVIRTNQLIVLAEAIICNTFREVEPEALALLPNALPIGPLLVPMSKPTGHFLSEDLTCLTWLDTQAPGSVIYVAFGSSTVFDVARFHELANGLVLSGWPATKPGMALGRAGFLFFLLQPFLSFFFLLMVVGGA >Sspon.03G0042280-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:57500461:57511692:1 gene:Sspon.03G0042280-1C transcript:Sspon.03G0042280-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fimbrin-2 [Source:Projected from Arabidopsis thaliana (AT5G48460) UniProtKB/Swiss-Prot;Acc:O50064] MGFDGLVVRRFTQADLRALQTQYAALRDASPNGRLRMRDLPAAISSVRGPGEFKGRDAEKENSAPEPGSGLGLTDEEWASVLKAVARAGEKPHQDASFELFLRVYAEMQLRLKAASGGGGARGIARSSSSSAVAFLTAATTTLLHTISESEKASYVGHINAYLAEDPFLKSALPIDPATDHIFHITKDGVLLCKLINLAVPGTIDERAINTKRVLNLWEKNENHTLCLNSAKAIGCTVVNIGTQDLAEGRPHLVLGLISQIIKASSLLCSFHVKKLIVLTFCHNNDQIQLLADVNLKSTPQLVELVEDSKEMEELMSLSPEKILLRWMNFQLKKGGYQKTVTNFSSDIKDSEAYACLLNVLAPECSAKPSAMSVKDLLHRARLILEHADRMGCKRYLTPKDIVDGLPNLNLAFVAHIFQKRNGLSKQMKQVSFVDGLSDDAQVSREERSFRLWINSLGISTYINNVFEDLRNGWVLLEVIDKIAPGSVNWKMANRPPIKLPFRKVENCNQVLKIGKELKFSLVNIAGNDIVQGNKKLILAFLWQLMRYNILQLLKNLRFHSNGKEITDNDILAWANKKVKDSGKHHSHMQSFKDKSLSSGTFFLDLLSAVEPRVVNWSLVTKGEKDEEKQMNASYIISVARKLGCSIFLLPEDILEVNQKMMLTLTASIMYWYLKRPTSNSLDSENGSSCETTSITTSDDSASESSIDDNTTR >Sspon.08G0003360-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:7321053:7325974:1 gene:Sspon.08G0003360-2C transcript:Sspon.08G0003360-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKLPNLKELQLLMLEMEMHNLADIYLLLKSCHFSNEKLFVQLPATSDVPLRDLVEEFYLMLLMCMLRAPLHVTPPAGFGARRKQRG >Sspon.05G0036070-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:5C:83922947:83923141:1 gene:Sspon.05G0036070-1C transcript:Sspon.05G0036070-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRRALASFVLSPTPHPPPLSPPSFGVVEPGTSGAERPGGMPRPEHAGWPAAADYNSEITTWLR >Sspon.02G0017390-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:53910451:53914495:1 gene:Sspon.02G0017390-3C transcript:Sspon.02G0017390-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GrpE protein homolog 2, mitochondrial [Source:Projected from Arabidopsis thaliana (AT4G26780) UniProtKB/Swiss-Prot;Acc:Q8LB47] MATARLLARVSRQCAGAVAASSAAGRHRGPLAAASVEQFAGSCLSARVPHNHFRYSTSIFQKIGFSSVSPQQSDNEVNELKDQERALDGSSEDCSSASIEGLDLSKDDLVKLVREKDELLKSKDDEIKDMKDKVLRSYAEMENIIARTKRESENSKKYAVQNFSKSLLDVADNLARASSVVKESFSKIDASKDSAGAIPLLKTLLEGVDMTEKQLAEVFKKFGVEKFDPLNEKFDPNRHCAVFQIPDPSKPPGTVASVVKVGYMLHDRVLRPAEVGVTEGGADATEEAEQPEEKTARD >Sspon.02G0034470-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:7840030:7843362:1 gene:Sspon.02G0034470-1P transcript:Sspon.02G0034470-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding TMSAAAALRPTEPLPLPSGLSLSPRLKLLLTFFRADLTVRPLDEWQLKSALLAFLRDPPLSLPLLPDSDLSVSRLPDLQKRRREEPVASGVLHVRDLSFLRPRKGDGEAEEMTREQEEEKYFEWRSTLVQKLEGIELNLEGVKFRMTVEIPSSDNFRTMKKSWEDFYSSELLNSSMNWFLYRVFLIALLARSGNPVRKIAKRPDTIIVRGVPSRWFAETRVSSKPSTLVTHTIFSALGKIRNLKIVNDDEIEAKGDGASKELISGLNCKVWVQFENYDDFHDAMKALCGRSLEKEGSRLKVDYDVTWDREGFFRIVQYEPAPNNLGERDSSASVHGRRKHHTSRIESDYRKRF >Sspon.01G0045150-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1B:87236572:87239112:-1 gene:Sspon.01G0045150-1B transcript:Sspon.01G0045150-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFLGSGRGRLLRALSRRCTQRPQRQGASISPSYQLRPRAPASKRCGVLTPLFFSRLFLEPGDYAACLRRYRSHHYSVDGVEDAAEQAAEPPPVSLAKSLASLAEESAAAVQRQRKPLTRMERKRLAELRIKKRVKEQYLNGKFYGLMDKVVANAATLEDAYDIVRLNSNVDLASAKDDVCFVTLAEELRSGEFDVRANAFSVVAKRKREGHLVLPRLNLKVVQEAIRVVLEVVYRPQFSKISHGCRSGRGYHSALRFISDEIGIPDWCFTVPLHKEVDSNVTSKLISLIQEKIDDTQLVAFMQNMFDAKVINLVFGGYPKGHGLPQEGVLAPILLNIYLDSFDHEVFRICLKHEGLGLEATNVSEDCGSNLRRWFRSQLKGRDENSEDQTDCQTKVKLYACRYMDEIFVAVSGSRDVAEDMKSELIAYLNKSLYLEVDDKFHLMPIRRNPRGLQFAGFVVRVETKENAKLKAVHKLKEKIRLFASQKQEIWDAMNLRVGKKWLAYGLRRIKESEIKSLGLSTPLLDHIAQFRKEGMKTDHWFKTLLKVWMQDVNGKNELNEDVLLSKYIAEPALTQDLRDAFYNFQKQAKDYISSETAATEALLSNLKSEESTSTCTDGSVIKIHAPLSYIRKCLHRYGIINLEGFPRHVSALVLQDDELIVSWFAGIVHRWIRLFSEVDNFKELQLMFVECVRKSCIRTLSAKYRMYEKLTEKRFELDDHGIPMVEDFEAIIKPLESSYPVASTDEALVYGISGSGLVVLTLSRVRVPTRQFNCFVMGCQSASPSMYVLHVKEKQRFPGWKTGFSSSIDGILDGKRIGLCTQHIKDIYLGHISLQSVDFGSLIR >Sspon.08G0012120-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:50887261:50891343:-1 gene:Sspon.08G0012120-3D transcript:Sspon.08G0012120-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RQAPRSNGSWRVQSPQPNPQPNNDPRAGAAAAAQPSPAIGMGTREVYEEKLRSGAHLHRDPTINPGLGSPRCPRCLSLLNPTAVRLSPVPGERDWAITSVLHDATAVAGSGAGALLSAVHGFNTGIPFVQKHVKGPKWLQLLVGVPPLLMFSGASAVFGDKRKSIRDREGWRRDQVPGGGIPAQYNHALSFSRRCLRRYHSRHCMNSLVRRAPWSDPAAAAGGRTTARLRLGRGMRGPGLFMLITTRTPIIGAGAAPRPPSSPTARSLTSSDDEEAGAASTPAPCPVSVSPASICFVTGG >Sspon.06G0009280-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:38887018:38887860:1 gene:Sspon.06G0009280-2P transcript:Sspon.06G0009280-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYQAGYPPPGTAYPPPGQQQAYGAPPPPAYVAPPPAYPPTQQDGGAYYGQQQQQQTTSRGGDGFWKGCCAAICCCCVLDMCF >Sspon.07G0019350-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:74610374:74615827:-1 gene:Sspon.07G0019350-3C transcript:Sspon.07G0019350-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAPGVGPGAGAGSGGATAGLKTYFKTPEGRYKLQYEKTHSAVLHYSHGGKTVSQLTVAYLKEKPASQGSQPSTPSSSSGMRSAAARLLGSGNGSKAHSFGGGNGASRAVAGSSRIGGGGGLGTSTGLGGSQGATNYDGKGTYIVFNAADTLFISDLNSQEKDPLKSIHFSNSNPLCHAFDPEAKEGHDLIIGMGSGDEREGIFVVSHSDGNLYVYDKSFDNNLAKLYFGLLDDFELIFYLCCVQNKDGNTDCTFPAVKDQSQFMVAHAKSSKSNPVARWHICQGSINAISFSPDGAYLATVGRDGTCFALGISSFSFLLHHRIHWLVELLLIHIGPHQVLMEMEKMSIALVLLVRMRDVPKLSPLVAHRVHADPLSGLVFTNESILTICREGLIKIWVRPDQSENNHQSNSSEFVLGPVSKDRVITSSNKASSSSFKKPSSVLVA >Sspon.05G0014350-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:54842932:54846688:1 gene:Sspon.05G0014350-1P transcript:Sspon.05G0014350-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSAAAVLAILRKLQSLGFCADLRIPDAAAASDPSEAFDAVLATFLLEVYTGGREARPIPVALGDGRCVDLLRLFLAVRAAGGYAGVPNSPGGWAAAAESAGVDPTLAAPVKLVYAKYLGALDRWIQRLVEAHGPFLDVDKRKRQELFVGANGVEEEESLLDCNGREQRHVMLKRKRGDVVGMLGWVREIAENAGNGVAVAAGCSDEYFSLALEVRKMVTGKRARRASMLNGSLFQEIFPMACNCCTSPTSLGICSKAKLLNGSLVLTEQDNNLSGEGKHGTRTHNSSNGWLFTSHQKNEIPVGPDYQVHVPQWTGEVPVNYDDPETLKWLGTKIWPPENESCKTLFCGDPIGKGREVVCGCNYPGSVECVRFHVAERRLKLKRELGAAFYAWGFNRMGEEIALSWTDEEEASFKAAAQHSAASSGRNFWNRLHLFFQFKGRKELVSYYFNCFLLRRRCYQNRITPKNIDSDDDEETEFRFLGNRLGHCAAKYHSTKHTICIENTHSMDLDE >Sspon.04G0029100-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:70344911:70348003:-1 gene:Sspon.04G0029100-1B transcript:Sspon.04G0029100-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASARILAAAAAAGHRRAAFRRVPAPAPVSASLSFPPRHPGPFRGRASLACPAAASLSVPSGGAPPGSVPFDLLPPDSDPFIEWDPPPGDSAASPLAGGGGAAGGATLVVLLGWLGARQKHLRRYADLYRERGVGAVRFVVPVRELVGLDLGRRVERRVADLSEEIAAWCDADRRRTLLFHTFSNTGWLAYGAVLENLQSRADLTERIRGCIVDSAPVLEIRPEVWAAGFSAAMLKKSSSMTGPTAESLDGPIVNGTLNKVTSNVTQPSWGECFLLSTLQKFFEIVLYVPDVNKRLCRTLSVLSEKQPSCPQFYLYSSADRVIPAECVESFMDSQRSLGRSVFAHNFVSSPHVDHYRSFPHVYSAKIDEFLKICSTVKVSS >Sspon.01G0046670-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:92912487:92914255:-1 gene:Sspon.01G0046670-2D transcript:Sspon.01G0046670-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSERRMNPALYKAATQGKVSSLKQLVDPEDPSVLSATTPQLNTALHLAALHGHADFAGEVLDMNEELLVTRNDDGDTPLHLAAKAGKLEVAKLLVNRALAWPQDKKSPLIMTNKAGDTALHEAVQYRRGAVAVVLLDADPNRGHDLNERMESPLDMAAREGLVQVVQKIVNSPWVGQEFLPNISLSGTALHQAVLGTHHRIVEILLDKRPDLIDLTDSDGNNALHYAAQKDHQRAVEMLLKKRTELAYKCNHMRMSPLHVAAQYGSTDTIKALLRHCPDVAEIADSYGRNAFHASVVNGKANALRCLLRRVRPAELLNRVDANGDTPLHLAAKMSRVHSALMLLKDSRVDPCVRDHDGQTARSLVEKKLHTGEMDAYEMYLWKQLRYQESKRCRKQQLPPLATYPSRRGNDKYFERIVETYILVATLIATVTFAATFTMPGGYNQSTGIALQGHHVAFQIFVVSNTIAMCSSIVVVFCFIWAWQDPVRFKVDQLLWGHRLTVIACLGMLVSLMTAVYITVEPVSRWPAYVVIAIGTSTPAVVFLMLGREVIFVPL >Sspon.02G0020280-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2A:66365214:66365627:1 gene:Sspon.02G0020280-1A transcript:Sspon.02G0020280-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTRAGRDSPASCKRRSGAVSHGGSCERPVPERASGGCERLAPEWASGGCERLAPEQRSGGYERPVPERASGGCERLAPEWASGGCERLAPEQRSGGYERPTPERTSSSCERRSWRAAWRAWRGAARRRESHGCDSE >Sspon.04G0022190-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:9798304:9798874:-1 gene:Sspon.04G0022190-2C transcript:Sspon.04G0022190-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MELCVSTTASSVRATAAPISFAPPRRGASASTAVPLHRRIPTRGWRCASAAVPDPVSSEEPASASASYTVVVTDKPDTPADDKVEVVSAAPSGSAEAPVAELVSSEASPSPSPSPDDGGLDEILSKVS >Sspon.01G0001590-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:1217765:1219319:1 gene:Sspon.01G0001590-2D transcript:Sspon.01G0001590-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RDMSNAKVSLPFSPSLCIAFPSSVLSRQSKRMNWCAVDHACVQKIVVKLDLHDNKDKQKALKAVSVLVGIDAISVDMAAHKMTVIGTVDPVDVVSKLRSKSWAAHIDSIGPAKEPEKKEEKKDGGGEKKDGEGKKEEGDGGKKDGEGKKEEGDGKKEEGDGKKDGEGKKEDGEGKKEDDGKKEEDGKKDDKKEEGEKKPAAVAPPWMHQLPPQYTHMLTADYMNQYHRPPPPPPVYHPYVPPPPQQYYYMRNMSMEENPNSCAI >Sspon.08G0009950-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:43452355:43455303:1 gene:Sspon.08G0009950-1P transcript:Sspon.08G0009950-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQDNKSHRAGAEVITGDAACRKKSVELLEELGLPKGLLPMEDIQEFGYNRETGFMWLIQGKKKVEHTFKKIKQTVSYATEVTAFVEKGKLRKITGVKTKELMLWLSVVEVYVPEASPDKVTFKTGTGLSDTFDALAFALGDHRASAEVVTGDAACRKKSVELLEELGLPKGLLPMEDIQEFGYDRDTGFLWLVQGKKKVEHTFKKIKQTVSYASEVTAITEKGKLKKITGVKTKELMLWLSVVEVYVPEASPEKVTFKT >Sspon.02G0028710-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:104558942:104570383:-1 gene:Sspon.02G0028710-1A transcript:Sspon.02G0028710-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AT3g17170/K14A17_29 [Source:Projected from Arabidopsis thaliana (AT3G17170) UniProtKB/TrEMBL;Acc:Q948R9] MEATAVPRALSLLGPSPRPPPPRMRMNCLREAIGGGVRRRGAVAVWAKKKRGRGGDGEAQERVDTHSFAAKEGEAAGLFPEAVLLRKKMLREDGQVSPEFADADEEKLYDFLNIQLESDLNLKRMRHYEVVYLIHEDCVEEVENVVSKVQDFVREKKGRIWRLNNWGLRRLAYKIKKATHANYILMNFEIESRYINDFKTLLDKDERIIRHLATAVPRALSLLGPSPRPPPPRMRMNCLREAIGGGVRRRGAVAVWAKKKRGRGGDGEAQERVDTHSFAAKEGEAAGLFPEAVLLRKKMLREDGQVSPEFADADEEKLYDFLNIQLESDLNLKRMRHYEVVYLIHEDCVEEVENVVSKVQDFVREKKGRIWRLNNWGLRRLAYKIKKATHANYILMNFEIESRYINDFKTLLDKDERIIRHL >Sspon.07G0020890-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7A:78308955:78310098:1 gene:Sspon.07G0020890-1A transcript:Sspon.07G0020890-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPCTSAALSQARSAALEHAALAEQETAAAAQEHQAGADREWAARERAEAARTDSAPTPHSLDDALLLHEAAIIANLHSHAIDFSLEDHVLQDDPNGQPGLPGLGSHGLRGQVLDLRCHPPSWPKPSRHLVPTLRPARRALLWSPSFWGTERRALSTWMFRNFAQGDLSVTNYCRRLKGMADALEDLGEHVPDRILVLNLIHGLNDKFASIGLHLQSSARNDLLQVTSAPLLPLRLASTRPNHPLALLLPGAVPPTTGGASGAAEGTPQMAKGAAKAALVDSAANPPPTSTLGLVPYRCMDLVLPSCPSPRVRISNLRTSHTCSSQGLQMLSWLAHRTCGRPLRVLGSTP >Sspon.02G0007020-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:21000351:21008308:1 gene:Sspon.02G0007020-1A transcript:Sspon.02G0007020-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTAESQFHAIIPRGRLRQRDRRRAHDIISTTAAPRFLVGRRLSAGAAVAAGSRRLLTAGHRPFAASSGTPQAAGGASGPSSDGGSSMSSAPLLGAESGGEPAAARPPPPSSWVERVVDTAEARAQLGFAVPMVVTSMAYYGIPLVSVMFSGHLGDVHLAGATLGNSWATVTGYAFVKIWSLIMHTWLCFQTGLSGALETLCGQAYGAGLYRMLGLYLQSSLIMSAAVSVAVSALWWFTEPVLLFLRQEPEVSRAAAAFVQAQVPGLFAFAFVQCLLRYLQTQSVVLPLVVCSVAPFALHVALTHLLVNVLGLGLAGAGAAVSATFWVSCLMLLAYVLRSGAVSETWKGFSAEAFKYVPPTVKLATPSAVMVCLEYWAFELLVLIAGLLPNSTVSTSLIAMCTRVSNEIGAGNVERAKNAVSVTMKLSVFLAVTFVLLLAFGHGLWARLFSGSATIVSAFGAITPLMVVSIVLDSAQGVLSGVARGCGWQHLAAVTNLVAFYFVGMPLAILFAFKLDLRTRGLWAGLICGLTCQASTLLVITVRTKWSNLAEAMQEKKANYV >Sspon.08G0014750-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:59945388:59947629:1 gene:Sspon.08G0014750-1A transcript:Sspon.08G0014750-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAADDLARRVATFLPVPLPPPQAQQKQQLSGVAAAVLDAGGRLGRAVGDVFRRLRIDDTFYSGGGAPKQRRRNAGKNNGGRSAAAAPSKEVATGGDDPLGSSGRFARAQGSMNLSATYDSRTNDVESSVVARGDLWRAEASHSSSNSGAASASAPTGAGAGAGYGANLFLVQLGPVLFVRDTTLLFPVHLSKRHLIWYGFERKNGVHSVCPAYWSAHKRWFFMSMLCLNPFACSFMDMQFPNGQLRYVAGDGFTTRAFLPLRGGILQAHGKFPGEKRLSFSFKNRSGGSVVPMVQWPDKSLSLGIVQALSWRTSGLILQPATQISICPTIGGRHPGVCMELIHSANDNVGIVCGYSHTASPSAYASISIGRSKLNGGAARSGLVLRVDAPLHGFGRPWFSVQMNSGIEF >Sspon.02G0022280-3C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2C:75384712:75385293:-1 gene:Sspon.02G0022280-3C transcript:Sspon.02G0022280-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRRKIEIKPIENENSRQVCFSKRRQGLFKKASEISILCGAMVGSVVFSSFGKSFSLGHPSIDDVVNRFLNSVTPDGPASSGANHDNSLAVTGTVQGLNMEYLELQQSLDSQKKKKERLQEATKKEMGERMMQWLNANILELSLDELQEFQKLLEAIDGVVKEKENNIVVEARETEGSATQPPMEIASALQYQF >Sspon.05G0023140-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:5240157:5244427:-1 gene:Sspon.05G0023140-1B transcript:Sspon.05G0023140-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQALQAPGFVLVQTRKRGAGDKGHVISKGHFDSKAKQLIESIMQSSPLPRERQPWMETSLDSRPSMYPRLKLLIQNRDGDFTR >Sspon.07G0021340-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7C:2330264:2332582:-1 gene:Sspon.07G0021340-2C transcript:Sspon.07G0021340-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMLSKLVGGSGTLTRDEEGVGIFLAVVSGKKSHNCGCSARESQAGECAAFRCAGLPSRACQPGTVGFLQAACASACPCGLHERPGVKGDGLAGLQKSGRRSPSVPRTSQKPGSYSPSPSGQRSDRRPKRGSSRLLPSETDIPVRFAGLLC >Sspon.01G0005730-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:13521038:13522595:-1 gene:Sspon.01G0005730-2B transcript:Sspon.01G0005730-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRSTHSPSGSSSAAPASSSSDAAMVGGGGAAAAAAAGSGGAPSGAKLLQILNVRVVGNGDRFVVLSHGFGTDQSAWSRVLPYLTRDHRVVLYDLVCAGSVNPEHFDFRRYDTLDSYVDDLLAILDALRIPRCAFVGHSVSAMIGILASIRRPELFAKLVLIGASPRFLNDNDYHGGFELPEIQQVFDAMAANYSAWAVGYAPLAVGADVPAAVQEFSRTLFNMRPDISLHVCRTVFNTDLRGVLGMVRSPCVVVQTTRDVSVPASVAAYLRAHLGGRTTVEFLQTEGHLPHLSAPGLLAQVLPRALARY >Sspon.08G0023470-2D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8D:58008348:58008833:-1 gene:Sspon.08G0023470-2D transcript:Sspon.08G0023470-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALDVRDQQRRRRPGRGLYFGRVIASLPGAASVAVEATEEEPDDRQETHVCEEHERQRARVTVSVVVGERGVARHDAEAHLDQPGVLSAQADLGPIELAEAEEDEGADNQRRHLRGVAGEHGREQRREHPQRGLHLGIPAPAALLFFATVPGHVFHQVEV >Sspon.02G0042730-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2B:86230863:86232287:-1 gene:Sspon.02G0042730-1B transcript:Sspon.02G0042730-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMQHTLSLLLLLSLFLGINQATSSIDDAGFIYTGFNGANLTLDGMATITSSGLLQLSSGNGERKGHAFHPELLRFHKAPGGKVQSFSVSFVFAILSIAPNLSSHGMAFLISPSTNLSSSGTRGFLGLFNKQNSGNASNHIFAVELDTIQNTEFQDISDNHIGVDVNDIRSVRSNYTGYYDSQGNFQNMTLNSHEPMQVWVDYDEVTTKISVTIAPLKMSKPMRPLILTTYNLSTVLTDQAYVGFSSTTGSIDSQHYVLGWSFAMNQSAPGIDVDKLPKLPHGGSKNSSKVMEIVMPIATAVFILVLAVAIFQFMWRRLKYAELREDWEVEFGPHHFSYKDLFSATQGFKSKHLLGVGGFGSVYRGVLPMSKLEVAVKKVSHESRQGIKEFIAEVVSIGRMRHRNLVPLLGYCRRRGELLLVYEFMPNGSLDKYLYVEDGKPSLNWVQRFHIIKGIASGLLYLHEEWESCDTSRY >Sspon.01G0021030-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:82155583:82156727:-1 gene:Sspon.01G0021030-2B transcript:Sspon.01G0021030-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQVCRILPPDLVLQFLRLLIALRCLIGPQQKVVLRVPTMTDDKIKQKAIEAVADIYGIDSIAADLKENKMIIIGEMDAVAIAKKLKKIGKIDIVSVGPAKEEKKEEKNEEKKEEKKEEKKEEKKEEKKEEK >Sspon.02G0031230-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:91752544:91753628:-1 gene:Sspon.02G0031230-4D transcript:Sspon.02G0031230-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MELELSLGVSPALAKGTVKHMLTCTRAGDGEGHELVLELGVRTAKGDEPEDMQEDLYKGCPLPTASAETGSVNSYLQVEVPVRQTAKDNGGFGGRTKKKLRLSKEQYGFLEDSFTEHSTLTPTK >Sspon.07G0015170-3C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7C:60002253:60004532:1 gene:Sspon.07G0015170-3C transcript:Sspon.07G0015170-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVDLTPKQPRKAYGGEGGAYYEWSPADLPMLGVASIGAAKLSLAAGGLALPSYSDSAKVAYVLQGTGTCGIVLPEATKEKVVAVKEGDALALPFGVLTWWHNAPTASTDLIILFLGDTSKGHKQGQFTNFQLTGSTGIFTGFSTEFVSRAWDLPEADAAKLVSSQPASGIVKTSATLPAGSAKDREGMALNCLEAPLDVDIPGGGRVVVLNTANLPLVKDVGLGADLVRIDAHSMCSPGFSCDSAYQVTYIVRGSGRVQVVGPDGKRVLETRAEGGVLFIVPRFHVVSKIADASGMEWFSIITTPNPIFSHLAGKTSVWKAISAEVLQASFNTTPEMEQLFRSKRLDSEIFFAPPSSN >Sspon.05G0015210-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:50659911:50663658:-1 gene:Sspon.05G0015210-3C transcript:Sspon.05G0015210-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALATLLLPSSGSTATKAGTGDHLTDSRRHDHHHHHGSKRKKKPPPSPQPSLPSSAPRTPPAGARSHRVVMAASSSSSSSRKSPMVPAAAAGKNNHHAQYQQRRGHQQPTTKAAASSSSSSWEQVKSLLSCRSATAAARVHDPSAPSALARLRGAGGAGTCGASLCAMRDVVDAASSAASASDTAPLNRRRAHRGAGSSSSAASGAGNSSHHSSLRARGLSGCYECRAINVEPMSRRYPRPRELCACPQCGEVFTKADTLEHHQAIRHAVSELGPEDSGRNIVEIIFKSSWQKRDHRSICHIDRILKVHNAPRTVARFEAYRDAVRSRCRAVVAARAAADGNELLRFHSAPLACALGLSGATALCCAAGGGGAADASAAATASPCGVCTAIRHGFAPWVGAHPLGVRTTASSGRAHDCGSSSSSVQQQPHQLASDVNAPAACRAMLVCRVIAGRVRRDGDGATSSAADEDPEGPFDSVAGEDASSSSVYGNLEELFVANPRAILPCFVVIYR >Sspon.01G0017670-3P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:9338479:9341224:1 gene:Sspon.01G0017670-3P transcript:Sspon.01G0017670-3P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEGRAGDGLIKLFGKTIPVPETPAVGEAAKDIQQSGSSGTTDPKGQENTLQDSTGSPPQQEVADTEDSSAAKNSSADKQQGEVANQKEKLKKPDKILPCPRCNSMDTKFCYYNNYNINQPRHFCKNCQRYWTAGGAMRNVPVGAGRRKSKSASAASHFLQRVRAALPIDPLCTAAKTNGTVLSFGSDMSSLDLAEQMKHLKEKLVPIAGINNGDERSLGSCTEGPAKAEDSNQKENVTAEKSAKVVQHPCMNGVAMWPFSCAPPPACYTPGSIAIPLYPAAAAYWGCMVPGAWNAPWPPHSPSQTGSTLSTASPASTKSNCFTPGKRPRDCNEEGDTKGNGKVWVPKTIRIDDVDEVARSSILSLIGINGDKAGKDGRGCKFARVFEQKEEARTATHPVINGLPFLQGNPAALSRSLTFQEGS >Sspon.03G0039170-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:6371896:6372291:-1 gene:Sspon.03G0039170-2D transcript:Sspon.03G0039170-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGTGGGGGEHYSRQVRELCALLLTIVYPAPPGQPGASVSMSPAAAASMLLGASVALMLCGSFTFAIGFFLMPWVAGLALLFGFAGAVNTLSSGLSLCTKQPAAVPCKHPRGRIIGPPSPTPVIGSDALVA >Sspon.02G0034430-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:15493014:15494812:-1 gene:Sspon.02G0034430-1P transcript:Sspon.02G0034430-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MESDASTSPRRRSTSCYSDSGDSSCSEPFSECGSDDLSFTPAAAAGIHRLLLSCAAEASEDAISSLVAELECPSPSLDSLRRAAMELRLLAKHNPDNRVRIAAAGGVRPLVKLLSHADPLLQEHGVTALLNLSICDENKAIIVEAGAIRPLVHALKSAASPAARENAACALLRLSQLDGASAAAIGRAGAVPLLVSLLETGGARGKKDAATALYALCSGARENRQRAVEAGAVRPLLDLMADPESGMVDKAAYVLHSLVSSGEGRAAAVEEGGIPVLVEMVEVGTSRQKEIATLSLLQICEDNAVYRTMVAREGAIPPLVALSQSSSARPKLKTKAESLIEMLRQPRSPSLRARPAAVVAAE >Sspon.01G0051900-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:18349308:18350820:1 gene:Sspon.01G0051900-1P transcript:Sspon.01G0051900-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCAGVEGNAEINPSFSAPNSSGTGSKNSSKNATDTSTFGTKTSGSSSSVPPTPRTETEILESSNVRKFTFSELKGSTRNFRPDSLLGEGGFGSVFKGWMDERTLAPVKPGTGMIVAVKKLKLDSFQGHREWLAEVNYLGQLSHPNLVKLIGYCLEDEQRLLVYEYMPRGSLEHHLFRRSSNFQPLPWNLRMKVALEAARGLAFLHGDQAKVIYRDFKTSNILLDSEYNAKLSDFGLAKDGPSGDKSHVSTRVMGTQGYAAPEYLATGNL >Sspon.06G0002000-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:6745833:6747574:1 gene:Sspon.06G0002000-1P transcript:Sspon.06G0002000-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSEDEEQGTSPESDPAPPPPSPSPSQRPLLLNPAYARCKSVIHDELRSFRVFLQWCALDHSTRAGRAASYAAFLALALLVPAAVSLSLRADAALSRDSASTITFNRVAQVPATGLAVISFATLAAFFRRLGGLRQLLFLDGALRDDTAFVRRGYARELDSAFRTLAALLFPSICVEAAHKAVFFFCTVRVEPPAAVFPFTTPLLLPWRAVALVATVASWVYRTGVFLLVCVLFRLTCELQILRFEGIYGMFDAEARPAAAAEIFAEHRRIRTQLLATSHRYRVFIICCLVTITVSQLGALLVALSSRDAKSFSNTGDLLVGSAVQLSGFFMCLLGAARITHRAQRMVSIASQWHMSMESSVYHGKSSSPATTSASDVVDASSGSSMAVSQAEPGAPCSYRSREALVTYLRHNGGGITLFGFTLDRGLLHTIFVFEMTLVLWILSKVVVL >Sspon.05G0008030-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:16597346:16600164:-1 gene:Sspon.05G0008030-3C transcript:Sspon.05G0008030-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRDPLVVGHVVGDILDPFIKTASLKVLYNNKELTNGSELKPSQVANEPRVEISGREMRNLYTLTSRNQQILAMVGNEIVSYENPKPTAGIHRFVFVLFCQSVQQTVYAPGWRQNFNTRDFSALYNLGPPVAAVFFNCQRENGCGGRRYIR >Sspon.08G0027340-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:45366640:45370514:1 gene:Sspon.08G0027340-1C transcript:Sspon.08G0027340-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGRVKTTASVTADYGQNTNWSDNDPSVFVTNNVGGLQGEYQLCNGYGIANATQVLMNHWNIFITERDFNFMASSGLNAVRVPVGWWIASGDNPPPPFVGGSLQFLDKAFSWGQKYNISVIVTLHAAPGSQNPYEHSATRDGSQEWGNTDANIAQTVQVIDFLAKRYANNTALLAIELLNEPLAPGANLSVLMKYYQDGYNAVRRYTPASYVIMSNRLNIANQTEILQFVGSFDGAVLDVHYYNLFDNLTVEKNIDFVRNNRSSDLKAITNQNGRPLTFVGEWSAAWGVQGANKTDYQRFAKVQQDVYGNATFGWAYWTLQNPFLPWNMTYMIQNGIITLKS >Sspon.03G0006440-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:25104911:25108452:1 gene:Sspon.03G0006440-2B transcript:Sspon.03G0006440-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAVASPTFLSSAFAPRHHRRLSRLAGPRRAAPVGLSVRCEKSDKQKRQPLSALVPREQRFMFEGDELCGPDIWNTTWYPKAADHVTTEKTWYVVDATDKILGRLASTIAVHIRGKNEPT >Sspon.06G0009140-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:41488500:41489102:1 gene:Sspon.06G0009140-2C transcript:Sspon.06G0009140-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRRSSEERAAAEEEREQPRLRGVRKRPWGRYAAEIRDPARKARVWLGTFDTPEQAARAYDAAARRLRGPRATTNYSYPDAVAVPAPPCTAPEASASCGTESSSSSSSSRDGDHPLAVTVAVAAPPAAPPSSLDLSLGLFPAMVAAQPYLFLDPMPALAQLAPMKREEERSCYSGSSSSPSVLGLGLDLNLPPPAEVVM >Sspon.01G0013000-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:35178727:35179977:1 gene:Sspon.01G0013000-1A transcript:Sspon.01G0013000-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAARDTKKKRILAVTSSAVGGVGLTDDLVVDILSRVPVKSICRFKCVSPSWRNVISHPDNRKKLPHTVTGFFYFDEFDSCTFVSLAEPPPPRRTGRGWVRPTSPCDFLPANTGGRVVDCCNGLILLNNSRNSGSGSGSEPRARYVVCNPATEKWTTVPGSTHAGKICSASILCFDPAVSPHFHVVQLLDRGADEDDPWYTEEHVVELDPEADENDWYTEGSQFEGFNIYSSETGAWVFHPHNSHWSPVAHRSRRTFFNGQLHFITDDDGAVAALDMKGQTRRVIPVPRSGEVQLVGHSQGRLFYANRDARNASKLSIYVLGEGENGRWTLKHCVDTSGMFAGEEYLQSGLLVGVAAIHPHGDSIFLFDSLQGRLMSYGMGSRRGHVVHSVEESPLWSFVPYVPLYLETAALENSN >Sspon.04G0010910-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:29508612:29515606:-1 gene:Sspon.04G0010910-1P transcript:Sspon.04G0010910-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATDVAASARRSGAAHVKTSAPPAAGSRPSGAAKKKVALALLVFFTALLYAQIQPPPSKIPGTPGGPPVTAPRTRLKDGRHLAYLESGVPKENAKYKVIFVHAFDCCRYDVLNVSQGLLEELGIYLLSFDRPGYAESDAHPARTEKSIALDIAELADNLQLGPKFHLIGFSMGGEIMWSCLNYIPHRLAGVAILAPAGNFWWSGFPPDVVKEAWRVQLPQDQRAFWVAHHLPWLTHWWNTQKLFRGFSVKDGDPAILSKEDRLLKQVRQQGEHDSLHRDMMVGFGKWDWSPLEMENPFAGAEGEVKVHLWHGVEDLYVPVQLSRYISKRHPWVIYHELPTAGHLFPVADGMPDAIRPPPPPPPPPPAPASSGTARKLILALFICLSALLYKQIQPPPPKIAGSPGGPPVTATRTRLSDGRYLAYLETGVPKEKAKYKIIFVHGFDSCRNDALPVSKELAQELGVYLLSFDRPGYAESDPHPGRTEKSIALDIAELADNLQLGPKFHLIGFSMGGEIMWSCLKYIPHSVIAYNPALLSEEDKLLMAKFGYRTYMPQIRQQGEHECLHRDMMVGFGKWSWSPLQLENPFSDADDDGQEGAGKVHLWHGAEDLIVPVSLSRYISEKLPWVVYHELPNAGHLFPIGDGMADTIVKSLLLGDDYPSSASASQQPPDSP >Sspon.07G0007590-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:20267988:20272807:1 gene:Sspon.07G0007590-1A transcript:Sspon.07G0007590-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPASVPVQLQSPAPLKEPFPSHAIPHPPHKPISHPLTRRRRSSPPPRLSPSACWLPFVFPDTDPHHPCLLKSPEDTLISSRATSGLSIGVEGKRSFRVMAQTSLPPGFRFHPTDVELVSYYLKRKIMGKKLIVDAISVVDLYKFPPWDLPDKSSLRSKDLEWFFFCPRDKKYPNGSRTNRATPNGYWKTSGKDRIITLNSRTVGMKKTLIFHEGKAPKGDRTDWVMYEYKMEDEDLVSAGFSKDAYVLCKIFKKSGLGPRIGEQYGAPFNEAEWDNAEAESSMFPLMTSSEVVNPTEGPRAQPAAPAGALQEPPLHNSSATCAGEESSFDHATANTCAEDVTFGYTVASSAIQDIPAQMSGDGVVSVNNISNEANDMYSPRDCDGFLLEELSRFLNDSPVRNTPFGECSGLPPMPEAEAHAFEVNSFGLYNELSGLVGFGGVDNNFNTSNVETTDYVVLPPDSSLSADDYMELTDLLAPDPSFPSEFPALDNQYMQYPLAQYNGHYDVPTLSGPSEPTMPSIFDAFPPSNNGVQATDVAADYLDPTMQFPFS >Sspon.06G0015920-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:69322161:69333064:1 gene:Sspon.06G0015920-4D transcript:Sspon.06G0015920-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVNMGLLPGTKMKGMVAMASQPSTPAFTVPPYGIKVGYVVPAKLEVLHGGGRAAWRKSQEPNCRLDLIGFKSCSFGRIKDVPCEHDYPGPLLHDEEGEGMVGGELDEVRRWPTATTQVHGHRGCLGSHLVHLDHRRVRNLGQERRPPCSASAGDYSVPIVVPLAHATQVGGLRRERKRETQPTEVGLEVTPAEGDRELGQLQHDRPLLHLGQDAVQERRRRGDNVHHGREAVARVREEEEHGVLRALIDLRRRGRRLGLCSNLPAAALELATTGGLPSTGLHRPRRWRRTALDLEPIHASDVQPPEAAPHELRLGVVSVETVERAPHRAVGVEWLQPRALEVDGVGEHEVGVGVDVAGGDDGLDEVVRRLLHP >Sspon.04G0021050-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4C:79859122:79859703:1 gene:Sspon.04G0021050-2C transcript:Sspon.04G0021050-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPCVSVPFFFSSLITITIHLLQQNHPSAADAASAMATTNTKFGSSPLTDVVTDTCERCSQGNPQVNYTLCVSSLSSDPESRQADLHELAMISAKLVRSGVVAMEAKMAELSRKERPWSPRRSCLEACMGVYHNSLYDLDASITAIQERRYADAKTSMSATIDAPVTCEDEFKEQGLEPPMKAESKHLFQQA >Sspon.01G0050920-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:9899384:9906193:1 gene:Sspon.01G0050920-1C transcript:Sspon.01G0050920-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQVKPAPGGRMLTVLRVHLPSEIPIVGCEITPYVLLRRPDGGVFTDDVSEAAPVDGYFMRYKWYRIQSDRRAAICSVHPTEQATLQCIGCLKSKIPVAKSYHCSAKCFSDAWQHHRVLHERAISALNENGTEDDELFGRFGSGSSSSGIISAALSGSTSNLSQSSGVNNGPTPVYPTGTEKSSGETWFEVGCSRTYTATADDIGHVLRFECIVVDVETRGTVRAPTSVMTSRVIPAPTPTPRRLIPVNAADAMGHFDLDSRTSSFGTFTVLSYNILADTYATSDTYSYCPTWALTWAYRRQNLLREIIGYHADIICLQEVQSNHFEEFFSPELDKHGYQALYKKRTTEVYTGSPQAIDGCATFFRRDRFSHVKKYEVEFNKAAQSLTDAIIPAAQKKLALTRLVKDNIALIAVLEAKFGNHGTENPSKRQLLCVANTHINIHHDLKDVKLWQIHTLLKGLEKIAVSADIPMLVCGDFNSTPGSTSHWLLARGKVDHLHPDLAIDPLGILRPLSKLTHQLPLVSAYSSFVSMAGVGYDLDHQRRRMDPATNEPLFTNCTRDFTGTIDYIFYTADSLTVESLLELLNEDSLRKDTALPSPEWSSDHIALLAEFRCKPRVRR >Sspon.06G0001670-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:5336221:5344593:1 gene:Sspon.06G0001670-1A transcript:Sspon.06G0001670-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAVRLTAVATVKITVGGFLNSLRPSRAIDDVKDLIGRSLYLELVSSQLDATAETGQEKPTLRSYAHKVADNDADVVTYEADFDVPPGFGEVGAVLVTNEHHTEMFLEDVNLYSSATAGSDSDSDSDADDGGGARAAPLLAIQCKSWVEPKSADANGDGKRVFFANKPFLPGQTPPGLRSYRKKDLEQKRGDGRGERKSTDRIYDYDTYNDLGDPDSDAGEKARPVLGGSAQFPYPRRCRTGRPKSATDPETETRSGDNYVPRDEAFSEVKNLQFSVTTLRSVLHAAVPAVQSTLIDPDLGFPSFFVIDKLFEDGVELPKAEQLGFLRSVVPRLLQVLRDGPGDQVLLFDTPANVQKDKFAWLRDEEFARETLAGMNPYAIELVREFPLKSKLDPAVYGPAESAITAEVLERQMGRVMTVAEAVSQKRLFMLDYHDLFLPYVHKIRAQANTTMYGSRTVFFLCDDGTLRLLAIELTRPASPTQPQWRRVFTSSTDTTESWLWRMAKSHVRAHDSGHHELVSHWLRTHCAVEPYIIAANRQLSEMHPVYQLLHPHFRYTMRINALARSALINAGGIIELSFSPQRYAMELSSVAYDKLWRFDTEALPADLVRRGMAVEDPNAEHGLRLTVQDYPFANDGLLVWDAIKGWVTAYVARFYTDAGTIAGDVELQAFWTDDAPGWPALDSPASLAHALTTIIWVASAHHAAVNFGQYDFGGYFPNRPSIARTNMPVEEPVDPAALAAFLDNPDEALRECFPSQVQATLVMAVLDLLTSAGVETAPWNDDATVQAAYGKFNARLKEIEGIIDGRNKDRRLKNRCGAGIVPYQLMKPFSQPGVTGMGIPNSTSI >Sspon.02G0045100-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:107172006:107175574:1 gene:Sspon.02G0045100-1B transcript:Sspon.02G0045100-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTILDLPPEILEDIFLRLDDAADVARASAACRSHRSVVSNRRFLRRYRFLHPPPVLGFLLESMGNAGFLHAEAPHKSAPAASSLAQVADFSFSFLPLPMDPNTNYGSRSWRVCNARDGRVLLSRRSAKNSATFLDLVICDPLYRRYDEIPPIPEDLWAKRYSTEMKSEPFLLPAREEEEESQFRVIYNWVCRYKIITFLFNSDTRKWSVATSFSLLLPERLIYDPMDFLRHYVGSCFYWVHHRVSNYMIVLDLLEMEFSITGLPYGTDDDMLGLAVVDAGVNRLGIIGLGYVGNLDLHSKTWETNGGSTEEWRHDKHHTLPEGYKHCHIIGASEGCLVLVDYSMQIAQYFIMDPKTFLVERFCKGKLLHAVDHALPYARFPPSLSPPTMDALSFNFIKVQERMIVN >Sspon.06G0008040-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:41988838:41993516:-1 gene:Sspon.06G0008040-1A transcript:Sspon.06G0008040-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFYSHTILARKSPLGTVWIAAHLERKIKKPQIDGIDIPTYAASGGGLKVRCSLWPSAKMRWNHATQESIMFPEVPIALRLSGHLLLGLVRIYSWKVQYLFQDCNRMLTTIRTAFASVQVDLPIDADRAPFESITLPPTLNLDDLNLDDAISLMDTPDNHQKTRDQITLPEGEYVMIELDEDARVEQSGPGPSLHMGPTAILDETSPPFHDGFGANNNPNEAPVDPPIGNSPVNSNIANQTDGALDPPEQMREAPHESPHLKLTESILGNDDPMDLDHDSSPFVQNKAITPPVIDETTSAGRQVPGRSISNLRTPSTFDAFVDDGPLNFDIPLPEFGLQPSPPPVQENEDNRRPKAQVNNRKRKRGMKFDYKIVLSNDCMSKQIDGAELDELICKRRKLPQTSLDTWRFSRTNRKGSFLLEPRLHANDGQDAPPERQLSPNVPGTVELPYHEPAPTSPGNAEAQPEPLPTLKSSGAAGAAPDDDMLPELPRFSPIDVPSPIRENDTPYKTPGGTPPSWLGGTAVSEIPSTDGRLGGTAVSEIPSTDGKYSLPGQSTRDSDNMSFLFPINEDDDQPEIPGLMSTPGGVSSVGTGTTGLGSMSTRTRAVAMFFKDHVPSPSSDEQPGKFSLNKILEGKTRKQAARMFFETTVLKSYDYIDVQQGKEPFGDIEISVKPSLSAAKL >Sspon.04G0014120-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:52143379:52146871:-1 gene:Sspon.04G0014120-1A transcript:Sspon.04G0014120-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VENAQGLGKLWSVALNFANSIPDRRVKPQFGVSETKQMEKERGVREKTQRLIIEMETSQTPSLEIHQKLEPEISQNPMENHVNPEKETDETLVSEVNQEPVETCQDAVVEIDIIPEKQAVPEAGLVVNEEPTETCQETAAESDVNPEKRTSDPGVIYRCKKCRRMLATQEFVVTHEVGAGGKSFRSGKQSTVHEDGEKPECPCIFVEPMKWMQTVEEGYVANKLFCMGCKARLGQFNWAGMQCSCGAWVIPAFQLTKSKIDKCSM >Sspon.03G0042690-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3C:71659290:71659910:1 gene:Sspon.03G0042690-1C transcript:Sspon.03G0042690-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DTQDPAGAGRTLRGPAPCHKLIGADEGERRPVPRFRRGRCACASVPPAGSDAVVRRWLLLRAVGRRWPAKARAGPGPGCRGRTRPEPCIISRAVSPSSLHDERRRRGRAGRRKRNDVRTPWPGAIAARAAGGAHRCQTSLFPRSSLSSCCSTDGRLRASLRGEIPMAMAPMQMRAPRALSVNRHVLVQGSTPSSPLALSVSALRRD >Sspon.06G0017540-3C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6C:72990538:72994490:-1 gene:Sspon.06G0017540-3C transcript:Sspon.06G0017540-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSEVPSWWQLLIHLWQHLQDRNVAKSMEYDLKKYLLLLATLVATVTYAAGLNLPGGSWQDDTPGGQVAGDSILRDTNYRRYIVFYCFNAISFAASLVVGLLLLVLHKGTADYLLHLLQTVMVLDLLCLVGAYAAGSSHDSFTTVCGIAVLVSAVSAYATVIFLSFVLVSKYRTLRYDSTVGQDDEPEKALAGSEREMLLVLAIFAATIAYVAGLNPPGGFWQSTEEGHHTAGDPVLQALHPRRYRVFFVCNTIAFIASLLAIMLIVDRKKYRLNFKGSTTVTYVAMYVCILTALLGLGAAYAAGSCRDSKHTIYVLCLVAPVVACIIVPKILIDKDNLTNDKNESNPNITNENQRDDKNGHNDLLDRTRECVQLLATLAATIAYQAGVDPPGGVWSENGAGHSAGDPILLTTHHGRFEVFFYFNSAAFVASLVIMAMLQKESLLKLHVLEKAIILDLFALVGAYSAGSCRDTSTSIYTVAMAGAVLIYVVIHIVFFTTAERSGNGNGNRNRNRNSNGRPQELHEYREVLLLLAILAATLTYQAGLTPPGGFWENDDDRSGHRAGFPVHQDKYPRRYKAFFYCNAASFMASVALILLLLNANLYGPGIRCYALYVCMVAGMFGLMAAYAAGSSLHLRTSIISLALVIAVFAALVCLAIRLYHVSDKHFSDGGDDEPMGHGEDEEEEGKAMAMAMAKAKAKKDKMLEYLMLVGILGASVTYLTGLKPPGGLWREDGDGHSAGNPVLYDMSKPRYNAFFYSNSTSFVASIVVMALLLSRMMLRLRRRMLLWPMHTAMFLGMVGLLVAYAAGSARDACTSWKVIVLLVPIVGFVMCLFAFIKKEQEVVDKKEQ >Sspon.06G0004930-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:12996132:12997649:-1 gene:Sspon.06G0004930-1P transcript:Sspon.06G0004930-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEREHWLLCALIAVPFLYFLTSILRPRPGSSSTRRLPPGPTPLPLVGNLLDLRGGNLHHALACLARVHGPVMRLKLGLTTAVVISSRDAAREAFTRHDRRLAARTIPDATRALRFHERSMVWLPSSDPRWKNLRGIVAAHVFSRRSLEAARGIRERKVGDLVSYLRGRAGTTEVDFGRAMYGGVLNLVSNAFCSVDVVDLGAESSVQGLREVVEDLAELIAKPNVSDLFPFLRPLDLQGCRRHAASQVAKVLRVLDGIIDRRLDEEEGEAASSNKHGDFLDALLELMSTGKITREDVTTIVFDMFVAGSDTVAITVEWAMAELLRNPSAMAKVREEIKGSLGSKEGVEEHDALSLPYLQAVVKEAMRLHAVSPLFLRRATEEGVEIGGYAVPKGCTVIFLAWSIMRDPAAWERPDEFIPERFLDREEEMGFRGKEFEFIPFGAGRRQCPGLPMAERVVPLMLASLLHAFEWRLPDGVSAEQLDVSEKFTTTNVLAVPLRAVPVVIT >Sspon.08G0003520-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:7769939:7777809:-1 gene:Sspon.08G0003520-2P transcript:Sspon.08G0003520-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSSQSRSRLCCWLPLLLVLHFSRRAAGGGGGGGKVPALIVFGDSTVDAGNNNFIPTVATGNFPPYGRDFDRGVATGRFSNGRLVTDFLSEAFGLPSSVPAYLDPGYTIDQLATGVSFASGGTGLDDLTAEIASVIPLSQQLEYFREYKARLQLAKGESAANDIIAEAVYIFSIGTNDFIVNYFTVPLRQAQYTPPEYAAYLIGLAEAAVRDAYGLGARKMDFTGLAPFGCIPAARTLNRDEPGKCNEEYNRLAEMFNAGLQEVMRRLDGELAGARVVFAETYSVMSDIIANPSDYGFEHVEQGCCGTGLMETSVMCGLDEPFTCQDSDKYVFFDSVHPSEQTYRILADHILNTALRSVIPLSQQLEYFREYKARLQLAKGESAANNIIAEAVYMFSIGTNDFIVNYFTMPLRQAQYTPPEYVAYLVGLAEAAVRDAYGLGARKMEFTGLAPFGCIPAARTLNRNEPGECNEEYNRMAARFNAGLQEVVRKLDGELAGTRVVFAETYSVVSDIVANPSDYGFENVEQGCCGTGLIETSVMCGLDEPFTCQDADKYFTRRSRRTGY >Sspon.03G0026750-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:3754828:3756201:-1 gene:Sspon.03G0026750-1B transcript:Sspon.03G0026750-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQDEGSSSSVTSSPLHNFSTMPLHASPGGAATPPWLARELRSDERGLCLIHLLLNCAAAAGAGRLDAANAALEHIASLASPDGDAMQRVAAAFAEALARRALRAWPGLCRALLLPRAGPTPAEVAAARRHFLDLCPFLRLAGAAANQSVLEAMESEKIVHVVDLGGADAMQWLELLHLLAARPEGPPHLRLTAVHEHRDVLTQTAMVLTKEAERLDVPFQFNPVVSRLEALDVESLRVKTGEALAVTSSLQLHCLLASDDDSSGGKDGCLHHHQSSNGKGGDTNKRQRSPESGVSPSTSRADAFLGALWGLSPKVVVVTEQEASHNAAPLTERFVEALNYYAALFDCLESAAPRGSVERARVERWLLGEEVKNIVACDGADRRERHERLDRWAARMEGAGFARVPLSYYALLQARRAAQGLGCDGFKVREEKGAFFLCWQDRAIFSVSAWRGRRFD >Sspon.02G0038880-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2B:46687321:46689800:1 gene:Sspon.02G0038880-1B transcript:Sspon.02G0038880-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sugar transport protein 14 [Source:Projected from Arabidopsis thaliana (AT1G77210) UniProtKB/Swiss-Prot;Acc:Q8GW61] MAGGFGGGGAAAGRAEFYEGKITGYFILACIVGSFGGSLFGYDLGVSSGVTSMDDFLKKFFPDVYHRKQAHLHETDYCKYDNQLLTLFTSSLYFAGLVSTFGASYVTKRRGRRASIMVGATSFFLGGAVNAAAMNIAMLIVGRVLLGVGIGFGNQAVPLYLSEIAPYRIRGAVNQLFQLTTCLGILVADIINYFTDRLHPWGWRLSLGLAMGPATAIFVGALFLPETPNSLVERGHLEEARRVLEKVRGTHKVDAEFEDLKEASDAARAVTGTFRNLLAVRNRPQLIIGALGIPAFQQLSGMNSILFYSPVIFQSLGFGSSAALYSSIITGSMLVVGALISMVTVDRLGRRFLFIEAGIQMISSMVVVAVILALKFGKGEELSKGVSTVLVVAICLFVVAYGWSWGPLGWLVPSELFPLEMRSAGQSVVVCVNLFWTAAVAQCFLAALCHLRWGVFVLFASLIVVMSIFVILLLPETKQVPIEEIWMLFDKHWYWKRVVRRDPKYQGNLHQQQEMSRA >Sspon.08G0006590-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:17898312:17902469:-1 gene:Sspon.08G0006590-4D transcript:Sspon.08G0006590-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable protein phosphatase 2C 22 [Source:Projected from Arabidopsis thaliana (AT2G25620) UniProtKB/Swiss-Prot;Acc:Q9SLA1] MEEQLPRGGGGGRPPIPAAARKPALARHSSFAYSEHEFVTRVLVARCTVRSPANNTKPENERAFESIDTEFIPVVRSGGWADIGSRHTMEDVFICSDNFMQDFGFESSDEGPSAFYGVFDGHGGKHAADFVCSNLPRFIVEDEGFPREIVKAVSSAFLQVDAAFADACSLNCSLASGTTALAALVVGRSLLVANAGDCRAVLSRRGKAIEMSRDHKPSCNREKMRIEALGGYVDDEYLNGQLNVARAIGDWHMEGMKACDGLGPLSAEPEVMTTDLTEEDEFLIMGCDGIWDVFRSQNAVDFARRKLQEHNDPATCCKELVDEAIKRKSGDNLSVVVVCFNSRPPPVLTTPRPRVQRSISAEGLRELQGFLDSLAD >Sspon.05G0023560-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:7763793:7766532:-1 gene:Sspon.05G0023560-1B transcript:Sspon.05G0023560-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSSGSNAVRNRQKFISNVNSVLRQLSGSNGSSVRSLCLMFLCLNPPPDFFGFTNLKKLKLDGVSVPGHLQCLLPECAVLEWLSVVRCTLHGLQTSQPLYRLRYLRVQHCEGMRKVEIQAPNLDIFKFHDSPMPIVLNRCLALAEATVALLASSDCFNYAFTELPSGIPHVQKLNMELVMCGNTKMQEFTKCPTVFFNIKHLVLTIEMFRHHDEHTGGIIRLAYLLELAPVLEELELHIGVAWHGAIYPGT >Sspon.08G0009140-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:40212431:40216310:1 gene:Sspon.08G0009140-1A transcript:Sspon.08G0009140-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRWYGSGWLLWSVMAGDITCGSLLQKLQLIWDEVGESEEDRDKVLYQLDQECLDVYKRKVDQATNSRDLLIQALDDSKIELARLLSALGEKAIARTPEKTTGTIKQQLAAIAPTLEQLTKQKNERKREFVNVQSQIDQICGEIAGTIEVGEQVTTPQVNEDDLTLGRVEDFRSQLQELEKEKSNRLEKVLEYVSMVHDLCTVLGMDFLSTVTEVHPSLDDSVGDNCKSISNDTLSKLDKTVATLNEDKKLRLSKLQELAGQLYDLWDLMDAPKEERRMFDHVTCNRSASVDEVTAPGSLALDLIEQAEVEVQRLDQLKYSKMKEIAFKKQTELEDIYAGAHIVIDTAAAHEKILALIEAGNIEPSELIADMDAQIAKAKEEALSRKDILDKVERWMSACEEESWLEDYNRDDNRYNSSRGAHLNLKRAEKARILVNKIPALVETLVAKTRAWEENRGLSFMYDGVPLLAMLDEYVMLRQEREEEKKRMREQKRYTEQQLNTDHEGPFGSRVSPNRPASSKKAIGPKLNGSVSNGTPPNRRLSISGQQNGGGHGRSGGKDSKKDTAKTASPGNNAAAAAPVGVAATAKEDAASQVSVTDPVPSTP >Sspon.05G0014560-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:60709496:60712548:-1 gene:Sspon.05G0014560-1P transcript:Sspon.05G0014560-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGASASIPCVALNTGHAIPVLGFGTGSSTTPEDLAATILHAVRLGYRHIDTASMYGTEGAVGAAVADAVATGAVADRADLFITSKLVMKDAHPDRVLPALRESLARLGLDYLDLFLIHWPLAADENNKFVPFDMEGVWRAMEECHRPGLARSIGVSNFSAAKMSRLLAFAAVPPAVNQVELNVGWRQEKVREVCAKNGVVVTAFSPLGAFGSMWGSNAVMESGVLQDVAARRGKTIAQKKTKTKLRASVGITKNSSIQNVVALRWLHEQGVCFVARSFNKDRLKQNMELFDWELNNDDKEKIMGIPQRRACRGDLRAPVGKVAAGQLEPQLAANFSGNNQHVQHFEETETTAACEVNEVD >Sspon.08G0007740-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:25932950:25934342:1 gene:Sspon.08G0007740-1A transcript:Sspon.08G0007740-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALDSNAMRVIFLLAMVVCAAHAGKPAPKEKDEKKGDASAEAPSGSAGGSGSSDISKLGAKGDGKTDSTKALNDAWAAACGKEGAQTLMIPKGDYLAGPLNFSGPCKGSVTIQLDGNLLGTTDLSQYKGNWIEIEHVDNLVITGKGTLDGQGKQVWDNNKCAQKYDCKILPNSLVLDYVNNGTVSGITLLNAKFFHMNIFQCKDMTIKDVTVTAPGDSPNTDGIHIGDSSKVTITGTTIGVGDDCISIGPGSTGINITGVTCGPGHGISVGSLGRYKDEKDVTDINVKDCTLKKTSNGVRIKSYEDAACVVTASKLHYENIAMEDVANPIIIDMKYCPNKICTAKGDSKVTVKDVTFKNITGTSSTPEAVSLLCSDKIPCSGVTMDNVKVEYKGTNNKTMAVCNNAKGSATGCLKELACL >Sspon.01G0040200-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1B:39304025:39304460:-1 gene:Sspon.01G0040200-1B transcript:Sspon.01G0040200-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RSGRGGSDDHGSAYDITVAPQRLESISLRWGKIIDCISFSYRDRDGKLHTAGPWGGTGGVSEDAITLGASEYVTEVAGSVGPIGELTHTITSLKFVTNRATYGPFGRGDGTAFNVPVLNNGSVVGMFARADQYLDAIGFYILPF >Sspon.01G0027230-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:95647112:95650782:1 gene:Sspon.01G0027230-1A transcript:Sspon.01G0027230-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AMPFPTASTARRRRRHEGAAVGWCGLVVLALMALAAGAAAETSTSDVAAMRAVAKALGADKTLGWDVAGDPCSPKRWDGVSCDSSGRVTAIQVGKRGLTGTLPPEVGDLTELTRLEVFENKLSGPLPSLPGLSSLQVLLAHNNNFASIPADFFKGLTGLTAVDIDYNPFASWTLPADLAACASLANFSANGANVSGTLPDFLGDMLALQRLSLALNQLSGPVPASLARAPLVQLWLNGMHLNGSISFVSNMTSLEQLWLQSNEFTGPLPDFARFDHLWDLQLRDNYLTGPVPESLFKLKALKKVTLTNNLLQGPMPQIPDQLVAGTDMKADSERFCVQEAGKPCDPRVSLLLEVAAGFMYPASLAKDWEGNDPCRFPGVSCVQGNITGLTFTNKGLSGSISPVIGKISSLRVLNLANNNITGTVPEEVAALPLLTDVDLSNNNLYGKLPTFASKSAVVKTAGNPNIGKDAPAPAAGSGGSNNSPSGGGSSGSSGNNGGSSSSSVGVIAGSVVGTVVGLGLVGALGFYCYKRKQKPFGRVQSPHAMVIHPRHSGSDDMVKITVAGGDANGGARASETYSQVSSGPRDIHVVESGNMVISIQVLRNVTNYFSQENILGRGGFGTVYKGELHDGTKIAVKRMEAGVMGNKGLNEFKSEIAVLTKVRHRNLVSLLGYCLDGNERILVYEYMPQGTLSQHLFEWSENNLQPLEWKKRLSIALDVARGVEYLHSLAQQTFIHRDLKPSNILLGDDMKAKVADFGLVRLAPADGKCVSIETRLAGTFGYLAPEYAVTGRVTTKADVFSFGVILMELITGRKALDETQPEDSMHLVTWFRRMQLNKETFRKAIDPVIDLDEETYASVCTVSELAGHCCAREAHQRPDMGHAVNVLSTLSEVWKPTDPDSDDSYGIDLNMTLPQALKRWQAFEDSSHF >Sspon.05G0020550-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:82511512:82513814:-1 gene:Sspon.05G0020550-4D transcript:Sspon.05G0020550-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At4g14820 [Source:Projected from Arabidopsis thaliana (AT4G14820) UniProtKB/Swiss-Prot;Acc:O23337] IQRNHRTAQRDASTNEPHTHHHHLRQLQAVLLRRGHPIPTPPASLPDPDRAYLATIRAAASTPRLALAACACLRRAGLPPPGRRALPALLRSAARCEGAGAYVGGTHALALRVGSLDDGFVGTALVGAYAACRCVGDARKVFDGMAVRDVVSWGVMLDRKSRDSKIPSKAVIFTNNSFAATLYSPFCMLLGSCIFHYKFYCQTRNYKEALLLFAKMKNSGVLPDQLILATVLSACGHIRHLRTGRAIHSYMLVSDILISAHLSSALINLYASCANMEMAEKLYNGMPRKDLVSSTAMVFGYARNRKVEIARSIFDGMPEKDVVSWSAMISGYADSNQPNEALSLFNDMQGCVIRPDEVTMLSVPSIKPNGSMPTLRIMILHICNALIDMFAKCGGVNLAFNIFNEMPKKNVITWTSMITALAMHGNGKSALCLFEQMKNEGVEPNEVTFLNLLYACCHAGLVHEGRSLFSSMVQQYGIEPKHEHYGCMVDLLGRAKLMQEAVNLIESMHLEPNVPIWGSLLAACWMHGDLKLGTFAAKKILQLDPNHDGASVLLSKIYMKSDNLNDAQEVREVMKLHRVSKETGLSWMELNEPFHEFAAGGEKYSESDKIFLQ >Sspon.02G0029940-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2A:108853016:108853923:1 gene:Sspon.02G0029940-1A transcript:Sspon.02G0029940-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding TGVHSIGVCYGTQGDGLPSAADVVQLYQSKGINAMRIYSPDATILQALRGSGIGVIVDETNLDALISDAAGWVQTNVQPYRGDVKFKYIAVGNEVEGSDTQKILPAMQSLAGALSAAGFGDIKVSTAVKMSVLATSSPPSSGAFADPSVMGPVVRFLAGSGAPLLANVYPYFAYRDAGGSIDLGFSLFQQSSTTVNDNGKVYTNLFDAMADAIYSAMEREGEFGVPIVVSESGWPSDGGGLGASVDNARTYNQNLINHVGKGTPKRPGALETYIFAMFNENGKPGDETEKHFGLFNGQDKSP >Sspon.07G0025200-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:32631537:32639964:1 gene:Sspon.07G0025200-3D transcript:Sspon.07G0025200-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SAIEPVDLSKLRTALAHSFIVVSVFCGARFLTHAGEGEGEGQRFLGLELGLERQGERRLVGFGRAVSDLGLTASVHDIVVHPSLQRRGIGRKIVNKITRNGEKIFNDRQEFLRSFLLGQVTATLDHLKRCSRYSACYTLSIPSRNDAFLNRNTLPQNFVER >Sspon.01G0055820-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:83195645:83201436:1 gene:Sspon.01G0055820-2D transcript:Sspon.01G0055820-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPNMETYTTDDALSMMGFGKFQVLVLFYAGMGWVAEAMELMLLSFLGPFIREEWNVSPENESLLSSVVFAGMLLGACAWGFVSDKYGRRTGLIFSTLFTSGMGFLSALSPNYLCLVALRFLVGVGVGGSHVFISWFLEFVPAQNRGTWMVIFSLFWTLGTILEASLAWVVLPALNWRWLLIFTALPCFLLLPFFGLTPESPRYLCAQNRMSDATAILERMANANQSALPPGILTYNRQTKFDNDALAPESECLLPVREKECDNAKSSESGSLAALRILLSRKLLRSTLLLWFVFYANSFAYYGLVLLTSQLSDANKSCASGVNVGLHQKVTNLYKDTFITSLAEIPGLFLSAVLVDWFGRKASMWSMMFACCAFLGPLLLQQNELLTTILLFGARACAMGSFTVLCLYAPEVYPTVVRSTGAGIATAVGRIGGVVCPLVAVAMLRSCHQMEALIVFEVILCLAAVACIFFPVETKGLVNNSTPSNENKSIKTWQLSIKACSKHEPLFKDEDQV >Sspon.08G0009390-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8D:64416629:64418376:1 gene:Sspon.08G0009390-2D transcript:Sspon.08G0009390-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRFDRSLFMVHLTPQIRLQEIPVSWGGKNSMTKWHLCNPRLVVCGDMLLMVGCRKDFHVIGSIFETYRLDPSIELAKWVKLERLEKWAIFISNDSRVQPLPWMNPERWGGRSNRVYCYDSGSGHLVGFELGKPLHGDDAPKSDVFSFSCYGNIVQPIWIVPTFSRLRLRFFDRLRRLFLLSPPSPANGAAEEARPCLSQPRRRRSSLFLHRLQELAGGVGEGHPCLRPCASPRELVEAAFFSFIVRSPSVRARFSGLHERSRRSCPRLVGNEAKLLPKPFWERYQTPP >Sspon.08G0013780-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8A:57309833:57310585:1 gene:Sspon.08G0013780-1A transcript:Sspon.08G0013780-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRYDSRTTIFSPEGRLYQVEYAMEAIGNAGSALGILAADGVVLVGEKKVTSKLLQTSRSAEKMYKIDSHLACAVAGIMSDANILINTARLHAQRYALSYQEPIPVEQLVQSLCDTKQGYTQFGGLRPFGVSFLFAGWDKHHGFQLYMSDPSGNYGGWKAAAVGANSQAAQSMLKQDYKDGLTREEAVALALKVLSKTMDSTSLTAEKLELAEVFLQPGTGEVQYQVCSPEALGKLLAKSGLTQPTPEA >Sspon.04G0020240-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4B:73891888:73894451:-1 gene:Sspon.04G0020240-2B transcript:Sspon.04G0020240-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MYNFIDRVEWRSHEDSVAELLPKLKAAVYDAEDLLEDFRCYEQKVKIEGSAISVEPGNKLFHDVIQGNFKKLTHIKERLDNQFGLLERMGLHQATPQFDRLVRPETTHIPTEAEIFGRGDEIKKLIRLLGVSASNRRGPSRPKRKRSATRSSASNQVCATLDNNEAKITSVPVLSIVGIGGVGKTTLAQTICNQVKQHFDVIAWICVSDDFDVKRLTKEAIEQFSGKAPTKNNLSSLQADLIDSLNKRRFLIVLDDMWAENAQLWKEFYKPFKHVIEGSMMLVTTRSQKVADIVRTMDPFPLEGLKDVIFRKFFKQCVFGSDSSNNSPELERIGQQILPKLKGSPLAAKTLGRLLGTSLDPAHWKMILNSELWVDKQEATEILPALRLSYIYLPTHLKRCFSFCAVYPKDQAFVKEDLAEIWMAEGFVEREHNIPLQQTGCKYFEELAHLSFFQKLRGKYVMHDLIHDMAQLVSNDECFIIKERKDIERIPQNIRHLYVPKSRDIKHSDLQSLCKHTKLRTLLCEKSLRAGNTVVKKWCTELLCMRVMVFDSVPEPDGLPDSISNMKNLRFLKILGSCNFNSLPGAFCCLYNLQLFCARNCDFKEVIPRGFSNLKNLQKFESKFLKIDAAVENGEGIRLPKNLNLITRELFISNLCEISKDQAAEIELPKKEYISSLTLKWSRWTSHSPGPPEHNELEVFKALHPPPNIKSVSLIGYPGEDLPSWFNGSNDPAILSSLTEIEVIDCPRLSSLEQFLQPAYMPAIKKMLIKGCTSLEYVPVERFRGLPYLEELMIQSGISDIQWRKVSAAH >Sspon.08G0016240-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:61230394:61231425:-1 gene:Sspon.08G0016240-3D transcript:Sspon.08G0016240-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein EXORDIUM-like 3 [Source:Projected from Arabidopsis thaliana (AT5G51550) UniProtKB/Swiss-Prot;Acc:Q9FHM9] MQQHLCVLLVLAALTASPLAAAWRPWPPRDNATTAAGLGASKKFEGSSEFVKLEYHMGPVLASAITVHPIWYGAWPAAQKRTIRAFLRSLAPPPDSEARIPPPSVSAWWRTVRLYTDQTLANVSAAVSLGAEKCDARMSRGARLSRMDIQAVVRDAVTARTRPLPVDSSGGVYLVLTSPEVSVEDFCGQVCGFHYFTFPSVVGYTLPYAWVGNSARRCPEVCAYPFAIPAYVPGRKPEAPPNGDVGVDGMVSVIAHELAELASNPLANAWYAGSDPSFPTEIADLCEGIYGTGGGGAYTGQLLTDARSGAAYNVNGAGGRRFLVQWVWNPVLSYCSGPNALDQ >Sspon.02G0019510-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:63456290:63457673:1 gene:Sspon.02G0019510-1A transcript:Sspon.02G0019510-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLCTLLLAAVVVVSLLVSPIACTRKLSKPKPKPASHRRPVTAVKPKPKPVSYKPAPVAAKPPRSNHTTTPTPSSIVYGSGGWLSGAGATYYGATNGDGSDGGACGYQTAVGKKPFDSMIAAGSTPLYRGGEGCGACYEVKCTTNAACSGQPVTIVITDQSPGDLFPGEVEHFDMSGTAMGAMARPGMEDKLRAGGVLRILYRRVPCKYPGVNVAFKVDQGANPFYFDVLIEFEDDDGDLNAVDLMEAGSSVWTPMAHNWGATWRLNNGRKLNAPFGLRLTSDSGRVLVANNAIPAAWKPGKTYRSLVNYP >Sspon.01G0046720-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:99925657:99925875:1 gene:Sspon.01G0046720-1B transcript:Sspon.01G0046720-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding CTNFLHLLATCFTDLNVRSGLLLGYFATNFSLASDCFIVKFLWRANITTIAVRLISLLLFRIQHRRFLESCV >Sspon.03G0014520-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3B:68107586:68110024:1 gene:Sspon.03G0014520-2B transcript:Sspon.03G0014520-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAADGNGSGDSKEEGCPGARDKTLALAFLHEIWFSDFEALPNAYTAMALWKFLVLHMAWACGRICQQRRGWVGVGEGQVSTTSHCQDGRTAPESYTAPEPAAAAAAAMSSASGLGGKEGPSSAFSVDLAAAARRLLAFLRAAPAGVGPRSVRRYEELWMPLAAGEAGGGGEAAMLLPPPDVHLVWLCHCFHHESYSAYCMSRFGRLINRPSILDVENEVYAEDHCRDVWATHFPSEPFDLDTNEIGGNSVDNISCDNVNGEIIKMVRRYAGLADRFASPFVWEGVYHVAARRRYVRFLDLIKKVVCATQECTRLVPSLDILLMW >Sspon.05G0001980-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:4861623:4865673:-1 gene:Sspon.05G0001980-1P transcript:Sspon.05G0001980-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQLQAAASSSGGPPNTPTLEGELEGKLLLHDDNVPPPAAPPQTKQGERCQPREGGADIAGDHRERFLRAYERLRDELLDDDSCELTDEARRWVAQMIDYNVPGGKLNRGLSVIDSYLLLKQGSEVAEDEFFLACVLGWCVEWFQACALLLDDIMDDSHTRRDQICWYRRPEVGLHGINDGILLKCHITRFIKNYFREKPYYIDICELWNEVSLLRIKTPIEIGKFRNNTTLQTSLGQMLDLISTHNGTNDVAKYNIEVYRRIVKYKTSYYSFYLPVACALLLYGAKLENFSGLRDDDYLDCFADPNKIGKIGTDIEDHKCSWLIVQALGHANSDEIEVLLENYGKKDSTSVSKVKNTYSTLDLKEIFSEFEDRAYKHLVTSIEAQNDRAVQEILKFFLKKIHRRKK >Sspon.02G0017900-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:54835012:54843332:1 gene:Sspon.02G0017900-1A transcript:Sspon.02G0017900-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRGHHLAEVALLASASADLAAAGAGEREGWLDDPAVLPSLGPRARALAVASAARSVLVIVPVAGGGGGGVTVKPALGPDEGRISAVEWVPLAGEDDAEGDEGVAVAVGTDAGWLLFYSLAGDLLHKQSIYPAKILKLNFRERKENVWEDSGSDELSVVFPGVIARFDGADLQSILKKTFQDAKSRLWKDKFEEQDAEDEETFGRIPFQIWNVSKFSSCADAAIVGLMPPPLLELQSSQRHYCAITVGEDAVVSAYRLSEDRSRSIVGAILSRGVAATFSTISSLSKILWRSEPSPPKKSRPKPQSFAKTSPLTCLKDSPRKGERLTLSPSGTLAAITDSLGRILLLDTHALVAVRLWKGYRDASCLFVEMLLNKDKASSRSMHIEYTKSDYCLCLAIHAPRKGIIEVWKMRTGSRLLTIPCPKGSRILQPSARLSTQSFSSSYSPLEVYLFNGDSGQLSVLNRHVG >Sspon.04G0007650-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:23038262:23039374:1 gene:Sspon.04G0007650-4D transcript:Sspon.04G0007650-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSTTATTEGHVVGIPVSTRAYGIEEPDFPAEETQTPDRGEFPSSFQYSHSHDATSSTTPTTDRPTSNQQAASRKGDKIVHGIKEHVTLGPKLSDTVKGKLSLATKILQAGGVEKVFRQWFSVDKNEKLLRASQCYLSTTAGPIAGMLFVSTARVAFRSDRSLAVSTPRGDRAGRAPYKVTIPLRKVKAVRPSENKHRPEQKYVHLATNDGFEFWFMGFVTYNKSLHHLERAVAYAQQAQ >Sspon.02G0010580-5P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:7857370:7859341:-1 gene:Sspon.02G0010580-5P transcript:Sspon.02G0010580-5P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADFSPNNSLLLKMPSHSPNFSSLLFYGQNHGEAAPANANANANANANAAAMVEDASLESSSAVVDTSPQDSASPMERKRKATEDSATLSSAQSKDCKQESKSKRGKRPHKETEEKSTTEDEATKGYIHVRRERISERMRMLQALVPGCDKVTGKALILDEIINYVQSLQNQVEFLSMRIASMSPVLYGFGMDSDGLHDHAQKMGGMFQEALAMPGPVMSQASPAPSQAIMDTTSTTPYSLQGQGSISFSQENGSTYLMQQAVGEPTRQELFNQLVFNNMCSF >Sspon.08G0019520-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8B:12561892:12567328:-1 gene:Sspon.08G0019520-1B transcript:Sspon.08G0019520-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDHYYVYLGLALVSLLVVLAKRRRGRADGHGLRLPPGPWQLPFIGSMHHLAGQLPHRAMRDLARRHGPVMLLRIGEVPTLVISSREAAREVMKTHDTSFASRPLSATVRVLTNNGRDIIFAPYGEHWRQLRKLAITELLSARRVLSFRAIREEECQGSHGLTGPASRFSLIATERWPRSWSQRMTAADIEVMATVVTGFSSLAHWPRADDKHPGSCPGRCLAVAVGMSSLVFWVGTEADVEHLTCFIRISSVAAVGFAMETSSSGIEVKLGCVTSEWSEDTTRTAQSTSCGVATGGEEAEGVAEVVGDLPSLVVTTASRTQSSRLTMGTVGVGEGAKGAEEDVTARLELAVVEFATSVSHSSELEARMEAVAAEVTGDLPQRSRSADVFASKLSEGADLVLHSHQLAGISEIPPRIDCSEYQMGRRKRVQSSKARLEPQLAVVRFKVLVIDPSPAWPVILWQESIYTAEDKIASLKLLNGYDIFGLCNVGLSPELMMLNSSDQFFFFSGVTRETATDMECARHSRILVLPYLISDIMTQYAGILLDFIGQPKSTVAATAAMAINPKLHVETLQNRASPEIENVFNDAFWESLDAVVNALDNVTARMYIDSRCVYFQKPLLESGTLGAKCNTQMVIPHLTENYGASKDPPERQAPMCTIHSVSHNIDHYLTWARSEFEGLLEKTPTEVNAFLSNPGGYATAARTAGYVQARDQLERVIECLETDKCETFQDCITWARLKFEDYFSNRVKQLTFTFPEDTMTSSGAPFWSAPKRFPRPLEFSSSDSSILLDFVDSFEHHECKCLGITYVREKSITKKSQQQKGLAHHGISRLWVSDCPVSVLIYDDPLRGLVLAWEVTHIMGRCGLIANAPHRRGIKTTYAKWNIATESQVSLLLQRVADKEVAAMLRACASAAAESRPVEMRARLSALVADATVRAVMGDRFRDRDVFLRELDRSIGLSAGFNPADLWPSSRLVGLLSGAVRRAEECRDTVFGILDGIIKEHLQRMDSGGAGEAEDLLDVLLKIYKDGSLQIPLDMDVLKAVIFDIFGAGSETSATTLEWAIAELIRNPKAMQRATAEVREAFGARGAVAEHALGELRYLHLVIRETFRLHTPLPLLLPRQSQVPCRVLGYDVPAGTTVLVNVWALGRDGRYWPGDPEEFRPERFEAEASAVEFKGADFELLPFGAGRRMCPGMSFGLANVELALASLLFHFDWEAPGVSDPAEFDMTEAFGITARRKANLLLRPILRVPLPAGV >Sspon.02G0058520-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2D:77406242:77406439:-1 gene:Sspon.02G0058520-1D transcript:Sspon.02G0058520-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPVPLPLPLPSPRSSRNRFLNASSKSTSSGACYSLLAPCSQSLMQVSNSTCSGFKRRRRSSRILK >Sspon.04G0000420-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4C:1745907:1746932:-1 gene:Sspon.04G0000420-2C transcript:Sspon.04G0000420-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDASQGGSSSANIFPMPQIPALLFAPPPPAAALPSSSLSLSSYSSSSSLHHASSITSFPILVLTVLGILAASVLLLAYYVFVIRCCLTWHRGSSDVAGLIVSRRGLRPQRTGSTAPADTEPRGLEDAAIRALPAFSYRKKPANAAAAADESSAPASECAVCLGEFEEGDRVRMLPACLHVFHLGCVDAWLQGNASCPLCRASADVAATLCRLPPLPPEEEVVVTIQVVVPGAEEGGQDARAQQRQAIVPPAAEFEGEDTCTEHQVGGEKMKSTIDGCQGMTQRKDGEVLPPPRTRRSFSMDGDAMAGGGEVHLQIQSILQRDTRSRTHDDHDSDSSGGGRV >Sspon.08G0008370-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8B:26650442:26651506:1 gene:Sspon.08G0008370-2B transcript:Sspon.08G0008370-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVHEDVEHDHNEVVVEDCSTSMSSDDDDDDDHATRSLDKNDDNATSDASNDSTPCTLDGEDDGYESDASTSSSISSHGDTKVSIGGFVVDCDGPNFELLYKLSKALRNEMTKTSKLKNENSFLKTTCEQQKHLLYVTTCSHEELKLAHEELSVAHDNLAQEHALLTKKPSNEETKSSESSSFGSNDQSHITNPCDVGKKHVSTSYDDLLDMPCSSQLDTCSTSMSCETNLLKENNELKSEVNNLSNKLERCYNSKVTFEHMMKTQRNIGDKSGIGFKKKMTKGERKQEKRMKRLLQKKLSHSMCYRCHEARHLANGCPNIEKLKKMKEEERLKHVKCFKCRTWGHLTSMCPTK >Sspon.06G0009020-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:41226096:41227781:-1 gene:Sspon.06G0009020-3C transcript:Sspon.06G0009020-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDYHVAEYRYENDHELGLFAIYDGHLGDSVASYLKVNLFDNILKEPLFWSDPQEAIKNAYSSTNKYILENTKQLGPGGSTAVTAIVVDGTDMWIANIGDSRAVVCERGTASQLTVDHEPHTTNERKRIEKQGGFVSTFPGDVPRVNGQLAVARAFGDQSLKAHLSSEPDVKHIKINSSIEFVILASDGLWKVMKNQEAVDLVKSTKDPQTAAKRLTSEALGRMSKDDISCIVIRFRC >Sspon.07G0028980-1P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7C:77943992:77947194:-1 gene:Sspon.07G0028980-1P transcript:Sspon.07G0028980-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADDSVSMPCGRRDFTPRPVLRASVGSDSDRLSSVVKDCGKKLSGLEPFVDVDEAKRLAQEEERMRFLRQMARKKKQNDRQRMLEDTVLNFDPKQGGEYYTRFTFADLTKFDLNEESPLGPMRFTDAVYKNKDEYELCEGINIFSVRITTSDVGFPINVYGTVIARDSLDKRCVYLFRRERDQCQLINSEAQLGGAEAREAARRRRGGGRACEPAEILALRKHWRVRTEISRADGARAVRNRKDKRERQRFPPAICSSPPVELLAAGLAPPAGRAPPRRSCWSPPDELLPPDELLPAGPARPRRSCSSPTAHPPRQPSQVWRLPAVGKLLVPAGRPAPHLEARPRRPPVSSRRRTLPQAHLVAPRLSTVDVLYGVVKDAVEGTIAIEVLQGDFNGKITAQTTSILNTIVLYDSQVAGGMTGDGTGAIKLLRSIVSVYVKDKLIIVAETSDGKFKQTIDFTPKINGREEDIITIGVTKIDFTPKINGREEVMTIGVTCH >Sspon.05G0017360-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:67883503:67889820:-1 gene:Sspon.05G0017360-2P transcript:Sspon.05G0017360-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LTNARGLFDRMPPRKKNAKNPALAMGDGIDRLGALPDEVLHHVLSFLRAQDAVRTCVLARRWRHLWRFATGLRIGCGEDEWAVVQELRDFVDNLFLLRGLTPLVKCEFSFDEYRDEDVPRVMLWIKHAILCEVQMLCLKKIWFDGWPFISQHLTKLDLEDMLLKDKLLNFSSSCPALQNLTLTNCSIECTKILSESLKYLSITECHFSRKFRTHVCAPSLISLRLEDHWYKTPVLEGMPSLVHAIVRVVHDSADCCTYSNYWDCGFEDCEDCYGDTHYNDNCVLLEGLSEAKSLALVAETNTVIFTRDLKRCPTFSKLKTLLLNEYWCLAPGFSALTCILKHTPVLEKLTLQLFLKGHKHEVEMKGRYISMQKSAAISEHLKTVEVKCKAVGPNVVKVLKFLCTFGISLSSGGSIDALPDGVLEHILGFLLAPEAVRTCMLARRWRHLWMSTPGLRVGCLGDDVHGPPPVEEYRELVDRLLLLRRGSALDTCDIRLELRGVWLHSSFLDFSSCPAMEYLELLTCSLLGKNILSDSLKHLIITDSQFHGDFRTCIYAPNLVSLRLDGLQYSSPMLDSMPSLVEAFVRITEDYENFCDNSSAPDILDCLCESCDSARGSTGNSCVLFRGLSEAKSLVLTCTAYDSRPKYEVDMKGSISPMERSATISEHLNIVEVKCQAVDERVLEILKLLCTFNI >Sspon.04G0024190-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:22341724:22347999:-1 gene:Sspon.04G0024190-2D transcript:Sspon.04G0024190-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding KELNNIDDLSEERLDELSELFLNDFKNGQPEPYGWPTEGGYLAYKVSKALVNAYSRIVAKKHPTLRVNCAHPGFVSTDMSFHTGDLTVEEGARGALILALVPKGGMTGVFLNRTEQHHGLLVRHSSGTEAWSRDTLIWGAATADDKTEKSTMPPLRPASMAKSFAANSKHRCRHQSSPSQWPGGKQQNGAASGYARWQVLWRRGDDVDWWVTADVDLAVAKVDLDQADIAGHTRQLAVMCTSLSLSSRGDPRSPWPGWLMGKVAAAGRGRWAACTAVGRVWRFLLPTLYAYGLRRVDAGTGRSPAAVVLRQQAKDRFYWRFSSDGIYLASSAYKAMFVGSSTLRSAKELWKTRRKEGCKTAIFAPFVIRSRKRCVYMREVWARLRAALGFQQPAQVAQMVEANAEGFEEGIRFIVPACLLVTQAAWPHRRHAAACNRPTDHGGLAPCRSKPPRKQHLKKDVGAALVHLLEKLLDAAAKPGNAWDSYQLYTRDACGSRTLAMPPPLAGLRRPGHRPRRPESCLRHQPLPTPRCRRVAWGDAADVYI >Sspon.07G0019590-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:72367523:72370304:-1 gene:Sspon.07G0019590-1A transcript:Sspon.07G0019590-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLRPLSFTRCAPSPLGCVGIGMGGGGRRRTILVALRRDAAGRELLTWALVKAAAAGDRVIALHVSSTAAAAADGEMAAAEEKTRANESLASVLGAYRGFCERNQIDLELKLCEGPSIKKALVAEATSCAAAHLILGVTKSSRPSGYALHLFHFHIAGCFIEKCSDAVTLFVPFIIRSSATATAVARYCAKRVPPSCMVTAVSNGAVVYRRDPVHQHHQLLLSPYSAVVETPRRLYRKILDARTTAGDKSQDDMAIGASRSVRRHMSAAMSALVSPRVKLAPAGPARSCHGQQESPKMAAGWPLLKKDNMPALPELSEVSVVEWAMQLPTRCSDQSSDERGEEKPVPEELVSLRDKYSSKYTVFRYSELAKITNGFSPDRLVGKGGAGRVYWGCTEGGKELAVKVLKPSDDVVKDFVSEIEILSSVEHENAMSLVGFCLDGGKDRLMLVYDYMPRGSLEEVLHGHGGEKQGNKGGVAVLGWPERYRVAAGVARALEYLHGDGDGHRPMIHRDIKSSNILVAGDFEPKLCDFGLAMWADDAVAQVTGDDVAGTFGYLAPEYFMHGKVSDKIDVYAFGVVLLELISGRKPVSAGGAKGKGSLVMWANSVIQGGNIMDLVDPNLPTTNGDGDGDGDGGEVERMALAAALCIRRAHQLRPSMSNVVKLLTGDGDAVSWAKSQLGVPGDDDDGRHGCDAVGVTSPEKSDIQSYINLALRDVVDDDASSVGSGVSLEDYLKGRWSRSSSFEG >Sspon.06G0014310-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:75374617:75375569:-1 gene:Sspon.06G0014310-1A transcript:Sspon.06G0014310-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSEFDERKRSCRKRLDGHNRRRRKQQHDLMNLGGFFPYHQVNQFEVYQRTIPTVGQNSDAIHLVGRQQPFSISFARTPNQFSFPQGGGSLLHAARPGLLAEGSSHTGSSTCNNALSGTLGPECALSLLSSSLHCPSAAGNPTTSQPQVASSLSRVAAVSQAATTAMTTAFAAGVGHHVFVPDAMFEDPSQALPFSWQ >Sspon.07G0006410-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:16770646:16772133:1 gene:Sspon.07G0006410-1A transcript:Sspon.07G0006410-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidylprolyl isomerase [Source:Projected from Arabidopsis thaliana (AT3G55520) UniProtKB/TrEMBL;Acc:A0A178VDC9] MAETIDLSGDGGVLKTLVRKAKDDAIAPSESLPLVDVHYEGTLAETGEVFDTTHEDNSIFSFEIGQGAVIKAWDIALRTMKVGEVAKITCKSEYAYGAAGSPPEIPPNATLIFEVELVACRPRKGSSVGSVSDEKARLEELKKQRELAAATKEEEKKKREEAKAAAAARVQAKLDAKKGKGKGKGK >Sspon.08G0017620-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8B:2430871:2431213:1 gene:Sspon.08G0017620-1B transcript:Sspon.08G0017620-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ALHNPTPTCSRDRWLRKVDGSGNWSLVAHHTSAPRGRLHQTRPAFHSISRAGGRSKDSTRVLAIRGRPILPRHPGNNNHLASNNHLNLDNKLRCLLPISSRHLQEGGSTGNGA >Sspon.06G0002500-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:8162812:8166339:-1 gene:Sspon.06G0002500-1A transcript:Sspon.06G0002500-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKWRLILVGLQQQKNAHVPKFGNWDNDGNVPYTLYFDNARKGKGAGSKMINPNDPAENPEAFSMAAPSPNRSEAPAPTPPRHERRPSDAPPAPAPVSPNPYAGSPYHHRHGGRGGGRAVGGGGGYSVEQSPVHPYSSESAGYGLVANSVDRSRAKGGSRGNETPTRGSAVPKFGDWDSNPASADGYTHIFNKVREEKQTQAGKPEAYGKDGARGNGAKQHHDDGYVSSKFSCFGWCK >Sspon.05G0017630-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:75002243:75005058:-1 gene:Sspon.05G0017630-2B transcript:Sspon.05G0017630-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAVVGVLIGKLGAALAKEAAPYGASLLCKEVSALKGLFGEIRKAEGELEIMKAYLDTDEITGIFINKIRELSFQIEDVVDEFMYKLEADKHGGFAAKMKKRIKHLKVWHRLAHKLRDINAELEEAAKRRARYVIPGMQGHAGSSEHHGRSTNQNFWFAREDDVVGIEDNATKLKEWLVGDLEEKNYKIATVWGMGGVGKTTLVDHVYKIVKLDFDAAAWVTVSKSYQVEELLKRIIREFGILTDVNNMEIRSLVEIIRKHLEGKRYILILDDVWEKDVWINNIMEVFPTNCTSRFVFTSRKFEVASLATSNCTIEVEPLGDKHSWELFCKVAFRKSDDKRCPSELQDLAVKFVQKCEGLPIAIACIGRLLSVKQPTYSEWDSVYMELELQSNNNVIQGVDSILKVSLEDLPYELKNCFLQCAIFPEDYELRRRRLIRHWITSGFIKEKENKTLEQVAEGYLNDLVNRSLLQVVEKNESGRLKCCQMHDVIRHFALDKAAKECFGTVYEGHGTFLVQETRRLSINSTNIVPLNQSGVRHLRAIYVFTSSVDIDLFRPIFASSTLLSTLDLQDTKIKMLPNEVFSLFNLRFLGLRNTQIEILPEAIGRLQNLEVLDASGTCLLSLPKDVGKLKKLRYLYASVVVSEGPFRGYHRGVKVPRGIIKNLTGLHALQEVKACSETLRDVAALTHLRTFSVEDVTSEHSLILCSAVRNMSNLVSLSITMSNENEALPLEQLSLPETLSKLGLNGQLEKKRMPQILSSWLHLNYLTHLCLMFSKLDENSFPSLMVLCNLCLLNLSNAYDGKTLCFSVQSFPRLTELQILGAPQLNQVEIEEDALGSLVKLRFLDCPELKRLPHGIEYLRALDELYLVRVADELIKILRQEVEANECKEELMKISHIRMVGFSVTGENILRRIVIREGDALVAEGFGF >Sspon.05G0020250-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:87543054:87545248:1 gene:Sspon.05G0020250-2B transcript:Sspon.05G0020250-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPTPAPGVGEELSTEVVVVRHGETAWNASRIVQGQMDPELNEAGRLQAVVVARRLSREAKPAAVYSSDLKRAAETAEIIARACGVSNVVLNEALRERHMGYLQGLKWDDAVAKSPDSFRGFDIFKSTEGSDPDSRNQELPGGGESLNQLNDRCVSYLNKIAQEHGSVWWWSPMAHPYWSSAGTLTHPTASSVGTFPTLR >Sspon.04G0002670-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4B:5213124:5213373:1 gene:Sspon.04G0002670-2B transcript:Sspon.04G0002670-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSDNELEDQPCILDETEKASPIIDRSWEDYASKEFTMVLSKTTKNGPKVMLEAK >Sspon.03G0005730-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:16249272:16253213:-1 gene:Sspon.03G0005730-1A transcript:Sspon.03G0005730-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAELVKQFSNITLGEDNEICDVKQALKALRKKILSLDFDNSMHVHDPQDSFEYLEVLCKIKQLSEKLRTLDPGGEAKQLDELTVYAYDLSEMAMARLEEEFVYLLTHYKQPLEQEVLSFRSTEDGSAEDFSSSSFSEEQSEGKETPNDISGGPEYFVPDLIQPGALAAVKSIAKFMFLNGYDKECCQAYINSRQSAIDEYFGSLRLEKLSIEELMNTSWNKLNSLIKRWNRAMRVFIRVYLVSEKRLSKHVFGELTDSTADLCFSEISFNSVIQLLSFYVSVAIGPPKTEKLFRLLDMYDVLEDLLPEAESLFESGYDDMILNEYHEALLQLGESARKTFAEFKYAIQSYTSSSAVARGEVHPLTKYVMNYIRALTAYSKTLDSLLKDTDRRCMASDIQLMANSYPNFTATALHLQSVTAVLEANLEAGSRLYRDDRLQNIFMMNNIHYMVQKVKNSDLKSFLGDDWIRIHNRKFQQQAMRYERASWNNVLSYLSDDGLCAAGDAASRKTIREKIKNFNLSFEEVYRVQTAWSVPDDQLRDDVRISISLKVIQAYRTFVGRYSGFLDGRRHQDRYIKYRPEDLETLLLDLFEGTQKTLQYSFRVGRRQEVVRGEAVHIEIGLLHRPRLLQLPLVVDGSLAVTEERDVGVAAVRDVDAVDVAVVGDDGLHAGLPEDVLAAAVALAGLHAEQMRVLELDEQPRALAEVAPHRVVDDVERRSAPRPQRRGASLQLQDEALLVVEDLLAYAHRVREEVRDGAWIQPLQIKGARSKMHLALAERYPLHALPARAAFGASITWRERRRGRGGPRETWECSAADWRHAILPSLPALILWPAIMSSKNQTSGVFCQSTKPPTSARPCAAAVVTVWSPRWNSDAHIRTFPTAYMLRTPCSAACPPVAAMYCWMMYLAVDACFNRSFIKQLSHDFRARVKNVACMPGTRDHSFRSRAWQDDDGWVAYDRGVADGAAESAIVRDGAGDERRPDDVEEQLELRGGGGSPVDGGAIEGLVLEPGAHVGAPGAAALVGAGGVDEHLIGDGHLQHGVQGVVAVQVRAQLPHDTAAASSRRASMSPIRGE >Sspon.04G0031630-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4C:14696119:14696828:1 gene:Sspon.04G0031630-1C transcript:Sspon.04G0031630-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVTEAALPSGAAVRLGRAVLPPEPTSVRGKQLRRWGRHPSKTDCVAIGERRASRASRVAAGATIHAKRGRTVGSGIGGRSGVAVLDSRRRHWGAVRAGIIVAVGAVRSRSTTIGREPPWIHHAHLERSMSRKRENENKKYSSSLYVMIVGRYFVVAHHESCCCTGGWNQEGVRQWRRRCSPLMEQSAVRPPVTMAMELPKHAKLNHVEE >Sspon.01G0006900-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:16979654:16984804:-1 gene:Sspon.01G0006900-3C transcript:Sspon.01G0006900-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eukaryotic translation initiation factor NCBP [Source:Projected from Arabidopsis thaliana (AT5G18110) UniProtKB/Swiss-Prot;Acc:Q9FK59] MEAAVEKKETEQEEQQLPHARKDDAPAAAEEDEADSEETERRNRDLKAGLHPLRRKLVLWYTRRTPGARSQAYEDNIKKIIDFSTVESFWVCYCHLARPSSLPSPTDLHLFKDGIRPLWEDPANQNGGKWIIRFKKAVSGRFWEDLVLVLVGDQLEYSDDVCGVVLSVRFNEDILSVWNRNASDHQAVMALRDSIKRHLKLPHSYLMEYKPHDASRRDNSSYRNTWLRG >Sspon.03G0015040-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:47659761:47661359:1 gene:Sspon.03G0015040-2B transcript:Sspon.03G0015040-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAESFLFTSESVNEGHPDKLCDQVSDAVLDACLAQDPDSKVACETCTKTNMVMVFGEITTKATVDYEKIVRDTCRAIGFTSDDVGLDADRCKVLVNIEQQSPDIAQGVHGHFTKRPEEIGAGDQGHMFGYATDETPELMPLSHVLATKLGARLTEVRKNGTCAWLRPDGKTQVTVEYINEGGAMVPPV >Sspon.02G0027560-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:121638658:121640523:1 gene:Sspon.02G0027560-2P transcript:Sspon.02G0027560-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPEIGLDIDKLQMLISSRPRARAWWRRRWRTRGSRRRVAQADVVFGHVRGALPQPQLSLQHKLVEAIKEAGKSSSSLWLRPFTPSGSPDSVIPDA >Sspon.04G0024290-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:18619418:18638132:1 gene:Sspon.04G0024290-1B transcript:Sspon.04G0024290-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKSSGSQHVIGVPVTSTAYAIEDTSTRDRPAANKDGDRLAVSLTHPSPYTSFGYKHSSKGQVIHWVSKLGRRAQSFRDHVTLGPKLSETVKGKLSLGARILQAGGVERAFRHAFSSSAEKGERLVKALQCYLYTTGGPIAGMLFVSTRRIAFRSDRSLAVTSPAGGDAVVARVPYKVAVPLRRIKRVRPSENADKPEQKYIQVATVDGFEFWFMGFVSYQRCCKYMQQRVDGEAEILDLAVDLRTPELEIGVGGGEFRHRVVDVVEATLVSEIPSIARPAWKSPLQLMLLKVLTLMNLELDVVAIVERLATVKHKLLVLSGKGGVGKSTFSAQLLFALAEMDHQVGLLDIDICGPSIPKMLGLEGQDIHQSNLGWSPVYVESNLGEIDYLVVDAPPGTSDEHISIVQYLQATGIDGAIIVTTPQQVSLIDVRKEINFYKKVSVPVLGVVENMSALSEVFDSSKGGAEKMCHEMGVPFLGKVPMDPQLCKAAEEGRSCFADQRCSASAPTLQSIVKKLIKTERFPHPPLSTVLHLSVFVTVQLDETKEGKVLLLLPVMSAPPPAGGGGALINEVLTDDELRAVLTRLGPEAERDAFGLVCRRWLRIQSSERRRLRARAGPDMLRRLATRFPGALELDLSQSPSRSFYPGVIDDDLSVIAGSFRNLRVLALQNCTGISDVGVARLGDGLPSLQSLDVSRCIKLSDIELGAAGCNRITDAGISALADGCHHIKSLDISKCNKVSDPGVCKIAEVSSSCLVSIKLLDCSNVGDKSIYSLAKFCRSLETLVIGGCRNISDASIQALALACSSSLRSLRMHWCLKITDTSLQSLLSNCKLLVAIDVGCCDQITDDAFLDGEGYGFQSELRVLKISSCVRLTVAGVSRVIESFKALEYLDVRSCPQVTKDSCEQAGVQFPAGC >Sspon.03G0013570-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:38872152:38875296:1 gene:Sspon.03G0013570-1A transcript:Sspon.03G0013570-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGREAAAARPKLRRGLWSPEEDEKLYNHIIRYGVGCWSSVPKLAGLERCGKSCRLRWINYLRPDLKRGSFSQQEEDLIISLHKILGNRWSQIASQLPGRTDNEIKNFWNSCIKKKLRQRGIDPATHKLLNNDDVVAADDNAVGPHRHQDDKQLASPTDDHCFAAMDAASSDDPLAPHSPTVSFDPLSVTNVPTMQQGSYGAAHSFGRSDNHLCDYGGVDVVSDAATTYSAYTGGDSSSNSNGTWTCGGNVVGGEPMPPHMDMFGRDAEAAYQFDPAKYSPWQHQQQHPAAARLHGHNVSGGGSAGFPIRRDLPDSCFDLARSALEDEFSVDFL >Sspon.05G0013570-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:5C:41104365:41104630:1 gene:Sspon.05G0013570-2C transcript:Sspon.05G0013570-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LLPASALHLGQTLVASYRYRPCFSLGPSPFSSSQDDHEAGHPAYDLHLVRAAAGGARLGEVTGRAVVAVGVAAAVISSAPAVTATWTS >Sspon.06G0002570-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:8209984:8212088:1 gene:Sspon.06G0002570-1A transcript:Sspon.06G0002570-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDTRPRLWEANESSRSWDSKAPRLDLLAATATVAAEVDDLLGSCREDCPKLTGNGDDDRCCDHVPTNSAHKDILNWSLLSDDAGMCEDCSREEGAGGRPKYRRILVCLECGRHSCGDSRSYLPYGHARDHARQEQHWVAALFDDPQTGFCFKCECEQPVYPEPDDELGTITGEIQAGGGGHVFGFDMPLLALWLTTPLSRKPKLQKIVEHAQTLSLSSANLSIDREEYCHSAKEQHTWQSKDVIVQDPLYTEEPLHSVGFVPHSISDAKVEQMVQMTTNSPYPEDMGQPPLVAPLKHDAWTTSCSYVDQNGKGIGDNSCIPTIEECLSLFFKEEVVERSCDCSKVPMEPSTNQSRKGKQMEVGTNDGVAVNGGQCQSSCCRQQDGSDAESEIIQMADTNTVGANSRMSCGHKETEYHDGVQETACSFLSTEKQSNLLRTQHNQNLIRPNQELRKQVGLDLSANQLGDNQNEQKERSGRAIQKLHIMKLPPVLTLHLKWYIKNGNEYHKNEARVIYKELLDVGRFMDS >Sspon.01G0016310-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:51925650:51929066:1 gene:Sspon.01G0016310-4D transcript:Sspon.01G0016310-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHLPPMSCAAAFLLLVTLAFSVTPAPSQGAGEAAVLRAFTASLPPASRRVLRPSWRATNATASGGRPSHCAFLGVQCTTTGAVAAVNLSGVGLSGALAASAPRLCALPALAALDLSRNRFTGAVPAALTACSVVATLLLGENLLTGAVPPELLSSRQLQKVDLSYNTLAGEISGSGSPFLEYLDLSVNMLSGTIPPELAALPSLSYLDLSGNNLSGPVPEFPARCRLVYLSLYTNQLSGAIPRSLANCGNLTTLYLSYNGIGGKVPDFFASIPNLQVLYLDHNKFVGELPQSIGRLMSLEQLVVSNNGFTGTVPDAIGKCQSLTMLYLDRNNFTGSIPVFVSNFSKLQKFSMAHNSISGRIPPEIGKCQELVELQLQNNSLSGMIPPEICKLSQLQNFYLHSNSLIGELPAEITQMRKLREISLFGNNFTGVLPQALGLNTTPGLVQVDLTGNHFHGEIPPGLCTGGQLSVLDLGYNQFNGSLPIGILRCESLQRLILNNNLITGNIPANLGTNIGLSYMDISGNLLHGVIPAVLGSWHNLTMLDISNNLFSGPIPRELGALTKLETLCMSSNRLTGPIPHELGNCTDLLRLDLGKNLLNGSIPAEITTLGSLQSLLLGANNLTGTIPDSFTAAQDLIELQLGDNCLEGAIPHSLGNLQYLSKALNISHNRLSGQIPSSLGNLQDLELLDLSMNSLSGPIPSQLSNMVSLLVVNISFNELSGQLPGSWAKLAAKSPDGFVGNPQLCVELACAHRSNNQPAGKLHYSKTRIIVALLVSTLAIIVAGLCAVYYIVKRSHHLSASHASVRSLDTTEELPEDLTYEDILRATDNWSEKYVIGRGRHGTVYRTECKLGKDWAVKTVDLSQCKFPIEMKILNTVRHRNIVRMDGYCIRGSVGLILYGVVLLELLCRKTPVDSSFGDGIDIVTWMRTNLEHEDRCSIISLMDEEMTYWPEDEQEKALSLLDLAVSCTQVACQSRPSMREVVKMLLKIE >Sspon.01G0046440-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:88573224:88574400:-1 gene:Sspon.01G0046440-2C transcript:Sspon.01G0046440-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AMDDTMGGTRGLLGQAREDEQARNVVVGAGALTVARDYRRGNWTLPETMLLIEAKRKVHEERHPGDQGLARWRWVEDYCWRAGCRRSQNQCNDRWDNLMRDYKKVRAYELSGAGAGAGGKAPSYWAMGRAERKERGLPSNLLREIYDAMGEVIERRMSMGCGGSSGGPGGAFTAAASSSSLLDVPMQASPLAQVLPRPLLPQEQETHGHSSPESPERKRRRPSLDELRPGSSTPSAPGTHGHRQEQGRHREEEEEEDDDDDDDESSEAEYSDDDYNVLSGAIGRCAAILSEALESREAAEERRHREVMAVEERRGRARQARREAGEKCVAGLASAVNQLAGSMLALAAAKHKDKGAGPAA >Sspon.01G0003640-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:8926069:8929987:1 gene:Sspon.01G0003640-3C transcript:Sspon.01G0003640-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding KQKILLLSDLPRKQIDKLADSVAKDLNHIDVFTKSASLSMSKSFERAAAHKAKSIIILPAKHESRLRYLSVWKIYFHPSEEELLKETDKLLLIAPVHGMRRPKYRVLSVPKETQTSSHYSESREDQGSFNTATTMDNETRLKNIVKRPSKSLSKSSDYMLGPKERVLIVGWRPKVTEMIREYDSYLGPGSTVEILSETPIKERSSIINPLLQSQLKNVEVTHRVGCPLNYDTLKETIINMQKSAPDKEVPLSIVVISDRD >Sspon.03G0030010-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:16994375:16999136:-1 gene:Sspon.03G0030010-2D transcript:Sspon.03G0030010-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTEDEKLLKEAKKLPWDERLQHKNWKVRNDANIDLAALCDSITDPKDARLREFGPLFKKTVADSNAPVQEKALDALLAFQRAADADASRYAKEVCDAIVAKCLTGRPKTVEKAQAAFLLWVELEAAEVFLESMEKAVKNKVAKAVVPAIDVMFQALSEFGTKVVPPKKILKMLPELFDHPDQNVRASSKGLTLELCRWIGKDPVKSILFEKMRDTMKKELEAELANVSGLAKPTRKIRSEQEKELEEEAVPETSGANTSEEAAADAPVEIDEYDLVDPVDILTPLEKSGFWDGVKATKWSERRDAVAELTKLASAKKIAHGDFHEICRTLKKLITDVNLAVVVEATQAIGNLARGLRAHFSGNARMLLPEKLKEKKPTMAEALNQTLQALHKSGCFTLIDVIEDVRVAVKNKVPLVRSSTLTWVAFCIETSHKATVLKLHKEYVPICMECLNDGTPEVRDASFSVLTAIAKMVGMKPLERSLEKLDDVRKKKLSDMIGSSSDTVLSSGT >Sspon.08G0012570-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8B:50350959:50351297:-1 gene:Sspon.08G0012570-2B transcript:Sspon.08G0012570-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPERINTDLNDGSYDGYAGDIWSFGLSILEFYLGRFPFGENLGRQGDWAALMVAICYSDPPEPPPTSSPEFRGFISCCLQKNPAKRLTAAQLLQHPFVAGPQPQPQPLAAPP >Sspon.06G0001380-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:2947323:2951539:-1 gene:Sspon.06G0001380-1P transcript:Sspon.06G0001380-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRRAREKKDVARRICGRRTSPQLAPTRHTLSPVAAACTDRRAHSILPTSKPVGLTQPNPPRLQKPHGRMPNPRQPQSPEQSKLSLSTHTNTSQARTPTMSVEILDGSTVRSFVEDEGAFNASVDGRFAALDADHDGLLTYAEMAGELMSLRVLEKHFGVDEAVGAPDELAGLYRSLFARFDRDGSGKVDRQEFRAEMKEVMLAVANGLGFLPVQMVVEEGSFLRVAVDRELGQLAKAA >Sspon.02G0051950-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2C:86640350:86640839:-1 gene:Sspon.02G0051950-1C transcript:Sspon.02G0051950-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RYGCPDIEEIENFNRLYKQKLDEIIERGEISLDLALEASYLTELYTNGCICSSDLLTEKFWSLQVSSPGAERLLKVPEDMDRFKDMAMRVQYLAEGNNDLMSKQNQVKDGIFLLESVDTKAEHCVWKLADVKENRAEAGKGRPLNRKQKDWRLQTSFTAVKK >Sspon.07G0014020-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7A:50826123:50829921:1 gene:Sspon.07G0014020-1A transcript:Sspon.07G0014020-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPSAAAAAEAPRTVASAVLRIQMALLDGAAASNEALLHAAASALLSRADYDDVVTERTIADACGNPACPNPLPSSAAAATGPRFHIALSEHRVYDLEEARKFCSERCLVASKALAASLPHDRPYGVPLDRLAAVVALVEGAAAAAVGDGSGLGFQGVDGRNKDEGRKVEIKEKEVAGAGEVSLQDWIGPSDAIEGYVPRRDRSAQGQKPQAQQNKVAGPVQSRTENVDHRTAAPGEDGMRSSPSLVETHMSSEVIAERMGDLVLGENTKTPRKKKTKTPSKMLEQEEDNSMLSSCISDSIAKQLEDVVLEERKGTKKNKASKASSRTQKSKSRKRPAGSDGHEVDFTSGDASTNREESAMNQYNYLSSSVLVDNHPSSSQSSAKYSMQAYAEQLCEEFNEAVNIGNDETSDEKMRPALKSSLKVTASKSGRQSVTWADENGSVLETSKAYESPSSSIKQPEEGIDSSLRRASAEACAAALIEAAEAISSGTAEAEDAVSKAGIIILPDVLNQKEYDNAKNTGGDDDPEIDRDVIKWPKKPVLLDTDMFEVDDSWHDTPPEGFSLTLSAFGTMWAALFGWISRSSLAYVYGLERGSVEELLIANGREYPEKIVQKDGLSSEIRRALDSCVCNAVPVLISNLRLQIPVSKLEITLGYLIDTMSFVDALPSLRSRQWQAVVLVMLDALSVHQLPALAPVFSNSKLVQKMLNAAQVSREEYDSMVDLFLPFGRSLQATMPM >Sspon.08G0000240-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:1302206:1303011:1 gene:Sspon.08G0000240-1P transcript:Sspon.08G0000240-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAEVDPSPNPAPTTASDLPNGSKTKAREADRRRRRRKAKKNKSAAEADAQDADGGASGSDAKENADPNPEPQVEVEIEYVPEKAELDDPLLDDFKAIFEKFTFKDAAAAAAEDDKKDEGATDASKKGSDDDDDDEDDEQEANKKKEGGLSNKKKKLERRMKIAELKQICNRPDVVE >Sspon.05G0021890-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:4441743:4442850:-1 gene:Sspon.05G0021890-2D transcript:Sspon.05G0021890-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTRWSQKSPGLKILWIWTLGTAAIVVGGVVRMRVNDMQKILREEEEAAAAAAATSVSSERVLKDEE >Sspon.06G0020710-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6B:10587546:10588052:1 gene:Sspon.06G0020710-1B transcript:Sspon.06G0020710-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIIRSTSLPSSLRSEEIDIEEQLQSLKETISSATIETIVDGIKRLGEVYKTIEQTICSPSSQASLCRLQQRTMVEQEVELSLVLLDLCNTMQENFSEIKINIQEMQLAIKRRNDSAFKPGSSLTSAWPRKHINSSRRSARSLLQLIRTAAGWSSIWLKPGRLQSPC >Sspon.04G0028270-2D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4D:70150161:70151912:-1 gene:Sspon.04G0028270-2D transcript:Sspon.04G0028270-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLILRTKMMENLMMIMMMREMMRMLKTKKKMMVVTRDQMTMGMRRKMTMMTTTILKPMVKEEAMMMMMMVERMKRMTTTTMMGTVTMTKMRRKKRKRRMTMTSPNHLLRRGN >Sspon.04G0006590-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4B:14939312:14939916:1 gene:Sspon.04G0006590-2B transcript:Sspon.04G0006590-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GSSIIPLSKQVEQFAAVQRNISSRVGNGAAPEALLSRSLFLVSTGGNDLFAFFSRNSTPSYADKQQFVGNLVALYQNHVKVRHSLNHAWYWYDPPRNLAEMKRSLRPGGRPAAVLSYQALYVLGARKFAVIDVPPIGCCPYPRSLHPLGACIDVLNELARGFNKGVKDAMHGLSLSFQGLRYSVGSSHAVVQSIMKHPQSL >Sspon.01G0030920-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:76399390:76403476:-1 gene:Sspon.01G0030920-3C transcript:Sspon.01G0030920-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVTPRITVSDGRLAVRGRTVLTGVPDNVVAAHAAGAGLVDGAFVGAHAAEAKSHHVFTFGTLRDCRFLCLFRFKLWWMTQRMGVSGRDVPLETQFMLIEVPPATDGDGGDAPAYVVMLPLLEGQFRAALQGNDRDELQICVESGDKAVQTEQGAHMVYLHAGDNPFDTVTAAVKAVEKHLQTFHTRDRKKLPSFLDWFGWCTWDAFYTDVTADGVKHGLQSLSKGGAPPRFLIIDDGWQQIASDNKPDPKVAVQEGAQFASRLTGIKENTKFQTKPDGDTDGDGGLKRLVSETKDVHGVKQVYVWHAMAGYWGGVTPSSGTAMERYEPALAYPVQSPGVTGNQPDIVMDSLSVLGLGLVHPCRARDFYGELHSYLASCGVDGVKVDVQNIIETLGAGHGGRVALTRAYHRALEASVARSFPDNGCISCMCHNTDMLYSARQTAVVRASDDFYPRDPASHTVHVASVAYNTVFLGEFMQPDWDMFHVSRLLCLIVLLVAVSRHPLIDSILCQSLHPAAEYHGAARAIGGCPIYVSDKPGNHNFELLRKLVLPDGSVLRAQLPGRPTRDCLFSDPARDGASLLKIWNLNKCGGVVGVFNCQGAGWCRVTKRTRVHDAAPGTLTGTVRTDDVDAIARVAGDGDGSDDGEWDGEAVVYAHRARELVRLPRGAALPVTLGPLQYEVFHVCPLRAAAPGVAFAPVGLLDMFNAGGAVEECRAVDGGSGEGKAVVALRVRGCGRFGAYCSREPARCLLDSAEVEFGYDADTGLVSVDLPVPEQEMYRWTLEIVV >Sspon.01G0031600-3D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1D:108718334:108718969:1 gene:Sspon.01G0031600-3D transcript:Sspon.01G0031600-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRLSLASPAAAPASATCRCSSASFLRPHRSRKPRGCVVAMADLLGDLGARDPFPEEIESQFGEKVLGNVDTLHQILIPTLSALSLASLPLQLDAEPLSLDDARKLLFKVVGWRLVLSDGDQQWPARLQCVWKVRDEHCAQELIARINAALDGADHAPAALVFEAPNQVTAKLSTPSAAGDSLTVNDYIVAARIDKVKTLDLIPKKRAWA >Sspon.05G0035440-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:73131358:73134393:1 gene:Sspon.05G0035440-1C transcript:Sspon.05G0035440-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLVVEVVGAHDLPARRGRVTPFVQVAFGGQRHATGVRPGEANPTWNETVVFVVDAIAGRLSDRSIDVGVYHRRGSGRKSCLGRVRLFGAAVAPSAEEAVLLRCPLDKPSFFAPARGEVALRLYLAPYGPPATPSAAAAARAGNAYSTTYGTSTFNDTASMAGGPETVVGGASTQSSAAMVIKKKKKRKEPLQEPPPVHVFNSIPTQSSTGSLIFPPPPPPSMPPSTGAPKATKKAAAPATADDAKAAEYLMVDKLEFLYVNVVRARGLSGTDLTFGIDPYVEVRVGNYSAVTRHLVRNHEPEWNQVFAFSKDQLQADSVEVIVKDKNLIVWDSIVGRAALSILELPSLAPPNRPLAPQWYRLKGAKGQWTGGEVMVAAWKGSQSDEAFAGALHAGAHDLAPAAVAATQTKCYFAPRLCYLRCHVIAAQDLVHPDRSRRSSRMSVFARVQLGAQRWHTRASPSAKWDEDFFLVAAWPFDEPLEIAVMDIASPERHELLGQVTFRKGSIKVQQFDKKKFKPPAPSWYDLELPRSSDGGGGGGDDARDRSGRRHEFRSKIQLRVYYDAAYHVLDEVTSYASDFQPSARPLRSQAIGVLELAVLRATGLRSTKRPNGGRGTVDAYCVAKYGQKWIRTRTLLDTASPSWQEQFTFDVFDPCTVLTVAVFDNNQLASAEASRRGDTDAPLGKVRIRVSTLASGRTYEQPYSLFVVHPTGLLRCGELHLAVRFTHTAWVNMMSLYLRPALPKQHFAKPIPTHLLPRLRRYAADVVASRLARAEPPLLPGAVHYLLRDPRHDVPEGYAYSMRRSLAACARLRDVLAPLAAFARWFRGVRDWDNPVTTVLVMVVFLVLAWMPSRILPTFFLYLFAVGAWNFWRRPARPAQMEHYSDGVPQAMFEEEFDAGLPSGTPPEVLLQWYLRLRETAAHIQGFIGDVASKGERVHAVLEWRDGRATVIVLVAVAALTVVTYAVPFRALVSVTGVYVMRHPLLRRKEPSALMSFFRRLPSNADVML >Sspon.01G0001460-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:3247764:3249345:-1 gene:Sspon.01G0001460-2B transcript:Sspon.01G0001460-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:50S ribosomal protein L5, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G01310) UniProtKB/Swiss-Prot;Acc:O04603] MKAQPRTTGLASGTADALSSNRLPHPWHKPRPPRSAPSASAHRAPMAATAVTLPSSGASFPVATTASSSSARRCLLLPSAPPRRALRVVASAATEAPPKATPPPTSPSGIVLVDPSEAQRVHRLKAVYDQKVVPLIIEEFGYTNVHQVPKIEKIVVNCGLGADAGNSKGLEAAMKDLAMITGQWPVKTKARKSVASFKIREGNTIGIAVTLRGRIMYNFLDRLINLGLPRTMDFLGVNPNSFDGHGNYSMGLRDQGVFPEIPYEVGGKKNGMDVSIVTSAKTDNEAFRLLTLLGMPFSENIKPDVVIRKKRLKRHHFLSKGKGKGGRR >Sspon.02G0037100-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2B:29447542:29448303:1 gene:Sspon.02G0037100-1B transcript:Sspon.02G0037100-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHCPSIIGWSSPKQFESFSIPGRMGRPRGGKAKRPPSSQATKNEDDSDAGSGGEEEEAVMPANKRRGRPQKHLKSDDTDDDAKAEPTEDEAKPVVPVMAASPENGGGKKRRRRRQPKRGCDSAAEKNEAGKQSGGFRHHGSRRKSTPRRAAEAGVECK >Sspon.04G0003500-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:48743422:48747838:-1 gene:Sspon.04G0003500-1P transcript:Sspon.04G0003500-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASTASRTNSRVNYSNEIHDLSTVQSGSAVPTLFYPDKSIADIFPPHLGKKVISEVVATFLLVFVTCGAASIYGEDNKRISQLGQSVAGGLIVTVMIYATGHISGAHMNPAVTLSFACFRHFPWIQVPFYWAAQFTGAMCAAFVLKAVLHPIAVIGTTTPSGPHWRALVIEIVVTFNMMFVTCAVATDSRAVGELAGLAVGSAVCITSIFAG >Sspon.07G0010250-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:28117758:28119892:-1 gene:Sspon.07G0010250-4D transcript:Sspon.07G0010250-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADVEKAAPVAAPAEGAGGDAAGGRTGAVGAVLRRWRTQDLLDRSGSALRAGAWALSLLAFLVMACNEHGDWRQFDRYEEYRYIVAIGVLAFVYTTLQLLRHGVRLTGGQDLQPKTGLLVDFAGDQVTAYLMMSALSAAIPITNRMREGADNVFTDSSAASISMAFFAFVCLALSALISGFKLAKQTYI >Sspon.07G0033930-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:67138582:67170653:-1 gene:Sspon.07G0033930-1C transcript:Sspon.07G0033930-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAAMAAHRASFPLRLQQILAGSRAVSPAIKVESEPPANIKAFIDRVINIPLHDIAIPLSGFRWEFNKDLLLSDDMTEADPMPKNAILKILRVMQIVLENCQNRSSFTGLEHLKLLLASSDPEIVVAALETLVALVKINPSKLHMNGKLISCGSINTHLLSLAQGWGSKEEGLGIYSCVVANEGNQQGGLSLFPVDLENKYGGTQHRLGSTLHFEYNLGPAQYPDQTNDKGKSSNLCVIHIPDMHLQKEDDLSILKQCVDKFNVPPEHRFALLTRIRYARAFNSARTCRIYSRISLLSFIVLVQSSDAHDELTYFFTNEPEYINELIRLVRSEDSVPGPIRALAMLALGAQLAAYASSHERARILSGSSIISAGGNRMVLLSVLQKAIFSLNSPNDTSSPLIVDALLQFFLLHVLSSSSSGTTVRGSGMVPPLLPLLRDNDPSHMHLVCLAVKTLQKLMEYSSPAVSLFKDLGGVELLSQRLHVEVQRVIGTADGHNSMVTDAVKSEEDHLYSQKRLIKALLKALGSATYSPGNPARSQSSQDNSLPVSLSLVFQNVEKFGGDIYFSAVTVMSEIIHKDPTCFPALKELGLPDAFLSSVTAGVIPSCKALICVPNGLGAICLNNQGLEAVRETSALRFLVDTFTSRKYLIPMNEGVVLLANAVEELLRHVQSLRSIGVDIIIEVINKLSSSQEDRNNETAILEEKIDMDTDIEGRDVVSAMDSSVDGSNNEQFSHLSIFHVMVLVHRTMENSETCRLFVEKGGLHALLTLLLRPSITQSSGGMPIALHSTMVFKGFTQHHSTPLARAFCSSLREHLKSALGELDKVSNPFEMTKIEKVAIPSLFIVEFLLFLAASKDNRWMNALLSEFGDASREVLEDIGRVHREVLWKISLFEENKIDAETSSSSSTSEAQQPDLSASNIGDSRYTSFRQYLDPILRRRGSGWNIESQVSDLINMYRDIGRAASDSQRVGSDRYSSLGLPSSSQDQSSSSSDANASTRSEEDKKKSEHSSCFDMMRSLSYHINHLFMELGKAMLLTSRRENSPVNLSPSVISVANNIASIVLEHLNFEGHSVSSEMEMTVTTKCRYLGKAVEFVDGILLDRPESCNPIMANSFYCRGVIQSILTTFQATSELLFTMSRPPSSPMETDSKIGKDGKEMDSSWIYGPLTSYGAIMDHLVTSSFILSSSTRQLLEQPIFNGSVRFPQDAERFVKLLQSKVLKTVLPIWAHPQFPECNIELISSVMSIMRHVCSGVEVKNTVGNGGARLAGPPPDESAISLIVEMGFSRARAEEALRHVGTNSVEIATDWLFSHPEEPQEEDDELARALAMSLGNSDTPAQEGDSRSNDLELEEVTVQLPPIDEMLHSCLQLLKTKEALAFPVRDMLVTISSQNDGQNRVKVLTYLIDNLKQCVVASEPSNDTVLSALLHVLALILHGDTAAREVASKAGFVKVALDLLCRWELEPRESGMNEVPNWVISCFLSVDQMLQLEPKLPDVTELDVLKRDNSNTKTSLVIDDSKKKDSESLSSVGLLDMEDQYQLLKICCKCIEKQLPSASMHAILQLSATLTKVHAAAICFLESGGLNALLSLPTSSLFSGFNNVASTIIRHILEDPHTLQQAMELEIRHSLVTAANRHANPRVTPRNFVQNLAFVVYRDPVIFMKAAQSVCQIEMVGDRPYVVLLKDREKERSKEKDKDKSVDKDKATGAVTKVVSGDTAAGSPANGQGKQSDLNSRNVKSHRKPPQSFVTVIEHLLDLVMSFVPQPCPEDQADVVSGTALSSDMDIDCSSAKGKGKAVSVPPEESKHAIQESTASLAKTAFFLKLLTDVLLTYASSIQVVLRHDADLSNMHGPNRISAGLISGGIFNHILQHFLPHATRQKKERKSDGDWMYKLATRANQFLVASSIRSAEARKRIFSEICSIFLDFTDSSAAYKGPVPRMNVYVDLLNDILSARSPTGSSLSAESTVTFVEVGLVHSLSTMLQVLDLDHPDSAKIVTAIVKALELVSKEHVHSADNAKGENSSKIASDSNNVNSSSNRFQALDMTSQHTEMVTDHRQTFNAVQTSQSSDSVADEMDHDRDMDGGFARDGEDDFMHEMAEDGTGNESTMEIRFEIPRNREDDMADDDEDTDEDMSAEDGEEVDEDDEDEDEENNNLEEDDAHQMSHPDTDQEDREMDEEEFDEDLLEEDDDEDEDEEGVILRLEEGINGINVFDHIEVFGGSNNLSGDTLRVMPLDIFGTRRQGRSTSIYNLLGRASDHGVLDHPLLEEPSSTLNFSHQGQPENLVEMAFSDRNHESSSSRLDAIFRSLRSGRNGHRFNMWLDDGPQRNGSAAPAVPEGIEELLISHLRRPAQQPDGQRTPVGGTQENDQPNRGSDAEAREVAPAQQNENCENTVNPVDLSESAGPAPPDSDALQRDVSNASEHATEMQYERSDAIARDVEAVSQASSGSGATLGESLRSLEVEIGSVEGHDDGDRHGTSGASERLPLGDIQAAARSRRLSGNAVPVSSREMSLESVSEVPQNPDQEPDQNVSEGNQEPIRAVGADSIDPTFLEALPEDLRAEVLSSRQNQVTQTSNDQPQNDGDIDPEFLAALPPDIREEVLAQQRTQRIQQQSQELEGQPVEMDAVSIIATFPSEIREEVLLTSPDTLLATLTPALVAEANMLRERFAHRYHSSTLFGMNSRNRRGESSRRDIMAAGLDRNTGDPSRSTSKPIETEGAPLVDEDALKALIRLLRVVQPLYKGQLQRLLVNLCTHRDSRQALVQILVDMLMLDLQGFSKKSIDAPEPPFRLYGCHANITYSRPQSSDGVPPLVSRRVLETLTNLARSHPSVAKLLLFLEFPCPSRCRPEAHDHRRGKAVLLEDGEERKAFALVLLLTLLNQPLYMRSVAHLEQLLNLLEVVMHSAENEINQAKLEASSEKPSAPENAVQDGKDNSISESYGSKSNPEDGSKAPAVDNKSNLQAVLQSLPQPELRLLCSLLAHDGLSDSAYLLVGEVLKKIVALAPFFCCHFINELARSMQNLTLCAMKELRLYENSEKALLSSSSANGTAILRVVQALSSLVTTLQERKDPEQPAEKDHSDAVSQISEINTALDALWLELSNCISKIESSSEYASNLSPASASAATLTTGVAPPLPAGTQNILPYIESFFVTCEKLRPGQPDAVQEASTSDMEDASTSSGGQRSSACQASLDEKQNAFVKFSEKHRRLLNAFIRQNPGLLEKSFSLMLKIPRLIDFDNKRAYFRSKIKHQYDHHHHSPVRISVRRAYILEDSYNQLRMRSPQELKGRLTVHFQGEEGIDAGGLTREWYQSLSRVIFDKGALLFTTVGNDLTFQPNPNSVYQTEHLSYFKFVGRVVGKALFDGQLLDAHFTRSFYKHILGVKVTYHDIEAIDPAYYKNLKWMLENDISDVLDLTFSVDADEEKLILYEKAEVTDCELIPGGRNIRVTEENKHEYVDRVAEHRLTTAIRPQINAFLEGFNELIPRELISIFNDKELELLISGLPDIDLDDLKANTEYSGYSIASPVIQWFWEIVQGFSKEDKARFLQFVTGTSKVPLEGFSALQGISGPQRFQIHKAYGSTNHLPSAHTCFNQLDLPEYTSKEQLQERLLLAIHEANEESTKPHTKERPIYGSNSPEHFGNLVTQFVGMVADGDEDMADIPK >Sspon.06G0032320-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:61770733:61776553:-1 gene:Sspon.06G0032320-2D transcript:Sspon.06G0032320-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAGKARKGAGQAAPASGADRIGALPDEILRHVLSFLPAQQAVQTCVLAQRWRHLWKSAPGLRIVGADGEAPVPFEEVREFVDKLLLHRGSSPLETFELRVAGAAVDVCRMRLWVRYAVECKVQVLRLIFLGNTCARLRPDDPPLASRHLTKLELRGLVFNDDFLDFSRCPELQDLKIKDCSFKHAKRISSQSLRHLSIKRATFNRSSRAQILAPNLASLLLQVAAGRTPTFDKMPLLVKSSVLISEVCKDCCSRSNYGDCGDGSCGGCIRDDSASVILHGMSQVKSLMLLAYPEKLKSLILNENCCVPDEHPLACILEHSPVLEVLILGLYLKIHLLEANVQMKGRFNPKELPPTISSHLKEVEIICGGVDGNVLKVLRFLSKLNISLVSRNS >Sspon.05G0012580-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5A:39100705:39105134:1 gene:Sspon.05G0012580-1A transcript:Sspon.05G0012580-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PBS1 [Source:Projected from Arabidopsis thaliana (AT5G13160) UniProtKB/TrEMBL;Acc:A0A384K8Z2] MGCFPCFGSTRDEELKYYGAKGHGGGNGGVGRAAASSSSSSSAAAGGGGGRAAEAVVAPPRVERDHAGSDKMRAKGNAGSKKELSVLRDASGNVISAQTFTFRQLAAATKNFRDECFIGEGGFGRVYKGRLDMGQVVAIKQLNRDGNQGNKEFLVEVLMLSLLHHQNLVNLVGYCADGDQRLLVYEYMPLGSLEDHLHDLPPDKEPLDWNTRMKIAAGAAKGLEYLHDKAQPPVIYRDFKSSNILLGEGFHPKLSDFGLAKLGPVGDKSHVSTRVMGTYGYCAPEYAMTGQLTVKSDVYSFGVVLLELITGRKAIDSTRPAPEQNLVSWARPLFNDRRKLPKMADPGLEGRFPTRGLYQALAVASMCIQSEAASRPLIADVVTALSYLANQIYDPSSAHTSKKAGGSDQRNRVGDSGRVLSKNDDAGSSGHRSPSKDRADSPREQFPGAANRGQDRERMVAEAKMWGENWREKRRAAQGSLDSPTGGG >Sspon.01G0010100-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:34420679:34424343:1 gene:Sspon.01G0010100-2B transcript:Sspon.01G0010100-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGCFHPVLSPLVPSPPGRRVRAALRSQSHPTTAVSLRLIPCGATAGRGTWRLAAAAESQAVQEQPARTEESGEAGGAGTAEASSKLVLVVGGTGGVGQLVVASLLSRNIKSRLLLRDPEKASSLFGKQDESVLQVYKADTRNPNDLDPQMFEGVTHVICCTGTTAFPSKRWDGDNTPERVDWDGIRNLVSALPQTIKRLVLVSSIGVTKYNEIPWRYIPYDSEEEFRIMNLFGVLKYKKMGEDFVRNSGIPFTIVRVKDPGLTQRNGRSYSDLFNQTSGILRRVAT >Sspon.02G0031920-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:89056895:89060666:-1 gene:Sspon.02G0031920-4D transcript:Sspon.02G0031920-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPALEGSQPVDLSKHPSGIVPTLQNVLYLCFNNSFFVKQRFAAVIMRIREPKTTALIFASGKMYARIIQKLGFPAKFKDFKIQNIVGSCDVKFPIRLEGLAYSHGAFSSYEPELFPGLIYRMKQPKIVLLIFVSGKIVLTGAKVRDETYTAFENIYPVLTEFRKVQQ >Sspon.01G0026450-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1A:92353400:92353668:-1 gene:Sspon.01G0026450-1A transcript:Sspon.01G0026450-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MYARPRACLNPASHPPSHIRPATRLLYASAGPPVARELARLHAIASRAPGRSPHPRARSATRDRRMSPRPLAAPTSCASPAARQCKQLL >Sspon.05G0025680-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:22963485:22968041:1 gene:Sspon.05G0025680-2C transcript:Sspon.05G0025680-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNLRLVTRLPQQLQLQIDGETLVASAIDAERRRAFFASSANFIYTVSLPAFPCCADYPAFFSLLQQPLQWSKIATQHSDMEEVVLDPGDCVVAMDYLMERESLLLGSSAGCLLLYNVDEKTTEVVGRLEGGVNTIASSPDGALLSVTTGLGQLLVITQDWEVLFETSLDPQDATIDNKDSTGGHIRSAISWRGDGKYFATLVAPDSSCSPTKLNVWERESGKVHSSSDAKTFMGASLDWMPSGAKVATALDRRTEGTCPLIVFYEKNGLERSHFSIDEPSEVVIQALKWNCNSEILAALVSSGQHDLIKIWTCRNNHWYLKHELRYTKEEGVKFFWDPTKPMHLICWTLSGQVTIHKFAWTTAVSESSIALVIDGSHVLVTPLILGLMPPPMSLFHLAFPCAVNEVSFVTNNSKSHLAAYLSNGSLSIVELPAPDTWEEFEGNGISVDPCCSDFTLNNCMHLTWIDTRTLIGICCYSEDYSLTTIRSSETRNLVDKHDSLFFINEIELRCSEDSVPGSVSSSGWQARVSKKAQLESSVIGVSPNPAKRGSAFIQFSGGRIIEYCSSLNLSKMCPPAQFSGIGSDLCFPASCPWMTAVLCCKNGMAEPFLFGLDESGKLYMGKRLLSNNCSSFTFYSSAYGATEPIMSHLLVTTKQDLLFIVDVNEILLKDIEVTIDGLVSSPARGKHSKEHITVWEKGAKLVGVLHGDEAAVIMQTTRGNLECTYPRKLVLVSIVQALVQRRFKDAIDMVRRHRIDFNIIVDYCGWNAFVNSAADFVKQVNNLSHITEFVCSMKNSNVSSKLYEAYISFPDQCAVPMSDNESSPGLFLGNKVTSVLVAVRKALEEQLEESSSRELCILTTLARSEPPLLEQALNRIKIIRELELLGLDDAKRKLYPSAEESLKHLLWLTDTEAVFGAALGLYDLNLAAIVALNSQKDPKEFLPFLKNLESLPPAIMRYTIDLRLGRYESALRNIVSAGNAYHEDCMKLLNGNPQLFPLGLQLFNEPDKRNQILEAWGDHLSGEKCFEDAALTYQCCSSYQKSLRAYRACGDWRGVFTVAGLLELKKEEVVQLAHELCDEFQALGKPGDAARVALEYCSDAERGVSYYIMAREWEEALRVAYMLSRHDLVETVRDAASECATSLISEYQEGLLKIGKYVARYLAVRQRRLSLAAKLQSEDRFMDVEDDNVSEVSTSFSEMSAYTTRSTRESSASVISSNVSKSRGARRQKKGGKIRAGSPGEEMALVEHLKGMALTGGAQNELKSLLVALIQLGKEERARQVQESAGNFEVSQRAAMKLAEDTVCSDKVDENTHTLEHYVRMLRAHGSGHSEAGSWRIKALSPP >Sspon.04G0002780-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:9671994:9681228:-1 gene:Sspon.04G0002780-3C transcript:Sspon.04G0002780-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLSSPRLLSSFLGDRLALSGRPLLLRSAVPGTCPPPSTALPLCGCAPGFIALAQSRSSLRRLLLVGSRRVTYQATRTLCNLVDILFNRRSRDDAPENNPRRLRPGKVSPHLSVPNHIQRPPYVNSRQQRPGMNNGPEIHDEKGIECMRASGKLAAQVLKFAGTLVKPGITTDEIDKAVHQMIIDNGAYPSPLGYCGYPKSVCTSVNECICHGIPDSRPLEDGDIINIDVTVYLNGYHGDTSATFLCGDVTRECLDKAISICAPGVEIKQIGRTIQDHADKFKFGVVRQFVGHGVGKVFHAEPVVLHFKPMLTIGSINPVMWSDDWTAVTEDGSLSAQFEHTILITEDGPEILTQC >Sspon.02G0023110-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:78123250:78125346:1 gene:Sspon.02G0023110-1A transcript:Sspon.02G0023110-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGTTRHICRRMNSSIPDMPTIQL >Sspon.03G0030800-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:22508327:22511345:1 gene:Sspon.03G0030800-1B transcript:Sspon.03G0030800-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Jacalin-related lectin 3 [Source:Projected from Arabidopsis thaliana (AT1G19715) UniProtKB/Swiss-Prot;Acc:F4HQX1] MPLIFRCKSQLVCVQQNFRGSSGKNSMTVGPWGGLGGDPWDDGVNSSVRQIIISHGAAIDSIQFEYDLRGGLVWSEKHGTSGGGSKTDQVKLNYPEEVLTSVSGCYGAVGASVVIRSLTFESNCSKYGPFGTEQGTSFSLPVFSGKIVGFHGRSGSCLHSIGCHLNKENTTKLSKNAPSALRSITRSYDRNGHRYADSNAGYDMVLAVKDRGDNYNVLTSSLPKEQCPNPSKMSKMVSIPSFYSDNGTMTISTPVRFGPWGGNSGTIFDDGIYTGVRQINLTRGLGISSMKVLYDRNGQAIWGDKRGVSGGARPEKVVFDFPSEILTHITGYFGSTMIMGPTVIKSLTFHTTKKSHGPFGDEHGTFFSSCLTDGRIVGFHGRAGWYIDSIGVHVLEGKVLSQRVDTEFTDASPSRQSDMLALARREIGDEVTYGVVKEPIPIGPGPWGGDGGRPWDDGVYTGVKQIYIMRTDFIGSVQIEYDRSGQSIWSTKHGNGGQITHRIKLDYPHEVLTCIYGYYNTCVEEGPRVLRSLTFVSSRGKYGPFGVEIGTYFTSATTEGKVVGFHGRSSLYLDAIGVHMQHWLGDVKTASASNSKYYISRYLF >Sspon.03G0024920-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:93798330:93801676:-1 gene:Sspon.03G0024920-1P transcript:Sspon.03G0024920-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LAPRLSSSTSGPGPPSTPPHPHPFPIPDPALRSDRGSSHGSEPAGGGVVLALADGGRRHGGRRQGRAVYKLEEICELLRASDASIVKEVADFVLKRLDNKSPLVKQKALRLIKYAVGKSGTDFKREMQRHSAAMRQLVHYRGHPDPLRGDALNKSVRETANEAIAAIFSTEDPKPAVATESLGKRIQGFGNTNYEPSRDDKKSFLSELSDVVGIGSASIKQGLSNFAAAHAMMTNDNGSTYKSPNLRRSLTTESERYGRYDPSEIQSESHALSGASKNAASGSWGPTSSSVPTDDTSSSQPGIKTREERLLETIVTASGVRLQPTRDALQIFLTEASKLDAVALSRALENKLNSPLWQVRMKAICVLEAIVRKQDTDPYSIIASYFIENTASVVKCSELHREKASKVLNMLIGNNLLEPQQKAAMPTPVQMPDLIDTVSIKMIWQQVLDRKAMNRILGTVFDDLLGGEPIADTSVTTDSNGSDPFA >Sspon.07G0007840-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7B:18603429:18610270:1 gene:Sspon.07G0007840-2B transcript:Sspon.07G0007840-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAAARSLRRLSSSSSSSVRALRRVLQHHPPPPPSLPPPLPPLRTLTRALLPHLAAAHWFSTASFSTSAPSRLGECVGVGGAPAIPEEEEEEEEEEEREETGALVRHDTDAYAAVELALDSVVKVFTVSSSPNYFLPWQNKAQRESMGSGFVIPGRRIMTNAHVVADHTFVLVRKHGSPTKYKAEVQAVGHECDLALLTVESEEFWDGVNSLELGDIPFLQEAVAVVGYPQGGDNISVTKGVVSRVEPTQYAHGATQLMAIQIDAAINPGNSGGPAIMGDKVAGVAFQNLSGAENIGYIIPVPVIKRFISGVEEIGKYSGFCTLGVSCQATENIQLRECFGMRPEMTGVLVSRINPLSDAYKILKKDDILLEFDGVPIANDGTVPFRNRERITFDHLVSMKKPEETAVLKVLRDGKEQELKVTLRPLQPLVPVHQFDKLPSYYIFAGFVFIPLTQPYLHEFGEDWYNASPRRLCERALRELPKKSGEQLVILSQVLMDDINVGYERLAELQVKKVNGVEVENLKHLCNLVEGCTEENLRFFFLSLSLSSVPTHARAAHDSPLRQAPALAAGALTPPRLGLPPAPVAVVGASNLTARLGTKRLGIRPARVRARQHDAAALFPARDLFDVAVQLVLGGRARGDDGDTDSESSDGEDSQDRMMDEERKTLRRKIREMMDRVPETAELTDPEERRAKMRELLTKYELVVEEEDPEWPEDAEDGMGFNLGQFFDRITIKAEKKDDAEEDDSGYQSDKEIVWEDDNYIKPVRDVRTQDWDASVFTDFGPMIVLVHNRYKRPQENEMARAELTKAIEMFWEHNLPSPRCVAVDACAELDLVDALKVSGFPEILFTNAGRIIHREKVVRSAEAWSRMMAFFYYKAARPPFLCEADGKGQEKVPLMS >Sspon.07G0031700-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7C:26650350:26650553:-1 gene:Sspon.07G0031700-1C transcript:Sspon.07G0031700-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLATAPSRSDRVSTELRRDPTVTAVTSFLSPYRHHHGQLASPQAPCRRTRRRRGERPDLEKRKFK >Sspon.01G0007950-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:87215445:87215991:-1 gene:Sspon.01G0007950-1P transcript:Sspon.01G0007950-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMATATVAPRHAALLLFLLLGLHATAALAARAGAVVVDAELTSKPSTSPGPKKPSVNVKPPPGKPSVKPPPVGPGAGAGASAARSRPFRASASPGWAHPRMAGGWGGGYGGPAGGYARGGVVAPTVTCAEKGPCYRKKVTCPKKCFSSYSGAGKDTAGGGGGSCTVDCKVKCTAYC >Sspon.07G0015060-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7A:54038191:54039900:-1 gene:Sspon.07G0015060-1A transcript:Sspon.07G0015060-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMVVSASTGVLSSLLSKLSVLLSDQYRQRKGVWRDIELLGCELTNMNAALEKLADMENLDGQTKVWRDKVREMGYDIEDCIDIFMHQLGQGEDKDGLFQKIARNIRELRLHYQLANMIHDIKARVEEQSKIRDRYRIGESISTSRVVVEIDPRLPALFEDAKRLVGIDGPREEITKLLIEEGGSLYGQLKVVSIVGFGGLGKTTLANQVHAKIKNEFECSAFVSVSRTPYMPKILKDILSGVGSDGKEVEDDVQKLVKILRAQLTNKRIERGELRGATAMDTLQYTGRYRTPRVLFAG >Sspon.02G0023190-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2C:81266481:81266655:-1 gene:Sspon.02G0023190-2C transcript:Sspon.02G0023190-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding WSSPSCHPSPYSRAAPFRSRQGGRRGGRPSPAAAAAPRTPRPRWPPGDGSPPSSPPQQ >Sspon.02G0027130-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:96136461:96139842:1 gene:Sspon.02G0027130-2B transcript:Sspon.02G0027130-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MIREFLAVAARAALQWALASLLLANGAAFCLIAAAAACLRLGPPCIACARVHRLLCSSSSATGDERDALRLLLCDAHLAAVAVARAASSAPPDRSDGNGVSQTMETDYPNMVSGMETHRVVSIGSEICEQDQDGSKPDAGDRSSGIARTTSTDDGNGPLVSLFELAPIVWRPRPREDDDSIDQHQATMPESSLTVDDGDERLTLGELVTAFRAQRRELQALRAELASERHLRAEAEEYQRQLEEQGELDREAARLAMQLVHESETEKHGLQRQLDACRVRVQLYQSDSAAAEDAGGGGGGGCQEANGGDGNGNNYQSLVDFLPGSVYSSSPDLANLLKLYTESGNAGRRQRGDYDVPAIAVVEEAEEEVAVAVTVTAGTESSRSVDATSAIVSESLQESSNTFHVET >Sspon.01G0014070-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:38961665:38962285:-1 gene:Sspon.01G0014070-1A transcript:Sspon.01G0014070-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTKVSLFLLLNLLLFAAANACPYCPGNNGHGSSGHHGGSSHGGGGSGGSGPGEGSSGGGGYGPIGGGGSGGGIGPIGGGGGGIGPIGGGSGGGGYGPIGGGGGGIGGGGSGNDGTSGWYGHCPTNALKLGVCANVLDLIKAKAGVPVNEECCPLLNGLVELDAAVCLCTAIKANVLGLNLNIPVNLSLVLNFCGKGVPTGFKCA >Sspon.08G0013670-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:55238424:55240279:-1 gene:Sspon.08G0013670-3D transcript:Sspon.08G0013670-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMVSGGGGNAWAKEMTIRRRIASMCAPHSEAISLFSVRKGILFYQAANYHYLYGFGFRFNKTQEHFPTLKDYNDYLEEVEDMSELFSLFVILLVLNYTNWEKLSRFDFSFQAFNLIEGIDVEAIEAKIARYQQENAEQIYLSRAKRAEDLAAALKASRMNPVKAEANDTAAGSSQGISGGAGVQGQYAPAAVLGGVAQPRPTGMAPQLMGSRSDPLQGDDEETRRLRAERAARAGGWTAELSKRRALEEAFSAIFI >Sspon.04G0007370-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:21884228:21889174:1 gene:Sspon.04G0007370-2D transcript:Sspon.04G0007370-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARWCLVLLLAAAAAAAGAENGEWDPVIRMPGEEPAGSHSHGDEGFEGEEDDAVGTRWAVLVAGSSGYGNYRHQADICHAYQILRKGGIKEENIVVFMYDDVANSALNPRRGVIINHPEGEDVYAGVPKDYTGDQVTAKNFYAVLLGNKTAVTGGSRKVINSKPDDHIFIYYSDHGGPGVLGMPNLPYLYAGDFMKVLREKHASNSYAKMVIYIEACESGSIFEGLMPEDLNIYVTTASNAEESSWGTYCPGMEPSPPSDETHNLKEETIKEQYEVYGDKTFKGEKLYLYQGFDPANANVTNKLLWPGQEAVVNQRDADILFLWKRYELLHEKSEEKQEVLREIAGTVRHRKHLDNSIDFIGKLLFGIDKGPSTLQAVRPPGQPLVDDWDCLKQMVRIFESHCGSLTQYGMKHMRAFANICNSGTPGASMKQASISACGSYNSARWSPLVQGYSA >Sspon.08G0018630-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:6586408:6589057:1 gene:Sspon.08G0018630-1B transcript:Sspon.08G0018630-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRPSSDMSLTEVVWLALKGTHSRQFQNFTYGPVIFREEPTEPYTYLQLDPPRRVEVHMPPAFRVNASEATVHVLSPAQHEL >Sspon.02G0001880-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:6171849:6174336:1 gene:Sspon.02G0001880-1A transcript:Sspon.02G0001880-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:30S ribosomal protein S9, mitochondrial [Source:Projected from Arabidopsis thaliana (AT3G49080) UniProtKB/Swiss-Prot;Acc:Q8L6Z4] MLLRRLLHSSRHFRHGLRTVTPATSAASSSSSSSSPLPFRRLPDLLPSRVLSPRLLSTSGRDDDRNKPWSFAADSGDPDPFANEDATAAAGAGEGPPVRSTRAADEPWATGFGGEDGDNGDVFEGIYKEAASAAPAHGKAAPAGNDEQWTLSGDDEEKDPFAAAVLGEGIEGIQSEGAGLDDLDAGEDPEDELKRQQNKAREKELMEILKGPNRAFGDLIAASGITEGMIDSLILLKDVRDIPGLPPLSEIEDEAIQKLNATSSRAEVERQKQEDIAKARVRQVDEKGRAYGTGKRKCSIARVWIKPGDGKFIVNEKEFDAYFPILDHRAELLRPFTVTKNLGCWDVTCTVKGGGVSGQVGAIRLGISRALQNWEPGWRPYLKA >Sspon.03G0023380-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:94703857:94704201:-1 gene:Sspon.03G0023380-2B transcript:Sspon.03G0023380-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHMHSAGDGGSGGSSSPSSSSSSHDAPPAPADDGDGHRRADSTAAHDEPEPEPQPERQPAQAVEVAAELVFDELAPLWVEDVVEFGPSDHTWTPCDGLDAAVGFLHPLPLLWDY >Sspon.02G0000150-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:8326662:8328691:1 gene:Sspon.02G0000150-2B transcript:Sspon.02G0000150-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAADAASGKEAPAPACESCTSLPAVVYCRADSAMLCLPCDRHVHGANGVSTRHVRAPLCAGCRAAAATATAGGSFLCANCHFGSEKDERHPDGGDPQPLHHDRGAVEGYAGCPSIAELAAILGVAGYDEKAAAAAGDGGWWPASSWEEPQVLRLEDVIVPTTSCHGLQPLLTPPSPKNLSSGGEMADEVVRQLGKLAKLEEAVAAAFAEMEPADGEQLPPWTSPEVGIGHADFGALDADAAWHDAATIAAVPSTEVRSSVQSVLYEAWIATGCDVDACRTEEEEAREHAALAPADPCLSSFVEMSEICPASVTALNHGGIGGTADVDNSGKTDAGTAPRPQLATTAPTPPVLVPEPLTEKKGSYDVAYPDRGTVISRYKEKRKNRRFDKQIRYESRKARADGRMRIKGRFAKSGEV >Sspon.01G0044460-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:78118696:78121256:-1 gene:Sspon.01G0044460-3D transcript:Sspon.01G0044460-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CB5-E [Source:Projected from Arabidopsis thaliana (AT5G53560) UniProtKB/TrEMBL;Acc:A0A178USU5] MAGENKVFGFEEVAKHNVTKDCWIIIAGKVYDVTPFMDEHPGGDEVLLAVTGKDATADFEDIGHSDSARDMMEKYHIGQIDASTIPAKRTYVHPSKRPATQTRIMTSSSRSCSSLCPL >Sspon.01G0027690-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:96841466:96843277:-1 gene:Sspon.01G0027690-1A transcript:Sspon.01G0027690-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFSEPSSVPVESSSRNQRSKGLKLKKALQISLLLLVSVWLLYQVKHSYEKKAYSEDEANDLHNDKDQQETVRLGRKDLPPKMEADSSTLDERVEDEENDEMEQEMKHDENDEDPIDEQDLEKDEDLPEPGEHSSEKDGDVGVFEDEERKERSQEDQEKSFHGDNVSSAVTHDPPLSEQDELFHHAQEKVLFVDDASTAVPNENHEAGNKEEEVRRARERSFRGDDVSSSVDHDAKVAKPLPEEQLNSMDRIFEGTTNLSNGISFRAPGINGSYAIMDSTATPTNSSTQKNTDIPSDDIESKTHPSPANLTSNPEQTNLTLKGHPDQQVNSTAVLGNQVQPLTNQTSSLELDSPPNGTLALVPDVQKSTSGAGDDGSSNTSSSTPVDKKTDGGDGPKEDVDVSTKIMNKAISEDEVVPE >Sspon.01G0017080-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:61712168:61720273:-1 gene:Sspon.01G0017080-1A transcript:Sspon.01G0017080-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGDKVDYVFKVVLIGDSAVGKSQILARFARNEFSLDSKATIGVEFQTRTLVIDHKSVKAQIWDTAGQERQVHSLFASLAASHALLFQLRRYRAVTSAYYRGALGALLVYDITKRQSFDHIPRWLEELRGHADKNIVIMLVGNKSDLEEERAVSAEDAKEFAEKENLFFLETSALQATNVENAFQTVLTEIFKIHGKKNMAADPKANGAAPSLAGKKVIVPGPAQEIPKSKCCSS >Sspon.08G0018870-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8D:8297833:8301776:-1 gene:Sspon.08G0018870-2D transcript:Sspon.08G0018870-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding QADGVTNISQGKTDIESETDQKVEAKKTANKVYRKVIEEDLNLEPLCDEISIAFTYRRDGVLVGCKYRTVDKTFSQEANTEKIFYGLDDIKRAHDVIIVEGEIDKLSMDEAGYRNCVSVPDGAPPKVSSKIPDKEQASRIILATDDDHPGQALAEELARRLGKERCWRVKWPKKNDTDTCKDANEVLMFLGPQALRKVIEDAELYPIRGLFSFKDFFPEIDNYFLGIHGDELGIHTGWKSLDDLYKVKEHARKLLEKHIEKPFFDARYGGDAQRMTPDEFEAGKQWCEDDSLPSINWVLDLAKAAVLRHGVRGLVIDPYNELDHQRPSNQTETEYVSQILTKVKRFAQHHSCHVWFVAHPRQLHNWNGGPPNMYDISGSAHFINKCDNGIVVHRNRDPNAGPLDVVQVCVRKVRNKVIGQIGDAFLTYERVTGQYKDAGKSTIAAVTAVQKRQNSYAMSKKDNVAYEMPFPHPVEDDSVSGEDDSVSGEDGGNSFGL >Sspon.02G0010220-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:22986008:22986346:1 gene:Sspon.02G0010220-2D transcript:Sspon.02G0010220-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RCRSRPRGTRRWARWSGSGGGRCSCRATSSRWSGGRGRRSGWAWAWAGASRGGCARSAPPWRGRPGARAARRAGGWAPGSPGRGAGGGRARTRCSAASAPRRRTTSTTCTTTR >Sspon.04G0011090-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:29853198:29856096:1 gene:Sspon.04G0011090-3C transcript:Sspon.04G0011090-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGGEGEGKAAAAPAVRVLVVDDSPIDRKVVELLLRNHKGGAAPFHVTAVDSGKKAMEHLRLMGQESLDSSPAHANELTVDIVLTDYCMPEMTGYDLLKAIKALSSPNPIPVVVMSSENEPQRISRCLTAGAEDFILKPLKTKDVQRLRNCSKAARPPKDDAGADAHQCESFSSSRKLRSDQNQMAKPGNRSQLTGLTMVLNASSIEVSHYLQFLFKFVLLAYAVLCLSELLHRWSNNGSVLSLWSA >Sspon.04G0011260-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:36397854:36399506:1 gene:Sspon.04G0011260-4D transcript:Sspon.04G0011260-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acetyl-coenzyme A synthetase, chloroplastic/glyoxysomal [Source:Projected from Arabidopsis thaliana (AT5G36880) UniProtKB/Swiss-Prot;Acc:B9DGD6] MGTANGQQPAAEGASSDKLRHVESMSELPSGAGKISGINAVVLGESLAAEENDLIFPSSGFSASALVSSPKQYREMYERSIKNPAGFWSEIAETFYWKEKWNPAEVCSENLDVTKGPVQINWFMGGKTNICYNAVDRNIESGNGDKIAMYWEGNEPGQDGKLTYSELLEKVCQLANYLKSLGVGKGDAVIIYLPMLLELPIAMLACARIGAVHSVVFAGFSADSLAQRIVDCKPKLVITCNAVKRGVKPIFLKDIVDAALVESEKNRVSV >Sspon.03G0008220-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:37124815:37126667:-1 gene:Sspon.03G0008220-2C transcript:Sspon.03G0008220-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATTAVISGRWTRVRTLGRGASGAVVSLAADVASGALFAVKSAPAGSAAAEHLRREGSILSALRSPHVVPCLGLRAAPDGGCQLLLEFAPGGSLADVAARSSGGGRLGDDERAVAAYAADVARGLAYLHARSLVHGDVKARNVVVGADGRAKLADFGCARAVGSSAIIGGTPAFMAPEVARGEEQGPAADVWALGCTVVEMATGRAPWSDVADDSLPAAVHRIGYTDAVPEVPAWMSAEAKDFLARCCFARNPRDRCTAAQLLEHPFLQASAAGVKAEEGAAGAEWVSPKSTLDAALWESDADDDSDDEGDVSESPAQRIKALACPGSALPDWDSEEGDWIEVLGEQCEATNLVPLPTKDVAGEDECQFQSEVLETEVDFIDAADAEGDDPECSVAVGLGTAPSVELQEEQCIGARSRCNPTAEAAMARPELGMTGSHPLLMGAMPKKWPDHTSKGNSEASQSGDFWPNPFFGHGIGHHFTNRSPILTHRAAIGAHSAPDSPADNDELRRLIRWPPGSSELAKRSTPAAYQWGLSLSWGTLLA >Sspon.08G0027020-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:38527776:38529578:-1 gene:Sspon.08G0027020-1C transcript:Sspon.08G0027020-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding WRRGSSGLEGPGRTRWSPASTPSTSTSVSTDGFKKKAPNVVKEIRKFAQKAMGTTDIRIDVKLNKHIWSSGIRSVPRRVRVRIARKRNDEEDAKEELYSLVTVAEIPPEGLKGLGTKLVEDDE >Sspon.05G0018350-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:77546651:77553016:-1 gene:Sspon.05G0018350-1A transcript:Sspon.05G0018350-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AMEKPCTFLVHFDKGSPSMANEIKAELESGDGPAKADAMRRAISLLLNGEPLPHLFITVVRYVQSCDYHAVKKLLLLYLETVDKRDAATGKALPEMILICQNLRNSLQSPNEYIRGVTLRFLCRLAEPELLEPLVPSVLANLEHRHQFVRRHALSAVSAIYSLQHGDQLIPDAPEVVERALASEQDPAARRNAFLMLLAAGQDRAVAYLFGNADRVAEWPDLLQMAAVDLVRKVCRSRGRADKGRYITVIISLLSASSAAVVYECAGALVSLSSAPTAVRAAANTYCQLLSLQSDNNVKLIILDRLHELRSSHREVMVDMVMDVLRALASPNVDVRRKVLDLVLDLLTPRNVEEVVLYLKKEVVKTQAAEVKGGEYRQMLVQAIHNCAKKYPEVAALVVHLLMDFLGDPNVAAALDVVLFVREIIETNPKLRVSMIQRLIDIFYQIRASRVCSCALWILGEYSLSLSEVESAISTIKQCLGDLPFYTVSEEGETTDSSKPSQPLVNSVTVSSRRPAVLADGTYATQSAATETAISAPTSALGSLASTQNLRSLILSGDFFLAAVVACNLTKLVLRLEEIQPAKVEVNKASTEALLIMVSILQLGQSSYLPHPIDNDSYDRIVLCLRLLCNTGDDVRKALLQSCRQSFVNMLAEKQFRETEEMKAKAQITYAQPDDLIDFYHLKSRQGMSQLELEDAVQDDLKAATGLFTKDADDTNKLNRILQLTGFSDPVYAEAFVIVHHYDIVLDVTVINRTKETLQNLCLELATMGDLKLVDRPQNYTLAPESSKQIRANIKVSSTETGVIFGNIVYETSNVMERSVVVLNDIHIDIMDYILPAICADVAFRNMWAEFEWENQVAVNTVIQEEKEFLNHIIKSTNMKCLTPRSALDGECGFLAANLYAKSVFGEDALVNISVEKQADGKLSGYIRIRSKTQGIALSLGDKITLKQKGGNS >Sspon.02G0007980-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:23116124:23117985:-1 gene:Sspon.02G0007980-1A transcript:Sspon.02G0007980-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GWRAGDGLEPEGRSGSRGGGRRRGEKDDAACRDLVLVEDPEVVAVEDPEEAAATAALQEEMKALVASIPDGAGAAFTAMQLQELEQQSRVYQYMAARVPVPTHLVFPVWKSVTGASSEGAQKYPTLMGLATLCLDFGKNPEPEPGRCRRTDGKKWRCWRSTIPNEKYCERHMHRGRKRPVQVVVEDDEPDSASGSKSTPGKATEGAKKADDKSPSSKKLAVAAPAAVQST >Sspon.08G0007120-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:22195766:22198944:1 gene:Sspon.08G0007120-1A transcript:Sspon.08G0007120-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPITRIQSPLKPFEFDDGHRRGRIWLGLSLCIAAATGRRRAGGDYSGSRSRGMAAKAISSPVPVEWYPTLAVVMVSVGLMFTASFFIYEATSSRRSRSLPKEIATAAVASVFLGFGSLFVLLASGVYV >Sspon.05G0023370-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:14684978:14694468:-1 gene:Sspon.05G0023370-3D transcript:Sspon.05G0023370-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHPSSTHLAVKHLLLARQHPGALAQASGSRSPPWPCRAGDRRGSLRPRWSSSTAPSTSSLPLSMAARTSPLLHFLLASSMYCNGFCNAGTPDRNSYFPGMTAGRSFTHVIRTYYEDQHQLITHGLYRFMRHPGYSGFLIWAVGTQVMLCNPLSTVAFTLVLWRFFSKRIPYEEFFLRQFFGSEYDEYARRVCRSKAPNIMKSRDNKERSHLMDCIQYKTISSSPASQSRLYDHFSLWEHGYRAVVLDSANAAPAVHGAQEGPYRPREARPSRVTRLVRGDTNVLSEVRVEGLETLDYLDNLNAKRRFTEQGDAIVFEAEIDKIYLDVPSKVAIIDHEKKRTYVLRKDGLLDTVLWNPWDKRSKIMQDLGDEEYKHMLCVEPAAVEKPITLKPGEEWKGKMELTAVPSSYCSGQLDPEKERLASLTLLGGCPGVGWIKVVDGSKE >Sspon.04G0015320-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:61374604:61375788:1 gene:Sspon.04G0015320-1T transcript:Sspon.04G0015320-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MESGLVASHRLHLPLPSASAGHHHHHHLLRHRHAPAAAAPLRLSLPRHLPGPAPLRLPAALPLRPCLPPLRAAAAAAAPGDDASPKFLGVETKTLKKIVPLGLMFFCILFNYTILRDTKDVLVVTAKGSSAEIIPFLKTWVNLPMAVGFMLLYTKLADVLSKEALFYAVIFPFIAFFGAFAYVLYPMRDAIHPTALADRLVASLGPSFLGPVAILRVWSFCLFYVMAELWGSVVISVLFWGFANQITTVEEAKEFYPLFGLGANVALIFLGAR >Sspon.01G0063010-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:110131953:110132473:1 gene:Sspon.01G0063010-1D transcript:Sspon.01G0063010-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding REEGYNRRSARARADPPCTLSLILRSDLVAAPLPNSFLGSIAASPSDRVVVFAFAYRFFLAFREHLGITLLLHLLFFCFLLHS >Sspon.06G0016740-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:76124749:76128949:-1 gene:Sspon.06G0016740-3C transcript:Sspon.06G0016740-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMDLLSGKLVRSLRLHSSLLPFAPSSSRAVPTSGRLRRRRHAPLYCRLTTSSSSSPSTTTTTEEKEDAKSQDLSSLLTSSDPSAAAGTKKKRSGGSSSGASSIPSGVRLEGISKSYKGVTVLKDVSWEVQRGEKVGLVGVNGAGKTTQLRIIAGLEQPDGGAVVKAKENMKIAFLSQEFEVSASRTVREEFFSAFEEEMEVKRRLEQVQAALEGATEDMDLMGRLLDELDLLQRRSQDVDLDMVDIKVQKLMPELGFMPEDADRLVASFSGGWKMRMSLGKILLQDPDLLLLDEPTNHVDLDTVEWLESYLKTQDVPMVIISHDRAFLDQLCTKIVETEFGVSKTYKGNYSEYILAKAVAVQAQYAAWEKQQKEIEQTKELINRLGAGVNAGRASSEQKKLEKLEKEGLIEKPFQRKQLKIRFPERGRSGRTVLTINNLQFGFEDKTLFNNANLIVERGEKIAIIGPNGCGKSTLLKLILGMEKPQGGEVLLGDHNVLPNYFEQNQAEALDLEKTVLDTVAEAAEDWTLDDIKGLLGRCNFRDDMLDRKVQFLSGGEKARLAFCKFMVTPSTLLILDEPTNHLDIPSKEMLEYYLERNLEARERELAREEELEEKAPKVKAKSKMSKAEKAVIKKQKMQAFQQSKQKSKSLKNSKRWN >Sspon.02G0015940-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2B:42050508:42050759:1 gene:Sspon.02G0015940-2B transcript:Sspon.02G0015940-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATATAAAQAHPASMEGRGWDVASYRREILRSRDLSSCTLFRAVFSGHSDGPDPNVLLAAASSDGSLASFSFLSCISAGSAAAQ >Sspon.03G0015370-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:72775384:72777655:-1 gene:Sspon.03G0015370-1P transcript:Sspon.03G0015370-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARDDGGAMVPAPAPAPAATPGQVYLPAWRRAYDRLVKMLRQAYAQAEELSVEREHLITELQFLQSGLREREEISQARLQQICKHEELRKRVVEAETAARLGGKELQIHCYQKLAELAEDDLEDFKSCISNLSAENTELKEKLKKFESQVEICTDNSDHQKSGKDIREEIRKLKKAYKILRSEKDKEISALQAEKNFVCNQLKTMEEDYSGTIKSKNIEVKQAQKLQQNVDELQVAAQKKDDEIVRLQVEVTNAKERMSIFEDELQKMRSLVKDKDLKTDKNEDDQSDTSKMLKKDINKANRKSKSTRTSQVTPDISRTSQVTPDRREVKTTRTRASETNQKRKRSSFKSSLSCV >Sspon.08G0009990-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:43722304:43724139:-1 gene:Sspon.08G0009990-1A transcript:Sspon.08G0009990-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSRTSLLAVFAVLLLALVGELTDFSVQALMTIKNMLEDPHDVLKNWDQNSVDPCSWTTVSCSLENFVTRLEVPGQNLSGLLSPSLGNLTNLETLRLNNNTLSGPFPSASSNLSQLVFLDLSYNNLSGPIPLSLARTFNIVGNPLICGTNTEKDCYGTAPMPPVSYNLNSSQSALPPAKSKNHKFAIAFGTAIGCISFLFLAAGFLFWWRHRRNRQILFDVDDQHMENVSLGNVKRFQFRELQSATDNFSSKNILGKGGFGYVYRGQLPDGTLVAVKRLKDGNAAGGEAQFQTEVEMISLALHRNLLRLYGFCMTATERLLVYPYMSNGSVASRLK >Sspon.02G0016290-1P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:47306173:47307898:1 gene:Sspon.02G0016290-1P transcript:Sspon.02G0016290-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MWLCYCLLLSSLSQPVKQTVQEIQRQQPDARLKEFQVDLASFKSIKKFGRSLKQWVQEKNLEPSIQLLVNNAGILAKSHRITEDGLDDAADPGVVETKIMRELPQCLSWFAFLALRSLKLLQEPDMGVGAVLDAALALPEESGKYFFGGKGRTIRSSRLSYDTEVAKKLWAESSAVFKELQLRGGDFGDS >Sspon.01G0014670-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:42272322:42277718:1 gene:Sspon.01G0014670-1A transcript:Sspon.01G0014670-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPGPRLPPLLLLLLLAASCSCGLTGAGEGGSCEFSVERGGELYSFALAAPTPAHRHGVLSEDGFYKVTVNDSILWFQLCDEMLFNFDPPMCLNCEDCGGPLRCGTQCSALVSNYIRGYDVCTTIGSLSKSHISLVDESNPQKGIIVKMFSSKCSISVSVLCDSTAAQVPDKFVVSGLCDYATTLKHPSGCARSVSASGSGWGWLSTSFMTILCLLGGYILIGAVYRYYFLGIHSVEAIPNLEFWIGLPQRIKTIFVPATRSHVSYNRDGQGTYAPVYH >Sspon.02G0042210-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:81664606:81691702:1 gene:Sspon.02G0042210-1B transcript:Sspon.02G0042210-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTSPPPAEQRQQEEEEVLVPHGPQQELPNGAQPMEVVPAEPAATVENQQIGDPPISRFTWTIENLSRVSTKKLYSEIFVVGGYKWRILIFPRGNNVEFLSMYLDVADSGILPYGWTRYAQFSLSVVNQIHNKFTIRKETQHQFSARESDWGFTSFMPLGELYNPSRGYLVNDTCIVEAEVAVCKVVDYWSYDSKKETGYVGLKNQGATCYMNSLLQTLYHIPYFRKAVYHMPTTENDMPSGSIPLALQSLFYKLQYNDSSVSTKELTKSFGWDMHDSFMQHDVQELNRVLSEKLEDKMKGTVVEGTIQQLFEGHHMNYIECINLDVKGCQDVYASFDKYVEVERLEGDNKYHADKHGLQDAKKGVLFIDFPPVLQLQLKRFEYDFMRDTMVKINDRYEFPLQLDLDRDDGKYLSPDADRNVRNLYTLHSGGVHGGHYYAFIRPTLSDQWFKFDDERVTKEDAKRALEEQYGGEEELPQTNPGLNNTPFKFTKYSNAYMLVYIRESDKDKIICNVDEKDIAEHLRIRLEKDREEKERRKKEKAEAHLYTIIKVARDDDLKTQIGKDIYFDLVDHDKVPSFRIQKQMTFAQFKEEVAKEFGIPTQFQRFWLWAKRQNHTYRPNRPLSPQDEAHTVGQLKELVNKAHNAELKLFLEVELGLDLKPLPLPDKTREDIFLFFKLYEPEKEQLRYVGRLFVKASGRPQDILPKLRMLAGFSQDDDIELYEEIKFEPNVMCEYIDNRLLFRSCQVVHFRSLEKPKEDDFCLEMSKIFTYDQVVEKVAEKLGVDDPSKIRLTSHNCYSQQPKPQPIKYRGVERLLDMLIHYNQVSVHSIRLPKNSTVGDVLNDIKTKVELSHPNAELRLLEVFYHKIYKVFAPNEKIENINDQYWTLRAEEVPEEEKNLGPFDRLIHVYHFTKDTQNQTNFGEPFFMVIREDEILSSIKERIQKKLKVPDEDFSKWKFAYISLGRPDYFEDSDTVAVKFQRNMYGAWEQYLGLEHPDTAPRKAHTINQNRHSFERPVKIYN >Sspon.01G0004220-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:886626:887621:1 gene:Sspon.01G0004220-2D transcript:Sspon.01G0004220-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFGAVDMEEESGAAAAAAAAEEIRRLPAEVNWEMLDKSRFFVLGAALFSGVSAALYPAVVVKTHLQVAPPPQAATATAAAILRRDGLRGFYRGFGASLAGTVPARALYMAALEATKSSVGSAAVRLGVSEPAASAAASAAAGVSAAVAAQVVWTPVDVVSQRLMVQTPVSCRYRGGTDAFRKILVADGVRGLYRGFGLSILTYAPSNAVWWSTYAVAQRWLWRAVGPERSESCASLMAVQGASAAVAGAASALVTMPLDTVKTRLQVMEADAAARPTLASTVRGLLKEGGWAACYRGLGPRWGSMSLSAATMVTTYEFLKRLSAKEGSLG >Sspon.08G0014910-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:55012065:55022266:1 gene:Sspon.08G0014910-2B transcript:Sspon.08G0014910-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRAVALLVAVALAAVLLHPAAAAAGQKKPATAARREDIPYIRCQVCERIAREISEQVAKKQQALPPSKKVPEIEIIEIAENVCNLKKQEADWMLRIDIVEKGDKLELVEQDEEGHCNAECKTIERACQEVIGYADTDVAEFVYKNNPSVDQLMKFLCKDLSKACAKDPPPVPKGKALNDKGSQKKDLKQQVVQQFKDTSKKLKGHVNKVSNVVKKWFSPPRGNRSFRRAASLIPLQGREVLSPVAGIPPVTMVRWLPPPPPAESELLLGHDAVALSFFVACVAATVALTSSMCSACGRKPKPATNADPVASDQPAGTGSVSGGSQEAGAGEGEEEAVVRLSPELATHGAIDPVALPSSTSKRRLSISSRAAASPTSEGRPGEMARRAVALLVAVALAAVLLHPAAAAAGQKKPATAARREDIPYIRCQVCERIAREISEQVAKKQQALPPSKKVPEIEIIEIAENVCNLKKQEADWMLRIDIVEKGDKLELVEQDEEGHCNAECKTIERACQEVIGYADTDVAEFVYKNNPSVDQLMKFLCKDLSKACAKDPPPVPKGMPGAPSMKMYSRDDLMKNNFGTEDDDDEDDEDEDDNFPKNLGKALKDKGSQKKDLKQQVVQQFKDTSKKLKGHVNKVSNVVKKWFSPPRGNRSFRRAASLIPLQGREVLAPVAGIPPVTMVRWLPPPPPAESELLLGHDAVALSFFVACVAATVALTSSMCSACGRKPKPATNADSVASDQPAGTGSVSGGSQEAGAGEGEEEAVVRLSPELATHGAIDPVALPSSTSKRRLSISVSKKLSMNIPDKLRLSRREHKDHHHKVESEDTLWKKGIILGEKCRIPGEREAEFGDPVDPADEIAAGSFRRSSYSRPVSRSS >Sspon.02G0015630-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2A:42598662:42599426:1 gene:Sspon.02G0015630-1A transcript:Sspon.02G0015630-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQGTVPSAAGAGGGGCPSAPAVSTTPPGTPRASAAAAPPPQPAFATGFYYAVELYFDPALENQVLKAWNALARRQLGSRLIDAAARPHLPLLHLPAAALPPPGTGAGGDPLLRLAPSLRALASRLDPLPLALSSLAAFPASASSSSPHDNVLFLAPTPSAALLGLHAQLCELLRKDAGVEVPDAFRPDHWLPRCAVAVDVTRGRMAEAFCVLRELKLLPVSGYGMDIALVEVGPTVRELVSYPLGGSGSAGAD >Sspon.07G0019950-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:66525017:66528341:1 gene:Sspon.07G0019950-2B transcript:Sspon.07G0019950-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVVVDNGVVQVTLSKPQGHITGVRYNGERNLLHFDGTENSGGYWDVVWNYPGSDQPRGMIDMLDSTEFKIVVSTEDQVELSFSSTYTPSRQDSVRLNVDKRLVMLKGSSGFYCYAILEHASDWPALNITEARLAFKLNTDKFNYMAISDDIQRYMPSAADRDEPRGTPLAYKEAVLLVNPQEPQFKGEVDDKYEYSMDNKDNAVHGWITGGGGHPSPMGFWVITPSNEFKSGGPMKRELTSHVGPTSLTMFLGTHYVGNDIVLSIGDGEYWKKVMGPVFIYLNSSPSRGGDIGGLWTDAKAQARAEAGKWPYSFPESPDFAKASERGSVTGRLVVRDTFTSTDDDVPAATTAYVGLAAPGQAGSWQTECKGYQFWTTAAADGSCGGGFSFTIGNVRPGVYSLYAWVPGVLGDYVSASSVTVTAGGAVVDLGDVVFRPPRSGPTVWEIGVPDRTAAEFFVPDVDPKYANRLFVSKDRYRQYGLWARYAELYPDADPVFTVGQSDASKDWFFAHVTRKVGDGRYAATTREIRFTLDRVVADGTYTLRVALAAAQMSRLQVLVNGRGGGVAELTTPEFGGGNAIARHGIHGVEWSFEFPIRGYLLQEGENSVSITQTKAAGEFLGVLYDYVRLEAPPGSCRDPPTAAAATTTTR >Sspon.08G0002520-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8A:7365605:7372301:1 gene:Sspon.08G0002520-1A transcript:Sspon.08G0002520-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLKSAHEIWVYLNENYEEDPKIVEDDKLHEMDDNIVDDLGDVEPIGEIGDIDGCSTPSSSDGDDCTTTSSLDNNVDCSCAPDNDDSISPSTSTHGHMDDSDMEVNDNVGVIDRSYTYDELVEEDNEPKNEKVKSSGKVGLCALPYVTHSQILESHKKYGNMNGIAYNNTNIKGKRWSKKKYKKEMKKLEDEKLSHYLCFKCHMVGHLAMDCPNKKRKRPQDSSEEESNISQKQVKVNREVDNKMGKKKEHVSWSDGHKITRGGDAHEMMIKVIKHEKVDMEQSDQDKGIDIFHEKEPTSIGLISTSRIRKRLLEDTRGLHEEGHHEAPPDIHLVHQFF >Sspon.02G0057970-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2D:68832197:68832370:-1 gene:Sspon.02G0057970-1D transcript:Sspon.02G0057970-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRTVTPAARVISTKCPLFSGTLTGRLTRKPRAAWKVAQLLVGGEPLPARVRIHHEP >Sspon.03G0017810-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3C:76607317:76607622:1 gene:Sspon.03G0017810-2C transcript:Sspon.03G0017810-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLFVHNLQGLLLRLRAEQAVEAAPPAEGKRPPPPLQVPGDPDGGGVVGGDVIDDELEVGADGPHELAEEADDPLPPHEGPREHQVLVHAALRDERLHRRQ >Sspon.01G0056480-1P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1C:89957046:89961873:-1 gene:Sspon.01G0056480-1P transcript:Sspon.01G0056480-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DQEQPRMAVLWKKRRRRWSKKFLLVYWMQL >Sspon.07G0025120-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:31976209:31981110:-1 gene:Sspon.07G0025120-3D transcript:Sspon.07G0025120-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFRGGLRPLVTLVLVCLCASCLCSLSDAKRTPRPTSPTAKKPPPPASSQQSPAAAAAAAAASYPALPVRAVCLGGWLVTEGWILPSLFDGIPNKDLLDGTQVQFKSALRKTYLTADQGGGGAVVANRTQASDWETFKLWRMNETTFNFRTSGNQFVGIGASDGIIVATATTPTLPETFQIVRCPFDKNRVRIKAANGYFVQAIATGEVIADYGEPTRWSDFDASVFLMTKVGEQLQGEYQLCNGYGTAKATPVLRDHWSTYIVEDDFKFFASSGLTAVRIPVGWWIASDPNPPAPYVGAPGSQNPWEHSSSRDGTQEWGTTDANIAQTVQVIDFLASRYATSPSLFAVELMNEPLAPRATLDSLTKYYRDGYDAVRKHSPTAYVVMSNRLSSGKSTELLQFASGFQGAVIDVHYYTVFNRMFNNFTVQQNIDFIRTNFSGELTTVTTPNGPLTFVGEWVAEWKVPNATKEEYQKYATAQMNVYGQATFGWSYWTAKNANNHWDLEWMIKNGYISLKG >Sspon.01G0035500-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:11645504:11648686:-1 gene:Sspon.01G0035500-1B transcript:Sspon.01G0035500-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GWGCSSWSGWRGRACSGAGAAAWTPPPRTPSSPGTSTAAPAAPTSSTRRKPTSKARNTRKGSTSSRELERLSPFVDLSGSRRLDS >Sspon.03G0003030-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:7672501:7673211:1 gene:Sspon.03G0003030-1A transcript:Sspon.03G0003030-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDGWPLGLGALNARAGLLGAANRSGSSASFCTNFTSSRCASSLPSTDFDTESAWSLPRGRGGVTLASLIGLVDAMESRRRQSARSARSGSKLRALLPSLCLRSHLENGSGAPSLGQFLEMERRASGGSSHVHGI >Sspon.01G0026020-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:90988564:90990147:1 gene:Sspon.01G0026020-1P transcript:Sspon.01G0026020-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LHLFLLPTVQIGGEKASACARPAQCGGCGRAGAHGLPRGGLELAGRPAKKAELAAMTSSFSRAGGAWDGHGFFLAHLAVGRGGPSWRRGPSRHGQGELVGGRCNHGQCELAWGSQELGEGAVMAEASSRGRGGQRGVRGRLASGGWTGAAGGGGVAVRAACTSVAMEKKRERKKEPKPFSPPSPTTTTPAAAAKIPTAMATKSQKNKKPTTASKPSINPKSPSSEKKPKPPKPTEEQPEQAAAVKQPKKQKVRDEIDEIFSAAKAGKKRKPPQLEEAYAHGDRRKKPKERAEGAAAARRRATRRRGVRARVDEEEFEEKRPRRRTADGLAIYSADELGFGKADAGGTPLCPFDCDCCF >Sspon.07G0012980-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:46067905:46072795:1 gene:Sspon.07G0012980-3D transcript:Sspon.07G0012980-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAITRNQRMGMAEWDIAPTTNKKWTVGTSLVIASSYLLQQVEAEALTRSWGRMYKNQLQELAQRSCFNLPSYSCIREGPDHAPRFKATVNFNGEMFESPVFCSTLRQAEHAAAEVALNELSKRGPSSTLAAKVLDETGIYKNLLQETAHRAGLKLPIYTTIRSGPGHTPVFTCTVELAGKTFTGNPGKTKKQAQKNAAMAAWSELKQLPRVGEPSSSSCPADQDDEEQEQVIVTRTLVSLNQANGGKVPHQKEKQQSNNRPSSRRSYPKPNTSFYRPYMQNQAYPSVPPDQAMYHLWHQMQTTQPTPRFPVVPAMGNTRFPPPAAMLPMYPRPRGQFSNPANQDALGLLPCFPEAAPALPRYFSPYPVSYVPRSPLPVTVHKIHEKRQDRTETVELPDAVVFSPCTSPEEEHNTLTVPSSTTQSSSHKLEPNEDKQTLQAGLKQPHEQQLMPSSCCVSSSVAAHNSVQRKHYASSIQHDEPIHRRNAPHTNPPALSDLWSSRSQALPRFGSAPPVNSPSSVFQQRPPWLAAPVTVRTAVPVCSARPNVVNSSTGTAPARPAAQNRSAPARADPESHTHNGDRDRNSTAAASSEFNKLHI >Sspon.07G0038170-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7D:72705574:72706053:-1 gene:Sspon.07G0038170-1D transcript:Sspon.07G0038170-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding QATRALASASAAHLLLRRWRCGGIPAHQERVCDEVGQHLLVLGAQPGDEDEDCGREEAECRRDGVLGGRIFPPLLGLGHRVFMAAAAGPVSSAGAYAGRGRLRQQASYGAEQRHRHGRAQASHRHRDVAARACSWARNGRRGGGGAHVVVSASLSVSRP >Sspon.05G0018180-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:76619897:76630963:1 gene:Sspon.05G0018180-1A transcript:Sspon.05G0018180-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGLLVNLSYPLVCCVTQEGKLFRIWYPDAGSDIIGKKSIITITGAVHKFICSFSSKLFGLENLKEALIQELEDTMKQGFEAWAAKPSIEVNDAIADMIFTLIANKMISIDAESRELRQNLKSFFKGIVSFPISFPGTSFYKEGEIVHKKMSDLLRDSSTPEKKHGDLLDLLVEELHREKPVIDEAFGIDAIAGLLLASFSPISGTLTLGLKLLSDNPKVIELIKEEHEAIVKKREDTNSGFTWESTIHYNKCYVKISIILLRDAFQHFYFNVIEFVPPEDEYLSSPSPGIFRKTLTDVQVNGYTIPAGMKQKRSIQLRNLMPFGGGMRLCVLISAKVQVQSLWIEIKGGVTRVGETMIPEGYHIQL >Sspon.05G0000520-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:1437110:1441318:1 gene:Sspon.05G0000520-2D transcript:Sspon.05G0000520-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDGDESAAAAALGLNPQLFVDEVHGIIADISAGAFEHCLQAAATPGVLGAAKAAEKATDLQRGLNAIHHVVKDRLDKRMTNWEKFCLLHCFDVPEGFVAAEDDNSCAKESHKDETSDLDLELDSLRRKLESANMESQNLEREMSSLERQATYKGKLDSSVSEIQKLFEDKSVQENFEGLVKAIPVLQQKIIDMSKKRTATTCLVDEQVWNTNSLTDNKRQTLDKVGFTARAEDIQDVVSILQNKSHVGPPLLPQGAEDQGRRHLDGASNSNIPGNGKKARVKRIKEGKK >Sspon.04G0021530-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:479648:483935:1 gene:Sspon.04G0021530-1B transcript:Sspon.04G0021530-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMPSSQPDFSPSQLTSSQNAAADSTTPSKMRGASSTMPLTVKQVVDAQQSGTGEKGAPFVVDGVEMANIRLVGMVNGKVERTTDVTFTLDDGTGRLDFIRWVNDASDSSETAAIQNGMYVAVIGSLKGLQERKRATAFSIRPITDFNEVTLHFIQCVRMHIENTKLKAPVTSGANGSDTDLNTQVLNIFKEPANLESEHGVHVDEVFKRFKLPKEKIMEAIKYNEDGGLLYSTIDDFHYKLTDYPYIYYDSPTESLREHERCDREMDRETFKDWPILDSGSWSNRKFWSQ >Sspon.06G0015400-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:80398842:80405108:1 gene:Sspon.06G0015400-3C transcript:Sspon.06G0015400-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAASGSHAAGARKRRGRPRGSKSGRGRPRSLRWSKPPKRPRPSSPSPSGSPDGPHSPRPAVDLSAPLPPGSEVEVRVDDDGFHGSWFEATVLDFTPARGYSHPARYTVKYAHLLADDDEGVLAEPFAPSHIRPRPPPPPPSDPPRFHTHDIVEAFHNEGWWSGIVVSAPDPDAPAGVTVAFPITREVIEFFAPGLVRPRRDYVDGDWIPSTVAMAVRPKRAVKVYEVGDKVEVVRQRNAYGESWFPATVRVVVDDLSYVVEYFDQEEEGEGGPEKATEYLHWQFIRPAVEHTPRESEFKLQPGAAVEAYCDGAWSPGVVRRVLGEGEYEIGIIVAKKSEMLVTKVVPLLKPQYKWNGKQWRIATPKLECPKSGTQEQLDRTLENTQNITELSNQDLLPMVPPGFKSMDNGKGTNIHGIQFDEEPTSTTNSLIELKGNGDMCTDHAATKLAESNHVMETAILSLDCPAQQARGKVDERSVLQNAGSSQCIIDSSPLRSCSAFESLLPSPQPLSQVSNHQALFVKNSPMWHLVEAMHVFKELPQQPHFLPLQEELPCLREGVALGMMLSFADLVKITMGASIDNSMEWFEDKIRTISHLEANGFSVQFMQSTMTDLVKIKSELTSYHGEIGKLDSKFVEKTASSSRVGALLDEKDIAAAELEQELGRIRQESQKIAKEKEKIDAEVASIKTARSGYEDLCNGAERKFKDVLAGLRLKRG >Sspon.07G0012120-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7A:43157473:43158674:-1 gene:Sspon.07G0012120-1A transcript:Sspon.07G0012120-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding KVVLEVFGVSRGGKREAKDTWWSNDEVQRAIKEECFKRLHYDKSVANIEGYKIAKRVAKRVVSVAKGQEYDGLYQRLGTKEGEKDIYRMARILERKTRDINQIKYIKDETDRLLVNDDEIKNRWREYFDKLFNGENEGLTLELDDSFDDTSRRFVRRIQEAEIGEALKRMKGGKTIGPDGIPIEVWRCLGVRVIVWLTKLFNLIFRSNKMHEEWRRSILVPIFKNKGDVQSCTNCRGIKLMSHMMKLWERVIEHRLRRVTRVTQNQYGFMPGRSTMEVIFLLRQLMERYREQKKDLHMVFIDLEKAYAKVPRNVMWWALEKHKVPTKYVTLIKDMYRDMVTFVRTCDGDTSDFPIKIGLHQGSALSPYLFALVMDEVTRDIQGDISWCMLFADDMVLVDD >Sspon.05G0005680-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:19950598:19951346:1 gene:Sspon.05G0005680-4D transcript:Sspon.05G0005680-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVRDDELDEYGVVNNAIYASYLHSGRDVVLEKLGISVDYWTSTGNAMALSELNLKYFAPLRSGDRFVVKVKPVQIKGVRMIVEHKIEALPDRKLVLEGRATVVCLNKDFRPTRVFPELSARAKEVFSCKVA >Sspon.06G0000660-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:2782380:2784469:-1 gene:Sspon.06G0000660-1P transcript:Sspon.06G0000660-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVEAAKHNVPGHQATKPAADHAADPAPAKEDMKAMDGAPELATSKNVLEEQKPSHRRQEVLPVFAQTAGDSGELKDIKSLDCSGGNQEKNTESFVSAKASDGANSLRKTSGSAKISDRADFPESGKSSIHISDESSCSSMSSSTTKPHKGSDSRSRDGILGLSHFRLLKKLGCGDIGSNVLVREDGHIMLSDFDLSLRCDVSPTVVKSANPGPDALQRSNQAYCVQPACIEPSCIQPACVAPTTCFGPRFFSSKSKSKKEKKPKPKPEIVNQVSPLPELIAEPTDARSMSFVGTHEYLAPEIIKGEGHGSAVDWWTFGIFLYELLFGKTPFKGSGNRATLFNVVGQPLRFPESPIVSFSARDLIRGLLVKDQQHRLGYKRGTTEIKQHPFFEGVNWALIRCASPPDVPKPVELDRPPKPAPANDKVAPAANQKGPDNFLEFEFF >Sspon.03G0023940-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:91458187:91468458:-1 gene:Sspon.03G0023940-1P transcript:Sspon.03G0023940-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGPPSPSGKPYSRKSHANSKAPSVPSFDAHNGPLLPTVTFSLPSTPAGRRELRRRLSAELSQVRAAAKRLNSLSAPAPSSALSATDPSTPLPPHPPASKHKSKKGGGAPHPHPHLSAEARRKLYAPVFKTCSALLQRLMKHKHSWVFNKPVDASALGLHDYHTIITKPMDLGTVKSKLGAGQYKSPREFAGDVRLTFQNAMTYNPKGQDVHFMAEQLLNMFEEKWPEIEAEVAQLSPQPPTPSSAAPRKPKEIDNSRVLERSDSTVHAAGMEATPKTHTGRPPVLKKPKAREPNKRDMTFWEKQRLSNNLQDLPPEKLDNVVQIIKKRNLSLSQHDDEIEVDIDSFDVETLWELDRFVTNYRKSITKNKRKAELELSAVRPDEADPDQEPEKVEHVRQDEADQDQIPAVQEPILEPEAVDVEPPKDNAADDNERFVGESSPGHLEDQKGENAGRSSSSGSSSSDSGSSSSDTDSDSSSADGSDAAQSPRTFAWYHFPFSSSRKYQATVLRLLRGLFHPSVDANANASRYPGSGQMHPVRRLPLLPLPRGPAARRLLSAAASAAAAASPLPWPGLHAWRREPPSDLRTWGPNGPCASDVDEPADDAGAGSSLAEMGALVLSTADPLAKARLTHTAFSRWAAGLPVGQATAPDHPARPDKPLVVTQKEITTHKEMGVPLNAYMLHNLAHRLAELGFSYGDMPVHNLLWRECAKSSSDVSARLAVIPLVQEARGLDAGPRLVQRLFGFGDHRSADIVARVAEEELAHVSVGLYWFLKVCQMMGREPGDTFKDLIKEYNVVLKGPFNYPARDEAGIPREWYDEKFKQEAAQKLSEVHDRLACIVEMEKENARKPDIVGQTGSHELGLCASFVAVVVVRLAATAPVYRLGPPVGSPLKGACQVLVAFARKVNVRLPDDAAELWRSMSRRLCCFNQLANC >Sspon.07G0029360-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7B:75789644:75789904:-1 gene:Sspon.07G0029360-1B transcript:Sspon.07G0029360-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MYVTHWKACTCTCPVAHTCNTKPTHALAEYQLGGPPGQDRSRAADRLMLLGGRQAGSSGQGPCRHIRRRVDQEDLESGEVTKGPQD >Sspon.04G0001540-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:2614089:2616799:-1 gene:Sspon.04G0001540-2B transcript:Sspon.04G0001540-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAARSRLAGLLRLDLASRTRPNPKPHLSSAFSSSTISAPPAAGRVREDESGLLSRRLLRLRFRSPRGATAAAVERWALERVHVSQPELRRAIVMLRRARRYEHVLEVSSGTFGFSWLWIFRSILQGTTAKQSYIVLQIFSWMESCNSLRLSSWDHAARLDLIAKACSTSQAEEYYNKLQSPAVRQAASFPLLHCYVTERNVQKAETLMAQLQSRGLPVDPHSFNEIIKLYVATCQYEKVLSVIDLMKRNNIPRNVLSYNLWMNACAEVSGVASVQSVFQEMLNDETVEVGWSTYCTLANIFRKNGLNTKAQACLRKAETKLSPTGRLGYSFVMTCYAALNDSDGVMRLWEASKSVPGRIPSANYMTAMLCSIKVGDISQAEWIFGSWEVGCRKHDVRVSNVLLGAYVRNRWIEKAERLHLHMLEKGARPNYKTWEILMEGYVQSRQMDKAVGCMKKGLSLLKTCHWRPPLELMEAIGKHFEEQGSADNAYRYIKVLQRFNSTSLPLYKSLIRAYINADVVPPNILQMIANDQIDMDEEMDQLIILAGKIDVTCNG >Sspon.03G0020410-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:63631639:63635148:-1 gene:Sspon.03G0020410-1A transcript:Sspon.03G0020410-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GMDLDMDDYIDPYEEAEAEAEAAAAEDDDSDDPDEDDSDAESDYEEKSFGLLKSGKHRVRNPDGTFRCPFCPGKKKQGYKIKDLLQHADGIGVSSKHRRHGRERANHRAFARFVRTDPDFAEDLVGITGIQGAITATPADKNGSANGDGKALANGDTAGSSSVAAKVGLPQEVERFAWPWACVLAAGAGFNPEEFADRVAMFSLVEVVPLFVDEMEVTETFAIVRFTNDWSGFNDALTLENHFGVNKLGKKEFETRDSGLGSVVGDGGEGEVKVYGWVARAEDYDATSVVGRFLRKHTVLKTIDEVSKTELEKSGEMVAILASQIEEKNRYLQDLETKKNATELSISRLEEDNRKLHEAYNAEMRNLHRRARENALRIFQENENLRIDLENKRRELNLRAKELEKMSAENANDRKTLDDEKQQAKYDNSELELASIEQQRADADVLKLLADQQREKEDVLARMLQLEKELHEKQQLELEVERLNGTLQVMKHLEGDDDGGDIHEKMEKLSVRFEREKKRLEDLSGDLVRKERESNDELQEARKELIQGLEEELDGQTAIGIKRMGELNEKPFLNACKRKYGKGEYQIKAAELVTNWQEELKKPSWHPFKMVEVNGENQEILVDDDAKLKHLWIEYGDDVCNAVKTALMEINEYNPSGRYVVPELWNFRKGRKATMKEVLKYLFSQIDTTTKRRRG >Sspon.02G0010940-3C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:33773176:33774998:-1 gene:Sspon.02G0010940-3C transcript:Sspon.02G0010940-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLESMMARSSTSTPSSTLRRPPPQGSSSGACFVLLADALPFSVCVGSSWDCDLAGFCGSGHRNMASRARWVKETGAAEVVESRGNLWLTTGVTRAGKLYYNVEEIGFLAERGALILLNDKDETIGIEDIYEKLAGGNYGCSWDTFQAYKHLKSLGYIVGRFGVPWTMKHNGTCDTVAPRMSIVEPDQSLNRVDGASNGITKLLKEMQIDGISPSFEVYLPNSKFKKSSPGSPSFLLCLLRGKPPSRVELEAVENNFRGIPLKYCHVDNGRVSFLSFDEVTLPSLP >Sspon.03G0017780-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:76525921:76526864:1 gene:Sspon.03G0017780-2C transcript:Sspon.03G0017780-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAEVGEDKYRSFIHGESEKNTVWRYGAPPNYDEVNKLFEEERTHVWPEGSLEEKVQRLLKSWEMELVHKVRPEDQKTVNSEKYSTSTNGLSVLTRAEVMAIGGYNNFLRTTLPPEHRIYDPDSESPESAMDTFKTAFPRGFAIEVLDVYSGPPKIAFKFRHWGYMEGPFKGHPPHGQRVEFIGVCIFHVDEEMKVEKSEYFYERGNFLASFLSAPSADGAPASGSGCPVMRGN >Sspon.08G0026320-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8D:17711335:17713905:-1 gene:Sspon.08G0026320-2D transcript:Sspon.08G0026320-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKISPRCSSLGAHQCASFSPRELHSYIHVPRRRSGEARRVEEHAAKALERLDAVRRFDGPIKILRDVTTSLSPAIPPIRRPPIVSSDPVDSRSEPRTTDSTPMALPNTQPVDYPSFKLVLVGDGGTGKTTYVKRHITGEFEKRYEPTIGVEVRPLDFTTSHGKIRFYCWDTAGQEKFGGLRDGYYINGQCAIIMFDVTSRITYKNVPTWHRDISRVCENIPVVLCGNKVDVKNRQVKAKAVTYHRKKNLQYYEISAKSNYNFEKPFLYLARKLAGNMDVKFVEELALVPADVTID >Sspon.02G0011910-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:26136029:26139950:-1 gene:Sspon.02G0011910-4D transcript:Sspon.02G0011910-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRRRRCRGAVLLLLLASVLAPLVLYGGSPVSVAPLPDSTVASGAFDPEGASNLVWPHMAASAVSLAKDLIIERLGEHKNRVLSATDRWQVVEAGSSRSRASGKSDTAAVREEHELPRTEEEDDPVVVGNGSARLGQDGIIKEVVGSQGREDGSGEPGDSREVGEQNGKEVGIELPHATDVVHKNGSDGLEMNDVPGLRAVGILNSSSIKESATHTLSNKTREQQTSASNSNTAHHATNSIPGQTTTLSDATIHIIKDQLTRAKMYLGLFASRGNHGFARELRARMRDIQRALGDATSDRQLPQNVHSKIRAMEQTLVKVRKIHDSCSSAVNRIKTVLHSTEQQLESNKRQANYLAQVAAKSLPKGLHCLTLRLTNEYYFTNSKNKDFPYVEKLEDPKLYHYALFSDNVLAAAVVVNSTLVHAKKPENHVFHIVTDRLNYAAMKMWFLANPLGKAAIQVQNIEEFTWLNSSYSPVLKQLETQFMINYYFRTGHARHDENPKFRNPKYLSILNHLRFYLPEIFPKLNKVLFLDDDTVVQRDLSALWLVDLKGKVNGAVETCRQAFHRFDKYLNFSNPLIAKNFDPHACGWAYGMNMFDLSEWRKQNITEVYHTWQKLNENRLLWKLGTLPAGLVTFWNRTFPLDHSWHQLGLGYNPNVNEKDIRRAAVIHYNGNLKPWLEIGLAKYRKYWSAFVNYDQVFLRECNINPN >Sspon.01G0017300-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:67796359:67797207:1 gene:Sspon.01G0017300-2B transcript:Sspon.01G0017300-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEQNRQLRMGDLVQRPLQLTPVPVATGPAGTWDTGATTLSLLPAGTGTEIIRPEETKATLTIFYQGQVATFHDFPADRAKDLLQMAGSVTEKAPEKGFLQMAGSVTVKEPEKGVMTAQTAVPEKAETSDEPSDAGASMPPIARKLTLQRFLRKRKNRTAGTDDPDHNEDAWPWKKRDSAGAGNNPAEDVPDDASWLSLSNPSPFIGE >Sspon.01G0009390-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:26741894:26748232:1 gene:Sspon.01G0009390-1A transcript:Sspon.01G0009390-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGDGDRHRGPKRHKSSAPSKAALVDESAEFDYADDFDDDAHDADMEVKKRDFTKLELKVDHASRPLWACADGRIFLETFSPLYKQAYDFLIAIAEPVCRPESMHEYNLTPHSLYAAVSVGLETSTIISVLSKLSKTKLPREIIDFIHASTANYGKVKLVLKKNRYFVESPFLSNLLKDEVISRARISPEDSLGAPSFTVSKTSGQIASGHEDLLNGMEIAAATEDKETHSFEIDPSQVENVKQRCLPNALNYPMLEEYDFRNDTVNPDLEMELKPQARPRPYQEKSLSKMFGNGRARSGIIVLPCGAGKSLVGVSAACRIKKSCLCLATNAVSVDQWAFQFKLWSTIKDENISRFTSDNKEKFTGMAGVVVTTYNMVAFGGKRSEDSEKIIEEIRNREWGLLLMDEVHVVPAHMFRKVISITKSHCKLGLTATLVREDERITDLNFLIGPKLYEANWLDLVKGGFIANVQCAEVWCPMTKEFFAEYLKKENSKKKQVLYVMNPNKFRACEFLIRFHEQQRGDKIIVFADNLFALTAYAMKLRKPMIFGATSHAERTRILYQFKNSPEVNTIFLSKVGDNSIDIPEANVIIQISSHAGSRRQEAQRLGRILRAKGKQQDRMAGGKEEYNAFFYSLVSTDTQEMYYSTKRQQFLIDQGYSFKVITSLPPHEEGPNLSFYTLDEQLELLSKVLNAGDDMIGVERLEEDSDGKALLRARRSAGSMSAFSGAGGMVYMEYSTGKGKGAPKKHKDPSKRHHLFKKRYA >Sspon.02G0011210-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:24337480:24340357:1 gene:Sspon.02G0011210-4D transcript:Sspon.02G0011210-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASNGEGGQGRFDVIVVGAGIMGSCAAYAASSRGARVLLLERFDLLHHRGSSHGESRTIRATYPQAHYPPMVRLSRRLWDEAQADAGYAVLTPTPHLDLGPRDDPALVAAIRNGGATEVEVATAAGDEAAWAGVFRVPDGWTAAPSKLGGVMKATKAVAMFQALAVKKGAVLRDRTEVVDVTSSKRGEGEEGSIISVRTSSGEEFHGTKCIVTVGAWASKLIKSVTGLELPVQPVHTLICYWKVRPGHEQELTPEAGFPTFASYGDPYIYGTPSMEFPGLIKIAMHGGPPCDPDGRDWSTGMAGGGGLVEPVARWIDAVMPGHVDTAGGPVILLHVLRHPDDDYVVDFLGGEFGKDVVVGAGFSGHGFKMGPAVGRILAEMAMDGVATTAAEAGVDLRPLRIGRFAENPKGNL >Sspon.04G0000090-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4C:617447:617872:1 gene:Sspon.04G0000090-2C transcript:Sspon.04G0000090-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMATGAACSSGNTVACQPPEAHITEVYAANCGVELPTVDVISGRLVGCHRDRDGPEDALQAVLASATGNGSTNATEAAALAVIRGKEHTQVGAGFDRAHRRGPFFWCLLFSSGSANSTFLLEAGGKGIHQTHGCFSAPDRP >Sspon.05G0012060-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:29873853:29875497:1 gene:Sspon.05G0012060-2B transcript:Sspon.05G0012060-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGTGSVARAGGCPACPALWASCTGRFPLTVDGVARVVAVAANNVIIEEVNKGLNPGMVVLLVVASFLLLFFVGNYALYVYAQKTLPPKKKKPVSKKKLKREKLKQGVSAPGE >Sspon.05G0021460-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:71289764:71293577:-1 gene:Sspon.05G0021460-3C transcript:Sspon.05G0021460-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEIRRIKAAACFFLFSLLVSQRLGSCASINEQGRPLLSFTESVADDPAGSSSNWGVSCSDDGKVVALGVIQRRLQSPEAKAHHVDPSSSSSSKSLPRWAIYTVAIGGALVFVAVAAATAYLLFSRRKKDTTVMPWSTGLSGPLSKAFVAGVPSLGRAELQAACEDFINVIGSSSDCTLYKGTLSSGVEIAVVSTSANSAKDWTNRSEEQFKNKISVLSSVNHKNLLNLLGYCTCDEPFTRMMVFEYAPCGSLFEHLHIREAEDLDWPARLRIIMGVAYCLEHMIQLDPPVTPPTLSSSSIYLTEDYAAKISDTEFWKEDGGKDAQMISDKQDDDVVYRFGILLLEVISGRLPFSEDHGLLVLWASSYLDGKRPLREMVDPTVRSAVPEKDLVALRDVVRLCVRSDDREKRPAMGEVVRRLRGVTGLSPEQVTPRDNPLWWAELEIASAVESESG >Sspon.06G0006570-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:22628109:22632325:-1 gene:Sspon.06G0006570-4D transcript:Sspon.06G0006570-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALGTATTPAAAPAAPRCYNRHPRHHIAARRNRVASARRRLDLCASASPAVTAPDIPDEAVAEPLVEQAPETKLRKLACPICYYPLASSSDQSDGASSLECSTCKKFYPNKQDYWDLTVSVGSTEYSESMPAATELFRRLALVRADISRLPFVSGSIDAVHADISRVLRPGGVFVASTFVADVIPPAIPVLRIGRPLVFVCKQHLKNENEFIPT >Sspon.06G0035600-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:78330835:78341813:1 gene:Sspon.06G0035600-1D transcript:Sspon.06G0035600-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAAVAGLLASAFVRIAIGKLGSAIAGQASLLWNFGKDLEEMKDHLETISAVLVDAERQSVKEELVRLWLKRLKDAAIDISDMLEEYQDSSDQASAKMPQVLSGLPMSYKKIVMVNRMKSLREKLRKIAKEVVVFNFKKGSSTHNEQPYDERETMSYLPEEPVVGRDGEKQEIINMLSANTSNDDESVIVAIYGLGGMGKSTLAQLVYNDAQLKKYDYRIWVYVSQDFNLSKIGSSIISQLPTQEGQQNLGTQQAIKQHLDKLLHGKTVLVVLDDLWEEKMTELGKLRVMLHVKGSKVDVIVTTRKQEIAMEVSSNKAYKLQRLEDDKCWEIIKRFSKFELKSNQEKMEQVGLDIAKKCGGVALAAQAVGFMLRSIDDLSGWTEINNSNVWNGSSEANDVLPSLKLSYERMPPQLRICFSYCAIFPKGHNVIEDDLVQQWIALDFIEQSKGKECIKKLLGMSFLQVSKLPSTSQKHLVQYTMHDLVHDLATLTVADELQVFNVAPMRNTRANKYCRYSVLRKYDRKMKLSNMPSKMRALRFADCDELLDIRGGAFSFAKCLRTLDFSECTGILLPASIGEPKQLRCLIAPRMQNESLPECITKLSKLQYLRLNGSTPISALPESIGKLGCLRYICLSGCSSISQLPESFGDLKSMVHIDVSGCSGIRELPESFGDLKSTVRLDMSGCSGITELPDSIGKLTNLQHLELSGCSILKAIPEPLCGLRQLQYLNMSRCEQIRELPETLMKLQNLLHLDLSDCSSMRHLGGVRGLTALQHLDMSGLWKTDLQYLSGVLANLTSLKYLGLSSVKGSASYVPDWIGGMTNLEHLDLSYNYGLACLPESIGNLKRLHTLDLTACRDLKSLPESIRALGLKSLVLDRCSDKLADQASSLVHFSQTLPNFKVRADDVNGCSNLHLLEGINVGELRIHSLENVRSLEEANKVKLLEKQNLSELRLAWTAGAVRLLEDKDLLGQLVPPRGLKHMCLEGYSSAGFPGWLTGTSSCHLANLVSIILLDLPTCRDLPPLGQLPHLEKLVLYELPGIKRIDREFCGGKGAFRRLSSFSLGFMKGLEEWNTTYSVEDGVEEFMFPVLDRLEIEYCPRLRLKPCPPTFRECSICGSDQVISCLEEVDKTSRLCSSSSRAIKLDLVIQGDSCQSRRLFHHFPALQELRISGDHLTSVLESMRHLTSLESLTLDWCDGMSALPEWLGNLSSLKSLVISGCRSINSLPSCIQQLTKLQKLEIRYNSELKKWCESEENKTKLAHINIIQVFEDGLIA >Sspon.03G0016100-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:49618432:49624849:-1 gene:Sspon.03G0016100-4D transcript:Sspon.03G0016100-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFVSATAAAPATLFLSVLSAPQGAGACVLPQRRQPFLAPLHAAKGPNSAPVVLESKAKGKKKKGSGAGNLAGALDVEIREAQEYLDSDEQEPVPDNFPFEIIDEEGMSVVILRRGYKDEKIEVTVSMPNLDGGPEFDDEDGEGDGESAVKDDEEAEEDDESAGDSSISLKVVVSKDSGPKLEFTCTAFREEITIDDMLIVEETEKDGEEKFPYEGPEFTRPSHAATAAASSFAMALFAAARRAAASSAPILLRASASSGSHRSAALLRPLAVAVARPKPRAMPFSSAPATRPSSDIELLSVIDSEIKYAEDCDDHDRVNEPIPLSSKKSVLFISKQVEEIPDKFPFKISDEKGTNVVTLKRTYQGEQIEVVAHMPSLVTGDEPDHDRDGEDEGEDKEGDDNEEDEGQKPEQSSVPLTVTISKGDGPVLEFTCTAYPDEVLIDSLSVTQPSGDDEHNMIAYEGPDFNDLDENLQRAFHKYLELRGISPMTTNFLHEYMINKDS >Sspon.07G0019870-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:69666737:69667639:-1 gene:Sspon.07G0019870-4D transcript:Sspon.07G0019870-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWKQPHPIHTPTTATTTLPASVPSTTPAATTIKNKTILTLPAASQPSSKHAQPVSHTKPSHGRRELQRRRAQARRGSAPGRRRRLVAGEEGAAGRARGRRAALLGLAAVFAVTATTGSAKAGIIDEYLEKSKANKKVPFITDLEIECEGKEKFKCGSNVFWKWGEANE >Sspon.02G0042420-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:86075333:86075899:1 gene:Sspon.02G0042420-2C transcript:Sspon.02G0042420-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSRGAAPPASSDDATGGGGVGGQGGARLFPCLFCNKTFLKSQALGGHQNAHKKERVVGSLDPYASSYSYAAGIELEARAAGDSGLAATSSTLVAGSLHCGGGAVAGGACSMSWEVPHWAVAAAALRWEMERSSGQHAPGHQLDRGDSVVCVDVLNCTRSRSALAPAEEASNSKGTTTEEPDLERRL >Sspon.02G0016760-1P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:50418956:50423940:-1 gene:Sspon.02G0016760-1P transcript:Sspon.02G0016760-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHQKREGSSADDDCTSKRLKGTDTASETGGSVEASASQQMDAEARRTCQKESEVPLDKCVPDGEAAANSQVSGEQKMVLTAVEADAAEDKGCRHTMEDTWVVLPDGDAESPGSLRCAHFAIYDGHGGRLAADYAQKHLHQNVIAAGLPRELMDVKAAKKAVIEGIQCIKVSVELMNLCRKKVLKVVVANAGDAKAVLARSISTEGEGVVDETKSQLKAIVLTREHKAIFPLERSRIQKSHFVPPVMVLAGGSVGSNGRLQGRIEVSRAFGDRQFKKVFGPGDAVEFVQNQLKETSSATLAVRRLVKEAVRERRCKDNCTAVLIVFKH >Sspon.01G0001300-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:4154902:4157395:-1 gene:Sspon.01G0001300-1A transcript:Sspon.01G0001300-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding PMATAVPAASLRASSSSPAAAARRLGAGAPSLLKRHCAVSPVAAACGPAPPRQLDTAEAKKGFLPVVDKKAGYSFLYPFGWQEVAVQGQDKVYKDVIEPLESVSVNSIPTSKEDIRDLGPPDQVAEALIKKVLAPPTQKTKLIEAKQNDVDGRAYYTFEFTAQAPNYTRHALGAIVIATGKFFTLTTGANERRWEKMKDRLHTVVDSFKIENRI >Sspon.03G0024340-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:74128151:74131500:-1 gene:Sspon.03G0024340-1A transcript:Sspon.03G0024340-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRERDACWEYCDKLDGNKVRCRFCHKVLNGGISRLKFHLSQIPSKGVNPCTKVKEDVIDKVKAIISAKEEYKEFQLLKRQRVAELSVAPKRAPEAPSLSTSPGRVSSPAVTLAAEQSRLLAPEVSAPAPKLSGVANKSRAAASELDAERCIAEFFFENKLDYSIADSVSYQQMLEALGGPGCRGPPADVLRDKWLQRLKSEILQKTKEIEKDWVTTGCTILADSWTDNKLKALINFSVASPMGTFFLKTVDASSHFKTHRGLYDLFDEVIQEVGPENVVQIIADRNINYGSIDKLIMQNYSTIFWSPCASFCINSMLDDFSKIDWVNQCICQAQTITRFIYNNKWVLDFMRKYMAGQELVCSGITKSVSDFLTLKSLLKHRSKLKQMFHSTEYSSSSYASRSIPCAEILNDDELWRAVEEIVAVSEPLLRVMRDVSGGKPAIGYIYESMTKVTDSIRTYYIMDEGKCKSFLDIVEQKWQTELHSPLHSAAAFLSPSIQYNPEIKFFSTIKEEFYLVLDKVLTTPDLRHDITAQLHAFRKAQGMFGSNIAKEARNNTSPGMWWEQYGDSAPSLQRAAVRITSQVCSTLTFQRDWGIILQNHYEKRNKLDKEALADQAYVHYNLTLHSEPKTKKKLDADPIALDTIDMTSAWVEDSDGPILTQWLDRFPSALDGGDLNTRQFGGSIFGTNDNLFGL >Sspon.05G0012090-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:29923726:29924997:-1 gene:Sspon.05G0012090-2B transcript:Sspon.05G0012090-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASMIKAPVGQNPRLACHAPPRGGGVVRCSLQGAVVGGRGEWQSSCAVLSSKVAALGTHSINGHVAPAPAQNGAVLDLVPVSSITGGAITKTNLPQPLRIADLSPAPMHGSQLRVAYQGVPGAYSEKAAGKAYTGCDAIPCDQFEVVFQAVELWIADRAVLPVENSLGGSIHRNYDLLLRHRLHIVGEVQLPVHHCLLALPGVRKECLTRVMSHPQALAQCEHTLTAMGLNVVREAFDDTAGAAEYVAANGLRDTAAIASSRAAELYGMEVLADGIQDDSGNVTRFVMLAREPIVPRTDRPFKTSIVFAHDREGTSVLFKVLSAFAFRDISLTKIESRPHRHRPIRLVDDANVGTAKHFEYMFYVDFQASLAEPRAQNALAEVQEYTSFLRVLGSYPMDMTPMTAGSSSTIISSSDPSSSS >Sspon.04G0018710-4P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:3629915:3632068:-1 gene:Sspon.04G0018710-4P transcript:Sspon.04G0018710-4P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMPAPRASTAGGSGWRRVLLAAALLLLALAPPASAQPTPTSPALQAAYTALQAWKRAAIFSDPSNFTANWVGPNVCAYNGIYCAPRPSDGALAVAGIDLNHADIAGHIPADLPLGLPDLALLHLNSNRFCGVLPDTFLRLRLLHELDLSNNRFVGAFPAVVLGLPALRYLDLRFNDFEGPIPPAVFDRPLDAILLNSNRLRGPIPSNLGNSPASVVVLAHNRLGGCIPPSIGRMADTLNEIVLIDDELTGCVPPQVGLLRKVTVFDVSGNHLQGPLPASVGGMAAVQQLNVAGNLLRGAVPTAVCALQGTLRNFTYEDNFFTSRPGCAVATADGRWNCIPGAPAQRPPPQCAAAAAPFDCRTAQCQAPPTSGLLGRALALLHSRCPRLAPTRRRIRRRQGAPPRRRTRPRLAAPRRRRTRPRQGAPRLRRTRRRPQTAAAPSHQCRLHPMVARLRRPVTSRLRRRPGGHRRANPWGTTTHRASRWRLAAAHASGSRHTRISVPAGHTRIAIHTDDSGHARFGIPADDPRSARFGIPADDSRHARLDIPADDSRCTRVVAIHPDDSGHARFDIPADDSGRTRVVTIHADNSGHGWINVPANDTRLPPSVPRWWFSRRPRRQPARHSTIDTRQRRRRPAIPARPRHALLLAAAATVRPSGEPAVPARPRRVLLVAATAAPARLWRVLRVAPAAAPARLRRVLLVASATRDAVQEQLASC >Sspon.08G0015940-3D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8D:60387618:60388688:-1 gene:Sspon.08G0015940-3D transcript:Sspon.08G0015940-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding TAPVPRSLAPARKVALITGITGQDGSYLTELLLSKGYEVHGLIRRSSNFNTQRLDHIYHDPHAVPSSPRPPMRLHYADLSDSSSLRRALDAISPDEVYNLAAQSHVAVSFEVPDYTADVTATGALRLLEAVRLSRKPMRYYQAGSSEMFGSTPPPQSEDTPFHPRSPYAAAKVAAHWYTVNYREAYGIFACNGVLFNHESPRRGENFVTRKITRAVGRIKVGLQTKVFLGNLSASRDWGFAGDYVEAMWLMLQQDQPADYVVATEESHTVEEFLQAAFGYAGLNWKDHVVIDKKYFRPAEVDSLKGDSTKARRVLKWKPKVGFQQLVEMMVDHDIELAKKEKVLVDAGYRDPKQQP >Sspon.07G0017570-4D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7D:79499917:79503292:-1 gene:Sspon.07G0017570-4D transcript:Sspon.07G0017570-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:V-type proton ATPase subunit C [Source:Projected from Arabidopsis thaliana (AT1G12840) UniProtKB/Swiss-Prot;Acc:Q9SDS7] MATRYWIVSLPVQTPGATATSLWSRLQDGISRHSFDTPLYRFNVPDLRVGTLDSLLALSDDLVKSNVFVEGVSHKIRRQIEDLERAGGVDSGALTVDGVPVDTYLTRFVWDEGKYPTMSPLKEIVGSIQSQVAKVEDDMKVRAAEYNNVRSQLSAINRKQSGSLAVRDLSNLVKPEDMISSEHLVTLLAIVPKYSQKDWLSSYESLDTFVVPRSSKQLYEDNEYALYTVTLFAKVVDNFKVRAREKGFQVRDFEYSPEAQESRKQEMEKLLQDQEAMRTSLLQWCYASYSEVFSSWMHFCAVRIFVESILRYGLPPSFLSAVLAPSTKGEKKVRSILEELCGNAHSIYWKVEDDAAVAGLGGESEVHPYVSFTVNFV >Sspon.07G0012300-1P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7D:53100283:53102098:-1 gene:Sspon.07G0012300-1P transcript:Sspon.07G0012300-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFRVVCRKLYDYVRYDLKEIAFPSSLPDPPHIKKRPKLTWHERWCILKEATRLYGASWVRDIGPDLRPNDYKKAAEDEEEQSSNAIPSSGSGKKGKASSEPSLLEDLAVAARGGAETLKPALRRIYMARASTYTDAMKNFVETYQEGLKDQLQEKADGGEGGGGG >Sspon.07G0015030-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7A:53963748:53964984:1 gene:Sspon.07G0015030-1A transcript:Sspon.07G0015030-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHPACTTCSHTGTTCFRSASRVEDRLRRAPYCVRGERLRLRLLHTTLAAPPADASSEWGVVVDGRSSDAEWGGAAVNGCAPRDGRDNKAEWAARRMMAALTQRWPRWRGRVAGCSVGWGHARGDGCDCNANWGERMAARPVKEGSRGRFALPSSFPINLASPPLSFSSVLRSVAFILSAMPTDSSQFLLSAMPTGQRQRPPPLGSAHRDGAAVSSSPIHIGWHGGLLPDLRRVVR >Sspon.03G0012810-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:35525830:35526654:-1 gene:Sspon.03G0012810-1A transcript:Sspon.03G0012810-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSRFYLGDVGNGAAMKLVVNMVMGSMMVSFSEGLLLSEKVGLDPNTLVEVISQGAISAPMFSLKGPSMVKAAYPTAFPLKHQQKDMRLALALAESVSQSIPTVAAANELYKAAKSLGLSDHDFSAVIEALKAKVQSSQQ >Sspon.08G0001540-1P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8B:1835012:1836685:-1 gene:Sspon.08G0001540-1P transcript:Sspon.08G0001540-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLISQNAVQKRRLRKSEADDGNDEDIGSPTSIDAEVGKEAKLKNHNKERKKKRTKVQESQQNKEEEEMRQLESSLFGSLYAPLEFGTEAGATVVAPDRDVPLFFTDRSAGGGMDYFPIYEEDMAHEDEEDVVGIKGRKPVWVDEEEERTEVDIVKVSRLRKLRKEEDEHLISGKEYEARLRGQHAKLNPFTGWADMDQKTSLPAASDGESDDEGCVDDILQNNDELVVKDTVKLLPGMLEFSRLVDANIQDPSSGPINSVQFHRNGQLMLAAGLDKHLRFFQIDGKRNPKIQSIFIGDCPVHKASFLPDGSEVILSGRRKFFYSFDLVNAAVSKIGPLTGREEKSLESFEISPDSKTIAFVGNEGYILLISAKTKQLIGTLKMNGSVRSLAFADGGNQLLSSGGDGHVYHWDLGTRKCIHKAFDDGSLAGISLCTSQDSSLFATGSTSGIVNVYKRDDFLGGKRKPLKTIENLTTDIGEMKFNHDAQILAISSRKERNGMRLVHVPSFSVFQNWPGPRFSLHYPRCLDFSPGSGFLSVGHAGGKVLLYKLHHYKNA >Sspon.01G0002120-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:6035714:6039646:1 gene:Sspon.01G0002120-1A transcript:Sspon.01G0002120-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATATALSLSGGGGGTPLLRGHAALASGRCCAFPRSRWRTPRLSASRADDSSPAPFEMTVEGALKLLGVAEGASFDEILRAKNSVLASCKDDQDAVAQVEAAYDMLLMQSLSQRRAGKVANNSIRYADVKPVKSAGAGTVPKWMQATMKNAPITFETPSSSSLSIQSCVYGALMVFTYASGSSTSLPSAYTSPDVPGFILATGFGASLYFLAKKNMNLGKAALITVGGLAAGATVGSAVENFLQVDIVPFLGIHSPAVVVSEFILFSQLLVSLFVR >Sspon.05G0004980-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:15452178:15465248:1 gene:Sspon.05G0004980-1A transcript:Sspon.05G0004980-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSPPSQPTVLTLILALLLVLYLALRRRASGGKNRSYPPVAGTVLHQLFNFGRLMEYHTELAHRYRTFRMLTPTCSYIYTVEPANVEYILKTNFANYGKGSKVHEVGEDVLGDGIFNVDGAEWRHQRKVASLEFSTRVLRDYSSGVFRDTAAELAGIVAAAAAGEKLDMQDLLMRSTLDSIFTVGFGVRLGVLSGFSKEGAAFAKAFDDASEQVLHRFLDPFWKAKRFLNSSLEAAMKRSVRTINDFVYAVVDRKIEQMGRDQQEFAKKEDILSRFLMERESDPACFDNKYLRDIILNFVIAGRDTTAGTLSWFLYVLCRNQHIQDRVAEEVRAATSGAGDLGTPELVACLTDDAIGKMHYLHAALTETLRLYPAVPIDVKCCFSDDTLPDGYAVNKGDMVHYQPYQMGRMQFLWGADAEEFRPERWLDDDGVFVPESPFKFTAFQAGPRVCLGKEFAYRQMKIFAAVLLYLFRFEMWDANATSTPHPTRKHARRAAAGDLVPGHQSSSLAMDSPLLSPPALLALSLLLLALYIARRRRGGGRNRNYPPVAGTMFHQLLNFGRLMEYHTELAHRYRTFRMLTPTCNYVYTVEPDNVEYILKTNFANYGKGVMTHDVLEDLLGDGIFNVDGAKWRHQRKVASHEFSTRVLRGYSSGVFRDTAAELAGIVAVAAGAGERRLDVADLLMRSTLDSIFKVGFGVSLGVLSGCSDEGAAAFARAFDDASEQVLYRFFDLSWKVKRLLNISSEAAMKRSIRTIDGFVYGVIDRKIEQMGRDQQEFAKKEDILSRFLMERESDPGCFDNKYLRDIILNFVIAGRDTTAGTLSWFLYVLCRNQHIQDKVAWEVRAATTGDRDVGVQEFVAFLTEDAINRMQYLHAVLTETLRLYPAVPIDVKYCFSDDTLPDGYAVKRGDMVNYQPYQMGRMKFLWGADAEEFRPERWLDDDGVFVPESPFKFTAFQ >Sspon.02G0022630-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2B:75178784:75182803:-1 gene:Sspon.02G0022630-2B transcript:Sspon.02G0022630-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMPQRGERQTMLFSATFPKEIQRMAADFLADYIFLAVGRVGSSTDLIAQRVEFVLDSDKRSYLMDLLHAQKANGTHGKHALTLVFVETKRGADALEDWLFRNGFPATSIHGDRTQQEREHALRSFKSGATPILVATDVAARGLDIPHVAHVINFDLPNDIDDYVHRIGRTGRAGKSGLATAFFNESNTTLARPLSDLMREANQEVPKWLEGYAARSGYGGGGGRNRRQGGGARFGGRDFRRDRGSGGGYGGGSYGGGGGGGGGYGGSSGYGGGYGGGGGSGSGYGGGQSMSSWD >Sspon.01G0007320-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:33620443:33635529:1 gene:Sspon.01G0007320-2B transcript:Sspon.01G0007320-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVVEEEHEQPEARRHRGREKQSEQARANERTFQGTSVGIMDLYRAVIREAETWVEAGFRTLAMRHARFEEREIEMGVATVTELKQSISGKRTFRPSLISRHANDDLTVEVGTSSFALHKLCAQFPLVSRSGKIRRLVAEAKDAKLARLSLHGTPGGAPAFELAAKFCYGVHVDVTVANVAMLRCAAHYLQITDDFSDKSLEPRAETFLRDAVLPSIASSVAVLRSCEALLPAAEDVGLVPRLIAAIASNVCKEQLTSGLSKLDQCAAQLKPAAAFADLDSPGDWWGKSVAALGLDFFQRLLSAVKAKGLKQETVTRILINYAQNSLHGLMARDVHRCGGGGAADADAVKKQRAVVEAIVGLLPAQSKKSPVPMAFLSGLLKTAMSVSASSICRADLEKRIGMQLDQAILEDILIAAGAGAGGTTPAGHGQQHALYDTDVVARIFSVFLNLDDDHNEEDAGFDYDSPRSPKQSLLVKAAKLLDSYLAEVALDSNILPSKFISLAELLPDHARVVTDGLYRAVDIFLKARTVHPNIKEAERYRLCKAIDCQRLTPDACSHAAQNERLPVQMAVQVLYHEQLRLRSAIQSGGGSMGGHDAALFFGCAAAAASAQGSVNMRSGSGVGSGAMSPRDNYASVRRENRELKLEVARMRMRLTDLEKDHVSMKRELVRVGPANRLLRGFARSLSRLFRMRPAAEPGLQQLGAKATADAKRRPGPAATLPIEDDWAARARSRPPQVTMVVVGVRLDRPAAAQPRSSLTTSHHIISAAPAAGRCSSNTAPAMHQAKATKAPNNAKTISTGGPQEKVARWTHAEPAHTALHAAETKRASGMRRTGRGTHGDERTAAHSSLLHSNPLLSVSLCDEHNCPKAPRRIARPMCRASIHRAPSSGAQAMPP >Sspon.04G0015140-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:60726189:60728831:-1 gene:Sspon.04G0015140-2P transcript:Sspon.04G0015140-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AMDPSSAGSGGNSLPSVGPDGQKRRVCYFYDPDVGNYYYGQGHPMKPHRIRMTHSLLARYGLLNQMQVYRPNPARDRDLCRFHADDYINFLRSVTPETQQDQIRLLKRFNVGEDCPVFDGLYSFCQTYAGASVGGAVKLNHGHDIAINWSGGLHHAKKCEASGFCYVNDIVLAILELLKHHQRVLYVDIDIHHGDGVEEAFYTTDRVMTVSFHKFGDYFPGTGDIRDIGHSKGKYYSLNVPLDDGIDDESYQSLFKPIVGKVMEVFRPGAVVLQCGADSLSGDRLGCFNLSIRGHAECVRYMRSFNVPLLLLGGGGYTIRNVARCWCYQTGVALGQELEDKMPVNEYYEYFGPDYTLHVAPSNMENKNTRPQLDDIRSKLLDNLSKLRHAPSVQFQERVPDTEVPQPDEDQDDPDERHDPDSDMEVDDHKAVEESARSLSPSLPLWPLPFSL >Sspon.08G0019190-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:10857782:10860709:1 gene:Sspon.08G0019190-3D transcript:Sspon.08G0019190-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AP2-like ethylene-responsive transcription factor AIL5 [Source:Projected from Arabidopsis thaliana (AT5G57390) UniProtKB/Swiss-Prot;Acc:Q6PQQ3] MDMDMSSGYPHHWLSFSLSNNYHHGLLEAFSNSSATPLVEESPKMVEDFLGGVGGTGAPPPATAAEDHQLVCGELGSITAGFLRHYPAPGTVENPGAVTVAGMSTDVVESDQARRPAETFGQRTSIYRGVTRHRWTGRYEAHLWDNSCRREGQSRKGRQAYDLAALKYWGATTTTNFPVSNYEKELEEMKSMTRQEFIASLRSHSHAWHRAHRRILFHVHTLPYECMHAHSHVPHGRWQARIGRVAGNKDLYLGTFSTQEEAAEAYDIAAIKFRGLNAVTNFDMSRYDVDSILNSDLPVGGGATGRASKFPLDSLQPGSAAAMIAGAAVPPSEKDYWSLLALHYQQQQQQQFPASAYEAYGSGVNVDFTMGTSSHSSSNTGSGVMWGATTGAMGQQDSSSSKQGNGYASNIPYAAAAMVSGLAGYEGSTGNNGTWVTSSTSTAPQYYNYLFGME >Sspon.02G0011590-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:30865541:30866832:-1 gene:Sspon.02G0011590-1A transcript:Sspon.02G0011590-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHCSAKPFFSLLFFSLTDTTGAGKAVFFSPPAPAPTRNSGAAVGEPELRRSSPLLRLRPPRPPPASAPAPASIVPTSPGPPPAPAPVLAPASDAATAPALAPATSTAPGPGLRRAGVAPSSDAPSHHPRLRPRAYSHPPCQLRPPRPSPCTSPNDHLILHRAPSPNAASPASASPPRRRLFRAA >Sspon.04G0004610-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:14580577:14587015:1 gene:Sspon.04G0004610-4D transcript:Sspon.04G0004610-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFKDTDERAKEVAREVMPIPTMIAEMQRDMGEAVVPVVDSVLEEPMFVWDKDNPDMSIGICYPSYRWAMRQHAIVNEFELATARSDTQRFRGNCGSLGCPWRIRGFVEELTPVHSKSNGKVSSASLKTGGKASSFSKGEASNGKAYSSGKGGKGSSSHAVPTKSDAELKLELDVPPNSRMLMNCEAAELLQEIHEHMAILSEDPKIKIPESFDKAFQYAKDGNHFTTASSVKQALEIGSSCLADQGVRNLQTGALVSKVHSITAVEPSGRMVTAVVP >Sspon.03G0028320-1P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3B:9220489:9224127:-1 gene:Sspon.03G0028320-1P transcript:Sspon.03G0028320-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSRLAFSGPQHLRAPGQDGERHHPQNTNAANRRCRRGTSSVYGHRNSLHAAAQTHQLVSRVAISPTVSFFPLCFRSFPLAPGPFDSPVPKPLLPASAPCPMHNSPGVAPVCGRAWRRTDTPHAGPSRAARPHPFPLPLYPAPPSSSSPDLYFPFRLPFSTSIIATHPLSTTSSSPPSISSHRRASGMAAALWTLVALSATVAFATEGRVAATAAAEAANEVLRAHQLPGGLLPAGITAFRHDAATGRFEAQLEAPCTARFEVGLRYNATVTGVISPGQIAAISGVAAQDLFMWFPVHDIKVDIPSSGVIYFNVGVVKKHLPLAVFDAPPACTPDPLLLRTVPQVIKHRFIHRLESFFLLVWFACYFSKSPDEFISGAAAAGGRGRRRCLGEATASVAAVDVVVGARPVTPSLSVPSWHPTGIPSPSLLPRLHGALPSGHWPERGRSPEKVP >Sspon.07G0014930-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:59528994:59533874:-1 gene:Sspon.07G0014930-2C transcript:Sspon.07G0014930-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVLHVKSAAPASASSSSSRSPDGDEETEFLYECAASAAVADVAAALAALAGLQAALLSLCRRLRGERLHPETPCVVLVLASLCGGRRSSRTDAAWPFLRSAARCAGAGMTGELERALDEAEAYASKEQVQRNRFLSPRALREHIKNIEKKCATALQESPEALSLQDSSSDSKHERIQIWWAGKELAMDQKLSDYIGVNDKTKIVVRLTQARKCFAVFHFHPSGSAADGVLKACGF >Sspon.01G0008180-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:22944396:22945930:1 gene:Sspon.01G0008180-2C transcript:Sspon.01G0008180-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGATAAAAATKPGRRILVAVDEGDESVQALRWCLGTFAAASRGDTVILLYVRPPPPAYSVLDASETDNPVAQAAVGYLFAEEVTAAIDRYSREVADAVVEKAQKLCTLYSKVLSQAAGTQDVDESDHEMKVEAKVAVGDARAVICHMADKLGADVLVMGSHGYGFFKRALLGSVSDYCLRNANCPVLIKKIVSIAYTM >Sspon.06G0009830-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6A:52379857:52380394:1 gene:Sspon.06G0009830-1A transcript:Sspon.06G0009830-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVNANDFFMAPNLDKPRDTMKKQEILTVLEGTLYVGFVTSNSDNGNKLFAKVLNKGDVFVFPQGLIHFQFNPVHDKPAVLIAALSSQNPGAITIANVVFGSKPPISDDVLAKAFQVQKGTIDWLQAQFWENNHN >Sspon.08G0019460-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8B:12185859:12188195:-1 gene:Sspon.08G0019460-1B transcript:Sspon.08G0019460-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MWSSSSLPGDSSIVNDIAVLEKLQPHGNLEKLRIEGFRGDMFCSWMVNISSFLPNLVTVELSDVMNCEHLPSLGQLANMEVLHISDMPRISKVGSDIYGGARPFRKLRELEIKRMKNLEWITTLTTDDDRHLHRSHEYEIFPDLEVLAIIDCHSLRFVPAFPGSQRCTIERSCDVLSSEQYIGGSKLTSWSMEIKNYAFSPDDFKFLENTVNLEQLSFHSCKDLITLPDRIRSGHSLRKLEVVHCQNFSALPEWLGELTSLQELTVHAANLQLLSQSKPYLTSLDTLVVNKRNIEDVIITSKLPVLSFRRSDSNIVELHIDHLQIADDPIWYGDVSLKYNTFLRLMSLTNLVKLEVCSVITEHLQLMPSLTNLVKLELCHVYIKHLHLDQAQSLEELHISGRTNWSVRISCTGPLKKLKRIVMSELNNVKLQISMEVEGQGTDENLFPSLKDLVLCCCSNLWFEPSIPRSARYILSGREGQPGEDLCPSFRRIMGPSKPTSLSKMEIRLFSRGFSSLSWDGLRQFEIGELTIDGCSDEVPLPESIRGWTSLQKLQILNCESITMLPRWLGEITSLRELKVDAYNIVAIPACIQQLTSLQSLTLSKCGTLLVKGIKSGKDDKEKLERLRDLGVD >Sspon.03G0009710-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:32886701:32889862:-1 gene:Sspon.03G0009710-2B transcript:Sspon.03G0009710-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTTLSSAFTLLSRPSTSPSPTASLPRSSLAVPHSRRGRRAVAVASTVTESPKILELGDAIAGLTLEEARSLVDHLQERLGVTAAAFAPAAVVAAPGAGGAGAAEEEAPVEKTEFDVVIEEVPSSARIATIKVVRALTSLALKEAKDLIEGLPKKLKEAVSKDEAEDAKKQLEEVGAKISISGVSKALLAFP >Sspon.07G0005240-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7A:13395587:13395856:1 gene:Sspon.07G0005240-1A transcript:Sspon.07G0005240-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGYGTTLLPFQDVQPTVQPTLEQIEQAMDITTEPVGKMLTCKVSAAVWLQAAARGLLARRLLQEMRQPMHEATLATVDFSSAERDLAP >Sspon.07G0016960-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:61040020:61042835:-1 gene:Sspon.07G0016960-1A transcript:Sspon.07G0016960-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQSSTLRVAMDNNAATPQSTPPMHPELLMAACHGWHGQLTSLLNGEDHQAVPIEHRRPSNLAADASQAAVLVEIDIDRSNTMSSPLPTTTSSLLLQGVTSHGDSALHVVAAAGDDDRHLRSAMVIYGKARHLLEARNKGRSTPLHVAVRASNVDMLALLIRLAGEEEGGEERRRALLRVENGVGETALHEAVRADDMRAVAVLMTADPCLARVPDAGVSPLYLAVALRRYAIVRDLHARDNQLSYAGPAGQNALHAAMTELLLEWNKQLTKEQDEHGNMPLHFALSLEGEAHGMLPLYAVPVKKGKAIATLLNITEPTLELTRQLLEADEYSAFQPDRKGSFPIHIAASAGRLPAVIVLVTKFPGCAGLHDSDGRTFVHVAAKKKRYSIVAYACQTPALSTILNKQDNEGNTALHLAVEVGDWWIFACLFANKQVDLNLPNNNKQTPLELAVNTIPTGLYCLLNSRILIQETLIAANATRGVFRRDANMQEYSRQSDAENEEKGSAIVSNSTQFLSVGLVLITTMAFGATFALPGGYIADDHTHGGTPTLARVKQFQGFMMANTLAFFCSSLAVLSLVFAGTPTVELPMRYMHYNISIWLSLNAVGSLAIAFAIAVYILITPVAAKTSIAVIVVILSIGILHFPSITEKFTVLLLVLSIRPGILPLLRSSISKVMFLMCWPLIVIFGWQELSSRYR >Sspon.01G0007910-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1A:21947677:21950437:-1 gene:Sspon.01G0007910-1A transcript:Sspon.01G0007910-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATMDGSGAAAAAARRYTTQQQQAQAQLQHHQPQLGTVPHLLAGGVAGAVSKTCTAPLARLTILFQVQGMHSDVATMRNTSIWREASRIVYEEGFRAFWKGNLVTIAHRLPYSSISFYAYERYKNLLQMLPGLEKNGGFGADVGVRLLGGGLSGITAASMTYPLDLVRTRLAAQTNTAYYRGISHALYAICRDEGVRGLYKGLGATLLGVGPSIAVSFSVYETLRSHWQVERPCDSPVLISLACGSLSGIASSTFTFPLDLVRRRMQLEGAAGRARVYQTGLFGTFGHIVRTEGFRGLYRGILPEYCKVVPGVGIVFMTYEMLKAILTGLESDD >Sspon.08G0001860-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:5643261:5645170:1 gene:Sspon.08G0001860-1A transcript:Sspon.08G0001860-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding KHRLCHRNAKQGGQRQENASRKPIHELTISAHDEATSESITPRVETDGEVYPLRLWTMIKKRSGDTAALKTDMYTCTSGNYREDTESPRKNNIVITDEGDPNEAPETPGGASIEISGSSSFTSDQEGENNRAETYRDNNTVGSIDFLEAYRAYLGTSGSGSLLTSDQLRQNGYAEATRDNNNLGSINLPQVYGASLENGGSSSPLTSDQVRQNNLTEAARNNDTMGNNNFRE >Sspon.03G0024560-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3A:74726856:74728854:1 gene:Sspon.03G0024560-1A transcript:Sspon.03G0024560-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding EQERRHGGDHMAASPGYSPFLPSAPLVGPVSISLPASPTGGFDISSRMEAELQLERDAAVVDAPPRMMMLPPRAPAASAAMALAQPSKMVFRSQPIPAATAEGDGADRGHDDFARSASAPYAAAPAPAAKARRDSRDTSYDSFKTWSGKLEKQITTHLLGSSRLPPAQQQPQQGEEPEEDDTANDRRNSSMPRVQRFFAALEGPELDKLRVLLPMTSPSIRSGSDHTSTSTSESMSVAHANATWRASSLAAFPRLAVADDADEEADRGAVLWYWWQSSEELILPSDKTWPFLLRFPVSAFGMCLGMSSQAILWKRIAISASTRFLHITVKINLVLWCVSVALMLAVSALYACKLVFYFEAVRREYYHPIRVNFFFAPWIACLFLAIGVPDLVAATLPHWLWYVLMAPIVCLELKIYGQWISGGQRRLSRVANPSNHLSIVGNFVGALLGGIMGLKEGPMFFFAVGLAHYIVLFVTLYQRLPTSETLPRDLHPVFFLFVAAPSVACLAWARITGEFGYGSRVAYFIAMFLYASLAVRINLFRGFSFSLAWWAYTFPMTSAAIASIRYSSEVKNAFTQCLCIGLSAAATLAVAALFLTTVLHAVVHRDLFPNDISIAITERRRSKPLIGEKMLLRLRTAGGSRKLKQALSTAPSDAADLEAARVATTT >Sspon.06G0002880-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:7545248:7556653:1 gene:Sspon.06G0002880-2C transcript:Sspon.06G0002880-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LVVTSGAKGDGKLLLWNALRGELASDLNSNLRSQDMIYPSVDTMEFYSENHLACGSDCDYGGSAVVQLWDIDSPESYLSFSASDSYITSLKVNPAGNTLITGSGDGTIGLFDIRTCSAISHLSVGSGCEVTSVSFSNCGTYFSASSTSNNTLVWDTRLVPINQSKDVSRSRDMRFFRPLHCFSHGSQMPTAEYTSQLPGHVDEGDQGVNATQWLHNEPVLVTVSGDGSVGMWDVTLGQPCVRHIVAHTRCANAVAVAPNDEYLCTGGSDQKVVLYHNRSGRTHLNWPGEFSESGSCTLAETWLVQMDVEPGLILKPLLDGRLKLVKTKELTMQIQTSFAVANKHIHKVPLQEKVELMKLTLLGIPAQAL >Sspon.08G0016550-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:65124509:65129469:-1 gene:Sspon.08G0016550-1A transcript:Sspon.08G0016550-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVSVSVPRIKLGSQGLEVSAQGLGCMGMSAFYGPPKPEPDMIKLIHHAVASGVTLLDTSDIYGPYTNEILLGKALQGGVREKVELATKFAVSFADGKREIRGDPAYVRAACEGSLKRLGIDCIDLYYQHRIDKKVPIEVTIGELKKLVEEGKIKYIGLSEASASTIRRAHAVHPIMAVQLEWSLWSRDVEEDIIPTCRELGIGIVAYSPLGRGFLCSGAKLVDSLSEQDFRKHMPRFQPENIHKNAKIFEQVNAMAAKKGCTPSQLALAWVHHQGNDVCPIPGTTKIENFNQNVGALSVKLTPDEMAELESCAAAGQVLGDRYPQMANTWKDSETPPLSSWKSE >Sspon.01G0010590-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1B:36057600:36058868:-1 gene:Sspon.01G0010590-2B transcript:Sspon.01G0010590-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding QSQAQAVELEPNPAETPNPSSRLEPIDPPIRSDLMFPTVDDPSAAGAMVSSSFPDADAYGNGDSDDLDFPVDPNPNPVFSSSPVAAPAAAPASGSGAAERRPLFQRLWTDEDEIVILRAFAEFTAQRGTSFASHQYDTTPFYEDMRRRLNTGFTKNQLVEKIRRLKRKYRNCVERLRVAGAGFTFRSPHEQAIFEIARTIWRPASDKHGRDSDDEGGGGGGGNAHDAAFAMPPFGIDAAAPNGGESVKSPTSRPRRGRRRRTGDFPADAVAETLALPPAPMQMPVMTEDALPSYPQVTAAAVMDGGCGISVDPASGLPAALSAAAAATAVSGSSTAENPILAAMFKEMVRAMLSVGGGGTTALLGLLPPPPIAGVPMEGEKWRQQRIRELEVYLRRIDLLQDQARAALEELRSAPHAGGMNT >Sspon.03G0017650-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3A:54946708:54949194:1 gene:Sspon.03G0017650-1A transcript:Sspon.03G0017650-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLARVPSGRRREEAPPCCSAGEGNRGVNRKDLNGPKRGGRHGVRRNAPTREQRKRRLRRGRRGRGRGRGRGGFPADLGGGWSRTRGRGRAGAGRPGGGASGRRGCPGTACRSSRSNTSPRA >Sspon.04G0033140-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:45976132:45977752:-1 gene:Sspon.04G0033140-1C transcript:Sspon.04G0033140-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFVPGGLGVGLNVDNEKPTTCLNAALQEANPTSPILKREDILAYFLNQVARELSYKMHMKPSQAVSSLSSFDFFTGLVRRKMET >Sspon.05G0015170-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:57169134:57171411:-1 gene:Sspon.05G0015170-2B transcript:Sspon.05G0015170-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSIAKQLPALLLFRVREIDFHAALLERYRVLDFFTSGEALPAFLAAAAADAADPPRAALFVGGGTPARVDAAFLDAVPSLRFVCNTGAGMDHIDLGECARRGVAVANSGTVYSTDVADHAVGMLLDVLRRVSAAQRFLRRGLWPLQGDYHPLGTKVGGKRVGIIGLGNIGMLIAKRLQAFGCVISYNSRKPKESVSYKYFASSLSAFLAAAAAGPDPPRAALVAGSDSARVDAAFLDAVPSLRCVFSTGAGLDHIDLGECARRGVAVANSGTVYSTDVADHAVGMLVDVLRRVSAAERFIRRGLYHPLGTQVGGKRVGIIGLGNIGSLIAKRLAAFGCVIYYNSRTPKESVSYRYFPTVHDLACESDVLVVACALSRETRHIVNKDTEGRIAGAGLDVFEKEPKVPAELFSMDNVVLTRHCAAFTKESRSDLRDVTIGNLEAFFSGKPLLTPVLP >Sspon.07G0037300-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7D:52408641:52411586:-1 gene:Sspon.07G0037300-1D transcript:Sspon.07G0037300-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RVIVEDVLIRLPPCGPTKSPPSGHRKSPPSGHRKSPPSPPWRRIPPSGPSKPPSMEEMIDHSNLVLGVNEKLAVNGALSNRELAFLLLRFESSVQSPSLPYFSTPPGYKMRPPHRSALIPPFWSSQNRLAPPPQAPPPPPSAISSTPPSSSIPCPSSCPG >Sspon.04G0003160-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:10493339:10493693:-1 gene:Sspon.04G0003160-1T transcript:Sspon.04G0003160-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSLLQGYSSAEDDDDPAAGTELSESGDSNPDRRETRVRSSKAGPQARRRPNPKVGDASGGDGDSSLPSALEAFADVSGPPEFLRHRVAEPEEGTEALGVLDRRGKEGSKHPPP >Sspon.02G0027610-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:105667726:105673022:-1 gene:Sspon.02G0027610-2D transcript:Sspon.02G0027610-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LALPPRSTAESLFTGAGDASPGPLTLASALFPSSDADGGGGGGASSSSATGAATFTQLLTGSLAPPPQQQHEVERGRGGGGVARAGPALSVAPPASASAGASVFTVPPGLSPSGLFDSPGLLFSPAMGGFGMSHQQALAQVTAQATHSPLRMFDHLEQPSFSTAATTSGALQHMNSAASMAGISDMTMATANNENASFQSAEASQRYQVNAPVDKPADDGYNWRKYGQKVVKGSDCPRSYYKCTHPNCPVKKKVEHAEDGQISEIIYKGKHNHQRPPNKRAKDGNSSAADQNEQSNDTTSGLSGAKRDQDNIYGMSEQASGLSDGDDMDDGESRPHEADDADNESKRRNIQISSQRTLSEPKIIVQTTSEVDLLDDGYRWRKYGQKVVKGNPHPRSYYKCTFAGCNVRKHIERASSDPKAVITTYEGKHNHEPPVGRGSNQNAGISQQKGQNNISSNQASLPRPDFSNANQMPLGILQFKSEQ >Sspon.06G0026930-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:81617482:81618375:-1 gene:Sspon.06G0026930-1B transcript:Sspon.06G0026930-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SMGWLDMVDLLSILQTICGLGMKITVLVETFRHNEEDCRRLRQLIFRVSEIAKCLLQQLNRTTYIVEGDKHPMMSGAFMGLKEALEHGHRDIVVACQPKKGYLNDLMSIIKADDIAKELRRVSDDILSNMMVAILATVVCHFSVTAKTNRSDDALRADVKDLVNTVRARDASSSSKMVSLSKQIVDTLPRVHLPPEVSSSLQVSFNPETT >Sspon.05G0008730-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:3467884:3469495:1 gene:Sspon.05G0008730-2D transcript:Sspon.05G0008730-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMETLQALELFAGIVLILVTVLLFRHLRPDAPRTSTSSPPRPRGLPLIGNLHQLGRLPHASLAALAAKHAAPLMLLRLGSVPTLVVSTADAARALFQPNDRALSGRPVQCASTRLSYGLQDITFSAPDSPLWRAARRACLSELLGAPRVRGFRDAREAEAAALIADIADASSTARSPVNLSEKLTSTSNRIVMRVAFGDYGEETTAVLEESQKHFGAFFVSDYVPWLGWVDALRGLRRGLERYFHELDAFYERLIHDHLSKQAGSKEEDLVDVLLRLHQDPAHQSTFGNRGAVKGILMDIFLAGTETSAAALEWTMTELIKHPDILSKAQSEVRSAVDGKDMVREADLPRLQYLKSVIRESLRLHPPAPLLVPRETTEACTVRGYEIPAGTRVIVNAKAIGTDPDAWGPDAARFVPERHLAEGVDLSDHKPWHDGFALVPFGMGRRSCPGVHFATAVVELLLANLLFCFDWRAPLGEVDVEEKPGLIVSRNNPLVLVPERKCVK >Sspon.08G0012410-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:59275867:59277386:1 gene:Sspon.08G0012410-1P transcript:Sspon.08G0012410-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFTPATACCKPSLVLAPRAASSRGSAARAQAALLCTPSTSAFRGLRAPASAAPAPPRWRRSAASTGIVCGKVSKGSVPPNFTLKDQNGKPVSLNKFKGKPVVVYFYPADETPGCTKQACAFRDSYEKFKKAGAEVIGISGDDAASHKAFAQKYRLPFTLLSDDGNRVRKEWGVPADLFGTLPGRQTYVLDKQGVVQYIYNNQFQPEKHIGETLKILQSL >Sspon.03G0004460-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:30084694:30086790:-1 gene:Sspon.03G0004460-3C transcript:Sspon.03G0004460-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGERRRRASAASLGGGGSGVAPARAEEDKATPAPGKAPPPTVWFALKRSLHCRSEPSEVHVPRAKAGPVTGGGGGAAVGGAHLSSIVTKRATRSGCSRSIANLRDVIHGSKRHPGQPPSSCSPRSIGSSEFLNPIAHEVVLSTNSRCELKITGFGAGCGGLAAVGVGAGTGAGGGAAHDADGGVVSSFVGTLRPGTPGPAWAGAGGHGLPYSGSVRGGGVRCTPPRSPNVLLERNGSVVNGNGHRASCDETGTKHGAGKGSSGGLSCHRCGEQFSKWEALEAHHLSKHAVTELVEGDSSRKIVEIICRTSLLKSESSCIRIERVFKVHNTQRTLARFEEYREAVKLKASKLPKKHPRCLADGNELLRFHGATLSCALGGSGSSSLCASDKCAVCRIIRHGFSAKKEGKAGVGVFTTSTSGRAFESIEAGDDGADQQLGTTTRRALLVCRVIAGRVHKPLDNLKEFVGQTGFDSLAGKVGPYSNIEELYLLNPRALLPCFVVICKP >Sspon.01G0003820-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:10751157:10754446:-1 gene:Sspon.01G0003820-1A transcript:Sspon.01G0003820-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWWGGGEAEPFLSVDKCDVSRLGRGSTVVADLDGALLRSPDAFPYYALVAYETGGAARLSLLLLLSPLAAALRRAVSESAATRVLVFAATAGARVADVESAARAVLPRFYAADVHPDAWRVFSACGGRRLVLTAAPRVMAEPFLRGYLSADAVAGTELAAWRGRATGWVDARRGGVLVGESKAQALREMLAAGEMPDVGLGHRRSDYAFMSICKEAYLVPRTPVAAVRADKLPKRVVFHDGRLVQRPTPLVALLTVLWFPIGLLLSLVRVAAGVLVPMRWLHVAFHALGVRVVVRGPPPPPPRADGDAARTGVLFACCHRTLLDAIFLSVALGRPVAAVTYSLSRLSEFLSPIRTVRLTRDRAADAATIRRVLAEEGDLAICPEGTTCREPFLLRFSALFAELTDDVVPVAVECRISMFQGTTARGWKGMDPFYFFMNPSPVYTVTFLDRLPPELTCGGGGKSSHWVANYVQKLIASTLSYVCTGLTRKDKYRELADNDGVVPVNTDDGGKKRMA >Sspon.01G0061180-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:91714055:91714512:-1 gene:Sspon.01G0061180-1D transcript:Sspon.01G0061180-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAATTTTASRGPTRALAILGRCVRAPFRVLVRARDLYSHGFYRSAASGGTDEDVRELIRAATRAGPPRVGPRSQSVAIGRIDEDSPCEFGLDSEERAQALMGPRSKSCAVGPSARTAARSRSRAAGVAAA >Sspon.02G0015940-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:37639970:37644105:1 gene:Sspon.02G0015940-4D transcript:Sspon.02G0015940-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:THO complex subunit 6 [Source:Projected from Arabidopsis thaliana (AT2G19430) UniProtKB/Swiss-Prot;Acc:Q8L4M1] WARRGQNSGLVETSPAQAAVAFRIFPDSLRFPKQQRSAQSKAAMATAAAAAQSHPASMDARGWDEASYRRGILRARDLSSRTLFRAVFFDNSDDPDPDVLLAAASSDGSLASFSLSSCISAGSAASQVETAATLVDPVCIVQAHSGPAYDVRFYPDPQQPLLFSCGDDGRIRGWRWHEMQSCLVPLSLQGDHLEPALDLVNPQHEGPWGARSPIPENNAIAINKQDGSILAAAGDACAYCWDLETGKCKIKFKGHADYLHSVAVRESNHQVVTGSEDGTARIWDCRSGKCTQVIHPVKSKAFDSSWVSCVAIDASESWLACGTSSGISVWSLLSNECIFNLDCHAPVQDLLFDKNQILAVGAEPMLSRLTINGTVLSQIKCAPQSAFSVSMHSS >Sspon.01G0006250-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:16211059:16213762:1 gene:Sspon.01G0006250-1A transcript:Sspon.01G0006250-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTPPVEVPAPRALPRTSSLPVLAEASEVGRQQGQAGWGSCRDGGGLGVEHAVRLPASGSPSSASSGGDGQRLQGTLMRTSSLPAVIEAAGNDERKKRKEAQSLKRLEVKKKRIERRNSLTCNTSKEAAGQIPEEMNAHADKLVSSDEAVVMNNENHSSGKHLVKGLPPKYQATIASQDSLSAVGKKPNSAFKAITKEHSPSSSVPSSDEAISNVTAASPPPSSLPPRTATLGSRGDQSILGRAASRANSMGDVERRIMQEMPGVFTKGLPNGNRVEGFLYKYKKGEDVRIVCICHGSFLTPSEFVEHAGAGKVDNPLRHIVVSPTPNL >Sspon.08G0028230-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8D:6820441:6820860:1 gene:Sspon.08G0028230-1D transcript:Sspon.08G0028230-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNEIPVGPTDAPKQRATDLSRAVCARLTEGGWVGSAVTMHAAAAAVAVKRNTNRGVASGPAVRVSRVPFVACNCCLSDPSAHAARGLMARGWQFAFAEVIAVRMAALLPGRVLAGRRGGTSTTDLPVADSEQSPTPNA >Sspon.01G0032430-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:112925862:112927138:-1 gene:Sspon.01G0032430-2D transcript:Sspon.01G0032430-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRRPATWEQAADDYDYLFKVVLVGDSGVGKSNLLSRFTRNTFSLDSKSTIGVEFATRTIQVDGKTIKAQIWDTAGQERYRAITSAYYRGAVGALLVYDVTKAATFENAARWLRELRDHADGRGAAVMLVGNKTDLAKQRGLRAVSRGDAAALAEREGLSFVETSALDATNVDAAFEQLLTEIYRAVSRKALAAASAADEDAVGEGQAIQVTAAGGSGGLTTRCCAF >Sspon.06G0001950-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6B:5089164:5089967:-1 gene:Sspon.06G0001950-2B transcript:Sspon.06G0001950-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial transcription termination factor family protein [Source:Projected from Arabidopsis thaliana (AT4G38160) UniProtKB/TrEMBL;Acc:F4JSY9] MASGVSDGSGKSLTHWLRENGFDEDAVARMSRRCRNLHSLDAGEASGVWDYLLTGVKMERRKLRHVVAKCPKVLTLSVDGKLVPTVQCLATLQARPGDVAQAIAKFPQILFHSVEEKLCPLLAFFQTLGVSEKQLAKLLMVNPRLISYSIEAKFSQTVDFLASLGIDKEGMVGKILTKEPYIMGYSVDKRLRPTAEFLKSAVGLQGPDLKRVIMSFPDILSRDVDKILRPNLAFLQSCGFSRNQVTALVAGYPPVLIKSIKHCLSQG >Sspon.03G0015160-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:48541704:48543211:1 gene:Sspon.03G0015160-1P transcript:Sspon.03G0015160-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPKPEVTEEAKMDLLEDDDEFEEFEIDQEWDDKEEGNEALQQWEDDWDDDDVNDDFSLQLRKELESNASKN >Sspon.01G0031520-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:106119399:106124544:-1 gene:Sspon.01G0031520-2B transcript:Sspon.01G0031520-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASWPAASRRQSSSSSRKSAELSGPGASYGHGRAASSPDAYGYGRQLSSYSTRSSQVSTSGSFSAAAQRVAGAFTSCFVPRRQVTTEEEEEEKKSRRSECHVSIDSGSWQEGRALTIADVSKATSNFSEKNMIRQGRSSTMYRGKLKDGSQIAVKCVRKLNGQNLTAELWRELETLEKIEHRNLARLFGFFERTVDSLVVAEYVSNGSLREHLDDLAQRLNIAIDVAQGITYLHEYKEHPVIHGGIRSSGVLLTDALTAKALQRCRAGEAVVAMDPRMRRSPASVAAVERMLALAAQCVATARDDRPSMRRCSELLWAIRRDYHRQDETRCAAVAEERSDEWVVSLAAHRRQE >Sspon.03G0043850-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:84350465:84351972:-1 gene:Sspon.03G0043850-1C transcript:Sspon.03G0043850-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding EEICLGSFWCIRLTPVGRKLQGVKYELRTLPVDAKAVTDGDTITVYVNMAHHPESSHVPQEVREAGIERSKALAANNYQRAGVLLKIILDAGYRSLKKKSRIHNNQVCGIGGEQILAKKYRIRLRGIDAPESLMPYGKEAKEELVRLVQGKSLKISIYDTDWYGRLVGDVDCDGVFVQEHMLKKGLAWHYTTYDHRMELSKWENQAKTRRTGLWASSNPEKPWEWRKNKRTGTAAT >Sspon.07G0009170-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:22372200:22391754:-1 gene:Sspon.07G0009170-3D transcript:Sspon.07G0009170-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RVTDWVSQCKELLEKRTSPEATKALELISNALHICPHSDSLKEMKADALLMLRRYEEVIELCQESVNPTERSSFLFKDNGEPKNSRVSEQTKFSGRYWRPYLICKSYFLSGKLDEALELLKKHEQVTPVKESDVSTYQEKFSSLSATIKELLSLKAAGNESFQAGRYSDAVKQYSAALACNSESRPFSAVCFCNRAAAYQALGQVTDAIADCSLAMVLDTNYPKAISRRATLYEMIRDYGQAANDVRKLISLLEKKVNVSGISPKAFNKHKDLKQAHARLSSIEDEAKNDTPLNLYLILSIFVHKGGLSFYHTHRVTDWVSQCKELLEKRTSPEATKALELISNALHICPHSDSLKEMKADALLMLRRYEEVIELCQESVNPTERSSFLFKDNGEPKNSRVSEQTKFSGRYWRPYLICKSYFLSGKLDEALELLKKHEQVTPVKESDVSTYQEKFSSLSATIKELLSFKAAGNESFQAGRYSDAVKQYSAALACNSESRPFSAVCFCNRAAAYQALGQVTDAIADCSLAMVLDTNYPKAISRRATLYEMIRDYGQAANDVRKLISLLEKKVNVSGISPKAFNKHKDLKQAHARLSSIEDEAKNDTPLNLYLILGVEPSCSTEDIKKAYRKAALRHHPDKAAQLLVRNENAEDGFWRDIVKEVYADADHLFKTIGEAYNVLSDPGKRQEYNFEEDLRNARRVSSSRSMHRSPEQNYSNRGFNPRQWQSSRTSRSRWYSNSDDYYW >Sspon.03G0023560-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:72221432:72226914:-1 gene:Sspon.03G0023560-1A transcript:Sspon.03G0023560-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFIRVVESAVCIWSAVTSIRSDVKAPQASGAIPLISKGASYALSFLFIYGSPFLAVRSVLHPSDARHACSTPPRCLPLQRLLAWLSRNHHAHYRQPYPSPLRPFHAQMPRTAASQPPPALCPVCDLHRVRPPQTAASPAARRPQQRRRGAMRPRTNAGPPPGISVAACGGVASPSCLRPAAVTPHRSAPPAPSAGHVARPAGRRCAAPHQRRRGAPRLRSSAARLRPSPSRRFVLAHSCSGDGRA >Sspon.01G0037750-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:25536658:25538538:-1 gene:Sspon.01G0037750-1T transcript:Sspon.01G0037750-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSALRAAWSEAQQQKPPPQQPQQAGGGYRAHQHKGPWTEAEDVILREMVMRHGDRKWAVISQSLPGRVGKQCRERWTNHLRPDLKKSVWTEEDDMALIKAHKRCGNHWSTIATFLPGRSENAVKNHWNATKRSLKAKRRLKKKNNAQQVPPGQWSILEEYIRSVYPDLADVAAPTRRRRMTPLRRLTTTSGRTRTAASSPQSSAAAAAAAAAAAAAAPPGSAFDQLTAMGLYLGASSSSAPPSANLGAMNSDAVAPFLQLDLNTYYGAAPTMQLMAPMGPHMMEHDHHHHHQSAAASYAAANLITYPFVDNMMWHSPFAAHHASSTYGGDAGGAGPSNAGGAAVPDDVDVVQMASREFLTPSEDEVTLDLARFH >Sspon.02G0051110-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:72718022:72720215:-1 gene:Sspon.02G0051110-1C transcript:Sspon.02G0051110-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MERTKKPCLGVTLLLFLLLVSAAHGARLADAKDVAALAFYDSAAAGDGEKVTVRTGHGHGYSSHSGGHPNGGTPEQGGTGVVDPRNNNARSHHRNGAASRALGYSSWLICTLVGGVVMLLLV >Sspon.07G0000960-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:7206414:7209312:1 gene:Sspon.07G0000960-3C transcript:Sspon.07G0000960-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAIRVPKTQRARRELLKHAPKLVETSKKTLILHGTKTSAVLNSVLSDIYHLKRDNAVKYTKKNDNIRPFESGGESSLEFFSLKTDCSLIVYGSHSKKRPNNLILGRTYDHHIYDLVEVGVENYKSMESYVYDKKLAPKLGSKPFFAFIGEHFESAEELKHLKEVLLDLFRGEVVENLNLAGVDRIYVCTAISPTTVYMMHCALRLKRSGTSIPRMELVEVGPSMDLVVRRHRLPVESLKKEAMKTAEHAKKMKNVTKDPVQGRLGKVYMPDQQVGKLTLSNDIKGLKRERREAKKNKEASKKQKVNPE >Sspon.04G0004100-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:12889214:12890301:-1 gene:Sspon.04G0004100-2C transcript:Sspon.04G0004100-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLVARQGRELQRYSQSTGGRIVVGCIPYRVRPCDGELEVLVITSQKGHGMMFPKGGWEVDESMDEAARREALEEAGVLGDTEQVLGFWHYKSRRYVDQTYEGFMFPLRVAEELHQWPEMASRKRTWATVQQVMDGCPHWWMREALEQLVARHAVLQRRGARSRRLRRERTGSASHHGSVCARHGSLSVQRQAGRPRRPGARIWSPSPETFWRCFLDPLRAEPGVVAGK >Sspon.08G0013560-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:55031533:55038812:1 gene:Sspon.08G0013560-3D transcript:Sspon.08G0013560-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ERRPVAGQRAAGGDPPSSWRPIAAPLRPCSEHPWPGRLTVESADSWAASGQRRRSPAASPPRSRRPSPLQQKLYAPAPSIPGLLTVESAGFLHLSRIQSIRNTDRAPRSSGRRNNVVSWISEVKDTSNVAIRKKRGTRAPPSAKRLHDKRVDKAIESDGAVDSDSDDTDGQVGKSQPSAHIEEQEGPSSASEEQDHSTNSKDMLQKASSQNTKKVSRSSTSSKQGASHLEQEGANEDGSHVQVAAVYKDIGNERSSQEIRDDVSDAQVDTTSSNDKSSEEVEDVKVCDICGDVGEEEKLAVCSRCNDGAEHTYCMRVMMEEVPDSEWLCEDCQTAVESEKENRLEKSQVKVGTSKELSLEGEINRPPIAAKSRNSSDCKLKAENVEKKESDTTNEGNDTVETRTEEDAAMTSSIRDTIPETGGLYTVTDSRKRMQPSHEIFMFDADKGKQPSHQVATSLAFNALKNQAPQPRGQLSKSTSFNNSKVPKVKQLLNEVPQKPKILKESWSSIIKKEGPISMTTKSATFKKPKPCEPSNKAKSSVLPPAEEPMVTNQLVSHNVTNGQCSSMLGPPSATASMVAPVLLKTDTTAQPLSTRNNTADSNNLGAIHVQAGKNSLGNSELKKPPLAKVPGSTMLSNAERSSCGILGSGAHRIVIQNSDSSHQDTKIKDQTGFRQGASSSNRNVKKPSLSTQANEQDMRYILSIPGSGVDYSKLKFKDNHPSLSATPGISSDNGCIMPNDHRDEPAQGVSAGDEPLFSTVPELDWIWQGGFELQRTGRSPELCDGFQAHLSCSAAQLVLDIVKKFPSKVQLEEVPRQNSWPTQFQENGPTYDNIGLFFFARDVQSYEKHYSKLVENMLKNDLVLRGSVGTVELLIFPSNILSKNFQRWNMFYFLWGLFRVGKKDSSNLPSYVSTSRLERNFNDDPQAMDWSTTALSSTHSFSQNRSGFGEILVKSTNFAPSLEASHEVCLNGENFLNQPVCGRGLDDHLDSDTETSSAISNGGMGPSSMSMQRKYQKLDYPKHQDKMRDTFGGNVSERDFDVNTVPVTCSVSLTHLQEEPGTVSTAINLNDADNLMDIDHVNTYEVRAGALDRSHASGGADKRSFEMAKVADEVPEHKKIKLDNVVSMNSDLYKNAYNGMLSSKVHSLPASSVNDGTSNKPMAGSSSSDGKCVFPLDLNAVDDAVSETS >Sspon.05G0022870-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:4196833:4199501:1 gene:Sspon.05G0022870-1B transcript:Sspon.05G0022870-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDTLDSGSNITDGATLVSAGGSFTLGFFSPTGVPTKRYLGIWFTASPEAICWVANRETFLSNTSGVGVLVIGSTGSLRLLDGSGRTAWSANTTSSDAPVVAQAQLLESGNLVVRDQSGGHVLWQSFDHPSNTLLAGMRFGKDPQTSAEWFLTSWRASNDPTPGGYRRVLDTRGLLDSVSWKGNAKKYRTGPWNGLWFSGIPETASYKEMYSAQVVVRPDEIAYIFDAAAGTPFCRLVLNEVGVVQQLGWDPVSRVWNVFTQAPRDVCDDYAKCGAFGLCNVNTASTLFCSCVVGFRPVNPSQWSMRETRGGCRRNVALECGNGTTTDGFKMVRAVKLPDTDNATVDMGATLEQCRARCLANCSCVAYAAADIRGGGDGSGCVMWTDAIVDVRYVDKGQDIYLRLAKSELGKHSYISQCESRASFIIQIFPWCNMHVSAVEKKKSNVVLIILPPVTACLLALMGMFLVWIWRKGKLRGKRRNMDIQKKMMAGHLDETNTLGDENLDLPFFSFGDIVSATNNFAEDNLLGQGGFGKVYKGILGENREVAIKRLSQGSGQGIEEFRNEVVLIAKLQHRNLVRLLGCCIHGDEKLLIYEYLPNKSLDCFIFGMYKLVVFYTEEKKKVVIVTTLIYLLTLLIIPYIDAARKKVLDWPTRFRIIKGISRGVLYLHEDSRLTIVHRDLKTSNILLDADMNPKISDFGMARIFGGNQQEANTNTVVGTYGYMSPEYAMDGAFSVMSDTYSLGVILLEIISGLKITSTHSTSFRMDLME >Sspon.08G0025410-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8C:9164192:9164455:1 gene:Sspon.08G0025410-1C transcript:Sspon.08G0025410-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding NRKLSGLDTVDSVLETGSVTIRRWKKHLTRWSHRSAAQERGKLLLPLWADLGRAGCVAGPVRAQGGRPTTKKSRPAISGLLAYQVSR >Sspon.05G0009440-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:27163647:27164408:1 gene:Sspon.05G0009440-1A transcript:Sspon.05G0009440-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQKIVIRLSMASDKCRSKAMVLAAKADGVSKMGITGDSKDQLEVEGNDIDTVCLVNCLRKKIGRADIVKVEEVKPEEKKSEEKKPEEKKPEVVPLPYCWYPNYYHYHPQWQW >Sspon.02G0037970-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:42513772:42515870:1 gene:Sspon.02G0037970-2C transcript:Sspon.02G0037970-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:[Fructose-bisphosphate aldolase]-lysine N-methyltransferase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G14030) UniProtKB/Swiss-Prot;Acc:Q9XI84] MATLHHHHLLPLQRLPNSPRPPPPRLRLRLPTKPSRPHSRLLPRAAASTAATVSALEDFRRWLASHSAGDGGKAFPAAVPEGLGLVAAWDLPRGEVVAEVPKKLWMDADAVAASDIGRACGGGGGLRPWVAVALLLLSEVARGADSPWAPYLAILPRQIDSTIFWSEEELLEIQGTQLLSTTVGVKEYVQSEFDSVQAEIISTNKDLFPGSITFDDFLWAFGILRSRVFPELRGDKLALVPFADLVNHSPGITSEGSSWEIKGKGLFGRELMFSLRTPVDVKSGQQIYIQYDLDKSNAELALDYGFVESNPSRDSYTVTLEISESDPFYEDKLDIAELNGLGETAYFDIILDEPLPPQMLPYLRLLCIGGTDAFLLEALFRNSVWGHLELPLSPDNEESICQDEELSERENLQPRLTIAIGVRAGEKKVLQHIDNIFEQREEELDGLEYYQERRLKDLGLVGDNGEIIFWES >Sspon.01G0011890-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:31767121:31771942:1 gene:Sspon.01G0011890-2P transcript:Sspon.01G0011890-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLRDGDSNGSGGLRPAENKRWCAVTGGRGFMARHLVAALLRSGEWHVRVTDLALDVMLGLGDTEDVLDDALRDGRAVYVSADVCNLDQLIEAFEGVEVVFHTAAADPSKNNLQLHYKVNVEGTKNVVDACMTCNVKRLIHTSSIAVVFDGVHGLLDANESLPYPDQFPDAYGQTKAEAEKLVMKANGINDLLTCCIRPGSIFGPGDIVILPTLDQCGKTHFIFGDGKNCDDFVYVENVVHGHICAEKTLSTMEGAKISGGKAYFITNTEPMNMWDFLYLLQEELGYKRLFKIRIPLLVIKAVSYLVEWGYKVLQHYGMCQPQVLTPARIKYLTVHRTFSCNKAAEELGYKPIVTLM >Sspon.06G0013700-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:71074261:71076650:1 gene:Sspon.06G0013700-1T transcript:Sspon.06G0013700-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATATAAAYHCNAILHLPRPPHFRRLPPLPVPALVTRRAASFGLGAARLGPKVRASSVPPPPPFFETVEEEEEEEGGWSDAEAGFSDEAEDEQEWAGGNGAARGEDLGADAGEDLSGWARQWPRPRELFVCNLPRRCDVQDLLELFTPHGTVLSVEDLLVCVLMLTPQVVYTSQISRDAETGISRGTAFVTMRSLAEARTAINVLDGFDLDGREVFVKLASDVISNRKNVNLSHITPMKDHIFESPYKIYVGNLAWSVQPQDLRELFTQCGTVVSTRLLTDRKGGRNRVYGFLSFSSAEELEAALKLDRTVFYGRDIVVKEAIVERQTR >Sspon.02G0035510-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:21962943:21967268:-1 gene:Sspon.02G0035510-2C transcript:Sspon.02G0035510-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRPPSPPPLYSPLPPLSTSYTWAQILAGTSPSPGAPSPPLAGAGPIRPALSGAGVAVPATGALPAAISSCVLPSSHLLQQRLPIPAARRPSLEAPPPAPGAMAGGPVAAAPPAMGAAAPGAAAALGAVAARAFFPSMLDACSPPAPSSPPCWPSAAPQPWAGPSSPPCWPSAAPQPWAPVVAAAPPASPVAAGPLHLPWAATTSGAATSPVTVPFPAAPAPSGSGPTSPPPPWISPWPWSRPPPTTRAMRRCRVARRASSAPYPLPSAQSPPPQPPAHLPGAATTMAAGTAAVGAGGSGAANLAYSFSITASPDWIADSGATFHTTPDAKLLSSLRPPHPSCPSSIMVANGTCLPVSSVGTASSHGSFHIPDVLVAPGMVHNLLSIRRFTTDNSCSVEFDPSGLTVRDLASRVPRLRCNSTGPLYTLRFPASVSPPSPSSAAFAVTPSSTTWHRRLGHPGRDALIRLEHSSGIRCSRAHDDHLCHACQLGRHVRLPFQSSSSHTTRVFDLIHCDLWTSPVLSMSGYKYYLVVVDDFSHYSWTFPLRAKSEAFPTLSHFFAWVSTQFGLAIKAVQCDNGREFDNSTSRAFFLSSGVHLRMSCPYTSSQNGKAERMIRTTNDIMRTLLLQASLPARFWAECLHTSTYLLNRLPSTACPALTPHHALFGTPPRYDHLRVFGCACYPNTAATAPHKLAPRSTLCVFLGYSPDHKGYRCFDLTSRRVLISRHVVFDESAFPYSAHSPPTPPADPAEASFFPTDPAIPPPFSPYPAGTAPAHFPGGPASPQDLPVPDTVEAAPELPHSLPVASLPPVVPDAAVPIAGPRTPTPPPPGRFGLVYQRRREPRPPSPPPGRFGIVYERRRELAPPLSSPAPSSPVLAPPASPRSRADPPVYHPPLLHRDPRHTHPMPEISPVPSSVRAALADPHWRRAMDEEYAALLANQTWDLVPRPPGSNIVTGKWIWTHKRRADGSLERYKARWVLRGFTQRPGIDYAETFSPVVKPATVRTVLSLALERSWPVHQLDVKNAFLHGTLTETVYCSQPAGFVDGSRPDFVCRLNKSLYGLKQAPRAWYSRFATFLQTLGFTEAKSDTSLFIYHYGGETAYLLLYVDDIVLTASSDSLLHRIIASLQKEFAMKDLGVLHHFLGVTVEPRPSGLFLHQRQFTCDILERAGMTACQSCSTPVDTQGKLSEAGGPMLGPAESTAYRSLAGALQYLTFTRPDITYAVQQLCLYMHAPREPHLAAMKRLLRYLRGTLGYGLLLGRSSTAELVVYTDADWAGCPDTRRSTSGYAVFLGGNLVSWSSKRQPVVSRSSAEAEYRAVANGVAEAAWLRQLLAELHRPLARSTLVYCDNVSAVYLSTNPVQHQRTKHVEIDLHFVRDRVAIGDVRVLHVPTTSQFADIFTKGLPTSTFSEFRSSLNVSSG >Sspon.03G0012450-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:44633414:44637930:1 gene:Sspon.03G0012450-1P transcript:Sspon.03G0012450-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPPRLAALLLLATLLLLAAGSAAGSVFQVRRKFPSGVGGDAGANISALRVHDGHRHGRLLAAADLPLGGLGLPTDTGLYFTEIKLGTPPKRYYVQVDTGSDILWVNCISCEKCPRKSGLGLDLTFYDPKASSSGRTVSCDQGFCAATYGGKLPGCTANVPCEYSVMYGDGSSTIGFFVTDALQFNQVTGDGQTQPGNASVTFGCGAQQGGDLGSSNQALDGILGFGQANTSMLSQLAAAGKVKKIFAHCLDTIKGGGIFAIGNVVQPKVKTTPLVADMPHYNVNLKSIDVGGTTLQIPAHVFEAGEKKGTIIDSGTTLTYLPELVFKEVMAAVFNKHQDIVFHNVQDFMCFQYPGSVDDGFPTATFHFEDDLALHVYPHEYFFPNGNDIYCVGFQNGALQSKDGKDIVLMGDLVLSNKLVVYDLENQVIGWTDYN >Sspon.01G0046440-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:86357675:86358851:1 gene:Sspon.01G0046440-3D transcript:Sspon.01G0046440-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDTMGGTRGLLGQAREDEQARNVVVGAGALTVARDYRRGNWTLPETMLLIEAKRKVHEERHPGDQGLARWRWVEDYCWRAGCRRSQNQCNDRWDNLMRDYKKVRAYELSGAGAGAGGKAPSYWAMGRPERKERGLPSNLLREIYDAMGEVIERRMSMGCGGSSGGPGGAFTAAASSSSLLDVPMQASPLAQVLPRPLLPLEQETHGHSSPESPERKRRRPSLDELRPGSSTPSAPGTHGHRQEQGRHREEEEEEDDDDDESSEAEYSDDDYNVLSGAIGRCAAILSEALESREAAEERRHREVMAVEERRGRARQARREAGEQCVAGLASAVNQLAGSMLALAAAKHKDKGGGPAAPK >Sspon.08G0028960-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8D:19309630:19309853:-1 gene:Sspon.08G0028960-1D transcript:Sspon.08G0028960-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding IDRGSGSALRLGRRPSLGPLLSGSQPNSRELVGFWGMRHQSARWSGAQAAPSRESVQPPRPLWGLLASEGGNF >Sspon.06G0010290-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:44075220:44078079:-1 gene:Sspon.06G0010290-2B transcript:Sspon.06G0010290-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGKVTLEAVRKAQRAEGSAAVLAIGTATPANCVYQADYPDYYFRVTKSEHLTDLKEKFKRICHKSMITKRYMHLTEGFLQENPNMSSYSAPSLDARQDILIEEVPKLGAAAAEKALKEWGQPRSQITHLVFCTTSGVDMPGADYQLIKLLGLSLSVNRAMMYHQGCFAGEW >Sspon.02G0008430-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:24719311:24723515:-1 gene:Sspon.02G0008430-1A transcript:Sspon.02G0008430-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFMSSISICIAALLLFPALCTSDDRLVPGKPLLPGTTIISDGGEFAFGFFAPSNSTPENLYLGIWYNNIPRFTVVWVANRATLAISSSAPSLVLTNNSNLVLSDVNGRVLWTTNTTTAGSSSPSPRSNTNGSVAVLMNSGNLILRSPSGMMLWQSFDHPTDTFLPGMKIWRSHKTQEGNRLVSWNGPEDPSPGSLSSGLETDPFIQGFVWNGSLPKWRSSVWTGYTVSSQYFQPNTSVGVYVTYVDTTDEVYMAFTVSDGAPPVLSVMSYSGRVETRIWNRDSSDWAVVAVSPDYYECSRYSYCGPSGYCDHTDATPTCKCLEGFEPVNKEEWSNARFSRGCQRKEALRCGDGFLALSDMKAPDKFLRIGRKTLKECAAECSGNCSCVAYAYANLNASTANGDATRCLVWIGDHQLVDMQKMGVLSYSTAGKRTKTNTIRIILPILSGVTVLTSILLIWVCKFRGMFSILYTDLSYLRTNTNQLLGVGRERNLENRKTLNHGGFASDELGEEKTTDDFELPFLKFQDILVATNNFSNTFMIGQGGFGKVYKVLYDGNCARNAPLDWPTRFKIIKGVARGLLYLHHDSRLTIIHRDLKASNVLLDAEMRPKIADFGMARIFGDNQENANTKRVVGTYGYMAPEYAMEGIFSVKSDVYSFGVLLLEIVSGIKISSVDRIPGYPNLIVYAWNLWKEGKAKDLVDKCIVENCLPDEASLCIHMGLLCVQENPDDRPFTSFVVFNLENGCTTLPTPNHPAYFSQRNSDIEQMNEGIMNTKNTMTLTVIEGR >Sspon.08G0000580-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:414217:414936:-1 gene:Sspon.08G0000580-3D transcript:Sspon.08G0000580-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MCKQVGAVIASAVYDEKDSVGRAYFLIHDLYPGSKMTLHFTGAATGAPALPRARAESIPFTSTKIPEILSRLSVPAGSPAAAAIRSTLKECEAPPLDGVVAQRCVTSFESMADFAASCLGTRDVRAATMTKLSKDGGATPRQAYVVESVRPMPVSGGDMVACHRMPYPYAVFLCHTTTATLYTVNLAGADGTKADAGTACHKDASPGISWPTFKQLGVAPGTVPVCHFLPQDSMLWMRN >Sspon.01G0063220-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1D:111788604:111788940:-1 gene:Sspon.01G0063220-1D transcript:Sspon.01G0063220-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding WLLCLSLPLATTNPVQKKARRLPTTQSVCAGSKGKAARRGSSSRHGATAARHGSCQHPEKAGSICPARPPSGRAASRRQRRREQQGQGVPALPHISNGRARAWAARARWRGR >Sspon.03G0023990-1P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3B:96774460:96777736:1 gene:Sspon.03G0023990-1P transcript:Sspon.03G0023990-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADHARLLPALAGVLLCCCSLAQCRGGGGGGQNYTSMFSFGDSLTDTGNLLVSSPLSNHIVGRYPYGMTYFHRPTGRCSDGRLVVDFLAQAFGLPLLQPYLQSRGKDLRRGVNFAVGGATAMDPPFFQEIGASDKLWTNLSLSVQLGWFEQLKPSLCSSPKKCKEYFSKSLFLVGEIGGNDYNYAFFKGKTLDDAKTYVPTVAAAVTDATERLIKAGATHLVVPGNLPIGCSSAYLTLHPGRNSSDYDAAGCLKTYNDFAQHHNAVLQQNLRALRVKYPQARIMYADYYGAAMSFAKNPKQFGFTEGPLRTCCGGGGPYNFNPKASCGVRGSSVCTDPSAYANWDGVHLTEAAYHAIADSILNGPYTSPRLL >Sspon.07G0038040-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:67874317:67877638:-1 gene:Sspon.07G0038040-1D transcript:Sspon.07G0038040-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASATSGADAAAGLSHLRPRQHWRLLPLRAPGKGPMDVRDAQAKARDSRRPQGRRCRLRSAMVQGIRFVPTLILIPRVQVGIGRTQDAGGGVEFKRVDDEVAGMKAKVLTTQRQLMRDLEVLPLVEAAASAKSINGNGTCRRAELRGFRQVVAAKMVVAFDVDEGRWEAAAVLRQGDWRVKLFGGWIRAFQGPLSFVSFPLWSQVSESISDLVNALQMTSLSMEN >Sspon.07G0002480-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:4866051:4870333:-1 gene:Sspon.07G0002480-2B transcript:Sspon.07G0002480-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VLHLLDKAEDGAAAVETVVQHNLYPLLINCLIEGIIFLYAHTLFNPVESYALDEEISAIILDTVKRLAQIPKGAEVIFPPDGQVSVQLGKVAAQSSSLPRIRILSLIAKLFTVSSYTATAIRDSNLLSIFEEEIKDRRDMLKTLSALEVLYELVEHPHSNIFLLKTNLLQLIIDIINDSSADSVIRSRAALISGRLLSSADAFTAIDKSCVTNLLVAIDKILKMEESQNADEIESALETLGLIGTTSQGAHFLLTSSSVARHVVESSFDRQGRGRQLAALHAFGSICGVDRQEDQMKLDGEAEGNLKRLVYTTAANSPKLTPSALLLSVLQQDPDIRIAGYRVISGLVIREWCLREVCLNSEIIRFVTDPTTETTKLGMEARYNCCVAINKAISSSHLLHEASLSGLIGKLNDAVKRGPYLSDRKRVVARPVVDTAERF >Sspon.05G0034770-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:63545730:63550933:-1 gene:Sspon.05G0034770-1C transcript:Sspon.05G0034770-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADASGNPADGYYSGRPLGLESDPQQAQAVPAPLLQAQPQATGSEQANGVPAPQPQQPRQDVGEQVANHTHVHGVPEDGRGYREKRVKKMNGSYMRQKTAAKNQRRDEASKGPCNVAKGAAKHVLKHAVDIQGVATHVILVTVVGLPAATSRFLEARHVQVNSLAVDVAAVRPEPTALALIIWAQGTRPRRPPVEVEGTAVLDNAVRQGRAARVEVDVLLGAVVDVTACGLATSVADPVVDRGQGLAVEEAELELLAVAEQRMHQSLEIEQRIICAGATRVEVQGLAPAAGIARVPGPVTGLLTAVAHERRASHQLQLVLPVGRMGDLGQKILQIILLSRHQSCPIVDIGL >Sspon.05G0017690-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:73929492:73941491:1 gene:Sspon.05G0017690-1A transcript:Sspon.05G0017690-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:transcription coactivators [Source:Projected from Arabidopsis thaliana (AT1G77320) TAIR;Acc:AT1G77320] MTPSYSSGPAGPAGGAEGGDLRRRERVFDAVHDALRLNGAEVLLCADPSRTGPLDYHVISSSSHERFADLRAKGCNLLGPQCILSCAKERRFLPKQSYTCCLAMDGVKTLCSGFEKSEKVRIEELVTAMGGHLLPKHSMDVNFVIVKDVMANKYKWALNTLKKPIVTMKWLEQCWIEHRVVPHEPYRILPFTGLNICVTKVDPDERKELEKMVVQNGDKYIVAQKWGNIHIVNPRWVEQSVARKACQDENSYLVCQSSSAFGGSKSSLKEQQNPEISSTSASFQPVPATSIDDSVSVSQYLPASFSDAARISSTDIVAGPSVQETNEMQVDSHVAEGSKPENDDLYLSNCRISLVGFEEKELLRLVMMIRNGGGSRHILLSEKLTHIIIGTPSDDEKKEVRHLAAWGVINIVKVKWLEDCNRAKKEVKVSPMHIATELLLKGFSQVSMENSADTRETKVAKSSGGIFHVPTVNDLHDKHHEKDVSSERKPKRGKHENSISKTRSAARTAKSSQQNGMINVSEYQPQYQVTSTTNSGSSRSNIFKGRTFSFSNSFSHDKRPEVVDWVRDGGGVMVDDNDIQSTVVDFIIECHGQNSLPCDFSHSTVVSTQWIRSCLEENFLQDVGSHPIFSPLRCRIPFPGFESFHFCISQYGEKERQLLKNLCFLLGAKFTEKAYKRVTHLICKFASGPKYEVYTKRGTPTITVEWLYECVKQDKLLPLDHFQPKPLTSQDQDAHACTVSQYSTQATRFDSSELLSGCQVTTNNATHKS >Sspon.05G0005150-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:16225395:16229877:1 gene:Sspon.05G0005150-1A transcript:Sspon.05G0005150-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLYVQAVPPPDLNRNTEWFMYPGVWTTYILILFFCWILVLSVFGCAPGTAWTLVNLGHFAITYHFFHWKKGTPFADDQGMYNTLTWWEQMDNGKQLTRNRKFLTAVPVVLYLIASHTTDYQRPMLFLNTIAVTVLVVAKLPNMHKVRIFGINAGS >Sspon.04G0018250-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:65768336:65772437:1 gene:Sspon.04G0018250-1A transcript:Sspon.04G0018250-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYTFNTQPIVAPAKKKDTRNDAADAAVKHCNPLSSSEHGRAAVAVDGDRGSQYALKWAADNILSRARPFFLIHVRRKPTFLQGPGGKQFAISHVQDDIPADFHAQMDLQAKDLMIPFQCFCSRRGLQCREIVLDGTDVSKAIVDFVVSNKVDKLVLGSASRNAFTRTIWKLDVPTSVTKSAPTFCSVYVIAKGKISSFRPATYANDTGKEDLKSDLPGHNLSSSDESTEDGSFMSSDQQRHSDSSSVQTASSCPSGFLNDVDQQYRHPLLSLNTDNEQASHAPRRQFLGFDDSSLKDSTLNPGYNVYSPLSPTARTQGDGQKPRKLFHPPSHRNKPEAEAEACSQSAIGPKHKLLTLDTPPHDAQRGERIAEEFKDHDSQDVIHPILRRWPPISSPRDDNRTGSTPEETRKLDLKLKALPRPIETKRMLECLPTRLECRIFTADDITNATNHFADELKIGEGGYGPVYKATLDNTLVAIKILYSNITQGLKQFQQEVELLNNIRHPNMVHLVGACPEYGCLVYEYMPNGSLEDRLFCHSGTPPLPWQLRFRIATAAAGTFCYIDPEYQKTGLVCTKSDVYALGVIFLQMVTAREAMGLAYTVSDALEEGTFADLLDGKVTGWPVQEAQAFAELALKCCEMRRRDRPDLETVVMPELIRLHRLVSPSEYPSSSSSSLPPSMDQAHHRSASDKDLRLDNDLVDILSDGSLKGGASFAI >Sspon.03G0011710-3C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3C:48046164:48049854:-1 gene:Sspon.03G0011710-3C transcript:Sspon.03G0011710-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLYYIATLLGLAKIIESHTFQHGISSLVFFPTVSLPIPPGAVAARCHGRPWRASPSSLFLSFPPLSGTTTWAQIAGGGSSELAGGDSSAAGPSAAAGWAPVAAAGPLAPGAAPGATCPALALADADGATEADPVVAAAGEAAGPEAPTLPGDGAYLDPAAAATYAAAAAAAAAGLGMPLSFSPSALLSAGGAAAAQLHGPATGGGAQGAFNFPARPRPPSTLLGPPLPLLPRWSPPGQRPPRDRSASVRLPLPGSASGTPPTLWPARSPRRSSSSPRGQDAGTTSSGSTGHLTSTTTALRHDTTDPLVAQLHYQAGGTPSWQRLDSIVLSWILGTISVDLHDFVRNTTSACQAWLALEGQFLGNAEARALRLDASFRTFVQGDLAVGELCRKMKAMADSLGDLGWPVEDRILVLNVLRGLSDRYAHLRTWITRQRPFPTFLQVRDDLVIEISQGLQSGSTTALGSSSTALAATPPSRPSAPPTPLSSVLGPLPPGPAGVGAVARRRAVEDVLVGGGLVVVETRLLSHRGVLPGPPSTTPGPDASPCGPSRLLGGASSAGRHVRWGPSRVLPRVPVGHTFRRFTGAGRMEPGGAGTLLQHYGPDTSCWPRVDRRFRSYLPHHTGPWIWLPGVLCSDVTVRGPFTPFVFRRLPRHLRFCQLPLPPPLPLLGTGASAILDFGLTIKAVQCDNGREFDNSTSRAFFLSRGVQLRMSCPYTSSQNDKAERMIHTTNDTVRTLLLQASFPARFWAKSLHTSTYLLNRLPSAACPAPTPHHALFGTPPRYDHLRVYRVLTPRPLLRTSWLPGPPSVSSSGTPLTTRGTAATTSPRRVLISRHVVFDESVFPFSTTTTPSASELDLSSLFPTDAVVEPPLPLFPAGTAAPCPISPSAGDSSDPAPRSSMDGSPSRPAPVIPADGGPGTSPPAQHARLAQPVLVYQRRARTAPAPTPPPVAPCSPESPPGASSSPSGHRCCRSGLQPPVSRPRCTTRRSFTTTRVMFTLY >Sspon.03G0026770-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:8166392:8173437:1 gene:Sspon.03G0026770-2C transcript:Sspon.03G0026770-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPAPMQLKVRVPENEEVARCLHEKRLSMREQPAGFKEHLDRTFAKAYRNVCAANEPIRTLKEFSKINGVGPWLIRCMKGFFPESNQDSPTKGKKTRIPRCPKPKKNTAAAASSSLGSIMLAISIGSSDFNMLDKDAVSMDNCILAMPPCQSSEEFLEAYEVVLILDDRENFGFVKRLPVGDGIWIARHKKFLTEYVLDFIVERKNVADLGSSIRDNRYKDQKTRLQKCGLKKLIYLVEGDPNTSKGSAASIKTACFTTEIFEGFDVIRTSGYTDTIRTYGYITLSIIDYYSTNFQSLAKSACICPTYDEFERQCRCLQKRTVSQIFALQLMQVPQVTEKVALTVIEFYPTLFSLARAYSMLEGDIRAQEEMLKNKSKMINAGASRNIFKLVWGDGCIVS >Sspon.04G0013860-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:54831557:54832486:1 gene:Sspon.04G0013860-3C transcript:Sspon.04G0013860-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AMAEKPPLPPKPKPPLPPKPRPKAAPAARPTTTPPAPGSRPAAAPPFKKPTLAPPPQVLPLKPPPAHQYRQQQHPGGARKQPRPPYRQQPPHRRRGGGGGCSCRRACCLATGLALLALCLALAAACLAYLYYRPRAPSFHLRPLSPVRLRLGNSSSSSAVSSMDATVGARVVSWNPNERVAFRYGDGEGRVALRDGDGDVALGWAPVRGFAHAPRTVATVAFVATARGVVLDEGVAARVRDRYRRLQQGFRVVVDAHVGVRVGALRTGMVPIRLLCDDGVMAPRAAAGSDGGTVVGPMSKCQVLLFRVRW >Sspon.01G0012900-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:38159486:38161160:1 gene:Sspon.01G0012900-4D transcript:Sspon.01G0012900-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGNGQKSKMARERNSEKNKAAKGAPPLSTPCPFRFFDIIWSQLETNKKAMSIQCKVCMQTFMCTTTEVKCREHAEAKHPKTDVYQCFPHLKK >Sspon.06G0014690-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:81059124:81060030:-1 gene:Sspon.06G0014690-1A transcript:Sspon.06G0014690-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DQSKVPSVHARQLRAQQDPESESNEFQVRRRLRVDPTKLAVPSTERGRCRRRSPLSPPVPPLGDAFDDPARFDDYAILGLPASSYGSLLRDDDEDMIAAVTATASSKTWQWGEVLGRRCGGERNRPGGGPLTFLGQQTRFASFLGAAGFMRLSAAQAGLGGEEDHEGGAIVVHYRLTRFSRTQQSDGLGVEVRDFGTALHHVQYLVPFPSPPPTQRARCASSAPHWRLTSTRTGTTCSSRRCGRASSRRSRCASRRGPRASW >Sspon.02G0054100-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:110275130:110276138:1 gene:Sspon.02G0054100-1C transcript:Sspon.02G0054100-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVEMTGLDLESRASPPPMHHISSSPPLQDRATSTKGPTRMLPHRTSVVLASRSGLQDMCPGWATTPPLGLIGAW >Sspon.08G0008220-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:30117019:30121741:1 gene:Sspon.08G0008220-1A transcript:Sspon.08G0008220-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGMGGLIVVEKLGIGYVGILTTLSQSNGKYKYDYATIPFLAELFKLSVSGFFLWKECHSSSPPRMTKEWRSVRLYLVPSVIYLIHNNVQFATLTYVDPSTYQIMGNLKIVTTGILFRLVLKRKLSNLQWMAIVKGCGDTPCDSLFSAPLQGYMLGILSACLSALAGVYTEYLMKKNNDSLYWQNVQLYTFGVMFNMGWLIYGDFKAGFELGPWWQRLFNGYSITTWMVVFNLGSTGLLVSWLMKYSDNIVKVYSTSMAMLLTMVLSIYLFSVKATIQLFLGIIICIISLQMYFMPVHMLVELPQTLPVTSK >Sspon.04G0011540-4D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4D:38599093:38603279:1 gene:Sspon.04G0011540-4D transcript:Sspon.04G0011540-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPSSAERLAAHWVADALAADEAIHFSVIKSKLQALIACVARSVLLHSFVLSPRVPNAPLALVDLSPEYLIGAPDSVRERVALRGLEEHATVADADEGGAAVAPPPSKILRVDAVRSCEDLLVELTEQLREVDPEIQSMAAPSSVEQNGIKKHDNHQSLCNVHHLNSNIDTPRPPIASTELQPGNLTNLVNNLEKGYFKQCPIESTVDLDKPLETDRRFYNQPREYAINATSTRASEKDPSNVDSNMSGSSTSCNATLQGNIAEPLSKKSMVDETTVVQAQPCKGKSPNPPDYNNVIKRPNDDGISYQSSKDPRHESKTLQATMTPAFDRTNAPEASDLPEPIATEDTTMVQQLHSCTTHLNHLQHDSGQKVNQDLDGTCNIQPVEKYSIHEESTLRATSIIPSVSCNGAMHEDKSKTNHPSGNSTEHPAIFEEQNCDKSQLEVSCADKNKHALHDDANMLGNNKVVCGGLNVQGAPESHSCNLTMHNKVLEAHCLSDQNIGKSTNDIQKRSCNISVSISCQDGYRKTAKQDSNKQTIGKTAAETSHAHSSDDSISGFAAACLLSMTGKIPLCSQDQEANDSLRVSPEQDLCRKCGKGGQLLQCSSCLLSAHDSCFGSSLTFEDHGQLYCPVCICAKATEEYKKAKKTYIEARKNLAAFLGAEQLLKQHEQQTRLLPRAVYSEGQLNGHNNSSKKQTSVSETTIDDLAHQGEESNRQWKKQKINVTSDACNEVVMEKASSAGNSDVAPMNASVLQNKSNQLQDAEQDHVENTEAHEGSSSQNRCSPAANPEVETDKEDGPTHSHHQSKDSDEIEFTSSSDSGKPSSPPWHTIKHHRARLQEREATVSSNSTKAFGQEFGQKDQHEPLPSRKRNYAYPPKR >Sspon.05G0018670-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:77824800:77827827:-1 gene:Sspon.05G0018670-3C transcript:Sspon.05G0018670-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHRKFEHPRHGSLGFLPRKRSSRHRGKVKSFPRDDPKKACHLTAFLGYKAGMTHIVREVEKPGSKLHKKETCEAVTIIETPPLVIVGLVAYVKTPHGLRTLNTVWAQHLSEEVRRRFYKNWCKSKKKAFTKYALKYDSDAGKKEIQLQLEKMKKYASVVRVIAHTQIKKMKGLKQKKAHLMEIQVNGGTIADKVDYGYKFFEKEVPVDAVFQKDEMIDIIGVTKGKGYEGVVTRWGVTRLPRKTHRGLRKVACIGAWHPARVSYTVARAGQNGYHHRTEMNKKVYKIGKAGQETHDASTEFDRTEKDITPMGGFPHYGIVKGDYLMIKGCCVGPKKRVVTLRQSLLKQTSRLALEEIKLKFIDTSSKFGHGRFQTTDEKQRHYGKLKA >Sspon.05G0014570-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:60676562:60681973:1 gene:Sspon.05G0014570-2P transcript:Sspon.05G0014570-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMASAGVNVPATGAGGDGVGNPTAASGATQESASGEAGPSWVRRLRPAASRFSPSSSSQSASQGSSQEEADPDVQEMELAPLTSAEPQPEPVAAVAAQQVWPVAFGSVTMAGRMRIMEDTVSLHPNLCTWAADGSPMHFFAVFDGHGGTHASRDAARSVVAAFLGGPGPAAEPWSEQAEEEQAWRAALMRSFRRVDAMAPLACACGRVTRPACCCPLSASASGAAAAAAARSSGIVGSTAVVAILVRGRLIVANCGDSRAVLCRGPEGTPPVPLSFDHKPNRPDEMARIAAAGGRVLFINGYRVRGILAMSRAIGDRLLRPEVIAEPEITITERTVEDQCLILASDGMWDVIDNDIACNVARQCLEDGIPPPAYAAAAAAAGELLRPPAESSATRRTPGASAPPRSWAGSPSAGRPRTTSASSSLT >Sspon.05G0009080-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:26461742:26462957:1 gene:Sspon.05G0009080-1A transcript:Sspon.05G0009080-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEIPVIDLRLAGSSPEESARLRAACERLGCFRVTGHGVPAGLLAEMKAAVRALFDLPDDAKRRNADVIPGSGYVPPSAANPLYEAFGLLDAAAPADVDAFCARLDAPPHVRVFFLYNDTVQQFGKGMGLEEHSFQDWPCQFRINRYNYTQETVGSSGVQTHTDSGFLTVLQEDECVGGLEVLDPATGEFVPVDPVAGSFVVNIGDVGTAWSNGRLHNVKHRVRCVAPVPRISIAMFLLAPKDDGVSAPEAFVDVDHPRRYKAFNYDDYRRLRLSTGERAGEALARMAA >Sspon.04G0004750-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:13729129:13734419:-1 gene:Sspon.04G0004750-3C transcript:Sspon.04G0004750-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLRLLALSLLFAVATPIRDITDACSSQVKDFQHLNSSGLYLTLHHPRSPCSPVPLPADVPFSAVLTHDHARIASLAARLAKTPSSRPTTLRGSSSSSFPDEASLASVPLGPGTSVGVGNYVTRMGLGTPAKQYVMVVDTGSSLTWLQCSPCVVSCHRQSGPVFNPKSSSSYASVSCSAPQCSDLTTATLNPAACSTSNVCIYQASYGDSSFSVGYLSKDTVSFGSTSVPNFYYGCGQDNEGLFGQSAGLIGLARNKLSLLYQLAPSMGSSFSYCLPTSSSSSGYLSIGSYNPGQYSYTPMASSSLDDSLYFIKMTGITVAGKPLSVSSSAYSSLPTIIDSGTVITRLPTGVYSALSKAVAGAMKGTPRASAFSILDTCFQGQASRLRVPQVSMAFAGGAALKLAATNLLVDVDSATTCLAFAPARSAAIIGNTQQQTFSVVYDVKNSKIGFAAAGCS >Sspon.03G0025690-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:511887:516623:1 gene:Sspon.03G0025690-1B transcript:Sspon.03G0025690-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMTCFFPHTRKFELEAPPATPLLQRPAAPAAAMADAAADLRRRIKEKGAAIGRRITDSRDRAAAASSAFNAALLEARSIANQTRTKTTPREIRIRSSTVQTSRRSKHKLMTESISNTTSTNEQLRRLVMDHWATRDECTNAISNQLKDIESLEAESDADGDNNLENALMWYDKFLGFQVVGGEGVRFVFNKIDVQSPDKEYSFCVKLVEERYILVRSVPFVDGTEEFMKDLNCNNDLYKFVRVMRNRFQAATISGNLLSSSFCPDVLSITPSSFSALSLDSRSENSIDRSHTQGQSKNQEFQLKGLAKSSDHTMLIAVGEPDASLYQRFEDRQLEQEM >Sspon.02G0040810-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:72293640:72295046:1 gene:Sspon.02G0040810-1B transcript:Sspon.02G0040810-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRGAAARGTWRPRRKHSHAPADVDGDGDAADRGAESGRPPLKRHGAGGAAGGGGGFFCCYLLRSLCPRSKGRTYIGFTVNPRRRIRQHNGEIVSGAWRTRRGRPWEMVLCIYGFPSNVAALQFEWAWQHPTESLAVRKAAAEFKSLSGIGNKVKLAYTMLNLPSWENLNLTVNFFSSKNTKFTAGCPSLPSQMKTVVCAMEDLQCQADGPSSEEDGNDIRDREEPQDNDEELSHSPLRDGYSYSDHCFQQPSSDDQLQPMDEQTRTAGSDVEDDLADELAPPMEWSEILDTRRELINGPRTSPLCSLSPCRDDDGLEEGAGLMSPLLMPNASSDDGDGRHILDGDHVVDLVTPTPVGRLRLRRRDCVSSICPKIIDLTSSPIVIQL >Sspon.06G0013270-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:69058813:69059931:1 gene:Sspon.06G0013270-1A transcript:Sspon.06G0013270-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MITTNSSLASPSHLTTAAQLRPAPSSPLIQLAAMAFNPSPPGPGFPYFPPNPYFPRPPPRPQAPPPPQRFPPPMRAPPPPQRAPPPPQPPPPQRAPPPPTLPPPPPRRAPPPPVLPPPPPRRAPPPPTMPPPPPRRAPPPPTMPPPPPRLSPPPPSPPIRPPPPPTPRPQAPPPPHPLAPPPPHILPPPTPVPPPPSPPHHIVIIVVFVSLGCLLLLACLVALLCWHKKRGRKTETKAEVLNFSDHVHVHKETMPGPGGANVVRLSVDEDVKFQEAVKKQDAIGESSNTAAAGKTTAHHHLPWTWHKKHESRAEKKTEVISVTKHKHVDEKIIPGPHGEKIEVLSEDEDIRFEEAGENEEEYEKSKARITKS >Sspon.04G0000160-1T-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4D:1388257:1389438:-1 gene:Sspon.04G0000160-1T transcript:Sspon.04G0000160-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTTTTKTNGGANYREYYSEEQQQQQQNHTDDTTAKKTPPPASEKAEWEAVSSLLDAARPFLRGELGAADPELPSLVAVLRAAGAGECYHKHGTFLAHLLDVYRILRLWGASDAVARCGLFHSSYSNSYVDLAIFQPDTGRPQVRGIVGADAERLVHLFCVVPRHHLVFEQLQPRYTDQELRDHLAAAEAELRNANPAAAAKGGLLLSTWRRKLRSVVPPEGVVATHIRTGEPVPLSRRVLAAFLLMTVADFSDQYTDYQDELFGNADGRLEFRGDDWAALWPGTGKPGLWVSAMSRLAALYGLIARDEQLIAMQMQGAGHHHDDDDMIVGELVIPPVFERCSRVLDPVEQKAARDLYWEAITTSQEKDDMKDPDALLRESIAKNPFVGEPHL >Sspon.07G0003650-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:8498862:8499614:1 gene:Sspon.07G0003650-3D transcript:Sspon.07G0003650-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGASDDKSEPVRSLAVPSPTVYPASSAHDAEAEADAAGSRSTPYIRKRRCALCCGGCCVTTIVVIGVIILVLALTVFKVKQPRLTVNNVWLTAISAGPGSSSAPVATNATLTADVSIKNPNAAAFKFSQTETDVYYRGQTVSVAYAPAGRVGAHGSVRMNVTVDLLADRLARVMNGTGLVFGQEYDLDTYTDINGTVNVLGIIKKDIEIKLNCSVVVQVGGAAAALEYGVASTVQSKSISCSADVTM >Sspon.02G0001650-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:13483076:13485990:-1 gene:Sspon.02G0001650-1P transcript:Sspon.02G0001650-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMAYCPAAHFFLFLVQWTDCNLAGALGLLRILIYKVYADGTTTMCTHERKASIREFYAVIFPSLMQLHEGINEVEDKKQKAICLERYRRRDEDQKTVISEIDDNIEEECGICMEINSKVVLPTCSHAMCIKCYRDWRSRSQSCPFCRDSLKRVNSADLWVYTDNKDIVDVATVRRENLRRLFMYIDKLPTVIPESVFDVYDSHLSGEAGLSGQSLSGSSVAGYFYERWRQ >Sspon.06G0029920-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:38316317:38320858:-1 gene:Sspon.06G0029920-1C transcript:Sspon.06G0029920-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAALKRPGTRTASMCVATETARVTHSFNIVGNGLHKNFGVGRCIRSAPFSAGGHNWCIHYYPDGNTEDDKDYVSVFLELMSKNTEARSLREFRLVNQTTGISTSVFTCQAVHNATITTWGRKKFMKKDDLETLGYLKDECLEIECDLTVIKWDDIDLPPSDLQDNLGKLLESGEGMDVTFKVKNELFRAHKIVLKMRSPVFNAELTRDKRKRIIIVEDIEPPVFKALLRFIYTDSLPSMGDLDGNENDEIVRHLLVAANRYGLVRMKLMCESILCNRLAVQDVAATLAAADQCRCYKLKDACIQFVNSSNRMDDVATSQGFEDLKKACPALIAEIFCPRKDYSGRNHDVLKKVQQVAIEDSSRRKAAHKSHKMETPRYDAEPNQDHCK >Sspon.07G0037440-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7D:53773017:53773945:-1 gene:Sspon.07G0037440-1D transcript:Sspon.07G0037440-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPASPVPSVVGGEPGRGRRRDAGNGRRRRRRRQHRRRKRRYGRSIGASQAAAVGTAPSGLDETPYATTSALPDPLVRTFRSDAAPAGDVAAPVEFTGPPPVADISAPQLSPLELALQAPPRVGQSVVQDDDETPTPREAARRLARFRDEVLVQRGPPLIASPPRQQPRTKMPLPIRYRSRRIAAQLLAYIPTSKRGAVLLEQRFGKASPANPGSPAPKSILDALRSGTLSSSQVEALDAAFPVFNGRAAELFIEDS >Sspon.07G0029670-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:77591402:77593455:1 gene:Sspon.07G0029670-1B transcript:Sspon.07G0029670-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ASMAALAEMAATATRSSRCRAEKVGRMSSIEWEPKTLTLDQIKFAGGGAVRGEHQDGGGGDPDLHRGPQARADDRPQVQLHRLLVGRRRRARLLLLLLRQLHQRLLRRREQRRPPWSTAAALHGRQGRRYSAI >Sspon.03G0014910-1T-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3C:67500626:67500907:1 gene:Sspon.03G0014910-1T transcript:Sspon.03G0014910-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGGGAPVVAVLMTVAVVLVAVSTTVSGQGDDDPAPAAVCARRPVVFAFGDSNTDTGGVAAGLGYYYPLPEGRVFFRRSTGRLCDGRLVIDYL >Sspon.01G0002830-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:7720435:7721130:1 gene:Sspon.01G0002830-1A transcript:Sspon.01G0002830-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSGSGGGSPGSPCGACKFLRRKCAAECVFAPHFCAEDGAAQFAAIHKVFGASNAAKLLQQVAPADRSEAAATVTYEAQARLRDPIYGCVAHIFALQQQVASLQMQVLQAKAQVAQTMAAAAGPQGTTGSSPLLQRWPLEPESLSTQSSGCYSDMYCGFGDQEEGSYTR >Sspon.02G0043640-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:125265215:125265463:1 gene:Sspon.02G0043640-2C transcript:Sspon.02G0043640-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LIAVALVTAAAFVPAATTSIAATGTQKEVTDDNTIPASADTNLVPAGGTAYSTEGSMQKEETRGNEKPTLGGSVGVGKNAIYG >Sspon.01G0054340-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:54061984:54067460:1 gene:Sspon.01G0054340-1C transcript:Sspon.01G0054340-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLASGLQRLRRSSSPWEVLWSALASCGLVLFSQLAVAMVPRLFPSLSLLAMLPIAGLVFLAAIVLGRLWRRFIGVAASAPLFVLFNILLLNFFSAGHANKCRVCTASVGTLQDFICDPGIVACDASYLEEAGCKDFVEAIYSSEKLPMLSRVRQCTWCKANIRGYDHHCPAFGTCIGQKNHRLFMALLTGFVVAESTYTMCSTKYITRCISSGTIRSENPVSLNMVISTMLFSILQVLWQLKGEIFQINWKKYPEFQMKEQPRSDSEVKFVNPYDKGMLCNIREFLKPE >Sspon.02G0024020-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:86859797:86862422:-1 gene:Sspon.02G0024020-3C transcript:Sspon.02G0024020-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRSNAPPPACATIAFEEALRREMEYRKWVERTHPHLLVGICGAPEMQRDVSTGSVPDVIKRKLAPETSVPPQQSSFSCVTGQKQPQNWYPSKKKVKVPHLPSQILQCPRPNVVPSFWCKICKVDCVTEFNFGAHIGGKKHKAKKLEILGKRNAGTPSSQCAAGNRNPGQNGHAVSGNRNNELNVASSITAGPNCDLSSESRTNGTEESCSKHQFFEVRE >Sspon.02G0041370-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:77918835:77919539:-1 gene:Sspon.02G0041370-1T transcript:Sspon.02G0041370-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPRGDRKKGKGAGGKTDLRPDRKQFKRHRKEDAAAEQGDGDGERQQSAAPGSAALLAAASDEADFPRGGRSLLSKDEMAEARAEAEQDFEREGKKGKGKRKRSGGESSGFGADDDLGALFGGATTGRLPRFANRITVK >Sspon.01G0042820-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:68381762:68385296:-1 gene:Sspon.01G0042820-1B transcript:Sspon.01G0042820-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LAVGNIVRRVLHIIKEEDISSTAVGIEGLSVTVDSDDEYDSEHDDRPALSAAVLAAHARNALRAPSLQTLLEDIPVSPEISRTASSAGDSDGKTGDKSSTRKLKHDVIAAIGDLIDEIDSCYEPISEQAVELIHQKTVKEFLYAAKEKKRSFRVFVAEGAPRYQGHVLAKELVEKGVQTTVITDSAVFAMISRVNMVIVGAHAIMANGGVIAPVGMNMVALAAQRHAVPFVVVAGSHKLCPLYPHNPEVLLNELKSPSDLLDFGEFSDCMNFSTQDGSPLLNVVNPTFDFVPPKLVSLFITDTGGHSPSYMYRLIAEYYSADDLVVRRKATS >Sspon.02G0019590-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:63556509:63560408:1 gene:Sspon.02G0019590-1A transcript:Sspon.02G0019590-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGHPAAPPPPPSYFLSFPPLSGATTWAQLAGSPAAAPSAPVGGLPPAAAAPAGGLPPAAAGPALALMTAPGAVAHPARPWSWLPRLGPWLNRARDWSCRQMPRPASCDFCRWGRRSFWPGGAAHRRGHSRRLPLPCSATGHPLRPSPSSALAAALVSARAAAAAGQERVRAAALVWQHERDAADTLACQIAEAEQLLAQDGGATSTGSTGTSTTTALRHDPADPLVSQLHYQAGGVQNIRLLVPVVLDPESPSYARWRDLVVLTLRRYALDDHVLDEPPPMVQTPSWQCLDSIVLSWILGTIFVDLHDLVRTTTSACQAWLALEGQFLGNVEARALRLDASFRTFVQRDLAVGEFCRKMKTMADSLGDLGWPVEDRILVLNVLRGLSDRYAHLRTWITRQRPFPTFLQVCDDLVMEELTQGLQPGSTTTPGSSSSSTALATSSPPAAPRPSPPPPSSLLSPPPFGPSGGGGAVAVVADVVGAVGVVGEAVTRPPVAMFTGPPPPGSTPPSWAAPPGTPPRSAGWDQAALARSFSTLGLTPPVGPEWIADSGATYHTTPNSGILSSVHSPSLPSSIMVANGSCLPVTSVGTAGAHGSFRLPDVLVAPSMVHNLLSIRRFTADNSCSVEFDSCGLTVKDLATRHPLLRCDSTGPLYTLRFPASASPSSLLSAAFATTSSTTWHRRLGHPGRDALMQLSHSSDIRCTRAHDEHLCHACQMGRHVRLPFSSSSSHGARIFDLVHCNLWTSPVLSISGYKYYLVVVDDFSHYSWTFPLRAKSETFPALHHFFAWVSTQFGLTIKAVQCDNGREFDNSTSRAFFLSRGVQLCMSCPYTSSQNGKAERMIRTTNDIVRTLLLQAPRAWNHRFAAFLRLLGFVEAKSDTSLFIFHHGAETAYLLLYVDDIVLTTSSESLLRRIITSLQREFAMKDLGVLHHFLGVTVAPHPAGLLHQRQYTLDILERAGMTDCNPCSTPVDTQGKLSEAEGNPVSDPTAYRSLAGALQYLTFTRPDITYAVQQLCLHMHDPVEPHLTALKRLLRYLRGTVGYGLLLHRRSSSTEMVVYTDADWAGCPETRRSTSGYAVFLGGNLVSWSSKRQQVASRSSAEAEYRAVANGVAEASWLRQLLAELHNPLLRSTLVYYDNVSAVYLSTNPVQHQRTKHVEIDLHFVRDRMAIGEVRVLHVPTTSQFADIFTKGLPSSTFTAFRSSLNITSG >Sspon.01G0002050-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:6019623:6020723:1 gene:Sspon.01G0002050-4D transcript:Sspon.01G0002050-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAATMAWTAAVVGVGLVYWFVWVMGAAEVKGKRAVDLKMGSITRDKVQDKYTQYWSFFRRPKETATTAASAEKVPAFVDTFYNLVTDIYEWGWGQSFHFSPSLPGRSHRDATRVHEERVADLLGARPGHRLLDVGCGVGGPMRAIAAHSGSNVVGITINEYQVNRARAHNRKAGLDSPRCEVVCGNFLSMPFPDASFDGAYSIEATCHAPRLQDVYGEVYRVLKPGGLYVSYEWVTTPLYRAEDPDHVECIHGIERGDALPGLRRQDEIASIAKEVGFEVVKEQDLALPPALPWWTRLKMGRVAYWRNSLVVRVLTMLRIAPKGVSEVHEMLYETAQHLTRGGETGIFTPMHMVLLRKPVSTEEAK >Sspon.08G0005750-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8B:14730364:14731137:1 gene:Sspon.08G0005750-2B transcript:Sspon.08G0005750-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKASSSLSPCIRLMEESLLLPTQDVGLFTKTFLLIFAHTFIFIAVAVHFAHPLATSILADIKAHKITTDTASSNHSKELSLLAIYLSYLASKLATQLVTSLATATTYSGERLTRKVVKERIGGLLGTAALAGVLELSFIALLVALLVATWTYADSVIKSLCGYLMFSVALLLYIYLATVVLVSVGVSAVDRGCHSVWALRRAWRLMRARRKEAAVLVFVVNFLPAVVYPVPVYAFSSVMGEDVWLLGAISGSGLPS >Sspon.04G0021180-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:82165552:82167365:-1 gene:Sspon.04G0021180-1P transcript:Sspon.04G0021180-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYKMFGEATQSQFTLNLPENLVVSKIAVWTTVAACSFIIVVGEVERKMNIREGTNGLENLYLHLIFLLIVFY >Sspon.07G0007120-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:18735231:18739456:1 gene:Sspon.07G0007120-1A transcript:Sspon.07G0007120-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEPSKVIHIRNVGHEIAESDLLQLLQPFGVVSKIVMLRAKNQALLQMEDIHASVSALQYYTSVQPSIRGRNVYMQFSSHQELTTDQSSHGRNSDQESEPNRILLVTIHHMIYPITVEVLHQVFKAYGFVEKIVTFQKSAGFQALIQFHSRQEAVEAFGSLHGRNIYDGCCQLDIQYSNLSELQVHYNNDRSRDFTNPSLPTEQRPRAPQQGYPDPANLYAFQQAGASFAQMGRAAMIAAAFGGSLPHGVTGTNERCTLIVSNLNTDKIDEDKLFNLFSLYGNIVRIKILRNKPDHALVEMADGLQAELAVHYLKGAILFGKKLEVNYSKYPNITPAPDAHDYLNSSLNRFNSNVVKNYRHCCSPTKMIHISALPQEISEEAILNHVSEHGTVLNTKLFEVNGKRQALVLFETEEEATEALVSKHASTLEGNTIRISFSQMQ >Sspon.05G0006360-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:21416237:21421009:-1 gene:Sspon.05G0006360-3D transcript:Sspon.05G0006360-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSGMSTARLLGISFLCVLFVRTSESASDDAIPLLGDKLIAADCCVLLALRLPVSALLAFRRAIYADPLSKLSDWNSKDKDPCAWSGVGCSPFNNRVVTLELSNSSLQGFLAPQIGSLRYLQTLALDHNTFMGSIPKDIGMLKNLIELNLSSNQLAGPIPSEIGDMAKITKIDLHANRLDGTIPPELGKLGSLLELRLSNNSITGIIPASDDSDMESTNSTDEIGLCQLSHLTNIDLSYNFLVGDVPTCLQQIQSCLPELTCFTVFEQIKLGRELLPEQHIKPSTTTMYGETNQDRGKDNGADENVQKGLPEPLWLFILEVIAAVSLLCLLTLCTITGFRRCRARSSGSGTSVPWTRAVSWKENTVISIEACEDFSNIIGSSQETVVYKGTLKDGPEIAVVSLTVPVHYWNDYVELYFQKEASVIEMSRLSHENVAKMVGYCKESDPFSRMLVFQYPPNGTLYEHLHGKSTSSCLAPCLHVWWHELGGYMEMGGSYLGLDEMKLALAISRVLRYLHTELQPPFAVAALTSSSVYLTEDFSPKIIDFERWRYLATKPGFSSLNGGSVNSITDSRHKRFMDVQANTYAFGVILLELISGRASVSKDTGGLVDWWPSLLMLQLHTTASGLNDLRLPVSVITDKYWPCCMQARKHLEQPEEFSKLVDTRLQSVNQESLGIVCNVPSRRPSMSIIAAILEEGIEASAATLLRNSSLAWAEAELAIS >Sspon.01G0016510-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:52217901:52219022:-1 gene:Sspon.01G0016510-2C transcript:Sspon.01G0016510-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAILARAGVTATAGKSLSSPTGRRQRNGIVVAAVTRTGTGGALERPAWSGETPLSRLVGALVAFKPLYSLLKVASREVIIRTAEKSNIPWREMTKEVLESDVYEVFERIQDPNLVYPDYYLNPFHAYDEGNLSWLAAAEAEPATMSIAKRAIPDATSIEEANQIVRGNWLNVMRSIISNTRELSGK >Sspon.01G0001350-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:12492868:12494290:-1 gene:Sspon.01G0001350-2C transcript:Sspon.01G0001350-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEDQTPCTKPRPDKTKKRKKPKRDKWGQPIAAAAEEPSVEPEQEHPAGEVETAVQVEEEEATAAVEGYEPGKVVASGLPYTTTEADIRKLFAFYGPLQSVQLSRFPDSGNFRGLAFICFESEEDAIKSLELDGFKIGSRYMRVERCRVTAASNKKRKAEFQTDPKKSAGCLSAYVGNLSWNVTEKDLRDFFRSSKIASVRFAIDKRTGGSRGFCHVDFQDDESLEKAVAMNQSELQGRPVKVAYSVSNRG >Sspon.08G0002070-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:6498208:6501141:-1 gene:Sspon.08G0002070-1P transcript:Sspon.08G0002070-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKNKSGASNYLCVAPIFSSCVSSGKQQSNADAARSRLSFSFPERQQQQKQQPAEEQSNSESIIDPAASVIARKEKQKDGGKHCTVIVGTIFGRRAGRVTFCVQRDAAVPPPFLFELSVPMQSLATEMGSGLLRIALECHRTGTGGTGGGESRNVWKASCNGRDVGHAVRRQPTDWDRRVLESMRTMTTGVGALPPAVALEGQGPNGDGNTQQDCAGEVLYMRATYERVVGSRDAVSYHLINPGGTAGGSPPQELSVFLLRTRAPQESSNIGFRDGRTAAGEGNKLSEAEQPLNGELSMVDYDVESPSSHPLSRQTADPLSHQPVDPPSHQPVGPDNQ >Sspon.07G0009240-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:22565342:22568722:-1 gene:Sspon.07G0009240-4D transcript:Sspon.07G0009240-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWPGLRRRPYIKSRTLEATTFFPETPSSAPCCGSAPPESFGLYLLQASPPAMGKEKSHINIVVIGHVDSGKSTTTGHLIYKLGGIDKRVIERFEKEAAEMNKRSFKYAWVLDKLKAERERGITIDIALWKFETTKYYCTVIDAPGHRDFIKNMITGTSQADCAVLIIDSTTGGFEAGISKDGQTREHALLAFTLGVKQMICCCNKMDATTPKYSKARYDEIVKEVSSYLKKVGYNPDKIAFVPISGFEGDNMIERSTNLDWYKGPTLLEALDQITEPKRPSDKPLRLPLQDVYKIGGIGTVPVGRVETGVIKPGMVVTFGPTGLTTEVKSVEMHHEALQEALPGDNVGFNVKNVAVKDLKRGYVASNSKDDPAKEAASFTSQVIIMNHPGQIGNGYAPVLDCHTSHIAVKFAELITKIDRRSGKELEKEPKFLKNGDAGMVKMIPTKPMVVETFSEYPPLGRFAVRDMRQTVAVGVIKSVEKKDPTGAKVTKAAAKKK >Sspon.01G0012980-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:42570898:42576692:-1 gene:Sspon.01G0012980-2B transcript:Sspon.01G0012980-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVAKGLRNDTELDVKDLEELVDQYKNVYVVAKGENCPSDPKKQLHLAILAVFDSWDSARAKKYRSINRITGLKGTAVNVQCMGEDVVAGIRTPQELDAMKECMPEPYAELVANCKILESHYKEMMDIEFTVQENRLWMLQCRTGKRTGKGAVKIAVDMVNEGLIDHRSAIKMVEPRHLDQLLHPQFEDTLSYEDKVIATGLPASPGAAVGQIVFTAVDAETWHSQGKSVVLVRTETSPEDVGGMHAAAGILTARGGMTSHAAVVARGWGKCCVAGCSSIHVNDSEKVLVVGDQVLCEGDWLSLNGSTGDVILGKLPLSPPSLSADLEIFLSWVDEVKQIKVMVNADTPADALTGRKNGAQGIGLCRTEHMFFSSDKRIKAMRQMIMADSAEQRQKALDLLLPYQRVDFEGIFRAMAGLPVTIRLLDPPLHEFLPEGNIEDIVHMLSCHTNSTHEEILARIEKISEVNPMLGFRGCRLGISYPELTAMQACAIFEAAIAVNDQGFQVFPEIMVPLVGTPQELEQQMSVIHQVAHKVFANSETTIRYKIGSMIEVPRAALIADEIAELAEFFSFGTNDLTQMTFGYSRDDVSKFLPTYISQGILQHDPFEVFDQKGVGELVKIATARGRRSRPDLEGPNCKVSCSTGSP >Sspon.05G0019410-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:77977844:77978956:-1 gene:Sspon.05G0019410-4D transcript:Sspon.05G0019410-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSRAVIGLLVAASSLAVALSDGGATLFSYTEGSSDGPQNWGKLSPAYKACGQGKQQSPIDIVTKQAIPNANLDSLNRTYGAVSATLINDGHDITLAFGGKVGTITVNGKVYNFDKMHWHSPSDHTINGQRFPLELHLVHKSADGAVAVIGILYQLGAPDSFYYQLKRQLVEMANDQCHFGEEESHVEVGLIHLRSLQKRTGSYFRYTGSLTVPPCTENVVWSVLGKVRQISKEQLELLKAPLPGSDNRPTQPLNGRKVQFYNPPNSTISFQ >Sspon.04G0017430-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:67139983:67143039:1 gene:Sspon.04G0017430-2B transcript:Sspon.04G0017430-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPTASSNLPLAAASASASASFPSRSLRTPCTLRRRSWPRLRAGSVKEWREFEDDAGAVKEWREFEDAVRRKDLPRALRFLQSVEPAAVAAPGTAAVQVAVPVLPGRDWEVLDACIDADDMRLVGRAYQFLVDRGVLAGFGKCKNIVLEGPREVTPTVLKEMTGLEAEKLAPKKWGLSGSSPYVLVGFLGGVSFLLTQGVDLRPKLGAVLGLATADALFLGGTCLAQISCFWPPYKRRILVHEAGHLLTAYLMGCPIRGVILDPFVALRMGIQGQAGTQFWDEKMEKELAEGHLSSTAFDRYCMILFAGSAAEALVYGEAEGGENDENLFRSLCILLNPPLSVAQMANRARWSVMQSYNLLKWHKKAHRAAVKALEDGHSLSIVIRRIEEAIASDR >Sspon.05G0018990-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:80786416:80787646:1 gene:Sspon.05G0018990-3D transcript:Sspon.05G0018990-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRSTGDRVVIVGGGIAGALLAKTLQNHADVVLIDPKEYFEIPWANLRAKVDPAAVERTVIPHSDYLTHAKVVTAFAVGVDDSVVLTSIGRAVAYDFLVIATGRTCNRPQKQSERLEMFQHDKERIDGARSVLIVGGGPIGVELAAEIVMKSPEKRVTLVHGAPRLLKVMGARASAKALEWLRSKNVTVLLDQMVDLASVTPDTREFTTSAGETVEADCHFVCTGRPVASGWLRGTLLGEHVDEEGHLRVDDYLRVGGLRNVFAVGDITDVPEAKQGHLAQRQAMVVSRNLRLLVKGDTREEKLHRYKPSPRTSMTVTLGHRDALAELPFMTLIGHIPGAVKPRDHFITRTRRMMGIKSKPYGTMPHV >Sspon.07G0010580-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7B:25138474:25139020:1 gene:Sspon.07G0010580-2B transcript:Sspon.07G0010580-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIGALGVVRSKVWTAETAARLDIHRRPRPTAYGRPGPVVGSVSDSPTDDASANDKTNSNLCRVCGCRADGTKVTSQWEAPDDVVLSPPGIILRPAWCCSGSGTMRVQQSCSRRGRRPPAAGHGQGRAQLSSCHLTACFATEAKHLGSGGSHPPVKFV >Sspon.03G0013460-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:54483825:54492805:1 gene:Sspon.03G0013460-3C transcript:Sspon.03G0013460-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARQGSRRTRADQWLFGGKWRGTVKETRHPVVPEAKPPPNPTPVVQKDEGICLEKSRVHVVPGLGQREIVDVAPGRRSMPEMEINMKEVVAVLGVKVMAADMPPFMQLHAFRCAKRSHDSLDKFSSRQLAHDVKKEFDKVYGPTWHCIVGTSYGSFVTHSRGCFLYFSMDKMIVMLFKTKIRKETNFPALCFPNHHL >Sspon.04G0033720-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:67821653:67823230:-1 gene:Sspon.04G0033720-2D transcript:Sspon.04G0033720-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MYIPYCQFEMLLVLLVYLTCFQEHGDKTLSLKQNACGEDHIARAFTCIVDQILKNHSGNGLKTLKLNIFHCHNLDAHYLNNWLQIAITPRVENLILKLPLQYEEEYSFPCSLLFGGNGNSIQHLHLTSCAFRPTLTKFYLFGVRITGEELGCLLSNAFALEQFELRDCSEIICLKIPCVLQRLSRVTVSTWEMLQMIESKAPNLSTFKFVFSDDLVQLSLVSSQMTATFSVMLLPSFYISHQMLKLFVCLHLVRYVTTPMAAAKFLHLKHLEIYLDGDISQGYDYLSLVSFLSASPVLETFIIRLSRYSSCTTYIVSMVDQDEMSFDSVFGDASHMRQMLEHKHDSLKNVTILGFCSAKSMVELTCHILENATSLECITLDSVLDRNDDDNVGRCSLPSTRKTGDCYYLSNEKILEAYNGLEAIQRYIVGRVPSAVKLDVRGPCCRCRTLEH >Sspon.03G0007820-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:21215966:21219393:-1 gene:Sspon.03G0007820-1A transcript:Sspon.03G0007820-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAILAPRSHLLLGLTRPQQPTALRACAAFSRSRGAPVPPRAAQPRRVFLGLGATFVDQVTRMASGGTSSHSFVAGARPREGVSPVEQILKNVEWPDEFPFKPEDFSRFDESSDTLFYSVPRFVTHIDDQAIQALTEYYSEVLPPSNAPGVAILDMCSSWVSHYPPGYKQEKIVGMGMNEDELKRNPVLTEYVVQDLNVNPKLPFEDNTFDVLTNVVSVDYLTKPIDIFKEMRRILKPSGLAIMRILNSSSNFGYSFSNRCFWTKAISIWTSTGDADHAWIVGAYFHYAGGFEPPQAVDISPNPGRTDPMYVVCSRKKI >Sspon.01G0060040-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:72685906:72690462:-1 gene:Sspon.01G0060040-1D transcript:Sspon.01G0060040-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVNTAAAAAAISGGSASASQPRRAPPSLLPLRRRCAVRAVHAAEPSKNPGVVVPAAAKTSSPTTVAPENDAAPARAPKAAPAAAKWAVDSWRTKKALQLPEYPNPAELEAVLKTIEAFPPIVFAGEARHLEERLADAAMGRAFLLQGGDCAESFKEFNSNNIRDTFRVLLQMSAVLMFGAQMPVVKVGRMAGQFAKPRSEAFEVRDGVKLPSYRGDNINGEAFDEKSRVPDPQRMIRAYAQSAATLNLLRAFATGGYAAMQRVTQWNLDFTEHSEQGDSYWTHSAGLTPDHPLTTTTEFWTSHECLLLPYEQALTRQDSTSGLFYDCSAHMLWVGERTRQLDGAHVEFLRGIANPLGIKVSDKMNPSDLVKLIDILNPTNKPGRITVITRMGAENMRVKLPHLIRAVRQAGQIVTWITDPMHGNTIKAPCGLKTRPFDNILAEVRAFFDVHEQEGSHPGGVHLEMTGQNVTECIGGSRTVTFDDLSDRYHTHCDPRLNASQSLELAFIIAERLRKRRIRSSSGLNNILPLPHFGF >Sspon.08G0016430-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:59528503:59529326:1 gene:Sspon.08G0016430-2B transcript:Sspon.08G0016430-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MWQSVTLHTNLGDIKCEVFCDQVPRTAENFLALCASGYYDGTVFHRNIKGFMIQGGDPTGTGKGGTSIWGTKFADEFRESLKHNARGIMSMANSGPNTNGSQFFITYAKQPHLNGHYTVFAKVIHGFEVLDLMEKAQTGPGDRPLAEIRLNRVTIHANPLAL >Sspon.06G0006310-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6A:21980772:21984229:-1 gene:Sspon.06G0006310-1A transcript:Sspon.06G0006310-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGAAPPPKQEELQPHPVKDQLPSVSYCITSPPPWPEAVILGFQHYIVMLGTSVIIPSALVPQMGGGNEEKARVIQTLLFVAGINTLCQSFFGTRLPAVMGGSYTVVAPTISIIMAGRYSNEADPHEYLPHAAHAAKPVFDRFSVIFQLRLYGCMHTFSRIRVPYPFQWGAPTFDAGESFAMMVAAFVALVESSGTFIAVSRYASATMIPPSVLGRGIGWQGIGTLLGAFFGTANGTAVSVENAGLLALTHVGSRRVVQISAGFMIFFSILGKFGAIFASIPLPIFAALYCIFFAYIGACGLSFLQFCNLNSFRTKFIMGFSLFMGLSVPQYFNEYTSVAGYGPVHTGARWFNDMINVPFSSKPFVAVLVAFFLDNTIQVRDSGVRRDRGYHWWDKFRSFKTDSRSEEFYSLPFNLNKFFPSV >Sspon.02G0040680-3D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2D:66329059:66329277:-1 gene:Sspon.02G0040680-3D transcript:Sspon.02G0040680-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNARYVDHVWWTGVTLDGELERGKVEAAISTMMGSGESETGTELWGRARELSRRAAESVAKAGSSDLNVDML >Sspon.01G0021270-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:83110579:83114413:1 gene:Sspon.01G0021270-2B transcript:Sspon.01G0021270-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LSTFTSLSSRKSHPHRKAKASSAHSHATPLFINLESSPRASPNREEMSRAHDGHRSFFPVGNPFRMIFPRGAHLSPKLTELLASYEDGLASSLRKLKPEATSEVLTLSWMKLAVDCLSELHTNIATLITELELPLSDWDEKWVDIYLNSSVKLLDICIVLSSELSRLDQGQLLVRYLLNVLDSGSDMPSQEQLKKAEVSLKEWIERASERSLRLDSCLAALQELSGNLCLMKVKHSAKGKVLMRALYGIEAVTVLICSVLVAVLSGSFKPLMALLGEIG >Sspon.07G0023670-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7B:18406102:18406608:1 gene:Sspon.07G0023670-1B transcript:Sspon.07G0023670-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPRRRDPAPPSLRSAGEHHPSPVSSAATALGPLLPSSLTPLAVEIRSSRSRDPVPPSLPPFGQPASTTLRLRARPPRPWDRCYSSRLSSSMRSCSASVSQSSFACSLHPTRPLPELLLAQRPRIRPFPASPFSPPVVAAATAVQVSFLPSGSASSGLCSVLWLRSGQ >Sspon.03G0030090-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:27765514:27771600:1 gene:Sspon.03G0030090-2C transcript:Sspon.03G0030090-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGSRREEERNEKIIRGLMKLPPNRKCINCNSVGPQYVCTNFWTFICLSCSGIHREFTHRVKSVSMSKFTTQEVQALEQGGNQRARDIYLKDWDWQRMRLPVNTNPDRIREFIRAVYVDKKYAGGSSNKPATDSESMKGNDNDMRRPSSYHSYSQSPPYDFQYEDRRYGKQVDTLARRPSDRALFDGKLGNLLFSPGRLREQMNEDRFANESSGSRFSDFSASSTGDFRNDVLSPSSQETGYSSPSVHHSRNVSADNPQSQKYPNAASQIDFNGVRRSQRTASSGSFGSFDGSSVSNKSFESGNPPDAPTEKSAHCAVNHQTVASPEACSTQQYASPPNNHNLVPQKPADLGSQTAATRKPVQHSGAQIEAVVSMRAPVQPMTSTPLDLFDQSTVQQPVTSAAPIDLFAGFNEQLPASHNTDNVSSHSDVAKEPAHSVVQKGIVPSAEALATSHAVHQDLFSLSILPEPATSSPSQPIDLFAGFDQHLPHLSTVQKIPSAAPLPANDGWAFFDMQHGSLTSVSNVQGQVPAAFPPSDGIAKGIDQSTLPTSPPNAIGSQSSLAMMDNWSLNAEEVKIPVPKKILSFTCLSWNAFGESTQGTSNNLFTFNTMPQVEAHQFSMPSGPYVEARTPQDLARGEPERPTPGDMFSGFNVSHVEVVGPSFPSSLEPHLGGMVSHPGKSTNPFDMAFESDVDANDMFMDLTSLQKALPDPHTPTDYSGSLTEPWISQNSTMSYIPSGPQGVQHIKELFHLGIHLSER >Sspon.08G0015730-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:62930569:62932754:1 gene:Sspon.08G0015730-1A transcript:Sspon.08G0015730-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSNRNLSRAEGRRLGNVALIAFMVGSLLLLSLIRAKFSPIGKTGDAIKAEEQQAMAKEMATAHEAAAAAEEEEEETRSKPTDSNSGTSVSGAAIVVGDGHAERASKPVCYESSRRSDTCEATGDVRVVGRAQTVLASPLDREWKVKPYCRKHDAFALSHVKEWTLRPVGGDAEAPRCTVNSSATAFVLSTGGFTGNLFHDYTDVLIPAFITARRYGGDVQLLVSSYKPWWTTKYLQVLQQLSRHEVVDADADAEVRCYPRVVVGPTFHRELGVDASSSPSSSPGVSMPEFRAMLRDAFGLERAAAAPSGDLWDIRRRPRLLIISRRTSRRLLNERAMVDMATSLGFDVRTGDPEVSTDVGRFARLVNSADVMVGVHGDGLTNMVFLPAGAVLVQVVPYGGLEWLARGTFREPAEGMEVHYLEYVVQKDETTLSEEYGEDDPVIKDPAAIHKQGWDALKAVYLDKQNVRPHLGRLKNTFVQALKLLPHGRQTTDD >Sspon.02G0018780-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:63460915:63464127:1 gene:Sspon.02G0018780-3C transcript:Sspon.02G0018780-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLLTPPTAAEVARKHSHSHRAAGDNTDDTSGGGSNGSSPPSPTTTQQLWVFGDSYADTGNLGDLGRELTHAWYDPYGATFPGRPTGRFSDGRVLTDFVASAMGLPTPVAYKLRRGAARGLLARGMNFAVGGAGVLDTGNFQRNISAQIDLFQAQQQHPVPAAVAAHGGGVALVVVSGNDYSYAADKDNSTSAAIAYIPTVVRELGEQLRRLRDDVGMRRVVVTNLHPLGCTPLFTRALNYSGCDPLANAGAAQHNAALQSVLAALDPANRTFLLLDLNAPFAAFADAPPAWAASSRFAEPRRPCCETFLSTAGGGYCGQQDDDGRRQYALCDDPSKHFYWDNVHPTQAAWAAVAETFRPKIREFVSS >Sspon.03G0017580-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:79006228:79013019:1 gene:Sspon.03G0017580-2B transcript:Sspon.03G0017580-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARLGREGCLSGQGRGIREDTLRNFVFNVLAAVLILEFLSGINLIVQTSALEVVAPAMPPFQGWRPFHSLASQAEVLVSVSAQPADGHQRQKKLYSSAVAMSSAHPPISAPSYSSMPGALDLAIYSSDLSHTPVQHTRRLATAAPAHVDADPPDAASNSSAAPSGLVQPPVSPHNGCCAPNMVQKRGTQDCHCVYPVRIELFLRNVSLTSNWSNEFLQELASQLNLRVNQFEIVNFYVVGASGLNITMDIAPHTGISFAADQVKAMNYSLTLHTVRIDPVLVGDYNLLNLTWFRPLAPASAKQRTPDAVSTVESLPRPTSTRFLSYEELKVATNNFEPSSVLGEGGFGRVFKGVLSDGTAVAIKKLTSGGHQGDKEFLVEVEMLSRLHHRNLVKLIGYFSNRESSQNLLCYELVPNGSLEAWLHGALGASCPLDWDTRMRIALDAARGLAYLHEDSQPCVIHRDFKASNILLENDFHAKVSDFGLAKQAPEGRTNYLSTRVMGTFGYVAPEYAMTGHLLVKSDVYSYGVVLLELLTGRRPVDMSQPSGQENLVTWARPILRDQDRLEELADPRLGGQYPKDDFVRVCTIAAACVSPEANQRPTMGEVVQSLKMVQRSVESQESMPTPPARPNIRQSSTTYESDGTSSMFSSGPFSGLSPFETETIPRTAVFSEDLHEGR >Sspon.07G0011890-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:42551887:42554473:-1 gene:Sspon.07G0011890-1A transcript:Sspon.07G0011890-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARILLHGSLHVTIFEAEELSNSSRPSSQAPGFLRKLVETVEDTVGVGKGTSKIYATIGLGKARVGRTRTLTDETASPRWYESFHVYCAHLASDVVFTIRAKNPIGASTVGVAYLPVRDIFDGHEVDRWLHLCGDDKDRTPLESGGKVHVKLQYFDISKDRSWGRGVRSGKYPGVPYTFFSQRQGCRVTLYQDAHVPDGFVPRIPLDGGRCYEAHRCWEDIFDAISGAKHLIYITGWSVYTEITLLRDGARPPRPGGGVTLGELLKKKAGEGVRVLMLVWDDRTSVGVLKKDGLMATHDEETMNYFQGTDVHCVLCPRNPDDSGSIVQDLQISTMFTHHQKIVVVDHDMPVPRSSQQRQRRILSFVGGLDLCDGRYDTPSHSLFRTLDGVHHDDFHQPNFATAAIAKGGPREPWHDIHCRLEGPVAWDVLYNFEQRWRKQGGKDLLIQLRDLADEVIPPSPVTFPDDPETWNVQLFRSIDGGAAFGFPDTPDDATRAGLVSGKDQIIDRSIQDAYIHAIRRARSFIYIENQYFLGSSYCWKPDGIKPEDIGALHLIPKELSMKVVSKIEAGERFAVYVVVPMWPEGIPESGSVQAILDWQRRTMEMMYTDIAQAIQAKGIDANPRDYLTFFCLGNREAKKAGEYVPTEEAEPDTDYIKAQHNRRFMIYVHTKMMMVDDEYIIVGSANINQRSMDGARDSEIAMGAYQPHHLAAASRPARGQVHGFRMSLWYEHLGAVDDAFTRPDSLECIRKVNAMADRYWDLYAGDGPERDLPGHLLTYPVAVAADGSVNQLPGTEFFPDTQARVLGAKSDYLPPILTT >Sspon.06G0021530-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:16856057:16857435:-1 gene:Sspon.06G0021530-1B transcript:Sspon.06G0021530-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARQGHGYRDYFSQSSSSSVTRTFSASAAAAPNADDYGFFPDTPAARSVSTPRAHMENLDLNSQVDAFPYLESYSGYLQSEEGDGEQALPPLGHGARSGHGAFQPPRPAGGGGTSGGGGRPTSRRSRSAGTGAGPHVGVSGMGGGGRAPLMPNSVARGATSAVPQVVGGRDGIEGEDDNVPQSKNYDKANWTNENNTYIFCELAVDQIRVGERPNGQMTGRGYKEIQKKFREKTGLYHEIRQLKNRWTQCKSMWTFHEMTLNNTGLGRNPNGTVIADDD >Sspon.03G0035190-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3B:79280308:79280775:-1 gene:Sspon.03G0035190-1B transcript:Sspon.03G0035190-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPGIKSMEIDTEKCTLTVVGTVDPVRIVQKLKKKCFEATIVSVEDDKPQEKKDPCKEACEKLCKEKCDKITCCKECKEKCEKDCKDKCEKACEAWLGKGCCSCSRCKPSPGCYYDPCAVPSYYPYGYYNGCASKPYPYYGCYEERSHEGACTIQ >Sspon.04G0007230-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:20328070:20337537:-1 gene:Sspon.04G0007230-3C transcript:Sspon.04G0007230-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAAVSASAPSPSSGTGEEAQAAPLPHSTLEIAGARRGLLSGFASLRAPYRAFPVLASNRHVETIFAALTRSLPAVKLRRECLRAPDDGAIALDWVSGDDRALPMDAPVLILLPGLTGGSDDTYVRHMLLRARSKGWRVVVFNSRGCADSPVTTPKFYSASFTGDLRQVIGHILGRYPQSNVYAAGWSLGANILVRYLGEETDKCPLSGAVSLCNPFNLVIADEDFHKGFNNVYDRALARALTTIFKKHALIFEGLEGEYDIPKAANARTVRDFDEGLTRVSFGFKSVDDYYSNSSSSDSIKNVCIPLLCIQADNDPIAPSRGIPRDDIKANKNCLLIVTPQGGHLGWVAGDEAPFGCPWTDPIVMEYLEYLENEKDSSTKNNISYEQQGVSEASVPHLTVHGQR >Sspon.01G0029560-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1A:102715713:102717002:-1 gene:Sspon.01G0029560-1A transcript:Sspon.01G0029560-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding TVHVIAVLLVVVVWRHRAPGQRHEPTSSPPARASAAYIKRLRSPPRASANCQSALHARGKTDHQRRERREDNREMEAARTVDPAAGLDVDKLTYEIFSILESKFLFGYDDPKLFSPASAGASPSPGPAAAVSSGKATPTRPAAAGAKVCILSIDGGGRAADGLLAGAALVRLEASLRRRTGDDGARLADFFDVAAGSGAGGVLAAMLVARGPDGRPRFSADDALAFLLRSLRRGGCWSSDAQGLGGLRGLFQFRRPGGAAAAFRRVFGDLTLRDTVRPVLVPCYDLATAAPFLFSRADAVETRAYDFRLRDVCAATCAGSAVVEARSCDGSTRIVAVGGGVALGNPTAAAITHVLNNRRDFPLAAGVEHLLVVSIGSGEAGHDQRERERGGASTSQIVRIAAEGVADTVDQAVAMAFGHNRTTNYIRIQ >Sspon.06G0014840-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6A:81679700:81680474:-1 gene:Sspon.06G0014840-1A transcript:Sspon.06G0014840-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFVTAEWWTLSINPTPRTSTSRLLSAKSYAKANPLLIQVPVLGTTAKKFWRLSDKATRITRKLALILRSHHAVGKHLAAPLQVSNVWIGSAGGGSAGGGSAVVKLRGVSFTAKGFGVDRVRDDYKHLSRVLQAILRVSSGGDVAIARVQEDAVRPAAAQQEREEPLARVRRRHRGDPVLRVARAAHGVMAMVKMLHLEGELEATDIENKFG >Sspon.08G0005120-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:12399603:12402574:-1 gene:Sspon.08G0005120-2B transcript:Sspon.08G0005120-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Formamidopyrimidine-DNA glycosylase [Source:Projected from Arabidopsis thaliana (AT1G52500) UniProtKB/Swiss-Prot;Acc:O80358] MPELPEVEAARRALQAHCVGRRIARCAVADDAKVVVAAAGRAAFERAMVGRTIVAARRRGKNLWLQLDAPPFPSFQFGMAGAIYIKGIPVTKSVVNSEEEWPSKYSKFFAELDDGLEFSFTDKRRFARVRLFEDPETVPPISELGPDALFEPMSVDNFLDSLGRKKIGIKALLLDQSFISGIGNWIADEVLYQSRIHPLQIASNLPRESCEALHRSIQAVVKYAVEVDADMGRFPKEWLFHHRWGKKPGKVNGKKIEFITAGGRTTAYVPQLQKLIGTQSSKMIAANLERLAKNGDTKDSGTEGEDADILKPKKRAATSRAARGQQNKNTVGASSRKARGNGGGSKKTDADVEPAEPETVVTESNGEQVLDQPNSNATNKSDQVTRRSSRKVKPRQ >Sspon.02G0019040-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:57733048:57737237:1 gene:Sspon.02G0019040-2D transcript:Sspon.02G0019040-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chaperonin 60 subunit alpha 2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G18820) UniProtKB/Swiss-Prot;Acc:Q56XV8] MYLTAVSFPPAAGFSSSSQQQLLPGSARVGRRLVVRADVKVISTGDACRRGLAAGIDKLADAVAVTLGPKGEALASLLRFLLSCWGCSEKFIAGRNVVIDQDDVPKVINDGVTIAKAIELPNALEHAGATLLQEVSQIAAKTNSAVGDGTTTAIVLAREIINLGLLAVATGANPVALRRGIDKAVHELIKILKSKCIPVSTKEDIKAVASISSGNDEYVGNLIADALEKIGPDGIIKIESSSSIYTTVEVQEGMKIDKGYVSPYFITNQDKAIVEFENARVLLTDQCVNEVREILPLLEKTTQLSVPLLIIAEDVSHAVYSTLVLNKLNGLLNVAVVKCPGLGDEKKAILQDIAIMTGADFFASDLGWGLHGITSDQLGIAQKITVTSESTTIIAHPSMRPEIEARIMQLKKDLEETTSSYLKERFSARIAKLSRVCFSRYERNCFLSQVGAATEAELEDRKLRVEDAKNATFAAISEGITPGGGVTYVHLSKQIPSIMDLVDDPEEKMGVNIVGKALLVPAMTIARNAGADGPAVVEKLLASEWRVGYNAMTGEFEDLVAAGVVDPCRVARCVLQNSASIAGLILMTQAMMFDKVKKKKSPIPEIPGLPPLQISQKAKA >Sspon.02G0014850-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:33555135:33557044:1 gene:Sspon.02G0014850-4D transcript:Sspon.02G0014850-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTAASSWRLLSPASSSPPPCPQQRPKRQATFAASPLQAQQSASSSAKFRLLCLLHDKPASPTTSVQQSSSQLQRLAAVLQCGAVWAAVEAPAALATVTGEEDLDLLGILPPIAAFAVFYLFVAPPLIMNWMRLRWYKREFVETYLQFMFTYLFFPGLMLWAPFVNFRKFPRDPTMKYPWSKPKEGTPLFKDRYPPIDSYKP >Sspon.05G0008650-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5A:25883268:25885318:1 gene:Sspon.05G0008650-1A transcript:Sspon.05G0008650-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAVPTAALPTPARAAPAPPRAPLRADPRYLPQLHAALIKSGELTASPKSFHSLLEAAAASPTLLPYAVSLVRLGPRPPPSTPCYNVLMRACLHAGHPEDALHLFSCSRMSALDVGRGVQAYAVKRGLMADRFVLSSLIHMYASRRDVATARLLFDAVEENGVVMWNAIIAAYLKNGDWMEVVEMFKGMLEVGVAFDEVTLVSVISACGRIGDSKLGKWVAGYVDEKGLVRNRNLMTALIDMYAKCGELGKARRLFDGMQSRDVVAWSAMISGYTQADQCREALALFCEMQLAEVEPNDVTMVSVLSACAVLGALETGKWVHSYIRRKRLSLTIVLGTALVDFYAKCGCIDDAVEAFESMPVKNSWTWTALIKGMATNGRGREALELFSSMRKASIEPTDVTFIGVLMACSHSCLVEEGRQHFDSMTQDYGIKPRVEHYGCIVDLLGRAGLIDEAYQFIRTMPIEPNAVIWRALLSSCAVHKNVEIGEEALKHIISLNPSHSGDYILLSNIYASVGQWKDAAMIRKEMKDRGIEKTPGCSLIELDGAVFEFFAEDSDHPQLRQIYQKVEEMIDRIKMAGYIPNTADVRLEVDEHEKEVSVSHHSEKLAIAFGLMKLDPGATIRLSKNLRVCTDCHSATKLISKVYNREIVVRDRNRFHHFKD >Sspon.06G0009810-5P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6B:42426709:42427193:-1 gene:Sspon.06G0009810-5P transcript:Sspon.06G0009810-5P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VKVNGFVCKDPMAVNADDFFKAANLDKPRDTNKVGSNVTLINVMQLPGLNTLGISLARIDYAPLGQNPPHTHPRATEILTVLEGTLYVGFVTSNTDNGNKLFAKVLNKGDVFVFPQGLIHFQFNPIHDKPAVAIAALSSQNPGAITIANAVFGSKPPISDD >Sspon.01G0039360-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:34303141:34305098:-1 gene:Sspon.01G0039360-1B transcript:Sspon.01G0039360-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNKAGGSAPPDEEDVPDEDEEEDPEEAQLKREALRAAQHAVSQQKMKTNAFDSEIVRLRQTSESVLPTDDSSSMDPSKIDLLHPSTMPEKSSVQTPELFKGVLKEYQLKGLQWLVNCYEQGLNGILADEMGLGKTVQAMAFLSHLAEDKNIWGPFLVVAPASVVNNWAEELIRFCPDLKILPYWGPERMVLRKNINPKRLYRRDASFHILITNYQILVNEEKLLRRVKWQYMVLDEAQAIKSSSSQRWKTLLSFNCRNRLLLTGTPIQNNMAELWALLHFIMPTLFDSHEQFNEWFSKGY >Sspon.03G0037190-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3B:97122917:97127604:1 gene:Sspon.03G0037190-1B transcript:Sspon.03G0037190-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHCVLLYPFLLRYVGI >Sspon.03G0046230-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3D:33768827:33769485:1 gene:Sspon.03G0046230-1D transcript:Sspon.03G0046230-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSVCKQTDLKFPFRLLPQITSSSPSRCRVPPLPPTPPPRPNSQHRPPIGLACRSAPSPAANWNNLPAPQSVMPLLAGIGIGVRMRMYDADPTVLHAFARTGSELIVGVHNECLDAVADTGSAT >Sspon.01G0025140-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:97935569:97941102:1 gene:Sspon.01G0025140-2B transcript:Sspon.01G0025140-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRQDQGEAAAEDKTGPLAVLPDDVLADVLRRLPPRGLAVSRCVCKAWLAVVDARRLLRVDLLPLTLGGFFMNFNNYYISEFFAPRPVSDGPSISGKHDYLPEAGCLSWGCVDDHCNGLVLVHSYPDSGDECRYVLNPATRWLAPLPPCPPPPMEIKHTFQFEYLAYDPTESPYYEVVSITRFHWVHRPGDCLYDSCRDTLDPEIEQSEWPPSVCILQVFSSRTEQWEERSFAREGNPIGTVSGMRPDWPNDQRNAVYWRGALYVHCKTDSVMRISMFSGKYHVIKPPPGIEANGDPQFYLGKSSKGIYCASVKGSCRVQVWNLIESGYQMVWVLKQDTDLSKLLKHKLEYSRPCANYGRKIQGPWASQDINYYYDDDRNRDHNMELPAEEKSDLTSQAFEDEKFAWRSDDEYADYYCGYMEILGFHPCKEIIFLSESITRGLAYHFNSSKVEVLGNLYPAGYEKELGNEQVLRSSFPEMLINGVNTMLKVVAKILAAKSKVED >Sspon.06G0006650-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:25839653:25840423:-1 gene:Sspon.06G0006650-1P transcript:Sspon.06G0006650-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVEYLLSGWPLSSWISPGAAFFLFVNVLIGAIVVTSRGHHQGGRAAAASTRRLCRSASSMVLDRLRSFSMFSVHPAVPVEEDYSTSSPELELEAEELEQPHQPAVAEPARSPVAAAVAAPADGGASSEIAKEEAEAGQDMSTSSDDEAARGLALQQGHGRQQSPSVDVVTADEAAAAVESRRQEEKLVEATMQRAPACRRETEEAQEGKAALNARAESFIRKFREDLKLERLNSIINYTRTLRRGAGAPSPTAQ >Sspon.02G0026700-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2A:94583878:94584531:-1 gene:Sspon.02G0026700-1A transcript:Sspon.02G0026700-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRFVGATHSPAAPTVRFDRRGVLFYRPPYKVLGAVSRPKSAHARFPVLPTRLALPGRLLRRHWHAVSPVATEPARTTAARDPARRGGSHNVPGEASAAAQAGPSATSYISAAEAPTRVRLALALAAPRRRRGGGDPDEGQASSSGWCLPDVGATAATPTRTRPAVAGGGPTADLAMQQQVRRPDATSDGWPAARRRSPASSAVSDGGAWARLVDHG >Sspon.05G0019410-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:80933277:80934374:-1 gene:Sspon.05G0019410-3C transcript:Sspon.05G0019410-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSRAVIGLLVAASSLAVALSDGGATLFSYTEGSSDGPQNWGKLSPAYKACGQGKQQSPIDIVTKQAIPNANLDSLNRTYGAVSATLINDGHDITLAFGGKVGTITVNGKVYNFDKMHWHSPSDHTINGQRFPLELHLVHKSADGAVAVIGILYQLGAPDSFYYQLKRQLVEMANDQCHFGEEESHVEVGLIHLRSLQKRTGSYFRYTGSLTVPPCTENVVWSVLGKVRQISKEQLELLKAPLPGSDNRPTQPLNGRKVQFYNPPNSTISFQ >Sspon.02G0020740-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:67489325:67494050:1 gene:Sspon.02G0020740-1A transcript:Sspon.02G0020740-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGGEDLISVLPDGVLGEIVTRLPTADAVRAQVLSTRWRHIWRSSPFNLDLRSPNYYDDYKRLVIIEDAPLLEKLVPCRRSVNAESFKLRVVSAPRLRVLGSLSYTIPKLEIGRTAVMLATTLRTVKILALEDADSIDVVSNYLKCFPCLEKLYISASQGYRSAANYDKQNPIECVEHHLKMVALDGYDGIRPHVKFAQFFVRNARLLELMKFRIFRNYDCEPGRTKEWIEDQQRQLQVRSMASRHVKFHFVHDARYYQDVYCGEDISDLSEHIHDSSRDDPFVQWKPRHAEGKEDAIKLKCHPITCSACLSWRYKDTHADLSSYNDDQA >Sspon.05G0008130-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:26850950:26857233:-1 gene:Sspon.05G0008130-4D transcript:Sspon.05G0008130-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGCDDPRAAPARSVAVVGAGVSGLAAAYRLRKSGVNVTVFEAADRAGGKIRTNSEGGFLWDEGANTMPNSQHKRYIVKDGAPALIPSDPISLMKSSVLSTKSKIELFFEPFLYKKANTRNSGKVSDEHLSESVGSFFERHFGREVVDYLIDPFVAGTSAGDPESLSIRHVFPALWNLERKYGSVIVGAILSKLTAKGDPVKTRPDSSGKRRNRRVSFSFHGGMQSLINALHNEVGDDNVKLGTEVLSLACAFDGVPAPGGWSISVDSKDASGKDLAKNQTFDAVIMTAPLSNVQRMKFTKGGAPFVLDFLPKVDYLPLSLMVTAFKKEDVKKPLEGFGVLIPYKEQQKHGLKTLGSLVEYSVPHLYCANVYRVGTLFSSMMFPDRAPDDQYLYTTFVGGSHNRDLAGAPTSILKQLVTSDLKKLLGVEGQPTFVKETKAYILGKCFSLYGHDYNSVLEAIEKMEKNLPGFFYAGNNKDGLAVGSVIASGSQAADLAISYLESHTKHNNSH >Sspon.06G0034130-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:39499776:39501823:-1 gene:Sspon.06G0034130-1D transcript:Sspon.06G0034130-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSRSRRNRRRLGRTPRDWTALPPDALLAILGKLDHIDILRGAELVCRSWRRAARDEPELWRRINMLYHAELFFECDLHALARTAVRRSAGLCEAFWGEYAGDDRLILYLADRAPLLKSLRFISCYDVCQEAFMEAMTKFPLLEELELSICPNVYGEAFAVVGASCPNLKRFRLSKNVFVNIEGGSRDKDEEAMGIAKMHELRSLQLFNCELTNAGMTAILDGCPSLESLDIRQCFNVKMDRATICAKCPRIETLKLPHDSTAGYEFQVHPPQYIVR >Sspon.04G0022930-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:13922245:13925560:-1 gene:Sspon.04G0022930-2C transcript:Sspon.04G0022930-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADQANQPTVLHKLGGQFHLSSSFSEGVRARNICPSVSSYERRFTTRNYMTQSLFSPSMSVSGGINVPVMQTPLFANAPAEKGGKNFMIDFMMGGVSAAVSKTAAAPIERVKLLIQNQDEMIKSGRLSEPYKGIGDCFKRTIKDEGFSSLWRGNTANVIRYFPTQALNFAFKDYFKRLFNFKKDRDGYWKWFAGNLASGGAAGASSLFFVYSLDYARTRLANDAKAAKGGGDRQFNGLVDVYRKTLKSDGIAGLYRGFNISCVGIIVYRGLYFGLYDSIKPVVLTGNLQDNFFASFALGWLITNGAGLASYPIDTVRRRMMMTSGEAVKYKSSLDAFQQILKKEGPKSLFKGAGANILRAIAGAGVLSGYDQLQILFFGKKYGSGGA >Sspon.05G0002800-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:8369841:8371193:1 gene:Sspon.05G0002800-1A transcript:Sspon.05G0002800-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEELQDCNSKSLAALPGSLVLHLFRLFGQQDQSSWQKYILAYFLLVRNDYFSGESKKHSDVFCDISELDFFPYATDLDSEELELNEQKPISKAQSGGDSSSNRSNDCYFPGLHDDLSQDCLAWASRSDYPSLSCLNKRFNLLINSGYLYKLRRKYGIVEHWVYLACSLMPWEAFDPSRKRWMRLPRMPCDECFSCADKESLAVGTQLLVFGREYTGLAIWMYNLLTRSWSPCTPMNLPRCLFASGSSGEIAIVAGGCDKNGQVLRSVELYNSEIGHWETIPDMNLPRRLSSGFFMDGKFYVIGGVSSQRDSLTCGEEYNLETRTWRRILDMYPGGTSASQSPPLVAVVNNQLYAADQSTNVVKKYDKVNNAWNIVKPLPVRADSSNGWGLAFKACGDRLLVIGGHRGPRGEVILLHSWCPEGGEDGADWEVLSVKERAGVFVYNCAIMGC >Sspon.05G0038910-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5D:56132753:56136216:-1 gene:Sspon.05G0038910-1D transcript:Sspon.05G0038910-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLYRASQDDGPSRQRRSRRRREQTPPEDEVPATPEAEADEGQEDPEQEGEPSAEANEGSAASGGPHPTCEVPPASRPTDTTSPTHWEFVEGRGRKPNGILGLLCREHYPGIVQYAGKREPAYTFDHYTVVPDPNYPNKAARVRAELWDFYRCEQGKEAEAEVVSTRSCRKLVTDIIYEARIQAIVNYNATVEGRRVSKVEARGMTLSRAQYIQMVPQWCQSFPECWEMIVDRWLSEEWTAQHAEAREARLQMPGVPHHQGNRNLAEYAQAWVHGVTRWPALHHVHGIRHVPQGQGHRTGDLLQPRRPPEAYSNSSVHTRLTDYTEAARKVHGADFDPASEDLDGSLVMRVGGGKKHGRYWLGDGVIDSSSTPTLSQLRAQTTSGSVPIRPRPSPSQSRVAELEARLEREREERKLAESQMRSQMEARLEAQAKQMQDLITFMASQGMQVPAALMAPPPPSQNADSTPPPSAGSNNPQAPGWNTPGVKAGLLLRGGQGKGCSRQRLPLPSAMVSALGKAGKHGCKNTHFPALPRAMAVALGKGQALPRAALGKAFAEGLRGFAESLEPSAKPPPPVVLVSIIQHNLPETFIEYFGEKWLQQWRERLEKHASWISMLRMGERREELASAFVYHCPLKPKAGSDSTMPLLVEAMNRE >Sspon.06G0007500-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:29276313:29280148:-1 gene:Sspon.06G0007500-1A transcript:Sspon.06G0007500-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLQPIPTATLAARRSSGEALFPSRRRRRHVLLPIRADSTPISLSASAPSRPAKPAACTADELHYAPVDGAGWRLALWRYRPPRNAPVRNHPLMLLSGVGTNAVGFDLSPGASFARHMSSQGFDTWIVEVRGAGLSMRDCDDSAASGSVTFEDVSGGIEPFNKHSTFEATSFQSSGGYASDCDDLGIVALDEPPLLAELSNFFDRISKLMEEAVVNGNFHEITEKVSVLSEMVESSTIIGPVREESLRLLKNFQDQLDSWERFVATQMDLTSEYNWDFDHYLEEDIPAAVEYIRQHSKAKDGKLLAIGHSMGGILLYAMLSRSGFEGVSSNLAAIVTLASSVDYTTSNSSLKLLLPLAHPAQALNVPAVPLGMLLAAAYPWASGPPYLFSWLNPQISAQDMMHPELLSKLVSNNFCTVPAKVVLQLTTAFKEGGLCNRNGTFSYKDHLQECQTPVLALAGDKDLICPPEAVYETVKLIPKHKVNYRVFGKPQGPHYAHYDLVGGRLATDDVYPCIIEFLSRHDGC >Sspon.08G0027250-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8C:44359668:44359889:-1 gene:Sspon.08G0027250-1C transcript:Sspon.08G0027250-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AESPRTPSRSGEDWRSAFDSASNGPVAARTNSESRSRSADGRSRRYENGDVSSGANSGSRRTPNRLPPAPPKY >Sspon.05G0031730-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:11118538:11123205:-1 gene:Sspon.05G0031730-2D transcript:Sspon.05G0031730-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVMHRSSSDGGSSSGWSDAAAAVAAVAEERAGWEVRPSGMVVQARDDAAGPGGGGAPPRPPPPEIKVRVKYGGVRHEVSVSPIATFGQLKKLLAPRTGLQPADQQLSYRGRPRGNAEYLDACGVKNKSKMALAEDPASLERRYIERQKNARIETANRAIGAVALEVDKLADQVTSIEKSISRGNKVAEVQITTLIELLMRHAVKLESIPAAGGDSSSQKNIQAKRVQKCVETLDVLKVSNARLQAVVVTTKWETFDAAATTQTQWELFD >Sspon.01G0003480-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:8724484:8724711:-1 gene:Sspon.01G0003480-1P transcript:Sspon.01G0003480-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKAVLVAVLLVQCCDVMIVAARPLLHVTAGPDTRTTMMTMQVLDKSNGPRRPGGGNCNWKKPGHPGCPPQQPSS >Sspon.03G0029490-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3B:16130201:16136159:-1 gene:Sspon.03G0029490-1B transcript:Sspon.03G0029490-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHIHSESIGSLAAWGSNSSAKAGRRYLTTVLRHILLYFNWWMRRAPLVKSRPATSLLHRPSHPPLLAPILTMWPLLGADTSARKSPGPLTSRTFLPLPGHECPSRSSGPARATCQGPATSASDVAPPPPKKLIRPQTSRLSASRSEKQVAAAAHSAATNTDGLLACSAVRAPRLPAIEIRGGFGEARAMNGRGGGGGGGEEEMEEDAGPGCAQGAGAGNKERVVLMWGYLPGVSPQRSPLLGPVPVRLPPSAAAAAGDGWRDVCGGGCGFAMAISESGKLLTWGSADDMGQSYVTAGKHEETPEAFPLPSGVAIVRADAGWAHCVAITDQGDVYTWGWKECVPTGRVIGDQVSVGTMEKDERQMAMATDQGGQAAEQHLVLLKVEALMIAQSDEGCLQQNMDLRARHPVMKVSAPPCVVTFNTGVKIVAVAAGGRHTLALSDLGQVWGWGYGGEGQLGLGSRIRTVSSPHPVPCIESALYSKDRPSAMKGNKAAEEHVSKVLGNCVRAIACGGRHSAVVTDSGALLTFGWGLYGQCGQGNTDDVLSPTCVSSILGIKMQDVAAGNQFGQLGTGSDQAETVPKLVDATSLENKNARSVSCGARHSAIITDEGEVFCWGWNKYGQLGLGDSMDRNVPCSVPVDAYHPLNVSCGWWHTLVLAESPT >Sspon.07G0007070-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:14226236:14228506:-1 gene:Sspon.07G0007070-1P transcript:Sspon.07G0007070-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHAADAHRTDLMTITRHVLNEQSRNPESRGDFTILLSHIVLGCKFVASAVNKAGLAQLIGLAGETNGEEQKKLDVLSNEVFVKALVSSGRTCVLVSEEDEEATFVDPKLRGKYCVCFDPLDGSSNIDCGVSIGTIFGIYMIKDKDNVTLSDVLQPGKDMLAAGYCMYGSSCTLVLSTGTGVNGFTLDPSLGEFILTHPDIKIPKKGKIYSVNEGNAKNWDVPVAKFVEKCKYPKDGSPPKSLRYIGSMVADVHRTLLYGGVFLYPADQKSPNGKLRVLYEVFPMSFLMEQAGGQSFTGKERALDLVPTKIHERSPIFLGSYDDVEEIKALYAEQAKSSSA >Sspon.05G0011710-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:28837204:28838236:1 gene:Sspon.05G0011710-2B transcript:Sspon.05G0011710-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKEKHHRDWILRRCCGAVAACILTLFAVVGFIVLVIYLALHPSKPSFYLQDVQLRSIDLSDPALTLDLQVTIASRNPNDRVGVYYKTLDAFTTYRDEPVTVPVSLPSIYQGHKDVSVWSPVMSGDAVPISQYVADAMKQDIAAGYVLLHVKVEGRVKWKVGSWVSGGYHLFVTCPALLATSGSAFAGGAFAASAASGVGVPAGVNTTVSLKFTHPADCTVEV >Sspon.08G0007160-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:22947058:22949014:1 gene:Sspon.08G0007160-3C transcript:Sspon.08G0007160-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LASCAVGVFFLLYFYAPHWAVRGVPGPPALPVVGHLPLLARHGPDVFGLLAKEYGPIFRFHLGRQPLVIVADPELCREVGVRQFKLIPNRSLPAPIAGSPLHQKGLFFTRDERWSAMRNTIISLYQPSHLAGLVPTMQHCIERAADAILAAGVQQNGDVDFSDLSLKLATDIIGQAAFGVDFGLTASGPGGEAAEFIREHVHSTTSLKMDLSAPLSVALGLVAPALQGPVRRLLSRVPGTADWRVARTNARLRARVDEIVAARVRGRGGHHGEGRKDFLSAVLDARDRSAALRELLTPDHISALTYEHLLAGSATTAFTLSSAVYLVAGHPEVEAKLLAEVDGFGPRGAVPTADDLQHRFPYLDQASDTTTQRHVACGGVGRRARTYGRTHLTTRRWALALAWCSSGSRMVHRAQGTWLWMAPGVLSRDAANFPDPGAFRPERFDPASEEQRGRHPCAHIPFGIGPRACVGQRFALQEVKLSMVHLYQRFLFRRSPRMESPPELQFGIVLNFKNGVKLVAVERCAAMS >Sspon.06G0021480-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:15811726:15818761:-1 gene:Sspon.06G0021480-1B transcript:Sspon.06G0021480-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTSTSPRAAAPQLLLVLSLLLSAASASSLLPPNNAHQPRPSPAAPAAKAREEGGGVSAGLISTLRETLDAIRGVASIISSFPIGGGGILGGGGGDLRLASAVADCLDLLDLSSDELSWSMSTTSSDDYSPAGVGAEAGGRLVGTGDARSDLRSWLSGALGNQDTCKEGLDETGSLLASLVATGLDAVTSLLADGLGQVAAGAGESSSRRGTLGAAAPPRWVRARERRLLQMPVGPGGLPVDAVVAQDGSGNFTTVSAAVEAAPSQSAARHVIYVKKGVYRETVEVKKKKWNLMLVGDGMGVTVISGHRSYVDGYTTYRSATVGECSMSRNNCSSALSESSPPSSAHHSSTPVNTQPPAHPMITRARAGVFKPNPRSCFSFIARDLTFENTAGPSKHQAVALRCDSDLSVFYRCGFEGYQDTLYAHSLRHFYRDCRVTGTVDFVFGNAAAVFQNCSLLARRPLPDQKNSVTAQGRLDANMTTGFAFQFCNVSAHADLLNGTTNATAAAAPPTQTYLGRPWKQYSRVVFMQSYIGDLVRPEGWLAWDGDFALDTLYYGEYINTGPGAGVAARVKWPGFHVMTSPAEAANFTVAQFIEGNMWLPPTGVKYTAGLTSSS >Sspon.01G0014180-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:46650168:46651466:1 gene:Sspon.01G0014180-2B transcript:Sspon.01G0014180-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQAAALLALLAVLVVTHSGASALPVVNNHTSAVRQLRGGGWQAAKATWYGAPNGAGPDDNGGACGFKNTNQYPFMSMTSCGNQPLFQDGQGCGACYQIRCTAKNNPACSGEVKTVMITDMNYYPVARYHFDLSGTAFGSMARPGLNDKLRHAGIIDMQFRRVACNHRGLTVNFHVEAGSNPNYLAVLVEYANKAGTVVQMDAMEANSGYWMPMRRSWGSIWRLDSYRPLRGPFSMRIRSENGRTLVANNVIPANWRPNTDYRSYVQF >Sspon.03G0017420-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:74282358:74286216:-1 gene:Sspon.03G0017420-3C transcript:Sspon.03G0017420-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDEARHGPAGAAQAVVRASSEDRKPVSSGSPPPAAVAHKIQLKSADMKEEMRQEAFEIARVAFEKHSMEKDIAEYIKKEFDKNHGPTWHCIVGRNFGSYVTHETNYFVYFYIDSKAVLLFKSG >Sspon.02G0053480-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:105584694:105588584:1 gene:Sspon.02G0053480-1C transcript:Sspon.02G0053480-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQLSVWQSRLRIRSRYLVPLVTKSQKNLLLTSPKPIKIFDLLLQEGQIKLSPNHV >Sspon.07G0002620-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7A:6324706:6325786:-1 gene:Sspon.07G0002620-1A transcript:Sspon.07G0002620-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding IAGPTASATRRRLLLLTANYVALLVGSVASSLLSRFYFAHGGRNRWVVTLVQSAGFPLLVISVFFAGRPAAAPRPFTWFSRRFLTVCLVIGALMGANNLLFSYSTSFLPVSTSSLLLSTQLAFTLVLAAIIVRHPLTFVNLNAVVLLTISSLLLALRSGTPARTPRGQRGALLRRLLYRKAVSGGFILAVEVQVAMQAMATAVAAVGLAAVGGAGDDVARWEGSTALYWVVVLTLVLTWQACFMGTAGVIYLTSSLHSGVCMAAVLTANVLGGVVVFGDPFGAEKGIATALCAWGLASYLYGEYTKKKEVAVADPSAADADSVLKSLTARGGPGDELEAV >Sspon.02G0024930-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2A:85728668:85728877:-1 gene:Sspon.02G0024930-1A transcript:Sspon.02G0024930-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQMSATAAGHPASLFLGPSLTSALHRSRSIGTARPYLTFTLPSTTASELHTCTPQAKRHVARPNSRHG >Sspon.08G0019100-2D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8D:10514696:10515095:1 gene:Sspon.08G0019100-2D transcript:Sspon.08G0019100-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DAEIGATGHRGAPRSSASPESLALSSRLQPPLREHEPDTPSPKEGVAGWRCGGDRTGRYGFAIV >Sspon.03G0026500-3T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:3080703:3081484:-1 gene:Sspon.03G0026500-3T transcript:Sspon.03G0026500-3T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKLATSLLAACFAVGLLALAAPPVLAGDPDMLQDICVADYKSLKGPLRLNGFPCKRPENVTANDFFSNVLATPGNTGNAVGSAVTSANVERLPGLNTLGVSVSRIDYAPWGVNPPHVHPRATEVIFVLQGSLDVGFVTTANRLYARTVCVGEVFVFPRGLVHYQRNNGGGPAAVLSAFDSQLPGTQPVAEALFGSSPPVPTDVLARSFQVDGGVVEAIKSKFPPK >Sspon.01G0009740-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:27706272:27709103:-1 gene:Sspon.01G0009740-1A transcript:Sspon.01G0009740-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:MIEL1 [Source:Projected from Arabidopsis thaliana (AT5G18650) UniProtKB/TrEMBL;Acc:A0A178UJV2] MEHDAEARRGFARMGFGSVLLPAAVCSPFAVSAQLKLLLLLLAVRLLTGTVAEAAGASTTGGGAGSARPAAATSSTAAIATTNPRLRRCAATAVSAWGSTSAQRANSWTMTLFYLPDLPTLLVDKEQFHCDDCGICRCVRVPPLFCWLAYFAVNLAIAILLGTSETVTLVWKLLKVGGKDNFFHCQKCGSCYSTTLRDKHCCIENSMKNNCPICYEYMFDSLRETSVLRCGHTMHLQCFHEMLKHDKFSCPICATSIFDMDKLFKALDAEGWIVCNDCRDTTQVFSGVAGHKCCHCQSHNTCRVAPSVLP >Sspon.06G0004890-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6B:13948640:13950014:1 gene:Sspon.06G0004890-2B transcript:Sspon.06G0004890-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VSSLPPPPPRRHGAPRCRPPDLRRHAGRQSAFRNRARGGVQLRPWRRARAGALGQHQEGVGGVRHGANAVAHRPLPRARLAGALPRLPQPRLPPRRGLHRQPRPRHHGEVRGRRREPGDQRHGVLPEAAALALARRAHRGRPQARHGAAPGARERRDEGRGDSHPLRGRPPRGRVPPPPGALHPADRRRAGPGGARRRGGPAARARQRQRLPLHGLPHRAALHRGGHLDHRQEGTYPDQISSFYLLQDMEHNARPLQEANN >Sspon.01G0044530-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:53613860:53621454:-1 gene:Sspon.01G0044530-2C transcript:Sspon.01G0044530-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Switch 2 [Source:Projected from Arabidopsis thaliana (AT1G03750) UniProtKB/Swiss-Prot;Acc:F4I2H2] MSLNRLKETLNACSSSSSQPQTQPRSPPHPALLPRRPPKTSLSQQLLRLEAAASSSSSFSSRPVQLPPAPGPPIEKPREDDEPPSSEDEDERKSRPIIRRCLPPPPAAALESRGPYEPLVLSLPGEHPVVQVPPSINCRLLAHQREGVRFLYNLYRNNHGGVLGDDMGLGKTIQTIAFLSSVIGKGNGHEQSTNKGKKTGPVLILCPTSVIRNWENEFSEWAEFSVAVYHGPNRDLVLGKIETQGLEVLITSFDTFRIHDKILCGISWELVVVDEAHRLKNEKAKLYTACLGITTQKRFGLTGTIMQNKIMELFNLFDWVVPGCLGDREHFRAYYDEPLKQGQRLSAPERFVQVADARKKHLVSVLRKFLLRRTKEETIGHLMLGKEDNIVFCKMSDVQKRVYRRMLQQPDIQILINKDVRCSCGSPLPQVECCKRTEPDGVIWSYLHRDNPDGCSICPFCLVLPCLVKLQQISNHLELIKPNPKDEPEKQKKDAELAAAVFGADIDLVGGTAKSENFMGLSDAEHCGKMRALERLLSLWTQQGDKILLFSYSVRMLDILEKFLIRKGYCFSRFDGTTPMNARQSLVDEFNRSPSKQVFLISTRAGNLGVNLVSANRVVIFDPSWNPAQDLQAQDRSFRYGQRRHVTVFRLLGAGSLEELVYSRQIYKQQLSNIAVSGKIERRYFEGVQDDKKFQGELFGICNLFRDLSDKLFTSEIIEMHGEHGKSSATEATGIREIVDTDLFGSQENRKSSTATTDTDNQKLVDFGIVYAHRNEDVVNSRTNEREKGATDETVQSSLEELHSKKNETKHTVMEKSYSLEEKRKVARSYSLEQKRKEFSCIASFMGMDDLEFSKWLLSASPHQRSEVLQNYKRKKKRKCEKKQK >Sspon.03G0042830-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3C:73424501:73429802:1 gene:Sspon.03G0042830-1C transcript:Sspon.03G0042830-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQQAGENDRLPSQTASSPGHSLGGASTFLFILLLLPLASAPTPRGHLPISFVHVEPLLGRHLLPPQLLHEAAAVELVVVLLIVPIGWRAQRVPPHGGDGLREQRVEAAILLIAVIVGGVGLGVHGAAGVVSSRYIGSGGEGTQEPQELCLLEGHWQREGAGGAVRRTSAEGTEGEEASMIHIAWMDCGYGARPAGAFHLCSQIAKGDGVDPICWLVDALGDDDMSLPNGVLRLQKKGGHGRHNGLQNVIENLDGRREFPRLSIGIGSPPGKMDPRAFLLQKFSSEERVQIDTALEQGVDAVRTVVLKGFSGSTERFNLVQKYKFHREDIRLNY >Sspon.03G0000940-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:10385393:10390793:-1 gene:Sspon.03G0000940-2B transcript:Sspon.03G0000940-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMEAVESNGSHGAADRRTPKRDRRIFWACAFILANNCFQCIAYFAVSTNLLNYLKDRLHEGSKAAANGVTNWLGTSAITPLVAAFLADAFLGRYWTIALFMVISVVVPRRRVAKQAHPPGLVAVLLFCFRHVRTQAYVVLTVSAAVALESAVFYAGLYLLALGGALQPVLSSFGADQFDESDEEERGRQSSFFNWFYLSINVGSLVGGTVLVWVQSSVSWGLGYGIPALFSVLAVAVFLAGTTAYRRHQPPAGSPLTRVAQVVVAAFRKCGVEVPEDASTLHECEDVDGMSAIQGSRRLAHTDQFRFLDKAAVETAADKAAHPSSAWRLCTVTQVEELKCVLRLLPVWASGIIFAAAYTQMTTTFILQGDTLDPYVGGFRVPAAVLSVFDTLSVMLWVPLYDRVVVPLARRATGHERGFTQLARMGVGLVVLTLAMLAAGALEVARRGVIARHGMYDTNTGDGRYLPLSIFWQVPQYVVVGASEVFTFIGQMEFFYDQAPDAMRSLCSGLSMTSFALGNYVSSALVTVVARATARGGRDGWIPDDINRAHLDYFFWLLAMLCVGNFGAYLLIARWYTYKKTVD >Sspon.03G0015230-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3A:48716937:48720235:1 gene:Sspon.03G0015230-1A transcript:Sspon.03G0015230-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASELTYRGGSAAPGSASNGGEYSPKPSKPLSWLSRAARYAAAEHRPVFALAGMLFAAALFTFSSPSTSSPSEPAASVGFNHLAVAGHPSFRESVGGKVPLGLRRRALRVLVTGGAGFVGSHLVDRLVERGDSVIVVDNFFTGRKGNVAHHLQNPRFEVIRHDVVEPILLEVDQIYHLACPASPVHYKWHKTNVVGTLNMLGLAKRIGARFLLTSTSEVYGDPLQHPQVETYWGNVNPIGVRSCYDEGKRTAETLTMDYHRGANLEVRIARIFNTYGPRMCIDDGRVVSNFVAQALRKEPLTVYGDGKQTRSFQYVSDLVEGLMKLMEGDHIGPFNLGNPGEFTMLELAKVVQDTIDPDARIEFRPNTADDPHKRKPDISRAKELLGWEPKVPLREGLPRMVTDFRKRIFGDQGESTEAT >Sspon.03G0023140-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:70770194:70775100:-1 gene:Sspon.03G0023140-1A transcript:Sspon.03G0023140-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARATTTTVALCFLLSCVFSALTVAPHPPTLPASPPPTASSSVAIDDIIRVLADTTTAARIRTDLEATARASTDFGTNVTVDAARRPAAVFYPSCAADIAALLRASSASASASPFPVSARGRGHSTRGQATAPGGVVIDMASLDRHATAGSSSATRLAVSVDGRYIDAGGEQLWVDVLHAALEHGLTPRSWTDYLRLTVGGTLSNGGISGQAFRHGPQISNVLELDVVTGTGDMVTCSKHKDADLFDAVLGGLGQFGVITRARIPLAPAPARARWVRLLYTGAADLTADQERLIDERGGGALAGLMDYVEGSVLTDFQGLIGSWRSQPPSSFYSAADAKRIAALAKEAGGVLYCLEGALYYGGASGTTTADVDKGVEVLVRELRYARGFAFVQDVSYVQFLDRVSAGECKLRGEGLWDVPHPWLNLFLPRSRILDFAAGVFHGILLRRDDGKGGSGGGPVLVYPMNRDKWDGATSAVLPDDEDEVFYTVGILRSAVADGDLRRMEEQNAEVARFCEAAGIPCTQYLPYYATPAEWAARHFGPRRWDTFLRRKRKYDPLTILSRGQRIFSYPLA >Sspon.05G0017510-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:73111126:73119471:1 gene:Sspon.05G0017510-1A transcript:Sspon.05G0017510-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVTKDISELQDILVEITGWLFIVRDRIESEPSCQWVLNVAYDIDDLLNEVLIEAEKHKMDINGDNCSLADYFCAKPKSFLFRRKVAHKIKAIKQRFSEIVKQRSDITTILNNLPSNQHVPSKKRSIGELSLLSNVEQSRIPIRNLEKDGIITKLIESNEGENDWIVSIVGLGGSGKTTLAKQICQDDKIKHHFKSTIFWVHVSEEFDVEELIGKLFETILEQKSDLHAQQHMVRAISSKLRGKKFLLVLDDAWHDDRLDWEQFMVLVNCGAPGSKILLTTRDQKVAEATKSRSIFNLAFLSEVESWTLFLENSGLVEDDLESEFIQVGKEIVNKCGGVPLAIRTLGGVLYEKREISTWRAIRESYLWNVDSIKDRVFASLKLSYFHLPDHLKQCFTFCSIFPKGYEITKDHLIAQWIAHRFINPMNEELPEDIGSAYFDSLTKLCFLQDAPRNIFTCQLVYKMHDLIHDLTRQILQHEMVTSLQNMAPDCILRCRYLSLTSTTEKVSSNLFDKAHALYVSGGNISFDKPMKKCCNIRSVVLDYTSDTPFPLFILKFEFLGYLRICNVNCMEFPEAISSCWNLQALHVTECKGFSTLPESIAKLKKLRTLELLMVTDLRSLPQSIGDCQDLRSLQLYSCYELIEIPTSIVKIQKLIVLDIVSCVCVYNQLQRFTWEHRNLDRINLSGCHSLRDLPSAFSCRTLRTLDLSRTNITSLPQWVTLICTLECIKLEYCMMFVELPKGITNLRRLEVLNLNGCSKLRCMPSGFGQLTRLRWLGLFVVGCGGDDARLSELENLDMISGEANKACLKKKNNIHSLTLNWSRETEEKLVSDIEEDLRVLDSLEPPSGIEFLQIIGYLGPHLPCWMRKQRDSSCLESIMPNQTSPPKFLCLTELSLELLLNLKSLQGLMELPSLKNLLLIGMPNLEELWITNGLETGEEQVGVQFCFPVLTNLIIKRCPKLFVKPWLPPCLGLTFEESNEQLLSPGSLFSYLPTPPVSSSCNVLVTVPTLKELRLHRVTGSAPVWQVLQHFTSLQLLHIVECSTLCTLPEGIQHLTSLQQLELGECDALTLLPEGIGQLSALRSLRIYECSALESLPLSVKRLTALQTLYVFGCRGLTKRYEEEVGDDWHLISHIPDVTISQPFDRSNWQNVMH >Sspon.02G0027440-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:99330317:99338520:-1 gene:Sspon.02G0027440-1T transcript:Sspon.02G0027440-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVAGDTAVRLAYPPARRDDSVVDDYHGDPDSEETKEFVARQAELAETVLTGCPDRENLRREVTRLFDHPRHAAPFRRGNKYFHFHNSGLQAQSVLYMQDDLDAEEEVLLDPNTLSEDGTVALSTYSISKDGNYIAYGLSESGSDWVSIHVMSITNKQPMPDKLSWVKFSSISWTHDGKGFFYGRYPAPRGGEVDAGTETNINLNHQIYYHVLGSDQSEDILCWKDPEHPKYTFGASVTEDGKYIILGIYEGCDPVNKLYYCEISSLPRGIEGFRETQDLLPFVKLIDNFDAQYEVVANDGDEFTFLTNKSAPKNKLVRVNIKNPELWTDVLPEHEKDVLESADAVNNNQLLVCYMSDVKHILQIRDLRTGNVIHQLPLEIGAVSEISCRREDKEVFIGFTSFLSPGIIFRCNLAPTIPEMKMFREISVPGFDRTSFEVKQVFVPSKDGTKIPMFIMSKKDIDLDGSHPTLLYGYGGFNISITPSFSVSRVVLCKNMGFVVCVANIRGGGEYGEEWHKAGALAMKQNCFDDFAACAEFLISSGYTSSRRLCIEGGSNGGLLVAASINQRPDLFGCALAHVGVMDMLRFHKFTIGHAWTTDYGCSDKEEEFQWLIKYSPLHNVRRPWEQSSGNHCQHPATMLLTADHDDRVVPLHSLKLLATLQHVLCTSTEDSPQTNPIIGRIDQKSGHGAGRPTQKLIDEAADRYSFMAKMLGASWTE >Sspon.04G0026610-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:65530397:65533907:-1 gene:Sspon.04G0026610-2C transcript:Sspon.04G0026610-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKIRAACTAPGVKVSAVTQVLETALAEYETSCTGPAKWRMLAAFLRHLEGPILDLCLKLINEAESERTSFTLRCRSNEDQLELLKKQLEANEAHKSEYLKCYEAAVSEKQRATADLSGNLANLRTKCSTLEERCVSISKELDHVRHECTDWRVKYEQSVSQHKAEQDRFVAQLASLESRHSSAEGKLGAAREQAASAQDEAAEWKKKYEMAAVQAKTALERLASVQEQINKIAQERESAIRAEFASHLEEKEEEIRKLVAKIREAESEESVLTERLLVAESKTQGHNKETACLKDEIKELTSKLEFLRDRAVSYEKQARMLEQEKNHLQEKFLSECKKYDEAEQRYKSAERDVKKAIELADAARTEAIASQKEKDEAQRLSMEKVAVIERVQRQVDRLEQEKVNLFGEVQRMRNSESDAWSNVTLLESRVAEREKEMDDLLSRSNEQRSSTVHVLESLLATERLARAEANKRAEALSVQLQSAQGKLDILHQELTSIRLVETALDSKLRTTTYGKRLRDNEVRLDSIQDMDIDPPERSRKRTKSNTTPLKPVQTEDGGSVHMREDSVTVSTDVKDGNPDGYKKFTIAKLKEELTKHGFGAHLLELKNPNKKDILALYKKHVLCE >Sspon.02G0033960-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:10769151:10770221:-1 gene:Sspon.02G0033960-2C transcript:Sspon.02G0033960-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVVPVMAPSAPCSPRTAATIAGGDHLPAYCYFFSSAPTSPSRASYAAGDAAASPGGGGGEEATFDFTLGFSGQLQEATPILAAADELFEGGRIRPLNTPHPSILLVDDASSSSYSASNGGPRFSPIRTGGARGGDHQAEVSLERGRSGRPAAAAASTGASSRSRRAARSLSPFRGGGGVLDDDESPSSPPSPRTSMMRGCGSGSKKWRLKDLFLFRSASEGRATGGGSKDPLFKYTMLSSFSSTSFSHPQSQSQKLRSGGGGGGGDGSASMRKGRGSTASASDMPYAMNRAAAEDMRRRTTTTTPLPFHRNSLFGYLRSNPAIHTSTASAGSSGAAAATPAPRPPIAAAGRHDPA >Sspon.03G0010150-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:27439973:27444229:1 gene:Sspon.03G0010150-1A transcript:Sspon.03G0010150-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKQRHRQLRLLPLLAAAVACSSLLRACAAAAGTGTLGLRQHRPASQHNGTRHGGGRQRGGGAPGTGMASCNLFQGSWVYDDTLPMYDTAGCPFVEPEFDCQKYGRPDKLYLMYRWRPTSCELPRYATTLLSVCITHQPMPRSIESWRAAPRRQAECCVLELELMTRPPLLPANARFNGQEFLRQCKGKKILFVGDSISLNQWESLACMLHTAAPASKVAYARGNPVSSVTFQDYGVSVAYYRSTYLVDIVEESVGRVLKLDSITGDAWLGADVLVFNTWHWWTHTGRDQPWDYVQDGGQVMKDMDRLTAFSKGMSTWARWVDSNVDTSRTKVYFQGISPTHYNGADWGEGSRSCAQQTQPVAGTAYPAGPVPAQGAVRAALAGMSKPVYLLDVTLLSQLRRDGHPSAYSGGHPGNDCSHWCLAGVPDTWNQILYASLLA >Sspon.01G0007650-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1A:21187702:21191269:-1 gene:Sspon.01G0007650-1A transcript:Sspon.01G0007650-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPCFLLLLLLFLFHPLAALASLAPVPSQAPPPRVPQKVQVWPKPVSISWPLAAYTLISPSFSIRASPSHPSLRHAIAHYTRLIRTERHAPIMAPVNYTIVGVPIRLLALSVSDPDVPLGPGVDESYTLSVPPNSSSADISSATPWGAIRGLETFSQLAWSSGAAAAGGQPIVPSDIEISDHPLFTHRGILLDTARNYYPVRDILRTIRAMAANKLNVFHWHITDSQSFPIVLPSVPNLANFGSYSPAMRYTDQDVRRIVRFAEAFGIRVIPEIDMPDFRARGVVGGCIPGDRDLREQVLGADGEAGAGSGACTGQLNPLNPKTYRVAQDVLRDLAALFPDPYLHAGADEVNTACWEDDPVVRGFLADGGSHDRLLELFLNATRPFLVHELNRTSVYWEDVLLGPKVSVGQTVLPHDTTVLQTWNNGAENTKRIVAAGYRAIVSSASYYYLDCGHGGWVGNDSRYDVQEKEHDGMPLFNDPGGTGGSWCAPFKTWQRIYDYDILHGLTEDEARRVLGGEVALWSEQSDATVLDGRLWPRASAAAETLWSGNKGSNGRKRYANATVRLNEWRHRMVARGIRAEPIQPLWCPMHPRIGRARERQDRREMREIISIHIGQAGIQVGNSCWELYCLEHGIQPDGLMPSDTSLGIARDAFNTFFSETSAGKHVPRALFVDLEPTVIDEVKTGAYRQLFHPEQLISHKEDAANNFARGHYTGVTCCPSP >Sspon.04G0019240-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:74827258:74833282:1 gene:Sspon.04G0019240-2D transcript:Sspon.04G0019240-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:beta-amylase 2 [Source:Projected from Arabidopsis thaliana (AT5G45300) TAIR;Acc:AT5G45300] MRGAPFDLLLMAAIERTRGKMDPLRSRSNCNFIGRSPLHGAAQQLVVAGISRPQIGAGAGGWERPRAMKHPPQRDGEPSPSPPPQRRPRGFASAPASAAGGSPRRRGEREREREKERTKLRERHRRAITSRMLAGLRQHGNFPLPARADMNDVLAALARAAGWTVQPDGTTFRSSNQPLLPPPPPQLHGAFQVASVETPSLINTLSSYAIGTPLDSQASALQTDDSLSPSSLDSVVADQSIKAENYGNSSSVSSLNCMDNDQLMGSAVLFPGDYTKTPYIPVYASLPMGIINSHCQLVDPESVRAELRYLKSLNVDGVVVDCWWGIVEAWTPRKYEWSGYRDLFGIIKEFKLKVQVVLSFHGSGETASGDVLISLPKWIMEIAKENQDIFFTDREGRRNTECLSWGIDKERVLHGRTGIEVYFDFMRSFRMEFRNLSEEGLVSSIEIGLGASGELRYPSCPETMGWKYPGIGEFQCYDRYMQKSLRQSALSRGHLFWARGPDNAGYYNSRPHETGFFCDGGDYDSYYGRFFLNWYSGVLMDHVDQVLSLASLAFDGAEIVVKLFHNDANLFHQVPSIYWWYRTASHAAELTAGFYNPTNRDGYSPVFRMLKKHSVILKLVCYGPEFTFQENDEAFADPEGLTWQVMNAAWDHGLSLCIESALPCHNGEMYSQILDTAKPRNDPDRHHAAFFAYRQQPPFLLQREVCFSELCTFVKCMHGEAPQNGEG >Sspon.08G0012390-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:59296332:59299821:-1 gene:Sspon.08G0012390-3D transcript:Sspon.08G0012390-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRARETAGAAGTDHLWAKAAELERDFAGYKRRLAERRAHAVAEVANRAGGGEEGVGDAAGRGRRYEEYVRRRDERLRQEWRARMESKEAEVQALWARLDRTGSRRSSRRGGGDDHDGGEVAAAATSHAREVQVKPPQKPGNLAVKVKPSTPVTPRGGNPSHPPATKLARPRTSVPSSLAAGNPSPRLSTPDPRRRPPHSHLHRGQPQAQAQPPTTPRKENRLPPPPSAALAAAASPATPRPRTTTMLSRSRSMFKDRGCFSVAVGGESPRPTRFLPPRSTYDGASSNLKEPIPSPRADDAIALVRSSSCSREQTVVADRRKASAVAPEPFLVKRSSGNDIEPTSAPSKDWDLPYSSEITPASCGNADNKSNQQEYVDQSSEKFGSEEITGDSDTEPSYVYIKKDSDEHIPRPPQASAGVGTCPGPEPRSDTDNKEDSDNVEDTMESTGSNEVAGETPAADAEDELRRESSESLYSNVQSSFSPRSELDTSATGSPLPSATEQSTEANTSPRTGTKSTEVEGAEKRPPTPTTPRSSVQSPMDAVNGLKRLLTFGKRNGKASETAVADERAPRSVAPAPPAGGDGSVSGECPAGRSAKLTVDSSDDLDSIGSLHSIGPSYPASSELKEPVLHAKSPRGSSYTILACIHHKHCIDRSSRFHRSSLEQIDAEHWLRQLLCISDSRVFVAIHFASKTSWIT >Sspon.01G0046740-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:100259394:100261905:1 gene:Sspon.01G0046740-1B transcript:Sspon.01G0046740-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Adenylosuccinate synthetase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G57610) UniProtKB/Swiss-Prot;Acc:Q96529] MTILAIFSNVGGANAGHTIYNSEGKKFALHLVPSGILNENTQCVIGNGAVVHLPGFFKEIDGLESNGISCEGRLLVSDRAHLLFDLHQVVDGLREVELGNSLIGTTKRGIGPCYSNKVTRNGLRVSDLRHMDTFGAKLNNLLRDAALRFKDFEYDSKILKEEVEKYKRFAERLEPFITDTVHFINQSILQKKKILVEGGQATMLDIDFGTYPFVTSSSPSAGGICTGLGIAPRSLGDIIGVVKAYTTRVGSGPFPTELLGKTGDLLRASGMEFGTTTGRPRRCGWLDIVALKYCCQINGFSSLNLTKLDVLTGLKEIKLGISYYTDDGNTVQSFPADLDLLEQIKVKYEALPGWEEDISSIRDYSDLPETARRYVERIEELVGIPVHYIGVGPERDALIYK >Sspon.02G0021390-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:71893183:71893815:-1 gene:Sspon.02G0021390-1A transcript:Sspon.02G0021390-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding HGEARRVLGRLPLQVGRVEVLPALRRHRGRRRRLRRAPRRLRLRPPHRRLRRRRLADAVRAGDLAADVAVVQPVPHPGDPQPELGDGPQEHQAAGGAVQVRRPDVRPVPARRQGRQAPRGEDPRVPPRPGRHHQDRHAGQRRRGRVPEGERHGGVPGGGGRRRGGAVHGALHQVQGRGVVPAQAAARAAGYRRRRVPEGQVQAHQAGEELL >Sspon.08G0019390-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:11907804:11911478:-1 gene:Sspon.08G0019390-1B transcript:Sspon.08G0019390-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFNYHDHALAMDPAAVGAAAANPSFVSGGGGGVAGGGPGWEREKAAIAAHPLYERLLEAHVACLRVATPVDQLPRIDAQIAARPPPMAAAAAAAAAGGAHSGGEELDLFMTHYVLLLCSFKEQLQQHVRVHAMEAVMACWELEQTLQSLTGASPGEGTGATMSDDEDNQVDSESNMFDGNEGSDGMGFGPLMLTEGERSLVERVRQELKHELKQGYRDKLVDIREEILRKRRAGKLPGDTASTLKAWWQAHSKWPYPTEEDKARLVQETGLQLKQINNWFINQRKRNWHNNPASSSSDKSKRKRSTAGDGNAEQSW >Sspon.08G0016130-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8D:60797895:60798543:1 gene:Sspon.08G0016130-2D transcript:Sspon.08G0016130-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRGQSGRDDVFRRRDPFLGFVGFGPHRSLISGFFGGRDPFDDPFFTRPFGGQMMGDHDMFGPSPLGPMGGPFVDMRSDGFIEQAPPRGNSRRPVITEVDEDEGENAEHGNEQPNQDSYVQEPDDGSDGTEGGQVQLRRDLNRANSGGQPQARTFTYQSSSVTYGGINGAYYTASKTRRTGSDG >Sspon.01G0021510-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:78662483:78663451:1 gene:Sspon.01G0021510-1P transcript:Sspon.01G0021510-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGACVCIMRERDWASLERGLLCDVFIRLSSDDDAIHFRRVCQHWRVAAGDGVLVPRPWFVLKPPDDDDPVHTFNALVRPVDCRRSRVRSVRVDAAAAVTGEWSPARVRGTSRGWLAVDEGERLLLKDPISGAEVPLPTFDNDYQLFDIFLSDDPLATPGSWTAFAFFRWDDVYIHNPGDVLAFCRPGDDEWARVDPVDGGQGQECQQTRLYRGLEFFGGRPYVLLGKPNRLAVCDVEARRLVLSSVRIFPPSGVEWGWQECLVECGGDLLVVQVARRVEHTSPWYCPGYCLGSHYFERHKIRYSAKVFKIVFHEDGSGMPVA >Sspon.08G0011370-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8A:49707757:49708246:1 gene:Sspon.08G0011370-1A transcript:Sspon.08G0011370-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPASSPSTTTSGSPATTAGGGPRPYRSRFGDTTLTKVFVGGLAWETPSEGLRQHFERYGDILEAVVITDRLTGRSKGYGFVTFREPEAARRAVQDPNPTIAGRRANCNIASLGPPPRPAQSQPR >Sspon.03G0024610-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3D:59491965:59493762:1 gene:Sspon.03G0024610-2D transcript:Sspon.03G0024610-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVRPDGPTHPHCSPALHAVRLNPRSSSALCTACLRPTPRSLPPSCRTRSLLPLVARAACLVEPRPVLVVLMMVVLAHRRRCWWRSHSRAAGSHSDVWNRPTLMSRQPTARWHGMKPAILVRHEHGTARCFTSPGQPGPMPRAVPGPLLRQAERHGLAQNYSPTAPLLFPNLNFISHSPIPAHGMPHPAAAHPLDSRGRGRGCESRRATPRPPTPLVRHGPAPGSTSRLLDTPLTAASPQHRRACRRLFQSHRPTHHRPTSLPPAASSSTASSSTQASSAVKASSRSSSPPPDQARWRRPPPPDLARATPSPSPLSHLFRAAGWAEPCREPCLLGQPSPKIGPGGRAWAAGQARGLLRHGPGGTTCRAGPTPIGPCRAGPLAIMQIYVQMFDLFHTYVAVVPSECCKSDLDVGLLSEEERASAGAMVVSMWGEGAGRVAPVWKRRAGRCCGRDGAESSGRRVQRSERQRSERGRRKRSWHGRRMNPRDQGGAGMCVLTRITVRTSMR >Sspon.06G0006770-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:24028335:24041837:-1 gene:Sspon.06G0006770-3C transcript:Sspon.06G0006770-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMQLKARYGGTWTLTIMTEPKHEGEAEELVNQLSPGASRIYRLSGTQKFTLPRREVGLDGVFRVVEMARRAFPVLGWGVAGATLEDVFIRVVKDAQRRNARTNAAIAAFPVLLCVLLVTIQHVVDSELERPPFRCGCVGTECGIQYSTPIQALACAVPVPPRWPPLVQVPPTEARALTRLHPRPCKASEKNCPATVLLTGQNRQLAQGLGSLLFPPVPPQYGLMPAASNSSDYLGLFSTVVPCVQALSLWCDNSSVINHHMFKGYKGGNKRRRSNEFLTGYDFLDTSNRRFHVYVWYNSSFSRDNGHHPMTVLRVSRLVNMASTAYLNFFKGENAEMRLEFLKEMPKAAVKMRLDFTTLLDALFFTWTVQLLLPVILTYLVYEKQQRLRLMMKMHGLKDAPYWLISYAYFLALSAAYMMIFIISGSIIGLVIFRLNSYIIQCLFYFVCINLQIVLAFLLATFFSSVKTASVIGYMYVFGTSLLGEALLKIFIEDATFPRHWLVTMELVPGFSMYRGIYELAEYAAAGRNMGKPGMRWVDLNNPVNGMKDVLVLMSIEWIVLLLVAFLLDHKPAWHWQPLFLFGFLSTKHSSPSQKPNKLKRQSRRVHVYTAKPDVSLERKVVRRLLKDMDMRNMIICHNLKKVYPGKNGNPDRHAVKGLSLALRKGQCFGMLGPNGAGKSSFINMMIGLVKPTYGTAYIHGMDLRTDMDEIYTNIGVCPQHDLLWEALTGREHLMFYGRMKNLTGAALTQAVEDSLKSVNLFHSGFGDKSVSKYSGGMKRRLSVAIALIGNPKVVYMDEPSTGLDSRSRNDLWNIIKRAKKDCTIILTTHSMEEAEELCDRIGIFINGNFQCIGTPKELKTRYGGTRILTITTWPEHEEAVELIVSRLSPGYTRIYSVSGTQKFALPRREVGLGCVFGAVEVARRAFPVLGWGVADATLEDVFVRVAKEARAFHVPS >Sspon.05G0027430-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:45635613:45645075:1 gene:Sspon.05G0027430-2C transcript:Sspon.05G0027430-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKSLHLHGISWKTLPPFGQMSDLMELKIENISSMHQFGGTEFGQVTDGSFQKLMVLKLADMPQLEKWVGAGARHLFHQLKKLAISNCPKLSELPFSHCISSSTEDSNMTWFPNLRELVIEACPQLSLPPLPHTSTIDLVRVKTTNGYFSYNRNELVIDAYNGALAFHNMHKLEELYVCKTSLSLTGLQNLTSLRKLDIKCCGSVLCDSYLGGAISVPVKSLMIYDCSITGKELSKLLNCLTDLSYLEISDCPNITRLCNTNDMDKEDGNEEGLLLFPPHLSISLRKLEICNCRKLFLDPKGGGLRHLTSLESLQMQGCDSLLSWWFVEEATFQSPFPIFLKDLVLRNVQSLKTMAMLSNLRCLTHLEIVDCDNLNVDGFDPLITQCLTKLVVVNRHDEYSKVTAELISGVARTKLNGSFKLEDLRIDNISELLVYPICDHLSINLHTLCFQYDNRMQRFTEEQEQALQLLTNIQNLYFKSCRSLQSLPAGLYRLYSLKVLLIDTCPGIRSLPKEGLPASLEQLEVYNCNKKLKEHCRKLKCLISRKLLVVFGLQARNSVVELVLLDQAHKQLGDDLIDHCCP >Sspon.01G0033260-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:112144067:112149707:1 gene:Sspon.01G0033260-1A transcript:Sspon.01G0033260-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPKPPSPPHNSGSPAGAGDSTSSQLAATYLGVSFALFLATLPSGTAARHVASLQSRGRILASRLLAAEDQLRQLRARRREDARANARAAEIFAGHRAAWMEAERRLLARAQAAGDEAASLRARLADAEADAAAVRARAERLEREAAERDELLNTLLAATSRAGDSGGGGPLFRARDGEEEEERAGALDARGEQLDHAADTTDAEALAAAAALYAQQRQKHDDDFYTAATAASGMPPWMEMDRSKGWQDLKYDTVESTYNTKHAVPRRESPWKVDVESSGVPAKLRLLEQELINLEKVVNGDLSKIPLVMRKQVKRYQTLAGKIDDLCKRMQTSDPCDSTLNSEFRTQRQTEFLLEAFHLQHRATETRQKLSTLQAETAKGSFGDELTAEAKMCTRRALSSIRNNFKEIQRSLEIWLARILGDLEGMLARDGASRIREYFLSPYTSAVRLAFSSANLSRLQSAKPNHPLSVTIVPNDMVTKYQ >Sspon.02G0026990-1P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2B:71108656:71110010:1 gene:Sspon.02G0026990-1P transcript:Sspon.02G0026990-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRSGIKCKEARTAAGSGSGSSGDRITALPLELRAHIASLLSFDETVQLTVLSRPWRHIHLHTPVVKIYLNAFLSFPDIYFDEAQSVRGLLDEDSILAVRVALGRRALEPSSSKVDTLRLVSDIDDRRMRRHAARIVALADARVIRFLSPFVVRDADARNAWSLDLPPAARELEVVTRSHLAPAIAGPGAAALRKLRLDYAVLTEWPRLPCLVSLDLNSVTVEAPFAPGAWCPLLDELDMFCCEIRHARVDIRLPRLRFLDMDSVDVAPRAFAIEAPYGVITIDAPELIEFDMDSAAGGTTDYKSFTLRAPRLRLLCWRNQYAERMVIDVGRPGSVKFGAIRFMWISALQMECFREQMMRMLQGLLPNIAPENLDDVAKPYLTLGDWSDDDDDEPKHENEKQLTCDLKGLIMSH >Sspon.01G0035430-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:11709702:11712814:-1 gene:Sspon.01G0035430-2C transcript:Sspon.01G0035430-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Origin of replication complex subunit 2 [Source:Projected from Arabidopsis thaliana (AT2G37560) UniProtKB/Swiss-Prot;Acc:Q38899] MAPRGGRAAASSGSEDEEEEVGFSRSYFLAKEKEPSSGKKRARAAAGKLSDLNLVDEQVLRASLAEIPLKHKEEVEALTRSYKDQYRNWLFELRCGFGLLMYGFGSKKQLLEDFASTTLTDFTVVVINGYLPSVNLKQVIATIAEMFWDQTKAKRKRQPGTRSQLSQQFPSQSTEDIISFLMRQTSDDVDDRVCLLIHNVDGPALRVAESQQCLAQISCCPQVHVVASIDHVNAPLLWDKKMVLKQFKWSWYHVPTFAPYKVEGVFYPLILASGGHAQTTKTALVVLQSLTPNAQSVFRVLAEYQLANEKEEGMPVSSLYTKCRERFLVSSQVTLNSHLTEFKDHDLVKIRKHSDGQDCLRIPLVSDALEKLLQELA >Sspon.01G0048390-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:108800129:108801366:1 gene:Sspon.01G0048390-1B transcript:Sspon.01G0048390-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDAVSVHEAFAAEGKTDDGGRRDFYGVFDDHSYSHVAEACQDRMHELLAEELAAAADTSDSTSAVARTVAMERSFTRMDAELMSVSRRTVATANGTCHCDTHKCDHVDVGSTAVVAVVEERHVVVAKCGDSHARPDRAHGPACNSSGSGAAAVSPRAHRCSLSFSAPLWGPRCHVTQTTRKTAEEASGPCPPGAGGLLVSLESSWGQPARALEQAGARLPGLRLHRAWLRGLLCTSWTRPDK >Sspon.05G0020820-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:88032886:88033397:-1 gene:Sspon.05G0020820-1A transcript:Sspon.05G0020820-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRPSAPMTMISLTGFTALSAGLLLIFVSSFLLQAQGASSSEELYVPVRRVVYQSMSPAAAAAMTEAAAAPYEPFEVCQGCRCCASSSNGSSCVDTSCCYAIDCNLPGKPYGTCAFTPQTCGCGAANNCTQPSS >Sspon.04G0025720-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4C:32912940:32913518:-1 gene:Sspon.04G0025720-2C transcript:Sspon.04G0025720-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LEASCGCDTAPSYSDCDPYAPAESLRLRIGEDIDWSDVVGAVAAAVLERDDSTRGAAANPKSCAARRSAARSSLSAPAAPRAVAVVIGGLPAARDHGGRRRSPCQLQLGLGDGVKVFTSGEAAGGHHHQTEPGSPEVSCLGGVRSQPRTAAEGITSGGGGRRWWAWLVADVMFCSGCWKGRRDRRPRASEAE >Sspon.05G0000670-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:1746608:1748913:-1 gene:Sspon.05G0000670-2D transcript:Sspon.05G0000670-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVCSMRKSFKDSLKVLEADIQHANTLAADFSRDYDGACLQMRMSYSPAAHFFLFLVQWTDCSLAGALGLLRILIYKVLSVPPSVPVVWRGFDAVLCCASAVVLNQTLLCRFMWMGVPPCPRMRGKQASGNFMATVIFPSLMQLPKGISDVDDRRQKAVCTERYRRRDEDEGKRPVSETDIEREEECGICMEMNSKVVLPSCSHAMCMKCYRQWRSRSQSCPFCRDSLKRVNSGDLWMFTDCRDVVDMATVTRENIRRLFMYIEKLPLVTPDNIFYAYDSHRMFLVLGCG >Sspon.04G0002140-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:7778094:7779957:1 gene:Sspon.04G0002140-3C transcript:Sspon.04G0002140-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding HARTEPRQQHARPRRPGPRGAGAGRRRPAPGAGRRRLRLQGCPQQDHHIPRGAALREAAAQQPRQVARRLRARRRQARQGGSHRRILRRRGQRQVRAAAGVHGDHARVDGAGVQARAGADQGAGARERGHQVGHRLPAQMRRQEEQAVGAGRRPQLGPPVLGAAGEHEGAADAVRDRREDARDGDRRRDGRRLGCLLHGVPQRQEVLARAPQQGQAAVPVRQGPPGQLRRRVPLLLLLLGLQRRAAVGCDVAVPGDEASGVRGLHRPRGHLVERGRVQLGPQVPRRAGPPGRVQHDLRRRRAELQGAGGQLRVRGAPRHGVPPGVHHPGRRDPPPRRRQHAVRDEHVVPVRGVLRPAAADGAVRDVREAARGAGAAAGVRAAADGLPAGRQPAAQLLRRGLRRQLAHAAAPPRGIDARAGPRHRRQLRAQLRGVDGARQAQPQRAHGRHRGRARQERRVRGQARQLLLHRALHLHQLPRHRPARRARRPRRAA >Sspon.03G0002220-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:20879941:20882308:1 gene:Sspon.03G0002220-3C transcript:Sspon.03G0002220-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLRVRASSLLLSLALELAVALVSVSAQQKFGINYGQIANDLPEPTQVAALLQSMNVNKVKLYDADPRVLTAFANTGVEFIIAVGNENLQTMAASPAAARQWVATNVQPYIPATRITCVTVGNEVFSSSDTSMMASLLPAMKAVYAALGALGLSSQVTVSSAHSVNVLATSFPPSSGAFREDLAEYIQPILDFHGQTGSPFLINAYPFFAYKASPGSVSLPYVLFEPNPGVRDPNTGLTYDNMLYAQIDAVYAAMKAMGHTDVGVRISETGWPSKGDEDETGATVQNAAAYNGNLMQRIAMNQGTPLKPNVPVDVYVFALFNEDMKPGPTSERNYGLFYPNGSPVYALNAGSGGGSSPGGSLNPYYTSMFSSSSRSAVSTTFLTERVILLLMLQAIVILRQSYSSYC >Sspon.04G0025210-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:25476643:25476999:1 gene:Sspon.04G0025210-1B transcript:Sspon.04G0025210-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRAAVTTLLSLSRRRGYAAAAEVRAPAAAMAARAPGAGSAADGAASSKEVFWMRDPQTGCWAPEDRFADVDAAELRARLLARKD >Sspon.03G0020960-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:64706798:64722076:-1 gene:Sspon.03G0020960-1A transcript:Sspon.03G0020960-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGSASASPPSFSPHQYHRDAIKSSVRNTAANRRREQALAIGKERREALMRAKRVCRAPLSGSDEAVAEDGDMVIDEGKADLEARTTQAVEELKSALSSQGKGAQKRKIELLEAAWCLTNIAAGEPEETKSLLPALPLLIAHLGEKSSTLVAEQCAWAIGNVAGEGAELRSTLLAQDQALSSLIKCLKSDNRVLKKEASWAMSNIAAGSFEHKKLIFASEATPLLMHLLRTAQFDIRREAAYTLGNLCVVPAGSGNPPNIIVEHLVAIVDGGALPGFINLVRSADIESARLGLQFLELVMRGYPNSQGPKLVEAEDGIEAMERFQFHENEVMRTMANGLVDKYFGEDYGLE >Sspon.08G0007050-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:19724124:19731937:1 gene:Sspon.08G0007050-2B transcript:Sspon.08G0007050-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSSPLPALAGEALRQKRILSSKLYLEVPSSKAPVVYSPAYDISFLGLEKLHPFDSAKWGRICRYLTREGYLDKKRMVEPLEACKEDLLVCIVLMNPLHGLLNQVHTEAYLNSLKSSFRVSSIVEVPPVSLVPNWIVNKKLLYPFRKQVGGSILSAKLAIERGWAINVGGGFHHCSADEGGGFCAYADISLCIQFAFVRLNISRKASLIAGTSQKA >Sspon.02G0011030-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:28489362:28494051:1 gene:Sspon.02G0011030-2B transcript:Sspon.02G0011030-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPQFLLCFLLAAAAPLLVATAKKSGDVTELQIGVKHKPESCSIQAHKGDKVKVHYRGKLTDGTVFDSSYERGDPIEFDLGTGQVIKGWDQGLLGMCVGEKRKLKIPSKLGYGPQGSPPTIPGGATLIFDTELVAVNGEPANQSDSEL >Sspon.01G0002490-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:5674989:5677601:-1 gene:Sspon.01G0002490-1T transcript:Sspon.01G0002490-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALQCLPPTREPRVTEHIEHIIQLITKIMQNGHGYAIEGDVYFSVDSFPEYLLLSGRKLDQNRAGERVAVDTRKRNPADFALWKSAKEGEPSWESPWGHGRPGWHIECSAMSAHYLGHVFDIHGGGRDLIFPHHENELAQSRAAYPESEVICWMHNGFVNKDDQKMSKSENNFFTIRDIISLYHPMALRYFLIRTHYRSDVNHSDKAIENASDRVYYIYKTLYDCEEMLSKYREEGISVPVPAEEQNLVNRHHKAFLDNMSDDLKTTDVLDGEDGLTDLLKAINSNMNDLKKLQQKLEQQQKKQQQKKQQQKQPEDYIQGLIALETEIKDKLSVLGLMPPSSLSEVLNQLKDKALKRANLTEEQLEEQIEQRTVARKNKQFEVSDGIRKHLATLGISLMDEPTGTSWKPCEPERPEESGSVTSDCVPGKINKSSDPA >Sspon.06G0004870-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:13316699:13327863:-1 gene:Sspon.06G0004870-2B transcript:Sspon.06G0004870-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGSSHSQAGAQAGRRRRWGWLLPLLVGAAFLAEIAFLGRLDMAKNAEAVESWTTSFYRAPPIGATPWAGARPRGQAATATARRGRRDPARLEREDAVPYDRDFDRDPVLVGGAAKDWNKCYVGCEFGFSASKTPDATFGIAPDPSVDGILRSMESSQYYSENNIDVARGRGYKIVMTTSLSSDVPVGYFSWAEYDIMAPVPPKTEEALAAAFISNCGARNFRLQALEMLENLDVKIDSYGSCHRNRDGKVDKVDTLKRYRFSLAFENSNEEDYVTEKFFQSLVAGSIPVVVGAPNIQEFSPGEGAILHIKELDDVASVAKTMKNIASNPDAFNQSLRWKYDGPSDSFKALIDMAAVHSSCRLCIHIATKIHLKEEMTPKFTNRPCSCSSKKGTVYHLFVRERGRFKSESIYMRSGQLTLGALESAVLGKFRSLNHVPVWKDERPPSISGGDDLKVYRIYPVGLTQRQALYGFRFRDDSELEQYIKDHPCAKLE >Sspon.02G0000700-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:4580295:4583893:1 gene:Sspon.02G0000700-3D transcript:Sspon.02G0000700-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTFNPIVEAVTFHIMVLVGMASTACFVIVSKNDIPIYEAEVGSAPKKEDLSYHHQFILHAALDVVQDLAWTTNAMFLKSVDRFNDLVVSVYIFLNPLYLPGSRITSSHFDTKVRALARKYL >Sspon.03G0023970-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3A:73162706:73163640:-1 gene:Sspon.03G0023970-1A transcript:Sspon.03G0023970-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVRSYVPEIVDRIASGVEVRIICNRTQRVVVTAGRFERARTYALQTLIGLGAVDVVVPGVLPIGCFPLYLTLYPSSSKDDYDEIGCLKSYNNLCSYHNELLKQAVSGLQSKHAGVRLMYADFYAQVADMVRSPETFGTRLAVLVHSLVYGWEVSENLTMIHCLCVLILHCAHAGLKYGLKVCCGAGGQGSYNYNNYALCGMSGSSACGDPEKYLVWDGIHLTEAAYRSIADGWLKGTYCSSGILR >Sspon.04G0015860-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:67964429:67970450:-1 gene:Sspon.04G0015860-3D transcript:Sspon.04G0015860-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAPSVVAAAALGIVVLLAAAADFRGAEGKSCTNSLLGLTSSHTERAAAQLRPGPPATALQPIVHCYGHDHDHGHEQHLTPTDESTWMSLMPRRAPQHEEAFDWLMLYRKLRGATATATTTGVTAGPFLSEASLHDVRLEPGSLYWRAQQTNLEYLLLLDVDRLVWSFRKQAGQTAPGTPYGGWESPDFELRGHFVGAPPDLRLALILDFGAFTQNNHAARLNFSVLLLFFSGHYLSATAKMWASTHNDTLHAKMSSVVEALYDCQKKMGTGYLSAFPSEFFDRVEAIKDVWAPYYTIHKIMQGLLDQYTVAGNSKALDMVVKMANYFSDRVKNVIQKYSIERHWESLNDEFGGMNDNDLKHLTLAHLFDKPCFLGLLAVQADSISGFHSNTHIPVVIGAQMRYEVTGDPLYKQIASFFMGTINSSHSYATGGTSAGEFWTYPKRLAGTLSTENEESCTTYNMLKISRNLFRWTKEIAYADYYERALINGVLSIQRGTDPGVMIYMLPQAPGHSKAVSYHGWGTKYDSFWCCYGTGIESFSKLGDSIYFEEKGDSPALNIIQYIPSTYNWKAVGLTVTQKIKTLSSSDQYLQISLSISAKTEGQTAKLNVRIPSWTFADGAGATLNDKDLGSISPGSFLSFTKQWNSDDHLALRFPIRLRTEAIKDDRPEYASIHAVLFGPFVLAGLSTGDWDAKAGNGSAISDWITAVPPAHNSQLVTFTQVSNGKTFVLSSANGSLTMQERPEVDGTDTAIHVTFRAHPQDSTELHDIYSTTLKGASILIEPFDLPGTVVTNNLTLSAQKSSDSLFNIVPGLDGNPNSVSLELGTRPGCFLVTGTNYSAGMKIQISCKNSLQSIGGILEQAASFSQTDPLRQYHPISFIAKGMIRNFLLEPLYSLRDEFYT >Sspon.02G0012870-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:28057867:28058148:1 gene:Sspon.02G0012870-2P transcript:Sspon.02G0012870-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQILVRQHTHRAGTESLCSVQSIPASTYNTVHCLETNTGRSSSRSRLQVAEAAQRFLQVRHRAVVVDEAHKPELVAVHRHQLDELLRGLQLVG >Sspon.02G0027420-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:122830591:122835315:1 gene:Sspon.02G0027420-3C transcript:Sspon.02G0027420-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AMTVGVALLLDLTSRLPRAGAPAASAAAQSHPGLSAAAFAATAAAAFSSDGVPLSARHLFSFHGFTVAHCDAGTTAGWNDGSDELVNELNTNILDSMQYARKDYFQNTTKEYPSELKPLLSAFGLKNFTITTLRSFLLYYLPLIQPKPHTDSEDEDDDLLHDAQEKPVDLVTPFYNSVKQIMRQTSIVTTRRVLERIVVRHVSQRTAWKLLIDASKSSKRKAARGMSTPQYTYCVARTTFPAHALGVSAAWVVQSIIEVYRCFIRKPSEDYEALPSDNVEQFFDMNKFRLFGRKIYGITIKSCFSLVLASVGAGIGALVHPVHGQWSGCALGDVAGPIIAIIVFEKMQLPL >Sspon.05G0014650-1P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5A:55172329:55176290:-1 gene:Sspon.05G0014650-1P transcript:Sspon.05G0014650-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bifunctional L-3-cyanoalanine synthase/cysteine synthase C1, mitochondrial [Source:Projected from Arabidopsis thaliana (AT3G61440) UniProtKB/Swiss-Prot;Acc:Q9S757] MERMLARLMRRRSSSPLSGLLYQGGAAAAAAPAGATAASSLFSHHQQHTAAAALPGLKIRDSASQLIGRTPMVYLNKVTEGCGARIAVKLEFLQPSFSVKDRPAISMLEDAEKRGLITPGKTTLIEPTSGNMGIGLAFMAALKGYELILTMPSYTSLERRVTMRAFGANLVLTDPAKGMGGTVRKAAELYEKHPSAYMLQQFQNPANVKVHYETTGPEIWEDTLGQVDIFVMGIGSGGTVTGVGKYLKEKNPNAKIYGVEPAEANVLNGGKPGPHLITGNGVGFKPDILDMDVMEKVLEVKSEDAVKMARELAVKEGLLLVMWHLDAAS >Sspon.05G0019060-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:81452583:81453564:1 gene:Sspon.05G0019060-1A transcript:Sspon.05G0019060-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding PTNLPISLLNSITHNFSHDQQIGSGGFAVVYKGLLRNGTVAVKKLYRSSEFDERKFIQEVDTLMRVKHKNIVRFLGYCADTQGKMCKYDGKNVMAEERQRLLCFEFLAKGSLAQYITDASQGLEWKIRYQIIKGICEGLHYLHKHSVLHLDLKPANILLTNSMLPKIADFGLSRCFDEKKMTMSSKLFGTPGYAAPEFYSRVITFKLDIYSLGVTILEILTGQKGYTAVE >Sspon.02G0007200-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:21480666:21491927:-1 gene:Sspon.02G0007200-1A transcript:Sspon.02G0007200-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PKR1 [Source:Projected from Arabidopsis thaliana (AT5G44800) UniProtKB/TrEMBL;Acc:A0A178UKW2] MESSRNGTVEDICADESANHSGHSLEMQKDSNSKSHEKESIKQEQAKKILSSGDETSIMKDDQVDRENVSPSKNGEDETTSDLPAEKDDTKLAVTRADTMVRTNQEHTDESKQHGKIEEITDKDYNDAGYEFLIKWVGKSNIHNSWVAESEVKILAKRKLENYKAKYGTSLINICKEQWCQPQRVIALRASVDEVEEALIKWCGLPYDECTWERIDEPTLMKYSHLVTQFKNFECQALDKDVVKDYANTRNRQELNVLVDQPKELQGGMLFPHQLEALNWLRKCWYKSKNVILADEMGLGKTVSACAFLSSLCCEFKISLPCLVLVPLSTMPNWMAEFASWAPHLNVVEYHGSARSRSIIRQYEWHAGDASQIGKTKRSYKFNVLLTTYEMVLVDAAYLRSVSWEVLIVDEGHRLKNSSSKLFSLLNSFSFQHRVLLTGTPLQNNIGEMYNLLNFLQPTSFPSLSSFEEKFNDLTTAEKVEELKKLVAPHMLRRLKKDAMQNIPPKTERMVPVELTSIQAEYYRAMLTKNYQVLRNIGKGGAHQSLLNIVMQLRKVCNHPYLIPGTEPESGSPEFLHEMRIKASAKLALLHSMLKILHKEGHRVLIFSQMTKLLDILEDYLTLEFGPKTFERVDGSVSVAERQAAIARFNQDKTRFVFLLSTRSCGLGINLATADTVIIYDSDFNPHADIQAMNRAHRIGQSNRLLVYRLVVRASVEERILQLAKKKLMLDQLFVNKSESQKEVEDIIRWGTEELFGNSDSVDDKDSNEASGPVADVEFKHRRKTGGLGDVYEDKCIGGSTKLVWDENAILKLLDRSNLPSSVAEGTDGDLDNDMLGTVKCFDDGEVAAASAQPQDGGGGVAVAPLEELAQYAPDPLVAHSGSLRPAGKVLAPLMEGPDVAEDDTFDEESGWRDEFGQWVRGHLTCALLMSGGGNGSFRCSDLRLHCLRRVLRHLQLLRHHPVQPSRGLQKRVQSTIRMSIDWNDELNDDPGTNEDIAPIDNDASEQASESKQGATNRSEENEWDKLLRVRWEQYQIEEEASLGRGKRLRKAVSYRETFATLPNEALSEDSDEGDEPKREYTAAGLALKEKYGKLRARQKERIAQRHIIKNYADDNLEEFMTPYDSIANEPAENPLIIVEDPNSSQLSGAKRFSESTGEMRQSSKKSKRYSEIPQDIYARIPGNAASSKHHSKATDDFNPGTPNHLLPVLGLCAPNADQVNSYKNSLCAPSIKEHKRASVDIVNKQLSTSADHSNEHRNEAQPASDKAIFPGASEEALRRISNMIPESYFPFSHIPPISGKGVDPVENPGPSIALFQGKLGLPNFSLEDNTPLKHIKPVPDIFPNLSLGAHKDYIRSSVPELPDSSLLPNFMADIAGTSKQKSFMSGLLPGLGLSPGQPIHSAMPDNHKKVLDNIMMRAQYASNKFLKKRSKLDYWSEDELDALWIGVRRHGRGNWDAMLRDPKLKFLNNRTSEELASRWILEEQKIIDEPMSTATRRSNSTTFPGISDAMMSRALNESNFSKMRMEQPKLQSHLTDIQLGSSDILSRFPHIEAANYINSGEGGTPQIPWQDFKHRSSYGGDFPGGAFDKLEKSDVGPIPPFMPNPFMTDSIGSLPINRKNNSSIPHSEIRSSSRENILLSGVSDGQINLLHEMQRRVRSGKQPIEMNLNHIDHSNPQLDNTSDLGGLKSNKLPHWLQEAVRAPSSKPPERELPATVSAIAQSVCLLLGEQEPSIPPFLISGAPLSRPKDPRINSKKRKLCKVKQSTSHVEHSKIGSGEGDCVTTPAPPSIEATAAPSVDWNDGAPSLNLNSASLSSLAGSKGQDELPPTFEESNQTVDGSEALAAKSEAPEIDCQITSSSPVDDKASESSGSPVKDTPDAGVRLQGSDNSAMAFSALPLVDEAPGTSSRAAGTPVACDCSDLKEDVPLDNAESTGNLVEPTDELTPLADMDA >Sspon.07G0019410-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:74485878:74492448:-1 gene:Sspon.07G0019410-2C transcript:Sspon.07G0019410-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLNAVAAAFYAFPVLVSVLTVRFFYVLWHSGQPASRPHATGLRCLIVLGSGGHTAEMMNIVTTLQKDRFTPRYYVAALTDNMSLQKAQVYEQSLIQVESDGMKTAENAYFMQIYRSREVGQSYITSVATTLLATLHAMWLVIRIRPQVYEFKYLSFVILPKVLCACVPLESLSLLLLLGCLAMGLNAVAAAFYAFPVLVSVLTVRFFYVLWHSGQPASRPHATGLRCLIVLGSGGHTAEMMNIVTTLQKDRFTPRYYVAALTDNMSLQKAQVYEQSLTQVESDGMKTAENAYFMQIYRSREVGQSYITSIATTLLATLHAMWLVIRIRPQVIFCNGPGTCFPLCISAFLLKVLGLGWSSIFYIESIARVKKLSLSGLLLYKLRIADQFFVQWPQLQHKYPRACYEGRLM >Sspon.02G0029740-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:97285225:97287293:-1 gene:Sspon.02G0029740-3D transcript:Sspon.02G0029740-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEVASDVILLLLAVAIPLLMTATVWNRRSRGHDDGAPSPPSLPLLGHLHLLSKPLHHSLAALAAAHGTGGTPAPLLSLLLGARRALLVSEHAAAEECFTAQDAALAGRPRLLVGDRLNYGYTTVGWSSHGDHWRALRRFLAVELFTASRLAARAADRRAEVATLVGSLLGNAAGAAQDPSRAAAVTLRARLFELVLNVMLRALTGAPGQGGDVRSLQEIIEETFEVTGIMSVGDYYPALRWIDRLRGVDAALVRLQARRDAFVAGLVHDKRRSRRAGGPDVEKNSTIDELLSLQEVDPEYYTDTVIKGIVSILLSAGTDTSALTLEWAMAQLLTHPEAMLKARAEIDANVGRSRLVEESDMTNLPYLQCVVKETLRLCPVGPVIPAHEAMEDCTVGGFLVRRGTMILVNAWVIHRDPKLWEAPEEFRPERFLDTAMVTMVTAPLLPFGLGRRRCPGEGMAMRLMGLTLAALVQCFDWDVGECGAVDMAEGVGLSMPMAKPLVAICRPREFVKSVLSASA >Sspon.01G0010430-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:29193296:29195701:1 gene:Sspon.01G0010430-1A transcript:Sspon.01G0010430-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPQPPASLDYWRGFFSGARASIFDAIDAAIRVAAADHPDALRARRDAIAERLYTALIALPAPEAPGHPTRGQPPLLLPEGAGSVPSLCSSDRAEVVNNGGGAAPRNKSDDDVVAEAFRVKAALSNAQEKSEAELLELLGRLGQLEFTVDAIRATEIGMAVKPLRKHGLKQIRQLVRSLIEGWKATVNEWVNNGDPIVDHTPQSVDASCLDQEEGGLPSPPMDEAALFAAPCTSIQLSEFFDEMDDDGNIRSDAKDGGQCNPASQESVNKQSPTGQWYDPEQNWKLDQSAMKQSRPNEAFNWQTRKQSNSGAQVKASSAAFGPGRPQSSHTGPKCSEVKPKQQQDVSVAQRRPKPTMPKPPTQHDDNSVQAKLQLAKDAKLEATKRKLQEGYQEFNNAKKQRTIQMVDPQDLPKQGNRNLALSSKPRNNNNNRNRLGIRR >Sspon.03G0000800-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:17635944:17637418:-1 gene:Sspon.03G0000800-2B transcript:Sspon.03G0000800-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding WCCSPSRAWRCPPPPRPSHPTTTAPYPPLYPPPPTRSQPPPPSPASELRSPSAPSRRPPSLQFLTATVGEGTRRRKGGKGSTIHMAMRGRATRRRELGLRGSLLLQLLQGRTSSMTGRSFQSRLMRTSWNR >Sspon.04G0011130-1P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5A:35201711:35203081:-1 gene:Sspon.04G0011130-1P transcript:Sspon.04G0011130-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEFDQAMQHLEGKYEFMTSDHSYVSRKHDEDKVIIFERGDLVFVFNFHWSNSYFDYRVGCFKPGKYKIVLDSDDGLFGGFSRLDHDAEYFTADWPHDNRPCSFSVYAPSRTAVVYAPAGAEDE >Sspon.01G0046250-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:96736837:96747367:1 gene:Sspon.01G0046250-1B transcript:Sspon.01G0046250-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MYKMMWEYFFPEEDDSQRRQEVWRVSTSTGPRRTRRLSSGVDAVTSSSYSVRDHELPGKSATTVSTSTNVSSWQGLLSDNPQVSKFQSIKANMVCGSHQELHRSSSFERNWEESVSESVASNDVVSFMNSSTISSKVDASNSVLENPVVGSEMWRSKTKDSKPAKPGRLSHEEKKLGKSIDEKKTKPRKSMEFHNIKISQVELLVTYEGSRLAINDLRVTNGANFEQGKKFSNHRETLEGSIPENDLNLSDSDVGHHGRHDQLTASWLKRPGEGAGDGFVTSIRGLFNTQRRKAKAFVIRTMRGEGHNNDEYQDEWSESDGEYPFARQLTITKAKKLIRRKFRPRGQKNSGLSLQDSLPSSPRETTPYQSDSSRSSYEDFHEQ >Sspon.01G0047930-1T-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1B:106473742:106473954:-1 gene:Sspon.01G0047930-1T transcript:Sspon.01G0047930-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding FLDTLKCGGDGPEAARAVANELQRRIAEALWYTCTGMTRKDKYLILAGNEGLVDVSHGGGAKKKSPTCAT >Sspon.02G0004370-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:13366440:13373715:-1 gene:Sspon.02G0004370-2B transcript:Sspon.02G0004370-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription termination factor MTERF9, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G55580) UniProtKB/Swiss-Prot;Acc:Q9FM80] MALQLQLLRPLAAARPSILLPSPAHGASASATAFHARAGGVAFSLQTNVRLLKPNRRVRRSRDPYYDLDEDDEEEAEFDEDDDNEGYESDDDMSGLEYPGVLYSNSPRASSKRPGLQTPLVKENWEGRQPKTRDKYGSPGKSKSLHPRSKVGRSSADLTNMDSEVELKNASISRSLFQKLQEEYDFDDKWLPLIDYLCTFGLKESHFTYIYERHMACFQISQASAEERLDFLLNAGVKSKDMKRILVRQPQILEYTLGNLKSHVDFLVSIGVPNTRIGQIISAAPSMFSYSVEQSLKPTVRYLIEEVGIEESDVGKVVQLSPQILVQKIDSAWKSRSLFLSKELGAPKDSIVKMVTKHPQLLHYSIEDGILPRINFLRSIGMRNSDILKVLTSLTQVLSLSLEDNLKPKYLYLVNDLKNEVQSLTKYPMYLSLSLDQRIRPRHRFLVSLKKAPKGPFPLSSFVPTDERFCQRWAGTSLETYHTFRQRLLLTGFAEKSGRKTLEANHPVEDPTIRDSTGQRPNT >Sspon.02G0041990-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:80561292:80562225:-1 gene:Sspon.02G0041990-1B transcript:Sspon.02G0041990-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTWRLLQASFLRSPTSHGLAAAATADWSKNSTICLHCDKKIGGGGITQLKYHLAGKKGQVEACKKVPEDVKWQMKQLIAEIELNDERRKRTRNEIGSIGCADPDSQEGSTYNIDLLSEWVSEELALLKEEEELASVADADVVPGLVQPTAHQEFGDDHLPDDDPYYYVNDAAAD >Sspon.02G0058910-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:84926156:84927028:1 gene:Sspon.02G0058910-1D transcript:Sspon.02G0058910-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GMDKWSRRQLHSHSYRTPDSFQDQVVVVVGCHPSGSDIALELCTVAREVHISVKSMDDGAVVPGMRKAVSRHHNLHLHLQVDCLCEDGQVMFADGSCVVADSIIYCTGYDFSFPFLDTGGLVTVDDNRVGPLFEHTFPPALAPSLSFVGLPRLLVVPRFYEAQARWVAQVLSGRRPLPPEEEMLRAAEEYHRAREAAGVPRRLSHSIFFDVDYCDEFGAKHCGFPRLPEWKRDLMSSAVARYREDDAEIFRDSYHDSDLLREGLQAQGWLTGRPPPPPPPPPEDTRVENES >Sspon.04G0014480-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4B:56891140:56893669:1 gene:Sspon.04G0014480-2B transcript:Sspon.04G0014480-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGAVQTVRRPKESISAISTEAERCPSPRSTRYAPSRVIYHGHHQHHPRPRRRLRSRYAWLPQSPPLPTPAMVAAPRLTPLAAAAVAAWMLAAAAAGVEAGDPPLSPKGVNYEVAALMAVKSRLRDERGVMAHWDIYSVDPCTWSMVACSPDKFVVSLQMANNGLSGTLSPSIGNLSHLQTMSLQNNRISGEIPPEIGKLINLNALDLSSNEFIGDIPSSLGQLTRLNYL >Sspon.06G0003920-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6B:10054407:10061352:-1 gene:Sspon.06G0003920-2B transcript:Sspon.06G0003920-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTAVALARRGTGGAELLLARTAHAAAGELPWETKPRLWLRSAHARAPPVAALADMDWYTLWVWSPAALTTTLGTDHDALPSVVCCYWNHATGITQTPRGGLSACCLVASECNGWSAEAHGSEPLTVKAEASGWTDSSAERPQRPRYSVHEMRRSTGMAGERSVMMIQDEWTERAIKQSETGGWIAGRVSGTIHAAVGLLPVGVGVVVAAPVVSFRDDKDIPIPLPVTDRSASASPVGLVPVIYSLFVGVFGGGTVQTLPPRFRHLNEALTKRAPKHRLTGLVCSGLDGIPIYSIGDADGYFTRMGITCLHGRMDTYDPARQDIDAHASRRSRKDEVDRTVLLMHELLASELEADTDSVCCHYHAPTHRPGQTRRAGLGSAAW >Sspon.03G0017680-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3C:76247786:76248714:1 gene:Sspon.03G0017680-2C transcript:Sspon.03G0017680-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRRMRWMSDGDGRWELDAETPVTMEGTVRPVPGEPLPLGLSRGYRVTRPKQLDFFHRFMASPLVPTFSAARDGLSVNHAHILYITDNWSSTILEKINVDKLVSVVKEKFANRQEEASWTKDLKKHLHDVMSLG >Sspon.08G0004780-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:11538695:11541616:-1 gene:Sspon.08G0004780-3D transcript:Sspon.08G0004780-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATNSAVMVLVLVAAALLACAGGARGFYLPGVAPADFRKFVDLRESSPHALSLCGLLQKDPLAVKVNQLSSIKTQLPYSYYSLPFCKPDTVVDSAENLGEVLRGDRIENSLYVFEMMEPRLCQIVCKITPSQDEAKDLKEKIEDEYRINMILDNLPLVVPVKRLDQEAPTVYQQGVHIGIKGQYSGSKEEKHFIHNHFTFLVKYHKDANTDLARIVAFEVKPYSIKHESDGDWRGNATPLKTCDPHSRRLVIDSDSPQEVDANKEIIFTYDVNFEESPIKWASRWDTYLLMADDQIHWFSIVNSLMIVLFLSGMVAMIMLRTLYRDINKYNQLEDQEDAQEETGWKLVHGDVFRPPANADLLCVYVGTGVQFFGMLLVTLLFAILGLLSPSNRGGLMTAMLLLWVFMGLFAGYASSRLYRMFKGSEWKNVTIKTALMFPGIVFAIFFVLNALIWGEKSSGAVPFTTMFALVLLWFGISMPLVFVGSHLGFKKPAMEDPVRTNKIPRSIPEQPWYMNPVVSVLIGGILPFGAVFIELFFILTSIWLHQFYYIFGFLFLVFVILILTCAEITIVLCYFQLCGEDYQWWWRSYLTSGSSALYLFLYATFYFFTKLEITKTVSGVLYFGYMLIASYAFFVLTGTIGFYACFWFTRLIYSSVKID >Sspon.02G0007780-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:22669867:22673751:1 gene:Sspon.02G0007780-1A transcript:Sspon.02G0007780-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MWPFLMEAFNPTGSAHIGNTLSVGNPAMKWKNILKDMAPLRSTGRFFRCHPSALCLLIFLLVLYKYFFGWFTLIVTTSPIFLIAGIFLGIILAYGEPNNPEKDHVYKKIENARSSDIHDSSKSVRGVPLPTIPFGEGRVPKHKNRVKKIRKRSHGVASSSEPGSSESGGSDTDTAPVLHAFHHLGSGSNSSQSSQDGDSNDSSTEDGAENQQGNDGNVRKGKQHAKVVAWTADDQKNILKIGCLEIERNQRLETLIARRRARKYVDRNLMEFGSTDSLPTIEELSKFNVQIPAVFAPRKNPFDLPYNEDNFPDSAPSALLETVNPFDLPSEQANESSSNGGANSSHAEPIPVACHLQRSALLRRHESFTEGAPFLSEFLQDTQPSRFRPYFMTEKMANEEMTDSVLEGETSEKSNSKASSAQDTASTSSVADQESQKDVLEDCSNQGQQSSFSQTEEHAHIARHVREVSLALDMEPPVLISDSSDDDISLSGEHINDWEEAQQSENFSFSQNTLLEDPSVMQHHQEIDMTSNGLNQMSPHSNDLELTSSSTETTDDPFEVNDIEPPAKEVVVIDDTHILDPVYDLSPSGSEKPAPIGLVVDGPVQNQIAGHAHTLDAEASIEEGVSPSRTEASSSEVAGPSLSSVEESKFLEKEASEIREQSMVGHVKEHGGSVSHGDPSVCDISSQPSTGSSTNGICSAMVFLRSVLLSSAAV >Sspon.01G0015650-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:45478589:45478963:1 gene:Sspon.01G0015650-1A transcript:Sspon.01G0015650-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSGSNANASKEEDASGGQSGKPPHWRRREYATTTVYVVHPTQFRTVVQQLTGAASPPPLSTHQHVGGGGNGAGTRTNAVAQAQAQAQAQAQHGGGEQSSGGSRGRTLGQMYQDCLAWANADDN >Sspon.03G0039800-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:21153734:21154905:-1 gene:Sspon.03G0039800-1C transcript:Sspon.03G0039800-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFSHHSPADTSQLLAPSRRTLLLPLPCPRAGATPAAVPAAEAALLPSGLRAESLPRHVAVVMDGNSRWARARGLPSAAGHEAGRRALEEVVRLSRAWGVRALTAFAFSHENWSRPKVEVELLMGQFERAIRESVAEFLREGIRLRVIGDSSRLPVSLQKTAREAEEATRNNSQLDLTLAIGYSGRRDIVQACRNLAQKVHDKLLKPEDIDESLFADELETSHANELPHYPDLLIRTSGELRLSNFLLWQSAYAELFFTDTLWPDFGEAAYLEALVSFQSRDRRFGVRKL >Sspon.04G0001390-1P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8C:53171356:53174416:-1 gene:Sspon.04G0001390-1P transcript:Sspon.04G0001390-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGFTQGCLPLRRWDELNAFFQKSGAKIVFGLNALNGRVPLPDGSVGGPWDYTNAASLIRYTANKGYRIHGWELGNELSGTGVGTRVGADQYAADVIALKKLIDDIYRINPSKPLVYFTAIYSRIIWLMHTETEKQCAELNIAGKDTHLIDKILNPSTLDGMIGTFSNLQGMLKSAGTSTVAWVGEAGGAYNSGRHLVTDAFVFSFWFLDQLGMSAKYDTKSYCRQSLIGGNYGLLNTTTFQPNPDYYSALLWHRLMGTKVLATTFSGTNKIRAYAHCARDSPGITLLLINLSGNTTAQVSVTVTTQGAVAAHKHGARKHVGGRKFRHVHDPSFTGVDEAAGAVRDEYHLTPKDGNLRSQVMLLNGRALATDAAGNIPTLEAVKVDVAQPIAVAPYSIVFARISHFNAPACS >Sspon.05G0019620-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:66396609:66398159:1 gene:Sspon.05G0019620-1P transcript:Sspon.05G0019620-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQAKGDPAIAAVVVLLLLCAASPAVLLVDGAKGGEEELTTQLINGFTATHAAGSAATFEPVLYATSAVFALGFLRVGQASLDLAVVHLPSSFPVWRATPANLGDWSRPATLTFDTSLVLTAHDGAVLWRTLNTAGDVVALLNSSNLVVQRYARPLPAWQSFDHPSDTLVVDQNFTASSPPLISANGRFALRLGKTYMALHMEFDGGRAATPVYWKHTAMQAQPENATAPTIYGRLDGLGFFGLYLESGGGSQRVDVLSFDTFALNLAGVFRRMTLDDDGNLHAYYWTNDAKAWTSDYKAIAERCELPTSCGAYGLCVPGNAQCQCLDSNGTSTSSPPCHAEETGDLCITGSTGPRQLDFDVVRRKRVSVAYKEKLPVQMNTTADGCEMACARNCTCWGAVFNGASGYCYLIDFPVETLVYQADDRMVGYFKVRKAATATRSSARRGMSPGVTAATVVLSLVLVALAAAGAYWGYRMWEKRKRKRAWMEQELVPGPYKDLKSMASSNSSFKASTQP >Sspon.03G0011570-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:38809033:38818754:-1 gene:Sspon.03G0011570-2B transcript:Sspon.03G0011570-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGNSEKIQFSWGKCIAKGVKTSSRYYESFTFDNVKYSLYDCVYLFKHGDPEPYIGKIVKTWEQNQAKKVKILWFFLPEEIQKYLRGPVMEKEIFLASGDGSGLADINPLGVLAYCWFGVNGSKCLLLVGLELMDQNYLFLFKFLSLIEAIAGKCTVICISKDERNRQPSPREQTMADYIFYRCFDVKNCTLSDELPDKIRGLEVNVLLNAKDEQVSSASAANVLLNSNVDEVLAATFTAPCSAAKEDDESPATAIPLSQASVTEVDENPPAAIPLSQSVVKKEDKKSIAAIPLSHSAVKDEKPVVSAPRPQLSVKVNIPKHEESQKAHAGERPPKKLKLSQEAVQDMVPSTTDKRPLPWDDRLQRADKKGTLVYIQNLDIQFGGADLEELVREALQLSCIAKPINHPTCDDPNNGELFTSSRETLGGGGVRVARSRGLGKAYAIFRTKNDADVAISKINSGLVVGGRPLYCSKGLLKVPKSSGTFVGHLTLRNIKIGKRQREEQSKAVLTSHCSQPNTIEYEMALEWMILKETHEKIQNTSRDA >Sspon.01G0028480-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:99500157:99504907:1 gene:Sspon.01G0028480-1A transcript:Sspon.01G0028480-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAARRGTASTCALLLLLLLPAAVSVSSSGLRRGQDQDRSALLQIKNAFPDVELLQQWSPDSGGPNHCSWPGVTCDSGSRVVALEVPSPSRRSGPGRELAGELPAAVGLLVELKEVSFPFHGLRGEIPGEIWRLEKLEVVNLPGNSLRGALPAAFPPRLRVLSLASNLLHGEIPSSLSTCKDLERLDLSGNRFTGSVPGALGGLTKLKWLDLSGNLLAGGIPSGLGNCRQLRSLRLFSNSLHGSIPAGIGRLRKLRVLDVSRNRLSGLVPPELGNCSDLSVLILSSQSNSVKSHEFNLFKGGIPESVTALPRLRVLWVPRAGLEGTLPSNWGRCSSLEMVNLGGNLLSGAIPRELGQCSNLKFLNLSSNRLSGLLDKDLCPHCMIVFDVSGNELSGSIPACVNKVCASQLMLDEMSSSYSSLLMSKTLQELLSGFCNSGECSVVYHNFAKNNLEGHLTSLPFSADRFGKKTTYVFVVDHNKFTGSLDSILLEQCSNFKGLAVSFRDNKISGQLTAEFSRKCSAIRALDLAGNQISGMMPDNVGLLGALVKMDMSRNFLEGQIPASFEDFKSLKFLSLAGNNLSGRIPSCLGQLRSLRVLDLSSNSLAGQIPNNLVTLRDITVLLLNNNKLSGNIPDLASSRSLSIFNVSFNDLSGPLPSKIHSLTCDSIRGNPSLQPCGLSTLSSPLVNARALSEADNNPPPDNTAPDNNGSGGGFSKIEIASITSASAIVAVLLALVILYIYTRKCASRPSRRSLRRREVTVFVDIGAPLTYETVLRASGSFNASNCIGSGGFGATYKAEVAPGKLVAIKRLAIGRFQGIQQFQAEVKTLGRCRHPNLVTLIGYHLSDSEMFLIYNFLPGGNLERFIQERSKRPIDWRMLHKIALDVARALAYLHDNCVPRILHRDVKPSNILLDNDHTAYLSDFGLARLLGNSETHATTGVAGTFGYVAPEYAMTCRVSDKADVYSYGVVLLELISDKKALDPSFSPYGNGFNIVAWACMLLQKGRAREFFIEGLWDVAPHDDLVEILHLGIKCTVDSLSSRPTMKQVVRRLKELRPPLLAVLVTATASNVPNKLVASNSNVISQRVKQDIHGI >Sspon.03G0012280-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:44083640:44087755:1 gene:Sspon.03G0012280-2B transcript:Sspon.03G0012280-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMDAAVATKSGGGAGATGVAAAAQAGMNGGETRSRFQRICVYCGSAKGRKPSYQDAAVELGKELVERGIDLVYGGGSIGLMGLVSHAVHDGGRHVIGVIPKSLMPREVTGEPVGEVRAVSGMHERKAEMARFADAFIALPGGYGTLEELLEVITWAQLGIHKKPVGLLNVDGFYDPLLSFIDMAVNEGFIKEDARRIIISAPTAKELVLKLEEYVPEYEVGLVWEDQMPAHGFAPELEPGIASS >Sspon.01G0026170-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:91095505:91104523:-1 gene:Sspon.01G0026170-1A transcript:Sspon.01G0026170-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIAAPQYQQTTTYGSQPTKSSAAISASARAATATSMSHSQGFHQGSSGVYGYSSDGFDRPGSSHHHQDHQQQQHEHVAQQSRRDKLRVQGFDPAAAAAAGHGLLPLDGDEHGGPGSMYEHAAAAAGASNMLSEMFNFPAPPSGPSATELLASQMNANYRFGLRQQQGPGGVAGLSGGDGGWFGAGAAGRAGLVLGGASMGSLGETSSPKQQQAGSMAGLATDPAAAMQLFLMNPQQQQQQSRSSPTSPPPSDVQHHEAFQAFGAAAGAAFGGAGTTGIVEGQGLSLSLSPSLQQLEMAKQAEELRVRDGVLYFNRQQQQGPSVQQVPMVLHGQVGALGQQLHVGYGPAGVAGVLRNSKYTRAAQELLEEFCSVGRGQIKGGARGGRGASASNPNASNKGGASSSGAAQSPSSACKEPPQLSPADRFEHQRKKAKLISMLDEARRCSRSRRRHTNSKRDMNLLIIMVVNFFDSVMGFGAATPYTALAQKAMSRHFRCLKDAIAAQLRTTCELLGEKDAGTSSGLTKGETPRLRAIDQSLRQQRAFHHMGMMEQEAWRPQRGLPERSVNILRSWLFEHFLHPYPSDADKHLLARQTGLSRNQVSNWFINARVRLWKPMIEEMYQQECRELEGSSAGGGGGGPESGNDPSGADDSHSPTTTGAAQLPQQQQQHGTVPGVMMPHKPDPGAAGPSAADAAFVGIDPVELLGGDAHVGGGADDLYGRFEPGVRV >Sspon.06G0030300-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:44887990:44897971:-1 gene:Sspon.06G0030300-1C transcript:Sspon.06G0030300-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA repair and meiosis protein (Mre11) [Source:Projected from Arabidopsis thaliana (AT5G54260) TAIR;Acc:AT5G54260] MQSPSSSGQRRPVLQLFRVMGFRLHGCLYVDFLLLGGNLFHDNKPSNSTLVKAIEILRRYCMNDCPVQFQVISDQAASLQNRFGQVNYEDPNYKIGLPVFTIHGNQDDPTGVDNLSVNDILTAGNLLNYFGKTDLGCTGIGKVTIYPVFIRKGETYIALYGLGNIKDGRLKRMLHEPDAVNWMQPEIQDETLAPDWFNILVLHQKRTRGNPRDAISELLLPRFVDLEVTRMGFHITQPGSSIATSLTNAEAKPKHVLLLEIKVVLEDQVDVDPGDEATICEHLHKVVNNLLEKSREGAPTGSKPMLPLVRIKVDYTGYSMINSKQFGQHYVGKVANPQDILLLTRSRQRHQTMQESYNISEELCPNNLNQETVEALIADSNLKLEILSVDELNSALNNFVKEDDKTAFQACVQQNIEEAILQEKENQSKETASSQNLQADTLSVFEKQKCSTTTEEQQALDSDDEPIESSVSDLFFSHHNFKSLEMVSEHQMPGGFHRYHHSPSKGWVMGVGGRQLGPGCALKTL >Sspon.07G0005730-3C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7C:10038178:10039936:1 gene:Sspon.07G0005730-3C transcript:Sspon.07G0005730-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DKAIKRFQVRNIVEQAAIRDVQEACVHDGKSLIQICFFNKSFMRLVVLTRVNVLCGSGYVLPKLYAKVHHCVSCAIHAHIVRVRSREKRRDRKPPERFRRREDRPAGGQGGPRPGPGAAGAAPAPAPVAARP >Sspon.02G0038430-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:37255752:37258323:-1 gene:Sspon.02G0038430-2D transcript:Sspon.02G0038430-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding PMAEAGRRTLALVNLAAIMERADEALLPAVYREVGAALGATPVALGALTLYRSAVQAACYPLAAYAAVRYNRAHVVAVGALLWAAATFLVAVSDTFAQVAIARGLNGIGLALVTPAIQSLVADCSDDNTRGAAFGWLQLTGNIGSIIGGLFSLMLASTTVMGIAGWRIAFHIVAIISVVVGVLVGLFAVDPHFLHVESGEQLLRKSAWAEMKDLLREAKAVVKISSFQIIVAQGVTGSFPWSALAFAPMWLELMGFTHNKTGLLITTFALASSLGGLLGGKMGDHFAVRFPDSGRIVLSQISSASAIPLAALLLLGLPDNSSSGFLHGLVMFIMGLSISWNGPATNKQVHFHLLFHSFVAEHIINTNACTGNPFLHSPIFAEIVPERSRTSIYALDRSFESVLASFAPPVVGFLAEHVYGYNPISYGAADNNVGRDKSNADALAKALYTSIAIPMLLCCFIYSLLYRTYPRDRERARMDTLITSELQQIELERRHGLGYYSGRKDGATVIDMEYSEEDFDADDDDEKALMDQQAKQGDNVKWHRSDSQ >Sspon.07G0005190-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:13379187:13380765:1 gene:Sspon.07G0005190-1A transcript:Sspon.07G0005190-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MACASPGTDTSTDLDTDEKNQMLELGQLVSLTASDSGNKSKDKLGQKALRRLAQNREAARKSRLRKKAYVEQLENSRLKLSQLEQELQRARQQGIFIPTPGDQPNSTSENGALTFDMEYARWQDDHNKQINELRAALNAHASDDDLRHIIDSIMAHYREAFRLKGVAAKADAFHVLSGMWKTPAERCFMWLGGLRPSEILKLLASHLEPLTEQQLASIYSLQQSSQQAEEDLSQGARALQQSVAETLASGSLNPAGFSGNAADCSGQMAAAVGKLGTLQSFLQE >Sspon.01G0045880-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:94985485:94986303:1 gene:Sspon.01G0045880-1B transcript:Sspon.01G0045880-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding HGAQLHGRRRHQLRGRAAVHPRDRRRHLAVDAGRQRLRPDPSVASDCPGRGCPRGRARGLRGRLLAPAVAPPRLPRGHVCRRRGAGLPRRLRLRGDHGVVGPPGAQPGVPRVRPGRLLGLAARPPGRAGTLGPDQGLPGRHAHLLRLQRDVRHGAGPVHGGPEQDEPSAVGVLQASDELRLHLRDPDVLDQPHQRHRRPRLRRLEQRGGQVLLLVRLLQGRPAAEPPQGVAPRRPHPRRRRCPPPRCLRHGLLRVPHRQDRPTLSPLQAGLHM >Sspon.02G0000510-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:23054710:23063773:1 gene:Sspon.02G0000510-2B transcript:Sspon.02G0000510-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSHPQTLPLPRARLSSPTIRHLRALAAPRRALVSSPPLGFASAARGLAPLRLRAVVSDHEQRGMEAGEQGRPLRVGLVCGGPSGERGVSLNSARSVLDHIQGEDLVVRCYYIDCAMKAFAISPAQLYSNTPSDFDFKLESLAQGFDSLSDFAEHLATNVDIVFPVIHGKFGEDGGIQELLEKANVPFVGTPSKECQRAFDKHNASLELNAQGFLTVPNFLVEKDKLAKLELEAWFQTINLNKENGKVIVKPTRAGSSIGVVVAYGVNDAAQKAEEIISEGIDDKVIIEVFLEGGTEFTAIVVDVGTANNSEPVVLLPTEVELQYSNSSDTKEDTIFNYRKKYLPSRQVAYHSPPRFPAEVIDCIRQGISLLFRRLGLHDYARIDGWFLPSPVASLPSAENSEKFGNTKYGTVLFTDINLISGMEQTSFLFQQASAYHADTFVFIMLNFVTNFRTKNNTFQVGFSHSRILRTVVQHACSRFPSLVPCNNAWTALSRKLQPSKQLEAIHKGTSRQKVFVIFGGDTSERQVSLMSGTNVWLNLQGFDDLDVTPCLLAPANGYFSSHDHDFSDSSREVWTLPYSLVLRHTTEEVHAACVEATEPERVKITKRLRDQVMNELGPALSKHDWFAGFDIAYEQPIKYSLQQWINHVKETEAVVFIAVHGGIGEDGTIQTLLESAGVPYTGPGPIASRTCIDKVASSLAVDHLASYGVRTIPKDVRATEEVLKSSLVDIWNELKAKLRTETVCVKPARDGCSTGVARLCCPKDLEVYTDALRRKFQHLPANCLSRAHGVIEMPVPPPESLIFEPFIETDEIIISNKLENGSARHLVWKGENDWLEITVGVVGKRGEMHSLNPSITVKESGDILSLEEKFQGGTGINLTPPPATIMGEDALQRCKKSIEIMANALGLEGFSRIDAFVNVRSGEVLLIEVNTVPGMTPSTVLIHQALAEEPPVYPHKFFRTLLDLAFARAK >Sspon.03G0031150-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:32846194:32848885:1 gene:Sspon.03G0031150-2C transcript:Sspon.03G0031150-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRPRKRRRRRHVGAAAGEGPDRFSHLTEDLLRSILNCLPTRSAARLAAVSRHFRHEVSRLLERVESLTLHEPHFPDPLRITPPLLIRRLALAPHRAIPPSSFRPIIEDAALHGVSELSFRLTRRARLPKNVLTVKSLVVLALDTCAVPPWSDVSCPCLRTLRLHRVAIHQENINKILASASCLETLEMEYCTGHGAGKGCTVESSSVRNLVFRPTLKLEGTVIRASGLRVLTLYTRSRAKSLELAPAPEIRKVYLHISKSNSMKKSMESFRVRPFLDAAVKLECLTLRGHAMKVLSYEYEKNPKLMVMFQDLRILSVSLNLSSEQEPVFLLKLLESCPNLQKFILSILSVRTSPIERTSTKYKRNYYLELEYS >Sspon.04G0003980-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:12309373:12314472:-1 gene:Sspon.04G0003980-1A transcript:Sspon.04G0003980-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-dependent RNA polymerase, Regulation of endogenous genes through smRNA-mediated pathways involving DNA methylatio [Source: Projected from Oryza sativa (Os02g0736200)] MEGFWGVVICTMVGRTIQVHGFDLTDSAESVKDILERIAGTGTICAVKLRPPRNISANSRAFAIVQFQTQESASLVEDAARRNALRIGRFYLKARPAERDIIPRPRIPMFSLEDTVLHLGCLVKENILSAFFRASNVSVQFGFDMKKIYFYLSYNLTKYKLELSYESIWEMQLQRPPTYRSRTKFLLIQVQAAPKIYEPLPRRPGIMFEDPFFNWLRDDTDEQWTRTIDFTPSASIGQSSIFVPQQCELPRIGDYFVYYKEQNLDFECRNGYSYSCGTSLVPIVKSPDYIEVPYEILFKINHLVQNGTLSGPTVDHSFFYHVSPKFEPIDHIKRALLKMSYLKSTCLNPTNWLSVQYSRIRKSRHASQRSSNISLDDGLVYVHRVQVTPAKVYFYGPEINVSNRVVRHFYADIDNFLRISFVDEDCEKLRSVDLSPRSTSGNDARRTALYNRVLSVLSNGINIGDKHFEFLAFSSSQLRDNSAWMFASRQGLTASDIRKWMGDFRDIRNVAKYAARLGQSFSSSTETLKVPKYEVEQIPDITNGTEYIFSDGVGKISANFAKEVATKCKLKRFAPSVFQIRYGGYKGVVAVDPRSNCKLSLRKSMSKFQSENITLDVLAYSKYQPCFLNRQLITLLSTLGVGDSVFELKQKEVVRQLNRMVTEPQAAREAVELMPMGEVTNVVKELLSCGYQPDHEPYLSMLLQTFRASKLLELKTKSRIFIPEGRAMMGCLDETRTLKYGQVFIQASYCADDHRKFVVTGKVVVAKNPCLHPGDIRVLQAVDIPALYHLPHPNECSGSDLDGDIYFVSWDSHLIPSRLVDPMDYTPAPAETLDHDVTIEEIEEYFTNYIVNESLGIIANAHVVFADKECLKAESPSCIQLAKLFSIAVDFPKTGVPALIPPELHVKEYPDFMEKLDKVTYVSEGVIGKLYREIKKHTPHIKHFTREVARRSYDTDLIVDGYEDYINEAIEFKEEYDFRLGNLMDHYGIKSEAEIISGCILKMAKNFTKSSDADAIRMAVRSLRKEARSWFNEMSTGEDGQDAIEAKASAWYHVTYHPQYWGSYNEGYDRPHLISFPWCVYDKLLTIKQGRNFFTQMDPNLVSLMNNMNQNLRLH >Sspon.03G0009940-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:41642061:41645030:1 gene:Sspon.03G0009940-3C transcript:Sspon.03G0009940-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-conjugating enzyme E [Source: Projected from Oryza sativa (Os01g0658400)] MASKRIQKELKDLQKDPPTSCSAGPVGEDMFHWQATIMGPSDSPYSGGVFLVTIHFPPDYPFKPPKVAFRTKVFHPNINSNGSICLDILKDQWSPALTISKVLLSICSLLTDPNPDDPLVPEIAHMYKTDRHKYENTARTWTQRYAM >Sspon.07G0018990-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:74233527:74236983:-1 gene:Sspon.07G0018990-2B transcript:Sspon.07G0018990-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPERYEPGIRTRPNLRPSRTDQSSSSFSSSCPSIPASRRLLLPLVTLDNHWIGNRHHWAHETRDLACNPTSPMADAEPVDQKNYLEERCKPQCVKSLYDYERCVKRVENDGSGQKHCTGQYFDYWSCVDKCVAPKLFDKLK >Sspon.05G0035620-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:76622909:76624509:-1 gene:Sspon.05G0035620-1C transcript:Sspon.05G0035620-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKEIGMQIIAKCDCLPLAVKVIGGLLRLKTTRRGDWEKVLHDSIWSVSQMPEELNNAIYLSYEDLHPNLKPCFLYYCLLPRSRVFYRNHIIGLWISEGFVHGNSCDLEEKRREYFDELIQSNLIEPRVKYVDQLVCSMHDIVRSFAQYVTRDEALVCHNSDIYISDKLKSEKFIRLSIEKEVSKPHDFEWCSLQTQKSLRTLISVGHIKIKPASASFAKQARLGEKNRLRLLAMVCTSRLQDDELLVNVEGGISEEEQRRIEEVFDELCPPPCLERITIKGYFGQRLPRWMMPTAVMPLGSLRILMMDDLLFCTELPSGLSQLPCLELLHIRRALVIKRVGLEFLQPNSQELGFSSQVGRMGGVGVGGTSEGHAYLGEAWTR >Sspon.02G0017440-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:108998649:109001876:-1 gene:Sspon.02G0017440-2B transcript:Sspon.02G0017440-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G14110) UniProtKB/TrEMBL;Acc:F4JFR1] MPHSEALPVRLALKLDSTASLSCPIKCSAARRAPSLADHTDSKNDGIHAASVYGHDLMKSISDLQEVVFSSFSKACLLSSCIIYVLPPSCIAEPCEQAYSLPNMPLLFAIAMVGATVGGLLARQRRGELARLNDQLRQINAALRRQAKIESYAPALSYAPVGSKIPESEVIVDPQKECLIAYLRTGKNYLRNQAPDKAFPEFKAALDLAQSLGDHVEEKKAARGLGASLQRQGKYKEAINYHSMVLNISKMTGEDAGVTEAYGAIADCYTEVGELEKAGKFYDKCIARLENE >Sspon.03G0025810-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:3872750:3874984:-1 gene:Sspon.03G0025810-1P transcript:Sspon.03G0025810-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEEANGKKEEEEFSTGPLSVLMMSVKNNTQVLINCRNNKKLLGRVRAFDRHCNMVLENVREMWTEAPKTGKGKKKALPVNKDRFISKMFLRGDSVIIVLRNPK >Sspon.07G0028970-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7C:77949198:77949614:-1 gene:Sspon.07G0028970-2C transcript:Sspon.07G0028970-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DRIAGGGFVFIMDGSVSMPCGCRDFTPRPVLHAALVSDFEAGIRSCFLRGAGRLSSVVKDSGKKVSGLEPFVDVDEAKQLAKEAERMQYLRQMAREKKEDDRQQMLEDTILDFDPKRGGEYYTRFTFADLTKFDLGEE >Sspon.05G0031140-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:14227546:14231840:1 gene:Sspon.05G0031140-2D transcript:Sspon.05G0031140-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLHQVWQLGVKDMKAVPLPRLRAAPKRRAWILAVAAFVFFALAWAYLYPPPHYTSPVRDWLPGRLPAEPARELTDEERALRVVFRQILTTPPVRSKNSKIAFMFLTPGTLPFERLWEKFFEVTWGKISMVDAERRLLANALQDIDNQHFVLLSDSCVPLQNFDYVYDYLMGANLSFIDCFYDPGPHGNFRYSQNMLPEVTETDFRKGSQWFSVKRQHALMIIADSLYYTKFKLHCRPGMEDGRNCYADEHYLPTLFHMMDPDGIANWSVTHVDWSEGKWHPKAYRAKDVTFELLKNITSIDMSHHVTSDSKKVVTEKPCLWNGAKRPCYLFARKFYPESINNLLTLFANYTLI >Sspon.07G0006040-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:15686957:15687139:-1 gene:Sspon.07G0006040-1A transcript:Sspon.07G0006040-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFYYQYLSSGGYSSAKEKRPPLKRGQLKRQIVRTISKLVVPGGDGAAGGKF >Sspon.06G0013780-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:39814718:39816889:1 gene:Sspon.06G0013780-2B transcript:Sspon.06G0013780-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable pectinesterase 8 [Source:Projected from Arabidopsis thaliana (AT1G05310) UniProtKB/Swiss-Prot;Acc:O23038] MSAKTNRAAAAAAFLLFYTHLYFPTTAHLYYSTTPVPGALVNLTAPPLPLYPISVASTYTHHQYGCKYNPLCDDFPPDFPPPDTPAVSIFCVDPNGCCEFTTVQAAVNAVPNHSSKRNVVWINRGIYFEKVTVPASKPNITFQGQGFDLTAIAWNDTAKSANGTFYSASVSVFASGFIAKNISFINVAPIPRPGAVDAQAVAIRINGDQAAFWGCGFFGAQDTLHDDRGRHYFKECFIQGSIDFIFGDARSLYEVSEEILKKDCKIILISIADPVPSGQRSITGSVTAHGRVSEDDNTGYSFVNCSIGGTGWIWLGRAWRPYSRVVFSYTSMSDIIASEGWNDWNDHTRDQYASSNVSLILICKTEKYKCTSDGANLADRVPYAQKLTDVQVLPYLNTSFIDGDQWLKPYYSKTHILGLQLPVIYKGTE >Sspon.06G0004230-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6A:13322129:13324114:1 gene:Sspon.06G0004230-1A transcript:Sspon.06G0004230-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uridine nucleosidase 1 [Source:Projected from Arabidopsis thaliana (AT2G36310) UniProtKB/Swiss-Prot;Acc:Q9SJM7] DDSMTILMAFGAPSLEIIGLTTIFGNVDTEGATRRKARIADFVHGSDGIGNLFLPAPSAKKVEESAADFLVNKVSEFPGEVSVLALGPLTNVALAIKRDPSFASKVKKIVVLGGAFFAAGNVNPAAEANIHGDPEAADIVFTSGADIVVVGINITTQVCLTDEDLLELRNSKGNHAPLLSEMCKFYRDWHVKSDDFHGIFLHDPVSFTAVLHPEYFTFKKGVVRVETQGICTGHTLMDQGLKKWNSENPWSGYKPISVAWTVDVPKVISFVKKLLMAP >Sspon.02G0025080-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:92100071:92103291:-1 gene:Sspon.02G0025080-1P transcript:Sspon.02G0025080-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEILRNFRICLVYELVSKIGDAIPYCAKVISLCKSRIQSLKSSEDALLAGKDGDASAAEAEGGSEKSDAERELEQLTSILPDLEKKLEDLDQANPSPAMEEMVKTIASRVTDAMPSAASFTSSQMATSSNGFDSSVLSTAATTGSTGSTVTDLGVVGRGVKRASIKPISAEPAGKKPALDSPSPQASPTLSYLKYID >Sspon.06G0008150-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:42517574:42521027:1 gene:Sspon.06G0008150-1A transcript:Sspon.06G0008150-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAGGHRAERVERPAGHRMERAEMAAGGHRAERAERPAGHRMERAEMPAGGHRAERAERPVGHRMERAEMQHATHHATERAGDARRTTITAAAAEMPAWQTAERKKSLESLLDAAGDARGRQQQHHHHRRGGGGGGGGGGGHVPVRPAPIPGEKVINFPGQGLEFKELSYSVIKKQKKDGVKVKKEVYLLNDISGQALRGQVTAILGPSGAGKSTFLDALAGRIAKGSLEGSVSIDGRSVTTSYMKQISSYVMQDDQLFPMLTVLETLRFAAEVRLPPSLSRAEKLNRVWELIEQLGLQTTAHTYIGDEGIRGVSGGERRRVSIGTDIIHKPSLLFLDEPTSGLDSTSAYSVVDKVKEIAKGGSIVLMTIHQPSFRIQMLLDRIVILARGRLIYLGSPITLPAHLAGFGRPVPDGENSIEYLLDVIKEYDESTLGLEPLVAYQRDGSKPDEAAKTPIPKTPRTPHQKSVQFRQMQLKSNQFSVTTGTPHANTFSNFESYNIDDDEEEFDNSLERKSHTPLHTGTSTYHPRLASQFYKDFSVWVYHGVTGTPHRKPTWSTPARTPARTPMSSYQQRSRVATPHHAPPPQSPHEPVFKPEEPSYHEYQLELEPPLDAPEDGPKFANPWLREVAVLSWRTALNVVRTPELFLSREIVLTVMALILSTLFHRLSDSNFTTINRLLNFYIFAVCLVFFSSNDAVPTFIQERFIFIRERSHNAYRASSYVISSLIVYLPFFAIQGFTFAVITKYMLHLRSNLVNFWIILFASLITTNAYVMLVSALVPSYITGYAVVIATTALFFITCGFFLKRTMIPMAWRWLHYISAIKYPFEALLVNEFKGDHCYVGTQNQLSPGPLGQVNNLNATSPTCPLVGQDVLSTMDIQIDNIWIDVAILLAWGVLYRLIFYVVLRFYSKNERK >Sspon.06G0006470-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6A:23281225:23281411:1 gene:Sspon.06G0006470-1A transcript:Sspon.06G0006470-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding WMTCLLPPSTTRTASDGLCLPPPSTDLPILGNLTATASGTTNPRRTNSVSSSHPYDSPAQS >Sspon.01G0000380-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:1593826:1594613:-1 gene:Sspon.01G0000380-1A transcript:Sspon.01G0000380-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSWSMQVAVALALAFLVGGAWCGPPKVPPGKNITATYGSDWLEAKATWYGKPTGAGPDDNGGGCGYKDVNKAPFNSMGACGNVPIFKDGLGCGSCFEIKCDKPAECSGEPVVVYITDMNYEPIAAYHFDLAGTAFGAMAKKGEEEKLRKAGIIDMQFRRVKCKYGEKVTFHVEKGCNPNYLALLVKYVDGDGDIVAVDIKEKGGDTYEPLKHSWGAIWRKDSDKPLKFPVTVQITTEGGTKSVYNDPDTAYTAK >Sspon.05G0027440-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:46296293:46302181:1 gene:Sspon.05G0027440-2C transcript:Sspon.05G0027440-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ETRCGAGQRPEEEGKQREREWEEAAEAVAYDSCTWPPPVVAVCGPGNSGKSAFSRLLLNTLIDRYKKVGYLDIDVGQPEFTPPGFVSLHIFEEKPKGVTILYLRNPKRYFFFGDICAKRNPKLLLTYIFGLHDYFLQEFYCLGEVENPKKSAIPLVINTSGWVKGTGLQILTEMLKYVSPSHVIRVSTTVERKNLPGGMFWMNEGEGDSSVNLVEIPAAQNSPRQWLAKKEARIIRHLRLIAYFRQCLPREFPVFCFDDLVQGFCSIHPFRLPLSKIHVIDLHCQVTFYYHFTFILIV >Sspon.05G0021110-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:90791921:90793092:1 gene:Sspon.05G0021110-2B transcript:Sspon.05G0021110-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding HMMNILESSNLGGYKEVINEVEHQRALMMDLHDLVLPVLDPYSGQANLIQQLFEEVFSCSGKIISSLELGDNREKQDILTKYKRKGGENNVENHILEENKGCGNKSRKNAKHISSVVTQAPYFDGYQWRKYGQKWISKAKHSRSYYRCAYSKEQGCPATKTVQQKESDGNGTVRLFDVDYYDQHICSSDGIVHPYVVEATHDNVPIASQNQSSSSMVINTDAHGVQDESFESLFMVPDIPEYLTEFTDVEMASAFEITSMNSPLIPEDIWA >Sspon.08G0006100-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:19003048:19004238:-1 gene:Sspon.08G0006100-3C transcript:Sspon.08G0006100-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding IQTPIDCVSAIYTVLSRRRGHVTADVPKPGTPIYIVKAFLPVIESFGFETDLRYHTQGQAFCLSVFDHWAIVPGDPLDKSIVLRPLEPAPIQHLAREFMVKTRRRKGMSEDVSINKFFDEAMMNELAQQAADLHLQMM >Sspon.02G0013730-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:36555716:36556801:-1 gene:Sspon.02G0013730-1A transcript:Sspon.02G0013730-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MERRGHCHGGKNPHPPPPRRARGGERTRQPSSGSFSASLLDAIYRSLDDGGDGAADAVVDAARGSGAEENKAAAAAAATTAQFWWANNKEAAKPRQSSSSADRERDRRRRGETGVARPRHSRYASSTTSSSDSSAASYSSFSCSSASTTDTESSTCHRHSGPSLPPRVSLSEESVATDAEEATPPPPPKSKPKKKARPCFPVARIRPKASVPASSSGPQPPSPSTFACALKALFSSARLQRKPNTPAATPPPKISQPRPQPQPPRMSATSAGKAADAPAVELSEPRTVRLRPEAEASVVVRRRVEELVRSLEELEEDEEGSDASSDLFELESLRGAGADELPVYGTTSLVANRAIAQGPAR >Sspon.05G0009380-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:29851429:29855284:-1 gene:Sspon.05G0009380-1T transcript:Sspon.05G0009380-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGELVLAAVATLLASLLALVLSHFLPLLLNPKAPKGSFGWPLLGETLRFLTPHASNTLGGFLEDHCSRYGQVFKSHLFCTPTVVSCDQDLNHFILQNEERLFQCSYPRPIHGILGKSSMLVVLGEDHKRLRNLALALVTSTKLKPSYLGDIEKIALHVVGAWRHDGGGVRVVAFCEEARKFAFSVIVKQVLGLSPEEPVTARILEDFLAFMKGLISFPLYIPGTPYAKAVQARERISNTVKGIIKERRSAGSCNKGDFLDVLLSSNELSDEEKVSFVLDSLLGGYETTSLLISMVVYFLGQSAQDLDLVKREHDSIRSNKGKEECLTSEDYKKMEYTQQVINEALRCGNIVKFVHRKALKDVKYKEYLLAFAKRRCSKGSGSPFCSVAEYLIPSGWKVLPVFSAVHLNPSLHGNAQQFQPCRWEGTSQGTSKRFTPFGGGPRLCPGSELAKVEAAFFLHHLVLNYRWRIDGDDIPMAYPYVEFQRGLPIEIEPTSPES >Sspon.04G0018230-1T-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4B:69190358:69195576:1 gene:Sspon.04G0018230-1T transcript:Sspon.04G0018230-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPEASVRTPEAALEGCGACGCGAGGDPRIQVAKVIWVESDATSWVKNTRKVSKGEPALEIIVEKDDAVSNGDAWRTTIDACLPVLNLIDTRRSIPYGIQQVRELIGISCAFDQVVQRLSTTVKMVNKGVLKDHLILVANSMTCTGSLIGFNIAGYKATFRSLKVQVPFTESTLFTPMKCFEKAAEKCDSDSLGCVVSSSSWGKHAAVGTGSSFQILWNENQLKSNKEYGDGLYDFLALVRTDQETGYMFLDDVDYLVEENAVDDMCLSPEPDGTLGKPTFEDNFEEQNIQKGSSWENGTTMNSSWEQNASAANDSGDWGGWSSGGGAAAKPADQDNSWEVHAKVQDNSTDWGGWSSGGGAAAKPADQDNSWEVHAKVQDNSADWGGWSTEKPTGEATVSGQPAEMDTWADKGAKMDSDAGDDNWEKKSSTPEASNKDDPWGKSGHTWDKRKRDGGDGAWEKKSDDGHGNWEHPSNWNGQSLNVDQDMWGNARGKKKADGNCQWEEQPSTYKRKKTNADHDSSYNNVMPPSDNAWNAGEGIGRPNAKSNAGSSWGEKDKMESDEHPKVPKESDTWNTGKSNESPWDNTDAVQDSWINSATRNNNTQDGSWDKVVAMKDPDSQQGSWSNVTIQKNDAQNDSWDNVADKAPNSAAEDSWGNLAATPVGNSDAKQSDSWDGWNAIPAENSQGTAQWKETTDSGNKDWKSDGWGAKSGNWSSQRNNPGRPPRRPDERGPPPPRQRFELTIEEKNILLEVEPLIFRVRRIFREA >Sspon.08G0002150-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:3556726:3563451:1 gene:Sspon.08G0002150-2B transcript:Sspon.08G0002150-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-sugar pyrophosphorylase [Source:Projected from Arabidopsis thaliana (AT5G52560) UniProtKB/Swiss-Prot;Acc:Q9C5I1] MEFNSVIFVMVELAKMLLNEGQIHLFEHWPEPGVRRLNSSYPGGLVSYIQNAKKLLADSKAGKNPYDGFTPSVPSGEVLNFGDDNFVSLEAAGIKEARNAAFVLVAGGLGERLGYKGIKVALPRETTTGKCFLQHYIESILALQEASCKMVDAGCQTKIPFVIMTSDDTNALTIKLLESNSYFGMEPSQVKLLKQEKVACLADNDARLALDPSDKYKIQTKPHGHGDVHSLLYSSGLLEQWKSEGRKWVLFFQDTNGLLFNAIPSALGVSATKGYNVNSLAVPRKAKEAIGGITKLTHIDGRTMVINVEYNQLDPLLRATGHPDGDANCETGYSPYPGNINQLILELGPYIEELKKTHGAISEFVNPKYTDSTKTAFKSSTRLECMMQDYPKTLPPSAKVGFTVMDTWLAYAPVKNNPEDAAKVPKGNPYHSATSGEMAIYKANSLILRKAGAQIADPVVDTFNGQEVEVWPRITWSPRWGLTFKSVKEKVHDNSSVSQRSALVINGQNVFLEGLSLDGTLIVNAVDEAEVKLTGHVQNKGWTIQHVDYKDTSEKEEIRIRGFKSEKVEQLEVNYTEPGKHSLSA >Sspon.06G0004960-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:14176843:14182963:1 gene:Sspon.06G0004960-2B transcript:Sspon.06G0004960-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:IAA-alanine resistance protein 1 [Source:Projected from Arabidopsis thaliana (AT1G68100) UniProtKB/Swiss-Prot;Acc:Q9M647] MRRQSLATVLLLLAAAAALAAPAAGHSDMASLVCLVLLPVIFCECPRFAHCNLGIICLPFCAGPDCLVCFNSAVQGKPSKAIVDSLAVFGAGAMLGDSFLHQLPHAFGGGHSHSHDHEGHDHAHEHAHAHSLEDLSVGLSILFGIVLFFIVEKIVRYVEDNSQNGAHSMGHGHHHHNHKRHDSSDKAKLNHQKSDTDGKDIDHTEEEPLVDGATGKISDGHDSKATIHKRSSSKATHGEPANSESDPAPEKASSNEGSSISNSNLVFGYLNLFSDGVHNFTDGMALGSAFLLHGSVGGWSRTLFLLAHELPQEVGDFGILVRSGFTVSKALFFNFLSALVALAGTALALSLGKDPGHSSLIEGFTAGGFIYIAVAGVLPQMNDQKTTLKSSVAQLISLAMGMLVALGISLVE >Sspon.02G0012180-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:26293767:26294656:-1 gene:Sspon.02G0012180-3D transcript:Sspon.02G0012180-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSTEAAAGGNGEGIPLSAGVAATAANGSQQGYPAEGVLVVGSMAPRAEGVLVIDLTAVAEEEEQKKDHSTAYARRESQIDLTAQTEEEGEDEKEAQGVDGPRAEGVPVMIDLTEESSDGEEEEEVRWVGQYSSTQSILLVGDGDFSFSLALATGFGSGANLVATSLDSYDTLKKKYSGAESNLAELKKMGR >Sspon.07G0024130-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:22289668:22290843:1 gene:Sspon.07G0024130-1B transcript:Sspon.07G0024130-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable membrane-associated kinase regulator 1 [Source:Projected from Arabidopsis thaliana (AT5G26230) UniProtKB/Swiss-Prot;Acc:Q3E936] IMGRTRGNKSAAGASGVRSFPSPASSSSASSSEFEFTVTLSPASKQRSAEQLCPADELFYKGQLLPLQLSPRISMVRTLLLSSASTSSASASDSTSTSNSSRDSNGSTSSSFSADCAALLLPDSAASSSRPSSATEDDRHLHHPLSAAASFAGLPPANKRTGKQYLSSFATRFSSVFHRGAGAPAAKKQPSKSLAKEVIKKYAKKVKPLYEKLSQIPKNQNNVSGSGGGVGGANNQPQQGFKKPFTFSIRKKRGDDDHAVAAAAAVEAEVVGGGKYAHSNSFSGNLRFPRQKRAAASCPSSMRSSPSHSGLLTFGGAGGVGFPDVPAAAAAAVASGIGVGPPVSLSTASSMEELQSAIEGAIAHCKNTMGGAVSVCPRKAAAAAGADEICAF >Sspon.02G0023240-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:71753880:71754944:-1 gene:Sspon.02G0023240-4D transcript:Sspon.02G0023240-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGEIEIEDDAPIRKPSLEEIDALEEARLAIEYIVIPGGEPVELLPRCSEIVARQLELVESYQLLAETFGSDSNSRLQILPVKITKKGSSKDNGVSKPTKQTGSDLIVSENGGGSSFSRLPFCQSDPSRLTVGLPLSKMYIIPAPLLFLLYSIVQCCK >Sspon.07G0022450-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:8192957:8197237:1 gene:Sspon.07G0022450-2D transcript:Sspon.07G0022450-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MWLLLAQMSLPASSESDSLASRRSKKPKYSKFTQQELPACKPLLTPGIVIGAFSLIGVIFVPIGLASLAASQNVCGFHVFNIYETKIATLCDALAVNNLICTQIVELIDRYDAECVSANDKVGFIQDTKTDKACTRKITVPKPMKGPIHIYYQLENFYQNHRRYVKSRNDKQLLYKDAASTITNCEPEATSEDGGAPIVPCGLIAWSLFNDTYSFSLNKKAVEVNKKNIAWDSDKNKKFGSDVFPSNFQKGGLIGGAKLNEKIPLSEQEDLIVWMRTAALPTFRKLYGRIESDIMASDEITVVIQNNYNTYSFGGTKALVLSTTSWIGGRNNFIGVAYVAIGGICLFLAMGFVILYVIKPRALGDPNYLSWNKENPDHPN >Sspon.06G0032470-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:86210804:86211167:1 gene:Sspon.06G0032470-1C transcript:Sspon.06G0032470-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVIRGKVIREVLQTSNGDKAGVDTVFEVTVRNPCACAVRGVFLRSEGFTSSIPVDAKLFRREGNDYLVVDGGRIESGGEVRFRYAWERPFNITPAAVQDDCSGGVHQFTL >Sspon.01G0018430-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:65140401:65145206:-1 gene:Sspon.01G0018430-4D transcript:Sspon.01G0018430-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable serine/threonine-protein kinase PBL16 [Source:Projected from Arabidopsis thaliana (AT5G56460) UniProtKB/Swiss-Prot;Acc:Q9FM85] DLAGVGERVAGSAGVVLRWCAVIGDEERGRGKGGSGAERSDNDKAGKVMSHWKNVLLRLPPPTPLPPAVGAFGGRADGGTKQNRIATSFDLSSSSIHPSLSFFLPSFLLTEAGFGRRQPRGKRGHLVGYLVLCPSCFGFREAPSAQRILRSSSVLSEERVGDRVRKAYSYVNKVSSTAKPGETPKVQSPSEVDRSDDRKLPSNPGEVEALLWGASAARPGTRWWPSPFPSSGRWPTTSARRRSSAAADSAGSTRVPSRRQRGADADLSASTTLPVAIKVHDGDNSFQGHREWLAEVIFLGQLFHPNLVKLVGYCCEDEHRVLVYEYMALGSVESHLFSRTSPPLPWATRMKIALGAARGLAFLHDAEPRPVIYRDFKTSNILLDADFNAKLSDFGLAKDGPVGEQSHVSTRVMGTYGYAAPEYMMTGHLTASSDVYSYGVVLLELLTGRRSLDRSRPPREQALTDWALPALPHKKRVQGIVDPRLAGGAGGWDDPPPARAVQKTAMLAYHCLNRNPKARPLMRDVVASLEPLQQPPEDPAGAHAAPMQGAGRMKCSRNGGRLVGAVLVLPGCPYGAKVTRLGVTGLGFTLEALLSATVHGVELNTTDLGVELSTISFGSKF >Sspon.04G0011210-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:22818718:22821043:1 gene:Sspon.04G0011210-2B transcript:Sspon.04G0011210-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGKRGEDSAVALKTSPSVTMARGLRGGSNPLEEWSGRVKAIEAGFRAWMAKQPIHIEAAVATAVGAVQGGALGGLMGSLTADGGSPFPMPQPPPNANPEAMASFKQAQALAGGPLVQARNFAVMTGANAGISSVMRRIRGQEDIQGSMAAAFGSGALFSIVSGVGTPNPVVNAITTGVAFAVFQGGFFMIGQKFSKPPSEDTCYSRTRSMLHKLGLEKYEKNFKR >Sspon.07G0020220-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:78460039:78465841:-1 gene:Sspon.07G0020220-2B transcript:Sspon.07G0020220-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMANGRLQKQALLPPRSPFPAPAPAPHAEFGPIARPRDAHHRHGHQRTSSESFLADEQPSWLDDLLDEPETPAARAHGRPGHRRSSSDSFALFEGGSSAAAGGMYDNVLDGMRGGGGGGGQVASWAGAPEFFPEPTSFGRPQGRPWESRQMYRQGGGMPMPGREKNGGRHGPSSSFADHEHGHLPNGVDRKGHGDPGIVAERKEGLRHSQQYAQRSRVRKLQYIAELERRVQSLQTEGIEVTAEMDFLGQQNIMLDLENKTLKQRLESLSQEHLIKRYQQEMFEREIGRLRTLFQQQQQQQQHHQERSSIVDRESHPMVNKAGKPMHKPVKKT >Sspon.04G0025680-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:32618778:32624310:1 gene:Sspon.04G0025680-2C transcript:Sspon.04G0025680-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTDDPAQVQGQNPKALVLGAPRLLAIPAARRFEEETSSNCSLQSKRKHRSNRPIVLSHLNPIQAKRRESGFPARIDGSSLLNHLATINKMSLHIGRLSQDVRQSYLEHLFQRFGRCTVNLKDGYGFAVYDSDDDATRAMRALHGKYVCGERITVNWSKQQPRFSQGFRRSSRFVELSRGRNFRDARDNIRFRDPLARNNQPANHDQSHNSDAGLEKESGKFAEVVNDAGENIGDDPGEVKRDEGGTNDEGPGEVKTDEGGTADANIIEHDRWAGKGTPGGDGDDFDRYEPYHGYERQEETENVIKASSYDSREHKLSSEKWKEHPDKHVDISHDKSRSPPTCYSCGVSGHIARNCPHGIDDNFIPRRDGLNFREKWQLRQRRFGSPSRRRPEFHIHPLDQINHRVQDGRKPFAERNMRMHWPRDGRRHAHYSENMPQTNKEGRKRSRSERSGGSSPSSEPSRRSNHANVKRSHSNRTSSDSRSKSPRSRPRFKAHSPTYSAHSSSKSSQPTQHEGSRSNISHPVAFSVSASPQHKSSPDVENKNLVGLMNSQLEDNLEFRTRAEVKDLDDNKQEGNGSVLNSKVLNGETLVRNKNANVTGYTRSDFDKNLVDDNAANRVQSQNANFEDSLSVKSKQGVLAKNGRNKCLELTTNEVISALKHYGIEAQESSDQSVEKYFGAARLWPWEIIYYRRRKKGPISTENYAKRLEQNKEFGIVDQYVRSSSGWWECD >Sspon.01G0023600-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:82265587:82266007:-1 gene:Sspon.01G0023600-4D transcript:Sspon.01G0023600-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWRGAASRSLFAAVRARAASSSSSAASRIRSAAPLPASPRRSVPAFAFAFATARPLAAMAGFPAAVVVRLTGHSATSVRACCELSQGTLFCRTCQDR >Sspon.05G0022900-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:9009185:9012255:-1 gene:Sspon.05G0022900-2D transcript:Sspon.05G0022900-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLFPLLIVFLHLLFGGSLCVSSDQLAPAKPLVLPDEKLTSNDGVFALGLFSPSNSSTRFYLGIWYNNVPEAERRVVWVANRDNPITAPNSSVTLAVTRRAGLVLADSEGRRVYWTTAADDDIATGVAGGASAALLNEGNLVLRSSNATTLWQSFHHPTDTILPNMPFRVNHRTRYTDRLVSWKSPEDPSTGEFSVAGDVSSGIQYFIWHESSVLWRSGAWNGGMVTSYQLSGASSVIMETVIANGDQISMRYGVSDGSPGLHARISSTGRYEFRIWNISSSVWTVLDAYPAPGCDYYAACGPFGYCDVTAAVPACKCSDGFEPQGTGPSDGCARKEPLRCGGGDHFVTLPDMKTPAMPVLVRNRSVDECKAECSSNCSCTAYAYASLSSAISGGDLTRCLLWFDELVDMGKYPHMDGENLYIRLAGSPPGKRNKNRVQKRKMLEYFSSRNVAGDENMEFPFVSFEIIVRATDNFSDSNMLGKGGFGKVYKGVLEGTKEVAVKRLSKGSGQGTEEFRNEVVLIAKLQHKNLVKILGCCIHEDEKLLAWNLWKDGKTEDLLDSSVKDNCYLDEVSRCIHIGLLCVQDNPNYRPLMSAVVFMLENKTTLLATPIEPVYFAQWDAQPRNASEKMELSVNDMSLTVLEALDVLMFIDKF >Sspon.07G0003600-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7A:8664812:8665175:1 gene:Sspon.07G0003600-1A transcript:Sspon.07G0003600-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding QEDQVMFQMNCMPPEGVRVPKASRACSKHLHRIDCMLQDPSLSCIILKNPDTNRLIVTSMVPYLHSIHASLRDPDEAKIMRSGDFPPIPPVHVHREQREGTRACEMQGSIEEDGAPAYHGP >Sspon.03G0032930-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3B:43199233:43199427:-1 gene:Sspon.03G0032930-1B transcript:Sspon.03G0032930-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDPPASKPDPPPSMHPLSFHPFAPPRKCTPARRAPDRQPPTRRTPRPSGTSSPRPIPRRPLTL >Sspon.05G0001320-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:5381836:5384966:-1 gene:Sspon.05G0001320-1T transcript:Sspon.05G0001320-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEAEQGFRPLDEASLVAYIKATPALASRLGGGGSLDSIEIKEVGDGNLNFVYIVQSEAGAIVVKQALPYVRCVGDSWPMTRERAYFEASTLREHGRLCPEHTPEVYHFDRAMSLMGMRYIEPPHIILRKGLIAGVEYPLLADHVSDYMAKTLFFTSLLYNNTTDHKNGVAKYSENVEMCRLTEQVVFSDPYRVSQYNRWTSPYLDEDAEAVRQDDELKLEVAELKSMFIERAQALIHGDLHTGSIMVTTGSTQVIDPEFGFYGPMGFDIGAFLGNLILAYYAQNGHANQANDRKAYKKWILKTIEESWNLFQKKFVELWNKHKEGNGEAYLPDIYNNSKLVSVAQKKYMTNLFHDSLGFGSAKMIRRIVGIAHVEDLESIKDASKRAECERAALNCAKAILKGRRQFETIEQVIEHIQSFDQD >Sspon.07G0021230-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7B:1778660:1779293:1 gene:Sspon.07G0021230-1B transcript:Sspon.07G0021230-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LRGVRALPGGGSTLHRRWPALSRWRVRARMAAGGAPTTVGASALAWWRWPHAPSFGVRVRLAAARWHKHELGPGARSRSAGGLPLSRAGPLPRRGSLSGVLHESAAVACSTL >Sspon.06G0021310-2D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6D:14062500:14063252:1 gene:Sspon.06G0021310-2D transcript:Sspon.06G0021310-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTIAPQLYLKTKRIKNTEQQAMKNGKWIDHIYPPTSPEEVTEFVKLWEAVNGITFNDTIEDDVRWRWMADREYTAKSTYQIQFVGTFSKIRITSIWKATTESKCRFLAWTLMHKKILTASNLLKRGWTDETDCKFSGAALKTPIHLCKDCPFTKQVWGIIKQWFNLAATDSVRDAGSLHGYWWKCRRKLKNERRNIDGIFIYFWWNIRKERNRRCFQQKLLNPTQVATLCKDDIMQYRMAMAPTADDH >Sspon.01G0051940-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:53805315:53807310:-1 gene:Sspon.01G0051940-1C transcript:Sspon.01G0051940-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEDDDTVPSGNLAKDDANGLAEMEEEALTEIPAKVEEDGVKPDEVIHEVAVGKGLAGALKILKERGSLNEGTDWGGRTTDKKKSKLIGVEDGPKDIRIERIDEFGRVGPGKMKQEKRQKRYEDELKIKHMKSSDTPLLAAEKMREAQSRNQTPYLILIGNAKTGQTSDAGSYAALETEQPGSLTPMLGDKKVEHFLGIKRSAKPGSLPPPVPKKPKN >Sspon.05G0026340-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:32922227:32929441:1 gene:Sspon.05G0026340-2C transcript:Sspon.05G0026340-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Domain of unknown function (DUF1767) [Source:Projected from Arabidopsis thaliana (AT5G63540) TAIR;Acc:AT5G63540] MRRRNQIVHSDSDEEDGEGMTTTTTPASVSASVASGGVSGSGSVGRPSPPNPSPLPVPFPSLTPSSAPFVISDDDEEVDEIVDPDGDSPIVDAPEVFSPPAPPARTAPAPPPITAPSQTPIPTPPPALTPTLTPTPTPTPTPPPAQTPIPPPPPARTPATTALPHPSLLSGRLRPVDEFLRRLGLLLRPDWLESCAAGIPGFDGLGGAEAQARRCFEQFLFADMNSCGAGVLPEGVGGMHAEFLDGPFVLQVDEVVNISAALKERYREAPAGPKRCLKLSMTDGVQRIFGMEYRPIKDLAVLAPAGLKIIIRNVHTRRGLLMLVPEAVEILGGVVDELEAARERLVSEVNKPPRGKSKQGGLPLSSRATRAAWPSSTNITNGGEQAISMQRSVNSSYPTGSGNAFQVGGATETVVEELVSPPVVNTVQEINMQGLYASLTRETTETSMHTTNKYDTTHITERSTGTIMEECVDPPIIANSVHEQMQRVQPANGVERTQSPNVGKINQMEQSFILSGENEKPFTYIYSMLIDWGRQQDTKAYIQGKIKGLITSVKSFQYKQRTKYELYVYIDDGSFISEPSLIIVNNRLGLSPGEVTAALAGELEFASPSEVKETLKGFQRFLVKFELVDDIICHVGPPLLRCG >Sspon.04G0019010-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:76947814:76949913:1 gene:Sspon.04G0019010-3D transcript:Sspon.04G0019010-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSPSAANLLALLRRNAASPAVALRLFLHLSSAACPPPPRSTSFLARLVAAHPAADALLPRLHRHILSFPDPSPHLLALLSCSDVLPLHLAIPAFRSIRALASAPPPPTPVYNRLILAALRESRLDLVEALYKDLLLAGAQPDVFTRNLLLQALCDAGRMELAQRVFNAMPARNEFSFGILARGFCKEGLVEEAERLVERMRVQGLAPNVVTFNARISALCKAGQVLDAYRIFKDMQEDWQHGLPRPDQVTFDVMLSGFCDAGFVDEARVLVDIMRCGGFLRRVESYNRWLTGLVRNGRVGEAQELLREMAHEGIQPNSYTYNIIVSGLCKEGKAFDARRVENFIRSGRFDEAKKKLLEMIVKDISPDSVIYDTFIHGYCKHGKTSLAIKVLRDMEKKGCNPSTRTYNLLIRGFEEKHKSDEIMKLMSEMKEKGIAPNVMTYNSLIKSFCERGMLNKAMPLLDEMLENELVPNITSFDLLIKAFCKTTDFPSAQMVFDAALRTCGQKEVLYSLMCTELSTY >Sspon.05G0007000-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:23049075:23051478:1 gene:Sspon.05G0007000-4D transcript:Sspon.05G0007000-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADENAGKKEEEEFSTGPLSVLMMSVKNNTQVLINCRNNKKLLGRVRAFDRHCNMVLENVREMWTEVPKTGKGKKKALPVNKDRFISKMFLRGDSVIIVLRNPN >Sspon.08G0022240-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:47051101:47053770:1 gene:Sspon.08G0022240-1B transcript:Sspon.08G0022240-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNTLGVGVGVGLGLGLAVGASALIQAAGPKIGDEVVASQHKCNTEDIDEYSSERLHDSISYAKNNAMLIQVSVLGTTKTFWRLSDEATRISRKLALILRNQHSVCKYLTAPLQVSNVWIGSTGNVKLRGVSFTGEGFFSIERVRDDYKHLSRVLELLIMISGGDINKLPPDYKDFLLLLRRNNLTWKDEFLIVNNAALLPMKNRTEVFLMLHDRIVNYLGQKNRAKRKKILSNLPYKNDWLDTATSNAKINQWVVNVQNEYKRTTIDLLRLNRNVRSHLHHYNHDDDIEEIMYCEWPMLLIVMENMLHLEDSRE >Sspon.03G0019690-1P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3B:100219550:100220669:-1 gene:Sspon.03G0019690-1P transcript:Sspon.03G0019690-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDTKKPTRAASRLGGRLVGVASMLLFVSLGFVLGVTSSNAMFIRYYLPFMPPLRSSAAPSSSSPPPLTTPPTPSQPPPPPPPQNPQVRSASFLSPSGVMHNMTDEELYWRASMAPMVSRTPVSRVPKVAFLFLVRGELPLRPLWEKFFAGHEGRYSIYVHAHPSYTGSPPTDSAFYGRYIPSQITKWGDASLVEAERRLLANALLDLGNERFALFSEACIPVYDFPTVYAFLTGSDTSFVDCYENGGSRSRYRPFFATRNITLARWRKGAQWFEMDRALALESVADDFCFPAFRDFCVGRRECLIDEHYLPTLVSLLGGAAATPTARSRTRTGSGPSTGTRTPTAPT >Sspon.08G0011230-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:48940088:48943855:-1 gene:Sspon.08G0011230-1A transcript:Sspon.08G0011230-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-DYW protein, RNA editing in mitochondri [Source: Projected from Oryza sativa (Os12g0270200)] MPSPPPSTAAAAAQQLESLLPHLSTLSHYKQFHARLHALGTLHSYPPLRARFLDRLALLPHADALPHALLLLRSLPSPATNDFNAALRGLAASPHPARSLLLLAGRLLPAPAPPRPRLDALSLSFALKATARCSDALATLQLHAILVRLGLGALKDGLAAHEFARTIGAVGNVRVCNALIDMYSKCGSLSRALEVFHSIKLEDRTLVSYNATIQALAMHGLGEDALKLFDEMPARIEPDEVTYLAVLGGCNHAGLVDDGHRVFNCMRVPPNMKHYGTIVDLLGRAGRLAEAHDMIMHMPFPADIVLWQTLLGAAKMHGNVDLAELAATKLADLGSNVDGDYVLLSNVYASKSRWADVGRVRDTMRSNDVKKVPGFSYTEIDGVMHKFINGDKEHMRWREIYRALDEIGSRICELGYEPETSNVLHDIGEEEKQYALSNHSEKLAIAFGLISTPPGETIRVIKNLRICGDCHVVAKLISKAYGRVIIIRDRARFHRQISEFLKDGLANDYLKPMIIIL >Sspon.01G0002310-2P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7C:59209219:59209701:1 gene:Sspon.01G0002310-2P transcript:Sspon.01G0002310-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LLEEEQHHGLDTELLPQIDGLSEVAYYPTATDEASLGQFNQMGLPETLAEQVPPMQMSSSASALMPLTSDYDECYTAALAGGFMGLEGAMYQQTGAILPGCNAEASQQGFFNSASTNSMVMIGEYQKMMEGEGLTTAYNDTDSMQGTFNTNAEMQVTFRT >Sspon.05G0028390-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:62680285:62681743:1 gene:Sspon.05G0028390-2C transcript:Sspon.05G0028390-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTNTRSSLLSTLPKDIPASFLKQITDDFSPQRELGKGAFGTVYTGIVENGEMIAVKKLGENSPVANEKTFSNEVCNLMAAQHENIVKLVGYCHESQKKLVQHNGRYIIVDIAEVFLCYEYLPMGSLEKYLFGESNRIDWDTRFRIVQGICNGLHFLHKEMDQPVVHMDLKPENILLGDNMIPKIADFGLSRLFGQEQTRMNTQNVVGTFGYMAPEYLYRGEISTQSDIYSLGLLIIQISTGEKNIPNTEDKCGRKFIEKVQKSWTDGHISSKYTSFDPDRLQQIKMCVEIGLQCVEHERKMRPSIAD >Sspon.05G0002160-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:4504991:4505639:1 gene:Sspon.05G0002160-3D transcript:Sspon.05G0002160-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKVTVLKVDTSCAKCKRKVLQAVTGLHGVDKVEVDSEKSTMTVTGTVDPVDVIVQARKAGRRASVLTIGPPPKPAEEKKKPAEQDKKKTEEKKTTAADAEKKAPETPATVFVHHVPSWPACPRYQERVVYEQDPPPCSIIVQKKQFSLLKIRV >Sspon.07G0000840-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:1888858:1890508:-1 gene:Sspon.07G0000840-1A transcript:Sspon.07G0000840-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATISAATLVGAAAVARPCQAQGLPQLRARAEKVRCGAADSRRPSQRDGTNGVHAGKAAPASQAGCASSLLAVASAMTTTSSPALALVDERMSTEGTGLSLGLSNNLLGWILLGVFGLIWSLYTVYTSTLDEDEDSGLSL >Sspon.05G0037360-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:12276044:12276833:-1 gene:Sspon.05G0037360-1D transcript:Sspon.05G0037360-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPAPGRRGRRLPLLLLLGALALALAGGANAWPHPHGGGAGLGVGAAAGAGRTMAAGGERWYRDLALRRMESVRSSFGARRDLATLSVLRSSFSLECGRVYGHQQIGDRRQTETHASACFAAKLLLGAQ >Sspon.05G0014110-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5B:52039457:52040994:1 gene:Sspon.05G0014110-2B transcript:Sspon.05G0014110-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLSLYADDAVIFTNPKQEDINCIMMIMEAFGDATGLRINMEKSTVAPIRCDSIDLDEVLSGFAGQRVTFPVKYLGLPLTLGRIKMVHLQYIQDRAKGKVEGWQDVWSPWQAGGRSGELTGGKCKVAWPVVCKPIEFGGLGIKDLEAFSRSLRLRWMWYSWDSRERSWKDLEIPVDSHDLELFNAATIVQVGDGRKARFWTSPWLQGQVPASLFLVLYKHSRHKNKTVFEAITDNKWIRDVDYSMTHQIIAEFIELWERLDVFNLQEDQEDKIIWRFTSDGQYTARSAYALQLEGSTRCRTATLTWTSRAPPKCRFFLWLLLQNRIWTAARLLQRQWPNEYFCQLCIRNLETTAHLFVECNVARNIWKRVADWVGAASLAPENWNPTDNLKDWILGIVDGLPRHSKEALTSLVLLVIWEIWRERNSRIFRHVCRFIPQILTDIQDVRLKPGRMQVTKGYIDCCFHLSPKSPLDS >Sspon.02G0025320-1T-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1D:38684273:38684617:-1 gene:Sspon.02G0025320-1T transcript:Sspon.02G0025320-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFYHYPRLLLPPSVGRYSSTLRIASFGGCTFLDGNNGGALLLPVLESLTLSNVSISRSALHALLTDCLALQSFVLIGTYYVSSRLQIMSPSLRSIRVCLLIGEDSSSSSRMHRV >Sspon.08G0012930-2P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8C:53315040:53316560:1 gene:Sspon.08G0012930-2P transcript:Sspon.08G0012930-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSDDDDDHATRSLDKNDDNATSDASNDSTPCTLDGEDDGYESDASTSSSISSHGDTKVYIGGFVVDCDGPNFELLYKLSKALRNEMAKTSKLKNENSFLKTTCEQQKHLLYVTTCSHEELKLAHEELSVAHDNLAQEHALLTNKPSNEETKSSESSSFGSNDQSHITNPCDIGKKHVSTSCDDLLDMSCSSQLNACSTSISCETNLLKENNELKSEVKNLSNKLESCYNSKVTFEHMMKTQRNIGDKSGLGFKKKMTKGERKQEKRMKRLLQKKLSHSMCYRCHEAGHLANGFPNIEKLKKMKEEERLKHVKCFKCHTWGHLISMCPTKQLVKQQVKPQPKPLVEQEKTPQEQIKINHGDDGDLMKKKKEKTRRGGRARHPMQIQDAKMMSKNQNEKRNLSHIKCFKCGDIGHFASGCPTKLEKKAQATHERQGNEKHHMSNEEKAQSKRRCYSCRERGHMAHSCPLGNTSKHISIDDTNTLRKDVNGTSIVAIAKHPAIHTKAMP >Sspon.04G0007300-5P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:27178163:27180037:-1 gene:Sspon.04G0007300-5P transcript:Sspon.04G0007300-5P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVQCDVCAAEAASVFCCADEAALCDACDRRVHRANKLAGKHRRFSLLHPCSSSSAAAQKPPLCDICQEDRAILCRECDAPVHSASDMTRRHSRFLLTGVRLSSAPVDSAGPSEEQEQEQENSSSPCKDACSGASAGAATTVSASDGSSISEYLTKTLPGWHVEDFLIDDASAGDVVGACSDGLYQGQHGQISGVLQEEAYMPWTGPADVADERASWERWVPQMHAEFAGGSKRPRASPSPPCSNW >Sspon.07G0017120-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:65920329:65923056:-1 gene:Sspon.07G0017120-2B transcript:Sspon.07G0017120-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLRRLRTYAALRRGATDGGVLAALRAELAHELSSSSSSGPSAPPPFRPEDASGFDAVSDSPRAQDVLLRRRAGSEEVLVSALLAPLQFVDQDPLPRAALMKVFVSKPGATPVLHFDCRASWVGEEQRGAADYAINAVRYHSSPGTAGEDEYEGPEFRDLDPRLQAALRECLVARGVNSKLASTILQHLLEKERSQYVTWLMTLEEAFAKDH >Sspon.05G0023610-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:8013216:8016582:-1 gene:Sspon.05G0023610-1B transcript:Sspon.05G0023610-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADKKKVEVKETKVEVKTATYKVFVHCGQCARDIQTEFTEFQGVEEVKVDAGAGKVTVKGFAFDVEKLRKKVEKGCRKKVELIPPAPPKDDMVVEVKTKKEELKVITVKLPLHCPDCAVRVKEMLLENKSIYEAKTDFGKNTCTVEGVLEEDKLVNFKNSADLRNEKFSINNSSWKEGNVTKRHSMLGT >Sspon.04G0026660-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4B:49030843:49031814:1 gene:Sspon.04G0026660-1B transcript:Sspon.04G0026660-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RLLLPFPSVPAARPVLPGIRRPGSWRASPTPAPRQAVRPDGSGSLRSCTARAPRQLVLPSTGAPARLLPSAHALAGSFALAPTPTATPVPTSSLVLYAPVFSVCVSLLKSARQGRARLCRPRGWPPPSRALTPPPPDGHGQRLCNQLMTNRMRFASSRMLDSGMGRSGVGTPARLSAASSYGGDDGGVGGVWAFGGGCVRGEVKGTEGLRLIFSRGVTRLRPIWSRVGERPEAREWEALMEQLLMQINACALLRES >Sspon.08G0006790-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:20982303:20990792:-1 gene:Sspon.08G0006790-1A transcript:Sspon.08G0006790-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSLQDKASEWSGVAAADAFAIDDVNIFESLGGTPQPFVDLSTNFYTRVYEDEEEWFREIFAGSKKEDAIHNQYEFLIQRMGGPPLFSQRRGHPALIGRHRPFLVTHRAAERWLHHMQQALDATESIDADSKTKMMNFFRHTAYFLVAGNEMTRQQGHGVACKHATRC >Sspon.02G0007010-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:20507229:20514159:-1 gene:Sspon.02G0007010-2B transcript:Sspon.02G0007010-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPAAGSGVVIRAAVVGLVLVGIALAPPLAAALRPLRERVASVGAAASAGSWGDEHAFFKRDENDIGPYSWNITGTYKGSWTFAGATNGSSRFLEFVESKGDSVLELLSTPTKISGVHYVQGSITFHDVIDNAHDGGVAQISGADGEPLQEEDYFLSNPYHLLRIFSSQVFQESSEEKNQRKNYGEHEKYRLEGLVESPAVDDDGECFSPILLNATSLNVEVYYNKAVNYTLMGAAKVSILMIGQQAIMDAYLCLLHLTAGILVDGILLGGILLMYELHNFLRPLLLLMYSFWVPQIITNVIRDTRKPLHPQYILGMTVTRLAIPLYIFGCPSNFMRIEPDKKWCIAVTVFMGIQAAVLLIQHYLGSRCFIPRQILPEKYCYHRKVEDSTNQPIDCVICMTTIDLTQRTSEYMVGTEVQ >Sspon.04G0032000-2D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4D:21294971:21297322:1 gene:Sspon.04G0032000-2D transcript:Sspon.04G0032000-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGGGASVSPIAGGARRHKVLAPWRFQSGFVRREMNAGVVSDGKRGGMETLQMSCQTATSDVPSVSLNDGSGVDGESPATDGEIEVPNNRGGGAVDGEDRGLGGVDGNPAAKEGDSLPKDGEFPVDLENDIVTADPHGMAKPSDFPGFQNNGPAEETNLAVNNSEGFMTSEGTVPGCRKGRKTVVPWRFQIGYEPKWSQGLSSGNRSNGEAEDPTSKVRDGSRKDAPAMARNHSRLKGSATSGRSSVRIPKGTGSAPKKRKVDKDDQYEATANNRISVVRENVLTTLREFRIIYKKLLEEEQIKWRERGHGLSPDLAAFNIFRERFCANYDDLKYDGSIPGVRIGDVFNSVMELYIVGIHRAQSLAVDHIRKKDGTCLAVSVVSYAQPSAFDSLDFLLHVGSVADTCDQEMEGTDMALKESMDTDTPVRVIHAVVTELGDNCQPKQLTSYVYGGLYSVEKFNREKTSGSQYVSIFHLRRMAEQQHIDLQVWKTEMPESFAGTFIVDISGGLEKVPISAINYISNEYLTTFHYISQIQYPLNYRPDPPSGCDCVGGCSLSQKCACAVKNGGGFHFNDIGGLTEGKPLIYECGPSCKCPPTCRNRVSQHGIKFRLQVFKTKSMGWGVRTLDFIPDGSFVCEYVGELLTDEEAQERKNDEYLFAIGNSYYDAPHWKAEIKAIPSLQNGPSEDDETVFAVDALNQGNFTRFINHCCTPNLFPQNVLHDHDNISMPHIMFFASEDIPPLKELSYDYNYQIDKVYDSDGNIKMKECFCGSNECNGRLY >Sspon.05G0038770-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5D:53893223:53893648:-1 gene:Sspon.05G0038770-1D transcript:Sspon.05G0038770-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLLSLFSLPRSPFSPTPPSRCPLARLQAPFFGHCPPYSDATLSTAAPQLDSRLPSRATALPTPTPPSPVVFLPAVGAEADVEEASGLQTAHTEVAAGLQAVRGGRMLSEILVVWA >Sspon.04G0023130-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:14569240:14571746:-1 gene:Sspon.04G0023130-2C transcript:Sspon.04G0023130-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGEAKCSVDVAEGAYIMASIMAVSGIMVTVLVLSGLFHSVLRRLGQPSVISHILAGIVVGPTVLGRAINLRQLGMRDAGDALGGTIRFVRMVFMFFIGLELDLRYLRRNLRRSLVVACGGSGICFVLAVLAGPFSYGFLHPGQGHFQPSNIYASTALFAIVLTSTASPVLIRIVTELKLTGSETGQIAIGAAFANDMASLTALSVIIVTHTLYGKVPKEKESSPPAKAAVVAWMALTVWMAVNLAAWVARMLNRLKRGRQYISKYELCGMLLLVVGLSMLEQIMGYSASMTAFLIGLAMPREGPTARTLMDRLAYPVHQLFMPLCFGAIGARLDFAKIGNFTVVQLVVAVTFTTLLSTAGKVAGTVLAGRALGIATREAVVLGALLNVKGYSDILAINFGNKINVWGETMQVVLLISSIVNTFMAGPASAAIVRQQRRALRYRSRCLEDLSLDHELRMLVCIHGATSVYSMLTLSDLSKGRAPVAVYLLHLVELVTSHKYAITQQLYHARDGGQDEDEWGYAREIEQVASAVATFTYDNAILVRQMTAISNLGSMDTDVRNCVEDARASLVIMPFHKEQRYDGRMVCRHEGRRQLNQRILHRAPCTVGILVERCFANEVTGENHQVVALFLGGADDREAVSYAIRLAVQPSVTVTVCRFLLPSGRGLSGNPKVMEEAMKDEEFMADLYARFVAPGHVSYMEKYVSNGAETVNALNSMVGTCSLFVVGKGDRAHGSRGVMTSDMGDWDEECQELGPIGELLSSDDLVGCGSVLVLQQHNKHRMKTWNKDNQQQQCHQAQDHQAS >Sspon.01G0018440-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:69585285:69587077:-1 gene:Sspon.01G0018440-3C transcript:Sspon.01G0018440-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIVLTILPSMSKEHCLNSQFRLPVGSENDCFRRFFGAVNFRFILNVKHGTHCSCYWNPKMPCILSQRFAAVIMRIREPKTTALVFASGKMVCTGAKSEEHSKLAARKYARIIQKLGYQIQFKDFKIQNMVGSCDVKFPIRLEGLAFSHGAFSNYEPELFPGLIYRMKNPKIVLLIFVSGKIVLTGAKVREEIYTAFENIYPVLTEYRKCQKW >Sspon.02G0024300-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:83169338:83172978:-1 gene:Sspon.02G0024300-1A transcript:Sspon.02G0024300-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAASALFATAALVMALMLAGSSTCHAARYLADSNSAAAPAAVPAVPATAALPPLAAVTVPPIPTAPVVPAATLPPMPAAPTVPNAALPPMPAVPTVPKATLPPMPAVPTVPAVPAVPKVTLPPMPAVPKVTLPPMPSIPGNRTPMAASASDLLATAALVVALMLSSTTTTHAARHLADTTPAAAPAAVVPGIPAVPKPPVVPTVPAVGALPPIPAVPTTVPAVGAVPPIPAVPAVPAATTLPPMPSVPAVPAATLPPMPKVPAVPNAAALPPMPAVPKVTALPPMPSIP >Sspon.07G0002660-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:6379516:6380923:-1 gene:Sspon.07G0002660-1A transcript:Sspon.07G0002660-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADHFAVMAGRLLTESSLQSAIGEASAVPSTTSTACDVSVQDGRPASGVLVECRICQEDDDEACMEAPCSCKGSLKYAHRKCIQRWCDEKGDTICEICLQQFVPNYTASSKLFQRGRNTIFFSAPGYIQARPMLNADHSATSTSYGYDQTPAPTGVLCCRIIAITLMVLLVFRDALSVFLGDQDAYTVAMVTLLMLRTTAIVIPVYIILVAVTELLHRRRQRQVVHDQTSEHEGEERTQPQQH >Sspon.04G0016010-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:64846042:64863058:1 gene:Sspon.04G0016010-2C transcript:Sspon.04G0016010-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKKGTKSKKEVDNAPNQQENKLPDYLELQRTRVVCNADAPIHTQGFQSSGAFAAMGVSVEKFCKNFKIEINLLTEDDMEFDMIGIDASIANAFRRILIAEVPTMAIEKIFMVDNTSVIADEVLSHRLGLIPLHADPRLFYYISENDVPNERNTIVYKLHVSCPKGSQRITDNMPKKGTKSKKEVDNAPNQQENKLPDYLELQRTRVVCNADAPIHVPTMAIEKIFMVDNTSVIADEVLSHRLGLIPLHADPRLFYYISENDVPNERNTIVYKLHVSCPKGSQRITVKSSELEWLPEGSQLSMAAPAQSGDKQKTFTSFSQSQKEILEKPLGVKFKDITIARLGPGQAIELEAHAVKGVGKVHAKWSPVATAWYRMLPEVVILEEIEGDDAEELVKKCPVNVFDIEDLGNGGKRAVVAKPRACTLCRECVMGPNGNQVELRRVRDHFIFTIESTGALPPEVLFTEAVKILEEKCERVISELS >Sspon.08G0023240-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8B:53798185:53798837:1 gene:Sspon.08G0023240-1B transcript:Sspon.08G0023240-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHRRMLAGVHGGKAALRGGGVAIPGHGGAREGETPDWALPSRTRRREWEHEEAEVEAELEPRGIWRGWSSGGARLRQAAAVSLLLRYEREEKEANGEEERGSGGLKVDWQSGGARRSATRPTLPAYRHHGAAAACTWSEQGCGAWEREADAGQARSWAGRLLPWAEKWGVRPKK >Sspon.01G0027100-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:95499934:95502628:1 gene:Sspon.01G0027100-1A transcript:Sspon.01G0027100-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAVAAALRSPTAASPSRRSAAPGASSIPFDRRRSFAFGSIKWLPDAAGCYAQGLGRQQLTSRTRRRSSVVRASSSPSESLPPSSSIAPLRMESPAGQLLSQILHTHPHLLSAAAEQQLEQLQTDREAEKEKDKESEAGDKLAPTGGDLVLYRRIAEVKEKERRRTLEEILYALVVQKFVEAGVSLVPALSHSIDSSGRVDQWTETVEGKLQHLHSSEAYEMIENHLALILGQRQGDATIAAISKLRVGQVYAASVMYGYFLKRVDQRFQLEKTMKSLPWGSEEEDSALNQVMTTDSMPSAQASSSHPEMGSWTTPDFNAGGPSQSIKPSRLRSYVMSFDSDTLQRYATVRSKEAFGIIEKHTEALFGKPEIVITPEGTVDSSKDEHIRISFAGLRRLILEAVTFGSFLWDVESFVDSRYHFVTN >Sspon.06G0027830-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:61519954:61546930:1 gene:Sspon.06G0027830-2C transcript:Sspon.06G0027830-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAFSSTSDAAPVSTSTASSFLLGGSNKKLTVSIRKKQQQQQLVNKYQPQGGKGLIVRAMAINKQTEQDRWRALAYDTSDDQQDITRGKGRVDPLFQAPMGDGTHVAVLSSYDYISQGLRQYSFDNTMDGYYIAPAFMDKLVVHILPGMYNKVDNPRVPIIVTGNDFSTLYAPLIRDGRMEKFYWAPTREDRIGVCKGIFRSDGVPDEHVVQLVDAFPGQSIDFFGALRARVYDDEVRRWVAETGVENIARKLVNSKEGPPTFEQPRMTLDKLMEYGGMLVEEQENVKRVQLADKYLTEAALGDANDDAITRGDLYGKAAQQVRVPVPEGCTDPNAGNFDPAARSDDGSCASTPSRSSFLGKKLNKQQVSAAAVNYHGKSSSSAANRFKVMAAKEVDETKQTDGDRWKGLAFDISDDQQDITRGKGMIDSLFQAPMGDGTHVAVLSSYDYISQGQKTYSFDNTMDGFYIARAFMDKLVVHLSKNFMTLPNIKVPLILGIWGGKGQGKSFQCELVFAKMGIIPIMMSAGELESGNAGEPAKLIRQRYREAADLISKGKMSCLFINDLDAGAGRMGGTTQYTVNNQMVNATLMNIADNPTNVQLPGMYNKVDNARVPIIVTGNDFSTLYAPLIRDGRMEKFYWAPTREDRIGVCKGIFRTDGVDEEHVVQLVDTFPGQSIDFFGALRARVYDDVVRRWVAETGVENIAKKLVNSKEGPPTFEQPEMTIEKLLEYGYMLVAEQENVKRVQLADKYLNEAALGAANEDAMKTGNFFNTSTASSFLLGGSNKKLTVSIRKKKQQQQVVNKYQPQGGKGLIVRAMAINKEVDETKQTEQDRWRGLAYDTSDDQQDITRGKGRVDPLFQAPMGDGTHVAVLSSYDYISQGLRQYSFDNTMDGYYIAPAFMDKLVVHIAKNFMTLPNIKVPLILGIWGGKGQGKSFQCELVFAKMGINPIVMSAGELESGNAGEPAKLIRQRYREAADLISKGKMSCLFINDLDAGAGRMGGTTQYTVNNQMVNATLMNIADNPTNVQLPGMYNKVDNPRVPIIVTGNDFSTLYAPLIRDGRMEKFYWAPTREDRIGVCKGIFRSDGVPDEHVVQLVDAFPGQSIDFFGALRARVYDDEVRRWVAETGVENIARKLVNSKEGPPTFEQPRMTLDKLMEYGRMLVEEQENVKRVQLADKYLTEAALGDANDDAITRGDLYGKAAQQVRVPVPEGCTDPKAGNFDPAARSDDGSCASTPSRSSFLGKKLNKQPVSAAAVNYHGKSSSSAASRFKVMAAKEVDETKQTDGDRWKGLAFDISDDQQDITRGKGMIDSLFQAPMGDGTHVAVLSSYDYISQGQKTYSLDNTMDGFYIARAFMDKLVVHLSKNFMTLPNIKVPLILGIWGGKGQGKSFQCELVFAKMGIIPIMMSAGELESGNAGEPAKLIRQRYREAADLISKGKMSCLFINDLDAGAGRMGGTTQYTVNNQMVNATLMNIADNPTNVQLPGMYNKVDNPRVPVVVTGNDFSTLYAPLIRDGRMEKFYWAPTREDRIGVCKGIFRTDGVDEEHVVQLVDAFPGQSIDFFGALRARVYDDVVRRWVAETGVENIARKLVNSKEGPPTFEQPEMTIEKLLEYGYMLVAEQENVKRVQLADKYLNEAALGAANEDAMKTGNFFK >Sspon.06G0020010-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6B:6904739:6905204:1 gene:Sspon.06G0020010-1B transcript:Sspon.06G0020010-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ENSKTVLVRFDLGNSKSGVLLTPQARPHKTRGQRLTSRHAWAHTARRMAHIVFLRTAAADLTAGKPPLRGVPASAPLSAAAAAIPASQEADVAVWRDGASPLAPATATVIGLLSSLDVVAFLASHLGDAAAAMRTPAGDVVAHEPALVREVEPHT >Sspon.06G0010680-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:50444432:50445250:1 gene:Sspon.06G0010680-1P transcript:Sspon.06G0010680-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding PAIPRSEEYSNGNGGGGHQQQHHHHGYEWKFPAALSANTTSVHVTALDGVVNVNSLFTVAVFVGLSLATPGQLRSLANDPRCDAGPGVARSLLVLEVVAFSSFLFSSLVAQGLKLALNLINSKDPHDALRAHIDARVLRLGMLASAVGSVVGCVFLMTSMVMVVQIRLGTLGCPTDRAAARPPRGSSGSSPPRSPSMS >Sspon.04G0001010-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:4053408:4058883:1 gene:Sspon.04G0001010-1A transcript:Sspon.04G0001010-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGLDPHKLHRPDRLQDTNKTHLVNILKGGIVYSNKVVLMSSTHSKDALIQGSRHGLQPTLTIHKYSADDIEGKSICRQALRRRLRFNDIHSLKEAVHVALRRNTQGDKIAFVEAYDEALAHLIYAGSDIILCSSFQDPSLQTAMKAIKYGSVPVQINFPGDESRELEGHDCPNTAMSQYIISTYGDLSLSQALDDFNNDPSHWDRQIKDGVARVAPVAGAVGGGDALAVPAPARVVRHVLLGGAAVAGVPDGPVEHDGHVEVVRPVEEHAVAVTEPLGLDEEHVVARRDIAGEGRVPLPLLEVDLVRLVEVDAGRYGALAATHAGARPLAWNGVLRRPAHGHAAADVVACAVDEVAKVGTADRDEAVLGRGEEEGAGAGAAAEGHVGTRPPADVAPRGIEAFARRVEVRPSLTVDEGQAGELVVVAVDGEAGRGAGVVAEELEALGAVVAVAPGADAERVGDGNVAAPVAAARPRDPRWQWR >Sspon.02G0004540-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:9796252:9798602:1 gene:Sspon.02G0004540-4D transcript:Sspon.02G0004540-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTAALPIEDQFVPPAGLPSVPAPEDGGFAKGSIDLGGLEVRQVTAFAKVWSTTQGGQDGLGATFFKPSPVPAGFSVLGHYAQPNNRPLFGHVLVGRDTSGTGALLAAPVDYALVWSSPDGAGHFWLPTAPEGYKAVGVVVTAAADKPSPDEVRCVRADFTDTSEIEDSVLSSDKDGFSAATLRPAVRGIDARGVHAGTFLAQSSATPAGASTTLACLKNNSASYTSSMPDLAQVNSLLAAYAPHVYLHPNESYFPSSVTWFFENGALLYQKGSQTPTPVAADGSNLPQGGGNDGGYWLDLPVDGNQRENVKKGDLAGAKVYVQAKPMLGGTVTDLAVWIFYPFNGPARAKVGLIPSIPLGKIGEHVGDWEHVTLRVSNFSGELLRMYFSQHSAGTWVDASRLEYLDGDGGNRPVAYASQHGHAFYPNAGTVLQGNSSLGIGIRNDCARGSRLDTGAGRCEVVSAEYLGIKEPAWLGFEREWGPREEYDIGREINRAARILPRSVRERLAKLVEKVLVGEGPTGPKMHGNWRNDEKEA >Sspon.03G0011540-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:47558362:47560983:-1 gene:Sspon.03G0011540-2P transcript:Sspon.03G0011540-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPPFLPVSLLSRGTQPALLSLCCHTTQAATGTDPPAIRFADSNLQTFPPSEARGKIAGAYRPPTDADDTFSSKGGGAGSGGRGGSAGSDDAAQGGWFRMFSVAAYKPYFDVDTSDVVERIWESVFPFRGTFTEKTSENPDLYGPFWTCTTLIFVAASIATFVTYLSHKWHKKEWNYDINLVTWSAGLFYGYVTFVPLLLYVILKYFSAPAGLVQLWCLYGYSLFIFIPASLLSIVPIEIFRWVIAGVAGFMSATFVAVNLRAHIVNSGERWFIIVAGIFLLQLGLAVLLKLYFFTITV >Sspon.02G0013170-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2C:37873718:37874017:-1 gene:Sspon.02G0013170-2C transcript:Sspon.02G0013170-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIKNMTVAATTTRSLDADMTADEFKEWLRRFDTDRDGRISRDELRRAMRAIRARFTGWRSKQGISYADTDGDGYIDDSEVDGLIEFAQKNLGLKIVAY >Sspon.01G0010910-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:36724616:36728265:-1 gene:Sspon.01G0010910-2B transcript:Sspon.01G0010910-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAMFFGCSRPRQRRHASSSSSGWSCIRSVGRRREDGVTAFRTAAHTMDHIILGCVFSREVWSSCLRTFRLQNLVNLPKTIRRGFDSLFFLVGWNLWKERNARTFDGAPRQPAELVQIILDEASLWIAAGYRALGALSSSSSNNNAMASARRWSRLFAISAFVCLLVVHPAAMVSGLRREDIVLGRDRAPTPAEPPVASTGKQFATATAQVEGPAGLRPDTQQELTRALP >Sspon.06G0020950-3D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6D:12515053:12515400:-1 gene:Sspon.06G0020950-3D transcript:Sspon.06G0020950-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQHAIAYTAASQQQVYGAKATINVWDPAIQESNGFSLSQLWILSGSFNGSDLNSIEAGWQVRSTIPIPNPNPHFHSHAMDPLYFPLRMHDDLSDDSTTFFHLIWECSGQLRFTFF >Sspon.02G0048040-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:20723812:20728836:1 gene:Sspon.02G0048040-1P transcript:Sspon.02G0048040-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATALSKSAVKSHPRSPTTAQQPPPPPNPGSSAASAGGGAAPPPPRRPPSVPCQRGDDGAQVARARRARQALGPRHAPHRRRGAGPHHPRAAVDRRRAHAAQRAGIRLPGLASPARRESLRLLATLCASHPDAAAPHIHKVLAHLARRLKDPASDTSVRDACRDVAGQLAAVYLRPLSASGVAEAGNATVTLFVKPLFEVMGEQNKAVQGGAAACLAKAVEGAGPGPGSIGMFGKLGPRICKLLAGQGVQPRRHCSVSWEVWRRWVGAISSQNMQQTLQSIRDCLENSDWATRKAAADTLCVFATHSGHLIGDGTAPTIAALKACRFDKVRPVRDSMIDAVQLWKKLTGEDANDAADGRNKDLADGEGKLDSRRSMQRGGKSESFDDSSPDSPSNNVKGSSIAEKAAVLLKKRPTLTDRELNPEFFQKLEARKTDDLAVEVVVPRKTLQSHLRSEGDTEEDDDPVGPADSNGSAEDEANLTQMRASSNFQNIRDKWAGQRGNRNKDTKARTADVEDRGEPSTKDSTAATMNIPGEGPFINNKTNWLAIQRQLTHLERQQTSLVNMLQDFMGGSHDSMVTLENRVRGLERVVEEMAREISLSSGRRGGGPALGFDSSPGRSSKYNGFHEYSNSKFGRGGDGRMGFAERYFSADGMASGTKNPSWRPDSEQWDSYAYSGSRSSMNARRGLDPVSSDNRMPRNERSNDQVGPRRGWDKGQGPFRFGEGPSARSAWRASKDEATLEAIRVAGEDNGNSRASARVAIPELDGEALNDETKGMKEAHFGRPGLAQWMLFMLMIWTLLMLRFFQLVMLNYL >Sspon.03G0016080-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:80918074:80922331:1 gene:Sspon.03G0016080-2B transcript:Sspon.03G0016080-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRSVNPSRRVSEGALPSVGGLFHPKSRSPPVLTIALVVLGVILLIAYFNSGSGVTVTSREALTRSEGSCTSEVMRALPYLKKAYGNAMKKVLHVGPDSCTVVSNLLKEGKVEAWGVEPYDLEDTDSTCKSLVRKGFVRMSDIKFPLPYRPDSFNLVVVSDALDYLTPRYLNKTLLDLARVSTDGLVIFAGNPGQQKAKVSELPKFGRPAKLRSSSWWTRYFVQTGLTENEGPLKKFEEATSKNKYKPDCQIFHLSSPR >Sspon.01G0010200-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1A:28667951:28673252:-1 gene:Sspon.01G0010200-1A transcript:Sspon.01G0010200-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSGAGRLEWQVLTRANFSSQIRLHPHVLLLATMPWYGESRSLMADIEHLVGSNEELGRLKLMVVYRNSEKLLIDAIGAAEGIKVVYYQRSMQFKYQGKLRAHDILSAVRYIMSLKHEEAPFEVLHTQEDVETFIESTDKSVILYESCGWFTRLAHGGSNQSYEAASSNNHTENVDISGKTMTRESDGPLELVENEEQTFGVGGQLTGSPWKGGFALANESVSEQIGNTNDGYRKCTMQKFHQFESFYAKLTAIAREYLLPPEIAKFGLITERSLLPSLDAVNEGNQETWFITTHHMGCKTCSVIAKDGDDLRSLVQSHHILGIKEVSADESGREAIFPTNRPSVILFIDRLSHSSKVRDESKLVIKLLRQYVQNNYPFHVSNGVLSSSTSKTRSKAVPSLRNTGISDAYSQTARLSAWASKLMALGDKMSVMVVNDGDSISYRSSSQGSGANPLYDVLTKLLHKARPGHRSKKTRISLVSRDVGLKMLSDDSEIKVVKSLSVEESEYKRTDDASATTDNSNDDITEVSVDETTAKETEYIDDGQAPSILDKSLATYPDEHDSARESNNTEMEDQSKSEASDMSVDLMEDASNNADSSSEVGGMLHKHIVEKTVTEAFQILQHDERNLYADQEESVSSNEQVDVSSVLSKKISKTEDAIYENTFDLSEGSEESDTRCSHHVTCSSSRVPLRDDTDFTDQATSSISDDRFAGAFYFSDGGYRLLRTLTGGSRIPSLVIIDPVEQKHYIFPEESEYSYDSLQNYLDSFMNQSLPSYYRVTSSAISSKELPRPPFVNHDFHEANSIPQLTAISFCPLVFGPRGCDSKSEASFSNTESIASGWNKDVMVLFSNSWCGFCQRAELVVRELHRSFKSFSSYSDSVSANAQDVHNEGNT >Sspon.01G0037790-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:20770934:20773135:1 gene:Sspon.01G0037790-2C transcript:Sspon.01G0037790-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PDIL5-1 [Source:Projected from Arabidopsis thaliana (AT1G07960) UniProtKB/TrEMBL;Acc:A0A178WDX4] MDLGAPRRGRLPIHLLLASLTVLVVLTVHSSAEVITLTEETFSDKIKEKDTVWFVKFCVPCCKHCKNLGTLWEDLGKVMEGEDEIEIGQVDCGVSKPVCSKVDIHSYPTFKVFYEGEEVAKYKGPRDVESLKNFVLNEAEKVGEAKLQAD >Sspon.01G0003970-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:9479789:9482098:1 gene:Sspon.01G0003970-2B transcript:Sspon.01G0003970-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding EGRAGDGLIKLFGKTIPVPETPAVDEAAKVGQQSGSSGTTDPKGQENTLQDSTGSPPQQEVADTEDSSAAKNSSADKHQGEVTNQKEKLKKPDKILPCPRCNSMDTKFCYYNNYNINQPRHFCKNCQRYWTAGGAMRNVPVGAGRRKSKSASAASHFLQRVRAALPIDPLCTAAKTNGTVLSFGSDMSSLDLAEQMKHLKEKLIPIAGINNGDERSVGSCTEGPAKAEDSNQKENVTAEKSAKVVQHPCMNGVAMWPFSCAPPPACYTPGSIAIPLYPAAAAYWGCMVPGAWNAPWPPHSPSQTGSTLSTASPASTKSNCFTPGKRPRDCNEEGDTKGNGKVWVPKTIRIDDVDEVARSSILSLIGINGDKAGKDGRGCKFARVFEQKEEARTAAHPVINGLPFLQGNPAALSRS >Sspon.01G0058190-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:22984955:22992200:-1 gene:Sspon.01G0058190-1D transcript:Sspon.01G0058190-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAVASAGRLAMEPSTAVTPGQVSAILGFLWVFAAWAYAEVLFHRKNTASIKTHSDVNLAVMDNISVKAEDQTMLLEEGGQAVVAKPAYTSLTSQMLRSEFGGYLLYFYICDRTNLLGESAKNYSRDLFLFLYFLLIIVAAMTSFKVHQDKSAFTGKSILYLNRHQTEEWKGWMQVLFLMYHYFNAKEIYNAIRVFIAAYVWMTGFGNFSYYYVRKDFSLGRFAQVEKWMEKLEETEMRTKLYIKASIVTVSLTAGYLWYEYIYKLDKITYNKLHPYTSWIPITSSVPNGQPKWLLSIIPNYPLLNFMLTTAIYVAVSHRLFELTNTLKTVMSSSFQVSDLHEIPCKHGLPDIDIVLTAIEIRAAHLEVESSHDANKLLSDIVCRLKCSGIDKVLKRQMVPINMAKSSLRLISSLLSLPRPEEAI >Sspon.02G0023750-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:75077715:75080028:-1 gene:Sspon.02G0023750-2P transcript:Sspon.02G0023750-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding KNQWLCAFYLPHTPFQPASKQTNYTKQQRRSHELRSLDAPPIPRSHYLTRASCARPIDQWRPRWLRRSRSAATCVARSAGLLRAAAGAGAGCRGCCTGAVAPAAAGCSRRGARRNRFGGGGRGTTKDEPGKENEEEVADVVIVDAGDEEEYASDELSGYRGLVLDLSYRPVNVVCWKRAICLEFIGKADVLEYYDQTVSSPSGSFYIPAVLRVPQLLQVVKRRRVKQSLSRKNILYRDDFTCQLGLYRVRRKFTFSITCRYCSSGDNLTIDHVIPISRGGKWEWENLVTACARCNSRKGQKTLEQANMKLRKIPRAPKEYDIMAVPLTKSAFRTLKRNHGLPEVWLQYLSRPSP >Sspon.03G0030160-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:19840260:19840910:-1 gene:Sspon.03G0030160-1B transcript:Sspon.03G0030160-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding EQEQAANPTPTRSSQVQPNPTHHPPESVADASPTETSQSADGFHRARNFKGTVPTAAAASSRIPKAAVPPAPPLAGDHRPPATPGMPPSRLVHLILLATLSLLLAQTLASSSPRPPRRRRRWRRSPATPARPPSRTGTATSRCARCGASARTRSAAPTGSRTGAAAPRRPARGPAWRGAATARSAPAPRPSQARRCCSSTSSGSSCSAPPSSSASSD >Sspon.04G0000100-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:161629:165759:1 gene:Sspon.04G0000100-3D transcript:Sspon.04G0000100-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:At4g35020 [Source:Projected from Arabidopsis thaliana (AT4G35020) UniProtKB/TrEMBL;Acc:Q5PNX9] MSASRFIKCVTVGDGAVGKTCMLISYTSNTFPTDYVPTVFDNFSANVVVDGSTVNLGLWDTAGQEDYNRLRPLSYRGADVFLLAFSLISKASYENWVPELRHYAPGVPIILVGTKLDLRDDKQFFVDHPGAVPISTAQGEELRKLIGAAAYIECSSKTQQNIKAVFDAAIKVVLQPPKHKKKKKKKAQKGCTIL >Sspon.05G0022200-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:6430030:6433978:1 gene:Sspon.05G0022200-2D transcript:Sspon.05G0022200-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSQLGEQPSGDRFCDLDTRFHGDAIAKPPLGQGGAEALPPNPSMQKLFKDIWCHIHSLMPLRDSACVSRKFLCSWRCCPKLTFTEETLGLKQNAYRKSDRTKKFTSRVDHILKNHSGVGVKSLKIVIGRHLNVDTCHFNSWLQNSITPGIEEVILFPPTKHKAEYNFPCSLLLDECGNSIRYLYINDCAFRPRNLTKLHLDRVRVTGDELESLISRSFGLEQLELRSCMEIICLKIPFWLDRLSSLTVSSCDKLQVIESRAPNLSAVELYIDPVQLLLGESSRVKNLNLGFSRDVSCVSYAITKLPSIVPHLETLTLYSGSERINTPTVANKFLHLKYLDISLAEDDDDETAFRAYDYLSLVSFLDASPILETFILSVDQLDMHHDSVSGDVASYLRQIPEHKHDRLKKVQINGFCSAKSIWLTHENLTLDSIFSQLEDVDDIVRCSGRKTGECFSKSKQMIVEAHKALKAIERYILERVPSTVKLNIRGPYPVPWYRCQITVIILYLAKK >Sspon.06G0016720-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:75317059:75320195:1 gene:Sspon.06G0016720-2B transcript:Sspon.06G0016720-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLQLPAGAHESQTILVLELHISSTPFLLFSPFAVADSPSTPTEPRVSAARLPLSPTLPDTYSMEIAQGTRKRNRASDGGGESSTGGPDRLSALPDCLLHVIMSSLKARQMVQTCVLSTRWRHLWLDIDRGWRPQTYVCSDSAIQGWEYSGRQAAAWLRRAMKYCTPGPGRAISCQRQGLNLSPSSWRLRRLHLCHVPLDDRFAEHLSSVCCALEDLELDHCTCEIRSIASDSLKNLVLKSCSWGGFLSDIASPTLKTLVIDGGSNWYGNLLAISAPMVAYLRLDVDGVGFRRGISINEMPYLDRASIHLRHHKDSFLSKSMCGSKLGGDQSELLCSVSNVTSFELLGVGTMVLGKEHTFLEFQNLRNLLLDDCDLSDDFHILRFFHRGSPNLEKVTLRHCKDLIVY >Sspon.05G0028560-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:64692966:64696333:-1 gene:Sspon.05G0028560-3D transcript:Sspon.05G0028560-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Receptor protein-tyrosine kinase CEPR2 [Source:Projected from Arabidopsis thaliana (AT1G72180) UniProtKB/Swiss-Prot;Acc:Q9C7T7] MDSTRTPCDPFLQRICQHHLLAMRGQILACLPLNLITLLSLFLSCTCQIDSQTQALLQFKAGLNDPLNHLVSWTNATSKCRFFGVRCDDGGSGTVTEISLSSMNLSGGISPSVGALHGLARLQLDSNSLSGPVPPELAKCTQLRFLNLSYNSLAGELPDLSALTALQALDVENNAFTGRFPAWVGNLSGLTTLSVGMNSYDPGETPPSIGNLRNLTYLFLAGSSLTGVIPDSIFGLTALETLDMSMNNLAGVIPPAIGNLRNLWKIELYKNNLAGELPPELGELTKLREIDVSQNQISGGIPPGFAALTGFTVIQLYHNNLSGPIPDEWGDLRHLTSFSIYENRFSGEFPANFGRFSPLNSVDISENAFVGPFPRYLCRGNNLQFLLALQNGFSGEFPEEYAACKSLQRFRINKNRFTGDLPEGLWGLPAVTIIDVSDNGFTGAMSPLIGQSQSLNQLWLQNNKLGGAIPPEIGRLAQVQKLYLSNNTFSGSIPSEIGSLSQLTALHLEDNEFSRALPDDIGGCIRLVEIDVSQNALSGPIPASLSLLSSLNSLNLSNNELSGPIPTSLQALKLSSIDFSSNQLTGNVPPGLLVLTGGNQAFARNPGLCVDGRSDLGVCNVDGGHKDGLARKSQLVLVPVLVSATLLLVAGILFVSYRNFKLEELKKRDLEHGDGCGQWKLESFHPLELDADEICAVGEENLIGSGGTGRVYRLELKGRGGGGGGVVAVKRLWKSNAARVMAAEMAILGKVRHRNILKLHACLSRGELNFIVYEYMPRGNLHQALRREVKGSGRPELDWPRRCKIALGAAKGIMYLHHDCTPAVIHRDIKSTNILLDEDYEAKIADFGIAKVAEDSSDSEFSCFAGTHGYLAPELAYSLKVTEKTDVYSFGVVLLELVTGRSPIDPRFGEGRDIVSWLSSKLASESLDDILDPRVAVLARERDDMLKVLKIAVLCTAKLPAGRPTMRDVVKMLIDAGAGPCSPRGQPPSRVCSNKSCC >Sspon.01G0013950-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:38508704:38509371:-1 gene:Sspon.01G0013950-1A transcript:Sspon.01G0013950-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GMTSCGNSPIFKDGKGCGSCYQVKCTAPQECSDRPVVVFITDVNDYPLAPYHFDLSGTAFGSMAKPGLADKLRHRGIIDMEFSRVRCKYASGKNIVFHVEHGSNPSYLSLLVKFVAGDGDIVQIDLKREASPEWEPMHHSLGAVWRIIDPHRPLKGPFSIRLTSEPGKKLAATNVIPEDWKSDAVYQSN >Sspon.04G0024830-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:26656009:26656382:-1 gene:Sspon.04G0024830-3D transcript:Sspon.04G0024830-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFVGLVSLVALIFLLSFRSLLHQQVLVGEGSAVAARSGRHDRNQQHAEQWAEERKRMRWFMTRDYASARRHTPRNNRLDP >Sspon.05G0007280-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:24390039:24396074:1 gene:Sspon.05G0007280-4D transcript:Sspon.05G0007280-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPEHPPQASSEPTTPPQEGSGRTKKRTRRIVPRSNRSEPPPTLAGASTTVEDPFLVLAGGKEGGFLDLEEIDEADFGIFGSVLDDVGQGVEEAGKDHGKKNKKKKTKKRKRGGDDDGFSVDGALVVENEQVDSEKADEKAEDREKGEKKGKRKRNRKKRKVKDNETSRESDEDVASDNAEDMQDDTENMEQDKDDELILGEDDVSAWRELRLHPLLLKAMHRLGFKEPTPIQKSCFPAAAHQGKDVIGAAETGSGKTLAFGLPILQRLLEEREKAARLHQEGEKMEERSGGSPLRALILTPTRELAKQVCDHLKDAAKFLGIHVVPIVGGLSMEKQERLLKKKPEIVVGTPGRLWEHMSMNNQHLVELHSLSFFVLDEADRMIERGHFRELQSIIEMLPLTNGSDEQAAKTMPNCETVPILQIKKRQTFVFSATLALSSNFRKKLKRGLSTSKASTPDDVSSIEALSKQAGMKPNAEIVDLTKASILPEKLEESFIECSEEDKDAYLYYILSVHGQGRTIIFCTSIAALRHISSILRILGINVLTNHAQMQQRARMKAVDRFRGSENSVLVATDGFARGMDFDDVRTVIHYQLPHSTDVYIHRSGRTARKSLAGCSIALISPTDKSKFYSLCKSLSKENANKSWLQRNAESMGLILDASDSEEERVQGHKKRKATSAHLQKLQQELSDLLQCPLQPKTFSRRYLAGAGISPLLQKQLEELAKRNASNNSSKSENKGSGFVIGQDRVEPLQALQNSGQEVCVNIDKQREKRRVAENWRRKKHEEKKRTREQKRKDKRKAKEMA >Sspon.02G0029110-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:105614522:105621419:1 gene:Sspon.02G0029110-1A transcript:Sspon.02G0029110-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVALVATCFVILVLSSVVWLHGRRRPLNLPPASACWLAIELPPHRALATLAARHGPLMHLRLGSFDTVVASSAETARLVLKTHDLAFADRPPTAFGAILAYGRKGILQTPYGPYWRMARKLCATELFSPRRVDSFERMRGQETRALTRGLFESAGATVEVKEHLVNFTMRNILRMAVGDKWLSFYGSEEGDVGRLIVFLLVLSGEAFRRTLDEAFAVTGAVSNIGEWVPWLGQLDVQGFTRWMKRVHEQLDRFTEQILDEHEKDRRRCARDGVEFAATDLVDVLLQLAEEGGGPEEPEARLTRDGAKAFMLDIIAGDTDTAAITMEWALAELLRRPDAIAATTAELDRVVGRGRWVTERDLPALPYLDAVVKETMRLHPVAPLLVPRRAREDTVVGGYDIPVGARVLVNVWAVARDPASWPDAPEEFRPERFLAGGGAEDVDVRGAHFELLPFGAGRRMCPAYNLAMKEVAAALANLVHGFTWRLPDGVAPEDVSMEEFFGLTTSMKVPLVAIAEPRLPEHLYADVD >Sspon.02G0013370-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2B:33197255:33198250:1 gene:Sspon.02G0013370-2B transcript:Sspon.02G0013370-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIQRRSLSSGPATPVHGAAQFAIGAGAMESSSRVIAFDCSSFRLYTDGQVERAAQRMETVPAGFDADTGVASKDIVIDVATGATVRLYLPPVQGATTTKLPIVVFFHGGYFIVGSAGEPMYHRYVNSLVARARVVAVSVDYRLAPEHPLPAAYDDSWAALKWAVSGADPWLSDHGDLGRVFLVGVSAGGNIVHNMAVSVGVSGLPAAEPPRIEGVVLLHPSFSGEEKMEAEEGEFWRANNNRWAVIFPGATGGADDPRINPMAAGAPSLAKVVGERLLVCTASLDPRAPRGPAYCEAVRASGWRGKVEWFETEAEGHGFFVLNPGSHKAVE >Sspon.07G0034250-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:71369522:71369970:1 gene:Sspon.07G0034250-1C transcript:Sspon.07G0034250-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SMPGTALFDTQNRTRTGTVFDTDGNFVAAKAYDALDVVDWPEGFYRHDIGWRALKHRHLATHR >Sspon.05G0014500-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5B:53954135:53960722:-1 gene:Sspon.05G0014500-2B transcript:Sspon.05G0014500-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GYDSKVELQPENDGLPSSVTQKFPFISSYRAFRIPSSVDVATLVKCQLAVASFDGQYVLLQAAHGNRQDVTGLQLPGVLDDMFAYTGPLGTIFSEEADVSVSFYDGPAGPLLETVQLNESNGVWHLTPILHLVIQDSAGIEHLKKLSDAGLTHVHLLPSFQFGGVDDIKNNWKCVGKDSTLSMRLNCQNSLQDQICNKLQLWLFRKRTLIIGGSYASNPDGPSRIIEYRRMVQIVPGYYLRRDSNGQIENSAAVNNTASEHFMVDRLIVDDLLNWAVNYKVDGFRFDLMGHIMKKTMIRAKSALQSLTIDEHGVDGSKIYLYGEGWDFGEVAQNQRGINGSQLNMSGTGIGSFNDRVRDAINGGSPFGNPLQQGFSTGLFLEPLSHLFLPLPHRDSHIALASLSAAAAALSRCSLSVRTPPPTLLPAGDYVSSSRPPPPAHAALAAVCARPKLRRTLLHPRRRRRRRLGVRLPPRRGGEPPIRD >Sspon.03G0034120-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3B:68134735:68137332:1 gene:Sspon.03G0034120-1B transcript:Sspon.03G0034120-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MWILKGDANTNFFHQFANGRRRKNTISFLDSEQGELRGHKEISDHIVAFYFRPGGVELVRPFGDQEIKDVIMEMKENSAPGPNGFGTLTNRLAPIAKKVIGPNQTGFVKGRNILEGVVILHEVIHELRRSKGKGLTLKRHMTESDCWILSIPLRIAYDDLFKLVRDPESVVAECWDEGEWFVDFKRALSGQEYERWIELKGELHNISLTPDEHDSVTWGLENKGLFTTKSLYRFILHGGGFAWAVWNYRNKMSIEHKFPKNPSDVIYLALSFMQKWGQLLKEDDRQRCMQLKDGILRWMKEFKPSPTMATDVFEI >Sspon.01G0041290-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:48411887:48416863:-1 gene:Sspon.01G0041290-1B transcript:Sspon.01G0041290-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SVVFHKDGFGVLHCAACQGHLEVCKYLVEELGCDPNMAASGGSCEGATPFMASAQSGDISTVKYLLDHGANLMKADAKGRTVLHHAVSAGSCKVTEFLLSKGIPVDIDCGRGTPLYHAATNEQDKTLKILLDHHANPNTIVSGMGTPLMSSLIYRSLKCMKLLIKASIFLTLFILLMPSVVILFTEIIRANILKVYVAQPFLQAGADVNGEGSYASPLVFATGYGGYTDFIKLLLKAGANPNIPDDLGRLPIELSALRECKEEVEMLLPLTSPIPNVRNWSVDGVISHAKFEDTKPLDKMHFDRRRAMIKSQADLAFRQKDYALALKFYNMGIDIAPEATLYSNRSLCKLRMGDGEGALSDAYQCRMMRPDWAKACYRQAAAHMLLKEYKQAYDAFLDAQKLDPGNDEIEKELRKAMESMNVSPDED >Sspon.05G0029050-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:74901901:74907320:-1 gene:Sspon.05G0029050-1B transcript:Sspon.05G0029050-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKENKTLEQVAEGYLNDLVNQSLLQVVMKNEFGRVKFCRMHDAIRHLALEKAAKECFGKAYEGHETFTIHGTRRLSINNTNIVPLNQSGATHLRAVYVSTGTVDSELLRSILTSSTLLSILDLQGTKIKMLPNEVFSLFNLCFLGVRNTQIEILPEAIGRLQNLEVLDAANTCLLSLPKDVAKLKKLRYLYASVRADEGSFWRYRGVKVLRGTIKNLTGLHALQNIKATSETLHDVAALTDLRTFAVDDVTSEHSLVLASAIMNMGNLVNLSITMSNENEALPLEQLSLPETLSALSLEGQLEKKWMPQILSSWLHLNYLTSLWLTFSKLDENSFPSLMVLRNLCLLSLSKAYNGKTLCFSVQSFPRLRELRINGAPQLSQVEIEEDALGSLVKLQFAECPELKRLPRSIEYLRTLDELYLEDAADELIKILRQEGEANECKEEQMKISHIRRVFTYKVAAVFVGLLWTLGDSSVQGDQRVHALVLRDWLDVLYGIMGEASRHLPGHDCDNLALDEGSTRSHDIADTANELALFRSLNSISFLFPKVRKKEK >Sspon.02G0029780-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:108300818:108303345:1 gene:Sspon.02G0029780-1A transcript:Sspon.02G0029780-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRHRHSEVADLGLSSDDWRPETTDHGNPSRHRCPEVKDLGLPSKDWCSEVADLESSSDHRRLEAANLDLTSINLPIQETDMLNVIVIEMLRLSLQKL >Sspon.04G0021470-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:669414:674605:-1 gene:Sspon.04G0021470-1T transcript:Sspon.04G0021470-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHARARPRQHIRVLVAAAMLLLSSALFTTARFPLAVSARLPNPTTTTRLLLFAGLPSSSPLRAFCPRARPSPATCAAFSSTMAATDNPLLVADFDFPPFDRVEPSHVRPGIRELLTRLEGELEELEKGVEPTWGKLVEPLERITDRLEVIWGMVDHLKAVKDSADLRAAVEEVQPDKVKFQLRLGQSKPIYEAFKAIRNSSDWDSLSDARKRIVEAQIKEAVLSGVALEDEQREKFNQIEQELEKLTQKFSENVLDATKKFEKLITDKKEIEGLPATALGLAAQTAVSKGHENATAENGPWVITLDAPSYIPVMQHAQNRELREEVYRAYLTRASSGELDNTNIISQILKLRLEKAKLLGYKNYAEVSMAQKMATVERVEELLEKLRAASWDHAVKELRPYFALPKVMDGLFTLAHKLFGVTVEPADGLAPVWHSDVKFYCVKDSSNSPVAYFYFDPYSRPSEKRGGAWMNVVFSRSRVLARNGLAARLPVAHMVCNQTPPVGVKPSLMTFREVETVFHEFGHALQHMLTKQDEGFVAGIRGVEWDAVELPSQFMENWCYHKNTLLSIAKHYETGETLPEEIYAKLVAAKNFRAGTFSLRQIRFASVDMELHTTYDPNGSLSIYDVDRRVAERTQVLAPLPEDRFLCSFSHIFAGGYAAGYYSYKWAEVLSADAFSAFEDAGLDNEKAIEETGRRFRDTVLALGGGKSPLEVFVSFRGREPSPEPLLRHNGLLPVAA >Sspon.04G0026680-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:53129051:53134897:1 gene:Sspon.04G0026680-2D transcript:Sspon.04G0026680-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MESGDTKFDASQYAFFGNNAVEEVELGGLDDDGGGDAAFIDHEDEENPLYGRDNMLEEGVGSFTDIDDLAGTFSKLTRIVNEPKQPGVVSHRGSISRQSSNAEWAQESGPSYWPTQPVLDTDHGLDKKNWWSQQPHSVNFIDSRLQRTSSSPQQDAQYNPVEPILGAKPSPLHRTSSYPQQEPQYSNTEPIPVPKSSFISYPPSGAASHSSPSQPHHVNMPSPPTAFQLPMSSAQNDLPLPQFHHGGTPPGPPFGRQNHVLNSGSMHENGPRFMPGLMPHQLQRPNGLMPPQMQPPRQHGMLPIQQSSPQFSQLHAQMIGPHHSPPQSMQMFGPQHPSQMMSRFDANFAMPDLSDPRTRSMLQHGRLGQRYPHQGYELNNIRMDNGWPRFRSKYMSTEEIENIARMQQAATQINDPYIDDYYHQACLAKKSAGAQLKHHFCPTLIRDPSSRAHSKDEPHAYLQVDALGRLPFSSIRRPRPLLDVEQAFAPSDNAEKSVSKPLDQEPMLAARITIEDGLCLLLDVDDIDRLLQFSQQQDGGLQLRNRRQALLEQLAESLQLVDPLAPNKNSPLSQYDDLVFLRIVTLPKGRKLLSRYLELVTPGSELARIVCMAVFRHLRSIFGNMPSDISAAETMTRLARAVSTRIVRMELSDLSACLAAIVCSSLQPPLRPLGSPAGDWASVIIKSVLDRATVLLTDQHVASNYSMQNRALWQASFDAFFGLLTQYCMSKFDSVVHTVQLQPAAAAVITREMPVELLRASLPHTNEDQRKQLLSFAQRTVPVGTHSSHGSGSGPMT >Sspon.01G0002290-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:6313990:6317484:1 gene:Sspon.01G0002290-1A transcript:Sspon.01G0002290-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLQHHHRSRSASSFARSSETTAADLDARSLGSTATATAAAAETVECPFGNVDGLSRAELREAAYEVFFMSCRAAGGRGGGGGGGLNYYPSGGDGGGGDGGSPTIGAGPRGGTGMNVVSSRVKRALGLKARRSSQPTVRSSMNSSSAPGSPGRMRSVRDQAPGSPGKSRRPMTSAEIMRQQMRVPEQSDARLRKTLTRTLVGQVGKKADTIILPLELLRQLKLADFADSGEHHQWQRRQLKLLEAGLIHHPSLPLDRLNAPVLRFREIMQAADARPIDTGKASDTMRALCDAVLALAWRSAPGTGPPGEACHWADGYPLNVILYVSLLQAIFDLKEETVVLDEVDELLELMRRTWTTLGIDKMIHNVCFAWVLFQQYVATGQIEPDLAGAALTVLGDVAADARQEDRDPVYARVLSSVLGAIHDWSEKRLLDYHEWYGKSVAATGAGAMVSALSLALSTSKIIAESVPGMGTALADSEHEGDGIGSFAGNRVDHYVRCSMRNVFTKVSNMLRNLMQPQTLENELGQGNSMIIQRDDDPSEIVARLAQDTEQLAQFELENFCPVLKRWHPFPGAAAVVTLHSCYGVVLKQYVAKATCLTNELVHVLHAAGRLEKALVPMMVEDVADSDDGGRSLVREVVPYDVESLVARFLRTWIEERLRIARECLLRAKDTESWIPKSKGEPYARSAVELMKLAKATVDEFFGIPVSARDDMVQNVADGLGAIFQEYITFLASCGTKQSYIPSLPPLTRCNQDSKIIRLWKRAATPCRDPGTSPRGRVHHSQSASLSGGNNPRQSTSRGTQRLYIRLNTLHYLLSHIQALDKSLSFFSHGGSTSPPVANRHLAPSSSHFDRARAAAQSAIVHVAEVAAYRLIFLDSHHSFYGGLYVGGVADARIRPALRALKQNLSLLVSMLVDRAQPVAVREVMKASFQGFLIVLLAGGSDRSFTMEDHAMIEEDFRSLKRAFCTRGEGLVSEDVVEAEARAAEGVVALMAQTAEQLVEEFGIAAYECTEAVSERQRLPMPPTTRRWSRSDPNTILRVVCHRDDEVANHFLKRTFQLPKR >Sspon.01G0001060-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:3382143:3392050:1 gene:Sspon.01G0001060-1A transcript:Sspon.01G0001060-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAATAPSRKTETYTDTKRRDDVRGANIAAARAVADAVRTSLGPRGMDKMISSGDQAQEVIITNDGATILSRMALLQPAARMLAELSRSQDAAAGDGTTTVVVLAGSLLRRAQPLLSAGAHPTAAADALHRLATRAVEILHAMAIPIELSDRESLVKSASTALNSKVVSQYSTLLSPLAVDAALSVVDPAHPDLLDLRDIRIVKKLGGTVDDTELVRGLIFDKKASHAAGGPTRVENAKIAVIQFQISPPKTDIEQSVIVSDYAQMDRILREERNYILGMVKKIKAAGCNVLLIQKSILRDAVTDLSLHYLAKAKILVVKDVERDEIEFITKTLNCLPIANIDHFRTDKLGYADVVEEISVGEGKVVKITGIRDMGRTATVLVRGSNQLVIDEAERSLHDALCVIRCLVNKRFLIAGGGAPEIEMSMQLAAWAKELRGMESYCIKEFAEALEVIPYTLAENAGLNPISIVTELRNRHARGEKNAGINVRKGQITNILEENVVQPLLVSTSAITLACECVRMILKIDDIVTVREAVEWEEEEEGANNL >Sspon.03G0028270-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:8700619:8703849:1 gene:Sspon.03G0028270-1B transcript:Sspon.03G0028270-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAAAAANGGACCHAAKGPGYATPLEAMEKGPREKLVYVTCVYNGTGINKPDYLATVDLDPDSPTYSQVIHRLPVTHIGDELHHSGWNSCSSCHGDPSAKRRFLILPSLLSGRVYVVDTATDPRAPSLHKVVESEDIAEKTGLGFPHTSHCLASGDIMISCLGDKEGNAAGNGFLLLDSEFNVKGRWEKPGHSPLFGYDFWYQPRHKTMISSSWGAPAAFRTGFNLQHVQDGLYGRHLHVYDWPGGELKQTLDLGDTGLLPLEVRFLHDPSKDTGYVGCALTSNMVRFFKTTDGSWSHEVAISVKPLKVRNWILPEMPGLITDFVLSLDDRYLYLVNWLHGDIRQYNIEDPAKPFLAGQVWVGGLLQKGSDVVYVTDDGQEEQYNVPQVKGHRLRGGPQMIQLSLDGKRIYVTNSLFSRWDEQFFGDDLVKKGSHMLQIDVDTEKGGLAINPNFFVDFGTEPDGPALAHEMRYPGGDCTSDIWI >Sspon.05G0025600-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:24990647:24996659:1 gene:Sspon.05G0025600-1P transcript:Sspon.05G0025600-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MERCENSLCVDNKSENAWWSGVVVLYVMHLITYFTNIIGVVTIALISIVSILGLICLCRSVYFQLWIKRRGYQRLSYFNGPWLTRITLMLVAFWWGIGEVLRLTFVNGEGRLISNRAWQVNVCKFYIVSNLGFAEPGLFLLLSFLLSAALQKQELGTLNRKWNRKTIRAVVILCSPSLIWEACVVFVGGHVSSDDGQRSKVSKYWYSASAIHNGDITCTHMYSH >Sspon.04G0005670-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:16969303:16971373:-1 gene:Sspon.04G0005670-4D transcript:Sspon.04G0005670-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At1g31920 [Source:Projected from Arabidopsis thaliana (AT1G31920) UniProtKB/Swiss-Prot;Acc:Q9C6T2] MVGGLVLSQAQTHQVATPRTAAPAPAQAFEKRPRDQAQPCGTVAAVSVRSLEDVRKVHARHIKLGLDRCPRHARPLLAACALSGWPGGMELAASIFESLVELETFDYNTLMRGHVDGGRGDREPASALRLYVDMLEAGVGPDSYTFPFILKACAQLAALQEGRQLQAHIVKLRFQHDEHVQNSLISFYGKCGEPAMARLAFNRVEAEERTTASWSALLAAYTKAGLWGECLESFGAMVLDGWRPDESSMVSVLSACAHLGAFDVGRSIHCALLRNTARLNTIMRTSLVDMYAKCGSIEKAAAVFDAMGDKNAWTYSAMLSGLALHGDGRKALQVFDAMVRKGHAPDSAAYVGVLNACSRAGLLEDGLRCFDRMRLEHKVAPSAQHYGCMVDLMGRAGRLDDARALIGTMPTGPTDTAWRSLLNACRIHGDLDLAERALEELRRLGAANAGDYVIVADMHAMAKNWAAAAALRTEAVDWGLAQSPGFSAVEVRGKLHRFVSQDMSHPRTRDIYEMLHQMEWQLRFDGYKPDTSEVAMDVGEEEKRRVVAAHSQKLAMAFGLLSTPEGAPVRVVTNLRMSKECHAYSALISEIFGREIVIRDRNRLHRFRRGACSCRDY >Sspon.01G0007140-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:16527829:16534552:-1 gene:Sspon.01G0007140-3C transcript:Sspon.01G0007140-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMASVCSETDNQKSKLHCNADAGSVTTEEVVMSCVAVSGALRPTERKGPDSAVTDSRRKRRIETRRRLPGGRIVAATKDDVLLKRIKVWISLTLLEKQLFKVRKSVDSSDAENPGNNLYVTGLSARVTDRDLEKHFSTEGEVIDASIVHDPWTRESRGFGFVTMATVKEADRCIKYLDRSVLEGRVITVEKAKRRRGRTPTPGKYLGTKSSRGRRYSPSYSPVRRDRYSSRYSPDRRDRYNSRYSPDRDLYSPYEAGHTLHMTEGDHTLLTAGMDHIPPTIGGGHTRLTTEAGIVQGLHTATEDGGHLLFRHTTAGAGIDLSPSHPVFLQGPEGGAIPAVYHHREATLAAIPQNRRDQRATLLRKGVEGNPHVADVLARGVIQGKATLIAAVRTPGLCLGSARLDLR >Sspon.05G0027430-1T-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:5C:45633058:45633579:1 gene:Sspon.05G0027430-1T transcript:Sspon.05G0027430-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLALGTANWLLGKVLNKLSSKLVEAWVASSELSSNMEAIKRNLWYTHGMLHEAQKRDVRDNQGLLVLLHQLSNTADKAEDMLDELDYFLIQDKLYNTQEAAAEVHGVIVGPALHARHVSRHFIGKWFSCCSCSHEPEHIHGDDPSGDDDVDIIKSPPRPVFNRVNMSNRIKML >Sspon.02G0019480-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:72075763:72081925:1 gene:Sspon.02G0019480-4D transcript:Sspon.02G0019480-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKEVVDMSTDEESDCVVICPPNGNADHEEVVSGSHDEDSSGRQENPYAMNSHMDSNGQEDVSVNPDLLKPIHQQESSLSNSPAKPAVARQQGSSHTVPEPCTVAPERRSSGAGNCAPIPHPTSSGEKLSDRSSSSPRSMAKKSPSVTPRKPLQSDNTSHSQEDDSYSVTSSTVTSARAGKTKKTTVAVAPTFVCANRAEKRGEFYTKLEEKRKALEEEKLQAEARKREEEEEALRQLRKNLVVRAKPMPSLEFHEEEEEALRQLRKNLVVRAKPMPSFYQEGPPPKVELKKVPPTRAKSPKLTRRKSCSDTPHTPEGGNNSAVCCRLHRHSIGNSKDVSSKAQCSPKSASKTGSATKSRVTKSREDLKASMKKVGQPSAANFAVQT >Sspon.03G0013740-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:55983994:55988093:-1 gene:Sspon.03G0013740-3C transcript:Sspon.03G0013740-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPVAGVVRLAAASRVLVLSLYLLARLLFRPYDTSATLHPPCLSSPPLSPDPNTPVSTAISSLAVWDGVHFARPAECGYEYEQSFAFLPLLPASLALLARSLFAPLVPILGYRAVLVLSGYVLNNVAFVAAAAYFYRLSMLILKDQKAAYRASILFCFNPASVFYSSLNLYALFALGGMFYLFSGAHTVAVIMLALSGSARSNGALNAGYFCFQALLQAYDAALAMQALVIGALRSIFIFVPFFAFQAYGYLNICVHGSSEELRPWCKAKVPLLYGFIQSHYWGVGFLRYFQVKQLPNFLLASPVLSLAVYSIVHYTKLLHRLFQTTSIHKQIITALEERPVVSYRRSDDVTSLSELSAGLTKKAQGNSTVKHRKSVATNTASATFHDTMSPNQNMEENQDACSILLLPFVLHLVFMTFTAFFVMHVQVSTRFLSASPPIYWAAAHILASPSRSSKRWGYLICVYFIAYILLGSLLFSNFYPF >Sspon.08G0010350-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:41625162:41626632:-1 gene:Sspon.08G0010350-1P transcript:Sspon.08G0010350-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATAYSVALLGGARLPAAPRSALLPRRSVCQLRLQDAPRLSLLRAKAASEDTSASGDELIEDLKAKWDAVEDKPTVLLYGGGAIVALWLTSVVVGAINAVPLLPKILELVGLGYTGWFVYRYLLFKESRKELAADIETLKKKIAGTE >Sspon.04G0021830-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:1755566:1756707:-1 gene:Sspon.04G0021830-1B transcript:Sspon.04G0021830-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGACAVAVPVATPAAPPRGGAGPSSNLAPRGSSFSRRVNCRRDSPRVVAPTRSRVITRLAGRDPGEAGTDAGVGQILKDDSGYLWTLVLGSLGGAAVIKYGSILLPDITRPNIVVALLMVSLPVVAAVLVLLKASSAD >Sspon.05G0035750-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:76704402:76705308:-1 gene:Sspon.05G0035750-2D transcript:Sspon.05G0035750-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKGGLASIFSSSRHSKPGTATSPNAPSPPWPWPSCATKPQTASFRGQGGGDDDRQPCTTAAAGRRRFDEAAAAPGGGGCGRRAGPPRRATRLVGGRHPQPRPDLHRPLLLRRGPPAPAAASNSILAASPSPGRALAPPPPPPPVEAPPRLLQAALAPSAAGAMLSESDDGVSEAETEAEVPTTSSLVEASVAVALDSEDPFGDFRASMHEMVAAHGLRDWPALHEMLLWYLRINGKHNHALIVGAFVDLLVGLAT >Sspon.01G0003920-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:10927138:10930849:1 gene:Sspon.01G0003920-1A transcript:Sspon.01G0003920-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWRFLRTIRFRYLSPGVRRESKIGGRGFTNNAPELSTNKGSLLRDESSCVGEKRNSVFACAPEHAGFNLDQKTGQTFEADAHSALKSCSGIGSVVIAKCSYIFERNGDTFDGECSLQDVLKPGLWLSPETLRRFWRVSELKPKDFLDILIGFGPSAAEVRKARFLWNLYRWASQQSKEFQHLPRSNEAMVSILADAHMLSQSESLLLSLVDHMAPAVSSELFSQIIQTYSEAHNIEKSIALYDHARSKHLIPSASCYQVLLHFLTRNRKDELILRVYLDMLEVGFGSCTKGDILDSVVMALIKKGKLLQALGILRQLKSLGLKLSKGALSNIVEEFNKKKDIGDMMNFLEEWRCLPELRLCNRILASSCTNLGTDQAWLVFQRLEALGFAPDATTFGIFIFHSCREMKLKSALVYLSECFARHVKPGVCAYNAILGGVFREGLYRHAKYVLEDMVEREVTPNLSTYKIILAGYCRYRQFDDIEQVLRDMETNGVNVLPSGNCVLSKALSFLGLDHLGVKIKRDNATGFPKAEFFDSVGNGLYLDTDSKMFEISLAQILDSALHSDINSELVRASQQGDVASALLVKDEAFQWGYDISPASCSELFKALCVSPAYVIDVIDLMEEMPDIFYKLDAQNLDLVVQILSRNGMSAHAKLVLEKMLREDLSISHNTYTSLMVGLCEERNIAGFWECWNLATKYRWSPDSKDTMDLISYLCKWGVIEEALKLMNLLFDCYHDLFFSAYYALLKELCRTGHTSIGCAMLEALKEKGVAVDRSLFFYVMEGFLKEQKTAESIGMHDMWLSKSNELDAFSYRSVLPSLPWLDADRAKNLVESMLTVKLTEFSYCGCIVKELTQTRNIKWAMPVLQESTPGKLSATLLNSLLQVYGWLKNWRKLDAVLCKMLKMHNSLSISSYRFLVCRMCEQSRFSSASSLRALFQHADKSRELIACNILIFYLFQRRNSSQIHDLLKDMEGNGISLDKTTYDFLVYGFHISGDTNDSVNALDACIAQGLKPSNRSLRIVLSHYCRLGNLDKSLALFHLIERNGWKHGLIIKTTLTSCLLSFGRQLEAKSCLNNLSKSEFIRCSSNFDDLIKEFCILGDLKMSLYLLNTMLKKGRLPNEASYSSVIYKLCILKEFDQALDFLAEMQFASLKPSEISCDALVHGLCAMGRTSDARKVLEMLTTLGSAPSYGMYKVVFDNYCRSSNLQKAA >Sspon.01G0012770-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:34287214:34289021:-1 gene:Sspon.01G0012770-3C transcript:Sspon.01G0012770-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GGNGEGEEAGFLLGAQGGGRAGPLASEVPGEVAAASAQPQDGGGGGGGCSAAGGARPVRAGPARRALRQPAAGRRGPGAAHGGPGRRRGRHVRRGVRTARRVRAVGPRPPDPCPADVRRRRVVSALRPPPPPRRHGRAARARLGLRRRAAAASLRQGRIAGILVGAVHPAAVPGGVGGRQGAPVGAERVRDGEGADGGFGVRDGHARREEPGLRRRRRRGARRVRALADVPGHVVRGARRRRQQGPRRMQRPPRVAPHAVARRARGQGPRAPAPPRPPGAGSAFDGGAVRGGAVRGREEGGRRGLLHPEAVRGRGDAAAAERGAGGDHPARAVRLLQPADGPAGARGGLAPDPHPAARRRGRRLLGDHHQQLPGGLPRRGRRRRGDRARGAVRRHAVPVRRDGHEPHQDPDGGGVDHPGGRIQRAGPLHRLLHPAGRHPPRRRLRGQRALRRWRAPAARRQGRRRAPRARRRRGGGPRAPAARRQDSLDGG >Sspon.08G0029680-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:46585941:46588502:-1 gene:Sspon.08G0029680-1D transcript:Sspon.08G0029680-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRERAAGQLLELREVVATPSALALEVLDPQPVGGVPQSSKAGRTLKGRRPPLLLKSARSRRVEEVAVGGAGAQGGGAPAMQKPQHVRHGGGGTWWRARVSIDDTPNKQTDIRKRFDSRNLRKTTQDGVQKLPLEGGAHLPVGLWSPPIILRFEGRFPTALKIKSTSLLKVVARTHRVSTRVRAKRGGAGTCAPASLGLGWRRADAGKGRRRSCGRVARPCVVGEKVGPGKLGLGMKSDHARHRVTTGPPTKLRAMPCQPACLWCGPGIGLTRTCRAWVRPKISTLGRAAVRWAACSYLGRGHALLFAIRIDPADPDLVAGLQGLVVAANADAAPAPAPALPAPPPPQQPPAPRGRGPRWADSARSLPPPISSLRRRPALVRTRTADGRLVITEDNGEGSGASGGRGGLICTRRRERDGQGHLTMSLV >Sspon.08G0028970-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8D:19497822:19498017:-1 gene:Sspon.08G0028970-1D transcript:Sspon.08G0028970-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding WGGQGRLLPAAGDEQAQAPPEPTAAHHHHCPWLGRV >Sspon.04G0037390-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:69849799:69854251:-1 gene:Sspon.04G0037390-1D transcript:Sspon.04G0037390-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAGYDAPNQKAKAKEINDTEATPKSPKISEEAPQSPEIKIIPLSWFENGHHHDQKPAAENGSTRDHNNRSAVNNQPVVTDHQNSMTLEGNPKTILTVPKSANDEKKPLRENCKTIPVVPEKEINEKKASTYRTIPVMKESDEKIGMREKKEAKVEENRKTKHTDSSIAKHSKLPPVCLRVDPLPRKKSANGSSRSPSPPTRKDAGNTKKDMKDAQSQNLEPKQSGTNNHSTVSEVKEKLPYEMKKEIGFRNETVQAAAVEHSQKEEVPTSKDDQKVQAGSTIVGAQESTGAKSIQGGDVQENAGAGSLNGFDKSKNEDGTVIESEPAKDDASTYRVNLSEPDAAVRIQSAYRGYDVRRWQPLDKLRNIRHVHEQMQGVKKQLRCLEDSCNKPTQKEQVAIGETIMNLLLKLDTIQGLHPNIREARKSVARELICLQEKLDTLCKAPSGGLNHTKSNDDESGRTESIIQTAAPTVTTEASDRERTVELGKVDEPSSVSMEPCNTVPSGVSWEVRPDADSIEEKNEKQESCSTTMDEAHEEGKVEGQIEFQVASSMDMISDEAFTEHPTDNQEHGIGESNISSVEQATEEKKPAAEDEVKESPLMNSTAPLYDTASSGDSSELKQYTALTDQSLYAESNTRSPASTEDINISALTASVESEVATEKDGPIDSQVHETAALENVELKDDVSSAETEHNKSSSPVVHLEDPLVPLKDVAQHGLTHAKDFVSNTEDQQEAKDISLQVQAVDSMKNSGEVPDGTTEASTNDDLELDTSADVEKHDEPSLLEPRLESVSAPVLTVLDEAEDKMQCGVSDKDEVPHVDEKTETTVDKVTGGSADCEDPLFEASRKEPDIQESHPSHGEEADDTIGETIFPDSDSCELPHDGGITVCEGHEMKESSANCEDPLCEASRKEPDIQESHPTHGEEADDTIGETIFPDSDSCELPHDGGITVCEGPEMKVSSENQTDAQKDNICSDVSETDECTKTQKAAPAGTEGENSAEDSDVRVSEMDKCNEMPKEAPAHATSANPAEDEASLKEGITVQTENKASAFPSLDDPKVSDEKKLAEENQKLKELLKKLLASGNDQMGVITDLSEKVEALERKLARKKRPKYGSHVTSCIHIVCAMYGYVVAMCLVLDNS >Sspon.05G0021740-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:5C:89366756:89369199:1 gene:Sspon.05G0021740-2C transcript:Sspon.05G0021740-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKKHFYKETIRVDRLLPNEELTSTKFKLADWIGWNPVSFEVGANQLEPSSNFDELPGSPICGVIEGSHEKPHNPDMSVFAKLSEQPSDQDQIPSETQEETLQVDRTEVLTDCGQSILPASFNYQNAILQKAENNEVFVNPFVQPGTGCFADPKINAKHVEFVQDAMEVDTIESCRPKLVVGDNIPSTSDTSTKLACSALPHGIELSGTSLEDQGPSHSSEILANDKSYMKYHTDCTSGVSEVHEISSGADVWPEDKDSDRSNGMQADNVACRGTSEPLECPPCGVDDKAPSHLSFYSSHELCRDVIIQPKVMEGKVEQSRDENIVQTVENEAESVDTKTRTSISVEPPSHGQEISSTIHTRSTGASCESDELKDQNSKDINASLDKSIAKTHGKAGMSTVRS >Sspon.06G0011630-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:63776099:63781992:1 gene:Sspon.06G0011630-1A transcript:Sspon.06G0011630-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLRILGGSEPQLVKAATDQLNKLPFYHSFWNRTTRPSLYISYIFHQMLIHLHLFFQNINKRLNDLAKELISMFTTSEMAKVFFTCSGSEANDSQVKLVWYYNNALGRPKKKKIIARSQSYHGTTFISASLSGLPAMHQDFDLPGNFVLHTDCPHYWRFHLPGETEEEFASRLANNLENLILKEGPEMIAAFIAEPVIGAGGVILPPKTYFEKIQAVVKKHDILFVVDEVITGFGRLGTMFGSDLYNIKPDLVSLAKALSSAYAPIGAIIVSREISDVIHSHINKLGMPLYELICGIFAHGFTYSGHPVSYAVALEALKIYRERDILGHVAHVSQRFQEGIKAFATGSAIVGETRGIGLLIATEFTDNKSPHELFPFEWGK >Sspon.08G0003530-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:9427883:9429883:-1 gene:Sspon.08G0003530-1A transcript:Sspon.08G0003530-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFILIGLWLLELVLMRNFRLSKATSHNNVMEYADALNRGPKYGGVAAIVDEKPYIDIFLSNYCKFRIVGEEFTKEGWGFAFQRDSPLAADLSTAILQLSESGQLQRIHDEWFSRSSCSSDDSQVGATRLGLGSFWGLFLVCALICVFALLVFFIRVCWQYNQYSSSEAAAEPSTAAAGPVIRQRRLSRLGSFKELIQFVDKKEEEIKKMMKRRSSDKDSQAAGFSYAQSVASA >Sspon.01G0010670-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:32113988:32118213:1 gene:Sspon.01G0010670-3D transcript:Sspon.01G0010670-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRVLPLSIQAGEMARRFHSSVPKPARYTIAFLEEVALLAPFLPLGRECPVAATFGLLGALKFPRMAVGAAFGATAAAADQPPELPGVCATPRVAVWSPRRVPALQLPKRLQSRTYVPLIPGPGILLCIGEEAVADMLRSSSGAPCTAVDHSEQNTKDDEYARLVTRAQHATSDVGTAILSEQPKSRSFIWWMKVLLGCFLLILMGYVFVKWGVPFAFEKVLLPIMQWEASAFGRPVLAVVLVASLALFPVILVPSGPSMWLAGMIFGYGWGFLIIMVGTTIGMVVPYWIGSLFRERLHVWLTKWPQQIALIKLAGEGNWFQQFRVVALFRISPFPYTIFNYAVTVTEIKFNPYLCGSVAGMVPEAFIYIYSGILIRTLADMKYGNYKMTPVEIAYNAISFIIAIVLTVAFTVYAKRALNDIKSSDGIGKEEDQGPNGSGARTNHRQERADARSIELDVNTTFPIS >Sspon.03G0019670-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3B:83908152:83913193:-1 gene:Sspon.03G0019670-2B transcript:Sspon.03G0019670-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plastidic glucose transporter 4 [Source:Projected from Arabidopsis thaliana (AT5G16150) UniProtKB/Swiss-Prot;Acc:Q56ZZ7] MAHREKSPFRIPKRRPRRFSELLIEGTVVTIVKKMIRCAVTGGGCVASWSGDRRSPAVNPCSVRMPTGNGGGWCGGLRSRAADLAGLEMASLRGGIGGLFRASPRYGRLQATAAVDPEDIPLEKVQVKSSGHVLPYVGVACLGAILFGYHLGVVNGALEYLAKDLGIAENAVLQGWVVSTSLAGATLGSFTGGSLADKFGRTRTFILDAVPLALGAFLSFVSWIAFGRKSCLQGKVIQAESAVKRLYGKEMVTEIMYDLRASGQSSSEPEAGWFDLFSKRYWKVVSVGAALFLFQQLAGINAVVYYSTSVFRSAGIASDVAASALVGAANVFGTMIASSLMDKQGRKSLLMTSFSGMGASMLLLALSFTWKALAPYSGTLAVVGTVLYVLSFALGAGPVPALLLPEIFASRIRAKAVALSLGMHWVSNFFIGLYFLSVVNKFGISNVYLGFASVCALAVLYIAGNVVETKGRSLEEIERELSVAE >Sspon.02G0005040-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:15770906:15771479:1 gene:Sspon.02G0005040-1A transcript:Sspon.02G0005040-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding INEGIRQCRVAVAIFSPRYFDSDFCLHELANIVESRKLLIPIFYGIKPSELILPQEVVDSQTFTPRDIERFRFALKEAKYTVGLTHDPTKDDLADLVLTAANAVMERIQETEQRVPQRQMILSRL >Sspon.02G0025510-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2A:88341975:88342451:1 gene:Sspon.02G0025510-1A transcript:Sspon.02G0025510-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAGVGACIAENRYGPPVRVCQCRCRTGQLLLLARGGVLDHEAVAAGRRTNPIFRGPVEEYAAMAGVGDPPPAHAAVDAGRGEGGGRDESEDVGAACAQFGVVWFVGVAEEAEQGWVRVRWNSRYLRRNPGPEMRRHQGLQTRATWTRRTGSSGGN >Sspon.01G0029380-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:102400489:102402561:1 gene:Sspon.01G0029380-1A transcript:Sspon.01G0029380-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPAREPLAGGGGLQRRAAAAARSGGGPQEPPPRGQRPIHPDVDPPPRPWPWMQKLAIVAIVALGCLQFLPATHLRDPNDPRRNWIRIDGSRNPTDSLNYVGSVDVFSWISCLDLRTLAVLTNSTLSSSSDPQNISFHFLIPEGGNDKSSYHKLKVLLPDSDLTVTSQKQIKDKLNVATPEGNFLWLFHMELSPFLIAKSQLSKKRYVYITADSIIKVP >Sspon.02G0042180-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:76420320:76424877:1 gene:Sspon.02G0042180-2D transcript:Sspon.02G0042180-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proline--tRNA ligase, chloroplastic/mitochondrial [Source:Projected from Arabidopsis thaliana (AT5G52520) UniProtKB/Swiss-Prot;Acc:Q9FYR6] MASLLRLPSLLSPSKPLLRRRLPAARLAASAASRGQASATAGAAAPAAAETRGGDREGQVTPRSADFNAWYTDVIAAAELADYGPVRGTMVIRPYGYAIWEAIQDYLNVKFKETGHSNMYFPQFIPYSFIEKEASHVEGFSPELALVTIGGGKELEEKLVVRPTSETIVNHMFTKWIHSYRDLPLMINQWANVTRWEMRTKPFIRTLEFLWQEGHTAHATLEEAEKEAMQMIDVYTKFAYEHAAIPVIPGRKSRVETFAGANRTYTIEAMMGDKKALQAGTSHNLGQNFSRAFGTQFMDENGQIEHVWQTSWAISTRFVGGIIMTHGDDAGLMLPPRIAPIQVIIVPIWKKGDEKASVLEAVDSVQKILKEAGIRVKVDDSELRTPGWKFNHYEMKGVPVRIEIGPRDVTNKSVVVSRRDVPGKQGKEFGVSMEPSILVNHIKGRLDDIQASLLQKAITFRDSNIVDVSSYGELKEAISEGKWARGPWSASDADELKVKEETSATIRCFPFEQPEGTKKCFMTGNPAEEVAIFAKSY >Sspon.07G0012350-4D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7D:42087815:42090453:-1 gene:Sspon.07G0012350-4D transcript:Sspon.07G0012350-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATATAATVPAGGRPYRRARSRCGPRRLHRLRFPAAAAAAAAAASSSPPAPSSSRLPPLPADGGGRLVAELVCAFNELTERMGEDLATSSSSRLLFRALKLALPALRDVDGGRALARALAVGATLADLQMDAEVISAGILREALDAGAVSMRDVQTQIGISTAHLLHDSLRIKHAPSKLDVLDDESASALRRFILSYYDIRAVILEIALKLDMMRHLDYLPKYLQQIKSLEVMKIYAPLAHAVGAGNLSLELEDLSFRYLFPHSYDHVDQWLRSQETDCKAIINLYKEQLLQALQADNELQRIVQDISIQGRYKSRFSTMKKLVKDGQKPEEVKDILGLRVILEPRCDDNSSDWGPRACHRTHEIIQAMWKEVPGRTKDYITHPKRNGYQSLHVAIDVSEPGKVRPLMEIQIRTKEMHRFAVGGDASHSLYKGGLTDPEEAKRLKTIMLAAAELAALRLRDLPGSDRGVGNCKNPAFCQLDKNGDGRISIEELTEVMEDLGAGGEDATELMHLLDANSDGSLSSDEFESFQRQIEMMRSLEDDDDHYRKILKEKLHTIDSAGLIHVYRKELSDKLLVG >Sspon.01G0023110-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1A:83766743:83770900:1 gene:Sspon.01G0023110-1A transcript:Sspon.01G0023110-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPFSSLAHSSYRRRIVECKAAACSSRLPSRARPPARPRIAASSNTTSAHGIDSSIITIQTMTRWETLNHMAYKFGSLGKSDGKLALKILSSIVERSGLDRITYIYCMAVPILIQAQMHSQAMSVLRHLAVTGFSCTAIFTSLLRIISRFDSTNHVVFEILVKAYVKERKAVDAAVAVLLMDDCGFKASPVACNTILNALVEEGESKHVWLFLRESLVRKFPLDVTTCNILLNSLCANGEFRKAEDMLQKMKSCRLSNSVTYNTILHWKGKILPALIMLQMMLEKGVVPDTVAYTCLLNGLINEGQVKAASYVFQEIICKEGLYADCIAYNSLMNGYLKGGNINTIKRMMSDMYQNEVYPNSASYNILMHGYVKRGQFSKSLYLYKYMVRKGIRPDNVTYRLLILGLSECGLIDIAVKLLEKMVLEGIYPDRKNYLDQSHEVLREMLQVGLQPNHTHYIALVNAKCRVGEIDRAFRLKEEMKALGIVPAEVAESSIIRGLCRCGKLEEAVIVFSSMMRSGMVPTVATFTTLMHSLCKESKIADALHLKRLMELCRLKVDVVSYNVLITGLCKEKCISDALDLYGEMKSKGLWPNVTTYITLTGAMYSTGRMQNGEELLEDIEERGLIPVYKQFENLERRMEGAIRRLNMIRNCRKEVPFRGVELLPVDPEPMCNAASDCNPTEIRQHKGI >Sspon.03G0041850-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3C:47776034:47776347:1 gene:Sspon.03G0041850-1C transcript:Sspon.03G0041850-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIERLISMCAQEEERIKSSQGESAHFVKDNKRKNFNNKNFKPQGKPKWDKASSSNSQGKKPQEPENQQSNSYGGAEKDQCKHCFKKGHYKRDCPDFLKSLLKR >Sspon.07G0015260-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:60170983:60176002:-1 gene:Sspon.07G0015260-1P transcript:Sspon.07G0015260-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitogen-activated protein kinase kinase 6 [Source:Projected from Arabidopsis thaliana (AT5G56580) UniProtKB/Swiss-Prot;Acc:Q9FJV0] IEIERTKSQTKPLLPSPEPRHRRRRRCFHPIPLPHLPPHLLLGAAPAPGYSRKATGTSFPLFDPRGAVSPISHRETLTLPLRDGEAMRGKKPGTELKLAVPAQETPVDKFLTASGTFKDGELRLNQRGLRLISEENGDENQSTNLKVEDVQLSMDDLEVIQVIGKGSGGVVQLVRHKWVGTLYALKGIQMNIQEAVRKQIVQELKINQATQCPHIVMCHQSFYHNGVIYLVLEYMDRGSLADIIKQVKTILEPYLAVLCKQVLEGLLYLHHERHVIHRDMKPSNLLVNRKGEVKITDFGVSAVLASSMGQRDTFVGTYNYMAPERISGSSYDYKSDIWSLGLVILECAIGRFPYIPSEGEGWLSFYELLEAIVDQPPPSAPADQFSPEFCSFISSCIQKDPAGRMSASELLNHPFIKKFEGKDLDLRTLVESLEPPMNIP >Sspon.01G0049580-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:113434115:113437657:-1 gene:Sspon.01G0049580-1B transcript:Sspon.01G0049580-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVDRAGGGERRPSEKELFRAAESGEAAAFSSLAPADLSLRNEDGRSLLHVVLALTQCSGDAAASVLNAKDEEGWAPIHSAASSGNSQIIDILLERGADVNLVTDGGRTALHYAASKGRHNIAEKLIAHGANVNKKDKFGCTPLHRAASTGNAELCEFLIEEGAEVDAVDKTGQTPLMHAVICENKWVALLLIRHGADVDVEDKEGYTVLGRASNSFRPALIDAAKAMLEG >Sspon.02G0039440-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2B:53522777:53523217:1 gene:Sspon.02G0039440-1B transcript:Sspon.02G0039440-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSKSGTSTRDTYSTNCLKRRHVLWTWRPCPTPCPTIAAPPCLVCRALGHWERPRPSSPSSTRPRTASLSSPSFSGRSRVTSRRGRHCRAAELPHKSRLCPPSIPIAPPSSLAQADTPLGAPFPAVKHATAPLEPLHGGHGRAPGAT >Sspon.08G0015270-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:61435735:61440916:1 gene:Sspon.08G0015270-1A transcript:Sspon.08G0015270-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRGEKQSGRHEEQLTSKSSRRHGVVVLPLEGAGCWILLLPLEDFSKAPAAGSCSFLSKISRRRRLLDPAPSSRRFLEGAKQSGFARIWGELQELRPFSCSCSFIRARKMTMIELYKIFCYCCSYHPCLAPVNCRWLAAAAAAVALLNRLEPLQQDSSVSVMV >Sspon.02G0018840-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:62103541:62106682:-1 gene:Sspon.02G0018840-2B transcript:Sspon.02G0018840-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPPLGAARRTTYSLLPQFPDDAAAPAPALANVLQRQSSGSSYGAGSSISTSSDYPFHLQPPASAAGVAPPGSAAAPPGAAGSPCKSWAQQAEETYQLQLALALRLCADAACAADPGFLDPGDSGSGRGSGNGRAFPLAQPAPSAESLSHRFWVNGSLSYNSTIPDGFYLIHGMDPFVWSLCTDVQEENRIPSMESLKSVRPDDSSIQAILIDRRTDFELGQAVMKPDIMVPEAPREVLPLITSSNMKLDKKKELVTPQLRNTVSDLSLAVDDLIIPWNELVLKEKIGA >Sspon.08G0026310-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8C:20146417:20148131:-1 gene:Sspon.08G0026310-1C transcript:Sspon.08G0026310-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDEFEKGTGPRRFTYSQLSQATHGFSDDEKLGEGGFGSVYRGYLQDQGLHVAIKRVSKTSRQGRKEYISEVTIIGRLRHRNLVQLVGWCHEADELLLVYELMTNGSLDDHLYSTSDILTWPVRYNIILGMGSALLYLHQEWEQCVVHRDIKPSNVMLDSSFNAKLGDFGLARLVNHGHAAHTTILAGTKGYIDPECVVTSRTSAQSDVYSFGVVLLEIACGRKPVVPQEDEDKVLLVHWVWDMYGRGELLDAADARLHDAGEFDVLEMERTLVVGLWCMHPDSASRPSIRQAMNVLQFEVPLPELPLEMPVATYGPPVSRGYRSSTTSSSVTENTSAGHSSTSDWTAHSSGSSVKSDTQGTRSFATSNTRNTTRDSVRESTSSPSRISDRRSSTRSSGGQWILGQD >Sspon.07G0001710-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:2679840:2681143:-1 gene:Sspon.07G0001710-2B transcript:Sspon.07G0001710-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPCGAALQRHAAVAVLRAAAAAGDLSKGKALHARLITAAHFDVVLHNNLISFYAKCGRVGLARKVFDAMPFRNAVSGNLLMSAYASLGRHKDSLALLRVVDFGLNEYVLSAAVSATANVRSYDMGRHIGGNKYGFVIVDDYTRYTWVFFLYDKSDVYDLFKSFVKRVQNEFETTIKKIRSDNGSEFKNTRIEDLCDDLGIGHQFSPTYTPQSNGVVERKNRTLIDMARSMLSEYNVSHSFWSEAINTACYCSNPWKTPYELLNGRKPNIAYFRVFGCKCYILKKGTRLSKFEKKCDEGFLLGYSTTSKAYRVWNLTNGTLEEVHDVEFDETQGLKMKLKTLMM >Sspon.04G0000650-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:20858249:20860831:-1 gene:Sspon.04G0000650-3C transcript:Sspon.04G0000650-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCKACDKPKPNYRKGLWSPEEDQKLRDYILLHGHGCWSALPAKAGLQRNGKSCRLRWINYLRPGLKHGVFSPEEEETVMSLHATLGNKWSRIARHLPGRTDNEVKNYWNSYLKKRVEGKEGPSTSPAPAASNSGAAADSDDSHCVKPGDDGTAQEAAGANRPADSAGSSEPRESSSADSSCLTDPPAWPHAAPVAAPKVMFADWLDMDLDTDYYMGGGGPPPAAAAPGLGAAAAAGVAGTGDGDHPQVMSQGSVQQVDGPSGVDVSLHGFGDSGASCWEFQEHFDDGIDEMQTAGFCDLLSMSDYFGLN >Sspon.06G0024860-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:58333176:58336646:1 gene:Sspon.06G0024860-1B transcript:Sspon.06G0024860-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPGDVQPGPEGGGGEMRSGSTRPRRRLHPRPRTHRVARPPWCRGSAGPGGGGGGGAVDTVYYPCRRWCRSGENRKIYLYVGRMRDERKHLHMVGIQVKDEVLLLETKRRRNGSSEGGRSKDELGSISWTQLFTKEL >Sspon.06G0010990-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6A:59565079:59565861:-1 gene:Sspon.06G0010990-1A transcript:Sspon.06G0010990-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGSGFLEFKLNYAQIHHLGIGDVVSSENFSAGGYLWRINCYPRGDKVDGDNEHLSIYLQLLTKSKNVRVRAIFDASMVCSDGTLSSSDALRSVEVYPPRGGIELGWKRFVKRSHLESSYVTNGRVTILCGVIVIDSTLPVPPPPDLASHLGHLLDSALGTDVSFIVGELFGAMADATMPSITLHDIEPAAFKVMLQFVYTDALPSDDELGDPLAEMMIHLLVAADRFALDRLKVICELKL >Sspon.03G0000560-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:1653804:1656069:1 gene:Sspon.03G0000560-1A transcript:Sspon.03G0000560-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPRVVLSRLRLAPLLHDGHHLRRSLSAAAAKLPDELPPGAPPLTSDSRLFVAGLSWSVDERSLTDAFSSFGTVTEDGTRVRCSAKGRGDHEPLHGAQARSLFDEIPVRDVVTCSAAIYRHARSGLFHESAGLFVSMIRAGVCPNSFTLVGVLLAAAGLGDAVLAECIHGWAVKRQLESNHFVATALVDAYAKCGRPMNALAFFSGLRDPNVVSWNAMISGLVHNILFEEAILAFKRLCCCFGTVPNNAVTVINAAQAYAGCGDLGMCKSVHAYAVKIGFDLDVSVTNSILGMYLSFGDIEIGREIFRKIIVRNVVTWTMMMGFLLEQARAGEVISIFVQMRANGIVPDRVAMVSLVQACALLGDARRGKVVHNQMITRGFSSELPAVNSLITMYSKCKDLSSARVLFDGMRKKSLVSWTAMVSGYIGSGRALEGMHLFGKMRREDIFVIDSVTLVSLLTGCYETAKFDLCVQLHGYSYKSGLYLYRPVPNTLMAVYGKCGYASLAHRVFDDMILRDVVSWNTLILSYGINGQGEQAVALFNDMEESSGERDSVTYLNTMLACSHSGQVDDGLIIFRRMINEKRLNPSQEHIGCLVDMLARAGRLDEAAEVASLTSNEGANPWKALMGGGHLHSHTELTEVAAEKVLNADSFDNGHVVLLSNACASAGKYSDVNLSDPVI >Sspon.07G0026010-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7B:49925106:49928631:-1 gene:Sspon.07G0026010-1B transcript:Sspon.07G0026010-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFTGPLVFSLMGQSLHTWALSDQGRHCALVWLGCRLAEAPTAALSSVLSTAPPPAPPHPLQARQPRDALRPSYLACGATSDALSVLERVTPSPAVWWNLLVREHIKEGRLDRAIGVSCRMLRSGTKPDHFTLPYALKACGELPSYRCGSAFHGLICCNGFESNVFVCNALVAMYSRCGSLEDASLVFDEITLKGIDDVISWNSIVAAHVKGSNPRTALDLFSEMTMIVHEKATNERSDIISIVNILPACASLKALPQTKEIHDYAIRNGTFPDAFVCNALIDTYAKCGSMKDALKVFNAMEFKDVVSWNAMVTGYTQSGNFGAAFDLFKNMRKENIPLDVITWSAVIAGYAQRGCGQEALDAFQQMILYGSEPNSVTIISLLSACASLGALSQGMETHAYSLKKCLLSLDNDFGGDGDGEDLMVHNALIDMYSKCRSFKAARSIFDSIPRRERNVVTWTVMIGGYAQYGDSNDALKLFSEMISKPYAVAPNAYTISCILMACAHLAALRTGKQIHAYVTRHHEYEASVYFVANCLIDMYSKCGDVDTARNVFDSMPKRNEVSWTSMMSGYGMHGRGKEALDIFDKMQKAGFVPDDISFLVLLYACSHSGMVDQGLDYFDIMRRDYGVVASAEHYACVIDLLARSGRLDKAWKTIQEMPMEPSAVIWVALLSACRVHSNVELAEYALNKLVSMKAENDGSYTLISNIYATARRWKDVARIRQLMKKSGIKKRPGCSWVQGKKGTASFFVGDRSHPLSPEIYSLLERLIGRFKVMGYVPETNFALHDVDDEEKNNLLTEHSEKLALAYGLLTTSPGCPIRITKNLRVCGDCHSAFTYISKIVDHEIIVRDSSRFHHFKNGSCSCGGYW >Sspon.02G0034930-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2B:14905070:14907226:1 gene:Sspon.02G0034930-1B transcript:Sspon.02G0034930-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEHEHMEAAVNVHFTSVFGTAAARGVTINFNSLGIAPIDLSEMGAAIGADEVLAAIRAMPPDRAPGPDGFPGAFYKSAWHIISGEVMEAIEAFCARNTRHLRKLNNAIVVLLPKMIGANSPSDFRPINMIHSFSKLLSKILALRLAPRMKDLVDHNQNAFVRERSIHDNYNYVQRAAVLIRKKKRPMLLLKLDISKAFDTLSWPFLMEVLRAMGFDEQWCGWIESLLSTATSRILLNGLQGPLIRHLRGVRQSDSLSPLLFIIAIDILHRLFLKAVEDGVLKKLKSSAVKYQCSFYADDVIMFIQPSVQEARAVKEILRIFGAASVLQTNLAKCSVTPIYGGEDVIDQVVQILGCQVQPFPIKYLGLPLSTRPIPKASYQMVVEQVARKLPPCQGAIMARSGRLVWIKSVLRSIPVYAMMAENLPAWARKEINAICRKFFWAGAEQSVRGKCMVAWKSCCRPTDLGGLGISDLQLAGIVPARIRTTQTVATALPGRLWARSFSGGLSAQAIIDYLHLWHTNRDVELSDQQDRVVWRWTPDGSYNAKSAYLMLHAGSCRFAGHRLIWKTWAPLKIKIFLWLAFRRRHWTADRRRRHGLEHDEHCYLCDQVEESIDHIVANCPFTTEVWFLVLQALGLQLPQPAPTARS >Sspon.01G0029140-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:101268158:101269978:1 gene:Sspon.01G0029140-1A transcript:Sspon.01G0029140-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding KKYDFPTARRREKLRIPNLVPPPSSESTAGVAASSAPPTAPPPPHPGIRVRRRAVAVPVPGHPRLLLHRNFFFIVGGRSAVGAAAGRRQGRLRRRNGRRDRAIAGVGKAAGRGRACLRCWHGRGLQGMERLIQIHEKKHIQQLDLVSTPNFLVAENLEDEN >Sspon.07G0017670-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:68143417:68146780:1 gene:Sspon.07G0017670-2B transcript:Sspon.07G0017670-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLPLGRRHLLRLCARRNPTLLRLSIAATAAALSTAPTAASAAAAAATPISDRLRVLRSLHAVAPDHLLSHPLPSSAHVCLAAHLAARARLFAHSRRLLSRLLGAGHRPHLAASLVDLLHRAALALGPRRSALPSVVDTLLSLLADHGLLDDAVRALARVRQLRAFSYFGEMKRQGVMANVVTFSTFVDAFCKEGLVREAMKLFAQMRVRGMMPNEFTYTSLVDGTCKAGRLGDAIVLLDEMVHQGLVPNEVTYTVMVDGLCKEGKVAEADNVLSLMERAGVKANELLYTTLIHGHFMSKNSERALDLLNEMKNKGMELDISLYGTLIWGLCNVQKVDEAKSLLHKMDGCGLRPNNVIYTTIMDAFFKAGKESEAVALFHKILDSGFQPNVVTYCALIDGLCKAGSISEAISHFNKMRELGLDPNVQAYTALIDGFCKIGSLNKAVHLMNEMVDKGLSLDKVVYTSLIDGYMKQGNLQDAFALKAKMIESGLQLDLYCYTCFISGFCNMNMMQEARGVLSEMIGAGITPDKTVYNCLIRKYQKLGNMEEASSLQNEMESELAGLGARGKQHLENGGDMKHKLLKDVSNKVSGSNGISAERSIDQSVIRIYQIKSKA >Sspon.01G0041860-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:56740305:56758291:-1 gene:Sspon.01G0041860-1B transcript:Sspon.01G0041860-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTASAADDGSSLAPTVTEGEATAEAGAGAAVEECAEGKEMKVGASAAVEEPDEETREEDPEEEEEEEEEEEEEEEEEEEEEEDAGKVETADGIEAELMEPLPVLKPGEEAEPEELEGKKVELDEEPEETEPSKEEPEEVEECKEGDGVAEVDGSVANETNEMSKLEYGKSGNTNKDKDADQLSSGSDSGDDMQNSELAGGLEIFVDDLPHDCVKEDVAMAFSQSGEVKSVRIIKNSSTEKNKDVALVCYASIEAAKKVLAEFKEGIEVKGKMVRVSACQDNNTLYLGNICKGWTRDQVLNTLKSIGIQECKITFPTYKGGSRGSAKVSFYETPTKSSKSLLEAKKVYLEHVPLSWDENRIKEYCEEYGEILKVDLFQISKNLENETISFVEFSSSKGALACVAGINKAKIVDGSFKLCAYLAWPKSALKVNSAAPSNATTTEKDRNHTEKVVVDKNFPHKFRKGDKSKLTSRTKEAIMKTNSSSKLPNDNDTKLTSQGAAEVPETSKSSEGKRKVGKNKHASVYQKPWKKARNNRNVDESQLTYRGAVLQTSKTSKGKRKASENRTSTSAYSGYRSHAGYEAVHAYEYTRNRAPTPPSGSYIPRRGRY >Sspon.08G0012430-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:51283074:51292508:1 gene:Sspon.08G0012430-3C transcript:Sspon.08G0012430-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAEPDSCLQSFELYEAESKFYILGTNTNKTIWRLLKIDRMEPSELNVDEDSTVHSQSDYLDLLKNLDEEHRSTGGVKFVTNCFGIIGFIKFLGPYYMLIITEQRKIGDILGHPVYQVTKTAIIELSNSKTRPKLINSKDENRYKKLLQTIDLRKDFFFSHSYQIMRSLQKNFSDPQEGWGLYDTMFVWNEFLTRGIRDILKTTLWTVALVYGFFKQDKLAICGKDIMLTLIARRSRHYAGTRYLKRGVNEEGRVANDVETEQIVYEDMLGPWQISSVVQNRGSIPLFWSQETSKLNLKPDIILHEKDKNYEATRLHFENLRKRYGNPIIILNLIKTREKRPREIILRREFDRAIKIINSGLPGEDHLRFLHWDLHKNSQSKSTNALQVLLKVAFEALNLTEFFYCQVSPAQMAENSLNFSPTLKNGFGPHVCDVNNNCGNADYVDDLDDISQDDTCGSSDPGNGIAEDKSEVNGSTQIKPPKFQKGVLRTNCIDCLDRTNVAQYAYGLAALGHQLHELGSVESPEIFSAKRGHLKFAIQSQEFFRTLQRYYSNAYMDAYKQAAINLFLGYFQPQVGKPALWEPESGDEHVLDDDTSKLMKRARSDGSILNKSKPLMSSNGPNGILNSAFTGSKNEGQHPNWSSDSVHGMSSASVNSMSKSRYTPTVSHIKHISCELDYCNGSGDSNFLDLDWLSASDNERSKAISTPDVNISTDNGVHDVSSGTTDDQAAEIQDQGLSKDFVQWVNQGEAFWY >Sspon.06G0032490-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:86554955:86558209:-1 gene:Sspon.06G0032490-1C transcript:Sspon.06G0032490-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAQARPFLVVVLVATASLLPRLAGASTEAAAAARGVTLHVDPRQVIVDNGAVQVTLSAPEGRITGVSYSGEPNLLEYDAGEGDSGGYWDSVWNYPGSGLPGLYNTSGFYCYAIFEHDRAYPALNITEARLVFKLNTANDIQRYMPSAVDREEPRAVPLAYKEAVLLVDPEEPQFRGEVDDKYQYSLDNKDNVVHGWISGSDPNPIGFWVITPSNEFKSGGPLKRELTSHVGPTSLTMFMGTHYVGKYMVVNIDDGEEWNKVLGPVFIYLNSGPSRGDLWEDAKAQAEAEVRNWPYGFLASPDFPKLAERGSVTGRLLVRDRYLSKKHDIPAGASAYVGLASPGQPGSWATESKAYQFWTRAASDGTFSIANVRAGVYDLYAFVPGVLGDYRRNDGSLLTVAPGRAVAVGDLVFEPPRSGPTLWEIGVPDWTAAEFYVPDPDPRYVNRLFVDGKDRYRQYGLWERYAELYPDKDLVFTVGESNESKDWFFAHVTRKVGQEAVPTTWQIRFSLDRVVADGTYTLRIALAASEMCRLQVQVNGDARRGGVFTTPDMGNDNAITRHGIHGLQRSLEFAIGGYLLRQGDNTIGIKLITQEGHEGPAMVAGVMYDYIRLEGPPSSGAAASRGVPTL >Sspon.05G0029060-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:74931566:74932514:1 gene:Sspon.05G0029060-1B transcript:Sspon.05G0029060-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGGCTSTGRRTRQPPSASGTPEDVEEDPVALALAPYVDPHYGDRGRDRRRYSHHHYYRPPPISFQHPRSPSPSPSPSPHATTAPARSNKRACIPASNKAILGLKEVLLTTITTDDDCAICLKALGDPRPDQKEEDAAAAHIHHAFNDCIIQ >Sspon.07G0020980-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:78493927:78504476:-1 gene:Sspon.07G0020980-1A transcript:Sspon.07G0020980-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHQGGDGEGQQLDDHRDEQDVLSPPEEDGNQHQLLDAQGGRRRSLSLEIDRESPLQKQQSSSSWRAAAASFRESLSRSLSHQDKDDEVELRWAAVERLPTLDRIHTSLLLHTDQDRDQVVDVRSLGAAERRMVVDTLISNVHRDNLRLLRKQRQRMDRVGVRPPTVEVRWRDVCVEAECQVVQGKPLPTIWNAVISNLSVCSAMLGLNLNRQQARIRMLSGVSGVVKPSRLTLLLGPPGCGKTTLLKALAGKLNNASLKVTGDIEYNGVELKHFVPEKTAAYIDQYDLHVPEMTVRETIDFSARFQGVGNRAEIMMEVIRREKAAGITPDPDVDTYMKAISVEGLERSMQTDYIMKIMGLEICADIMVGDAMRRGISGGEKKRLTTGEMIVGPSKALFMDEISTGLDSSTTFQIVSCLQQLAHISESTILVSLLQPAPETYELFDDIILMAEGKIVYHGSKSCIMSFFESCGFKCPDRKGAADFLQEVLSKKDQQQYWISCGETYNFVTVDQFCNKFRVSQIGQSLAEDISKPYDKSKGHKMHCPTWDLLKACFARELLLMKRNAFIYITKTVQLALLAAITGTVFLRTHMGVDRVHANYYMGSLFYALLLLMVNGFPELAMAISRLPVFYKQRDYYFYPAWAYAVPAFILKVPISLVESIAWASLSYFLIGYTTEASRFFRHLLILFLIHTGALSMFRCVASYCQTMVAGSVGGTMSFLVILLFGGFIIPRPSMPDWLKWGFWLSPLSYAEIGLTGNEFLAPRWLKFMASGVTLGRRILMDRGLNFSSYFYWISVGALIGFVLLFNIGFAFGLTIRKPPGTYRAIISHDKLTTFNGRDQCMAQYTEDGIHKPQEENSSTPSRTGRMVLPFTPLAISFQDVNYYVDTPAEMREQGYMERKIQLLHNITGAFQPGVLSALMGVTGAGKTTLLDVLAGRKTGGVIEGDIRIGGYPKVQQTFARISGYCEQSDVHSPQITVGESVAYSAWLRLPTEINSKTRNEFVNQVLETIELDEIRDALVGIPGINGLSTEQRKRLTIAVELVSNPSIIFMDEPTSGLDARAAAIVMRAVKNVADTGRTVVCTIHQPSIEIFESFDELMLMKRGGQLIYAGPLGHQSCNSWVPKIKDNYNPSTWMLEVTSTSMEAQLGVDFAQVFRDSPMYKDKDELVKRLSVPPLGTSNLHFPTKYPQKFWEQFKACIWKQCLTYWRTPSYNLVRIVFISVSCIAFGALYWQQANINHINDQQGLFTILGCMYGTTLFAGINNCQSVMPFVSIERSIVYRERFAGMYSPWAYSFAQVAMEIPYVFVQILLFMLIAYPMIGYAWEAAKFFWFLYTMFCTLLYFLYLGMMMVSLTPNIQVASILASMFYTIQNLMSGFIVPAPQIPKWWLWLYYMSPMSWTLN >Sspon.02G0035440-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2B:19313840:19314953:1 gene:Sspon.02G0035440-1B transcript:Sspon.02G0035440-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPATAARAQPPAPQAATWAWAGKACTSRAPPPARLPVRAISAVRLDLTAGRSAETTGTYSSPPRAVGEECWRRREPPRRRACSPTAEHAAVERLHGRCRGATARGLSKPAATERSPAGFLTADGGLSFGEVGWAPPIKERIKVSNGPLGPIGGEIKTLTVEC >Sspon.02G0027370-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2A:99075796:99076368:-1 gene:Sspon.02G0027370-1A transcript:Sspon.02G0027370-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGGHTCQNRAEPQSSRGKMRGGHTCHCHSRGADLVAARGGLAVAVTNGAGVSRGLRRTHSRGCGRGRGRSRLAADGAESRGRADSSGRARLAVGQSPAPAPAPAPDCRCAAALLSLPLSFLSLSLSLSRLTRLKNAMGIGESSAGGVGAQQLSLPARAHCPAVFPRERAAPLFPAPFPSCGVRLNENDD >Sspon.02G0059880-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:102625178:102632696:-1 gene:Sspon.02G0059880-1D transcript:Sspon.02G0059880-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELASGAVSSLLGLLRNEALLLSKVGSDMEFIKEEMESMQSFLEHLARTARPAGGHDEQVRTWMKQVRDLARDCSNFIELHHRRGDPAVYHARGRRWRCLWWADWLVHKMVAQHSAANRLRELKERARDVGKRRLRYGVEIPRNDAAGAGGTADAASSSMLPLPSSWPSRATVPLAAAEEDDDDYEEEDKAAEVAATDGSDPRGRALEEPRDQLEDYCAEKLASWLVELQSKTNEVAIASTAIVAPHDAEDAGAIARESLNLATSHFTRKVWINLPDLHYPWDLPLLPSEVLAYILRECENQERQKQKQDECKQQQKGKEVVQDDDKVDEKDTRWEAFHYKGDLGEKIWNMISYEDIDAKIENIRKKIGGVDSSIFEGSSKNLMTSMTDKRLGVLLHVLRLLQEGPDTGLRLSWDEAMKETASKLKTHMEQEVEAKPTSNTEQEVKDKPTSNTEQEVEDKPTSNTEQEVEDKPTSNMEQEDEAKPTSNTEQEVEEKPTSNTEQEVKAKPQICLTDTQYNDILKKVFSESNTNTLQPQAKEASTSTSSTATATTLGEDHIKEIIHNHRITLDIIWELLPKQQQQLEGNTTATAAEAVIKQTREKMKDISGEAAKASGAVATAINETKRMLSDIIDEIIGHMLNKGVVDMINKHLKDEKTLIILQDDDNDFISSRWEDTRYALNLLGCAAGSAVIVSTENSKKAKEFCNPPGEPVTCSLVGLYHDILLQLTEQRVNNGNDGYNPQVLRDILESCHPHEFCMKIFAHALYANPNRSYEELRKLHQDLVPQKTLGSKAKKMIKFSYKELPREYKTCLQYLAIFPQGHNIRRSTLIGRWVTEGLITKQDWRTTVRHAERCFDALVKRGLVLPHDIGATGKVKSCIVGDQVHGFITKMANKEHILDARLSDQWARHFSIFSGLRLRASDRIEKFVQSLPKYSPQLPLLKVLDLEGVNCFDKTQYLKDICNKILLLKYLSLRGTNVTKLPIEINNLHELEVLDIRQTRVPENATRNVLLLKLRRLLADRVDSSSGMCAKSSSAVQIPYQIERMENLEVLSNVKASGDGSDLNEIRNLWQLRKLGVVIQDNYNHLRKLFGAINDLHECLLSLSVTISPITKTKKVPSSVSEERLLQDNMDTWRKKTCKLESLTINGVTHTEKAQLLESLAKSCEDLAKVTLSGTLLEEESLMGPHKTDGKTSMTETDIKFEDGATTELEKIVLSFTNIRSLCGIDNLPKLKELELEANQFLLSFGHDEATPEQHTESRDDEQNTQSGAPKKNTESGAPGHYTQGRAPDEQSTESRAAAEKDTQSTDPDEQNTNTRATELGTQSRVPEQNPERNIVPEQYTESRFTFKKDKFKHLVCFRFKDSKRTDIIFETGAAPELKKIILSLDDKRSKLTGVSDLPKLNEVELKGDKFLLELFCNANHISKMTLRDTQLKQEDIHKLGKKQSLRCLVLSDNSYDESHLTFNKDEFPKLDLLIVECRNIDSISFTDESAAPRLQRIIWSFSKMKSLSGISNLPKLKEIECSGDHVPYQVRKEITAHEGKPVLTHKRPLQQGQATGEEGNDTGSPLISRFLKIKSIKSIPASSIHA >Sspon.08G0024420-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:63692409:63695338:-1 gene:Sspon.08G0024420-1B transcript:Sspon.08G0024420-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AMPTTVMAAAVSFPSSSAASAVVKASPTSPCTAPHFLSYRPRAARAAIRAQASATDTAVEAPAKSKKESKKQEEGVVTNLYKPKEPYVGKCLLNTKITGDDAPGETWHMVFSTAGKIPYREGQSIGIIADGVDKSGKPHKLRLYSIASSALGDFGDSKQVSLCVKRLVYTNEAGEIVKGVCSNFSGDLKPGADVQITGPVGKEMLMPKDPNATIIQLATGTGIAPFRSFLWKMFFEKHDDYQFNGLGWLFLGVPTSSSLLYKQEFEKMKEKAPENFRIDYAVSREQTNVAGERMYIQTRMAEYKEELWELLKKDNTYVYMCGLKGMEKGIDDIMVSLAEKAGIDWFDYKKQLKKGEQWNVEVY >Sspon.03G0026010-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:1675980:1682739:-1 gene:Sspon.03G0026010-1B transcript:Sspon.03G0026010-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRLLANLIVMGGTVVGRAMLQAYRQAIVNANKTGAAQEAITGIRRASKAMTEQEARQILGISEQSTWEEIVQKYDTMFERNAKNGSFYLQSKVHRAKECLEPLYQKPDVPN >Sspon.07G0025600-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:45058688:45060468:1 gene:Sspon.07G0025600-1B transcript:Sspon.07G0025600-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLLAAVVLAVLAVLAAGTTGMPSEIDDGMPLIHMLRPLLHSGGHLGRRGGVPCDSWRFAVETNTLRDWETVPARCEKYVGNYMLGGHYRSDSRAVVDEAIAYAEGLKLSGEGKEVWVFDVDETTLSNLPYYAQHGFGSEPYNSTAFGAYAKEANAPALPETLRLYKRLQELGIKPVILTGRREDKRESTAKNLAAAGYTGYEKLLLKYVHIRTCRCRFDPGFACVRFFQKKKRNRTCVRCV >Sspon.04G0022950-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4B:9522578:9524971:1 gene:Sspon.04G0022950-1B transcript:Sspon.04G0022950-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQKAQTNGLITGLISHIVPNGVAILQYADDTIVFLKHDVEGAMHMKLLLYLFEMLAGLKINFNKNISVARALGGEPILFRRWLYPELRAERDEIWKDVSSFHLANTLEVTAWKLDKKGFYAEMDHEQLIEGANLMLKVAKEVLAKQTAREVNQLLLQDSQPAQSVSSTDPKPSSSLLSWIPLLVGGGDRGPRSSRLQSAEAVTAETGAVPQSYRCLLVHEMKTVMATSMAPVQML >Sspon.02G0006600-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:20844203:20845926:1 gene:Sspon.02G0006600-1T transcript:Sspon.02G0006600-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSKSKAVAASLPSYGKVLETYKKAAATAATVTAYAVLARGMARELLPDELREVARWAADFLLRGGARLRAPPQRRTFVIKRFDQESRGGDNGLFADARAYLATRIDPHATTRVCIARRSAVGRGGGGGGGGGDYEYDYEYGDGYGDGGGREAEDDGGGGRHVLSLEAGDRMADVFEGVKFTWVTVGQGQTNGSNDPVTSLELTFDAEHTDMALKRYIPFIAATAEAARRRERTLKIFSSDFGSWRGSSYHHPATFDTLAMDLDLKQSIIADLDRFLKRKDYYRRIGKAWKRGYLLYGPPGTGKTSLVAAMACYLRFNLYDLDLSKVESNSTLQRLLTSMSNKCILVIEDIDCCFSATSRGDLEKSGDDEDPSDASHDPPPNDEYRYSKRQQREGITLSGLLNFIDGLWSTSGEERIIVFTTNYKDHLDPALLRPGRMDMHVYMGYCSWEAFRTLAQNYFLVDDHILFPEIQELLLAVEVTPAEVSEMLLRSEDVDVALQGLKEFLEEKKQGSKQEMRGRH >Sspon.04G0009290-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:27225328:27232106:-1 gene:Sspon.04G0009290-1A transcript:Sspon.04G0009290-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTRRVASLCRSYCSAVNLLFRCSLFQWSRFSSNSARLENSRRDMKSRCSSREDKGKQQKLQDYEGRCTRHDQKEFKCLTFRDMMMHGRLSFMALV >Sspon.01G0056020-2D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1D:84900298:84900801:1 gene:Sspon.01G0056020-2D transcript:Sspon.01G0056020-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLDDASAIAVAAAVVLAALIVAIVVAASAGACGGGPPGRRPGGGGGAAAVHDVERALGDATLVTYEQARAAGKSGGSASAADEEEATRCALCLSEFASSKAGEDDLVRVVSACGHFFHAKCGVDGWLRARGTCPLCRAEVWTTALPRPPRPECPPLPPRSGGATVGS >Sspon.05G0027880-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:5B:57684786:57685004:1 gene:Sspon.05G0027880-1B transcript:Sspon.05G0027880-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGNLKNMVVAFLVPLPSILFYFSFVRAGGDTGASPLSSWCAAHPLLLANILFFLNVDVLLWVVGLLLSNHW >Sspon.08G0018490-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:6380164:6383135:1 gene:Sspon.08G0018490-1B transcript:Sspon.08G0018490-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLVAQAEQRLRRRLVLAAGGFVQEAAGSGVQVPAWRRGRAREKSTRVEQVQLLDARIVADLAGNRVEPRLHGDVLPQLLQRAVDPPRDHPAHEQRVLHATVRSVLASAAAAAAGLLQAIQRVLARGRGEHADAAVSGEAVADDAADVAQARSADAVRAAGTERAGDGGAVELEQLVAVGDAAGVLVVVGQLRRPALHLLHVLLEPVHPPLHAVDLDDSLDAVQRGLKIRPPDTVYELHHLTRRLAVRHLGDCTEEPDRSHQT >Sspon.05G0007690-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:23980354:23988151:-1 gene:Sspon.05G0007690-1A transcript:Sspon.05G0007690-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIQCDACEAAAATVVCCADEAALCARCDVEIHAANKLASKHQRLPLGDGDAAPSLPRCDVCQEKPAFIFCVEDRALFCRDCDEPIHVPGTLSGNHQRYLATGIRVGFSSVCGAAGAEGIPPPAPPKGSSNKPAAVSAPAAGGATKTTTVKDTLPQEVPSSPFLPPSGWAELEWFADIDLFHAHSPAKTTTAEVPELFASPQPASNAGSTRRTEVARQSKKPRMEVPEDDEDYFIVPDLG >Sspon.04G0029100-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:51447319:51450976:-1 gene:Sspon.04G0029100-2C transcript:Sspon.04G0029100-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASARILAAAAAAGHRRAAFRRVPAPAPAPVSASLSFPPRHPGPFRGRASLACPAAASLSVPSGGAPPGSVPFDLLPPDSDPFIEWDPPPGDSAASPLAGGGGAAGGATLVVLLGWLGARQKHLRRYADLYRERGVGAVRFVVPVRELVGLDLGRRVERRVADLSEEIAAWCDADRRRTLLFHTFSNTGWLAYGAVLENLQSRTDLTERIRGCIVDSAPVLEIRPEVWAAGFSAAMLKKSSSITGPTAESLDGPIVNGTLNKVTSNVTQPSWGECFLLSTLQKFFEIVLYVPDVNKRLCRTLSVLSEKQPSCPQFYLYSSADRVIPAECVESFMDSQRSLGRSVFAHNFVSSPHVDHYRSFPHVYSAKIDEFLKICSTVKVSS >Sspon.05G0004990-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:44656183:44657469:1 gene:Sspon.05G0004990-1P transcript:Sspon.05G0004990-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASHHLILLVLCLTAAAASAHNITAILDGRSEYTLYNSYLSETKVCDEINSRSSVTVLVLTNGAMSSLVANLSLADIKNALRLLTLLDYFDEKKLHSIGSSSQLTTSLYQTTGQAAGDMGHVNITDLRGGKVAFASAAPGAKFQSTYTKRVADFPSNLSVLEVSDPITFPGLFGAPSASSANLTDLLEKAGCKQFARLIVSSGVVKTYQAAMDKALTLFAPNDDAFKAKDLPDLSKLTSADLVALLQYHALPQYAPKASLKVASGRIPTLASTGAGKYDLTVASSGDEVSLDTGVDKSRVASTVLDAPPTVILTVDSVLLPHVIFGGAPSPAPAPGPAADVPASAPAPEGSAAAPAPKAAGKKKKKAKSPSHSPPAPPADSPDMSPADAPAGDAADKVESKKNGAAAAAVSFAALVASVTLAVALLL >Sspon.02G0031450-4D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2D:90419406:90420016:1 gene:Sspon.02G0031450-4D transcript:Sspon.02G0031450-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GDALHLRAGRRRGGARARKGQGLRPRWPRLPRPLQGRRHSPPPRPRQTDEQLRRGRRRRRRRQAAVRGVLLPRGPGGAARHGAALHPAQEGGLLVLPLPLHRVRPRHQPGPLDRGHARRRAGARRALQPPPQGRRRRRRHGLHHARHRQARQPGESHAAGPVPAPAREGPAEGGPQGGHHHGGRRRGPPVPHRLLRPIHLRRQ >Sspon.03G0009760-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:26288633:26289282:1 gene:Sspon.03G0009760-1A transcript:Sspon.03G0009760-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GRHQGVQRWVRGRRDHHHRDGLRRGRGGLARRGPRRPPPPPAQARRRAGRGVAPQLRPRVQQNSHPPAVRRAPVPHLPAPPLRLHPRRAGGVPRRRLHVRRRGRGGGRRAALERLRPEGGPDGGPGVPRGGEDGAPGPPQRGPEGHRGSRHGRPRREAAVGADGRLGFLLPVRRAGQVRLHRCIRCSTATTDRVRELD >Sspon.01G0016690-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:34393088:34397692:-1 gene:Sspon.01G0016690-2B transcript:Sspon.01G0016690-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSPPQNPSSGDPSDASSPAAAALEAPARVWRSLVARFPPLPDSSSFLAAVSDLQRRYFGIRRPRRRRRSALPLPLRPAAAHSARIAGEMPKAFVILDDVVQHTLTNLHSIHKSLLFWQAKAEGTNSQKVYFMIFERGPRAFVDTTYQTLTRLGSNGRPVQYILHSASDMVSTKLAALTSMQHCLAAFLAEIHSEVDKCREGLTENSDKSLHTLFIVLNTTFSKLEVSLRNAGEGQDELFTHDGNSYELFGKLPEVDIESSEWTEALATDGTSLVYQNLQKLDSFLSSQLSSHKRPNRFTIYWLPYTCGAVGLSVCSLWLLRHSSLMGSPDIDNWVRDAKESVAGFWDEHVEKPIISIRDELFETFKQRDKGVMEQQEVQLTEDSLRRMLVAFCEQIEGRKLPEDLSVQAMLETLTKR >Sspon.03G0017140-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3A:53697932:53698867:1 gene:Sspon.03G0017140-1A transcript:Sspon.03G0017140-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRDVVTFVRTCDGDTSDFPIKIGLHQGSALSPYLFALVMDEVTRDIQGGIPWCMLFADDVVLVDDSRAGVNRKLELWRHTLESKGFRLSRTKTEYMRCDFSATRHEEGYVSLDGRVVAKKDTSRYLGSMLQKDGDIDEDVRHRISAGWLKWRQASGVLCDKRVPQKLKGKFYRTAIRPAMLYGAECWPTKRRHVQQLSVAEMRMLRWCCGHTRRDRVRNDDIRDRVGVTPIEEKLIQHRLRWFGHIQRRPPEAPVRSGVLKRADNVKSGRGRPKLTWDESVKRDLKEWNISKDLAMDRSAWRLAINVPEP >Sspon.03G0003520-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:25254096:25262424:-1 gene:Sspon.03G0003520-1T transcript:Sspon.03G0003520-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVSAFTPNSHIVFVSAFLSKAKRQTETAHLPELPCLSCVAMASHGGGSLVCVTGGSGFVGSWLVRLLLGRGYTVHATVKNLADSRLRLFQMDLLEPDSVRPAVEGARGVFHVASPNELVEPALKGTLSVLRAAKDCGVGRVVMVSSQTAMVPNPEWPADKVVDEDSWADIEQLKKLQLWYNVSKTLAEKAAWDFAENAGLQLVVLNPALVLGPTLTPSIMASLNCFFRSLKAGRPGHQRRGNEMAAAGDGGLLVCVTGASGFIGSWLVRCLLDRGYTVHATVKNLQDEGETKHLQAMGGADTRLRLFQMDLVDPPSVRPAIEGAHGVFHLASPMILQAEDPEAILFSMITRSIWYSVSKTLAEKAAWDFAEQEGLQIVVLNPGMVLGPMLTPSVNASLRLLLQILGEDEGETKHLQALDGADARLRLFQMDLLDAASSMRPAIEGARGVFHLASPLTLQTRDPEAILHSA >Sspon.06G0027830-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:90039122:90043966:-1 gene:Sspon.06G0027830-3D transcript:Sspon.06G0027830-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAFSSTSVAAPVSNKKVTISIRKKKQQQQVVNKYQPQGGRGLIVRAMAINKETEQDRWRGLAYDTSDDQQDITRGKGRVDPLFQAPMGDGTHVAVLSSYDYISQGLRQYSFDNTMDGYYIAPAFMDKLVVHIAKNFMTLPNIKVPLILGIWGGKGQGKSFQCELVFAKMGINPIVMSAGELESGNAGKPAKLIRQRYREAADLISKGKMSCLFINDLDAGAGRMGGTTQYTVNNQMVNATLMNIADNPTNVQLPGMYNKVDNPRVPIIVTGNDFSTLYAPLIRDGRMEKFYWAPTREDRIGVCKGIFRSDGVPDEHVVQLVDAFPGQSIDFFGALRARVYDDEVRRWVAETGVENIARKLVNSKEGPPTFEQPRMTLDKLMEYGRMLVEEQENVKRVQLADKYLTEAALGDANDDAITRGDLYGKAAQQASTPSRSSFLGKKLNKQPVSAAAVNYHGKSSSSAASRFKVMAAKEVDETKQTDGDRWKGLAFDISDDQQDITRGKGMIDSLFQAPMGDGTHVAVLSSYDYISQGQKTYSLDNTMDGFYIARASWTS >Sspon.05G0016620-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:63430262:63435552:-1 gene:Sspon.05G0016620-2C transcript:Sspon.05G0016620-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNGDYYVGPPEAYAAPEPAPAALAMKQAPQVTGAAQAPATHNYFVGPPVNPEKTQQPKEPQPPPKNRSSFLARCLWSIFIFIHAYNKSTIPIGENIIELGIRM >Sspon.04G0016460-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:78912202:78914352:-1 gene:Sspon.04G0016460-2D transcript:Sspon.04G0016460-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVKVEEEEADAEQLEVAAILCDMSRLMRARDRRKRRRERERARAAAAFPEIPSWGRRRPRSMPEDRPSPPPSPAPAPAAASPDTPLAYPGSGGDDAPPEDKGEVAAAARTRNQWAEEQHGEAAAASLSLPQENARPLKVARKEQDTPPAVPAPLVVLDLNEPARAAANEEEQQQLLQAQQARAAAAAAAEWYRQAQLRAALQKAAVSAGARRRRLEILRAKAACPLVSSSRMRRAG >Sspon.07G0008680-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:20687851:20695823:1 gene:Sspon.07G0008680-4D transcript:Sspon.07G0008680-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDCSLLPRRVLVLACAEMATRSPSAERVDRREDLEALKTHLPSNQNSLEAREETRSVASLPCSAFISGEAGHGEGEGVLCCDRSHYRSDVCYLRGDVRTDPSTSSVLLYNAPRGSAPEKVRPYTRKFEDSIMSSIDEVTIQPVAAPYNASDGGTLRRRCDVRHPRGVPAVVFSTGGYTGNVYHEFSDGLIPLFVTAQRFAGEVVFVVLEYHYWWLGRYGAVLEQLTNYKVVDFRYDRRVHCFDEMIVGLRIHGELVVDPKLMPNGKSIKDFQALLHQGYSRTTTSSSASPPVPLPLAPPSRPCSRPAKPKLLIFIRKKNRVLLNLPHVVTACRRAGFAPHVMNLRRQTPLPVIHAALASADAMVAVHGAAVTHFLFMRPGSVLLQIVPVGLDWAADAFYGKPAQQLGLEYLEYKVAPEESSLAAEYGLNSTVVRTPWVISSRGWWEMKKVYMDRQNVTVNIKRFGELLRAARTHLKNTTACAKGAGAGSAASLR >Sspon.04G0001190-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:5278393:5280444:-1 gene:Sspon.04G0001190-3D transcript:Sspon.04G0001190-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGFVEEMMRERSLLEATCGDLFDHIEDLLDFPKEDSAADVLLLDAPAPGSPLSARIIDVGRAGNALAPPAPPALAPPPLAQHDASASAFFATAGPDAFDPKDVVGAHIGSCDDMDMDMAQLEWLSGLFDDASIPHEPAFPAAAAGCAAPIIKSSALAAGALLPPDKMEDALLFRSSSPISVLEHGSFNNANGGGSGSAGGSASSSSSSASSSSESFSGSGSGSGGGHAWSAPVSPRQAPPPPVLVIPARARSKRSRPSAFTGAAARAGVVEAPTILVPTPMYSSGSSHSDPESIAESSPHPAPPMKKKKKAKKPAPPAPAASSDDNDGDADYEEGGERAEPQGGAVRRCTHCQIEKTPQWRAGPLGPKTLCNACGVRYKSGRLFPEYRPAASPTFVPSIHSNSHKKVVEMRQKAVRSGDPSCDLLQFIRRRD >Sspon.01G0023910-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:84749796:84754532:1 gene:Sspon.01G0023910-1P transcript:Sspon.01G0023910-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPPPTKAMGAVDKLSYEIFSLLESKFLFGAGTPARALLDGGRVRVLAIDGCGGAGAEDALLAAAALARLEAGLRDHTGDPDARVADFFDLAAGAGAGEGADGRPRYTADEALAFVAGSVGGKKGCWGGRRGPRWARLFRGARAGDDRSFRRVFGDATLRDTVAPLLVPCYDLATAAPFVFSRADAVESDSFDFRLRDVCAATCAAGGALATVTSVDGATAIAAACGGVAAMGNPAAAAITHVLHNKQEFPLATGVEDLLVLSIGAGASTTAVCDNGSSTPMTTRSPSPRELARVTAEGVADMVDESVAMAFGYACACNYVRIQAGKAPAPLHAATASAAAGAMLAQRNVESVLFRGRRLSQRTNAEKVDALGAELVKEQERRRRSPLPNVAIKQLSYEIFSLLESKFLFGAGTPARALLDGGRVRVLAIDGCCGAGAEDALLAAAALARLEAGLRDRTGDPDARVADFFDLAAGAGAGGVLAAMLFLRGADGRPRYTADEALADTVAPLLVPCYDLGTAAPFVFSRADAVESDSFDFRLRDVCAATCAAGGALATVTSVDGATAIAAACGGVAAMGNPAAAAITHVLHNKHEFPLATGVEDLLVLSIGAGASTTAVCDNGSSTPMPTRSPSPRELALVTAEGVADMVDESVAMAFGYACACNYVCIQAGKAPAPLHAATASAAAGAMLAQRNVESVLFRGRRLSQRTNAEKVDALAAELVKEQERRRRSPLPNVAIKQ >Sspon.07G0003440-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:8334734:8339225:-1 gene:Sspon.07G0003440-1A transcript:Sspon.07G0003440-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFELAYYAVEMMHASASCYDFNRFGVIFRPSPRQSDCMIMPEPRWVISMGNCANGGGYYHYSYSVRPSCGVATGSSRLTPTSLDARQQLRLCWTAFFSCRRRSTGARISFTGGPRQEYKTGAWGFVTRDHNGCAITAGVPESDTEAVSYSK >Sspon.06G0007930-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:40846996:40859748:1 gene:Sspon.06G0007930-1A transcript:Sspon.06G0007930-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGDPEPAPAPAPAPANEKLPAPAAADVDETRPPPLLEPSGGPDEEKRPPEEVEAEVETHPPLELTGAPPVDRPGMEVVAEAEADMKANEVEKERGDRAKEKREKDKGKGKEEKEKVEQEAKGKVTAVVKVEGTEKEVKATRRPAGASAETPILAVPVVAVPCFIAPPGFAGQFAMTHQAALASVTAQAQMHLQSPTSSACSEVPSSPFYMTPRSLVPLQQSPSVTEGNICKPIADKSFSSDSKSHHVVVNMVADGFNWRKYGQKQVKSSDNSRSYYRCTNSGCLAKKKVEHFPDGRVVEIIYRGAHNHEPPQKTRFAKERVTPISVPSGGETLRLVNTEIVESSTPTCKLEQSAISETSEQHLFCSSDCEGDAGNKSEDEHPSAEPLPKRRTLETTAPNLTPVLRTVREQKIIVQAGKMSDGYRWRKYGQKIVKGNPNPRSYYRCTHGGCPVRKHNHDEPFRSSSIPVSAISPSATTTGQPNTATTSDEKPPTITQKDANSESDKETTLEFGGEKALESAQTLLSIKTNSDDMKNSVLKETSAAVPVRLGVLRSVEHWGTFEEALGSGSHDWSVGAHINCLELRTKQCNDVGSRYFCANAKLGAGSKSFTVFFTALMSMSISFPVADEMTVQLLLSSTYGRKSLAISADENKATLAKRRKVAEPKAWHCN >Sspon.01G0014420-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:40749694:40754038:1 gene:Sspon.01G0014420-1A transcript:Sspon.01G0014420-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIEELPGYPGGGRGGEGGEDELLLPRREDGDAAEVESGVVMRVAVDAKRAAVGVGARMLFYPTLVYNVVRNRFEEHFHWWDQIDEHVLLGAVPFPSDVLRLKALGVCGVVTLNESYERLVPASLYEAHGIENLVLPTRDYLYAPSFVNLCEAADFIHSKNLLRIHIELLQDMKVQYKNMTPAEAYEHVRLRRPRVLLAPAQWQAVQEFYQLRVKKTGRSSRLDNPLIKPPLFLATHNLVAFDDSAFVMVSESDLEGYNADALALNMGSGLWEISLIYRVQFASKAAFAGFSYLWLRCRACKEALPENVGRESCSLEVEQLTTGHPCLLQGVVVNP >Sspon.04G0012850-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:44806981:44809116:1 gene:Sspon.04G0012850-1A transcript:Sspon.04G0012850-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding TMLGPKETHRHVPAYLPSDCNMQVPSPLRLLLLAPVHRHLILLLLLGLATIASLAIGDDQFVFTGFTQSSLNLQGAAMVTQSGLLDLSSGTTNLKGHALYPTPLHFRKTPGGKVQSFSASFVFSIVNTYPLLSDDGMAFFIAPANHSFTEATPGMFFGLLNSKNNGKPSNRIFAVELDTYQNSELHDINDNHVGIDINGVTSLSSAVAGFYDDESGGGFKNLTLNDHMEMQLWVDYDEGTTQINVTLAELGVAKPSRPLLSSTYDLSAVLEDAAYIGFSATAGPIDVRDYVLGWSFGMNRPAPPIDVSKLPKLPHVGPKHRSKLLEIILPVATAVFILTVGTVITMLVLRRRRYAEVREDWEAEFGPHRFSYKELWRATSGFQRKHLLGEGGFGKVYKGVLPVPGSSNNMDIAVKSMSHESRQGMREFISEVVSIGKLRHRNLVQLLGYCRRKGELFLVYDYMANGSLDKYIHCNGPTLNWSQRFQVIKGIASALLYLHEKWDKVVIHRDIKASNVLLDHEMNGRLGDFGLARLYDHGTDPQTTHMVGTMGYLAPELVRTGKASTHTDVFAFGMFLLEVTCGKRPMMQSTSTEGDDHQFFLVDWVLEHWKNGSLTKTVDRRVLDDDGYNADEACTVLKIGLLCSHPFPCSRPSMRKIMEYLDGDMPFPMLTPTKLNINVVRVMQNNAFSPSVMSYPQLTSSFGTMSDLSGGR >Sspon.02G0052190-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:88724262:88726153:1 gene:Sspon.02G0052190-1C transcript:Sspon.02G0052190-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMIKMQRLQEESNVDCATVACPQQTGFSPLDDKAREEDTTPQPHPGRRGLPRPAQPATRAGRRAAVSATVAAAPPRTRSCQGPKGRGQAEEKRGRDPATTARTCKSTGRHHRPLRLPGRVSQSSGQLKPAAAPLSRKEEEEGGEGGERPRHRKRLPGLWPDRLLQLRRRRRPEPHAARAQRCPLDHHIPHHHTAEKREEAHCRHPTQPRGPAGGLPQGVRPASDAPRPLARCGSSYPLARLFFFPCFLRRSKLARVLAPAPARLLPSRRQGAFLPPFLSPSLPLPLSLPFPRCDLYALLSTGVGGDGGGFRGARAPPLPPCSLVLARCDLHASRDKDDGAGFDEVGGEGSGSEAPLPLLPSSRSRSGPSSSSSLLLLLLLPPLDLRF >Sspon.08G0012040-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:49041352:49045534:-1 gene:Sspon.08G0012040-3C transcript:Sspon.08G0012040-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lysin motif-containing protein, Pattern recognition receptor, Peptidoglycan and chitin perception in innate immunit [Source: Projected from Oryza sativa (Os06g0208800)] MPTPATALLLFLAAAAAFRGATAKTTIEPCAGADACPALLGYTLYADMKVSEVAALFSADPAAVLAANALDFASPGAANRILPKGTPLRVPTRCACADGVRKSVAVRYAARPSDTLGSIAEVVFAGLPSADQIRTANGLAAEDPDAPLSPGQKLVIPLPCVCFNSTDNNLPAVYLSYVVQVGDTVESIAASHTTTVTDISNVNAMGSPIVAPGDILAIPLPACASAFPNSASDYGLLVANGTYALTAGNCVECSCGPADLNLILGNVTAQPTTGGCGVLSCNYAGYVNGTIATSLSSGLQPMCPGPHQFPPLTAVPTVANHGSYSPSPAPGPGDAGGAVPGGSSVSPSNGPAGNASQAPAINQPCRFLHIFILSLTLSLRMWIPV >Sspon.04G0032760-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:33145013:33147403:1 gene:Sspon.04G0032760-1C transcript:Sspon.04G0032760-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPPHRLLPRSSSFTSSVITPAPPHRGSHRPNTSVPNASTTTATAAQLSLLRAHARAGRMRPAREVFDAMPDRGRSLVAWTTLMSGYATHGPASEALELLLCMLGLLVRPDAFVFSVALRACAAVGSLRLGRQLHGAVAKLGYVGADLFVANGLVTMYSSCQSLRCAEKVFGSITSPDLVSWTSMLSAYTENGCDAEALMLFMEMVCDGVACDAFTLSVALRAASSLGHVGLGHQLHCCMIKMGLVGKEFLDNCLIGFYGRSGELQLMRSVFDEMNGKDLVSWNTIIQCYAENLCHEEASAHFRAMMFEFAECDEFTLGSILHVVTRTGAFGHGMEIHGYLIRAGLDSDKHVMSALIDMYVNWATLRKRYRVFPLRMLKYYLTVQGKLDQFIVASSLKSCASGLDLVAGRMLHACILKSNMNPDSFVISSLVDMYAKCGALKESNLLFSRTKNPGTAVWSAAISGNCLNGQYGRAVHLFRRMQSEHVQPNEFTYTAILTACMALGDTESGMEIHSNSIRNGYGTNTSVLKSLITFYLRQGRYHQALKLCLALSNHDISWDTLVESFSQVDHHVGIVNLFHIIQRCGANLHYHTARLILSSCGKLGLLEEGLQAHAYMTKRGLASTACTNSYLINMYSSCGSLRHAFDAFNYMPDKDASSWTSIVAANVENGCPESAIRLFSQMQKEKCRPTPEAFLYVLKACARTGLVNDAFRFFASMTEVYKIQPSEEHYSHMIEVLSRAGMFKEAEHFIDSVVPSESGASAWSLLCAAAKRNGNDKTVELAGDRLARLPGGC >Sspon.02G0010040-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:28037096:28038025:-1 gene:Sspon.02G0010040-1A transcript:Sspon.02G0010040-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLLLLASLLASSSASARPGFDYFVLALQWPGTVCRSSAAGPCCSSNACCRSSALHAPFHPPDVSINTFLAVPDGLWPNYAQGDGPTCCNNPDFDVAKISNLTTELHEYWPSLYCSSPSLCSGGHGSLWAHEKSMGHARTQ >Sspon.04G0017510-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:63412191:63417931:1 gene:Sspon.04G0017510-1A transcript:Sspon.04G0017510-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DIVEFSEVCALMTGKDKDYRYMATSDLLSELNKESFKADQDLEPKLTITVLQQLEDASGDVSGLAVKCLAPLIKKVGEDRVVEMINKLCDKLINGKDQHRDTASIALKTIIAEVTTPSLAEKILLSLAPQLIKGVNTAKGAEIKCECLDILADVLHRFGNLITKDHEYMLTALLSQLGSNQASVRKKSISCIASLAPSLSDDLLAKATLQVVQLLKNRGAKSEITRTNIQMIGSLSRSVGYRFGPHLAETVPLLISYCTSASENDEELREYSLQALESFMLRCPRDISPYCEGILNLALEYVSYDPNFTDSMDEDTDEEGQEEDDDDESANEYTDDEDASWKVRRASAKCLSAIIVSRPEMLSKMYLEACPKLIERFREREENVKMDIFNTFIELLRQTGNVTKGQGDIDESSPRWLLKQEVPKVVKSINRQLREKSIKTKVGAFSVLKELVVVLPDCLADHFGSLVPGIEKALNDKSSTSNLKIEALVFTRLVMASHSPSVFHPYIKTSSVDFRPYIGPIYNAILGRLANQDQDQEVKECAISCMSLVVSTFGDSLQRELPACLPILVDRMGNEITRLTAVKAFSVIANSPLRIDLSCVLDHVVSELTAFLRKANRALRQATLGTLNSLVVTYGGQIGSSSYETIIAELSTLISDMDLHMTALALELCCTIMVDRKSIKNVGLAVRNKVLPQALVLIRSALL >Sspon.01G0033910-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:113921833:113922926:1 gene:Sspon.01G0033910-1A transcript:Sspon.01G0033910-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMSRAAVDDMIRRLLLQDTRRSRPGNKTAQAQAQLAEGEIRSLCAAAKDLDAPMNICGDVHGQYRDLLRIFDATGGFPPRSKYLFLGDYVDRGDQSLETICLLLAYKVRYPENLFLLRGNHECASINRIYGFYDECKRRYSVRLWRLFSDCFNCLPVAALVDGRVLCVHGGLSPHLRSLDQIRHLPRPCDVPDEGLLCDLLWSDPSPQDSGWADNEDRGVSCTFGADVVADFLRRHDLDLVCRAHQVVEDGYQFFADRQLVTVFSAPNYCGEFDNDGAVMSIDADLVCSFTVIKPEATDSGRKSSRSRSRSTGISISSAGLRRTSSAPPPAAAPAPPNNSNRSTRKGLLRYWQ >Sspon.05G0015670-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:48801128:48810765:1 gene:Sspon.05G0015670-1T transcript:Sspon.05G0015670-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVRSSLIDPDGGALVELVAPPDRVPALRAEAEALPRVRLAPVDLQWAHVLADGWASPLRGFMREHEYLQSLHFNCVRLPDGGLVNMSLPIVLAIGDADKEQIGGKPDVALQGPDGVVVAILRGVEIYPHNKEERIARTFGTTAPGLPYVDEAIAPAGNWLIGGDLEVLEPIKYNDGLDHYRLSPRQLRNEFDKRGADAVFAFQLRNPVHNGHALLMNDTRRRLLEMGYKNPILLLHPLGGFTKADDVPLPVRMEQHSKVLEDGVLDPETTIVSIFPSPMHYAGPTEVQWHAKARINAGANFYIVGRDPAGMGHPTEKRDLYNPDHGKKVLSMAPGLEKLNILPFKVAAYDTVAKEMAFFDPSRSQDFLFISGTKMRTFAKNGENPPDGFMCPGGWKVLVDYYNSLQAEEATPVPV >Sspon.01G0021760-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:80201293:80207373:1 gene:Sspon.01G0021760-1A transcript:Sspon.01G0021760-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIIDPKALASVHQFTVVIDGVETAVHEGVLRRNGGTVAVVGPGVLEVSRFQHVVVRGGGGGDVRFSRCGYAAAEDCGAASFHRCDAVRVGGARDVAVRRCRSADVERVAGAVAIRRCKGAVRVRGAGGELRVGRCREADVGGCADVAVARCRSARADWCGALALGRCGSADVTRCGAVRVDRCRDATVSGCGTVAVRRGKVSMVEMGQQVPPDFHEQTMMYQQANPMALVDAKAAGASTSTSTVAASPAAAADHLFVVVLDGVETPIHEGTTLHGSAGGTVTVTGPGQLSADGLRSVLVRGGGGGEAGAGTVRFTLCADAAAEGVGAASFDRCGAARVEDAREVSVSRCRAAEVERAGRVTLDRCRDARLRGGGALRAARCRRADVESFGGVRLARCRAARADWCGTVEVELCRAVDVTRCGAVTGERCRVVNAAGCGSIDVARAVINLVEEEQPYARSTRAAAVDKRFDALETACTDLTTTIDKRVADLERADRVTALEVAATDLGTWRPEVEAMVDDLKTEVKKLSQAHDRKVFDTQPPWLNVGASPSATSARAPLAGVPVESPSGHGAASSHRDVGFGSAMTWTNVPVT >Sspon.02G0009280-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:26360311:26370659:1 gene:Sspon.02G0009280-1A transcript:Sspon.02G0009280-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lon protease homolog 2, peroxisomal [Source:Projected from Arabidopsis thaliana (AT5G47040) UniProtKB/Swiss-Prot;Acc:O64948] MADSPVELPSRLAILPFRNKVLLPGAIVRIRCTNPSSVKLVEQELWQKEEKGLIGVLPVRDSEATAVGSLLSPGVGSDSGEGGSKAGGSAGESSRQDTKNGKEPIHWHSKGVAARALHLSRGVEKPSGRVTYIVVLEGLCRFNVQELSARGPYHVARVSRLDMTKTELEQAEQDPDLIALSRKFKATAMELISVLEQKQKTVGRTKVLLDTVPVYRLADIFVASFEMSFEEQLSMLDSVDLKVRLSKATELVDRHLQSILVAEKITQKVEGQLSKSQKEFLLRQQMRAIKEELGDNDDDEDDVAALERKMQNAGMPANIWKHAQREMRRLRKMQPQQPGYSSSRAYLELLADLPWQKVSEERELDLRAAKESLDQDHYGLTKVKQRIIEYLAVRKLKPDARGPVLCFVGPPGVGKTSLASSIAKALNRKFIRISLGGVKDEADIRGHRRTYIGSMPGRLIDGLKRVSVSNPVMLLDEIDKTGSDVRGDPASALLEVLDPEQNKAFNDHYLNVPFDLSKVIFVATANRMQPIPPPLLDRMEVIELPGYTPEEKLKIAMKHLIPRVLEQHGLSMTNLQIPEAMVKLIIERYTREAGVRNLERNLAALARAAAVKVAEQVKTLRLGKEIQPITTTLLDSRLADGGEVEMEVIPMEHDISNTYENPSPMIVDEAMLEKVLGPPRFDDREAADRVASPGVSVGLVWTSVGGEVQFVEATAMVGKGDLHLTGQLGDVIKESAQLALTWVRARAADLNLSPTSDINLLESRDIHIHFPAGAVPKDGPSAGVTLVTALVSLFSNRKVRADTAMTGEMTLRGLVLPVGGVKDKVLAAHRYGIKRVILPERNLKDLSEVPSPILSGMEILLVKRIEEVLDHAFEGGCPLRSRSKL >Sspon.01G0053900-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:44205691:44208354:1 gene:Sspon.01G0053900-1C transcript:Sspon.01G0053900-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHFRRGVEFGMASFQRCHPLSCQMVENAPIDAQKVDIRCKGKSRHSKCCHLEEMPVIPEQAMEFLSRTWSPSSSDLFQILSPSGLGSSLEDPEQHEARGDGGEDKEKHLGTVRFNGGTTNQLFNQTCRLVAGGRPNCGQRRHKLVQPAWLKVGNVKAMLRGFLLDSLPVTGSQRRRRRDELRLHSAQAHAAVSVAQLAAAVAGIVSASDLRPAASASAGDRSLGTVLASAAALVATVCAEAAETAGANRGRVTSAFDAATDYCLGATCAGSVRVRTVSVFPRRGDGAAVVLRLGKKRLHGAFATFKDYVISAVGDGGGEAVVEGRPAFPVALITSEKGVTVQLLFEHQTHCK >Sspon.01G0015950-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:48432656:48436164:-1 gene:Sspon.01G0015950-3C transcript:Sspon.01G0015950-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSHLPNFYTHSELQMTMLSNKVQPSSRPLLCLHLLILPCLCLLFSQAHALHHEDTPLRFQKMALLHWKSTLDSLPPMMSSWQDNTGSCNWTGITCMSMRHGRRPTSRVVTNISLPDAGIHGQLGELNFSALPFLTHIDLHNNSLYGPIPATVSASSSLQYLNLHHNHFSGNIPYEIGGLQSLRFLEVSFNNLTGPIPASLCNLTSLTRLVIHQTMVSGSIPNDIGRLVNLQQLQLSNSSLTGGIPKSLENLTQLNFLYLFGNELSGPIPTELGKLVNLQELYLSTNELSGPMPTELGRLINLKELDLSTNTLSGEIPVSLSNLTEITLFLLYKNQITGSIPPGLGKLINLQQFDLCMNHLTGTIPTFLSNLTKLSELGLCKNGFTGPIPLELGRLSNLQILFLFTNQLSGFIPASLGNLSNMQHLSLEENQLVGTIPKTFGNLQNIQNLLLYTNHLSGSLPHEFENITGIVQLDLSNNSLSGPLPSNICTGGRLELLMAPLNMFDGPIPRSLKACTTLVRLVKISLSSNRLSGQIPQNFSSCPQLEVLYLSENFFTGPIPPSLAKLPNLVELTLDSNRLSGEIPSEIGKLRNLYSLNLSSNQLSGSIPPQLGNLSNLGFLDISGNNLGGSIPNELGDCIKLQTLRINNNNISENLPAAIGNLANLQIMLDVSSNKLSGALPQQLGQLQMLEFLNLSHNQFSGSIPPSFTSMVSLSTLDVSYNNLEGPVPEGHLLQNASVDWFLHNNGLCGNVSGLPPCHSNSAQSYGHHKRRLLSLVLPIALVVGFTVLAITITVTILASNKRKPQENATSSGRDMLCVWNFDGRLAFEDIIRATENFNDKYIIGTGGYSKVYKAQLQDGQLVAVKKLHSTDEEVNDERRFRSEMEILSQIRQRNIVKLYGFCCHPEYRFLIYDYIEQGSLHRILQNEELVTEFDWQKRTALVQDVAQAIAYLHNECKPPIIHRDITSNNILLNTNFGTARLLKPDSSNWSAVAGTYGYMAPELSYTSVVTEKCDVYSFGVIVLEVVMGRHPENLLHDLASSSLEKNMLLKEILDQRSSLPKITEEEDIVLLMKTAFSCLQASPQARPTMQEVYQALTYRQSSSSSPGDF >Sspon.01G0024140-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:84301239:84305745:-1 gene:Sspon.01G0024140-4D transcript:Sspon.01G0024140-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVKLGSKPDAFKRQGQAWFCTTGLPSDVTVEVGDMSFHLHKFPLLSKSAFLERSIEENSDQEECIIKLNDIPGGARSFELVARFCYGVKIELSPANVVYLRCASEHLEMTEAVAEENLIAQSEMFLNQVVLRNWKDSLTALETCDDLLPHAEDLQIVKRCIESLASKATTDPNLFGWPIREHGMMQSPGGSVLWNGISTGARPRNFNSDWWYGDASSLSFPMYKRLISTMESRGIRPEIIAGSLTYYAKKYLPGLNRRHSHSMGTVAPTATLSEVEQKNLLEEIDRLLPVHKGVASTKVLLGLLRTAMILKASPTCISNLEKRIGMQLDQATLEDLLLPNFSYTMETLYNVECVHRILDHFLAMDQANGGESPCLDDVMASPSLAPITTVAKLIDGYLAEIAPDINLKPPKFQALASAIPEYARPLDDGLYRAIDIYLKAHSWLSEAEREQLCRLLDCQKLSLEACTHAAQNERLPLRVVVQVLFFEQLQLRTSIAGCLLVSDNLEGSRPLRSGIATSGEAGGWATAVRENQVLKVGMDNMRMRLAELEKECSSMRQEIEKLGGRSGKGSGGGWASRVVPRRLGLKVRSQMCSAQESSVSEQQRSMSAKLDKLQAKVSKQKKQLAADA >Sspon.03G0030310-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:17082352:17085230:-1 gene:Sspon.03G0030310-3D transcript:Sspon.03G0030310-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASLRRRPLPPPPSPSRISSLLRLLPSASFSGGSGGGDEWGTSSFPGGGSGEGGGGGGDEWGSTWSTGLTKDHFDGSSPSVGRPVASPSVGHPVPSQSAPVSRERASVRSMDEWDEMIRDLECSNVEAKAFVDSWDDRMRETCALLKQVREPGARGSYLKDSEKQEMYRLHKEDPATYTVERLAKDFRVMRQRVHAILWLKEMEEEEERKRGEPLDDSIEILLDSCPEFFNSHDREFHVATLPYKPDFKVMPEGWDGTTRDPDEVLYEISKKEDQMLYEEFVQRLEFNKKKVAGEVKCHKYSRRRPDNGWSYMVEKLGSQGKRGAGGGWKFISLPDGSSRPLNDMEKMYVKRETPKRRRRIIAPYK >Sspon.04G0021490-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:1179801:1181860:-1 gene:Sspon.04G0021490-2D transcript:Sspon.04G0021490-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNSQNLQLQLQLPVQDLSLRGRSFISKPSNKVMMHHQCPAAAASSSSPAAAARGLQVAHPSRRRPRALVAVRAAGGSDDAAGGESSSSGGGEEDDDGDKQKYRSNKEGGGGCGLSRDDLERLVGTDDDAKFNGLDLANLIRKKYGRSYDVTLIKKEFMGRNLLAMNVMWKYREQRSFPLSEEEYLLRLDDVANTLKCWGAVAHVRNTLEKLKERPRIGKAVSMSTRPGDAPTSGSTSSTSLVATLITQYY >Sspon.05G0036160-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:82280760:82282946:1 gene:Sspon.05G0036160-2D transcript:Sspon.05G0036160-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGKSNLMGKKGDRQTSVLDGDGLVELVGFSSLHWIGPWALLGRSRRCSERPSPSRLAFPIRQTHRLLLRSSSSEAFLRARSQEQVPPRASSSPAASLLRSAIQGAE >Sspon.06G0015740-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:86202062:86209133:-1 gene:Sspon.06G0015740-1A transcript:Sspon.06G0015740-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding PSMPGAFHSLLPLKRKRKSKRGRRRSHPKENHLAASAGDPSFHLKSSTTSSSSSRDIGCLVEPLGNLFLSGTPRGNLRDAGLGALRPLPDDLLLDVLGLLPARDLAALSAASKALYVVASHDPLWRALVLDELGGAFDFAGSWRATYIAAASRGGQAYLIPPRALRIKGFYSDYLFQSWLCANMEMRDEWLARDNIERRRGMSVEQFIAEVEEPNRPVLLEGCIDTWPALQRWSRDYLLEISAGKEFAVGPVSMPLDRYFRYADNVQEERPLYLFDAKFAEKVPEMGRDYEVPEYFREDLFSVLGKERPDYRWVIIGPAGSGSSFHVDPNSTSAWNAIIKGAKKWVLFPPEVVPPGVHPSADGAEVTSPVSIMEWFMNFYGACKAWEKRPIECVCRAGEVVFVPNGWWHLVINLEESIAITQNYVSRRNLLNVLDFLKKPNASELVSGTTDRVNLHDKFRGAIEAAHPGMIKQLELEAQQKAAARKKKAAFWDSAVDAKSGGESQEKSLLITMAAAPDHHNKCRILHQVYPIAQSSLRAEETADYLSQFAAEQQPKTNRNHQT >Sspon.02G0048970-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:31582817:31587773:1 gene:Sspon.02G0048970-1C transcript:Sspon.02G0048970-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to Transcription factor RF2a [Source: Projected from Oryza sativa (Os09g0516200)] FTRILGRSQEDEQGQGSDAGNGGPSDGLPPQSTRRAGAPPSSSTPPPEYDISRMPDFPTRSTGHRRAHSEILGLPDDLDLSAAGGGDGPSLSDENDEELFSMFLDVDKLNSSCGASSEAEAESSSAAGGGGEGAELGHAPRPRHQHSQSMDESMSIKAEQLVGAPGMEGMSSAEAKKAVSAAKLAELALVDPKRAKRIWANRQSAARSKERKMRYIAELERKVQTLQTEATTLSAQLALLQRDTTGLTTENSELKIRLQTMEQQVHLQDALNDTLKAEVQRLKVATGQVANGSLQLQFIVNSGDRLRSPATYFSSETRMGKNGWNFRVSDEISRVSHQLSTGEFSSEL >Sspon.03G0037530-1P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3B:100696189:100697375:1 gene:Sspon.03G0037530-1P transcript:Sspon.03G0037530-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDRESKGDGTTAAPRWKRRDPFEGCPERRPPGATGGGVVLYTTTLRGVRRTFEDCERAREAVEACAAAAGVAAVDERDVSLHGEYLRELRELAGEGAPPPRLFVMGRYVGGAEECERLAESGKLREMMRWAKARGEACAAKDGRGCEGCGGARFVPCWECGGSCKVVVAAVADGGMATTTERCGKCNENGLM >Sspon.03G0017560-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:42150301:42150849:-1 gene:Sspon.03G0017560-3D transcript:Sspon.03G0017560-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARARPLLIVLAAAVALLAARPVAATGGVAGVAELCKNTPFPDLCTRTAGKHAKKYKVVDAVTVLEMQVDAFKKRVKAARKLAKQELKTAPTPLVRRALNLCKSYYLDAGDNLGACKRAIGFRDAVTIRATMSMAAQDMQNCDEEFRKAGSKNPMEDHNRSLIEMSEICRTLSNMVPYEHSH >Sspon.08G0013550-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8A:56542342:56543042:-1 gene:Sspon.08G0013550-1A transcript:Sspon.08G0013550-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEKMHMMLSGDQHATTGSKLVNDKFGMPVEHMDVLGGNFVEERKAREDPHSQPDPQKKRQRRRFWTTYEHRNFLYGLRAFGRSDWKNISKHFVTTRTPVQVSSHAQKYFHRMKNTTRRQRSSINDVGICDDEPRVQTNASSLEGFTFTSGTYNSNHYGSSS >Sspon.05G0012810-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:43040767:43049563:1 gene:Sspon.05G0012810-1P transcript:Sspon.05G0012810-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DGDARGQAAGLLHEPVARVRRRNVAAIHGRHRVPLRQHLAGHQGRAGLQPAPGGRARRRQGPRRLRRLPRRLALGRAAVVGDAAHRLRAELPRLRLALAHRHQAGAGAAPLDQMCVIIYVGTNGETFFNTTALVICIQNFPKSRGPIVGIMKGFAGLSTAILTQLYAVMHTPDHATLVFMVAVGPSLVAIGLMFIIRPVGGHRQVRPSDKNSFLFIYTICLLLASYLVGVMLVQDFMQLSDNMVNFLTVVLLILLVLPIVIPVTLTLSSKTQHPIEEALLSEPSKGETSTSQEKEDQPEVFLSEVEEEKPKDIDSLPPSERRKRIAELQTKLVQAAARGGVRIRRRPRRGENFTLMQALVKADFWLIWWSLLLGSGSGLTVIDNLGQMSQAVGFKDAHIFVSLTSIWNFLGRVGGGYFSEIIVREHTYPRHIALVIAQSLMAVGHFLFAMAWPGTMYIGTFLVGLGYGAHWAIVPAAVSELFGVKHFGAMYNFLTVANPTGSLIFSGLIASNLYDYEAEKQAQRYQITALTSPRLHNMGFLADGPLKCEGAVCFFVSSLIMSAFCVVGAGLSL >Sspon.02G0050350-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2C:55709956:55710212:1 gene:Sspon.02G0050350-1C transcript:Sspon.02G0050350-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPEDIRWQEDDSGIDPVTYLRSRGAPTSGVRKPTGRGGPMPGSGRGRGLQKNMSKKDFPPPQNGVGKRSSDDIDILHTESLIKE >Sspon.01G0049720-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:114421009:114424557:-1 gene:Sspon.01G0049720-1B transcript:Sspon.01G0049720-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GFEAQGFLVNAAEFGLNNDSSVVGGYQQFDYLLGPPQPEVYEGMQMQNINTNIIHRRRPSNASCLDHTEELTSYDNDDRAISFGSSCSTGIASYPYSTPLQSNSHISSTRDGTWDALMQMQKALEASNSDNGLNEECSDLTFNHAELSGGNTMKHQVVWDNGSLKSPSFTSSYLPFHGDTETTLTSASTVYSFQNFADVQHKMYNNEQNKLYFEVELPHQKGPTRSHVNGSRDGIVDNVSKKSPLLYECEEQMEIDSLLNSFGVSTDSFSQTCGMFEQSDNLVEFGMKIELDESGPAACFSNTTPYMQTGPPESAISDGSSYPEQYQSTSQTCGLFYDSASQWQNMSSSGLPLLDCRKSIGEPSSIINLGRNGKGHLLSTSEGTLVQQPQSVATDTRLEMADNVANCYLEFTGSLDGQSCPIGASVCHDEAMAAKVLQTAQPDIVTDCTFGVGTSNHAGRSDMQLPITQTTVQEPGLSLSKDLNSSCIQGTEIKKVDLTAKYYSECHGILRPKPFQQNTPESMGIKTCMYGCDDYSQIVDPQQSTIVSASKPSHSSVLPVDKLDDKVVSQQKKRKRATEKLLPWHAQVMTVHGSRHRRRIPELDWALATRRLVEKVDGENATMGSSSFSTRAQKRLILTTSLIQYILPVVPARLLATNVTNSAETIVYHLSKFALSDACDTVLSVGNDDTLQNQSSTSAMEDSKLLSEVLETYGSRFGKLEISLLSAEKKMTLHDMASELQNLEKWYIVYHLAKCHGYANNSGLDPCTATVKKHDGAASAPVNSLSSIKCCLLN >Sspon.07G0014220-3C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7C:57373284:57376577:1 gene:Sspon.07G0014220-3C transcript:Sspon.07G0014220-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFIRFKEAEDDCTEALNLDDRYIKAYSRRITARKELGKLKEAMDDAEFAISIDPNNPELRKQYSEIKTLHMEKMAKKVPVPAKCAVSGIDKTADVTSRPPIISQKDTIMEVDPPVSDAMKIRESAGGRSNGGSGVIINDKIMQGMDLQQLAVLLLFAKHSTAAGAGPGARNGRHNTDLSRSSSPKVEMLTRSLGQKFQFKILQLVPLHELRKIWDQVFLAEKAWADDQIEALKQLRGRYIPGGWQDSMLTSS >Sspon.02G0043660-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:94230887:94237794:-1 gene:Sspon.02G0043660-1B transcript:Sspon.02G0043660-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSPTVDAVLHNAVIVTMDGALRVLRDGAVAVTGDRIAAVGPSADVLAAFPCAVQTLDLGGRIVLPGLVNTHVHTSQQLARGIADDVDLMTWLHGRIWPYESHMMEEDSYASTLLCGIELIRSGVSSSFSLYQYGVTCFAEAGGQFVSEMARAVELLGLRACLTKSTMDCGDGLPPNWSCCSTDDCIQTQKELYEKHHNTADGRIRIWFGLRQIMNATDRLLLETRDVAQKLNTGIHMHIAEIPYENQLIVRTKGIDHGTVTYLERIDFLRSNLLAAHSVWLNEREVSKLFTFHNQLLPYTLST >Sspon.05G0037030-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:8207005:8208690:-1 gene:Sspon.05G0037030-1P transcript:Sspon.05G0037030-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRLKVVLKHDKVRVPCPVNCPCEHPINWRSEDVSLSNLEVVEIHGLQGEDDEVDFLKIDNDYDITPSNNGYEKICSIMKEYPDVECHIMASVSEGCTRFESATPSQQTVIWFKPSEISLEIAGRYTRSNAMLVQCSWKRSHIITRSSLNLNSSLE >Sspon.01G0001140-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:3507195:3511192:-1 gene:Sspon.01G0001140-4D transcript:Sspon.01G0001140-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGPSPAAMDAWWDDVNNSTLWQDRTFHALAALYGVVAVVALVQLIRIECRVPEYGWTTQKIFQHVLIDLPGLAFFTTYALLVLFWAEIYYQARAVSTDGLRPVFYTINGMIYVIQRFPVESKGRRKKLNEVGYVTTICVGCFLMRCIMMCLSAFNKDADLDVLNHPILNFFYYLLVEIVPSSLVLFILRKLPPKRGIAQYHPIH >Sspon.03G0016310-4D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3D:38800950:38802948:1 gene:Sspon.03G0016310-4D transcript:Sspon.03G0016310-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLLSSGGRRGLMIVPCLAAAVVAALAVTAPRGADAYKNYTVGDDKGWYDGLTLPGVDYQAWADGKNFSLGDFLIFNTDKNHSVVQTRNGTLYKSCDYNDSGPDDTVEWSAAAPEFSKDAVTVAVPLLKEGRAYFFSGNYDGEQCESGQRFAIDVAHGQGLPPDLRPPVADAPAPSSSAGTADGAAALDFSHPKNVTTPSATDDDDGEPSGGGTSGSSTARTLASQPRSALPVTVTLLITLLFAM >Sspon.01G0018910-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:76452621:76460474:-1 gene:Sspon.01G0018910-2B transcript:Sspon.01G0018910-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LAAPAASAARCTSVINYASNSGKADELVAELTSRGQRAVAVGRADVSDPDAVRALFDRAEDAFGSPPHVVVASAGLLNPKYPALADTTVEDFDAMFAVNVRGTFLVCREAARRVPPNSGGRIVTFSSSIMGTLLPGYAAYTATNGAVEAMTRILAKEVAAKGVTANVVAPGPVRTELFFPGKDEAFVRKVEERSMGRIAETTDVASVVKFLVSDAASWCVTPRGVKLASSQPWQSQTPRTRRRCSRSTAASRSSQAAPAASAARCPPTSPPSARVVVNYASNSARADKLVAELASGGHQAVAVRADVSDPDAVHALFDRAEEAFGSPPHIVVCCAGVLSDKYPALADTSVDDFDTMFAVNVRGTFLVCREAANRVPANSAGRIVTFSSSIVGTLLPGYAAYTATNAAVEAMTKILAKEVAAKGVTANVVAPGPVRTELFLAGKDEAFLRRVEQQSMGRIAETTDVAPVVAFLASDAAAWVNGQ >Sspon.05G0010770-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:25013170:25015186:1 gene:Sspon.05G0010770-2B transcript:Sspon.05G0010770-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMSSALSNRAALAARSRTMPSPSSSSPHALVLLAVAVCFLARSSHVAAGGHPDYADALAKAILFFQGQRSGQLPPDQAVTWRSNSGLSDGSAANVDLTGGYYDGGDNAKFGFPMAFTTTMLSWSVLEHGGKMKARVHDARAAVRWGADYLLKAATQTPGALYVGVGDPDADHRCWERPEDMDTPRTVYAVSASAPGSDVAGETAAALAAASMVFRAADRAYSRRLLAAARDVMELAVRHQGKYSDFVGGNIGAYYQSYSGYKDELLWGSAWLLWATKNSSYLGYLYSLGDNDSVDMFSWDNKLAGARVLLSRRALVNGDKRLEPFRQQAEDFFCRILRDSPSSTTQYTLGGLMHKSGYANLQYVTSASFLLTTYAKYMAVTKHTFSCQSLPVTARSLRALAKQQVDYILGVNPKGMSYMVNFGARWPQRIHHRASSLPSVAAHPAQIGCQEGFQSYFYSSGANPNVHTGAVVGGPDEHDEFPDDRADYARSEPTTYTNAPLVGCLAYLAGAYRS >Sspon.03G0030150-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:12033396:12037118:-1 gene:Sspon.03G0030150-2D transcript:Sspon.03G0030150-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRASNFVPDPNQVLLDARPLRSLAPMFPAPMGVNVNQSSTPPLVCVTPVGQFPAGFGAGNLPAFGSFTTFSATANGVAYTGTSANGAIDATPISAYKTRSDEPYSGNQTSASERKPRRGRPPGSGRGGSNGKVKRPKPTYKNFVAGKELAFLPSSSNPREIVEAVHMVFEALRRRHLQMDETQDASRRADLKAGAIMMASNIRANTGKRVGTVPGVEIGDIFYFRMELCIIGLHAPSMGGIDYMTTKFGNDEDSVAICIVSAGGYENEDDDTDVLVYSGQGGNSKNTEERHDQKLERGNLALERSLHRKNVIRVVRGFKDPFCLTGKIYIYDGLYKIHESWKERTRSGIHCFKYKLLREPGQRDGAALWKMTQRWIDNPATRGSVLLADLSSKAETVPVCLVNEVDHEKGPGHFTYTNQVKYLRPLSSMKKLQGCGCQSVCLPGDANCACGQHNGGDLPYSSLGLLSCRKPMIYECGESCNCSTNCRNRVTQKGARLHFEVFRTTNRGWGLRCWEPVRAGSFICEYAGEVIDELKVNLNDSEDDYIFQTVCPGEKTLKWNCGPELIGEDSTYVSADEFEPLPIKISAKNMGNVSRFMNHSCSPNVFWQPVQYDHGDDGHPHIMFFALKHIPPMTELTYDYGVAGAESSGSGSRRTKNCINVQVYAECPGFIVGASEWNLVREVQLVTSWEPDSFCLLMLLRFGCDPVPPRSSLVTVKRLLV >Sspon.01G0035490-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:11566458:11569108:1 gene:Sspon.01G0035490-1B transcript:Sspon.01G0035490-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEGTGGGRRASHQGCRGPRGRFGGKAGGARRHGFNDTPIIGPTPQTPEGGSSVSPAAGAVACDGAPAGRGDGVAWLRILCARFEHHGLQAPASPGFVSSPAMLVCCLITPGEQVTRAQLRLARERASVQTHRQICIDVSNLFPSSSHPSNRRTLTLSGEGQPPTPTDAGAQLPLPLQHQVCHAALSVNCHHRSLRRLHQLLLRVRGRVDIYAVQGSFGLRLLATVPEEPEPEELQIVVCPGS >Sspon.04G0037760-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:76951316:76952977:1 gene:Sspon.04G0037760-1D transcript:Sspon.04G0037760-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LMQQSLTLSSLTRSWGSQKSVIHRNVATTGATEESESHRKWREMKQNGFLSSSHGNAVVPRPRGRPPKRKRDDEFKKSTSTQHGQANKSVKVAAPSGLLSGLNLESLTSKQVYSIIKAMVHSERLENENQPGFTSQTGETGTGSEIGGGFMKCHSLMKDNNAVFHQTLPTTLHFLPQDGDSLKLQLSSAVTMSSDRTCSTSADDPASNHDYMTVLSVTAANVASQWLELLHQDIRGRLAGIIMKSDVNEKVVFMQALKRSRKRVRNALHTELPYLISTEFPSNQENESSIANTSEAGCTDKAVSEEHVARWRSLFVQMDRALQEEGKQQEKRLKQVQEMQLNCDKGLKHMTCEAPLLGPMAELRKLKSPDISESEWAVQAAAASIYSTCNMVMRAENNVPCF >Sspon.05G0007130-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:13740501:13744218:1 gene:Sspon.05G0007130-3C transcript:Sspon.05G0007130-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGSWPRASACRLLLAGASLLWLLDSHGPRRRSDFPASFLFGTATSSYQIEGAYLEGNKSLSNWDVFTHAPGRIKDGSTGDIADDHYHQGRFGKVNPAGIAFYNRLIDSLLLKGIEPFVTLTHYDIPQELEDRYGAWLSAEVRLDFGYLADVCFAAFGDRVKYWATFNEPNVFVTKGYMVGTYPPERCSPPLGSCARGNSDAEPYVAAHNVIMSHATAVEIYKRKYQSKQKGMIGIVMSTSWFVPLTDTQVDRLATERALAFDAPWFLDPIIYGDYPPEMRQLLGSKLPTFSPEERRKLGYKLDFIGVNHYTTLYAKDCMFSSGCPSGQEIHYALAAFTGEKNGIPIGPPTAMPKFYVVPDGIEKMVTYIMERYNNRPMFITENGYAQGGDDYTHVEDWLDDQGRIQYLDGYLTKLAKVIKDGADVRGYFIWSLIDNFEWLYGYTLRFGLHYVDYQTQERKPKSSALWYKRFLQSLLEAQ >Sspon.06G0024140-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:51602782:51605285:-1 gene:Sspon.06G0024140-1B transcript:Sspon.06G0024140-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFKDCLFSSVMPATIGKLTNLRNMYIDDWFSGPVPAAIGNLTNLETMEIHGRQISGSIPYAIGQLSKLRCLTLGVWIFSGSIPSSIVNLTQLTKLDLSFNSLDGEILSSIFNLPVLRYLDFSYNQTGPLSTNNSNNLIGSVDLAHFQRLSDLTVLDLSYNKLQVMDADDSNPVGTSYLVGLHHLGLASCNITRFPRFLRHVKRMSYLDLSCNKISGDVPNWVWETTWSVGEKSYLNLSHNMFTGMQIDSAVLPFKTSMEVLDLSFNRLSGQIPMPKSSGQVLAYSNNMFSSLLPNWTSYLTDTIYLSISKNNINGHVPPSICNATELDVLDLSDNNFSGPIPSYLIENVPLSVLNLRENLFQGTLPSNITTRCSLQTIDLHGNEIEGQLPRGLSNCSDLKILDIGSNRIADTFPSWLRVLPRLSVLVLRSNQLYGTIGDIVGDTKCEECFPSLQIIDLAFNNFSGNLRPQWFKCLKSMMAEFNSSGETLSTLNTSYADELFYYYSIDISYKGEDMSFERMLTTVTAIDFSNNRLEGTIPETFGSLVSLRVLSLSHNAFTGKIPSQLGSMTDLESLDLSCNQLSGEIPEELTKLTFLGSLNLSNNHLVGQMPQSRQFSTFDSSSFGGNTGLCGLQLPESPCGGSDHHTPSAAHVDKSSRHVDVVLFLFVGLGFGIGFAAAVVLRWGQISRWSAANARASSSST >Sspon.04G0021110-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:81964564:81971347:1 gene:Sspon.04G0021110-3D transcript:Sspon.04G0021110-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLVRRSLSMSRPRSCHDADDRGWNPLHVAARKGDLKEVRRLLDDGMDVNAPAWGPKCPGATPLHLAAQGGHVKIMDELLECGANIDARTKGACGWTPLHIAAKERNKKAVRFLIENGAFLPPEMNDHRFNPPLHYCSGLEWAYEMKRMQDESDSPGETSLSSDN >Sspon.01G0021790-3C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1C:79156774:79160345:-1 gene:Sspon.01G0021790-3C transcript:Sspon.01G0021790-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MWCASCLASACAGCTCNLCASAASAVSRRSARLAYCGLFAASLILSFLLRQFAAPLLKHIPWINAFDQTPPEEWFQMNAVLRVSLGNFLFFATFALMMIGVKDQNDRRDAWHHGGWIAKIAIWAVLIVLMFCVPNIVITIYEVLSKFGSGFFLLVQVVMLLDFTNNWNDSWVEKEERKWEIALLVVTVVCYLSTLAFSGVLFMWFNPSGHDCGLNVFFIVMTLILAFAFAIVALHPQVNGSVMPASVISAYCAYLCYTSLSSEPDDYECNGLHRHSKQVSLSALVLGMLTTVLSVVYSAVRAGSSTTFLSPPSSPRSGAKNPLLGDEEDGKGDDKESEPRPVSYSYTFFHLIFALASMYSAMLLTGWTSAASESSELMDVGWTTVWVRICTEWVTAALYIWTLIAPLLFPDRDFS >Sspon.08G0024900-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:3528999:3530350:-1 gene:Sspon.08G0024900-1C transcript:Sspon.08G0024900-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLPAFTVRRGDAVLVAPSSPTPRETKPLSDIDDSEGMRFYSSGIHLRGQDPARVIREALAQVLVPYYPLAGRLREVEGRKLVVDCAAQGVLFAEADADLTADDFGDVQSPPFPCFEQFILESTTVAGVEPVVDRPLLYVQVTRLKCGGFIFGQRFCHCIVDAPGGMQFEKAVCEIACGAAAPSVEPAWGREMFMARNPPAPGLPAPRVPRARGRRWHRPDADHSARRHDARALLLRAPGDRGAAPARAARDALLPVRARRRVHLAQPHRRAPRYAADEEVRLSFIVNARGRPDIPLPEGFYGNAFAYSIAATTAGELCGGDLGFALELVKKAKSAVTYDYLLSVADLMVLTGRPLFETYIVSDVSHAGFKSVDFGWGEAVYGGPAKGGEGPLPGVTNYFSRAKNGKGEEGTVVPICLPKDAMEKFQLEVEGLTAEL >Sspon.03G0025100-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:100681040:100684729:-1 gene:Sspon.03G0025100-2B transcript:Sspon.03G0025100-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RVRFPLAVAVAGRRESQAESEVSEAEPGSRTDGCQPGLGSSRKGEPLPPPPIHFSLSQGSSRSPPKSPRAPHKILHPPHRRRHALSARRAGPPLLDHLRARGREDRQTDRDPGPAARISAPLPPAALDSAASASIPPQGPRSGIMVMKMELEDDGAMRGRGTGGTWTEEDRALGAAVLGADAFAYLTKGGGAISEGLVAASLPDDLQNKLQELVESESPGTGWNYAIFWQLSRTKSGDLVLGWGDGSCREPRDGEVGGAASAGSDDTKQRMRKRVLQRLHIAFGVADEEDYAPGIDQVTDTEMFFLASMYFAFPRRAGGPGQAFAAGIPLWVPNSERKVFPANYCYRGFLANAAGFRTIVLVPFESGVLELGSMQHIAESSDTIQTIRSVFAGTTGDKAAVQRHEGNGPAPPGRSPGLAKIFGKDLNLGRPSVGPAVGVSKVDERTWEQRSAAGGTSLLPSVQKGLQNFTWSQARGLNSHQQKFGNGVLIVSNEAAHSNNGAVDSPSATQFQLQKAPQLQKLQLQKLPVVQKTPQLVNQQPMQPQVPRQIDFSAGSSSKPGVLVTRAAAVLDGESAEVDGLCKEEGPPPVIEDRRPRKRGRKPANGREEPLNHVEAERQRREKLNQRFYALRAVVPNISKMDKASLLGDAITYITDLQKKLKEMESERERLLESGMVDPRERAPRPEVDIQVVQDEVLVRVMSPMDNHPVKKVFQAFEEAEVRVGESKVTGNNNGTVVHSFIIKCPGAEQQTREKVIAAMSRAMSS >Sspon.01G0061030-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:87156864:87159529:1 gene:Sspon.01G0061030-1D transcript:Sspon.01G0061030-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MELPQPRPRGGGVDKYDFYYWLGCQILGTCGSPSSLPKTPVRATCPWRRSVLTASGFGCSVLHPVLGDSIKGKLVEAIQDGNVRRLKGATPLGCASLFGEVACARFLLDHGATPNKMDETGSVALHSAAKNGNGELIRLLLSRGARVDIAIPQGTPLHIAASYGNSDAIKILLDHHAD >Sspon.05G0033620-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:35658699:35664876:-1 gene:Sspon.05G0033620-1C transcript:Sspon.05G0033620-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHPEIPAFGDWETTGNTPYTQKFEDARKNKKTGIPTQPNDPRRNPEHPRKSPLHPTAYKTDPEDQGPRNPPPRPRPETDHQRHSDRPTHREPAPRRHANPQREQESNAGAPRSPYRTAVGSASPMQPNNQSKPKHRSTGMQTPERRPSSEGHGQHTPGRSRMKPGGYEPEEEVAVPPFGEWDDANAASGEKYTGIFNRVRDDRLSPTSSARQPSTTRSQENKVQQADSAAAFKI >Sspon.05G0016190-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:5A:65846743:65847804:1 gene:Sspon.05G0016190-1A transcript:Sspon.05G0016190-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLPDDLLISIFLFLPPHPSYLLRSSLVCKHWHSLIVDNQFLHRFQALHGLPLLGVFTNSTRIPRFLPAGDPPNRVAAASFSLPDPYWNVLGCRHGHVLLVDSTWHQVFVWNPINGHKRLIQAPSDVDPRFNYGNVPESNHADYGYCHSCPFFVVWVFTSTRYAYATRYSSESGRWEMMESSPIPSDVDFRPSILVRNILYWPLKSKHILAFELVTHRLYHIECPPETHDIYRRNVHIMKTEDGGLGLAALAKFNLRLWAWETDAEGVTGWVLRRIIDLNRFLPLDVSSLPSPDNGSCGRPPVRILGLVEDDDLVFLWTITGVFAVHLKSMKFKKVFEADVSATVYPYTDFRIA >Sspon.01G0056840-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:93514874:93519356:1 gene:Sspon.01G0056840-1C transcript:Sspon.01G0056840-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRRLATAAAAPALRRFSHHSAPPQPDPRLVFLRSEVDDLDLSPRHKPSPRPPPREQCQVIEELRSGLALARDAPAAVDIAHPWPEWVALMEHLLRRGHVDPSTFAAALLSSKDANAVRTACLQFGRQRPELIRHISRWHIQVAMRCGCPSIDRKVVNSGKRLRAYVGLDEGEVCSQCNLRGSCDRAYVKARKEEVGRTVDVMRILLTYGFDVITGNVENRACLNKTVKESIKILLNEVVEVDSRGPGSSTVKAAQRKGQSAVPMKQGDWNCPKCDFLNFAKNIKCLRCDGEFQERCNFLNFAKNTRCLQCHEKPTNRLLNPGEWECVSCNYVNFKRNGFCLKCGWKRPKSLNNQDSIETLHDLENSKNPRISFVQDGVQLKRWQSPQKNASPSDEDSDFWSADDEEGDSRDNDTLLQQKDYRFLESFPIVGGTTANSQDRHAREKWKDEMSRRNKGFQTKEPQESNRPSSPGSLPRSMELVESDDDIASWSGSEKNQ >Sspon.04G0027750-2D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3D:2292632:2292886:-1 gene:Sspon.04G0027750-2D transcript:Sspon.04G0027750-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPLVGSRANQLSRVEPSAGAAQPHTRWGSSKPRAPLEYPIYELPRGRIKNPLQHLVKAPTISNCELNHLRCSKPSTVSGNTEE >Sspon.04G0024150-3D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4D:22246887:22261404:1 gene:Sspon.04G0024150-3D transcript:Sspon.04G0024150-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSSTSCYVIKPSIIGGRRATIVGLISGPAQRLGSRFPLDRVLIGGAQPIHGWWAPDALRYKKEEWGPQALDPRFAVLSTHSTNPRPPIGIAQWRREEPPRRLSTASSTRFPVSAGGGPKDVDIYFTATSTPWTPPDPLPPTTQKNGRELHPLTCAAVGPTPPPAHRRSEPPQNGRETSSNEAPRSKPLDGGALHHRRARAPARGFGAAPSSSPAAAGSSVSLAFRWDQALNAMHFISAIPKLTGQNYVLWREKLDAALALAEIDLALQEPKPTESPMFGVARLRRRLSLSGREGLGSSLPPAELARRDSGVFEELKRLFSTTPKVRNRTEANGGHSGDRTLDRTRSLFDRTRPVSVQLLRVSRLSDRTRWRVRSWSTGRVRSIRELTGLQPDAGTVASGQFSSASGRCFDCALLWVDQRVRSVTGPARPVEPSASGPRDQRVRSVLREYSRCVIGASGQFDQRVRSARLRLFQKILKGYSPPSSHQDLSPTEPEEPERAQNETDEGFANRKRDYASIRAKYDLEKYKWEKSNRKCKIVIKKTITEGLRGAIPECDTAKEYLEKVKNQFIGSTKAHASTLIQKLTNMRFTGGSVREHILSMSTMAAKLDKLKMPLADGFIIHLALNSLPKEYETFVVNYNTQPEDWGLEKVIAMCVQEEERLKNANGGSVNFVKGKNKKPFYNKKAPDATTSHNKGGSSSQPKAQPKQDNQQKQEDSDRCRWCNETGHWKHDCPKFMRHCLVKGEDIITFVDESLYLSYARSTWWIDSGATVHVANSLQGFNGGRTLQRGERQIKVATGVEAEENGIVAQYSMPSDPQQNGVVERRNRTLMDMVRSMMSYSTLPLSLWMEALKTAIHILNRVPNKSVLKTPYELWTGRKPSVNHLRVWGSPAEAKVFNPNIGKLDPKTEPYFTLPVVVGPTQVVTTPAVISPTANSEPVFQEPNEPIVDEQQPQQDQPQEQEMPVAEPSGRPQRARKSPIPDDYIVYECEEVQMKDEPTSFEEAMRSTEASKWQEAMEDELKSMSTNKVWDLEEIPEGAKTVGCKWVYKVKRYSKGNIERYKARLVAKGFTQREGIDYNETFSPVSSKDSFRIIMALVAHFDLELHQMDVKTAFLNGDLEERVHMAQPKGFAMTGKERMGCRLKKSIYGLKQASRQWYLKFDKTIRKFGFKENVEDNCVYAKFKNGKYIFLRESRDEIKGENVGLISGPAQRLGPRFPLDRALIGGAQPIHGWWAPDALRYKKKEWGPQALDPSVVASGRTTAPPLYCFFHPVPGQCRWRPEGRRHLLHRDINTMNASGSAPSNDEDPINLSTIPSLHVGTTLHSSAGGTLLEQHCLQTQQLPSEWTGDQPLVAVHINAPAPLFGAAPQPWFAGPPELPNTTVWRWQPRWATSFSPRRPVLRGSHNLQRNERFLHPDSRHARGNRRRRAGTDRPRRRRGRTREGRRLRSLNLSVRFRALAPPIFAPELTPCPLKSIRLAPETSTSASSNLPRIASERAFPLLRPRPFAHRLCCLPAGNRQHEQRLARRTVLGSRPESWQVQAQSSIAMSFTGTQDKCKTCDKTVHFIDLLTADGVSYHKTCFKCSHCKGTLSISSYSSMDGVLYCKTHFEQLFKETGTFSKKFQGGASSTKNEQAKAPSKLSSAFSGTQDKCAACQKTVYPLEKMTLEGESYHKSCFKCSHGGCILTTSSYAALNGILYCKIHFSQLFKEKGSYNHLIQTAQTKKNEAAEAASEPPADAGAAEPEAPAQSA >Sspon.07G0011850-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:42384300:42385763:1 gene:Sspon.07G0011850-1T transcript:Sspon.07G0011850-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVQAGGDAQQQQQQAVGGNRIQVSSSKKPLFFYVNLAKRYMQQHGDVELSALGLAISTVVTIAEILKNNGLAVEKKIRTSTVEIIDETKARPIQKAKIEIVLGKTDKFDELIVANAGDANAGDGEEQT >Sspon.03G0020910-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:51114429:51116939:1 gene:Sspon.03G0020910-3D transcript:Sspon.03G0020910-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding NVVVADFPLYVWMDDIMATNELSLKLLIDTKAQKVCFAEAGNDVVEFLSTLLCLPVSTITSLLTKERMVGSIGNVLDSLQELDAKYVISSRSKERYVSPAVAPSVLHPLQLLLDAPLNASDSFFTCRGKTDGYGRLAAPACGYFSAIKGTICPSCSNSMNVAMRHVKADGLVAGTATYTVKDDLSITPASSVSSIALLAQSGVKDLSTLHERIVKIGKEEVAYLFIILLVLEILLASLKSKTVLTDVFLQKKKVCPYE >Sspon.02G0036080-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:17800308:17805041:1 gene:Sspon.02G0036080-2D transcript:Sspon.02G0036080-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASSVIALSPRTLLATNRFVCEVCNKGFQREQNLQLHRRGHNLPWKLKQKNPLQAQRRRVYLCPEPTCVHHDPSRALGDLTGIKKHYCRKHGEKKWKCDKCNKRYAVQSDWKAHSKTCGTREYRCDCGTLFSRRDSFITHRAFCDALARESALMPPLGAGLYAGPGSMSLGLSGTAAQMHGFADQAGQSSSAAAGAAVQFDHIMPSSSGSNSMFRSQASASASSSYFLGGGAPPAAQDFSEDGSQGSQGALLHGKAPFHGLMQLPEQHHQPGPGSSNAAVANGNNLLNLGFFSAGNGGGTSGGSQDARLVIQDQFNVSGAGGSGSAEHGNVMASLGSHLGGGFPSLYNNSSPSAGLAQNSATALLMKAAQMGSTSSTSHNGALLRATGFSAGSGQGTTTGRAAGEGTTSHEAHFHQLIMNSLAGGSGSGTGGFSGTAGFGGVDDGKLSTRDFLGVGRGAMAPPGLHIGALDPAQMK >Sspon.01G0012700-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:41443035:41445174:-1 gene:Sspon.01G0012700-2B transcript:Sspon.01G0012700-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ADSSDEDEEQKKQYESASSFADALSRIGDMCRGVPMTLSVEQYLKRDNGMDNRDDPGRRSQSMSVRTSKCVPSSFSLKGLKDKNDTDDDNKESSTPSRLRQLLHSISFNDRMQQLTGGSPAKKKSTVIRLSYKTTSCDDYEDSGECGKSKKYVVRPKVGQTIPYGGEKPTTGYWSRIDPSLFKLRSETFLKDKKKCAAPNYAAYCPIGVDLFACPKKVHHIAQHLDLPQIKTHPKLPSLLIVNIQMPTYPAAMFLGDSDGEGLSLVLYFRRFFENETEKVKGFTSESTISYRDRLKIMAGLVNPDDLQLGSTERKLVQAYNEKPVLSRPQHNFYEGENYFEVDLDIHRFSYIARKGLDSFRERLKNGILDLGLTIQAQKQSELPEQVLCCVRLNKIDFTNQGVPTIVATDDK >Sspon.05G0036300-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:83194446:83197124:1 gene:Sspon.05G0036300-2D transcript:Sspon.05G0036300-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHFVVGIAALLLLQLMTSSSYPSSLVLAADSVLGRKAGIVVDEAAAPESSQPGAGRYAVIFDAGSTGTRVHVFRFDRKLELLEIGDEGIEVFAKVKPGLSSYAGHPQEAANSILPLLDKAKSVVPKQLMKRTPLRLGVRNLVHTKSKFQYKPEWINVIEGSQEGSYLWVALNYLLDKLGRDYSQTVAVVDMGGGSVQMAYAISANTAANAPVAPLGEDPYVIREYLKGKDYNIYAHSYLHYGAFASRAEILKARNGPFSSCMLRGFSGEYTYNEEHYDATAAPEGAVYCKCREEIGKALNLNAPCETKNCTFNGIWNGGGGAGQDNLYVASSFYYVATEVGIIDVNAPSGKTTPGAFGASAEKACQMSVEEAKIEYPNVNDVDVPYLCMDLAYQYTLLADGFGVEATKEITVVDRVKHGEYYVEAVWPLGSAIEAVSTKKWRQDA >Sspon.01G0010120-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:28338457:28343713:-1 gene:Sspon.01G0010120-1A transcript:Sspon.01G0010120-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATTLRSAAARARVRAAELVGGGRWPQRRLGTTSAAETESRKDKEEEEEGAGAGWELSAAREYYDYRKSIYGDVTHRALLVDAVGTLVVPAQPTAQVYKSIGEKYGVKYSEDEILMRYRRAYEKPWGGSRLRYVDDGRPFWQHIAWKFVDPDAENVFKALRKAGVKTAVVSNFDTRLRPLLQVLKCDHWFDAVAVSAEVAAEKPNPIIFLKACELLGVKPEEAVHVGDDRRNDIWGARDAGCDAWLWGSDVRSFREVAERIGVEVPK >Sspon.05G0016270-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:66122021:66124864:-1 gene:Sspon.05G0016270-1A transcript:Sspon.05G0016270-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASAPIRHLFPSRSPWPPQDPSPRPAPPVHSWPARRCHLRSVRCALSPPPPSLDLPLLPFQPAEALYKRKNYFVQFVLDPVVDSSTKASFAVRYGCLAHIESVQKLEIGALISIRGVCRVNISNLLDVPEDEPLQN >Sspon.01G0032810-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:110902217:110903988:1 gene:Sspon.01G0032810-1A transcript:Sspon.01G0032810-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding TMEVEAAAATVLAAPLLSSSAILKLLLFIVTLLYLARALSRPRKTTTKCSSTTCASPGVGNPPLPAGPVPWPVVGNLPEMLLNKPAFRWIHQMMSEMGTDIACVKLGGIHVVSITCPEIAREVLRKQDANFISRPLTFASETFSGGYRNAVLSPYGDQWKKMRRVLTSEIICPSRHAWLHDKRADEADNLTRYVYNLATKAAGDVIDVRHIARHYCGNVIRRLMFNRRYFGEPQPNGGPGAMEVLHMDAVFTSLGLLYAFCVSDYLPWLRGLDLDGHEKIVKEANETVNRLHDTVIDDRWRQWKSGERQEMEDFLDVLITLKDAQGNPPLTIEEVKAQSQDITFAAVDNPSNAVEWALAEMVNNPEVMAKVVEELDRVVGRERLVQESDIPKLNYVKACIREAFRLHPVAPFNVPHVALADTTIAGYRVPKGSHVILSRTGLGRNPRVWDEPLRFYPDRHLAAASDVALTENDLRFISFSTGRRGCIAASLGTAMSIMLFGRLLQGFTWSKPAGVEAVDLSESKSDTFMASPLVLHAEPRLPAHLYPAISI >Sspon.06G0029150-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:15989322:15991488:1 gene:Sspon.06G0029150-1C transcript:Sspon.06G0029150-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSATTQPWRSLLCCVSGGGAVSGDDDGPSPSQRTRGSRRRRDRERLLLLPSSSASRVSLSSLSSSAGTLTPEDLSLTLSGSNLHAFTYAELRAVTGGFSRANYLGSGGFGPVYRGRVDAGLRKGLDAQQIAVGAARGLAFLHDADTPPDKLYRVMDPAMECQYSCQGAERAAMVAYKCLSQNPKSRPTMREVVQALEPVLGMDDYLQIGPFVFTVIVEDNNDRNNESGGKVVDVTIETTVEEK >Sspon.01G0008860-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:3581145:3583650:-1 gene:Sspon.01G0008860-1T transcript:Sspon.01G0008860-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGHHHHHHGHGGHPAPPPPLAHEQTLYRIFCRADEEYCLAVRNNAVVLAPANPRDESQACYCFDAFSLCHGSINLVVVMDMDPVCLTRTVPAHVQHWYKDMRHSTKVKDAEGQPAFALVNRATGLAIKHSLGQSHPVKLVPYNPEYLDESVLWTESHDVGKGFRCIRMVNNIHLNFDALHGDKDHGGVHDGTTVVLWEWAKGPNQSWKVLPWGDEAYAPRPPGPEPGYGGYRPPPGGPAAGGYAPPPPGPYPPPAQPEPGYGGYRPPPGGPAGGYAPPPPVHEPGYSGYPPAPGNPAPGYGNLPRVLASEPTVRVFCKADDGYSLTVRNGTVSLAPTNPRDEFQHWVKDMRHSTRIKDEEGYPAFALVNKVTGEAIKHSLGQSHPVRLVPYNPDFLDESVLWTESRDVGHGFRCVRMVNNIYLNFDAFHGDKDHGGVHDGTTVVLWEWAKGDNQRWKILPW >Sspon.07G0002290-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:4157719:4159093:-1 gene:Sspon.07G0002290-2B transcript:Sspon.07G0002290-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAITAPSSIEQIPLVQCPRANAGQQAGAAAIPCVDLSAPGAAAAVADACRSVGFFRATNHGVPARVAEALEARAMAFFALPAQEKLDMSGAARPMGYGSKSIGSNGDVGWLEYLLLSVSANTVKVSSLPPSLRAALEEYTAAVREVCGRVLELIAEGLGVDRSLLRAMVVGREGSDELVRVNHYPPCPLPPPVDCGVTGFGEHTDPQIISVLRSNRTAGLQIKLRDGRWVPVPPAPDSFFVNVGDALQVLYWTRSLMPSGFRPAMAMHAQVLTNGRFKSVKHRVVAPEGAQSRLSVIYFGGPAPSQRIAPLPQVMRDGEQSLYREFTWAEYKTAMYKTRLADHRLGPFELRATAATNTNSCMPPPPSADPHCNSSGTCLPPPQQQQVAE >Sspon.02G0016260-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2A:44525927:44526856:1 gene:Sspon.02G0016260-1A transcript:Sspon.02G0016260-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AYLVASCGLTRAQARSASKKALAEASKLSERAFNDLSSTRLHSGFDPDAVLALLSSIGLSRADIADIVAADPLLLRSRVHRLEPRILALRDRVGLSVPQIARFLVLGSWELRNCGDIAPKIQFFVSLYGSFDQFLVVMKRTRTLLAMNVDRVIKPNIALLLQCGLSVRDIAQLCSRMTWLLTFSPERVKELVLRAEELGVPRSSGMFKQALATVASTSKENCAARLDFLKRSLGCSKSEVATAVSKKPSIISISDESLLRKIHFFINEVGLDPQSILQRPVLFTFSLEKRLVPRHCVMKALLAKGLLNRN >Sspon.07G0019140-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:69465429:69468319:1 gene:Sspon.07G0019140-1A transcript:Sspon.07G0019140-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLAGMKRRELQALCKRHGLPAGGSNADLVARLDAALSGSAGAEEEVAGVPARKGCLKQIGGDAADAKKVTFAAEEGKARRLRGGRKCPSKAVQEEFVSAAEAEEVGEAVAVDRKRKRKNQENAEGVAVSAQVEVSSRVTRRSSLAGPAAVLPPAVEKKRGRGKAAAGSNKLVAEVQDSEPHVQNSAQVELSARITRSRAAAPVVMSPTVVENKRRKTGDARTNLELPTVADVPRNDAPVTRSLRSRVAQVNNSMVDETHTARQLENKTQPSRPPTRRHQQVSSSVEDKNQEQTAAPNKAPILKRSGRNHSEDAEKQPEVREPVKRSTRKSVVLATLEKEENDLIEEKNPEVHVKRSTRKSSVLVKDKNANSEDAVKQPATKGPVRGSRRKSVVSKLHKKEKGLIAGKNMEAHEGRSMRKSVVPVKDIEAVVEGIQNAKGKDVEKKIVVKQPTRRSSRKSVLPDILENNSGLLAAEMDAEMNVRRSTRKSVLPNMLNEENQDHNKTARNENFQSGKCQDDERQQKVKEPIRRSRRSVVAVPFEEQNNGLYEEKISKIPMRRSTRKSVALNEVEKVSMDDTEIVAREPERVANDSRKMEDFDGQKFWKQQNAQIPNEKGNTGGTLQASNSTTLKGRSSKRRRTTASEEVRSVKEANDDIIIREAIKDAHKATHENKESGSRVQEFGQVNATREEHSSGPLLVTVTLTEEISTAQSVPVVRPGSESGDNANESSDKSKQEHSDIQAVDSHLSETSGELDQSSCIAGLVLHNFDVSEDKSLMSKGEVNMGANDML >Sspon.02G0009710-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:26199180:26205603:-1 gene:Sspon.02G0009710-2B transcript:Sspon.02G0009710-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFGGMFDGAAGSGVFSYDATGGGGTGMHNPSRLIPAPPLPKPGGFGATGLSLGLQTNMEGGQLGDLSRMGLIGSGGSGSGGDGDSLGRAREDENDSRSGSDNVDGASGDELDPDNSNPRKKKKRYHRHTPQQIQELEAVFKECPHPDEKQRMELSKRLNLESRQVKFWFQNRRTQMKTQIERHENALLRQENDKLRAENMTIREAMRNPICANCGGAAVLGEVSLEEQHLRIENARLKDELDRVCALAGKFLGRPISSGSSMSALQGCSGLELGVGTNGGFGLGPLGASALQPLPDLMGAGGLPGPVGSSAMRLPVGIGALDGAMHGAADGIDRTVLLELGLAAMEELMKVAQMDEPLWFRRPDGGGGGLETLNFDEYHRAFARVFGPSPAGYVSEGTREAGIAITSSVDLVDSLMDAARWSEMFPCIVARASTTDIISSGMGGTRSGSIQLMHAELQVLSPLVPIREVLFLRFCKQHAEGLWAVVDVSVDAILRPDGGNHHSHAQNGGGAAGYMGCRLLPTGCIVQDMNNGYSKVTWVVHAEYDEAVVHQLYRPLLQSGQTLGARRWLASLQRQCQYLAILCSNSLPARDHAGE >Sspon.05G0037450-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:13903110:13908896:1 gene:Sspon.05G0037450-1D transcript:Sspon.05G0037450-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GalAK [Source:Projected from Arabidopsis thaliana (AT3G10700) UniProtKB/TrEMBL;Acc:A0A178V5L4] GGAGGRSRRRSVAVAGGGGGLDIVRKKVVEISGRDEQEVRVAACPYRICPLGAHIDHQGGVVTAMTINYGVLLGFVPSNGSEVLLQSGQFEGVIRFRVDDLQKPIDKPENINWESYARGAVYALQNSGYDLRKGIIGYISGVKGLDSSGLSSSAAVGIAYLLALEHVNDLVLSPVDNIQLDKYIENKYLGLKNGILDPSAILLSRYGCLTFMDCKDCNIICQRRVDIIRGFLSAKRLPVLFCSCEDTPNILCNVDPVVYEAQKCVLEENLSRRAEHYFSEMKRVTKGRDAWAHGNLQELGQLITASGRSSILNYECGSKEMIQLYEILLKAPGVLGARFSGAGFRGCCLAFVESERAEDAAAYVRAEYEKAQPELVSRIPADRRVLVCEPGDSARVILPILTCTPDGISRPRRRPRRPAVRYKRNGPAASRLD >Sspon.01G0040590-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:38193245:38196734:-1 gene:Sspon.01G0040590-3D transcript:Sspon.01G0040590-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVNREAREQWEKKQAEDAERIRKQNDGDGNANVDLEKDKNETRGLSKHAKAYKEDDDKMRTTAANVAARVAAGGDDMLSKWQLLAERNKQRSEGGDGSSGSVPGNMLQHRPSLKSGKDLREEQEVEKRGYSTMLGSGGVRRSPLTKVARSVSIKDVVAALEREPQMSKSSLLFRLYGRPLTEPSAK >Sspon.07G0032990-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:56671163:56674088:1 gene:Sspon.07G0032990-1C transcript:Sspon.07G0032990-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTGAIPPALGNLSGLLHLDLMENMLSGAIPWSLGMLSSLSWLSLANNSLSGEIPASIWNISSLWGINIQENNLTGTIPARAFSKLSELRTLSMDTNGFHGHLPASLANASQLRLVQLGLNSFSGVIPPEIGYLRNMEWMLLSNTLLGAEEPGDWEFMRALENCSQLLTLDLTNCKFSGILPRSVSNLSTSLQKLYLPYNMISGTLPEDIGNLVSLQSLVVDSNSFTGTLPSSIGNLNNLRLLSASYNNFTGSIPLLVGNLTELNILNIKANAFSDIVPSTLGNLTKLLELHLGRNYFTGPIPAALFSIPTLSIILDLSHNSFGGPIPEEIGNLINLVEFHAESNNFTGNIPDRLGECQLLRYLYLQNNFLSGNVPSLLGQLKGLQFLDLSSNNLSGQIPAFFGNFRMLYYINLSFNSFAGELPTFGAFANVSAISIEGNNKLCGGISDLHLPPCTSLSPAKRKHKFLVIPIVTSLVMIIVMLPLLYTLLTWYKKRKPKIPSTTSMQGHPLISYGILALETVTGKRPTDSGFGQGLSLREYVDGALHNTVMDAVDIRLSTDLENRLHTMGDCSYKSIVLLLRLGVSCAQESPWSRMQTGGIIKELHGIKDSLQTENRE >Sspon.08G0013230-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:55984614:55986006:1 gene:Sspon.08G0013230-1A transcript:Sspon.08G0013230-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGDHWGNWAFLLSAAAFGTWAEENTSWGAALSGALVSIMAGLTATAVGLVTPGAPAHDAVMEYLLPAAVPLLLLGADLRRVVRTTGDLLKAFLLGSVATVIGTTVAYLLIPMRSLGQDSWKIAAALMGSYIGGAVNYVAISEALGLTPSVLAAGVAADNLISALYFMALFSLASNIPAEPKTATASPQKDGEPDEGGGGRLFVLNGGAAVALSFIICKCGTLPCVTALVVFMATAFPGPLGRLAPAGESLALILMQLFFAVVGANGNVVDAVTRAPSVFAFALVQVSVHLAVVLAAGRIMGMDRKPLLIASNANVGGPTTAAAMATAKGWTSLVVPGILVGIFGISIATFLGIGYGMFVLRRICG >Sspon.02G0014860-3P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6C:18836678:18837229:1 gene:Sspon.02G0014860-3P transcript:Sspon.02G0014860-3P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MWEGGGIHGSHHEALLLQAAGSGAADYGHGGGPASLLPWLGPSAAPGFSYMAPHHAHHQPGPLGAAEAAASPFGFGGGGGGYSDGGVGQFGVFGPETPLPLPPHGLLAAGGSSGGTALLPHGPRMVSGLLGTLQAELGRMTAKEIMDAKALAASRSHSEAERRRRQRINSHLARLRSLLPNTTK >Sspon.02G0022870-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2C:79355393:79355653:-1 gene:Sspon.02G0022870-2C transcript:Sspon.02G0022870-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding QKQEAAAAEEASPQNGMEVSHVDREASNESQSANGKLLPTVHLPWNMYCHCLQPKDLLVQGLPAMDGLVKSPRLRVHQRMETCFLM >Sspon.05G0029650-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:81547076:81552865:1 gene:Sspon.05G0029650-1B transcript:Sspon.05G0029650-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEKPLAPLFSRTIVFLLRRPPRSPLSSAVIPSNAPFSLIFASDKCRHEVLIMQAALFLDGFCINSGKMLPSKRGADDLTRFSTDSLIPGFWSELSDEVASKLSRSVVSIALSHGQNVLFAFSGIAIECQSNFTKFVTSATLVRALNDERNDYDNIKIEVCHEGNVAIGTVVEYDLDHVIAVIEVTSALDVYCVPLNYVEELMPGRKVVAVGRDISGKLMATSGMLTASGRSEDCGHLMFSTCKLSEGGALFEFDGNFVGMNLFSNTERPIFLQRDIIFDRLNHLQTSMEKIIFPVMVKSVSMNVNTFEEQFGDEYPTGVWGEFKEEISSNISDIVVALASFHGESKFFACTGFFIDYGGRPTILTSASLVRDPDGANEIVSGLRVGTSNFTVILIKVLLPNNERTVGKLEHYSLHYNVALVSVKKYNVDCPVKLESKLIRYDKMVVAVGRCFESGLLSAASGKYIQNYEAVIGGPLVDLDGTIMGINYYDTEIGTPFLFFFNIREILDNLKTKKAMIGGHEGRLRRDNGPPNMLIVVQVHVSA >Sspon.08G0012420-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:49554249:49569378:-1 gene:Sspon.08G0012420-2B transcript:Sspon.08G0012420-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding NYVINYLATRGPKLQNFVIVSLIQLVCRITKFGWFDDDRFRETVKEATDFLGLASQDHYFIGLKILNNLVAEMNQPNPAMPLTLHRKIASSFKDQFLLQIFQISLTSLNQLKSEAPDEFGHIPLDLALKCLSFDFVGSPVDESSEEFGTVQLPASWRPLLQDPSTLQIFFDYYKVNDIRVSKEALECLVRLASVRRSIFVEDPARSQFLSHLMLGTKEILLTGQGLADHDNYHEFCRLLGRFKVNYQLAELLSVEFYGEWIGLVAEFTTRSLLSWQWASNSVYYLLSLWSRLVTSVPYLKGETPSLLDETVPKITEGFITSRINSVQAILADNSLENPLDSVEVLQDQLEFLPFLCRFQYQSSSLYIINIMEPLLQVYTERSRLPAPGDADELSVIEGQIAWMVHIIAAIVKVRQVTGARFSMFRSQETQELIDAELSARVLQLISMTDTGAHAQRYQELSKQRLDRAILVFVQSFRRSYVGDQAMHSSKQLYGRLSELLGLNDHLILLNVIVGKIATNLKCYAESEDVIDHTLSLFLDLATGYMTGKLLLKLESVKFIIANHSPENFPFLAEYKCSRSRTTFYYILGSLVFMEDSPVKFRTFMEPLQQVAFKLEATPDAAFRTDGAKHAFIGWMRDLRGIAMATNSRKTYGLLFDWLYPTRMPLLLRAISLCTDEPEVTTPLLKFMYEFVLNKAQRLTFDSSSPNGILLFREVSKIIVAYGSRIILLPNGTDIYGSKYKGIWISLTVLSRALCGNYVNFGVFELYGDRALADALDISLKMTLSVPLSDILAFRKLSKAYFGYMEVLFNNHIKFVLNLDTNTFIHIVSSLESGLKGLDTGISTQCASAIDSLAAFYFNNITSGDSPPSPASVNLARHIGEYPNLFPQILKTLFEIMLFEDAGNQWSLSRPILSLIMTSEQMFSELRAHILASQTVDQQQRLSQCFDKLMTDVNRNLEPKNRDRFTQNLTAFRRDFRL >Sspon.06G0012640-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:66710119:66720886:1 gene:Sspon.06G0012640-1A transcript:Sspon.06G0012640-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGERESLLLLDRSADAMERGGERHHPLSVFLRDARLAFRWDELGQEIMKIAVPGALALMADPVASLVDTAFIGHIGPVELGAVGVSIAVFNQVSRIAVFPLVSVTTSFVAEEDALSNGRDNDKIHQENESNVSLSEMEELISPEGASATTSISSFETDSCEVSVEQKRKNIPSVSTALLLGGVLGLLETLLLVLSAKPILGYMGVTPDSAMMKPALQYLVLRSLGAPAVLLSLATQGVFRGFKDTKTPLYATVAGDAINIVLDPIFIFVFQYGVSGAAIAHVISQRKAHTSKMYGGTFCRYLIASILLWRLRLHVDLLLPSFKHLQFGRFLKNGFLLLARVIAATFCVTLSASMAARLGSTPMAAFQICLQTWLACSLLADGLAFAGQAILASAFARKDYPKATATASRILQLALVLGLILIILFGIGLRIGSRLFTDDQGVLHHIYIGIPFVCLTQPINALAFVLVAIVSIICILTLESYSGFIGIWIALVIYMSLRMFAGFW >Sspon.02G0006670-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:20929359:20931341:-1 gene:Sspon.02G0006670-2C transcript:Sspon.02G0006670-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCCLERSRGGGGGGNAGAVHYARTNPVWVEDDDVGDRKEEARKGEGAGAPAAGTEVKIRITRKQLEELLRRVEDGKHGGGGGGAPVQEVISELLCVASTSSNFRHRAEGQWRPSLQTIPEFESNCCLTIENCDKEFKQWLLKTMFS >Sspon.03G0001720-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:4300843:4301741:1 gene:Sspon.03G0001720-1A transcript:Sspon.03G0001720-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSADNLTSGRSRPRGVAPAPPKACPHLLRGRRCHRHRRLLRRQGRARQRRVREVIHIDVEAASAPMRAAQAQALGDPVETTEGAAEEDGEALASAPAPAAAPASLDPQAVDGTALVCPFTSPTSVLRYAADEVPGAPTLPVFEFLYSGFGELGDLGAATAPLKAAAAEFDCLPWWDGEDFVTATCLTASAGTAVSVI >Sspon.07G0009400-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7D:23407497:23408645:-1 gene:Sspon.07G0009400-2D transcript:Sspon.07G0009400-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPLQLYGGTDGSWEVKPPEVELPAPEPEPAPGINIVRDTMERHKWLQGVAVHCDAWLMKIAGFAASYMTATERSFCQ >Sspon.03G0017090-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:74787980:74792449:-1 gene:Sspon.03G0017090-2C transcript:Sspon.03G0017090-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pre-mRNA-splicing factor SPF27 homolog [Source:Projected from Arabidopsis thaliana (AT3G18165) UniProtKB/Swiss-Prot;Acc:Q949S9] MASSATREVLMLEAPPPSGPSAGPWRAVPDAEAIDALPYIDGDYGDAAVKREVDRLVEEEMRRGKRKPADFLRDLPPVPTAGFENHPMLAKEYERVRAGKPPFMLDMSRYGLEPPPMNKRNDVGAWRQALRNAQSQLQHQIIRIENLELMLKHGVDVWKLQNKQMESVLSRMQKMAVEYNERIENVNRERKFHQQNTGGQLHALTMEWQELCQKNIAIQAACVDLQNQIDQLKFEAKELGMPMDDNTGTNSQAS >Sspon.06G0015400-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:84860119:84866584:-1 gene:Sspon.06G0015400-1A transcript:Sspon.06G0015400-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GRRRIRQPRRRRAEAARPPPREQERARAPAEPEVEQAPEEAPAVVAVALRVARRAPFPAPRGGPFRAPASRLRGRGPRRRRRLPRVLVRGHRARLHAGPRVQPPGAVHSQVRAPPRRRRRRRARRALRAVPHPPAPAAAAPVGPAALPHPRHRRGVPQRGVVVGDRRVRPRPRRPRRGHRRVPHHPRGHRVPARPRPPPPRLRRRRLDPFHGRHGCPPQARGQGLRGRGQGGGGAATERIRRVLVPRHGEGRRRRPQLRRRVLRSGGGGGRAGRRRPPSTCIGSSSGRLSSIRQGRASSSSSLVLPWRPTVMGHGHLEWCAGSSGEGEYEIGIIVAKKSEMLVTKVVPLLKPQYKWNGKQWRIATPKKRANLRRRSVSGNSPRSPVDVSSSDEHSLGKNTLAEGSGHASVSEMDIPLSALCKSPESTHSPSSFVSEKNSLEGSHGIVNSVPMNGLLCASPGHSTPVDNQEILSDMVVTAVSGRSVDGHDMLSITELRKKMASARRNSAVIRKQENLVKSVRVKKCVSNVKAGKTHPIQGLQGKIQLKGNMNCSTPDIVLALSVSGTGRTILSPDRLVSIGTKRGSSTKVLACKKFAVQSAVANRSGSKELCSPNSSLDVTRTVQQRGSKEVADPMEECPLALECPKSGTQEQLDRTLENTQNITELSNQDLLPMVPPGFKSMDNGKGTNIHGIQFDEEPTSTTNSLIELKGNGDMCTDHAATKLAESNHVMETAILSLDCPAQQARGKVDERSVLQNAGSSQCIIDSSPLRSCSAFESLLPSPQPLSQVSNHQALFVKNSPMWHLVEAMHVFKELPQQPHFLPLQEELPCLREGVALGMMLSFADLVKITMGASIDNSMEWFEDKIRTISHLEANGFSVQFMQSAMTDLVKIKSELTSYHGEIGKLDSKFVEKTASSSRVGALLDEKDIAAAELEQELGRIRQESQKIAKEKEKIDAEVASIKTARSGYEDLCNGAERKFKDVLAGLRLKRG >Sspon.01G0016230-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:51606100:51610949:1 gene:Sspon.01G0016230-4D transcript:Sspon.01G0016230-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding IMAVGGGGGGIADEKQAPAEAFGGHLQEAAEMMEEEEQHSGQSSGGVKSRLSGLLWHGGSAYDAWFSCASNQVAQVLLTLPYSFAQLGMLSGILFQLFYGVLGSWTAYLISILYLEYRTRRERDKVDFRNHVIQWFEVLDGLLGRHWRNAGLAFNCTFLLFGSVIQLIGCASNIYYVNDRLDKRTWTYVFGACCATTVFIPSFHNYRVWSFLGLVMTTYTAWYIAVASLVHGQVDGVQHSGPTRIVLYFTGATNILYTFGGHAVTQGDHARDVASSEVQGHLPAGDAVRDDADAPLRRRRLLGVRRRAADALERAGAAPADALPRRRRGAHAHPPVHHLRLRLHPALLRVGEAHRPPRLPQPLQARRRQAPRRRAHLVPRHHLPLLRAHQLRRRIAPRQLHRLHHPGARAHGHIQVATVAAERRGAAAEVRRRVDGRLRDQLLRGGVGAGGRLRLRRLGQHNQLRAAGQHLRPLRQVLPVPAAPHCSAGGSGLHAATIGPAGNLHATGDGVQCHRVLPAGASPSSGSVTDDQLLPPPPPPQAPWTPRP >Sspon.06G0006550-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6A:23429236:23429443:1 gene:Sspon.06G0006550-1A transcript:Sspon.06G0006550-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding WGCEIRSGTRSGCWLILGVAVASCAWCSRWEEAPGRSCGRCSSLKSPRTPPQSSTSGISPMLLRGRDAR >Sspon.02G0025560-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2A:88646605:88647123:1 gene:Sspon.02G0025560-1A transcript:Sspon.02G0025560-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MESFLSAVLSELISRSINFINNKWSKPPTLNMEESLQRVLLRAQVIIEEAMGRHITNQAMLLQLDMLRDAMHRGCYALDAFRYQPHYGEDGNDPAARRFRPLSKVSSAKDPYFSSRNVQFQEQLQEALDRLSSMIVDLNELVMFLMSYPRLYRQPYSMHILLDNCMFGHQMD >Sspon.02G0048470-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:17100340:17105873:1 gene:Sspon.02G0048470-2D transcript:Sspon.02G0048470-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable protein S-acyltransferase 12 [Source:Projected from Arabidopsis thaliana (AT4G00840) UniProtKB/Swiss-Prot;Acc:Q5M757] MDCCRHVNPFRACAGLRGLGYFMVALVAAIVAFSYYAVVVYAWGPMLLAGGAAAAGAAAVIAAFHILLAMILWCYLMVVFTDPGAVPENWRHDAEDSGNPSFSSSEEQGSNRCVLKMDHHCIWVVNCVGARNYKYFLLFLVYTFIETVLDTLVLLPNFIEFFQDESRRSSSPGDIAILFLAFVLNLAFALSLLCFIGMHTSLVTRNTTSIEVYERKKSVSWKYDLGWKRNLEQVFGTKKLLWFVPLYSTENLHNIPVLQGLEFPTRSDAIV >Sspon.03G0011280-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:47111940:47120001:1 gene:Sspon.03G0011280-3C transcript:Sspon.03G0011280-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:maternal effect embryo arrest 18 [Source:Projected from Arabidopsis thaliana (AT2G34090) TAIR;Acc:AT2G34090] MALVACPRCNVTPPHASASASASRSRCLLRAPRSAASRPPPGRLRRSRAMAAYGGGHSAAAGADAVAAAPHAPPSLLVFSGGTAFNGVVEELKKVTTRVAHVLPVSDDGGSTAEIVRVLGRISACVKSVMMVSVGLPVLGGPAVGDIRSRCLRLSDESTAEALSVRKLLGHRLPIDPSEAKLEWYQIVEGDHSLWEGVSRPYRETIRAFLVYFHSEILRRSAEMFCFTHGSIGNFFFAGARIFFQSLDAAIFLFSRVSQIPAESLVLPVISTNDRLTLGCELWDGTIIRGQNEISHPSNGRREIVNKDCNSCSALPSRIKRVFYMSSEGSNLLHEVFPEVNHTVLEQLSKVDCIVYAMGSLFTSVCPSLVLRGIGETIASRSIPKVLLLNGSHDRETAGLSTSGFVTAITDSLNRTYGDPHKSLKNRPNDYVNAILVPEGGQVPLDIKNLAAQGIFHVVTVKSINDPKVGVIFDARSLIQALTNLISEQMDMCLSERGHLTENVKVEN >Sspon.05G0009290-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:18104872:18107887:1 gene:Sspon.05G0009290-1P transcript:Sspon.05G0009290-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RVVVNYISSPEVSFLTSILGRISNKTKLVFHFLDENTLEPSTNQTYGSMLKNLTFVKTFASGILVPKSYIWPNSPDNYLQPYTSVVDEAHKVGLEIYASDFANDFLLSYNHSYDPLAEYLSFIDNDVFSVDGVLTDFPVTASEAIGCFTNLNKSNTDHGKPLIISHNGASGDYPGCTDLAYQKAVDDGADVIDCPVQVTKDGIPICMSSIDLMTDTTVARSQFISQTATMKDIQIAPGVFSFNLTWDDIVKNLKPKISTPWTNFALDRNPRYRNAGKFMRLSDFLDYTKDKDLSGIMITVEHAAFMAGELGFDMVDKVIKALDDAGYHDQTAQKVMIQSTDSSVLEKFKEQTKYDLVYMINKEVGDAAPSSLADIKKFASAVSVDTSSVFPETHYFTVYETNLVQTLQTAGLSVYVYTLMNEFRLSHTTSSQMLQHRSMPMLRVL >Sspon.02G0007950-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:17603521:17603876:1 gene:Sspon.02G0007950-3D transcript:Sspon.02G0007950-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding NEQDRLLHSDTSTDESFGELQGGNLPTKPSFFIGPCVLPTDSLIFFDQLLRQQSSSGAKLLPHSRPNLSYNKCYCIGRTSAT >Sspon.07G0018400-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:66561505:66563190:1 gene:Sspon.07G0018400-1A transcript:Sspon.07G0018400-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRLPRTSRSPADYRIQALNPKILPPRLAPKPFSNPERNDRMRTPAAGRWRLHLRGSGGGSSKLTRRIISNVKITLLCAFVTILVLRGTVGVNRRLVYIAGRSDDNRAAAASTRPVDDIERLLREIRADSNTDADPDDDAATKPSSSAEHYDRGAAWTTANYSLGPRVTRWNAKRRRWLHQNPGFPSRDARGGPRVLLVTASPPGPCASPAGDRFLLRATKNRLDYCRLHGVEMVHVTARLEDPELSSSSGGGAGGWAKLLLLRRLMLAHPEVEWLWWLDAGALVTDMGFELPLARYEGAHLVVRSDSYLLFQRRSWDAASTASFLLRNCQWSLDLLDAWAVMAPRGRARDDAGRLLTATLAGRPPGEADDQSALVHLLITEKERWMDRVYLENQYYLHGVWTGLVGKFEEAMEKHHPGYGDDRWPFVTHFAGCKLCDGRSTRSRSAGDGDGGGGEGKNRSDEYPLDRCVRDMERAFNFADNQVLRLYGFRHESLASAEVRRVANRSANPLEAKEEALAFLKKPNEPDPWSSDVRKYRKPKGEGDSVLARILRRLGWRSKI >Sspon.02G0044870-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2B:105418652:105420022:-1 gene:Sspon.02G0044870-1B transcript:Sspon.02G0044870-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATLVLLVLLCLSTALTCSGGGGGTSATGIRMKLTHVDAKGNYTAPERVRRAIALSRQNLASTMRAGAGVSAPVHWATRQYIAEYLVGDPPQRAEALIDTGSDLIWTQCATCLRKVCVRQDLPYFNASSSGSFAPVPCQDKACASNYLHFCALDGTCTFRLSYGAGSIIGFLGTDAFTFQSGGATLAFGCVSFTRFSAPDVLHGASGLIGLGRGRLSLASQTGAKRFSYCLTPYFHNNGASSHLFVGAAASLSGGGAVMSMPFVESPKDYPYSTFYYLPLVGITVGGTKLPIPSSAFDLQEVEEGIWEGGVIIDSGSPFTSLVEDAYEPLVDELARQLNGSLVPPPGEDDGGMALCVARGDLDRVVPTLVLHFSGGADMALPPKNYWPRWRSRRPAWRSSEGTCKASSATSSSRTCTSSLTSAGGGSPSRTPIAALSDYQLMEMIIDAYIILLLT >Sspon.04G0021960-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:2362084:2362661:-1 gene:Sspon.04G0021960-1B transcript:Sspon.04G0021960-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DGPAAATVPRRAAAPLGLLGLRDPPPSPVAAPVQPGVRAGRAASERSGGCSLRSGSRLGRDAAGRAVGRQVPGGAARGADDRGAAGLQLLHGDLLL >Sspon.08G0003570-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:9503883:9507610:1 gene:Sspon.08G0003570-1A transcript:Sspon.08G0003570-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAERPVPRRESPWGLPEGDTRQPKAHRCNDRAEDVVQACFEGNPFKTVPGPFKLFWQCMRSKPGEEPTEPYTYLQLDPPRRVEVNLEQTASES >Sspon.01G0060220-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1D:75015606:75015939:1 gene:Sspon.01G0060220-1D transcript:Sspon.01G0060220-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDPAFSHLAKVTLWKQKCKFLPALGQLPQLHELVVIRMEGVERIGQEFYGQDSTKRFPALELLEFQDMPKWVEWYDIAESDFPSLRELKIKDSNELRVLPPKLPAHMKKL >Sspon.03G0009150-3D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3D:28885334:28886572:-1 gene:Sspon.03G0009150-3D transcript:Sspon.03G0009150-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LLRVYLLARNTRHPAVRLLPPPSTETHTAAAAAACHHHPIPIPTTAAASPPFPTCARLFDQSGHTQPENSVEQQCQHGSGAVLRQDECEAGPWSPEEDELLRSYVHNHGTGGNWIALPHKAGLNRCGKSCRLRWLNYLRPDIKHGGYTEQEDRIICSLYNSIGSRWSIIASKLPGRTDNDVKNYWNTKLKKKAIAMQQQQQQQEYYHHQQQPHSSGGGRGRRGGAVATPLAAPRSQQCASSMQPSPASASSAVTTASASDACSFGAMYPSPPRTLQAAAPVLARYDGTAPAPPLPPAPQQQQASSLAEFSPAPAPPPPGTWAGGLPLDDMFLPELLGDSEFPPGGDFFGTGSPRCCCKTGRRRRPCRSSPRATFPTRRP >Sspon.05G0013650-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:48056965:48057469:-1 gene:Sspon.05G0013650-1A transcript:Sspon.05G0013650-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRAAALARELKNIKSELHFMQERCGLLEEENKRLREGCDNGVAPEEDDLVRLQLEALLAEKSRLAQENANLTRENQSLMQLVEYHQLTSQDLDESYEDVMQGIRLDFSSPLGKITDDEDGECGDEVPVTPAEVLCSPDE >Sspon.07G0028710-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:68254583:68261521:1 gene:Sspon.07G0028710-1B transcript:Sspon.07G0028710-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVGQGLPPATPQPRRGQRPRLRCYVRLSPVECVLRATPFGGYDSSLDDDPESGVAYFKVPLDRAIGRDGQLPAAAAALCADAVGAADGGLLPWVAAAGSALTIPKAASNNAAAPPTTCSTDCPAASHCFPCGSKPLFPDPTVAARIGSSRASRHVHLVDERTCEVGSCAGKCSFFSDLIGDSLRGLCPKFRLELSVTEAPAPLAYAAAARREVCATYAALVCVIIGVVFTTRGEPSFHLFCNDVFGAVPSLLSRARARGAQEHDALVPGIGVAFLGRIEQLQEGDVAVLPSRRSRDVVSTSVSLRSIDLFHGRGEVRRVVVDADKEIQRLLCEGLGLRPDFLEEDISSGNVVLHVNHYLPCSDLNTTLGCRHTVTHGGLARC >Sspon.05G0017680-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:73883599:73886247:-1 gene:Sspon.05G0017680-1A transcript:Sspon.05G0017680-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIVEDRQLQHAAGREAAKAVAALPTKLELERGDTGGAVNRGTEEEGSYCLIKSVGQLSNHGFTKLPDRYVLPEPDRPGDGLGRVKLPVVDLARLRDPAHRASELETLDAACRHSGFFQVVNHGVARELIDGLLDVTRRFFELPLPRRARYMSPDVRAPVRYGTSFNQAKDAVLFWRDFLKLGCQPLHAIVASWPDEPADLREVAARYAMANHELFMELMEAALEALGIPCGHSESLLGELEAGYSQIMLNCYPACPQPDLTLGLPPHSDYCLFTLLLQDQVQGLQILHHGHWLTVDAVPGSIVANVGDHLEIYSNGLYKSKLHRVRVNSTQARISAASFHSVPAERMIGPAAELVDEGNPRRYKDTDYASFLNFLASAEGKDKTFLQSRKLSG >Sspon.01G0015090-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:43263940:43269874:1 gene:Sspon.01G0015090-1A transcript:Sspon.01G0015090-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGWRGTEGCDGRRRRLVRLMWPAARVEAEAPPSPATATSPSRFSVLPLRTTSYQLAAVAAREQECVDSPRPLSPGSFIKDGREIQVGDCALFRAVDVPPFIGLIRWIEKKEEGYPKLRVSWLYRPADIKLNKGIQLNAAPNEIFYSFHQDEASAVSLLHPCKVAFLRKGVELPVGISSFVCWRVYDIDNKCLWWLTDRDYINERQEEVNRLLYRTRLEMHAAVQSGGRSPKQLNGSSASQQLKTSTDGTQNCGLSKGKKRERGEQGNDPAKRDRDRLHVDDSEPGSKLDDIKSEIAKVEKGGLPNTEAVEKLVHLMQLDQTEQRVDLAGRVMLADVIAATESPDCLGRFVQSRGLPVLDSWLQEAHKGKSGDGSSKEADKPIDDLLLALLRALAKLPINLSALQSCSIGKSVNHLRSHKNLEIQKKAKCLVENWKKRVDAEMKSNDLKPLTGQSASWPGKAGFQEISNAVSKRGGSSEHSPKNPASTVSSPKVLTDKPGGTDAVAKLNHVPYVTSKVQHMQPGNVAANLKDQPCKSTGGSELPTVKEEKSSNSSQSPNNSHSCSSEPSKDARSSTAVSGGASKTSGSASWGHRKATNGLVSENLNEASVGRCVSLDRSLLPDKSSPTGSTSEKGVDMPSDHGNNHRLIVRFPNPGRSPARSTSGGSLEDPSVTGGRASSPVVADRHEQTDRRVKMKAENSRPHLTSDVNAESWHSNEIKGIAGLEEGDKSSCAMLDDDNSRTPDDSVKDTHISRVVCSASSYMNEKGVCSSETKVGNSFSPMNALIEIKYSEASHSLRAEDDTAMDLLASVAGEISKSELVSPSSSPRNSSAKKLGCERDNAGKVKVESDVGPSQDPRPADAKKVVGGKEVKRDSCLAAKEEQRQTVPSPELADSKAVGSSVKIEIHEGRANKCNSQPDPVDSKGEHDPNSNLCHSANARIVNVPNMDSSAGENRDACRHGKVEDGCTDKGGAVDSTLGSQCKVVVSSRNSRLVLAGESSLSAADKQAQGLLKSSTNHKQPLGVSSHSGAFDSRDSMAGKLDLMAAEVKKADAVGDSSILRNEEEKKENASFPSADVPKLVVAAATPTNGIKEMKESKDTSSESNSHVKSEGVNSQQSEHSAKQSSKKSSDGVSEKEDGKDDLVSSDEGSSLAAHTKSNATAKLDFDLNEGIPGDDGHQSEPTISPVICSSTVHLTGLSPFVSPITCGLQSAPITVAAPAKGPFVPPENLLRAKPEIGWKGSAATSAFRPAEPRKILEMPGTTRDIPGSHTAGKQSRPTLGFDLNVAGDQALQEDIPESSAQTTCSESGNTKSRDGSSRSAGIELDLNRADEVADNGQFVSNASHPVELPLSSTRSLPGIVSNAGMNILRDFDLNSGPGLDDAVTEPVPKNLPAKNTSGIQFLQVSGLRMNNVATSNISPWLAAANPCGSVAIQSFLPSRGEQLYQIEAASGAQRTIASTTDSGQFGGDPCRAPVISTSPAMVFHPPAYQYAGFPSFPPSVHLQAPAFSVGPATFANSASAVPYFQTLSPSLVGPAGSLPALHSRQYAINLAEGSSSSGRDSSRKWESQGLDLNSGPGSIDLEERDARAPLPVRQNLITPPHGFAEDQGRFYQMPVVGTKRKEHDGSWDTERSTYKQLSWQ >Sspon.06G0019250-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6B:1100278:1100836:-1 gene:Sspon.06G0019250-1B transcript:Sspon.06G0019250-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding EKKGRKDWGPTSGLPVAVNTAGHRMYAEREVNRAGLATVRSSSRGRGLAAVRSRRKGTTGEPLDGAPRGYGRMRAQASGTTAAPSPSPDPVTLPSSIGGGGYAALGVERRGGGRERRGGEAAGLAPVSVTAKVAAAALLLGHGTEGESKEQKWMLGFLGWRRMAVFDPARSALHRRMPRSADGRL >Sspon.02G0051520-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2C:79921496:79923493:1 gene:Sspon.02G0051520-1C transcript:Sspon.02G0051520-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPLFCLMNQLIILFILVFNLPSFANSKHQFVYSGFTGVNLTLDGMASVTPNGLLELTNGTLRLKGHAFHPTPFHFRKKPNGTVQSFAITYVFAIYCIQSDICGHGIAFLIAARNNFSDAMQSQYLGLVNTGNNGNATNHFFAVELDTNKNDEFKDINNNHVGVDINGLNSVNSSNAGYYDDNNGNFHNLTLASYKMMQVWVEYDGVSTQINVTLAPLNMAKPSKPLLSITYNISRVLTDKAYIGFSSSTGSFIARQYVLGWSFGLNKPALPIDITKLPKLPHEGPSPHSKVLDIILPIATAAVFISVGTIVILLIRRRLRYAELQEDWEVEFGPHRFSYKDLFHATEGFKNKNLLGIGGFGRVYMGVLPVSKQVVAVKKISHDSNQGIKGFVAEVVSIGRLQHRNLVHLHGYCRRKGELILVYEYMSSGSLEKYLYDQDKPTLRWEQRLRIIKGIASGLLYLHEEWEKVVLHRDIKPSNVLLDDDMNGRLGDFGLARLYDHGADPQTTHVVGTVGYLAPELARTSKATPLTDVFSFGIFVLEVICGRKPIEQTAQDSQLMLVDWVLDFWRKGSLEGAVDIKLRGDYDVDEACLILKLGLLCSHPFTSARPTMRQVLNYLTGNMPLPNQLKPADMSFSMLSLMQNRGFIPATLANSLKVDEMHEF >Sspon.07G0006890-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7A:17897350:17911777:1 gene:Sspon.07G0006890-1A transcript:Sspon.07G0006890-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTAAAGAGGGAEAAAAAAAAAAMERQLASASQPAVEVRTVREVGGSNTWPMLTRTNYGEWAVHMKWKLRARRWWAAVETGNAGEDAEVGVMEALMASTPSEFHEAIGSKNTAKEAWDMLASFRLGSDRAKKAKAQQLRREFDELRFKPGEAVEDFALRLQSIASQLATYGKPVDDEDVVAKLLRVVPAKYAQLALSIETMLDLSTLSLEDVTGRLRAVEDRAPPEKEKPKLLLTEEEWSVRMKEKRKTGEGSSRGGGDRGGGNKQRGKAPAEKKRGKKKFPDPNACRKSGEVGHWARECPNKKPERKEEVHLARDDSDGEHALLMGVFCAESGGAKAVELEQRSASPVVHLDEPRAQVLLGAAGDESEPRWYLDSGASNHMTGCRAVFSELDEKHAGSVRFSDGSRVQIRGRGTMLFRCKNGEHRALTDVYYIPELRSSIISLGQLDEHGAEVLIRNGVLRIRDQDGRLLAKVSRSRNRLYLLDLKMVTGLPQIKHAGELCDSCLAGKQRRLPFPKATRYRAADPLELVHGDLCGPITPATHGGRRYFLLLVDDCSRYMWLQLLTSKSDAADAIKRFKARAEVESGKKLRVLRTDRGGEFTAVEFATYCADEGVGRHLTAPYSPQQNGVVERRNQTIIGMARSMMKAKGMPAAFWGEAVTTAVFILNRSPTKALKGMTPYEAWHGRKPNVSYLRTFGCIGHVKATKLGLGKLEDRSTRMVFLGYEDGSKAYRLYDPVGGKVVVSRDVVFNEAAAWSWDGPEAAEGQGGGGLCNTFVVEQLVIRGHGEAVEPPVAGEAQGPEPTVAEAEQPAAAEQSPASPELGTPAAGATIEYASPPSDVSDFVDAFHEGEDVRFRRVDNVVGEATVPGLAARMLDDADQTLLLMSAEEPATFAVAERDAAWRKAMLEELKAIEDNHTWELVDPPATCRPIGLKWVFKVKRDERGAVVKHKARLVARGFVQRGVDFEEVFAPVARMESVRLLLALAAAKDWPVHHLDVKSAFLIGDLAETVFVKQPPGFVVKGAERKVLRLRKALYGLRQAPRAWNAKLDVAELGFIRCATEHALYTRRRGKEHLIVGVYVDDLIVTGARAEDIGRFKEEMAARFRMNDLGALSYYLGIEVRQGKDVIRLGQRAYALKLLEWAGMVGCKAVATPMEERIKLSKQSTAAKVDATLYRSVVGGLRWLTHTRPDIAFVVGYVSRFMEDPREDHWAAVKRLLRYVQGTAELGIVFPKRGGIQLSVFSEAPPKASEDAALMVFSDADMAGDVDGRRTATAACQVVWLRRLLAELTGAQVQPPALKVDNQPAIALAKNPVLHDRSKHIDVKFHFLRDCVDGGQLVIEFVDTRRQLADILTESLGRVRFQELRRMIGMVEVK >Sspon.04G0001190-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:4557905:4564675:-1 gene:Sspon.04G0001190-2C transcript:Sspon.04G0001190-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGFVEEMMREQSLLEATCGDLFDHIEDLLDFPKEDSAADVLLLDAPAPGSPLSARIIDVGRAGNALAPHAPPALAPPPLAQHDASASAFFATAGPDAFDPKDVVGAHIGSCDDMDMDMDMAQLEWLSGLFDDASIPHEPAFPAAAVGCAAPIIKSSALAAGALLPPDKMEDALLFRSSSPISVLEHGSFNNANGGGSGSAGGSASSSSSSASSSSESFSGSGSGSGGGHAWSTPVSPRQAPPPPVLVIPARARSKRSRPSAFTGAAARAGVVEAPTILVPTPMYSSGSSHSDPESIAESSPHPAPPMKKKKKAKKPAPPAPAASSDDNDGDADYEEGGERAEPQGGAVRRCTHCQIEKTPQWRAGPLGPKTLCNACGVRYKSGRLFPEYRPAASPTFVPSIHSNSHKKVVEMRQKAVRSGDPSCDLLQFIRRRD >Sspon.02G0031220-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:106422379:106426423:1 gene:Sspon.02G0031220-3C transcript:Sspon.02G0031220-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAADPLEYELQREISDILIQNYVCGLRHEFEMKLWEHQNCISTLNRKWSEKVSEITVLRDELHNVLSVIVASEPGTHHPHQSHGVLEDQMIIVKTKDDSEPPVMEKSSESSEVMLQIPDFSLLKHMHSEEITNFLKTEWLKLRRQHESELHEKTEELFRVKREHAKEKASLPLKKERELEFIKSKLLQTISRLGEITSRKDNSCFDGNENEEMCRLKDRIRMLLHDNNRLRGLLADKKQEVKHLTLQVSDSKSKITQYPLSEAKLLDNSEKLRAELEDLKVERHLNNLVDSSVFKEVLDNYENQIYEMDQEGSFLKELLDEKEDQLSIIYEDRQKLKYENSQLVSIAGSIMRHHDQVNLVNDELMMFKEKVCEQELLILESKGEYNSMKRCLYEAMQEIQVCKQEVLGLTENLTSMSIALKEAKEQNASLDATIREMKKAPAQSIDSHWGQTGEFDLASMEKLSKTYADFESRLVETMKRNETRLTSLVCQFSPLAQQVAVLRKKEFWYKQILEIKCSNLRKAEAEVDILGDEVDTLLTVLGKIYIALDHYSPVLKHYPGVTEILMLVQKMNISLEH >Sspon.05G0005210-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:14851024:14852294:-1 gene:Sspon.05G0005210-1P transcript:Sspon.05G0005210-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNNNGASSSSSRLDPAPLLPRHGSGSREAGLSSQPKTFANVFIAVVGAGVLGLPYTFSHTGWAAGTLLLFSVAALTFYCMMLLVACRRRLADEHPKIASFGDLGDAVFGAHGRFAVDVMLVLSQVSFCVGYLIFISNTMAHLYPITAPSSSALLSPQGAPSSPTSSTSAPWAGVVGQDVAAWLAKPVPVVAYGGPAALLYGLGVSVYAFEGVGMVLPLEAEAANKRKFGVTLGLSMAFIAVMYGLFGVMGYVAFGDATRDIITTNLGTGWLSAAVQLGLCINLFFTMPVMMNPVYEVAERLLHGKRYCWWLRWLLVIVVGLAAMYVPNFTDFLALVGSSVCVLLGFVLPASFHLKVFGAEMEWPGVVSDALLVVIGLSLAVFGTYTSLLHIFHSSSA >Sspon.03G0027850-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:6984435:6989952:1 gene:Sspon.03G0027850-1B transcript:Sspon.03G0027850-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGASASAGVGTGAGGEAPLVYKAWKGNNVFLLKGRFIFGPDARSLFVTMFLIVAPASIFCAFVAKELMDRFSYGLGLPVMIAAVLFTAYVRSESSASNFWERSGIIPRKAHPPEPEGFDGNAEVGANQTPPVRLPRVKDVVVNGITVKTKYCDTCMLYRPPRCSHCSICNNCVERFDHHCPWVGQCIGLRNYRFFYMFVFSTTLLCLYVFGFCWVLIVKIRNAEQITIWKAMAKTPASIALIIYTFIAVWFVGGLSVFHLYLMSTNQTTYENFRYRYDQRANPYNRGVIENIKEIFFTTIPPSKNNFCGRVQQEHGLRPRPTNGFMSPNMGRAVGDIEMGRKPVAWDEPRMAAEIGDLGAGLSNLLEDKDGRFRSASPDLSRDALAVGGGLEEQGSSAMNPGRSSWGVEADVNTFGDLCDASIQVFLGIEDPGNEPHLLGLHGGDLASGEREVSSVAVADDPREALQSAEVGDDGHVHLLEHERGVPGAAPDVAGRDDVDAAADAGAVHRRDDGLPAPLDGRERRLHVQDRAAERLRCGHGVAGARDGGGGQRVPGAPELDARAEVRARGGQHHGARGGGPVQERHGARDLREGGRAQRVALRRAVEADLVDPRGRVRTRHGQGLEPRAELRVGCVTVAAGHPWWVAVAWGSLLPALSRLLVVAGYEAAGDLSPRSPLLCSLLFLFLDPGDI >Sspon.02G0030120-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:96475865:96478215:1 gene:Sspon.02G0030120-2B transcript:Sspon.02G0030120-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNVCVGSRFSKNGGFFGNFSLWPSRSRNSGGTPSNPTTTSRSVPVVQVQPSESDAKPQPAQTTEAPVPIVISKPAPLPQPQPTPSEPDPSPPAPASQQPAQPQQQQQAPPRQQSRKKAAHIKRISSAGLQVESVLRRKTDNLKDKYSLGRKLGQGQFGTTYLCVDKATGLEYACKSIAKRKLVTDEDVEDVRREIQIMHHLAGHPNIIAIRGAFEDAVAVHVVMELCAGGELFDRIVRRGHYTERQAGELARVIVAVVESCHSLGVMHRDLKPENFLFVGNDEESPLKTIDFGLSMFFRPGEEFTDVVGSPYYVAPEVLKKRYGQEADVWSAGVIIYILLCGVPPFWAETEQGIFEQVLHGSLDFESDPWPSVSENAKDLLRKVLVRDPKKRLTAHQVLCHPWLQTIASAPDKPLDSAVLSRLKQFSAMNKLKKMALRVIAENLSEEEIAGLKEMFKMMDTDNSGQINFEELKAGLQRVGANMKEPEIYQLMQAADIDNSGTIDYGEFIAATLHLNKVEREDHLFAAFQYFDKDGSGYITADELQQACDEFGIEDVRLEDMIGEVDQDNDGRIDYSEFVAMMQKSTTGFGKKGHQYNLSIGFRDALKANS >Sspon.05G0011410-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:32633833:32637342:-1 gene:Sspon.05G0011410-1A transcript:Sspon.05G0011410-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VRIMGKFMHDNRLLPQSEVNRRHYSLAAVAVGLGLGVAGLCKALHSGLSISWVSRRNLFLGSGRVYYVGGLRNLGNNCFLNVILQALASCDGFISFLDNLLVTDGLLPEEKAVRMPLLLALSSLLEDLSIVRDERTVLNPHGVMHALSFYVSHFNLTRQQDASEAFLHLLISLRDEFSHCYVPHRSSLADITLSHSKVYKQREGNLPECKRWKQNLFGPFDGTIGSILSCRNCSSVLSLDFENFHCLPLSPVLNANGDITSGCSLVDCLKYFTVVEHLDNYRCDRCWHIIAAKYLSLKSEVDEEKVSKLHTCVDYGTCSCRDMLPLEEMPCSSSSRATKQLIISQCPKILCIHLLRASVGLDGEPIKHQGHISFPLLLNLSPFVGGALSAGPLAMNVQRDGQQALHLYRQLNMQMSLNVLPTGGYLSSQPCKEEATNNSGRSIHVANVDVSSSSSSSSSPQPSMSKLYGLSAVVEHYGKCGGGHYAVYRRVASNPDPDDPGKPLAGLGRRWFYISDGSVSEVSEEDVLCAEATLLFYERL >Sspon.01G0046500-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1C:95195210:95195644:-1 gene:Sspon.01G0046500-2C transcript:Sspon.01G0046500-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKVFLGFLLLGVGLSAVACTWRARGGGGRALAVPAVPGRLLRGGGARGSGAVDAAPTARRARDDGRGAALARVIRAAGARVPVPPRAQGGLHVLTRGPVALAPLWERFFRGHEGRYSIYVHALPSYHANFTSESVFYRRQIPSK >Sspon.07G0003990-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:9875536:9877640:1 gene:Sspon.07G0003990-1A transcript:Sspon.07G0003990-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSGGACPHGDDAPGATSFKKLWRGMQAVYLVLVKKHQPKLAALGVHLHSLLSSSKRRGHRRRSSLAAWEREENPALMYLSCRSMDPAAAVVHPYPRGHGYRRASSSSSRHAAPSLSCRSMDPAAAVCKYQYRPREVEFSCKSTPMHKRRRENKRRQRLQQSRAAAAEQGHDDHPLSEPEHYYGSAAAVTRLFALMDVEEVAEGEAADVVGYYDDGDLDVDLDAAAAWPALAAVGYAPRQVRITDSLYLAREEDNEELKSAVDRRADEFIMWFHEQLRTQQQQRSTRNYSV >Sspon.01G0016100-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:49040575:49044821:1 gene:Sspon.01G0016100-3C transcript:Sspon.01G0016100-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAAAAPAQAPRKWEGLVDEALEREVLGACLDQAPERRRIREAFKNVQLSIGHCLFKGQYSGIGTKESYERNSRGVEIFSKCWFPENRCMRAIVCLCHGYGDTCTFFLDGVARKIASAGYGVFALDYPGFGLSEGLHGYIPSFDTLVDDVAEHFSKVKGNPEYRGLPSFLFGQSMGGAVALKVHFKQQNEWNGAILVAPMCKIADDVVPPWPIQQVLIFMAKLLPKEKLVPQKDLAELAFKEKKKQEQCSFNVIAYKDKPRLRTAVEMLKTTQEIERRLEEVSLPLIILHGEADLVTDPAVSKALYEKAKSQDKKLCLYKGAYHAILEGEPDETIFHVLDDIISWLDQHSAREEGMSL >Sspon.04G0006480-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:19379369:19379878:-1 gene:Sspon.04G0006480-3D transcript:Sspon.04G0006480-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LPEYGDRIAFPSVVALPEHDGGGGIAFPHPAYRALYDLPTSPEILFEEEARRKGLTWGEHLTLCTGVGYLEGAAAGAVAGLRRAAVEAERGEPLKLRSNRILNSCGSVGRAYGNRLGVIAMLFSATKSGVSGCRSGADDWINAAVAGVGTGALYRMPGGPRSAIVGGIVG >Sspon.05G0020970-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:86157324:86161821:1 gene:Sspon.05G0020970-3C transcript:Sspon.05G0020970-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLLEIVLVVSTILLGLALVYLYEVLWLRLEKIRKKLRRQGINGPKPTLLYGNTQEMKRIRQELKCMQRQDKDMNGYISTIFPHFLLWRKTYVCYVITWCLKIGTGPVFLYSTGLLEILHVAQPEMVKDMGHWTPSELGKPQHIMKSRKPLFGLGILIANGDLWAYEKKILAPQFFIEKIKGMIGLIVDATVPLLQSWENMLNSTGGSQEIYVDGYLRNFSADVIARACFGSNFIEGKDIFCKLRQLQKLISQQDTFVGLSALWKYLPTKRNWEIQKLDQEVRLLILDLSREHRSKSRSNAVTHMSTYDNLLHAIVDGANQCPSYSSAPEDFIVDNCKNIYFAGHETTAVTATWCLMLLAAHPDWQERARAEALEVCCGQTVLDIDVLRQLKIVRDSPHSCRSKYFFGDTH >Sspon.07G0028150-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7C:84961860:84964259:-1 gene:Sspon.07G0028150-2C transcript:Sspon.07G0028150-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRAKASSLILPARAGASAWIFPQPAILSTAFTAHASGSTAAATTTLAADPFAIANALSAAAVSTSMSAGSSLHASAIKLGVCADTFTATHLLIYYAKRGHLTSALDVFDETPRRNLVTWTAMVSAAARGGAPDLGLELFSAMVRSGFCPNEFALASALGACCQSVVVADVKLGCSLHGLAVKAGLDGNPYVGSSLMLVYTKHRRVAAVEQMFAGIATKDVACWNAMLEGYVANGRGYDAMRTVVLMHRSGMAADMFTYISAVKASSITCDLNFGRQVHGLVIQGMFQSNTSVMNTLMDMYFKAGQKETAVDIFGNIRWKDTVSWNTMISGLANDEDERATADCFVDMSRYGCKPNQVTFSVMLRLSGATESASLGLQIFGLAYCHGYSDNVLVANAVISMLSQCGLLSCAYGFFCNLGVRNIVTWNEMIAGYSLHVCSDDAMRLFRSLVCFGARPDEFTYPAVLSAFQQDHDARNHEQIHASVLKQGFASCQFVSTSLIKAKAALGSVLDTLKIIEDAGKMDLVSWGVTISAFVKYGLDKEALFIFNLFRADCPEKPDEFILATVLNACANGALIRQCRCIHSLVVRTGHSKHFCVSSALVDAYAKCGDITAAKSAFAEVSSVTEDAILYNTMLTAYANHGLIHEVLSLYQDMTHLQLAPTPATFVAVISACSHLGLVEQGKLLFSSMLSAHGMNPIRANYACLIDLLARRGLLEEAKGVIEAMPFQPWPAVWGSLMNGCRIHGNKELSVLAAQQILRMAPNSDGAYVSLSHVYAEDGDWQSAEDTRRKMAENQVQKAQ >Sspon.02G0005020-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:15754345:15756132:1 gene:Sspon.02G0005020-1A transcript:Sspon.02G0005020-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPSRSVTAHGIQQTLERLDGVDTLDCKYQNTIEWKARGKNLPCKGIAARGSPVTNAFRPDHGGAQCINPQGGTGVAILFVGLHLHHLQGLFYADDMVIFIKPAHQDLQLLSAIMTTFEQIAGLRTNMEKSKATPINCSEEDLQSVTDIFGCAVEAFPCRYLGVPLSIKRLCRSDEQPIIDAISSRIPTWKGNLLNMAGHSTLVAATLSAIPVYISIALCLLPWAIDCIDRCRRAFLWAGAQSVAGGKCRVAWRVVTIPKELGGLGIVDLCRLGIALRLRWEWLRRTDPTRSWHALPSRPEQAVHAFFQVAVHVEVGSGSSTMFWTDRWINGRSVGHIAPVLLAAVPGRLRRRTPGVEDRFVWRFGRDGVYSASSAYRAMFYGSVKLRGATQLWKAPALPKVKFFFWLAIHERCWTASRRKKRGLQDCDLCALCHQAPETMNHLLCTCVYTREVWAQLCSAISLVQPVQEEASAMEQWLGERKLLPKEQRRAFDALFLLVSWLIWKERNSQVFERFATMSAWLLPKIRDECDVWVAAEFRKLAPLIASWSQIGFM >Sspon.01G0012560-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1A:34062922:34063230:1 gene:Sspon.01G0012560-1A transcript:Sspon.01G0012560-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARVRIATVGADPPPRRRPGCGRNIFWGPRGNVRRHTRSHGYNSASIHCSCNANFCSFPVPVARRGASHKGDCAAAAEAGRDRRARRRASGARRRSFRFSSP >Sspon.03G0007570-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:20400382:20402667:1 gene:Sspon.03G0007570-1A transcript:Sspon.03G0007570-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLPRTARLALLSTPRAYSAAAAAGASPTSPAPYGGAPPPAMSKTAEFVVSKVDDLMNWARKGSIWPMTFGLACCAVEMMHAGASRYDFDRFGVIFRPSPRQSDCMIVAGTLTNKMAPALRKVYDQMPEPRWVISMGSCANGGGYYHYSYSVVRGCDRIVPVDIYVPGCPPTAEALLYGVLQLQKKINRRKDFLHWWTK >Sspon.01G0001770-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:5304438:5308325:-1 gene:Sspon.01G0001770-3C transcript:Sspon.01G0001770-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGAGGRDLPSTPTWAVAVVCAVIVLVSVAMEHGLHKLGHWFHTRQKKAMREALEKIKAELMLMGFISLLLAVGQTPISKICIPTKAGSIMLPCKAQKDDAGDSRRRLLWYPSYPGEEYGHRRFLAGAATSDDYCTKQGKVSLISTNGVHQLHIFIFVLAVFHVAYSVATMALARLKMRKWKRWEEETNSLEYQFANDPSRFRFTHQTSFVKRHLGLSSTPGVRWIVGFFRQFFASVTKVDYLTMRQGFINYHLSPNAKFNFQQYIKRLPLWFVAIFILLLDIDGIGTLIWISFIPLVILVLVGTKLEVVIMEMAHEIRDKATVIKGAPAVEPSDRFFWFNRPGWVLFLIHLTLFQNAFQMAHFVWTLLTPDLKECYHERMGLTIMKVVVGVALQVLCSYITFPLYALVTQMGSHMKKTIFEEQTAKAVMKWRKTAKDKVKQREAGGYLDGLMSADTTPSHSRATSPSRGNSPVHLLHKYKARSEEPQSAPSSPGWGQGRELGDMYPVADQQRLHRLDPERKRAASSTAIDIDIADADFSFSMR >Sspon.04G0010920-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:10945203:10946206:-1 gene:Sspon.04G0010920-1P transcript:Sspon.04G0010920-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRVLEPLVVGKVIGEVLDHFNPTVKMVVTYNSNKQVFNGHEFFPSAVAAKPRVEVQGGDLRSFFTLVMTDPDVPGPSDPYLREHLHWIVTDIPGTTDASFGREVVSYETPKPNIGIHRFIFVLFRQKCRQAVNPPSSKDRFSTRQFAEENDLGLPVAAVYFNAQRETAARRR >Sspon.01G0011220-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1A:30856015:30856312:1 gene:Sspon.01G0011220-1A transcript:Sspon.01G0011220-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLYRGPSLVGLGLGMGGTGDGDPSTMLHQYGNGTETWHLVSWETASCWLLI >Sspon.01G0024650-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1C:86459876:86460657:-1 gene:Sspon.01G0024650-2C transcript:Sspon.01G0024650-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSASPRRMSTTATPKLMSGATSPTKPRIEAHMSMTPWYPSSKQSSAANSPPRGRSNPGRTPRIDGKEFFRQARSRLSYEQFGAFLANIKELNAHKQSREETLKKAEEIFGPDNKDLYLSFQGLLNRSMP >Sspon.06G0008200-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:43117679:43118126:-1 gene:Sspon.06G0008200-1A transcript:Sspon.06G0008200-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VGMAPSRRRNNSSLFESSTIITANLIMASSSYLLSRITPRRATASPTTKMVATTMAPTWLSATSSTTRSVEPMAGCGQDGKVDQQAEMFIRSFRERTLSETARLEAATAGARPPQAQMPHVRGAGYPK >Sspon.08G0015590-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:62365813:62369537:-1 gene:Sspon.08G0015590-1A transcript:Sspon.08G0015590-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGQIHLDLPRASVPMDQFHIHKKTDEILAVRAKIERIYSCLEPDSHNGLFEMGFCFGLMDPRTNILVNLDTSRYPALATSRDPLLGREGREPSRPAGKGREPSPPAGGGGGRGREHVPPRARGVKRGREPLPLTPAEQVEAKIEKMAQRSLDGLTAFLTCLFPYLPDAEARKYLDAAGADPLVAALLIIRRRNMQFDYYNPLTTRAAFEVALRCAAVAAKHPDPLRFVKLWMVMLFEPPPLEGKGISPVTNSPHGGLPIKFIFPALGAIKTAEKTVLDRITLLDVSWDFASTRFELANPEIQASLELLPPARAHMKRMLLAKIHGFYIQALGRLPKVELRSCYHRSMLMGGYCYGPLDPVSNIIVNTIWYEQHFPSGKQFEVSMISTQLLWRIVARSLYGLISFLCTRHQGITPDQAIKCLLVTNVYLPAATRTASLDDSPDLPLPASFADAYAAAGAAAFHCSPLAQSEFLTSQIGDAYESFPLNYKDGYPLSSEDIRSICRVLETCSSSSGTHQQQAIAPTKVKKRVYANMCQCSDSFWGQHDRITSMVSDALDKFNATAVDGRLFELHIIFGVNEFVSGPVRSLDGKPGKYNPWSHDKYFHSHINFLAVRRDRPFDSPTLFFAECGKEGDNTCCCVPVIPQKPEGEKPRCVYCEHEANRIIHPTAMEGWFHGRDEFDELFYLSDRRFYNNDEIIKYKRIYVDWVHGLSDGAIYLNYYPDEDDDGGDEADWIEIY >Sspon.05G0005060-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:10415705:10417631:-1 gene:Sspon.05G0005060-2B transcript:Sspon.05G0005060-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNADVEAGAPARAAPATTGIEPPPGRYSMVSNAPYVPPSPFYYDHAAAHERYHWSWLVPLVVIANVAMFVVVMFYNNCPRSGGDCVGRGFLRRFSFQPLKENPLLGPTAATLQRYGALDWYKVVHGNQAWRLESCTWLHAGLIHLLANMISLIFIGVRLEQQFGFWKVGLVYLVSGFGGSVLSVLFIRKGVSVGASGALFGLLGAMLSELITNWSIYTNRVGVIPMLNLIIIAAINLAIGILPHVDNFAHIGGFATGFLLGFVLLIQPQFGWLEQPFGAKTKSKYKAYQIILLLAALVLLAAGFAVGLVMVFRGENGNDHCGWCHYLTCVPTSSWKCDN >Sspon.02G0014740-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:33350818:33354910:-1 gene:Sspon.02G0014740-3D transcript:Sspon.02G0014740-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding APFSHSPDVLADVAIAAAANTRAGQEGLLNLIPAKPRDFTVRGTRLLFTSVSRAERAEGMFPMASPLPPLSLILLRPLPCRPVLLSRQRKPSPTVRVEARSEQRLQRRPVTGRWRRSWRRGGGFACFSYNAKNKTPPPSDKSSDEWAIVRRWDVPWEWQTVVLSMIGCGVSELLKFNLIVQHSECSLDSFVLTGLVEQSALQYLGFKAVEATIDEKAEILFFGQLSVTVVVLGVIFGITNTFRPFPDDVFRYDINEPFKLQNGWLLWAGVGLFGAIISIALAGAAMTYLNGETPERETDSLVLLLPLIGSSTTSTAFLVGITGVLAPLLEETLFRGFLMVSLTKWFPTPFCVLVSAAVFALAHLTPGQFPQLFILGVALGFSYAQTRNLLTPITIHAFWNSGVILLLTFLQLQGYDLKELLGAS >Sspon.02G0030670-3C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:107956884:107957945:1 gene:Sspon.02G0030670-3C transcript:Sspon.02G0030670-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMSPSNPMRKYSWWWDSHISPKNSKWLQENLTDMDSKIKVMIKIIDEDADSFARRAEMYYKRRPELMSLLEELYRAYRALAERYDHAAGELRQAHKKMAEAFPDEFQLDFDDDLPTETASTETETDNRDMTPFFLSFIKAGGDSKKRTK >Sspon.06G0034760-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:53635914:53641367:1 gene:Sspon.06G0034760-1D transcript:Sspon.06G0034760-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSDMSDQHHHHHATREDAPEANGYHRSPHGADHLEQSQAADADKHINHLSTDDNVPSNASGHRHGRISRHASTSSVDDRSVKSGDDSDGAESTNGRSSNTEISFIENDTIWIPPQAADKEDEAQSFATSIAYDDDDDDYSDGIKWGQSSFPSPGKQYDSGTSNHREEREKAMLEAMNGQLKILVSRFLASAGIPSSNEEGSDSWLEIVTSLSWEAALLIKPDGSMGKEMDPGLVFKKNAAHKHMPTNCHNPRLLLLKGVLGHSDVGLSSFSSMDQEKDLLERAIGKMMEICSPNVVLVEKTVSRNIQELLLKEGVTLILDMKLNRLQRIARCTGSPIISFSEVLDKPKLKQCDYFHIEKFIEEHNNASEGGKMTSKTLMFLEGFPRPLGCTILLKGANSEELKKVKQVMHFTVFAAYHLILETSFFEDQRVFLNDKSTPKETSVTATEGTSPTAYDVAALSGAIPSFPSHDDSPALRLFHATSNSYADVNKPLTSPRNVDVFSSVSSSSANDLEQGARLNNTERLTLPVQGPLRKLFADMLHQNIYLPVTSLQEANDNKKEVRAESSQETVSNGFHRPKVEESAVSIENGESINDAQKQEITQAIMPSSSSVSDKNGESPVMEDNGAHSTTSIVIKEKYVDDDQADDALDSHSILILMSSQCTEKQVICEQSHLTRIKYYGSFDVSLGRYLQDILQNQKLSCSSCGEPPESHMYSYTHRNGNLTVLVKRLVPQHHLPGESEGKIWMWTRCSRCDHEHGVSKPTPRVLISAEARNLSFGKFLELSFSSHSAARRLSICGHLVNRDCLRFFGLGSKVAMFRYSSVEIYATCKPQPTLQFVNPIRQDWFEGQRRNVCYCTMVPDTYAPSFKIHAKGMALFSGVARFLQNLKNEHPDAITLAINCGLALPVKDFTELEELLIKEKAQFESSVDKAADQNGILSSSVHELLNINWYYQDLLLELYIWDRRLHQLFYCKSVQLESVANYKNPADTVDGIYGNNSGIDKKISESNDKTTIALGVASTTESASNKLDPQSGDAATPLLDESQEAGHSELTCNGGSKAEESSIAHGQIKVDGTIESTNNPCFEISNDKEVQVNDTVADPIPMEQEPCSTPHQFKYPYCDERERWIWNSIAESQLAYRNDIQIGYLEKFELINNYLPHYLPPLFEQHDEAYSPQFAVGPGGNILCIMEDEISSIIARALAISDERRHLIDLKFENGMDYSKGEHAKAMEKSFSFLSESSFSSSPWSSTDSEANLSSLSSFSSDDFSGYDSSSLLSPMHPEMTVNGKVTLRGKYSVTVVYDNQFFALRKKCCPSELAYITSLSRCKKWNAQGGKSKAYFAKTTDDRFIIKQIKKTEFESFIKFAPDYFKHVYHSWTLEAKLALPRY >Sspon.07G0014460-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:52377024:52379034:-1 gene:Sspon.07G0014460-1A transcript:Sspon.07G0014460-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHHASPSSSAAARPGTEEFPVDIVSDEEMALIDAALAAAAAGARPLLSAAARRAAAPLSCAAYPPAAGDIEDTPLPWRSRLARFRERRALAVTDITATEWCEKQMEFVLERGKPEKTVAMKVGSDRHAQLEQEVVERVDVAIRSAEELWAVKFMNFIVGTNQLMFEGMTREIPVIGVVEGSWMIGIIDEIQMPMIGIPWQPMLVDTKTRSTPTVPSEAQKRNGRLQLMCYKYLWDNLTTEKFPSDNFFSYFDLDPNYLLSDDVKGYISSLGFDAKTFEDVLKYFKVTCHTLPRSQEQLLLRYELQEDHSLLEEYRFTYDARWFKDKIQEVLSFWIGSREPKFVAEEERWKCRFCKFVSDCPMIASMP >Sspon.06G0013900-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:54537017:54541367:-1 gene:Sspon.06G0013900-4D transcript:Sspon.06G0013900-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNARRPAADSPAASDREVGFAKLQGECFEYYMQTYSIVLGRHSRRSSKGPAAPPPEADDGVDVDLGALGGGMNVSRRHARIFYDFPRRRFALEVLGKNGCLVEGVHHIPGSAPVKLDSQDLLQMGDAKFYFLLPSRSVFDAGVPRRASAVQRAIPPPPSDDDEDEDEQGEAVAAAKRRRDGDNGSKSYREADDQLLLQLEEKDVISSAATILSDLCGPKEWISMNKLHEVMFDKYGNMWHHSRVRKYLTSEDFPETETDGRPWHGLVLLLRKYPEHFVINIRKGGGFSTEFVSLVSLQS >Sspon.07G0028110-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:83279928:83285238:1 gene:Sspon.07G0028110-2D transcript:Sspon.07G0028110-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKRRRSSPTSPSPPPSSVERSPPATRSRSRAARSGTSTPSGMAPTVPQEVESSGSRSTRRSYSARRPRAMPASAAAPSSPEVAAAGDSIGAKLVTPEPASASTAGGEELTAPASPAGGEEVEPMLEPEDTAAAGAFLPNYSPLRMPYLLEQDSNGQLCEEQSPDPDPVLMEPYRKAEIEYFDKIAQITKLPTLDSDTATSPNIIQESEADTILKASEFGLGLSSYIDGELLRNCSGILMEWSKGAGTILTTSQLICSRSPNVDEWLGGDEYALNAELLHKDDFGKGELMHLDKQYGFALFRVLMDEPKKLPRFSNERHVPRIHLGMKLFGIKCLNLVSKEKISRKYNVDAGLIVKEVSAESNAEKLGVRMGDIILSVNGEVIATAVELENKLLDISKALLEKGIVPGSGVDVTLALGVFNTAKGARGRILLRAKLSNDEEIIEEVLLRTEMVGLQCYDGYTIENENGCIITST >Sspon.07G0007630-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:16273489:16280023:-1 gene:Sspon.07G0007630-2P transcript:Sspon.07G0007630-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATEASTSAAGGSWVEGMSADNIKGLVLALSSSFFIGASFIVKKKGLKKAGASGVRAGVGGYSYLYEPLWWAGMITMIVGEVANFAAYAFAPAILVTPLGALSIIISAALADIMLKEKLHIFGILGCVLCVVGSTTIVLHAPQERTIESVAEVWDLATEPAFLSYAVIVLAATFVLIYYFIPQYGQTHIMVYIGVCSLVGSLSVMSVKALGIALKLTFSGMNQLIYPQTWLFTIVVVACIVTQMNYLNKALDTFNTAVVSPIYYTMFTSLTILASVIMFKDWDRQNPTQIVTEMCGFVTILSGTFLLHKTKDMVDGLPPTLPVRIPKHADDAAEGIPLRSAAEGIPLRSPRATESFRSTL >Sspon.08G0030260-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8D:56604048:56604317:1 gene:Sspon.08G0030260-1D transcript:Sspon.08G0030260-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHLSQKQKNESPLAHHYPRLSPTARLSAIAPCPPPTTPRPIAGCAARPSQSPVLLAPSPSVRPSPIAVARATDSAMALGAHVSQVFPG >Sspon.02G0007340-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:15529259:15533477:1 gene:Sspon.02G0007340-3D transcript:Sspon.02G0007340-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:COP9 signalosome complex subunit 7 [Source:Projected from Arabidopsis thaliana (AT1G02090) UniProtKB/Swiss-Prot;Acc:Q94JU3] MAMDAERRQAELIAQFSAQAAALSSAPQLAALVLEATSHPALFAFSELLTLPALSKLAGTQYASSLDLLRLFAYGTLKDYKSNSGSLPALLPDQVRKLKQLSVLTLAESTKILPYDQLMQELDVSNVRELEDFLINECMYSGIVRGKLDQLRRCFEVQFAAGRDLTPDQLTNMIETLSDWLGTSDSLLHQIQEKIKWADTMSEVNKKHQKEFEDRVEEAKKSIKADIDLRGHDDFLSESGGIMDFEEDRVRPKSKWRMAEIALL >Sspon.01G0011860-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:32116239:32118642:-1 gene:Sspon.01G0011860-1A transcript:Sspon.01G0011860-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LVKIGTWGSDDHGSAYDITVAPQRLESISLRWGKIIDCISFSYRDRDGKLHTAGPWGGTGGVSEDTITLGASEYVTEVAGSVGPIGELTHTITSLKFVTNRATYGPFGRGDGTAFNVPVLNNGSVSSVVKIGTWGSGDHGSAYDITVAPQRLESISLRHGFIVDCISFSYRDRDGKLHTAGPWGGTGGLNEDTITLGPDEYVTEVAGAVGPFGELTHTVAWLKFVTNRATYGPFGHGDGTPFNIPVLNNGSIVGMFARADQYLDAIGFY >Sspon.08G0024360-2D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8D:65349905:65350579:1 gene:Sspon.08G0024360-2D transcript:Sspon.08G0024360-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALTLALELESSAAGGGGGVGDVDFDNSHSHSHSSSRRERMFEKVVTPSDVGKLNRLVVPKQFAERHLPLRGAAARSRGTVLCFHDARGGTAWRFRYCYWSSSQSYVMTKGWNRYVRDKRLAAGDTVTFCRDGPRLFIDCQRRRTRAVEQGAVPAVVVPMILAVPPTTRHQQQPQSLVVFPAAGHHQHQQAEKVAAVEEAEAEEEETRRQRGRWLRLFGVNLLEL >Sspon.06G0013470-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:70330916:70335321:1 gene:Sspon.06G0013470-1A transcript:Sspon.06G0013470-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDDAAAAGSSVLGRAVDEVRSALNEHADVVADLFGRVSSELRTGFAPAVDSFIGFFHAVDWKLILSALTFSGVFLAERLNTFLGQNWKSFSTQNYFDPQGLFISVIWSGPLLLITILILVNTLVTLCMLIVRWKRTELRHRARQARNKQD >Sspon.02G0004510-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:14302600:14305364:1 gene:Sspon.02G0004510-1A transcript:Sspon.02G0004510-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-conjugating enzyme COP10 [Source:Projected from Arabidopsis thaliana (AT3G13550) UniProtKB/TrEMBL;Acc:Q0WNL1] MSSSSSFPNSRFPFASGAGAPGRSGGGGGSSVRPWGSSGGTSVSSSGKRIQKELLDLNASDCSAGPKGDNLYHWLSTIIGPQGSPYEGGVFFLDIVFPPDYPFKPPMVTFKTRIYHCNIDSTGKVHLDILKDGWSPAFTISKVLLAIKDIISNPDPYTPLVMSISRQYLTDRAKHDEIAAEWTMRFAR >Sspon.05G0005000-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:15520989:15524720:1 gene:Sspon.05G0005000-1A transcript:Sspon.05G0005000-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATAPEKRPPRSLFEVASDFFDSSVLLRAHPSTASSAVEPSEPSRPPPPTTTQQQQQQLSEASGSRWTCNTCAAEFESLLEQREHFKSDLHRLNVKLSIAGKTIIKEEDLDKADSDSLFDDLEISSVSGSEDEQENGPASERVLSVKGKEEFKKKLYFRCASGDTISIYRCMLLKEHEEPLIDCKSGQMENASCVQEEEMINRVKRLTCEPRDASHLRIVLLTSGGHFAGCVFDGNSIVAHKTFHRYVVRAKAGKRQSGKDATGKVAHSAGSSLRRYNEAALKKEVQELIVSWKSYFETCVCAFIYAPSKNRQMLFDGEKTQSVIQSCDIRPVPLTVHRPTLKEAKRVYCNLTQLYYEMECSTTDETLPDAGSVKNAEESQGKKKEVAADPEESISNLSASLELLNKNEAATIPSSKNETTPLHEAAKCGNAQLTLELLEQGLDPCIKDARGKTPYSLASDKEVRNTFRRFMALNLDKWDWHAADVPSALTKEMEESQAAKQADKDAKRKARAKELKKLKKAREKEEKEKEKAKAQASQSQTDVKGTSSGQMANRTASVPGLKPKHQTPQQILIAKEEERQRKLAEDRDKRAAAAERRLAALAAQSAGAGASGAAATNCSAQRAVPDDNSCSCCFASLAGKVPFHRYNYKYCSTTCMHLHSEMLQDD >Sspon.02G0049840-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2C:43744163:43744779:-1 gene:Sspon.02G0049840-1C transcript:Sspon.02G0049840-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding QVAISRGLNGIGLALVVPSIQSLVADSTDDGTHGSVFGWLQLASSLGLISGGFVGLLLAQTTVLGIDGWRIAFYLMAAISVAVGILNWFLAVDPHFPRRDGKQVATAREVIAEMIEEAKFVVRIPTFQIFMAQGVSGSFPWSALSFVSMWLELKGFSHNDTAVLMTIFWVASSLGGLSGARWATSSPCGTPMPAGSSSPKSVPSR >Sspon.04G0029110-3D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4D:76726930:76730234:-1 gene:Sspon.04G0029110-3D transcript:Sspon.04G0029110-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRNQYDTDVTTWSPQGRLFQVEYAMEAVKQGSACVGLCSRTHAVLAAVNKPASELSSYQRKVFRVAEHAGVALAGLTADGRVLSRFLRNECINHSFVYEAPLPISRLALKLADKAQVCTQRSWKRPYGVGLLVAGLDESGAHLYYNCPSGNYFEYQAFAIGSRSQAAKTFLERRFQGYKDYTPEQLIKDALSAIKETLQGEKLSSSNCTVAIVGRKDDGTIEPFEMIGAARIQELIDSMEVAEEAPAEAASSMQEEERGSEDAAAPMDI >Sspon.02G0032760-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:102980364:102981930:-1 gene:Sspon.02G0032760-1P transcript:Sspon.02G0032760-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLTCFEEMVEHDKYTCPICSKTALDLTRHLEMLDQEIEATIMPPVYRYKIWVLCNDCNKVSEVNFHVIGHKCSHCSSYNTRSTSRPADSSGSSSPSTDSSDNNM >Sspon.01G0019500-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1B:78443128:78443646:-1 gene:Sspon.01G0019500-2B transcript:Sspon.01G0019500-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTQGTTVNKTDTTASRTAQATKKPSSSGPATAAPSHGLTDAENGMSLATTKSLNSWAWSCTSAKNQRQSICTMASRVAHRRNANPVSLLAPLAAPVRRSTRRRPGTWCPATDANTAPSAKECTAMYPRIAKICAEESSAMRLMMAAASRLVRSSRPSSLPRGEAGFGGAVS >Sspon.07G0006000-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:30412085:30422421:1 gene:Sspon.07G0006000-2B transcript:Sspon.07G0006000-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKTRGMGAGRKLKTHRRNQRWADKAYKKSHLGNEWKKPFAGSSHAKGIVLEKMLSGIEAKQPNSAIRKCARVQLVKNGKKIAAFVPNDGCLNYIEENDEVLIAGFGRKGHAVGDIPGVRFKVVKVSGVSLLALFKEKKEKPRFRMLPTLKYELINLLQGRPLQQKHIAAPWLQVKPENQKQKHNWTGMNFLTSEHFIENLHEKVKVNEH >Sspon.04G0026190-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:38973380:38977718:-1 gene:Sspon.04G0026190-1B transcript:Sspon.04G0026190-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLWPPKKHARVRFLPVPGSVGPFLKTKVNRTSLPLINELSDSSGGVAYPWPCPPAGIFQIKKGQPERILQDLVGGRELRLVSSVVAGFHINLLSVIREGRGKKPLAYTRDGDAWVIDVGKYISESAFISKGFPLNSINQGISGYKNLSPSCKLRVLNFLCDETLSTDKLRNWIDMQNDIAAEPMNAAREKARAAKEMEKELKERLKDNMDKTMLSPNEAAAFSSEENKYLISQIKEAQEAKRAAINGLNNMIGYV >Sspon.06G0023230-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:42945363:42952926:1 gene:Sspon.06G0023230-2C transcript:Sspon.06G0023230-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLGEGMNHFPRVPPPEVKPKGGLYGISTKRCAVVHFATSVAAQMALYQLTGCCLMGRPLKFAWFDKNSYDLNRDALPVMELLPGRVPRTVCVVGFDTSLSITQIRSMLRSHFRLNRLQSGRIITQGIQMAQAQALERDGLDLGDGRKLCVTKWLELLSFPWHYKEKGGGSVGGGSGSHVDAANWGTPSTGKRTVFETEF >Sspon.04G0021830-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:5050954:5051935:1 gene:Sspon.04G0021830-3D transcript:Sspon.04G0021830-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGACAVAVPVATPAAPPRGGAGPSSNLAPRGSSFSRRVNCRRDSPRVVAPTRSRVITRLAGRDPGEAGTDAGVGQILKEDSGYLWTLVLGSLSGAAVIKYGSILLPDITRPNIVVALLMVSLPVVAAVLVLLKASSAD >Sspon.08G0011810-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4B:4969757:4973212:1 gene:Sspon.08G0011810-2B transcript:Sspon.08G0011810-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVKRSRSPRAPAEDDKKRAGAWRGSGVRPEMVLVGFLLTLPLLFLVFGGRWGSTSFPASSPATSSPPVVSKPVARHVDAGGRGTTPQGQKPVALNNVSASTATSVSQDKFLGGLLSAAFDESSCQSRYKSNLYRKTSPFPLSPYLVQKLRKYEAYHKKCGPGTKRYRRAVKQLTAGRNADNSECKYVVWFPCNGLGNRMLTIASTFLYALLTDRVLLMHVSAEQEGLFCEPFPGSSWVLPGNFPQNNPHKLHIGAPESYANMLKNNVVRNDVDPASVPASSLPPYVYLHVEQFQLKLSDNVFCDEDQAMLGKFNWMILKSDSYFAPALFLTPMFEAELAKMFPQKEAVFHHLGRYLFHPTNRVWGIIKRYYEAYLARVDEKIGFQIRIFPEKPIKFENMYDQLMRCIREQRLLPEIGTAETTTTNATADAGNGKVKAVLIASLYSGYYEKIRGMYYESPTKSGEIVAVFQPSHEEQQQYTSNEHNQKALAEIYLLSYCDKITMSAWSTFGYVAYSFAGVKPWILLRPDWNRETSDVACVRSASVEPCLHSPPILGCRAKRDVDVAAVKPYVRHCED >Sspon.07G0000520-4D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7D:782840:784171:-1 gene:Sspon.07G0000520-4D transcript:Sspon.07G0000520-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GILKDGTEIAVKRIGSGSPVTPDKQFQNEVGNLMAVRHDNIVKLVGYCYEMKKTVVEHNGRYIVADTVESLLCYEYMKMGSLDNHIFDFGLSRLFGAEQTRMHTLNVVGAHGYMAPEYLYRGEISTQLDIYSLGLLIIEITTGERNPRSKEDMTARNLVENVRQNWTIEHIRSKYPSLDDISLQQVKACIEIGLECVEIERKKRPPIEHIVSWLDGRPVV >Sspon.01G0009130-1T-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1C:30807762:30808622:1 gene:Sspon.01G0009130-1T transcript:Sspon.01G0009130-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVEDSSQDLLQAYVELWHQSLCYAKSMALAVALDLRIPDAIHHHGGGATLPQILAQTALHPNKLRALRSLMRMLTVFGTFTVQQPPTSGDDSTVDASGEAVYRLTAASRFLVSDEVSSATLASFVGLALHQIAVAPHTLGLCAWFRQEQNEPSAYALAFRQPTPTIWQHADDVNALLNKGMVQDSRFLLPIVVRECGEVFRGIDSLIDVAGGHGGASAIIAAAFPHLKCSVLDLPHVVAGAPSDGNVQFVAGNMFESIPPATAVFLKVNPSLLTGPPFFIVFAF >Sspon.04G0026360-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:42378279:42391618:1 gene:Sspon.04G0026360-1B transcript:Sspon.04G0026360-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVVARSRAHQRHQVLVVAGKKSQKYQYGWPVISNSELLMSSVQFSTWQDETQGCCCSLPAATLLLLPLLDDTT >Sspon.01G0023530-4D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:82154317:82158767:1 gene:Sspon.01G0023530-4D transcript:Sspon.01G0023530-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GENSGSLDLHKCRAVQQVHQVLSDCGGPSSSKPTLYVVLGGTCLTPAQDNVVQERAMAITAEVSIFVATMNKKILGYNNEAFILDFSDAAEYLPDGKQALTLCRQGHGRAWRTNLHNRLMRMARLTKWYSYLVAILFAHFSERRFFSASLSSWWGFFAKVVPNRFVKHFGEKLSGTIKLESPNANVYDVEVSEHLNKLMLRHGWEAFVDANHIEENDFLIFRHIEKSHFEVLIFDTDGCEKVFSCAGLRNTPCVQEQSVDSIRISSSSCHDTTESSGSERSARSEKSGSSNHGKTAKMAATLSSSEESGYTVKH >Sspon.04G0016120-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4A:59273722:59274240:1 gene:Sspon.04G0016120-1A transcript:Sspon.04G0016120-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTLSCIHGNSGSVQSQQPAPPEPARVIALDGTLKELPASDPLASVSDVLGSGASFFVCNSDALYFNEPPPALAAAERLRPGQMYFVLPAEMLGRRLSTADMAALAVRATSALGTGDKPPRRRRRRRVVPVTRLEGKDGDEQSMFYETLNELTLGGSAVFTASARSDEKVGA >Sspon.04G0020490-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4B:75014330:75014964:1 gene:Sspon.04G0020490-2B transcript:Sspon.04G0020490-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAQGVLEDRLELLKGVSGSFRPSVLTALMGVSGAGKTTMMDVLARRKTGYYLVDSCLSLDVQIFIEEVMELVKLKPLRNALVGLPGVNGLSTEQRKRLTIAVELVANQLLPMKCGGQEIYAGPLGHYSSELIKYFK >Sspon.06G0011400-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:49708202:49710953:1 gene:Sspon.06G0011400-1T transcript:Sspon.06G0011400-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRVKIGHWGGRGGQQRDVQYDPIQLVRVIVYSEGQAINGISFTYMDKHGQHHEEGPWGGADEDETPHRDIELSHADLKEISGTCGKVGNMNNIITSLRFVTNKGKTYTFGNSTGTPFHVPMQEGKIIGFFGRAGDYLDALGIYCAA >Sspon.07G0010730-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:32174808:32175464:-1 gene:Sspon.07G0010730-2D transcript:Sspon.07G0010730-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSASGLPPQYYAAAGSGHRSSSSPAASCVVAVLFLLLAAGGAAAALFVLFRPRAPAIAVTTVQLPAFAVANGTVAFTFQQLASVRNPNRSPLAHYDSSLHVAYAGGEVGSMYIPAGQIDGGRTQYMATSFTVPAFAVSVSAAAAAAQPTTISVPASGPSPHVTAALVQGPVMEVDSLLRVKGKVTVLKVFTHHVEAAKVCRIGVSPADGRVLGFRC >Sspon.05G0017370-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:72429645:72434432:1 gene:Sspon.05G0017370-1A transcript:Sspon.05G0017370-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLTGAATERHVAGVHGARQHVGDYVIRSDLRWCPTFHKLKTLVLNDHWCKPVDCTPLACILEHAPVLEKLTIEFSQQVKCNYKMEMKGHRHAIEESTKISQHLKIVEIKCETVNETVLNVLNFMGTLNICDKAPQGLTTRNLSCVPSYETKPC >Sspon.04G0021870-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:5733909:5735489:1 gene:Sspon.04G0021870-2D transcript:Sspon.04G0021870-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGAAVAPSASAGKRQDYPGSLTLFVFMACMVAATGGLIFGYDIGISGGVTSMDPFLSRFFPSVYRKQAESADGGNQYCKFDSQLLTLFTSSLYVAALIASLFAASVTRAAGRKWSMFAGGVTFLAGCALNGAAVNVAMLILGRVLLGVGVGFANQSVPVYLSEMAPGRMRGMLNNGFQLMITLGILIANLINYGTAKIAGGWGWRLSLGLAAVPAAIITVGSLFLPDTPNSLLERGRPEEAKRMLRRVRGTDDVAAEYDDLVAAGEASRAVTHPWRDIRQRRYRPQLVMAVAIPLFQQLTGINVIMFYAPVLFKTLGFGGTASLMSAVITGLVNLVSTLVSVFTVDRVGRRALFLEGGAQMLAAQVAVGALIGAKFGWSGVATVPAGYAAATVVVMCVYVAGFAWSWGPLGWLVPSEVMPLEVRPAGQSITVAVNMLMTFAVAQAFLPMLCRLKFVLFFFFAACVVVMTLFIALFLPETKGVPIEDMAGVWKTHWYWKRFVNDGDDADGHGFDIEMGCVGEAKK >Sspon.06G0006080-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6D:19118952:19120336:1 gene:Sspon.06G0006080-2D transcript:Sspon.06G0006080-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPCAHAPSDLHIFAVRTLSKTCSIWICILYPIHHSPDCFGEMHPFLRPRWNQRDVSKARRDRAWLLAPASESAQPDVTRDGDLCDADALLAGGCQGESWEIVCLFGTKIARGGGV >Sspon.02G0035900-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:17590255:17593885:1 gene:Sspon.02G0035900-2D transcript:Sspon.02G0035900-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHAVSSRNGWMIKERRRPGKRLFVSGANNNLRTPVSAVLHGSKPSLSSPLAAPAAPPDLHQSTSMTRLLLFPSQACVDPGRHLLLHPPVSRPRAVRSGPPPAEPRRAGVVSPPPLCWSHHPFLPCRSSKRVGVVFAAEIAEEISSQPPGKEERRGGNLLLQFGALPCCTMAWLSTAQLAHSSVGGKLNMVYEVGELFELGIQLSYLLILLGLLGAGTFFVIRQVLVRRELDLSAKELQEQVRSGDASATEYFELGAVMLRRKFYPAAIKYLQQAIDKWDRDEQDLAQVYNALGVSYKRDNKLDKAIQQFQKAVELQPGYVTAWNNLGDAYEQKKDLKLALKAFEEVLLFDPNNKVARPRVDDLRPRVSMYKGVPVKSEKR >Sspon.06G0001990-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:6737314:6741955:-1 gene:Sspon.06G0001990-1P transcript:Sspon.06G0001990-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LLAVQKAMQKPMQTPPHYPTSGGYMGPGTPPSMYLGGGAPPYGSSMFNGPAMPRYGIPQFPGGSAYPYGYGGRIPMGSPYGPIQMAGPTPYSGGSMMGAGGMYGMPMDRYGPIPAGPGPMGTRAGSYSDEGSQKKPAGVGRDNDWECPNCHNINFGFRTVCNMRKCNTPRPENQGSKPDGLRGSRPKMPEGSWKCEQCNNINYPFRTKCNRPQCGAEKPSQTNNVNDSVTDQDNQ >Sspon.02G0002690-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:8888083:8896780:-1 gene:Sspon.02G0002690-1A transcript:Sspon.02G0002690-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEGPSVVTVHVTGFKKFHGVAENPTEKIVTNLNSFLEKRGLPKNLVLGSCTVLETAGQGALPTLYKVLESAIADRGTGSSAQGQTTLPVNELTKSLRKIGYDVVPSDDAGRFVCNYVYYHSLRFAEQHGIKSLFVHVPLFLTIDEEVQMHFAASLLEVLASSSN >Sspon.07G0009940-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:27500016:27501502:1 gene:Sspon.07G0009940-2B transcript:Sspon.07G0009940-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSKNHTAHNQSYKAHKNGIKKPKRHRQTSTKGMDPKFLRNLRYSRKGNKKSGEAEAEE >Sspon.05G0016650-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:68411207:68412120:-1 gene:Sspon.05G0016650-1A transcript:Sspon.05G0016650-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSSSAPGGYYSGPPAAPHDKHKAPPSGPDPADGQVYASVPEQAATTPAALLRNLAPAAARAPLLLRNRASSPAVVRASLAAKRQDRVSVGLGPRTMDGRHTAVTVLGRCKFVQIYFLSKLKYIFSAVALSIVSVVCTMQKQSQ >Sspon.01G0004200-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:10023621:10025225:-1 gene:Sspon.01G0004200-2P transcript:Sspon.01G0004200-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding PSSNRRGTVVPKEVSDEGAFHRFVRLLLAFIVKVFAFFHIVYSQQETRANNPLPLAEPEPISDDHPAVETFSVDHISLVIERLQRLEGKVDELGSKPPAIPMEKERSLLDSWNRIKCIESDLERTKKVLEATVMKQLEIAESLEEVIRSNLRRKERVRVTQSADAEKRGNDEAERAKKRCVIRMGLQAIVGRKPNATVFGPEWIHCVLRSACVRLLQGLCAVYRESNCMNS >Sspon.03G0028170-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:8342988:8345428:1 gene:Sspon.03G0028170-1B transcript:Sspon.03G0028170-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ELWEWQSQEYDLQKDLLAAPCSSLWAEASNNMGDDWSMFDEQTPIKHCTDFEFQFCDIGEIIVKDFEEGKETLQAKRRRMLQFCPENAEMTCSMTEDGLSESLQEMDFSGIPSIVTARVLVFRMGVFFSIPNYSGNSGTDCLLNSDGIDELPEEWLVDCSQDIEPCAEEMYLLIDERYAYFSVHSNSSPHQQPIVVHNNPAQARPTPLKAGKNIIGSKKVRTAVAFPFELIKPCSFSGDITLNDINKKIHAPPPYKIRHKSDEEPNSLQASAMTGKPVFHKTKIHTEGGKGSITITRTRG >Sspon.03G0037060-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3B:96454289:96456631:-1 gene:Sspon.03G0037060-1B transcript:Sspon.03G0037060-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding KRARARSECSRYANAHEMDRGRTVALALALALAPSKRKRGEHPVDRQLLQTRHLDYVPTRTRHARRNTSTALRLARSTLDVAPRLSGNLRLARPRVGPLDPPYSPTLRQKSEHLMRLPTTSNHHGYSSNWRGSSAGVKSHFLLPIPGWEVKACHYTHHTVHYFATDRPRGRIRQGPYPAISGTRPYPLTVEPSSTRARPGLDPASTHRRSTRKKGRRGTGLGVFSPSRPLRHHGVQGWPAILRARRYSHLHRDRQNFTSKAPPASLPPLTL >Sspon.01G0026130-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:89829996:89833949:-1 gene:Sspon.01G0026130-2C transcript:Sspon.01G0026130-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGVGYRCDGICLECGSATKSTRLFCKARVVTEEGVGANALEWTIANRQPNFELQNISSNRKDGPHLLARSEEITHRKLRGRIGVRKKMEVMQQDDEALVKLENAGIERSKAVDSAVLGKYSIWRRENENEKADSRVRLMRDQMIMARIYSVLAKSRDKLDLYQELLARLKESQRSLGEATADAELPKSASDRIKAMGQVLSKSRDLLYDCKEITERLRAMLQSADEQVRSLKKQSTFLSQLAAKTIPNGIHCLSMRLTIDYYLLSPEKRKFPNSENLENPDLYHYALFSDNVLAASVVVNSTIMNAKEPEKHVFHLVTDKLNFGAMNMWFLLNPPGDATIHVENVDDFKWLNSSYCPVLRQLESAAMREYYFKAGPKTLSAGSSNLKYRNPKYLSMLNHLRFYLPQVYPKLNKILFLDDDIVVQRDLTGLWEVDLNGNVNGAVETCGESFHRFDKYLNFSNPNISQNFDPNACGWAYGMNMFDLEEWKKKDITGIYHKWQNMNENRLLWKLGTLPPGLLTFYKLTHPLDKSWHVLGLGYNPTIERSEIDNAAVIHYNGNMKPWLEIAMTKYRPYWTKYINYEHPYIHGCKISQ >Sspon.02G0025420-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:88120375:88125910:-1 gene:Sspon.02G0025420-1A transcript:Sspon.02G0025420-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding PPPQLMDELVEEILLRLPPDSPASLVRAGLICKRWCHLVSDPGFQRRFRECAWSEPTFSLPHSGGDWIGWLHSSQLVGNALYFVPVLRDFTFSILQYDLGTREITAINPPKAAHMDRIFQLIATKDGRLGFAGVEETKLSLWSREVVGGRWALCQVIDLEKLFPGASCPGLSGSAEDTGVVFLGVRCRLVSIDLWSGLDARHGRILLSSMGKGKALVVWDPITEEEWKVPFPRLPPQYKASFSFTAAVLCSATGAGGCEHVDCRRRPFIVVFACVVFRVPDVVDTVIWTYSSDATAWSEPTFSRQPGYLFNPRIRSALVGNTLYFGILNNRTALKCNVQLRQMSWIQLPSSMPLYRPTLLTTTEDGGLGLATEHESKLYVWSRKDATWEQSRVIELKMLLPVDADFTTLDVVGSTDDLGILFMRVDDVVYAIDLKTYKGKKVYEGRVNAIVPFMSFYTPGI >Sspon.02G0003010-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:10039472:10041925:-1 gene:Sspon.02G0003010-1A transcript:Sspon.02G0003010-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFEYVPASPGSSRWAGESAARRRQRRLSSPSLRTYLTPAFDAVAGGEGGVSGYSSSSSSGGLELGFDASLLRYRRSCFAASADLDSRVLLYSPQSMPPPPPQMRAAYLAADDGVWAPGVSHYGSKHEAGRLTGAPVFQDSENRISFVSPPQTSSNLPTTVLGASTSVKLPAELKLPEGSVVATNAELPMPGPEATPSTLKSSADPEPAVEGDEITEALYGDSGRRRLPIFREICPE >Sspon.06G0020530-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:10687118:10688279:-1 gene:Sspon.06G0020530-2C transcript:Sspon.06G0020530-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMEAAAIFGTASASFSPAPSSSRSPGGGRSISRLPARVAGAVVRSLLTVVFAAVGMVLGAVTGALIGLATESGLVRGAGIGAISGAVVSMEVVDSSVAIWRSGESGIWSVLYVLDVLWSLLTGRLVREKVDPAVQSAVDSQMNAADSGDDMAPTLADMFETGAAAAAAIAALPVTAFTESTVADASGEPIGCSVCLQDFEAGETARSLP >Sspon.02G0019250-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:62610544:62614082:1 gene:Sspon.02G0019250-1A transcript:Sspon.02G0019250-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFRSIEQLLRRNSKTKISRNIVDGVHDQKEEQLVQSLRELLLASNQLPDKFDDYYVLLRFLRMRGFNILKAKEMFLNMLKWREDCSVDAIANGLNNFSKSAREMFAEIQKIDSNYYPETLNQLYIINAGTGFRALWKVLKAFMEARTLAKVQVLGTNYLNTVLEAVDPSNLPDFLGGTCTCPTGGCLLQDKGPWTDPEMVRASKEPSAKQVDSTSRKKRTLGMLLKDDQVGIDTGENILQKQVDEQISEKIRELEYCAAQSNEGWRGCRYERRHTKLKVN >Sspon.04G0016440-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4B:64454120:64459286:1 gene:Sspon.04G0016440-2B transcript:Sspon.04G0016440-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MERTYKLHGEDVHGWFSLDFTADQLAQNITLIQNIFSRPSTFDGSQRMYTLDEVVELHPPQIWLNVQYNSFFLEHKLSSEDYILELPKDTLSYISSPEVEFLKSLGGKLKKAKTKLIFRFLNENIEEPSTKKTYGELLKDLKAIKEFAAGILVPKTYIGQWKRISTWRHRPPVCSWTIQTSLSTVCSRTSQSPHQELLHAWLILRASLYPPGKSRPLIITHNGASGMFAGSTDLAYQEAIKDAADIIDCTVQMSKDGTAFCMHSADISSSTTAATAFASKASTVHEIQNKSGIFSFDLSWSEIATLKR >Sspon.07G0031530-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7C:24230511:24231098:-1 gene:Sspon.07G0031530-1C transcript:Sspon.07G0031530-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSHMGRRRFLNLILTATVGLVLFVVVTSSREAPNGISDGELKRSVPGGQDPVKHEVPSSQNMVLNRSPPPYDFVKHEVPSGQNPVSNRSPPPYDIIKHKVPSGQNPVSNRSPPPYDIIKHEVPSVPSGHNPVSNRSPPPYDIIKHEVPSGQNPVSNRSPPPYDIIKHKFLAARTL >Sspon.07G0016110-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7B:62094902:62097329:1 gene:Sspon.07G0016110-2B transcript:Sspon.07G0016110-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHVCPAFFLGTGYLCGSPAAVVCGGCMWSGRKLGQRCLRRRRTLLGGVLFYLSSYRARFCRLDYEEEFMKEFENLRRLNHSNIVQLFGYCYEIKRVCVEYEGRRYCPPEYIEHGYVSNKFDVFSLGVVMIELIAGSEGYSRAYETPSQEFIDHAI >Sspon.05G0001910-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:5729900:5739371:-1 gene:Sspon.05G0001910-3D transcript:Sspon.05G0001910-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALEAARSWAGSVIPPELAAAAGGDPLAALAATAAALVAGLLILAVWFRSGGGAPSKPVATPVRPPPVKVDADADVDDGRKRVTIFFGTQTGTAEGFAKSMAEEARARYEKAVFKVVDLDDYAQEDEEYEEKLKKETVVLFFLATYGDGEPTDNAARFYKWFTEGKEKEVWLKDLKYGIFGLGNRQYEHFNKVAKVVDELLEEQGGKRLVPVGLGDDDQKELVWPELDQLLRDEDDTTGASTPYTAAIPEYRVVFIDKSDLSFQDRSWTLANGTGVIDIQHPCRANVAVRKELHKPASDRSCIHLEFDISGTGLVYETGDHVGVYAENSVETVEEAERLLDLSPDTFFSIHADAEDGSPRKGGGSLAPPFPSPCTLRTALLRYADLLNPPKKAALLALASHASDPAEAERLRFLASPAGKDEYYQWITASQRSLIEVMVAFPSAKPPLGVFFAAISPRLQPRYYSISSSPKMAPSRIHVTCALVYGPSPTGRIHQGVCSTWMKNTIPLEYSDECSWAPIFVRQSNFKLPADLSTPIIMIGPGTGLAPFRGFLQERLALKQSGAQLGTSILFFGCRNRNMDYIYEDELQTFLEEGALSELIVAFSREGPTKEYVQHKMVEKATEIWNIISHGGYLYVCGDAKGMARDVHRMLHTIVQEQGSLDSSKTESYVKSLQMEGRYLRDVW >Sspon.03G0038870-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:8318208:8330325:1 gene:Sspon.03G0038870-1C transcript:Sspon.03G0038870-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G13480) TAIR;Acc:AT5G13480] MMQQQQQQLPPPPQHPPPQSGGGGGGEFYRGPPMRQLSAASSTNLPPDYAAHPGPPPQQQHQPPYDAYGDNFGAKRMRKPVQRRTVDYTSSVVRHVQARMWQRDARDRFTLQPTPAAVLDMLPSVAYPDNPSTSFAAKFVHSSINKNRCSINRAHDLPVRSMVWSHNENWMVTGDDGGAIKYWQSNMNNVKVNKTAHRESVRGLRTDLKFCSCSDDRTVKVWDFARCQEEKSLTGHGWDVKTVDWHPTKSLLVSGGKDYLVKLWDAKSGRELSSFHGHKNIVHCVKWNQNGNWVLTASKDQIIKLYDIRSMKELQSFRGHTKDVTALAWHPFHEEYFVSGSFDGAIFHWLVGHEAPQVEISNAHDSSVWDLSWHPAIYFAVGAMIMLQSSGVETGLVILPVIDTTVARCKMPEPPITPGPFAPGLSRSEGTIPGIGVAMTLAGQSLDGSDGEQRPSIPGLPPGQPPLPPGPHPSLLGQQQQYQQMPQQQHPQFTRPPPPNMPQLQPPAHMLSHSQGSRPTLPQLPPMGGPSVPSQVNPPLPPMPHPTAMQGSQSQMMPQMPQHMMGLNQMHPGSVPPGTIPPMGGFPSGMGNIQGASGTNGSTRTNDIHSREWAMLALHRPRHHSTLRLEEARHHSDLEQNKDYTEPQVLRDTEFVTCNPSRAISACLDSPANSRDFCPIFAQAARIFAISCCDLQLGGQCLIYTVIRIVSLLVGCLNVEHREFFPAVLRNMN >Sspon.05G0015630-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5B:60059565:60061541:1 gene:Sspon.05G0015630-2B transcript:Sspon.05G0015630-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MERENHVAERGLVPVSTGDVQGQHDHGGAAKTDGFVRRDQSCRYASSDIPSDLLVKVGGVNFHVHKHPMVTRSARLARLVDDASALHGLDAVTVLELPDLPGGHGAFELAAKFCYGVVVDITAANVAVLRCAAEYLEMTEEMEEGNLAFRAEAFLTYVVASSWRDSIVVLRACEGLSPWADDLQLVRRCSESVAAKACTSPRAVRWAYAGARTSPRTAGTSTGNSGSPPADWWVDDVCVLRIDHFVRVVTAVQARGMRPDLIGAAITRYASKWLSSAGLVNMEIPAPRAGVGVLQMVIAGEADNTNTLTAETASEQRRIVESLISIIPPQKDCVSCSFLLRLLRLAVVLKAAPALVTEVEKHVGVQLDQAALPDILVPSYPYARSEAAYDVDLVQRLVEQFVVQEQSGRGKEKQEQQKQHGSKALRVASLIDSYLSEVSRDRNLALGKFQALAESLPESARVCHDGLYRAVDSYLKAHPAVTEHERKRLCRAVDCGKLSREVRTHVAQNERLPLRVVVQVLLSEQAKMAGALGRVGKKEDDVNALRLEVESVNAKYMELQREVELLQGQLEAMLPPSAAAKQQNVSAGWTSGWKKLGRLGRIQVEQPVVTAAPDETRSREPRRRRNS >Sspon.04G0031520-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:14135695:14141895:-1 gene:Sspon.04G0031520-1C transcript:Sspon.04G0031520-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAGSCWAFSSVAVEGINQIVTGKLVSLSEQELMDCDTTFDHGCEGGLMDFAFAYIMGSQGIHAEDDYPYLMEEGYCKEKQPHANVVTITGYEDVPENSEISLLKALAHQPVSVGIAAGSRDFQFYKGPGVARGRPPRFSSVAGDLYPAEKMHLSANEGIEGVRFAVTGGQGFVGAALCLELLRRGAREVRSLDLRASSSWSQQLLDAGVRIIQGDIRKKDDVGRAFRGVDCVFHLASYGMSGKEMVQTGRTDEVNINGTCNVLDACHEQGVRRLVYVSTYYVVFGGKPIVNGNEALPYFPIEDHVDAYGRSKSVAEQLVLKSNGRPAKSDKSTRLYTCAIRPAAIYGPGEERHLPRILSLAKLGLAFFKIGGPDVKTDWVYIDNLVLALILASMGLLDDIPDRKGTPCSSWSGLFHLRCYNCFAGSPCNTFDFIIKPLFQSLDYSVPQVMLDTSVALAISKIFLFISTLFYPWLDSKWMPQPLILPAEVYKVGVTHYFSFLKAREELGYVPMVRPHEGLAATISYWQERKRRELDGPTIFTWLAVTIGMLAVFSAACLPPIGPLKWVLDIHLFVFRSMLVIRLVLVMAIALHLGEAVYAWFLARKVDPRNATGWFWQTFALGYFSLRYLLKRARG >Sspon.08G0022830-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8B:51350403:51351016:1 gene:Sspon.08G0022830-1B transcript:Sspon.08G0022830-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEPAVRGARYVIEAAAEAGTVRRVVLTSSIGAVTMDPNRAPDAVVDESCWSDLEFCKETKNWYCYGKAVAEQAAWEAAARRGVDLVVVIPVLVQGPALQPSVDASLTHLLKYLNGSVKTYANAVQGYVHVRDAADAHVRVFEVPDATGRYICSDAVLHREGVVRILRKFFPEYPVPD >Sspon.02G0058190-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2D:71399588:71400695:-1 gene:Sspon.02G0058190-1D transcript:Sspon.02G0058190-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEACRYVEFAGGSGPALLVGSSSAVAAQQGREAVASRARLAGPVAEHHDSQAVARLVGPATTPPRLAGSRAPKPREDSCASHLVGLAIECRVRERSGAERGVWELILFFWASGTCDSEWSEAFGLPDVLMLPFTDHVTDLGAKCVEALDSLSSFMVFVQSSRYYDCCSMRRSPSSWPMCSYTRHMQRRTHGWLQRHTYPLPYTPINPTSSSTHFLAFASNLEHSSLMATDSAPLLPYISMLPA >Sspon.01G0062940-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:108965665:108966268:-1 gene:Sspon.01G0062940-1D transcript:Sspon.01G0062940-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ALNPCTGLSEFQNSTMFPDETSPNLVDLNESDGFRPISPILCKPSIEAMEAVIRIANVDPKKTVGRPTLVPGADHALESIQNMKEALPEIWDGQDWSESDVLLSSTAVETAVVA >Sspon.01G0030960-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:103651450:103655766:-1 gene:Sspon.01G0030960-1P transcript:Sspon.01G0030960-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAGYGGGDRGVHLSSHKDLLLGRGGRSFLFGNTWFLLSTYPARLLHTTDRRAPAAFFAAISRAPCVRSHCAGQGLLQRGGIVMAACGYALRRAELGATKRQHPDKDPSAGTRASRIAAMGSLGSAARPDVSFRYRGVESCKKIGVSLKCHESWGNRAFWTNAAAPGWKLSFAVEPWTKDFSMSCAAPYSAGATEHQLSLDEKMDNSTVASDGKSPVSEKLRLLSGSCYLPHPAKEATGGEDAHFISIDEHVIGVADGVGGWADLGVDAGLYAKELMRNSLSAIKDEPEGTIDPTRVLEKAYMSTKARGSSTACIITLKDQGIHAVNLGDSGFVVVRDGRTVLRSPSQQHDFNFTYQLESGGGSDLPSSAQVFHFPVAPGDVIVAGTDGLFDNLYNNEISGVIVEALRVGLEPQIAAQKIAALARQRATDKNRQSPFASAAQEAGYRYYGGKLDDITVVVSYVKSA >Sspon.02G0030400-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:109380800:109385227:-1 gene:Sspon.02G0030400-2C transcript:Sspon.02G0030400-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SCNRLAQVLPEESDVNVNPGSSHPGEMDMDGSRQQQQQRRDSPAAAAPGRRPRTVPIAVTPEGFWCCPSPAALHKSLLKNPHHNHHAGGGGNKQSPAPPHKTPSAPPSRAPSVQTAPSVTDDPAPAIVTDEHHQQPQSQATAEAPAGGQQEPPQQQHKVCVGFGRPETSDLTVMLYGKEGIAVRMSVHSDVLSQSSAFFAQRLASSAAAAGGPAQPCVEIHDCDDAEIYVETVGLMYCDEAKHRLLLKQSVPRVLRIMKAADALGFHACVTSCLHYLEAVPWVGDEEERSVVSSIRRLIQSKDKDDGCNYYGGVVSPLLKRIASDDGLRPPSDTFASITEMVLTSTDERGRREMKALVLNLLKDSSRSHVGGVTGADGSPPHDVSSAETLYGSCRGCLDRLRRLFAEASSSSEPDYYSPAVTRRIALETDNLLWLLELLVASQRAGDGDGFVALWSGQAELAASHARVPAPSRHAVSRVTARLFVGVGRGELLPRRDARLRLLQVWLHPLIDDYAWLQRGGGSRPSSAFDRRLVEDGIGQTILTLPLEDQRSILLAWFGRFLKLGDDCPNLQRAFEVWWRRTFVRPYVLHQPDSDAGAAATATTTGGSWSDPATRRGGRAASQRATSGQRGGQAEDVAARDGKDLEAGIGTTDDADK >Sspon.06G0017150-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:75007134:75011211:1 gene:Sspon.06G0017150-3D transcript:Sspon.06G0017150-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPALRPARTAAPASPSPASTSTPSRREEKRRGGRVVLDPATAVTGVADRMFLTRTEYDRGVNTFSPEGRLFQVEYAIEAIKLGSTAIGLKTKDGVVLAVEKRVTSPLLEPSSVEKIMEIDEHIGCAMSGLIADARTLVEHARVETQNHRFSYGEPMTVESSTQAICDLALRFGEGDEESMSRPFGVSLLIAGHDENGPSLYYTDPSGTFWQCNAKAIGSGSEGADSSLQEQYNKELTLQEAETIALSILKQVMEEKVTPNNVDIAKVAPKYHLYTPAEVEAVIARL >Sspon.06G0008370-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:44004967:44006842:1 gene:Sspon.06G0008370-1A transcript:Sspon.06G0008370-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSADAGDTMPAPAPQTRAVISHVIFDMDGLLLDTEGFYTTVQEKILERYGKVFDWSLKAKMMGKTTAESTRILFEEFGLSGLLTPEQFLEERETMLEKLLPTCVAMPGVVRLIHLLHTNGVPIAVATGTHKHHFALKTQTHQDIFSLMHHIVTGDDPEVKAGKPSPDIFLAAMRRFEGNVEPSNCLVFEDAPLGVAAAKTSGMHVVMVPDSRLDVSHHKGADQVLTSLLEFNPSEWGLPPFMD >Sspon.02G0023180-3D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2D:71466987:71469948:-1 gene:Sspon.02G0023180-3D transcript:Sspon.02G0023180-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKQLKVYVYEEGEPPVFHDGPCRSIYSTEGRFIHAMEMESRLRTRDPARAHVFFLPFSVVKMVKTIYEPGSHDMAPLKRTVADYVRVLSDKYPFWNRSAGADHFMLSCHDWGPYVSSANAQLFGNSIRVLCNANTSEGFNPAKDVSLPEINLRSDAVERQVGGPSASRRPILAFFAGGNHGPVRPALLAHWGRGRDDDPDGVRVSEYLPRGTSYTDMMRRSRFCLCPGGYEVASPRLAEALYLECVPVVVDDGEYALPFADVLNWDAFAVRVRVADVPRLKEILSAVSPRQYIRMQRRVRMVRRHFMVHGGPPRRYDAFHMILHSVWLRRLNVRIAADAQG >Sspon.01G0043940-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:78579346:78584913:-1 gene:Sspon.01G0043940-1B transcript:Sspon.01G0043940-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSCVTECTEKNITDTLGLNDTAATHGHYDFALSMFLQVSPMHKKSGDKIPHIALSLSVYRNDLKAASYHFDIKKKRSEKKYLIPLEELLKSSDFLVDDSCVFGVRILKAQVSSQNKPIVIPKKPITVQNVFLQKKGFIKGTYTWTMNNFLDMKVPVRSPAFEVGGHKWYINMYPLGEQYSTNSLSLFLHLHDLNKILLEIGMVIELTLFILDQKHEEHYTVTGRFVFAVAAKNGWGWSNFIPLKTLMDPFRCYIVGSNCMLKADVTIIGSSNDASGPPIPQPTSKNYS >Sspon.07G0001020-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:1223045:1228355:-1 gene:Sspon.07G0001020-2C transcript:Sspon.07G0001020-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVDLITSCIDSIRQIGDEIADSIVYIDAGTLEAFQFIGGFPLLLELGARAVCSLENASPLDAIGHSAYVDSPLGPDAFREYETLLIQDHDELLKNYEKLDRHKENIHKTASDFTSDIDNYSKWGSGVHYGSNSESSPTKRDFFDDDMGQMDLKLDIFSLGDTSKVIGKLMMDMSSLYDVGRNKRSAGLLIVDRTVDLLTPCFHGDSFLDRMLSSLPRKERMSSNSAVKNPQTPSKHSQGTIKRSPLDIKVPFETVFSKEEPKIRSSVLSEGFMSFASGWNSVDVDSEVSWLPDYADKAHDHILGCELDTISGSFLSNCAGAHYLEALLDRGAKDGLVLIKKWLVEALQHGKLSSASKGRQGATSVSEIRSMVQMLSRDQLSLLKNRGVIQLALAAEMTLREPQSSRWDAFTSAERILSVTSAETTQSLASELRDFINTSTSVESHTQTTTMESSQGLLSFQDVLLLTIIGYILAGENFPTSIAGGPFSWEDERSLKDVIVDSILERPSSVKLRFLDGLDSELEAKARSKDGERNKNSSEAAPSTDDFDDEWGTWDDSDNTDHQKEEAYGDMQLKLEVRDRVDQLFKIFHKLSSMRLRNQALGEGLAALSRFETDGYSRKGLLYKLLLALLSRYDVPGLEYHSSTVGRLFKSGLGRFGLGQSKPTFGDQTLLIVFVLGGINTLEVREVMTAISESSRPDVELILGGTTLLTPDDMFELMLGSSSFA >Sspon.04G0017570-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4A:63570017:63572137:1 gene:Sspon.04G0017570-1A transcript:Sspon.04G0017570-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDRNAVSWNTVIAAVARSGSPGEALEMYQGMLQEGLAPTNFTLASVLSACGAVAALDDGRRCHGLAVKVGLDGNQFVENGLLGMYTKCGSVADAVRLFDGMASPNEVSFTAMMGGLAQSGAVDDALRLFARMSRSGIRVDPVAVSSVLGACAQACTGDYNVARAIRLAQSIHALVVRKGFGSDQHVGNSLIDMYAKGMKMDEAMKVFESMSSVSIVSWNILITGYGQLGCYERALEVLDFMQESGFEPNEVTYSNMLASCTKARDVPSARAMFDKISKPTVTTWNTLLSGYGQEELHQDTIELFRRMQHQNVHPDRTTLAVILSSCSRLGILELGKQVHSASVRLLLHNDMFVASGLIDMYSKCRQVGVAQIIFNMMTERDVVCWNSMISGLAIHSLNEEAFDFFKQMRENGMFPTESSYASMVNSCARLSSIPQGRQIHAQVLKDGYDQNVYVGSSLIDMYAKCGNMDDARLFFNCMIVKNIVAWNEMIHGYAQNGFGEKAVELFEYMLTTKQKPDSVTFIAVLTGCSHSGLIDEAIAFFNSMESNYGITPLVEHYTCLIDALGRAGRFAEVEAVIDKMPYKDDPILWEVLLAACVVHHNAELGEFAAKHLFRLDPKNPSPYVLLSNIYATLGRHGDASAVRALMSSRGVVKGRGYSWVNHKDGARAFMVADDLGSNVGEPTMFSDNEDTSGMTQVHLRETCAG >Sspon.01G0008270-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:23111116:23118978:-1 gene:Sspon.01G0008270-1A transcript:Sspon.01G0008270-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLSAKLLPSCESMCVCCPALRPSSRRPVKRYKKLLAEIFPKTPDGAPNERKIVKLCEYAAKNPLRIPKACDDDVVNHCLFLLHCLLACQIAKFLEQRSHKELRSAHVNFVRIITEAYSKLLFICKEQMAYFAISLVNVLTELLESKQENIHILGCQTLANFINSQVDNTYARNIESLVHKVCALSRQQGEEHRHLRAASLQCLSAMIWFMKEHSYIFADFDEIVHSVLDNYRMEESNGGGDDRHALQHNWVDEIARSEGRPGVGGGNDVNINTTTIRLRPARNSSALTRDERDSPEVWSHICVQKLAELAKESTTMRRILDPMLSYFDMKKQWAPRHGLALLVLSDMAYLEKSSGNEQLILTTVIRHLDHKNVSHDPQTKSDIIQTATSLARQLRSRGFAVELVVAGDLCKHLRKTLEAVESGNVEDQNLNESLQNFLEDCLMEVVRGINDVRPLYDMMTITLENLPSMPTVARATLGSLLILSHIISLTSVSSNSPMVFPEALLQQILKSMIHTDIDTRVGAHHMFSAIIVRGPSHLRSESEYLYETKKQSRTTSVFASATALLEKLRREKESLSSDKTRNIMHDDVKEMHEEDKRKNPAYFSKLVSSFIERCAKRSSSVEETNIAMLTEDQTNQLLSSFWIQTNQTDNTPFNYEAIGHSYSLTVLSSRLKDSSNGNIIQFFQLPLSLRSVALTPSEVLPASCQRSIFTLAVSMLAFAGKVCHITELSDLLSYLIPASASVIFSKYKCIGFSCMISENVLLNAVQMDPYLRIGEDLQLYVRLQSDLGSYGSESDQEVAKSMLSDCRTKVGINDQRVLDVIASALSNFIEMGKDVLTKELTEMFTPEEMPLFGSNSALDWANFNAQAFSDESLSFDEESSRTSSVDCGLHESPITNTASSISKITLPQSVPHVLGVGQLLESALHVAGQVAGASVSTSPLPYGTMTSQCEALGLGTRKKLSSWLVNGHESTPDNPMPSLPTAHHSAIPKVNPAMFRTSSEPCSAVKLPPASPFDNFLKAAYRTQPEL >Sspon.08G0030690-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8D:64364350:64364697:-1 gene:Sspon.08G0030690-1D transcript:Sspon.08G0030690-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTARPSPPALPLPLLSVSVLCWSVSWAKLGLCENQGRPYCLHLHVSSEARPTTTQILTPTDVLRVVRLTANSRTLSNRSSIAITTPLAHCQMTR >Sspon.01G0020530-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:119022505:119025299:1 gene:Sspon.01G0020530-2B transcript:Sspon.01G0020530-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALQAATSFLPSALSARKEGSVKDSAFLGVRLADGLKLETSALGLRTKRVSASVAIRAQTAAVSSPSVTPASPSGKKTLRKGTAIITGASSGLGLATAKALAETGKWHVIMACRDFLKASRAAKAAGMDKDSFTIVHLDLASLDSVRQFVKNVRQLEMPIDVVVCNAAVYQPTAKEPSYTADGFEMSVGVNHLGHFLLARELLSDLQSSDYPSKRLIIVGSITGNTNTLAGNVPPKANLGDLRGLAGGLNGIGSSVMIDGGEFDGAKAYKDSKVCNMLTMQEFHRRYHEETGVTFASLYPGCIATTGLFREHIPLFRLLFPPFQKYITKGYVSEEEAGKRLAQVVSDPSLTKSGVYWSWNKNSASFENQLSEEASDADKAKKLWEISEKLVGLA >Sspon.02G0024810-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:85469841:85470927:1 gene:Sspon.02G0024810-1A transcript:Sspon.02G0024810-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding APPPELNPDAVSEILLRLPPDEPAGLVRASAVCKPWLRTLTDTAFLRRYRAFHGMPRVLGFLHSPTDRSLERFVPTTAFRPHAAAHRTCVVLDCRHGRALFYDYGSCEFVVWDPITGRERRIPDDVPDVYTNHAVLCAAGASCDHSTCGGGPSLLASAGVHRYCDTFYEADACFYSSETGPRSLRTTNLRLEYHHRYGLEDRPAALVGRALYFFGDSGKLLRYEHHCHDSKQWCGGLGLATFYRGMLFLWSTETGPNGDDQWAHIKIIELEKLLPVDIRMSSAWLSGFAEDANVIFLSTNDNGIFTIELKSLLARKVCDMGNVNHVFPYVSFCTPAG >Sspon.07G0026140-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7B:51887555:51888267:-1 gene:Sspon.07G0026140-1B transcript:Sspon.07G0026140-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAELSNYIMYLVFNRGVMLTTNSKIADIVACDEIDEVLPQRPKIYQVLKLWEHLPNFFPFKRKVDRDAVMRLIESVEVPQQQDSMGEIKIVHQESEEQQDPTIEIKNQESEEQQNSTIEIDDQESTDVDDDNAAAADHIRKLRMNSKTLKSPVLPRAVAIAQELIGIKKEAERWELIAKVWAEMLYYTAPRCGGDFHYEHLSTG >Sspon.02G0015740-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2B:41298950:41299234:-1 gene:Sspon.02G0015740-2B transcript:Sspon.02G0015740-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MERHNERAPSPAGLACSAAPTSRYTAGSSASSARSMMDARFTSSSVRRPSRHAADSPMLLRPPPSARHSYSGRMLSSGLGCRSARNGCHWSTSKQ >Sspon.02G0007630-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:24815783:24817566:-1 gene:Sspon.02G0007630-3C transcript:Sspon.02G0007630-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAATPPGRPSSGSCISRRAAVVPALLLLAAMAAASVDAQPSPGYYPSSRYRPVPFNRAYTNKWGPQHQTLSGDHSSLTIWLDRTCGSGFKSKHAYRNGYFSTRIKLPAGYTAGTNTAFYLSNNEAHPGFHDEIDMEFLGTIPGEPYTLQTNVYVRGSGDGRIVGREMRFHLWFDPTAGYHTYAILWNPDAITFFVDDVPVRRYERRAELTFPDRPMWVYGSIWDASDWATDDGRHRADYRYQPFVARLDRFVVAGCSVNAPPACRPVPASPAGAGLTAQQYAAMRWAQREHMVYYYCNDFRRDHSLTPEC >Sspon.08G0017700-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:3385277:3386677:-1 gene:Sspon.08G0017700-2D transcript:Sspon.08G0017700-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMSKLASAGGWADLPRDLLESLLARLPVPDRVRFPAVCTAWQSAHTAAAGIQQATPSPWLMLPFNPTARVGSVDDDDGSAKFSVARFLSLAEGRAYAIRQPAPAARDRLCVGSSPDGWLVTADACSELSLLNPVTGAQLCLPSAATLPFVDARRGADGQVESYSLRFSFADDDGGGCGEEEALVPPETFAPDRLRYEVYEKAVVLSAPRRAPPCSWGGYAVLLICQPLGRLAVARAGDAEWTLLDADAPGRCWVDAVRASSSSGADGTRRDYQPVYTMDAAGRVDAWDTDVSATPATPSRAVAPPCVCSVRTCCTMSAACRKYLVELSPGRLLQVHRLREAAHARCAWEPRPEHVEYTTTGVELFEWTAATGQWALADGRALGGRALFLGKNASLCVPADDAGVRGDCVYFTDDGPWSHDRCHEVAPDVGVLDLADGSYRPPRGAARDLLWKWPPPVWVFPSLAG >Sspon.01G0003130-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:8297486:8299332:1 gene:Sspon.01G0003130-3C transcript:Sspon.01G0003130-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVVIVGAGLAGLAAALGLHRKGVRSLVLESSPSLRASGFAFTTWKNAFRALDALGVGDKIRRQHLQAQTLRVMASSTGEIAQEVDLTQQGKRGPNEMRCVRRDLLLQALEEELPRGTIRYSSRIVSIEDDGGVKVLHLADGSVIRAKVLVGCDGINSVVAKWLGLATPSYSGRSAARGFVHYPDGHGFEPKFLQFIGNGFRSGMLPCNENDIYWFFTWTPSENDKGVDESATKMKQFVLSKLRGSKVPAEALAVIDRSEMSDVLAAPLRFRSPLSLATASFARGNVCVAGDALHPMTPDLGQGGCSALEDGIVLARCLGDALLLPAAKGKDKEERIESALHEYAWIRRWRSVELVATAYAVGFIQQSDSAVVSFLRDRFLSGVLARRLLKMADYDCGTLVN >Sspon.01G0050370-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:800221:801336:-1 gene:Sspon.01G0050370-1C transcript:Sspon.01G0050370-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTFQRRREIEQQGTEDRIRTAGAPVVNSLISLLLRYAMHGIASKARSAMHDLHLLSMHAISPVCMHARAAINTSPAYSFIASPLHTIHRSIEERWSEHDVVVDAGGGGGGAGAGVSGGRRLVRSSQGSPGKNITATYGSDWLEAKATWYGKPTGAGPDDNGGGCGYKDVNKAPFNSMGACGNVPIFKDGLGCGSCFEIKCDKPAECSGEPVVVYITDMNYEPIAAYHFDLAGTAFGAMAKKGEEEKLRKAGIIDMQFRRVKCKYGEKVTFHVEKGCNPNYLALLVKYVDGDGDIVAVDIKEKGGDAYEPLKHSWGAIWRKDSDKPLKFPVTVQITTEGGTKSVYNDPDTAYTAK >Sspon.01G0035280-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:10207070:10211698:1 gene:Sspon.01G0035280-2C transcript:Sspon.01G0035280-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DAAQVGHPAPAAGVMAAVSQPPADQAGPGAHGPPGLPLGPLGAPRAHLRILCRRPGRHRARLASCSTESRNPTGSCTTPSSAAPRTAMRPRDAVSIYARMARHSAGCVGGGVMVRPDNRTFPFVLRACAAMGAGETGAQVHAHVVKAGCESDAFVRNALIGMHAACGDIGAAAALFDGEAREDAVAWSAMISGFARRGDIGAARELFDESPVKDLVSWNVMITAYAKLGDMAPARELFDGAPDHDVVSWNAMISGYVRCGSHKQAMELFEQMQAMGEKPDTVTMLSLLSACADSGDLDAGRRLHRFLSGRFSRIGPAAVLGNALIDMYAKCGSMTSALEVFWLMQDRNVSTWNSIIGGLALHGHVTESIDVFQKMLQGNVKPDEITFVAVLVACSHGGMVDKGHEYFYVMQQRYRIEPNIKHYGCMVDMLSRAGLLKEAFEFIGTMKIEPNPVIWRTLLGSCRIHGEIELAEHANRELLKARSDASGDFVLLSNIYASVGEWLGSENMRKLMDDSGVNKEAGRAVVDGPSKDLIQSSSSSKMSMASALTNHWVVGGSSHHEYKSNRSDDCFKVLTWNHNVIKGKQFLPREKVALTGWQITRAVPKDPKQIHKFKDALKRHGVQTESQAAEKGLEEPTY >Sspon.06G0022830-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6B:37480949:37482884:1 gene:Sspon.06G0022830-1B transcript:Sspon.06G0022830-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAVVPESVLRKRKREEQWAADKKEKALADRKKALESRKIIFARAKQYAQEYDAQEKELVQLKREARLKGGFYVSPEAKLLFVVRIRGINAMHPKTRKILQLLRLRQIFNGVFLKVNKATINMLRRVEPYVAYGYPNLKSVRELIYKRGYGKLNKQRIPLSNNNVIEEGLGKHNIICIEDLVHEIMMVGQHFKEANNFLWPFKLKAPLGGLKKKRNHYVEGGDAGN >Sspon.01G0032110-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:109982595:109986000:1 gene:Sspon.01G0032110-3D transcript:Sspon.01G0032110-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSGTVPLAYQTSAVSPDWLNKGDNAWQLTAATLVGLQSFPGLVVLYGGVVKKKWAVNSAFMALYAFAAVWICWVTWAYNMSFGDKLLPLWGKARPALNQGYLVGQADLPATVHYFADGTTVETQAVEPLYPMATVVYFQCVFAAITLVLVAGSLLGRMSFAAWMLFVPLWLTFSYTVGAFSVWGGGFLFQWGVIDYCGGYVIHLSAGFAGFTAAYWVGPRAQKDRERFPPNNILFTLTGAGLLWMGWAGFNGGGPYAANVVASMSVLNTNICTAVSLVVWTCLDVVFFKKPSVVGAVQGMITGLVCITPAAGLVQGWAAMVMGVLAGSVPWYTMMILHKRSRLLKHVDDTLGVIHTHGVAGLLGGILTGLLADPTLCALFLPVTNSRGAFYGRAAGGAQLGKQLAGALFIIGWNVVITSIICVAINAVVPLRMTEDKLEVGDDAVHGEEAYALWGDGELYDVTEHGPRGAAAVAPVSTTPN >Sspon.02G0009940-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:27702250:27703142:1 gene:Sspon.02G0009940-1A transcript:Sspon.02G0009940-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:POLD4 [Source:Projected from Arabidopsis thaliana (AT1G09815) UniProtKB/TrEMBL;Acc:A0A178WHS7] NMSSGGVKDFYRQKKKGGVTKTASSSKKKTQQYTGGASVGAPDTAQTSALVSHGSWDLTDDFGDQEEQLRQFDMDMKFGPCIGVTRLQRWERASAMGLQPPAHLRDLLLHAPSTKNHSDGSPSVECLWEGKV >Sspon.07G0002480-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:5238995:5245980:1 gene:Sspon.07G0002480-4D transcript:Sspon.07G0002480-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSPAAEELGAMLRAAADFADDTVRQFLERCPLPKLLGALQSEADVPGMVETVTECLDKIFSSRYGASLLPSYGAFIQAGLLTDSKQIRKLACKAVRHLLDKAEDGAAAVETVVQHNLYPLLINCLIEGDEEISAIILDTVKRLAQIPKGAEVIFPPDGQVSVQLGKVAAQSSSLPRIRILSLIAKLFTVSSYTATAIRDSNLLSIFEEEIKDRRDMLKTLSALEVLYELVEHPHSNIFLLKTNLLQLIIDIINDSSADSVIRSRAALISGRLLSSADAFTAIDKSCVTNLLVAIDKILKMEESQNADEIESALETLGLIGTTSQGAHFLLTSSSVARHVVESSFDRQGRGRQLAALHAFGSICGVDRQEDQMKLDGEAEGNLKRLVYTTAANSPKLTPSALLLSVLQQDPDIRIAGYRVISGLVIREWCLREVCLNSEIIRFVTDTTMETTKLGMEARYNCCVAINKALSSSHLLHEASLSGLIGKLNDAVKRGPYLSDRKRGGTAGG >Sspon.07G0018170-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:65367813:65368743:1 gene:Sspon.07G0018170-1A transcript:Sspon.07G0018170-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding NGCSTPRPGRRGGGPGAAGSIQDGVGGGELRGGDLVGGAVPRVRDGHRVVALRGVLQRGALAQQPRDVHGGPPGDLRLPQEHDEQARRRRRRQHGQRRQHPRQVRRHRRRAHQPQRRLLQDQL >Sspon.02G0022500-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:75762606:75767017:1 gene:Sspon.02G0022500-1A transcript:Sspon.02G0022500-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding FLFSFDAAEELKDSIANKNHELVLVQGMNLVDEIWGDARPNPPKEPTRVHDIKYAGIDVPSKLSFIRSQLAENGCDAVVISMLDEVAWLLNMRGSDVPHSPVFYSYLIVEVSTATLFVDSSKVSKDVLGHLEQAGVKLKPYEAIISEVERLAEKGAKLWLDSSSVNAAIITAFKSSCDRRIKKKGKAGKNVGEKEASSDDPITGDLGVQNGVISAVYNVSPVALAKSVKNDAEIEGMKNSHLRDASALAEFWCWLEEEICKSVPLTEVQIAEKLLEFRQKQDGFIETSFDTISGYGANGAIIHYRPTPESCSSVGSDNLFLLDSGAQYIDGTTDITRTVHFGEPSPRQKECFTRVLQGHIALDQAVFPERTPGFVLDVLARSSLWKIGLDYRHGTGHGVGAALNVHEGPQSISYRYGNLTSLQKGMIVSNEPGYYEDNSFGIRIENLLLVKELNLANSFGGISYLGFEKLTFVPIQSKLIESSLLSPSEINWVNDYHEEVWEKFVNHHIFSHRMCLCFVIIIILPSWFLQ >Sspon.05G0010990-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:31428510:31429306:-1 gene:Sspon.05G0010990-1A transcript:Sspon.05G0010990-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVERERDEGEAARALTSGPPQQQQQQRGAAEGEKAGDEREEDDRDDEAVEWSEIRLAIEELSPPARLKHGGGGDGKADTSSLPTLPFLALSHLLLRVLDKIGPTMAVLRLDVQRNIEVSKQDLLSFSSPGLRLLGVTDSPSWLLIR >Sspon.06G0027050-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:82546993:82555172:-1 gene:Sspon.06G0027050-1B transcript:Sspon.06G0027050-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEVTRDMQGDIPWCMLFADDVVLLDDSRTGVNRKLELWRHTLESKGFRLSRIKTEYMRHEEGDVSLDGQVVAKKDIFRYLGGVLKWADNVKSGKGRPKLTWDESVKRDLKERDAVPPPPHGSIFKEKVPAPSPYPHPRGHDATTLSTERCPAREAPRRPTPSARHCARLHAVAVHLSAPLSSPLDRLTLYRAYLHLAMPFPPPRRPELTGYHINPRGLPVRGTVLRRTQALVLGRRHGSTHVGFPCGAHWCLGGMRTRNMGQDAGTGATQVWEPSPDVQARPAIYFEQQQIQRRMSFVSRTCPTDSDPDSASGGAVGMSCRESERPPWPAVGKQFVEQLQRERLLGLTPRPRACELFGDLLHPCQALPRFPAAGVPSAPHSPSRQSLPPQFSPFQRRFDEPLWDQLAKALADINAWLAAMESRQATFACQAAARGLLARQRVRRLCDLQLIQSRTPSQFLQAVLRRAKADTAQQIKQRKDIAAQPMLIHQAAVRGLRARQRLQEMRQKIREAAAARGLLAQRQAREMRGLQLVPMPRASLLRHQATLRHMEGSDLVRCVMEIGRGIATSGGELGVYSAGVWGRGCVATHRRTLISAVVLQHRPPRGRLRWSLSRLIPGGYTRAPLSFRLQLEDELHVQVGCSVRRVKDLLSPPRPAFPAPLTALAVGSLPLAPRGYCSADSLFLINSPHPNDVMARQCCRRPPASWTLLVALRNPKPRHSIRLTASAAVTHLEHAKMSSGKLKIQIPHRRESERRPRPVLPELACPAASRCRGQRERTRTAAVRRIVHPCQATPWLLTSTS >Sspon.01G0027320-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:94598524:94606043:1 gene:Sspon.01G0027320-2C transcript:Sspon.01G0027320-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWSTNFDTKDWEQNGRGKTEMSSSRPAHSSSSSSRTRQSSRARILAQTTLDAELNAEYEESGDSFDYSKLVEAQRSTPPEQQGRSEKVIAYLQHIQRGKLIQPFGCLLALDEKSFRVIAFSENAPEMLTTVSHAVPNVDDPPKLGIGTNVRSLFTDPGATALQKALGFADVSLLNPILVQCKTSGKPFYAIVHRATGCLVVDFEPVKPTEFPATAAGALQSYKLAAKAISKIQSLPGGSMEALCNTVVKEVFDLTGYDRVMAYKFHEDEHGEVFAEITKPGIEPYLGLHYPATDIPQAARFLFMKNKVRMICDCRARSVKIIEDEALSIDISLCGSTLRAPHSCHLQYMENMNSIASLVMAVVVNENEEDDEPEPEQPAQQQKKKKLWGLIVCHHESPRYVPFPLRYACEFLAQVFAVHVNKEFELEKQIREKSILRMQTMLSDMLFKEASPLSIVSGSPNIMDLVKCDGAALLYGDKVWRLQTAPTESQIRDIAFWLAEVHGDSTGLSTDSLQDAGYPGAASLGDMICGMAVAKITSKDILFWFRSHTAAEIKWGGAKHDPSDKDDNRRMHPRLSFKAFLEVVKMKSLPWSDYEMDAIHHCNLFLEPAQASVLDNQIGDLKLDGLAELQAVTSEMVRLMETATVPILAVDGNGLVNGWNQKVAELSGLRVDEAIGRHILTLVEDSSVSIVQRMLYLALQGREEKEVRFELKTHGSKRDDGPVILVVNACASRDLHDHVVGVCFVAQDMTVHKLVMDKFTRVEGDYKAIIHNPNPLIPPIFGADQFGWCSEWNAAMTKLTGWHRDEVIDKMLLGEVFDSNNASCLLKSKDAFVRLCIIINSALAGEEAEKAPFGFFDRNGKYIECLLSVNRKVNADGVVTGVFCFIHVPSDDLQHALHVQQASEQTAQRRLKAFSYMRYAINKPLSGMLYSRETLKSTGLNEEQMSQVRVADSCHRQLNKILADLDQDNITDKSTCLDLDMAEFVLQDVVVSAVSQVLIGCQGKGIRVACNLPERFMKQKVYGDGIRLQQILSDFLFVSVKFSPVGGSVDISSKLTKNSIGENLHLIDFELRIKHQGAGVPAEILSQMYEEDNKEQSEEGLSLLVSRNLLRLMNGDIRHLREAGMSTFILTAELAAAPSAYLINCREVFVIGDRCE >Sspon.07G0012110-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:47797422:47801069:1 gene:Sspon.07G0012110-1P transcript:Sspon.07G0012110-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPRSTSSTRRGLLPSLLLILCSSLPPLAAAYRPGDIVPMLRSGQYHGSRTVWFDVVGRHCPAFAVNREVLMPIPKPTGFTGADPYKITFQIGHEKFHVPWLYVINRKTSEVPLIDFHLKYSGNDILGVTAKVVDMPHHYVEVHPDIKKNFWDLQKWPKYVLVRYTWEEQSEIDVAGGFYVLFGSGLVLSFILAIYVLQSSQEKLTRFVREAVADSSLPEGGVAKVE >Sspon.06G0009890-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:53337231:53343071:-1 gene:Sspon.06G0009890-1A transcript:Sspon.06G0009890-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTHRRPSAPAVYNAQLDASSQQGNNKRRAGAEQQGGAEGSGRRERSSSAAQREVAGGSKAAARARRGGDRPAGSLLGRPPFVPCFSVSLLSFPPSPPPPPPPCPLLGTPPPCRHEDGSNRRGGIHGYRVRRIEGGFLHGDDSASFGASAGLPRPLLKTTSSVEIPHAPSSATDVKQVTLQPFFSPFSQIRAGHDLRRRRTIRIFQEHEELAGLHRIHARQLRGPMSKQLGSPPRDVKLQLAFLQIRASGWHLPLLPLPIWPCLSELHQLVCGATQQRGHLMAMWAGGPPNTNTHIVAFVLPLSLFVGMLVTVVRVTNGLDERFIREANPLVQPSHRHCQALCCIFLELAGLGTPHPHPARCALLDEWTVDDGGRKRITLFINGW >Sspon.04G0017170-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:71449007:71451178:1 gene:Sspon.04G0017170-1P transcript:Sspon.04G0017170-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCSSSKLDEEAAVKTCHDRKSFVKKAIAQRDLLASSHVAYVQSLRRVSMALLYYFAEDEHLYRLQEYSYVHHPGSPEKVLVINCLRPAGAPVHPVVEQWEPPEAIEAATINRFFGTDDLFFRPSSVDPMNGTPVSPQPPRWDLFWDPFSSLADHPNYGVEEVKDDREDEQIPELEEESDDDDSGGEGEVEAEEVEEKGEQAAAAPVVPPPSREVEKKVDHVKNELRVLATAEVEQHNGSPGFTVYVDRPPTNMGEAMRDIHGHFMKILDTAKEVSVLLEVVHYQRRVQPPVPRDDGEEQGAPEVPPEPFELFQSHKESLDRLYEWEKRLYEEVRAGEKVRLAYEKKCALLRSQDANGAEPFAIEKTRAAVRDLRTKLDISLTSVDAVSRRIAAVRDDELLPQLTQLVRGLARMWRVIADAHWVMKRTADGSIALLTSSAAAAEAARPVPTGEGGTRGPPPPPGAARAAAGAGALGSELRGWRAALEAWAESQRAYAAALWGWARSCVKDGEDMPSLIVGWARAVESVDVEPAARAVDAVAAEAAAVASAAKRQRGGGEEWFNEEEGKKKVCVALAAALGAIAEAGGLAVFGYDELLLEMEMGAREREREIAGRDEESINP >Sspon.04G0001860-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:3342035:3345652:-1 gene:Sspon.04G0001860-2B transcript:Sspon.04G0001860-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GFLSLVGNSFGCSASGERLVSAARDGDLQEARALLEYNPRLARYSTFGGRNSPLHYAAAQGHHEVVSLLLESGVEINLRNYRGQVALMQACQYGHWEVVQTLILFNANVHRTDYLNGGTAIHFAALHGHARCLRLVLADYVPSTPNFCSVMNHRSSEEDSTADFDHEYVGYLVKMVNQKADGGLTPLHMAALNGHVECVQLLLDLGASVSEVTIEDGTTIDLIGTGSTPLHYAACGGNAVCCQVLIARGACITVQNASGWTPLMVARSWQRNSIEEILSKEPEGQIRTLPSPYLCLPLMSIMNIARWCGWRYLNQSPVCIDPCAVCLEGSCSVAAEGSKHEFCTRCALYLC >Sspon.03G0033010-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3B:43730618:43730782:1 gene:Sspon.03G0033010-1B transcript:Sspon.03G0033010-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVALKVYVLLFTAFFFSGLMQLSMAQDKPATAARVVDAKAIDQAIAYLLMFAALF >Sspon.08G0012330-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:52657114:52659917:1 gene:Sspon.08G0012330-1A transcript:Sspon.08G0012330-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SMSIGQQARACMQRSLQCLLFPVPAGLQWPHHINQSSEQPQSIGKEQLAKKPWGFWKARAWRTGQGCAKRNEESAKCQSKVVEISGNGENVPYHITTRRWLGQDDVGSLQVPSNHKQQSEGSTSQSEAQSTRTILHRDHKHTDTEQARRERHDGNKEKQGTCHGGEQPQSNRRHRRHPCRRGLEVNKDHTRKVSRRKGKEHDGAGRVVRADGEKVIKDVEAGDGDTEQRHGHIQEGNHCVLTA >Sspon.08G0003990-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:11736670:11737335:1 gene:Sspon.08G0003990-1A transcript:Sspon.08G0003990-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRSLTEVNWLTQEHGGELAYSRARWCCCTQATDMDGCSSTFLNKPIHVMLEVNQSEHILSSTGDLLMYQFFKVLGSFGVMKIVKLIWRGTVWLHGLKRSRMVLRHGHKHGA >Sspon.04G0005100-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:42081572:42093707:1 gene:Sspon.04G0005100-4D transcript:Sspon.04G0005100-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKPRSWGILLAVLVAAAVAVPPATAAVASIDLGSEWLKVAAVHLAPGRAPIAVAINEMSKRKSPALAALADGNRLAGEEAAGIMARHPSKVFARARDLLAKPFSYVQSVTESLFLPYDLVPDARGAAAVRADDGQVYSLEEIVAMVLHYAAGLADAHVGAPVRDAVIAVPPYFGQAERRALTQAAQLAGINVLSLINEHAGAALQYGIDKDFSNASRHVIFYDMGAGSTYAALVYYSAYNAKEFGKTVSVNQFQVKDVRWNSELGGVELEMRLVNYFADQFNKQLGNGVDIRQSPKAMAKLKKQVKRTKEILSANTAAPISVESLSTITREKFEELCEDLWEQALTPVKEVLTHSGMKIDDIYAVELIGGATRVPKLQTKLQEFLGRRELDKHLDADEAIVLGASLHAANLSDGIKLNRKLGMIDGSTYALVLEIDGPGYVKDESIDQTLVPRMKKMPIKMFRSIRHTKDFDISLNYDKAYELPPGFPSHKFAEYSVSGLTDASEKYAHRNLSAPIKANLHFSLSRSGIIALDRAEAVIEITEWVEVPKKILTLESNITNQNSSSEVGAANSTTDSKENLSSGSDTNSSTSTDESNAQEIITEKVLKKRTFRVPLKVVEKTTGAGTILSKELYSEAKNRLEALDKKDAERRKTAELKNNLESYIYSMKEKLEESTDILTVSTEQERESFAEKLSEVQDWLYMDGEDAQANEFKERLDQLKAIGDPILFRLSELKARPAACENARLYLDELQKIVKNWDTNKPWLPKKRVDEVVSEAEKVKAWLEEKENLQKNTPVYSPPVFTSEEVYEKVLDLQDKVSSVNRIPKPKPKVEKKTAKEEEPASKEKTTSSESAPNEGEYTETSQESKAQEEDQSASANTSDSEPESHDEL >Sspon.01G0033590-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:113461064:113463978:-1 gene:Sspon.01G0033590-1P transcript:Sspon.01G0033590-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVVVAPEVAAALARCGVVVALESTIICHGMPYPKNLQTAMEVEAIVRDNGAIPATVAILDGVPHVGLNSEQLKRLAISGRQFQKTARRDIAHVVASGGNGATTVSAIMFFAYKETQGVTVAAYRTNEFPAFFTEISGCQFARAQSLKTASLKIS >Sspon.04G0007180-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:19825557:19827783:1 gene:Sspon.04G0007180-2C transcript:Sspon.04G0007180-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vesicle-associated protein 2-1 [Source:Projected from Arabidopsis thaliana (AT5G47180) UniProtKB/Swiss-Prot;Acc:Q9LVU1] VVAMGGSGTLISVYPEELTFLCKLCGFLVIFIRIAIVELEKPCYCNLKVVNNSEHHVAFKVKTTSPRKYFVRPNASIVQPWDSCTITITLQAQKEFPPDMQCKDKFLIQSTKVAASTDMDEIPPDTFNKETDKVIEEMKLKVVYTLPSGGSDDSSVSSLGSRSFKAASDDLAMLKNASIEEIQTIQRLKEERDNMLQQNQQMQRELDVLRRRRSRKGDAGFSLTFAAFAGLIGVLVGLLMSLIFSSPPADA >Sspon.01G0044640-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:23882592:23883880:-1 gene:Sspon.01G0044640-2D transcript:Sspon.01G0044640-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASLAAAAVLFPPPRIRIRITERNPLPPPRRLQFGTSKIWTSGSRACLAAASASTPPAPGGGLYSAATYELTPENVDRVLDDVRPYLISDGGNVTVVAVEDGVISLKLEGACGSCPSSTTTMNMGIERVLKEKFGDAFKEIRQVFDGDQPAAETTPEAVNRHLDILRPAIANYGGSVDVLAVDGEDCLVRYDGPESIGSGIKAAIKEKFPDITNVVFTL >Sspon.03G0000270-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:651621:654743:1 gene:Sspon.03G0000270-1A transcript:Sspon.03G0000270-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box only protein 6 [Source:Projected from Arabidopsis thaliana (AT1G27340) UniProtKB/Swiss-Prot;Acc:Q9FZK1] MGEVAALRQLVGQVQELWDLYGANAHPDDYCGGRSGYNSELLKIMETNQSPLRKRPRRDRNREKAPSSNKTEVMQQEIWRDFPEDLFETVIARLPVAAIFRFRTVCRKWSSLLGSDSFSHQYSEAPRGLPWFYTITHENANNNVAMYDPSLKKWHHPSVPLTPTKIVIPVASVGGLVCLLDLSHRNFYICNPLMQSLKEIPPRSVQGWSRVAVGMVLNGRSSSDGYKVMWLGNDGTYEVYDSTKNMWSCPGTFPPGIKLPLALNFRSQPVAVGSTVYFMCAEPDGVLSYDVSTGIWRQFAIPLPLHLTDHTLAEFQGRVMLVGLLCKNAATCVCIWELQKMTLLWKEVDRMPNIWCLEFYGKHMKMTCLGNSGLLMLSLKAKRMNRLVTYNLLKREWQKVPDCMLPCSRKKQWIACGTAFDPVPCALA >Sspon.08G0014990-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:60270004:60273917:-1 gene:Sspon.08G0014990-1A transcript:Sspon.08G0014990-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGEKETIDMSDLNASLPAAAAGNLRNADTVSHPLALEFAPNRSALSCGLHVALSAEDRAGLVNALKDKLQSLAGQHADVLESLSPNVRKRVEFLREIQSQHDEIEAKYFEERAALEAKYQKLYEPLYTKRYDIVNGVVEVEGVSDEPTSENAAEGKEPDAKGVPDFWLTAMKTNEVLSEEIQERDEPALKYLKDIKWSRIEDPKGFKLEFFFDTNPFFKNSVLTKTYHMVDEDDPILEKAIGTEIEWYPGKNLTQKILKKKPKKGSKNTKPITKTEDCESFFNFFNPPQVPEDDEDIDEDTADELQGQMEHDYDIGRDPVANIRCRRRSRKEMLNNQRSASSSKLAGCHFWPADIGVWLMQYFPLSCLEVMSVVVCAGQMLMLISVSFLLGGDGVVWSTLCCVGGWILVCNLSVSVLGF >Sspon.08G0000850-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:2961249:2966204:-1 gene:Sspon.08G0000850-1A transcript:Sspon.08G0000850-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hexosyltransferase (Fragment) [Source:Projected from Arabidopsis thaliana (AT4G38270) UniProtKB/TrEMBL;Acc:W8Q6G8] MYALLMKCIKESQTAIGDALIDSELDSSALERAKAMGHVLSSARDVLYSSGEVSRKLRVMLQSTELNIDAVKKQNSFLVQHAAKTVPMPLHCLHMQLTTDYYFRDDVTKEHFDDDALKAEQYKEKLEDRSLYHYAIFSDNVLAASVVVRSTVTNANEPEKHVFHIVTDRLNFAAMKMWFITHPPQLATVHVENIDNFKWLNSSYCSVLRQLESARLKEYYFKAHDPSSLSDGNENLKYRNPKYLSMLNHLRFYMPEIHPKLEKILFLDDDVVVQKDLTPLWDIDLKGMVNGAVETCKESFHRFDTYLNFSHPKISENFDPHACGWAFGMNMFDLKEWKKRNITGIYHYWQDLNEDRKLWKLGTLPPGLITFYNLTYPLNRTWHVLGLGYDPAVDIAEIDNAAVVHYNGNYKPWLDLAISKYKTYWSKMEPLRWLLDISRAMSFLKFPKLGGIDPSMLLEFIPSANRSLRFPIEDGIVPEKLFRPRYSLTIRVSFPMLTGMIPAGFFRDLLGDDHEGCSQQRKDDRLYSEGDSSPE >Sspon.01G0019520-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:78705526:78706502:-1 gene:Sspon.01G0019520-2B transcript:Sspon.01G0019520-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSSSRGRSKPRQGQQTTMKWSVDGFSSLLDKGEGWTYSRVFEIMGHNWYLKLNPRDKKSGDDKEYASLMLQLASSSVKPDTVVEASFKLLIYDQSYGKHSEYQVRHSFQTASTSSGASCMISLEKLKERPSKFIVNNSCTFGVEFIKVTTSKVSTTSETLFVQKPSIFNEAKTYTWDIEDFFALKNSGYSPEFEVGGYKW >Sspon.04G0013970-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4A:51140947:51144502:1 gene:Sspon.04G0013970-1A transcript:Sspon.04G0013970-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEEDSSGTAVQRPPPPPPQQIRVVRCPKCDKLLPELPNYSVYVCGGCGATLQAKKNSGSDASSDTEHVKYLEVLESLPDKKGAASETSCAVREANTNKIEARSEERFVPNRMVAAAHSQSGFSFDDNQTTCTPSFTMKLEPALRDDSREVREAKYRRIRHEEKGEAKQPARVRDRSPMPVVNSIPSNAYPVEGPSECHMKPGFIYANCEKGHLSDRNSDCPSTRVSGLEKDRTELLRMLDELRDQVQRSCEIADKSSVNASTNRMVDPASSYNPHERLSRLRYGSPQLQRNGSQRSPSLNGQAPVVPPAYPSVSVQQDLHGYGESVAHMGAPSYPAAPYPWRNFDNYFHGQYDPDPLISYHHDGFYHQPACSCLHCYHREFLPVHGAPLGFNHRRAPYLMNNPSLYPVEGPVVFGAQNYNSRGMNGMMRHNHMRATLSKKPAQTCEPIVNGAPFTICYNCYEVLQLPKNSLLLGKDEYKLRCGSCSHAIVVRLDGSRLDVSAPTPVSHLSPASKNCSNNGQGSNGQNVDERLLPSYSFSVGSHCSQEKDLPSNSSEADKMQCISSSVSISEDENSPTRSNSQKNSSGSRDLPPDAEVVIRVPSLPLRDHFGYSPSERVVDGSGKGSRSTRSEHEKGVLTESFQPNKVKDVPVASVLDPSDDEYDDPEYSQDPSDGAQYVDHPRATKSSDSFFSSLIKKSFKINGGIGNGRAKVFINGYPISDRAVRKAEKIAGPIYPGEYWY >Sspon.05G0015650-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:5A:61294565:61295506:1 gene:Sspon.05G0015650-1A transcript:Sspon.05G0015650-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYRELELTLLSAQELKSVNLITRMDVYAVVSISGDPLTRQCTAPDTYGGRNPCWNATFRFAVPPTAAAAAGASLHVLLRAERFLGDRDVGEVVVPLADILAGATGVGPQPPQVASYHVRKLHRWEPRGVLNVSYRLGPVVAPVVQPAAPDKATINFEAAYAPVGVLRQPSHQHPSAVAYPAPGRPAVRVVAEHDEAAQNKSPVVMAYPVGVPQQASHPPAAKPDAYRPPSPPPPRPAAVHAARHEEAPAPAPAPAPRNGGSNGLGQSGPTHVYMGPILRSSLAVPPPPPLVHQQGRRPPLDPPLAQQGRRVM >Sspon.02G0033260-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2B:5767774:5769010:1 gene:Sspon.02G0033260-1B transcript:Sspon.02G0033260-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GLSRVGITDFEERGVKAGGGHCRRTARARRVGRLGWLRRR >Sspon.01G0017820-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:21719144:21722250:1 gene:Sspon.01G0017820-2D transcript:Sspon.01G0017820-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLKRALDVEEEVVDGDEEELAGCPDAKRRRTFVNSSMQEAIGAQYMQRHLPKLEPFLRRVVQEEVHNVLIRHIDSANRLPLQLKTASKRYKLQFQGNLPQTLFTGNRVEAENKQPLRLVLTDAATNQTVTSGPLSSMKVELLVLDGDFNADERLEHTEKEFSESVVFEREGKRPLLSGEVIIVLEKGTASIRDISFTDNSSWIRSRKFRLGARMSRASSIEERVQEAVSNPFLVKDHRGEVYKKHHPPALADDVWRLEKIGKDGVFHKKLADFGIHTVQDFLRNLVMDQYGLRSLLGSGMSNKMWESTVEHARECVLDDKLYSYCSGHGIVLLFNCIYEVVGVIVGSHCFTLSALTPTQKALVVKLQQDAYKFPDRIAEFKVQSQSAAEQPPAAAVHAPPAPLPVPAAQMLGLPHGVVQPSAAGAPSSHDSLLLNPQLLQHQQQPLSEALEDVLQSASAAHQLSPAEPWFPSFGAGGFDARDPFDVQFSGSQPCGLLLSSTGARL >Sspon.07G0022940-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:7779045:7779365:-1 gene:Sspon.07G0022940-2C transcript:Sspon.07G0022940-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RMGNCVCQQASRHGGVARTTTGDDGGEPVWAERGEIGVERKKSVKVTVRISKSRLQKLMEGMTMGKVLAEIVSAGEVVVDGRHRRRWEPALESIPEAMES >Sspon.07G0022620-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7B:9683269:9683904:1 gene:Sspon.07G0022620-1B transcript:Sspon.07G0022620-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAKAQHTSTTDQQTMLDAQLQLWHHTFGYVKSMALKAALDLGIPDAVHQHGGSATLPQIVTKVKLHPSKIPCLRRLMRVLTLTGVFGVVQQHSTTTDDDGGELVYELMPNVSPFLNMVLGTVFVSSFLDLGEWFQHELPDPSPFKLTHGRHVWDLARHDASFAKLCDNGMVAGGLGGATQAITKAFPHIECSVLDPPNVVAAAPTNTSD >Sspon.05G0013480-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:40655276:40666862:1 gene:Sspon.05G0013480-3C transcript:Sspon.05G0013480-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-acyltransferase [Source:Projected from Arabidopsis thaliana (AT3G60800) UniProtKB/TrEMBL;Acc:A0A178VIL0] MYRSAGVAMAWNVFRFCTALRGLGSIMILLVLAIVGVTYYAVVLCNYGPALLTGGGTTLAAFAVLLLFHFLLAMLLWSYFSVVLTDPGSVPPNWNLDFDVERGETAPLATSEFSSQINSQQSVALGNTANPRVRYCRKCNQLKPPRCHHCSVCGRCVLKMDHHCVWVVNCVGALNYKYFLLFLFYTFLETTLVTLSLLPHFIAFFSDVEIPGSPAALATTFLTFEDDINCYLDVQAYEKKTTPHWIYDLGRKRNFAQVFGNDRKYWFIPAYSEEDLRRIPALQGLDYPVRSDFDGQEL >Sspon.02G0024240-3C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:87508143:87512142:-1 gene:Sspon.02G0024240-3C transcript:Sspon.02G0024240-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine protein kinase, Pollination and drought stress responses, Reguration of potassium uptake by CBL1-CIPK23 comple [Source: Projected from Oryza sativa (Os07g0150700)] MIAQIKREISTMKLIRHPNVIRMYEVMASKTKIYIVMELVTGGELFDKIASRGRLKEDDARKYFQQLINAVDYCHSRGVYHRDLKPENLLLDASGTLKVSDFGLSALSQQVREDGLLHTTCGTPNYVAPEVINNKGYDGAKADLWSCGVILFVLMAGYLPFEDSNLMSLYKKIFKANFSCPSWFSTSAKKLIKKILDPNVNTRITIAELINNEWFKKGYQPPRFETADVNLDDVNSIFDESGEPAQLVVERREERPSVMNAFELISTSQGLNLGTLFEKQTGPVKRETRFASRLPANEILSKIEAAAGPMGFNVQKRNYKLKLQGENPGRKGQLAIATEVFEVTPSLYMVELRKSNGDTLEFHKFYHNISNGLKDVMWKPESGIVEGDEARQRRSP >Sspon.01G0008380-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:22571778:22575537:-1 gene:Sspon.01G0008380-4D transcript:Sspon.01G0008380-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FAD/NAD(P)-binding oxidoreductase family protein [Source:Projected from Arabidopsis thaliana (AT1G24340) UniProtKB/TrEMBL;Acc:Q8GYJ7] MLSITGGGRGDLFSVHRGALWWFRRCHSPLFSSLAGGGDRGDAPHLPVLIVGAGPVGLVLSFLLTKFGIKCALIEKNVEFTRHPRAHFIDNRTMEIFRKLDGLAGDIERSQPPVDLWRKFVYCTSLSDFDKVVSPISVAHFSQHKLVDLLLKKLEGIGFRTCFPGEIGNSTQDLVLESKILMGHECTFLQQTDEGILVGVSVNNGARIIERKLHCGLLVGTDGARSTVRELAGISMEGERDLQKLVSVHFLSRDLGRYLSSHRPGMLFFVFNPGAIGVLVAHDLENGEFVLQVPFYPPQQMFEDFSAKVCEQIIVKLVGWEPADVHVLDIKPWAMHAEVAEKYICCNNRVILSGDAAHRFPPAGGFGSHNLAWKLGLLLNGVASPSILQSYESERRPVRFFSQTFCSSNVAIFNTKLSVENFKAAMSIPATLGLDPTIANSVHRVINRSLGSIIPRNVQKAVLEGLFSLGRAQVSDYILNEKNPLGSLRLSRLRSILDEGKSLQLQFPAEDLGFYYEEGALVPEASCEKTQEGGKLQHSKRASREYIPSAKVGSRLPHMLIRGLPASSEGVFSTLDLVSGDKLEFVLIIAPVKESYEIARATLKIADELKLSAKVCVMWPRGSPDAEVEESRSELASWTNYIHVEEVPRVSGSPWWEMCGISRKNVLLVRPDEHIAWRAESERVRDAESEIRRVFSHILCLNRHRV >Sspon.02G0021880-4P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:96593288:96594425:-1 gene:Sspon.02G0021880-4P transcript:Sspon.02G0021880-4P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAATTAAARTAPPPMVAVAVAVLLVLCAASVAGQGQLQVGYYNKTCPAAEQIVRNETTAAIQASPDLAAALLRLHYHDCFVQGCDASVLLDSTPNNTAEKDSLPNGSLRGFDVVARVKDQLEAACPGTVSCADILALMARDAVSLAKGPTWPVSLGRRDGRTSSAASCVELPPLHGDIGLMVQAFAAKGLDVKDLAVLSGAHTLGKAHCASYADRLYASASCATPDPALDARYADRLRMRCPSPSAASNSTTAAAASELDPGSCTTFDTSYYRHVTRRRGLLRSDASLLDHPFTRAYVLQVASGRIDGHFFHDFTVSMGKMAAIGVLTGDQGEIRRKCNVVN >Sspon.04G0038060-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4D:81972141:81972326:1 gene:Sspon.04G0038060-1D transcript:Sspon.04G0038060-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQAKILQLGQKLGLPDKSMRDPLDRSTSSQEVHHLVQQDHRHDRQQPTSSGRQKVRTYV >Sspon.02G0026680-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:94578225:94578857:-1 gene:Sspon.02G0026680-1A transcript:Sspon.02G0026680-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPILMKGHERPLTFLRYNRDGDLLFSCAKDHTPTVWYADNGDRLGTYRGHNGAVWTCDVSRDSARLITGSADQTAKLWEVSTGKELFSFRFDAPARSVEFAIGDALAVVTTDNFMDHVPTVQVKHIAEDTDDQTEESALVITGIKGRINRAVWGPLNRTIITAGEDATIRIWDSE >Sspon.02G0017680-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2A:51927835:51928305:1 gene:Sspon.02G0017680-1A transcript:Sspon.02G0017680-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPPLCVHNYSDCVFRSLIAFEQNHLRCGLGATAYSICMARLLQSEADVKLLRKRGILMHTQKTDKEIVDFFRGLRDEYADTCLPGDLLDLCKEVAAHQQSRAARMVRRVGRQCFPRQTVTFFVIVGTIISIATLVNTVHSMYRYYHPFKGVNPS >Sspon.07G0004590-1T-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7A:11598073:11600986:-1 gene:Sspon.07G0004590-1T transcript:Sspon.07G0004590-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVLCFCTNIQLQARPCQFSPRHQPQGAASTRRCTRPITRHHCLRGS >Sspon.02G0046020-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2C:98575124:98575462:-1 gene:Sspon.02G0046020-2C transcript:Sspon.02G0046020-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GRYEELVDPRLEGRADVAQVEHVVRVALCCLHEDAALRPSMTVVSAMLDGSMEAGEPRAELLRYLRMYGRGLVDLRPAAAGWMDQGKAKDAGVSSSWSPPSCVSAQQLSGPR >Sspon.01G0021880-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:79483878:79491298:1 gene:Sspon.01G0021880-3C transcript:Sspon.01G0021880-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VLENLVLLPPPYGTVKRTSYIETRKPKTRIKTVKIMTYNVWFREELELIRRMNAIGDLIQHHSPDLICFQEVTPNIYLLFEKSDWWQAYKCSLPHEMAMQRPYYSMQMSKLPVKSFDRKPFYNSKMGRELCIADVTVGGVIKLVVATSHLESPSPGPPTWDQMFSKERVGQANESVRTLGAFRNVIFCGDTNWDDKGDGPFPLPDGWIDAWDELKPGENGWTYDTKANIMLSGNRKLQKRLDRFVCKLSDFKVDSIEMIGEEAIPGATYIKEKKVRQEIRQLVLPVLPSDHFGLVLTISSQSEI >Sspon.02G0056370-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:24530682:24536917:-1 gene:Sspon.02G0056370-1D transcript:Sspon.02G0056370-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAEGTACNGAGEAPNAEFAPEKVVAAASPEEKAVGEREGEEDVGGPFVIVNGGDSDGHSDRGSDLGKAPDEDSPSEEDDAPGSNAAPDAAVGGDHGAAEEGEVGATDAAVGASSADGVIVLPTGLRPSSDCVAEVAQQEAVGEEAGGAAALASGGCEPAIASADSEAPAVDCEVEGKEGTVDGSAVADVAEAVVHQEASTEQDGDAAVMSSGHDDALSSPKSSSAAMEYEVIRDDNKEQNTTDVLEPQEHGTVGASALVENGHLCADMKADSFVAATEPDSQEDAAVESCRHDDALICTESGSADIESEVYGEDSKQEQSNAGVVEVEGQGVESVEEDAASGEQDATDASQTNGHIYVALSADSCIVASESKAHSFETDGQETDQQEEEAPKTEAEVLEGVLKPTEGNCAGSVEELIGEEVDADGHASVEGTTDASGEQEANPMQVVGEATGGILEFEEVDMDGEEGLCDDSTVGKEKIDEAVPSVNVGESEEVTENISQDTMQGGLAKDGVYIGTIHIKPGSDPYVESSLEHKVKVEVDLVDEITAESDLKAENMVEVRTAHEVDEIEVKELAFADEANVAPLHPQQDCESVMETIEHEKVEAPGVSQPHKIENSSKVELKKEFEMEVDDAVPFQEAAASAASVFHHEPRSIDLVENDSGNHSSPTTVLESCDHVQTEESRSQEISMTTVEQPICSASLEHGTMVVDEVEINSATGNVSKEKSSNVVVDQVEPVKLNADELVVDDDQPSFDPSCESVSEIVKDSNHWKISQKFAMHLCSSRIANEGPSPINMSDECSSRIANNGSHNEPPHLTEEPCLSDKSCNTINYENGNEPDVVETKCMEALVTERKDNGHHASGEWHGDHAQVIGPQKIYIIKVPKFAGDDLWNKIQAAQAHLDQLTQERDAINHRRQKQKAVCDQYREKLDAARQEEREARTAHGDKKNDLNGVRSVLGKLHQANSVEELDELIAKKERTMQHETISLKEEKLLIKEINELKAQRKQLSSTMGSKAEINEAFDQKDHIHERHKVLKKDSDVLFTNLKALEENTRKIQKSFEDERTALRKLTEEHRAANEIRQKAYCEWTELRNEPSKKNEYFFKYRDARNAAETFRANGDTNGLKTHCDSQIERVMEMWNTNEDFRKQYVESNKVSTLKRLGTHDGRRLGPDEDPPVIPSRRPSSIYPLSASSPEVPTLASIPAPVLAAPAAAPAKEDSFPVLAAPQTSKRGKSKASGSSAQIENNSVIVSEAEDLKQTLKEKARLLEEQLELARKAEELARKEEELREERDAAEKERLRMEQKAKAKEAEERKRRKAEKDKERAEFKARKEAEEREKKKAKKDKKKGTTPAADSSAIGDSHAAALATADTDSNASDNPREVEVSQPAVAPKRLSRPAAAIKQLNRLQPMPAPLRNRGRRKLRQYILIAAAVLSVLALFVAGNYIPRLKSVHS >Sspon.01G0019370-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:28208248:28211744:1 gene:Sspon.01G0019370-2P transcript:Sspon.01G0019370-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPFAKKPTPREVMRSSKRDLTNATRGIERDIASLQQEEKKLVAEIKRTAKTGNEAATKILARQLIRLRQQISNLQGSRAQIRGIATHTQAMHANTSVATGLQSASKAMGALNKQMEPTKQMKIMKEFQKQSAQMDMTNEMMSDSIDDVLDDDQAEEETEELANQVLDEIGVDIASQLSSAPKGKIAGKKVQVDESSELEELEKRLAALKNP >Sspon.06G0016630-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:90697643:90702016:1 gene:Sspon.06G0016630-1A transcript:Sspon.06G0016630-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VMGCMTHEQFKIFAVAVQSRERADSDRLFIVDLILVHSPYVRNNILGMVADTESSDSLPGSSNAASDTPANGLASRSIHQKSQETPPKKTHKAEREKLKRDQLNDLFVELGSMPDLDRQNTGKATVLGDAARVLRDLITQVEFLRKEQSALVSERQQVSSEKNELQEENNALKSQISELQNELCARMRNSSLNQNSLGMPLPVANTVGPDLATHPMPQQMWSNIPNLSSVVMTHPSNTATLLHSQDHSADAGQGYAPQPRELQLFPGASSSPERGCSRLGSDQATSLSLTDSLPGQLCLSLPQSSQEGSSSGVSRSRKERRNVAN >Sspon.08G0001000-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:1797975:1821599:-1 gene:Sspon.08G0001000-2C transcript:Sspon.08G0001000-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTDTRMARIQREAWVAWRQGSGEEIPRSSSRRPIELHVLDSVAAMHASPSLADPASRHCTRPTPTNAPALPSPSHDPMPMWRRRLRFIYPLNEPRLQSKQGIFHQRPIPMAPAFGRSISFPLSPARSSSSSTTKKQARHVRSISLPTCRAHPLLAHLHATTRAVRAWAATAAADPCTTTSAPSAGLAHLDALHTALAELLVLPEPRAALATATASAFSDRLLDGLLALADAHGAFREALVDLRRHAAEAQAALRRRDAARLASAVRAQRHAEKDLARLASSARAAARLPLLPVAPAATTVAEVEVSGVLAEALAAAASASAAVFSALEAVSSAATAAAASASSKKPAATATLMSLVTRSSKAAVASDEDRELAALEKMEQLDECIAEMEAGSDKVPARATRPRAAAARHVRSISLPCRSHSHPLLAHLQAQTAAARAWAANPTAPASGLALIDALHAALAELLLLPEPQALVRRACAADSDHLLDAFLLLADAHRGFQESLLVLRRDAADARLALRRRDVARLASASRAQRRAEKDLARHAAAVSSSVARSVRLSALGGTGTAEEAEMAAALMDAGAASAAASAAVFSAVASVSAATSSSKKTATTLAAAFAKKAETADVAPEKLEELERCIDECESGSEAVFRSIVRTRVSLLNIQRSIVRDDYESMAPTTTTTVPPPARSARSLAVAGHVRSASVPCHTHPLLADVDDQLLALRSWTSNPGPKYPLSLAHVRALLCVLDELLRLPLAQAALSRAAGTADSADGLLDGFLVLADAFGTFLAALVALRQHAAELRAAVRRRDGAKLTSAARAQRQAGKELEQLAAAVAREAARCARPVATGVLLNARSPAEAEVERAVAEAVNDTAAAAAAVFLEVGAVADAAAALASPASASPKKRLPPLSKSKQRTVLGDGEERREGAALEKLQELEQCVRELESESEKVFRSLVQTRVSLLNIHTPTF >Sspon.07G0026000-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7B:49922478:49922628:1 gene:Sspon.07G0026000-1B transcript:Sspon.07G0026000-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHRIVFCLCCVDVEGEARPHRCGGRGRGVASRPGAVADSEALQAMWERG >Sspon.01G0044570-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:83945182:83948375:-1 gene:Sspon.01G0044570-1B transcript:Sspon.01G0044570-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHDFCFTIPYGFAVLAGGVLGYLRRGSTASLAGGAGAGALLLLAGFVSLKAFEKRRNSYVALALQTICALALTYVMGQRFLETSKIMPAGVVAGLSAVMSTFYLFKIATGGNHIPPKKE >Sspon.07G0016910-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:87252963:87254818:1 gene:Sspon.07G0016910-3C transcript:Sspon.07G0016910-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTRASSAAPAARRCSCVVVATLLLLLALAGTSSAQLSTGFYSHSCPGVYGAVKSVMKAAIAREKRMGASILRLSSSTTASSNGANNNIPPPTSGLVNLTSLFAAQGLSQKDMVALSGAHTIGLARCTNFRAHIYNDTDIDAAFAKTRQS >Sspon.01G0010010-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:27355083:27356639:1 gene:Sspon.01G0010010-2C transcript:Sspon.01G0010010-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ISDKKMMERVMELKGEVTCLFEACKDVVEKLNLVDVLQHLGIDRHFNEQIDTTLDNIQGAEFNSSSLHEVSLHFRLLRQHGIWVSADQFDKFKQEDGSFTSDITNDAKGLLSLYNAANLLNHNEEVLEEALLFARRHLVLIQSGLKSPLAEQVERSLKIPLPRTLKRIEAVSYIPEYSVNPKYNPAILELAKLDFNLLQHLHQNELKTITQWWKDLSEDIGLEYGRDRIVECYFWAYCVYYEEEYARARMILVKLFMLTSLLDDTYDDHATPEECREFNEAIERWDESDISLLPDDIKKFYLKVTKNFTVFEDELEPSEKYRNAYARKA >Sspon.01G0043840-3D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1D:69344874:69346316:-1 gene:Sspon.01G0043840-3D transcript:Sspon.01G0043840-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMDLDGYDDFDVSFADGSFSDEVDYDDFDVSFADGSFSDEVAPADDYDNIDESFADNSFSDEVAPARRLYDTSFNVLNSSSSSNRSGAAFCRLLSLQILDLSNNQLTGELPDCWWDMQALQFMDLSNNSFSGKIPEAPPTHNCSLESLHLAGNSFTGEFPSVVGGCLQLATVDIGNNRFHGDIPRWIESGAPALKILRLSSNNFTGQIPPELSQLSQLQLLDLSHNGLTGLIPIELGNLESMKHPKINSSIGSLDGSTYQDRIDIIWKGQELMTGIDLSGNSLSHCIPEELTNLQGLRFLNLSRNNLSCGIPEHIGSLNVLESLDLSLNELSGTIPPSLSSLVSLNTLNLSNNNLSGKIPTGSQLQTLTDASIYSNNPGLCGPPLNISCQDPSHAFDEGNGGEFQDPWLYYCVIAGIVFGFWLWYGMLFSIAKLRYSVFLFVDRMQYKIMQKVRPINHFLSKEKSDPSSVPMFFTSDTS >Sspon.01G0041910-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:17437365:17440448:1 gene:Sspon.01G0041910-2D transcript:Sspon.01G0041910-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGIHASEVKKLPCRTSSRRANCNSNKTSPGGLDASVNLLGQRVVQEGSSGVVSVGFLSQGAPLSVSLTDQQDVQHPANGSLKVDNSIRATPPQGGQVTGAKKRKSGCVRRFKQDEVTQEQGDIRDRTSDKPGNETVDSTEGETGDKNKGEDSGNQIHMPKIIKIIKPVRYFATVMDGVQQVAITFKALRSDGEEVFVDDKQLKAKEPLVLINYYEQHLRYNPTS >Sspon.01G0022440-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1B:84809595:84809797:-1 gene:Sspon.01G0022440-2B transcript:Sspon.01G0022440-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LIFKAAAASSAPSLTLLADTCGRLPALGGERGTISVRRGGNQASRGGCSTDGMARRSAVQLARQAGQ >Sspon.06G0018020-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:97621044:97622321:-1 gene:Sspon.06G0018020-1A transcript:Sspon.06G0018020-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGGTHSGGERWSLAGATALVTGGSKGIGHAIVEELAAFGVRVHTCSRSAADLEACRRRWSEKGLDVTVSACDLAVRADRERLMETVKATFDGKLDILVNNAGLLFFKPATECTAEDFARMIATNLESCFHLSQLAHPLLLNASLAGGGSIVHVSSIASFVALPLEALYSTAKGGLNQLTRSLAAEWARDRIRVNCIAPGGREVSRIPMRRAGEPAEVASLVTFLCMPAASYVTGQVICIDGGRTISA >Sspon.06G0002930-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:33073214:33082872:1 gene:Sspon.06G0002930-2P transcript:Sspon.06G0002930-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLISASRAGGGRNVSALCAMLGSGGSRIGLRLMQSLEEGADLLRERCLKFHKGCRKYTEGLGEAYDGDIAFASSLETFGGGHNDPISVAFGGPVMTKFTIALREIGTYKEVLRSQVEHMLNDKLLQFVEIDLPEMKDARKRFDKATLLYDQAREKYLSLKKGTRTDVATAVEDELHSARSSFEQARFNLVTALSNMEAKKRFEFLEAVSGTMDAHLRYFKQGYELLHQMEPYINQVLAFAQQSRERSNYEQAALLERMQEFKRQIDRESRWSPNGMNDSPNGDGIQTIGRSSHKMIEEAMQSASKGKVQTIRQGYLSKRSSNLRGDWKRRFFVLDSRGMLYYYRKQNSRPSSGYSNQRTSIPSEHGSGLLSRWFSSHYHGGVHDEKSVACHTVNLLTSTIKVDADQSDLRFCFRIISPTKNYTLQAESAMDQMDWIEKITGVIASLLSSQSPERRLLLSPKGSSHHRTASSSSSFSSSTELEHSINEDCMLEKNSGSGYFEHSARVAQHHRTSMMKPDKPIDLLRKVAGNNSCADCGASEPDWASLNLGVLLCIECSGVHRNMGVHISKVRSLTLDVRVWEPSVINLFQSIGNTFANSVWEEMLPSSSCYAEKDFVRKHNVDEIQLAEQMWDNVSSNNKKGVYSLIVGSNANVNFSYGHTSFNSALTLGKALLLQEQSTSPSNGSSRCFDCNPLEKGSTGDSVSPASTSARIDGLDDYVEGLSLLHLACRVADLGMVELLLQYGANVNSTDSRGRTPLHHSIMKGRHVYAKLLLSRGADSQAVDRDGRTALQYAIDSGTIEDEEILVLLEDPRQTTGPDVSTPLLTVVHVADSKATQIMMSKTLSCSFCLALLALAAESG >Sspon.01G0014150-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:42604210:42606094:-1 gene:Sspon.01G0014150-4D transcript:Sspon.01G0014150-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEIFEKMKVEFVSQDESVQVVADNVRDTGEVPERYVRSETKADPVIIDAEGYNLPVIDMSRLLHPDFSEEETAKLGSACEHWGFFQELLTADKARYKAIDGVEFTKGYFAAKLEGRRYLESLKLGL >Sspon.08G0020510-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:22611098:22615366:-1 gene:Sspon.08G0020510-1B transcript:Sspon.08G0020510-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALLSDLILAVASLQQQEDCPRVYLGDWEEKKHELVAYTIFQIPWLRPFVSISLITVMRVSFE >Sspon.05G0013280-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:37182429:37185791:-1 gene:Sspon.05G0013280-2C transcript:Sspon.05G0013280-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DIMENEGQLNNNSYEQDHDGFTMDEIPDLPWNSHMEYDVDALLGAEDHVNTNQTTDDVDHRSPVGETPSKRAKRFTMDQIQELEAQFRVCSHPNPDVRQELAAKIGLEERQVKACGDENKGIRQELAKLKAENEELKQRTLNPICFRCSNPTVAIQSVSENWRQLNENARLRDEYVRAKAYMDRLIREAAAERPPSAMPSSAQHLAPAHMNMDPVAFTSDYSMATNLEATLISHADRAMKEFVMLATKGEPMWVPAMDGEMLNHQEYIMQTFPGLLGLCPQGFVTEATRETDMIKGTAMDLVSVLTDVNQWSEMFPGIVAYVTSSNVISSGSSSLRDGLIQLMNVELWVPSPRLLNRSVKFLRFSKMMANGQWAVVDVSVDGICGVEQEGSSTSYTTGCRLLPSGCLLEDMSGGYCKVTWVVHAEYDETTVPFLFRPLLQSGQALGACPISTLGVGRRSVLELAGRMMASFYAAVSGPVTVPATSSVDEWRLVGSGSGVERVEAAVRLAVWNCADIMPGEPSVTVLSATTTVWLPGTPPLRVFEYLCDLQRRGEWDTLVDADEVQELGSVATSPHLQGNNAVSVLQPTTVVTDETDSSKVLILQETSTDVSCSLVVYSLIEESLMRGILDGRERRNIFVLPSGFAILPDGHGKAQADHTAADSSSSSAPIDGHNNNAGSLVTVAFQTLLPGNLSGNLDNTGAFEDARLQLCYAITKIKAAIGASTIIPA >Sspon.04G0020480-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:80820414:80822006:-1 gene:Sspon.04G0020480-2P transcript:Sspon.04G0020480-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GVGHGGRWRAGELAARGDGSGAGVRLLHGGARGARRRCGEQVRPAGGLGAQGEEHPSLLLREPAHAHLPPLLDLLLHLLRLHLRRRAAGPHHPRQPQPHQGRHRQRRGGLRIWLHLLPSRHGRRLRPAGPALRLRLPHLAVGAHRVLHVAHRRRRGLHRRPLPHRLLPRDVRLLPVLDEHHVQQQDHRHRQRTRRGLGQHGRRRHAAHHAAGVRRHPQVRRHALHGVAARLLRPRAHARRHGRAGAHARAGPPRRQPQDAAEEGQRQQGQVLQGHVVRGHQLPDLDLRPPLRLLHGRRAHHRQRHRRVHVRPLRPRPPRRRHHRRLLRHGQHRGAPHGRHPLRHRRALLGHARSPLEHLDPPDRRRRLLPLARPRQHAPRLHRRHGALLLVRAGRLRRRLRRHPLRLAPLPRHHLRHDRRRRQLRRGAHAAALLHLLHLLHGEGAGVHGHHDHGVHAAGRVRALPAVGFHVLPAQRHRRRGELLRLRVERRREEQGTPQRQPQVRREQPIRARQAQRHPGRRRRHAGARIS >Sspon.02G0017610-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:31970337:31976652:1 gene:Sspon.02G0017610-2C transcript:Sspon.02G0017610-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ALLPGVGVGVAAGRFSTTTADDSQRLAGKVALITGAASGIGAATAREFVSHGAKVVLADVQDDQGRALAAELGASAASYTRCDVTDESQVSAAVDLAVARHGALDVAFWNAGVVGSLSRPALGALDLADFDRVMAVNARGVVAGVKHAARVMAPRRRGSIICTASIAGVLGSVTPHPYSVSKAAVVGLVRAVAGELARSGVRVNAVSPNYIATPLVMRILQEWYPERSADEHRLIVEKSINEMEGVVLQPEDVARAALYLASDESRYVNGHNLVVDGGFTVGKVPNMPPYALPGKVALITGGASGIGRATAAEFVRHGAKVILADVQDDLGRAVAAELRGGPDPDAAAAAVCHYTRCDVADEAQVAAAVDLAVALHGRLDVMFSNAGISGSLAPVPVAALDLADFDRVMAVNARAAVAGVKHAARVMVPRRAGSVICTASVAGVLGGVAFPPYSVSKAAVLGLVRAVAGELARSGVRVNAISPTYIPTPLVMGAMAEWFPGVTVEERKRIVEKDMNEMEGPVLEVEDVARAALYLASDESKYVNGHNLVVDGGFTVGK >Sspon.08G0006170-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:16566954:16571647:1 gene:Sspon.08G0006170-1P transcript:Sspon.08G0006170-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMVPRTVLLLCCCLLVFPPPGSTPAVEAFVGGYGINYGRIANNIPSPDKVVELLRRAKIRNVKIYDADHSVLDAFKGSGINLVIAIPNELVKDMAANSSRSMDWLNQNVQPYLPQTRIVGITVGNEVLGGQDQSLYQPLVDAVKNVYDGLKRLHLESKIELFTPHSEAVFATSYPPSACVFKEELMAYMKPLLDFFAMIGSPFYVNAYPFLAYISDPEHIDINYALFKPNKGIVDPNTSLHYDNMFDAQIDAAYAALHAAGYDDMEVRVAETGWASSGDQNEAGASSENARTYNFNLRKRLFLRTGTPLKPKRPVKAYIFALFNENQKPGAGSERHYGLFLPDGRISYDIGVSGLLPSSASSSVLSIKKVRAGGWILHYWATVLLCIFILFGP >Sspon.01G0029980-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:77019949:77020982:-1 gene:Sspon.01G0029980-3D transcript:Sspon.01G0029980-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPTPAPGTAAAPAPHVVEDCLGFVQLLSDGTVRRSTDYSMLRPTGRVPSDSDLPVQWKDVVYDDTHGLRLRIREKKKLPVLVYFHGGGFCLLSFEMTSFHAGALRLAAELPALVLSADYRLAPEHRLPAALDDAESVFSWLRAQATSTSSAAGAGADPWLAESADFARVFVSGDSAGGNISHHVAVRHGSDGGLALAPLRLAGCVMLWPYFGGEEPTPSEAACPADQVMGPALFDQMWRLALPAGATKDHPFAPGSVPLGDLGAAFPPVLVVDPDQDPLHDRVVDYVARLKAAGKAVELVVFAGQGHGFFITEPCGEASDELIRVIRRFVHGA >Sspon.05G0021850-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:933496:937535:1 gene:Sspon.05G0021850-1B transcript:Sspon.05G0021850-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGASSSTGNTSPEAHEQREQETLASAALSLPLLRAAFSRSAAAANANALPDALAPPPASFRLPGSPPLPPHFHDILAGLGPAVASQFFGHGAAAAPEGDARWVPFLRGFNRCCARVPASRSLALLLRVYAAACVGAGAPCGVQVQPDEGGDDDDVKVVGELTPEEIAVFLWMCWVMVWSASVSMVAGDGGEKSEPVAVLLPDVTHLVLSALVSAGAVADDAGIWGWDISSGGKGVKIQEFTSWVLSTPVGLGNCLSRYVRDRFRSLGADSVEESSVSTVDTTFDTSDVYLLTRGRAWAIALSIRNKLSEKLLSASVIGMDTEDLLYRSSVHGKGLSRFWSRVEGYKGPMLILLSAFSKSGGENVDSGRRWVIGVLTEEGFESKDTFYGSSGFLCAAHPIFRMLPPSEKNFMYCHLHPQIRAYEANPKPVGLAFGGTIGNERVFLDEDFSRVLVRHHAVDKTYQHGSLIPNQGYLPVEASVLDVEVWGLGGEATKRQQNVYKKREDIFSEQRRKVDLKTFASWEDSPEKMMMDMMSDPNTVRREDR >Sspon.06G0015320-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:84436823:84440894:-1 gene:Sspon.06G0015320-1A transcript:Sspon.06G0015320-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGFSTGQLLVILGACSVMMKPSDMVKIARTAGRMTGRAVGRLIVARRQLDEILGQSAATQVHKELKEAMTQLDSIRYEVQNLSRLTPGQFMMKQHNTGAGMAEAGKSDSSDGSATKQEEFHQEIRSIIRDEIKNFVSTTEARKFDVADEPTTLKSKDMKMANSGLTNLHSQAMTYARLSEAPGLKMGSSSSGNYQEQFKKAMGC >Sspon.06G0001350-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:4347854:4357671:-1 gene:Sspon.06G0001350-1A transcript:Sspon.06G0001350-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKQDMAGHTHEDRYANVDLVCALCDNGGEIISCEGRCLRSFHATNDSGEDCPTLGYSRQQLDVFPCASSTCGHFYHAKCVAQLLFPENEAKATEYTAMIASGAKFACPLHKCGICKYGENKEDKELQFAVCRRCPKSYHRRCLPRKHEINPEYRTPTRNHIKFPEDPTALRKPLNCVNGMNKKAVKIRRLDELPSNKPLNCVNGMNKKTVKIRRLEELPSAPLSNVKRSSCTVRSSSLRNLMNKRRKVTVSEEKPVAMTKLPEIDRDTEMRQVCFSGTLVIIQKIKYFVQFMLSLVLLYPFLSMICTACTEATLLSRMYEFAQKAAAGITMEDVKKKLVVPSTHAPYLQNADNITLEKVEESVEAVKDALHMLENGASIAAAKSVCPPHVLFQLVKWKVHGNVELSSWLFYSNYVLLLFFYIFTALDGKVQMCNEWLNMVFYVLEFGIHYNMFYYLQNKLNVFLAPFLHGMRYTSYGRHFTKLDKLQLVVDFCCGSNDFSLLLKETLESSGKNCFYKNYDLIQPKVNDFNFERRDWMTVQPDELPTGCRLVMGLNPPFGVKASLANQFINKALTFKPKLIILIVPKETERLDKKYPPYELIWQDSDQLSGKNIAIFFTDIFCWETMQSFYLPGSLGVDNKVMEQWNMSPPPLSLWSRSDWAKRHSEIAKSMKHIPNEIASSGDLQMDMAASVPTTEHVDIDDAEVAGIPPCFLDQLMSDTFHDTTTSPGDCWNDTNGRSRQPCNYETAGPGRSDPTYDHTETCSDMSISLSESDFQRKDQALSMPEHGGTNSEASDAVGSASAEKPTVDADHDEVTSAPGPYHLPGNASEAGRQAAGVHYWMREDSPLLEDGELSDAPPVGRPAAGTHHQRTEHRPAAATPGAASWCGQPDHSPPVARHNARTLPPRNTFPGLRLWQGCNTSRQFLSQGMGHQAVHQGPSNAWIEDEDY >Sspon.02G0001760-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:5738966:5742838:-1 gene:Sspon.02G0001760-1A transcript:Sspon.02G0001760-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVARLRAAAAARLQPALAGCGERRPLGTAAEVATAGPGAARWELMGAREYYDYRRAIYGDITHKAILVDAAGTLLAPTEPMAQVRSARPRFPSIADARARLPPVYRTIGQKYGVDYSEDEILMRYRRAYAQPWGRSRLRYVNDGRPFWQYIVSSSTGCSDLQYFEELYQYYTTEKAWHLCDPDAGRVFEALRRAGVKTAVVSNFDTRLRPLLQALKCDRWFDAVAISAEVAAEKPNPTIFLKACELLGVKPKEAVHIGDDRRNDIWGARDAGCDAWLWGSDVYSFKEIAERIGVESQSNLISVTIPDVNFRFLCLNFGSSAKPESVHEKANP >Sspon.08G0008730-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:35203039:35214442:1 gene:Sspon.08G0008730-2C transcript:Sspon.08G0008730-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:U-box domain-containing protein 5 [Source:Projected from Arabidopsis thaliana (AT4G36550) UniProtKB/Swiss-Prot;Acc:O23225] MRAALASHLARKRGPNEESASFFLSPEVHSKMCNELTLMLDKVSSILPSIEAAQPGCKAGVEELCNLYNIVGKGKLIIQNCIECSSLYLAITSEATAMRCERIRNSLRRSLFLIQNMVEQLLANEVADVHNDLRDLKFIVDPAEEDAGKVILEMLRHSEVTQELELQTFLLAASKLNITSPKAVLIERRAIKKLLAKINGTDQKKEGILKYLLYLVRKYGKNTNPGTNEKNQNLNVATEVVSLDSIVNGINTTERCNSAAESANTRYVDQNSLSGAATPPPEFCCPLSLKLMRDPVIITSGQTYERENIERWFNVGYDTCPRTHMKLKNFTVTPNTCMKAVIHNWCKDHELESTYLPEQFQNCYSVSSLHNVSAPLIIEKNRDYTVDYSSSSFGLSGASYISSPMRETEQSKTSFDQFYSNANYQLYLSFCNFDKAMFLGFFHELSELPFELQKKAVRDLKTVLRGENQIWHSMVCNGFFEAFHEFLKNDSGIHTLQAQRAGIQFFLAFLSSGRARIPSVCEDVILLIASLLDSEFKQETLQIVHELLQEPSCRKSSLMASVFSPLVFGALDSGETKFLDLALQIICKISSDNDMKSYLVSSGIVSRLSPLLGEGKMTECSLKILRNLSEGKETAGFIIRTGNCLSSISDHLDTGSHSEREHAVVILLAVCSHSSEVCSLAMKEGVIPALVDLSVSGTKVARDCSVKLLQLLRNFRRCDQFSSSCSSEVAADHVSEKPPNDSVCRQPISKSARYISRKLNVFSKPRSLTLA >Sspon.02G0045580-1P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2D:89158656:89159054:-1 gene:Sspon.02G0045580-1P transcript:Sspon.02G0045580-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRNDPSRNLSKEKKKALEIFPSFFPIYQDSGAGQYSFSITSVLELSAASTSTHLMQPHQLSRYSRDETQEPRLCSPSRSCEAAAALIRSSQGNGAVSWHHPIVHHGLSSRARRWTGQANRSSTCSGVPARR >Sspon.01G0017150-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:64517023:64527603:-1 gene:Sspon.01G0017150-3C transcript:Sspon.01G0017150-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADESWRVPTPVQELAAGVVEPPSQFVLQEQDRPESLLLATNLPEPIPVIDLSRLAAAEEASKLRSALQTWGLFLVTNHGIEASLMDHVMAASRDFFHQPLHEKQKFSNLIGGKRFQMEGYGNDMVTSQDQILDWQDRLQLRVEPEDERNLAYWPKHPDSFRDLLHEYASKTKKVRDNVLRAMGKILELGEDYFISQIGEKSPAIARFNYYPPCPRPELVFGIKPHSDGGAVTILLIDNDVGGLQVQKDGIWYTVPSKPHTLVINLGDSMEIMNNGIFKSPVHRVVTNAERERLSLAMFYGVEGQRVLEPAAGLLGQERPARYRKIKAADYIIGLRQGIAKGSRFIETLKI >Sspon.08G0006300-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:16750112:16754973:-1 gene:Sspon.08G0006300-4D transcript:Sspon.08G0006300-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVLVVATTSDPASIGPAAAFLAMPGWSPGPPIAEGMESFTNGNVRLLKHERSIIAEDDLDQRWQEATGESVSEVIFLSKHTAVSKRPALTVHPIGVPHLKEDETPPQGGIPGWAAIPSPRIGPWLRLMQKIAAEQGLVPEFEITLEATHHGPVTSTPTMFVEIGSTEDHWGRQDAAQAIALVLWKGLGLEDGDAVGSWQ >Sspon.08G0022330-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8B:48181625:48181984:1 gene:Sspon.08G0022330-1B transcript:Sspon.08G0022330-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ASASLASSERRSLAWLVSGFYPLSVQASKAKQPASQPAAAATDRQLARSIAWPPAAAGPPQAASDGGAAGPGGGDAPASAHAAEPLHRRRRRRPVPQWVYAVFWRILPRNYPPPKCVPS >Sspon.04G0007200-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:19676828:19678961:-1 gene:Sspon.04G0007200-1P transcript:Sspon.04G0007200-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNKVFSLRSRPPTSTAGDLAAAVAMDRWTGVLHVPLSRGGPLFRVAASLLLSPAKTLAVPRVNAILFTGDRVRGTGDPAIERLSDAVHLAGVLAGKLPVETNAWVIDAACFAGPFAVYRELVPTVDGAGDPKGYDPTGLPAAAGVANILAHSIGEIQNRFLGFSAKDLTGNQHPSASLSSYSPPRTVILGFSKGGVVVNQLVTELSHWASGSMKNSVDVSRPNPSHLTQNLLVPTSNSDVLSSISEFHYVDVGLNCAGAYITDYAVIERICDYVVHAGKNLRFVLHGTPRQWSDPNRSWIRKEKDIMLQVLREEAHRSERRLVSSEKLYFEGRPRSLLMHFEILEAMDIS >Sspon.03G0039470-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:16139535:16144072:-1 gene:Sspon.03G0039470-1P transcript:Sspon.03G0039470-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQPHLSPQLSPSPVLSSHFSPPATGASPWRRRLHRGRAFQPTLSSLREPNKATLRKASPNVPFRLGGGGGGSGSPKDRRPAADDKEEEAEGDGGAGALTGTLIAGALLVLAIPAIPLTMSAGLLFGSVTGTIIVSVGGTLAAAVAFLIARYFARERILKLVEGNKKFLAIDKAIGENGFKQDESEIGLGGNGQLWTLGVGLLFTAIAATYVTRLAKDAVKEIDD >Sspon.07G0000210-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:615690:617048:1 gene:Sspon.07G0000210-1A transcript:Sspon.07G0000210-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AQDDDDDEPAPEARRRRRGGRRRRTGQQQEETRHQRAHLAGRQRRPAPGAPPLPAQPASPAGGTGRRRRRGDRRRRSRVVVVVRARRRRRGQRPRPAPAAAAVGEGPVARVVGPVQQRRLPGGGLPRRLPDGARHLRDALRRAGRRRGQGGHGAARRHPGPAARGGVRVAARHGGAAPPRVQALRPRHLHLPQAGPGGVRRHPGPPDAALPPLARRRRRRRVQGPVPGGVRDPGVGGRHLHHPHPHHRAQDVGGGLLQPAAHGAQPQDVLLHHAPRGGGPRRRLHRRLHRVARVHARRPGAGAVGAAAARGGRDDGGLLGGGRRQLPAHGLGADALRAGQPDVGAARLQREGGGGPARRRRRLRAPQGPVGVPAEAHRGEAAGPPRGAGRLLRAPQHLRVARGGHGPRAPMRHRRRRDGAGEPRAVGRRHQGQGRHRAQPAPQRTSRHQILLK >Sspon.06G0012850-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:51223887:51228829:1 gene:Sspon.06G0012850-4D transcript:Sspon.06G0012850-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AMGVVIEREEWALTPLAYPLLSAAALAAVLLLPYFSAGTSAHATGSSSPFDVGTAPFLRFRRAFLVLFSLASVVEGIHSVFGEDEFVRCGLGREQMAARLASTTAAALFPGAISGVISDNRGPRRACILYWVLQLAVGVVKSFSVLRCSWINNFILAFASSVFSFCFEMWLVLEHEQQDQKQDLLFDTFWLMTFFESVSLVGSQEITNALVSDDNSRFLLPYAFAATLSVAGILYIRNASSTSQHASAIGSYQKSFFAHVLTDRRVLILVLAQASIHFAVSTFWFLWAPTIQADGRYAQLSVIYPCFLASRMLGSAGFPWFYGTTAPFRNEDSLTIAYIGAGLALFIVAYDYQEIGPLVIVFCIFHACVGFILPSLARLRTRYLPNELRGGMMSFSLSLANAAIFIFLLQGAHHRRVANSTILSLASYGLLGAGGCIHMLRWRKHTRQNAR >Sspon.01G0035870-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:15153686:15166482:-1 gene:Sspon.01G0035870-1B transcript:Sspon.01G0035870-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMVPNGLLPSASARVTRRLDPERWAVAEDRTAELIARIQPNAYSEGRRLAVYHYVQRLIMNCLSCQVFTFGSVPLKTYLPDGDIDVTAFSNSEELKEIWANLVRDALEREEKNENAEFHVKEVQYIQAEVKIIKCLVENIVVDISFNQVGGLCTLCFLEEIDNLISRNHLFKRSIILIKAWCFYESRILGAHHGLISTYALETLVLYIFHIFNNSFTGPLEVLYRFLEFFSNFDWEKFCLSLWGPVPISSLPDMTAEPPRMDSGELLLNKSFLDTCSSAYGVVPRTQENQGQPFVSKHFNVIDPLRANNNLGRSVSKGNFFRIRSAFAYGAKRLGKLLECPKEDLIAELNQFFTNTWIRHGSGSRPDVPTPSLVDVQPLKVVPSVVSGSQRSVTAFKKKVENPKLHANQDNLRPNQDNLTEVGHIYTDPSQPIHKSDIHYRNLPRAVNPSVTHVQHQKNYTPQGNAKVSEQLERNNSAGLMQSERDKRVPNGLFVNDRNGQNRSRFARTRSSPELTDSSAEGFRGRRTNAVGMEKSLGVDYSSRRNILVPEVSSNHSTKSSQDESVSSLNSSSHPSAMAASESNSVSSSYRDDNGFVMNEELPSVSESSDMHHDEQVLVNLMESVKLHGFNGQIQLPMQIPSHMSVAHPPLLAPTTFSQKHLAGVPPANLIGTPWLPNMQFLHGFVPPPMAHYIHNPNFAPNIEDGTETEKPNTSDVNHDAGKTWQEYGVGFSRQFDPEARDPHIYGIDGKEHSSLPNGVPGAPLERQMKFTVENNGVDDETYTGMFQNHTSREAAVDYSKRSGYVNVLSSHASSSKGKTLDSSSWDEMTVNTTRPSREKWGKRSAFAAPATTTHGKTGWQMGNTVEHLPAEVNDDPRNGTVVPIITEASEIVTGSDSFSAQSRTSQVPNDFDPSQIGMPNPVFAPFLIGSPQHRQGDSSGLTFVQTGPPVPFVVLPFVPGNSDGSGPQFERSEGIDQLPANTVGQFFGSLNDVHQQDSSATSTVSSSSMTEPSGEHKPDILNSDFVSHWHNLQYGRLCQNPRPMGPVLYPVPVPPMYLQGHAPWDGPGRPAAPNVNWPQMVGGQRVFPVMPVQPSTERTGVLQHYGEDAPRYRGGTGTYLPNPKVPYRDRHSNSRNYRGGYNGDRGDYSDKEGSWINSKQRNPNRGYGRSQSERSGMRSDRQVTDDSQSDRQRRTYRNDSYRHEASSQYLVQVQPFGSTSSMRKPGNTAHGVYAQPSTSSNGAGALSGPPGPPFFMVYSYEQGSNHGASSSEPIEFGSLGPLPAADGDDTPRSTRQVMPNGFYGQRRGPYRGGSSHSSPDQPSSPQHLESSYDGLITWFLALKPCDSNISVHPS >Sspon.02G0007930-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:23059739:23062314:1 gene:Sspon.02G0007930-1A transcript:Sspon.02G0007930-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPRHPAAVRQPGRGRFQQLPRLLPQHCQPVHSASTTHASSTPPRQLQAMAMETAASFLSSFLGGGGGGEPAATVKSIFIYPIKSCRGLAVPQAPIASTGFRWDRQWVVVNAKGRAYTQRVEPKLALVQVELPPEAFAEDWQPTPDDHMVIRAPGMDTLKIPLAAEHTTIDDVSVWEWSGSAYDEGAEAAEWFSTYFGKPSRLVRFKEASETRPTNPDFAQGYKITFTDCFPFLIASQGSLDALNEILKEPVPMNRFRPNGWMPPVSEDLWKTIKINNLTFLGVKLCNRCKVPTINQENGIPGTEPTETLLTFRSDQVLRPSHKNKRQVYFGQNLVCKESLSGKGKGKIIKVGDPVYVLQAFASPNEAPA >Sspon.04G0014510-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4B:57001478:57002473:1 gene:Sspon.04G0014510-2B transcript:Sspon.04G0014510-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MWDAVDSMHSRRLLSLDTFASVFSSLAASPGCSPLEAFTDMPRYGMTQDTPALNSLLSALCRADRLDDARAAIPVARAEAGTRPDADSYAILLEGCEAAADPRAARDVFDEMVRAIGFDPDNVPAYDAFLTTLVSSDSSTALPEAMYYLDFLNRRGCSPGAGERFFHAALSAHLEAGELRGATYLWDKFVGRGGLVPDMEMYNTMIMLQGSLGHAEVIVDYLDDMIFNGVFPDTYTYNVVLQILMEGRELHKAAAIFSEMVKNECWPNEGNCSLALRLFLDARYWETGIKVWSCVVENGLPPLEECGNMLVSKLKDVRLPEACKYAEDMVDR >Sspon.05G0028410-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:63302426:63306458:1 gene:Sspon.05G0028410-2C transcript:Sspon.05G0028410-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MESPTTTIAASQIAMPVASFGGGVAGEERSGRAAGQMAEAVLLALTKIGSVLADETAKKMLAKLSEKVNNLRDLNDKIELIRMQLTTMINVIQKIGTVYLTDDVVRGWIGEVRKVAFHVEDVMDKYTYHTVQMEEEWFLKKYFIKASHYVLVFTQIAEEVIKIEKEIKKVIELKELWFQPSQVVADQLIEMERQRSHDNFPLLIKDEDLVGIEDNRRMLIGWLYSDELDSTVITVSGMGGLGKTTLVTNVYEREKINFSATAWMVVSQTYTIEGLLRKLLLKVGGEQQVSPNIDKLDVYDLKEKIKQKLKTRKCLIVLDDVWDQEVYLQMSDAFQNLQSSRIIITTRKNHVAALAHPTRRLDIQPLGNAQAFELFCRRTFYNEKDHACPSDLVEVATSIVDRCQGLPLAIVSIASLLSSRAQTYYIWNQIYKRLRSELSNNDHVRAVLNLSYHDLSGDLRNCFLYCSLFPEDYPIPRESLVRLWVAEGFALSKENNTAEEVAEGNIMELIHRNMLVVMENDEQGRVSTCTMHDIVRDLALAVAKEERFGTANNYRAMILIKDKDVRRLSSYGWKDSTSLEVKLPRLRTLVSLGTISSSPNMLLSILSESSYLTVLELQDSEITEVPGSIGNLFNLRYIGLRRTKVRSLPDSVEKLLNLQTLDIKQTKIEKLPRGISKVKKLRHLLADRYADEKQSQFRYFIGMQAPKDLSNLVELQTLETVEASKDLAEQLKKLMQLRTLWIDNISAADCANIFASLSKMPLLSNLLLSAKDENEPLCFEALKPRSTGLHRLIIRGQWAKGTLQCPIFQGHGRHLKYLALSWCHLSEDPLEMLAPQLPNLTNLRLNNMRSANTLVLPPGSFPYLKLLVLMHMPNVKKLVIGKGALPCIEGLYILSLGELDKVPQGIESLHTLKKLSLVNLHRGFLTEWNKSGMHDKMQHVLEIRV >Sspon.01G0057390-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1D:6436421:6437641:-1 gene:Sspon.01G0057390-1D transcript:Sspon.01G0057390-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVVLINSVLDSQLVYLMSSLPIPPGVIKQVDARRRLFMWGGTQGSTHAQCLVAWTNVCTTKDLGGLGTKDLGAQNICLLLKLIHRLHSAESSAWAHWVRQRASLSNLQGDLHGHHWEILRSLLPLYQAITSVAIGNGNNTSFWYDVWSGDDSMADRFPILFSHCIKKDISVRAAVSSQLHESFVTRLSPQAICELETITTIIQSTILDETEDQRLSPFSLGNGKLDTSGVYKLIKSQGCSSNPASDFIWESSAPPRVKFFVWLLLQGRIQCRHNLCKKTIVDSPICEVCNSEDETSEHIILRCGFTVAFWEAIGFSWSSDQSIGELYQATRPNNIPEDQFETFIALCCWQLWKRRNGIVFRNEALNLQQVLAACKVEAQLWRLRMPVPSRAVTNLWCHVFNNVM >Sspon.05G0014570-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:51958520:51964449:1 gene:Sspon.05G0014570-4D transcript:Sspon.05G0014570-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMASAGVNVPAAGAGGDGVGNPAAASGATQECRLRRRRRLEPQGSGEAGRGWVRRLRPAASRFSPSSSSSQSASQGSSQEEADPDVQEMELAPLTSAEPQPEPVAAVAAQQVWPVAFGSVTMAGRMRIMEDTVSLHPNLCTWAADGSPMHFFAVFDGHGGTHVSALCRERMHELVAEELEREGAAFLCRRQASSDAARSVVAAFLGGPGPAADQPWSEQAEEEQAWRAALMRSFRRVDAMAPLACACGRVTHPACCCPLSASASGAAAAAAARSSGIVGSTAVVAILVRGRLIVANCGDSRAVLCRGPEGTPPVPLSFDHKPNRPDEMARIAAAGGRVLFINGYRVRGILAMSRAIGDRLLRPEVIAEPEITITERTVEDQCLILASDGMWDVIDNDIACNVARQCLEDGIPPPAYAAAAAAAGLAPPAAGGVVGHQEDPRCVCAASLLGRLALGRETEDNISVIVVDLKHRG >Sspon.08G0014600-3D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8D:56706331:56708790:1 gene:Sspon.08G0014600-3D transcript:Sspon.08G0014600-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGRRRRIELTGTTVAWGLASRAASHESSCIGQGKQRGTGHGVEWCNTGWVCRAVARWRVDGAVSHKEQSEMVQYGGSPASMLEAPVPGSLPLCTYRDSIRRVSVSGDPCGSVHWFFPASCDTEADNGAYCWSSASSASSPALDAWARCSPLSSSLSSGWEAAEDPDGVEELRAIALQMVHDGYMQGLIRAFGAGRSSSARRRGLDPGLELEESLLGSWFLELDVEWVLSIWEGDREQLHLEDGCASLLYMMERWIKALKIMVQVLCITQQELRARRPTVAVGGVRKAIWHFMLLATGKMAEREQEVAQFVRFAEASILRMLDFVDAVADAALNDDQAPETLPGMLQVYTCVVDDSPAVLALFEEASMTSSMFDAMNSLFLRKMNRLSDAIWSIMEKVRASFVTDDSWRVSSAEAGGVHKTTRLMMNYIMLLSRNERALSLIQQDQQRHVSPQPDYYSSSVDILIKDMISCLEKQLEKTSNFISDPGLRYIFLMNNCSFISQKVSSLLLPSWTLFEDYKMDRPKKRDSRERPPPMEDYVNQPDPNLQEQIETDSNLDGLLMIQSFIEAYLDASWEPLMSCLYHDIPRGFLKLGARLDKFEREFHRTYPMQRQWKVPNPELRKKLRKAVIEKVIPGYSKYLAERTAKGKSNRPPKNTALELEELLEELFEG >Sspon.01G0052380-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:20156163:20157954:-1 gene:Sspon.01G0052380-2D transcript:Sspon.01G0052380-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding NDDDGAASAATAAGTAAGGSGGVRGHHAHQGVRGRAGVGDPQGHPPRALRALRRHPRGRHHLRQAHRPLQGLRLGDVQGGGRGQEGVRGRHPRHQRPSRQLQPRLPRRQAAAPAAAHPPPRALAAGHPGAARARAPLPTPAHASPRDGIQGRVAGAMVLPPLHDAPSAAAAGRALRPRRAPAVPRRAPILPRRHRLRVLPELRRGPELQCEGRPSGSCRHGRVVPTGALRVPGGSAGRHGGGGAQRHDAGVPVLPLPVPPRHAGSGRRPRRALLPYGLRRRRHHRPGHRLQAHRHGRRSQ >Sspon.08G0006300-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:16782643:16793958:1 gene:Sspon.08G0006300-2B transcript:Sspon.08G0006300-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVLVVATTSDPASIGPAAAFLAMPGWSPGPPIAITLEATHHGPVTSTPTMFVEIGSTEDHWGRQDAAQAIALVLWKGLGLEDGDAVGSWQGNCEKVLLGIGGGHYVPRHMDIVIKDGVWVGHLLSGYSLPMDTPQVNGKTSGEVTGMWKHAIKVSYEATKAAFPGGEVIAHLDHKSFKGWQKIAVTSFLQEQNIRIGKPSDFF >Sspon.01G0012410-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:22901776:22906066:-1 gene:Sspon.01G0012410-2B transcript:Sspon.01G0012410-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVSRDDELVIKSPNDQRSYRLLRLANGLCALLVHDPEIYADGYPPQASKPREDEEMEEVDEEDEDGDDDSEEYSDEEGEDDDGEEDEEEDEGESKRRKVKGGAEPIVKKAAAAMCVGMGSFADPEKAQGLAHFLEHMLFMGSSEFPDENEYDSYLSKHGGASNAFTETEYTCYHFEVKREHLKGALDRFSQFFVSPLVKAEAMDREILAVDSEFNQVLQSDSCRLYQLQSHTCSQRHPLNRFTWGNKKSLVDAMGSGINLREEILHMYMRNYHGGAMRLVIIGGEPLDILEGWTMELFSKVKAGPLLDIGPKTDIPFWKSGKLYRLEAVRDLHSLCLSWTLPCLHKEYMKKPEDYLAHLLGHEGKGSLLYFLKAKGWASSLSAGVGSGGSQRSSYAYIFEMSIRLTDSGLKN >Sspon.01G0030480-3P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2C:78241619:78246386:1 gene:Sspon.01G0030480-3P transcript:Sspon.01G0030480-3P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDALD >Sspon.04G0013570-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4B:48468241:48470292:-1 gene:Sspon.04G0013570-2B transcript:Sspon.04G0013570-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MIEVEAKRVLPLLFFYGLHLASAFAAGDSGDGDGGFVYSSFAGASLTLDGAAMVTPRGLLQLTSETALSKGHAFHPTPLHLRGPLGDGEKKAAAVRSFSASFVFGIVPVTPGMGGHGLALVVAPSKDLSSGMASNYIGLLNSSSNGSVHNHIVAVELDTIQSPEFHDIDDNHVGVDVNSLVSVDAASAGYYDDRTGELRNLTLVSGQVMRAWVDYDGDATRIDVTMAPVGTERPKKPLVSATVDLSTVIVADVAYVGFSSSTGRLSTLHYVLGWSFAVGGPAPAIDMARLPPLPDRRNSGSRSSKTLVIALPVAVGALAIIAMVVACVLLVVRRRYIELREDWEIEFGAHRLPYKDLFDATDGFKDKNLLGMGGFGKVYKGVLPTSGMEVAVKRVWHESEQGMKEFVAEVATIGRLRHRNLVQLLGYCRLKDQLLLVYDYMPNGSLEKHLYTHDENTAPVLNWAQRFQIIKGVASGLLYIHEEWEQVVIHRDVKASNVLLDGEMNARLGDFGLARLHSHDAELLHTTVVAGTFGYIAPELALTGKASPLTDVFAFGAFLLEVVTGRRPVQDTVDGDRLLLVDWVFEHWRKDHSLIEVVDPRIQGDYDVNELSLTLRVGLLCSHPLPSVRPSMRTVMQYLAGDMPLPEIMPTHMGMSMLALLQSQGFDSSIMATSSSSSSSMRSS >Sspon.03G0036570-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3D:54249962:54251167:1 gene:Sspon.03G0036570-2D transcript:Sspon.03G0036570-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding NQTPLKCLRRRGAPAPGPRSWRRPAPLATPFATRLLHRLLAVADSPLPTASPGLLGEGGGPCQMAAHAAKKRRPEEEEAEEEVHLAFRGAANALSQVYAHAVAHQKASFVAGERRAMENVHQWLSSHLEEASEVPVAAVLAYLQVM >Sspon.06G0027460-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:84387685:84390159:1 gene:Sspon.06G0027460-2D transcript:Sspon.06G0027460-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMPSCRPEGLNNKSNIAPNPFTQTWHQNGKCPENTIPIRRTKEEDVLRVSSIERYGKKSPWSIPNRFSIDDPDTVNVLRGHQHAIASAPEDDNYYGTQATFNLWEPIVERDEGFSLTQFWISSGSYSNNDLNTIEAGWQVYPGLYKDRHTRLFIYWTRDAYNKTGCYNLLCSGFIQTSNQIAIGASNSYLSPVSIYGGSQYDITILVWKDPKEGNWWLQVGGHDLGYWPTSIFSKLAGSAASVEWGGEVASSPDAGQTSTQMGSGHFPEEGFSKASYVKNIQLVDSTNNLKSPTGVNLLAKWPKCYNVQN >Sspon.01G0013750-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:40300521:40301853:1 gene:Sspon.01G0013750-3D transcript:Sspon.01G0013750-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVTRRAASLPLVLLLLLVVFLAAPSPADGCDRCVRRSKATYQASSLALHAGSCGYGALAASFNGGFLAAAGPALYRGGVGCGACFQVRCRDSELCSAAGAKVVVTDQARTTTSNRTGLVLSAAAYAAMATSAGKAARLRDRRVVDVEYKRIPCEYAKGRNLSIRVEEKSRHPSDLSIRFLYQGGQTDIVAVDVATVGSSNWRFMTRDHGPAWSTAQAPAGPLQLRLVVTGGYDGKWVWAESEVLPRRWEAGRVYDTGVQVSDVAQE >Sspon.07G0007790-3C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7C:17056469:17060535:1 gene:Sspon.07G0007790-3C transcript:Sspon.07G0007790-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEEYDVIVLGTGLKECILSGLLSVDGLKLWKRFRGEDKPPAHLGASRDYNVDMVPKFMMANGTLVRTLIHTDVTKYLSFKAVDGSYVFSKGKIHKVPATDMEALKSPLMGLFEKRRARNFFVYVQNYNEADPVTHQGLDLTRITTRELISKHGLSDDTVDFIGHALALHRDDRYLNEPALDTVKRMKLYAESLARFQGGSPYIYPLYGLGELPQVEFDMEGKVCGVTSEGETAKCKKVVCDPSYLPSKVRKIGKVARAIAIMSHPIPNTNESHSIQIILPQKQLGRKSDMYVFCCSYTHNVAPKGKCIAFVSAEAETDNPQSELKPGIDLLGQVDELFFDMYDRYEPVNEPSLDNCFVSTSYDATTHFETTVTDVLSMYTAITGKTVDLSVDLSAASAAEEY >Sspon.07G0026330-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7B:52847800:52848688:-1 gene:Sspon.07G0026330-1B transcript:Sspon.07G0026330-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGVVKVYGPAASPYVATVLVCLEEAGAAYRRTSSSPSTWRRARTRRRTTSPVTHVLRKHKSGGDLLREGDPEKAAMVDVWLEMEAHQYEPAIAEIVRHCVILPMIGAGGARDQRVVDESAGKLRAVLAAYKARLREHQYLAGGDGVSLADLAHFDFTHYLMATEYAALVEERPAVAAWWQRIAARPAARK >Sspon.05G0009400-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:18572635:18578554:1 gene:Sspon.05G0009400-3C transcript:Sspon.05G0009400-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIWLLISRTRLADPFLSLIPIAGYHEEVGVDRPRRERAPVLRSRGAPSTTAVMSRPAFQQFMNYSSPHGGDPNANTNSTAARIAADPDTHQDFEPKSRSSDMSLHDIVAQDIKDNPVLIYMKGFPESPMCGFSALAVKVLQQYGVPICGRDILGDLKLKESVKAHTNWPTFPQIFIKGEFVGGSDIILSMHQAMADFFIIIFFRRVNLRIFLGILHKEMNKKQMLMIHEAIHSSRHPATSQTNASASGRGCGSGGDQVGVPALDCVRQPAAVLHGRGPAAMGGAAAAVAELRAAFAAGIQVGVGASPPGRFGSPFFFV >Sspon.02G0004550-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:13798376:13800340:1 gene:Sspon.02G0004550-1P transcript:Sspon.02G0004550-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MACAAAPSPLHARRSATAACVVFAVGPHPPRFYRRFKPSTGGAPRSSLRVVASSSKADPVEERSPVAPLADVAVSADASSPVEPQPQVSTGTWKWRGYNIRYQHAGTSGPALVLIHGFGANSDHWRKNIPVLAVAHRVYAIDLIGYGYSDKPNPREIRENFYTFETWGEQLNTFCAEVVQSDAFFICNSIGGVVGLQAAVMEPQKCKGIVLLDISLRMLHIKKQPWFAKPFVKSFQSLLRNTIVGKVFFNAVATPESVKNILCQ >Sspon.08G0025760-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8C:12737145:12745201:-1 gene:Sspon.08G0025760-1C transcript:Sspon.08G0025760-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNGRLKAHVQNPYTRGASNDAQEASKMLNLLRSSLFLSYLEVLAKGKTSDAIKKLVELVPSTAVLVLKDKEGKHVGEREIDARLVQPGDVLKVLPGSKVPADGVVVWGTSHVNERTLTQGKAVVTTAKVFSGMDLGDFLTLVASAEASSEHPLAKAVLDYAFHFHFFGKLPSSKDGIEQQKDKVLSQWLLEAEDFSAVPGKGVQCSINGKHVLVGNRSLMTENGVTIPPEAETFLMDLESNAKTGILVAYDGDFVGLMGITDPLKREAAVVVEGLKKLGVHPVMLTGDNWRTAQAVAKEVGIEDVRAEVMPAGKADVIRSLQKDGSTVAMVGDGINDSPALAAADVGMAIGGGTDIAIEAADYVLVRNNLEDVITAIDLSRKTFSRIRWNYFFAMAYNVVAIPIAAGALFPFTGLQMPPWLAGACMAFSSVSVVCSSLLLRRVERRRLLASVLPSQAPSALPLPSHVAGATAWPVPSGSSRVVLPGTHRPTRLPVPGCGVCERLLRDGVGRTSTTAVVVHAQCHSYVELSYDAFDCLRPPLE >Sspon.02G0033970-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:12321162:12324085:-1 gene:Sspon.02G0033970-2C transcript:Sspon.02G0033970-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MESTYGTEESNSKDSSADLPTPPAAKEVPGHSDHEGPSGHEVKSAQAETENSALHIVSADSLDSSLQVLETRLPDLTEDIAKLNAPSNTDPEIPSNSGPTEAYISSTNDKADYPSSVDASEVNGVPVNVSNGARTMLRDEMKPKEDETHHQTDTHTKLKMKQDSETTPESPYRGLIDTAAPFESVREAVTKFGGIVDWKAHKAQMIERRKLIQPELEKIRTEIPLCKEELEAAEMAKSQVVDELEHTKRLIEELKHHLEKVQVEEAQAKQDSELAQLRAQEIEHGVADEASAIATTQMEVAKERHKKAVAELKSVKEELTSVREQYAALIDERDTAIKRAEEVISAGKEIEKRVEELTLELIASKGSLELAHAAHHEAEECRIGAVLAKEQDCIAWEKKLHQAQEDLEQLDSKLKFNNDMQLNIDANLRKLLSLKSELSAYMVKKLTEEAEGVSKEHESEDSKHISNSVKEALASKQKELQEVKADIEKAKAEANVLRFAAMTLRSELDNEKASLVALQQGEAMASVAVSSLEAELNRTKQEIESVRSKEAEAEEKVVELPMVLQQATQEAEDARVASHLAHEELRKAKEEFEQTKAAATAAETRLSAVVKEAEASVASERLAREAVQALQESKEARDTTDSPRRVVLPLSEYYELSKRAHEAEEQASEKVAEALAQVVSAKESEARSLERLKETSEEMDEKKEALEIALERAGRANEGKLGAEQELRKWRADHEQRRRAHESTKRAVNPLNGPSRVFVEQKDPYHKEQESKVQMSGSSYEGLAPNQKLQRKKSLFPLMGSVLSRKSRAQT >Sspon.06G0018320-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:98653269:98658125:1 gene:Sspon.06G0018320-1A transcript:Sspon.06G0018320-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEREVSLRRRHEPSDLVEGEVRMLGLDFGGVRSYGLRSVCCAGRKAGAWKVFAKMPLPVSTSWKGWDAGGALISPLHQHGKSARKPIPKSMALWSGVGQVASMAQLAGVDAYGLISMIVEAAKTVKRNRETCQLLARRARMIGDLLQQLERTQLMHHMETRNPVEQLEETLRHAYVLITSCRDSSYLHSFCMGGNQADRLREVQNEITFYLQLFPLVSFADNTRTWERLLSRACPLCTTGSTDELHAAHHVDNGDRLRSEALKATKFKNIGSHPTLKPDEEQMEDETKRELLNWSLRLQIIKAIADGLDFLHGHSHTSIVHRDVKASNILLDQEMNAKISDFGLALMLAPNTTANVVVLGTYMCIGMIILSTTSGYADPEYVATGNISEKADVYGFGIVLIEIISGRLIRSYTMKADGTPELPPHDYAHKHRKKLHKFVDPLLRVNGQEWAQILECVRVAQLCIHHLAKHRPTMSEVVTMLGSIKVAQRAHGK >Sspon.03G0008540-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:39986215:39987488:1 gene:Sspon.03G0008540-1P transcript:Sspon.03G0008540-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPNFPSDHGNGRVGGHRHKSIHKLLGGGKAADILLWKDRNLSAGVLAGATLIWYLFEVVEYNIIPLVCQIAALAMLVIFIWSNAAPLLNIAPPRIPEVIISEHAFRQIAQIIHYKLAHTVFALYDIACGKDLKKFLLVVVSLLILSEIGSSYSFTSLLYLGFLCAHTLPALYQRYEIEVDHLAARGSEDIKRFYKRIDSNFLNKIPRGPVKTKVK >Sspon.03G0011390-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:30927141:30932346:1 gene:Sspon.03G0011390-1A transcript:Sspon.03G0011390-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARRSTLKVWAGVSTPVFGFGACIAVLTWRGFLVVVACAGSVLLKFQSRVEEDPYGAMVGWSPRDGDPCSWNGVRCVDGRVVMFVLSNNLFSGVIPKELSALAMLEILDLSNNNLSGEVPQEIAEMQSLRQLLLSNNCFQWPLIQHSYGNFDQENDFNIYDNLGRGNMNRRAENGLESGSSSQENKKDTSNLSAQLPSQYTARNPAAQLSQRRLLQDSNLAAPSSANALVPAAVPVPSTGTGSFSAFSSNNAPVPAVNPPSSPPMVPSTTAEEVTERRSIKWLYLIVVPLVALLIGITCMLWLCRTKSGTTIGPWKTGLSGQLQKAFVTGVPKLQRSELEGACEDFSNIVATYPQYTVYKGTLSSGVEIAVVSTMITSSKDWSKHSEGRFRKKIDSLSRINHKNYINLLGYCEEEEPFMRMMVMEYAPNGTLYEHLHVEGFDPIDWNGRMRVIMGVAYCTLHMHELSPPITHPELKSSAILLSEDGAAKIVDMSVWHELYSRGNMPKDDDLVDHHERVAADPAGNVYSFGLLMLEIISGKPPYSEEKGSLSNLALECIRDNRSMSCLLDPNLKDHKEKDLEIICDLVQDCIQSDLKKRPTMREVTTRLRETLSISPEAATPRLSPLWWAELEILSVEAS >Sspon.02G0030160-2P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2A:109811190:109812623:1 gene:Sspon.02G0030160-2P transcript:Sspon.02G0030160-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKTVVLYPGVGVGHLAPMLELAKAFLLHAGEQQVDVAIVVFEPPVYANGFAATVARAKASNTSVALHVLPPPAPLSDGGESGDDAEPDDPLARMLRFLRATNAPLRDFLRALSASRRVQAIVLDMFCADALDVAAELGLPAYFFFPSGAAGLACFLGLPAMRASVGTSFAALGESAVLSFPGVPPLRVADLAQGLADDGEACKGIIGVAARMPEARGILINSFESLEPRAMRALRDGLCVPDRPTPPVYCVGPLVSPGGDTDHDCLRWLDAQPDHSVVFLCFGSMGAFPKKQLEEIAVGLERSGQRFLWVVRGPPGPGAVDDISALLPADFQERTEDRGFVVKNWAPQVDVLRHRAAGAFVTHCGWNSTLEGVVAGLPLLCWPLYAEQKMNKVRIVEEMKLGVEMRRRDDDVVTAEEVEAKVRWVMEDSDGARALRERAVAARDRAAEALAEGGPSRAAFLEFLKDLLASKGMVR >Sspon.06G0011960-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:47291707:47300861:1 gene:Sspon.06G0011960-4D transcript:Sspon.06G0011960-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQASALAALLRRLRRHRTPVAAALLPRRLVSSAPTPAPVPAASSLVPLPLPCLLEGDGCGGSGSGLSASLGSYSRPRLPRRHHAVAMATRAAHQAASSPESPEEAAAASSEASTSTAASQSEIVDFIKSALGKLEGQNHCWLNTVNGTWRNLNEEGIYLVLLYQSCGTLNSNDKYPIAFGRLKHLQQSYHMKYYVKTRNVVLMSVQMTNGACYLLFEGSKDPVLFTNWVEEPDVMIKAIDELTTLKEEPSENVLSRVSWQKEEVVKEPSVAMQDDELAFSPFALQIGSSPGFEDGEFESAKFLHPASSFYHAAEDCLFIVDSENRAVRKADLGRRTLETIYPVFNKSNGIWSWITDKLGLRKEVAPTIQDFDADSIALPWHLIQISEDNLLIADSSFETPWILRISTGEKQDIGRGRAEAMESYQQTLKERCALIKDMYLNWSSNARVLSDSMQKIPNNELISSIARFQNDIIFCDTDGQRVLKHDLDTKTTSSIQFSNCEVLGLPYWFVCNLERVSTWGHSSGQFQEHVHKVDVLPGRCNITMYVDIPVDTELAAPLTENCIWRQVRGSGAEVSGSDGPVTTTEKVGIAQQWYDELDNLAFSEVAEEPTTAHGDVSKPGDQNYQDQRRVQFTCAVNVSPGTCELVASVALYLKLARTADGVDQKALVKRVMGCQRREEHAGCGDHPAGTTNKETISTESSLKINVTLD >Sspon.04G0027490-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4D:56074725:56077338:1 gene:Sspon.04G0027490-2D transcript:Sspon.04G0027490-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAHKLSPDHRPLPLRSEYFVKAQRSRRWRPEMARHGVQLLVARGISSSPCLSRRIKQTIKTRKCQPTAQAYNSMIIMLMHEGQYEKVHELYNEMSTEGHCFPDTMTYSALISAFCKLGRRDSAIQLLNEMKENGMQPTTKIYTMLIALFFKFDDIHGALSLFEEMRHQYCRLDVFTYTELIRGLGKAGRIDEAYHFFYEMQREGCRPDTVVMNNMINFLGKAGRLDDAMKLFQEMGTLRCTPSVVTYNTIIKALFESKSRASEVPSWFERMKESGISPSSFTYSILIDGFCKTNRMEKAMMLLEEMDEKGFPPCPAAYCSLIDALGKAKRYDLACELFQELKENCGSSSARVYAVMIKHLGKAGRLDDAINMFDEMNKLGCAPDVYAYNALMSGLARTGMLDEALSTMRRMQEHGCIPDINSYNIILNGLAKTGGPHRAMEMLSNMKQSK >Sspon.04G0024300-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:18768644:18778746:-1 gene:Sspon.04G0024300-1B transcript:Sspon.04G0024300-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDKIAKQATEAKDANNIKDPHLGWMIGFLFLVSFIGLFALVPLRKIMIVDYKLTYPSGTATAYLINGFHTPEGAKLAKKQVKTLGKYFVFSFFWGFFQWFYTAGDECGFKNFPTLGLEAYNNRFFFDFSPTYVGVGMICPYIVNVSVLLGGILSWGVMWPLIAKKKGSWYPADLEDSSLHGLQAYRVFISIALILGDGLYNFIKVLIRTIAGFIAMVQQNSKSMLPVSDNGSSMSSTEAVSFDDERRTEIFLKDQIPKSVAYGGYVAVAAISIGTLPQIFPQLKWYYILVAYVVAPVLAFCNAYGSGLTDWSLASTYGKLAIFVFGAWAGLSQGGVLVGLAACGVMMSIVSTASDLMQDFKTGYLTLASPRSMFISQVIGTGMGCVIGPCVFWLFYKAFGDIGESGTEYPAPYAIVYRNMAILGVDGFHSLPKNCLTLCYIFFAAAIVINLVRDLTPHRVSRFIPLPMAMAIPFYIGSYFAIDMFLGCAILFVWEQLNKAKADAFGPAVASGLICGDGIWTLPQSILALAKMHLDGKLQLIGSGGKGGLKGN >Sspon.07G0029160-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:72957470:72958275:-1 gene:Sspon.07G0029160-2D transcript:Sspon.07G0029160-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSFHRALTGGEAARTELVLLVLSMVLALASSASAIDLTAGFVQVELAEGNFVVQSPYDMPENQRYSYDNVTGVRTFLVYASDKPFNTVTGTKPRTEVRLTCHDYSSGVWQFEGYGYVPAGTSGASVMQIHNEDGGAHATTLMLWVYNGTLRYYDRQVVEDGIYDRWFRLNVVHDVGTSMVAVYVDGAPRLAVNVRPSALHYFKFGVYMGHHDVSPLVESRWRNISVYTKPY >Sspon.03G0017110-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:74855099:74858802:-1 gene:Sspon.03G0017110-2C transcript:Sspon.03G0017110-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAAEDPTRCPEIPRRCHHCAGPLSKDMVNPRPQIASSLLSMTLSLPAGSPCLCGNPSETSSWTVPPLVRDSFSMIGSALGGIAGAFYGFNHVMPVVRRHIKGPMWMHFFVGAPPVIVFSSACAGLAGGTIPATAQLVSSSYHAVSSHSFARSSSHVAAHSPSFAPSASHDDMHKTRSSSPL >Sspon.05G0033800-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:42518797:42519173:-1 gene:Sspon.05G0033800-1C transcript:Sspon.05G0033800-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding KQDLKLQNITQLEISSLERLFWTTAIYFGVMREVIRHVFCFQTSISQCFDNLLMDNCVSFRSQMQAITAGSKQNQFTTAPG >Sspon.07G0014700-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:52601218:52605637:-1 gene:Sspon.07G0014700-1P transcript:Sspon.07G0014700-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQMKSLSKEEFVHALRRQSTGFSRGSSKYRGVTLHKCGRWEARMGQFLGKKAYDKAAIKCNGREAVTNFEPSTYDGELLTEVNAEVAEVDLNLSISQPASQSPKRDKNCLANFKVSLTMSFSDSIGRKVELDSASSRDLNRRPEGGVPSWAWKVTAAPPPTLPLPLFSLSSSAAASSGFSNTATTAAPSASLRFDAPPSSSSSHHRR >Sspon.01G0049300-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:95536673:95537027:1 gene:Sspon.01G0049300-1P transcript:Sspon.01G0049300-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRDKRRRAAPLEGGEQQLRRRQEEAALLLRRIKASGPDAPLGHGAGLVRWVIEEVAAGRSPSIVLHRYRNYCSSADSASPSPWFGFAPLPRPSPSSP >Sspon.07G0007380-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7B:17203817:17203921:-1 gene:Sspon.07G0007380-2B transcript:Sspon.07G0007380-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPPFMAPAPDSTFPCRCRLPKSSRQPPQDSATP >Sspon.01G0040740-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:42688048:42702695:1 gene:Sspon.01G0040740-1B transcript:Sspon.01G0040740-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RTHGSFQEECLRRRRPHRRPHRRDPVAAAGQIDLPLQVRFLALVRPNHLLRAPQEDPQTLSGFFYPGYRLNREENDIVIFSDFVDITGRGELPFSDPSLPFLTGYRLIIPKICCNGLLFCLCWKVSPRDEANYVVCNPATEKWFVLPASDYDSGACAYRFGFDLAVSSHFHVFQILEEDEDYGFIAGVNIYSSETGAWSHKGNGWGNELQLVDSRGVFFKGMLHLMTNCFKLLAVDTQGKIWRTIPLLETIATSAAADLTDDLIVEILSRLPAKSICRFKCVSRHWYGLITHPEHRRKIPQTLSGFFHPSYRFWLDNEDLKILPGFVDMREGEDLPFPDPSLPFLTGYRLIIPKDYDSGEWIFKYSISTSHIFGEKNLRFEKDYALIAIHPECNLVYFVWQCEDKLMSYDMERGKVCVICDIEEHLYDPFVPYLPYSERKIEHQDSDNLSLIREPGNKAYLKWVVLENTLGYVVRDKFRIRLWHALLHRIKLLWSSNSSNHILTLRKKHILDIQLSVIPQTFGNFHGF >Sspon.08G0005700-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:17957489:17959797:1 gene:Sspon.08G0005700-3C transcript:Sspon.08G0005700-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPATPASGAAATAMRPYLRTHGKQVARLHLFDWVVLLLLVAMYAVLGMVQPFHRFVAEDMMASLRYPMKGNTVPSWAVPIVAIVVPMIFIVGIYIKRRNVYDLHHAILGLLFSVLITAILTVAIKDAVGRPRPDFFWRCFPDGVPKYNNITGGVICHGQRSVIEEGHKSFPSGHSSACFAGLGFLSWYLAGKIKAFDRGGHVAKLCIVLLPLLLATMVAVSRDWWLLRFATFSSFHHPTVNMEFGLMRTLSTFIKPRVKGIYSQQRTRIYTISHRQLIFLDQMRLELSAMHWIPWKKEAEISD >Sspon.02G0043040-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:88620821:88622927:1 gene:Sspon.02G0043040-1B transcript:Sspon.02G0043040-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHGDNPRVDLNDTISFSIIFTLSAVSTTDPFLFDILPRLEPPDDRGTAHPPPTRRNFFQNLTDTLNIASQSGKGIRVTATFSSSISTYSMRINYDRSAHNLSVYLVRDADVETIAATTQLNATETLTPDALLFAITSSMEQLLELHNWNFTIKVQVTEQSRGPNIATIVSSVLGSAAATATIAAAVYFYLNSKYRRWKKDLDKLTKTMQSLPGVPMQVDFADIKKATNSFHETMRLGQGGFGAVYRCRLPAPNKGKLMDVAIKKFTRADNQGYEDFLAEVSIINRLRHKNIVPLVGWSYNRGEHILISEYMPNGSLDQHLFGRSSDGQQATCISQWATRYNLVKDIASGLQYVHHEYEPMVLHRDIKASNIMIDFTFQGRLGDFGLACVLADGKDSYTDHGIPGTLGFRAPEYVYSGRATRKTDIFAFGVLVLEIVTGKRAVGRDVQFGHVIDWVWKFHAEGNLLAAVDAVLTATAEFDADEAIRLLQLGMACSSSNPSDRPSMVDAVQIISKSVPPPDIPLLKPPLVWPPGGWESSSSTSDSSMSTSNFNTTSTFMVEMTAGSREHISSEQERSRFTASYPRRKKIFAGGRLRLSL >Sspon.03G0023620-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:90719438:90720991:1 gene:Sspon.03G0023620-3C transcript:Sspon.03G0023620-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRTMVGKGGSNGSGGGGGRESKKPALLLGRYEVGKLLGQGNFAKVYHARNVCTGEEVAIKVMEKEKIFKSGLMAHIKREIAVLRRVRHPHIVQLYEVMATKLRIYFVMEYVRGGELFARVARGRLREGDARRYFQQLVSSVAFCHARGVYHRDIKPENLLLDDAGDLKVSDFGLSAVADQMRHDGLFHTFCGTPAYVAPEVLSRRGYDAAKADLWSCGVVLFVLAAGYLPFQDRNLVAMYRKIHRGEFRCPKWFSPELTRLLRRVLDTNPQRRATADEIMNDEWFKVGFRRFSFRIEDDRSFTCFDLEDDVVVDGVDVSTSPPEPDMPRADAAPRKPRKGSAAGLTSCASAPSLLQLEARSGLGGSSRRRSSLNAFDIISFSRGFDLSGLFEDGEGGGVPEQPLHPAAARFVSAAPVEQILATLEGAASAAGMVVRERDDGSISMEGTREGEHGALAVAAEIYELTPELLVVEVLRKSGGATEYEEFFRAQLKPSLRDLVCDEPTLLQSDERSRSL >Sspon.06G0007480-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:4405974:4411063:1 gene:Sspon.06G0007480-1T transcript:Sspon.06G0007480-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPLPLPLFSTSPKAPPPPPWLHGSSTQTRDSAPPVPPPPAEAAPPKPRTHSPKPAPARKNTKTTAKPLTAGVPGGRTHRAVLGIIRRVRSLELSDAPSASSVHASNAGAAAAAAAFHLPIEPSPPREPGQEVVGKAKSRAVPWAAARDEGLKVALRREKKPRQPTRAETELETHELDRLRRLARGMGRWARAKKAGVTDEVVEEMRREWASGEELAAVRIVEPLRRSMDRAREILEIKTGGLVVWTKGDIHFVYRGSKYQQNTKHSHTSLTNVHKGSL >Sspon.05G0018550-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:74950982:74963254:-1 gene:Sspon.05G0018550-1P transcript:Sspon.05G0018550-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPYFVGILVPVAVSLLLRKRRKDERKRGVPVEVGGEPGYAVRNYRFEQPVETHWEGVSTLAELFEQSCKEYVYMPLLGTRKLISRETEAAPGGRSFEKLHLGEYEWKCYAECFKSVCSFSSGLIRVGHLKNERVAIFAETRAEWQIALQACFRQNITVVTIYASLGEEALCHSLNETEVTTVVCGQKELKKLIDISGQLDTVKRVVYINEEGISAEVSSAQNCTSWIVESFEGVIRLGAEAPVEANMPLPSDVAVIMYTSGSTGLPKGVMMTHRNVLATLSAVMTIVPVLGSKDIYLAYLPLAHILELAAEALMAAVGASIGYGSPLTLTDTSSKIKKGTLGDASALKPTLMTAVPAILDRVRDGVRKKVDTKGGIAKQLFDVAYNRRLAAINGSWLGAWGLEKLLWDTLVFGKVRAILGGKIRFVLSGGAPLSGDTQRFINICLGAPIGQGYGLTETCAGGTFSEYDDTSVGRVGAPLPCSYIKLIDWPEGGYLTTDLPMPRGEIVIGGPNVTKGYFKNEAKTNEVYKDDEKGMRWFYSGDIGRFHPDGCLEIIDRKKDIVKLQHGEYVSLGKVEAALIVSPYVENIMIHANPFHNYCVALVVAAHIELESWASQQGIKYSDFSDLCQKPEAVKEVLGSLAKAAKQARLEKFEIPAKIKLIPEPWTPESGLVTAALKLKREVIRKTYENDLAQLYA >Sspon.02G0028120-1P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2D:102731363:102734911:1 gene:Sspon.02G0028120-1P transcript:Sspon.02G0028120-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAEQGVDAARKEKEEEEEQVVNPWEVSAGKGGIDYDKLVDQFGCQRIDDALVGRIARLTGRPPHCFLRRGLFFAHRDLNELLDLYEKGEKFYLYTGGDPRRRPCTSATSSPSCSQKSFFRYLQEAFKVPLVIQLTDDEKFLWKNLTIQESKRLARENAKDIIACGFDIERTFIFSDFSFVGGAFYENMMQVARCVTMNKAIGIFGFNLEDHIGKVSFPPVQAVPSFPSSFPHLFAGKDQLRCLIPCAIDQDPYFRMTRDVAPRIGYQKPSLIESRFFPALQGENTKMSASDANSAIYVTDSAKEIKTKVNKYAFSGGQDSIELHRKLGANLDVDVPIKYLNFFLEDDDELAHIKKEYKEGRMLTGEVKQRLIAVLSEIVARHQRARAQVTEEMVDAFMAVRPLPNMFG >Sspon.02G0058490-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:76902707:76905290:-1 gene:Sspon.02G0058490-1D transcript:Sspon.02G0058490-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding FCLHTTILKVAVPVSIGKIPNLKALDLTYNLLSGTVPASIYNMSALTYLGIATDNLVGEIPHDIGYTHPSIKKLIFEGNQFHGKIPASLVNATNLTVIDLQYNSFYGTVPFLGSLPNLVELSLGTNQLEAGDWSFLSSLANCTWLVTLDLSENRIQGTLPNSIGGLPNSLQFLLLAANNISGTIPPEIGYLTNLVVLHMESNRFTGSIPDAKSFELSTKLIAEQTFWTNSTHNLSQLSELYLQDNDFSGPIPGAFGNCKNLRMLNISCNNLDEGDVDNNLDHGNNATAAMESHCIMPLLKLGLSCSMENPKDRPTMQDVYAEVITIKEAF >Sspon.08G0005550-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:16833779:16839469:1 gene:Sspon.08G0005550-1A transcript:Sspon.08G0005550-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGGLGFLVAGSRREFVVLNVDDFSKQGSSQGCPDYICQICGDDIDILQEENEYFVACNDCAFPVCRTCYEYERQEGTQACPRCKTRYKRHKGSPRVHGDEEEEGSDDIESEFASSIAGRSNIVHPYRVSVAESSINSWDIDSVSITNSGTSVHFYEEHVGTPTNHHALVVHPNTGEIMRYNPLQTRPINPNRDLALYGYGSVAWKNRVEWKRKQQHKMQKVSSDGEGSDLNDFDSDRDIPRCAESKQQLSRKLPIPSSKINPYRIVISLRLAILALFFHYRILNPVHDAHWLWLTSVICEIWFAFSWILDQFPKWHPIQRETYLDRLSLRYEKEGKPPELAPIDVFVSTVDPMKEHPLIIANTVLSILAVDYPVDKVCCYVSDDGAAMLTFEALTETCLFARKWVPFCKKHKIEPRAPEWYFAQKIDYLREKVHPEFVRERRAMKREYEEFKVRINAVVANSRKVPEGGWSLPEGAPWHGNNVRDHAGMVQVITGHDCVLDDAGNKLPWLVYVSREKRPGYDHHKKAGALNALLRTSAILSNAPFVLNVDCDHYMNNSKALREAMCFLMDPVLGEKICYVQFPQRFDGIDQHDRYSNHNVVFFDINMKGLDGIQGPIYVGTGCVFRRHALYGYDAPTATKPPSKTCNCWPKSCCLCCGSKRKCSKAKKKQEHQKKVKCRDASKQVHALEVAGRETAPPVPQEKFEKRFGQSDAFLASTLQDNGEGCRFNMLKNLDDCIHVLSCGYENKTQWGKEVGWIYGSVTEDILTGFKMHCHGWRSVYCMPKRPAFKGSAPINLTDRLHQVLRWALGSVEIFLSKHCPIWYGYRCGLKPLERLSYINSVIYPWTSIPLLIYCALPAFCLLSGKFMVPEVLHETIISLYATLLMNIYSSILFIALFVSIAATSILEMQWGGVGIEDWWRNEQFWVIGGVSSHLFALCQGLLKVLGGVNTKFRVTLKGGDTNEFSELYEFKWTWLLVPPMTLLLLNVVGVLAGVSKAITDGYEAWGPLLGKLFFSFWVILHLHPFLKGVIGKQNRVPTIVVVLSVLLASIFSLLWVRVSPFAT >Sspon.05G0001410-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:5217969:5222827:-1 gene:Sspon.05G0001410-2P transcript:Sspon.05G0001410-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMLLRKVWGSVLARAAAPGADRPPGSPRARRAHAQRAEQFQYQYHGSLSLGALDAVPTDVLAQILRLLGPLDAARSSAVCRAWRVLASDNGLWAFFLRLGPEPWDLVVFAETHLAAGPSSHPWLYYDSSPQLSFKQIYGLRAVVPGTLIVDGGSGYCKYGWSKYAAPSGRCTTFLEFGNIEAPMYARLRHFFSTIYTRMQIKPSTQPVIVVLPLCHSDDTESARASRKQYKETLYSVLFDMNVPAVCAVDQAVLSLYASKRTSGIVVHIGFNTTSVVPIFQGRVVYEIGVETVGQGALKLTGFLKELMQRRNISCESLYTVRAIKEKLCYVAADYEAELRKDTQASCKVDGEGCFTLSEERFKTPEILFQPHMGGMRAMGLHKAVALCIDHCYTSGTVGDDSWYKTVILAGGSSCLPGLPERLEKELHQLLPPYISEGIRVLPPSFGTDSAWFSAKMISNVSTFTEAWCVKKKQFRQKTRRNGPLFMNSW >Sspon.05G0011580-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:33242392:33245645:-1 gene:Sspon.05G0011580-1A transcript:Sspon.05G0011580-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGWPRLLLLALALCCLLTQPPTSRAFFFGVRTSAHLPQHRGGGREEKVPMTVVVPDYSPRPAPAPVPGSDGGGDDDEDGTPRLPSERRSTGAGAPSSADRSATAQAPAAATSADFISSSPAVPLPAGVTDSATVLPMPTPGQQLRDDVGMGALRLQLRAVQLAVPLLMMLISK >Sspon.05G0021070-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:88747781:88749416:-1 gene:Sspon.05G0021070-1A transcript:Sspon.05G0021070-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASGEVYKANKEHFVLVHGAAHGAWCWFKLAYQLSGSGHRVSCIDLAGAAGSLVDPDDVRSFDEYDAPLIDFMAAVPDGHKVILVGHSAGGLSVTHAMHLFRDKIKQAIFVAATMLPFGYQTEQDIKDVIHQNFPYADCFVQLLFISGYRKSHCGVPDLSEFGDVYDLKFSLGDDRPPTSVALRQEHQRAILYQQCSHEANIMNHLFIHLQKQDKSQRTHHHEQTFLQDSTLASILLRPWPAALSTARFGHVNDGTESAVNAVPRVYIKTANDHMVKPEQQEAMIRRWPPSEVVAMDTDHSPFFSAPERLFKLILKSL >Sspon.02G0048510-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:16580715:16583338:-1 gene:Sspon.02G0048510-2D transcript:Sspon.02G0048510-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLTLEFGGGLELLLENSTKVHKVEVTTPEDGQGKVVEVTTPKDGQGKVVEVTTPKDGQGKVVTPKDGQGGQVTMKLLLSWVKDNLIKERPEMFVKGDSVRPGVLVLINDCDWELCGGLDAELEEKDVVVFISTLHGG >Sspon.01G0023720-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:84018493:84026680:-1 gene:Sspon.01G0023720-3C transcript:Sspon.01G0023720-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKDGGFAKVLLLHVAALSTAGAVAAAAALARRRLRGGDRRKQLPQAPAMAEMPRLRVAESGRLEYLEKFSHYVGQSVQPPLFLVFSVGLVDESIHQKQRFERVTRELKVTRVLSTLVEEMKAIGIGTAASQCTDVMAPVEHSERSPVLLLMGGGMGAGKSTVLKEIKQEALWANAVVVEADAFKETDVIYRAISSMGHHNDMLQTAELVHQSSTDAASSLLVTALNEGRDVILDGTLSWEPYVEQTIAMARAVHRQRYRMGVGYKVADDGTITESYWEPDGTEQPPPADGASRRPYRIEVVGVVCDAYLAVARGIRRAIITRRAVRVRSQLQSHKRFAAAFQRYSRLVDGARLYSTNSMGSARLIAWKDGVGSSLLVEPREFDCLDKVSRLNENATSVHDLYPDGTTTCGERSIWDDMIAAPARADTQRELKEAIRSVEAGGGEPDTPPTTPQRELLGVIRSAEEAANGEPAAPAAETPTATS >Sspon.05G0037440-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:13855241:13860871:-1 gene:Sspon.05G0037440-1D transcript:Sspon.05G0037440-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIPEAQFAESLYEDEEFEQRQLAALVVSKVFYYLGELNDSLSYALGAGPLFDVSDDSDYAHALLAKALDEYASIKTRASKATEEEENIDPRLEAIVERMLEKCILDGKYQQAMGMAVECRRLDKLEEAIVRCDNIQGALSYCINLSHQYVNHREYRFEVLRCLVKIYQTLPNPDYLSICQCLMFLGEPETVASILDKLLSGSKDDALLAYQIAFDLVENENQAFLLNMRSRLASPTPEPSNPESEPAVQDDQTASTVVTGTEAAGDVQMRDDTTTPNGNAHTVDPNEEWLSRATNWAKFSATAGLGVIHRGHLQQGRALMAPYLPQSGAVGGGSPYSEGGALYALGLIHANHGEGIKQFLRESLRNTSAEVIQHGACLGLGLAALGTADEEIYEDIKNVLYTDSAVAGEAAGIGMGLLMVGTASEKASEMLAYAHDTQHEKIIRGLSLGIALTVYGREEEADTLIEQMTRDQDPILRYGGMYALALAYRGTANNKAIHQLLHFAVSDVSDDVRRTAVMALGFVLYNEPEQTPRIVSLLSESYNPHVRYGAALAVGISCAGSGLSDAISLLEPLTSDVVDFVRQGALIAMAMVMIQTNESFDSRVGAFRRQLEKIILDKHEDTMSKMGAILASGILDAGGRNVTIKLLSRNKHDKLTAVVGLAVFSQFCLAFSPTAFIGLNSDLKVPKFEFLSHAKPSLFEYPKPTTQQTTTSTVKLPTAILSTYAKAKSRAKKDAESKANQEKATEEASGARVDNATEKKAPEPEATYQLLTNPARVIPAQEKFIKFLENSRYVPVKPAPSGFILLRDLQPTEAEDLALTDAPTTVAGSTGNTAPAAGQQGSGSSAMAVDDEPQPPQPFEYTS >Sspon.01G0001190-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:3749797:3753848:-1 gene:Sspon.01G0001190-1A transcript:Sspon.01G0001190-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAC028 [Source:Projected from Arabidopsis thaliana (AT1G65910) UniProtKB/TrEMBL;Acc:A0A178W7T7] MAPVSLPPGFRFHPTDEELIIYYLKRKINGRQIELEIIPEVDLYKCEPWDLPEKSFLPSKDLEWYFFSPRDRKYPNGSRTNRATKSGYWKATGKDRKVNSHRRAVGMKKTLVYYRGRAPHGSRTDWVMHEYRLDERECETDTGLQDAYALCRVFKKTAPGPKIIEHYGAVHHPIEQPQWMASSVDRSPTLDLSSDVRGDDFESSSFSFPTEAPMDSMHGGFGMQMSAPHEDGKWMQFLSEDAFNATNPFFMNPASSSFSCLPSKVDVALECARLQHRLSLPPLEVEDFPQDVSLDTKTSVLRSNPNEVDILQEFLSVASASQELINGTSSSYAAEMWPGAGTSSTSTHYINELSSLVELGVKAKEEADNFYHMDCIGTSAGFASKQAHVDEPVRLVEIADMEEFKQEEKRQVENLRGVRLHNNDLGEIVVEGNESNPTDCITQYPISDTADNSGEAGHLTDPTNAGGLDTAPIFSQSQPDDFAIGFSDDVNPNASFDLYEKVDVKHGLFVSTVGAPKTFFHHVEPSKKVSFHLNPVASDVSKAIEKFHFPISATTKVSGSSISIFSKLKAIIRDKFLVKKLPSSSYQRSLGSKETAAVSELLQIVSSLLLTPTEVTGPTTMTTEQELVKKAKKVMKPGPGCDGNHAWLVPLSKRSKGISSMLFSGKWAFLTSALAIRTPGCNH >Sspon.02G0007330-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:20950274:20956472:-1 gene:Sspon.02G0007330-2B transcript:Sspon.02G0007330-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein VASCULAR ASSOCIATED DEATH 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G02120) UniProtKB/Swiss-Prot;Acc:F4HVW5] MASPTVASPSRASPTDIPTAAPSPASPPRRLASAPPAVDASGSSSPASAHSGDQLSAPDASSPLLASRSEEYRLLFRLPPDEVLVQDFNCALQENILLQGHMYLFLHHICFYSNIFGYETKKTISLQEVTDVRKAKTAAIFPNAIEIVAGTRRHFFGSFLSRDEAYRIIVDGWEQHVSDARLLLERQETKSASSSEENGYVLLEGAKESKQDEDSSPPDRSVDSTAVSSSADGGDSNINISKRFSKVEENGLEDNIIALNPFNLEPLDDAPPSVPESYTMITESKFQVPVEVLFNFLLSDGAFGFVDDFHKKCGDKEFSCSKWRTDEQGGLVRDVSFLHPIKIYLGAKFGTCQEVQKLRLYKNRRLMIQTSQSIGDAPYGDHFIVEGIWDVEQDSLDENCCDLRIYINVAFSKKTIFRGKIEQSTKDECREVFSLWIKLGHDLLKQEYDRSIGTSSTTVSGVQPGATTNEENTVEVAVPVVTIIVLLTRSPQIQMAPHGFSTGSLGYKQSMEWAQKRLSLLSEEMQLAEAHMEKMRHEFAWLRSYLERLERLRGST >Sspon.05G0001890-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:6052859:6054021:1 gene:Sspon.05G0001890-1A transcript:Sspon.05G0001890-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein WUSCHEL [Source:Projected from Arabidopsis thaliana (AT2G17950) UniProtKB/Swiss-Prot;Acc:Q9SB92] MAANVGAGGGGGAGGGTATGSVATAVCRPSGSRWTPTPEQIRILKELYYGCGIRSPNSEQIQRITARLRQHGKIEGKNVFYWFQNHKARERQKRRLTNLDVNVPADASTHLGVPSLSSPSSGAAPPSPTLGFYAGNGGAGSGVLLDTSSDWGSSAAMATETCFLQDYMGVMGTGSAGASSPWACFSSSDTMAAAVAQAPTVTRAPQTLPLFPTGGDDSQPRRPRHGVPVPAGEAIRGGSSSRYLPFWGAAPTTATPTVSATSVGIQQQHQLLQMQEQYSFYSNTTQLPGTGSQDASAAASLELSLSSWCSPYPAGT >Sspon.01G0011290-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:33107461:33119170:-1 gene:Sspon.01G0011290-3D transcript:Sspon.01G0011290-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTSMVVDGSFVSSMSSDNSVEESSFRQLQDAVSQLDVQTKLCIRDGLYRLARSAQHRQVFPNMMNNNGDSQDVKDLQNAETSRKFVDPRSIETQTNPIDRSIALLLFHQSSDHAAVAVDDVSSLKSPAGNKQHQGPAANQGLMPASSVYSPGGQGTPKDVQSLDKY >Sspon.03G0032320-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3B:36923512:36923892:-1 gene:Sspon.03G0032320-1B transcript:Sspon.03G0032320-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTCGSFGLRIRYADVISVSTNSQVDPSDVHRPMSPTNLFPVSSTISLTFCTFSFSSQYNNAFAVCLPRCRERQLAEGFARVPQPRAPLRCNAARALTGRMSGQLHAAGGRTTLDQIHAAAAANTQS >Sspon.07G0017980-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:69540239:69547047:-1 gene:Sspon.07G0017980-2B transcript:Sspon.07G0017980-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ADLALAGLRWAASPIVNKLLTDASAYLSVEATVLPQFELVIQVAEKSPHRGKLEAWLRRLKEAFYDAEDLLDEYEYNLLKRKVKSGKEPQLEEGENSIVSTILRPFHAAKSRARNLLPENKRLISKMNELKAILTEAKVLRDLLGLPHGNTAEWPAVPATVVPTTTSFPTSKVFGRDRDRNRIVDFLLRKTTADEANSARYSGLAIVGTGGMGKSTLAQYVYNDKRIEECFDVSMWVARLTGSACSPPGRMPDPPLHHRRGSAGNLTPPPPIYHLRPNQPLPATDPSSPGPDLPPHGSIDVPAPPDAVPSPFKRMPAMVVAPSLLQPARRLLAAAASTGDGERVTQPASPPASSSGAASPFTGRTPAMAAAPSLSQPARPVLSAVASSGDGVCVTQSVPPPEPSSSAATPYPDAASSGFGVPRSSPPPAAGSSAGAPPDVDASGSSCAIHYAFDEVVVEDVFDDEDEDEDDATPLPADGAEPPSSAAGGAVPTVAAGVEVGTPAQSPAANNGPSPCIHGAASLSTTATPFYPGCSSQGRSKLRRWMEDCPYDESEEDSPPTPPALAVGSKVLVTSRRQTLLAAVCCEQERSVHLENMDDAEFLALFKHHAFSGAEIKDQLLCTKLEHIAKEIAKRLGHCPLAAKVLGSRLSRKKDIAEWKAALKLKDLSEPFTALLWSYEKLDPNLQRCFLYFSLFPKGHKYKPDELVHLWVAEGFVGSCNSSRKTLEDVGMEYFTDMVSGSLFQLVSEIDYGDYYVMHDLLHDLAESLSREDRFRLEDDNVTQIPCTIRHLSVRVKSMQKHKEIIYKLRHLRTVICIDPLMDNASHIFDQILWNLKKLRVLYLSFYNSSKLPESVGGLKHLRYLNLTDTLVSELPRSLCTLYHLQLLQLNHMVERLPDKLCSLSKLRHLGGYKNQIPNSGKLTSLQHMHTFSLQKKQGYELQQLKHLNELGGSLTVQNLENVTRKDEALELMLYQKNHLKNLILVWSSTNGMDAADTSHLDVLEGLRPSPQLSGLSIEGYKSGTYPRWLLEPSYFENLECFELNDCALLESLPPNSELLQHCSRLCLKNVPKLKILSCLPAGLIELSILGCPLLTFITNNELEQHDLRTNIMKTGDLASKLALMWEVVSGSDIIRRVLTQDYSSLKQLMTRMGDDISQHLQIIESGLEEGYITSMKEKVIKAWLLCHEQRIRVIYGRTMDLPVVLPSGLRVLYLSSCSITDEALAICLGGLTSLENLELEYNMALTALPSKEVIRIWGCPVLKKNCQEADGESWPKISHIRWKDFIEVASLEFPLESNEKVPRGHPITGAEVSAASYKV >Sspon.04G0001330-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:1924567:1947656:-1 gene:Sspon.04G0001330-2B transcript:Sspon.04G0001330-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:MAK10 homologue [Source:Projected from Arabidopsis thaliana (AT2G11000) UniProtKB/TrEMBL;Acc:F4IRD3] MSALEIMDPKMDSGIERSRYNSIEEAIEDGVAPIPLSLDRTLDVQPLDVMDHLFSCEVLKSSLACNMAQGAHSSSDCIYIYNSIEEAIEDGVAPIPLSLDRTLDVQRSIDVMDHLFSCEATWHKGHTLAQTVFTCIYLMRMQRTSSHAVLNSFCRILRATCNAVISVVSTARTHEEEDLFTMSFGLPLRDEGDEKCLSILNSVEETISRQLRACLESLQDNPDLEEDYCRALLCRLRFRKHFYHVVMCMRKPHGRGLELARKHVASCLTELNLMLKSREFLKSQSSTTLQQGDGNCTTASGCQPVGFDVTLNSRLLSPTPPRAVKVLSWSDAIRYFERLLHDLDVVCALSLDPVLENVLHFIVQFQKTVPDLVPRAFLQTLLVQDGKLYGEHLFSDVISRALSLPDIIGDKEFQMNEFVVQLGQLVVNLIKILCTNTAWQRRKLGKSLQDWSTISIQLELALKREFGETRNVLQNEINITFIYLSLFNVLWLNMCMRVSKQLLIWTQEHAYWVAFRFLTLGFELDLYSPGEYCMVYWYMYVVLTKLIEKMQLRVLASSETCNTKKGEKEEGSFEGFSSGYNIFILLFIASMLSLAIRGTLNGTYGKIWSFGNHSGAKHTSVQVSSMELNNHSLIANADASCSQKRK >Sspon.03G0000860-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:10159360:10173342:-1 gene:Sspon.03G0000860-1P transcript:Sspon.03G0000860-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl serine alpha-galactosyltransferase [Source:Projected from Arabidopsis thaliana (AT3G01720) UniProtKB/Swiss-Prot;Acc:Q8VYF9] MNGQAPAQYKQVDFVGLGAVWLRYPAINKPAGIVHWLKHSPEADNVDWVVILDADQIIRGPIIPWELGAEKGKPFAAYYGYLKGCDNILAQLHTAHPEFCDKVGGILAMHIDDLRALAPLWLSKTEEVRQDKSHWSTNITGDIYGMGWISEMYGYSFGAAEVGLRHKINDDIMIYPGYTPRPGIEPLILHYVCHLNKLEHHEDGIIYDCSRLFPPPPFPREVEMIESDPNIKRGLFLSIEALVASCICWVPKPQWSKYLSFLKSRRFSELTKPKYWKGQQVDSIVTMQHVALSKANSEYPKIHTLFSTECSSYFDWQTVGLMHSFRLSGQPGNITRLLSCTDEDLKNYKGHDLAPTHYVPSMSQHPLTGDWYNLLFLKLLFKTTRSYNSFASVLHWLNHVQTDAEFLVILDADMIMRGPITPWEYGAKRGHPVSTPYDNVTLDVYQNPQS >Sspon.08G0012300-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:50444062:50452116:-1 gene:Sspon.08G0012300-2C transcript:Sspon.08G0012300-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTVSSVAIKALAYAVISCILTLKHISSVRHCPEVRAPVGAKTPPVPFKNSIFIVSQSGNKTWKTKMKSQVHEKTMSVMAESTDKSIVRY >Sspon.06G0022030-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:24004527:24006312:-1 gene:Sspon.06G0022030-2C transcript:Sspon.06G0022030-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RNWRLERRNFPPGPATIPLPSLLSSKSCSGRPPFQTRSNSAINTGDRGPRTTQSTEQRGGRTRANPSLLSTLLVCAWIPLRRKIRHGSSTWLDSSGSIHSSHQGIFWEI >Sspon.06G0019130-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:70265805:70268337:1 gene:Sspon.06G0019130-3C transcript:Sspon.06G0019130-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKMFGFSRRRMKLGRLKGHLHDHFHASRSPSRTTKRFSHPNGEDPLTTSVSGRPDDLAWRCSSDTFDINGRAFESSENWAVLSTEGDKPVPRFDHAAAMVGSKMVVFGGDSGQCLLDDTKILSLDKLTWDSVAPKVRPSLNGRSLKLRPCRGHCLVPWGKNVILVEGKVINLLTRYQYGPSIQRVNSGHIWKRRVT >Sspon.03G0012680-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3C:51750270:51752986:1 gene:Sspon.03G0012680-2C transcript:Sspon.03G0012680-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQTNDNDAFSPPVSQERVVITNNHGERLVGLLHHTGSNKIVVLCHGFIASKNDSLILDLAAALTKKGISVFRFDFSGNGESEGQFEYGNYRKEADDLHSVVLYLYQKSYDIAAVVGHSKGGDVVILYASVYNDVSTIVNLPGRFDLKKGIEERIGEGSIDRINKEGYLDVKDKSENVNYRVTKESLMERLNTDMRAASVSISKECRFLTVHGSADKTIPVEDAHEFAKHIPNHKLHVIEGANHNYTAHRKEVADAVVDFLTSNGV >Sspon.02G0040110-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:65460197:65469264:1 gene:Sspon.02G0040110-1B transcript:Sspon.02G0040110-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEEAGGELETAGKDEADAEYHSHDFEWEDLKEEVESGPAFSYHLSPFLEPAASTTSPPQPSSEAWRSFHRRHASGKFFKERRYLLKEFPELCSSKDHAKVLEVGCGNGSTAVSILRSSERITVFACDCSKDILEKANEKANEIISNTKGIDIKDRFHPFLMDVSKETFPDWLFCKACQNPSHHGIRKEHPVFLRENQCCAGGMDFITMIFTLSAIPYDIMPTTIEQCVSVLKPGGLLLFRDYGLYDMTMLRFLPHQRVGFREYMRSDGTFSYFFSLDTVRKLFHAAGLVELELEYCCVKSVNRKNGKTMQRVWVHGKFQKPS >Sspon.02G0030720-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:107851139:107856053:-1 gene:Sspon.02G0030720-2C transcript:Sspon.02G0030720-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPKEEVNREMSDRLNEPKIYYPSRDDREAIMLTRSDIKCLDPEVFLSSHVINFYIKYIEKEWRFLSVAEPAWPCLLSDIRKETVQFIKEAPARFTADKLDMFSHDWFKPEEASGLRQRIREILLEEFESARLHDAISKADAYVGCDSIKDGELEADNSKMVVEVGDAAKSKKGISVTESDEASGEFGDTDKTNKCIKVLASEEANMESGYPIKSMEDIVDVAVVNKGPTSSSNKCNEKNAGAVSEAASCSNSVIKDKKGTGKTDSGRSKAEKEGKPIVTASPERFKGTEEVIGSTPIPDAVSDSSVKIRSERNGE >Sspon.03G0022220-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:86713890:86723524:-1 gene:Sspon.03G0022220-1P transcript:Sspon.03G0022220-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYLVLSPSPSPSPPHAFQYASSSSSGATACRRRARRGGAFVVASAASPLDGGPSPAAAAADAYVLARRVVLLGASAIPFVSLREAAAAPTPVDLVKVYGMSLSEGFVRRKWIDAPRVNHEAENCYETFKIGRNSIICRPKEDKIDETKNVPQFEGTKGIVKPENAQPEGTQAETTTPEARQRESSLQLMQEQPPENPLVGFLGTVGVAASGVLGGLYGTSLQEKKALQSIISSTESKLAENEAALSLMRENYEKRLLEQQAAQKKQSMKFQEQEVSLSGQLASATKTLTSLSEEFRKEKKLAEELRDEIQRLESSISQAGIDKDVLETKLEEKLGVIDVLQEKISLLSQEIDDKAKHIRELSASLSSKEVDYQKLTAFTNETKRSLELANSRVQQLEEELNTTKNALASKISSIDSLNAKLETLNSEKEEADKKINELIQEYTDLKAASETRANHDSKLLSERDDGIKQLEEKLSVALTDSSKYQETIVELNKELDATKMMLE >Sspon.01G0008260-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:22419045:22423068:-1 gene:Sspon.01G0008260-3D transcript:Sspon.01G0008260-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATATATSSSSLTTPLLRPNPNTNPTPRSLQLLRSRRCARAVTAAVAGGPVPYGAAPRRGIWSIRDDLVVPRSPYFPVESAAGQERGPSPMVMERFQSVVSQLFQHRIIRCGGPVEDDMANIIVAQLLYLDAIDPTKDIIMYVNSPGGSVTAGMAIFDTMKHIRPDVSTVCIGLAASMGAFLLSAGTKGKRYSLPNSRIMIHQPLGGAQGQETDLEIQANEMLHHKANLNGYLAYHTGQPLDKINVDTDRDYFMSAKEAKEYGLIDGVIMNPLKALQPLPASS >Sspon.05G0016770-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:64785658:64791213:1 gene:Sspon.05G0016770-4D transcript:Sspon.05G0016770-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPSPPLLLLALLHFALLSTNAAAAADGNGTGTGDLRGDAMALLALKAALGCRPGALRSWSAANAGSVCAWTGVRCGAAGRVVAVDIANMNVSASGGPVSVRLTGLGALETLSLVGNGIVGAVAIASPLPALRHVNVSGNQLSGGLDDGGGWDLASLPALEVLDAYDNNFSSPLPLGVAGLPRLRYLDLGGNYFTGEIPAAYGAMPAVEYLSLNGNNLQGRIPPELGNLTTLRELYLGYYNVFDGGIPPALGALRSLTVLDVSNCGLTGRVPAELGALASLDTLFLHTNQLSGPIPPELGNLTSLTALDLSNNALTGEVPRSLASLTSLRLLNLFLNRLHGPIPDFIAALPRLETVQLFMNNLTGRVPAGLGATAPLRLVDLSSNRLTGVIPETLCASGQLHTAILMNNFLFGPIPGSLGWCTSLTRVRLGQNYLNGSIPAGLLYLPRLSLLELHNNLIAGAVPSNPSASASSSSQLAQLNLSNNLLSGPLPTTLANLTALQTLLASNNRIGGAVPPELGELRRLVKLDLSGNQLSGPIPGAVGQCGELTYLDLSRNNLSGAIPEAIAGIRVLNYLNLSRNALEDAIPAAIGAMSSLTAADFSYNDLSGQLPDTGQLGYLNATAFAGNPRLCGPVVSRPCNYTEGAGVGVAGVTTTTTRRGGGELKLVLALGLLACSVVFAAAAVLRARSFRVDGGGGGEGRWRFTAFHKVDFGVAEVIECMKDGNVVGRGGAGVVYAGRTRSGGAIAVKRLQAQGGAGDDRGFKAEVRTLGSIRHRNIVRLLAFCTNRDANVLVYEYMGGGSLGEVLHGNGKKRGGASLAWERRYRIALEAARGLCYLHHDCTPMIVHRDVKSKHILLGDNLRPASPTSASPSSSAAPPAVPPTSACPPSLDPTATSPLYAYTLRVDEKSDVYSYGVVLLELTTGRRPVGPDFGEGVDIVQWAKRATAGRREAVPGIVDRRLVGAPADEVAHLFFVAMLCVQDNSVERPTMREVLQMLADEFPRHASSSAQTSPSTSSSAAAPTPGGEESSPDGGTKEPPANCYK >Sspon.05G0011010-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:31480445:31483022:1 gene:Sspon.05G0011010-1A transcript:Sspon.05G0011010-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLVAGGVVHGRLPVRPSRFPLLLGSLPYTTVAVSAAFSGCPCPPIVRRNAPAVVPFAKKKRKGYSEDPPDEEVADDFTDELEEDEEVEEEEDFGAEEEEEGNGFCELGDAGDGGAGGGISLAGTWWDKEALALAEQVSASFDDDLKIYAFKTAANLTIRVRIEKMSTRYGSPTIDDIEAYTIAYRAKLDDAESTGKIPKNISLEVSSPGVERVIRVPEELERFKERAMYVRYTTTSDESATLQEGDGVLTLISYDMDLRECTWGIADVKINRQQSGKGRPLSKKQREWRLQTPFESLKLVRLYSEC >Sspon.05G0022690-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:8244992:8248739:1 gene:Sspon.05G0022690-2D transcript:Sspon.05G0022690-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTATASCCSSPVAWARPRAAPASASAAALRVGRCPAVAVAVAAPDVAFHPDVSRAAESLQTEFRAVDRAITLNSSRVAAAFRRARVAPHHFGGSTGYGHDDGGGREALDSVFADIVGAEAAIVRPQVPPPHTTLTGSLSSLMNQLSDHCSTDAQFFSGTHAIACALFALLRPGHELLAVAGPPYDTLEEVIGTRGSANVGSLKDFGVAYREVPMQNPNCMVMVDNCYGEFVETSEPAMVVIFADGTFIDGSTSELSCDGPLRDPYAVFCQGGTHWTQWALVLSDVLTVI >Sspon.03G0026240-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:2231247:2232815:-1 gene:Sspon.03G0026240-1B transcript:Sspon.03G0026240-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASAKLPVSKGIGGMDNDDVAAAGGKKAGRWGFVQFFFVLAVVLCVLLYAPRVLVISPYGYSIDVGLFAPTTTSSSSVPLRQRVSGGGNAGGDGGRVREVVLDNQVDSPCSSMRDHTICCDRSSVHTDVCFMAGDVRTDAASLSLLLFPPHEHQHRHHQAPNGTSEEERVRPYPRKWESFIMDKVPEVRLRVAAHRRPEEEHRCDVRHDAPLLVMSAGGYTGNLFHAFNDGFLPSWMTVQHLRRRVVLGVLSYNPWWAGMFSEVISGLSDHHVVDLLHDTRTHCFPGAIVGTRYHGILIVDSARLRDNKTIVDFHQMLADAYEKPPRETTTTTTTTTVQQRRPRLGIVSRKGTRVIENQAAVARLASSVGFDVDILETADGRPLSSWYESLRACDALVGVHGADLTKFLFLRPGRASLTQIAPLGVSPIAREDFGVPAARMGLAYEQYEGLAGESSLARLYAADDAVVADPEKAMRDKGWDLVARVYLGGQNVTLDLARFRRTLARMHAHALRQRRPRERR >Sspon.04G0019800-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:69806501:69808812:1 gene:Sspon.04G0019800-1A transcript:Sspon.04G0019800-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding WRPSPPRSSPAPACPSSARHPCSASPPLPRARGARRRARSPRAAARARTPRRWSLRRPWSAARRRAARPSSSRSRRRRPSPGAPVGRDPRRRSCGSGRPTRTPSSSPGWASASSSLWRASRSPPPVFCQRNMTTSLSSTFIHRSLQQLFCFWLVRLDMVFSNTLKVRKAKPR >Sspon.08G0016420-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:64949443:64955394:1 gene:Sspon.08G0016420-1A transcript:Sspon.08G0016420-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:BPC6 [Source:Projected from Arabidopsis thaliana (AT5G42520) UniProtKB/TrEMBL;Acc:A0A178UIB6] MDNLGHRENGRQRPDQYKAVHTQWMMPQRQLKDHHSMNLLALMNEKDSAIRERDHALAEKKAAIAERDMAFAQRDAAMAERNAAIVERDNALAALELARTNGFNMNNGNGFHQGPPLNGTKNIHHHDQISHVQTSALQLADSPYDHVSEMHISEAYPITTAPGSIGKAKKPRKSNSQASPLKRPSGVLRKTKKATGDWKNGGMTGVAGDSARASVMKNEWKDQDLGLNQVAFDESTMPAPACSCTGELHQCYKWGNGGWQSSCCTTNMSMHPLPVMPNRRHARMGGRKMSGGAFTKLLSRLAAEGHDLSIPVDLKDHWAKHGTNRYITIR >Sspon.04G0020480-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:78302841:78304463:-1 gene:Sspon.04G0020480-1P transcript:Sspon.04G0020480-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDAPGSSQDGVTAREPAFAFAFSTEEPAEEDAASAPAAGVKSFNLPVDSEHKAKSIRLLSFANPHMRTFHLSWMSFFTCVVSTFAAAPLIPIIRENLGLTKADIGNAGVASVSGAIFSRLAMGAVCDLLGPRYGCAFVVMLAAPPVFCMAIIDSAAGYVVCRFLIGFSLATFVSCQYWTSTMFNIKIIGTVNALASGWGDMGGGATQLIMPFVYEGILRCGATPFAAWRIAYFVPGLMHVAVGILVLTTGQDLPDGNLRSLQKQGDASCRKDSFSRVLWHAVTNYRTWVFVFIYGYSMGVQLTTNNIIAEFFYDQFELDIRVAGIIAACFGMANLVSRPLGGVLSDVGARYWGMRARLWNIWILQTAGGGFCLWLGRASSLPASVTAMVLFSFCAQAACGATFGVIPFVSRRSLGVISGLTGAGGNVGAGLTQLLFFTTSSYSTGKGIQNMGIMSMACTLPLVLVHFPQWGSMLLPPSADADEESYYASEWSEEEKSVGRHGASLKFAENCRSERGRRNAVAVLAAAATPTNNTPEHV >Sspon.02G0025700-3P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2C:94491107:94492078:-1 gene:Sspon.02G0025700-3P transcript:Sspon.02G0025700-3P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSACSNNLRLSAAALLAALLATAAPFCSAQQLRRNYYASVCPNVESIVRDAVARKYRETFITVGATVHLFFHDCFVEGCDASVVVASTPNATAEKDHPINLSLAGDGFDTVIRAKAAVDAVPRCRNRVSCADILAMATRDAIALAGGPSYAVELGRLDGLSSTASSVDGKLAPPTFNLDQLTALFARNGLSRTDMVALSAGHTVGFAHCGTFTARIRGAAAPDPTLNGTLAEKLAAWCPDGVDPRVAVTMDVVTPRVFDNQYFRNLQVGMGLLASDQLLYTDPRSQPIVDTLARSSVAFERAFVEAITKMGRIGVKTGAQGNIR >Sspon.02G0023500-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:82935663:82943192:-1 gene:Sspon.02G0023500-3C transcript:Sspon.02G0023500-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSPSPSSPTARRRRHTIYHGHRRASPHRPTVRGGLFTDLRFPSPIPRPSPSPSPSPSTATAFRLRDWDPHSPSSSSSPSASASTSASARRLSPLARFLLDALRRHQRWGPPVVADLSKLRRVPPSLVAEVLTARPPPPPPLALPFFLWAGRQKGFRHCFPAFHALASLLSAAGLPAAADQLPDLMRAHGKPVSHSQLTILVRLHTAARRPLRALHALRRFRHEFDVQPQIHACNRVLGALAAAGHVQDALKLFDEMSEGCVQPIPVTFAIVVRALAHAGMTDRLLEMIGRMRNEVCRPDVFVYTALVKTMVRRGYMDGCIRVWEEMKKDGVEPDTMAYATMVGGLCKAGMVEKAAELFKEMRSKGLLVDRMVYASLIDGYVAAGRVGDGCRLLKELVDAGYRADLGIYNTLISGLCGIGREDKAHKLFQIVLQDDLMPSSDTVSPLLACYAEKGEMVTFFGLVNKLAELGLPVAEMLVDFMKLFAGKDGRELKAMEVFDALIQKQYCSVGIYNILIENLLKIKDRKKSILLFEEMQSSVDFNPDSCTYSHMIPCFVDEGNVEEACLCYNTMMKENWIPSMSAYCVLVKGLCKMGEINAAISLVKDCLGNVENGPTEFKYTLTILEACRSKSPEKVINVVDEMIEVGCSMEEIVYSAIIYGFCKYASSTEARQVFTIMRDRNILSEANFIVYEDMLNEHLKKATADLVISGLKMLFAPKRKSFRMLMVAFEIYWQHQGLVLHFSGEVEIAKEGHELNPFGNIRTKISRLSANAGQVAIIMPKAEDGGYVTGSCCGSVRLFALRFNGGQLRSLAYKASVDKIKEALSSQI >Sspon.01G0040110-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:38973351:38976563:-1 gene:Sspon.01G0040110-1B transcript:Sspon.01G0040110-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWRGQLVAWSRGGWCLPGDLDAWIREASARAPQAPTEARQPSSLAVAGSRRPPRCGARRPQQLGAPPPPSVDSYARAQTRKRGIFPSSSHKKESLSAIYLTGTRVAYNEKQPTAIMPTATPEGWEEAGQHERKGRQTNPTKCSHAPEPPIVAAKCVRQVAENHTAFTSLNKHLSPQPRPDLQWHGAGTGSGLPPPHRSGAAGCRGMPAGIGTAGTRWVATDGEEVVIAEGRREVPSDVGDMRLAHMSAQTRNRQEAIAQQLHHGL >Sspon.07G0025200-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:39187513:39189700:-1 gene:Sspon.07G0025200-2C transcript:Sspon.07G0025200-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding CSALVDVPEAISPRGDILELSISSRASDDSLSLGCETPRESIFDPFATGQEEAACAPKKKVTRCGEVPPRRKLNFDSGDYPVKRLSFDWSDSDEEDQYLQVIHKMILDLLILDGPLDRHEETGEIVTDSSLHESCKTPDSKPLLAGIASTCPDAPLRPSLKVLKLGPGICRKIDFDATTGFPPPGQSDADANATLPPAPTRRRPPPRRCARQDATAPRAQAGWSSSSFRLRLRLRLR >Sspon.01G0005120-4D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1D:11908892:11909704:-1 gene:Sspon.01G0005120-4D transcript:Sspon.01G0005120-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATTIDWHGSNAALPAALYGVADSKELVRALAPPMEQAAPTISFSYPCSGVEHQSAAGSFLGAGGGSGLLTLAQILQVQSRLQFLRRPAAAGGGALAAVATQQMKRQGVPHAPAPAPLPARPAVSKLYRGVRQRHWGKWVAEIRLPRNRTRLWLGTFDTAEEAALAYDSAAFRLRGDSARLNFPELRRGGQHLGPPLHAAVDAKLHAICNGTLPQSQSNATAATATATTTTTPSSFSSASPHVKSEPGCSGSESSFSADGDVSSTGSSDV >Sspon.05G0011880-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:36728320:36733930:1 gene:Sspon.05G0011880-3D transcript:Sspon.05G0011880-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATH12 [Source:Projected from Arabidopsis thaliana (AT5G03910) UniProtKB/TrEMBL;Acc:A0A178USA1] MAVAPPLSTLVSRTLTSNPYLSPRTHVGVARARTRALIPTPAAACAFRFSFRVRATASGAKQPPPYPLADVFPYITAEWETVAKGWACAAVAVYCLSRAVPAAGRLPRALAGAGAGGGAAVEVAARGGVTLAAFASARAAAAYAQQAFLWEAALRAAGRLRERAFERLLERDLAFFEGRGGVAAGDIAHRITDEADDVADALFSVLNTIVPTSLQLITMAIQMVTINPMLSLLAATVIPCMCFVIVNLGKRLRQISKEAHLSLAMLTAYLNDVLPSMLTVKANNGELKEIMRFQKLARADLKNNLSKKKMKTLIPQAVRATYIGGLLVLCAGSIVVSGSSFDAEEFLSFLTALALVVEPIQDAGKAYNEYKQGQPALERIFDLMRFNPEVTDKPRAIHLQSVNGDIKFHDVTFRYDDGMPPVADGVNLHIRPGEIIAFVGPSGGGKTTLAKLLLRLYHPQKGYILLDNHDIQDIQLQCLRTHIAFVSQDTMLFSGTIAENIAYRDPVGDINMNRVEYAAKIANAEEFIKMLPEGYNSNVGQRGSSLSGGQKQRLSIARAIYQNSSILIMDEATSALDMRSELLLKEALSNLITKHSVTVIIIAHRQEMVLMADRIISLERGELQEMSKPAFLSQDGRFSSPKIRSPN >Sspon.06G0006200-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:21346049:21347286:1 gene:Sspon.06G0006200-1A transcript:Sspon.06G0006200-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LNARNVLGPKKRSAAVKWDSLIADALNNTRRRRRGGGAVSGCREAAQSQRRQEQDVFRRVTSKQMVGIYVSVWARSAVRRHVRHLAVSCVRAGVLGLLGNKGAVTVRFALQGTSFCFVCCHLASGSDDGDVLLRNADAGAILSRTRFHGRGSAEAEESSVLPKTIPHHDRVVLLGDLNYRIAMDDDEARQLVRARKWSMLLENDELLLELSKGRQFDGWHEGLVTFAPTYKYHRNSDKFYWWTDGGANNGHQNSKQHRAPAWSDTRTRHIGSILPLSIHSRHGRVISETLLIAAVICRCDRILWRGKGMMQTRYESCGGYRLSDHRPVRAVFHAVSP >Sspon.02G0031630-4D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2D:90357697:90363962:1 gene:Sspon.02G0031630-4D transcript:Sspon.02G0031630-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVDSRMATESDSDSDARGGGGGGSGSGSETPTASPSPSPSPSQSPSASPSPSAPGTPTAAAASPGPVAGPRPAPGYTVVDAAMDKKEDGPGCRCGHTLTAVPAVGEEGSPGFVGPRLILFGGATALEGNSATPPSSAGSAGIRLAGATADVHCYDVLSNKWTRLTPLGEPPSPRAAHVATAVGTMVVIQGGIGPAGLSAEDLHVLDLTQQRPRWHRVVVQGPGPGPRYGHVMALVGQRFLLTIGGNDGKRPLADVWALDTAAKPYEWRKLEPEGEGPPPCMYATASARSDGLLLLCGGRDANSVPLSSAYGLAKHRDGRWEWAIAPGVSPSPRYQHAAVFVNARLHVSGGALGGGRMVEDSSSVAVLDTAAGVWCDTKSVVTTPRTGRYSADAAGGDASVELTRRCRHAAAAVGDLIFVYGGLRGGVLLDDLLVAEDLAAAETTSAANHAAAAAASANVQREPGRYAYNDDQSGQTVTVSSPDGAVVLGTPVAPPVNGDMYTDISPENAIMQGQRRLSKGVDYLVEASAAEAEAISATLAAVKARQVNGEMEHSPDREQSPDAAPSTKQNSSLIKPDAALVNNSTPPPGVRLHHRAVVVAAETGGALGGMVRQLSIDQFENE >Sspon.06G0006140-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6B:19272199:19273790:1 gene:Sspon.06G0006140-2B transcript:Sspon.06G0006140-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GTAEVVFTRRSDAIAALKRYNNVLLDGKAMKIEVIGSDLGLPMTPRINVIGASNGRATRTVVMTPEFSQRGRGSSSRPLSNPSNRFNNRGGFQAGRGRGQFQARGRGRGQFQSRGRGRGQFQGRGRGRKPEKTADELDKDLESYHADAMKTD >Sspon.05G0022500-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:7652894:7655288:-1 gene:Sspon.05G0022500-2D transcript:Sspon.05G0022500-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIVAVLVAPTASSVGPRRRWRAAAASSSAASGVDLKGLQAAIDKVSDSRSTGFLTGWQAICWVVLQKSSDDVKEALDQLRELGWAKRWSSQPYVSRRTTSLRELTTLGIKNAENLAIPSVRNDVSVVTCSTVLSWTAEANVTSFRAKYPVLFRAAFLFTVVGTTGFLAVLAGQLPGDWGFFVPYLIGSISLIVLAVGSVAPGLLQAAIGAFSTVFPDYQERIARHEAAHFLDYICSRNFLNGSFIENLVAYLIGLPILGYSLDIGKEHVNLIDEQLQKLIYSGKLDGKELDRLAVVSMAGLAAEGLEYDKVVGQSADLFTLQFYIVTYSLYLQKIASEEFLRFINRTKPQLSKDQQQNLTRWAVLFAASLLKNNKAAHDALMSAMSQKASVLGCIEAIENAS >Sspon.03G0013850-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3B:52219111:52223225:-1 gene:Sspon.03G0013850-2B transcript:Sspon.03G0013850-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGALSIASGVLVPEVDAVVAFYGTPSSELADPSKAKAPIQAHFGEHDSFVGFSDVTAAKSLEEKLKSSGIPYEVHIYPGCSHAFMNTSPEALKRKKGMGLTDENHEAVDLAWSRFSSWMGRFLGSA >Sspon.04G0005210-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:14889655:14890548:-1 gene:Sspon.04G0005210-1A transcript:Sspon.04G0005210-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RMAASTTTPTMPATVTPLPGYGYQGSAAGAGAEPLHSSSGSIGTFFGVLVAVLLLTLLSCVFGRVCAAQADGPDERYDCTRLARRWCGWRAPRRAAVKREAKAPPVLELEAPAALPPPEDRLNPALKLQVKVTIRVLI >Sspon.02G0004510-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:8942509:8945270:1 gene:Sspon.02G0004510-3D transcript:Sspon.02G0004510-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-conjugating enzyme COP10 [Source:Projected from Arabidopsis thaliana (AT3G13550) UniProtKB/TrEMBL;Acc:Q0WNL1] MSSSSSFPNSRFPFASGAGAPGRSGGGGGSSVRPWGSSGGTSVSSSGKRIQKELLDLNASDCSAGPKGDNLYHWLSTIIGPQGSPYEGGVFFLDIVFPPDYPFKPPMVTFKTRIYHCNIDSTGKVHLDILKDGWSPAFTISKVLLAIKDIISNPDPYTPLVMSISRQYLTDRAKHDEIAAEWTMRFAR >Sspon.04G0024390-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:19113351:19116208:1 gene:Sspon.04G0024390-1B transcript:Sspon.04G0024390-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative cyclic nucleotide-gated ion channel 15 [Source:Projected from Arabidopsis thaliana (AT2G28260) UniProtKB/Swiss-Prot;Acc:Q9SL29] MTFLVLMAEHNVVVSAFPRDQITEQIHVTEQRIQQDQSMFITKAMYASLRNFRFQNEIEVQSFRTSPLQQNLSSRKHGRAHDPRKCRLGFRGGCLEKACRNPTLKDRVLSRAFSEELESLMHAAGSSHLFFDPRGHLIHLWNKIFLSACLLSLFVDPLFLYLTGTQRNNHMCIEFKYSLALTLSMIRSLLDLFYAAHILFRFRTAFIAPSSRVFGRGELVIQPYKIARRYLGRTFWFDLVTALPLPQFVIWIVIPKLNESPTANRKSILRFSIIFQYLPRLFQIFPLTSQIVVATGVVAETAWACAAYNLILYMLASHIDADLIFFSFWTTEPDEYVQVLGALWYLFSVQRQEACWREACLLESPTCQTMFFDCKALSSNRTIWYELSNITSLCTPGNGFYAFGIYEEALHAKLTSSSFTQKYFYCFWWGLKNLSCLGQNLSTSLSIGEITFAIVIGVLGLVLFGLLIGNMQSYLQTTMVRLEEWRTKRTDMERWMHHRQIPQPLKQCVRRYHQYQWVATRGVDEEALLQDLPMDIRRDIKRHLCLDLVRRVPLFDEMDERMLEAICERLRPALYTRGTRLVRELDPVDSMLFIIRGYLDSFTTQGGRSGFFNSCRIGAGEFCGEELLTWALDPRPSAKLPLSTRTVRAVSEVEAFALVADDLRFVASQFRRLHSARIRHRFRFYSHQWRTWAACSIQAAWRRHKRRRASVELRVREGGDVRTAGSLRRSCRHSIDGEASIKKPMEPDFTVEEED >Sspon.01G0028100-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:98449941:98452417:-1 gene:Sspon.01G0028100-1A transcript:Sspon.01G0028100-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQQSDECSLLKRAKYSHNRIMKRNSDQLFHGTIAKRRIAPKVSLSNLPMDILSQILSRLPINDAVRTSVLSREWKYVWRGHTNLTFNSATLRKYYSKTSFGYGFINDEEFITRVDTVLRHHSGAEIEHMEVKHRLDNKHANHIDRWINFAITSKAKELIIDLNGGFELSLSRDMSRGIHKIREESYSLPSQLLSADNVSYLQRLELTSLSLQLPTDFKGFLNLRNLILVDVSITDKDVQYMLSRCNHLEFFEVAYCRMITSIQVPHPSNQLKHLVVDKCPLLQVIELNCSPTMLEYTGTIAPLIFGSTSRLKNICINFKLCNAILDYMVTGFPSTLPSLETLTLQCAQWKRIILPGNPFIFTHLRHLKLELVLYGKKKRKTDVLDYAYLLEVAPFIEKLELLMWLDCPRRPYRKEDGELRIRPPHQHAHLKSVRISRFFGHKDQVELALHILRSSIILEKMEISPRVEIGGCCGSEKQHYEREQYADGHRVATEFVCKADQRNVVNAVKASFSWGPPLDRGYASGVHETSRLGGRLSCMHKCRRVKPPKTHTK >Sspon.04G0002040-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:3700478:3702358:-1 gene:Sspon.04G0002040-2B transcript:Sspon.04G0002040-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKGRTGQRVRLYVRGTVLGYKRSKSNQYETTSLVQIEGVNTKEDVAWYCGKRMAYIYKAKTKSSGTHYRCIWGKVTRPHGNSGVVRAKFRSNLPPESMVKFQSSAVAASYL >Sspon.03G0007520-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:20315735:20319267:1 gene:Sspon.03G0007520-1A transcript:Sspon.03G0007520-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLNPFELLGADDNDDPSQLIAAAEAAAQKAEAKKSAAAPAGKAVQPAAAAKFPTKPAPPSQTVRDARGGGASARGGFGRGERGRGRGGRGYGQNRDFSGDNANGFQGGYGGGGYGGGGYGDGAVTGGAEGERERGPRPPYRGGGRRGGYRNGEFGDDSERPPRRNYERHSGTGRGYEMKRDGAGRGNWGTATDEVLAQLTVLMKSSVTRETEEALKVEEGAPVAEKQGEQNDAPAADENKDNKDAAANEEEEKEEDKEMTLEEFEKIREEKRKALLALKAEERKVEVDKDLQSLQPLSNKKENDEVFIKLGSDKDKKKESAERDERAKKSVSINEFLKPAEGERYYGGRGRGRGRGERGGFRGGYGGGYNRGPAAAPSIQDQAQFPSLGGK >Sspon.02G0003570-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:11384169:11384633:1 gene:Sspon.02G0003570-1A transcript:Sspon.02G0003570-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MERGAEEEEEEVACECCGFTEECTAPYIAGVRARYGGRWICGLCGDAVGEELGRASPPISPAEALDRHACVCRGASAPPSPAGSPDDLIAALRLLLRRRLGSPPPPAPRKARSTPSSPRRDAAPGGISVVAAVAKGSAGSSLARTGSCFAALVE >Sspon.08G0016420-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:59531637:59537420:-1 gene:Sspon.08G0016420-1P transcript:Sspon.08G0016420-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNLGHRENGRQRPDQYKGVHTQWMMPQRQLKDHHSMNLLSLMNEKDSAIRERDHALAEKKAAIAERDMAFAQRDAAMAERNAAIVERDNALAALELARTNGFNMNNGNGFHQGPPLNGTKNIHHHDQISHVQTSALQLADSPYDHVREMHISEAYPITTAPGNIGKAKKPRKSNSQASPLKRPSGVLRKTKKATGDWKNGGMTGVAGDSARASVMKNEWKDQDLGLNQVAFDESTMPAPACSCTGELHQCYKWGNGGWQSSCCTTNMSMYPLPVMPNRRHARMGGRKMSGGAFTKLLSRLAAEGHDLSIPVDLKDHWAKHGTNRYITIR >Sspon.01G0027290-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1C:94014378:94018124:-1 gene:Sspon.01G0027290-2C transcript:Sspon.01G0027290-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGRARVLPAARATARSPSRAPSPPHGVGDGRGWPSVDEKKTEAARSRRRRGWGRRLQSLCHGPADPPPPRLLRIRAARVLCLDVGSLCLRSALSRASRVATTGTCSVVMMGAGGGGDDKEREGEGEGERACGTVNKWTMSLTERVSSATTLLEELRAVKAERSRQFADIRSEIEKITADIGYGYEGSPRASEVVEEHDLTIRRLNEYKVRLTSLQKEKSDRLHRVLEHVTEVHSLCDVLGEDFIAIVNEVHPGLHLHETSDPGKPTSISNSTLSSLAQVVAMLASEKAKRAAMLREAVVPLVELWELMDSSEKERRGFRKVTAVLNPDKVNALSSGVLSVATIKKTEEEVERLTRLKAGRMKELVLKRRLELENICRSMHVEPDASTVPEKSIALIDSGLVNPSELLASIDEQIAKAREELQSRREIMEKINKWLLACEEEKWLEEYNMDENRFSTGRIARLNLKRAEKARLIITKIPAVVDNLISRTLAWESERKKPFLYDGARLVAVLEEHKQARIRQEEERRRLREQKKLRTLLSEKEAMPHLKRPGSSFGRAAEPCNVNRKRVDAGRFACSAAPSVRSGASSSSSSSSSASATELIRPRSSAAGGGQCGEFFKGARRLSAPPFNYVGVSKGGSMSSSLA >Sspon.08G0005810-4D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8D:15528085:15529966:1 gene:Sspon.08G0005810-4D transcript:Sspon.08G0005810-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVATARELIINPTALPILLLVAGLTLLYVLRRRGSGSGGLRLPPSPFALPVLGHLHLLAPLPHQALHRLAARHGPLLYLRLGSVPAIAACSPDAAREVLKTHEAAFLDRPKLTAVHRLTYGGQDFSFSPYGPYWRFMKRACVHELLAGRTLDRLRHVRREEVSRLLGSLSRSAADGAPVDVDAVLMGVTGDVISRMVMSRRWTGDDSAAEEMRSVVTETAEITGMFNLQDYIGLFKHWDVQGLGKRIDAVHRKFDAMMERILTARDAERRRRLKEAADGAGHGDKKDLLDMLFDMHEDEAAEIQLTRDNIKAFMLDIFAAGTDTTAITLEWALSELINNPAVLRRAQAELDAVVGASRLADESDIPRLPYLQAIAKETLRLHPTGPMVVRRSMEPCKVSGYDVPAGATVFVNVWAIGRDPASWAPDPLAFRPERFLEEEQGGGDSAGLDVRGQHFHLLPFGSGRRICPGASLAMLVVQAALAAMLQCFEWTPVGGAPVDMEEGPGLTLPRKRPLVCTVKARLHPLPVPAAADGVEETAA >Sspon.01G0028750-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:114342886:114344874:1 gene:Sspon.01G0028750-2B transcript:Sspon.01G0028750-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:30S ribosomal protein S9, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G74970) UniProtKB/Swiss-Prot;Acc:Q9XJ27] MALSVSSLAAALSHLSLPSTSTSKPHPALLLRLRSTSRRAVSLALRASAAEAAEPSEADLPAEEVVAVEEEAEEDALSGIALRKYVKQRLPGGFAAQRITATGRRKTAIARVVLQEGTGKVFINFRDAKEYLQGNPMWMEYCKVPLMTLGFENNFDVFVKVHGGGLSGQAQAICLGVARALVKISNANRVPLKSEGLLTRDTRIVERKKAGLKKARKRPQFSKR >Sspon.08G0014870-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:60109182:60114726:1 gene:Sspon.08G0014870-1A transcript:Sspon.08G0014870-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSHRLPPAAAAVDPYYVYAPHPDPQRKGVLTLFVAGLPDDVKPREIHNLFSHRPAFDHCLLEYTGRGNQAVAFVTFFTHEAALSAMTSLNGTIFDPETGDRLHIELAKSTSRRPRGGGEVYRVIDKRANKTEGNADNENVGDEGDEEVWGEDEDGGNDDIGDGESDEPSGTENENSSDKNELPADQSDQPGHKKQNGQSLSNDGRDKSSNDIPPCSTLFIANLGHTCTEDELNEVLSKEPGFHVLKMRRHGGMPVAFADFTVRKVKDEEKLSCWTVRIVMSSPVFLKVSVGIPAHVTACTLLSCFIRRSVRIENGANCPLYHCKIRSIYLYRDIPVWKLLSSGMMSTAP >Sspon.08G0019840-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8C:11999911:12000746:-1 gene:Sspon.08G0019840-2C transcript:Sspon.08G0019840-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHDGKNLTVTEAVRSVQLLKIDGYSATSTMVGSAEFIKPRSRWNIDGHEWEVHFYPDHCQFLEDEDVIPPFDDFVEWVALKLILVSEPQRDKLRVNLSCRLVCPSQRVGPSKEKSVSHVFDRRSKCSPEVLLMLKHDVPSSGYLVNDSLTVECTITVLGADDEEQPLPVPPPSDMHKHLGELLQSQEGADVTFHVSGESFAAHKAILAARSPVFKAEFFGGMEERSSDSVEIKDMEAAVFRSMLHFIYTDMAPELDGDLEPQEAATMAQHLLAAADR >Sspon.05G0002550-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:12273696:12275077:-1 gene:Sspon.05G0002550-1P transcript:Sspon.05G0002550-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQASASARVYHVTDYGADPTGATDATAAINKAIADAFRPPTNATMTGGIPDLGGAEVHLDGGTYLIKGPLTLPASGGGNFKIHSGSLRASDDFPTDRYLIELSATKSGRSYDYEYATLRDLMLDCSYRGGGVTVVDSLRVAIDNCYVAHFASDGIAVRGGHETFIRNTFLGQHMTAGADPGERGFTGTGIRLDGNDNSVSDVVIFSAATGIMVTAPANSISGVHCYNKATGFGGTGIYLKIPGLTQAWISNSYMDYTSIVAEDPVLLHVSGSFFLGDANVVLKAVNGVARGVQVIGNIFSGRDKGVDIVQLDGNFTTVDQVYVQQNSATGMTIKSTSARASVEGNGSSWTLDFSPVLLFPDRIGHVQYSLVAGDEFPGHTLRNVSGNQVVVATDKAVSATVHVLVDQNSD >Sspon.01G0037780-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:20695292:20695969:1 gene:Sspon.01G0037780-2C transcript:Sspon.01G0037780-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGWLVVRYLISLSWSKKPRSNTSPSPAKRTTPARRWCSMCPSATFRWWCRSLPAAASAAVKLSLYVRWRYMSPVARSSRAVAGTSGAASTTTHSSGGATSRSVCAIRVSAAARSPDAAGVDSVVVTTTRSGRGDAGSPSRRSAPPCASRLRFTAPPPPAAVGSARTTWLLPTRPAATAADVQSDTDDGAKPVKKPITKQSEECSSAFFHSCDPACFLPRPPAPP >Sspon.03G0000300-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:7384373:7388064:1 gene:Sspon.03G0000300-2B transcript:Sspon.03G0000300-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDDVIWHCIRHNHCSFMAKYVAFLFFSTPVPVSVSCVDLESRLTAAPWVGLCRITTGIFCRNPYNATGICNRSSCPLANSRYATIRDHDGVFYLYMKTAERAHLPNKSWERVKLPKNYEKAMDVINKHLEFWPKLLVHKIKQRLTKMTQYRIRMRKLQLKVREKVMTMPRKQTQRDLQRLDKAERAAQVERNLENELKERLTKGVYGDIYNVPFKEFGVVLDMEKGEVAPEEEEEEEGEMEYVEGDDMEEMDDMEDMEDFEGLSDGGTDEDDVLDDPVSKKKGSSSNSNQNAGKRSRKVMTEVEQDEEINTRQRQRM >Sspon.06G0020130-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:8484002:8485300:1 gene:Sspon.06G0020130-2C transcript:Sspon.06G0020130-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding KMNLQAVQYSGDKMKISLFLVPLLITLLSPNGPLPSAVALNVKGRLVKTKTFLSPPIFLRPGDVADKYYYDIAFPRGHLALKSFNGEVVDENGVPVPLHETYLHHWVVEPYYAAKDATAAAAEGRPRKLPARNSGVCNHTLGQYYGLGSETRRTATWVPDPYGIEIGDPDAAPEGYEERWFLNVHAIDTRRVVDKLACTECRCDLYNVTVDQHGRGLPGDYAGGLRCCYDETRCAVEEGAFAVNGGEPRKVFLRYTVMWQDWSDAAVLPVKIYIFDVAACKVEYQVEECAGNGDGGECVHVQTATQVLPRGGDVVFGVAHQHSGGISASLHGDDGRLLCESTATYGEGQEAGNEAGYIVGMSTCYPKPGAVKVRDGEALTVVSRYSSERRHTGVMGLFYILVAEHDQQQLPAAGKAPALCFSFPVSCEQDSSF >Sspon.01G0018870-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1A:70108779:70112340:-1 gene:Sspon.01G0018870-1A transcript:Sspon.01G0018870-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GENTRTHRQRLQAAALRNPFLPLIPTPQGAERSGEERRFRPSAVATVLGSPLSKMSSPSKRREMDLMKLMMSDYKVEMVNDGMQEFFVEFKGPTESIYQGGVWKVRVELPDAYPYKSPSIGFINKIYHPNVDEMSGSVCLDVINQTWSPMFDLVNVFEVFLPQLLLYPNPSDPLNGEAAALMMRDRSAYEQKVKEYCEKYAKAEDAGITPEDKSSDEELSEEEDDSGDEAILGNPDP >Sspon.02G0017620-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2B:19614283:19615224:-1 gene:Sspon.02G0017620-2B transcript:Sspon.02G0017620-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAIATRKRPALDGPCFPAAKKRSRYIFGSIYEYKKLGVLGEGTYGKVVRARHRRTGEMVAIKWVRATRGGGADGIRAALREAGCLAACRGAPSVVQIRDVAADEASGDLFIVTELVDGPSLRDRLTLTGRFPEAPARAAMRQLLRGVAWVHRAGALHRDVKPENVLVGPGGALKICDFGSATPVRPPYLEDRVGTLWYLAPEMLKGSRCYGPPVDMWALGCVMLELLTGKPVFPGVQTKEDLLVEVFQLRDKMDSDGVAAFKGLPPDLSKAAGELLCGLLCFDEGKRLTAAQALNHRWFSESEEAESMDPD >Sspon.07G0013170-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7A:47751530:47752946:-1 gene:Sspon.07G0013170-1A transcript:Sspon.07G0013170-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLDKHGLQVFSSCCTSLTDLTLSFCTNVDDSGLRLLVCFKKLMSLRLNTLPAITSSALLQVAIGCKNLSSLHLIGCNKVGGTIWLEYLGRFRSLNELVVNRCEMIRQFDLLKFGPGWMKLQKFEFQIKGCPNIFDPRDPSCLEHCQYRYDFSCESLEDLTLARVSTEKEIGLRCLLRKCNALKNLCLYYVLGVQDNDIVTLSNNCSNLTSISLRLTPEFNEGHVFRTSLTDDSLKALALRCRKLQSFELIFWGCDENWPEIGFTQEGLVMLIQSCPIRNLVLSGAHIFDDEGMKAISSAQFLESLELMDCINVTNAGMRLLAHCPCLINLTLRQCDRFSDAGVTEVARARKLETLVIEGCSRVSPEAVQGAATSVHYTKDYPGLFSLGRA >Sspon.04G0009120-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:26406000:26406530:1 gene:Sspon.04G0009120-1A transcript:Sspon.04G0009120-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding EELGGVARVQGRRRRGAAQGGGAGVARAVGQPAAVVAGVGAGRERPRRRRWRTGPRGRGAAPPVPVQARGHGGGGTGRTGPGPGPREVVGLRAVAVGPVRARRRGAEARVRPRHRRPRRRRRAAGWGRRAGSGGEAAQGERPQPEEPVPSRENCNDRTPNNALRNYRTPNINFVKMT >Sspon.07G0003450-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7A:8348432:8350375:1 gene:Sspon.07G0003450-1A transcript:Sspon.07G0003450-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAYGLDDCVEHIFDGTHYARWKNHMLDHFRALGPKFWWIIVVGFSHDLDGCGNLTQAQKDCRTLDAQAKFYLIKALNDEIFDKVRGLSSAHSMWTALKHHYCGSSIWDDGKFKKDDHIVMKVHEDVEHDHNEVVVEDCSISMSSDDDDDHATRSLDKNDVNATSDASNDSTPCTLDGEDDGYESDASTSSSISSHDDTKVSIGGFVVDCDGPNFELLYKLSKALRNEMTKTSKLKIENSFLKTTCEQQKHLLYVTTCSHEELKLAHEELSVAHDNLAQEHALLTKKLSNEETKTSESSSFGSNDQSHITNPCDVGKKHVSTSYDDLLDMPCSSQLNACSTSMSCETNLLKENNELKSEVKNLSNKLEKCYNSKVTFEHMMKTQRNIGDKSGLGFKKKMTKGERKQEKRIKKLLQKKLSHSMCYRCHEAGHLANGCPNIEKLKKMKEEERLKHVKCFKCRTWGHLTSMCPTKQLVKQQVKPQPKPLVEQDKTPLEQIKINHEDVSDLIIKKKKTRRGGRARHPMQIQDAKMMSKNQNEKRNLTHIKCFKCEDMGHFVSGCPTKLEKKAQATHKRQGIEKQHMSKEEKAHSKRSCYLCRERGHMAHSCPLGNNSKPNSIDNTNMLRKDGNGTSMVAIAKHPAIHTKALP >Sspon.06G0018540-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:67135666:67139427:1 gene:Sspon.06G0018540-2C transcript:Sspon.06G0018540-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAFVVALAFLFLEGAAAAETSGRSVQRRQEVHSLLRRLNKPHLASFEVRAADASQIIRESARVFFLRRAQEFGGYCRYGIAGVETTLIRGGKADCFVNEPFRREMADCFCQMLRPLILRNKQSPDGDIIDCVDIYKQPAFDHPLLENHTIQMRPSYHPKGLHEDSKVSARPISQTWHQNGKCPENTIPIRRIKEEDVLRASSIGRYGKKMPGSIPKLISVDDPVKPGVTSGHKYAVAAAPEDKYYGTKTSFNLWQPTISKTKDFSLAQLWISGGPIATTTSIPLKDINTRIFIYWTRDGYQRTGCYNLNCPGFIQTNNQFAIGGSISPVSSYGGSQFDIDILIWKDPKRGNWWLQVGSNVVGYWPSSIFSYLADSASSIMWGGEVYSPDPVQTSTQMGSGHFPEEGFGKASYIRNIKWWVRPTFSKHQTNQAAIMYGMVLTETGALTSTMGEPERTLTAL >Sspon.03G0003950-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:27109940:27114728:-1 gene:Sspon.03G0003950-2C transcript:Sspon.03G0003950-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein PIN-LIKES 6 [Source:Projected from Arabidopsis thaliana (AT5G01990) UniProtKB/Swiss-Prot;Acc:Q9LZN2] MMERSLLEVLATAAQGGTEGTSVLSMLKYAVLPIAKVFTVCFMGFLMASKYVNILQPNGRKLLNGLVFSLLLPCLIFSQLGRAITIEKMIQWWYIPVNIVVGAVSGSLIGFVVASIIRPPYPYFKFTIIHIGIGNIGNIPLVLIAALCRDPSNPFGDSDKCNQDGNAYISFGQWVGAIIVYTYVFKMLAPPPGQTFDGSEEDELPIKASGENTVPQIGNYPMNTHTSTVPENEPLLSAGEVQKERATSVGTKIMGYVKCVVKFLKDKQLLQPPIIASAFAIVIGVIPFLKNFVLTDDAPLFFFTDSCLILGEAMIPCILLAVGGNLVDGPGEGSKRLGVRTTVAIIFARLVLVPLAGVGIIILVDKLGFIPKDDKMFKFVLLLQHSMPTSVLSGAVANLRGRGKESAAILFWVHIFAVFSMAGWIIFYLSLLF >Sspon.01G0008300-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:22471873:22474679:-1 gene:Sspon.01G0008300-4D transcript:Sspon.01G0008300-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding CWAVLESKSGNIRRARELFDASTVADAKHIAAWHGWAILEIKQGNIKKARNLLGKALKYCGGNEYIYQTLALLEAKAERFEQARTLFEQATQSNSKSCASWLAWAQVEMRAGNNTMARKLFEKAVQASPKNRFSWHVWALFEANEGSIDRARKLLKIGHAVNPRDPVILQSLALLEYNFSSANVARVLFRKASQIDPRHQPVWIAWGWMEWKEGNARTARALYQRALSVNSTNECAARCLQAWGVLEQRAGNYTAARRLLRSSLSINSQSEVTWMTWAALEEEQGDPVRAEEIRNLYFQQRTEVVDDASWVMGFLDIIDPALDSVKKLLNLDQPAGPTRQDDVKSTARSSAAGESSETSAAVGSDFSGLKSHDAGNNGSEATGTPTSDFDLDGFIKKRLALDPGELDAVLEGSDPRGVVSQRRKQRLPRTPLPLLPAP >Sspon.04G0002880-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:28858933:28863405:-1 gene:Sspon.04G0002880-2B transcript:Sspon.04G0002880-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAGGCTVQQSLTAEAAAVVKQAVSLARRRGNAQVTPLHVASAMLAAPAGLLRAACLRSHSHPLQCKALELCFNVALNRLPASAAVASGGEHGGSLCWLLGFGTYQAYMRCRVGQPSLESLWGLQTLTVPAGSLALSLTCAFDDSALGTVNQSMKAVAGPDTDGNGPASCWPLLGGTQLISRCCADCSAARIDTKAALPRPFVSSSTLPSWLQHCRDHQEPATTHLTDLGKTWSSICSRPSQRMTLHFSAPVSPASSISSYEHGGDHHQPQQQQQPRHSSWLLAGLDAAAHHPWRPKRETSGKATSRSHDSGGSNGSVEVECRAKAKFKELNAENLKVLCGALEKEVPWQKEIVPEVASAVLQCRSGIAKRRDKSRSADAKEETWMFFLGGDADGKERVARELASLVFGSRNSFVSIRPGGASSPPPPAASSGSSEGHHRSKRPRMAYLERLHEAVSENPHRVIFMEDVEQADRDCQLGIKEAIESGVVRNHAGEEVGMGDAIVILSCESFDAASGSRACPPPSKKVKVEIEEGKEERTGDPEHNEDGASSSSPSCIDLNVDMESSDQADEQSLGDLCLLTAVDRTLFFRRQEN >Sspon.06G0001860-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:4672214:4674464:1 gene:Sspon.06G0001860-2B transcript:Sspon.06G0001860-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PsbP domain-containing protein 3, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G76450) UniProtKB/Swiss-Prot;Acc:Q9S720] MAAVTSTASLCPAAAGGLSSSSSFTRKASSRRLQQGASTVCHCRPARVEGLDRRDALLGIVLSAAVAPLLAPAGALADEATTESQEGFTTYEDEANKFTIQVPQGWLVGAGEASGIKSVTAFYPEQAAADSNVSVAITGIGPDFTSLKSFGDVDSFAEGLVNGLDRSWQRPPGLAAKLIDSRAANGLYYLEYTLQNPGERRRHIVSAIGMAFNGWYNRLYTVTGQYIDDDDSEKYRPQIEKAVRSFRLT >Sspon.07G0001530-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:2488714:2492784:1 gene:Sspon.07G0001530-2C transcript:Sspon.07G0001530-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRSTGHPNDASILESEFFTEYGEASRYQVSEVIGKGSYGVVAAAVDTQTGERVAIKKIVDVFDHVSDATRILREIKLLRLLRHPDLVEIKHIMLPPSRREFRDIYVIFELMESDLHQVIKANDDLTAEHHQFFLYQLLRGMKYIHAASVFHRDLKPKNILANADCKLKICDFGLARVSFNDTPSAIFWTYTPAIDIWSVGCIFAELLTGKPLFPGKNVVHQLDLMTDLLGTPSAESISKIRNEKARRYMSNMRKKPRVPFTKKFPGIDPMALHLLERLLAFDPKERPSAAEALTDPYFNGLANNEREPIAQPISKLEFEFEKRKLAKDDVRELIYREILEYHPQMLEEYLRGGDHSQMTFMYPSGVDRFRRQFAHLEEGTAKGEKPSPQLRQNEESVLESVDETVDDVTKKIPQLKT >Sspon.07G0021220-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7B:1772236:1773332:1 gene:Sspon.07G0021220-1B transcript:Sspon.07G0021220-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GGSAPPPRLHRPRRLILRRHHHRRRRAIGPSGALLLPFHAEHRPAPGGRDHRRVRVPVRAARGRQGLRRRGRERRASWQRRGAAVRPALRVQRPRRARPPARQPRRAARAVPGPVVPAQAGSPRHRPDGRPQPHRGRRGARRGARGVRRHPEPQSRRVDLRRLRRRRGGRRASPRRRAHVALGRPRRRTRARHRSHGRVPRLRRGRPRRRGRDRSDTSVARGRARVQHVGGAPEAPGGAARGLRGAAEPRDEGPVERRRRGCGAWRASPARLRGCDDDAAIDWPGRRPHRRAGRLHVGRRPWVQRGNFHRRVGHGRGPN >Sspon.05G0001930-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:6199307:6201060:1 gene:Sspon.05G0001930-1A transcript:Sspon.05G0001930-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNYLRSRSLKRLLSLGRRSNADECVDPPPNKPTWRCFSYEELHQATNGFHQDNMVGKGGYGEVYRGVLDDGRAVAVKRLAPTAAADEKKEKDFLTELGTVGHVRHPNVSALLGCCVDRGLHLVFDFSTRGSTLADTASSSTAHVHADVLSLCSVPPFTINESCADPKLPAMSWKQRHGIAVGTARGLRYLHKGCARRIIHRDIKASNILLTADYEPQISDFGLARWLPSEWTHHAIAPIEGTFGDGVVQGLVDPRLGDGYDAGQLRRLMFVASLCVRAAAVWRPTMT >Sspon.04G0017530-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:69611921:69612588:1 gene:Sspon.04G0017530-1P transcript:Sspon.04G0017530-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRSCKLLLALLIAAMAASPLSLAYDPSPLQDFCVADTVSSVFVNGLVCKDPAQVSAADFAFSGLQNAGDTSNAFGSKVTLVDRAGGVNSLGVAMARLDIAPGGLNPPHTHPRATEVLTVVQGQMYVGFLGTDGTLFAKVMSKGDVFVFPKGLVHFEFNCGASPAVGIAGLSSQNPGLIRVADSLFGATPAVTDEVLAKAFRIDAATVQRIKAQFAAKK >Sspon.08G0009550-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:36340881:36347713:1 gene:Sspon.08G0009550-3D transcript:Sspon.08G0009550-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVHHASDIQQLQRFPWSFHLLAVLGALYLGAVTFRLLAYIGLPNFLRRPTDLRRRYGAWAVVTGPTSGIGRSMAFELARRGLNVVLVGRDPDKLRDVSDTITKTHAGVKTKTVLFDLSFVSTAQGEEAMRRLRDAVDGLDVGLLVNNAGVAKPCAVYLHEFDVDAWVKMIRVNLWALTEVTAAVLPGMLARRRGAIVNIGSGSTEAIPSFPLYTIYAATKRSPSPVHQTAPLFVDTKMASSVAKAKRFSPFVPTSDAYAHAAVRWIGHGALCVPNAGHRVQRCLAAVVPDCIHDWLRLREHLRQRALFQRI >Sspon.05G0022700-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:3870934:3878848:1 gene:Sspon.05G0022700-1B transcript:Sspon.05G0022700-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRSRDDESAQPPSKRPRRDAEADADAAEPSPRVVLNPADCDLGTHARTHSTPPTSSLRFRFRYAHFDVGGGGLRGSALHEGAFAYCWSGARATVGARGGGRYCFGCRIVAEQPVEMDLTTPEERHLCRIGVSRGDDPVGALGESQHSFGFGGTGKFSHQRRFVNYGVRFGVGDTVVCAVDLDSKPMASIGFARNGEWLGIARHFDAGEKGLGLVDAPLRPMQWGSALFPHVLLKNVVVEMQFSREDGLLPVDGYEPWASAVTHGNAVFGPSFEQNECEVMMMVGKSTWAEKWVKEHQEKRFVLLGTNLALEQMKVPGLLLKNNYGERFDRLMDCATWIFNKLLTRAANTPRNFIIDQTNVYKNARIRKLRPFANYHKTAVVVFPTPSELKSRAAKRFDEMGKEVPAEAVNEMTELSRDEAQRTLDEMQRMLPRIVTPSYGNSSNQNHASSTYAGTAAPLDPSARSSMPGFYPPMANSYGVGTLPSSAATFSTGVHTAGNTTHGQAPRSVRSFQSPTGNQHEIHSGYPSAPNQYQIQMPSSYLSNPNQYEVRASYQSTPLPAYGQSTYGSHRNSSPYNPNPYNTEMHQSIQDPMSSRNLYQAPGSAEAYRAPGYAAANLIGRPHRVSSPSTLPAHGPQPAAQWVPNQGSSCSWSSDSYRPYYGQHSHGK >Sspon.07G0014870-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7A:53457766:53459462:1 gene:Sspon.07G0014870-1A transcript:Sspon.07G0014870-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSGSGVCAKRIVVDARHHMLGRLSSIIAKELLNGQKVVVVRCEEICMSGGLVRQKMKYLRFLRKRMNTKPSHGPIHFRAPAKILWRTIRGMIPHKTKRGEAALARLKTYEGVPPPYDKTKRVVIPDALKVLRLQPGHKYCLLGELSKEVGWNYHDTIRELEEKRKEKAKVAYERRKQLAKLRVKAEKTAEEKLGSQLEILAPIKY >Sspon.04G0004290-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:12923633:12925098:1 gene:Sspon.04G0004290-1A transcript:Sspon.04G0004290-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNHLALSMLSPSTSGFPPCPRPSKAATNATAPRCRAAPSGDASLCAFRAHHRAGRALHANPALIPALAACARLPAAVAEAEQIHALLVKSGVPRASAHGYVLRREFSLDDALGVALISMYTRCGSMGAAHNCFLSVTTKNVEHWTSLIAGFAAHGQPEKALRLFVKMRQVGIEPNGVTFVAVLNACSHGGLVSEGFKYFDLMRSMGIRPMIQHYGCLVDLLGRAGFLKEAFNLVSNLPEDPGFVIWSSLLAACRSHGNVEMAELIASKLADAKPSHGSSYVLLSNTYARAKQWEDLKRTRRRMEEHGVTKKPGLSWIEVDGSVHSFATADKRHMESEGIYQMLEDLKHNLTSAAYEPEPFALSEQL >Sspon.03G0002840-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:16300209:16301543:-1 gene:Sspon.03G0002840-2B transcript:Sspon.03G0002840-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPLLVCLLLVLLIAAPLPWSVAGEPPRPGAKPRAFPLRARQVPAGALPRPPSKLRFHHNVSLTVSLAVGTPPQNVTMVLDTGSELSWLLCATGRQGSAAAGATAMGESFRPRASATFAAVPCGSAQCSSRDLPAPPSCDGASRQCRVSLSYADGSASDGALATDVFAVGDAPPLRSAFGCMSTAYDSSPDGVATAGLLGMNRGTLSFVTQASTRRFSYCISDRDDAGVLLLGHSDLPFLPLNYTPLYQPTLPLPYFDRVAYSVQLLGIRVGGKPLPIPASVLAPDHTGAGQTMVDSGTQFTFLLGDAYSALKAEFLKQTKPLLPALDDPSFAFQEALDTCFHVPAGRSPPSARLPPVTLMFNGAEMSVAGDRLLYKVPGEHRGADGVWCLTFGNADMVPLTAYVIGHHHQMNLWVEYDLERGRVGLAPVKCDVASERLGLML >Sspon.06G0033060-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6D:8582100:8582903:1 gene:Sspon.06G0033060-1D transcript:Sspon.06G0033060-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGFDEPLWDQLAKELADTNAWLAAMESRQAAFAHQVSAVVRGLLARRRVRRLCDVQLIQLRTPSQFLQAVLRRAKVDTAQQIKLRKDIAAQPMLIHQVSAVVRLQAAARGLRARQRLQEMRQKMREAVLAAVRLQAAARGLLARRQAREMRGLQLVPVPCASLLRHQATLRHMEGPDLVRCVIEIGRGIATSGGELGVYSAGVWGRGCVATHRRTLISAAVLRHLPPRGRLRWSLSRLIPGGYTRAPLSFRWAPWDPGGYTRACPL >Sspon.08G0022670-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:50515545:50517839:1 gene:Sspon.08G0022670-1B transcript:Sspon.08G0022670-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALSPLSALSCLLIRPVTGDGGDPRRRSPHPAAVAPALPRRRMDGGAARRAAGAREDEEREREREREERGLEGGRGSGAAAAARASHQRAVDRIDRIRPWRLPGAVHEVEVAEKPLPSRSLSMSVPRHLSQRRIKLLPRKYNPISDSKVPFFADDEESPATPKADAFFIPRENPRNLVIRPIEQWPSRRETDRQSTLKNATDLNKYEDASTESERGKSAMSPSIRPPAVENDNQHEARAMVQHGNGNVTSVERLLPKLPRADYFTEPSIEEIAAKERAEAGYCGRVRDFVVGRHGYGSIKFLGETDVRCLDLESIVEFNNREVIVYKDDNKKPPVGEGLNKAAEVTLLNIKCVNKKTGEQYCEGARVERYREMLVKKAEEQGAEFVSFDAAKGEWKFRVKHFSAYGLW >Sspon.01G0011240-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1A:30905817:30908226:1 gene:Sspon.01G0011240-1A transcript:Sspon.01G0011240-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDESNEREATPLQRFSWQLCSNTAWRAHAGIVLVQLAFSGYHVLTKAVLNAGMNQVVFCVYRDLVALAFLAPVAFLRESSSHASLFLASLGTNSDPLPMLMERTSADTDIFFCRLFVNPLLFLVGLRYTNASYAAAFEPSVPVFAFLLAVIAGVEAINIFSKDGILKVIGTVVCVSGALLMALYRGPSLIGLLGGATASASENVSTIIPAQWLTSTVLERGVETWFLGALCLIGHCFLVGAYLVTQVSVIKRYPASLSLTAYSYFFATVFMVFTGVVATNGLHEWALTKTEIIAVLYAGIVASCMSYALMTWANKILGPSLVALYNPLQPVFSIVLSTIFLGAPVYTGSIIGGFFIIAGLYLVTWARYNEAQRATTDDYLDPLLVGHPRITKAQESSFMDP >Sspon.02G0002730-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:9026385:9027752:1 gene:Sspon.02G0002730-1A transcript:Sspon.02G0002730-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAATASRVLAATRLLLVAACAVLVGGAEAEPWRVHAGGGGMCFTRLFSFGDSITDNGNWMHYARSPGAVARPPYGETFFRRPNGRFCDGRIIIDHIGTSTRLPVPTHAADDRGVYIRADLHRCWCHACTYVVGAADALGIPFLTPYLAGNKSGDFAHGANFAVGGATALGRGYFRRKKLDARFTPYSLRWQMRWLKKVLRMVSSEQSDLMASSLFLLGEIGGNDYNQALFQDRSVDEVKTYIPDVVAGISAALTELIGLGARTVVVPGNFPTGCNPGYLAQFQTNDTAQYDSMGCLRWPNDLSELHNRALRAELAVVRRRHPGVTLVYADYYAAAMDITADPRKHGFGGAPLVSCCGGGGPYNTNLTEHCGATTSTTCRHPYEAVSWDGFHFTDHAYQVIADGVLRGPFAAPPVQLAKCGSRP >Sspon.05G0012430-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:30527343:30530632:-1 gene:Sspon.05G0012430-3C transcript:Sspon.05G0012430-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGRSRDGTTIAAAVVCAAVLLLGDAWAVSAQQAEAAAEEDKISALPGQPPVSFAQYSGYIPVDAAGKRSLFYYFAEAEADPAAKPLVLWLNGGPGCSSVGVGAFSENGPFRPSGNALVRNEYSWNKEANMLYLESPAGVGFSYSTDPAFYEGVGDSMTARDNLKFLQGWFAKFPQYKGRDLYITGESYAGHYVPQLAQRMVEFNRKEKLFNLKGIALGNPVLEFSTDFNSRAEFFWSHGLISDSTYNIFTTVCNYSRYVSEYYHGSLSTACDRVMSQVTRETSRFVDKYDVTLDVCISSVLMQSQILAPQVRVRQGSRELDVCVEDETMNYLNRKDVQQAMHARLADGVQRWTVCSSVLEYKQLDLQIPTVNIVGALVKSGIPVLVYSGDQDSVIPLTGSRTLVSRLASRLRLNTTAPYRAWFQGKQVGGWTQVFGGGALSFATVRGASHEAPFSQPERSLGLFRAFLAGQQLPESFQ >Sspon.01G0037560-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:23524301:23524871:-1 gene:Sspon.01G0037560-1B transcript:Sspon.01G0037560-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGLGFATCKPIASTNRKPAAEFAETEPEPPGSERYQAPAGLGTATVGSEASGVQRACEENWKGPAKRAVKGKPSSSSLRVPGARLCWAPSSPASPRPPPPPPQVLLAGCHTARRVSSFLFPLSLSQFAKADAELGNGWVGLGTGT >Sspon.04G0000860-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:13402353:13405759:1 gene:Sspon.04G0000860-2B transcript:Sspon.04G0000860-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAVLHRPLLAALLPGGAGASGPSSRFRLRRRMFPPPSRAVSSDSPKPVASASSSAGGDSPEEEPPVLPLLQELADCLVLPPKFLAQLPRDLRLDLNDAAFDLSNGPVLDEIAETMIKNGKLLSTRPVVQSDVQAMKEKRRLKFGELEFEVTAESANIGAAVAAIFGFISWQLAQGVQNVPDSTMQYANDNALQQAKSLKTALLVLGYTSTGLSLFAAFGLLLLAQQINSENKSE >Sspon.03G0003280-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:8249949:8252066:1 gene:Sspon.03G0003280-1A transcript:Sspon.03G0003280-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKTRGMGAGRKLKTHRRNQRWADKAYKKSHLGNEWKKPFAGSSHAKGIVLEKIGIEAKQPNSAIRKCARVQLVKNGKKIAAFVPNDGCLNYIEENDEVLIAGFGRKGHAVGDIPGVRFKVVKVSGVSLLALFKEKKEKPRS >Sspon.06G0008900-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:47921328:47924132:1 gene:Sspon.06G0008900-1P transcript:Sspon.06G0008900-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAATVPRSAAACRAAPLLPAARTAARHLALPRRAFSASVAAAPAPAPAPRCLLAARRAADGDSVETAPEAVPIEKRFPPFPSVMDINQIREILPHRFPFLLVDRVIEYKAGEYAVGIKNVTINDNFFPGHFPERPIMPGVLMVEAMAQVGGLVMLQPEVGGSRDNFFFAGIDKVRFRKPVIAGDTLIMRMTLTKYQKRFGLAKMEGKAYVGGDLVCEGEFLLVSATE >Sspon.06G0008630-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:33964032:33964787:1 gene:Sspon.06G0008630-2D transcript:Sspon.06G0008630-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSSNRHHRPHLVDQGLPPVQQLLPMEPKGVEEETRDWAELPLDALLAVLRRLDVVDILMGPGHVCGRWRRCTIEEPELWRHIDMCHHAKLASRVDLQPAARAAVRRSAGRCEAFWAQGLGVDHDQFFLFLADAIISFRTRVNMKNTY >Sspon.02G0031320-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2A:114567985:114569406:1 gene:Sspon.02G0031320-1A transcript:Sspon.02G0031320-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MERQHKKRKVVASGEEPRCVVHDVPADLLRLILLRLDSPLWLLRAACVCKRWRHIVDGADRGRAFLHLASSLHPPAVVGHYQNNVGGSRRFVPSSSLPAPINGSRFSLDFIPHNFVTGTTPIDNWEVADCHGGLVLLREGSGFLSDLVICDPLTARCRRIHHPPGQGIHRRRSPGVAAGYYLANVFLIDGDDGNTSISNFRVLYRSDNDDEYIGSQLYVFSMADGGGFGNWRFLRRSQLDDRYRDGFGRVAGRVDGSLYLGLHTGVVTVLDKDKLEFSKVDLPLIQRNVRSTFSVVHGAAAGTDPTSPSPPVVCIVHVHGQTLELFRQVRGSGEWVLQHRIPELSRATRGLPGYPDHKRFWWQMVEVIAGGTGFAILSAWVSDRGTSMTWLFSFNVDTMELQAVTNEAAYCAKTVTSTYTLPWPRFLRSGRSLLKPKSISLIKANPSIFQYLHASYPRVTYSTKCHAITSNKC >Sspon.08G0016410-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:64945252:64946563:1 gene:Sspon.08G0016410-1A transcript:Sspon.08G0016410-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVTEEVAPAVAVAVAEEPAPKEAKEVVETPEMVEEGKKPDEDEGKKAAEKEKKARKPRSRKPKSAGPHHPPYFEMIKEAILSQDGGKVGASPYAIAKHIGEKHQDMLPPNYRKVLAVQLRGFAAKGRLVKVKASFKLSASEEKKASSAAAKTKKKAASALTLAKRTRPAAAAAAPAKKKTAPAAAAPREARKARAKRARMVAPAPVQPKPKSARAAAAAGKKANKASA >Sspon.01G0049450-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:113309338:113310375:1 gene:Sspon.01G0049450-1B transcript:Sspon.01G0049450-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQGNIYPAEARAKSLQLPAASPNYPPLATATGYITAEQKPMQGRSYKSPPKPQATTLTLSPPPQPPQTSGGQNRMKLEATATVALLLFLLLAPPSPRVSMAGSAFCDGKCGVRCSKASRHDDCLKYCGICCASCNCVPSGTAGHKDECPCYRDMTTGHGNRTRPKCP >Sspon.04G0029820-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:74157262:74161549:-1 gene:Sspon.04G0029820-1B transcript:Sspon.04G0029820-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding PPQRLRCACAVQHYKWGRRGAASLVARLADQQDPDHHYAELWMGTNPLGSSTLLDNDGEGELLLLSDWLARKPDALGPAVAARWGGDLPFLFKVLSVAKALSIQAHPDKKLAEALHALRPSVYKDDNHKPEMAIAITEFRALYGFAGIQLRDVLRTVPEVEGLIGHEHAAKLMSFNEYDDDGGNEQVKSSLQSAFAKLMAASKDMVSEALSKLISRLNIESK >Sspon.07G0008550-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:19174484:19178931:-1 gene:Sspon.07G0008550-1P transcript:Sspon.07G0008550-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding HAPRRRSRGHAVGAGSDGRRSPPRRRTQPRATRAAKLREPIRSYSNAMMSAVASSFPSRGHAAPFGGSRALPPPPSTRRSTSLRRPRVSALGGGLEGEAGKILDPRATPLQILGLDATAAFYSAAQLKAAFRARVKEFHPDVCKGPENADLIMRRVIEAYQMLSGNQEMMVERNNVDPFDEPECEARDIFVNELLCIGTGCPYSCVKRAPHVFSFSDDIGTARATSQGNGEDDLVQLAVGQCPRKCIYYVTPCQRTILEDVLASVLMPPYDLAEAAVLDSLLSKAKFENNRYRKPKRGAKSSSDYVDWM >Sspon.06G0007670-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:28861244:28863119:1 gene:Sspon.06G0007670-2D transcript:Sspon.06G0007670-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVLIAPQNAVGNCIIDDMRAMTDAAGDRPVILVNPRLKTMGRDIRLKYAASFETCYSFRLLYYAGSFYPIMGALRMSYPNKYEIYRRVDELNGKENYILIAEFTNTPTPDDITRAFTGRK >Sspon.08G0024000-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:62143764:62150305:1 gene:Sspon.08G0024000-2D transcript:Sspon.08G0024000-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDFNVALVIVAAVVSVLVLLVSVYLLVNYQHPDDANQAYFPKLVVVLGLTVAVLSILMLPADVANRQACRKAVYNGACNLTLPMKTLWIVVYIVDAVLVFLVIPFAMFYYEGDQDKSVGKRLRTALMWVVASAVVCGLVLGILYGLVGKVDFTVRHLSSTVQTFPNSFSGFSSGQPCFSQLPRACAASTAPANSQTTWTMRATFPEYVVALTTIVGSVLFTIFGGVGIACLPLGLIFSFIRRPKAVITRSQYIKVGLHSQEATELGKKARELKKAAEALHQEERSGNKGRKWRKNVKALEKELLLLEDDMKALEEMYPQGEQAEVTWAFTVLGYIGKLIFGVVGLIVSIAWVAHIVIYLLIDPPLSSFLNEVFIKLDGVWGLLGTAAFAFFCFYLLIAVIAGEMMLGLKLVFITIHPMKWGGTLMNSFLFNVGLILLCSISVIQFCATAFAYYAQATAAQEIFGHTLQSLRGIKYLYKYNVFQYGFVALAILTLFYYAIFGQWRSW >Sspon.01G0037740-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:29198806:29202365:-1 gene:Sspon.01G0037740-2D transcript:Sspon.01G0037740-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAQATATVKEALAALYHHPDAAIRTAADRWLQEFQHTLDAWQVADSLLHDESSNLETLIFCSQTLRSKVQRDFEELPSEAFRSLQDSLYVLLKKFNKGPQKVRTQICIAIAALAVHVPVEDWGAGGIVNWLSDEMKAHPEFIPGFLELLIVLPQDETTTTV >Sspon.01G0001890-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:5621111:5623055:1 gene:Sspon.01G0001890-3C transcript:Sspon.01G0001890-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPAGLAAVSTALQLLLLVWWLPLLASACDRCVRHSKAAYYTSSLTLAGGSCGYGTEAASFSAGFLAAASPALYRAGVGCGACFQVRCKDKKLCAAAGVRVVVTDRARTNRTDLVLSSTAFAAMARPGMAKRLAGLRTVDVEYKRVPCEYKHRNLSVRVEHKSRAPGDLAIRFLYQGGQTDIVAVDVAQVGSSNWKFMTRDNGPAWSTSQAPPGPLQLRVVVTGGYDGKWVWADREVLPRRWRAGEVYDTGVQITDIAQEGCFPCDTHEWE >Sspon.01G0016070-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:54475418:54481387:1 gene:Sspon.01G0016070-2B transcript:Sspon.01G0016070-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMFWKDGGGTGGGNGRDLSGGPPCGQVRVLVVGDSGVGKSSLVHLLLKGSAVARPAQTIGCAVGVKHITYSSPGSSSNSIKGDAERNFFVELWDVSGHERYKDCRSLFYSQINGVIFVYDLSQRKTKTNLNKWAVEVAESGTFSAPLGSGGPGGLPVPYLVIANKVDIAPRDGRRVSSGNLVDVARQWVEKQGLLPSSEELPLADSFPGNSGLLTAAKEARYDKEALIKFFRMLIRRRYFSNELPAPSPWSLTPREDTILPVETVNDDDMFQRKSYSGQSYKYNGVAPLPAQRNLTPPPTLYPQQPMSSSSENYRYHRFSSSSIPDSSGGRTSRANLNI >Sspon.07G0011590-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7C:47015552:47015866:-1 gene:Sspon.07G0011590-2C transcript:Sspon.07G0011590-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GRPEDAETGTELARGERRRRDRIVPAAARRGAGRGRGRWRPPEARSQQAAALRLLAS >Sspon.01G0001390-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:4301190:4303958:-1 gene:Sspon.01G0001390-1A transcript:Sspon.01G0001390-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSQANLDKMQLRQSYRNVWHTDMTNAIKADFPFCCLALWCGPCVSYMLRKRALYNDMSRYVCCAGYMPCSGKCGESRCPEFCLATEVQGQGSLKLHFALLFIRTVLLSIWLHVLEFHVCLCFGNSVASTRFLLQDEFNIQTTQCDNCIIGFMLCLQQVACIFSIVAAIVGSEELSEASQILNCLSDLVYWTYIIHPFLLATLLIMALLNQTFTYPSCGIEMQIQTQHKVEMDKRDGKFGPQPMAVPPVQQMSRIDQPMPPPAGYAPQPAYGQPYGGYPPPPGQGIRQLDTPRAVHTLLLKVTHRLVDTLHLLKVTPRVVHILRLVLTLHKARTLQHKVPTPTGLPCQ >Sspon.06G0004140-2D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6D:10073832:10074356:1 gene:Sspon.06G0004140-2D transcript:Sspon.06G0004140-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MERQPAGGDDVNLDLRLVHRQSASGGNGMGRPQHHHHLPPVAADPDRTFSCTYCRRKFFSSQALGGHQNAHKLERSLAKRSRELSGAVAVVSAAISSSSAGAAAARPTPPPPASELGGWYPAAAHAGGPGGDQQAAAAAVVSWIADGGRRYAYRVQAAAAAGDAADDIDLSLRL >Sspon.08G0011000-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:45895231:45907774:-1 gene:Sspon.08G0011000-4D transcript:Sspon.08G0011000-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DHAR3 [Source:Projected from Arabidopsis thaliana (AT5G16710) UniProtKB/TrEMBL;Acc:A0A178UIL9] MAVLLRGTSAAATAAGPSSALLATTFRRSRGRLLPRAAPQRRLYVARASAQPLEVCAKESITVPGRLGDCPFTQRVLLTIEEKHLPYELKLVDLANKPDWLFEINPEGKVPIVKLEEKWIGDSDVITQTLEEKYPEPPLATPPEKASVGSKIFSTFIGFLKSKDPSDGTEEALLNELTSFDSYLKDNLGMAVLLRGTSAAATAAGPSSALLATTFRRSRGRLLPRAAPQRRLYVARASAQPLEVCAKESITVPGRLGDCPFTQRVLLTIEEKHLPYELKLVDLANKPDWLFEINPEGKVPIVKLEEKWIGDSDVITQTLEEKYPEPPLATPPEKASVGSKIFSTFIGFLKSKDPSDGTEEALLNELTSFDSYLKDNGPFINGGTISAADLSLGPKLYHMEIALGHYKNWSVPDSLSHVKTYMKSIFSTDSFVKTQALPEDVIAGWRPKRGRGDNWRMEDLDAKVCRMSVICT >Sspon.07G0007220-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7A:19007561:19011784:1 gene:Sspon.07G0007220-1A transcript:Sspon.07G0007220-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDHGSELGRDGRRRPARSQQLRYRLLSTTTTTTTKAKGHLLCPEHVSKTLPCDKLRTHRKENYSSSLRQSHCSCKEEPFTNFEEEGQQSHLHNTTSSSSPVGKCADKEGNLYVRHRETQQTDQLNTSNTSSFPQSQHSQKEGISTNNSRDGQIDQLDTSSSSSLPLGCHPAKGISIAFQGEETNADQPDTSSCPSDQLVLFGLSLSVSEKDFLQNFACSTNATLMEEWSKDVTHVIVAKGVAGCLGTTLPVPEAAYEVAFSMDSVRTTDGPKQGRMRAAEAAPNLFSGLCFCLSDYMNPDNKDRMRDLIATAEGRVLERRDLHLQLKNPDDSLVKPYFVYDVDAPAEFSSSTLHEEMEEVREQAAAGLQVICHLKVLDAIAAYDAEILNMKDSFT >Sspon.03G0038810-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3C:7333829:7334392:-1 gene:Sspon.03G0038810-1C transcript:Sspon.03G0038810-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSPEFFQPFDLASCGPDDLKRVSPESSSRASHCFFDFYSASSASRPNPENQIYTPASTSSSASPSRWFVLLPNPDPDALPYSGTSTAPVAASLPLSFSPSLSSPSPSPSLPASRRAYRAPTPPLHRAVRWPSDGAADLVRGGARVPRWRPSPATARCQQAPPAPAGVPGPQRWLLSLVCVSSMKP >Sspon.07G0007030-4D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7D:15165325:15167283:1 gene:Sspon.07G0007030-4D transcript:Sspon.07G0007030-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNHELLRAAATGDKALLEQVLGLRSTTDNGGELEATQRGSRSCLKGVTSEGNTALHIAAGRGYLEHARIMCDLDSSLVRARNNLRNTPLICAAKAGHVDVVCYLIEHAGASSDEESMLRARNSEGATAMHEAIRNGHEAVLAKLMSADGGLAAVVDGKGFSPLYLAAALGRADMVDVLIAGSPPDGVKSPAYYAGPDGQTALHAAVLASEEMSKSLWCWEPTLAKKVDNAGNTALHHAASAGKIGAVKLLLQEDSSLAYIPDVDGLFPVHTAAKMGKIDIIEQLMETCPNSDELLDNRGRNVLHCAIEHKKEKVVQHMCKNPRFGRMMNARDIRGNTPLHLAVKHGCDRISMLLVRDVKMNLSIMNNDGATPLDLAINELDHGYTYPMNPEVLIVQCLVWCGAHRSPRRRDEYLNKRTGVGGSEKELSKFTNLTQNRAIGSVLIATVTFAAPFTMPGTAADAAERPAFWAFILSNALAFLCSTVATCLLMYAGLTTVHPRYRSRYHVWSSNLLHVGVLLVIATFAFGVHLALSPPGTGIPGGNLNAAVCAMACVSVVFAHPGTWWPTVLAKPIWARLGLKGLLGVLLGPRPIPCQKLLLSRTPWLNLFKMLATLLILALIL >Sspon.01G0027180-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1A:95561078:95561635:1 gene:Sspon.01G0027180-1A transcript:Sspon.01G0027180-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRNSTACGCGLSLSRRGLSRARAPPHHTAHGTRPRELRAISTGATREGEGKGLVVVVVARARGAGVGRRPARVVHVRGARKICPLHVARASTLPLPRCPWIAWPRRGRRRNTHACRGREGGVSGTRRHEPMCRQPVPSWWKRVTADGSGEGSTRIWGRERTVSEAELVRTPRCGAEIVIARSVV >Sspon.02G0054610-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2C:115315633:115316161:1 gene:Sspon.02G0054610-1C transcript:Sspon.02G0054610-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ECSSLANNRYPFDPYDRLWQRYDNGDDTWTNITTSATVDVSNASSNFDKPSVVLQSAATPVNTNRIDFRRAPDPALSSDSDNSKYLLLLYFAELQRLPSGSLRQFDILVDGETWDGSRRFTPKYLDAEVVKRSVQGSCQHAISLVATTNATLPPVLNALEIHSVQPMTKITTDDVD >Sspon.02G0045760-2D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2D:91827580:91828030:1 gene:Sspon.02G0045760-2D transcript:Sspon.02G0045760-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ERAGPVAAATTIPANGSSDSRLLGTLDYSVLVSYGTPEQQFPVFLDTSSVGASMLRCKPCTSGSNDCDPAFDTSQSSTFANVLCGSPDCPTNCSGGSLCPLGGIYSVINGTFAEMTPSTAIDNFKFVCLNVSKPDDQSVVGTITNFVKP >Sspon.06G0036050-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6D:88887625:88892279:-1 gene:Sspon.06G0036050-1D transcript:Sspon.06G0036050-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELAVSLVVAPLVSLLKEKKHHKTLMLWLPAILDVIADAEKQASRRGVKKWLERLKTAAYEANEIFDDFEYEALRRRAKKNGHITKLGIIAGVKLFPTHNRVAFHIRMGNRLRRIINTFKVLVDEMNTFGFNKLEVETAPAWKEWRETDSIIVDPENIVSRSRDKERKKIVEILLDHQASSSGDLLVVPIVGMGGLGKTTLAQLIYNDPQVKEHFQLLKWVCVSDDFNVCNLANKISNASGTILEEALNKLQEHLRGKRAFSFQKTKPEELVKLVGLIVERCVGSPLAAKALGSVLRNKTSKEEWEDVLHRSMICDDETGILPILKLNYNDLLTDMKQCFAFCAMYPKDYQIDVDELIQLWMANGYISDQNKVPETMGKRIVNEMVSRSFFQYEEQRRIGYNSTTFVKIHGLMHDVALSASEKESLRAFSMPRSLAHLSIKPKHLCHLRYLDLSNNKDIKALPDDISILYSLQTLKLSGCWRLKRLPEQMKYMSALRHLYTDGCTELECMPPELGQLTSLRTITWFVVGSGSNCSSLGELKNLNIGGSLMLKQLENVTGRGNAKAANLVNKKELRQLSLEWTSGKEEEQQCHEVLESLKAHDGLLALEIYSYQGTSFPSWMGMLKNMVELQLSNCSNVEQLPELEQLTNLQLLHLKGLRKLQFLCSSCTSSTFGKLKDVKLVNLDVFDGFCEPIHGGIIAFPELEILHIEGCKNLAALPELFGGGDYTVVRSAFPELKKLTLEDLYSFERWEASLEIEEEHALFPLLEIVVIKKCPYLSLEGVKLDGKEKWDYPSSVVDMKLYRCSLFFQPRALALYWICYGQLQDLTINSCDDLVYWPEKVFQSLSSLRRLRIGYCNNLIGYAAANGPDQATSGRSQLLPHLESLDIWECESLAEVFNSPALKTIDVRYCCKLESLYGKQQLNQEASGSHDVKASSPVEEKLSPSAALDKLLPSSLESLTIWGCDGLSEFVNLPSSLRKMDIRDCSKLRFISGQLDALKDLEIYNCPELRSLESLCIIDLSSMERLDLWNCKSLASLPSGPEPQEYSSLRRLEITQCPGIKLLPSALQQRLDSGLEYRYLDSRLQ >Sspon.06G0004030-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:10691390:10693963:1 gene:Sspon.06G0004030-2C transcript:Sspon.06G0004030-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFLVIIQALAVIFLAKFIHLFLRRYNQPSAVSQILAGVAVGGMGLRNAILHVDVDDVEDMYGGYICAARVVYMFLVGLDLDLAALRNATRRCVALAYATVAASLLVAAIVSTGMYGSMMHSPVKTPELLAATLMLAITNTSSITVARVAGELNLTVTENGRLLVAAAIITNLICVVGDAVLSSTALAKEKSQDLYHTSPQIKKGFLALAVAGVAVWQVRPLVTRINQRNVGQHHVRTRHLVAILFGIWFISNIQQLLGFDGMPTSLALGMAFPREGPAARSVADALVPPVNGFVLPFYFATIGMRLDYNSMSGAIIVPGLLLTLLGLVAKAIGAASASTYLNIPISDALRYSVLLNVKGHVDTMNMKFAKSEGVWAEQALYAMIIGNLISTVIAGPAAAAVLRREKEEYKTRHQAMESLGAEQELRMLVCAHSAHAAPGVLSLVELLVITPQEQPAVPVLHFFEAPRDRSARTPYHQRARGDEAAEGKGGPDPVTQMNMVVDVFSKTTGIFFRQVDVVSLGASRDAAVACRGAEEAHAGLLLLPCYKEQRFDGKMACRLEERWKLNHDVLERAPCTVGLLVDRPYRGSGTSFQTPIGIAPESGRTLVHPCSDRTVTHVIAAVFLGGPDDREAVSFACRLAEHPAIGLTVFRFVKRSTYDTVTSSTSRAAAGGDELDVPFQEGDVDERFLWRFYENYASRELAMYVEKLVESPADVVETLEGMAGMFSLVVLGRGGRQPVELMAGLERWSEGGSEIGPVGEILASNESLEMGSVLVMQQHTVATPPPCQ >Sspon.08G0015910-3D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8D:60253532:60254029:-1 gene:Sspon.08G0015910-3D transcript:Sspon.08G0015910-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding QRNRRRCRSRSSIRLSRRGGTRIQRTRRTFLSSRVVGIRIRPHPRTRRRRRRRRSRGCRGQTWRRRTSSPRRRRMTRRPQLPTMGTRGLRRGGPRCSSRGSSGNRGGSVAWYATRISGATREFMASSSTSSLALSFMLACSAQLSSGGLSNVCMTSRGEVTVESSE >Sspon.03G0027020-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:4403732:4405028:-1 gene:Sspon.03G0027020-1B transcript:Sspon.03G0027020-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLSRDELIGSAFIAFGITLFVCFFYVAIVSKLLPPYENQFLAAIQEDWYYCLLVPLTLPKLRIPMKIKNADRHDDTLEHYSAELMMGKNWMLVCSKDKLEIPNQYTVTLEEELSFPGMCSVPLNCKNTTTWSITGMLKKAPEEELYSPFFGGGRLQGRISPAFIALDAQLMSEEKR >Sspon.02G0049520-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:40077580:40077891:-1 gene:Sspon.02G0049520-1C transcript:Sspon.02G0049520-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VGARQGRQGAGQGRSEAPPEGAPRQHPGHHQAGDPEAGPEGRREAHLGAHLRGDPRRAQDLPRERHPRRRHLHRARAPQDRHRHGRRLRAQAPGPHPLRLRRLR >Sspon.02G0018750-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:63410422:63410778:1 gene:Sspon.02G0018750-2C transcript:Sspon.02G0018750-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSCMWFIFITKCQVLSKKKSENCTNPHPCRLFTYWTVSIFPSLISPFNGGKMCSSSISICEERATAPRMKLNGACRWPHSPCFTYQLLVDWTRGVVQ >Sspon.02G0004360-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:17649269:17650165:1 gene:Sspon.02G0004360-2C transcript:Sspon.02G0004360-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRAREKELELESKMKSRNSRSNDRDDFRGEKRKSELRNHSSSSRVEQEGTTYNNSYSDQEDGLRDDEIERFLHSRVKRGRGAVGSRMDEPGPYLDSLSRHQDNRPSPDIRVEEKWERRVQGPEKPSFLRSKSPDGHWHKDTLDGRASSSEPLSKKEKKKSEKKDKREKKKEKDKKKHKHRHSRDHKCRR >Sspon.01G0020050-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:75238176:75240654:-1 gene:Sspon.01G0020050-1P transcript:Sspon.01G0020050-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTARGAAGDLRLRRQRRSARGPSTRRPGRRAGVRQRCWRGGPERWRLDAVGNVVCRRFWGCHGCLCYEYDHIVPFSRGGESTVENCQILQTRVNRSKSDKAWVEQAEMRGVSCDIKFT >Sspon.08G0002190-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:6820679:6823522:1 gene:Sspon.08G0002190-1A transcript:Sspon.08G0002190-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding TLQKSYFDVLGICCPSEVPLVEKLLRPLPGVSTVTVIVPSRTVIVLHDAAATSPAQIVKALNQARLEASVRAYGSSSEKKVANKWPSPYVLFCGVFLVVSLFEHFWPPLKWFALVAAAAGLPPIVLRSFAAARRLTLDVNILMLIAVSGAIALKDYSEAGFIVFLFTTAEWLETRASHK >Sspon.02G0030270-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2A:110115852:110116511:-1 gene:Sspon.02G0030270-1A transcript:Sspon.02G0030270-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGVRSSTIAALLFLTLVLAAASGGSGDDAAAAVEEKQCHPRADEPYMLVAFHNKDGEEIRLLADQRSELASGVSAFANRSGHWFYAGIRAEGKSRRRLLPLPQPNNNRSRGGSRTAEGFWNTLGVLAAASGDPGAADDDEEQAGYMLVGMQTGGGDKIRLVVVAGQRYFLITGYAKGFSSRPRWSFRDGERHRLLPLGSNGSSSHGSSSSRHAEVVASN >Sspon.06G0010000-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:54183727:54185473:1 gene:Sspon.06G0010000-1A transcript:Sspon.06G0010000-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALWSPALLITIAALLVPSYFYIKYKRSNTTPILPVIWPVVGILPGILANVNNLYDFITVCLSAYECNYKVHGLVGTRVQYFLTSDPQNVRHIFTSNHANYPKGDLFEEIFDVMKGSLLTVDGESWRRQRTMAQCILSNPRMVASITNFCRDKVGKGLLPFLEHMANTGTPFDMQQLNTRYAFDVAAMPAFGLDPGLLSPDMPPVHVTDAMDTVMQVPLLRHVMPALVWKVMRRLNIGTERRLAAAQAVLRGFVVKMMETRKTRRHSDGEEEDEAASLDLLSSYINDSNYGDDNEVLQATFISALVAGRDTVGAGLSWLLYNIARNPSVLSSIRKELAPIASRKAACGAADKEASATVTLEPEETKGLVYLQAAVFETLRLYPPVPFELKTVLCDDTLPSGHEVAAGDTVLISLYAMARMEPVWGNDCQEYRPERWLSDDGSKLQYVPSHKFLTFSSGPRMCLGKDIGIMQVKTAAANVVWNFDMELLEGHAVEPKISTILQMKNGLMVKNFVVVLSVRTL >Sspon.02G0015410-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:42008344:42011796:-1 gene:Sspon.02G0015410-1T transcript:Sspon.02G0015410-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGTTVIIPSALVPQMGGGNVEEKARVVQTILFVAGINTLFQTFFGTRLPVVMGGSYIFVGPTISIILAGRYSNEANPHEKFLRTMRGTQGALLIASTIQIILGFSGLWRNVVKLLSPLAAVPLVSLVGFGLYELGFPGVAKCVEIGLPEVFLLVVFSQYLSQVLDFGKSVFSRFSVLFTVSIVWLYAYILTIGGAYKNSPPKTQVHCRVDRSGLISGAPWISVPYPFQWGAPTFDAGEAFAMMMTSFIALVESTGAFIGASRYASATMIPPSIISRGVGWQGIGLLLDSFFGTATGTSVSVENIGLIALTRIGSRRVVQISAGFMIFFSVLGKFGALFASIPLPIFAGMYCLFFAYVGGVGLSLLQFCNLNSFRTKFIMGFAFFMGLSVPQYFNEYTAVASYGPVHTGARWFNDMINVPFTSKPFVAGLVAYILDNTLQIKESAVRKDRGNHWWEKFRSFKKDARSQEFYSLPFNLNKFFPSV >Sspon.08G0007180-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:20160139:20161695:1 gene:Sspon.08G0007180-4D transcript:Sspon.08G0007180-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLELEAAGGGGGTRSVPLCVDSPTAELGRGDLGSCGGGDPSRDRTVSRRHVSLRLLGVGGGVAFEVVGRNPIVVRSPDGGGGTSRVFRRGEKGELRAGDALSLSLRAPAFWAVRAREGNGDGDADVEPAVLDAVARRERRTRERKERERERRAAEEAMEVTEEEEGGALDSDVEGFDIDLADIDPVREFGFLSMGHEFDSYPKGRIRAPKDWNWFLEETKRSSDDEASSKRRGRSKGQSWNKKKDGEGEDEDWTGESEDEKESLARVPSVKRPKYATRSKGPEKPRKENSKVGSGKSIDENEGAEDEEDEQDETLGGFLVNDDDD >Sspon.08G0000020-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:484276:486031:-1 gene:Sspon.08G0000020-1A transcript:Sspon.08G0000020-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VSVDVPDVRGRTEILKVHGSNKKFDSDVSLDVIAMRTPGFSGADLANLLNEAAILAGRRGRTAISSKEIDDSIDRIVAGMEGTVMTDGKSKSLVAYHEVGHAVCGTLTPGHDPVQKVTLVPRGQARGLTWFIPMDDPTLISRQQLFARIVGGLGGRAAEEIIFGEPEVTTGAAGDLQQITGLAKQMVVTFGMSQIGPWSLMEGGAQSGDIIMRMMARNSMSEKLAEDIDSAVKQLSDEAYEIALRHIRNNREAIDKIVEVLIEKETLTGDEFRAILSEFVEIPAENRVPPATPAAALPA >Sspon.04G0019720-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4A:69631544:69631992:-1 gene:Sspon.04G0019720-1A transcript:Sspon.04G0019720-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSQKTSWPEVVGWPATQAVTQINSDRPDVAIEVVRTAPTWRRGTTPCASASTSTPATPAAPSFTLPSSCKRASMLVE >Sspon.06G0001760-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:34864231:34865325:-1 gene:Sspon.06G0001760-2P transcript:Sspon.06G0001760-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKASIKFRDDDRPLLRAKVPVGVLGLPFLSGLAAGGDGKDLRFDLSTAFPSGPALRLSYRPNEPLQPFAFSIRTGLGPLGSPIRAPFALAAEFNLTSSNPPAFSLLFKPRIGDFAVASSVRSPPPPPPASTPPPLAIKMADLTTNGDDHDREAHGNGFSFAGNGFAANVAAAAGTGGGGVGALLSGMRLTTRSVLPLWSKASLRFQWGLRVPPEIKAALADDGYGRKAGSLAISRLPLLVMNKITIEHTPKVPSQPETDKKRKKDAPPAAEGEEFSLMKRQLEKLNVESTMLRRSVEDLRAEIGAGKGDGRKLPAAVPPPQHSFMSKPDRHFHSNAKELVDSGTKPAPNEASEELKKALEARRK >Sspon.03G0007370-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:20104530:20112350:1 gene:Sspon.03G0007370-1A transcript:Sspon.03G0007370-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSRGLFGWSPPHVQPLTPVSETSEPPESPSPYAADLGLGLGGDGAPPPDDDAQPPLDDADDDPDPPPAAVPFKRLFACADRLDWALMVAGSLAAAAHGVALVVYLHLFGKAINSLHTHDLFHNINQAIAYALFHALYFLYIAIGVFFAGWIEVSCWILTGERQTAVIRSKYVQVLLNQDMSFFDTYGNNGDIVSQVLSDVLLIQSALSEKVGNYIHNMATFFGGLVIGLVNCWQIALLTLATGPFIVAAGGISNIFLHRLAENIQDAYGEAASIAEQAILYIRTLYSFTNETLAKYSYATSLQATLRYGILISLVQGLGLGFTYGLAICSCALQLWVGRFLISHGRANGGEVVVALFAIILSGLGLNQAATNFYSFEQGRIAAYRLYEMISRSTSTVNQDGRTLSSVQGNIEFRNVYFSYLSRPEIPILSGFYLTVPARKTVALVGRNGSGKSSIIPLMERFYDPTLGEVLLDGENIKNLKLEWLRSQIGLVTQEPALLSLSIMENIAYGRSATTDQIEEAAKTAHVHAFISSLEKGYETQVGRAGLSLTEEQKIKLSIARAVLSNPSILLLDEVTGALDFEAEKAVQEALDILMLGRSTIIIARRLSLIRNADYIAVMEEGQLVEMGTHEELLNLDGLYAELLRCEEAAKLPKRTPIRNYKEPSSFQIERDSSASHSFQESSSPKMSKSPSLQKTHGFLTFRTSDANHNSHESPNIQSPPSEQMAEARLPMVASERAPSIKRQDSFEMKLPDLPKIDVPLHRQSSNTSDPESPISPLLTSDPKNERSHSKTFSRPLDIFDSFHAEDFKQQHTKAPSFWRLAELSLAEYFYALLGSAGAACFGSFNPLLAYTISLIVVAYYKIGVRDVHAEVNKYCSFIVGMGIITVLANFLQHFYFGIMGEKMTERVRRMMFSAILRNEVGWFDDEENSADILSMRLANDATFVRAAFSNRLSIFIQDTSAILVALLLGMLLQWRVALVALATLPILIVSAVAQKMWLSGFSRGIQEMHRKASLVLEDAVRNIYTVVAFCAGNKIMELYRLQLGNILKKSFIHGMGIGFAFGFSQFLLFACNALLLWYTAAAVKDGHLSLVTAVKEYIVFSFATFALVEPFGLAPYILKRRKSLTSVFEIIDRVPKIDPDDASGLKPPNVYGSIEFRSVDFCYPTRPEMMVLSNFSLRVNGGQTVAVVGVSGSGKSTIISLIERFYDPTAGQVLLDGRDLKLFNLRWLRSHMGLVPQDPVIFSTTIRENIIYARHNATESEMKEAARIANAHHFISSLPHGYDTHVGMRGVDLTPGQKQRIAIARVVLKNAPILLLDEASSAIESESSRVVQEALDTLIMGNKTTILIAHRAAMMKHVDSIVVLNGGRIVEQGSHDSLVQLNGLYVKLMQPHFSKGFRQRRLI >Sspon.01G0009160-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:15682074:15685527:1 gene:Sspon.01G0009160-3D transcript:Sspon.01G0009160-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAPSTAAASTLLLPVLLLIATATHCTAADSSSSPDAAALLNLSAAVADPSGYLSTHWTADTALCSWPRVSCDATDTRVISLDLSGLNLSGPIPAAALSSLPHLQSLNLSNNILNSTAFPNEIIASLKSLRVLDLYNNNLTGPLPAALPNLTDLIHVHLGGNFFSGSIPRSYGQWSRIRYLALSGNELTGEIPEELGNLTTLRELYLGYYNNFTGGIPPELGRLRALVRLDMANCGISGEIPPEVANLTSLDTLFLQINALSGRLPTEIGAMGALKSLDLSNNLFVGEIPASFASLKNLTLLNLFRNRLAGEIPEFIGDLPSLEVLQLWENNFTGGIPTNLGVAATRLKIVDVSTNKLTGVLPSKLCAGERLETFIALGNSLFGDIPDGLAGCPSLTRIRLGENFLNGTIPAKLFTLPNLTQVELHDNLLSGELSLDGGKVSSSIGELSLYSNQLTGQVPTGIGGLSGLQKLLLAGNRMSGELPPAVGKLQQLSKADLSGNLISGAVPPAIGRCRLLTFLDISSNKLSGSIPPELASLRILNYLNVSHNALEGEIPPAIAGMQSLTAVDFSYNNLSGEVPSTGQFAYFNATSFAGNAGLCGAFLSPCRSHGVATSGFGSLSSTSKLLLVLGLLALSIIFAAAAVLKARSLKRSAEARAWRLTAFQRLDFAVDDVLDCLKEENVIGKGGSGIVYKGAMPGGAVVAVKRLPAIGRAGAAHDDYGFSAEIQTLGRIRHRHIVRLLGFAANRETNLLVYEYMPNGSLGEVLHGKKGGHLQWATRFKIAVEAAKGLCYLHHDCSPPILHRDVKSNNILLDADFEAHVADFGLAKFLRGNAGGSECMSAIAGSYGYIAPEYAYTLKVDEKSDVYSFGVVLLELITGRKPVGEFGDGVDIVQWVRMVTGSSKEGVMKIADPRLSTVPLYELTHVFYVAMLCVAEQSVERPTMREVVQILADMPGSTSTSIDVPLVIEPKEDGSPGEKKQQQQQGPHESPPQQDLLSI >Sspon.07G0036280-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7D:32017495:32018358:-1 gene:Sspon.07G0036280-1D transcript:Sspon.07G0036280-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRAILKKNLKMWEECLPHVEFAYNRATHSTTKVSPFQVVYGFNPRAPIDILPLPTSERIHVDAKERADFILQMHETTKHNIEKMTEKYRIAGSKGRQEVKLEPGDLVWLHLRKDRFLDLRKSKLMPRADGPFKIVEKINDNAYKLELPPEFGVSPTFNISDLKPYLGDKDELVSMTTPIQEGEDDEDITPSHIHNDPLLDIQGPITRARARQLNLEVSSFLSNSLYDFENRLLPNDYIMLRNEGEVQETHGGGLGGVEDQRGRPNKDGGPNQVEFESTSQFRTSLP >Sspon.01G0001290-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:3715980:3718130:-1 gene:Sspon.01G0001290-3C transcript:Sspon.01G0001290-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTAMATTSLSLQGRPSHAPTRKLSSPFLGAPASFLRPLAPAPAAGPSSRRTLAVRAMAPPKPGGKAKKVVGLIKLALEAGKATPAPPVGPALGAKGVNIMAFCKEYNAKTADKPGYIIPVEITVFDDKSFTFVLKTPPASVLLLKAAGVEKGSKEPQRAKVGKVTADQVRAIAQEKLPDLNCKSIDSAMRIIAGTAANMGIDIDPPILVKKEKVLL >Sspon.05G0000370-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:5785894:5793339:-1 gene:Sspon.05G0000370-2C transcript:Sspon.05G0000370-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGDDAPPTQLLLLTSREQRAESREQRGGDEKIPSTTARSPSSSLPAAPLRLCPLCLLGAGRLGFYSPHAALRLRACVDRNGRLRVQGRLLLPQAEQRVTEDEEAYDLPAFQEFTFEQLRLATSGFAVENIVSEHGEKAPNVVYKGKLDAQRRIAVKRFNRSAWPDPRQFLDCNPRLSCFGLMKNSRDGKSYSTNLAFTPPEYMRTGRITPESVIYSFGTLLIDVLSGKHIPPSHTPSYVLMGIPRGACSRKDLTAIHEILEKTGYKDDEGTANELSFQMWTNQMQDTLNSKKKGDNAFRQKDFTTAIDCYSQFIEVGTMVSPTIYARRCLSYLMNGMPQEALNDAMNALVLV >Sspon.02G0026160-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:92233455:92238665:1 gene:Sspon.02G0026160-2B transcript:Sspon.02G0026160-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADMVQYRLERMTDELDDLERRGLFTRAELSDVVRKRRDFEYRLRRHSPLRQDFLDYIAYELRLDSLRNLRKRAIIRAADDEDDACSSDDEGGRRNRKRKTDKSKKKWKKSVSDVAGVLRVLDIYRMATVRFKGDLDLWFRYLEFCRDKRHGRMKQVLAQAIRFHPKVPGLWIYAAAWEFDQNLNVAAARALMQSGLRSCPQSEDMWIEYLRMELTYLNKLKARKVALGEDVKTLQKNDNDAGQWKEENKELFMPLNEQGEDPKEPGLAGDALEEKEDLFWRQGLLIIQTIYHGAVEALPSSLSLRKKFLEILNSVDLAHSDELKLEVLDDLKRDFSHSEDYWDWFARLQLSNSNSSSNLNRKDALSNKLNRSIQVYDEAVRRLPNSKMYSLYAKFWMDVLYPDREDSITLFQDSEFDASEFTSSILKLYENAESCGCLTEDLACQYISLYLKLERLEEAKTLAEKLCDGPLSNAAKLWSLRASMEINSIATAPGSSPLSKENLSSLFDLFSTVLSKLSVTEAEGLWHMAMKLFFHDKVCFEKLVKIAMLSLSLAGGSDSGASVSSAIVGWYLQSDGMKHARKIYKSIGLIGHKNVTAVNIASLVLGPDDTLAFACWIQHRLLALPRPSLRFMQYCIELEANLASLGDHGALTNARRLYDSVLDLYPQEREVWRNYFNLELKMGTSESANAVYSRARKVLGDSTALTAPRS >Sspon.05G0002240-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:5A:7019360:7019842:1 gene:Sspon.05G0002240-1A transcript:Sspon.05G0002240-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMFLLIALSASHVAFSLRPGAGLGTCRASGYLPGRSGNCEKSNDPDCCEDGKMYPQYRCSPPVTASTKAVLTLNSFEKGKDGGGPSECDNAYHSDEEKVVALSTGWFSNMARCGHRIKISANGNSVYAKVVDECDSVHGCDDEHNFEPPCDNNIVDASPA >Sspon.08G0001680-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:3345194:3348479:1 gene:Sspon.08G0001680-2C transcript:Sspon.08G0001680-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AMSKTESRKLSDDYEVTDVLGRGGFSIVRRGVSKSEGKTQVAIKTLRRLGPAMNGMQQGSKGGLPMWKQVSISDALLTNEILVMRRIVENVAPHPNVIGLHDVYEDAHGVHLILELCSGGELFDRIVGRDRYSEFDAAAVIRQIAKGLEALHKANIIHRDLKPENCLFSDKNEDSTLKIMDFGLSSVEDFSDPIVTLFGSIDYVSPEALSRQDVSAASDMWSVGVILYILLSGCPPFHAPTNREKQQRILQGEFSFQDHTWKTISSSAKELISRLLSVEPYKRPTASDLLGHPWVIGDCAKQDLMDAEVVSKLQKFNARRKLRAAAIASVLSSKVALRTKRLRNLLGRHDLSSEELDNLRAHFARICADGENATLAEFEQVLKAMKLESLVPLAPRVFDLFDNNRDGTVDMREILCGLSSLRNSRGDDALRLCFQMYDADRSGCISKDELASMLRALPEECLPGDITEPGKLDEVFDEMDANGDGKVSFDEFKAAMQKDSALQDVVLSSLRPPAPGQ >Sspon.03G0012400-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:34180989:34188669:1 gene:Sspon.03G0012400-1A transcript:Sspon.03G0012400-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RTRGAVASRHLAFGDSSRAPPLPSPLSSGLPAPCPWIWNQERNLPPTVSEFPSPSKNRRHGNRHRRSAKITPPSSSSVPISSRAREAALLGSCIRAQDMDQPEENPEFPSCDAYFEAIQSKKKLPLSLQESLTAAFAQIPVSSFPEVPTGRVIEIPGDTSVLDAVRTLSEQNIRAAPVLNPEPGAPTDWQGRYLGVIDYSAIIRWVLENAELAAVALSAASATAAGVGMGAVGAVGVAALGATGPAAVAGLTAAAIGAAVAGGLTAEKGVAKDGLTAADHLGEDFYKVLLQQEPFRSTTVRSIVESYHWSPFVPITLDSSMLTVLLLLSKYRLRNVPVIEPEKPIIKNFITQAGVVKGLQQCKGRDWFDYISALPLSDLGLPFMSIDEVITVNSDDLILEAFKCMKDNKIGGVPVVEGPKRKLVGSVSIRDIRFLLLRPDLFSNFRQLTVIEFMKTLGSTLPDSGNNCLVKPPPTCTPDASLGSVIDSIASRITHRIYVVDDDLEVVGVVTLRDVISCFIHEPPGYCDSYLTLAMEKLEGK >Sspon.06G0005680-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:17693508:17695999:-1 gene:Sspon.06G0005680-3D transcript:Sspon.06G0005680-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASLIVLAVAFLVGAGPGGIDGGAAAPVEVEGSEVTYGSVIKLMHEKTKHRLHSHDVPYGSGSGQQSVTGFPEGDDSNSYWIIRPTPDSSSKQGDAIETGGIIKLQHMRTRRWLHSHLHASPLSGNLEMGMVAGTTSSASTSAMPMLHPSQPSFTCTTTVPCHLPLLPGGLFGTSTSSSSNGTIESLKA >Sspon.04G0002960-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:9144946:9145910:-1 gene:Sspon.04G0002960-1P transcript:Sspon.04G0002960-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MACTVASRRAVLLVRALEKLLAASSAPGAGSAVRPVAVAGGLRRYNTGAQLRRYEGAESEDDSVREYESRRGSRDYAVPSLFSDIFRDPFSAPQSLGRLLSLMDDFAVAAPGRAGAVRRGWNAKEDEEALHLRVDMPGLGKEHVKVWAEQNSLVIKGEGEKESGEDEDVPPPRYSGRIELAPEVYRMDKIKAEMRNGVLKVVVPKVKEEQRKDVFQVNIE >Sspon.04G0035990-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4D:21283721:21284254:-1 gene:Sspon.04G0035990-1D transcript:Sspon.04G0035990-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDDIFTPAALPTACILKQNLSLRFLLLILTPSTLLILCHSAPRPGVPPHPSVLTGSSQCPQIQRPSPPTQRDPWSTGEIHRGGATVLRGSGRLSPTRARRPPQSCSALSHPGDRAPPTTTTMALPTPHPLPLLLSQARCRWRWWWCSRASLILVLGGARSQPLAAESHPGGRNRPS >Sspon.01G0028310-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:99057210:99059530:1 gene:Sspon.01G0028310-1P transcript:Sspon.01G0028310-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMLHETVPFVRPSSCRDLKLSENSASVFHCKLGCSAVCSSAEGHHVQKSPIFHSHKVNFTRTSHLLCKSLNERTTRHCLNRFHVNASPDDEFRSSRNIAISLFKRYKKIIDRGGGGENLKEFVSAGVTAYALGCTDEGLRKELMDIEDSGLEIEGLGSLGGTSSKFKVHSFEIRECIMWLSIVFITILCTPQLTVTRWSTNPPVSADVLHQWKGFCAIIANAYYVKGMAWLPVKTLQLEQMAVTGNSEEPSVVASRMQLVFSTLEVVSPQWPRV >Sspon.08G0023510-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8B:55880311:55881679:1 gene:Sspon.08G0023510-1B transcript:Sspon.08G0023510-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKSQILPAEDWASSSVTERKLEELVEDGLLRPRTNRSQPEWIAPPPTHREQAPPEGYVVSFVCFHERGFGIPSSVFMRALLHYYRVELHHLAPNAVAQAAIYAAVCEGYLGVEPQWNLWLHLFKGELFARRAGEKGVLYAARVGSCVLQLRSSRADQYIPARLISSNSGWHEGWFYLCNDDNQLPRYTGHVLTAREDRWVYGVPDVEKPRLDPLLAALQQLRARGLTAAAVATAFHRRRVMPLCRRRLRLDQMTPEASLEGSRMSHEALTLEEGLRRARRMVGTFKAEEADRLPMRPTEGFEPVDLSALRETRPPVPEDQPARDARRQHASKQKAVKESTKKRAARKAKEGEAWKKLRRQQALDGLPLEPSPSETVSGEDDDSSGEDDDALSRYEAATGLGSLPDIRPPAGAHRGVILLGHRGRGG >Sspon.07G0003380-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:7898314:7901537:1 gene:Sspon.07G0003380-3D transcript:Sspon.07G0003380-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVLLGKDTNPRLQVATNLAERLGPHFQAPSLAAAAAAAAAAAGDYAIVDPALTLLLRRRSSPATRESPCLCRPPSRLLSSAASSGALGGRAHGTVSAVLEIVGPIELLFPAFEARLYVRLVRRCARGALAAGAAAAHAHIVKRGFASDMLVSNVLLDSYAKGGSLAAGRQLFGEMPHRDVVSWCTVISAHVSCGIFVEAIGLFKDLLSSDQVKPNRFVISSVLNACARSGVMELGLMVHALVVKSSLGVDRFVEVGLVDMYAKCGNMGDAFRLFNKIPMKSSVAWNAMIYGFVKNGCFVEAAELFQDMHKIGMAMDVVTLRVVAGVAAILGSFDLSRNIHVYALKMGLGVDCFVVSELIKSAGTDLDKGRQIHSFALKLGLLLADFVSASLVNMEYQFGLCPDRFTFSTVLGACADIRAKQAGEQIHGYLVKTGSENLDVIVGNAIIDLYVNSEQSYVSSTLEELGLFTLDEENDHVTSANAWKIYTGAASQFYGTPLPIHVVGHEFGINNNMGIIDEQDTRYSTFRSG >Sspon.06G0011610-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:50267266:50269002:-1 gene:Sspon.06G0011610-1P transcript:Sspon.06G0011610-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKNWGLSSGHARPFGDRRWLLPFLASLLVTATLLLAAACGLFSPPYPSGAGDAALFDVVSLADWDDGGGSSSGGGAPSVEAGIKNRLLQDNAASDDDDENPDDAAVNSDDSDAEPPRIAYLLEGTKGDGLRMRRTLRAIYHPRNQYILHLDLEAPPRERIDLAMYVKGDPMFSQVGNVRVIAKGNLVTYKGPTMVACTLHAVAILLKEGLQWDWFINLSASDYPLMTQDDILHVFSSLPRNLNFIEHFRLSGWKVNIRAKPIVLDPGLYLSKKFDLTMTTERRELPTSFKLYT >Sspon.06G0001790-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:4047772:4048290:-1 gene:Sspon.06G0001790-2B transcript:Sspon.06G0001790-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATDAILETIKPRRSEDEQLPVTTPGAAGTKAGGSSGGIGLRRRMSSFSVHVRPPPSVSLSSSVAAFRRARSMPSVKALAAAGALRRWWEWGLGWVTAPFAPRGLELDDDEARPLGDGCRCAGGGWRHVLVRLRAGARRLLGRDGRPLKAAAPQDFGYDSVSYAQNFDDGEA >Sspon.03G0008380-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3C:37528606:37530869:-1 gene:Sspon.03G0008380-2C transcript:Sspon.03G0008380-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding QICWLWQKVNSSEDGGFQSFLDNVQYKATGILRYERIFGDGYVSTGGAVALNVIVYHYPLETTKEFVDKLNLKPGQKVLDVGCGIGGGDFYMAEKYGTHVVGIDLSINMILFALERSIGRKCSVEFEVADCTAKTYPNHMFDVIYSRDTILHIQDKPSLFKSFFKWLKPGGKVLISDYCKSPGKPSEVFAAYIKQRGYDLHDVEAYGQMLKNAGFSHVIAEDRTDQFLSVLQKELDKFEKNKDDFLSEFAQEDYDDIVNGWKAKLHRSSAACTRGLRAGCYSSNDVVMNFLGTDRGS >Sspon.01G0002030-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:5825664:5829237:-1 gene:Sspon.01G0002030-2C transcript:Sspon.01G0002030-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AT5G66055 protein [Source:Projected from Arabidopsis thaliana (AT5G66055) UniProtKB/TrEMBL;Acc:B9DF91] MTPPSIFHHHHLLVLLPLPLPSASPTQILNPFAISSRRLNHQLPKPVVLSLSSRPVISSAFAVAAVGDDEDVVVGDCLVFDDDAFEEPDLDLPSSPLPRASASRHGRRAEAGEGESLVPERWRDAEEEINLTKKEKRRIAHGLRFGSRLERRAPPAVAAPDEFRAYRKGMLSAERDHVAHVYRGPLERALPPEVEEPPPPEPGMRVAPRNPRMGMDIGSLEDIDEFFRSREYVQDEMEDSKSPKGRQKLFSNEEKVLLNKRVPDLEAATSSKWLPLHTLAASGDFYLLDSLLKHNVDINALDKDGLPAIHKAILSKKAAIINYLLRNSANPFIQDKDGATLMHYAVQTACSQTIKTLLLYNVDINRPDDYGWTPLHLAVQTQRTDIVKLLLIKGADRTLKTQDGLTPLELCLRLGHDVWTYELIKLLKSFQRQKQHDLV >Sspon.05G0024870-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:3965260:3966159:-1 gene:Sspon.05G0024870-1P transcript:Sspon.05G0024870-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVCSSHDGSSIVGHDYCPRRSRKLSGSRAWERANETDQADGGYDVILISDVPYAVNSLKKLYALISKCLRPPYGVLYVASKKNLVGSNGGARQLRALMEEEGVLGGHFLTEVCDREIWKFFFK >Sspon.05G0008050-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:19013384:19018803:1 gene:Sspon.05G0008050-2B transcript:Sspon.05G0008050-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHSNANALLWKKGPVRTVWKLSACKESELGRAKVARTDIVAAVVCARIKVGPEGVFSNPWEKQGEGKGLCRSLGLKAAMRGEFGLENMGTRGGRLLEFSQWVCNSIAFRFTMADEIILDVHSEHGLRLEVLATLLFGIVRIFSKKIDFILHDSYELRRSFQLSQLAEPTVPSGRSTPRVLKQVNKDVRVGRSVVGQQNTSKVTKTVHAVRTTEVSSAISSEGHSVMVETEVTVGISVVIKEARVPNGLPTFTRPTRFELDSFDLGIDEDTDDEGDDHHQSAHQDFTEGDKPEIEDKDTDSAWFTPLKDVLPPELMDMVAEAKDLAKESKTGDNSVREVNTDENNGGSDCTVISIPLQENQELQYSDNALESMACGSRSANYTTEASENDSLLGKLNTAAPAAGFPGLGSDTGEESLEPLVIRCETRADNKLSPSTPEPLQEGIPGPSSSSRFGVRTPAKTEKSQATRKRRRSLLYNKQDYIQTERESQRRVRRKLTWSLFDDEGTVLSNEMLRGAIEDASDLVQQRRKAPHTHLDIWRVAKLGSLPYTFMDPLIPCRSLIYPSLGAIIDTSIPLARSTAPEAPESSCEESFKARRRLSYEHSESIHACKDTGSIERESILDASRKRKLEEPIDSEVPVDCQTESWPVQDEFCACNEDTIKEKSTQVKGDEPSSKAPPKNGLHESENQIPLHIEALNAAVDNIDEDILVDEEHSRDEGLQNSTRTRKIASLLHQLFLDQKRKEGTTTLSLSQVLEGRKRKTAASFFYETLVLKNRGLVEVKQEQHYDDIILSATKNLKQSFSA >Sspon.01G0003190-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:9423709:9425290:1 gene:Sspon.01G0003190-1P transcript:Sspon.01G0003190-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NDH-dependent cyclic electron flow 1 [Source:Projected from Arabidopsis thaliana (AT1G64770) UniProtKB/TrEMBL;Acc:F4I890] MATSSVLPLHLPSCARRASTALRASAAPAAAATATTAQSLEESFGRKGLRFVADPAGGAPTAELSVRNGSSLHLRLGDGLVTSYKPKVYWKDDGCREVLYTVAGKGGVGLVLNEASSSSGGAGIAAQWSLVDGAEWIVRDADSDSYDAVQVELGCTKGKLDISYVVTLYGVSMATAVIVRNTGSKPVELTGAVLSHIKFDKRGGTAVEGLRGCPYCSQPPPAAGFSLLSPAEAMMREDPGWFSGGGEEPRQGVWTVEEDLYTVLKKKVSRVYAAPPEERKKRVYSTAPSKFTTIDQYSGLGFRLVRMGFDDMYLCSPGGMYEKFGKDYFLCTGMASMLVPVVVNPGEEWKAAQVIEHDNL >Sspon.04G0013930-3D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4D:56786950:56787846:1 gene:Sspon.04G0013930-3D transcript:Sspon.04G0013930-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSAPVLAALLVLTVGWAADGVVAANAPLPTAWLRAHATFYGGADASGTMGGACGYGNLYSQGYGSRTVALSTVLFNDGASCGQCYKIACDRQTDPTLCKPGVTVTVTATNFCPPNSALPDGGWCNQQRPHFDMAQPAWEKIGRDVSTGIIPVIYQRVPCVRRGGVRFTINGHDYFNLVLVTNVAGAGSIKSMDVKTSDSTDWMPMARNWGANWHSLAYLSGKRLSFRITITDDQTLVFTNIVPAGWTFGLTFASNLQFK >Sspon.07G0031040-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7C:15823137:15823517:1 gene:Sspon.07G0031040-1C transcript:Sspon.07G0031040-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGASDFLDPAGCLIAPSPCRCSVEWGVEWSDSAVMAARVGRAFRYSGHMVRAGISKGQRGVATQTALRDCVVHVMLALSSGFLKASRGRGGSSLCFHPSSAHFNSVAYSSPYSYIHTYSNDKKAHI >Sspon.07G0015720-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7A:56029616:56029834:1 gene:Sspon.07G0015720-1A transcript:Sspon.07G0015720-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTATTAPGGAKTSWPEVVGLSVEEAKKVILKDKPDADIVVLPVGSIVTADYRPDRVRIFVDTVAQTPHVG >Sspon.07G0010950-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:36617191:36620458:-1 gene:Sspon.07G0010950-1A transcript:Sspon.07G0010950-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPLMLLALTVLLLSYLVHGTGAAATPPRFNSIFSFGNSYADTGNFVLQCDGLPSVPFNQSPYGETFFRRPTGRPSDGRLIIDFIAEALQVPLLPPFLSRQPPHSQDLSRGANFAIVGGTALDVGFFLRRNAASVPPFRSSLRVQIGWFRRLKRSLCNTTAASAAECKDRLMARSLFVVGELGSNDYGYILAGGKSLQEAKSFVPEVVKAICRGIERLVEEGARYMVVSGTLPVGCLPMALTKYGKGNATEYDRRTGCLRRLNGLAQYHNWMLREAVGRMRRKYPTTKLVYADFYRPVARLLRRPAKFGFTEEPIRACCGGGGPYNYNPGAACGSPGSTVCREPSAHVHWDGIHLTEAAYKYIANGWLNGLYAYPSILDLLQ >Sspon.05G0003790-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:7017571:7018824:-1 gene:Sspon.05G0003790-2B transcript:Sspon.05G0003790-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVALLCSSKLRCSMLLVGVALMVGMHLSAASLDDDIEIRWGASHTYFFMDGDTESLALSMDDEQGGSCFRSKEMFLYGTISMEIKLVEGNSAGVVATAYTISEGPWSYHDEIDLEFLGNLTGEPITLHTNIFVNGVGGREQQFYLPFDPTADYHTYTIEWNPKYILIRVDGKAIRAFKNYEEYGVAFPTWQQQRVYGSLWDADEWATQGGRIKTDWSEAPFVAYYRNYTFTWCQPSPGVSWCGAEPRDSTRFDLDQKTLGELWWASQQYRIYDYCSDHKRFNESDFPKECSLQRQG >Sspon.02G0043330-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2C:95459734:95459922:-1 gene:Sspon.02G0043330-2C transcript:Sspon.02G0043330-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVAASLHRLHAAFAATLRPLLLQNLVNARPTPPRRCVPRPLLLQKLVDAGVVSESDIFGHAG >Sspon.06G0013260-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:52815322:52819552:-1 gene:Sspon.06G0013260-4D transcript:Sspon.06G0013260-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:TOM1-like protein 5 [Source:Projected from Arabidopsis thaliana (AT5G63640) UniProtKB/Swiss-Prot;Acc:Q9FFQ0] MAAEMVKAATSDKLKEMDWAKNIEICELVAQDPGKAKDVIKSIKKSIGSRSKRTQLFAVMLLEMLLNNCGEPIHRQVIDNGLLPILVKIVKKKTDLPVREKIFLLLDATQTSLGGAKAKFPQYYGAYYELVSAGVQFSNRPNVVVTRAEVPVPEPRTEPNNESLATRLNEAQQEVHTQPAPDASIVQKASSVMEVLRDVLNSMDPRHPEGATDEFVLDLVEQCTFQKQRIMHLVMTSRDETVVSQAIELNEELHKVLVRHDALLSVHPTTTVPSNIKEEAEEEDAESLYRRLRKGKALSQDYTDDSVPSFRSMPEDKLRRPLTIQSPHPDKRLGALNIRSPDREEPRHDPAPLIPPPPAKHAERERFFREKSIDGVASLPGHLRGLSQHSRDGSSSCSGSTDYGD >Sspon.01G0042160-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1B:64240769:64240942:-1 gene:Sspon.01G0042160-1B transcript:Sspon.01G0042160-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRTGSQEEIQVSVQEEQAGADPQKIQAQEVPEAEEQGEDLSECVDHQPSSFERDKP >Sspon.02G0027780-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:100977437:100981268:1 gene:Sspon.02G0027780-1A transcript:Sspon.02G0027780-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGGGGDPRPEDDPFSDGDTTGSDSDESPQQGMGARRPGATSNPILTRLAVSRNPSPLAAATAAPGVCLLRFAWESAAGSLVGAVVGYGKGLVMMKGFKGSFPDAASSAKIFAVLAAVQSLVACSLRKLRGKDDGINAGVAGCCTGLALSFPGAPQTLIQSCLTFGTFSYIIEKLNKQQPALALPPATGVMDLKAGQSVLPPFTLPLPDTMDEFSKFQNFLSSKFRGN >Sspon.01G0033390-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:111374424:111387477:1 gene:Sspon.01G0033390-1P transcript:Sspon.01G0033390-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MANNGLPNPPCAVLTADAARSLVDSVDAFLFDCDGVIWKGDKLIEGVPEAMELLRKMGKKLVFVTNNSRKSRRQYSKKFRSLGLEVTEEEIFTSSFAAAMYLKLNNFSPEKKVYVVGEDGILEELKLAGFECFGGPEDGKKNIKLEADFYFEHDKSVGAVIVGLDQYARICINENPGCLFIATNRDPTGHMTSAQEWPGAGTMVAAVSCSVQKEPIVVGKPSGFLMDFLLKSFNLETSRMCMVGDRLDTDILFGQNTGCKTLLVLSGCTTLPELQDASNNIHPDVYTNSVYDLVGLLQNDQ >Sspon.08G0022980-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:52098163:52100061:1 gene:Sspon.08G0022980-1B transcript:Sspon.08G0022980-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAAGSNIGHLMSGGVLANRVVGSQYQSNTEDIDESSADELRDATSYAKDNAMLIQVPVLGTATKTFWRLSDEATRISRKLALILRNHHSVCKCLTAPLQVSNVRIGSAGNVKLRGARFTTKGFSIERVRDDYKNLSRVLKQLISISGGDINNLPPDYRDFLQLLTQDNLTTEDEFLIVNNAALLPLKNRTEVFLMLYDRIVKYLGRKNRAKRNRILSNLPYENDWLDTATANTKINQWVVKSDVQKKEYKRTALDLLRLNRNVRSHLHEYGHDDDVEEILYCEWPMLLFVMEKQLHLEGELQDTDIENKFG >Sspon.04G0011810-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:36239963:36245715:1 gene:Sspon.04G0011810-2C transcript:Sspon.04G0011810-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKEQLGTLLRDLDALKQHPDDLASTIDRMRERLVAMMNPAAGAAASRSKIKDMSAEVVDNNPYSRLMALQRMGVVENYERIRDYSVAIVGIGGVGSVAAEMLTRCGIGRLLLYDYDTVELANMNRLFFRPEQVGMTKTDAAVQTLSGINPDVVLESYSLNITTVKGFETFLASLKARSSDERSTGVDLVLSCVDNYEARMVVNQACNELGQTWMESASGVDERTLKREGVCAASLPTTMGVVAGLLVQNALKYLLNFGQVSPYLGYNSLKDYFPAMEMRPNPQCSNPACVQRQKEYMESKPARDAAAKAKMEAEASAENECPVHVDNEWNISVVDDEETGTSSIRNTPDILPEGLVRELPDADSYAEPAAPVSSSAIDDDLEELQRQLDALNAS >Sspon.03G0022400-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3A:68188249:68190720:-1 gene:Sspon.03G0022400-1A transcript:Sspon.03G0022400-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSLPAPAPGRAELLKAFDDARTGVRGLVESGVSSVPELFRHPDPYGSIPLAPPGASIPVVDLSLPPHLAAAAAASAARTWGFFHLVNYHHALPAAAAASDDYPARAFAAVRAFNELPAAERAPHYSRTTGGVNYSSNVDLYNSPAASWRDTIQILLGPNRCPDLADRIPAACRAEVLEWEARATAVARALLGLLSQGLGLRPEALEDASCADGKLMVCHYYPHCPEPERTMGLVPHTDPGVLTVLAQDGVGGLQVKHQDEDGKVCWVDVKPVPGALVINVGDLLQIMSNDKYTSVEHRVVMNTLEEPRVSIGIFFSPGKRGDSVFYGPLPELVSSENPPKYRNFTMSEFYGAFFSRDLASKALLDNFKM >Sspon.04G0018270-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4B:69326173:69329135:1 gene:Sspon.04G0018270-2B transcript:Sspon.04G0018270-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding CALRSGDQDRLRSRRGKLSRSDAAGHWLLLTYISLSGFI >Sspon.02G0015180-4P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:20026053:20027807:1 gene:Sspon.02G0015180-4P transcript:Sspon.02G0015180-4P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGIIKMIASFCAVLTLALLPFAAAGGGSGGGGHGNFNYKRAMYMSLLYFEAQRSGHLPYNQRVKWRGHSGLADGLQQGVDLVGGYYDAGDNVKFGLPMAFTVTMLSWSAMEFRDGIAAAGEWRHVLEDIKWGTDYFIKAHTEPDVLWAEVGDGDTDHYCWQRPEDMTTSRQTYKVDRDNPGSDLVGETAAAMAAASIVFRRSNPHYAHLLLHHAEQLFDFGDKYRDKYDSSVSEVRRYYASVSGYGDEMLWAALWLHRATMRTEYLDYAVAMADEFGGTSWAINEFSWDVKYAGLQILAAKVLYGRSHRPEHRTTLEQYKSKAEQYLCACLGKNGAAGNVDRTAGGMLYVRQWNNMQYVTNAAFLLTVYSGYLAASGAASPLQCPEGPVRAGELQALARAQADYVLGANPAGVSYMVGNGQRFPRRVHHRGASIVSHRADGRFIGCVQGYDHWFRRAGSNPNVVVGAIVGGPDHRDRFSDRRSNYMQTEAYTYNTAPMVGVFAHLH >Sspon.02G0028120-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:102166999:102173319:-1 gene:Sspon.02G0028120-1A transcript:Sspon.02G0028120-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAEQGVDAARKEKEEEEEEQVVNPWEVSAGKGGIDYDKLVDQFGCQRIDDALVGRIARLTGRPPHCFLRRGLFFAHRDLNELLDLYEKGEKFYLYTGRGPSSEALHLGHLIPFMFTKYLQEAFKVPLVIQLTDDEKFLWKNLTIQESKRLARENAKDIIACGFDIERTFIFSDFSFVGGAFYENMMQVARCVTMNKAIGIFGFNLEDHIGKVSFPPVQAVPSFPSSFPHLFAGKDQLRCLIPCAIDQDPYFRMTRDVAPRIGYQKPSLIESRFFPALQGENTKMSASDANSAIYVTDSAKEIKTKVNKYAFSGGQDSIELHRKLGANLDVDVPIKYLNFFLEDDDELAHIKKEYKEGRMLTGEVKQRLIAVLSEIVARHQRARAQVTEEEVMDEINIIIDIPAVTAQF >Sspon.02G0027350-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2B:102472981:102473935:-1 gene:Sspon.02G0027350-2B transcript:Sspon.02G0027350-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHVPLKILSIEDGKPLKSVKLWLHPNKKISFIEQFNEKLLVKQEGENIQIIDVRSSELIEVNATKFMTPSAFIFLYENNLFLTFRNRTVAVWNLRGELVTSFEDHLLWHHSCSANDVYITSDQDLIISYCKSEAVAEDGTGEVSSAVTPFGSINAREIMTGKCIAKLSANNLSVVPRGNSNSDSKRSLVQSTVSEAMEDVTALLYNEERNEIYTGNSKGLVHVWSKSNRPQSICANL >Sspon.06G0016170-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:72652861:72656876:-1 gene:Sspon.06G0016170-2B transcript:Sspon.06G0016170-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALNEEYTYAELEKEPYWPFEKLRISITGAGGFIASHIARRLKSEGHYIIASDWKKNEHMSEDMFCHEFHLVDLRVMDNCLKVTTGVDHVFNLAADMGGMGFIQSNHSVIMYNNTMISFNMLEAARINGVKRFFYASSACIYPEFKQLETVVSLKESDAWPAEPQDAYGLEKLATEELCKHYTKDFGIECRVGRFHNIYGPFGTWKGGREKAPAAFCRKALTSTGRFEMWGDGLQTRSFTFIDECVEGVLRLTKSDFREPVNIGSDEMVSMNEMAEIVLSFENKQLPIHHIPGPEGVRGRNSDNTLIKEKLGWAPTMRLKDGLRITYFWIKEQLEKEKAEGMDLSVYGSSKVVQTQAPVQLGSLRAADGKE >Sspon.06G0000510-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6A:2354648:2355172:-1 gene:Sspon.06G0000510-1A transcript:Sspon.06G0000510-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding IIDGGGDAACFELDTIVGEAAAHLAGNNGSPSLSGSGSDGAGRDYQLDAVAMTAAADEERRRRRMVSNRESARRSRMRKQRQLSELWAQVSHLRGANRRLLDELNGALRSCADARRESARLRDEKAELAKKLDQLLQPEKAAPASASTSSNHSCSSEAEAEPCNNNTSSTNIAE >Sspon.04G0036690-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:41852023:41858919:-1 gene:Sspon.04G0036690-1D transcript:Sspon.04G0036690-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRATRAPRRWPSPATERRGCAPPVAFTAASPCAASSRSSYAHVDLKGVLLISIVFLSSYMIFPLPYSVSGKTLFKIKPMISYKWKTEEAYGVVGWLVAATYAGQALGGRCRGMGAWRSQAFGRRSLVLGGHDQALGGRHQWLGGRRRELGGWRGQTLGSRHD >Sspon.03G0013490-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:54509350:54511154:-1 gene:Sspon.03G0013490-3C transcript:Sspon.03G0013490-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MENQMNVVASRRQPGDWSCRSCQYVNFCKRDACQRCGEAKLGVDRTDYAALGGDWDVKPGDWYCYRCSVNNYASRGSCFKCGAAKNESPDAVAQGWGYTVAGQPGMKPGDWICPRLGCHVQNYANRTECFRCSMPKSYYG >Sspon.04G0015300-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:57286213:57288306:1 gene:Sspon.04G0015300-1A transcript:Sspon.04G0015300-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DHSHSHCHSGRHRSHAAASQARTRGEGEDGATTRHAHGRPHPGPPGGHGPGVPAARGVPAPPRGAPRLARVEGGGRVALPPPRPPSPRAPRARAGAAPARRLRPGPQVRRLRRRILLPPRAARPGRRRLVGAAARSPPAAAASRSSASSPRQARAARRRSCWCWAGGTRRRGRRRPRCTCTTSCPARGGAARTCLPRAGPSSRARRSAGRCSSRGATTRRRTRCGRRPRTTPSPTRGRRSRTWRGSGTSPGASASAGSSWGRFAGSAEAFDPATWSWGPVQERVIEDGACPRTCCAAPPAAAGSRMYMLRDGCVVARDAEGGGMWRTLACLPEDARAATTVASIGDGRVVVLGAEQTVYVLSHNQETPSWTRVVAPLEFAGHAQASNQTYFL >Sspon.05G0001730-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:5682433:5683496:1 gene:Sspon.05G0001730-1A transcript:Sspon.05G0001730-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Naringenin,2-oxoglutarate 3-dioxygenase [Source:Projected from Arabidopsis thaliana (AT3G51240) UniProtKB/Swiss-Prot;Acc:Q9S818] MARLVRDFFALPAEDKLRFDMSGDKKGGFIVSSHLQGEVVQDWREIVTYFSYPVKARDYSRWPDKPAAWRAVVERYSEQLVGLACKLLGVLSEAMGLETDALAKACVDMDQKVVVNFYPRCPQPDLTLGLKRHTDPGTITLLLQDLIGGLQATRDGGRTWITVQPVEGAFVVNLGDHGHFLSNGRFKNADHQAVVNSECSRLSIATFQNPAPDATVYPLAVREGEAPILDQPITFAEMYRRKMARDIELARLKKQAKADKQLQQQSTTEFAVPNAKEFAGPTAKSLEDILA >Sspon.02G0041830-3D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2D:73052677:73054988:1 gene:Sspon.02G0041830-3D transcript:Sspon.02G0041830-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Basic leucine zipper transcriptional activator, Grain fillin [Source: Projected from Oryza sativa (Os07g0182000)] MDHVFSMEEILGPFWDLPPSPPPEQQPLVTGTGSVVIDGVVTQGGDGEGGDMMNQNTTEWTFERLLEEELLTDTRPVANSSCRALNVDPVVEVDQGAMALAAVSDVGDPMEYNAILKRKLEEDLMAFKMWRASSSGVNSERSQGSDNQNGGSKNLVQNKLNGEDPINDHAQNADLRVRLATSSSSRDPSPSDEDMDGEVEILGFKMPTEERVRKRKESNRESARRSRYRKAAHLKDLEDQVEKLKAENSCLLRRLAAMNQKYNEANVDNRVLKADMETLRAK >Sspon.02G0056270-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2D:21021617:21021707:1 gene:Sspon.02G0056270-1D transcript:Sspon.02G0056270-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RGKELQVAAAAAGYDLKPQNRREQVDKRV >Sspon.03G0031400-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:27700921:27702129:1 gene:Sspon.03G0031400-1B transcript:Sspon.03G0031400-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSQYIGLQYRGAPHGGTGDLPQTRRIPTGPGQPTSPNNSTNHEPETLAAPMSDDAAAAASPSPSTSPSPSSASSSGVSPSPSSPRTKRRRTDRYALGFEFAPRLAPYELPEDPLPDKPKRSGPKWTERSTFALLDAWGDSFIRAGRSIIRADEWLEVARLVCAAADRPAGYFSDSQCRNRVDTLRKKFKKERERARLASRRSGPSPSKWVYYDKMVSILCPSPLPLPLPAPPPPPPPLPLQLVPLAAKRRRDRQPSRRFQWGMKAPERLLGGGGDVVGPRVSGSGAELGEREPQKNSAVEVDRNGFVALTESIQKFEEVFARMESSKRQHMVEVEQMRRDLQRDLDAKWREILEKAQAEIASLSNEDEDEGDADEDGDVGDDKRLEEDDDEQNNSAMDASP >Sspon.07G0027040-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:52724603:52728256:1 gene:Sspon.07G0027040-2D transcript:Sspon.07G0027040-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLARKKLKQKLHALLPAGEAAAEAHGEEVEAVKERLLSSKRPRPKRERKPKKKSLPEMVRQTEEEIREEVERRREERRKEKKEKRRIRRLMEAEAAAAAAAGPETQQVEGETGAEMEGKEEADSASGSESDQPVEAEDSKQNINKVEVTKPAVGSNNHIVVDNRQQNHKVEMAKTVVGSDNPIVAEHREQSIKKVYVGGIPYYSSEDDIRSFFEACGSITVIDCMTFPESGKFRGIAILTFKTDAAAQRALAMDGADMGGFYLKIQPYKLNREKEDFAPKLIEGYNRIYVGNLPWDITDDDLKRFFSECKISSIRFGTDKETGEFKGYAHVDFSDGTSLAVALKLDQKVLKGRPVRIRCAVPKKDNQKVNDNGNSDQLKSKIRTCYECGTPGHFSSSCPNKKDSEARKCYECGTPGHLSSACPNKKDSEVRKCYECGAPGHVSSACPNKDSDVISDEKEANANSVIAASKKRRTCYECGIPGHLSSSCPNKKDSEFISDEKKTNVDSATASSKKRRTCYECGTPGHLSSACPNKRASDSVPNNREPVDDAKPATTIMSEETKVGDELNSAASKKRRKCYECGISGHLSSACPNKKSAEPVCNEEKPDNHSNTVLSVIADEKKASEDTKSAPVKKKKRRTCYECGIAGHLSSECPNKTAAK >Sspon.01G0035830-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:14709266:14714108:-1 gene:Sspon.01G0035830-1B transcript:Sspon.01G0035830-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSEQRVVAVIMVGGPTKGTRFRPLSLNVPKPLFPIAGQPMVHHPISACSRVSHQFLRSLVVCGIVVLYGSVCGSYETSFWIPLLQIPNLVQIYLIGFYEEREFALYVSSISNELRIPVRFVSCPDDVCFCGVGLGALGRFQSRAIDRVSPILGTCERISLTGQLEGSTALEITSWKTVRRMSLRCSGLYLSQFRRTSPHLLASGDGKRTATIVGDVYIHPSAKVHPTSKIGPNVSISANARIGAGARLINCIILDDVEIMENAVVIHSIVGWKSSIGKWSRVQGEGDHNAKLGITILGEAVDVEDEVVVVNSIVLPNKTLNVSVQEEIIL >Sspon.08G0015650-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:57372364:57378962:-1 gene:Sspon.08G0015650-2B transcript:Sspon.08G0015650-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWSLLLRVTDKTLLLLLVVAVTLSLEHGVPVHGFLAASSDCQSSCGNISIPYPFGIGAACSWEPSLNVSCVVDGQGQEAAYLRVGDTLFKLLEIDVSQGEVRVESPISSSCRNGSKLEPLFILVPPFTVSSKNKLTAIGCATVAGIGSQSQDGYTSACGSFCNQDTMGNITECAGIGCCQTSIPSPGNLRSLNASFIVTADNLHISTPQKSSSPCSYAFVADANWFKFHPLYVTSTKFGEMYGSGSDRGVPLVLDWVVGNETCEEAVKNNMYAYGYATRVSSYACLSDNSFCLNASIGLGYRCKCLAGFEGNPYLDRGCQDIDECASPNFCNGTCANVIGSYRCTPAVHQHQGIGTSIL >Sspon.01G0022270-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:79570435:79572281:1 gene:Sspon.01G0022270-2D transcript:Sspon.01G0022270-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDKPITISDDAAKEPTTLDDEEDIEALVEPPDWLPDGWIMEVYRSEDGNIIRYYTSPISNCTFTTKSEVLEYLFSGTDERMLELKECGAENSLQRQHEWLPKGWVIEIRAGGEKTDKMYKFYVHSTTGTRLVSKQDVLLCINESKVSGCNTHEQCDTSSEDNILAKVDLWPSGLPEGWVKELVYRKTKDGLIRRDPYYTDPASSYTFRTRKSALSFLETGKVVSRRAIIQKTSVHDLYSFEISADL >Sspon.06G0004430-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:11308239:11311992:1 gene:Sspon.06G0004430-4D transcript:Sspon.06G0004430-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPAAPTALHHRTPLLLPRRAAAPGATATARAACRAASLAVVRAQPDTTAASASTSAPQPPAPEFKPPPGFKVPEPKRFEVKPGQQNSVLGASLAIPLRLGTGVFVLGYAPYCAFSDHPRVYLAYGLHPTPAYLHVSLHCAGPTFRPKVLEMGGKKQFPYMVDPNTGVAMYESDDIIKYLADTYGDGTVPIMLSLGLLTTFTAGLATLGRFGKGNSYTASKVPPQPIEIWAYEAKQTVGSRINVKTQESSVDQVAVTKAFAYAQQKKPSPSSTPGFPTRATGHLTRVTYAMGSASPPSSHRRWSWGSALAGAATTAAATALVLCRPRDPRFELISISLSAFHFRAPAALDIGLTLTVHATNPNVVPVRYGPSTVSILYGGAHLGTARLDAGEQPATSCRLLHLPARLDGVELAHRARAILSDVARRHMELDAAVEIAGEAAVLLWSRPFSVRIDSHITVDPVFLEVVEQENHSEMQLYLA >Sspon.03G0043420-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3C:81296662:81298169:-1 gene:Sspon.03G0043420-1C transcript:Sspon.03G0043420-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding CPRRRPWPPLLAPGEGKGEEQRRRSSCRRSSMARARAAAAAGSVSSRLSPIAPPSREKRERAAGGEKAPSQPRRPRELPHRKELRPCPAASILHGHELEAPQGPPWPELEPPPGPPQLRWPCVEGARCREGVARSAAGGGSREGRGRRRRGHRRAGLRLRRRCARERENGEGGRWRPGRGRAVSSGSRFTSDCGLQPD >Sspon.08G0003660-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:10682171:10685514:-1 gene:Sspon.08G0003660-3C transcript:Sspon.08G0003660-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRMEAPSSPAPLLVVVFLASLLLQARSDDPYRFYTWNVTFGDIYPLGVKQEGILINGQFPGPQIDAVTNDNIIVNVFNNLPVPFLLSWQGIQQRRSSWQDGVYGTNCPIPPGGNFTYNMQFKDQIGTYYYFPSLLFHKAAGGYGGIRVLSRPRIPVPFNPPAGDFTILAGDWFKLNHTDLKGILDSGNDLPFPDGLLINGQGWNGNRFTVDQGKTYRFRVSNVGIATSVNIRIQGHSMLLVEVEGSHTMQSTYTSIDVHLGQSYSFLVTADQPPADYSIIVSTRFTTPVLTTTAILHYSNANGAATVPPPAAPTVEIDFSLNQARSIRWNLTASGPRPNPQGSYHYGLVNTTRTIRLANSRATINGKLRYAVNSISFIPADTPLKVADFYKIPGVFTLGSMPDNPTGGGAYLQTSVMAANMRDYVEVVFENTENFVQSWHIDGYAFWVVGMDGGQWTPASRQGYNLRDAIARYTLQVYPQSWTAIYMPLDNVGMWNVRSESWARQYLGQQFYLRVYSPANSWRDENPIPKNALLCGRASGRRTRPL >Sspon.05G0027990-2D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:5D:56146321:56147273:-1 gene:Sspon.05G0027990-2D transcript:Sspon.05G0027990-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLVVGASESTVKSLLGKQGSLLAQEYTLIRGVAGDLQYINDELTSMQSFLRDLGEAGDGRGRGHDHRMKDWMKQIRDLTYDIEDGVDDSGHRIRGLPSDMYCYFLVNSVYEVLTWWPRRDIAAKIANLKMRAQQIGERRHRYGVDNPRSIGDGGGGGAGGGAAAAGGGGAGFNAAENQDSSLKLVTLKTPVGVHKDMEELEKWVTGEATTGVLSIVGFGGVGKTTIATALFHNFRDQFDHRAVVTVSQSSDIEAILSNILSQVKPHSNDQRHHGSTSRKTALVAIRGGLSHVMGASNKSGDHTKLDQLKSDLQNHP >Sspon.05G0010430-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:29683353:29684859:-1 gene:Sspon.05G0010430-1A transcript:Sspon.05G0010430-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADTPSPSPTAAAAAEPGSASTPLLRRRGSYARSMSHARDELSSFRSGLRWMCVDHSDASTPAVSWLVFATLGVAVPAAAHAATPRRAYDTQVQVSITLSAALAYATLYSLVRRRGLRRLLYLDRLRHDSQDVRAGYIVQLAGSFRLLACFVLPCFVADAAYKVFWYCANAPFPIWWSAAACALEMASWMYRTAMFFMACVLFRTICYLQILRMTGFARDFGQCADVAAVLRQHRRIRVQLQRISHRYRRFILYSLILVTASQFTALLAATRPHAQVNFATAGELALCSVSLVTGLLVCLHSAAKITHKTQAITSVAAAWHADATINSLDRDQENPRTPSKACLQQHAPTSPFPMANASSGEESDDDEWWSEDSVDTSRFTSFHATNVSFQKRQALVTYLENNRAGITVFGFVVDRTWLHALFMIEFSLVMWLLGKTIGIS >Sspon.05G0013170-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:43373192:43377120:1 gene:Sspon.05G0013170-4D transcript:Sspon.05G0013170-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding TWPTVPLPGANPSRTGSTARSGPRLPRPRATAPLPARHPPHLSCHLPCPPPQPPRDPTPIPPPNAPRDGDASDDEDDEGAGAPSRAHLVAEFKVQLDRKVVDLAELRRLACQGVPDAASIRPIVWQVLLGYLPTDRALWPYELEKKRSQYCAFKDELLVNQLFAPLSNSVVIHLCSGQSEVTRRMEEMTVSKREEHNAEGTGVLPRAEIVRDEHPLSLGKTSVWNQYFQESEIVEQIDRDVKRTHPEMQFFNGNSSDSLSNQESLKRILTIFAKLNPGIRYVQGMNQALAEPDAFFCFVELLSGFRDNFCKQLDNSVVGIRSTITRLSQLLRRHDEELWRHLEVVTQVNPQFYAFRWITLLLTQEFKFRDCLSLWDILLGDHEGPQATLLRICCAMLILVRRRLLAGDFTANLKLLQNYPPTNIDHLLHIANKLRGPLPF >Sspon.04G0013520-2D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4D:73626284:73626958:1 gene:Sspon.04G0013520-2D transcript:Sspon.04G0013520-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RLPGPGRRDHGFGAEVTTLGGIRHSNGVRLFGFASSAEANLLLYEYMPMGSLAAVLHGDSGAPPGLGRQAPRRHRSGALAVLPAPYSDRHGVVRAEYAYTLRVDEKTDVYSFGVVLLELVTGSRPLGDFGDEINLVHWARSVVPRPTDTAAVLAVADPRLPAGLIARLFRVGISCVRDSSQARPTMRDVVHVLSSFVVPPADPACSVCADDEACKSQDDRSQLI >Sspon.07G0010240-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:29312459:29314309:-1 gene:Sspon.07G0010240-2B transcript:Sspon.07G0010240-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AMASSTGSLEHGGFTFTPPPFITSFTELLSGAGDVLGAGGADQERSPRGLFHRGARGGGGVGVPKFKSAQPPSLPISPPPMSPSSYFAIPAGLSPAELLDSPVLLHSSANILASPTTGAIPAQRFDWKQAADLIASQQDDSRSAAIGGFNDFSFHTATSNAMPAQTTSFPSFQQEQQQQQVEAAATNKSAVVASSNKQASSGGGNSNTKLEDGYNWRKYGQKQVKGSENPRSYYKCTYHSCSMKKKVERSLADGRITQIVYKGAHNHPKPLSTRRNSSGGVAAAEEQQAANNSLSAAAAGCGPEHSGATAENSSVTFGDDEAENGSHRSDGDEPDAKRRKQEDGENEGSSGGAGGKPVREPRLVVQTLSDIDILDDGFRWRKYGQKVVKGNPNPRSYYKCTTVGCPVRKHVERASHDTRAVITTYEGKHNHDVPVGRGASSRAAAAAPMGSGAALMAAGGGQLGHQQQQPYTLDMLSGGAYGGGYAAKDEPRDDLFVDSLLC >Sspon.01G0002360-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:5052409:5056442:1 gene:Sspon.01G0002360-2B transcript:Sspon.01G0002360-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKLAFSQDSPPISIICAAKFVGLPLTIDPSLAAGSAPTLRFSSGESLQGVNPILLYIASSSSISCFSGKNDIEFGHVVEWLEYAPTFLSGSEFENACSFVDGFLVSRTFLVGHGLTIADIVVWSNLAGIGQRWESLRKSKKYQNLVRWFNSIDSEYKDTLNEVVAAYVGKRGIGKSPAPSLKEKVHDSKDPSAPEVDLPGAKVGKVCVRFAPEPSGYLHIGHAKAGLLNKYFAERYQGRLIVRFDDTNPSKESNEFVENVLKDIETLGIKYDVVTYTSDYFPKLMEMAESLIRQGKAYVDDTPKEEMRKERMDGIESRCRNNTVEENLSLWKEMVNGTERGMQCCVRGKLDMQDPNKSLRDPVYYRCNIDPHHRVGSKYKVYPTYDFACPFVDALEGVTHALRSSEYHDRNAQYYRILQDMGLRRVEIYEFSRLNMVYTLLSKRKLLWFVQNKKVEDWTDPRFPTVQGIVRRGLKIEALIQFILQQGASKNLNLMEWDKLWTINKKIIDPVCARHTAVLKDQRVIFTLTNGPEKPFVRILPRHKKFEGAGKKATTFANRIWLDYADAAAISKGEEVTVMDWGNAIVKEIKVESGVITELVGELHLEGSVKTTKLKITWLADIEELVPLSLVEFDYLISKKKLEEEDDFLENLNPCTRRENPALGDANMRNLKRGEIIQLERKGYYRCDAPFIRSSKPVVLFAIPDGRQQASLN >Sspon.02G0054490-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:114204995:114206696:-1 gene:Sspon.02G0054490-1C transcript:Sspon.02G0054490-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADDRGESDPLEALTSKIDFKMNLLNDVMAEHREVKQVISGVMKEKESLQQEKERLQQEKERLLHEHLENGEALARMKEDLLSSKKTLVEARDALVSSSEVISQKDKYVEFLKKKLQESEAKNNQAEQQGGTKPIEPGGCKQGQCRRGKGHLKDLWTMILAQMNLQANWMTDRCLLWNRWEILM >Sspon.06G0010000-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:44071193:44072721:1 gene:Sspon.06G0010000-2C transcript:Sspon.06G0010000-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALWSPALLITIAALLVPSYFYIKYKRSNTTPILPVIWPVVGILPGILANVNNLYDFITVCLSAYECNYKVHGLVGTRVQYFLTSDPQNVRHIFTSNHANYPRIFDVMKGSLLTVDGESWRRQRTMAQCILSNPRMVASITNFCRDKVGKGLLPFLEHMANTGTPFDMQQLNTRYAFDVAAMPAFGLDPGLLSPDMPPVHVTDAMDTVMQVPMLRLVMPALVWKVMRRLNIGTERRLAAAQAVLRGFVAAVFETLRLYPPVPFELKTVLCDDTLPSGHEVAAGDTVLISLYAMARMEPVWGNDCQEYRPERWLSDDGSKLQYVPSHKFLTFSSGPRMCLGKDIGIMQVKTAAANVVWNFDMELLEGHAVEPKISTILQMKNGLMVK >Sspon.02G0008660-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:25020979:25025337:1 gene:Sspon.02G0008660-1A transcript:Sspon.02G0008660-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIKSQHQYGAIQLASWHGMVLRNAFSTSVEEPPLRRHMAYSMTAASQGSVICSLPMKPNSSWDIRRSSKKTNVLREICRYIGEKYEHQGYPFLFGKDALERASVEQWLHNEEHAFNPPSRALFCHLAFPLGEEEDDDIDIHTRKLEEVLEVYEQRLSDSEFLVGNKFTLADLVHLPNSHYIKTSNKFLYLYDSRKNVRRWWDAISDRDSWKKVLMYMERVEEQNKQEELKKQQQQQEDPRTSADSIRLDPRKQIRTEPRTILVPPTDTVSSSSIVPQTKKPLPSDTSPDELLISSTHEIVDSELVSTPDGHISEAAKIRQDQATVHEDVHDANLSAHDVAKDTFKEAKVAESTPSSAKPMYSQQPAPTPRHAEVEDTWDKGMKGREAVPDRQQMLEKWAGTAGEQVSDRWKASAPSRQAAAEDVRGATGDTIQSPDVLDTSKKSRGAYEEAKGPVSVKEEKTSSIYQKKPLVAQDSQEQALTTFVDKLDASEPARVKTHGDFSAEQPSRKDTTDDQKVAPPLLTKELTSQVQPPSEPSYDAALHRDLASKPSTIYQWQLSSAPLHDVTSSSGDEVAKSTVDEKPAPMSQQKSDEQRAEPPVPIEAEISDVQHASPSFPGASMDDRATINDKFAMQSIIDQRVGEPTQMQTSSPDAHPTSEPTKRGTPEGHEIGHLELVSTPGGQISEAKKARDDPARADIQDGHSAEEQYKKYTVDDQKVASSLSSKEPTSQVQPTSEPLQRAVPDGDLPSKSFTIDQWQRTAAPLHGVITDSGDDEAVKSSNNDQKTRPMSQEATPSSQSVNQMAKQSGEQRVEPPVPIVAEASDVGHAAPSLPEAARADGATSSDKFTEQSNIDERVGKPKQMQAPITAARPDSAPTLRRTPDSHEAGGLVSAPEGQRLEGAKATRDPATIREDVYDASLSTHDAAIDEKTATDHASGDEVVTGSSHDHQTRRPASIQVQPTVETPHDSDSFQYVHTGDSEKAELAKPTVTDQEATVPAAGLTSADPQRADIVPAGVAHSEQKFTRSGN >Sspon.04G0002940-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:31282663:31283175:-1 gene:Sspon.04G0002940-2C transcript:Sspon.04G0002940-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSWVSRLPLAVLLLVACCSTAAATSYTVGDGSGWTTGVDYTSWAASKNFKVGDNLVFNYAKGLHTVVEVSAAEYMACTAANPLGSDNSGATTVALKTPGTHYFVCSITGHCGAGMKLAVTVGGSNSPATTPTPTTPR >Sspon.04G0001460-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:2459545:2461530:-1 gene:Sspon.04G0001460-2B transcript:Sspon.04G0001460-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPGALLLLAVAAAALLAAALPGALGFYLPGSYPHKYNPGDYLNVKVNSLTSIDTEMPFSYYSLPFCEPQEGVKDSAENLGELLMGDRIENSPYRFRMYTNESDVFLCRSPPLGADAFALLKKRIDEMYQVNLILDNLPAIRYTRKDDYLMRWTGYPVGIRVGVDYYVFNHLQFTVLVHKYEDGNVARVMGAADATDSIPSGAKDAASSPGWMVVGFEVVPCSIKHNPEDVKSRKMYDQYPNKIKCDPTTVSMSIKENEPIVYTYEVNFVESDIKWPSRWDAYLKMEGAKVHWFSILNSLMVIAFLAGIVFVILLRTVRRDLTKYEELDSEAQAQMNEELSGWKLVVSDVFRAPSNPMLLCVMVGDGVQILGMAVVTILFAALGFMSPASRGTLITGMLFFYLVLGILAGYVGVRIWKTIKCGDHSGWVGVSWRVACFFPGIAFLILTTLNFLLWGSHSTGAIPFSLFVVLLLLWFCISVPLTLVGGFLGAKAPHIEYPVRTNQIPREIPPQKYPSWLLVLGAGTLPFGTLFIELFFIMSSIWMGRVYYVFGFLFIVLLLLVIVCAEVSLVLTYMHLCVEDWKWWWKSFFSSGSVAIYIFLYSINYLVFDLKSLSGPVSATLYIGYSLFMVIAIMLATGTVGFISSFCFVHYLFASVKAD >Sspon.01G0042800-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:68143203:68143929:-1 gene:Sspon.01G0042800-1B transcript:Sspon.01G0042800-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLMLPTKHPFGPTGRTNLPPRLVPRAPHLHLMPHAALASCRPHLAPHAAYVSRLALRFATPRASCPDSCYTQPRSDLRAVASSSVSASSSVSMSVHWWQQVYVFKCFNCFKRMLQVLAKVVPSGASVPACAREAKWTQQQMWSTKLYPWVWQQARSTKPHPWCGQ >Sspon.03G0018730-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:86935681:86937790:-1 gene:Sspon.03G0018730-2B transcript:Sspon.03G0018730-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRANEMLRADSRMMVVFGALTSKPEQLTFEESLRFVKKVKARDYMLYLSLFDILGRMELSQLDAYGELQLLFRNHPDLCVELEKFRPPVPIKQTTNNIWPWVIVCAVPLVAVSLIPALGNPVLWFVQQTIGEKIAV >Sspon.03G0004520-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:11497528:11501522:1 gene:Sspon.03G0004520-1A transcript:Sspon.03G0004520-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHLGQGWCTCGGGDRGRVHRQWRRVREDVQAVQVAREGCGRGTTGEERGSRAGRQPRKGGQVLADKHRGRWRRQIWRVSELENEQEGTSGLDGSGRGNGGKRKSRGFRESSQNEGLRVVQAHNSSQLGILIAGRNVQRGHFDSALLYTLHGEDDRRSS >Sspon.03G0022090-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:67309776:67317751:-1 gene:Sspon.03G0022090-1A transcript:Sspon.03G0022090-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSCVRARWSLLSNNLPPSEAHAKICIAASHVVLIFLLGSETLLFFRSTRSCRVHIEFPKIEVRYEDLTVDAYVHVGSRALPTIPNFICNMTEAFLRRLRIYRGGRVKLPILDNISGVIRPTRMTLLLGPPSSGKTTLLLALAGRLGPGLKMSGNITYNGHYLNEFVPQRTSAYVSQQDWHASEMTVRETLEFAGRCQGVGIKYDMLVELLRREKNAGIKPDEDLDVFMKALALEGKQTSLVAEYIMKILGLDICADTIVGDEMIKGISGGQKKRLTTGYCILLPFIWAIAICNVACSFQCASCANIVVLAGELLVGSARVLFMDEISTGLDSATTYQIIKYLRHSTHALDGTTIISLLQPAPETYELFDDVILIAEGQIVYQGPREYAVDFFAAMGFRCPERKNVADFLQEVRTSALFNSISTGISCGFTLLPLQVLSKKDQQQYWCHYDYPYQFVSVSKFAEAFKTFIIGKRLHQELTVPYNRHRNHPAALCTSNYGVKRLDFSSPTTSGSLLLVALITMTVFFRSTMHHDSVDDGIIYLGALYFAIVMILFNGFTEVSMLVTKLPVLYKHRDLHFYPPWAYTLPSWLLSIPTSLYESGMWVLVTYYVVGYDPQFTRFLGQFLLLFFLHQTSLALFRVMASLGRNMIVANTFGSFALLVVMILGGFIITKESIPVWWIWGYWVSPMMYAQNAISVNEFHGHSWNKQFGNQTITMGEAILTGYGLFKEKYWFWIGVGALFGYAIILNILFTMFLTLLNPIGNLQAVVSKDEVRHRDSRRKNDREQKGMVLPFQPLSMCFRNINYYVDVPVVRQSWIICNEELKTQGIAEDRLQLLVDVTGAFRPGILTALVGVSGAGKTTLMDVLAGRKTGGLIEGSITISGYPKNQETFTRISGYCEQNDVHSPCLTVIESLLYSACLRLPSHVDADTQRAFVEEVMELVELNPLSGALVGLPGVNGLSTEQRKRLTIAVELVANPSIVFMDEPTSGLDARSAAIVMRTVRNIVNTGRTIVCTIHQPSIDIFESFDELLFMKRGGQLIYAGPLGAKSRNLVDFFEAIPGVPKIRDGYNPAAWMLEVTSTQMEQILGVDFAEYYRQSKLFQQTREIVEALSRPSSESKELTFATKYAQPFCAQYIACLWKQNLSYWRNPQYTAVRFFYTVIISLMFGTMCWKFGSRRETQHDIFNAMGAMYAAVLFIGITNATSVQPVISIERFVSYRERAAGMYSALPFAFSLVTVEFPYILVQSLIYGTIFYSLGSFEWTAAKFLWYLFFMYFTLLYFTFYGMMTTAITPNHTVAPIIAAPFYTLWNLFCGFMIPRKVVLLGQPGVLDALRLLTSQFGDLDQPLLLADGVTSTTVVAFLEEHFGYRHDFLGAVAAMVASFCVLFAVVFALAIKYLNFQRR >Sspon.05G0010790-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:25276283:25280305:-1 gene:Sspon.05G0010790-2B transcript:Sspon.05G0010790-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAGGVEVEEGVMRVLLVDDSPVDRRVAQLLLSSNSCAGSFHVIAVDSAKKAMEFLGLKDGGGKEQAIDMVLTDYCMPEMTGYDLLKAVKMMNIVADSLSKLAVRNVSRRGDHGVGLMTFLLLARSRCLNAGAEDFIVKPLQSKDVQRLRNCSTAARPSSKGGAAPCEAAAVAKRNSNKPLVLPPSAVAGATSPSGRRANLAGVAMVRAALVERGALAVPAAPAQARRAGIRGAVAGRAPAQMVIGWPLLPLPVARLSSKQQSQQRYGAVQLCNPGHEQEADGANAEIFCCCCGCTKQSKGFMKEMMKTSGSFVLQRFGAFDFAKPGSRKGLKIETDEEMDMYVDPHPITNTSPRTRWLRPRHLQRPPSFPGTGTMAPARCAKDPR >Sspon.03G0036870-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:95071877:95081094:-1 gene:Sspon.03G0036870-1B transcript:Sspon.03G0036870-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNNTVAEPVIKWAPRPYAPCDVLHSAIPISVEPALTASLPSEPQAPEPRDLVVPGEAEHVTKTVDHVMDNLVAANQNQETIEENQNSGVFHQVDSSTSHRIIQVVPGAVRVIELEHRQVRATVFGQNQGILALLAAFNSPAVQSDDQRDRDQRITTTNFIVDGLRQLCGRIASSSFSVQIDISASDINSLSTTINVTSQNPILAPNANAGSLQITQINENYQTLSNISAEPAIHLARAGPITKVYFRRRAKTKSQVSKEQPTQERFFPASPEDFVLKDRQLPSDKNGQEECSNATRRRKCITPISTEMLRRSLRLKNALNGHKPEVIPDAKKDTKRGKAKNKKKSVGKPSLGDELDTSGPSKEHENDDLSTEKEMIPDLKRRKHGRLGNSLQKKKAERLKYLESRKAAKVGKQRDIKKVLPLHSILKKYTKHTSVKMVKEKHGSSKGPGVIQLCRKSVKRVKFSEMDDTKKQCSKRPPMESICELLSAISSSSSSSLEMSSEEEHVIAESSSSRMPRKAFTMAKEANENTNHDNQYELSSTGLSTCLLDLNQALPEPTDLNDPYVPSSDVSYLEHTEVGTWHVGQQLTDDGRTNDKESSFDLHEQEQQRHATELDTRSKGKSPCTLPNHFQDSVHLQQNWCSMTLHRGVSHLSTGGEPSSFQFRGSNLSHSEKRNFHSEMNVQQESSPAGQTLRLMGHDLTVSNTRVDYLSDAAQNHTNPTADHLTTKVVLELPRQGQPFLSLQTQSIPSVSASSANAVTHVSASSASAVAHVSASSASTAQAHFGYRTPHNVSHPLFTADVLSGDPSVYEDRCRDFTNLQSHRNSLLGYPPLSNHGSAAFVQNSPPWRYYSDHSTSTNSPSAPFTPTMMQHVATSSDYRASLPYQSYGVYSASSTVQPRSSASLTCPNQIVQGVDSRACANLPSRSPGTGTTRAAPDNSNTSSSGRFVLRSGPVKLSAGAKHILIPNENTEDDNSAPMYSCVSFGSSGANVSAAHQNKGAGSRRF >Sspon.07G0029650-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7C:73485192:73485615:1 gene:Sspon.07G0029650-2C transcript:Sspon.07G0029650-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATISRVKDDESGKPNRLLPISSANVINLPLDNIEKRGATLGVIAGDDTSDKDACWETSEVIYVKHETCGEIVKHTVTEEDGRSTTEDHKGIVVSDEEVSDDEGYTGNNVLARSRHRDGSIYRGMGDTWWKKQYCTADRNE >Sspon.04G0009690-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:28782985:28783605:-1 gene:Sspon.04G0009690-3D transcript:Sspon.04G0009690-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEDLSGLNVKELQSLENQLETSLRGVRAKKDHLLIDEIHELNRKASSFHQENRDLYNKINLIRQENAELHKKIYETEGSSGVNRESPTPFNFPVVETRDVPVELGLSTLPQQNNIEPSTAPKL >Sspon.01G0045010-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:81963665:81965839:1 gene:Sspon.01G0045010-2C transcript:Sspon.01G0045010-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSATSGFYAFVDPADETNELELFLDQPRSMHHYPPVSYTFNDSDHITSSTSYSSATDGPQFCDLSSNAAPDWYGTSVADSSNNSWINSDITINYLNKLLMDEDNDDKVKLHHGEHALRAMEEPFYRILGQNNPAYPESPSLCSCGHLNNLDDSINKSSGLSCSSCSVPIDSSNNHSNHNMQAFEAPWSLSDIVKETKRSTEGTRNMELGVKIDGLSIAEKRSRDNQSLQVNVADTSTHASSEVHSGYYSRTEDSYLLEARSSKQVAFSFNGPTRDEMFDRVLLFSEHKPTDEAIVLQEMMTNKSTGHSQNEQGRTSARRKTRGKKLQKKEVVDLRTILIHCAQAVSVNNHTLANDMLNIIRQHSSITGDDTQRLAFCLVDCLEARLAGTGSQLYRNLITKIFNPVGILKVFQLTLAVHPLPRASFYFANRTILDVSKGKSKVHIIDFGIYFGFQWPSLFEQLTKREDGPPKVRITGIELPKQGFRPNQMNKQNTGQRLADYASMFNVPFEYQAISSKWETICIEDLNIEEDDVLIVNCIYRMENVGDETVSINSARNRVLNTIRMMKPKVFVHGIVNGSYGTPFFLTRFKEVMYHYSALFDIFDKTVPQDNETRMLIERGIFQCQLLNVIACEGSERIERPENYKKWKSRNLNAGLEQLPLNPDIVKVIREMVGKYHKDYVINEDDHWLLLGWKGRILNAISTWKPSESYDGDKTYIRSFLVQAE >Sspon.02G0016900-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:48113682:48117240:-1 gene:Sspon.02G0016900-2B transcript:Sspon.02G0016900-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding EMAREMEKPRKATSSPNQRSPRRHEQALSYNRLHTEERAIRRAGYNYQVASKINTQEIIRRFEEKLAQLMEEREIKLMRKEQVDEASDGAKGAKFSEAEMLHRWRVPSPFLLQWRQ >Sspon.04G0010640-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:28290604:28291959:-1 gene:Sspon.04G0010640-2B transcript:Sspon.04G0010640-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRREMNGRLSAEYQGLEVKVPSLFRCPISLDVMRSPVSLCTGVTYERASIQRWLDSGNTTCPATMIPLPSTDLVPNLTLRRLIALWASTAAPSSPSSSSSSSPPTASAVGPTPAAAAAELLRRVADPGADPCPPLRKLAAFLSDDDVDEFDKNALARASGAAETVASVLLRRSAADMEAAEAAVRVLAAIAASDCIEEESKRRVAAALAADAPSAAAALARVLRSGSSLEARVDAARLVESMLRNAGARAAAVESEELVGELIRLMGPADDEKEKKGGGGGLALDRQAVAAGLSCLAAIAATRRGARAEMVRLGAVPAAVRVLEADAGSPSQALRVLEAAVGCAEGRAAVCESAGTAVPAVVSKMMKGGMGGAEAAVSVLWAVCHRYRDRRAVEAAAACEGGLTKLLLLMQSGCSAAARQMASELLKIFRVNGKSCVAGYDSKTSHIMPF >Sspon.01G0033740-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:113465430:113465897:1 gene:Sspon.01G0033740-1A transcript:Sspon.01G0033740-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSWLHSSDQRRSWKRSWAARALSSFSLPPPGLLAFFAIVVFFLAVSGYVDYKAIERRAEIGARVFAAPLALAAGFLLFAAFRWRRRYWTTRRMRQRAAHHYPWSSSSHDASSSSSAAPWGVAVAVAILLLMMTFQESVHSMWFRPLWDSDYYDP >Sspon.02G0031120-3D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8D:60221683:60221961:1 gene:Sspon.02G0031120-3D transcript:Sspon.02G0031120-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLERGGSKTPPPSARAAAAASTCCDKRPQLRDRLVALQPVVLRAAATLATAAAAAVMALNAQSYTAVVAIVGTRPFTQTFTAKFRDTPAFV >Sspon.01G0047220-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:101522817:101532790:-1 gene:Sspon.01G0047220-1B transcript:Sspon.01G0047220-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPFCCAPLVPVSPAASAASAGAASSAVAGAPAVMPPPQPPPVPPPPPRSNSAPIGERRARAAGVSGGGGGGSSPPEGVKLNEIVGGGISGILYKWVNYGRGWRPRWFALHDGVLSYYKIHGPDRIVLSRETERGAKVIGEDSLRRLNRTSASSPSHSNGHHQPRNPIGEIHLKVSTVRESRSDDKRFSIFSGTKTLHLRAETREDRAAWLEALRATKDMFPRMSSSEMVGPGDTAAAVAVSTERLRQRLQQEGVSEAAIADSERIVRAEFEALHKQLVLSKQKSALLLETLRQLETEKVDLENTLVDESQRQSKEYGSASKPRHEKYSEGSASESDDYNEPQDPAEETDDDENLYFDTRDFLSSSSFKSSGSDYQRSDAGSDDEDDYPMDGIDPSMKSIGISYPYVRRRKKLPDPVEKEKGVSLWSMIKDNIGKDLTKVCLPVYFNEPLSSLQKCFEDLEYSYLIDRASEWGKRGNSLMRILSVAAFAVSGYASTDGRSCKPFNPLLGETYEADYPDKGLRFFSEKVSHHPMVVACHCEGTGWRFWADSNLKSKFWGRSIQLDPVTTSIYNLILGKLYCDHYGTMRIQGNREYSCKLKFKEQSIIDRNPHQVQGVVQDRNGRTVATLFGKWDESMHYVMGDCFGKGKGTEQFSEAHLLWKRSKPPKFPTRYNLTRFAITLNELTPGLKEKLPPTDSRLRPDQRCLENGEYERANAEKLRLEQRQRQARKMQESGWKPRWFAKDKDTDTYRYLGGYWESREKSSWEGCPDIFGQVPNDLMITD >Sspon.02G0022350-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:68438378:68439126:1 gene:Sspon.02G0022350-2D transcript:Sspon.02G0022350-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFTGPIPKSLETCRSLEILDLSSNKMIGDISSFGSYHDLSKQGWTGTTFSENMMIGSLPPELSNLEKFEILTVHANNLIGSIPIELSNLANLYLLNLSQNKLLGNIPPEFGRMTNLQYLDISANKLSGSIPQELGSCTKPISLSFNSNNLRGPLPTTIGSLGGIQMLLDVSNNKITGKLPAELGNLVIGNIPSPIGSMASLSTLDVSYNDLEGPLPTG >Sspon.07G0037730-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:64258056:64260557:-1 gene:Sspon.07G0037730-1P transcript:Sspon.07G0037730-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTLAVAAALQLITLGLLLPVPPAGAARGDILTRDGAIAVEDHATDFLVSPDGTFACGFYNVSSTVFTVSVWFARAADRTVVWTAAPGRPVHSRGARLALDRRGGALVLTDYDGAVVWNSTAAAGAQTQASRARLHDTGNLVLEDADGNTLWQSFDSPTDTLLPAQRFTAARHLVSRGGRGRLLAAGYYSLGFSDYAMLSLFYDNHNVSSIYWPNPYNNYVANKRKIYNFTRDAALDALGRFLSSDDASFVAADLGAAAGVTRRLTLDADGNLRLYSLDVATGAWAVSWAAFGNPCTIHGVCGANAVCLYAPAPACVCAPGHERADPGDWTRGCRPVFRRDCSRPTKLVALPHTDFWGYDLNDGEIIPFHACARRCRGTCACVAFQHKQNMECYLKSVLFNGRTFPGLPGTVYLKVPADFHVPELHVHQWQSHDGGLAIQEDIARCDDDGASAGTEFFLNVSTYSSTSDAGKPVWPYLYGFLSALLVVEAVIIGLGCWLFSRRGLFRPSRVWAIEEGYKLITSNFQRYTYSEIKRATGDFTAVIGSGGSGVVYKGILEDDRVVAVKVLKNVSQSEQEFQSELSVIGRIYHMNLVRMWGCCSQGKHRILVSEYIENGSLAEMLFHREGEASESDAVLGWDQRFRIALGVAKGLAYLHTECLEWIIHCDMKPENILLDKELEPKITDFGLAKLLNRDGSHADLSQIRGTRGYMAPEWVSSLPITEKVDVYSYGVVLLELVKGVRISEWVIHGVKLADTDTRMVVKAAQEKMEIYGHESCVEDLIDHRLNGEFNHVQAMAMIKVAVSCLEEDRGKRPNMSSVLHALMSVEDETTR >Sspon.02G0022210-4D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2D:67814017:67818092:1 gene:Sspon.02G0022210-4D transcript:Sspon.02G0022210-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycerol-3-phosphate dehydrogenase [NAD(+)] 2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G40690) UniProtKB/Swiss-Prot;Acc:Q949Q0] MAAAAFFPSIPSPRPRLTAAVVRRPPPIFTGATDAVPPPEDEDSSDDDDADTAGAAQRRSGRKDRRRAVRIAWEKLVRWSRSWRRRNRSDVLETTRKVVVLGGGSFGTAMAAHVAAKKADLEVAMLLRDDLVCRSINNTHINCKYLSEHRLPQNIVATTSAADALAGADFCFHAVPVQFSSSFLESISTHVDPKLPFISLSKGLELNTLRTMSKIIPRALGNRCQPFVVLSGPSFAVELMNKLPTGVEIAGALKNVLAIAAGIVEGMSLGNNCMAALVAQGCSEIRWLATKMGAKPTTLSGLSGSGDIMLTCFVNLSRNRTVGLRLGSGEKLDKIMSSMNQVAEGVSTAGAVIALAQKYKVKMPVLTAVARIIDNELTPNKAVMELMNLPQVEEV >Sspon.07G0021810-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:4610130:4610624:1 gene:Sspon.07G0021810-1B transcript:Sspon.07G0021810-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTDEGKSPGGAAIAEAVMSRFSLDVSAGCGGRHSTLLDEYERLAFEAQLNRAIVLRRCYSEPSPVRLSAEHQQRPPAGGGDARAPPPPRGAPPDLQGEGAARRDGGGRFWRLHEVLARWLEALRPVFRWLRSAWESRRRKQPADAARRPPPTVPRVQLMDYLR >Sspon.01G0001540-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:1122722:1127044:-1 gene:Sspon.01G0001540-4D transcript:Sspon.01G0001540-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASLKLASPKPLASAPSALLGGRRAQARPLPARRLPPPARVAVQPTAAPRIGSFDKVLEALIGGTDFSEEDAETTLRLLLDEKDEARISAFLVLLRAKGETYEEIVGLAKAMLSCCVRVDGLDDAVDIVGTGGDGADTVNISTGSTILAAAAGAKVAKQGSRASSSACGSADVLEALGVNIELGPEGIKRCVNEVGVGFMMSANYHPAMKIVRPVRKKLKIKTVFNILGPLLNPARVPHAVIGVYHENIVTKMAKAAQKFGMKRALVVHSKGLDEISPLGPGYILDVTPGKIEKMLFDPLDFGIPRCTLEDLKGGDPAFNAKVLQDVLAGQRGSIADALVLNAAASLLVSGKVKNLHDGVALAQEIQRSGKAINTLESWIKVSNSSRD >Sspon.03G0018470-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:73765593:73766758:1 gene:Sspon.03G0018470-1T transcript:Sspon.03G0018470-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFFGAAPPPPGLASFHHEEQQHHHDAAEEVYHVNDVDEGGAGHGHGVGVGGAGQGKLCARGHWRPAEDAKLKELVAQYGPQNWNLIAERLDGRSGKSCRLRWFNQLDPRINRRAFSEEEEERLLVAHRAYGNKWALIARLFPGRTDNAVKNHWHVLMARKQREHTGALRRRKPSSSSSSSPGPAPTPTPPHFAPVVVLHHHHYGGSPPVPLHAISGAQYTAAAADTRAHSGGESDETASTCTTDLSLGSAAPCFYQSGYDVVPRAAAFAPSARSAFSAPSATARHGEARPDDKVSLPFFDFLG >Sspon.04G0006120-3P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7C:10921990:10922301:-1 gene:Sspon.04G0006120-3P transcript:Sspon.04G0006120-3P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDAVTYTEHARRKTVTAMDVVYALKRQGRTLYGFGG >Sspon.04G0003820-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:47595113:47599019:-1 gene:Sspon.04G0003820-1P transcript:Sspon.04G0003820-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPAPREPMKQRVNRCLLRLSDRDTEAMAAAELDAIARDLDAHELPVFVAAVSDSRPTDRTPLRRHSLRLLALVAGEHPRGAVAPLVPRLVAAALRRVRDPDSSVRAALVDAARAAAGAASSPPAALAPLADALLHEQDQCAQLAAALAAAAAVEASEPTDDLAAYLRGLLPRLYKLLRSAAFKAKPALISLIGTASAASGGGAASAAVPCLRDALAGDDWAARKAAAEALALLALEHGDDLVSHKSSCIAVFEAKRFDKVKIVRESMNRMIEAWKEIPDLDEDVCSFDAPPSSQSRSSLTETASDGRYPADSLGSTSTTPSITRRNSWPTNRQPAPDASHNAINRKGSPPSIVSKKNLPPSRRNTDQSKKFEDRVDVTVAPDATPIKMVTEEKLLKEASVRERLEARRVLFQKTGEKGYKKLAGPKSGSRVVPYSGDGNLEETAETEDVPEEFQSAHKDEDLSKIRMQLVQIENQQASLLNLLQKFMGSSQNGIRSLETRVNGLEMVLDEISRDLAASSGRIPNSEPDTNACCILSPKFWRRHDGGRYTSRYSVSDAPNYSEESKSTYKWDRQKFGVHGGFVTNPLAEPNDSSVRSIAVAQEGRRRDSAQFRSRVQTLIYKPKL >Sspon.03G0044490-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:61064230:61065444:-1 gene:Sspon.03G0044490-2D transcript:Sspon.03G0044490-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGARPSARLLLLSLGLVLLYFSSGSSVGVAAAQKTWCVAKPSASNDILSLNLNYACSQVSCAVIQKGGPCYYPDNLVSRAAVAMNLYYAANGRHPWNCYFNNSALVVQSDPSYGSCTYY >Sspon.05G0030320-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:89158065:89167922:1 gene:Sspon.05G0030320-1B transcript:Sspon.05G0030320-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LQQPAGGTIAMASALRLSLLAPRAVSISASASASAGRAARHLLSRRLALRLPPPPPRPAALSAASAVRPREAARVQPLRAASFCGGPSPAAGPTGGGAKDWRAFLAWYLVALDKNPIATKAVTSAVLTLAGDLICQFIFAPIFIGVFMSLLVTLEGKPSIVVPKLKQPAGGTMAMASALRLSLLAPRAVSISASASAGRAARHLLSRRLALRLPPPPPRPAALSAASAVRPREAARVQAASFCSGPAPAAGPTGGGAKDWRAFLAWYLVALDKNPIATKAVTSAVLTLAGDLICQFIFAPIFIGVFMSLLVTLEGKPSIVVPKLKQ >Sspon.01G0034090-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:969359:971428:-1 gene:Sspon.01G0034090-2C transcript:Sspon.01G0034090-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEVEEHNAEAWPTCCVCMEPWTPDGAHRISCIPCGHVYGRSCLEKWLHRRGNTSAKELEARLAVTDKKIEQLSVDVLSNEENQELKARLAEANNKLERLLVEIRSEVAEEHAKLRVELKSRIAEADANLRAQVESQFESIQQSCWEEAAQDAAAVVQAEFLSIKEQMKKMADEQNATPADLLRFMEQNGAELWGAIRSRPPAATTTMED >Sspon.04G0025320-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:29451630:29459801:-1 gene:Sspon.04G0025320-2C transcript:Sspon.04G0025320-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDEFISLEAPCEADAKDEGGDVQVKAPDVNGAEPLASELQPEGEAGVVGGNPKPSAGNLDLEEGQVEDMDLTDDDVVVGKDQLLDASIQPQTSVAAVQTLIGFEVKLDKGDGTENAPIYESNSISVEESPSRGVKRARVESKEPSIRVIYSDLTRESKRKLMQLMHQWSEWQARRQHHLKVLFHTIMYASNEAVEGTLESGEETYYPALHVGSEKSCAVSFWVDNQARESDTVDDDSVPLYDREFTLGSTPLGDSSNTESRADKDDSRCFNCGSYSHALKDCPKPRNNVAISNARKQHNLKRNQSNVNRVQNRYYQKTPGKFDDLKAGVLGPETRQCLGIGENDPPPWLHRMRELGYPPGYLDVVDDEDKPSGITIFGDGEVKLEYEEGELSEQAEASPPKKKMTVEFPGINAPIPENGDQWLWGSAPPQSSGRHHSLDSRDYRDRGPPGADHYSSRYHSHDYGPLSPSLGRSHSDRGWRSPPRYDNLPVPADDGASTPHSYPSRQYSGHYSSSSEMSSRHSRDRDRDRHDSRHYHHRR >Sspon.05G0036970-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:7140531:7144586:-1 gene:Sspon.05G0036970-1D transcript:Sspon.05G0036970-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAASGLALPRVAAPCPARTRGGLRPAFLRFAPPVALPPRQLRCCASTVDDGVVSAAASKPRLPRVVGMGSKLVGCGSAIPTLSVSNDDLSKIVETSDEWIAARTGIRNRRVLSGDETLRGLSRQAAQRALEMAEVKAEDVDLVLLCTSTPDDLFGGAAQVLAEVGCTNAFGFDITAACSGFIVGLITATRFIKGGGLQNVLVVGADALSKYVDWTDRGTCILFGDAAGAVLVQACSADEDGLLGFCVQSDGNGQKCDFLSRMLHLNAITANDESILSNTNGVPGFPPKKATYSCIQMNGKEVFRFAVRCVPQSIEKALQEAGLSASSIDWLLLHQANQRIIDAAAVD >Sspon.04G0008170-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:19952982:19958176:1 gene:Sspon.04G0008170-2B transcript:Sspon.04G0008170-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDGHPPEPGKKEEVASTAAGPGANDLDDPQFIDGENRNEDSKARPPQEVSMNDSILDEHSKKIKLEDVSCPLCTEMLYQPAVLNCGHEYELRGQKVQFKKDQCNREASSSGRKGSTRALHDEDLLNVHIGVGCDSCGVLIDVQGMEEGNDEGPGEAAIEEPVGAPGAMLDIVIHDQEMEGNEEDQAL >Sspon.03G0006720-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:23344408:23351338:-1 gene:Sspon.03G0006720-2P transcript:Sspon.03G0006720-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGAVVGAAVVVGAAAAAAVGVAVVSLSRRRRRRREAEDERKRKAAAIIEEVEQRFSTPTALLRGIADAMVEEMERGLRAKPHAPLKMLISYVDNLPTGDEQGLFYALDLGGTNFRVIRVQLGGRDKRVVKQQYEEVSIPPHLMVGTSTELFDFIAAELEKFVRTEGEDFHLPNGKQRELGFTFSFPVHQTSISSGTLIKWTKGFSINGTVGEDVVAELSRAMERQGLDMKVAALVNDTVGTLAGGRYADNDVVTAVILGTGTNAAYVEHANAIPKWTGLLPRSGNMVINMEWGNFRSDKLPRSEYDKSLDFESLNPGEQIYEKMISGMYLGEIVRRILLKLAHDASLFGDVVPPKLEQLFILRTPDMSAMHHDTSHDLKHLGAKLKDILGVPDTSLEARYITLHVCDLVAERGARLAAAGIYGILKKLGKDKLLSDFSQQRTVVAIDGGLYEHYKKFSACLEATLTDLLGEEVASSVVVKLANDGSGIGAALLAASHSQYAEAA >Sspon.04G0019120-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:68110768:68123563:1 gene:Sspon.04G0019120-1A transcript:Sspon.04G0019120-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding NASRRELERQEYPVARHPEFRYKTEFQSHEPEFDYLKSLEIEEKINKIKWCQTANNALFLLSTNDKTIKYWKVTGQEFNHIARCRRVYAHAHDYHINSISNNSDGETFISADDLRINLWNLEISNQSFNIVDVKPANMEDLTEIIASVSDIKFARDGRHILSRDYMTLKLWDLNMDSGPVATFQVHEYLRPKLCDLYENDSIFDKFECCLSGDGLRVATGSYSSAQLPGIPSTEPSPAVQADERLHGTRRRIGGFELRIWQRLAAAALALAAATLLAQSCWSRRKRQTAEQSSTRDAGYLVRHRCAAASATALDACAGAGDDRPLPSVDDAADEATGDASLKTTRSSSSSEPPRRSAFRWLRIMKGRMTASWLGPFLPFCEKREATFRPWPSPSAPPPPSITYTTFSSESSSCCTRLDEAQIPMSTEATEAVVVAGRGSRRAQAQLWRATNRQSQG >Sspon.04G0016040-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:58998907:59002073:-1 gene:Sspon.04G0016040-1A transcript:Sspon.04G0016040-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:BUBR1 [Source:Projected from Arabidopsis thaliana (AT2G33560) UniProtKB/TrEMBL;Acc:A0A178VU51] MAAAATAKATEEMVAALDEETLALMGISSAATAAPVAVGAEWETFKENVRPLKRGRDVSKLNHALKAHADPVQRAALLEARKKMIEAIDEYQGEDPLQPWLDRKIDNFFSASSARSCIKWVQESFPTGGECSGLVVLYEQCVRTLWHDERYKDDLRFLKVWLEYAGNCADAEAIYRFLEANQIGQSHAIYYMAYASLMESKNKLRKANEIFDLGIARKAKPLEKLEAVYRTFLRRSTKKREQEPDNTADDDLPKRSFGTNLKRDENRNQQAGNSHLGRQRALQRIDANRPLSVYKEERPLPNQGLDGVRNKENNTSWRTLPTQADRNKENSLMPTKWTSHKIPQKLGARGAVQSTRTSSIEVFVEEDTAQEPARLVPKSPKPSVLKLRQATSKSLKKETELLKENPLRNFPLSSLR >Sspon.03G0002160-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:14165947:14170926:-1 gene:Sspon.03G0002160-1P transcript:Sspon.03G0002160-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:UGT80B1 [Source:Projected from Arabidopsis thaliana (AT1G43620) UniProtKB/TrEMBL;Acc:A0A384L9N2] MGINGEEWEETIVGGGVRRRKGGAEAAGLGASSSFAEGMGEFVLRSMDARFSGSVDDDELFVSSRQPAFGHSKSSTAASGTFKGQEDVFVRSYSDRLLKCDLTLDMLSENEKIKITERLVKIQNDGTVEVDVTRSALVASELSEIDAFGYVPRDIEKVTPGITKSVPKLKIAILVVGTRGDVQPFIALAKRLQEFGHYVRLATHVNFRTFVKSAGIDFYPLGGDPRVMAQYMTKNKGFFLAAPAEIAVQRKQLKEIIFSLLPACTEPDLDTGTPFRAQAIIANPPAYGGSYAYCRSSWSTSAYLLHFSMDVSLPTNEFPHPLARMPQSATYRLSYLILDLIVWWGSRGFINDFRKKLNLPPIAYFSTYHGSISHLPTGYMWSPQLMPKPK >Sspon.04G0011550-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:35697227:35697628:1 gene:Sspon.04G0011550-1A transcript:Sspon.04G0011550-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AVRGGGAGVVHAGGGGGDDDDGGERGGARGAAGVGERGGGVQREQLLHVPRREAALLRHGRAPDGARAGPGPTGTRAGARPRPPPRLRPRRRARRPRRLHRREAGRRHGPGYGRAHQRLPRPAPQGGRRALALS >Sspon.08G0014610-3D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8D:56710764:56711752:-1 gene:Sspon.08G0014610-3D transcript:Sspon.08G0014610-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AQELGLPLLPPSKQDGADFHRGASMAIVGATALDFEFLKSIGLGYPVWNNGAMNVQIQWFRDLLPSICGGAAPEAQNCKDYLARSLFVFGPFGGNDYNAMVFFGLTVDQARNYTPNIVDTVAGGVEQLIQLGAVDIVVPGSLPVGCFAVYLTFLPSDDPADYDEHGCLKALNELSIYQNSLLQGRLAGLRARYPSARIVYADYYTHIDRLVRSPARFGFSTGAVPACCGAGGGRYNFELDARCGMKGATACREPSRHESWDGVHLTEAVNRLVAEGWLRGPYCHPPIVTLDQ >Sspon.06G0031370-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:83899060:83902748:-1 gene:Sspon.06G0031370-2D transcript:Sspon.06G0031370-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AHVQRDTSDCGERSAAGADDRGHRGRRGDRACCQQVALQPDNPLVCILLRIINAALFSEYFIKIAGHKFTVVAADANYVTPYTTDVIVIAPGETVDALVVADAAPGRYYIAAQPIQAPPPDTQTPEFATRGTVQYQHSGGATNSSRDNIVAVAPEMPHQHDTIKSFYFHSNLTGLRHRQRARVPAHADEQLYVTLGLGSICRHGRKSCKRGDGSESIQVIANMNNVSFHDATVTPILEAHYYRRGGNGEVGTAGLPDHPPSAFNYTDPALIPSGPEEMRLEPTSRATVVRRFRHGAVVDIVFQSTAMLQGDSNPMHLHGHDMFVLAQGIGNYDAAKDEAKYNLVNPARKNTVLVPNLGWVAIRFVADNPG >Sspon.02G0007090-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:23690671:23701168:1 gene:Sspon.02G0007090-2P transcript:Sspon.02G0007090-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSIRHLFLFVLLASLRCGAAAAGYGGGAEGFCSAEPSNECSGGQPLYWKVTHPTLAPTHLQDLPGFTRSVFKRDHALITPESHVFGPLPDWINTLGAYLISPAIGAHFTMYLANMQDGSKSALPPKDVERLVFVLQGSISLTVGTGTTHSLLVDSYAYLPANTKHSMISDEPTTVVIFERRYSAIEDSHPDLIVGSTDKQPLLETPGEVFQLRKLLPTSLSYDFNIHIMDFQPGEYLNVKLRTTPPWPGRALLFLPYASAATTPPLATRATFTFVKMKGIPCKQGIPFGWHRLFLSVEKISSKLW >Sspon.03G0032870-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3B:42799357:42802190:-1 gene:Sspon.03G0032870-1B transcript:Sspon.03G0032870-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLPAAVLLLVLQLASAAAQAGGRPPASCPTTCGNVSVPYPFGIGDGCSLPLPGFNLTCDQTQQHPPRLLLGDGGTLQVVEISLANSTVRAIDTAGAVNITYHGEPEGNGTWSGLGSGSGNTYVLSEERNQFVVTGCNVQGTLLGDSRNVIIGCSSFCSIKDIWINPVVNTSGGDGTVACSGVGCCQTPIPIGRPNYTVEFKYLDLEYMGRLPTALRIAERGWFDGVAAQMLNESATDAQVQQVPAPVVLEWVVASTPVAPPGSTAAEDTGNWSCPVDAARSACRSSHSTCHNVTGNYRNGYVCRCQDGYDGNPYLAGDGGCQDIDECALPEKCSGVCTNRDGGYECRCPRGARGNPCTADGCVKTSLGLSVGLGVGSGAGLLVLALGSAFVARGIKNRRARMLKQKFFKQNRGHLLQQLVSQNTDIAERMIIPLVELEKATNNFDNARKLGGGGHGTVYKGILSDLHVVAIKKSKVAIQREIDEFINEVAILSQVNHRNVVRLFGCCLETEVPLLVYEFISNGTLYDHLHVEGPTSLGWDHRLRIATETARALAYLHMAVSFPIVHRDVKSHNILLDGSLTAKVSDFGASRCIPPDQTGVTTAIQGTLGYLDPMYYYTGRLTEKSDVYSFGVVLIELLTRKKPFSYRSPENDGLIAQFSSLVTHGNVSCVLDPQVMEEGGKEVNEVAALAAICVKLKAEERPTMRQVELTLESIQESLQQVKLHSVGPSKSKENVILPIVEGRSTEESSRQYSLEEEFLLSARYPR >Sspon.02G0025590-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2A:88680460:88681555:-1 gene:Sspon.02G0025590-1A transcript:Sspon.02G0025590-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVALAARVSPTLLDEIVEEILIRVPPDHPACLFRAALVCKHWARLLADRSFRRQYRERHGGLPPLLGFLANIFTTSGSARFVPTLAFSPARARHRNYRAHDAHYGRVLLNWITWGGHGVAQAQDDALAVWDPVTDELRPLPLLLRLQQVRSWNAAVLCAAPACDHLGCHPGNFLVAFVSIDAKEMFAHVYSSEAEAWGAATSAQLPGDHLDDALPSALARNALYFMFQMGYRMLKYDLATRKLSLVSLPKRPYAWRLMTVEDGGLGFAEVDSQFTLKLWSMETGPEAEGHAGKWAVSRAIDLSTQLPFPALGAPPFVVAFADIVGVIFLKTTGGLYTFDLKTGQSIRVMTDYFYDIIPYVSFYTP >Sspon.01G0021900-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:83701378:83704813:1 gene:Sspon.01G0021900-2B transcript:Sspon.01G0021900-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding TMRRRRCPLLAAVLLLLLHAAGGTDTDTVTPAAPLEGNRTLVSAGRAKYVLGFFAPDPDDTAGRAYLGIWFNGIPERTVVWVANRGSPVLGGVRDAAQLRVLANGSLAIVVDDDTDTDQHGVVVWATPPPPPGTTTASSSGGGNATAYAQLLENGNLVLRVPGAGVVWQSFDYPTDTLLPGMKLGIDFRTGLDRRMTSWRAAGDPSPGEYSFRLDPRGSPELFLYRRSARTYGSGPWNGYQFTGVPNLKSNSLLTFRFVSNADEASYSYGVVDSAAAPLTRFVLNSSGQIQRLMWIDMTRSWSVFWSYPLDECDGYRACGPYGVCSVERNPVCGCVPGFDPRFPAEWALRDGSGGCRRRTELNCTGDGFATLTNMKLPESANATVDMSLGLDRNCACRAYASANVSSPGATGCFMWTGDLLDMRQFGNGGQNLFVRLARLVEIIVPSVAAPLLLLAGLYIYAMRMKKRRKEKEAIPLALLRNAQRQSTPFGRRNQIAASTDVQDDSLHNGQGSSNQDCDLPSFDVETIQGATANFSVHNKIGQGGFGPVYKLDNGQDIAVKRLSRRSTQGLREFKNEVKLIAKLQHRNLVRLLGCCIDGSERMLVYEYMHNRSLNTFLFSKSWEKRFNIINGIARGILYLHQDSVLRIIHRDLKASNILLDKDMNPKISDFGVARIFGTDQTAAYTKKVVGT >Sspon.01G0008300-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:61965022:61972851:-1 gene:Sspon.01G0008300-2B transcript:Sspon.01G0008300-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein high chlorophyll fluorescent 107 [Source:Projected from Arabidopsis thaliana (AT3G17040) UniProtKB/Swiss-Prot;Acc:Q8RWG2] MAMRLLSPSTPPPLFPSPGPKAPASISASSSSNFSVRLRRARAASAAAGAAAAGGSDRDGGRFEGEAMSGAFDRGLAEIARKVPLFEPAADGELAAAAGERPLPINLELWLYRVKVHTRKFEFPEAGKLLDKCISFWPEDGRPYVALGKLYSKQSRYDKARAVYERGCQATQGENPYIWQCWAVLESKSGNIRRARELFDASTVADAKHIAAWHGWAILEIKQGNIKKARNLLGKALKYCGGNEYIYQTLALLEAKAERFEQARTLFEQATQSNSKSCASWLAWAQVEMRAGNNTMARKLFEKAVQASPKNRFSWHIWALFEANEGSIDKARKLLKIGHAVNPRDPVILQSLALLEYNFSSANVARVLFRKASQIDPRHQPVWIAWGWMEWKEGNARTARALYQRALSVNSTNECAARCLQAWGVLEQRAGNYTAARRLLRSSLSINSQSEVTWMTWAALEEEQGDPVRAEEIRNLYFQQRTEVVDDASWVMGFLDIIDPALDSVKKLLNLDQPSGPTRQDDVKSTARSSAAGESSETSAAVGSDSSGLKSHDAGNNGSKATGTPTSDFDLDGFIKKRLALDPAELDAVLEGSDPRGVVSQRRKQRLPRKPLPLLPAPPLINVKAALKGRKLECHFGGANNDNKDVTKMAIMVPATRLRRAGVMTWVG >Sspon.05G0011780-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:36227995:36228850:1 gene:Sspon.05G0011780-1T transcript:Sspon.05G0011780-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQRGQAARTMDVASGLLDHCRPCSFSDDRQELGDDSSSAIALVEEPPVAGSERFSFRSRPLELFLLLRLILWRVTRRWMQADGDESDVKCSGRRGL >Sspon.02G0001550-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:5218465:5219842:-1 gene:Sspon.02G0001550-1A transcript:Sspon.02G0001550-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MESAAVAIVTVPFPAQGHLNQLLHLSLLLAARGLPVHFAAPEPHLREARARLHGWDGTLPAAVRFRALDVPAHESPAPDPSSPFPAHMQPLFEAYCAGAGGPLAALLSELAATHRRVVVLHDRMAAFAAAEAARLPNAEALGVHCLAASYNVGWADREHALLRRHGLVFHPPDACATPEFVALARRMGQERCGWSSTPAARSRATSSTRSRGSRPPTAAGCSPSGLSAREQREHECLDWLDAQPPSSVLYVSFGTTSSLRLEQVRELAAALRDSGARFVWVLRDAGRADLRGGDGDAAAETLRAAAASELGPDAPRAGVVVTGWAPQLEILAHGATAAFMSHCGWNSTVESLSHGKPILAWPMHSDQPWDAELVCKYLRAGVLVRPWERRHDVTPAAAIRDAIDRVMDSDEGAEIRRQAAALGEAVRGAVAEGGSSRRDLDELVAYVTR >Sspon.05G0033290-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5C:28842917:28843507:1 gene:Sspon.05G0033290-1C transcript:Sspon.05G0033290-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVPAASLELGGHGLARACRCAAVAASATGVMAGRARAVLQPRRSSQARDHSTIIGGSATPAGSAADLPKARPLATCAGRKMGREQQQVIGLGKVGSALELRVGESLTDERKMYGEGEKEKRMKGYVV >Sspon.02G0031500-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:115140167:115144221:-1 gene:Sspon.02G0031500-1A transcript:Sspon.02G0031500-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQVESAAASEGQPPPPQDAWVVEFRSLLPRWESLRDSSKVIIPISISRVNQFDAARLDVEMSAMLKEQLLKVFSLVKSGLLFQYEPELDAFLEFLIWRFSIWVDKPTPGNALMNLRYRDERAAPITGKEEQAWKDLGFLFLKRSFIVLILLVANIYGHDYSLFLLSVDGRPLARRTWGLVQNAEVLYRAASLFNLLLFLHGGRYKTIVERILKARLVYGSPNMNRAVSFEYMNRQLVWNEFSEMLLLLLPLLNSSLVKKFLLPFSKDKSAGSSGDEADCPICRSSRIAITAYAHVVPLQAPLDAHAVTRWWLQSKDKDQ >Sspon.03G0020150-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3C:81423982:81424563:1 gene:Sspon.03G0020150-2C transcript:Sspon.03G0020150-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPAVVALLLPLSTLLGLGLTTAASDTSCAPATCGDLNITYPFSLVGVHPLECGYPTFALTCDAAAGRAYLSRAFRVNLYRVLNISYDSRSMLVAVETAFSGDGACKIPDFNVSSGLGLFPVNTSATNWGLTFVYNCKIPHNEVLTGPCAKHAVGAYISERPGDVENSRPSWVQANCSSASVPVRGFQDGMNLT >Sspon.03G0016380-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:82130756:82133097:1 gene:Sspon.03G0016380-3C transcript:Sspon.03G0016380-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKREISSTLRNLKFMQRGAAAQKVEEKAKVEVQEEVVTAPSGGFGSSAQVVRKCIVIMEGNPHPGAIKGRMSFQNFNPSIDKLNEEARGDRQTESASPSNHDQDSAKSSRGDEVPASRSRDFDIPSSESISLNELKRKEPELDMETPPSYKEPKTNIDGRSSSQSNGRGSNKSNKREKLDFNHLRPKK >Sspon.03G0036020-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:87219583:87222396:1 gene:Sspon.03G0036020-1B transcript:Sspon.03G0036020-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRQRTGSRHGSPDASSSRYAPIAPPRRDVGLALAASFSCAHAVRVPAALQDGGTEAADHQGQAPAGSGAVPLDHAAANKQVHEHVSAEEVMRLSSGSGGGSGGGSGSRSSSGSSGGSGSRSGSGSSSGGGSRSGSSGSKGGGSRSGAGSRGGGSRSGSGSRGTTNYDSVLDLIDYDNSSAGARGGAWKTGVAATVLASPGSCRQLNYKSENSKSQPIGSADLKKWRLHTGAGVLDCSLLESGGGKWRGTEAEATASGCESAGLRRLASG >Sspon.06G0025400-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:67407339:67410557:-1 gene:Sspon.06G0025400-1B transcript:Sspon.06G0025400-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWLARSIANSLLASEDEPEASDPGPSASPGSTSPPRGVREDLSELTGALANRFQGLASFLAPPAPGGGGALRRPDPAEIAGRFRAGLARLPGRQAVADLAKIASSLLPPEGDADWAEAAAGVTEEVVAFARDAASRPELADFDMTDAQQDHALAVESLAPELADLRIELCPSHMSEGCFWKIYFVLLHPKLRKDDAEILSTPQFLIALAQILEARDKLSHDLQYRTKLQDSYGDTVPVPFSNEDGVLGSPVEEVLGVLKGQDDSAMFTSFSNIDYGKPQPIKPGILSNDTIIETGAVSSDNISSSVPVQLLPILKNTTVVTPSRMEESTHNLSTEDAAKEEQTVQMSEIPLMDNSPPKDDQQKQPLADISEQSRVDIQKTDNVEDGDIEDDDGDEWLEEEETGDPGNTKIPIADDEDVSFSDLEEDDDAA >Sspon.02G0046340-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:2546304:2547160:1 gene:Sspon.02G0046340-1C transcript:Sspon.02G0046340-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding PPPKPPPAPAPPPKPPPDPPPKPPPLPPPKPPPAPPPKPPPLPPPKPPPLPPPNPPPAPPPAPPPPPTPPPKPPPLPPPKPPPAPAPPPAPPPRPPPAPPPAPPPRPPPPPAPPPRPPPVPPPAPPPRPPPAPPPSPPPPPAPPPRPPPPPAPPPRPPPPPAPPPRPPPAPPPRPPPPPAPPPRPPPPPAPPPPPRPPPPPAPPPRPPPPPAPPPPPRPPPLPPPKPAPRPLPPPAPPPPPRPPPPPRPPPLPPPKPPPRPPPCPTPPPKPPPAPPTPPRPPPPP >Sspon.02G0046350-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:2582273:2586951:1 gene:Sspon.02G0046350-1C transcript:Sspon.02G0046350-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Actin-related protein 2 [Source:Projected from Arabidopsis thaliana (AT3G27000) UniProtKB/Swiss-Prot;Acc:Q9LSD6] AVSPAVSFVFSPSSRSVAWKRRESPQVPRGEEEEDRPEEGREARMESRNVVVCDNGTGYVKCGFAGDNFPTSVFPCVVGRPLLRYEESLQEQEFTVDPSECKILLTDPPLNPVKNREKMIETMFEKYNFAGVFIQIQAVLSLYAQGLLTGLVIDSGDGVTHVVPVVDGYSYPHLTKRMNVAGRHITSYLVDLLSRRGYAMNKSADFETVREIKEKLCYISSCDQLPDGRVIKVGTERFQAPEALFTPELIDVEGDGMADMAFRCIQEMDIDNRMTLYQHIVLSGGSTMYPGLPSRLEKEILDRYLDVVLKGNKDGLKKLRLRIEDPPRRKHMVYLGGAVLAGIMKDAPEFWITRQEYQEEGVACLRKCGQS >Sspon.05G0019470-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:78130985:78137618:-1 gene:Sspon.05G0019470-2D transcript:Sspon.05G0019470-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVITENVPPGVPIRRRGVPMLREGRQPTSPAPVASLESPRPSNAGTTTPPAPSMPIAASCRPLSSPNASVGQEAAKRGEEVFLCGEILLPMSLSAQIIMAWTLSAAGMPATPSLLLVSAAAAEDDAWRIGGCRKEEMERVNTSLCLENLLIMQENERLRRQAQQLDQENKALLAELKQRQQQQHQGAGGPSGSGSGSSGGHSPAAVAPSLKAKAAGKQSK >Sspon.02G0006740-1P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2D:13632066:13633142:-1 gene:Sspon.02G0006740-1P transcript:Sspon.02G0006740-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCSHLSTAWSSSALASSASTTQRRSAPRSGLVVRCSLRELRTRIDSVKNTQKITEAMKLVAAAKVRRAQEAVVSSRPFSEALVEVLYNMNQEIQTEDIDLPLTRTRPVKKVALVVLTGERGLCGSFNNNVLKKAETRIEEFKQLGLQYSVISVGKKGNAYFQRRPYIPLERDLEVNGVPTVKDSQAICDLVYSLFVSEEVDKVELLYSKFVSLVRSDPIIQTLLPMSPKGEICDINGVCVDATEDELFRLTTKEGKLTVEREKVKIETQPFSPVVQFEQDPVQILDALLPLYLNSQILRALQESLASELAARMSAMSSATDNAIELAKTLSISYNRQRQAKITGEILEIVAGAEALV >Sspon.05G0013110-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:41634753:41640141:-1 gene:Sspon.05G0013110-1A transcript:Sspon.05G0013110-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:WXR1 [Source:Projected from Arabidopsis thaliana (AT2G31190) UniProtKB/TrEMBL;Acc:A0A178VQM6] MNILERIRGGGDRAAATERPPQPVCWVEISESVSRLCSFDAAGSGGGSISVKVIQDSRPIHDKVVDSFLNKFFPSGYPYRFATYPSSSNCCKLGKHMMTEMLKIQGMAVVAARATRLPIYSSFAKEGNLSDLFAKGEAISTLFNVMGIGAGIGLASTVCSTTQGKSRKVSSPAELRYREDLLFPSRVIEEAGSVKIGQPLRRVLSPCLVEQLRATFPDEKFLLTRKSNKTYMVLEQSASGEDALRGWLVAAFASEMERSGIGSRDAVLNEAYEKTKRVFPAFVSEVRSRGWYTDQFLDGNGSRVAFAKSQ >Sspon.04G0019090-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4B:70891965:70894298:-1 gene:Sspon.04G0019090-2B transcript:Sspon.04G0019090-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSVPHCDHTEVSDLQPSSSQLNLIERSTEHLASSEIKPVSVDDDNENIDANEETHLVIQDVPQCRICLDNEVCVCFVAYPLGVGDDLIAPCRCKGTQKYVHRSCLDNWRSTKVVAFMGMVVYRFYGDELREMFGYEEHPYAFYAMAILAIVLVGLLYGFFIAIICGQRITERHYHVLAKQELTKEYIVEDLEGADQVPDLDPNHVTELKILGLY >Sspon.03G0036380-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3B:89495257:89495721:1 gene:Sspon.03G0036380-1B transcript:Sspon.03G0036380-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVFPAMAKQHVEFVTDPIPGPFPTQPVAAAAAAAVASWPEVDAVAAVAECVDERRFRRRISNRESARRSRARKQRHLDELRDSAAFLERGNRDLAARAEAAREGLALALLANAALRAEAAELSRRLAAARRTLVLLGRLYAGARRLLPRVHGH >Sspon.05G0033480-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5C:33375518:33377606:1 gene:Sspon.05G0033480-1C transcript:Sspon.05G0033480-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSLTDFNECVSKGELTTFKTEQRNLMTELTHNVNNLVTRIEQLEQRPPPHRAADEDADDFGDEDADANADGYARRRLNFNRRGMGGNNHGNNDPFAKIKFSIPPFAGNIDPKAYLDWELAVQQKIDSHNVPTEHRVRLATSEFTNFALFWWSDLCNANNAVAVPQTWNALKQRMKSHFVPPYYQRDLRLKLQTLKQGDKGVEAYYQELLIGLARCGINEDDNDASARFFGGLNHDIHNILDYKEWRNFSQLYHLAIKAEREVQGRKQHQPFRSNNGRTFQQRSEPDTPKISVASQPSTPPFSSRVSKLSNVQKKGATPGASTSSSSSSSKIICHRCKGMGHVMKDCPSRRAFIATEDGYVSASDVEDDLTLAANVDADSTEGDKTRRPSSLTPWLLPQTTLAFLCNVCPAVTNLLQEFADDRMKSGTTPILEGGDDEDIAKMESRTTPIQEGEDDEDIATLDTPTLWSSPTTPIQEGEDDEDIATLDTPTL >Sspon.01G0029810-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:86105311:86107386:1 gene:Sspon.01G0029810-3C transcript:Sspon.01G0029810-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQHTRLLYASWASANHIEPTDRTAQRENARYATFGVCSPAPRNANPVCKLAFTTVTRACKREGGERKRERRKREGGRGIKYGIPTRTGLRYGRARAKFGTSQTESTRARENAQARESACESSSRGAAPPASSDYASGEGASVLVDGKGGARLFPCLFCNKTFLKSQALGGHQNAHKKERVVGSLDPYASSYAAGIELEARAAGGSALAATSSTLVAGSSHCGGAVAGDACMSRDVPHWFLAAAALRSEMESWSAAQHAPPAHQLDRGDSVVDVDVLYWTRSRSALAPVEASNSKGTTEEPDLELRL >Sspon.02G0036070-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:22468386:22469016:1 gene:Sspon.02G0036070-1P transcript:Sspon.02G0036070-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPWLLVVAVLAGFALGPSAGTDHIVGANHGWNPNINYSLWSGNQTFYVGDLISFRYQKGTHNVFEVNETGYDNCTMAGVAGNWTSGKDFIPLPEARRYYFICGNGFCLQGMKVAITVHPLPHNATSKGSNSRGSTGAQEEAAAAALGARSAAWLATLAVVAAAVAAFC >Sspon.04G0001280-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:4863192:4867365:1 gene:Sspon.04G0001280-2C transcript:Sspon.04G0001280-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVSLGFKSVSHGVSSQHAERIHCHSSLRQNTIVASENENPPLMPAIMTPGGALDLATVLLGNRVIFIGQYINSQVAQRVISQLVTLAAVDEEADILIYLNCPGGSLYSILAIYDCMSWIKPKVGTVCFGVVASQAAIILAGGEKGMRYAMPNARVMIHQPQGGSEGNVEEVRRQVGETVYARDKVDKMFAAFTGQPLDMVQQWTERDRFMSSSEAMDFGLVDALLETRY >Sspon.03G0010520-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:28682717:28683577:-1 gene:Sspon.03G0010520-1A transcript:Sspon.03G0010520-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein RADIALIS-like 4 [Source:Projected from Arabidopsis thaliana (AT2G18328) UniProtKB/Swiss-Prot;Acc:Q1G3C4] MASMSLSSSRAQWTAKQNKQFEQALAVYDRDTPDRWHNIARAVGGKSADEVRRYYELLVKDVEHIEAGKVPFPAYRCPAGYDADRLRHLKI >Sspon.08G0006600-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:17933201:17934512:-1 gene:Sspon.08G0006600-1P transcript:Sspon.08G0006600-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSSTNSAAASPAVSGLDYDDTALTLALPGSSSADAAAAADRKRAHADHDKPPSPKARAVGWPPVRAYRRNALRDEQAKLVKVAVDGAPYLRKVDLAAHDGYAALLRALHGMFASCLGADGAGRLVDAATGAEYVPTYEDKDGDWMLVGDVPFKMFVDSCKRIRLMKSSEAVSLCKPPNPLHVLATRCYSATAKYIYRHTSTNISSLHCLMQLQGHHPNDCCRPIRRLICLNLFITKVCTGRGLAAQVLHARYTP >Sspon.01G0042570-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:58954717:58957476:-1 gene:Sspon.01G0042570-3D transcript:Sspon.01G0042570-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLPAPCISHQRRCSRFSSPAPVLLPHGHSTHLRPVRRKALSPTLCAATAASRREAAVARMPRLAHREVMLALAHQMQARLGPRLLPSEVPPDVAWFGDAAVPGAALGSVDVHRGAPGSSVRFIPIDFMLEAWFHRALPTGGAIDITSLVVFLNGTTDAPHFLMELIQGGPSSLVVLLDLFPRRDLPVHPDYIDKYYGATGVDAHRTNIEKIPQVRPYVSPSLLVRSLWSPTAVVVDVQCGEGKEAVLEEIVRGQIARSAAELFDVWLNKCAGSVVKMEETEKESLVVRDKMISTTSVKLNLSANLPKIFDKHVSDRVVAEIDKAF >Sspon.07G0001030-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:1339673:1344708:1 gene:Sspon.07G0001030-1P transcript:Sspon.07G0001030-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEDVVGKSRGDTAVTTIVNLAEEAKLAREGVKAPGHQILTIGKSLVAGGVAGGVSRTAVAPLERLKILLQVQNPHSIKYNGTVQGLKYIWRTEGLRGLFKGNGTNCARIVPNSAVKFFSYEQASKGILWAYRQQTGEEDAQLTPLLRLGAGACAGIIAMSATYPMDMVRGRITVQTDKSPYQYRGMFHALGTVYREEGFRALYRGWLPSVIGVVPYVGLNFAVYESLKDWLLQTNPLGLANDNELHVVTRLGCGAVAGTIGQTVAYPLDVIRRRMQMVGWNHADSIITGKGKEALQYNGMIDAFRKTVRHEGFGALYKGLVPNSVKVVPSIAIAFVTYEVVKDVLGVEMRISD >Sspon.07G0035330-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:6264777:6266776:-1 gene:Sspon.07G0035330-1D transcript:Sspon.07G0035330-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCVEAGEAAAVVGAILWLAQTILETLQPGELDAWLHRVGLAGAVGKLKSEVERMETVVNGVKGRAVGNKPLARSLARVKELMYDADDVVDELDYCRLQHRVRGDMEQSKQVHRPTILVYRTIVAGRINPKRGIIFVKEVLRKRSAFTVLHQLQWVTGHQVCTSISKVATKNVPQLKRRRTVQEGLVGDGDRDETEPIGASSSNSGIPNNSGRKNRSKARDYFHFIPAVDGEPAKAKCVDCGTQVLWGHGTSVLHKHCNSASCKKKNV >Sspon.01G0028470-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:99472569:99477306:1 gene:Sspon.01G0028470-1A transcript:Sspon.01G0028470-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G69220) UniProtKB/TrEMBL;Acc:O24527] MAFSPRSPWSRTKRTDVYSTFVVHGDDDEDDARGSRGGRGPSTRAEEDEEEDPSSLPPLLQRLPKDFGGPSFDDDEDPYSSDPDDASLSATVVLKSGAPASARSPFLDLRRSSPRATDDDPYSTFVVHSTARSGGPPPALAGPPPAHSSVARGLVQPSRVRLWHIHPPDQQPVEPPGVGSPSSPRGSFSGTFIRHTSGGSSSHESASGGGGGFGSSFWSPAVEQTEELRQPSPLMQQQQQQHSRRKPSVSSAPDSVTREDPSTKYELLHELGKGSYGAVYKARDLRTQELVAVKIISLTEGEEGYEDIRGEIEMLQQCSHPNVVRYFGSYQGEDYLWIIMEYCGGGSVADLIGITEEPLDESQIAYICREALKGLAYLHSIFKVHRDIKGGNILLTEQGEFIGTPHWMAPEVIQESRYDGKVDVWALGVSAIEMAEGMPPRSTVHPMRVIFMISSEPAPMLEDKEKCLKIW >Sspon.02G0015740-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:42919772:42922346:1 gene:Sspon.02G0015740-1A transcript:Sspon.02G0015740-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAGRRTLALVNLAAIMERADEALLPAVYREVGAALGATPVALGALTLYRSAVQAACYPLAAYAAVRYNRAHVVAVGALLWAAATFLVAVSDTFAQVAIARGLNGIGLALVTPAIQSLVADCSDDNTRGAAFGWLQLTGNIGSIIGVGVLVGLFAVDPHFLHVESGEQLLRKSAWAEMKDLLREAKAVVKISSFQIIVAQGVTGSFPWSALAFAPMWLELMGFTHNKTGLLITTFALASSLGGLLGGKMGDHFAVRFPDSGRIVLSQISSASAIPLAALLLLGLPDNSSSGFLHGLVIPIFAEIVPERSRTSIYALDRSFESVLASFAPPVVGFLAEHVYGYNPISYGAADNNVGRDKSNADALAKALYTSIAIPMLLCCFIYSLLYRTYPRDRERARMDTLITSELQQIELERRHGLGYYSGRKDGATVIDMEYSEEDFDADDDEKGLMDQQAKQGDNVKWHRSDSQNL >Sspon.01G0034730-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:5354363:5355261:-1 gene:Sspon.01G0034730-1B transcript:Sspon.01G0034730-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEHKVINKYYPHDFDTSKVPRRRRPKNEQTKVRTMLPMTVRCGACREYLGRGTKFDARMEDVPGERYLGAIRVFRFYIKCSRCSAEIAFKTDPRNSGYALESGASATRDDATTAREEEEERRRDGVGDAMVALERRARGRREMDADAALEEARSLNARRARVAPEQAAAARPRQCRNRTRTWTRRSSIRFRNSAGYVNRIEDDDDLEEEEDVFTVSLPKTMPDHQAHKNKNEKRRRQAPVVIVSKRRCVPTVPEGKAHDGGHADKSEGKASTGDTKANSGALQVLCCSYDSDQDET >Sspon.02G0014600-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:41768217:41771529:-1 gene:Sspon.02G0014600-3C transcript:Sspon.02G0014600-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAMAAAGQISLDDLRAAAGAAGGVHDDFLDQMLGSLPPSAWPELASAAGGKAPDGGGQAEGMQHQAQHFGGGLYDESALLASRLRQHQISGGPAGGGAEAAKQMVLQQLAADLRQGHHMLLQGMGRSTGGGGSGDGGLHLPLSLGGGGSGGDVQALLKAAANSAGGEAAGVFGGSFAGSLQQQQQHFQSHPQQTAPLPGQGFGGGGGGGGAGASGGVSQPQAGAAGGGAAAPPRQRVRARRGQATDPHSIAERVSYATRRRSSCPPLANIIAALRLQLLRRERIAERMKALQELVPNANKTDKASMLDEIIDYVKFLQLQVLSMSRLGGAAAVAPLVADMSSEGRGGAAAAAGSDGLAVTEQQVAKLMEEDMGTAMQYLQGKGLCLMPVSLASAISSATCHMRPPVGGGLGIAAAAHHMAAMRLPHSMNGGAGAGAGADAVPASPSMSVLTAQSAMINGAGGGGADGEGSHSQQQHPKDAASVSKP >Sspon.03G0028150-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:8296669:8302818:1 gene:Sspon.03G0028150-1B transcript:Sspon.03G0028150-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MYDRHETVRICSLPKKLPWPLGCCHPPGAGKGPDAHVDGEGYPDADEAAVRREENERRDADGRHADHGIGREGHEDSDAASKLSTGGPQNPTQEIKQDLSNSVESQLVLYAGGSQQLTRRTHSISSPEADQRILNAFERSLKEQTRSNELKEFEISLSMRKLQLKQSQLELNSYSHMLDKIKLSLGFQKASFQTEKFKTRMQDTRHAQIMRTLIDFLVSAVIIMSVCFGYGTYTYSYQRITDITAACSATSRGSKSWWVPNSVSNFNSGLLFIRCHVIAATRMFFGIVMILAIVWLALQRSAVSGSSMPVTFNVILLGVICGFIGRFCTNTLGGDGNMWLICWEVLCSIHLLGNCYPSVLYRVLHGPISVSDSKESVWFPYWIRRSMFYAVLGFFIPALNGLLPFASLSDWYNHFTKELKSIFIGEKIEA >Sspon.08G0000820-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8A:2836240:2838758:1 gene:Sspon.08G0000820-1A transcript:Sspon.08G0000820-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MENLRSENGHQGVAMEGVKFAPEMANTNRRALSDIKNIIGGPHQHLAVSKRGLSEKPAAAVNTKDQAGFVGHRPVTRKFAATLANQPTVAHLAPIGSERLKRNADTAFHTPADMESTKMTDDIPLPMLSEMDEMMSSELKEIEMEDIEEAAPDIDSCDAGNSLAVVDYVDEIYRFYRKTEVHYKLELLEETLFLTVNIIDRFLARETVVRKKLQLVGVTAMLLACKYEEVSVPVVEDLILICDRAYTRADILEMERRIVNTLNFNMSVPTPYCFMRRFLKAAQSEKKLELLSFFMIELSLVEYEMLQFCPSMLAASAIYTAQCTINGFKSWNKCCELHTKYSEEQLM >Sspon.02G0033130-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:4774377:4781518:1 gene:Sspon.02G0033130-1B transcript:Sspon.02G0033130-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASASCLSLLVVLAALASAASAQLSSTFYDRSCPNALSTIRSGVNAAVRQEPRVGASLLRLHFHDCFLGGPSWTVLLGRRDSTASFPGQTSDLPPPTSSLQQLLSAYNKKNLNPTDMVALSGAHTIGQAHLLAVVLAALASAASAQLSSTFYDTSCPNALSTIKSAVDAAVMQEARTGASLLRMHFHDCFLGGPSWTVLLGRRDSTASFPSETTDLPAPTNSLQQLLSAFNKKNLDATDMVALSGAHTIGQAHLLVVAVAALASAASAQLSSTFYDTSCPNALSTIKSAVTAAVNNEARMGRPCSGCTSTTASSMQLGGPSWTVLLGRRDSTTASKDNAERDLPAPSLDLANLTQSFANKNLSVTDMVALSGFIHTSGACTKTYTDEETHKQ >Sspon.06G0021090-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:37295631:37296417:1 gene:Sspon.06G0021090-2C transcript:Sspon.06G0021090-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPVGSDQAAEVAMDGECSPLAATTTRSGTNRSHSEAERKRRQRINAHLATLRTLLPAASRMDKAALLGEVVRYVRELRGEADAAAAGAAVAVPGEGDEVGVEEGQHCFCHGGERERERAAASANTRRVRAWVCCDDRPGLMSELGRAVRSVSARAVRAEIATVGGRTRSVLELDVGGQHDGEGTSTSSRPALQAALRAVLLSREEMLGAECYKRQRFSAHLARV >Sspon.02G0032340-3C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2C:100081830:100082258:1 gene:Sspon.02G0032340-3C transcript:Sspon.02G0032340-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQQEELAAVLKPPAAVVFSWEPVAVTTKPAAAEARGDTIVMPPGSPTKKAAAAPPARRLSVPPPPGRAATRSLSSRASGRAVRPEDDPFLAAYLACTKSSRGSRDAGGTPGEGKQGRSRFTWARLGLSCKSSAGVVERSMVK >Sspon.05G0013530-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:40453329:40480368:-1 gene:Sspon.05G0013530-2C transcript:Sspon.05G0013530-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MACEQGVAVPQLGLNQAPHLASDMVGGRRNGWRGHAEGVARVTERSEPGVGGWVGGWGMGACGYIEPVLVILHEREPTWAGRISSKSQTCMLSAFSISMGLKQHPMIWSAAKLPHDAYQLLAVPPPISGILVICANSIHYHSQSTSCSLALNSFSSQPDGSPEILKTSFHVELDVAKATWLSHDIVMFSSKNGEILLLTVVYDGRAVQRLDLMKSKASVLSSGATTLGSSFFFLGSRLADSLLVQFSCGMPASVLPDLTDESADIEGDLPFSKRLKRIPSDVLQDVTSVEELSFHNKTVPNIVDSAQKISFVVRDALINVGPLKDFAYGLRTNSDPNAAGIAKQSNYELVCCSGHGKNGTLSALQQSIRPDLITEVELPSCTGIWTVYYKSSRGNTADNNEYHAYLIISLESRTMVLQTGDDLGEVTETVDYNVQASTIAAGNLFGRRRVIQVYAKGARVLDGSFMTQELNFSMHTSESSSSSEPLAAASASIADPYVLLKMGDGSIRLLIGDHSTCTISINAPAIFASSSERISSCTLYCDRGPEPWLRKTRTDAWISTGIGEATDVNDNSSHDLSDIYCIICYESGKLEIFEVPSFKCVFSVDNFVSGPAILFDAFSHISTKGSGIGDRDATKVSVKKEEATNIKIVELAMHRWSGQFSRPFLFGLLNDGTLFNVQCSPFSPHGSPDIGNATDSRLRNLRFRRVSIDISSRNDISCLVRPRITIFNNVGGYEGLFLGGPRPTWVFVCRQRFRVHPQLCDGPIVAFTVLHNVNCCRGLIYVTSQGFLKICQLPSAYNYDNYWPVQKVPLHGTPHQVTYYAEQSLYPLIVSVPQVRPLNQVLSSMADQELGLHIENDVTIGDDLQKVYTVDEFEVRIMELGKPSGRWETRFTIPMQSFENALTVRIVTLQNTSTKENETLMAIGTAYVLGEDVAARGRVLLFSFSKSENSQNLVTEVYSKESKGAVSAVASLQGHLLIASGPKITLNKWTGSELTAVAFYDAPLHVVSLNIYIGISPDMVD >Sspon.05G0014290-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:45039615:45050369:-1 gene:Sspon.05G0014290-2C transcript:Sspon.05G0014290-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPWWLATTACAAPPPGPGSFADALAFLFLSPCPQRALLAAADLAFLVACLVHLARRRLRRGQGHPAPAAPSPEREALLHKPNHHPSPPLSRRHVLALGASAVFAAASVVLLALALLLLPSTPWRDAEGTFLAVHAVAHGVAAWTAAASSRRAGAAAPEAAHLLRVFWLATALGAALFSASAVVRGADGSLLFPDDIIAFAGLLVSLPLAYIAVTGFTGHGTREQDAEPEHTGPEDPPSSPYAAASFLSRATFSWINSLINKGYAAESLKAEDVPPVSAGHRAEAAHALFMSNWPASPASRHPVGVALWLSFWPQLVLTAFLGLARLGAMYVGPSLIDRFVEFIRSGGTPWEGLRLVLILLAGKAVQTLASHHYSFQGQLLGMRIRGALQTALYRKSLRLTAGARRAHGAGAIVNYMQVDAGIVSYAMHGLHGLWLMPLQIVVALLLLYAYLGPAVLMTLAVITAVTVVTAFANKLNLAYQLKFLGVRDSRVKAITEMLSNMRVIKLQAWEDTFGGKVREIRREELGWLAKIMLFMCANTVVFSSGPLAMTVLVFGTYLASGGQLDAGKVFTATAFFRMLEGPMQSFPQTIVMSMQAFVSLDRLNKFLTDAEIDTAAVERIEGGGAEDTVAVKVQGGVFGWDVPADEEMKGNNNRRPRHDVAENGQGNGAELVTVLRGIDVEVRRGEITAVVGTVGSGKSSLLSCIMGEMHKLSGRNILFGKPMHPERYSEIINACCLEKDLEMMEFGDQTEIGERGINLSGGQKQRIQLARAVYQDCDIYLLDDIFSAVDAHTGSAIFMECLKGILKNKTVLLVTHQVDFLQNVDTIIVMNDGLVIQSGIYRELLASCSEFSDLVAAHHSSMETAGAQGFHVQNTESSQASTGSVDAPSLVSKSNDENGESVGTATNKEAGSSKLIQEEEKESGRVSWRVYKLYMTEAWGWWGVVIILAVSLLSEGSSMASNYWLSYETSGGPVFDTSIFLGVYASIVATTIILEMIATLIVTFLGLQSAQAFFNKMFDSILRAPMSFFDTTPSGRILSRASSDQSKIDTNLVFYVGFATSMCISVVTNIAITCQVAWPSVIAVLPLLLLNIWYRNRYIATSRELTRLQGVTRAPIIDHFTETFLGAPTVRCFRKEDEFYQTNLDRINSNLRMSFHNYAANEWLGFRLELIGTLILSTTAFLMISLPSNFIKKEFVGMSLSYGLSLNSLVYYTISISCMIENDMVAIERVHQYSTLPSEAAWEVAGCLPSPDWPSRGDIDVKDLKVRYRQNTPLILKGITVSIKSGEKIGVVGRTGSGKSTLVQALFRIVEPAEGHIIIDGVNICTLGLRDLRSRFGVIPQEPVLFEGTALERCQLKDIVASKPEKLDALVADMGENWSVGQKQLLCFGRVILKRSRILFMDEATASVDSQTDAAIQRIIREEFTECTVISIAHRIPTVMDSDRVLVLDAGLVAEFDAPAKLMGRPSLFGAMVQEYASRSSSLKETVG >Sspon.04G0016410-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:60425796:60430236:1 gene:Sspon.04G0016410-1A transcript:Sspon.04G0016410-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLASERKVVGWAARDATGHLAPYTYTLRSTGPEDVVVKVLYCGICHTDIHQAKNHLGASKYPMVPGHEVVGEVVEVGPEVTKYGVGDVVGVGVIVGCCRECNPCKANVEQYCNKKIWSYNDVYTDGRPTQGGFASTMVVDQKFVMKIPAGLAPEQAAPLLCAGVTVYSPLKAFGLTTPGLRGAILGLGGVGHMGVKVAKAMGHHVTVISSSSKKRAEATDHLGADAYLVSSDAAAMAAAADSLDYIIDTVPVHHPLEPYLALLKLDGKHVLLGVIGEPLSFVSPMVMLGRKAITGSFIGSIDETTEVLQFCVDKGLTSQIEVVKMGYVNEALDRLERNDVRYRFVVDVAGSNVEEAAADAPSN >Sspon.01G0011170-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:30819135:30820509:1 gene:Sspon.01G0011170-1A transcript:Sspon.01G0011170-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNMGGRTPATIRCKYCSACLTVCPGERAIQCTQCCGVTRIRRSLSSRVPLPALTRPAAAPPMGAFPCARGKKRAVLIGISYASVRRGCGQLRGPINDVKCMRQLLCQRFAFPSDGIIMLTDDQKDPFRLPTKDNIRMAMQWLVQGCSSGDSLVFHFSGLGAQVADEDCDELDGYDEAICPLDSFHKGPILDDEINETIVRPLVHGAKLHAVVDACHSATVLDLPFLCNMSRTGNWQWEDHRPPSGVCKGTSGGQAVLISGYSDGKTKFSVTPEACATVGAMTHSFIKAVECEPQGVTYGRLLTSMKAIMTNAGGCNPQGPIGAGAPARKVANFSGVQEPNLSCSEMFDVYRKPFA >Sspon.02G0028060-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:103185532:103198281:-1 gene:Sspon.02G0028060-3D transcript:Sspon.02G0028060-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCMAGHFKMSSVLKLVMMENHTAPDDVIREMTAAQVLQKQLFDAHEPNLLDENDMHIFGSKPMADPLDLVCCSTCKKPVKASQYAVHTGLGTSVDSSSTINVPTNHLRDAPVPLATKMYHSQGNYRLRMELGQLYRESCVQHLSGHTTPNVSHENRLMASRFTPCGNSALPASQQSLVPQPKPLASASEPCSGIPQQLAASRPNQSQGIKTERASTQASAIKTEGSRSRGNKATVPHSRNKGSKKTQQQPNGRVHVIKSSVE >Sspon.01G0026820-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:94844886:94849471:-1 gene:Sspon.01G0026820-1A transcript:Sspon.01G0026820-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTKFETKSNRVKGLSFHPRRPWILASLHSGVIQMWDYRMGTLLDRFDEHDGPVRGVHFHATQPLFVSGGDDYKIKVWNYKTHRCLFTLHGHLDYIRTVQFHHEYPWIVSASDDQTIRIWNWQSRTCVAVLTGHNHYVMCASFHPKEDLVVSASLDQTVRVWDIGALRKKTVSPADDILRLTQMNTDLFGGVDAVVKYVLEGHDRGVNWASFHPTLPLIVSGADDRQVKLWRMNDTKAWEVDTLRGHMNNVSCVMFHAKQDIIVSNSEDKSIRIWDATKRTGIQTFRREHDRFWILAAHPEMNLLAAGHDSGMIVFKLERERPAFCVSGDTVFYVKDRFLRFFEYSSQKEVQVAPIRRPGSVSLNQSPRTLSYSPTENAVLICSDADGGSYELYIVPKDSAGRSDYLQEAKKGAGGSAVFVARNRFAVLEKSSNQVLVKNLKNEIVKKSPLPIATDAIYYAGTGNLLCKAEDRVTIFDLQQRLVLGELQTPAVKYVVWSSDMESVALLSKHAVVIASKKLVHRCTLHETIRVKSGAWDENGVFIYTTLNHMKYCLPNGDSGIIKTLDVPIYITRVVGNNIFCLDRDGKNKLIAVDASEYIFKLALLRKRYDHVMSMIKTSQLCGQAVISYLQQKGFPEVALHFVKDEKTRFNLALESGNIQIAVASAKEIDDKDHWYKLGIEALRQGNVGIVEYAYQRTKNFERLAFLYLITGYLDKVGFMCKIAGQNNNLMGQFHNALYLGDAKKRVEILENAGQLPLAYVTAVTHGLTEIAERLAAELGENVPSLPEGKSHSLLIPPAPLTACGDWPLLRVMRGIFEGGLDATGRADLEEDDEAAGADWGDEDLDIVDASEVVANGGDGFDVEEGEPNEEDGEEGGWDLEDLELPPETETPKAVGNARSALFVAPTPGIPVSQIWTQRSSLAGEQAAAGNFDTAMRLLSRQLGIKNFVPLKPLFLDLHMGSHTYLRALAAAPVISVAVEKGWNESASPNVRGPPALVFSFSQMEDRLKAAYKATTEGKFPEALRQFLSILHTIPVIVVDSRREVDEVKELIEIVREYVLGLRMELKRKELRDDVTRQQELAAYFTNCKLQRVHMRLVLASAMALCFKQKNYATASHFARMLLENSPQEAQAKKARQVQQACQDKNDSHQLNYDFRNPFVVCGATYVPIYRGQKDVSCPYCGSRFVPSIEGQLCTICELAAVGADASGLLCSPTQSR >Sspon.05G0009290-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:18075357:18079610:-1 gene:Sspon.05G0009290-2C transcript:Sspon.05G0009290-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSGRACSVLASLLLLWLGVAAAQKASSWKTLSGKAPAIVAKGGFSGLFPDSSEYAYQFALVASSPDTILYCDVRLTKDEIGICLPDIKMDNCTNIPDFYAQGQKSYVVNGVPTSGWFSSKFKPPGIWLNVQHDNFYSQFNLSMRNYIISVSRRVVVNYISSPEVSFLTSILGRISNKTKLVFHFLDENTLEPSTNQTYGSMLKNLTFVKTFASGILVPKSYIWPNSPDNYLQPYTSVVDEAHKVGLEIYASDFANDFLLSYNHSYDPLAEYLSFIDNDVFSVDGVLTDFPVTASEAIGCFTNLNKSNTDHGKPLIISHNGASGDYPGCTDLAYQKAVDDGADVIDCPVQVTKDGIPICMSSIDLMTDTTVARSQFISQTATMKDIQIAPGVFSFNLTWDDIVKNLKPKISTPWTNFALDRNPRYMNAGKFMRLSDFLDYTKDKDLSGIMITVEHAAFMAGELGFDMVDKVIKALDDAGYHDQTAQKVMIQSTDSSVLEKFKEQTKYDLVYMINKEVGDAAPSSLADIKKFASAVSVDTSSVFPETHYFTVYETNLVQTLQTAGLSVYVYTLMNEFPSQPYDFFSDATAQINAYVKGALVDGLITDFPATARRYKLNNCMNMGNSTPNYMAPAHPGDLMQSISKSAQPPALAPIPPLTESDVAQPPLPPARSNSSTAPTQSPASRTHACAAHIPILITLAMLCTWRSLA >Sspon.01G0007750-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:14473189:14474745:-1 gene:Sspon.01G0007750-2P transcript:Sspon.01G0007750-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFWLLMLFVASAVLVLRTWRARSIIIKARQAGPTYPPGLEPYPLIGHLPQFLANRHRVLDWMTEFLERQTTCTFVLRRPGGVRGAITANPANVEHFLRTSFDRYPKGPRFSSLLHDFLGRGIFNADGEAWRVQRKVASHEFNTRSLRAFVARCVHAELHGRLLPLLWRAAVSGAPLDLQDALERFAFDNICRVAFDHDPRELPDDGDDTADSSFADAFRDAANLSAGRFRYALPGFWKIKKALNVGSEKRLRESVAMVHDFADRIIQSRREEMLSADFEKHDLLSRFMASQDETYSESEVPLRDVVISFLLAGRETTSSALTWFFWLLSSRPDVQRRIRDEVAAVRARRAQGDVDSVVGFNLDELREMHYVHAAITESMRLYPPVPLNTLRAETDDVLPDGTSVRAGWFVAYNSYAMGRMKSVWGDDAREYRPERWLNPGDGTFRPDSPFRFVAFHAGPRLCLGKEMAYIQMKSIVACVLEELDVAVDGAYRPRQVASLTLRMADGLPVSVKQRRD >Sspon.06G0025420-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:68011451:68011819:1 gene:Sspon.06G0025420-1B transcript:Sspon.06G0025420-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:plastid-specific 50S ribosomal protein 6 [Source:Projected from Arabidopsis thaliana (AT5G17870) TAIR;Acc:AT5G17870] MSPATALPAGTAVPPSPGPARQHARHSVGFPPLPGGGGRAALAVECSSRPQKKGTKHHMKTRPKKTQPWDIKRRPTQYPPLPPLPPDWTLVASGATVDVEEAAAASPVLEVAVAVAAPAAAD >Sspon.03G0023950-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3B:96695773:96696865:1 gene:Sspon.03G0023950-2B transcript:Sspon.03G0023950-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKHEFLSPKAIANRIKAKGLQKLRWYCQMCQKQCHDENGFKCHYMSESHQRQMQVFGMAPDRIVEGFSEEFLESFLSLIRRAHSHSRVAATVVYNEYIADRHHVHMNSTRWATLTDFVKFLGREGYCKVEDTPKGWFMTYIDRDSEQAVKDRLKRKGSNRACAAKPNDGDVVEGESESGNEEEYSGSDDDGEEQEDDSKEANKATGKIAIALQKAVLGPKVNPFEDKTKVKFGFDEEDDSSIREEKDEVATKKGKDVKAAEARRLALDELMKEEEKAKERSNRKDYWLCRGIVVKVMSKSLAEKGYYKQKGVVKKVIDKYVGEIEMLESKHVLRVDQDELETVIPHI >Sspon.02G0022260-3C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2C:75476517:75477395:1 gene:Sspon.02G0022260-3C transcript:Sspon.02G0022260-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAAQRQRQRRRRRCPRPSSGGSRWQSHRRGKKSFWGAVSLIIGTAVGPGMLGLPSATIRSGQAPSAAAILLSWVYVVSSIVLVAELSFAAMERDGVDEVSFTGLASSTLGAGLGAVVAVVYAALSFSLLVACVAGIGSLVSQLFPGVDPVLANALFPCFAGVLIAFFPFKAVDGANRALCGLMLVSITALVVTGVSVGRTSLLKSLGYASWSPGAILPAIPVTVLTLGFHVITPFICKIVGDSVYDARKAILIGGAVPLAMVLSWNAVILGLLVLVAMLGLMTLSSSFSQ >Sspon.02G0046940-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2C:9184552:9185121:1 gene:Sspon.02G0046940-1C transcript:Sspon.02G0046940-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLAYLILQAIMQKFYRALLCGYDLSHPPIQSDLLRMISYLIGDQRDDVHMAEQEELPEAAAPPTQGPASPMLAPAPCPMHGYAPCPPHEACPMNLDFDAAARTPMPPSPPRTPPTTLAARMSAPSHLMAYVGVDPTPAAPPPSPASPERVDDIAAPPPCIIRTWIHVPHGPSAHRLANGDSTGFLPSTK >Sspon.05G0008060-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:19003899:19004748:1 gene:Sspon.05G0008060-2B transcript:Sspon.05G0008060-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding STLTIPLLQDLVVDKSEVETSQVVGCDSIVTERVETNLSKEPFQLVPLENKEEKESPGIICYIENNKVDVPEAISPRGDILELSISSKPTDDSLSLGCETPHSGLHGSCKTPDSKPLLTGIASTCPGAPLRPSLKALKLSPGICRKIDFDAVSDSDKE >Sspon.01G0026470-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:72650940:72657850:1 gene:Sspon.01G0026470-3C transcript:Sspon.01G0026470-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:LSP1 [Source:Projected from Arabidopsis thaliana (AT5G35620) UniProtKB/TrEMBL;Acc:A0A178UEE3] MAEVEVPAAAVATTTPEAAATEGGAATEAKGPHKLHRQWTFWYDIQSKPKPGAAWGTSLKKAYTFDTVEDFWSLYDQIFRPSKLSGNADFHLFKAGVEPKWEDPECANGGKWTVPCNRKATFENMWLETLMALIGEQFDETEDICGIVASVRARGDKLALWTRTASNEAVQVNIGKKWKDVIDYNDKITYTFHDDSRRDKPSRGGRLYDQIFRPSKLSGNADFHLFKAGVEPKWEDPECANGGKWTVPCNRKATFENMWLETLMALIGEQFDETEDICGIVASVRARGDKLALWTRTASNEAVQVNIGKKWKDVIDYNDKITYTFHDDSRRDKPSRGGRYTV >Sspon.04G0015890-3D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4D:67988107:67993977:-1 gene:Sspon.04G0015890-3D transcript:Sspon.04G0015890-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor TGA10 [Source:Projected from Arabidopsis thaliana (AT5G06839) UniProtKB/Swiss-Prot;Acc:E3VNM4] MPLSSSSSERREQQWRRHGKRDAVDVESSTGGAQSFGRQDTEKARAEQGGRKEKQAQKEEAAWFDMEHARWQEEHGKMMRHLRAALEAEHATAAAAATSTSTAADAQLLRQLVDAAAAHHGVLAELKAVAARADAFHLVSGAWVSAAERCFFWIGGFRPSELIKIAARHAEPLTEQQAMGVCGVQQWARDAEAALDHELQAMHRSVSEAVSSDAAALLFPYSDVPGFMATMSLAISKLASLEAFVRQADALRLQALHQLPQILTVRQSARCFLAIADYSHRLRALSELWHTRPSQDPAASNPAAGYSSQRPPYQ >Sspon.04G0031520-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:15257546:15261650:-1 gene:Sspon.04G0031520-2D transcript:Sspon.04G0031520-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLSANEGIEGVRFAVTGGQGFVGAALCLELLRRGAREVRSLDLRASSSWSQQLLDAGVRIIQVRLLDEDFLKALFRRDIRKKDDVGRAFRGVDCVFHLASYGMSGKEMVQTGRTDEVNINGTCNVLDACHEQGVRRLVYVSTYYVVFGGKPIVNGNEALPYFPIEDHVDAYGRSKSVAEQLVLKSNGRPAKSDKSTRLYTCAIRPAAIYGPGEERHLPRILSLAKLGLAFFKIGGPDVKTDWVYIDNLVLALILASMGLLDDIPDRKGTPVAAGQAYFICDGSPCNTFDFIIKPLFQSLDYSVPQVMLDTSVALAISKIFLFISTLFYPWLDSKWMPQPLILPAEVYKVGVTHYFSFLKAREELGYVPMVRPHEGLAATISYWQERKRRELDGPTIFTWLAVTIGMLAVFSAACLPPIGPLKWVLDIHLFVFRSMLVIRLVLVMAIALHLGEAVYAWFLARKVDPRNATGWFWQTFALGYFSL >Sspon.06G0000990-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6B:1866646:1868043:-1 gene:Sspon.06G0000990-2B transcript:Sspon.06G0000990-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSHLHWLASAAAACSRGSAKTLALAAATAAASAAAALNSPPPLAPAPTKTLLFPARRHSSTTAGHHQIAPATADPATPPTTSPWVILGSIPRVAEIEGADVSLALAAPPRVSRLSISPRVFPDRPTPRNFPFLLAADSSGLLLLSAILDAPLTRVDVDRPDGKQSYWRDDDPRYFVLDAATGSALRLPDPAPNEPVEHQALLGLLACPGGGGRYVVAELLPLIGSDTATLRCYYSDIGEWVEKSVRYPLPPRPLAPLRTLALHGRLWWADYSWGVITADPFADHPVLSFVPLPRPCVLQSREAWGVLDQFRYVGVSAGNLRFVDTYRRGGAPNKVAVWTLPHPYATEWMLEHEATFADIWADDTYKATGLPKKPPVLALIHPHSPAVVYFFLEDHLFAVDVPARKVVECDRYHLVAPPRDYDIANRFVRAWELPRAVSSGICRALPHALISLSQLTLISGSAVY >Sspon.01G0036270-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:18529747:18533480:1 gene:Sspon.01G0036270-1P transcript:Sspon.01G0036270-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclin family protein [Source:Projected from Arabidopsis thaliana (AT1G14750) TAIR;Acc:AT1G14750] MRTPQPAGTRRCRTDHAMPPTMLAPVPTRPRSNPFRRRRGAAPLLLHQTAATAAKRPAESSTSASSCFYSEVISNSSTSLAAYQHPEKRHRRQDAEAGEARPAGSECSEVIGGARVRPAEVEASESSCLGSVLESDLACPEQLADDAEATDYSSACDELTPSEPDEEEVLSGPSRSALYSLSPLISSPLTEDDNDDAPSATFSLFLDFAKQFVPCVHPKACAVTSTALDLLTGRRFEDLDDEESYERFRRRERREAVARDYTEVYSSIPDSYGPLVVEQRVVMVNWIIEHSRLMNLQPVTMFMGIGLMDRFLTQGYMKGLSNLQLLGIACITLATRIEENQPYNCVLQKTFTVGINTYSQSEVVAMEWLVQEVLNFKCFVTTTHHFLWFYLKAANADDKVADLANYLAFLSLRNHKQLSFWPSTVATAVVALACLATGKESSCHLVMETHMRTQDDDLPECLMCLEWLLNYVYVP >Sspon.03G0043260-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3C:78680056:78680488:1 gene:Sspon.03G0043260-1C transcript:Sspon.03G0043260-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSCADVHTTANRLRMPERVFPSSPPSSAPVRVATAFRMRFLLATDRVYYTITALQTLASKVDDLATHSAGFVPATI >Sspon.07G0012240-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:49408334:49415859:1 gene:Sspon.07G0012240-2B transcript:Sspon.07G0012240-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNAPDRYAVNRLSKIKSLCSCVMAGHHHNNTHASRMDHVNRFQSEPQPFGPKLFMHSRTDAANGALSSGYGGAPIRPNDIPSSSHTGQSHIQHSDAPGTMLASYSGYPHAGSSSSIYAPHNTHHPPSLSYPHRSEDNFIPSSHMDDRRVAPKRRNPIIHPVDGVNIGSCYAGSSSNPQFPRYIPAPEPCPPRVTSNMGSSYWSDHPFGSHGGSQRNVRGRHDHNPIHLGHNPGVPSASSSTHGPQNHTTVIGPRSTAVPQDRAHFSIPPRVTAPGTDGNSSMAFRERPYYSAPQRSNINAPPIPTPPGSSDSLHFVHGGYGPRAVPPNSISTYPAPAFATSNNSVAVTHEPDIPSFRPAVPGYQPAVPSYPPATSAAASSVHAEAAASSGHLGHVALGSSGSARSRRLRDSYHAFRPLIIEENNLRGPAAEELLALGERIGNVNTGLADEKLSSCVMEITCCSSARTHGDTESARCVICLEDYKFKDSVGKLKCGHDYHADCIKKWLQVKNACPVCKASAADDSGGTE >Sspon.05G0011080-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:26263143:26268006:1 gene:Sspon.05G0011080-2B transcript:Sspon.05G0011080-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ultraviolet-B receptor UVR8 [Source:Projected from Arabidopsis thaliana (AT5G63860) UniProtKB/Swiss-Prot;Acc:Q9FN03] MDSVMAAAPDSPPQTVVLVSAGASHSVALLTGNVLCSWGRGEDGQLGHGDAEDRLVPTVLSGFDAPGIASVICGADHTTAYSEDELQVYSWGWGDFGRLGHGNSSDVFTPQPVKALQGLKIKQIACGDSHCLAVTVAGEVQSWGRNQNGQLGLGTTEDSLLPQKIQAFEDVCVKMIAAGAEHTAAVTEDGDLYGWGWGRYGNLGLGDRNDRLFPEKVSSVEGEKMVLVACGWRHTITVSDSGNLYTYGWSKYGQLGHGDFEDHLIPHKLEALKDSTISQISGGWRHTMALTSEGKLYGWGWNKVVIIFVPLLALFGQVGVGNNDDHCSPVQVRFPEDQKISQVACGWRHTLALSEKKNVFSWGRGTSGQLGNGEIVDRNTPVLIDALSPDGSGCKKLESSAAAPFTAKVWLSPSERYAIVPDENVPKAGEGTARGNGADANVPENDVKRMRVQS >Sspon.04G0005430-3D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4D:16202483:16204294:-1 gene:Sspon.04G0005430-3D transcript:Sspon.04G0005430-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGALLLFACLLAPIVLLTCAAVRGSRKRRAPSSAYGKALPLPPGSMGWPYVGETFQLYSSKNPNVFFARKQNRYGPIFKTHILGCPCVMVSSPEAARFVLVTQAHLFKPTFPASKERMLGPQAIFFQQGDYHAHLRRLVSRAFSPEAIRGSVPAIEAIAQRSLSSWDGRLVNTFQEMKLYALNVALLSIFGEEEMRYIEELKQCYLTLEKGYNSMPVNLPGTLFHKAMKARKRLGAIVAHIIEARRERQQQQRGSDLLASFLDDREALTDAQIADNVIGVIFAARDTTASVLTWMVKFLGDHPAVLKAVIEEQQEIARSKGSSDEPLTWADTRRMRMTSRVIQETMRVASILSFTFREAVEDVEYQGYLIPKGWKVMPLFRNIHHSPDHFPCPEKFDPSRFEVAPKPNTFMPFGNGTHSCPGNELAKLEMLVLFHHLATEYRWSTSKSESGVQFGPFALPLNGLPMTFVRKD >Sspon.03G0020590-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3A:63930560:63930727:1 gene:Sspon.03G0020590-1A transcript:Sspon.03G0020590-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPAAAERQGERDVQRRRPYASPARGKEEEARAGTGRVGRRRQSTELRSGGSNQE >Sspon.02G0034850-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2B:14425214:14425403:-1 gene:Sspon.02G0034850-1B transcript:Sspon.02G0034850-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AHTVSRTACNATACLPTHAGGRAVRRQPAAVEDGGRAVRQLLRHPLRRAAAPGAGVRRAAPA >Sspon.06G0016470-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:71317335:71320823:1 gene:Sspon.06G0016470-3D transcript:Sspon.06G0016470-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAAEADAEAVRAAATEVLLLDHDGHNHEALARARNLMLAHQDLAVTHRLMGELHYAAAVRAARGDGTAEARKAAATPHLRVARDALAAARRLAPDCVDIAAALGDAFAASRMFKEAEVEYLRARRIPRPSDPALHNASYGMFEGYEHERDPDFASERVEEARDRARASYARMTVEELVPMAIHRVLEAGRQLGAADGRKRAKLVAETFPNLGRAQYLAAYMDLEFVRSLDAAIDKRPFLRRTLVLTERAAREYPKSAVIASFHAKLLFVLGEYDAAETECRRALEMKEPDDPQQDCIPVGSISGDNRGASEVFKWLFYAPSSGVRPKPFPEIREKKCEKGRMLLESIKDKMKTLPADRSTTEFAKAIPEIQEGWHKFLKSSALDYQEAILELARSFLWRELKKCMTEDPELASKPISAADIDAIFTKEVVNPASNAVESCQTEGALMVSGNPQESNVHGEGESSENLRKNTESPDPAISVVESETDLAAKLESEVHVEHESSDSPISRNGLDEKTDPKLGDNNKE >Sspon.03G0002650-2P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3B:15945706:15946454:1 gene:Sspon.03G0002650-2P transcript:Sspon.03G0002650-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATARQIAQSSGSSCSPRLPVLLLPVPRNQRNRPDRTNWPAERSEPTPFYENAHTPGSSFLPNPNPTPSPIQTVAAVAERVVTPPAPAAACDHPFPQSSRLRRPHLRPSRPLSLAEARELSCLGRVVVHPAVAAAVTKRGISARDAAIPADRSDVRIGRSMGVTQPGSSGDCWRTRAGSGSSGHDDLWRRVCAETTAELQLLFEKWQLLLAGL >Sspon.02G0015610-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:43478895:43480848:1 gene:Sspon.02G0015610-1P transcript:Sspon.02G0015610-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPWVYKPAELWQAKEHHPPPKKRSCTMVFTLKEMEEATNMFSDRNLVGKGGFGRVYRGVLKDGQIVAIKKMDLPTSKQADGEREFRVEIDILSRLDHPNLVTLIGYCADGKHRFVVYEFMPEATSRTSSMAWGGEDGLAARLRIALGAARALAYLHSSTAVGVPVVHRDFKSSNILLTEHYEAKISDFGLAKLLQQDQDLHTTTRVLGTFGYFDPEYALLTLQSDVYAFGVVLLELLTGRRAIDLSQGPQEQNLIVGIHQVVGDRKKLRKVSVSMFAALAARCVCFDSAGRPAMQDCVKELQFIMYANMKI >Sspon.05G0020700-4P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:79343229:79344611:-1 gene:Sspon.05G0020700-4P transcript:Sspon.05G0020700-4P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGTGTARFLPSPPSPLLSSPGFQEGTRKPPPPLIRRGPESGRALPAPAPRRDAMDAWEATKAVFDRVRALDPDNASKVMGLLLIQDNSDKELIRLAFGPDHLLHAFVAAARADLAAKPASPPSPVLGPLHQPASSPWGSLPSPAAATDHHHPFAAAADHALGYDYDGAAAPADAFFPDDYDCWSPAGATAHRRSFSLSDAEVAAGGGGAWRPCMYFARGFCKNGSSCRFLHGLPEEDDAATEREMVVMRAKALAAARSQQQQLMASAFPFSPSPPKGVNLNFLLHHHQQQQQQSEPQRAAAAAAAAMLLQGGDDMHGRFPVRSPRMDRGELMSSPAARQIYLTFPADSTFSEEDVSNYFRYRARRHYWGPCFVSTTASLVASLSLA >Sspon.01G0008500-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:24452366:24453977:1 gene:Sspon.01G0008500-3D transcript:Sspon.01G0008500-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRAKRAALSPKVKRRVGKYELGRTIGEGTFAKVRFARNTENHEPVAIKILDKEKVQKHRLVE >Sspon.07G0031100-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7C:16378925:16381408:1 gene:Sspon.07G0031100-1C transcript:Sspon.07G0031100-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding REKFHFCPLKPHISCTFSYLLWQSHMLFSAAQLCF >Sspon.04G0013780-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4B:53231731:53233551:1 gene:Sspon.04G0013780-2B transcript:Sspon.04G0013780-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPRHGRSAFTISFSNPNGFANQRTAIPAVSYPAAGSSQLDVLAPRGCKRKWTELALGLGDSSSSDSSKQSMGTGCTVSSAKGSDDVSCMDYDISFKLSLGNEGTSKLHKQACDSKRTMEKPRLDLKLSLAPSQSDVTDADLIRSSAPQDMFVHPYLMSSVPTVDEGSTSARHSSGGMVSSFLNRAGISLSQAFPVNSNRVQGPAPSAPTVLQLPKSSAASSSGFVRSQQRNTSTKICSQPGCAKGARGSSGRCIAHGGGRRCQKEGCNKGAEGKTIFCKAHGGGKRCEHLGCTKSAEGRTDFCIAHGGGRRCSHEGCKRAARGKSGLCIKHGGGKRCQEPNCTKSAEGRSGMCIAHGGGRRCQYAGCGKGAQGSTNFCKAHGGGKRCTHPDCSKGAEGSTPFCKAHGGGKRCSADGCTKSVHGGTQFCVAHGGGKRCAVEGCGKSARGRTDRCVGHGGGKRCHFAGCGKSAQGSTDFCKSHGGGRRCSWGHPGSDLGSGGAPCDRLARGKRGLCDRHNPLVDDNSVHGGVSFGGFSIVSSAALSEGDGSPGTETSMRSFFMHTVEAPPRHVAASAREGRVHGGNFMPIMLDGGVGLGKKPASNADA >Sspon.01G0033980-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:114358649:114359032:-1 gene:Sspon.01G0033980-1A transcript:Sspon.01G0033980-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRTLISMLIVADAAVVGLCSVAPAASAREEPPMPQIVGGWKPIKNVNDPHIQELGRWAVLEHVKQASDGLVFSKVVSGEEQIVAGTNYKLVIQSATYGAVVYEKLDNTRQLLSFNPAN >Sspon.07G0006640-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:17196252:17197023:-1 gene:Sspon.07G0006640-1A transcript:Sspon.07G0006640-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:partner of SLD five 1 [Source:Projected from Arabidopsis thaliana (AT1G80190) TAIR;Acc:AT1G80190] VIRECSEHNSQFQSLIRKMVEQNLDIETTRNEDHYGAAIHHLSLLRNKRCLMAYMFNRAEVIQSFRWKVGPLHFSEKEYFKNHSAAIKAYISEMDIDLTVDMVPPKDPYIQVRVLEDIGEVSLGDHSVSLTKNSLHFLRRTDAEQFISH >Sspon.03G0002070-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:19319757:19322246:-1 gene:Sspon.03G0002070-1P transcript:Sspon.03G0002070-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLPYNVTMTLGYQPGPGIPEWLNKGDNAWQMVAATLVGLQSMPGLVILYGSIVKKKWAVNSAFMALYAFAAVWLCWVIWGYQMSFGQKLVPFWGKAGHSLNQGLLLSQAALPATTHYFHNSDVVETLELTPFYPMASMVYFQCVFAAITLILLAGSLLGRMNFKAWMLFVPLWLTFSYTIGAFSIWGGGFLFHWGVIDYSGGYVIHLSSGVAGFTAAYWVGPRLTKDRERFPPNNVLLMLTGAGILWMGWAGFNGGDPYSANVDSSIAVLNTNICAATSLLVWTCLDVIFFKKPSVIGAVQGMITGLVCITPGAGLVQGWAAILMGMISGSIPWFTMMVVHKRSRLLQQVDDTLGVFHTHAVAGFLGGATTGLFAHPDLCPMFLPVTHSRGAFYGNGMQLVKQVVGALFIISWNVVVTSLVCLVVRLVVPLRMPDDELAIGDDAVHGEEAYALWGDGEKYDSTKHGWYSDNDTQHNKAPSGVTQN >Sspon.01G0002210-1T-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1A:6182831:6183220:-1 gene:Sspon.01G0002210-1T transcript:Sspon.01G0002210-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAIREAPHGLLPIPRKGAVRFHALTAMWVGKGCAPLMLHGLEEVGRAGHVLQVLERLQNLHHLAHQRPSLGVTAEAGMGQLSRLLSTFYGEVPIQTRIYKLIESASFSKIRACPFNQVVFSIWPVLVH >Sspon.08G0002660-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:5838442:5841874:-1 gene:Sspon.08G0002660-3C transcript:Sspon.08G0002660-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding EVEEAVPFVAAERGRHSAGGGVVLGGGAGAAEPELLRVDVPQRGDACARRRHPEAAGDLQRGAGHAPPLLPRLLRQGLRRVRAAVGPRRRAQRGRRHDAVPGCAGPRHPRQGRRRRRPQVRLQGLLRRHPRPRRPRRRLPGGRAVLPGGAGAVRRQGGHARRGEAQPPRRRLRPGPAQQALRRQRAHPDRHDRALRWAHDRRDALRQVRAAAVHVQGQQRRRRPADEPLLHAADAADVPAQLQPLGVRDAGRRDAAQVRQRLLPDAAADEGAPGLRPGALRRPPVPRHRQLLRRQPDGILRRLRRRHGQAGPRRRQDRRRRRDPPRLHQGQLA >Sspon.05G0025850-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:24909048:24920558:-1 gene:Sspon.05G0025850-2C transcript:Sspon.05G0025850-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDREEDEELQMALRMSLQGSPPAQPEPKRSKPPPPAAESPEAEARRKQRELMAAAAEKRLRAAATPAAVSVARSSPQPVVVEPAAAPEASKEQEEEPEQAGVSMEEAKEVEAEEEEKGEELPPYVAENLWAMVFGAGVSKAVLAQWSNQGIRCYKCFCKMALGANDRSNKLLRIDKANSKAKYQEDIQADRDDPSQPLVTAPFGHASQEIVNLLLCGEAVPNVFDGKMDLGGGMSLKGIPNSVEVGFLTLLESLNLCKVGQYLKCPKWPIWVVGSESHYTVLFALNPNVQEENELEERESKIRRAFDAQDQSGGGGFISVEGFQQVLRDTDINFPSDKLEDLCNAGIIVWSEFWQALLQLDKRAGGMKDPTGLMGKKKFTIFHFNGIAKSVLNGNASAGGSCPIQRPRLCKLNVTVPPRWTQDEYLADVVSASTSSSKDDSILSLAPPVQTNQHAPLVDCIRTRWPRAVCSWAGDVPRFLAIQSLMKA >Sspon.03G0021080-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:83569784:83578304:-1 gene:Sspon.03G0021080-2C transcript:Sspon.03G0021080-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPLIAGLAVAATALAGRYGIQAWQAYKARPIVPRMRKFYEGGFQPTMNRREAALILGVRETANAEKVKEAHKRVMVANHPDAGGSHYLASKINEAKDVLSADEFPLMSDPSEFQSSELLNPIPDKLEVLFALVSAAPVELEIICVLLVLESFEYQPVICDELHIGPSNVTLPSAGEIVATGQAEKPLDQEFRQQVLFCLLHSAKLYSQYCYKNY >Sspon.04G0016810-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:70004075:70004464:-1 gene:Sspon.04G0016810-3D transcript:Sspon.04G0016810-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGVGGARQIAVRAAQLPPLVVVPPDVARSPVVVAAAVESEPPQLFACHYCRRQFYSSQALGGHQNAHKRERTLARHRGAAAPLGVGHDHMHAARGGGGAPFAVVHGAFAQAAQALEWNNDARSGQAPR >Sspon.05G0017580-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:73447688:73449768:-1 gene:Sspon.05G0017580-1A transcript:Sspon.05G0017580-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding KMAAAAAAAALDIAELPFSDLVVLLSPDTPADDGHCRRVVDTVATELGRGGSGLLAIAGVPRVGALRRRLLPLARRLALMDHPIRSQLLKVTLPAPLSFFSLLTLKLQCALIYFRRLFQKHGLGSDVPLKKLDRSVSSFAQLLRHSGELALLESVNNNNGFNSSDKIQDCNQFEEANGDDDMESLGDLVRELGLYMMELGILVARACDTVIGRGQLEQSITDFGTAKARLIHYHSELDNIVIRDKSTKRKGSVNEVAVKPDQSCSGRRSGALCPCCIKSEDGTTVMSIKENDSKDASIQGQTAEISLLNLWQEWHYDYGILTVLTAPLFLRASEDENSLVNLECHPPDGHTHLQLCNGRKIFSVRCSPENFIVQVGEAADILSQGKLKSTLHAVDEGESILSKETSAIRDGSAGSCDEDAFMQGILKKIPPLSSRLKEEMTFAEFSRQTTKRLEVNGPVYS >Sspon.01G0012690-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:34288662:34292112:1 gene:Sspon.01G0012690-1A transcript:Sspon.01G0012690-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPNSDSNMQQLVPIAPPGKASGGDSGKELVVVDPAGKSSGGVKLREDEEDLEVKLRRIMENVPVRVSNTSGSSAGSGSGDFHQYRQMRRREQDRLARMDADYQKRKEMAEFELRREERMKAAEERTAKKRLKRQKKKQRKKDKRAKTGNNGGEESDRVESSDDEGSDDDDKSKQ >Sspon.04G0014470-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4B:56881795:56884589:1 gene:Sspon.04G0014470-2B transcript:Sspon.04G0014470-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSMDHCNVVSLKHCFFSTTSRDELFLNLVMEFVPESLYRVLKHYSNMNQRMPLIYVKLYTYQIFRGLAYIHTVPGVCHRDVKPQNLLVDPLTHQVKICDFGSAKMLVKGEANISYICSRYYRAPELIFGATEYTTSIDIWSAGCVLAELLLGQPLFPGESAVDQLVEIIKVLGTPTREEIRCMNPNYTEFRFPQIKAHPWHKIFHKRMPPEAIDLASRLLQYSPNLRCTALEACAHPFFDELREPHARLPNGRPFPPLFNFKQELANASPELINRLIPDHARRHLGLTLLPTTGP >Sspon.02G0033800-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:8811410:8812998:1 gene:Sspon.02G0033800-1B transcript:Sspon.02G0033800-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heavy metal-associated isoprenylated plant protein 23 [Source:Projected from Arabidopsis thaliana (AT4G39700) UniProtKB/Swiss-Prot;Acc:O65657] MGGTLEYLSGLLGGSGGHGHEKKKKKKRKQLQTMELKVRMDCEGCELKIRSTLSSMKGVESVEINRKQQKVTVVGYVEASKVLKKAQSTGKKAELWPYVPYNLVAQPYVAGTYDKRAPPGYVRSAEPAAGYVAASSQLQAGGGRPAGDHLTDMFNDENPNSCSVM >Sspon.02G0006320-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:18874053:18878212:-1 gene:Sspon.02G0006320-1A transcript:Sspon.02G0006320-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNLRSLAGALIGDAARLHSATGAAGKDPDRVYGAVLCRADAAGADCARRLRDALAAINGDDHSPCALHRDVAVYSELYHLRFSDRNFLANFSNAPEWVDVTNMEPVPLSVAEQFDQRVTELLGALADAAAGRSGRWAVGEAPWSSSGDRDRTVYGLAQCTRDMPPDHCRACLDGIIAERRRKIGSGKMGAAVFGARCTLRYEKGLQFFNVTGNSKMVSLRKMNLMEEPENMDEILRPWRIEDASLEFSLYDFSQIADATDNFSSKNILGEGGFGPVYKGVFPDGQEVAIKRLSARSRQGLTEFKNEIQVIAKLQHKNLVRLLDPIRQASLKWKRRIKIVDGIAQGLLYLHNLSRIRIIHRDLKASNILLDSDLNPKISDFGMARIFPSDATQATASRLSDVFSFGVLLLEIISGKRSSGFQHNGEFYNLLEYAWELWKDRRWNDFIDQSFGDDYKLEELMKYLAVALLCVQEKTIDRPTMPDVVAILSSDVITLPEPKQPAYSYAKLDVSSRHQHKNLEDLRVKAWELANNWHKSPRLGDR >Sspon.01G0043960-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1B:78713474:78713731:-1 gene:Sspon.01G0043960-1B transcript:Sspon.01G0043960-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPRQFPTLPHLRGFTVPSTHPAPPHSHGHHPPRPNPVRRRAPLCLSWRRSLRHHHPSATKTRSSLADDTTIGSCATTVASFLLR >Sspon.08G0008420-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8A:31273114:31273587:-1 gene:Sspon.08G0008420-1A transcript:Sspon.08G0008420-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MIIASDAPFAYLLIHLHHTGSQEEALVAVQEEQTGPDPQETQAQEVPEVDEQEEDIPECVDHQPSSFERGKPRSILSLLPPHARACLKPAAMPVPPPSLPPAFPFGNPKRELLSPPPPAAASPAFRRRWTISAQFASQTTPHHLPLPGAQTNAASCR >Sspon.06G0013150-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:68649338:68655640:1 gene:Sspon.06G0013150-1A transcript:Sspon.06G0013150-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIQSEQRGPQQTLARTGSVATENEIEDSPCQTLGYPIPCLPEDIWRHIHSLMPMDAAARAACLSHAFLSSWRSYPKLTLSRQTLCSKTSGNNLSSRIDSILKKHSGIGLKILSLTVSDNYSCFPYINSWLQAAVTPGIEELTLTLHGKYNFPCSLLSDGVRSSIRSLQLSSCVFCPTRDLGPFRRLTIIRMRSVHITGDELECLLSNSFALEHLYLNNCKGIVFLKIPSVLERLSSLKVFVCSGLQVIENNAPNLSSFTLIGKVSELSLGEASQIMKVFCMRHANVVCYARTELPPIMPNLETLELDSSEEVDTPMLPTKFLNLKHLSIQISGWTLSPSYDYFSLVSFLDASPSLETWYLNVSQKDMKHESVFGGSSFRQLPEQRHNHLKSVEIVGFSSAKGLVELTCCIVKNVVSLKRLTLNTLHGHGRCSGENNNDCGDQICVGISKAVLKEATTAVAAIRKYIEDKVAPTTKLTVLEPCTRCHSTTVDDGRSIWRL >Sspon.01G0004220-1P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1D:10071447:10072385:1 gene:Sspon.01G0004220-1P transcript:Sspon.01G0004220-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFGAVDMEEESGAAAAAAAAEEIRRLPAEVNWEMLDKSRFFVLGAALFSGVSAALYPAVVVKTHLQVAPPPQAATATAAAILRRDGLRGFYRGFGASLAGTVPARALYMAALEATKSSVGSAAVRLGVSEPAASAAASAAAGVSAAVAAQVVWTPVDVVSQRLMVQTPASCRYRGGADAFRKILVADGVRGLYRGFGLSILTYAPSNAVWWSTYAVAQRWLWRAVGTERSESCASLMAVQGASAAVAGAASALVTMPLDTVKTRLQVMEADAAARPTLASTVRGLLKEGGWAACYRGLGPRWGSMSLSAATM >Sspon.08G0003870-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:11470764:11473992:1 gene:Sspon.08G0003870-1P transcript:Sspon.08G0003870-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKDMDMEEGMQQAIAAATDAQVPPMTGKGEKEPLLLNLQVQPVEGGVVAAGKMKPGLKKWWIPYWLVVSSLIVVTNSIHASPKYKLIIPPEVAAMVVFLCAICFIFATMLTYQADDM >Sspon.02G0008220-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:17768934:17771848:1 gene:Sspon.02G0008220-4D transcript:Sspon.02G0008220-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSFGHRANAVATFGVTILAAMCFAASFSDNFNTPTPTASVKVFVFVAAEYETPQNALNQGSNLKGKEFNLTMHWHVMPKTGKMFADKIVMTGYRLPEQYR >Sspon.01G0012970-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1C:34839829:34840038:1 gene:Sspon.01G0012970-2C transcript:Sspon.01G0012970-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSKKSACAAADLIDDLIVEILSRLPVKSICRFKCVSWHWYGLITHSEHRKKIPQTLSGFFYPGYRLNR >Sspon.02G0026400-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:91934530:91937008:-1 gene:Sspon.02G0026400-1A transcript:Sspon.02G0026400-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSHMKPTTSDCHHYSTRSLQRKRTNLSLSLLPPCGAAGHDHDRPMIPSSATPRRRTSQSKRRRPRNAASASVRGSASASARALAAGLWRLRHAERMMAAGASPAHAARTQPQKLNKGRSRRRPASHLCYGNKRRTDHLAAETHCRCRNGSILDKVPSSPSSIHHGADHLIDCIASHQIDACVECPAAYGSSSMEKATKWDANRLHSRGRRGLQLDDIFFTSPSTSPLLLLMEAELEKARGQVRELEDERRVMTKRLERFLRKLAEEKAVWKARVRDKARHAVAALRDELGSERRHRRQLEQANARLLRDLAEARSSAKQQTQSYEMERKARELMEDACSELTREVEEDQAEVELLRRECLRMREEMEEERRMLQMAEVWREERVQMKLSDAKLALENKYTHLNRLQAEMEAFLRSKDDESASHSAALREARLISDAAAATSSSVVRSRQSGENHHHHHHHHPGGLGGCGLGPGPLPTQGKGKAPSCRCGKGKGRRRAQVQQQPGEPRVVQIQRHAAVRQPRHRPLLGQGRRRRRHVRRRRQLGRPGDGFLQLGRHRRPLSFGGQWQRRRQRGDDG >Sspon.06G0001490-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:4811056:4814011:1 gene:Sspon.06G0001490-1A transcript:Sspon.06G0001490-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase (Fragment) [Source:Projected from Arabidopsis thaliana (AT5G55500) UniProtKB/TrEMBL;Acc:W8PUA9] SYPGCPAPRRRTPARPTSATASPAAWTSSPRAAGGGGGWFRCHHSETLGSSICEGARVRLDPALIAMSRGGEPLEQVMGRAEEEELPMYEPGALQVEGPAAGRTAPLVDAGFLNDYVPTGGIGMHTMRALLESARVVPPGELHCSQWVEEPTLLVTRFEYANLFHTITDWYSAYVSSRVTNLPNRPNVIFVDGHCKAQLEETWEALFSSVTYAKNFSGPVCFRHAILSPLGYETAMFKGLSESFSCEGASAESLREKPDYEKTARLSEFGEMIVASFGLLQDDIMSSKKSNGLNVLFVRREDYLAHPRHSGKVESRLSNEREVYDAIDKWAQGLKCKVNVVNGLFAHMTMKEQLRAILEASVVIGAHGAGLTHLVSATPDTKVLEIISSMYRRPHFALISHWKSLEYHAINLPGSYARITDVISELRKILEGLGC >Sspon.07G0024920-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:33312824:33314711:1 gene:Sspon.07G0024920-1B transcript:Sspon.07G0024920-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RQSAGMEEELLLEGSSVAVPPLAESSNYCWPSGIDFGTTEELMMMSDLVDEAAVSSSPVQQQEQEEEEEPRRRRESMLNKLISTVYSGPTISDIESALSFTGADQAAAVDARNYKSMACRVFSPEKVLSKMENKYTLKIKTCGNGLAEDGYKWRKYGQKSIKKHFACRSYYRCTNPRCNAKKQVERSTEEADTLIVTYEGLHLHYTYSHFLQPPPPPPQPKKPKLHSAAGPSPPPPQPIIMLEDLDGPAQQDITTGPLDAAAMAPAPPAALCYLDDMFEQPAFFEELQLQQRQHVTNGGLLEDMVPLLVRRPCSSTGAATTTTGSSSTTSSPPPPLAPSPELSTSSVSWNPTSPYIDMAIL >Sspon.08G0027230-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:39778037:39779079:1 gene:Sspon.08G0027230-2D transcript:Sspon.08G0027230-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLKIEIVDVDVNVVDVEVVVEVVDERRRTRRSRRADAPFGRRAVVEALGADPKDEGSGDLLTRSPVHASDRTGREEAKTLAQLDAFWREEPDFDGPFTQVPRTRALRPVPARRASACELLLLLLTLDLRKPLGSDAWVPARGAISDDGVTQFLRQMYLQQLGAGPSCGTNSSNGGCPRRP >Sspon.04G0012860-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:43389978:43391993:1 gene:Sspon.04G0012860-2B transcript:Sspon.04G0012860-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFLLKLVLLGFNFATSFASDNPKFAYTGFSNTNLELDGTASITGDGLLELTNGMAMSKGHAFFPDPLHFRKSPNGSSVQSFSAFFVFGIISVYNNLSSHGLAMFISPSKNFSAATPVGYLGLFNAQNDGNGTNHVFALELDTYLNSQFQDINNNHIGININGLHSVQSHVAGFYHDKNGTFEFEDLTLCSQEAMQVWVDYDSENTKISCTLAPLSMAKPKTPTVSTSYNLSTVLTDVAYIGFSSSTGKINTRHYVLGWSFAMNGPAPAINTSILPKLPLAHSKSHPPVLEIVLPVATAVLLVTAGAIIFLSVGRHIRYAELREDWEVEFGPHRFSYKDLFCATEGFRDKNLLGTGGFGREWEKVVIHRDIKASNVLLDDEMNGRLGDFGLARLYDHGIDPQTTHVVGTIGYLAPELASTGKATPLTDVFAFGVFILEVTCGRRPVKHNAQHNQIMLFDWVFQHLQNGSLTDTIDNRLKGDYNVNEACLVLKLGLLCSHPFANARPSMRQVMQYLHGDVSPPELAPTHQSFEALALMQEDGFDPYIMSYPSSTASNGTSVSATMSQGR >Sspon.02G0017130-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:43727210:43734355:-1 gene:Sspon.02G0017130-3D transcript:Sspon.02G0017130-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARDRRGPGGRGRFGGGPPGDERPVRHGVRVEEDPYFPLPRRGSGWGVAPPSRHLWVGGLAPSVTASDLSELFLRCGDVEDVARQPGRNFAFVSFLREGDAVAAVRELQGARLAGAPVRIEFSKGDKAPGSSMDDRYTQHADERHSIERGRKRHLSPENTIDKYKRNRSTEPSEVLWIGFPPGLKVDEAHLWEAFSPFGKVLRVTTFPGRTYAFVQYTSVAAACRAKEALQGKLFNNPRDAGIELGHASNIEPFRTRELGQERRLPEELYEPHRQSPTARSDAPWKPWNNIPFDRPQRPLPMEASWDVEDNSYLISKKLKGAQVHDSELPEYPFSEFDRGKVYPEYQRRPHHDLSEDGLHSRTSSFTDMHDRHHVHPLKNITPLTNKHEPWRSQESFDRHLGKMDRSTPDHHEPALKDEWKWNGTIAKGGTPICRARCFPVGKVLNFMLPEFLDCTARTSLDMLSKHYYQAAGSWVVFFVPENDADMTAYNDFMSYLGDKQRAAVCKLGERSTLFLVPPSDFSEQVLRVPGNVSISGVILKFQQSNPDYSSPNRKSLERAHQSSASNFNTDVSNCEDLHALRRLNPPDISTFPQGPDYVRSSGVSYTPASADFIPPYKPESAPPYVISQLPQERPPADLPMGIAQGHQQLPNRLLSGWSNNLDDPNPGSGNFSLEQDAISRALNNRTQDPYTFASGVVPTGTASGYAPGEASNSMSWPSMQPNSQQVARSDQPTIPVSLPPDQLAQLAALLAQQNQPRKVGLPVDSSNNQSGFIQNSNPHGHATMMPGNSGSIPLHTHVPVPPIGSLPANPLNALPTSAPILCNTTLPMPPIHALVNPAHSSMPMRPFVPPLPEGPPPFQQQTSSAPTVQPLATSGQQQSSQQLPAQDLDGDPQKRLQATLQLAATLLKQIQNQSNPGGQK >Sspon.02G0015420-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:43034498:43037228:1 gene:Sspon.02G0015420-3C transcript:Sspon.02G0015420-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQATAAAFLARPLPRLRRIGGWGADAAAVRGGVIALPPRLRGSRCSISLSIAAGAGAGGDREFSYEHVPVFPRYRIRDPYKLLGVDRDASEEEIRSARNFLIQQYAGHELSEEAIEGAYEKIIMKSYQQRKKTKINLKTKLKKRVEESPSWVKALIGYFEVPSMDIISRRLFFFAFVAGWSIATSAENGPAFQLAISLFSCIYFLNEKMKNLLRASTTGFGVLVGGWIIGSLLVPLVPTFIIPPSWSLELLTSLVAYVFLFLGSTFLK >Sspon.06G0008230-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:33963321:33965657:-1 gene:Sspon.06G0008230-2B transcript:Sspon.06G0008230-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAARAALPRPHLSLPSSSRRSPRLSLTPSSAPRLRGGAATSVAAGTSAAPQQHQVTTRLGDVIEAQQFDRDALNEIFEVAREMEAVERGSHGAPSRVLEGYLMATLFYEPSTRTRLSFEAAMRRLGGEVLTTENAREFSSAAKGETLEDTIRTVEGYSDIIVLRHFESGAARRAADTANIPVINAGDGPGQHPTQALLDVYTIKREIGTLDGIKLGLVGDLANGRTVRSLAYLIAKYQNIKIYFVSPDVVKMKDDIKDYLNSKGVEWEESSDLLEVASKCDVIYQTRIQKERFGERIDLYEAARGKYIVDKKVLDVLPKHSVIMHPLPRLDE >Sspon.04G0003020-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:9228200:9231852:1 gene:Sspon.04G0003020-1A transcript:Sspon.04G0003020-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVLASASVTASALAFGGSSDVSFLAAATAPGSSNPGLLVYRTAAVYVDGVLPLVARIPSPAEFYRVAWSRPTEASCYSSTLPTGLIAGGLKSGVVAVWDPREALLEPSDSGSDSSIIEDYFCPKDVLQQVHGTTPNLVAGGHDHENRVTAVSDPHRNDNLMLQSEDGRSESGHGRWFSQFRVLGLSFSPTTPHFLASGGAKGTVLIWDLINPSAERIPHFQYSEDDNVQISDLSWNALKPNVITSASNVGVKILDISAKSSVIGKFSSMETCSAVEWCPTDKDTMVVASGNYCKVWDVRKVDKPLHQFSDTNSIVAISWCPFEKEIVLACTEEKLLLLNVKKGEVVHEVKAPGKCLAVRWSQHRVNHFALATSGGQPLYDKLEMYRGVGVITKLRVRIMFNDA >Sspon.02G0003170-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:10479154:10483209:-1 gene:Sspon.02G0003170-3C transcript:Sspon.02G0003170-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endoplasmic reticulum (ER) membrane protein, Early tapetum development and meiosi [Source: Projected from Oryza sativa (Os07g0622900)] MSRRARAYAQSQSQRNQQRQQSYGSTRNEPRPGGGEGKPRQAAAAAAAAQSARASRFVSPAEGKDEGGEAQLRGLYGRRVRLAAEGPGAAGGMGRDELLRRSLVALAAAVVLTGLATASLRKAAATYGFGILAIAGVLLPDWEFFDRDYSQWFTPMPASRRTAAAAAADREHDVWKFKPYPLRVAMLTTIYGFGLYKWWMYVSS >Sspon.06G0029140-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:15823867:15828454:-1 gene:Sspon.06G0029140-1C transcript:Sspon.06G0029140-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRTEKGDVDDPANASNGESLQTHNLLWTANGGVVEQLAYPSGSPSSFEAKVSADMYFKEDLSQYSSRKEVKVKEKLVLGNNNSRAGANDWPGPFATAARIYEEREAKLRARELNSSKVGKSANRVIVWSPSKDRKNPARAQAPSLTSLCLNTLVEHSECIESLGGIPEELKDKLLKILCHSRKMKTHLLHELLCDSPTELHLSECSWLSEDDFEKTFEKCSTESLQDLQLDISGRCMPDYILPSTLAKVGDLTALAISRKCSVLLEALDLSFCRALTDEALGLIVDSCPSLRILKLFGCTQVTDLFLKGHSNTSVKIVGIEGSILVQMDNHGHDWIFRLLLFQKLMR >Sspon.05G0008840-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:20138648:20142296:1 gene:Sspon.05G0008840-2B transcript:Sspon.05G0008840-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPMEISFSAPPPPPDAACAATAAPLLAPAAAQTLPPVAAVSSSPPQQQTTVAVAAAVVAPPPADDKVLVSVEVLLHASSAARHEDVQVAVERMLEARSLSYVDGPVPIPADNSFLLENVKRIRICDTDEWVGNCRVLLFWQRLLRYAASALLFTERGVDTCLVSWNRIVLLHGPPGTGKTSLCKALAQKLSIRFKSRYSMCQLIEVNAHSLFSKWFSESGKLVAKLFQKIQEMVEEESNLVFVLIDEVESLAAARQAAISGSEPSDSIRVVNALLTQMDKLKSWPNVIILTTSNITTAIGTRFPAI >Sspon.01G0002720-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:9449378:9449863:-1 gene:Sspon.01G0002720-3C transcript:Sspon.01G0002720-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding EVHREVLVDMGQIQYSEKYFDDTYEYRHVVLPPEVAKLLPKNRLLSEERVARHRCAAEPRVGALRDPPAGAAHHAVPPPDQLPAAAGGGCSADAG >Sspon.03G0029820-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:24724261:24724677:-1 gene:Sspon.03G0029820-2C transcript:Sspon.03G0029820-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SAMEAGRKPAVCRALLVLLIVASSERRCHPCLPCSYIRGAFRPDGELGFHACDFVPSSFPSQAQRCRLPTPRAAGRTTTTTLSASPVTARRPAWITATWTAAAAGRGGRIASACWRTATSRREKAASRGVLQVASPADE >Sspon.03G0001120-1P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3D:8981464:8984229:-1 gene:Sspon.03G0001120-1P transcript:Sspon.03G0001120-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLNNHQIVLLLSSIWSQASLNDNSPANFEAMGLAYSIALLCSKSKVDPHLCLMDDCQLVNTSAESSNSEMVYGSEEDESDALAFLSAINKHDTELVETMMCHFKEKFENLPEKFNGIEEQLFQEFSLDDSFPLGAPLFMETPHSCLVYAEKDEQCFDEDTVPSELDDDDIIFEHSGSQSDRKTSGSMASSDVLTVNQLIESVHETARQVANVPVSANPVPYDQMKSQCEALVMEKQQKMSVLLSFKHSRTDSHGSAGVDGLETNESSLRSEPELRKGRMRRCDSASSESDCSFRLPPASPYDKFLKAAGR >Sspon.01G0014200-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:42395034:42396645:-1 gene:Sspon.01G0014200-2C transcript:Sspon.01G0014200-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTGEGAEAAAVPRVVSILSALLQRVAERNDAAAEEGAVAAAPAAGRPVSAFQGLTKPAISIGGYLERIFRFASCSPSCYVVAYIYLDRFLRRRPALAVDSFNVHRLLITSVLTAVKFVDDICYNNAYFARVGGISLVEMNYLEVDFLFGIAFDLNVTPAAFASYCAVLQSEMAYLDTPAPVEAPRLHHCYAAAGTSDHHHDDPAAAVGAAAAGRCH >Sspon.06G0019240-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6B:1094126:1095950:1 gene:Sspon.06G0019240-1B transcript:Sspon.06G0019240-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPFQRRDLDSRRTQLERTKWTRGVRSDQHGCRVHGCLPRAGDSEPVSEVKVMECAVPVAGEGEAGGRLFCASGSTSGAAAGSARPQPASPSAARHRSTPAPRHPQPLRHAVPAPRRASSSSLFWDVVPAPIRRSYPAVPEPRSGTLVPGTRISATYRDPVTVHTSLGARRYLLSSQPVAILSERWGGYCGVEPRVASLCPHLLFIVLRDGGPPAGSLGWASPIRTRSRGPAGGDQFQHSPP >Sspon.06G0008130-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:42370761:42382833:-1 gene:Sspon.06G0008130-1A transcript:Sspon.06G0008130-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding CNIRASRGWKKASPLKGVVRMHKGVSDPLDVVAAEISDEAIILCLDEFMERCIVHPIGSAVDYRQMGSVMYENKARLLCTAEAGPIDLFENIVTVAEAQKVSRRSSRSQKSDDPDLCVDNELGFAKDRTTSRLTEINSREYLEDFEMRLQQQQQLPLQGLDNGGDVVLA >Sspon.05G0025440-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:19987949:19996540:-1 gene:Sspon.05G0025440-2C transcript:Sspon.05G0025440-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARHEQFLALLLCLAACALATGPADATRKMVGVYELRQGDFSVRLANWGARVMSVVLPDCKGNLADVVLGRDTIAEYVNDTEYFGPITGRIAQRVARGRFVLDGKVYHMHRNDGRNTIHGGDRGFSRSIWTVKEYVGGGESPYITFYYSSFDGEQGLPGNVDAYVTYRMSGPYTLGVHMNATALDKATPVNFLLHVYWNLGGEGSGRDVLGHTLRLHASRYAVLDDELLPSSGRIEPVAGTPLDFRTPTPIGSRIRQVVVMGGRAVGYDANYIVDGGEGTMRPVAQARDPASGRALELWANQQSMQLYTGNSLNHTKGKGGKVYDRYAGFCLETMGYVDAVNHPEFPSQTLRPGQVYKHDMVYKFSF >Sspon.08G0028840-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:17869034:17872114:1 gene:Sspon.08G0028840-1D transcript:Sspon.08G0028840-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKKVAIPLVCHGHSRPVVDLFYSPVTPDGYFLISASKDTNPMLRNGETGDWIGTFQGHKGAVWSCCLDRNALRAASASADFSAYGFLTL >Sspon.08G0021610-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:40385297:40391979:1 gene:Sspon.08G0021610-2D transcript:Sspon.08G0021610-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G37630) UniProtKB/TrEMBL;Acc:F4K790] MAPAAAVTGAGDSDRLAREVARVLDECRASHAVHPRKLRELAALRSSSGRGGGQPFLAAFCVAVTPLFTLARRSAGSDRVARFVAAFASASASSLADGGGNGFLEEFLRFLVTASKAAHRPARFRACQIISEIIMRLPDDAEVSNEIWDEVIDGMKVRVQDKIAAIRTYAVRALSRFAIDGEDGGITLDNEQNAVCEIVLNSFPVTSIRYVHLVVSNCDLVSFLKEVRMAIVFSLPPSNNTLESVVESMLDISESVRRAAYSVLSTKFPLQSLTIKQRTTVLHRGLSDRSASVNNECLKMLKDEWLVKYCGGDVISLLRFLDVETYESVGESVMAVLLKDGALRVHDGHSIRQYFTANAEQDSNIQLMDAEVALYWRIMCKHLQAEAQVKGSEAAATTGAEAAVYASEATDKNDLLDSVLPSTITDYVDLVKAHLSAGPNYHFTSRQLLLLGEMLEFSDTMNRKIASSFLHELLIRPLEHEVDDDGNQIAIGDGVSLGGDKDWAKAVAELAKKVHSSVGEFEMVVSTVVEELVRPCRERTAHFMQWMHCLAVTGLLLQNTSTLRNLQATAIEPSELLHSLLLPAAKQNHVDVQRAALRCLCLLGLLENRPNAELVKQLRLSFINGPDLVSAMACKALIDLVTWHGPQEIDRAIGIESPDPSYEKSQFTQVDLSDMNADDVNIGVLDILFSGFHKDDWEFDLEGDNHDNVPTILGEGFAKILLLSGNFASIPADLHTVILAQLIRLYFSEEAKELGRSIAHLSSIETMSVCLLSALSSTFRQTQGKPIKLTIHWLKLFYSCISNAFVPVMKAMWPGLYGNAGGSPVVISKRRKLAVQASRFMVQMVQTQLFSTESMDQALKSPESASVSANGSNNFDISEEGLAIRIALEVASCPDKKTAAGKAYALALCKVVVLLRFRQSEQKALKCMRGLVNHLAASVASDKELVKELAQMAARLRSLDACPDEELSQDDADAIFKKLGLDGGFKLDTNQEVPPTPAPRSARPPASARRKTKQAPPSSSDESDEEGGEVSVPATSVSRVPATPSMTAAARSQRASKTAALSKMSAKPPAIASDGSESDDQSDVTSEEDSSDEESTMRFPASSEG >Sspon.01G0041950-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:51520729:51528274:1 gene:Sspon.01G0041950-2C transcript:Sspon.01G0041950-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLGLYTFADIAADGVPHLEAADGEELVRVNRAAELALGSRALKAPGTLFLTTRRVIWVSDGGEGYAVDFVAVSLHAVSRDPEAYPYPCIYTQIETEASLGEESDVSDSETNGELELSKVTEMRIIPSDPESDEEHGWVHGDEGYEDMTDGSDTEFSDVNPIGQTGVQDITHAVIELQINDQRFEDAEIDEESHRNGN >Sspon.05G0016770-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:69249919:69256216:1 gene:Sspon.05G0016770-1A transcript:Sspon.05G0016770-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPSPPLLLLALLHFAFLSTNAAAAADGNGTGTGDLRGDAMALLALKAALGCRPGALRSWSAANAGSVCAWTGVRCGAAGRVVAVDIANMNVSASGGPVSVRVTGLGALETLSLAGNGIVGAVAIASPLPALRHVNVSGNQLSGGLDDGGGWDFASLPALEVLDAYDNNFSSPLPLGVAGLPRLRYLDLGGNYFTGEIPAAYGAMPAVEYLSLNGNNLQGRIPPELGNLTTLRELYLGYYNVFDGGIPPALGALRSLTVLDVSNCGLTGRVPAELGALASLDTLFLHTNQLSGPIPPELGNLTSLTALDLSNNALTGEVPRSLASLTSLRLLNLFLNRLHGPVPDFIAALPRLETVQLFMNNLTGRVPAGLGATAPLRLVDLSSNRLTGVIPETLCASGQLHTAILMNNFLFGPIPGSLGWCTSLTRVRLGQNYLNGSIPAGLLYLPRLSLLELHNNLLSGAVPSNPSASASSSSQLAQLNLSNNLLSGPLPTTLANLTALQTLLASNNRIGGAVPPELGELRRLVKLDLSGNQLSGPIPGAVGQCGELTYLDLSRNNLSGAIPEAIAGIRVLNYLNLSRNALEDAIPAAIGAMSSLTAADFSYNDLSGQLPDTGQLGYLNATAFAGNPRLCGPVVSRPCNYTEGAGVGVAGVTTTTTRRGGGELKLVLALGLLACSVVFAAAAVLRARSFRVDGGGGGEGRWRFTAFHKVDFGVAEVIECMKDGNVVGRGGAGVVYAGRTRSGGAIAVKRLQAQGGAGDDRGFKAEVRTLGSIRHRNIVRLLAFCTNRDANVLVYEYMGGGSLGEVLHGNGKKRGGASLAWERRYRIALEAARGLCYLHHDCTPMIVHRDVKSNNILLGDNLEARVADFGLAKFLRRSSGGGTDECMSAVAGSYGYIAPEYAYTLRVDEKSDVYSYGVVLLELITGRRPVGPDFGEGVDIVQWAKRATAGRREAVPGIVDRRLVGAPADEVAHLFFVAMLCVQDNSVERPTMREVVQMLADEFPRHAAPSSAQTSPSTSSSAAAPTPGGEESSPDGGTKEPPANCYK >Sspon.01G0036340-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:13574788:13585001:1 gene:Sspon.01G0036340-2C transcript:Sspon.01G0036340-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCNKETFDVIDMQDCDASVINTISATIKESDELCEGFRKSDKARQYVDELRKCDNKDDFRNKRLDLPGELLGRELRAHLRLPERRMIKAIQRDLNSDRELQDLVRYIDASIVTNGLNRAFSTGCWCHPYKRAERCSGIVATLRRTNPLQMMSDLRKTRQRVAYAGKAGDARYPINVEIKRDKHHKEVRVFSDAGRLLRPLLVVENLKKIRKPKGRSLSFQELMQQEIIEFIGVEEEEDIQYYNTFGRKDDFARPEYFNGQNAIVAVNVHQGFNQEDSLKAKEDVSTILMMMALPYIGASLQTNDIVIGKVSESGEDHSIKLKHTEKGMVQKVRTSCLGDKFSSMHGQKGVVGFLESQENFPFTHKGIVPDIVINPHAFPTRQTPGQLLEAALGKGIACKEEEKERTDYSRWGAENVLNGQTGERMQSLVFMGPTFLPEADSYV >Sspon.03G0018690-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:57888383:57891537:-1 gene:Sspon.03G0018690-1P transcript:Sspon.03G0018690-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRGARDDALMGSQLKRPNVARSDPSAQPQHNMPVPGSASAAAPPPQAGAAPPAQPQQPSGAALTNQKLTTNDALVYLKAVKDKFQDKREKYEEFLEVMRDFKSERIDTNGVIVRVKTLFNGYPELILGFNAFLPKGYAIKLQEEKKPVDFVEAINFVNKIKNRFQHDEQVYKAFLDILNMYRKDNKSIQDVYQEVALLFTEHKDLLEEFQHFLPDTSVAPQAVASRGGLVKREDRSSLVPPANRTLHNDKRDRAYLSHADRDFSVDRPDVEHDRQRRRLDKEKERKVERDRRDYEREDKDGEHDSRDLEIGQRKRKPFPRKMEDNAGAEAHQGGPSENHGIHSVSASSYDDKDALKSVYTHEFHFCEKVKEKLEHEAYQSS >Sspon.01G0006880-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:18390273:18394355:-1 gene:Sspon.01G0006880-1T transcript:Sspon.01G0006880-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding PMGPPHAAQDPAPSPSGGSGSGSGSSRRRLRRLDRRNASKNIGYNAPNYCQYPPSPQPASAPGSGPASLAASVACSLDLVNSFRIGGSGDGGGDLRFLCESLGLSGPDDFAIPLADWEAHKAVRSSPSSARPNHDSPQRDSPLCRVGAEEPAQAADADPALPAATGRDGPIEAPERPARLDPPLESTCPDARRAAGEGGIKGVRPPPVLKPPPSMALPAVCGVGSTWDILRSFAPDEKEDAPASRSGRRFGHRDAGEKDDDEDGAVLLMLDDLGLEESSEGFTGTSSLSTTNDDETSSTTTESMFYISPNGRFRRRIRSWSRGVLLGSGSFGTVFEGISSEGVFFAVKEVNLFDQGSNAKQCIFQLEQEIALLSQFEHENIVQYYGTDQEDSKLYIFLELVTQGSLASLYQKYRLRDTHVSAYTRQILNGLTYLHERNIVHRDIKCANILVHANGSVKLADFGLAKQITKFNAVKSCKGTVYWMAPQVVNPKKTYGPAADIWSLGCTVLEMLTRQIPYPDLEQTQALYRIGKGESPAIPNSLSKDARDFISQCVKSNPEDRPSASKLLEHPFVNKSIRSVRSMRTTSSRSNSSTRGIN >Sspon.01G0018580-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1C:69927755:69928958:1 gene:Sspon.01G0018580-2C transcript:Sspon.01G0018580-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DGCDLGGYDEVGDVHEYLARLESAGSRTLDELLGSESSRGRPVRVVVYDAFLLWVPRVARRHGASCAAFFTQACSVNVVYDHAWRGKVKLPVDKVLAAELPGLPKGLQLEPADCSSFLTQQDSSSTSTYLDLLLQQCQGLEVADHVLINSFYELQTEEAEYMASRWAARTVGPTLPSAYLDNRMPDDSSYSFSLHAPMATECNAWLANRSARSVVYVSFGSIFAPGPDQLAEMAQGLYNSGKAFLWVVRGPETSKLPESFLSKVRESEERGLIVAWSPQLEVLAHPAVGCFVTHCGWNSTMEGLGIGVPMVAMPQWSDQPMNAKYIEDVWRVGVRARPDVEGVVRKDEVE >Sspon.06G0007660-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:34422725:34423443:-1 gene:Sspon.06G0007660-1A transcript:Sspon.06G0007660-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRKRTTGVAGRLVAVSAVGDVAAEGNTYLIAGAVAVALVGTAFPILFSRKDTCPECDGAGFIRKAGATLRANAARKDEAQIVCPNCNGLGKLGQIDK >Sspon.03G0039940-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:15608460:15609101:1 gene:Sspon.03G0039940-2D transcript:Sspon.03G0039940-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding YARAGQDARTISPSHPRLHCSRTPTRRDDDEHGSQRPSGTSQFPAPVPQLRPDDHAVHRRRHIAGNRGSFHAAPRLHEPGANRPLRQLAARLDEARRTPARRGDRDRRAAPRQEGVRAASGREAAGRPRHQLGRQQQPYGGREGLVRRRWRGRQPQVFRRPPRRSGGVSHRGCRRDGAVQWRHRLCRCQSCWCTRNGWECQLEQSAQFQCASQV >Sspon.05G0012840-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:5C:33439041:33440120:-1 gene:Sspon.05G0012840-2C transcript:Sspon.05G0012840-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEAKHCRFLTELPRHIKKLVKLRHLDLTKELGYVHLPHGIGELTELRTLPVFHVSGDSSRCSISELGSLHNLRGCLWLSGLESVKTSSKAQEASLKDKHRLNDLTLQWHNDGIDIEDEDEDAEDVADEQVLEGLEPHANLQVLTIRGYEGSRFPAWIQGSSSLPNLVTLTLDSCCNCTEFPAIAHLPSLKSLSVRKMYDVRWLSSSTDTHGTGSAAAKFPSLELLNLWEMYGLEELFFEESEGDYCPRLRKVCISRCPDLKRLPRARSLTELVLHCGQQLPDISELSSLVSLKIEGFHGVRSFGLPAAAAALRRIEIRSCKELESVDGLSAALTIVRRIKIAGCPKLVLPGTSSLQTT >Sspon.06G0009530-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:40857611:40868737:1 gene:Sspon.06G0009530-2B transcript:Sspon.06G0009530-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVGGMLASAVLNVVFKQISAVIGGQITLQQDFTQDLKKMKMTLESLAAVTAVLQDAEMKSIENRSVQLWLKRLKNAMYDIPDMLDEFEADTQQPAALSKMSLKLCLLPLASCGPKNKLANGMKKMKDELKEIADQHSRFRLEVGSAFNEQKFPDARETAVVMNDKKVIGGRTEEKMKIMVSLSKSITEKFTILPIYGIGGIGKTTMAKTVFNDTMFNDYSPVWIYVSQMIHTRLVELFAGKNILIVLDDLWEDNDQFQLDELKKMLKVSEDSKVVVIVTTRNESIANDLRTVQPYKLALLSDDSCWTIIKQKSGIDSRPDDKKQLEHIGKDIARKCGGVALAAKRLVLQEASHLGVDMRLNSSTLSLKSNVIAMK >Sspon.01G0016190-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:51479226:51480302:-1 gene:Sspon.01G0016190-4D transcript:Sspon.01G0016190-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MELPRSMAVLVVVFFVLCRDLARSPAMAGKQAEGFAFLAVGEAATFTFVNRCTDTVWPGVLSNAGSPRLEPTGFELSPGAARAVPAPAGWSGRMWARTGCSQDGATGRLVCATGDCGSGSAECAGAGAAPPATLAEFTLDGSGGLDFYDVSLVDGYNLPVLVETSGGGGSTGPSSCAAAGCAADLNAMCPAELRAGGGAACRSACDAFARPEYCCSGAFASPAACRPTAYSQVFKTACPRSYSYAFDDPTSTFTCGGGPDYTVTFCPGATPRSGL >Sspon.01G0051430-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:17582222:17585393:-1 gene:Sspon.01G0051430-2D transcript:Sspon.01G0051430-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGGFLSRVLLLAFGYAYPAYECYKTVELNKPEIEQLIFWCQYWILVALLTVLERFGDFTISWLPFYSEAKLMFFVYLWYPKTKGTTYVYGTFFKPYISQHENEIDRNLLELRARATDMVVLYFQKAASVGQNTFFDVLKYVAAQSPSQKSRQRPHQESQQPQQQQPQVQVQLQQPQPQKQAAPVMRRAASIAARQAAMAQQSQETKPIPSSPKIKRQTSGKSGSVASTKPAAAASTPKPGGSPKK >Sspon.01G0043840-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1C:72715525:72716942:-1 gene:Sspon.01G0043840-2C transcript:Sspon.01G0043840-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEHTRGSQILLIYLCLCLLLLSSSVHLAMSMDLDGYDDFDVSFADGSFSDEVDYDDFDVSFADGSFSDEVAPADDYDNIDESFADDSFSDEVAPARRLYDTSFNVLNSSSSSNRSGAAFCKLLSLQILDLSNNNLTGELPDCWWEMQALQFMDLSHNSFSGKIPEAPLTHKCSLESLHLAGNSFTGEFPSVVEGCQQLATVDIGNNRFHGDIPPWIGSRAPALKILRLSSNNFTGQIPPELSQLSQLQLLDLSHNRLTGLIPAKLGNLESMKHPKINSSIGSLDGSTYQDRIDIIWKGQELIFQRILELMTGIDLSGNSLSHCIPEELTNLQGLRFLNLSRNNMSCTIPKNIGSLKYLESLDLSWNEISGPIPPGMSSLQSLNTLNLSNNHLSGKIPTGNQLQTLIDPSIYGNNPDLCGPPLNISCQDPSQAFDEGNGGECQDQWLYYCVIGGIVFGFWLWYGMLFSIAKL >Sspon.03G0042020-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3C:48981687:48982232:-1 gene:Sspon.03G0042020-1C transcript:Sspon.03G0042020-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQGRPQATAAMQTSERRSSPSRRASLTLLAVSRHGKAWTAVCCQWKGVGCDNRTGHVVKLDLRGVEDYSKAIVLRGEMSSSITALHHLRYLDLSFNDFNYTRAPSFLGTLSNLRYLNLSNGNFEWSVSSRLGNLSLLQYLDHRDGGFYEMDLSWLPRLSSLKSFLISGVDLGSATDWVHKV >Sspon.03G0001280-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:14792165:14795903:-1 gene:Sspon.03G0001280-3C transcript:Sspon.03G0001280-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKGNWFTALKKAFTSSPKDKPTNVHQLVAQYPSTHGHGRDKKRWGGFGRSRPHAEPASPAAGALINIPLYREPSSIEKILGDAEMDQQRQYYAATRAQYQITPARPTTAVAASAAAPLPQPVVGTARERERERSREDKPASVVLPLPPPSPPPLIRRFDHDREQQQKLQPQSRAETEWRQQQQQPRRHRAARQRAPPPDRARAAAVAIQSAFRGYMASPSRRLALLFAFARRARRNYRSLRGLIRLQGVMRGASVRRQTAQAMRCMQTLVRVQAQVRASRVEAMERRNRQHHSAMLRDGGRWRAGSQDGGIWEDSRLTREEVDARTKRKVEAVIKRERALAYAYSHQLLKATPMAAHAILADLQSGRSPWWWTPIERRHEPGDYRPVEPASSKPRPAFTIARRETTTTPARSVVSAYSKTRSTRPVTKVGAPAPPLSHAGSIRDDESLTSCPAFGGVPNYMTPTLSASAKARARAHLQQQQQQQKAAQEKPRFSFGLGQSIGSWAKSPFWKAGAGGLPSSRVATPAASVAGGRHRSTRSISGLSVDSTVSMPAGLGRRPFK >Sspon.05G0008790-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:17706023:17710423:-1 gene:Sspon.05G0008790-2C transcript:Sspon.05G0008790-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKPWGGVGAWALDAERAEEEEREQALSFPAPEPPAAAGGAASFPSLREAAAAGGGKSKKNKKGTTLSLSEFTTYGAAAAQRRAAPVEPKGLTHEEMMMLPTGPRERSAEELDRSRGFRSYGGGGGGFGGAGGERRGGFDDEGRRGPGRSSDLDMPSRADEADDWGATKKFTPAPVDSGRRDRFGGPSPLGRADDIDDWSRDKKPLPSRYPSLGSGGGFRDSPGFRDSPAASDSDRWVRGATPMPHNGQGAGERPRIVLNPPKRDPAAAASTPPAEVARTRPSPFGAARPREDVLAEKGVDWRKFENEIEQKTSRPNSSHSSRPNSAHSSRPGSPGSQVSAVGSEGAPRARPKVNPFGDAKPREVVLQEKGKDWRKIDLELEHRAINRPESDEERNLKEEINLLKVDLKEFEGKMSNGSDQTSGDGKDLSEKISQLESQLEQLSRELDDKIRFAQRPRSGAGRVSTLAPTSLGEEPQATVVDRPRSRGGMEPPPRQEERWGFQGSRERGSFGGSRNSDRPMARQRW >Sspon.05G0014850-1P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5C:49111415:49113796:1 gene:Sspon.05G0014850-1P transcript:Sspon.05G0014850-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAKKLFKMGNADTDTAALHKEWDDALCPICMDHPHNAVLLLCSSHDKGCRSYVCDTSYRHSNCLDRFKKMKVNDGDSPSQSSSSMPRGTRNQNVVQRSRFGLTRESPRLHMDISEPDEASNHQDASHRPAAIAGEQEENNYNEGPDLTLEAHEVEINGPSESSDVSSLNQLLCPLCRGAVSGWKIIKEARQYLDEKSRACSREACTFSGNYREIRRHARRVHPTTRPADVDPSRRRAWHHLEHQREYADIVSAIRSAMPGAVVLGDYAIEGGEMFSHDRETSGPSEPSGSLLTTFFLFHMLSSSPIRSGDEPRGASRGLRRQRRRYLWGENLLGLQYDDDDDDDNDDDDDEGEEDEGDAVDEEVQRPRSRRRRARSAVVRPLSWSATHPSSIPYGRNSGVAPSGFSQICFLDTRVALQWDNDMPVVYEARVASVISRRTFEVYRL >Sspon.02G0041520-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:76348895:76361347:-1 gene:Sspon.02G0041520-1B transcript:Sspon.02G0041520-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MWAGSALSPFPPTSTSSPVPTHFSRLLGRSWRSKPRASPRPSPPPLSSPAAPLPPSPLSPFVAGSPPPRPCQLIASSSGGDGRVVALSSSELRKRRGLSSSSSGAADSASDGDEKLRSLRRLFARPDVAIDAYIVPSQDAHQSEFIAECFMRRAYLTGFTGSAGTAVVTKNKAALWTDGRYFLQAEKELGHHWTLMRSGNHGVPTTSEWLNDVLPSGCRVGIDPFLFSFDAAAELKDSIANKNHELVLVQGMNLVDEIWGDARPNPRKNQLGCMTSNMLLSFIRSQLAENGCDAVVISMLDEVAWLLNMRGSDVPHSPVFYSYLIVEVSTATLFVDSSKVSKDVLEHLEQAGVKLKPYEAIISEVESVNAAIITAFKSSCDRRMKKKGKAGKKVGEKEASSDDPITGDLGVQNGVISAVYNVSPVALAKSVKNDAEIEGMKNSHLRDASALAEFWCWLEEEICKSVPLTEVQIAEKLLEFRQKQDGFIETSFDTISGYGANGAIIHYRPTPESCSSVGSDNLFLLDSGAQYIDGTTDITRTVHFGEPSPRQKECFTRVLQGHIALDQAVFPERTPGFVLDVLARSSLWKIGLDYRHGTGHGVGAALNVHEGPQSISYRYGNLTSLQKGMIVSNEPGYYEDNSFGIRIENLLLVKELNLANSFGGISYLGFEKLTFVPIQSKLIESSLLSPSEINWVNDYHEEVWEKVSPLLSGHSRDWLWKNTRPLLDV >Sspon.06G0020530-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:9725055:9726070:1 gene:Sspon.06G0020530-3D transcript:Sspon.06G0020530-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding PMDRCARSPGGGRSISHLPARVAGAVVRSLLTVVFAAVGMVLGAVTGALIGLATESGLVRGAGIGAISGAVVSMEVVDSSVAIWRSDESGIWSVLYQLDVLWSLLTGRLVREKVDPAVQSAVDSQVTFTVQCHLSIDETLRSPAKLTCSRSAAQMNAADSGDDMAPTLADMFETGAAAAAAPKGMAAAAIAALPVTAFTESTVADASGEPIGCSVCLQDFEAGETARSL >Sspon.06G0002500-4D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6D:5479762:5480771:-1 gene:Sspon.06G0002500-4D transcript:Sspon.06G0002500-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding QQKNAHVPKFGNWDNGGNVPYTLYFENARKGKGAGGKMINPNDPAENPEAFSMAAPSPNRSEVPAPTPPRHERRPSDAPPAPAPVSPNPYAGSPYHHRHGGRGGGRAVGGGGGYSVEQSPVHPYSSESAGYGLVANSVDRSRAKGGSRGNETPTRGSAVPKFGDWDSNPASADGYTHIFNKVREEKQTQAGKPAAYGKDGASGNGAKQHHDDGYVSSVSGAQPCADNTTKLAGSVISFELCIWVLVAEILVLWMVQVEIECLMNGDDDGYIQMLVPLGCKSGQGGGCEFVIRPGLKRSP >Sspon.08G0009250-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:40602422:40604463:1 gene:Sspon.08G0009250-1A transcript:Sspon.08G0009250-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTSMKLIIITILLFLIVGFIPEFSIAASDDEQFVFTGFSGSNLTLDGAARITSTGLIELTNDTARIKGHAFYPSPLRFRQSPDGTVQSFSLSFVFGILSSFGDIRGHGFAFFISPSKDFTDAYSIQFLGLFNSTNNGSLSNHIFAVELDTIQNTEFGDIDNNHVGIDINSLNSLKSYTAGFYNDKNGTFTNLSLIGSGPIQTWVEYDAKKTQIDVTIAPLGLEKPVTPLLSLAFNLSTILTEEAYIGFSSSTGLSTGHHCILGFSFGMNSPAPTIDSTKLPKLPYLGPRPPSKLLEIILPIASALLVLVIGTTVVILIRRHFRYKEVREDWEVEYGPQRFTYKDLFHATKGFNSKHLIGVGGFGRVYKGVLPKSKSEVAVKMVPYNSKQGIKQFTAEVVSLGHLQHNNILQLHGYCRRKGEFFLVYDYMVTCGKKPINEDTRGNQLMLVDWVIQNWHKDSLLDTIDIKLQGLLCSHPSPDARPSMRQVLQYLDGEMTLPELLPAHFSFHMLALLQNETRLNTSTVSLYPSPIMMMDFGSVSFSLDGR >Sspon.04G0017200-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:68870720:68872910:-1 gene:Sspon.04G0017200-3C transcript:Sspon.04G0017200-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPRPHGHIVRVALALVFAFAFASTVLLSPAAEGVQEGDVLIAFRDTLRGPDGAPPGPLRNWGTPGPCRGNSSSWYGVSCHGNGSVQGLQLERLGLAGSAPDLAVLAVLPGLRALSLSDNALTGAFPNVSALAVLKMLYLSRNRLSGAIPEGTFHPMRGLRKLHLSSNEFSGPVPGSITSPRLLELSLANNHFEGPLPDFSQPELRFVDVSNNNLSGPIPVGLSRFNASMFAGNKLLCGKPLEVECDSSGSPRTGMSTMMKIAIALIILGVLLCATGIASGALGRRKRRPRRAAAERLGSGDQTPSNPKLNTAPAVNIENAASTSQPRAGAAAGAGAAAAAGKRPRRDEHGRLVFIQEGRTRFEIEDLLRASAEVLGSGNFGSSYKATLCEGPAVVVKRFKDMNGVGREDFSEHMRRLGRLAHPNLLPLVAYLYKKEEKLLVTDYIVNGSLAQLLHGNKGSLLDWGKRLRIIKGAARGLAHLYDELPMLTVPHGHLKSSNVLLDGAFEAVLSDYALVPVVTAQIAAQVMVAYKAPECIAPQGKPSKKSDVWSLGILILEILTGKFPANYLRQGRQGNADLAGWVQSVVTEERTGEVFDKDITGARGCEADMVKLLQVGLACCDADVDRRWDLKTVIARIDEIREPDAASSDSSSS >Sspon.08G0003120-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:6739985:6743990:1 gene:Sspon.08G0003120-1P transcript:Sspon.08G0003120-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:decapping 2 [Source:Projected from Arabidopsis thaliana (AT5G13570) TAIR;Acc:AT5G13570] MTMAGGGGLNRSSSRGQLPPQELLDDLCRVNQSELNRLCSRFLLNVPKEELESFERILFLLEQAHWFYEDNSVEHNPNLKSLSFKDFTSLMFKSCTALRPYIAHLDDIYKDFNNYKFRVPVSGAIILDDTYEREISWHRIDELLPASDDAVSRAVNGMKLYMVAPFLTGLKAWIATHPPPLHQKPETSARGTVWKAKNSSSGGAPVENPVAKAGSDVQAQHVDNRPGRSFRNFRFDTASILQSMEASFLRT >Sspon.01G0010010-3D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:30640923:30642723:1 gene:Sspon.01G0010010-3D transcript:Sspon.01G0010010-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRLEAVSYIPEYSVDPRHNPAILELAKLDFNLLQHLYQKELKTITQWWKDLSEDIGLEYMRDRIVECYFWAYSVYYEEEYACARMILVKLLMLTSLLDDIYDDHATLEECWELSKAIERWGESDISLLPEYIKKFFLKVIRNFTTFEDELETHEKYRNAYTRKAHPSFSKGNDSLLCLPFIALQRL >Sspon.01G0011170-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:92861778:92862676:-1 gene:Sspon.01G0011170-2B transcript:Sspon.01G0011170-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNMGGRTSATIRCKYCSACLTVSPGERAVQCTQCCGVTRIRRSLSSRVPLPALTRPAAAPPMGAFPCARGKKRAVLIGISYASVRRGCGQLRGPINDVKCMRQLLCQRFAFPSDGIILLTDDQKDPFRLPTKDNIRMAMQWLVQGCSSGDSLVFHFSGLGAQVADEDCDELDGYDEAICPLDSFHKGPILDDEINETIVRPLVHGAKLHAVVDACHSATVLDLPFLCNMSRTGNWQWEDHRPPSGVCKGTSGGQAVLISGYSDGKTKFSV >Sspon.06G0028240-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:4508187:4510948:-1 gene:Sspon.06G0028240-1C transcript:Sspon.06G0028240-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPLLKGILGEVTVLTLAPTLPLLVDPWRRDVRLPQRIHGRGSRLDPANTLRLLTSSQDGMVFASGAGFRTSHHRHREAVMESKMQVDKTRQLKFLLLYASTGRPKGANVLVLLHAFTIS >Sspon.03G0034480-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:61282131:61283301:-1 gene:Sspon.03G0034480-2C transcript:Sspon.03G0034480-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLSAAVLCALVAVQAAVLLATLPSAQAKELEVGYYSKKCKGVENVVKWHVVRALKANRRTGAALVRLLFHDCFVRGCDGSVLLDASSDNPHPEKEARVNIGLAAFDLLEEIKAAVEKRCPGVVSCSDILIYAARDAASVLSNGHVHFAVPAGRLDGFVSKAEEAQVELPDSTHDVQQLIDNFAKKNFSVEELVILTGAHSIGQGHCSSFRGRLSEPSSQITPAYRDLLNYKCSQGSDPPVDNNVRDEDYGVVARFTPGFTSRVRKVPDFLDNSFYHNNLAKIVTFHSDWTLLTHKEAFGHVVEYRDNGTLWDEDFSDSLLKLSKLPMPAGSRGEIRKKCSVVNHRLY >Sspon.03G0011920-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:39973972:39975781:-1 gene:Sspon.03G0011920-1P transcript:Sspon.03G0011920-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGGVVKHILLARFKEDVTQERLDELIRGYAALVAAVPSMKAFQWGTDVSIENLHQGFTHVFESTFESTEGIKEYIEHPAHVEFANVFLPVLVKVIVIDYKPTSVN >Sspon.02G0039380-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:31569734:31570189:1 gene:Sspon.02G0039380-2D transcript:Sspon.02G0039380-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQELNLELTLFHPSVSPEPPGYFICMYCDRKFFSSQALGGHQNAHKYERSLAKRRREIAAALRAHGAAATATGARDAAGMGARDAPARTQGTAGTGVVVFEHKSATRMDEQKAPADDAAPAPAPRSNKRRSSDSGYGVERAEELDLSLRL >Sspon.03G0012880-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:35831251:35832758:-1 gene:Sspon.03G0012880-1A transcript:Sspon.03G0012880-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDHPRPTTMNACKQGSEEQGNKDMDAFSAVMDKVKSHPEVMEKVSAVMDKVKSHPEAVEKVKDEVKSLADALHLRRHGSKDKESESEEKAEGGEAAQSVVDEGASADKTEEPNLLEQAVEEIQAVAAALQQTAPAAETETEIPVEAAAAAETSAEGDKPDETNREVEKDDPKKRLDFAGFFAMLFERFCSPGNKKKD >Sspon.01G0003810-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:10735633:10737720:-1 gene:Sspon.01G0003810-1A transcript:Sspon.01G0003810-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLPRYAGPGLTTLGQVLKQVRSESGRVPGLVRSPGRYTICRGTSRVAAGYKQGQFLLRLALAVVSDPGSEEELVGRGGMDQLVAMAMMAQPASPTSPHVAHKIPAGDGPYARAKHFQKDLDASIAWFWKAISTGDKVDSALKDMAVVMKQRGYLTEAIDAIRSLRHLCPKQSQESLDNILLDLYKASGRTKEEIELLKQKLRKIYLGEAFHGKTTKRARSHGRKIHVSVKQETSRVLGNLAWAYMQQRNFMAAEVVYRKAQMIDPDANKACNLALCLIEQTRFADAEIVLADVLAGRYQARDQQDGKIVRKVEELLARIMAQTWPGGGANNGRRPDESGSESDDDDWVENQMLALLDVAVPYRKKSSRRLPVFEEISPVVYKEQMAC >Sspon.01G0054330-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1C:53690525:53691331:1 gene:Sspon.01G0054330-1C transcript:Sspon.01G0054330-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLRNCIHPHLLSSSRRSTSCIAPLHRLLSGSAAAAAAASNPFTVADYLVARCGLSGEQALKASKSIPALRSPSKPDAVVAFLAELDISCTDLATVVAKDPRVLCADVGKTLSPRVAELRSLGLSNHQVGQVVLAAQTRFRSRSLLRNFEFWLGVFVSFDELLRFVKMNRSLLSISLDKVAKPNLALLQRCGMQISDIPNSFLSRILVRNNKHLQETLARVAEFGIQQGSWAFPFAFMRFAIFNREKLDSNIKLFEKLGWSRDDIASA >Sspon.07G0033760-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:62299833:62316884:-1 gene:Sspon.07G0033760-2D transcript:Sspon.07G0033760-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTGAATAGVITTGTIVVKDNNTVTNEIAMMAITISVVTSTTVTYEFLNRLVTDHGSIDLEWLRDVQPDKAKDFLLSIRGLGLKSVECVRLLTLHHMAFPVDTNVGRICVRLGWVPLQPLPESLQLHLLEIARLALPAPEEKRLATSEDPNVVEFCHQIYINSGTVGQLKWSANYPKHAVSDNHQPIIEEPLSPEPEPENVEAKEGAIEDFFCEDPNEIPTINLNIEEFTQNLKNYMQANNVEIEYADMSKALVAITPDAASIPTPKLKNIPCRTAMRGSFPLNGTLMLVKGL >Sspon.03G0000850-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:10191716:10196194:1 gene:Sspon.03G0000850-2B transcript:Sspon.03G0000850-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALADATAFPYGLRVLVVDDDPTWIKILEKMLRKCSYEVTTCGLASIALQILRERRNKFDIVISDVNMPDMDGFKLLELIGLEMDLPVIMMSIDGETSRVMKGVQHGACDYLLKPVRMKELRNIWQHVYRKKMHEVKEIEGNDSCDDLQIFRNGVEGFDERGLFMRADSDTMRKRKDMDKDHADQDSSDGATVKKARVVWSVDLHQKFVNAVNQIGFDKVGPKKILDLMNVPGLTRENVASHLQKYRLYLSRLQKQNEERIMGAARQDFSHKGPSDNLNLRSSFQEQPGNLSNGFQHSSQKIQAQTNIPDPHLDDTKTSVPLKVPDKNGTSVSDAVDPQNVTSASPLSGVFSFERMPVNQDRKLSETMILECQSCVPPKQFMQYPKHNHERCDLLGDYSCLPKPDLEHPIAPGHLYAPPPVISMSCSVEGGVRDFSDVKPDLLGCMKSLSPALTCTVESVSAQLSDSVVTSTNSDQKFSSVEGLSSIKDCDFDQERNQATLLTSEEASIICGTDLTCLYDDLSGYQLQGVSFGNIGLNSIDLFQCNDEMALPGLQNNWYDDVELPLLDGGLFA >Sspon.05G0002340-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:6878170:6886678:-1 gene:Sspon.05G0002340-3C transcript:Sspon.05G0002340-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosome biogenesis protein BOP1 homolog [Source:Projected from Arabidopsis thaliana (AT2G40360) UniProtKB/Swiss-Prot;Acc:F4IH25] MARGGGVLNTPKSVAVGSSSASRAADIFKASFSSGVATGGDTARSEEGPVMSRVLAIEAANSSNIGSSVMSRTYAAPGHNVSVNGSGWAKPVPLDRSSGLLFEVKVAPRNTVGDVPLEWYKDEEHIGYDIDGRKIKKRDREGRIEAYLRNADDAKNWRKIYDEYNDEEVQITKDEAKIISRLLKGKTPHTNVDPYPDYVDWFEYEDKGHPLSSAPEPKRRFVPSKWEQKKVVKLVRAIRNGWIKFDKPKEERNLYLLWGDETDTADNKRHGLSYIPPPKPKLPGHEESYNPSIEYIPTQEEIDSYQLMYEEDRPKFIPKRFESLRSVPAYEKALREGFDRCLDLYLCPRTRKKRINIDPESLKPKLPSKKDLRPYPKTCYLEFKGHASPVKSISVEITGQWLASGSSDGTIRVWEVKTGRCLKVWNVGGDVRNIAWNPSPDRPILAAVVGHDLLLINAEVGNEEMQMRVKDLLKIDEMAPQDDTDDKKPAAVSNVEWHYKGDYFTTLTKKHSHHPFRKLPGLPVAATFHPSQKIFFVATKKFVYDLQKAQLVKKLESGLREISSISIHPGGDNVIVGSKDGKLCWFDTDLSTRPYKTLKIHSKDITSVTFHRKYPLFASSSEDCTAYVCHGMVYSDLNQNPLIVPLEILRGHSSSDGRGVLDCKFHPKQPWLFTAGADSAIRLYCD >Sspon.05G0013360-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:48009898:48011893:-1 gene:Sspon.05G0013360-2B transcript:Sspon.05G0013360-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARPAPLATRAAANGTCQSRVEPFGYKCEEHTVRKTDNSDRHRASHVIDVVQILLLRGADKLPVTTADGYILSLQRIPGGRGSGQSAAAGNKIPVLLQHGLLMDGVTWLMNSPNESLGYILADGGYDVWIANTRGTVYSRGHTTLSSSDPTYWDWSWDELASNDLSAVVPYVYAQSGQQRLHYVGHSLGTLIAFAALSQRQQLGMLRSAGLLSPIAYLNKVASPLALAGADTFLAEALYWLGLDEFDPTGEPVHKLVTDICSQPGINCYNMMSVFTVCFLWIYHRALLAHAGDNCCLDNSSVQVFLAHEPQASATKNMVHLAQMIRRGTLAKYDYGNAADNTKHYGQATPPAYDVSAIPDDFPLFLSYGGRDTLSDPQDVSHLLQVLKSHDGDKLTVQYLEDYAHADFVFAGNARERVYAPLMAFFKLQDK >Sspon.04G0006390-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:17951170:17952804:-1 gene:Sspon.04G0006390-1A transcript:Sspon.04G0006390-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARRLLLLLAVVAASLLAADARPCHTFLVAFPADPNPNPNPSRGDGAVHHHLGVPHVATVITVFRIRRLGPHVPHGHRSHPHLHSIPANVQIHRPDLPHPAAAAAAGPQERARDILVVVVGLLFGVACGALTAASVYLVWSMVAGAAAASPYDELYDEEEEASDTESPKKVGYVIIQELEVHDGDVTMAWFVNLPFLKPGICEGSFSETVV >Sspon.02G0008540-3C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:27436405:27439358:-1 gene:Sspon.02G0008540-3C transcript:Sspon.02G0008540-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLPAAASLLLFLCLLAAPCPAARAARFACNATAPRASTCQALISYAPPNGTATPTLAAVRALFQLRSHRALLAANGLPLSTPPTAPAPAPLRVRLPCLCSGGAGATFQRPTYRVRAGDTLDTVARGVFAGLVTYQDIAAANNVSDPNRVAVGQQLWIPVPCSCDPVAGQPVVHFTYVVPTGSSVASIAQEFSTTEETILAVNKMPDSKALIAGQVLDVPLRACGSAISNTAIDRNLLVPNGSYILTANNCVMCGCSSSTWQLDCQPTQGLSSSFCPVAKCGDMFLGNTSSTSSCESTTCSYAGYTNSTSFAILANVTTSNVCSAGMSPVAQPAHSSAFRLERAWLRWTELVVSLHVVLLCLGYLRQD >Sspon.02G0032470-3D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2D:86519353:86525437:-1 gene:Sspon.02G0032470-3D transcript:Sspon.02G0032470-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MYMQVSSQVPETPEKNDSTTSAPGNEETTDMEFKLWQNLENRRYLVVLDGLVSISDWNSLFAVLPHTNGSRILLTTHLNVKEINHIDPQIAPIKLPYLDVKHGEELFCQRVFGTIEPPQIYRSKGYYKNVHNISTGLPLAITVLAGILRSKLIPMEWDVIFEQLESNGQPKPVRSIWSLAFDDLPHYLKSCFLYFASVSENVILYPDRLVRLWIAEGFVMPKKAETLEDVGFDHLKELVARGLVQVMEKDAGGSIKLVAIHNLLHAFVESEAQDSSFLEIHHHANVVNPNAVRRLAIQNYVDAYVHIPNVFPKLRSLLCDFAEDQCSSSSFGELQPQSLWGNLAELCSRACGISENVSSNTLHGLHFLQAFRFLRVVDLNGLKMQKLPDEIGNIIHLRYLGIRNSNLEELPLSVYKLDNLQTLDVRKTNVGKIVDEFWDIEALRHHVHHLKHAPSPGLILHGKLQGINDLQSDRYVLPNLTMLYLHKSEVSQQFVHKLATLPCLVEMELSAVSYSDTTLFFDRFPSLARLKLENVSMLKELVIGEGAMPMLSILAMHDCDSLKTFKALNGLEHLQEVAIYNMPEIVDNIKLQDEKLFSKIKCLTTSRMVTDRGGFPGHFVRMLDRPDHVLRVAMASESRCSDM >Sspon.01G0034660-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:12343699:12347861:-1 gene:Sspon.01G0034660-2C transcript:Sspon.01G0034660-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDANGRGENDDARAPLLAGPGRRRNSVASMRGEFVSRLPKKVLDAVDPEHPSHVDFSRSKGLLEGEREYYEKQFATLRSFEEVDSLEESNEINEDEELAEQIQSEFSMKISNYANIILLALKVYATIKSGSIAIAASTLDSLLDLMAGGILWFTHLSMKSINVYKYPIGKLRVQPVGIIIFAAVMATLGFQVFIQAVEKLIVNEAPDKLNQVQLLWLYSIMIFATVVKLALWFYCRTSGNKIVRAYAKDHYFDVVTNVVGLAAAVLGDRFYWWIDPIGAIALAVYTISNWSGTVWEMQVLSLVGGLGLTDSLIIVILHAVSLVGESAPPEMLQKLTYLAIRHHPQIKRVDTVRAYTFGVDIELPEDLPLKEAHAIGESLQIKIEELPEVERAFVHLDFECDHKPEHSILSKLPSSQP >Sspon.03G0024590-4D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3D:59490050:59491705:1 gene:Sspon.03G0024590-4D transcript:Sspon.03G0024590-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVSPLLALLLVALLSLLLFLSTGRKTLGGSDGRRLPPSPPGLPILGHLPLLGPLPHRKLWAMAQAHGPVMLLRFGRVPTVVASSAAAAQEVMKTRDLAFASRPRIRMAELLVYGRDVAFVPYGEHWRQGRRVCVLHLLSQRRVTSFRHAREQEVAAMLARVRRDGGGAVNLTAQIISYTNGIISRAAFGDKGGSYYDGPGGGEKLTKLFADFEGLLGTVTIGDFVPWLAWVDALMGLDAKATRTSAEMDAFLERVIADHRQRRRGGHRDGDDHRDFVDVLLDVNEDEADAGGAKFDDVAIKAIILDMFAAATDTTYTTLVWAMAELINHPHEMRKVQDEIRAAVAVAGGDRVTEDHLEKLRYLRCVIKETLRLRTPLPLLLPRETTVDTELLGYHVPARTRVIVNAWAIARDPATWERADEFVPERFVGDDLTTDYLLGQDFRFVPFGAGRRGCPGVGFSVPAMELALASLLYHFDWELPAGGPSKLEMDELNGLSVRLKANLCLVAKPWCRQ >Sspon.02G0027190-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:123308960:123326289:1 gene:Sspon.02G0027190-2C transcript:Sspon.02G0027190-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMMTPPPLEQQQEDDEMLVPHQELPVAGPEAAPQPMEVVAQTEPANTAESQPPEDPQMSRFTWTIESFSRLNTKKHYSDVFVVGGYKWRVLIFPKGNNVDHFSMYLDVADSGNLPYGWSRYAQFSLAVVNQIHPKYTIRKDTQHQFNARESDWGFTSFMPLSDLYDPSRGYLVNDTVVVEAEVAVRRMVDYWTYDSKKETGFVGLKNQGATCYMNSLLQTLYHTPYFRKAVYHMPTTENDMPSGSIPLALQSLFYKLQYSDNSVATKELTKSFGWDTYDSFMQHDVQELNRVLCEKLEDKMKGTVVEGTIEQLFEGHHINYIECINVDYKSSRKESFYDLQLDVKGCRDVYASFDKYVEVERLEGDNKYHAERYGLQDARKGVLFLDFPPVLQLQLKRFEYDYMRDTMVKINDRYEFPLQLDLDRDNGKYLSPDADRIHGGHYYAFIRPTLSDQWYKFDDERVTKEDTKKALEEQYGGEEELPQINPGFNNTPFKFTKYSNAYMLVYIRESDKEKIMCNVDEKDIAEHLRIRLKKEQEEKEHKKKEKAEAHLYTIIKIARDEDLKEQIGKNIYFDLVDHEKVRSFRIQKQLPFTSFKEEVAKEFGIPVQFQRFWLWAKRQNHTYRPNRPLTPHEEAQSVGQLREVSNKAHNAELKLFLEVELGPELCPIRPPEKSKEDILLFFKLYNAEKEELRLFVKALGKPSEILTKLNEMAGFSPNEEIELYEEIKFEPNVMCEHIDKKLTFRSSQLEDGDIICFQKAPVPDGDTQVRYPDVPSFLEYVHNRQVVHFRSLDKPKEDDFSLELSKLHTYDDVVERVAHQLGLDDPSKIRLTSHNCYSQQPKPQPIRYRGVEHLLDMLVHYNQTSDILYYEVLDIPLPELQCLKTLKVAFHHATKDEVVVHSIRLPRNSTISDVITDLKTKVELSNPDAELRLLEVFYHKIYKIFPPHEKIENINDQYWTLRAEEIPEEEKNLGPHDRLIHVYHFMKDPNQNQQIQNFGDPFLMVIREGETAAEVMERIQRKLRVPDEEFSKWKLAFISMNRPEYLQDTDVRRDVYGAWEQYLGLEHTDTTSKRSYTANQNRHTYEKP >Sspon.02G0017630-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2A:51633531:51634173:-1 gene:Sspon.02G0017630-1A transcript:Sspon.02G0017630-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AAAGHLLTDDARSVDRVHHRSRPFRRRHPVLPRHTAAATSQLAAAAIGRGLSATGFPYGMPGYGTTLLPGTTLLPFQDVQPPVQPTLQQIEQAMDITTEPPGKMLTCKVSAAVQLQAAVRGLLVRRLLQEIRQHMHEATLATINLSSAERDLAPWDGHQQLRRPAAVFRREHGDFPAGSDLQLCGSGGRGVAPLLVTGGDALPSATAFRHRPP >Sspon.01G0018660-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:69412440:69420625:-1 gene:Sspon.01G0018660-1A transcript:Sspon.01G0018660-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPAAAAATATAAALRLRATSSSLSPPLAALLPYRRASLILPPLRRICSPAPPHASAAPDSQPPPRLPSPIMDAQFELFRAQLDESSTLRDRIRAVVAEIESASRVATAALLLVHQPVPLADVLVKAKAQVEVIKGLYAQLAEVLKECPGQYYRYHGDWRTETQMVVSMLAFMHWLETGGLLTHAEAQEKLGLSSGEFGLDVEDYLTGLCFMSNEFPRFVVNRVTAGDYDCPKRVLGFLTDLHASFRMLNLRNDFLRKKFDGMKYDLRRVEEVYYDVKIRGLVPAELKQETQS >Sspon.04G0005610-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4A:16068881:16069330:1 gene:Sspon.04G0005610-1A transcript:Sspon.04G0005610-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVLAVVAEGIRERAHADLVCATRVVGCWLVARQRARAMSCAAVGTERGREHAESLVLTTNVFLLAYRATARLPSGVPSLARKGRTGKPGGWRWALGASAGCAAAQRDKGSVRISSPRSTWKHCSFYGPPTVPQRSSSILPFTVDSELT >Sspon.01G0021550-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:83030151:83032893:-1 gene:Sspon.01G0021550-2B transcript:Sspon.01G0021550-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA excision repair protein ERCC-1 [Source:Projected from Arabidopsis thaliana (AT3G05210) UniProtKB/Swiss-Prot;Acc:Q9MA98] MDGGREQQAPPEAQPGKNLIKIPSYQEVFGTGAASSSSKPASYNPPLASSGGAAAAASSSSSSSGSFSQAFSFLKSSEFYSPPPPPPQPTTTTPRPPQASPSAPTSQSKNAILVSHRQRGNPLLKHIRNARWTFAEVVPDYVLGQSSCALYLSIRYHLLHPDYLYYRIRELQKNFRLRVILCHVDVEDVIKPLHEITRTALLHDCTLLCGWSLEECGRYLETIKVYENKPADIIREHMDNDYLSRLTHALTSIRHVNKTDVVTLGSSFGSLSQIMNASMEELARCPGIGERKVKRLYDSFHEPFKRVSARPNLIVPDTPDREKAKGQPSSTDDSLQDAVEKPDVSKKKGSDVRSALTAAFAKYSEKIRSQGRDAANEAGEGTSSSTMEADSARD >Sspon.02G0047490-2D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2D:8846025:8846673:-1 gene:Sspon.02G0047490-2D transcript:Sspon.02G0047490-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RTQGFLALFTGDTGEIRAEVREQIDTKVAEWREEGKAEIVPGVLFIDEVHMLDIECFSFLNRALENDMAPILVIATNRGITSIRGTNYRSPHGIPPDFLDRLLIITTQPYTEDEIRKILDIRCDEEDVEMSADAKVLLTKIGVETSLRYAINLITSAALACQRRKGKVVEMEDISRVYQLFLDVKRSTQYLMEYQSQYMFNEVPGEGDGEDAMQS >Sspon.07G0025820-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:47977830:47979944:1 gene:Sspon.07G0025820-1B transcript:Sspon.07G0025820-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAVAGGASAEADDGGVQLVSVSWNQDSTHFGVVTTTDFRVFDCSSPFHEKLRRGLPHGAGDGYAVVGVEMLFRSEIFALVEAAGGEGGSGRSRVVVWDDRENRRVSDVLEFQSDVVRAVRVSKEYLVVVLDRVVRVYGLRASARPLWRIATALNRRGLCCLSCHMDVDVLACLGTTRGQVRVDRLGKKPETRFIAAHSSHVACMAMTVDGAVLATASVKGTLVRVFSTMDGTCLQQVRRGLDPAEIHCIALSPNLQWLAVCSDKGTLHVFSLRARVGAKDAAGDKQSADQAARSVVKTNTASNARSSLSFMNVILPDYFSSEWSFAQFRLPETTTYVTAFGEQQNTVMIIGMDGRCSFDPANGKDIVRKEYFRFLKDKDSPPFRARV >Sspon.02G0005600-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:51653144:51656090:1 gene:Sspon.02G0005600-2D transcript:Sspon.02G0005600-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPAANGRAAREDDPTGLGEPLLTNVDDAGGFHPETLATVVVATTAPNGNAKVMKGSMKAEAKDDDRYWVDVHREVTTAADLESGGDGGRPLMFREKKVKPALLYPYRSLILTRLIAVILFIGWRIKHNNSDVMWFWVTSVVADVWFAFSWLLYQMPKFNPIKRSPDLDALRQYYDIPDGGSILPAIDVFVTTADPIDEPVLYTMNSVLSILAVDYPIDRYACYLSDDSGTLIEYDALVETASFAALWVPFCRKHSIELRAPESYFQREGMIYTGKSPNEFINDYRHVHVEYQRYKARLEMLTSTIRERSDFYNSIKITEGAVKATWMANGTQWPGTWFEPIDNHRKGHHEGVVQVVLEHPNGSKAQHDSNVNPLNFDGIDARLPMLVYMARGKSPCYDHNKKAGNLNAQLRVSALLSNAPFVINFDCDHYINDSRALRAAMCFMLDSREGDNTAFVQFPNVLKTYGNHNRVFFDGAMYALNGIQGPSYLGTGCMFRRLALYGIDPPRWRPDDILVDSSKFGNSIPFLNSVLESLKQESRISPLNLDDSFIAEMMLVVSSSFDIGTDWGRGVGYIYEMATEDMVTGFRIHKQGWHSMYCTMDVDTFRGTAPINLTERLYQIVRWAGGSVEMFFSHNNPLLAGCRLHPMQRTVYLNYNIYPVTSLFLLLYALCPVMWLLPEEILIQRPFTRYVVFLIIIIALIHTIGIMEIKWAGTKWLDWWRNEQFFMIASLSAYPTALLHIVVKLLTRGKGIRFRVTSKQTKVEDNEDKYAEMYEMRWVPMLIPAMVVLFSNTMAIGVAIGKAIVYGRVWPTTQRLHAMLGLLFNVWLRILLQPFALAVIGRWSKKPSILFILFPAAFVVFALVYICIHVVVVNFFPSMEI >Sspon.01G0021540-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:83042017:83043591:-1 gene:Sspon.01G0021540-2B transcript:Sspon.01G0021540-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPDGGVGVVGGVIGALVYGALAVLALRLVLSYKSAAHALRRAWRWADEWAQTYQYYEVPRLAGDGGGAENPLFRKAAAYVASLPSLEDADAACVLSSAAKSNDFALQLGPGHTARDAFLGARLAWTNAGDGRLVLRVRRHDRTRVLRPYLQHIESVADEMEARRRELRLYAKATGDCAPRWTSAPFTHPATLDTVAMDPDLKARVRADLESFLKGRAYYHRLGRVWRRSYLLYGAPGTGKSTFAAAMARFLGYDVYDIDLSRGGCDDLRALLLDTTPRSLILVEDLDRYLRGGAGNGDGETAAARTARVLSFMDGLSSSCGEERVMVFTMSGGKDGVDPAVLRPGRLDVHIHFTMCDFEGFKALASNYLGLKDHKLYPQVEEGFHAGARLSPAELGEIMLTNRGSPSRALRTVISALQHVAPSPSSAQPQRTSTTAARPPRLTSRSSGHVDGASAAASEEASAAEQSPRGGGGFAKDAPIREIKKLYGLIKYRSRKDAGVVPVDDSAASPNGRGSDVSSEKDR >Sspon.01G0006520-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:17328905:17331915:1 gene:Sspon.01G0006520-3D transcript:Sspon.01G0006520-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VNGCTGKMGLSVAEAAAQRGLHLVPVSFSSREKVEKAIQVGQTDIRIYGPSAREDVLLSIADEFPDVIVVDYTTPDSVNSNAELYCKLGLPFVMGTTGGNKHLLYKSVQDSKNYALISPQMGKQVVAFVAAMKIMAEQFPGAFSGYHLEVLESHQAGKLDTSGTAKDVIACFEKLGVSYDMNRIVKIRDPEQQLEMVGVPEEHIQGHAFHLYHLTSPDDSVSFEFQHNVCGRSIYAEGTIDAAIFLHRKVQSKDSKRIYNMDDVLREGFMR >Sspon.01G0012310-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:33453219:33453884:1 gene:Sspon.01G0012310-1A transcript:Sspon.01G0012310-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDSDNDSGGPSNAGGELSSPREQDRFLPIANVSRIMKKALPANAKISKDAKETVQECVSEFISFITGEASDKCQREKRKTINGDDLLWAMTTLGFEDYVEPLKHYLHKFREIEGERAAASAGASGSTAPQQQQGEVPRGAAANAGGYAGYGAPGAAGMMMMMGQPMYGSPQPPPSQQQQQHQQHHMAMGGRGGFGHQGGGTGGGGGGSSSSSGLGRQDRA >Sspon.06G0020700-2D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6D:37277890:37278366:1 gene:Sspon.06G0020700-2D transcript:Sspon.06G0020700-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAQHQQPTQQRKAVEEELEHSLVLLDLCSAMQENLAELRVIGQEMLLGLKRGDDVAVQAKAQSYARLAKKAQKQFKKTSSKVALDDTESCRVVKLLSEAREVALSMLESALYLLSREMVTPSATKWSLISKAFQKKRVACREEQLQALELEIADLESE >Sspon.05G0031030-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:13165576:13170840:-1 gene:Sspon.05G0031030-1P transcript:Sspon.05G0031030-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSAESSAAGGMRKAPSLEWRWVSTEEDDDEERAGAGVGTPAAVGRGGSFESEDDEDDDEEEEKEEKEKGRKRLIRTVPSVDWFDVEGNEVSVAQQLDDSEEFDFGRTMFLALQTLAVVFGDIGIGPLYTFDVMFNKYPIVGEEDVLGALSLVLYTLILMPLVKYVLVVLGANDDGEGGIFALYSLICRNAKVSLIPNQVQSEKRMSSFRLKLPTPELERSIKVKEKLESSLLLKKLLLGLVLFGTSMFISNGVITPAMSVLSAVSGLKVGLPNTSQDAVVMISVALLVVLFSVQRYATSKVGFAIGPSLLLWFCCLGGIGIYNLSLYGPTAFKAFNPLYIIYYFGRNPFQAWLSLGGYLLCATGSEAIFSNLCYFPVRYVQYMFVLLVLPCLVLAYLGQAAFLIANQKSSEQVFFSSIPSGVFWPVFLVANLAALIASRTMTVAIFQCLKQSIALGCFPRLKIVHTSRKFMAKIYIPVVNWFLMVSCLGFIILFRNIYDVGNAYAIAELGVMIMATVYVTIIMLLIWEFNIMKVLSFVITFLFLELIFFSSALSSVGDGGWALLIFASVLLMIMFIWNYGSKLKYDSEVKQKLSKDLMRKLGPNLGTIRAPGLGLVCSDIVKGVPAIFGHFLTSLPAIHSIIVFVCIRNVPVPVVPQSERFLFQRVCSRGYHMFRCIARYGYKDKKQEHHSVFERLLIEGLEKFIQREAVELSLQSEDDIDSDEEPPTPVKIIQAPNGSLYSLDVPLLADYAPSTELIPEASCSTPQHDPVLDYAHNLELELAFIKQSKRSGAVYLIDNPIIKARKDSWFFKKLMINYFFAFLRNNCRRAIMLMSIPHSNMMQVRMTSYV >Sspon.04G0027950-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4C:64488272:64489455:1 gene:Sspon.04G0027950-2C transcript:Sspon.04G0027950-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LIAGGGEIDCRRPDSTLEEVEIETAVPPYVRKRKMEDEPMEDELEEEEEEEEVIRACVIEGSKHADGSIYRQDTHFFHRLYCLDDTRETLVKPTILVDPVTNKIVTEGRSLNGCALMQIFSPKLTNHSAVALAAAPIMLYGFVAARDLLQPLRNYVFNRTRDDPLVLHRNPDDPSSPLPMQMAGPKRGIFLQARAMIEYDLRIKRAMEDEDLQLIDGAATFSERTPFHGTYTQRIRGDGDHGPAVDITLVLLRHAVEARIQVSVTKVPATGLSFSLSCYGSRIMHEINLFDGVVDRPGNIKRSGDVRSFVVAVVHMSPLILCFKVAKAG >Sspon.01G0010590-4P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:8474721:8475848:-1 gene:Sspon.01G0010590-4P transcript:Sspon.01G0010590-4P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPTGDDPSAAAGISFPDADGGGGDSEDGDFADAHLLDPTDPGLPNPTTSSATGLPHAIPAAGSGGGPVTSGNGGERRPLFQRLWTEEDEIVILRGFAEFTAARGTAFASHQYDTDPFYEDMRRRLQLDFSKSQLVEKLRRLKRKYRNCVSRLRESGATFTFRSPHEQAIFEIARNIWRPTNKHGRDPSADSDDEDAAAATQVPANTSPNGEVKSPSSARQRRRRRVTEFNPATGAAPATNMLQPPQPVQLSVSVPVKMDDCLPAQPQTPMPVMVTMDGSEPLRLPVVSPQSGISDAEKTCLTPLFKEMIRAAINVGANPFGAKLPEPPLGLPMEGEKWRKQRILELEVYLKRIELLQDQAKATLEELKSSTPGT >Sspon.02G0003370-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2B:19353116:19354829:1 gene:Sspon.02G0003370-2B transcript:Sspon.02G0003370-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVHPKGSLKKMFSSTTATSYYGGVIQSRALSAFDLRHATSCSDKASRHVIPFKARSFTQSSLGGSSGSGDQEIVIAMGSNVGDRVSTFDRALQMMKTSGINITRHACLYETAPAYVTDQPWFLNSAVRGTTRLGPHELLKKLKEIEKDIGRTGGIRYGPRPIDLDILLYGNSQIATETLIVPHERIHERPFVLAPLVDLLGASADNAIETSWHSLLKSSGGLFELWNKLGGESIIGTEGIKRVLPIGNRLLDWSERTLIMGILNLTPDSFSDGGKFQPVEAAIAQAKLLISEGADIIDIGAQSTRPFARRLSPYEELERLVPVLDEVTKIPEMEGRLLSVDTFYSEVAIEAVKRGVHMINDVSGGQLDPRILKVAAELGVPYVIMHMRGDPSTMQSEKNLQYGDVCKEVASELYTKLREAELSGVPLWRIVIDPGIGFSKKTRHNLEIIKGLESIRREIGKMSIGASHVPILLGTSRKSFLGEICNHTDPVERDVVTAIAVADGIMNGANIVRVHNVGYSADAVKYCAASRKGIRWET >Sspon.02G0020110-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:65722468:65722776:-1 gene:Sspon.02G0020110-1A transcript:Sspon.02G0020110-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGFNKGGSPEAEGSRRAPAGAYYECSFCKRGFTNAQALGGHMNIHRKDRGGGSKTAPPQQDDAGGGGSRTYGGGDVHLGLSLGRKEDVDLELRLGSYPYK >Sspon.05G0001930-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:5356108:5357604:1 gene:Sspon.05G0001930-3D transcript:Sspon.05G0001930-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNYLRSRSLKRLLSLGRRSNADECVDVVVDPPPNKPTWRCFSYEELHQATNGFHQDNMVGKGGYGEVYRGVLDDGRAVAVKRLAPTAAADEKKEKDFLTELGTVGHVRHPNVSALLGCCVDRGLHLVFDFSTRGSTLADTASSSTAHVHADVLSLCSVPPFTINESCADPKLPAMSWKQRHGIAVGTARGLRYLHKGCARRIIHRDIKASNILLTADYEPQISDFGLARWLPSEWTHHAIAPIEGTFGLLMSLWLDGLMQAKPYLSDGVVQGLVDPRLGDGYDAGQLRRLMFVASLCVRAAAVWRPTMTQV >Sspon.06G0007600-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:28077148:28084600:-1 gene:Sspon.06G0007600-4D transcript:Sspon.06G0007600-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPSLIHHAGRARPDVAAAEDAPRSGGGLGLHGHGGGPSVVLTADPKPRLRWTADLHDRFVDAVAQLGGPDKATPKAIMRTMGVKGLTLFHLKSHLQKYRLGRQSGKELTEQSKDASYLMEAQSGTTLSPRGSTPDVKESQEVKEALRAQMEVQRRLHEQVEVQKHMQIRMEANQKYIDTILDKAFKIVSEQLSGFSISDQDPPILTSAGVMLSPADHLISSVFPQLSVSSVSIHSPGGKGLPHIADSHVFSQRPPEQLKRKSR >Sspon.01G0010470-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:7769536:7772354:1 gene:Sspon.01G0010470-3C transcript:Sspon.01G0010470-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to Isoform 2 of Heat stress transcription factor B-4b [Source: Projected from Oryza sativa (Os07g0640900)] MAFLVERCGEMVVSMESSHAKPVPAPFLTKTYQLVDDPCTDHIVSWGEDDTTFVVWRPPEFARDLLPNYFKHNNFSSFVRQLNTYGFRKIVADRWEFANEFFRKGAKHLLAEIHRRKSSQPLPTPLPPHQPYHHHHHLHHHHLNPFSPPPPPPTQPPVSYHHFQEEPAATAHGVHAGGNNEGSGAGGDFLAALSEDNRQLRRRNSLLLSELAHMKKLYNDIIYFLQNHVAPVTSPSSAAHASLPTSAGGAASSCRLMELDPADFPSPPRRPEDDGTVKLFGVALQGKKKKRAHQEDGDDNHEQGSSD >Sspon.05G0026360-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:42002052:42006005:-1 gene:Sspon.05G0026360-3D transcript:Sspon.05G0026360-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTGGADKPGSGGGGAVKTPSDFLKSIRGRPVVVKLNSGVDYRGILACLDGYMNIAMEQTEEYVNGQLKNKYGDAFIRGNNVLYISTSKRTLTDGA >Sspon.07G0003840-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7A:9447796:9449207:1 gene:Sspon.07G0003840-1A transcript:Sspon.07G0003840-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MWTALKHHYCGSSIWDDGKFKKDDHIVMKVHEDVEHDHNEVVVEDCSTSMSSDDDDDHATRSLDKNDDNATSDASNDSTPCTLDGEDDGYESDASTSSSISSHDDTKVSIGGFVVDCDGPNFELLYKLSKALRNEMAKTSKLKNENSFLKTTCEQQKHLLYVTTCSHEELKLAHEELSVAHDNLAQEHALLTKNFLMKKPKLTNLLKENNELKSEVKNLSNKLERCYNSKVTFEHKMKTQRNIGDKSGLGFKKKMTKGERKQEKRIKRLLQKKLSHSMCYRCHEAGHLANGCPNIEKLKKMKEEERLKHVKCFKCRTWGHLTSMCPTKQLVKQQVKPQPKPLVEQEKTLQEQIKINHGDDGDLIKKKKKTRRGGRARHPMQIQDAKMMSKNQNEKRNLTHIKCFKCEDMGHFARVSYQA >Sspon.05G0019650-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:78363513:78364744:-1 gene:Sspon.05G0019650-3C transcript:Sspon.05G0019650-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRCGSTSSPLAAATLLLLVAGCFHCAAAARLLPSSVPVPALVHQAENGVKAASAADGGLVLQEGGVGNGDELSIPEMMGAESEEAAVGCEESNEECMQRRLLHDAHLDYIYTQHKGKP >Sspon.06G0014480-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:62783353:62784212:1 gene:Sspon.06G0014480-2B transcript:Sspon.06G0014480-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKARTKARARRQRIPAFGEWNYAYVGGAGDWPVTQCFDSAMQAGRLVMAIPIPPKPANKVMTSTFFFHNMGSSLVVKWRESATPTLELDEEDDKQRQQVVVGLGEGEHGGAAKKQGKQQTTVVHAYEAVKAIDQDLYHIPPDMLCHEPR >Sspon.04G0001130-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:5459182:5461169:-1 gene:Sspon.04G0001130-3D transcript:Sspon.04G0001130-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLELRLVQGSLLKKVLEAIRELVTDANFDCSGTGFSLQAMDSSHVALVALLLRAEGFEHYRCDRNLSMGMNLNNMAKMLRCAGNDDIITIKADDGSDTVTFMFESPKQDKIADFEMKLMDIDSEHLGIPDSEYQAIVRMPSAEFMRICKDLSSIGDTVVISVTKEGVKFSTSGEIGSANIVCRQNQTIDKPEEATIIEMQEPVSLTFALRYMNSFTKASSLSEQVTISLSSELPVVVEYKIAEMGYIRFYLAPKIEEDEEMKP >Sspon.02G0024530-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:66964839:66968129:1 gene:Sspon.02G0024530-4D transcript:Sspon.02G0024530-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLLLLLRWLLLAATAAAVAQAQTQPLASRTDLAALYSLRASLGLRARDWPLKADPCARWAGVDCLAGRVVGVTVAGLRRTRLGTALAPGLALEGLRNLTALARFNASGFALPGEIPPWFGAGLPAPLAVLDLTSAAVNGTLPPDLGASGNLTAVHLSGNRLTGSVPAPLLSVAGLRVLDLSRNNLTGALPNVSISVSRGAGDAANASVFNVSGNSLYGVAADAIGALRRRFQVVDVSSNYLDGAWNGSDTAVLATTNCFYGVPGQRSRVDCEDFYRKQGVKLVDAPAPSPAPSSETNKKQRISKNVLIGVLVAAGTLMALFFIALLLCLVKRTSRGTRGRGVEPNEEGTRSSRRRDSSVNPVMSLPPAVSPGVDDGPKNAPAPAISGEFTYEQLVLSTGGFGDDKLIKHGHSGDIYHGVLENGSHVIIKKVGAKGVNKHVGELDFYTRYSHERIVPLLAHLSNDDEEFLAYKYMPKGDLTNALHKKPVDTTDGLPSLDWITRLKIATGVAEAMCFLHDECSPPLVHRSLDKHTSGA >Sspon.01G0048700-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:110124899:110126171:1 gene:Sspon.01G0048700-1B transcript:Sspon.01G0048700-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAGASAVAWFLAAAVAAALVASASAQSGCTTTLISLYPCLNYISGNVSAPPSSCCSQLASVVQTNPQCLCAALSGDSSSLGGVTIDKTRALELPQACNVKTPPASKCNSAGGGSTPGAATAATPSSGVPATAGTGTGSKTTPTSPYLTSGGASIRGAVSLVLAFAAVAVYAISAV >Sspon.02G0018880-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:57317118:57321329:1 gene:Sspon.02G0018880-3D transcript:Sspon.02G0018880-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VPSEQKLPSLYLLDSIVKNIGKDYVKHFSARLPEVFCKAYKQVDPAIHHSMRHLFGTWKGVFPLPPLQMIEKELGFQSSANGSSSAAPSRTDSQSPRPSNSIHVNPKYLEARQQLNQPTKARYSFSSYLNTPVHSFDLWDIIKICTMCSIGILGSGAKTTVIADTVDDIERANRLGTDRNAGRRLEAPNARPNIQRTQRDPFSNPVHEKQAGRDVRGLGFSNISQQAAVGTGQVRSKPKGQDGIGDLIMPLVLGLLKNNLTGAATFMQARMFGHQDLYVWIVHFIQLLQLMPIELVGLHQTKAGSTLRKKNMFGMMYTLKQQNMVVAIM >Sspon.02G0016360-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:44846775:44855964:-1 gene:Sspon.02G0016360-1A transcript:Sspon.02G0016360-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPCPCGRLLFLVCLLCSLPTEAAAGHGAGAAEQQAPAPAPTPSLFPPEGASRAFFCWLLLTMIGSSTQVRAPLQFFADRVLRRIAAKLGVLHWEFAAAAAGPCEDPAAGVDCHCTSSDYTVCHIIHMYRPHEAQLLRRAPTGLCRPPLSSPPVNNAPFNMCHKTLLLLSVRISNSKKEKKNISPYFFFMFQRSKPELVSWWSAQPVGPDEVTRTITDGKQIARAFSHGSHKHHNPDSPASEKPGGSNFLDIEGNGFYGPIPPEIGHLTHLERLQIEGSLLEGPIPPSLSKLTNLHDLYASTMLKTLITSHIMTKLYFLTMYKFAQPVQVLSKWLCFCVNRRISDLRGSGSPFPDLSGMSSLNKLEVRGLLKFKLSVCWLSYATKLITCRVLRNCSISGSIPPYIGTWTTLKHLTEATWNIYLQFFTDRSSLHINCGDKETTINGVKYEADTMPKGASLLYVSPGSNWAFSSTGNFMDDNITDDSYIATSTSKLDMPYSELYTKARLSPLSLTYYGLCMFSGSYTVKLHFAEIVFTNDSTFCSLGKRRFNVFIQGRMVLENFDIEQSSSAVGKPVIKTFQTYITNHTLEIQLYWAGRGTTGIPYRGSYGPLISAISITPNFILELPKTGSSNKISRASISYALVIGIPIIAILTALVVCIYRIKKRRKSSKNKDLRALDLQTGSFTLRQIKVATRNFDLANKIGEGGFGSIYKGLLSDGTVIAVKQLSARSKQGNREFVNEIGMISALQHPNLVKLYGCCTEGNQLLLRLDWATRHRICLGIAKGLAYLHEESTIRIVHRDIKASNILLDKNLNAKISDFGLAKLNEDDHTHISTKVAGTIFGVVALEIVSGKSNTNYRPTEDFVHLLDWACVLHERGALLELVDPDLGSNYSTEEALIMLNVALLCTTAAPTLRPKMSKVVSLLEGHTPLQPLLSDLSLAQNCLSTGGLWRNLWQKLSAFFSKCSGKTTFKVGASEDIS >Sspon.02G0005130-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:15547938:15552708:-1 gene:Sspon.02G0005130-2B transcript:Sspon.02G0005130-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding CNPQGLIIDQCVQRPESNVTEFATFIHCGRSSANVRIGGLLTDMLLVMGNPGRSRLLSSLIASAAILLLLSALPICRPCTYEQDVFAINGLYTALGAPQLPNWTSNGGDPCNENWQGVSCAESNITSIVLSGANLGGQLGNTLGNFTSLITLDLSNNNIGGTIPDGLPLTMQRLYVYSSILLFFPSTCMIIFLLQDLSVNHSFLSANQLSGSLPSTLSSLTLLTSMSLNSNHLTGVIPDVFSALTGLANLDFSDNNLTGPLPPSMGNLTALTSLHIQNNQISGTLNVLQDLPFQDLYAHSIIDSLNIENNLFSGPVPVKLLNLPNFKKDGNPFNTSIAPSAQPPLAPTPLPSVPPSTGHVPSKEPAHSSSVPGGSTSGSGKHTTLKLVGYILIGVVLAVVIVLITMYCLSKYKEKKLRGDIYMKNKIGRVPQKLGEPKIKEVVEMKESPLKLENNAETASNVVSDGREEQKLKMATIWKLTQKNHMTAVPGVVTINKKEHVIDMEKADNFVEDQLHPPQPIAPRTEKVIVKPSVRTRKGRVPSVEKVESTTTVRSFSIASLQQYTNSFSEENIIRDSRFGKVYLAKLPDGELLEILKIDASNSKVPVDAFLELVVRISELRHPNILGLVGYCAEFEQRLLVYEYCSKMTLHDELHHVDDSSKPLSWNARLQVAAEAAKALQHLHDSCQPPVVHQNFEPSVVLLNSTLVVHISECGLASLASKSVSQLSGRTLFHYEAPEVHESGSVSDRSDVYSFGVVMLELLTGRKPYDSLRPRAEQHLVRWATSQLYDIDAIAKMVDPSIQGQCSEKALSRFADIISRCIQHEPEFRPPMSEVVQDLTR >Sspon.02G0047530-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2C:17077052:17079393:1 gene:Sspon.02G0047530-1C transcript:Sspon.02G0047530-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLEPGNGAWKQTDFGDGVIIGIIDGGIWPESASFHDSGLGPVRSSWKGKCVDAHDFNANLCNNKLVGAKAFITAADAVAGRKSRGIPSPRDEEGHGTHVASTAAGAEVRNASLYTFSRGTAWGMAPKARIAMYKACSEVGCLYADIVAAVDAAVKDGVDIISMSLGGNPPDPPFHDDVVAIALFGAERKGVFVVLAGGNDGPQASTVTNSAPWMTTVGASTVDRLFPASLTLGNGVVLAGQSLYTMHAKGTPMIQLLSADCRRRDEWKSWTPDKVMGKIMVCTKGASDGHGILLQNAGGAGIVGVDPRELSRDGSGTYSFTLPGLTLCYTAGEKLRTYMASVPYPVASFSFGCETIVRKNRAPVVAGFSSRGPNPVVPELLKPDVLAPGVNILAAWSGDVSVSGASDDGRRADYNIISGTSMACPHVAGVAALIKKKHPSWTPAMVRSALMTTAGTVDNSGGDILDNGVTVGRTDNVRVATPLVAGAGHVQPDLALDPGLVYDAGERDYVDFLCALNYTAEQMRRFVPDFVNCMRTLAGGPAGLNYPSFVVAFDNSTDVRTLTRTLTKVSKEPETYNVTVVAPEHVKLTVTPTTLEFKEHMETRSYSVEFRNEAGGNREAGRWDFGQISWVNGKHKVQSPVAFQWKN >Sspon.01G0016610-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:56772171:56775795:-1 gene:Sspon.01G0016610-1A transcript:Sspon.01G0016610-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTERKRKVSLFDVVDETSVSAKLGRAASNGAAATAAGGNPSVNRWNGRPYTARYFEILEKRRTLPVWQQKEEFLRSLRDNQTLILVGETGSGKTTQIPQFVLEAEGLSNRSMVACTQPRRVAAMSVSRRVAEEMDVTIGEEVGYSIRFEDCSSHKTVLKYLTDGMLLREAMADPLLEKYKVIVLDEAHERTLATDVLFGLLKEVLKNRPDLKLVVMSATLEAEKFQGYFSSAPLMKVPGRLHPVEIFYTQEPERDYLEAAIRTVVQIHMCEPAGDILVFLTGEEEIEDACRKINKEINNMGDQVGPVKVVPLYSTLPPAMQQKIFEPAPAPLKEGGPPGRKIVVSTNIAETSLTIDGIVYVIDPGFSKQKVYNPRIRVESLLVSPISKASAHQRAGRAGRTQPGKCFRLYTEKSFNEDLQPQTYPEILRSNLANTVLTLKKLGIDDLVHFDFMDPPAPETLMRALEVLNYLGALDDEGNLTQLGEMMSEFPLDPQMSKMLVISPKYNCSNEILSISAMLSVPNCFLRPREAQKAADEAKARFGHIDGDHLTLLNVYHAYKQNNEDPQWCYENFINSRALKSADNVRQQLVRIMTRFNLKMCSTDFNSREYYVNIRKAMLAGYFMQVAHLERTGHYLTVKDNQVVHLHPSNCMDHKPEWVIYNEYVLTTRNFIRTVTDIRGEWLIDIAPHYYDLSNFPSCEAKRVLERLYNKRERERAANRG >Sspon.01G0037550-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:23134690:23136829:1 gene:Sspon.01G0037550-1B transcript:Sspon.01G0037550-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPTVSFWIPPPIPESDGGDDASGLVLLDRWCYIANFVNDTTAEGTTSTGLRIQVTFRAARPPLVSNFCVHCPGLDFPKAVPKVIATDADLVLLCVPVYPDAVGHGWDWDYFVYSLRARRLDLVPNPMPRYLTDSATVLLSREDGAWYAIAALVVRAPVYKGRVLIRWDFDLHLYKSCNSSEGWISKVVSVSDFVRDRLIPLPDTTEDRLYHETEKTIAIGGEDGTVAWVDLWRGIFFCDLLSKCPVLRDVPLPVPQGATGIGSSWNSTLTISVIRNRDSIKYIETESWSPRELNRAPASYSYTEWVRNKSGELRVFNDGWKATTWTMKIPVDFDSQSLDNCWHRHSEIDVKDVTFDASNVCPSNLLDMLRCSKTTQMLKELHMSCPIISMDDDTVYLLSTIKHLCAEGSASKFEVVLAIDVGKGVLRGLAQLDAQKTFILTDNIFSSEICRYLRKVTGNFCTLGLGLGDIDAMEGTVEPSKRTAKQHNMGHGIKPARQEHGEPSEVHVKN >Sspon.04G0007350-3C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4C:20626228:20626884:1 gene:Sspon.04G0007350-3C transcript:Sspon.04G0007350-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPNLSSDAPKFPSKPKHAEADVEAAAAAHGVDYLARAQWLRAAVMGANDGLVSVASLMIGVGAVNDGAREMLVSGLAGLVAGACSMAVGEFVSVYAQYDIEVAHSERGGSDDSSSGGRGGDEERLPSPTKAAAASALAFAVGAALPLLSGAFVRPWAVRVAAVCAASSLGLAGFGAAGAYLGGASIVRSGLRVLLGGWLAMAATFAILRLFSLALKTH >Sspon.02G0021610-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2A:72432296:72432721:1 gene:Sspon.02G0021610-1A transcript:Sspon.02G0021610-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQIESHRSGAEVVSGDDAMCRKKSVELLEELGLPKGLLPMEDLQEFGYNRATGFMWLVQGKKKVEHTFKKIKQTVSYASEVTAFAEKGKLRKITGVKTKELMLWLSVVEVYVPEASPENVTFKTGTGLSDSFSAIAFALG >Sspon.02G0015360-4D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2D:36097043:36099471:1 gene:Sspon.02G0015360-4D transcript:Sspon.02G0015360-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding EDVVDCANNDIGDGEESTGSIPSPLFSGTRPSKRLRSKVWEDFIPTFVDGKVVQAECMHCHRIFNYNSTNGTTGLRNHQAKCGPRTQKRLKQQVGRPLPSTKKSTPADSSDPNEKKLPFLLSHQNKCTGAADAVPVQELAFPDAHTNKNNKNQEVLAAPELSTDQYKNQLHGEIAMPEQDFPNDSSQKNQKVDQNCSPEELVRILAIHGHLPRMMEQDEFRKVAACLNPMVDMPSHSDFIGNICDLFQQEKSKLKEKLAALRSRVCLSAYMWHYDPHLAFLCLTVHYIDDEWEKQQQIITFSPMDPSCDAKQYSDIIVGAIREWDLHDKVFSIIVDDVFIDDSVASNIKTSLQKWNKVNANRNLFVVRSATHLLDQVIHVELDELNKIMEKSAKCPKYAKGSNCSAVQYPNIRYAASPDDWSTASEISEILEHFHEYINWMPNFPSPSDPVADPGQNPRGAELYDWLDLHFQPLSSVQRFLPKLQWQNFHGAQGVCLKCIKLFVKENGKHDYMHETRDTFVDLFNEYADQVDDPNCTTGSKTGKGIVEDANTLSKYYNDSKPYYYYHDSEHQCCDRPMTELGQYLQETRPGRPASCKPSVLQWWKEHSPIYPTISQMARDILALPCSTDSRVATRTAGLAMCELAGESHIEMLVCTQDWLTPAGTRNVESPDDEYFD >Sspon.08G0004840-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:14095345:14096946:-1 gene:Sspon.08G0004840-1T transcript:Sspon.08G0004840-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPWVGQPSLSLDLNVGPPTARPAIPAKTKVLVEENFLAVKKHREVEALQAELRRVGEENKRLSEMLRAVVAKYTELQGPVNDMVAAAANRGSSTTSEGGSAASPSRKRIRSGDNSLDTGAQHHHSRKPSSLSPSLATAVAAHEQTECTSAAVSVTAAAFRRAVREECKPKVSRRFVHADPADLSLVVKDGYQWRKYGQKVTKDNPCPRAYFRCSFAPSCPVKKKVQRSADDTTILVATYEGEHNHGQPPQHDGGRAARSSAPAPAPAPEAGEAVAVRPAAAPPQQKQKQKQKQEAATMGPSSEAARNNLAEHMAVTLTGIPGFKAALVSALSGRILELSPIA >Sspon.01G0018760-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1A:69638975:69641029:-1 gene:Sspon.01G0018760-1A transcript:Sspon.01G0018760-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQATASLPFLLLLFQLHGLSHAAAPAAGGGGDGQFIYNGFTGAGLDLDGMAVVEPDGKLMLTNVTSQLKGHAFHPAPLRFNDRASASAQNRTARSFSTTFVFAIVSDYVTVSGNGLAFFVAPSKNLSTASPSQFLGLFNSQNNGNATNHVFAVELDTILNPEFRDINSNHVGVDVNGLVSLAAEPAGYYADDTGAFKNLSLFSGDAMQAWVDYDGQATVLNVTLAPAEAPKPKKPLISVAVDLSAVVNDTAYVGLSSSTGPFHTRHYVLGWSFALDGAAPTLDYTELPRMPRVVTKRRSKPLDVVLPVAMPLLALAVLACVSLLAWRRFRYAELREEWEVEFGPHRFAYKDLFHATDGFDGKRLLGVGGFGRVYKGVLPASKTEVAVKIVSHDATHGMKQFVAEVVSIGRLRHRNVVQLLGYCRRKGELLLVYDYMPNGSLDRWLYDHGTPPLSWEQRLRAIRGVAAGLLYLHEDWEQVVIHRDIKASNVLLDGEMNARLGDFGLARLYERGAGPQSTHVVGTMGYLAPELAHTRRVTPATDVFAFGSFVLEVACGRRPIERGDDDGRFVLVDWVLELWHMGALADAADARLCGEYPAEEAELVLKLGLLCSHPVPAARPSMRQVVQYLDGDAPLPEPPASYQSFTVLAMMQNQGFDSYAASYPSSSATVTSGGAMSSEHSGGR >Sspon.02G0010890-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:8407317:8414671:1 gene:Sspon.02G0010890-1P transcript:Sspon.02G0010890-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDVMGSAVGPHFSGLRLDSRRLSSSSLSPSSSPSRSANGDAAPGFASPKADGTRRPFVIGVCGGTASGKTTVCDMIIQQLHDHRVVLVNQDSFYRGLTEEQSEHVQDYNFDHPDAFDTDRLLECMGKLKSGQSVNIPIYDFKNHRRCSEKFRKVNESDVIILEGILVFHDQRVRDLMDMKIFVDTDADIRLARRIRRDTVERGRDVTSVLEQYVRFVKPAFDAFVLPSKKYADVIIPKGGDNHVAIDLIVQHIHTKLGQHNLCKVYPNVFVVHTTFQIRGMHTLIRDKNITTPDFVFYSDRLIRLVVEHGLGHLPFTEKQVVTPTVVKAWKMHCVLVLIYHKLPMDIAERHVLLLDPVLGTGNSANQAIELLMRKGVPEKRIIFLTLISAPEGIHCVCKRFPDLKIVTSEIDSGLNDEYRVIPGLGEYGDRYFGTD >Sspon.05G0023290-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5B:6444831:6446454:-1 gene:Sspon.05G0023290-1B transcript:Sspon.05G0023290-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LLCCCIAANVPAQADATIRRAGALAVLVAASALMGFPAVAEAPAYVVLALHVILQIGCAAVLLSTAHGLARRAAQPCLDTGLGASGTWIPRWLGFACIVTYALYVDGDVAAPARNEAAASLDTVKGFLLFLVFLAGVCGVYLSMVRGRGGPPAAAEEHPDGGEVNAMASRLARVVVDSKLGLPGNGVMDIRTSLWQHSHARQFPGWYASEGKRLHSVWHQQHLSFAVGVA >Sspon.05G0005640-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:12381074:12386339:1 gene:Sspon.05G0005640-2B transcript:Sspon.05G0005640-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSSHQKSKMCSRKPLGIQLFECVRGSPISFRSCQALVLVLTFLSYASYHATRKTTSIVKSVLDPKKNLGMLHWPSHLYLQNLKGAENNTTLSSGWAPFNAEDGTALLGEIDLAFLGVYAIGMFFAGHLGDRVDLRILLTIGMIGTGLFTAAFGAGYWFDVHNFYYFLGMQMISGLFQSSGWPSVVAVVGNWFGKSKRGLIMGIWNAHTSVGNISGSLIAAAMLKYGWCWSFAVPGIMIALVGLTVFLFLPVGPDVIGIQEDLHLKDYEKSDTDTPLLERRSSDVKEKAVGFIEAWRIPGVAPFALCLFFCKLVAYTFLYWLPFYISHTAIGGRYLSVSEAGVLSTLFDVGGVVGGILAGHISDHLDARALTAASFTFSAIPALFFYRIYGNVSLAWNIALMFVTGMLVNGPYALITTAVSADLGTHSSLNGNSRALATVTAIIDGTGSIGAAVGPLLTGYISARSWSAVFTMLMASALVAGLLLSRLVVAEVAAKMESRRTPAPAASDLPVSSMEEP >Sspon.07G0022030-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:5155606:5180047:-1 gene:Sspon.07G0022030-2C transcript:Sspon.07G0022030-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAEATAVEAAILWLAQTIIETLQPGKLDAWLHRVGLAGAIGELKSEVERMETVVNGVKGRAVGNKPLARSLARVKELMYDADDVVDELDYCRLQQQVEGGSSEALSISLSKFYHLEVLDVGFQAIHDMSDLISMRHLVPTKGAHGVFSPTWSVCLQTIHLEDCGRWETLPYLESLPSLTTLKLRNMSKVTKLLIPSLEELVLIDMPELYTCFTDSVRDLNSSLRILEIRKCQVLVSFPLFERCENFEIEQKSWLPNVNELTIHECPHLMVSNPLPPSSRFCKLSIRKVSTFPDMEGSSNGEMKIGNTNHLIFNELSLDDKILSFHNLRTLTRLKIVGCGNLSYFSIEGLRQLVCLKRLEICLCKNLFSPDVPSTRAHEDMAVVDFDALPSLECLSIEYCGITGERLSVMLQHVRALEELHLVRCEQITGLLIEGKENSSSNPTSAPRASSQGNSDGASTRSCPDKLLHIPSNLVPSLKKMSIKNCELKFQGNREGFSVFTSLEELRIINCPELIRSLVHEDKIDDQANGRWLLPCSLGVLDINDASLETLQPCFPGDLTHLTVLQVAEIDAFMRMKSLLLHSCTALEKLEIAYCELLEGVQFPRSLRYLEVYGCPGLPQCLESLSTQGYELCPRLERLRIDDPSFLTTPFCKHLTSLQCLQLDVRCLYIDAAGLTCGQEAALQLLTSLQELQFEWYDKLSDLPVGLHSLLSLKRLEINHCSSISRLPERGLPPSLEELGVYKTMLRQDLLEAGEAGAVVGAILWLAQTILETLQPGELDAWLHRVGLAGAIGKLKSEVERMETVVNGVKGRAVGNKPLARSLARVKELMYDADDVVDELDYCRLQHRVQGGSSEALSISLSKFYHLEVLDVGFQAIHDMSDLISMRHLVPTKGAHGVFSPTWSACLQTIHLEDCGRWETLPYLESLPSLTTLKLRNMSKVTKLLIPSLEELVLIDMPELYTCFTDSVRDLNSSLRILEIRKCQVLVSFTLFERCENFEIEQKSWLPNVNELTIHECPHLMVSNPLPPSSRFCKLSIRKVSTFPDMEGSSNGEMKIGNTNHLIFNELSLDDKILSFHNLRTLTRLKIVGCGNLSYFSIESLRQLVCLKRLEICSRNNLFSPDVPSTRAHEDMAVVDFDALPSLECLSIEYCGITGERLSMMLQHVRALEELHLKNCEQITGLLIEGKENSSSNPTSAPRASSQGNSDGASTRSCPDKLLHIPSNLVPSLKKMSIKNCELKFQGNREGFSVFTSLEELRIINCPELIRSLVHEDEIDDQANGRWLLPCSLGVLHIEDASLETLQPCFPGDMTRLKVLVVSSISELKSLRLHSCTALEKLKIQYCKSLDALEGFQSLRSLRYLNAYRCSVLPQCLESLSTQGYELCPQLERLRIDDPSFLTTPFCKHLTSLQCLQLQDYEYIDAAGLTCEQEAALQLLTSLQELRFIDYGKLSYLPVGLHSLLSLKRLEINYCPNISRLPERGLPPSLEELEACVCSELLTEQCRTLATSKLKNRKDMQYTVVTEVGLFDDREILSTILWLAQTILETLQPGELDAWLHRVGLAGAVGKLKSEVERMETVVNGVKGRAVGNKPLARSLARVKELMYDADDVVDELDYCRLQHRVQGVMLPPAVELEVMVGGDGAEQVDASAYTVVMLAPGSEPEGMAGHRNRHGAEQAGASANNTRIPNNSGRKNQSKAWDHFRKRGPTKAECVYCAAPITVGNWTSGLHKHLKSCNKKRSAIEETRNRPRLLHQVQGVVLAPPIEPEGMVGDGDRDETEPIGASSSNSGIPNNSGRKNRSKARDYFHFIPAVDGEPAKAKCVDCGTQVLWGHGTSVLHKHRNSASCKKKRVAIEDTPNRPSASDGVQNGGVIISTKDLEGRKRMRIEDNVATMAHPWDTAKFLEKIHEIALELQGIRGSPPRKVFGRYDEISYIRSVIEKASTNYLTVIPIVGIVGVGKTTLAKLVYDDELVKDQFERIWVWVSNIFDEVRVTREILDVVALTNCEGSPHSRENYEGVSNYTKLQEVLKNHIECWPWHTKFMLVLDDVNDSMDDSQWKDLLDALGSSCTRAWAFGDYNYKEHLNIGYQIAAKLKGNLLAAESAAEMLREQPTLDHWKSIIKNGVWESLQLRGGIVTTLK >Sspon.02G0033720-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:7207728:7208922:1 gene:Sspon.02G0033720-3D transcript:Sspon.02G0033720-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRPPCCDKEGVKKGPWTPEEDLVLVSYIQEHGPGNWRAVPAKTGLMRCSKSCRLRWTNYLRPGIKRGNFTEQEEKLIIHLQALLGNRWAAIASYLPERTDNDIKNYWNTHLKRKLQSGGGDGAAKPPPHRPLSSSKGQWERRLQTDINLARRALREALTPLDDLKPTQLQRDGAVDAPGAGVGVGAGMGSGGDSPASSSSGASQCSPSAPTAAAAAGPYVLTTENISRMLDGWAGRKGARGGSPGTPGGAESASTGSSDASEVSYGGAAVAPAAGGPVFEYETKPAVPPSQQIPLSAIESWLFDDDSHFHHVQSTSLLDAAAMDYPF >Sspon.01G0013160-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:43025186:43027064:1 gene:Sspon.01G0013160-2B transcript:Sspon.01G0013160-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQHKDPTNRAASDGLPDGVAPRKKTNVRFAFACAILASMTSILLGYDIGVMSGAALFIKEDLKISDVEVEVLLGILNLYSLIGSFAAGRTSDWIGRRLTIILAAVIFFVGAFMMGFSVNYPMLMAGRFVAGIGVGYALMIAPVYTAERGFLTSFPEVFINFGILLGYVSNYAFAHLSLKLGWRLMLGIGAAPSVVLALMVLGMPESPRWLVMKGRLADAKVVLSKTSNTPEEAALRLADIKEAAGIPADLDGDVVAVPKRTGGEERVWKELILSPTPAVRRVLLSALGIHFFQQSSGIDSVVLYSPRVFQSAGIADKNKLLGTTCAVGVTKTVFILVATFTLDRFGRRPLLLTSTGGMVVSLVGLGFGLTVIGHHPEGTTIPWAIGVCIASILGVVAFFSIGLGPITWVYSSEIFPLHLRALGCALGVGVNRVTSGIISMTFLSLSKGITIGGSFFLYAGIASLAWVFFFTYLPETRGRTLEQMGGLFGIPNMAATATTVVTRQGEEDLEQRRDVIIDGH >Sspon.02G0049820-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:43737459:43739261:-1 gene:Sspon.02G0049820-1C transcript:Sspon.02G0049820-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPVAWERRRTLLVVNLASIMECADEALLPAVYREVGAALHATPAGLGSLTLCRSIVQAACYPLAAYAAARHNRAHVIAVGAFLWAAATFFVGVSDTFLQIAISRGLNGIGLALVVPSIQSLVADSTDEATRGSAFGWLQLASSLGSISGGFVGLLLAQTTVLGVAGWRVAFHLVAAISVAVGALNWSLAVDPHFPPTSEGVDVPAVGKRPATARQVVAEMIEDARLVVRIPTFQIFVAQGHGDTAVLMTIFWVASSLGGLLGGKMGDALAVRYPDAGRIVLSQISAGSAVPLAAVLLLGLPEDPSAGVAYGGVLFVMGVFISWNGPATNLPIMAEIVPEKSRTSIYALDGTLESVLASFAPPIVGLLAQRVFGYNPDDKGKSVQRDRQNAASLAKALYTSTAIPFIVCTSIYSFLYCSYPRDRDRARMQSLVESELRQMEEKGSYCLEDGNGHRHGGQRAGVTCDSKEMREAEMDTVRLLADHDHVP >Sspon.06G0000220-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:12517447:12518586:-1 gene:Sspon.06G0000220-2D transcript:Sspon.06G0000220-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLSAADSRKKKRRRRRELPLPAACSSRSAAGAAQGQCQCQCQCCCCCSSPGGGGLVATTIPCAFFLCSTGASCAPAAAVRLGTQHQQHKLTMLLLALALLLVLPPPPAPFLVAGVSVSVSPRRMARIQSHLDRINKAPVRSTRSADGDTIDCVAAHEQHGLEHPLLRTHTVQTEPPEAPMTRGGFVVPAAAGGGAATATNGSRSSNNDRHGAWQTWHHGGHCPRGTVAIRRTTAEDVLRARSISRFGRKRRNAAVAAAARAANAPDVITGNGHEVSH >Sspon.06G0016280-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:70712511:70712948:1 gene:Sspon.06G0016280-4D transcript:Sspon.06G0016280-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GGDDDSQQAGAGGGAAVRGGGHGDGAAGVRRARHLQLLQPGAEQLGPSWDVLRHVGRRPAAVVAQQVRLDRLLRARRPHWTGRVRAVPPGDEHGDGGVADGEDRGPVQQRRAGPGLRHGVQAARHQRGRLPGRPPHRQLPVRQLLV >Sspon.01G0027640-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1A:96726066:96726539:-1 gene:Sspon.01G0027640-1A transcript:Sspon.01G0027640-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGSLPECHARGSRAPSTRFRPGYRPGRCGSRCTPSWCRRKGGAAAAEGNGRRGKALSRGDRRVRRRLIPAVLGLGFRRLPLGSLYRTERQPGLGHDGVPAKRKRGEETVFLRLSPWGFDCFDGSGSSSVQVQRSVTPVCSCHCHSAKPANLGLIRT >Sspon.05G0002170-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:6841444:6851329:1 gene:Sspon.05G0002170-1A transcript:Sspon.05G0002170-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTRPSSTPASRFPQLLLVVVIACAVPGVRCSDRRFQHLDRVRELHRREGSSSAEQEAAARGFSRASYRHTPPASSSGSSPRYACFAAWGSSNVVGRHASSSTTTRCLMEKGLRKYCMILGVSGVEISAGFHWYLKHYCAAHISWYKTGGAQLSSIPHPGSLPRVPDGGVLIQRPIDWSYYQNAVTSSYSFAWWDWYRWEKEIDWMALQGINLPLAFTGQESIWQRVFQRYNISKSDLDDFFGGPAFLAWSRMANMHGWGGPLPQTWLDDQLALQKKILSRMYAFGMFPVLPAFSGNIPAALKSKFPSAEVTHLGNWFTVDSNPRWCCTYLLDASDPLFIEIGKLFIEEQIREYGRTSHIYNCDTFDENTPPLSDPNYISSLGAATFRGMQSGDNEAIWLMQALLHSVPVGKMIVLDLYAEVKPVWINSDQFYGVPYIWKVLFSLSANSMHYYPPFIFIHKKLVCMLHNFAADFEMYGVLDALASGPIDARLSDNSTMVGVGMSMEGIEQNPIVYDLMSEMAFHHRQVDLQVWVETYPTRRYGKPVKGLQDAWWILYQTLYNCTDGKNTPGLYVNTRQMYSTMPSNYIGKDASSDAYDHPHLWYDTNAVIHALELFLEHGDEVSDSNTFRYDLVDLTRQVLAKYANDIFLKIIESYKSNNMNQVTILCQHFLNLVNDLDTLLSSHEGFLLGPWLESAKGLARNSEQEIQYEWNARTQITMWFDNMETKASLLRDYANKYWSGLLRDYYGPRAAIYFKHLLLSMEKNAPFALKEWRREWISLTNKWQSDRKVFSTTPTGDSLNISRSLYIKYLNNADPLELEGTGSPRKSASL >Sspon.01G0060190-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:74804362:74808192:1 gene:Sspon.01G0060190-1D transcript:Sspon.01G0060190-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding KNMLTITYVRAPPKILCELRHCALTNTSNLVLSSTSGKMLWTANISSASETTAGEARLDNTGNFILRTLDGVVLWQSFNYPTDTLLPGMKFRVTHNRHALQRLVSWKGPQDPAPGSFSSGADSDEFLQRFVWNGSRPYWRAPVWNNYLEVGPYMESIKSTIYFTISNDDGEIYMYFGIPSGSSSSTVIKFKLDDSGNREILIWNSNILEWDVLELEPSHECSTYGYCGPFGYCDNTQPNAICKCLDSFEPISNKGRSNGRFPEGCRRKEALRCGEENTSFLTLTTMKIPDKFVYVKNRSFDECTAECASNCSCTGFAYANMGTTAINGDDTRCLLWMGDLIDTEKRIGGENLYIRVNRSSGTASLSFWTDKKRRSNILKIALPVVSSLLILVFMWLVWICNSRGTSSLQSLCIFPRNVCICYLFHMQSSFISFNIVSLFLSVKQRNKKTWKKIISGVLSISDELGDGKLPSISFREIVLATNNFSSSNMLGHGGFGHVVQGTLECGKTIAVKRLSKGSGQGVLEFRNEVILIAKLQHRNLVKLLGFCIHRDEKLLIYEYLSNKSLDAFLADSTRKPSLDWSTRFNIILGIARGLLYLHQDSRLKIIHRDLKANNILLDDEMSPRISDFGMARIFYGNQQQGNTNRVVGNSGYMSPEYALEGVFSVKSDVYSFGVLVLEIVNGSKISSMHMTEDYPNLIMQAWSLWKDGNTKEFVDSSIVDSCSLDETSRCIHIGLLCVQDNPNARPLMSPVVSILEKGDTDTSLPPPKQPYYFAERNYGTNGAAEAIVNSANTMSVTALEG >Sspon.06G0026170-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6B:76951053:76951736:1 gene:Sspon.06G0026170-1B transcript:Sspon.06G0026170-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKIFTLLALLALSVSATTAFIIPQCSSVTVAGYEHPVARAYRLQQVLAASILQQPIFQLQQQSSAHLLVQTIVAQLQQQQFLPVLSQLAVANPAAYLQLQQLLPANPLAAANAIAYLQQQQFLPALSQLAVENPAAYWQQQQLLPFNQLALPNAAAYLQQQQPLPFTQSAVATAAAYHQQLQLLPVNPLALANPLAAAFLQQQQLLPFNQMSLMNPALSWQQPIVG >Sspon.03G0014460-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:110415141:110421299:1 gene:Sspon.03G0014460-1P transcript:Sspon.03G0014460-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGRGRWAPGIRAAALILLAVAAAVGAATGDPDPDELERAFPIVEPDHGHTKLRLSEQGLEAIRRIETPIAVVGVIGPYRSGKSFLLNQLLSLSCDKGFGVGHMRDTKTKGIWIWGTPVEMDVDGSKVSVLYLDTEGFESVGKSNVYDDRIFALATVLSSVLIYNLPETVREADISRLSFAVEIAEEFYGRFGQDVAFEPAKLLWLIQRDFLQGKSVQQMVNEALQRVPNDNGDKYIDEVNQIRDSLAVMGNNSTAFSLPQPHLQRTKLCDMEDKELEPLYVKRREQLKQLVSSIVKPKIVQGRTLNGKDFVSFLQQILEALNKGEIPSTGSLVEIFNKAILDRCLKVYREKMDGLGLPVPVDELQKLHEMANGEARILFDKQHFGKHHAAQSALKLEDEITKVYRNFLLANEYQSSKLCEARFSECEDKMDHLQVLKLPSMAKFNAGFTHCNQSFVKDCVGPAKESYEHRMSKMLAKSRALFIKEYNNKLFNWLVTFSLVMVVIGRFVIKFFLLEIVAWVMFIFLETYTRMFWSAESLYYNPAWHIIVSSWEIIVYSPILDLDRWAIPIVIMLSFGVLYWRCFGGRRKRGRGSLLPLYKNSYKNSSRPRSD >Sspon.08G0005510-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:16771879:16787802:1 gene:Sspon.08G0005510-1A transcript:Sspon.08G0005510-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAPFPPVAASAASAPPRLAPRHPFAAAAAARRSSLSFRPAAPRRPVLPLRSSAVVAANTLRCTHRRAVSPRWVTASDRAGSNLYPCCWRARVAPSADSSSPYCVPRRSRRRAQGLGAASALAASAWGVGEEKGGCLSCFPRSRRGRSGLARFAPCALPHASGVSFGSRLSGSKVRPSHILRAAGPDEPHVASPTWSDTSLDTSDMDHAISKEELEDVLNTSLPEHPKLIRGQLKNGLRYLILPNKVPANRFEAHMEVHVGSIDEEEDEQGIAHMIEHVAFLGSKKREKLLGTGARSNAYTDFHHTVFHIHSPTKTKEYGEDLLPSVLDALNEIAFHPKFSSSRVEKERRAILSELQMMNTIEYRLLQHLHSENKLSNRFPIGLEEQIHKWDPDKIRRFHERWYYPANATLYLVGEIDDIPRAVREIEAVFEHTLSENEGNLVPSSSPFGAMASLFAPKLPGGFAANLSGEKSPATDKIKPVKRERQAVRPPVEHKWSLPGVAQDAKPPAIFQHELIQSFSINMFCKIPVSKVQTYKDLRSVLMKRIFLSALHFRINTRYKSSNPPFTSVELDHSDSGREGCTVTTLTVTAEPQNWKSAIKVAVHEVRRLKEFGVTMGEMTRYMDALIKDSEQLAMMIDSVPSVDNLDFIMESDALGHTVMDQLQGHESLLAVAETVTLEEVNTVGAEVLEFISDFGKPNAPLPAAIVACVPRMVHVDGVGETEFEIYPEEITEAIKAGLEEPIYPEPELEVPKELITQSELDELKSQHKPSFVPLTKEENVVKVFDSETGIAQRRLSNGISVNYKITQNEARVGVMRLIVGGGRATEDSESKGSVIVGVRTLSEGGCVGNFSREQVELFCVNNLINCSLESNEEFIFMEFRFALRDNGMRAAFQLLHMVLEHNVWLDDAFDRATQLYLSYYRSIPKSLERSTAHKLMLAMLNHDERFVEPSPHSLQKLTLQSVKDAVMNQFVGGNMEVSIVGDFTEEEVESCVLDYLGTVRAASSPNTEERIEKISFRPFPSDVHFQQVYIKDTDERACAYIAGPAPNRWGFATEGNDLFNVIQRSDADAEISEPVNLDLTGKRRIDVRSHPLFFGITLSLLAEIINSRLFTTVRDSMGLTYDVSFELNLFDKLNLGWYVIAVTSTPSKVHKAVDACKGVLRGLHSSRIVERELDRAKRTLLMKHEAETKTNAYWLGLLAHLQSSSVPRKDVSCIKELTTLYESATIEDLYLAYEHLKVDDSSLFACIGIAGAESGEDTNDEEPDMDLHGMAPMGGRGFSTMTRPTT >Sspon.07G0021240-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:2299792:2300815:1 gene:Sspon.07G0021240-1P transcript:Sspon.07G0021240-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMVWFSLAAGGGSEQGAEVPRLERDVTSPLATVPVVNPTAMPTPSLATGAGGGSWCVASPSASATALQVALDYACGQGGADCSAIQQGGSCFSPDTVRDHASYAFNSYYQKNPVQTSCDFAGTAVLTTANPSKFSTPEHVKIKASSCSAICITGGSVLNTSTPLTPTYGSPPGYGSSPPAGYGNSPPLYGNMSPPEYGDNINAAVRALPGRRATTVVVSLSTTCFLTAALSLTVSG >Sspon.04G0006070-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:18130832:18140742:-1 gene:Sspon.04G0006070-4D transcript:Sspon.04G0006070-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNTCGVTLRSKYFASFRGSASQRRDAAGYAPVAATAAADEPAPHGNGKRATRPAEARAAADGSAPPPAPGMRRGVPAPAELTANVLGHPTPSLRDHYALGRKLGQGQFGTTYLCTDLATGVDYACKSIAKRKLITREDVEDVRREIQIMHHLAGHRNVVAIKGAFEDQQYVHIVMELCAGGELFDRIIQRGHYSERKAAELTRIIVGVVEACHSLGVMHRDLKPENFLLANKDDDMSLKAIDFGLSVFFKPGQIFTDVVGSPYYVAPEVLRKRYGPEADVWTAGVILYILLSGVPPFWAETQQGIFDAVLKGVIDFDSDPWPVISESAKDLIRRMLNPLPSERLTAHEVLCHPWICDHGVAPDRPLDPAVLSRIKQFSAMNKLKKMALQVIAESLSEEEIAGLKEMFMAMDTDNSGAITYDELKEGLRKYGSTLKDTEIRDLMEAADIDNSGTIDYIEFIAATLHLNKLEREEHLVAAFSYFDKDGSGYITVDELQQACKEHNMPAAFLDDVIKEADQDNDGRIDYGEFVAMMTKGNMGVGRRTMRNSLNISMRDTPGAL >Sspon.01G0041080-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:46051118:46055697:1 gene:Sspon.01G0041080-1B transcript:Sspon.01G0041080-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding NARNRKDERSEQIGTLQLVGSWHPCADTLAYQYTLRRSDKEDEGGLQSCLNKEGLVRYPAMPAIEDGSSEEVGNKKAPTEPRRAEMPKRSPRIELSGRRSRRAERQLRELVTGGIDAESIRRKRVTGSVLVALHTSCLARACDWQFGCSACIVASGLDLSHLLFSCSALVAASRPWPRRARSGKGTARFTVGEQAPLSPATPHLCGTTKSKTTSGDICTEGPPNRAYGKVQEEYKARCKKLELQDRRIKMLHDNEVMTN >Sspon.06G0015430-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6A:84934145:84935431:1 gene:Sspon.06G0015430-1A transcript:Sspon.06G0015430-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHIKLEAALETSNRWNITTSAPLLRWQGKLKRAAQECEHAVRRCRQRLQEEEEMQNSVRSSSFPKQIAYAARSFVSSIFSRGSEDELRSSVAVRRFERFADGASEFLRVEGSATEMINGEAVQHGSLYANISFEQLCKMMLPKAEDCLHGNIAATSYQMLWKSKHGGAFLQVEKIPWRLTTQKNMGGRRSERRQVKK >Sspon.01G0017020-2D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1D:57807776:57810109:1 gene:Sspon.01G0017020-2D transcript:Sspon.01G0017020-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRPFRRTLAAMLLIVFSIVVVVLLGDVERRLALDAAHPRADAVVDGVLGGEGDPLVGHGQWRRVVDHDLLVLAVSGRALLERVRGVPAVAARRARQLISFLIVVLHGDDLDVAGREGDILLASPDASSKRRGDELDRLHLGKFVADGLVGIGDLAGLLLP >Sspon.01G0022090-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:80149907:80155159:-1 gene:Sspon.01G0022090-3C transcript:Sspon.01G0022090-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAPPAAPPAPPTAPWRRHAPAAASSTPRTVLLLLPILLLLLFVLSKAPDLTFSTTSSSTADTAAASSSRHPPGDLRSFDCYASQQAFPVVANLVEGVPYPFLYSLADMGTLPDHPHKNIARLLKGKRFRKPDISETIQELLGGEVGRGEPSGGLVVDVGANVGMASFAAAVMGFRVLAFEPVFENLQRICDGVYLNQVQDRVVVYHAAASDRVGTITMHKVIGRLDNSAISAIGAKLAFKSNAEIAVEVSTIPLDEVVPDTERVLMIKVDVQGWEYHVLRGASKLLSRRKGEAPYLIYEEDEHLLQASNSSAQEIRAFLTSVGYNHCTRHGNDAHYNLASNKGQLNMQRDETLDLRADFTSW >Sspon.01G0048840-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1B:110481984:110483798:1 gene:Sspon.01G0048840-1B transcript:Sspon.01G0048840-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At2g02980, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G02980) UniProtKB/Swiss-Prot;Acc:Q8LK93] MSSAPLTATPAPLLPAKPKTAPPQQHPVLSHLPHCTNLRALAQLHAAAVKAGLAAHPALVTRLLTLCTTPGAGPAHLAYARQVFDRVPHPADAVWYNTLLRGYARSSSASASDAAVRVFVRMLEEGVAPDTYTFVSLLKACAAARAGEQGRQAHALAVKLGAAGHDYVRPTLINMYAECGDARAARVMFGGTDGGCVVSYNAMIAAAVRSSRPGEALVLFREMQAKGLKPTSVTVISVLSACALLGALELGRWVHDYVRKIGLGSLVKVSTALIDMYAKCGSLEDAIDVFQAMESRDRQAWSVMIVAYANHGYGREAISLFEEMKKQGMKPDDITFLGVLYACSHSGLVSEGLQYFDDMKDHGIIPGIKHYGCVTDLLARSGQLERAYKFIDELPINPTPILWRTLLSACGGHGDVELGKRVFERILELDDSHGGDYVIFSNLCANTGYWEEMNRVRKLMSEKGVVKVPGCSSIEIDNTVHEFFAGDGRHPKSQQARKMVDEVINQLKLVGYVPDTSHVFHVEMGEEEKAISLKYHSEKLAMAFGLLNTAPGATLRVVKNLRVCPDCHSMAKLVSMVFNRRIILRDLNRFHHFEKGICSCGDYW >Sspon.01G0040510-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1C:33386686:33387737:-1 gene:Sspon.01G0040510-2C transcript:Sspon.01G0040510-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRGGSAGGGQSSLGYLFGSGEPPKPAVAPPAASAPPADKPPAAKPDATKQIADKPPAAKPDATKQIAAGVTSQTNNYHRADGQNTGNFLTDRPSTKVHAAPGGGSSLGYLFGGN >Sspon.05G0029090-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:75324752:75330521:1 gene:Sspon.05G0029090-1B transcript:Sspon.05G0029090-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSVVGVLIGKLGAALRNEALAYGVSQLSNEASNLKGLVGEICKAKEELESIKAYLHDSDKFKETSETTGIFVKKIRDLAFRIEDVVDEFTYKLEGDKHEALSDMVKKRVRHVNIWSRLCFELRSINNELEDAIKRRNRYAVPGMERNIGSYYDCHDTRSTNQTMCFAREEDLVGIQDNVDKMTQWLLGDLEEKKNKIVTVWGMGGAGKTTLVHHVYKAVKEEFDTAAWVTVSKSYKVAEVLANIARELAISADARNMELIRHTRIEVLPEAVGRLQSLEVLDAFGTALLSLPQDITKLKKLRFLYANARLTEGNLTRFGGVKVPRGIMNLTGLHALQSVKASLETICEVAALTELRTFAIADVTSEHSPNLCNAITNMRHLVSLSMVASSETEVLLLEELNLPKTLSKLELIGQLEKKRMPQIISSWSHLHNLTRLSLFFSKLDEDAFSSLMVLRGLCFLELGNAYDGKKLCFSALSFPALKKLGIWGAPQLSQVEIEEGGLRNLVKLWFLQCPELKCLPNGIECLTSLEDLYLYDTAQELIEKLRREVNECSELYMKISHIRRVSVKLTEENMWERIRWQNASIPTGGVGVDELIFTNLRARALS >Sspon.03G0004780-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:13413940:13417033:-1 gene:Sspon.03G0004780-1A transcript:Sspon.03G0004780-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDHKDPGIKLFGRAIPLGPEPAPGTTEAEDPPPRHEPPPDELQPRAPEVAAAAADEDQHNEKEEKPASEMVDMPQEKGKEIKVDTPQEEKDNEMKVDAPQKEHDDEMKIDAQQEKKDEQMEVNASPMHENIEPANLPPSEHKKEDEGLMNGTEDKAASDPKGENEKTSNEESGQDKALKKPDKILPCPRCNSMDTKFCYYNNYNVNQPRHFCKNCQRYWTAGGTMRNIPVGAGRRKSKNASLHYRQLLMAPDCVLGSRVDISKSVLPEALVSPPAPIQPTSRNETVLKFGPEVPLCESMVSALNIDEQNVNNPGSAPRGENREDNPGSGTHTMVCLKTWFPSIRMEHQFIVTELPQCLSITLEPLSCTLGM >Sspon.05G0001580-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:1084138:1087658:1 gene:Sspon.05G0001580-2B transcript:Sspon.05G0001580-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVALTAASPALLPVPFRGRRDGRVRLSPRRPRYSAGRCRATAQTFQGGAAASYAREMERLSAKESLLLAFKDAGGFEALVSGKTTEMQRIDVNERIVGLERLNPTPRPTTSPFLEGRWNFEWFGDSSPGAFAARLLFERSPTAVAHFMGLDVLIKDGYSKLSSNLKFLNTIQSKFLLTTQLSVEGPIRMKEEYVEGLIEIPRINEESLPEQLKGFLGQTAGALQQLPSPIRDAVSEGLKVPLGGAFQRLFMISYLDEEILIIRDAAGAPDVLTRLEGPQPNPGDGTADAVISEYES >Sspon.02G0011800-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:48067999:48070329:-1 gene:Sspon.02G0011800-3D transcript:Sspon.02G0011800-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKPRQVVRKFLARPQHEGAGAVVRRSIGRFELRYFDPFLVLDEFSVSAPAGFPDHPHRGFETVTYMLEGAVTHEDFEGHRGTIKAGDVQWMTAGRGIVHSEMPAGPGTSKGLQLWVNLSSGNKMIEPGYQEIQSKDIACTSADGVTVRVIAGHAMGVRSPVCTRTPTMYLDFTVRPRGVVRQPVLASWNAFAYVLEGEGVFGAERCAPVGAHHLLLLGQGDGIEVWNKSDDRPLRFLLIAGEPIGEPVAQLGPFVMNTEEEIDMTVNDFECYANGFEKARHWKSQAMVALGVE >Sspon.01G0032150-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1A:109274724:109275149:1 gene:Sspon.01G0032150-1A transcript:Sspon.01G0032150-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding FGEKVILIHLAGYEDRSPQTPRSSSSATSSEHGSSAPSFIPFDWATGVLDGRPPHVQPTLPRNGGSCRATVNGQPRRDQDPDSDHYGPRRHHDVPRSLRVRQLFPGCTSERSVRVQARSPHPYRRAAGTQEADALEPELLKR >Sspon.05G0015980-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:58664610:58667914:-1 gene:Sspon.05G0015980-3C transcript:Sspon.05G0015980-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding TLTKLRFTRNDLTEDDKAAFEKLLQEDGFYTIRLPSNVLDTTKKHNVVSSIKARCIPRDSLDEHIVIHMDGVNILAVNYGSVGGCQYPRPMKLPSKWTFSSYTILKTAEQAPRTPSFADQLIEADNGLGEVMKPPEKSFWAKYWMYIIPLGLIVMNAVTAAANMPEEAAGQGQPGAQRAPAAAATGRRR >Sspon.08G0013980-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:53810972:53819408:-1 gene:Sspon.08G0013980-2B transcript:Sspon.08G0013980-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MANQKFSFWNVCLGIQNCQAFSYRRGKGECFPKALLFNGKNFASPPNDIYLKVPNVSLSSLELDSRKTHQCKITEEFAYPSSPMLGGTTSKFKFDYFLSSAPTLLVIEVRRPEITDEGYKIITSQFRRINYKELEKATKCFQEELGSGGSGTVYKGVLDDERKVAVKKLNDVIQGEQEFRSELSIIGRIYHMNLVRIWGFYAEKKHRILFSEFIGNGSLDKALFGNQSSSPLLQWRQRFNIALGVAKGLPYLHHECLEWIVHCDIKPENILFWISETIESSWSTNVVKGAWDAPEWALNLPITGKADVYSYGVVVLELVKGIRVSNWMAEGEGEMEMAVRHSADVLKEKLASEDMAWLLEFIGWINRLFTSNNDGKDSSVMLGRGEEKTTKHEPAMAMRDLCIFTTFVSFLISLSSVSVANDQSYLARGSSISTQDVTTAILVSPNGAFTCGFYKVGTNAFTFSIWFSWASGKTVSWTANRDAPVNGRGSRLIFRKNGGLVLVDYNGLVIWSTNTTTSRRDRVMLLNSGNLVVMDTDGRHLWRSFDSPTDMLLPLQPMTRNTKLVSASARGLLYSGFYAFYFASNNILTLIYNGPETSSIYWPDPFYLPWDNGRTTYNSTRYGVLDQTGRFVASDQLEFEASDLGDEMMRRLTLDYDGNLRLYSLNITTGSWSVSWMAFPRLCNIHGLCGANSLCKYRPELESCSCLEGFEMIEPSDWSKGCRRKTNIMPFSFRKLPGTDLWGYDLNYSELVPWWLCRDMCLNNTKCQAFGYRKGTGECYPKAFLFNGRNFADPYNDIYLRTPKAVWSSPELAPGPRHVCKVTEKEAYPSSQMFTGDSSSFKFGYFLSSALTLLVIEVTLIIVGCWIVNKWERRSETMDEGYMIISSQFRRFSYKELERATKCFQKELGSGTSGAVYKGVLDDGREVAVKKLSDMMQGEQEFRSELSIIGRIYHMNLVRIWGFCAEQTHKLLVSEFVENGSLDRFLFDYQDLTYVLQWSQRYNIALGVAKGLAYLHHEWIVHCDVEPENILLDKEFEPKIADFGLVKVLNRGAGAQMLSRVHGTRGYIAPEWSLNLPITGKADVYSYGVVLLELVKGVRVSSWVIEGEEVVEMSIRCSAEILKEKLATNDPSWLLEFVDSRLDGEFNYLQAATMLKMAVSCVEEERSKRPSMNHILKTLLSLVE >Sspon.02G0002510-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:8014217:8023429:-1 gene:Sspon.02G0002510-1P transcript:Sspon.02G0002510-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPGAGTGGRRASSSRARRASAAAAESNENEDLAAASSSSFALAPAPHFSLPPRSPLAAIVDPGRNPRSAPATPKSLAGTPRASAGPDLICWGGEEVFDLRDVAAAEVPLEVPHFELDEDPAFWKDRNVQVLIRIRPISDAENATHGQKRCLLQDSSKTLSWTGHPETMFTFDHVACETISQTGSGKTYTMMGELTKLGNELSKDAGLTPRIFEYLFRRINEIREDIKKGVYVENLMECYVSSVEDVMLLLLQGVANRKMAATNMNSESSRSHSVFTCVIESRWESDSMTHLRFGRLNLVDLAGSERLVIMTLVDVANGRSRHVPYRDSRLTFLLQAKVNEDASGDVMALQRQIEELKVSHLEHVLAGSLRREKSAETKIGKLEAEIQHLNRLVNLMESDAQRLRKRLELRGEKQRLHSIGENAALSQEIQLLQEQINENPQLTHFALENKRLIEELTTLQNFYKQGEREMLLTEISLLRNHFLHILEQKYAAAPKNVEAQGDELIKELDNCRKELDACLENNVLLAREVNKLRCELIQYQKPCTNEVAPEEKENVVAKAMQNDQAKQNFSYLSSDDVNKQFMQAGITTNISESFQLELPYEIDSEDLESPHLHDPETHDFRDPTTASEYDGVLSECFNLATGSSHDVLDKSTILTELNFLEKDDTYHVHEKAPVRGIYLHDETLLCQEIEIVNSSKHLSQDELENLKRTNQELKEKLFIMAEESNKLSEIIVAKDVEIASLSEEWEAAIFDLTSFLTDGCRSLDDAYQNIDNMISSFPHSNSSVSEHVEKAMKISIEKEKMIFKLQNELQTAQKIGREVKEKLHILRGATLAITEAQQLDNEESSQEELQLVGLLHQKDCIIQELKNNLKAENCIFAERAKGHSRDDLVLPDSSVDMIEELPRDENQPAASQANPDYQSKLDSVMHLVEDKSNKVLTLFSNFEAAQETMEEAELSALLKVNEELKLERDNCRQAVELLLSEKSSLIGDLKELEASSSCSSQKYDKLHQQINECVSEMTNLLL >Sspon.07G0015710-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:61368320:61368538:1 gene:Sspon.07G0015710-2B transcript:Sspon.07G0015710-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSAEAERGGAKKSWPEVVGLSVEEAKKVILKDKPDADIFVLPVGSSVTADFRPNRVRIFVDTVAETPRVG >Sspon.03G0040710-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:20744438:20746969:1 gene:Sspon.03G0040710-1P transcript:Sspon.03G0040710-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLRACCRLRRFLAPPPPQAPPPGQPLARGFNPATAHVLPFSRLFSSASAAAAIAPHDARDSGLGGSAYWAWIRAATESAPAPSPPQEEEDEGPARYIPVKAYFLSTSIDLKGMQAEHGNDIVPPSTRSLNYIALRYSEFPPEIMNIGVKDNRFCYRYVVVFQYGSAVLFNIADHEAEYYLDIIRKHASGWLPEMRKDDYAVVEKPSLTTWMKGGLDYIVLKSLDTDGIRIISSVLGQSIALDHYIRQVDDMVEEFTEINRVMEKTGNFTMQRKKLFQLVGKANSNLADVIIRLGLFDRSEIAWKNANYAQILEYLREEYELNQRFGSLDFKLKFVEHNIHFLQEVLQNRRSDLLEWGVIILLIIEIAISLYEIVKDSMIS >Sspon.03G0020120-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:62379324:62380812:-1 gene:Sspon.03G0020120-1A transcript:Sspon.03G0020120-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding FNMRPTLLFLPLLASLLLLLHDHASADDCEPAACGNLTLRYPFWLGNSSTNHPSSDPCGHPGFEVWCGNDGRVASLKGSSIHVLSINYTTNSLVASHARVAGANGVCQANFNMSSSIALSLFAISAQNRALCFLYDCNGTEPSGPEYANATSNCSAPIYAYLGGAYYWDKPPAIATGGCKYTYMPVLGTEAAIMTAANYSRLLKDGFVLDWEVAGVGDCQACNANGGQCRYDSAAAEFWCLCPTAGAQDQHPQLFEIENSGGLEEATRPRPPPAPDPVVGPPAAGAGGDASHDPLRATRGSP >Sspon.03G0013670-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:55770779:55781672:-1 gene:Sspon.03G0013670-3C transcript:Sspon.03G0013670-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CBL-interacting serine/threonine-protein kinase 8 [Source:Projected from Arabidopsis thaliana (AT4G24400) UniProtKB/Swiss-Prot;Acc:Q9STV4] MVGGGGGGGALRRVGKYEVGRTIGEGTFAKVKFAQNTETGESVAMKVLDRSSILKHKMAEQVLASRKKIFIILEFITGGELFDKIIRHGRLNEADARRYFQQLIDGVDFCHKKGVYHRDLKPENLLLDSQGNLKISDFGLSAWPAQGSSLLRTTCGTPNYVAPEVLSHKGYNGALADTWSCGVILYVLLAGYLPFDEVDLTTLYGKVESAEYSFPAWFSGGAKSLIRRILDPNPETRIRIEEIRNDEWFQKNYEPVKEIENEEVNLDDVNAAFDDPEMRVEGLNADKTSHLSVMVEVFEVAPSIFMVELQRAAGDTSEYNTFVNNYCSKLDDIIWKFPTEKGKSRIPRLSKSHS >Sspon.03G0020280-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:62996823:62997351:1 gene:Sspon.03G0020280-1T transcript:Sspon.03G0020280-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding PWTEEEHRLFLLGLKKYGRGDWRNISRIFVQTRTPTQVASHAQKYFIRLNSGGKDKRSSIRDTTTV >Sspon.01G0058300-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:25494542:25500213:-1 gene:Sspon.01G0058300-1P transcript:Sspon.01G0058300-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPDPGRTPAQGEESASTSPWSLRKLQNFTPGLWSQYKAYEDAVVEGTKRTIADALVLVREHQTEAIGCATVAGFILFRGPRRFLYRNTFGRFKTEKDLLNDAEQSMMEYKTSIQNLKKESKYTLDKVAVGESDLQRGRTDLRSTGKQIQSLIGSIYKAESTAAGLMDRLRTIPTRQSLELRAEVASMASDLKNQRCALQERINKISEYGVRV >Sspon.02G0050010-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:47365973:47373597:-1 gene:Sspon.02G0050010-1C transcript:Sspon.02G0050010-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFFLGLLLAEAVHGASAPPTPSLAPAEAAETVFLQSPFAVRVLRRIAVRLGVSSWDFTAGAGPCDHGDSGVHCDCTFSNGTACHVTEIFLKGQNFSGELPPDFADLPNLLQLDLSRSLFHGGVPDQWARMKLQGLSIESNEFHGPMPPEIGHLIRIEKLQIEGSLLEGPIPSSLSELTNLSDLRISDLRGSGSSFPDLSRMTSMNKLDLSFNKLSGEIPPSFASMGAVDYISTGNFMDDNINDDDYIATSASTLVVPNSDLYTKARLSPLSLTYYGLCMLSGSYTVNLHFAEIVFTNDSTYYSLGKRRFNVFIQGRMVLEDFDIEQSAELRALDLQIGSFTLRQIKAATRNFDAANKIGEGGFGSVYKNSDYVYGMLPLCPVEQYRLRMDWGTRHKICLGIARGLAYLHEESAIRIVHRDIKASNILLDKDLNAKISDFGLAKLNEDDHTHISTKVAGTIGYMAPEYAMRGYLTDKADVYSFGVVVLEIVSGKTYPNLLGTKGYVVVVVVVVVLNSDLFGP >Sspon.07G0002920-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:6832714:6834264:-1 gene:Sspon.07G0002920-3D transcript:Sspon.07G0002920-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Anaphase-promoting complex subunit 10 [Source:Projected from Arabidopsis thaliana (AT2G18290) UniProtKB/Swiss-Prot;Acc:Q9ZPW2] LRFLGRFPCPRNWSDMESDAEEEAAATPAAAGAPGAGRLKGTPELTVDADMREMAKTAAWSVSSCKAGNGVAALRDDNLDTYWQSDGAQPHLVNIQFQKKVQLQLVVLYVDFKLDESYTPSKISIRAGDGFHNLKEIKTVELAKPVGWVHISLSGADPRETFIHTFMLQIAVLSNHLNGRDTHVRQIKIYGPR >Sspon.04G0024950-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:23789482:23790284:-1 gene:Sspon.04G0024950-1B transcript:Sspon.04G0024950-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding WRRPSDSSCWLSCSPPFSLSPQQLSSKPGRGRTTITTRLTCRTTVAAATPAAAGAVGVATPGRGGYPGGGWRGGGGYPGGGHGGYCRWGCCNRGYYGGCRCCSRADEIPEPMYRPEFTEVHN >Sspon.06G0006140-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:20907127:20910553:-1 gene:Sspon.06G0006140-1A transcript:Sspon.06G0006140-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding TLPRIQPSGEHIPTARIARWPPSSDESDTDTSPLSRVPSLRPTPLPRFIRFLPKSHLTSRGKSRYRELGRTPHPAHFCPSHCGAASAPSLSLRGIWRRLEDAEAGSAAPKNLLHPIADTPARRERVEIGVVAVFKEAVGEVDMSCVEDQDSLLEDLLGTKDMTWRPDLFSDSMAASGIETGTKLYISNLDYGVSNEDIKELFSEVGHLKRFAVHYDGYGRPNGTAEVVFTRRSDAIAALKRYNNVLLDGKAMKIEVIGSDLGLPMTPRINVIGASNGRATRTVVM >Sspon.06G0024850-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:57900540:57908671:-1 gene:Sspon.06G0024850-2C transcript:Sspon.06G0024850-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GGAHPALRRRGGRLHRRQPHLASQARHGGDAAHLPRLPRRRGVRQGPRPGHAGRPGLLHHRRQRGAPQTGAGETTTSRHSRQRRQPADRHRGLRGRRRRGRAGVGHVHGRAHQQVGRPDRGHAHRGRRHLRQRRLRRVRHGRRRGHHPPHRRAGRRRRHGAPGPRPAGRRRARRGRRAARHRGAGGRVRAGGGGHAAQHRRHVQGLRHRRWTHRCRHLAGFAFTGPRICPPPRILSRPLYSDPRPRGGDDRVKWRREVEGRQRHSRSRTPPADWRRRRRRTSWVGAFQQGRRLFQGDSEQGPPRSVGSARSAAAHGRRLAHQATDKEGPSQDGVLLHRAAEGGGAGLAVAGLETLRPEAAQCLRGLGIGASVSCGVVGRRSVLEGPCGCHGQCRAYGCGWLPPLFCAGGSFWILLWPVVFIDKRITALQKQKRIRAVDSSTCFVDYADNRPSTDKPTTITTKSPGSSYSLVATDVCDGHQDMVTIDTGVSGQAENHIQSNAEPAHSDSGANLNNSLVKRVRKKPRWLSDFESTGLNGLYAQSFMQPSVPLSESGSCSVGNGTLTKHVKSRNESMPSESNGCSVAKSTVTESDAKKDASAKKQQSRSPKKSPARGLPEDVVTVSDVSNVIVKSCQKHNATVVECSTSLEAQSHNETTIASKSEIFEKQAKKRPFEMHFNDDDLLITAIVKKRDISSCQKYELRLVSSNRKFRMLKSSKKDSRLLIRKGGTNVLDGRQVVLARKTVLCWLIATGFMNLKDFVQCRNPENNEVLKDGWVTWDGILCSCCIKTFSILDFKAHAMISLPRSSLNLCLQSGKSFTLCQIEAWNAELMDRRSNACSRKVEAADENDDTCGFCGDGGELLCCDNCPSTYHQSCLSVKELPDDSWYCHNCICHICGCPVSEKEISSFSAILKCLQCGAAQCLGALLDTYFGLSFYHQLWYQIKTMTLVLRWGQQPLRKWTLTSGFVEHTVKRPTSESLSVVHWKPIKTLATKTAIHGFYTVILEKGEEILCAASIRVHGMKAAELPFIATCREHRRKGMCRRLINTIEE >Sspon.07G0011320-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:36869407:36871291:-1 gene:Sspon.07G0011320-3D transcript:Sspon.07G0011320-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding METATVVVAPAPVAITQPPQHKLTELKSSFAAVAKSSPARKAKPAKKKLAGGSGGYVLEDVPHLTDYLPELKANGLRLLMFLILVHVQSYPNPLQDHPAYSVVKQYFVNPDDTVAKKIVVHKSSARGTHFRRAGPRQRVYFQPDEVTAAIVTCGGLCPGLNTVIRELVCGLHDMYGVTSIYGIEGGYKGFYARNTVELTPRSVNDIHKRGGTVLGTSRGGQDTAKIVDSIQDRGVNQVYIIGGDGTQKGAASIHEEVQRRGLKCAVVGVPKTIDNDIAVIDKSFGFDTAVEEAQRAINAAHVEAESAENGIGVVKLMGRNSGFIAMYATLASRDVDCCLIPESPFYLDGKGGLLEFVEKRLRDNGHMVIVVAEGAGQDLIAKSMNFADTHDASGNKVLLDVGLWLSHKIKEHFKKKPSFPITLKYIDPTYMIRAVPSNASDNVYCTLLAHSALHGAMAGYTGFTVAPVNGRHAYIPFYRITEKQNKVVITDRMWARVLCSTNQPCFLTHEDVEGAGLDEEEPHIPLVEGENTLVKNPSVCNGNGHLCNGAA >Sspon.02G0048270-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:23309582:23313648:-1 gene:Sspon.02G0048270-1C transcript:Sspon.02G0048270-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFLSTPSFDLSAGAEPTLGPRPAAPPSPPTAAAHQHPQPPVSEAAARRLREAEERLREAIEELHRHQGGGGKGEGDEQLEGERGCGHDGESCAAHAAGNLCQSFLLSYGVRVGIGILLRAFKLVRRRSYGSLLDLKQLVSEKDLIVREEACRVGLLFGGFTGSYHALRCFLRRFRKKETPYNAILAGSVAGLAILALDDSSRRRTLSLYLLARLAQPLETWRCIAFFIGMCPGNKMKPPNTIVCFLYEYDSVMVGKVMYAFVMRPESLPKSYQEFILKTGPVAEPVYKVVRECCRGGPVDLTALSAYLSNKRNLDLINLTTNPSIIPCSVIHPDRASCLAQNINVVSSTFKKTFPLYFSLTFVPFVVLRLQKVIIHSSIRYFIRLSILLEKKARRAELALYVLPRAGDSLWYILINRHLLPNIKNAEVALFCMCMGGIMYFLEYEPDTMAPFLRGLIRRFLASKISNPSPRLPIGMPPTRTFRR >Sspon.02G0021780-4P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2C:74416752:74417639:1 gene:Sspon.02G0021780-4P transcript:Sspon.02G0021780-4P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVKELPPLQVRDLFDPSKLPNKEIVHVILNRATETTTNSSGAILNTFEALESHELEMIRDELAHKGIPPFAVGPLHKLVTSNDGAAETSLLNQDHSCIEWLDTHAPGSVLYVSFGSVVHVTQDELMEIAWGLANSGKPFLWVVRRGLVLGVDKQGELPDGFMAAVEGRGKVIEWAPQQEVLAHPAVGGFWTHNGWNSTLESICEGVPMLSRPIFGDQLPTARYVRDTWKIGILLEGVLERGEVEKAIKKLMEEDEGVRIKERANDLKEKVRMCLESSGSSQQAVDKLVDHILSL >Sspon.06G0008160-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:33562294:33563294:1 gene:Sspon.06G0008160-2B transcript:Sspon.06G0008160-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPTSTPPALVAPSLAVAVLCLLVRSASAEFWLDEFTTDGDVRTDYDRSGRQVASLVLDQRSGAGFNSTRKYLFGEFSVEMKLVAGNSAGTVTSFYLTSGEGDEHDEIDMEFMGNSSGSPTVLNTNVWASGDGKKEHQFYLWFDPAADFHKYKIVWNDKNIIFQVDDVTVRVFKRYADLPYPDARPMAVHATLWDGSYWATEKGKVPIDWSSAPFVVSYRAYTANACAVGSGGSSSCPAAGGNEWMDRQPDDTDRLTVAWARRNCLQYNYCDDGWRFPQGFPGECGRN >Sspon.04G0007070-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4C:19765475:19769472:-1 gene:Sspon.04G0007070-2C transcript:Sspon.04G0007070-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSNNFTSCLPISPSVPLPIDVWCQIACCMPFLLRLLRGVQELLGLSRSRVPVPPALSLASPRAPTVRDAAALAAPRRPARPLASSPPWGTLRQPPPRHCCRSRSPSPAEARPHGTAEVKPSETCLVITGRSVSVCVCSTSHVAPKQTRGQRPTANASDPARARPAMTHATRDAHAAFAPRPRAAALEYLSFRPLNISRLHLHPTTCPRLASLLPRSIDSLGPRPSRLPSSSSPVPVRRRGRRAIPPDLIRHESRVDDSYLDSYISTIGVDFKIRTVEQDGKTIKLQIWDTAGQERFRTITSSYYRGAHGIIIVYDVTDQESFNNVKQWLNEIDRYASDNVNKLLVGNKSDLTANKVVATETAKDFVGHLQMIKNIIQAFADEMGIPFMETSAKNATNVEQAFMAMAASIKDRYSQRAKWMASQPAAANARPATVQIRGQPVNQKTSCCSS >Sspon.05G0007270-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:24378727:24380118:1 gene:Sspon.05G0007270-4D transcript:Sspon.05G0007270-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRSSSTPFPHHFLSSSSPSSRRSSLHLRRAFSDGHLPSLHPSSLPTPNDAGSNPGGGLHTELSFSIYNTFGGKGEVVAAPLSSSSSSSSSQGPAQAQQEQEEEGGQQQPTVVQPEHPEVPLFLARGLGIDRIASGFFTAGADKTGCGGANMERVEEQDEAVAALDAQYKTMVDDQPGNALFLRNYAQFLHEVKGDTRRAEEYYSRAMLADPSDGEIMSQYAKLVWEVHHDPERCLGYFQKSVQAAPHDSHVLAAYASFLWEQDDDDDCGEQGTGGAAAPQRAAGTGQAMELTSAAV >Sspon.03G0017370-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3A:54403413:54403721:1 gene:Sspon.03G0017370-1A transcript:Sspon.03G0017370-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGVWVFKNGVVRLVENGSAAGGEAVRRRKALVHTPSGQVVRSYAELESELRALGWERYYEDPALYQFHKRGSLDLISLPADFARFSSVHMYDIVVKNRDSFR >Sspon.03G0028140-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:8232591:8238805:-1 gene:Sspon.03G0028140-1B transcript:Sspon.03G0028140-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sucrose phosphate synthase, Sucrose synthesis in pollen germinatio [Source: Projected from Oryza sativa (Os01g0919400)] MAGNEWINGYLEAILDSRTSAGGGGGGGGGGDPRSPVAGASPTKAASPRGPHMNFNPSHYFVEEVVKGVDESDLHRTWIKVVATRNARERSTRLENMCWRIWHLARKKKQLELEGIQRISARRKEQEQVRREATEDLAEDLSEGEKGDTLGELAPVETAKKKFQRNFSDLTVWSDDNKEKKLYIVLISVHGLVRGENMELGRDSDTGGQVKYVVELARAMSMMPGVYRVDLFTRQVSSPDVDWSYGEPTEMLCSGSNDGEGMGESAGAYIVRIPCGPRDKYLKKEALWPYLQEYVDGALAHILNMSKALGEQVGNGRPVLPYVIHGHYADAGDVAALLSGALNVPMVLTGHSLGRNKLEQLLKQGRMSKEEIDSTYKIMRRIEGEELALDASELVITSTRQEIDEQWGLYDGFDVKLEKVLRARARRGVSCHGRFMPRMVVIPPGMDFSNVVVPEDIDGDGDSKDDIVGLEGASPKSRPPIWAEVMRFLTNPHKPMILALSRPDPKKNITTLVKAFGECRPLRELANLTLIMGNRDDIDDMSAGNASVLTTVLKLIDKYDLYGSVAFPKHHNQADVPEIYRLAAKMKGVFINPALVEPFGLTLIEAAAHGLPIVATKNGGPVDITTALNNGLLVDPHDQNAIADALLKLVADKNLWQECRRNGLRNIHLYSWPEHCRTYLTRVAGCRLRNPRWLKDTPADAGADEEEFLEDSMDAQDLSLRLSIDGEKSSLNTNDPLSLDPQDQVQKIMNKIKQSSALPPSISSVGDGAKNAAEATGSTMNKYPLLRRRRRLFVIAVDCYQDDGRASKKMLQVIQEVFRAVRSDSQMSKISGFALSTAMPLSETLQLLQLGKIQATDFDALICGSGSEVYYPGTANCIDAEGKLRPDQDYLMHISHRWSHDGARQTIAKLVASQDGSDDAVELDVTSSNAHCFAFLIKDPKKVKTVDELRERLRMRGLRCHIMYCRNATRLQVVPLLASRSQALRYLFVRWGLSVGNMYLITGEHGDTDLEEMLSGLHKTLIVRGVTEKGSEALLRSPGSYRRDDVVPSETPLAAYTTGELKADEIMRALKQVSKTSSGM >Sspon.01G0006350-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:18421573:18427442:1 gene:Sspon.01G0006350-2P transcript:Sspon.01G0006350-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVEDKLKDYEIKKEGEAEILLHKKNAVFYNPVQVHNRDMSIAVLRTFVAKRKKEHEALVDKRNTTHEKNKQSETSSQNGEDASTMQQDEMDVVCEKELNKAEDQFDDLSKEATKPSWKVTRELKPPLVLEALAATGLRSLRYAREVEGLGKVVALDNDKASVEDCKRNIKFNGASAASKVEAYLVDARVHMLMHPKEFDVVDLDPYGSPSIFLDSAVQAVADGGLLMCTATDMAVLCGTNGEVCYSKYGSFPTKGKYCHEMALRILLASIESHANRYKRYIVPVLSVFMDFYIRVFVRVFTSASEIKNTPLKLSYIYQCVGCDSFHLQCLARTVPKKNNGVKHAPAIGPVVPQECSDCGKKFNIGGPIWSAPIHDQDWELHDIPLFFSLHNIAGTVKCTSPSLVMFRSAVLNAGYQISSTHVNPLGVKSDAPWDVIWDIMRCWVKNHPIKEQPCDSPGTAILSKSPKLEANFSRAVAALSKAQAKKIKRFLPNPERHWGPKVRAGRKITCKHISLLGPDAINGALNGGDCHEEGNGAAPDNTASEPEGIKDVENEPSNKRQKTSDGEPASEP >Sspon.06G0006160-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6B:19293578:19295653:1 gene:Sspon.06G0006160-2B transcript:Sspon.06G0006160-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPASAFQGTALCDGPLLPTCPNSTPPAPPPASPSPGGGKKKPLSRWAIVGIIAGAAFVLLLIVGLVACLRRRQAAAAGRPADAAAANVHEATAPITVTLARTDRDAVKQSHAPPLAPVMISEAKKLVFLGKAPERPYDLETLLRASAEVLSKGQHGTTYRATLDGGEPVLAVKRLREVHLSENEFRNQATALGALHHDNLTRLRAYFYSKEEKLLVYDFVGAGSLSALLHDGGAEGRAQLDFTARARIALAAARGVAFIHQSGAKSSHGNIKSSNVVVTATRDGAYVSDYGIAQITGAAAPPRRGAGYHAPEVTDARSVPQSADVYSFGVVVLELLSGRAPLHALPEGADGVDLPRWVRSVVQEEWTSEVFDAAIANEPRIEGEMMRLLQLGIECTEQRPDRRPTMAQVEARIERIVEDACRKADFSSTDGSRSVSA >Sspon.03G0021640-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:65995150:65998593:-1 gene:Sspon.03G0021640-1A transcript:Sspon.03G0021640-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GGRPLLLAACPAHPPPPPPPPPLPLTSRRRAPRPTHTHTHSHSPHPCPLPHLLLLLLLLLPILHHRAPRPRCEAAARAARRRGQVGGERRVEAGAAGPGGQGAGAARGGGEARRRAVPRGRRPAGRGLLAAQLHGPRRRGGSHRLRRALEDHVRHRQHLRRPLRGHGQVRLPRPCHRHCRLCRGMYARSRLTINPDKVYRLAMTKLNTSAAILEVMGAPLTGTDVRAYVMSGGGPKLKDFKFKLGSKRCFLIFPIKGSERRGLVSVEVKKKKGQYDMKLLAVDIPMASGPDQRLFLVGDEQEYKVGGGLISELRDPIVKAMAAEKEFDYLDEREDEEDERREREEAEQEAAEALRREEERLRQEGEERRRREEAERLEKAT >Sspon.06G0005300-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6B:15598517:15600784:1 gene:Sspon.06G0005300-2B transcript:Sspon.06G0005300-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIQAPHRHAAKKAEHLPPGDEGGASAVHHRVSVVAASSSMAMGVRRARERERERLVVGLQILVHHHHHHGRHGHAHAHAANVVLKQMVRPRAVAAAAGSRHGHGGHAFSCSFLKACFLCKRELSPDKDVYMYRGDQGFCSEECRWQQILVDEAREREVAAAMSKQELQRRGQVQARHHSPPPRPSGAGRRRGRHLP >Sspon.01G0004390-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:11773046:11778687:1 gene:Sspon.01G0004390-1A transcript:Sspon.01G0004390-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPCRELTGLRRGPAAARPPVPGRRGANELCLAPFHHRARAGLKVVAAISEDLPRLAAPGTGTGKGAAEGRRPEKVLVRAALTVRRKHKEDLKEALAGHLDALWDMVGRSVALELISTKIHARTKKPLQSGQASIKDWCQKRGVKGEHVVYTAEFMVDSDFGEPSAITVANRHHREFFLESIVVEGGLPCVPVYFACNSWVQSTRELSGKRVFFSNKPYLPSETPPGLRELRDKELKDLRGDGTGVRKLSDRIYDYATYNDLGNPDRGKEFTRPILGGDKIPYPRRCRTGRPPTDTNMLAESRVEKPHRIYVPRDEAFEELKQGAFSSGRLRAVLHTLIPSMIATISAETHSFQGFHHVDNLYKEGLRLKLGLQEHLFQKIPLVQKIQESSEGMLRYDTPRILSKDKFAWLRDDEFARQTVAGINPVSITRLTVFPPVSKLDPAIYGSPESSITEAHIAGQLNGLTVQQAVDEAKLFILDHHDVYLPFLDRINAIEGRKAYATRTILFLTKAGTLKPIAIELSLPPSKAGEPRPSKVLTPPSDATSNWLWMLAKAHVSSNDAGVHQLVNHWGVAVEDASQPHGIRLLIEDYPYANDGLLLWSAIRNWVESYVQLYYQDAGTVQSDDELQGWYHETVHVGHADIRHAPWWPSLSTPADLASILTTLIWLASAQHAALNFGQYPLGGYVPNRPPLMRRLLPDPERDAAEYAAFLADPHRFFLNAMPGVLEATKFMAVVDTLSTHSPDEEYLGEGCDEPWTGDAAAVAAHAMFEADVRCAEEAIERRNADQRRKNRCGAGVLPYELLAPSSPPGV >Sspon.01G0001880-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:4419000:4420136:1 gene:Sspon.01G0001880-2B transcript:Sspon.01G0001880-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVLRHPSLSRLKPPNPNLPRTPSPSLPPPSLLRIRARRLIAAAVFQDQKPKEPASKGGDDEEAYGEVDRIVSSRTVSSPVFAEDGSASAAVATEYLVEWKDGHEPSWVPAEAIAADVVAEYETSWWTAAKKADAEALSALLADETLRRDPDAEDAQGRTAAHFAAGLGSEECLRALAAAGADLGHRERAGGGLTPLHIAVGYGRASAVRALLELGADPELPDGQGRTPLELVQEVLARTPKGNPAAFQLRQGLEAAQKELEKAVYEWAEVEKVIDGRGEGKWREYLVEWRDGGEREWVKAAWVAEDLVSDFEAGLEYAVAEAVVDKRQAATATAEGEERWEYLVKWVDIEEATWEPAENVDAELVQEFEQRQSGSAG >Sspon.05G0013860-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:42617245:42643746:-1 gene:Sspon.05G0013860-3C transcript:Sspon.05G0013860-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Actin-related protein 9 [Source:Projected from Arabidopsis thaliana (AT5G43500) UniProtKB/Swiss-Prot;Acc:Q9LSW2] MIFGEDALKIPPSESYCLMRPIRRGHFNVSHNYSLHQVLEDLRTIWNWVLTEKLHINPRDRGLYSAILVLGETFDNREIKEMLSIVLNDLGFSTAVVHQEALAAAFGNGLSTACVVNIGAQVTQVVCVEDGVALPHTALALPYGGDDISRCLLWVQRRHRTWPNFQTDPVSKPIDLLMLNKIKESYSQIKTGSIDAVALVHSYDNERSGGHQKTRLSALNVPPMGLLYPRVLVPEEYPPPPRSWFQDYDDMLEDTWQTSDGLYPSGNGGFGMWDSYPMFPTRLKKFENIGLVEAIISSVLSTGRVDLQRKLFCSIQLVGGAASTAGLAQVLEQRVRTKISANQSIEKVEVLQSRTYPLFVPWKGGVAQTYENKPKPNNLAAFWLNWSVHGGQ >Sspon.03G0031320-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:27053266:27059337:1 gene:Sspon.03G0031320-1B transcript:Sspon.03G0031320-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAVVMPMQCHCRRWCVQILGLDMCADILVGNELMRGISGGQKKRLTTGEMLVGPTKVLFMDEISTGLDSSTTFQIVKCIQQIVHMGEATVLTSLLQPTPEVFELFDDVMLLSEGQIVYQGPREYVLEFFERCGFRCPQRKGVPDFLQEVTSKKDQEQYWIQNEKPYHYVSVTEFVAKFKKFHMGKSLRKQLSVPFHKRKIHKSALVFSEKSVSTLELLKASWSKEWLLMKRNSFVYVFKTVQVLEQLRGQSPNTSDRSHMNASIRIHPRRGMILPFEPLSMSFSEINYYVDMPAEMKSQGVTADKLQLLSGISGAFRPGVLTALMGVSGSGKTTLMDVLSGRKTGGYIEGEIYISGYPKNQETFARISGYCEQNDIHSPQITIRESLLFSAFLRLPKEVTDQEKKIFVDEVMELVELSGLKDAIVGLPGVNGLSTEQRKRLTVAVELVANPSIIFMDEPTSGLDARAAAVVMRTVRNTVNTGRTVVCTIHQPSIDIFEAFDELLLMKRGGQIIYSGPLGRNSHKVVEYFEEVPGIPKIKEGCNPATWMLDVTSASTEVQLKIDFAEHYKSSTMHQRNKALVKELSKPPPGSSDLYFPTQYSQSTFDQFKFCLWKQWLTYWRSPDYNLVRMVFALFTALMLGVIFWRVGSKIKSSADLLIIVGS >Sspon.06G0004210-2T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:11235857:11237224:1 gene:Sspon.06G0004210-2T transcript:Sspon.06G0004210-2T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding KLQSEVLKDAISQIVGDAKEKNRKFTETVELQIGLKNYDPQKDKRFSGSVKLPHIPRPKMKVCMLGDAQHVEEAEKMGLDYMDVEALKKMNKNKKLVKKLAKKYHAFLASEAIIKQIPRLLGPGKFPTLVTHQESLESKVNETKATVKFQLKKVLCMGVAVGNLSMEEKQIQQNIQMSVNFLVSLLKKNWQNVRCLYVKSTMGKPYRVF >Sspon.05G0002220-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:2488549:2492767:-1 gene:Sspon.05G0002220-2B transcript:Sspon.05G0002220-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSIFLCLVVVKRMGKSNIEHPVMDHKAWLWRKKSTERELEKEKVLLLEKSLQDLNEQLSFAHSKCVEKDGILAKQSKVAEEAILGWEKAEAEALAIKTQLDDTLDEKMAMEQRICQLDEALNVAMVERDSLIKETAQMISCEQDKVQKLEENLVEKINIIASLDAENDKLSEILSVKENIISELIESKGVTESKSKDLAVKLESAERSNSSLRYEVCMLQKQLEIRSEERKFSLKSADAAHKQHLENVKKITKLESECQRLRSMNSHDTSHGNSPLLARLHAIEDENKAIKESLSRKDGELQFSRTMLARTTSKLSQVEAQLEDLSKGRTTAELVKGSPAVVENPLSSISEDGRNEDNVSCSGSWASALLSELEHFKKGKLTAHSSKSTRVSDMSFMDDFAEIEKLASLCNDKHVEPYASMREATESSGKEVVAVDISTGTADQVRQPKIEKAVLKLIELIEGVIQKSSKDCSSTVVLSGGDEENDQGTLSGYVARAFLWNTSELTSVLQNFVFACNELLYGNTDVENFVHDLQLTLDWIINHCFSLRDVSDMKEAIMKHLELNNSDGLEIVAVARHTGIHTADEPRTPENVQMSLLSYSSCIDLKPDVNKQKTGNEVAVSKFDGIEEKASHLRAELNELKESRKIWHMPMELEISTASEKLIECRETIINLGKQLKALAAPKDAILFDQVLQTATRSERKPRSQSLSEMLSMEDGGFYYSGSPKTKEIICTEPSASGKRNSPAADEGDDGSAAACSSSYPMPVAAPHVKQACRVNGTCKGEADVKVVTLAVVPRKQKGNCSLLKRILTGRRKEAMAKPQVVLSS >Sspon.08G0005320-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8C:16348780:16350092:-1 gene:Sspon.08G0005320-2C transcript:Sspon.08G0005320-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase HT1 [Source:Projected from Arabidopsis thaliana (AT1G62400) UniProtKB/Swiss-Prot;Acc:Q2MHE4] MLSCFRLPRPFGGGGGGGDADQQQAATVSPRRGPSLPFAASLFAASPSTSGRGKSPWPPPEADDMEKKRWDSMESWSMLLDTVMGPGGEDSRSGRREEWMADLSQLFIGNKFASGANSRIYRGIYKQRAVAVKMVRIPERDEARRAELEEQFNSEVAFLSRLYHPNIVQFIAACKKPPVYCIITEYMSQGTLRMYLNKKDPYSLSPETILKLALDISRGMEYLHAQGVIHRDLKSQNLLLNDEMRVKVADFGTSCLETKCQAIKGNKGTYRWMAPEMTKEKPYTRKVDVYSFGIVLWELTTCLLPFQGMTPVQAAYAASEKNLRPPLSNSCPPVLNNLIKKCWSANPARRPEFSYIVSVLEKYDHCVKEGMPVTAHQELRLWRSFAKIFRRGCITNNLSIPVHS >Sspon.01G0025490-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1A:89981850:89982089:1 gene:Sspon.01G0025490-1A transcript:Sspon.01G0025490-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGPFRVFFGNASLFQDLESLSLARNKLLDVSTQRSRYDGASELDGIVKFIIGRENLLEVCVHNFYGPLPDATRLGIYI >Sspon.05G0025290-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:22929416:22930618:-1 gene:Sspon.05G0025290-1B transcript:Sspon.05G0025290-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MANRARWMMKYEKGLVDILHENNNSHYRTPNGWRTEGWRKIVRDFTVRYPEAKFSKSQIQEHETQLKKDYKVIKSVLQRDGVSWDQSASMVRTTDEIWDEIIEDMPKARKYQSKSFPLLDSLELLFDGPIPEGGQKSPSSIPQNVGGNVDDGGNNISRVPGLSERPSGNTSVNEAWNNISLLQQTALGPQGTDDLDMLQNRDEEVLERLQHGADPRPQRADEQAQSSSCVELQRDRRKKRKVPDIQQIMETYLNFRMKQARVKEQRAKDADQFTISSCIKALHTMADVSDEVKVLASDVFKDAENREIFLSYEPRLRTLWLKREVGKLLS >Sspon.02G0043240-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:94160448:94161749:-1 gene:Sspon.02G0043240-2C transcript:Sspon.02G0043240-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SMVVVVAAVEEDGGGPVTVREFDGASDRDRAAVERLEGACEVGPSAGKLCLFTDLLGDPLCRVRHSPAFLMLVRVPPAARISAAIASSSIHPSLKCECLPQVAEAAAGGEVVGVVRGCVKTVACGRGQDDLFSKVAYLLGLRVSPAHRRRGIGRALVARMEEWFRQAGAEYAYVATDRDNDPSVRLFTSRCGYAKFRTPSVLVHPVFRHDLAPPRRVAVLRVPPRDAELLYRARFAGVEFFPRDIDAVLSNPLSLGTFLAVPASSKPWRGAEAFLASPSPSWAVGSVWNCKDAFRLEVRGAPRLWRAAARATRAADRALSRWLLLRVPSVPNLFEPFGMHFLYGLGGAGPDAPRMATALCRHAHNVARRAGARVVATEVAACDPLRGAVPHWPRLGAEDLWCIKRLADGYGDGALGDWTKAPPAASIFVDPREF >Sspon.06G0020490-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:9334538:9334996:-1 gene:Sspon.06G0020490-2D transcript:Sspon.06G0020490-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MISAPLRVSAPKAAVGGSSFLFGSASSVESKSKPKPSRIKIRCAAAPAAVGRGGAAAATLYEVLGLRAGATGREIKAAYRRLARERHPDVAPAPGAAAEFVRLHDAYATLSDPDTRARYDRGAVAVAQRPWSGGGGGVYGRPRRTWETDQCW >Sspon.07G0025250-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:32843025:32846391:1 gene:Sspon.07G0025250-1T transcript:Sspon.07G0025250-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGMRRLFPGTSLHLQQQLLLLAVCCYSGGVHATVQESTATSIQMVNRRGPFLGVVVCNAFEMEPLLRSPSLSPAKGLPLYLDVAGKRFRFGIIGEQKVVIVLTGLAMLNSGVTTQFLLALFDVKGIVHFGIAGNANPNRQIGDVAVPRYWAHTGLWNWQLSKKINVHITSFLPQRYGDGPDKELALESNGDYTRKLGNLNFTEYSVDKNARRSDGNLLNSVWHQPEEVFPANGTPEVRSQEFWVPSMATTGYYNLSRKLEGLKLEGCVLNNGTTCLPRWPVVAMVERGCRATVFVDNAAYRKFLRSRFGVTAIDMETVAMALVALQQGAPFIAIRALSDLAGGGSAESNESGVFEPLASQNAVTVAVEFISLLN >Sspon.06G0013740-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:71096490:71102524:1 gene:Sspon.06G0013740-1A transcript:Sspon.06G0013740-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNLSPSTLLNSISCLGALTSDVSTVRPKPIQKYCKNVYDISSIVNPLVEDLCKSPEEQLNEVLKDLDTAVNEASGLIGNWHQTTSKIYFGWQIESVISDIQGCSLQLCQLKLQDINYEHMFDLIKEVAMELAETNAVGSENLLKLSSLLSLSTNMELYMESVSLENLRTRALRSENREELELAEQMIPLVNYMHDHLLREKQQLSINGVPIPADFCCPLSLELMSDPVIVASGQTYERVYIKLWLDEGFTICPKTRQRLGHSNLIPNYTVKALIANWCESHDIRLPDPMKSLKLNFPSAASSLQDSSAAGGSPLHPSVVSRANIPGSPEADVYLRNLNGASPPHSLANQNSHLHANRPGHEVSTSQTSENANGSAPDVSRLSLASSEARESSLEGRRGGSIGQMSEHSTEEAFQSSNLDRDLQDNLASSSLNGSLPNSGQLDGECDNGITRVPSDRTNYSSDASGEVTDGGGAPVASSVPQREHLIPPRLGTRAPFIRRQPSDRGFPRIISSSMMDARSDLSAIENQVRKLIDDLKSDSIDVQRSAASDLRSLAKHNMENRIVIANCGAVNLLVSLLHSPDAKTQEHAVTALLNLSINDNNKIAIANADAVDPLIHVLETGNPEAKENSAATLFSLSVIEENKVRIGRSGAIKPLVDLLGNGTPRGKKDAATALFNLSILHENKARIVQADAVRHLVELMDPAAGMVDKAVAVLANLATIPEGRNAIGQARGIPALVEVVELGSARGKENAAAALLQLCTNSNRFCSIVLQEGAVPPLVALSQSGTPRAREKAQALLSYFRSQRHGNSARR >Sspon.07G0004050-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:9324426:9332863:1 gene:Sspon.07G0004050-3D transcript:Sspon.07G0004050-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRYYQGQGGGGGASMEVVSTPNQELALTNCAYVSPADLRRFPNGLALVADAWVFTLRYPFVDGDQSDLHVIIFDEIDAICKGKNLLVIGTTSEVGFLESVGMCDVFSVTYHVPKLKKDDAKKVLYHLDVFDDGDLDAAAEALDDMPIKKLYTLVEMAAQGPTGGSAEAIYAGEDKIDINHFFSILSDIIRY >Sspon.05G0030890-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:2009008:2010240:1 gene:Sspon.05G0030890-1C transcript:Sspon.05G0030890-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVAALIKSKAAPQEPQRSSPLRKRNHQQQQQVECPVHPPASTLHAATDARRRSRTYERMDRARNGRELHGRADFVGTGLAAVATAMSYLLLVVGGRNDPGGTPLDPFTMWQVILALGLMSGGLLLIMYGMRAPGARPPVLVGRAADAAGALLWYAAGPERLLPLMILPGSISSDDDDAPPVRQQSFGFRIQKKQRTCLSFFSTSIGSVMSLQTTRPSDVKM >Sspon.03G0007970-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:21473595:21474314:1 gene:Sspon.03G0007970-1A transcript:Sspon.03G0007970-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VCAVKAPGFGENRRHNLDDMAVMTGESEERGLDLGKVQLQMLGTAKKVTVSLDDTIILDGGGDKQQIEERCQQLRESIDTSTAVFDKEKAQERLSKLSGGVAVLKIGGASEAEVGEKKDRVTDALNA >Sspon.01G0024200-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:84509368:84510839:1 gene:Sspon.01G0024200-3D transcript:Sspon.01G0024200-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATASPLLSLSLALLLHLHLHATAAGSVPVSTALPVAGDAPAPQLPRAELAAIFRVMADLLGDPAWPRLHPRPCTDTPWPGLQCEVAPDDARVLRATRLHFGPDVATPPCGPRARLDAASLRGLPHLRTLSIFGCFGDDHQAAGVELPPALFADASSSSLEQIVLKSNPGLRGPIPATLSGLRSLRVLSLSQNGFRGGIPRELGGLAALQQLDLSYNNITGEMPGEIGGMASLTILDLSWNSIVGGVPATLGKLQRLQKADLSYNRLAGRVPPEVGSLRELVFLDLSHNSLAGPLPSSLAGLSKLQYLLLQDNPLGTAVPAVVGSLRRLQVLGLSGCDLTGPIPRGAFAALANLTALSLDRNRLDGPIPASLAALPHLGQLNLSQNRLAGEIALPGEFVARLGRRLDVRGNDELCVGRGLQGSGYLGAPPCVDKRNGDGSPERSGAATAAGDWRRRGYGYAAVGLFD >Sspon.01G0018470-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:74157171:74163676:1 gene:Sspon.01G0018470-2B transcript:Sspon.01G0018470-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Core-2/I-branching beta-1,6-N-acetylglucosaminyltransferase family protein [Source:Projected from Arabidopsis thaliana (AT3G03690) UniProtKB/TrEMBL;Acc:Q9SS69] MVMRPRATGLSSIRREVLVSAVFTALLVASILLLPSLLLTGSTGTGPRRWPFLSPSTAADEAEEQQQARYPVTFAYLISASTGDASRAARLLAALYHPANTYLVHLDREAPAEEHRRLAELVSGRGGVYARAGNVWIVGRPNLVTYRGPTMLTTTLHAVAVLLRLRRRWDWFINLSASDYPLVTQDEAARPVILDTALYEDGRAELIRPVNITTNLRRLPTAFKLYTGSAWTMLSRSFAEYVTMGWDNLPRTLLLYHANIVSSPEFYFQTVACNSRRFRNATANHDLHFIRWDTPPKQHPLYLTSRDYRRMLLSGAAFARKFREGDPVLDRIDRDILRRRGPGHFAYGGWCSDGDEGGVALCSNPKEEPGRRGAIKPGAGSRRLKAMLGKMLSPRNFRRQQ >Sspon.01G0045040-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1B:86886545:86886709:-1 gene:Sspon.01G0045040-1B transcript:Sspon.01G0045040-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LRLGSANCLDYWRMNVILVLGIRLCDPSTAPLLKSNFSRIQRVMGKLETAAIRN >Sspon.02G0015790-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:43070193:43071631:1 gene:Sspon.02G0015790-1A transcript:Sspon.02G0015790-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASREGGGGAAGRPVLRVGRTREYRTGMETELLSIDAGASGGPAVRLFVLCGDRFEAAHLFRSGALSLHMARVEGLPVSMATCTVGDHQWMLARDALVARIDARAFVFELPGFFYAVVVPPDAAGGAAERKCATMADIFSRFCAIQRLKRHTWPAGQAAADAPSDRARQMERAVRTSAVVKLLTRSLLAGVLQPGRHLTITTGGGGANAGSSSRASTAALPSKSVVHSDLLDAIETNRAAPRRDARRGSGGSGGLGWWNLNVEGIMLLLRVVQAVRGRKHLAAPAAGEKRPRDEGPGRDTMRGGVMGGGGAARRWCGGRPRKIGNTVGACGSS >Sspon.03G0006830-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:18722780:18723456:-1 gene:Sspon.03G0006830-1A transcript:Sspon.03G0006830-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRSTSRRLRYWLSSTLHGEGRDGAHSRREQRKGWTVTIHDLSGSPVAAASMVTPFVPSPGSGRVSRANPGSWLILQATGAGPSSWKPWARLEAWRERGPLVFDSGPHECAVPIAESSINTKRGGQFVIDPATFPEAAAGAAWPFAGGFVMGSTVEGEGRASRPTVQVGVQHVTCMGDVAVFVALSAAVDLCMDACKLFSQRLRKELCQDQDE >Sspon.04G0013770-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4A:49976359:49976644:1 gene:Sspon.04G0013770-1A transcript:Sspon.04G0013770-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SRSSRTRPASLRRRRRVVASGHREHQEQQSSSNCGGGSSFRMPLHYPRYKKADYEAMPEWRVDCLLREYGLPVAGDLREKREFAMGAFLWPDQY >Sspon.06G0003530-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:9142872:9146157:1 gene:Sspon.06G0003530-3C transcript:Sspon.06G0003530-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MERQSSIRLGALEKLKSFRGMEKQKSFRGIMSLERRSRDSPGKRGDTPLHLAARSGSVAHAQRILAELDRALVAEMAARQNQDGETPLYVAAEKGHAEVVREILNVSDVQTAGIKASNSFDAFHIAAKQGHLEVLKEMLQAFPALAMTTNSLNATALDTAAILGHVEIVNLLLETDASLARIARNNGKTVLHSAARMGHVEVVRSLLNKDPGIGLRTDKKGQTALHMASKGQNAEIVVELLKPDALVIHVEDNKGNRPLHVATRKGNIIIVQTLLSVEGIDVNAVNRSGETAFAIAEKMNNEELVNILKEAGGETAKQQVHPPNSAKQLKQTVSDIRHDVQSQIKQTRQTKMQVNQIKKRLEKLHIGGLNNAINSNTVVAVLIATVAFAAIFTVPGNFVEDLSQAPPGMSLGQAYVASNPAFIIFLVFDALALFISLAVVVVQTSLIVVERRAKKRMVFVMNKLMWLACLFISVAFIALTYVVVGHDDLWLAWCTMAIGTVIMLTTLGSMCYCIIAHRLEEKNSRKIRKKASASQSRGSWSRSVDSDEEILNSEYKTKMYAL >Sspon.08G0006920-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:21507546:21509049:-1 gene:Sspon.08G0006920-1A transcript:Sspon.08G0006920-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTEDGVSAPTKGKAKANTKTVRQLLAPPTARARAPAAAAMTSPAPPPAPGSRNKRSASQSISSGTCDSPATQASV >Sspon.05G0019380-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:74651097:74655195:1 gene:Sspon.05G0019380-2P transcript:Sspon.05G0019380-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEVALLRGPTNLASTASRASASSSSSALRYLANADSDVLPGSGSPERAAGSAGSRRLLELRGQEAAEEEEERWSFLALLFELLRKSLLGCRTVGGEGEGEHGGCGMEIGLPTDVQHVAHVTFDRFHGFLGLPVEFEPEVPRRAPSASASVFGVSTESMQCSYDSRGNSVPTILLMMQRRLYEQGGLQAEGIFRINAENSQEEFVRDQLNSGIVPDGIDVHCLAGLIKAWFREMPTGVLDSIPPEQVMQCQSEEDCARVAKCLPPAEAALLDWAVNLMADVVQEEQINKMNDRNIAMVFAPNMTQMADPLTALMYAVQVMNFLKMLVQKTLKDREESTPEDVLLPQKDPSDENGHQKPSVTLDSLLEEGSRRPSFAKEEPLLNSPAHSTDDKSNETNATLGVTAAFSAQTSEVVTSVEDSTSGSQPATAGPAAIADASSVTATNSLQGKGSRSLNRRRTRKGKGQSQSGTRTTPAAEKSRGASIVSRINSKVERIEAWR >Sspon.01G0026830-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:93414890:93417765:1 gene:Sspon.01G0026830-2C transcript:Sspon.01G0026830-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSPPLPPWRPRRLHSALPVLLLVVAALAARAGADDLASDARALLAFRDAVGRRLAWNASDVAGACSWTGVTCENGRVAVLRLPGATLSGAVPAGTLGNLTALHTLSLRLNGLSGALPADLASAAALRNVFLNGNRLSGGFPQAILALPGLVRLSLGENDLSGPIPAELGNLTHLRVLLLENNRFSGEISDVKLPPLQQFNVSFNQLNGSIPASLRSQPRSAFLGTGLCGGPLGPCPGEVSPSPAPAGQTPSPTPVPTGSGGGGGGGGSSSGTNSGSGGGNGHKSKKLSGGAIAGIAVGSALGAALLLFLLVCLCRRSGGTRTRSLEMPPPAPAAAAAAGGRKPPEMTSGAAVAPLTTIGHPNAPIGQSTSGKKLVFFGSAAAVTPFDLEDLLRASAEVLGKGAFGTTYKAVLESGATVAVKRLKDVTLSEPEFRERISEIGELQHEFIVPLRAYYYSKDEKLLVYDFMPMGSLSAVLHGNRSSGRTPLNWELRSSIALAAARGVEYIHSTSSMASHGNIKSSNVLLGKSYQARVSDNGLNTLVGPSSSPSRTTGYRAPEVIDSRRVSQKADVYSFGVLLLELVTGKAPSQAALNDEGVDLPRWVQSVNRSEWRSEVFDEELTGHRTGEEPLAQFVLLAMDCVAQVPDARPSMTHVVMRIEEIKKSSVASNIEQVDDQSSKAESEVQTNPFAT >Sspon.06G0019530-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:2247952:2257868:1 gene:Sspon.06G0019530-2D transcript:Sspon.06G0019530-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVAFGKLFSERGVRSEVVEQAVGWIWCPLQGIECKELGDNCFLFTFNQASGKRRALDDGPWMILKELLVVADFDGSKSRDEIDFSSVPSRIQVARLPSHDQIYNDAASYHYSLSIKLCCPQMNNPYRPPSPYTSLTDLRYTTMCSTGVLLVRTCAVLMCLAAVALAPPAKVHAAGTGNGLLGIPTKASLAHCPSHCGDVKISYPFGIGPGCFRRGFELTCDNTTGSPRLLFLGNNSTQVTFIGVGSKSVQVSAVGYNITMGLGVDTYTQTWETPTGVVIDYLNSMFVVGCGVDIYMFGDNMTDLIGSCMSICIDNREIMERANMGYSACEGFGCCAIYSLSTGRQAFTLKLGRRNSTIAPLDEALSSIKVIFSEYYHFVIGDLYASWVNTSNVQDMVLDIAITDQPSCASAQSQENKNTYACNSESICKDLPLSRGGYNCFCPGQMEGNPYIVDGCIDYNPKAPDENCTRLCGNITIPFPFGVEEGCFANDNLRLNCTSNTTLVLDRRYAQYRVTNLSLDDGLLTVTNMLNDTSSNNMERVVITDYDGDRNGFYPTYEEVVDDNFDFSHEDVVIKWAIANITCQKAILNNATYACISDNSFCKEVMRGKTHDGYRCKCSDGFQGNPYLKNSCTDIDECSIPNKCNGICQNFDGGFNCTSCRHGKEYDPEKHKCVMSAKQRNLILGIAIGLACGLGSISFALETQHPHEHIDVLVNDTHLIIPMMSGCYSLEQEFAGSSSMAR >Sspon.07G0020400-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:67755986:67765178:-1 gene:Sspon.07G0020400-2D transcript:Sspon.07G0020400-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTCTAIIEAYAVNQRHKEALQLFTWMLSNKFVPTKATFNVVLKICDAAGLHDEALGIFNSMVQEYNLETSQENFDCIIRLLAGAGRNSEAQRFADLKSTLFNLPTPRLARKTAKIGLSAINSFKKKALHLMGCLCSKGAKDDANATSGRRTPSRKSDSAADAVSNNGGTAVLNAKTKEKLSGGEKVVVALDARISSGNNAELKGLSGEHVVAGWPSWLINVAPKAVEGWLPRRADSFEKLAKIGQGTYSIVYKARDLESGKIVALKKVRFVNMDPESVRFMAREIHILRRLDHPNVIKLEGIVTSRVSQNLYLVFEYMEHDLAGLVATPGLKLTEPQLLHGLDHCHKNGILHRDIKGSNLLIDSNGTLKIGDFGLAISYDPNNPQPLTSRVVTLWYRPPELLLGATEYGAAVDMWSTGCIVAELFAGKPIMPGRTENQKFVEQLPQLFKVITKPLACDPSSLPKLPPSKEYDVRLRQEEARRQRNVALGGRGAESIKPGNENHVASRAIDIAAEVKQPTHNTSKSTCEKFNTEDSVPGFRVEPRALPTSMQVPECGTTWNNTGGYADHRSVLGRVYSSVRVARKKGSSNSNIPQYDAADLRNGIEITDHNQQADRPVSSQKKDQQEDHGRKYKRIHYSGPLMPPGGNIEDMLKEHERHIQEAVRKARLNQGFGNTVHEIETTEKVKSPLFDWKELLIADNKDARWLTAAAEQAADGTGGAPEAERGGEGFPAVLEAVEEVFGDGIHEPVGLTHRPRRAVPVPALQVAVEDLDAAAATAVVEAVGSGRGREVSFGEKVAMAVAEEEKAASGGGRGSHRDS >Sspon.08G0002640-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:7608409:7611048:-1 gene:Sspon.08G0002640-1A transcript:Sspon.08G0002640-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSASGQQPPKPNQHVELTICSDDDSAGRAARVFDEQEDDEARELLLDVADSDSNLAGAGGSAPPKIRVRELRKRAASTGEEILRGVHLDVPRGVVMGVIGPSGSGKSTLLRALNRLWEPAPGAVFLDGADVCGLDVRSLRRKVGMLFQQPAMFEGTVAYNVRYGPQLSGKKLSEDEVQSLLRLADLDPGLSSKPANELSVGQAQRVALARTLANNPEVLLLDEPTSALDPISTQNIEDTIVRLKKARGLTTVIVSHSVKQIQRIADLVCLLVAGEIVE >Sspon.06G0009240-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:49748028:49749911:-1 gene:Sspon.06G0009240-1A transcript:Sspon.06G0009240-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALISEESKDLLQAQAELYNQVFSYMKSVALAVALDLRIADAIHHHGGAATVSQIAGEIGVNPCKIPELRRLMRVLIVAGTFTIQPSEQTAEALSAGHEPAAVYKLTTASLLLISESSPSLFPTLSHQLNSFRDSVLSMGLSAWFRHDEQPGPCPFTLRHGITFWEKSERDHAANTSFNNSMAADSHFLMQIVLKEFSEIFHGMDSLVDVGGGVGGAAISIAAAFPCMKCCVLDLPHVVAKAPAFVSNVQFVAGDMFESIPQANIVFLKWILHDWSDDECMKILKNCKQAIPSRDIGGKVIIVDIVVGSKPSDTKLLETQVLCDLNMMKVGGAERDEQEWKKLFVEAGFKDYNIMPVLGLWSIIELHCEHISHPGTLLKSMTNLLSLPSILYYGVSVIGLMVWMWMGLLVHFILHGVW >Sspon.02G0044150-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2B:99899493:99907090:1 gene:Sspon.02G0044150-1B transcript:Sspon.02G0044150-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPTAPWFADLFTDDRVRSLSHQVSTLGDRVWELEHKITQLIGEKGKLEKQLEATKTISSHKEEVERSLKAENDKLRSEVSIAEEKCSKSEAEAERLKKELGALAEAKEAAAKEFNDERAKIMLESEDLRRRLEEIQAIKHLAESENDKLRSEALIAKEKQNMSEAEIERLKTELGGLAEEKEVAAKAFDAQNAEITEELEELKRKLKEIQTNKDLAKEAAAKASEAEKIEMMKEMDSLKRTIEEIQANKDLVESQNQELRSKILTAEQEHSVFEAEVKSLKMELGAVEEAKEVLAKEFNAEKAEILKELEDLKRKVEEFQVNKDLLEGENDKLRLEVLTAEQKQNLSEAEARSLKMELGALVEAKEAAAKAFDAEKAKLMKELEDLKRKVEEIQTKKDLVEGEKDKLRLEILIAEQKHAMSELEVKRLKMDLAALAEAKETTVKSFDAEKAKLMKEVESLKRKIEEIHASKEAAEEAWRNKDAETDRLKDELVKIRVSMSQLNDEALEKHSRLNDEKNSVQKALVSEKVEGNKLKLKIEELENYIAEKDGENVKLKAALEEKKSEIDALSKDNELLHLTIAEAQEKNKGSILSFLSPCVSK >Sspon.03G0019850-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3B:84398008:84398418:1 gene:Sspon.03G0019850-2B transcript:Sspon.03G0019850-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHAPAVLALALDAEAALDANCAPASCGNLSIRYPFWLRCQQPPYCGYPSLGIACDDPTGGAPPVLNGSYLRVLDIHYGNISVVAFHASLADDPTGGCRGTRFNMSAGLALSPSAAPTGSSSSAPTARGRLPRGRFR >Sspon.06G0012180-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:65104684:65107425:1 gene:Sspon.06G0012180-1A transcript:Sspon.06G0012180-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRTLVQPVGQKRLTNVAVVRLRKHGQRFEIACFPNKVLSWRSRVEKDIDEVLQSHTVYSNVSKGVLAKSKDLIKAFGTDDQTQICVEILEKGELQVSGKERESQLSSQFHDIATIVMEKTINPETRRPYTITMIERLMHEIHFAVDPNLTSKEQALKVIKKLIEHFPIKRAPLRVRFTAPKLNFTGLMEKVAEWNATVISKDESGTQPSIVCEIEPSILHSCEERLKDVQGRVEVLSVSAHAEGGPSVEQHDTVEVPQAAPAKEPDVVAQISETMQKQSISTESQGSAQGKPQRRCRECDVLVEDMLYREHCKSGWHKHNYTRHKNGLPPLSQEECMVEMESADSKKDLKDYDF >Sspon.04G0003600-1P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8A:48352795:48355500:-1 gene:Sspon.04G0003600-1P transcript:Sspon.04G0003600-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to Auxin transport protein REH1 [Source: Projected from Oryza sativa (Os06g0232300)] MITGTDFYHVMTAMVPLYVAMILAYGSVRWWRIFTPDQCSGINRFVALFAVPLLSFHFISTNNPYTMNLRFIAADTLQKLIVLALLTAWSYLSRRGCLEWTITLFSLSTLPNTLVMGIPLLKGMYGDFSGSLMVQIVVLQCIIWYTMMLFMFEYRGARILITEQFPDTAGAIASIVVDPDVVSLDGRNDAIETEAEVKEDGKIHVTVRRSNASRSDVYSRRSMGFSGTTPRPSNLTNAEIYSLQSSRNPTPRGSSFNHTDFYSMVGRSSNFGAGDAFGLRTGATPRPSNYEEDAQGGKAANKYGGQYPAPNPAMAAQPMPAKGLKKAAANGQAKGEDGKDLHMFVWSSSASPVSDVFGNGAAEYNDAAALKEVRMAVASPRKVAADGRKERGEDFTERDDFSFGNRGAAERDAEAGDEKAAAVQGNAGGVAAPAAMPPTSVMTRLILIMVWRKLIRNPNTYSSLIGLIWSLVCFRWNFEMPAIILKSISILSDAGLGMAMFSLGLFMALQPRIIACGNKVATFAMAVRFLTGPAVMAAASLAVGLRGTLLHVAIVQAALPQGIVPFVFAKEYGVHPDILSTAVIFGMLIALPITLVYYILMGL >Sspon.02G0022500-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:69397526:69406891:1 gene:Sspon.02G0022500-4D transcript:Sspon.02G0022500-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIEAARLSPSLAAAAFLARRPPPALSPFSPLRRRFPLLRVLASSSGGDGRVVALSSSELRKRRGLSSSSSGAADSASDGDEKLRSLRRLFARPDVAIDAYIVPSQDAHQSEFIAECFTRRAYLTGFTGSAGTAVVTKNKAALWTDGRYFLQAEKELGHHWTLMRSGNLGVPTTSEWLNAVLPSGCRVGIDPFLFSVDSAEELKDSIANKNHELVLVQGMNLVDEIWGDARPNPPKEPTRVHDIKYAGIDVPSKLSFIRSQLAENGCDAVVISMLDEVAWLLNMRGSDVPHSPVFYSYLIVEVSTATLFVDSSKVSKDVLEHLEQAGVKLKPYEAIISEVERLAETGAKLWLDSSSVNAAIITAFKSSCDRRMKKKGKAGKKVGEKEASSDDPITGDLGIQNGVISAVYNVSPVALAKSVKNDAEIEGMKNSHLRDAAALAEFWCWLEEEICKSVPLTEVQIAEKLLEFRQKQDGFIETSFDTISGYGANGAIIHYRPTPESCSSVGSDNLFLLDSGAQYIDGTTDITRTVHFGEPSPRQKECFTRVLQGHIALDQAVFPERTPGFVLDVLARSSLWKIGLDYRHGTGHGVGAALNVHEGPQSISYRYGNLTALQKGMIVSNEPGYYEDNSFGIRIENLLLVKELNLANSFGGISYLGFEKLTFVPIQSKLIESSLLSPSEINWVNDYHEEVWEKVSPLLSGHSRDWLWKNTRPLLDV >Sspon.01G0009070-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:38415470:38417630:-1 gene:Sspon.01G0009070-2C transcript:Sspon.01G0009070-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIGTLPGAAAVAGALPQRQRRGGAPSFVAAYVPEGNRLVFGRQLRPAPVLACSSISPSRPARRRFLSAAAAAASSGSAAEAEPQGFAERYPTLVTGFFFFLWYFLNVIFNILNKKIFDYFPYPYFVSVSHLFIGVLYCLIGWSFSIPKRAPINSTILKQLVPVAVCHAIGHVTSTVSFAAVAVSFAHTIKALEPFFNAAASQFILGQPVPLTLWLSLVPVVV >Sspon.05G0007840-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:25970258:25971739:-1 gene:Sspon.05G0007840-1T transcript:Sspon.05G0007840-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding APWNGISLKKGQERKQKRKEEARLQRAEVHAAVSVAGNAAPPRARDEGDSGGVAAALVAAQCAKVAEAAGASRDQASTDASSVITLTAAAATCTLRGAATLRGRRSASGGGHGQNERAEHSGSALSHDDLDFDFNHARSRAALAKGDEMFCRPPPRKWKLHTVSAASNKRGEIVLRIKKTNLVMPSPTQKKVRNRQRPARSSRSRPQPPAHAPAGVIRDVRPPDEGATYPVEVSTNKGKVDLRADDYGPHARDVHRRLRLHQARAAAAGAPGLTHRGSNGPTQYDVNDVDDRSETSRSIEYMPWRRCF >Sspon.06G0021670-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6D:19115018:19118132:1 gene:Sspon.06G0021670-2D transcript:Sspon.06G0021670-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAAAAVRPVSHSHRRLGALLRAPVPCGLTTSRVAAPFPRRTDSMKISQLQLTATRFSKENNSDEDDELLSELRDKLPGLLELVGLSYSGWFVYRYLLFQENRKELAELIDDIKSRIIGDDD >Sspon.05G0013100-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:41611241:41612702:-1 gene:Sspon.05G0013100-1A transcript:Sspon.05G0013100-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLKKGPWTPEEDKILVAHIQSFGHSNWRALPKQAGEQTTPPPACLCLLLGRGVLTRRAAASAGLLRCGKSCRLRWINYLRPDIKRGNFSKEEEDAIISLHEQLGNRWSAIAAKLPGRTDNEIKNVWHTHLKKRLEPTNKPEQRGAQAAGAGKKHRPKRGGAKKATVPATTAPVSPERSAASSSVTESSSMTEQEQEQGNTGSSPGFPKEESFTSSSDAEEFQFDDTFWSETLSMPLESFDVPMEPSDALGASSVGADGDLDYWLRVFMESGDVHQELPHI >Sspon.06G0012560-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:66461703:66464304:-1 gene:Sspon.06G0012560-1A transcript:Sspon.06G0012560-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPGPQKHAHAARLAEVLAVPYISMGTLVRQELSPASHLYRKIANSVNEGRLVPEDIIFGLLTKRLEDGYNKGETGFILDGIPRTRMQAEILDEIVDVDLVLNFKCADDCFMKKRSRGDICSHCGQLFDVSDSASMNCSPSLGSYTWHSQVEPAGVVGLEASRMERMRTYAKQTKQLEDYYKKQRKIVELKTSARPGETWQGLVAALHLQHLDSPQHRTNSPRKYY >Sspon.07G0029800-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:79473717:79476043:-1 gene:Sspon.07G0029800-1B transcript:Sspon.07G0029800-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RRLGFTNSPPAYLSLTPSTNLDLLKCQVGANYASGGSGILNTTGNGTLTLQKQIMLFSKTKARMWRCGRKLNYMISKSFFLISAGGNDFSAFSEMGMGEEDAPAYISSMVSTYVEHINALYKLGARRLGILDVPAIGCTPGSRVPMANGGCNDAANSMAQNFNKLLRLEVEKEVAASMPGMKYSIASTYNFLTDLMDNHLVAGIRVVERACCGSGKLNAAVMCSKPNTTYCSDRDDYMFWDMLHPTQATYERGVVAIFYGPQEYADPINFAGLLVDIATDINTTMTPSVSAI >Sspon.08G0010260-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8B:39785010:39786628:-1 gene:Sspon.08G0010260-2B transcript:Sspon.08G0010260-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKASATLIHRNFQKSSLSISGVKQNGSVSHFFHRTFKAYNTGTRKRRKINTDDADVRWHKTGKTKPVLVDGKQLGCKKIMVLYMSLAKGGKVEKTNWVMHQYHLGTGEDERNGEYVVSKLFFQQQFKPGDKNAQELTTSDDLESMAAEADLPDFTTLPADKHVGTIQVAHNSEHNLCQVTTSDDLESLAAEADLPDFTTLPADKHVGTIQVVHNSEQNLYQVNRNCEINIEETVVLPPSEKTEDGDNPQSQDPKLWEGDSQFELLDTQQLAEGLALCDEFLLSQSQTCGGGDEPTVTKPCLAAYAHLSAEDFKKDLEECQRLAPTDNTNLELENTNEFRLSQIVWFRYPQLHDQFSISPRTC >Sspon.01G0028850-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:97294343:97296683:1 gene:Sspon.01G0028850-4D transcript:Sspon.01G0028850-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDMAGDGGGEQDEQAVKAESTEEEVRTSTPQDAGLPALPNKDLNRRVALLSTLGAVALFASQRLNLSETSLKDLAANAVPYEEALSNGKPTVVEFYADWCEVCRELAPDIYKVEQQYKDRVNFVMLNVDNTKWEQELDEFGVEGIPHFAFLDKEGNEEGNVVGRLPKQYFLENVVALASGDPNIPHARVVGQFSSAESRKVHQVPDPRSHG >Sspon.01G0017910-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:72412530:72421512:1 gene:Sspon.01G0017910-2B transcript:Sspon.01G0017910-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLLLPASSSSPFFPPRGVLKGPCRIRIHGKAAAGAGGAGATGPRGNPRLGGGLAGWWRPQQHQQAPASAPATTTQQPDNVSSAKVFQTTRVETETEIAKWPGKPQDLDEYQVIPEADETELQPLIDQVRAMLRSMNDGDISISAYDTAWVALLPKLDGGGGAQPQFPATVRWIVDHQLPDGSWGDSALFSAYDRMINTLACVVALTKWSLEPEKCKAGLSFLHENMWRLAEEEQESMPIGFEIAFPSLIQTARNLGIDFPYDHPALQSIYSNREIKLKRIPKDMMHRVPTSILHSLEGMPDLDWARLLNLQSSDGSFLYSPSSTAYALMQTGDKKCFEYIDRIVKKFDGGVPNVYPVDLFEHIWVVDRLERLGISRYFQREIKQCMDYVNRHWTEDGICWARDSTVKDVDDTAMAFRLLRLHGYNVSPSVFKNFEKDGEFFCFVGQTTQAVTGMYNLNRASQIAFQGEDVLHRARTFSYEFLRQREAQGMLRDKWIIAKDLAGEVQYTLDFPWYASLPRVEARTYLDQYGGKDDVWIGKTLYRWYIENCLDTFGVQPQDVLRAYFLAASCIYEPSRAAERLAWARTSMIANAISTHLNDISADKKRLECFVHCLYEESDVSWRFIFNIYLPFFTMAPIMYYVKLREDYFSDINGKFRLKRNPNDAILKRALRRFINLLAQEALPIHEGQRFIHSLLSLAWTEWMLQKANKEENKYHKSSGIEPQYMVHDRQTYLLLVQVIEICAGRIGEAVSVINNKDSDWFIQLTCNTCDGLNHKVLLSQDAEKNEATINCIDKEIELNMQELAQSLLLRSDEKTTNKKTKQTLWDILRSSYYASHCPQHIIDRHVSR >Sspon.06G0011800-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:64183979:64188787:1 gene:Sspon.06G0011800-1A transcript:Sspon.06G0011800-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTYDKAIESYKKAVTMAASLAAWAMLVRGVANELVPNEVRDFLCSGLGYLRSRMSSQHTVVIEETEGWASNQLYDAARTYLATRINTDMQRLRVSRVDEGKSLMFSMEEGVEMTDIHDGAEFRWRLVFRNVAGAGNANANGHARCGGNYRVEVLRSLEMSFYRKHKEKAITSYLPHILAEAKKIKDQDRTLKIYMNEGESWFAINLHHPSTFTTLAMEHKMKQSVMDDLERFVRRKEYYKRIGKAWKRGYLLYGPPGTGKSSLIAAMANYLKFDIYDLELTEVNWNSTLRRLLIGMTNRSILVIEDIDCSVDLQQRAEEGQSPSASEDKVTLSGLLNFVDGLCSASGEERIIIFTTNYKERLDPALLRPGRMDMHIHMGYCCPESFRILASNYHSITEHDTYPEIEALIKEEGVMVTPAEVAEVLMRNDDTDIALEGLIQLLIDDQPSILVIEDIDCSVDLQQRAEEGHSPSASEDKVTLSGLLNFVDGLCSTSGEERIIIFTTNYKERLDPALLRPGRMDMHIHMGYCCPESFRILASNYHSITDHDTYPEIEALINEEEVMRSQKWLMRNDDTDIALEGLIQFLKGKKGDACQG >Sspon.02G0039310-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:53481896:53489709:1 gene:Sspon.02G0039310-2C transcript:Sspon.02G0039310-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKRIQKELMDLQKDPPTSCSAGPAGEDLFHWQATIMGPSDSPYAGGVFFVNIHFPPDYPFKPPKVNFQTKVYHPNINSNGSICLDILKEQWSPALTISKVLLSISSLLTDPNPDDPLVPEIAHMYKNQRQRYEETARAWTQKYAMG >Sspon.02G0032200-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:117953223:117953811:1 gene:Sspon.02G0032200-1A transcript:Sspon.02G0032200-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRAAASSALLLLLAAFASSARAATFTITNNCGSTVWPAAAHAWAAARSWTRGRHGPLTGSCQTGDCGGALSCMLSGQPPMTLAEFTIGGSQDFYNISVIDGYNLAMQISCSTGVTLNCGGAPRPMPATATETTRSPSAHDHTPAMRLRTITMPEKLISDASRQLLVTRKRRVTVLRL >Sspon.08G0017180-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:57690:58285:-1 gene:Sspon.08G0017180-1B transcript:Sspon.08G0017180-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIIKTASLLVLTLFVISAVILPTSVCHGARGVLAALLCSLTILRASGSAQFVGVPTFPFPVAVADLVPTTLRHRFPH >Sspon.04G0001050-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:4182597:4193847:1 gene:Sspon.04G0001050-1P transcript:Sspon.04G0001050-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGTECIMATLSGDPEPSIPPGFGPFVALALQGIQNNVKPGDAHSSSAQAAQCMEKDVEILEHGSAHGRSGTPASTSGTHSCRRSLRNRPPIDYSQFDLISDEESDVESAEKGVGSVRRRRQLPKGVLRGCAECADCQKEFKDTLKYIESIRSMAEPYGICRIVPPPSWKPPCLLKGKNIWECSKFSTRVQKVDKLQNRKSSKKTRRGGMMKKRRKLLELEDNSNINHNQTGMQQNQERFGFEPGPEFTLRTFKKYADDFSEQYFKKEVSGDSLPSVEDIEGEYWRIVERPTEEIEVVYGADLETGTFGSGFPKFSPEVKSDVEHKYAESGWNLNNLPRLQGSVLSFEGGDISGVLVPWVYVGMCFSSFCWHVEDHHLYSLNYMHWGAPKMWYGVPGKDAVNLEAAMRKHLPDLFEEQPDLLHNLVTQFSPSLLKSEGVPVYRCVQHEGEFVLTFPRAYHAGFNCGFNCAEAVNVAPIDWLPIGQDAVELYRKQARKITVSHDKLLLGAAREAIRAQWDILFLKRNTADNLRWKSMCGLDSTICKSLKARIDMELVQRQNICSPSQSRKMDAEFDSTERECALCYYDLHL >Sspon.07G0000560-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:1286151:1290550:1 gene:Sspon.07G0000560-1A transcript:Sspon.07G0000560-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAGAAPPPHTRTRSSGSGSPSAAALGPPLPYWGGAAVGAEEQRWRIGMDSATAALLDSASASANGNAKEMPARHLAHRTAHNMSSSSLRKKSDLALLRKVPCATLRRLLDNFQEVLLATKLALLFPAEWVFVLSLIGLVPLAERLSFLTEQVAFYTGPTVGGLLNATFGNVTEVIIAIFALYEGKVVVVKCSLLGSVLSNLLLVLGTSLFLGGLANLGTEQLYDRMQVDVSTGLLILGVLCHSLPLMLRYAVSSGEHAVSSWDSELELSRACSIVMLLAYVAYLFFQLKTHRQLFEPQEVEDDGDDSVSQDEAVLGFSSAMIWLGVMTLMTAVLSEFVVSTIEAASKSWELSVSFISIILIPIVGNAAEHAGAVIFAFKNKLDITLGVSLGSATQISMFVVPLSVLVAWIMGVPMDLDFNLLETGSLFLAVLVTAFTLQDGSSHYLKGLLLLFCYIVIAVCFFVLRQRGNGSNDDVHHQLGVASKPWRI >Sspon.07G0005700-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:4604732:4608392:-1 gene:Sspon.07G0005700-2B transcript:Sspon.07G0005700-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAWGLTAIVVLLLASALLPAPASASGRGLREGENPNSGEDAPAPTISGQSSAAGQQAESKQHQTSLAPLPSPPKDTKEGGKTQAFASSPPPSPPPPTTQETNSQKAASPPPGGPGPNGGTGQEDTGSQGRGEETDKLDAMKKCVHKCSSGKEFSACLQVSDDASGGSYIIVQNKGQHDIYVNVKESSSNIKNNDKKPLHLIKGAFEQMNITYTSSDVGNITLSDGKEDCIIHVGQSVYDLQQQLQQLAAYAMRLNPIYGASFFVFTIVLVGVVCACCKFAKRRGNVGVPYQQLEMGGQAPNSSGVDNTASTTDGWEDGWDDDWDDEEAPAGPADKKPTSRVSANGLSLRSQTQTNSKD >Sspon.05G0010760-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:24993209:24998878:-1 gene:Sspon.05G0010760-2B transcript:Sspon.05G0010760-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPPPLSSLQEEGEEEPTVEDSSAFSAAAVPPRPATHSHSLHKYAPLDWSAYFDEERRVAIPDTDDVFNVYTAGSEGPVVFCLHGGGYSGLSFALAASRMKDKARVVAMDLRGHGKSTTNDDLDLSIETLTNDVIAVIRTMYGDLPPAIILVGHSMGGSVAVHVAARKEIRNLHGLVVIDVVEGTAMASLVHMQKILANRAQHFPSIEKAIEWSVKGGPLRNVESARVSIPSTLKYDESRECYTYCTPLEQTEKYWKGWYEGLSDKFLSCPVQKILLLAGTDRLDRALTIGQMQGKFQMVVVRHTGHAIQEDVPEEFASHILNFISRNRIGPNGVEDKLFMIALSAGFDKLSGNQWKKPALRCNFQKPHIFKRRRKGPKIKPWVVGWFKQEPQADGRGKGKPRQIYCESVSQHEWIYYEGDMTQVGPGDGGDNR >Sspon.04G0000970-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:3921418:3923957:1 gene:Sspon.04G0000970-1A transcript:Sspon.04G0000970-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNCGEEKELVCVTGAAGFIGSWVVKELLQRGYRVRGTVRDPADSKNAHLLALEGAKERLTLCRADVLNRDSLHAAFAGCHGVFHVASPVSNAPELVPVAVEGTRNVINVAADEGARRVVFTSSYGAVHMDPNRSPDAVLDETCWSDYDFCKRTDVSDEPTIRLDRSPAIPIRACPRIAPAAGLTRHRMMAEITATEEAAARGLQLAVVLPCVTMGPMLQQTLNFSTNHVARYLMGTKRSYPNAVAAYVDVRDVARAHVLAYERPSACGRYLCIGTVLHRAQLVAMLRDLFPHTDGAPPPPPLRLTCRRRRRGRAISSDNLACEDDGKPMAKPFKFSNQRLRDLGLEFTPLRKSLYETVVCLQQRGHLPVIQQQQRASL >Sspon.02G0059260-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2D:90345524:90346478:-1 gene:Sspon.02G0059260-1D transcript:Sspon.02G0059260-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding CSPTACASSSRPVITSLCTDASASTSPPVVTRFSPTACASSSPLRATARGAAPVWSSATPASVLPNSASSESIRGVRSASASPGSATTTAVDAEAQRARSATVSLRAATTPTSTSSSSSPGRMLLILSVVAAILAAAGGWAARGGSDGEQQRAATDDYCEVCFVKKAVFLLECCKKPVCNFCLRDFVRNHHEESCKRLADLRIVPLLHPHEFEIGSDVPESYAHLPQYPPWYFATSVKKNSRLHLFYYHLQELEGGADPRVMEVIHLAYPRGELSAAIQCDIQPTMRPFSEL >Sspon.04G0036430-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:29528635:29529831:1 gene:Sspon.04G0036430-1D transcript:Sspon.04G0036430-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSTRRNQVAGRRSSSTIASRAAATAPPLLTMPGGELADAIMGGVGGGGGGATDGDPRAVLLRLAALGDRMAAVRGRISASMSGEARPLSYADIQSVSSEISSAAQLVVLNAAELLACSVPFPAPPPPSAAPSPAPVREIPAVAAASAQEQQPLEAARGDGGGYEIVELDAAELLAEHVHFCEICGKGFRRDANLRMHMRAHGDRFKTLDALSRPGHGHGGQPPKPPAGSNVRFSCPFAGCNRNRAHRRFRPLKSAVCARNHFRRSHCPKLYACERCGGKKRFAVLADLRSHLRHCGEEAQWRCSCGTTFSRKDKLFGHLALFEGHTPAITKPNKDVVTGPTESTIDAMEEVGFEEGNPDREEDEEGGYDPEFFKEWMEELGGGAGGSNWPGPAAAGQ >Sspon.01G0007870-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1A:21898641:21901037:1 gene:Sspon.01G0007870-1A transcript:Sspon.01G0007870-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding PFAPLPCHAAHRCRIIRPLPADVAWPAASAPIRRRAPSQIAAALPASVGAAQVSRPRAGSASVSADLRCLCCLSMGSFLRKQPSYLFILIILHLVAHEARTLSSDGEALLAFKKAVTNSDGVFLNWREQDADPCNWRGVRCDSHSKRVINLILAYHRLVGPIPPEIGRLNQLQTLSLQGNSLYGSLPPELGNCTKLQQLYLQGNYLSGYIPSEFGDLVELEAFNVSMNFLTGAIPSSGSLINFNETS >Sspon.01G0030300-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:105359374:105361234:-1 gene:Sspon.01G0030300-1A transcript:Sspon.01G0030300-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSFLSSLVTPPPAADDPNCAVVAAHSKATYDEQWAAHKSSGKLMVIDFSASWCGPCRFIEPAFKELASRFTDAIFVKIDVDELAEVARTWKVEAMPTFVLVKDGEEVSRVVGAKKDELERKIQMFITPSSSS >Sspon.03G0007550-3P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:8001764:8005583:1 gene:Sspon.03G0007550-3P transcript:Sspon.03G0007550-3P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAGQPHAHEAGGAAANHSNHHLAAHSPPPLPVEVVPAYPPPESEDDETWVWTQIKAEARRDADAEPALASFLYATVLSHPSLPRSLSFHLANKLCSSTLLSTLLYDLFLATLTAHPSLRAAVVADLLAARSRDPACVGFSHCLLNYKGFLAIQAHRVAHVLWEQQRRPLALALQSRVADVFAVDIHPAAVVGKGILLDHATGVVIGETAVVGDNVSILHHVTLGGTGKAVGDRHPKIGDGVLIGAGATILGNVKIGAGAKIGAGSVVLIDVPARSTAVGNPARLIGGKKKAEGENDEDMPGESMDHTSFIRQHAKVLKVEILVTASCRADFSSCGSHF >Sspon.04G0003310-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:6497035:6497835:-1 gene:Sspon.04G0003310-2B transcript:Sspon.04G0003310-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLLFAPHTHSAEKFSAPISGLRSLLVVPDASAAAGTRGGCVVTRAAVAAHGCGHREDVKERRDDGTSLGHGEDLETAEEDDNMEAKDREQARTEEEDELDGFWVSYGRRCPRRRLPPPIPSLVARGALRRTRTDDGRLVIRIVPVVRPECIRARRRPDRLVVVEHEDDSPVMPPPPPLRDLMTIAAREDGIRINVARADDDAATPPAAGEGVRHVEDAVAAPAETLPAAVPPPRVPSVGCFEEVFKLGSIGSSSLHQMPSLRMVH >Sspon.07G0021530-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:3947272:3971953:-1 gene:Sspon.07G0021530-2D transcript:Sspon.07G0021530-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNIASESGSDHDGALQKPLLPNSGSGYRMGMGSRQSSLNAAGTSSIAVPRESHVSALLCTLIVALGPIQFGFTNGYSSPTQDGVIQDLNLSISEVRPGCSFYVCSRARAHTHTLSSRSARRFLLVSFQNEFELRRPPQFSAFGSLSNVGAMVGAIASGQMAKYIGCRGSLMIAAVPNIMGWLAISFAKVWRYVCQFIFTYCFTNDNIGFDGCSALQDTSFLYMGRLLEGFGVGVISYVVPVYIAEISPPNMRGALGAVNPLSVTVGVLLAYVLGLFFPWRILALIGTLPCLLLIPGLFFIPESPRWLATMNRMDDCETSLQVLRGFAADITAEVNDIKIAVASANKSGTIRFQELNQKKYRMPLIVTRDWPTCVATAKWNKRYNVASSKLQVLATGVTITFLDRAGRRILLIISSSGMTLSLLAVTVVFYIKDNISNDSDLYNILSMVSLVGVVAYAISYCFGMAAIPWIIMSEILPVSIKSVAGSFATLANWLTCFGITMTANLLLSWSAAGPYIHLSLSLSCRVGSSYICLLHDCECFHSHVHHPLGARDKGKNPRGDTMVLPAFVITFSFGMGDIPWLMISEIPLVSIKSLSGCIATLANWLTSFAITMTDELDAHLECWRSTPHLSCDYEPWELMRFFWAGTFLSYMVVSTFTLVSVVLWVPETKGRTLEIQFSDHDGALQKPLLPNSGSGYRMGMGSRQSSLNAAGTSSIAVLRASHVPALLCTLIVALGPLQLGFTNGYSSPTQDGSLMIAAVPNIMGWLAISIAKVAVASANKSGTIRFQELNQKKYRTPLIVLATGVTITFLDRAGRRILLIISSSGMTLSLLAVAVVFYIKDNISNDSDLYNILSMVSLVGVVFSVFGSLSNVGAMVGAIASGQMAEYMGRKGSLMIAAIPNIIGWLAISFAKDSSFLYMGRLLEGFGVGIISYVVPVYIAEISPQNMRGALGSVNQLSVTLGIMFAYLLGLFVPWRLLAVIGTLPCIVLIPGLFFIPESPRWLAKMNMMDDCETSLQVLRGFDADITAEVNDIKRAVTSANKRTTIRFQELNQKKYRTPLIIGIGLLVLQQLSGINGILFYASSIFKAAGKKFWVLATVVTTMFLDRAGRRILLIISSAGMTLSLLVVAIVFYIKDNVSHDSDLYNILSMVSLVGVVAYVIAFSFGMGAIPWIIMSEILPVSIKSLAGSFATLANWLTSFGITMTANLLISWSAGGTFASYMIVSAFTLVFVIVWVPETKGRTLEEIQWSFR >Sspon.01G0025130-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:88998720:89000557:1 gene:Sspon.01G0025130-1A transcript:Sspon.01G0025130-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MITRSKLVEQLRDYQIRSQHKRDVIGAISWGLLCCFLIISSYMTLYFRHFWLSAIIISLGILLPAGLYILRQRKLAKKRERRLLLPLSM >Sspon.04G0014380-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:53665168:53667002:-1 gene:Sspon.04G0014380-1A transcript:Sspon.04G0014380-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPPISPKPEWRALMDEMAVVATKEYRSIVFQEPRFVEYFRSATPETEYGRMNIGSRPSKRKPSGGIESLRAIPWIFAWTQTRFHLPVWLGFGAAIKHIMQKDIRNIHVLKEMYNEWPFFRVTLDLLEMVFAKGDPGIAAVYDKLLVAEDLQSFGEQLRKNYEETKELLLQVAGHKDVLEGDPYLKQRLRLRESYITTLNVCQAYTLKRIRDPSFQVSPQPPLSKEFTDESQPAELVQLNQQSEYAPGLEDTLILTMKGIAAGMQNTG >Sspon.05G0012580-3C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5C:31999901:32004293:-1 gene:Sspon.05G0012580-3C transcript:Sspon.05G0012580-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCFPCFGSTRDEEFKYYGAKGHGGGNGGVGRAAASSSSSSSAAAGGGGGRAAEAVVAPPRVERDHAGSDNTRAKGNAGSKKELSVLRDASGNVISAQTFTFRQLAAATKNFRDECFIGEGGFGRVYKGRLDMGQVVAIKQLNRDGNQGNKEFLVEVLMLSLLHHQNLVNLVGYCADGDQRLLVYEYMPLGSLEDHLHDLPPDKEPLDWNTRMKIAAGAAKGLEYLHDKAQPPVIYRDFKSSNILLGEGFHPKLSDFGLAKLGPVGDKSHVSTRVMGTYGYCAPEYAMTGQLTVKSDVYSFGVVLLELITGRKAIDSTRPASEQNLVSWARPLFNDRRKLPKMADPGLEGRFPTRGLYQALAVASMCIQSEAASRPLIADVVTALSYLANQIYDPSSAHTSKKAGGSDQRNRVGDSGRVLSKNDDAGSSGHRSPSKDRADSPREQFPGAANRGQDRERMVAEAKMWGENWREKRRAAQGSLDSPTG >Sspon.06G0016780-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:91207115:91208060:1 gene:Sspon.06G0016780-1A transcript:Sspon.06G0016780-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGMCSAVLAALGLTLLVCSALVSAETHVVGDSKGWGFSVSYDSWSGGKTFAAGDTLVFNYQAGVHNAVAVSASEYRSCKVRSAADAAATASGTASFDLKKGVNYFICGVPGHCAAGMKLRVVAN >Sspon.01G0043570-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1B:75722379:75722584:1 gene:Sspon.01G0043570-1B transcript:Sspon.01G0043570-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding FQKMDPELGTIHAGAKVTQLGAVNVGAKPPCHLADDAAELALTWQHLGAVTIGAKLGAILIGVKPAP >Sspon.01G0034060-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:721922:724939:-1 gene:Sspon.01G0034060-1B transcript:Sspon.01G0034060-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEENKIERNNSDVSTSCPWSEEDLYPHLEIAATVIEVPFSKDKSKEMKNGSSPCTVKVVTPSGLHGSPSDDEASPSPLLDRWRYGGGCDCGGWDMACPIEILGNAYDNNWAESITTNAKHPMELFVQGSKEELPVLSMKENGRGQFLVDFHGRLSALQAFSVCISLLHCSEASIAISLEKGKQKLYSSSLKLLLEEDVRHLIEAVTAEEKKQQKKKRREKAPPSVLLDPPFSPIGRVGHTLPPLALEDFYM >Sspon.08G0022550-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:51369081:51371825:-1 gene:Sspon.08G0022550-2C transcript:Sspon.08G0022550-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AAESEHGNCNAWAARDPSGVLSPYTFNRRLVQSSDVALKIIYCGVCYADVAWTRNMHHDSKYPVVPGYEISGVVTQVDADVKGFKVGDHVGVGTKVNSCRDCENCNSFLENHCPKGVYTFNGIDTDGTVTKGGYSTHIVVHERYGSTVLIGYPNKSFLYIVLKNSLHFIVDTASGDHPFDPHLSLLKVGGVMAIVGFPSEIKMHPASLNRGTRTLSGSVTGGTKDIQEMVNFCAANKIYPEIEIIKIDYINEALTRLVNRDVKYRFVIDIENSFK >Sspon.07G0032870-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:55946185:55974152:1 gene:Sspon.07G0032870-1C transcript:Sspon.07G0032870-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLVPKQQQSKEKQEEEQEEEMMMALAEHRDRPAFSHGGGGGGRRSEIKEVDFFSAAGGAAARRRTDDEDGGCDNTTVNTALDLLTRAAAATPAVDGGEGTVASGRDKEVDVAAAAAVEGELRQAGEENRRLRRMLEELTRSYGALYHQLIQAQQAQQHQQASGGAANPMLPAATTGVQFMDPGRVAPAIAGAAAPPPPPAFSADKADSDGGSGGGGGEADQNDGMRTPERGENAERVPAPAAEAPLRRARVSVRARSEAPMINDGCQWRKYGQKMAKGNPCPRAYYRCTMATGCPVRKQVQRCAEDKAVLITTYEGTHNHQLPPAAAAMAKTTSAAAAMLLSGPAVSRDAGALFAGHHVAAPALPLFQYHHPYASAMGGATLSASAPFPTITLDFTHSPPPASTAAAAGLLQHYRQLSPVPTMPPFPMYGFPAAAGHRPVPPLPPAATLLGLDGRNRSALETMTAAITSDPNFTTALAAALSTIMAGGTEVPAPRSGAADAGDGGSGSGGTEPATAAAAGARNPIVSTLFLFDWVVPSPASVPLSRSGAIVRRTAEAAVIRSAAASLLREGSEEVEMADMVVSAATGVLSSLLSKLLELLADEYKQRKGARRDIEFLCSELTDMNAALEKLADMENLDVQTKLWRDKDIKTRVEEQSKIRDRYKIDESIPESIVVEVDPRLPAMFEDAKTLVGIDGPGDEIIKRLMVEDDCHSGQLKVLSIVGFGGLGKTTLASCKPSVLKDILAHGGMEMEYDVQKLIEILRERLTNKRYFVIIDDLWNIKEWRTIDCAFVENNNASRCKEESFITVIDRKFPMNGASQVRWISHQFHNRDMALTVESMSAPQVRLYISFCVADFMPPLLKFELLRVLDMQHSSSMEPVCLDLSAINHFFLLRYLRVQGFSVELPKKFGELKHLMTLDMSDSWSYAFSEQLSDFSSLASLRHLSLPGRVAFKNGLSKLCNLHDLSWFDIGTNSIECIRDLGELTNLRNLGVIYKYFRPGGVENNPETTILAASLNKLGNSNLRYLEFQVRSIGRPPSVQFWSNCLSRPRHLQKLIFGYGIIIPKLPNWVVHAHGLVGAALGVQELRSDNVQVLAQLPCLIYLELIAKTIPENNIIIHPNTFHSLKRFKFICELSRFTFEPATMPRLQRLEIELDGRGQ >Sspon.01G0005550-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:13252742:13255239:-1 gene:Sspon.01G0005550-2B transcript:Sspon.01G0005550-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFWEAFLNWLRSLFFKQEMELSLIGLQNAGKTSLVNVIATGGFSEDMIPTVGFNMRKVTKGNVTIKLWDLGGQPRFRSMWERYCRAVSAIVYVVDAADRENMAIAKGELHDLLSKPSLTGIPLLVIGNKIDKPEAFPKQTFTELMGLKTITDREVACFMISCKNSTNIDSVIDWLVKHSKKKN >Sspon.02G0036350-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:24578797:24583016:1 gene:Sspon.02G0036350-1B transcript:Sspon.02G0036350-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-(apurinic or apyrimidinic site) lyase 2 [Source:Projected from Arabidopsis thaliana (AT4G36050) UniProtKB/Swiss-Prot;Acc:F4JNY0] MVKIVTYNVNGLRPRVAQHGSLRRLLDALDADIICFQDMRPLFHATALQKVVEHTLEVALPVAAEEGFTGLQDYAKNSETVGDFIIAMPVEEEGLGEITREDLLRVDNEGRCIITDHGHFVLFNIYGPAVEEDDKERVRFKLLFYKILQKRWEHLLALGKRVFVVGDLNIAPASIDRCDAPPGFEKQMFREWLRSMLREHGGPFFDAFRSKHPERIGAYTCFNQKIGAEEYNYGSRIDHILISGSCLHHCDSVEDHSIFCCHVEECEIMNHFKRGNSENLSKWKGGRSSKLEGSDHIPVYILLKEIPELPVHNIPPSAARYLPEVRGRQQSIVSFLGKGKIYELQNAANLIQSEDTVVGSYCSDGLENTIIAKEGLTTGITEFAKGRNLPSLMCKGTNLDQWTNEGLIGVSHSSQKASPSSAKFVPKKKIKRNLSSQPTIKSFFEQPGSKTVNVSTSTLVTPAETLDLTNQTCVSNDDSLPENMQCTTSAAKDQDNTNVSSCSLSTDKSNAAALEWQRIQQKMKMTLPRCKGHSEPCIPRSVKKGPNIGRLFYVCARAQGPASNPEANCGHFQWAPGKSKEKSSKEK >Sspon.04G0006980-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:19972329:19975438:1 gene:Sspon.04G0006980-1A transcript:Sspon.04G0006980-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding NRTREMEMAGAAEADTPSKEPVRAGRSNTILLPIVGILFAYLLYRFLRPRLRGLRLDRYVPSWVRMPAWLRRRAPSGSTVLPYFAPIADRLGALPYFGPFADRLGVGPHGGAGAAQQALVKFPGGEALSVAAILEAPGEVVAKSAHSTLYRAAMRSGEAAVLLRFVRPACAVGAEEAYAAARRIGAVSHPNLVPLRAVYVGPRGEKLLVHPFYAAGSLQGIAESHRWNIVCNLSLGIAKGLDHLHTGLDKPMVHGNLKTSNVLLDASYECKLSDYSLYLLLNPAAAQEMLEASAAQGYKAPELIKMRDATRESDVYSLGVVLLELLAQKESPDDGRPNPRDILLPASFKNLVLERKISDAFSSDLARHCKRSGKEKNLNAFFELATACCSPSPSLRPNTRQILKRLEEIAR >Sspon.08G0011830-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:47089801:47093984:1 gene:Sspon.08G0011830-2B transcript:Sspon.08G0011830-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPDSISTRSSLPLAAAPMSDPTPFPSAASPPPNPLAAAASFLQHHLSRLASHLNAPRPALAAAAARTPGPQGASLSLALAPDEVARALTGTPVFTVCNSSNEFVLVSDPATGLRSLGLLCFRSRTLTPSCRMTRQPVLGKGAKVMPITLDQVYMLKAEGIAFRFLPDPLQIKNALEMKSGLTAFDGVPVFQSDLLVVKKQKKRYCPIYFQKEDIERELTRASKGSRGSALSKQIMVGSLEDVLKKMEINERNSGWDDLIFIPPGKSLNQHINEVSA >Sspon.01G0031100-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1A:106854797:106855243:1 gene:Sspon.01G0031100-1A transcript:Sspon.01G0031100-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVCFRQSPSGNSGDDGQAVRAWRQWRRPGQVGPEELHGWSSTAMSGQVIGVSWPDHVRAGKGKKAAVAVTAELWRNPSAPRRGRAEDEAEEGRQLGKQVGPRGREGVRRKKMTARGRRDARTRERGGRLKMTNGYDEETHPYRKVGL >Sspon.04G0020210-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:71027478:71032475:-1 gene:Sspon.04G0020210-1A transcript:Sspon.04G0020210-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTSTIVGMVTISHAAGHRSSIEAHAQTVSPRTASPGVSFLNPEMQEWGMETIEGLLGQRLRYSSLERVAVKQKEFQHLAASYAVRLAKAPTCDGMGPERKLADKSSNKESEGSSRISFGMEPFRHGCVTDPDSTISVASQSSLELDLRNLSCVHLGKPDS >Sspon.06G0012900-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:55746897:55748487:-1 gene:Sspon.06G0012900-2C transcript:Sspon.06G0012900-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ATMQVLLLLLPLVLPVAILIVRRATPPIKARLSTLKSGIARARKPVIIKDFATAHHHHLLVRGSRMPSASPSAIITGRRYHNIISAPSGELWRTLRHNLTSGVVHPTNLHRYAAARRQALRGLVADLREQQLTNGVALAAESIRDAVFGLASTMCFGYGVDAGVVRAMADVQTKLILSLPALRTFAAGPLTFPAVSRLIYRKRWNKLAGIRQKQEELYLPLIDGCRRHRRDSDETPSYVHTLLDLHVPVEFEADDHNSGGKQRQQRRLEDGELVGLCSEFLGQVTESTAAALQWIMANLIKHPEIQQAIREETNAAVDADAEEVGEEVLGKLDHLNAVILEALRLHPTIMWVFRQAIKKVMEEDQVVHDGQRIPTGTDIMFLLEALGRDKAVWDDPDEFKPQRFHGCGGGESTTKNLLSMASEMKMMPFGVGRRMRPAISVSLLHISYFVANLVREFLWEEVEGEHAVQFQTDTSIMFFNRMARPLRAHLVPRRPEAKKIC >Sspon.02G0002560-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:8322753:8323160:-1 gene:Sspon.02G0002560-1A transcript:Sspon.02G0002560-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AKMSDGTATCIDIILAIILPPLGVFFKFGLQVEFWICLILTFFGYLPGIIYAVWAIT >Sspon.03G0012270-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:44089974:44093795:-1 gene:Sspon.03G0012270-2B transcript:Sspon.03G0012270-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRGSTAGLLRRLAPRVSGGICGNTTHRRLPPPVAPFLHARFFSAPTTSSSPPSSSSAAGHDEEAEDRELPEISNGDAGAPLSISVDRSGLYNPPEHSHEPSSDSELVKHIKSIIKFRSGPISMAEYMEEVLTNPQSGFYINRDVFGESGDFITSPEVSQMFGEMIGVWAMCLWEQMGKPAKVNLIELGPGRGTLLADLLRGSAKFVNFTKALSINLVECSPTLQKIQYNTLKCEDEPVDNGKRTISKLCGAPVCWHASLEQVPSGSPTIIIAHEFYDALPIHQFQKASRGWCEKMVDLAEDSSRFRFVLSPHPTASLIYLAKRCGWASSEELEKIEHIEVCPKAMELTEQIADRISSDGGGALIIDYGKNGIVSDSLQAIRKHKFVDILDDPGSADLSAYVDFASIKHSAEEASDDISVHGPMTQSQFLGSLGINFRVEALLQNCTEEQAESLRTGYWRLVGDGEAPFWEGPEDQTPIGMGTRYLAMAIVNKKQGTPIPFE >Sspon.03G0030890-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:23312404:23314360:-1 gene:Sspon.03G0030890-1B transcript:Sspon.03G0030890-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQSFLSAVTYLHYVLTAGLRSIGEQHDIFLTKSTAFAGLELGTIDSFTSSTVTKRLLHPKSKYALPSEVYPKATITGAKSHCTRGNARVSS >Sspon.02G0027230-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:96604053:96617330:1 gene:Sspon.02G0027230-2B transcript:Sspon.02G0027230-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMMTPPPLEQQQEDDEMLVPHQELPVAGPEAAPQPMEVVAQTEPANTAESQPPEDPQMSRFTWTIESFSRLNTKKHYSDVFVVGGYKWRVLIFPKGNNVDHFSMYLDVADSGNLPYGWSRYAQFSLAVVNQIHPKYTIRKDTQHQFNARESDWGFTSFMPLSDLYDPSRGYLVNDTVVVEAEVAVRRMVDYWTYDSKKETGFVGLKNQGATCYMNSLLQTLYHTPYFRKAVYHMPTTENDMPSGSIPLALQSLFYKLQYSDNSVATKELTKSFGWDTYDSFMQHDVQELNRVLCEKLEDKMKGTVVEGTIEQLFEGHHINYIECINVDYKSSRKESFYDLQLDVKGCRDVYASFDKYVEVERLEGDNKYHAERYGLQDARKGVLFLDFPPVLQLQLKRFEYDYMRDTMVKINDRYEFPLQLDLDRDNGKYLSPDADRSIRNLYTLHSVLVHSGGVHGGHYYAFIRPTLSDQWYKFDDERVTKEDTKKALEEQYGGEEELPQINPGFNNTPFKFTKYSNAYMLVYIRESDKEKIMCNVDEKDIAEHLRIRLKKEQEEKEHKKKEKAEAHLYTIIKIARDEDLKEQIGKNIYFDLVDHEKVRSFRIQKQLPFTSFKEEVAKEFGIPVQFQRFWLWAKRQNHTYRPNRPLTPHEEAQSVGQLREVSNKAHNAELKLFLEVELGPELCPIRPPEKSKEDILLFFKLYNAEKEELRFVGRLFVKALGKPSEILTKLNEMAGFSPNEEIELYEEIKFEPNVMCEHIDKKLTFRSSQLEDGDIICFQKAPVPDGDTQVRYPDVPSFLEYVHNRQVVHFRSLDKPKEDDFSLELSKLHTYDDVVERVAHQLGLDDPSKIRLTSHNCYSQQPKPQPIRYRGVEHLLDMLVHYNQTSDILYYEVLDIPLPELQCLKTLKVAFHHATKDEVVVHSIRLPRNSTISDVITDLKTKVELSNPDAELRLLEVFYHKIYKIFPPHEKIENINDQYWTLRAEEIPEEEKNLGPHDRLIHVYHFMKDPNQNQQIQNFGDPFLMVIREGETAAEVMERIQRKLRVPDEEFSKWKLAFISMNRPEYLQDTDVRRDVYGAWEQYLGLEHTDTTSKRSYTANQNRHTYEKPVKIYN >Sspon.03G0020170-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:48676255:48678969:-1 gene:Sspon.03G0020170-1P transcript:Sspon.03G0020170-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding PMSPFSLALLCLALSLVAAVEPADDQEASCSPKFCGNLTISEPFGFVPEEATDTKCGRLGFEVHCNNSIPYLGYYRRKYRFQILNIFYDNSSLLVADIHKLEDFNGSNSKGCHVLTTNTSSKIGLPFSISPVNLNLIFYMCTKTPEPNRGLVETKCGSSLFVHVGGHYNDSSNYMQYSVEGCSTTLVPVREAFGEANASSYEQLISDGFLLTWQPPLGSMSATAGLFFICLLWFIYRRKQKLGLFILQKHARSQSNTEEIIRRYQSLTPKRYSHSDLKKITRGFKEKLGEGGYGTVFKGTLPDGHMVAVKILKGSKGNGEEFLNEVTSIGRTSHVNIVSLFGFCLEGSKRALVYEYMANGSLESRALGWENLRKIAIGIARGLEYLHQGCSTRIIHFDIKPHNILLDEDFCPKIADFGLAKLCHVKDSALSMADARGTIGFIAPEVFYRGFGVVSTKSDVYSYGMMILQMVSGQGNTENSSETYFTDWIYDCMVKDLQSHEVTCELEETAKQIALVGLWCIQMAPGSRPSMNTVTEMLEKNINELEMPPKPFLSCPLPQ >Sspon.02G0056650-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2D:30295229:30305229:1 gene:Sspon.02G0056650-1D transcript:Sspon.02G0056650-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIKRPTRRTHLHPSGFYNPHSSARSLASLLHALIRWLARSLPPRSRRALGLLAPPGTDAAPAPSSLPARPPALPSFPLHGLQCDPALPATRLPLPPPETRTTTTAPTRVFASTRYCAAAVATPVRSLACTSDRVSRSPEPSSLRSCTERRRIRFLGSVLRATAPKASTTARLPKGASARRLRRAKARGVVIKNTPPPLIDDFNIDDIDISDLAPSPPPATSSTPSITTTTERDAKTLWDHLNATYGASDAGKELYTMESFNDYKMVANKSVVEQAHEIQRLAKELELLKCVLPDEFMAGCIIAKLPSSWRNFATSLKHKRQKISVENLIASLDVEEKARAKDNIEKGNEEKSSATLSRETMARTRESLSNLLSMPNKILPSRRRRKTKQSYLASRVESLGLQGSSVLMGNGSHASVRGVGTVDLKFTSGKIVQLKNVQHVPTIRKNLVSVSLLLRDGFKIAPDFTVPIESSIESFEQPPEEVFEENDNEVPARNKRRRIAKSFGDDFIVYLVDDIPTSITEAYASPDADDWKEAVRSEMDSILSNRTWELSELPFGCKPIGCKWVFKKKLRPDGTIDKYKARLVAKGYTQKEGEDFFDTYSPVARMTTIRVLLSLAASYGLLVHQMDVKTAFLNGELDEEIYMDQPDGFVVKGEEQKVCKLLKSLYGLKQAPKQWHEKFDTTLTGAGFAVNEADRCVYYRHGGGQSVILCLYVDDILIFGTNIDIINEVKSFLSKSFDMKDLGEADVILNIKLIKEDSGITLSQSHYVEKVLSHFGFMDSKPTSTPYDPSVTLRKNKKIARDQLRYSQIIGSLMYLASATRPDISFAVCKLSRYMSNPGENHWHALERVLRYLKGTMSFGIHYSGHPAVLEGYSDANWISDIDQIYATSGYVFTLGGGVVSWRSSKQTILTKSTMEAELTALDTASAEAEWLRELLSDLPVVEKPIPAILMNCDNQTVITKVVILKYGSPPHFLKSSVRRSKRSPHARARSPRRAGRGGAGRRARVRGTCVNATPLRSFACTSDRVSRSPEPSSLRSCTGRRRIRFLGSVLRATAPKVSTTARLPKGASARRLRRAKARGVVIKNTPPPLIDDFDDDFNIDDIDISDLAPSPPPATSSTPSITTTTERASVRDSYPDADTQLRRSGPHLCQKQKNESPLPHPYLPDARRSSVTAHSSAPLPPPRARQLLRRVLTPPPRAPSLAMPHACPSHLCHSLPHRPSVHLPLPSSALLTPPWPLGRTCCGTLGLSWPSQGHLRARAVLVVLARRSSPPFPTPTSGIDRTVLSLPYVAYFTYGLDLDHSFPGPAQLRSIPTNSAGPSLLAFRFVAAIIWFASVKLLHSCDLPATSLPSSWVRIAPLACSAVLISRLKNTVD >Sspon.08G0012370-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:49172208:49176489:-1 gene:Sspon.08G0012370-2B transcript:Sspon.08G0012370-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:G-protein coupled receptor 1 [Source:Projected from Arabidopsis thaliana (AT1G48270) UniProtKB/Swiss-Prot;Acc:O04714] MAPAVAAASSAAMSQALRDRQILDAVGTGAAALSLVGSSFIVLCYLLFRELRKFSFKLVFYLAVSDMFCSLFTILGGPSNAFYCFAHDYSAHFFCVASFLWTTTIAFTLHRTVVKHKTDVEEFGSIFHLYVWGTSLATTVLRSIGSDYGRPGTWCWIQQGSMAKALNRMLNNATRMAAGISDRSNQSDIRADRKAFNRWGYYPLILIGSWAFATINRLYDFANPGHKIFWLSFLDVGFAGLMVPTERIKRSLPTLSRLRSQQENELTSLIVEGN >Sspon.03G0014620-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3B:69067232:69070210:-1 gene:Sspon.03G0014620-2B transcript:Sspon.03G0014620-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGISTSGSRSAVMGGLTAASLQDDGRRKHVPHPSLPRNYSRLSTARFFYLRRNRPDHARRPKTKILQAILSPTPATDRGCRMLPPAPTPTPMGSADRSKIDGIVVAEKGARSCVECRATTTPMWRSGPTGPRSLCNACGIRYRKKRRQELGLDHKQQQQQNNGEAKTEVKDSSSNSSSSGSSNLQVVQKRRLLMGVEEAAFLLMTLSSSPASTLLHG >Sspon.03G0001530-3C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3C:15231930:15240790:-1 gene:Sspon.03G0001530-3C transcript:Sspon.03G0001530-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPYTGVWIHGGKGFILLQTIRRLAPHGIPSWLHAAGPRLPHMTSLPPFGAAHPRAAANLMRPRLVGTTLPVV >Sspon.03G0024570-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:98272916:98278306:1 gene:Sspon.03G0024570-2B transcript:Sspon.03G0024570-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRRRMLLKVIILGDSGVGKTSLMNQYVNNKFSNQYKATIGADFLTKEVQIEDRLFTLQIWDTAGQERFQSLGVAFYRGADCCVLVYDVNVTKSFEKLNNWREEFLIQASPSDPENFPFVVLGNKIDVDGGNSRTVSEKKAKAWCASKGNIPYFETSAKEGFNVEAAFECIARNAVKNEPEEDMYLPDTIDVGGAGRHQRSSVL >Sspon.07G0026760-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7B:55256078:55256311:-1 gene:Sspon.07G0026760-1B transcript:Sspon.07G0026760-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GQRSRHAADPVAEDLAWQPWMEERERRDRLDRRLGMDPTGGVHLSTRV >Sspon.05G0031370-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:14335339:14340314:1 gene:Sspon.05G0031370-2D transcript:Sspon.05G0031370-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRSSSSSSGAWRYLNPAYYLKRPKRLALLFFVFVAATFAFWDRQSLVSEYESEISRLEDDINRLHDQLRKAGVHLDENPVVSNKNSRKDLVEIDPVNNERREKVKEAMLHAWNSYVKYAWGMDELQVCLVGSNSDLQLVSHFLTDSRSKMPSVFWFARLDSQPQSKNGINSFGGLGATLVDSLDTLYIMGLKDEFQKARDWVAESLDFDKDYDASVFETTIRVVGGLLSAYDLSGDKVFLDKAKDITDRLLPAWDTTSGIPYNRINLAHGRAHNPGWTNGDSILADSGTEQLEFIALSQRTGDPKYQQKAENVITQLQKIYPSDGLLPIYINPHSGTASYSTITFGAMGDSFYEYLLKVWIQGNKTEHVKHYRQMWETSMEGLLSLTKKTTPSSYYYICEKNGGSLSDKMDELACFAPGMLALGASGYGPEKSEEIMNLAKEDMSVGTSWNILRPETVESLMYLWRLTGNKTYQDWGWDIFQAFEKNSRIESGYVGLRDVNTGEKDNMMQSFFLAETLKYLYLLFSPPSVISFDEWVFNTEAHPLRIVPVTDNKGIGTPVRPFGRKQGKPE >Sspon.01G0001640-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:26717325:26719317:-1 gene:Sspon.01G0001640-2P transcript:Sspon.01G0001640-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MCMDDSSAPAGRAGGLPPRKAHRRSRSDVAYGYFQPLPPPSPKMEAGGGWGLAAAGGAGACDDLFNAYMSMEGMDGLNHSDVDSRGSSGMRTNGADSSENESEDYGGGADSQFLLWGGDGGGKKKRNASGEPAAPPPPPPRHARSHSMDSIVGKLSFSSANGEPGKFSLEFGGGEFTPAEMKRIMADEKLAEMALADPKRVKRVLANRQSAARSKERRMRYIAELEQKVQILQTEATTLSAQLTLLQRDSAGLATQNNELKFRLQAMEQQAQLRDALNEALTGEVQRLKLGDTGSSGNLSQQMQLRCQNNQMAELHKQQQQGEQIPFYQLEQNGAPRNHEPK >Sspon.08G0009820-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:42916807:42919082:1 gene:Sspon.08G0009820-1A transcript:Sspon.08G0009820-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAEQLKELGEKLQAVAPAPADELAKLLEKAVECLHGIEQSPGSSVMEAIQPSLKAVTREELLKHEDDNVKVLLATCFCEITRITAPDAPYNDDILRDIFYLIVGTFGGLSDVNSQSFGRRVAILETVARYRACIVMLDLECDDLITNMFQTFLGVVR >Sspon.08G0000410-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:213269:218731:1 gene:Sspon.08G0000410-2B transcript:Sspon.08G0000410-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKALQEMPCALEVIDARLQSTSHIQCSRSFDQNIGRRFSKGHLIQKPVAVCPENSDTSSATPPLGHDSDWKQAPFLSDTQEVNKVISLSSGALGTECLELLSAQPRILCCLGPPNERNMHDQVDSSKPVSNGNTHGGSTLRESRQRKNVRNSSMAVPPCHVVAKYSRPVATTLSLRSDSDILHNDDKLSKRSSRKRGKQCKRTIRKRLNLASETTFEESTFGASPVEVVPTNLLVDKLSETTPSASSSVKNDGQYNKDYVECGIMLNLATLGTDEMDGSGCAGSSYNVAGGRLSSSCAPYLNDESNVIDSSEFDGSTFTEHGLGEESNSYQKLTCAHVYNPSHATTDSIFSRWNNDNSGNYSVDVEATIKDENGHDHSKPGASTGLSNMRAECQLIGSHLSATHAEDTNDHLGIRSYSKDVTDSCSNTERVQCSSQACSSKASLQFRSGRRNIKSSKTPSYIDLTVSNRVRGSNRHKNNGKDSSAVWQKVERNDKMISKAGHLSNSPIHDKGAREVGKKGVQEDPTRILVKCNQNRKMCKQDSSNGTVELEPTKEEDALNSSHTFSGPVYKKQTPFLRQQSSSSKQGSQSLKNYYAPRIGIPKAPKDYLQQEELPMLVLVHAKNTSDRSTSYSSSADEVGLTGVGSDYPTEANEGSQPGIEIAASVSCNLVPDLAPQAPSDDSHVSDPHSLCPENKGVSTSRSSKNLCIDPFAAETKEARCVKLLTENNSQECCKWYSGAGHLSQKWVPVGKKETCSGIYLDVSANDSNLPSERTYKLNSSEHVDLKFQADNASETDYSKMKEAISYVYTAQQQVEDIQLRIGRPIAIWKILFTLLLQLCTAVLALLAVNLTCKNTPDITLRTVWQWYEEPSCYGLDVKAQDIRRSKGMWNSHCQFTTYFVPYLSAVQLFSQPKRISDGSIDKESIHRDVTCETSPDLNLPPIFAKLFPKQSNPVNKSSTPRTEDDQQPADGELVFEFFESEQPYSRQQLFDKVNELIAGVKPSKCQISGDPKNLEVSLHDLHPASWFCVAWYPIYRIPDGKFQAAFLTYHSLGHWIHQSSSADGAAVLPVIGLQSYNAKAEWWFEMSKSDSEGAESAEPASQILKERLRTLNESAVLMSRASVLKNGQMSRNSHPDFEFFLSRS >Sspon.01G0001650-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:4597008:4604896:-1 gene:Sspon.01G0001650-2D transcript:Sspon.01G0001650-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPDPCADLVVTPKTAAKDEASCSVATPTPPKVTPDEVRAVAQKFADQPIQETEPGVWALLTAISKKARLRPQGMNILLSADEHCLGRTVEERFQILAQQISGKHCKIYKDTVLGELNRHEPVPVYLKDTSSNGTFVNWTRLKKNSSPVKLNHGDIISFSSPPHDEEGGSGSKRLKGLGIGSPDGPVSLDDVRRLEKSNADLREQLEAHVVTIETLRAEIKTAQVQHGKELEELRETTSSSYLDQTKSLRSALEEKHKQIDSLSTSNTELQNSIKDLDERLSASKQSRADADEIISSQKAIICELEGQLSEERNLRREERDKAAHDLKSALHKVQAEAQEEIKKQAESYLRQQREQKEVISKLQESEKETRLLVETLRSKLEDARDNLVTSEKKVRELEAQLQDEQLVSANNRKKSENLETELRKLKKELENEKAAREEAWAKVSALELEIAATIRDLSIEKQRYQGARERIILRETQLRAFYSTTEEISSLFAKQQEQLKAMQRTLEDEENYESTFMSVDLNKVPLATVATDNAGMKPVGCSKNTVGASSASPENTQVSEHSSSDEDANITEQHDDDGTADGGSTQGLECTSPERSERLRPGFQGNPVSAAPEREVTDTEQVPETESQAGNVGCDDQRCDNMGGETMPLEDEVQLPENKEPTALLKDAGQPQANVVPIPIPNDGTGHCSEEKHEGAFSESKREETHVGAIGTADLLASEVAGSWAVETAPSVNGENESPRSLGDAADATEQDEDGGSMAADALLTLVNSEGQAAGSQNNVEHASSKITDDHRVLSAMIGIELEELRETTSSSYLDQTKSLRSALEEKHKQIDSLSTSNTELQNSIKDLDERLSASKQSRADADEIISSQKAIICELEGQLSEERNLRREERDKAAHDLKSALHKVQAEAQEEIKKQAESYLRQQREQKEVISKLQESEKETRLLVETLRSKLEDARDNLVTSEKKVRELEAQLQDEQLVSANNRKAAREEAWAKVSALELEIAATIRDLSIEKQRYQGARERIILRETQLRAFYSTTEEISSLFAKQQEQLKAMQRTLEDEENYESTFMSVDLNKVPLATVATDNAGMKPVGCSKNTVGASSASPENTQVSEHSSSDEDANITEQHDDDGTADGGSTQGLECTSPERSERLRPGFQGNPVSAAPEREVTDTEQVPETESQAGNVGCDDQRCDNMGGETMPLEDEVQLPENKEPTALLKDAGQPQANVVPIPIPNDGTGHCSEEKHEGAFSESKREETHVGAIGTADLLASEVAGSWAVETAPSVNGENESPRSLGDAADATEQDEDGGSMAADALLTLVNSEGQAAGSQNNVEHASSKITDDHRVLSAMIGIVDPEFRKQMSRSGVGNEEPMSDAETDEGIEEGDSDSGSDGSDSEEAMVEDSVG >Sspon.04G0026630-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:47934128:47937849:-1 gene:Sspon.04G0026630-1T transcript:Sspon.04G0026630-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVDYYKVLQVERGASDDELKKAYRKLAMKWHPDKNPSNKKEAEAKFKHISEAYEVLSDSQKRAVYDQYGEEGLKGQVPPPGAGSPSGSSSYGGNASTFQFNPRSADDIFAEFFGLSSPFSTMGGMGGGAERGMRGSRFGMFGNDIFRSCPQFSGEASMHVPQRSQKAPPIENRLPCNLADLYKGTTKKMKISREVLDAGGHCFK >Sspon.02G0006760-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:21505393:21512780:-1 gene:Sspon.02G0006760-2C transcript:Sspon.02G0006760-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGNAGGAAARVLLFVLGLLLVPLLVAGDDPYRFFTWTVTYGDIYPLGVKQQVRSWFSHSPSSSVDSRTAKNELADGGGWDWLVCLQGILINNQFPGPQIEAVTNDNLIINVFNKLNEPFLLSWYVRAVLPTASPPLSGLQQRRNSFEDGVAGTTCPIPPGANFTYIMQAKDQIGTYYYFPSLAFHKAAGGFGGIRVLSRPQIPVPFPPPAADYTVLIGDWYKANHTRTNLHCSWTDGRTDGQDLRYVLDSGKPIGFPDGLLINGRSLNGYTFTVQPGKPDVPVPDHQRGPGHLLNIRFQGHTMKLVEVEGSHTMQTTYSSLDVHLGQSYSVLLTADQPGFDYAIVVSTRFTAKISPPRPVLHYTNSAGRAPGALPGGPTIQIDWSLNQARSIRWNLTASGPRPNPQGSYHYGLVPVTRTIRLAKLGGGRQREAALRGEQRLNGRGKMVIGKQAGLQLERRRVAVHRSGVPQIWTAIYMPLDNVGMWNVRSENWARQYLGQQFYLRVWTPSTSLRDEYPIPKNALLCGRRRSPDQAA >Sspon.05G0010080-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:20165152:20165592:-1 gene:Sspon.05G0010080-1P transcript:Sspon.05G0010080-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LQSSDDDAAGSYDYRCVYTIYVETGSIWKAGTDAVISLALRAADGAGFTILDLARWGGLMGAGHDYYERGNVDIFSGRGPCLSSPPCRMNLTSDGHGPHHGWYCKSVEVTATGRHATCAKAGFGVEQWLASDAPPYQLYAERSFCAK >Sspon.05G0028370-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:67018170:67026010:-1 gene:Sspon.05G0028370-1B transcript:Sspon.05G0028370-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MWWTVDGVLADQSGIGGPSISFACGTWTDKAWPLRPAYVDAIVGTFKGNFWAVDFQNEPRPQETLSPQVFNPEDDDNDDTVHVIANAIYFKGEWRNPFKKENTVDREFHRLDGSSMEVRFLQSWSYQCIACHSRFKVLKLPYEMMNEYNWKLYDTLPRFCMCVFLPDGRKGLRSIVEKIASSPAFLHDQPPKEYVPIGQFWLPNEAAAVTVESDDDMGCSMFDDYPPPPKPVNFVADHPFAFFIVEETSGAVVFAGHVLDPSGEV >Sspon.01G0000750-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:1537064:1539161:-1 gene:Sspon.01G0000750-2B transcript:Sspon.01G0000750-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYKRSAKKCREKFENVDKYYKRTKDGRAGRGDGKAYRFFSELEALHGASSPHPPVVVSLAPTPVAVAPPATALVGAPTMAPAALHAEPPPQTPPQPRVVAAAPQPAPTTGTGSATATTAAPAGATSAACMITPGDVSFSSGSDGEDTEETGGGGDGGKRKRKRDDGDGDGSSGSKMMRFFEGLMRQVMERQEEMQRRFIEAIERREQDRMIREEAWRRQEVARLAREQDALAQERAMAASRDAAVVSFIQRITGQTIPMPMTSSVAPPPAFITALTHTPPPLQPTPVASAAPAPAPAQPPPPPAHHLSPTTPKPHTTMPMTAQLQPHQAPSPSTHPQGNKEIVVRAPPPAESQDTAGSGGGAPSPSRWPKAEVHALIQLRTELETRYQDSGPKGPLWEDISSGMRRLGYNRSAKRCKEKWENINKYFKKVKESNKKRPEDSKTCPYYHQLDALYRSKALASLSSGAAPAAAPPRPDQQAAAAPVTVLAAVPLSQTAPHGNGNGCASRGSSDNGSGSSGAMQKQQASNGAGVAARFSTAVDGAGGNGIVAPNKREEGIIVTKETTAAVETRPQPVSMNDSYVNDDAVDSDSSMDDDDDEEEFDDDEEEGDVRGGGGNSKMQYEIQFQRQQHPQQNQSGGGVRPNASASAGAGPAATA >Sspon.01G0027780-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:99890466:99894986:-1 gene:Sspon.01G0027780-2B transcript:Sspon.01G0027780-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GRF1-interacting factor 1 [Source:Projected from Arabidopsis thaliana (AT5G28640) UniProtKB/Swiss-Prot;Acc:Q8L8A5] MQQQHLMQMNQNMIGGYTSPAAVTTDLIQQIDEKVNAPSVVSDDRHKRLLECIDMIDTSVYWNYLDENKQLILAILDNQNNGKVEECERHQAKLQHNLMYLAAIADSQPPQTAPLSQYPSNLMMQPGPRYMPPQSGQMMSPQSLMAARSSMMYAHPSMSPLQQQQAAHGQLGMASGGGGGTTSGFSILHGEASMGGAAGAGAGNSMMNAGMFSGFGRSGSGAKEGSTSLSVDVRGGTSSGAQSGDGEYLKAGTEEEGS >Sspon.04G0013610-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4A:49235244:49236452:1 gene:Sspon.04G0013610-1A transcript:Sspon.04G0013610-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPYCVVTAAAVAGGEQEEVRIFYQRYGHGATKVLLIIGRFAGTYESWAPQVKGLTGAVEPVDEEAPADDDDSGVEVCCFDNRGMGRSSIPAQKSQYTTVIMAKDALALMDHLGWRRAHVFGHSMGSMIASKLAAMAPDRVASLALLNTTGGGYQCIPKVGVIAPTRPAIQILQIMSLFSAFL >Sspon.04G0029110-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4C:51480170:51483677:1 gene:Sspon.04G0029110-2C transcript:Sspon.04G0029110-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRNQYDTDVTTWSPQGRLFQVEYAMEAVKQGSACVGLCSRTHAVLAAVNKPASELSSYQRKVFRVAEHAGVALAGLTADGRVLSRFLRNECINHSFVYEAPLPISRLALKLADKAQVCTQRSWKRPYGVGLLVAGLDESGAHLYYNCPSGNYFEYQAFAIGSRSQAAKTFLERRFQGYKDYTPEQLIKDALSAIKETLQGEKLSSSNCTVAIVGRKDDGTIEPFEMIGAARIQELIDSMEVAEEAPAEASSSMQEEERGSEDAAAPMDI >Sspon.01G0048830-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1B:110479340:110479894:1 gene:Sspon.01G0048830-1B transcript:Sspon.01G0048830-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNYLVLEFYLLLLLISVDGEGEGWNRLLHGGSVEDEDDNIGVSIFIDMTTWRLWFPDDGIKAEMMSPSWNNFLRSLLRFVVVRSGHDEGLGRIIFRSVFFTLRWQREEEGRHQKEEVPQFRLQECWPLFVGHLFSGHLPSVCLCGHPYCKAFNRLGFELCYSQRGYYFIIQSACNVLMYPAII >Sspon.05G0030310-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:89105396:89108070:1 gene:Sspon.05G0030310-1B transcript:Sspon.05G0030310-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSKAGGVIHPSGSRAAILGLGAAVPEHVWPQESFPDYYFEISNSEKTMIEKRHVSMPDEFLRTNPCITSYKSPSLKLRQELTDATLPQLGAEAARKAIDDWGCRRRASDITHLVFCTSSSGCMPGADFEVIKLLGMPLSTKRFMLYQVGCHGGGLALRLAKDLAENNYGARVLVVCSEVITTTLRGPSETHLGNLVGQAIFGDAACAAIVGAGVPASGGDETPLFEMVSASQDILPGTEVGGVLAKLHEEGIVYILHPDMPMHISNNIEELVEGALARAGLVVKDRSEELFWVVHPGGRKILDEVESALGLRKEKLEASREVMRRHGNTMSSCVLTTLEEMRRRSADRGLRTPGEGLEWGLLFGFGPGITVETILLRALPKPNDDRTTA >Sspon.02G0043090-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:92865892:92881607:1 gene:Sspon.02G0043090-2C transcript:Sspon.02G0043090-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEQQFLTSMGVSVSNMRSHLQRACADVDVPDPVMLGAAMFLTSAYDAIPNPPVSPAAPLASAVAARAPADGVDRISLLPDALLRNVVSRLPAKDAARTAALATRWRGLWRSVPLAVVDAHILPRWISADHMMPGGEDALSRVVAVAASRVLDAHPGPFGCVHLTRCHMASHQADIERWLELLAAKGVQELVFINRPWPLDHPLPRGLFGCTSVTRLHLGLCRVPSTAGLPRATRFPHLQELVLSTVVIEERDLHFLIDRSPVLEVLTITTNLTGKARVRLISRSLRCVQVSMSAQVDITVVDAPRLERLLMWMLSPAITGKRCSRIKIGHAPNLCMLGHCQPGFELEIGNTILKVDPKFWKGKEAGCIDCVQRHVKKFVFQEFRGKRSELAFLKFIAERAQVLEKMVVMAASKCFSSADAINAKLKPLTSAIWASKDCKLIVFKSPSSDGASPAWSSKIASNFSCSDPFDLLTANAELYSGASANLQRNGVEVAYPLMMGAATMLMFAYNSVPDPLLSLDAALASDIAVRALADDIDCISLLHDKLLMNLEQHAGQHAAPPAARRRGRCRPVDTVRGRDAPRAPADGVDRISLLPDALFKNVVSRLPAKDAARTAALATRWRGLWRSVPLAVVDAHILPRWISADHMMPGGEDPLSRVVAVAASRVLDAHPGPFRCVYLSRCHMASHQAEVERWLELLADKGVQELVFLNRPWPFDHPLPRALYGCTSVTRLHLGLCTVPSTAGLPRATRFPHLRELVLDTFVIQERDLHFLIDRSPVLEILTDTTNQTGARVRLISRSLRCVQMTLSARVDITVVDAPRLERLLMWMISPATPGVTCSRIKVGHAPNLCMLGHCQPGFELEIGNTILKEGTKMSPNTMVPSVKILALEVGFEVRNEVKMMPCFLKCFPNVETLHVFSVNDDPSGKVDLKFWKGNEAGCIDCVQRHVTKFVFQEFRGKRSELAFLKFIAERAQVLEKMVVMVSSKCFSSADAVNAKLKPLTSAIWASKDCKLIVFKSPSSDGAFPAWSSKTVSNFSCSDPFDLLTADAELYSGASVLQHSSTL >Sspon.04G0027540-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:48835697:48838845:-1 gene:Sspon.04G0027540-2D transcript:Sspon.04G0027540-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPARDFILLLLTAAGWASAMAANDAVPTTTSSSPAPTGWLKAHATFYGGADASDTMGGACGYGNLYSQGYGTRTAALSTPLFNNGASCGQCYKIACDRKRADPRFCKPGVTVTVTATNFCPPNSALPDGGWCNQQRPHFDMAQPAFEKIGVYSGGIIPVIYKRVPCMKRGGVRFTINGHDYFNLVLVTNVAAAGSIKSMEVKASNSSNWSPLARNWGANWHSLAYLTGQMLSFRVTDTDGKTIEFTNVDGASCGQCYKIVCDRKRADPRFCKPGVTVQDGNRADSDRVESFWT >Sspon.01G0009110-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:28105448:28106994:1 gene:Sspon.01G0009110-2D transcript:Sspon.01G0009110-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSRERKKQAAVLQEKLNILRSITHSHALSNTSIIMDASAYIKELKQKVVRLNQEIACAQDALRHKSSYPTVTVETLGAQGSFLVNVFSDKSCPGLLVSVLEAFDELGLSVLQATASCADSFRLEAVGGENVADNVDEHVVKQAVLQAVRSCSESGSEQDEE >Sspon.01G0052450-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:22415846:22420732:-1 gene:Sspon.01G0052450-1C transcript:Sspon.01G0052450-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSRRLLLLPPLVLLLVAAGALLLPQRGSAEEGKVSLELYYESLCPYCSRFIVNHLAGILEDGLIDAVHLRLVPYGNARVGSNSEISCQHGPYECLLNTVEACAIDAWPDLDVHFSFIYCVEDLVVKRQYEDWESCFQKLGLDPKPVTQCYNSEYGHKLELEYANQTNALEPPHRYVPWDYENFEAYICKAYKGTPPKACEGLGRLQMALETAEARNGVSYNSGVSKLATAAEDGGREQ >Sspon.04G0010870-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:33227783:33238244:1 gene:Sspon.04G0010870-1A transcript:Sspon.04G0010870-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAASISLSLHLRFRPPLPPPRNPPRHDPFSLLSPSLPAPCRLRVAPGRPSPSPWRPDVRARAGGTIGAPPALARPGGAVETDRLPSDVRDRAMEAVDYFGGRVTIGDVASRAGLQLAQAERALQALAADTEGFLEVSEDGEVLYVFPKDYRAKLAGKSFRMRVEPLVEKAKVFSFVFGDGDPNDGLEEKRWKMIGQYISSNGGVVTAEELAPFLDDDESFVLPVLLRFQGHPEIDEQGNILYRFPSLQRTASSISGRSREYVGTKWSAMFSAIEKYLEEKPWKFSKANASEKAMVAGLGGLNLFGVIILGNLLKQMTVTPGGLISFAAQLYPLLQIYAGSFFAIPLFRWFLLRKTNNDIKKRNKAREQRAQELVSPDSSLRRKLLSARDMAERKVITPEEIVYTTEKDLLEQDYEVKEWERRFKELESE >Sspon.07G0012590-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:44749178:44750797:1 gene:Sspon.07G0012590-1A transcript:Sspon.07G0012590-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPTPSQSPAQTPPAQQAPAAGGRDDMLACVAALEAALLPCLPARELQAVDRSLQSSHQIDVERHARDFMEAAKKLQSYFISLQREDQPTAEAMLRKEITTMEEELKTKSELIAKHKKLIEGWRKELKEQLGKHITELERV >Sspon.04G0029980-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:81290386:81293738:-1 gene:Sspon.04G0029980-1P transcript:Sspon.04G0029980-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPSLLLRAPPLCPSHSPRRFASPSLTTSSPAARLRLRPLRLPPAVSRHAPRASVRAGDTRVADDLIPIARCYEGRLARLELAGAARREQAVGAAAAADGGARAEAHLAAGSDAMILQAKEVKDKASKIEKQFGSDFFFANEPDSESIISSSDGKLLSSLAEAIFSCVIEDARKNHFGGIGSLFQKRQLNCSLDSSVCIHRISEAEIVKNAKRCLETFSLMKSSHEVHKTKNGWWPPPNYESLVKIGGPELVLWTNEYIPTYKLQINANILENSNLEGEGLYELESNRRQVLLTHSQLVELGNVLDMYFEDQFTLPGKTFHPHWNSDPSKIKKNNGYLNNLSPFLAGSCIFLFVAVFAQLCWPQSFRDKRLFKESSNASSSQNYCSDIKSLDNSEIQSYCTSLVKKMKDSYGCPGDVMVDAHIGAWVGELPNCFKAINSEDAAASVYFQHPDTLIQENQSQSVPINIKMSHLEQNDRTQETPQNIASFQ >Sspon.02G0018420-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:61110441:61111685:1 gene:Sspon.02G0018420-1T transcript:Sspon.02G0018420-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLLRRTRSTGRDRAAKAPLVLWAVSAICSALLAVLLASRASFYLQQPASSPEAEEGRHHHRRRACDDHSRWVAKMASLHNATLVLTVDRKGCANFTSLQKAMDAVPDYAAARTLIAVDAGVYAEKVVVWSNKTGVTLQGRGNLNTTIVWNDTANSSGGTFYSATVAVLAANFVAYNVSVQNTAPPADPGGAGGQAVALRVAGDQAAFYWCGFYSSQDTLLDEQGRHFFQGCYVEGSIDFIFGNARSLYHGCTISSVANAAADGTVTGSVTAHGRASPADRTGFAFVGCTVVGTGQVWLGRAWGPYATVVFARTYLSAVVAPGAWNDWNVPARQQSVFFGEYDCTGPGASGGPVQRVAYARQLDQRQAAPFMDVSYIDGNLWAVPPLLPPMLMQGAAGEDIIISAEFSHGQAGS >Sspon.02G0012080-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:30317669:30319339:-1 gene:Sspon.02G0012080-2B transcript:Sspon.02G0012080-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPSSPTTAPPEPVTPPSPWTITDGTISGTLPAAEAFAVHYPGYPSSPARAARTLGGLPAIAKVRSSDPGARLELRFRPEDPYCHPAFGQSRASTGLLLRLSKRKGAAGAPCAEVVARVRTAYHFEGMADFQHVVPVHAAQTRKRKRLDSQNDNENLEKTGHHEADGDVMMLVPPFFSVKDRPTNIALLPSSNALSKTMHRGVVQERWEMNVGPTLALPFNTQVVPEKINWEDHVRKNSVDWNWQMAVCKLFDERPVWPRQSLYERLLDDSVHVSQSQFKRLLFRAGYYFSTGPFGKFWIRRGYDPRKDSESRIYQRIDFRMPPELRYLLRPKNSGSQKWADMCKLEAMPSQSFIFLQLYELKDDFIQAEIRKPSYQSVCSRSTGWFSTPMIKTLRLQVSIRFLSLLHSEEAKNLLRNAHVLIERSKKQEALSRSERSKEDNDADEVPATQTGTEDQVGPNSSDSEDVDDEEEEEELDGYDSPPMAEDIHDFTSGDSYTFGEGFSNGYLEEVLRSLPLQEDGQNKSGDAPINADASDGEFEIYEQPSDDEDSDG >Sspon.04G0035170-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:4041824:4067078:1 gene:Sspon.04G0035170-1D transcript:Sspon.04G0035170-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHSSRKKKKRGAAGRKAAKDHAAQLEGDETALDEELTALPYSDGMGFDDLNISAILSVICFPGYPHKCPKLRIVPEKNLSKEDADRLLSLLVDQASFLGLNSTTDEDVEVSLDSDPYPGISYLYDDTCWSRQGPDMTTDSGRTNIVSQVQSNVRSKRKTIIEKSHVSADKVNNAKGSSGDKAEQQHATKHGAIREAAPTLHVVDEETETETKTLSASNTGNTSDTPERGFSSLNEPEDTDLAEEPWNKEDCDSDFSSSNALSYVSDMLDDASRNKKRDLILIACASKDSLSAALPTISAELCNIGVLSEWAKDLISDSPAVFGETFSHVFGQQMVFGLTPLAGSSDAQGQGGFGRVALCKNKLDGRQYAVKKIRLKDRSPQVNEKILRRTLRQDLETYISSFDVDHAWHLFRQIVEGLAHVHSQGILHRDLTPSNIFFDVRNDIKIGDFGPAKFLKLEQLDHDQYLPTEAMGVSMDGTGQVGTYFYTAPEVEQKWPQINEQVDMYSLGVIFFELWHPFATAMERHLVLSDLKQKGDPPLSWESKFPRQAVLLRSLLSPSPSNRPSAVEVLQNELPPRMEDEWLNGKPLTFRFAKMQGQHDSSKKSTVNIDNSELLDTIIEVAKEVFKRHCANRGNTVKILTQGGEMLELCYELRTPFVMSIAANQTSSFKRYEISWVHRRAVGHSTPYRFLQFLSSTLVQYWPNEADRKSQWSLIRGQLLQDLRLSEEVVEKLHKADSGFVALQI >Sspon.01G0003330-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:9119456:9124568:-1 gene:Sspon.01G0003330-1A transcript:Sspon.01G0003330-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to OCL1 homeobox protein [Source: Projected from Oryza sativa (Os04g0569100)] MSFDDLLYGGASAAGMQYPYGVFTSSPALSLAVVRTTYAPDVDAGLRRDGSAARAGSSARRGGGGNAKDVSEAENESQSMMSGHFDVVLSGGGDGEDEEDGEAANPRKRKRRYNRHTPHQIERLEAMFKEFPHPDEKQRAQLSRQLGLKPQQVKFWFQNRRTNAKVSDRANPALSGTLRVYVVCSCRLVQCLTWLSLLHGPGPGKKQLERQENARLKQENDKLRVENLSIREAMRDLVCSGCGGPAVLGDLSLEEHHLRLENARLRDELARVCTLTAKFIGKPMSHMELLLAEEARPMPGSSLELAVAGGVGSGMPSSKMPVSTISELAGSTSSPMGTVITPMVTASLPMVSVDKSKFAQLAVSAMNELVKMAQMNEPLWIPSASSPCSSTMETLNFKEYLKAFSPCVGVKPIGFVSEASRESGIVAIDSSAALVEAFMDERRWSDMFSCIVAKAATIEEISPGVAGSRNGALLLMQAELQVLSPLVPIREVTFLRFCKQLAEMNQCLATNMKCRRLPSGCVLQDTPNGCKWNCVANLPSWCIIPQDVRMWACFDVLTDVTWVEHAEYPEASVHQLYQPLLCSGLALGAGRWLATLQRQCECLAILMSSLAVPEHDSEAVSLEGKRSLLKLARRMMENFCAGMSASSSCEWSILDGLTNSMGKDVRVMVQNSVHEPGVPPGVVLSVATAVWLPVTPERLFNFLRDEELRAEWDILSNGGPMQQMLRITKGQLDGNSVTLLRADHTNSHLNSILILQETCTDRSGAMVVYAPVDFPAMQLVIGGGDSTNVALLPSGFVILPDGSSSSAGGVGHKTCGSLLTVAFQILVNSQPMAKLTVESVDTVYNLISCTIEKIRAALHCNV >Sspon.03G0024330-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:97649071:97651198:1 gene:Sspon.03G0024330-2B transcript:Sspon.03G0024330-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRWVGYGWVERIGRGSGNAGVCGGQEFQIEKLQLVEAEKKKIRQEYDRKEKQVGIKKKIDYSMQLNASRIKVLQAQDDLVTDMLESARKDLLCISRDHQTYKKLLKILIVQSLLRLKEPAVLLRCRKEDLELVDSVLESASNEYADKANVYPPEIVVDRHIYLPSAPSHYQAPGPSCSGGVVLASRDGKIVCENTLDARLQVVFRKKLPE >Sspon.08G0020270-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:19566153:19568489:-1 gene:Sspon.08G0020270-1B transcript:Sspon.08G0020270-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTDFRRRLTGMSAEHSQQYLSLSALVGPSHRRMPQSRSGFRNKRSGVRIRACMLENLDVGATATVFGFAALLGGLFLPKKAKHPGNAVRLTVSLLLSLATFLSGKALVLLSLNMMDRQALVSGSHRVAAKCLVAACAVLSVLTLLSLLALWSGGAYLYIGLSVVTAVTLSAAGAHWWLLRRRNGGGGGGEAGAVYDDDEDKGKEELDAAAKTTCGITNSAFGGLVGVLFSASSKVSGVAAVGSVESAAHAAIFFTFATAILGVFVMTVSKVVTNQRRGCRRRLLAIATAMRLANALLLCSLACAAFAAAFVVLRYRVFAAFAPLVLAAVLCFLLRHCVVVRHDRDGEKARIKALEDIASKVTAATLGGILTILGGALGEEDRDKRGSTSTGVLMVVLTSAFVSGFGFMVLAAAPGSATAILAPVARVLVWSTVALFTATAVAVYGVEVSQG >Sspon.02G0041420-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2B:75288059:75298845:1 gene:Sspon.02G0041420-1B transcript:Sspon.02G0041420-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTCRMSAIHLSHASAPPHRTTQRSARLVLTSAAPSAGDHTLRARPDLRRPRSLAPASGVAVRRPCSPPPASGAVFRHRPNSSLVIRHRPDSSLVGSHYPVRQLELHESPRAPTPRIGLAATGARGKSTLDHQVKRAAPNGGSRRQTGLDPSTVRLPAAVALMLMLMLMVALQLSAAAAGTAMSPGVPIGLPNCSTTCGDVVVPYPFGLGPSRCYWPGLNLTCDTSRHPPRLLIGGDGDLRVTEISLRNQTMRVMGSRAVINTTAAAGDLTSDSWMIPFGRGFTKYGYQLSYRNELVVDGCNAAATLLADFGVVVAGCASFAPKRLQAKSLSGSGRNGNHTVEPFIVYVAEEGWIDHNAMMGTDGVDEAPILLGWSVTRGLPQQYGVDCDDDTRRRLCKSQNSRCLADLGTGFMCQCQDGYDGNPYLPGGCQDIDECMLPSEDIGCFGECINTIGSYYCLCPHRAYGNPDAQGGCVNISSTTAADEQLLPTVAPAPTGLPNCSTTCGDVSVPYPFGISPGCYWPGFHLTCNTSYKSPVAVHRQQRNPLHHSPPSAPPIRRAALRLRRLCLSPTPPLPLPLSLLPSPTRISLSTRGGSLRWGPTAGLHALMHGAVVARGAGLSPSSSLYSSPLATYLSTGSDPPLPQQQLDPWRLRGPSLGEALMDLTVHSPYNNEGTVSLRPREFARMGSCCDVVAFIDGAVVVMTKHPPSKKISNSKNTSGPCAWSFDMVDDSEKRNPISHAVVTLEVVDIFLADTTVRVIYETTVVSLGYSDGGNGNMPGAYDLPDIGGPYMLSKSNEFILYGCDVQATLYGEYINGSSSSNTSNDSSVVISRCVSTCSSDQVVAAENQRGSGLPVPMLTSGGPYCSGNDGCCHAPISAGSTPRRLDFKGFNTSQQNPVCMFVSEEGLTDQWQTIFNISDLGMRFYSVEASPLVLQWVVKEGFSVPVSASNLGQWQCPGDVAKRLCRNIDECKITKVRDSCFGDCNNLPGDYECRCPQGTHGNATERGGCIARSPTGLIIGLSVASGPIFLLLVLGITLLLRKIKQHRKKMLKQKYFKQNRGQLLQQLVSQNTDIAERMIIPVDELAKATNNFDRARELGGGGHGTVYKGILSDLHVVAIKKSKITIQKEIDEFINEVAILSQINHKNVVKLFGCCLETEVPLLVYEFISNGTLYHHLHVEGPRSLSWSNRLRIATEIATSLAYLHSSVSIPIIHRDIKSSNILLDDTLTSKVSDFGASRYIPADKTGLTTRVQGTAGYLDPMYFYTGRLTEKSDVYSFGVILVELLTRKKPFPYLSAEGDGLVADFVNLLAEGNLLGMIDQQVLEEGGEEIQEVAALAASCISLRGEERPTMRKVEHILEGLWGTRKYNKDYT >Sspon.01G0046630-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:99532072:99536299:-1 gene:Sspon.01G0046630-1B transcript:Sspon.01G0046630-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LTADPTGTAPQFGTAQADSNRRPSQPRLARHGISLPFHERHNSEGRDKERAGPVLAEAANPIRRPSVRLPASCPVLPLLPPPLSDTRPTTPATAMATITTPLRSALLSPAAVSSAGRRRGGGGRRRAPSSVRCDASPPSSPRGGRRLARPGLRQEGVPPQPHPQRQLQPQGVWLQEGDARAHEPGVHQLADGGRESNWCAGDVIKTLKENGNQYTWGPVTVKLAEAYGFCWGVERAVQIAYEARKQFPEERIWLTNEIIHNPTVNKRLDEMGVEIIPVDAGIKDFKVVEQGDVVVLPAFGAAVEEMYTLNEKKVQIVDTTCPWVSKVWNMVEKHKKSEYTSIIHGKYSHEETVATASFAGKYIIVKNMAEATYVCDYILGGQLDGSSSTKEEFLEKFKKAVSPGFDPDVDLDMVGIANQTTMLKGETEEIGKLVEKTMMQKYGVENVNDHFMAFNTICDATQERQDAMYQLVKEKVDLILVIGGWNSSNTSHLQEIGELSGIPSYWIDSEQRIGPGNMISYKLNHGELVEKENWLPEGPITLVLLRVPQLRTRLLRMLFRRYLRSSVRKFCRLRKF >Sspon.01G0033750-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1A:113624574:113630837:1 gene:Sspon.01G0033750-1A transcript:Sspon.01G0033750-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MESGAQPHYFRKFPIPHKKELRKEEGLIGRFGSSNKRNITILKNVNGILKPSRMTLLLGPPSSGKSTLMRALAGKLDKNVKVSGSITYCGHPISEFYPERTSAYVGQYDLHNAEMTVRETLDFSRRCLGIGARYEMITELARRERDAGIKPDPEIDAFMKATAVQGQETNIITDLTLKVLGLDICADVIIGDEMIRGISGGQKKRVTTGEMLTGPARALFMDEISTGLDSSSTFQIVKFMRQLVHVMNETVMISLLQPPPETYNLFDDIILLSEGYIVYHGPRENILEFFESAGFRCPDRKGVADFLQEVTSKKDQQQYWYLDQEQYHYVSVPYFAERFKSFHACQQTQKELQIPFEKSKTHPAALTTRKYGLSSWESLKAVMSREQLLMKRNSFIYIFKVTQLIILALMSMTVFLRTKMPHGQIADGTKFFGALTFGLITIMFNGFAELQLTIKKLPVFYKHRDFLFFPAWTLGVANIILKVPVSLVESAVWVVLTYYVMGFAPAAGRFFRQFIAFFATHQMGMALFRFLGAILKTMVVANTFGIFVLLIIFIFGGFVIRRSTNGATNTLAESRVTLPFQPLSLCFNHINYYVDMPAEMKEQGFTESRLQLLSDISGAFRPGVLTALVGVSGAGKTTLMDVLAGRKTSGAIEGDITLSGFPKKQETFARISGYCEQTDIHSPNVTVFESITYSAWLRLSSDIDDGTKKMFVEEVMALVELDVLRDALVGLPGVSGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTAIPGVPKITEGYNPATWVLEVSSPLSEAQLNMNFAEIYANSVLYRKNQELIKELSIPPPDYQDLSPCFWVEDEFGSC >Sspon.02G0029830-3C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2C:111895259:111895600:1 gene:Sspon.02G0029830-3C transcript:Sspon.02G0029830-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEISQPLLLASLLPLFMWLLLQASPRKRTNGQGGRHTPSPPALPVLGHLHLLKKPLHRSLAALATRYDTEGAGLLHLRFGAKRVVLASPPRPSPRSASRSTTSRSRTARGSRRG >Sspon.07G0003980-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:8920289:8926842:1 gene:Sspon.07G0003980-2B transcript:Sspon.07G0003980-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNALLPEFSLPPDSTDEELRELLSDGVVLCRVVNTLIPGGSWEGYAPSDQRLSNVKKFLSVVADMGLPGFSVKDLDEGLMSSVVECLLVLRDSVDPKLGGNIPPDVTRTPSRKQWGVLEMDKPQVPGAALGKRSPAEDKKNGIADPKAQQKTPVFSVQKFREVFQLKHGSYSDLPAAKISEMMHSNSLDNASTQSLISVVNGILDESIERKKGEIPHCLTLTASSQRVVYLLRKVVQEIERRLCIQAEYIRSQNVIIKTREQKYCSKIKALEILVNGTNEENQMAINRLQIVKNEKSKIEERRKLSEQDVHRLMKEKEHSENIIANLKKDMEAMNRLHEQQLEQIERKAKEMEEQLTTRIKEVECLLLQSNKKVEEVEIASRLKSQLWDKKENNFQSYMDNQQLIIKDIRILSRSYENDMYSLQMQWRNEISNLGSGLKCLVDAAENYHKVLAENQKLFNEVQELKGNIRVYCRVRPFLSGQDKKSTTIDYMGENGELLISNPFKQGKDGYRMFKFNKVFSPFTSQAEVFSDIQPLIRSVLDGFNVCIFAYGQTGSGKTYTMSGPSTSKQDWGVNYRALNDLFDISLSRRNAFSYEVGVQMVEIYNEQVHASLHSVKSTSDVLDLMEIGQANRAVGSTALNERSSRSHSILTVHVRGLDLKNGSTSRGCLHLIDLAGSERVEKSEVTGDRLKEAQYINKSLSALGDVIFALSQKSAHVPYRNSKLTQVLQSSLGGQAKTLMFVQINPDAESYSETISTLKFAERVSGVELGAARSNKEGKDIKELLEQVASLKDTISRKDMEIEQLQVIKDKVKSPNLLLDRNGPGLTKITVDQPSQLLSGERMLKSSDRVLSDPQSYGEVNGESNHNLTDTAPVSLDEAEYEDNASDDGLSGETENYNSAAEMTVERPHRFPSRISRFTLTKNGQPSMSRSKSKDAKIPSNTKAPPSQFTGGSSVRGSKRWQ >Sspon.05G0004430-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:13291203:13302114:-1 gene:Sspon.05G0004430-1A transcript:Sspon.05G0004430-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPYADHVFFKNLLTLNWSADQLGKIVLLGHSFGTMVRMLELSMSKQEKFGVTEQREKVRRVMAIREYKSMVCSISLSGGDDGPDDELAKDCDDAFLSKKDRDDSQECRCMHCIANIERHAMISALPSSFSVHVFHFDPEIDMDHLVPGCSAVQLFIFVVLLNCPKRVWSTVNVQMNCDAQLAGSSSLYAKFIHQLKENPSIGDHISSMLGSHECMDLLAFALLLKEDMVFPVGNIEIYDLALSPADVKACFDLGVRVLLVNEQCQRSLEKPTLFYVPGLKFIGNLVESNFSPKQLNKNRGCTSRGSLALERDRFLWATVDYIHEAIAMGKSNEELDGVGELKVEFVEVDDDMDIYSKLPIMWLPSVCNWKSEYHAHSEKIHVIAEKMILHSGTSMKRTTWSPPPKGCIKLNFHGIGCSKGRPACIGGIFHNDKVFKLIIEGDNLMLLVHGFETHRRATEAPAPDEIPEERKCNKGEDDGSKDTHEDNGRKDDDEEDVGASSEFDIPPGWVQREYIAWHVEQPANRVTIGLARVGASLPGIFLHQSSMCDCGYGMDMKNDKPDTPHVGIIGAGARRAGRANAECAQQTACSRNVLSDAATIAFPPGRRRVVRREDDEDMPQRSSSRLELILSSLWRSKI >Sspon.04G0010490-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:31897731:31899935:1 gene:Sspon.04G0010490-4D transcript:Sspon.04G0010490-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRRVLLPLLLFLVLLVLRPRSCVASGGGGGEPAEFEIPRDGSVLELDESNFEAAVRAAEFLFVDFYAPWCGHCKRLAPQLDEAALALAGLSTPVIVAKVNADKYRKLGSKYGVDGFPTLMLFDHGVPSEYTGSRKADLLVENLKKLVAPDVSVLESDSSIKGFVEAAGINFPLFIGFGMDESLIVEYGAKYKKKAWFSTAQGFSEDMMVVYDFDKFPALVSVNPKYNEHSVFYGPFEGTFLEDFIRQSLLPVTVPINRETLKLLKDDGRKVVLTILEDESDENSPQLIKVLRSAANANHDLVFGYVGVKQWEEFTETFDVKVPQLPKIIVWDTKEEYEVVEGSESLREGEYGSQVSRFLEGYREGRTIKKKVGRGSPTLLGLNAVYILIFLVAVLVVLMYFSAQGEEDHQPRRAHED >Sspon.03G0025760-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:730440:732624:1 gene:Sspon.03G0025760-1P transcript:Sspon.03G0025760-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMTVEALMYVLVPMPCLFFGGGSTHFLTSREGGGWMNAAKFLTGASAMGSLAIPAILRHAGLIETGAMFIEFTSFFILYAQCCASIGLPWMKTGKCACTDDPLNLKNSQFVVASLHAKKNSCWKLTWICRKKRISSVRS >Sspon.05G0010930-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:31262330:31264753:1 gene:Sspon.05G0010930-1A transcript:Sspon.05G0010930-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPPPRRRHLLSLLAFVVLFPPFLLASSSFPLPTIAIAAVSANATTSPHNLACALVPCGNGTDYQISCASVSNHSAEPRNYSYGGAGGGRTPFSAVVAGDGYLCSAGPTVSPPMALRWWDLKDSEEPSKRVYWGKALSAVSGGGEYVCGLVDERIQCWRWSSGTFPESLRFSAVAVGGGFVCGLVKDSGEVKCLGGRKAVRREPKGSHAMLAAGERHACAVKAESGEVVCWGEAAAVAAASPSPTAVGRSVSSLAVGDAITCVLWGNWTVACWPPEEAAPPRPVAQRQFVALEARGKVVCGVLMSDYSLVCWGPGVSAEAAGGVSKVFDRVLPGPCAPWTSCTCGVWSGSAPLCGGGGAGYAAVCYPCGYSPQLMVSTPPTSNSSAKRRPSDLAIALVSAGIGSGVLAAIAAVVTVYCLRRRRIAVSQDPGRIHAEPIGPAPRVERRLSALLSKGPNTTVEQFPLVALRAVTDGFSPSHRIGSGSFGVVYRASLPDGREVAIKRAERRDPGAASSSYMHTYAVPPIIHRDIKSPNILLDDAWTAKVSDFGLSLLINDLSGGSDGYGCNADGGDDDEPCMTAGTVGYMDPEYYRLQHLTDKSDVYSFGVVLLELLSGCKVIQRFEGSGTPKNVVDVTVPHIEADRVHRVLDARLPLPTPGEMEAVAYVGYLASDCVRPSGRHRPTMSEVVGVLERAVAACEEHDDGGEAGLSRSCTDGSTT >Sspon.05G0007520-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:25049559:25056358:-1 gene:Sspon.05G0007520-4D transcript:Sspon.05G0007520-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RMDETGDALRSCMEQLVLVRDDKERLIIEATNKISSEQKKTLHLQQKVEDANKQFEKVITENYNLCNIVASKEKLIKELKESKAHSDQKLTDANARLEFSQKQCASLQYEVRVLQEELEIRSKEREYDLKSIDAARKKQQESTKKIAALEAECQRLRTMVQKRLPGPAALAKMKDEVKRQGSGAAENGTRRPRAGAAVQPQQLRHSVSEGHLVKLQELGDENRQLRQLLAQKESDLHFVLSKYADEACKLSILQRQNEELSGSHGSTENNHPKPMVSAFAKPARSISGKQQVSKIRSRSRRITGSDMLLVVDPLDIEKLERTSRPSSAPHQCVDSPDTDSKMMVSDTFHRELIPDGFSDKYPEWIQEVLNVIRHKHQGSKISVDVILDEVTHALKNEISAKGEDGAHFSYDRAEIDKMVATLIERVSTMAERSTKNNDMSFRSLSHEKPELTMRLEHLVHVCSDVLDGKVNLERLTDEVCLILEWIVSRCLLCADELDIVDYITNNSDGNESQRTLSIHEKDIMQSTKSKMVLGKQQERQRSVETTEDLIPDVILENHSQIELITSKLVEDLVALRQEQSDSCQEQHLVCCEANSAASDGSKDKLAEQEGNQLTQTSAISAAARKLAECQETMANLSKQLHALESPANTDPSDKEKCGTLPPSAESDATEKKEHGEPDANATEKKEHEQDSGRSLQSAKSASTLVIVRPTVPKSPRPSVSVDTKKKKRRANLLGRLVFRKKA >Sspon.07G0016640-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:59346817:59359100:1 gene:Sspon.07G0016640-1A transcript:Sspon.07G0016640-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSAKSIQYKKETLPSVFLGPERRIKAEMPALEARLLMASPQESNSLCLKRKLVDDCLSKDCKSRRVKSENGPSFDSLAKRCNCCCTRPNLANDCVNFLKSGTPSRVMYYKKGSWLNFPEQIMKSLIDEFKGNKSSVVSVMDDEPILVDFLSMTLVNLKTRKQRSVAWFDDTGKRFFPSLFFDEESDEMDKRDSSNVDSTAQGIMLDKVANSPPEVVKQVVLESSPPVPQKPSTVDVLRKKITSVERGSESFLFVQKLFLSGMGPFAAPNNILHVHRYSPNDITAQCRFEAFERQMKSTKEARGDANVKYGWLGSRKSDIVRILINGLGTTANTVEKAGLSAGYMLLCRVILGNVEAVEPGSQESFPSSEIYDSGVDDCSNPKCYVMWPSHLSTHIRLEYLVSFKLVPKVRNYLLDLKGLWFNPSLKEVGMDISTLRPVMCETGEEGPTSPWISFRVLFAVIQDNISSVSRELLFHHYEELKESIITREEMVKKMIIIVGEKVLLEALKKLHYCPSLWYKPSVEAVSSDSVMAAPEQLSLDKAGGDCSLTLRVNHADSHAPNAVSEHATILSTKRCDTLAADMVPKGQDCLAPSGVPETSSSAVAMCGASTSAEPKCRGPPVQMVPPGNSATSCAKNQDSFVGRVAPIVHEGLLRTISGSSSSPVREVCKSATPTTGCPGYASLGQANTSQTHGISAPGVAPKGYESAVPSLSLGNSKSTGVKQLNSAPRMTPEGQKFLSLGIASRSPAPRDLVKCQGSSTLVAIPPVLAPGHGKSPSMKIEGHDSLVPSVKPSLAPSKALKLHEPVIADTSIKGCDSLALSITPNGHDGPASSNKTPKRHESAMVDTMPESSRSQGESVVTKAYGAPKPTLVLHFIASTTNANAWCVVAAGTAEPKKEQAAVTGSQGKSSVAGLDASSHVTGAASALIALSTLRENCGR >Sspon.08G0024580-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:66611210:66612964:1 gene:Sspon.08G0024580-2D transcript:Sspon.08G0024580-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AMESLFGFWDAQSQRRRTGGSGGGFESLKRLGHISPQVYLTLCSALAFSALGAYLHILLNVGGTLTTLGCLAAIAYLISLPASQDQERNRFALLMAAALLQGASVGPLVDLVIDFDPRIPVTAFVGTAIAFGCFSGAAIIAKRREYLYLGGLLSSGLSILLWLQFATSIFGHTSTTFMFQLYFGLLVFLGYMVFDTQEIIERAHGGDMDYIKHALTLFTDFVQMKNAQEKSEDEKKRKKR >Sspon.01G0022700-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:82696367:82697831:1 gene:Sspon.01G0022700-1A transcript:Sspon.01G0022700-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding PARCYRQIKNKPYPKSRYCRGVPDPKIRIYDVGMKKKGVDEFPYCVHLVSWEKENVSSEALEAARIACNKYMTKSAGKDAFHLRVRVHPFHVLRINKMLSCAGADRLQTGMRGAFGKPQGTCARVDIGQVLLSVRCKDNNAAHASEALRRAKFKFPGRQKIIESRKWGFTKFSRADYLKYKSEGRIVPDGVNAKLLGNHGRLEKRAPGQAFLEAVA >Sspon.07G0008810-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7C:22519376:22521883:1 gene:Sspon.07G0008810-2C transcript:Sspon.07G0008810-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKEEKQELEGAAAAARRRLVIGVGFWVQGFRLFPWLGVNFFLKDGMGVAASSLQILQASANLPMVAKPLLGLLSDAVPIRGHRRLPYVAIGALLQAISWLAIALWQDISLQVLTIFLLLSNFGASICEVANDAIVAEAGKIVTSSSGSGQLQSFAWMFGSSAGALGNLLGGIALSYFSPKIMFLFFAILLLLQFFTTVAIPESSLKLPKAATPTNLSALTSIRKQVKELSCALSMPEMFWSIIWFTVSYAVIPFLLGTMFFYQTEVLRLDSSVIGLSKVFGQVALLAWSMSYNKYFKMMSARKVLSVLQFVTALIMLSDVLFVQGIYRKVGIPDSIYTIVFSGLLEGLMFFKVLPFSVHIAKLCPAGCEGSVMAFVMSALALATIVSGYLGVALAAFMGVSGNDFSALPACLLIEAACTMLPLCCSSLIKERREKEKKKE >Sspon.04G0027300-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4B:57680240:57683267:-1 gene:Sspon.04G0027300-1B transcript:Sspon.04G0027300-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPEDSSEFEDEEEEDDDLMLFILPALYLASTGNGTLDQTSMVSDGTAIETPSQVSKFNDAEWICKVLEDDRGQGYDKLRVEPHILLELSRYLRSNDLLRNTRGVSVEEKIGMFIYMLSRNASFQKLNDRFKYSTETIHRHIKACFDAVTPMTGEFVKPPLTQAHQKISSDTRYWPYFENCIGAIDGIHVPMTISDSEAAPYRNRQESLTQNVMLACDFDLNFVYVSSSREGSASDAAVLYSAIESGFEVPRGKYYLVDGGYANTPSFLAPYNEVPYHTEEQDESNFQPIDYRELFNLRHAQLHRHIKRAVGLLKMRFPILNVATSYRKDTQLKIPSAAVVLHNIIQRQGAFNSECDMGNALRDGIALRMWADYESSMFV >Sspon.03G0010180-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:32437431:32440474:-1 gene:Sspon.03G0010180-2D transcript:Sspon.03G0010180-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMMRLLLPGDHRSSSLAALAAWWSLWLLTVIGGSGSPVLGCYTRIFSFGDSLTDTGNYVHLTASSHSPYGAPPYGRTFFGKPTGRASDGRLVIDFIAEEFGLAKVTAIQAGTAPGDFQSGANFAIISATANNGSFFAGNGMDIRPFSLDTQMLWFRTHLRELVQAAAPPPAAQQNGSAAALLSGALVALGEIGGNDYNFAFSRGMPRDEVRRYVPAVVDKLAGAMEELIALGARAFVVPGNLPFGCTPLYLQRFRANGGWWDYDPATGCLAWFNRFAQYHNRVLTARLDKLRRLHPDVTIVYADWYEATMSIFQAPGKLGFTNALRTCCGNQTVPCGMPGCSVCKDPSTYGSWDGTHPTEAVYK >Sspon.08G0002550-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:5488233:5489486:-1 gene:Sspon.08G0002550-2C transcript:Sspon.08G0002550-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLRGKSHSPQSAIDTVTSLPVEGRPELGKLRHMKTVALSWSSLGSLVGTAVVVGTAVRDVLPPEAHGALRALLLYLGTRCLASAPALHLHKAHGASDIVASLPDDHTAWDTFRGVRVRWASRRAESNGAYSPSVFFGGGGGGGGQQQRCLVLQFPRRHRHVVRDAGYGGGFGGVGPDAHGTMWSSHPFAHPSTFDTLAIDPALRDGIRADLLRFGRRREHARAGRTWKRGYLLHGPPGTGKTSLIAAIANLLEFDIYDLELTTVQSNTDLRRLLACTRPKSLIVVEDIDCSLGFLDRTRTEEAERDNAPPRHVTMSRFPPMGGGPHSGGARQFLRLGPLRNHVYYQSTTPDQNTVCTESKY >Sspon.06G0024900-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:59179031:59184915:1 gene:Sspon.06G0024900-2C transcript:Sspon.06G0024900-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVKNLWDILDSCKKKLPLQHLQNKKVCVDLSCWLVQFCSANRSPAFLRDKVYLKNLFHRIRALLALNCSLIFVSDGAIPSVKLATYRRRLGLNAAEATREEANSQPLTSLRRNKSSEFSRMIKEAKHLGLALGIPCLDGVEEAEAQCALLNFASLCDGCFTSDSDSFLFGARTVYRDVFIGEGGYVICYEMEDIQKKLVLLGSDYSNGVHGFGPELACRLVKSVGDDAILNQILSDGVKPTRKCKGKNSGANAGRVGGTCPKESTCGKQSCVVFSSYLATSHFFANTMNIELEICCPYLVFFVTEVGINQDSGGQFRDIINAYLEPKCHSPDSEAVQSYFLKNMSADEYILPKIAERELRRFSNLRSTSSDLGMKPSLDEMPVPCPVLAIVKQRKVQGSEYYEVSWRNIDGLQVSVVPGDLVRSACPEKITDFLENKDAQKKQKRRARPKKSGQAAAAVKDVDAQLQELLLGIESESSTFPCTANGPQTQTADRQMVAPLQDFVDLSSPSPPIRSCKIARSRKFSKSDAVTMDGIDLQHQSLLIGSMESKGNVVLCDVKNSALDHEPIDLSSPSPCAAHKPQAAPEGLPLCMEAERRALWDISNLPRKEPSCCKLEPGTGGFDVQVEESLLFRHGTGMAGEADSSQRSDGQSSEVVEPAMIDLSSPSPVKVDKSRRNDMKPDQDCEADSSQSPEHERKARELRLFLNSIRDELY >Sspon.03G0005530-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:20264314:20267795:-1 gene:Sspon.03G0005530-3D transcript:Sspon.03G0005530-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSSLARPLRPPVLAGCGGRRGHGTPRGSVSVARCRAEAAPTVGTASRAPAGPYTGRDPEVKKPAWLRQRAAQGEKYARLRESIGELKLNTVCVEAQCPNIGECWNGGGGAGGEGDGIATATIMVLGDTCTRGCRFCAVKTSNKPPPPDPLEPLNTALAVASWGVDYVVLTSVDRDDLPDGGSSHFAQTVRALKELKPGILVECLTSDFRGDLEAVSSLANSGLDVYAHNIETVRSLQRIVRDPRAGYDQSLAVLKHAKDCREGMITKSSIMLGLGETDEEVKQAMMDLRAIGVDILTLGQYLQVRSSYRAGELFVQNLVRNNKTGSSSS >Sspon.02G0034820-2D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2D:9722950:9723486:1 gene:Sspon.02G0034820-2D transcript:Sspon.02G0034820-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GFGLAAANLSLALPPARRRPPLAATAIMGRMYGPGKGMSSSVLPYARVAPGWVRSTAGEVEEMIVRAAKKGHLPSQIGALLRDTHGVPLVHGVTGGKILRMLKARGLAPEVPEDLYFLIKKAVAIRKHLDRNRTDVDAKFRLILVESRVHRLIRYYRRTKKIAPNLKYESTTASALVA >Sspon.01G0019310-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:78149571:78162717:1 gene:Sspon.01G0019310-2B transcript:Sspon.01G0019310-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQEEAKAAATRRRRRGHPLLRGCRRERYTHGLHPAQMEALRAMCGALIPSLPVTAERLHGDSDGGGRKDLERFYLASAADGTIPEEVAELVTRCVWEAVVLVNVVLWILSTKVGTLALCGRLCISGKFPYVRNGAARASVDAVTRWNKAQWWFPLKITFVIIKILSHYAFYTTDTVYHTWKKQGKIKRKQHRTALDNGSVETKALDDTALLTSLMDRGLEVKPDAPANAYHTVRCDVVIVGSGCGGGVAAAVLASAGHKVVVIEKGDYFTAEDYSSVEGPSMERLYEKGGIFCTTNVTTILFTGTTVGGGSAINWSASIRTPEEVRQEWAREHGLPVFASPGYVQAMDAVCARLAVTDGCRDEGFQNKVVRRGCEALGLRADAVPRNSSEDHFCGSCYIGCPTGEKRGTDTTWLVDAVARGAVILTGCKAERFILESNSNSGRKGRSRKCVGVVARCMSNGITKELRIEAKVSIAACGALMTPPLLRNSGLKNRHIGRNLHLHPVSMAWGYFPENKQQPQLTGKCFEGGIITTMHHVSERTIIETPALGPGCFASLIPWESGRDMKERMRRYARTAHAFALVRDRGAGTMDGEGRVCYTPNRADVEELRNGLRRALRILVAAGAAEVGTHRSDGFRLGCNGGVRDDELEAFLDEVTVAEGPMRPGPDKWALHCSAHQMGSCRMGSSPQEGAVDGRGESWEAEGLYVCDGSLLPTAVGVNPMITIQSIAFCLSKGIAETLAHGKAKRQ >Sspon.01G0042700-3D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1D:59678221:59678760:-1 gene:Sspon.01G0042700-3D transcript:Sspon.01G0042700-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAGFSGRRRQFDVACGVLSRCVKKAEAATVGKTMAAAPAAAAALTTAPPTTMLLTPGADVTPDVREEEPEPEATQLAQLTIMYGDRVVVFDDFPSYRVAELPVLVAERERPDLPGAGGTTTDIPVARKASLQRFMEKRRDRLVARGPYAARPASSESNKERRNLQAGEQDAGSSWLGL >Sspon.01G0030080-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1A:104397174:104397547:1 gene:Sspon.01G0030080-1A transcript:Sspon.01G0030080-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GMGGRASRFLLSGLESAACGNAITTSTDRARGCPWLSQGLRRLARAPNDHQQGQRRGGAMLPTDTRGREDENGRAEAGDVCDDAGASGVSGGAWWAGARSERRASGGREEQAARDGAHGRGGGS >Sspon.07G0002830-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:6397357:6399990:1 gene:Sspon.07G0002830-1P transcript:Sspon.07G0002830-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ATPPPPGCQHIPANPFDPQDPIPLASYYFPHPLDLSPRSPDQPSVKWQRGKAAARVRINGTGSARALALALAVLLACSDIAAVTAQETERIEGSAGDVLEDNPVGRLKVYVYDLPSKYNKKLLKKDPRCLNHMFAAEIFMHRFLLSSAVRTFNPEEADWFYTPVYTTCDLTPKGLPLPFKSPRMMRSAIQLIATNWPYWNRSEGADHFFVTPHDFGACFHYQEEKAIGRGILPLLQRATLVQTFGQKNHVCLKDGSITIPPYAPPQKMQTHLIPADTPRSIFVYFRGLFYDTGNDPEGGYYARGARASVWENFKNNPLFDISTDHPPTYYEDMQRSVFCLCPLGWAPWSPRLVEAVVFGCIPVIIADDIVLPFADAIPWEEIGVFVAEEDVPQLDSILTSIPTDVVLRKQRLLANPSMKQAMLFPQPAQPGDAFHQILNGLRASSRMVAMSS >Sspon.02G0031050-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:107114674:107118555:-1 gene:Sspon.02G0031050-2C transcript:Sspon.02G0031050-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEVGSEVHQFPLLAPTDEEGSSLRVIWMIAEKTKVTAFIFSSATGQWRRVTFDGWNGYYTVMLTSCRYHPHRSLYKTYGLFSEVLMLDTHRMEFSVVRTPRVHHCRLHAIVEAGEGRLGFLTIGDGMLDLYCKAWQDNGVSVEEWQHEKTIPIPLPDSDRYSISFCGIGDGYLLLRAILRDSAELEQMPGIHYFTLHLKTSLVERLCLLKKRINCAHLYANFLPPLSLPSNIF >Sspon.03G0006660-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:18322710:18328135:-1 gene:Sspon.03G0006660-1A transcript:Sspon.03G0006660-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLGGNRFWKPLQLFCSSNFQGSQVATLVKMTAAAKKSSWEKYPKDFNRWDTYMQQLKGSCSSCMRSFQKVKREHGALRQKLEAYFQLLRQAGPTGAATRPGM >Sspon.01G0055620-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1C:81916946:81917316:-1 gene:Sspon.01G0055620-1C transcript:Sspon.01G0055620-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AAAEIAGQRVALLATAVASMANTAAMSYEREKERARAVKGSAQRGRDDSDGWKLSECSVARNSGNSELEHGLGHGGRALARSGARDSVQGRRKAAARGQQQQRHGSAQLGAAYVAARRAQCA >Sspon.06G0034200-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:40847238:40847883:1 gene:Sspon.06G0034200-1D transcript:Sspon.06G0034200-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSSSLSFPLHLLRYTPSSSSSTRLGPGTVYGPSPSACLQMQPMKRLKSVTVTVTAAASYEDEAAAVGHPATTASLPRVFCVMWPTRLCRPSCGQAAAALFD >Sspon.03G0022370-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:68146667:68150936:1 gene:Sspon.03G0022370-1A transcript:Sspon.03G0022370-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAWSPPPSLRHLLLLRPRLPLGPTCPAGSFSRRHIHSRCRLFSSAASSSTLTHGDAASTHGDADGVVDVNPPRGTRDFPPEDMRLRTWLFDQCREVSRLMAFEEVDFPVLESEALFIRKAGEEITQQLYNFEDKGGRRVVLRPEITPSLARLVIKQGKSVSLPLKWFTIGQCWRYERMTRGRRREHYQWNMDIFGVPKVRAEAELLHAIVLLFQRLGITSSDVGIRVSSRKVLQAVLTMYSIPEHLFTEVCVIVDKLGKMTREEIEKELISTGLSSEAVQGIIDVLSLKSLSKLEEVLGSGVEAVADLKKLFSFAEQYGYADWICFDASVVRGLAYYTGIVFEAFDREGKLRAICGGGRYDRLLSTFGSEDIPACGFGFGDAVIVELLKEKGLLPDMSRQIDDIVFPLDEELEGAASSIASSLRKKGRSVDLVEDKRLKWVFKHAERINASRLILVGNSEWERGMVRVKILSTREEFEVKAGELE >Sspon.08G0008480-3D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8D:23326164:23329513:-1 gene:Sspon.08G0008480-3D transcript:Sspon.08G0008480-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSWSSASKTPVNSTRRPSSGRLPSISNDVDVDDEPEFTSTMSGGGSDYANYTVLMPPTPDNQPYSVGGGGGGAPSSASAGGTKPDDLPLPPYGPSASSKLVNRRGGAGADDGVGGGSGKMDRRLSTARVPAPSKSLLVRSQTGDFDHNRWLFETKGTYGIGNAYWPQDSNAYADDEDGGVGSGPVKMEDLVDKPWKPLSRKVPIPPGILSPYRLLVLVRFISLFLFLIWRVTNPNLDALWLWGISIVCEFWFAFSWLLDQMPKLNPINRAVDLSALREKFESVTPSNPTGRSDLPGLDVFISTADPYKEPPLTTANSLLSILGTEYPVEKLFVYISDDGGALLTFEAMAEACEFAKVWVPFCRKHSIEPRNPDAYFNQKGDPTKGKKRPDFVKDRRWIKREYDEFKVRINGLADLIRRRANAMNARERKIARDKAAAASSDAPVADAPTLKATWMADGTHWPGTWLDSAPDHGKGDHASIVQVMIKNPHYDVLHGDAGSHPYLDFTGVDVRIPMFVYLSREKRPGYDHNKKAGAMNAMVRASAILSNGPFMLNFDCDHYIYNCMAIREAMCYMLDRGGDRICYIQFPQRFEGIDPSDRYANHNTVFFDGNMRALDGLQGPMYVGTGCLFRRYAVYGFNPPRTNEYRGIYGQVKVPIDPHGHSGPGAAEELRPLSEHPDHEAPQRFGKSKMFIETIAVAEYQGRPLQDHPSVQNGRPPGALLMPRPPLDAATVAESVAVISCWYEDGTEWGQRVGWIYGSVTEDVVTGYRMHNRGWRSVYCITRRDAFRGTAPINLTDRLHQVLRWATGSVEIFFSKNNALLASQRLKFLQRLSYLNVGIYPFTSLFLIMYCLLPALSLFSGQFIVATLDPTFLCYLLLITITLMLLCLLEVKWSGIGLEEWWRNEQFWVIGGTSAHLAAVLQGLLKVIAGIEISFTLTAKAAAEDDDDPFAELYLVKWTSLFIPPLAVIGINIIALVVGVSRTVYAEIPQYSKLLGGGFFSFWVLAHYYPFAKGLMGRRGRTPTLVYVWAGLISITVSLLWITISPPDDRITQGGVD >Sspon.06G0022040-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:22633735:22637428:-1 gene:Sspon.06G0022040-1B transcript:Sspon.06G0022040-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEWIRQAEVWVRQAESWIRQQPPEQIYVAAAVVAVTILVLILASCLKSSKPNTIVLSGLSGSGKTTLFYQLRDGSSHQGTVTSMEENSDTFKGKVKPVHIVDVPGHARLKPKLDEVLPKAAGVVFVVDAQDFLSSMQAAAEYLYDILTKATVVKKKVPVLIFCNKTDKVTAHSKEFIKKQLEKELTAISSADISDEVQLGVPGEAFNFSQCQNKVAVAEGAGSTGNVSAVEQFIREHVKA >Sspon.07G0005890-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:15472973:15476649:-1 gene:Sspon.07G0005890-1A transcript:Sspon.07G0005890-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MWEADEIAHQRSCLRLKHVHSHRIHLVNVIVIIWYWLPKGSMSTISDQKKRTLEALQQQYTAAKAKKLQDEQVKSQKKSNFNTPKPKFDAPRKGKGPEITPRQTYAQPSPHKGFVFFIILFYATRQGEEINPAYAELSFAFHDNLSKDGVLDLDGTEVVHSVIYDIIQKGGDAGKITKGAKKLKLEKGILLDNYVQRGPRLVDAQARSLLIHSKRSKRHMSLKQHKKCGSFDLDGTFHKYDFYKPMHEMWKDYIRELMKVTPKKKLSENLLSADLHGALLIVAECKAASYEGVNGIMIQDTAETFGIISEDNRFRVVPKAGSVFILQADCWKVTLIGDKLSPREKLKEDQRQHRAQSQIR >Sspon.01G0004460-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:11051342:11058940:1 gene:Sspon.01G0004460-3C transcript:Sspon.01G0004460-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polyadenylate-binding protein-interacting protein 7 [Source:Projected from Arabidopsis thaliana (AT2G26280) UniProtKB/Swiss-Prot;Acc:O64843] VGVGKKEVPQKINVGVGRRQQVKCQRVAAIRLRTHSTHTPLYATPPSASPPARRTITLEDSYSFVCHTLCSNLTILEKRYICPSAMSIEERKISMISKSTALNPNAEEFVPSSLRSVNDSSKRSDATMVVSGPSKESSTDKPESILQSNSDEEAHQYWQQQLPDDITPDFKVLGQDESTGPDSLSLAGLSINDGIGTSIFSPNQTLSMQHRASPFIRDKLNTRPKINLSGPTYMDERSQATILSPTAGSMSPNAAPWVKTMRNGGHYNTSRRDASHYNGDSSIGASLHNLTDVYHGSRRSLSSTMDIMSQLESKVDGRLSQNLRSLSFGNSSPPSPASYAQNGLGNYSNESFGLPNSPYRSHSAILADDIVSPSAGREHISLDVPRGRYKMTSLPVPGLGSSRGSQLLGGSYNGNHDMISTNTLQNMAGIQTGPAWLESDAAASAYLESKDEVHDFASLRHAVLEQDRQAFLMGNPLTKDLTLKELYSIQTRFQMPELQGLIQEQNPPIDLCGLHVSEAIHVLNYELNNRRKIVRSTGRRLQAMIISSARTPARLTATVEQYLMEHGLQYTQVQPGLFRVLL >Sspon.01G0013170-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:42875740:42879044:1 gene:Sspon.01G0013170-2B transcript:Sspon.01G0013170-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GRTGSTRWFWRRTPPGSMVRCVT >Sspon.02G0038660-3D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2D:38494891:38495894:1 gene:Sspon.02G0038660-3D transcript:Sspon.02G0038660-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDGRRPDGSYGPEYGPVPRELEYALYHQLPSRSRRSPWPLHHGDYPWRLPEQRFTRRPFERSSSWYSSKDSSVCLLNLSFRCIKFWTLELDTIITRSIWPTDVVLRHPLQPYTPFQIHHVHDSSSSGATLNPRQRREDTGLTDEEFREAMDQLRKQEYRPPDPQKKQQGGRGILQTRSATPPSTTEEEKACTVCLETFLPGEQVAITPCNHMFHQGCIAPWVKGHGNCPVCRFALCERRNPADATNEDGGMDLELLAMVRAMEAFSRFRLFSDSTPHYH >Sspon.03G0009300-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:30633692:30641275:1 gene:Sspon.03G0009300-3D transcript:Sspon.03G0009300-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALLYLAVLPLLTFPVCSGASPWQTMTTGSHIRGEDHDKVILLSPDATFSCGFHEVGTNALTFSIWYTTSAAERTVVWTANPYSAERGGYSPVNKYGSRVSLNRDGNLILTDTNGSTVWDSKTSSGKHTTVTLLDSGNLVISDSSNKIMWQSFDSPTDTLLPGQNLTKDTRLVSGYHHLYFDNDNVLRMLYDGPEITSIYWPSPDYDAQKNGRNRFNSTRIAVLDDMGNFISSDGFKIEASDSGPGIKRRITIDYDGNFRMYSLNASTGKWDITGQAVIQMCYVHGLCGKNGLCDYLGGLRCRCPPDYEMVDPTNWNKGCKPMFLTDGNQAHEEFTFIEQPHADYYGFDLSSNKSIPIEACRNICWNSSTCLSFTYKGGDGWCYTKDLLYNGQVFPYFPGDNYMKVPKSFNNSTYSISKQKTLTCGPASSEVMLGSASMYGTKKDNINWTYFYVFAAILGALELLVIVTGWYLFFKKHNIPKSMEDGYRLVTNQFRQFTYRELREATGKFKEELGRGGAGIVYRGVLEDKKIVAVKKLTDVRQGEEEFWAEVTLIGRINHINLVRMWGFCSEGTKRLLVYEYVENESLDKYLFGERSTESLLGWSQRYKIALGTARGLAYLHHECLEWVVHCDVKPENILLTRDFEAKIADFGLAKLAKQGSTSFNFTHMRGTMGYMAPEWALNLPINAKVDVYSYGVVLLEIVTGIRASSGIMLDERQIDFLEFVQEAKHILSTGNVSDIVDDRLHGHFHAEQAIAMVKIAFSCLEERRKRPTMDEIVKVIGTGSSLQVDHGEIFLVSPDTTFSCGFYSSGEGTNAYYFSIWFTHSTDRTVVWTANRGSPVNGHGSKIYFNREGNLLLTDVNGSTVWQSKTKWGKHASVALLNSGNLVVRSSTDQVVWQSFDSPTDTLLPSQRLTREIRLVSQSGYHRLYFDNDNVLRLLYNGPDITSIYWPSPD >Sspon.06G0023670-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6D:43214283:43215927:1 gene:Sspon.06G0023670-2D transcript:Sspon.06G0023670-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANELSPYLLLLLLLALPLVVLALLARAHGRDDARSPLSRLVPPGPWALPIIGHLHHLAGSAPPHRALRDLARSYGPLMALRLGTLPVVVASSPDAAREVTRTHDVAFASRPVPPALRFLYQGAEGIIFAPYGDGWRQMRRVCTLELFSARRVRSFRAVREDELGRLLRAIAAATAAGAPVNLTREISRYLTDSAVRAIVGSRREHHDEFLRTLEEALKNLTRFSLLDFFPPSRLTTLVSRVSRMIQRRRRAMRSEVPDMDAILYSIIQEHQERRDAAAAATAGVQNDDDEDLLDVLLRLQKDMDSQYPLTTLNIKSVIMDLLSAGGETASTALQWAMIELMRNPAAMRKAQDEVRTALTGQSKVTEDGLTNLHYLRLVIKETLRLYPPAPLLLPRECRSACQVLGYHVPQGAMVLVNAWAIGRDPAHWDAPEEFVPERFEHGGRDFRGADFEYIPFGAGRRICPGMALGLAHVELALAALLFHFDWELPEGMVAEEMDMTEAAGITLPPRSDLVLVAIPR >Sspon.07G0033410-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7C:59970471:59972684:-1 gene:Sspon.07G0033410-1C transcript:Sspon.07G0033410-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLLYTLLGSLLLLCSLQHAPLHSVIVAAAANGDTLAAGQTLAAGDKLISRNGKFALGFFQFQPPVPSSSISKSTDVTTTSSPGWYLGIWFNKIPVFTPVWVANREKPITDAELKLTQLKILQDGNLAIILSGNATTTESIIWSTTTHFINRSTETSTNTTSAALRNNGNLALVAHKYPSSGSNEVLPLWQSFDYPTDVGIPGAKIGWNKVTGFKWMYISKKNLIDPGLGSYSLEIDTNGVLFLGRRNPPLVATWSWPPGNLADKLLTVLNGLLDSDPRTKGLFKPSYVNNNEEQYFTYTSLNESSSSFASLDISGQVKLNVWSQANQSWETIYAQPSDFCNTYAVCGPFTVCNGNSGPFCECMETFSPNSPQDWGLGDRTRGCARNTPLDCTTSNKNVTSSTDVFQPISRVTLPYDPRSIESATTQSQCAEACLSNCSCTAYSFNNSKCSIWHGELLNVNLDDGNAIISEDTLYLRLVATKDFQIFKKNDKIKPRAVIVASIASFGSLVLVILLMIWRNKFKCCAATLQEIQVSGGGIIAFRYIDLGHATKNFSERLGGGGFGSVFKGVLDNQTIIAVKRLDGVRQGEKQFRAEVSSIGLIQHINLVKLIGFCCEGDKRILVYEHMPNGSLDAHLFQSKVAVLSWSTRYQIAIGIARGLCYLHQSCRECIIHCDIKPENILLDASFAPKIADFGMAVFVGRDFSRVLTTFRGTAGYLAPEWLSGVAITPKVDVYSF >Sspon.03G0037340-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:92936486:92938810:-1 gene:Sspon.03G0037340-2C transcript:Sspon.03G0037340-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial arginine transporter BAC2 [Source:Projected from Arabidopsis thaliana (AT1G79900) UniProtKB/Swiss-Prot;Acc:Q9CA93] MEFWPEFLATSGGREFVAGGVGGMAGVLAGHPLDTLRIRLQQPPPPPAAGLAHAHAPRRRDTTPHPQPSAARLLRGILRAEGPSALYRGMAAPLASVAFQNAMVFQVYAILSRSLDPESSATSDPPSYASVALAGVGTGALQTLILSPVELVKIRLQLEAAGHKHGRHRRGPVEMARDILRREGLRGVYRGLAVTALRDAPAHGVYFWTYERARERLHPGCRRQGADGDGDEGLGTMLVSGGLAGVASWVCCYPLDVVKSRLQAQGAGAAPRYRGVVDCFRRSVREEGLPVLWRGLGTAVARAFVVNGAIFSAYELALRFLASGSGPRLVMEEN >Sspon.05G0000650-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:2495398:2502536:-1 gene:Sspon.05G0000650-1A transcript:Sspon.05G0000650-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQVMVVARNFMDMVAALPAGKLDMLYDSAFICEAVLRSLPPLAKKYALQMLYVLAPVTAAAMEEWVLNEYAAKHKVAIDKLLQLRVFVEVRDRRRDVSYKMNQKFQGNMQKYLVDGGSLPREPLPLSVTGRLPTPADLEAYALDQWEVRMPKLNSERCFLLQLINSSQVEKGSSFSSSMMKTFQRGLLSSRDGEASKLTENGFQFLLMETNAQLWYIMREYISSAEERGVDPTELISFLLELSFHKLGAAYSLNTLTDVQRIAIRDLAELGLVKQQQGRTDRWFIPTQLATNLSASLSDSSSNKEGFVVVETNFRMYAYSTSKLHCEILRLFSRVEYQLPNLIVGAVTKESIYGAFENGITAEQIISFLRQNAHPRVADKIPAVPENVTDQIRLWETDRNRVEMIPSHLYEDFPSKEWFEQCCDYARDNGYLLWEDSKRMRLIVRGEFHPEMREFLRRQR >Sspon.08G0017400-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:1477946:1478500:-1 gene:Sspon.08G0017400-1B transcript:Sspon.08G0017400-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHLLLPSPLPLLLHRPSKPHLLYPRRGLVSIRNTASGGSSGEPTPAAETATGTSPPAAATAKPAGVKDRLKARNQARRVQLDSPPPEVVVAPKRKPAASSSSPAPKKKERRKEWEEMSVGEKAAELYVGEKGLLFWLNKFAYASIFIMVGAWILFRFVGPSLGLYQLDAPPLPPTAVFGGGSP >Sspon.02G0044320-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:100645894:100647737:-1 gene:Sspon.02G0044320-1B transcript:Sspon.02G0044320-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFNFDRPSKIVGLNYDDDHVLHAPALRFAVTPNPFGAGTSQKDTEDGRRLIAAVGAALARRASSGRDDLEELEINFVYTSPRNRYIDMASGGLYLFRHGHAADITSEHVAAWLRFGERRVTGRFTLAVPVPPRHAKTTKTAAGPGVPVPERKKSLLSAAMPTSARAETMSLTLGNATLTVPPVAGAGAFAALTDLLLSHARIERNGNGNGTDDNERNLGRMLSASCCPRLRRLRLAHIAGLSALRCAAPAPAAATLEEVRLEHVRDMAALELDAPGLRALHVADCYRLASDDAAVAISAPRLETLACADMCRPERLRLHGAETVRRLDRIFLWSHGHPAGYSNAGAVWLLRNCTAAHSLGVHISPPVAKNWRDMEEMMSPVPQLPRISNLTIDAQWQHLEASIAKLIAKCTRIERLSIDISRPCDPCSNPRCFCAREAGWDDQKIHLERLNEVKITGLQPSDSHLSLVRRVIASAPALKRLTVELYIGKELDCSRIPCNRGHWTPCVREQSSIRAYNKVYEWTPDVEGQE >Sspon.01G0019470-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:72948519:72951191:1 gene:Sspon.01G0019470-1T transcript:Sspon.01G0019470-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRRAQPLQFPLERTEWLIRWLMSLAALLQFYWMSPKKRLAEPARGGLQKNKHSNALKRSSRDNLRMRLSHVEPRP >Sspon.06G0006680-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:24306171:24307563:1 gene:Sspon.06G0006680-1A transcript:Sspon.06G0006680-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTESTLNNHIPRVGQPYVAVGDQYAVVVLTLESTNMTSENLTLTIITPEASGSSSVVSLNSDPDTPNGSFDGVNQSAKRSGFGKYGIGFQGLNAVLARSPKEGDNGGNRMSNASGCTHLWLQSAVPLGCVPARSSTTARLELLPLTDGIVTVDTLQITASEKGIVYIPEHSLEIHATSVMLSGRS >Sspon.03G0004520-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:18057058:18060722:1 gene:Sspon.03G0004520-1P transcript:Sspon.03G0004520-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHMRWWRPGEGRTCKRCRWLGKGVAAVQLARSGDPEQEGNQGKGDRWRRQIWRVSELENEQEGTSGLDGSGRGNGGKRKSRGFRESSQNEGLRNCRPRRLSCPRPFRKARAGWRLTFVPRATDMLSAPLAGRFYWGPYDGVLANAQGVYEKQTVPGHVDDSPREHLHPSTPTAGGAKRRRSSRRVPGWRDPRKILFAFAAL >Sspon.06G0021330-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6C:15389397:15393991:-1 gene:Sspon.06G0021330-2C transcript:Sspon.06G0021330-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAASMDVEAGQRGNDKKGFYQDLLLAYKTLGVVFGGLVTSPLYVYPSMNLTNPTEEDYLGIYSIMFWTLTLIGVVKYICIALNADDHGEGDHPKSNPMKKPAISNWDQGVSICFAAGGTFAMYSLLCQHANIGILPSKKIYTEEEQGLQVPARPVVAGRPSKLRRFIERSITARRLLLLTAILGMCMLIGDGILTPAISVLSAIDGLRGPFPSVSKRLSAGILIGLFLLQKYGTSKVSFMFSPIMAAWTFTTPIIGVYSIWRYYPGIFKAMSPHYIVHFFVTNQKRGWQLLGGTVLCITGAEAMFADLGHFSKRSIQIAFLSSIYPSLVLTYAGQTAYLIKPRGRLRRRVLQVRAAPRVLAYVRHRDAGGDRGEPVAHLRHLLRGQAVGGAGLLPARPGGAHFSGDKEGEVYSPETNYLLMLLCVGAIVGFGDGKDIGNAFGVVVILVMLITTVLLTLVMLIIWGTHVLLVALYFVPFLILEGTYVSAVCTKIMKGGWLPFAISLVLALVIFTWYYGRQRKAEYEMANKVTLERLGELLAAPDVRRVPGLCFFYSNMQEWRWLTPVLAHYIKNMRSLHRVTVFVTLRYLLVAKVDAKSRIEVRRFGPRGVYGCTIHYGYADPLDDEEEDDLAGQVVRAVREHIEREAAASAAEVEEEAAQLEDARAAGVVHVRGKTRFHVGRDTGFFDRVLLGFYEFLHTTCRSALPALGIPLQQRVEIGMLYKA >Sspon.04G0037610-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4D:75201254:75203687:1 gene:Sspon.04G0037610-1D transcript:Sspon.04G0037610-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFEYEDMAEFEQKFDLLRQKFDLLRKKVTKPSWLDSIYKVKEKWAECYMKDVFTLGMRSTQLSESLNNDLKIHFKSDFDIIRFFKHFERVVQGKRNNELNSEFDSRKKLPKIRMRRPPPMLMQASNLYTPIIFEAFQGEYERSLAACTKPLDGINEYLVGDVTYDEEYKVVGDPLKQTVLCSCKQFDRIGILCGHGLKVLDLMNIKSLPPHYVLKRWTREARSGIIQDNKGRNIIENPKIDAMLRYRFMSHKFQNLAHRAANFPECTMLVDSTLDILSQQIEDKINAYTSTYEYLPTVSTDIQEVGEEVGSSGAQAEKQIANDIVYKDKEDSEDYMVNLSFTQMLTVKYFFISSRDDQG >Sspon.06G0015920-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:77965599:77978047:1 gene:Sspon.06G0015920-3C transcript:Sspon.06G0015920-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAATTDAATAARDGQTALALRLAKHLAPPPPGTEGSAAAANNVAFSPVSMHAALALTAAGARGATLAQLLAFLGAPSAEELADFGRRVADRVLANRSGAGGPRVLFGGGVWVDAARGGLTDAFRDVAAEAYKSEARTVSFTGEPEAAVKMINSWVKKATDNLIESIISTSDINADTDLVLANAVYFKGAWLEPFKPYGTMRGTFHRLDGDHAEAEFMRSGLRWLDVACMDGFKVLKLPYKRGAAPSARAAESGGGQPAGSGQLKRRRGQVAAKSKATAPSTEANEGTQYSMFFFLPDARDGLSTMVDMVTASPSFLYGILAEMKERPVMLELPKFAITFSWGDLKGDLRRLGLSLPFSPEAADLRGMCKGDDGDQVVAGAARRPTFLSKVAHTAVVKVNEVGTEAAAVTVHLRGGGGPPPDLVEFTADHPFTFFIMEEGSGVIVFAGHVLDPTNRARGAPPRARPAARPLAAWLAFRNSEPVTVEAVWVPWPSASRADLYSPLRSSSVASPWNHRAPMTFRLQLLALNASPVWHTPFHRFGTGPYPLSSKLRDSGQMPCVRIRRYRTRRKVTSNGAHDSSSPISITMAAAAATTDAATAARDGQTALALRLAKHLAPPPPGTEGSAAANNVAFSPVSMHAALALTAAGARGATLAQLLAFLGAPSAEELADFGRRVADRVLANRSGAGGPRVLFGGGVWVDAARGGLTDAFRDVAAEAYKSEARTVSFTGEPEAAVKMINSWVKKATDNLIESIISTSDINADTDLVLANAVYFKGAWLEPFKPYGTMRGTFHRLDGDHAEAEFMRSGLRWLDVACMDGFKVLKLPYKRGAAPSARAAESGGGQPAANEGTQYSMFFFLPDARDGLSTMVDMVTASPSFLYGILAEMKERPVMLELPKFAITFSWGDLKGDLRRLGLSLPFSPEAADLRGMCKGDDGDQVVAGAARRPTFLSKVAHTAVVKVNEVGTEAAAVTVHLRGGGGPPPDLVEFTADHPFTFFIMEEGSGVIVFAGHVLDPT >Sspon.05G0008350-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:25251647:25254327:-1 gene:Sspon.05G0008350-1A transcript:Sspon.05G0008350-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SMRAAVNTPPPHCYSRRRASATLLDARHVFDHAPQRRLPTLAAELRARPVAAAARRPARRPAVSAAAPTCGAASVPRLFRTFLQIPVASSTSRVRLTPSAFLPSRRNFEGNIPRSCSSSSLKIYSRSSLLNLSPSSALMVSSQLTSSDVTQRSEEWFALRKDKLTTSTFSTALGFWAGNRRAELWNEKVFGATEIKLADTARSAMDWGTHHESVAIEQYTSITGRLVGTLGFAVHTEANSGWLGASPDGVLGCEPDGGILEVKCPFNKGKPELALPWRAMPYYYMPQVQGLMEIMGRDWVELYCWTPNGSSLFRVPRDRAYWELIHEVLRDFWWGNVMPARELALLGKEDEARSFEPQPKHRLTNLVLYRSRKLASEAKLLCIDVGGHVEFFK >Sspon.03G0005330-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:21200869:21204498:1 gene:Sspon.03G0005330-2B transcript:Sspon.03G0005330-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ASTLTYACQDNRVLQICDGTGNKKKVVILGTGWAGASFLRNIDTSLYDVHVVSPRNYFTFTPLLPSVTCGTVEARSIVEPIRNIVRKRNGAFRFWEAECFKIDPTNKKVHCRSDVGTNINGNGEFVVDYDYLIVSVGARPNTFNTPGVTENCHFLKEVEDAQKIRKSVMKCFERAALPNLSEEERKKNLHFVVIGGGPTGVEFAAELHDFVNEDLAKLYPDVKKYVNISVIEAGGHILTMFDKRITQFAEEKFKRDGIDLKTNFKVVKVSDKDITMTNPATGEVAVPYGMAVWSTGIGTRPIIMDFMKQVGQGNRRVLATDEWLRVQGCDDVYALGDCATIAQRKVMMKGFHDLLKDSDGSSKELKELDIEQFKKALAQVDSQVKMLPATAQVAAQEGSYLADCFNRMKTCEEYPEGPIRIRGAGRHRFKPFRYRHLGQFAPLGGEQTAYQLPGDWQTIQLAYKDAGRIRLGEAVHLWKGL >Sspon.01G0043120-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1B:71655167:71655796:1 gene:Sspon.01G0043120-1B transcript:Sspon.01G0043120-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDGESGLEVGVGAVPHMAAVVMRGDVVGVVTARVADMKHSMDGNVTILGAVDVGSPVVATTTITNCRGKATAPALARPPAWHGRRRRASPRRSRSLASTWCSSSSCGSRSRRRWRESLPCRCRGPRTSAVVVDPATSRCTLRRCRSHLAPCRGLVRTPACSVPTGALGPVGGPHEASVQEEPVPAASTSNGASSSSVLNSAMRAACMG >Sspon.03G0014790-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3B:69580021:69582311:-1 gene:Sspon.03G0014790-2B transcript:Sspon.03G0014790-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DQVTFVEALDQLMPGFDPEIAKLAQRILINPRKIDYHTGVFASKITPAKDGKPVLIELIDAKTKEHKETLEVDAALIATGRAPFTKGLGLENINVVTQRGFVPVDERMQVMDATGNVVPNLYCIGDANGKLMLAHAASAQGISVVEQISGRDNILNHLSIPAACFTHPEISMVGLTEPQAREKADKEGFEISVVKTSFKANTKALAENEGDGLAKMIYRPDTGEILGVHILGLHAADLIHEASNAIALGTHVQDIKFAVHAHPTLSEVLDELFKAAKVNTGVSHSINEPVAV >Sspon.01G0027740-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:96931149:96935859:1 gene:Sspon.01G0027740-1A transcript:Sspon.01G0027740-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGEGGDEKKQRRRRRRLWAACLPRPGCFTVSAADEDPSAPGAGEGESKPKPTHLVVTVNGIVGSAENWRFAAKHFIKKHPEDVVVHCSGCNSAGRTFDGVDVMGRRLAEEVTSVVDSRPELRKISFVAHSLGGLIARYAIALLYESEAQKDSHENCENHAVDYSSNQRSIGGKIAGLEPINFITFATPHLGTRSHKQIPLLRGSNKLEKMAYRLSWIAGKSGKHLFLKDIEDEKPPLLLQMVTDYGGLHFMSALRSFKRRVAYSNLSLAGGHLQFVVNTSFLRYPHIVYVEGPKVVDVDFSDTMIYQAKTTTEMEEVMLKGLNRLSWERVDVSFKKSRQRIFAHSTIHVKTYFLNSDGADVIFHMIDHFIY >Sspon.08G0011800-4D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8D:48131508:48133326:1 gene:Sspon.08G0011800-4D transcript:Sspon.08G0011800-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALEGVVGIGIAKRPSQQCLDAVENAARRAEAEEGTVEQNTTPWIARKKVTALAICLVALPVLMTTVSRRDSPWTPASFWPLATFARQEKLLGGLLVPGFDERSCLSRYQSAFYRKNLTRSPSAHLIKRLRQHEALQRRCGPGTEAYRAAAARLRSWRRDGTNDGACRYLVLVPYRGLGNRILAVASAFLYAVLTDRVLLLDGNTSLGDIFCEPFPGTSWLLPPHFPISNLQNLTGDVRESYRNLVQNDSAASLASRLPYVFVDLDHSCTYHDKLFFCDDERPFLRRAPWLVMRTDGYFVPALFLNPAHQDELDRMFPRKDSVFYLLAHYLFHPTNKVWGLITRFHSSYLRDSDERLGIQVRVFDGDTPFQHILDQILACTSQEHLLPDVVTQEPPRPSTAGARSKAVLMTGLSSWYYENIRWKYWQLATATGEVVSVCQPSHEEHQLSGYTTHDMKAVAEMYLLGMTDKIVTSGWSTFGYVGHGLGGLTPWIMFRPENHTTPYPPCRRAKSMEPCMHGPPFYDCRAKHGADTGKLVPHVQHCEDMSWGLKLVHP >Sspon.04G0017800-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:7269538:7274627:1 gene:Sspon.04G0017800-3D transcript:Sspon.04G0017800-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding IKMSASSSVAVSKKASSLVVAASMSAVEALKDQAGLCRWDYALRSLYNRAAAANKVVVTGRAVPVSLSSSQAAASTPAAAGRAARPRRSEEEKLHKAYFQLQTVVDPGARQPWAPDQALTPAHNRTFVASPFLQSFKICATSFAALSFAEALFE >Sspon.08G0016080-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:63771708:63774124:1 gene:Sspon.08G0016080-1P transcript:Sspon.08G0016080-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAFALDKICGSGRSADTTERRVMEAQSSTGFAQEDSRLCTCRTDLTFDFVVVEPELWYGDRTRLTPKQEEAALGLSSTCGSGIPAYICTMKKSNVVKRQMAFSRQFSKRYIFARLGTYGSETKVFAGRDLFGSKLNFSMVHGELRLLGGWPLFVKNHRIEAGHVCAFMFEEEEEGDLSLRVHVLGTVPLPTI >Sspon.01G0057030-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:96795582:96798131:-1 gene:Sspon.01G0057030-2D transcript:Sspon.01G0057030-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATCRKMARVDVAELKQRLVKRLGRERAAKYFAHLTRLLNLKLTKVEFDRLCLATIGKENIALHNALIRGIIGNALSGVPPPSRQAVTGQSGTTTAPSGQCVGVALPVVGNVGAVLDSGDGELARERGRQSGRWCPWKMERRWSSGMSVRRRLDDPVASCYDSGHLLDTSSLCEGLQRRLHSNGTGVTVQAVDALNRGLDEFLRRLIKPCVDLSRLSCRLVVRGLHLMPMDSNGDSA >Sspon.01G0002250-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1C:6170146:6173255:-1 gene:Sspon.01G0002250-2C transcript:Sspon.01G0002250-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPWPWVILARIPRVVAGEAPADGEGETEVEADVQAEGVDEAEAELDADAQAEVEEDAQAEGIDEAEAAAHDSEAEVEEDTQAEEVDEAELDSEEEVEEDAQAEGVDEAELSSEEEDEEDEADVEHRADFSIPVALPPWITVMTAAPTAHPVRNSPDMYPYVLGVGSGCILFNFSVEPFYGVCFADSPYQSNLIMVRHFDCSGVEQGHPATGTAERIPPRHGKYAVVANIESIGFVSIGHGKAYFIAELMVHKGRRRAMLVYFSAGNNSWRQETTQNPLPNDTNRDGEWVPSGVVSYKKILWWFDLSWGIISLDPFHDLEEPPLRFHRLPEDRALHKAPPNIHDRRCIAESQGGLRYVEIITPEGEAATVSMWSWIPAVDGNDETIAWDMEYAMSFAGIWEHESYKATQLPRKVPVLVVVSPSDANLVYFALELEERLFSVNVPERRVVEFVEDSYELVTPWPAAPSCRYVLPWFLPLDVAQAVGMDPFAGSDAEQLQAEYDRLREKLIVETDVEEEEEVEVDWDLLGPVVLEDLPEITDSELPVDESSEEETTLSVAMDPETLARLKAEAKKFAKDHEGPQSQDPGPGDDAGEGAF >Sspon.03G0037650-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:100176085:100178949:-1 gene:Sspon.03G0037650-1P transcript:Sspon.03G0037650-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCRMPEGREEAVKKKFGGLMPKKPPLISKDHERAYFDSADWALGKQGVAKPKGPLEALRPKLQPTRQQQQQRARRSIYTSSENEDGDSAGAEDMSIN >Sspon.06G0017480-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:95111807:95113662:1 gene:Sspon.06G0017480-1A transcript:Sspon.06G0017480-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDENKKSKNSKKPRTISLVSRTSSIAPADEINTELVNQSCSWGCSSEDDSIGACEEFVLKQSTSLRGRSQSSKDLQSLYAKRRRERINERLRTLQQLIPNGTKVDMSTMLDEAVQYVKFLQLQIKLLSSEDTWMYVPLAYNDMSMDLSPNVAVNQS >Sspon.06G0010060-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:54601640:54609751:-1 gene:Sspon.06G0010060-1A transcript:Sspon.06G0010060-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRFTARICVIEALKLKGLYKGTKKTEMTLAVCSRTNDVVEPMIKPPSGLLIVKPWLRWLENISDWCVSRQLWWGHRVPAWYVTLEDDPEKILGSDNNRWIIARNESAANLEAQKKYPGKKFLLTQDPDVLDKWFSSALLPLTVLGWPDDTADLHAFYPTSVLETGHDILFFWVARMVMMGAQLNGDVPFQKVYLHPIICDTHGRKMCNSLGNVIDPLEVINGMTPEGIAKCLEECNLDPTVLNIATERKLNEYPDGIAECGTDALRFALISYTSQSVRINLDIKRVLGYRRWCNKLWNASRFAMGKLGDHYSPPATVDVSLMPPICKWILSALNKATGRTITCLEAYKFSDAASAIYSWWQYQLCDVFIEAIKPYFFNDPQEFESARVASRDTLWVCLDTGLRLLHPFMPYLTEELWQRLPQPKYSCRQASIMISEYPSFVEEWTDDNLEHEMDIVLDAVKKIRSLKPPTERNKRRPAFALCRGQDIIATIQCHQSLIVSLSSILRLKIMTENDETPADCATAIVNKDLSVYLQLQGTLNLEAEHEKLRKMSVEIQNRQLALAQKMDAFGYREKAPQSVQEEDMRKLTAFLEQLFVISEAEKKLNAKTDND >Sspon.07G0020530-4D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7D:66971215:66971528:1 gene:Sspon.07G0020530-4D transcript:Sspon.07G0020530-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGGSTAAPPALPLLLLLVLSSSFACRCLAAPPGALVTSVPGFAGGKLPSKHYAGYVTVDEAHGRRLFYYLVESERDPAKDPVVLWLNGGPGCSSFDGFVYEH >Sspon.03G0018500-1P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7D:39939251:39941630:-1 gene:Sspon.03G0018500-1P transcript:Sspon.03G0018500-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MILVWYLLNIYFNIYNKLVRRFVPFLRSFPNGVLKAIPFPYTITTFHFASGSFFITLMWLLNLHPKPRLSSNSLGKVAVSFTHTIKAMEPFFSTPSLLVLGSLVPIVGGVVLASMTEVSFNWIGFWSAMASNLTNQSRNVYSKKILADKEDSLDDINLFSIITVMAFLLSAPLMLYVEGIKFSPSYLQNAVSYSLLARVSPVTHSVANSLKRVVVIVSSVLFFRTPISPINALGTGVALAGVFLYSQFKKLKPKTKAA >Sspon.03G0000370-2P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3D:6719923:6721908:-1 gene:Sspon.03G0000370-2P transcript:Sspon.03G0000370-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPPPDGQEKVIAAAQHIVKSLASSKNAADDMIRILSGFDNRLSLMSDLFPPPPSAAAVDSILETDEGTSQGEGDDPDPDPDEDDAVARAEAEWDAAAEVIERWESPAAGDALVFDSREDAEEYLSAAACLAGAPGPRADAALQAAMARLEVEFRHLLVRGAPPLAAEDLQASLLRRLSLTVPSFNSSAVDLDCPSFAQHHAAANEGGDEQPGGRSSASDDEISPYLIAPDTVGALRDIADVMLRAGYAPELCQVYGEVRRDTLMECLAVLGVDKMSLEEVQRVEWSVLDGKMKKWIQALKVVVRGLLAEERRICSQILAADPNAEEECFTEAAKGCVLQMLNFGDAIAIGKRSTEKLFRILGMYEALAEVLPELEGLFSGEAKDFIKEEAEGILVRLGDAVRGTIEEFANAIQGETSRRPLPGGEIHPLTRYVMNYVRLLADYNASLNTLLECWDTELTGDDNPNMTPLGHCVLMLITHLQCKIDEKSRLYEDEALQNIFLMNNLLYIVQKVKDSELKTLLGDNWIRKRRGQIRQYSTGYLRSSWTRVLACLRDDGLPHTMGSSSQLKAALKDRFKNFNLAFEELYRTQTSWRVVDPQLREELKISISEKVLPAYRSFVGRFRGQLEGGRGSARYIKYNPDDLENQVSDFFEGRKPNA >Sspon.03G0014850-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:67516234:67516602:-1 gene:Sspon.03G0014850-2C transcript:Sspon.03G0014850-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQSFCDAVAGTAAPAATRRLKLKRPSASLMKARKLRNKAAGGKRPGAAASRKRVMAIRRKMEALRRLVPLCGDDGVAGREPGGERLDELLLHAAGYILRLQMQVRVMQVMVHALNHLPED >Sspon.08G0021020-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:29183922:29187977:1 gene:Sspon.08G0021020-1B transcript:Sspon.08G0021020-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSGERPKLVRGLRQESRRFRLLVIVVGFFLVSLTFVVISKPDAILFSLNGKLPVDQAPTSILIQQKVDSPPATTLRTSTDALREQSSVEFSRLRFIFENH >Sspon.03G0015440-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:73245846:73252088:-1 gene:Sspon.03G0015440-2B transcript:Sspon.03G0015440-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAPCCEKVGLKKGRWTKEEDEILTRYIKENGEGSWRSLPKNAGLLRCGKSCRLRWINYLRADLKRGNITEEEEEMIIKLHATLGNRWSLIAGHLPGRTDNEIKNYWNSHLSRRAAGFRDGVVVNVDLSKLPGGGKRRGGRASRGIVAAAKSAAAKGKKGKDDMGKKNVAAQAEQQQQLKDKEEEEDANVSTTPRPQSDCATAAQSEEQAQASASGLTSDGPEEEDTLALSEEMVSALLAAPGSPKLEAGPDSVPSGDSVGGPGGPSGDVAQELLDLDDNAIMDWDLLGLDISAADDMWDPLLWYYDDETLVPGPEGGGEGHQQQPQQQDEVMSDLFFLDNL >Sspon.05G0031590-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:6046855:6047880:-1 gene:Sspon.05G0031590-2D transcript:Sspon.05G0031590-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLSVSETEVQCYKDVRAKFEWGRGARAHGCNSEPRPSCRRAHRPSHRRQYVSGFERGHRPTLCELVTERLDPISILRFPAVCTGWSAACEENPRLHPGAPALLTSGLDPDGCEIESNVDAGAFGLHDVSAGGGGKSFLGEAEGLKGRTWVGGKNRWLVTTDCGCDVELLNPVTGARVRLPSFATIREVEVPSYLHVRTTRGGHCHKILKVALCRTPAHPDGHLAVAVFSEGLLAFTAAASGGGTGECRWTALKNPAAASRADVSYMDAIVLDGKLFAVNELGRIYSWDLDGGATTEPAVVQGPEIDEISRHDHDLLDLGPQ >Sspon.04G0028590-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4B:66578450:66580251:-1 gene:Sspon.04G0028590-1B transcript:Sspon.04G0028590-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLGLDGGDNLLPSPWNSLPLLPHGFRSPSRHQTKRWATGTGQPAASSPSRPNPRDDVIYLRLARDRPLMPLPHWHARDPPVTSPTFLRRSTGQAMNDMGQITTPSPITAWGRAAGHYAIHSGTIRKIQACATYETPL >Sspon.03G0021340-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:65505348:65505731:1 gene:Sspon.03G0021340-1A transcript:Sspon.03G0021340-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSWNHLSGKIPQQLTSLTSLSWLNLSYNNMTGKIPQGNQFLSFPNTSEGNAGLCGNPLTTQCDGPDSTAPTISQEHSGLWQDRLEAILLLTFSGLGFGVGFTLAIMFRRFCHKEGWVAKHLCIRM >Sspon.05G0007450-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:17254605:17266956:1 gene:Sspon.05G0007450-2B transcript:Sspon.05G0007450-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAALEAARAKDTKERLAGVERLHEALDAAARRGLAAAEVTALVDTCMDLTRDANFRIAQGGLQALSAAAVVAGEHFKIHLNALVPAAVERLGDGKQPVRDAARQLLITLMEVSSPTIIVERAGSYAWTHKSWRVREEFVRTVATAIGLFASTEISLQRVLLSPVLQLMNDSNQSVRDAAISCIEEMYKHMGSQFHEELQRHNLPSYMLKEINSRLDKIEPKVRSSDTAMQYKAVESRSVSANPKRGSPRTKSIPRESTLFGGDTDVTEKPVEPVKVHSEKELLREFEKIAATLAPEKDWSLRIAAMQRIEALVYGGAIDYPSFLMLLKQLVPPLSTQLSDRRSSIVKQACHLLNILSKELLGDFEPCAEQFIPMLFKLVVITVLVIAESADTCIKTILRNCKVARILPRIVDTAKNDRSAILRARCCEYALLVLEYWADAPEIQRSADLYEDMIKCCVADAMSEVRATARTCYRMFTKTWPERSRRLFMSFDPAIQRIINDEDGGVHKRYASPSLRDRVVQPSRASSHSSGTHVPGYGTSAIVAMDKSAAISSDSSFPSNNLRLSQSKTIGRSSERSLESVLSSSKEKVSAIESLLKGVSMSGQNFSAARSTSLDLGVDPPSSRDPPVLLAAPASNVLSLQNSALLDSSLPTIPPSSRNGGSRLLDTMTTHMPIKERSRSPYLSNISSESMSGISLPYSRRSSERLQEGGRMDESYDIRSTRRIPQMHLERNYVDMSYRDSSHRDSHNNHVPNFQRPLLRKQVMSRASASGRHSFDDSHVPSGDVSGYTDSLASLNDALSEGLSPSSDWVARVSAFEFIRNLLKQGQKGIQEITQNFEKIMKLFFRHLDDPHHKVAQAAFSALAEIIPACKKPFESYVERILPYVFSRLIDPKELVKKPCSVTLEIVGRTYAIDMLLPALVRSLDEQRSPKAKLAVLEFANKSFSKYTVDSDGYSNSGFLKLWLSKLAPLVNEKNAKLKEASISGIISVYSHFDSTAVLNFILSLSVEDQNLLRRALKIKTPRIEVDLVNYLQSKKERPRPKSYDQVDFGTSSEDGYALTSKNSYPFGRFSSSSLDAEGGKKINSMQEPVLHNVSIGRTASDMSMDHAIQSLESSTGAEVHLTRSREPKTNSNSVVEAARSWTNYPEKTDASLDGETATGTPRLDFSRFLTSDRHNTVGSTTEESVQEGDMIVNLSSIKTSLQTDNGLSIPQLLHQISNDTEVSSSEKREALQQLVDASLDNNSSIWAKYFNQILTAVLEVLDDSDSSTRELALSLIAEMLNNQKDSIEDSMEIVLEKLLHVTKDAVAKISNEANQCLNVLLAKYDPFRCLAIVVPLLVSDDEKILVVCINCLTKLVGRLSQEELIDQLPTFLPALFDAFNNQSPDVRKTVVFCLVDIYIMLGKAFAPYLEGLSSTQLRLVTIYANRISQARSGKPIDSNQ >Sspon.02G0010850-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:33727571:33730014:1 gene:Sspon.02G0010850-2C transcript:Sspon.02G0010850-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotide-diphospho-sugar transferases superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G53000) TAIR;Acc:AT1G53000] MQISVPSSNSSPSSASGARLWVLHGLALGAATAAGAAAYLYRWPSGFRSRAVGIIPARFASTRFEGKPLVHILGKPMIQRTWERVMLASSLDHVVVATDDERIAECCRGFGADVIMTSESCKNGSERCCEALNKLEKHYDIVVNIQGDEPLIEPEIIDGVVMSLQRAPHAVFSTAVTSLKPEDAFYTNRVKCVVDNQGYAIYFSRGLIPFNKSGNVNPKYPYLLHLGIAGFHSKFLKIYPELPPTPLQMEEDLEQLKVLENGYRMNFVHDELVRFECHYVFNVCSSISFCMVIKVDHDAHGVDAPEDVEKNRSTDAGKKLSAENEEKVSALHCYRHRVGVRLLVVLSHFLNSMSSLKFVLAKL >Sspon.08G0004490-3C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8C:13327547:13328368:-1 gene:Sspon.08G0004490-3C transcript:Sspon.08G0004490-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIEGGAEGVIVGGTTGEGHLMSWDEHIMLIGHTVNCFGSRIKVIGNTGSNSTREAVHATEQGFAVGMHAALHINPYYGKTSTEGMICHFEAVLPMGPTIIYNVPSRSAQDIPPEVIVAISGYPNMAGVKECVGHERIKHYADKGITIWSGNDDECHDSRWKYGATGVISVTSNLVPGLMHSLMYKGENAMLNEKLLPLMKWLFSQPNPIALNTALAQLGVARPVFRLPYVPLPLEKRAEFVRIVEAIGRENFVGQKEAQVLDDDDFMLISRY >Sspon.02G0006320-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:19359582:19381383:1 gene:Sspon.02G0006320-2C transcript:Sspon.02G0006320-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEARLAGPGFAAAAAIGSKGHVTGSQPQRRCSSIGLLWLLMKARLRAVLAASAANTVGAGCEAMVIGSRGQRYLCAGANNVAAHNYRGGFKVLDCATGSNVMDSSCEVCCPPCHVSGLVYFDPLAGLLFIVWSWPVRQLALDFGESSSLREVEKDRRAATKVDDGEEHGVLYQEDLAVISGMDGAARHGHGCPPANGAKEATQWRGRADKHGSHWLSPQCLVARISLDLRRPRRRDLQLTALGKKEVGGGKQVASCVLEIPEKYVVHFQIKTWKYLPVTLSFVFLERKLIVHCVIRPNQDPQENMLGPYCVWFNSISPRPRPASGDDGLEVELVTNSDLDLEAASTVAPAARDAPCLRRVRRRPTAATFSGFRLARVVTQTLRQMNRGQQKLKDGDMAIFSMEDWSRELPLVDSSTTPKLKTSDLIERRPSDTYSGAMYPLEKERMWTLSSQKNKRVQRTAKEVHRAPKTAAPILPLPIFRRLSAMMPSRQARQWSGGMSCVHCASPYTVRSLSPDDDHGASPTAHLPDRPAAASASALSSSVTRWSNWSATERGTGSMLVTSTHSGALLKLARKFLSEKRRWYSSE >Sspon.02G0057030-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2D:42632857:42633563:-1 gene:Sspon.02G0057030-1D transcript:Sspon.02G0057030-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding PRVDSGERHARTTMTSGPARGISEVAAKRAGRSRGGSDTLSSCLLRSVGWQCGDVGTGSMHWVARAMARGVDRRSVEADVQVTDLIRSRVTLCVEASDLGGVCVGVEGRSWSWAASESGVFRVGHRESRRYGKQKFHARIVLFQILGSGAA >Sspon.05G0026480-1P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:5C:38191569:38191952:1 gene:Sspon.05G0026480-1P transcript:Sspon.05G0026480-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRWDSEEGAAADEAAAAAAVRLANKIRKRRAVSSSGGSDPAAGRRLRSRRPAVLLPRRRTSAGGDMSESSRSRHCRGGGNRLADGTRPSASARRLVDAFWQNMDSGLLEGTRRRRAGAWFCGAAPPR >Sspon.08G0008280-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:27593451:27609765:1 gene:Sspon.08G0008280-2B transcript:Sspon.08G0008280-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLFVLATSIRRNTGEVLSSFGHNPPDSTSENDVYYGEDEDNMISSSKQDQVHWAGSVSSQFPPRNKHLRYRTRVFAAECVSHVPIAVGAEPAHFDLLLARSAISKETYLSNDWLVLKLQELVSLSYQISTGQFEGMQPIGVKLLCLIMDKFGKAVDPEFPGHILLEQFQAQLVSAVRMAISTASGPLLLEAGLELATRVMTSSIIGGDRVALSRLFSLITRPLSDIEGLFYPSFADWVVCKCNTYQFLRMKENAPNEYQQLVPSLVNSSTLLGKYWIRALKDYVSISFGLHSKINVRRSNEPQQFIFKDHIIIKWFIFYPLLQYKPFLDGIQSLLVSSKVQSYLDEVWLLIFQATALDAAPVEFDENKPENLLEQTFISGHCMVKLDRSEFQFLWGLSILVLFHSCQSVKNNSLKINLDSRQDKKFGEFVVHRLDNEKPCDQVLSVLLSLTTEVFFSNNFLSVDICQELLQALTYADCSSAPIIHLFTQIIRFCPDNFFEVEAFVSSALELLSRYLGVILQCRDGSSQNHSSNTLISELSIASETMACRIKGEYLWKLMMLVVSTSHQSFQQVSTNLCLSNIISFLQNILPFMRKCFRERAESDGECTSPKVVFGAMVGLVGYFYMECDKKISLLENKISDSYKLLTKILLFCLGEATALAKLVPEIVYQSENVSNNDVLLWGSFRLCVQVIQGSLRSTNIQMHMLGLHVLRSYAQKELTEGSETKMDSFMMLLTELLGDLFLVMQTTLKECSNKESVSVIDECLKLLFLFHTLAQSKRYQQDATTLLLEALLMIFNLSSDTVSQELAEVNTISRKLFSHFIQIPSVAIQIKDIMLSAPPERRLQLQDMVRASVSQGQITVPAAMSAHSEQNIQDSSSKNPGSTAGGSDCVATHGKNENEIDDDWDDDWDAFQSLPASANDGVDSGEISLTTRYNEQTPQESSSHGSSSVDITTGAMEDITCVDKELEEPSDLQFSSTEPQAKHELPGSSHEDCDELERHPSVDCKEQLAHNETADELPQVHEDIDQVIEDCAEIHGIEVDVHDDIVEDDSPINSNNLSDITEDESKGWDNASRVDGKFVKDDSREELSGSSDADTRF >Sspon.02G0045210-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:105754325:105754999:-1 gene:Sspon.02G0045210-2C transcript:Sspon.02G0045210-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKDGGEERSVAPLWVSLLSVQSSSGLEDSVRFWFDLPLYRIRPPRINQGAPEPLEPVEGFVPLCHAACVTLLEEWVMVSRPLARAASRSPSSLGRSGCWVPAWPLAWTRDGAEDQARATIDREPGARESPQVPSRG >Sspon.01G0012740-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1A:34380786:34381139:-1 gene:Sspon.01G0012740-1A transcript:Sspon.01G0012740-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRHDLLYQSLIVSVLTIALIRVLKLFLTRPRKVRLPPGPWKLPVIGSMHHLVNVLPHRALRDLARVHGPLMMLRLGETPLVVVSSKEMARKVLKTHDANFATRPRLLGGDIVLYSTA >Sspon.08G0014400-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:59042045:59045310:1 gene:Sspon.08G0014400-1A transcript:Sspon.08G0014400-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTVWPLLLVATALCCASPAARAGSCKFPAVFNFGDSNSDTGGFWAAFPAQQGPRCVVWPTAQAMGLPLLSPYLQSIGSDYRHGANFATLASTVLLPNTSVFVTGTSPFSLGVQLNQMKEFRNRVLASNGNNGQLPRPDKTRDSVDSTVHPYLCLDLYNIGARNFMVFNMAPIGCYPAFLTELPHDSNDLDEFGCMKSYNSGVTHYNELLNNSLAEVRKKLQDASIVYVDKHTVTLELFQHPIAHGLKYGTRACCGYGGGTYNFNQDVYCGNSKVVNGKTATAWACGDPQDYVSWDGIHATEAANNKIAYAVISGSYSHPPLDLSKL >Sspon.02G0028920-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:105118991:105131071:-1 gene:Sspon.02G0028920-1A transcript:Sspon.02G0028920-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFLVLLCLVASSSELIPLVHGQLVDDSPEHYRTVRYFPVASGSGAAHRSCYTLRPVAPGGRYLVRAAFYYGNYDGLYRLPAFDLHLGANRWATVHVTAVDGVYILEAVALSPSDFLQPFSTTSHLRFQPIPVLASRLSTVQVPLRSVRPPLAKVVSQAGFATDGDDTWTNITTSATVDVSNASSNFDKPSVVLQSATTPVNTNRIDFRWAPDPALSSDSDNSKYLLLLYFAELQRLPSGSLRQFDILVDGETWDGSRRFTPKYLDAEVVKRSVQGSGQHAISLVATTNDMLPPVLNALETYSVQPMTKITTDDADAKAMMTIRMTYALKKNWMGDPCAPKEYAWAGLNCSYSSSGPARITALHLSSSMLTGAVDSSFGDLKSLQYLDLSNNSLSGYVPDFLVQMSSLTFLDLSSNKLRGSVPAVLLEKHKKGSLVLRMANNPDLCDNGASTCESENKKHNRTILIATTIPIAVTLFVAAFYVLHRMRKKQVIDTWMENKSRFSSPRDRINVFENREFTYTEIKLMTANFREQIGQGGFGPVFLGYLENGSPVAIKMRSKTSSQGDKEFLAEAQHLTRVHHRNLVSLIGYCEDKKHMAIVYEYMHGGNLEDHLRGEASAATPLTWHQRLKIALDSAQAELKESLELEASYAMGYYSSAPSSTLDLSAATKAMMTIRTNYALKKNWMGDPCAPKEFAWDGLNCSYPSSGPAWVTALRLASSGLTSAIDSSFGDLKSLQYLDLSNNSLSGPVPAFLAQMPSLTFLDLSSSDFSGSVPADLLEKGQNGSLVLRMVLLCEMKKESNRTLVIEIVVPIAVATLLFHFLSLIESESLKVTLHKETTTSALAEDCSCNTSYDLVALETDRTQFTHKELKLMTANFREEIGRGGFGPVFRGYLDN >Sspon.03G0026510-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:3035316:3038996:-1 gene:Sspon.03G0026510-1B transcript:Sspon.03G0026510-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRILLLESLTCMHSHSRTVTEIFNFSQDDLLTEDMMILDTHGEVFIWIGQCVESKEKQKAFDIGQKYVEHANSIEDLSPYVPLYKVMEGNEPCFFKTYFSWDNTKSLGAPRSSGNGGPTQRASALAALSSAFNPSSQQRLSNERPKSTGDGPTQRASALAALSNAFNASLKPNKTPPPSRSGQGSQRAAAVAALSSVLTAEQSGSSENLRAKASSTADKTDVDRVVITPAGPSGPSSPQSEAGESNVFHQEKDAAVDGAPSGTDGAVAEAPLEETTENVGEATFSYDRLISKSTDPVRGIDYKRREAYLSDSEFQTVFGITKDAFYQQPNWKQELQKRKADLF >Sspon.08G0004180-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:12082047:12084787:1 gene:Sspon.08G0004180-1A transcript:Sspon.08G0004180-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSQHQIVYQQAQQFHQQLQQQQQQQLQQFWAERMAEIEATTDFKNHNLPLARIKKIMKADEDVRMISAEAPVVFAKACEIFILELTLRSWMHTEENKRRTLQKNDIAAAITRTDIYDFLVDIVPRDEMKEDGIGLPRAGLPPMGAPADAYPYYYMQQQQLLKSSSLCMKVAENVAQKLSPDSDLMGRGKVEMKRIENKVSRQVTFSKRRKGLLKKAEELAVLCDVDVGVIVFSERGKLFDYSSPARRSPTRNSSIRRHTALTIRYADPQQMAAEISKLQHECEQLEASLKTYTGEDLSSLTSVVELGELEQQLESAVGK >Sspon.06G0017260-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:93954559:93956100:1 gene:Sspon.06G0017260-1A transcript:Sspon.06G0017260-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIFECADDPENKPKTIVDVGCGIGGSSRYLARRYGAQCTGITLSPVQAERGNALTAAEGLSHKVSLQVADALEQPFPDGMFDMVLSMESARVAAPGGTIIIVTCCHRNLEPSETSLKPDELSLLKRICDAYCLADWCPPSDYVNIAKSLSLEDIRTADWSENVAPFWPAVIKLSLSWKGLTSALTCGWKTIKGAMGILLLIQGYRKGLIKFTVITCHKPRAP >Sspon.01G0000840-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:2768524:2769117:-1 gene:Sspon.01G0000840-3D transcript:Sspon.01G0000840-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPRLYPTGSGSDDRRDAAYPGSERRLYNPYQDLNLPYRQLYDLPTSPEFLFQEEALAQRRSWGENLTYYTGVGYLGGAVAGAALGLRDAARGAEPGEPAKIRANRVLNSCGSSGRRVGNTLGVIGLMYAGIESAMVAARDRDDWINNVVAGLGTGALFRAANGPRSAVVAGAVGGVLAGAAAAAKQVGKRYVPAL >Sspon.07G0016400-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7A:58131892:58139524:1 gene:Sspon.07G0016400-1A transcript:Sspon.07G0016400-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLASVTCEAVTCRRFCRLSQSGGRREPGRPLAGSPAAGTGTREPNAGQHMRRRNQGRRAQRQVARDRAVTVGRRDSSGRGLRLRVEGNSEEPSWGCACGRLGWEAGRLLGFPRVGWAIGLAGYFMRFFMELVPRGFGPRPGLQPGQPGAQIRPCWFPTTNYHTNLLKPSAPNQCLPHSIKKTPVYTYSREFCVSVTTQFRRTRRVQVLSAVPVFLAGLPPSLPPCRGLEACRISWGVENTVSRLISRADKLSQIGGTCAAIPPALHLPPHAGFPSAPRPSLDIRPPARRPPDPFGSPPDSDSDSDSTHRLCLGGVNPLVFGFGDEVSQASPPARPPAGDMKKIFGAKKNKDPPPSIQDATDRITKRGDTVDEKIKKLDAELARYKEQIKKTRPGPAQEAVKARAMRVLKQRRMYEGQRDMLYNQTYNLDQVAFAAEGLKDAQQTMTAMKGANKELKGMMKTVKLEDIDSMQDEMMDLMDVSNEIQETLGRSYNVPDDIDEEELMGELDALEADMDFESETVPSYLQPEQESELNLPAAPTGPAAVPANQQQEDELGLPTVPQASIRT >Sspon.01G0061390-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:93309650:93310594:-1 gene:Sspon.01G0061390-1P transcript:Sspon.01G0061390-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AMNDLMTKSFMSYVDLKKAAMKDLEAGGDGIELPESGAGGVTDERLRGFFEEAEAVKAEMAAIRDALDRLHAANEEAKSLHQADALRAHRGRVNADIVAVLRRARDIRARLESLDRANAAQRRLSAGCREGTPLDRTRTAVTAGLRKKLKDLMLDFQALRQRMMSEYKETVERRYYTLTGEVPEEEVIERIISDGRGEELLGAAVAEHGKGAVLAAVHEIQDRHDAAREVERSLLELHQVFLDMAVMVEMQGEKLDDIESHVANASHYVQGGNKELGKAKEYQRSSRKCLCIGIIILLLLVLLVIVPIATSLRRS >Sspon.07G0017380-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7A:62701556:62702962:1 gene:Sspon.07G0017380-1A transcript:Sspon.07G0017380-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRGSFFRIPTDEARAILDRILEAEMDNTLHDETYEAEVDTLPNSSPTSAIPSFEPQKEEIPPPDFMLDIESDLFADFGNISNYHSIDKPQSGHYSICLPSEYQLRELISVMSSEWLEESELSSEVIRLDTPPITIRCAYDSDQFDALYNPVVGINIMSEAFALKLFGKLILTPTTKFMKESSGRLVPSLGIVNVLPFMVEGSMIHLNFYIFDTWDFDLLIGQPFRRLLYEGQTGKLHISFGKDFKFPMTISHSLNNKIESSLLPDPMEEVKAASLELLNESDLEEEAPFFIEEEAEPSEPEPLDEFAETPRPPIELKTLPPGLIYAFLNNNPEFPVIISNKLTQEQTLRLMTVLEKHHSVFGYSLQDLTGISPMICTHRIPTDPSVSPSREPQRRLNNAMREVVKKEVIKLLHAAIIYPVPHSECVSPVQVVPKKGGMTVVTNEKNELIPQRTVTGWRMCIDYRKQN >Sspon.01G0029040-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:101063590:101067110:1 gene:Sspon.01G0029040-1A transcript:Sspon.01G0029040-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLPLLLLLALAAVAAGAAGGGTDTDSDADALLAAKAALSDPTGALASWDAASSDHCAWAGVTCAPRGSGGVVVGLDVSGLNLSGALPPALSRLRGLQHLSVAANGFYGPIPPSLARLQLLVHLNLSNNAFNGSFPPALARLRALRVLDLYNNNLTSATLPLEVTHMPMLRHLHLGGNFFSGEIPPEYGRWPRLQYLASPGTSSPARYRPSWGTSPASGSSTLATITVTPLGNLTELVRLDAANCGLSGEIPPELGRLQNLDTLFLQVNGLTGSIPSELGYLKSLSSLDLSNNALTGEIPASFSELKNLTLLNLFRNKLRGDIPDFVGDLPSLEVLQLWENNFTGGVPRSLGRNGRLQLVDLSSNKLTGTLPPELCAGGKLQTLIALGNFLFGAIPDSLGQCKSLSRVRLGENYLNGSIPKGLFELPKLTQVELQDNLLTGNFPAVIGAAAPNLGEISLSNNQLTGALPASLGNFSGVQKLLLDQNAFSGAIPPEIGRLQQLSKADLSSNKFEGGVPPEIGKCRLLTYLDMSQNNLSGKIPPAISGMRILNYLNLSRNHLDGEIPPSIATMQSLTAVDFSYNNLSGLVPGTGQFSYFNATSFVGNPGLCGPYLGPCSAGIAGAGHIAHGHGGLTNTVKLLIVLGLLICSIAFAAAAILKARSLKRASEARVWKLTAFQRLDFTSDDVLDCLKEENIIGKGGAGIVYKGAMPNGELVAVKRLPAMGRGSSHDHGFSAEIQTLGRIRHRHIVRLLGFCSNNETNLLVYEYMPNGSLGEMLHGKKGGHLHWDTRYSIAIEAAKGLCYLHHDCSPLILHRDVKSNNILLDSNFEAHVADFGLAKFLQDSGASECMSAIAGSYGYIAPEYAYTLKVDEKSDVYSFGVVLLELVTGRKPVGEFGDGVDIVQWAKMMTDSSKEQVMKILDPRLSTVPLHEVMHVFYVALLCTEEQSVQRPTMREVVQILSELPKPSTKQGEEVPNSGDGSASSPLHPAPVGTNEAPTVEARDQQQQTSSLSSPPPDLISI >Sspon.01G0045560-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:94181042:94181784:1 gene:Sspon.01G0045560-1B transcript:Sspon.01G0045560-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AMEGVVVLLNCFVSPFGNRVRIALKLKGVAYEEKAENLAAKSPLLLSSNPVHAQVPVLIVDGKPVCQSLVILDFIDDAFSAAGKPLLPADPYARAHARFWASYVDTQLNEAGVRVWRSPKGAAAAVEEAKKDTVAALKTLEAELGGKPYFGGDALGYVDVALVPFAPWFLTYERFGGFSVAAECPDLAAWAERCARENACVAESLPEPEHVFQFVCGMR >Sspon.03G0010570-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3A:28799550:28800846:-1 gene:Sspon.03G0010570-1A transcript:Sspon.03G0010570-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPVTKLILDELNKRLTEHKHKWNLRFAELEHESKDSTDATASRIQALEHTTQGPVVSRR >Sspon.01G0007960-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:86754894:86756951:-1 gene:Sspon.01G0007960-2P transcript:Sspon.01G0007960-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLSLQHPMAFAFGLLGNIISFMTYLAPLPTFYRIYKSKSTQGFQSVPYVVALFSAMLWIYYALLKSNEFLLITINSAGCVIETLYIVMYLLYAPKKAKLFTAKILLLLNVGVFGLILLLTLLLSAGQHRVVVLGWVCVAFSVSVFVAPLSIIRQVVRTRSVEFMPFSLSLSLTVSAVVWFLYGLLIKDKYVALPNVLGFSFGVVQMGLYALYRNATPRMPAKEVADDDAKEATMSIDDSTLKVPGEHVVTIAKLTAAPAGALQPPEEAKDKAKPAENGTAASPGRNADQV >Sspon.01G0049440-2D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1D:96901212:96902071:-1 gene:Sspon.01G0049440-2D transcript:Sspon.01G0049440-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ILSDSQRRAHYDSYLRSQRRVVQKHPRPSQFVYPSGSGSGIVVPRESNVVEWLKWYRLTIDDIVTKKRVATGSGYFDRLESELYTAIHAAYYGPDVDSMDVLPDCFEAEERSVYENSELLHLVSGRDLFGIVSVADSVKELPDVCHEKLAPSGCRSSEFTPKVSRNVNKDSISMNFVDMNKQEMRHENDIPSSDAFKDIELRIFGKLVATATRSPKCDCIDKSDVEDHIHVYLVPNTDLSDLTQGNLLLGTITGLATTGEEGSCCVYDGHGIKTHVITKHRTLMV >Sspon.07G0006780-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7B:15651667:15654162:1 gene:Sspon.07G0006780-2B transcript:Sspon.07G0006780-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding PRRDGQLARRIGEATALEVRATGIHWTFAPCVAVCRDPRWGRCYESYSEDPEIVRSL >Sspon.02G0018850-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2B:62080006:62083221:-1 gene:Sspon.02G0018850-2B transcript:Sspon.02G0018850-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAATSCLAPSPRLTLAASSRRQGWHRRRPAVHRCAAAVDGRKTTVQSKAGDALEVCRVVNGMWQVSGASWGRAQPAAAVDAMLRYADGGLTTFDMADICKEFQIISDSSQASFPPREDPIQAAQSPKLNSRPLGQQRLIPSRPSPWRARTARTNGSPMSSTAARAVPPAAAAPPPLPRRLRPARCTAGAAAAETATAGPTRVTTVSNRGDSLAICRVLNGMWQTSGGWGRIDRDAAVDAMLAYADAGLSTFDMADHYGPAEDLYGMFINRVRRERPPEFLEEIKGLTKWVPPPVKMTRSYVEDNINRSRKRMDVAALDMLQFHWWEYSNPGYLDALKHITDLKEEGKIKTVALTNFDTDRLQIILENGIPVVSNQMVDAWGGWSLFQTLLQTLKKVSLKHGVSIATVAVRYILNQKSVAGSMVGVRLGLSEHIKDTNAIFSLELDEEDMNSITEVSKKGRNLMDIIGDCGDEYRT >Sspon.08G0016170-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:58363242:58367393:-1 gene:Sspon.08G0016170-2B transcript:Sspon.08G0016170-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATRFSFRSLLPLPLPLLLLLLSVLGASARNEEDARALAALKRALDPTGRVLGSWDPSGDPCAGSFVGVTCGRDGRVTAVSLQGRGLSGSLPPAVAGLRRLQGLYLHYNGIKGPIPREIGKLSELTDLYLDVNHLTGPVPVEIAAIVNLQVLQLGYNQLTGSIPPQLGNLNKLAVLAMQSNQLAGAIPATLGELTQLRRLDLSFNNLFGSIPSKIAEVPLLEVFDVRNNTLSGSVPVGLRRLNGGFQYMNNKGLCGAGFSLLELCPSSEDGLTPSKPEPFGPDGTVKTRQIPQSANPDNCSGSRCSKSANASEGVLIVAVVAVVIGAAFCGLFAFSWYRRQKQKIGSSLEVSDSRLSTDHFQQKEACRRSASPLISVEYSNSWDPLSGGAGVGSSGEVGDSFRFNLEEVECATQYFSDVNLLGKSGFAATYKGILRDGSVVAVKSLNKTSCKQEESDFLRGLKMLTLLQHDNLVSLRGFCCSRGRGECFLVYDFMVNGCLSQYLDVKDGSSATVLDWPIRVSIIRGIAKGIEYLHSKKSSKPAVVHQNISAEKILLDHHFAPRLSVPGLHKLLADDVVFSTLKASAAMGYLAPEYATTGRFTDKSDVFAFGIVVLQVLTGRRDVSQLKVGAAAVSDLGGLVDGNLNGAFSRAEAAKLAAVAAYCTSESPSQRPTMEAVVQQLV >Sspon.02G0007720-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2A:22572855:22573682:1 gene:Sspon.02G0007720-1A transcript:Sspon.02G0007720-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable rRNA-processing protein EBP2 homolog [Source:Projected from Arabidopsis thaliana (AT3G22660) UniProtKB/Swiss-Prot;Acc:Q9LUJ5] MVALANEEALAHDEDIMDDADSDVEESDSEDDSGEEAQAKSSEKAIYNKEAILEKLEDIAWPENADWMHKLTIEHDQGEKVDVNDDLARELAFYTQALDGTRKAFEKLQSMKVRFLRPTDYYAEMVKTDAHMHKIKGRLLSEKKRIEEAEERRKARESMKKAKEVQAEKKKERTKQKKEQIESVKKWRKQRQQGGFTKGNDDVPDLNFEGEEGFKQSKKKRPGVSPGDRSGGLAKRGKEGKNRRARDSKFGHGGRKGLKKQNTAETTNDFRSFNQG >Sspon.04G0026840-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4B:51322924:51323673:-1 gene:Sspon.04G0026840-1B transcript:Sspon.04G0026840-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGILSLDKSDVFDIFKKFIKRVQNEFETTIKKVRSDNGSEFRNTRVDELCEELGIKHQFSAKYTPQSNGLVERKNRTLIDMARSMLNEYNVSHSFWAEAINTACFYSNRLYCHKFLEKTPYEILNGRKPNIAYFRVFGCKCYILKKGTRLSKFEKKCDEGFLLGYSTTSKAYRVWNLASGLLEEVHDVEFDETNGSQDEENNLDDVRGTQLTNAMKEMDIGDIRPKQVIDVDDNKDQVLQSPITQASGL >Sspon.08G0017260-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8B:978019:983967:1 gene:Sspon.08G0017260-1B transcript:Sspon.08G0017260-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCLIDPATIITKLNGKKLQLGGSVEKGQKPKDNSQIKGRQRLSSPHHTQLQPKLPTTFVHPLLFPTHYSFLANLWASKNSVGHRSPHYHREHLRLVCALFLNHGRTLHRRRMPHPLSFPSQATTSGHAEGRPRLNGRHGTARVRQRRAAELFSTATSNDCGPTRGDRSGLHNGDRDSTTITNKSSLRPAPPRPSPGLTQAPLLHHGLLSPARTSSIPPQSPCPDIWIDVRVWTLTQPAPVRLCLVPRVHSASVSAPAGPSPDPSLSDSPCGLLLGSRDEQLRTSTPQRVPTCSTPIRWPPGCFAPHPHSPDSPRPNNEREVEAGRFWPSGWEPAASRGVRAPPSPVALVGVLGSTREDLRRHGTLPTTTTMRRHQAFNGGVGAAVTLAMATTISIQLAVLAAAGALRPTTTAVPAVYVFGDSLLDVGNNNYLPGADVPRANMPYYGVDFPGGARPTGRFSNGYNVADLVAKAMGFKRSPPAYLSLSRGSGRPHRLVARGIGGVNYASGGAGILDSTFAGKNIPLSKQVRNFDATKAQIVLKLGATTAKHLLSKSLFLIAIGTNDMAAFATSSANNGQMQSHAVVAAFYSDLISNYSATITGLYGMGARKFAVINVGRIGCAPIDRLQSPTDACDDGADALAAGFDDALGSLLSSLAAGDHRLDGLTYSLGDLYGLMQAIIADPPAAGFADVDSACCGGGRLGAQSVCGQPNSTLCGDRRRHLFWDYGHPTKRGAEVIVSAFYDGPEQFTAPVNFEQLVRA >Sspon.03G0014720-4P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:51105055:51109151:-1 gene:Sspon.03G0014720-4P transcript:Sspon.03G0014720-4P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPQISRRALGLLLVLAAAVVSPAAADEVVALTEADFEKEVGQDRGALVEFYAPWCGHCKKLAPEYEKLGASFKKAKSVLIAKVDCDEHKSLCSKYGVSGYPTIQWFPKGSLEPKKYEGERSVEALAEFVNSEAGTNVKIAAIPSSVVVLTPETFDSIVLDETKDVLVEFYAPWCGHCKHLAPVYEKLASVFKQDDGVVIANLDADKHTDLAEKYGVSGFPTLKFFPKGNKAGEDYDGGRDLDDFVKFINEKCGTSRDSKGQLNSEAGLVASLNPLVKEFLNAAGDKRKEVLSKIEEDVAKLSGSAAKHGKIYVTAAKKIMDKGPDYTKKETERLHRLLEKSISPSKADEFIIKKNILSTFSS >Sspon.05G0020280-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:86412584:86435350:-1 gene:Sspon.05G0020280-1A transcript:Sspon.05G0020280-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPSASSAAPAAEQTDAERMDALDRMLTRLALADDARLAPVLARVLPYAVTSLASPAPAVRKLVMEILSHINKRVKHRPEISLPMLELWKIYTESTSATMVRNFCIVYIEMAFERLPTEEKGNIAPDLLINISNVPAQHQGIILRLVTKAIGECNTHKADETIASKYRVITETKDGLVFAEFCFHTLLYQAPPQGSGCPAGLSVAQSERVTGKQPLKIDVLASRKLGILNVIEAMNFAPEIVYPLYLSASSDSQEPVSKKGEEFLKRKASTVNLEDPNLIKRLFTLFNGTVGAENIAAELKVSPAHASLRMRLMSVFCRSIAAANAFPLTLQCIFGCIYGSGTTARLKQLGMEFTVWVFKHAVTDQLNDKTDMAIRLFMALRLEDQSLRLTIQEAATSLATAYKRASTIVLKDLEALLLENCEAEQSEVRFSAIRWATTLYDTQHCSSRYICMIGASDVKLDIREMALAGLNLMNDGRQSSAGSGDFSYPDVKEMINYICCQRPQLLHSDEQRNGKLLFPSKTCVSMIKFLMKCFESSDSSNLLQDPSDSAVAKMCVILEHAMSYEGSSELHALALKSLVDLSSREPKLVSLRYAERINWLRTLLGHVDSDAREAASRLLGIASSALSTSSALSLLSELTSTLSQNRPSRFENYHGVLCAIGYLTAGALKQSYISEDMVKNVVDILVKVVISEGSTLASVAMESLGHIGLRCALPSINQNSSTGTLLSVLRERLTKLLSENDTKAQQKILVSLGHISWNEMSFPHLNDALDLIFSLSRSKVEDVLFAAGEALSFIWGEVPVTADVILETNFVSLSQATNYLTGDASLVSSNSYEKSGCEEAHSVAREEIIKKLFETLIYSSRKEERCAGTVWLVSLTMYCGRHKKILELLPQIQLTQDLASQGMSIVYELGDASMKEELVHALVNTLTGAARKKKAIKLMEDSEVFQEGTIGNNPTGGKLSTYKELCSLANEMGQPDLIYKFMDLANYQAALNSKRGAAFGFSKIAKQAGEALQPYLHTLIPRLVRYQHDPDKNIQDSMAHIWKLIVSDPKKAIDEHYDAIVEDLLVQSGSRLWRSREASCLALADIIQGRRYSQVCKHLRKIWTTTFRAMDDIKETVRTAGDSLCRAVSSLTIRLCDISLTSTSDANETMNIVLPYLLSEGILSKVPSVQKAAISLVMKLAKGAGPALRPHLPELVSCMLECLSSLEDQRLNYVEMHAGNVGIKTDKLESLRIAVAKDSPMWETLDICIKIVDKNSLDLLVPRLAQMVRSAVGLNTRVGVASFITLLVQKVMVDIKPFTPILLKLLYSAVLEERSSAAKKAFASSCATVLKYASPPQAQKLIEDTTSLHSGGKNDQLSGAILIKAYLSNASDILGGYNAVVIPVIFVSRFDDDKDTSALYEELWEDIPTSERVTLTLYLPEIVSLLCDGMSLSSWAGKRKSAKAIKKLCDILGESLSAHYQNILKSLLKELPGRFWEGKDAILDALASLCSCCHVAITAEDSSLPSVILNAVCAACSRKSKLYREAAFLCLHKVIAAFRDPGFFNSVFPMLYEVSNQSVISKTKGSAGAELDESEGASISLDKVLNCATSCISVAFPQDIINQKKNVLELILNSLSPEESWQVKLSSFLCIKELCLKFHSSGDSSTWPQDTACLVQELFHLVSPKLVDSIRLVKIAQVHIAASECLLDLSKLYRDFPLLDRTEAKFEDELTELCESEKSEQAKTILKECLTILKTLPGVTMTTD >Sspon.02G0033930-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:2137608:2140769:1 gene:Sspon.02G0033930-1P transcript:Sspon.02G0033930-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMGLEDGDYGAFMEKFELLPSQSQQQLPLHGLTFAVKDIFDISGRVTGFGNPDWARTHAPAGATSPVVLATLAAGAISIGKTIMDEMAYSINGENAHYGTPTNPCAPDRVPGGSSSGSAVAVAAKLVDFALGTDTGGSVRVPAAYCGIFGLRPSHGLVSTENVIPMSQMFDTVGWFARDLSTLSHVSNVLLPLPADNTIRRPTHFVIPKDCFEILGSLNDQTYQILNASVAKKFGNDAVDNRNLGEFISSNVPTVGKFISDFSRSEAASVPALSVISYVMRCLQRSEFKANHAEWVNTVKPNLGPGIRERVYEAIASEDGPMEDFHVLKTEFKLALSALVKDDGILAIPTVPGSPPKLRMEAVALENFRARAFSLLSIAGLSGFCQLSIPLGVRHGVPVSVSLVACHGADRFLLSVAQELYETLKEETGKAWSSPDSSL >Sspon.06G0008950-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:38983871:38987294:-1 gene:Sspon.06G0008950-2B transcript:Sspon.06G0008950-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding NTSTKLESHFDILLFDRSICQDYTSTLKMMMGLLAFESHQGLWNSGYYSQLFGIGGVLITLTILMLSTGYFGGIGAPFAPYFWPYLGQVPKKRKCKRPVRVYMDGCFDLMHYGHANALRQAKLLGDQLVVGVVSDEEIVANKGPPVLSMEERLTLVSGLKWVDEVIPNAPYEITEEFMNTLFNKYNIDYIIHGDDPCLLPDGTDAYALAKKVGRYKQIKRTEGVSSTDIVGRILLTFKQKDADTDLNVAVAEKAGEKSNDEVKSQLSHFLPTSRRIMQFSNGQAPSPGAHVVYVDGTFDLFHAGHVEFLRSARQLGDFLLVGVYDDQSIRDRRGCRPIMHLHERTLSVLACRYVDEVIIGAPWEVSKDMITTFNISLVVHGTVAEGNSAGEIDPYAVPKSMGIFQTIRSPKSITTLSVATRIVDNHEAYKKRNLKKKASEDRYYTQKKFVSGD >Sspon.01G0024380-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1A:87390840:87394275:1 gene:Sspon.01G0024380-1A transcript:Sspon.01G0024380-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVARMMRWPRPPAARKFRARLVVRRAEGLPPPPPSPAPATAAEPASPERLAAAGAAPPRAVAVAAEVRWKGPRASALGSLRRAAVRRNRTREDAGAAWEEEFESVVTLAAASQREGAAFHPWELAFCVFSVSVSSSLDSLLLSAPVVHTPHNNAANYTDINKGPKNKPSILGTASLNLADYALTAGETIEIILPLSVPGGAPEPAPSLHLTLSMVELRAFQEASDASQRPTATLPLSPSSGDSLPGGKDEVSVIKAGLRKVKILTDLVSTRRPKKTCQDEEGGEDKFCVNSDGAEYPCDTESLDDDLDDIVQEDEFGDSTIRKSFSYGSLQSVNYVEKVLPSTTETGLLTAKRSILPWRKRKLSLRSLKAKGEPLLKKAYGEEGGIKRRRWISKRYVSEFGDDNFVIGNWELKEIISRDGHMKLSSQVFFASIDQRSERAAGESACTALVAVIADWFQANQNMMPIQSQFDSLIREGSLEWRNLCENETYRERFPDKHFDLETVLHAKIRPLTVSPSKSFIGFFQPEGDDDMSGFDFLNGAMSFDNIWDEIARAAEFSSSDSPNLYIVSWNDHFFLLKSEHDAYYIIDSLGERLHEGCSQAYILKFDNNTTIHKVPGEKKPSSPDSSGPLKDSSGSESSSTDQDSGNDTEEDVLVSKGKESCKEYIKSFLAAIPIRELQVDIKKGLMASTPLHHRLQIEFQYTQSSPKETASATQLLTMAAPFEFSWPEPPPTMEVALTPAVSVV >Sspon.02G0004410-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:16544055:16546783:1 gene:Sspon.02G0004410-3C transcript:Sspon.02G0004410-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLFVESLPGPKVFKCKYCRVHSASPDAILSKDFRGRHGRAYLFDSVVNVSLGPNEDRYLMTGLHTVNDIYCSCCQQLLGWRYEKAYNEDQKYKEGKYILEKNMMLKEGW >Sspon.06G0001510-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:2729161:2739213:1 gene:Sspon.06G0001510-3C transcript:Sspon.06G0001510-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASQARVPPLPPSRASSRRDLIFPEIELKVAATKEGSEGHGSYIFLQGQPASEGASAVGGGGLLALHQFASPIADSPGRQRLPLDLKPPSHHMT >Sspon.06G0035120-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:67295034:67296157:1 gene:Sspon.06G0035120-1D transcript:Sspon.06G0035120-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LMILNLANNLFKGEFPSYMDLSYNNLTGRIPSGSQLGTLYAANPSMYTGNIGLCGPPLENICSSIDAPKQGHSTRTEEDPGLEFFYIGLGSRLYMKRWRIAYFRLFRRQNICACDCFLGRTD >Sspon.03G0013250-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:37025574:37033376:-1 gene:Sspon.03G0013250-1A transcript:Sspon.03G0013250-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLPPPTDAPDAGGPGAGGSGRGLRRGVGFRSLKLVSVAMDETLPVDPVGATYGRLANGLTYYVRSNPKPRMRAALSLAVKVGSVVEEEDERGVAHIVEHLAFSATSRYTNHDIVKFLESIGAEFGACQNALTSSDETIYELLVPVDKPGLLSQAISVLAEFSSEVRVSAEDLEKERGAVLEEYRGGRNAAGRMQDSHWALLFEGSKYAERLPIGTEKVIRTVTHETVKRFYQKWYHLSNMAVFAVGDFPDTQAVVELIKEHFGHKAPAPHPPPVIPEFPVPSHEEPRFSCFVESEAAGSAVVISCKMPAGGIKTVKDYKDSLAESMFHCALNQRLFKISRRKDPPYFSCSSAADALVCPVKAYIMTSSCRERGTVEALESMLLEVARVRLHGFSDREISIVRALMMSEMESAYLERDQMQSTSLRDEFLQHFLREEPVVGIEYEAQLQKTLLPHISSVEVAKFAENFSTASSCVIKIVEPRAHASLEDLKAVVLKVNSLEEEKSISPWDEEQIPEEIVAQAPEPGTIIDKVEHPGIGATEMILSNGMRICYKYTDFLDDQVVFTGFAYGGLSELSEAEYTSCSMGSTIAGEIGTFGYRPSVLMDMLAGKRAEVGTKVGAYMRTFSGDCSPSDLETALQLVYQLFTTNVEPREEEVKIVMQMAEEAIYAQERDPYTAFANRVREINYGNSYFFKPIRISDLKKVDPIRACEYFNSCFKDPSAFTVVIVGKIDPAISLPLVLQYLGGIPRVQDATQPLSRDDLRGLPFKFPATIIREVVRSPMVEAQCFVQLAFPVVLKNTMMTEDIHYVGFLSKLLETKIMQVLRFKYGQIYSVNVAVFLGGNKPSRTGDVHGDISVNFSCDPDISSKLLEFTDSEFLQVDFVLEEISYLQVEGPSEEDVLTILEIEQRAHENGLQENYFWLDRILRSYQSRLFSGDIGSTFAFQEEGRIKVRDALTPETMQSALQRVIPFPCRNQYTVVILMPKSSCWASLKSMLSWSSNGVSRDAKHAEPENHFLADSCWHGWCTGVGSEFVEILT >Sspon.04G0005490-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:15812364:15816483:1 gene:Sspon.04G0005490-1A transcript:Sspon.04G0005490-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMKTLACCRRRPQDFSIDMDQEPDRVMTYNGLETCIINSSTYDDDSAISATTGGDGCVTTDSLDEVSSCSSKDAFGSSFSSHCLSKQEEHSLDELGTPIAIHLLPFKGKKPITYTLSASDIENMKEKFAKLLLGDDTSGGARGVCAALALSNGIINLSATVFGELWKLEPLCEDKKIRWRKEMDWLLSPTTYMVELVPTKQSGADGCTFEIMTPKARSDVHVNLPALQKLDTMLIEVMDSMIDTEFWYEESGNRADGRGKITGPRKSKKWWLPSPRVPEEGLSQFQRKRLVFQAKLVHQILKAAKSINEQVLFHMPIPAAVMDALPKSGRASLGEDLYQAITTEYIPIEEIFVSLSLKTEHSVLETINRLEGAVFAWSQRILEERSKRSPGRHSWSFMKDSSSELDKMSACIERVDTLVQLLKSRYPNLPPTFIDVLKVQYNVGSCWGAFSILSRVAEILLEDDLIKKPNTPMATLKFDLSSDVYLAGITETPPGHIRRSLMDQISMVDGRFDAVKKKGVKQLRW >Sspon.03G0037730-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3C:415121:418625:-1 gene:Sspon.03G0037730-1C transcript:Sspon.03G0037730-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEAMRTIAFKVRTASCGGTACVNSFGDEQLAVDMLANKLLFEALEYSHVCKYACSEEVPELQDMGGPVEGGDTDNRPKIEVFVISRNAEVAPAEADLSLVIVVLVGTNQHVVLGAPFLGVPHFRGHAPSMLGSIAPTRVNPMMLEAELAVQSSVVLPISRANDPTTSSSTSIDGCSSGQSSPAHPPPAIMMEQARFVDAELDTPASMALVMPIDDFIANFRKPCGFSVAFDPLDGSSIVDTNFTVGTIFGVWPGDKLTGVTGGDQVAAAMGIYGPRTTYIVALKDCPGTHEFLLLDEGKWQHVKDTTTIGEGKMFSPGNLRATFDNPEYDKLINYYVKEKYTLRYTGGMVPDVNQIIVKEKGIFTNVTSPTAKAKLRLLFEVAPLGFLMEKAGGHSSDGKQSVLDKVINELDERTQVAYGSKNEIIRFEETLYGSSRLAAGATVGAAV >Sspon.04G0008040-8P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:23826676:23828819:-1 gene:Sspon.04G0008040-8P transcript:Sspon.04G0008040-8P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MECENGRVAATNGDSLCMATPRADPLNWGKAAEELMGSHLDEVKRMVAEYRQPLVKIEGASLRIAQVAAVAAGAGEARVELDESARGRVKASSDWVMNSMMNGTDSYGVTTGFGATSHRRTKEGGALQRELIRFLNAGAFGTGTDGHVLPAEATRAAMLGYSGIRFEILEAIVKLLNANVTPCLPLRGTVTASGDLVPLSYIAGLVTGRENSVAVNAAEAFKIAGIQGGFFELQPKEGLAMVNGTAVGSGLASTVLFEANILAILAEVLSAVFCEVMNGKPEYTDHLTHKLKHHPGQIEAAAIMEHILEGSSYMKLAKKLGELDPLMKPKQDRYALRTSPQWLGPQIEVIRAATKSIEREINSVNDNPLIDVARSKALHGGNFQGTPIGVSMDNTRLAIAAIGKLMFAQFSELVNDYYNNGLPSNLSGGRNPSLDYGFKGAEIAMASYCSELQFLGNPVTNHVQSAEQHNQDVNSLGLISSRKTAEAIEILKLMSSTFLIALCQAVDLRHIEENVKSAVKGCVMTVAKKTLSTNSTGGLHVARFCEKDLLQEIEREAVFAYADDPCSANYPLMKKLRNVLVERALANGAAEFDAETSVFAKVAQFEEELRAALPKAVEAARAAVENGTAVIPNRITECRSYPLYRFVREELGAVYLTGEKTRSPGEELNKVLLAINQGKHIDPLLECLEEWNGEPLPIC >Sspon.08G0002420-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:69666585:69668615:-1 gene:Sspon.08G0002420-2B transcript:Sspon.08G0002420-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHGAEGRVVAAASLAAARRTLRAGVDKSRAVGHALARAGPRLEEIQAALPALEAAVRPIRAPRAELAAAGPHIDRAVGPAAAVLKVFDAVHGLEPPLLAPGAAGSGAAGDLPGYLAVLAQLEEAHRFLADNCGLAAQWLADIVEYLGDRDLGDPRFLADLGVTLDELRTPPAGDLDGGLLAAALGILEAEFRRLLADHSAPLAMPQPGAAAGSAAPSRVPAAAVHKLTLILDRLVANGRQDRCVAAYIDARGGVVSASLRALGLDYLRDPSQDAQALGPALESWGRHLEFVVRRLLESERQLCAKVFGQHKDAGSACFAEVAAQARVLEFLRFGRAVADAKKDPIKLQRLLEVFDSLNKLRLDFNRLFGGKACAEIQSQTRDLVKLLIDGAVEIFEELIVQVELQRHMPPPVDGGVPRLVTFVVEYCNRLLGEQYRPVLGQVLTIHRSWRKEVFNDRMLVDAVLNIVKALEANFDVWSKAYDNATLSYLFMMNTHWHFFRHLKATKLGEVLGDVWLREHEQYKEYYLSMFIRESWGALSPLLNREGLILFSKGRATARDLVKQRLKTFNSSFDEMHCRQSSWVIPDKDLRERTCNLVVQTIVPTYRSYMQNYGPLVEQEGNASKYVRYTVDGLEKMLSALYVPRPRRAGSFQIKHSSGNIASAMTGLHRSASAVK >Sspon.08G0024470-2D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8D:65664523:65667456:-1 gene:Sspon.08G0024470-2D transcript:Sspon.08G0024470-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDFDETDEAFFDKLVNSDDDDDDPPAAAAVAGGLAALSLTDQPDAAPPREPEPERGSSPEVDPLHPEAQARPPEPVPDPAATATVGADPSGDLLPEDGEAFFGAAAVPGDHQGLQASPSPPPSLPAGTGDVSAPDRTVSGQTESNASSQLDSSTAAEGSGDQSANAQLESTDPRYLESLYPGWKYDDATHQWYQVDTLSAQSYAAQNAGAAMAGHGSDDVLQQQQFGASYLQNTSHAETGLQHRQPTSQPETEASNQPAVAPRKEEAQHEPVPAHTEVGYGASNASSQFDSSTTAEGYGDQSANAQLEYTDPRYLESLYPGWKYDEATQQWYQVDTLNTQTYAAHDTSGAAADQASGSVQQQQQFTGLYLENTSHAEPALEPTEPTSESKPQLEPAAAPPETEVDDQPAVAPQKEERADHEPMPVHTKPEAEEIPADAGDTAAAKEGGSPGSEKGIHTAIKQVQWNDFGASTGGGGADLFGDLLPDGAEDDFFGGTVPGNQGVQSSLIGAKNVGAPDHSFSAGVDNSAMISAGHSFSGGVDKNANSHFGSSGSSAGYGDQSTNAQLDSTDPKYLESLYPGWKYDEATQQWYQVGTHSAQSYVADNTGATVALGSDYAQQHQQQFSASYLQNNLHAALETIAEESSTNATSWAQGGTNTGPTEYPPNMLFYAEYPGWYFDTSTQQWQTLESYQQSVAQVATTAAASDGLAGAGHSVANYTEDSYASSYNQQSQWQPNLLGNTMQPDVSGGRSLLGSSYSSNQQAGNQIGQQANAESLQSSINYEPNHIETFMPSTGQYTGSEGNQASYKGFEPFTGNQSWYKGLEHSTSKKLRYKGFEPSTGFQNSRKEFQPPKDHQADHMADEPLTKAGYVSSNGVANTQNFVPKESIYKTQVHDDSTAHTHAASNYWGTQTSMGIAQQQLIGTNGPSQQFGFSPHEQRSSAGRPPHTVVSFGFGGKLVVLKETSSMAANFDSGNQ >Sspon.02G0013740-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:39074934:39076532:1 gene:Sspon.02G0013740-3C transcript:Sspon.02G0013740-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEELERQRDLKETYKARLESTQEYLRFCLEVAQEHGFLHLISDAARPPPQQQSPHCDTEAEPATTVDADDADEDDDPAEAPPCDDPYLAATRDLAVQHGWSVVPDEIELHEVIGRGTTADIHRATWRGLDVAVKWVRPEFFRSNPGGEAFFAQEADVLSRQRHPHVLHLLGACLSPPDSCFLVTELLSGATLGEWLHGGRERRPRQRASPPPPPLVDRIALNVANGTLRPKLPERDAYPTALTDLICRTWDAEPSSRPSFTTITLALREIKQQIVQHREYNQHSNYA >Sspon.02G0001790-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:5780078:5784411:-1 gene:Sspon.02G0001790-1A transcript:Sspon.02G0001790-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRRRHALAPVVEELISPMNSLDDGCLMHIFSFLSPIPDRYNTALVCHRWRFLACHPRLWLRVERPIRDVMEPGVYPNLEAAVSAARPGDTILIAAGGSHVACNIQIKKPICIIGGGDLPDDTVLTCSRGFDNALEFLSTCKIANLTIRAELGCCLLHRSGKLTIQECLLQCEQNPLDYLSFPIISTAIEYNSFPSLKEQGHGVTVVRTRIEGGAKAVRTNGTLALQRVRAIYSRSSVFFWFESKNDE >Sspon.02G0022460-1P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1B:108421353:108422093:1 gene:Sspon.02G0022460-1P transcript:Sspon.02G0022460-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAERGGERGGERGGFGRGFGRGGRGDRGGRRGGRRGPRQEEEKWVPVTKLGRLVKEGRFNKIEEIYLHSLPVKEHQIVETLVPGLKDEVMKITPVQKQTRAGQRTRFKAFVVVGDGDGHVGLGVKCAKEVATAIRGAIILAKLSVVPVRRGYWGNKIGQPHTVPCKVTGKCGSVTVRMVPAPRGSGIVAARVPKKVLQFAGIEDVFTSSRGSTKTLGNFVKVLLRSYSYYDVMLLVFYTFAILISE >Sspon.01G0006580-3C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1C:15669113:15669550:1 gene:Sspon.01G0006580-3C transcript:Sspon.01G0006580-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPGDVSHQLDQHDQAFCKDDEMLDDDDTDFGSSLGPIVSWDRMAAECEDRKMLMGDSPKCDKWMLKDDVGDFDAKSILNCGDDDGVEDSKVIKPLMHDDTMVTDPSLVGIHVEGFYTGPKWYDSPGLDSNVDGGDSSFRHGGIV >Sspon.03G0000940-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:2536663:2540995:1 gene:Sspon.03G0000940-1A transcript:Sspon.03G0000940-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMEAVESNGSHGAADRRTPKRDRRIFWACAFILANYCFQCIAYFAVLTNLVNYLKDRLHEGSKAAANGVTNWLGTSSITPLVAAFLADAFLGRYWTIALFMVISVVCSAARRRVAKQAHPPGLVAVLLFCFRHVRTQAYVVLTVSAVVALESAAFYAGLYLLALGGALQPVLSSFGADQFDEEERGRQSSFFNWFYLSINVGSLVGGTVLVWVQSSVGWGLGYGIPALFSVLAVAVFLAGTTTYRRHQPPAGSPLTRVAQVIVAAVRKCGVQVPEDASTLHECEDVDGMSAIQGSRRLAHTDQFRFLDKAAVETAADKAARPSSAWRLCTVTQVEELKCVLRLLPVWASGIIFAAAYTQMTTTFILQGDTLDPYVGGFRVPAAVLSVFDTLSVMLWVPLYDRLVVPLARRATGHERGFTQLARMGVGLVVLTLAMLAAGALEVARRGVIARHGMYDTNTGAGRYLPLSIFWQVPQYVVVGASEVFTFIGQMEFFYDQAPDAMRSLCSGLSMTSFALGNYVSSALVTVVARATARGGRDGWIPDDINRAHLDYFFWLLAMLCVGNFGVYLLIARWYTYKKTVDLI >Sspon.03G0004890-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:72413083:72414065:-1 gene:Sspon.03G0004890-2B transcript:Sspon.03G0004890-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGGAIIFDYIPARRRVSAADFWPDSEADAEDSHASHTPAPERGKQLCFPPLAKKAKRGRKNQYRGIRQRPWGKWAAEIRDPVKGVRVWLGTYPTAEAAARAYDRAARRIRGAKAKVNFPNDTSPTVTGPTAPASGAAAAAQAPAVLPAPKVEAQTQVSDEVKELSEQLMAYENYMNFLGIPYMEGGNAAAGATTAAAAAVAEEAQVPAPAGLWSFEDCYYPPSLSLFTE >Sspon.01G0050390-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:923334:928542:1 gene:Sspon.01G0050390-1C transcript:Sspon.01G0050390-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDGGEHGVWESGEWGSYRPGAVKRRAKRLRLSDDNTSGFLVVEIRIAPVTSGPSGSLTDLLKQVLDILHEPANMDAITQHMDMGNIYNTIDDFHFKSAVFEWHPSVQQSYYQQRVLTFQMYKQLARVLFLSQDTAPMTSGPSGSETDLLKQVLDILHEPPNIEREHGVHVDEIVKRFRLPERNIRDAIMQLVDMGYIYFTIDDFHFMSACIPDSRPLVQQRMSTFQAPVTSGPSGSESNLCKQVLDILQEPANIEKEHGVHFDEIVKRFKVPERKIMDAIMSHLDAGHIYTTIDDYHFVAATWG >Sspon.05G0033760-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:40901802:40904165:-1 gene:Sspon.05G0033760-1C transcript:Sspon.05G0033760-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAEEGASASASASASAPAAEAPASAGAMGAEEAAARKRYEALVQVRAKAIKGKGAWYWAHLEPVVVPPPASGQPPKMARLRCTLCAATFSASNPSRTASEHLKRGACPNFASPLAASAPPVSTAPPPPLAIAAASSVVPISSFPPSSQQRRHSTGGGGRKRHALAAAYAAVEAASSQHQHVVVGETAIYSTPPTPPALPAPRQVLSGGRGDLGALARLEDSVKRLKSPVASPGSMLPRHQAEAALALLADWFLESSGSVSLAAAEHPKLKAFLRQVGLPELSRADLTRGRLDARYAEARADAAARVRDARFFQLAADGWRDQVVTLAVNLPNGTSVFHRAVPMPAPPSSDYAEEVLLDAVSSVAPSADLRHCAGIVADRFGSKALRDLEVKHPWMVNLSCQAHCLARLAKDLARELPVVHSAATNCAKMAAYFNATPAVRALLHRHQVQELGHAAGLLRVAAPPSNGSDTETSAAFAMLDDVLTSARPLQLSVLEEPFKLLCIDDSTAREIVDMVHSAAFWAEVEAAHSLVKLITDMVKEMETERPLVGQCLPLWEDLRGKVRGWCRKFNVDEGIAMSVVERRFRRSYHPAWSAAFILDPLYLVKDVSGRYLPPFKYLTPEQEKDVDRLITRLVSPEEAHLAMMELMKWRSEGLDPLYAQAVQVRQPDPATGKMKIANKQSSRLVWETCLSELKSLGKVAVRLIFLHATARGFRCTPTMTRWLTAPGASSSRGIARAQRLVFVVANSKLERKDLWNDDDRDAELLMEGDDDMLTDPTTAIVVPSSV >Sspon.04G0006290-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:9422386:9425428:1 gene:Sspon.04G0006290-2P transcript:Sspon.04G0006290-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPFQMRFGLQISPARSDEAEDEDEEEELEEEYDEMESEGTASPPMMLRAGRGGGGLVGAVVGALRRSLVMCSAGAVGVDDDDDSEDEGIEIGRPTDVRHVSHVTFDRFGGFLGLPADLEPDVPRRTPSASVSVFGVSPTSLQCSYDQRGNSVPTILLMMQRKLYAREGLKIEGIFRINAENSQEVYVRDQLNSGMVPDEVDLHCLAGLIKAWFRELPSGVLDALTPEQVMHCNTEEECALLASMLPPVEAALLDWAINLMADVVEQENYNKMNARNIAMVFAPNMTQVHTYTYTNPGVFLFQMADPLTALIHAVQVMNFLKTLIMKTLKERKEKDGALQASQSCSGSPNDQDEHQMSEHLGKPLVLSSQKDFDFPMIDRDTTVQVLGAQKALHHDSQIRSDEPKKFGIGMDHKKSQSDVSSLGSDSNNRFNSSGREFNSSGREFGNRNGEGLFDRFSFRKGVERLCRHPVFQLSRSMKKSADVVVFDAPEKQDKLGYDTKATLYVLCSW >Sspon.05G0004980-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:17789193:17791079:1 gene:Sspon.05G0004980-3D transcript:Sspon.05G0004980-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSPLLSPPALLALSLLLLALYIARRRRGGGRNRNYPPVAGTMFHQLLNFGRLMEYHTELAHRYRTFRMLTPTCNYVYTVEPDNVEYILKTNFANYGKGVMTHDVLEDLLGDGIFNVDGAKWRHQRKVASHEFSTRVLRGYSSGVFRDTAAELAGIVAVAAGAGERRLDVADLLMRSTLDSIFKVGFGVSLGVLSGCSDEGAAAFARAFDDASEQLLYRFFDLSWKVKRLLNISSEAAMKRSIRTIDGFVYGVIDRKIEQMGRDQQEFAKKEDILSRFLMERESDPGCFDNKYLRDIILNFVIAGRDTTAGTLSWFLYVLCRNQHIQDKVAREVRAATTGDRDVGVQEFVAFLTEDAINRMQYLHAVLTETLRLYPAVPIDVKYCFSDDTLPDGYAVKRGDMVNYQPYQMGRMKFLWGADAEEFRPERWLDDDGVFVPESPFKFTAFQAGPRVCLGKEFAYRQMKIFAAVLLYLFRFEMWEANATLGYRPMLTLKMDGPLYVRASFRQ >Sspon.02G0049550-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:40556327:40574638:-1 gene:Sspon.02G0049550-1C transcript:Sspon.02G0049550-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At5g10690 [Source:Projected from Arabidopsis thaliana (AT5G10690) UniProtKB/Swiss-Prot;Acc:Q8VYD6] MMLIRPPRFLSPSPTPGPREPRLHRPLDASRIFSSPRPPGRRSRPRPGFASPSTDLRRLTARIVDLTRRRQLAQIMEEVEATRRRVRGGGLLNTIVMNAVLEACVRCGDVDRALRLFEEMRGPTGCGVDGVSYGILLKGLGVARRIDEAFEILESIEKDSSIGSSRLSPHLICGFLNALIEAGDMRRANALVARFRKVLYEGHSVLLYNLLMKGYIKSNFPLGALTVKDEILRQGLKPDRLTYNTIISACVKSAEIDKAIRFLEDMKEEANKESNPELLPDAVTYTTLLKGLGNNRDLYSVLNIVVEMKSSLISLDRTAYTAMIDALLACGSIDGALCIFGDIIKHAGKNKDLRPKPHLYLSIMRAFATRGDVDMVMRLNKRMWPDSVGSISRAAKEEAHELLMEAAINNNQIDLARGLLRQIVSEKECFSWTSRVGLVAVKVESLSGFTNSLLTPHIFPQVIILNDPVEKYMVPFQESQPLPADLILRKAVMRFLKDRAVPLVDDWGGCVGIVHRDDCTKLDAPLLSMSRGPPLCVPTSTTVEQVIDLLLREKSEMVVVVKSGNMYEGSYTSSSKPLGVFSLAILWSFTGDYDSSESDIPDTGISRATKPKQDA >Sspon.04G0013260-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:49714701:49716384:1 gene:Sspon.04G0013260-2B transcript:Sspon.04G0013260-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIWKSKVLPKIKLVFVKSGGKKAAAAAELVKSFDESKEGINGEFQEKKADLQPKVVEIYESAPAPLKVLIKERSKVSGIKKNSAAITKFFEELTKIEFPGAKQVSDGISKVGPALLSGPIFATFEKVSTLLPVAAEEAPAAAKEEAAVEEKKEEAAVEKKEEAEEKKEETSAPADETATAAETAPPADAAAAEPTAEAAPAEAAPEAEAAPAVAEPAKAEEETPKA >Sspon.01G0052520-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:23707270:23710106:-1 gene:Sspon.01G0052520-1C transcript:Sspon.01G0052520-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPRSGMVALQSGMIREDSTGKGAFDSAAMALPGHGAAPTVVSGEAVLCPAPIQITAKKDSREARELREPKIHPPKQKRLSSPPPPPAQSESGSISMVTSSASSTGRRRRQWCGKSDPDKESGFKSWARLAPMGTAGQPEGGGGDSATSRTQHAFPIGSNDFVNNCYLVPFSVATVSDIELEVSTIENQSTVVGACTKGLLNTNPIQALVKQQGYKGQRNMYRGSAKLLK >Sspon.05G0008130-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:24844138:24850341:1 gene:Sspon.05G0008130-1A transcript:Sspon.05G0008130-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGCDDPRAAPARSVAVIGAGVSGLAAAYRLRKSGVNVTVFEAADRAGGKIRTNSEGGFLWDEGANTMPNSQHKRYIVKDGAPALIPSDPISLMKSSVLSTKSKIELFFEPFLYKKANTRNSGKVSDEHLSESVGSFFERHFGREVVDYLIDPFVAGTSAGDPELLSIRHVFPALWNLERKYGSVIVGAILSKLTAKGDPVKTRPDSSGKRRNRRVSFSFHGGMQSLINALHNEVGDDKVKLGTEVLSLACAFDGVPAPGGWSISVDSKDASGRDLAKNQTFDAVIMTAPVSNVQRMKFTKGGAPFVLDFLPKVDYLPLSLMVTAFKKEDVKKPLEGFGVLIPYKEQQKHGLKTLGTLFSSMMFPDRAPDDQYLYTTFVGGSHNRDLAGAPTSILKQLVTSDLKKLLGVEGQPTFVKHIYWGNAFPLYGHDYNSVLEAIEKMEKNLPGFFYAGNNKDGLAVGSVIASGCKAADLAISYLESHTKHNNSH >Sspon.04G0015830-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:28442088:28442603:1 gene:Sspon.04G0015830-1T transcript:Sspon.04G0015830-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHKETGCQAPEGPILCINNCGFFGSAATMNMCSKCHKEMIMKQEQAKLAASSIDSIVNGNDAVMEPVVSGNTVVAVAQVELQTMNVQPTDVAGPSEGAAVISKGKVGPNRCSTCRKRVGLTGFNCRCGNLYCALHRYSDKHDCKFDYRTAARDAIAKANPVVKADKLDKI >Sspon.05G0038720-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5D:53244464:53255200:1 gene:Sspon.05G0038720-1D transcript:Sspon.05G0038720-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHDPFRRASPARLLRPSQSQSPQGELREAKQSALVSASGYDGLPRLRWPMGLREVVETAALSPAAASKNKVISCSSPSWRCFTPVPSTSAWRWSPALPALVQESMLAAGSSLWRSGDAGGAFHQEGSGSCGCSSTTESGSSSISPRRLSTVSFGPRLEKLGVHPQPMVLNGFNPPMLHPSHGQPSQDVAAAKDGSHVLDYREKCLAEALGKGAIFAECLALGTRQSAPDLALGKARPFIGPDRRGPPNPVPYSIASVSPSLPPPPPPPPLTRRCRLLPPASPAPSPARPRRLLPPASPAPSPARPRLPPRPCPSPPRPRRGAPRPVPCGPGAAPAVPARPAPPPRPRVAPSPARPRHGPGAAPARPRAARPRRPRPRVAPSRRSPGTAPARPAPVPARPPRGPVPARPPCGPGPACPRPGIGPRAALSRRGPRRGPRAAPSRPAPVPASARVSAPPSVSKEEADEESSRRPRRRRRGGVSVATSCPVVGVFSACTSRQRPRYTNRPFMVVGHRAGYVLTANVPEWWMCGPSCPKCGRRPSCWLFLAGFGNLPSRTCRRGIGAPRLRSFPHSADSMDNREWMYTGRPSQARITPEWMAKTEKFLDQAFGKAAKGANDMFCPCSECENKKRKTRIVMGQHLCKYGYMPNYTRWVYHGEAYRPREEVVRPRLEAFDSDGGVAGWLGDYQDATFAERPTEEEQEDEDEDEEEPEPSAKAFLAMLESAQKLLHEKTMVSQLDAIGRLLGLKSQYNMTRACFDAMLAIIGGLLPEGHHLPSSLYESTKLLRALKMPYEQIHCCPKGCVLFRKEHKDAKYCPKCKSSRYVEVDKGDGKKEQNEEIPMKVLRHLPIIPRLQRLFMSEESAKQMRWHKNGVRYNPEKMVHPADGEAWKSFNGNHRRKDEEARNVRLALATDGFNPYGMMSAPYTCWPVFAIPLNLPPGALLQRQNMFLTLIIPGHPGNKMGVYMEPVYDELIKAWNEGVWTYDRATKKNFKMYVWYQYSMHDFLAYGIFAGWCVHGKFPCPVCKATLQFIWLKKGGKFSSFDKHRQFLPLSHAFREDTKNFTKGVMVTDPAPQKMTSAQVHAQIDALVVQVQSDNPTKGKRKKDNPKKDCFVGYGVEHMWTHKSGLERLPYFDNLLLPHTIDVMHTEKNVAEALWATLMDIPDKTKDNPKARVDLATLCDRPKLHMLPPRDGKPWKRPKADYVLEKKHRTEVVQWMEGLMFPDGFAANLRRGANPETGRVLGMKSHDFHIWIERLLPSMVRGYVPEKVWQVLAELSFFFRQLCAKEVSLKVVEELEKMAPELLCKLEKIFPPGFFLSMQHLILHLPSEVRMWGPVQNHWCFPIERCLKTIRQKCGNKGRIEASIAEAFIREEVSNFTTSYYSENLPSMHNPPPRYNDDENESTLSLFKGQRGRASGAVKKKLTNEEWRKIMLYVLMNLDEVDQYQGEFFDEYWHHPRPPSDHERETLLQHGSPDFITWFQRKCQKDVSISAELRQVAKGFDYKVSSFNAYDVNGYRFHTTSYEKSRPNPRTTNTGVFTPGVVTPGAEQEDYYGTVEEIYELEYHGEKAPKPVIFKCQWFNPAVTRKSPKFGIVETRQDSFYPGDDVYIVAQQARQVYYCPYACKTDPRLQGWYIVHKVSPHGKLPRPNDDDYNLNPPTHDGEFYQQDEGLPGMLEIDLTGEIEMEADEEWVVDEEAADEVMGPTRRSCPSIYSRPRDTSAAEETVPEPSERRTRPRGTSAAEETVPEASERRRPRGRPRRAPLTDHERGSLRSSRDDDVPQDTVGEEAVPQMYDD >Sspon.05G0014550-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:54430870:54432295:-1 gene:Sspon.05G0014550-1A transcript:Sspon.05G0014550-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVTPNHTQTVAGWAAMNESGKVEPFVFKRRENGVDDVTIKVQYCGMCHTDLHFIQNDWGITMYPLVPGHEITGVVTRVGSNVSGFGVGDRVGVGCIAAACLDCDHCRRSEENYCDKVTLTYNGVFWDGSVTYGGYSRLLVAHKRFVVRVPDTLPLDAAAPLLCAGITVYSPMKHHGMLRSPGGSLGVVGLGGLGHVAVKFAKAFGLRVTVISTSPAKEREARERLGADHFVVSTDQKQMQAMARSLDYVIDTVSAKHSLGPILELLKVNGKLVLVAAPDQPVELPSFPLIFGKRTVSGSMTGGMKETQEMLDLCGQHNITCDIELVSTDGINEALTRLARNDVRYRFVIDIAGDSNSRALVQ >Sspon.06G0001560-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:2594430:2597318:1 gene:Sspon.06G0001560-3D transcript:Sspon.06G0001560-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MYYVLLQLGCGGISVDYLATVASFPNPDDKIRSLALKMQGGGNTGNALTAAARLGLRPRIISKVANDAQGRSILNELQSDGVDTSYILVSENGNSPFTYIIVDEQTKTRTCIHTPGSPPMVPEELTKANLSNALDGADIVYFDVRLHDTALLVAEEASQRKIPILVDAERKREGLDELLNFASYVVCSAKFPQAWTGASSIPVALVSMLSRLPNIKFVIVTLGDKGCLMLERSMTDASEAGEIDAEALFESLEKKVDQSSTMPKCIASKPNLRISADGVGSISGRLLLGTAEVIPPGELVDTTGAGDAFIGAVLYGLCTGMPPERILPFAAQV >Sspon.04G0010120-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:28726317:28728655:-1 gene:Sspon.04G0010120-3C transcript:Sspon.04G0010120-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding KIAIRILARTRLNKSSSRVGLSKLSFPFPAPESEKATAARPMDSNNLLAAWPVVGPGVAGAVFGAGWWFWVDAVVCSAAAVPFLHYLPGFFASFAALMFNAVNREDIGDGYYSPYDDSEWRVKLWLFISYVVSFVSLAGSVGFLVQDALTDTGPSAWTGTASVLQCVFVLVSGLIYWTCHSED >Sspon.07G0000230-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:627077:628100:-1 gene:Sspon.07G0000230-1A transcript:Sspon.07G0000230-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Reticulon-like protein B12 [Source:Projected from Arabidopsis thaliana (AT3G54120) UniProtKB/Swiss-Prot;Acc:Q9M392] MMDARIATAGVGVGVGGGAGTCAVGGFVWDVLLWRRGRADVSACLLAATVSSWLLFYGGYTLLSLASNVLLLLLTVLFLWAKAARLLNRPQPPVPELRVPQQAVDEAAALLRSALDAALDAFRDIALGRDSLLFYRAFLCLWSVSIVGSLTDFPTACYASIVAALTIPALYHRHQECIHTYMSFAYMNLRMYEMVYQRMSMKCFLRIRDWVMELLKDP >Sspon.07G0000580-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:187154:187485:1 gene:Sspon.07G0000580-2B transcript:Sspon.07G0000580-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LIILSVQSPPSIPNLLHPLRSTDPYISGLLGFAVLTVSVPLFLRRMGEAKENDVYEEELLDYEEDDDKTVDGSAAKPTGEVAKK >Sspon.05G0017410-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:74289757:74297314:1 gene:Sspon.05G0017410-2B transcript:Sspon.05G0017410-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAVACAERATNDMLIGPDWAVNIELCDIINMDPGQAKDTLKLLKKRLGSKNSKVQILTLYVLETLSKNCGDIVHQQIVERDILSEMVKIVKKKPDLSVREKILSLIDTWQVAFGGPSGKYRQYHAAYQELRGVREEVIVDLVGQCRSYQSRVMDLVNSTGDESLLFQALGLNDELQRVVQRHDDIAKGIPPGTGAPVPASANVNQGTAPPRSTAVSFSPLLNVHEDDEPEDEFSVLSRRSARDGAVAQNNLPSAPRNERPSYPSPLLPPPPASKRPVYTEASGIDYLSGDSYKSEKVSDDFINPTAPANISTSSNSKPEAYPPPSYGSSRPDSVSDDFINPTAPSFSAPSRPTNEEPIRSSMKQQESLPDDDFINPTALPGFSSSSATKESSEDLPKAPWESQAAAAGALPPPPARYGQRQQYFEQNVYSGGSNRGGYDGLLTQTENLSLNPRSSENEKSASRPTASRQAKPEDSLFKDLVDFAKTNPSSPSKPANSRRTR >Sspon.01G0008880-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:24779596:24781798:-1 gene:Sspon.01G0008880-1A transcript:Sspon.01G0008880-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAQAFAKGSVVAPCGNRAAPGLLGRRRGAVAARMAPSAVRIGGSWRKNAFPGGRLTLGLGPRRSRPASRSLFASPAQMNMNLAIGKSMRWWEKGLQPNVREIESAQDLVDSLTNAGDKLVIVDFFSPGCGGCRALHPKICQFAEQNPDVLFLQVNYEEHKSMCYSLHVHVLPFFRFYRGAQGRLCSFSCTNATIKKFKDALAKHKPDRCSLGPTKGLEESELLALAANKDLQFTYTREPELIPRGDAPGEVIAPEPAKLPAATKPLVRLGSEERSLVSSGR >Sspon.07G0035340-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:6347900:6351204:-1 gene:Sspon.07G0035340-1D transcript:Sspon.07G0035340-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding METVVNGVKGRAVGNKPLARSLARVKELMYDADDVVDELEYCRLQHQVQGDETEPIGASSSNSGIPNNSGRKNRSKARDYFHYIPTVQGEPAKAKCIDCGTQVLWGHGTSVLHKHRNSAASCKKKRVAIEDTPNRPSASDGVQNGGVIISTKDLEGRKRMRIEDNVATTAHPWDTAKFLEKIHEIALELQGIRGYVTEYLKDSVLNQFERIWVWVSNIFDEVRVTREILDVVALTNCEGSPHSRENYEGVSNYTKLQEVLKNHIECWPWHTKFMLVLDDVNDSMDDSRWKDLLDALGSSCTRGNVIFVTTRNLFIAQRLATVEPLKLRALGNDDFWLLFKAWAFGDYNYKEHLDIGYQIAAKLKGNPLAAESAAEMLREQPTLDHWKSIIKNGVWESLQLRGAIVTTLKVTYYQLPYQLQQCFLFCSIFPNNHQFHIDDLISSWISLGFVESIEIGRDYFNALVNSCLLEQVETKDSILLNKKIYVMCGMMHEFARLVPRSEFATIDGLECKEILPTVRHFLVLIDSVYHKDEHGTVICNMKFEEKLQSIVSSTRRLRTLILIGHYDSLFSRSFHTFMCSLVNCTHLRYLKLENKGCNEALPISLSNFYQLEVLDAGQPVIVDGTSDLVSMRNLVLTNNAYDDPSRGL >Sspon.02G0054830-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:121152716:121155433:-1 gene:Sspon.02G0054830-1C transcript:Sspon.02G0054830-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VIGWSMWFAEYLFLERSWAKDEKTLKWGLKRLKDFPRSFWLALFVEGTRFTPAKLLAAQEYAASQGLPAPRNVLIPRTKGFVSAVGIMRDFVPAIYDTTVIIPKDSPAPTMLRILKGQSSVVHVRIKRHAMSDMPKSDEDVSKWCKDIFVAKDALLDKHIAIGTFDEEIRPIGRPMKSLLVVLSWSCLLLYGAYRFLQWTQLLSMWKGIILFASGLALVTGIMQVFIMFSQSERSSTARAARNR >Sspon.03G0024450-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:98030972:98036217:-1 gene:Sspon.03G0024450-1T transcript:Sspon.03G0024450-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSEPPPASSATAAEELAADLSAATLSKKQQKKDARKAEKAEKAAQRQQQQQQPADAEDPFAANYGDVPVEEIQSKAISGRSWSEIGDLDDSAAGRSVLIRGAAQAIRPVSKKMAFVVLRQSMSTVQCVLVASADAGVSTQMVRFATALSKESIVDVEGVVSLPKEPLKATTQQVEIQVRKIYCINRAIPTLPINLEDAARSEADFEKAELAGEKLVRVGQDTRLNYRAIDLRTPSNQAVFRIQCQVENKFREFLLSKDFVGIHTPKLISGSSEGGAAVFKLLYNGQPACLAQSPQLYKQMAICGGFERVFEVGPVFRAENSNTHRHLCEFVGLDAEMEIKEHYFEVCDIIDGLFVSIFKHLTENCKKELETINRQYPFEPLKYLDKTLKLTYEEGVQMLK >Sspon.06G0002400-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:5299001:5299798:1 gene:Sspon.06G0002400-3D transcript:Sspon.06G0002400-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASTMPHHLALLLLLLLLASPAATRLASAACASEKFPAGRAYATCEDLPKLGASLHWTYDASKNSLSVAFVAAPAAPGGWVAWGLNPTGEGMAGTQALVALAGSGSAAPTVRTYNITGYVPLGKASTPLDFPATDLAADAAGSGGKIRVYGKLQLRTGMKAVNQVWQVGASSTGGAPDKHAFQADNLNAKSKLVLAGKAAAEAPSPALAPEAGGPSASSGGAGSDSGAASSTAPSKSPNAAVPAAGVSAPALLVLALVGFLVTV >Sspon.06G0019780-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:4998059:5000426:-1 gene:Sspon.06G0019780-1B transcript:Sspon.06G0019780-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARFLVHLLLLAAASASAVAAASTSLSTNATSAPGVSGGNVTGFSFSRFVSANRVVNVTVLGDANIDQGALQITPDSLNDAATYLTHKSGRVLYATPFKLWHRDKATNATGGGKRVASFSTVFTVNVFRPNGTEPAEGFAFLIAPSADEPPAESSGGYLGLTNAATDGNATNRIVAVELDTEKQAYDPDDNHVGLDVNSVVSVATASLRPLGIEISPVVPVKYDVWVDYDGAARRIAVRMAVSGKPKPRRAVLAAPLDLGAVVADWSYFGFAASTGRKYQLNCVLAWNMTLEKLPCDDDGEDGDGKRRRMLGLAVGVPVGVAAVVGAAVLAYVCVVERRKVHGDDGNSSSAITGTMIRSLAGGPREFEYSEIRKATNNFDEKMKLGQGGYGVVYRGVVVGDHTSPGGAGSAVEVAVKKFSRASTQGQNDFLAELSIINRLRHKHLVRLVGWSHDNGELLLVYEFMPTGAWTSTCSALLQAGSYWVGAPVQHRQGVASALHYLHDEYDQRVVHRDLKASNIMLDAAFSARLGDFGLARAIETDKTSYMEEAGGGVHGTIGYIAPECFHTEKATRESDVYAFGAVVLEVVCGRRPRCDIEGFHFLVDWVWRLHRDGRALEAVDARLDGAFDADQAERLILLGLACSHPTPAERPKTPAIQQILLGSMPPPVVPPFKPSFVWPATDGGSIP >Sspon.08G0022570-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:49912817:49913364:1 gene:Sspon.08G0022570-1B transcript:Sspon.08G0022570-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMMSPKLGTRKAAAFRFSTSAHGSISSSRCSFAVAPDKKRMICEGYDEGPRLGTSLLERVTDFIQLATLQAFEDADVLQF >Sspon.01G0013150-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:38398324:38409872:1 gene:Sspon.01G0013150-3D transcript:Sspon.01G0013150-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLGSKKERNVSMHFQSGQKPSVQSASPPPGNTLVYLNVYDLTPVNGYVYWAGLGIFHSGIEVHGVEYAFGAHDHSISGVFEVEPRQCPGFKFRKSICMGTTCLDPLQIREFMEIQSINYNGDTYHLISKNCNHFCEDICKRLTGNLIPKWVNRLARMGAVCNCILPEPLKINAARHDPGCQAEDSERKMLTGCARIGFAPNDEHE >Sspon.03G0039010-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:17655956:17658477:1 gene:Sspon.03G0039010-1P transcript:Sspon.03G0039010-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLVAYDASSDEEDAGEPPAAEAPQPAPIGLQPRPPSPSTAVGAAHWPTPPPPAASQSVAPINSSNVSLPTPSLDLPDVADLFAPPADNASRKRESNGSALHDSCSKIPRMQSQPRGIRSAGGNTLIPPQLRGRSNVVTEDMSKFFVAKRKE >Sspon.06G0018080-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:80430478:80431103:-1 gene:Sspon.06G0018080-2B transcript:Sspon.06G0018080-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAVGGERWSLAGATALVTGGSKGIGHAIVEELAGFGARHGAADLEECHRCITVSVCDVAVRADWEKLMDTVKATFDRKLDIMLDGEVSGPVQPPAEYTAEHYSRIMATNLESCFHLCQLAHPLLIKASVASGGSVIDTSSIASFLAFPQETLYSVTKGT >Sspon.08G0011760-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:50267551:50278339:-1 gene:Sspon.08G0011760-2D transcript:Sspon.08G0011760-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLAHPASTLLGSSVRSGPCALATDAQGLFDEMPSPPAPPKLACHTLFGHACQVLGRTHTSGFCTVARRSTDENASAEPLRFTVAPGDAFGDGPPVGMSEAAKMVCRIVSTQPEPRIASTLDALGVAMSPELVAEVLKNLSNAGMLALAFFRWAERQEGFSYTAEGFHNLIEALGKIKQFRLVWSLVETMRCRGLLSKDTFKLIVRRYARARKVKEAVETFEKMSIFGLKTELSDYNWLIDTLSKSKQVKKAQAIYKEMKRKGKFVPDLKTYTVLMEGWGHEKDLLMVKTMYQEMIDAGIRPDVVAYGMLISAFCKSGKCDEAIKVFYEMEASGCMPSPHVYCMLINGLGSEERLDEALKYFEQYKKSGFPMEVPTCNAVVGAYCRASKFEHVFKMVDVMRKCKIGPNSRTYDVILHYLIKSQKFEEAYNIFQRMGMDGCEPQLNTYTMMVGMFCSNGRVDMALKGYLKYRRNRAELGEVGDTTLSNSRYILLIDNHLISASPKEQSNPSHMEENIMLLVAPREENIDPPVVDKFNNKPRRIDDDLYYDSSDDDEPAPKVSEDLSTDTEDE >Sspon.01G0030450-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:105630238:105633277:-1 gene:Sspon.01G0030450-1A transcript:Sspon.01G0030450-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LPSFSVSTASPRHAHAATHRELLHASSALLHASSPLRPVRTAPLAVSSVRVHRRATDSSSAAASSIDASASAAFAAAAPHLARLLSAPASPSSSPSPSPSAAASASCPATVSVPRDRPASGGGVAVDLPCGMAVGSRLTVVARPRAARAEVAGARDGAAAPVMVSQFMLDLLGTKAVQGEEPPRILHFNPRIRGDFSGRPVIELNTCYRMQWAQPQRCEGWASRPDDDSESRMKWWLNRLIGRPNVNWPYPFAEGKQFVLVITAGLEGYHVNVDGRHVTSFPYRTGYNLEDATRLSLKGDIDVDSILAGSLPTSPPTSATKSYLEMSEQWKASPMPTEPVELFIGILSSANHFAERMAVRKSWMISTRRSSDVVARFFVALNGRNEVNEELKKEADYFGDIVIVPFMDSYDLVVLKTIAIVEYGVRVVPAKHIMKCDDDTFVRIESVLDQVNKVQRGKSIYVGNINYYHRPLRSGKWSVTYEEWPEEVYPPYANGPGYVISPDIAQYILSEFDNKTLRLFKMEDVCMGMWVEKFNTTRQPVEYLHDVRFYQPGCFDGYFTAHYQSPQHMICLWRKLQAGSAQCCNVR >Sspon.01G0025890-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:89084971:89090679:1 gene:Sspon.01G0025890-4D transcript:Sspon.01G0025890-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSWSRKQSSSGSPSSSSSSSRRRGGADASMDSSSRGGGGSGSGSGSRGRSPRLDRRNAVKRIEYEAGAGASASVGASWSSSSSAEQQRSPGLRPSRSLDLAPGADLRISGSVEGEVDELCRSLGLSGPEDFAVPVAAWEARKSRSNSDRLPRSRLDPSTPADEPSPIARAVSAPVVPPTRSVPAPIPEESLHSSSASTATDSAEDPTAAAPEESPKAARAVAVVAPAGDLPLPSPRRGGGEVGIRGARPPLLSPPPPIGALAPPPVRRSFVDDYMTGSAWDIVQSFAPSPREQGSELGEHVDTRCNSDTEEENEGEDGVAAVEGELKELRIGETFEGFTGTSSLSTTNDDDASSTTTEAMFIISPNGKFKRKIKSEGAFFAVKEVSLLDQGSNAQQSIVALEQEIALLSQFEHENIVQYYGTDKEESKLYIFIELVTQGSLSSLYQKYKLRESQVSAYTRQILNGLVYLHERNVVHRDIKCANILVHANGSVKLADFGLAKEMSKINMLRSCKGSVYWMAPEVINPKKMYGPSADIWSLGCTVLEMLTRQIPFPNVEWTNAFFMIGRGEQPTIPNYLSKEAQDFIGQCVRVDPESRPSASQLLEHPFVNRPLRASFESSSPPAMDYSIDIVGCFSL >Sspon.08G0011960-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:47619679:47637907:1 gene:Sspon.08G0011960-2B transcript:Sspon.08G0011960-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEVIVQQEEQPVEIVLDQSRTNSIVLPGCREDLWPSLSWLLGARGRFVLTSERPNKKRKLLGADAGLEQLVLLPSPEVEAGSICDVCCLGESDMMSNRMLHCKNCEISVHQKCYGVHVVPDRFWFCVWCSRNIGMPRRLTRSDACRTVSMPCVLCPKEKGALKPFKRDPGPSTDGGNQEFVHLFCSLWRPEFHVKDMESMEPVTNIVDTQENQSKLVCSLCKVMHGACVHCSHGACRTSFHPICAREFKLQIEIWGKFGHDNVEMRAFCAKHSAVKSISSIQNDKSVSELDSAQVEPHDGKPLTGNEQQVRFTRSNKDKFVNSTITTSSCILNKAQTTEVATVPSTLGSSQETQSAEAQTTEVATVPSTLGSTQETQSADMAVDQPSADGNIMSNSGDVSGILRKTAQLVDQGMVSLADIESELGLCSESVEAALQPDETTAYSPGLKLKMIKWLQNSERAPSVQVKSFKEGSLAQGTLLRSESKNLTTATLQSGQEEAISSIDHHFPENDSANRGDLVQNGFHDDPDANQISGRHLLNMDGHCCYIHPVIEKRLQEELSCSLHDDLGGSSTKLRQLADKAALDQVCKAKSSDTLKHSPDDEIEGEIVYLQSRLLDGVVSMKQRYEDLMLKVVQNISYELDSFNKRKWDHIIVNQFLRDLREAKKRGNSERRHKEALAILAATAPSVVPTSRNATVRKETENNVASARRENMTRSNAGSSRIGQLSSSPQAKDLSFSNSKVSEETNFGIFDLAKFSKKSALPCDICMRCDTVLNRIFVCSSCKAAVHLDCYQSLKYPTGPWKCELCHEMPRDFVVSGELSDQNGAKACLVQCGLCHGTSGAFRKTVKGQWVHAFCAEWLLETTFRRGQHNPVDGMERLHHKDKDACSICHRYVGACLKCSTVDCHITFHPSCARDAGLYMNTKRLGIMLQHKAYCCRHSIEQRKAYRQQYGPEEVKSMKQMRVELELLRFLCERIVKREKVKKDLVGCAHDILAARRMTAVSSSWTSCYASGPGASSESATTSVNNKSYGGTIQRSDDVTVRSDDVTVDSTVTKKHTVRFSLHNRDTDRNTADSSTSTISYKRKLDDGESFAFKSLPGTPATALLESRDVEKKPIDKKRREIYQKELVMATSHQALLKNKSPPERYVYTRRSSMSKRKQCSQHVVEGPGG >Sspon.02G0045650-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:110413571:110415121:1 gene:Sspon.02G0045650-1B transcript:Sspon.02G0045650-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLIVHSLQILCKLAEDPRTAQRCITPRTCVQAVVYNNEDATVEIVRESLGVLAKLTSGTGESSTELCWYLLDNSTTVGHMLWILRNSSHTDLKILAVKMLTRLTLGRRLSEQRKRVLGQVECLDLEQMVTILTDDKIPKEDRAVTAQLLAQLCANSRTAQDRDRDRLRPISSALSTVLKVIGNTQCTNDVDADTWKLLGGLLDLTIQICVNLAIPADAFAAAVKGILEEDHVFVNKLKEIVDTCMDRFSRNSSKDSEDIVCLMIMKAATKFATWMMEINCHYIECFCQVNFLEKLDTAIIDMANLEKYMILTSCRDESECYGTLSSLVTCVKDLVRG >Sspon.03G0000820-3C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3C:13778820:13780489:-1 gene:Sspon.03G0000820-3C transcript:Sspon.03G0000820-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPATDAEVVGGAVKTEPEEAVVAVDGDEEVEAEKVVVKRRRRRRKTACDPHKKRACVDCTKRCARIHGRPASASALPSSSNARPVPAVPSFFKVMMGYFSEDMDIPPPFARTIWDLAGSNIFLEDAFGLRWRVRLCLRDGVLSFGHGWKNFVLDHAVSCGEFLVFRQIARSVFTVQMFAPSAVERLYLCEKNKRQSRKRKPRQKTSSPSIKTVKVTKNSVKNCKKRQRTDDHQNGIRPRGRKIKMAAEVCIDDSDVPDSASEPKFSDTSDRVPEAGAAEPQEISVAPAGHECEAQEVLDGEAEIADDSTMLEENESNYNATLIEHQVSAANEIEHGECLTNFDASVPLAMMDLNEVSIDDIFLSADIYEFESDMCNPESISVDLNMGGTITTGQNSEFSFLEDTPQNNLSSMGAEAVPCTENKEMTDALGTGTSYFCDSSVHDIDINALPANQPPSFGEDYSSPQADAEMHSSECGLSSCNKDKGNSLVPQMNKQAAHKE >Sspon.03G0034490-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3C:61276239:61277297:-1 gene:Sspon.03G0034490-2C transcript:Sspon.03G0034490-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRVADVMLLLLVALHALLPTIVTDAALQEGFYTSNTNCTVDVEATVVSVVQQFMSADRGVGAGLIRLHFHDCFVKGCDGSVLLDPSPANPDPEKASPSNGGLRGLEVIQEAKRQLESACPGTVSCADILAFAARDASNILSSGAINYGVPSGRRDGLTSAASDASQSLPPPFAQLDRLTELFAAKGFTQEELVTLSGAHSVGRAHCGSFSQRIHPNVSDTMDKEYGAGLQHQCPTDAGDAVAVDQDQGTPADLDNQYYRNVLAGKVLFNSDWALISNDTTRQMVADNAGNQAQWAAKFIDAMRKMGALDVLTGDQGE >Sspon.03G0025910-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:1122036:1123083:-1 gene:Sspon.03G0025910-1B transcript:Sspon.03G0025910-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMGEACTEIPFQSNASLLQPSALANPTTRQLLCPAPSPSSGRPLCPAAIVVLSKLRVRARHCNKSARKKRKQEGMMGKRHGGGGFTVLRLLGAAVLAFLLPRSAGAADDAKVISVVSPLPLVSWPTVPLVFCTATSANACFGFSATLVTHQLQTIGIWQWHAHAHPVAGTVGHGAPAPLSAPPPTAGADDLPPPVPVSSPPSRAPGQPAPHFGFPLQPGPGTASAAAPGAGPGGEGYPFIGSNPTVPLPTGMTDTSTVLPLPDTKVGCFLSLPLLVTSRLMLCTASLQVVGSAASVRAHVSMIGLGVFLATLFLYKI >Sspon.03G0012380-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3B:44243775:44244748:1 gene:Sspon.03G0012380-2B transcript:Sspon.03G0012380-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGSSSSIHSADLPQLLCCACVEQSTVAMEETCGRYDTVLQPGCHFMPWCVGRRVAGYLSLRVQQLDVRCETKSKDNVFVTVVASVQYRAIADKAYDAFYRLSNAREQIQSYVFDVIRASVPNMNLDQVFEQKNVVARAVEEELAKAMTMYGYEIVQTLIVDIEPDEVVKRAMNDINAAARLRVAAAERAEADKIQQVKRAEGEAESKYLAGVGVARQRQAIVEGLRRFVPDEKSVMDMVLATQYFDTIRDIGATSRAATVFIPHGPAAVHDVASQVRDGVLQAAAYAPGAGTK >Sspon.04G0001090-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:4251208:4251944:1 gene:Sspon.04G0001090-1T transcript:Sspon.04G0001090-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHHHHHHHHMIPGQEPSAADGAAPDSFFLGPAAAVIFSGGAGASGAGSSSSGAAALGSSVGEEKRRDWNTFGQYLRNHRPPLSLSRCSGAHVLEFLKYMDQFGKTKVHTPVCPFYGHPNPPAPCPCPLRQAWGSLDALIGRLRAAYEENGGTPEMNPFGARAVRLYLREVRETQARARGISYEKKKRKKPSAASAAAAGPSSEGSPPPGPSGGGGGPDTSASPQFIM >Sspon.05G0001520-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:4903810:4904230:1 gene:Sspon.05G0001520-1A transcript:Sspon.05G0001520-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding WRRHRQRRRPQPTTARPRGRRRRSRRTPAPPGSPTPPASPSTPPRSSVWRPTRTRASASSSSTITRNARKKRFALFFSHPGSSVILGGD >Sspon.03G0022330-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3B:90969576:90971211:1 gene:Sspon.03G0022330-2B transcript:Sspon.03G0022330-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSFWSLIHSYPDVCVAIICFFGLSIFRFIQQNQKSCIPLNWPVVGMLPFIVVSRHCIHDKVMGLLREAGCTFFFFGPWLLDMNFLITCDPATVNHCLNTHFEKYPKGREFAEMFDILGDGLLVADSESWEYQRHVATSIFGSRAFRSFVMSTVTRKVGNVLLPYLDHMAKHGSEIELEDVFMRLSLDVSYCTVFTSDLGCLAVSSPMPVFGCATKEAEEAMLVRHMVPSKLWKLMRWLNVGTEKKLADAKVVINQLIYEEIAKRKAQGSNGSQADILSMYMKVTLDPSMSEQQKTDFLRDTAVGFILAGKDLIAVTLTWFFYMMCKHPKVEARILEELKDLQSSSWPGDFSVFECDALRSAVYLQAALLETLRLFPATPFEEKEAHVDDILPNGTKVTKGTRIIFSLYAMGRIEGIWGKDCMEFRPERWVSKSGRLRHEPSYKFMSFNSGPRSCIGKDVSLSNMKITAASIIHNFKVELVKGHEVMPQSSVILHTQNGMMVSLKRRVSG >Sspon.02G0004080-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:12542184:12546640:-1 gene:Sspon.02G0004080-1A transcript:Sspon.02G0004080-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding PSSPSPPLLRLLGPRPRASSLSTAAAAAPDDEDGVDTVEQLLHPRPPAGSRGRIDRLMKLQLRADGDAVPGGRRRWFPYLDAFRPAAGGAELSSGEVIEVLEPHILEARRDRIRRAVGNRSYAVCLVVEGLSDFGNVSAAFRSADALGVQSVHVISCDSSKRYRDNRHVSMGAEKWLDIEIWNSPAECFSALKKRGYRIATTYLGTDSVCVYDMDWSQPTAIVVGNELRGISDDALELSDLHCSVPMKGMVDSFNVSVAAGILMHHAVCDRVSRLGHHGDLLPEENRILLAEFYLRHRESTATIVHEYAKRKAEKFMARL >Sspon.01G0010700-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:32169235:32174081:1 gene:Sspon.01G0010700-3D transcript:Sspon.01G0010700-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQKRWKGCIFFSLAFLLCNASGVVLMASENSPSEFANIVQSKQTRQARVCGPDPALLSSLAGISDAEVMVTIPNEQLEHVAEFREEADLWVAANVARFVPVTRITHVLAGDDVLATGSPGSSTYFLVPAMRNLRSALAAAGLGGRVKVSSALSGEALADPAWSGVVAHVLRFLESSGSPLFLKSRPLEEASDAKVDAAYTAMRALGVSRVPVIAADLAAGGGVAVVPYYYYGYGYPGAGGQRRSLATGTFCVALQNADTAALQAGLNWACGPGHADCSAIQPGGACYQQNNLPALASYAYNDYYQKMASTGATCSFNATATTTTNDPSSGSCVFAGSSTAGGSNSSVPVGASPPTSLSPPTGFTPPVGSSPPSSSEFSPPSGFTPPAGGGFGPSFGSPPGSFNGTGSFGPSGTLNPYNGGSRGLSRAGFTALSAAAVAVLLVSMDAM >Sspon.06G0004340-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:13743368:13746362:-1 gene:Sspon.06G0004340-1A transcript:Sspon.06G0004340-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARGINQLLKRTLHNQSAGSSLLSSFRGKHEESSAGLRALALLGVGASGLLSFATIASADEAEHGLAAPDYPWPHAGIMSSYDHASIRRGHQVYTQVCASCHSMSLISYRDLVGVAYTEEETKAMAAEIEVVDGPNDEGEMFTRPGKLSDRFPQPYANEQAARFANGGAYPPDLSLITKARHSGQNYVFALLTGYRDPPAGVQIREGLHYNPYFPGGAIAMPKMLNDGAVEYEDGTPATEAQMGKDVVSFLSWAAEPEMEERKLLFCVVGGEKHVLGQGIWACR >Sspon.01G0018020-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:67448245:67454315:1 gene:Sspon.01G0018020-1A transcript:Sspon.01G0018020-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPCEMPRVKLGAQGLEVSKVGFGCMGLSGIYNPPVPHEDGVALVKAAFGAGVTFFDTADAYGPHTNEVLLGKVSNSDAEAKSQLSCEMVGFGQALKQLPREKVQVATKCGIVGFKLNSICIKGTPEYVRECCEGSLARLDVEYIDLYYLHRIDQSVPIEETMSELKELVQEGKVKYIGMSEASVDTIRRAHAVHPLTAVQIEWSLWARDIEEAIVPLCRELGIGIVSYSPLGRGIFAGKAVVENLPPNSLLSVDPRYTGENLEKNKVLYELLLNLSKKHNCSPSQLALSWVLHQGDNVVPIPGTTKLKNLKSNIFSVRIKLSKDDLKEISDAVPASEVAESTYYQDRDGMRLMALLCCAKHSTDDQVKNTIASQGQLEISNNQVITLGTVVLKHSDDQVSKP >Sspon.05G0032150-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:24338995:24340200:1 gene:Sspon.05G0032150-2D transcript:Sspon.05G0032150-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGATTAKQARNGDRGAAKTTDGKADAAGAKVGADVAKRDAGGAKAGDVGKGDAGNKAGGAMHHHGMSAVEAKDSQTIVALQAPVIVMRPVRGDLEEHVPKPYLARALAAPDIYHPDGTTDNEHRHHHMSVLQQHVAFFDRDDNGIIYPWETYSGCRALGFNMIMSFFIAVVVNGAMSYATLPGWLPSPLFPIYVHNIHKSKHGSDSGTYDNEGRFMPVNFENMFSKYARTSPDRLTYRELWSMTEGFREVFDLFG >Sspon.06G0022460-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:32193985:32195035:1 gene:Sspon.06G0022460-1B transcript:Sspon.06G0022460-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGYYDIDDILMEDEPISVVFQVTANGVGLLDPGAESNCVEKGAKVDLPFWLAHGLLSLEQAVSINPPPCFTQKTRKEIQADAACVDLRVRCPYFYELGCKIVP >Sspon.01G0012800-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:34220554:34221306:-1 gene:Sspon.01G0012800-3C transcript:Sspon.01G0012800-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARATVVLCAALLFLLLCATTSLAQSPASAPAKAPPKSSSKASPAPAAAPTTPVPAAAPTKPKAKAPAPAPPTKAAAPAPATPAPVATPPAAATPPTASPPAPVPAAAPAPETKPVEAPAPAPAKKKKPSSSSKDKKKKKGASAPAPAAEAPAAKKKPKTADAPTSAAEAPGPSGDAAAADTAKRRICRALPLSSASPPNPTTQERVGVTVGGRM >Sspon.02G0052130-2D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2D:79502206:79503273:1 gene:Sspon.02G0052130-2D transcript:Sspon.02G0052130-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VLNDSLTPMNLFYVQNPDM >Sspon.05G0020820-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:83545142:83545629:1 gene:Sspon.05G0020820-4D transcript:Sspon.05G0020820-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMISLTGFTALSAGLLLIFVSSFLLQAQGASSSEELYVPVRRVVYQSMSPAAAAAMTEAAAAPYEPFEVCQGCRCCASSSNGSSCVDTSCCYAIDCDLPGKPYGTCAFTPQTCGCGAANNCTQPSS >Sspon.02G0022020-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:73609323:73609591:-1 gene:Sspon.02G0022020-1A transcript:Sspon.02G0022020-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSHPRTYHWRSRRGRGRADQILVLPYFQVVGPQHGEMHGGVSVLNDSARRRRATRDRPRTVTWSLALPMPSGVVYSCAC >Sspon.01G0037410-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:21483187:21485190:-1 gene:Sspon.01G0037410-1B transcript:Sspon.01G0037410-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSFFHLCPEQEAKTLWPCLPVLHLRMSLLVIHQQQSHSQKRSQERMDALRLAGSWCLTATMTSLATRLMSSPTKKKLRMQLLAWDLIRLLLHALEMCHRVVRLGKRSAHVQDMALHRRLARRGAWRWVVLLLWWDHY >Sspon.05G0007570-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:15399209:15406726:1 gene:Sspon.05G0007570-3C transcript:Sspon.05G0007570-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PHT1.1 [Source:Projected from Arabidopsis thaliana (AT1G80050) UniProtKB/TrEMBL;Acc:A0A178W1K2] MGEQENNKIGGGNNAMAVDEAVAAAKGKSLGAADPRLQGISDAIRVVPHFPKPGIMFNDITELLLRPGVFKDAVDMFVERYRGMGIAAIAGIEARGFIFGPAIALAIGAKFIPLRKPKKLPGEVFSESYVLEYGMDCLEMHVGAVEPGERVVVVDDLVATGGTLSAAIRLLERAGANVVECACLIGLPKFKDFYKLNGKPVYILVESRK >Sspon.01G0030940-1P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:103745374:103750685:1 gene:Sspon.01G0030940-1P transcript:Sspon.01G0030940-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPGPRGGGGSASSNLQPGGPLDQRHIRGEESSEDPNNADGEAVTAEQRSKKIFGLSISLVLINLASILERADENLLPAVYKEVSTAFDAGPTDLGYLTFLMNFLKSIASPLAGVLALYYDRPAVLALGTAFWALSTGAVGVSQYFGQVAFWRAVNGVGLAIVIPALQSFIADSYKDGTRGAGFGLLALIGSVGGIGGSVLATIVAGGDYYGFPGWRLAFISVAFVSFLIGLLVYLYAVDPRKISPSHFGGDDDNERLHLVSNGILPPHSIWKDSWIAARSVMKVRTFQIIVLQGIVGSLPWAAVVFFTMWFELIGFDNSSSAALNSFFAIGCASGSFLGGVIADRLSRYYPDSARIMCAQFSAFMGIPFSWILLTVIPQSVDYWSAYAVTLFLMGITISWCATCANNPMFAEVVPPKHRTMIYAFDRAFEGSFGSLAAPAVGIVTEKIYGYNAKTVDLAHGSVDGAYALSRGLLTMMIVPFALCLMFYTPLYTVFKRDRENVRLASIKEQELI >Sspon.01G0014640-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:16809875:16813852:1 gene:Sspon.01G0014640-2C transcript:Sspon.01G0014640-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPAFFLFLVTLDHLVAAMNETAFTFNGFSGANLSLDGMATVTPDGLLMLTNGTTALKGHAFHPTPLRFHGANERTVTSFSTAFVFGINGQYPDVSSQGMAFVVSASRNFSTALPGHFLGLVNAADNGNASNHLFAVELDTVLNAEFWDIDDNHVGVDVNSLTSVRAASAGYYDDQSGSFRNLSLISRKAMQVWVEYDGRAMELNVTMAPVEMPKPKKPLLSTVVNLSEVVTDPAYVGFSSATGIIFSHHYVLGWSFKMNGEAPALNVSMLPALPRTSGNTQSKVLEVVLPIASVAFVLALAMAIVAATKRRAKFAELREDWEAGFGSHRFAYKDLFYATGGFKDKNLLGRGGFGRLGHRAKAAPVTDVFAFGVFLLEVTCGRPPVEEDAQGSQVVLVDWVLHHWRNGSIMEAADPRLGNDYAAREVQLVLKLGLHCSHPLANARPSMRRVVQCLDGDMVFPENELISSANNEQFAYEGFAGANLTLDGAAAVMPGGLLALTNDKHTKGHAFFPAPIRFHRPVSGAALQSFSATFVFAIISEHAQLSDHGLAFVLAPSSNLLDATGAQYLGLLNISNNGKASNHILAVELDTVLSPEFHDIDSNHVGIDVNNLQSMESHTAGYYEDGTGKFLNLTLMSRKAMQLWVDYSGQAMELNVTLAPLGVEKPKDPLMSTAINLSEIVNTTAYIGFSSATGLSIAYHYILGWSFSLNGAAPVLNASNLPVLPRLPHQKRSLSETLVIVLPFATAGFIIALLIVVFMFMRRWLRYAELHEDWEVEFGPHRFSYKDLFHATK >Sspon.03G0015940-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:71252914:71259253:1 gene:Sspon.03G0015940-2C transcript:Sspon.03G0015940-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding METRPELHRHDHDHVHKQEAQEGEEHAAVMEHGAALSLQRGATLFGRRHDEEAGRRRGEIREVDFFSRDSGARGHDDGGGRGAPGGGRDDINIGLDLLTTATAATTSAGEEMMAAKNQKIEASAVEVELRRVVEENRRLRGMLDELTRSYGALYQQLLQVTQNRQHPADLVINRSSLAHTHLTTAASHNTSSTLQLLEARASSTTTAQPDAVAGVEDEASDGAGEASPSLSNGGNNNDADGKRKTSPDGMAPPRENGGEQASLELPGRKARVSVRARSEAPMISDGCQWRKYGQKMAKGNPCPRAYYRCTMAVACPVRKQDKTILVTTYEGHHNHPLPPAATTMANTTSAAAAMLLSGPATSRDGAAALLGHPAAALFHHSSSIPYASTMATLSASAPFPTITLDLTQAPGGVAGSGGLLPHGLGLHRPPVGIHPAMPFPAPSPLAMFLPQRAPTGPPMPAGLVARQQQSVMETVTAAIAADPNFTTALAAAISSVMAGAGAAHQAQPTPRGSNIGIAGDQANGSAGAATAGPTAAGAHAASTGSPRFATQSCTTSTT >Sspon.01G0036440-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:48451851:48453287:1 gene:Sspon.01G0036440-3D transcript:Sspon.01G0036440-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQEDVHLLDDADLALGLSLGSRLSMEARLPSSRPLEPSLTLSMPDDATATATGSGGGGAAHSVSSLSVAGVKRERVDDAEGERASSTAAAAARAISAGAEDDDDGSTRKKLRLTKEQSALLEDRFKEHSTLNPKQKVALAKQLNLRPRQVEVRARRTKLKQTEVDCELLKRCCESLTEENRRLQRELQELRALKFAPVHPQAPPSSAAGVPAPPFYMQMQLPAATLSLCPSCERLAGPAAAAKAEPDRPKAATHHFFNPFTHSAAC >Sspon.03G0026710-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:3865066:3877971:1 gene:Sspon.03G0026710-3D transcript:Sspon.03G0026710-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAAVDVEDLLVRVKTGAEDELAAVAREVAALAGDGRLGEDDDEDGLLVPALLARLAAAGTADARVSVLAALRRLAGCVAGESKERLASIEALSSIVRSLSRDVDERTEAIAVLLDLSDIPQVRQRIGRIKGCIVMLVTLRNAHESGTVDDAEKLLSILSSNPQNVLLMAEAGYFLPLIQYLKQGSDMNKVLMATAISKITFASLDAPQERLASIEALSSIVRSLSRDVDERTEAIAVLLDLSDIPQVRQRIGRIKGCIVMLVTLRNAHESGTVDDAEKLLSILSSNPQNVLLMAEAGYFLPLIQYLKQGSDMNKVLMATAISKMFLSEHMKSSLGEDGAIEPLVDMFKYGNLEAKHSALGALRNLSSSLQNAEILINSGVTGPLLQLLFSVTSVLMTLREPASAILAAIAQSERILFHKDVAPQMLSLLNLSSPVIQLHLLRALNSISGHANAKRARRKIRQNGGVQLLLPFLTEKNVDIKIAALHLMFHLSKDSSQELAEQFRETHLDILVKIISSPTSGDEKAAAVGILSNLPVTYKKVTEILMQANLIPTLITLFEANIAASVTPQRMWLLEGIAGVFIRFTVTWDRKLQSSAVGYGVVPCLVKLLSEGSVDAKSKAATSLAQLSQSTMALRKSKSPRWLCVPPSAESYCIVHSCQCTVKSTFCLVKAGAVNPLVQILEGEERGADGAVLEALGTLMQDEIWENGSRVIEKASGIHALLRIAEAGELSSQDKAIWMLERMFRLEAHRERYGEIAQALLIDLAQKGDPALKPMIGKILAHLELLQTQSSYF >Sspon.01G0063050-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:110833829:110837794:-1 gene:Sspon.01G0063050-1D transcript:Sspon.01G0063050-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAQEEAAAAPPGGAVSDATETEEAPQGDAESDATAMEAAAEYTWPQLHFDRPPRRLYHFARQFRSATSSGSNSGENFLKGVKWSPDGSSFLTSSDDNSLRLFYLPEEAYSAAEPVAEAAVGGEAYPLVVSVGSIILYFQSAVLVSILSPADSYGAFLQVNEVNLMQTQPPVYLQPPVVIIPYTFGMPPVGKLFAGYNKAIRVFDVHRPGRDFDQYSLLKGGEGPTGIISSISFSPQNGMLAVGSYSQTTAVYAESNMEPLYVLHGQIGGVTQVLFSKDGNYLYTGGRKDPYILCWDVRNTVDIVYKSADTTNQRIYFDIEPCGRHLATGGQDGMVHVYDLQGGQWVTGFQAAADTVNGFSFHPYLPFAVTSSGHRRFGMQDEFEDELNLAGDENSCSVWMFSSSQEA >Sspon.03G0019220-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:81705424:81705936:1 gene:Sspon.03G0019220-2B transcript:Sspon.03G0019220-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPMMSCEFAAVRLPCLLPLRSGRISRPSPMPPPPAVANNKKPAASTSAQRCASSRRDDADEFSCNNSGGGRMVDEGMVVLRRRIHEMEAAERGWEPPDEWAAWEKEWYATYDADVCWLLGLLQAFLASSRPGVGVGLVAVLVLAMPASAFVLVSLLLDASRAIVSNLPH >Sspon.04G0036510-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4D:31453392:31453616:-1 gene:Sspon.04G0036510-1D transcript:Sspon.04G0036510-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSNRLRRPNLDPARSPDDMMAIRSASPRGSAPAVELEWRTKGAHPLEKCSWWLVNDAMDEFDLTALPLFGNKE >Sspon.03G0015860-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:50378087:50380556:-1 gene:Sspon.03G0015860-1A transcript:Sspon.03G0015860-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SRTDKIVFKLFGKEPKDFPVDLREQIQNWLSHYPTDMESYIRPGCVILTIYLRLPNWMWDELDDDPASWIEKLISLSNDGLWRKGWLYARVQDCLTLSCNGSLMFASPWQPVIGDKLQRLCVTPIAVACSSSVKFSVQGFNIVQPTTKLLCVFGEKYLIQEETQMLLEDSTMQQGPQCLTFSCSFPCTSGRGFIEVEDYDQSSLSVPFVVTDNDVCSEIRTLEHGLNLISFDETSERIDDLMAYRSRSLHFLHEIGWLLQRSHMRATSEQPQYYPDRFPVARFRWLLSFAIDHEWCAVVTKLLNIMFQGDIDLDVPSPIEFALGENLLLTAVRKCSKPLVECLLRYTATNYAPVGSGDGAPVQFLFTPAMTGLSNITPLHIAATISDATGVLDALTDDPQQLGIKAWKNARDATGFTPEEYARQRGHVSYIQMVQDKIDRRVSRDHVSVTIPTTIDTVGLKSADQITFGVEKQLSINQTSSCRQCVQQVQQLAFFHPRTNRFLSNRPAMLSLVAIAAVCVCVGLLMKSPPQVGCMRPFLWDHIRWGPN >Sspon.01G0001560-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:4043759:4049311:1 gene:Sspon.01G0001560-3C transcript:Sspon.01G0001560-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHSPAPSGGGGQQPLVVSLNCLDDPSLEQEVLAGVAAVEHVPLSAVASGRVEAASAVLLPSLAFLPRAAQRRLRPWQLLLCLGSADRAADAAAAADLGLRLVHVDANRAEEVADTVMALILGLLRRTHLLSRHASSAPAAGWLGSVQPMCRGMRRCRGLVLGIIGRSAAARCLATRSLAFRMSVLYFDPRYVKASGKTKRPSIVFPSAARRMDTLNDLLAASDLVSLHCGLTNETMHILNADCLQHIKPGAFIVNTGSCQLIDDCALKQLLIDGTIAGCALDGAEDYSEEVWMEIREKAITMLQSFFFDGVLPSSAISDEDEEISEARNEDDYLDPEAKDSQSQIFDAEIDESHLTLEYEKKRSISHHKAPQVSGKSVNIGSRPEGRRSRSGKKGKKRPAHRRPQQKSDDLSAAESGSNYSSRRDDDTAMSSRDQVVSSSSRFASPEDSKYKHKSLAESPMEMTSEKKVPVLLSRKYPDKLKDGFVVALRARDNSGYHAARQRAVGGGGWILDVVSNATNRDPAAQFLVTFKNKAYGHRSLLKFSCLLRQALTRHVPFRILSGSDPLLLVINRKTEFVFASHSFDVWESWMLDGSLLEGSKLINCRNPSAVLDVCIEILAAPSEEDGVT >Sspon.05G0010720-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:30258058:30258645:1 gene:Sspon.05G0010720-1T transcript:Sspon.05G0010720-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDSVNDRTDKYGGSVVDAVVAEIGSDRVGIRLSPYANYLGCHDSDPDRLGVYMAQELNRYNILYCSAVEPEMVMVDGKMKIPHRLHEMRKAFKGTFMVGGGYDREEGNRVVADGYADMVVYGRLFLANPDLPRRFHLNAPLNKYDRSTFYTDDPVIGYTDYPFLENCEPLSSQDGEGSTKAKKN >Sspon.02G0048480-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:25636815:25640180:1 gene:Sspon.02G0048480-1C transcript:Sspon.02G0048480-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPYTGARRDGKKDSILQTVVRVTRLEPMSLSDPARSRIHGPRTMLQIFSIKLAKVHVDGGLVELYGYIAVRDALDPLLNYVMSFSRDDPIIVEQGSLIKMAGPKRGMELVDTSIIEYDVRIKTGKQEKDDVQLIDGVTIIDDKDTTDRQVFRGRISGKCGIIDITASRLNYAVEATIEVLISEVGLREETQLFDGVIGKPRDLKRSVVAVRMGTNLDLKFKII >Sspon.04G0011400-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:34139639:34140761:-1 gene:Sspon.04G0011400-2C transcript:Sspon.04G0011400-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYYDVGTRSSAASSLQPGDMAAAAVPVATGSPHQRVLLEAGAAGSSVAGQAVEPLAPPVTAPPFLPLPPSPVPFPDLMQYAQLLHSAGNVVARSTGDLAAPASSSSQASSSVQILDFSTRQLLRGSPPPATFGRPSILTSAPMSSTTASSSTSMSATPHFEARDSGVGEETGTAPPD >Sspon.03G0018140-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:77076595:77081217:-1 gene:Sspon.03G0018140-1P transcript:Sspon.03G0018140-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEEDDDQRLLHSLGVTSANIEDIEKKILSQANPSCRPLCGVQVETEPKHYDEAGAAVDDPSRSNVAPESDAHAKLHQKLLSVQLEIEAVASTIKIAKNAAGKKVDSSDSADGQDKKKQKQADRAAQDEPHGGELQQALATERLKSLKKAKAQIQKEISQSDPYPSGSDNRKDKMLAMLVEDEPRRKKKSLLPEREELIRKGLLTPFHKLKGFEKRVEKRVELPGPSHRQNDPSEQAEETIEASRIARVAQSMQQIAQSRPTTKLLDPESLPRLDAPTAPFQRLGRPLKRPVSPSSEEQERKRQRNKTKRPLPDKKWRKANSRKESLLETDDEDVGDFTASVSEEDDQAAEGFDGLSPVILEGGLRIPGTICEQLFDYQKVGVQWLWELHCQRAGGIIGDEMGLGKTVQVLSFLGSLHNSGMYKPSIVICPVTLLQQWQREASRWYPKFKVEILHDSANGSSKKSKAYSDSDSEGSWDSDREEVRRAKPAKKWDDLISRVVNSGSGLLLTTYEQLRILGEKLLDIEWGYAVLDEGHRIRNPNAEITLVCKQLQTVHRIIMTGAPIQNKLSELWSLFDFVFPGKLGVLPVFETEFSVPITVGGYANATPLQVSTAYRCAVVLRDLIMPYLLRRMKADVNAQLPKKTEHVLFCSLTPEQRSTYRAFLASSEVEQIFDGNRNSLYGIDVLRKICNHPDLLEREHAAQNPDYGNPERSGKMKVVEQVLKVWKDQGHRVLLFTQTQQMLDILENFLTACDYQYRRMDGLTPAKQRMALIDEFNNTDEIFVFILTTKVGGLGTNLTGANRIIIYDPDWNPSTDMQARERAWRIGQTRDVTVYRLITRGTIEEKVYHRQIYKHFLTNKVLKNPQQKRFFKARDMKDLFTLQDDEGNGSTETSNIFSQLSEDVNIGVPNDGQQDQAHIALALSSTSEAEPSNGGEGRVDVNSDQADEESNILKSLFDAQGIHSAINHDAIMNANDDQKLRLEAEASQVAQRAAEALRQSRMLRSRDSFAVPTWTGRSGAAGAPSSVRRKFGSTVNSQLTRSSQPSETSSSRSQSLPVGALNGKALSSAELLAKIRGTREGAASDALEHQLNVGSASNHISSPSGNGSRASNSSNRSMIVQPEVLIRQLCTFIQHSGGFASSTSITEHFKSRIQSKDMLLFKNLLKEIATLQRGADGSMWVLKPDYT >Sspon.02G0015100-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:41053628:41054091:-1 gene:Sspon.02G0015100-1A transcript:Sspon.02G0015100-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIKQAHSHTGTLNDIRVLLDGDFRFQRDGDVTIKVLFCGIYHTDLHVIKNEWGNAMYPVVPGHEVVGVVTDVGHGVTKFKAGDTVGVGYFVDSCRACESCSTGHENYCPQLVLTSNGVDHHDD >Sspon.07G0014250-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7A:51475770:51477639:-1 gene:Sspon.07G0014250-1A transcript:Sspon.07G0014250-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLQSFLAAKPAAAGWASVARPAATPSRRARVSACLAAPPPPPPPTTAASVGPARRELSAASRAVMDDEARHLVGTYKRSRVVFEYGRGCKLYDLDGREYLDMSAGIAVTALGHADPDVCATITEQSGKIVHVSNVFYTTPQVGAPSPPPSLSPRSLTMCSTHCSADLFSPVASPAEAALCRTQVELAKRLVGVSFADRAFFASTGTEANEAAIKFSRKFQRVAHPDSDDPPMEFLAFSSSFHGRTMGAVALTSKSQYREPFAPVMPGVTFVDYGDLEAAKKFIQSGRVAAVFVEPVQGEGGIHSATQEFLHGLREACDEAGALLVFDEVQCGFGRTGYLWAHEAYGVEPDIMTLAKPLANGIPIGVVLVKEKVAAAINYGDHGTTFGGGPLACQTAITVFDKIMKPGFLAEVSKKGENFKQLLRTKLSGNPHVKEVRGVGLLVGIELDVPAGPLVDACLDAGVIVLTAGKGNVVRLVPPLIISEKELEHAADVIRDCLPALDVAAA >Sspon.01G0018130-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:64225211:64226981:-1 gene:Sspon.01G0018130-1P transcript:Sspon.01G0018130-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding IATQPSIYVLDTPGVLVPSIPDMETGLRLALTGAVKDSVVGEERIAKYLLSLLNIRKTPLHWERLLHREEELCEEICSSNKKDNSLRRRRLNNSDAVYVQDLVTEVQRTLCRTFLNFTGNTEEESELETLIDMQLVALRKVFRIPHKPFDETQGPTSKKLLTLFRSGKLGPFILDDLPDGSEN >Sspon.03G0023100-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:70680052:70683017:1 gene:Sspon.03G0023100-1A transcript:Sspon.03G0023100-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAATMTVATHHPRARAPLRVCAAWDMNPAAATVATPKPSKAKAKPPPPTTTTPARPPPPTHADLFARSSEGQGVVKKSTYMGYEKWWLPPPPEVKKPRSLYSAASLAYLGDCIYELYARRHFFFPPLSINEYNKRVMDVVKCESQDLLLNKLLGEDFLTEEERDILRWGRNIVSSKTRTRKRAGIAVYNRASSLETLIGYLYLTNFKRLEQLMFQLGFTSGASSQHIADELRSSFQKTTPASAAPPQPATK >Sspon.05G0008990-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:26326865:26329261:-1 gene:Sspon.05G0008990-1A transcript:Sspon.05G0008990-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTFHSDPYSRSSLQLQVRDGSMSFENNSTVLDKHEVVSPRVGMTFETVDLAYQFYLEYGYRAGFGVSKRTSHSVDGVKYRATFVCYKGGIARIKPGLKARRRLVAKTGCKAMMVVKFNASENHWEVVFVELEHNHPCNPEMVRFMMCFKDLPDWQREHRPFNAKTRLNPKIHSGRGRPPNQNKDFMERKFDYRVMYNSAEKEVWCICRSFQFKGILCSHALAVLKQELVMLIPPKYILDRWRKDYKCPEEPKETPISPKAAKDTGKSSKPENIREDQADNLYKHGHQYFADIVEMGATDPDAMEYVLSVMKEAKEKVRKFEESRKEKRPGESPVSAGKKGAKFSKPSTEEVGNGTSVSTPTEAVASVTVVSSAPMAAASTMMAMAPPSAAVAPGMFLVPMHPHPLVFPPFTPAIPPAVAPVAPPAAPATNVASNTSKKRKKRKGNI >Sspon.01G0026670-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:93383412:93385293:1 gene:Sspon.01G0026670-3C transcript:Sspon.01G0026670-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSAGAAAGAALGARTARSCDGCMRRRARWHCPADDAFLCQTCDVSVHSANPLARRHHRVRLPSASCSSPPCDPDAPTWLHGLKRRPRTPRSKPGGGKHEATTPNSVALAASTAVPDLEAEESGSGIVGDNDDEHGFQDDDEDLLYRVPVFDPMLAEFYNPVADEGEQKPLAEFYNPVADDGEQKPVCLMPPLVETSLEFAYGGSAEADGLSAGFDVPDMELASFAADMESLLMGVNDGFDDLGFLDEEKPQVNADADLVAMAAPATEREDKKRKRPEMILKLNYEGVIASWVRDGGSPWFHGERPHLDPYELWSDFPAGSRGLFGGAVTAVTGGEREARVSRYREKRRTRLFAKKIRYEVRKVNAEKRPRMKGRFVKRTTLPPLPRPPPPQQQQQQKQLARALPHVGMVLAPPPVANGRFHQF >Sspon.02G0039140-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2D:43605911:43611410:1 gene:Sspon.02G0039140-2D transcript:Sspon.02G0039140-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFDIDLYVMPLAGYDMVLGNQWMEPLGRIAWDVATHTFSFQQGGREVCWSGVATVRAPALHAASSDDAILDGLLASFADVFAEPKGLPPPRGRAHRIVLKQGAAPVAVRPYRYPAAHKDELERQCAAMITQGIVRRSDSAFSSPVLLVKKADGSWRFCVDYRALNALTVKDAFPIPVVDELLDELHGARFFTKLDLRSGYHQVRMSPEDIHKTAFRTHDGLYEFLVMPFGLCNAPATFQSLMNDVLRTFLRRGWPWTRPRYRPFTTGRCLARRAVRGFLGLAGYYRKFVRNYGAVAAPLTALLKKEGFSWGDEAAAAFTALKAAVTSAPVLAMPDFTKAFTVECDASTHGFGAVLIQEGHPVAFFSRPVAPRHRALAAYEWELIGLVHAVRHWRPYLWGCRFVVKTDHYSLKYLLDQRLATIPQHHWVGKLLGFDFAVEYKPGTANVVADALSRRDTEEEGLLLSVSSPHFDFISRLRLVHDTDPALVALREEITGGARGASWSLIDGMVQFGGRLYIPPASPLAQEIMAAIHEDGHEGVQRTLHRLRRDFHIPNMKQLVQDLVRACAVCQRYKSEHLHPAGLLLPLPVPQGVWTDVALDFVEALPRVRGKSVILTVIDRFSKYSHFIPLAHPYSAESVAQAFFADIVRLHGVPQSLVSDRDPVFTSTFWRELMRLMGTKLHMTTAFHPQSDGQSEAANRVIIMYLRCLTGDRPRQWLRWLPWAEYTYNTAYQSSLRDTPFRVVYGRDPPTIRSYEPGETRVAAVAKSMAEREEFLADVRVRLEQAQAVHKLHYDKRHRAVSYSVGDWVLLRLRHRPIASLSQAPKGKLQQRFFGPYRVTELINEVAVRLELPPRAKLHDVFHVGLLKKWVGDPPAALPPLPTIHNGAVAPEPERAVRTRLARGVPQ >Sspon.08G0024970-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:3761827:3765869:-1 gene:Sspon.08G0024970-2D transcript:Sspon.08G0024970-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSQSVLAKEQIATPDKTGHASAPSVLFDAKLDHHSLMDGTLASTSQSSNIKTELIRSSSLSRSLSVNLQKRSPESDPDSPQSHVSHPKFSEPMFSNSSTFCTSLFSSSSTKTEPCRQMCTLPFLPHPPKCEQHVSAGQSSSSSLLLSGDIGNGLDEAEQSDDLKDFLDLSGDASDGSFRENNALAFDEQMEFQFLSEQLGIAITDNEKSPHLDDIYGTPPQLSSLPVSSCPTQSIQNLGSPVKVQLSSSQSSSSSATTNKSRLRWTLELHERFLEAVKKLEGPEKATPKGVLKLMKVEGLTIYHVKSHLQKYRLAKYLPGPIEDKKASSEDKKAQSGKSGSDSSKNKNLQVAEALRMQIEVQKQLHEQLEVQRQLQLRIEEHARYLQKILEEQQKAGNVSLKVPTKPQATVSPESTSDERSESEVGTISPRPSKNKNPFVDTECKSPARIKRTKVQVDLENEAPCS >Sspon.03G0026540-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:3093343:3094218:-1 gene:Sspon.03G0026540-1B transcript:Sspon.03G0026540-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MANKLATSLLATCFAAAVLLALAAPAVLAGDPDMLQDICVADYKSLKGPLRLNGFPCKRPENVTANDFFSNVLATPGNTGNALGSAVTSANVEKLPGLNTLGVSVSRIDYAPWGVNPPHVHPRATEVIFVLQGSLDVGFVTTANRLYALTVCAGEVFVFPRGLVHYQRNNGGGPAAVLSAFDSQLPGTQPVAEALFGASPPVPTDVLARSFQVDGGVVKAIKSKFPPK >Sspon.05G0006760-3P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:23141927:23143720:1 gene:Sspon.05G0006760-3P transcript:Sspon.05G0006760-3P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding TMGAEPEHVAVRPAAATVVLVPFPAQGHISPMLRLARALAGRGVAAIVAVPDFVHRRLVSAACGQVGGVGVELASIDSDVPDDGVGEPPGFAGFARAMEHHMPTSLEAMLTTRRGLAGCGVACLVADVLASWAVPVATRCGVPTVGFWPAMLATYRVVAAIPELIDKGLISDLGIPISTKRLNIGEEDKVNGDHQTGGSLHVLPAQLGLSTAELPWLVGDAACQKSRFTFWLQTMERAKSFRSILVNTSPVEAAGAGDDTGTASQVLQVGPLLPPSGFDDGCITKGDLLHDSAAPRRRSSKNPSMWQADETCVEWLDEQRAGSVIYVSFGSWVASIGRDAISELALGLEATARPFLWALKDEPSWREGLPSQYAEAVAGRGKIVAWAPQEDVLRHRAVGCYLTHCGWNSTLEAIQHGVRLLCYPVSGDQFINCAYIVKVWETGIRLCSTKRSVVEDCVERIMEGEEGRRMQEKVDELRKRVMMGEA >Sspon.08G0002580-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:7548230:7549105:1 gene:Sspon.08G0002580-1T transcript:Sspon.08G0002580-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Xyloglucan endotransglucosylase/hydrolase [Source:Projected from Arabidopsis thaliana (AT2G18800) UniProtKB/TrEMBL;Acc:A0A178VQ29] MASSAGAMKTLALAAAVLLLLLHGGGVASAAGSNFYQDVDITWGDGRGKILNNGQLLTLSMDRSSGSGFQSKTQYLYGRFDMQLKLVPGNSAGTVTTFYLSSQGSAHDEIDFEFLGNVSGEPYTVHTNVYSQGKGGREQQFRMWFDPTADFHAYSVLWNPAHIVFYVDGVPIREFRRRNDGAVPFPTSQPMRVYASVWDAEEWATQGGRVRTDWSKAPFVASYRGYAAAGCTAPDAAACARSNGAWMSQELDNAAQEQLRRAQANYMIYNYCTDKYRFPQGPPPECSSPAK >Sspon.01G0023750-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:82753667:82756485:1 gene:Sspon.01G0023750-4D transcript:Sspon.01G0023750-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQGDGTEAQVTWEDQQNINRFGRLNNRLHELQDEIKLAKETNENLDDAGNELILSDEDVVRFQIGEVFAHMPRDDVETRLEQMKEDAAKKLERLEEEKESILAQMAELKKILYGKFKDAINLEED >Sspon.01G0044830-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:85662793:85665984:1 gene:Sspon.01G0044830-1P transcript:Sspon.01G0044830-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIRILYIVAFVFLALLLKLIRSYVTSSRTKPPSALRLPPGPWQLPLIGSLHHLFLSRFSDLPHRALREMSGTYGPLMMLRFGAVPTLVVSSAEAAREVMRTHDLTFCDRNLSVTFDVLSCGGKDIIFSPYNAQWRELRKLCMLELFSQRRVLTFRSIREEEVANLLRSISRESADGQPAVNLSEGICRMINDVAARTVVGNRCEYRDEYIHELDEVVRLAGGFNLADLYPSSQLVRRFSAAARDARRCQRNMYRIIQSIIHEREAMPTPERDEDLLGVLLRLQRDGGLQFTLTDEILSTVMHRVQSEMRETFKGQDKITEDDLVKLRYLQLVIKETLRLHAPVPLLLPRECRESCQVMGYDVPKGTKVFVNVWAVARDTKLWHDAEEFRPERFESSSIDFRGNDFEFTPFGAGRRICPGITLGLANLELALASLLYHFDWDLPDGVRLEEFDMAEIFGITLRKKSMLYLKAKPYNNFMPN >Sspon.02G0031430-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:108573816:108578483:1 gene:Sspon.02G0031430-2B transcript:Sspon.02G0031430-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTLPHFSGHPGNDMASPEEVSDTVTMKVMRTRRINASYMAREFVTIEAAGFSLENTPFIPSTRNRFMAIGCNTLGLIGGNTHSNSDIYVTGCYSYCQGINSTSDGAPCTGMGCCETTISPNLTNFAALLYNQSSVWSFNPCFYSMLVEDGWYSFRRQDLVGHLGFIKERATRGVPVVGDWAIRNGSCPKDGAKAPKDYACVNTNSYCVSANNGPGYLCNCSQGYEGNPYLSGGCQGIDECKLRKQDLKYKELYPCENGVCHNTQGGYICKCRIGTRSDGTNSGCRSVLRQAEQNKLRDILDTEIVDDEVMIVLEKLAQLVMHCLSPKGDERPTMKEVA >Sspon.05G0026490-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:42148437:42157560:-1 gene:Sspon.05G0026490-1P transcript:Sspon.05G0026490-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLPSTAVALPRLRLRLRLRLPLPLPLHHRPIPLPLPLRHHHSSPHPRLLPLAASLPPPPPEELLHTQATGLVAASQANFMRVIVDAAAPGLDHHRGSDLLCVVRALLKKIRRRVLVGDRVLVGAVDWTDRRGMIEDVFERRSEVADPPVANVDRLVVLFSLDQPKPEPATLTRFLVEAESTGIPFVLVFNKVELVDEQTIAYWRDRLKSWGYDPLFLSVDKQSGFTALEEMLQGQTTVVVGPSGVGKSSLINALRCNQDISEEDPIHKLVEQNSKWFGEQRVGTVSKKSGKGKHTTRHVSLLPIAGGGFLADTPGFNQPSLMKVTKKSLAETFPEIRKALKENEPTKCLFNDCVHLGEHGCVVKGEWERYPYYLQMLDEIKIREEIQLRTFGTKREGHVRYKTGVMGVKQAEPRLELKKHRRVSRKKINQSILDEIEDDLDDDYRFDVKQRTRK >Sspon.07G0022960-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:11955781:11958099:-1 gene:Sspon.07G0022960-1B transcript:Sspon.07G0022960-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGKNLSNKQQAEQKNPDAVTDSVPSQDQEALNPFPDSDDGNTSPLNGHEADVNMEAAISTEDIIRAGGFGAKDDIGSLLPTAIDSTDFEASLRDARDFEGEKEAPAHPGLGWKGEKADDGSKLSDVA >Sspon.02G0022300-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:68143830:68146294:-1 gene:Sspon.02G0022300-3D transcript:Sspon.02G0022300-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFCPRSLLGVGPRLRSFLRDYDALQSLALALIYLQIVCALIGSLGALFNGVLVINLVIGLFAVVAIESSSQRLGRTYAVLLFFAVVLDVAWFILFSHAIWTITPDEKYGQLFVFSLRLALWMQIIGFSVRFLSSFLWIQMYRLGASSTTPTYFETNHEARNSFLSPRSDSVRRSSMADDILGGSIYDPSYYSSLFEDVRNNACNH >Sspon.02G0023550-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:80379908:80381287:1 gene:Sspon.02G0023550-1A transcript:Sspon.02G0023550-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:EMB3119 [Source:Projected from Arabidopsis thaliana (AT3G04790) UniProtKB/TrEMBL;Acc:A0A178V804] MAAATAISVRLHPTAARHVASSARRRARLGSVRAQSAPAAAAALTQDDLKRLAAVRAVEQVQSGMVLGLGTGSTAAFAVAEIGALLAAGKLEKIVGVPTSKRTFEQAQSLGIPLSTLDDHPSIDLAIDGADEVDPDLNLVKGRGGALLREKMVEAASAKFIVVVDETKLVDGLGGSGLAMPVEVVQFCWKYNLVRLQELFKEEGVEAKLRFEGDKPYVTDNSNYIVDLYFKTPIKDALAAGQEIAAFEGVVDHGLFLNMASSVIIAGTDGVSVKTK >Sspon.02G0044620-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:115455416:115456328:-1 gene:Sspon.02G0044620-2C transcript:Sspon.02G0044620-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTVREETRKGPWTEQEDLQLVCTVRLFGERRWDFIAKVSGLNRTGKSCRLRWVNYLHPGLKRGRMSPHEERLILELHARWGNRWSRIARRLPGRTDNEIKNYWRTHMRKKAQERKRNMSPSSSSSSLTYQSGYPDTPSTIGVEGQELHGGSGCITSILKGTPPDMDGYPMDQIWMEIEAPEVPSEVGFVGGNENGCSSLATPLPPPTVWEYYPEACWKIDDEIKMAPQFSY >Sspon.07G0018020-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:80607142:80618008:-1 gene:Sspon.07G0018020-1P transcript:Sspon.07G0018020-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTATGHVTRSLSTGCPGRPTPAQAGFLTTTTFSHLYQLCAGTGRSALATGQAAHAQMLVSGFVPTTFVSNCLLQMYARCGGAAHARGVFDAMPHRDTVSWNTMLTAYAHAGDTGTAASLFGAMPDPDVVSWNALLSGYCQRGMFRDSVGLSIEMARRGVAPDRTTLAVLLKACGGLEDLALGVQIHALAVKTGLEMDVRTGSALVDMYGKCRSLEDALHFFHGMGERNSVSWGAVIAGCVQNEQYTRGVELFVQMQRLVLGVSQPAYASVFRSCAAMPCLSTARQLHAHAIKNKFSSDRVVGTAIVDVYAKADSLVDARRAFFGLPNHTVETCNAMMVGLVRTGLGAEAMQLFQFMTRSGIGFDVVSLSGVFSACAEVKGYFQGLQVHCLAIKSGFDVDVCVRNAILDLYGKCKALVEAYLVFQEMEQRDSVSWNAIIAALEQNECYEDTIAHLNEMLRSGMEPDDFTYGSVLKACAGLQSLEYGSVVHGKAIKSGLGLDAFVSSTVVDMYCKCGMITEAQKLHDRIGGQELVSWNSVISGFSLNKQSEEAQKFFSEMLDMGVKPDHFTYATVLDTCANLATIELGKQIHGQIIKQEMLGDEYISSTLVDMYAKCGNMPDSLLMFEKAQKLDFVSWNAMICGYALHGQGFEALEMFERMQKSNVVPNHATFVAVLRACSHVGLLDDGCRYFHLMTSHYKLEPQLEHFACMVDILGRSKGPQEALKFIRSMPLEADAVIWKTLLSICKIRQDVEVAETAASNVLRLDPDDSSVYILLSNVYAESGKWVDVSRTRRLMRQGRLKKEPGCSWIEVQSEMHGFLVGDKVHPRSREVYEMLNNLIGEMKLSGYEVSSALFAEVDEEGSTSEQDDFVGVATWKTSRLHLAMSAPNSDSNMQQLVPIAPPGKASSGDSGKELVVADPAGKSSGGVKLREDEEDLEVKLRRIMENVPVRVSNTSGSSAGSGSGDFHQYRQMRRREQDRIARMDADYQKRKEIAEFQLRREERLKAAEERTAKKRLKRQKKKQRKKEKRAKTGNSGGEEPNRVESSDDDEGSDDDDKSQQ >Sspon.02G0025870-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:89911676:89925255:1 gene:Sspon.02G0025870-1A transcript:Sspon.02G0025870-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDRGMRLRSPRQRASWQRSTCSCYARNSIRANNCRKKCGHCNEEKKKDLSQDNTRLKQPLNSVEVRTTEANSLYEMDKATQLIFGNVWSYKSTSLGDELNFAGSGKVMMALAKVATKDKLKSKVTMWLSGISGLTAKITVTTINK >Sspon.05G0035680-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:75513233:75519311:-1 gene:Sspon.05G0035680-2D transcript:Sspon.05G0035680-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAGDGGGGPEEGVGESSSPPRDPAPAASGGSGGGGRGGGLRDICREVFERLVSDGHAAGSELLAQLEAHFNRLPISYKLDVNIDKAEDVLIHHKVLAEAKDPDRRPAFAVRFLRLEEVNVDETTNSDAHEEGADIGEALSTRSKTYTHIHEILFSTKDKPKLLSQLSALLSDIGLNIREAHVFSTTDGYSLDVFVVDGWPIEETDGLHKALEASILRNEGSWSGSESSASERSVPFLAQDCETDIDTRLLKIVKKVASGSCGDMFLGTYSGEEVAVKVLNPENLNQNAWSEFKQEIYMLREVDHPNIVRFIGSCTKPPQFYIITECMSRGSLFDFLHNEHNVLDLPTLLKFALDVCRGMSYLHQKGIIHRDLKSANLLLDKDHVVKVADFGLARFQDGGVINHQPYDNKADVYSFALVLWELMTSKIPYNTMSPLQAAVGVRQGLRPQVPENAHPRLINLMQRCWEAIPSDRPSFAEIIPELEDIQAQAQVSPQSPRSQFQMDPTLVGSENMPCLTAVSLLQRTSGETSQKQKDDNPGSSKD >Sspon.03G0045570-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:20667171:20670418:-1 gene:Sspon.03G0045570-1D transcript:Sspon.03G0045570-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLTPRLVVPIDVKKQPWEQKVPLHNRWHPDIPPVADVTEGELFRIEMVDWTGGRVRDDNSADDIKFLDLTITHYLSGPLRIVDSEGVPASPGDLLAVEICNLGPLPGDEWGYTAIFERENGGGFLTDHFPTARKAIWYFEGIYAYSPQIPGVRFPGLTHPGIVGTAPSVELLNIWNEREKRLAETSPQALKLCEIQEGTAEWHKMANEAARTIPGRENGGNCDIKNLSRGSKVYLPVFVEGANLSTGDMHFSQGDGEVSFCGAIEMSGFLELKGMKEYLSPVGPTPLLVNPIFEIGPVEPRFSEWLVFEGISVDESGKQHFLDASVAYKRAVLNAIEYLSRFGYSKEQVYLLLSCCPCEGRISGIVDSPNAVATLAIPTAIFDQIEFVLHD >Sspon.02G0026320-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2A:91457884:91460190:-1 gene:Sspon.02G0026320-1A transcript:Sspon.02G0026320-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRPPPLAATADVASVFTRRSHAATSSELTASTLPAYRSLIRELVSAGRLDDVDGALASARSHLAPDSLQPLYVVSIQAYARAGRLRAAVDAFERMDLFGCPPAAPAYNAIMDALVNAAYHDQAHKVYVRMLSAGVAPDARTHTVRLKSFCLTGRPHVALRLLRSLPERGCDAKPLAYCTVVRGLYAHGHGYDARHLFDEMLGRDVVPDVATFNNVLHALCQKGDIMESGALLAKVLKRGMSANKFTCNIWIRGLCEGGRLEEAVALVERMDAYITPDVVTYNTLLRGLCKDSKVQEAAQYLRRMMNQGCIPDDFTYNTIIDGYCKRGMLQEATELLKDAVFKGFVPDRVTYCSLINGLCAEGDVERALELFNEAQAKDLKPDLVVYNSLVKGLCCQGLILHALQVMNEMVEDGCHPDIWTYNIVINGLCKMGNISDAAVVMNDAIVKGYLPDVFTFNTLIDGYCKRLKLDSALQLVERMWTYGIAPDAITYNSVLNGLCKAGKAKEVNETFEEMILKGCRPNAITYNILIENFCKINQLEEAFGVIVRMSQDGLVPDAISFNTLIHGFCRNGDLDGAYLLFQKLDEKGYSATIDTFNILIGAYSSKLNMQMAEKIFGEMISKGYKPDLYTYRVLVDGSCKAANVDRAYVHLAEMVSKGFVPSMATFGRVLNSLAMNHRVSEAVAIIHIMVRMGVVPEVVDTILSTDKKEIAAPKILVEELMKKGHISYPTYEVLHEGVRDNKLTRNARKADASNMFASQHHKRSYQ >Sspon.01G0017870-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:66727010:66731367:1 gene:Sspon.01G0017870-1A transcript:Sspon.01G0017870-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQMQSRSKEQQVHEVARKSNEQTVLKQDTVDDEDSGDTTSSAGESKYPGWPGTSVFRMLIPAQKVGAIIGHKGERVRRLCEETRACVRIIGGHLCAAEQAVIIFGREQPDEPLPPAVDALLRVYQQTINDDSLDVGSDSVIVRRILAPSEQAASLIGEHGVMINSIMEASQTDIRVLDGDLPPVALEEDRVVEIWGLPARVHKALELVASHLRKYLVDRSVIPLFDCHVPMPILHMDMPPYHYIDYPEGPLHPVSPGYHSVSAEDLQHEQWIDTVYLRGRHPMGNLRHADTFEYRWEAPTPFRRYRSVTPPNHAITAYGPEASSPMEAYLSAPIELHSHHNLLNGWHSSPVNSTDSVERIRSLISVYGQQARLQKQTCQSAKLGKHPQFRISLHGSEAHPTRVSPRDSTEQPPSPGISACGREASPCFRMHPPTTVENLLNCRVSACGPEAQLPLHPAPTSSTSRSTAVASQVKKKMQVPIFYAEAVIGPAGERIEYIRRTSRSSILITNSEGAMSIEITGSAATDVLTAEQLIKNFMAEAAAASPGHCFDFIPSYLPAPRSAQADVLASPGTSEVSSLPERRLQMIY >Sspon.07G0018360-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:78369653:78407231:1 gene:Sspon.07G0018360-2C transcript:Sspon.07G0018360-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LIDDRRGYHDVPLVDNPRGRGYPEVVVGDRRGYSDIHVAQERRDYHDSRLLDERRVYPGVRMADHRAYPGSRGIDDRRAYPEIHEGPRMRAAPHPHPAVLEDELELQEVELRRLLAHNRALAEERDVLSREIQAGKDEFRHLNVIIADISTEKEAYINKLVDKRRKLEAELGASEHLRDEVRQLRGEIDKLITARKELSAEAASLMEELNREQSVQQQLPVLKTELDGLQQELIHVRTACGLEQKGNLELLEQRKAMEKNLLSMAQEIEQMRGELAKFEVRPWGTGGTYGMQMGSPEVTFTKNPYEDSYNINASSYNINAGVSEKGPLHPPESGSWGTYDKNRLHHGSSHEKSGRNNMREGEKMTQKDVSSQADGGGRRHMAPYESNVYKMKRTRTLISYFSPAVPEQQGDATGHGEQQPEANATPSPAPEQQNVQAPTLQSQAYVSLTLSFAYPALSGCVRRAHSGLAEGWAREMSVLVLGAKSGHVKSFCTE >Sspon.08G0009860-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:37044616:37047556:-1 gene:Sspon.08G0009860-2B transcript:Sspon.08G0009860-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRLRLVGPTPSTDADSAQNPGISGKAAEEGERVGEAKTPEPPRREVTDLGGGSEVVYIPRFVAREKAWEWFDYLDKFIPWTSPEIRVFGRSAKQPRDVCYVADEGLTVLKYSGHQPHAHSWDEFPVLKDILKVVLEALPGSYFNSLLLNRYKTGSNYVSWHADDEPLYGPTPEIASVTFGCERDFLLRKKPTKSQATSASGEAGPKRLKVTASQKQHSFLLKHGSLLVMRGYTQRDWQHSVPKRAKVSSPRINLTFRHVLS >Sspon.01G0053070-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:32103500:32107883:-1 gene:Sspon.01G0053070-2D transcript:Sspon.01G0053070-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONTAINS InterPro DOMAIN/s: Hepatocellular carcinoma-associated antigen 59 (InterPro:IPR010756); Ha. [Source:Projected from Arabidopsis thaliana (AT1G02330) TAIR;Acc:AT1G02330] MPRNFRKRGIEPDTDDRSDDEDTRRVALEEIKYMQKLRERKLGIPAGPAAASTNGSSARGRVGSGAAAAGEAEKEDLVLQDTFAQETAVTIEDPNMLRYVETELAKKRGKMVDVGHKEEMDHVDELYTVPDHLKVKKKNSEESSTQWTTGIAEVQLPIEYKLRNIEETEAAKKVLQEKRLASKPKSDANIPSSYSADYFHRGKEYDEKLRRENPGLYKDNDSRPSGSSGGKATDTKKPDGVGAGRREAASDEFMLQRFRKREKFRGLRR >Sspon.07G0022890-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7B:11325363:11325632:1 gene:Sspon.07G0022890-1B transcript:Sspon.07G0022890-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGARAWGCVLVKLIFFELFFATGLNVFDIFVVKTQQGFAAADMALDVGTLELEATGPGREVSAVDSNVSDTPFNRIDSRECSLSTPYFG >Sspon.01G0005100-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:11937831:11940256:-1 gene:Sspon.01G0005100-2D transcript:Sspon.01G0005100-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNKKKSKPSRSKKKPKTSADQALALDYVRAWAQPAPPPPEPSAAHADDFLPVQATRGGDVLFELHSHSNHSDGFLSPSALVERAHRNGVKVLALTDHDTMAGIPEAVSAASKFGIRIIPGVEISALYNPREVAGAGETVHILAYYGMCGPSRPDELDSMLFNIRDGRYLRAKNMLQKLTTLKMPIKWEHVAKIAGDGVAPGRLHIARAMVEAGYVENVRQAFNKYLGDDGPAYARGSEPFAETVVQLISRTGGISALAHPWSLKNPDAIIRSLKGAGLNGMEVYRSDGKVDGFSELAEKYGLLKLGGSDFHGRGGKDESDVGTVKLAITTLCCFLKMARSIWSSAMKDILLKFAEEPSAANLGNMLKFGRLTNFTGFSPMNNGIHVVDFCLSSWSSNDDMEDVELEE >Sspon.05G0024020-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:19670271:19673801:1 gene:Sspon.05G0024020-2D transcript:Sspon.05G0024020-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyridoxal phosphate-dependent enzyme, beta subunit domain containing protein [Source: Projected from Oryza sativa (Os04g0555900)] GLMGNIDGTGDSTGRQGYAADIDSIREAQARIAPYVHRTPVLSSTSIDAMVGKQLFFKCECFQCRGAFKIRGASNSIFALDDEQASKGVVTHSRFGASFIFIVLSAILRTERYRYDDMVGWFLCSGNHAAAVALAAKLHGIPAHIVIPRNAPACKVENVKRYGGHIIRSDVSIESRESVCKRVQEETGAVLIHPFNDKYTSSGQGTVSLELLEQVPEIDTIIVPTIGGGLISGVTLAAKAINPSIRILAAEPKGADDSAQSKAAGKIITLPSTNTIADGLRAFLGDFFRPVVRDLVDDVIVVDDTAIVDAMKKCYEILKVAVEPSGAIGLAAALSDEFKQSSAWHESSKIGIIVSGGNVDLGVLWESLYK >Sspon.02G0004440-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:13940367:13943606:1 gene:Sspon.02G0004440-1A transcript:Sspon.02G0004440-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEKKTPAVSDVGAWAMNVVSSVSLIMANKQLMSSSGYAFAFATTLTGFHFTVTALVGWISNATGYSVSKHVPLWELVWFSLVANTSITGMNLSLMLNSVGFYQISKLSMIPVVCLMEWVLNSKHYTTKVISAVIVVAAGVGICTVTDVEVNAKGFICACVAVFCTSLQQITIGSFQKKYNIGSFELLSKTAPIQALSLVILGPFVDYYLNGRSLLNYPFSRGATFFILLSCSLAVFCNMSQYLCIGRFSATSFQVLGHMKTVCVLILGWVLFDSALTVKNILGMLLAVMGMVVYSWAVEAEKKAAAPIPRNKSDMLDGEDVPLKARVSGVPAGDLEDGEMKS >Sspon.08G0000280-3P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:143116:145812:1 gene:Sspon.08G0000280-3P transcript:Sspon.08G0000280-3P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAEEDAAARRERLRALRAAKELLSTPDGAPTPATDGEQQNGNHEMAEEPALPGPQDAPDEAAKEDGSPTEEAKEVEDDGELPAMKFRNYLPHDEQLRGGKLAPVSLPKFEDPISAETAEPKEVENPFGNIAPKNPNWDLKRDVQKRIDKLERRTQKALAEIALEQQREKEALEESQD >Sspon.02G0023030-4D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2D:71402944:71408078:1 gene:Sspon.02G0023030-4D transcript:Sspon.02G0023030-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLENSEFLSGLVAPVIGPTCPVVADVILDRIEMDFSTDDVSVEMTRWDEILTLPVQNPPSLEFSAADISWSVVEGWKDSMDRLALIPYSRVNDFVRGESNNKDCPTRFHVEARRRRPPTMNCKPKVDGILEYILYWCSFGPDDYRKGGNVRPSRPISEKRKTPAGRPNTKRGCVCHFIVKRLIVEPSVALVIYNHNKHVDKKGIPCHGSMDKMAVGTKAMFAPYISDELRLQVMSLLYVGIPVETIMQRHTEMVEKQGGPSNRDDLLTHRYVRRLERKIRRSVYELDDDDAISIDLWVENNQDYVFFYEDFSDTDTFVLGIQTDWQLQQMIQFGSHSLMASDSKFGTNKLKYPVHSILVFDQHKNAIPVAWIITPNFAHDIEKRSAMAKRLGDAISSICRGNGDVELFEGFLQDFVDCAGFLDYFEARWLPRLGAWITVLKATSLATAQVASAVESYHHLLKLRLLNEADKSVYWRADWLVHKLGTKVHSYYWLDEFSGKNSFSRYWRSEWSSGPNPWCQGMQIPDSDVVIEGNYASVVSQKNKEKSHVVLNPGSEFALCDCSWSRKGNICKHVVKSAKVCRDRGLALPSLAMFHYYQALANLVHCPPSDTLISDHAMAVAVSVKTQLDAVLCAVNGSSSISPAFQDPQSTSKPRESETEEANSENGVCASQSQPGAGDDEDQDIPTGKKRKSGNASGDSEDVSIYQDSLARKKHKSGEASGDNEEASTEEDSRARESRKTGETYANDEEVSIGQDGRDREKRKSGEPSGNEGTSATQATQPSETETSQATNGLPVSSVKLRLAEGAIGA >Sspon.08G0022280-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8C:48585725:48586793:1 gene:Sspon.08G0022280-2C transcript:Sspon.08G0022280-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GSNDLAMQPRSARRHDRLLGGLLVDGFDEESCHSRYQSAMYRRNAGRQPSTYLISKLRRHESLQRRCGPGTAAYSNALEQLRSGKSVASPECRYIVSVPIEGLGNRMLATASAFLYALLTDRVVLVDPSNDMDNLFCEPFLGATWLLPEGFPLASYANFSIDTAETYGNMLKNKVIGAEAAAAAADASPAQQQLPAFAYVYLHSDAGIHDRNFFWDEDQRLLRHVQWLVMSTDNYIVPGLFLTKAFRGELDMMFPERDAVFHHLGRYLFHPNNHVWGLVTRYYDAYLAGATQRVGIQVRVFGAQPNSPELLEQITSCTQKHKLLPGSARDGRADDVASVACQEEVYSRSRHVPEAL >Sspon.08G0020830-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:26920993:26924123:1 gene:Sspon.08G0020830-1B transcript:Sspon.08G0020830-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHSYLLLLFLLLFITFNSSLLQSMAQTDASELATLLTIKKDWGNPSALSSWSSQNASSSCRWAGVKRCVNGQVSALSFQNLNIINPVPASICNLKNLSYLDLSYNNLTGQFPTALYGCSALQFLDLSNNHFSGALPADIDKKLSSSAMEHLNLSSNGFTGSVPLAIAGFPKLKSLVLDTNSFNGSYPGAAIGNLTQLETLTLASNPLAPGPIPDEFGKLKKLKMLWMSGMNLTGGIPDKLSSLTELTLLALSDNNLDGEIPEWIWKLQKLEILYLFSNSFTGAIGPNITAVSLQQIDVSANWLTGLIPESIGKLSNLWLLYLYFNNLTGPIPSSVGRLPNLADIRLFSNRLSGPLPPELGKHSPLGNFEVSNNFLSGELPDTLCFNKKLYDIVVFNNSFSGAFPAILGDCVTVNNIMAYNNHFTGEFPEKVWSAFPDLTTVMIQNNSFTGTMPSVISSNITRIEMGNNQFSGAVPTSAPGLKTFMAENNQFSGPLPENMIGLLTVLTLLDLSNNELTGEIPEEFNNLHLNSLNLSSNQLTGELPGSLQSAVYDRSFLGNRGLCAAVNPNINFPACRYRRHNQMSTGLVILFSVLAGAILIGAVGCFIVRRKKQQGRDVTSWKMMPFRKLDFSECDVLTNLREEDVIGSGGSGKVYRVHLPGRERGRGGGCGGTVVAVKKLWSRGKAEEKLDREFDTEVKILGDIRHNNIVSLLCYISSDDTKLLVYEYMENGSLDRWLHPKDNATATAALDWPTRLGIAIDAARGLSYMHDECAQPIMHRDVKSSNILLDPEFRAKIADFGLARILLKSGEPESVSAVGGTFGYMAPGTFLTSRPFCCFGVVLLELATGRVANDSSKDAAECCLVEWAWRRYKAGGPLHDVVDESIQDRAVYAEDAVAVFVLGVMCTGDDALSRPSMKQVLQQLARYDRTASVAGACRDGRDVDLGQVPKGKQGPFLGGDEESGNFVARP >Sspon.08G0007240-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:23549306:23555206:-1 gene:Sspon.08G0007240-3C transcript:Sspon.08G0007240-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGWSSSWICGGEDGGWLTVASPCVQRTLIDCVNVVLLIVYVSTLVAASACVRRWQCAAAASPRSGAPGWVLLVVSTCCVAAAVAYGVTALQDASDIKAAVPYFVRGLVWIALAASLHAQPTRAARAVAVLWWALFSLLVTAYNAEILAGGHSLGLAETIAWPVSLLLLLCALGSLLPRGHRDASNDSSGLSEPLIGKDRTVPTSELYRAGLFGQIAFSWLNPLLRVGRSKALDLDDIPLIADETLRTTHPEVCRGLEPPREILLTGFYAFLRTLSIAVAPFLLFAFVWYSNQEERDLRVGLALVGCLLLTKLVESLSQRHWFFDSRRTGMRIRSALMAVIFRKQLRLSIATLFWALKLGALPGLVPLVIFGFLNVPFAKMLQGYQAMFMVAQDERLRSTSEILNSMKIIKLQSWEDKFRHMIESLRDREFKWLRETQMKKAYGAVIYWMSPTVVSAVMYTATAIMGSAPLNASTLFTVLATLRVMAEPVRFLPEILTMMIQYKVSLDRIEKFLLEDEIREEDVRRVPSENSDVRVQVQDGNFSWKASGTDLSLRNVNLRINRGEKVAVCGPVGSGKSSLLYALLGEIPRISGSVAVLGSVAYVSQTSWIQSGTVRDNILFGKPFNKELYDKAIKSCALDKDIENFDHGDLTEIGQRGLNMSGGQKQRIQLARAVYNDADVYLLDDPFSAVDAHTAAVLFYECVMTALAEKTVVLVTHQVEFLTETDRILVMEGGQVSQQGKYSELLGSGTAFEKLVSAHQSSITALDTSASQQNQDQGQQASDEYIVPSALQVIRQASDIEVTAKGPSAAIQLTEEEEKGIGDLGWKPYKDYINVPKAAFQFFGMCTSQVLFTCFQIASTYWLAVAVQMDSVSAALLVGAYSGLSIFSCCFAYFRSLFAANLGLKASKAFFGGLMDSVFKAPMSFFDSTPVGRILTRASSDLSILDFDIPYSMAFVATGGIEVVTTVLVMGTVTWQVLVVAIPVTITMIYVQRYYVSSARELVRINGTTKAPVMNYASESILGVVTIRAFAATERFIHSNMQLIDTDATLFFHTVAAQEWVLIRVEALQSLTIITAALFLVLVPPGAISPGFAGLCLSYALTLTAAQVFLTRFYSYLENYIISVERIKQYMHLPVEPPAIIPENRPPTSWPQEGRIDLQDLKIRYRPNAPLVLKGITCTFSAGNKIGVVGRTGSGKSTLISSLFRLVDPAGGKILIDKLDICSIGLKDLRTKLSIIPQEPTLFRGTVRTNLDPLGLHSDQEIWEALEKCQLKTAISSTSALLDTVVSDDGDNWSAGQRQLFCLGRVLLRRNKILVLDEATASIDSATDAILQKVIRQQFSSCTVITIAHRVPTVTDSDRVMVLSYGKLLEYETPAKLLEDKQSAFAKLVAEYWANTKRNST >Sspon.01G0016830-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1A:57827211:57830415:1 gene:Sspon.01G0016830-1A transcript:Sspon.01G0016830-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLPSEVVREELIGHEAVEQMSKHAASRSVDVMKSLLLKRKPSARNAPKPPAEKRKKSSPLHVQFVRSPLLNRVLVSPRIRHSGAKHLRSPSMIRDGPSSTTLDRSPYSRSSAALINQKLHQPMKVPPGFHFQPTNEQQTCGSQDGGQLHPALLPLQQIESQLPVCTVPGEQVGQEVGNSAASRGMAAVATVKSLLSKRKATGRNALKPPTRKRRKSPGSHVQIMRSPQLKRILLSPRLRSPSVRGTGLPSDEMNRSPSTISSSSASYDDTTMDTSNSLVPVTEDVVRSYEEKNISLREIIKNTDSKKRIISFGMVASPHDGFTIFSALLKSLQDWKLEHKLFSITLDNAKNNNKMVGYLKKNLLDRKLVVANGDLLHMRCASHVLNLIVQDGFKILDNAIAHIRDSVKYIKSSQARKERFEEIIVQASISCEKRPPLDVPTRWNSTYLMLKSAVDYRTAFEALDSQDMSYIDNPSAPEWTLAYILCDIFKIFYDGTNAVSGTLYPTANLYFHVLWKVKERLEKEASNKDSSIAAMAVKMKEKFQKYWDLSLLQICVPVVLDPRFKFNFVAFRLAAGFGEKGPIYTEQVKTTMKNLFAAYSPTLPDENNSQPRQIDEIADDEDDWADWEQHLTQQRRRKAKNELDVYYQDDLFPRQKSFDVLQWWKMHSAKYPIISRMAKDVFATPASTVASEAAFSTTGRVVSEYRSRLTSKNIEALVCLQDWLRAEGMFL >Sspon.07G0007050-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:15044613:15048072:-1 gene:Sspon.07G0007050-4D transcript:Sspon.07G0007050-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADEDIQPIVCDNGTGMVKAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQAKRGILTLKYPIEHGIVNNWDDMEKIWHHTFYNELRVAPEDHPVLLTEAPLNPKANREKMTQIMFETFECPAMYVAIQAVLSLYASGRTTGIVMDSGDGVSHTVPIYEGYTLPHAILRLDLAGRDLTDHLMKILTERGYSLTTSAEREIVRDIKEKLAYVALDYEQELETARSSSSIEKSYEMPDGQVITIGSERFRCPEVLFQPSLVGMESPGIHEATYNSIMKCDVDIRKDLYGNVVLSGGSTMFPGIADRMSKEITSLAPSSMKVKVIAPPERKYSVWIGGSILASLSTFQQMWISKESMMKPVQALST >Sspon.07G0001180-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:2748599:2755646:1 gene:Sspon.07G0001180-1P transcript:Sspon.07G0001180-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTAAGAPRAQTLRDLAEEGKKRAVLLLVFAFGLAFLMSLTSSSVWFNLPCATALIVLFRYISLDYDLRRKSTTSTDHASHSLVKTKSTEVKKVLHQTEKDGKLDWRSKVNSPPVEAAFEQFTRHLITEWVTDLWYSRVTPDKEGPEELITIVNTVLGEISVRARNVNLIDLLIRDLVDLICNNLELYHFCQAKIGREKFVNLPSERRDAELKMILLAENKLHPALFSAGAEYKVLKSLADGLISITVKPQDLQCTFFRCTARELLACAVLRPVVNLANPRFINERIESLALSHTNKLEKGVAESLEDATTVKHREPHMPSIDEFSALTDHSSPGVELVRFHQGQSKTASDIQPSKSTNPYSLKLESSHASLISSSHPLESTSLASSSRVASDNGFSLHTKSNNRATADGHGRERAQPLGISSERTHQALALEHLEDMWTKGKNYKSENAKHITKVSVGSASLGSTSVQQSVPCSTSICQNPSNSERQAASAQLEDQHLVRHSTAPTYPNGIPKSISAEMAEHAGPEDFGVESESSYATEDDEFNNVTGLDSPVTRVWESKSKGNATLSHIHHPLESPGFHRAKKNRSHVGKLKMSRTSSGRKRSRSNAQKPPIWQEVERSSFSVGDGMDILNTSANDSKTDELDEDPEVESMARMFSSSNASSLSLPSSDSSYASNYRGANVLQDSYLKLRCEVVGANIVKSGSGMFAVYSISVTDANGNNWSIKRRFRHFEELHRRLKEYAQYNLHLPPKHFLSSGLEVPVVRERCKLLDIYLKNLLQIPVVSSCIEVWDFLSVDSQTYIFADSLSVIQTLSVSLDERSNGKNIKALNSSGALNGNLISGGQSLHGHIDDTVHKDSDIAGDGLRFRKGNVNKNLGHTTDSVRDTTANHYQDNSGSDPEQNDHSFSIDAVNPKKLRSSETNDTSQISESDGFSVSPNDWMAPNLSVPLFHLVDVVFQLQDGGWIRRQAFWVAKQILQLGMGDTFDDWLVDKIQLLRKGRIIAFAVKRVEQILWPDGIFMTKHPKRKTSPPPGAQSDVMGNYLSDEQRIEAAHRANFVRELIIDKAPSPLVSILGGVTSYCLLIYFLLSSVECGFQYGTMTRVSND >Sspon.05G0015330-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:56418865:56423106:-1 gene:Sspon.05G0015330-3D transcript:Sspon.05G0015330-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rhomboid-like protein 19 [Source:Projected from Arabidopsis thaliana (AT3G07950) UniProtKB/Swiss-Prot;Acc:Q8LF05] AAAVVMMESQPLQDPTATAPAPGSAEPAGLSFLQTPGKEFTRTCKGLVVVLIGGYVLLQLLPSSLNYLASAPCRTIPYVWTVFTAGYIEFLLLQAIGSSLGLLFCGKDIEPAWGRKEFLKFIILINSICGILAFCFAIGLYYVTGAMTCRVTPLSGFHGCLAGFLVALKQLLPNLELPMCFFWLLLLQWMPFFVVCFSSIMAFIVPDSINFLPTLVSGMYVSWLYLRYFQRNPLTGLKGDPSDDFSFPSLFCFSCRPVTDPVANLFDRMLCARSRPSEVALPISDPTNLNHFRERGERVLEERLAADSAGDTEAAPHGHG >Sspon.08G0014710-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:54654741:54658287:1 gene:Sspon.08G0014710-2B transcript:Sspon.08G0014710-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVASTACSLPHGLFAQASRSYNSRFLRLSALSRTGLGPACFSSSGSGSPSASSSNNYTKTFDGIDIAGRRLANEVRSLKFVLEKGFKHSPCWRLVLDVVNKMSSLRKISFLAHSLGGLFARYAIAVLHSVETKNAGQSSALIVPTTRGSAKSRCTSGLGSIAGLQPINFITLATPHLGARGRNQLPFLQGLSILEKLAAPLAPLIVGRTGAQLFLTDGDPSKPPLLLQMASDCDDKKYILALAAFKNRVLHGRLANIFIEERKGSCKEGPHFPSKAARAKEAAQRTPNTENTEEYHQMMEEEMIHGLQKVGWKKVDVNFHSSFWPYSAHNNIHVKNEWLHNAGAGVIAHVADSIKQQESRPCLPANL >Sspon.07G0015830-3C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7C:61472815:61476536:1 gene:Sspon.07G0015830-3C transcript:Sspon.07G0015830-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIESPFDDLYTITPTGQQQDGYSSSSSQRQLTLYDHRLCDRHFFSQSTNDSNMQFSEVVTIPGMYNVSSNLGQNHHIAARVSPDYQRIRSNNALHHISQILMEDVDETISSHEGEAALQAAEKAFYDILKQVHPPSLDWSPLHNSSEAEGPGEGSNSYHKRPRKTSLASDISSHSMLQSLPAPLSPYGYGSSLFLPYQPLASTGRASRFSFPALQIRREAEDAKGFDKMVIRLDDNKLYICRLITTRAKKVVGKSKYAIFQITDNRNNPYIPDFDTREGRRRENYTITCEISRNEKFDSVLLNGLDCFIETTRLRDMVAKEASKNSQKGQSKAPAQQKLRGSRQLKKDVVDLRTLLIHCAQAVATNDRLLAGELIKKIRQHSSIDGECCQRLAFYFVNGLEARLAGTGSQLFHKVLAKRVSDEDKLKIYNFYLAVCPFYRASYAFTNQTIMEASVGQSRVHIIDFGVYSSFQWPSLIQCFSEQGVAPRIRITGIEVPLPGFNPMENIEQAGKLLADYANMYKVPFQYQGIYSRYEDIQIEDLNIEEDEVLIINCLYRMKNLGDETVAMDSARDRVLKIMRRMNPKVFIFGILNGSYSSPFFLTRFKELLFHYSSIFDMLDTNAPGDNEGRKLLEGGILGRQILNIIACEGADRIERPETYQQWQARCLKAGFKQLPLDPAITKSVLRMKKEFYHEDFIADEDNGWLLQGWKGRVHCALSKWKASRWHFLTSRVTHFVEHRMVASVLRGPVPLPPCYLAPLDVCGCLCYGLALCPSSDAGHSPDWRSLGLGPQAAAPGGSASLLHAVVAGVAGCSLWRASGRGGHGRMSGSRVGALAGCGGVGQMQDAALVRGFARGMGVAAVLAPWCLPGHSVASRWRSRGRCLGATDLGADLRARDLGVELGTTYPGAELGFKSRGQVSLAEAPFICSSSLSGSCSPQPAQSLE >Sspon.01G0059470-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:55949484:55956394:1 gene:Sspon.01G0059470-1D transcript:Sspon.01G0059470-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPASRRHQDDGAAPETTQRVFHFGKGRSDGNKAMKDLLGGKGANLAEMASIGLSVPPGFTVSTEACRQYQQGGGRAMPPGLWDEVVAALRVLERDMDSGLGDPCRPLLLSVRSGATVSMPGMMDTVLNLGLNDQVVAGLAQRSGCRFAYDSYRRFLDMFGNVVMGIPHALFEEKLEAMKVAKGLRNDTELDVKDLEELVDQYKNVYVVAKGENCPSDPKKQLHLAILAVFDSWDSARAKKYRSINRITGLKGTAVNVQCMVFGNMGIRSGTGVLFTRNPSTGEKKLYGEFLMNAQGEDVVAGIRTPQELDAMKECMPEPYAELVANCKILESHYKEMMDIEFTVQENRLWMLQCRTGKRTGKGAVKIAVDMVNEGLIDHRSAIKMVEPRHLDQLLHPQFEDTLSYEDKVIATGLPASPGAAVGQIVFTAVDAETWHSQGKSVVLVRTETSPEDVGGMHAAAGILTARGGVSGWRPGALRSADLEIFLSWVDEVKQIKVMVNADTPADALTGRKNGAQGIGLCRTEHMFFSSDKRIKAMRQMIMADSAEQRQKALDLLLPYQRVDFEGIFRAMAGLPVTIRLLDPPLHEFLPEGNIEDIVHMLSCHTNSTHEEILARIEKISEVNPMLGFRGCRLGISYPELTAMQACAIWPIAVNDQGMTLASFPTYISQGILQHDPFE >Sspon.03G0045280-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3D:12926022:12926195:-1 gene:Sspon.03G0045280-1D transcript:Sspon.03G0045280-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRASWMSLGMMVTKAGRKTRDDRNYDSYFHLHGDDALGPLPTDAAGELDVLGHDGD >Sspon.04G0031140-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:7585702:7601373:1 gene:Sspon.04G0031140-1C transcript:Sspon.04G0031140-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHDADRACLQSFELHESESRLYVLGTNSDRTLWRLLKIDRSEPSELVIDECSTVYTESEHPDLLKGLDEEHRSTGGVKFVTKFYGIIGFIKFLGPYYMLIITEQKRIGEIFGHPVYQVTRTSMVELANSKTRSTFQNSKDENRYRKILNALDLRKDFFFSYSYHIMRSLQKNLTDPQDGWTLYETIFVWNEYLTRRIRNCLRNTLWTVALVHGFFKQDKFSISGKDIMLTLISRRSRHYAGTRYLKRGVNEKGRVANDVETEQIVYEAVPGPTEVSSVVQNRGSIPLFWSQETSKLNLKPNIILHEMQNNYEATKLHFENLRARYGNPIIILNLIKTRERRESILRREFDKAIKIINKSLSEENHLRKPTNVLDVLLKVAFRALNLTEFFYCQVAPSSETSTQWPTLLNGLNPYLCDDNNSDDTECTEIVGDVSQEDISGSSDSSGNATAEDKFESSGLPPPKPPKFQKGVLRTNCIDCLDRTNVAQYAYGLAALGHQLHALGSIESPELGLEAPLAHHLMHFYERMGDTLAVQYSGSAAHNKTDFQDAVHKLFSFLGYFQPQQGKPALWELESSSGQHNNDLFDDHPSTLKRVKSDGTILHESNASISGSGHCHNEPLSASQPDVQNGFQFPTSESDSLHENEISSVCGSGVSHLRYTPTASDVLHVPRAEFEYCHDSGDSNFLDLEWLSTSGNSSDERSLTTSTPDVNLSTENVISGIAPDIMENHLAEMQSQKLPQNFVQWVNDGDTFWH >Sspon.06G0023910-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:48879726:48880422:-1 gene:Sspon.06G0023910-1P transcript:Sspon.06G0023910-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEQMCSMGDVAAVPVPPMQTSESNKISIIISPRAATSKIMPFEPIHAGSISSRPHADVAESSVAHAVHHHRWNQGLPKINAVPLIKKMIAEFLGTFILIFTVLSTIIMNEQHDGAESLLGIATSAGLAVTVLVLSLIHISGCHLNPAVSIAMAVFGHLPLAHILPYVAAQILGSIAASFTFKGIYHPVNPGITTIPK >Sspon.01G0013250-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:35963770:35964141:-1 gene:Sspon.01G0013250-1T transcript:Sspon.01G0013250-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGQRRRSGAADVASWCALLALVMVVGSLTGSGDEEEEQYWYNRGGSVVGRGARLAAARPCDELYVVAEGETLHSISARCGDPYILEQNPHVHDPDDVFPGLVIKITPRDAGNSNSGDGANK >Sspon.05G0029290-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:72404851:72407676:-1 gene:Sspon.05G0029290-3D transcript:Sspon.05G0029290-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHTAAAAAVAWAPGPSTSTSSSSSPSSFRVGVALTAVPASSAPRLVAASAPLGRRRRRQVVQAVANSDPAVELPLTAENVEMVLDEVRPYLMADGGNVALHEIDGNVVRLKLQGACGSCPASVTTMKMGIERRLMEKIPEIVAVESIADEETGLELNQENIEKVLDEIRPYLAGTGGGELEFVTIEEPIVKVRLTGPAAGVMTVRVALTQKLREKIPKIAAVQLLP >Sspon.03G0034630-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:72203187:72221732:1 gene:Sspon.03G0034630-2C transcript:Sspon.03G0034630-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MIDSKQAEEMQVNNEAPLGCLKPNISQYNSPEQRGGVEGFPENNEKRNDIVAAEKIWEASPIPNQGLRRPFYRQEFYAWPYIYSDYQMVRQPLPYGFDNQFYQINRDHGFPIENRVQYLPFKMLPQGHPHDVQLQEFQYFVVIDFEATCDKVNNPFPQEIIEFPSVLVNSATGKLEECFQTYVRPTYHQFLTDFCKELTGIQQIQVDRGVPLGEALLMHDKWLEDKGIKNTNFAIVTWSNWDCRTMLESECRFKRIRKPPYFNRWINLKVPFQEVYGDVRCNLKEAVQLAGLTWEGRAHCGLDDARNTARLLALMMHRGFKFSITNSLMWQPAPQSTTCQLSPDRSPDPVQQQQKPKEMLGSPVQVNPYATSAGKDRAMYCYCGVLSRWSVVRKPGPMQGRYFFGCGNWTATRRAICPYFAWAS >Sspon.01G0052450-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:22298199:22304712:-1 gene:Sspon.01G0052450-1P transcript:Sspon.01G0052450-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATHHRSISARKRKQGEGGKTRWHANTRHEQSSTMAGSRRLLLLPPLVLLLVAAGALLLPQRGSAEEGKVSLELYYESLCPYCSRFIVNHLAGILEDGLIDAVHLRLVPYGNARVGSNSEISCQGAGKVAVALYYESLCPYSARFVVNHLAKVFVDGLLEVVELTLVPYGNARIHPGEVISCQRAPPDHLLAFPCAASSGIAVLDFESWVSLLAGHGPYECLLNTVEACAIDAWPDLDVHFSFIYCVEDLVVKRQYEDWESCFQKLGLDPKPVTECYNSEYGHKLELEYANQTNALEPPHRYVPWDYENFEAYICKAYKGTPPKACEGLGRLQMALETAEVRNGVSYNSGVSKLATATEDGGREQ >Sspon.07G0007650-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:20534819:20543570:1 gene:Sspon.07G0007650-1A transcript:Sspon.07G0007650-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGARSGRRSRASGAGGGGYWSKRPRRVAEEEQEEEEVEPVEGEDEGEAVEGEDYCFVCKDGGELRVCDFRFTPLPLLPRPRYFLRLISSSRRAPLGVERREVGCHKAYHPACVEKDRDFLNSDEEFICEWHTCFICKGRSRYYCFCCPWHTFCQVCVKQAEFVPVLRKTKGFCTNCLRMAIMIEKNVDVDSDGERVDFNDRTTYEFLFKEYWEEIVKDKEGMTLDKLEKAYASLKKDLNCKQDLDLEKVHDEERSSDDDFVGNSDDDDNNKPSSITKFNGTSNTMKSFLREDKSMKNGYVGWGSKELIGFLSSIGKDTLNSLDQHGATEVVRVYIQQNGLLAKDNKKKLVQCDDKLKILFRRSKVKYNRIFSLLGKHIAVDMTSEDETSANSDDNNETFVRKKARIGNYSSSTPEINKRCFAALVRHNIDLIYLKKSLVVDLLKEPDTFDSKVIGCFVRVKIDPYDYSFYMHKTLRQHKKLHQLGLVTDDDFDEEECQDLRLLAKNESFKRPTVGDLEEKARSLRRDIVSHFEYLDKKQLLRKPSEQQRLLEEVPRVIPEMEDSKDTEFQVTGQDKPIQKSTVALQVTTAYTDGGTAVIHTPNQSTKGAKASTDGDKTETDVQHGSIEGAKASIDGDKTGTDVQHGSIEDADVITIEDDDDDDDDNRPCDKGEQTAVVAFEEKTAVFVDLEADDAGGNHPVQHETNSRGHRHVKVNGEVPLPIWYYIDPQGEEQGPFIMQHLRMWWESGFFTKDFRVWRAGQTSKDAILLTDALQMMTR >Sspon.02G0040280-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2B:67487225:67488089:1 gene:Sspon.02G0040280-1B transcript:Sspon.02G0040280-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LAGGPDLDLPDAIFGTIISLLPTDDSARTRALSTRWRRLWRCSPLNLCDGDIRGSSGDITAIVSWVFSAHRGPVRRLSLGWPWSLVMYPDLDSWLRSPALGNLQELELWHGFTRPYPMPPAAFLLSSSLSALALSGGDGPFGPFSNDGDYLKFPADDVDRLHFPNLKQLTIKCVIIAESALQTLLNKCPVLESLVLSANVGFGRLQISSPTLRSFGVSDNRVKLWDPERLKEVIIEDAPLLQKFFIRVQHYSERKGLSVRISGAPKLQFLGSLTHDITTLELETAILK >Sspon.05G0006540-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:21851692:21855199:1 gene:Sspon.05G0006540-4D transcript:Sspon.05G0006540-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPRRPMVHRGTVEQQNAQSNVNDQNYHQSMEGNSQHQPLLHAHHHPIPTGFDFIYPPAPVGRGLIHSTAGGVLGGMAEVVLPWALRGQLPASLYYTSPYHAATQNVNPRLRRHQMEIERSLHQIWFFLFVFVLLYQSRKRTEKVRTKKKRRRKHQEGLSTPPEKSFTIHMMHGFKHIKMLENCNEMPNGQTTH >Sspon.05G0037000-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:5D:7526182:7526679:-1 gene:Sspon.05G0037000-1D transcript:Sspon.05G0037000-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVELLIGGGGGASPVWRRLVAGSQRMGALVCVDGSMRWCGCRRRHDAVNETQNIMGVVGVDKDGVSEVTWDGPYWWSVPDTYHRCVTTFVGFISFPIRCRYISEFYPLHICIRYVSDKGYTAHHTYPCITGLNYAARIPIHVLVLEGYGHGNFLKKIRTRIRFTI >Sspon.07G0021430-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7C:2665946:2667301:1 gene:Sspon.07G0021430-2C transcript:Sspon.07G0021430-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLPFAILVIRSKPKQATITVSSIYRASWIIIRRSTSKATTSTTNNMMSQHGGLGDTARRWRELHGGGNNSGWAGLLDPLDLDLRRTVLRYGEMAQATYDAFNRERASPHAGLSRFARARFFDRVRLPAHAAAYRVTKFLYATSSVVVPEAFILRSVSRSRRCRESNWIGYVAVATDEGKAALGRRDVVVVWRGTMQKLEWADDLEFPMVPTRGLLGDGERCDAMVHRGWLSMYTSTDPASSHNQDSARHQALSEVRRLVDTYRDEELSITVTGHSLGAALATLNAFDIAANGYNVAAAGAAACPVTAFAFASPRIGGGGFKKQFDAVPGLRLLRVRNARDVVPKYPIVFYHDVGAELAIDTGESPYLRSPGHEQTWHNLEVYLHGVAGTRGPRGGFELAVARDVALVNKTYDALRDDYGVPPGWWVPLNRGMVEGADGRWSLMDCEEDEDD >Sspon.04G0025540-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:32262294:32263517:1 gene:Sspon.04G0025540-3D transcript:Sspon.04G0025540-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGSVPLGLGLDTAGLQVPWYFRCPISLELMRDPVTVSTGQTYDRASIESWVATGNTTCPVTRSPLADFTLIPNHTLRRLIQEWCVAHRSMGVERIPTPKQPADPDLVRSLVAQGPGLPALRRLRALARESDKNRLVMATHETRAALVEAAFGATSEELEAEAMAVLALVGLGEAEAAAVVGREERVARLGKVLGGVGSAPLEARVNAGAVAEAAASASGAEARAVLGAAEGVMDGLVALVEEKANARAVRVGIRALFALCLAKENRPRAVAAGAASALARRVAEGGAGEPERALAAVERLCRAEGGRDAVVAGAGGGAAAVAALVRAMSGRAAEHAAGALVAVVGGCEALQVEAVRAGAMSQLLMMVQGGCSERAKRKAQHLLKLLRSAWPTTDCIGNSDDFLQHY >Sspon.04G0000780-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:3301904:3308014:1 gene:Sspon.04G0000780-1P transcript:Sspon.04G0000780-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Receptor-like serine/threonine-protein kinase ALE2 [Source:Projected from Arabidopsis thaliana (AT2G20300) UniProtKB/Swiss-Prot;Acc:Q8RWW0] MGGVGAASLALLLLLLLLLLVRAEASALHGHSCFRQTEAVLLIATATRSKGSGYMRLIVGCKGCNTLIPLYSAATILPSPAPSPSIPPEARSSGPRISPSYPPRALPPGSPGFAMRHHSHKHPHHRESNQGPSPSSSPPPEGCSSYICTEPLTATPIGSPCGCVEPISVIVDLDLAPYLLFMSIAELEVEVAAGTFLKQSQVKIMAAVASIQDDQKTRVTIYLVPLREQFDTYTASLISDRFRDKKVQINSSIFGDYKVINISYHGLQSPPSSLPGGSDPSGTEDPITAEVPGQKKKHKKSDILIIVVVLVSSFGLLLTCAVVLILLVRWKKLGRLHEAMSPATTQAVNRRYGTRSTLSTSMVSSASASVFSTMATCTTSVKTFSLAQLQKATDGFDSKRVLGQGGFGRVYHGTIEDGNEIAVKLLTREDRSGDREFIAEVEMLSRLHHRNLVKLIGICIERNKRCLVYELIRNGSVESHLHGADKAQGKLNWDVRMKIALGAARGLAYLHEDSNPHVIHRDFKASNILLEEDFTPKVTDFGLAREASNATQPISTRVMGTFGYVAPEYAMTGHLLVKSDVYSYGVVLLELLSGRKPVSISESKDPENLVTWARPLLSHKEGLEKLIDPSLDGKFNFDNVAKVASIASMCVHTDPSQRPFMGEVVQALKLIYNDPDEACDDSYSPRNSSDQDGDYEGDLVFESGSWGFEASGCLDYRNSLPFVTMDYSSGRIEGPHDPRAALSAGPHVQSPVLQNRSGPLRMKKKLASFYRSRGSISEHGHLARH >Sspon.06G0017400-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:94331865:94332212:-1 gene:Sspon.06G0017400-1A transcript:Sspon.06G0017400-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding HGRGQLLGRHGERRRAGGGREADPDVPQELRAGAEPAGPEPGAHQRDQPEPRVPGARQPHPQRRPHPGAQQQHPPRRRPLRRPLLLLRPHHGRLLRRRLLRHRHPLLRRRRRRPQA >Sspon.03G0022270-3D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3D:54239287:54239733:-1 gene:Sspon.03G0022270-3D transcript:Sspon.03G0022270-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGGYSPRFQRQASCYCAPSVSRRGFVRAGFDLDGDDDVVFHSSSSSSKVDGGPGAPYYSAGAPRPSARARLRALWRRIMREKKRILLCSTGCVPAHAPAPHREPYDAYSYAQNFDDGETWVEPDNLSRSFSARFAVPSRVFQRVAV >Sspon.06G0004840-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:15374104:15377897:1 gene:Sspon.06G0004840-1A transcript:Sspon.06G0004840-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATIAQGALRTLPDNLKLVTVLSIDGGGIRGIIPATILAFLEAKLQELDGPDARIADYFDVVAGTSTGGLLTAMLTAPDANERPLFAAKDLARFYIEHSPKIFRQKSGMRSKLASTLRMVCGPKYDGKYLHGLLRRYLGDTRLDRTLTNVVIPTFDIAYLQPTIFSSFELKHRPWKNALLSDISLSTSAAPTFFPPHYFETKDENGKRRAFNLVDGGVAANNPTLCAMNQVSQDIILGDDNLFPVMPADYGKFMVISLGCGSNRNRRYCAKAAAKWGIFSWLFKDGNTPIIDMFNSASADMVDINLCVLFRALRSSQNYLRTNAEQLTKFAKQLSDERRRRQDEVTSTEVGLHIQHQAWYGDAPSTEGEDLVPGGEEGVVAAYESCKAQGGCCSSDVAASELEAVIFGNMWNSWGGEAAGFSLHLQDV >Sspon.03G0033840-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3B:62611129:62613532:-1 gene:Sspon.03G0033840-1B transcript:Sspon.03G0033840-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRRPEAELSLGHCRRMPPRTLPQLTSLAPYVLGLCRHSGSPYRSHARPALLPQHAQGWPLREEEGDAWPVHMNIRITRMTTKCHCKDPKTSGDLSQIGCEVRKANRNRQENGFVSTIKMWTGSKDLGDNRGFGGCKVDTEQGEVGSEVPCRYPYLLACSAVEESEAIGCGWDEISDEEAGYQRQLVKALPSDLAAPYLQTAAIAVRNMVLHVRLLAGR >Sspon.02G0011710-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:29672949:29675217:-1 gene:Sspon.02G0011710-2B transcript:Sspon.02G0011710-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLINLPNICWRSFTSCNMYSPSNQLQGSFTQRVDLWSTSCSQAVGHHARIGSVDFSVKKNVKSSQLQNATCFVGLGQQLQCRLSARSHILNVKPDILSYQKVSSVSWSLGSMPQRIGCVVSGLGFAVSGLASAEGPVNNNIDSTEPDKSSTNLSHGKKVYTDYSVTGIPGDGRCLFRSVAHGACIRSRKPIPNEDHQRKLADELRTKVADEFMKRRAETEWFVEGDFDTYVSQIRKPHVWGGEPELFMASHVLQMPITVYMHDNEAGGLIVIAEYGQEYGTEAPIQAIYHGYGHYDALQIPGKGGPRSRL >Sspon.01G0062950-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:108994338:109005121:1 gene:Sspon.01G0062950-1D transcript:Sspon.01G0062950-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sister chromatid cohesion protein SCC2 [Source:Projected from Arabidopsis thaliana (AT5G15540) UniProtKB/Swiss-Prot;Acc:A5HEI1] MGEEKEGRGEELPPSEAGEPSGGTSGEKQRDFGREASGERREVSGREVDEQRLAAGDGREALAVREMMMKMIWKMDKLAKGDLAKTCFTTFLVDNMELLQLKAIGVICTFSKNAVRTYHLADEDQKQIQMITALLVHLVQFSAIVPDSLKGTVDWTTIVDASGDADYYPIKCHEVATEACCRFWTDVLQRFTAAKSQDVSETKGIIDNLVQDFLTILNLPEYPAAASILENAGLKSKDTNARCFAIDLLGGIASRLKRDSVICSEKLWILQDLTDADCMGAGSQDNLQRDSVCPLCFCKQQLNVLQSYCQSQIKENGKKTTASASKKSAKPAEVPAVDIVRQILLSYLQEAGPQDDGNLFTRWFYLCIWNKEDQHSQEIIYYLARLKSKEILRDSGNGLAISRDSAKKICLALGQKNSFCRGFDKILALLLASLRENSPVIRAKALRAVSSIVEADPEVLGDKRVQSAVEGRFCDSAISVREAALELVGRHIASHPDVGLKYIEKVAERIKDTGVSVRKRAIKIIRDLCASNPNTDTTHAFVEIISRVNDEESSVQDLVCKTFYELWFEEPTGSHKHLVADGSSVPMEIAKKTEQIVDMLRKMPNHQPLITIIKRNLTLDFLPQSTKAAGINSSMVASIRKRCEFICKRLLERILQVEEGAANEMEIHALPYIVALQAFCIVDPTLCIPVTDPSKFVVTLQPYLNIQVDNKSAAQLLESIIFVIDAVLPLIRKPPQNVVEELEKDLKHMIVRHSYLTVVHACIKCLCALSKSAGRGPGLLENLVNIFYKHLSGASSDSQLLGRSLFCLGLLLRYGYQLMLTSENQLDFPKIINLLQRKYLLRDDFSLKVRALQTLGYILIAKPEFMLQKDMLKLIETALSPEVDYRLKIQGLQNLYEYLRDAESQLTAESTAKPPVQCEINGGSEVPVAAGAGDTNICGGIIQLYWSSILDRCLDTNDQVRQSALKIVEVVLRQGLVHPITCVPHLIALEMDPLEGNSKLAHHLLMNMNENNHKMAANIKSNPIAFVKPGISRIYRLIRSNRNSRNKFVHSIVRKFESDSRSRSTVSFLVYCAEVLASLPFTCSDEPLYLIYDINRVIHLRAGGVEGNLKRWTSMSQPQDTAGVSTLPRESHVVMQEPGGYYNNVGYVPERVNDNNPWSTSDVDMAKVQVDVDVAKVQVDVDMTKVQGDCHDAIALQLLLKLKRHLKVVYSLDDARCQAFSLKEPPKSGEAFSRQNVPFNIGNTNISLPSCLQDVASVYQDFKTALREDSMDFAVFIPSVQTRKRPTPRSTTNPTPRSTMRVRRTAAPSVTKAHGGARGDDSDDADDDDWTGGPRMLDFSGGGRVTRQRVQV >Sspon.06G0004510-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:11282248:11285023:-1 gene:Sspon.06G0004510-2B transcript:Sspon.06G0004510-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPAGAGTEGRPARRRGTGTGTSPGRNKVWVEPLGKSHHQTPARSPPPASSPAPAAAAAAKRVAVVYYLCRNRHLEHPHFIEVPLAAPEEGLYLRDVINRLNVLRGKGMASMYSWSCKRSYKNGFVWHDLSDDDLVLPAQGNEYILKGSELLDRSPPPDRQQNGVSNPKVEGLKHGKEESPQSRGSQEGCSSSSSPSAAGKEISPPPATPRPQQQAQSAVLPSSSASTNHEDDLCRTAQSGSSGNQSPEPAGRNAPLSEASSPGPSEYRVCRPIGAQDAATQTDGSERDVAEHHSRVAGVSMDTASDAEIQECNQRSSMLSPKVPEIVQESPAVCSSDASPGGRVETLESLIRAEASRRSCFRTLEEEHMLGPMGVKLKPANLLMQIITCGSISVKEHRGFGFIPSYRPRFTQVEFPSPVFSTPVALRHLDKIPCNTRTVGMRAPESECFSGSLVETKKQDESGRGISSLKRSSSYDEDRGGHSKSDTESSCESGSFRCLPQTIRIISCKQSRNETILSPVSDVRNSSSQQEYSTRSSPLGSSKSASNRMTDPSLGKLSSARVESFHEDKDVIKIEE >Sspon.04G0017870-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:64848605:64850091:-1 gene:Sspon.04G0017870-1A transcript:Sspon.04G0017870-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLRAPADEQRQEEALHGVAASGNESSNKKARAGLCGVLRERKVVDLARAKRRLVEVPYTATLAHTANALLAARVSGVAVAAPPGHWIGAGGSMILESDPATGAVRKHYIGMANMLDILAHIAEASDDAEADGEAVDLDRRMAVPVSSVIGHSLEGLTLWTLHPTTSVLDCMETFSKGVRRALVPLESSADNVVAVELVESAPGYRMLTQMDVVRFLGTHGAELKGVLSRTVRELGAVNDTVFAVAGGTKVIDAIRAMRAASLTAVPVVDAAAAEGTETLLYGNGQRAIETFSATDLRDCPVARLQPWLVISVTEFKRKVAEYRASNRPVVPGADATDTGTPADTPAVAAAVATDDEQSEQQQESAALVTCSPDSTLGEAIEAAASRHVHRLWVVDEEGLLRGVVSLTDILRAVRDAALSEDRELHSIVS >Sspon.06G0017150-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:93358842:93363106:1 gene:Sspon.06G0017150-1A transcript:Sspon.06G0017150-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLTRTEYDRGVNTFSPEGRLFQVEYAIEAIKLGSTAIGLKTKDGVVLAVEKRVTSPLLEPSSVEKIMEIDEHIGCAMSGLIADARTLVEHARVETQNHRFSYGEPMTVESSTQAICDLALRFGEGDEESMSRPFGVSLLIAGHDENGPSLYYTDPSGTFWQCNAKAIGSGSEGADSSLQEQYNKELTLQEAETIALSILKQVMEEKVTPNNVDIAKVAPKYHLYTPAEVEAVIARL >Sspon.02G0030280-1T-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2A:110121120:110121683:-1 gene:Sspon.02G0030280-1T transcript:Sspon.02G0030280-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGVRSSLAALLFLTLVVLAAAVSGGDDAAAAVEEHPLADEPYMLVAFQNHDGEEIRLLADKGTDSLSPSGFANRSGHWFYAIREEGKQGKRRRRLLPLPQSNNRPSRSSRAEVLWTTLGVLANTTAAAGDSDPAAADDDDERYMVVGMQTSGGDKIRLVVVADQRYVAVTGFAKGFSSCPQWFFLY >Sspon.02G0026050-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:96360214:96366356:-1 gene:Sspon.02G0026050-3C transcript:Sspon.02G0026050-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKPLDYEELNENVKKVRYAVRGELYLRASELQKEGKKIIFTNVGNPHALGQKPLTFGRQVRTIHLRFASHPHGVVALCQAPFLLDDPHVGLMFPADAIARAKHYLAMAQGGLGAYSDSRGIPGIRKEVANFIYKRDGYPTDPELIYLTDGASKGVMQILNTIIRNERDGVRAMVIINPGNPTGQCLSEANIKEVLQFCYHENLVLLADEVYQQNVFQDERPFISARKVMFDMGPPLSRELQLVSFHTVSKGNWGECGQRGGYFEMTNLPPKTVDEIYKVASIALSPNVPGQIFMGVMVNPPKPGDISYAKFAAESKSVHESLRRRARMMTDGFNSCRNVVCNFTEGAMYSFPQIRLPPRAIEAAKRAGKAADVFYCLKLLEATGISTVPGSGFGQKEGVFHLRTTILPAEEDFPAIMSSFKKFNDSFMAQYEGYSRM >Sspon.06G0005400-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:15986676:15988512:1 gene:Sspon.06G0005400-2B transcript:Sspon.06G0005400-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:4-coumarate:coenzyme A ligase, Lignin biosynthesis, Defense against woundin [Source: Projected from Oryza sativa (Os08g0448000)] MMVSPTEPKAETTVFRSTLPDIAIPDHLPLHDYVLERLAERRDRACLIDGATGETLTFGDVDRLSRRVAAGLRATLGVRGGGTVMLLLPNSVEFALAFLACSRLGAAATTANPLHTPPEIAKQAAASGATVVITEPAFVGKVRGLAGVAVVATGDGAEGCVSFSDLASADGSALPEAAIDVANDVVALPYSSGTTGLPKGVMLSHRGLVTSVAQLVDGDNPNLHFREDDVVLCVLPMFHVYSLHSILLCGMRAGAALVIMKRFDTLRMFELVKRHGITIVPLVLPIAVEMAKSDAIDRHDLSSVRMVISGAAPMGKELQDLLRAKLPRAVLGQGYGMTEAGPVLSMCMAFAKEPLPVKSGACGTVVRNAELKIIDPETGLSLPRNQPGEICIRGKQLMKGYLNNPDATAKTIDSEGWLHTGDIGYVDDDDEIFIVDRLKELIKYKGFQVAPAELEAMLIAHPSIADAAVVPLKDDSCGEIPVAFVVTSGGSEITEDEIKQYVAKQVVFYKRLHKIFFVEAIPKAPSGKILRKDLRAKLASGFSNGSSC >Sspon.02G0020370-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:67804933:67807928:-1 gene:Sspon.02G0020370-3C transcript:Sspon.02G0020370-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSNTVWSLLAVFAWLAAAAGAGSGDDGRSPQVYVVYMGAVPPRTSPDLLLETHLRLVATVLKRGRRADSVVVHQYKHGFSGFAARLSKDEAAALRRKPGVVSVFADPVYQLHTTRSWDFLQQTTTAAAAVKIDDSAGPARRRSSNKNKGSKAAAAPADDPPSSSPATDTIIGLLDSGIWPESPSFNDAGFGRVPSRWKGVCMTGDDFNSSNCNNKLIGARYYDLSSVRGPSPSDGGSPRDDVGHGTHTSSTAAGNAVTGASYYGLAPGTAKGGSAGSRVAMYRVCAESGCAGSAILAGFDDAIGDGVDVVSVSLGASPFFRPDFSDDPIAIGAFHAVAKGVMVVCSAGNSGPDAATVVNVAPWILTVAATTIDRDFESDVVLGGNNSAVKGAAINFSNLDRSPKYPLITGAAAKSGSVSDTDAASHCEPGTLDSSKIRGKIVLCHHSQSDTSKLEKADELQSDGAAGCILVNDAERSVATAYLDFPVTEVTSAAAAAIHKYIASASQPVATITPATTVTEYKPAPVVAYFSSRGPSSQTGNILKPDIAAPGVNILASWIPPSSLPPGQKQASQFNLVSGTSMACPHVAGAAATVKAWNPTWSPAAIRSAIMTTATTLNNERAPMTTDSGSAATPYDLGAGQVHPTGALDPGLVYDAGEDDYLRFLCNYGYNASTVSLIAGSTLPGGFSCAANASKDLISDLNYPSIAVSGLLGKATSRTVTVTRAVTNVGAQEAATYTVAVSAPAGLNVKVTPSKLEFTRSVKKLAFQVTFSRSGNDDDDDDAAAKGALSGSITWSDGKHLVRSPFVVTS >Sspon.07G0029280-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:75470605:75472035:-1 gene:Sspon.07G0029280-1B transcript:Sspon.07G0029280-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSQNHIHNQSAANPYYQFGSDNPFPGMGTQQQFPPFTSPFGASPSTNIPHMDWNPATMLDNLTFIEEKIRQVKDVIRTMVDSGGQLPCHQGEIAQKQQVVNADLTCLIVQLISTAGSLLPSLKNSSFLSHPAAGHVDMANHVGPSSSLVPNAMNVSEENKEDMCTPEDYEELFKGWTDVAMEEGIEIDNVLFEEQDMKDGDEGGDVGKDVENLPPGSYELLQLEKDEILAPHTHFCSICGKGFKRDANLRMHMRGHGDEYKSPAALAKPPRDASAEHELVKRYSCPFVGCKRNKLHKNFQPLKTILCVKNHYKRSHCEKSYTCSRCHTKKFSVMADLKTHEKHCGRDKWLCSCGTSFSRKDKLFAHVALFQGHTPALPPEEPKTCSDQISHAGSHHEPAKVPNSMGGSFMWGTSSGDNSALDIKGFDGCSDDFLSTANFGSFNLSFGPADGFTGEPSGGSFSMLPSEHFQSAQK >Sspon.01G0014360-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:40554025:40556814:1 gene:Sspon.01G0014360-1A transcript:Sspon.01G0014360-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFTEAFKQTGPCSFSPDSRFLAIAVDYRLVIRDVVSLKVVQLFSCVDKISSVEWAPDSEYILCGLYKRPMVQAWSLSQPDWTCKIDEGPAGIAYARWSPDSRHILTTSEFQLRLTVWSLVNTACVHVQWPKHGSRGVSFTKDGKFAAICTRRDCKDYINLLSCHSWEIMTVFAVDMVDLAGVEWSPDDSAIVVWDSLLEYKVLIYSPDGRCLFKYLAYESGLGVKTVAWSPCGQFLAVGSYDQAVRTLNHLTWKTFAEFSHAAYIRSPCNAAIYKSVVDL >Sspon.07G0001310-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:1884539:1885390:-1 gene:Sspon.07G0001310-2B transcript:Sspon.07G0001310-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGAALRLRLLYRMLRVGELLALVAFLSWSSSRVPSAAAAVLRFAGSLLLNARFVFVLGNAIVLLLLALSRHDLSASSSSSSSGQQTGTAATSTTAAPQPQDAAPAPASASFPSSFAGTPLSPPAPATSLEAFSAPPPVAAMEAAAPADAGTAFEFDDRPRAAARVSKLARAPRRSRSEKMGPRVLQLRRAASPPPTPELRRSESENGRRRSSVSARDARACGWGADDADEFRRTVEAFIDKHKRFHRQESMTMAVVAASGGGSGHCEAAPAFTGALAVVE >Sspon.07G0017670-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:79269791:79271884:-1 gene:Sspon.07G0017670-3D transcript:Sspon.07G0017670-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLPLRRRHLLRLCARRNPTFLCLSIAAALSTAPSAASGAAATPISDRLRVLRSLHAVAPDHLLSHPLPSSAHVCLAAHLAARARLFAHSRRLLSRLLGAGHRPHLAASLVDLLHRAALALGPRRSALPSVVDTLLSLLADHGLLDDAVRALARVRQLRAYSYFGEMKRQGVMANVVTFSTFVDAFCKEGLVRESMKLFAQMRVRGMMPNEFTYTSLVDGTCKAGRLGDAIVLLDEMVHQGLVPNEVTYTVMVDGLCKEGKVAEADNVLRLMERAGVKANELLYTTLIHGHFMSKNSERALDLLNEMKNKGMELDISLYGTLIWGLCNVQKVDEAKSLLHKMDGCGLRPNNVIYTTIMDAFFKAGKESEAVALFHKILDSGFQPNVVTYCALIDGLCKAGSISEAISHFNKMRELGLDPNVQAYTALIDGFCKIGSLNKAVHLMNEMVDKGLSLDKVVYTSLIDGYMKQGNLQDAFALKAKMIESGLQLDLYCYTCFISGFCNMNMMQEARGVLSEMIGAGITPDKTVYNCLIRKYQKLGNMEEASSLQNEMESVLSSCTEDDTASGSGCET >Sspon.07G0008380-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:19801002:19806391:1 gene:Sspon.07G0008380-4D transcript:Sspon.07G0008380-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAALLPLAPLPHHPNPPRRPLCRCGASRRGFTVHTAIAIASASASASAPTAAAEATSPSPPPSPPTQTPSSKPGSPVLGGIANTRSWSQYYGSGFSIRVPPSFDDILEPEDYNAGMTYYGDKAKPRAYAARFASPDRDELISVVIKPSNQLKITFLEAKDITDLGTLKEASKLFVPAGAKVFSARTIKVKEEEDIRTYYFYEFCLDKQHVALMATVNSGKTYIAGATAPEMKWGDDGVKLRSAA >Sspon.05G0013310-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:18435818:18436126:-1 gene:Sspon.05G0013310-1P transcript:Sspon.05G0013310-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding IHGVLRGREVEVLQEEPEQRQPARPGSRRSRRRGLSAEEIVQHEGRPGDRPARERCRRRGGGGRVRAAAVVLEPVRGAGEGAAGAVLHHAPLRDHARLLEGLL >Sspon.01G0033140-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:111749267:111753292:1 gene:Sspon.01G0033140-2D transcript:Sspon.01G0033140-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative pentatricopeptide repeat-containing protein At1g03510 [Source:Projected from Arabidopsis thaliana (AT1G03510) UniProtKB/Swiss-Prot;Acc:Q9LR72] MPNPHPSAHPTTIPICSSRPHPHLFTPPPGREAWSGRFWPTGWDCGSQRLAAAREHHPAPAARVSTSATGTRLGSAKGGKRHGRLGAGSSVARVREGVVGVRRAPRRGRGPSDAPYLWVLGAPSEDVETREDIGHWCAVAGDEERERVVGKAFLSPTVVRPSSNLKTMPSPSRHQRLASLTKLLTTHVNAGRHRDALGLFSRMLSAPDLPPLTDPSFAHAFPLALKSATALRVPGAAAAFHALAAKCGLLSSPFLASALIASYGARVVRGAGGASYELTRRLFDELPARNAVVWSAMISVHVRAGDLAAAVWALDHMDVAPTASCFNTVIAAVAESREHPTRAIEVYQHMRRVGVPPSFITLLALVPTCTAMGALMSIKEVHGFAVRHGMCARSHVGSSLIEAYGRCGSLAAAQRVFNQVHDRDVVVWSSLVSAYAFHGRAEVAMSLFQHMEDQDDVRPDSIMFLSLLAACAHSGRADDALQYFDVLTRRYGVEACGDHYSCMVDVLGRAGRLHQAYELIRTMPVKVTAKAWGALLAACRKHGDVQLAEVAGRALFEIEPENAGNFVSLANIYSGRGMHEDAERVRREMEQRVLMDWGATFSFVMEFLLPLAVSA >Sspon.01G0020110-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:74590101:74595859:1 gene:Sspon.01G0020110-1A transcript:Sspon.01G0020110-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MREDQRRAGGANQGRKSVEKRKGGSNRGKKRVGEVGFDLAGYVGARFPAGLVGAQIPCVLSLSRAQLLSRSRARARISFPAPPVPQLSSRAHACTRKLIDIAMRENAKSLYSVQLKSIGRGLDIDTLIDVRRAQLAYKLSDEIAAEMFREHVKKLVQENISSALDILKSRIPYGSYLPFEKRSIGGFTLLLNHVICRDSLTQAVEEVNIVIKFNSLLTTLSKHPEADRFARGLGPISLAGEHDHDRRADDLKILYKAYATEVLSDGIVDDEKLSPLNELRNIFGLGKRETEGILSDVKAHIYRKTLAKSFNTELASVPSKAAFLQILCEKLQFDPELASKMHEEIYRQKLQQFVADGELSKEEVEALMAFQVRLCIPQETVDAAHTEICGQLFEKVVKEAIASVDGYNADRREAVRKAAQSLNLKPEAAMAIFSKAVRKLFLSYIQRAKEAGNRIETAKELKKLISFNIVVVSELLADIKGEISPVAETEASREISPVAETEASSAASESEGEDDEHEWESLDTLRKTRPDKELKEKLRKSSQKEITLKDDIPLRDRAELYETYLMFCITGETTNVSFGTAISTKKDDSEFLMLKQLGDILGLTRKETQDVHIKFAEKAFVQQAEVILADGKLTEAKADQLAKIQKQVGLPTENAQKIIKGITTTKLSSAIEASVARGQIGIQQVRGLREANFQLDSLIAEPQRESIYRKTVEEIFSSGTGDFDEEEMYVKIPADLIISSEKAKSIVQDIAKVRLENSLVQAIALLRQKKRDDVVSSLNDMLACDAAVPASQPLSWPTPGELDDLYAIYLKSIPRPEKLSRLQYLLGISNEKANKIRDAASEGSLPIAAEEKEELAF >Sspon.03G0046930-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:50228870:50231236:-1 gene:Sspon.03G0046930-1D transcript:Sspon.03G0046930-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGGGGRAAADGNDASRTLGRESESRKRKAAVVPTEFDYLMGNEGDFNAPSEDSCRISKEIENLRSQLALKKKELKCYQQNKNLRVELDLKVKEVECLRKENEELKAQNKGLQNNIVEILDSQIESKKRHLQQTESWKTRLELSNARLEEEIRNLYEGNSEVTLKATLEEEVHNLKARDEDHLKEIADLRKQLHVCNQEVKDFSERCKSLEIEMITKNDEIDRLCNDLTAKRQGKALIEKSCAAILESFESKSTAAIKASSDAVKAFCPENVSLKHGDIQGYFKWMESSFEDLRQAAYLSGYADAMISARSIAYSSFEQTDAQQSGAGTQSVDPHAGGQPDAGASGDGSLVEELAPTCDGGPATADP >Sspon.03G0030400-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:29374177:29376679:1 gene:Sspon.03G0030400-2C transcript:Sspon.03G0030400-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ycf3-interacting protein 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G44650) UniProtKB/Swiss-Prot;Acc:Q9LU01] VLELLKKNRDMTFGEVKLTIMIEDPRDIERKRTLGIEDPDEITRDDLADALAEVNEGRIPENRVALQLLAKEMSEWPDIEIEAPKKKSKPGKSVYAKATDTGIDPVTAAKRLNLDWDSAADIDGEEEEDDETEVPSAVGYGALYLLTAFPVIIGISVVLILFYNSLQ >Sspon.07G0010850-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7A:36199367:36201886:-1 gene:Sspon.07G0010850-1A transcript:Sspon.07G0010850-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPLLHILLTLLLFTSLDTSPWSASAAAATDTLAAGQALAFGDKLVSRNGKFALGFFQPTAVISKSRNITSPNWYLGIWFNKIPVFTTVWVANRDTPITNSKLKQTHLKITSDGNNLAIVTQASPETETIVWSTPTPSANRTGASLNTTTTAVLLDSGNLALLELESPSSSNVTLWQSFDYPTDVVLPGAKFGRNKVTGFSRKAITWKSLIDPGLGSYSIEIDTSGVVLKHRSPSVVYWHWSSSSTSTLKLIPILKSILELDPRTKGLIDPTYVDNSQEEYYMYTSLNESSLTFVSLDISGQIKMNVWSHAQQSWQAIYAQPVDPCTPYATCGPFTTCNGTPSLSFCDCMPSFSQKSPQDWELDDRIGGCIRNTPLHCTSEKNTTSSTDIFRPIPHVTLPYNSQSVDGVNSQSNCEEACLSSCSCTAYSYNSSRCFVWHGELFSVNQNDGTDNNSEDVLYLRLAADDLPSLTRNKRKPSVGVVTAASIIGTGLLMLMLLLMMWRKNSKWCGTPLYDSQGGVIAFRYTDLSHATKKFSEKLGAGGFGCVFKGVLSGSTTIAVKRLDGARQGEKQFRAEVSSLGLIQHINLVRLIGFCCEGDKRLLVYEHMSNGSLDAHLFQSNAAGINWNTRYQIAIGVARGLSYLHQSCRECIIHCDIKPENILLDASFVPKIADFGMAAFVGRDFSRVLTTFRGTAGYLAPEWLGGVAITSKVDVYSFGLVLLEIISGRRNSPEAYSSNNYHIEYFPVRAISKLHEGDVRSLVDPQLHADFNLEEVERVCKVACWCIQDNELHRPTMGEVVHVLEGQQEIDMPPMPRLLAAITQGTGAITESSNASSM >Sspon.01G0009800-3C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8C:22135431:22136249:-1 gene:Sspon.01G0009800-3C transcript:Sspon.01G0009800-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEVTRDIQGGIPWCMLFADDVVLVDDSRAGVNRKLELWRHTLESKGFRLSRTKTEYMRCDFSTTRHEEGDVSLDGQVVAKKDTFRYLGSMLQKDGDIDEDVRHRISAGWLKWRQASGILCDKRVPQKLKAEMRMLRWCCGHTRRDRVRNDDIRDRVGVAPIEEKLIQHRLRWFGHVQRRPPKAPVRSGVLKRADNVKSGRGRPKLTWDESVKRDLKEWNISKDLAMDRSAWRLAINVPEP >Sspon.01G0060260-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:75631106:75638031:1 gene:Sspon.01G0060260-1D transcript:Sspon.01G0060260-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-acyltransferase [Source:Projected from Arabidopsis thaliana (AT1G69420) UniProtKB/TrEMBL;Acc:A0A178WF77] MGGNSGGGGNSDPGDPGIFLKSKRPSERESFSNGCSAVNNSEKLSNIFEGKDSSSYLTFTRLLCLIWFPFSCLCKRWFHSGDQFSEQNTSEEGMFFCSLCEAEVLQHSKHCRVCNKCVDGFDHHCRWLNNCIGKRNYKRFFILMASAVLLRGEFSGQIISKLGSSFSTVAFVIVVLTCTLLAMVATIPLSQLLCFHILLIKKGISTYDYIVALREQEQQEHSEHQSPQMSIISSVTGLSTTSSFGPLHRGSWCTPPRLLVEDQDVSHPDMPQNSTGKKARKDEGTKRKPPGAVKISPWSLARLNAEEVSKAAVEAKKKSKVLQPITRILKPDNRTDKSTMLLPVPERSLDPITRTCASGTDCNFSDMVMETPGSLVPLQHEARSAFQPSAALSIRNLNLTSSPESSLESPDLHPFRVSMSGADEFQSFMSLAASESTAQKSIALSRSTSGGYEASGGEDSDRIPSRIVHRSSNWTNVILNSGRQETMSNLSLPTSVGFIINTRLT >Sspon.02G0012290-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:32421254:32424669:-1 gene:Sspon.02G0012290-1A transcript:Sspon.02G0012290-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRDKSRRLSGSRHFRQRLVLATLTSTAVTIVDIRSGDAAPGLRPHELKYRPGVIIGGKGLEHDCGVHRGVGYFLEPLILLGLFARAPISIRLKGITNDTKDPSVDTFRTTLHMLKHFGVPLEGFNLNIDSRGSPPLGGGEVFLRVHNINSTLTAANWVDEGMVKRIRGVSFSTRVSPELESRIIYAARGIFNRFIPDVHIHKDHRSGSAGGRSPGYGVSLVAETTTGCLLSVDVTVSYPSVDEINEESEKPELTSPEDLGVQAASMLLEEVAQGGVVDSTHQGLLFILCALCPPDVSKVRVGQLTPYGIETLRNIRDFLDVKFIIKPDPNSNTVTLKCVGAGVKNLARKIS >Sspon.05G0003500-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:10456232:10460186:1 gene:Sspon.05G0003500-1A transcript:Sspon.05G0003500-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Organic cation/carnitine transporter 4 [Source:Projected from Arabidopsis thaliana (AT3G20660) UniProtKB/Swiss-Prot;Acc:Q9LHQ6] MSTTEPLLSAGAANDGEAGKKDQSSSKGRRVCIDEALSSYAGEFGRWQLRHFVAVSAAWALEALHTMVIIFADREPATSCAGSRCAAASSTVAEWGLVCGERYKVGLAQAVFFAGCMIGAGVFGHLSDSFLGRKGSLQVVCILNAAFGLLTAASPNYWAYAALRLLTGFSTGSVGVLAFVLATEPVGPARRGAAGMSTFYFFSGGIAALAGIAALFPRSWRLLYVVTSLPSLAFAVAVVPFVSESPRWYLVRRRPDDALRVIRDIAATNGRAVPEDLTLKLDDEDEEEQGKKDGGGGTVAPAAAASSGSIVDVFRSRTTRVRLVLSVLINLLTSVVYYGLSLNVVNLKTNLYVTVVVNSLAEMPAYLLTALLLDHFGRKPLAIGTMLLSGVFCTAGSLIPGAGIMRVARMACGVVGIFGMAATYNLLFIYTAELFPTVVRNAALGCTAQAAQMGAIVAPLVVMLGERLPFAVFGASGIVGVLLVFYLPETMNKPLYDTMAGLEEGEKSAL >Sspon.07G0028350-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:80229477:80234396:1 gene:Sspon.07G0028350-2D transcript:Sspon.07G0028350-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSAKPYGSTPTTHLSPSPSLSVRAAAAPRAPRSAHGLSAAAAAAGKATPSIVRLRAFAQRIRASQQQRRRPEYVPNRIDDPNYVRIFDTTLRDGEQSPGATMTSAEKLVVARQLARLGVDIIEAGFPASSPDDLDAVRSIAIEVGNPPAGADHVPVICGLSRCNRRDIDAAWEAVRHARRPRIHTFIATSEIHMQHKLRKTPEQVVAIAKEMVAYARSLGCPDVEFSPEDAGRSNREFLYHILEEVIKAGATTLNIPDTVGYTLPYEFGKLIADIKANTPGIENAIISTHCQNDLGLATANTLAGAHAGARQLEVTINGIGERAGNASLEEVVMAIKCRGELLDGLYTGINSQHITLTSKMVQEHSGLHVQPHKAIVGANAFAHESGIHQDGMLKYKGTYEIISPDDIGLTRSNEFGIVLGKLSGRHAVRSKLVELGYEISDKEFEDFFKRYKEVAEKKKRVTDEDIEALLSDEIFQPKVIWSLADVQATCGTLGLSTATVKLIAPDGEEKIACSVGTGPVDAAYKAVDQIIQIPTVLREYGMTSVTEGIDAIATTRVVVTGDVTNNSKHALTGHSFNRSFSGSGAAMDIVVSSVRAYLSALNKMCSFAGAAKASSEVPESASVQRT >Sspon.02G0030710-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:112709996:112715566:1 gene:Sspon.02G0030710-1A transcript:Sspon.02G0030710-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTARRLGVATVAVYSDADRGALHVRAADEAVRIGPPPARQSYLNAGAIIDAALRTGAQAIHPGYGFLSESADFAQLCETEGLKFIGPPASAIRDMGDKSASKRIMGAAGVPLVPGYHGADQDIELLKLEADKIGYPVLIKPTHGGGGKGMKIVERPDEFVDAVLSAQREAAASFGVNTLLIEKYITQPRHVEVQVFGDQHGNAIYLYERDCSLQRRHQKIIEEAPAPNVTAEFRSHIGGAAVSAAKAVGYYNAGTVEFIVDTLSGEFYFMEMNTRLQVEHPVTEMIVGQDLVEWQIRVANGERLPLSQEQVPLNGHAFEARIYAENVPRGFLPATGTLHHYRPVPSDPTVRVETGVKEGDTVSMHYDPMIAKLVVWGESRTAALVKLKNCLSNFQIAGLPTNVGFLQELASHSAFEKGLVDTHFIERYKDDLLSISTKSSEESHGVAELGAILAAACICKKDHITSKESLRANKTLSVWYNNPPFRMHHFARHPLDLELEENDGFSEKLLKLFITHKSDGSYFIETEDGTSGLDVKVDHKGDYDFRVEVSGLQTDVTLASYSKGNSKHIHVWHGKHHHHYRQTVRAEHVVDDSSQPSHASEGKSHPKGSVLAPMAGLVVKVLLEDGAQVEAGQPVMVMEAMKMEHVVKAPRAGYVEGLKVTAGQQVFDSSVLFTIKPSIMV >Sspon.04G0014350-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:59012918:59019018:-1 gene:Sspon.04G0014350-3D transcript:Sspon.04G0014350-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MACPDIIPPGPSLRTMPYFRPAPSLRQEYHECATCHAPAKTRCSRCKSVRYCSGKCQIVHWRQGHKETCQKWLGSGSSCFGGSSTEATEQMPFLTNLNSPLPGGDIHLRDMNFDTLSEPSFPTTDGYNLDTDPFLADRSNMNRSNQGIHMSENGAVGVSFEKNNYNAADEIHSPEILSGNKVSNNYFGSDAMSGNGDATYPAKSNAQQPSSCAPDMRKQSKASITVYQPDPGVYLTSDMVSSCEGSYASASEPLQRSLSSGKTIGKTYVVNKRPPHPSNKVVSAQKSQDGVSTSYQNDGHEKNPYNKNDQRCTPTCESSQPTSSNLQKFGASKVEVLKKPSKFLKTSLVGLINDNKRNKVLFPYEDLVKFFQYEARGISPRGLFNCGNSCYANAVLQCLMCTKPLMIYLLLRLHSKDCSSKNWCLMCELEQYASTLRESGGPLSPSRILSNLRNIGCRLGGGTQEDAHEFLRHLVMSMQAACLDGLGGEKHVEPSLQETTLIQQMFGGRLKSKVKCLRCYHESERYENIMDLTLEIHGWVESLQDALTQFTAPEDLDGDNMYKCGRCSAYVKARKQLSVHEVPNILTVVLKRFQVIVFVLNFIM >Sspon.01G0040350-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:72964327:72965223:1 gene:Sspon.01G0040350-3D transcript:Sspon.01G0040350-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPAPHVVVLAAAVLLLLPSLLARLAVAQPTKGAKAFCISQFAIASQACSILPPSPPDEHHHHDDDDEDEDEDEDDHDDDDDEHHDRDRRRSHHAAAVSISALMARSNGSHGVVSGNRTVGHHQHQGNRTRGGHGRGRDRGRGRGRRGRLRDGEDDHHDADDPDHDDDHADDDEHHDDDDHHDDDEDHHDTDDPDHDDDHDDEDDDDDDEHHHDEELRAYRDCCRWLKEVQKDCVCEALLRLPPFLVKPQHTYVVRLAPMLPAGHPPYDVHAAAAHAWPEFD >Sspon.04G0006050-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:18074474:18079049:1 gene:Sspon.04G0006050-3D transcript:Sspon.04G0006050-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAAAWRLSQRAVTSSLLGIGRSQIRNPFSTMASLSPAAASPKRLRVYSSAVADGDGTGSGKRVGTHNGSFHCDEALGCFLIRLTSQFAGADVVRTRDSQILDTLDVVLDVGGVYDPSRHRYDHHQKGFSEVFGHGFNTKLSSAGLVYKHFGKEIIAKELGVNEDHEDVHRLYLSIYKSFVEALDAIDNGINQYDTDQPPKYVNSTHLSSRVGRLNPDWTDPDQSPEKENAAFEQAMILAGSEFMESVRFHVKSWLPARSIVLECLLSRGKIDPSGEIMVLDRFCPWKLHLFELEEELKTDPLTKYVLYQDERSKSWRVQAVGVAPDRFESRKALPEKWRGMRDDELSAETGIPGCVFVHMSGFIGGNKTYEGALEMARAALKC >Sspon.07G0018390-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:66545553:66546980:-1 gene:Sspon.07G0018390-1A transcript:Sspon.07G0018390-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAGGGGNRDAAPMHVVMLPWLAFGHIVPFAQLARRLLASSSSVRVTFLTAAGNVPRVEAMLSSASSAGGGVAVVPLRLPCVPGLPEGAASTAELSPDGAELLKVALDGARPQVAALLAELRPDAELLDFATPWASEDAAALGVKSLHFSVFSAVAGAYLVVPARCPDGASAPSARDLLSGAAGFPGSSPLAATGVPPYQAADFAYVFASFGGQPSVYDRAVASIRPCDGIVLKTCAEMEGAYVDYFAAQFQKPVLVAGPLVPDPPQAGELLDERWATWLSAFPDGAVIFASFGSETFLPPAAATELLLGLEATGRPFLAVLNSPDGAAVVPPPGFAERVSGRGLVHTGWVQQQHILRHRSVGCYVTHAGFSSVVEGLVAGCRLVMLPMKGDQYLNAALFARELGVGVEVARRDEDGWFGRQDVCDAVAAAAVADGGGGDAWKKWADFFKDDAVQGRFADEFVRQLREVVSAAPS >Sspon.03G0005150-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:31179031:31183541:-1 gene:Sspon.03G0005150-2B transcript:Sspon.03G0005150-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPEPAVTAASDAQAPPTRRLLAPLLLSPPRMPTLRLAGSPFVATCPGANPGRIPSPPWLRCDAGRRRGLCSAEAARRGGDTEEREKGGGGRAAPERKQRGRSDALVGSGELLAIPGVGPRNLRKLVDKGFDDVAQLKQFYRDKFFGKSNEKMVEFLQNSVGIIHKNHAESITLFIKESVDEELKGTDTSKLPKNKRLTFCVEGNISVGKTTFLQRIASETIELRDLVEIVPEPIAKWQDVGPEHFNILDAFYAEPQRYAYTFQNYVFVTRVMQEKESACGIKPLRLMERSVFSDRMVFVRAVHEANWMNEMEISIYDSWFDPVVSSLPGLIPDGFIYLRASPDTCHKRMLVRKRSEEGGVTLDYLRGLHEKHESWLLPSKGGGSGVLSVSQLPVHMEGSLPADIRDRVFYLEGDHMHSSIQKVPALILDCEHDIDFNKDIEAKRQYARQVAEFFEFVKKKKESPTAETTDGDNKSINKQIVLPYRGGLWVPGSSPLPESALKSFDFRRTMSSFLST >Sspon.07G0010370-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:27818147:27819654:1 gene:Sspon.07G0010370-2C transcript:Sspon.07G0010370-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDNKEKENEHEKHDGADIEEEEEDEGHKRVVVLGPQVPLKEQLELDKDDESLRRWKEQLLGQVDTEQLGETAEPEVKVLNLTILSPGRPDLVLPIPFQADDKGYAFTLKDGSLYSFRFSFTVSNNIVSGLKYTNTVWKTGVRVENQKMMLGTFSPQLEPYVYEGEEETTPAGIFARGSYSAKLKFFDDDGKCYLET >Sspon.01G0030920-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:106568733:106572664:1 gene:Sspon.01G0030920-1A transcript:Sspon.01G0030920-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DDGDAEDYGGGWAAGGARADHPHRRAGQHRANARLRGGARRRRLRRRHGRRAQQHARLHLRHPPGPAVHVLLPVQAVVDDAAHGHLRPRRPAGDAVHALGEPPGHGRRRRRLRRGGVRGDAATAGGPVPGGAAGQRPRRAGDHPRERKSNGPPAWRAGRRRFVLRETETDGGGGGAAAVVRGLVRLVHVGRLLHRRHGRGRKARPQELGGGRHAAAVPDHRRRVAADRQREQGGVKQRRRPGRGAVRQQADGDQGERQVPEEGKEDGRRRQGRRRAAGGADADAGAEAAGGGGEARSRRQVRVRVARHGGVLGRREAGGGRDGALRERAGVPGAVAGGDGQPAGHRHGLAVGAGAGAGAPAQGAQLLRRAALVPRLLRRGRRQGGRAEHHRDARRGARRPRRAHPRIPPRARGLRRAQLPRQWVHLLHVPQHRHALQRAPDRRRPRLRRLLPARPGVAHRPCLLRRVQHPLPRRVHAAGLGHVPEPASGGGVPRRGEGDRRLPDLRQRQARQPQLRAAQEAGPPRWLRAPRAAPRPPHPRLPLRRPGARRHQPAQDLEREQMHWRGGRVQLPGRRVVPRHQEDPRARRRAGDADGVGPRRRRGRDRRPRGARMERRGRRVRLQVGGARQAARGRHAAGDAQGAGVRGVPRLPRQGGRAGRVVRAHWPARHVQLRRGGGAVRGPRRCHGSGHGRRRAQGPRLRPVRRVLLAEARAVHAGRGGGGVQLRRRYGARRAPHPRAGAGVLQMEPGDR >Sspon.02G0017380-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:50372200:50383772:-1 gene:Sspon.02G0017380-2B transcript:Sspon.02G0017380-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHWHCAISDSSLHAMAMVTTSSATLQPSSSCKQHASRGAARCCPPPLLSWKRRSCGQQQVTTTRATAASSRGQPPIGVAGVAGGEEGDSIRRLQNGSDVRGVALEGEKGRAVDLTPLAVEAIAESFGEWLREELRLRGEEPQQQLRVSVGRDPRLSGPRLGAALFAGLARAGCSVFDMGLATTPACFMSTILPRFNYDASIMMTASHLPYTRNGLKFFTKRGGLTSGDVENICDRAAQKYVARKMGLGTGGGSGTPPGVMRVDLMSAYAQHLRDIIKQRVAHPEHYDTPLRGFKVVVNAGNGCGGFFTWDVLEKLGADTTGSLHLEPDGRFPNHMPNPEDATAMSLTRGAVLAQGADLGVVFDTDVDRSGVVDAGGAAINGDRLIALMSAIVLDEHPGTMVVTDARASDGLTRFIESRGGRHCLYRVGYRNVIDKGVQLNADGVETHLMMETTGHGALRENYFLDDGAYMVVKIIIEMVRMKLAGVDGGVGSLIGDLEEPAESVLLRMDVLGESKHTKERATLAVEAFKKYIQEDKLSGWVLDDCGDCSVAEGCLEDSNDRPIDVDAYMYRAKLYDENQQRAIGMVHLRQSVHNPNIALNMQSYVPGGCKSMAKDLHERFLLASGLNEFVDISEVEKFVNHGTIVVRNQPVRQLVQHGGKPRLSHNQVPEIPGPAAHQTEVHAQTEEQCVKEQGA >Sspon.05G0013960-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5B:51213064:51214773:-1 gene:Sspon.05G0013960-2B transcript:Sspon.05G0013960-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMFHRLQKAVTTSVDCQPGRARRQIRVLQALDLAKTQWYHFTAVVISGMGFFTDAYDLFCISLVTDLLGRIYYHNMASDNPGSLPKHAVAAVKGIALLGTMFGQLFFGVLGDNMGRKRIYGVTLVLMAVCSIASGLSFHHTPTTVVTTLCFFRFWLGFGIGGDYPLSATIMTEYANKKTRGAFMAAVFSMQGLGNLAAGVVVLTVSTSFMNTPTYRTDMVGTADYVWRIVLMFGAIPALLTFYWRMRMPETARYTALIGRNLKQAVSDMNKVLDIDINDLTEEEDANMLARQDSFGLFSSMFMRRHGWHLLSTTVCWFMLDVVFYTLNLFMIDIFTAETNWFGDAKKEGILEQTQKIAKMQALIAVSGTLPGYFFTVMFVDSIGRIAIQLMGFAVMTIFILVLAAMNFSKKDGSHLPIGFAAVVYGCIFFFANFGPNSTTFIVPTEIFPTRLRSTCHGIAGAGGKAGAIVGVLLFLYAGDSIPLKLLMLAGCNLVGIVFTVFLPESKRMSLEDITGEIKEEMEYETEQ >Sspon.04G0019280-1P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4B:71773283:71775579:1 gene:Sspon.04G0019280-1P transcript:Sspon.04G0019280-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADKPNRAPAESSSTSVALSDARSSSATPRRGTKIRRRCPDPDGKLGVTAADCLEMLPWAATASPPSTAAAVSFAPPLRVAAPRSPPPLCRPLPRLLCAGLNPLPRAAASDGDVFWEEPDDGSGSDYEDDGPEQRRASRFPSSSPSSRLEAARQQEQDLRREIELLLTPEEKAILDQHETPDPKWHPLHSYALTLQIPLMDKLLDSGVDINLLDQDGFTPLHKAVIGKKEAVISHLLRKGANPHVRDRDGATPLHYAVQAGALQTVKLLIKYKVDVNVADNDGWTPLHLAIQSRNRDIAKVLLVNGADKTRRTKDGRTALDLSLCFGRDFKSYDLAKLVKLIPANRGV >Sspon.02G0031840-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2D:89193744:89194084:-1 gene:Sspon.02G0031840-2D transcript:Sspon.02G0031840-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQPADVNVLWGFCAADVAVVHVAECHSYPCFFQGGSSSDGTLASVRCLAYICITV >Sspon.01G0033570-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:114727613:114738100:1 gene:Sspon.01G0033570-2D transcript:Sspon.01G0033570-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKLRDLSQPIDVTLLDATVAAFYGTGSKEEVISSARLPARHLADYLPRNDFRRSAADQILRDLQNNPDMWLQVVHILQNSQNLNTKFFALQVLESVIKYRWNALPVEQRDGIKNYISDLSSNEVTFRQERLYVNKLNIILVQLLSEEIFDFSRGEMTQQKIKELKSSLNSEFRLIHELCLYVLSATQRPELIRATLATLHAFLSWIPVGFIFESPLLETLLKFFPMAAYRNLTLQCLTEVAALQFGDFYNVQYVKMYTFFMIQLQAILPPEKIPDAYANGSTEEQAFIQNLALFFTSFFKNHMRILEITQENAAALLMGLEYLIGISYVDDTEVFKVCLDYWNVFVLELFEAHNQMEPAATAQMVPGMVDGTGTAVQQRRQLYSGPLSKLRMLMICRMAKPEEVLIVEDENGNIVRETMKDNDVLVQYKIMRETLIYLSHLDHEDTEQQMLKKLSKQLNGEDWSWNNLNTLCWAIGSISGSMVEEQENRFLVMVIRDLLNLCEITKGKDNKAVIASNIMYVVGQYPRFLRAHWKFLKTVVNKLFEFMHEMHPGVQDMACDTFLKIVQKCKRKFVTQQVGENEPFVSELLSNLATTIADLEPHQIHTFYESVGHMIQAESDNTKRDEYLKRLMSLPNQKWAEIIGQASQSIDILKNQDVIRSVLNILQTNTSVASSLGPHFFPQISLIFLDMLTVYRMYSELVSSTIAEGGPFASRTSFVKLLRSVKRETLKLIETFVDKAEDLPHIGKQFVPPMMDPVLGDYARNVPDARESEVLSLFATIINKYKGEMLEDVPRIFEAVFQCTLEMITKNFEDYPEHRLKFFSLLRAIGTHCFQALIQLSSPVDGLTEPLWDASSVPYQYTDNAMFVRDYTIKLLGTSFPNMTVTESKEFSAQDNKDLYAEEAAAQRERERQRMLAIPGLIAPSELQDEMVDS >Sspon.01G0033630-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1A:113141158:113142633:-1 gene:Sspon.01G0033630-1A transcript:Sspon.01G0033630-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DETOXIFICATION [Source:Projected from Arabidopsis thaliana (AT4G22790) UniProtKB/TrEMBL;Acc:A0A178UU74] MTTPPPPAAAAPKQKHGLAAAVAAEVRAQRGIALPLIGMNLTWFAKQAVTTAFLGRLGDLQLAAGTLGYSFANVTGFAVLSGLCGAMEPICGQAHGAGNVALLRGTLLRATLMLLAASVPIALLWTRVDAVLLRFGQQPDIADTARTYVLCLLPDLAVTSVLNPLKAYLSAQEVTLPTLFAAALALALHIPLTVSLSARMGVRGVAAAVWLSDLALALMLAAYVLAHELRRPTKPQQQTKPTTAGDWLLLLRLAVPCCLNTCLEWWSYEILVLLTGRLPDARRMVGVVAVTLNFDYLLFAGMLSLSVSASVRVSNELGAGDAALARRAARVSIAGGLAAGLAGGLLMLAARRPWARIYTRSPEVRDGVGRAMKVMALLEVVNFPLNVCGGIVRGTARPLLGMYAVVGGFYVVALPVGVALGFKARLGLEGLLAGFLVGAAVSLAVLVTVIGCMDWAAEADKAWTRAGAAGAGSTTRVEPPPAKASAESEAC >Sspon.02G0017100-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:48985949:48987768:-1 gene:Sspon.02G0017100-2B transcript:Sspon.02G0017100-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYNEGFRYVRINSRSLKSIGVHTNSFRQGPTLEELIIEDAPFLERLISFERYSRLHISQPKLETLGCIFENSNQTMLTLGSTTFLGIRSVDMGPMVPMHTVKVLSMFPLSLCLDDMFSVPGEAVHPAAVSAQGHTNRWCRKHRNLIKYLDLCLKTIVITNYRGATEQSEFVTFFVENARMLESMRFVVPSCFYRDKNWIRRQHRQLKLDKSSSRGATFTFTDNQCHHNMIHALRAGDLSAADPFDFKCVLWSLFLLIQPTPLVF >Sspon.05G0013820-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:49350873:49351946:1 gene:Sspon.05G0013820-1A transcript:Sspon.05G0013820-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGTTLWEVCRRYDAINALFNNAMAADSHFLMQIVLREFGEVFHGIDSLVDVAGGVGGASMAIAAAFPCLKCTVLDLPHVDAKAPSSSIGNVQFVGGDMFESIPPANVIFLKWTLHDWSNNKCIKILKNCKQAIPSRDAGGKIIIIDIVVGSEPSDTKFLETQVIYDLHLMKIGGVEQDEQEWKKIFLEAGFKDYNIMPVLGLRSIIELYP >Sspon.01G0045590-3D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:84392112:84394164:-1 gene:Sspon.01G0045590-3D transcript:Sspon.01G0045590-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGPAPTRPTGASAAVASPSSSSSSLIFLGTGCSGALPDARCLIQPSEPPCTVCSTALSLPPDRNPNYRCNTSFLVDYCHDDGTHKYILIDVGKTFREQVLRCQLKRGFSEVQIILTHEHADAVLGLDDLWVALPSSQRNEIGKVPIFLTQFTMDSVAARFPSLVKQKLQEGDDFARPAQLDWTIIEGDVDKPFVASELEFWPLPQFRSLVPDKGN >Sspon.03G0011530-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:31350634:31356419:1 gene:Sspon.03G0011530-1A transcript:Sspon.03G0011530-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLASSAFLRRAAAMSSPASYSRRGYPRPRRGYLGRPSPPPPDAAAEIVSGDSHLSAVRAANESLRRGGGGGGGVRGPPPPYRQGPHPHQPQYGYGYGYGYGQTQQPSPPYGFVPYNYGHPQQQPPLPPGPQYGYGSPNPYVHGHLRPQPHGRGAPSAGFRPGAPQLPPRLADYRRRWRFAKQRPPHQAERFKVLSYNILADYLAQEHQFLYERIPSFILDWNWRKDKLLFEFGLWSPDILCLQEVDKFTDLEQEMASRGYNGTWKIRTGDAADGCAIFWRTTRFQLRYEEDIEFNKLGLRDNVAQLCVLERGDIKFGQVRTLLDKAYTLSKMWNNAPVILCGDFNSTPKSPLYKFMLEQKLNLSGLAKNTISGQQTGGSSQGLYTGPNISRSHPPFYPSNNREGNITLLNDCKPHTETTKLVETSSPAGREPVLTDTSSESLFDSKSSYSCGNNVPCSGSSKLDEQGLLNCLEDTVKSACAFDADEECKLTDKSNEGSPGGIKTESGEGPDIADAPSAPATVCTVILRSGSCEIIDSSQLLSSDNLAGDVISEELTDQLFTVSQDKPHEKENTIESMLSSEENCATNEPESSHFNGSQNVTDAIHQVSNVKLEGESSTGVEEPVHQPNGAVSDACVDQCSAEVVNSHSVSCRDEPENNAHAFEDDTTTDEVTCTDVNSDPSFFEELSGGNVHLLEEEDQLPETSDSSLSFQQVTLNEGITIMIRTGGHQKK >Sspon.05G0012990-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:29131369:29133540:-1 gene:Sspon.05G0012990-2D transcript:Sspon.05G0012990-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAGTLTRSPSCEPSSDPPRAASSEPHHDGDGSGAGAGDSSRRRRRSRWEQSNDDSAANNSGGEGGTGGRKRKTRWAEEEPRPAIALPDFLKDFAAEMDPEVHALNARLLDISRLLQPGGLPLDDRPEGARSPSPEPIYDNLGIRINTREYRARERLNRERQEIISQLIRRNPTFKPPSDYRPPKLQKKLYIPMKEYPGYNFIGLIIGPRGNTQKRMEKETGAKIVIRGKGSVKEGKLLQKRDLKPDPSENEDLHVLVEADTQEALDAAAGMVEKLLTPVDEVLNEHKRQQLRELAALNGTIRDDEFCRTCGEAGHRQYACPNKMNTFKSDVQCKICGDGGHPTIDCPVKGTSGKKMDDEYQNFLAELGGGSAPESMNKSGGPMLALTGSGGSSGPSAGTPPWSAGGGAAATGLNGIKKDYDETNLYIGYLPPTMDDAGLVSLFSQFGDIAMAKVIKDRNTGQSKGYGFVKYSDVSQANAAIAAMNGHHVEGRVIAVRVAGKPPQPAPAVSAPPSYPPPTDPTSGGYSSQSYMGAPPPPPPGSYAPVPWGQPPPYASYPPPPPGSSVYNPAPPAPGQAAPPPYGTQYPPPPPPPAAPIPPPGTAPSSDGAQNYPPGVTPPSSGAPTHPVVTSVYASSGAPNAPSMYPPPPYSYSPYYPPPFQPPPPPPPASVDPSQSIATAPWATHSAVPPPPPPPLSSTTDQPTASYGADAEYDKFMSEMK >Sspon.05G0036750-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5D:1197784:1200365:-1 gene:Sspon.05G0036750-1D transcript:Sspon.05G0036750-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LRLRALFGFPDRGSRILRLHRRIHDRGVLLRAHFGCADRGVLLRFADRGMLLHAHLGFADRGVLLHAHFGCADRGMLARAHLGFADHGVLLRARLNFDDHGVSLLVSVAIGATDTTGCNSTTSSPPSLPQAPSTSTDNMELTLGATGRAATSSHFSIAARSLNCGAPTNRSTKCLGGAVDAVTLAAASAAQVDPDSLFSTSHMTALRSLEARLNGPRAVSIGLENLGDIFGDMETLNVRQNFQYLRELLYCIRNLGDIFGDMETLNVRQNFQYLRELLYCISPLVSLRKLDVSYNSIVALPDFVGCLTKLQQLLLAGNGLNGTSPAQLAALSDFKALILSGELPPTWPSGCSTLGGNRVECAPGEVKFVHACATDTGRAGARPRRARLRRHCRAFGALCLRAPWLHCARTVFPMVAHPKPPSSSYATLIVCIITMGAHWVLDEMLNRPIPCPSFYGYAVPVHLTISQPPITLWKSFGRIQVCIWTFPVSYFVSLEGMDSCTDRCFDHSLRVVLDKAMFSRECLLGGCTDGMVVLCTSLVKRVVLQPWPPPEQFGMQGNGVHLRPTPWLVVIGAEVHHYCQQLQTKILNVVVVSYGRGNGFNQAIELCAEILANEKFIQEKNLVGKYLERISQDTGNWNLFILHDKVFSIIVDDVFIDDSVISNVKTSLWIWNKDHANWNLFVVCSAASLLGQVIPVGLDELHKVMEKSAKCPKYAQGSHRSAMQYPNIRYAASPEDWSTSSVISEILEPSLPEGFALFRNAKLLHQAAASTVIRITFMNSIQYLHNPWDPGGISTMHRLEGKPNFKEGGMLGDAYGTVWAGEYVRGLVCRL >Sspon.04G0021790-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:2768532:2775186:-1 gene:Sspon.04G0021790-2C transcript:Sspon.04G0021790-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutathione reductase, cytosolic [Source:Projected from Arabidopsis thaliana (AT3G24170) UniProtKB/Swiss-Prot;Acc:P48641] MARKMLVDGEVADAGQYDYDLFVIGAGSGGVRGSRFAASFGAKVAICELPFHPISSEWLGGHGGTCVIRGCVPKKILVYGASFSGEFEDSKNFGWEINGDINFNWKKLLENKTKEIVRLNGVYQRILTNAGVTMIEGAGSLVDAHTVEVSQPDGSKQRYTARHILIATGSRAQRVNIPGKELAITSDEALSLEELPKRAVILGGGYIAVEFASIWRGMGAEVDLFYRRELPLRGFDDEMRAVVASNLEGRGIKLHPGTNLSELSKTADGIKVVTDKGEELIADVVLFATGRTPNSQRLNLQAAGVEVDQIGAIKVDEYSRTSAPSVWAVGDVTNRINLTPVALMEATCFAKTVFGGQQVKPDYGDVPCAVFSIPPLSVVGLSEQQALEEEWRYSCFHLIIQPNEEQHIQFLSPTEVCNSVYVFRRQEKTIMKLVVDAETDRVLGASMCGPDAPEIIQGIAIALKCGATKANFDSTVGIHPSAAEEFVTMRTVTRRLSPTSKPKTNL >Sspon.08G0009190-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:35464896:35466001:-1 gene:Sspon.08G0009190-2P transcript:Sspon.08G0009190-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIQCCAVLLLPVALLVLAGSSPVVAQLELGYYSKTCPNEMEKIISAAPSLAGPLLRLHFHDCFVRGCDASVLLNSTATNLSEMDAIPNRSLRGFGSVERVKAKLEAACPNTVSCADVLTLMARDAVVLAKGPFWPVALGRRDGRVSSATEAADQLPPANGDIPLLTKIFASKGLDTKDLVVLSGGHTLGTAHCRSYAARLYNFSSAYSSDPSLDSEYADRLRTRCSSVDDKTTLTEMDPGSYKTFDTSYFRQVAKRRGLFQSDAALLADAATRDYVQRIATGKFDDVFFKDFSESMIKMGNVGVITGADGEIRKKCYIVN >Sspon.08G0014810-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:60030232:60032791:-1 gene:Sspon.08G0014810-1A transcript:Sspon.08G0014810-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAAIYNLFIINKSGGLIYYKDYGSAGRMDTNDSLRLASLWHSMHAISQQLSPTPGCTGIDLLQAHNFDLHCFQSLTGTKFFVVCETGAPNMEMLLKVIYELYTDFVLKNPFYEMEMPIRCELFDHNLAQVIQKDRVALLGR >Sspon.08G0011830-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:47101394:47105315:1 gene:Sspon.08G0011830-2P transcript:Sspon.08G0011830-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPDSIPTRSSLPLAAAPMSDPTPFPSAASPPPNPLAAAASFLQHHLSRLASHLNAPRPALAAAAARTPGPQGASLSLALAPDEVARALTGTPVFTVCNSSNEFVLVSDPATGLRSLGLLCFRSEDADALLSHVRTRQPVLGKGAKVVPITLDQVYMLKAEGIAFRFLPDPLQIKNALEMKSGLTAFDGVPVFQSDLLVVKKQKKRYCPIYFQKEDIERELTRASKGSRGSALSKQIMVGSLEDVLKKMEINERNSGWDDLIFIPPGKSLNQHINEVSA >Sspon.07G0008090-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:21988748:21989821:1 gene:Sspon.07G0008090-1T transcript:Sspon.07G0008090-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ATPMAPPANAQQQPQVQLAGGRKVVDEVSGWLRVLDDGSVDRTWTGPPEALPLMEPVAPYAVPRDGHTLHDLPGEPNLRVYLPEAKAEAGARLPVILHLHGGGFCISHPSWLMYHHFYARLACAVPAVVVAVELPLAPERRLPAHIDTGVAALRRLRSIALSEDVSALDDPAAALLREAADVSRVFLIGDSSGGNLVHLVAARVGQEADAGSWAPLRVAGGIPIHPGFVRATRSRSELETKADSVFFTLDMLDKFLALALPEGATKDHPFTCPMGPQAPPLESVPLPPLLVSVAENDLIRDTNLEYCNALRAAGKEVEVLINHGMSHSFYLNKYAVDMDPTTGERARELIDAIKSFIS >Sspon.05G0020210-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:88890409:88896497:1 gene:Sspon.05G0020210-2B transcript:Sspon.05G0020210-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTKVKRRVGKYELGRTIGEGTFAKVRFARDTVTSEAVAIKILDKEKVLKHKMVEQIKREISTMKLIKHPNVVRIYEVMGSKTKIYIVLEFATGGELFDAIVNHGRMREDEARRYFQQLINAVDYCHSRGVYHRDLKPENLLLDSYGNLKVSDFGLSALSQQIKDDGLLHTTCGTPNYVAPEVLEDQGYDGAMADLWSCGVILFVLLAGYLPFEDSNLMTLYKKISNAEFTFPPWTSFPAKRLLTRILDPNPMTRITIPEILEDEWFKKGYKRPEFDEQYDTTLDDVDAVFNDSEEHHVTEKKEEEPVALNAFELISMSAGLNLGNLFDSEQEFKRETRFTSKCPPKEIVRKIEEAAKPLGFDVQKKNYKLRLEKVKAGRKGNLNVATEILQVAPSLHMVEVRKAKGDTLEFHKDEWMTDDSLGEHDLGELGVVDLAIAIGVGLAEHLGELLVGEFLAEVLEDVAELVAGDEAVLVLVEDAEGLPELVLVGAVGLRIWRASRVRNSWKSMAPLPSASTSSTIPCSSSSVGLCPSDRITVPSSLVVIVPASIHCQMLLQLQCIDQERNALRCDASKNEQCDAEIRRRGNGRPAGRTVAIFVEEAERLPELLDLFL >Sspon.01G0032390-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:109641243:109647457:-1 gene:Sspon.01G0032390-1A transcript:Sspon.01G0032390-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEADIASRYEVPRTAQFLRDGAYTRVALQFPDEMLKDAAAVARALRRELASGGADGGVVRVFVMADTAYNSCCVDEVGASHIDAQCVVHYGHACMSPTSNLPAFFVFGKAQLDIHACASTLLECSRKSNKRILVLYGLEYAYALVDLRRAFMESCKSNSGNPKVQYAEVLCSVMSPSSSTTEENTIPQSSGTSCNGDITRNSDVATFLDNRYGMKCSSPTQKYSLGGITWNISVDEKMEDYLIFWIGQDNSAFANIVLTFNKCEIVRYDAIENQLTADISHLMKILRRRYYLVEKAKDANIIGILVGTLGVAGYLHIIEQMKELIKAAGKKSYTLVMGRPNSAKLANFPECEVFIYVSCAQTALLDSKDFLAPVITPFEAVLAFGRGREWTGEYLLDFKDLITSEKQEVVSTTEEARFSFIKGVYVEDNCPQENEEQSETSLALAEVTEKALSIQNQHNDAVLYQGRAMSSIDYLKARSYRGLTGEYEGPVPNSILVGRTGRAAGYNDEKTENAQ >Sspon.08G0009090-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:38933701:38935057:-1 gene:Sspon.08G0009090-3C transcript:Sspon.08G0009090-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQQVQTVSCRAISVPSLWSGNATRPTATEGTVLCTANYAPLTPISFLERSALVYPDRPAIVSSGGRGSAPRSWRETRGRCLRLAAALAALGVAGHDVVAVFAQNIPATCELHFGIPMAGAVICALNSRLDATMASVLLQHSEAKVIFVDYALLDVAKQALSLVSKTGAKPPLVVLIKELLDESSPPDDGSQAAIANEKAFHHYEYEALISSGGSPDFRIRWPADENEPLALNYTSGTTSRPKGVVYTHRGAYLNSIATVIMNEMVGTPPVYLWTVPMFHCNGWCLVWGVAATGGTNVCLRKVTAAAVFDSIARHGVTHMGGAPTVLSMIVNATAEEHGPLPLPRRGGRPVIVKAGGAPLPPHVLLRMEALGFFVIH >Sspon.05G0017830-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:71749043:71751989:1 gene:Sspon.05G0017830-4D transcript:Sspon.05G0017830-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALFDRNQRQRSSFYSTATFVVFVALCLLGLWMMSSPETVPAAISQSSEKAAVKADVKEEDSSIDATNTVKQDSANVVAEAAATAGGAAAAEGAEDVDIPGKPDGGEAAEGDSGKAASSKDQSFDDENGRTEGGELVKPGGGETDASAGQDKGAGEDTALDNDAKVDAAGKEQTPIDTKDAGGLDQSAAEQTATDTKASAAEQAAATGVVKESTADAGGGSAKKLTFDDENGKMDGVDLVKDDGNKTRISEESAKVEGAALTVKPSAKAATATATATATDTDKQDEASTVATDDTTTASTTTSEDEKKQDGVEQQLPAAAEAQPNVQAELLTERAAQNGSFTTQAAESTEEKKKRAEKKGKKGKNKAAGAVATVAWKLCNSSAGADYIPCLDNVAAIKKLKTNKHYEHRERHCPEVAPTCLVPAPPEYREPIRWPHSRDKIWYYNVPHTKLAEYKGHQNWVKVSGEYLTFPGGGTQFKHGALHYIELIQNSFPDVAWGRRSRVVLDVGCGVASFGGYLFDRDTLTMSLAPKDEHEAQVQFALERGIPAISAVMGTQRLPFPANVFDVVHCARCRVPWHIDGGMLLSRAQPPAPPRRLLRLVRHAGVPEAPRGQMVKLTKAMCWELVAKTRDTVDLVGLVIFQKPVNNVCYDKRPEKEPALCEPSDDPNAAWNIKFRACMHRVPEDQSVRGARWPALWPERLRKAPYWLDRSQVGVYGKPAPDDFAADLQHWRKVVRSSYLAGMGIDWKTVRNVMDMRAVYGGFAAALRDMKVWVMNVVTIDSPDTLPVIYERGLFGIYHDWCESFSTYPRSYDLLHADHLFSKLKPRCKVLPVIVEVDRILRPNGKLIVRDDKETVDEIQGVVRSLQWE >Sspon.02G0039490-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:53796320:53799270:-1 gene:Sspon.02G0039490-1P transcript:Sspon.02G0039490-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPEIQNRCPSPTAVLLLGACLAAVAALGAADYDARASRPPPPVPLPVDGGARRVLLANGLGLTPQMGWNSWNHFQCDINEAVVRSTADALVATGLAKAGYKYVNLDDCWADYQRNKEVVRALVDFVGYLVGNPKTFPSGIKALADYVHSKGLKLGIYSSAGTRTCSNRMPGSLGHEDKDAKTFASWGVDYLKYDNCYRDGTPETVRFGRMSRALKNSGRPIFYSLCEWGYMEVPKWGGMYGNSWRTTGDISDTWSGMLDNIDRNDAYARYAKPGGWNDPDMLEVGNGGMAYNEYVVHFSLWAIAKAPLVIGCDVTRVSKETLGILSNAEVIAINQDRMGVQGKKVKKYGNDLEVWAGQLSRHRKAVLLLNRGATRSASITAAWPDVGIRRGVTVEARDVWKHETLPGRFTGSLTAVVGPHSCKLFVLTPVPR >Sspon.07G0022850-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7B:10977202:10984752:-1 gene:Sspon.07G0022850-1B transcript:Sspon.07G0022850-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLQPHPTSVKENITFGD >Sspon.04G0024110-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4B:17819362:17819886:1 gene:Sspon.04G0024110-1B transcript:Sspon.04G0024110-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPQKTPAPPTEGTRKEEMLQSLLSNTIAESTAVVPGADLHRIWRGAADAGSRPVKSLPTPDLGPPQPLPRGSSAPKAAAHQMQPPCTGSGVEGEGIRQRRIWGPPEPPTPDLGLPKPGGAESQALLLPAPE >Sspon.06G0013490-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:58731101:58735254:1 gene:Sspon.06G0013490-2B transcript:Sspon.06G0013490-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGGGSGGASGPDSRVETISRLAQWRIDTFGPCSYRRSDPFKLGIWNWYLSVEKSRSIFVRLFPEPGRVAKEQPPLARFLLRVSWAGPPRRTCVSPGMVQKLASKSTLGCLSRMLTDSIHTDVTINTTDGVLKAHKAVLAACSPVFESMFVHDLREKESSTINISDMCLESCSVLLGFIYGTIEQGQFWKHRLPLLAAANKYGIGDIKDCCEESLLEDISSANVLERLHVAWLYQLERLKKGCLTYLFVFGKIYDVRDEMHSFFHHADRELMLEMFQEVLSVWKP >Sspon.03G0035640-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3B:82928013:82929451:1 gene:Sspon.03G0035640-1B transcript:Sspon.03G0035640-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCLPPLPSPPPQEPRRQPPLPLPQPAEPRSRRASQEKQARGACCLLTTSFGRWSCTSTGAWGAGGAAAARRPRRRCTAARASVDRSSARRATPAPRTRAWATSACGCARSASWPPPPSRARPTPPCSAPPATPTSTTPTRWRGATRGSPSPHRLRGAAAAVEAMLFGTGEAAAASEADGHNAAAAEQQQHAHAHALNLNVEAKDMKLDYLFSELDPYLSVEIPRFQHADSVVPNGAGAAAVELDFTCGIGVKHSYSSYTATSLDLAHSGSSSEVGVVPEAFGGGGGSFELDFTRPKPQAYMPYTATPQSHSVGPQPSSQFSGLHVLVLTCRCELDRFRMQVSSVDVEVVPERGDLPAVRPVPLMGESREARLMRYREKRKNRRFEKTIRYASRKAYAETRPRIKGRFAKRADHDGDADADDAEAEAAVPSSYVLDFGYGVVPSFA >Sspon.01G0001040-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:3101233:3104253:1 gene:Sspon.01G0001040-4D transcript:Sspon.01G0001040-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDANVALALLSSCSPSTSTSAAASSLLPRRRCLASRRRSSSRATIMAALGHDPIREWILTQGKATQIKGIRSIGGGCINSAQRYDTDAGPFFVKTNSRIGPEMFEGEALGLKAMYETKSIRVPLPYKVGSLPTGGSFIIMEFIEFGPSRGDQSVLGRKLAEMHKSAKSDKGYGFHVDNTIGSTPQINTWTADWIEFYSKHRLGYQLELASRRYGDSAILEKGQRLIKNIRPLFDGAVIEPCLLHGDLWSGNISSDSNGDPVILDPACYYGHNEAEFGMSWCAGFGGDFYNAYFQVMPKQPGFEKRRDLYLLYHYLNHYNLFGSGYRSSAMSIIEDYLYVLAA >Sspon.02G0030100-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:123589541:123598008:1 gene:Sspon.02G0030100-3C transcript:Sspon.02G0030100-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRPLFMVTSCLRGLCSCFFDDKPNEEEPIMAAATASDDDQTSSIITHRTVELSTGVRLHLAEAGRPPSSSAPTVLLLHGFPELWYTWRHQMRALAAAGYRAVAPDLRGYGDSDAPAVADPGQYTALHVVGDLVALIDDVLGEKQVFVAAHDWGALTAWSLCLFRPDRVRALVALSVAYTPRGAARKPVDGLRALFGDDYYICRIQEPGETEAEFTRLGTELVLKKFFSYRSPGPLFFPKSGWGSPDDEVPLPSWVTEEDLKYYTSKTWELTSPWTLAKINVPVKFIIGDLDLTYHNPGIQDFIHKGGFKKFAPLLDDDIVVMKDVGHFINEEKPNEVSEHIINFIKKFS >Sspon.05G0005640-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:18134139:18138872:1 gene:Sspon.05G0005640-1A transcript:Sspon.05G0005640-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSSHQKSKMCSRKPLGIQLFECVRGSPISFRSCQALVLVLTFLSYASYHATRKTTSIVKSVLDPKKNLGMLHWPSHLYLQNLKGAENNTTLSSGWAPFNAEDGTALLGEIDLAFLGVYAIGMFFAGHLGDRVDLRILLTIGMIGTGLFTAAFGAGYWFDVHNFYYFLGMQMISGLFQSSGWPSVVAVVGNWFGKSKRGLIMGIWNAHTSVGNISGSLIAAAMLKYGWCWSFAVPGIMIALVGLTVFLFLPVGPDVIGIQEDLHLKDYEKSDTDTPLLERRSSDVKEKAVGFIEAWRIPGVAPFALCLFFCKLVAYTFLYWLPFYISHTAIGGQYLSVSEAGVLSTVFDVGGVVGGILAGHISDHLDARALTAASFTFSAIPALFFYRIYGNVSLAWNIALMFVTGMLVNGPYALITTAVSLNGNSRALATVTAIIDGTGSIGAAVGPLLTGYISARSWSAVFTMLMASALVAGLLLSRLVVAEVAAKMESRRTPAPAASDLPVSSMEEP >Sspon.03G0031130-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:22372683:22374560:-1 gene:Sspon.03G0031130-3D transcript:Sspon.03G0031130-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAASASASAARSFLRSSGSSSLRSAAARAASRAGPAPLPRRMPTSAPRALLRSPVEMSSVCLESLMPMHSATASALMTSLLAAPACKGFGWLSEAGNDDV >Sspon.06G0008710-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:40120100:40121038:-1 gene:Sspon.06G0008710-2C transcript:Sspon.06G0008710-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GGGPDVLRRRGRGVRASGLPGGGRCGAPQPGAAIFLAVVPVRRGGAGGVAAARADRRPGRVPVPPRHQPAGPHGARPLPPRARRRGGGAHGDGDDAPGRVAGGGGGGAAEEGPADAGLHQAGSGPGPGGGAGRVRDLDLVPVVRDRGRRRRERVQGVQPRRVLRQASPPEAQAPLPHLRCSPAAGAPPAPAPAPRGVRRPVPLLEEEAEPAGSVPAHGARARGRGRSAGVPRAGVVGHPRRRLLVAQVRAEAHQGVPLPARLLPVQQRQGLPRAEARGARRRRPGHARRHLRGRPPPRRRRRRRRRPRPRGLN >Sspon.04G0023190-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:344030:346413:-1 gene:Sspon.04G0023190-2D transcript:Sspon.04G0023190-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein At3g12100 [Source:Projected from Arabidopsis thaliana (AT3G12100) UniProtKB/TrEMBL;Acc:Q0WSX7] MDTPTAKASWNANYGVVGSGDRRLAFSRQLSSNAITPRLARSDSSISISMPIPLPLPLPSVYEGPKPSRKLLRLATASRPMRRLALLLALNVAYSATEFAIGLFTGRVGLVSDAFHLTFGCGLLTFSLLLWLHLEPSLITLYLRLFLLFLSFSLAVEALHAFMQDESEHKHYLIVSAVTNLLVNLLGVWFFRSYARVNIVYRNAEDMNYHSVCLHVLADSIRRVENAEVLCLGIVSVAVFMLVLPLFKATGNILLQIAPSNVPPSAFTKCSRQIAACEDVSEVWQGRFWELVPGQAVGSVSIRVNKTLDTVAAKPIICTETKFLMLAVLHCIVKSGADDRAVLEYTHGLYQDLGIQDLTVHTDES >Sspon.04G0005200-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:15650633:15651892:1 gene:Sspon.04G0005200-3D transcript:Sspon.04G0005200-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAFRAASPLPLPSSRSAAAVTNGGGRGNFPWLTKKSSSKPAAPSGGGQESKGDEPEGANTAASAAAVGSIEQSPSPSSSSRKRADALARLRAAFLAAITHRRRRRQLGSCVTGTIFGRRRGRVHVALQTDPRSAPVLLVEMAAYSTGALVREMSSGLVRLALECEKTPLIAGEKRRGLLEEPTWRAYCNGRKCGFAVRRECGADEWRVLGAVEPVSVGAGVLPDDVAGAGAAEGDLMYMRARFERVVGSRDSEAFYMMNPDGSGGPELSIYLLRV >Sspon.01G0001930-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:4665072:4667699:-1 gene:Sspon.01G0001930-1T transcript:Sspon.01G0001930-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAGGGAVRALSQKEQDIQMMLAADVHLGTKNCDFQMERYVFKRRTDGIYIINLGKTWEKLQLAARVIVAIENPKDIIVQSARPYGQRAVLKFAQYTGAHAIAGRHTPGTFTNQLQTSFSEPRLLILTDPRTDHQPIKESALGNIPTIAFCDTDSPMRYVDIGIPANNKGRNSIGCLFWLLARMVLQMRGTILPGHKWEVMVDLFFYRDPEEAKDQEEEAAAAPEFAAITDYQGADQWGGDQWTSDVAVPPVAPTGADWGAAPAPVPTGDGWDQAGAPVPVDGTVPPVIAPTGWDQAPQPTAQGWE >Sspon.08G0003750-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:10413024:10416040:1 gene:Sspon.08G0003750-2C transcript:Sspon.08G0003750-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLGWRVGSKLQRWGWSCLRGFSSAAVPSQLENVGFIGLGNMGSHMARNLITAGYKVTVHDINENSMKKFSDDGIPTKQSPLEVSESSDVIITMLPSSTHVLDVYNGPNGLLFGGERLGPWLYIDSSTVDPQTSRKISTAISRCHLKEKKGYAESPMILDAPVSGGVPAAEAGKLTFMAAKICNNMAMAISMLGVSEAFALGQNLGIKATTLTDIFNRSSARCWSSDTYNPVPGVMEGVPSSRNYSGGFTSKLMAKDLDLAMASASGVGFKCPMGSEALEIYRKLCEDGCELKDFSCAFRHHYAGKDEE >Sspon.07G0001450-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:3434433:3442044:1 gene:Sspon.07G0001450-1A transcript:Sspon.07G0001450-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRATAPPRRKETPPPPKKKPKERGVLVPPLFDYPPIAARTRMSVPAYEVMFGKLSLQNLFEDYFDQAGNMTSRIILKALEEPNVDLIATVSAAADKKCGTEVKGDALFHWQNTWQLRSSAYYPQYGIGAFGTLPLPLGNRACSEDSGVVGLRYVSGEVPSGAWLVGRKGNLSAGVQYKPLSGNKHTMPFTDLENWNGAISYSVGSTSPLSPLSTFTLELARSKQLTMSFYQHLVVQRGLAASWQANKNFLLKGKLGPSKSSVVLALKSWWRPSFTFSVTGIQVYASFIFGTDKEVIEEHSFPFLFTAVNDHSKGTTSFGFGIRTEDLRQPSYQRADPNYIMLTPTMEHLAQDDGKRPVFQAYSDSGSYDHLPTDLRPIDRIITANRTSKTHGNDILVPASSCLSLHHAKGIIQ >Sspon.08G0029020-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8D:20069967:20071669:-1 gene:Sspon.08G0029020-1D transcript:Sspon.08G0029020-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIIRTQADPFEIPLETCVRRIEYGQAPRPSIESAIAVGRGTRWHLELSPCHVVFGRFPPRPRPPAFFNRRRLGALTCMPTGCWNSRGSGRTKQYVALLWSSKRTAGPTGQGSGSGREWRQGKEANPLPPTASLGMAEDRGGQSGGRCRWTAGWG >Sspon.06G0030670-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:51349504:51350432:-1 gene:Sspon.06G0030670-1C transcript:Sspon.06G0030670-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLKMVDFHVTGLTHFGYQACVIPIVLHGCSNLEKVTITFFRMPAEQVSNKGFVHGITGIIPSISAVKELHVCASMLEHNPVWLWSLQVRGTTRPTCMLVNLRKLTCEITIFTNGPNSHDGIFQMAHFLDLAPRLETLELHLLLVWRAKSSLCADSVTSKRCTCYRPQIKLLHGILENGKNPDDTVSIGIPEDRICDWARLASERFGKEIVVVKAPRQRDL >Sspon.04G0035050-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:690043:695319:1 gene:Sspon.04G0035050-1D transcript:Sspon.04G0035050-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding PMSTFPPPPPTAARSPLARVRLDDLAPFDGASTPAYARAVDAVAASLTRHGAAAVELPAADAAVVRCALRALDDGELSPACMADAFRCSANRSSLISSAFSHLLDDNPLPLDEVSASELQVCNPNGHWYPADALSSPDVLLLLTGRALSHVTAGLQLNSQYKITNNGNSGAFLEDAMVLSCGHSFGGLMLKKVLEQARCSICNGEVDEASLFPNPALRAVATVVKMEDDRRLFHNAALRKRRKEVTEHMDAQRRNGSSQDSIELGLDAESPRAFKGVQYPFVAGERVVIQGNKRTPDKFVGKEAQKPSGDCRYLVKAVDSGESIRLQYRSLRKVGELKVQALLQSRQ >Sspon.08G0010860-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:47804950:47812811:1 gene:Sspon.08G0010860-1A transcript:Sspon.08G0010860-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKLKFHEQKLLKRTNFLEYKREGGHREALVTQRYGLVERDDYKKYNGICLMVQKLVNIIKQMDPRDPFRIEMTDMLLDKLYNMGVISTKESLIKCEKLSVSAFCRRRLATVMVKLKFAEHLKEAVTYIEQGHVRVGPETVTDPAFLVTRNMEDFITWVDSSKIKRKVMEYNDALDDYDAMF >Sspon.08G0006140-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:19343224:19348742:1 gene:Sspon.08G0006140-1A transcript:Sspon.08G0006140-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAACDAAAVEQLAGLLDQVDAPLKKTFENVHQGYPTETLVRFLKAREWHVNKAHRMKPIIPVDLYRSIRNTQLVGLSGYSKEGIPVFAVGVGLSTYDKASVNYYVQSHIQINEYRDRFILPTVTKKYGRPITTCIKVLDMTGLKLSALNQMKIVTAISTVDDLNYPEKTETYYIVNAPYIFSACWKVVKPLLQERTRKKVLVLRGCGTDELLKIMDYSSLPHFCRQEGSASSKHSSSDADNCFSLDHPFHQELYNFIQEQALNQELIKQGSLHVNIPEQDPEDAKIVEVIEAELHKLGVQNGSANGIDQA >Sspon.06G0029220-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:15796301:15796906:-1 gene:Sspon.06G0029220-2D transcript:Sspon.06G0029220-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDGAGASRSDGEGSPRLPAERRYKGVRFRKWGRWVSEIRMPNSRERIWLGSYSSAEKAARAFDAAAVCLRGSRAGSLNFPESPPNVRHIPDALLTPEQIQAEAARHANQQLPSPPVASPASSSSQPAAAPPAGGASSDRTALSMPSTYYSSGDAVCGDDEALDWSFMDDLPSSMPASSVGMGNSADIVPALDNFMYGSPHQ >Sspon.03G0009840-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3A:26465443:26466006:1 gene:Sspon.03G0009840-1A transcript:Sspon.03G0009840-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MICIFMLQKDGDIDEDVGHRISAGWLKWRQASGVLCDKRVPQKLKGKFYRTAIRPAMLYGAECWPTKRRHVQQLSVAEMRMLRWCCGHTRRDRVRNDDIRDRVGVAPIEEKLIQHRLRWFGHVQRRPPEAPVRSGVLKRADNVKSGRGRPKLTWDESVKRDLKEWNISKDLAMDRSAWRLAINVPEP >Sspon.05G0005370-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:11499811:11505366:1 gene:Sspon.05G0005370-2B transcript:Sspon.05G0005370-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:calcium-dependent protein kinase 29 [Source:Projected from Arabidopsis thaliana (AT1G76040) TAIR;Acc:AT1G76040] RATGRKYACKSVSKRKLVRRADIDDMRREITILQHLSGQPNVAEFKGAFEDADHVHVVMELCSGGELFDRITAKGSYSERQAAAVCRDILTVVHVCHFMGVMHRDLKPENFLLASPADDAPLKAIDFGLSEIVGSPYYVAPEVLHRNYGKEIDVWSAGVILYILLCGSPPFWAGEDTIIFVLPDYYIASETEKGIFDAILAGELDLVSSPWPSISESAKDLIRRMLNRDPHRRITAAQALEHPWLKGGAPDRPIDSAVLSRMKQFKAMNKLKQLALKVNLLNKNNCYFAYVIAENLTEDEIKGLKQMFNNMDTDRSGTITVEELKEGLTKLGSKISEAEVQKLMEAVDVDRSGSIDYAEFLTAMVNKHKLEKEEDLILAFQHFDKDNSGYITRDELQQAMAEYGVSDEASIKEVLDEVDKDKDGRIDYEEFVEMMRKGKSDKLITKIIRSKDNILLDMQRLQREVVIGAGYEIWEIQPAR >Sspon.03G0021890-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:85599651:85601515:-1 gene:Sspon.03G0021890-3C transcript:Sspon.03G0021890-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTRDRGAGGGSASRVGDGDVDLGEGWDWGAIPRLLSSACLFLCSGGCFGCCDKTAKQLGELSRNLITHDQITIGEPFWSTTTIEVEPADLRGVSSISTSNWAFEQHGTGSSHNLPGLGNNGLVLWEQTRQEWTEIRSLRPKVKQVREPVLRYE >Sspon.06G0007580-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:27907776:27912682:1 gene:Sspon.06G0007580-4D transcript:Sspon.06G0007580-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNADFGAPKELAGGLQQRRALYQPPLPPCLQGPTVRAEYGDATTTIDPTCAQAVAQAFPHTFGQPLVSFVAPAADAAAVEERHPIRVGVVFSGRQSPGGHNVVWGLHDALKAYNPQSVLYGFVGGTEGLFANKTLEITDDVLASYKNQGGFDLLGRSIDQIRTTKQVNAAMTTCRSLNLDGLVIIGGVTSNSDAAQLAETLIQNNCKTKVNSQLVSNVCLDAISAGKYYYFVRLMGRKASHVAFECALQSHPNMLILGEEVALSKLTLMEIINKICDGVQARAELGKYHGVLVIPEGLIESIPEMYALIQIDTEQLLAHLVETEMIKRTALGRISLHMIASGLTGYMATVANLKDPVDKWRCAAAPLTAMMSVKRHLRGPGAIPIGRPSIHPSPIDLKGKAYELLREKASSFLLDDFYRTPGGIQFEGPGSDAKPITLTIENQDYMGDIEILKEYLGKVEIGNPFICFPVRTMVKPGCSREILKAAISSMVSVTDVLTVMSHPLNAELPLYHFK >Sspon.08G0013160-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:55873689:55876415:-1 gene:Sspon.08G0013160-1A transcript:Sspon.08G0013160-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRRQGEEGQGRRKLVGEVLIVGGLLLVQCVLSGYVVFTDHLLALGADPLAVIVVAGAAYAAFCLPFAVALERKRWPSTVSGTLVAQYLLIALGGTTAFQELMLLGVKKTTPAIASAMPNLIPGLIFIVAACFRLERFDKACKYTQAKIAGTVVCLAGAVAMSFLQSPSSPSSSSLTAVVEAGGDNDYYDWILGCCCLVAGVTVFALVTVLQAATLATLPAPLTMCCVTSAMGAVLTAVLRLVLEGKFLDMGSPNIDATLVAWIVVLGGGAVGASTAFQAWCLGRKGPLFVSVFGPVQTVCTAILSAALLRQVLSLGSLAGIVLMFSGLYIVLWAKSNEISADEDQLRLRGDGADDAEKALLA >Sspon.07G0011320-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:39329216:39331115:1 gene:Sspon.07G0011320-1A transcript:Sspon.07G0011320-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding METATVVVAPAPVAITQPPQHKLMELKSSFAAVAKPSPARKVKPAKKKLAAGCGGYVLEDVPHLTDYLPELKANGLRLFMFLILVHVQSYPNPLQDHPAYSVVKQYFVNPDDTVAKKIVVHKSSARGTHFRRAGPRQRVYFQPDEVTAAIVTCGGLCPGLNTVIRELVCGLHDMYGVTSIYGIEGGYKGFYARNTVELTPRSVNDIHKRGGTVLGTSRGGQDTAKIVDSIQDRGVNQVYIIGGDGTQKGAASIHEEVQRRGLKCAVVGVPKTIDNDIAVIDKSFGFDTAVEEAQRAINAAHVEAESAENGIGVVKLMGRNSGFIAMYATLASRDVDCCLIPESPFYLDGKGGLLEFVEKRLRDNGHMVIVVAEGAGQDLIAKSMNFADTHDASGNKVLLDVGLWLSHKIKEHFKKKPSFPITLKYIDPTYMIRAVPSNASDNVYCTLLAHSALHGAMAGYTGFTVAPVNGRHAYIPFYRITEKQNKVVITDRMWARVLCSTNQPCFLTHEDVEGAGLDEEEPHIPLVEGENTLVKNPSVCNGNGHLCNGAA >Sspon.03G0001340-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:9344406:9346835:1 gene:Sspon.03G0001340-4D transcript:Sspon.03G0001340-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFYGSNFSDFSLSSAASPTTAGWNWTGTGQRSGTAGITSMASAATLPKLQLRPRCNSYLQVTDQVHAHIKTCGACKFQTHIHGLLVSAGGRRWRHTFLPVSAVGTGRGSSVTEADRKSDLSLENVKTSVVSRDNEKINVRVQLPGKATQKVFDEALTFLARDAPPVPGFRKSKGEETEHYDVAVLQIPSSILLQMLGKSRVTKFVLQEILSITIEEFIKKENLKVKPEIKTTQSEGEMESAFAPGSAFGSMLSFSLRNLTLMRRIQRRNLTLMMI >Sspon.03G0036070-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:87733045:87734083:1 gene:Sspon.03G0036070-1B transcript:Sspon.03G0036070-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADMDHLYNGPLPPPNQSNQATTPTASSRAAQHHHITSIAMPANLPPPLPPSHGGRTGTGTLPPPMSSNESFSNFFESWIAEQSRDLEELRAAVSVEPARPEADLQRLVDQVLGHYAQYYSAKAAAAADDVNMMFTPSWTSTTEHLYLWCGGWRPTAALQLLYTKSGMQLQQQLPVFLDGGGLKDDLSDLRAVELQAADQLQHRTITREREIEEVAASAQEALTSKTMVELAGGGGLDAGAMDREMQKKAEGMRRVLEMADGLRLETMREVVALLRPAQAVHFLLAAAELHLAVHDFGRRKDGHAGNAAAAAAAASPSE >Sspon.06G0000840-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:960926:965359:1 gene:Sspon.06G0000840-3C transcript:Sspon.06G0000840-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable galactinol--sucrose galactosyltransferase 1 [Source:Projected from Arabidopsis thaliana (AT1G55740) UniProtKB/Swiss-Prot;Acc:Q84VX0] MTVGAGIAVQDGSLLALGAQVLREVRPNVLVTPAAGGGLTNGAFLGVRSAPAGSRSVFPVGKLRDQRFMCTFRFKMWWMTQRMGSSGRDIPFETQFLIVEGTDGLQSTGDGTGEQPVVYTVFLPILEGSFRAVLQGNADNELEICLESGDPGVESFEGSHLVFVGAGSDPFDVITNSVKVVERHLQTFSHREKKKMPDMLNWFGWCTWDAFYTNVTAQGVKEGLQSFEKGGVSPRFVIIDDGWQSVAMDPVGIACISDNSAKEGHREDDPGKGLAHIVNEIKGKHELKYVYVWHAITGYWGGVRPGVVGMEHYESKMQQPVSSPGVQKNEPCDALDSITTNGMGLVNPERVFSFYNELHSYLASAGIDGVKVDVQNILETLGAGHGGRVLLARKYQQALEASVARNFPDNGIISCMSHNTDNLYSSKRSAVIRASDDFWPRDPASHTIHIASVAYNTVFLGEFMQPDWDMFHSVHPMAEYHAAARAVGGCAIYVSDKPGNHDFNLLKKLVLPDGSILRAKLPGRPTRDCLFSDPARDGKSILKIWNLNEHSGVIGTFNCQGAGWCQVGKKNLIHDEQPGTVTGVIRAQDVGYLAKVADHSWNGDVIVYSHVGGEVVYLPKNASLPVTLRSREYEVFTVVPLKHLPNGVSFAPIGLVGMFNSGGAVREVRFGEDADVELKVRGSGTVGAYSSTRPRSVAIDSKAVGFCYDDACGLVTFELGLPEQELYLWTVSVEY >Sspon.01G0014910-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:43934543:43935676:1 gene:Sspon.01G0014910-3C transcript:Sspon.01G0014910-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTAIPSPPPPAVPERRLPPLADDGGGGGRGDPQGSSAGGGRSVAGISPSILIIAVIVVVMLLASLCIHYFIRHLCRHVGPAGSSSASSRQAPPLPLVARPAAAGASVAPADGQEGGGGGKAAEAEAERLIARLPLFTLSSSLASVPKSSRDCAVCQSAFRDDDELRLLPACRHAFHSRCVDPWLRANPSCPLCRASIALPHPPLTDLLRVELGSVSSRRSNPDAAAAAVRAYPLPGGLPNSASSEYLVEEELQVVLKPSPRAAAAGSSDPPSQQQQLAAVERGQPSSSSSVGLTPTASFRSTAERWSSRWSNRWSSRWSSGRWSSRYDAGTVTAAATAEWWWDMNGGVAPATRRRDADDGSSSFYGFVRWLTGAY >Sspon.06G0023570-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:42518623:42521892:1 gene:Sspon.06G0023570-2D transcript:Sspon.06G0023570-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARCYVCKGRGSIPIIQMWGSKRSRGSTDGDVQIGSHSTLSLSQGFMQGKAAGFCRLKAAGICEAFPSSVIG >Sspon.04G0000490-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:2557916:2561883:-1 gene:Sspon.04G0000490-2D transcript:Sspon.04G0000490-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMCEKDQNLPWGYDLFRDPFAPPNGYYGPPPGYCDGHCCDLHYGRAAHPDETQLHSSQLTYDLYNPSVGIYHPGSTHEHEHETVYVEPSSSSPDTAGDGYFEMEEEVGKRFYPMVPVPHVPKINGEIPSIDEATMDHERLTERLKLYELVEHKVQGDGNCQFRALSDQLYQSPDHHEFVREQIINQLKTNRDAYDGYVPMAYDDYLEKVARNGEWGDHVTLQAAADKYGVKIFVMTSFKDTCYIEIQPKVQKSNKVVLLSFWAEVHYNSIYPQNGECTEVADDDQEEEMVAVLAAPPPLMADDRGLGASSSSLRDWDGCRSSGARHDSGSGGGPGGPAIVQMIQGTYGIMINISRAEQTVPDNGNMMNEMK >Sspon.05G0006150-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:13410299:13414705:1 gene:Sspon.05G0006150-2B transcript:Sspon.05G0006150-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQERRRRQQQQQQQQQHRHHRPPPPPPPPRLELAMAHARDGGGHEEVAEAAVCLDGDYEAGEARGVGRVPPWRDQLTARGMVASLAVGAMYSVIVMKLALTTGLVPTLNVSAALIAFVILRGWTQALARFGVAARPFTRQENTVVQTCAVACYSIATGGGFGSFLLGLNKRTYEMAGEETEGNVPGSYKEPGIGWMTGFLFAVSFVGIVALIPLRKIMIIDYKLTYPSGTATAVLINGFHTTHGDATAKQQVNGFTKYFAISFFWSFFQWFYSGGDNCGFSQFPTFGLKAWKQTFFFDFSLTYVGAGMICSHLVNLSLLLGALLSWGIMWPLISDLKGDWYPADMPESSMRSLQGYKAFICIALILGDGLYNFVKIVAFTVKSLLDRSRLKNAKKEEDIPVLDEIQRNEVFTKDSIPNWLAYSGYLALSVAAVFAIPLMFHEMKWYYVIIAYLLAPALGFCNAYGAGLTDINMAYNYGKVALFILAAWAGKDSGVVAGLVGCGLVKSLVSISADLMHDFKTGHLTLTSPKSMITAQAIGTAMGCVVGPLTFFLFYKAFDIGNPDGYWKAPYALIYRNMAILGVQGFSALPRHCLQLCYGFFGFAVAANLMRDLLPPKYGKWVPLPMAMGVPFLVGASFAIDMCVGSLIVFIWHMIDKSKASLMVPAVASGLICGDGLWIFPESLLALAKISPPLCMAFRSSH >Sspon.05G0014090-3C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:5C:44499843:44502257:-1 gene:Sspon.05G0014090-3C transcript:Sspon.05G0014090-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLLLPFLLLLPWPYVQAQQNISLGSSLTPQGPSSFWLSPSGDFAFGFLSTEGNASSYLLAVWFSKISDKTVAWYAKTSGGEQSPIRVSSGSRLQLTSGGTLSLLDPTNTEVWTPQSVGAAAYASMLDSGNFVLAAADGSIQWGTFNNPADTILPTQVLTAPKTLRSRIIATDYSNGRFLLDLQDTGVTLYSVAVPSGNQYDPYWSTDGNTTKMAFDPMGRVYIALDNGTQINITSGAVGSVADSYHRATLDPDGVFRQYVYPKKVSDLRSQAWSMVSMQPSNICGALVTKVGSGTCGFNSYCLMDGTNNQTTCMCPEQYSFFDEERKYKGCKPDFQPQSCDLDEEAAMMQFQFKTMYHVNWPLSDYEKYNPISEDQCRQLCLIDCFCAVAVYNDQDNACYKKKLPLSNGNMAGDVHATVLVKVPKNSNAQSYLIESSKWNKDKKYWILGSSLLLGISVLVILVLISVLLFGTNYRVTRKIVPCLQSSSNLGLPLKAFTYAELEKATRGFQEVLGTGASGIVYKGQLEDELGTCIAVKKIDKLEQESEKEFTVEVQGIGQTHHKNLVKLLGFCSEGKERLLVYEFMSNGSLNRFVFGDVNLQWNLRVQLALGVARGLLYLHEECSTQIIHCDIKPQNILLDDKFTAKISDFGLAKLLGTNQTQTNTGIRGTRGYVAPEWFKSIGITAKVDVYSYGVILLELISHRRNVELEAAEDKKILTYWASDCYRCGRVDLLVEGDAEAIFNLKVVERFVAVALWCLQEDPTIRPTMLKVTQMLDGAAAIPTPLDPCQRSSTVSLLHIAE >Sspon.07G0022920-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:7390109:7397362:-1 gene:Sspon.07G0022920-2C transcript:Sspon.07G0022920-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFTMLQPCLLHDVSSAAALLAAKPGCLCLGVGNEAVSVLANIISTWDIRGRSSGFSCTHRSPTLRHFSTSSELKVPFRFSSTKSKPFPSFNKLQACFDLKFTWTILNLLVVKETTNTLCDSNKSSRSCTRVHSTCYVNSGLADACATTTRSLEIGGPVPELSRAQTEL >Sspon.07G0027870-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:62607036:62607538:1 gene:Sspon.07G0027870-1B transcript:Sspon.07G0027870-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTRNNPTMPKSSSWPKAKTVVKKWLNLKDSEFHSDCKDESFGGKTMMRRKSCSDRDDLSGRVQLYITTF >Sspon.03G0026880-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3C:8728394:8732870:1 gene:Sspon.03G0026880-2C transcript:Sspon.03G0026880-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding METAIGAARWVVGRALSPVTDGLLESWTASSELGPNVRELKMELLYAQGMLNSARDRDLRNNPALEQLMLELRSVAYSADDVLDELEYFRIQDKLDGTYETIDAKDQGRIGGLLLNARHTARSVTKQLMHNDDQNASPAVVAHAVGEELMTMTRRLAGAAWLRSFRVLARPPKLSQCFSHCALFPEDYEFGREELIHFWLGLGLLDNCDRNNRIEDVGSDYLDALIDHGFFQKSENEDAPPNYVIHDLLLELAVGKLKSLQDLSGFEVKRETNGFELKQLGQLQQLQGSLGIYNLERVEDVKEADEAKLVHMNHLHGLSQAEQRFPKLQKIDISDCPKLLSLPPIPWTIDLSIANISRVSTIIKELRYHKDARLYIGMGNADLDSELWNKLSFHNLCQIEQLSVSECPPMPLEHMQMLASLRILMLTDCTHVLWPVQGDSSAQCQIPVESLNIIDCNASGKELTQLMCYFPKLRSLKLWLCKMITELDVAEKHTTGRQAPLSAASASKTEKAHEGQRRQQTRGEEIEAIDEGEGLLLVPREMLTLTIVNCRDLRLCPGTLEDSTEAREGLQGLGSLTSLAIWGCPKFFITSGASSAYSPFPASLESLSIRDCTDLIGEGFWEHFPQGCLTELDVYKSPNFFTCANTEPSQLNHYSRSCELRTDDITGVLAQPICARLSSFLTKLVFSVNNNMEHFTKEQEEALQILTSIQELQISSCPKLQSVPAGLSGLPSLKTFEISDLNSIQSIPKDGFPSSLTELRIESCPALRLLPKGSLPSSLQSLKIIGCPGIQSLPKGSLP >Sspon.01G0053500-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:37776278:37786238:1 gene:Sspon.01G0053500-1C transcript:Sspon.01G0053500-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRDEAAPKAPNDQLPATSQQPIGAGHFAHGSRTSLALLSPAFCRHGSHKPEEGARGAQHSKDPGHGIVCQGRAHWLDPRVSRLRKLLSAHRKRTQPRRIEDVERIRWNLTRTKISE >Sspon.05G0035780-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:77849423:77849981:1 gene:Sspon.05G0035780-2D transcript:Sspon.05G0035780-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ALREEEGEGLASHLPHPSSVDWAIRCPSSFHLMFKVYLRINFCDTVWFGLVHMCTFLLMVIQIPIGWTCTKSFEVLFCISKCRCCYFSSPHTNCVN >Sspon.05G0005980-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:10931307:10934879:1 gene:Sspon.05G0005980-3C transcript:Sspon.05G0005980-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQNAGSFLAVRRLSGGAIHHHRHHSSPGTRVAPSFGYAVCLRAEAHGYGFFAFLFRAPAAEVVGVSTAWLGKGLSCVCAQRRESDARLSFDLSPIQEECLHRLQNRIEVQYDGSNLEHQKALEALWRASFPGTELLGLVSDQWKEMGWQGKDPSTDFRGGGFISLENLLYFSRNYPKSFQELLYKKNGDRALWEYPFAVAGVNITFMLIQMLDLQAAKPTSLIGAKWLEMHASYMDFNVRLSFLICNLSDTFFVPSTFLADQIAELTLQTVIKSTRRQLERELLLEDIQRIEDMPSYRFLTC >Sspon.01G0030680-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1A:106085215:106085889:-1 gene:Sspon.01G0030680-1A transcript:Sspon.01G0030680-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYTILLSPFLLPLPIGPRGLPPSPRDLPPSSLPVAASLPSPRAASLPPSPPRPLFLLPARPPSLPAAASLPPPRAASLPPRAASLPPPRAASLPPRAASLRARAGERARARAWAGGGGSAGGRRWRAWPAGARELRSPSERAASRAASSGGRPARPPRARPWPWPRARRRGGRRSSRAALLGGLALTSLPVASVPAPRGAYRSPFFLRHRYRTSVGPLRLRMV >Sspon.03G0027730-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3B:6640946:6651981:1 gene:Sspon.03G0027730-1B transcript:Sspon.03G0027730-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLRKEANPPSLPLVSLRSTPDRVRGARMATPARSAAAPRRLVPSIPPASSGHVQQLACFGTRTEPCGARRLSTVVADSTRRRAKLGDGGDGVLGASVLGGLGMAGLGDQLSVVMKFGGSSVASAARMAEVAGLILTFPEERPVVVLSAMGKTTNNLLLAGEKAVGCGVIHVSEIEEWNMIKDLHIKTVDELGLPRSVIQDMLDELEQLLKGIAMMKELTPRTSDYLVSFGECMSTRIFAAYLNKIGVKARQYDAFDIGFMTTDEFGNADILEATYPAVAKRLHGDWIQDPAIPVVTGFLGKGWKSGAVTTLGRGGSDLTATTIGKALGLREIQVWKDVDGVLTCDPNIYPHAKTVPYLTFEEATELAYFGAQVLHPQSMRPAREGDIPVRVKNSYNPKAPGTLITKQRDMDKVVLTSIVLKSNVTMLDIVSTRMLGQYGFLARELDNVVEELEKIAIVHLLQQRAIISLIGNVRQMSLILEKTGHVLRKSGVNVQMISQGASKVNMSLIVHDSEAKALVEALHQAFFQDDVLAQADVARLRCLLVDISGWSQGGDWDWRVPPISEAGQEHRPACRRPLSAARIPAAALACLPTRPDPTRSLLKPSLVAASLSPQLQLPLIPTPMEPPPPPPIRREAWEGCSVLLDINDGDRLSFFRLTPGASVLPSPASLTSLLLPPQRFRPTLVCAQDGEDWEQDLLAAAARGAPLWLPLPPRRRRTRPLRGRDGATGDEIVEALIANSSTFGKKTVFSQEKYKLKKQKKYAPKVLLRRPSARSICETYFKKSPARIGFMRVDTLSLLLSMANIGAYSDVLVVDMVGGLVVGAVAERLGGTGYVCSTYLRSAPCSIDIIRMYNLSSDMVSRIVQAPLSDLCSMHSSGNTPSLLNGDTEGEMVEPAVVPDEDAQASLAQQVDTAVSDEKAQLSTDQPTDMEVSEPSLDEHPVQDENSSLDGKGSDGNLDASKSSKAGKAPSPEKMKYWKEHGFSSLIVAAPGHEVEHLVADLLPLLSYSTPFAIYHQYLDPLAKCMHSLQVSKMAIGLQLSEPWLREYQMLSFIESVKLKMV >Sspon.01G0022870-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:85763196:85768030:1 gene:Sspon.01G0022870-2B transcript:Sspon.01G0022870-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl carrier protein [Source:Projected from Arabidopsis thaliana (AT5G47630) UniProtKB/TrEMBL;Acc:A0A178UB54] MQTVRSVVLQHLRLRAAPAIAARGGSGRPALYGFVRGMSAPAGQEDSKGSSSSDPERDIRTRVVNLVKKFDKIDADKVTETADFQKDLSLDSLDRVELVMAFEQEFSIEIPDDKADKLTCCADVAKYIILESQISDKNAEYFRPVISIDSHQMVGMQRGAI >Sspon.07G0028680-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:79290266:79293127:-1 gene:Sspon.07G0028680-1P transcript:Sspon.07G0028680-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding QDVSKKVSGSTGISAERSTRSKSNKDLAIKSKAKLKGAFSLTRLNNDTFKSKGPRTSKRYQLKDLTWRSKDTTVNGKENYGQETVHEENTKSCEHEYGSYCLWSTEHREVMKDAIVKRLKDQLFMARAHYPSVAKLKHQERFTRELKQNIQEHERMLSDTITDADLPPFFAKKLEKMEHTIERTKSCEVGCSNVERKLRQLLDITEDEAYFHTRQSAFLYHLGVQTTPKTHHCLNMRLTVEYFKSRSTHMDQLNEQKLESPTFHHYVIFSRNVLAASTTIHSAVMNSQNSDHIVFHLFTDAQNFYAMKHWFDRNSYLEATVHVTNIEDNQKLSKDIDSLEMQQLWPAEEFRVTIRNHSEPSQRQMKTEYISIFGHSHFLLPDLLPSLNRVVVLDDDLIVQKDLSSLWNLDMGGKVIGAVQFCEVRLGQLKPYMADHNVNANSCVWLSGLNVIELDKWRDMGITSLYDQSFQKLRKDSLKSHRLQALPASLLAFQDLVYPLEDSWVQSGLGHDYGISHVDIEKAATLHYNGVMKPWLDLGIHDYKSYWRKYMTNGEKFMTECNIH >Sspon.03G0002370-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:5979246:5980883:1 gene:Sspon.03G0002370-1A transcript:Sspon.03G0002370-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450 86A1 [Source:Projected from Arabidopsis thaliana (AT5G58860) UniProtKB/Swiss-Prot;Acc:P48422] ATVLDAGVLHSHPYAVAAAAAALVSAYMVWFWALTRRLSGPRMWPLVGSLPSVVLNRARVHDWIVDNLRSTGEAATYQTCILPLPFLAQRQGLVTVTCNPRNLEHILRARFDNYPKGPMWQAAFHDLLGQGIFNSDGETWLLQRKTAALEFTTRTLRQAMARWANRIIKFRLWSILADHCEAAASVDLQDLLLRLTFDNICGLTFGKDPETLSPGLQDNPFANAFDSATEATLQRFLFPSFLWRIKKALGVGSERNLRESLAIVDQYMTEAIAARKATPSDDLLSRFMKKRDGNGRAFPEDVLQWIALNFVLAGRDTSSVALSWFFWMLMLRRDVERKVVLEIASVLRETRGDDTGRWTEEPLDFDELDRLVYLKAALAETLRLYPSVPQDSKYVVEDDVLPDGTVVPAGSAITYSIYSVGRMESIWGKDCAEFRPERWLSADGTRFEPAKDAYRFVAFNGGPRTCLGKDLAYLQMKSIASAVLLRHSVELVPGHTVEQKMSLTLFMKNGLRVHVKPRDLAAYVTAPEEAPQLGAFVIPTTTAAAA >Sspon.08G0028380-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8D:10524343:10524785:-1 gene:Sspon.08G0028380-1D transcript:Sspon.08G0028380-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VPRRITGSHRPAWAPARRRTASTAFLHDQDRQRDDARLPESSTVAVSINMSRSPCFLFFPVFATAATAAPPLAAAAAKPPLAIQTAPIGSPHPRASRGPLEFTVFAPKPPHRLLPCPPPATDRHRRPHVARPLQPLPVATKSSTATA >Sspon.01G0033770-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:113737661:113761351:-1 gene:Sspon.01G0033770-1P transcript:Sspon.01G0033770-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVPLQASKSRFVVGSHVWVEDPDEAWMDGLVEQINGDELVVNCTSGKKVTANVSSAYPKDTESPRGGVEDMTRLAYLHEPGVLQNLKSRFMLNEIYTYTGNILIAVNPFQRLPHLYNNDMMGIYKGAEFGELSPHPFAIADRSYRLMINDHISQAILVSGESGAGKTESTKSLMQYLAFMGGKPQAEGRSVQQQILESNPVLEAFGNAKTVRNNNSSRFGKFVEIQFDANGKISGAAIRTYLLERSRVCQISDPERNYHCFYMLCSAPPEDCKKYKLGDPRSFHYLNQSNCIALDGLDDSKEYMETRRAMGIVGMSSDEQDAIFRVVAAILHLGNVEFAEGSEDDSSVPKDEKSQFHLKTAAELFMCDEKGLEESLCKRVMATRGESIAKNLDPRAAALSRDALARIVYSRLFDWLVNKINSSIGQDPDSKILIGVLDIYGFESFLTNSFEQFCINLTNEKLQQHFNQHVFKMEQEEYTKEEIDWSYIQFVDNQEILDLIEKKPGGIIALLDETCMLRNSTHETFAEKLYQKFKDNPHFSKPKFSRSDFTVHHYAGNVTYQTDLFLDKNIDYAVNEHQVLLNASKCSFVSSLFPPCEESTKSTKFTSIGSSFKQQLQSLLETLSATEPHYIRCIKPNNVLKPAIFENSNVLQQLRCGGVLEAIRISCLGYPTRRTFYEFVNRFGILQPKVLGRSHDEVTAAKMLLDKANLAGYQIGKTKVFLRAGQMAELDALRTEVLGLSAKKIQSKLRSFLARKKYIELQQCATQIQAICRGTIARRCYENLRREAASLKMQTRYRMHYARKNYVEICSAATNIQSGLRGMGARIKLRLKRQTKAAVIIQSQCRCYLLRSQYVRLVKATITAQCGWRRRVARRELRNLKMAAKETGALQAAKSKLEKEVEELTWRLQLEKRIRADLEEAKTQENKKLQLQLQELQLQLKDTKDLLKREHEAAKEASEKAAAVPEILADTAQVNELTAENEKLKSLVASFEEKLQNAEQKFEETEKAREELVKKATDAESMINELKNTMQSLQEKLTSTEAENHVLRQSMKARPDNMPLLNMHRKSTPHGTPMEFGRRSIIERHNESVDTLINCVVENVGFSEGKPVAAITIYKCLLHWRIFETDRTNVFDRLIQIFGSAMQKQDNNADLAYWLSNSSSLLIILQKSLKPPGSSGFRASSITVDMDLVRQVEAKYPALLFKQQLTAFVEGLYGMIRDNVKKEISSVISLVIQAPRNAKAGLITDQGSYWQTIVNHLNDLLEILQENCVSLTVFRVPTIFARKIFTQIFSFINAQLLNSLLVRRECCSFSNGEYVKQGLDELETWCTVAKPEYAGSAWDELKHICQAVGFLVIFKKFRISYDEIISDLCPVLSVQQIYKICTQYWDDKYNTESVSEEVRSTYVHDDRWKICVLDEMKKVVNEGTGQGTSSDNTFLLNEEISMPLSLEEIANSMDAKEFQNVSPPQELLDNAAFQFLRS >Sspon.02G0005350-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:16880118:16881246:1 gene:Sspon.02G0005350-1A transcript:Sspon.02G0005350-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRLLACFGRRGGGATASAPDDEGQQQEAAAPGPVLVELFASQGCGASPEADVVAARLAQDSGDDGAAVVVLAFHVDYWDYRGWKDPFASSAWTVRQKTYVEALRLDTLFTPQVVLQGRAHCVGTEQDALAQAVRDAPRYPAPAIKVTFQRPNPATLQASFTGTLRSRVEGPGGASVLVALYESGLVTDCGRGENKGKSLLNDHVVRRLEKVAAVREGASAKKTVSGTVQFPLWDGFRATKCGLVLFVQNAALQVLGVQHFDLPDNV >Sspon.08G0016570-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:61758653:61760207:1 gene:Sspon.08G0016570-3D transcript:Sspon.08G0016570-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGKGQRRREKNFLAAHGGDTRLPPPPKHRELEAIPSKLRRLIAFQNKHKANANADASSGGAPRKQDDGLRKNKQPTDKAKDKKTKKQTLEAPADSKASEIKGSEDGSAANENVNAEGSKRKRKRGKAKDLRFEELDKNISVSKKQRRKKHLDEKKKKRKGNKAETVPDFPGREKVKFGEVVEAPPKLSFPKVKSALDASREMLRKEAIENYRNIKGWTSRPGLQLPTLAENTFLSQ >Sspon.08G0030600-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:63218508:63219932:-1 gene:Sspon.08G0030600-1D transcript:Sspon.08G0030600-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPATKSLGELDGGARPHVMFIPSAGMGHLLPFFRFIASLAGHDEDVDISVVTVLPTVSAAEADHFSSLFAAHPRVRRVDLHLLPLDVSELPSHDRDPFIVRWEALRRSAHLLGPLIAGAAPRVSAVITDVTLTSYVIPIAKELGVPCHVLFISCATMLSLNAYFPLHLDKKEKACVASRGLPLLDLNKLFTKQFIDNGREIVNADGFLVNTFDALEPAALAALRDGKVVPGFPPPARSVVYVAFGNRSAVSHAQIREIAAGLEASGCRFLWVLKTTKVDRDDSAELTDVLGEGFLDRLQQGQHGLVTKAWVDQEALLKHPSVGLYLSHSGWNSVTEAAAAGVPLLAWPRGGDHRVNAMVAVSGGVGVWMEHWSWDGEDWLVTAEEIGKKVKEVMSDAAVRARATRTGEEAAKAVAEGGTSYRSMQQFISSLKAA >Sspon.01G0038040-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:27783781:27785311:-1 gene:Sspon.01G0038040-1B transcript:Sspon.01G0038040-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GLGADRSGLEAAVGGYIPAGAAGLRFDDFESLHRALGDALFGPIPEQVPEDDDEGDMKEAFRVFDEDGDGFISAAELQAVLKKLGLPEARNLATVQEMICNVDRNCDGRVDFGEFKNMMQGITVLEHLKTMG >Sspon.03G0044240-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3C:90851215:90852123:1 gene:Sspon.03G0044240-1C transcript:Sspon.03G0044240-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQESKSLAAEGLNPNRTALGFHNPKLEVGLGLTVWLPSGDGDVGVTCYLEKTSMGRELAPAIEEGRSRERSGAAPGPLQAHVLGLVEEDEEAKATTRAAQEGACRGGGAHAVLARVPPRGMGRAAWRGPPERLGDGRRAAPTNGEGARGSGWEKIHTSVFSFFLPIDLLAPPCHPMYLPVPPYVHARRRIPAVRPRASPSQLALPEAMEQQREHERGDGGSCARGGDRLPLYEHGDCAETKTKIAASFFTGRDVGFGFLSRGRASEGACGCFHLPR >Sspon.01G0045240-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:86512224:86513996:-1 gene:Sspon.01G0045240-2C transcript:Sspon.01G0045240-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEALGFMARGANDGRAAELVTRDFLGGCAGSDDARDASARNDAVPGWVSQQKHACPATPRDLNLFPVAAAATKPCAVTTVPAPSAAAAASSAGGATTTYHSVCTIEKVKTALERFERGKQQQSPHPHHQQQHSGAGAGASPSSSSVTTSSVKRRGGDSSGGGVEQGDGCDSPSGGGMVAAACPRCFLYVLISRSDPRCPRCESHVPAPPAPGVSKKPRIDLNVGYLGT >Sspon.05G0006120-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:20166441:20169590:-1 gene:Sspon.05G0006120-1P transcript:Sspon.05G0006120-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCFRCFSSRETERGTGEKRRAAPASPAAASFPSTVSTGAPSNLTFSTASSAVGTSHSEDSSAVMLPAASKSSGSVSVSSARSIPELYEERGASTLREFGFRELRAATSDFSRLLKVGEGGFGSVYKGVVRLPGGPAGGTVVAIKKLNPNGHQGHKQWLTEVHFLGVVEHPNLVKLIGYCAARSERGPQRLLVYEFMTNKTLDDHLFNKAYPVLPWDIRLEIVLDAAEGLLYLHEGLEVQVIYRDFKASNVLLDEEFRAKLSDFGLAREGPSAGDTHVSTAVMGTLGYAAPDYVETGHLTTKSDVWSFGVVLYEILTGRRSMERNRPKNEQKLLEWVRLYPVESKQFSKIIDKRLEGHYSKQGATKIAKLANSCLAKQRRDRPTMREVVESLKQAMQHKEIDGKTGSLGETSPPEEVSGKPTTEDVAVASARRRMLHLAALGENANSIVRRRFMLMRTAATPTPT >Sspon.04G0003330-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:10017083:10025056:-1 gene:Sspon.04G0003330-1A transcript:Sspon.04G0003330-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLGIEAKEAAVREVAKLLPLPELLSSIASIKSDYLARQQTNDAQLSTMVAEQETINKLRENFIDIDKLCQECQTLIENHDKIKLLSNARNNLNTTLK >Sspon.02G0043240-3D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2D:84612260:84613527:-1 gene:Sspon.02G0043240-3D transcript:Sspon.02G0043240-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVVAAVEEDGGGPVTVREFDGASDRDRAAVERLEGACEVGPSAGKLCLFTDLLGDPLCRVRHSPAFLMLVAETAAGGEVVGVVRGCVKTVACGRGQDDLFSKVAYLLGLRVSPAHRRRGIGRALVARMEEWFRQAGAEYAYVATDRDNDPSVRLFTSRCGYAKFRTPSVLVHPVYRHDLAPPRRVAVLRVPPRDAELLYRARFAGVEFFPRDIDAVLSNPLSLGTFLAVPASSKPWRGAEAFLASPPPSWAVGSVWNCKDAFRLEVRGAPRLWRAAARATRAADRALSRWLLLRVPSVPNLFEPFGMHFLYGLGGAGPDAPRMATALCRHAHNVARRAGARVVATEVAACDPLRGAVPHWPRLGAEDLWCIKRLADGYGDGALGDWTKAPPGASIF >Sspon.02G0013480-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:33432747:33438868:1 gene:Sspon.02G0013480-2B transcript:Sspon.02G0013480-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGRMDLLPRLLLRRHVHRRAKPSPPPPPPNPPRPPQKPEPVSIHGETWHDSYAWMGALSDAAAMRHMDVHMEAEEKYAEACLAACGADRLARKLQLEMASCLASDSCTPPVRWGPWLYYRRADEGKQYPVLCRRSAALHSEFVSYSDPSAGFDFTAGKRIEQKLVDYNKEAERFGGYSYEELSEVSPDHRFIAYTMYDKDKDSFTLMVRDLVTGTLYDKPCADRVSNISWAMDGKALVYIVTNEERRPYSMIGSNKDDILMLEEHDENIFLNIRHTKDFRYITLNVFSDTHSKMLQEKVPTHLSDLHPIDLPLPSDMPDAVVDYNLLNGQWQIVQQQNMLHERTRALYGTSFAANMGRQSSDKVDFSNDDFVGCAWNELSEYYACEYYDVPSKDGVLVPLTLVYSRKHKQDGNPGLLHGHGAYGEILDKRWRSELKTLLDRGWLIAYADVRGGGGYGKKWHQGGSRTKKMNSVYDFVSCGEFLLEKGIIQENKLAGWGYSAGGLLVASAINSRPDLFRAAVLKVPFLDVCNTLLHPILPLTAIDYEEFGFPVDHEEFLAIRKYSPYDNIQKDVPYPAVFVTSSFNTRFGVWEAAKWVAKVREFTLYDPERPVILNLTTDVVEESKYLQTKELALETAFLIKM >Sspon.01G0053850-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:95143639:95151037:1 gene:Sspon.01G0053850-2D transcript:Sspon.01G0053850-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSRGVPNSGDDDTGHRSKRRRVSSGGDATDSISAAIGGAGEGGGKKALYHCNYCNKDISGKIRIKCSKCPDFDLCVECFSVGAEVTPHRSNHPYRVMDNLSFPLICPDWNADEEILLLEDMSHVNGKNRKELLAMAKVQGETKKGTSLLPGELTPKAESPFSPSRVKMEDALGEGPAGRSPSHIAVGANKKASNVGQIKDGANVSKVEDGHVDRSVGVKKPRFSADEGPSLTELSGYNAKRHEFDPEYDNDAEQALAEMEFKETDSETDRELKLRVLRIYLSRLDERKRRKEFILERNLLFPNPLEKDLTNEDREVYHRYKECRSAGCRTLAEAKIHIEQKRKKEYELNAQKAKESSHLIANNKLVQKMHRPMKIESDGNLDPKKGGAGLDSPKTTGLTSVKQWDDWDIVGLPGAELLSASEKLLCCQNRLLPSHYLRMQEVLMQEIFKGSVLKKEDAHVLFKVDPTKVDSVYDMHVHLAGIEGGLTGDTICSDAGRKRKHVKESVG >Sspon.01G0058370-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:27268978:27273962:1 gene:Sspon.01G0058370-1P transcript:Sspon.01G0058370-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRATLPLALGLSLVALFAARGADASIHEYAGGGFAPRANSFFFHGGSEGLYASDPSSNSASFIRFDTVVFRRTLESASRHEEMQQKTGLVEAIIVEIQDRDKIGGSYLHSDAICCTPELDKEKSCRVGEVIIRPNPENPDWPKRIQTFFDGKNEETTMVTQIVSINKTGMYYLYFMFCDPQLKGLKITGRTVWRNPQGYLPGKMAPMMTFYGFMSLAYLALGLLWFIQFVRCWKDILQLHYHITAVIALGMCEMAFWYFEYANFNSTGTRPMGITLWAVTFTAVKKTVSRLLLLVVSMGYGVVLPTLGGITSRVAALGFIYFVASEALELVENLGNINDFSGKTRLFLVLPVAVLDATFIIWIFSSLSRTLEKLQLRRSMAKLELYRKFTNSLAVSVLISIAWIGYELYFNATDPLSELWQRAWIIPSFWNVLSYALLAIICILWSPSRNPTGFAYSEDAGEGADEEGLFLVGSAVKGTGDMVNMHIFPEDKRA >Sspon.02G0023960-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:81660320:81662953:-1 gene:Sspon.02G0023960-1A transcript:Sspon.02G0023960-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDDEQAVAVAGAPCGACRTLRRRCVPGCVFAPYFTAEDFAAVHGVFGASNVSKMLERVELPEQRRVAAATLVEEARARQRDPTFGQVSYLRILQEVNDKAREQVDEAREEIAREFGALAASEPVDVAAAGRRLGWRRGRRWRELHGGGTGTGKHAGNGKGRRRQAPPRPLERADNADAAGRKTAQLRPPGFPDERSHQQMAVARPRGEGAVDAAGRARKAARPPPHLAAQHGETEPPRVPEGYGHGQLHQQMSEAQHLTTAAEASREEAMRSMQAPAAAPPQHLESAGQHAGTGQPTGRTPGQGSTSVLLEAMMQLAGATGEQQHLVAQHAENEHDITQEMMQLQELLDMAELARNQQIMKNDVMTEMGQWDKAAAGVAREQDDQEMPQQMEEASTAELAKTTGNMSQQFAWAQQYHDPAAAHHESPWHHQMAEAHQPAAASASQVASEQDMLLLLQQHQVAAAELLSAGGARQFDNLAAQYDDTELTLGYGHPDMHQQVAAAGQVAGVQDMNSWHAAAAVHAEREMMQLAAGAQQHQHQQHAQNGLDIALGNGHPDAETQAMLQELAAIVELADERAMIRKQWEQDVRTMMTQQDPDAAWLQEMLEQIEKASTPDLDKRLEIMSQQDEARHAQKELGITLGNGHPDGSTQGILQAQELSVMAEMERKREMLMRQVVAAGRAREQELIAQQAAAAQQQQHPVVQYSGSELDISLGQGHPYWHQPTVQVQQMLQEQQLTDAVGFGRHQPNTTLVQQDAAYANGEHGAPPAPQWRSCAGVCQGRAIPCRPATTVNGHQMDSSLSPLPPSLGQLHAQASHQQRTDG >Sspon.02G0002070-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:5953271:5956422:-1 gene:Sspon.02G0002070-2B transcript:Sspon.02G0002070-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMCSGCPSANLVCISTLGMRSNLLEMNHSQGPRIVRGRGRNKRMWTADEDDELVKALCEVSADPRYKVEGGAFKNCYSQGIENILAQKLPGRGIKASPHVDSRLKVMKRKYYSIKDMLASPGFSWDDTRKMIQCEKQRYDEYCRDHPRAKGLYGVPFVYFDTFDAIYGKDRSAGEGLEGSEDAIANMENENTNEVGDDEVEEDRMSTGISGRSLAATLSSKSQKKYTHDGKRNRTESNCPSLDKFKDVHVQFQNAIQHASTMAAAMELFKDVHDRFQSVVQHAGAMAAAMELFNDAHSRFQSVVQHVNTSTTVIEQFKDALDHFQSITQNGKVIAAVEYDTEMQEKSMCEEPQRKAKVTAIAEVLKLGFSGTEVVTTASIFAKEPNQMDMFLALPEIYKKDYILQMLSENQDFYPAVQVDNASNTQPWRWNNDDNRQPDMQHYGTSVEMEKHQAAKRMGLISYTYSYV >Sspon.03G0026640-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:3329339:3330101:-1 gene:Sspon.03G0026640-1B transcript:Sspon.03G0026640-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MCPPVKIIGHFASPFCHRVQVALQLKGVRYELIQEDLGNKSELLLANNPVHKKVSVLLYGDRAICESIVIVEYVDEAFHGPPLLPTDPYNRAMAYVLGTLLLSIEPAAEQILKPFWLAHWTEGKAQKALVEEAKQSLALLEVQLDGKRFFGGNTVGYLDRAGEGDWSEVVDEDEYPALRRWSNKYNACEALKQCVPDRDRLVAYFTENMEKYKTLSNAELQ >Sspon.03G0029230-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:14280972:14283571:1 gene:Sspon.03G0029230-1B transcript:Sspon.03G0029230-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAEEEIAVKEPLDLIRLSLDERIYVKLRSDRELRGKLHAYDQHLNMILGDVEEVVTTVEIDDETYEEIVRTTKRTIPFLFVRGDGVILVSPPLRTA >Sspon.03G0039610-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:18403249:18411059:1 gene:Sspon.03G0039610-1C transcript:Sspon.03G0039610-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAKALPFIAFEHKRFVPAPPRLASTRARISASDAYGFAVRPQHLQRYREYANIYKEEEEERSDRWKNFLDRQAEDGESSGEDAKVAPSNEDEGAPGKNAKDGRTEPRPHKIQIWSEIRPSLGHIEEMMNSQEQSSSVNEGYTRDELRPDNSEESKPSEDSDDEFYDVEKVDPSQEVPATDIANAESGTNKGADQEEHYPWKEELECLVRDGLPMALRGELWQAFIGIGARRVKGYYEGLLATDSEREDSKCSDSPTTECGDGKSKASQPFSSEKWKGQIEKDLPRTFPGHPALDEDGRNALRRLLTAYARHNPSVGYCQAMNFFAGLLLLLMTEENAFWALTGIMDDYFDGYFSEEMIESQIFHYLYHMTVRHINSTRLTRTFMYFFPVNHLDYLGVQVAWVTGPWFLSIFMNMLPWESVLRVWDVLLFEGNRVMLFRTALALMELYGPALVTTKDAGDAVTLLQSLAGSTFDSSQLVLTACMGYQAVGEARLQELRNKHRPSVISSMEQRAKGLRVWRDTNSLASKLYNFKRDTEPLVSLSEEQSNDLKDGDKNQEANSSNVDDMYHGLTVNSEIDSLPDPKDQVVWLKGELCQLLEERRSAVLRADELETALMEMVKQDNRRELSAKVEQLEQELSELRQALSDKQEQEQAMLQVLMRVEQEQKVTEDARICAEQDAAAQKYAAHILQEKYEEAMASLTQMENRAVMAETMLEATIQYQSSQQKAQLPSPSPSPRTPTRDASPGQANQDSSQEFQPRRISLLAPFSLGWRDKNK >Sspon.02G0005660-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:17947270:17948415:-1 gene:Sspon.02G0005660-1A transcript:Sspon.02G0005660-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPRMSLTRRLTTWHGGNHWSARPARPPAAATGLPCRRLVAPYHTPDHATCLSRRPDASPARRATHTRHQFVMPPAHLWRCMPKPLDCRATHASGLVRWSPHLTLRSPVLACCHVFSLPQIRGGAIGWSLWAVDHPTLGPTQPRPIGSVRTRSVAQDLPAAAVSSSPIVFFAFLLSRGVWCNRGLVGYWGRWTAATQLLPAASTSRPSLIGLWHRHLHDGKCKAAAQQLQILATP >Sspon.02G0036600-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:25763956:25766214:-1 gene:Sspon.02G0036600-1B transcript:Sspon.02G0036600-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGVLSNRVDRDDLKAGDHIYTWRAAYIYAHHGIYAGDGMVIHFTRAAGHEIGTGTFLDWFLYSSSPAATEGPPCQKCGHLVKSDGVITSCLDCFLDGGNLYLFDYAVSPAFFLAKARGGTCTLAASDPIDVVIHRARYLLDNGFGMYSLFKNNCEDFAIYCKTGLLVETAFSVGRSGQLASLTAAFSAVASSPLRFLTTSAGGLAVVTSGMYCVGRYVSDIGVRRDVVKVPVERLVEQNVTSIPQSRATETDIAAGAPQQQLEVPCVVAEEAIISPAAP >Sspon.07G0019920-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:67270797:67271353:-1 gene:Sspon.07G0019920-2B transcript:Sspon.07G0019920-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LMRRAWNQFPYLVHSRWRSQQNVRILRSFSRRELPNIPLLVELPLATFRIYLLKSEGHQVIPVVLSHNADIVILAYMLAQFFFFFCAEKTDLCI >Sspon.02G0000730-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:2873493:2875229:-1 gene:Sspon.02G0000730-2C transcript:Sspon.02G0000730-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSKNSPVTPLQRAAEVPPPMFNQILMNQQQEDSDGPPQKKIPTLLTWTLGGRNIYVEGSWDKWTSKKPVEKSGKDHTILLMLSSGVHRYRFIVDGERRFIPDLPCETDNMGQIVNLVDVHDFIPESVESVSELMAPPSPDSSYGFHVPGEKEFAKEPPQLPAQLYLGVLNSRSSEEGCARPRHVVLDHLYIEKGWGAQPLVALGYTHRFRSKYVTCVLYKAIER >Sspon.05G0009430-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:22361330:22363468:1 gene:Sspon.05G0009430-2B transcript:Sspon.05G0009430-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMPEDGSAAHARKRLVRAMQKTVIRLGVPNDKNRSKAMQLASKFVGVNSVAITGEAKDRLEVVGESIDIACLINRLRKKVYRADIVVVEEVKDKEEEEKKKKEEETKKIRRRRKKRRKKSSRSPGRCARRRAPVVITRARHRRFYARNNSQKAATSCEATWSATKSDQTHISARFQLSLL >Sspon.06G0027530-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:86319517:86319918:1 gene:Sspon.06G0027530-1B transcript:Sspon.06G0027530-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MYCDKQKLARLRQNKLRREASQHEQEEAWKDGFVKEITFQFQMKHPNVVCLIGCCLETDVPILVFEYVSNGSLHDKLHVADKHCYILLLQRLDIAIGSAEALSHIHSHGEYNHVHGDITSFLSISNAAFLFYQ >Sspon.06G0014480-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:59596159:59597090:1 gene:Sspon.06G0014480-4D transcript:Sspon.06G0014480-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKARARRQRIPAFGEWNYAYVGGAGDWPVTQCFDSAMQAGRLVMAIPIPPSPPKPANKVMTSTFFFHNMGSSLVVKWRESATPTLELDEEDEKQRQQVVVGLGEGEHGGAAKKQGKQQTTVVHAYEAVKAIDQDLYHIPPDMLCHEPRVSRASHFHSSCPTFSCLLHKFIME >Sspon.08G0017470-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8B:1855083:1856279:1 gene:Sspon.08G0017470-1B transcript:Sspon.08G0017470-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding QFIGRGSLNSKLGSSSAMGVLVGRRFLNLIVAGCSPGVKSLRRINLAQLYYRDTHTPPPPPPPSSKTTEMETLRLPPPSFTLRGLNNGWNLNCFPFADSKVICGDDQSGLGFIFDLDTRKVGTMPPLPNKLPTVKPVSVFAHKPHVDDDIFSYDNGSSLFLMERVPQLEPISNEVRESKQFVGFINHYPSGPWGKKCFTCRLLPPPPFVRETWSWYNNTPEITAYGVVGGGSHVCISVHGVGTYCLDTADHTWSQVGKWTLPFHGKAEYVPELKLWFGLSAAGAQQNLAAADLSDVMDSQPCQLVGGPWKELDPPDEWKECKDPQFVSLGSGRFCIARFFQEAAGSAGDQVIHENVAVFTGLEVKVNSSGKGGTGKVELQMTPHRSRRVNSTSIEALF >Sspon.02G0006220-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:12823216:12825223:1 gene:Sspon.02G0006220-3D transcript:Sspon.02G0006220-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFFCQLLFLFVLLPLAANGDPRNVICLGHGNSTWINSNYEASIHSLAAMLQSETSASPGLSTEYVIGDLPDGVYAVSRCRNGTGSSYCRACVTLALQEARTACPYHRESAFFNGNCSLRLLAAVHFDDLVLVSSETEKRNIVMRNLSLAMDSRDRKRCSEMRSRCLSSGDK >Sspon.04G0028360-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4B:65200074:65200790:-1 gene:Sspon.04G0028360-1B transcript:Sspon.04G0028360-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLPEDVLTDVLRRLPPHGLAVSRSVCREWCTIVDARCNLRKDLLPVTLGGIFVRIMEPGEDPEFLVRPSMERRIAGGLKYYEGLKYHDKPYIVDCCNGLLLLEEYDQVVNPATREWARLPPYPASLGSGYYSKYLVFDPTLSPPPHYYEVVAMQDPFLFRDKLSAEGSEWPPSVYMMGMYSSSTIKWEERPFAREEGSATTIANFGSGHWHATYLNRALYTYWSGDFIMRYVATCS >Sspon.02G0038470-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2C:46481667:46482314:-1 gene:Sspon.02G0038470-2C transcript:Sspon.02G0038470-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTAWWWFVAMNAVVVAIAVLSSSCTRRPSLLLTPRRHCSSGVTRRTSSASAVLQSLLSFSLFSFPSACLSSSYLQPDAAAAAAATTDQETETEELVARSPPIKPSPSASPRALQLTPPPPAPVADEAAEEEEEEEEDPNAMSMDEAYALVLASQQRPEREREEEARRSEVDAKAEEFIRRFKEELRQQRLDSISSYTQMLRRRAPASSGRRQRPD >Sspon.02G0057680-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2D:63759497:63760101:1 gene:Sspon.02G0057680-1D transcript:Sspon.02G0057680-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDGGAFMDRRRGGCDGIGGTAEGRISNESRSARIALGGSALALAVRRFGFLCSIRTRIWAWNIGWGHGPRWRPLASATVCAPFPMSWKSGFATSSFPLLTVVLVVLVAVPWPRVQRLRRWRAVVVHGDQEAATATLAARHGMGEEAVGGTMEKPEIKAMAVLYTSLSSCTCMRWKLPCT >Sspon.08G0020750-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:25788770:25789782:1 gene:Sspon.08G0020750-1P transcript:Sspon.08G0020750-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MICFGDIARRIIDKPVQQLLRTATSSNAYPGDIARLVSLRFTFAVTLTQQSYYRAQKSYQVTSVVTSHGQQVAVPEVAPDRNDGGTSSAGSDDILSEDATNTTDQRSPGSVAAAGLASPIPVTTPPLPLDIDETPGTKKKQSGGRSTEGRRSGAKKRLNFDKPETTDQVQPAENSVWEDGAATEALDDVLEDVADKGIMADNDLTPGKKSARAGRCIIDDSD >Sspon.07G0024060-3D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7D:20067213:20068862:-1 gene:Sspon.07G0024060-3D transcript:Sspon.07G0024060-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPHSAPHHPSLAAGDAKKQPHLGGGADPNKKTSSCFGGGGDHHPKKPGPSAAKLALASFLAVILLLAADASLTGAGAHRRLRQQYLHYIGVGVGVGGGGGGSTDSLSWLSVPDRPNFTDDLLARWLAPGGSPCRDARTANISVGVLDDAAARGEATALGAGQIHEVTFWALDEAGQRRCLGGDYFEVDLSGDAWKSRPPIVDHGDGSYSFRLQVAPRFAVGEFRLTVVLLFRSFEGLKFSSSRFKYRAELRRIPLLFRVDNNASLPALETCRAADFSRDVWSGRWTRLAKNDNCEDVDAAGRYRCLEPDHPCEAPWCDGPLGALESNGWVYSAHCSFKLFAADAAWRCLDGKWLFFWGDSNHVDTIRNLLTFVLGITDTSAVTRRFDAVFTNPSGGAETLRITSIFNGHWNMSMNYLGLHSLRNRGFRQLIRSYFMSGDRVPDVVVLNSGLHDGCYWTSVRAYAQGADFAAQFWSGVMAKIRARGHAVPRVFYRTTIATGGYARDLAFNPNKMEAFNGVLVEKMRQYGVLTGGVIDNFDMTFPWHYDN >Sspon.03G0012120-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:33529998:33546981:1 gene:Sspon.03G0012120-1A transcript:Sspon.03G0012120-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Regulator of telomere elongation helicase 1 homolog [Source:Projected from Arabidopsis thaliana (AT1G79950) UniProtKB/Swiss-Prot;Acc:F4HQE2] MPVYRIRGVDVDFPFDAYHCQITYMDRVIESLQQGKNALLESPTGTGKTLCLLCASLAWRRTFGEFLLVGRGGGGGGTQQLPYGSQPSVSQQSDDSTSQQQQSRYPVIIYASRTHSQLRQVIKELKATSYRPKMAVLGSREQMCIHDEVSKLRGRAQNNACHFLCKKRRCPHNNHVAEFMKNKPELGNEPFDIEDLINIGRRKGPCPYYISRELSKSVDILFAPYNYLIDPGNRRSLNGIPWDNAVLIFDEAHNLESICADAASFDLFPNNLTACIAEAHECIKLCAAKRSIEKSSDKQFDPENYAILKALLMALEKKIGELLIASKELGYTKAGSYIYDFLSELNITSDTSKKLIETIDGASLLLEEDIIFRGGGQNHAKYYRFHVNESQQTSGDALKVLGKSSRTLSWWCFNPGLAMEEFLKLGVRSIILTSGTLSPLDSLAMELNLEFPVRLENPHVISSDQIWVGVVPVGPSGHALNSSYRTRNTIQYKQELGTAIVNFARIVPDGLLVFFPSYSMMDMCVEFWKNRNHSNSASENTIWQRICKHKQPVIEPRQSSNFQSAIENYRAKLHDSSSGAIFFAVCRGKVSEGLDFADRAGRAVIVTGMPFATPTDAKVRLKREYLDKQGTPSNKNTKTLTGEEWYVQQAARAVNQAVGRVIRHRHDYGAIIYCDERFAWRNYQSQMSYWLRPHIKCYSKYGEVVQGLTRFFRDKASSDPLSLEPTDCNTPGDKGILDALRYEIDDPMSLHHQAVENLLAASAQDECPQITLSGNSTMRSNFMKLAQITPANRSSLTTKHNFTSTSTKHNFSEDQLSQDTKVADMTDDLPIQGHLKEHTFKSLGLKKAKTTDRSKDAVGSDDVSSKLPQNIGSRALARYQYEGSTPEPKKSTTTTACGKNEATCEKSEGQESNSGLAFLKLAKEKLSSAEYKEFVEYMKALKSKTMHIKDSLEAITKLFSSPGKLPLLEGFRIFVPKNHLPLYEQLVRRYS >Sspon.04G0024130-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4B:17862494:17862661:1 gene:Sspon.04G0024130-1B transcript:Sspon.04G0024130-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DKIQALTPWSPPDIIQGPVSQENPSFKAYWANGVVSLGGRGRAHVSVRHPQKNGN >Sspon.03G0033340-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3B:48445290:48445745:1 gene:Sspon.03G0033340-1B transcript:Sspon.03G0033340-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDTKKASEKTKPDMRRVTSAEMARHCIEGDVWVAVKGKVYDVTAWLPHHPGGDLPLLSLAGQDVTDAFVAYHPASAWRVLDRYRVATLSDYAVSKVSRDYRRLVAEFAKAGLFDRKGHGCAESLCAMAALLAGAVWLVLATTSVAAHMAAA >Sspon.03G0009040-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:6258889:6266475:-1 gene:Sspon.03G0009040-1P transcript:Sspon.03G0009040-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNLITLVNKLQRACTALGDHGEESALPTLWDSLPAIAVVGGQSSGKSSVLESVVGKDFLPRGSGIVTRRPLVLQLHRIDGDREYAEFMHLPRKRFTDFAAVRKEIADETDRETGRSKQISSVPIHLSIFSPHVVNLTLVDLPGLTKVAVEGQPESIVQDIENMVRSYIEKPNCIILAVSPANQDLATSDAIKISREVDPKGMPLLSVQRKMIYNVILEGRSYRLQTPWVGVVNRSQQDINKNVDMIAARRREREYFATTPEYKHMASRMGSEYLGKMLSKHLEQVIKSRIPGLQSLITKTIAELETELNRLGKPIANDAGGKLYTIMEICRMFDSIYKEHLDGVRAGGEKVYHVFDNQFPVAIKRLQFDKQLSMENVRKLITEADGYQPHLIAPEQGYRRLIESCLISIRGPAEAAVDAVHAILKDLVRKAINETHELKQFPTLRVEVGNAAFESLDRMRDESKKNTLKLVDMECSYLTVDFFRKLPQDVEKGGNPSHSIFDRYNDSYLRRIGQTVLSYVNMVCSTLRNSIPKSIVYCQVREAKRSLLDHFFTELGAREMKQLSKLLDEDPAVMERRTNLAKRLELYRSAQSEIDAVAWSK >Sspon.01G0030300-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:61501434:61503504:-1 gene:Sspon.01G0030300-3C transcript:Sspon.01G0030300-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSFLSSLVTPPPAADDPNCAVVAAHSKATYDEQWAAHKSSGKLMVIDFSASWCGPCRFIEPAFKELASRFTDAIFVKIDVDELAEVARTWKVEAMPTFVLVKDGEEVSRVVGAKKDELERKIQMFITPSSSS >Sspon.07G0019170-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:69751964:69756914:1 gene:Sspon.07G0019170-1A transcript:Sspon.07G0019170-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:COG0212 [Source:Projected from Arabidopsis thaliana (AT1G76730) UniProtKB/TrEMBL;Acc:A0A178WEK7] MDSQMIPVGCIPEACTSVGAAKYGRPIGLDESLKVDLIVIGSVAVDPSSGARLGKGEGFAELEYGMLRYMGAIDDSTMIVTTVHDKQLVDDIPVEKLLVHDVPVDIICTPTQVIFTKTTIPKPQGIYWEKLSPEKLGQIRILRELKQRIEQETGAILPCGPSEKLPPTAQRRQRWRRRR >Sspon.01G0046460-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:91004495:91010042:-1 gene:Sspon.01G0046460-1P transcript:Sspon.01G0046460-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCLLSTPLRRGLSTAAADDPSLASSAEHAYRLLRRHHSDPQRLAAALSASGLDASSPHLLDAVLRRCGAASSLALHFFHWCSPSLPSPLPSSLALLAKSFSRASSAPSPSLLAPLPSQLLGPSLLCPVLRRLPQPRLLPFALSLLSARPDHDQPALFLSLLESLSKAGHVVTAEQLVEELQPRFPLSLRHYTALLYGWCRQGKLDEAKHVLARMKAADVALDVVAFNTLLAGFVADGRFEDAFELAGQMELRGCPPNAVSYTTLIQGLGSRGRVDEAMRVFVEMRRKGCAPDAVTYGTLVGTFCKADKISQGYEFLDAMSRDGLRVDAAVYHGFFVVHEKKEQLEECLELMERMRECRCPPDLKIYNVVIRLACRLGETKQAMTLWNEMESGGLSPGVDTFAIMVSGLVGQGLLIEACSYFKDMVGRGLFVAPQYGVLKDLLNALVRDEKLELAKDVWECIVSKGCELNVSAWTIWIHALYAKKHVKEACLYCLDMLEAGLMPQPDTFAKLMKGLKKLYNRQIAAEITEKVRKMAEERHVSFKMYKRRGVRDLEEKPKAKRRGQKQRRRRQPGHDDQKK >Sspon.01G0018770-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:69674321:69674795:1 gene:Sspon.01G0018770-1A transcript:Sspon.01G0018770-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVILSMDVHCHGCAKKIQKAVMKLPGVDSVTFGTGLLMIEGTADAAVLRSQLQDKTGKAVNVVSNGVEDGEAASGSGGCFSGNASPPPRAPIILEMKLHCRSCSKKVEKRVMQIPGTNK >Sspon.02G0014700-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:39338003:39339735:1 gene:Sspon.02G0014700-1A transcript:Sspon.02G0014700-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPPCCDKVGVKKGPWTPEEDLMLVSYVQEHGPGNWRAVPTNTGLMRCSKSCRLRWTNYLRPGIKRGNFTEQEEKLIIHLQALLGNRWAAIASYLPERTDNDIKNYWNTHLKKKLKKMQAGEGEDGGEDGAAGATGGGGAGGTAGGGGKRPAVPKGQWERRLQTDIHTARQALRDALSLEPSAPLAPAKVEPLPTTPPGPGCTTYASSAENIARLLEGWLRPGGGGGKGPEASGSTSTTATTQQRPQCSGEGAASASASHSGGAAANTAAQTPSAQRRPARWSAARPARPAPRRRRSRCWRAGCSTTAWGTARWGSWPTWCH >Sspon.07G0009100-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:21985685:21986189:1 gene:Sspon.07G0009100-3D transcript:Sspon.07G0009100-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSNTNSSQQPPPGYPRLDAEQQDGVKKNKKKGRCGLRRRGTSTTAKRGEGSFIEGWCVPRPLF >Sspon.01G0047770-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1B:105659987:105660391:1 gene:Sspon.01G0047770-1B transcript:Sspon.01G0047770-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLDATEVASAEPIGGADLGSAELAGNMRLAGGSALKAQRRRMDVGDAELAGKTGLSSGSAHGACQRLAESGVGYGRATRVVGGCASSRWGGDAQAPRHPSSPSCFEWSDRERLDATEEDKSGYMTGVDIYVVK >Sspon.08G0008790-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:37857733:37863163:-1 gene:Sspon.08G0008790-1A transcript:Sspon.08G0008790-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRPVEEALLAAGEPEAEEEILSVREELKKQLWLAGPMIAGALLQNVIQMISVMYVGHLGELPLAGASMANSFATVTGLSLLLGMASALDTLCGQAFGARQYYLLGIYKQRAMFLLTLVSLPLAVVWFYTGEILLLFGQDADIAAEAGTYARWMIPLLFAYGLLQCHVRFLQTQNIVLPVMASAGATAACHLVVCWVLVYPLGMGSKGAALSNAISYWVNVAILAVYVRVSSACKETWTGFSTEAFRDALSFFRLAVPSALMVCLEMWSFELIVLLSGLLPNPALETSVLSISLNTATIVWMIPFGLGSAISTRVSNELGAGRPQAARLAVRVVVLLAVSEGLAVGLILVCVRYVWGHAYSNVEEVVTYVAKMMLVIAVSNFFDGIQCVLSGVARGCGWQKIGACINLGAYYIVGIPSAYLFAFVLRVGGTGLWLGIICGLMVQVLLLMIITVCTNWDSEVEYAHTSILSPTIY >Sspon.03G0014650-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3A:46726552:46726887:1 gene:Sspon.03G0014650-1A transcript:Sspon.03G0014650-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIPKVDLRGVEPGVPGWEEARAAVTASMVAHGCIVVAYNDALGPELRQVLFGHDLPELFALPLETKQRNVSAKAHFRGYIATAEPVYESVSFDGATDEVSIRDFTNLSWPQ >Sspon.02G0018160-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:58030284:58035273:1 gene:Sspon.02G0018160-1A transcript:Sspon.02G0018160-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAKYLGLGALAEDRRPDLHRQVGCVTGILQAFDRRHPLASSHKRLLPPPTERRALLLPGSALIVISLLAAGHALSSSPSKGGECTRYSPQIVLEKNSSKTWADSQRAPATELSQTSYSSSPTSSFSSLDGNRSTQQDLSSTDRMLFPERPFKSSPKLKSSFDSDNGLDYPDDAPTKSKNMPIVQSSLPTLGIRNLVKDSIYKDNRELSARICTEEVKDHPSNCGDQPRQLNGPPCDSTHGKSKGLVDINESLRVLAKLREASWTPPESAHHARLSYDAPRFSYDGKEAASKLREVPRLSLDIKEGHLWNREIDSRSNPSLSSADRSSNTVTGSNAAFETQQEQPACKRLPSVVAKLMGLEELPEHNQSTASSHACKTVQESKQENMLNPLSISSHNEHALRQQNHDSTVRNLPNSKFPVETAPWKQQERIVLPRKLPKGSKGAHAREQPAASVFSDIEKRLKDLDFQQSNKDLRALKQILDSMQAKGLLENKKREEASMPKLYDGNHDGQEIASVNMRLNSNTNNMWMPEESNAESSFKSPIVIMKPSKSANLFSEVDSSVLPLGGSSDPPQLQTSNSTDRRKASTINRTAKEQHAKFSQKVPTSQPLVSYDRRSYGRTDDSSNNQKSSSLLVTESSSRRQQLPRDSSISMQKNKNSTSPRLLQRKLDSERRARPPIPFAESNKIQRQSGDRNNSEAVSPRSKFRRKLVRPQEGDDGMPNGLNNRTRSLNQHGNDMSTRPDGSISVASELDIISNDRSTEVNISNFEQGIGTPSGRNPQKVKTSYDASKDVPSVDPSAAIPERPSPVSVLDSSFDQEDLFPTSRTPNSLAVDDVHRTLEEPWKPSDTKPTEVAMQSKNNKLANVASLLEKLQQLSMNKDEDAPPVDHIAFLCETESPDHRYVSEILLASGLLMKDLGSGQAGLQLHSSGYPINPDLFHVLEQRKSGWVSIPDGMHQSRSGAKSDPKRAHRKLMFDTVNELLLQKFEKETAVYSVSSFTRAKDPPAKTPSGQQLLKFISSGIEDLDMERSSIWQKEGSVIPDAEILQRLQGWTSFSKELPGMVLEIERSIFKELVDEVVHGESADGPEMKAAGRRRRRLFA >Sspon.06G0005970-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:18740204:18743039:-1 gene:Sspon.06G0005970-3C transcript:Sspon.06G0005970-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGRAVVRRFAAERRPPSASAREGKILSESASSPPFPSSSLALPVYPQQQPLWGGGRGWRELPQREEIKRSPIFQSHDCHLPGPSGALGGLYGVQFAGRSLYSDDVAVKTSIMDSSTCEPQEDNGSSQRLLIWRLWQQRPPCLKPIHCSLSCDKHVGETIANVVTSLPFILCRKNLNTALYANSLIGVGVASSLYHTSRGEIRKYLRWADYTMIATSTLCLSRALRDENPKFLMAASTLLLPFQPLMVSAVHTGIMEVSFAKKASIDPELKMAHNLHKMSSLLGGALFIADDVFPQTSYLHAAWHLAAALGVGTCNKLLE >Sspon.01G0036750-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:14443133:14447682:1 gene:Sspon.01G0036750-2C transcript:Sspon.01G0036750-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASPAAAAALLVLLALAAAGGVAADGSDHRYKMNEPVPLYANKVGPFHNPSETYRYFDLPFCSPEKVREKSEALGEVLNGDRLVDAPYKLDFRSEVESKAVCSKKLTVEDVVKFRNAVAKDYYFQMYYDDLPLWGFIGKVEKGGKADPSEWKYYLYRHIIFDILYNNDRVIEINVHTDQSALVDLTEDKETNVEFLYSVKWKETPTPFEKRMEKYSSSSNMPHHLEVHWFSIINSCVTVLLLTGFLATILMRVLKNDFVKYAHDEEAADDQEESGWKYIHGDVFRFPKNKSLFSAALGTGTQLFALTTFIFLLALVGVFYPYNRGALFTALVVIYALTSGIAGYIATSFYCQLEGTNWVRNLLLTGCLFCGPLFLTFCFLNTVAIAYSATAALPFGTICVIVLIWTLVTFPLLVLGGIAGKNSKSEFQAPCRTTKYPREIPPLPWYRKTIPQMAMAGFLPFSAIYIELYYIFASVWGHRIYTIYSILFIVFIILLIVTAFITVALTYFQLAAEDHEWWWRSFLCGGSTGFFVYGYCLYYYYAQSDMSGFMQTSFFFGYMACICYAFFLMLGMVGFRAALFFVRHIYKSINLLILIVFP >Sspon.05G0023000-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:4636337:4637344:-1 gene:Sspon.05G0023000-1B transcript:Sspon.05G0023000-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding METTSCFLTSKASSKSMAVLLSPTGFAKPKPRLLFGGRRATCCSSINARGASEDGGAPPPVDADWRSFRAQLYFNEQYDARSSVNNPGVTTAMPSPPPQPVKKIGDKWAHPLVEPEKGCLLIATEKLDGSHIFERTVILLLSSGARRLGPVGVILNRPSLMSIKEASETIFADDADIAAAFAGAALLRRPLEECFFILGPQAQAAAGGDVVARTGLFEEVMPGLHYGTRETVGCAAELAKRGVVGVRDFRFFDGFCGWEREQLRDEVRAGLWHVAACSAAVLGLATVVKGGLWEEMQGLVRERRV >Sspon.03G0007480-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:25396242:25400623:1 gene:Sspon.03G0007480-3D transcript:Sspon.03G0007480-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGKKPMKELKLSVPAQETPVDKFLTASGTFKDGELRLNQSGLRLISEENGDEDFILMDRCGFFKDKESTKLKVEDVQLSMDDLEMIQVIGKGSGGVVQLVRHKWVGTLYALKGIQMNIQESVRKQIVQELKINQATQSPHIVMCHQSFYHNGVIYLVLEYMDRGSLADIVKQVKTVLEPYLAVLCKQVLEGLLYLHHERHVIHRDIKPSNLLVNRKGEVKITDFGVSAVLASSIGQRDTFVGTYNYMAPERISGSSYDYKSDIWSLGLVILECAIGRFPYIPSEGEGWLSFYELLEAIVDQPPPSAPADQFSPEFCSFISSCIQKDPAQRMSASELLNHPFLKKFEDKDLDLRILVENLEPPMNIPE >Sspon.04G0015330-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4B:61505422:61506752:1 gene:Sspon.04G0015330-2B transcript:Sspon.04G0015330-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLAMAVPVMLLLLCTTCRLTLGITDGLLPNGNFERGPLPSQLRGTRVVGASAIPSWQTSGFVEYIPSGRKQGDMVLVVPEGAYAVRLGNEASIRQRLRGAARGARYSLTFSAARTCAQAEQLNVSASGQSGLLAMQTMYSSNGWDSYAWAWVADADEVDVVIHNPGVTEDPACGPLIDSVAIKTLNPPRRTNKNLVKNGDFEEGPYIIPGTKWGVLIPSRVVDDHSPLPGWMVESLKAIKYIDGDSFAVPRGRRAVELLAGRESAIAQVIRTVPGRQYALSFTVGDASNACRGSLMVEAYAGRESTKVAYESAGKGGVKRAVLPFRAASARTRLVFFSSFYSTRSDDLSSLCGPVLDDVAVVSVRTNKRG >Sspon.05G0006320-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:13942595:13945011:1 gene:Sspon.05G0006320-2B transcript:Sspon.05G0006320-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:histidine triad nucleotide-binding 4 [Source:Projected from Arabidopsis thaliana (AT4G16566) TAIR;Acc:AT4G16566] MRKTFCFGGSRLAKTMSERAPMVDGCVFCDIARRAPTSTTALLYSVPYRCPVYHLRSSAAFAAENDDKVVAFRDINPSAFRHYLVIPIDHIPTVNSLHKTKDDHQLVSHMVKVGKDLLNQDAPNSEEHRFGFHQPPFNSVDHLHLHCLALPFMPSWRQVKYTPLGPLGGFIEAEKLLERIKPEAEAY >Sspon.02G0009930-3C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:30797082:30800089:-1 gene:Sspon.02G0009930-3C transcript:Sspon.02G0009930-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLGAVARTTRMAAEEMRRASASTAEVPAAPAQAGSRWARVWPTALRWIPTSTDRIIAAEKRLLSIVKTGYVQEHVNIGSAPPGSKVRWFRSASDEPRFINTVTFDSKENAPTLVMVHGYGASQGFFFRNFDALASRFRVIAIDQLGWGGSSRPDFTYSFEEWRKAKNLSNFILLGHSFGGYVAAKYALKRIGSLGSRSSTKIYQCQVWYTFNW >Sspon.01G0031680-3D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:109023299:109024768:1 gene:Sspon.01G0031680-3D transcript:Sspon.01G0031680-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RQLKKRTEELTAVMEDHLVQLLSIMMNRLIQHRLRWFGYVQRRPPEAPVRSGVLKRTDNIKSGRGRPKLTWDESVKRDLKEWNISKDLAMDRSAWRLAINRLVYGAKAEAAIAIGFDDFIADALRGTGYYQKANMEIKQADGNGAMIAEQVFEKTKEKFQMY >Sspon.08G0010050-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3C:64482469:64483472:-1 gene:Sspon.08G0010050-2C transcript:Sspon.08G0010050-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLQNYNKTNEGIVSHRYLLVLDDVWNEDINKWEKLKACLKQGGMGSVVLTTTRKEGIAELMGSVKAHNIAGLDNKFIKEIIETKVFSSKESKLDELVKMVDDFVNKCSGSPLAANALGSVLRGKTSKEEWDAVLSKSIAHNGEDEILPVLKLSYDDLPSPMKQCFAFCVVFPKDRDIDVELLIQLWMANGFIRQEKDVHPETTGKHIFHELVSRSFFQDVKQVKGGIKYNNVNWCCPKTTCKIHDLMHDAALSAMENEVATITDENKPKQNKISIYPNTTMSPRNQKFTASFRKVQLCASLITLSE >Sspon.01G0014560-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:41956076:41957191:-1 gene:Sspon.01G0014560-1A transcript:Sspon.01G0014560-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGALHVVGEPRVSFQQQQPAAVVAKNGHGAVVEEIHGLIRVYKDGHVERLPAIPTVPCTWGSTAPDARGGVVARDVVVDPATGVWARLYAPMTATATSAGGGGSRPPVVVYFHGGGFCVGSAAWSCYHEFLAQLASRAGCAVMSVDYRLAPEHPLPAAFDDGLAAVRWLRHQAAAAASRAGAAACDDLSWWRARCGFDRVFLMGDSAGASIAFHVAARLGQGHLGALSPLTVRGAVLIQPFFGGEARTASEKNMAQPPRSALTLPTSDCYWRLALPAGASRDHPWCNPLSRAAPRLETVPLPAVLVCISETDILRDRNLELCRAMRKAGKCVEQAMYGGVGHAFQVLHNCHLSQPRTQEMLAHIKAFVSAR >Sspon.04G0026010-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:34113528:34116785:-1 gene:Sspon.04G0026010-1B transcript:Sspon.04G0026010-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heat shock factor (HSF)-type, DNA-binding domain containing protein [Source: Projected from Oryza sativa (Os02g0496100)] MEVAAARGAGSGGGGGGGGGGGGPAPFLLKTYEMVDDPSSDAVVSWSDASDGSFVVWNAPEFAARMLPIYFKHNNFSSFIRQLNTYVRNNGPHLPALPYPALVFDALLGLGIRRTPLVGVVGDLGVKGFRKIDPERWEFANEYFVKGQKHLLKNIHRRKPIHSHSHQPGALPDNERALFEDEIDRLSREKAALQADLWKFNQQQSGAVSQIEDLERRVLDMEQRQTKMLSFLQQARKNPQFVSKLVKMAEASPIFADAFHKKRRLPGLEYITEATETATSFFDDHSSTSRQEMGNLLNQHFSDKLKLGLCPAVTESNLITLSTQSSHEDNGSPHGKHPDYERTGMECLPLVPQMMELSDTGTSICPSKSVSFTTAANDDGFLPCHLNLSLASCSMDVDR >Sspon.07G0001590-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8B:56369670:56370465:1 gene:Sspon.07G0001590-2B transcript:Sspon.07G0001590-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRMLLAKIHGFYLKALCSLPKDELTGRYHRALVMGGYCYGPLQPATNIIVNMIWYEQTFPTTKRLKITMISTGFLWQVAARSLYGLVSLLCTRYPSLTPDHAMQRLLVAEANLQVADPNLFDTTPSSNLKMDWSLCPQIGTGKDTVPIQMKAVRKAFASVLEAYTAAATAAYHSSPPAQKSFLDHRILWKNSSMPQICFVWMMVTSSRMNNLVCCSSSYKGGAYPLLARWTRQQEPEPTVVGKSEYAETSRRVHMFCGLNDRV >Sspon.07G0005320-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7A:13522914:13526729:1 gene:Sspon.07G0005320-1A transcript:Sspon.07G0005320-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPAVRVGNPRGPGPGAAVVEEEEAAAVAWRELRGKAAELAAAAEERAILARRIEAALQVRREALQQAEELDELRRRVDLQQARLEAAVVGKRRALEGVERGKERLQEQIERVLPLSRALTTAHQRVQEAKEALSGDKFRLNDLQRLLRTRQQCMVNQVAGLYPVRVFHDLPRHAENPCADTNGEHGTLPEENGTFSGGNRTHLPTIIKSPQARGWTFFGWDIMKHKMKQKSYRYKELQRSAAVLGYAAHAVLLIACYLDVPLRYPLRFGGSRSYVSDRLPSADTASAALASTRNTESELTEYPLFLECQEDDSTSASYAIYLLHKDTEQLLNYVGAESCGRHVFGNLRELLRIIQSDEFVYR >Sspon.03G0003760-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:26148534:26151237:1 gene:Sspon.03G0003760-3C transcript:Sspon.03G0003760-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding IFLTKAQRARTVRLLLLLRKQRTLTARRPARSHADAVPPCCERQQQQLGLEDEHEAVIRELTRGHELTAQLRAEALRALRGQGQAEATAAFILQEVSRAFTVCLSIMSSPARARRRPNRRRRWKWRPRLPSPRRAGAGTTASQESELRRTTSSPHYDGYQWRKYGQKRITKTQFPRCYFKCSFHRERNCRATKQVQQCSSGDPPQYVVMYFNEHTCDTAAWESPAAAAASSGMMPLDDLSALVARHQGQGARALLDERGVQEEHERRLLVSSLACVLGGQQQQSPAGSAVNVGQEQEQEQETPPRARTRDAPAPAPAGVDAPGEMPRIIDEDVAGLDVMDYDVTDALCFGDSYDLPGDGFSF >Sspon.02G0038510-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:46991933:46993291:-1 gene:Sspon.02G0038510-2C transcript:Sspon.02G0038510-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LLWETLTGKEHLFFYGRLKNLKGAALVKVVFMDEPSTGLDPASRNNLWNVVKEAKKNRAIILTSNDPFLSTRLKARYGGTYIFTVTTPPEQEMEVEHLVRQFSPSANKIYHLSGTQKFELPKQEVKLAHVFDVVEKAKRRLTIHAWGLVDTTLEDVFIKVARGAQVFNEFA >Sspon.08G0013460-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:56790192:56793760:1 gene:Sspon.08G0013460-1P transcript:Sspon.08G0013460-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVPTAPARLFLLQSTPSPNPSSTAAAAQAQALRVPPLRLSPSRRMAARPLTVIAGASGGSEQDLSASAVSVDALDSVASDSDLEAKEPSVATMLTSFENSFDKYEALSTPLYQTATFKQPSATDYGPYDYTRSGNPTRDVLQSLMAKLEKADQAFCFTSGMAALAAVTHLLKAGQEIVAGEDIYGGSDRLLSQVVPRNGIVVKRVDTTKISDVVSAIGPSTRLVWLESPTNPRQQITDIKTISEIAHSHGALVLVDNSIMSPVLSRPIELGADIVMHSATKFIAGHSDLMAGILAVKGESLAKEIAFLQNAEGSGLAPFDCWLCLRGIKTMALRVEKQQANAQKIAEFLASHSRVKQVNYAGLPDHPGRALHYSQAKGAGSVLSFLTGSLALSKHVVETTKYFSVTVSFGSVKSLISLPCFMSHAAIPAAVREERGLTDDLVRISVGIEDVEDLIADLDHALRTGPA >Sspon.02G0023500-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:73776749:73778767:1 gene:Sspon.02G0023500-4D transcript:Sspon.02G0023500-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSPSPSSPTARRRRHTIYHGHRRASPHRPTVRGGLFTDLRFPSPIPRPSPSPSPSTATAFRLRDWDPHSPSSSSSPSASASTSASARRLSPLARFLLDALRRHQRWGPPVVADLSKLRRVPPSLVAEVLTARPPPPPPLALPFFLWAGRQKGFRHCFPAFHALASLLSAAGLPAAADQLPDLMRAHGKPVSHSQLTVLVRLHTAARRPLRALHALRRFRHEFDVQPQVHACNRVLGALAAAGHFQDALKLFDEMSEGCVQPIPVTFAIVVRALAHAGMTDRLLEMIGRMRNEVCRPDVFVYTALVKTMVRRGYMDGCIRVWEEMKKDGVEPDTMAYATMVGGLCKAGMVEKAAELFKEMRSKGLLVDRMVYASLIDGYVAAGRVGDGCRLLKELVDAGYRADLGIYNTLISGLCGIGREDKAHKLFQIVLQDDLMPSSDTVSPLLACYAEKGEMVTFFGLVNKLAELGLPVAEMLVDFMKLFAGKDGRELKAMEVFDALRQKQYCSVGIYNILIENLLKIKDRKKSLLLFEEMQNSVDFKPDSCTYSHMVPCFVDEGNVEEACSCYNSMMKENWIPSMSAYCALVKGLCKMGEINTAISLVKDCLGNVENGPTEFKYTLTILEACRSKSPEKVMNAVDEMIEVGCSMEEIVYSAIIYGFCKYASSTEARQ >Sspon.08G0025960-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:12538217:12562621:-1 gene:Sspon.08G0025960-2D transcript:Sspon.08G0025960-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDGELHEEENPTNSAADDDDDEDYEEPGGGNHFLGFMFGNVDDSGDLDADYLDEDAKEHLFALADKLGPSLKDIDLIKSSPAPTDPSEQDYDEKAEDAVDYEDIDEEYDGPEVEATTEEDNVLSKKDYFSSSTVYASVNSTVSVFDDENYDEEEEEPPNDNEPPGDGGDSAAQNLSSVSIEQADMATSSDNLAMEKIGLLSHPEESMDFEYEDLENEKGTGEGHLEPESATSLPVLCIEDGNAILRFSEIFGIQEPVRKVKTDHHKRPVNKELQITNVADNVEEDEELILRSTIQNFSTLKHIQMNEDFVESDSDESISDVILRLKDSCLSEQPMKDAHKDIRTVQRSPVCPDFYPLEHDDWENDIIWNNSPATDQQPYAKICESEESVDTHGEDQGKDYGQVSRCWDVQSKSNGSPVIEETFGCTEMPAPVNYCSPGKSYPPLTNEDNLDHITPNNLDDAVKIDTTMHLNNLSLLNRELLEGSWLDNIIWDPTEVTPKPKLIFDLKDDHMLFEILDEKNVDHLRSHARAMIVSQSTKTSTPTVDNFDNQAKTLSGRFNISNDKFYSNRKTPQQAKSHTKKRALMGIKVVHSAPAHKLQTMKPVLSNKEIANFHRPRAKWYPHENKIAAQLQGTACSHGRMTVLLMSLGGKGVKILVNAEDTPVSVKLKASKKFELKPSEKIKLFCSGKELQDDTSLAMQNVRPNSIVHVVRTEVYLWPKAQKLPGEDKPLRPPGAFRKKTDLSIKDGHVFLMEYCEERPLLLSNAGMGARLCTYYQKTSPTDQTAASLRNNSDGLGTVLAIDPSDKSPFLGDIHSGSHQSCLETNMYRSPVFPHKVAPTDYLLVRSAKGALSLRRIDKLYAVGQQEPHMEVFSPGTKNVQNYLLNRVLAYVYREFRTRERPDGIPQIRADELPIQSPLTEAIVKKRLKHCADLKKGPKGHFFWTQRPDFRVPSEEELRRLLTPESVCCYESMQAGLYRLKRLGILKLTQPVGLASAMNQLPDEAIELAAASHIERELQITSWNLTSNFVACTNQDRENIERLEITGVGDPSGRGLGFSYVRVAPKAPASNSVLKKKSAAAKGTTVTGTDADLRRLSMDAARELLLKFGVPEEQIDKLTRWHRIAMVRKLSSEQAASGITIDEIPVSKFARGQRMSFLQLQQQTREKCQEIWDRQVQSLSALDGDDNGSDTEANSDLDSFAGDLENLLDAEEFDDEDTSTADLRIDKADGMRGLKMRRCSSHAQINEEIEDDETEASLAKKLLEDDGNDVKRKKQPAELTNCGTSIGANKMKQSKTGQMIKSSGYAGALTPKESTPREGKEVENSFAEGGLPSKLKPKMALDVNEILLVKKKSVLGKDGPKEKKQGARGDTLVCGACGQVGHMRTNKLCPKYGEDPEMSEMDANSVKSNPTDINHLQAKIPKRLITKVSSEVTETEGPEGIEKTKSVPVKFKVGAPDKSLERNMSLSVSLVSDKRVMDVTDSRSTGKVNKIVIPNKMKSDDFPPDTPKPSVVFRPPAEEKNAPRKKITIKQPKGIDQQRHVEPRSGQEPTRKTRKIVELSSFEDKSREDDHWFGGEPSQMNSSHERRLGLEGKRSKAIMQNDRSWRDFEEQREMPQPRLFDATMYASRDEDHLKAKKKIKKKKKHEFKDDDLLDHRPYRNDRKVPERHRAVKRSSPAHVIGYASSAKRRRGGEVELSNILEKIVDHLRGLSGSLLFLKPVTKKDAPDYLDFIQHPMDLGTIRDKVRMMVYRNRDEFRHDVAQIQLNAHLYNDDRHPHIPPLADELMEVCDHLLDQNHLISVASELRLRRQLGSHGTVWQKLARRPPRLCNPLRPYESAIVAAAASNDTTLLAAERTRRKDPLDGLRYYTGGWNISNRHYLASAGFSAAPVFAFAALWFVAVAAAALVACCCSCCCRGSSSYSYSRRVFALSLLFLLVFTAAAIIGCAVLYDGQRKLNGSTTATLDYVVSQADGAAATMRNFTGLLQTAKTVGGGVASLPSDVVRSIDDVARRVDAASDELAARAASNSRRIRTALDTIRKVLIGVAAVMLVLVLVGFGEHKLLLPSSRTFFLVHLYLTVLRVKTEHTATFEFGRVFSVIGDTCVAMDEWVVQQQGQSHTALDDILPCADAAVTAEVLRRSKEVNFQLVSNLNQLVSNVSNRDVPAQVGPPLYYNQSGPLVPLLCSPYNADLSDRPCAAGEVAADNAQQVWQRYVCRATGSSGQEVCSTVGRLTPAMYSQMATVAGLSDGLRRQSPALADIASCVTVRRAFQTVSQRGCPPLRRDSSRVYQALLAASVAAMLAAAAWMVHSRERRRRRESERFKVSPYRLPIEEKVLLNSPRRPYRRV >Sspon.05G0007570-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:23594914:23598398:1 gene:Sspon.05G0007570-1A transcript:Sspon.05G0007570-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding YGGAGEQQDRRRRRRQQRHGGGRGGRGGQGEEPWRRGPAPAGHFRRHPRRPPLPQAKGSCSTTSRSCCCGRACSRTPWTCSSSATAAWASPPSREVFSESYVLEYGMDCLEMHVGAVEPGERVVVVDDLVATGGTLSAAIRLL >Sspon.02G0014830-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:39911583:39914366:-1 gene:Sspon.02G0014830-1A transcript:Sspon.02G0014830-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFLLAATSLMLLKLCGDAVTLGWWDLLINFGISQCFAFLACTRWSNPMDIGGPVLIIPIVVFQVLLCMRLEGTPSNARFIPIRAIFLPIILLQVAAVSFAVWRFFNRLLTKLKDGTISQAHISVSSKVDELFMMIQYGSRLLHWWSIDEDSKEEQARLCYANNIGIRIEHHGLMGEGDRSFTSREKKEKEDKIILSTRGGKRDAKGSSCQRGTETSTGLGRAN >Sspon.05G0039330-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:68963313:68963836:-1 gene:Sspon.05G0039330-1D transcript:Sspon.05G0039330-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MECQALGMLPDWLGELRSLRRLMVFVTPLIDDLPQSTKQLTSLVSLSICRWDNLKQLPDVIQHLTSLEHLNLGLCDELTVLPEWIGQLSALQQLRIQHCRALQFLPQSIKCLTALQDLYIVGSPGFARRYEQGVGPDWELVSHIPSGWRLLSLDTPTWQHPNPS >Sspon.02G0012190-3D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2D:26670941:26673112:-1 gene:Sspon.02G0012190-3D transcript:Sspon.02G0012190-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAVAAPVVIGLDAEVGSGGDGGNAQLEVPPVEVVRKGAPLVEGIPVAVTAGGDDTEKEVKGEKGVNPVKWLGLYSSAQTSLIVGDGDFSFSLALATAFGSGANLVATSLDTYGLSTAIASTSVAAALYIHPFGGSSRCAHREIRKLFTASSVGRDAGRPLAGATPRPAALHAGVALSAWWAPLPGRTACGCHSSAGGAALRRRSPATPHATPRPDRVCTSSSTTLSRTRRHGRGRGREQRWRLRPRCHRPRFQSLSVDVLFVAGSRHARVRWRVRRRLNHVVLVVNSLGHASPPPLGRTSCLCLLQLCVVFVPPPLLSFILPSSRLCSDKTCGCNILPSTCLPASESLITPLVESLRSELQQLFASRLEEVLRPLRDEISNIKLWLARMTNQLEGADPMDDHTLVSNMAELFGPCSPVRRSPTLSVLTSLATACTPTDSSVCEDIPANTTDSVIDEMPTLITAEEIHRETDSIINE >Sspon.02G0040110-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:67280501:67281958:1 gene:Sspon.02G0040110-2C transcript:Sspon.02G0040110-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEEAGGELETAGKDEADAEYHSHDFEWEDLKEEVESGPAFSYHLSPFLEPAASTTSPPQPSSEAWRSFHRRHASGKFFKVASQPPAQVPFCSHIPAYSIRTSRNERRYLLKEFPELCSSKDHAKVLEVGCGNGSTAVSILR >Sspon.03G0031950-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:32136655:32137455:-1 gene:Sspon.03G0031950-1B transcript:Sspon.03G0031950-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding PWPTTSRTQTPRGSSSSARSSSPPTRITAPRTRAPPLRRLRRRRSFLLLLPRLRPRRSRRSRLCPCSRRPGAPAAAASRCRARGAAAGRPSSATSTTTTCGSRATSAAPAAATGRPAARSAAWPPRPRAAAGRARLTPDRPPPPPLPRRPPPQPPRRILLVAKRMLPALLRGTSSL >Sspon.03G0029230-3P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:12952012:12954974:-1 gene:Sspon.03G0029230-3P transcript:Sspon.03G0029230-3P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAEEEIAVKEPLDLIRLSLDERIYVKLRSDRELRGKLHAYDQHLNMILGDVEEVVTTVEIDDETYEEIVRTTKRTIPFLFVRALMQRTGALSMEL >Sspon.05G0007420-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:17212348:17213996:-1 gene:Sspon.05G0007420-2B transcript:Sspon.05G0007420-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLGSCYLGGNADAVEFCPHRPFRHVLAAATYTLQEQERDRAGTISLFSVDAASEDASRRLQLLHTVETAGIFDMKWSPKSPLLAQADAHGRLALRRLEQEDGSDTGIVFTDVSDEDISSSMCLYVDWNQTAESLSVGLSDGSLSVVSVREDRLEISEQWTAHQFEVWTCYFDRTRPHLLYSGSDDCCFSCWDLRESPPNIVFQNKKSHKMGVCCIAQNPLEGNMLLTGSYDEFLRVWDMRFMAKPVNEKLINLGGGVWRMKYHPNIADVVLAACMHNGFAIVKVGSGDATVMETYCKHESLAYGADWQKSEEVEQNGNSSVVATCSFYDRLLR >Sspon.08G0024500-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8B:64256345:64256546:1 gene:Sspon.08G0024500-1B transcript:Sspon.08G0024500-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIGLIGRRLSVWLLRAKPMDEHHVSSFKYCALIFNSCEVSQCVVKAVTGRAGRNDRTQPTQGPVES >Sspon.01G0003620-2T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:8875281:8880662:-1 gene:Sspon.01G0003620-2T transcript:Sspon.01G0003620-2T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSAEANCGAGAARVPVPPARPFLDTFRGNLKETFFPDDPFRGVVRERGAGRRTVAALRYFFPFLEWAPAYRLGTFKSDLIAGITIASLAIPQGISYAKLANLPPILGLYSSFVPPLVYALMGSSKDLAVGTVAVASLLIGSMLGREVNPALYLHLAFTATFFAGVFQASLGLLRLGFIVDFLSHATIVGFMAGAATVVCLQQLKGMLGLDHFTTSTDVVSVMDSVFTQTHQWRWESVLLGCGFLFFLLLTRFISKRRPKLFWISAAAPLTSIILGSGLVYLTHAENHGIQVIGYLKKGLNPPSVTSLQFSPPYMMLALKTGIITGVIALAEGIAVGRSFAMFKNYNIDGNKEMIAIGTMNILGSFTSCYLTTGPFSRSAVNYNAGCKTAMSNVVMSLAVMVTLLFLTPLFHYTPLVVLSAIIISAMLGLIDYQAAIHLWQVDKVDFCVCVGAYLGVVFGSVEVGLVVAVSISLLRVLLFIARPRTTVLGNIPNSMVYRRMDQYAAAQTVPGVLVLRVDAPIYFANASYLRERISRWIDEEEERTKGKGEMGVQYVVLDMGAVGSIDTSGTSMLDELKKSLDRRGVQIVLANPGSEIMKKLDSSKVLEQIGHEWVFPTVGEAVASCGYVLHSHKPAGVVKDSAAAHEAWSD >Sspon.03G0001170-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:11099509:11101981:1 gene:Sspon.03G0001170-4D transcript:Sspon.03G0001170-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MWTDNISGTSSSVSTADGRSFEQDKAERILLQDSKLALGIREILGLFRTLGEGFRLSCLFKCQEALEVYRKLPESQFNTGWVLCQVGKAYFELVDYLEADRYFELAHRLSPCTLDGMDIYSTVLYVDERHYNAWYGLGVVYLRQEKFEFAEHHFRRAFQINPRSSVLMCYLGMALHSLKERGALEMMEKAIAADKKNPLPKYQKALILLGLQKYQEALDELERLKEIAPHESSMYALMGKIYKQLNILDKAVFCFGIALDLKPPAADLAIIKW >Sspon.05G0010130-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:31311871:31313806:-1 gene:Sspon.05G0010130-4D transcript:Sspon.05G0010130-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGEQPAAGITPAMFGAPVTEQEAEDLSKSERKFCSGSKLKEMSGSGIFIEKSENGDSEASNPANKTSLRMYQQTVTGISQISFSADGSVSPKKPSSIPEVAKQRELSGTLEDADAKINKQLSEAKTKELSGSDIFGPPPEIPARPLAAHNMELQGNVDFSLPQRSVHTSVKVSN >Sspon.06G0012680-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:66825764:66828657:1 gene:Sspon.06G0012680-1A transcript:Sspon.06G0012680-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGGRGGRQGLFGFGDPFVGFGSFGQPGSLMPGFFGGTNPFDDPFFTNPFGSMMQPSFPTPFSSMMQPSFMNPFGSMMQPSLLGPSMFAPHGDLNGGIFGSQTHLSQGMSNASGFIQQAPEPSRPKGPIIKELSSDDEDGADNDKEDEKKNGNFRKHPRESKEPYVEDPDEEVEDNKRPKHGQFGREFSRASTSRPQPQTFMFQSSTVTYGGPNGACYTSSTTRRTGADGITLEESKEADTTTGKASHRISRGIASKGHSLTRNLNSDGRVNTLQTLHNLNEDELAGFEESWQRNARENMPGWDPRMNMLGN >Sspon.02G0015740-1T-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2B:41299062:41300941:1 gene:Sspon.02G0015740-1T transcript:Sspon.02G0015740-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSAAWREGRRTLLLVNLASIMERADEALLPAVYREVGAALHASPAGLGALSLCRSIVQAACYPLAAYAAARHNRAHVIAVGAFLWAAATFLVGVSDTFLQVAISRGLNGIGLALVVPSIQSLVADSTDDGTRGSAFGWLQLASSLGLISGGFVGLLLAQTTVLGIDGWRIAFHLVAAISVAVGILNWFFAVDPHFPRRDGKQAATAREVVAEMIEEAKFVVRIPTFQIFVAQGVSGSFPWSALSFASMWLELKGFSHSDTAVLMTIFWVASSLGGLLGGKMGDLLAVRYPDAGRIVLSQISPLSAVPLAAVLLLGLPDDPPKGVSYGAVLFIMGVFMSWNGPATNFPIFAEIVPEKSRTSIYALDRSFESVLSSFAPPIVGMLAERVYGYRPNDNGESVEQDRGNAASLAKALYTSIAIPFIVCSAIYSFLYCSYPRDRERARMQSLIESELQQMENEHENSCLELEDGSDGVPKVFGSTNDDGERATIGVTYDHKEDPEAENDSVGLLANRES >Sspon.02G0052310-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:90702458:90707852:-1 gene:Sspon.02G0052310-1C transcript:Sspon.02G0052310-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEYRLGLQLHGHADDVSRRSPLPCPLPATLSPPTDPVSVCFRSKLAAIPSVSIRPAPHSSAPGLGPVRGICVCGDAGIATSSRDRTVKFWTRNPEKESEYVLSKTLAGHSSFVGPLAWIPPSDRFPEGGIVSGGMDTFVFLWDLQKGEVVETMKGHNSQVQVIPLLSFGKEGLAYRHSQDMQCDYTNRPNFMPHADTVRCLASMPGLGILSASHDSTIKLWALTGQPLLDMIGHSSLVYSVDAHSSGLIASGSEDRSLKIWKDGVCIQSIEHPGCIWDAKFLGNGDIVTACSDGTTRIWTADSNRFCSDEELAAYTDLISQYTLSRKTVGGLKLMDLPGVEALQVPGNSDGQTLIVREGDNGVAYSWNSAELKWDKIGEVVDGPGDAAQGQVHDGVRYDFVFNVDIGDGEPIRKLPYNRSDDPYTVADKWLLKENLPLTYRQQVVEFILQNSGQNNFVPDPSFRDPYTGG >Sspon.01G0018470-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:68819950:68822215:1 gene:Sspon.01G0018470-1A transcript:Sspon.01G0018470-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Core-2/I-branching beta-1,6-N-acetylglucosaminyltransferase family protein [Source:Projected from Arabidopsis thaliana (AT3G03690) UniProtKB/TrEMBL;Acc:Q9SS69] MVMRPRATGLSSIRREVLVSAVFTALLVASILLLPSLLLTGSTGTGPRRWPFLSPSTAADEAEEQQQARYPVTFAYLISASTGDASRAARLLAALYHPANTYLVHLDREAPAEEHRRLAELVSGRGGVYARAGNVWIVGRPNLVTYRGPTMLTTTLHAVAVLLRLRRRWDWFINLSASDYPLVTQDDLMEAFAGLPRDLNFIQHTSHLGWKIKKRARPVILDTALYEDGRAELIRPVNITTNLRRLPTAFKLYTGSAWTMLSRSFAEYVTMGWDSLPRTLLLYHANIVSSPEFYFQTVACNSRRFRNATANHDLHFIRWDTPPKQHPLYLTSRDYRRMLLSGAAFARKFREGDPVLDRIDRDILRRREPGHFAYGGWCSDGDEGGVALCSNPKEEPGRRGAIKPGAGSRRLKAMLGKVMSPRNFRRQQCR >Sspon.01G0018720-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:76032817:76041073:1 gene:Sspon.01G0018720-2B transcript:Sspon.01G0018720-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GQGLSRLKCRVRCSQLQLSTNSVDNKKEKDKYSCFDQSTSYLHVQSLRDFPTEKLSGEVVVVRLDSSLILGHLGPCTSSLERALLTIKYLCKARAKVVIATSWDTILQSVMNQLALLQYLSSLLQIEVIPVDGVHGSTSFKQEEWAQNSIILVENLLNFTGEVANCKDFSRKLASGATIFVNDSFSLSHKILASTVGITCFCYASLAGFHFEEELMQLIKITDTTRRPYIAIIGGSNFLRKAPALQMLTSLCDGLFFVGKLSFQIMNGLGMTVPSQFIERNAVEEVLQIIQVARDRNIPIYYPTDLWCLKNGDSETLGVINSTGQLAGWTPADIGPLTLEKISSIIPSYKLGEILEKASSDGCDVILVGKAACKAIKRKTDSSSQYTEFQNATAVWEFLKGRILPGIAALDKCYPYRIPWSTVFCEPTLPLVLVIRCLQCMASDEKRNLYFVSTNATSTFRSIVSSYPGRLTLVTIQCPNPDFNKEQNRWRMVRRMLVEAVVDLLHTNGQVYLQSDVESVLLVMKEQFLSYSKGQLVMDGDGGHRIDNPFGVVSDWERHVLARGAPMYRTMLKKV >Sspon.05G0026650-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:44084379:44087479:-1 gene:Sspon.05G0026650-3D transcript:Sspon.05G0026650-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAASTKTANIPATMRAVQYDAYGGGAAGLKHVEVPVPSAKKNELLLKLEAASINPVDWKIQKGMLRPFLPRKLPFIPVTDVAGVVADVGPGINGFQAGDQVVAMLNSFNGGGLAEYAVASENLTVKRPPEVSAAEGAGLPIAAGTALQALRTIGAKFDGTGQPLNVLITAASGGVGLYAVQLAKLAGLHITATCGVRNVELVKSLGADEVLDYRTPEGASLQSPSGKKYDGVVHCTVGISWSTFEPVLATTGRVIDLTPNFTAILKSALHKVTFAKKRLVPLLVSPNKADLEFLVGLLKDGNLKTLIDSRFPLSEVSKAWEKSIEGHATGKIIVEMGG >Sspon.01G0020090-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:74597282:74601719:1 gene:Sspon.01G0020090-1P transcript:Sspon.01G0020090-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIGSSNIGFQLLKKSGWKEGTGLGAQEQGRLEPVETRVKNNKRGLGSKEPKPKPKVEDDVETNPQKPKDVPSKKRAKLAAKRIRKMQEEEKRLKEKEFEMAFFREFWPDNVLALSTATKPGRSESQ >Sspon.01G0006260-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:16855081:16855938:1 gene:Sspon.01G0006260-2B transcript:Sspon.01G0006260-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding IMVLKVSDPTGEAWVSVFNEHAEKIIGCSADELDRIRKQEGEDSYVLKLKEATWVPHLFRVSVTQHEYMNEKRQRITVRSEAPVDYAAESKYLLEEIAKLTAC >Sspon.07G0016450-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7C:63912825:63913001:1 gene:Sspon.07G0016450-2C transcript:Sspon.07G0016450-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding QVVRVLESSADIDLTNGVQPGQSQVFNVANTAEIRMFRRMVAGAQDDDSSDLTQYDWSR >Sspon.02G0032280-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:112226351:112229368:1 gene:Sspon.02G0032280-2B transcript:Sspon.02G0032280-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPACPLLSLFLAAAIITCATAATSSSSQTDALLAFKAALTVPPESAPIFATWDATAASAPCSFTGVKCTGGNVTALSLPALKLSAATVPFADLCTSLPSLATLSLPANSLAGGIDGVVACTALQDLNLAFNSFTGAVPDLSPLSELRRLNVSSNCFDGAFPWRSLAATPGLTVLALGDNPFLAPTAPFPAEVTRLTNLTVLYMSAVKLGGAIPPEIGDLVNLEDLELSDNDLTGEIPTEIARLTNLTQLELYNNSLRGQLPAGFGNLTKLQYFDASQNNLTGTLAELRHLTRLVSLQLFFNGFTGEVPPEFGDFKELVNLSLYNNNLTGELPPSLGSWSPFNFIDVSTNALSGPIPPDMCKQGTMLKLLMLENNFSGGIPETYASCKTLLSTVNFTGNKLAGAIPAELGNLQRLNSLDVSRNDLSGAVPASLAALKLSSLNMSDNHLSGPVPDALAISAYGESFVGNPGLCATNGAGFLRRCGPSSGSRSANAARLAVTCILAITAVLLAVLGVAIYLQKRRRAAEAAGGLGPAGKLFAKKGSWDLKSFRILAFDEREIIDGVRDENLIGSGGSGNVYRVKLGNGAVVAVKHITRGAAMATSTAPSAAMLGGAVRRTASVRCHEFDSEVGTLSAIRHVNVVKLLCSITSSDGAASLLVYEHLPNGSLYERLHGAAAGTGRKLGGGLGWAERHDVAYAYTWKVTEKSDVYSFGVVLLELVTGQPAVLQAEGGESRDLVDWVSRRLESREKVMSLVDPAIVEGWAREEAVRVLRVAVLCTSRTPSMRPSMRSVVQMLEDAAAAREDDDAKVLEVK >Sspon.07G0015810-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:56182300:56185032:1 gene:Sspon.07G0015810-1A transcript:Sspon.07G0015810-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MESGGGGEEPPCSGDPLEEEQRMASSLSDLEQHLAAAPAGAGGGAPDRAAVAAAIQRRKAAQRARAATDAFSAKLAPVRSLANQTFSRRGHLKGLKDRLRDLQSQLSEAHTVQSSKESKCKRATESILEATATNERLRSLVAYSREKRDLRAAITSEELKALESLEAESNEDAEREKALKTLLWYDKFLGFRIIVGEGGVKFVFDKIDPQRPEKEYTFCLSSSFQSVIECDPRVKDIEELAKDLDLNVHLFKFARMAREKFHSSFMNGTLPVSLDVFVETLPVSPVIGSDVSAAPLPSPVMSVSNRSEDAHNQTQSSSKKNMQLLPVKREATDLSGASPGVLRRSPRLEGTR >Sspon.02G0017150-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:48625683:48636949:1 gene:Sspon.02G0017150-1A transcript:Sspon.02G0017150-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:THO complex subunit 3 [Source:Projected from Arabidopsis thaliana (AT5G56130) UniProtKB/Swiss-Prot;Acc:Q9FKT5] VHSVAWNCLGTKLASGSIDHTARVWSIDPHGHSKVKDIELKGHTDSVDQLCWDPNHPDTVATAAADKSIRLWDARSGKCQVVELSGENINITYKHDGTQIAVGNKEDELTILDVRTLKVAKKHKSTYEVNEIAWNKAGDLFFITTGLGHVEVVKDLEFLKPCKLNAHTAGCYCIAMDPVDRSPVRTVSFNHTGEFIAYASEDPFIDIANVETGRSIHQIPCKAAMNSVEWNPKYNLLAYAGDDKNKHMADE >Sspon.04G0025010-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:24019971:24021449:1 gene:Sspon.04G0025010-1B transcript:Sspon.04G0025010-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHSPSGSRAAAPSTGDEAFTDAAADDGSDSKLSALLFDVSQQVQGGLQSMLKMSSEIARCDAEIDAEVEGARDAVAEKGRALHDERERVQKAALAALYILSGGRGAN >Sspon.01G0027140-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:94098447:94100147:-1 gene:Sspon.01G0027140-2C transcript:Sspon.01G0027140-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Actin 1 [Source: Projected from Oryza sativa (Os03g0718100)] MADAEDIQPLVCDNGTGMVKAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQSKRGILTLKYPSSTESNWDDMEKIWHHTFYNELRVAPEEHPVLLTEAPLNPKANREKMTQIMFETFNTPAMYVAIQAVLSLYASGRTTGIVLDSGDGVSHTVPIYEGYALPHAILRLDLAGRDLTDYLMKILTERGYSFTTTAEREIVRDMKEKLAYIALDYDQEMETAKTSSSVEKSYELPDGQVITIGAERFRCPEVLFQPSFIGMEAAGIHETTYNSIMKCDVDIRKDLYGNIVLSGGTTMFPGIADRMSKEITALAPSSMKIKVVAPPERKYSVWIGGSILASLSTFQQMWIAKAEYDESGPSIVHRKCF >Sspon.05G0027420-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:52650904:52652659:1 gene:Sspon.05G0027420-1B transcript:Sspon.05G0027420-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQSSSSSSEVPQARARYVQAAAASAGVQFDEEMRWLCRVREALEHASAESLGAFPKVFDVPRALRDTKPDAYAPQHFALGPYHRSRPDLKDMERYKLAAAKRAERLFAGDHKIPDLVYRLLTLQGEIRGPYHRILELSNETLAWMMAVGTCFLLDFLLGRYQQQDAVVSSATNWIDATLRDAMMLENQLPLVLFARNLELRYGSEQAAADVLRAVLDRFIKDVSPIKTYASTAVPDFTKQAHLLELLYHFLVPPTAVFDDNSGQDIPPPALEHDQADDGGDLEKQIPAEYDKVKRACLQVSRMRFVKENLISRPKNLSGKLIRKMPPALSGLLPVVGKMIASVDMEARLKDVNMGTHVADSPLAQEIKIPSVTQLAGCGVRFLPSPEGIAGVAFDEKTATLSLPVIVLDSNTEVVLRNLMAYEAVAVRGPLVLARYTELMNGIVDTAKDVKILQQSGVVVNRMKNKAEAASMWNGMCRATRVSRVPRLDAVIKAVNEHRDRTASARAQKLLKKYVFGSWKILTLLASVSLLLMTALQTFCSTYPCQSTWFGHMLPSS >Sspon.05G0021580-2P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7C:72990473:72995492:1 gene:Sspon.05G0021580-2P transcript:Sspon.05G0021580-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMAPDRPLEAFITAARGAIAHLHLPVIHVPGSNSTPNPNPKQQEEPEADCLLHLHVVVTNFLHKPLKSFTRCFKPKRRGGKHSPPLHWDHSNGATPQQQLELLLCIAFDAFSHNLHLLENACRQKGEEFGVATRQLEQLVVLRKIIDGKRADFDGFLSNLGFAKVGAPPPRARIMGGASPVPAPAPVSDQEDGAGIGDSDQEDAAGIGDREAVDNASGTQQPAQKLPARLLNIPLSNVERLRSTLLAVSLTELIELVPQLVSRSSTSADAHPDKKKLFSVQDFFRYAEIEGKRFFEELDRDGDGEVTLEDLEIAMRKRRLPRRYARELFRHTRSNFFSKSIGWKQFLSLMEQKEATILRAYTTLCLSKSGTLHKNQILTSLKGAGLPANEDNAAAMLRYLNSDSEGSISYGHFRNFMLLLPSERLEDDPRHGLRTGIFEASKLVLINVAPTLPEIQVQSMASFCSTVLGTAVRIPCEVLKQRLQAGIFNNVGEAIVGTMRQDGPKGFFRGTGATLCREVPFYVAGMCLYAEAKKAAQHVLKRDLEAWETVAVGALSGGLAAIVTTPFDVMKTRMMTAPPGTPVSMQMIIFSILRNEGPLGLFKGAIPRFFWIAPLGAMNFAGYELAKQAMIKDDNKSSDSTQENLPRKQ >Sspon.04G0014490-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4B:56894361:56896849:1 gene:Sspon.04G0014490-2B transcript:Sspon.04G0014490-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRPHPVSDSYEAPSTGGLDRAPSRTTKRLDRTPSRLPSREDTTKSVHRDPRDSDLSVRPRPGLDPASMPSTAQQGRKDGMERRSGSFQRLVLYVITVPRDGLPFLGHVATVISPGIDKTSFQGPRRLGLLSSYKRAGRGSTTGTRKNNRRRTTKYKSLLPPLDVGPSLARTRINPRVFSLHHRPGKGHAAFTRWFRTPSGPNTDNQDLEFELGHVKHFSFHDLQSATDNFNSKNILGQGGFGIVYKGCLRNGTLVAVKRLKDPDVTGEVQFQTEVELIGLAVHRNLLRLYGFCMTSKERLLVYPYMPNGSVADRLRDYRNGKPSLDWSKRMRIALGAARGLLYLHEQCNPKIIHRDVKAANILLDESFEAIVGDFGLAKLLDRQESHVTTAVRGTIGHIAPEYLSTGQSSEKTDVYGFGILLLELITGPKTLSNGHGQSQKGMILDW >Sspon.04G0021900-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:2204235:2207466:1 gene:Sspon.04G0021900-1B transcript:Sspon.04G0021900-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESAGSSGTAGRSPGLGRGLVSNEVRICRVESMVQSGRPVSALNFQASLAAKDLQISSESNPRLHSSSSTISHHLRFNHLNCHENKEDGLGTENAETSGLESSSRKAVMVRGSLSNEAVSSDVSGEGISFIGSELENVPSTVSTNEIGGSTSESGLSPSLMTSERIMSDLEGEISPQGISSTTVMTSERSDVSQSSLTSMFPNSSTSSSATVEPMPDPIPTRADVPIFSGPHGETGGSILHDDMMSIFSNDGLGRSRDSSSSETRRSHRRILWDAFSRRGSRGYPESDADDLGFYSTWLDLGDDIFEELGESRYFHRRRHGSIRVSQYSRSRIREHRRAIFDSGNEQSTAACPLGIHPIGRCTCDSFLIAEESSARASISRIVMLTEALFEVLDEIHRQPASLSLSMVSVQAPESVVNSLPCKSYKKLETPQCSDDMEQCHICLTEYEDGDQIRTLPCKHEFHLQCVDKWLKEIHRVCPLCRGDVCEVAS >Sspon.05G0005970-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:12979225:12981907:-1 gene:Sspon.05G0005970-2B transcript:Sspon.05G0005970-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVDKIAEPKDPFALTAQKVQSLELPIPIKASWKGKDSQQQEEKDLPADGEESFRSLDSSDEGGRSSFSGASHPPEPIDMDIMKTVYVAIDEEKSEPPVCLVRGVSVKGPFIDDLSIRVTSTKANLVVGAGGAEGMAEERKVSASAVASVATARSSQATSLPQDSEEKECVWDASLPPSGNVSPHSSIDSVGVVTAMSTLHSCASTYKSEAIAGEATLSVERNCESVKGVRGDLLESAKTSMSRASDSSGVSDDSSWSHITGGASKPHKGNDPRWKAIHAVRTRDSVLGMSHFRLLKRLGCGDIGSVYLSELSGTRCYFAMKVMDKASLASRKKLNRAQTEREILQLLDHPFLPTLYTHFETDRFSCLVMEFCPGGDLHTLRQRQPGKHFSEYAARFYAAEVLLALEYLHMLGVVYRDLKPENVLVRDDGHIMLSDFDLSLRCAVSPTLIRISAFDSDPRRAGGSFCVQPACMEPNSACIQPACFLPKFFGQKNKKKTRKARSELGQNAGTLPELVAEPTSARSMSFVGTHEYLAPEIIKGEGHGSAVDWWTFGIFLHELLYGKTPFKGSGNRATLFNVVGQQLKFPESPSTSYSSRDLIRGLLVKEPQSRLGVKRGATEIKQHPFFEGVNWALIRCSTPPDVPRPIEAELPVKYGVAEAIGSNSKRIVGADVKSGGKYLDFEFF >Sspon.02G0020510-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:66829571:66835378:-1 gene:Sspon.02G0020510-2B transcript:Sspon.02G0020510-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDRLLVLMPHLLDVPFAIPEGALAGGAAGGVVETALYPIDTIKTRLQAAQGGSKIQWKGLYAGLAGNIVGVLPASAIFVGVYEPAKRKLLEIFPENLSAIAHLTAGAIGGAASSLIRVPTEVSFILSALGTSNVVKQRMQMSQFKTAPDAVRLIVAKEGIKGLYAGYGSFLLRDLPFDAIQFCIYEQLRIGYRLTGQANQYRGFIDCAQTIMREEGAGAFLKGIEPRVLWIGIGGSIFFGVLEKTKSILAERNIRR >Sspon.02G0028010-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:99266451:99270545:1 gene:Sspon.02G0028010-2B transcript:Sspon.02G0028010-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMATAMSVSAVTPAAAAAARPRTMVCVPATARAPREMAEELAAAAALGADLAELRLDCLAGFAPRRDLPVILAKPRPLPALVTYRPKWEGGEYEGDDESRFEALLLAMELGAEYVDVELKVADKFMKLISGKKPDNCKLIVSSHNFERTPSSEELANLVAQIQATGADIVKIATTATEIVDVAKMFQILVHCQEKQVPIIGLVMNDRGFISRVLCPKYGGFLTFGSLKKGKESAPAQPTAADLINVYNIRQIGPDTKVFGIIGKPVGHSKSPILHNEAFRSVGFNAVYVPFLVDDLAKFLYTYSSPDFAGFSCTIPHKEAAVRCCDEVDPIARDIGAVNTIVRRPDGKLVGYNTDYVGAISAIEDGIRAFEQRDPDTSPLAGRLFVVIGAGGAGKALAYGAKEKGARVVIANRTFARAQELANLIGGPALTLADLENYHPEEGMILANTTAIGMHPNVNETPLSKQALKSYAVVFDAVYTPKETRLLREAAECGATVVSGLEMFIRQAMGQFEHFTGMPAPDSLMRDIVLTKT >Sspon.01G0003980-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:11024711:11025332:-1 gene:Sspon.01G0003980-1A transcript:Sspon.01G0003980-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGRKPMRRRRSDRQPPPQSFGATARPSSPRSFASTPASAAAVAADLDELLLTAPPPSASEPRSFSYAVKQQCWEKAERVPGRDPERWRRDALGNIVFRKLVGCPGCLCHDYDHIVPYSKGGKSTLENCQVLQ >Sspon.05G0009440-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:27254374:27255046:-1 gene:Sspon.05G0009440-1P transcript:Sspon.05G0009440-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DEQKIVIRLSMASDKCRSKAMVLAAKADGVSKMGITGDSKDQLEVEGNDIDTVCLVNCLRKKIGRADIVKVEEVKPEEKKSEEKKPEEKKPEVVPLPYCWYPNYYHPQWQW >Sspon.06G0003340-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:10192707:10199836:1 gene:Sspon.06G0003340-1A transcript:Sspon.06G0003340-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDVEMNAASDEEVMDDEDYYDYCDSDMGDDGDGGSEEEELVAGDYDEGIEAEGTDEVVSRREQTFVVLNEEVISERQKEDVSKVSAVLLITREEACALLHHYKWNISKLSDEWFADEEKVRHTLTCGICFEGYSSDMMSSAGYISAAIGGGPGCLSLRCPDPSCSAMVLQGMINELAKDEDKEKYARFLLRAYVEGSKKTKWCPAPDCTCAVEFLGDENYDVSCNCKFSFCWNCTEEAHRPVNCETVSKWILKNSAESENMNWILANSKPCPKCKRPIEKNQGCMHMTCTPLANSNFAGAWSDHGERTGGFYACNRYESAKKEGVYDETEARRERAKNSLERYMHYYERWASNQTSRQKAQADLLKAEKDQLANLTDIFGIPETQLKFIIEAWSQIIECRRVLKWTYAYGYYLDDKVKSEFFEYLQGEAESGLERLHQCAEKDLQKFLPSVKSDSTETTAPKPDEFSEFRVKLAGLTSVTRNYFENLVQALEGGLEDVRATAQAAGTSSAATSSKKGGTKSKKKQHTKPSSDHTDDGWPCERCTFLNPPSVDACSVCEKSRY >Sspon.03G0030460-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:29951184:29953901:1 gene:Sspon.03G0030460-1T transcript:Sspon.03G0030460-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFVASIVDTVFRPLKDYFARTVGYDFDLNDIQRIIGDRLGVSWENRTPKERAGVLYRVLSKMNFVLLLDDVWEPLNFRMIGIPVPKHNSKSKIVLTTRIEDVCDRMDVRRKLKMDCLPWEPSWELFREKVGDHLMSASPEIRHQAQVLAMKCGGLPLALITVGRAMASKRTAKEWKHAITVLKIAPWQLLGMEFDVLEPLKKSYDNLPSDKLRLCLLYCSLFPEEFSISKDWIIGYCIGEGFIDDLYTEMDEIYNKGHDLLGDLKIASLLEKGEDEDHIKMHPMVRAMALWIASDFGTKETKWLVRAGVGLKEAPGAEKWNDAERISFMRNNILELYERPNCPLLKTLMLQGNPGLDKICDGFFQYMPSLRVLDLSHTSISELPSGISSLVELQYLDLYNTNIRSLPRELGSLSTLRFLLLSHMPLETIPGGVICSLTMLQVLYMDLSYGDWKVGSSGNGVDFQELENLRRLKALDITIQSVEALERLSRSYRLAGSTRNLLIKTCSSLTKLELPSSNLWKNMTNLKRVWIVSCSNLAEVIIDSSKEAVNSNALPRSILQARAELVDEEQPILPTLHDIILQGLHKVKIIYRGGCVRNLASLFIWYCHGLEELVTVSEEQDMAGGGQGSAAFRVITPFPNLKELYLHGLAKFRRLSSSTCTLHFPALESLKIIECPNLKKLKLSAGGLNVIQCTREWWDVLEWDDEEVKASYDPLYRPLH >Sspon.08G0014010-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:38592578:38593526:-1 gene:Sspon.08G0014010-2B transcript:Sspon.08G0014010-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein phosphatase [Source:Projected from Arabidopsis thaliana (AT4G11240) UniProtKB/TrEMBL;Acc:A0A178V1Q1] MDEAAVDDLIRRLLEARGGRTPRYTQVTDAEIRRLCAAAKDVFLQQPSLLELEAPIKICGDVYGQYSDLLRLFEYVAIHQTQIICSWSIETICLLLAYKIKYPENFFLLRGNHECASINRIYGFFDECKRRFSVRIWKIFTECFNCLPVAALIDDKIFCMGTWIRYVTFLVLWMFLTLVSFVICYDPDKEIDRWGENDRGVSYTFGADIVAEFLHKHDLDLICRAHQVVEDGYEFFANHQLVTIFSAPNYCGEFDNAGALMSIDDSLVCSFQILKPSEKKGKAGTSNMSKPGTPPRKIKISVTRI >Sspon.02G0025940-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2D:84848801:84849924:1 gene:Sspon.02G0025940-2D transcript:Sspon.02G0025940-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRKDVALLVRDFVWLLALVAALGKVSALLDHDWPPDPATYPPGRVVAIDLGNTNSCVAGYESGETTRAMFHHCIPSWVAFVDDGTVLVGEDAVNHAAVNPGAVVSGFQRLVGKRCTRVFEREFTQSVKENLPYKVVEENVELGVEVKTTKDGAVRNVGVEQLTATAAILTLPLEFADYASRSAAVFAGRLAGLKAVRVALGEPVAAAIAYGVSRNLRDEGNVVVLHVGGGTAEASVLTFVDPFSQYDPFFGGQDFDRRIVDHHLVRLVRDKHGKDIADDGAALEKLKTACKRAKKTLSHQDHAQVTLESIVDGVDFSEPLTRSEFEELNHDFFLKVVELVDRVVSQAEVETIDE >Sspon.02G0032670-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:121248235:121250764:1 gene:Sspon.02G0032670-1A transcript:Sspon.02G0032670-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RHGLLHDEQHPVGQLQHDPVRVRRHPGEQQGPVRAAPQSVPAARLLRPAARHHPCAVPRALPHQRHQPRLRQDRRLRLRALPLRARGRDGPHHAAGRPHRRLRRRLRRHPLHLRPRHALPHAAHAGHLGRRPRALPPLLPRPRRRARQHRLQHDLARHGRGRPRVRRLPDRAAGAHGRRARRRHRVRLRVQGHGRVGGRAQPGGPPHAGLRPLPGGARRRRALLAQLRAAPEREHGGVPGGAGVPQRGPGVEAAGEQDQLRAQLLRAAALRRPRLPVAAPDHGRHRGGGAHAGRRLPGLRHGAAVPVVEGLLRHRHGDAGEAHRVRGGGAPPGAGDAGGAGAGDAAQRQGVLPPLLRAGGVRRGHHHGQVVHGHHLHGGAQRAAPRPVHGAAPRGGAARPAGRADAVLHHGGAAVGRRRGRWRRAGRVRRGHGADDGPDGGGHREGRRIRRRDRGGRGGVGDAEAHRRGAGRVPGGVRRRRQGEGAAAAGAVVVPGHAQRHVHLRGGRDGGAGAAPVPQGAAGGRQHGAGPPRLPGGQPEGAAAGAVLGGGGGGPRPRWEARRRRRQRLQLPAVPDPGGGRGGCVHRRRGRPRGPDAGVPDVEAPGRASDGAARGAERDGAGARQGPDEPVREQGQPARRRRRRRHDGRPGVVGAGAGGGADAGGRQVLRGVLPEARGREQAARRRRGGHRVPGEARGGRGGAGGGAPGHAGGVPALHRGARQGPQLGADGGAGRVGRVPGAGPRRRHPRLLRLLHHRLRAHRAAVRRQEALQGHRRRVH >Sspon.03G0041900-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3C:48042271:48043019:-1 gene:Sspon.03G0041900-1C transcript:Sspon.03G0041900-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VIEALAQAGLESSNLIIGIDFTKSNEWTGKKSFNGMSLHNISDNPNPYEQAISIIGKTLSAFDEDNLIPCFGFGDASTHDQDVFAFYPDERPCNGFQEALARYREIVPHLRLSGPTSFAPIIEMATTIVEQSGGQYHVLVIIADGQ >Sspon.02G0034310-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:12139743:12144088:-1 gene:Sspon.02G0034310-1B transcript:Sspon.02G0034310-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVGMPSSWEELPPDLLGQVLHRLPFLADRVRFCAVCWPWRTGASAERLPAPLPWLAFRDGTLVDLAGAPILRQEGVDFGYLAVDNLAFLVHHDGGCSLMNPLSGLRLPLPKLGPAVSRAMSDSKFYRESDIQKAYVKAVLSSPLDSTLDPLIAVPISEGHSIAVSACKQDTAISITVCPDPPRIHDIAFFHGKLYALTCKEGLHAIELDADHLSKLKSTSGFHRCIADDPKQQAIYSSTVRIEVFEAVLATLPSQWTKVETLGAVLRGLVGGFSTASDSQRLAGKVAVITGAASGIGKATAAEFVRNGARDDAGRAVAAELGAAASYTRCDVTDEAQIAAAVDLAVARHGRLDVLYSNAGAPGASVPTPLASLDLADFDRVMAVNARSAVAALKHAARVMVPRGAGCVLCTGSTTGMLGGLAALPYSLSKATVISVVRAAADELARSGVRVNAISPHAIATPLLVRSLARLHPGVPDEQLKRLVETGMSELRGAVLQVEDVARAAVYLASDEAKFVTGHNLVVDGGFTASKRIGGKLNR >Sspon.05G0033070-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:5C:26052478:26052912:1 gene:Sspon.05G0033070-1C transcript:Sspon.05G0033070-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MISTKRISQMGKKWQRMAVLGRKRLSWRVEREADQSCASVASKDHCMMYSSDKRRFEVPLAYLGTLVFAELLQMSQEVFGFMSDGQITLPCDAAVMEYAMCLLRKGSSADVEKAFLSTMAVLCHYASCIAPSVGVSHQVVVCSS >Sspon.01G0025600-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:90163872:90168135:-1 gene:Sspon.01G0025600-3C transcript:Sspon.01G0025600-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPLARLILFVFAAAAAAAAAAATASAASLNGAANDLLPKYGLPKGLIPDSVASYSFDEATGAFEIHLASTCYVHFGSHLVYYERTITGKLSEGAISDLSGVQAKKLFLWVYVTGMVAHPDQGTIEFQAGFISESLSASMFDEVPTCGSSVGAQLRGAAGVIGELGLLPVAQA >Sspon.08G0018820-3P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8D:8135269:8136105:-1 gene:Sspon.08G0018820-3P transcript:Sspon.08G0018820-3P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVGGGAADSPGAAAAAGAEAPRPSRYESQKRRDWHTFGQYLRNHRPPLELARCSGAHVLEFLRYLDQFGKTKVHAPGCPFFGHPSPPAPCPCPLRQAWGSLDALVGRLRAAFEEHGGRPEANPFGARAVRLYLREVRDSQAKARGIAYEKKRRKRPSASSQSSPQAATTPPQQPPPVSSPVLSDAAAERADVRAHVPDAGHPHHHLHQHHFFMPHPQFLHGFSLLPANPEAVAANGNGSSSSSASVAAGSGDEIALAMAAAAEAHAAGCMLPLSVFN >Sspon.02G0054390-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:112837520:112838279:-1 gene:Sspon.02G0054390-1C transcript:Sspon.02G0054390-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMTDRQLVRQCDMEVMKMAMLKHEETFRQQVHELHRLYRIQRELMSDLTRDAPALTMTTRRRSKQPRRALNLQLPADEYIVSADEDEDAAGGGTELELTLAIGGRCSSAAARRKNHRRRQRDNAGSGGPASPFGSDCSGASVLSSSPPSSAEYYSDDGPAPPAVFHAPPPPCQRAVAFDLGEGMMMRQHAPWLVQCQQYLSLRMT >Sspon.06G0032490-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:58821006:58824578:1 gene:Sspon.06G0032490-1P transcript:Sspon.06G0032490-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAQARPFFLLVATALFLPRLAGASTKAAAPAVVVVDNGAVQVTLSAPEGRITGVSYSGEPNLLEYDAGEGDSGGYWDSVWNYPGSGLPGLYNTLDSTEFSVVSSSEDQVELSFRSTYNPSHQNSIRLNIDKRCQNSSCCSLLLTACFRYYIYETNYLRSLLTTEAALGSTAMPFEHDRAYPALNITEARLVFKLNTAKFNYMAITDDIQRYMPSAVDREEPRAVPLAYKEAVLLVDPKEPQFRGEVDDKYQYSLDNKDNVVHGWISGSDPNPIGFWVITPSNEFKSGGPLKRELTSHVGPTSLTMFMGTHYVGKYMVVNIDDGEEWNKVLGPVFIYLNSGPSRGDLWEDAKAQAEAEARNWPYGFLASPDFPNRERIRYREAIGQRQVPEQEARHPCRSGLRRPGLARAARVVWATESKGYQFWTRAASDGTFSIANVRAGVYDLYAFVPGVLGDYRRYEGSPLTVAPGRAVAVGDLVFEPPRSGPTLWEIGVPDRTAAEFYVPDPDPMYVNRLFVDRDRYRQYGLWERYADLYPDKDLVFTVGESNESKDWFFAHVTRKVGQEAVPTTWQIRFTLDHVVADGTYTLRIALASSEMCRLQVQVNGDARRGGVFTTPDMGTTTPSRATGYTACSGASSSRSGATCCAKATTPLA >Sspon.05G0008950-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5A:26296489:26299074:-1 gene:Sspon.05G0008950-1A transcript:Sspon.05G0008950-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVPGDVPRPALLPESPIRIPGMRAPLPPQSPRNKRAGLSSQSLSAMKLMKLGTRPDSFFTSGPVRSVYTEVATDLQIQVDRCLFRLHKALCAESDAVELRDFPGGADAFEACAKFCYGVAITAADGRGNLAAKLDEFLASCLLRRWRDALAVLRSAGRYAALAAECEELGVTSRCVEAVAMLITDPGGGNAAAAATAASSSSSLWWALDVSDLRVDLFWRVMVAVKAAGTVKGRAVGDALRTYARRWLPTVVKSGYLAVEQTDISTADEGSFDFELVARNSQLLVEKMVSLLPAERNAISCSFLLKLLKAANVLGSSPASKAELIRRAALQLEDASVSDLLIPSCASETLYDVDAVMAILEELALRQAAAGVPEASPPHARGHRRSRSAESSEFEGARRSTSAAASHGAMVRIGRLVDGFLMEVAKDPNLPLDKLIAIAEAVPDCARPEHDHLYRAVDTYLRVHPEMDKGSRKKLCRVLNCRKLSETASMLAAQNELLPLRVVVQVLFFENARAAAALSGPGANNSRVAGVAGGVRALLAKTRREADGQEAKNEKRLRRGLATAPGPDDNDWSVEGLKRAASRISTLRMKLEEDEDADDEAFVVHRARAGLVRSASARVRALCDCAIPAGKPKRMLSRLWPSSSRRAVTERH >Sspon.04G0014560-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4C:58432424:58434919:-1 gene:Sspon.04G0014560-2C transcript:Sspon.04G0014560-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRVESLLLLFMLSIPFSQAWSIDYPSPIANLPAIWTNNEATIPYNTTYEDGSTIRVILVRQKPAGFGPSFGCGFICTAPCKVFLFAVFFMSIGDPNDPASNASATPRIVWTANRYRPVKENASVQFNKDGNLVLRDFDGSLVWSTTTSGSSVAGMNLAETGNLILFNMMGKTVWESFAHPTDTLLIGQSLWQGKRLSSTFSETNSTQGQFYLTLLNNGLYAFIDEDPPQFYYRKSFNITDAIAQSKRNISSDQVKNSTAYISFLQGSFSAFLRFNSTDIQLFDLSLPLPSSVQFMSLEDDGHLRVYAWDSVSWKALADVLHVYPDECAYPTVCGAYGICSQGQCSCPGGNNDIDLFHQLDDRQPNLGCSLETPLSCDLIQYHKLMALPNVTYFNFANNWTTEEESCKEACLKTCSCKAVFFQHQNVSKGSCYLMPKIFSLMNYQPEVVGYNLSAYVKVQMLPPPSSKRTNATAYHVGVPVLVVVICLLILMIRRKIVKRMEEDDPFKGVAGMPTRFSYKQLREATNNFSKKLGQGGFGPVYEGKLGNVKIAVKCLRDIGHGKEEFMAEVITIGSIHHINLVRLIGYCSDKFHRLLVYEHMSNGSLDKWIFRKNQSGSLSWATRYKIILDIAKGLAYLHEECRQKIAHLDIKPGNILLDDKFNAKISDFGLAKLIDRDQSHVMTKIRGTRGYLAPEWLSSTITEKADIYSFGVVVLEIVSGRKNLENNQPEGSPNLINILQEKMKVGQVLDIVDNQDEDLQLHGSEMTEVIKLAVWCLQRDCGKRPAMSQVVKVLEGAMDTESTAGHDATGRDDIIFDASSPLSPVPVSAR >Sspon.02G0010750-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:27995224:27997518:1 gene:Sspon.02G0010750-2B transcript:Sspon.02G0010750-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPATAADAHQSRLLYELCALLLTVLRASPDDMAGAGAGARPLLPRQVTPAGVASMLLGASMALMLCGSVTFMLGFFLMPWVVGLGFLFLFVGFVTNLSGIWRTILLWPSASDSPKEASSPWHIFSKPSFMSM >Sspon.01G0016020-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1A:48884823:48885228:1 gene:Sspon.01G0016020-1A transcript:Sspon.01G0016020-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding QVKGQRELIGLQGTHLDADPKLTRPRLSRRRWRSSPPWSPWRHIERGGDKESSWIRHYLGAHCCLGTVPEPGRVLLAAYRAAALGPPSLGVPPPTWGRTGAKRPRRRLGLRLHQVQRAEMGEMDGRVRRLRWGRQ >Sspon.08G0029900-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8D:52205294:52205460:1 gene:Sspon.08G0029900-1D transcript:Sspon.08G0029900-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKLCNILETFSGATEILSGCKYPTSSIYFHQIWEIKLLLEKELSNEDVMVRIM >Sspon.01G0001820-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:3914627:3917943:-1 gene:Sspon.01G0001820-2B transcript:Sspon.01G0001820-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVMAIALANGDNRPPDWQDFVGIVVLLILNSTISFIEENNAGSAAEALMANLAPKTKVLRDGRWSEEDAAVLVPGDIISIKLGDIIPADARLLEGDALKIDQSALTGECLPVTKSPGDGVYSGSTCKQGEIEAIVIATGVHTFFGRAAHLVDSTNQVGHFQKVLQAIGNFCIGTIAVGLFVEVIVMYTVQHRRYREGIDNLLVLLIGGIPIAMPTVLSVTMAIGSHRLSLQGAITKRMTAIEEMAGMDVLCSDKTGTLTLNKLSVDRSLVEIFAAGVEKDDAILFAARASRVENQDAIDAAMVGMLSDPKEARDGIEEVHFFPFNPVDKRTALTYIDLADGSWHRVSKGAPEQKRKESLGEPWEFVGLLPLLDPPRSDSSDTIKDALDLGVNVKMITGMGSNMYPSSALLGQSKDEATASIPVDDLIEKADGFAGVFPVVHFDAEHKYEIVKKLQEMKHICGMTGDGVNDAPALKKADIGIAVAGATDAARSASDIVLTQEGLSVIISAVLTSRAIFQRMKNYTNTFHVRSLRGSTEEMMSALYLQVSIISQALIFVTRSRSWCFTERPGFWLCAAFVIAQIVATLIAVFANFGFARIRGIGWGWAGVIWLYSLVTFFSIRYVLSGKAWNMLRFNVRSFVRSGTDSQ >Sspon.06G0002090-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:6889812:6890129:1 gene:Sspon.06G0002090-1A transcript:Sspon.06G0002090-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQEGVHVVGFEVPPSPDSSYNNPIPGNEDEGREPPLVPPHLQHTLLSFPPSQDESSPLPQPQTVVLNHLYIEKENTRSVVALGITHRFKAKFVTVVLYKPVLRR >Sspon.02G0015950-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:43824092:43828754:-1 gene:Sspon.02G0015950-1A transcript:Sspon.02G0015950-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MYWSFESPDRAAPPPSPSPAVITQSRIHASSYLSSPMRAYSQHHTSRREASQGVGRLPVGVSHIWRGGEEGQGAHTTQRRSSASSPVRFQGSPQRDEESIYWSKVAQRGQPCSLSSYGRRGVLGERQVAPPRPGNLRSGLRLDRPQPETRDLKGEEEIQEFWGQLWLIPHPKHHLRPPRVREKEKSNLVWVRRDLWERKEFDVGDCFPIGDGDIWDVQPKPLNFAEDFWGGGKRKSFLSAVKLAMANRGRGRGPRPRNLEGEWVDWGGGSWNQPLPHPYPPHQPQPPAPFFNQMPPPPPYGYYHNQVQHPPPPFFGQQFSGPRPRGGGRQGAGRGRSVVGRQQTRTDQAMKNVEPDRTSEETHKVAMEVEEKDKDKDKTEVVSAQKDKFMDVVCFNCGDVGHYSSACNRARCCFICRQEGHVVDKCPEWKKNQIAAQFYGSACQGLGFYHIDVEPREGRFRHWKGLENFGVLTIEQGDIEEAKIYDHLRDLFDDKWDWKLRQEDDYTYIIRFPPQKRVEDFVVGQATLFYLKGTNVLASIKPWNGDVEPVGHLEDVWVQVKGIPPKWADWWTIKDVASSLGLLTEVDWAVLFSSFFSNVRIRIKCKNPARIPKERIYEMGGSCYPISFLAEGVEQAGEPSEKDDGKGDDDGANGDDKDPKGDDDGPNSDEDLDEDDLLDDDLLEPEKNHDNNGQMGKGNDKGGQLSDKKLEQKSGASSSRSQPKKKTTRRSLGFLDELENFCSEQHSCVDLLRAMELEDDAEGVTVQGIDQDDEETCQLPTEWIDILKSQKSNLEVNGVTNKGSDEGENGSQSTQPTSDDLAKTGTQEDNGVKTGKVKKQWGPVLAQRKCKRFSEDNRTALQKAQDFKKQCNLETQKGVVETKKGDYTAGMLRSLTGNVKFNWCSLKAKGSAGGILIGANDDMFTMIKALDRAPSDHNPILVDLGDNLFYGKKRFRFEKWWLLEDSFRAVVEKAWSLPCSETKSIDIWQFRVRSFRKLVRGWAANQIALNNKTKDSLSTEYNLLDQALEERDLEEVEWIRYKQLEEELDKFWRMEEIKIRQRSRDRDILEGDRNTAYFHAVANYRSRKKRIDFFESPIGHVSDQKGMMDIAVGFYKNLFAKEDRGGIRLSEDFWDITQKVRCSSSEVSGH >Sspon.02G0013640-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:36281946:36285435:-1 gene:Sspon.02G0013640-1A transcript:Sspon.02G0013640-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLNYEQVGNFRKDLVLAYKTLGVVFGGLVTSPLYVYPSMNLSSPTKDDYMGLYSIMFWTLTLIGVVKYVGIALNADDHGEGGTFAMYSLLCRHADIGILPSKKVYSEEEPLLQNQSGRAGRPSWLGKFFERSITARRALLFVSILGMCMLIGDGILTPAISVLSAIEGLREPFPSVSKRIDNRLILPFNFTAVVEALSAVILIGLFLLQKYGTSKVSFLFSPIMAAWTFTTPIIGLYSIVHYYPGIFKAISPHYIVRFFLRNKKEGWRMLGGTVLCITGAEAMFADLGHFSKKGIQIAFLSSIYPSLVLTYAGQTAYLINNVNDFSDGFYKFVPRPVYWPMFVIATLAAIVASQSLISATFSVIKQSVVLDYFPRVKVVHTSQHKEGEVYSPETNYILMVLCVSVVLGFGAGNAIGNAFGVVVIMVMLITTIMLTLVMIIIWRTPPVLVALYFVPFFIMEGSYVSAVFTKIPEGGWLPFAVSIILALVMFGWYYGRQRKMEYEMANKVTVERLSELLARPEVQRVPGLCFFYSNIQDGLTPILGHYIKNMSSLHAVTVFVTLRYLLVAKVDERERILVRRLGPNGVYGCTVQYGYDDSLGDGDLAAQVTSSLRRYFETTEAGAEEAARLEAARMTGVVHVRGKMRFYVGEDAGWFDKVMLGFYEFLHGICRSALPALGTPLQQRVEIGMLYKV >Sspon.07G0018350-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:76000767:76001165:1 gene:Sspon.07G0018350-1P transcript:Sspon.07G0018350-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GMCFSLPTPTIHSTSATARSARSMTSRPRTQPPPPRTATTPRRRARIRKSSSRWQRPGPRPRPSRRRFARGRRARRRTAWTSTGRPSSCCLPQRHPGRGGARGRRRQHPRRRRLGRGALAVLLRPGAVRRARR >Sspon.04G0006230-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:17343970:17345824:1 gene:Sspon.04G0006230-3D transcript:Sspon.04G0006230-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Scarecrow-like protein 8 [Source:Projected from Arabidopsis thaliana (AT5G52510) UniProtKB/Swiss-Prot;Acc:Q9FYR7] MEPGAPWRDPRQGYAYGVGSAMQMQMQQRADAAAGGGVLKRSLGELERWQHHHQQQELYLRTVRQRTAAAVDIAALLGGTPGARSLVLPGSSFGGGLASPSSTLSSLTTASRAAVPLMQPQLQRQVPLMTSSPQTQAFGLSRAPPLPQPAASSELFILQELEKQLLDDDEPVAAMSGTGSAVTNSEWEETIQQLSSIAAAPSPGLPAAATPNNNNVGMTRSPSNSSSSTASSSASCSPPTPGGGVEAAIGHHDAAATHLAALKRAANQHGDAEQRLIAMMVDALSSRIGRARAASAPAQHLAELCSDDQRAGDISPCFRVALHAASVAIVEAVGDHRAIHVVDFDVSLSQHAALIQYLADRRVQGRSLKVTAVTDPSSPFSQSQTASLPTVVERLKKLAERAGVEYRFNVSSRAGRVQAVLRARGGGGSQPRFALSHVPDESVSPANPRDELLRRVRALGPQVVTLVEQELNTNTAPLAARFTDACAHYGAILESLDATLGRETAEKARAAEAALAKKAANAVGRDGPDRLERCEVFGKWRARFGMAGFRPVSLGSGIAEQLVGARVGPVPPGFTVKAENGVLRLCWMGRVVTVASAWR >Sspon.03G0023770-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:57373157:57374308:1 gene:Sspon.03G0023770-4D transcript:Sspon.03G0023770-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSLCTPHATVTAANAAPLLSSVRHRGAHRARGAVRAHRHHQGAAAREPGDTHQHQQAVPAKGRGPPALRNSALRVGAGVALALALGGVSWTARGGGSGAGPVTQPAMVCALNAVTDAALRASTEQRGAATMKTSVDALSDSLFRREDSPRDRATLMDLVFEQVTKEHITDRGKLTSLLQKEFSASRDSERKLDLGLLLTDVLINQVTCHIFLDITLSSTCVAQRDWQRAKEVCQQITGRYQRDSRPYLHLAVINMMIAVEAMLSPDTATTDDIEKMTKTAMDAWKEFKTKSELAKGSADSTA >Sspon.03G0034580-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:75243127:75246824:-1 gene:Sspon.03G0034580-1B transcript:Sspon.03G0034580-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGDILLKSELIDPSSRSLHQLVRRVSLMTIGSRRSVSQEDILVFKLLVEERAADIARQLSDSHWTSTCVVTNSKFNSFFVDREDAHVALCFLTQNGKARHLSGRKQDPIEGVKFALTASQVPAVSKLDHDTLHLVWTEEKLQGQLDVLDRRWEMLRALASFKSGDKQAAYRYVKQSKLFSQSRSRCTQLLERIEEVISLIANAESNKKVYEAIQIGILAMKDNHVSIDEVSIHMKEVDELVAAQREVDAALESVPLQSLDDEGDIEEEFSKLEAELQDDIPHIHVQEPMAPSNEESPDEVVESLSHNMSSIRLEPI >Sspon.01G0020880-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:77131693:77133532:1 gene:Sspon.01G0020880-2C transcript:Sspon.01G0020880-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVRPVLLVDAAVSELWAAAKGAPPLAVLAGVAAAVAVYKVGSSLLAPRRPPPRRLETQTAPPPPVPEPVQVGEITEEELLQYDGSDPEKPLLMAIKGKIYDVSQSRMFYGPGGAYALFAGKDASRALAKMSFEPQDLNGDISGLTPMEVGSLNDWEYKFTSKYVKVGTIRRKAPAEEDYSSISPEIREEDTVPVVEAELEPEPEPHDGDAP >Sspon.02G0010840-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:24035959:24042549:1 gene:Sspon.02G0010840-4D transcript:Sspon.02G0010840-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SSSEVGPGDAASQDASHSAEEAAASASGREHCGHYGRDNAHLDKVLLEILSSKHVASCEHCREDAPRKKGGAGGKQKKKGGASKGATAKAQAKVGKSDTWVCLDCGRHFCGGAVEDTKPYGHARRHAKQDRHWWAARYDDPTVAYCLSCEKEVSIEMPKIETVVAAAVEDKVVGAEDTDSWRLINPDANVIKGLPNLGNTCFFNAVLQSLLAVDRLRRKMLGPDVPTGALAMSLKKLFAETSASNHAGGALSPKNLFSSICSKYPQFRGYQMQDSHELLRCFLDGLRTEETEARKLAEEATDAGVPTIVDSIFGGQLSSTVSSTECSHSSVKHDQFLDLSLPVPSRRPPTKSVSSPPAKRTKQSIRDRNKSRRYGKVPVRASPSVESKEQIQTIAERNNSQIPGSELEQVVSEKEAEPSGCSESCGSVSNQEQNATTNVENSICWLDYVADADETKSEILDSADSTGTGQIWECRNATDGPLHPQDGALPKEQILGSEHSGENTVDDDASLQTVILLPYKEIGTTAKERDETIENSYNSECAVPPLVVSPVTEDNTQPRYCGDVQDDYIGLGDMFNEPEVTSEVKKEASKVEDIDVMAWSSNSADDEVDDSNAPVSVEGCLALYTEPELLSEPWLCEHCTNAARVKADERKNVTEMTEGANEINDGEEMMAGGDERKDVEKLIVSCSKQEGIDQVMGTDGCKKDIDQIMATGDGSDNIHSDMHCKEGRCVDPSLADPEQNCDCNVTDTENTSMQRSGAVFTMNETEQSSNQTSHKEPCMDLKSLELESSSLNNQQHDLPIQYNDGHNVDITTKAASAPLSCGDSVSCNATNNVEAQPVGGTEEVVSSSLPSDAQKTLLGAKDNEDAITKNQGRRKRMKMVGKAPLVQDNENKQKEDETKVFRAALRRILISKAPPVLTINLNRFSQDSHGRYKKLKGHVRFKEMLDIQPFMDPRCKDNNTSIYRLVGVVEHLGTMTGGHYIAYVRACKIGGRQQQSSGSKSWFYASDGQVREASLEEVLNCEAYILFYERNIVGGLEIGQNWQGFVEWFMVNLKFFFDSFVMDFPLEFFGF >Sspon.04G0014960-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:21847981:21850311:1 gene:Sspon.04G0014960-1P transcript:Sspon.04G0014960-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GMAVSNNITACINFLVLLCTIPIAATGLWLASRHGGEDCFRLFRWPVAVLGALLLLVALAGFAGAYWNRRGLLACYLFAMAALVTLLLALLVFAFAVAHGSGAYPVLGRAYDDYRLQGYSAWLRGYVADDPQRWEGIRACVAASGTCRKLAADSSFIVPEQFYMSHLSPIQSGCCKPPTVCGYAYVSPTVWTSPANPAADADCAAWSNDPNQLCYACASCKAGVLGGLRQQWRKATVALLVATVALIFVYVIGCSAFRNAQTEDLFRRYKWGN >Sspon.01G0036530-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:15414433:15423358:1 gene:Sspon.01G0036530-3D transcript:Sspon.01G0036530-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFPSGNLFARGKLCIEVTPASKLAFISEELCIGCGICVKKCPFDAIEIINLPKDLEKDTTHRYGPNTFKLHRLPVPRPGQVLGLVGTNGIGKSTALKVLAGKLKPNLGRFKNPPDWQEILTYFRGSELQNYFTRILEDNLKAIIKPQYVDHIPKAVQGNVGQVLEQKDERDMKAELCVDLELNQVIDRNVGDLSGGELQRFAIAVVAVQNAEIYMFDEPSSYLDVKQRLKAAQVIRSLLRPNSYVIVVEHDLSVLDYLSDFICCLYGKPGAYGVVTLPFSVREGINIFLAGFVPTENLRFRDESLTFKIAETQESAEEIETYQRYKYPTMSKTQGNFKLTVVEGEFTDSQIVVMLGENGTGKTTFIRMLAGLLKPDTVEGTDIEIPEFNVSYKPQKISPKFQNTVRHLLHQKIRDSYMHPQFVSDVMKPLQIEQLMDQEVVNLSGGELQRVAICLCLGKPADIYLIDEPSAYLDSEQRIVASKVIKRFILHAKKTAFIVEHDFIMATYLADKVIVYEGRPSIDCTANAPQSLVSGMNKFLSAIIKPQYVDHIPKAVQGNVGQDERDMKAELCVDLELNQVIDRNVGDLSGGELQRFAIAVVAVQNAEIYMFDEPSSYLDVKQRLKAAQVIRSLLRPNSYVIVVEHDLSVLDYLSDFICCLYGKPGAYGVVTLPFSVREGINIFLAGFVPTENLRFRDESLTFKIAETQESAEEIETYQRYKYPTMSKTQGNFKLTVVEGEFTDSQIVVMLGENGTGKTTFIRMLAGLLKPDTVEGTDIEIPEFNVSYKPQKISPKFQNTVRHLLHQKIRDSYMHPQFVSDVMKPLQIEQLMDQEVVNLSGGELQRVAICLCLGKPADIYLIDEPSAYLDSEQRIVASKVIKRFILHAKKTAFIVEHDFIMATYLADKVIVYEGRPSIDCTANAPQSLVSGMNKFLSNLLEELYGA >Sspon.08G0023360-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:55151979:55152869:1 gene:Sspon.08G0023360-1B transcript:Sspon.08G0023360-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFYRIFRKNRRIFLHDDGHTIFALPDLQPELLGLVLKRLPSLADRVRLRAVCRTWRCNARLEPLPPPLPWVALFDGTFHSIPSGEIHRMPVPCDASCHGSVDNWLFLVHNSGRCSLMNPFSMAKLAS >Sspon.05G0019910-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:85353210:85356237:1 gene:Sspon.05G0019910-1A transcript:Sspon.05G0019910-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSLLHKLTILMDEQYLKLSNMSKELSFIRDELSTMNAFLEILADKEDKEDLDPLTKDWKSQVREIAYDIEDWIDEVVGHASQDGTTAGFIQKIIQHISMVRTKIRISGEIQQIKTRVMEVSHRRKRYKIDVSASSSKYVSVDPRLHALYADEDGLEGIDGPRNQLVKWLLDEGQQLRVVSIVGIGGLGKTTLANEVYKRIGEKFDCQAFVSVSQRPDLPRILTNMFSQLGQQPPSQPSEVQNLINVLREHLQDKRSMILPNDVNYQDEVLSCKVHDMMLNLILNECAEENFMTKNDRSDVSLCLHNTVRRLSIQYNNGKQSVISPATNLSHVQSLAAFGDSSFLHMHPLSEFRFLRVLIVEFSDVSYETKLDFTGVCNLFQLRYLKIETNINVQIQLPVKIGKLQQLETLDIEWGSVVIPPDIVSLPRLTHLIIPESTRLPDGIGNMKSLVTLQSFDLGENSIDNVRGLGQLTNLRDLNLCNSGTSTSNVALCVDVLCSSLEMLCNLKHLYLYWPGICGSGLSSLHPAPCHLQTLEMVYWWFSKVPKWVGELQNLQVLKIAVTELSTEGFLVLARLRALTNLGLLTQVPPTEGITIHGMAFPALKYFKYWSRIPRLTFEAGAMPKLERLKLRFKEIVETPSGIGHLLGLKEVFLEIGGRGGKVPPRRGGALSELIMTIETHPSHPKLK >Sspon.01G0048540-2D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1D:101528033:101528287:1 gene:Sspon.01G0048540-2D transcript:Sspon.01G0048540-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSTLAVAAAVLVLAVLASGGGGIGAAARPVVGQQGGGSSSAGAVLDRVAVAVELTSTNSSAQPSNCTYGNNSGGVCPPTPPAP >Sspon.01G0029540-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:102703790:102707679:1 gene:Sspon.01G0029540-1A transcript:Sspon.01G0029540-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAAAAANVAVIGAGISGAVCASLLATRGVAVTLFDSGRGAGGRMAQRREVMEDGTELRFDHGAPYFTVSNNEVARVVSGWEARGIVAEWKATFASFDLATGKFTDFEKEGTAKKYVGVPAMNSICKSLCVEDGVVAKFGVTVGKMDWLQDRSSWSLASLDGKDLGYFDYVVATDKNVASTSFSGLTGRPPPLDLSSFPHLPTILQDIPVRPCFALMVAFSEPLAMVPVHGFSFNNSNSLSWAFCNSSKPGRACVPPNRQSWVLHSTTEYASKVVKNIGPRKPSAEALAKVAEELFSEFQATGLSIPQPIFMKAHRWGAAFPAIAISGDDKCVWDKSTKLAICGDFCTNPSVEGAILSATRGASKILECLSLPSGLEIHTVTLTTDKLTIV >Sspon.06G0006720-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6A:24545606:24551599:1 gene:Sspon.06G0006720-1A transcript:Sspon.06G0006720-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MYEQESGLFDFRRTENSSLLLVIDRRDDPVTPLLNQWTYQAMVHELIGIENNKVDLRGFANVPKDQQEVVLSSVQDDFFRANMFENFGDLGMNLKRMDFAKSNALVVLGDMAKFVSNYPEYRKTHGNVTKHVNLVSELSRIVEERKLMLVSQTEQELACTSGQAAAFEAVTSLLNNESVSDIDRLRLVMLYALRYEKESPVQLMQLFNKLASHSAKYKSGLVQFLLKQAGVDKRTGDLYGNRDLLNIARNMARGLKGVENVYTQHQPLIFQTMEGIVKGRLRDVDYPLVGNHFQQGRPQDVVIFIVGGTTYEEARSVALYNAANPGVRFFLGGSVVLNSKRFLEDLGEAQRISKSSTII >Sspon.01G0032700-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:102731176:102733435:-1 gene:Sspon.01G0032700-2P transcript:Sspon.01G0032700-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEAEPSSAESSALVQGGTAPLVCALQSTARQDVSCFHFPGHNRGKAAPSSLSKLIGSGAFLHDLPELPELDDLFSPKGVILDAQIRAAQLFGSSKTWFLVNGTTCGIQASVMATCSPGDYLIVPRNCHISVISALVLSGAVPKYIIPEYNSQWDIAGGITPLQLNETVKELEEDGKRVGAVLVTSPTYHGVCSNVQGIVSVCHPRGIPVIVDEAHGAHYRFHDSLPSTAIEQGADLAVQSTHKVLCSLTQSSMLHMAGDLVDVDKVSQCLQLLQSSSPSYLLLSSLDAARDQLSKNTNIFDEPLAIALETKDQLTKIPGISVLDLPCFASDFPAIDPLRITLSASDLQLSGYEADDILYEDHQIVSELVGTRAVTFAVNLGTRVQDVEKLVQSAKHLSEKYFFANSSKPMKENRVCGPLEKISVHITPREAFFTKKRRVRIEDSLGEICGELICPYPPGIPVLIPGEVVTHDSLSYLISVRHQGITISGAADAELNSILVCNLFPLWFSSEWEAQSELTVKLKHHMQCKFVHHSSASGRMLQGY >Sspon.04G0003210-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:10715386:10716390:-1 gene:Sspon.04G0003210-2C transcript:Sspon.04G0003210-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGAMRQLLSFLGAINGRPREKKKKKMMTLRRLLVQTVELRVRMDCERCEREVKKALSGMRGWSSVTLVPWPLRIRTKLQQKVAVTGEVDPVAVLRRAQSTGKKAEPWPGPGPQNTAGYYAPAAAALYGIGAAQLQAHDGRWANPAGYYYPYQVPVRSVEAAIGAEHITSLFSDDNPNACSVM >Sspon.02G0022290-3C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2C:75912435:75914741:-1 gene:Sspon.02G0022290-3C transcript:Sspon.02G0022290-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQQMASLPLNDTAVVDAKPLRTLTPMFPAPLGLHTFTPQNSPSVVCVTPFGPYAGGTELGKPAVPPMFAAPAPAAGAELSQRQLHTANMNRAAHANGTAVNSLVTPLQTPPSAATQESGKRKRGRPKRVPDSTVASVPSAPLAPTIPPVPSLPVATPVPSAPQEGKTIVSLTPSSDAPQESGKRKRGRPKRVQDVPVMAPPTSQGDSTPVIQTLPGPSVHESGTRKRGRPKRLQDSSDIATPIHSKDSESSPQPPSAAAPAESGKRKRGRPKRVLDGSATPSSHSGFSIDGDAVDTMKRGRPRKIDTNLLQLPSLSSDDPRQTADNVLMMFDALRRRLMQMDDVKQVAKQQPNLKAGSIMINAELRVNKNKRIGEVPGVEVGDMFYFRIEMCLVGLNSQSMAGIDYMSAKFGNEEDPVAISVVSAGVYDNTEDDPDVLVYTGQGMSGKDDQKLERGNLALERSLHRGNPIRVIRSVKDMTCPTGKIYIYDGLYKIKEAWVEKGKSGFNVFKHKLLREPGQPDGIAVWKKTEKWRENPSSRDHVISLDISYGVESNPVCLVNEVDDEKGPSHFIYTTKLTYGNSLSSMRKMQGCKCISVCLPGDNNCSCTHRNAGDLPYSASGILVSRMPVLYECGDSCTCSQNCRNRVVQKGTQIRFEVFKTGERGWGLRSWDPIRAGTFICEYAGEIIDRNSVNGEDDYIFETSPSEQNLRWNYAPELLGEPSLSDSNETPKRLPLVISAKRTGNIARFMNHSCSPNVFWQPVLYDH >Sspon.01G0052260-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:20522034:20524523:-1 gene:Sspon.01G0052260-2D transcript:Sspon.01G0052260-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VHQDMNAPLSHYFIYTGHNSYLTGNQLSSDCSDVPIIKALQRGVRVIELDIWPNSSKDDIDVLHGRTLTAPVSLIKCLRSIKEYAFVASPYPVIITLEDHLIPDLQAKVAKMVLEVFGDMLYYPESKHLQEFPSPEALKGRVMLSTKPPKEYLEAKGDTTKEREIESQSVDGDDEKKTKHVAAEYKHLITIKAGKPKGPLVDALKNDPDKVRRLSLSEQELAKVAARHGPNIVSFTHRNMLRIYPKGTRFNSSNYNPFLGWVHGAQMVAFNMQGHGRALWLMHGFYKANGCCGYVKKPDFLMQTCPDGKVFDPKADLPVKATLKVKVYMGEGWQKDFKQTHFDTYSPPDFYVKVGIAGVPLDSVMRKTKAVEDSWVPVWEEEFAFPLTVPEIAVLRVEVHEQDVSEDDFGGQTALPVAELRPGIRAVPLFDHKGLKFKSVKLLMSFEFA >Sspon.04G0000210-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:2164557:2166224:-1 gene:Sspon.04G0000210-1A transcript:Sspon.04G0000210-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding HGDGGGGRAAALRLHLRGVAGAGRGKAPPPRGRLRRAAPVLPVRQPPRDHGLAAGPGRRQHQEAVLLLLLRRRLQRHPRRRVPLLLPLARVLRQGVRLLAGHGAVPVRGRPGVPQGRHRRRAGQAMGKPDVFRRDRMPMFGRGLVMAEGDEWARHRHIIAPAFSATNLNVGHDRADAGDHRQDARRVDRRRGVRRQRRGRGAGRGPQRRRDHRQGELRRRRRRGRRAGVPEAAGHAGDAVPVQPPRRGAAGAPAARAQDLRRVAPGPGDRRAAHGNHRRAPPPPSSRRGQRREGPAVAAACRHGGHGGRRAAADDAGAGGRVQDLLLRRARDHGAGAVVDAAHARRAPGLAGRAAGGGGARARRPPQPRRRRAWPTHQDGVGHERGAAPLPAVAQRAAAGAGGRGGGGQVVHRRRRCRHPARHQHVGGRGGHAPRRGAVGRRRPRVPAGAVRPGPRAGRVPPPHGVPPLRLRRAHLRGPQPHGHGVPRRAGHAAAPVPGVGGARVPARAQDHALAQALQWHPAPPHTGG >Sspon.01G0050730-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:71991650:71993215:-1 gene:Sspon.01G0050730-1P transcript:Sspon.01G0050730-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding PMKPTTSEFQVNIQNQQNAGEGTDKPDGGTGTWVVLQPSRRLVYIVMISTAFWALVLYYMQNGDGGVASVLFKPSAFSLPLLPSVTSGQSPRPEQQHAGPGQAPPPPAAAVLADRCRGRYVYMYDLPPRFNDDLVRDCRNLQIWMDMCPYMVNSGMGPAMGDEGGAFSGRGWFATDQFSLDIIFHGRMKRYECLTDDPSLAAAVYVPFYAALDGGRYQWNSTSMRDALGLDLVEWLARRPEWRAMGGRDHFFAAGRTAVDFGRNSDLDHEWGNKLLNFPAVENMTALVLETNQWKPKQQRNLAVPYPTYFHPESAADVVAWQEKVRSMEREWLFSFAGGPRPGNTETVRAEIIQQCGASSRCRLFHCGAAGGPDAGANCSSPGGVMRVFESSSFCLQPRGDTLTRRSTFDAILAGCIPVFFHPGSAYTQYTAHLPKDPNSYSVLIMHTDVTGRNVSIEETLSKISPAAVKAMREEVIRLIPRLVYADPRSRRVDFTDAFDLAVEAVIDRVAKRRRGLAGSQD >Sspon.01G0012090-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:39934170:39935813:1 gene:Sspon.01G0012090-2B transcript:Sspon.01G0012090-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHISSSSCKIACMKPMSCHEQEHPELAATTTNSGFDWLEDSISFLTADVDIADGGGYEWWSNPAAAQQDDIGSVVAQTLSPPAPLLTISPPLAHTSPSIASPAVSSPSEPSSKKRKSPAHRASAHSGSSQRRRADQDRPGAGSGSGSKKGGSKGGGAGSDRDTRWAEQLLNPCAAAVEAGNLSRVQHLFYVLGELASFSGDANHRLAAHGLHALARRLPAAVGPAAAATVRVPPCEWPTPAFAGAEPRLFRASLIRFHEVSPWFALPNALANAAIAQAVSRGGSAEPRRTLHVVDLGVSHGVQWPTLLESLTRLPGGRAPPSVRLTVAGAAATPPAPFSASPPGYDFSPHLLRYAKSINLQLAISRAASLDSVHHGFASPPGEALVVCLQFRLGHASADERTEILRKVRGLNPELVVVSELDGGGDGTAAGEFTAWLELLWRFLESTSAAFKGRDGEERRLLEAEAGTSVASAAAGAGREAWRERMAAAGFEESAFGDEAVESAKSLLRKYDGGWEMSASGAAGAVALRWKGQPVSFCSLWRPACSG >Sspon.08G0028300-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8D:8307175:8307890:1 gene:Sspon.08G0028300-1D transcript:Sspon.08G0028300-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAFHGTARRKSTTTAPSPSIARDGVNQTREAETLQVPCVLHLRGDDTDMLEIGRHRPGLRARQCGAHHRAERNWRHFVRRAHRDWWQRLASSSRGATGRGKDARQPWARSLPRGGLELLGDPASRIRELSGDPASRIRQLHEDDGNGALGRRQQRCCRVAPTASTQLASSTTGSTCDRKAWRWKQWSEGTERDVSGGDETSHSGLAKVG >Sspon.04G0014560-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:60724441:60726935:-1 gene:Sspon.04G0014560-3D transcript:Sspon.04G0014560-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRVESLLLLFMLSIPFSQAWSIDYPSPIANLPAIWTNNEATIPYNTTYEDGSTIRVILVRQKPAGFGPSFGCGFICTAPCKVFLFAVFFMSIGDPNDPASNASATPRIVWTANRYRPVKENASVQFNKDGNLVLRDFDGSLVWSTTTSGSSVAGMNLAETGNLILFNMMGKTVWESFAHPTDTLLIGQSLWQGKRLSSTFSETNSTQGQFYLTLLENGLYAFIDEDPPQFYYRKSFNITDAIAQSKRNISSDQVKNSTAYISFLQGSFSAFLRFNSTDIKLFDISLPLPSSVQFMSLEDDGHLRVYAWDSVSWKALADVLHVYPDECAYPTVCGAYGICSQGQCSCPGGNNDIDLFHQLDDRQPNLGCSLETPLSCDLIQYHKLMALPNVTTNATAYHVGVPVLVVVICLLILMIRRIIVKRMEEDDPFKGVAGMPTRFSYKQLREATNNFSKKLGQGGFGPVYEGKLGNVKIAVKCLRDIGHGKEEFMAEVITIGSIHHINLVRLIGYCSDKFHRLLVYEHMSNGSLDKWIFRKNQRGSLSWATRYKIILDIAKGLAYLHEECRQKIAHLDIKPGNILLDDKFNAKISDFDNQDEDLQLHGSEMTEVIKLAVWCLQRDCGKRPAMSQVVKVLEGAMDTESTAGHDATGRGDIIFDASSPLSPVPVSAR >Sspon.03G0022680-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:69303392:69314056:1 gene:Sspon.03G0022680-1A transcript:Sspon.03G0022680-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MACHLCTLLNLRLLLLLGAVLGNAGLGRGGGAEASDRAEPDPYSILMWHDYSPPSPPLPPPDPASPTATCEGDLHGKGDFLTRCEVSEEVELGGDVHITGNGSLVLLSGASLTCEKYGCVISANLSGEVRLGRGVRVIAGRVTLVATNITVADTVVVNTTALAGDPPDRTSGVPTGTHGDGGGHGGRGATCFVKEGQTQEDSWGGDAYAWSDLEHPWSYGSKGGSTSVEKDYGGAGGGIVWLFAQELVMNGTVLADGGDSNEKGGGGSGGSIFIKAASMHGGGKISASGGDGLAGGGGGRVSINVFSRHDDTQIFVHGGRSSGCPDNAGAAGTLYEAVPKSLIVSNNNLSTQTDTLLLEFPNQPLWTNVFVRNRAKVAVPLLWSRVQVEGQLSLLSGAILTFGLTRYPYSEFELMAEELLMSDSTIKVFGALRMSVKMLLMWNSRMEIDGGGDSIVATSLLDASNLIVLKESSVIHSNANLGVRGQGLLNLSGDGDTIEAQILILSLFYSIQVGPGSVLRGPLVNRSSDDVAPKLNCEADSCPVEIIHPPEDCNLNSSLSFTLQVCRVEDIDVWGLVQGTVIHFNRARSVTVHTSGTISASGLGCRTGVGQGKMLNSSICGGGGHGGKGGDGFYKGAEGGAIYDNADLPCELGSGSGNDSTELSTAGGVMGSWEYSLPTLALYGSVESNGGSYANGSVGGPGGGSGGTILLFVHTLSLAESSVLSSVGGFGSAGSGGGGGGRIHFHWSNIPTGDEYVPVAVVKGSILTSGGVSKGLGYSGGNGTVTGKACPKGLYGTFCKECPTGTYKNVTGSSKSLCFPCPSGGAAETPCPYRCMSDRYRMPHCHTALEELIYTFGGPWLFGLLLSGLLILLALVLSVARMKFVGTDELPGPAPTQQGSQIDHSFPFLESLNEVIETNRAEESHGHVHRMYFMGPNTFSEPWHLSHSPPEQITEIVYEDAFNRFVDEINTLAAYQWWEGSIYSILCILAYPLAWSWQQWRRRKKLQRLREFVRSEYDHSCLRSCRSRALYEGLKVTATPDLMLGYLDFFLGGDEKRPDLPPRLRQRFPMSLIFGGDGSYMAPFSLNSDSVLTSLMSQAVPSWIWHRLVAGLNAQLRLVRRGNLKVTFLPVIDWLETHANPSLAENGIRVDLAWFQATALGYCQLGLLVYAVEGEAAVIEPDGSPRVKTEQRTLTQNMLADTQLSQSRIKDALMRKRITGGVLDSNSLRTLKDRRDLFYPFSLILHNSKPVGHQDLVGLVISILLLADFSLVLLTFLQLYSYSMVDVLLVLFILPLGILSPFPAGINALFSHGPRRSAGLARVYALWNITSLVNVVVAFICGFVHYKSSTKTHPSVQPWNLGTDESGWWLFPTGLMLLKCIQARLVDWHVANLEIQDRAVYSNDPNIFWQS >Sspon.07G0020620-2P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8C:50043715:50044362:1 gene:Sspon.07G0020620-2P transcript:Sspon.07G0020620-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAYGLDVECPHIFDGTHFARWRNWMTCNFKFISPQLWWIVDVGFSFAIDKKNATQAQSKCLHLDSQATNVFYRSMDSSILCEIMDFKSAHEIWVFLNEKYGAISNDDEPKKEAHEDVEHDHNKVVVEDCSTSRQVMTKMIISQDHLTRRMIMPQVMQAMILLHAHLMVKMMAMRVMPQQAHQYHHMVTLRYLLVVLLLIVMVQILSFYINSQRP >Sspon.01G0039650-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:36068001:36068326:-1 gene:Sspon.01G0039650-1B transcript:Sspon.01G0039650-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SSNGGRQHGDAGPPHPRHHPPAARRLPQARRAGGVLDLPHPVLVRLPAGDHLRRLGDHQEG >Sspon.04G0014640-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:58629518:58630825:1 gene:Sspon.04G0014640-2B transcript:Sspon.04G0014640-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAEALLLQSQGGGVVAAALLPASRPRRGSRLLRLRTRAQQANLRQLVSIDDLRQVARDDDHAVNGRAPAGTPPTPPECARAGTGTAEQRLRAIAEAAADRADMHDIIGRQRDNWNHLLLHSTNSLTLAASVMAALAPAAPAMAALKASAGAVLATAAVTMAAVNRIQPSQLAEEQRNATRLWRQLERDVRAALDYHRTATATATAEADVQEAMDRVLALDAAYPLPLFPGMLDKFPSTVEPARWWPRRKLPAQSAKTINVGARRGATSGNGWTRELEDEMSGVARVLRAKDEQEHVWNAKLALRLNRGLAVAGPALAGTAALATAFIGSSSGEAAAGAAVLCGALAAAANTVEHGWQVGMLFDMFRNVAGFYRKVQEDIEACLDEADVERRENGEVFQTKVALLLGRSTADLRQFREMASPSFKDADINDFAGK >Sspon.07G0003140-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:7710865:7715985:1 gene:Sspon.07G0003140-1P transcript:Sspon.07G0003140-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRAAAAAISRSSALRRQLARGYAATAKEVSFGVGARAAMLQGVNDLADAVKVTMGPKGRTVIIEGSHKGPKVTKDGVTVAKSVEFEDSAKNVGANLVKQVADATNKAAGDGTTCATVLTQAILTEGCKAVAAGVNVMDLRNGINKAINAITAHLKSKAWKINSPEEINQVATISANGEKEIGDLISKAMEKVGKDGVITIVDGKTLENELEAVQGMKLSRGYISPYFVTDQKTQKCEMENALILIHDKKISNMDSLLPVLEISIKNRKPLLIVAEDVDGDALSMLVLNKHRAGLKVISEERGLDLGKVQLQMLGTAKKLRESIDTSTAVFDKEKAKSVYQSYLEVLLYSRFVKILESDVCVKFLFQSSSVCACKVQIGGASEAEVGGGVALLYATKELDKISTANEDEKIGVQIIKNSLKAPLMTIAANAGIDGAIVIGKLIEQEDLSLGYDAAKGEYVDMIKAGIIDPVKVIRTALQDAASVSLLMTTTEAAVSELPGTKARIASRMPQMSGMDF >Sspon.08G0010350-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:43591487:43592960:1 gene:Sspon.08G0010350-3D transcript:Sspon.08G0010350-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATAYSVALLAGARLPAAPRSALLPRRSVCQLRLQDAPRLSLLRAKAASEDTSASGDELIEDLKAKWDAVEDKPTVLLYGGGAIVALWLTSVVVGAINAVPLLPKILELVGLGYTGWFVYRYLLFKESRKELAADIETLKKKIAGTE >Sspon.04G0026970-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4B:54069326:54070122:1 gene:Sspon.04G0026970-1B transcript:Sspon.04G0026970-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LAARRACGREAGGELGAGRRLHPERGAAHVPAPPGRVAPPPEELDVGEADPREGRAPDALQGPRVLDVDAPHVPDAHGVHQRHGELAEVRVGVVADVAGDADAAAHAAHAHIVDGDVPDVAAAADVGLDVDAVAAVEDAAVLHQDVAHPAGGLAADGDAAERGRAPHAPDRDAGAGAAVRDPVLVPPALDRHQVVAGGYVRVLDAHVGARIWIDSVCVWGVMWRQDHHVGYNHI >Sspon.02G0011090-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:33958061:33963525:-1 gene:Sspon.02G0011090-3C transcript:Sspon.02G0011090-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPDDEALKAARAPPQQRRGISYSQPLSRDAASARRAALRNHSLDDEHILPASHSLNYPLHHDPSGMAPMGYHPPLPPHQHHPSASYSSNTRRSAGGVSEGSMTLERAMSEYGGGQGTLPEFVGAGGGKGIFRVPLRAAMHPGRPPPLEVRPHPLRETQAGSFLRSLAADPQRRQLWAGAESGIRVWSLDEVFAEWGAGARRGDEESAPFREGMPAPPALCVVVDRANRLLWTGHKDGRIRSWRMDLDAAATAPAPPAAGAGGDGGSVGGSSHGGGSNNAPVFREALTWQAYGRTPVLSMVVTSYGEVWSGSEGGLIKAWPYDAIAKSLSLSPEERHMAALLVERAYIDLRNHCTVGNVCSLPASDVKYMLADHSRAKVWTVTSMTFALWDARTRELLKVFGMDGQVESAKLETPVMPEQPMEEEINPNPKAKPSKKDKSQGSLNFFQKSRNALIGAADAVRRVATKGTFVEDNRRTGAVAQVMDGSIWSGCTNGAIIQWDGNGNRVQEFQHHTSSVQCIKALGERVWVGYASGLIQVMDAEGNIIAGWTGHSCPVIRMAIGCSYIYTLAHHGGIRGWPLTSPGPLDDIIRTELSNKELSYTRMEKINIMVGSWNVAQGKASAESLRSWLGSVASDVGLVVVGLQEVEMGAGFLAISAAKETVGLEGSANGQWWIDNIGKALDEGTSFHRVGSRQLAALLIAAWARKSLKPYVGDVEAAAVPCGLGRAIGNKGGVGLRIRVYDRKMCFVSNHFAAHLEAVSRRNADFDHIYRTMAFNKPHGSTASATSVQLHRTVNVNGNQVEEVRPDLAEADMIVFLGDFNYRLYGITYDEARDMVSQRSFDWLREKDQLRAEMKAGKVFQGMREGIIKFPPTYKFQKHQPGLGGYDSGEKKRIPAWCDRVLYRDSRSVSVAECSLECPVVASITSYVACMDVTESDHKPVRCTFSIDIARVDELIRRQEYGEIIESNEKVRSLFQDACFVPDTTVSINEIILENQENVIFQITNQCETSKAAFEILCDGQSTKKEDGTKSELLPRASFGFPLWLEVQPAVGLIKPGETVEITLHHEDFYTQEEFVDGIPQNWWCEDTRDKEAVIRINITGSSSTETKTHTINVQHRCPPSSAPPPMMNQPVAAAPPSNVLASEGHSKRSSKKSQSKHREQQQQQQDYPQFGSSEVHDLCRMRCP >Sspon.02G0007350-3D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2D:15562971:15566423:1 gene:Sspon.02G0007350-3D transcript:Sspon.02G0007350-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHGLTGLDPPMNTEHRAIEGPLAVTARCSGPLDTATAPRRRPFPSLGAAAAAAAVFRLVADGFRQVSVREGDWNCPQCGNVNFSFRNVCNRGACGAPRPSPSPSPRMMPAPPPGGYDRSPLFYGGGGGPPPQIPLGSGSYGAPYPHLGMRYGYGPPVGAPGSYGLFSSYGQPGPMSGMGYGPGPELGRYSYGFRGSPMPVSSPWSGGALAENNDNIASRKRRGGPDGLSEGDWTCPKCDNINFSFRTTCNMKKCGAPRPTPGGNTSSSRKDNNNKEAPEGSWTCPECNNLNYPFRTVCNRKGCSYSKPAPTNN >Sspon.02G0044100-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:120363440:120365470:1 gene:Sspon.02G0044100-2C transcript:Sspon.02G0044100-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPTAPWFADLFTDDRVRSLSHQVSTLGDRLEKQLEATKAISSHKEEVERSLKAENDKLRSEVSIAEEKCSKSEAEVERLKKELGALAEAKEAAAKEFNDERAKIMLESEDLRRRLDEIQAIKHLAESENDKLRSEALIAKEKQNMSEAEIERLKTELGGLAEEKEVAAKAFDAQNAEITEELEELKRKLKEIQTNKDLVEGENDKLRSKIFIAEEKCRQSEAEVKCLKQVVGALMEAKEAAAKASEAEKIEMMKEMDSLKRTIEEIQANKDLVESQNQELRSKILTAEQEHSVFEAEVKSLKMELGAVEEAKEVLAKEFNAEKAEILKELEDLKRKVEEFQVNKDLLEGENDKLRLEVLTAEQKQNLSEAEARSLKMELGALVEAKEAAAKAFDAEKAKLMKELEDLKRKVEEIQTKKDLVEGEKDKLRLEILIAEQKHAMSELEVKRLKMDLAALAEAKETTVKSFDAEKAKLMKEVESLKRKIEEIHASKEAAEEAWRNKDAETDRLKDELVKIRVSMSQLNDEALEKHSRLNDEKNSVQKALVSEKVEGNKLKLKIEELENYIAEKDGENVKLKAALEEKKSEIDALSKDNELLHLTIAEAQEKNKGSILSFLSPCVSK >Sspon.01G0034240-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:3017892:3020950:1 gene:Sspon.01G0034240-1P transcript:Sspon.01G0034240-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGASDLAGVEPGGKVARAGLGDLPELCAAEVLLRLGAPDICRLARLNRAFRGAAAADFVWEAKLPGNYGRLLRFVDDAEEGGSGRDWSAMGRRTQAKLNMTHTFVHRGVFQREFWLEKSKGGICMALSSKALVITGIDDRRYWINMPTAESRFQSIAYLQQIWWFEVVGEVDFCFPAGTYSLYFRLHLGKSSTRFGRRICCSEQVHGWDKKPVRFQFSTSDGQHAVSQCYLDEPGNWILYHVGDFVASSSEESIKLKFSLAQIDCTHTKGGLCVDSVLIYPKGFEPERSKCHSMKHIYHYILEDKAEQVSQPCTSEHC >Sspon.05G0005980-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:13268654:13272509:1 gene:Sspon.05G0005980-2B transcript:Sspon.05G0005980-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQNAGSFLAVRRLSGGAIHHHRHHSSPGTRVAPSFGYAVCLRAEAHGYGFFAFLFRAPAAEVVGVSTAWLGKGLSCVCAQRRESDARISFDLSPIQEECLHRLQNRIEVQYDGSNLEHQKALEALWRASFPGTELLGLVSDQWKEMGWQGKDPSTDFRGGGFISLENLLYFSRNYPKSFQELLCKKNGDRALWEYPFAVAGVNITFMLIQMLDLQAVWQSPLTMRITLTDVNVSAAKPTLSDTFFVPSTFLADQIAELTLQTVIKSTRRQLERELLLEDIQRIEDMPSYRFLTC >Sspon.05G0004340-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:12927368:12931060:-1 gene:Sspon.05G0004340-1A transcript:Sspon.05G0004340-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPSAALSVSGTVHTNTFVCRNNKLKSNRNRLHLAVPSSSESTNYCRKLTICRAQSEDSKGGGGFLAGFLIGGAIFGTLGYVFAPQISRTLDSLLDENGQDSESDETGLQRVPRRQGQYYDEGLEKTRQTLGDKISQLNLAIDKAATRLKRVTGNGENEALKDKTEIGISSLSDNEHVVEDLNEHGFVQGKKVCMQTGEMKLDATIEVPENLRQNRRLLESRRVCRHDTTK >Sspon.07G0003320-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:22724515:22725942:1 gene:Sspon.07G0003320-2C transcript:Sspon.07G0003320-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAKHVVLFPFPGQGHLSAFMSLADLLHGALPDAAITLVSTPRNVAALRTTAWSNSSFLGFHALPFTPADHGLPPDCESSDAVQPGAIAGLLVAFESLEAAFDDYLSAAVAGGHDVCVLSDPFTAWTVTTARRRGCTHAFFASCGAYGSAVVHSLWSHLPVRPDPDTGRVHLPEYPEVVIHRSQLSKNASAPPAIAKRAAGFYGRQIPLGYKTGAVLINTVEEFEPTGLDMLRRTLKIPVWSIGPLVRATNLPVSPEAEAAIVSFLDCHPPSSVLYISFGSQNSIRAEHMTELALALESTGRPFVWAVRPPDGRDIKGEFRADQWLPDGFEERARTSNRGLLVRGWAPQVRILAHASTGAFLSHCGWNSVLESVTHGVPIIGWPLAGEQFYNAKMLKEEWGVCVEVARGNMEDTVVNRAAVADVVETVMGQTAKAAEMRRRVREIKEVVEGSWKEGGGSSRKAMEDFLRAMNLR >Sspon.08G0021150-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:34011623:34013376:-1 gene:Sspon.08G0021150-1B transcript:Sspon.08G0021150-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein MOTHER of FT and TFL1 [Source:Projected from Arabidopsis thaliana (AT1G18100) UniProtKB/Swiss-Prot;Acc:Q9XFK7] MAAHVDPLVVGRVIGDVVDLFVPTVAMSVRFGTKDLTNGCEIKPSMAAAAPAVQIAGRANDLFTLVMTDPDAPSPSEPTMRELIHWLVVNIPGGADPSQGETVVPYLGPCPPVGIHRYVLVVYQQKARFMAPPALAPGAEVEASRARFRNRAFADRHDLGLPVAAMYFNAHKEPANRHRYY >Sspon.04G0021670-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:836314:841169:1 gene:Sspon.04G0021670-1P transcript:Sspon.04G0021670-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLPFLLGFLIGALALAALEAAALLLLLRRLRRRQAAPEDAPPAADELPGERPFPYEKQGSLWILEPEKMPKVNERLSVGGPKETKDKKNIVEVFPAKKMAKIKGHSLCLSGPDGSQATIELLNCTVLAVSAAKRYPIKLESKDNEIYNGSKVCYLYTDTSWEKESWCKSLRIAATADKEKLNWHVKLSEKFLNYISSLNSEYPCFLKPPMLSGEDHEVMDRASKTDGSSKVRLFLKKLAKKASTKAPLEGKTSSGSSVQGEKKILDKLRSYQGAPFIEALIGPQEDKLGSSSSQDTVKATAPPAALSQTGQLSTSLEVNADDRVADEGTLCWNLLSSRLFFDAKMSDEINKAIKARIQDSVKYEDPSYVGEITLTNFSLGELPPYVHAMRVLPLDLNELWAFEVDFEYSSGILLHIETRLEVQEPELQKDIMKSNFGADANGEVDSDLLESIEQYGNQFKGSHKSASSTGESDEADASSESKSTGWTSAYISRWKTILHSIADHVSQVPLSLAIRISSVRGILRIHMKPPPSDQIWYGFTSMPDLEWDLESSVGDRKITNSHIAALIGNRFKASLRDSLVLPNCESISMPWMLAEKDDWVPRKDAPFIWLNHEPTEMRSQATVTPPAHPEEGGANDDASSKRPMTSLPNSSGGSEESLRAVASIDEAKQEPMAEASLHSQSSSAPVSESVHSDGNEELRKPLLIAEKLQEDAPESRVMSPMSTSLRAVIPAGEQPQVSASPIGEDAKRKGGRRARMMDFGKRMGDKLEEKRRTIEEKGRHIVEKMRENARTNSMERTSS >Sspon.01G0013500-3P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:4219029:4221810:1 gene:Sspon.01G0013500-3P transcript:Sspon.01G0013500-3P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKTKLPLPLPLVHSERLWARPWRWAKTAFFIVAMLASLLLVCAPPLLVVLLDLLLPPALLSNFLRAQAHAPPAASASAGVSFAAALAGQARAFRFGSSLVDLPAVSAARSLLILCAYTAGGAEAPPTGGAASLGYVLAKAVAVFGVAGAGLQWQGKGQLVAVEAMFLMSLALAVAHLAMAYRASCRERRRLLVYRIDVEAVRLKGGQTPKALKQCLV >Sspon.01G0004280-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:11676999:11678910:1 gene:Sspon.01G0004280-1A transcript:Sspon.01G0004280-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SFFYPRKDESMISTDGGNEETDTDSLNVARGLSHPGLSSSLSNKASVVPTPLLPSGPSDLRFNRLRPSIDESDCKYKRLFGCYVAREAVIDEEYWIAAWLRAEDHYENESGNRYVESFKRKFASKEFHALKKRCSKQHGEKYICFVAVKNDDLRRTVLNSVVGTLDVCVRHPLHGEKFPEEPGKSSLHCRIYQPDQPKFGYVTNVCVAKYARRQGIASNMLLLAIDAARLNGAENIYIHVHKDNLPAWRLYDQIGFKMVDQDGACCSSDLCLLSFSS >Sspon.03G0019870-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:61995021:61999397:1 gene:Sspon.03G0019870-1P transcript:Sspon.03G0019870-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADASPRTETSTDDTDDNHGLEPGPGALGVASDSSDRSKDKHEDQKTLRRLAQNREAARKSRLRKKAYVQQLENSRLKLTQLEQELQRARQQGIFISSSVDQSHSMSGNGALAFDMEYARWLEEHNRQISELRAGVSAHASDTDLRSVVDKIMSHYDEIFRLKGNAAKADVFHVLSGMWKTPAERCFLWLGGFRPSEVLKLLSTQLEPLTEQQLSGIGNLQQSSQQAEDALSQGMEALQQSLAETLAGSLSSSGSTGNADNLRLQTLQQMQRILTTRQSARALLVISDYSSRLRALSSLWLARPKE >Sspon.03G0001780-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:4387274:4388515:1 gene:Sspon.03G0001780-1A transcript:Sspon.03G0001780-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRDVVTWTSLLTGLARAGAHAAAVRAYHGMVAAGVQPDEFAVAAALSSCAGSTMLDVGRSVHAAAVRLGLNPFRSVGNSLVSMYAKTGALRDARAVFDAMPARCTITWTALIVGYAQNGRGRQSLEVYANMVRSGCRPDYVTFIGLLFACSHAGLVDAGQAHFRSMLADYGIAPGPDHYACMVDLLGRAGRLDEAMDLLNRSSTELDATVWKSLLGACRVHRNAELAERAAEMVWRLDPTDAVPYVMLSNLYSRARRWGDVARIRALMKARGVTKEPGCSWVGVNGVTHLFHVEDRGHPRAAEIYRKVGEMTERIRVEGYVPDTAWALQDEAPEGKQRGLAYHSERLAVAFGLLAVPAAAPIRVFKNLRVCGDCHTAIKMVAKVYGREIILRDANCFHHMKGGECSCGDYW >Sspon.03G0000990-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:11457323:11462234:1 gene:Sspon.03G0000990-2B transcript:Sspon.03G0000990-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEWVEIFRCRNPFGSIQPWWLPIDQDSSLIKVRVAADYSDSMPDSKYTRDRGYHPLEEVKERPKKKDLLLTDVETARTVVEANSKGLLVFPARVHNEPHGLVAWSEFQYVVDDYGDIFFEVPDSENILEDDTANNPVTVLIGLDRPIIGESSVAISDFSDYMDGENLMDVPDEHHTKIDTEITDILIEWGMPATMRAIHPIYFAKCLTKAVHNNNGKKMDSPSNGISIVGYLRPAFIEEESYLRSLFHGECSSDRYSSDWKDGDKSRFDFNDSGTSTDSMIYKLEIMTIELFSMYGKQFMIDPQDFQDSEPDILANSASAIIERIKENGDQCAMALRSLCRRKKGLTVEEASLIGVDSLGIDVRAFSGLEARTVRFSFNAQALSERSAEKKIRRMLFPRSQRKNVKTSTEDVS >Sspon.02G0000340-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:1426654:1429022:-1 gene:Sspon.02G0000340-1A transcript:Sspon.02G0000340-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTRLRYKTPDDDKSYCSLPDLIIDSAAASHLIVDSDSAAAASSSPFEQQQHQIIIAVNNQQPPPPPPQTKDSSSSSDDDDTEEEDDDEPAAEEDDGNDDQKKDAVIAEYITLMATNDRARGRRANRLRDSAKRHLLSAGWTFWMKLKSNGREELRYRAPTGRSYISLHTACQAFKTSRQAALPTTASASNSSSKIDRRRVHATPATILRAVRPSRVGDEDGGTSHCRPVVMIRKKRKLSELIGDGSDDAVNVTMKKCTTIKKKETCCQVVGAGGTHLIKKSITTTTLTRKKRRKKATASKSQARVLQPNSTASVSACQRRSRTLLSVLVDKDIVVPRDKVTYRAARDGPAAKDGFITGEGIRCTCCNKTFTVAEFAAHATARRGSTVTDRREAWARVFLKDGRSLSECLVELMRRDVGVVAARNGGVRVKEKCSDPEGDSVCSICNDGGELLLCDNCPSAFHHACVGLQATPEGDWFCPWCRCGVCGGSDFDHDTAAGLTDKTIIYCEQCERELEGVSLNILRRRRRQQEQEEETTTDAAEHGQLCSALDVLHECFVTLIEPRTQTDLTADIVFNRESELRRLNFRGYYVVGLEKAGELITVGTLRVFGTDVAELPLVGTRFAHRRQGMCHLLVTELQKVLRQVGVRRLVLPAVPELLPMWTASLGFHPMTHSDMMEIAAEHAILSFQGTTMCQKSLLA >Sspon.02G0022390-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:66096589:66100033:1 gene:Sspon.02G0022390-1T transcript:Sspon.02G0022390-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGGGGVLAAVLCMLLVFAIFPLLLWRRRSDAATADNHRVPPQPLQEDQVLHGRAAARRMRRRPGAAGAGSSAASTSRNVVEDDAESDEEEVPEGRNVPRSSKKEKKRQEREEQRQAEEAARDSRRSKQDRYDEMRRRKDEEREAQERQLEEEARARKAKEEEAAALEFEKWKGAFSVDAEGTTESETQDDGQGLLHNFVEYIKKQKCVPLEDLAAEFRMRTQDCINRIVTLESMDRLSGVMDDRGKFIYISTEEMKAVAEYIRKQGRVSISHLANNSNQFIDLEPKAQYEEESQQDDSAAAGTEP >Sspon.04G0012240-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:41018094:41022404:1 gene:Sspon.04G0012240-4D transcript:Sspon.04G0012240-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVAEEEVSMEGEQKGGASGAVRDGEVRTAAAAATVSLETLRKRMADFATERDWEQFHSPRNLLLALVGEVGELSEIFQWKGEVPKGLPGWDDGEKEHLGEELADVLLYLVRLSDMCDVDLGKAALRKMEINARKYPVGQCKGSSKKHTHYGSTNNVSDSDNANTPNKENNNGV >Sspon.04G0019750-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4B:72386488:72386694:-1 gene:Sspon.04G0019750-2B transcript:Sspon.04G0019750-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQGQGSDDDLKTSWPELVGSPGHYAHDMIHKDRPDVQIPVLVVGTQVPPDYDDKRVRLFVYNDYNLK >Sspon.04G0033490-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:61038168:61041539:1 gene:Sspon.04G0033490-2D transcript:Sspon.04G0033490-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MYNGIGLQTARGSGTNGYVQTNKFFIRPRSTSGGGPPGGPHRPPLPDAADGGGGLGGMRKPNKEILEHDRKRQVELKLLVLRDALEEQGYTEGEIEERVDEARKAAEAEAAAAAAAEEAGTGLGAGRPPLPGGRGFTDTQSHHVAARKEKQLETLRAALGLDVEDAKKKGDVESDVESGELVPGKYYEELDTAGQKDSKDSKDAKKSKKKIESEKSSRHRSSRKSKYDSDSDHDHDTKTKKKSSRHDSEDGSETDYDEKKEKKHNKKPRLGSDDDSENDRKKVKRGKSSRHDSDSDTDSGYGKKKAKHAKNDRGERKKIPVKNSHHDSESDTDSGYGKKKTEHARNDKGERKKIPVKNSRHDSKSDTDSGYGKKKTGHAKSERDERKKVPVKSSRHDSDSDTDSGYGKKKVEDAKNDRGERKKIPVKNSRHDSESDTDSGYGKKKTEHAKSERDERKKVPVKSSRHDSDSDTDSGYGKKKVEHAKNERDERKKIPVKSSRYDSESDTDSGYGKNKMEHAKNERNERKKVPVKSSRHDSESDTDIDYRKKKIEHAKGICDERKKMPVESSRHDSDSDTDSAYRKKVVEHANIHNGKNKIPVQSSHQNEKPRKYKESFRHDSDSDGLSRDKERQLNSASLKKDVQEKRKLASSSESSDYSSSLSSSDSDMSADSYEEQKRSRAEGRRNELTTQRQKEEERKELEKHQLREVERKELEKQKQREEERMEMEKKRQREREEERQREREQYGRKGGNDVERDNKRKLIDDRYDPNSSRVREEGYKDRQNRDDNRWQEEHGRHSRYMDSHDSKRSRRDDDSHYHSRRDYEQRYSRDEHRDRR >Sspon.07G0016230-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7C:63349217:63350210:1 gene:Sspon.07G0016230-2C transcript:Sspon.07G0016230-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RKLFKATTTGGNGSLMVFSSVQIRKSTKTFSEKLGEGGFGCVFKGVLPDCTVVAVKKLKCLRQEDKQFRAEVQTIGMIQHVNIVRLLGFCAKDSGRFLVYEYMSNGSLSNQLFSRSRSSSKLSWEQRYSIALGIARGLAYLHEECKDCIVHCDMKPDNVLLDAELCPKIADFGMAKLLGRDFSRALTTMRGTIGYLAPEWISGLPITHKADIYSYGMMLLEIVSGRRNSEKIKEGVFTYFPTYAAVKVSEGDVMCLSDSRLEGSANAEQLERACRVACWCIQDAENHRPMMGQVVHMLEGVMGVDVPPVPRSLQNYVGMEESTSADLDSF >Sspon.07G0010720-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:38018849:38021427:-1 gene:Sspon.07G0010720-2B transcript:Sspon.07G0010720-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHSLATLLSTAFILSFIALFPRAASQLNPTKPASYNHQMGPSPLASTKSIPMPSHSQYVMWQADGNFTDVQHARLLNTGNLIIEDSRGNTVWQSFDSPTDTFLPTQLITATTQLVPTTQSHSPGNYIFRFSDLSVLSLIYDVPEVSDIYWPDPDQTLYQDGRNQYNSTRLGILGNDGVLASSDFADGQPLVASDAGPDIKRRLTLDPDGNLRLYSLNDSDGSWSVSMVAMSQPCNIHGLCGPNGICHYSPKPTCSCPPGYVMRNPGNWTEGCMAIVNITCDDYDKKSMKFVKLRNTDFWGSDQQHLLSVSLQTCRNICISDCTCKGFQYQEGTGSCYPKAYLFSGRTYPTSDVRTIYLKLPTRVNVSNVLIPHSDVFDSVPHRLDCDQMNKSIREPFPNVHKTSGGESKWFYFYGFIAAFFIVEVSFISFAWFFVLRRELRPSELWASEEGYKVMTSNFRRYSYRELVKATRKFKVELGRGASGTVYKGVLEDDRHVAVKRLENVREGKEVFQAELSVIGRINHMNLVRIWGFCSEGSHRLLVSEFVENGSLANILFNEKSNTLDWKGRFNIALGVAKGLAYLHHECLEWVIHCDVKPENILLDQTFEPKITDFGLAKLLNRGGSTQNVSHVRGTLGYIAPEWVSSLPITAKVDVFSYGVVLLELLTGTRVSELVEGPGEVQNMLRKLVRKVSAKLEGEGQSWIDGFVDSKLNRPVNYLQARTLIKLA >Sspon.08G0012810-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:53352399:53355453:1 gene:Sspon.08G0012810-4D transcript:Sspon.08G0012810-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVSTAAAPEGVLHRRIEFHLARRPHASVAVGGGGFRMETLNPDAAGKAGAGAAVGSSEGEARRPEKADAGGIDPELSVARIYLGRIGAGLENLGNTCYLNSVLQCLTYTEPFAAYLQSGKHKSSYFSWHDNRLDIAKATTLVRALENFTEDELLDGGYRF >Sspon.02G0032860-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:1307780:1309327:-1 gene:Sspon.02G0032860-1B transcript:Sspon.02G0032860-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nitrate transporter, Nitrate transpor [Source: Projected from Oryza sativa (Os01g0704100)] MAEGGLKPAAMQVEAAEAASKPRFRMPVDSDNKATEFWLFSFARPHMSAFHLSWFSFFCCFLSTFAAPPLLPLIRDTLGLTATDIGSAGIASVSGAVFARVAMGTACDLVGPRLASASIILLTTPAVYCSAIIDSPSSFLLVRFFTGFSLASFVSTQFWMSSMFSPPKVGLANGVAGGWGNLGGGAVQLIMPLVYEAIRKIGSTPFTAWRVAFFIPGLLQTLSAIAVLAFGQDMPDGNYRKLHKSGDMHKDSFGNVLRHAVTSYRAWILALTYGYCFGVELAVDNIIAQYFYDRFGVKLSTAGFIAASFGLANIISRPGGGLMSDWLSSRFGMRGRLWGLWVVQTIGGVLCVVLGAVDYSFGASVAVMILFSLFVQAACGLTFGIVPFVSRRSLGLISGMTGAGGNVGAVLTQLIFFHGSKYKTETGIKYMGLMIIACTLPIALIYFPQWGGMFVGPRPGATAEDYYNREWTAHEREKGFNTASVRFAENSVREGGRSGSQSKHTVHVESSPADV >Sspon.07G0006100-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:10734655:10736037:-1 gene:Sspon.07G0006100-3C transcript:Sspon.07G0006100-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DNGSAGGRRGRRGPAAGGDAVAVRGRGRQQLRVARARGAAAGGPAVRRRLLRRALPVPSLEVPPLRARRRPGGGGRVLLVGRGGVAAWSRRRRHPRAARHAVPPACLGQG >Sspon.01G0032400-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:112912645:112921071:1 gene:Sspon.01G0032400-3D transcript:Sspon.01G0032400-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MYDAVDFELHVQEPYFTQLRAGAKKVEGRLATGNYNRITQGSLLLFNKCLLLNVEAVRKYSSFSEMLQAEIISNVLPGISSIVKGVEVYRKFYTEEKENSYGVLAISVSKPTSQPYVTMTDILAGLGYDGLSRLLGMAKTTRTVPDGLPPPRSAQLSSCMRLLQ >Sspon.08G0023560-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:58525551:58543621:-1 gene:Sspon.08G0023560-2D transcript:Sspon.08G0023560-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGVRVLNVTHVRPPETSNPLPDNDDHTIKVSLFDTMFLAYQPMQRLFFYEGDDLPPFPALLRTLQSSLAATLAVFTPLAGNFAVSKSGDVVIDYSPGAVSQGVRFVEAEYAGSTDDMRRLASDAEHDAEAYAQLVPTLVVSALPARALAVQVTRPADADDGGGFGAVVVGVSMCHGVGDGQALWEFIRVWAAAARGGSPALPGLLPSVFDRAVINRHPKAEAVSRTFLRIFAPALPMVSCSVNSACLTASVAVNAFPKPDTTLQGRRTYLLSASQILSLKQRISPQSSGSLADGDSAPPAAVAKPPTTYAAVASLVWTSGVRAKNALSRADDDAYLLFAADCRARLRPPMPAAFFGNCVKLCYARATVGELRDGGAGALARAASAVREAVREQLEDPLGDVDRWLERYQAVPPDRFVQIGSSNRFAAYDTDFGWGKPSRVELAAVFVREFVAVVGAPDGAVQVSVVLDRGRMEDFEANFLSHTMRSRVRILAVTHVLPAPDHQTAIRSPEGALPDDGHVKLSFMDALFVDRVPMQRLFFYEGPDVPPFQSLVRSLKSSLAAVLPVFQPLTGKLTHCASTGDVVVDCSPAAVSPGVRFVEAEYAGSIDDMGRLAVGDEHHTEALTLLGPELNAGRLPAPVLAVQVTRPAIGAGRAGVVVGVSIHHAVADGHSVWQFMRAWSAASRRDPASDMVTPPPTWDRTAIPYPKAEEVARKFLRTVAPALPVARSPSLYTPLEQRKRSFLLRADDIMSLKQSILTQSQAIGGHLGTFPSTYVAVSSLVWTSIVRAKFLNDPDGGDAYFLVPVDLRRRLGPAPAVDERYFGNCVAPCFARAAVRHLRDGGAGLGHAAAAISDAVRAQLKDPLGGADHWLEDFLAVPKERLTFTGSSNRFMAYETDFGWGAPSRVELVSLFTRELVLVLLLGAEDGGVQVTVALDHAHMEGFAANFMQVPRSSDCHPVTRRRPPDDGHVKLSFMDALFVDRVPMQRLFFYEGPDVPPFQSLVRSLKSSLAAVLPVFQPLGKLTHCASTGDVVVDCSPAAVSPGVRFVEAEYAGSIDDMGRLAVGDEHHTEALTLLGPELNAGRLPAPVLAVQVTRPAIGAGRAGVVVGVSIHHAVADGHSVWQFMRAWSAASRRDPASDMVTPPPTWDRTAIPYPKAEEVARKFLRTVAPALPIAVLVHATGAAEEKLPAPRRRHHVAEAEHTDTKPGHRRTLGHIPKHLRRGVVAGVDVHRPRKVLNDPDGGDAYFLVPVDLRRRLGPAPAVDERYFGNCVAPCFARAAVRHLRDGGAGLGHAAAAISDAVRAQLKDPLGGADHWLEDFLAVPKERLTFTGSSNRFMAYETDFGWGAPSRVELVSLFTRELVLVLLLGAEDGGVQVTVALDHAHMEGFAANFMQVSRRGDEGAKH >Sspon.03G0003490-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:13520086:13520826:-1 gene:Sspon.03G0003490-2B transcript:Sspon.03G0003490-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DPSGPSSSAAGGGDDDAHAPPQQHQVQPLAQAQPQPQQAAAPPQPPPPQQLSRYESQKRRDWNTFLQYLRNHRPPLTLARCSGAHVIEFLKYLDQFGKTKVHAAGCAYFGQPNPPAPCPCPLRQAWGSLDALIGRLRAAYEESGHAPESNPFAARAVRIYLRDVRDAQAKARGIPYEKKSRKRKQPAASGEASSSSAAAAAAREAAGAAGSAGDGSSGSAAAGKAAPTTGQGSATTAASAPASTSRV >Sspon.03G0015710-1P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3C:70590894:70591362:-1 gene:Sspon.03G0015710-1P transcript:Sspon.03G0015710-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding QVLGTPTRDEIKCMNPNYTEFKFPQIKAHPWHKIFHKRMPSEAVDLVSRLLQYSPNLRCTALEALVHPFFDELRDPNSRLPNGRSLPHLFDFKPN >Sspon.03G0017140-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6B:46294386:46294751:-1 gene:Sspon.03G0017140-2B transcript:Sspon.03G0017140-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLYGAECWPTKRRHVQQLSVAEMRMLRWCCGHTRRDRVRNDDIRDRVGVAPIEEKLIQHRLRWFGHVQRRPPEAPVRSGVLKRADNVKNGRGRPKLTWDESVKRDLKEWNISKDLAMDRSA >Sspon.02G0053210-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:102069830:102070765:-1 gene:Sspon.02G0053210-1C transcript:Sspon.02G0053210-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSKIGGDNHCKPPYHRRPHPHAALSLLACPRTCLSPSHSQIPHAPHPSCPRTASRQRPVPAAAPAPSSRPVVVASVAGGPVPSPPHPQCEGCGGRLHRPRPCPAPAPAPSARPWWSPSSPAALLRRRTCPSVWAAVVTSVTVFL >Sspon.05G0007860-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:15880821:15886008:1 gene:Sspon.05G0007860-2C transcript:Sspon.05G0007860-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAAPLDDAKAKNVIRQVEFYFSDSNLPRDGFLRRTVEESEDGCKNALVFISDDLAGSGRSGANFVLSPFGRGADWAVVSLALICSFSRMRSHLGLEGDVKPDTVPEETVLAVADVLRRSTALRVSEDGKKVGRSKELLKPDEVIEQVDSRTVAASPLPYNVKLEEVESFFAQCGKVNSVRLPRHVSDKRHFCGTALVEFSDEDEAKGVFEKNLVFAGADLQIRPKKEFDTEREAKKEAFEKSHPNKSGHGEGYPKGLILAFKLKKIAVDGETEKNGGGKVDDSDLTKNEEASDATEKSSVENEEKIPENKGNVSEAKSDAVEELKGVSAGETSQSVDKDDKSPSDNDQDTISREDLKEEFSKFGTVRYVDFSIGEDSGFIRFEDSTAADKARAFAAIADEGGLTMKGHILTLEPVSGQAEKDYWSAIRGGQEKYKDSRNNRGRDWKNNRGGKQFGGGKRGRHFDSRDRASNKAQKV >Sspon.02G0015170-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:39919382:39925670:1 gene:Sspon.02G0015170-2B transcript:Sspon.02G0015170-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MANFLFGYHIGVMNGPIEDIATELGFQGNPFLQGLVVSIFIVGAFFGSLGSSALVDKFGCKRTLQIDSIPLIIGAFLSAQADSLDEMLLGRFLVGIGIGVNTVLVPLYISEVAPTKYRGTLGTLCQIGTCLGIIAALSLGIPSESDPHWWRTMLYAACVPGVLIVAGMQFAVESPRWLAKVGRFDDARKVVESLWEPSEVEKSMEEIKAVVVNDDSRGSWSDLLVEPHNRVALIGGSLFFLQQFAGINGVLYFSSLTFRDVGITSGALASLYVGITNFGGALVASNLMDKQGRKKLLIGSYLGMAFAMFLIVYGISFPLDEGVAHSLSVAGTLLYIFTFALGAGPVTGIIIPELSSARTRSKVMGFSFTVHWICNFLVGLYFLELVNKFGVGAVYAGFGGVSLLTALFAYNFIVETKGRSLEEIEMSLSTATPGKQEVFYF >Sspon.03G0010930-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:45699954:45702087:1 gene:Sspon.03G0010930-3C transcript:Sspon.03G0010930-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFIGSKDDVQMARLNTELSSREDDALPALLIKVPSQTIAGFDCVVGADDATVSLDELDDKVEELHQQGAGTTTRDIVISIPAAAPASAPPRVVVAPARAYDDDARVPYSVSLSMPASPSGFHLSQFMCADHHARVAPADAHHHPVAVEEQQTEAVHSPRLIKQTRFHSQPILNLHPPSSKYVVDEARRGDGSSTRDKRFDPFKTFSGRLERQLSNLRGRPQDPVDGMSPDSKISEEETDQVPAADRYFDALEGPELDTLRATEVPVLPKDEKWPFLLRFPISAFGMCLGVSSQAILWKTLASAPPTAFLHVSPVVNQVLWYAALALILLVSVIYLLKVVFYFEAVRREFYHPVRANFFFAPWIACLFLVLGAPRLVAEMHHGVWYAVMAPIFCLELKIYGQWMSGGQRRLSKVANPSNHLSIVGNFVGALLGAKMGLREGPIFFFAVGLAHYMVLFVTLYQRLPTNVTLPKELHPVFFLFVAAPSVASMAWAKINGQFDAGARIAYFVALFLYMSLAVRINFFRGFRFSLAWWAYTFPMTGASVATITYATEVTNVLTRALSIGLSGISTVTVAGLLVTTVFHAFVLRDLFPNDVSIAITRKKPKFSKILAHFRSSNSDMKELVFSLSNSKTAAQSDSGDTETDPSVTAKSRAEP >Sspon.03G0042720-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:72128746:72130052:1 gene:Sspon.03G0042720-1C transcript:Sspon.03G0042720-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLAASLMPYPHSAPLYLLYDAASIANASHGLAAPPPLPLLGDDDDGFEFATATALNGGGAALRACASDVSAAAFADELFRAGALLPLSLPPRLQRPAYSAGPASAATSPTMSWSAASASCRSSRKHRGFDPFTAALEKVRRDGGAPVTLRRARSLSPLRGAAAAAAVTAQTNSGGGDSRAAERRARKGKGRGVRHLLCRVLMASAAAAPKALWPRRKDGGVSYRPDMLLLLYTFYYYYYSNMSVASDGMEAGNLSAHL >Sspon.02G0025840-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:90957967:90960432:1 gene:Sspon.02G0025840-2B transcript:Sspon.02G0025840-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LHEPEDGDAEVVVRQSDPAAVLYSSGTTGRAKAVVLTHRNLIASNVTRAPAATETLMLTVPLFHIYGFTFCLRAALAAHTLVLHTARGRFEAAAVLAAMGRFGVTRLALAPPALLAIVRAAEEDATAAARVATLKTVNCGGAPVAADLIARFSRLFPGVSVTQGYGLTETTAGFCRAVGEEESARVGSVGRLSWGAEVKIVHPETGAALLPGVPGELWVRGPFVMKGYLAEEDSTSEILDSEGWLRTGDLCYIDKDGFVYIVDRLKELIKYKGYQVPPAELESLLQTHPDIVDAAVVPYPDDEAGELPVAFVVRRPGSHLHESHIKEFVASQVVHYKIIHHVFLVDSIPKNAAGKILRKDLAKLALRRISSKL >Sspon.02G0034520-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:16524887:16526249:1 gene:Sspon.02G0034520-2C transcript:Sspon.02G0034520-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQPQQQQQQKGGAVSAPASAAAAANGTGGAELIGYVDVHVRSARDIQNICIYHKQDVYARLSLPGDGAPAASTQVVNGGGRNPVFDQSLRLGVRSGDVDAALRCEVWMLSRVKNYMQDQLLGFALVPLPEVVAADGGTLAREFPLTTSDLFQTPSGFLQLELSYIGVVPEVVPISPTPKPALADPEEEEPENNAADGVGNGKEYEKIEFPDLNLVEENQIMVSEYTRLPCAAVETQSSDSLLTSEHGDGATTMSHDAGVRLVETFSTDNSTADSVGAFRSDTPVSSVSTTESPAAAAFPATPQSNSSSEPSGNAHSSADRKEKAAVLPQQQQTDADSTGADSSAVKKPAAAGQQEKPSPKVFYGSRAFF >Sspon.02G0039010-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2B:47280745:47283509:-1 gene:Sspon.02G0039010-1B transcript:Sspon.02G0039010-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVEKIHVCRNHCILYRGDDYKDLESCPNCGASRYKTNKDYQEEENGTSVSIGKKQKKTTKNTQKSSKTSGHEEVDYYAQRRVPTLVIWYLLMVDRLRCLFANPEDAELMCWHACYERKDDGKLRHPSDAKQWNNFNDTHPEFAKDPRNIRFALSTNGMNPFAERSNKHSTWLVILTIYNLPPWLCQKQKYLLLTILISGPTQPSVDMDVFLEPLIEDTKKLWEEGVAMWDEFRKEPFTLKAMIFVTINDYPALFSLSGQFKGKVGCVVCHDETSHVYLTASNKLVYMRHRHFLPRGHKYRSKRMDKYFDNRDESKSTAPLGTSAGKRVFLIASKVTFVFGKKAKDGKKRKDVKALEGDIFKKMSIFFKYLPKDLDIRHAIDGMHVQKNVFDSIIGILLDVKGKTKEGLNSHLDLVNLGIRPELHPIPQANGNYHLPAASYNLNPNEKHAICVWLKTLKIPSGFCSNIRSLVSMKDLTLINYNSHDCHVMLTTFLPIAIRAIKPMFVKMAITRPCYFFNKISHKVIDHEELGTLQDFAVETLSQLEMCFPPSFFDIMVHLIVHLVGQIDALGPMYLHEMWTYERFMSILNGSVSNRAHLEGSMIEAYTTEEATESGGPFCNKCLKDQIAIGLPPSWNEGRLYGQGRMGRKSFIPLDYNTVLEAHHSILHQLSIMEPLIEQHMNELREQNNGHIEDWVMKEHKLTFTTWLMDQDIPYGESMEEQTIKILASGPSRQITTRKWVDHQRGVNVDNYSLRIVDLDKVGYKDDPGVLANCVAQVFYAEDISPESKKARKPKHVAVSRKQQIIGVDGVGDPEDFNQFSEMPLFIDLPEKIITLEQSIPPNLMPWVCLDGQGRIV >Sspon.02G0001670-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:5790180:5797036:1 gene:Sspon.02G0001670-2C transcript:Sspon.02G0001670-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGEKMLLFGSFTEDETKLFQGQPLKSPTKSVSKECERTEIQFGTLNFSVLNLEKISISSVVLPAKSANGETSAIAKENACGNEKKAAGSSLPNGGPVLANGCPPVNVPANNGVFENVKKTETVVPPVVPVKSISNPTPQMTLEVHKDGIEPTQSRKLDKEREITGNGSPIVDTPIVAAPAEEAVTSLNKKASQNMPLLPHGLRNTGNICFLNATLQALLSCSPFVHLLQDLRNRSIPKEDAQEFLSFAMDRMHDELLKLNGNGSNSKEGMVVSSVDDDAWETVGRKNKSAIVRTQSFVPSELSAIFGGQLQSVVKAAARKSFKIHALSKIMILHLKRFSYGNHGSTKVYKPLHFPKELVLSRDLLSSPSTEGRNYELVATITHHGRDPYRGHYTAHAKHANGQWLRFDDDAVVPVGENDVLHDQAYVLFYKQV >Sspon.07G0037310-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:52685994:52689643:-1 gene:Sspon.07G0037310-1D transcript:Sspon.07G0037310-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLARKKLKQKLHALLPAGEAAAEAHGEEVEAVKERLLSSKRPRPKRERKPKKKSLPEMVRQTEEEIREEVERRREERRKEKKEKRRIRRLMEAEAAAAAAAGPETQQVEGETGAEMEGKEEADSASGSESDQPVEAEDSKQNINKVEVTKPAVGSNNHIVVDNRQQNHKVEMAKTVVGSNNPIVAEHREQSIKKVYVGGIPYYSSEDDIRSFFEACGSITVIDCMTFPESGKFRGIAILTFKTDAAAQRALAMDGADMGGFYLKIQPYKLNREKEDFAPKLIEGYNRIYVGNLPWDITDDDLKRFFSECKISSIRFGTDKETARKCYECGTPGHLSSACPNKKDSEVRKCYECGAPGHVSSACPNKDSDVISDEKEANANSVIAASKKRRTCYECGIPGHLSSSCPNKKDSEFISDEKKTNVDSATASSKKRRTCYECGTPGHLSSACPNKRASDSVPNNREPVDDAKPATTIMSEETKVGDELNSAASKKRRKCYECGISGHLSSACPNKKSAEPVCNEEKPDNHSNTVLSVIADEKKASEDTKSAPVKKKKRRTCYECGIAGHLSSECPNKTAAKV >Sspon.01G0003680-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:8296379:8296579:-1 gene:Sspon.01G0003680-2B transcript:Sspon.01G0003680-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DGEGGAGGRRADSVLQRDGRGEAVADGGAGGSDSRRRRLAGDGHAGAKRRAGRQQPQLPSSQRILPL >Sspon.07G0000390-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7A:945517:948142:1 gene:Sspon.07G0000390-1A transcript:Sspon.07G0000390-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRWYANVKEVGGGDTTVVLAHGYGANQTLWDKLLPVLSEHHRVILFDWDFTGRGGADEEEEEEAEAGRYTFGRFADDLIALMDDKGARGAVVVGHSMSAMAACIASVRRPDLFAHLVLLCASPRYIDSPEEGYVGGFDRASIDGMLGAMSSDFGAWVKGFVPNAAGGDPSASPALEQSFLSMHPGVALEVARMIFLGDQRGALDAVAVPCTVVQVAADFAASPAVAEYMQRRMEKAAEVEVVVIDSVGHFPQLVAPQQLLAVLQRVLQRTGGEVVVGAEEEQAAEEAAEVVEAD >Sspon.04G0002650-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:5369082:5370059:1 gene:Sspon.04G0002650-2B transcript:Sspon.04G0002650-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSATTAPARHRTCRMYWCYQCGRALRIISYPTTDVFCPRCFGRFLHEIDPPARPAFPPPHFLPHPFHPQHQYDGHPRRWVIYGAEPTTVPGRAFRQPAPAQAPAIPPAPAPAAPVRRRVPSPPPVPRRPSTPPAIDPGNYFTGPNLNNLIEEITQNDRPGPAPAPSSAIDSLPTVRITGAHLSDGSQCPVCKEDFELGEAARQLPCKHVYHSDCIVPWLRLHNSCPVCRYQLPGAGSNGSSLQAAPRGGSNGNRNREREREPATLVRWGPFSWLFPPRGMDDPDDAWEHGRRGRDDAADAGGNDMTALQSFVLVATCVFIFSFMT >Sspon.03G0042070-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3C:50232007:50235688:1 gene:Sspon.03G0042070-1C transcript:Sspon.03G0042070-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATYGVREGKRPTVRQREKATQRSTLRVGKARGIHGVTRLGTSLLREHLCEGRGKFNENTPWLRSAAHQRHAPTRAPPIVSSLCGRSRRAGRRTLRVARRRVANGNRGTTKHREKGKEREDGKLATNGSCTAQVTADRSAGGRCYCRRVCVIRMATGPSLTVDDEVRGALQDRSPERTTGPDRLVPDTAGGALVPASGTDNSHRPPARRSDGKHPKKLLHDNGPWRHDDDHATATPLAMAVRHSCSCDRAPSPHVRMCPSHDHITPARKQDKGPITECDTGGRVPRGTLFIKTALFPTHSIYHMALARQNSNHKQLHKYQQQGTAFHAHGSTTARVQFNHKLYGCPFMLDFNIRDWITAPGHWDAAHTASRLTTYKRHLAR >Sspon.06G0018150-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:80705647:80714477:1 gene:Sspon.06G0018150-2B transcript:Sspon.06G0018150-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRNMPHTISILPLLAFISFVHFLALCQYTLFAAALNESSALLCLKSQLHDPSGALASWRADSPAFCEWHGVTCGNRQQASRVTALDLESENIAGNIFPCVANLSFLERIHMPNNQLVGQVSPDIGQLTQLRYLNLSMNSLRGEIPEVISACSRLETIDLDSNSLQGEIPPSLARCSSLQTIILGYNNLQGSIPSQLALLPKLYTLFLPSNNITGSIPEYLGQNKNLTWVNLQNNSLTGGIPPPLFNNTSLYYIELSHNALSGSVPPFSQASFSALNYLSLYQNNLSGEIPSSLGENRLEAGDWSFMSSLVNCTQLKNLWLDRNNLQGIISTYITNIPKSLEIVVLKENQFTGSIPSEIGKFTNLTVIQLDSNFLSGEIPDTLGNLQNMSILTISKNQLSGEIPRSIGKLEQLTELLFEENNLTGPIPSSLEGCKQLTTLNLSSNSLYGGIPRELFSISTLSVGLDLSNNKLTGDIPFEIGGLINLNSLSLSNNRFSGEIPSTLGQCLLLESLQLGSNNLQGSIPDSFINLKGITVMDLSQNNLSGKIPEFLESLSSLQILNLSFNDLEGPIPGGGIFARPNDVFIQGNNKLCATSGDLQVPQCLTSRPQRKKHAYILAVLVSLASVATVTMVCVAVIILKKRRKGKQLINQSLKELKNFSYGDLFKATDGFSPNSLVGSGRFGLVYKGQFKVEECAVAIKVFRLDQFGAPSTRIAIAVDIAAALDYLHNRCTPPLVHRDLKPSNVLLNDEMVASLSDFGLAKFLSVDFSIGFNNSSSAVGPRGSIGYIAPEPNLTGYDEGEDRGQEMVEMQHCAMQLANLGLKCSEMSPKDRPGTEEVYAEILAIKEEFSTLCSLGS >Sspon.02G0050780-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:61344655:61354533:-1 gene:Sspon.02G0050780-2D transcript:Sspon.02G0050780-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:D-ribulose kinase [Source:Projected from Arabidopsis thaliana (AT2G21370) UniProtKB/Swiss-Prot;Acc:Q8L794] LQARVVVDLQRQRSFGGGGGRSAVLMHQSDWLLWLLHGRFGVSDYNNALKVGYDPELDAYPSWLTSQPYSRMLPSVRAPGTPIAALKDDVCSQYGLPKNCVVCTGTTDSIAAFLAARSSEPGRAVTSLGSTLAIKLVSEVRVDDARFGVYSHRLDDTWLVGGASNTGGAVLRQLFTDDQLTALSERIDPAVPSPLDYYPLPKKGMTPRLQPRPASDTEYLHGILESIARIEVGDGAAADWASSWRAALFQLLADIPAVLRPSISSISIDGTSATTLIVDSDTGELLAGPFLYNESFPDALPAVESVAPANHTVCSGSSTLCKLVSWWTSSGSGRSAAAAAAPVLMHQSDWLLWLLHGRFGVSDYNNALKVGYDPELDAYPSWLTSQPYSRMLPSVRAPGTPIAALKDDVCSQYGLPKNCVVCTGTTDSIAAFLAARSSEPGKAVTSLGSTLAIKLVSEVRVDDARFGVYSHRLDDTWLVGGASNTGGAVLRQLFTDDQLTALSERIDPAVPSPLDYYPLPKKGERFPVSDPNMTPRLQPRPASDTEYLHGILESIARIE >Sspon.05G0023030-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:4877515:4879177:-1 gene:Sspon.05G0023030-1B transcript:Sspon.05G0023030-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ARRTRKPLFQIAGEDRSTSAADDNIYGDDSVKRSILSSPLVEFSTVYSATNNFSEKLGEGGFGPVFKVEYWIIHILPPTVMIWLTVQLIIFIWITIGILPDGQEIAIKRLSKSSGQGLEEFKNEVTVLSKLQHRNLVRLFGCCIHGEEKMMLYEYMPNKSLDSFIFNESKRLVLGWKLRYKIIQGIGRGLLYLHQDSRLKIIHRDLKASNILLDDDFNPKISDFGMARIFGEHQLQDLTRRIAWTLWKEGSVSELIDPLMGTTYTYDEVCRCIQVGLLCVQELPAERPTMSLVLRMLSGDVTIPFPKQAAFFVGRSPRLPADGNNTESGNQLTYTDLQGR >Sspon.03G0020640-3D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3D:50679139:50684119:-1 gene:Sspon.03G0020640-3D transcript:Sspon.03G0020640-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTLPPRWTSARRRIQADRARQRRTGRPAAHLSPSPSPSPSLQICDRTARAPVAAGLRRAGVARPRRWPQPPPPPPPPSSSAAPLPLPPPPLAGTASGVPLGDAVLPTAACPLPRGRDGAAPS >Sspon.04G0020390-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4A:71638674:71641287:-1 gene:Sspon.04G0020390-1A transcript:Sspon.04G0020390-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVWCRFMTDPADFSVGEGTGRYGWLRWTWWTPPAAPSSAWATSRWSTSAPASARTPPRAAGASLGSLSIPAADCSSPTTRQTTAAPSSSSTNCRQHPGAGTRPTGTGRTRPRLGPRGCSRWPCLIKPRRSPAPHQAFSSTTTVARSYFGPPTLTLSFTSSLARPRAMMDSCKQKSCAFALEACRRV >Sspon.05G0026770-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:26609462:26613212:1 gene:Sspon.05G0026770-1P transcript:Sspon.05G0026770-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQPFYGLPTQMKVQLVEQEGSKGMASSGCSEIVELVDEPKVARPGGLTHLRVRVKPVGQDHGARSCSVEDDLDELIRSINVRTSARASGQTSTDRRLIALGKSPVSSSEIVESVSLKQALRKMCISQASEMAAMKRLSKPTGVSTPPDSGAIKKLYGSVAVQTNEEQDDKAKAGKVPMLPEKSAGSSVDKPGEISKGQSKSSAKKNLRSASPTTGKVHKTRIQDVISNKSSEGVEDISVGVTLPKQRKGKSVKASSPRAVPVGGLRLVRPMFRNKTSTKKKVKPEPAIVPASHRHCETKGPKSHTSKQQESLQDEPRTPAPTNKKAAVSSTTVHGADFGTKGCGVGVIHGSKVGELSRSKEKGECSQSSKSSIGDYSTSTSISEDSYGSFSANGSRPHMSKDVRWGAIRRMAIQQGSLGLKNFKLLKQLGCGDIGTVYLAELVGSDCMFALKVMDSEYLISRKKMLRAQTEREILQMLDHPFLPTLYSHFTTDNLSCLVMEFCPGGDLHVLRQKQPTKTFSEAAARFYVAEVLLALEYLHMLGVIYRDLKPENILVREDGHIMLSDFDLSLRCSVSPMLVRTSSVGRDEPSRPSGPCAQSCIDPLCIQPSWSNSSCFTPRLVSSTPSRTRRPRAEPLKKPSLPQLVVEPTEARSNSFVGTHEYLAPEIIRGDGHGSSVDWWTLGIFLYELLYGKTPFKGPGNEETLSNVISQGLKFPDNPAVSFHARDLIRGLLVKEPEYRLGSSRGATEIKRHPFFEGLNWALIRWTAPPETPKNIDTAATLATTRKKKEGKCLEFRLNGDDIEFELF >Sspon.04G0036710-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:44496258:44531677:-1 gene:Sspon.04G0036710-1D transcript:Sspon.04G0036710-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLDSEASSQGGDYRSFRQITRDRLLFEMLRSTRKNSKSTWKVLIMDKFTVKIMSYACKMADITEEGVSLVEDLYKRRQPLPSLDAIYFIQPTKENIVMFLSDMSGRSPLYKKAYVFFSSPVHKELVAQIKKDSSVLPRIAALSEMNLEYFAIDSQGFITDHERALEELFSENAEGSHKYNACLNTMATRISTVFASMREFPRVHYRVAKTIDASTMTTLRDLVPTKLAASVWNCLAKYKTTIPEFPQTETCELLIVDRSIDQIAPIIHEWTYDAMCHDLLCMDGNKYVHEVPSKNGSATEKKEVLLEDHDPVWLELRHAHIADASERLHDKMTNFISKNKAAQLHQARTGGELSTRDLQKMVQALPQYSDQIDKLSLHVEIAGKLNNIIREQCLRDVGQLEQDLVFGDAGTKELINFLRTQLVVSRENKLRLLMIYAALNPEKFESDKGAKLMQLAGLSADDMIAVNNMRCLCGPDTKKSSVGGFTLKFDVHKKKHAHRKERTGEESAWALSRFYPILEELIEKLNKGELPKDEYNCMNDPSPSAHGPPTSSSARSSPAHSMRSRRTGGTWARPRGSDDGYSR >Sspon.08G0009160-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:35420610:35422099:-1 gene:Sspon.08G0009160-3D transcript:Sspon.08G0009160-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLPLLVCVILCTYYSLAHGGNEHGFVVAVPTTASEPEAVCSTSRVKLDPGSYTVSVPLVHRHGTCAPTQSSDKPSFSERLRRSRARSKYIMSRVSKGMVDTTRDDANVSIPTHLGGSVDSLEYVVTVGLGTPPVSQVLLMDTGSDLSWVQCKPCNSTTCYPQNDPLFDPSKSSTYAPIPCNADACRNLTGDGYGGNCTSGGGAQCGFAIRYGDGSQTTGVYSNEALTLAPGVAVKDFHFGCGHDQGGNNDMYDGLLSLGGAPESLVVQTSSVYGGAFSYCLPALNSQAGFLALGAPSGGGVNASSFVFTPMIQEQKTYYLVNMTGITVAGESIDVPLSAFSGGMIIDSGTVVTQLQHTAYSALKVVFRKAMAAYPLVPNGELDTCYNFTGYNNVTVPRVALTFSGGATIDLDVPNGILSDDCLAFLESGPDDQPGILGNVNQRTLEVLYDVGRGRVGFRAAAC >Sspon.03G0006620-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:18251064:18256618:1 gene:Sspon.03G0006620-1A transcript:Sspon.03G0006620-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GC1 [Source:Projected from Arabidopsis thaliana (AT2G19950) UniProtKB/TrEMBL;Acc:A0A178VUM2] MLVCEGPNNQEVQAKKGKPREKGPLKLTNADGGNKTSAQKERRSRQPPRERMKIEKIRPSPPADSSSIDTSASEPEVAPVDVKEGNEGTLEKGTKATDDLKTDESGTVVNTTVEVQLMEKNSDNAAPTVDGVTHSNSEIAVESYSSVPDEKSELSSSNQTAEIGPVINLEERDSAVAIIQDRNVSELRNTEVAGKLQESKKENGLLKSAVKTGQSKEARLARVCAGLSSRLQEYKSENAQLEELLVQEEDMDGLKRNRELTETRVIQALREELATTERRAEEERISHNATKMSLVTIQAAVEREVELEHRAVEASNALARIQFLVATGYLGAMRAADQSSSRALELEHKVAVLEVECASLQQELQEMEARNRRTQKKPSEEANQVLQMQAWQEEVERARQSQREAEAKISSLEAELQKMRVEMAGMRRDAEHYSRQEHVELEKRYRELTDLLYHKQTQLESMASQKAALEFQLEKSLKQFHEVQIEAERSKATRRSASSWEEDTDIKALEYVNCFTRLFLYIIDTWQQQISSYKRLQSF >Sspon.05G0023400-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:7297902:7301808:-1 gene:Sspon.05G0023400-1B transcript:Sspon.05G0023400-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASRAWLARATAPAVLGFVLVVASAEAASGDVEMVFLKAAVAKGAVCLDGSPPVYHFSPGSGSGADNWVVHMEVFRKGNFRGSSKFMRPLSFSGILGGSQKSNPDFYNWNRVKIRYCDGSSFTGDVETVDTAKDLHYRGFRVWRAIINDLLTVRGMNKAKNALLSGCSAGGLAAILHCDRFRDLFPATTKVKCFSDAGYFFDGKDISGNYYARSIYKNVVNLHGSAKNLPASCTSKQSPDLVSFVHLPHLCMFPQYVVPTMRTPLFILNAAYDSWQVKNVLAPSPADPKKTWAQCKLDIKSCSPSQLTTLQRHSSPKQALSNIAYLIHFRTDFLAALPTTPSVGMFIDSCNAHCQSGSQDTWLADGSPTVNKTQIGKAVGDWYYDREVSRQIDCPYPCNPTCKNREDD >Sspon.08G0000220-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:1122818:1152435:1 gene:Sspon.08G0000220-1A transcript:Sspon.08G0000220-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEALLSPSSGALALQRRDAEAATAPDSCGVGRKRKERKEAAVREGHGRLVPRVAAAIVSVAMGGTGKEGSDQGPPLAVATATATATSVPEAPVFHPTEEEFADPLAYVARIRPLAEPYGICRIVPPSSWSPPHALDFNSLSFPTKRQPIHRLLARPAPADPDTFLLDYRRFLKASSAHRRGRRRGFPKSPALSDGRPLDLCRLFHAVKRFGGYDGACEGKRWGDVVRLVDDKAPMHISECAKHVLAQLYYEHLYDYEKFANQDGNKGKQPGAESDDQPSVSGSQDEESNKSDTGEMVEEVTGVKSQKRRNASRKKLGRGTSHGRYGSGGDIIGNNVASAGGRKRKRRNFDAAVTAVNEAPAGVRKRKRRNSGAASTESNDEVDQVCEQCSSGLHGDVMLLCDRCDKGWHLYCLSPPLERVPPGNWYCSDCLNSDRDCFGFIQRRKACLLETFRRFDERVRKRWFGQRNPSRVQIEKQFWEIVEGKVGELEVMYGSDLDTSIYGSGFPRLGDPVPSSVDLETWQKYCSSPWNLNNFPNLPGSVLRTVKDKIAGVMVPWLYIGMLFSSFCWHVEDHCFYSINYLHWGEPKCWYGVPGAKANAFEQVMRQALPDLFDAQPDLLFHLVTMLNPSILRANSVPVYSVMQAVNFAPADWLPHGGIGADLYRLYRKAPVLSHEELLYVVAKNGVDAESLPHLKGEIERLFINERRRRVELWINGIVKSSPMLPRINPNFIGSEEHWKHLCECSPEKHCLLYRHTLAELGDLVCEVSLFSPSRDNVMQNPHLLNDVYVPSKKVKGHYISYAQLAEDWVSKSEHILQMPFLERSYATALEEAKQFLWSDHAMDSVRNITVRLTEAMNWALGVRKCISKIEDFLKDGCSEKVNYVEIEELVAMKCAPCYEPSLTKLQAYAEEGKMLINEVNNALSSCLTVDKLETLYSRVSEFPVKLTESSTLFWELSSAKSWLKKARDCLEQNKLGIIDIDVLNKLKSEIIQLRVLLPEIDLISKLWKDAESWQTRCQLYLQDFPDLKELEGFLLAADGAKFSIPELNVLKQRYSDCCSWVNRAKNILGKLYARSDYHNVVEELTGILKEAELLGKELKRSLCRKQASEALATVMPMEVVEEVLKEASMLTIEEEQPFDDLSRMLKEATAWEEKARLILEQSASLSEFEDHMRCSEGIRVILPSKLHVKAEIDTAKLWIDKCQAYLRPSCNKLTFGDFLKVEDIKDLINQPANLKVILDTSALNSVLNNVEKWERGSLSLLNNFRTLLHLNGIGSTVDTLQRKLEELQGKMNTEIEIGLSLGFEFKVLRELKDSLLMLRWILDALSLCCMIPLLQDVDRLIEEEVHLPACLSDCSLVTLLMRALSCLRKALTLLPGSGTSAKSKLEDVESILAEFKEVDVPYPMMTAKLEDAVNKHNLWTEQCNTFFMLSDGQSWASLRSLRDNGQSSAFDCPEMDKVVAEVKKVEEWLNQCHCTLLLDGNNSSLLSILLKIRGSLVNVCTLYADEDCMKKRLCALCLCDIGDRLASRCSEDQLENQIPVKATSASGLYDHYISCRIESVLSRYLWKKQIHKLLYGGKKTSMQEVLCLDKEGSHLEICDEDFFKLEISKIKETSLQWLAKAEKVACDSGELALDLVYGLIAEGENLSVHFEKELKLLRDRSVLYCICCKPYDNRAMIACDQCDEWYHFDCINLLGPPPETFFCPACHPNNGEKSVSLARSEHDEDRSSTGAGAHTPPASCDRLDRVVEANKCKRQQEKSQTRVDLIKLLSCHSEIDSSSWSESRRVPHRTARRPAATARTKRACRPQAAGPYLYN >Sspon.02G0026020-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:90354673:90355313:-1 gene:Sspon.02G0026020-1A transcript:Sspon.02G0026020-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATRLALALLLAAAVAASQLPAAAVAANYTVGDEKGWNPDVDYTAWVKKHKPFYKGDWLIFQYQNGRSDVVQVDEVGYDNCDKANALSSYSKGSTYAFQLKEAKDYYFICSYGYCYHGMKVHVTAKSSGSSSSGSGSSSGDDSSSSDTSSSDDSPSPPAKKSKAKSSAASPP >Sspon.04G0014150-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4A:52231259:52233996:-1 gene:Sspon.04G0014150-1A transcript:Sspon.04G0014150-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGRGAMLAVLAVVVLAAVGGAGAWRNRVRRRPRENGTPEILATARLPYGQTYFGKPTGRCSDGRLVIDHLAQEFGLPLLPPSKAKNASFAHGANFAITGATALDTPYFEAKGLGAVIWNSGALMTQIQWFRDLKPFFCNTTQACKKFFAKALFVVGEFGGNDYNAPLFAGMGIPGAYKFMPDVIQGISDGIEALIAEGAVDMIVPGVMPTGCFPVYLNMLDVSEEGKGPRSGCVRQYNTFSWVHNAHLKAMLEKLRAKHPNVRIIYGDYYTPVIQFMLQPEKFGFAKQLPRACCGAPSTPERAAYNFNVTAKCGEPGATACPDPTTHWSWDGIHLTEAAYRHIAKGWLYGPFADQPIIQSS >Sspon.08G0003790-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:10202086:10206778:-1 gene:Sspon.08G0003790-1P transcript:Sspon.08G0003790-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWPPPPSTAHFFFLLLLLLSLLAAAPWHRATAQDAGYRVVSVGRARAGGQLSARLELAGAGRKAELGPDVQWLSLTARQTDSRLHVRITDADHRRWEVPQSVIPREALRDVTLGASTGASPYGRVLSAATSDLTFTLHASPFRFTVSRRSSGDVLFDTTASLVFKDRYLELTTALPADRASLYGLGEHTKHTFRLQRNDTFTLWNADIAAFNVDLNLYGSHPFYLDVRPAAAHGVLLLNSNGMDVEYGGSYLTYKVIGGVLDFYFFAGPAPLDVVDQYTQLIGRPAPMPYWSFGFHQCRWGYKNLADLEDVVAGYAKARIPLEVMWTDIDYMDAFKDFTLDPVNFPAGPMRQFVDRLHRNGQKYVVIIDPGINVNETYGTFVRGMQQDVFLKRSGTNYLGKVWPGNVYFPDFFNPRATEFWAREIALFRRMLPVDGLWIDMNEISNFVDPAPLNALDDPPYRINNSGVRRPINNKTTPASAVHYGGVREYDAHNLFGFLEARATHGALLADTGRRPFVLSRSTFVGSGRYTAHWTGDNAATWDDLRCSINTMLSFGLFGIPMVGADICGFNGNTTEELCSRWIQLGAFYPFSRDHSANETIRRELYLWELVARSARKALGLRYRLLPYLYTLMHEAHTTGAPIARPLFFSYPMDVATYGVDRQFLLGRGVLVSPVLEPGATTVDAYFPAGRWFSLYDYTIAVASATGKRVTLPAPADTVNVHVAGGNILPLQLPALTTLRARQTVFHLLVALAEDGSASGELFLDDGESPEMAGPRGEWSLVRFSCAAGNDGVTVRSHVVRDAYGPSRKLVIGKVVFLGLHSPAPPREFAVYVNGVKTTNSMGGALGYQRSGALSAAQVEGLSLAVGKEFELKVFMS >Sspon.08G0019630-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:14215555:14218474:-1 gene:Sspon.08G0019630-1P transcript:Sspon.08G0019630-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRAAPSVEPLDVSSGDSSGSDSDQLGGKEKGGSASKASAAAAGEDMKRVVLHKESHDVSSDDDLSSDSDDDAGKGNGWNAFGLPNSSKASSVAEGALIRRAEMYQQYMKHIPVPAYCDSVIPFTSWLGLAGSLKQLYEQPLHYLTNVLLKKWDQQRIGSDDEHRRLDAIIHPVRAETLIWATEEVHRLTTSGQHLATAKSKKKKTGVDFSALSRHGYHGGPSVLTVRPVEEANWSWSTGKDRNDKEDAPESYEERERTRAAVTEGEKLIGLKNAPPNQLLLEKDKKDASFSQKEKRKRDRGQASRGKNYVEEEKRLLRGSGVYSGFDT >Sspon.01G0004560-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:10560137:10562261:-1 gene:Sspon.01G0004560-2B transcript:Sspon.01G0004560-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAGGGAVRALSQKEQDIQMMLAADVHLGTKNCDFQMERYVFKRRTDGIYIINLGKTWEKLQLAARVIVAIENPQDIIVQSARPYGQRAVLKFAQYTGAHAIAGRHTPGTFTNQLQTSFSEPRLLILTDPRTDHQPIKESALGNIPTIAFCDTDSPMRYVDIGIPANNKGRNSIGCLFWLLARMVLQMRGTILPGHKWEVMVDLFFYRDPEEAKEQEEEAAAAPDFAAITDYQGADQWGAEQWTSDVAPPVAPTGADWGAAPAPVPTGDGWDQTGAPVPADGAVPPVIAPTGWDPAAQPTAQGWE >Sspon.04G0014700-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4A:55089769:55091562:-1 gene:Sspon.04G0014700-1A transcript:Sspon.04G0014700-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVAGRVFRSSAIVKHHDCTTIESEDGCPIRIAGPFNIPKTRENGFSEKVIDMIGLQVCKSFKFGFPDMWQRLVNPKMVPDNEHARSPSEETTTGAPSPSVEAYMTYFLSDSFSSSITYDYDLTEYRAKIRSVVNERYRSTVGCGQAEEDADIQQENMHSCSSEHGMVTLPIDCTSSQFGATDALELPTEGMTPKFGAIGGSEASTCKRLRSRKAHGMPSGGPMKRGHKQKKIQHNQGATSTADLTPLEN >Sspon.08G0024330-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:63429968:63430441:1 gene:Sspon.08G0024330-1B transcript:Sspon.08G0024330-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFPVGYSEMPKLVLHLLFLLGHLRRLSSCLLRLAGADADTDASWAAASDADHPRHPCAAAERLEEHSPAVRFDSLSCGGSSSSGESGEETAPRPLPEGCCVCLGDFHAAAEVRRARGCRHVFHRACLDRWAAHGHRTCPLCRSPLLPPLLLPLPPS >Sspon.04G0022390-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:9148083:9148906:-1 gene:Sspon.04G0022390-2D transcript:Sspon.04G0022390-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQEIVAPAELAQLALIRPHQDSKRALTWRPCGRVADGFGIANGPNDLGSTKPICSPQSNPRRRASTTASADLPKAADPSRADGGGAGRAPPRRFPPRASCPPASLPRSLRRSCGRRGPEAEAGTVQQAGEKLHAASLLAGTPYSLASLELQASQFARPSSCFALQDITTNPEWERLYQYEIPVLAKVLPDGTEVSSSHYSLIRFAHL >Sspon.01G0033470-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:111219659:111227834:-1 gene:Sspon.01G0033470-2D transcript:Sspon.01G0033470-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARNREVVILLLDVGPSMHGVLQEVKNICLTLLLYNRSDEVGIVLFGTKGTCNELAKELGGYKHVTVTHDIKVVDEGTAQALQNLPLGSAPVLDSIVVGLDMVMRKFGNTKGKRRFCLITSAQDLLRDPPEGTKEEQVDTIADMLKKHSIKLECIIFREPGVHRNAVMEENDRLLYQFRNRSVAKVVQVDSPTSLLGALKTRNVLPVTVFRGDLEVNSNFKIKVWVYKKTAEEKFPTLKKYSDKAPPSDKFASHEVKVDYEYKSIVEPDKVVPPDQRIKGYLYGPQVIPVSNAEWEAVKFKPEKGVKLLGFTDRSNVPRHHFMKDECLFIPEPGNMKAALAVSAIARAMHQMNKAAIVRCVWRQGQGNVALGVLTPNISSVNNVQDSFYFNVLPFAEDVREFQFRSFSSLPSSSQPTEEQQEAADNLVKMLDLAPPGREVLKPEFTPNPMLERFYSYLDLKSKQPDANVPPLERSLRRITEPDPDVIGQQTQLIQNLGKAFELKENPKKKKARTQDILAYTGAGDQAKSVEGPSVEKDRLLENTHPPTENVVAIRDSNPVQDFEAMLAKRSSSTWVQKAIEDMQNYTAALLQKSRDGSNYQKALECFAALRKACIIEQEPQEFNEFLTKIYERLKEGDAAKFFQLLSSKNISLISKEEAPDSDVTEEMAKSFFLKRERASQ >Sspon.06G0028310-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:5201992:5205581:-1 gene:Sspon.06G0028310-1P transcript:Sspon.06G0028310-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAALMVARAEAGDFAEARSLWAQLLHSSAAPCLPAAAPRLLPAYARLGRFDEILLAVRELSARDRGAARALYPLAVSCLGAAGELARMEDAVLEMGRLGLRVDAATGDAFVRAYAAAGTIPQMEAAYRRHKKTGLLITRGVIRAVASAYISQQKYYRLGAFVADAGLRRRDAGNLLWNLYLLSFAANFKMKSLQRAFLEMVAAGFRPDLTTFNIRAAAFSKMCMFWDLHLSADHMRRDGVAPDLVTHGCFVDAYLERRLARNLTFAFDRLDGNAEPVVATDAIVFEAFGKGGFHASSEVLLEATAGKRRWTYYKLLGVYLRKQHRRNQESCSLYMSMKISNTTYKQNYPVDDKPPQIQPFWQRHIKEALLLLSEKKGKF >Sspon.03G0012260-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3B:43868480:43868980:-1 gene:Sspon.03G0012260-2B transcript:Sspon.03G0012260-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLAPYIPSIKVKVGAVSVAPPHRACRSLAVIRSSKAEGPIRRPAAPPLSPPPPMPPKTPALSTPPTLSQPPTPVKPAAPPTSPQPTPPSPEPKPAEATAPAAALQRPVAGAVTLEYQRKVAKDLQEYFKKKKLEEADQGPFFGFLPKNEISNGRYVCPCKMLSNL >Sspon.01G0052020-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:19806871:19810879:-1 gene:Sspon.01G0052020-1P transcript:Sspon.01G0052020-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPGGSDESWEYSLRKYLLLLATLVTTVTYGAGFNPPGGVWQTADPAAANRIAGDPIIRDTNYARYLAFFYSNATAFASSLVVIVLVLILSVLHDSGARITLAPLLGVLRAVMVLDLLSLMGAYAAGAFRDTLTAVYSLVLSAGVVGYLVAHLVLASRLPAEEDKYKRGKSAPERLRKVLMLLATFAASVTYVAGLSAPGGFWDHPEDGHRPGDAILKGGPHDARLKAFFVCNTTAFVASLLILVMLLEKKLCFSQKVRSYEIYGFVAVTLISLLAAYADGSSRKIDTTIYVSALVGVVAVCILIQVVFVLLFQQANSSQGQQTNGNGSDERQQTQGPETSNDNNGSNEQQQQTQGLQTSNDNVSDEHEKQQNQALEKARSLVLLLATLATAITYQAGLSPPGGLWQSDGGGGSIYKTGDPILLTTNPRRYKAFYYCNSVAFVASLVAIVLVRKKTLHHHNALEAAMVLDLFGLIGAYAAGSCRDVSTSIYAMALAGVVLVYVVIHVVLLTLDHKDGSASVRGNDETSGEEKKDTGLVEKRRKRLLLFAILAATITYQAGLTPPGGFLVEDDKHTGRRAGDPVLLNNYPRRYTAFFYCNSVSFMLSIALIILLVNPNVYRPAIRSNALSVCTAAGLIGIMGGYAAGCTQHLKTSIYIFALAAVVLSTVVLLVAVFLVNHLRKPEGIANSTRAAVREAPGEEEEEEASAGAAGVENAIGAKVRRKEKRIHAKRKYLMLLGILVASVTYQAGLAPPGGAWQSNDGTHTAGDPVMHDYRRARYLAFFYSNSTSFVASVVVIVLLLPLPESLHEHKWWWLWLGVMNATIVLDLLGLLIAYAAGSSRTWKTSVYVSALVVAVLAYFAIHVLLSSCVRRGKKTAPESPSQENGEGNEAATREVQLAQTECTVRS >Sspon.05G0005220-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:16575732:16582640:1 gene:Sspon.05G0005220-1A transcript:Sspon.05G0005220-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVKKLVEKASKKDVSPRLAFHYGVPADAALLAYDPVLHVLAVATRNGQIKLFGRDNTQALLQSPSPLPSRFLQFAEGQGVLLNVNTQNQIEVWDIDAKKLCCLHPIEKAITAFSVLQKSSYIYVGDSSGNVSLLKLDLAQRCLADMPYWIPFTESYGSTASAGNDVEVIFVSPQPLSELNRVLIIFRDGVMSLWDIKASKAVSISGKTMQQQSQTEAKNVTSACWVCAKGSKIAIGYDNGDLYIWAIPVVTSTQNSSSMGSQNLPIQRLNLGYKLDKLPIVSLQWISTMERQVVCISMVSMIMDTYFSLSFFGRGMYILVISFKNFELFDQVVPSSNGVQDYFSSATKYPWLFSMKDKGQTLTSFTNIHKTRNLCITGHLDGTISFWDASCPHLLQIFMIKQQNEDNTSTGNPITSLQFDMSSSILLSGERSGMVRIITFKKDSSDNIFSFLNAKQGDNCNVRSIKLKGAVTTMSSISKSKHFAAGTEKGIESKLSNIGMWLLCYMREAKLNGYKQD >Sspon.03G0009620-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3A:26006492:26006821:-1 gene:Sspon.03G0009620-1A transcript:Sspon.03G0009620-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKRPILRWGKESFPHVGPQSPASFVGHSAAVARRASASLLLRLSPARVPRRRPLLLCDFACSAARPRRQLLLVARAWVDGGRCSLWHALATGRCSCARFDFGSEEKEE >Sspon.08G0009740-2D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8D:37266757:37267038:-1 gene:Sspon.08G0009740-2D transcript:Sspon.08G0009740-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding QRTFSRLDCADQNERFTFCILTTIRNRAANHRWNLISVYGPAQHDSSEEFIQELNEICEKDSLPVVLGDFNLIRNNQERSHGQGEAEINGHLQ >Sspon.03G0023080-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:70608599:70610503:-1 gene:Sspon.03G0023080-1A transcript:Sspon.03G0023080-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLRASSTALRRSHRLISAFAVDQLIRCHPPIDSAVPWNKLLRDHLAGSRPGLALALYRLMRALCPALPNSYTLPLALRAAPSWRLASAVHAHALHLGLHAHPDVAGQVLAAYARLGRAAEARRVFDALPVRRITLSWNTLISACSVGCDPDAAWAAFARMVAAGASPDAVTWTTLLSAHARCGRHPEALRLFGDMHRSGCEGNAEAVAVALSACPYAGGPALAKGRSIHAYGFVKGVVHGYLFVTNSLVCMYGKLGEMEEAEKVFWDAGAKKNAVTWNALITSYAAAGLCGEALGVLAQMEQCGGMVTPNIVSWSAVISGFASSGDMEQALQLFRQMQQQWLLPNVVTLATVLSACTELLALRLGQEVHGHTIKAALDRHSLVQNGLVNMYGKCGRVAAARKVFDRMKSRDLISWNSMIGSYGTHGLCDEALAMFQDLIGATVEPDSVTFVAVLSACSHTGRIAEGRRLFNQMVREHMISPTMEHYTCMVDLLGRAGLLKDASELIETMPMRPDLCVWGALLNSCRLHGDAAIAKVLQTETVTTGNHTLITNLYAACGMWDDSKRVRVMTKEAGLRKNPGQSWIEVRNKVFAFTAGSTPLSEAEEVLRVLDDLYGEMEDEKRAMYDAIANIV >Sspon.03G0038080-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:5536629:5537510:1 gene:Sspon.03G0038080-1P transcript:Sspon.03G0038080-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQAKERSTTDSSSEAVSSQSHHTDLLSKLPTREGWTEPLVLYKNFWLRPRFAINIMHLQNSFKARQDDIIVASNPKCGTTWMKALAFTITTRSKYEFGNHPLLFRHPQEVIPFIEIPLGVELTYVETLSSPRVLATHMPFSLLPNSITTCGCRIVYICRGPKDAFVSRWHFENKISREYSINVEDALDMFSEGFSPYGPFWEHCLEYWRESIANPDKVLFLKYEDITSEPVKCVKRIAAFLGVPFSIKEEEDGVPEKVVRLCSFEKLSGLHASQAGDIVRRSNMVLDKSVFF >Sspon.05G0019020-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:80752149:80756682:-1 gene:Sspon.05G0019020-4D transcript:Sspon.05G0019020-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRWMGVKIRSRKNGTGFIRRVLRRPNLRQATSRRPAAGKEARRDAHAMLPPSHGLHTNPTTPPPPLACSHRPAPRPRHSSCPPPPPPDLQHAAALLLVLLLRAPARQPQLQAPGAPAMGYPAPNGNPSPAAAAAYYAAAPPSAAANGTAPAAFGVAYPYPAPPHHHPPPPTHHHYPYPQPPPPPPHHHHHYAATHYPPPPPTCLRRLLAAVVGAFLLLGAATFIVWLLLRPRAPAFSLTSLALSRVAYSRANSSLSASFDAALLAANPNSKLSVAYFSPLASVSFAPSSPLAVASLAPFGQAPGNTTTLAFRLVVEDAYVGPDDAAALKTGDGGAVDVQVRLMAVAVFDRGGWRTRRRVMRVMCDGVKVVFRGKNSTEAAFNGPPRRCDVGGYGGGGWLWAAMEKERWRLGFWERDAKGLRAEG >Sspon.07G0004660-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7A:12029335:12029936:1 gene:Sspon.07G0004660-1A transcript:Sspon.07G0004660-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGIHPPCPLTFHGYRLPESGVDRSGHQAIRARAGKAAPSGTIVDVEAEVKDVE >Sspon.03G0002200-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:5428257:5431851:-1 gene:Sspon.03G0002200-1A transcript:Sspon.03G0002200-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCSPSPRRRRATEPGSPPLGSTVSAPTVTVNSISVSPYALARSPSVSAAAVDAEDAGVVRVYGSDGCPVAWRLRVALLYKAAAPLHFTPSEAAPLGRPVLRLSAADPEVCGTADELLRLVDARFEGKPRVAPPERPRAASPSAAAAAEVAELVRLQHRSAERHLEGVAAKVAEMVKKGKKSGKGRNVVEGAEVRRLGKWYGDVMEVMLEHARMEETLIFPDIQRASFPGVCDKVNEQHGRHLPMMNGIKEDIKTLLTLELGSPLFHEVVVNLSVRLKALQDHTKEHFKEEESDLLPRLEQVRRMQREEGKVSDKSSSAWASEAVATMEMTHSKLFPFFMTGLLPQEAMQYLDLVCRCMKNTRHLVSMLRSLAERLEDANPSIIHNNPTKLYEHLFVKSP >Sspon.01G0032130-3D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:110069541:110070262:-1 gene:Sspon.01G0032130-3D transcript:Sspon.01G0032130-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLARSPALPLVTVETPAFGFFITTRNVSSALAVKLPDNNCGGRLNVRRRNFELGESRWEWRALPADQVQASVVDVDEECKQILASLNFSSEDAEKMLKKAFGWIHSPYWSEERKKEVPNAEVVTGVLNYIRSLGLSDEDLHKLLKKFPEVLGCDLDSEVKLNVTKLDTDWGINGRTLRSLLLRNPKVLGYNIDCRGDCMAQCTRCWVRF >Sspon.02G0009680-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:26358756:26362906:1 gene:Sspon.02G0009680-1P transcript:Sspon.02G0009680-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPTTYSVRVSSETHKIEAWVASDEALARQLQEEENARDAAADTREFAGNVSLESSSPAVEYRPAQNAAQVAREDNVDPDNMSYEQLQALGEAVGTQSRGLSDELISYLEPFRNKCTFFSRNKNSEECVICKTTYKSRQRMIRLPCSHCYHADCITRWLKINKACPVCNEEVFG >Sspon.01G0036410-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:13829358:13831884:-1 gene:Sspon.01G0036410-2C transcript:Sspon.01G0036410-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALTSAKGALLPWARSRSSGHGGLSSVLARALALATAGGDGCSLLPRRWQSSLPQLDRVDRSPIPTLFSIVSLSPSAGRNMQVCCERLNVCTRRSDEESGGGEIDWDKLGFGLSPTDYMYVTRCSPEDRGDFPRGELCRYGNIELSPSSGVLNYAQGLFEGMKAYRRPDRAGYTLFRPEENARRMQHGAERMCMPAPSVEQFVHAVKQTVLANRRWVPPQGKGALYLRPLLMGSGPILGLAPAPEYTFLIYAAPVGNYFKEGLAPINLVVHDEFHRAMPGGTGGVKTIANYGPVLRAQTDAKSKGFTDVLYLDSVHKRYLEEVSSCNVFVVKGGVVATPATRGTILPGITRKSVIELARDRGYKVEERLLSIDDLMGADEVFCTGTAVVVAPVSTVTYQGKKYEFRTGPDTLSQELYTTLTSIQMGLAEDNKGWTVAVE >Sspon.07G0015970-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7A:56791743:56793282:-1 gene:Sspon.07G0015970-1A transcript:Sspon.07G0015970-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding CPWLTCRNTFSLNLYNVSLKWTSATVASASSNSMPVITFCLALLLRMEAVKLRSRSGMAKLAGVALCVAGVFVLAFYAGPALSPVNRHRAFAVAHASNNNHHPSSRMTWVKGTFFMVLANVTWALWIVLQSALLKEYPN >Sspon.06G0004560-4D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6D:11924302:11927516:-1 gene:Sspon.06G0004560-4D transcript:Sspon.06G0004560-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:BAHD acyltransferase DCR [Source:Projected from Arabidopsis thaliana (AT5G23940) UniProtKB/Swiss-Prot;Acc:Q9FF86] MGMENGSTPCPAVDAAVTVTGARTVAPAKNRCTLATFDLPYITFYYNQKLLLYRTAPDFPFPDAVARMTAALADALRVFYPLAGRIRQLDDGALAVEGDEGAEVFEAEALGVAVDDLAGGDCSDEAEKVMQLLVPYTGVMNLEGLRCPLLAVQFTKLKDGLAVGCAFNHAVLDGTSTWHFMSSWAELCRGAPVSLQPIHDRSLARSVRVRLELPASAEAHEKTDPNGPKKPLVARVFSFPAPTVARIKAAANAALPPGAASTKPFSTFQALGAHIWRAVSRARGLGPADITAFAVFADCRARLDPPLPPAYFGNLIQAVFTGVPAGMLLGGPPELAAGLLQKAIGEHDAAAVARRLEEYEAAPKLFHYSDAGPNCVAVGSSPRFKVYDVDFGFGRPERVRSGGNNKFDGMVYLYPGRGGDGGIDVELALQPEPMQRLEKDDDFFLRQAAA >Sspon.05G0029000-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:69314991:69316546:1 gene:Sspon.05G0029000-3D transcript:Sspon.05G0029000-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLARKAPKIASAAAAAPAAPDGVLQHILSFLPAQDVVRTCVLARCWRHMWESTTVLRFVCGGLMEPGSVEEIQEFVDHLLRLCIRKGTPLDTCEFGFVLIDNEDNESDFDMSRINLWIRIALQCKVRVLQIAHCYLVGALLISSQSLKRLTIEHCYFQQDCRMPICTPNLVSLWLEVRGGETPSLQTMPSLLTACVKIHCYDRLIRKDKYSLARFIRSSELGANISAHPTGILIPYKLWLYCSCLYFGFTDLIFRRDLEFCSASFNKLKSLLLNEYWCEPADFSALACILKLSPVLEKLTLQLYSK >Sspon.01G0016730-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:68604945:68607666:1 gene:Sspon.01G0016730-4D transcript:Sspon.01G0016730-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FMN/FHY [Source:Projected from Arabidopsis thaliana (AT4G21470) UniProtKB/TrEMBL;Acc:A0A178V341] MNATPSNCLVIEDSLPGVTAGKAAGMHVIAVPSIPKKTAEFSSADVVINSLLDVRPEKWGLPPFNDWIEDTLPIEPWFISGPVIKGFGRGSKVLGIPTANLPAENFSDVVSEYTSGVYFGWAGLSTRGIYKMVMSVGWNPYFDNTEKTVEPWLLHNFGEDFYGEELRLAIVGYIRPEANFPSLKSLIERIQEDGRIAEKALDLPMYAKYKDSPYLRNTLQLGSTTDDSQAS >Sspon.01G0041570-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:43729605:43731324:1 gene:Sspon.01G0041570-2C transcript:Sspon.01G0041570-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRWWCAAGGLGRRLLWSSTSAVASHARPLPPPLIPKSPSFTVPFSLSCRRHHSLQGFFHPAIASSFRPPVSFRLVSSHLQSAHQQQVRHYAKERSRAPLTPTKSKVKKYKIKAPSSMKFRFRTMKDGQVRRWRAGKRHNAHLKSKEAKRRLRKPALVHLAYAKVIKKLNFCG >Sspon.03G0015850-2P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7A:50141557:50142747:-1 gene:Sspon.03G0015850-2P transcript:Sspon.03G0015850-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVDTFLFTSESVNEGHPDKLCDQVSDAVLDACLAEDPDSKVACETCTKTNMVMVFGEITTKANVDYEKIVRETCRNIGFVSADVGLDADHCKVLVNIEQQSPDIAQGVHGHFTKRPEEIGAGDQGHMFGYATDETPELMPLSHVLATKLGARLTEVRKNGTCPWLRPDGKTQVTVEYRNEGGAMVPIRVHTVLISTQHDETVTNDEIAADLKEHVIKPVIPEQYLDEKTIFHLNPSGRFVIGGPHGDAGLTGRKIIIDTYGGWGAHGGGAFSGKDPTKVDRSGAYVARQAAKSIVANGLARRAIVQVSYAIGVPEPLSVFVDTYGTGTIPDKEILKIVKENFDFRPGMIIINLDLKKGGNGRYLKTAAYGHFGRDDPDFTWEVVKPLKAEKPSA >Sspon.05G0013190-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:42087854:42091408:-1 gene:Sspon.05G0013190-1A transcript:Sspon.05G0013190-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSLTTTGDQKRDDGRKLYLESTIEAFILANQSPYVDMESIRRCVCIVYDPSRSSQGVLALKALKLTDSFMDLYRNNGLTGEKLREKKLSWVDIFEEIPIKVSNSALVSAFMKELEPESPVTQCDFDRLKLSTAPFMERNLEFLIGCMDDLSSEQNKFQYYYRNLSRQQSQQQAWLQKRRQENMARKAAGEEPLPEEDPSNPIFKPIPEPSRLEGYLVTNQISSYCNHINGVAGQNFNRLYLMKALHED >Sspon.02G0006280-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:12614133:12616815:-1 gene:Sspon.02G0006280-4D transcript:Sspon.02G0006280-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GALPCLFLVLVSSLSLALVPRAAAYSEYSCNGTTGNFTAGSAFATNLARLVAALPGNASSSASLFASAAVGAAPADTAYGLALCRGDVTDPRVCSACLADAFARLRRLCGGDRDATFYADLCTARYSGGDFLARPDDNSPVINALDVNGSTYYGWDARNATSRTLFLSLVGTLFSEMAMYAAYNSSAARMFASAAMYVNPQLPTVYGFVQCTPDLSRAQCWDCFQVLQDQNRRWYDGREGGRILGVRCSFRYEAYHFFNGMPEVRIGLKSDPSSPAPESQGSNHRVVLIVALVVSITVFSAMVAAGLVMIRARRKRTGKQTPTADKSSHWGGENLQSWIKNCTTNWKNTPPPNAEKKRKLQLEAQSRNSSATEDALKLWRIEESSSEFTLYDFPELAAATGGFSDENLLGRGGFGPVWQLWREGRVFELIDPTLGECGDAATIVRCVKVALLCVQDSAADRPTMADVTAMLQATGPLPDPKRPPHFSLRVATAASSSSDDDGGSGLRTRTQCTTSCSTNDLTITSIHEGR >Sspon.04G0022940-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4B:9494030:9494876:1 gene:Sspon.04G0022940-1B transcript:Sspon.04G0022940-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LTCSYLEGPSKIIEPALDPTHPSSADLNPPPNRAALMAYTTSPSTSTAAAVTWAFAAATCVKLLLVPTYRSTDFDVHRYWLALTHALPTRQWYTDASSQWTLDYPPFFAYFSRLLSLPAPLVDATLVSVPVPDTPPFAHLLYLRLTVAFSDLLLLGSVLLLARDARRKQRPFLALALVLWSPALLAVDHVHFQYNGFLMGLLLLSLHFLEQGWDLTGGVVFACLLCSKHLFLVAAPVYFMYLFQHYCCGRGIVKGLGRLVLMGSGVAAVFAAAFVPFVYYGQ >Sspon.01G0019230-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:69621145:69626324:-1 gene:Sspon.01G0019230-2D transcript:Sspon.01G0019230-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVLGAFVPDTAVRWRGLVTGEVARRMGVAPEAKTLVARLERVGAAVRDAEARAACGDDGAARWLANVRAAAYEADGAVDRCRVAARRRRAHDQQQSYHHQARSPLRFIWRATITALLQGRLQLKVILKEKHRLQLRSSLGDHHSAPVRTAHRLRKPERTRTSDADIVGSRIEDDAAGLVRQLTETDGRTGCTIIAIIGPDGIGKTTLAKKVYGSERIRHAFGARSWVRIPREYNEAALLSQVIDSFGGDTTDGESFADLERTLVRLVEKKRSLLVLDDVRYGGVWEDVLRRPLERAGRGSKVVITARHGSIAREMGAGHVHRVKKLGIDDGWLLLCTAASIVDEATAGELKGVGEGIADKCGGVQLAIKAVAGVLRTRDATTQEWGEVLASPAWLVKGLAEDAMKPLYLCYDDLPCHLKQCFLYCSLFPSDLAMDRRVLVQLWIAEGFVQIRADASVEEVAEGYYDELITRHLLQPAEEDEHGGAAWCTMHDMLRALAQLLAHSEELTGDSYRLLVDSGAAFAPRRVSLPGRNLAAIPEKILKLERVRTLLLQKNPLTIEGSIFTRLQHLKVLDLSETAVELIPENLGNLVYLRFLNLSHTRIQAIPESVGNLWSLKFLLLRGCKTLHALPKGIEHLRGLRDLDLAGTVINDAAFRVGHLRSITSLRCFTVTSKEARAAHDRSGWPLDELKNLSQLRTLHIQKLEKAANRWEATEMLLDAKKGLRELELSCSSTVRPLQTPELVRKIEDIFEEMNPPLCLESLKLVNYFGTRFPRWLSVTFLPNLHDLDIIGCNFCQSFPPLGRLPELRSLYIADSLALKDIGAEFTGTEHPHQVPFPKLENLHLQGLQKLQTWTDIEPGAFPSLRQLQLESCPNLQNLPVGLRHVTSLTKLHIADMASLEAVDDIATLRELSVWNTPNLKRISNLPSLEDINMCHCPMLESVENVDGLQTVHIFDHDLRDMPRWIEAHASKLRSLNFTSTVELLKRCLVDGPDWPVIKDIKEVHGYSTGSNYIYYNRSPYIFESNVNDEDNLCVRENEADPDNVDDISVSSSGTGYLEIRGFFDSKVLKEGTTRAEEILSGRSVGRTMLGFTHRRLHKLAPEDDEVEDRTDSAMLLPSNPTRATPSAAKVGCVMTEYGNDPGSLPKATSYESQAITNDEICHDNIVTSAFPRQSMSKTAKDVSSKPSTDGYATLTKSAAPIGHNLVRQGSQAINSTEIGQGSNFSSIQRKEHTSKKGEGINADVTVAKGISLVHSRQVMTSNNGKDDFADNAAATICSSDIKHIKGQTATSANGSINATPMPENPSDKEGPEKSAGVTGSSFIHEASHTVFVNETTRDLASSLIHSKQKMSDKEGEVSDALGPANAVDNNGNQMEDGNISSSVKLNHKESKALGASTTNCDTGPCKLLASLACSNQQTMKTLQAASADQNDGTDASSKKKSLGMESKITETARSRCAVESVKYSSGETAKNISRSLAKTADPTSHPIDITEAAMRKAKATTVRKHSMNEAVNDDRTDKDAPCSIDAKADDSQQAPKVHTAVWVDTDTDALRARLVDSMRHLRRMASRRRHRQRKRGSNNRWSIGPALVVILLLVSV >Sspon.05G0003230-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:9644561:9645995:-1 gene:Sspon.05G0003230-1A transcript:Sspon.05G0003230-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHAHHPESGADGRDALMREEISTFYDSEDSADDRYLEDPIKYDLSVWLAELDDATDDVAQIPPPFIVYRRDLLEKGWGWQRLVPYCHGNVDWTTFKEYLKEYFIQNAGEVAALCANKKPEKDKDYFCRITGGVKVKDKSIHSHNVSGFIQGVVADMLLISTLPPMHPSNIILSQKIEERANYVIQSAGVYANAAAALVCIVKETALLSDLFDCKFDTTGMVNLSKKVRQSACELMLYEGPESAAAAGAML >Sspon.01G0039590-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1B:35712082:35712264:-1 gene:Sspon.01G0039590-1B transcript:Sspon.01G0039590-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKQRGHLGLMMVAVKGGGGAGSRVEVVVVLLALLSVERGSSGGEGLGVGGVISGGEGLC >Sspon.02G0055070-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:125755093:125755305:1 gene:Sspon.02G0055070-1C transcript:Sspon.02G0055070-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DVIVNHAFALPRIRRIQRRQQSGAQSLLHSLPYPLLSGPRNYSHNCPGEERNTKQKKQKVPRLYNKLLPAL >Sspon.05G0038820-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5D:54375399:54375718:-1 gene:Sspon.05G0038820-1D transcript:Sspon.05G0038820-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRKLCMSKLLITHKVRQVAVVRDSKTLSLVRRSAPPPASVASWSIWLCSGGELMSVVDVAVLYGGGFCADDLFPSLWFVDVVTGLTH >Sspon.02G0020780-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:67655787:67656699:-1 gene:Sspon.02G0020780-2B transcript:Sspon.02G0020780-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SPTLNGLQELEFHIPEVDFTLSLYPPPPASIFRFSSTLCIVSFGGCRLPDIMVNKLQFPNLRQLTLFDAIISEETLHAMLDSCPALEILLMKYNEEELIIEDAPLLERLIIFKRYSRLHISVVSAPKLETLGSMVPMHTVKVLSVFPFGLCLDDVLNFIRCFLCLEKLYIQLVMFLSSIYGSHIQC >Sspon.05G0027370-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:44982596:44982895:1 gene:Sspon.05G0027370-2C transcript:Sspon.05G0027370-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SCCFCWWWWWCLPPCRRLLRRRRRAAPKWLQASGGHRRSCRSGRDSMAADGGRCSTGGRPAGACREGSACRRLRPAGTSTTTPSTPACAVTMAVAGSHDT >Sspon.02G0030140-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:110907231:110910228:1 gene:Sspon.02G0030140-2C transcript:Sspon.02G0030140-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIINGAVLPGYHAAPVTGAAAAASVADARVSGHLQLLRRVRVRGSGGAGLLQATTPRSGVVARRFFSLSPPQQHGHGRTIGWRPARCTLGGSSSDGDGAAAADFDASGEEFVDSSVMEAVELRSVSDGGFVIKMRDGKNLRCVQNNPRVLRLRDSAPHHAIVLKMEDGSDLLLPIIVMETPSIMLLAALRNIRIPRPTIYNVVLEMTARMGYEVRLVRITEMVHDAYYSRLYLAKIGNDQDTISFDLKPSDAINIAFRCKVPIQVNRRIAYSNGLKVVQPKAAESYVGSDDIQITRLDRPDDQHCSEAQEFDLVRNMLIAAVEERYKDAGELLRTCTTAMLKILNTETNFSRCGQRKRTQYSRPRPLYMKHPEMGAQFNLLGLDGGVHRRPFAEAHEASANI >Sspon.06G0007530-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:25906040:25909995:1 gene:Sspon.06G0007530-1T transcript:Sspon.06G0007530-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMEGPVLCRPAMQAKLPAAAALVNNSLTKSGQLGTALFGAISKYRNISRFISPISQPSTKNIGPCCSFSSSSDGNGYMAGNFSESDEDYVNSTVLEAVEVRSGSEGYVIKMRDGKNLRCVHNNSQGRNIPESAPQPAIVLRIEDGSGTLLPIIVLEMPSVLLMAAIRNVHIARPTIYQVVKEMIDKMGYEVKLVRVNKRIQEAYCAELYLTKIDDPTDSITFDLRPSDAINIAVRCKVPVQVHRSLAYSDGIRPVEPARMAVAAGLSDGLLFTELDRPDGQPCVEAQEFGLVRNMLIAAVEERYKDAASWKDKLMRLRSKRKNWA >Sspon.03G0034170-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3C:66095574:66097443:1 gene:Sspon.03G0034170-2C transcript:Sspon.03G0034170-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQDGDVVLLHLSGNGQLAHLEVGFWSWLGMQWATSRPTRCRAWGAGLRGSPGAVTASMVAHGCVVVAYNDALGPELRQVLFGHDLLELFALPLETKQRNVSAKAHFRGYITTAEPVYESVSFDGATDEGSIRDFTNLFWPQDVTADLSSDMMLRFGNNLLKLQQIVSKMTLEGLSVPEEKITSHLGSLTHTLRLCHYGVQSDTRSGLSMEHRDFNMSTLVVQHEVEGLEVQAKDGSWNLIRPEADTFTFQAGDLLTVVTNGRVPASVHRVSGRRATASASHCFWQLV >Sspon.05G0008640-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:17564193:17568990:-1 gene:Sspon.05G0008640-3C transcript:Sspon.05G0008640-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASTSPLLLLALLLLPALTAAASLPALPLSTASRWIVGADGRRVKLACANWASHLEPAATEGLARRGVSDIAARVAAMGFNCVRLTWPTYLATNATLASLPLRWSLERLGMLESVAGVRVNNPALLDLPLVDVFREVVSSLASKGIMVILDNQMTTPGWCCSRTDGNGFFGDVYFDPDEWLKGLSAMATMFNNTKYVVGMSLRNELRGPKQNVSLWYRYMQMGAEAVHAANPNVLVILSGLDFDNTLSFLFKEKVHLSFSGKLVYEQHWYGFSDGGNWETQNQNDACGMVVDFIWAKGLFLLQQGWPLFFSEFGFDMSGTHIGDNRYLTCFLSVAAEMDLDWSIWALQGSYYIREGILAYDESYGLLSWDWCTVRNPSFIKRINSLQSPFQGPGLPNSQEPYNIIFHPQSGLCVLARSSKLLELGPCDESNAWNYTSAYELVVKSTGQCLQAKSVGNNAKLGTDCSKASSKWHRISNSKMHVSAELTKNGTRVCLDTSPGGAIITNQCKCLSVDPACDPESQWFKVILSSRDIPGGDPILQLPSLGPWPPTSLSS >Sspon.01G0024150-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:92252244:92258376:-1 gene:Sspon.01G0024150-1P transcript:Sspon.01G0024150-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDCPLPVLVDLREKYQSHTTQVLQHGVFDIAMEYLMYQRRFGLRMGAPKQRWTSEEEAALRAGIARHGVGKWRTILKDPEFSSTLCYRSNVDLKDKWRNMNVIVSTSSSRDKAKTAVKRARTTPKNNEHTMAISRVTSDIDDEIVDEKPIASLPSEAKNTSNSKKSHSRLDNIIMEAIKNLNEPTGSHRTTIANYIEEQYWPPSDFDHLLSAKLKDLSTSGKLIKVNRKYRIAPSSPNSEGRSPKMLLLEDVQREPVKIWSDDSRTLTRSQVDAELARMSTMTAEEASVAAAHAVAEAEAIMAEAEAAAKEAEAAEAEAQAAQAFAEAAFLTLKNRNAAKLSTAS >Sspon.08G0013790-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8A:57315485:57316789:-1 gene:Sspon.08G0013790-1A transcript:Sspon.08G0013790-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIELLRSTSKCHHKGLTMWPSLSHTSHQPAPTPPAPSIQASASSFLLPLCSIALYILCDWAMSTCVNRCIPHKAWTISFASFLVARMLFLFQEGRNCLVPILSKRFSSRILCPYRPRNLVTKMNAKRIAFPLLYQFQIFFLDTHCGFHGNMGWEVSTDHARKLSSVLHRFASLGGAELGSNETWHQPAMPLDP >Sspon.04G0021610-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:1554391:1559547:1 gene:Sspon.04G0021610-1P transcript:Sspon.04G0021610-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDRPMDVDASEVLKVLKSTSADKADDLSDALRRFAVHMDEDIILTVLQKQRSNWQVALAFFNWAATLPGYAHGSRAYTEMLDILGRMKKVRHMRQLFDGIPEERRGAVVTNKMFAVLLNRYAGAHKVQEAIEVFYLRKDYGFELDLVGFQILLMSLCRYKHVEEAEALFREKKDEFPHVTKSWNIILNGWCVKGSWRDALRIWNDIIASKVERDLFTYGTFINALAKNGRISAAVKLFKSMWEKGINPDVAICNCIIDQLCFKKRIPEALEIFGEMNDRLCQADVATYNTLIKYLCKIKRIEKVYELLDEMEAKGCSPNNRTYSYILKTTEKPKDVIALMQRMQQTGCELDSDTYNLILNLYINWKYEKGVQQVWDEMERRGSGPDQRSFTIMVHGLHSHGKLDEALQYYTTMKSRGMIPEPRTKILVKAMHMKKDGAATEDDSTRHFLLTSLLLDTMKATAKSTGIEGRIVNLSSVAHHHTYPKGIDFDKLNDEKIYNDKMAYGQSKLANLLHAKVCDKKLPCGVHRCKVNCHDGECPPCLVRVE >Sspon.08G0003720-1P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8A:11593950:11602491:1 gene:Sspon.08G0003720-1P transcript:Sspon.08G0003720-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:30-kDa cleavage and polyadenylation specificity factor 30 [Source:Projected from Arabidopsis thaliana (AT1G30460) UniProtKB/Swiss-Prot;Acc:A9LNK9] MDDGDGGLSFDFEGGLDSVPAAGGGGPVPSSTDPGAGGGGGGDGPGMHGRGRGRGSYRQTVCRHWLRGLCMKGEACGFLHQFDKARMPVCRFFRDFGECREPDCAYKHSYDDVKECNMYKMGFCPNGPNCRYKHIKLPGPPPSVEEVLQKILQMRSFNRYGQNRNNNYNQQGERPQHPQGSGMPNQNSAENATAAAPPAGGQQAQTLNQQPPQQQQKPNTNDQAQGVSNGHQATRIATPLPQGPSRYFIVKSCNRENLEISVQQGIWATQRSNEAKLNEAFESTENVILIFSINRTRNFQGCAKMTSRIGGYIGGGNWKSAHGTAHYGRNFSMQWLKLCELSFQKTHHLRNPYNDNLPVKISRDCQELEPFIGEQLASLLYLEPDSELTAMLIAAEAKREEEKAKGVSADEAADNQDIVLFDDNEEDEEEESEEEEEGNGQESQGRGRGRGMMWPPQMPMMRGPMMAGRGFPPNMMGDGFGFGGGFGMPDPFGMPRGFPPFVGPRFPGDFARGPMPGMGFPGRPPQPFPLGLDMMMGPGRGPLMGGMGMGGPGRPNRPMGMAPFMPPPPPNNRAAKREQRRPGGDHGDRFETASSDQGSKGHDNTGNSGADGARAQSGDSLHLRLMPSVTKLFHLANDIHLTSGQTDSFLRSFPQAPLVQRPYPIVPSNANSGPVRVPRCSLRRFLPTSPCPSAHPTPSLPLSVPHIAAPPPRCAHPYNLLHATSPCCLLRAAFPTRPGLPRPPPPRCLLATAGPLARPPSPSRRASTMDAPVSRQGGRDRRVARAAATQRALCTAVDLRTSVPPFVQANPMLQEYVLTSPRCLLLLHYCIKLNRGGQNRLTEVGKPTAFVKKPTSEPVFEPIGKPNPSPRARSMPMPPSAALHAPVAASPRFAPSRESCHRICLCSTGFASAPPDLQRESEGDEEREERGTGICVPAAPPDRACCPRPCSLRVHLRGTARLTTAHPSPCRSPPRPPRHSPPRTPH >Sspon.03G0009660-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:40965539:40968746:-1 gene:Sspon.03G0009660-2C transcript:Sspon.03G0009660-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVNGWELITEGGILKLHRAWKVKNFVKGLEFFQLVAAIAEEQGGLTSNDFILAAKINDLTLEGIIRKKAT >Sspon.07G0016770-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:89683229:89687127:1 gene:Sspon.07G0016770-1P transcript:Sspon.07G0016770-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LSYSSLLFTTLALALLCSQLTIAKEQYHEFVVKEATVTRLCVTQRIMTVNGEFPGPAVEVAEGDALIVRVVNRGSYNVTVHWHGVRQMRTGWSDGPEFVTQCPIRPGNSYTYRFTVAGQEGTLWWHAHSSWLRATVHGALLIRPRAGVPYPFNGGKPPAREIPIILGEWWNMNPIDVVRTATRTGAAPNISDALTVNGQPGDLYKCSSNGTTTFAVKSGETNLLRFINAALNTELFVSLAGHTMTVVGADASYTKPYATSVLMIAPGQTTDVLVTFDQAPGRYYLAARAYASAQGVPFDNTTTTAIFDYGATNTSSPAMPTLPAYNDTATVTAFTTSLRGLRKAELPSRVDESLFFTVGVGLFNCSSGQSCGGPNNTRFAASMNNVSFVLPSTTSILQAHHTGGGAASAPGVFTADFPANPPVQFDYTAQNVSRALWQPVPGTKVYRLKYGAAVQLVLQGTNVFAAENHPIHLHGYDFYILAEGFGNFDAATDTAKFNLDDPPMRNTVGVPVNGWAVIRFVADNPGVWLMHCHLDVHITWGLAMAFLVEDGVGELESLEAPPADLP >Sspon.02G0014330-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:38120567:38135850:1 gene:Sspon.02G0014330-1A transcript:Sspon.02G0014330-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVRITMLLFLAFSYEVALGVTENKVEKFHVGVVLDLGTPVGKVARTSISIAVEDFYAVHPNYTQIVFHVRDSMSDDVQAAAAVIDLLEKYNVQAIIGPQKSSQTVSWKYVSSPNYLIHSKKRISIFPLPSIFCSSNRIDVHVPYRSVIDESATGEQITQELYKLMTMQTRVFVVHMSPSLGSLFFTKAKEIGMMSKGFVWIITDRLANLIDLLNPSVVEAMNGALGVESYVPKSTELDSFTMRWYMRSRNDHPNDPTLKLNIFGLWSYDTIWGLAQAAEKAKVTKAKFLRQAKFLRPPALKNSTSLGALKNSRNGPAILKALLQNKFEGLSGYFDLSDGQLQVSKFQIINVVGQARRVIGFWTAQNGLSQQLDQRSNIKYRNTTHDPKIVIWPGESTKIPRGWEIPTNGKKLQVGVVTGNKYQKYIDVVEDSITGVIKASGIAIDVFEEAVKRLPYALPYEYVVFNITKNSSSSYDDFVNQVYLKKYDIAVGDITIRYNRSLYVDFTQPYTESGIAMVVPVRESINKNTWIFLKPLTPGMWIGTIILFIYTGIVIWLLELLGNNKAIHGPVPRQLATMIYFSLFEEKEKVKRLISRIVLVIWLFFLLVLKPSYTASLTSMLTVQQLHPTVTNVEELLKAGECVGYPHGSYIKDLLEEIGFEVSKIKPYESPEDFHDELSRGSKNGGVAALVDEIPYLKLFLAEHCKGYTMVGPIYKNAGFGYALQKGSPIIGDISQAILNITGGDTITWIEKKWIGDQNNCQNVGTISGSGTLTFDSFAGPIIATGVASTTSLVVALTIYFCKSKQVEYENGDSDDILPQGEIKDGGDSDDILPQGEIKEGGQEAGAIEMHEQTNKLMYSGSLVIYRCERISSLRAAR >Sspon.08G0011580-2P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7D:33580394:33581570:1 gene:Sspon.08G0011580-2P transcript:Sspon.08G0011580-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSPMMMSPKKKAHEDVEHDHNKVVVEDCSTSRSSDDKNDHITRSLDKKNDNATSDASNDSTSCTLDGEDDGYESDAQQAHQYHHMLSNEETKTSESSSFGSNDQSHITNPCDVGKKHVSTSCDDLLDMPCSSQLNVCSTSMSCETNLLKENNELKSEVKNLSNKLERCYNSKVTFEHIMKTQRNLGDKSGLGFKKKLTRGERKQEKRMKRLLQKKLSHSMCYRCHEAGHLANGCPNIEKLKKMKEEERLKHVKCFKCRTWGHLTSMCPTKQLVKQQVKPQPKPLVEQEDTPRANQDQP >Sspon.08G0017890-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:4791433:4792717:1 gene:Sspon.08G0017890-1P transcript:Sspon.08G0017890-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWRILKVTLSLPTLLGFLLVAPGADASPRNPEVFGRRHKLHSPINETTANLIRGFIDHVSAVGGAADPTYFAFHGAEATPEGYYGFIASLDVYGFPDLKQGQGTAGSVWVVDEGNGAQANAKAIIIGWNDDGFTKTGCLNTKCAGFQPEKGAAIAPGDVIQNVSPPKGGGNKQNLNLKVVKQGGASGDWVVHAGLNREPAPIGRFPRSLFTGGFAEKAAAVRFGGMVTAPAADNPPAPMGSGYLPGASAASVTGVQLVGPDGRASPVTSDLPKLESRPEAYAVSPVENGSFFYGGPAKAPTARGVIN >Sspon.06G0025150-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:59692112:59693592:-1 gene:Sspon.06G0025150-1P transcript:Sspon.06G0025150-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQFAAATAVLGCLLALATLASCNTEGDILYEQRQIWKDPNDVLVSWDPTLVNPCTWLHITCNNDNSVIRVDLANAGLSGYLIPDLGGLKNLQYLTLYGNNLTGPIPESLGNLTSLEGLELQKNALSGAIPSSLGNIKTLQFLKLNANMLTGTVPLEVLSLVIAGNLTELNIANNDLAGTVRSSGLRVTAIIQDKLKTT >Sspon.05G0000900-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:3058656:3059468:1 gene:Sspon.05G0000900-1A transcript:Sspon.05G0000900-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYAHASRHRGGGMPATTVLAAKVALASAALAAAASLARLAVPRLVSVAGAVLPRAWAVGRLWLVPPYLFVTVHLIILVIWKLSDHRHFQQAAQHKDPWPVAQPQHTAPAAVHGHAAEVAAAPAVKAKEDFGSPAGYGEPLEAEFSPDSGGGESCVTTESDEDASSSPSPSSYVADVRRSLAPAQERAVLLEREPSLPSQAVDADGDDDLDATWNAIMQKTRPAAPPPAQTSPKQRPPPPRARDPSVGAEEMNRRFDDFIKKNRNSFGRQ >Sspon.04G0001250-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:4792703:4794454:-1 gene:Sspon.04G0001250-1A transcript:Sspon.04G0001250-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDYWAASGPLGTFTWASKQPAQQSVAFINPPTHTRSSFGAGEPPPPPPPQQPESLVRSSEHHSSGSAAAAMGKVHGSLARAGKVRGQTPKVAKQDKKKKPRGRAYKRMQYNRRFVTAVVGFGKKRGPNSSENF >Sspon.05G0020630-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:82967722:82971530:1 gene:Sspon.05G0020630-4D transcript:Sspon.05G0020630-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAWAVLAVLLVAAQVASAAPVTAPAFLWAPKNYGWCSREDTQKSVDVAIVFIGSKLQSSDISKDKQVDPALADTLKLSLTDSEFSMAFPYVSTSDDEKLENSLLSSFAENCNSGFGRNRITYTDTCTVSGQDVKKYHNMDAIEDLVRYGVGSRRINPSEQTDIIVFCSSGFENFFTPKSEGELLSQLVLLLEQSGAKYTILYASQPSGLLENPSSLPLGRYLAEKTTGTKTGQGKCDGECLVKSTLLEGTFVGIVLLIILISGLMCMMGIDTPSRFEAPQES >Sspon.05G0021560-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:5A:90630753:90631304:1 gene:Sspon.05G0021560-1A transcript:Sspon.05G0021560-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable serine/threonine-protein kinase PBL25 [Source:Projected from Arabidopsis thaliana (AT3G24790) UniProtKB/Swiss-Prot;Acc:Q9LRY1] MVEVLMLSMLHHPNLVSLVGYCAEGDQRLLVYEYMALGSLEDHLLLLRGDDDGDGSQEQHAPLPWRTRMKIALGAARGLEYLHENTVIYRDLKSSNILLDEDYSPKLSDFGLAKLLPAPRTDSSSSSSSSSSLGSNKVMGTYGYCAPEYLRTGKLSAKSDVYSFGVLLLELITGRRAIDASRPD >Sspon.01G0019340-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1A:72502532:72504099:1 gene:Sspon.01G0019340-1A transcript:Sspon.01G0019340-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AYSTVGTPDYIAPEVLLKKGYGMECDWWSLGAIMYEMLVGYPPFYSDEPMTTCRKEHPWFSGLEWDKLYEIEAAYLPQVTDELDTQNFEKFEESSDNVQCSAKTGPWRKMLSSKDLNFVGYTYKNFELVNDHDVPGMGTIHPKEERERERERRRSSLKTKQPPPKEASGNQPQSLN >Sspon.01G0004750-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:12798103:12801393:-1 gene:Sspon.01G0004750-1A transcript:Sspon.01G0004750-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein CutA, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G33740) UniProtKB/Swiss-Prot;Acc:P93009] MAGEARKVEAELQLSALAVEAALVRVGARGLSSTRMESASTTVPSIVVYVTVPNREAGKKLSHSIISEKLAACVNIVQSDAEELLIIKTRESLLDALTAHVKANHEYDVPEVIALPITGGNTKYLEWLKNSTRDN >Sspon.04G0019410-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4B:71762240:71763030:1 gene:Sspon.04G0019410-2B transcript:Sspon.04G0019410-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ASNGDVFEKRHDELDFEFLGNIRGKPWRVQTNVYGNGSVGRGREERYVLPFDPTTEFHRYSILWTPAAVAFYVDDVPVREVRRSDAMGGDFPSKPMSVYATVWDASTWATAGGRYRVNYRYGPFVASFTDLALLGCRVDGPIAIQQVPPAVSRCAADEEALRASDVAVMTVEKQQAMRRFRERNMVYSYCYDTLRYPAAFPECDVVESERRRFKDTGHLRFALRRRMGPRRSGGRAASRAAAAAAAASRDRDRELKKRAADM >Sspon.01G0051790-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:18204595:18204822:1 gene:Sspon.01G0051790-1C transcript:Sspon.01G0051790-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAHFLPPLAQLLFFSLESRLGVAEWEQRRRGSVRCRRRLRTRRRQLPPISAPSSSKAGQYTPSPPSFPNLYSLA >Sspon.02G0007670-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:22274335:22280576:-1 gene:Sspon.02G0007670-1A transcript:Sspon.02G0007670-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAMELEILGMNFGCVLAALADAKIPDKDCLLPLISKLLGYAIVAASTTVKLPQMISALPVLCYGITASALQSQSVHQITSSRSPASAFIKLSRDPSSLMVCSPQIQAIRIQSLQGNQNLKAIEMSKGKRRTSGAKLDIMGMSIGCFLSCPLDANNLLPFISKILGYSIIVASTVGKLPQILKILKHGSVRGLSVASFELEVVGYTIALAYCIHKGLPFSAYGELAFLLIQAIILVAIIYYYSPPMGTKTWMKALLYCGLAPTVLAGKIDPALFEVLYASQHAIFFFARVPQIWKNFTTKYAARQDTVPE >Sspon.01G0044990-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1C:81924505:81926506:-1 gene:Sspon.01G0044990-2C transcript:Sspon.01G0044990-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWALALHGGAGDVPRTLPPESREPRLATLRRCLDIGTAALREGRTALDVVELVVRELEDCPHFNAGRGSVLTSDGTVEMEACVMEGATLRCGAVSGLSTVANAVSLARLVMEKTPHIYLAFDGAEAFAREQGVETKDPSHFITDHNIERLRQAKEANRVQIDYTQPMKGQNTQAPEDDNSQTGTVGCVAVDAAGNLATATSTGGLVNKMPGRIGDTPLVGAGTYANALCAVSATGKGEEIIRHTVARDVAALMEHGDALPLRDAAAHVVAGAPRGTVGLVAVSRQGDICMAHNTTAMFRACATEAGHTEVGIWTDADDDADGKTVSVAF >Sspon.01G0054900-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:71095808:71096563:1 gene:Sspon.01G0054900-1C transcript:Sspon.01G0054900-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVANAENTSSLLPLDGRVALVTGGSRGIGREVSSQLAALGAAVVINYASNSGKADELVAELTSRGQRAVAVRAAVSDPDAVRALFDRAEEAFGSPPHVVVASAGLLNPKYPALADTTVEDFDAMFAVNVRGTFLVCREAARRVPPNSGGRIVTFSSSIMGTLLPGYAAYTATNGAVEAMTRILAKEVAARGVTANVVAPGPVRTELFFAGKDEAFVRKVEERSMGRIAETTDVASVVKFLVSDAASWVNGQ >Sspon.08G0015180-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:55614895:55626568:-1 gene:Sspon.08G0015180-2B transcript:Sspon.08G0015180-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTWELTELTNGTRRRLYADGPPSTAGNSSRTEGTGESSRSPLVLNPHPPLLGTRVARKAPNTHETAFALRKLSLTKAKRYLEDVIAHKQAIPFRRYCGGVGCTAQAVSPLKWAGTLACQMSSQCHIELILSEKEEPVKKDVMGRRCRYGLRHRVVRQPRPPVLLPARPGSLARDHAEIGVWLTTTLFTGMFSWRVAVLVPWSVVGAGVCFMGDSTLFRSISHSTSAVREQGKAMDVIKIEWRRPAENAGYLQRIEQSRATAAGNAARRSTGDNAVRCTSSRSLASPASLSFSVNTADLGRSAASDPDATGALAPPREHERGTARAGEKPGVWARRAESRQMRLVEGKATGAHLTVSSGSSQQVSNNAGRR >Sspon.04G0016560-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:69520979:69528376:1 gene:Sspon.04G0016560-4D transcript:Sspon.04G0016560-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAALLLALLLAAVPLSAAGGDAHPGYSDEGTCTVDAAAGAGVGAELRRLEERGGRGRIIDITHAYVPDLPAFAPGAVTRPVVRLKESMADGSEYNLSELRMECHAGTHVDAPGHMNQGHFTAGLDVDTLDLDVLNGPALLVDVPRDTNITAEAMKFLNIPRGVRRVLFRTLNTDRKLMWRKGGDMSYVGFTEDGAQWLVDNTDIKLIGIDSLSVAAFDYLISAHVVFFKTPDIIPVEGLKLDDIEAGIYMLHCLPLRLVGAEDMLVWTIKKQQYFHQENTFGKPRPPKPWRPNSSVAVTLLLRWQSSSCLLDCVAPSPSGRLDCELVAMSAAPLMAMLLLASTLAPRVPVARGSGTSAAHPAYADAAAYGLAAVSAVEAGLEEYGGGRIVDITHAYRPELPFPGRDGLGAVTRLTESMANGSVNNVSELRMVVHSGTHVDAAGHMVQEHFEAGLGVDKLDLDVLNGPALLIDVPRHTNITAQAMESLNITKGIRRVLFRTLNTDRKLMWTKEIDTSFVGFTEDGAQWLVNNTDIKLVGIDYLSVAAFDHLISAHLVFLNRRDIIPIEGLKLDRVKAGIYMLHCLPLRLIGCDGSPIRCILIK >Sspon.03G0032080-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:34693410:34694518:-1 gene:Sspon.03G0032080-1B transcript:Sspon.03G0032080-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEALISPGNACRLRSALELKPFAFGDQRLASPPGYLNNLASLGGGGGSDDALFRCSSPFSPSFGFSSPSPLATSSVSVSLSPSSSASLVDDCDDAADADAVATGHRLQLARLALQYQEVADRYELCLARLADAADEAAALHRENAELRVANADLTRRLALLSGIGKQAAAAAIADEVRRLGFGDHKHAAKECAPEKPAVLPKSISVRSSDYLKMNKPKKVQAPATPAANNRKHHASNPTTNPSSQRVYKGNGGDKKGEEPEEPPHTAAGGMELDGELEVYNQGTFKTELCNKWEETGACPYGDQCQFAHGVAELRPVIRHPRYKTQVCRMVLAGE >Sspon.02G0029770-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:108293234:108294582:-1 gene:Sspon.02G0029770-1A transcript:Sspon.02G0029770-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLLPLQACASCPYLLLLLALLPCCLVRLAGLAAAQSHLGAEVDEAQLLLQIKSAWGDPSALEGWKNTSAVGGHCSWRFVRCDAAGRVVNLTIASGSVKGPFPDAVGGFTALAYIDVSNNSISGAFPTTLYRCASLEYLDLSQNNFSGELPSDIGRRLAKNLTALFLSSYTATDSAAPSQRILLPTSFKNLSKLVLLDASQCNLVGDFPSHVLEMSELELLDLSMNALTGSIPPGIWSLKNLQGLFVYRNNLTGEIEVVDDFAAKGLIYISISENYNLTAGSIPEAFGHLENLTDLVLFNNNFSGEIPTSIGRLPSLYSLDLSNNRFNGTLPSELGKHSDLNYVYADDNELRGTIPEGLCSRGQFQHLSARGNQLNGSIPTGLASCPTLQKLALDDNQLSGDMPPALWTTSQLQYLTLRNNQFTGNLF >Sspon.07G0025960-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:49552908:49559023:-1 gene:Sspon.07G0025960-1B transcript:Sspon.07G0025960-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAHPLLSSAPVCWRLPPVGAVGEGNRVPRLRVASARPAARAGGGRVRSLSVRSEQGAKGGGGGLDVWLSRGAMLGFVGAVTVELTTGKACFSV >Sspon.01G0046890-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:100457033:100466628:-1 gene:Sspon.01G0046890-1B transcript:Sspon.01G0046890-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Outer envelope protein 64, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G17970) UniProtKB/Swiss-Prot;Acc:Q9LVH5] MASSGAAANLWVLLGLGIAGVFLAARRLRRPARPDHGAFIARLELLPPPQPPPPQAPHPLTGLCFAIADALHVSGYITSFGSLEWAKTRNAEVQTSPVVSALVNGGAICVGKTVIDEMAYSIHGENKYFDTPTNTAAPDRVPGGCSSGSAVAVAGGMVDFALGWFAREPSVLHRVGHLLLRLPYAGIRQPRNFYIADDCFELSKIPARRLTQVVTKSVEKLFGRQVRRVNLENYMSSRISGLSNYSNGHKNGDSKFPLLLALCNAMRSLHKREFKDQHMEWINSVKPAVDARIVSDLSEDGDSDIDGCQNVRKEARSALSELLKDDGILVIPTALGCPPKLNAKELSSESYNSQTLCLMSLASMSGCCQVSIPLGTHDKCPISVSLIARHGGDRFLLDTTQTMYTTIQEQVEILAKSSVSSKQAMNEEAAEAAKEKGNAAFKEKQWQKAVNFYTEAIKLNGKVATYYSNRAAAFLELTSYRQAEADCTSAIDLDPKSVKAYLRRGTAREMLGYYKDAIDDFNHALVLEPMNKTAGVAIN >Sspon.06G0025100-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:87851192:87852378:1 gene:Sspon.06G0025100-2C transcript:Sspon.06G0025100-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMPAACSLCKMGQVESSGGDGVGSDDAAASMIQRFWDSAMALGPLDDETDTQSQMSEASRSQMMSDVHHDSLGGSEAGFPSSFSFKLQDRRGRMHRFSCEVQSLTPLVTCILRRLGADIDPDRLPQILYEDEDRDKVVLASDDDLAAAGLKLFLDYSGTTGRRKAVASSSGGAMAVGMSSRDAWAAAYSGVAAGAALVTGIGVMAYLRRSA >Sspon.01G0036880-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:14576554:14583195:-1 gene:Sspon.01G0036880-2C transcript:Sspon.01G0036880-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTTTSPPPPSAGDHPRVLLRSFLSRETCKVLSSSPKPLLPLIEPYTLAARFGLPSASFDGHGACVRQELEFVHRSCGAAGYRPSVVSTSLPHLAATGCGHLLLPFVPVRERLRDAVESFFDCHFDLFIEFTGLISWCKGASIGWHSDDNKPYIRQRAFTAVCYLNNHGEDYKGGILQFQDGDPSSIVPVAGDVVIYTADNRNVHCVNEVTEGERLTLTLWFTRDRAYDEDPKLLNFLSQTSLSCEQTEKNSYIPVPASDNMYWFSYDQSGFDIRCARVHVRGFSFHSSSGEYNISVLPAADDPIELLGKELRLGRGDDVFEKIFSNSLHALQWNDFALAVAMWEKYSEELKKQLLTFLPYWLSNETIYVVDTSQLQVEILSSKNGNWVSMDDGPNLLGRTDLWPEALEGHGWANIVATSDNLKDWKKQLQKLLGVTMVTDTPH >Sspon.02G0022690-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:76649147:76657088:-1 gene:Sspon.02G0022690-1A transcript:Sspon.02G0022690-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQPLVVKKDDDLDEEEYYSPFLGIEKGAVLQEARVFHDPQLDARRCCQVITKLLYLLNQGDTFTKVEATEVFFATTKLFQSKDAGLRRMVYLMIKELSPSADEVIIVTSSLMKDMNSKTDMYRANAIRVLCRIIDSTLLTQIERYLKQAIVDKNPVVASAALVSGIYLLQTSPEVVKRWSNEVQEAVQSRAALVQFHALALLHQIRQNDRLAVSKLVTSLTRGSVIRESGVNQGGDRPFFDFLESCLRNKAEMVILEAARAITELNGVTSRELTPAITVLQLFLSSSKPVLRFAAVRTLNKVASTHPLAVTNCNIDMESLISDQNRSIATLAITTLLKTGNESSVDRLMKQMTNFMSDIADEFKIVVVEAIRSLCLKFPLKYRSLMNFLSNILREEGGFEYKKAIVDSIIILIRDIPDAKESGLFHLCEFIEDCEFTYLSTQILHFLGNEGPKTSDPSKYIRYIYNRVILENATVRASAVSTLAKFGALVDSLKPRIFVLLRRCLFDGDDEVRDRATLYLKLLGGEATVGETEKDVNEFLFGSLDVPLVNLEMSLRNYEPSDVPFDISSVSKETKSQPLAEKKSTGKKSAGPATAVSGPVSTADASYEKLLSSIPEFADFGKLFKSSAPVELTEAETEYSVNVVKHIFDGHVVLQYNCTNTIPEQLLEQVVVFVDASEADEFLEVASKPLESLPYDSPGQTFVAFEKPEGVIATGKFSNILKFIVKEVDPSTGEAEDDGVEDEYQLEDLEIVSADYMLKVGVSNFRNAWESMDPESERVDEYGLGVRESLAEAVSAVISILGMQPCEGTDVVPSNSRSHTCLLSGVFIGNVKVLVRLSFGISAPKEVAMKLAVRSDDPEISDKIHEIVANG >Sspon.03G0033300-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3B:48058318:48064599:1 gene:Sspon.03G0033300-1B transcript:Sspon.03G0033300-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VPTAGFLSAPPPQIFSAMATYLLRSQDSGPRVSSSLVPAMDLAAENRAMMVRQATGMIVTMVSVVVSTLKRKRPDSDDEHEPNPFLYSLRSDDHEIVEYVAAEEPEYEEIVEVYEEEVPVQEGAPEPSTTNFAAHQDAQGKPRSQKAYHDPWSREIIPSRDVVGMIDGTHVLARVPRSMQQPFRGRTSPTQNVMAACNYDMKFTYVLADWEGSTHDALVLADAIAREDGFVVPQGYGCRTGFLPPYRGVRYHLSEYGPRNLPTNARELFNLRHSSLRVTIERAFGALKNKFKILDNKPFHTYKTQVKLVLAYCILHNWILGYGVDEVFPDEDWVPPLDHDNLHVEDLLSQDSQAMSARRDAICNAMWEGRAAPVAPPIAAPVAPPPPPVAAPGPMRWSNTSSGFVLRRMSQLLSDGSRPDKMFKDKDVNMVARHLKEFTGDIVSSTQDSDINVIMLDGEHYAGHIKMEAIFGGALATGKHALGSGESLGPNKNDSVAAKVEGTSFKYASDEKTIHEVPSSSKATEILTTSSVGVKRKRGTFTEDEKLLLTNMSDAVNNVANALRKTGPAHVDASLYLAVMESPGFSEETLIVAYTYLLDNKAQGKGFVGMSDSHRSLWLRTFVAKNYFV >Sspon.01G0011350-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:51007974:51008393:1 gene:Sspon.01G0011350-3D transcript:Sspon.01G0011350-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVPGAGKDDGVVPRRMSRAELVKRWEDVFDNVGDEEAMELFMTLLLRDARDEYAQQSRKEEEEERRARVFEPLQLPPPGSAPPPVAAPPRVERTVVLGLRAPPHLLPRRKHERTTMPPPSAPAADLGRPAKNARGE >Sspon.04G0013890-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:50241758:50246771:1 gene:Sspon.04G0013890-1A transcript:Sspon.04G0013890-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding METALGAANWLLSKVLNKLSNDLVAGYVASCELGLNFDKVKTELSYTLGLLHVAQGRDVSSNPGLQTLLEDLSKKADEAEDALDELHYFMIQDELDGTREATPELGGGLRAQALHARHAARNAAGNWFSCFSCCRSQDDVAAAVSNDTHNTSEAKSDDGFTGGQCDKLPFDRVAMSNKIKQLLEGMEYLCPRISELLNKISASSSLVPGSMANSLERPAIGSTIRQDKLYGRSTIFNETIKGMTSATWHETLSVLPVVGPGGIGKTTFTQHLYNDKRTEEHFTVRAWVCVSTSFDVLKLSKEILECLPATENEGVKETNNLDQLQKSIAKRLRSKRFLIVFDDIWQCSEDKWANLLAPFKMKEARTGSMIIVTTRFPYIAQMVKTTTLVNLEGLEPAEFWIFFQACVFGEVTVEHDKEDLIEVGRQIADKLKCSPLASKTVGRLLKKRFSREHWVQILENKEWLNQTHDDDIMPALKISYDYLPFHLKKCFSYCALYPEDYKFQSLEIGRFWISLGTTDSDGQNDKVEDIGSKFHVKKESDGFELRELGLLEKIGGLEIHGLENVTTREEANEAKLMAKRSLTKLALVWSSGQLSIEDDIVDGLEPHSNVRALSIVNHGGVTGPTWLCSSTHLKNLDPPSGGCILVLSSSFWADASSRNTQAEKHCWIRQFGPDFIGGITEKSFTQLKEVEFDDMPELVEWMFPSLSDLDLVALDEGHDDDEEEVILQFPPSSSLRHDGCGFCGLLSLESVSIVNCGKLFSGRSTAGAYCSSINPFPPCVKDLRFWSEPSTLSMALLSNLTSLTRLELRNCKNVTVDGFNPLITCKLEHLWVSNWKEDGETEPYSISVAGDLLAEVSRTKTMPAGSFQLVNLEVDCISAVLVAPICTCLSATLRTLWFICDWRAESFTEEQCQALQLLTSLEVLGIDNCRALQSLPQGLHRLSSLEYLEISRSHRIRSLPKEGFPDSLEGLTWNCILLCIKDGSRQSTPDNNSTKSCRSDLDM >Sspon.08G0018380-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:7514116:7516509:-1 gene:Sspon.08G0018380-1T transcript:Sspon.08G0018380-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNKRCSEKGHISRANGTKKETSQSANQTISRPPAGQQAKQPPSDHTDHLAKPPAACRRSTQPKIEADFLDPTLLLDETHYQEGYKNGYNDGLASGKEEGRQVGLKTGFQVGEELGFIQGCLDVWTSAILIDQNAFSARVRKNIEQLAALVSSYPLSDPENEQIQDVMEKIRLKFRVITASLGVKLEYEGHPTSSKQDVEDL >Sspon.07G0021000-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:78778088:78783953:1 gene:Sspon.07G0021000-1A transcript:Sspon.07G0021000-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRFRSLDHYLQAGLYRVPLQPVRIRTVGLPTRYKARTNHYKSTPIKKLGGKKEREKKLRVFDQLSILKYGVIGKSINEGPRPRKRMHFRKQARTDLARVHQNLQPSKYPDINEVAFCTPLPFFFRPLTRKKNEEDLVQKMMLDI >Sspon.05G0029050-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:69819707:69823536:-1 gene:Sspon.05G0029050-3D transcript:Sspon.05G0029050-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAVVGVLIGKLGAALANQAEAYGAFMLDNEASALKGLYGEIRRAMEELESMKAYLRESEKFKDTNETTGIFINKIRELSFQIEDVVDEFMYKIEDDKHAGLAAKLTRRIKHVKVWHRLAHKLRDIRAAIEDTTKRRDRYVILGMQGYAGSSGHHTRSTNQNLCFAREDVVGIEHNATKLKQWLVGDLNEKYYKIATVWGMGGVGKTTLFDHVYKIVKLDFDAAAWVTVSQSYQVEDLLKRIAREFGMITDATNMETRSLVEIIRKYLEGKRFILVLDDVWEKDLWIKHIMEVFPTNCTSRFVLTSRKFEVASLATCDCRIELEPLGDKHPYELFCKAAFRNSDDKRCPSELHDLATKFLQKCEGLPIAIACIGRLLSFKQPTHSEWDSVHKELELQSTNNVIHGVDSILKLSLEDLPYELKNCFLHCAIFPEDYGLRRRRLIRHWITSGFIKEKENRTLELVAEGYLNDLVNRSLLQVVRKNPSGRVKYCRMHDVIRHLALDKAAKECFGKIYEDCGTFSVDGTRRLSINSTNLALNPSGVTHLRAIYVFTSSADINLLRSILTTSTLLSTLDLQDTKIKMLPNEVFSLFNLRFLGLRDTQIESLPEAVGRLQNLEVLDAAGTCLISLPKDVAKLKKLRYLYASAWTDEGSFWHCRGVKVPRGIIKNLTGLHTLQKVKASSETLHDVTALTDLRTFAVDDVTSEHSLILRSAVLNMSNLVHLTITMSNENEVLPLEQLSLPETLHKLELTGQLEKKRMPKILSSWLHLNYLTHLGLMFSKLDEISFPSLMVLRNLCSLYLSKAYNGKTLCFSVQSFPRLKELCISGALQLSQVDIEEDALGSLVKLWVADCPELKRLPRGIEYLMALDELYLGNAADELIKILRQEGEANECKEELMKISHIRRVLFKSNAP >Sspon.01G0046660-1P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:92647159:92650160:1 gene:Sspon.01G0046660-1P transcript:Sspon.01G0046660-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Folate transporter 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G66380) UniProtKB/Swiss-Prot;Acc:Q7XA87] VSGGRGWSEVPPYRNTAHAVYTITRSEGLRGLYAGFYPAVLGSTVSWGLYFFLGRMTSFIQSIILSQLQKQPDALRTILREEGFLALYRGIGPGLLLVTHGAIQFTAYEELRKALIFFKSTRSRTDNRGGGESLVLTDQMCAWVLNI >Sspon.05G0019390-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:77829569:77830471:1 gene:Sspon.05G0019390-4D transcript:Sspon.05G0019390-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPLAAADGGLRRLFEKPLPENPTLLEALSAWNRSVHHHHPSSKPIDTASITEIFGELHFQEKPQQPDHRGDVLLLPPTSPPLPPRSPPSRMPSWLDIAAEAENKSKDDSSLDALLRPKPAATVATVKRSASFCAKKGSSSASLLLCTEGLGSESTVDADDMFKDGDAEAEAEAAALKGTDETADGANAGAAEAVKEEKERQPKTFPPPIRSIGRGGKPYVCFMSFREDGRFVLLERVIPGKELLQATREGGRLRLQFAAAAAAAAAAGVSVDEVVHGGDDDDHRGKNSCIDDDDDGER >Sspon.03G0002520-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3A:6247068:6249807:1 gene:Sspon.03G0002520-1A transcript:Sspon.03G0002520-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTRKSERLAKRMKLMASLLLTQRKKIGVGEHFQAEVPDWTGQPSGKELSRYRSDPETSKMLGTRMWPPEGEVYKTDIVAVGQGRPGSCNCPFPGSFFCRQLHTNEARDQLRSELGRVFTMWQFDSMGEEVSKLWSRDEQLKFDALEQLVPVMDQKTYWAVVSKNFASKPRIDSIKYYLNVFLMRRVLSQCRLSLLEIDSDEDEVEEEEDEDQPEGSSSFQRY >Sspon.01G0023670-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:110733586:110742042:1 gene:Sspon.01G0023670-1P transcript:Sspon.01G0023670-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIGIHFYVLSFTPSALPKLKIMSWFKEIFKGSVNRRVSRGHYDGDWHEGHSSDHIRDTYNDSDNEDMDRAIALSLAEEDQNKGKAEDEQLARALQESLNAESPPRRNVPIEDVPVPPRWDVPVERVPPQQYVPANEPPPHVYPQSGSRICAGCQNPIGHGRFLSCMGSVWHPQCFRCFACNKPISEYEFAMHDDQPYHKSCYKEFFHPKCDVCNNFIPTNRDGLIEYRAHPFWMQKYCPSHEDDGTPRCCSCERMEPREIKYITLDDGRKLCLECLTSSIMDTLECQHLYMDIQEFFEGLNMKVEQQIPILLVERQALNEALEAEKNGHHLPETRGLCLSEEQIVRTILKRPQIGPGNRIIDMILGPYKLSRLCEVTAILILYGLPRLQTGSILAHEMMHAYLRLKGFRNLSIEVEEGICQVLAHLWLESEIIAGSSSNVASSSEASSSSSSGPTSSKKGAKTKFEKKLGAFIKHQIETDSSEAYGGGFRAGYPAVERYGLKRTLDHIKLTGTFPY >Sspon.06G0004630-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:11510117:11516881:1 gene:Sspon.06G0004630-2B transcript:Sspon.06G0004630-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABC transporter B family member 1 [Source:Projected from Arabidopsis thaliana (AT2G36910) UniProtKB/Swiss-Prot;Acc:Q9ZR72] MSSSDPEEIRARVVVLGAPHADAGDEWARPELEAFHLPSPAHQSPGFLAGHQPEAAEQPTPLPAGRRSSDTSTAPAGGAAAPAAAPPSPPPPAPFETEQSPNARPASSAAGAGANDNKKPTPPAALRDLFRFADGLDCALMLVGTLGALVHGCSLPVFLRFFADLVDSFGSHADDPDTMVRLVVKYAFYFLVVGAAIWASSWAEMRSSNRSFRSLAEISCWMWTGERQSTRMRIRYLDAALRQDVSFFDTDVRASDVIYAINVDAVVVQDAISEKLGNLIHYMATFVAGFVVGFTAAWQLALVTLAVVPLIAVIGGLSAAALAKLSSRSQDALSGASGIAEQALAQIRIVQAFVGEEREMRAYSAALAVAQKIGYRSGFAKGLGLGGTYFTVFCCYGLLLWYGGHLVRGHHTNGGLAIATMFSVMIGGLALGQSAPSMAAFAKARVAAAKIFRIIDHRPGISSRDGEDGGGVELESVTGRVEMRGVDFAYPSRPDVPILRGFSLSVPAGKTIALVGSSGSGKSTVVSLIERFYDPSAGQILLDGHDLKSLKLRWLRQQIGLVGERGLQLSGGQKQRIAIARAMLKNPAILLLDEATSALDSESEKLVQEALDRFMIGRTTLVIAHRLSTIRKADVVAVLQGGAVSEMGTHDELMAKGENGTYAKLIRMQEQAHEAALVNARRSSARPSSARNSLAFRAGASSFLRLAKMNSPEWAYALVGSLGSMVCGSFSAIFAYILSAVLSVYYAPDPRYMKREIARYCYLLIGMSSAALLFNTVQHVFWDTVGENLTKRVREKMFTAVLRNEIAWFDADENASARVAARLALDAQNVRSAIGDRISVIVQNSALMLVACTAGFVLQWRLALVLLAVFPLVVGATVLQKMFMKGFSGDLEAAHARATQIAGEAVANLRTVAAFNAERKITGLFEANLRGPLRRCFWKGQIAGSGYGVAQFLLYASYALGLWYAAWLVKHGISDFSRTIRVFMVLMVSANGAAETLTLAPDFIKGGRAMRSVFETVDRKTEVEPDDVDAAPVPERPRGEVELKHVDFSYPSRPDIQVFRDLSLRARAGKTLALVGPSGCGKSSVLALVQRFYEPTSGRVLLDGKDVRKYNLRALRRVVAVVPQEPFLFAASIHDNIAYGREGATEAEVVEAATQANAHRFISALPEGYRTQVGERGVQLSGGQRQRIAIARALVKQAPIMLLDEATSALDTESERCVQEALERAGSGRTTIVVAHRLATVRNAHTIAVIDDGKVVEQGSHSHLLKHHPDGCYARMLQLQRLTGGAVPGPSSSSSN >Sspon.06G0023120-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:42654985:42658733:1 gene:Sspon.06G0023120-2C transcript:Sspon.06G0023120-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPVKGTSLPATPPRRPFLPSAAAGAFLPLPPSLPLPDSSLHASAQAVKLQRRSSLPLSGGAEIRRGVEDWFLLLALLALGHKKDCWVNKKWSRFQSKKVLPGNIIVRQRGTRFHPGNYVGMGKDHTLFCLKEGHVRFERNKLSGRKWVHVDPVAGHDLHPIYADGFATTADTEPLQ >Sspon.05G0001400-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:3551856:3554132:-1 gene:Sspon.05G0001400-2P transcript:Sspon.05G0001400-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKRILKELKDLQKDPPTSCSAGPVGEDMFHWQATIMGPSDSPFAGGVFLVNIHFPPDYPFKPPKVSFRTKVFHPNINSNGSICLDILKEQWSPALTISKVLLSICSLLTDPNPDDPLVPEIAHMYKTDRAKYESTARSWTQKYAMG >Sspon.03G0034440-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:93526374:93532271:-1 gene:Sspon.03G0034440-1P transcript:Sspon.03G0034440-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MCTNVSLSMGNDEDEDFFVDDQDVSRLMIVTQDTKLEKDDKNRRSLPQAFSTEEASRISDALYRYEKLHGRLTDNQRSSQADPADVDSKPTSGSKGNHIGTGTNGTEEAGQPIPRRRQSRGNRKAHSSRKQRFFAGNFVNNPDQYGGVSESPPGNSVGYFYGSTPENHSYKSSKLSSSPHGIPTGSSPVGSVPKSSPQSHHLTFHLLEKNKLQQQRYNKFKNQCLMERKKLGTGQSEQMNSLYRFWSYYLRDNFNEDMYNHFKQLALEDAEASYRYGLECLFRFYSYGLEKNFQPNVYEDFEKLTLEFYRNGDLYGLEKYWAFHHYRNPDSGPVDKLPELERLLREEFRTLEDFNKANEKARETAEKGTRISSSSVAVAASHTKA >Sspon.02G0035790-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:21533946:21542674:-1 gene:Sspon.02G0035790-1B transcript:Sspon.02G0035790-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVNCLYVAAASTAASAAALQWWASSLLDGDAGAGGDGDWLGAVLRSRVTVALLANLAAHVFLLVILALKTLFFVQLTSIETRKVLEHIINYVIYKGTFLPLVVPPTSQQIILWSTWLVILCSLKMFQSLARERLEQLNGSPSATPSKYFRVYSALLLVLSTDLLWMWLCVGFCSSCNSKLFWLLFFEPLSIAFDTLQSIMVHGFQLFDIWQRHLMESGADFLDFQKSYKQAAGSFSEWRGKLTRNFGFAIDLISLLMSLGHYSMIFWLRGMAFHLVDAVLLLNLRALIVSFLKRIKTYIKLRKALSSLDGALPDATYDEIVHMMMSVPSAGLDQGLMEGYSCPTCRRPLLLSSEGQTRSTTAEVANVQRIAEQLTMGMNQQRVPGNEHPVEQQNPSDAVWRGAGLDASWIPPWSSPVVDNPSSSSAVRSVGLTGVQMMMRQLASVTDNYGHADGTWNLWPEPMAGSSLVPSTSSMPGSASASGLRLRGSAGTGNGSLSEVLTMVDRVREVLPHIPDELIIEDLMRTNNINATVNNLLLMQ >Sspon.03G0018150-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:55740314:55748976:1 gene:Sspon.03G0018150-1A transcript:Sspon.03G0018150-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHPYAPAELELPGFVPLKLSQAEILVSYLGSSLFVLLAVWLVSGRCGRLTKTDRVLMCWWAFTGLTHIMIEGPFVFTPDFFKKENPNFFDEVWKEYSKGDSRYVARDAATVTVEGITAVLEGPASLLAVYAIASQKSFSHILQFTVCLGQLYGCLVYFITAYLDGFNFWVGPFYFWAYFIGANSFWIWIPMLIAIRSWKKICATFQAEKVKTK >Sspon.02G0012350-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:32572685:32575035:-1 gene:Sspon.02G0012350-1A transcript:Sspon.02G0012350-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ESYKEMTERIDSAVMLGKVPEEIKAMHKGFYEWDSSEVTSQNHQPIVQVLIDGKDQSVVDNGGSMLPTLVYMAREKRPHYHHNFKAGAMNALIRVSSVISNSPIILNVDCDVYSNNSDSIRDALCFFMDEEMGHKVGFVQYPQNYTNLTKNDIYGNSLNVINEVELCGMDGVGGPAYIGTGCFHRREVLCGRSFTEDYKQDRDDTGITAAKPQQHIDEIEEQARSVATCAYEAGNTQWGREMGVKYGCPVEDIITGLAIQCRGWASVYFNPQRKAFLGLAPTTLAQTLLQHRRFGEGNFSILLSRYCSVLFGHGQIELPLQLGYCIYGLWAPSSLPTLYYVIVPSLGLLKGIPLFPGITSPWSIPFVCVSAATYIYSLYEALSSGVTLRGWWNGQRMWIIKRTTSYLFAMIDTVSRLLGLSAMAFAITPKVTDENQSRRYEQELMEFGASSTSPELVIVAATALLSLVCLAGGLSWVLASSGDTSCLSALGLQFVLCVALAAVNVPVYEAMLLRKDRGRIAFRISVAACFGIVLP >Sspon.03G0022910-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:69978188:69979268:-1 gene:Sspon.03G0022910-1A transcript:Sspon.03G0022910-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAASSACDRRRSSRAPAPAVGNDDGEEQHLNPFLDAAPSASSRVQFRNVASRARWVEEIGAAEVLESRGKLWLTTGVTRAGKLYYNVEEIGFLAERGALILLSDKDETIGIEGIYEKLVGGNYGCSWDTFQVYKHLKSLGYIVGRFGVPWTMKHSGTSDTDQSLNGVDGASNDITKLLKEMQIGGISPSFEVYLPNSEFKKSSPGSPTFLLCTHGPSFMEAKGGSGMQLYKWVSCAYVSICNDHPS >Sspon.03G0023200-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:89422705:89423334:1 gene:Sspon.03G0023200-2C transcript:Sspon.03G0023200-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMTAAPEPAAVGGGAAPPVASRVWEWEGKVASAVPEATADEAWALLSDFLAFHRWHPRVAACRLASGTPRAPGCVRYCEGTTAGGEPADWAHETLLEHDAERRFFRYEMNDNNMGFGLFFATFRVVPAAVGCELRWEFECEPVRGTPRDALVARLQAGLDGMAARVRDHVLAARAAGSDAAAAAPAVVAAGLEAAGEVVVVKLDSSIAAV >Sspon.07G0019900-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:73559558:73564043:1 gene:Sspon.07G0019900-1A transcript:Sspon.07G0019900-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTHGFMSVNTDIGAGRAFLRSLYRQYADWGVDFVKVDCIFGTDYSPEEIITISELLQEIDRPIILSISPGTSVTPALAENISDHVNMYRITGDDWDNWKDVSSHFGVSSSFAAAKKIGATGLRGRSWPDLDMLPFGWLTDPSVNQGPHRKCNLTLDEQKAQMALWSMAKSPLMYGGDLRNLDDSTLNIITNPALLKINHYSRNNMEFHYVYSERTSNEEHSGHFRSHPVHLTKNDGMFVSLTACNNNAANGWYMFPQDGKPDHICRNYEVLNDKNVSFCLGKTKRFLHLTNTDDTCLDASAGRRRTASEIRFPMFSACRWHAKQMWELNANGNLVSSYSGLCATMESRDEGGTSGGRAWVATGSKGEIYLAFFNLDSVSRKMTVQISDLGKVLRRTFLKEHLCSCTEVWSGQNFGPVTEEISAVVNSHGSMINMVLLGWDGTGPADFTTSSIHECCDPFVMYARYGMDIT >Sspon.03G0013700-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3A:39216063:39216402:1 gene:Sspon.03G0013700-1A transcript:Sspon.03G0013700-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLQVPPEESNWCVRGCCRSATIPLHLAPASFSLLSPIARGSESTVYEALLGGERAAAKKPVLSTAEDLDKFHYQLQLL >Sspon.05G0019380-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:77815385:77824648:1 gene:Sspon.05G0019380-4D transcript:Sspon.05G0019380-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEVALPRGPANLASPASRAASSSSSLRYLASADSDVLPGSGSPERSAGSTGSRGIQERRGRHSGGSEEEEEEEERWSFLALLFELLRKSLLGCSVLLSGGGEGEGRGCGMEIGLPTDVQHVAHVTFDRFHGFLGLPVEFEPEVPRRAPSASASVFGVSTESMQCSYDTRRNSVPTILLMMQRRLYEQGGLQAEGIFRINAENSQEEFVRDQLNSGIVPDDIEVHCLAGLIKAWFREMPSGVLDSIPPEQVMQCQCEEDCARVAKCLPPAEAALLDWSVNLMADVVQEEQINKMNARNIAMVFAPNMTQMADPLTALMYAVQVMNFLKMLIQRTLKDREESSLEDVLLPQKDPSDENGHQKPSMTLDSLSSASCSQPALAAHAATADASSTTTNSLQGKESQNLNCRRTRKGKGQSATRATPPAEKSRGVSIVSRINSKAYRICAKYNLCTADKWHCKITAMRTGQFLSQNTQAKT >Sspon.02G0019610-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:53811163:53812129:1 gene:Sspon.02G0019610-2B transcript:Sspon.02G0019610-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVTAAAAAAGACCRGRDDHAAGEEERSSSAKDAKVVVPVGGDGAEAVEPCVIAVDDSSVDRALVTALLRRSNGKRALEILGSEPNVSMIITDYWMPEMTGYDLLKKVKESSKLKQIPVVIMSSENVPTRITRCLEEGAEDFLLKPVRPSDISRITTRILLH >Sspon.01G0020250-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:79430568:79431356:-1 gene:Sspon.01G0020250-2B transcript:Sspon.01G0020250-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKLQVATVASLLALAATAGAVTFDATNTASTTPGGQRFDQAVGLDYAKQVLSDASTFIWNTFNQPSPADRKPVDAVTLVVEDIDGVAFTSANGIHLSAQYVGNYSGDVKTEVTGVLYHETTHVWQWNGQGQANGGLIEGIADYVRLKAGYAPGHWVQPGQGDRWDQGYDVTARFLDYCDSLKPGFVALLNAKMKDGYTDDFFAQILGKTVQQLWQDYKAKYGG >Sspon.05G0011350-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:27013396:27015284:-1 gene:Sspon.05G0011350-2B transcript:Sspon.05G0011350-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQQPPPPHRHAKTDSEVTSSMAASSPPRAAYYVQSPSHDDGDNKTAASSFHSSPAASPPRSLGRASRDSSSSRFSASAKSAGAGAAPGGVAVPGAGGRRRSSPWMKEAAIEEEGLLGMDDDDDDDDPDAHGGLSGIPKRVRYTLGFVGAFFGLFFFFALILWGASRNQRPVVTLRAVTFHRFVVQAGTDASLVPTEMASLNATVRLVFRNTGTFFGVHVSADPVTLYYTQLQLASGNIKYFYQSRKSQRSLTVAVVGDKVPLYGGGSGLSSTPTTLPPPKKRAPPVVVPPPPVPLQLTVRLRSRAFVLGRLVKPKFYSEARCSVTMDQTKLGKPVSLNKKACTYTH >Sspon.08G0005210-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:15928965:15937322:1 gene:Sspon.08G0005210-3C transcript:Sspon.08G0005210-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDRSGLPGHAAAGSGFPRAAGDGSEGVEEERHAVGGREPTVVDRSGLPGPNPLNSTKTVADSVFGSTQYTYFEGHGMLHAVYFNKRSVEEWYISYMNNKKNEVTFIPAAEGQPYAMLVAFAFNMLRFGKPVKDSANTNIFEHAGRLFAIAENHLPYEININNLDTLGSYNINGDWDRPFTSHPKVPGSGELVIMGVNPEKPHYVVGVTHIILKHILSPLIILLYIRIFDNDMNGKSRIGVMPRFGSADSVLWFDVDNHCSYHLFNCFEDGNEVVVRGCRTLGSVIPGAPHTVDKSKWYRRVFLPPDKNSEDFDPSLDGILFSRPYEWRLNLGSGIASEGYITSEDVAMDFPVINENFTGIINKYGYAQVVDSVATSKTVRVDAGLIKYKMIAKLHFDVHDKENKQFISVEYHALQEKQFCSGVQFVAKKNGIHEDDGWVITYVHDEGTNMSQVYIIDAKRFSDEPIAKITLPQRVPYGFHGNYFYKK >Sspon.01G0060540-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1D:80889271:80889895:-1 gene:Sspon.01G0060540-1D transcript:Sspon.01G0060540-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SVKLGPRLLGDAPKTNVILAGNEVNKEEGCSEGFPAIRIYYEDVNMKFLVCEVPCNLDACLLGALDGLNALLNIEICASKLQNRASAPPLQAENLPHGVVTMHCAITTCSSAHVSFLVSGSAQTCFYDQLLESHIKNEIIEKRQLVRALLNSEDNKPSSYEPLPSMCVACGASTFEVWITLPKWAAQVLKHFAPEISYRSLVALGIAW >Sspon.01G0010130-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:28500820:28504971:1 gene:Sspon.01G0010130-1P transcript:Sspon.01G0010130-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAADSDPAAAVAAMSTCAHWSVLALPPNFLFPSPCPPGFPVPWCTCVAVSVMRLISQREIPSSNIDLHSVHCARNLQKCQHCGEMIPRKLMDEHYDENHAPINCSLCKETIERESWDLHKGEKCPQRIVACEYCEFELPAVDLHEHQDVCGNRTELCQTCRKYIRLREWIGHEIQCHTNSNGSADTSRAIPERELRPPPPVRPRPAHASPHKRLLFTIAVTGIAVMIGSILFQRDEKAGAGLLFPQQTKIGCDDEPIWQIGNGMRAAACDAYFSVI >Sspon.03G0016200-2T-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3C:71682071:71683462:1 gene:Sspon.03G0016200-2T transcript:Sspon.03G0016200-2T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRHSCCYKQKLRKGLWSPEEDEKLMNHIAKHGHGCWSTVPKLAGLQRCGKSCRLRWINYLRPDLKRGAFSQEEEDLIIELHAVLGNRWSQIATRLPGRTDNEIKNLWNSSIKKKLRQKGIDPNTHKPLAEVEHSKAAPTISTERTSESSDVDPSSGGALGNLSHLLSETAQSPELLPVLGPIPNFPFQQLMCYNNEFGNKNGGSNNSFWFNQNESSGSTISTVMPPVSPSTLSTSTGLNRSPDNPHSGGTGLQNTQFYWETANPSSSSSRGSSGSNGLGFELQSTSSLLESSVFPWTDLTPDKNSQVHLEEELKWPDLLHGTFTDTPATMQNLSQSLYEDVIKAESQFNIEGLCAA >Sspon.03G0024730-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3B:98598779:98599660:1 gene:Sspon.03G0024730-2B transcript:Sspon.03G0024730-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAYEIEREIFHALDQIDGPSTEHSATPSDEGCPSADSDEASSVGGKELRICTFLNTLSVSTSFKARHFCTEQPLSVTDILKDSGEPNETLLSAIHDYRISSADGDAIHPSTYRTPTKEAEIKDQISLLLLSDKSFLSRAAELIGIDVYGDLNDQCNRISKVEMKNHKLYLDAAGEQLELKHCQQNSPCYTRLQGQKCRSSEYFSLEELLRDISNGIRNLNGYCSEDARGSKDNVDMKVERDLSCTDALINSVWDMGWQGLICMEETDFFVRDAGEEILSLLIEDAVLDMCLH >Sspon.01G0051340-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:16452921:16457465:-1 gene:Sspon.01G0051340-2D transcript:Sspon.01G0051340-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEMVVAGYGMDKALRASVSFDTPCGALLRELEQIWTEIGEREQDKDRMFLELEAECMRVYRRKVDSANAERANLRQSLMAKDAELKALVASIGENSPRFTVDEKHTSLKDQLAAVTPLFEDLRVKKQDRIKQISNVQSQIEKIKAQISDQSYQDRDGSIKQLNDDHDLSTRRISDLQMQLRNLQKEKSDRLQKVFIYVDEVHCLCAVLGMDFAKTVKEVHPSLHGANSENSTNISDSTLEGLTQTILKLKAEKKTRVLKLQETVEKLHKLWNLMESTEQERIHFSEVAAVLGSTEEEINSPGILSLKTIQETEEEVERLTIQKASRMKELVLKKRLELEDICRNAHMEPDMSTAPEKIIALIDSGLVDPCEVLSSIEVQIAKANEDSLTRKDIMERVDKWLSACDEETWLEEYNQDDNRYSAGRGAHLNLKRAEKARITVQKIPSMIDNLIAKTFAWEDERNVPFLYDGVCLVAILEEQKLRRAQREEDKRRYRDQKKLQSLLLKEKELIFGSKPSPKKTSSFNRRTSNHHPNGNGAGFMTPVPRRVSAGSATPELLTPRSYSGRYNNYFKENRRLTAPLNFSVGSKEDSMSSFASISGSEPDSPLFLH >Sspon.07G0018770-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:67871941:67872708:-1 gene:Sspon.07G0018770-1A transcript:Sspon.07G0018770-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSTRARRGGSLQFPVGRSWRHVAVVDAGCGCRPRRATTRLLSQLPSFLRPSPKPPQQPPRSSSRSSSGFFPSSASTASSAASAATFATTHSSYSNYSAYSSYNNPAAAAVTKHPPAKAPAAAPAVAASRRRQQGGHGHQGRRKKRYEKMAAASAAEAAAGEDGGDVGVAVEKESSDPRADFRESMVQMVVEMGLCGWDDLRCMLRRLLALNAPRHHAAILAAFAEVCAQLTAPPPPPQPQPAYHAYDYHYHY >Sspon.04G0025400-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:29991690:29994171:-1 gene:Sspon.04G0025400-2C transcript:Sspon.04G0025400-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGRLMEIVTADAKYRPFSALLPEGWSGKVLYVKLVLVLLMCGSFMGLLNSPSIHLADEHHHTTPHWMSHPDAANSGYASTLSIDWSQIETAAKHFAPAADGGGTSRTRVALLNFDDGEVEEWKARMPHTDASAVRLDHVGSDVTWDHLYPEWIDEEELYGAPACPDLPEPTVAPEEEAYDVVAVKLPCGRAASWSKDVARLHLQLAAARLATRARHGESAAAHVLVVSRCFPMPNLFRCRDEVARDGDVWLYRPDVSDLSRKLELPVGSCKLAMPFRALGEPYVSAAPQREAYATILHSEQLYACGAITAARSIRMAGSGRDMVALVDETISARHRGALEAAGWKVRTIRRIRNPRASRDAYNEWNYSKFWLWTLTEYERVIFLDADLLVQRPMEPLFAMPEVSATGNHGAYFNSGVMVVEPCNCTFRLLADHVGDIESYNGGDQGYLNEVFSWWHRLPSHANYMKHFWDGDTAERAAAKRRVLAADPPVALAVHFVGLKPWFCFRDYDCNWNVPALRQFASDEAHARWWKVHDAMPPRLQGFCLLDERQKALLRWDVARAREANFSDGHWSARIADPRRSICDAAGDAEACREREIAGRRVEGNRITTSYAKLIDNF >Sspon.01G0002000-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1A:5873458:5874173:-1 gene:Sspon.01G0002000-1A transcript:Sspon.01G0002000-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALASVFSFPFCALFRQSCTYPICYLQDPNGQVTFKHGDKTLVDSRDICRYVCNQFPNDGNKTLYGSGALERASIEQWLQAETQNFGPPSSALVFQLAFVPHLSHLGIRQDPAVIAENEDKLKQVLDVYDEILSKNEYLAGDEFTLADLSHLPNSHYIINTERGRKLFTNKKNVAKWYDKLSKLETWKQVVKMQKEHPGAFE >Sspon.03G0011930-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:32667514:32671579:1 gene:Sspon.03G0011930-1A transcript:Sspon.03G0011930-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDGDDGQGISLTDACAADGQHCCGDSDITVADVAVPYWQRPAGPTWWCHVAAGHRAVDAWLATSARWLHPAICVALRNETMLISEKMKHLLYESVGDPTRDEDDIPIVIRAWQAQNFLVTALHVKGSAPNVNVIGVTEVQSIHEVIAHLASRLARWDDRLWRKYIFGAADEIELKFVNRRNEEDLNLLCIIFNQDIRRLATQVIRVKWSLHAREEIIFELLKYLGGSTTQSLLEDIKKDARKMIEEQEAVRGRLFTIQDVMQSTVRAWLQDKSLRITHNLTIFGGCGLILSIITGLFGINVDGIPGAQNTQYAFALFTSLLFLVGLLLIVAGNIYFGLQNPISDQQVQVRKLELQQLVSMFQHDAETHAKVREGVLIRNDLPPRASDLIYDQVKKLGRYFSLEAKNEPSLHGYHLPKSEWMQQPGGTMPTSEETPGRAVHMGRE >Sspon.03G0028190-1P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3C:12607850:12608501:-1 gene:Sspon.03G0028190-1P transcript:Sspon.03G0028190-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSREQQMPPPRRFIAIPFSSGCRSQSSVDVVDTARHGKKTRPQGAETGAVPGGASAAGGKGESLVARLLRGFKNLSQIFAVYDEDDDEEEEREMVIGLPTDVKHVAHIGWDGSTSTTSSLRSWNRAAPPAPASSSSGAAAPPQPQEQYPLPLPALSMRQFELAMVAQAATTTSGGGAAHR >Sspon.03G0009730-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:26270166:26270704:-1 gene:Sspon.03G0009730-1A transcript:Sspon.03G0009730-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MESRRPAPSPLVDNYVVPGDVVLDLSEMNNQTIKLGAGLRQECDTIQATSAGKLRLLKPNKYWIENSQKR >Sspon.06G0024500-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:59617255:59618886:1 gene:Sspon.06G0024500-2C transcript:Sspon.06G0024500-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQPRSRLLGSCAILLLLLLAPGLAAAFNYADALTKSIIYFEGQRSGKLPPGNRMPWRGDSGLTDGAQHNVDLVGGYYDAGDNMKFGLPMAFTTTMLAWSVADFGKYMGSNLPHARAAVRWGADYLLKAATSTPGTLYVQVGDPGQDHRCWERPEDMDTPRAVYAVTASSPGSDVAAETAAALAASAVAFRRADPGYAARLLRAALVAFELADRHRGSYSEGTLSAAVCPFYCSYSGYEDELLWAAAWLHRASGNASFMAYVRANGAQDGAGNDDYSFSWDDKRIGTKVLLARGVLRRDRRRNVPGLQLYKAHSDSYICSLVPGAAGFQAGQYTPGGLIYREGGSNMQYVTTTTFLMLAYAKYLRSAGATAACGGGRDEVAPAELVALAKRQVDYILGKNPAGTSFMVGFGDRYPRRLHHRGASMPSVRAHPARIGCDQGFAYLHSAAPDANLLVGAVVGGPDARDGFVDDRDSYGQTEPATYINAPLVGVLAYFAGTA >Sspon.03G0000280-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:7463820:7467663:-1 gene:Sspon.03G0000280-1P transcript:Sspon.03G0000280-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVLFETPAGFALFKVLDEGKLDKVEDLWKEFTTSDSARKVVELKAFNKFENTSDALSAATLIIDSKPSKGLRKFLQKHCEGEILAVADSKLGNAIKEKLKIDCLHNSAVMELMRGLRNQLTELITGLGAQDLGPMSLGLSHSLSRYKLKFSPEKVDTMIIQAIGLLDDLDKELNTYAMRVREWYGWHFPELTKIVADNIQYAKVVKMMGNRANAVNLDFSEILSDEELETQLKEAAVISMGTEISDLDLLNIRELCDQVLALSEYRAQLYEYLRSRMNTIAPNLTALVGELVGARLIAHGGSLLNLAKQPGSTIQILGAEKALFRALKTKHSTPKYGLIYHASLIGRASQKHKGKISRSLAAKTALAIRYDALGDGEDNSIGTESRLKLETRLQVLERKELGKSAGSTEGKPKIEVYEKDRKKGAGALTTPAKTYNPAADLVLGQSTEETPKKSELASKKRKHHEVETTPSAEPEEEAIQEDGDQEDRKKKKKKKKSKETEETPAVEADGEKKKKKKSKEGEEPAVAASEGEKKKKKKKTSDVDGKDVAMQTEASGKKDKKKKKRHADDE >Sspon.03G0037930-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:8676086:8681660:1 gene:Sspon.03G0037930-1P transcript:Sspon.03G0037930-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGAGGLGAGFSYQKFVHFALEQTRLRSTLVPHPSQEKFKLIKPNEDNTVLNALSFSTSKIRLLRSLTIEQKNSVQVLDFAAFSEPEYDLPIFCANAFSSPARSIVVLDLNPLYDTTEHKDYREKYFRNLMPLIHKYSELDVFNR >Sspon.04G0032550-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4C:27985011:27985936:-1 gene:Sspon.04G0032550-1C transcript:Sspon.04G0032550-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding QDSYGSLPPATRLGCVCRPSRGYVRVRELVRCVRLSPDRPRPSFVHADAGVPFDVVRIRCQNLSSICKFRLTALDGGQIVKLYQKMPQFIFARADCRATIQRGFVVLEHYWKTGLYRVPEALPSAKFRGIRQSHILPSAKFRGTRQNHILSSAAFGKILHSAKVALPGTWQRQALGKVATRQNVAGRHGGHLPSDFAEC >Sspon.07G0018830-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7B:73491080:73491691:-1 gene:Sspon.07G0018830-2B transcript:Sspon.07G0018830-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSGGRSREKTCCGSCCTLLVSLGVVVLIYWAIFQPHQIRARVDYAELSNLTVSNASSSSAAVAVSYHVAVNLSLYNPSKRVNIYYDTLDAELRYRGAVLSPAATAAASPAEFYQRRKAAQTVSLVFDGNGVAVPGGEVGRQLEGEVKAGVKLGLELNVDARVRYVFGSIKIRQKPTVWCALSIPVPSTPGGMGVTFSDDRCW >Sspon.03G0001970-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3A:4797728:4800767:1 gene:Sspon.03G0001970-1A transcript:Sspon.03G0001970-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bidirectional sugar transporter SWEET1 [Source:Projected from Arabidopsis thaliana (AT1G21460) UniProtKB/Swiss-Prot;Acc:Q8L9J7] MLGLLSIVASIFTTVVLVSLLALHGNARKVFCGLAATIFSICMYASPLSIMRLVIKTKSVEFMPFLLSLAVFLCGTSWFIYGLLGRDPFIIVLRYPRAGVGCPFGVLPNQAHMPAAADARAWAPVAWAWPPEAAQAQRMPALPMGRRAPIPNGCGSFLGLVQLILYFIYRKNKGPAAPPGKGEAAAAADVEDAKKVAAAVELADATTNKAATDTVVGDSKVVASQV >Sspon.01G0053670-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:40519761:40524392:-1 gene:Sspon.01G0053670-1C transcript:Sspon.01G0053670-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGLRAASPSGAGSGAVAGRDPITGRHDWRWQAATVLAVMRRNVRWAGVRYGGGDGGAGDDEHLDHPLVAGLKALRRRAAAWGHGRWAGVEPLLYLRPFLDVVRSDETGAPITGAALSSLHKILTLDLVGPGAPGAAEAMAAVVDAVTACRFEVTDPASEEAVLARVLQVLLACVRSRAAPVLANRHVCTIVNTCFRVVQQAGTKGELLQRVSRQTMQEVIRTVFARLPDIDVTVLSDEQVAGCKNQCLGAEETENGKSDYVCLNSSGDEVGDGSGVVPDKDLMEPFGVPCMVEILQFLCSLLNIAEDIEVNPRMSPIDFDEDVPLFALGLINSAIELSASSIHRHQKLLAFVQDELFRNLMHFGLSMSPLILSTVCSIVFTLFYHLRHELKLQIEAFFSCVILRLAQSRYGASYQQQEVALEALIDFCRQKEFMAEMYANMDCDLQCSNIFEELANLLSKSAFPVNSPLSALNVLALDGLVAVIQAMAQRTDNAPQHHDQTVPEISEYFPFWQLKCESSNDPDQWVKFVHQQKSIKRKLMVGVEHFNRDKKKGFEYLQGVHLLPEKLDPHNVALFFRYTPGLDKNLLGDYLGNHDEFSIRVLHEFARTFDFKDMNLDAALRLFLETFRLPGESQKIQRILEAFSERYYEQSPQMFVNRDAALVLSYSVIMLNTDQHNVRVKKKMTEEDFIRNNRRINGGNDLPREFLSELYYSICRNEIRTIPEQGAGCSEMSFSRWVDLMWRSKRTSMYIACDSYPFLDHDMFSVMAGPTVAAVSVVFDNVEHEEVLTGCIDGFLSVAKLAAFYHLDGVLNDLVVALSKFTTLLNTSYIDDPVTAFGEDTKARMATEAVFTIATAYGDHIRSGWRNIIDLILRLHKIGLLPGRLTGDTTDDQESSSDSFPGKITSPPQVLPISTPRKTYGLMGRFSQLLYLDADEPRSQPTEEQLAAQRNASEAVKKCQIGTIFTESKFLQADSLSNLARALIQAAGRPQKITSSLDDEGIAVFCLELLITVTLNNRDRIVLLWQDVFEHITHIVQSTVMPCNLVEKAVFGLLHICQRLLPYKANLVDDLLRSLQLILKLDARVADAYCENITLEVTRLVKANATHIKSQMGWRTIISLLCITARHPDASDAGFEALVFIMSEGAHLSPANFILSVEASRQFAESRLGSAERSIHALNLMADSVNCLIRWSREVREAGGEADRILEGIAEMWLRLVQALRKVCMDQREEVRNHALLSLHRCLVVDGISVKSSTWLMAFDIIFQLLDELLEIAQSYSPKDFRNMEVSLLHAVKLLCKVFLQSLKDLSGQGSFDKLWLEVLDMIEKFMKVKVRGRRTEKLQEAIPELVKNILMVMKASGILSKTGASENSFWEATWLQVNKIAPSLQSEIFPDNEDGSTTQVEENKLDTQAQSDQNNDQ >Sspon.05G0018060-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:76021128:76032683:-1 gene:Sspon.05G0018060-1A transcript:Sspon.05G0018060-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEQGRSLAETPTWSVATVTTLMVAACFLVERSLSRFAKLLTPPPAVVRSAPQWLRKTKRKAMLAALEKIREELMLLGVISLLLSQTAHFISEICVPSSLFTSRFYICSEKDYDDLLRNTDANQTALDKSMFGGQRLHVCSEGHEPFVSYEGLEQLHRFLFILGITHVLYSFVTVVLSMIKLVLLVGTELQHVIAQLALEVAEATAPYVGSQLKLRDDLFWFGKPRVLWWLIQFISFQNAFELATFLWSLWELSAQTCFMKHYYMVAIRLISGILVQFWCSYSTLPLNVIISQMGSKFKKSLVSENVRESLHSWCKRVKDRSRHGTLTTRSVCSLDTTYETDHETNTGFHGLVENSQAYCMDWIVVQAVANPDPAIELPLTAENVEMVLDEVRPYLMADGGNVALHEIDGNVVRLKLQGACGSCPASVTTMKMGIERRLMEKIPEIVAVESIADEETGLELNQENIEKVLDEIRPYLAGTGGGELEFVAIEEPIVKVRLTGPAAGVMTVRVALTQKLREKIPRIAAVQLLP >Sspon.04G0020370-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4B:74289878:74293631:-1 gene:Sspon.04G0020370-2B transcript:Sspon.04G0020370-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein LOW PSII ACCUMULATION 3, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G73060) UniProtKB/Swiss-Prot;Acc:Q8H0W0] MAMAAPPPTALCARHFRPHHFAYSSPAPPRLPTASAAAAASSPPLRRRLALSPRAETGTGTGTGTGDVEALRAGVSVYKPRSYDVLVTDAARSLACAIDDGKTRLEIEFPKRICRARREGERLNIFGRDPWTAAWELHRRRKQGARMHEHCTTAWDRRRRRRRLAARESVNGSSDEFIDANIQLALVVARKLKELKGTRSCIVFPDQPEKRRASQLFRTAIDMIEGVTVSSLDDVPTDPVNSFFKSIRNTLDFDFSDDNEDRWKSDEPPSLYIFINSSTRDLASIEKYVEKFATSVPALLFNLELDTLRSDLGLLGFPPKDLHYRFLSQFTPVFYIRQRDYSKTIAVAPYIVNYSGAVFRQYPGPWQVMLKQADGSYACVAESQARFTLGQAKEELLRVIGLQEEEGSSLEFLRRGYKVHLILVKQS >Sspon.01G0011740-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1A:31852330:31856706:1 gene:Sspon.01G0011740-1A transcript:Sspon.01G0011740-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding NIRLLVPVVLEPESPSYARWRDLVVLTLRRYALDDHVLLDASAAVQTPSWLRLDSIVLSWILGTISLDLHDLVRTSPDARRAWLALEGQFLGNAEARALRLDASFRTFVQGDLSVGEFCRRMKGMADSLGDLGWPVEDRILVLNVLRGLSDRYAHLRTWITRQRPFPTFLEVRDDLVMEELTQGLQPVSTTPAGSSSSSTALAATSPRPLLHRGPLLHHRRLSWVLLPPGRAEAGGVAAVADAAVGVAGHPWAGRISMWPYHTTGAESRPPVALLTGAPPAGTPPGAFPAAAWTPPGVAGWDQTALARSFSTMGLTPPVGPEWIADSGATYHTTPDPGILSSLHSPSSSLPSSIMVANGSCLPVTSVGTAGAHGSFRLPDVLVAPSMVHNLLSIRRFTADNSCSVEFDSSGLTVKDLASRRPLLRCDSTGPLYTLRFPAAHSSSSPSLLSAAFAASTSSTTWHRRLGHPGRDALIQLSRSSGLPCTRAHDEQLCHACQLGRHFGLTIKAVQCDNGREFDNSTSRAFFLSHGVQLRMSCPYTSSQNGKAERMIRTTNDTVRTLLFQASLPARFWAEGLHTSTYLLNRLPSAACPAPTPHHALFGTPPRYDHLRVFGCACYPNTAATSSHKLDPRSTLCVFLGYSPDHKGYRCFDLASRRVLISRHVVFDESVFPFSGTSTPPVPDPPTLYRFTALRAGHRSRLPCESGPLVLRRGPVSFSWCRPGAFVPRFCPGGSCRLPTPDPVSAPAPAPPSRFAAPVRVYQRRPRPPPLVVPSPPGTPTPPPLSPPARGTPPVYHPPLLHRHPRHVHPMVTRHAAGTLPPRVLEASTDDAVVSPVPSSVRDALLDPHWRRAMEEEYAALLANQTWELVPRPPGANVVTGKWIWTHKRRADGSLERYKARWVLRGFTQRPGVDYDETFSPVVKPATVRTVLSLALARSWPVHQLDVKNAFLHGLLTETVYCSQPAGFVDTTRPDMAKSDTSLFVYHHGADTAYLLLYVDDIVLTASSEPLLRRIIAALQQEFAMKDLGELHHFLGVTVEHRPAGLLLHQRQYTRDILERAGMSDCKPCSTPVDTQGKLSEVEGHPVADPTAYRSLAGALQYLTFTRPDITYAVQQVCLHMHDPREPHLTALKRLLRYLRGTLDYGLLLHRASSTDLVVYTDADWVGCPDTRRSTSGYAVFLGGNLVSWSSKRQPVVSRSSAEAEYRAVANGVAEAAWLRQLLAELHTPPSRSTLIYCDNVSAVYLSTNPIQHQRTKHVEIDLHFVRDRVAMGEVRVLHVPTTSQFADIFTKGLLSSTFAEFRSSLNITGG >Sspon.08G0027460-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8D:48285599:48286742:-1 gene:Sspon.08G0027460-2D transcript:Sspon.08G0027460-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSDRSRESLLPSFLYSPAAARSFAGASRFPASPAAAPSLAGGAPLPFTIQAPNEKIEMYSPAFYAACTAGGIASCGLTHMAVTPLDLVKCNMQIYTMNI >Sspon.06G0026370-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:78634970:78638020:1 gene:Sspon.06G0026370-1B transcript:Sspon.06G0026370-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPSDFHSSWPQNYIIGAFKPPCDIFVTFSDERTRKQVQIKKDNGKTVMVPAFQSLETIAGEVSIAPVPGKRLEHTGVKIELLGQIELYFDRGNFYDFTSLGRYFLEFLYCRYILKVTIGRNYVGSFVESRDFCVRNYSPVPTINNSIKMEVGIEDCLHIEFEYSKSKYHLKDVIIGKIYFLLVRIKIKNMELEIRRRESTGSGPNTYVETETLAKFELMDGAPVRGESIPVRLFLTPYELTPTYRNINNKFSVKYYLNLVLVDEEDRRYFKQQEITMYRLLETPQSS >Sspon.03G0046380-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:35896290:35902546:1 gene:Sspon.03G0046380-1D transcript:Sspon.03G0046380-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLASSAFLRRAAAMSSPAYYSRRGYPRPRRGYSGRPSPPPPDAAAEIVSGDSHLSAVRAANESLRRGGGGGGGVRGPPPPYRQGPHPHQPQYGYGYGYGYGQMQQPSPPYGFVPYNYGHPQQQPPLPPGPQYGYGAPNPYVHGHLRPQPHGRGAPSAGFRPGAPQLPPRLADYRRRWRFAKQRPPHQAERFKVLSYNILADYLAQEHQFLYERIPSFILDWNWRKDKLLFEFGLWSPDILCLQEVDKFTDLEQEMASRGYNGTWKIRTGDAADGCAIFWRTTRFQLRYEEDIEFNKLGLRDNVAQLCVLESVVPQNVQTDSTSLSTSSNHPQQAKQVVICNIHVLYNPKRGDIKLGQVRTLLDKAYTLSKMWNNAPVILCGDFNSTPKSPLYKFMLEQKLNLSGLAKNTISGQQTGGSSQGLYTGPNISRSHPPFYPSNNREGNITLLNDCKPQTETTKLVENSSPAGREPVLTDTSSESVFDSKSSYSCGNNVPCSGSSKLDEQGLLNCLEDTVKSACAFDADEECKLTDKSNEGSPGGIKTESGEGPDIADAPSVPATVCTVILRSGSCEIIDSSQLLSSDNLAGDVISEELTDQLFTVSQDKPHEKENTIESMLSSEENCATNEPESSHFNGSQNVTDAIHQMSNVKLEGESSTGVEEPVHQPNGAVSDACVDQCSAEVVNSHSVSCRDEPENNAHAFEDDTATDEVTCTEVNSDPSFFEELSGGNVHLLEEEDQLPETSDSSLSSQQVTLNEGTKDASKEPLVTSYNRKFMGTVDYIWASEGLHTVQVLDTFPKEILKQTVGFPTK >Sspon.01G0000410-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:39439530:39441635:-1 gene:Sspon.01G0000410-2P transcript:Sspon.01G0000410-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Divalent ion symporter domain containing protein [Source: Projected from Oryza sativa (Os10g0547500)] MTQLAPLPKVVMGSLAFGVFWMLAVFPSVPFLPIGRTAGALLGAVLMIVFHVISADDAYASIDLPILGLLFATMVVGGYLKGAGMFKHLGKLLAWRSQGGRDLLCRVCVVTALASALFTNDTCCVVLTEFVLELAAERNLPAKPFLLALATSANIGSSATPIGNPQNLVIAFNSKITFLQFFFGILPAMLAGMGVNTVMLLCMYWKDLEGACSPDEVANGKEMEAVEEGRSPASVLSLKNSPTATAAAHGALRQRHGHDADDDPDSMMSENIPTKHRWFMQCSEHRRKLFLKSFAYVVTVGMLVAYMLGLNMSWTAITTAIALVVVDFRDAEPCLDKVRLRTQPGRQRTTSARVVGMHISCRGCVQVSYSLLVFFSGMFVTVSGFNKTGLPGAIWNFMAPYAKINHVSGVTVLSLIILLLSNLASNVPTVLLMGDEVAASAATISASAVTRSWLLLAWVSTVAGNLSLLGSAANLIVCEQALRAPRNAHDLSFWSHVVFGVPSTLVVTAIGIPLIGKIAF >Sspon.05G0024030-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:12022152:12029001:-1 gene:Sspon.05G0024030-1P transcript:Sspon.05G0024030-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVATTRALLVSCFHGGGGGSEMSRRLSLRPRYPSMPRRPKGVAVAGGGGDGDLEAAVGTGNEEEEEKVAVFSVSGMTCAACAGSVEKAVKRLPGIHDAAVDVLWGRAQVVFCPALVSENKITEAIEDVGFEAKLIDEEVKEKNILLCRLHIKGMACKYCTSTVEFALQASPGVQRASVALATEEAEIRYDRRIISASQLIQAVEQTGFEAILVTTGEDQSRIDLKMDGVLDERLIMILKSSVESLPGVENIKFNSELHKVTISYKPDQTGPRDLIEVIKSATFGNVNASIYPEADGRDQHRYGEIKQYRQSFMWSLIFTIPVFLTSMVFMYIPWLKDGLEKKVINMMSIGELFRWILSTPVQFVIGRRFYAGAYKAMCRGSPNMDVLIALGTNTAYFYSVYSVLRAATSENYISTDFFETSSMLISFILLGKYLEILAKGKTSEAIAKLMDLAPETATLLMYDHEGNVVGEKEIDSRLIQKNDVIKVVPGGKVASDGFVIWGQSHVNESMITGESRPVAKRKGDTVIGGTMNENGVLHVRATFVGSEGALAQIVRLVESAQMAKAPVQKFADHISRVFVPLVILLSMLTWLAWFVAGRLHSYPHSWIPQSMDSFQLALQFGISVMVIACPCALGLATPTAVMVATGVGASHGVLIKGGQALESAQKVDCIIFDKTGTLTIGKPVVVDTKLLKNMVLREFYDYAAAAEVNSEHPLAKAIVEHAKKLHPEENHIWPEAREFISVTGQGVKVEVSDKSVIVGNKSFMLSSGIDIPLEASDILMEEEEKARTGIIVAIDQEVVGIISVSDPIKPNAHEVISYLKSMNVESIMVTGDNWGTANAIGKEVGIGKIIAEAKPEQKAERVKELQLSGRTVAMVGDGINDSPALVSADVGLAIGAGTDVAIEAADIVLMKSNLEDVITAIDLSRKTFFRIRMNYVWALGYNVIGIPIAAGVLFPSTGFRLPPWVAGAAMAASSVSVVCWSLLLRYYKAPKIVGS >Sspon.02G0017290-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:49511928:49515567:-1 gene:Sspon.02G0017290-1A transcript:Sspon.02G0017290-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASTTAAAWFFVAVVLVLLHATAPAIAGAEDEAAALLAFRRASVADDPRGALSGWALANATAAAPCSWAGVLCAAAPDGRVVAVNLSGMALVGELRLDALLALPALQRLDLRGNAFYGNLSHATASPSPCALVEVDMSSNAFNGTLPAAFLATCAALQSLNLSRNALVGGGFPFAPSLRSLDLSRNHLADAGLLNYSFAGCHGLRYLNLSANQFAGQLPELAPCSAVTVLDVSWNHMSGALPAGFMATAPPNLTHLSIAGNNFSGDVSAYDFGGCANLTVLDWSFNGLSSSELPPSLANCGRLETLDMSGNKLLSGPIPTFLTGFSSLKRLALAGNEFSGTIPDELSQLCGRIVELDLSSNRLVGGLPASFAKCRSLEVLDLGGNQLSGSFVDSVVSTISSLRVLRLSFNNITGQNPLPVLAAGCPLLEVIDLGSNELGGEIMEDLCSSLPSLRKLFLPNNYLNGTVPKSLGDCANLESIDLSFNFLVGQIPKEIVVLPKLIDLVMWANGLSGEIPDMLCSNGTTLETLVLSYNDFTGGIPPSITRCVNLIWVSFSGNRLTGSVPHGLGKLQKLAILQLNKNQLSGPVPAELGSCINLIWLDLNSNSFTGTIPPELASQTGLIPGGIVSGKQFAFLRNEAGNICPGAGVLFEFFGIRPERLAAFPTVHLCPSTRIYVGTMDYKFESNGSMIFLDLSYNRLTGTIPAGLGSMMFLEVMNLGHNDLNGTIPSEFSGLSRRDGPLKQSSHWWHPPGLGTLSFLADLDVSNNNLSGPIPLTGQLSTFPQSRYANNSGLCGIPLPPCGHDPGQGSVPSASSNGRRKTVGRSILVGIALSMLILLLLLVTLCKLRKNQKTEEMRTGYIESLPTSGTSSWKLSGVHEPLSINVATFEKPLRKLTFAHLLEATNGFSAETLIGSGGFGESFRCTTKGDVYSYGVVLLELLSGKKPIDPTEFGDNNLVGWVKQMVKENRSSEIFDPTLTNTKSGEAELYQYLKIARECLDDRPNQRPTMIQVMAMFKELQLDSDSDFLDGFSINSSTIDESAEKST >Sspon.03G0038330-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:4143161:4145931:-1 gene:Sspon.03G0038330-1C transcript:Sspon.03G0038330-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADEIDTRPASAGSRGRPAHATEDDDREEGEIADDAPAPALPATHPLEHSWTFWFDNPQGKSKQAAWGSSIRPIHTFSTVEDFWGLYNNIHHPSKLAMGADFHCFKNKIEPKWEDPICANGGKWTISCGRGKSDTLWLHTLLAMIGEQFDCGDEICGAVVSVRGKQERIAIWTKNAANEAAQISIGKQWKEFLDYKDSIGFIVHDDAKKADKGPRNRYTV >Sspon.04G0018570-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:76016906:76032623:-1 gene:Sspon.04G0018570-3D transcript:Sspon.04G0018570-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRLRIAEGGGDPWLRTKNAHVGRQVWEFDAAADPDPAVDAARRGFVDTRRQLKHSADLLMRIQFAKENPLELDLPGIKLGEHEDVTEEAVSTTLKRAISRFSTLQAHDGHWPGDYGDHNIVCDWSAKHCLVIRTPEGDPPNEDGGWGLHIEGPSTMFGSVLTYVILRLLGEGPDSGDGAMEKGRNWILDHGGATYITSWGKFWLSVLGVFEWSGNNPVPPEVWLLPYLLPFHPGRMWCHCRMVYLPMCYIYGKRFVGRTTPLLLELRKELFKDPYNKIDWDKARNLCAKEDLYYPHPFVQDVLWATLHKFVEPVMMHWPGSKLREKALETVMQHVHYEDENTRYICIGPVNKVLNMLACWIEDPNSEAFKLHIPRVYDYLWLAEDGMKMQGYNGSQLWDTAFAVQAIVATNLIEEFGPTLKLAHNYIKNSQVLDDCPGDLNDWYRHTSKGAWPFSTVDHGWPISDCTAEGLKASLLLSKISPRIVGEPLEANRFYDAVSCLMSYMNDNGGFATYELTRSYPWLELINPAETFGDIVIDYPYVECTSAAIQALTSFKKLFPGHRRKEVDNCVSKASNFIESIQKSDGSWYGSWAVCFTYGTWFGVKGLIAAGRTFENSSAIRKACDFLLSKELPSGGWGESYLSSQDQVYTNLKGNLPHAVNTSWAMLALIDAGQAERDPTPLHRAAKVLINLQSEDGEFPQQEIIGVFNKNCMISYSQYRNIFPIWALGEYRCRVLGAGKQYLKAVLLAMLISVTHLRKLGESNPIGEGAH >Sspon.03G0034620-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:75574479:75577373:-1 gene:Sspon.03G0034620-1B transcript:Sspon.03G0034620-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSSYSASSSAVASWYCWYSETRSFMLLSASVNSISSMPSPVYQCKKAFLLNIAVNCSLTRRNISWMEVEFPMKAVAVLRLFPHDVKHGVDELSALCVVALGPVVPRARLPEDEVVGAEDLAVRTGADAVHGAGLEVHEHGARHEAAA >Sspon.06G0021390-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:14710282:14721658:-1 gene:Sspon.06G0021390-1B transcript:Sspon.06G0021390-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytokinin dehydrogenase 7 [Source:Projected from Arabidopsis thaliana (AT5G21482) UniProtKB/Swiss-Prot;Acc:Q9FUJ1] MMLAYMDRATAAAEPEDAGELSPATMAAASAGDAMAATRVGAMDFGGLVRAVPAAVVRPASADDVASAIRAAALTPHLTVAARGNGHSVAGQAMAEGGLVLDMRSLAATSSSSSRRGGAQAQMQLVQCPSEGGGGGGGGHCCFADVPGGALWEEVLHWGVDNHGLAPASWTDYLRLTVGGTLSNGGVSGQSFRYGPQVSNVVELEVVTGDGECRVCSRSSHPDLFFAVLGGLGQFGVITRARIPLHKAPKAVSEHAVRWTRVVYASFADYTADAEWLVTRPPDAVFDYVEGFAFVNSDDPVNGWPSVPIPGGARFDPSLLPASAGPVLYCLEVALYQYAKHRPDDEEHRPDDEDQGVASLSRRMMAPLKYVRGLEFAADVGYVDFLSRVNRVEEEARRNGIWDAPHPWLNLFVSARDIADFDRAVIKGMLADGIDGPMLVYPMLKSKWDPNTSVALPEGEIFYLVALLRFCRPGGPAVDELVAQNGAILSACRANGYDYKAYFPSYRGGEAEWSRHFGAARWRRFVDRKARYDPLAILAPGQKIFPRAPAGRPSRAV >Sspon.08G0005110-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:15160376:15165466:1 gene:Sspon.08G0005110-1A transcript:Sspon.08G0005110-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Purple acid phosphatase [Source:Projected from Arabidopsis thaliana (AT5G34850) UniProtKB/TrEMBL;Acc:A0A178UD94] MDEFPSTDIPLDSEWFAIPKGYNAPQQVHITQGDYDGKAVIVSWVTPEEPGPSEVFYGKEKQYDQKAEGTTTNYTFYNYKSGYIHHCLVDGLEYNTKYYYKIGSGASAREFWFETPPAIDPDASYTFGIIGDLGQTFNSLSTLQHYEKTGGQTVLFVGDLSYADRYEHNDGIRWDSWGRFVESSTAYQPWIWNAGNHEIEYRPDLGETSTFKPYLHRYMTPYLASKSSSPMWYAVRLKYTPQWLWLKHEFKRVDREKTPWLIVLMHSPMYNSNEAHYMEGESMRAAFEKWFVKYKVDLYRISNVNYNITSGNRYPVPNKSAPVYITVGDGGNQEGLASRFYDPQPDYSAFREASYGHSILQLKNRTHAVYQWNRNDDGNPVPADTVMTSSTRRRRLKKNHFHLENLEDLISLF >Sspon.01G0015460-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:44874693:44877440:-1 gene:Sspon.01G0015460-1A transcript:Sspon.01G0015460-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARVSNAKKRQGAKPASAGLTGGTAATKRKAEDDRPVRVYADGIFDLFHFGHARALEQAKMLFPNTYLLVGCCNDELTNRYKGKTVMTQEERYESLRHCKWVDEVIPDAPWVLTQEFIDKHQIDYVAHDALPYADTSGAANDVYEFVKKIGKFKETKRTDGVSTSDLIMRILKDYNQYVMRNLARGYSRKDLGVSYVKEKQLQVNMKINKLRETVKAHQEKLQTVAKTAGLNHEEWLANADRWVAGFLEKFEQHCHNMETAIKDRIQEKLGRQLSKGISAGLVQEPVTA >Sspon.03G0010140-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:27410410:27412994:-1 gene:Sspon.03G0010140-1A transcript:Sspon.03G0010140-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVLIVVLIEVVVAVLRMTDMNWFILVERFMMSSEELRASFSDLVVCSPTQTEGETYSSGDLSSEEGVQVTCFTEDLHDVTLHFQIMRFSKQIYVWVGCNTTKFGHLYAAATTRLDNRVSVTSVLGGTSDNTGSSMARRLDFSNRKDASLHVSVLKTGLNIVLACNIPKDSPILEAAAERKLVEKLKGLGYIKTAAVEANTST >Sspon.01G0046790-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1B:100303986:100304150:1 gene:Sspon.01G0046790-1B transcript:Sspon.01G0046790-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARVACTLMAKGWNEGVLYSRVALDPVTFWMIRVKVDICRLESYICRVIRDPQLG >Sspon.08G0016780-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:65979854:65995626:-1 gene:Sspon.08G0016780-1A transcript:Sspon.08G0016780-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVIPPHKGAKVIPLYRHLGQCSPASSTGAARSLAGLLRQDQLRVDHIHRRLSSSSEGVRVSKQAGPVKEPVRSEVIHFHDQPVIQVRIGSERKASGGSGGSGDQQSQPAGVVQTVVLDTASDVPWVQCHPSASATDSSSSYNPDRSTTYTALPCNSQACAELGRLYRGACVNNQCQYRVPIPSSPASSSSSGTYGSDLLALAADPADGAISFKFGCSHPEAKQGEGSIDNATAGVMALGGGPESLVSQTAATYGSAFSYCIPATESQRPGFFVLGGSGPRNGDLPAAGYAVTPMLRYARVPTFYRVRLLAIAVDGQQLNVTPSVFAAGSVLDSRTAITRLPPTAYQALREAFRSRMAMYREAPAKGNLDTCYDFSGAFFVMVPRIALLFNGNAVVALDRQGILFHDCLAFTSNSDDRMPGILGNVQQQTMEVLYNVGGGSAAAAAARTKPSLADVLRQDRLRVHHIHRRVSGSRGARASKGSFKEPVSVEETQLHHQAAISVEVGTSQTSSEPSSSIHPAVTDGSSSPPVTVVLDTAGDVPWMRCVPCIFVQCNDYDPTRSSTYSAFPCNSSECKQLGRYANGCNANGQCQYIVTGDSFTTSGTYSSDVLTINSANPVHGFRFGCSQNEQGSFENLADGIMALGRGMQSLMAQTSSTYGDAFSYCLPPTETTKGFFQIGVPIGASYRFVTTPMLKERGAAATLYRALLVAITVDRKALNVPPEVFAAGTVMDSRTIITRLPVTAYGALRAAFRNRMRYRVAPPQEELDTCYDLTGVRYPRLPRIALVFDGNAVVEMDRSGILLNGCLAFASNDDDSSPSILGNVQQQTIQVQVGADQLTEAHCCSGVIVDMRADGDDDGKTSAFRCRDAAWYTVAAVVTVLIIAVVVTSLKVVLRPESLRLSVVDGAPDEDCVIFFNPTDEAVRQEMAVDLMTSVEATNDPAVMNQTYFELLYAEGGGSVIGDMTLRPAGGQHPRDGVSPPHGVQNATWLRLSLSHGPCSPPSSHSHRRKQKPPSLAELLRQDELRVQNIQMTLLSAGDDDDNAADDEKVKKRAARSTTTAMEHGPVVDVNVGSASSISTSRIDPMATGGGGGRRRRSMPGTVQTMMVDTASDVPWVQCHPPPTGGSKFFDPTRSPTYAPFPCGSPACARLGPYSNGCINGQCQYRVTYPDGSSTLGTYSSDLLTITRTTSVRSFQFGCSQAEQGLSQGSSSGTMSLGGGPESLVSQTAATHGNAFSYCVPPTPSDAGFFVLGKPPSSRTRTFVATPMGRFRQAPTLYRVLLRDITVAGQRLNLPATVFAAGSVMDSRTAITRLPPTAYQALRAAFRSRMGAYRLAPPKGSLDTCYDFTGVMVVRVPRVALVFDRNAAVELDPSGILLDDCLAFAPNGDDRAPGIIGNVQQQTIEVLYDVGG >Sspon.02G0024300-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2B:84993659:84994018:-1 gene:Sspon.02G0024300-2B transcript:Sspon.02G0024300-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAASAHFATAALVMALMLAGSSTCHAARYLADSTSAAAPAAVPAVPATAALPPLGAVTVPPIPTVPVVPAATLPPMPAAPTVPNAALPPMPAVPTVPKATLPPMPAVPTVPAVPAVPK >Sspon.03G0010770-1P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3A:29684046:29686216:1 gene:Sspon.03G0010770-1P transcript:Sspon.03G0010770-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNFCRFLPTQLNRRIKSNARELQALLRGIVGKRERAMKEGRANNDDLLGLMMESNIAETKQAGNSKPIMTMDDIIGELKLFYFAGMDTTAVLLTWTMVVLSIHPEWQDRAREEVQHVFGNNQPDLDGIQQLKIVSATSSPACALLTRLFRKWKSDMDTRSFLSLFGPCLQVTMILYEVLRLYPPVVQLDRQTYTEVELGGVTYPPGVLLSLPIVFIHHDKDVWGEDADEFRPERFKDGISRASKDSPAFFPFGWGPRICVGQTFALVEAKMALSSILQHFSFGLSQSYTHAPFPVSTLQPEHGAHIM >Sspon.01G0057410-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:6485003:6487271:1 gene:Sspon.01G0057410-1D transcript:Sspon.01G0057410-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAAGHGLRSRTRDLFARPFRKKGSTTRRTGRVWNVTKRAIGVEINKQVNGRIIRKRIHVRVEHVQPSRCTEEFRLRKAKNDQLKADAKARGEVISTKRQPVGPKPGFMVEGATLETVTPIPYDVVNDLKGGWLVLKVPRTAMLTDGGNVNHLR >Sspon.05G0008480-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:17201034:17205662:-1 gene:Sspon.05G0008480-3C transcript:Sspon.05G0008480-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEDGAMSPTRMLAEGHLRVATGGGTPADGGIAVRHLPHHHSTKKDGVGGKTEQDNHEGVDSLPSQELKKLANGNSKIPGTLDDYRKLVVPIIEEYFSTGDVELASSELKGLGSDQFQHYFVKKLISMAMDRHDKEKEMASILLSSLYADLLSSYTISEGFMMLLESTEDLTVDIPDATDVLAVFIARAIVDEILPPVFLTRARALLPEFSKGIQVLQVVEKSYLSAPHHAEVVERKWGGSTHFTVEEAKRRIQNILREYIESGDIDEAFRCIRELSLPFFHHEVVKRALTFGMENISSQPLILKLLKEAAAGCLISPNQISKGFSRLAEGVDDLSLDIPSAKALFDKLVSTAISEGWLDASFGKSAAPDEEMQNTSAVKVKRFKEESGHIIHEYFLSDDVPELIRSLEELSAPEFNPIFLKKLVTLAMDRKSREKEMASVLLSSLRLELFSTEDIMKGFVMLLQSAEDTALDIVDAPSELALFLARAVIDEVLIPLNLDEISIKLRPNSSGSQTVQMARALLSARHSGERILRCWGGGTGWAVEDAKDKITKLLEEYNTGGDLGEACRCIRDLGMPFFNHEVVKKALVMAMEKHSDTSILALLQECFGEGLITINQMTKGFARVKEGLDDLILDIPNAQEKFGEYVELATERGWLLPTFASIT >Sspon.07G0002380-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:5103926:5108729:-1 gene:Sspon.07G0002380-1T transcript:Sspon.07G0002380-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPPPPLLEARDYLGAGATSPCCSSSCSSGGEGAGPHLALRLGLPGSDSPGRGAEAEHVDAALTLGPAPAPPRGGAKRGFADSLDRSAKRDGDAAGGVTGEEKGAAAAAAGAPPAAKAQVVGWPPVRSYRKNTLAASATKTKGEDEGRSEAGCCYVKVSMDGAPYLRKVDLKTYSSYEDLSFGLEKMFSCFITSQSSSRKTSRRDRLTDGSRADALQDKEYVLTYEDKDADWMLVGDLPWDSKITGTDSSEQIRNVARPAESSKGQSLHGAEGSDVLCEVLRLSLFFTCAVRSVVYCKTPILPTRLSRVIVDGRFCL >Sspon.07G0038090-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:69569997:69583681:1 gene:Sspon.07G0038090-1D transcript:Sspon.07G0038090-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGPELQVVNKSETPISLEESGTVVLTPHQGQDASSTLLPINFAGLAKAVTPGATIFVGQYLFIGSETTSVWLEVSEVKGDDVIFAKIENVEGLNHFDEILAEADGIILSRGNLGIDLPPEKVFLFQKSALHQCNMAGKPAVVTRVVDSMTDNLRPTRAEATDVANASTKKLCVVMLDMVGPELQVVNKSETPISLEESGTVVLTPHQGQDASSTLLPINFAGLAKAVTPGATIFVGQYLFIGSETTSVWLEVSEVKEDDAIFAKIENVEGLNHFDEILAEADGIILSRGNLGIDLPPKKFFLFQKSALHQCNMAGKPAVVTRVVDSMTDNLRPTRAEATDVANAVLDGSDAILLGAETLRVINPLTSDHPKY >Sspon.06G0020470-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:10175998:10177014:-1 gene:Sspon.06G0020470-2C transcript:Sspon.06G0020470-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDITDAAAAATAADVSGPPTLTKETNLFMQIVINTDGTVTRPEVPLVPASEVAGGGVISRDVPLDASAGTYLRLYLPSPSPAPAASASAKLPVVLYFHGGGFVILSPATVFYHGHCEAMAAAVPAIVASLEYRLAPEHRLPAAYEDAAAAVAWLRDGAPGDPWVAAHGDLSRCFLMGSSSGGNMAFFAALRTGGLDLGPATVRGVLLHQPYLGGVDRTPSEARSVDDAMLPLEANDRLWSLALPLGADRDHEFCNPVKALAPEALAGLPPRCLVTGNLDDPLIDRQREFARWLQDRGGAEVVVKTDVAGFHASELFVPEIAEVLFAAMREFVFTGDA >Sspon.01G0018440-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:74034559:74035848:-1 gene:Sspon.01G0018440-2B transcript:Sspon.01G0018440-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MIALQVCNAEYNPKFFGAVNFRFILNVKHGTHCSCYWNSKMPCILSQRFAAVIMRIREPKTTALVFASRKMVCPGVKSEEHSKLAARKYARIIQKLGYQIQFKYEPELFPGLIYRMKNPKIVLLIFVSGKIVLTGAKVREEIYTAFENIYPVLSEYRKCQKW >Sspon.01G0022450-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1A:82046532:82049113:1 gene:Sspon.01G0022450-1A transcript:Sspon.01G0022450-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKPFYDILGQAYPSSPKETMISRDTQVDCPQDNYSEQACSGSFFTDILGPQGMHLVANDWASECDHLSLQFERGAEEANKFVPSIVKLVDLDSNGLPDSIQMIKATIGQKGKHVSKIQSHPHVDLEFLEARNSKHLAISVSETTRNEMFDSVLLCDWQFRCDVAHRREIKAKEANISSQNVRRKGYGQGQMKPRGKKKEEGIDLRAHLMQCAQAIVVNNLPFASELLKKIRHHASPYGDGSQRLALYFAIGLEARLAGTGMAIINPALCEARRWPPKLRITGIDVPQPGFRPRAIIEATGKRLAEYAEMFNVPFEYQGIASQWEDICIENLNIDNDEVLIVNCMYRTKYLGDETEDIDSARDRVLRTMKRINPEVLILGIVNGMYSSPFFLPRFREVLFHYSALFDMLDATALQTDEDRIQIERDLLGASALNILACEGAERIERPETYKQWQVRCLKAGFKQLPVNKAILKRSIDEKNKHYHEDFVIDEDIRWLLQGWKGRIIHSLIELAPAFVAMVLRRRFNQRAAVQALEDQSWITDRSSSGTSPWAVAAVVALLLVLASHKSSFQMFRPPFYLK >Sspon.03G0034450-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3B:74134540:74135328:1 gene:Sspon.03G0034450-1B transcript:Sspon.03G0034450-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LESNRLWAWSAGAGACRRRCSSAARACRRACACRRRSREGHPAARCSSATRVEEWRGGAPRLHPWHVREGSATRSASNRDHVHHHRPDEALGVHAPPRRQAGGVHAPKTGRGQQGQGPLHRVELAALLRSLGLRPAIGDKIHVLRFTSTATRRDPPRRQSLHLRRRARALHLASTTTATLHGNGFISASTATATRGPVDLAPGPVVLHGARWSLQAGDVVAGGGRGGAPAVVLAQRATVEAVSGRCYGSWPSLAPGVSSKPA >Sspon.06G0027840-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:90007483:90008435:-1 gene:Sspon.06G0027840-1T transcript:Sspon.06G0027840-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATGSGSGRRQNEEARRRWSLAGKTALVTGGTKGIGLAIVEELASLGARVHTCSRTAGDLDACRRRWADKGLLHAGDDVITTSVCDVSSERDRESLVATVRDLFRGSLHILVNNAGGSLYRPAAATTPDDYARVMATNLDSCFHLSRLAHPLLRRAEADGGAVVVHMSSVAAFVAYPALSAYSVSKGALQPLTRSLAAEWAPHGVRVNCVAPGVIDSTGISSTTLGDAGKARRLAEMEMSRVPMRRFGTPQEVAALVAFLCMPAASYITGQVICIDGGRTVAAKL >Sspon.03G0039290-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:12297444:12299984:1 gene:Sspon.03G0039290-1C transcript:Sspon.03G0039290-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRRQLICLAAAVAAAAILLTASAKKSGDVTELQIGVKYKPESCTLQAHKGDKIKVHYRGALTDGSVFDSSYDRGDPFEFTLGNGQVIKGWDQGLLGMCVGEKRKLKIPAKMGYGERGSPPKIPGGATLIFDTELIAVNGKTSGGAKAESDSEL >Sspon.01G0012660-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:34221728:34226412:-1 gene:Sspon.01G0012660-1A transcript:Sspon.01G0012660-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKDTPIEENGEVQLDLIRPREAVPSSGQPSVQTSAMATFFMILLLLSLLPLHSSAAPRDTLLLGSSLYVEEHQTDVLQSPEGTFACGFHSIYDSAFTFSIWYANSANKTVVWTANRGHPVHARGSVVTLRKDGAMVLTDYDGAVVWQAEGDLAGVQYAKLLETGNLIMVNSSGMVVWQSFDSPTDTLLPTQHITSTTTLVSTTHLHVPGPYTFHFTDSSILSLIYDDAGVHEIYWPNPDNGEYQNDRNRYNSTRLAFIDDTGRFFSSDFANQQPLVASDEGVGIKRRLTLDPDGNLRQYSLNDSDGRWSVSWIAVSQPCNIHGLCGPNGICHYFPTPTCSCPPGYVMSQPGNWSQGCRPVVDIVCTAKKAQPVKFLRLPGTDFWGSDQQHVEHVSLQACKNICRKDCTCKGFQYQQGTGTCYPKAFLYNGKAYMAPTISTPMMYLKLPAGVNISGISVPQTNVLISPRKQHPDCGQMSASTMELFPEIHKSSQGETKWFYFYGFAGSIFVLEAFFIASAWCFVLRWELGASEIQAVEEGYKALTSNFRRYSYKELVKATRKFKDELGKGGSGIVYKGVLDDNRAVAVKMLENVRQCEEEFQAELRIIGRINHMNLVRIWGVCSESSHRMLVTEYIENGSLANVLFKDHILLEWRQRFNIALGVAKGLAYLHHECLEWVIHCDVKPENILLDRNLEPKIADFGLAKLLNRGSSNQNVSRVRGTIGYIAPEWISSLQITAKVDVYSYGVVLLELVLGRRVLDMALGANEEVHKVLRKYVAMLALMLDKEEPSSIAEVVDCRLSGQFNYMQNKRCQVAHVA >Sspon.01G0054730-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1C:67339679:67340515:-1 gene:Sspon.01G0054730-1C transcript:Sspon.01G0054730-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVPDENWFVHHLNDHGGIGPFRPAVPTPLCACGLPAFVKQSSHPESAGRAFYCCELRRRPSQLCSFIDGCNFYQWIDGDEMFNSSIMLFPYDPWKSSPYDEFVRWVPPPSNPFEMIELEKVDATLNHLINRPRCHCDMVAVLQLPSQKGMFTPFYQCGQRDYLSAHAMNLFSEVACVFHILTSHLQLYLFKRGFPACDFEEYNYGPKSHRPSECEIIEFQAGIKPWPCLRMPDLKCKCGIKACQGVVPSKLGYGHNCGNAYGGPNELWVSSLKQSSY >Sspon.05G0010670-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:32768418:32773290:1 gene:Sspon.05G0010670-3D transcript:Sspon.05G0010670-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHEREPLLQQRQTGGVGNAAGAKGSSSAPAPAAAALPSLARTVLKFLMWAVFLTWAAGIFLYPTKPVQAVFKKWAGLTRESMFGMAGGIFLTFSAPILIIALLAYVYISFFPSEHVVEKKKLRSLSFRLWTFPVLVDGPFGVVSAVEFIGIVLFITFVVYSMTYYAVESVSLVSKFDLPSMTESELILDVIGARLGAVGLFCMLFLFLPVARGSVLLRLIDIPFEHATRYHVWLGHLTMALFTLHGLCYVISWSMEGRLREEMREWREIGVANLPGVISLAAGLLMWVTSLHPVRKRFFELFFYTHQLYVIFIVFLAFHVGDFIFSISAGAVFLFMLDRFLRFWQSRAKVDIISAACRPCGTVELVFSKPPGLRYNALSFIFIQVRELSFLQWHPFSVSSSPMDGKYHMSVLIKVLGTWTEKLRSIITDVQEKNRGDSELQCGRMTACVEGPYGHESPYHLMYENLILVAGGIGISPFLAILSDIIHRIEEGKQCMPKNVLVLWSVKKSKELSLLSAVDAQTISSSVSDKLQLDIQAFVTQESLPPLEDGIVVDDQKVPGMFVKNGSTMSGLVGTGDNFWAAAYFVASTLGFLLAYTLVHVYYVEPHNMMAWWYRGLLFMLCMVAGVALPGGLVVLLWHLSEKQRLEGDKWDAAAAASQSPRAEQTGPAAAAGGGDDDAVPSVSLAALRTTRYGCRPNFEAEFAAFAERAGDAADVGVLVCGPQGLQTSVARECRARNLRRGGGAEKSRSGAVFHFNSHSFDL >Sspon.08G0001240-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:4422142:4425913:1 gene:Sspon.08G0001240-1A transcript:Sspon.08G0001240-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine protein kinase domain containing protein [Source: Projected from Oryza sativa (Os06g0717200)] MPPPTVLCLLLHLLLPAAPASATPERDAYALSRLKVSLVPSTSTTNSTTAATALSDWDPAATPPAHCAFTGVTCDAATSRVVAINLTAVPLHGGALPPEVALLDALASLTVAACSLHGRVPPALASMPALRHLNLSNNNLSGPFPSPPSPSSPYFPALELVDVYNNNLSGPLPPLGAPHALTLRYLHLGGNYFNGSIPNTFGDLAALEYLGLNGNALSGRVPQSLSRLTRLREMYVGYYNQYSGGVPPEFGALQSLVRLDMSSCALTGSIPPELAQLSRLDTLFLAMNQLTGVIPPELGALTSLQSLDLSINDLSGEIPASFAGLTNLTLLNLFRNHLRGEIPDFVGEFPFLEVLQVWDNNLTGSLPAALGRNGQLKTLDVTGNHLTGTIPPDLCAGRNLQLLVLMDNAFFGSIPDSLGDCKTLTRLPDVIAGDKIGMLMLGNNGIGGRIPAAIGNLPALQTLSLESNNFSGPLPPEIGRLRNLTRFNASGNALTGGIPRELMGCGSLGAIDLSRNGLTGEIPDTVTSLKILCTLNVSRNRLSGELPPAMSNMTSLTTLDVSYNQLWGPVPMQGQFLVFNESSFVGNPGLCGAPFTGGACPPSFGGARSPFSLRRWDSKKLLVWVFVLLALLVLAILGARKARKAWREAARRRSGAWKMTAFQKLDFSAEDVVECLKEDNIIGKGGAGIVYHGVTRGGAELAIKRLVGRGCGDHDRGFTAEVTTLGRIRHRNIVRLLGFVSNRETNLLLYEYMPNGSLGEMLHGGKGGHLGWEARARVAVEAARGLCYLHHDCAPRIIHRDVKSNNILLDSGFEAHVADFGLAKFLGGAASECMSAIAGSYGYIAPEYAYTLRVDEKSDVYSFGVVLLELITGRRPVGSFGDGVDIVHWVRKVTAELPDGTAAAAEPVLAVADRRLALEPVPLLADLYKVAMACVEEASTARPTMRE >Sspon.05G0003070-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:5278738:5281725:1 gene:Sspon.05G0003070-2B transcript:Sspon.05G0003070-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CAD1 [Source:Projected from Arabidopsis thaliana (AT1G72680) UniProtKB/TrEMBL;Acc:A0A178WLT3] MAAESDHGNCDAWAARDPSGVLSPYKFNRRAVQSSDVSLKIIYCGVCYADVIWTQNKHNDSQYPLVPGHEIAGVVTEVGTDVKGFKAGDHVGVGTYVNSCRDCENCNSSLENYCPKSVFTFNRIDTDGTITKGGYSTHIVVHERYCFKIPDGYPLAKAAPLLCAGVTVYTPMARHNMNQPGKSLGVIGLGGLGHMAVKFGKAFGLKVTVFSTSESKREEAISLLGADNFSLKNSLHFIVDTASGDHPFDPYLSLLKVGGVMTIVCFPSEIKMHPASLNRGGRTLSGSIVGGTKDIQEMVNFCAENKIYPEIEIIKMDYINEALARLVNRDVKYRFVIDIENSFKFADNNIGHLEVSSSSTSSMPGSSVHDRVICRDA >Sspon.02G0021880-3P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2B:92387858:92389238:-1 gene:Sspon.02G0021880-3P transcript:Sspon.02G0021880-3P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAATTTAARTAPPPMVAVAVAVLLVLCAASVAGQGQLQVGYYNKTCPAAEQIVRNETTAAIQASPDLAAALLRLHYHDCFVQGCDASVLLDSTPNNTAEKDSLPNGSLRGFDVVARVKDQLEAACPGTVSCADILALMARDAVSLAKGPTWPVALGRRDGRTSSAASCVELPPLHGDIGLMVQAFAAKGLDVKDLA >Sspon.08G0016410-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:59476818:59477915:1 gene:Sspon.08G0016410-2B transcript:Sspon.08G0016410-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVTEEVAPAVAVAEEPAPKEAKEVVETPEKVEEGKKPDEGEGKKAAEKEKKARKPRSRKPKSAGPHHPPYFEMIKEAILSQDGGKVGASPYAIAKHMGEKHRDVLPPNYRKVLAVQLRGFATKGRLVKVKASFKLSASEEKKASAAAKTKKKAASAPTLAKRKRPAAAAAAPAKKKTAPAAAAPREARKARAKRARMVAPAPAQPKPKSARAAAAGKKANKASA >Sspon.05G0022510-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:7561450:7562787:1 gene:Sspon.05G0022510-1P transcript:Sspon.05G0022510-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAFAAAVAVETTTGSSLFKKLDTMEIEEAAGVCVAVVACAAAFAWASSARNRIGQMFTLGCNAFVDSLIDNIVEALFSEGELQDWSDDI >Sspon.04G0018580-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:66800732:66804694:-1 gene:Sspon.04G0018580-1A transcript:Sspon.04G0018580-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEETAAAAAATATATAEKASSYRYWVREATGDAAPLPVPRKLDPAANGNGNPPPLGSVWNQAGTWEEKNLNSWASSRIKDLLGSLGSLEFPTGKASVDEVSKCSGDAFQVTVRNKKRVGYNYELSLRFKGEWLIKEENKKIKGHLDIPEFSFGEIDDLEVQIRFSDDKGLASDDKTRICKDLKSFLAPIQEKMREFEEELKGR >Sspon.05G0002440-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:7148907:7151997:1 gene:Sspon.05G0002440-3C transcript:Sspon.05G0002440-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTPSAPPPCPHLAAHRLSSRPLRFLRRCLRVRPLGRPEIRRDPRELPRCSPCAAAPPPPTSRLYACLSCAAVFCPSHAASHASASAGPGHQIAVDVDRAELFCAACGDQVYDPDFDHAVFLAQSSSLLPSTSASPSPSAALRKRRRVDYRAWAPDPAEFALMSSSDPTASGSAAAPAGLRGLNNLGNTCFMNSVLQALLHAPPLRNYFLGDRHNRFLCPRRTPVRHRATDADAARVACLACDFDEIYSAAFSGERMPYSPAKFLYSWWQYASNLASYEQQDAHEFFISILDHIHENIKDDQQKSHAQGHGDCCIAHRVFSGILRSDVTCTSCGFTSTTFEPCMDISLDMDAGENSSFGVANRKPHVRNGEQGLAGVNSKVSTLMRCLERFTRSERLDAEQKFFCEHCNERQESLKQMSIRRLPLVSCFHIKRFEHSSVKKVSRKVDHCLQFPFSLDMAPYLSSSILRSRYGNRIFPAEASDADAVSELSSEFEIFAVITHSGKLEAGHYVTYLRLNNHWYKCDDAWVTRVEEHTVRTSQAYMLFYVQKTLYYKACERAATV >Sspon.03G0000320-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:7530716:7537226:-1 gene:Sspon.03G0000320-2B transcript:Sspon.03G0000320-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQLQQQQQGLDIDKLQQVVKTRWLKPQEVLKILQNHELFTISHKTPQKPQSGSWFLFNRRVLRYFRSDGFEWQKKKNGKTINEAHERLKVDNVDALNCYYARGDKNPTFQRRIYWMLDPAYEHIVLVHYRDVLEGSISVSARNDSSTSNQNGSASRADVHSSPGWTSELIVQCPNSCSPGSAEEVSSRTSASESDWIQHKAALRKLKMQLSLEDKEDCDVNAEEVPANNEPIILPGIQNEELDTSRNHDDIFDVLDFSEDHINGTGTHPCPSAIDVLKNSDTWLEEDQLEAILHPACMTLTENQWFKIHEVSPESAFSSESTKVIIVGDFLCNPPHSSWEVLFGDVKVPVEIIQQGVIRCHTPCFNAGKVRMCLIDGNGKSCSEAREFEFLEKPTKGMIDGNRNPCNEARDSRIHQIPTKSSDELSLLLHYVRTLFDGHACGLFSNFSSPLPNLGCEFQTNQMDIIKTYEQLDPENTVNSVMEALLNDKFKQWLSSKCEQNIDGDHLLPKQYHGIIHMIAALGYVLALKPLLNSGVPINYRDANGWTALHWAAKFGREDMVAALLTAGAAAGALSHPTSEDPAAKTPASIALAYGFKGLSAFLSEAQLTTHLDSIESKENGKLDSREGGICRAVDRISDKSSHVHGGTDDQLALKDSLGAVRNAVQAAGRIQAAFRIFSFKKKKEMALQNRNSCFLSISETGAVSHGMLEKAALSIQKNFRCWKKRKEFLRIRNNVVKIQARVRAHQERKKYKELLSSVGVLEKVMIRWYRKGVGLRGFNSGAMPIDEEVDEDVAKVFRKLRVETAIDEAVSRVSCIIGSPKAMQQYRRMIKRYQQAKMAQATANRINNQAGCEPLV >Sspon.01G0030670-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:104898413:104899975:1 gene:Sspon.01G0030670-2D transcript:Sspon.01G0030670-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDFPSCFGESGVQIADASSSSSSAGKGAAQNLVTCLYQTQFSGRPCVISLTWSKSLMGQGLSIGVDDLSGHCLCKADIKPWLFSKKKGSKSLDVEDGKIEIFWDLSGAKFGAGPEPVEGFYVAVVFDLELVLLLGDMKKDAYRKTGANRSMLNAAFVARREHIYGKKIYSAKAQFCDNGQFHDIAIECDTVGLKDPCLEIRVDKKPVMQVKRLAWKFRGNQTILVDGLPVEVFWDVHSWLFGSTASNAVFMFQTCQAPEKSLPWSYSQIFRESQLQGLGFSLILHAWKL >Sspon.02G0053930-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2D:94438503:94439512:-1 gene:Sspon.02G0053930-2D transcript:Sspon.02G0053930-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVESWSNSRLAAAIRALAGAEPASAASHLIPAWPVSGSSAHAAPPGASRRVAAHEPHRGHGRGPVQDAARLQRVPLAAARSSSIRRWSCRSAEEAPGTDASRDAQKATSTKSRIASRESATVSTAVEAGEAERGGAHGEERGSPADGLLVPSGGGRIISRSTCRDALKQPAATYCAACSTSRVPAEDEDDLDAVPGRCGVVGRQPCRERRHGGRVPHCAARRRARTHRGTASCTHVVAAQPSAHLIQRRGRGRRLQRRSLACAGTGRGEEEEEEEDRRAGGRPGR >Sspon.04G0019620-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:69236734:69242568:-1 gene:Sspon.04G0019620-1A transcript:Sspon.04G0019620-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding QMASSCIGWTPLLLCLVQLLHFHTHAASASGVHPHGHANLTRHSAPWLCRPDQANTLLTLKQSFSFHTNGRFPYDLESTTTLPSWKPGTDCCLWEGIGCSNSSSGHHVVTALDLSGFRLNSDGIHPVLFNLTSLRMLDLSLNNFRGYDIPSVGFERLSLLTHLNLSTSGISGQVPIGLSKLTNLVSLDISDRPIDIEDAEDDDSDIDAISIAPNYGLSVPYFHNIVANLSNLTELYLDLVSISSSVQDCFIALAIYVPHLRVLSMQSCGLQGHIDSSLSRLKSLAVINLSDNGGITPGPFPEFLMNFPNLRVLQLSGINLQGWFPRGMFLSENLRVLDLSGNSNLSGHLPSFSNAASLETLRIDWTNFTYVTKPSYFSDFKAVTELGIDGKIISMEFHPLFGMLASLHELFMTQVDSPRQLETLFSWLEGIKNLRSLSFIDCDLSMIIPSSIGNLRNLTSLLILGSNFTTQTLSSVTNIRNLKNFEIYCDDSDYIFLAGKLPSAIGNMSNLEKLDISGCQLSGPIPHEVGALKKLKSLVLHFIGLSGRIASTIANLTQLTELQLDGNHLRGQEKSHISFHSSNASYLDLSQNQLSGPIHEFDGACSCLRIVYLDMNNLTGQIPQSLLVLPNLTELNIEGNNLMGSVDLKSLWGEKLTFLCLSYNKLTVIEGEDTNNSSSTYPHQLMEIGLASCNMTKIPKLLMHAKYVTYLDLSSNKISGDIPSWIWDRWNYSLTWVSFSDNMFTGMELNSYVIPFSDTLQSFNLSSNRLQGLIPMPSSSAEILDYSSNSFSSLLPNFTLYLSRTSYLRLSNNNISGFLRHSICDSPLEVLDLSYNNFSGPLPRCLMEKAFLSIINLRENQFNGMLPSNISIGCAVQTIDLNGNKIEGQLPRALSNCSNLEVLDLGRNRIADTFPSWLGGLLNLRVLVLRSNKFHGSIGHLEDKKYRGHFSSMQIIDLASNNFSGKLHPKWFENLKSMRQYDNRGQIIDHPNLIVLYQDSVTISYKGFVMTFERILTTLTAIDISDNALEGSIPTSIGNLLSLHVLNMSHNAFTGKIPPQLGSITALESLDLSSNMLSGEIPQELTDLTFLSTLNLSNNQLDGRIPQSRQFETFQESSFDGNAGLCGPPLSKKCGPSDIPSETHLKNSSHGVDVVLFLFVGVGFGVGFATTILLKLDYCI >Sspon.07G0016010-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:56847254:56849482:-1 gene:Sspon.07G0016010-1A transcript:Sspon.07G0016010-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LRCPLPTHTRKCKKKKKNYMLSPCTMVLLVPPPSPPPPCGSKPPHDGTALGRIQIGGTPHFPASSSRHKRPRTLAGSDTKEPPPPAVEGPPPPCESPIAGPPPRLVDPAALSPRPGIRRRPLPIALWIRRCPVPIPIVIGAPSIGDGVLTPAISGGYFTIQSSACIFS >Sspon.02G0035200-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:17709235:17714011:-1 gene:Sspon.02G0035200-1B transcript:Sspon.02G0035200-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHCWSAWLLALCLVSVQLFVAAQNLSPPALTPPLVKEVDDLVEHIWTSCGLDRGSLEDVRKHFNYNHVFDILRTLSGKDTKDSSPETEDVSKALSPEIKNTLLNCLSKHPLVVAAQESAKNLPIDYIKMLFAMLRRDVAQGSPGAAATPAPPAVVKSNPSHSLGEPSSTKPDKNPDPPSQTTPKEKTVPQTEKTVAKKDDNSGMPTIAVIGLAVSAIALLALLCLCCCVCRANQAFSSDVRDNKPPLILNLSNLSAASLKLSQGNPIDINKLGALPLKSEAGQNGNVKLSSSEISKTEVTPAVHNSLAEPMAASTGSVPGSRPTAPPPMPPPAPAPPKAPPSSTPQAPAPLKAPPSSNPQAPAPLKSPLSSTPQAPAPHSKPTPVLHPEPSSPSAPKAAPPPKDAPQPKAAPPPPPKSTGPPPPAMPGSSKTRPPPLMKKSGNKVDDGANSHEAKTKLKPFFWDKVTANANQSMVWDHLKSGSFQFNEGKMESLFGYNSVDKTGGDGKKDLLSKDVPQFVRILDPKKAQNLAISLRALSVSPEEVCSAVKEGNELPPDLIDTLLKWTPNNDEELRLRLYTGELSQLGPAEQFLKAIIDIPYIFQRLDALLFMSNLPEEASNVKHSFATLEVACQELKNSRLFLKLLEAVLKTGNRMNVGTFRGGAQAFKLDTLLKLSDVKGTDGKTTLLHFVVQEIIRSEGIRATRAAKKQDCSVSSVDANDTDGNNMQTEDDYKQLGLKVVSNLGDELQNVRKAAILDADQLTMSVASLGHKLVKTKEFLNTSMKSLDEDSGFHHKLKHFAEQSQTDVALLLEEEKKIRSLVRGWKDEGLRLFVVVRDFLAMLDKVCKEVKEATKVAPKKTRPHSHPKHLSMIPGATYSQQFKTKGHILQAQALMKTINCISNQGTRCLPLRSALN >Sspon.03G0042980-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:74279223:74279588:1 gene:Sspon.03G0042980-1C transcript:Sspon.03G0042980-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSRSRRARSGDARQVLGEREVVFSRSVAVRCAVKLDHLASDDQREMPLQTRRAACGRRRVFGCVRACVGWIPCSALIQIRCTSSKEQGFAAVLRLVLATELFYEIKLQ >Sspon.05G0025460-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:23721663:23731217:1 gene:Sspon.05G0025460-1B transcript:Sspon.05G0025460-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARPRRLQWEPEPLRVTAALVLFLLLAAAGGAAAAAASAAPAAGRREVVTSPHGAVAADDWRCSRIGRDALREGGSAVDAAVASALCLGVVSPASSGVGGGAFMLVRLADGTAVVYDSRETAPLAASKDMYGGNESLKARGALSIAVPGEIAGLYEAWKHHGKLPWKRLVLPAARLALEFRISPYLRMQMEATRDGILGNNGTRAVYAPGGDILRAGDVCRNTRLSQTLRAVAEHGPGVFYGGAVGARLVRDVREAGGIVTVEDLKRYQVKVRRPLTGNVMGLQVVTMPPPSAGGAGMMLVLNILDQYGIPAGFAGSLGIHRLIESLKHYMAVKMNLGDPDFVNDSEVVSDMISPKFAAELKKTIYDNMTFAPKHYGGRWNILQDHGTSHLSIVDSERNAVSMTSTVNAYFGSLILSPRTGILLNNEMDDFSMPANTSENSPPPAPANFVSPLKRPLSSMNPTIVVKDGKLMAAVGASGGSMIPAGTIEVFINHFVKNMDPLASVMAPRVYHQLIPNEVKYENWTTVTGDHFELDAATRADLQKRGHVLKPLAGGTISQLVVHNVGRQGDLTAVSDPRKGGVPAGY >Sspon.02G0006980-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:20919079:20921923:-1 gene:Sspon.02G0006980-1A transcript:Sspon.02G0006980-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEFMIGDDTRLVASMRLAMVLAASSRLFAKLSDLFASDAAFRDALGLVRSVPGAERLRVVAYGLGGVQYSYAPWFCLAVLLLLRDAFPDAIGDIEVACPTAAPVERRAMEELGCVLTVSVQQCQPVRGPTLIFMPATVRNLDLCFHANLNLYLNNVVTDTTCRKSAILLDYGPKISHLTGEPITNAVVVARYLGATLVLPDIRGNELGNKRY >Sspon.02G0049890-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:35251167:35251421:1 gene:Sspon.02G0049890-2D transcript:Sspon.02G0049890-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGGARTRGPAGAAAVLFALLLVAASVHADAAAAPARRLLGADGGAVPPPPNKLPVSVSKATLGPPCGTTSDPNTSCPHSPHAP >Sspon.01G0046670-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:99835163:99836910:1 gene:Sspon.01G0046670-1B transcript:Sspon.01G0046670-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSERRMNPALYKAATKGKVSSLKQLVDPEDPSVLSATTPQLNTALHLAALHGHAEFAGEVLEMNEELLVTRNDDGDTPLHLAAKAGKLEVAKLLVNRALAWPQDKKSPLIMINKAGDTALHEAVQYRRGAVAVVLLDADPNRGHDLNERMESPLDMAAREGLVQVVQKIVNFPWVGQEFLPNISLSGTALHQAVLGTHHRKRYILLDKRPDLIDLTDSDGNNALHYAAQKDHQRAVEMLLKKRTELAYKCNHMRMSPLHVAAQYGSTDTIKALLRHCPDVAEMADSYGRNAFHASVVYGKANALRCLLRRVRPAELLNRVDAKGDTPLHLAAKMSRVHSALMLLNDSRVDPCVRDHDGQTARSLVEKKLHTGEMDAYEMYLWKQLRYQESKRCRKQQLPPLATYPSRRGNDKYFERIVETYILVATLIATVTFAATFTMPGGYNQSTGIALQGQHVAFQIFVVSNTIAMCSSIVVVFCFIWAWQDPVRFKVDQLLWGHRLTVIACLGMLVSLMTAVYITVEPVSRWPAYVVIAIGTSTPAVVFLMLGRE >Sspon.08G0022070-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:45479702:45480202:1 gene:Sspon.08G0022070-1B transcript:Sspon.08G0022070-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding PAPASRPRRRTPRGAGGTRSTGTRRVCARSAYATACRASPPPRPPPAPRAPAPTRRRRRRRPPPRARRGRRPWRSRRRPRRRGRPAAGASRCCCGRRAGRRRRWLRAAERSRSWWKRRGRRRGATSGRGCSSSSTTVAGTRKTGARWPQRGRTPPRRTSGRRWCDAR >Sspon.02G0053660-2D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2D:92540165:92541280:1 gene:Sspon.02G0053660-2D transcript:Sspon.02G0053660-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRQRRRPRRPFPVSDTPTQSTDPRSRVGPTRRLADAYSAVGGALLAAARSALHPRAALVAALGLDSVEKEEAAPRTELVDHGEAALPGSNLVDPYQETALLLGSILVDQDGEAALLGANLVNQDREMAPLGSNLVDLNQETAPPLGYIRVKAEGPSSDLETGRVAGTRCSEIVEEEEKDGTTAPIGSDLTGGIDRAFVERKGAQIASAPRRNGPRARSRISSLHHPRQPPARLFLLRRRSGHGSRTSPSGRRVECAATSSLPRLMLECPATPRRGSTLLLTPVASPSPPRLQPVALHVRPGSGIISPPPHRGRGLLKLFPRRRRRRHLRGQLVLVRPHKAHNPLPQALLHRCRRLALLIPLWLLVHPHQM >Sspon.02G0015410-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2B:40510733:40514316:-1 gene:Sspon.02G0015410-2B transcript:Sspon.02G0015410-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPPPKADELQPFPPKEQLPGVAFCITSPPPWPEAILLGFQHFIVMLGTTVIIPSALVPQMGGGNEEKARVVQTILFVAGINTLFQTFFGTRLPVVMGGSYIFVGPTISIILAGRYSNEANPHEKFLRTMRGTQGALLIASTIQIILGFSGLWRNVVKLLSPLAAVPLVSLVGFGLYELGFPGVAKCVEIGLPEVFLLVVFSQYLSQVLDFGKSVFSRFSVLFTVSIVWLYAYILTIGGAYKNSPPKTQVHCRVDRSGLISGAPWISVPYPFQWGAPTFDAGEAFAMMMTSFIALVESTGAFIGASRYASATMIPPSIISRGVGWQGIGLLLDSFFGTATGTSVSVENIGLIALTRIGSRRVVQISAGFMIFFSVLGKFGALFASIPLPIFAGMYCLFFAYVGGVGLSLLQFCNLNSFRTKFIMGFAFFMGLSVPQYFNEYTAVASYGPVHTGARWFNDMINVPFTSKPFVAGLVAYILDNTLQIKESAVRKDRGNHWWEKFRSFKKDARSQEFYSLPFNLNKFFPSV >Sspon.02G0010600-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:28980245:28981847:-1 gene:Sspon.02G0010600-1A transcript:Sspon.02G0010600-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding FAEVNDFGMGLPQIHVVKEEEPTEGSTPVTGPSFIVSGLCDSVWEAQVEVGFKTHPSVISREKFASGASNGLNSHIRTRHAVDDPAGYQGLNPESRDPSYRSCPKIRSPVQMPAMRVVGFDSGTADSTGGPDIMVAHKMHSSLVIGNCDSSVEHHEVQARKRVLSPLTNVLPAGQFHGDALNIGSGDEKNQHPHCVRQRSYSGFHDSKKANTGTLYSFQPSTRPALRYSDWSTEQGFCKFSSNTFTDGPLLEGRKFFSCSDQPGAERIMNLAKVSTPPARLSHSPPLTLSPLCPKWMHRMKAARAQRDLTGQIENDFLGLKEMGRSNFDEYSEYVGTIRMRDMLHETSISNDGFDTMTPKRSFHRRYQCLGPESAPVSAHIGCINSLNLLPVRRSLVGSFEESLLSGRYSCGKDNQSIDGFLAILNVTGGSFSPPTQKLPFTVTSIDEDSSLLYYSSIDLAGRLPTNNSKGPKFKRSLGNSDSRSTNSRLRIPVKGRIQL >Sspon.04G0012280-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:39954926:39955570:1 gene:Sspon.04G0012280-1A transcript:Sspon.04G0012280-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSRAAPAGDAEAAPLLAATGDGAQQAAPTTTTVIGMALNSTADLAKHLPTGAVLAFEVLSPSFTADGTCNAANRALTGCLIGACALCCFVLCFTDSYRDAATGALRYGFVTPSGRLIPIDGGGSGSPPPPRDDRYRLTVRDVMHGLLSFAVFLAVAMVDRNVVACFYPVESASTRQLLAAVPVAAGAAGSFLFAMFPSTRRGIGFPVAASSS >Sspon.01G0031690-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1B:106404119:106404664:1 gene:Sspon.01G0031690-2B transcript:Sspon.01G0031690-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGISEVRAVGLRIVNSLHGIRIKTAPGRGGYVKNVYIADVSMDNVSMAIRITGNYGEHPDDKYDRTALPVISNITIKDVVGINIGVAGILEGIQGDNFSNICLSNVSLSVQSAHPWNCSLIEGYSNFVIPESCEQLRSNCRQTPICYDGSGSSAMHVQQPRHTSSTSRLLNPLLELASF >Sspon.03G0025970-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:5484749:5488056:1 gene:Sspon.03G0025970-1P transcript:Sspon.03G0025970-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Syntaxin-61 [Source:Projected from Arabidopsis thaliana (AT1G28490) UniProtKB/Swiss-Prot;Acc:Q946Y7] MSSAQDPFYIVREEIQDSIDKLQSTFHRWEQTASNTGEYVHLTKELLTSCESIEWQVDELEKTISVASRDPPYYGLDEVELSRRRNWTGSARKQVGTVKRAIEKGKSNAATSKYQDTSRTNHYSAQDNDDFISSESDRQLLLMRQQDEELDELSESVQRIGGVGLTIHEELSGQERILNDLSLEMETTSNRLDFVQKRVAMVMKKAGIKGQIMLIAFLVVLFIILFVLVFLT >Sspon.04G0016420-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8B:12845296:12846189:1 gene:Sspon.04G0016420-2B transcript:Sspon.04G0016420-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAEAECDRIRGPWSPEEDDALRRLVERHGARNWTAIGREIPGRSGKSCRLRWCNQLSPQVERRPFTPEEDAAIVRAHARLGNRWAAIARLLPGRTDNAVKNHWNCSLKRKLAAAVSGPGVVSADAAADEIEAARPSKRVSLSPDSPSGSGSRSDRSDLSHGSGSGQIYRPVARSGGFEPADCAMSSPRVDDDPLTSLSLSLPGTDQQRFHHDRAHSQFQELPASPSPPAAAPPAPSAYPFSPEFMAAMQELIRTEVQRYMASVGVRAGCGAAGGADLCMPQLVEGVMRAAAERVGRM >Sspon.01G0022890-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:83240307:83242327:-1 gene:Sspon.01G0022890-1A transcript:Sspon.01G0022890-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LGSTPTSQTESILPAREMGPHEKIQKGPRGEGSISVESRRLQLRLVASRSTMAAPSPSPVEAAPPLEAAAAAEEDEWDADGYVIPNLPTQDNDVTEPSVPKEKDPEPLQAKDEKIYLGPHGAPPSQAKQRELNTVGRKQRFRNKLKEADRKFTGNAQESKVESLRELMGARASGTSMPKSSPRDWLDPHCHESEFDRKPTR >Sspon.02G0027910-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:120577204:120581269:1 gene:Sspon.02G0027910-3C transcript:Sspon.02G0027910-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AP-5 complex subunit mu [Source:Projected from Arabidopsis thaliana (AT2G20790) UniProtKB/Swiss-Prot;Acc:Q8W0Z6] MSGGGCSVRAIWILTPNDTVAFSRRFAVVEKRWRAAWEAEEGYGEGERRGTGAAPLPLPADHEVAAAFAERRRREGTARGSGIRTNVSSVGLDSWVDDPITRHIISLRMDKEEGDGFMLWPVVLQKRGGYYVLVLPLVDPQPFKAYENLLKRSDCGSSAKENGNLSSILFNLPCITGAFMVAHVVGDIITGDIAEPEVIVSSGPSVGGLLDSLTGSIGISARAKPIAAPVAAPTASLSSPVGAAQSDSLKGGVRPFDKDLLRNFIIGAMPFGTPQDLNYANVTSIRTTAFSGDPLPTDQKQPAWKPYLYKGRQRILFSSLETINAALYDRDDVPDFLSVSGQVTCRAELEGLPDVSLPLTGLKTAHVEVSSFHHCVQASEPTANKQTLVFQPPLGNFVLMHYQAPCNIAPPVKGFYQLSMVSENEGAFLFKLTLMEGYKSPFIMDFCMITMPFPRRRVASYDGNPSIGTVSMTEHSIEWKIVSSGRGLSGRSIEATFPGTVKFLPRTVYRINSSFRSVSSTAYTEDSDSEQDNVKNGASLDDYIMEKINKDLQAVDLEESLSWQAYNYAKVSFKITGGTLSGLTIDPKSINIYPSVKAPAEYSMQ >Sspon.05G0002830-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:8429130:8452319:1 gene:Sspon.05G0002830-1P transcript:Sspon.05G0002830-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFDPLEWYCQPVKNGVWSLVVENAFGAYTPCGTDTLVVCISYLALFGVCFYRIWRTTRDYTVQRYKLRSPYYNYLLGLLVVYCIAEPLYRIATGTSIMNLDGQTGLAPFEIVSLIIESAAWCCMLVMILLETRIYINEFRWYIRFVVIYVMVGEAAMFNLVLSVRQYYSSSSIFYLYCSEIACQFLFGILMVVYLPSVDPYPGYTPIRNEVLVDNTDYEPLPGGEQICPERHVNIFARIFFSWMTPLMQQGFRRPITDKDIWKLDSWDETETLYSQFQKCWNDELRKPKPWLLRALHSSLWGRFWLGGFFKIGNDASQFVGPLVLNLLLDSMQKGDPSWSGYIYAFSIFAGVSLGVLAEAQYFQNVMRVGFRLRSTLIAAVFRKSLRLTNESRRKFASGRITNLISTDAESLQQVCQQLHSLWSAPFRIVISMVLLYAQLGPAALVGALMLVLLFPIQTVIISKMQKLTKEGLQRTDKRISLMNEVLAAMDTVKCYAWEQSFQSKVQDIRDDELSWFRRAQLLAALNSFILNSIPVVVTVVSFGVYSLLGGDLTPAKAFTSLSLFAVLRFPLFMLPNLITQVVNCKVSLKRLEDLLLAEERLLLPNPPIDPDLPAISIKNGYFSWESEAQRPTLSNVNLDVPVGSLVAIVGSTGEGKTSLISAMLGEIPPVSGSGTSVVIRGSVAYVPQVSWIFNATVRFSAALDLTVTPQVRDNILFGSPFQPPRYERAIDVTSLQHDLDLLPGGDLTEIGERGVNISGGQKQRVSMARAVYSDSDVYIFDDPLSALDAHVGRQHKTRVLVTNQLHFLPYVDKILLIHDGVIKEEGTFDELSNSGELFKKLMENAGKMEEQAEEDESKPKDVAKQTENGDVIIADEGSQKSQDSSSKTKPGKSVLIKQEERETGVVSAKVLSRYKNALGGMWVVSILFFCYALTEVLRISSSTWLSFWTDQGSLKIHGPGYYNLIYGILSFGQVLVTLSNSYWLIISNLRAAKRLHDAMLRSILRAPMVFFHTNPLGRIINRFSKDLSDIDRNVAVFVNMFMAQISQLLSTFVLIGFVSTMSLWAIMPLLILFYAAYLYYQATSREVKRLDSITRSPVYAQFSEALNGLSTIRAYKAYDRMANINGRSMDNNIRFTLVNMSANRWLAIRLETLGGIMIWFTATFAVMQNQRAENQKAFASTMGLLLTYTLNITNLLTAVLRLASLAENSLNAVERVGTYIELPSEAPPVIEDHRPPPGWPSSGVIKFEDVVLRYRPELPPVLHGISFVINGSEKVGIVGRTGAGKSSMLNALFRIVELERGRILIDDCDTSKFGIWDLRKVLGIIPQAPVLFSGSVRFNLDPFNEHNDADLWEALERAHLKEVIRRNPLGLDAEVSEAGENFSVGQRQLLSLARALLRRAKILVLDEATAAVDVRTDALIQKTIREEFKSCTMLIIAHRLNTVIDCDRLLILSNGQVLEFDSPENLLSNEESAFSKMVQSTGPSNAEYLKSLAFGSGEERSRREEIKLQDIQRRWVASNRWAEAAQFALARSLTSSHSDLLSLEAAEGNNILRRTKDAVITLQSVLEGKHNTEIDESLAQYQVPADRWWSSLYKVIEGLATMSRLGRNRLQQPSYNFENNGAIDWDQM >Sspon.02G0052700-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:95479384:95479994:-1 gene:Sspon.02G0052700-1C transcript:Sspon.02G0052700-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSPGGAERARRRGFTEGSTGAEVESRSGEGRGGECVVERAWAVREAESLDCGIGHAGELRGKLGRIQISPDVSDTGEAAELTPGHLYGHLHTILPFARYIRGEGAAPPIRKNPTAMSYEYPADAGRRTYQADAAESEEEICNTQSAFETFKYNSCNILLKVDETFKTWF >Sspon.03G0002670-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:6467432:6468419:1 gene:Sspon.03G0002670-1A transcript:Sspon.03G0002670-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAERRIGVAMDFSPSSKKALRWATDNLVRKGDTLVLLHIRHHGKDEAKNVLWSRTGSRKLLHDDAMRYILACDLQRYDMPEDPEVFDMLNAVARQKEMYWGDPREKVCDAVEELHLESLVMGSRGLGQIQRILLGSVTNYVLSNASCPVTVVKSK >Sspon.02G0002470-1P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:8649505:8650676:-1 gene:Sspon.02G0002470-1P transcript:Sspon.02G0002470-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLGVLVLVTLLAAATAQAQGSNGYDKKVPAPAASPGSAPVTPPSSSPPAQTPPPPASARPKLRYGFYKRSCPYAEEIVREAVRNATNVNPGLGAGLIRMAFHDCFVQGCDGSVLLDPTPANPRPEKLGPPNFPSLRGFDVVDAAKAALEKACPAVVSCADVVQFAARDAAFFLSGSKVYYSLPGGRFDGRVSFENETLRFLPPPFFNLSQLIQSFKVKGLDVDDLVVLSGGHTIGRSHCSSFSDRISTPPSDMNPGLATVLKRQCPANPNFTNDPTVVQDVVTPNKLDNQYYWNVLRHKVLFNSDAALLTSTETVRMVLENAGIRGRFERKFARAMLKMSLIEVKTAANGEIRKNCH >Sspon.05G0002330-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:6360061:6360315:1 gene:Sspon.05G0002330-4D transcript:Sspon.05G0002330-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding TMGFHLLAFVAARGLMQVFNLSAPLNLRLPLARHLPEAFAVLYGVLASHAAWLNDALARGAAWSHSGSRGGVDEYVRYAMLSISD >Sspon.03G0032670-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3B:39187765:39188317:1 gene:Sspon.03G0032670-1B transcript:Sspon.03G0032670-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GAADTVKGRQPLDRGPPQRLLLVLLIPPAKRSARTRRRARTTTRRGPRLDPPLSRRMLATFWSSTAASIPAVALRTPARPPEDSAPQPELSRRRTPSAAASIPGAALRPPARRLSTAARAAETTTPCVVGVAWLLQRFPGGFTGCDDAESVGESGGEFC >Sspon.01G0003390-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:9282979:9284053:1 gene:Sspon.01G0003390-1A transcript:Sspon.01G0003390-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQGQPRRPGEQGAVRYGDVFPAVSGGLAEKPVAPQDAATMQSAENLVFGQTLRGGPAAAMQSAATANERMGAVGHDQATDATAVQGVTVSETRVPGGRIVTEFVAGQAVGQYLARDDDGGGTAGGGGASAGVVTDKDMTKVTIGEALEATALAAGDAPVERSDAAAIQAAEARATGLDANAQSAAAANAWAWRAEDKATLGDVLAVRVTTGRFRFILTLVGACMQNATARLVTDKPVESADALGVAGAENRNRDDGTARPGGVAASMAAAARLNRDEARVRAAVERRRVPDLVFLTRST >Sspon.03G0031460-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:36266741:36270657:-1 gene:Sspon.03G0031460-2C transcript:Sspon.03G0031460-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRFPHGGGDHRGGDAGDAALARDIVTLHKALSLDPSARRRRSLPLPSPSSAATEQPRHKPRLKPSFSSSSSSSRKLLPSTANSSSASTSSSSSSSSFWKKSLTAISHLGRRRLDCAFALHVHSVDGLPAALDGSAVSVQFRRMSVSASTRSVPAALGAAAFEEALTLRSPVYFSRGAKAVVKYEPRAFAVSVAASTLELGKHEVDLTRLLPLSFDDLEDGGDSGFGKWSTSFRLSGPARGARLNVTFSCSLLAGGGAIEQHKAGEVAGLRRGSMARPVSVQAPTPVPARSWDVRVLHEVLPSLRSARSLPFVGDGGSDARKEEVAALDCTEDGSPEAKHCTSVEIKKGDLVRPDGDWGTVEFKVVEHGVEVASDDPQRLKHVETSNVADQNEDSGFKIDEEGSFKPVLVSGDVAEDQTVGVMTEVAVSDVAVRRENVEDKEDGTVKAASLPTAAPEAEGQFGADAELEDLECILNELSVAEPEEFESPVVEDKHSRRLSCTGVTDSYKSASRKGRSRSMDLSTDSVANEFLDMLGIEHSPFGQPSDSDSESPRERLWKQFEKEALASGNAILGLDFDHGIEGPTCEDVMEDFDLSAMIHEAELELQNGSQPIDTKFRAKSLEDEETEALMRQFGLNEKSFQSSPPEGRSGFGSPINLPPEQPLELPPLAEGLGPFIQTKDGGFLRSMNPALFKNAKNNCSLVMQASSPIVLPAEMGSGIMDILHGLASVGIEKLSMQANKLMPLEDVNGKMMQQIAWEAAPALESTERYDALDYHSIDALVGGGGNAPSGKKKKGRCADLSSSLGGENASEYVSLEDLAPLAMEKVEALSIEGLRIQSGMSEEDAPSNISAKPIGEFSSLQGKCAENTLSLGLEGTAGLQLLDVKQSGEEVDGLMGLSITLDEWMRLDSGVVDEEEQYSDRTSKILAAHHAKSMELVAESRNGDRKSRRSGRRWGLLGNNFTVALMVQLRDPLRNYEPVGTPMLALIQVERVFVPPKPKIYSTVSDKGNSEQDDEEPKTEEVPDKALVTEEKAEELEDPIPQFKVTEVHVAGFKSEPEKMKPWGNQTQQQSGSRWLLAAGMGKGNKHPLMKSKAIVKPTKEAAGQAGDTLWSISSRVHGAGTRWGELTGNKSHSRNPNIMLQKDKRFR >Sspon.05G0014310-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:52842628:52844429:-1 gene:Sspon.05G0014310-2B transcript:Sspon.05G0014310-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPVVDAEYMAEIERARRDLRALISSKNCAPIMLRLAYVLLMATMSDLPVTCSVTMASFRRCRWHDAGTYDAKTNTGGPNGSIRFPQEYSHSSNAGLKIAIDLLEPIKQKHPKITYADLYQLAGVVAVEVTGGPTIDFVPGRKDSSDCPEEGRLPDATKGASHLREVFYRMGLSDKDIVALSGGHTLGRAHPERTGFDGPWTKEPLKFDNSYFVELLKGDSEGLLKLPTDKVLVEDPEFRQYVELYAKDEEAFFRDYAESHKKLSELGFTPPRTCKSGNKQKSLLVQAAAGVAVAAAVVAWAYLCESNKKFI >Sspon.02G0043210-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2B:89995255:89997636:-1 gene:Sspon.02G0043210-1B transcript:Sspon.02G0043210-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVDDVRHCLPCLASLSVSSPAAVQNSQIKHALAMEADKARPAAAGDLATRSIDFLASRRAKPQAPQDVEDRLQRVLLRAQVIVDEAMGRRRITNQAMLRQLDALADAVHRGHYALDTFRCQTSLHQEEASSSNGQSIVNHHRPVAFSVFSKVDSVKDLFIPSAATGRQISEEMQEVLDRLSAMIVDAHELVLFLANDSRRMDRQPYSMYLLLGNCMFGRQMEVQLVIDFLLYAQHSGAEEPAVLPIVGPFRVGKSTLVAHVCKDERVRGHFSETVFLSDHDFVYDGLAAFREGLCAMRQQDCGTSDYSSNKDGVTRRLLLVVEVGGDLDEDVWNRLYSASKHWMPRGSKIILTSRSDKIAKLGTTRALTMKPLSHEAYWYFFRTLAFGSADPMSHPRQLTNLAMEIAATLNGSMIIANVSARMLRDSFSVQFWGKFATFMRLQFQRHVSKFGEHPYDLLNQNKPVYFGRIHRRSEQVVAYHQYHRHSSQQDHHQVPKITLHDVTYGDAKLPGRFEALAWKSPIPPYYSYVYACEIQELKSSTGNKRKRSAKNGSALP >Sspon.03G0007850-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:28296123:28297628:-1 gene:Sspon.03G0007850-2B transcript:Sspon.03G0007850-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polyamine oxidase, Polyamine catabolis [Source: Projected from Oryza sativa (Os01g0710200)] MVAKKPRVVVVGAGVAGLTAAHRLCAAGGDRFEVTVVEAGARAGGRVLTSEFAGHRVEMGATWIQGIDGSPVYALARDAGALACEKDPPPYERMDGFPDRVLTVAEGGEVVDANRVARPIRELYRGMMEAARAGESSGGGGVEEYLRHGLRAYQAARPGGGGGGKELEEVEDALLAMHINRERTDTSADDLGDLNLAAEGEYRDFPGEHVTIPGGYSRVVDHLVAALPPSTVRLGLRLRRLDWSDTPVRLHFADDGATEITADHVILTVSLGVLKASLAKDAHAAGGIVFDPPLPQFKRDAVARLGFGVVDKLFMELEAVPAAKPEGGGDHPLAASAPPEFPFLHMAFRGHVSKTPWWMRGTESICPIHVGSSVALAWFAGREAQHLESLPDDEVVIRGVHATLDSFLPAPSQWRLKRIKRSGWATDPLFLGSYSYVAVGSSGEDLDRMAEPLPRGSDVGGAPPLRVMFAGEATHRTHYSTTHAAYLSGVREAERLLQHYSY >Sspon.03G0016970-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:77685581:77688336:1 gene:Sspon.03G0016970-2B transcript:Sspon.03G0016970-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PRXIIF [Source:Projected from Arabidopsis thaliana (AT3G06050) UniProtKB/TrEMBL;Acc:A0A178VBA5] MAATLARRAGLSAAATLWGAARGFASVGSDIVSAAPGVSLQKARSWDEGVATKFSTTPLKDIFYGKKVVIFGLPGAYTGVCSQAHVPSYKNNIDKLKAKGIDSVICVAVNDPYVLNGWAEKLEAKDAIEFYGDFDGSFHKSLDLEIDLSAALLGRRSHRWSAFVDNGKIKSFNVEEAPSDFKVSAAEVILDQI >Sspon.06G0021800-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:9907300:9909083:-1 gene:Sspon.06G0021800-2C transcript:Sspon.06G0021800-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALVRKVQQRVRKAREEMEQWDDLNTRLLSQFSNASAVISRLQALGEDKNYGPLHGVPNIREDLIGNQMEVLEFIFVKIRETLEKFNDVVKALNKALRDTKQMVRGGPALTAKQMQLQLGILPSIAECLNGFQTLCEMHHAEFTLKSSVFSLLTWKSSSIDIAVLRQLLVDQPNIPRDEVQSIFDIIFADEIC >Sspon.08G0012360-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:53005696:53011451:1 gene:Sspon.08G0012360-1A transcript:Sspon.08G0012360-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSTSWRDKQRPDLVNFIATFLATNLYRLNFLSLSPDFLFNNGGLSVAFIFETDWLPEREATLFSRVSTLKRQFKYLYVVVVVRSAEQNESFNQSYFKYGMELGCPTFVPVCDPEMGFEKIVRIAHARGVCRQKNIVAAMRIEREQAVQCMDAFLRVLTSIPGIDSHDANALAQAIGSIEAIAKASKEFILENTDLSTEKAERIVRFFRDPQAQYGSRQD >Sspon.02G0026730-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:92862380:92863427:-1 gene:Sspon.02G0026730-2B transcript:Sspon.02G0026730-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding EMSTEEAVVAEVPVTDVEAEAPAAQEAEKDKAKKAPKEKKAPKEKKAPKEKKPAAHPPYAQMISEAIAALKERTGSSSVAIGKKVAKTSAKASPAKAAAKKAAAPAAAAKKAVASPKKKAATPKKAAAAAAPARKGAARKAKK >Sspon.07G0030460-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:11401875:11402462:1 gene:Sspon.07G0030460-2D transcript:Sspon.07G0030460-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAKENVPLAPPQLLLRQTSSPRPPPPTPLRPKMANGATEPPVSGVVKCTCFRLPGRTKKPLPPHPAPKLRSASSRSAVAPDVSAATASQSQRVTFRASAPLSTRWPSSPSAASASAAGATATPAPAGSGGSVVAPRRASASSSSFSHWRCRSLSSSRVMPHGERASFSFAVSPASASSSCMSTPRIPQGWQLK >Sspon.01G0035700-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:13355861:13360629:-1 gene:Sspon.01G0035700-1B transcript:Sspon.01G0035700-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTREPHEASRRDGRDSHGRRPHSSSRSRRDDPSPRRRRDDRRRESDRSHYRSRDEESAEVADHDQKRNRDTAQGDDPPNAESKSASDVKNGPSTRHERSPRGTKRFSESREAWRPRSFFQHNERGNAGQGGRHYDRQASDYGRQREHLSDRDKQKSEGHSLQGKVELDQQKKDGDSAWKHDGFFQMEEEAPLAKKRPPFQEMKIQESAATVTEPDSRSRKLDQPGLTSAMREERKNYHARGFGNHRPFLRPDDRGFRRGFPDHRSDGQTNGYDSRGRFPGRGGMDRDRFNNPYGGRSNVEASGDQEEKWKHDLYDQTNRSPPPKTEEEQIAKVEALLALRTLWAIVHKHCSILDHAILMQKASPDCLMQKTSSD >Sspon.07G0022490-2T-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7D:8874285:8874734:-1 gene:Sspon.07G0022490-2T transcript:Sspon.07G0022490-2T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SEPSSHSLGGAHFHAPCPCLGARARTGLTASVDLHLKCLLLLSSLFFLPLLRQVRLLVPRRRHCRGASRLLHRSVPPSQPWMWALLPSSFPFAYAGGLLDLCSLLSHMRRSVDLLIFGSFIRRFGLNVLCLRPPAPWPRPHRPGLTQPS >Sspon.05G0006910-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:22900560:22906699:-1 gene:Sspon.05G0006910-4D transcript:Sspon.05G0006910-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLWPSLRIRDSFKHGYLQKLELNLGNMKRAQRQRQERRGEGQEDQDDQPSGGGKAPLLEDRSPSGSVLAGALELAWDAVLLLTCCCCCFCCGAMRRITQLPAEKRLQGPDNSSDQENQRN >Sspon.04G0007510-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:22511361:22513415:-1 gene:Sspon.04G0007510-3D transcript:Sspon.04G0007510-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RMSRSGRASDSAHAGACMRERSAERTGTDGVPETSSLEVHEEQTRTGGAGEHPRGAPGEGPAKRIVGADAPAAAAESGFGCFDCNICLECATEPVVTLCGHLYCWPCIYEWLRPDVEADAVSSARRQCPVCKAAVSPDALVPLYGRGGSSDAKKPPRGLTSIPPRPALRQSAQDSGGGGGGHHHRHAETDAPAGRTPRHPADAHAAQFDALLPTPFGDRGMMHPTAGGMLGGMAVAVLPLVLRGQAQPPGMYYSGTYHLMNPRQRRLHMEVERSLHQIWFFLFVFVALCLLLF >Sspon.01G0011560-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:74580374:74581976:1 gene:Sspon.01G0011560-2C transcript:Sspon.01G0011560-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASYSSRRPCNSCSTKAMAGSVVGEPVVLGQRVTVLTVDGGGIRGLIPGTILAFLEARLQELDGPEARLADYFDYIAGTSTGGLITAMLTAPGKDKRPLYAAKDINNFYMENCPRIFPQKSRLAAAMSALRKPRYNGKCLRNLIRSMLGETRVSDTLTNVIIPTFDVRLLQPIIFSTYDAKSMPLKNALLSDVCIGTSAAPTYLPAHYFQTKDAASGKEREYNLIDGGMAANNPTMVAMTQITKKMLASKDKAEELYPVKPSECRKFLVLSIGTGSTSDQGLYTARQCSRWGICRWIRNNGMAPIIDIFMAASSDLVDIHVAALFQSLHSDGDYLRIQDNSLRGAAATVDAATPENMRTLVGIGERMLAQRVSRVNVETGRYEPVPGEGSNADALAGIARQLSEERRTRLARRTSAISSSKVSNV >Sspon.07G0028460-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:82913327:82915003:-1 gene:Sspon.07G0028460-2C transcript:Sspon.07G0028460-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATSSFGDDADLMVADNLYLIAVCQGINQADATGLMAISDEEYAAELQLQEVLVSSAMAVIVNSGMAATAAQSSLMPQLDSAVVVHTANNDTAAAETPVLAVAEYSCCSSSSPPPPFAVAAPPTGEDDATALATCKICLDYVLPSHLHHASRGCAHAFCAACLSGYISTKTQGGRISDIKCPGDGEGCCNVLDPELCRAIIPGEAFEALCASLCRSMVEGAGNFCYCPFNDCSEILVDDRGGDVPESECPACRRLFCARCRVPWHAGISCAEYGQLAPGDKGKEDLVVLEMAKGEKWKRCPNCMFLVDKRDGCVHMTCVWLPVLLCMWRAMGAVSSLQHGVKADFVHNLAVESE >Sspon.03G0021480-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:52034365:52035045:-1 gene:Sspon.03G0021480-2D transcript:Sspon.03G0021480-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GRRRPRLLLRLLPPVLRLRRRGHLQLVHLPRSAGGRRGAGRRLRRRAPRPRLRHRRLAEPPRPHRHHQQRHRHCHLRRRVRLRRLRPLPDRPAPEPQLGHAHRAGRAARRRAPLRGAALRRRTPGGRGQERPAAGHGGVPRAGRVVARARRGGAARGRQRRGGAREGARRGVVRGGAADRRGGQVPPRARRPEQQAGGDVPAQVAHAERAAGDALGGAAEGRQLLLA >Sspon.01G0010580-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1B:36053839:36056413:1 gene:Sspon.01G0010580-2B transcript:Sspon.01G0010580-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AGSKDTSEKRMLVDMLFWAFDNPPPGNYLLISGDQDLSDLLHRLRMKRYDILLVRPPNASSQVLAAAAKKVWLWENLTAGELLLPEPPPARSVLGCKLHVNSSDTLKYSHSKVVFDYGKSDCNGKEGSQIRVRTLQKYVKKASYSSTTEISQDRVVPAGGVSRSSTGRTLSEVDHDSVSSLSLSSPDSTKRAKENISVPPENRTLSNLSSHRHALSTHSHAEATTQPVLADKPGIPTGCLPRNATLNFGASNGQCNQMSQHFRYSEAQNKLHSEFTTGSNKEKAVNRHGLKPLQMYVKKKTNITFGSANKPVGSIGVPECPVGIELDQASVSASPTSTQSHSSAQRPIASAHLHQVKAPHESILGKKPSTLVELHQVKAPHESILGEKPSTLVELVRVPHESVLNIRPSTSAEHASRNGTHDSDVRAINYNPTCQQFKSSEAQNKLHSCSNVGDSSGKLGNEYKTNKEQPYAKWINIFTASASNEINPPTTTFDNSNGSTSRYPSQSLSALSSSKSLQSAKVNDSNLLLSDHIPLLADNLHQDGATSIFGKKNNTSFQCTAKSGTFVFGASSGQYHQTYQQAQSSLPLEQHNSGTSIVHGHSDSINSHRGSTASPSVEHNGAPFAQTQTWSSGSAFEGLDDSCNSFSRLNISECPQGTTETRPQGPPANGPSMGMPDNSGHPVGFHGSRSSFHLDSNSSCYLNHSSDPQSGQPPFSGYTCTVGHQPNMSSDMQSSEHSGDKPRHEPEVGIILQALDILKTEKIFPTETNIADCICYGELNLRGFDVKKALELAIRHDAVVMKKLLNDMPLFVAKDESLWKCVNVTNTKAKNPTEELETVYKYISSPDGHSAMMNSQSR >Sspon.06G0003500-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:8644353:8652199:1 gene:Sspon.06G0003500-2B transcript:Sspon.06G0003500-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADDASHGASSSTVKPADDPESTIEINIKTLDSQVHKLRVEKNDGYTLHLVARRAAEGQNSSGASEGNTHANVNVTGNGGLLDDISRSVRDLLGSLGVAMSGGVTNTAFSVPLTTAPEGPNNVPGRAQPMNPAQPGFSVLNHQIQVTQLQPPGAIPRNMIIPDSLTTLSEYMDRIDRVLQNNGAPPSRDSQSQQQQTVDDASVNPRYPSTDVLASVIERAQQLLGGSAASALSHIAQRIRQDGGTADASLRHEIQTESVQLGISMQHLGAMFFELGRTMMMLRTGLSPSEAFVNSGPAVYINSTGPNPIMVQPSYQNTPPFGVSNIPVMGGISGAFGIVDPSRSSGFGDPFRRMNVPSSGASATSGSAAGTTTTSEGAINGNRQDAARTQGGNTPGHPAATRGLPTRTVVAAVPARSSAEAPNHVFSVILPVQVRGQVAVPNQSSQGSQAAVGNGAQGNSTSAVPQASVGGVSGVPPIVSQVANALAANAPSQVSLSTQTAADQGFHPTIDSRADVLSSSTPATTPPQNDPSGICGSSLPLQDRNTSNVPSLDSIQQHPQLGDTCADTADLPGDATATNTHDVPSNASVENSALKNKSSDEVGSQPTDPSASGSAEPLGLGGGLIPKKRSRAVKPSGSTTDPGRDSLSVSQNQDPISVAQQFLEGFASRNTNASRSHAPASGPPSSVPQPTEVPPRRQGGGQPDIGSMISGMLNNPVFSNILSNVATQAGGSSADLRSVMEGLQSPAIVDTISSIVQNVDEQDLGAMLGSGRGQGGMDLSRMLQQMMPVVSQALGGAGGRSAGANSRQSRSWPQHIDSGEGNVPASSSQIDLHQARQSIEQHESPENIFSSVLETAAQAYGEDDSIQGMIEELSSDQELTDEYLKFLVDQVRQRVQSESQSGSPP >Sspon.05G0014920-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:53649222:53652758:-1 gene:Sspon.05G0014920-3D transcript:Sspon.05G0014920-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRHHPLLPLLLLHLLFAASSSAAGSGPSSEVAFLTAWLNTTAARPPDWSPAASSPCNWSHVSCAGSGTGTVTSVSFQSVHLAGATLPASGLCAALPGLVSFVVSDANLTGGVPDDLWRCRRLAVLDLSGNALTGPIPPSLGNATALQTLALNSNQLSGPIPPELAALAPTLRNLLLFDNRLSGELPPSLGDLRLLESLRAGGNHDLAGLIPDSFSRLSSLVVLGLADTKISGPLPASLGQLQSLQTLSIYTTALSGAIPPELGNCSNLTNIYLYENSLSGPLPPSLGALPQLQKLLLWQNALTGPIPDSFGTLTSLVSLDLSINSISGTIPPSLGRLTALQDLMLSDNNITGTIPPELANATSLVQLQVDTNEISGLIPPELGRLTALQVLFAWQNQLEGAIPPTLASLSNLQALDLSHNHLTGVIPPGLFLLRNLTKLLLLSNDLSGPLPPEIGKAASLVRLRLGGNRIAGSIPASVAGMKSINFLDLGSNRLAGPVPAELGNCSQLQMLDLSNNSLTGPLPESLAAVHGLQELDVSHNRLSGAVPDALGRLETLSRLVLSGNSLSGPIPPALGQCRNLELLDLSDNELTGNIPDELCGIDGLDIALNLSRNGLTGLIPAKISALSKLSVLDLSYNTLDGNLAPLTGLDNLVTLNVSNNNFSGYLPDTKLFRQLSTSCLAGNAGLCTKGGDVCFVSIDANGHPVANTAEEAQRVHRLKLAIALLVTATVAMVLGMIGILRARRMGFGGKSGSGGGGGDSDSGGELSWPWQFTPFQKLSFSVDQVVRSLVDGNIIGKGCSGVVYRVSIDTGEVIAVKKLWPSTHTAATATCKADDGTSGRVRDSFSAEVRTLGSIRHKNIVRFLGCCWNKSTRLLMYDYMANGSLGAVLHERRGAGAQLEWDVRYRIVLGAAQGIAYLHHDCVPPIVHRDIKANNILIGLDFEAYIADFGLAKLVEDGDFGRSSNTVAGSYGYIAPEYGYMMKITEKSDVYSYGVVVLEVLTGKQPIDPTIPDGLHVVDWVRRCRDRGDVLDPALRGRSSSEVEEMMQVMGVALLCVSAAPDDRPTMKDVAAMLKEIRLEREDVANVDVLLKGGSSPPPPHHVHANAAAAIAAKATSSTSSTPPCRQGPSNSCSSSSFSAIYSSSNKA >Sspon.02G0020350-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2B:66417507:66437092:1 gene:Sspon.02G0020350-2B transcript:Sspon.02G0020350-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRILLIYGFDHVKETVENKPLLKLKSLKTVVRKLIHEIVKLSAVPIDPNLPPPVIKKPPPKVKQPLPHQRSEWDCDAKRPKRQLLPGEWECPRCNFLNYRRNMSCFHCEHDRPADEYSNSQMEAKQSALRKRLERPPRKSDVSSAWNFDFDDNESDGADVAAFEFADSSKARESSSADSMSYRGASKGSEDEEYRMAETMTTGRGNKFSERDSLPSSRNGFDDFDDEEDDIDSYELDLSKGSQTGGVSRMSYSDLESASDSEGFSEINNSRESRYDNSRESRYDNSRESRYDNNRESRYAAKDEDEFEDHPSLRSTHLADSWHKTRGRSGSSNYRRASFGSESDDGINSDLDEDIDKGLRNNGSRSLGSPNRASVRHNALAYSDNEPFSDDVDAGMVDRSQSRRTKSSMNIKDNFRDTRRNLNGRRSSGDRYGRTEQNERFNDFDMHRGGSFDKSCRTRGNQLASGSRGLQRNVRRNWDRSGGFDGRHSGV >Sspon.07G0022790-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:10019198:10029749:1 gene:Sspon.07G0022790-3D transcript:Sspon.07G0022790-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LDIIEPVTAYTDGYLLSLNLGTPPQVFQVYLDTGSDLTWVPCGSSSYQCLECGSSVKPTPTFLPSESSSNTRDLCGSRFCVDVHSSDNRFDPCAARGAPSRPSPAACAPDLALRSPTPTAAEDWSSAPSPGTPSSIREPLGIAGFGRGALSLPSQLGFLGKGFSHCFLGFRFARNPTSPARWFLDVAGKKNSKAYTVNGVAMFVTWLMVRIVLFIYLFYHILTNYDQTYTIVLCIISSFFSCNAEDTRPPQTQGSLVLGLSHVRSLYTPSMALVNSTSYDFLDIIEPVTAYTDGYLLSLNLGTPPQVFQVYLDTGSDLTWVPCGSSSYQCLECGSSVKPTPTFLPSESSSNTRDLCGSRFCVDVHSSDNRFDPCAAAGCAIPAFTGGLCPRPCPPFSYTYGGGGLVLGSLSRDSVTLHGSIHGSGGGAVPLPVAFPGFAFGCVGSSIREPLGIAGFGRGALSLPSQLGFLGKGFSHCFLGFRFARNPNFTSPLVMGDLALASASTDAGFVFTPMLTSATYPNFYYVGLEGVGLGDDAGGGSTAIAAPPSLSGIDAQGNGGVLVDTGTTYTHLPNPFYASVLASLVSATPYERSRDLEARTGFDLCFKVPCARAPCADDELPPISLHLAGGARLVLPKLSSYYPVTAIRDSVVVKCLLFQRMEDDAGGPAAVLGSFQMQNVEVVYDLAAGRVGFRPRDCALHA >Sspon.08G0000430-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:1956277:1958237:1 gene:Sspon.08G0000430-1P transcript:Sspon.08G0000430-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Delta(24)-sterol reductase [Source:Projected from Arabidopsis thaliana (AT3G19820) UniProtKB/Swiss-Prot;Acc:Q39085] MADVHEPLVRHKRKKVLVDYLVQFRWILVIFVVLPISSLIYFNIFLGDMWSAMKSEKKRQKQHDENVQKVVKRLKQRNPKKDGLVCTARKPWIAVGMRNVDYKRARHFEVDLSSFRNILEIDKERMVAKVEPLVNMGQITRATCPMNLALAVVAELDDLTVGGLINGYGIEGSSHLYGLFSDTVVAMEVVLADGRVVRATKDNEYSDLFYGIPWSQGTLGFLVSAEIKLIPIKEYMKLTYIPVKGNLKEIAQAYADSFAPRDGDPAKVPDFVEGMVYTESEGVMMTGVYASKEEAKKKGNKINCVGWWFKPWFYQHAQTALKRGEFVEYIPTREYYHRHTRCLYWEGKLILPFGDQFWFRFLLGWLMPPKVSLLKATQGEAIRNYYHDNHVIQDMLVPLYKVGDALEFVHREMEVYPLWLCPHRLYKLPVKTMVYPEPGFEHQHRQGDTSYAQMFTDVGVYYAPAAVLRGEEFNGAEAVHRLEQWLIENHSYQPQYAVSELNEKDFWRMFDASHYEHCRHKYGAVGTFMSVYYKSKKGRKTEKEVQEAEAAILEPAYADEA >Sspon.08G0000140-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:1115514:1117733:1 gene:Sspon.08G0000140-2P transcript:Sspon.08G0000140-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAFESPTSSPAAAPFHDDPFLHFDGSAPGPADGFPASPDAYAPSPFGMLHSNGDPFAAPADSNGGPILPPPTEMGRDEGFLLREWRRQNAIHLEEKEKKEKELRSQIIVDAEEFKKAFVEKRKLNAETSKGQNRDREKLFLANQEKFHAGADKQYWKAISELIPHEIANIEKRGARKDKEKEKMPGIVVIQGPKPGKPTDMARMRQILLKLKHTPPSHMKPPPPPAAATGKDGAPAAAGKDGAKPAAPANGSVPEMEKAAAAAPAPAAAPPTATEPIAAA >Sspon.02G0036860-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:23180590:23189005:-1 gene:Sspon.02G0036860-2D transcript:Sspon.02G0036860-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSFRFDPDSSDDEASGPPARGGPVQSPWEFSSYAESVAAEHARRRTTSIDEKISHLRQGRGKPVLSDDSESDVSSSGEDDSDDEEIEGESGDEEDELEESEDEDGVDGGADDEEEAEGSGDEEASVDREGDEDGSDEEGGSELGEEEDAHEEEDTAEQNDTSGPVDPSNFFASSEGASFHANSFLELNLSRPLVRACEALGYQKPTPIQAACIPLALTGRDICGSAITGSGKTAAFSLPVLERLLFRPKRVPAIRVLILTPTRELAA >Sspon.07G0031330-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7C:21484529:21485355:1 gene:Sspon.07G0031330-1C transcript:Sspon.07G0031330-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVRVTRRVKFCGCGYGYGWALPVGYVPVAILTCVAATYRSFPQRALVGLPRRALPPIAGRVVRPRSLRRSPPWQSPVSLASSPFVCRPSPLGAPLTPAMAHARLGTRVVAPLDFLGRAKGTHVSDVFYVVRLGASRGERTRRAGRRRMEPLRSGRAGVVLILA >Sspon.04G0011960-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:33298333:33302839:-1 gene:Sspon.04G0011960-2B transcript:Sspon.04G0011960-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LQVDHHDEENLSLLRFGALWESVYCEDSLLVFSTGRSPTLYKELRKEKPMLTPDITIMSVGTEITYGEAMVPDDGWEQYLNNKWDRNIVVEETASFSELKLQLDAKIIYSGGQDLDILPQGAGKGQALAYLLKKLSSCGKPPNNTLVCGDSGNDAELFSIPGVHGVMVSNAQEELLQWYTENAKDNPKIIHANERCAAGIIQAIGHFKLGPNISPRDVDFPYAKEASFKPSDAVVKFYVLYEKWRRAEVPKSDSVIKYFKNITHANGVIIHPAGLELSLHASIDALGSCYGDKQGKKYRAWVDRLFITQTGTDSWVVRFDLWESEGDVRVCSLSSLALVLKAESPEGFVLTHIQKTWLNGYSSGVEQASKV >Sspon.07G0026020-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7B:50011686:50012009:1 gene:Sspon.07G0026020-1B transcript:Sspon.07G0026020-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MWSLMNLYFHLLPTPFVVASLDFLLQDASLAAPSVSEVEQPLLHHLDDQAIIMAGPTRLVARLVGMPSSQQASSPNRPANRPYPCCSSERELPVWGRLPVSVVVAIY >Sspon.05G0020160-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:83676500:83682306:1 gene:Sspon.05G0020160-3C transcript:Sspon.05G0020160-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEESVASPLLQEEEKVYHPGCPGCANDRRKELQEGLPYKEFLYVWIICLATSLPVSSLFPFLYFMIRDLHIAKRTEDIGFYAGFVGASFMLGRCLTSTVWGIAADRFGRKPVAILGVFSVVIFNTLFGLSTSYWMAIATRFLLGALNGLLGPIKAYSIEVCRPEHEALGISLVGTAWGIGLIIGPALGGYLALETLHKHKVHQNENKNVEALEAHQSDYKEKDEQISSLDDKKSLFKNWPFMSSLITYCVFSFHDMAYSEVFSLWTESDKKYGGLSLSSEAVGQVLSITGVSLLVYQLSVYPHTNKILGPIKTSRVAAILCILILFGYPYMTYLSGTGLSIILNIASILKINLAPQDQRGAANGLSVTVMSLFKAIAPAVAGTPLRQTSLAFYLDPHDLLITYKCRFSWAQARQHAFFFPGDQMVFFLLNVIEFLGLILTFEPFMAAPESRAEH >Sspon.03G0020960-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:51543739:51559078:1 gene:Sspon.03G0020960-4D transcript:Sspon.03G0020960-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Importin subunit alpha-9 [Source:Projected from Arabidopsis thaliana (AT5G03070) UniProtKB/Swiss-Prot;Acc:F4KF65] MADGSASASPPSFSPHQYHRDAIKSTVRNTAANRRREQALAIGKERREALMRAKRVCRAPLSGSDEAVAEDGDMVIDEGKADLEARTTQAVEELKSALSSQGKGAQKRKIEVLRALRRLLSQSEVPPVDAAIKAGAVPLLVQYLSFGSSDEQVEHTPLILLEAAWCLTNIAAGEPEETKSLLPALPLLIAHLGEKSSTLVAEQCAWAIGNVAGEGAELRSTLLAQGALWPLARLMMSNKGSTARTAAWALSNLIKGPDSKAANELIGIDGVLNAITRNLEKADEELATEVAWVVVYLSALSEKATSLIVLRGLGNLVAGDGYMVDSVLIVGNSVTDQALSSLIKCLKSDNRVLKKVMRGYPNSQGPKLVEAEDGIEAMERFQFHENEVMRTMANGLVDKYFGEDYGLE >Sspon.08G0001520-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:3086297:3088140:-1 gene:Sspon.08G0001520-3C transcript:Sspon.08G0001520-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGEDRFVLSRRWGSLWRSSGAVNLAVEAYDYLNRRYHGTYSSYEETEEAAFSAQEAFVHAAKAALDAAEVPVTRLTLRVESTDGESTIEEFLCRGRGGWRTDDANVVGALVSHQAARRVEELRVAAVDVSSDGAFLYLEKENHRHTGLYGLASLPSSETLRVLDLTRCTLTAAFALPRLATLRLRYCAVEPKVLQALLDAAPELTTVRLESLIFLLPHPDELDGAEAEPAVLRLSFQAVTTLVLSLCGKESGDRRRGKSSWAIEIDAPRLQSFKYKGLMRRFRLRPAAAPGVARVDLHFLRDYDKDNEKETRRVLFWQFVRNFTSARTLKLKVGNDLKDITAIGESRLLHCAFPSLERLELEGMHRPKIKTAAAAIANLLHCCPALGEIMLKLSNTVTALPDKDPRYGREFLERKDRLDYNKSINRFVRRKGSKTALEYVLEDVPDIPGLSGRSFACLQRTLRRVRLQFRLDNNSISSCLGLRLIKFFGDHASVLEEICVDTGNRRLNEHLSFNVGTQMALTPIPISATTSSIQNKNLADSSSEFSRNHSASLDSTEDLDRSTVGFTVLPLQRQTRMDCKSWSVEN >Sspon.03G0009740-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:26276293:26277606:-1 gene:Sspon.03G0009740-1A transcript:Sspon.03G0009740-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADTTTGWSGDSFRRYNEYRYVLAASVLAFTYSGFQLVAEMLAYLLLSASSAALSRNGVWMSRFGGDQFTKLINASASMAFLAFIALGLNSVISAYCVFSLVS >Sspon.05G0000470-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:1243986:1249641:1 gene:Sspon.05G0000470-2D transcript:Sspon.05G0000470-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGGGASETAVGAGRENASASRIPTRRFFVALHVGAGFHAPANEKAYRRAMKRACLAAAAILGEGSGTSLDAVAAAIQVLEDDPVTNAGRGSNLTESGHVECDASIMDGSSGSFGAVGAIRDVKNPIQVALHLAKEQMAGSSLLGRIPPMFLVGEGAYQWAKSKGMDLVESASGANNWLVTENARAQWVKYTSLLVNTKKLLEHNTGPASEHSVQLEHQNMSDVKKILTESVMEDNTDCVMDTVGVICVDSYGNVASGASSGGIALKVDGRVGLAAMFGSGCWASSKGPFGAPFVVACCATGAGEHLIRGFAARECCISSSFKYPLMSQSGPASACTKVLRSVVQSSSKMSHDTGAGLLLVQADVLKGGHLSELEAAELVAAYSSPSFGVGYFGSNMNNPKVLMLKTPEGPSKTVNQFATCVKFDRESSEQ >Sspon.07G0019460-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:71876824:71878826:-1 gene:Sspon.07G0019460-1A transcript:Sspon.07G0019460-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRRQGGSRVVVAWEPCAKIGCRHRHVHWPASARPTEPLAVLNKYVLCEAVVRAVAGLNTVVQLPLTTGNVESVLDEVRPYLIADGGDVALHEINGNVVRLKLQGACGSCPSSVTTMKMSIQRRLMENIPEISAVERVADKKMGLKLNEANVQKVLAEIRPYLAGKGGGELEFIKIVGHIVKVRLTGRAAGVKTVRVALTQKLREKVPSIAAIRSLVRQINATFDATANWM >Sspon.05G0021070-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:86294019:86295674:-1 gene:Sspon.05G0021070-2C transcript:Sspon.05G0021070-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASGEVYKANKEHFVLVHGAAHGAWCWFKLAYLLRGSGHRVSCIDLAGAAGSLVDPDDVRSFDEYDAPLIDFMAAVPDGHKVILVGHSAGGLSVTHAMHLFRDKIKQAIFVAATMLPFGYQTEQDIKDGVPDLSEFGDVYDLKFSLGYDRPPTSVALRQEHQRAILYQQCSHEKQDKSQRTHHHEQTFLQDSTLASILLRPWPAALSTARFGHVNDDTESAVNAVPRVYIKTANDHMVKPEQQEAMIRRWPPSEVVAMDTDHSPFFSAPERLFKLILKSL >Sspon.07G0024100-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7B:22140522:22141440:-1 gene:Sspon.07G0024100-1B transcript:Sspon.07G0024100-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRVRNDDIRDRLGVAPIEENLVQYRLRWFGHVQRRPLEAPVHRGVISRDINIRRATPGYQLGMSKGAPQPIMEEVTLTEDGQLSSDYVLKDPESHFIYVCHKLEEKGANCRDTDPEETANAN >Sspon.02G0020340-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:66385522:66390259:-1 gene:Sspon.02G0020340-1A transcript:Sspon.02G0020340-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding CPAPTAAARVVVVREARGRRRTGSSRRRDRGRGRCWRWCWPRSASRWCCRARWRTPTTQRARPGGWRSAGPPTSATSRTSPSTASTDSSASPSSSSWRSPARSPAPAPACSGCRRSRCSVATTTRETRCPRSFCSCKRGCMPRMDSRQRGSSGSPLRTARRSMSGSSSTAASCLTTSTCIALLV >Sspon.02G0013330-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:35569941:35572313:1 gene:Sspon.02G0013330-1A transcript:Sspon.02G0013330-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIFDDDTLNKLVELLAEKLSDKLQEQQKEQMNKMQHEMNKLKEAVSKKIEDVGSGGDGDGEHEAIKTPKGFARVPYEYSSYSKSNAHLPTINPGKPPQFDGVRYTNWAHRMKMHLIAVRCWEIVEVGVRASEEDGELTTEDYLDIQQNATTTSLILSCLNQEDYNKVNGMESAKKIWDTLRVSFEGDKSVRKGNIELLQSKIENFAMIEDNYDTMTPQEMLAKLKHHECLEDEVKKASSQKKSIALKASHGRNKKKDVHDPSSDEEQEEDSDEEEALLVRNYRKYLKEERKRNGGKPYKKRFCYGCGEIGHFIADCPKEKKKHKHNKDDDKKYKSKKRGEAHLGEEWESNDESDDSSDKDKEKRKGVATIAIQETSFSSPKLFTDHTSSPSLFTDLSSSPRLFANLTNNDYYTPTCLIGKGRSTSIHPKESGDSNALTSEGCKRCYNHDINVCATNLVELEKKDKEIQRLNMVIKNGCKEKQSTTMYKSSRHPLIKDGLGYNRYDGKANGRNMINGVPCVKFNKGVALDDLINKVNNVATSPSTLAKNKTNNKKKQVDAPKQQAPISQSYASDYMCCWGKDGKIVVKYVGALKM >Sspon.02G0041630-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:71808909:71812329:-1 gene:Sspon.02G0041630-3D transcript:Sspon.02G0041630-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKDDYDLVSCRSTIARNLQLASNGSCSGRGCCQASLPLPEALPRPLTGFVVAIPSRDLNKNNSLWATNSWYNFSTADLYGDTSNKFPRGVPYVIDFAIRNGAKCPAEGQQPPLGYACVSGNSSCADVTNGYVCKCSEHYEGNPYIPNGCQDIDECKRPDLYPCYGICKNRLLGYDCPCKPGMKGDGKKGTCQAVFPLVAKIVVGVIGGFFIVAALIFLILLCKEKKKMREFYEKNGGPILEKAKMIKLFKKEDLKGILKSGNLIGRGNLELLHSLARIAMECLNLDVDQRPSMIEVAERLLLLNRSRN >Sspon.05G0022450-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:7533450:7535423:-1 gene:Sspon.05G0022450-2D transcript:Sspon.05G0022450-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLNMTNLWFHGKEHIAASSFSQAQPSAINCRNEEQAVSAMNLGCIRSSEIARNSRTFQHGDQSLAAPDDGCRLVLGLGPTPNLYSADSHSFGGNKAYESATLLTQHCATTDPGLMLGLSRCSSRNLQSTTASGSKNYSPARKTGIIFPLIDEGSTSAKRKRGGYMLPLLFAPRSEDLCLNGTSPDTDVQQHDGTGCDAESDHDRSLNHHEIHPSPDLSITADCSFAATSDIVGGTNGEQRSHQRHPKKCRFNGCSKGARGASGLCISHGGGQRCQKPGCNKGAESRTAFCKAHGGGRRCQELGCTKSAEGKTEFCIAHGGGRRCGIEECPRAARGKSGFCIKHGGGKRCRIEGCTRSAEGHPGLCISHGGGRRCQYPNCGKGAQGSTIFCKSHGGGKRCMFDDCTRGAEGSTSFCKGHGGGKRCLFEGGGVCPKSVHGGTSFCVAHGGGKRCSVPGCTKSARGRSDCCVKHGGGKRCRFDGCDKSAQGSTDFCKAHGGGKRCAWSTGCDKFARGRSGLCAAHTTLMASKLEHDPGHARSMVGPSHFSSIVSGSSAADSNMDHAISSSGHGAWSDCVDSSGDMQSSGRLLIPHQVLVPGSLKASPLCGLAGNGQDDGGSRSQSFGLVVPEGRVHGGGLMSMLGVGGNLGSNPDGSKA >Sspon.01G0025980-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:90672484:90676118:-1 gene:Sspon.01G0025980-1P transcript:Sspon.01G0025980-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATATEKTAEDIRRELQELQRQHREITERLRDPRGLRRGAPGPGPGPGGPRPLRGFVRPPVGAESGDQPPQKRRLLSAVVKVDGAENNEEGTKDADAEGHDAPSGVTEGSDRRGFNNGGFRRDGNLRMQRRVDYNSLPEPAPRELPRNEDPNLVRRNKRMLGQLLVGTLEKFQQEDKKLSNSEAFLRRSETQRKAEQKVREESERLRQQEREQIAEKRKRDMTLRARVAAKAEEKRLELLYIQWTEHHKRLSNFLRTKAEPPIYYMPTKPIIDDPAIVEQNKEMAFEEWKSMRRTELTQFQKQVEEQYLSNVERQLERIQNARNARKASGPANMQEMDKELDTHRAEHGPKTRRVPEEGGNDDDEDVEDMAAEDELMDEVLGINDGINEDPSKPSEEATTEGGEPALEEAQ >Sspon.06G0031950-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:73774325:73775112:1 gene:Sspon.06G0031950-2D transcript:Sspon.06G0031950-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSALKKRGMPALGWWLMAVGAFRSAFTCSCLFGSASLCSAILSETQMTDAHGRTIAVWTLLSSTLCFLCAFNLQSKPLYAATFLSFVYAIGYLSVECVVYHTIRAASLAPFTFIAVTSMAWMLLRWNSDGHGPSHRGATTSKQPRPCRGPVHSL >Sspon.01G0017840-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:68404913:68408253:-1 gene:Sspon.01G0017840-3C transcript:Sspon.01G0017840-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDGPIASVEAEPLLVPRRSTGDSCSAAATESKRQLRLAGPLVLSFILRNAVQMVSVMFVGHLGKLPLAGASLAASLANVTGFSLVAGMAGALDTLCGQAFGARRYTLLGVYKQRAMLVLALASLPVVLAWAFAERILLAVGQDPDIAAEAGAYARWLIPSLAAFVPADAEAQSVVVPAMASSGVTALAHVLLCYTLVYKVGMGSKGAALAAAVSYSVNLTVLALYVRLSSACRATWTGFSTEAFSFSGLRDYAKLAGPSAMMWWSFELLVLFSGFLPNPKLETSVLSICTRVSNELGAGQPEAARLAARVVVCMTLCGGVVLATIMILLRNIWGYAYSSDKDVVTYIARMLPILAVSFFVDGLNGSLSGVIIGCGKQKIGARVNLGAFYLVGIPTGVSLAFVFHLNGMGLWLGIVCGSVSKLALLLWITLHIDWENECEDLFVIAQPPNSSSTSTQFIVKLEKEKEVIFSAWRFIERSASVAEAEALAGVEGLRWAVEWRVPQVIIELDCARIVVTMGNAAENRSDLSLIIAGAKGLVQQLNCWKISQVKRD >Sspon.05G0007520-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:15155248:15157629:-1 gene:Sspon.05G0007520-1T transcript:Sspon.05G0007520-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RKKYPAGRMDETGDALRSCMEQLVLVRDEKERLIIEATNKISSEQKKTLHLQQKVEDANKQFEKVITENYNLCNIVASKEKLIKELKESKAHSDQKLTYANARLEFSQKQCASLQYEVRVLQEELEIRSKEREYDLKSIDAARKKQQESTKKIAALEAECQRLRTMVQKRLPGPAALAKMKDEVKRQGSGAAENGTRRPRAGAAVQPQQPRHSVSEGHLVKLQELGDENRQLRQLLAQKESDLHFVLSKYADEACKLSILQRQNEELSGSHGSTENNHPKPMVSAFAKPARSISGKQQVSKIRSRSRRITGSDMLLVVDPLDIEKLERTSRPSSAPHQCVDSPDTDSKMMVSDTFHREFIPDGFSDKYPEWIQEVLNVIRHKHQGSKISVDVILDEVTHALKNEISAKGEDGAHFSYDRAEIDKMVATLIERVSTMAERSTKNNVKSFRSLSHEKPELTMRLEHLVHVCSDVLDGKVNLERLTDEVCLILEWIVSRCLLCADELDIVDYITNNSDGNESQRTLSIHEKDIMQSTKSKMVLGKQQERQRSVETTEDLIPDVILENHSQIELITSKLVEDLVALRQEQSDSCQEQHLVCCEAKSAASDGSKDKLAEQEGNQLTTTSAISAAARKLAECQETMANLSKQLHALESPANTDPSDKEKCGTLPPSAESDATEKKEHEQDSGRSLQSAKSASTL >Sspon.05G0016410-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:61902813:61905196:-1 gene:Sspon.05G0016410-3C transcript:Sspon.05G0016410-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPRRLRLHLHAAFFLFLLPVFFLLLATRGPGAAASALSTFALAKADATTIVCALLPSAASPLLVDLNCTAAGGDHARQETYPSSHPFAALAGGEDFLCAVGPSGERAGDVDMRWWDLSADGGSRSKRVYMGPPLQALSSGGYRVCGVLDSGELHCWRWRGLNISRDLRFVAAAVGDGFVCGILKGTPASIRCFGNDTAAPEAVAGAPADGSFDVVAACGRRACALSTTGALTCWGRDPPRVDAAATGGYAALALGEAGVCGLRTNSTIGCFGDGVAHPPAELARAQFLDVRAHGRAFCGVLMANYSLVCWGGHEFNATNRLVFGRVMPGPCVPMSSCQCGVLPGSANLCDEGRCVCVDCAFELNVARPNASVLPGKGGRSRRTMWIAIAAAAGAFLVFFAALQLALFLWFADAAEARVQQEQGPGERGGALHAGHAPRRDGRVLRRQPDRDRELRVRVPRHPPYGREVAIKRAEESAKASISAAARPARRRDRETAFNSELTALARANHKNIVCLLGCCADSGERVLVYEFMANGTLHDQLHSRIPMAAAVSSWRGRLTIALGAARGIEYMHVYAVPPIIHGDVKSANILLDDAWTAKIADFGLSSVLDPSVGACAGGDDGAQQEPLYTGGTVGYMDPEYYRLQHLTDKSDVYSFGVVLLELMSGCRVVQRYAESATPKNVVEFAVPHILADDVARVLDPRLPAPTPEEAEALAYVGYLAADCVGPVGCDRPSMTEVVDALERALAACGVAPLSRAGTGRRPVLSRSGTD >Sspon.06G0007130-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:26763685:26765533:1 gene:Sspon.06G0007130-1A transcript:Sspon.06G0007130-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin-like protein CITRX, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G06730) UniProtKB/Swiss-Prot;Acc:Q9M7X9] MAPAGTFLSACAAGSLRRAPSLIPGRLLLPVPLSRARWDRSHGCSIVGVGASTRRAPTLRRNASAETVVPYVPGSGKYIAPDYLVVRSTVHWVANLFCASAAVGEFESERCFALVLFVRKVSAEEVQELVRGHRKVPLIVDFYATWCGPCVQMAQDIEMLAVEYEDNALFVKVDTDDEYEFAKDMQVRGLPTLYFFSPDQNKDAIRTEGLIPMDMIRNIIDNEL >Sspon.01G0040420-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:37102890:37103744:-1 gene:Sspon.01G0040420-2D transcript:Sspon.01G0040420-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding NLTPKTNCSRSCGSTYIPFPYGLEPGCFAKRRFQLNCASNRTLIGRPPAKYEVTNISLDEGLLYVNKLSESEDANTNYLSIYYGGSEYFGQQLIYGLEKSDLSEEYGSWSWSVTNLTCESAKRDKTYACISTNSECLGVTHGTIYIGYRCKCSPGFEGNPYVQNGCTGVDECTIPNYCNGTCYNFQGSYGCCPQGMHFDPVRRQCSSSKRQSVLLG >Sspon.01G0055740-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1C:83070394:83082383:1 gene:Sspon.01G0055740-1C transcript:Sspon.01G0055740-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSGASRIQKPCDACKRYLDHLDGQNQNVRSFLSPMTASSKHSMVVPKRFLKHFAGKLSGTIKLESPNRGSYDIEVTEHCNKVVFQHGWGQFVESHHIKENDYLLFRHVEGSCFEVLIFDPDGCEKVFSCAGIRSVDYVDISSSSHHETTESSASERFIRCQKGSSCHHGKIAKMAAAFSSSEESGEDVPSENKSSESDDLQIPLRQHYVLSRRNYLSEAQEERVIALIQEIQPESTAFVAVMRKSHVQPPCPYLATATCSRGGSGKRRSLNRRKETAKKAATSSLYEDSEQEEKIVALVKKVQPEIPFLVIQMKKSNVNRLRSDLVRILDRWTCISVKLCSKGIIKPPLYVVLGGTCLTPAQDKVVQEKAMVIKAEVSIFVATMNKKILGYNNEAFILDFSDAAEYLPDGKQSLTLRWQGQGRAWGTDLHNRLMLATGEWREFVRDSGLEDGDIGLFEPMKNERLAMLVHIIRSKQYS >Sspon.06G0013150-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:56301684:56304517:1 gene:Sspon.06G0013150-3C transcript:Sspon.06G0013150-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIQSEQQAPNGSGATADDIKHSDSPCQRLGDGGDGDSQDGQASGYSIPCLPEDIWRHIHSLMPMDAAARAACLSRAFLSFWRCYPELILCSGKFRAGSGSLRDRIDNILKNHSGIGLKKLRLNLLNEYTCFPYIDGWLKVAVTPGIEELNLRLPKKHNFPCSVFLSAGVRNSIRSLRLDSCVFRPTAELGPLRNLTSLRLWSVCIMGGELECLLSNSRALEHLDLTDCNEIISLKIPHVLQRLSYLCVDGCYAMQIIENKAPSISMFTLSRGVPKLELGEASQLIKVLELNFGNSVQYARAVLPSIMPNLETICLGSTHEDNTPMLPSKFVNLKHLNIQMTSSTLSPSYVYFSLLSFLDASPSLETWWLEVPREDVGHELIGSSHLRQLPKRRHDRLKIMEITGFNSTKSLVELTCCIVKSAVSLERLTLNTLRHGKSPCCSDGYSVIYAKSAVQEASRAVEAIRRCIKDKVAPTTKLSVMEPCPRCIANAADDGLA >Sspon.01G0005880-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1A:15307713:15310181:-1 gene:Sspon.01G0005880-1A transcript:Sspon.01G0005880-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGGATAFELVTKFCYGESFEITEDNVAMLRCAAEHLEMTDDSMGGNLAARTEAYLEAVALTSLAGAVTVLGRSEDLLPVSEEVDLVGRCVDAIAYITCSDSQFNMSMGNTNGNGMAMSSSKAVDDWSADELTSLRIDTFQRVLVAMKARGFKGIALATLIMLYAQKSLRRLDTHGREKKKMDPKQEHEKRVVLETIVTLLPKEKNSMSVSFLSMLLRAALYLDTTLACRLDLEKRMAAQLGQAVLDDLLIPSSSPEAGTTFDVDAVQRILVGYLEHESEAARLDYNTDDDFISTASPPNDVGMVGKLMESYLAEIASDVNLPIDKFTDLAEMIPERARFNEDGMYRAIDIYLKAHPHLSEAERKKVCRVMDCQKLSREACAHAAQNDRLPVQTVVQVLYHEQRRLREAPKHAPPPSGVS >Sspon.08G0012520-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:53736105:53736997:1 gene:Sspon.08G0012520-1A transcript:Sspon.08G0012520-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVINGSNNFRSSFSRSNVQDEISRKDKSSVPELEVVNSYSHYCIQEPNEESMQGIVMEQEELSDSEEDSQHVEFECEEMDDSEEEQVQSPEASPIQNKGISAAVVCGEFHVNNDQSQIQQGSVQKDKQGASLMQKMQVPSRSARAKLKPETAKCTGSRTSQRSSTSRTAETSRSKTRNSKVPQGQSSAERKPNDSRTRKTLAPT >Sspon.06G0003640-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:8062936:8063721:-1 gene:Sspon.06G0003640-2P transcript:Sspon.06G0003640-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDELVFPASSSSCPSPASFSTAGHHQEHDFVPCDVLEGWPGGDDLLDEPPNGVKVTWDGEGSRSPGNDHNHLSGEPPAPALKRRGRKPGARTNTNGPALCHVEAERQRRDKLNRLFCELRAAVPTVSRMDKASLLADATTYIAQLRQRVEQLEAEAKKAVAASATVAPSHSLSSSSLGEKLEVRMVGTEAAALRLTTTAAARHAPARLMLALRSLDLTVQHACVCLVGGMTVQDAVVEVPAALRDDRALRAALLHRLQRTG >Sspon.06G0032880-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6D:5328429:5333353:1 gene:Sspon.06G0032880-1D transcript:Sspon.06G0032880-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGVLAMAAAKESVGLEGSANGQWWIDSIGKTLDEGASNDLKPHVGDVDAAAVPCGFGRAIGNKAYENQADEDRPELAEADMVVFLGDFNYRLNGITYDEARDMVSQRSFDWLRERDQLRAEMKAGNVFQGMREGPIKFPPTYKFQRHQLGLSGYDSGEKKRIPAWCDRILYRDSRSVSIAECSLECPVVAAITAYEACMDVTDSDHKPVRCQSIRKQDGTKSELLLRASFGFPLWLEVQPSIGLIEPGETMEVAVHHEDFFTQEEFVDGVQQNWWCEATRDMEVVLSVNVTGSSSTEAVTHRITVRHCCPVPSAPPTVNPRSITDSPSDAESGSKNNQLNHLLRSDFAKFGSSEVKLNKYYWLSVQNVGNLKSTIYDVCYTCQVASSWMMLCSYSTHLSCDTAQRELQLLL >Sspon.08G0010770-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:39442220:39445176:1 gene:Sspon.08G0010770-4D transcript:Sspon.08G0010770-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAPAPAPGPSFSGAESSAAGAQPSTTGAQQPPVDPQAHVPVQGAGAGAGSGSGVARGCRHHAYSRKQKSLGLLCSNFVALYDRDDVEVIGLDDAAKRLGVERRRIYDIVNVLESVGVSSDFLLGMRFRGLVLSNRVMCYPALTIPAGLGDGLQILVRRAKNRYTWLGFGGVPAALKELKERALREMSGSPVLLPMEDSSTANLSDDEDDEKLGDADEDAESEKLSQSVDNTSDKPDAPSCRLRSDHRKEKSLGLLTQNFVKLFLTMEVETISLDEAARLLLGEGHAESNMRTKVRRLYDIANVLSSLNLIEKTQQADTRKPAFRWLGQAKRKQENNVMVALPPSRKAMPSKRAFGTDLTNTDNKRGKLDSTAENKVKLMQGAGNIVKTFERQLGQGKRSDFVYGPFHPASAKKQETDDQTVKQQERKTIQDWENLAVSFRPQYQNQALNDLFGHYVEAWKSWYLDLTREMRS >Sspon.01G0025410-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:89936007:89948321:1 gene:Sspon.01G0025410-1A transcript:Sspon.01G0025410-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LDELLAPKLSRPVSATLPLPRSSRCGIACLGRDPALPQPDPMLSGVDDDVPDGIGMARLAWTRRTGRSRYAPPPPICSCYCPRLSSVCTGLAAIFINLAVENFSGWKYTATFAIIKHSYFVGFLVYTVFNLALVFSSVYIVTNFAPAAAGSGIPEIKGYLNGVDTHGILLFRTLVGKIFGSIGSVGGGLALGKEGPLVHTGACIASLLGQGGSAKYHLSSRWVRIFESDRDRRDLVTCGCAAGVAAAFRAPVGGVLFALEEVTSWWRSHLMWRVFFTSAVVAVVVRSAMNWCNSGKCGHFGAGGFIIWDISGGQEDYSYQELFPMAIIGVIGGLLGALFNQLTLYITKWRRTHLHKKGKRVQIFEACLISLVTSTISFVLPLLRKCSPCPQLDTNSGIECPHPPGTDGNFVNFYCSKDREYNDLATIFFNTQDDAIRNLFSAKTFHEYSAQSLITFLVMFYSLAVVTFGTAVPAGQFVPGIMIGSTYGRLVGMFVVKFYKKLNIEEGTYALLGAASFLGGSMRMTVSLCVIMVEITNNLKLLPLIMLVLLVSKAVGDFFNEGLYEEQARLRGIPLLDSRPKQVMRNMNARDACKNQKVVCLPRVSRVVDIVSVLRTNKHNGFPVVDRGQNGESLVIGLILRSHLLVLLQAKVDFQNSPFPCGPGVLNRHNFSDFVKPASSKGKSIDDIHLTEDELGLYLDLAPFLNPSPYIVPEDMSLAKVYNLFRQLGLRHIFVVPRPSRVVGLITRKDLLLEEDSNNNVMAELQSTSVRSLLNGKMLGGNVHLERPLLDNLVIE >Sspon.06G0021770-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6B:19680922:19681374:1 gene:Sspon.06G0021770-1B transcript:Sspon.06G0021770-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDEVARQLVRARKWSMLLENDELLLELSSGRQFDGWHEGHVAFAPTYKYRRNSDQFYWCADGGATGREKQHRAPAWCDRILWRGKGMKQVRYERCGGYRLSDHRPVRAVFHAVCELAEGAD >Sspon.04G0010150-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:30242315:30243541:-1 gene:Sspon.04G0010150-4D transcript:Sspon.04G0010150-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKRTTTMATHAEVAPAALRWCDYGVDDDADIDALLRDIHAVVRPRTPAAADLPMPPPEFLARSRRHNYRYDYDSDFEAVVRGIPSVRIPAAGFASVPNPLDASDASPRTPAAAVVLAAPLSYGDDASEGNDAVTIKTSPKTKHPPQYDYDADIDATFRTMETEAMERPSPDYLSDRQAGEMMMMDRADLIDKMHRFSTHYDLAPGAFHRAVSFVDRFLSAKKISRDEREICLLGAAAVFAAAKYEDRSTVLKINSDHVAMYAGCTRREAVDQERELVAVLGYRLSGPTAYTFVDHFMRHSEEEEVVRALAHHLADMALLDYRCVALLPSAVAASAILLARMALYYSTAMPVDAGYTLEELSDCIQAIYDMHENLWVWPGCAQMMLHWDSTAQFRYFLPPLSMLIDMH >Sspon.02G0013790-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:39105804:39108260:-1 gene:Sspon.02G0013790-2C transcript:Sspon.02G0013790-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPSPRPTTRLRRPPASPASCSFSAVSLRGLVSLSSAPRFLSASFRQQGPLASTFAGRPRLLSAVRSSGDPGEVIDVVSGEGTEQTPGGSTGPRKDGKETAKSFSTKELLEKLKRYGVAGVLSYGLLNTVYYVTAFLLVWFYFAPAPGRMGYGAAVERFVKLMAMVWAGSQVTKIFRAGGALALAPFVERGLRWFTVKFNFKSEGKAFAAIVGLCFAVAALMFFGLTILWA >Sspon.05G0008000-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:27011271:27014660:-1 gene:Sspon.05G0008000-4D transcript:Sspon.05G0008000-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding IVCNDEFSSRLSINSILRLRSAAISALSDNSPADTAMIQKLARRILHVNRYHDNGPDDGIIQGYADHTRTSGTAVPNERQISHQVPLCNTEPDLQNTQNGFVGYNGSNASIDEHLSCEGIDSGHDVQIIPLDGADSGFEEHPTSYREIPVEPPEMFLAGLIVHIVRQRRSLFPLWKCWSIQETEPPYKAVLAKRENFRDIAVTPSMFTDHLPWSYPDTYTGDFRCHFAMQKILEAQTPMRRANSDSPIQHLV >Sspon.05G0006270-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:11537331:11541538:-1 gene:Sspon.05G0006270-3C transcript:Sspon.05G0006270-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Elongation factor G, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G62750) UniProtKB/Swiss-Prot;Acc:Q9SI75] MAAKAPVRAPAAAVVSSSASRLLLGHRPFLAPRFAAGRAAVAGPAAGLRPRPRRPRLSVVAMAGSDRQVPLHDYRNIGIMAHIDAGKTTTTERILYYTGRNYKIGEVHDGTATMDWMEQEQERGITITSAATTAFWNKHRINIIDTPGHVDFTLEVERALRVLDGAICLFDSVAGVEPQSETVWRQADKYGVPRICFVNKMDRLGANFFRTRDMIVANLGAKPLVIQLPIGSEDNFQGVVDLVRMKAIVWTGEELGAKFEYKDIPTDLQELAQDYRVQMLETIIELDDEVMENYLEGTEPDEETVKKLIRKGTISASFVPVLCGSAFKNKGVQPLLDAVVDYLPSPLDLPSMKGTDPEDPEIIFERQPSDDEPFSGLAFKIMTDPFVGSLTFVRIYSGKLVAGSYVLNANKDKKERIGRLLEMHANSKEDIPVAVTGDIVALAGLKDTITGETLCDPDKPVVLERMEFPDPVIKVAIEPKTKADADKMANGLIKLAQEDPSFHFSRDEETNQTVIEGMGELHLDIIVDRLKREFKVEANVGAPQVNYRESISKVSEIQYVHKKQSGGSGQFADIIVRFEPLEAGSGYEFKSEIKGGAVPKEYVPGVMKGLEESLPNGVLAGYPVVDFRAVLVDGSYHDVDSSVLAFQIAARGAFREGMRKAGPRLLEPIMRVEVITPEEHLGDVIGDLNSRRGQVNSFGDKPGGLKVVDAFVPLAEMFQYVSTLRGMTKGRASYTMQLAKFDVVPQHIQNQLSTKTEEATA >Sspon.02G0020630-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:67190168:67191200:-1 gene:Sspon.02G0020630-1A transcript:Sspon.02G0020630-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding QSFPECWEMIVDRWQEWTAQHAEALQMPGVPRAIAEYAKLGYTASHGGQPCTTFMAYAMSHKGKATAPETSYNPDDPPEAYSNSSVHTRLTDYTEAARKVHGADFDPASEDLDGSLVMRVGGGKKHGRYWLGDGVIDSSSTPTLSQLRAQTTSGSVPIRPRPSPSQSRVAELEARLEREREERKLAESQMRSQMEARLEAQAKQMQDLITFMASQGMQVPAALMAPPPPSQNADSTPPPSAGSNNPQAPGWNTPGGQGGTPSPGWPGQGWP >Sspon.02G0029440-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:98234090:98235525:1 gene:Sspon.02G0029440-2D transcript:Sspon.02G0029440-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFFLGALPELIAEARLNPARVPVALISFGVVLSAAALALIIFKAPGGIFLRLWGGIFLRLHGSTPAYLYYGVLTIVAIFGLTEASFGYWMVPRDVDGWHAAGKTAIAVALPRQNLWQTSSSSALAYSPHGIHHPRTSREMESQLGIPMELIVEVRRDPARLAVALISLGVVLSAAALGLIVFKAEVPGGIFLRLHGSMPAYLYYGVLGVVVIFGLAEASFGYWVVPRDVVGWHAAGKTVLWVSLLPLVMVLALGDLAFLK >Sspon.08G0028120-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:6179446:6181424:1 gene:Sspon.08G0028120-1D transcript:Sspon.08G0028120-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHHINVRRSSRLGAGKRQCLIRAVLREVPDHIALHPDLVSHRLDPGPVHCTENFQPYHLLLRLNSHQTHALVAAVKTEIINNGVQPKASEVTRTQWNGQGDGTTIWTWKPVKVQAHGLLQQKAVTEEGLASVIAVEADKITLHLQSSMVSCFRSHMKHDEISNSSRSAMSSSDLADCSSSCMFEVDSSANSISLGSTSTHSSSSSSSSSSSTADGALRGTRSGSGLTIVIPAREALGS >Sspon.01G0010710-3C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1C:30141307:30143920:1 gene:Sspon.01G0010710-3C transcript:Sspon.01G0010710-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRDAHLGVGVEGDAKEKNAAADALADGGGGGHEAAGGAGSGGGRKLSLVPLIFLIFFEVAGGPYGAEPAVQAAGPLYALLGFLIFPFIWAIPEALVTAELSTAMPGNGGYVLWADRAFGPLPGSLMGTWKYVSAAINGAAFPALCADYLARVAPAVSEGRARVAAIVSFNVALTFVNYTGLTVVGWSAVGLGVASLSPFLVMSGAALPKIRPRRWRVVAGERDWKLFFNTLFWNLNYWDSVSTMAGEVDRPGKTLPKALVSAVSMTSLGYLLPLLAATGALDVAPDSWGNGFFADAAAHLTRCRNVTNAGMIAGNWLKYWIEVGAVLSSIGLYSATLSSAAFQLLGMADLGLLPRVFAARAPVFRTPWLSIVATAAITLGMSFLSFDSIVAAANFLYSLGMLLEFAAFIWLRVKQPGMARPYRVPARLPAAVVLCLVPSAFLVFVMAIAGWKVYAISAAFTAAGVAVYYLMRFCKARGCLRFSDGGDHGTAAVYQQDGTNAGDV >Sspon.03G0008130-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:22147707:22152109:-1 gene:Sspon.03G0008130-1A transcript:Sspon.03G0008130-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAEVVVANGSGGADTKAAFKEIYSKLKEEMLEDPAFEFTDESLQWIDRMVDYNVLGGKCNRGLSVIDSYKILKGVDVLSKEETFLACTLGWCIEWLQAYFLVLDDIMDNSQTRRGQPCWFRVPQVGLIAVNDGIILRNHISRILQRHFKGKPYYVDLIDLFNEVEFKTASGQLLDLITTHEGEKDLTKYNLTVHRRIVQYKTAYYSFYLPVACALLLAGENLDNFGDVKNILVEMGTYFQVQDDYLDVLVILNSLARLGIGTDIEDYKCSWLVVQALERADENQKSILFENYGKSDPACVAKVKDLYKELKLEEVFHEYERESYNKLIADIEAQPSKAVQTVLKSFLHKIYKRDK >Sspon.04G0017130-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:68640459:68642022:1 gene:Sspon.04G0017130-3C transcript:Sspon.04G0017130-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYVDHAFSISDEDDLVGGAIGGPRGAPVKEIAFAAALLAFGALGAIGGLLMAVNRVGGDRAHGIFFMMLGIVMFIPGFYYTRIAYYAYKGYKGFSFSNIPPI >Sspon.08G0021800-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:43538700:43546970:1 gene:Sspon.08G0021800-1B transcript:Sspon.08G0021800-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGAWGGTTQKCASCGRTVYPVEELAADGRVYHRPCFRCHHCKSTLQFSNYSSAEGVLYCKPHYDQILKSTGSLEKSFEGVSRSAKSEKSNGHKGQQSSRFSNMFVGTQEKCVVCNKTVYPLEKVALNGNSYHKSCFRCTHGGCTLSPSNHITHEGKLYCKTHHSQLFMVKGNFSQFEDNSGIAKVATEKQPETEEATKNPDQGDEVTRKPVENEPTDEKTSKNDEKQLQSSVDVTKPSESATAENERGTESESKNNVVNKKPSESSVEEPLQNSVVDVKPSGNSAAMRKPWQRSLQTDKPFLSSTSTVKPSPGSDTTEKPSSSNGVDMKQSESSTLVKKPWQQNVPTENPPQSVLPSDKPSATSVDDAKPSERSKVVKKPWQSNTAAEKQLQNSAPTEKSQKSVATDKPSPTTNMKSLDNTTEVKSPWGRRMFNNKSLKSTVGTEKSSATSVVHVRPGETSTVAPVPQQQTENIEKPSDTSADDAKSADDTKSADDVKLSVASPDDAKSADDTKTTDDVKPSENTAAVVRKSWQRNIGTGKQPLTTAVDPKVTETSGTVKRLWQRSAATDKPSQSATAVVKPLQSSVAVSKPFQSNVAVKKTWQRSVTPENQLESSMSSNKPLPSKVAVDSLVQSNTVEKMFQSNVPTEEPQKVIVATENQSQTIEVTKKSNDTSMKLSVTSETTKVPPLAATVLQSDVSTEKPSQTDMPTIAPSQIPEPTEKPSESAFNAEKLSKTDTAAEKPLQSMITEKVQSVAATLKPSQSDTAPQEILERNMATEKILQSAMAVEKPPPTNLITEKPSIKDASEEPVQTNEPSEQPLKTKEVEKPHQSEKIAAETRGSEVSIGNVLELESNATKLNKDHSEPEGLSSGRNPPDFQSNPNAGQQLESKGIVAEKAADHIMEAENDAVEHSSESQSVAPAEVPKEQPSEHQKDTDMQQLLEPQNGNHPGNPLEPVSDTAAKDSSEPKSDITTEKPAESQNNADQSVEQSSEPQIDKSTEKPEVHQSSTSSDELSRLQSDAGADKLSVPSSDPESNASVSKPSESQTDVITMEAPEPQIDALPDKATDQPVKPQDDASAKKPMGTESDAVCDKPSESSSDAETLLVCHQNSSITSDEPVQGDISYETPHQRSAPIETTPGSDTVVEDCIHHEDTSSKPSEENKAIEEPEEVSAKLPDDHVTSEKSSEEDEENAEPSVDNAPLGKQLEANEESSKPSGDTVTPEKPLEEDKTSVEPSENDASFGKLLEADEVSANPSEDIATPEKPLEEGVASVEPSEDNSVLDKPWKEGEVAAKPSKDVVTPEKPLEEGSTTAEPLEDNAAVGEAKEEDEVIPKPESSAALEKSLEGSESSVEPSEDNAALEKPSEDNEADAKSSEDSVAVEKPQQEEENGVKALEEGVSPEKSAAGKPLEEEDPVHEKPADADAAVEPSSQDDTATEKPSATTDTAETA >Sspon.03G0030100-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:19627047:19629554:1 gene:Sspon.03G0030100-1B transcript:Sspon.03G0030100-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAVLAAFATLRAAAAIASGDSSFPCSHRLKHRFSRSKGSKVLDVVITTYKIRETSLCNWGSSLWMGEPSADFSAEGVSSSSGLCHSTCLKPGCEHGCACWENKYIEAVISCGQDDLAVEEIGMALTEVMHTLDDDKEPGLDEDSDNDASDDPILSLESDSTDDLVDVDSVSPAFPSGDATESSISNSVAGNSSINGTPRLVSAMKGTRAKEGIMTKLSVSWAPDVYDPPITSDSHTVSPLVVAENAAPQETVPVLKTLEPIKCATSCGKEKPFALLSRQFSPARYKGMFSFWSQNQLAS >Sspon.07G0014620-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7A:52531071:52534242:1 gene:Sspon.07G0014620-1A transcript:Sspon.07G0014620-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKAHSPKMRRVPERGGCALKSPPPPPWGPFAWTSRSDLPGKGRRHAQSTGSAAADWKGRGRRHRWRRSSHDRAPQVGQEDARRWWGEDTRLRWGEDVRRGARRRCGAFFFCVGCWRWEERKNEKKEESTLCQLQCRRERQSYAHARIFFFSLTPLAYPQSHTPLHHPPSPDLPPFFSFRPCRASLPNPHPNPHHHLLLLLSLSRAVSLASAANRRGVLSPGTPARAAPVRPLLGKRLDRRLPPPSVPASLHASCTSEGDAATEEELAPCTDRVVSFFLSFFPGVSRYMASSSPAEMAAEHTGKEAAFGAGSTVLRPGRLRVMHPHVAELLRSPRRHARPAAAKPAAPRPTPGRERARYACAFEDDVGGGGVAAPGHLVWGKVRDHPWWPGQVFDAADASGAARALRRPREAVLVAYFWDKTFAWNEAAALLPFRAGFPRLAAMAPVAAAVEAALAEVARRVVAGLSCCCDCGAGANRQVINNAGVRDGAYGAPVDATFARGALQGEALIGYVSALATNPRAGADRVDLTVAAAQLEALGRWRGSPRGLPEYTVVHGIGGVSATAKRRRSSTKGGGGDGSAKRRVTRSSRSAAKGNAACDTGDYEALEQEDLPLPTPAQQMSTKIGKLMSRAAQQMSLSPMILRANGNSCPPPPPAVPVPDIARCAIAADEQLPPVSKNDGDHEAGLVLNFSSASAVPSARHLTMIFSRFGPVKDVRAENSIALVIFKNGAHAEKAFSGTAKIGSIRASLVSFRVTSSLPAAPVDPPQPQSMPTDTSPVEALQ >Sspon.02G0031820-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2A:116509420:116510189:-1 gene:Sspon.02G0031820-1A transcript:Sspon.02G0031820-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTLAPLTNLTKLMIDDYGGVRSEDLWHLLAQGRNLLDVPEPSRMCGQDLPQHRSRLQALETDREAGGAVAVPIGVHFSSSLTELALVMNDDMERFTKEQSDALQMLTSLQVLRIHWYSRLQSLPEGLSALPNLKRLEIWFCGSFRSLPKGGLPSSLVVLNIWLCNAIRSLPKGTLPSSLTELQINSCRAFRSLPKESLPSSLTTLELRGCPAFQSLHQGSLPRSLQRLDVWGSNKKLRRQCQKLQGTIPIVYYDT >Sspon.08G0010590-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8A:46853076:46857194:1 gene:Sspon.08G0010590-1A transcript:Sspon.08G0010590-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGFQLPSNFVENPEKLPRSYRRHLVPPQKFILDLNPFEEGGFAPPLKEVMAQKTISDFLAPPAANVATGPQVNLGNATFELKPALINMVQANPFCGKPMRMPMHTCNTSWRYVKIDEGSNFKKDQKANQHYATAQDIKANPWCEVCGRDDHSGNNCPETREDVNFINNNNNNNNGPGIAPNNKMDGIHALLLRFVPAMFEEIFTKHAKVRPDALTSEEIEEMILANRDPLDPQSWSAPEGEWGLIYKLASDKQGFLHKDSARGIYDGSVFYKLEDQRTSSARSDM >Sspon.06G0004810-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:15351801:15353024:-1 gene:Sspon.06G0004810-1P transcript:Sspon.06G0004810-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding PPTSAAKRREAERFMSIAEKLLTARDLEGCKQFVAQALSFDPRTTGADDLLAAADALLADKRRRLPSGALDPYAVLGLDSAVPASREPDVVHSQYRRLSFLLNRSHPDCPCSLAFADAARLVADAWAFLSDPLRKASLDSDLDAAAAATNAAAAAKAAAAAAAARVPTAPPPEKQHQPQSQSQPPPPPLPPASQPPQTVSGTPPPKRGRPPRAAKTPATPPAPQPPQTVSGTPPPKRGRPPRAAKTPPETERNQEGEAVQAPAFWTVCPSCCRLHQYDRSYESQTLLCPSCRRPFVATAMSTPPPIVPGTDMYYCSWGFFPMGFPGGPAFAGPLNLPQQKAPDALGFYPMGPYLPLPGPSGIEEGEGNKAVDAGTGIPVTPTVAAPAPAPSPAPTAATPVKSSHVKVG >Sspon.02G0053010-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2C:99228163:99228570:1 gene:Sspon.02G0053010-1C transcript:Sspon.02G0053010-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDASASSWSVRASSRPASREVEDESGRKEWASGLLVTGRSGGSASILIPESGGARLRRLSVRPPRPPGGRPSAPARRRLPFRSLPLSEVQSLAPSSRHPAAGGLSPVAAQPRSPHRKVRSLSASPNLPRSSLVM >Sspon.03G0043430-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3C:81314855:81315454:-1 gene:Sspon.03G0043430-1C transcript:Sspon.03G0043430-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVRLDATLLMFLQVTPEVVQSVRFFNYKTIHTDETKETEAMGKHGWGFHDCSSNETNKGRGGCQLEQWSVSYQRNEENLPQGIEYSEHKLILFPQLPLSLYPPPALLFLSPISPLLATSLNSISYCICCLQLVIVFYQWVGNRIPEGTLAAGTFDWGHLGHRHPHTGISEVSN >Sspon.01G0010840-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:30549834:30550714:1 gene:Sspon.01G0010840-2C transcript:Sspon.01G0010840-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding METLAVLLLLALLSMTLSSSAQGYGVGRWINAHATFYGGADASGTMGGACGYGDLYSQGYGTATTALSTALFSGGQSCGACFELRCAAGDRGSCAPGPGGSSSSVVVTATNLCPPNYALPNDAGGWCNPPLRHFDLSQPAFLRIATYRAGIVPVAYRRVPCRRRGGIRFTVNGHPYFNLVLVANVGGAGDVRALAVRARTTRWLAMARNCGQNWQSAARLDGQPLSFRVTTSDRRSVVSYNAAPAGWAFGQTFTGAQFP >Sspon.01G0039470-1P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:35119843:35120707:1 gene:Sspon.01G0039470-1P transcript:Sspon.01G0039470-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGSIASRWRELHGKDSWKGLLDPLDIDLRASVISYGELAQATYDGFNTEARSPHAGACVYGLADLLTASGGAPGAAAGGSNRYRVTKFVYATSGLQVPDAFLLLPQPGLQGQEPWCRESNWMGYVAVATDEGAAALGRRDVVVAWRGTVRSLEWGRADNGDGGRRLLGRLPPGRPLHLDPPGDHRLRPPPPGAPSPTACSPAHSNEVRSMNSKSEQARKQPREESRGAEWPCCTDHVWSPVKERRGG >Sspon.07G0013820-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7A:49924520:49927014:1 gene:Sspon.07G0013820-1A transcript:Sspon.07G0013820-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ARGTGLGSGRSWRMVYAIAEGGASPSGRCDSEREARWRRCIHVGGAHDEQGRRREGVRITAVRERRKHEQRGGLRMAGHTHLNIIVYLLSAGFFTAVAIGDGSAGTTYAAGV >Sspon.04G0006330-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4A:17871152:17873413:-1 gene:Sspon.04G0006330-1A transcript:Sspon.04G0006330-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLTVASFFALLCISQQGRGARAADGRLRLHHNRRRHGGLPAGGHPVGPLARAAAGARGLPYEDARVLSMAHFSDVLADTSASSPSQRFVSEDGVINSRPRVLGGGSCINAGFFTRAGAGYVRAAGWDPREVRAAYRWVEDVVAFRPALGPWQAALRRGLLETGVLPDNGFTYDHIPGTKVGGSIFDADGRRHTAADLLRYANQDGIDLYLRARVSRILFRYKGTKPVAEGVVYHDSRGNAHTAYLSPGAASEVILSAGALGSPQQLMLSGIGPADHLRSLGIDVVLDLPGVGQGMSDNPMNAIYVPSPSPVEVSLIQVVGITQFGSYIEGASGANWNSHPSGTQPPPRNLGMFSPQTGQLATVPPKERTPEAIARAVEAMSQVPDAALRGGFILEKVLGPQSTGHLALRNLNPDDNPSVRFNYFAHPDDLRRCVAGISAIERVIRSRAFSRFTYPNFAFPAALNVTADFPVNTLYRRGGGDPRALEQFCRDTVMTIWHYHGGCQVGRVVDRNYKVLGVEALRVIDGSTFNASPGTNPQATVMMLGRYMGVKLLKERMLLEP >Sspon.03G0038210-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:3527762:3527995:-1 gene:Sspon.03G0038210-1C transcript:Sspon.03G0038210-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding NGPEHEDGGAGPGGPPGGGGGVGAHGHRVRLLRRLLRAVRQRQDRPRLHQDVQPGVRHHGPGRARRRRRWCRRRHPGL >Sspon.04G0019320-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4B:71482270:71484821:1 gene:Sspon.04G0019320-2B transcript:Sspon.04G0019320-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding WCGEARRARAPAHRCAIAVLLCSAPLREAHAAPRRATPLPVSHSATARRRHAPAPLSSAPWCRASRRRRRQRQRLRHRQAGRHPPSCALSLPSLPLLPLSLSLVCVTRGVCRRSGAGAREQHGRLRAARRGAAGRPRPPRCRRGAGHPPRLAP >Sspon.03G0002030-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:4861526:4863915:1 gene:Sspon.03G0002030-1A transcript:Sspon.03G0002030-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRSRRALLRRTAAALEQSAVAEAAANGRKRRLYGFSASLVVASWVAVLLLHSLVGHGDGQRDGGGSAVDLTVVEPTMNVGSINPVVQEEHGENLAVPGDTCVNSVENAVLSEDTLVQADQLCSNDEVRSENTEALTKDSQVELSGDQGGYLPQSDVDSGVQPGEKVESEDLPRPPRLSRVAPPDLDEFKTRAIAERRPGVSNQPGHVIHRREPSGKLYNYAAASKGAKVLDFNKEAKGASNILEKDKDKYLRNPCSAEEKFVIIELSEETLVDTIAIANFEHYSSNPKEFELQSSLTYPTENWETLGKFTAANAKLAQNFTFLEPKWARYLKLNLVSHYGSEFYCTLSMLEVYGMDAVEKMLENLIPVENKKTEPDDKTREPIEQIPLKEPAGGKESSQEPLDEDEFELEDDKSNSDPSKNGANDPVSETRALQAGRIPGDTVLKVLMQKVQSLDVSFSVLEKYLVELNSRYGQIFKDFDADIDSKDVLLEKIKSELKNLESSKDSITNEIEGILSWKLVASSQLNQLVLDNALLRSEFETFRQKQTDMENRSLAVIFLSFVFACLALAKLFIGIMSKFCRFYDFEKFHNVRSGWVVLLLSSCIVSTILIIQ >Sspon.06G0026410-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:77530664:77532746:-1 gene:Sspon.06G0026410-2D transcript:Sspon.06G0026410-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding EMHRSHRRSDRLISSIVSACRSVVLFRRRHSPPSASTTRSREPVVLSSDKPRNQAMGTTEHHGAADAIAEPLLPSSTVAVEPPPPHRNMFAFVCATLASMTTILMGYSTCGPLVTATTERRGAVHARRPGAHGRGGTVVLANAFLMAGALAMSLGGSFATLMAARFVTSVGVGFAVVVAPVYAAEIAPASSRGLLSSLVDFFITAGILLSYVSNYALAGLPLRLGWRVMFALGVPPPLLLATGVLAMPESPRWLAMRGRDDDACAVLERTSDAPAEALERLEEIRRAVAAQVGGAGVWRELFVRPSPMVRRILANVLVLYSFQQASGIDAIVLYTPLVFKEAGISSTSTVLAATVAVGLVKTLSIFVATFLSDRLGRRPLLLASAAGIAVTLTSLGIALCFGAGAGDTTPTPSPVVAAACVASVLAFVTAFSIGLGPLAPTYSAEILPLHLRAQGMSLGIAANRVTCSVISMTFISLANSITMAGCFFLYASTAVAAAVFVYVRLPETKGRSLEDIGVLFAK >Sspon.07G0006540-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:12247382:12247941:1 gene:Sspon.07G0006540-3C transcript:Sspon.07G0006540-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VGLVLKKLFEDGVVKHEDLFITSKLWPGNHAPEDVQEDICSALEDLRLDYVDLYLIHGPIRIKKGTMFIPENLVPTDIPATWGAMEKLYYAGKARAIGVSNFSCKKLQDLLAVAGVPPAVNQ >Sspon.02G0022690-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:75857056:75864499:1 gene:Sspon.02G0022690-2B transcript:Sspon.02G0022690-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQPLVVKKDDDLDEEEYYSPFLGIEKGAVLQEARVFHDPQLDARRCCQVITKLLYLLNQGDTFTKVEATEVFFATTKLFQSKDAGLRRMVYLMIKELSPSADEVIIVTSSLMKDMNSKTDMYRANAIRVLCRIIDSTLLTQIERYLKQAIVDKNPVVASAALVSGIYLLQTSPEVVKRWSNEVQEAVQSRAALVQFHALALLHQIRQNDRLAVSKLVTSLTRGSVIRESGVNQGGDRPFFDFLESCLRNKAEMVILEAARAITELNGVTSRELTPAITVLQLFLSSSKPVLRFAAVRTLNKVASTHPLAVTNCNIDMESLISDQNRSIATLAITTLLKTGNESSVDRLMKQMTNFMSDIADEFKIVVVEAIRSLCLKFPLKYRSLMNFLSNILREEGGFEYKKAIVDSIIILIRDIPDAKESGLFHLCEFIEDCEFTYLSTQILHFLGNEGPKTSDPSKYIRYIYNRVILENATVRASAVSTLAKFGALVDSLKPRIFVLLRRCLFDGDDEVRDRATLYFKLLGGEATVGETEKDVNEFLFGSLDVPLVNLETSLRNYEPSDVPFDISSVSKETKSQPLAEKKSTGKKSAGPATAVSGPVSTADASYEKLLSSIPEFADFGKLFKSSAPVELTEAETEYSVNVVKHIFDRHVVLQYNCTNTIPEQLLEQVVVFVDASEADDFLEVASKPLESLPYDSPGQTFVAFEKPEGVIATGKFSNILKFIVKEVDPSTGEAEDDGVEDEYQLEDLEIVSADYMLKVGVSNFRNAWESMDPESERVDEYGLGVRESLAEAVSAVISILGMQPCEGTDVVPSNSRSHTCLLSGVFIGNVKVLVRLSFGISAPKEVAMKLAVRSDDPEISDKIHEIVANG >Sspon.04G0004960-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4A:14353806:14353991:1 gene:Sspon.04G0004960-1A transcript:Sspon.04G0004960-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRYLPGLRDCDAPEIWPIRPSGSRAHGHETDEARIGIATARQCERPRAPGVLDATPLWA >Sspon.05G0009690-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:30332650:30338474:-1 gene:Sspon.05G0009690-4D transcript:Sspon.05G0009690-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSASSRHQVTITLGRSGQVVKRRAVSDDNNDDEVPFSGKKRSVRDRLGSNVSDSDFYESQQRNKRRQTESNSSHGDDGSDHLVGKDDLRLKLMRKGLLQRSNGGAEQNGMDLREKLSRNHKKLPRYDSRGHASESRASYDMRDEPPELRSRYSSREDVLGSRHSSVVSRVPYARSVDDLLKLDSSRKSYPSLANDGLRHRSPERILSVRSDVSPPIAYDQIRPMPPLRSAGSSRPQSFITRDGPDTSRSQPYAGKSTISVDTVQRTNGITPSSAAPPKAPVMAEAPLTVTGLLNSLGLEKYLVLFQAEEVDMAALRQMGESDLKDMGVPMGPRKKILLAVGPQSKQRQR >Sspon.01G0001870-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:5303552:5306251:1 gene:Sspon.01G0001870-3D transcript:Sspon.01G0001870-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MWTRTRELSERCSESEVEAWSQLDSPLTGHHSAPPTATISAALAISFPSPTRFAIATTSSASSRIKRATRFRCCVDSSSQEQETSVAPPAPPPEKPPRSSPSSLLGISTSTWSAGVSGLGFLETGYLTYLKLTGSEAFCPITGAGCGDVLDSDYSVVFGIPLPLVGLVTYGLVTALSLQENGKDLLPGSYDLNIRLILLLVATSMATASAYFLYILSTKFVGVSCSYCLLSAFLSFTLLFIRVKDFGFERIQKFAGIQLAVAVIIALALTNSYSSATTQLKGTDDFVLEPYETEITTESSPFAIALAKHLHSIGAKMYGAFWCSHCNEQKQMFGREATKILDYVECFPNGAGKGKKMTTECAAAGLEGFPTWFINGKVLSGDQELEVLAEASGFVAEDTEQSKEISQN >Sspon.02G0003050-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:10099801:10100473:1 gene:Sspon.02G0003050-1A transcript:Sspon.02G0003050-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFMQWPGSFCDTRQGCCFPDDTKPAAAFGIHGLWPNYAKCRGRQGLARAMLGADAFLSAVGRRGKCWPEYCDDGNELSPWEIRDLVASLDRSWPTLSCKNRRSFDYEWKKHGTCSNLEPHDYFARALALRARHDLAAILADAGIVPSDTETYPVSSVRDAIAQGTGFVANLECNRDADGEAQLFQVYQCVDRDAKELIDCPLAMPTKCTDRVKLPVF >Sspon.04G0025840-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:32078573:32078893:1 gene:Sspon.04G0025840-1B transcript:Sspon.04G0025840-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DGGAGGDRVGGAGHAGRRGDGQPRPPGGTRARAAPVHVQPLVRRRLRLPDLRRVGEEALPQLRRLRHGQAAPGAAHRPAPEAAAAGRLQL >Sspon.02G0012750-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:31959674:31963875:-1 gene:Sspon.02G0012750-2B transcript:Sspon.02G0012750-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADFSKESCPSVKNILLLDSEGKRVAVKYFSDDWPTNASKLAYEKSVFTKTLKTNARTEAEITLFDGYIVVYKFVHDLHFFVTAGDDENELILANVLHGFSDSVGLLLRGDVEKRTALENLDLILLCIDEIIDGGIILETDANTIAGKVATNAVDGSVPFSEQTISQALATAREHLARSLLK >Sspon.04G0015030-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:62451240:62457738:1 gene:Sspon.04G0015030-4D transcript:Sspon.04G0015030-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAEEQTAEQFRGQARLPHFATPRRYDLRLTPDLAACAFAGSVAVSLAVAAPTRFLVLNAAELDVAPGGVSFAPQGSDQVLQPLEVTNVPEDEILIIRFSEVLPLGEGTLTIAFQGTLNDKMHGFYRSVYELNGEKKNMAVTQFEPADARRCFPCWDEPAFKAVFKITLEVPSETIALSNMPVIEEKINGPTKIVYFQESPIMSTYLVAVIVGIFDYVEDFTTDGTRVRVYTQVGKSAQGKFALEVALKTLVLFKEYFAVPYPLPKMDMIAIPDFASGAMENYGLVTYRETALLFDERHSAAANKQRVAVVVAHELAHQWFGNLVTMEWWTHLWLNEGFATWVSYLAADQFFPEWNVWTQFLEESTTGFKLDALAGSHPIEVDINHVDEIDEIFDAISYRKGASVIRMLQSYLGAEVFQKSLAAYIKRFAYSNAKTEDLWAALEEGSGEPVRTLMHSWTKQQGYPVVSVKVKDGKVQLEQTQFLSSGSTGAGQWVVPVTLCCCAYSRQEKFLFHGKQEDFDLSGLGLTECQKNCSFWIKLNVNQTSFYRVSYDDELASRLRYAIETNKLSAADRYGVLDDAYALCMAGKQKLVSLLQLISVYKDETEYTVLAHVITTSLHIAEMMAVAAPEESVNLKKFLIDFLEPFALKLGWDAKSGEGHLDALLRGTLLTALAELGHETTINEAVRRFNVFLEDRETPLLPPDVRMAAYVALMQTVSKSNKTGYESLLKIYRETDLSQEKVRSLASSPDPDVVREALDFILSPE >Sspon.08G0027220-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8C:43721289:43722368:1 gene:Sspon.08G0027220-1C transcript:Sspon.08G0027220-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding PQSCNYTVGRWVYAPGHARRYNATECHVKDSHNCIRNGRPDTGYLDWRWQPAGCRLPAFSARAFLSAVRGKHIAFVGDSMSRNQAQSLVCLLVGAAVPHRVVYRDADPHKFNLWRYAFPAHDVTVSFYWAPFIARATGKARNENDSLPQNMNHVHLDALDDRWVADADTMDVVVLSIAHWPLNGAIYYNNSARIGHHNHQELSPSEEIGYAWPMKVAYRMALDRLSSGGRPRTVVIATLSPGHFEGNTLTTMCPRKEPYKEGEKEPHHQEMELVRLVYEEAEAARARNGEGGGARVEVLDVTKLAVMRPDGHPGLYMHRDPFAHGGPQPWMPSDCLHSCLPGPVDTFNEILQQILRKKR >Sspon.02G0020200-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:67708146:67739071:-1 gene:Sspon.02G0020200-3C transcript:Sspon.02G0020200-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLRVATCNLNQWAMDFDTNLRNVKESIARAKAAGAAIRVGPELELTGYGCEDHFLEQDTTAHAWECLKDILIGDYTDNILCSIGMPVIFNSVRYNCQVFCLNRKIIMIRPKMSLANDGNYREFRWFSAWTFKDEIVDFQLPIEVSEAISQDTVPFGYGYMRSLAAETCEELFTANAPRIDLALNGVEVFMNASGSHHQLRKLNLRIDCIRNATQTCGGVYMYANQQGCDGGRLYYDGCCCIAVNGDLVAQGSQFSLRDVEVLDALVDLDAVSSYRASVSSFREQASHRKNVPFVKVMYHCPEEEIAFGPSCWLWDYLRRSQASGFLLPLSGGADSSSVAAIVGCMCQLVIKDIEKGDEQVKADALRIGQYKDGEIPTDSRELAKHTRSRAKRLAEEIGSFHLNVPIDSIVSAFLSLFETLTGKRPRYKVDGGSNTENLGLQNIQARIRMVLAFMMASLMPWVHNKSGFYLVLGSSNVDEGLRGYLTKYDCSSADINPIGSVSKQDLRAFLRWAAIHLKYSSLAEVEAAPPTAELEPIRANYNQLDEVDMGMTYEELSIYGRLRKIFRCGPISMFQNLCHSWCGRLSPSEVADKVKHFFKYYAINRHKMTVLTPSYHAESYSPEDNRFDLRQFLYNSRWPYQFRKINELVQEMDKDGKWETSAERKLRGQTGAQGSGMGVNNTPAPRTRAANVNLSAWFPCTALLIPAKRAEVAPVTQIGTNDAKCRLPVLFLPFCQVRSTKRATKKSCENKMESLY >Sspon.05G0030420-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:83603101:83603892:1 gene:Sspon.05G0030420-3D transcript:Sspon.05G0030420-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARARPNAIAPEPPSAAAATMTTTTTSRGADAGRHHQQDQERPAAVLTVWRKSLLLSCSGFTVFDASGALAFRVDCYDSARRGLRRRAGDVVLMDVAGTPLLTVRRRSRLTSLGLAPDRWLIFDGDAAAAGAGCRGPNKAKPFLSVRRARLGLGLLGASSSGKAPLAYVTPLQAQAGEAYVVEGSYGARACAVRDARGDTVAEVRRKERVGDDVFRLVAGPRLGAPLAMALVIALDEMFAAAGAGSGSGSARRPSLLRRTWSA >Sspon.04G0028250-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4B:64600389:64601288:1 gene:Sspon.04G0028250-1B transcript:Sspon.04G0028250-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MIHLRKRIISLLPRTPSRGPCPHISPLFYPHRLLSATNKCVATDPFAVEDYLVATCGLTRAQALKASKSISHLKDASRPDAVVAFLSNLGLSSAEVTAVITRDPKVLCSDVERTLTSRVAELTDIGLSRPEIVRLLIVGMNHFRHGSLRLNLEFWISVFGSLDELMRALRINAALLSKSIDKVCKPNLALLQKCGINVSDIPNSFMSRVLIVDLKNLQEALARLHEFRIQQGSQSFFRGLYTFAILGSEKITKNVELFEKLGWSKDHIVSAVKRDPNILGFTEERVRRSMEFLIGVVGLE >Sspon.02G0001060-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:3845003:3847390:1 gene:Sspon.02G0001060-1A transcript:Sspon.02G0001060-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGEAEPQELAPPGASAAGGLQGRAEVDTSAPFKSVREAVDHFGGSAAWSSHLIKRVFAPPNPKKQEGAEELADLEEQTTQLEKELSVKERETLDVLKELESTKKVVADLKLKIQNAGAGAFCIPEERVQGQAGVHTGTEDPAEVQPENAETDAVMGALHVQLHQSPGSSVLEGLEQAKANLNRTTSDIAAIRASVESLRNDIAKERVLAERNRKKVCANTTLIASLEDELDRTAQKLQTLRGLQRRRDDPSDIFVEIKKMTSELEQLRSAANASKSEAAMLSAEIEQMRASIGTAEVRCRAAKKIEEAARAAEALALAEIKVLLSNEASAEDLQGMEGVNLSLEEYSELAAKAQEADECSRKKIEAAMVQVDEANQTESDSLRNLEEALFQVEECKKALQEAQKRVDAANRGKIAVEEALRRCRSTTGNRRRSVLDPPRFKHPAPRSRDSQNMDIIDASKGPLKPTLSIGQILSMKLMGPDGYDKSVSEDASEASNMSLGQILNRRRAVMYSSDATAHKKFSGKRKKFAFTGLSVFLAKQAKSKKKKGKAFRFQLCSPLSLVFQDGWIWFSWLG >Sspon.03G0017010-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:40742733:40743284:-1 gene:Sspon.03G0017010-3D transcript:Sspon.03G0017010-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMEVSGALPSSVLYAAISLPCALLLLVVGEAGLRVASLALRGEMKAWWPTRAAMLGYRVARPGGIDGGAYPSVFPDDDEPPLLPAEYCDRLAVAVYRRGGEGPDPDCAFCLSAVRDGEEVRELRCRHVFHRACLDAWLVRPRATCPLCRDRLLPAESDYDGLSSSSSSASASAHGGAIWHMT >Sspon.03G0010650-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:44471206:44475246:-1 gene:Sspon.03G0010650-3C transcript:Sspon.03G0010650-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding TMGSGDLSSEMERKVLGLTLWVWIAIGVVALLVAILLMICIWVASRRRTKRTMDTLRQTQIPIYSKEIPVDRVGGRSLAQTMHEREQPSLPPPDKYANREPAGATLGHLALSKSSDHDNMSQGSSVCNVDRAGSVHSGEDGSSGPRRKPNSPAAFVSASPLVGLPEFSHLGWGHWFTQRDLELATNRFSKENVLGEGGYGVVYRGRLVNGTEVAIKKIFNNRGQAEKEFRVEVEAIGHVRHKNLVRLLGYCVEGVNRMLVYEFVNNGNLEQWLHGAMHQRGVFSWENRMQVNLVEWLKTMVANRRAEEVADPSLEVRPSIRALKRALLVALRCVDPDSEKRPKMGQVVRMLESEEVPYRKDRRNRRSRTGSMDIESIAEGSNSAEFGKKVERTGSSTSDRSQP >Sspon.08G0021220-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:34651074:34659549:-1 gene:Sspon.08G0021220-2D transcript:Sspon.08G0021220-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSHGPCTAAIMSSAAVGAVTCCSAAAAEQEQRVDEAAGRKLSEASLRATTHTHEEEETEEHHDDDDDDEEAAPPTDIDLGPRLSIKEQLDKDKDDESLRRWKQQLLGSVDLSTVGETLEPDVKITSLSILSPGRPDMVLPLPAEPKSSKEPWFTLKEGSAYRLKFTFSVADNIVSGLRYTNTVWKAGIRGSRPTSLIPSLYTYVHLVEKNKEMLGTFSPQAEPYTYVTPEETTPSGIFARGSYSARTKFVDDDRKCYLEMNYTFDIRRDWPST >Sspon.03G0026580-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:3231379:3246042:-1 gene:Sspon.03G0026580-1B transcript:Sspon.03G0026580-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding PDADGESSSQARRHFLANAKRSLSLLKAQLRDKGTRFFGGNSVVLVDIAASPLPHCLGVFEEVGGVAPALLNDEEHPALCRWAERYVGHETAKQCLPRRDELVAMNGSVSFSRQIAASLSKSQPTSRIRNMSPPVKIIGHYASPYSHRVEAALQLKGVPYELIQEDLSNKSELLLTKNPVHKKVPVLVHGDLAICESLVIVEYIDEAFDGPSILPADPCDRAMARFWTNFMDTKQGLTSRDMCPPVKIIGHFASPFSHRVEVALQLKGVRYELIQEDLSNKSELLLANNPVHKKVPVLLHGDRAICESIVIVEYVDEAFHGPPLLPTDPYDRAMARFWAHFVDNKLLKPFWLAHWTEGEAQKALVEEAKQSLALLEVQLNRKRFFGGNTVGYLDVAACELGPWLSVLEEVNGVTVVDEDEYPALRRWSKEYNSCEALKQCVPNRDRLVAYFTENKE >Sspon.05G0017280-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:72142062:72148699:1 gene:Sspon.05G0017280-1A transcript:Sspon.05G0017280-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MELATGALSSLLPKLGSLLLDEYNLQKGVRGEIMFVHREMKRMQAALQDLSQQPADRISNTDKLWARDLTELCYDIEDSVDAFMLRVDADDARPRSLKGFRRFIDKIGSICNKINLVQKAKARHRIATDLEDIRRRIEDVAHMKDAYTVEDRRPPSDKRVIDPRMAAMIEDMANLVGIEGPAERLANLLTQGGSMRKQKLMVASVVGVGGLGKTTLAERVYQNLGDQFQCRAFVSVSLTPDMTNILISMLKQVSKDDPIKTKGKKDDELMRDIQQFLSDKSTNTVDELAKLTELKVLCIHALGNDESIDKAFLQSLSKLTKLLGIFIDQSGLCSLDGMPDLGRVLKGLQFFRGTSTRFHQLPRWFSSLSQLSGLTITVKGLTQDDIDMLGALSLLRSLQLDVASDGTITTERLLIGSDKPFCYLEELKFNHFARCWLVFGQGVMPRLQRLELSFDVRKRVGGGLDTGLENLTSLKHAVVI >Sspon.05G0036340-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:85679986:85680478:1 gene:Sspon.05G0036340-1C transcript:Sspon.05G0036340-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMISLTGFTALSAGGLLLIFSSFLLQAQGGASSSSSSSSSEESYVPVRRVVYRSLSPAAAAAMTEEAAAPYEPFEVCQGCRCCSSSNASSCVDTSCCYAIDCDLPGKPYGTCAFTPQTCGCGAANNCTQP >Sspon.05G0003050-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:9041120:9046776:-1 gene:Sspon.05G0003050-1A transcript:Sspon.05G0003050-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNCFAKVSLIRLLLSPRKSWSNEVASERESKRVHKAKLNFISILVRTIDRILMNFPSSDNIFSHSTKERKVICFLEYVILKNIIELSSEIQSYLNQLKSIPFLAQFIRSSLLHRFNDPVTIKAIRCILVVLSQGKFSADEILELILGHSNFVSTITCNEVSEYPSACNTTGGMLQPAPSILKLVDSSFREENKTEISIAEKRRVETIRLLRVLYDIKSRQQNNSLLSESRELVFLLLSVYGATLSDTDLEILHLMNEIESPECRTITEVDHLWGTSALKFREELKLDFSKSDAHNTENAEITERRRALFRENIPVDSKLCAKTSLLYCYKRSSRASVFSLEQLQRDSFADSFEVTSQRMDVVQIYDPIFILRFSIHTLHMDYIEPAEFARLGLLAITLVSIASPDHELRMLGYECLGTFKKSLEYAQRSKETWQLQLLLTYLQNGISEQWQKIPSIIAVFAAEASLTLLDGSHAQFTVIRNFLMHSTSVSLQSIPLFPTLLQSSSVHFKAERLWMLRLLSAGSNLADDAKIYKRGRVLELVLAFCSSPVSDFESKVLVLKVLKKCVKLPVLAHHLVKESGILLWLLSVISVRSEGSDGSESSWSRVTELALEVVNGLISSRLITDWLQETALEQLSAISSYLSVLLINNAKLLKGNACLLTSVLSVITSTMRLSMKRKIYQPHFTLSLHGVFNLCQAIGGSSRSTEHKLAMELGVDAILMNGPIPILSEMDKSRISMVVSWATSSILWLYSNQRSLLEISCKEPPRNESLLSKILRLLAASVILGKISSISHGKSVDLARSTSSLETLRSFLDDACERVETATSCSASDTLAVIILYLQDHVAKNSDSLPSVVTALCLLLLDRSSKQVNKHLANNRGKIEMLCSKIHCPTESNPAWRWHYYQPWKGSALQRTEMERLEEEQACRSLLVLFSNAFSSCLSEFPVLSLYDVEKSGLFQWERVSMIKQSST >Sspon.02G0019080-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:64296469:64303447:-1 gene:Sspon.02G0019080-2C transcript:Sspon.02G0019080-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding IFKRSTVPTFKSYGILQARISDCASETFSAIRTVRSFGGEKRQISLFDNLARAYQNSGTKLGVLKSANESLTRVVVYVSLMALYILGGRKVNAGKLSVGTMVSFIGYTFTLTFAVQGAVNTLSDLRATLASVERINSVLSAKDIDDSLAYGLAKELDGKELDDSNGVYENGSVNKHFMSELKLSSSCSNLAWSGDIHLKEVHFSYPLRSDVEILNGLDLTIECGKVTALVGPSGAGKSTVVQLLARYYEPTQGSITVAGEDIRVFDKREWSRVVSLVNQDPVLFSVSVGENIAYGLPDDVVSKDEIIKAAKAANAHEFIISLPQGYDTLVGERGGLLSGGQRQRIAIARALLKNAPILILDEATSALDATSERLVQEALNHLMKGRTSLVIAHRLSTVQNAHRIAVCSEGRITELGTHGELVAKGGSYASLVGTQRLAFE >Sspon.07G0003080-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:5687217:5738820:-1 gene:Sspon.07G0003080-3C transcript:Sspon.07G0003080-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRDWMQTGRKPSGLCGAALYIAALSHGKNYTKADIVSVVHVCEATLTKRLIEFENTDSGSLTIEEFLATADECNEEPVPKHSPKSGEILCKHKDQRDKGFEHFAHGLCEKCYNKFTKLSGGLEGGADPPAFQRAEKKRLEAAKRAEEAAAVKEAALEESLCDTQNSEVESTRTPRKGLSGHKSSTNGSGELINDSVPPKDPEEGGENCEGNADPESLSDIDDVEVDWYLHNEEETQYKKIIWEEMNKEYLEEQAAKEALAAELAARGIVVEEGKKKKRRRHEDTKSSTPAETPAEATYNMLKRKGLGSKINEGAVGELYKGLSGHKSSTIGSGDLINDSVPPKDPPEEGGENCEGNADPESLSDIDDVEVDWYLHNEEETQYKKIIWEEMNKEYLEGLGSKINEGAIGELYKEDWAGHGGDQGHGAARQGDHQAEGVRHRQLPGPEDRGHQAEGRRDDGGRQAEGRRDDGGDQAEDSRDDGGDQAEDRRNHGGRQAEDRRGHGGRQAEGHRGRAVRQGHRRLRQGEVRRRHSAGHRAGEERGGGRQGHGDEHAGDGRGQQQAERHKHQQQQGRLHHHQGSLD >Sspon.05G0013500-1P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:5A:47313582:47313955:1 gene:Sspon.05G0013500-1P transcript:Sspon.05G0013500-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AAAPALPRAAGPNVVSCAVKVAVIAAILHAYQYVNQLHLYTRLALYGVHMYCFLDFLLPCIAAAGGALGMEMEPQFDRPYLASSLRDFWGRRWNLMVSAILRPSVYDPVRAARREAPAVLATFL >Sspon.03G0018170-2T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:47825032:47826413:-1 gene:Sspon.03G0018170-2T transcript:Sspon.03G0018170-2T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHCYLRQPISRAEAMPERRSRFWQMDAPPPPRAEVICPQPRRATRIPFAVETVNKASPKANGAFPLYRSDSTADILDLILSKNDSDGDSSSQVGFLCGSPPVRTNNPVIHDPQFGIRVPSFSPLGSSYGKAPTLRVEVGSPSCGVSSSPKVRIEGFACGNSETHYAVTFV >Sspon.08G0029890-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:52172170:52178820:1 gene:Sspon.08G0029890-1D transcript:Sspon.08G0029890-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGYAIVAQIVAASIFQEHRWKMTGSAMNMKLAAQCSRGCRPAVGPSTRAPPPMVMAGAVASPGKQMLLVRRHPPGPGRRHLPSLGWTSTSRTAGVVVASATATTDTSSSRQPKEEDRASRNISGFQPSIWGDFFLTYSSPLAAQKAWMVHRAEQLKEEVAKLIAASSACSLYHRIHLIDVLERLCLDHLFEDEISDMLTQISNVDVSGCDLQTVAMWFYLLRKHGYRVSSDVFAKFRDEHGSFAANNPRDLLNLYNAACLRTHGEIILDEAICFTTKCLKSIAPYMETSLASEIKCALEIPLPRSVRIYETKSHIAEYGKETGANELVWWNDLELQSRLSFARDRVVECYFWMVGVYFEPSYSRARIILSKVLAIVSLLDDTYDVYGTSQECELFTECIESWDPAVTGGLPENMKFFFWKILDTFQSIEDELAPEEKYRMPYLKIFIVDLVRAYNKEVKWREQGHVPETVEEHLQVSARSGACHLLSCTSFVGMGDVAAQEAFEWVCSVPKIVQALCIILRLSDDLKSYEREKMTSHVASTIESCMKEHKVPLEVARAKIQEMIDETWKDFNEEWLNMNSHQPKELLERIFNLTRTMVYIYQQDDAYTNCHVIKDTINSLFVEPVSIA >Sspon.05G0012460-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:40548567:40552966:-1 gene:Sspon.05G0012460-4D transcript:Sspon.05G0012460-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVLQHLHIPQDIIAKHFIELIHSIHAKLQEKRSTAVMNLPGFFSNGYSTLLAPPSEVLIPPELLSSRTVWTPDRELGQYEDLVARVTNFHNEDKGFMVLDGDVFDVPIRKDIVHRVVRWQLAKRQQGTHSTKTISEVSGTGRKPYKQKGTGRARHGTLRGPQFRGGATMHGPKPRSHAIKLQKKVRRLGLKIALSARTAEGKLLVFEDLEVPSHKTKNIVQYISQMDDTKKVLLVDGGDIDKKLKLATQNLHYVNGLNVYSILQHDTLVMTRAAINRIVERMHTPINR >Sspon.02G0010580-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:1197425:1199236:1 gene:Sspon.02G0010580-1P transcript:Sspon.02G0010580-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADFSPNNSLLLKMPSHSPNFSSLLFYGQNHGEAAPANANANAAAAAMVEDASLESSSAVVDTSPQDSASPMERKRKATEDSATLSSAQSKDCKQESKSKRGKRPHKETEEKSTTEDEATKGYIHVRRERISERMRMLQALVPGCDKVTGKALILDEIINYVQSLQNQVEFLSMRIASMSPVLYGFGMDSDGLHDHAQKMGGMFQEALAMPGPVMSQASPAPSQAIMDTTSTTPYSLQGQGSISFSQENGSTYLMQQAVGEPTRQELFNQLVFNNMCSFQ >Sspon.01G0061330-1P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:93346065:93347669:1 gene:Sspon.01G0061330-1P transcript:Sspon.01G0061330-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGETTTVMAASPFPTVEKCSSNDRSGDTVVADLDGTLLCGRSSFPYFAHMAFETGGVLRLLLLIALAPLAGLLYYFVSEPAGIQVLIFASMAGARVADIEAVARAVLPKFYCADLHPESWRVFSACGRRCVLTANPRIMVEAFLKEYIGTDVVVGTELVVWRGRATGLVRSPGVLVGEQKADALRKVFGDDVAPEVGLGDRKTDYPFMRLCKEGYVVPATPKLKPVPRENLPKPVVFHDGRLVQKPSPALALLTVLWIPIGFLLACLRIAAGALLPMRMVYHAFRALGVRVTIRGNPPPPASRETGQTGVLFICSHRTLLDPIFLSTALGRPITAVTYSVSRLSEILSPIRTVRLTRDRAADAAMIRRLLTEGDLVICPEGTTCREPFLLRFSALFAELTDEIVPVAMENQMSMFHGTTARGWKGLDPFYFFMNPSPGYVVTFLNKLPAELTCNGGGKSSHEVANYIQRLIASTLSYECTNFTRKDKYKALAGNDGTVVSKPNIDKK >Sspon.01G0017310-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:64824266:64825933:1 gene:Sspon.01G0017310-3C transcript:Sspon.01G0017310-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding EVWQEAQEAGGGEPPGVERQVPGVQAPQEARPPRLGYCLLLLLAPAQGQRRRGRLPPPARRRGRPVQRLLPGAGGGLRVEGDGRGRAAAAVVGSGDEECAKGDRGPARGDGTAAQLQCHQLYRSGQDPQEVRQAHRAAPTAALHREGARAALLRHGAHLQARPRVRGHHGGRIRGQQRPRRHAGGDGPRGRSGSRRAGHLPEHRSRTGDHGRATQRELHVRPLLAATDGPAGVRPPAVRVRPGRRRSSVHLT >Sspon.02G0005580-3C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2C:18028958:18029401:1 gene:Sspon.02G0005580-3C transcript:Sspon.02G0005580-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LSFRRKFLPGYKGGSEKKPSAASSKKRGVDADDVVYGVSRASSVASSALLSSASSLDSDTSSVASSSSSSSRSSTASSSPSVSGVLHPPPVKRQASNNKRPASSSPAAGAAAVVLCLLMVVFCGRVGATLLTSTALYLFPRRWPARTT >Sspon.03G0019740-3C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3C:80811883:80813975:1 gene:Sspon.03G0019740-3C transcript:Sspon.03G0019740-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALLSCRAQEETKTTAHFGMNLIESYCDSEDGLTGWAPSGSCTLSAHAEDAPLPSLAATLAAADDDSDEEVQQHRARKPSGRYVLAAHRASDTDGLCRAISRAPRPKVTYRVAGWVGLQGTAVEGSHAVHVEVLVDGDRRVGGGVAVVESGKWGEIKGSFRVDDDDELPRHAKVYVHGPPAGVDLKVMDLQVSAVNNIPRIRHLRKKADRVRKRDVVLKVNQRTDGDTTASVAGAHIQVIQVQNSVPIGTCITKAGMQNPAYVDFFTKHFDWAVLENELKWYYTEAVQGQVSYADADELIDFCDRHGKPVRGHCIFWAVENSVQPWVRALNGDQLRSAVEARLRGLVSRYSGRFPHYEVNNEMLHGAFYTQRLGDDINAHMFRETARIDPAPALFVNDYNVESANDPNATPEKYVALVTDLQRRGAPVGGIGVQGHVTHPVGDVICDALDKLAVTGLPIWITELDVSAADESVRADDLEIVLREAYAHPAVEGIMLWGFMQDHMWRSHGQLVNADGKYTQAGNMFAGLRREWTSHARGKVDGNGNFKFRGFHGTYQVLLTTAAGEVKKRTFDVNKGDAPL >Sspon.02G0046520-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:115106078:115108038:-1 gene:Sspon.02G0046520-2D transcript:Sspon.02G0046520-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSADPTSPPQPTAQVRGSLIPALPDDLAVHCIALLPRAAHPSLALVSRAFHALLCRHPEPLLAARRALRRSEPHILLSLRPPSSASPLFFLLLPHPGWPPLPLPSPPVPVSSSASAATDGTRLFLVGGSVSAVPAASVQILDPRARSWSVGPRLSSTREFAAVVAHSGALFVAGGCVPSSPFWAEVLDLSAPNAKWRAVASPAHLREKWMHGCASVAGKVLAVADRGGLAYDPAAPPAEAWAPVSPVLDMGWKGRAAVVEGILYSYDYLGQVKGYDPNTDSWSTVEGLERELPKFLCGATLANVGGLLYLVWEGKWKGKGKGKGEGFHKVDPDRWEWANEGFVKGQKHPLKTIKRKKKPSQDAHSDLQPASGKTAPGTENIEIGKYGGLEKEVETLKRDKARPSN >Sspon.01G0014010-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:39848381:39854769:-1 gene:Sspon.01G0014010-3C transcript:Sspon.01G0014010-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRLTAAGARILGGGGARAAASALRHRAGMGLPVGRHIVPDKPLPVNDELVWDNGTPFPEPCIDRLAPHIGKYEALAWLCGGLGFFAALGVAAAVNDKASKIPYTPKVYPYDNLRAELGDRPF >Sspon.06G0032770-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:1317980:1319003:1 gene:Sspon.06G0032770-1D transcript:Sspon.06G0032770-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRMGHVPEGWTPPAGFASKRDRGTAWRGATSGLLAALCASSCRPPRYSPPSSRRRNDPPPA >Sspon.08G0004870-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:14232924:14246410:-1 gene:Sspon.08G0004870-1A transcript:Sspon.08G0004870-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CPL4 [Source:Projected from Arabidopsis thaliana (AT5G58003) UniProtKB/TrEMBL;Acc:A0A178UQH1] MSLAEAPSPSPSSSSGSDDFAALLDSELELASGADSAFPGDPSSAFAATDDEGEDEDEDPEELEEQNGRPLHSEDHNLLPPLIPKGVEWRNNAKIKEHQLGLTKFPLVHLKMFRTRHVHILDILVDFALNVASDKTRKMFQELLLVTSTRIIKMQGLRLDTSEIDRLRGADLKNLLRERKLVLILDLDHTLINSTKLQDISSAEKDLGIQTAASKDDPNRSIFALDSMQMLTKLRPFVREFLKEASNMFEMYIYTMGDKAYAIEIAKLLDPSNIYFPSKVISNSDCTQRHQKGLDVILGAESVAVILDDTEYAVETDLSSRDVRQVIKAVRKEILQGCKIVFSRVFPNNTRPQEQMFWKMAEYLGAVCSTDVDSSVTHVVTVDLGTEKARWGVDNKKFLVHPRWIEAANFRWHRLPEEDFPVTAPKEKSREKDNAVAGKKETSKNKENSAAGQKETMKDKEENAAAGQKETMKDKAENAAAGLEETTKDEEKNAVAGQKETGNDERNVAGQEKDDGKENAVATATTGPTDS >Sspon.04G0010010-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:29543939:29547179:-1 gene:Sspon.04G0010010-3D transcript:Sspon.04G0010010-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTKQTARKSTGGKAPRKQLATKAARKSAPTTGGVKKPHRYRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSHAVLALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA >Sspon.01G0020380-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1A:75654105:75654357:-1 gene:Sspon.01G0020380-1A transcript:Sspon.01G0020380-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAHSTSESSPDDPHNCPQSSSPRVSSRTSAERRHYHHHRKRRRRDVPAADPDRRGGLAALRAARAVKETTGIVGLEVVPNARE >Sspon.07G0026590-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:51001991:51003284:1 gene:Sspon.07G0026590-2C transcript:Sspon.07G0026590-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding EMNRWGKNPAAPAARTGAGAGAEGVGEVPVHKVSKIEFHNLISRPTFYGGGGRPPRGVEDDINEKAERFIRERRLWFHRQKPGGPRVQENNSNL >Sspon.04G0037060-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4D:60551709:60552557:-1 gene:Sspon.04G0037060-1D transcript:Sspon.04G0037060-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LSNVRASLHQPLSPGPRLARRMLPHLIDFFARFVVKRSAAHVATLSGPTWTVQLGRRDTRTASQSAANSNLPSPSSSAATLVSAFASKGLDSRDLVALSGAHTIGAARCASFRSRIYNDTNINAGFATKRKQICGPQAGGTDGNLAPLDAMSSVKFDNGYFRNLVSQFGLLHSDQELFGAGVVDSVTARYARNGAAFSTDFVTAVVKMGNISPLTGSSGEIRANCRKPN >Sspon.01G0031550-3D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1D:108488127:108488612:-1 gene:Sspon.01G0031550-3D transcript:Sspon.01G0031550-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLAYPLLRLPCRCSLAAAPASRLPAPVCLSASASEDAGGELTAREKRAQRRERRELRATDWKEEVQDRLIHEPARRRKKPPKRSWREDLNLDLLAELGPQWWLVRVSMAPGTDYVDLLTKAISRRYPEVTFKVSLPPVVSFVGLIASVPPKLSTALTSI >Sspon.04G0009860-2T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:24799008:24805217:-1 gene:Sspon.04G0009860-2T transcript:Sspon.04G0009860-2T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVASAAAAAAASLVAPSSLSVPDHLRLRRFRPRPPPPPHSCFRRRSRGACLVRAVLEDRAPPPAEEDAKRYGLNGNGSGLGYDDAAVQAYLGSNGNGDGSASGDGAAVTQKPAAPASSVAVAPVPVPPAEDERRRKERVEEIGREDAWFKQSSGEVSVAPGGRWNRFKTYSTVQRTLEIWGFVFTFIFKAWLNNQKFTYRGEEDNEEESSCQVAKESLLRLGPTFIKIGQQFSTRVDILPQEYVDQLSELQDQVPPFPSETAVKIVEEELGASVNEIFDRFDFEPIAAASLGSSGTSEWPRGCDQSATAWSEGAVIAEYLQKVDPKSDGAKRDWVAIYDECASVLYQEIDYTKEAFNAEKFAENFKKLEYVKVPEIYWEYTTPQVLTMEYVPGIKINRIKQLDKLGVDRKRLGRYAVESYLEQILSHGFFHADPHPGNIAVDDVNGGRLIFYDFGMMGSISQNIRGGLLEVFYGVYEKDPDKVLKAMVQMGVLVPTGDMTAVRRTAQFFLNSFEERLAAQRKEREMATAELGFKKQLTKEEKFEKRKQRLAAIGEDLLAIAADQPFRFPATFTFVVRAFSVLDGIGKGLDPRFDITEIAKPYAKELLRFNEAGVEDAKKRWERQSRAFYNLFRQPDRIEKLAQIIERLEQGELKLRVRTLESERAFQRVAAVQKTIGYGVAAGSLVNLATMLYFNSIRGPATIAYSLCAFFGLQVLIGLVKVKKLDRQERLITGTA >Sspon.02G0045710-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:88412072:88415347:1 gene:Sspon.02G0045710-2D transcript:Sspon.02G0045710-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LVEGVEESVGVGKGSTKIYATIDLGKVRVGRTRIISNEPINPRWYESFHIYCAHRATNVIFTIKIDNPIGASLIGRAYLPVTDLLDGEEIDRWLEICDDNREPIGRSKIHVKLQYLDVSRDRNWARGVRSTKYPGVPYTFFSQRQGCKVTLYQDAHVPDNFVPRIPLAHGKNYEPQRCWEDIFDAISKAQHLIYITGWSVYTEITLVRDTNRPKPGGDVTLGELLKRKASEGVRVLMLVWDDRTSVDVGPLKKDGIMATHDEETANYFYGTDVNCVLCGRNPDDSGSLVQDLKISNLKISTMFTHHQKIVVVDHELPNQGSQRRRIVSFIGGIDLCNGRYDTQYHSLFRTLDTVHHDDFHQPNFKGGSIKKGGPREPWHDIHSRLEGPIAWDVLYNFEQRWRKQGGKDLLVRLRDLSDIIIPPSPVMFPEDRETWNVQLFRSIDGGAAFGFPETPKEAARAGLVSGKDQITDRSIQDAYINAIRRAKNFIYIENQYFLGSSYGWKPQGIKPEEIGALHLIPKELSLKIVSKIEAGERFTVYVVVPMWPENPPEESSVQAILDWQRRTMDMMYTDITQALQAKGIEANPKDYLTFFCLGNREVKLEGEYEPEQHPEPDTDYIRAQEARRFMIYVHSKMMIVDDEYIIIGSANINQRSMDGARDSEIAMGAYQPYHLATRQPARGQIHGFRMSLWYEHLGMLDDVFQRPESVECVQKVNRIAEKYWDLYSSDDLEQDLPGHLLSYPIAVTADGTVTALPWMENFPDTRARVLGSKSLYIPPIVTA >Sspon.03G0028360-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:9182402:9192174:-1 gene:Sspon.03G0028360-1P transcript:Sspon.03G0028360-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAFSAQVPALRRGALRVRWVTAALFSSGILAGNKPVLVRDFVRSVLYDPNHGYFSKRAGPVGVLDASIRFNQLEGGRSAYMQHLDKLYKKHDIAWFTPVELFKPWYAYAIAASILRTANLSVPLKYVSSILLMNRNLVLIRYMRLVVAPEHAQIEISSSLAEKQLETVGEVQSHLSKFTVEHRDAINRPGWGRKDPLPCWVLMLEVLDNLPHDLVYSPDQVSPWMEVWIEKVNGSSQASEVYKPLQDPLISRCIDIIGMNEDKASVSEKLAFAAKGVLSKVFPKPCRAWLPTGCLKLMDTLHQALPSMSLIASDFSYLPDVSIPGDRAPLVSSKKDGKTSDHRNYLDAQGDADIFFPTDFRLLEQIDHNCSGFSKEQKNPGAFKPVKKRRTIILDTAAFMEEFGLPLKTRTKDGYNPLLDDFKNTKFYLSVPTHNRK >Sspon.03G0025630-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:5657500:5658577:1 gene:Sspon.03G0025630-2C transcript:Sspon.03G0025630-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aquaporin TIP1-3 [Source:Projected from Arabidopsis thaliana (AT4G01470) UniProtKB/Swiss-Prot;Acc:O82598] RMPVSRIAVGAPGELSHPDTAKAAVAEFISMLIFVFAGSGSGMASGKLTDGGATTPSGLIAASLAHALALFVAVSVGANISGGHVNPAVTLGALVGGNISLLKAVVYWVAQLLGSVVACLLLKIATGGAAVGAFSLSAGVGAWNAVVLEIVMTFGLVYTVYATAVDPKKGDLGVIAPIAIGFIVGANILAGGAFDGASMNPAVSFGPAVVSGVWENHWVYWLGPFVGAAIAALVYDIIFIGQRPHHEQLPTADY >Sspon.08G0001410-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:2376213:2376815:-1 gene:Sspon.08G0001410-2D transcript:Sspon.08G0001410-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAVSLSLSLPLHHHAHAHARAPAPSPGAPPPPQPLRGPAQPPLLLRKRAVVQGPRARPGSSRGAPEPRRRRATPLVSSPALAPAAAAAPAPAPPAARSSSRAATGYAAALADACARAGTLRRAARHARALLHSGPGRRLEADAAAAVAGQQLDARVAALVRMLVAKGKPGMVAEALAEFAAICDHLLPHQPPRARHAY >Sspon.06G0001750-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:3041152:3045566:1 gene:Sspon.06G0001750-4D transcript:Sspon.06G0001750-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYRAFDSSVTGDELPPTYQIKGPRVHFSGNGSSLAGALSQPRPHIKLDSDIHQIEQQAYTGVLRAFKMQSDALTWEKESLITELRRELKVSDVEHRMLLNMVNEEETVHRIRQSRQGGGMQYSLPRNSVVDHNHGPLKRQKKSHPIYSLPVDPHSPIMPLQAIVGNKTYRVGMEGRSGRYHANEYYASPNDMALLNFNHIDIPNTETLVKKVERVLSDPDVYTIERAKKLLIDQEQSLLDAIAKLDEASDRENDDMMLVGNRITIG >Sspon.05G0024850-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:18482228:18502958:1 gene:Sspon.05G0024850-1B transcript:Sspon.05G0024850-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHSSRKKKKRGAAGRKAAKDHAAQLEGDETALDEELTALASILGEDFKVTSESPHTRCNICIRPYSDGMGFDDLNISAILSVICFPGYPHKCPKLRIVPEKNLSKEDADRLLSLLVDQANIYSREGRVMIFNLFEAAQEFLSEIAPAHVSVSTASFLGLNSTTDEDVEVSLDSYPYPGISYVYNSFDLYSQLYDDTCWSRQGPDMTTDSGRTNIVSQVQSNVRSKRKTIIEKSHVSADKVNNAKGSSGDKAEQQHATKHGAIRESAPTLHVVDEETETETKTLSASNTGNTSDTPERGFSSLNEPEDTDLAEEPWNEEDCDSDFSSSNALSYVSDMLDDASRNKKRDLILIACASKDSLSAALPTISAELCNIGVLSEWAKDLISDSPAVFGETFSHVFGQQMISSECSLFWRPDNSSSRPNSRYLNDFEELRSLGQGGFGRVALCKNKLDGRQYAVKKIRLKDRSPQVNEKILRFVYSPVKFFYSKLVVALCSYCVPFILFGDHLGERNAWIETEYGHHNILNAGGSRTAESSIFSYDEVSLSDAGGGNKQESTYLYIQMEYCPRTLRQDLETYISSFDVDHAWHLFRQIVEGLAHVHSQGILHRDLTPSNIFFDVRNDIKIGDFGLAKFLKLEQLDHDQYLPTEAMGVSMDGTGQVGTYFYTAPEVEQKWPQINEKVDMYSLGVIFFELWHPFATAMERHLVLSDLKQKGDPPLSWESKFPRQAVLLRSLLSPSPSNRPSAVEVLQNELPPRMEDEWLNDVLRMIQTPEDTYVYDRVISTIFNEDRFAKMQGQHDSSKKSTVNIDNSELLDTIIEVAKEVFKRHCAKRFQISPLHTLEWNFTKNR >Sspon.07G0035380-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:6672154:6691194:-1 gene:Sspon.07G0035380-1D transcript:Sspon.07G0035380-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPAGALDLEEEEKGVVGLHRLFRFADGADAVLMAAGAAGAIASGVAQPLMTLVFGEVVDAFGSGSRHDVLHRVSGRFFPRVVETAAVVLYSRDGRCPRREEDKVCLKFFYLAIGSWFACFLQVACWMITGERQAARIRGLYLEALLRQDIAFFDKEMTTGQLVESMSGDTILIQDAIGEKVGKFIQLTATFVGGFVIAFAKGWLLAAVMMSSIPPIVAAGAAMSWTISKLSSQGQAKYNEAGMVVEQTIGAIRTVASFNGENRAIALYNKYIRNAYVSAVQEGTATGLGFGFVMLILFCTYGLTAWYGAKLIMDKGYGGGQVVSVWMAFMTGAMSLGEATPCVTAFASGRAAGYRMMQIIQRKPQIDPNETDGIVLANMKGDIELRDVYFSYPSRPDQLIFYGFSLHVLSGKTMAIVGESGSGKSTVINLVERFYDPQAGEVSIDGINIKSLRLGWLREKIGLVSQEPLLFATNIRENIVYGKEDATAEEIMAATKLANAANFIDKLSNGLDTMVGEHGAQLSGGQKQRIAITRAILKNPKILLLDEATSALDMESERVVQEALNRIMQGKTTIIVAHRLSTIKDADTISVVHRGKVVELGTHTELLQDPNGAYSQLVQLQDITGDPDASDIDYRRSTSAVKNVESLSKSMHSPSLKKSITGSASFGSTSVHFISIANMIVPEGTHTEPLPKVLDEGEECRKVALSRLISLNGPEMPVLLLGTVAAVISGVIFPMFGLLISSSINSFYEPPHQLRKDSRFWTLMYVASGVASFIFLPVESFLFGVAGGKLVERVRSLSFQSIVHQEISWFDRSSNASGNVGARLSVDASNIRRLVGDSLALMVRSTVTVIAGFVIAMVANWRLALVATVVLPLGGLQGFLQIKFLEGFSADAKAMYEEATQVANDAVSGIQTIASFCAEHKVMKTYYGKCKAPVRQGIRQGIVSGLGFGVSFFVLYSTYALCFYVGAKFMLDGKATFTEVFRVFFALLMATIGVSQTSALGSDSSKAKASASTIFALIDSKSKIDPSSDEGMVLVDVAGELELCQICFSYPSRPDMQIFRDLNLRIPSGKTVALVGESGCGKSTVIALLERFYDPDSGTITLDGVDIKDLNVRWLRRQMGLVSQEPILFNDTIRANIAYGKEGEVTEEEITAAAKAANAHQFISALPQGYGTVAGERGAQLSGGQKQRVAIARAVLRDPRILLLDEATSALDAESERAVQEALDRAAVGRTTVVVAHRLSTIRGADVIAVLGNGEVVALGTHQQLMAARDGAYASLVELRMRSERAGVSTSA >Sspon.04G0024770-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:25928406:25937913:1 gene:Sspon.04G0024770-2D transcript:Sspon.04G0024770-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRAITRRKYLFDHVKTLNLSSSSSTFQHGRIGSEAEPRIALRFLEQSCGDSRSEKEQYSVNLTKRNLAGLANRFLRRPAHGISLSYYGIGKNDFGLPLGARSILQSVRASSTATAGQPKLDIDDEQSEDQKQNRKKKEASPEECDQAVEGLSTAKAKAKAKQVQESPKASQSVMQKFWARLLGIGPALRAVASMSRADWAAKLKHWKDEFVSTLQHYWLGTKLLWADVRISSRLLVKLAGGKSLSRRERQQLTRTTADIFRLVPFAVFIIVPFMEFLLPVFLKLFPNMLPSTFQDKMKEEEALKRKLKARIEYAKFLQDTAKEMAKEVQTSRSGDIKQTAEDLDEFLNKVRRGERVSNDEILSFAKLFNDELTLDNMSRPRLVNMCKYMGIRPFGTDHYLRFMLRKKLQDIKNDDKMIQAEGVESLSEEELRQACQERAFTVSGKVKPEEAVVATLSSLPDEVVDTVGTVLPSEDSVSERRRKLEFLEMQEELIKEEEKRKEKEEKAKQEEEEKTKLKEPEGAAEDLALKEMTEATAREEELRKAKQHDREKLCNIGRALAVLASASSVSKERQEFLGLVNKEIELYNSMLEKEGTEGEEEAKRAYIAAREESDRHAEAAAEEKVSSALIEKVDAMLQELEKEIDDVDAQIGNRWQLLDKDHDGKVTPEEVAAAAAYLKDTIGKEGVQELISSLSKDKEGKILVEDIVKLASQTENNEEEEEARQ >Sspon.02G0034090-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:12901483:12903416:1 gene:Sspon.02G0034090-2C transcript:Sspon.02G0034090-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTHAISKKRKFVADGVFFAELNEMLTRELAEDGYSGVEVRVTPMRTEIIIRATRTQNVLGEKGRRIRELTSVVQKRFNFRRLYAEKVNNRGLCAIAQAESLRYKLLGGLAVRRACYGVLRFVMESGAKGCEVIVSGKLRAQRAKSMKFKDGYMISSGHPVNLYIDSAVRHVLLRQGVLGIKVKIMLDWDPKGKQGPTMPLPDLVTIHEPKEEDEFLRPLAAEIPIA >Sspon.01G0022160-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:80394772:80403199:1 gene:Sspon.01G0022160-3C transcript:Sspon.01G0022160-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGGDGEGGTSSSSHELSGQLEGILADREAPWARRASKAAMIELRLLAPIAAPAVVVYVLNNVLSISTQIFSGHLGNLELAASSLGNNGIQVFAYGLMLGMGSAVETLCGQAYGAHRYEMLGIYLQRSTILLVAVGVPLSVIYAFSEPILVFLGESPEIAKAAAVFVYGLIPQVFAYAANFPIQKFLQAQSIVAPSAYISAATLALHLLLGWLVVYRLGMGLLGASLVLSLSWWIIVAAQFLYIVTSERCRRTWTGLSCRAFSGLPEFLKLSTASAVMLCLETWYFQILILIAGLLDNPQLALDSLTVCMTLAGWVFMISIGFNAAASVRVGNELGAGHPRAAAFSVVVVTALSFVITLAMAVVFLVFRDYLSYIFTEGETVARAVSDLCPLLATTLILNGIQPVLSGVAVGCGWQKLVAYINVGCYYFVGIPLGILLGFKFHLGAKGIWTGMLGGTCMQTLILFWITFRTDWNKEVEEARKRLNQWEDNKQPLLLVPSD >Sspon.03G0011500-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:38543486:38547549:-1 gene:Sspon.03G0011500-2B transcript:Sspon.03G0011500-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome c oxidase, subunit Vb family protein [Source: Projected from Oryza sativa (Os01g0612200)] MWRRLHTLAPALRRATAAAAAGAPAAPASSAARAAPLSSAAAAFRRTSPLLSEDKPAKVEDVMPIATGLEREELEAELQGKKRFDMDPPVGPFGTKEAPAVIESYYNKRIVGCPGGEGEDEHDVVWFWLKKDEPHECPVCSQYFVLKVIGDGGDPDGHDDEDDGHH >Sspon.06G0020310-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:8953538:8953990:-1 gene:Sspon.06G0020310-1T transcript:Sspon.06G0020310-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCLSFSSSSCQDAHPTALDYFLAVLIMFTAVVAARLLASAVARCLCGDGDGPDAHHHHHHDHHHDHHHHRPDATTDVDEDVELPWGGGAGLAIFGQPGMDVPPPPPRRNDQGGTGTACWRRRSPPGRRSVCDTSLTAHPSPPPCRLLKP >Sspon.01G0033110-2D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1D:111769523:111769707:-1 gene:Sspon.01G0033110-2D transcript:Sspon.01G0033110-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRSVVENRVRCSKMYVSLRTERGLGWSVEQGLKLPARGSSAGSRAAPHPLLSLLIRPGA >Sspon.03G0039730-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:13171514:13174303:1 gene:Sspon.03G0039730-2D transcript:Sspon.03G0039730-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDASGGSMHVVMLPWLAFGHILPFTELAKRIARQGHQVTILSTPRNTRRLIHIPPDLAGLLPRVERLPEDAEASIDLPSDDLRPYLRRAYDAAFADKLSDILQEPEPGKPDWVIIDYAAYWAPAAAARHGVPCAFLSLFGAAVVSFYGPAEGLMGRGKYARTKPEQLTVVPDYVPFPTTVAYRGFEAREFFTPVLVPDESGVSEGYRFAKCIEESQLVAIRSSAEFEPEWLQVLGGLYRKPIIPVGLFPPPPTQDIGGHKAALQWLDRQARGSVVYAAFGSEAKLTSAQLQTIALGLEASGLPFLWAFRQPVDANEGKSGLPEGFEERIDGRGLVCRGWVPQARFLAHESIGGFLTHAGWNSIIEGLARGVRLVLLPLMFDQGLNARHLTEKKISEDGSFAPKDIAAALRRVVVEEECEVFGDKAKELAKLFGNDELNDQCVRDFLKCLSLSEYTRQRHPARHLISHLIAGLLISLSLPFFFFLHAEPSGDRGHAQRSPCLPAISSSDPRPAALNGLAVDDERRSMKAYMSYTSPLRSEPVMGGGDTVVED >Sspon.05G0039890-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:81459523:81460055:1 gene:Sspon.05G0039890-1D transcript:Sspon.05G0039890-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKYVGYCYDSLLPRAGSFNGSRLRVVGAAVEVLVANFRSLLAELRRLKSTSGGGGGAAYKLGECIDLAEVMSGEAGKEEPEKLARIRRLAASGDDGAVNDLLDIDLWLSEFVGERDRCETDVEKIPNVETLLPTMALMDPAMETCFGLVIGFRNRRRNDFDAWRIHAGLSVLR >Sspon.06G0003400-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6A:10252460:10252924:1 gene:Sspon.06G0003400-1A transcript:Sspon.06G0003400-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPLLERVLAALALWCLVLNTRETAACIAAERDALVAFNTSIKNPHERLSSWRGENCCNWSGVRCSKKTGHVVQLDLGGYALQGEINPSLAGLTNLVYLNLSQSDFSWENIPEFMGSFNMLRYLDLSGANFGGPIPPQLSNLTRLRYLDFPELS >Sspon.08G0004580-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:13274771:13276690:-1 gene:Sspon.08G0004580-1A transcript:Sspon.08G0004580-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding CDRLRGGSPPRLRQGQRTPRQALPQARPQGVHQGGGADGDRVRRHGLRRLLRQAHLHPHQQHHRRFRLGLQEYRTIR >Sspon.05G0002090-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:6667254:6667595:1 gene:Sspon.05G0002090-1A transcript:Sspon.05G0002090-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLGSAVRWCGGWRERRARRQKQLRLRRQHSGGTVWLGRRRRSCGRLAVSRLVRWRLVAELLRPIRKALMEMVAGAALAGSGSSSAPGRRQLVTLPQLNFPFVGTLTLPAIA >Sspon.03G0026070-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:1844605:1850229:1 gene:Sspon.03G0026070-1B transcript:Sspon.03G0026070-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGHTTSKLSAYEDLQTIKSMGFRGEALASMTYVGHVTVTTITEGQLHGYRVCYKDGVMENEPKPCAAVKGTQVMVENLFYNMVARRKTLQNSNDDYPKIVDFISRFAVHHINVNFSCRKHGANRADVHSSSTSSRLDAIRNIYGASVVRDLIEIEVSDEDAGDAVFKMDGYISNANYVAKKITMILFINDRLVDCTALKRAIEFVYSATLPQASKPFIYMSINLPSEHVDVNIHPTKKEAVNSSALTQVCTQKDKGTDVKMASGMKSQKTPVSQMVRTDPRNPSGRLHTYWHGQSSNLEKKSDLVSLRNVVRSRRNPKDAGDLSSRHELLMEIDSHCHPGLLEVIKNCTYVGLADEVFALIQHNTHLYLVNVVNVSKELMYQQALCRFGNFNAIQLSEPAPLQELLLMALNDNELIGDENDEEKLEIAEVNSKILKENSEMINEYFSIDVDQDGNLTRLPLVLDQYTPDMDRLPEFVLTMGNDVTWDDEKECFRMAAAAIGNFYALHPPILPNPSGSGVQLYKKNKDCMASGEHVDSTDEDDIDHELLAEAETAWSQREWTIQHVLFPSMRLFLKPPKSMATDGTFVQVASLEKLYKIFERC >Sspon.02G0008250-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:17910517:17912222:-1 gene:Sspon.02G0008250-3D transcript:Sspon.02G0008250-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRALQRSGSNSLASLLRAEPLDDVLLDLKRTERDGRRRRRRRSRSCLRLPLGGAGGCRVCACDEMDSSAAAPRRRTLGNDDEDEDGAAVQCFAWKKGAAADAAHRPSGAGAGVVVVTEATAASVAVLPDDVMEMVLCRLPLASLLAARCVCRRWRDLTVAPQFLRMRREEEPWPRRTPWLFLFGVDGDVGWGAAPAPAVHALDVAAHRWCRVEAGGLKGRFLFSVAGVGDDLYVVGGRSGGSDASDSKVKTHKGVLVFSPLVGSWRKAAPMRTPRSRPVLGVFEMSARCSILHTRAEKNVRRGKSRLGGASAAPPPQDMLNEDTDSTDLASSHHGKSAGQEGEEGQPRLAIVAVGGRGRWDEPLVSGEIYDPLVDRWVEIAGFPTDVGLACSGVVCGRMFYVYCESDTLVAYHLDQGSWVVIQTLLPPPRLRDYAPTLVCCASRLFMLCVSWCDRHGPVNRREKVVRKLFELDLSSLQWTEASAHPDAPMDPNAAFAVGQDRVYAVEMFRIFGKVLDFVTACRVSDTEQKWSRVGRENAATEADAMSCRLKSMAVLH >Sspon.05G0010940-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:25921117:25926496:-1 gene:Sspon.05G0010940-2B transcript:Sspon.05G0010940-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMGAREPVAMEIPVEEGPAAARAPPRRIRRRLVEGARGGGAPASVEEIEARLREAELRRQQFHEWLACKARKKPRSPSWSSQEEDHGQRLEAKLLAAEQKRLSLLAKAQNRLAKLDELRQAAKNDVEMRFEKEREELETRVESRVRQAEENRMRLLHADMQKRAALKERTARSLVQKATSESKYMEQVRSAILQKRAAAEKKRLRLLEAEKTKAQARLLRIQKAAMTVCSRRESERRKLKEQLDNKLQRIILLKQAKRQRAEYLKQRGSPRSSTHADYIKHADALSRKLARYWRIFVKSRKTTFALVQAYDALGIDEKSVKSMPFEKLAMSMESPIVLQTTKALLDRLEKRLVISHSVTSSSVENVDHLLKRLGSPPRRKVPLSREGKTRAVVKRSAKSSEASIRLPRYSLRVVLCAYMILAHPSAVLSGQGEREKQLIESAANFIKQFELLIKTILDEPGQSSDVTGQRKFRIQLANFDKAWCTYLYRFVVWKVKDARLLEEDLVRAACKLELSMMQTCKLTADGHSPNNLTHDMKAIQKQVTDDQKLLREKVQHLSGDAGLERMNSALLDTRSKFFEAKENGSPLAAPVANVSTPLSINSSGKVPLSEVNESSRTNAVGTSSVVRSLFGASSSSVSSSPAKQPTENEQMVNEMLYEDASAFAGRSDSANTAEEEFQKKVRETMEKAFWDMVTNSMRGERPDYSQLINLVKEVRDSLHDLAPKEWKEKILENIDLEILSQVLGSGSQDAQYLGQILQYSLDMVRKLSAAAKEDEMKKNHDKLLSELSTNSEVNDNGINSFAIAVIKGLRFILEEIKELQAEVSKARIQMMQQIIKESAGVEYLKKAFADHYGPPENASASLPLTLQWILTSKNIAEVEWSEHSDCLSIMPSAGQAPALVPVLRAGHGAPVGQPSSSVADTSGQPECKGEKLDKLIRIGLLQLISSVEGLQLQSTPESFQINLLRLRAVQSQFQQVIVIATSMLVLRQVLMSENSKATPLELENAISELFKALTNILDSSPDAGTEEIVQAMVNASASVGSPSEEKIQSRMQMITRVFLKSLQPGDVVFKKVSHAVYCAFRGIVLGGSGPKGQKLADAALRRIGAAKVVDRVFKSAEVLIKVATVSEKVHGPWYKALV >Sspon.06G0001450-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:4696870:4697643:-1 gene:Sspon.06G0001450-1A transcript:Sspon.06G0001450-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPAARVKTGRRGRDAGRFRAQGLFGDGGGGDGLRTVMRMVKLNSAIQNRSHACNPAFFDPAVTTLLQDMFLLLHALMVRHHVSFVLKPSPNEPGFDLGLKWSLEWKGKKLPWDLDCNVSTSHVYRGLVLI >Sspon.02G0037570-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:34758939:34759532:1 gene:Sspon.02G0037570-1B transcript:Sspon.02G0037570-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RMGTVEPQRGLVLDQSRLAIGEPARFIGPAQRAHMFWQILILKQARQPAATDRPVPDHFSRRPRRTSTRTMLFRVSGRQRRRRRMAVARLGDAGDAAAPRRGGRLLFALGRIVLPRLRLRALLLRSRRALARLRNYYADMMKGLIADAAAAEPAKAGRVVEARAGTGETVVHAGRPAVVVPAAVAGAVLRCNSHYYIR >Sspon.04G0028210-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:64565651:64567403:-1 gene:Sspon.04G0028210-1T transcript:Sspon.04G0028210-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAQEWCDPDITRKHTAWFKNMIRSRSDHHQEQHQSPKATMAADLTLLLLASLLAIPLYFLLATTTRRRRTPPRGSKPRLPPGPWALPVIGHLHHLARPGGGRLPHRVMRDLARRHGPLMLLRFGEVPVVVASSAAAAREVMRTHDAAFASRPIGPMSRLWFQGAEGILFAPYGDDWRHLRRVCTQELLTARRVHSFRPVREDELRRLLASVAADDASGTVVNLTEKISTYIADSTVRAIIGSRRLKDRDAYLRMLKGLFGIMPGMSLPDLFPSSRLAMLLSRAPARIQAYRCSMRRIMDGIIQEHRDRAAAADGDDEEDFVDVLLRLQKEVDSQFPLTTENIKTVMLDIFGASTETSTTTLDWAMAELLRNPRVMEKAQHEVRQAFAGHDTVTEDSLAGLRYLRFVIKESLRLHPPATMLVPRQWQSACQVLGFDVPAGITVIVNAWAIGRDPAHWDEPDEFLPERFEQSTRDFRGADFEFIPFGAGRRICPGMAFGLAHIELALAALLFHFDWSLPGGLAAEELDMTEAFGIATPRRSDLLVVAIPRVPLPM >Sspon.03G0003890-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:9939839:9941761:1 gene:Sspon.03G0003890-1A transcript:Sspon.03G0003890-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATESSAVAVPGDANSTSHGARGESLALLRHGNPSPPPHALALPASVISCAALSLPAGVAQIHALAAKRGLLPAADAYLLSALLTSYSRLGSLQLAHQLLDEMPLESTPHTTLRTAFNSVISGCALHALPAACFALFRRMRAVAVRFDAVTLLALVPAAPLSVVPQVHALAARVGLATETSVANCFISTYARGGAFGAALSRRVFDEMPLASRDLVSWNAVLSAHAQNGLAVDALHLYRRMRSLEGSGVEPDAVTIVGVLSSAAHLGARGVGFDVEHYVRQRLPGFRTNVQLCNALINFHARCGSLPQAQQLFDEMPKKSIVSWTALITGHGMHGNGEVAVSLFERMVSEGIRPDNVAMVGLLSACSHAGLYDEGRRYFSTMESVYKLQPTLEHYTCMVDLLGRAGRLEEARELISSMPMPADGAVWGALLGACKIHKNLEVGEEAFAHVVELEPRNVGYYVLIWTARARVRAMMRERGLKKEPGCSYVEHKGRVHLFMADDHSHPQARRIYELVIRLELMVKEKSGVRESEIAVAKGRMEKAAAQPLVGIHSEKLAVAFGLLNTEAGSEIVVIKNLRVCGDCHSFLKAVSATTNRAFLVRDASRFHRFDGGVCSCKDYW >Sspon.01G0020880-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:77152792:77152950:1 gene:Sspon.01G0020880-1A transcript:Sspon.01G0020880-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHRHRVARAQAQAQPQPQAQYLLPLFQAKCCHNPLQQALLFLWFLPSHTCW >Sspon.08G0018060-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:6163302:6166386:-1 gene:Sspon.08G0018060-3D transcript:Sspon.08G0018060-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGNLIVKKVVKHSSFDLDIQLDKSWMEDVTCPICLDYPHNAVLLRCTSYEKGCRPFVCDTDQTRSNCLERFKFASELPSNAKVSSLAVPPLDSIIHIVPSNANNRPSCPLCRGDVIGWIVIGEARLHLNQKKRCCEEDCCSFVGNFNELQKHTQQKHPDSRPSEIDPARQVDWENFQQSSDIVDVLSTIHAQVPNGIVLGDYVIEYGDDDTGEDYEVFHSVRTNWWSCIFCKAFSRSSRSRRRARARERRGSGRRNGNQANLENFNLEVPTQSVELREIRFDEIDDEYIVTGAIPSIAAPGRMASFHYRDTRYGR >Sspon.03G0023850-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:57570358:57578787:1 gene:Sspon.03G0023850-4D transcript:Sspon.03G0023850-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSEGIPEMQRSNLVSCIIQSVWVSVRGVKKEFDEAKLRFAAAEKKVVDIRGQLLRIVKSFGIQLKSCDRDMQAVRKAIIAGSFTNACHLEEYSQNGMYKTIRTSQEVYIHPSSVLFRVNPKWVVYQSLVSTDKHYMRNVIAIEPSWLTEAAPHFYQFRTLNPALH >Sspon.02G0042430-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2B:82828005:82828236:1 gene:Sspon.02G0042430-1B transcript:Sspon.02G0042430-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SSTATGAAATTTTPRLELDMWTWTGHHAPATVRGGADHECGDGLIDVDVINWTRGMLARAEAPKKATTEEEPDLELT >Sspon.03G0009340-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:4666979:4670513:-1 gene:Sspon.03G0009340-2P transcript:Sspon.03G0009340-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHRNMLWTHQSVNPEWEQGHVQVQPGSSYYAGTVSDSSNLGVQVAVGVPGNTANVGICDLRNHERPHVHNSYPHASATSRFVFPTNMYNPSMSAAAVNIYIPQTQSFGLGNVLPPSLHNQVSTGTIDESSSSVNFGDSAIGFMKRKNAVVAGNHHFLHGFAGSSSSVHAPQNPVRGPWNASSQSNSLPSSAASNLPEYHNSNGWPFLEESSADASNSFSSVAACPELVPHGNYLYPASHISQCNTWVPQAASHGVPQWGYSNAMVNPPGTADMPNGNIQDYHAGHSSIHGPLPHFCQNPLHSMQAPQIQVPHQQFIGNNVVHGLNPSAAGLPLDPRMLALPFNAEHTFGHPMHPPLINQANNGALRILPYQNATVMDHSRIYEAGHVIDEHRDMRLDELVALQEQIGDVSTGLTESYIQENLRSTFHVPGAASISDQFSELSLENDACIICQEEYEAKELIGTLECGHKYHVNCIKQWLMMKNLCPICKTTALSSDRRNG >Sspon.02G0032370-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:120190483:120191020:1 gene:Sspon.02G0032370-1A transcript:Sspon.02G0032370-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding PWPRITTTTAPAPRSSSRSSWRRAPPPWPPPRATSTSTPPTRSRRRLPPRAETTIPIPTTTATAPSRDSAGPAGNGGGFYGGPGYGPESSASAAAEAAGGARGTAAPAEAGTRTAAWRCRRCCARRKGPATARRWRAPRGASGPTAAPATAMEQEAAAGPAPSTARPSAPPHA >Sspon.05G0026330-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:5B:33678292:33678597:1 gene:Sspon.05G0026330-1B transcript:Sspon.05G0026330-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSSSSPVLVAAPCRFLSPLAITASPHLLSFATAATRRRLLLSTTTTIAAAMAASASSCKVIDSHLHVWATPQQVRKQSRQRAREPSFRVLAGKSANPSPV >Sspon.01G0011620-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1C:31565703:31566941:1 gene:Sspon.01G0011620-2C transcript:Sspon.01G0011620-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFSAGGSGSGSGGGGGGGGGGDGGPGDGRAQAERWLEIAEKLLAARDLVGCKRFAERAVEADPLLPGADELLAVADVLLASQSMGASGHPDPLAILQLPPGASPDHAAVSRAFRRLALLLGQRNPHPGAEMALRLVNDAYAILSDPSRRAPPSANPATGTPSSYQYAAAAAAAAAPTPDPPEFWTACPFCCYVHQYPRDLIGRALRCPNEGCRRGFVASEIPTPPTVVPGTEMYHCAWGFFPLGFPNAADLGANWKPFYKVFPWNTAPSGQGGGGGRGRGSRGGRQPQNDSARGGSSRGRIKKTTARKKVGAGPRRRLGGGVESGIDSEMLGQEGWAGDEDGGDGRAEEVRGININEAAQATDGAARVNVSGAGGVEDMGNFHIDVDATEDILGNLHNLPFLRVDNLGRMI >Sspon.02G0015230-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:21024342:21028833:-1 gene:Sspon.02G0015230-1P transcript:Sspon.02G0015230-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AMAKTKQGKKDVDSYTVRGTNKVVHGNFPHPRSVCICFSRERYLMLLQCLCREVGDCVLMRASDSDNQPYVARVEKMEADGRGSVRVRVRWYYRPEESKGGRRQFHGAKELFLSDHFDLQSAHTIEGKCVVHSFKNYTKLDNVGPEDFFCRFEYKAATGSFTPDRVARYCKCEMPYNPDDLMVQCDACKDRFHPSCMSMTIEQAKKLDHFVCSDCLKENGSKRLSNAYGTSPNSEPQAESKRQRR >Sspon.01G0001300-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:2857464:2859751:-1 gene:Sspon.01G0001300-2B transcript:Sspon.01G0001300-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAVPAASLRAPSSSPAAAARRLGAGASSLRKRHCAVSPVAAACGPAPPRQLDNAEAKKGFLPVVDKKAGYSFLYPFGWEEVAVQGQDKVYKDVIEPLESVSVNSIPTSKEDIRDLGPPDKNDVDGRAYYTFEFTAQAPNYTRHALGAIVIANGMTFHLRSSLSVNFSH >Sspon.07G0024880-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:29955854:29961764:-1 gene:Sspon.07G0024880-2C transcript:Sspon.07G0024880-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding PWLSAPWHRRRPYPSRSAARPGRWRRPPGGVTTVTLPDLSYDFGALEPAISGEIMRLHHQKHHATYVANYNKALEQLDAAVAKGDASAVVQLQGAIKFNGAGHVNHSIFWKNLKPIQEGGGEPPHGKLGWAIDEDFGSFEALVKKMNAEGAALQGSGWQWLALDKEAKKLSVETTANQDPLVTKGASLVPLLGIDVWEHAYYLQYKNVRPDYLNNIWKVINWKYAGEVYENVLA >Sspon.04G0032640-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:30018916:30019131:1 gene:Sspon.04G0032640-1C transcript:Sspon.04G0032640-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSFKLSLLPILLLAVVAAVSGDELRTFIVHVQPHKSHVFGTTEDDRTTWHKTFLPEDERLVHSYHHVALG >Sspon.03G0024300-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:74032745:74036249:-1 gene:Sspon.03G0024300-1A transcript:Sspon.03G0024300-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANGGQDAARYGAGGGKRELPNKQQQAAGGCGHYFQMPLHYPRYSREDYEAMPEWQLDRLLSEYGLPVAGTVNQKRTFAMGAFLWGAGGDWLPQRPQHLRQPSKTMSIEALFPASKPGHRISWA >Sspon.02G0006730-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2C:21168690:21169586:1 gene:Sspon.02G0006730-2C transcript:Sspon.02G0006730-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding HLYLILDDWERGYNVYKVDVDAGPESLPEHPVVRFEGRHGRSWYFASHGTKILAMQPSPYPAFPVFDVQTTALAVCPWPGRGGNKLSFKPLFASVAGSLYLLRGGHFDMLSAVAPPPDSTYPEDAGWSWSRVGSPPPFDHCDRIWSFVLHPDGRRRTFSFDTESREWVSHGNWFLPFKGEAYFDAELDAWVGLCCHEGGLGYLSCCDVAPAPADLRSLPAWKLGKDQLFDAKSRRHLGATLVCMGSSRYCLVESLARDDEEDLRRLHDDGYYPHRRVLAVTTFGLEYDGQGHLVTTQRK >Sspon.07G0001720-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:2876530:2881005:-1 gene:Sspon.07G0001720-2C transcript:Sspon.07G0001720-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLFLEYLLLAFVSLACCTTSMTQTSPVLVAHAASTSNFSDHLALMAFKSQVASDPSRALATWSNQSMPMCQWQGVSCGLKGSRYGRVVVLDLGELNLVGTVTPALGNLTYLRLLNLTSNHFHGILPPELGNLHDLEDLQLSYNSILGQIPSSLSNCSHLVNISLNNNKLQGVIPGEFSSLHNLQILHLESNALTGTIPSSIGNLVNLKYLDLTFNNLTGEIPVAIGSLVSLGELDLGYNLFYGSIPASLGNLSALTVLTIPNTNLEHIPPLKGLSSLQILELANNNLTGNIPSWLGNLSSLSSGSNPRIIRKLELLQILSLSVNNLSGSVPQSIGNLHDLTELYLSKNALEGSLPHSMFNLSSLELLLLDFNSFTGDFPPDMGSKLSKLKLLFASINQFHGSLPSSICNASMLQVIEIVTTFMSGTIPQCLGTHQKNLSVVFLADSYFEAINDADWGFLTGLTNSSNMRKLALSSNKLEGVLPNPIGNLSTQMKFLTIEDNKITGPIPEGIGNLINLNELDMGNNMLVSAIPVSLSKLKKLNRLSLSNNALSGPIPVSLGNLTELITLILGTNAISGAIPSSFSNCPLEVLDLSHNNLSGPIPKELFFISTLSKSMNLAHNSLSGPFPSEVGNLKNLNEIDLSNNMISGEIPTSIGECQSLENLDVSVNMLQGAIPTSLGNLRGLLVVDLSYNNLSGTIPEVLGKLPGLSSLNLSFNKLQGGVPKDGVFLNATAIWITGNGGLCGGIPQLKLPPCSIHTNKRPSRELAIIVSQLLIETEDNEARMSYSGSIRDLRISCVTSILQVGICFHPHAPPFQIADQSCLSLELLVIGC >Sspon.01G0014450-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:51755700:51765710:-1 gene:Sspon.01G0014450-2C transcript:Sspon.01G0014450-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHTLWCVTTSCCFLAIGIGSKLWRYYDFGPKVVPPLVCIPGIAGMADVYYKQIMSLCMKGYRVISIDVPQVWNHHEWIHSFEKFLDSMNIHHVHIYGTSLGGFLAQIFAQHRPRRVKSLVLSNTFLETHKFAAAMPWSPVVNWTPSFLLKRYLLTGIRDGPHEPFIADSVDFVVGQVETLSRDDLSSRLMLNVDVASVGSLMLPDSLITIMDTNDYSAVPQQLKQQLNERYPGARRAVLKTGGDFPFLSRPDEVNLYLQLHLRRVGVEPRSELVQGFTRNGSAGSSKDQNDGGDSFDNSGEDNGQHGSGGSDHDSQHCGSESHNSDEPIPTSTMLANTVLELVM >Sspon.08G0014230-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8A:58446459:58447745:-1 gene:Sspon.08G0014230-1A transcript:Sspon.08G0014230-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYPTVVLIPLCVPGHLTSMLEAGKRLLGRSSCPMSLTVLVPQMTMSANLMSDVADTIRREADSGLDIRFVHLPAVELPPAGHGLEDFMVRFIQLHGTYVKEAISGMSSPVAAVVMDYFCTTLFDVMRELALPVYVYLTSGASMLALLLRLPALDEEISGDFEAMEGAVDLPGMPPVPARLMPTPIMTKGPSFAWLVYHGTRFMEAAGIIVNTVAELEPSILAAIAGGLCVPGRRAPTVYPIGPVVPVKPPGDGEQPQQVHECVRWLDAQPPASVVLLCFGSMGGSFPSPQVREIADALDHSGHRFLWVLRGPPADSKYPTDANLDELLPEGFLERTKDRGLVWPKWAPQKDILANPAVGGFVTHCGWNSILESLWHGVPMVPWPLFAEQHLNAFELVSVMGVAVAMQVDRKRTTSSRQRSWNARSGA >Sspon.01G0001800-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:5141734:5142060:1 gene:Sspon.01G0001800-1A transcript:Sspon.01G0001800-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAAAAGVELGSSKPQIATQAEMAEARVPLAYRDQCAHLLIPLNKCRVAEFYLPWKCEPERHAYEKCEYELVMERMLKMQKIREAQEAKVKGGASIGLIPATAKLA >Sspon.04G0030020-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:82465384:82472969:1 gene:Sspon.04G0030020-2D transcript:Sspon.04G0030020-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEESGTWPLLLLSLAASLLFLLYRRTHGGHKNSTPRSDQGNGRRLPPGPPTLLFLAKFLSLRRSVFDLGPVLRELHARHGPVISLRLLFATHVFVADRRLAHRALVQGGATFADRPPVVEPESLFSAGGRDINTSPYGPYWRLVRRNLATEALHRGRVSLFEPARRSACDALVTSLRAAADDDTVTLRPFLRRAMFELLLHMCFGARLGREALDEIEELQHRMILSYATFPVFALFPAVTKRLFSKRWAAYVALARRIDEVFVPLIHATRGGGGDDPPCYADSLRALRVAEEGDRPLTDAEMSSLCTEFLTGGTDTTVTLVEWIMAELVNHPDVQAKVHDEVKKNAPDGDLQLQAMPYLKAVVLEGLRLHPPGHFVLPHGVQSDAEIGGYIVPKGAEVNFLVAEIGRDEAVWTAALKFRPERFVDGGEGRDVDITGTKEIKMMPFGVGRRMCPGYALGMHHAEYFVARLVRELEWLPVADGEVVDTAEQMEFTTVMKHPLRARIVT >Sspon.03G0029690-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:26854885:26858625:1 gene:Sspon.03G0029690-2C transcript:Sspon.03G0029690-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKAPPPTQTQQTGTIVPARAPKVTLSPPTHPLIPGMTATSRAPRRPRIRPRGPPPAPTPIRTARGARSAAADERVLAEFLEASLRVPDLSLPPRKRFNFPPAPAPPPESDGISSRALVSGDADAALRAVTAAAESGAFRVTGAIDAREVRDAVEAAAGAVFAAPEEVKRDLGRWFRRRERAAGEEFFWFRPMSADEDRALHAAFHGSTYRAFREKIDTLASKMEDVAKVVIRVLSDNVKNPKASAQFREAPSILCLTLYSSNMSRTCWNEFDNTTAPNSHALSIHLCGHDRRICLRNLGGSTFCSLPAGCMLVTIGKQIQEWSNGQFKTSVGEVLFEMTDEPGPFISVELMYCPDDLHLSEVGRHASRIDRPKILVSVQQVTIPVLLTKRCK >Sspon.05G0009870-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:23255732:23257072:-1 gene:Sspon.05G0009870-2B transcript:Sspon.05G0009870-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPMAVHPTTTPALSPRARVSPPWPSTSLAADSSSPCSRTVGFKSRRLPLRSLRSVVAAAAADAVGAEEEEVQLGGGVDAVDEEEAENKVVVPERQDPTLVLKFIWMEKNIGIALDQLVPGYGSIPLSPYYFWPRKDAWEELRAKLEEKEWISQKQMIILLNQATDIINLWQQGGGSLST >Sspon.01G0022890-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:85091466:85093444:-1 gene:Sspon.01G0022890-2C transcript:Sspon.01G0022890-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPSPLPVEAAPPLETAAAAEEDEWDADGYVIPNLPTQDNDVTEPSVPKEKDPEPLQAKDEKIYLGPHGAPPSQAKQRELNTVGRKQRFRNKLKEADRKFTGNAQESKVESLRELMGARASGTSMPKSSPRDWLDPHCHESEFDRKPTR >Sspon.07G0000020-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:14368638:14372043:-1 gene:Sspon.07G0000020-3D transcript:Sspon.07G0000020-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DAR GTPase 3, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G02790) UniProtKB/Swiss-Prot;Acc:Q8H1F6] MVAAGDTLLGLYEKERLGLSQYADDEFKEERYWETLDADLRYWTRSLRPVQWYPGHIAKTEKELKEQLRLMDVVIEIRDARIPLSTSHPKMDSWLGNRKRILVLNREDMISTEDRNAWATYFGNQGTKVVFSNGQLGMGTMKLGRMAKSVASVVNTKRKEKGLLPRPVIHLISCWFLVFHRFI >Sspon.04G0023330-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:16416264:16418095:-1 gene:Sspon.04G0023330-2D transcript:Sspon.04G0023330-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homodimeric diiron-carboxylate protein, Cyanide-resistant respiration in mitochondria, Reactive oxygen species (ROS) scavengin [Source: Projected from Oryza sativa (Os02g0700400)] MSTRAAGSVLLRHLGPRVFGPVASPAAASPRPPLALAGCGERGGVAVWVRLLSTSAAEAEEEAAASKGNAGSTAAAKAEAAEAAKEGDGKRDKVVSSYWGVAPSKLMSKDGVEWRWSCFRPWEAYKPDTSIDLTRHHEPKVLLDKIAYWTVKLLRVPTDIFFQRRYGCRAMMLETVAAVPGMVGGMLLHLRSLRRFEPSGGWIRVLLEEAENERMHLMTFMEVAKPKWYERALVLAVQGVFFNAYFLGYLISPKFAHRVVGYLEEEAIHSYTEYLKDLEAGKIENIPAPAIAIDYWQLPADATLKDVVIVVRSDEAHHRDVNHFASDIHFQGMQLKETPAPLGYH >Sspon.05G0003540-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:9454753:9459097:-1 gene:Sspon.05G0003540-4D transcript:Sspon.05G0003540-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIMRRHHGYIHHLLLPSLLISLATFAAVAQAAPDILNPGSNITDGETLVSANGTFTLGFFAPGAPTRRFLGIWFTVTNSSDAVWVANRDHLLVDSSGVLVLSDTGSLALLDGNQTQTAWSSNTVGAVSPTLQLLESGNLVVRDGNNGGILCQSFDHPMNTLVPGMKIGRNLWTDTEWYLQSWKAANDPSPGTLRYVVVTRGGPPEIAMVDSSGATRFRTGVWNGLWFSDIPEMTSYADEFTYQMTVSPGEVSYGYAARPGAPLSRLVLNDSGVVERLGWDPGSRAWNNFFQGPRDDMCGPSGVCNASAAATSFCSCVVGFSPVSQTAWFMRDRSSGCRRNVPLDCDGGRRSAGSTDWFAVLPGVKLPDMVDSWSLETSVTLDECRARCLANCSCVAYAAADIRGGGDGTGCLMWAENLIDLRYVDGGQTLYLRQARPLPRGHPLLHGLSGRAIAVKRLKPISDLPEAIQHQNVVRLLAYCEEGGERILHLHEGEGSAGNVIHRDLKPANVLLDGGWQAKVADFGTAKQLQSEGGETTLKCDVYSFGVTLLETLAGRRNWERQSLVSEPVSVNICLLQAWRLWAERSITALLDSEPELRQLGRFIHVGLLCVQEKPGDRPSMSEVVEMLSCSSTGVQQQLIEPRVPMVGSRILAMFLEADAADLSRPTVYETMALEPGHSRSSTA >Sspon.05G0019650-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:66256537:66257576:1 gene:Sspon.05G0019650-2P transcript:Sspon.05G0019650-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRCGSTSSPLAAATLLLLVAGCFHCAAAARLLPSSVPVPALVHQAENGVKAASAADGGLVLQEGGVGNGDELSIPEMMGAESEEEEEAAVGCEESNEECMQRRLLHDAHLDYIYTQHKGKP >Sspon.03G0015850-1P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3A:50437741:50438931:1 gene:Sspon.03G0015850-1P transcript:Sspon.03G0015850-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELDTFLFTSESVNEGHPDKLCDQISDAVLDACLAEDPDSKVACETCTKTNMVMVFGEITTKSNVDYEKIVRDTCRGIGFVSNDVGLDADHCKVLVNIEQQSPDIAQGVHGHFTKRPEEIGAGDQGHMFGYATDETPEMMPLSHVLATKLGARLTEVRKNGTCPWLRPDGKTQVTVEYHNDNGAMVPIRVHTVLISTQHDETVTNDEIAADLKEHVIKPVIPEQYLDEKTIFHLNPSGRFVIGGPHGDAGLTGRKIIIDTYGGWGAHGGGAFSGKDPTKVDRSGAYIARQAAKSIVACGLARRCIVQVSYAIGVPEPLSVFVDTYGTGKIPDKEILKIVLENFDFRPGMIIIDLDLKRGGNGRYLKTAAYGHFGRDDPDFTWELVKPLKWENPSA >Sspon.05G0020700-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:89884199:89886469:1 gene:Sspon.05G0020700-2B transcript:Sspon.05G0020700-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAWEATKAVFDRVRALDPENASKMMGLLLIQDNSDKELIRLAFGPEHLLHAFVATARAELAGKPASPPSPVLGPLQTGPPWGLPSPGAADHQHSPFAADQLGYDGGADAFYADDYDVWSPAGAGAHRRSFSLSDAEAAASWRPCMYYARGYCKNGSSCRFLHGVPEDDAAEREMAVMRAKALAAAPPPQLMASAYPFSPSPKGGVSLSLLLQQHQQQSETQRAAAAAAAGMLLGGDDMHRFPVRSPRMGMDRGDLIASPAARQIYLTFPADSTFSEEDVSNYFSSMFGPVQDVRIPYQQKRMFGFVTFVYAETVKVILSKGNPHFVCDARVLVKPYKEKGKVPDRFRKLQHAHHGAEFAGCTSPTGLLDSRDPYDLQQPQIGPRMMYGNIANHEAFLRRKLEEQQQAAELQQAIELEGRRFMGLQLLDLKSRGHHLGLGSPVGSGSPMSLGQADGKGSGNNGNGNAAHLEDVTGIQDKKMSSTSSLAMSAPAAASAADAEGKHEVQQGEGGDGSPKQAVNPGEEEKVESGPVTATPNAACGFQE >Sspon.05G0000850-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:2104978:2109012:1 gene:Sspon.05G0000850-2D transcript:Sspon.05G0000850-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MWQCSICTHVNTTDNLSCELCGVLRDLSLYFNNISEAEDGAKCRHKYSGVSVLARSLFTPSSTKSKAIVFSDGFEDSRNTAGNKQATMDALHKTYMTRKKRHINIVPFKFDTPSPDDMVVTGLKSSRNFRKVKDSVDVTGKDMTDNDILLTEKSTSMDPSASVQLDEVGGTSSNVPLSSQNITLVLDHKLQHLSLERKPKNSKPNIKKAASVSHYKPEPWMLQSEDQEIRKQLSLAIVGHVDSGKSTLCGRLRHALGLISKKQMHKYEKEAKEKGKGSFAYAWAMDESSDERERGITMTVAVAYFNSENYRVVLLDSPGHKDFVPNMISGATQADAAILVVDASIGSFEAGMGVNGIGQTKEHSQLIRSFGVENLIVAINKMDVVEYSKERFQSIKSQLGIFLRSCGYKDSSVTWVPLSAMANENLVTASSDSRLLSWYNGDCLLKAIDSLPPAHRDVSRPLRLPICDVIASHTLGQVAVCGKVESGGIRTGSKVLVMPSGDIATVRTIERDSSTCNLARAGDNVAIGLHGIDPGHIVSGGVVCHPDFPVHIASHLELKILVLEITMPILVGLQFELHIHHARVSARLVRILSSLDQKTGKALKKMPRLLTARQAAVVEVKLDKEVCVEEFSTLKALGRVFLRSQGNTVAVGIVTRILDQALDLA >Sspon.03G0022360-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:68006784:68015565:-1 gene:Sspon.03G0022360-1A transcript:Sspon.03G0022360-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Orthologue of the Arabidopsis GIGANTEA, Regulation of circadian rhythm and flowering time, Photoperiodic control of flowering, Osmotic stress respons [Source: Projected from Oryza sativa (Os01g0182600)] MSDSNLKWIDGLQFSSLYWPPPQDVEQKKAQILAYVEYFGQFTADSEQFPEDIAQLIQSSYPSKESRLVDEVLATFVLHHPEHGHAVVHPILSRIIDGTLCYDRHGPPFSSFISLFSHTSEQEYSEQWALACGEILRVLTHYNRPIFKVERQHSEAECSSTSDQATSSDSIDKKSNNSPGNESDRKPLRPLTPWITDILLAAPLGIRSDYFRWCGGVMGKYAAGGELKPPTTGRRGSGKHPQLMPSTPRWAVANGAGVILSVCDEEVARYETANLTAAAVPALLLPPPTTPLDEHLVAGLPPLEPYARLFHRYYAIATPSATQRLLFGLLEAPPSWAPDALDAAVQLVELLRAAEDYASGMRLPKNWMHLHFLRAIGTAMSMRAGIAADTAAALLFRILSQPTLLFPPLRHAEGVEVHHEPLGGYVSSYKKQLEVPASEATIDATAQGIASLLCAHGPDVEWRICTIWEAAYGLLPLSSSAVDLPEIVVAAPLQPPTLSWSLYLPLLKVFEYLPRGSPSEACLMRIFVATVEAILRRAFPSETSEQSRKPRSQSKNLAVAELHTMIHSLFVESYASMDLASRLLFVVLTVCVSHQALPGGSKRPTGSDNHSHEEATEHSRLTNGRSRCKKRQGPVATFDSYVLAAVVALSCELDLFPCISKNGSHSNLKDSMKIIIPGKNNGINNEPRSSISSAILHTRRILGILEALFSLKPSSVGTSWSYSSNEIVAAAMVAAHVSELFRRFRPCLTSLSAMMRCKRDPEISTRASSLYHLIDLHGKTVSSIVNKAEPLEAHLTLTPVKKDNQHHCEENNTSSSDSVKLENKNGSTSHKKNGFSRPLLKCAEEVLLNGDVASTSGKSIASLQVEASDLANFLTMDRNGGYRGSQTLLRSVLSEKQELCFSVVSLLWQKLIASPEMQMSAESTSAHQGWRKVVDALCDVVSASPTKASTAIVLQAEKDLQPWIARDDEQGQKMWRVNQRIVKLIAELMRNHDSPEALVILASASDLLLRATDGMLVDGEACTLPQLELLEVTARAVHLIIEWGDSGLSVADGLCNLLKCRLSTTIRCLSHPSAHVRALSMSVLRDILNNGSMNPNKIIQGEQQRNGIQNPSYRCLAAGIINWQADVERCIEWEAHSRRATGLTLAFLSAAAKELGCPLPC >Sspon.05G0015190-4D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5D:56594104:56598653:-1 gene:Sspon.05G0015190-4D transcript:Sspon.05G0015190-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSATAGTRWIHHIQRLSAVKVSAEAVERGQSRVIDASLTLIRERAKLKLLRALGGVKASASLLGVPLGHNSSFLQGPAFAPPRIREAIWCGSTNSSTEEGKELNDPRVLTDVGDVPIQEIRDCGVEDDRLMHVISESVKTVMEEEPLRPLVLGGDHSISYPVVRAVSEKLGGPVDILHLDAHPDIYDCFEGNTYSHASSFARIMEGGYARRLLQVGLRSITKEGREQGKRFGVEQYEMRTFSKDREKLENLKLGEGVKGVYVSVDVDCLDPAFAPGVSHIEPGGLSFRDVLNILQNLQGDVVAADVVEFNPQRDTVDGMTAMVAAKLVRELTAKISNKQMYSQNAHTAALLLAAAARQSAMAGAGGVQRVDPVPAVLFIRRPGAPFGNTMHQRFRVLELASLALAEPDPPCAALGGKRVGIIGLGNIGLLIAKGLEAFGCVVYYNSRRPKDSVSYKYFSNVHHLASESDILVVACALNQETRHIVNKDVLEALGKDGIVINIGRGANITWTRRVHGAPRAPPINFRYCCLEKEGWTSEMRV >Sspon.04G0018890-3P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:4135735:4137999:-1 gene:Sspon.04G0018890-3P transcript:Sspon.04G0018890-3P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMKQPRGRQEPRRMGNAAMVVTMLVSLCVLTYIKARYCSNPFPKAAEELEVVEVDEDYDSTRYKLSGPIGEEDFDPSRPTCYSTSKRSERCAAVGDIRVDGNHSKIYINPLSREWRTKPYARLQDPVAMDDVREFTLVPFGGSNHTTVPPLCTRNHSVPGFLFSSGGFAGNLYHDYADVLVPLFASTHHFGGEVQFLLADIKDWWADKFKPLFRQLSRYDVIDVNNDQEVHCFPRIVIGSTFHRAMGIDASRTPGGETVADFKRVLRRAFRLERAVASRSGAPRRKDRPRLLIISRKSSRRFVNERAMALAAAAAKFDVRIAEPDNHTDMPNFARLVNSADVMMGVHGAGLTNMVFLPSRAVLVQVVPFGGLEWLTRVTFKDPARDMDVTYMEYNVSLEESSLRDLYPKDHFYLKHPYDVHKKGWDAIKTVYLDKQNVRLNLTRFTRTLEQARDLLPSP >Sspon.04G0004130-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:7874486:7875028:1 gene:Sspon.04G0004130-2B transcript:Sspon.04G0004130-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLVVSATASCGDIFGRRRSPTAPAPTATHAARTPPPTDTTPEPELVRVFRHFDANGDGRISAAEMRESCGCTAAEAEEMVAAADRDGDGFISLEELGALFEDGDQSDTLRAAFAEYDENGDGVITAEELRRALRRLGIVGEEMTAEQCAEIVAAVDRDGDGVISFDEFKAMMATKPAAA >Sspon.01G0011730-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:39044478:39049499:1 gene:Sspon.01G0011730-2B transcript:Sspon.01G0011730-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MWHEARRSERKVHDLMDAARRRAQRRAAYLARRRGDPQQALQVSGTRCRVHRDDALYQATEDQQGLIPWNGKQDVLIDRFDGRALLDFIRDSSSRPFRVQEKSEEEEELEEFVNFERYRDLIKHRRRGCRYLFVSDDAGLQHVVQELEAKALLPFSFDKPQSSQPPASKGAYSQVGYSYKGEGNEESEDLDSDDDDEEEEDEDDDKDFSSDDSSDERMESIAKEFGIKRYNWLVYKDKKNKEEEKRQKEIVKGDPSIKKLSRRERRKASQIEREKEREAARSVGRVSYHDPYREQRRSPSYEAYSRGRRSRSRSRSRSPSHSRRHGRGIHAESNYRSKPKAPRIEYITEFGGSDDTSEPKVSGISPPSSPIRIDIPNRLSGGQILEALHSDPASSLSVEQERTAKLLKPPPSTSSALAKLSKGASGGTGKTPQTEKKETPQERLKRIMSKQLNKQIKKDTAAEIAKKREQERQRQEKLAEVGRYRHRSRSRSLSRSPPRFVDLNRSHFHP >Sspon.01G0060400-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:78091155:78094063:1 gene:Sspon.01G0060400-1D transcript:Sspon.01G0060400-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MCPAAATTSSAKPSKKRKQPVVAPPESDSEEESVYDTASDDGEEEEERQELESEDEDEEVEEGSEDDEEGEYDDESEVEEKEKEEEVKEKKEKKDKKEEEKKAKKDKKQEEKKAKKDKKEDEKMAKEDKKEDEKKAKKKSEGSGILSNKLFSELPISELTAKAIREMNYTHLTQIQARSIPHLLEGKDVMGAAKTGSGKTLAFLIPAIELLYHLHFSPRNGTGVIVVCPTRELAIQTHNVAKELMKYHSQTLGYVIGGNNRRSEADQLAKGVNLLVATPGRLLDHLQNTKSFIYKRLKCLVIDEADRILEQNFEEDMKQIFKRLPQNRQTVLFSATQTPEVEKFAKLSFEKNEESKEKPVYVGVDDDKSKVRN >Sspon.08G0003270-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:7056170:7061201:-1 gene:Sspon.08G0003270-4D transcript:Sspon.08G0003270-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASYFNHSSSSYPPPPPPPGTSPYGAYRHAYPPAPAPPAAYGAYYDRAEQALPARDELRTLFIAGLPADAKPREVYNLFRDFPGYVSSHLRTGKSSQGMVFDLEKNCSLHVDLAKSNSRSKRLRSDDTSPYSPEKRTRKPRGFPDSGAGSNIYISGMGNSSHSLSGYPSAQSYTSLESSTSLSKDPSTFAPQNNPPSCSEQELIDVFSSCAGFVKLKMQNKLGAPVAFVDFKDAFSSTEAINRLQGVILYSSPGEGIRLEYAKSRMGLRKRDKHP >Sspon.03G0016100-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:81975018:81977594:-1 gene:Sspon.03G0016100-1P transcript:Sspon.03G0016100-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFVSATAAAPATLFLSVLSAPQGAGACVLPQRRQPFLAPLHAAKGPNSAPVVLESKAKGKKKGSGAGNLAGALDVEIREAQEYLDSDEQDPVPDNFPFEIIDEEGMSVVILRRDYKDEKIEVTVSMPNLDGGPEFDDEDGEGDGESAVKDDEEAEEDDESAGDSSISLKVVVSKDSGPKLEFTCTAFREEITIDDMLIVEETEDDGEEKFPYEGPEFTELPVNVQKGLFKYLEQRGVTLPATNYMHDYMVTKQAQEYIRWMTKLKDFVKQ >Sspon.05G0002000-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:6560706:6561496:1 gene:Sspon.05G0002000-1A transcript:Sspon.05G0002000-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGAAGQILVPILGIVAAAAVTFYTVSFMEMRDKSFEELDEKYSEFDESGGRQRRSRRRAERDRKRAK >Sspon.02G0001600-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:5340907:5342274:1 gene:Sspon.02G0001600-1A transcript:Sspon.02G0001600-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGGDVVQPRGGGRRRHKAAACLPLDVIAEIAARSDAATLGRAPPHRQGPKPARPAPTPRHRPVPPPPPARPPGGDHDQRGRQGKTTVYLVDTTAADTTRLVEATFASAAAEAETLTDPLPVDSRGGLVLIRATATTGPPWQRHDQHQLLVCCNPATAPFPNVGYNPEQYVLLVGDGYDGEVGAGAGAAVGRPFQVLKAKLVLSNSNRRLMVQTFSSLHGAWSPFTEMPTPNITREVLVVGDVVHWLCLTDVGSYVLMLHRNGSPGELPPYLLATDSADGSPVVLVADAENIRAWEQEAMLRFDDEMANIFGEEGTTGARMTCFPELVWFGERSGALLFALVGSSLQEDRQVLLLRSSDVRRKNVLSLRGGFFVLGAYIQ >Sspon.01G0046940-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:112794749:112796927:1 gene:Sspon.01G0046940-2D transcript:Sspon.01G0046940-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDQQALPVPVPVPPNPNPTAPGDPTPPSASAARKLPIKRRSPRPSSSPPSSSSPASSDPLRAPAPGGGGSDQQQPPFKFQRIWSESDELRFLQGLLGCGAQGLVFPRDLNVFYDRFSESMPQPYTRAQLSEKLRRLKNKFRSMSARVAGALTRLASRRTTATCSTSAPGCGTPPTPPRRPSRPAPELPGTSAAAPTRGAHRSLPQMRLGIATPMTTMGLAPLLPACFRMVPMARICFTLSKKAGTSAIMKPEAVVTLPNGNNGIGNEMNVECKMVVPCSNEHPMANAVLDVFEECLREAKSNGIIMVAMWTGGLRKSLNASLIASLQCLHSACYIEHESFASACSLVCSASTLPPHHAHLQCPLAPMASPPSACLQPPSLRAQQSSDRGRWSCLGWQIRSGGIAPFPSLLVAACGSKLQGGDSLLCAMLPGLVSSVQEIHGFGCVGSSVTMALALGIHGEQEEAGRTCGLVAIQNEMLEQKRNRGHCCHLR >Sspon.07G0005370-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7B:12527431:12528028:1 gene:Sspon.07G0005370-2B transcript:Sspon.07G0005370-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AGATRRQKKPKKLRRVWWQVKKLHKATAELYTPFAPFVRLVKEIT >Sspon.01G0012520-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1A:33970961:33971288:1 gene:Sspon.01G0012520-1A transcript:Sspon.01G0012520-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GVSEEEW >Sspon.02G0002630-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:8091891:8104637:1 gene:Sspon.02G0002630-3C transcript:Sspon.02G0002630-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MANLGGGAEAHARFKQYEYRANSSLVLTTDSRPRDTHEPTGEPETLWGRIDPRSFGDRAVQNKPPELQEKLSKSRTNKSKRDAAAAVDPDLPRRDAKRRRRAASAQEVSVLSLTDDAVYKPQTKETRAAYEALLSLIQQQLGGQPLDVLAGAADEVLATLKNDKVKNPDKKKDIEQLLNPISSQLFDQLVSIGKLITDFHDAAAGDAAGAPSADAMDTTLDDDVGVAVEFEEDEDEESDFDQVQDELDEDDEDELNGPGGMQMGGELDDDDMQNANQGLAVNVQDIDAYWLQRKISQAYGDGDIDAQQSQKLAEDILKIIAEGDDRDVENRLVMLLDYEKFDLIKLLLRNRLKIVWCTRLARAEDQEQRKKIEEEMASDPSLAPILEQLHATRASAKERQKNLEKSIRDEAKRLLNNDAAAGADGARDRRAAERDMESGWLKGQRQLLDLESLSFQQGGLFMANKKCELPTGSFRTPHKGYEEVHVPALKAKPYETGEKIVKISDMPEFARSAFDGMTQLNRVQSRVYDTALFKPDNILLCAPTGAGKTNVAVLTILQQIGLHMQDDGQFDNTKYKIVYVAPMKALVAEVVGNLSKRLAGYNVTVRELSGDQNLTKQQIDETQIIVTTPEKWDIVTRKSGDRTYTQMVKLLIIDEIHLLHDNRGPVLESIVARTVRQIETTKEHIRLVGLSATLPNYEDVALFLRVRKESLFYFDNSYRPCPLAQQYIGITVRKPLQRMQLMNEICYEKVMAAAGKHQVLIFVHSRKETAKTAKAIRDTALANDTVSRFLKNESASQEILGTHAELVKNNDLKDLLPYGFAIHHAGMARVDRELVEELFADKHIQVLVSTATLAWGVNLPAHTVIIKGTQIYNPEKGAWTELSPLDVMQMLGRAGRPQYDTHGEGIILTGHSELQFYLSLMNQQLPIESQFISKLADQLNAEIVLGTIQNAREACSWLGYTYLYIRMLRNPTLYGLPADILESDKTLDERRADLIHSAANLLDRNNLIKYDRKTGYFQVTDLGRIASYYYISHGTISTYNEYLKPTMGDIELCRLFSLSEEFKYVGVRLDEKMELAKLLDRVPIPVKESLEEPSAKINVLLQAYISRLKLEGLSLSSDMVYIRQSAGRLLRALFEIVLKRGWAQLAEKALNLCKMVDKQMWSVQTPLRQFTGIPKEILMKLEKKELAWERYYDLSSQEIGELIRYPKMGRQLHKCIHQLPKLNLSAHVQPITRTVLGFELTITPDFQWDDKVHGYVEPFWVIVEDNDGEYILHHEYFMLKKQYVDEDHTLNFTVPIYEPLPPQYFIRVVSDKWLGYNVTVRELSGDQNLTKQQIDETQIIVTTPEKWDIVTRKSGDRTYTQMVKLLIIDEIHLLHDNRGPVLESIVARTVRQIETTKEHIRLVGLSATLPNYEDVALFLRVRKESLFYFDNSYRPCPLAQQYIGITVRKPLQRMQLMNEICYEKVMAAAGKHQVLIFVHSRKETAKTAKAIRDTALANDTVSRFLKNESASQEILGTHAELVKNNDLKDLLPYGFAIHHAGMARVDRELVEELFADKHIQVLVSTATLAWGVNLPAHTVIIKGTQIYNPEKGRPQYDTHGEGIILTGHSELQFYLSLMNQQLPIESQFISKLADQLNAEIVLGTIQNAREACSWLGYTYLYIRMLRNPTLYGLPADILESDKTLDERRADLIHSAANLLDRNNLIKYDRKTGYFQVTDLGRIASYYYISHGTISTYNEYLKPTMGDIELCRLFSLSEEFKYVGVRLDEKMELAKLLDRVPIPVKESLEEPSAKINVLLQAYISRLKLEGLSLSSDMVYIRQSAGRLLRALFEIVLKRGWAQLAEKALNLCKMVDKQMWSVQTPLRQFTGIPKEILMKLEKKELAWERYYDLSSQEIGELIRYPKMGRQLHKCIHQLPKLNLSAHVQPITRTVLGFELTITPDFQWDDKVHGYVEPFWVIVEDNDGEYILHHEYFMLKKQYVDEDHTLNFTVPIYEPLPPQYFIRVVSDKWLGSQTILPVCFRHLILPEKYAPPTELLDLQPLPVSALRNARYEGLYSAFKHFNPIQTQVFTVLYNSDDSVLVCANWQWEDDMCGVCYTKEPPEGSVW >Sspon.08G0018040-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:60324377:60329788:1 gene:Sspon.08G0018040-2D transcript:Sspon.08G0018040-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRGGLTRNLSEEEVWTLKATGGTGAGLQGAEAEVGAALGQGGTGAGALGLTGLDVLVPCCMDTARHVVGLNFDFLVLNLTKHSSYLIYNAALFFSPFIQQQYHDKYGDKEMIPVAANDVAFSLHAVALTAFTVFQVFIYERGTQKVSKVCISISAIVWTAALVCLIIAWPRSDWLWLIDVFNSIQVGMTAIKYIPQAIMNFRRKSTIGWSVGNILLDLTGGVLNFCQMGVQSIDQHTLVNFYGNIGKTLLSLETVFFDVLFIIQHYVLYPVKRDEHGKAIISERVAPLIRPSDKPEEDNTISLFSPLK >Sspon.04G0019110-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:61460015:61470542:-1 gene:Sspon.04G0019110-2C transcript:Sspon.04G0019110-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSLGQERKRKKRAQTKQHTGGAKTPVHRHERDRRLPSDAPARTLARSPHHPRPQAAAAAAAARTPLPTPAGTPEPRIESPTPSIPAARLSPPFFYNLPSSRESGAFSPSPRSLPTEIPRRHGVVTAAEAAPWSGSPCPPDDRPESSAAAAAQQRPLEWRFAQVFGERAAGEDVQEVDIISAIEFDKSGNHLATGDRGGRVVLFERTDISDNASRRELERQEYPVARHPEFRYKTEFQSHEPEINKIKWCQTANNALFLLSTNDKTIKYWKVQEKKVKRVSVMNLDASQSSDNGSTSSPGTSSCRALLPNGGCSEKMYSPNNNISFPPGGCALLRLPVVVTGQEFNHVARCRRVYAHAHDYHINSISNNSDGETFISADDLRINLWNLEISNQSFNIVDVKPANMEDLTEVITCAEFHPTHCNTLAYSSSKGTIRLIDLRQSALCDNHAKLFEEHEAPGSRSFFTEIIASVSDIKFARDGRHILSRDYMTLKLWDLNMDSGPVATFQVHEYLRPKLCDLYENDSIFDKFECCLSGDGLRVATGSYSNLFRVFGCSPESTEASTLEASRNPMRRQVVNPARPARTLTSLTRAVRRGGENTSIDANGNSYDLSTKLLHLAWHPTENSIACAAANSLYMYYA >Sspon.05G0016940-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:70626110:70631254:1 gene:Sspon.05G0016940-1A transcript:Sspon.05G0016940-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGGSSLPSPSATPACSRSWSISEDSLRRYVSYASESCIQELLAASDSGRGTDGDGDDGWKVLVYHNGVEISKRRTGPAHVFRSRWLLQDVSPEQFMVVANAVDAAKQWESDQLVESSYIRELGDDLSIIHLKFGDSSSSSSSTSRRPARPARRRDLVVYERRQAMDDGTLVVAVAALPKEIAAGLLPGGGSVVVGRSLLLQSGWVVERLDGDAGSCVVTYVVQLDPAAGWLPRCIVSRLNSKLVMVIAKLRRIAQATVPAAAG >Sspon.02G0043250-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2C:69183971:69184330:-1 gene:Sspon.02G0043250-2C transcript:Sspon.02G0043250-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQAIECHRAGAEVFTGDATCRKKSVELLEEFGLPNGILPLEDIQEFGYNRHSGFIWLVQGKKVEHTFKKIKRTASYATEVTAFIEKGKLGKITGVKIKELMLWISIVKVYVPEASPEK >Sspon.07G0006200-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:16200553:16206004:1 gene:Sspon.07G0006200-1A transcript:Sspon.07G0006200-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatidate phosphatase PAH1 [Source:Projected from Arabidopsis thaliana (AT3G09560) UniProtKB/Swiss-Prot;Acc:Q9SF47] MNVVGRVGSVISQGVYSVATPFHPFGGAVDIIAVEQPDGSYRTTPWYVRFGKFQGVLKGAEKVVTITVNGVEANFHMQLDNSGQAYFMRELVPGGQDSGTSSEEEAVNEPEPPARSKSDGDLYIGPSDRLGSQELDVEHQEKQTRDEFESYDGYGRLEESEDLPTQADGGNSEVVLVSVDGHVLTAPISSTEEGMEDVQLSDPQFHLGPGQSSSGDFTRSGEVWDAGILDDLYISQEKVKFNSHQSEVLIENGEVPVEKDGSHHISVDKDEAHVSVNEDEVHAVSTNEDGAHAVSTNDDEAHAVSTNEDEVQDVSRSGNSDVVYQTMTSEGESYGILGDIDVGYQTLTREDDSPGVSGDNVVGYQPLTNEYKAHDILENNNEDQPPLTNEDESCDVPVLEKTKDCNSPTNKDEVCDLNNEDTELEDTGASFGKNDTFQSCLDLTSQIDDGDSGNELFSPGSDYQRDSELSLGNCSVAETDLVEGETKTAYCGQYGPLQEGVDVSTFTSEVDKIQNKENSSPEGGSHGRDKEIASEIEAAGSDGLQSSMATSGKDKLGSIPEHPEAEEEQNKEEHSQSQKGLGVEISLCGNMLRPGMGRESAKEAFQQHLVHEEDFKSSGSTIIKNANLIVKIDNNYFPWSKVSHVILGKAVFGSKFSIEPTDAIPVEHQETPNSREDSLGMSPSSRRWRLWLNPFRITRSLQRSNSDSSEDIFLDSESVLSPMDEQTLENNKSQPPRKQFVRTLIPTNEQVASLNLKEGQNLVTFSFSTRVLGKQQVDAHIYLWKWNAKIVISDVDGTITRSDVLGQVMPLVGRDWSHSGVARLFSAIKENGYQLLFLSARAIVQAYLTKNFLFNLKQDGKALPNGPVVISPDGLFPSLYREVIRRAPHEFKIACLEDIKALFPSDYNPFYAGFGNRDTDELSYKKMGIPKGKIFIINPKPLKSHPMGSQGEVAVNSSVDVKSYTSLHTLVNDMFPPTTLVEQRPGR >Sspon.01G0025530-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:90625029:90627105:-1 gene:Sspon.01G0025530-2C transcript:Sspon.01G0025530-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHVLVVPFPAQGHINPMAQFAKRLASKGVATTLVLTHFIARTSVVDAHPAVTEAISDGHDEGGFASAAGVEEYIEKQAVAASASLALLIEASASSADAFTCVVYDSYVDRVPPVARRMDLPAVPFSTQSCAASAVYHHFSQGRLAVPPPPSPATDGGDGGAADARSEAFLGLPEMERSEFPSSVFDHGPYLRIAKQALKQFAHEGKDDWVLFNSFEDLEGQVLAGLTNYMKARAIGPCVPLPAGETAGATGRRITYGANLVNPEDACTKWLDTKPHRSVAYVSFGSFAFGSFAFLDKHLVPRHLLDEATASDAAMVVPWCPQLDVLAHPAVGCFVTHCGWNSTLEALSYGVPMVAMALWMDQPTNARNVELTWGAGVRARRDAGAGMFLRGEVVRCVRAVMDEGEEGSAVRDAARKWRDKARAAVAAGGSSDRNLDEFVKFVCAGGTEKCKALVTHKSVISTR >Sspon.04G0017640-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:4674936:4681225:-1 gene:Sspon.04G0017640-1P transcript:Sspon.04G0017640-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLSSSSGSVLPAQSGSSEAVEEHKCLNSELWHACAGPLVSLPAVGSRVVYFPQGHSEQVAASTNKEMESQIPNYPNLPPQLICQLHNVTMHADAETDEQELKDPYLPAELGSANKQPTNYFCKTLTASDTSTHGGFSVPRRAAEKVFPPLDFTQQPPCQELMAKDLHGNEWKFRHIFRGQPKRHLLTTGWSVFVSAKRLVAGDSVLFIWNDNNQLLLGIRRANRPQTVMPSSVLSSDSMHIGLLAAAAHAASTNSRFTIFYNPRASPSEFVIPLAKYVKAVYHTRISVGMRFRMLFETEESSVRRYMGTITGISDLDSVRWPNSHWRSVKVGWDESTAGERQPRVSLWEIEPLTTFPMYPSFPLRLKRPWPTGCLLCMDDDLANSLMWLRDTANPGFQSLNFGGLGMNPWMQPRLDASLLGLQPDMYQAMATAAFQDPTKQISSPTMLQFQQPQNIAGRATPLLSSQILQQAHPQFQQQPYLQNISESTIQAQGQSEFLKQQLQRSQSFNEQKPQLQPQQQQQESQQQQQSQCLQVPQHQQMQQQNMTNYHSVSNALSAFSQLSSASQSSPVALQTILPFSQAQSFTDTNLIVSIQHQHQHHAKYTEAILIRSSFSPQHAEATAIPVPDPWSSKRVAVESLLPSRPQVSSQMEQLDSTQASIPHSSALAPLPGRGCLVDQDVNSDPQNHLLFGVSIDSQSLLLQGGIPGLQNGNDSTAIPYSTSNFLSPSQNDFPLDHTLNSSGCLDDSGYVPPCSDNSDQVNRPPATFVKVYKSGTYGRSLDITRFSSYHELRRELGRLFGLEGQLEDPLRSGWQLVFVDREEDVLLVGDDPWQEFVSTVSCIKILSPQEVQQMGKQGLELLSSAPARRLGSSCDDYVSRTQESRSLSTGIASVGSVEL >Sspon.05G0024180-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:12981938:12984545:-1 gene:Sspon.05G0024180-1B transcript:Sspon.05G0024180-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLVWSGACPFLWVIGATGRSAPTPPRTRSDPEGVAAWSTTPGPDVFQWEATPPPPTPSRAPQQLSVITPFAFSFVLHSTSRPHERRERKRRECRSSGNASGERWGRRVEDWEPRTRRTPRDLHFPPFERTGDFRCDSSDFAAVGGVKSAHRVPGNRNCLGIRQETTQ >Sspon.04G0016860-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:65161842:65164047:-1 gene:Sspon.04G0016860-2B transcript:Sspon.04G0016860-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding YFEGYGFRGSTFEQTYRCYPASFIDKPQLEAGDKIIMPPSALDRLASLHIEYPMLFEVHNAAAERTSHCGVLEFIAEEGMIYMPYWVCQDLGYCCTSLLNHDVNKANFMWSSDDAKSSSARGRYGVHKKRQPPKGTYVKLQPHTTDFLDISNPKAILEKTLRNFSCLTTGDSIMVAYNNKKYYIDIVETKPSNAISIIETDCEVDFAPPLDYKEPEPVKPVVPASTEPTTGNALVLYITGCDYCSPYVFFSCKLFCAFFSEAPAEEPKFIPFTGSGRRLDGKPSKDKDVLAPSPAKRQANATNGVQPSTATTSQGSSSRKTTGKLVFGSGSGGSRAEK >Sspon.01G0029730-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1A:103234323:103236634:-1 gene:Sspon.01G0029730-1A transcript:Sspon.01G0029730-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYQAIAKQKLPKMAYDYYASGAEDEWTLKENREAFSRILFRPRILIDVSKIDMTTSVLGFKISMPIMVAPTAFQKMAHPDGEYATARAASAAGTIMTLSSWATSSVEEVASTGPGIRFFQLYVYKDRKVVEQLVRRAERARFKAIALTVDTPRLGRREADIKNRFVLPPFLTLKNFEGLDLGKMDQANDSGLASYVAGQIDRTLSWKSPALRNRHDTLNRTDVATSVELQYNAARLAVHSGAAGIIVSNHGARQLDYVPATISALEEVVKAAQGRIPVYMDGGVRRGTDVFKALALGAAGIFVGRPVVFALAAEGEAGVRNVLRMLRDEFELTMALSGCTTLADINRSHILTEGDRLRPAPRL >Sspon.04G0019360-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4C:73918139:73919664:1 gene:Sspon.04G0019360-2C transcript:Sspon.04G0019360-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGLTECGWLMLLVAITHQAFASAWKTACSLDNAVFLVPAGRRYKVGAIQFVGPCKDNRMIIQIQGTIVAPEEPSEWDPRSPRLWLLFSGLAGARIQGGGVIDGSGSKWWANSCKINKSNVRTSISALFHCSAPLFLHCLAPLTTRCSRRRRRRPLLLQPCRGAPTAVTIDSCRGVRVRGLRVQNAQQMHVTVSRSRGVRVGGLRIDAPEDSPNTDGIHVAESTAVTIQSCRIGTGDDCISIVNGSFGVKMRNIDCGPGHGISIGSLGKGGAFAAVANVALDGARISRAQNGVRIKTWQGGAGYVRAVRFANVAVDGVDHPIVIDQFYCDAATCPCANQTSAVAVSDVSYRNISGTSRRAEAIRFACSDAVPCTGIVLSDINLGRADDDGEVQTVCNCAVGFDYGRVQPAADCLRSSTCGGAPDKHPDDEDDEQGKDEAV >Sspon.05G0023680-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:16785235:16788012:1 gene:Sspon.05G0023680-2D transcript:Sspon.05G0023680-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPARQALMGAPPSAARSRGHPRHALRRSAAALFLATLVALPFAVLYRAAVWRSLGASWGWDSLPSFVASQEEGAEGDDLDSEGLKLEQVLKKVSMRDNTVILTTLNAAWASPGSVIDLFIDSFRCGVRTNLLLKHLVIVAFDWKAYEQCVKIHPYCFALGTEGVDFSEEKRFLTSGYLEMMWRRLDFLRLVLEKGYNFIFSDADIMWFRNPFPHFYPDVDFQIACDHYVRNATDLRNIANGGFSYVKSNERSIEFYSFWYSSRLRYPGYHDQDVFNAIKHDPYIVDIGLTIKFLSTKYFGGFCEPSRDLNEVCTMHANCCIGLRSKIHDLRIMMEDWRSYLSLPPNLKRLQISAWRVPQNCRAVKGLRLIR >Sspon.04G0001240-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:5616659:5622680:-1 gene:Sspon.04G0001240-2D transcript:Sspon.04G0001240-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LAPVAARGSPEHDKQQQREDDRILGLPGQPNGVAFGMYGGYVTIDDHNGRALYYWFQEADADEPGGAPLVLWLNGGPGCSSIGLGAMQELGAFRVHTNDERLLLNEYAWNKGCGSGLSGETLTEHGHHWIGYDISKSMLDVALERETEGDLLLADMGQGLGLRPGVVDGAISISAVQWLCNADKSSHDPRLRLKAFFGSLYRCLARGARAVLQFYADNVKQSEMIVTAAMRAGFAGGVVVDWPHSSKAKKSYLVLTCGPPSVNTSLPKGKGENGEMCSDDDDNESSDEDGDRTVGIYERNRPKKRQKTKKNGKGKDWLLRKKEQMRRRGHDVPADTKYTGRKRKSYF >Sspon.01G0004330-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:11257891:11262987:1 gene:Sspon.01G0004330-2C transcript:Sspon.01G0004330-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVLTCVIESMGSSCSRSHSFDETEAAENAKSADIDRRILQETKAEQHIHKLLLLGAGESGKSTIFKQIKLLFQTGFDEAELKSYTSVIHANVYQTIKILYEGAKELAQVEPDSSKYVLSPDSQEIVEKLSEIGVRLDYPSLNKERVQDVRKLWQDPAIQETYSRGSILQVPDCAQYFMENLDRLSEVDYVPTKEDVLHARVRTNGVVETQFSPLGESKRGGEVYRLYDVGGQRNERRKWIHLFEGVNAVIFCAAISEYDQMLCEDETKNRMMETKELFDWVLKQRCFEKTSFMLFLNKFDIFERKIQKVPLSVCEWFKDYQPIAPGKQEVEHAYEFVKKKFEELYFQSSKPDRVDRVFKIYRTTALDQKLVKKTFKLIDESMRRSREGT >Sspon.01G0023410-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4B:27278683:27278994:-1 gene:Sspon.01G0023410-2B transcript:Sspon.01G0023410-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKENECIGRLRLWQVFFANPYEWWDNRQSKPWPTYPDFKHKDTREKIWLRPDDPPWVRKQLELHDLEVAENGHRGNSRLLKSPNWKAQDFDYSDDVQHCAEA >Sspon.05G0005330-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:17146250:17147895:1 gene:Sspon.05G0005330-1A transcript:Sspon.05G0005330-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTHAQRMMMANRGAFVVVFIVLCSLPVLPLQACATKKPLVPAMFVFGDSLVDVGNNNHLPNVNNSCKANYSPYGVDYPGHSPTGRFSNGYNLADHLAQQLRFAESPPPFFSLTNAKARRFSRLTSTGGINFASGGSGLLDTTGGSNVCGGQVVSMAEQVGNFKSLVRTWESKKRKQRAAAADLISNSLVFISVGSNDLFEYSDFFADPNHDPNVTRNDPEFLQQLVGLYANYVKDLYAAGATKFSVVSPSLVGCCPLQRKIAKESNAMDGSGCFSTANNLSRLLYPMIDSMLQNLSQNELPGMKYSLGDAVGMAQYILGHPRTPPNNFTKPPYQPCCGSGEFGETGCNDSVPLRDDRSSFFFWDRYHPTETVSAITATQLFSGNSTYVHPVNVQQLVASAS >Sspon.03G0021350-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:84038300:84038947:-1 gene:Sspon.03G0021350-2C transcript:Sspon.03G0021350-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFLPIAVLLSLLVTGATAGGYGSQTPSPSTAAPYTPTPATPPPPTPTPAPYTPQPATPPKPAHGYGGDDKKLVVVRVEGLVVCQSCAKRGSQSLDGAAPLPGANVTVTCRDRKNRVMAYRRRVADYNGYFHAEFGAQRADGYLDKDPRGACFVRLLSSPDPKCNILTNIHDGLEGAPLRDEGKQWIDGRGFRNVVYAAGPLAFRPRKCEPTYHY >Sspon.05G0027140-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:49474892:49479256:-1 gene:Sspon.05G0027140-1B transcript:Sspon.05G0027140-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSQLHSTLLLLPLFAAAAWGRCGGGGASTAPSLEFHHRFSAPLRRWAEARGRALPGGWPAPGSAAYVAALAGHDRHRAVLGAGGGSSGTPPLTFAEGNATLKVSTLGFLHYALVTVGTPGQTFMVALDTGSDLFWLPCQCDGCTPPATAASGSATFYIPAMSSTSKAVPCNSNFCDLQKECSTALQCPYKMVYVSSGTSSSGFLVEDVLYLSTENAHPQILKAQIMLGCGQTQTGSFLDAAAPNGLFGLGIDEVSVPSILAQKGLTSNSFSMCFGRDGIGRISFGDQGSSDQEETPLDINQQHPTYAITISGITIGNKPTDLDFITIFDTGTSFTYLADPAYTYITQSFHAQVQANRHAADSRIPFEYCYDLSSSEARFPIPDIILRTVTGSLFPVIDPGQVISIQEHEYVYCLAIVKSMKLNIIGQNFMTGLRVVFDRERKILGWKKFNCYDTDSSNPLSINSRNSSGFSSTENYSPQETRNPGGVSQLRPQNNSSSAALYDSLLMMLILVHLAI >Sspon.06G0019460-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6B:2689912:2690616:-1 gene:Sspon.06G0019460-1B transcript:Sspon.06G0019460-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAGALAYLHSAAEIPIFHRDVKSSNILLDDNFTTKVSDFGASRSLSLDETHVVTIVQGTFGYLDPEYYHTGQLTEKSDVYSFGVILVELLTRKKPIFINDLGAKQSLSHYFIEGLQEGALMEIMDPQVVEEADQEEIHDIASLIESCLRSKGGHRPSMKEVDMRLQLLRTKRLRKAYILVEKDGEMESFMCPGAQNLHEQIDLVNATQLTVPMASGCYSLEQEFVATSSMPR >Sspon.02G0016270-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:38438565:38444350:1 gene:Sspon.02G0016270-4D transcript:Sspon.02G0016270-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLFRESRRDSSHSSSSSNGFLPPAAASTPSSAASALPSPFPDLGVALSAADLREAAYEVLVAASRTTGGKPLTYIPQSSSVAAAGPPASPASSSASSAALQRSLTSAAASKMKKALGLRSSASSKGVGSPGSGGKAATPRRPATVGELMRVQMRISEPADTRIRRGLLRIAASQLGRRAESMVLPLEFLQQFKASDFPDPQEYEAWRSRNLKLLEAGLLVHPLVPLNKSDSSVQRLRQIIRGAYDRPLETGKNSESMQGLRTSVMSLAGRSHDGTSDGCHWADGFPLNLHLYQMLVEACFDNDEGTVVDEIDEVMELLKKTWVILGINELLHNLCFTWALFNHFVMSGQVDIELLSAAENQLAEVAKDAKTTKDPNYCKVLSSTLSSIMGWTEKRLLAYHETFNTSNIESMQGIVSIGVSAARVLVEDISHEYRRRRKEATDVARSRVETYIRSSLRTAFAQRMEEADSKRSSRNPTPVLSILAKDIGDLAMKEKNLYSPILKTWHPLASGVAVATLHSCYGNELKQFVAGLTELTPDTVQVLKSADKLEKDLVNIAVEDSVDSDDGGKSLIREMPPYEAENAIANLVKVWIKERVDRLKGWVDRNLKQETWNPGANRDNFAPSSVEMLRVIGETLDAFFQLPIPMHPALLPDLTAGLDRSLQLYVAKVKSGCGTRNSFMPQLPPLTRCEVGSKLLFKKKEKPQNLQVRVSQNGAANGNDPLGLPQLCVRLNTLQYIRVELENLEKKIKTSLRNVESAQADITDGLDIKFELCQAACQEGIQQICETTAYKVTFYDLGHVLWDTLYVGDTASNRVEVLLRELDPVLETISGMVHNKVRNRAITALMKATFDGFLLVLLAGGPLRAFTRQDSQIIEDDFRALRDLYLADGDGLPEELVDKASSQVKNVLPLFRADSESLIERFKRMMVESNRSASKNRLQMPPTTGHWSPNEPNTVLRVLCYRSDETATKFLKKTYSLPKKI >Sspon.04G0018740-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:3568442:3582002:1 gene:Sspon.04G0018740-4D transcript:Sspon.04G0018740-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVGQTEHLSKQMSGQTRQMNFGGSRGLQQHQPLQVASRHTGMDPLFSRMRFEMRHKILDWLRKKMKPADSQSLEKLAEKLEEELYRLYSKADYHVMLKEQIEPRLQVAIRSLSSQGHQRQQMSHQTPSSSAYITMFPTPGSISNGYQDPCINVPQNSTANSDSSAMCPVIMQQQVDHMVQAPGFSHHQILPANPIGANGAGCLNGQWNAMPLVQEQQPMPFSMNQSNYLLQHLGTHVGSGVDSRILENFSSYGSSDAQINGAMGSSNMQLSNRAAAQKEFTNLSYYGSLPEKSLQREFNRHPLQGTQTSLGVSRNCYGAISATLTPTGNQNMDATNMLSTSRTKSALLASQTTNQTLHPKPLIKSEVLDQTEKVNFRESQLPCKQIFQEQHYFEPNRPCSLFVREWKLSSGRDEQISSQGVLPYNNEPIKANESLRRYCDQTNKRDAIERSFNGVHGDTIDIKLVKAETFDDQPPVSKRLRLQPMLPNVSDSAYISFPQACPDFVSQQAQPKHLGQDKRIYPKQKLKIETDMQPPPKLEITRSGTVWKIGAVESYAIPGVSNHLDSHVKQQYCLPNKGTNEGVIDIKMNASGSTDVLLSKTGKKKRKGISLLESLTLEQIYEHAHSTIQWVGQSKAKAEKNQLLGQWENVNSCQLCKVEKLFFEPPPKFCSPCGARIKKNAPYYSGTITESGPYYFCLPCYNESRSDSILVDSIQFLKSKFEKKRNNDEFEEAVPGAEGLVVRVVSSVDKNLKVKPKFLELFPEENYPIEFPYKSKAILLFQRIDGVEVCLFGIYVQEYGAECAFPNQRRVYLSYLDSVKYFRPEIQTVSGEALRTYLSMIRKATDEGIVVELTNLYEHFFNSETDCKAKVTAARLPYFDGDYWPGAAEDIINQIFLPEYEKNLRKGKVKKTITKRALKAAGLTDLSGNASKDAMLMQKVEIDGVPKDTKDRDGILESEFFDTRQAFLSLCQGNYYQYDTLRAAKHSSMMLLYHLHNPTEPAFATTCNVCKNDIKTGEEWRCKECDYDECDACYKHNGGTKHVHKLTKHPAGADRDAHQKKSADMAQTMLRLVVHAAACRGPCQYLNCRKLKKLSCDWKQAHKGAFEKDATAATAV >Sspon.06G0009380-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:40550280:40556330:-1 gene:Sspon.06G0009380-2B transcript:Sspon.06G0009380-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPYGTAAEAEAALGRGLTWAEATWFRYSAAMPDHWLLWHNTLFLFLAHVLVPLPLALLERLAPAFAMRYKLQPGVRLSQAAVARYFTDTVRVYLLVLVPYQLISYPVVKMAGIRMGLPLPSVGEIAVQLVAYSLVEDYLYYWIHRFLHTGWAYDRIHHVHHEVTAPTSFATSYSHWAEVVVLAVPMFVGPTIAPCHVVTHWLWLTVRLLEAINNHCGYSFPFDPTKLIPYHGGAEFHDYHHFVGRQSNSNFSSFFTYCDHIYGTDKGYKYYKANIAKVKTKVAKNNTEKEGRNGFSSTKLD >Sspon.04G0037500-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:72906429:72909439:-1 gene:Sspon.04G0037500-1D transcript:Sspon.04G0037500-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHKLPQLLGWIGGQPKIQGLFVRRLAAHQLVRVVVPLVGDEVGEHRGRQFPLPLHLTPLISLIQGNQGCMRFRHKGLQNEDKLEIMFEDLRNTGDDAWCASSGVPPSPVNVDEEDEDNIDGDSEPEAVTPTSVTEKRAEGQLPTKERNLRQLQVGHDFAI >Sspon.08G0014350-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:58896515:58909084:1 gene:Sspon.08G0014350-1A transcript:Sspon.08G0014350-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Starch synthase, chloroplastic/amyloplastic (Fragment) [Source:Projected from Arabidopsis thaliana (AT5G24300) UniProtKB/TrEMBL;Acc:W8QN76] MATPSAVGAACLLLARAAGPGRGDRARPRRLQRVVRRRCVAELSREGPAPRPLPPALLKPPLVPAFLAPPPEPTGEPASTPPPVPDAGLGDLALEPEGIAEGSIDNTVVVASEQDSEIVVGKEQARAKVFVDHPSYHRPGNLYGDKFGAFGDNQFRYTLLCYAACEAPLVLELGGYIYGQNCMFVVNDWHASLVPVLLAAKYRPYGVYKDSRSILVIHNLAHQGVEPASTYPDLGLPPEWYGALEWVFPEWARRHALDKGEAVNFLKGAVVTADRIVTVSKGYSWEVTTAEGGQGLNDLLSSRKSVLNGIVNGIDINDWNPATDKCIPYHYSVDDLSGKAKCKGALQKELGLPIRPEVPLIGFIGRLDYQKGIDLIQLIIPHLMREDVQFVMLGSGDPELEDWMRSTESNFKDKFRGWVGFSVPVSHRITAGCDILLMPSRFEPCGLNQLYAMQYGTVPVVHATGGLRDTVENFNPFGENGEQGTGWAFAPLTTENMLWTLRTAISTYREHKSSWEGLMKRGMSKDFTWDHAAEQYEQIFQWAFIDRPYVIKLKDEKTPIHYMEGRPATGSIAPMSSW >Sspon.01G0025140-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:89008703:89010415:-1 gene:Sspon.01G0025140-1A transcript:Sspon.01G0025140-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSDPKPGVADQEPIPKKAMDRQNQDKTGPLALLPDDVLADVLRRLPPRGLAASRCVCKAWLAVVDARRLLRADHLPLTLGGFFVNFYNYYISELFAPRPVSDGPSISGKHDYLPEAGCLSWGYVDDHCNGLVLVHNYPDSDWYGPLPGPADRNNFRRILVNIRRNSELWCRYVLNPATRWRARLPPCPPPPMEIKRTFQSEYLAYDPADSPYYEVVSVTRFHFVHKPRHCFYESSRDTLDPEIEQSEWPPSVYILNVFSSRAGQWEERSFAREGDSIGTVSGMRSDYPNDQRNAVYWRGALYVHCKTGCVMRISLSSDKYHVIKPPLGIEVKSGPEFYLGKSSKGIYCASIKGCRVQVWNLVESSYQMEWVLKHDRYLSKWFSKHKLEYSRPCANYGRKIQGPWASQDINYYYNCRNRDHDMEEPTEEKIGWSSQASEDEKFTWSSDDECGSCSGYMEILGFHPCKEIIFLSESITRGLAYHLNSSKVEVLGNLYPAGYEKELGNKQVLQSSFPYTPCWLTQTADNRE >Sspon.03G0009470-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:32664944:32667948:-1 gene:Sspon.03G0009470-2B transcript:Sspon.03G0009470-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTRSQTAPKPLKTVAMAPRPSRPKRKPRPKPAGKGDPRAPKKPPTAFFYFMEDFRKVYKQEKPSVKSMQEIGKACGEKWNTMAFEEKVKYYDIATEKRAEFEKAMIEYNKKK >Sspon.03G0039020-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:8933252:8937613:1 gene:Sspon.03G0039020-1C transcript:Sspon.03G0039020-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFTRWGFWRLLRDERNEREIVKPTRLFCLPTSASNHSLGTMSTARVQGRLDYLNSQEPGDESQATAIDIVERLLVEDDIGTSQKMSAEPMSGTKSASILGTKVAQCLAKRGDCCSPLQKTDIFDWADASSNDECTAVMISRKKQRIHANTQAKNLTSQRYGGSGSTTIVGSIPECNGGDSGLSSFKKPEPLGSTDDLYDAYDIGPCTQMAAEAMEALSNASTVNHVVRENAHPESSVLRTNLGKESKANKVCFEPPIQKRIGGSSRSVKKNPSKSKDKKGPKQMAGKAKGSMGSIIIEGDTNRIKGSGPSDSNIVGSDAVIHPKRKRTYTFISRSSKVQFNKAGSSTTLSSKSTGVADSSTTNQVSLSGPDLNQLARLLEKQSTSAQEDHNPSLINRVPLRELNRKRSQFRTQISKKPLKRGLVKSPGSRELASLLRNEASPVLQTSRQRRNMSKVHVLLSQSMDKETIKMQTKILIYFGLPVATTISEATHFVAEKFARTRNMLEAIAMGIPVVTPSWLECCSEARCFIDEKKYIMRDMKKEKELGFSMHVSLSRACKKPLLQGRRVLITPNAKPSKELLKSLVVAAHGLEVFDSELLLNGIVTQRLEFASSAYHVKTAVFPNQLFISEPIMQESERFDKKL >Sspon.01G0001960-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:5586102:5590832:1 gene:Sspon.01G0001960-3C transcript:Sspon.01G0001960-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADRPEAAVDARSGYCAATRTFRSKRADVPLPADADLDVVRFLASRRHAGVVALFDAATGRRVTFRDLWRAVEGAATALAAPPLSLRKGQVVLILSPNSVHFPVAALAAMSLGAVLTTANPLNTPAEIAKQVADARPVLAFTTRDLLPKLPLGAGLRVVLLEPDRLPSDPSSVVATIEEISATPPDPARRGDRRVTQDDPATLLYSSGTTGPSKGVVATHRSLISMVQIIMTRFRLEGSDKTETFLCTVPMFHVYGLVAFATGLLGCGATIVVLSKYELPEMLRSINEYGVTYLPLVPPILVAMLAHPKPLPLGNLRKVLSGGAPLSKELIEGFKERYPQVEILQGYGLTESTAIGASTDSAEESRRYGTAGLLSPNTEAKIVDPETGEALPVNRTGELWIRGPYVMKGYFKNTEATQSTLTPDGWLKTGDLCYIDEDGYLFVVDRLKELIKYKGYQVPPAELEALLLTHPEIQDVAVIPFPDREVGQFPMAYVVRKKGSNLSEREVMEFVAKQVAPYKKVRKVAFVTEIPKNASGKILRKDLIKLATSKSKL >Sspon.02G0021670-3C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2C:73645434:73647212:1 gene:Sspon.02G0021670-3C transcript:Sspon.02G0021670-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLVVPWLCLASSAAVLPALASENVDAAAAALKAEYINVNLSTASATPDKYKSFIASVRAGLVSTAESTNGSGIPVLVSEDDPLALEAFLNVTLVNKAGRSVSLKMDVSGAYFVGYEAGNYSCLLKRSGSGSGRTVSSVTCYRNLYPWGGPPTVTVDDDPAAAAAWRVEDLDEAISSLFLFPTGNATKEDLSRGLAACDVMIASAATFPYVERRMSAGMWDGNGVSNDGSLRGLQESWPELSAAVQESYQGAFAAPVHVQRSDGKWMQVDNVRRAVPLVSFLENAGCKKTTTREAVDQRLPLLIRSVVQEPDEEDMQLGRQAAAACGQAEPTVRLVGPYGRCVDVPYGLYYSGNQVQLWSCKSNGDVNQLWTLKRDGTIRSNGKCLTSSGDAAGARVVIDDCPRVPTGRVVWEVRADGTVTLKGSSRGGGLVLAVTSSTLFAGLTVRRDDRGTGQSWTPTNDTAPLDAAIVGFRDLCLQVDYAGAVSVAACGRDGVQWSVYPDGSIRPPAWLLLQWQCLAADASGRVRVKYCDWAGSACERWVFRNDGTIFNTGTGMVLDVVRPSSAGSGQVVVSKPATGSPTPTQKWALML >Sspon.07G0005210-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7A:13388881:13389198:1 gene:Sspon.07G0005210-1A transcript:Sspon.07G0005210-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRLVVANGLVRGDMSICFSMGAVADLAVGQEGLVPPLPVSRQGSPPKPLLEISAIVVQEGGATVAFRGRRRGSLAFRDEAFRFWANDFRHDTGFRRCAKAVYHV >Sspon.06G0000740-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6A:2828849:2835219:1 gene:Sspon.06G0000740-1A transcript:Sspon.06G0000740-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLTVRVIEARNLRAMDSNGFSDPYVKLQLGKQRFKTKVIKMNLNPTWDQEFSFLVGDVKDVLKLDVYDEDILQMDDFLGQLRVPLEDVLAADDLSLGTRWYQLLPKGKTNKTVDCGEICVSILLESSGASRSWSEDLGAEVTDIQRDYSLSSQSTAPSMAFAYQETETCKEDDECSVRSEIPAEDRSSEVADRNQAAAEDKPNGNSSAALNGIETSSGKTDKSDKLSFVDRVCQIFAKKNGDTVPTSSGSSEALEEVQEEASGCELSVSQTDNVCPETPFSELLKSLESRHEGVEMPVNLQGILVNQSYLASPSDLNNLLFSPDSDFKQTMVELQGCTDFKTEPWRLDNDGESLKRVVTYTTAPSKLVKAVRATEEQSYLKADGKEYAVLLSVSTPDVPCGTYFRTEILFRIMPGPELDSQQQTSHLVVSWRMNFLQSTMMKSIIENGARQGLEQNYAQFSDLLSQKIKPIDVEGSGSDKEQFLASLQGGEESDWKIAFLYFCNFGVLSSLFVSLYIILHVLRVNPSAVQGLEFPGLDLPDSFSEIIMGGLLFLQVQRILKNITCFVQARGQKAGDHGVKAKGDGWLLTVALIEGIKLAPVDATGFSDPYVATKPISPNLAEIFEFDAMDDPPSVMSVHVYDFDGPFDEVTSLGHAEINFVKSNLSELADVWIPLKGSLAQSWQSKLHLRIFLNNSKGTGMVTEYLSKMEKEVDDIEVSSDQYCIPGTLLSASRRISYQQFYLLLEEEIAYTGRGMDAKHGAKSMDNGKLKFCLQSFASFSVANRTIMALWKARSLSMELKVQLAEEQSQINTLQSEDSGIFVGIEDAKSLQMTEVYSSTISTNMASLMEVFAGGSLEMKVMEKVGCQKYSATQWESDKPNEYQRQIHYKFSKKLSPVGGEVTGTQQKSPMPNKKGWIIEEVMELQGVLLGDFFTLHIKYQIEDLAPKQRASSVQVSLGIEWSKSTRHQKRIEKNVFSSSSARLKEMFNLASRELSHTR >Sspon.05G0007260-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:16874849:16876994:-1 gene:Sspon.05G0007260-2B transcript:Sspon.05G0007260-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MERACDARVARVARVERRETSHLIIEEFLSVYVGNLSPSTSVFDLEKVFQAFGRIKPDGVAIRSRKEAGVFFGFVEFEDMSGIQNALTASPIELNGRFVHVEERRPNCGFPRGRRGRGRADFSRDQAGGRYDGEYATRSKGTGHQKKSGRHNGPWLTENLRVTRGSVKVV >Sspon.04G0014370-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4B:56163417:56167127:1 gene:Sspon.04G0014370-2B transcript:Sspon.04G0014370-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Meiotic recombination protein DMC1 homolog [Source:Projected from Arabidopsis thaliana (AT3G22880) UniProtKB/Swiss-Prot;Acc:Q39009] MAPSKHADEGGQLQLMDADRVEDEEECFESIDKRTSRPLPSRLASRITCSARACAPCYHHPDRCCMQSPSTAGRIASAFCRFAFGFGNSGPSWCDLCLVFGICLFLLGARSWGFAFLLIPQNFECFGNQLALHRGNLTGIKGLSEAKVDKICEAAEKLLNQGFMTGTDLLLKRKSVVRITTGSQALDELLGGGIETLCITEAFGEFRSGKTQLAHTLCVSTQIIYARAYTYEHQYNLLLGLAAKMAEEPFRLLIVDSVIALFRVDFSGRGELAERQQKLAQMLSRLTKIAEEFNVAVYITNQVIADPGGGMFITDPKKPAGGHVLAHAATIRLMLRKGKGEQRVCKIFDAPNLPEGEAISFCFDAPCLLLALMITLLSQLAKI >Sspon.07G0005180-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:13375748:13377830:-1 gene:Sspon.07G0005180-1A transcript:Sspon.07G0005180-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCCCPGSSSAEPALPPDSSAGSSRPVGSATVPPPTSVSSSATTAPTPNKPPAPIGPVLGRPMEDVKSTYTVGKELGRGQFGVTSLCTHKATGQKFACKTISKRKLSTKEDIEDVRREVQIMYHLSGQPGVVELKGAYEDKSNVHLVMELCAGGELFDRIIAKGHYTERAAASLLRTIVEIVHTCHSMGVIHRDLKPENFLLLSKDENAPLKATDFGLSVFFKEGEVFRDIVGSAYYIAPEVLKRSYGPEADIWSVGVIVYILLSGVPPFWAGKLAILLHQCKQADESEHGIFNAILRGQIDFTSDPWPRISQGAKDLVRKMLNPDPKQRISAYDVLNHPWIKEDGEAPDTPLDNAVLGRLKQFRAMNQFKKAALRVIAGCLSEEEIRGLKEMFKSMDSDNSGTITVDELRRGLAKKGTKLTEAEVQQLMEAADADGNGTIDYEEFITATMHMNRMDRDEHLYTAFQYFDKDNSGYITMEELEQALREKGLLDGRDIKDIVAEVDADNDGRINYTEFAAMMRKGDPEPSNPKKRRDVVL >Sspon.01G0023410-4D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1D:81829157:81829468:-1 gene:Sspon.01G0023410-4D transcript:Sspon.01G0023410-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKENECIGRLRLWQVFFANPYEWWDNRQSKPWPTYPDFKHKDTREKIWLRPDDPPWVRKQLELHDLEVAENGHRGNSRLLKSQNWKAQDFDYSDDVQHCAEA >Sspon.01G0038770-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:21166610:21168661:-1 gene:Sspon.01G0038770-3D transcript:Sspon.01G0038770-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPPCCDKANVKKGPWTPEEDAKLLAYTSTHGTGNWTNVPQRAGLKRCGKSCRLRYTNYLRPNLKHENFTQEEEDLIVTLHAMLGSRWSLIANQLPGRTDNDVKNYWNTKLSKKLRQRGIDPITHRPIADLMHSIGALAIRPPQPAPSPNGGSTAYLPAPALPLVHDVAYHAAGMPPPTTPGQQQQVVIALVDADAPASPTTEHGQQQQLKWSDFLADDAAAAAAEAQQQQVVLGQYHHEAAAAGAGSGVAVHGAGSSSAAATGGDDGGIISVGGGSGDDGAAAFIDAILDCDKETGVDQLIAELLADPAYYAGSSSS >Sspon.07G0011640-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:46422587:46422988:-1 gene:Sspon.07G0011640-2B transcript:Sspon.07G0011640-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGAAAAAAVAVFAIMVLSSLGHPETGPLCSDCGLLCTMNCTAEIATHCRMYCENPSAARQECARQVFRACTLSFCCYGNCSRDCDLEAQNGCHVHDTTFDCQSCRGGILQYCIPTCNSNCNSTCVKKDHGC >Sspon.01G0019210-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:77316330:77319163:-1 gene:Sspon.01G0019210-2B transcript:Sspon.01G0019210-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LCTPRKRYFRARTQRNRGDSFPFHTPRLSSISAYPLRFGCFGGQARAEKMTEDGYPVKLHIYDLSQGMARQLSATILGKAIEAIWHTGVVVYGREYYFGGGIQQGQPGRTPYGTPIRVEDLGVTHVPREVFEEFLREIGPRYTPATYKLLSHNCNNFSNEAAQFLAGAAIPSYILELPNQVMNSPGLETSLGAGAVPQFRPADPAPVGAATVTRPLTNDVVPRSTTAAHDKPEAAKTAGNNGSANDSTAVPPAVQPAAAPAAAAAEVSSAAPTVPTKLAAPPPDPLAAAKSRAQEEIKREFAAIMAAGTARAGEAAALATRRVMERHGLQRAAAAAAQRG >Sspon.08G0008240-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:30204744:30211707:-1 gene:Sspon.08G0008240-1A transcript:Sspon.08G0008240-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MIEESPPDIEPDGEDGESSDSSWSMECTQVLRVKSIYISSAILAAKSPFFYKLFSNGMKESDQRHATLRITASEENALMELLSFMYSGKLTTNQPTALLDILMVADKFEVVSCMRHCSQLLRSLPMTTESALLYLDLPSSISMAAAVQPLTDTAKEFLASKYKDLTKFQDEAMNIPLAGIEAILWSNDLQVASEDAIYDFVIKWARAQYPKLEERREILGTRLLPLVRFCHMTCRKLRKVLACSDLDHEQATKCVTEALLYKADAPHRQRALAADVMTCRKYAERAYKYRPLKVVEFDRPYPQCIAYLDLKREECSRLFPSGRIYSQAFHLAGQGFFLSAHCNMDQQSAFYCFGLFLGMQEKGSTSVTVDYEFAARTRPSGEFVSKYKGYYTFTGGKAVGYRNLFAIPWPSFMADDSLFFIDGVLHLRAELTIKQP >Sspon.04G0012720-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4D:52403746:52406628:-1 gene:Sspon.04G0012720-2D transcript:Sspon.04G0012720-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLWYHVFCAATAREDEESDRDKDGAVEMGRVIGPPKGSSFFPVPTFIGIWKKRAVEQYSPIPYVATLL >Sspon.07G0014360-3C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7C:57827783:57828161:-1 gene:Sspon.07G0014360-3C transcript:Sspon.07G0014360-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding KPTNREEILTIDIKPGWKKGTKITFLGKGNEARNVTPSDLIFIIEERAHPRFKRDGNDLIYTHTISLVEALTGCIVQVTTLDGRTLTIPVKSVVSPTYEEVVQGEGMPITRETSRKGNLRIKFQI >Sspon.07G0021250-1P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7B:1823929:1824822:1 gene:Sspon.07G0021250-1P transcript:Sspon.07G0021250-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTSDSDLKDQRIHFFQAADRRVFCLDQLGRGFLLEADTPRMVMMPCLHRPKLEPIALYVPGAEPDFDDLDGGGGGDLFIMERRVAKPKPGGCGGFQSQFEALVYRKPSVSCSRFVPKTWRRERLPPPPPYIHGAADHSWLEISSYAVVGSQVCISVDGDGTYCLAVVSDTVMDTYSWSEVGKWTLPFQGKAHYAPELKLWFGFTDKDQNLAAADLSAMDSHSQPQLLDSWKELETPKGWQQVQDPQLVCLGSRRFCIARFFRTGTAMDDCQNVTVLTGVEVVRGVNVFPGKVGDLRM >Sspon.03G0028830-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:12038382:12044011:1 gene:Sspon.03G0028830-1B transcript:Sspon.03G0028830-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRTEKLAGDGCSGGEGHVEVKVGMGVDGKGVIECRICQEEGEEAAMDSPCACTGTLKFAHRKCIQRWCNKKGNITCEICNQVYSPNYVIPPPKCCSDEMDMDLRQNWVGRIDPHDSHFLAIAIAEQQLLQAEFDDCVSANSSGVTCCRTIALILMSLLLVRHVIVIVRDVSMLQDATVLFSATLQFAGFFLPCYVIARSCYALQHRRRRQLKRCFLACDFEEYNYGPKSHWPSQCEIIEFQAGIKSWPCLRMSDRKCKYGIKAREGVVLSELGYGHYCGNAYGGPNELWVSSLNQ >Sspon.03G0041630-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3D:33668744:33670783:1 gene:Sspon.03G0041630-2D transcript:Sspon.03G0041630-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Laccase-11 [Source:Projected from Arabidopsis thaliana (AT5G03260) UniProtKB/Swiss-Prot;Acc:Q8VZA1] MAMASSRHGLPLVLSALLLAVLTLSAQADVKRYQFDIVMSNVSRLCHAKALVTVNGSYPGPTIYAREGDRVVVRVTNRVQHNVTIHWHGLKQRRNGWADGPAYVTQCPIQGGGGSYTYDFNVTGQRGTLWWHAHVVWLRATVHGAIVVLPERGVPYPFPKPDAEAEIILGEWWHADVEAVEKQGRMLGMAPNMSDAHTINGKPGPLFPCSEKHTYALQVQWGKTYLLRVINAAVNDELFFSIAGHTMTVVEIDATYTKPLAASTIQLSPGQTTNVLVRADQRPGRYFMAAKPFNDVPVPADNKTATAILQYAGVPTSVLPAAPRLMPDTNSTASVAAFHDRLRSLNSAAYPADVPRAVDRHLLYAIGLNIDPCASCLNGSRLAASLNNITFVMPPVALLQAHYGGLKGVFAADFPDRPPARFNYTGVPLTAGLGTSLGTRLSKVAYNSSVELVLQDTNLLSVESHPFHLHGYNFFVVGRGLGNFDPAKDPAKYNLVDPPERNTVGVPAGGWTAIRFRADNPGVWFLHCHLEVHTSWGLKMAFLVEDGNGPDQSVLPPPKDLP >Sspon.04G0003110-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:9504961:9507690:1 gene:Sspon.04G0003110-1A transcript:Sspon.04G0003110-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTTSPLAHFGVSLPGWPGGPHPDRQGEAFSANPSTPHTETPRLPASVPRVHRGLRCYTYTLSPTIPFPDPTDAMATLTVPSSVPAVAEDCEQLHKAFEGWGTNEKLIISILAHRNAAQRRAIRRAYAEAYGKELLRALGDEIHGKFERAVILWTLDPAERDAVLANEEAKKWHPGSRALVEIACARTPAQLFAAKQAYHDRFKRSLEEDVASHVTGDFRKLLVPLVSAYRYDGPEVNTSLAHSEAKILHEKVDKKAYSDEEIIRILTTRSKAQLLATFNSYKDQFGHAINKDLKADPKDEFLATLRAIIRCFTCPDRYFEKVIRLALGGVGTDEDALTRVITTHAEVDLKLIKEAYQKRNSVPLERAVAKDTTRDYEDILLALLGAE >Sspon.01G0022470-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1A:82061853:82063923:-1 gene:Sspon.01G0022470-1A transcript:Sspon.01G0022470-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQMILHFCRAFFPNPRPGARKHWLPSPRRPQTDRRTGCRATTLLHGLPPPVLPSACLTLHFPCCPLRLLFPARILRRRRRARCISSAQRRGGVASRARHSKVVQIWLPDETHVTMTAWHRYGRALTEPPALAQGLSATMTETRLVQHSTLSVFLLPARRRTCRAAPCSTFPTGTQPSCQRATRRIRNRILHHVCRPRAPRRRGDVPTLRILVNLQDRRGLLRSLPYPPRRHLRAASCAGRRARHHLRSSWREPGLARRVLDRRNGAQERRPLQLIRQAGPRYLSCSGHALRCTTVSWIDTSHRRILLTPDPFLNNLQLEIFEYLLTSIA >Sspon.04G0017080-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:71087573:71092643:1 gene:Sspon.04G0017080-4D transcript:Sspon.04G0017080-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:4-coumarate:coenzyme A ligase, Lignin biosynthesis, Defense against woundin [Source: Projected from Oryza sativa (Os02g0177600)] MGSVDTAVAVPVPVAEPAAEEKAVVFRSKLPDIEINNSQSLHAYCFGKMSEVADRACLIDGQTGASYTYAEVESLSRRAASGLRAMGVVKGDVVMNLLRNCPEFAFTFFGAARLGAATTTANPFYTPHEIHRQAEAAGAKLIVTEACAVEKVREFAAGRGVPVVTVDGRFDGCVEFAEVIAAEELEADADVHPDDVVALPYSSGTTGLPKGVMLTHRSLITSVAQQVDGENPNLYFSKDDVLLCLLPLFHIYSLNSVLLAGLRAGSTIVIMRKFDLGALVDLVRKHAITIAPFVPPIVVEIAKSPRVTAADLASIRMVMSGAAPMGKELQDAFMTKIPNAVLGQGYGMTEAGPVLAMCLAFAKEPFQVKSGSCGTVVRNAELKIVDPDTGAALGRNQPGEICIRGEQIMKGYLNDPESTKNTIDKDGWLHTGDIGYVDDDDEIFIVDRLKEIIKYKGFQVPPAELEALLITHPEIKDAAVVSMKDDLAGEIPVAFIVRTEGSEVTEDEIKQFVAKEVKATPCGFLQEGTQGFLHRIHPQEPVRQDPKEGLESQARRRCSLRPYTAFQTVTLLRKKQCNSGNIIHETATEGARNPVETCCQKRF >Sspon.02G0010190-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:22951313:22955117:1 gene:Sspon.02G0010190-3D transcript:Sspon.02G0010190-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMVLGADGVDGMRTCVLRPSNLFGPGDSSLVRFVAGYARSPLGKFVIGSGSNMSDFTYVENVAHANICAEQALSSVAGKDGIMRTVGSFTELSDNLGLSRKQGSCGSSKADKLLGSGTGRGIIKHLWFRAEVWALKW >Sspon.05G0001210-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:2890831:2894595:1 gene:Sspon.05G0001210-2D transcript:Sspon.05G0001210-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLREPNSLCLKRKLVEDYLSEECKSRRIKAKNGPSSDSSAKHCNCSCTRPNLADDCDNFLKSGVPRRIMYYRQGSWHNFPEKIMKSLIEEFSGNKSSVVSVMDDEPLLIDFLSMTMVNLKSRKQRSVAWVDDTGKCFSPSLFLDEEIDDMAKGESANVQRTVQGIMLDKDKNAPPEVVKQVVLDSSSSVPHKLSNADILRKKITAVERGSKDFLFVQDLFLSGMGPFATPNNILRVYCYSPNDITAQCRLEAFERQIRSTKEKRGDANVRYGWLGSRKNDIVRILINGLDPTGEHVEKSDLSAGVYLSPVDRAFTSVGLCDVDEKGVQYMLLCRVILGNLEAVEPGSHEFFPTSEIYDSGVDSCLYPKCYVMWPSHLSTHMHLEYLVSFKLAPEARNYLLGLKGLWFRPPSKEVAVDISALQPVMCEASEGPSTPWISFKVLFRLIQDKISFIARELLFHHDEELKEGKITREEMVKKMMMIVGEKILMEALKKLHYCPSLWYKSSVKAISSDPVRTEAEQVSLDTTGRSCNHGDSCAQNATAEHSAGPLSTEVCGALPTDMVPEGSDYPAPTGVPETSSSAGAKRLGSQGMEGEGRDSPMQIMSLGNSAAQPARYQDPTVTRMPPIICDDPLRISPGISASPGLKVCNSAPTTTGPPGCASLAPTNASKTHEILVPGSTPSPKGRESVVPILVLGNSVSAGGKRLNSAPRMTPEGQEFLSLGIASQSPVLHPVKGPDASSSAARPPVYPLGKTWKITIRICYSLSLSITPQGHDPPASKAEPKQQRSPAADSTPESRRPPQAMDAATKVHNAPTPITRERKDQAVAQNKLPVPGLDGCCHVETLIALSTPREKGER >Sspon.07G0027230-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7B:59378330:59378677:-1 gene:Sspon.07G0027230-1B transcript:Sspon.07G0027230-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIASSPPVPHDHRGWPRAHGRGTGLKHVDCIFTRPASRLSQYLPRPPPLVSRHGGRAMEAAAAQRHGPRAGLTYFCRSCLLGQLGPKIGSVCHAWANGQAQSPLAQLGDPVCRT >Sspon.07G0000790-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:10328658:10332300:1 gene:Sspon.07G0000790-3D transcript:Sspon.07G0000790-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RQIGFDDVKECPHLCTLAYDYLRKNKGYEENIFAFFQNNMDPEPLIVKFIEELDKCIVGYFSFHWKYATYIITQVLTVEGATKRKFKNFVLEATREQRFERVTRNLKVTRFFSTLVEELKAIGLSSHDDSPRNDVMVPVAHCNRSPVLLLMGGGMGAGKSTVLKDILKEAFWSGAAANSVIVEADAFKETDVIYRAISSRGHHNDMLQTAELVHQSSLDAASSLLVTALNEGRDVIMDGTLSWEPFVQQTIAMARAVHRQRYRMGRGYKVTDDGTITEEYWEPIENSNTDEENEVPARKPYRIELVGVVCDAYLAVVRGIRRAVVTGRAVRVKSQLQSHKRFTTAFNSYCSLVDNARLYSTNTLGVPKLIGWKDGESSLLVDPEEIGCLDRLRSLNEEANCVHELYADGQPTGGLSSAWQDLVMSPLRASSQRELKAAIERNEARFNHA >Sspon.03G0022880-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:92314823:92321044:-1 gene:Sspon.03G0022880-2B transcript:Sspon.03G0022880-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARDDGGALVPAPAPAPGQVYLPAWRRAYDRLVKMLREAYRQAEELSVEREHLITEIEFLQSSRREREEIYQVRIQKIYKDQELCKRVADAETAVSLGGKELQIHCYQNLAELAEDDLEDFKSCISNLAAENTELKEKLKKLESQVETSTENSDHQKSGKDVREEIRKLKKAYKILRSEKDKEISELQAENKFVWNQLKTMEKDYSGTLKSKNVEVKQATEAAQKKDDEIVRLQVEVTNAKERMSILEDELQKLRCLVKGKDLETDKNEDGQPETSRLSKKDTNKANRKSKSTRTSQVTPDISRTSQVTPDRREVKTTTRMRASETNQKRKRSSFKSSLSCGNQRCYTRPLQVKAGVSPMLLPPNFTVPRLKTPTHP >Sspon.07G0038050-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7D:68097606:68098248:1 gene:Sspon.07G0038050-1D transcript:Sspon.07G0038050-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVASMHRVHLAIADLSTFSVRVYDNRECLAQASEARCRGQPLPVAELSIHHRSPPLKLSCASPKAPLGLLLSPPPGARSSSPPVPRRRWCRKRRRPPCRSWGRGKASRSSLDMACSTRGALSSWASPYRVVRPWTPVSSSIVEPTGSSGGHPPSLLSPKEEEAGTSSLFLGVGDKWVQWSSGSRESVL >Sspon.04G0012050-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:34178387:34191409:1 gene:Sspon.04G0012050-2B transcript:Sspon.04G0012050-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VMEFLAGNCYQVMNQENQTRPRKILLSIHGFGVKSVDCLCLLSLRHRAFQVDVNVARIVTRLGWVKLQPLNGADFHLIKRYPLLDDVQRYLWPRLCTIDKENRYELHCLMITFGQVVCTKQDPNCRACPFSASCIYYNSLLARKPLPLPEKHEHEREQQASMVASGNCTSSQQMYQYQIAISSTTETPPIHNCKPIIEMPPSPEYEYNRTPNVQEDSYEDYYACDIEDFAPEGIQYDAEINICSSKHVLNSNSWTPNRGKDLAVINPKGSFGRNKKLKNIGRLRTEHNRYVLPDDHLILEQFEDRVPEDICPYLLVVLSCPDDYTVEGTVQIPCRTANRGKFPLNGTYFQENQVFADYSSSRNPITIPRECIGMLKRSIVYFGASIHSITTGQTREDIQECFKADPSRPPWIVVNLHKILQIVVDLHGIIVNLHVILSLDYYNHVHI >Sspon.06G0030380-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:46169590:46173830:1 gene:Sspon.06G0030380-1C transcript:Sspon.06G0030380-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLTPAVCCVNDVQGLQKDPTFHPSLWGDFFLKYRPPTAPKRGYMTERAEVLKEEVRKMLKTPSQIKNILDLIITLQRLGLDSHYENEINERLSFVYYNSDYDDKDLYLVSLRFYLLRKHGYYVSSDVFTSFKDKEGNFVVDDTKCLLSLYNAAYLRTHGEKVLDEAIIFTRRQLEAALDSLESTLADEVSLTLQTPLFRRVRILETRNYIPIYEKEAARNEVILEFAKLNFNLLQLIYCEELKKVTLWWKQLNVETNLSFIRDRIVECHFWMTGACFEQQYSLARVITTKMTACITILDDIMDTYSTTEEAMLLAEAIYRWEENSAELLPEYMKDFYLYLLKTIDSCQDELGPNRSFRTFYLKEVASLLKVLVRGSSQEIKWRNENYVPETINEHLEHSGPSVGAFQVACSSFVGMGDNITKEILEWVLTYPELLKSLTTIARLSNDIASTKREQNVGHHASTVQCYMLKHGTTMDDACEKIKELTEDTWKDMMELYLTPTEQAELVSQRVVDFARTADYMYKETDAFTFSHTLKDMIAKLF >Sspon.01G0010970-1P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1C:23803450:23804157:-1 gene:Sspon.01G0010970-1P transcript:Sspon.01G0010970-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAEVDARATGDEGRGGGGSGSVAEDGQAPAPAKGGATISVTAVLLALLVASVAAFLTSSLPRAGDGDGVGDGVGKGVQEGAAGRAGEVAGKRTEPVEHAVGDAIGIPGFNSRLDAFRTWAALTWMKLRRPRSDEPRYDDAAAGSAGSVADAAKKSFEMGKETVEQAAATTARATRDPAETAKEK >Sspon.07G0017690-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:63581903:63584969:1 gene:Sspon.07G0017690-1A transcript:Sspon.07G0017690-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMPEVLRSVNIEEIMIEINAPLCSFRVCRVLQLQNCHVPISLKHIGRLLHLKYLDISFTTVDELPKELGHLKSLLSLVLINIGLDELPQTVCSLTQLMCLVAQGFKRFPANRMGNLTSLEEIQLKTVVGRSTTEDLVVELGKLTRLRMVRMVLVEELDESLQKALVRTLCNLQELQELVLASTELCVGAIVWEDWEPPKQLRRLLIAGIWFSRLPGWISRSRLPRLCFLSLGMYVVEVHDLDNLARLPELSYLKLYGSSWPPGYTVGTDGFRNLRFCSVGTALKFHMGAMPRLEELQFGVYAGYLSWEANGVLLEQNPTKDVIEDLDLGLDNLLSLEQVIVRVDCSGATATEVQEVEAVVTRVVENHPNRPTMKMSRECEENISSDKKTGGSGKYLTLCLIAEGFRRFPTDKMGNLISLEELRLKSVVGQSATEDLVVELGKQTRLRVVTITFSAELEESLQKALVQSLCNLRELQELELRFTGLSQQGAAEWEEDWEAPRQLQRLLIVGITFSRLPRWINHSRLPHLCFLSLAMDVVEVHDLDNLGRLLELIYLKLVGSSWPPVYTVGTDSFRNLRVCNVATTLKFHMGAMPRLKELEFRVYAGYWSWVQRDVPLEQFPTKDEIEDLDLGLDNLLSLEEVIVKVDCLGATAAEVQEVEAMVTRAVENHPNCPTIKMERKYEEYILSDEEWEALLQHHVEDHFGVLEWKDKPDARFISHLRLYRHLRKVVISIDCAGAIMCEVEKVEAALRHAAELHLNHPTIQLIRTNTDEMLSSTDTELDDDHDDSPDTDTLLCKLRLRN >Sspon.04G0007950-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:13104943:13105814:-1 gene:Sspon.04G0007950-3C transcript:Sspon.04G0007950-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKDIEAAAAHEGGEYTAKDYSDPPPAPLVDVEELTKWSLYRAVIAEFVATLLFLYITVATVIGYKHQTDTTANSAPDAACGGVGVLGIAWAFGGMIFILVYCTAGVSGGHINPAVTFGLFLARKVSLVRALLYIVAQCLGAICGVGLVKGFQSAFYVRYGGGANELSDGYSKGTGLAAEIIGTFVLVYTVFSATDPKRNARDSHVPVLAPLPIGFAVFMVHLATIPITGTGINPARSLGAAVIYNNDKAWDDH >Sspon.03G0038280-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:50699260:50701722:1 gene:Sspon.03G0038280-2D transcript:Sspon.03G0038280-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGPARVSPPAVTDSGALLQRAPCGVDLHRVTDGDLHRVDLAGGPATCSPYGPGRGEHGDAVPAIVAEPCDGAVGCEDIRGEVLGRAAHDRNPGLHAEPADVVGAVRLAEHAVHVVGEVKEPEPQVQVREAVVLRHAVAVDAGVLLTDGHDVGHDVERPLEAHPHAVGRGRPRDGERGRGGGPPRGAGVRRDGDALAVEGQVEAVDVAVPLGGLVVGADVEAVVREADAERLDPGEVAAHGGVAPADEVRVDVEAGVGEDAEVLVLAAVEVEGVAVAAREPRVAARHAGVEVADLHALEAN >Sspon.08G0004570-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:13214943:13221553:-1 gene:Sspon.08G0004570-1A transcript:Sspon.08G0004570-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histidine kinase 5 [Source:Projected from Arabidopsis thaliana (AT5G10720) UniProtKB/Swiss-Prot;Acc:Q3S4A7] MGDDYLAEPEDEVTQSMWPENLGDKHQRQFRMENFRKDQDAFKDVKFDEKPVHVDFQRLMEMANSEKGVSQMQYFMKHWAYKRANAARLLEEELGLLCQQRKEIEQNKQQILEEQRFHDESYYAVKRHVPILDEVYEDEWKRPSKKNDDLSRSRETKIDADYDSVSYWKERATKLEEKLEESIQRERSLVEKLEENIKNLQSHTPAEEFSGMLKRADYFLHLVLQSAPIVIAHQDADLRYRFIFNHYPTLADEDVIGKTDYEILSGEGIEEMNSVKREVMAKGIATKREFAFNTPMFGAKTFVTYIEPVFSKGGETIGVNYVAMDITDQVKRREKMADIRVREAVQNAKETELSKSLHITEETMRAKQMLATMSHEIRSPLSGVLSMAEILATTKLDKEQHQLLEVMLSSGDLVLQLINDILDLSKVESGAMKLESTTFRPREVVKHVLQTAAASLKKELTLEGCIGDDVPVEVIGDVLRIRQILTNLISNAVKFTHEGKVGINLQVVHDKQPGCKIEGGKIHKRAYSGTAITAAETAVVSPRNCDNDNLHCSKHEDAFQNGVPTCENFKEDIEGEEVVWLRCDVYDTGIGIPEKSLPLLFKRYMQASTDHARKYGGTGLGLAICKQLVELMGGTLTVVSKENEGSTFTFVLPCKIPVKEEHSDDPDEVHSSRNDSANSDIEGSFFFKPQMRASLLSPGVSIMNNTKLFGAKLMCYDPPDISDDRKLSSNGFSSTEHNFTNTSTARQPNGASVRSTAEEHDNAMVLELNSQAERVSSSRGDLVSVSGAAPCKVFEEQSLHKKSKCSPTSNKAKILLVEDNKVNIIVAKSMLEQLGHAIDIVNNGMQAIRAVQQHQYDLILMDVHMPEMDGLQATKHIRSFENTGCWDVSVKPEDNRMITDSAISSDCAHAKKQGQRVPIIAMTANSFAESAEECLAAGMDSYISKPVNFQNIKECLQRETETELYFGEHPESDLTPP >Sspon.02G0039490-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2B:64177856:64180786:1 gene:Sspon.02G0039490-1B transcript:Sspon.02G0039490-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPEIQNRCPSPTAVLLLLGACLAVVAALGAAEYDARASRPPPVPLPVDGGARRVLLANGLGLTPQMGWNSWNHFQCDINEAVVRSTADALVATGLAKAGYKYVNLECPVLLKDDIAIADDCWADYQRNKEGYLVANPKTFPSGIKALADYVHSKGLKLGIYSSAGTRTCSNRMPGSLGHEDKDAKTFASWGVDYLKYDNCYRDGTPETVRGYMEVPKWGGMYGNSWRTTGDISDTWSGMLDNIDRNDAYARYAKPGGWNDPDMLEVGNGGMAYNEYVVHFSLWAIAKAPLVIGCDVTRVSKETLGILSNAEVIAINQDRMGVQGKKVKKYGNDLEVWAGQLSRHRKAVLLLNRGATRSASITAAWPDVGIRRGVTVEARDVWKHETLPGRFTGSLTAVVGPHSCKLFVLTPVPR >Sspon.04G0011770-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:36944460:36946719:1 gene:Sspon.04G0011770-1A transcript:Sspon.04G0011770-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTATQRGAIAEVVKGGAPRWLFGRTSVSVQGRVRLVGRTSEHAPTIDNAIGGTWAVPFECGPCRTQDFGTTSYKAGPAFWVVLEPTWHENGTACRRATGCAWAEEKIHNAILARPNVPPVSRGHDPKQHEAREDRAMPAWHGQVPALDNALMSGRRNAGASNVRLQYASRMHMLGATPIPEASPTPLDVRPQDPIPSRSPHWFDEKKRRSRRIRDADVDLLVYFWNRKEACRTARHLAYHHPFHCVVLAAMDLANGRDDAVNGGVGVEERRRRRRKVELVQEAIHGFLEEKRNHGQGEEKPLTARQEEQELLSSLLSKLGELENDADSDILEANSSHLDHQAAGRKDEQSKEEVELADIAKDLNKIKRQNRITHLLLGTVIVLTAVWQVNEVSFLLWLQKKLSNPFKSLGDMIKGSLIKLRGRRPVLESSPLPLPGVPDVSRADLPTLVIGSTDRGQRR >Sspon.07G0007520-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7A:19885431:19886215:-1 gene:Sspon.07G0007520-1A transcript:Sspon.07G0007520-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AHCALLLALAGAARGHSPSAGAALSSAFYDQSCPGAYDVVRRVIQDARVSDPRIPASLIRLHFHDCFVNGCDGSLLLDDDLPAIQTEKNVPANNNSARGFPVVDGIKHALEEACPGIVSCADILALAAEISVELAGGPRWRVLLGRRDGTTTNVQSANNLPSPFDSLDKLQEKFRNVNLDNTDLVALQ >Sspon.04G0031050-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:5232288:5234429:1 gene:Sspon.04G0031050-1C transcript:Sspon.04G0031050-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGGRDLPPHHQHHHERDVGDRGRDSALLGVVDREQRERLTLIRLAFSRSKSMVYCRARLMDYGFPSTTIRTEGTGSSHEHPHQSINPQHVLRMSSPDQASPSAQAEMICSKRHHQRSRK >Sspon.08G0012260-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:52371487:52377952:-1 gene:Sspon.08G0012260-1A transcript:Sspon.08G0012260-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPNQLILSNLDIDRGYPYKFQPEFPMSHDLGLNLFSQAGTVLGTSLRHHRKICSSGNVMVHGAFNRLNKLSRALYFWLSRPSDPKILRWLAAVAAKSSRSCQLRFNQVGSHMQNLTRLQFSFLVREEQAIQLILARLASATIGRLLNEQQHASNLLTLAGAAAIIPPLENISPMMLAESIASRNIDSDIRRPVDQLYVEGKCLSCACPSVPSTIFQEDPIEPKTGIKFPAFLEDDSSTSAAVLVGIGFKGMRVMRVKNLNLYAFDMQPTSIREKLGPKYGSFPTDKLMENPDFYSDLLRENLDMRVRLVVNYNGLSVGAVRDVFEKSLGLRLQKINPNTDFHCLKTFGSHFTEDITIPSGTKIDFCQTSDGKLITEKAFFDMYIGDSPISLEAKKVVAQNVAGLIGRR >Sspon.06G0028990-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:13100756:13105558:1 gene:Sspon.06G0028990-1C transcript:Sspon.06G0028990-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNEGCERVMNLAVSANIIIYLTKEYHMGSAESAIVVFAYQAATNFLPIFRAIVSDALLGRFLTILLTLLACMIGAILLWLTTVVPALVAEDCANKDQNNQMFLSIGASGVRPCSLPFGVDQFTHWRGARKDRALKVLFRWYYVSMGGSTIISITLIVYLQDKLGWKIGFAISIAIAGFATFLNIVTSPLYIKKSTWISLVHVIFIAIRNRHIQLPKVGDNDLHYHNIGGLAMRACVLRARVDSSSNEVLNANSWNVCTVEQVEDTKRALSVIPMWSAMITSLLIPTASFRVLQAETMDHCVGTTKFQMLAGSIAIFEITRREKMLSHKQKMGIGVLFSITCALSALVVEALRRMQAIRQGLKNNIDGVVNISVLWLAPQCVFAGLIGAFGSIGHIEFYYAVLPKTMSSLALALLPLASGVANIASTVIVKLVKVITCSGGRSILLELMKPLVS >Sspon.01G0050920-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:8920742:8927263:-1 gene:Sspon.01G0050920-2D transcript:Sspon.01G0050920-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTVLRVHLPSEIPIVGCEITPYVLLRRPDGGVFTDDVSEAAPVDGYFMRYKYRIQSDRRAAICSVHPTEQATLQCIGCLKSKIPVAKSYHCSAKCFSDAWQHHRVLHERAISALNENGTEDDELFGRFGSGSSSSGIISAALSGSTSNLSQSSGVNNGPTPVYPTGTEKSSGETWFEVGCSRTYTATADDIGHVLRFECIVVDVETRGTVRAPTSVMTSRVIPAPTPTPRRLIPVNAADAMGHFDLDSRTSSFGTFTVLSYNILADTYATSDTYSYCPTWALTWAYRRQNLLREIIGYHADIICLQEVQSNHFEEFFSPELDKHGYQALYKKRTTEVYTGSPQAIDGCATFFRRDRFSHVKKYEVEFNKAAQSLTDAIIPAAQKKLALTRLVKDNIALIAVLEAKFGNHGTENPSKRQLLCVANTHINIHHDLKDVKLWQIHTLLKDIPMLVCGDFNSTPGSTSHWLLARGKVDHLHPDLAIDPLGILRPLSKLTHQLPLVSAYSSFVSMAGVGYDLDHQRRRMDPATNEPLFTNCTRDFTGTIDYIFYTADSLTVESLLELLDEDSLRKDTALPSPEWSSDHIALLAEFRRSCRGGILDNHTRMYPLAEARNEKPKGKRLVMFLTLLHFRPVVSLVALAGMIELAGGIMSCWAMPV >Sspon.08G0025900-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:15002771:15004400:1 gene:Sspon.08G0025900-1C transcript:Sspon.08G0025900-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPWVGQPSLSLDLNVGPPTARPAVPAKTKVLVEENFLAVKKDREVCRRTHERAYACLCITAHSIRGWITNDVGTGGVSSLLIGDRRNLLVTLQVEALEAELQRVGEENKRLSEMLRAVVTKYTELQGQVNDMVAAAAANRQQSSTSEGGSAASPSRKRIRSCGDSLDTAAQHHQSRKPSPSLAAAVAAHDQMECTSAAVSVTAAAFRRAVREECKPKVSRRFVHADPADLSLVVKDGYQWRKYGQKVTKDNPCPRAYFRCSFAPSCPVKKKVQRSADDTTILVATYEGEHNHGQPPQHDGGRAAKSTAAQAPAPAPAGEAVVQRAAPPLPLQHQHQHQHLQQRQQQKQAEAATGPSSEVARKNLAEQMAVTLTGIPGFKAALVSALSGRILEHSPTRD >Sspon.01G0006450-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:14805491:14809614:1 gene:Sspon.01G0006450-1P transcript:Sspon.01G0006450-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSLGIPVKLLHEAAGHVVTVELKTGEVYRGSMVECEDNWNCQLENITFTAKDGKVSQLEHVFIRGSRVRFMIIPDMLKNAPMFKRLEARIRGKGSAIGVGRGRAVAMRARAAAGRGGGPVGRGSAPPVRR >Sspon.06G0013400-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:57877649:57892046:-1 gene:Sspon.06G0013400-3C transcript:Sspon.06G0013400-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATALSSSLRAPAFSLGLAAAPVATVVALPAAKPARGARLRAQATYNVKLITPDGEVELQVPDDVYILDQAEEEGIDLPFSCRAGSCSSCAGKVVSGTVDQSDQSFLDDAQIEGGFLLLRSPHRPAPQHTMATVLSSLRAPAFPSSLRVAPAPAPATVALPVTKAAVARGARLRAQATYNVKLVTPGGEVELQVPDDVYILDQAEEEGIDLPYSCRAGSCSSCAGKVVAGSVDQSDQSFLDDDQIAAGWVLTCAAYPTSDVRVRPFCWRPHQKDKPTRCTRAIPSFIYVSASASDPSNPSSCSPLLAHTASLSSSRTAPAGTTTMATVLSSLRAPAFPSSLQVAPAPAPATVALPATKAAMARGARLRAQATYKVKLVTPGGEVELQVPDDVYILDQAEEEGIDLPYSCRSGSCSSCAGKVVAGSVDQSDQSFLDDDQIAAGWVLTCAAYPTSDPDPPLRLRHRIRRSRAGSAAPEQDPPCRSRICRSPGRAPSPLEEGAGSRVTPSLEERVGPRALARRPASLSSGCRAPASVPPGGGVLARGPRPHWGACLDLTPMATALSSSLRAPAFSLGLAAAPVATVVALPAAKPARGARLRAQATYNVKLITPDGEVELQVPDDVYILDQAEEEGIDLPFSCRAGSCSSCAGKVVSGTVDQSDQSFLDDAQIEGGCSTPASHSFLLLRSPHRPAPQHTMATVLSSLRAPAFPSSLRVAPAPAPATVALPVTKAAVARGARLRAQATYNVKLVTPGGEVELQVPDDVYILDQAEEEGIDLPYSCRAGSCSSCAGKVVAGSVDQSDQSFLDDDQIAAGWVLTCAAYPTSDHTQPLLAAPAPAGTTTMATVLSSLRAPAFPSSLQVAPAPAPATVALPATKAAMARGARLRAQATYKVKLVTPGGEVELQVPDDVYILDQAEEEGIELPYSCRSGSCSSCAGKVVAGSVDQSDQSFLDDDQIAAGWVLTCAAYPTSD >Sspon.04G0007390-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4B:17758914:17759778:-1 gene:Sspon.04G0007390-2B transcript:Sspon.04G0007390-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYYPRNCCLGGANSLIKPSTMHLLISCLAAVLFFLHVDVAPAACAAPGTFVYAGCSPSRYAPNTAFESNLNSLLASMASTASSGATYNTFTSGAATSAGPEAESESAAGAAASAAYGLYQCRGDLRPGECEACVRDTVARLGAVCAGATAASLQSDGCYVRYGAARRNLVGRALADTSVAYHRCSAGTSGDAWFLRSRNAVLSDLQGGVDATAAASSGGGYKVSASGPVQGVAQCLGGVAAADCTACLAQAVAQVHGTCGAALAADVYLVQCSVRYWTNANYVRPSQ >Sspon.05G0014400-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:47572093:47582631:1 gene:Sspon.05G0014400-3C transcript:Sspon.05G0014400-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMTAADGPPVEPQSLKKLSLRSLKRSLDLFAPAHALLFTPDAESKRIRTGCKVRAEYGAVKDLPPEQGRGGQGKGPAPPSSTALALPGTKDTKDGHREGTSNAIVPVPLMLPKAPESTRPGKNTTLSIPGSSDRFSTSALMERIPSRWPRPIWHAPWKNYRVISGHLGWVRSIAFDPGNEWFCTGSADRTIKIWDLASGTLKLTLTGHIEQIRGLAVSQRHTYLFSAGDDKQVKCWDLEQNKVIRSYHGHLSGVYCLALHPTIDILLTGGRDSVCRVWDIRTKAHVSALTGHDNTVCSVFARPTDPQVVTGSHDTTIKFWDLVAGRTMCTLTHHKKSVRAMALHPKEKAFASASADNIKKFSLPRGEFLHNMLSQQKTIINSMAVNEDGVLATGGDNGSLWFWDWKSGHNFQQDQTIVQPGSLESEACIYALSYDVSGSRLVSCEADKTIKMWKEDLTATPETHPINFKPPKDIRRY >Sspon.08G0004430-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:13070763:13074744:1 gene:Sspon.08G0004430-3C transcript:Sspon.08G0004430-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALKSSRCSDESYIEPVLCWKKESRSSVIKIVDSSLNSSLMPVDSICSIPASEDGFYLNKRRKMDEECDHLLTNGNMRDGSTTKFTTTGYISSPEHNTDGQSFMVNSSAEFSAGSRSNVNVNTGQPSSSSSAPSYISQSIKDAWECSLPDTNTAKQVTELTSARDLCISILKRKICPAKDSELSRTSSTIDRDDNLNSPLFECMKCGLMEDPSKMLICDCCEGAFHLLCCNPCVKKIPEEEWYCLACKKKKPKRQRGKLTNPKVKSSKDIERPRRGLGPIRDMLVDSESYESDVRIGSKFQAEVPEWSGPISRAPLFVVQSSDWDCSCSVVWDPFHADCAVPQELETAEVREQLKYINK >Sspon.07G0002150-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:4247931:4250093:-1 gene:Sspon.07G0002150-3C transcript:Sspon.07G0002150-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLDYYKILGVDKGATDDDLKKAYRKLAMKWHPDKNPNNKKEAENRFKQISEAYEVLSDPQKRAVYDQYGEEGLKGQVPPPGAGGAGPGGATFFSTGGDGPTVFRFNPRNAEDIFAEFFGGSSPFGGMGGAGMGSSGMGGGMPGMRSGGTRFSSSIFGDDIFGSAFGGGPDLHGMHTGGRPVKASAIERKLPCSLEELYKGTTKKMKISREIADASGKTIPVEEILTIDVKPGWKKGTKITFPEKGNETPNTIPADLVFIIDEKPHPVFTRDGNDLVVTQKIPLAEALTGYTAHVTTLDGRSLTVPISSVIHPGYEEVVRGEGMPIPKDPSRKGNLRIKFDIKFPARLTSDQKAGIKRLLGQ >Sspon.07G0028140-3D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7D:81669600:81671822:-1 gene:Sspon.07G0028140-3D transcript:Sspon.07G0028140-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTGTRLHASAIKLGLCVDTFTANHLLIYYSKRRHLARALDVFDETPHRNLVTWTAMVSAAARLTWVSGSSAPWSGPGSAPNEFALASALGACCQSVAMDDVKLGCSFHGLAVKAGLDGNPYVGSSLMLVYAKHGRVAAVERVFAGIASSSRDVACWNAMLEGYVANGRGYDAMRTVVLMHRSGMAADMFTYISAVKASSITCDLNFGRQVHGLVIHGMFESNTSVMNTLMDMYFKAGQKETAVGIFGKIGWKDTVSWNTMISGLAHDEDEMAAADCFVDMSRYGCKPNQVTFSVMLRLSGATESASLGLQIFGLAYCHGYSDNVLVANAVISMLSQCGLLSCAYGFFCNLGVRNIVTWNEMIAGYSLHVCSDDAMRLFRSLVCFGARPDEFTYPAVLSAFQQDHDARNHEQIHASVLKQGFASCQFVSTSLIKAKAALGSVLDTLKIIEDAGKMDLVSWGVTISAFVKHGLDKEALFIFNLFRADCPEKPDEFILGTVLNACANGALIRQCICIHSLVVRTGHSKHFCVSSALVDAYAKCGDITAAKSAFAEVSSVTEDAILYNTMLTAYANHGLIHEVLSLYQDMTHLQLAPTPATFVAVISACSHLGLVEQGKLLFSSMLSAHGMNPIRANYACLIDLLARRGLLEEAKGVIEAMPFQPWPAVWGSLMNGCRIHGNKELSVLAAQQILRMAPNSDGAYVSLSHVYAEDGDWQSAEDTRRKMAENQVQKAQGYSSVEI >Sspon.02G0013410-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:35708513:35710894:-1 gene:Sspon.02G0013410-1A transcript:Sspon.02G0013410-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRQPLLPSFLYAPSSGVSGGSRDAPSVVVAAAPSEPFGKIEMFSPAYYAAGAIGGAAACGFTHAAVTPLDVIKCNIQIDPAKYKSTSSAFGVVMREQGVRGFFRGWAPTFVGYSAQGAFKYGLYEVFKKKYTDMAGPEYAAKYKTLIYLAGSATAEVFADVALCPMEAVKVRVQTQPGFARGLSDGFPKIVRAEGYAGLFRGLVPLWGRQIPYTMMKFATYENIVEMTYKHLIPTPKDQCSKPLQLGVSFGSGYVAGVFCAAVSHPADNLVSFLNSSKGATVGEAVKNLGLLGLFTRGLPLRILMVGTLTGAQWMIYDSFKVMIGLPTTGGAPAPTVPIEGLGELKASA >Sspon.06G0000030-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6A:254238:255552:-1 gene:Sspon.06G0000030-1A transcript:Sspon.06G0000030-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGRNNFCLVQCFSVDETAIQHYTKALELDDEDISYLINRAAVYIGMGKYDECIKDCDGGDVGPEESHARFSTSRKTQADKERSSTNEPRTKMAAGSLWLRCETRDRRRDGEQYYDD >Sspon.01G0020600-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1A:76516060:76516306:1 gene:Sspon.01G0020600-1A transcript:Sspon.01G0020600-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATALRACTATAATRWRAAPLPSTARCLPISPPPLTTRRQVLRGDVMWSTATAPARRSSPRLAAILLLYGIRAPLAGYRSYP >Sspon.07G0037510-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:54223341:54223931:-1 gene:Sspon.07G0037510-1D transcript:Sspon.07G0037510-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDDLVQDRELSKGLIIIRNASTGPSVMGYTVQTKSLATRLSTVDVTYIVMDRALEGTIAVEVLQGGFHGKITALTANMHDTLVLYDTKEADAMIVDDCGDIQFMRPVVSVYVKDLLIIVAQNSDGKSESVKFTPIINGRDEGSLAVGFTKMRVKLSCDAVVTLSYADLVGPDK >Sspon.07G0005020-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:11311957:11318560:1 gene:Sspon.07G0005020-3D transcript:Sspon.07G0005020-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ECQKQEDSLIPLEQLARQKVAEMKTTRDSEKNQSTALKAILQAKESNEIQGIYGRLGDLGAIDAKYDVAISTAASSGLNYIVVETINSAQACIELLRRRNREETVTCLILEKQTHLLHKIKEKVKTPEGVPRLFDLVKVKDEKLKLAFYHVLGNTVVANDLDQASRIAYTAAKEFRRVVTLGGELFEKSGTMSGGGKRVQRGMMGTAIRESFSEEAIKTAENELTELVDKLNKLRENMNDAKKHYRSMEDAKSRLEMELAKAKKEASELQQKIENAGGKMLKDQKAKVGNIQSELDKTSSEINRHKVNITSGEKLVKRLTKGIEESKKDREKLFAEKENMMSMFKEIEKKAFVVQEEYKKTQEMIDNHKVELDKTKEEYTKLKKAMDGLRASEVDAEYKLQDTKRLAKEWEMKVKTFKKRLDEIQTNVVKHMDQIQKDAVDPEKLKATLGDEQLNDTCDMKRAMEMVALLEAQIKDLSPNLDSIAEYRTKARLYGERVDELNATTQERDDLKKLYDGLRKRRLDEFMAGFNIISLKLKEMYQMITLGGDAELELVDSLDPFSEGVVFSVRPPKKSWKNIANLSGGEKTLSSLALVFALHHYKPTPLYVMDEIDAALDFKNVSIVGHYVKDRTKDAQFIIISLRNNMFELADRLVGIYKTDNCTKSITINPGSFAESMKVV >Sspon.04G0032400-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:25885129:25890815:1 gene:Sspon.04G0032400-1C transcript:Sspon.04G0032400-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRNGVVECSVCRSRVVVPSPRSVSRAYDKHRSKMSSKFRALNVFLVVGDCILVGLQPILVFMSKVDGKFQFSPISVNFLTEVMKVIFAIVMLIIQSRKQKVGEKPLLARSTFIQAARNNVLLAVPALLYAINNYLKFIMQVLVIAVLLKFIMRRRFSVIQWEALALLLIGISINQLRTVPAGNTAFGLPVTAIAYIYTLIFVTVPSMASVYNEYALKSQYDTSIYLQNLFLYGYGAIFNFLGILGTALFQGPESFNILRGHSRATMFLICNNAAQGILSSFFFKYADTILKKYSSTVATIFTGLASAAFLGHTLTINFLLGISVVFISMHQFFSPLAKVKDDKPADLIELEDTQNHRSSESSFVNMTAGAADDVG >Sspon.03G0028330-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:9097163:9098146:1 gene:Sspon.03G0028330-1T transcript:Sspon.03G0028330-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSVCLLGCSKRDIRKGGLVWGGCKLDNVPLETIQHQPLKATNITNIIFFATLDFMPLLRRLHLRFPPWKIQNPRLHGATLLICPSVHDQGFCSFHLLNTEWNGKSAGTLGVTLTVSLPALEPPICIQTSQVGHCNSPATLQLGVLYVSLGLLTIGAGAIQP >Sspon.03G0047020-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:51063796:51064436:1 gene:Sspon.03G0047020-1D transcript:Sspon.03G0047020-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding QMEASKEVVDFLFCLLALPVATAVELVGKEAMVGCVGNLYASVDKLDSTYVQTGAARDALLCPTVLSPAASTNSSLLRLPAAPSSGAPGSQRATSSAPTDATS >Sspon.04G0008780-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:30551259:30558918:-1 gene:Sspon.04G0008780-4D transcript:Sspon.04G0008780-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRDPLVVGNVVGDILDPFIKSASLRVLYNNRELTNGSELKPSQVANEPRIEIAGHDMRTLYTLVMVDPDSPSPSNPTKKEYLHWLVTDIPESTNVSYGNEVVSYESPKPSAGIHRFVFVLFRQSVRQTIYAPGWRQNFNTKDFSALYNLGPPVASVFFNCQRENGCGGRRYIR >Sspon.01G0021190-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:76322913:76324867:-1 gene:Sspon.01G0021190-3C transcript:Sspon.01G0021190-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to actin [Source: Projected from Oryza sativa (Os10g0510000)] MADAEDIQPLVCDNGTGMVKAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQSKRGILTLKYPIEHGIVSNWDDMEKIWHHTFYNELRVAPEEHPVLLTEAPLNPKANREKMTQIMFETFSVPAMYVAIQAVLSLYASGRTTGIVLDSGDGVSHTVPIYEGYALPHAILRLDLAGRDLTDSLMKILTERGYSFTTTAEREIVRDIKEKLAYVALDYEQELETAKSSSSVEKSYELPDGQVITIGAERFRCPEVLFQPSFIGMEAPGIHETTYNSIMKCDVDIRKDLYGNIVLSGGSTMFPGIADRMSKEITALAPSSMKIKVVAPPERKYSVWIGGSILASLSTFQQMWISRAEYEESGPAIVHRNIVNPVAKLALATSFLLL >Sspon.04G0008970-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:17103101:17106835:-1 gene:Sspon.04G0008970-1P transcript:Sspon.04G0008970-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLASWSAYDTQPAWALAAPLAALGLLLCARAAARLALWLYAAFLRPARPLRRRYGTWAVVTGATDGIGRALAFRLAAADLGLVLVGRSPDKLAAVSAEVAVRHPGAQVRTFVLDFAGDGLAAKVRALGEFLAELDVGVLVNNAGACYPYARYFHEVDEALVRNLVRLNVDAVTRVTHAVLPGMVRRRRGAVVNIGSGASAILPSDPLYTVYAASKAYIDQFSRCLYVEYRSKGIDVQCQVPMLVATKMASIKTSSFFVPSPDTYAHAAIRYIGYEPRCTPYWTHALLWLLFSLVPEPVANKMILNVALDVRTKGRDKDAKRKIH >Sspon.08G0000370-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:1896636:1898280:-1 gene:Sspon.08G0000370-2P transcript:Sspon.08G0000370-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTVAAFLLVAIVLLSGGGALTSRTAAARRHHHHHTDDDYEAVFERQEADRVEALPGQPSEVGFRHFSGYVTVNQTHGRALFYWFLEATHDVHKKPVVLWLNGGPGCSSLGTGAIQELGPFLIQNPETNRHELRLNPESWNREANLLFLESPAGVGFSYTNTTSDLQEFGDELTAHDAYTFLVEWFKRFPQFKRHDFYITGESYAGRHYVPQLAEKILEMNKKVNKSRHIYLRGFMIGNAAIDDASDYRGMVEYAWDHAVISDELHDAIATFCDFSRNDAGSDFSSDAAASQQSDACDQAMDAFYEAFDDIDIYSLYTPVCTNSSSSSSSRRGGGAAAMPKNMRRRRRYVYGDYDPCLDQYAISYLNRGDVQRALHANVTGSIPYSWAPCSDALFQQWQDSPASTLPAIRRLADAGLRVWVYSGDTDARVPVTSTRYALRKLGLASVEPWREWFTSDQVGGYTVAYDGLTFVTVRGAGHMVPTVKPVQARQLLAHFLAGKDLPRTPPAIVTEDD >Sspon.03G0036900-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:95349577:95351884:-1 gene:Sspon.03G0036900-1B transcript:Sspon.03G0036900-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSALGRRIYACPVGISEWKNRTLLQSMYRSDVKAPQASGAIPLISKGASYALSRKLVLYNGERFNSTFVALVCTQAFYLITPN >Sspon.01G0027390-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1A:96007885:96009932:-1 gene:Sspon.01G0027390-1A transcript:Sspon.01G0027390-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQAVISNDPTVQLEATTHFRKLLSIVVPLFVKLLNSHMEDVGCMGVTKERATSSSSCTAGRVPEAPRPPVIAISGSTSWIT >Sspon.01G0039020-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:20353339:20355848:-1 gene:Sspon.01G0039020-2C transcript:Sspon.01G0039020-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPVLDMLLRVAVVLSALSLAAFTPADNYLVLCGTAENATVDGRTFVGAANASAAGSASGSDDPALYQYARVFAAPSSYTFAVKSPGRHFVRLHFFPFRYQFSVSVQGLVLIDGYPSTARLWSGSLVERCWHAGDRVAFVNAIEVVSHPDDLFGDKAQTVNPMGRYTGLSTQALETVHRVNMGSPKITPRNDTLGRTWLPDESFLVDSSITVHKEMPPSKLRMVYATATEINTDLVSASTISVQFNMTWKLQATPGSAYLLRLHFCDIVSKAANGLVFNVYVGGWNVLPDYEISKDTFSVVAVPLYKDFVLSAKDAKGNITVSIGTSTLGNADPDGVLNGLEIMRMIGSTGGGGAASSQSGSKKTIIAIVAGSAVAGVSAVMALALIVLWVRRRKKPEKKSSNTWAAFSASALGSRAHSRSFGKSNSGGARNNTVTLGQNAGAGYRIPFAALEEATCGFDEGMVIGVGGFGKVYKGTLRDETRVAVKRGNRQSQQGLNEFRTEIELLSRLRHRHLVSLIGYCHERGEMILVYEYMARGTLRSHLYDSELPPLSWKQRLDVCIGAARGLHYLHTGSAKAIIHRDVKSANILLDDSFMAKVADFGLSKTGPELDKTHVSTAVKGSFGYLDPEYFRRQMLTDKSDVYSFGVVLLEVLCARPVIDPRLPREMINLAEWATQRLKNGELDSIVDQRIAGSIRPESLMKFVDTAENGAARHGDVLWCLEFALQLQEASPDSSGTGSTQLVPRSASKFHRNQSTVSDGTEATVSANLGELDGMSMTRVFSEMIKSEAR >Sspon.03G0024160-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:58181014:58184904:-1 gene:Sspon.03G0024160-3D transcript:Sspon.03G0024160-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDALTRLHRSLVGGDDEDQPEDSILADTEGLCSLSPLQRIYAFAACLVAGLALMILSFIVFVRPIKFAVMFTFGNILAVGSTAFVMGPQKQLRMMFDPVRLYATAIYVGCVVLALIFALWIHDKLLTLIAIICEICALFWYSLSYIPFARRMVSDLMVKLCDTEL >Sspon.04G0009550-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:28360701:28363574:1 gene:Sspon.04G0009550-1A transcript:Sspon.04G0009550-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVAPRVLFLVRDAVGYGAALADALRPRPGLTRESSPFELPLGKYGLDGEKASGELLNFSDSNSSPQVSIFVLPDYKPPLAACVVNEVLELISSEATSTERVLIVPFITRSSSYHHGMEHATKAAPVLHGAEIGATTDYTHLFVGGTTKPPTSLQIRSEPILCLLEMVRVLKMPTVLLVTSGGQQQGKSSTVSDLEVLQCLGEHLAKHMALEFSKETVLKTGIEKSPIDQEPWRELYG >Sspon.04G0013020-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:42330277:42337842:-1 gene:Sspon.04G0013020-2B transcript:Sspon.04G0013020-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxisomal fatty acid beta-oxidation multifunctional protein AIM1 [Source:Projected from Arabidopsis thaliana (AT4G29010) UniProtKB/Swiss-Prot;Acc:Q9ZPI6] MAAGSIRVTMEVGADGVALITIANAPVNALHPIIIAGLKDKYAEAMRRDDVKAIVLTGDVSLMPDVSVELVSNMMEEGKKPSVAAIQGLALGGGLELTMGCHARISTPEAQLGLPELTLGIIPGFGGTQRLPRLVGLPKAIEMMLQSKFITAKEGKERGLIDALCSPDELIKTSRSQGFQGAGDSTNIKGSCPCFLCTTFYDKGVTDVQLKPRPIRKVAVIGGGLMGSGIATSLLVSNISVVLKEVNPQFLQRGEKMIAGNLEGLFKRGSLTKDRMHKAMSLLKGALDYSDFKDVDMVIEAVIEKIPLKQSIFSDIEKICPKHCILATNTSTIDLNVVGEKTNSQDRIIGAHFFSPAHIMPLLEIVRTEKTSPQAILDLITVGKIIKKVPVVVGNCTGFAVNRTFFPYTQGSHLLVSLGIDVFRIDRVISSFGMPMGPFQLQDVAGYGVALAVKDIYADAFGERNLESNLVDLIVKDGRQGKANGKGYYIYEKGRKPKPDPSVNHVIEEYRKQANAMPGGKPVTLTDQDILEMIFFPVVNEACRVMDENVVVRASDLDIASVLGMGFPKYRGGLVFWADTVGASYIHSKLSKWAEIYGPFFKPSSYLEQRAKSGVPLSAPGTSQQGSARSRM >Sspon.04G0011390-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:37871584:37874327:-1 gene:Sspon.04G0011390-4D transcript:Sspon.04G0011390-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRVAGRRLSSALAWRPAAAGTRGPFAGTLPGRDDDDTRDRRARFAIDSPFFAAARGFSAETLVPRNQDVGLAELPPTVAAVKNPSGKIVYDEYNHERYPPGDPSKRAFAYFVLSGGRFIYASLLRLLILKFVLSMSASKDVLALASLEVDLSSIEPGTTVTVKWRGKPVFIRRRTEDDIKLANSVDVASLRHPEQDAERVKNPEWLVVIGVCTHLGCIPLPNAGDFGGWFCPCHGSHYDISGRIRKGPAPFNLEVPTYSFLEENKLLIG >Sspon.01G0011200-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:25399126:25401653:1 gene:Sspon.01G0011200-2C transcript:Sspon.01G0011200-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDESNEREATPLQRFSWQLCSNTAWRAHAGIVLVQLAFSGYHVLTKAVLNAGMNQVVFCVYRDLVALAFLAPVAFLRERRVRPPVTPQLLACFALLGFTGLFVNPLLFLVGLRYTNASYAAAFEPSVPVFAFLLAVIAGVEAINIFSKDGILKVIGTVVCVSGALLMALYRGPSLIGLLGGATASASENVSTIIPAQWLTSTVLERGVETWFLGALCLIGHCFLVGAYLVTQVSVITRYPASLSLTAYSYFFATVFMVFTGVVATNGLHEWALTKTEIIAVLYAGIVASCMSYALMTWANKILGPSLVALYNPLQPAFSIVLSTIFLGAPVYTGSIIGGFFIIAGLYLVTWARYNEARRATTDDYLDPLLVGHPRITKAQESSFMDP >Sspon.05G0004700-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:8502857:8504665:1 gene:Sspon.05G0004700-2C transcript:Sspon.05G0004700-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKCYSDWPPIPPLHPSRRTPPQSHASPCTIRRSFVLRCSRSCAPLLEPKNLPADEFEAVPAATPVSPLPDAAPMLGISNKFIRGLCGDPQTEQLAFEGYRRALLQPEFRLEKKTANALTLQLLRDKQWGSLELLVEDFRAYGVLPEKRTCARLVACCIRARRFGLADAVLGAVEAKKGAAAAMAFSAAMQAYNRLHMYSSTVLLYGRARVARLPLNADAYRAVMAACGALGEPDMVASLFKLYRSHKWYPSDACVETYAIVCDALGKASRALDALRCLREMEADGLSPDAAIYSSVIGALADAREKAAAEDLYHEAWHSKMLGDPDMFLKLTIMHAEAGLVESTIEVAKDMRQIGLRVTDCILCTIISGFVKMRGLKPAIRAYDKLVSIGCEPGQVTYASVINVYCRLGRSDRAEAVFSEMIERGFDKCVVAYGNMISMYGKIRRASEAMRLLALMKQNGCEPNVFVYNALLDMHGRLGNSKQAEKIWKEMMRRKIWPDRISYTAIILANNRAGELNRCMELYQEYRETGGKVDKVMAGLMVGVLSKSSRFNDLIELLKDMNGTKLDRRLYMSAQRSLRDAGLEVHVKWLQSNFTFMEEKT >Sspon.08G0007990-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:27180268:27181561:1 gene:Sspon.08G0007990-3C transcript:Sspon.08G0007990-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPGGGPLSVPPGFRFHPTDEELLYYYLRKKVAYEPIDLDVIREIDLNKFEPWDLKERCRIGTGPQNEWYFFSHKDKKYPTGTRTNRATTAGFWKATGRDKAIFLGNARRIGLRKTLVFYIGRAPHGKKTDWIMHEYRLDEENVEIQEDGWVVCRVFKKKSYHQRGLNPAEIAALDDDELQPFPVPIPGSSLPTEHKNNPHLMQYDFPSFDPSMQLPQLMSADQPVPTLLPSHPGVAMAMSSLDVECSQNLTKLTSNGSDGMLHVHGGGAGGGVDRFAGTTDWSILDKLLASHQNLDQLFHGKVTAASASPMAAYHHQLMELGGSSSSSSLQRLPLQYLGGETADLLRFP >Sspon.07G0027050-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7B:58789790:58790374:1 gene:Sspon.07G0027050-1B transcript:Sspon.07G0027050-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARMLMLMSHSHGQDQAPPLPVVVAGGRGGDRAAPERVFVCKTCNRVFPSFQALGGHRASHKKPRLDGDGDPSLAKPKLHGCSICGLEFAIGQALGGHMRRHRAMTSGMPIMPVPPATTRIVDKKPDGDVIIHHGHGHGGVRPGGLWLDLNHPPCADDGCDAEVECGHINAAAAGITFHQFLDTATMAVDCLGY >Sspon.08G0016820-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8A:66059196:66060073:1 gene:Sspon.08G0016820-1A transcript:Sspon.08G0016820-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLRPSPINYHSTYIPFIDPAARPYSTASPAPPAPVLYAAHAAALLCMPLLLLLRHPTCCSCSSARPPHCSRHYSCSAARAPDDADRHRQRKEKRRALSRKPSGLASCYGCGVTHKIYVGKRLTARTASG >Sspon.07G0014790-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:58200196:58202840:1 gene:Sspon.07G0014790-2B transcript:Sspon.07G0014790-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MERSQESSTPIGNKTRHNCRGAPKKKNCSQIRSTPLADRRIPPSAARQGARLLPPLPHLSSVPMGFLKSTFSLLIGTGCGIYIAQNYEVPNIKKLMWTLMGKAKEFEESYKKQGNGKNKDNE >Sspon.02G0015440-3C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:43024565:43028216:1 gene:Sspon.02G0015440-3C transcript:Sspon.02G0015440-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable acyl-activating enzyme 17, peroxisomal [Source:Projected from Arabidopsis thaliana (AT5G23050) UniProtKB/Swiss-Prot;Acc:F4KBF3] QGQAYWKMVFEEMGVEFSAEPSCIWRENAVYPGGEWLPGAKLNAAANCLAAKPGRNADSPAIVWRDEGKDSEPLNFMSLEELRKKVWWSSLVANALDALELPKGSAIAIDMPMDVNAVVIYLAIVLAGYVVVSIADSFAAPAIAMRLKISEAKAIFTQNILLTPYFGFYSRVVEAKAPMAIVIPVRGSLPIKGLRVDDLSWQDFLGKVNHTEAENYTAVKQPAYAFTNVLFSSGTTGEPKAIPWTHITPLKAAADGWCHMDIRKGDVVAWPTNLGWMMGPWLVYASLLNGASMALYNGSPNSSGFAKFVQLPNFQDAKVTMLGLVPSIARTWKNTDCTAGLDWSSIRCFSSSGEASSVDDYLWLMGRAGYKPVIEYCGGTEIGGGFVTGSLLQPQALSAFSTPAMGCNLFILDSSGNPLPQDSVGIGELALDPTLFGSSTTLLNADHQEVYFNGMPEWHGKILRRHGDEFERTSDGYYRAHGRADDTMNLGGIKVCNALWKYETAHCNAAFADPRMEFHDHFQVSSIEIERICNRVDDAIVETAAIGVPPVGGGPEQLTVAVVLKDQSSQVEDLNQLKLAFNTALKKLNPLFKVTSVVVVPSLPRTASNKVMRRVLRKEFSQAAQAKHSKI >Sspon.01G0056920-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:90302904:90307466:-1 gene:Sspon.01G0056920-2D transcript:Sspon.01G0056920-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEAVTAAELLYGMPLIRSVTGDGGGGVETTGALLVELKQLWGEIGKSREERERMVRELEAECMRVYRRKVDEATGERAMLHQSLAAGEAEIAALTAALGSDNSPQLKVNKWTMSLTERVSSATTLLEELRAVKAERSRQFADIRSEIEKITAEIGYGYEGSPRASEVVEEHDLTIRRLNEYKVRLTSLQKEKSDRLHRVLEHVTEVHSLCDVLGEDFIAIVNEVHPGLHLHETSDPGKPTSISDSTLSSLAQVVAMLASEKAKRAAMLREAVVPLVELWELMDSSEEERRGFRKVTAVLNPDRVDALSSGVLSVATIKKTEEEVERLTRLKAGRMKELVLKRRLELENICRSMHVEPDASTVPEKSIALIDSGLVNPSELMASIDEQIAKAREELQSRREIMEKINKWLLACEEEKWLEEYNMDENRFSTGRIARLNLKRAEKARLIITKIPAVVDNLISRTLAWESERKKPFLYDGARLVAVLEEHKQARIRQEEERRRLREQKKLRTLLSEKEAMPHLKRPGSSFGRAAEPCNVNRKRVDAGRFASSAAPSVRSGASSSSSSGGASATELIRPRSSAAGAGLGQCGEFFKGARRLSAPPFNYVGVSKGGSMSSSLALS >Sspon.01G0052740-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:25918880:25925224:-1 gene:Sspon.01G0052740-1C transcript:Sspon.01G0052740-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNASGREEDAVAVDGDGADVEDGGGDSSVRSSERGFPPYGSGGANHVRRACSVGVVGGGGGAGSPPGSPGHSLSPRMFVPQTPVPPLQRAADVTPVFNQILMNEQEEEYDGPPQKEIPALIVWTLGGKNVYVEGSWDNWKSRKAMQKSGKDYSLLLVLPSGVYRYRFVVDGERRCLPDLPCETDAMGNAVNLLDVNDFVPESVESVAEFESPPSPDSSYSFQAPEEKDFAKEPPALPSQLHLGVLNSQNSVESCARPQHIVLNHLFIEKGWGAHPLVALGLTHRFESKYVTVVLYKPIER >Sspon.01G0007060-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1C:16734817:16736157:1 gene:Sspon.01G0007060-2C transcript:Sspon.01G0007060-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSGGSLLRGFLSLFFLLFLHIGHASCCFSPGPAARAREEDEATDADGDGKVGGGGGSSKRRKISPLIFSPAASSSAVTSVSVRGAPRRHVSSLATSLRFYIHRIFSSSGSGAKNGGTVAGSREEEEAVATTVSSPLAQSLPHQPSTSVVLSTPSSPCASSPFMSPLSVRSLSATPVPSSSPQKLLQASRQSSRSFAARGDVFPCKVCGEVLGRPQLLELHQAMKHSLSELTHLDSSLNIIRMIFLAGWKPAAASGAGAGEAPAVRRILRIHHNPRALARFEEYRDLVRARAARRCGEGAVVEERCIADGNERLRFHCSTMLCSLGGGGVCGSPYCCVCSTLRHGFAGKQADVDGIATYASAWAAHASLPADVEREFAFLQVRRAMLVCRVVAGRVARGPADDKVAYDSMVPVRGGVSGVGADDVELLVYNPRAVLPCFVILYSS >Sspon.01G0031430-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:107843087:107850153:1 gene:Sspon.01G0031430-1A transcript:Sspon.01G0031430-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLGLFPSSVAASTAIRAPAPAAPTRASNRQPPHARRLPVLGRRAPAMDALRVSGAAEPLLRPAAGGHPRLRVRTHAQGGGGAGRGASTEGDAAFSWAPVILPFFFPALGGLLFGYDIGATSGATISVQSPDLSGTDWFSLSSLQLGLVASSSLYGALGGSLLAYRIADFLGRRIELVTAAALYILGALVTGFAPNFVALIIGRVLYGIGIGLVSICRMVLGNAWCPSLYCGDFSSQIRGTLISLKELFIVLGILLGYFVGSLEIDNVGGWRYMFGFSAPLAAIMAIGMWTLPSSPRWLLLRAVQGKASMEDNKKKAIQALRTLRGRSTSEKVLTDDVEDTIVSIKAAYAGQEAEGNVWEVFEGASLKAFTIGGGLVLFQQITGQPSVLYYAASILQTAGFSAASDAAKVAILIGLFKLLMTGVAVFKVDDVGRRPLLIGGVGGIALSLFLLAAYYKILNGFPFVAVGALLLYVGAYQVSFGPISWLMVSEIFPLRTRGRGISLAVLTNFGSNALVTFAFSPLKREYAQFLMVKRRMFCKYYTNIAITTSQMDASAPKLCLASTSLDYYTYSIPIKELIKQQRDRQWKSDHHI >Sspon.02G0054770-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:103024785:103025166:1 gene:Sspon.02G0054770-2D transcript:Sspon.02G0054770-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIASERAVVVFTLSSCCMCHTVKRLMADLGVNALVHELDSDPRGKDMERALHKMLGGRGPAVPAVFIGGKLVGGTNRVMSLHIAGELVPMLKSAGALWLQQPAACMANSCMRARE >Sspon.04G0019700-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4B:72487037:72487240:-1 gene:Sspon.04G0019700-2B transcript:Sspon.04G0019700-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGADPKRTSWPELLGIPATPAVMRINHDRPELAVEVLPLGMKLSKGFNPKRVRVFFDPRDSQGLVAK >Sspon.01G0014890-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:56984138:56991845:1 gene:Sspon.01G0014890-2B transcript:Sspon.01G0014890-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MISDVELTNMQLKPEALNSLKLPVRVKAGFLGSVKLKVPWSRLGQEPVLVYLDRIFILAEPATQVEGCSEDAVQEAKRTRVREMEMKLLERQQQLKSELNSSWLGSFIGTVIGNIKLSIGNIHIRYEDVESYSGRLWQETFATGGDLDRVKKSVELESLAVYFDSDSSPWIVDKPWEDLLPSEWSQEQDGSRSASKKHAYILQPVSGKAKYTKIQLTEAKKTGEALQNAAVDLDDVTLSLSKDGYRDMLKMADNFSTFNQRLRYAHLRPSLPVKSDTRAWWKYAYKVVTQEMKKASGSLSWEQLLRNARLRKAYVSLYASLLKSDMSRLVVDDHEEIKRMDRELDMEVILQWRMLAHKFVEQSVETYQYAQQNKKQTGSSKDEEDSKSFTDEDWERLNRIIGYKETNEYIPDQQDMKLMQFDFEIRMKHNASKLTIDDSEFLADLSCQDFCCNLKMYPEAKIFDLKLGSYRLLSPYGLLAESASVIDSFVGIFSYKPFDEQLDWSLTAKASPCYITYLKDSIDQIVGFFKSSPTISQNLALETAAAVQMTLDEVKRTAQQQMTRVLKDQSRFSLNMDIAAPKITIPTKFRPDDVHETKLLLDLGNLVLRTEEIWDAYTSEEQDMYLNFNLVLSDVSAFLVDGDYHWNETSDETNLLPVIDKCGIALKLQQILEDTGALILLFDNDEARKIWQSRLQGAIYRAS >Sspon.06G0003840-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:10182437:10184657:-1 gene:Sspon.06G0003840-3C transcript:Sspon.06G0003840-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLTVMNVITGTLWGGSVGSESERAAVGKEFRHLVAEITDMLGAPNVSDFFPALARFDLQGIRRKSDVLKERFNQIFARIIEQRVSAERAGGEPPAPDFLEYMLKLEKEGGDGKASFTMTNVKALLMMVVGGTETTSNTVEWAMAELMQKPELLAKVRQELDAVVGRDAVVEESHLPQLHYLHAVLKETLRLHPALPLMVPHCPSADATVGGYRVPAGCRVFVNVWAIMRDPAVWKDPQEFIPERFLGGGGAGGEGEGRKWDFNGSEMDYLPFGSGRRICAGIAMADRMTAYSLAMLLQAFDWELPAGARLELDEKFAIVMKKATPLVAVPTPRLSKPELYAA >Sspon.07G0013420-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:53982588:53985187:1 gene:Sspon.07G0013420-2B transcript:Sspon.07G0013420-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAARVLPKAVTFVTGNAKKLEEVRAILGSSIPFQSLKLDREYLLSLSLPQSIFVAPHLQPYTSSSLAERVLALAVQVRASMTRWLASPSCGLRACVPELQGEPEDISKEKARMAASQVNGPVLVEDTCLCFNALKGLPEFDMRIFLLQDPTCLNNLLKAYEDKSAFAMCIFSLALGPGEEPITFVGKTAGKIVPARGPNDFGWDPVFQPDGFEQTYAEMLKSVKNEISHRGKALAL >Sspon.01G0061340-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:92968751:92970115:1 gene:Sspon.01G0061340-1D transcript:Sspon.01G0061340-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKRRSGQYAVGSNLPAQNPANSGPAQPSSQQLLMSTPSSAPSPPSPSPSPSPSRLVPQLLVALLQRRRFDATLRVSPTFPGFSPHSIAAALDAIPRLVLPRSSRRLCPQRPFPSASSPSNRRLSAALTLAFLSWSHDHAHAHPVQISEAPLRAAALALTRAHALSPLFRLLRAHAPLVSTAAITDVIRALGEEGLPRHALATFHRMRQLRCAPDAQCYNTLIAALCQNGRFRDARFLLDQMERPGARCKPDTYTYTVLISWYCRIGVGTGCRKAARRRIYEAGRLFRRMGEKGLEPDVVTYNCLINGLCKTYRVERAHEVFDEMLRKGCSPNRVTYNSFIRYYSVVNQVDKAVEWMREMVARGHGMASTSTYTPIIHSLCESRRVIEARQFLIDMAESGHVPREHTYKLVKAAIDDAGEDALPAELCQSIEDGITARFRPVMQIKPIMRPVTR >Sspon.05G0027380-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:45203700:45219423:-1 gene:Sspon.05G0027380-2C transcript:Sspon.05G0027380-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMALLPSVGLLPLTLSTKTAPTSSPLSVRRPWPRALSIPRVHCCASRTPHPLAAAREAAAFWAGKLAGAVPWKAAVSGVLAVAVSFTCLVGLANARTGVNKPELLPKEFTTVIDVAGFLSSGQENRLRQEIEDLEKDTGYKLRVLAQNYPDTPGLAIKDFWQVDERTIVFVADPTFGNIINFNIGPLVDLDVPRSFWSQVSGKYGNMFCWKEKGEDASIEAAVTAISRCLRNPTGTNNCSEVL >Sspon.08G0029080-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:23393128:23394801:-1 gene:Sspon.08G0029080-1D transcript:Sspon.08G0029080-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding REIALNPSPLCHTQGQKRHVGRKLPNPSFREAATRPARHRHVWAGRAGIRGSAAPSGLRVEGASPLI >Sspon.01G0011540-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:50936845:50939323:-1 gene:Sspon.01G0011540-3D transcript:Sspon.01G0011540-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHAFWAQQQLENAIGLGTDGKLYATVDIDKARVGRTRMVPPVHSPKWDETFHIYCAHDASNIIFTVKADNAIGATLIGRAYLPTEGVVAGQKVDLWLPIRDEKRQPLEGGDQIRVQLQFTNVAADPTAGWGTGVGSAAYGGVPYTFFKQRRGCRVRLYEDAHVAGDFAPRIRLADRSFYEPHRCWVDVFDAINRARRMVYIAGWSVNTDVVLVRDPREPSASSENLGELLIRKANEGLTVLMLVWDDRTSVGLGPIKRDGLMATHDEDTASYFRDTRVQCVLCPRNPDKDRSYVQDIETATMFTHHQKTVIVDGGGRTAPESPPGLVSFLGGIDLCDGRYDTQDHPLFRTLGTTHSKDFHQPNFPGASIRKGGPREPWHDIHCRVEGPAAWDVLENFEQRWKKQGKGDNMLVALNKAWAEREAAPQGDAESWNVQVFRSIDGGAAAGFPEGSADAPREAAALGLVSGKDHVIERSIQDAYIHAIRRARDFIYIENQYFLGSSYAWRQNDGVTVEDINALHLIPKELSLKIVSKIEAGERFAVYVVVPLWPEGVPESGSVQAILDWQRRTMEMMYRDVLLAIRAKGLQADPRDYLTFFCLGNREAPSPGEYVPPEHPDPNTDYERAQQARRFMIYVHAKTMIVDDEYIIVGSANINQRSMDGGRDTEIAMGAYQPGYLATRNRPARGQVHGFRVSLWQEHLGQAAAAAAAAGGDLLRPSSLACVRRMNQVAKQHWDMFASDTFQGDLPGHLMAYPVSVLDDGSVVATTESFPDTDAKVLGNKSDILPPILTT >Sspon.08G0030620-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:63276826:63277263:1 gene:Sspon.08G0030620-1D transcript:Sspon.08G0030620-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding FKKKRNSFRICTLWVISFQQGLWSIVAGFWLIPMKILLAMSILKVFGAISAKELLLKYLKVLWHLLLSKARQGFLHAQEFSLTFDDKCSTILTSACLVTAPFLHNKIVERLRIEVLLPNKRHVDGTLLHYSLHYNVALVSVKNCI >Sspon.02G0009820-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:22092288:22093103:-1 gene:Sspon.02G0009820-3D transcript:Sspon.02G0009820-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMGRLQQHATSSSSTSTSASSSSSKQNKAVWSPSSSSSPQPPKKRPAGRTKFRETRHPVFRGVRRRGAAGRWVCEVRVPGKRGARLWLGTYLAAESAARAQDAAMLALLGRGVGAGAGRLNFPDSAWLLAVPPPSALSGLDDARRAALEAVAEFQRRFGGAAAGGASVDEATSGVSAPSPSSSSLPGISFGSPAAPELEQVPVKAGETAAALDGGVFEPHDWFGDMDLELDVYYASLAEGLLVEPPPPPATAWDHGDCCDAGADVALWSY >Sspon.05G0010570-4P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:30225560:30228671:-1 gene:Sspon.05G0010570-4P transcript:Sspon.05G0010570-4P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVEVAPSLQASSRPPPPEEGEGLRRGIATATWPGGAAATVTGSATGSGDGERDGDRDGERDGDRDAAPSSSMAGDLAAGGGGGGGRGFPWGYFRDGWGTRNCSDYFLRFRFRCVVAVASGGEGSEPVVAWTERDGWLPAAFLAGPKWARPDKRETGLGLGPPPEKTGHLVLCRLAEPEHLSRARLQTGEAHRRLPVSPAPPRMAGATTPASGPLVRVCLPPLLLLLFLYGCCRCGAVEGERTLAMIKPDGLSGKYKEKIKAAILDSGFRIVKETEVQLDAERASLFYAEHSQRSFFDSLVKYITSGPVLAMVLERPDAIAQWRALIGPTDARKAKTSHPNSIRAMCGLDSEKNCVHGSDSPESAAKEISFFFGEADSVDEYFGASVEGLTPHDDNLASVASYTEIAKTRMAEA >Sspon.07G0000850-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:10921840:10923925:-1 gene:Sspon.07G0000850-2B transcript:Sspon.07G0000850-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADVDVEAEVAAAGQPKKRTFRKYSYRGVDLDALLDMSTDDLVQLFPARARRRFQRGLKRKPMALIKRLRTAKKEAPAGEKPEPVRTHLRNMVIVPEMIGSIIGVYNGKTFNQVEIKPEMIGHYLAEFSISYKPVKHGRPGIGATHSSRFIPLK >Sspon.08G0008540-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8A:32049025:32049273:-1 gene:Sspon.08G0008540-1A transcript:Sspon.08G0008540-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHCLVAPPRLGRCPPGRVQGEPVLGPALEVAVCTATGATVHHHDHYHPMVVHRPIFPERRENGGLAYGGTTTPCSVPPPHVW >Sspon.05G0010660-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:32720293:32722858:1 gene:Sspon.05G0010660-4D transcript:Sspon.05G0010660-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGSGSAAIFPNTRTPEDVFTDFRARRAGILKALTTDVEKFYKLCDPEKENLCLYGLSNETWEVTVPAEEVPPELPEPALGINFARDGMPEKDWLSLVAVHSDAWLIAVAFYFGARFGFDKDTRSGSGKKQPKAPSSNGKTKSSSKKPNSNSKPAKQPPPKQEQQIIKEDGGDKDQAYLCGTCGGRYSNEEFWIGCDICEKWYHGDCVRITPAKADYIKQYKCPACNNKRSRE >Sspon.06G0004000-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:12094946:12098641:-1 gene:Sspon.06G0004000-1A transcript:Sspon.06G0004000-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEDVQMNDSEPQPAAPAPAAAAPALSTLHLALVSDLKEIATVIEAGSLSKEVRRISRAFRLTVALRRRLAARDVSAFLAFALPASSEAYGRLTALVPKEDDTEMDVDAAAPATQISIKHGLPEIEIFCYLLVLIFLIDQKKYDEAKACANVSIARLKNLNRRTVDVLASRLYFYYSYVYELTNSLAEIRGNLLALHRMATLHRDELGQETLLNLLLRNYLHYNLYDQAEKLRSKAPRFEAHSNQQFCRYLFYLGKIRTIQLEYTDAKESLLQAARKAPTTARGFRIQCNKWAIIVRLLLGEIPERTVFMQKGMKKALTPYFELTNAVRVGDLELFRAVADKFASTFSADRTRNLIVRLRHNVIRTGLRNISISYSRISLADIAKKLRLDSENPIADAESIVAKAIRDGAIDATIDHANGWMVSKETGDVYSTNEPQIAFNSRIAFCLNMHNEAVKAMRFPPNSHKEKESAEKRRERLQQEEELAKHMAEEDDDDF >Sspon.07G0029630-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:77237058:77239302:1 gene:Sspon.07G0029630-1B transcript:Sspon.07G0029630-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RMGKRRSGRRRAAGDSSVIPSSSSGGSTDHQKSSLSCKSTVHQPARLSQQSGAAAAAEAPRRRPTEDIPALRRRLLGLIRDFYIDAISRLPTADLRTTLARGLLVGGHCYGPLHPVDNIIANSVWYNAAFPLRPEDRVEVDVICTQGTDRAARLSLDGLVACLLHICPSLSPVDALWQLIRSRADLRVAVASASAGSASVQCARPSSVLRSVGREVVKAAFQMAAEAAKHPNPAAFALFASSGLPDVEPDVSDPSPTISQYISTRKRGAIKWCNSLLQIADAALCKFASKTGLHYELHTIYGDSLLDDEDFNDYFHINFMGQPKEDHSSSSGAEPVRALFFFAEAPRPGRHDFREEDISICCLVEPSPPDADNCHACLMKKFKINHPSADLVDDTHDLRFGGQCYGIGAIDHDWNFRPTMIVDYLFIDSDRDNG >Sspon.06G0018170-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:98243676:98245709:-1 gene:Sspon.06G0018170-1P transcript:Sspon.06G0018170-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALHLLHVVYQFILAAATPLAQQPLWFVVVPLTLVLLPVLCLRLHHHPNAGAGDDERKQSKPILPSPPGRLPVIGHLYLVGDLPHVSLHDLAVKHDRGGGLMLLQLGTVPNLVVSSPRAAQAVLRTHDHVFASRPTTKVLHNFLYGSSTIAFDPTVSTGVRKLVTTHLFSVKKVNSFRHARQEEVRLVMAKLKKAMATGMTVDMSETMNTFANDIICCVVSGKLFREDGRNKTFQELIEMNSALYAGFSLANYFPGLVNSLGIFTRFVSKKADKTHERWDEVLENIMSEHERRSFNYRGGDRAEQEEGTDFVDVMLSVQQEYGITRDHIKAVLMDMFDAGTVTSSLVLEFAMAELMRNPHLMTKLHAEVRSKTPNGQEMVKEENLPSMAYLSAVVKETLRLHPPGPLLLPHQSTVDCDIDGYTIPSGTRVIINAWAVCRHLESWEKAEEFMPERFMDGGSAATIDLKGNDFQFIPFGAGRRMCPGINFGLATVEIMLANLMYCFDWGLPAGMDKEDIDMTEVFGLTVHRKEKLMLIPILPGSASCA >Sspon.02G0058810-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:83937002:83942464:-1 gene:Sspon.02G0058810-1D transcript:Sspon.02G0058810-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAPQLAARPDDWTQFRQETMIRCRPLAALAAVAEKVETRCAEGFLQNSAKGREVVERICRYLEAESAGAAPSVFCSQPLRGVARLLHLSFSLVVASRVAAARVLSNSSFKADMKNFQFKLLAILLNCQLNTSEWWLTSETVDKEEESPDEIEISDRPLKRSRDEKSYAYEEDLILSRVSNYINSISDTATLEAFAKKLADLLQKVKAHALTIRDLPMGEQELRDRILMPLQMVTGGACTPDY >Sspon.01G0010500-4P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:35687541:35688625:-1 gene:Sspon.01G0010500-4P transcript:Sspon.01G0010500-4P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASFKLAVAVTWALVLATTACQGLEVGYYKKTCPRVEHIVRDEVKKFVYKNAGIGAGLIRMLFHDCFVQTNTTCKHMQGCDGSVLLDPTPANPQPEKLSPPNFPSLRGFEVIDAAKDAVEKACPGVVSCADIVAFAARDAAYFLSRFNVKIDVPAGRLDGRVSNASEALDNLPPPVFNVTDLIASFAAKGLGVEDMVVLSGSHTVGRSHCSSFVPDRLAVPSDINAGFANFLRRRCPANPAPANDPTVNQDIVTPNALDNQYYKNVLAHKVLFTSDAALLTTPATAKMVGDNANIPGWWEGKFKKAFVKMSQIEVKTRNQGEIRKYCRAVNTK >Sspon.02G0024900-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2A:85700326:85700604:-1 gene:Sspon.02G0024900-1A transcript:Sspon.02G0024900-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAIRVSLLSPAAEAKPKAFCCLAVATLPCASTRHVLGGTSLESTGWDQDGLLALCWASCKGPPMRAMRLGCGAGAANGRRAQHHASRTRRS >Sspon.01G0006290-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:21236680:21239380:1 gene:Sspon.01G0006290-1P transcript:Sspon.01G0006290-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASITLLSVAPTATFLHIPASTSSSFVAAPGILAGRRAAPRALPLRARPPRRVTVVCSGAAAAAEASDAAAPVEKFRLDNLGPQKGSRRRPKRKGRGIAAGQGASCGFGMRGQKSRSGPGVRRGFEGGQMPLYRRIPKLRGIAGGMHIGLPKYVPFNLKDIVRGGFKDGDEISLETLKSRGLINPSGRERKLPLKILGDGDVSVKLNIKAGAFSASAKEKLEAAGCSLTLLPKRKKWLSQNYLKNQARAEEYFAKKKGGAVWIACSL >Sspon.02G0026640-4D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2D:76393643:76397126:-1 gene:Sspon.02G0026640-4D transcript:Sspon.02G0026640-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMFFFPTFELDSFELPRRDSSRDADIEMGMHQADASDNLKDFLKKVDAIESLIAKLTNLLNKLQTANEESKAVTKASSMKAIKQRMEKDIDEVGKIARQAKTKVDELEKDNLSNRQKPGCGKGSAVDRSREQTTGAVKKKLKERMDDFQTLREAIRQEYREVVERRVFTVTGNRPDEETIDDLIETGKSEQIFKDAIQHQGRGQILDTVAEIQERHDAVRDLERKLLELQQIFMDMAVLVEAQGDMINNIETHVSNATNHIQHGVTALQNAKKLQKNSRKWMCYAIILLLVIVVVIVVAVIQPWKKG >Sspon.07G0010990-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:42463405:42464190:-1 gene:Sspon.07G0010990-2B transcript:Sspon.07G0010990-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MCCCGDGGECRPLGWLLGLPFALLAVLVSIVGAIIWIIGLPISCICPCCLCVTVVLEAAVELIKAPLHVMTWFTSKIPC >Sspon.07G0033660-2D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7D:54177943:54180046:-1 gene:Sspon.07G0033660-2D transcript:Sspon.07G0033660-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDDLVQDRELSKGLIIIRNASTGPSVMGYTVQTKSLATRLSTVDVTYIVMDRALEGTIAVEVLQGGFHGKITALTANMHDTLVLYDTKEADAMIVDDCGDIQFMRPVVSVYVKDLLIIVAQNSDGKSESVKFTPIINGRDEGSLAVGFTKMRVKLSWSVMNP >Sspon.05G0016820-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:65424922:65430257:1 gene:Sspon.05G0016820-3D transcript:Sspon.05G0016820-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGNEWINGYLEAILDAGARLRGQRQGQGHGHGGAAPLTTAALPRLLAEAGGQGAAAYSPTRYFVEEVVSRFDDRDLHKTWTKVVAMRNSQERSNRLENLCWRIWHVARKKKQVEWEYSRQLALRRLEQEQGSREAAEELSVAEGETTKADAAQQQQPLSSVARVGSEARIVSDDEDDDGRDDRNLYIVLISLHGLVRGENMELGRDSDTGGQVKYVVELARALAATAGVHRVDLLTRQISCPDVDWTYGEPVEMITRHADDGDSDGGGGAYIVRLPCGPRDKYLPKESLWPHIPEFVDRALAHVTNVARALGDQLDATGAGAGAPSPPVWPYVVHGHYADAAEVAAHVASALNVPMVMTGHSLGRNKLEQLLKLGRMPRAEIQGTYRIARRVEAEETGLDAAEVVVTSTKQEIEEQWGLYDGFDLMVERKLRVRRRRGVSCLGRYMPRMVVIPPGMDFSYVDTQDGGDAADLQMIISSPKKPLPPIWSEVLRFFANPHKPMILALSRPDPKKNVTTLLKAYGESRHLRELANLTLILGNRDDIEEMSGGAATVLTAVLKLIDRYDLYGCVAYPKHHKQTDVPHIYRLAAKTKGVFINPALVEPFGLTLIEAAAYGLPVVATKNGGPVDIIKALHNGLLVDPHDAAAITEALLSLLADKARWAECRRNGLRNIHRFSWPHHCRLYLSHVAANCDHPAPHQLLRVPASPRAAAAAAGERGTHYDSLSESLRGLSISIDASHDLKAAGAGDSAAAAIMDALRRRRRSSTADDRPPAARAAIGHAPGRRQSLLVLAVDCYDGNGAPDAGRMKKAVDLALSAAAAAGGRLGCVLSTGMTIAEAAEALGACGADPVAFDALICSSGAELCYPWKEEVAADEEYAGHVAFRWPGDHVRAAVPRLGKAEGALEADLAVDEAACSVHCHAYAAAGASKVKKVDSIRQSLRMRGFRCNLVYTRACTRLNVIPLSASRPRALRYLSIQWGIDLSKVAVLVGDKGDTDRERLLPGLHRTLVLPELVSHGSEELRRDEDGFLAEDVVAMDAPNILTLAEYQAAADILKDL >Sspon.01G0016950-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:60334024:60340238:1 gene:Sspon.01G0016950-1A transcript:Sspon.01G0016950-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKERRKSDIDTIIVGFASRNVVAIAVFLALGFAFYVFFVPFVGGDRLQYLLMGLYTPLVLQHSKHCRVCNKCVDGFDHHCRRGEFSGQIISKLGSSFSTVAFVIVVVTCTSLAMVATIPLSQLLCFHILLIKKNWRSPKELSRPETTIFVHS >Sspon.06G0004100-1T-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6D:10082026:10083298:-1 gene:Sspon.06G0004100-1T transcript:Sspon.06G0004100-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPPPNSRPPTPTASAATGTSSSRIDSPSLKAALAMALIHYNRLPGKANANATASAAAAGTSPPPLLRWKRKAKDRKREILRLREELKLLQDGARGEEMEPPVASCRCHFFDWCGDLPPQQGGGGGEHCVDEVLRRRFLRLEFNEMKS >Sspon.04G0026340-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4D:6453244:6456547:1 gene:Sspon.04G0026340-2D transcript:Sspon.04G0026340-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RPT1A [Source:Projected from Arabidopsis thaliana (AT1G53750) UniProtKB/TrEMBL;Acc:A0A178W3N8] MAPEPEDDIMNEKNPRPLDEDDIALLKTYGLGPYSTSIKKVEKEIKEMAKKINDLCGIKESDTGLAPPSQWDLVSDKQMMQEEQPLQVARCTKIISPNTDDAKYVINVKQIAKFVVGLGDKVSPTDIEEGMRVGVDRNKYQIQIPLPPKIDPSVTMMTVEEKPDVTYNDVGGCKEQIEKMREVVELPMLHPEKFVKLGIDPPKGVLCYGPPGTGKTLLARAVANRTDACFIRVIGSELVQKYVGEGARMVRELFQMARSKKACIVFFDEVDAIGGARFDDGVGGDNEVQRTMLEIVNQLDGFDARGNIKVLMATNRPDTLDPALLRPGRLDRKVEFGLPDLEGRTQIFKIHTRTMNCERDIRFELLARLCPNST >Sspon.06G0009210-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:47950204:47953267:-1 gene:Sspon.06G0009210-2B transcript:Sspon.06G0009210-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GTP-binding protein ERG [Source:Projected from Arabidopsis thaliana (AT1G30960) UniProtKB/Swiss-Prot;Acc:O82653] MGAAAVEEEDEDRSRGVALALLDAAMEPPDEDVEEDFGEVREEDQMSLSVGIVGAPNAGKSSLTNTMVGTKVAAVSRKTNTTTHEILGVLTKGNTQICFFDTPGLMLGHHGFPYRDVTVRVESAWSSINLYDLLIVMFDVNRHLTLPDSRVIKLIKRLGTEVNPNQRRILCMNKVDLVKDKKDLLKVAKEFEDLPGYERYFMVSGLKGKGVKDLVQYLMDQAVRRPWDEEPTVMTEEVMKTISLEVVREKMLHHIHQEIPYVIEHRLMGWKELKDGSLRVEQHFIAPKQSQRQILVGKNGSKIGRIGIEANEELRSIFKRDVHLILQVRVAKKRNA >Sspon.04G0018510-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:75844305:75846599:1 gene:Sspon.04G0018510-3D transcript:Sspon.04G0018510-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGFAGKRKELEQVVDGLSDFSLSGPAAKNRRLDPGLPPIMEEEPPAPSMSFQMLGEKINSGVDIPSVEIMMEGLTTHHGPSEDMALVLYKPVDNPLSFGPGISSSSFIVSSDLIRGLKNHAFNQANYHEMEGESPERRNSLALVPWTPPQVSIRSDWVAAEPESTPIFEVPMEADETEVTSMDVEETPEAVSGGFNGENLSQWQHCMTPSSLPNPSAHVMWSR >Sspon.06G0004090-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:11025297:11029893:1 gene:Sspon.06G0004090-1P transcript:Sspon.06G0004090-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAPAMLRWAAAALALAALLAASPAAAFYLPGVAPNDFHKKDPLLVKVNKLTSTKTQLPYSYYSLPFCKPNTIVDSAENLGEVLRGDRIENSPYVFEMGEPKMCQIICKAKIDDKQAKELKEKIEDEYRVNMILDNLPLVVAIARQDRDATVYQAGYHVGVKGQYAGNKDEKSFIHNHLTFLVKYHKDETTDLSRIVGFEVKPYSINHQFEGPWNDKNTRLITCDPHASKLVVNSDTPQEVEAGKEIIFTYDESDVKWASRWDTYLLMTDDQIHWFSIVNSLMIVLFLSGMVAMIMLRTLYRDISRYNQLETEEEAQEETGWKLVHGDVFRPPANSDLLCVCVGTGVQFFGMLLVTMIFAVLGFLSPSNRGGLMTAMLLTWVLMGLFAGYASSRLYKMFKGSEWKSITLRTAFLFPGIAFGIFFILNALIWGEKSSGAVPFTTMFALVLLWFGISVPLVFVGSYLGFKQPAIEAPVKTNKIPRQVPEQAWYMNPAFTILIGGILPFGAVFIELFFILTSIWLHQFYYIFGFLFLVFIILIITCAEITIVLCYFQLCSEDYMWWWRSYLTSGSSAIYLFLYAGFYFFTKLQITKVVSGILYFGYMLLASCAFCVLTGTIGFCACFWFTRLIYSSVKID >Sspon.02G0018340-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:84619974:84623273:1 gene:Sspon.02G0018340-2B transcript:Sspon.02G0018340-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RMPPPEHAAAGADGDKPLVLLAQPLFLNLAAALEGRYRFVLAADADAATAAEARVLLVPGLVAVTTELIDRFPALELVAATSVGLDHVDLGACRSRRGLAVTNAGAAFSVDTADYAVGLVVSVLRKVAAAEAHLRRGAWAADGEYPLATQVSGKRVGILGLGSIGSLVARRLAAFGCRIAYNSRAPKPSCPYEFYPTARALAAASDVLVLSCALTEETRRVVDRGVMEALGAGGVLVNVGRGGLVDEPELVRCLREGVIGGAGLDVYEDEPEVPAELLAMDNVVLSGHRAVLTAESLRGVADVVAGNLEAFFAGRPLLSPVTL >Sspon.06G0014420-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:62542622:62549175:1 gene:Sspon.06G0014420-2B transcript:Sspon.06G0014420-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CBBY-like protein [Source:Projected from Arabidopsis thaliana (AT3G48420) UniProtKB/Swiss-Prot;Acc:Q94K71] MSMAAAAAAAASSTLLRASNISVARPAPVTTGQQLIPSGVACCVRTVSGRRAAVARAAAVGDGGATAGALPAALLFDCDGVLVDTEKDGHRISFNETFAERELGVSWDVELYGELLKIGGGKERMTAYFNQTGWPAKAPKIDEERKEFIASLHKRKTELFMALIEKKLLPLRPGVQRLIDEALGKAVKVAVCSTSNEKACHTYWGLTELKRLPYLLEMWFHAKNLTHCVVVEDSTIGLAAAKAAGMKCIVTKSGYTAEEDFETADAVFDCIGDPPEMRFDLDFCANLLQKH >Sspon.04G0026530-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:44252953:44258303:-1 gene:Sspon.04G0026530-1P transcript:Sspon.04G0026530-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKFLSKVVVEYCPLDPRKAAAVELLAQCNGRKAKDSNPACSVELRRLPSPPPSTDPKSQPTLPPPLVLVTYLNGAEEAIIAAEGATAQGIRDQILARGRLIDTEQMFRDGGEKWPVVIPEEELGMSFSGIKFRFSYLIVAYGAKIMYN >Sspon.08G0003600-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:6686872:6691533:-1 gene:Sspon.08G0003600-2B transcript:Sspon.08G0003600-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAQLVLLFLALAAATRAAAAAGARPSEVTVGALFTYDSTIGRAAQLAIELAVDDVNADGKVLPGTQLNLVPQDTNCSGFLGTIEALRLMEKSVVAVIGPQSSGIGHVISHVVNELHVPLLSFAATDPTLSASEYPYFIRTTISDYFQMNAVASIVDYYQWKRVTAIFVDDDYGRGGVEALGDALALKRAKISYKAAIPPNSNTDVINDVLFRANMMESRVMVLHVNPDTGMRIFSVAKNLQMMASGYVWIVTDWLAAVLDSSAYRDLKDMGHIQGLIVLRQHIPESEAKDKFISKWNNVARNRNITSGLNSYGFYAYDSVWAVARAVEKFLSSGNRSTSQQIPDYKI >Sspon.02G0003670-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:13294175:13294405:-1 gene:Sspon.02G0003670-2C transcript:Sspon.02G0003670-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLTPGVILRAAAGVAVSAACAYFLWPVAAPAAAMMKAPGAAGLLISRAAFLANPQLYFELLRTAGVQAAVAAFAA >Sspon.07G0027600-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7D:54059509:54061515:-1 gene:Sspon.07G0027600-2D transcript:Sspon.07G0027600-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding FDYDRRWQLSECRAYYCGSARPHRPRVVAAGCVHCAALGQPDDLEVFLNIVLGYINRMLMAENINDRFKHYLEHRVLFALVFLQVFRTYIASVSAVSDVCLCPTCHNLLLQLLGYRACVWGAEGDGGSGVGVPACAGKQGKHNSDAPMAEFIVTLNESMPESERFILYRLDDTHKYVLPHAAAMIRHEIAEFSKRNCSNLRPKRQSKIATVKSNFQDE >Sspon.06G0001150-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:3762709:3765207:1 gene:Sspon.06G0001150-1A transcript:Sspon.06G0001150-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding TWTTPRCSDSFQQEQQKAMMNEMVGKLTSVCWDKCITSAPGSKFSSGESTCLTNCAQRFLDMSVLIAKRFEMQ >Sspon.07G0026320-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7B:52709782:52710611:1 gene:Sspon.07G0026320-1B transcript:Sspon.07G0026320-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATHVMATPVSLQGDIPWCMLFADDVVLVDDSRAGVNRKLELWRHTLESKGFRLSRTKTEYMRCDFSATRHEEGDVSLNGQVVAKKDTFRYLGSMLQKDGDIDEDVRHRISVGWLKWRQASGVLCDKRVPQKLKAEMCMLRWCCGHTRRDRVRNDDIRDRVGVAPIEEKLIQHRLRWFGHVQRRPPEAPVRNGVLKRADNVKSGRGRPKLTWDESVKTDLKEWNISKDLAMDRSAWRLAINVPEP >Sspon.04G0020850-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:73058244:73062113:-1 gene:Sspon.04G0020850-1A transcript:Sspon.04G0020850-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding METISCCPICNIQVLTVELEWHANSHFDDDQLQRDMELAHQMAIAESSTNTMNDPKRYSGSLSSDSNTLGATSSHSGYSRHHSELLEEQISCLVRAQIRSEVQEVEGGIMSLLRSCLESEDGTSTSMISCYIDHHQSLSSEDKGWGCGWRNIQMLSSHLLKQRPEAREVLFGGSGFVPDIPSLQRWLEIAWDKNFDTIGSSHFHNEVYGAKKWIGAAECATLFRSFGLRARIVDFDSTESSDLQRKNGSRAASQVRGPMDKFLIKNNSPKSSSELCREDAESMRGQQALVDWVWNYFASGRSDRLATQRVTVSNKTPLYFQHQGHSRTIVGIQKKMGHRGFQEQYTLLILDPGHRTADLERSLRSKKGWQRLVKRGVHTLRKPQYQLCYVDPGIANSEEMEQLKIIAGILVRF >Sspon.03G0042550-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3C:70941536:70942211:-1 gene:Sspon.03G0042550-1C transcript:Sspon.03G0042550-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MESGGLKFARGMEDLLDSEEVTVEDVLPESEEAVDSVELVADSVLMVPDSVESLCARCGTFHASGVFRDAYFQVRRCTRRCARCGLLHEDYDLPARCLHDMEKFDYEFYIPEVEKLQMDGNIIILPEQVIKKLEEHVEKMKQTKISPRPTKKMKQTKISKTSNGLGKQGRFTSDLQWQSFFSNFSFCM >Sspon.03G0040110-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3C:26263714:26264081:1 gene:Sspon.03G0040110-1C transcript:Sspon.03G0040110-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAIPCHMGGSAPDYGLLLHQASSTDLVVYTDADWAGCPDTRRSTSGYAVLVSRSSAEAEYRAVANGVAEAAWLRQLLAELHTPPPPEHTDLLHTPPPPEHTNPIQHQRTKHVEIDLHFVR >Sspon.02G0018730-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:60271680:60273741:-1 gene:Sspon.02G0018730-1A transcript:Sspon.02G0018730-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKLQTEALKDAISQVVAEAREKNRNFTETIELQIGLKNYDPQKDKRKLPHIPRPMMKVCMLGDVQHVEEAEKIGLDYMDVESLKRTNKNKKLVKKLAKKYHAFLASEAIIKQIPRLLGPGLNKAGNIVGKFPTLVTHQDSLESKVNETKATIKFQLKKVLCMGVAVGNLSMEEKQIQQNIQMSVNFLVSLLKKNCLNVRCLYIKSTMGKPNRVF >Sspon.05G0014710-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:5A:56218519:56219013:-1 gene:Sspon.05G0014710-1A transcript:Sspon.05G0014710-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSGGLLGIWNEWATQILVLLSLTLQVVLLLFAGIRRREAPAVLMFLLWLAYLLADSTAIYALGHISLSGAPSKQHQLVAFWAPFLLLHLGGPDNITAYSLEDSMLWLRHLQNLVVQVLAAAYVLYKYVAGADLYILLAAVLMFTVGVAKYGERTWALQRSKLE >Sspon.06G0034190-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:40798264:40798893:1 gene:Sspon.06G0034190-1D transcript:Sspon.06G0034190-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSPSAPASPLRRQLLRYVSSGLVAALHRPAPIISLPIAPRGVDSSASQRLQTASRAAPSPKGRPGAPRQGSGGHVHAAAPALATIARMATPMRRPTPSGPPAQGSGGKTNAVTTAATAHMVIRGPARPGLPAQGSGGKVHAVSPAATASVLLREPALPGHPAEGSRGKVHAVSPAATASVLMRGPARPGPPTEGAGGRGGVIHAIAS >Sspon.02G0031860-3C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2C:103605136:103605492:-1 gene:Sspon.02G0031860-3C transcript:Sspon.02G0031860-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MCYSNFNDIIHSIIDMDADVITIENSRSDEKLLSVFREGVKYGAGIGPGVYDIHSPRIPSTEEIADRVNKMLAVLDTNILWVNPDCGLKTRKYAEVKPALTNMVSAAKLIRTQLASAK >Sspon.04G0005070-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8B:33321898:33322938:1 gene:Sspon.04G0005070-2B transcript:Sspon.04G0005070-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLARLRRRWSLSGREGLGSSLPPAELARRDSGVFEGLKCLFSTTPEVGNRTEANGGHSGDRTLDRTRSLFDRTRPVSVQLLRVSRLSDRTRWRVRSWSTGRVRSFRELTGLQPDAGTVASGQFSSASGRCFVVRGSGLTSASGQLRDQRVRSLRPARPVGGSRKSRCVIGASGPRDQRVRSARLRLFQVRNTIFEGVLVAPFERLGVRPISHRTTW >Sspon.07G0002570-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:6255128:6258247:1 gene:Sspon.07G0002570-1A transcript:Sspon.07G0002570-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MILTTCCSAAAAGGRAQETDKDLGGKIEEGLGPHGLGIITIADVSSKRCGLTACVNRDFESCGLSPFLNRSCIVTVLMLSTQVPEFPELRKRLLRLAPRHVVYADDMVANLPEEVKKQLEDPDSRYNFGWSHGKEKLESGKLDTFKGSFYANPVLDVPTTDDVLVSRYPSYCRPNIWPNENLPELEIAFKALGKLMMEVGLMLAHHCDRYVMGQGVGSYEVTVLSRQLPVQGVTRVVCCTISHDSLGLTCGLFTKNSVEVPCPDSAAGLYIRTRDNQVVKVVFGEDQLAYQIGETTEILSRGYLCATPHCVQAPSSENASNVDRSTFALFMQPDWNEKLKFPSEIPYHQELIPPNGTLTFGEYSERLVNKYYQTTT >Sspon.06G0029660-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:26703073:26719185:-1 gene:Sspon.06G0029660-1C transcript:Sspon.06G0029660-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGRLTSLMQIVKAREGKRPLLVGTLSVPMNNHGVAVVDDVSFTDNSSWIRCRKFRIGVRIMPGGHLGARVKEAVSGSFTVKDHRGELYKKHYPPLLTDNIWRLKNIGKDGPIDKRLESEGIRNVQDFLKLNTIDPEKLKAVSTREVLAARIGDQTFALQELHPQQQFHVKQLATQAYQMWDQLDEVANEMTLAANKSFVPRSNSGREPSESQESMISSGSQNAKYLDFTGTATSSAAAMSTNSSSTSDSTAAAPANDAMFWSPSIAPPECDFSWQDSAAANVGFSYGVSCCFLQLQIGPNNQAQQQQAHTEKKNLDMEKALALVLLVSAALLLRGHQPANAQVFCRSQFNLANEACSMRSFIPGPGVRRGGLPRQQQLNETTTASTASGVGQGLQSRSGDDDDDDSHGGGRRSRHRHQHRHSEEGEGSDPRDTACCRRLMGLDNSCACQATARLPAFMNSVRHVITLTPVEGCEISF >Sspon.08G0018910-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8C:11187634:11189556:1 gene:Sspon.08G0018910-2C transcript:Sspon.08G0018910-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Patellin-4 [Source:Projected from Arabidopsis thaliana (AT1G30690) UniProtKB/Swiss-Prot;Acc:Q94C59] MAVEAVSGNGAEAVAPAPAKEVSAKEAVAVSKNASFREESNFLDDLKESERKALAELRDKVEAAIVEGKLFDDGGKPEAKEKEQAKKKAEKAVEKKEEEPEAEEKGEEDGKKEADAEEEKKEGEEEGEKKEADEEGGGEDTKDEAKKEEAGEKAAAKEEKEEEKPAETAAVVDKDIALWGVPLLPSKGDEATDVVLLKFLRARDFKAGAAFEMLRRTLRWRRGWAGFSVDADADADDADLPEELAGACYLDGADREGHPVCYNALGVFADDAVYKKALGTEEGKARFLRWRVRAMERHVAELDLRPGGAASLLQVTDLKNSPGPAKKDLRVAVKQVLDLFQDNYPELVARNILINVPFWYYAFSALFYPFLTQRTKSKFVVARPSKVTETLLKYIPIEAIPVKYGGLKRDGDTEFSADDSEVTEVTVKGSSTQTIEIEATEGDATLTWDLTVLGWEVNYKEEFVPADEGSYTIIIRKGKKMGSGEEAVRNSFRAGEPGKVVLTVENTSHRKKKVLFRHKAKSACAKKC >Sspon.06G0006210-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6A:21347807:21348217:-1 gene:Sspon.06G0006210-1A transcript:Sspon.06G0006210-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPCFLDKWTLVVAELDTGAEGDGAWSSPVKRAQPSCDAPTLARGLGSRPWALLLLLQRRCSLGRSHLAPPAHGGWGAVRPAMQCSVGGGKGMKRMGMELGWGGRMSSQAATMGILLRGRSAADGEPRPHRTDAHG >Sspon.01G0054860-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1C:69704679:69705338:1 gene:Sspon.01G0054860-1C transcript:Sspon.01G0054860-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPISQSNMFSYPLSPNFLLPHACGADAVVLHDRLSTAELQQGLFTPWRSLGYLLTMAAITRERLLGLHLHHDRRLLLATARRLLAAVLHRLMLRLASWCVILDLGMLGYTVTQRPVGVGNRQYPRNNLSPSLVQSDTCTGSPAMAQCNPRWRSCIDRRRHRKPAHGAWRCGDPIVERRPVQFLPHGMEILFFLANSRHGIRREESRARNGPGPDEIQ >Sspon.02G0031890-3C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2C:103528661:103530424:-1 gene:Sspon.02G0031890-3C transcript:Sspon.02G0031890-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLRKLHNCRRNAAATVASAAFLALLLILSVALVATRRDHAHPIVGTFIFSGAPPTTSAATTDRSCEAELRALPDAAARCGYLSSPSHRPCAPRGYVHYLRVFYCAFGGAPCLGAAALGLWLLLLFYLLGDTASRYFCAALEGLSAALRLPPAIAGVTLLSLGNGAPDVLSSVVAFASATGGGAGGGVDGGDAGDVGLSGVLGGALFVSTVVAGVVAIVAGGRGPVEIERPGFVRDVCFLLVALCYLLAVLLTGTVTVWVAASFLSLYAAYVLLVWTSHCCAAATPDHADPEDDGMTKPVVDVGPDLAAPLLLDGSGGGGDDDEAPLVLPISSKHADATAAPQRSRSLARRALDALQWPLYLPRRLTIPDIAAHRWSKRYAVSSALLAPVLLAAISYPSSPAVILSGAVAGAILATAAFRGTSSSSPPAGRCRRLPWLAGGFLMSVLWSYMLARELVALLVSIGLVAGVRASVLGATVLAWGNSLGDLVADVAMAMHGGPGGAQTAVSGCYAGPAFNTVVGLGLSLTLAAGARFPRPYAIPADASAYQAAGFLAAGLVWALVVLPARGMRLDRVLGVGLLLIYLGFL >Sspon.05G0038900-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5D:56111350:56111702:1 gene:Sspon.05G0038900-1D transcript:Sspon.05G0038900-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPTTLARGSPKHLNCPADAVISCPVVHHPQSLVQVYAANSPSPRPRSRWPPPILHDFVATSLLRLSRARLAALWGFRQAK >Sspon.06G0008720-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:37601865:37608618:1 gene:Sspon.06G0008720-2B transcript:Sspon.06G0008720-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCGGAAGGGGERMEEDEAGASTSSSPPPIAQLGYDQVLSILRLLPPEAVLSFAATCRAFRAWASSDALWEALCRRDWGARATAALAERRRDRHGGGGGGLQAPWRRVYAEVARLGALSSRRVPVRGASPRPRASHSLNLVAGWLVLFGGGCEGGHHLDDTWVAYAGTGAGNRPPAILTWQQLASGTPGGRFSHSCNLVGDTLVLFGGITDQGQRLNDTWIGQIICEEPRRMRISWRLLEVGPLAPPPRGAHAACCVDDKFIVIHGGVGLYGSRLGDTWLLDLSNGLRSGSWHQIGNTWPLPPPRSGHSLTWIGGTRMVLFGGRGSEFEVLNDVWLFDISDQYPKWKELKYDLSSALGELPFPRVGHSAILALGGKVLVYGGEDSQMRRKDDFWILDTPALLQYESGSKKMTKKMWKKLRIDGQCPNYRSFHGACVDTSGCCVYIFGGMVDGLVHPAEAWGLRFDGHLYQHSTDLITNFTAGCLAIH >Sspon.01G0019860-1P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:80107460:80109187:1 gene:Sspon.01G0019860-1P transcript:Sspon.01G0019860-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGSTGNSLLVEKEQIHARVPPELLIHIDVTTDILKSFYLLPSVMHRVQSLMLASQLRSDISYTQRIPSSLVLEAITTLRCCETFSLERLELLGDSVLKYVIGCDLFLRYPMKHEGHLSDMRSKAGYIRDTAFDPRRWVAPGQISLRPFPCNCGIKTAFVPLSGVYISDDPSFVVGKPCDRGHRWMCSKTVSDCVEALVGAYYVGGGIAAAIWVMRWFGIDVRCDMELVQKAKSNSNASRMCSLSKLKDIEELEAKLKYNFSVKGLLLEAISHPSLQELGVDYCYQRLEFLGDSVLDLLITRHLYATHTDVDPGELTDLRSSLVSNENFAQAVVRNNIHKHLQHGSGILLEQVTEYVRSNLEYHGKENEFLQQATCKAPKVRKVSSTSVPG >Sspon.05G0000910-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:6146621:6156146:1 gene:Sspon.05G0000910-2C transcript:Sspon.05G0000910-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MANAKGSSAGAAGVGAGHADLGRAISHEQHQAFMMASVHRAAPGAANVHQQHFHQYPAGLIPAPVAMPVHVPVSQTPYSAQIAVPPPQPLIPPPDHRLHSLPPTGHGWLFKSTELVNPLFALLDAEFAHSLAVKAAAHGFVPREKRPDPPVLARGMFLLLMKVINISSPNTPGLRKLQGRKQLKDLVKKVQAARDEMQWAEDGPPPLLVKIAPDLSKQDLEDIAAVALALRLDGLIISNTTVSRPPPADKDPLAQETGGLSGKPLFDLSTNILREMYMLTRGKIPLIGCGGVSSGEDAYKKVRSGATLVQLYTALAYGGPALIPRIKAELAECLERDGFKSVQEAVGADFR >Sspon.05G0016680-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:63636427:63640505:-1 gene:Sspon.05G0016680-3C transcript:Sspon.05G0016680-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWHLSIPVMVTTCLILFSRSEQSSQSEQLQQLRKQLEYPRQLDAWGSPSSDPCYTKPTAVLAVTCEGNAIRELKIIGDRITKPPKFSGFSVPNVTLSEAFVLDSFVTTLTRLTTLRVVILVSLGLWGPLPDKIHRLSSLEVLDLSSNFLYGSIPPKLSVMSKLHTMTLDGNYFNESVPDWLDSFSNLTVLRLQSNQLKGSIPASIGKAAMLTELALAGNSIWGDVPNLGSLNKLEMLDLRDNELDGELPEMPTSVVTILLSKNSLKGEIPEQFGQLNRLQHLDVSFNFLVGSPPAELFALPNISYLNLAANMLSGSLLSSLTCSSTLGFVDLSTNRLTGDLPSCLNGNLNNKVVKFDGNCFSVDPAHQHEAKYCQQSHKGRGSNKDVGLVVTVVGILFIMLVLSLLLMASNKRSCQKVLAEQQFQQKHTQDNSISGMSSEPLVNARCISQAVKLGTQIQPSHRIFSLEELKEATKCFERSAFLGEGAIGKLYKGKLENGTLIAIRCLALHQRYSIRNLKLRLDLLAKLRHPNLVCLLGHCIDSAVDESTVKRVFLVYEYVPGGTLSSYLSASSPEKTLKWCDRLQVLIAIAKAVHFLHTGIIPGSLSNRLKSSSCVNVNFGRARSSVDPVIIGTSSQDSLSIVVSIMIKCLSIECSARPSIEEVLWNLQYAAQVQTMADGDQRSEVSSQTS >Sspon.03G0002240-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:5487749:5506372:-1 gene:Sspon.03G0002240-1A transcript:Sspon.03G0002240-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRALTHFQLTATILVALVATCHAGSIAVYWGQNDGESSLSATCASGNYKFVILAFVYKFGKGQTPDLNLAGHCEPSSGGCKFLSKDIQSCQRRGIKVLLSIGGGEGSYGLSSERDAREVAAYLWNNYLGGTSSSRPLGDAVLDGVDFDIEQGSAKFWDSLARDLKNLGKKKGSKGVLLSAAPQCPFPDEWDGGAINTGLFDFVWVQFYNNQPCQVSAGRGAFLAAWKRWQSVPAGKIFLGLPASKSAAGTGFVPAAQLTSQVLPLIKGSPKYGGVMLWSKFYDKGYSDAIKKHNNFLKLLSKFGTLDQAMANRALAPFLFVGTLLVALLVTCHAGGIAVYWGQNDGEASLSDTCASGNYKFVILAFVYKFGKGQTPELDLASHCDPSSGGCTGLSDDIRSCQSSGIKVLLSIGGGDGSYGLSSQGDARDVAAYLWNNYLGGSSSSRPLGDAVLDGIDFDIELGGSKYWDSLARDLKNMGKNKVGGKGVLLSAAPQCPFPDEWDNGAINTGLFDYVWVQFYNNPPCQVNAGRGAFMDAWKQWESVPAGQIFLGLPASKDAAGSGFVPADDLNSNVLPLIKGSSKYGGVMLWSKYYDDRAGYSDAIKSHVHAGGIAVYWGQNTGEATLSATCASRKYQFVILAFVSQFGQGRAPQLDLSGHCDASSGGCSDLSDDIRSCQRRGVKVLLSIGGGVGKYGLSSAADARLVAAYLWNSYLGGTSSSRPLGDAVLDGIDFDIELGSAKFWDNLARDLKDMGKSGNKAVLLSAAPQCPFPDEWDSAAINTGLFDFVWVQFYNNPECQFSSGRKAFLDAWKQWESVPAGQLYLGLPASKDAAGTGFVPAAQLTSQVLPLIKGSPKYGGVMLWSKFYDDRTGYSSAIKSHNSRNKMARSNIPSLPMLLATAFSLAGVAAAGARAGGIAIYWGQNGNEGTLAETCATGNYKFVNVAFLPTFGKGQTPVLNLAGHCDPASNGCTGVGADIKACQRRGIKVLLSIGGGVGSYGLSSPDDARSVAAYLWNNYLGGRSSSTRPLGDAVLDGIDFDIESGGSLCWDDLAKALKSYSRRRGRKPVYLSAAPQCPFPDASLGTALGTGLFDYVWVQFYNNPPCQYSASAGVGSLASAWAQWTSIRAGGCSSASRPRHRPQAAGSCRDRPR >Sspon.05G0015940-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:58357869:58383302:-1 gene:Sspon.05G0015940-2C transcript:Sspon.05G0015940-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTAGLVDWRGRPVDTKKHGRVRASMFIHFLVWLGNVVNISNSMNMVSYLRGTMNMGVAAASTTSTMFVAVMQMFSIPAAFVADSYIRRFYTVLIFAPIEILGYILLAIQAHVPSLHPPPCQVPNNCELVHGSNLSLLLLGIFMICIGEGAIRACLPALGGDQFDKSDPVEQRLEASFFNWYTFAVSFGGLVGLVLIVWVENNKGWDVGFAVCAGIVLLGLAVWAAGFPFYRNRLPSGSPFTRILQRMGSTAGLVDWRGRPVDTKKHGRVRASMFIHFLVWLGNVVNISNSMNMVSYLRGTMNMGVAAASTTSTMFVAVMQMFSIPAAFVADSYIRRFYTVLIFAPIEILGYILLAIQAHVPSLHPPPCQVPNNCELVHGSNLSLLLLGIFMICIGEGAIRACLPALGGDQFDKSDPVEQRLEASFFNWYTFAVSFGGLVGLVLIVWVENNKGWDVGFAVCAGIVLLGLAVWAAGFPFYRNRLPSGSPFTRILQVLVAAFKKRNLQLYNNPDGLNQITGGNAKGVEVLERTKGLQCLDKAAIDNGKGGSWSLCTVHQVEETKIVIRMIPIFITSALGYMPASIILTFTVQQGNTMNTRLGAINVPPATLFVIPTVFQLVVLVVYDRFIVPFLRKKTGYVGGVTHLQRIGIGFVAAIMACGVAAIVEMKRKSVAEQSGLMDSSAPVPMSVFWLVFQFFFVGVVDVTSFVGLLEFFYSEASTGMKSIGSSLFYCMIGVAAWLVTLLIQLVNRVTRDGGRKQGWLDGANLNRSKLDSFYWLVCVIELLSFLGYLYWARRYVYRNDQRVVDKDNKGRPVNTKKHGGVRASIFIHALVLLSNAANIANILNLVSYLREEMHMDVARASTMASNFFAALQMFSIPAAFLADSYVKRFYTVLIFGPIEILGYILLAVQAHVPSLHPPPCVAGQQATTTCESVHGSNLSLLLLGLYLIPIGDGAARACLPALGGGRGPGSSSTQQRQESSFFNWYTFAISTGGFVGLVFIVWVENSKGWYLGFVVCALFVILGMLIWIVGLPFYRNQLPTGSPITRILQVLVAAFKKRKVALPANPSELKRQDDAGALEILHRTGGFHCLDKAAVDTGKTGAWTLCSITQVEETKIILRMVPIFLSAVLGYIPVPLILNFTVQQGNTMDTRLGAVHISPATLFVIPTVFQMVILIVYDRFIVPFLRRITGYVGGVTHLQRIGIGFLSTVVATSIAALVEAKRKKVAEDTGLVDATTGIPMSVFWLTIQYFLLGIVDVTSFVGLLEFFYSEASMGMKSIGSSIFYCILGVSAWLGSLLIQLANRFSRHSDGTGGWLDGTNLNKGKLDRFYWLLAVLEVVSLLVYAFFAWRYVYRNDQRVVVDEDNKAPSDGAINVI >Sspon.03G0003440-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:8673246:8676709:1 gene:Sspon.03G0003440-1A transcript:Sspon.03G0003440-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLEIHEEDGGASGARPAKVMSVSGSGKRGRYVRQVTGRHNDTDIHVAARGGDAAALRRALSVAAAAVAATGEGPEDLEAARRAVAAEPNEAGETPLVAAAERGHLEVVVELLRHLDAEGLAAKNRSGYDALHVAAREGRHAVVQEMLHHDRMLAKTFGPANTTPLISAAMRGHIEVVELLLEQDDFGLVEMARDNGKNALHFAARQGHIGIVKALLEKDPQLARRNDKKGQTALHMAVKGTSCDVLRALVDADPAIVMLPDKNGNTALHVATRKKRAEIVSVLLRLPDTHVNALNRDHKTAFDIAEGLPVCEESCEIKDILSQHGALRSRELNQPRDELRKTVTEIKKDVHTQLEQTRKTNKNVHGIAKELRKLHREGINNATNSVTVVAVLFATVAFAAIFTVPGGNDNNGLAVVVQATSFKIFFIFNAVALFTSLAVVVVQITVVRGETKSERRVVEVINKLMWIASVCTTISFIASCYIVLGRHFQWAAILVSLIGGVTMAGVLGTMTYYVVKSKRQRKIRKKEKMSRRSGSSSWYDNTELSDTELNPVYAL >Sspon.05G0020420-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:61417546:61424483:1 gene:Sspon.05G0020420-3C transcript:Sspon.05G0020420-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPHPHPTAMGIPSFYKWVVNKYPSIISPAKEEPEECPDGIIYDNLYLDMNYIIHCCFHPQDLIHADIDVSPPMTVSEVFESMFEYMDRLFRIVRPTRLLYLAVDGVAPCAKMNRVRRGRFHSARLARSEEIKDYEMREELRAQGKEEPPREISEVSDRNVITPGTEFMEKVSEALEYYIRARFNSDPWWRDITESSCPFQLQPFDMHAESNCMVILSDANVPGEGEHKIMSFIRAQRSMECYDPNTRHCLFGHDVLLPNQTETEGNPKKPFLFLNIWVLREYLEIEFKILDPVCEPDIERLIDDFIFICFLMGNDFIPHIPSLEMHEGAVDLLIEVYKTTFNKMEGYIINTDKDLLLKTYHKMLREASESERSELRQKLDDLLFNEERPYDSIRLGLPGWKSRFFREYFGAKTSNEIGKLQNDMGISEELLLSATKAVDKELTTHESRRNTTRQEKIFLHRDSSSLPHNEVTKLPIDSATSGIGGWIAPDDNDGFSDGFFHSPTTNLQDITSDQTISGTFFNPEAANPVPRLLVNVRVPDKTVTGADISKRPLWHTYPGSRPPRIIQRPDTIWKPSTPASPREEHKNAGTGWIGRGRGNALAAATAAAETQLTRSSSYRRGGGFHRVGMAQSRGSSSSRFDDDGAYSFRPLGSAPWTAGDSGRSGGAGHGRTAQPRGW >Sspon.02G0003450-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:10148222:10151435:1 gene:Sspon.02G0003450-2B transcript:Sspon.02G0003450-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPSIACPVKCCPHPRLAPQPPAPSLELLAARGAPAAGELRACRSPPYLSLSRSVDSFEPLAPCRGSASARRARAAVALAGDGRGGPSAAAALIASAQSRHAIFRDGLVRRAFAAAEAAHRGQVRASGDPYLQHCVETAALLAELGAGPAVVAAGLLHDTVDDAGLGYGFISEHFGAGVADLVKGVSNLSHLSKLARRNDTASRIDEADRLRIVFLAMEDARAVLIKLADRLHNMRTLDSLPKTKQQSFAKETLEIFAPLANQLGILNWKEQLENLCFKYLYPDKFDELSTNLIEFYNRDMIAAATRRLEQALQVRGLSYYAIYGRHKSMYSIYSKMARKKLAMDEIYDIHGLRVILENKADCFATLEIIHHLWPRIPGKYQSLHTVVLTEETLPLEIQIRTRDMHLQAEFGIAAHWRYKEGARSCSVSVSEMVEWVRWVVTWHCQTLKTDYPSSLAHDASSKETHSIPSHSDACLLSYSKQCDHKGPVLVILLENEKMSVKEIPQNWTILDLLNRSSNFGMPLRLRLNCHVVHNWNQKLKMGDVLELIPSTPCKCRGYTREFHQKFDHRLAISQS >Sspon.04G0008470-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:25523623:25526421:1 gene:Sspon.04G0008470-4D transcript:Sspon.04G0008470-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MESKGGKKKSSSSRSSLMYEAPLGYSIEDLRPAGGIKKFSAAYSNGYEKRLEISFSEAPVFADPNGRGLRALSRAQIDSVLDLARCTIVSELSNEDFDSYVLSESSLFVYPYKMVIKTCGTTKLLLAIPRILELAEELSLPLAAVKYSRGTFIFPDAQPSPHKNFADEVAFLNRYFGGLRSGGNAYVIGDSAKPGQKWHVYYATEHPEEPVVTLEMCMTGLDKKKASVFFKTSADGYTSCAKEMTKLSGISDIIPEMEICDFDFEPCGYSMNAVHGRAFSTIHVTPEDGFSYASYEIMGFNPGSLSYGDLVKRVLRCFGPAEFSVAVTIFDLGKKLDAEAYACSNMVEQVLPSGGLLIYQSFTATVLHDFAGDIVKNGSESGEPDAPCWEADAVDKIEEREVKKMKC >Sspon.01G0008820-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:25806954:25809980:-1 gene:Sspon.01G0008820-3D transcript:Sspon.01G0008820-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPTEPRWRINSSFSPPTSRRWDCRYSSDGLPHRVHDAPHDHPPYVSSLSSHSKGSRSAFGSDQYLNHHHSVSDGALSYFGSPADSLQAPRWTPSLQRFDLGEFSTPAGGSRPETSDYPQSSERPLTATSSFSSASPFSESSQLASSSKQPAPYLHRNHMGRRSFMSKPVYPLVFRNPVSESEACRMLEVTNAGRATPSDDSQASPLWRRSLASPELKFHNALNELGKMEASPEPNTSSRREGFRWSNGSSYDFGYDGDAIDISDHISIESQRSPTSSVRFLKCGLCERYLRQKSPWTSNRIVRNADMPVAAVLPCRHTFHADCLEESTPKTEAHDPPCPLCTRATEDEGHVSFSEPLHVALRSARSRNLSLGGGAGGSSSSTNLPCSDRGLKRNHSAVVPRRGGSSLFCNRFKKQFPFKARIGKELFGGRVFNRVGSSSSSGQRDDHRQQEPKHDRPM >Sspon.04G0011780-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:37406934:37411070:1 gene:Sspon.04G0011780-1T transcript:Sspon.04G0011780-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASLARLSRRATTSAAAAAPSLRRLLSATSTAPAAASAPPPPPARPPPRPWARIGCGGTTAGSGSSCLWDSGCPRWPWTRTSPPRPCSPASLERRRPAGDLNKITLGFCANVQERCVLHAAWAAPTGLPAETLVDRYVTVGAYCLLRSCTIEPECIIGQHSILMEGSLVETNSILEAGSVLPPGRRIPTGELWAGSPARFVRKLTNEEIMEIPKLATAINDLMQSHFSEFLPYSNAYLEVEKLKKSFSIPL >Sspon.04G0000150-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:2005228:2007685:1 gene:Sspon.04G0000150-1A transcript:Sspon.04G0000150-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLPHNGSRSTKFSEEFDLLSLDDSSVYESKPINPQNSFIHAKRIVVFGSSLRKEVGPDTSGGIMRMKSYLDRSPPLGRFRIPVEAERLRDNDLVLEQYPLANSPGIAGFRLDFFSVIRPRVTHSPSSSELDMRKFSLTRMEDRFVNPAILYIQVTVVKESGKLVVEEYRLPEVKANTPLYFDFPDLQQDARCVIFRLLGDVTAFVDDISELENLNLRNLPLASGLSLSNKIKLYYYADTYEMGKIGSLAAV >Sspon.04G0017960-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4B:68525853:68526425:-1 gene:Sspon.04G0017960-2B transcript:Sspon.04G0017960-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYHVADGNSPVNRLLEMFSTCNGQPELDDRSSRSSPSRRLKLTSTSMATRRGRLQEPPSRSSGSSPDSELWERLRRRRPVRSPRAGEMGPWSPLPGKETSVTTPPPPPQVMPSHEQQSAAAVPLFRHDDMARPPSHESPVMKWSRELFSCSVHGLALEAMEISSKTARARPDNGMADDCLLLLIDRFCC >Sspon.02G0011440-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:30560465:30562104:1 gene:Sspon.02G0011440-1A transcript:Sspon.02G0011440-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSNKGKVCVTGASGFVASWLIKRLLESGYHVVGTVRDPGNHQKTAHLWKLPGAKERLQIVRADLLEEGSFDKAVMNCDGVFHTASPVLAKSDSSSKACRICFKQSTLSSVITIMLHMHLNHPTVVFSFREETLVPAVNGTLNVLRSCKKNPFLKRVVLTSSSSAVRIRDDDQPNISLDETTWSSVPLCEKMQLNTKGDTARFSSYGRMGYVHIDDVASSHILVYEAPEATGRYLCSSVVLDNDELVLACKTIPDIPHTPESYQLNTSKLQGLGIKFGGVQEMFDDCVQSLKDQGHLLECPL >Sspon.05G0006850-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:22292496:22298063:1 gene:Sspon.05G0006850-4D transcript:Sspon.05G0006850-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine carboxypeptidase-like 35 [Source:Projected from Arabidopsis thaliana (AT5G08260) UniProtKB/Swiss-Prot;Acc:Q9LEY1] MAVAAMATALLMLALATASASAAVSGAPWRSRSPRPEADLVTGLPGQPAVGFSHYAGYVDVASGGGGGKALFYWFFEAEREPDKKPLLLWLNGAVNLLFLESPVGVGFSYTNRTSDLRRLGDRVTAQDSYSFLLTWLDKFPEFKGRDFYIAGESYAGHYVPQLAELIYEGNKGASRDRAISIKGFMIGNAVLNDATDQLGMVEYAWSHAIISDELYSAVRRECDSFKEEADGGRPGKGCSPALRAFLGAYDDIDIYSIYTPTCLLLNNVSAAGATPRRPARLVAAPRLLSKHEEWHRLMKRVPAGYDPCTEAYVIKYFNRGDVQRALHANRTGLPYPYSPCSEVIRKWNDSPATVLPILKKLMAAGLRIWVYRYSSTCEHLPSSLVVNPVDAELKVKLKPRDGMSRCSGDTDGRVPVTSTRYSINKMGLRPQRQRAASRSSATSSASAGGVAAPEWGGWRA >Sspon.04G0006210-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:18400647:18404059:1 gene:Sspon.04G0006210-2P transcript:Sspon.04G0006210-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein TRANSPARENT TESTA GLABRA 1 [Source:Projected from Arabidopsis thaliana (AT5G24520) UniProtKB/Swiss-Prot;Acc:Q9XGN1] MDLPKPPSTAASSSGAETPNPHAFTCELPHSIYALAFSPAAPVLASGSFHEDLHNRVSLLSFDPVRPSAASFRALPALSFDHPYPPTKLQFNPRAAAPSLLASSADTLRIWHAPLDDLSATASAPELRSVLDNRKAASEFCAPLTSFDWNEVEPRRIGTASIDTTCTVWDIDRGVVETQLIAHDKAVHDIAWGEAGVFASVSADGSVRVFDLRDKEHSTIVYESPRPDTPLLRLAWNRSDLRYMAALLMDSSAVVVLDIRAPGVPVAELHRHRACANAVAWAPQATRHLCSAGDDGQALIWELPETAAAVPAEGIDPVLVYDAGAEINQLQWAAAHPDWMAIAFENKVQLLRRFSEESSIMWSPGTLEIICYSSCVDKPLDIIATP >Sspon.02G0007160-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:23498081:23503022:-1 gene:Sspon.02G0007160-2C transcript:Sspon.02G0007160-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASISRNLRRSLAPDRLRRLLLLSQRPREYVTAECHRPVVLHKRGPDILHDPWFNRGTAFSMTERDRLGLRGLLPPNVVSSQQQIDRFMLDLKRLQRYAKDGPSDTYPLAKWRILNRLHDRNETMYYKVLIDNIEEYAPIVYTPTVGLVCQNYSGLFRRPRGMYFSAEDRGEMMSMVYNWPADQVDMIVVTDGSRILGLGDLGVHGIGIAIGKLDLYVAAAGINPQRVLPVMIDVGTNNEKLLKDPLYLGLQEHRLEGDEYVSVIDEFMEAVFTRWPNVIVQFEDFQSKWAFRLLQRYRKTYRMFNDDVQGTAGVAIAGLLGAVRAQGRPMIDFPKQRIVVAGAGSAGIGVVNAASRTMARMLGNNEVAFESARSQFWIVDAHGLITEDREDIDPDARPFARRKSELGHQGLSEGASLVEVVLSNLVNGASISMMHCEVKKVKPDVILGLSAVGGLFSKE >Sspon.02G0028160-4D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2D:102710498:102711784:-1 gene:Sspon.02G0028160-4D transcript:Sspon.02G0028160-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ELSDIKSALNNEIEQLRSDFQELRTTLKKQQEDVSLSLKNLGLQDATENDVDKGNGEDTNEVLSANLGNLKLDGSSENYDESRGSKEDKVENTDTADFVIVDKATKEASSTDEQFNAGN >Sspon.06G0025160-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:63024917:63041342:-1 gene:Sspon.06G0025160-1B transcript:Sspon.06G0025160-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEHTTPRGHGPRLEHNIQRRRLSPLCSLSKQPTHIAGSPPPGARNDGGCAPKADRAIASLLLFLKLSEMKDEATIDLLQARASTPLEAVAAVCIETPSSVAAADVHRVAATDVHRGRRCPPHTNLAPHLWRSGADEAQYRRCGLQGSVPAQIRRACSSVRSDPVVPAPTPAPTLAPP >Sspon.02G0051310-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:75981258:75987355:1 gene:Sspon.02G0051310-1C transcript:Sspon.02G0051310-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDGCELVAGGGSLASSRAVYATSRLGAHRMVRKISNLEVLQLRARKPDVKENHSSVGTSFSGSGGNDRSHGNIKVVGIVANKFIQVGQEKRANRPHFLVSYRASNFPGTALLSVLAAGSASAASYCVPGWAIPHNPLPSCRWYVTSRTCGIGPRLPLPELRRRCCRELADIATYCRCTALSILMDGAIPPGPDAQLEGRLEDLPGCQREVQRRFAATLITEAECNLPTISGVAECPWILGGETMRSM >Sspon.04G0026580-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4B:45590749:45592586:1 gene:Sspon.04G0026580-1B transcript:Sspon.04G0026580-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTSTRTPNASLQRSPISMLRW >Sspon.04G0030440-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:1351264:1351521:1 gene:Sspon.04G0030440-1C transcript:Sspon.04G0030440-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMFFRHLLSCILLLLLMSHLPSSILGLRTLRGGEAKSDLRRHEQELPPAVSPSKEVDNDDAAAASKFTVSRRMVPQGPNPLHNR >Sspon.08G0000720-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:2407070:2412409:1 gene:Sspon.08G0000720-1A transcript:Sspon.08G0000720-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGQHRVHPQPPPPKRVLEGGERTRLGVYHDVLRRLRDAGAAEACAPGFADRLWAHFHRFSVRYALDVNADRAEDVLVHMQLLDRAKSSETTENRPAFSVRVVQVRCGPAKSALVSESTSHSVSASNLCCALVHEASSRNLLDDGDGDAILRPMHEITFASNDRPKGLTQLSTLLGQLNLDIKEVHALSTNDGYFLDIFIVVGWDHKETRQLEEALDKEILNYKAKMHSKASCWPPELAGKNCVNDLQDNHVEIPKDNTDEWEINFKALTFEDKVASGTYGDLYRGTYFGEDVAIKVLKSDRLNENMEKEFAHEVYIMRKIRHKNIVRFLGACTKPKTLCIVTEFMKNGSVYDFLHKRKGSFRLPGLLKAAVDVIEHKPYDSKADVFSFGIVLWELLTGKIPYEFLTPLQAAIGVVQEGLRPVIPKGTNPKLAQLLEKCWQQMHETSMQVAMDHNKLHKEKERGTNFFSFGKSH >Sspon.06G0023080-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:38986284:38988646:1 gene:Sspon.06G0023080-3D transcript:Sspon.06G0023080-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLTTTNLVLVVLVIAGVWTAAEAVRHRKGRPDPYEDVFDRQEADRVEALPGQPSEVGFQQFAGYVTANESHGRALFYWFFEATHDVESKPLVLWLNGGMLYVIRPGCSSVGYGALEELGPFLVQKGKPEVSLNPYSWNRDANLLFVESPAGVGFSYTNTTKDLSQFGDELTATDAHAFLMNWFKRFPQFKGHDFYLAGESYAGHYIPQLGVKILEGNKKAHRKDRINLKGIMIGNAAIDSSSDDRGLADYAWDHAVISDEVYGAIKKECTFPDDGNESDKCQQAWSDFFSVMRDIDLYSLYTPACTDAMAKASRTNSSSSSSAARRRSWKLADTPLAKVHRGMPYNTYDPCVDYHVFDYLNRADVQKALHANVTGIPYSWEPCSDALSGWTDSPPSTLPAIKQLVDAKLRVWVFSGDTDDRVPVTSTRYALRKLGLATVKEWREWFTTDQVGGYTLVYDGLTLVTVRGAGHMVPMITPVQASQ >Sspon.03G0010950-3C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3C:45932951:45934631:-1 gene:Sspon.03G0010950-3C transcript:Sspon.03G0010950-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGVLLLMAEHFNDWDLQAVVRSCGSVAHPEPAAAAAPRAEADEEPPTTTPPAAPRGDEPAPAARATPPPVAVRGQERAPPPPPVAKASAALLYDLEYLGLDHKPFLLPVVAPSPRPRAGDDGRGEREVMISFPAGAASTSGMQQRASPPGRKSGARTPRPKRSKKSQLKKVVREMPVADGGSSSSDPWAWRKYGQKPIKGSPYPRTTGQFDSRTACLQLTLWLLAADPLAQRTNVPLRWESCDRRGYYKCSSMKGCMARKLVERSPAKPGVLIVTYMAEHCHPVPMQLNALAGTTRHKTSSSGAVADHGTASSPKSHHEQGQAVDKATGRGAGDRERGNNETSTMAGEFGGEEIAAVDDDEFWPAGMDLDELLAPVDDDFDVEHVMEEEDG >Sspon.07G0013440-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:48402378:48405160:1 gene:Sspon.07G0013440-1A transcript:Sspon.07G0013440-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAALARRARAVEELRRLTAVAESDDTPAERLSTVAESDGTPAEQECDHFSLRGYVAMMQKKDPKLCSPHIFHNQPQCHEHHSSPVLVSKYHQWDCSKCLDRMKVSGHRPTPENVSMEQDGMDDGCSISIVRIRRLFPCTQQSSDRLTLSKAAQECNSKCSSPGNKAITAMNVPVAEENVPEALVERSVPATQDNISSDLQASPNNMDVSVNILNAVSKDVSHVPDDVQNISIIEENGTQDPPSPKSCVAPNEDESNIAQDVPNDDPSEPNVCKPVSGHKGKQISGDISNQVCNKGPRRASLKRNVGSDHKKKRNKSTELPDISDLKFSQRKPKKMRLLSELIGTDQQVGASADAVQVDHANSVDPCEGGKRKMHLEVGKDNDTPNEKVDEIQSRAVKNKAKHTVDKVDDGSSLMNWLKSTHKKVRTEKKYSEHKNLDSSAVQNSCPDIVASNDMHHDFLSSVGDVGQEKVPSTTSANHGNGKAKNDNLEQNMQKVDDLCQNESRNLKQRFFSNEKSTILLKRKVLSTSVAHDENIDIENSTIKRDMLRSDDLPQMEPEGSVQRCLAK >Sspon.06G0002400-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6A:7887870:7888661:1 gene:Sspon.06G0002400-1A transcript:Sspon.06G0002400-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASTMPHHLALLLLLLASPAATRLASAACASEKFPAGRAYAACEDLPKLGASLHWTYDASKNSLSVAFVAAPAAPGGWVAWGLNPTGEGMAGTQALVALAGSGSAAPTVRTYNITGYVPLGKASTPLDFPATDLAADAAGSGGKIRVYGKLQLRTGMKAVNQVWQVGASSSGGAPDKHAFQADNLNAKSKLVLAGKAAAEAPSPAPVPEAGGPAASSGGAGSDSGASSSTAPSKSPNAAVPAAGVSAPALLVLALVGFLVTV >Sspon.05G0021950-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:4768970:4775496:1 gene:Sspon.05G0021950-2D transcript:Sspon.05G0021950-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLVHRNLVRNGPGSVKLVPEEEDDLWHAYNLIAIGDNLQAVTVRKVLREVASGGRDAERVKLKLEIVVESVDYDKEGSVLRVRGKNITENDHVKIGQFHTLELELKRPFVLRKEIWDWLALETIQQACDPAASADLAVILMQEGLAHLFLIGRSITATRARIETSIPRKHGPAIAGYETALKKFFEHVLQALLKHIDFEVVQCVVIASPGFTKDQFRDYLFLEAARRDLRVIIENKQRLVLAHATSGYKHSLKEVLDTPGVMALIKDTKAAQEVRALQDFFNMLTNDPARACYGPKHVEIAHEQYAAVQTLLITDTLFRNTDIATRQKYVNLVESVKKSNSTVHIFSSMHVSGEQLAQLTGIAAILRFPFLS >Sspon.03G0000760-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:2303880:2304746:-1 gene:Sspon.03G0000760-1A transcript:Sspon.03G0000760-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DGEDSEPQEAVQEPVQEHHRQRRQRGHLRHGRRAREDRVGGAARRDAGAEAAVARGGRGGRGVHPGESLHGLAVARRLHRRHRNLRRPEGDAVAGDRAVAQGAEAAVQGQGEGRLRAPAHGGRPGQGQGAAPGGPGRQGEEAQVNHLGAAHGGALPLLHPSV >Sspon.08G0021580-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8B:40850021:40850215:1 gene:Sspon.08G0021580-1B transcript:Sspon.08G0021580-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDILRSSREGKKSERRRALDRADSLMPFLARQGLICRQVQRRIVGCESGMAAASRLLAAAAADE >Sspon.07G0018760-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:67839318:67839763:1 gene:Sspon.07G0018760-1A transcript:Sspon.07G0018760-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MYTVGGEREPSAMSRGSGSGGVRSTRLELQLNLSPPPCLVDLLHGEAGGRGGGGSEAAGDKKQQQQRGKRK >Sspon.03G0011250-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:29753894:29767221:1 gene:Sspon.03G0011250-2P transcript:Sspon.03G0011250-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNPFNPYYRGAFAGGDSAPPPRRVDLIASRYTGTEPPYPSSAGVGAFDSHLGARRSAEVLYHQSFMGSRSTVGQSEALYSSNTMAKRPRLESSLPTYPQRPGQKDCAFYMSTGTCKYGETCKFDHPQWVPEGGVPNWKEVPNVEDSYPERPGEPDCPYLLSSRCKFKSKCKFNHPKKMVNALATGTDSEFYVKTGKCKFGANCKFNHPKPKDTKTPPLIAKETIYTATTDAAVHIGAADDSVPAKKHVPTAPAEAHNAKGLPIRPGEVDCSFYMKTGSCKYGSICRFNHPDRSVVDIAFMAPLVQATLPSPAPIVPAVVLNPAANFLQSFDFHASHVPVEPVPMIYPQRPGEIVCDFYMKTGSCKYSQKCKFHHPIDRLAPHSNENGDPQQPVTLGLPRREGAEACAFYMRSGMCRFGVHCKFDHPPRQKAISKLQATGKEGMEGLSVVLHDPDDSQVRNQLIVINMTT >Sspon.02G0042360-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:37666686:37668095:-1 gene:Sspon.02G0042360-1P transcript:Sspon.02G0042360-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLRLGSYHTVVASSAEATRLVLKTHDFAFADRPSTAAGAITAYGYLGIVHTPCGAYWRMARKLCATELFSARRVDSFRRVWAQEMRELVRGLFECAGRGAVAVREHVAGATMRNILRMAVGEKWSGCYGSPEGEEFRRTLDEAFAATGAVSNIGEWVPWLGWLDVQGFKRKMKRLHDLHDHFYEKILVEHEERRRLAQAGGEFVATDLVDVLLQLAEDTQTQESEARIPRDGVKAFIQDIIGGGTESSAVTIEWAMSELLRHPEAMAAATAELDRVVGSGRWVAERDLPELPYIDAVVKETLRLHPVGPLLVPHHAREDTVVAGYDVPAGARVLVNEWAIAHDPASWPDAPDAFRPDRFLGAAVDVRGAYFELLPFGSGRRICPAYDLAMKLMAAGVANLVHGFAWRLPAGRGGARGREHEGARRAVHAPDGAARRRHRAQAAGAPLRRYRLIGFTLWTKIGILLTSA >Sspon.03G0034630-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:75882240:75887449:-1 gene:Sspon.03G0034630-1B transcript:Sspon.03G0034630-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MIDRKQAEEMQVNNEAPLGCLKPNISQYNSPEQRGGVEGFPENNEKRNDICNWKTEECFQTYVRPTYHQFLTDFCKELTAYNRFRSVHNLNNHEAIVLNKWDQEFEIIGATQIDKETTLDAWGHMRHLASPHKNSVVKR >Sspon.04G0025720-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4B:29257761:29258345:-1 gene:Sspon.04G0025720-1B transcript:Sspon.04G0025720-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LEASCGCDTAPSYSDCDPYAPAESLRLRIGEDVDWSDVVGAVAAAAAVLERDDSTRGAAANPKSCAARRSAARSSLSAPAAPRAVAVVIGGLPAARDHGGRRRSPCQLQLGLGGGAKVFTSGEAAGGHHHQTEPGSPEVSCLGGVRSQPRTAAEGITSGGGGRRWWAWLVAADVMFCCGWKGRRDRRPRPSEAE >Sspon.08G0027130-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:37433004:37433878:-1 gene:Sspon.08G0027130-2D transcript:Sspon.08G0027130-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding HGRRRVPGCRLLPPRRRHFLGGLRVGGVACAGVRGRRRAEGVEEADGAQRGELQPLGRQEPLPRRRLPRFQVRQERLGAGGDPRRVPAVRRRQADAAFRGRGHQVPPGPQRLLLLHQRRGRPLRRGAADDPARHGAAAGRRQQAARPGRGARRRNVARRRGRRRRDVRSSSRRSSFFPARVRRGRVVVSWLGLRLRVEAAAARGARRRWVYARRVGGGGVAVDSWASRRPCGCSGRAAAVLGRL >Sspon.04G0005710-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:12318090:12333822:-1 gene:Sspon.04G0005710-2B transcript:Sspon.04G0005710-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHHDPNPFDEGADENPFSNGGGGARGGGVKSQYGFRPAEPAGFGGGGRGDATVDIPLDTMNGKAKELSQWESDLRRRESDIRRREEALKSAGVPMEDKNWPPFFPIIHHDIANEIPANAQKLQYLAFASWLDSKLFFLATIYGMLGIPLSYLMWYRPLYRAMRGILAAIDTFSDHAIVGVSTSRDISLILFHLVATKGATVLVFFKELYALSLQRIFITILEGFVAPHPPSSSAYLLLFKSHSPAWTLGGKKTSLAKNQTPARTHAEMAICSAHTTTTSLHSPCTTVSNAGFRQRQVIFFTSHRRSGGGRRHGGARTCFQVSCSVDKPVVIGLAADSGCGKSTFMRRLTSVFGGAAVPPKGGNPDSNTLISDTTTVICLDDYHSLDRNGRKEYGVTALDPRANNFDLMYEQVKAIKDGQAVEKPIYNHVTGLLDPPELITPPKIFVIEGLHPMFDQRVRDLLDFSIYLDISDEVKFAWKIQRDMAERGHSLESIQASIEARKPDFDAYIDPQKQYADAVIEVLPTQLIPGDNEGKVLRVKLIMKEGVKFFNPVYLFDEGSSISWVPCGRKLTCSYPGIKFAYGPDTYFGNEVSVLEMDGQFDKLDELIYVESHLSNLSTKFYGEIMGFRKEKLRKFIQMEEEDDDELFFIIVPAILECLNDEKRPVHTSEYTGAKKTVYLYASLSADICIDRLELLMEIDSLREGFDRVAEKHSLSSAKALEAVDQIVNEVEQAIVKLQMMNTDSTGNVDHPSILAELKAKLNEMAPLNQLEGSQKELNGALSKYLKVLEKSFNPDISKAYRNVDFEVHTVNNIIANHFYRQGLFDLGDMFVHECGELGGASLKLPFQEMYAILEAMKARNLEPALSWAAKNHDQLLQNGSMLEFKLYQLQFVEILSKGSRDEAKDDALLYARTHLVPFAAVHKEEFQKLMACLLWVGRLDQSPYSELMSSAHWEKLAEELTHQFCSLLGQSRESPLSVAVSAGFQGLPTLLKLTQVMAAKKQEWQVMKQLPVPIDIGPEFQYHSVFVCPVLREQSSDENPPMRMPCGHVVSKQSIMKLSKSSSRPF >Sspon.07G0000500-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:807637:810556:-1 gene:Sspon.07G0000500-1T transcript:Sspon.07G0000500-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRNQFDLLGDVDNDDPAHLLAAAEKKAAAAPKPAPAPAPAKLPTKPPRPRKLKLSDFGIHGCHLKLGVHVKFHSFVIAVREERSYGAPPRDGPGRGGPGRGRGGRGGRTGPRRDFSDADANGFEGGYGGGGFGDGGVARGENGEGRQAERGRGPRQPYRGGGRRGGYTDGQSGDEFGRPRRAYERHSGTGRGYEMKREGAGRGNWGTPTDEGLAQDTVDAVNPEETAAVVEDEKKPEDAPQSEVEKDKEGAENEEEEKEAEDKEMTLEEYEKVLEEKRKALLALKAEERKVEIDKELQSMQQLSVKKDADEVFIKLGSDKDLKKKENAEREERAKKSLSINEFLKPAEGERYYNSSSRGRGRGRGRGERGGFRGGYNGGYRGPAAAPAIEDQAQFPALA >Sspon.04G0023950-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4B:16227035:16227548:1 gene:Sspon.04G0023950-1B transcript:Sspon.04G0023950-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLQAENHCHTDEDQAIIASATVLRASTVCTSNSATATASPAGLLPGVVDEPL >Sspon.01G0012730-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:34012413:34014392:-1 gene:Sspon.01G0012730-2C transcript:Sspon.01G0012730-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVMTDMPAATALRAPAGAPPAGSDKDAEKLRFIEEMTSDVDAVQGRVLAEILARNAGTEYLARCGLAGATDRDAFRARVPVVTYEDLQPDIQRIANGDRSPILSAHPISEFLTSSGTSAGERKLMPTIKEELDRRQLLYSLLMPVMNQYVPGLDKGKALYFLFVKSETTTPGGLTARPVLTSYYKSEHFKNRPFDPYHDYTSPTAAILCADAFQSMYAQMVCGLCQRHDVLRVGAVFASGLLRAIRFLQLHWEQLADDIERGSLTPRVADPSVREAVAGVLRRPDPDLARFVRAECARGDWAGIVTRVWPNTRYLDVIVTGAMQQYIPTLEYYSGGLPMACTMYASSECYFGLNLRPMCRPEEVCYTIMPNMGYFEFLPVDEASGVPVPPASGDAAAAQLVDLARVEVGREYELVITTYAGLYRYRVGDILRVAGFHNAAPQFRFVRRKNVLLSIESDKTDEAELQRAVDRASALLRERGAAVAEYTSHACTRSIPGHYVIYWELLATRNGAEAAAAAVGGDVLERCCLEMEEALNSVYRQSRVADGSIGPLEIRVVRSGTFEELMDYAISRGASINQYKVPRCVSFPPIVELLDSRVVSRHFSPSPPHWAPAAPARRSSSD >Sspon.06G0005740-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:19257895:19258319:1 gene:Sspon.06G0005740-1A transcript:Sspon.06G0005740-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSAFIDRLLRSVRSEISSLNNFAPPPPPPPPTPFTVEDRPGEQWAACAACSLRPRGRKRRVDATLVDGALPPSRSGADTGGPPRLHISVKVEVSKAARPGMALTFECSAWPDEMEVRRVFPVRRGGPAPVQQYVGRQF >Sspon.02G0036770-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:22043225:22045104:-1 gene:Sspon.02G0036770-2D transcript:Sspon.02G0036770-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At2g36980, mitochondrial [Source:Projected from Arabidopsis thaliana (AT2G36980) UniProtKB/Swiss-Prot;Acc:Q9SJK9] MAAGGRPFPGLVAATSRIASLGRAGDAASARAVFDAMPRRDAVVWNAMLTAYARAGQPRDALALFSRAPAPDAFSLTAALSAAAALRSPTAGAQLHARLLRLGLRALLPVGNALIAMYAKCARAEDAARAFREMRERNALSWCSLLHAYVASDQLMLAQKLFDEMPNRNNVAWNTLLMGYSRSGNATQCLLLFNEMQTTGLTCDDATLCILVDACTELANPSAGSAIHKIVVQSGWNAMAEVSNSLISLYTKFSLLDDAVRIFESMEVQTIVSWNSLIDAYMKLGCVEKATSLFGSVPGTNVVSWTAMIRGLARNGRADEALMLFVEMLAHEHIHPDDFTFGAVLHACATGASLASGRMVHCRVFQSGFASYLYVANSLMDMYAKCGDLEGGTNCHCQQGPGLMEHNVVRFAINGWANEALVVYDSMKSHEVCPDEVTFTGLLTACSHSGLLEHGKTFFESMVSVHGIQPKPEHLSCILDMYARSGNITKAMEMLDHYSEMIQTHNSDIREALLSACSLEHLNFSVARKAVKDMVATKSAGDVGYVMLSNLFCATGQWNQAERVRIAMAEYGIKKSPGCSWIEVQGAVKVFVSGAQDLDHSGSVWDVISLLDGEMRNIMHFDV >Sspon.01G0019920-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:80079779:80082829:-1 gene:Sspon.01G0019920-2B transcript:Sspon.01G0019920-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable prefoldin subunit 5 [Source:Projected from Arabidopsis thaliana (AT5G23290) UniProtKB/Swiss-Prot;Acc:P57742] MASPGRIELDKLSVEQLKGLKEQTDLEFNLLQDSLTKIRTAATRLENASAALHDLSLRPHGKYRRTPSSEPLAYPCLSFRKKMLVPLTASLYVPGSLDDAEKVLVDVGTGYFIEKTMAQGKEYCERKINLLKSNFDELAEVATKKKAIAEDMAMLLQAKLRQASPGPSS >Sspon.04G0026130-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4B:37748031:37748990:-1 gene:Sspon.04G0026130-1B transcript:Sspon.04G0026130-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AKHEDSRLGDDAVADGGFIQFQVESLRKDSADDKQPEDSVPWRALPSEMCGVILDHTDAISIIRFAAVCKDWAKGLGDGPHHVNQRLHLRKVALCRTPNPLHGYLAVALFLAWFPLQMIAVAEASCLEWTQLKVPDDIGVVPYDDVCVHDGKVIAVDNHGDLWSWNLQDGGAADSGPEHLGKPDIQINREEDKCAFYLAKSIDGANLQLV >Sspon.04G0021630-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:1617861:1620943:-1 gene:Sspon.04G0021630-2D transcript:Sspon.04G0021630-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSRPLMRGIRPPRVFPTRGGRASPYAVALTALLLVSAALLALIAFGVFSLPVSAPNAAAGGETESADARPARPRPRPRRDLGRGGAGRARRAVDPGHLVGAQGIRLPQLPDHGEGLQVLHYEVGQKYEPHFDYFLDEFNTKNGGQRMATLLMYLSDVEEGGETIFPDANVNASSLPWYNELSECAKRGLSVKPKMGDALLFWSMKPDATLDPLSLHGGCPVIRGNKWSSTKWMHIHEYKA >Sspon.07G0005790-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:13688510:13690814:1 gene:Sspon.07G0005790-2B transcript:Sspon.07G0005790-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding PTAQGLSQPTACTALRTCVGALPFTGPPWRLGTVQCEPTTPSQRSSAPSYINPDGMPTTHHQSAVAGHHRRNLCVPWRPSWSATPPTAWRSRCATASALCPWPTPTPSSPRLRRRRRWPRQAPRSAGTWRGGSCRFGASDVFAVPGDFNLTLLDYLIAEPGLSLVGCCNELNAGYAADGYARSRGVGACAVTFTVGGLSVLNAIAGAYSENLPVICIVGGPNSNDYGTNRILHHTIGLPDFSQELRCFQTITCYQAIINNLDDAHEQIDTAIATALRESKPVYISVSCNLAGLSHPTFSREPVPLFISPRLSNKANLEYAVEAAAEFLNKAVKPVMVGGPKIRVAKAKEAFAGVADASGYPFAVMPSAKGLVPEHHPRFIGTYWGAVSTTFCAEIVESADAYLFAGPIFNDYSSVGYSLLLKREKAVIVQPDRVVSATARLPRALAKRLRCNTTAYDNYRRIFVPDREPLNGKPDEPLRVNILFKHIKGMLSGETAVIAETGDSWFNCQKLRLPEGCGYEFQMQYGSIGWSVGATLGYAQAAKDKRVIACIGDGSFQVTAQDVSTMLRCGQKSIIFLINNGGYTIEVEIHDGPYNVIKNWDYTGLINAIHNSDGNCWTMKVRTEAELKEAIATATGAKKDCLCFIEVIVHKDDTSKELLEWGSRVSAANSRPPNPTFPCNGAFICLP >Sspon.05G0036140-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5C:84115934:84118230:-1 gene:Sspon.05G0036140-1C transcript:Sspon.05G0036140-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSQSFQFLNSANIVLLPKKNDALRVTDYRPISLIHNIAKIFSKLLANRLAPKLNSMVSNCQSAFIKKRSIHDNFLYVQNTVRKLHKLKLSALFLKLDIHKAFGTVNWSYLLEVMQALGFGQRWREWMSILFSTATSTALLNGQCGPTFSHGRGVRQDDPLSPMLFILAMDPLQQLLDLATEHGILSPLPPLAARWRISITQRDSPIIWDLLAMDPLQQLLDLATEHGILSPLPPSAARWRIYMYADDAAIFTNPSKDDLEALKEILQLFGTSSRLHINLQKSSIHPIRCDEVDLEQAPKNLAPHLFELVARKNISVQQELDNGRWIHYLRNRVSTTEHMEEFVSLWIRIQAIHLQSSVVWNQILNWEHFDTQLTQFDQDPARLSSWWEETMPKVIKDERRRFNGLVIYICWNLWKEKSKNFQQHTGIGLAGCFKNQEDIAQRKRALEREGYQAGVCFLPVFS >Sspon.05G0022650-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:7582498:7584045:1 gene:Sspon.05G0022650-2C transcript:Sspon.05G0022650-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKKRKADPAESAAAAEAAAASLEPKPRGTIYFPITDDPPEPTADEDEDEGAGDDEDDAEDIAKLLEPLSREQLVALLRTAAEASPATMAAVRRAAEADPASRKLFVHGLGWGAGAEDLRSAFLRFGELEDCRVISDKQSGRSKGYGFVLFRSRRSALRALSRPQLQIGGRLAFCHLAASGPAPPASQSQNPSSNANTNANSSSNTTTNASGSSSSQPDNMQRKIFVGNVHSDVDVDRLYEYFAQFGEIEEGPLGFDKNTGKPKGFALFVYKSAESARRALEEPMKNFDGKTLNVQKAIDGRTKGSSGTNTNANSNPTTASVAAAAQMTAPASAAISPYDASAYGATAVPDMSFAQQAAMLGLGAQQQAFAQPNAMLAMIAAMQNPAALGMTPAMLAAMNPAFAAAALGAGGQQAHTAGLTGFGAQGFGAQAFGAGGAAFPNAAGVQAAAAAYQGAGAPPGFQGPPGFQVGQATTQTSTAAAAAAAAASAAGYQAGAAGQGQAQIGGTGFQGGY >Sspon.08G0029760-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:48535767:48539947:1 gene:Sspon.08G0029760-1D transcript:Sspon.08G0029760-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVEEIDCWPPRKGAHLIDETDSEESEDEMSTFAPQELLLDVKGAKALFRDKEDAYLKFVFRSRSKREGKVWHCVCKATNSPKPKLVFGLPKFHCACGSKFLQNNNLDFSLADVRVNFELVVSRIFDQKGPHCIANACCQAMLIMHTMDCITKKKEVKEAMVNINPEGLASSYSNKYPLMEPGVEIEKIPPSSIHKFIKMALMLKNNGIELEDGTFWRIGDISFIDKDNYEEICAELANGVPLLAVITPGVNFEYLKYCEVYRPPLLQDLHECNDLHEPKDKHQAHMVVLIGPAFKANGKNPGRSYYFVNSHANWCEREVYETYHEEAGDIKKPVHYGIGKIEEKGLVYSVIKLWKFGQTAGPGSVTLEDEDEGEAGKYENKIANKQKFTGLRRLVRLVVGIRQIAGAKAIQAAGESWAACDAEGRKDSDPNAGLGG >Sspon.02G0044030-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2B:98899950:98900222:-1 gene:Sspon.02G0044030-1B transcript:Sspon.02G0044030-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMQLPSPPRPLLDSRGTLATPTFQDTVLLVLGLYTGSKTLANLFLKLLDGDCTFHTPTDFDLSAPQVVRTVGVCNRQFATAHASWRQWLP >Sspon.07G0010820-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:32714046:32717276:1 gene:Sspon.07G0010820-3D transcript:Sspon.07G0010820-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGYGPEDKNAVLELTYNYGVKEYDKGNAYAQIAISTDDVYKTAEAIRVNGGKITREPGPLPGINTKITACTDPDGWKTVFVDNIDFLKELEE >Sspon.03G0019960-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3C:81232003:81232311:1 gene:Sspon.03G0019960-2C transcript:Sspon.03G0019960-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGAGAAVLRARCVCGQNAICDYQPSLRCSCAPGYMIVDTRDWRKGCKPMFSVVSNCSQLPEPQQYKFIKMPHTDFYGYDMGSNQSVTFEYCKKLCSERCSC >Sspon.04G0027990-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:62975153:62976858:-1 gene:Sspon.04G0027990-1B transcript:Sspon.04G0027990-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGRKRGKGDGAKGASAGGKRSRGFGDFGGSCLGSRVVDSLIGETRIASSPKFRELDASSVAYPDNILIMQYFWLSLW >Sspon.03G0002920-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:7327255:7330378:-1 gene:Sspon.03G0002920-1A transcript:Sspon.03G0002920-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAISSALPCSLLMAALMLLASAVEVQGITRHYDFNVTMANVTRLCASKSIITVNGQFPGPKIVAREGDRLVIRVTNHAQHNISLHWHGIRQLRTGWADGPAYITQCPIQTGQSYVYNYTIVGQRGTLWWHAHISWLRATVYGPLVILPKLGVPYPFPAPYKEVPVIFGEWWLADTEVVINQALQLGAGPNVSDAHTINGLPGPLYNCSAKDTFKLKVKPGKTYMLRLINAALNDELFFSIANHSLTVVEVDAVYVKPFTVDTLLIAPGQTTNVLLAAKPFYPGANYYMSARTYSTGRPATFDNTTVAGILEYEYPDAPSSAASFNKALPLYRPALPQLNDTSFVGNFTAKLRSLATPQYPAAVPQTVDKRFFFTVGLGTHPCPANTTCQGPTNTTKFAASVNNVSFVLPTKALLHSHFTGLSSGVYESDFPVAPLTPFNYTGTPPNNTNVANGTKLMVIPYGTNVELVMQGTSILGIESHPLHLHGFNFFVVGQGSGNYDPVNDPAKFNLVDPVERNTVGVPAGGWVAIRFLADNPAQETSSACVPLSYTCAYLLYERAGVWFMHCHLEVHTTWGLRMAWLVLDGNLPHQKLLPPPSDLPKC >Sspon.03G0000890-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:13875702:13878676:1 gene:Sspon.03G0000890-3C transcript:Sspon.03G0000890-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDGGADDASSPPPPGGFSYLAVFHNYPLVAALLGFAIAQSIKFFVTWYASLLARARFPSPLFLPSPASRPVRCVWVWDRARAWSDPPVVPSVKAASLHCSTVRFLDIRNELKNLSLKARGMNDTLGMYKENRWDPKQLIGSGGMPSSHSATVTALAVAIGFQDGFNCSLFATATIFASVVMYDASGIRLHAGKQAEVLNQIVCELPSEHPLSETRPLRELLGHTPTQIASNIITIEDGSFIS >Sspon.01G0033720-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1A:113297527:113299354:-1 gene:Sspon.01G0033720-1A transcript:Sspon.01G0033720-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYGECWPRPRRQLVVTMTMVFNSVLVGADAGGERRSRVYVNVGRATGSQRCTWQPLTDPMNNLIPTCTTGCKLPVLENKKKAGGPSKIRTAR >Sspon.01G0053000-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:28498396:28506206:-1 gene:Sspon.01G0053000-1C transcript:Sspon.01G0053000-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTPGHLKHTFSSLALKELNHRKIKDRSTSPDSMPMKELIAVAQARRFSRSTSFPDNFLNAKYIPETSINTPPKEGSHRQLSPSNRIIRSTSGNDNVHSRSPFDNIQPKKLAGHDEANAARRSFKDFLSTLTRTKESISRATRLAIECAKFGIAGEAIDIIVEHLEKESNLYKRVDLFFLVDSITQCSRNQKGGAGDVYPSLIQAVLPRILYAAAPPGNSAWENRKQCLKVLKLWLERKTLSEYVIRHHIREIETINEASFGSSRRPSRTERALNDPLRDNEGMLVDEYGSNAGFQLPNLICTKVLEEEEESSSEDRSLSFEAVTPEQDAPYHDDNEESQMPVEKHHHILEEVDGELEMEDVAPPSDIEATTKCQPEQSDANCAPSDQRPSDVGPPLPVDQPPSPPPLPSSPPPVPPPPPAPVPQSAQMQPKLQMASDPTHQCAKSTTAFYCRAPR >Sspon.01G0042090-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:62773992:62775668:1 gene:Sspon.01G0042090-1B transcript:Sspon.01G0042090-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GCGQGGGTPKLRSGRSAQRFFCFSSVAEEIALRCIDRQPLVLSLSKPHLLSSPPTHALSTMASLYVVHHTSLPLSPPHQLTAPEPPRAPHPSSRLPTVPSSSHHVSALPRPAAAPSAFARHCKAPVRDHDAELLRALQSNGNGTVHGDAAPPQVLHSRSDRPGGDGRSKRSRFCARDCAKRIMELPVEERVKVLDLLPRDDDALTVSDYNDILSALARAGDHATAVALFRAMPVAPDAQSFATAVQCLCRQGAPDEAKLALDEMVARGFRPSVATFSAVVGCLCKRGRVTKAMEVFDAMRALGCEPTIRTYNSLVGGLCYVGRLEEALDLLNKLKESPMTPDIYTFTIVLDGFCKVGRTEEATAIFHDAIGMGLSPTIFTYNALLNGHCKEGNPLKAFALLMEMCGNDAACPPDKISFGIVLTALLRAGETSAAWQTYKRMERAGFEVDGRALDTLARGLFRRCATDVSALGDAKEVFAKLVASGHEPVSYTYCLMAQALARGGEVDAAVALLKDMVRKGYALRKRAYTDVVRALCDRGRARDALQVLVLVMIVRDFVP >Sspon.02G0042870-3D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2D:80649499:80649945:-1 gene:Sspon.02G0042870-3D transcript:Sspon.02G0042870-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding TILLLVTPCAAQDDVVDLHNVARADVGVKPVSWNESLATYAESYAATRQDDCKLKLSGGPYGENLFWGAAGTNYTAADVVGLWVSQKQYYDHASNTCAAGKKCGAYTQVVWRGTTSIGCAAVRCRNSAGVFAICSYNPPGNLDGQSPY >Sspon.04G0013420-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4A:48129338:48131130:1 gene:Sspon.04G0013420-1A transcript:Sspon.04G0013420-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHKFHMISDGPSSSHRRKTEKRTEEVGLIPAAQDNEGADGSQQQQQEGGGEGWLVLEEEGQRRGDGNSELQPDSAMASSTRPRKREGSE >Sspon.06G0007540-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:27105545:27107095:1 gene:Sspon.06G0007540-2C transcript:Sspon.06G0007540-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDLQARMELKRKRRMESNRESAKRSRQRKQQHLDDLNSQVDKLRTTKQQLMTALNITTQNYAAAEAQNSVLRTQMMELESRLCALREIICYMNANHVANAATTMNAHPATIMSGAANYDTFGASATAWNSGMQMVQQPIDHLLYQCF >Sspon.05G0008700-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5A:26033158:26034602:1 gene:Sspon.05G0008700-1A transcript:Sspon.05G0008700-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding METLQVHELLARVLLVLATMILFKRMLPSPSRRRAANSTPPPFPRPRGLPIIGNLHQLGTHPQASLAALAARHAAPLMLLRLGSVPTLVVSSVDAASAVFQHNDRALALSGRPALYAAARLSYGLRNVSFGPPDTFWRAARRACLSELLSAPRVRGFRGVWEAEAAALVAAAADESSRDGGSPVNLSDRLVATTNRIVRRVAFGDDGDDVDSVRTRAILEETQRLLGAFFVADYMPWLGWLDALRGLRRLLDRNFRELDAFYEKVIDEHINKGTKSKEDGDLVDVLLRLHNDPAHQSTFGSRNQIKGILTDMFIAGTDTSSATVEWTMTELVRNPDVLAKAQEEVRSVVANNKDTVLESDLPRLHYLKLVIRESLRLHPPAPLLLPRETTEPCTVHGREVPAATRVLVNAKAIGMDTDACATSRVQLSASSHWPVTGVGLATRRNR >Sspon.05G0003940-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:7381632:7382927:1 gene:Sspon.05G0003940-2B transcript:Sspon.05G0003940-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQAWGNLQDKLQGRRWKEHQVRKITDKVFDRLTEDAQKREKEALRFEEVYIAVLCVYNDINKYLPGPHHDPPSKEKLKAMMDEYDVNLDGLLDREEFAEFIRKLTADSLCTISVKLLITLVAAPALALATKRATEGVPGVGKVVRKMPNALYASAITLGVVLVQKSAEGVE >Sspon.02G0051250-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:74949648:74950399:-1 gene:Sspon.02G0051250-1C transcript:Sspon.02G0051250-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ALSAKAIRQGFYWPTHIKDAEQIVKTCEACQNFSPHQSKPLAETPLITPTWPLQRWGMDLTLLNLRKGKWIDELQKVVWSHNTTVSRTAGFTLFKLLYGEEAMLPKEIKHQSLCTIRQVMAEDEEYSKETIEGTRLEAIENIA >Sspon.03G0011620-3D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3D:36189053:36196741:-1 gene:Sspon.03G0011620-3D transcript:Sspon.03G0011620-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLMKRNSFVYIFRTLQLMMVSIMGMTLFFRTKMHRDSVTDGGIYLGALFFAVIMIMFNGLSELALTIIKLPVFFKQRDLRFFPAWAYTIPTWILKIPVSFVEVGGFVFMAYYVIGFDPNVGRFFKQYLLLLALNQMAASLFRFVGGAARNMIVANVFGSFMLLIFMVLGGYILVRDKVKKWWIWGYWISPLMYAQNAISVNEMLGHSWDKILNSSVSNETLGVQSLKSRGVFPEAKWYWIGLGALVGFVMLFNCLFTLALAYLKPYGKSHPSISEEELKEKYANLNGNAVAEGNLPPGSSHLATVGITSSGSVTVENHSGTMQRGMVLPFAPLSLTFNNIKYFVDMPQEMKTHDVVGDRLELLKCVSGSFRPGVLTALMGVSGAGKTTLMDVLAGRKTSGYIEGNISISGYPKKQETFARVSGYCEQNDIHSPQVTVYESLVFSAWLRLPRDVDSNTRKMFIEEVMELVELKPLRNALVGLPGVNGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFEAFDELFLMKRGGEEIYVGPLGHHSSELIKYFEGIHGVEKIKDGYNPATWMLEVTTVSQEQILGVDFSDLYKKSELYQRNKALIQELSEPPAGSTDLHFRNQYSQSFFMQCLACLWKQNLSYWRNPPYNAVRLFFTTIIALIFGTIFWDLGGKMGQSQDLFNAMGSMYAAVMFIGVLNATSVQPVVSVERTVFYRERAAGMYSALPYAFGQVTIELPYTLAQATIYGIIVYSMIGFEWTVAKFFWYLFFMYFTFLYFTFYGMMAVGLTPSYHVASIVSSAFYGIWNLFSGFIIPRPKVPIWWKWYCWACPVAWTLYGLVVSQFGDITTPMDNGVPVNVFVEKYFGFKHSWLGVVAA >Sspon.01G0019450-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:73770205:73771479:-1 gene:Sspon.01G0019450-2C transcript:Sspon.01G0019450-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GPGAAPGQPALRQQRRRRGLGVRGAVVAGGVVRGRGVGAGVAEPVRVAEAPGLEHVRAVPAEPPPAAVPGALQRRARARVPALPRPVRQDQGAHPGLPLLRPPGAAGALPVPAPPGVGQPRRARGQAARRLRGERRAAREQPLRRARRQALPPRGARPSVPRPGRQLREEEAQEGPRAPRPRHRHLLLLPRRQRTPPLRAPDAAAATARRSGLT >Sspon.06G0035370-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:72238868:72243209:1 gene:Sspon.06G0035370-1D transcript:Sspon.06G0035370-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MREGEVNRLLCSVAAAAVVSPGQQQQAVNLSEMVHEPCGLQWQVCYKIIKGICLGLQYLHERNIIHLDLKPDNILLDDDMMPKIADFGLSRLLDEGKSRTITQEILGTRGYIAPEYLDNGVITVKADIYSLGVMIRHMVLKSWVIRLEQEPSEMRRTPLEIDYRQIEACMEISESCIKFEPDNRPPTIADILRRLVKTEAKDQSVVTGTPALGRISSLAKLMEGLRLMASQHPTSGSHGSTKALVSGPPLLASPNRSGDPSPDPDKISWLDSRKSAKCYMLSSRSLHIEHVDAAVYWKWINRSDSRFHLHCTPIYNNDMPTVQVKLNVRDHIHACELTYCILICFSVDEKRFAECAELIKVYFLAVIGEILTADLSPGTTYAVYLVYKLASDAAGLNGVQTSSVRLYGERTVTTSSVSVDPAARTAAAGMLRPVARQDGWMELKLAEFAPDDKLLLNEKAVIVDFREENIRVLKRGLIVEGMEFRPSD >Sspon.02G0014440-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:40703530:40705606:-1 gene:Sspon.02G0014440-2C transcript:Sspon.02G0014440-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCPNLNFRKFSFEYLISVIYFGDHLLSDLRGPSKAGWRTAAVIRELEDEIEIQNGDSYRFQQAKLSIIHDLLGKVHATVVSTEKGQVYRALLDELNAERRQCRSGMRDLFNSSFGATFLTDTGRESSFAYHIHQYADIYTSKLENFLSYAPESWLHPPHDIKIMPHNAKVPASLFSTS >Sspon.02G0020210-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:66196572:66197454:1 gene:Sspon.02G0020210-1A transcript:Sspon.02G0020210-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDDGSLGIRNWGFYDTVKGNLGLQLMSSVPPDRDTKSLLPNGAFLQHHGHHNAPHQLHMQHPQHSRGPAGEGLPFSPIGPAGHVGHPGHGGHPAHGGHIVHHHPTGYGMISDAQHTLQMMQPPLESQLQEPPPCKEEEAPPPLVEDHSVPVVTTGPPVKKRQRGRQQNRQPKSPKLKKPKKAAVPLEDGAPNGHAPRRRGPKKTVGMVINGIELDLANIPTPSARAPELPSNATGGVQVAGSLHAAQLLYQRIHCQ >Sspon.08G0025730-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8C:12174577:12181539:-1 gene:Sspon.08G0025730-1C transcript:Sspon.08G0025730-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNGRLKAHVQNPYTRGASNDAQEASKMLNLLRSSLFLSYLEVLAKGKTSDAIKKLVELVPSTAVLVLKDKEGKHVGEREIDARLVQPGDVLKVLPGSKVPADGVVVWGTSHVNESMITGESAPIPKEVSSVVASIFVPIVITLSIVTFSVWFLCGWLGAYPNSWVAENSNCFVFSLMFAISVVVIACPCALGLATPTAVMVATGIGANHGVLVKGGDALERAQNVKYVVFDKTGTLTQGKAVVTAAKVFSGMDLGDFLTLVASAEASSEHPLAKAVLDYAFHFHFFGKLPSSKDGIEQQKDKVLSQWLLEAEDFSAVPGKGVQCSINGKHVLVGNRSLMTENGVTIPPEAETFLIDLESNAKTGILVAYDGDFVGLMGITDPLKREAAVVVEGLKKLGVHPVMLTGDNWRTAQAVAKEVGIEDVRAEVMPAGKADVIRSLQKDGSTVAMVGDGINDSPALAAADVGMAIGGGTDIAIEAADYVLVRNNLEDVITAIDLSRKTFSRIRWNYFFAMAYNVVAIPIAAGALFPFTGLQMPPWLAGACMAFSS >Sspon.08G0008090-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:29369424:29370818:1 gene:Sspon.08G0008090-1A transcript:Sspon.08G0008090-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSRTCSWLVPLILSILLACTANGDHLKVGYYDKTCPDVQQIVHSVMASRVDADQSMAPAVLRLFFHDCFVDDSRGANRTGAEYGLPAPNSTLVELIDLMTSTHATWWRSPARTLIGTARCHHYRNRVYSYNGEGGADIDPSFAELRRQTCQSTYDAPAPFDEQTPMRFDNAYYRDLVARRGLLTSDQALYGCGGPLDHLVEMYSTDGEAFAKDFARAMVKMGKIPPPPEMQVEVRLSCSKINCAEINIYERADNYKGWHLAA >Sspon.01G0043170-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1B:71919666:71922005:1 gene:Sspon.01G0043170-1B transcript:Sspon.01G0043170-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPRQQPMQCHVSVPQSPSISHGSHPSLSIQSPLLNEGSQNQQRHPSVRVSLSVEATVGSPRTGRGTGNAHIAQSTHSHAIFKRAGAVAPVFATRNASQLCSSAPDDLLTQQALSHASQPVQVAPTTNAFTGTSQNNHFQRSFSAPIAPQVQQGQPAGYYEVAINGMHATGPQLARSTSLTTQRTQCLPEPAIIGVGTSSWQDILATVASDLGVEDYNMGIPESQHVTVDSQTMHPTANHGFHLQHEPIAESENFTYPLVHDSSNDTAGGSVQADGPMQTSENLDHLIGQSNPIPNEAHLNDFAGVPADELPIEEEEEEEEEEEEEEEEEEEAHQPEIPNILFEFNWD >Sspon.05G0007520-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:23480496:23482969:-1 gene:Sspon.05G0007520-1A transcript:Sspon.05G0007520-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDETGDALRSCMEQLVLVRDEKERLIIEATNKISSEQKKTLHLQQKVEDANKQFEKVITENYNLCNIVASKEKLIKELKESKAHSDQKLTYANARLEFSQKQCASLQYEVRVLQEELEIRSKEREYDLKSIDAARKKQQESTKKIAALEAECQRLRTMVQKRLPGPAALAKMKDEVKRQGSGAAENGTRRPRAGAAVQPQQPRHSVSEGHLVKLQELGDENRQLRQLLAQKESDLHFVLSKYADEACKLSILQRQNEELSGSHGSTENNHPKPMVSAFAKPARSISGKQQVSKIRSRSRRITGSDMLLVVDPLDIEKLERTSRPSSAPHQCVDSPDTDSKMMVSDTFHREFIPDGFSDKYPEWIQEVLNVIRHKHQGSKISVDVILDEVTHALKNEISAKGEDGAHFSYDRAEIDKMVATLIERVSTMAERSTKNNVKSFRSLSHEKPELTMRLEHLVHVCSDVLDGKVNLERLTDEVCLILEWIVSRCLLCADELDIVDYITNNSDGNESQRTLSIHEKDIMQSTKSKMVLGKQQERQRSVETTEDLIPDVILENHSQIELITSKLVEDLVALRQEQSDSCQEQHLVCCEAKRRPETSAISAAARKLAECQETMANLSKQLHALESPANTDPSDKEKCGTLPPSAESDATEKKEHEQDSGRSLQSAKSASTLVIVRPTVPKSPRPSVSVDTKKKKRRANLLGRLVFRKKA >Sspon.02G0037180-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2D:25887060:25890385:1 gene:Sspon.02G0037180-2D transcript:Sspon.02G0037180-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATDTETFAFQAEINQLLSLIINTFYSNKEIFLRELISNSSDALDKIRFESLTDKSKLDAQPELFIHLVPDKASNTLSIIDSGIGMTKSDLVNNLGTIARSGTKEFMEALAAGADVSMIGQFGVGFYSAYLVAERVVVTTKHNDDEQYVWESQAGGSFTVTRDTSGEQLGRGTKITLFLKDDQLEYLEERRLKDLVKKHSEFISYPISLWTEKTTEKEISDDEDEEEKKDAEEGKVEDVDEEKEEKEKKKKKIKEVSHEWSLINKQKPIWMRKPEEITKEEYAAFYKSLTNDWEEHLAVKHFSVEGQLEFKAVLFVPKRAPFDLFDTKKKQNNIKLYVRRVFIMDNCEELIPEWLSFVKGIVDSEDLPLNISRETLQQNKILKVIRKNLVKKCVELFFEIAENKEDYNKFYEAFSKNLKLGIHEDSQNRSKIAELLRYHSTKSGDELTSLKDYVTRMKEGQNDIYYITGESKKAVENSPFLEKLKKRGYEVLYMVDAIDEYAVGQLKEFEGKKLVSATKEGLKLDETEDEKKRKEELKEKFEGLCKVIKEVLGDKVEKVVVSDRVVDSPCCLVTGEYGWTANMERIMKAQALRDSSMAGYMSSKKTMEINPENPIMDELRKRAEADKNDKSVKDLVMLLFETSLLTSGFSLDDPNTFGTRIHRMLKLGLSIDEDESTEADAEMPPLEDDAGESKMEEVD >Sspon.08G0019310-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8B:11215223:11215465:1 gene:Sspon.08G0019310-1B transcript:Sspon.08G0019310-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPNLSPVRPSVSVVFICGAANEGTKMVRTIARSAQLASHVKHQIFSDLEHRWPSPERPVIQHPAQLPFSANCILIPASG >Sspon.01G0007950-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:22198945:22199472:-1 gene:Sspon.01G0007950-1A transcript:Sspon.01G0007950-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATATATAAPRHAALLLLLLLGLHATAALAARAGAVVVDAELTSKPSTSPGPKKPSVNVKPPPGKPSVKPPPVGPGAGAGGVGGAIPTFPGFGIPGMGGGFGSIPGMAGGWGGGYGGPAGGYARGGVVAPTVTCAEKGPCYRKKVTCPKKCFSSYSGAGKGYGGGGGGGSCTVDCK >Sspon.01G0031320-4P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:13366465:13367376:1 gene:Sspon.01G0031320-4P transcript:Sspon.01G0031320-4P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding HAGVPVHPRPGGAAVRRGRGRRPPSRAGGACAAGPRGAVRRRLARGAARGGQVPAVRVHQHQVLLLQQLQPVAAAALLQELPPVLDQGRRPAQRPRGRGLPQDEARVVVVVVRLVRHVVGAVHAHVGRRGGQQEPPPRLGVLAPLHQQRQREPHGRRRDDADADPSHPRHAVVEQRRRLHDEQPPPLEPLLHDRRGAATGADIRRSGGGAGVPLRASPAAAAPGVHLRGAAEGGSAHHPLGAAAGGRTCGGAVLLGRLRGHGAVRVPGRRWDIRARRRRVSRGVLERRELLDGRRPGPQHVPTL >Sspon.05G0007990-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:24703018:24709505:-1 gene:Sspon.05G0007990-1A transcript:Sspon.05G0007990-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MELMRREPQSSMAGGTRSRCPVLLLLLVSVATRVASGVVTDGLLPNGNFELGPAKSDLNGTRVTGQNSIPNWEISGFVEYIGSGQKLDDMILPVPEGAYAVRLGNDATIRQRLSVTRKTTYSITFCAARTCAQAEQLNVSVAAESGVLPIQTVYTSTGWDSYSYAFRARHSTAWLTIHNPGHEDDPACGPLIDSIAIKALNPPHLTKGNMLRNGDFEEGPFIFPGTAWGVLVPPMDEDDVSPLPGWTVMSDTKVVKYVDAARRAAAVELLAGREAALVQEARTVPGRHYRLSFSVGDAGNGCEGSMAVEAYAARATARATYESRGNGGGRSERAAVEFTAIANLTRVVFQSYNHHMKPDGTLCGPVVDDVSLVGLRKHAARRLFLYARSVAFVILIGVAARAVFAVTDGLLPNGHFEQGPAKSELNGTRVLGRYSIPHWEISGFVEYIESGQKQDDMLLQVPEGERAVRLGNDATIQQQLAVTRHTYYSITFSASRTCAQDEKLNVSVTPGLESGVLPIQTVYTSSGWDSYSWAFKAKHSTVWLSIHNPGHEDNPACGPLIDRVAIKTLRSPHHVKSKTTDCSCMPLMTYINEELLTRKKLISTLTLELRHGYDLTEADNKMRNGDFEDGPYIFADTPWGVLVPPITEDEHSPLPGWMIMSDTKVVKYVDAAHHAVPRGAGAVELVAGRECALVQEVRTVPRRSYKLTFAVGDAANGCQGYLAVDAYAGRATLSVPYESRGTGGYKRAELEFVASDTLTRVVFQSANHYMKSDATLCGPIIDDVRLVPVHAARRLRR >Sspon.03G0032980-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3B:43714273:43718690:-1 gene:Sspon.03G0032980-1B transcript:Sspon.03G0032980-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSCMSPEKKKAVNSSTYAFTDAINSQLLKKLKKNENANAPAKLCDLFGDAKELEGMHIYIYRIIDVVHGQRIDQRVRSVMGPARPVELRASGRCVQRVRSVVRESSRCAISASGQFDQRVRSARLRLFQVRNGYIRRVTDGGNVIAFQSSVEVADRPQRAPTRGGAVAPVRRPWPHRSCRDAFKTPRRASRPPFLRPLSSSRKNTETEPPFPFFSGELKRELHRITGRQTSPLPPPHHPPSP >Sspon.05G0008670-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:27832362:27835113:-1 gene:Sspon.05G0008670-4D transcript:Sspon.05G0008670-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARRQGEERKTRETQNDLEALQTGTGARKTPRVLFVSSTRGEALLSRAAIDQSQESHPRNFHGHTARTLHATTMLCTPPQPLHSSRRPLRAAPAPRCSKSNDAQPSPSSPPSTAAAGSVRRLVLSPEGRAKLDPRPDRDFYALPRLVTHVDDGFIATLTDLYRDRLRAGWDVLDLMSSWVQFRRVVGHGLNAQELAKNPRLDYFFVKDLNKDQQLELEGGTFDAVLCTVSVQYLQSPEKVFAEMFRVLKPGGVCIVSFSNRMFYEKAISARVQLVTQYFQCVEGYTQPEVVRKLPSAGGSSPSSPLDAVMRLFGVAGSDPFYAVISYRNFKPM >Sspon.06G0014580-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:80489458:80489709:-1 gene:Sspon.06G0014580-1A transcript:Sspon.06G0014580-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MACISGFDQGALLQACCGAGGAYNFNMNLIVCANPARRVSWDGIHLTQQAYRAIALSLLMEGFAQPADAVQEIWSC >Sspon.01G0039220-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:16258687:16264353:1 gene:Sspon.01G0039220-1P transcript:Sspon.01G0039220-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPFFCCSGDFTLSGRSVGVILSLDLDDLVFGKCGFLNICFEPQHTELNQMPPKGQVSSERNVKIISGRDGQKKEIHSMTKLYTCQRTWSQPVNGNVDGKFEDKRKETSDHLQDKRLNVGVLEWGLLLTGLSNKSLSSLEAMEHRHPILAEDVVHHCSRRLFTDSDNIGKHFFTSNNNDSMCNDPEQSSGLNDSAAKEIGTTSTEAGDNFVGTAAKVNKNSNRNQSAMNVTENPPRYSAKFSDRMPDRHIGSGMNRVSRSSSLKESPHARQPNVVPPVDKTGDRSSPNSKANINQPPRVLLNSARYIQKDKDSATTRQALLQLAWKNGLPLFMLSYGDSDILAATVRRKGISEKESTYAVFTVEEPKKKSGAWIKAGGKNKKHNLLSSIVGEIKVARRKSRCHHTADVHVHREFVLVGSEFLPSSEESGDSHVSGELAAFITALPQQEAETSNQSSSQSTRQRNSAPIGCGCPPLGNFQPSTRNANSASANVIAVLPDGFHGTSTSGQPLPLIERWKSGGACDCGGWDEGCMLSVLADVAQDNDAIQANQAKDGSQRFELLVQGRSREDRNAFSMTSFKEGLYTVEFRSSIALLQAFAMCIVMLHGRYPSRMQVGSQAAQEHDLLAGHELKAMAASQGRAPTSYVPHRPPLSPLLVPVGLIYHGPQVYTQYAYPKSYDKYDLIVTSVTYMYMLFTEHCNHLRGPDAHGHRRYPYRRWSCVGALDDGDVLALLALPEIAGRQEAVHGKAPDVEVHLLDVPRLLHARPCVAVLAVTEPDERDGGAAGVGDVHAVDGAVVAEAGLHGGLPEDAPTDAAAGLDLQQVRVLELHQQARALAEVAPHRVPDDLDAAAVARAQAGRLRLHLEHEAVLAVDAPLADAHRVREQARRQLRIQALHVNHHVNVRSACCVGPFGLHAVVKEFVTCVQT >Sspon.03G0003460-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:16191897:16193279:-1 gene:Sspon.03G0003460-1P transcript:Sspon.03G0003460-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPARRLLLLVVAVAGALALPVAAEIKKESFREDPRSSIMFEKFGFSKSGAVRIIVSGAAVSSPVARPDNGQLGFFLLSDESLLHAIDEAQEGPTREKRAAATNGGGEDPDGGSGAGAGCVLDSPYVKKLFTFKNLKGGHYNKSFPVTRPDEYTLFFANCAPEALVSMTVRTEMYNVNADGSKDYLPVGQAPLPAIYGFFAFCYAAFLAAWGYLTLSRDRVSAHQIHHLMSGLLVARLLYCLSAAEDQHYIRVTGTPHGWDVAFYLFQLVKGVILFAVIALVGTGWSFLKPVLQDREKKVLMAVIPLQVTANIAAAVIGETGPFMQGWVTWNQILLFVDVACCCAVLFPVVWSIRSLRETSKTDGKAARNLSKLTLFRQFYVVVIGYLYFTRIVVYALKTVASYKYRWVSILAEEMATLAFYLFMFYTFRPAEKSHYFSLDEDEEEAAEMVLREEEFEL >Sspon.03G0000450-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:1380961:1399882:1 gene:Sspon.03G0000450-1A transcript:Sspon.03G0000450-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDHFSSAPSAPSAAATAAAAAAAAVGSSVIPIVNKLQDIFAQLGSSSTIDLPQVAVVGSQSSGKSSVLEALVGRDFLPRGSDICTRRPLVLQLVHQPRRPADAEADEWGEFLHLSGRRFYDFRDIRREIQSCLMFYFFQLDIMDRGTDARNFLLGNVIPLKLGYVGVVNRSQQDINSDLSIKDALAREEKFFVLSRYAYHGLAQYCGIPQLAKKLNQILVQHIKTVLPGLKSRISSQLTAVAKELAVYGDPVDSKAGQGAKLLNILAKYCEAFSSMVEGKNEDISTIELSGGARIHYIFQSIFVKSLEEVDPCEDVTDEDIRMAIQNATGPRSALFVPEVPFEVLVRRQISRLLDPSLQCAQFIYDELVKMSHRCLAMELQQFPILRRSMDEVIGKFLRDGLKPAESMIAHIIEMEDGVDAQASEKTQKSRAILGRSGVNGVVTDHIQTHVEQPPVVLKPSEHQSEQEALEIAITKLLLKSYYNIVRKNVEDFIPKAIMHFLVNHTKRALHNYLITKLYSPRAPAPAPGTMAEDHFSSAPSAPSAAATAAAAAAAAVGSSVIPIVNKLQDIFAQLGSSSTIDLPQVAVVGSQSSGKSSVLEALVGRDFLPRGSDICTRRPLVLQLVHQPRRPADAEADEWGEFLHLSGRRFYDFRDIRREIQSCLMFYFFQLDIMDRGTDARNFLLGNVIPLKLGYVGVVNRSQQDINSDLSIKDALAREEKFFCTQPAYHGLAQYCGIPQLAKKLNQILVQHIKTVLPGLKSRISSQLTAVAKELAVYGDPVDSKAGQGAKLLNILAKYCEAFSSMVEGKNEDISTIELSGGARIHYIFQSIFVKSLEEVDPCEDVTDEDIRMAIQNATGPRSALFVPEVPFEVLVRRQISRLLDPSLQCAQFIYDELVKMSHRCLAMELQQFPILRRSMDEVIGKFLRDGLKPAESMIAHIIEMEDGVDAQASEKTQKSRAILGRSGVNGVVTDHIQTHVEQPPVVLKPSEHQSEQEALEIAITKLLLKSYYNIVRKNVEDFIPKAIMHFLVNHTKRALHNYLITKLYRDDLLEDLLKEPDEITIKRKQIRENLKVLQQAYKTLDEIPLDAEAVERGGYSSLDSDAIGLPRAHGLSSSLHGFNDGSSPYSTPKQSRSRRSNHSGEQPPFNPNMSGNGF >Sspon.05G0009290-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5A:26829129:26833294:-1 gene:Sspon.05G0009290-1A transcript:Sspon.05G0009290-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSGRACSVLASLLLLWLGVAAAQKASSWKTLSGKAPAIVAKGGFSGLFPDSSEYAYQFALVASSADTILYCDVRLTKDEIGICLPDIKMENCTNIPDFYAQGQKSYLVNGVPTSGWFSVDYNGTELGQVTHVQSKFKPPGIWLNVQHDSFYSQFNLSMRNYIISVSKRVVVNYVSSPEVSFLSSILGRISNKTKLVFRFLDESTLEPSTNQTYGSMLKNLTFVKTFASGILVPKSYIWPNSPDNYLQPYTSVVNDAHKVGLEIYAADFANDFLLSYNHSYDPLAEYLSFIDNDVFSVDGVLTDFPVTASEATGKPLIISHNGASGDYPGCTDLAYQKAVDDGADVIDCPVQVTKDGIPICMSSIDLMTDTTVARSQFISQTATIKDIQIAPGVFSFNLTWDDIVKNLKPKISTPWTDFALDRNPRYRNAGKFMRLSDFLDFTKDKDLSGIMISVEHAAFMAGELGFDMVDKVIKALDDAGYHDQTAQKVMIQSTDSSVLEKFKEQTKYDLVYMINKEVGDAAPSSLADIKKFASAVSVDTSSVFPETHYFTVYETNLVQTLQTAGLSVYVYTLMNEFPSQPYDFFSDATAQINAYVKGALVDGLITDFPATARRYKVNNCMNMGNSTPNFMAPAHPGDLMQSISKSAQPPALAPIPPLTESDVARPPLPSARSNSSTAPTQSPASRTHACAAHIPILITLAMHCAWRSLA >Sspon.07G0014560-4D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7D:51622788:51629041:-1 gene:Sspon.07G0014560-4D transcript:Sspon.07G0014560-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AAARRPGAKPRGGGGGGAGRRSAWLAADGSKRWGEAFFLLYTPFWLTLCLGVVVPFKLYEVLLRPSLHLVFNSSRASRMILGWLVVGRSIDRLLDWILDDVATATTPRDRSRFTELEYLILGLVSTVPAFVIPLFLVGKADSVRSFKDRYWVKVIIFICESFVTIVGPLLPCTVLQWLAPKGGKQPAGVRTPAPHQASRKAAPKELFKKKKILLVGAVLKTQCQRLPHEWGLGKGITEAKSYPRRNSAERRLRTQDLFPYYEFVYRDIMYKVGSLFYAIYFIVSFPMFSRIDEQDEKWNLSRVAVDSLGAAMLVTIILDLWRIFLGPIVPIPESRQCGQPGLAWFQVQNEST >Sspon.02G0020040-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:66785321:66788893:-1 gene:Sspon.02G0020040-2B transcript:Sspon.02G0020040-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQCHEIDSVINAVITMYARCNDMERALMLFRMMRCPGLVAWNTMIAGFALSDDAEAASRIFREMVCSDVQPNYVTVVTYLALCARVANLQHGRELHTHIIKQGFKGYRLLWNSLIDMYSKSGRLSVAQNVFDTMDDRDMISFTSMIAGYGMQGKGIVSLHFFRQMIDSGIMPDAIIMVTVLSACSHSGLVDEGEELFNKMVRSYGIKPQMEHYSCMVDLYARAGLLEKAEELLNQTPFPPTSTMVAALVGACHEQGNIIIGERSARRLLEMKTENAGHYVLIANMYAAAGCWNELATVRKLMRDLGVMKAPGLAWADLGNGFTPFLVGDRSNPLAPEIYEVLDELTEQMRNMNNCSDLDILSMEDSWGKVNCVLGVGG >Sspon.01G0014270-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:41396256:41400552:1 gene:Sspon.01G0014270-3C transcript:Sspon.01G0014270-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Autophagy-related protein 3 [Source:Projected from Arabidopsis thaliana (AT5G61500) UniProtKB/TrEMBL;Acc:A0A178UM04] MQVKQKVYELYKGTVERVTAPRTVSAFLEKGVLSVPEFILAGDNLVAKCPTWSWEAGDPSKRKPYLPADKQFLVTRNVPCLRRAISVEEEYDAAGAEVVLDDDEDGEGWLATHGVQASKREEEEDIPSMDTLDIGRSDGIKSIPSYFGGGKEEEEEDIPDMDTYEDTGNNLAAAEPSYFVAEEPEDDNILHTRTYDVSITYDKYYQTPRVWLTGYDESRMPLKPELVFEDISQDHARKTVTIEDHPHLLAGKHASVHPCKHAAVMKKIIDVPMSGGVEPEVDKYLFIFLKFIATVIPTIEYDYTMDVDLGSTS >Sspon.03G0005980-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3A:16665908:16666171:-1 gene:Sspon.03G0005980-1A transcript:Sspon.03G0005980-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLATTVALATVLSRCPTEAPLTHLTLVLAVYSSASASEIQAFYLLHLRVHHRQSHAKLASYLPALLLILHSRPELATQLIDLPPRCQ >Sspon.01G0008320-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:23163397:23166929:-1 gene:Sspon.01G0008320-1A transcript:Sspon.01G0008320-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRLLAILFAAAALAVATTAHEHHGEAPTCAGGSGHVLAEFRPGEVTVDAHSDDWDSVEASEFALLPALDPDEDKAYSGGKVAVKAVHDGVNVFFLLQVDGAYAYTKGESNKCPSVALMFQVGEKATFYNMGGCKDMPDSCTSKSCRGHEVDIMHFSIGNAIPGRLYGGNHIDNAAGNGNDRFGHLVDVYAWNPHCRYLDGVGPKGFVDDDNPYGKQDEKGTYYFEFSRPLRTMDQFQQDAQFTIGHPSNMAVAFWYPTDGKAWSNSDHYSASCNWLVLDIQPSSEAAYYRPAPNRSWDAATAFALLLSVVAICMSIFVGYRFSRNRSTAQFTPLEQIQFAEERH >Sspon.07G0002710-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:5734155:5734898:1 gene:Sspon.07G0002710-1T transcript:Sspon.07G0002710-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSELPLHHSFRLSSRPTIHRLLPLRLLSSTSSSSRHVSSSSAAAAASSPSSTGGNRTPPPSTSTGAPWLQKWAPSDASRPAPPPAPVPSPTTSIDRIVHRLRNLGLASDDDDPSASAATAAVAPPDGTERLGDLLDRSWARPDRQFAAASFDDAVLPWERDDEPAGPVRDEEDGVKRRRVKAPTLAELTIEDEELRRLRRLGMTLRDRITCPRRESPRPSPRRSMTPGGSRSWSASSSTRTSRTT >Sspon.07G0011350-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7A:39458542:39459021:1 gene:Sspon.07G0011350-1A transcript:Sspon.07G0011350-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAKVVLLVAAVVATGSCSCAAWDLNIIRMPTARTIEAAAARLQEGVAAPLIHALHPLLGSAGDLGRRAGVPCDSWRLAVEAYNKRDWKTVPANCEDYVGHYMLGRHYRRDSRVVVDEAIAYAEGLKLAGNGKEVWVFDIDETSLSNLPYYAKHGFGY >Sspon.01G0019320-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1B:45721105:45722857:1 gene:Sspon.01G0019320-2B transcript:Sspon.01G0019320-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VNENSENPYWKAIGYSVHEPREDPTRTEATPSPSRPLDVGVVETRLLNDNALLRSLVGRGLTVKPAASDANHHTVQCDAVIVGSGCGGGVAAAVLASAGYKVVVVEQGDYFTAEDYSSIEGPSMERLFERGGMFCTSNVTTMIFTGATVGGGSAVNWSASIRTPKEVTQEWAREHGLPVFASPRYVEAMDAVCARLAVTDGCREEGFQNKVVRRGCEALGLCADAVPRNSSDGHFCGSCNFGCPTGDKRGTDTTWLVDAVARGAVILTGCKAEHFVFEKNRSSGRGRGKKCVGLVATCASNGVTKKLRIEAKVSIAACGALMTPPLLRNSGLKNRHIGSNLHLHPVSMAWGYFPENKQDPPLPGKCFEGGIITSMHRVTERTIIETPALGPGAFAAMVPWESGRDMKERMRRYSRTAHAFALVRDRGVGFVDGEGRVRFTPSREDIEELRNGLRRTLRILVAAGAAEVGTHRSDGLRLRCKGLRDEDLEAFLDEVTIDKGPMHSRTDKWALHCSAHQMGSCRMGSSPKDGAVDGRGESWEAEGLYVCDGSLLPTAVGVNPMITIQSIAFCLSKGIAETLAQDKG >Sspon.03G0007060-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:9307126:9309929:-1 gene:Sspon.03G0007060-1P transcript:Sspon.03G0007060-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding TIAAAIVVGVVLHAGNHLVCDFPRLISSSEMKYAPLRKYFGENKPTYLALVKGVEGITGVIMVVCMLIAFTLATRWFRRSLVKLPRPFDKLTGFNAFWYSHHLFIIVYIALVIHGECLYLIHDWYKKTTWMYLAVPVGLYVGERTLRFFRSGSYSVRLLKVAIYPGNVLTLQMSKPPTFRYKSGQYMFVQCPAVSPFEWHPFSITSAPGDDYLSIHVRQLGDWTRELKRVFSAACEPPVGGKSGLLRADETTKKALPKLLIDGPYGSPAQDYSKYDVLLLVGLGIGATPFISILKDLLNNIIKMEEEEEASSDLYPPIGRSKAHVDLDTLMRITSKPKRVLKTTNAYFYWVTREQGSFDWFKGVMNEIAELDQRNIIEMHNYLTSVYEEGDARSALITMLQALNHAKNGVDIVSGTKVRTHFARPNFKKVLSKIATKHPYAKIGVFYCGAPVLAQELSKLCYEFNGKSTTKFEFHKEHF >Sspon.03G0015060-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:47599169:47602935:-1 gene:Sspon.03G0015060-2B transcript:Sspon.03G0015060-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCFSCCCVADDDDVGRRKKHDDAYVPIPAQVDNFGPSRPPAPTPVVPTGRAQPIAVPAIHLEELKEITKNFSSDALIGEGSYARVYFGVLKDGTKSAVKKLDSSKQTDQEFLVQVSAVSRLKHENVVQLVGYCAEGSTRVLAYEYATRGSLHDILHGKKGVKGAQPGPVLSWMQRARIAVSAARGLEFLHEKADPRVVHRDIKSSNILLFDHDVAKIGDFDISNQAPDMAARLHSTRVLGTFGYHAPEYAMTGQLSTKSDVYSFGVVLLELLTGRKPVDHTLPRGQQSLVTWATPRLSEDKVRQCVDPRLGDEYPPKAVAKMAAVAALCVQYEGEFRPNMSIVVKALNPLLHSRPGNRPSASSASPAAAAAERSGL >Sspon.07G0007500-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:19738010:19741834:-1 gene:Sspon.07G0007500-1T transcript:Sspon.07G0007500-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding YMAARHTPHTMKHELLKAVSTGDAGLLEQVLGLQSSATVEEGEESCLKGITAEGSSALHIAASCGYLELVKMVCAQDISLIKARNNLCDTPLICAARAGHVDVANYLIECAIDAQEDLRARNLDGATAMHEAVRNGHVLILQRLMSKDSGLAAVEDKRCVSPLYLAVASNRADMVKVLIGESSNSVTPVSYSGPDGQTALHAAVYISIEIGESLQCWDPTLARVVDDYGRTALHYATLAKNIGQVKHLLANSSLAYIPDNEGLYPVHIASIVGNVNIVCKLMEICLNYDELLDNKRRNILHCAVEHGRIQVVWHICRNPKSARMMNARDGEGNTPLHLAVKKGHTLIFSLLMMDTMVNLDIMNNEGLTPLDVAFSTIHSDYTFSSVSYHCVHSALPKFDLFSYSLCFPFHNQFTNTSIITCLTLCEASGSPCHQARNLTDKWCLEEKKESSSYANVSQSILYISIFIVVGSLTAAFTPPGGYIAVGKDAGKPVFGGRTGFWIFIVGNSMSFYLSTTAIFLFVFARLTRHRRFYLILSGALVFGAVLSMVVAFATVVGLTLDPANSWDEYILIWLVSNLAFPISLRVAMQLWTSKHRWQDISKVVAQAILVVYVIRASIIGMQSLVKSVLIGRHEPCSWPWCAIQGDAAFLYPT >Sspon.03G0025170-4D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8D:3825728:3826264:-1 gene:Sspon.03G0025170-4D transcript:Sspon.03G0025170-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPSPSPAPAAVLVSNGAIAPHAPPSAAAFLDSTPGAYTTARCSGTLLWWPRHLRRLAESATLLARSHPHLLGLPLPRSRALDLDFFSIHSLVNPSVRVAIHEMRTRLPMTKHEDLALTALVSGAGAADSISGSGDGLHVFVHVGTYAPPVFGESGARLAVAGRGRDAAAAKYASWAR >Sspon.04G0022560-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:7466272:7495416:-1 gene:Sspon.04G0022560-1B transcript:Sspon.04G0022560-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:nuclear pore anchor [Source:Projected from Arabidopsis thaliana (AT1G79280) TAIR;Acc:AT1G79280] MPLFISDEELRLLGGDTAAVAERADAAIRELRRQVDTVRAEADAAAIAAEQTCALLEQRYASLSAEFDRSQAEAAELTAAAERRAAELASSQAEIHQLRIQAIAKDGEVERLKIEILELHKSKCQSLELIEQRDAEIKEKDGIIQSYYDKIVNLAETSAGKEARIQEVEAKFTHCQAICNRITQEKELLEKHNLWLDEELKAKVKNLAELRKTNMDEEARMSARIAELEREISESSSSLRRSKERISELEQRVSYMEKELCSTKDTAAANEQRLGAELSTVMKLAELHKESSEEWSKKAGELEGVIKALETHLTQVEDEYKEKLEKESLSRRDLEKEAVNLKQKLEKCELDLENTRKSSELSLIPLTSIAEDSSDLVDTTVRELPISDAVNHNDLVVIPKVPSGVSGTALAASLLRDGWSLAKIYEKYQEATDAFLHERRGRRHAEAVLERVLHEIEEKAELILDERAEHERMVEAYALMDQKLQQALLEHDNFQNNIRNLKSELKRRERDHSVAQKEIDDLQKQVAVLLKECQDIQLRCGSSLPNMSFKDINGLVQQNVQLRNQESFQIELKKITDDAASRVEKVMKKSEEQAIMIESLHRSVAMYRKLCEEQQKARSNVESAPNALQESSRTDLMVLFEGSQEVSKKAYEQVSERARSLDEELTKLRTELDSLRSERDKAVLEADFARDRLNGFAGELEHQRKESNSASLRNAELMRLVVDYERRLREDLDSKQALEENLRMLSMEVSTLKNAKENLEKSEKRALDEVRDLTERVHRLQATIDTIHTTEEVQENARSMERRNHEEHIKRLERDWAELKKELQEQRDHVRVLSLDKKNVFDSCMKQVEDMRKELNNSWKAASDAESMAAVAEAKCSDLESKLKSRKENDELFQLKEELEKYKEEAQANKNYMVQYKEIAHSNEVALKQLESAHQDYKAETEVGRKALEDEIVKLRDKLSEMEKSYVMKCEEAANAIESKEKHVTSLMNEISVLRAEVSQKLPQLEKLEIELASSKSSLDEQYKRWRTAQDNYERQVILQSETIQELTNTSKQLSSLQHEITVLRQTADALKTENDCLRSSAEQEKIGLLKEKDDALQKYNELNDQNRILHNQLEALHIRLAEKERNIAGLSSHRTDNSHAEDDLQSVISYLRRSKEIAETEISLLKQEKSRLQIELESALKSAKEAQDLLRSQADSARALMFKDEEFKSLQIQVREVNLLRESNIQLREENKHNFEECQKFREEAQKAKMESERLQNLLLEKEVDAEMRKRELEMQKAEIANLNQRISELIENSKGIDLNTYEAMKNELQNIKSTLRENSMELESAKKLVSEKEVVIKNLEDKLSVCQSELDSKEKKLNDVEASLKSEIDKQKKINITLKRKHDNLMKEKVEVAKENQSLVKQMEDLKSSQKTTSETTLEQAIKEKDFRIQTLERTLEKERDDNKKEKAKSRRNENTIFSALQKVQQDKKQVEESIEKHKQAVKELIENYPGLSSEVPPVSALEEQFLSYFRAAKDMEESSSPFRDGAATQTPVVESAPVDAPTSGRQVDTPPRPAKAKMTEDRAVPKPSTEVRRPGGRRPLVRPTLRTEEPHADTDTSAVDASTVVQDKGGPSVERETSGILPVLQPSSRKRLISSSQTIDSASRGEANDANPPSKKPKEEESSQGTSELKSGQPPLGDVAAQVGVLKATDDLDGQQPTEEIDTDQASVPMVEAEATREDDVGDKDESGDASMDIKGQDADVNIDTNVTPVEDEPVVAKSEAVIESFDDDQKTEDSKEDAQLTTATDVDDDIEEGELAEEPEEKSDVDMSEIEGETTAERAAVEPDQSPITQSGAADASPSRTADASPAREPSPNPVQAGATSRPQNTSTATEAREPSTNPAQAGASSEQRNTRTINFERARQNRQARFQRAQQPAAARGRGQQSVLRKDAAGRGSRGRGGRGQS >Sspon.08G0012270-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:52387424:52389786:1 gene:Sspon.08G0012270-1A transcript:Sspon.08G0012270-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSKRLLGCFGAGGGEQEQEQEPAAAGRRPGGKPTLRRLSTANLRSLSLQDLSRKLETTKLHAFTLDELKAATKNFSTSNFLGEGGFGPVYKGFVDGRLRPGLQPQHVAVKYLDSDGVQGHREWLAEVVYLGMLSHPHLVKLVGFCNQDDHRMLVYEYMPRQSLENHLFKNLLASLPWSTRLKIAVGAAKGLAFLHEAETPVIYRDFKASNILLDSDYTAKLSDFGLAKEGPQGDATHVTTRVMGTHGYAAPEYILTGHLTAKSDVYSFGVVLLELLTGRRSVDKRRGRREQNLVDWASPYLRRADRLHRFMDPSLEMQYSARAAEKAAKVAHQCLQSVPKARPSMRDVVDALEPLLALDDDVPMGPFVFTVGGAAAEAEAKPAAPAQVVEACADGVTVTNDEQVEAEAEAGSRQGKRHVMSAVHAESPLRS >Sspon.01G0032930-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:113756044:113759932:1 gene:Sspon.01G0032930-2D transcript:Sspon.01G0032930-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLRPPEQGTNGAGASVVAALPAVDAVAAAEAAAAAAAAAAGGGAQPPYSKRRRRPSVRLGDIDAPPPRRNHKPPSSSHPRPPRRAHPDDLDPHTHSRRGPKPPAQRRPRTAWIPAAPASAGAAEGYEDDEERYYDDEDQSDSAAAATAAAAARARVSGSRDPSGDESDGVADWGLPNGRLPSAMGYVGVKAWLDGLGLSRYAPVFEIHEVDDEVLPLLTLEDLKDMGIGAVGSRRKIYWLRRAGQLQERCKEMIC >Sspon.05G0022320-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:3119113:3121045:1 gene:Sspon.05G0022320-1B transcript:Sspon.05G0022320-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SRCCPCPCASASSTTAPFLLPPIPASSSRSGGGGAFSAAVGRSRLRCGGGPRRGHVTVAAGSKDDGSGTAEGEKGEDDAPAFNPFGFVTDNPSSRSAIQLPAVPAEDGNVGQMLYVMPHASLRLLVSGPYMGRSDSTCCVQRIEDKGREYGSYVRAGEFRWFVRETGTPDARRGTIVFLHGAPAQSFSYRVVMAQVADAGYHCYAPDWIGFGFSEMPQPGYGFDFKGREFHKAFDDLLGTLNITEPFFLVVQVFLVGSYGLTWALNNSSKVLKLAILNSPLTVSSPVPGL >Sspon.03G0006720-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:9782198:9787701:1 gene:Sspon.03G0006720-1P transcript:Sspon.03G0006720-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKAVVVGTAVVVCAAVGVAVVLARRRRKRDAELLGSAEAERKRRAAAVIEEVESSLATPTALLRSIADAMVTEMERGLRGDIHAQLKMLISYVDNLPTGDEHGLFYALDLGGTNFRVLRVQLGGREKRVVKQQYEEVSIPPHLMVGTSMELFDFIAAALAKFVDTEGEDFHLPEGRQRELGFTFSFPVNQTSISSGTLIKWTKGFSINGTVGEDVVSELSRAMERQGLDMKVTALVNDTVGTLAGGRYMDNDVVAAVILGTGTNAAYVEHANAIPKWTGLLPKSGNMVINTEWGSFKSDKLPLSEYDKAMDFESLNPGEQIYEKMISGMYLGEIVRRILLKLAHDASLFGDVVPPKLEQPFILRTPDMSAMHHDSSHDLKTLGAKLKDIVGVTDTSLEVRYITRHICDLVAERGARLAAAGIYSILKKIGRDKVPSSGGKMPRTVVALDGGLYEHYKKFSSCVESTLTDLLGEEASSSVVAKLANDGSGIGAALLAASHSQYGENVAVETALSIIVNHFGVGELFPAAASTRLQERSGVVVGDLLVEGLLVSLLTPLQYLKHTLHRRPRGRLATGADNAELEDGLDFVTVPGVRDALVCGIGDAFTGLQVPPRPVGQGAVLAAVVGLPAGG >Sspon.01G0015840-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:47067430:47068596:1 gene:Sspon.01G0015840-1A transcript:Sspon.01G0015840-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKVLLDMLLVLQLLLQLLRGAAADRVPAVIVFGDSTADTGNNNFIQTLLRGNYTPYGRDFAGGVATGRFSNGRLAADFVSQRLGLPPAVPAYLDPGHGIHQLASGVSFASAGSGFDDITAQIFSAVTLTQQIEHFKEYKQKLRRGLGGAAADHIVASSLYLFSVGGSDFLGNYLLFPIRRQRFTLLEYEAYLVGAAEAAVRAVYALGARRVRLPGLPPLGCLPLQRTVNLAAPGDCNRWHNMVARRFNRGLRAMASRLSRELPGAQVVYVDVYRLLADVIARPWAYGFENSVRGCCGTGYFETGVLCSLDNALTCEDADKYVFFDAVHPSQRAYKIIADAIVHAASHRST >Sspon.02G0042080-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:81104133:81120464:1 gene:Sspon.02G0042080-1B transcript:Sspon.02G0042080-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLACPAALHLLLPAPPPPHHHHHHVAFPAPPLHASLPCSRRARRRAPRHGVARASAAVAEEASSSGPAKFSVRIPVGDREIFVETGHIGRQASASVMVTDGETILYCSVCLADTPNDPADFFPLSVHYQERLSAAGRTSGGFFKREGKTKDHEVLVCRLIDRPLRPTMPKGFYYETQILSWVFSYDGIHSPDCLAITAAGIAVALSEIPNKQTIAGVRIGLINDQFVVNPTTEQMENSELDLMMAGTDSAILMIEGYCDFLTEEKLLEAVEAGQVAIREICKAIDVLVQSCGKKKMVDAISLPPPELYRHVEDISGDELVKALQIKGKIPRRKALSALEDKVISILSEQGYVSKDGSSGVTESLADIVEDEDEDEIIVDGEVDEGDVHIKPVSRKPPPQLFAEVDVKLVFKEVSSKYLRRRIVEGGKRSDGRSPWELRPINSQCGLLPRAHGSALFTRGETQSLAVVTLGGYQMAQRIDNLVDTEDSKSFYLQYSFPPSCVGEVGRIGAPSRREIGHGMLAERALEPILPPEEDFPYTIRVESTITESNGSSSMASVCGGCLALQDAGVPIKFPVAGIAMGLVLDTQEFGGDGSPLILSDITGAEDASGDMDLKIAGNESGISAFQMDIKVVGITLPVMEQALLQARDGRQHILNEMSKSSPPPSKALSPYAPLIHVMKVKPNKVNLIIGSGGKTIKSIIEETGVDAIDTGDDGTVKIIARDLSSLEKSKTIIANLTMVPKVGEIYRNCEIKSIAPYGAFVEIAPGREGLCHISELSSGWLAKAEDAFKVGDRIDVKLIEINDKGQLRLSSRALLPDADSESNSSPTKEKAPQKDDAIKMTSRRPRRKRQSEPSGAENATTKTLAKSAAAPAGSKGSEAA >Sspon.04G0028580-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:69155834:69157403:-1 gene:Sspon.04G0028580-2C transcript:Sspon.04G0028580-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCRGAEPKQLAFSAALGVTIGIFPICGTTVILGAVAVAMLGSRCNAVTVMVLNLAATPIELSLIIPFLRLGETITGSGHFPLTTDALKNVLTGHASKDVLLSIVRAMLGWLIAAPFVLAVLYAVSIPCFKVLVNRFGGIPSSPRTPIKAV >Sspon.04G0019900-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:75180359:75180838:1 gene:Sspon.04G0019900-2C transcript:Sspon.04G0019900-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding HMAAYEDLLPVMGERLGTAGLLAELRAGFRLLADPARGAITPESLRRGAAAALGVAGMAPAEAAAMVREGDADGDGALSEAEFCVLMVRLSPGIMADAEAWLADAIADELQMMDGGVAMDDAAAGDDHSGASSQDHAAAGGGTTGPAGAPATAAARRPSV >Sspon.02G0045940-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:112902208:112912668:1 gene:Sspon.02G0045940-1B transcript:Sspon.02G0045940-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLSRMDDCKCSSGDMLERILQDPDSMPRVMQLEYLKRITDNFSDERLLGEGGFGKVYEKSISPHGKLQNGKMIAVKKFNFKRLTNPDVQEKLFMNEVSPLMSLRHPNIVRCVGYCSEKSSEVVKHNGIFVLAESQPEMLLCLEYLTMGSLDKHLKVPGLLLAPTTGKVTSPVGAERWREGRRDRRCRDC >Sspon.07G0035630-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:10748263:10750699:-1 gene:Sspon.07G0035630-1D transcript:Sspon.07G0035630-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding PYKMECSDSTSSQGHHVNGKQNQVQAIDPPGPSIVEMGSRLRPLTFMRRFRGVLCLVIMVLTAFLMMVYLSPVTTFLVRLFSLHYSRKSTCFLFGMWLAMWPFLFEKINKTSKERVLLFANHRTEVDWMYLWDFALRKGRLQCIKYILKKSLMKLPVFNWAFHIIEFIPVERKWEIDEAIIRSRLSELKNPKDPLWLAVFPEGTDYTEKKCIKSQEYAAEHGLPVLKNVLLPKTKGFNCCLQVLRSTIDAVYDITIAYKHRPPTFLDNVYGIGPSEVHIHITSIQVSDIPTSEDEVADWLIERFRLKDELLSSFSTLGHFPNEGTEGDLSTIKCLANFVAVVTVTGLLMYLTLFSSMWFKIFVAFSCSFLTLATCYSIHLPQMVGSGSPESIHAKKP >Sspon.02G0024730-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2C:90316166:90316630:-1 gene:Sspon.02G0024730-2C transcript:Sspon.02G0024730-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKYPTTVLLLSISPLLLCLPAAATDDVTPAHRPGCKSRCGDVDIPYPFGIAGDHQCAIHTGFNVNCRLADGTYRPFNGPFEVTHISVPDAKAWMKMDISWRCYDFDVGDMTRSTTSSGGNFTYTPFRFSYEDNKVFVIGCDTFAYMRSNPVST >Sspon.03G0036210-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3B:88702779:88703239:-1 gene:Sspon.03G0036210-1B transcript:Sspon.03G0036210-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMGYGMGYGLASYRQLLAAVSTERFAILLATTDERRMQVARGRRQAGMLVVNLGKAAAMHAYADTKRRD >Sspon.06G0001490-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:2398411:2401688:1 gene:Sspon.06G0001490-4D transcript:Sspon.06G0001490-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase (Fragment) [Source:Projected from Arabidopsis thaliana (AT5G55500) UniProtKB/TrEMBL;Acc:W8PUA9] MMAGRAHGQRNRLRRLIPWLLLLVFAVYVASFAIYLLLQSQSQSESHHQSPPDPTPRTEARDGVGAPPSSQKPWPRLPSFLPWVSSAAPPHTCEAYFGNGFSRRVDVLPAGRGGGGGWFRCHHSETLGSSICEGARVRLDPALIAMSRGGEPLEQVMGRAEEEELPKYEPGALQVEGPAAGRTAPLVDAGFLNDYVPTGGIGMHTMRALLESARVVPPGELHCSQWVEEPTLLVTRFEYANLFHTITDWYSAYVSSRVTNLPNRPNVIFVDGHCKAQLEETWEALFSSVTYAKNFSGPVCFRHAILSPLGYETAMFKGLSESFSCEGASAESLREKPDYEKTARLSEFGEMIVASFGLLQDDIMSSKKSNGLNVLFVRREDYLAHPRHSGKVESRLSNEREVYDAIDKWARGLKCKVNVVNGLFAHMTMKEQLRAILEASVVIGAHGAGLTHLVSATPDTKVLEIISSMYRRPHFALISHWKSLEYHAINLPGSYARITDVISELRKILEGLGC >Sspon.01G0034120-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:1013798:1017331:1 gene:Sspon.01G0034120-1B transcript:Sspon.01G0034120-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to terminal acidic SANT 1 [Source: Projected from Oryza sativa (Os06g0181300)] MPPVTAVHATLILDHVLGDPSVPAATAEALLAALPSPSNPTPRLRRAVLLRQLAADPVSASSLKIIHLLALLPVSPSAAASPIAAAHIAVAAYLAASAPDFDATARELFACPNGHAVPPALASDVVIATADQFKAAVGNSSSQTILRGLWGNQAAAEERVSELLTAEWAAIGSSKLVTAAERIVGDGAIETWRAADEATRAKFRILAGEEKTREILAKIEESTYNTNPISTPAVEKMIDALKTSCADLHSVVEDPLPAAKAVADEVLDARMDKGVSLNAEEVGGQPTTCGWEDSPDVEGSESSLRRPRLPSPRRIPPSPLPTTENKSKRRRARKWSSLEEETLRKGVQQYGIGNWRDILNNNLDVFIGRTTGARVHGPIATRQLQPLKNIGFHSTAKAMHD >Sspon.05G0030440-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:83858304:83859693:-1 gene:Sspon.05G0030440-3D transcript:Sspon.05G0030440-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAELVLPAALLLCLCLALADRADAARKTVGVYELKNKKGDFSIKVTNWGATLMSVIVPDSKGNLADVVLGYDTIAEYVSLQYASFLFLRSVFKQNGSSYFGALVGRVANRVAQGRFELDGKAYGLYINDGKNALHGVSAKVIWTVKEYVPDCDSPYITFYYHSFDGEQGFPGDLDVYVTYQLSSPYDLSLHMNATALNMATPVNLVNHAYWNLGGHGSGDVLGHLIQVSASLYTPVDQSMIPTGQIAGVAGTPYDLCRLTPVGARISLVSGGGAVGYDLNYAVVVHGGQGGGVCPGPGVRAGVRAVGEPARVQLYTSNWLKDENGKGGKVYQKYGALCLETKAFPDAVNHPNFPSEIVRPGEVYRHDMVFKFSS >Sspon.05G0013860-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:5B:50367083:50368048:1 gene:Sspon.05G0013860-2B transcript:Sspon.05G0013860-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEEWRSILVPIFKNKGDVQSCTNYRGIKLMSHTMKLWERVIEHRLRRVTHVTQNQFGFMPGRSTMEAIFLLRQLMERYREQKKDLHMVFIDLEKAYDKVPRSVMWWALEKHKVPTKYVTLIKDMYRDVVTFVRTCDGDTSDFPIKIGLHQGSALSPYLFALVMDEVTRDIQGGIPWCMLFADDVVLIDDSRAGVNKKLELWRHTLESKGFRLSRTKTEYMRCDFSATRHEEGDVSLDGQVVAKKDTFRYLGSMLQKDGDIDEDVRHRISAGWLKWRQASGVLCDKRVPQKLKVSSIGRRFVRRCYTVLSVGLQKGDMSSN >Sspon.08G0025460-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8C:10161134:10161483:1 gene:Sspon.08G0025460-1C transcript:Sspon.08G0025460-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VQVYMVMKTASAKSRWRQWRRGTWRRPVAESRRKAKASCLAGGQTRVDIGGLVVSSSKPSAEDAYGVRYSRAIWWFGPQNHWWRVYGFGPQNPDGGSEEEQTTRGGIGEFASRRSY >Sspon.07G0016330-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:58070879:58073413:-1 gene:Sspon.07G0016330-1A transcript:Sspon.07G0016330-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTSSTNPSTEFLYLGTLATPPPSGPQHPGTSSNLRPGSPELRPLPPLPRVGPPSGEFASRSSASDPSTVPPAAAADASSSSLSPSSPSASSPTLGSSPVHFRPPSIPQPRGRAPNPSPPKRRPSPPKGAAEPVAAHAWNPFVPLPPRPTVASSDDGDSDQMMDLRKSRPLHSDKLKPGSLHMKDEVIQLYLNNSAAVAAPREVCLLGAHRCHGIGMIVGALGVSKEQLRDALLEGNAHGLGVEALRMLTQMVLSNEEELKLKYFKDDSLTRLCPVDAFLKAMLDVPFAFKRVDAMLYIASFYLEINQLRMSYATLEGACQEMRSSRLFHKVLEAVVNFGNFMNTNSGSPCSKGLEPNTVLKIADVKGADGKAALMQFLVQEIVKPEGYNVMEDGSGTCKMNASILQYDAECRKHGLEVVTKLAAELSNTKKAASIDITRLSQSVSELGMGLGKVHDVVRLNSMVTSAESARRFHNSMSTFLRHAEEEILKLQSQESICLSSVKEMAEWFIGGESGNDEAHMFRIFAGVREFLAMLDRICKEAGEVNSNNWVGVTTASWMAAPMGMTP >Sspon.05G0006850-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:15098585:15103959:1 gene:Sspon.05G0006850-2B transcript:Sspon.05G0006850-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine carboxypeptidase-like 35 [Source:Projected from Arabidopsis thaliana (AT5G08260) UniProtKB/Swiss-Prot;Acc:Q9LEY1] MAVAAMATALLMLALATASASAAVSGAPWRSRSPRPEADLVTGLPGQPAVGFSHYAGYVDVASGGGGGKALFYWFFEAEREPDKKPLLLWLNGAVNLLFLESPVGVGFSYTNRTSDLRRLGDRVTAQDSYSFLLTWLDKFPEFKGRDFYIAGESYAGHYVPQLAELIYEGNKGASRDRAISIKGFMIGNAVLNDATDQLGMVEYAWSHAIISDELYSAVRRECDSFKEEADGGRPGKGCSPALRAFLGAYDDIDIYSIYTPTCLLLNNVSAAGATPRRRRRPARLVAAPRLLSKHEEWHRLMKRVPAGYDPCTEAYVTKYFNRGDVQRALHANRTGLPYPYSPCSEVIRKWNDSPATVLPILKKLMAAGLRIWVYRYSSTCEHLPSSLVVVPVDAELKVKLKPRDGMSRCSGDTDGRVPVTSTRYSINTMGLRPRRQRAAASASAGGVAAPEWGGWRAWYYRQQVAGWAVEYEEGLTLVTVRGAGHQVPLFAPDRSLAMLYHFLRGQALPAARSSG >Sspon.05G0007790-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:25881994:25883865:-1 gene:Sspon.05G0007790-1P transcript:Sspon.05G0007790-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AGRHTIILMQPSQNRSTRTFMDYDSVNHALDGICGLYERKIRNINPMVRNLTYDISDLYNFIDGLTDISAL >Sspon.06G0005060-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:15387392:15392129:1 gene:Sspon.06G0005060-4D transcript:Sspon.06G0005060-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMQLNDDGGVLKDWKDNQMAPCNWSYVTCQDNKVIAMLLDGNFISGRIPEELGNLSSNHLNCSRQSTPCEKRTAKTGKQRVRDDRSNVIVHQDVELVWGTEGNNPDFTFFNYSQVLDATNDFSVENKLGQGGFGPVYMGRLPDGLEIAVKRLASHSMQGFTEFRNEVQLIAKLQHRNLVRLLGYCSHGEEKMLVYEYLKNKSLDFFIFDEKRRTLLNWDKRLMIIEGIAQGLLYLHKHSRLRVIHRDVKASNILLDYEMNPKISDFGMAKMFSSNDNEGNTERVVGTYGYMAPEYASEGLFSAKSDVFSFGVLILEIITGERNSGFYYHGNFLNLLGYAWQLWKEQRWLELVDISLATNGCTLEMMRCINIALLCVQENAIDRPTTSDVVAMLGSENMALPEPKHPGYFHARVAKEEASTIAETYSINDATMSSTHVLGQAFQTGWRGGGSLVWRRSADEGPTGEG >Sspon.01G0028410-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:99357000:99360068:1 gene:Sspon.01G0028410-1A transcript:Sspon.01G0028410-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRGLRRGLGILLVPLPHAPSRPPPISLAALLLLPRRLNGFSRHSFCSFPGGGRAVEQFSDDEYDHEYEDLRVRATSSSAGARMIALRGLQRNSFVLLVCSPPRNDFLQHVQPSSSVANIDEWRWKLSMLQRNAEEQEIISRDRRDRRDYDQIANLAKRMGLYSEMYGRVVVASKVPLPNYRPDLDDKRPQREVVIPLSLQRRVEGLVQEHLDRALLPLDKCGGNLKSGSEMAENANLDEQHDSLLDRSVMEKILQRKSIRMRNFQRSWQESPEGAKMLEFRRSLPAYKEKERLLAAIARNQVIVISGETGCGKTTQLPQFVLESEIESGRGAFCNIICTQPRRISAMAVAERVSTERGENLGESVGYKVRLEGIKGKDTHLLFCTSGILLRRLLSDRNLNGVTHVFVDEIHERGMNEDFLLIVLKELLSRRRDLRLILMSATLNAELFSSYFGGAPTIHIPGFTHPVRAHFLEDILERSGYKLTSSNQLDDYGQDKVWKTQRQLLPRKRKNQITTLVEEALKNSSFETYGSRTHDSLVNWNPDCIGFNLIEAVLCHICRKERPGAVLVFMTGWDDITCLKDQLKAHPLLGDPNRVLLLACHGSMATSEQRLIFEKPPPNVRKVVLATNMAEASITINDIIFVVDCGKAKETTYDALNNT >Sspon.05G0017190-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:71884497:71886939:1 gene:Sspon.05G0017190-1A transcript:Sspon.05G0017190-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding CARPFSPGAGAMPPLKVHTLPACYSVPAPAPHGGGDRDPWTSSTLTNFVNHFLLLRGAAVPVHILCGQLHGDYKEEKEDLSDAYKHKLDDELNRAACLWIRHAVANWQALVLRAALVPRPRAFHVAAPDEGGAHRCDFQGSLPEFRFLTMSGCQICSNRISSTSLAHLTIADCYFYGKSTRTRISTPRLVSLQLSVSNGRAPLLEKMPLLVAASVTLEGLCYDTCEHNVCKHGWLGFNGDRDSCYCIDDDGEGVYLENLSSCDSCYGRDDGSSVVLQGLSEATDLELISNPRVFIFRKDCNSHTTFFSKLRTLLLNEWCLAVDFGALIYFLQCSPVLEKLTLQLGHCEIRYPCPVTKQIQIMKWRLLLKEGDIKYLDAKSKGSVELAGGLLQTSKAFCDRRLVSCHSSVA >Sspon.02G0056280-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2D:21255702:21255926:-1 gene:Sspon.02G0056280-1D transcript:Sspon.02G0056280-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPEGVNPGDFEADDSDIWRDGRVALHHRLEPQGPISSLPLSLLWPQALLRTDLVEGFLKITRGHGCGGPLGV >Sspon.06G0025480-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6B:68915070:68915218:-1 gene:Sspon.06G0025480-1B transcript:Sspon.06G0025480-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNFPMSSATHCKIASKITRGQNQMRSAFWARCNGNGELKKIDQRGIRL >Sspon.07G0011860-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:42397561:42400569:-1 gene:Sspon.07G0011860-1A transcript:Sspon.07G0011860-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQQVGVGFPGASSRSPSPETPRDPYMKYTSAWGRCGGDKGKKKKVVKLKIKVGNHHLKRLISGAIAGTVSRTAVAPLETIRTHLMVGSNGNSMTEVFQSIMKHEGWTGLFRGNFVNVIRVAPSKAIELFAFDTANKFLTPKSGEERKIPVPPSLVAGAFAGVSSTLCTYPLELIKTRLTIQRGVYDNFLDTFVKIVRDEGPTELYRGLTPSLIGVVPYAATNYFAYDTLKKVYKKIGNVPTLLIGSAAGAISSTATFPLEVARKHMQVGAVGGKKVYKNMLHALLSILEDEGVGGLYRGLGPSCMKLMPAAGISFMCYEACKKILIEEEDE >Sspon.04G0018530-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:6039889:6047060:-1 gene:Sspon.04G0018530-1P transcript:Sspon.04G0018530-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALVVCVPSAELGGKREKKAPPINSELWHACAGPLVSLPPAGSLVVYFPQGHSEQADPETDEVYAQMTLLPVTSYGKEALQLSELALKQPRPQTEFFCKTLTASDTSTHGGFSVPRRSAEKIFPPLDFSMQPPAQEIQARDLHDNVWTFRHIYRGQPKRHLLTTGWSLFVSGKRLFAGDSVIFVRDEKQQLLLGIRRANRQPTNISSSVLSSDSMHIGILAAAAHAAANNSPFTIFYNPRASPTEFVVPFAKYQKALYGNQISLGMRFRMMFETEELGTRRYMGTITGISDLDPVRWKNSQWRNLQVGWDESAAGERRNRVSIWEIEPVAAPFFICPPPFFGSKRPRQLDDESSEMENLLKRAMPWLGEEICIKDPQTQNTIMPGLSLVQWMNMNMQQNSSFANSAMQSEYLRSLSNPNMQNLGAADLSRQLNLQNQILQQNSIQFSSPKLPQQMQPVNELSKASLPLNQLGVGTKPQEQTQDPSNLQRQQHTKVPSQATPPPPTVQQESQQKLPQKHIGFTDTSHTAIPPTTSVNAISAVGSPLMATGATHSVLTDEIPSCSTSPSTANGSHLVQPVLGRNQQCSMINYEKVPQSTAPMSIPSSLEAVTATPRSIKELPKLNSNVKQSCGFPKHGLLHHGFPMSNFNQQQMFKDVAPETDIHGADPSNNTLFGINGDGQPGFPMGADGFLSNGIDASKYQNHISTDIDGNYRIPKDGQQEISSSMVSHSFGASDMAFNSIDSGMNDGGFMNRTSWPPPPLKRMRTFTKVYKRGAVGRSIDISQFSGYDELKHALARMFSMEGQLEERQRIGWKLVYRDHEDDILLLGDDPWEEFVNCVKCIRILSPHEVQQMSLDGDLGNNIPPNQACSSSDGGNAWRARCDQNSGNPSTGSYDQFE >Sspon.02G0049270-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2C:36192718:36192888:1 gene:Sspon.02G0049270-1C transcript:Sspon.02G0049270-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNEKASVSKEFNAKHKKVRLLIPAPCPSPIFFLDRDRALLLLRLIGGMRSKLFLVVW >Sspon.05G0008010-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5A:24752568:24754107:1 gene:Sspon.05G0008010-1A transcript:Sspon.05G0008010-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAVAGPPPRQLSLEDLKAVSVLGRGAKGVVFHVVHAPGGEPDGGAAAAAMALKAVSREAARHKKAASGDGDGHRRIWFERDVLLALRHPLLPSLRGILATDAVVGFAIDRCGGGDLNSLRRRQTEKMFSDSVIRFYAAELVLALEYLHSLGIVYRDLKPENVLIQDSGHIMLVDFDLSTRLPAPPQEPDAPATSPKPAPPVTALSPSRGKARKPAGAALCFPFRTGSAAKPAAKPAADSPSPLSTSRTASSSSSSSTATTASSSAASAGARTPAKSNSFVGTEDYVAPEIIAGRGHDFAVDWWGLGVVLYEMLYGRTPFRGQNRKETFYRVLAKQPELVGEQTPLRDLIARLLEKDPEKRIGARGVKAHPFFRGVDWDRILQVARPPFIPTPPPQDEGGDEALDVEKVVREVFASSEAEAAKAGEGEREGGKASPVADGGRVVGGDGDGRRDQSKDGDFSVFF >Sspon.01G0000180-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:947114:949553:1 gene:Sspon.01G0000180-1P transcript:Sspon.01G0000180-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDATSSHPSRYVKLTKDQDAPTEDIRPGELNQPVHVPQVSLSLLRLEGRRCSECGQVLPESYEPPADEPWTTGIFGCTDDPETCRTGLFCPCVLFGRNVEALREDIPWTTPCVCHAVFVEGGITLAILTAIFHGVDPRSSFLIGEGLVFSWWLCATYTGIFRQELQRKYHLKNSPCDPCMVHCCLHWCANCQEHRERRGRLAENNAVPMTVVNPPPVQEMSMSENRGPAALENGAGNAEHEAEKSEHDDVEVIPL >Sspon.03G0023480-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:95565027:95566597:1 gene:Sspon.03G0023480-2B transcript:Sspon.03G0023480-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAQVAAPPHHHQQQQQKAANLARTFTKLLRRKRVDAAAQGGAPEAPASVPGDDGLGEERTEPPPAIPSLSKLKLSGNLAAAYSFDAFFRNAAEKKAAAAGGPGAGAVRQPSGAEEVTPEAAADALLANLFAGVSAVKAAYAQLQLAQFPYDAEAIQSADAAVVAELTRLSDTKRRYLRDPAGAARGAAAAGPHRVRRARRGAAPPPQDITARKLESDLRARDGEAERARSSLTAELRAERALEARLHPGRTLASLDELHLSGLNPTHFLTALRHTVKSIRSFARSMLNSMQSAGWDLAAAAAAVHPGVPLRRAGDAKFVFESYVAMKMFANFHRRDFNFSFLEEREFYDRRHFFEEFTELKGGAGQRLPGREEPAFLRAKYLSLVHARMETAFFGRLEQRGIVSAGPGFPESSWFADFAEMARRVWLLHCLFFAFDGGAEEDGASIFQVRTGARFSEVYMESVSDGRAVDDAAAAAAEDRVVGFTVLPGFRVGRTLIQCRVYLSKPSKPGRRP >Sspon.02G0021870-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:73193454:73194652:-1 gene:Sspon.02G0021870-1A transcript:Sspon.02G0021870-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ANPVGAVSEFIAQLQILELLDNAIFTAGYKAVLHIHSVVEECEIVELIEEIDMKKKKAADPKKKKPKRKPLFVKNGAIVVCRIQVNNLICIEKFSDFPQLGRFTLRTEGKTVAVGKVVDVPPAGSPTF >Sspon.06G0013330-3C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6C:57174339:57175724:1 gene:Sspon.06G0013330-3C transcript:Sspon.06G0013330-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LAGVIARLPFPGDRARFAAVCRAWRSAARQLPSHLPWIVFPSGTFCPAGQGAACFSIPGLPEDATCLGAAHDGWLAIDCTDDVVRRTTNADRWCKDTGEALIYPRRNVKHKHTYLLYNAFSNVTVPLPELDAVVGYMAETFNIRKVLMRSPAPDDFIAITTNNCNYNIILCRPGKGTFVLPDYRIIDVVFLRDTLYGITSGEELLAFHLGEYEDGRPNVTRIELVIKNPLSRYYYGEFPWSWPQDVVDTSDNEVGQDNHNNSGKEVSDSSEEDEDLGDDAEEPNQEENDNDADEGYEEEEEEDRNEDEELDDDELADQALEDIFNGDDGVPINTEPEEYDEAFMRYDEEVPYEPKDEILISRYLVKSRTGELLLVRHRYLVSPYSHSYTHDVEVLKADLSKGKWAASDGLPKGEALFLSQSYSKCTQAHGDIQEGFVYHLTLVDEAYDMTSCTTCNIAFGWP >Sspon.07G0037250-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7D:51633741:51636330:1 gene:Sspon.07G0037250-1D transcript:Sspon.07G0037250-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding EEWIRLCSPCFKQELELSWDWEGRIAGGCCCSPLPGAVRWGLGLGPIGLLDNRGDKPSARARTAPPDPIAAPPPSALPLPSSSLVGGPQFRSSSRVGGSSCGVVRFGHPRSLESSPSALPRYGAHLPPRTLLRTVGALPSSHGNQTCNRRAERVRHDAGRPTLSHVTTPTRPRLAGEEEDDGGVRVRVPARLGIYSPVALEGVRGGGGRGAAVVAGETAEALPARAAGASWRSSPASCPRIRVGGVEGRVEGGAARWLVNQPPPVGAGAGTGARTRAKLAGGFS >Sspon.05G0017060-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:66892573:66896162:-1 gene:Sspon.05G0017060-3D transcript:Sspon.05G0017060-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSQEASMDRRLLEAATSGDVPSMKQLLALDGPGVLLGTTPQGNTCLHITSIQGHEGFCKNVVTLDDSLAPALLSTVNKDGETPLLTAVARGRATLASVLLRFCRDQQLSETILKQDKRGFNALHHAVLRGHRKLALELIEAEPALSKAVTKRYESPMFIAVMRGYGDVLEKLLEIPDSAHGGNHGYNALHAAVTSGNAVMAKRVIEARPWIVRQENKDKRTPMHLATVENRIDVLKVLLEHDPSLGYFISTNGGPLLCIAASQGNVGVARELLRHCPDPPYCDATGSTCLHIAVSFSQADFVRFVVRSPQHEHLINLPDNKGETALELANRIMAAGDERERQRMMSMVAVLQFYLSNLSFHAEPVTSGTERLMEVAVSGHVAEMEHLHQHVPDVLLGTTPQGNTCLHIAAIYGHEVFCKEVQALKPSLLAAVNSDGETSLLAAVASGRVSVAFVLLRCCRDQQLSETILKQDKRGCNALHHAIRSGHRELALELIEAEPALSHAVNQYGESPMFIAVMRNYEDVFDKLLEIPDSAHGGAADRNVLHAAVRNGNSAIARKIMETRPTLAREDDWQNLTPMHRAVLWYKIDVLRVLLEHDRSLGYVVSTTGIPLLISAAFRGYVGVARELLKHCPDAPYGVPNGWTCMHEAVLLEQLGFVKFVLGSPQLRKLVNMRDRNGDTALHLAVHKCNPKMVAALLLHQDIDVTVHNNLGMDANRMLYDGTNHAKTLNWNEVSSIMLKADPQDAASFINLHKSVKDKVTDLSRKDIRSLTQTYTGNTSLVAILIATITFAAAFTLPGGYSTDAENEGLPIMARKIAFKAFLISDTLAMCSSLAVAFICVIARWEDLEFLLYYRSFTKKLMWFAYMATTTAFATGLYTFLAPHLLWLAVAICVLSSLLPIVTYLLGEWPILRLRFRLRQAFKWELLDMI >Sspon.03G0047340-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:58153976:58162325:-1 gene:Sspon.03G0047340-1D transcript:Sspon.03G0047340-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDALTRLQGSLIGGGDGGAGGGLHPRVTEEAKARPLLPLLSPEHPRLRGMLAGQTCAGDLGSITQFIARMLLRLSQRASQEKAEIPDKLLTLIAIICEICTPVLSELQNGMKDGFDLMAKLCETVTLNFELRWATEDWQPGTPRQHAGRCASPSHRCMGKAISLLRPPQPAREDEAEMMELRKRPRPRRVDPDFVSSPPPLPPRKRARKEAAAQKLVEAAGAPKRQPPRKGARRAAVGIGCPVAGLHPATCGRQPPLRASTRVLFRPRHPFNWYEPDLWTEVAKHLCGFDLLRLSSTCRWFRRLLADDSIWRYAFFRDLNLSDANPRVHRPLYRSWRYLYFAAFDGSHAFSFCQNGEHRSSWRIGSFVLDSPDMVLIGRLPLPKWLPSDPEDARLTIAILGACKLLNVRPGIWITDMHVMRCPLCNRNSCRGNKQILDARHSELFLEKAYWDETLEYENLGEHFQDEEVAAAFCAVVNAKQFASPSTATVLNTAWAGKREDPMTRHCASATAAAIHTNLQSNGGLLSTFEAMRDTGRDGQIVSVRISQNSASAASSFISAAGVAMMNLFWPASSMDDDDDERSTNAAAAAAFEGGCWFRPVSSPSAPSTSYSPELVQNGIVAAAEDGAKRAPGCSWRKSFSSCQSTASHVTISLAVILSCRHLDCRTRRRALSTSRDMCLMTAVSTATFQAFLYGGGPSSPGTAACGHHGSAPAGHHGSGWPFSSGNRCCGGRQVTVLHERG >Sspon.01G0037440-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:21520522:21530636:-1 gene:Sspon.01G0037440-1B transcript:Sspon.01G0037440-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLYRLFARFLSGFHKVDPDRWEWANEGFVKGQKHLLKTIKRKKKSSQDVPSDLQSVPVKTAPGTENIEIGKYGGLEKEVETLKRDKALLMQQLVDLRQYQQSSSLEVQNLIQRLRVMEQNQQQMMALLAIVVQNPDFLNQLVQQQRRSNWWNDDGNRKRRFQALEHGPIDDQETSGGGAQIIQYRPPVPETSNQPIPTNEAFYSTHAQPVSSPVQPVSSPALEMPMDVEMTSNNVDTLDSTGNDFDTSALCEWDDMDIFGDELEHILQQTEQDFQVDPPLTVEDYGYDRPRLEQDCQMEAQQNCKNPQYDL >Sspon.02G0026460-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:92354278:92355133:-1 gene:Sspon.02G0026460-2B transcript:Sspon.02G0026460-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GNNGQGRHPRRRGCSGGAARRRGGPPRGRRRLLGRQRQRLRRLVRQADVQAGRHLVFQVLVVARRHGGDQGRLRRLLRRQPRQVLHRRERHREALRARQALLHLQHSRPLRRGHEARGHRRRRRGHRAGARQDDQAPPQEERRPDPGTRGAGSRRRRDVHRRRVAQRVLAHRRPVAQVVLRRRHASRQGCRGARRRRRRGRLGHVR >Sspon.02G0049730-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:42626794:42628707:-1 gene:Sspon.02G0049730-1C transcript:Sspon.02G0049730-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSGPDETFPSASSNSHRSRRAELTWPRRHEVVVGVARGLLYLHEDAHTPIIHRDIKASNILLDDRWAWRLYKKGRSLELLDPAVKSSAVPEQVELCVRIGLLCVQADPRLRPDMKRVVIILSKKQSTLEEPTRPGVPGSRYRRRPHGLRGSHYSAGSSSGTSSPSTSATSHASASASASNAMTTSSTHTMRSQGLPSHREEEES >Sspon.04G0009900-3D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4D:29337571:29338942:-1 gene:Sspon.04G0009900-3D transcript:Sspon.04G0009900-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding IRDRGDSLLALARGGRRRLSEFVNNLQEPHQDLVGTDDGQNPRRGGVLNNADHDQSVGRRLEDVRGAMSSVARNTPGCRFLVRLVAEGGAAAAQQVLHEVAGDVVRLMVHPDGHGLVEALVQYLTDEDMARVLEILDAASPAQIVAVARSHQGSNILQTLIGRIAGNPRHAEFFTRTLARVGERGVLSLMEDMDGSRLIMRCLDTFSAPHNQFITVAMAMSLHRVCRDRHGCHVMNKCIDMAGVDAQMWSSLVHARVLGRVCARGACLRARAALHAAFRGRYVSLSTQMASSHVVQRCLELFSPEQADEIVGELLGCHRHHWAGCTFQQLISDRFANFVLQTAMERTE >Sspon.04G0013040-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4A:45295085:45297426:-1 gene:Sspon.04G0013040-1A transcript:Sspon.04G0013040-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIRASVSPLSPVQPPPLLVAAAAGQEAMAGAPPPHPSFSCSSPFTWAQPPLPRPPPPPRRGRSSPLPRLLTPPPATGVQLPPASRRQPWQVAFPPLLLPPPPRFLPLSPPPGIRWRRTISPARWPPDPPAISLYRGCRRRPPPSLPQARPRRRPALPQPPAPPPLPSSGAAPPLQWRHPFSPVAPPLPSRAPHLLSSAAPSLQRPHLYSAPLSSSHGAAALTAAAFMVSVGGGGTLEGADGVPAGVQPLQPQEQQPAAAGQQPPPWVCLAAPHGAALPPPGACPFPSPRACLPPPGAAAAPQGAPAPATVPSGGASAPVSSGWHAGVRRTRAGATAAAYRVARRALSAPYPLPSAPSPPPSQPGHLPGAAHTMAATAGAAGAGGPGVANPGFHPKSLWATVASSFSTTASTPPVSPDWIADSGATFHTTPNASLLSSLRPPHPSCPSSIMVANGTCIPVSSVGTASSHGSFHISDVLVAPGMVHNLLSIRRFTTDNSCSVEFDPSGLTVRDLASRAPLLRCNSTGPLYTLQFPASASPSSPSSAAFAATPSSTTWHRRLGHPGRDALMQLSRSSDIRCSQAHDDHLCHACQLGRHVRLPFQSSSSHAPRAFDLIHCDLWTSPVLSMSGYKYYLVMVDDFSHYSWTFPLRAKSEAFSTLSNLFAWVSTQFGLTIKAVQCDNGREFDNSTSRAFFLSSGVHLRMSCPYTSSQNGKAERMIRTTNDIMRTLLLQASLPARFWAECLHTSTYLLNRLPSTACPAPTPHHALFGTPPRYDHLRV >Sspon.01G0033710-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:102845393:102849025:1 gene:Sspon.01G0033710-2B transcript:Sspon.01G0033710-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATIPTTDSGLLLGSSALLRRTRRAASSARLPAAARRRPQLLVRASAKDIAFDQDSRASLQAGVEKLAAAVGVTLGPRGRNVVLDEFGTPKVVNDGVTIARAIELADPMENAGAALIREVASKTNDSAGDGTTTASVLAREIIKLGMLSITSGANPVSVKKGIDKTVQKLVEELEKKSRPVKGSGDIKAVAAISAGNDEFVGTMIAEAIDKVGPDGVLSIESSSSFETTVEVEEGMELDRGYISPQFVTNPEKSTVEFENARILVTDQKISSIKEIIPLLEQTTQLRAPLLIIAEDVSGEALATLVVNKLRGILNVAAIKAPGFGERRKALLQDIAIVTGAEYQSKDLGLLVENTTVEQLGIARKVTISSSSMTIIADAASKDHIQARIAQLKRELSQTDSAYDSEKLAERIAKLSGGVAVIKVGASTEAELEDRKLRIEDAKNATFAAIEEGIVPGGGAAYVHLSTFVPAIKETLDDPEERLGADIIQKALVAPAALIAHNAGVEGEVIVDKIRESEWEFGYNAMADKHENLVEAGVIDPAKVTRCALQNAASVAGMVLTTQAIVVEKPQKAPAAAAAP >Sspon.08G0008910-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:38893319:38895704:-1 gene:Sspon.08G0008910-1A transcript:Sspon.08G0008910-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGMDPSGGGGGAASHYLELLRAQQQQLQHQQAPLSPSSHVKMERSAPSPENVDPGGDQPALEGSGGSGGPTRKPRGRPPGSKNKPKPPIIITRDSPNALHSHVLEVAAGADIVECVAEYARRRCRGVCVLSGGGAVSNLALRQPGAEPPGSLVATLRGQFEILSLTGTVLPPPAPPGASSLSVYVAGGQGQVMGGSVVGQLIAAGPVVLMAASFANAVYERLPLVAEEEEAATAAAAAAAATATETQGAAEPAEGQPQQQEASQSSGVTGGDGGGGGIGHGMSLYDLGGNAAGYQLPGENFGTWSGGYTLTRARGHDFCWLL >Sspon.01G0029530-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:109962021:109966316:1 gene:Sspon.01G0029530-2B transcript:Sspon.01G0029530-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At4g21300 [Source:Projected from Arabidopsis thaliana (AT4G21300) UniProtKB/Swiss-Prot;Acc:Q9STE1] MRLAHLGLKLPRRPCCCTTFSSTEAVTDVSPADRLLALLRSCVSAPHLPLGLQIHARAVVSGALSSHTALHTRLLGMYVLARRFRDAVAVFSALPRAAAASSLPWNWLIRGFTAAGHHRFAVLFYVKMWTHPAAPRPDAHTLPYVVKSCAALGAVSLGRLVHRTARATGIASDVYVGSALIKMYSDAGLLRDARDAFDGMPWRDCVLWNVMMDGYIKAGDVGGAVHLFRNMRASGCEPNFATLACFLSVCAAEADLLSGVQLHSLAVKCGLEQEVAVANTLLSMYAKCRCLDDAWRLFELIPRDDLVTWNGMISGCVQNGLLDEALGLFCDMLRSGARPDSVTLVSLLPALTDLNGLKQGKEVHGYIIRNCVQMDAFLVSALVDIYFKCRDVRMAQNVYDVARAIDVVIGSTMISGYVLNGMSEEALQMFRYLIEQCIKPNAVTVASVLPACASMAALPLGQEIHGYVLRNAYEGKCYVESALMDMYAKCGRLDLSHYIFSKMSLKDEVTWNSMISSFSQNGEPQEALDLFRQMCMEGVKYNNVTISSALSACASLPAIYYGKEIHGVIIKGPIKADIFAESALIDMYAKCGNMELALRVFEFMPDKNEVSWNSIISAYGAHGLVQESVSLLHRMQEEGYKPDHVTFLALISACAHAGLVEEGLQLFQCMTKEYLIVPRTEHFACMVNLYSRSGRLDKAIQFIADMPFKPDAGIWGALLHACRVHRNVELADITSQELFKLDPSNSGYYVLMSNINAVAGRWDGVSKVRRLMKDNKILKIPGYSWVDVNNSSHLFVASDKSHPESEDIYTSLKTLLQELREEGYVPRPDLCHPMHPDNNTQISSCEAAIMVLLLQLQSCKKELVTIETKREFDSYVIIQNFQPTGKPPALHLCETLRIPYRVQHPVEELNELLVLMGNLGI >Sspon.01G0051240-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:12609693:12610514:-1 gene:Sspon.01G0051240-1C transcript:Sspon.01G0051240-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSESGKADARPSVAPPPAAADGDAGGVRSMVERWKMDGAPARARLLLRALAWLFSLLALVVMASNQHGGSQDFLKYPEYNYCLGISIVAWLYATAQVLRDVHRLSSGRDLIAARKTSAVVDFAGDQVVAYFLISAMSAAAPVTDYMRQAADNLFTDSAAAAISMTFFAFVAIGLSALVSGYNLSMEAL >Sspon.03G0005500-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:15774343:15776275:1 gene:Sspon.03G0005500-1A transcript:Sspon.03G0005500-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWRWHDDGDSDSDSGRGLGGVPDLAGGGGEGAQCATRRVVQSRCHTEEVEPSRFVRKCEKTEQLLRDCVGRPSELVESKTENTEEDVTEEMKSGSLSLGFPRNEPFAFPGLRNDMEALEKDFFVSLGNFLDEAERMTNSFIKSFGFPPVHDSESSPFQRQPAERHIEEDTARKTKESDYSEFRSKISDLYVLLLVLKENVPSTTTSHEVDLTGAVLHSRFQNV >Sspon.05G0015890-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:57626965:57632557:-1 gene:Sspon.05G0015890-2C transcript:Sspon.05G0015890-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GQRSSTYMLLAERHQIARTKNEKIDVVTTLETGTLTNPAASSSNPHDLQDNQENKSKTMESIWGKESEIRQDAAHKISRTIQEPTLPSTLSRRGGPRDTSVKSPAAIKEQGGQSQSLQPNRGESKNGKREIRMLREQGWIEE >Sspon.03G0035050-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3B:78492148:78497117:1 gene:Sspon.03G0035050-1B transcript:Sspon.03G0035050-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTGTCKYGAVCKYHHPQYFSGPKSNCILSPLGLPLRPTPLMWEPLALGLPLVPFCTSLVLLCAFSVFSTSSSEASVDVQGSQPCAYYAHHGFCKFGPTCKFDHPMGTPNYSISASSLTDVPVAPYPHSFPVPPMPPYLPSSDLRPQYTLVKDSSANPPAPGTTYGPVGSISKVYAPHTLIRSPASAAAGMQAS >Sspon.01G0045810-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1B:92896000:92896233:-1 gene:Sspon.01G0045810-1B transcript:Sspon.01G0045810-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPRLRVSQVPDYSVVPRRSTRLADKPKASNPEVQATNVMLKKLGRDVPLPTSEDSGTRRFRKTFSAPCHHPRKRP >Sspon.01G0051520-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:19179060:19181058:1 gene:Sspon.01G0051520-2D transcript:Sspon.01G0051520-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAATSSHLLLLSRQQQQAASLRCRLSVLGQSRRPAGRVTAQQAPAANVRCMAAVDTASAAATETTSPKSSSYEIVTLTTWLLQQERTGAIDNEMTIVLASISTACKQIAALVQRAPISNLTGVQGAVNVQGEDQKKLDVVSNEVFSNCLKSSGRTGVIASEEEDVPVAVEQSYSGNYIVVFDPLDGSSNIDAAVSTGSIFGIYAPNDECLANVEDNETLDSVEQRCIVSVCQPGSNLLAAGYCMYSSSVIFVLTVGTGVYVFTLDPMYGEFVLTQEKLQIPKAGKIYAFNEGNYALWDDKLKKYMDSLKEPGDSGKPYSARYIGSLVGDFHRTLLYGGIYGYPRDKKSKNGKLRLLYECAPMSFIVEQAGPPKSASLHRERGGSGEGGEIPGLNVPARCHMPELSDQMNSRTQTMQYIL >Sspon.06G0005980-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:18029255:18034089:1 gene:Sspon.06G0005980-2B transcript:Sspon.06G0005980-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSLLGGFTKSLAMTVLSEIGDKTFFAAAILAMRHPRKLVLAGCLSALIVMTALSASLGWAAPNLAFSITFFGEWGDKSQIATIGLAADENPFGVVLGGIIAQALCTTAAVLGGKSLASQISEKMMNDGDESQPSMANLMIITIE >Sspon.02G0019750-3D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2D:59578809:59579297:1 gene:Sspon.02G0019750-3D transcript:Sspon.02G0019750-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGPENMEDVDLEEQPLPHSPPPSPRPPLRKSSRKPAGGSRRLLATPVRALSLVVLVVGLLFSVHLLVHDVRTLVLLAAEWLCIFFVMSCVAACERGGDDNDAGGSSSGLGTVAEVALWSFAMALTVTMTFWVTAGLPLPAVAVLYLLSLLAVSACFAVLLN >Sspon.02G0017620-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:51622203:51629346:-1 gene:Sspon.02G0017620-1A transcript:Sspon.02G0017620-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSTLQVNTRTRRLKPIPGHVHGGRSASAVEAAKEAAANVGASAWAGKEKTKAVVQEKVAKARAHDPAEKAAADARMQERVHEVEAIKQDAMRQNAAAKERATAAGHHPTPLGVGAAASATAPAAGAGAGAPQRSRPAAASNVTLASTGPTVSHRRPARPAATTPEANRGNIVVCLFGYIYTNQRVNGPECDMTLPGHQTPSSTRFSMQIDEFSQRTRILPVLRALEEAMGAYNLAKSAKRDHRRGHAKCIELEQEEDRWHRVWRLRLVTSEEPKGGLGELERRSLPCFCFGCDELSVAPRQRRTHAHPNKTAKSAEAGRAEPSDPRDAHAATPTKSAPSSSQFQLPSPSPLGARATRSEKLMAAAIATRKRPALDGPCFPAAKKRSRYIFGSIYEYKKLGVLGEGTYGKVVRARHRRTGEMVAIKWVRATRGGDGDGTRAALREAGCLAACRGAPSVVQIRDVAADEASGDLFIVTELVDGPNLRDRLTLTGRFPEVLARAAMRQLLRGVAWVHRAGALHRDVKPENVLVGPGGALKICDFGSATPVRPPYLEDRVGTLWYLAPEMLKGSRCYGPPVDMWALGCVMLELLTGKPVFPGVQTKEDLLVEVFQLRDKMDSDGVAAFKGLPLDLSKAAGELLSGLLCFDEGKRLTAAQALNHRWFSESEEAESMDPD >Sspon.07G0021670-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:3872668:3873519:1 gene:Sspon.07G0021670-2C transcript:Sspon.07G0021670-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIGAPPDDSRQRPADHAANILENVWATIMTGSATPASSSTAASSEVGEERPPEAILERLPSLGRWISMGAEEWDELLLSGTALASDAAASGELLASSPANQEADRQHGHRASSSSAAAAACKSYRGVRRRPWGKFAAEIRDTRRKGARVWLGTFATADEAALAYDKAALRMRGPRAHLNFPLDVVQRELQAAAAGDGCAETTRVLRRKRRRANHDAAGDDPRSHVSVMAAATGRDPTMVSFACAKKDQGTPPSMMPERSISDPGAVIDFEDIGGEYWDYLFT >Sspon.02G0011930-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:26148814:26149287:-1 gene:Sspon.02G0011930-2D transcript:Sspon.02G0011930-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQRPPKCKAKRAREEEVHAAPPDFPFEEAAAAAGALGDVVGEASRRPPGVFQFPWQKCRGGLGVSSAAGSSSSWELRDVFFRSLVDGGAAAIGVPGDRLVSPPPSRRELLDGVDAWLAAAADDGEVDPVWRSALSMARGGARMDAGRGPAPRMLPG >Sspon.01G0057620-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:12851699:12852100:1 gene:Sspon.01G0057620-1D transcript:Sspon.01G0057620-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHGSPAPVHAPTARQIQHEKRRRRAEETLTREGVFVVPCPGVVWVCLACAVCLGGSSLEAACRFGSGRRQGAWEWEVVCRVGDAFEGSYWAGPHLGPGWPHMSVMIVRVYPR >Sspon.03G0014530-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3B:68114371:68118887:-1 gene:Sspon.03G0014530-2B transcript:Sspon.03G0014530-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dual specificity protein phosphatase PHS1 [Source:Projected from Arabidopsis thaliana (AT5G23720) UniProtKB/Swiss-Prot;Acc:Q75QN6] MEEGTTESGSFSRSSSFGGFEEWVALVRKRNGKAASCGRLGLRSSASSEVLELADPDSNVIDPDPCDQVPEGILWERLGRVSMMDIESTIENVDLPSKETAAVIKIAPSRMATQSERFGYELAKWLGVRTPQGRVIHSSSSEWQQIKDAVENARHASIAAGDELEEMICAEMLEALELSRCLFLMNYVHGSSLLENVMPFESRQAAEKTAEALGRVLILDLVLRNEDRLRCRPLGWRGNYANLLVANREAYANLDSLDDVYDSAIIRYKPEIIRSPQKQKQRRAVSISGSIGSDVSDLILEDSYASSGHEFSSFNIVAIDSGVPRRPPASKRAKDQESYPKLVELTLNNLEYSSKLLFEVSFGKLGIPGHEEFDVSSDYSYNSPLSESDMVATVHSFRGGFRSALRDLQRFHIFLITLYQKLDSLLKIFFNLMYKCSNEYAREDAGTSDSSLCSVESQADSNDTDVPRNLRKPSRTLSRDNLDLSSPSCRESFISKHFKGNGDASRGLRLTMKLRDFNKYAKVDSELSKEIEQWNDTLRTEVVKLCQGNNFHTGFFEGTDNSTAVDAYELKVRLEHILERISLISDAASTERPSQITDYLYIGGALAARSTHTLKHLGITHILCLCANEIGQSESQKPDLFEYRNFSIYLFFYVTLWSINPEMTSLRIEILHFSFIYCPGSGHHLIIFPFFFLRNCTLLEAWNMLKKVHRRAHPNDGFAKVLLDLDKKLHGKISMEWQHKRPAMKVCPICGKTAGLSSSSLKLHLQKAHKKISSGSVDSAMTLEIQKAIEAIKAGWSGGDSPAHKSQSHIEGF >Sspon.02G0033030-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:3981745:3982598:-1 gene:Sspon.02G0033030-1B transcript:Sspon.02G0033030-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQDGCSEPHNLPLKYLRNITNNFSDERLLGEGGFGTTTHKLMHVLIRELKQGVLQNGETIAVKKLHSSIPGVKGRHFENEANHLMRLKHPNVVLLVGWCFENEKTYTEYNGKYIWAEKPERLLCLEYMPKGNLRAYLSECFFANADEFSGLGWDTRYKIIEGICYGLHYLHKEWQLNARIVHMDLKPANILLDNNMVPKIADFGLSRLFSEEKTWTCTISRDGT >Sspon.06G0002600-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:8417200:8419964:-1 gene:Sspon.06G0002600-1A transcript:Sspon.06G0002600-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARFDPYENNGGTCVAVAGADYCVVAADTRLSVGYSILSRDHSKIAQLADKCVLASSGFQGDIKALQKALTAKELIYEHNNNKKMSCPAMAQLLSNTLYYKRFFPYYAFNVLAGLDTQGKGCVFTYDAVGSYERTGYSAQGTGSALMMPVLDNQLKSPSPLLLPARDAVTPLSESDAVDLVKDVFASATERDIYTGDRLEIVVINSSGTHRECIELRKD >Sspon.08G0016160-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:63840323:63844508:1 gene:Sspon.08G0016160-1A transcript:Sspon.08G0016160-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLARRLLLHPLPLPRGARALHTTPSPPGDPGVLACRLASRAVVRFAGPEAARFLHSLLTNDILSAFSAAGASAPQRYAPTPNAPARGPAAPAYAALLTPQGRFLYDLFLYRPPPPSQMLDRTGSAPETGEAPQGDTGDPQELLADVDAAEVDDLVACFKRYRLRSKVEIDNVSENFACWQRFGHNVVHTEPSTQEPEAQSIGWGQGVDHAGESAAQGNGHGWQWFKDPRLDYLGYRGIFSAETIPPLVESDKEADERHYQLWRIENGVAEGSTEIPKGEAIPLEYNLAGLNAISFEKGCYIGQELIARTHHRGVIRKRLMPMKFVDENGQELEQAVAPGSEVIDESSGKKTGTVNTALGSRGMGLLRLEEALKPGSALRISDNRDVRVQAIKPDWWPAEWTQVLQQQSAAA >Sspon.06G0022590-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:33607437:33611922:1 gene:Sspon.06G0022590-1B transcript:Sspon.06G0022590-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGFGTSRPASVALCAFLTFLNLFAFLLAVGAERRRSTVRGPFLSLPSLRSLCISSAPTLRASLRSSCWMQGKVVPDEYDERSYCLYDTDASTVYGVSAFFVLLLQQAIVTAATRCLCFGPVLSSRGCAVTAFVLSWITFLIAEACLLGGSVRNAKHTKYLGYYMKHDLVTCATLRKGVFAAAAAMMIINLLASLVYYWSYSKAATGGFMKHQNEVGVGMTDYGLDKGVSGP >Sspon.08G0008400-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8A:31247208:31247556:1 gene:Sspon.08G0008400-1A transcript:Sspon.08G0008400-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GIWKLELVAKLTALTLIQRNIARDIPQKTREKWIAGNHINVLIDDATEKKTKKPMQEKRVHKTGRGSRRKTKPVKLPKTLRIMTARAGTDHETHAKIPEVDGKPRSWRLGVGLAW >Sspon.08G0000670-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:2364187:2368861:-1 gene:Sspon.08G0000670-1A transcript:Sspon.08G0000670-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLKGCAEQSRTVVGQRLKLVCADCLGALGAVDPAKLKVSSCERFKIECSDDDLIFELIHKHLARAFRAAADTTVQDSAALAIQELLKLAGCQSSSSEESNCCEMSYRGQKLWDRFSNYVKEIIAPCLTSRFHLPKTTDSALAGPIFRPTMSFRRWIYYWIRKLSSHATGSRSSIFSACRGILRHDMPTAVYLLPYLVLNVVCYGTPEARQSITEEIMCVLNAAASENSGAAVHEIAMGQSEVCIQAIFTLLDNLGQWVDDLKQEIALSQSSYAMAGKHGGKLKDGTYSDHEQDQMLVQCSNVAELLVAIPKVTLARASLRCQAHARALMYFESHVQENSGSSNPAAECSGTFSDNDISFLMEIYGGLDEPDGLLGLANLRTSSSLQDQLIINEKAGNWAEVLTLCEQALQMEPTSVHRQSDVLNCLLNMCHLQGMIAHVDGLVCSIPQYKKTWCMHGVQAAWRLGRWDLMDSYLTGTDKGLVFSSSENNASFDMDLAKIFKAMMIKDQFLVAEKIAQSKQALLVPLAAAGMDSYMRAYPYVVKLHMLRELEDFNSLLGDESFIDKSFRANDPNFLKLTKDWENRLRCTQPSLWTREPLLALRRVVFSQSHMNVQVGNCWLQYAKLCRLAGHNETAHLAILEADASGAPNAHMEKAKYLWNIRKFDSAIAELQQTLINMPAEVLGNAVVSSLCSLSLALPNAPISATQASKENPDVSKTLLLYTRWIHNTGQKQSADIKSLYSRVTELRPKWEKGFFCMAKFFDDLLVDARKRQEDKFACKVGPVPSSSASRAIEEKEKPWCEFLPGVLLCYGKALHKGHKNLFQALPRMLTLWFEFGSIYVRNESSSNQRMKEINANVLGLIRGCLKDLPTYQWLTVLSQLISRICHQNADVVRMVKCIITSILREYPQQALWMMAAVSNSTVLARRDAAAEILQSAKKGCRRGSNALFSQFTNLIGHLIRLCSHPGQPRAKTINISTEFSALKRMMPLGIILPVQQALTVTLPSYDSNMSDQSGFHPFSVSQHPTIAGIADEAEILNSLQKPKKVVFLGSDGVERPFLCKPKDDLRKDSRMMEFNAMINRLLSKVPESRRRKLYIRTFAVVPLTEDCGMVEWVPNTRGLRHILQDIYITLGKFDRAKTNSQIKKIYDTCHGKMPDDVMLKTRILPMFPPVFHKWFLTTFSEPAAWFRARVAYAHTTAVWSMVGHIVGLGDRHGENILFDSTTGDCVHVDFSCLFDRGLLLDKPEVVPFRLTQNMIDGLGITGYEGVFLKVCEITLSVLRTHKETLMTVLETFIHDPLVEWTKVNKSSGGEVQNPHAQRAITNIKARLQGVLVGVKASPSLPLSVEGQARRLIAEAVSLNNLGKMYIWWMPWF >Sspon.07G0038610-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:82847612:82855121:-1 gene:Sspon.07G0038610-1D transcript:Sspon.07G0038610-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSFPPPGAVTICEINRDLVAADALSDARAKDAYGDVLGMVFAPIPFQPEALLPSRESAAPAADQAEPAETAPAPGLAATISEFFRRMVFPPLDPNLLEEFDTQKLESYKHCLAFVSGKNQVTVQDFEDSGKISLDVKAVEWRPNSGKMIAVACKGGICLWSASYPGNVPFMKPGITSSSSSAFPRSSGGQWILVDVLRGSSAELVRLGTPIRRGLSNISLVRWSPCGDYLLAAKFDGTFHFWETNTWTSEPWSSSNGYVSGANWDPEGRVALLSFSNSTTLGSIHFSSKPPSLDAHLLPVELPEFSSLIFSRGIEKLAWDASGERLALSFKDGNEMYRGLIAVYDVRRSPLISLSLVGFIRGPGEGAKPLAFAFHSKFKQGPLLSVINLFM >Sspon.01G0021820-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:80339878:80343261:-1 gene:Sspon.01G0021820-1A transcript:Sspon.01G0021820-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLSPSPPAPAAAVTVPRRILLAPHRRLRATCTPPRLACLRLRPAAPLRGIPSKTGCRAAAADAAPSQAPGGDGGVRGAMVRIGEALSLGFPVWVASACALALWRPPAFLWVGPTAQMLGLSFTMLGMGMTLTLDDLKTALLMPRELAAGFILQYTVMPLSGFFVSKLLKLPSHYAAGLILVACCPGGVYALPFLFFSRLATGTRTEKENVSAGTASNIVTYLARANVALSVLMTAASTFAAAFMTPLLTSKLAGQYVAVDPMGLLLGIDISSARTISIEVGMQNSVLGLVLATKHFGNPLTAVPCAVSSICHSVYGSILAGIWRSMPTKNKGE >Sspon.05G0007300-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:24667783:24677444:1 gene:Sspon.05G0007300-4D transcript:Sspon.05G0007300-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTSAPAVACRVAPARATTSVLPAHSLPGCRAVVWRCWVGRKGRRRRWAGLRARCRISCDGSQPSAVQPGEGLAAGEDGLRRPPFDINLAVVLAGFAFEAYTSPPADVGWRETDAADCQTVFLSETKEPTWNEDFTFNIKKSRENLLQVAAWDANLVTPHKRMGNAGLYLESLCDGNNHGVTVELEGLGGGGTIEIEVKYKSYDDIEREKQWWRIPFVSDFLVKSSLGSALRMVLGSESINASQFVKSAFGQLNSFTYTYLPKPSSLESEAEVSKNDEESSDGPTNSNELQQQNIDSEDISDSHSNSEARSPAATVNSEGDASSDMKESDEYFWRALNNVLNQNVLQNFGFSLPEVKQLDGFDLLSSLGLKSREIAEQEYLESGLAMADTSTSDGSETTPENTVGAENENGTLTTKEEVQSSFPDINKVSRDVLSQTENILGALMILSKNLSPHDQSVTTTETNGKDDMIREQQGASAADSVQKDDTVASTILSIDAQKAEDMRSLFASAETAMEAWAMLATSLGRNSFIKSDFEKICFLDNVSTDTQVAIWRDSSRRRLVVAFRGTEQSRWKDLRTDLMLVPAGLNPERLGGDFKQEVQVHSGFLGAYDSVRNRIMTLIKYAVGFQDEEDAETIPSWHVYVTGHSLGGALATLLALELSSSQMGKNGVIFVTMYNFGSPRVGNRRFAEVYNAKVKDSWRIVNHRDIIPTVPRLMGYCHVEAPVYLKIGDAKDAPVNNKILDDEDQGDVIGEYTPDVLVTEFHMEDFYYVTLLENVRSRYQVVDGANDEYRQLTA >Sspon.01G0024600-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1C:86424846:86425274:1 gene:Sspon.01G0024600-2C transcript:Sspon.01G0024600-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ferredoxin C 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G14890) UniProtKB/Swiss-Prot;Acc:O23344] MAAASASLLHLATPAPTRSTHLSVRLRTAQLPRRRPPGARIATAQPPRAYKVTIEHGGASRVVEVEEDETILSRALDEGLDVPHDCKLGVCMTCPARLVSGVVDQSDGMLSDDVVAQGYALLCAAYPRSDCTIRVIPEDELLK >Sspon.07G0037710-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7D:61686590:61687525:1 gene:Sspon.07G0037710-1D transcript:Sspon.07G0037710-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding IYTTGNDDEIKVTSTYNVGERKDKGSADPTSHKTNGAYTTGNDDELKNGEAFVDPTSSIGTMCSIDGVEDNKQSESTTEHPCGESNTLVGTDDRVVYSADVNDNDKTYEQNIAVPTSHEFSLVTSDYSADTNDEETSVHPTSYKTKGCTTDEQKNGETLVDSTSSIGKCTIDDEDKKQSEETTDHPSSCQNNTQQGTDDTGYKKQNAGAIAGPASDETKVSNVSLKGRMEDTASKAINTDQSEDEIKVANDGEGTTLNENSDHGSKGAHESEVTSGRKRITLQDLLGQENNDTDDLLGQENNDTKKKQRIKN >Sspon.04G0006070-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:17203224:17211392:1 gene:Sspon.04G0006070-1A transcript:Sspon.04G0006070-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNTCGVTLRSKYFASFRGSASQRRDAAGYAPVAATAAADEHEPAPHGNGKRATRPAEARAAADGSAPPPAPGMRRGVPAPAELTANVLGHPTPSLRDHYALGRKLGQGQFGTTYLCTDLATGVDYACKSIAKRKLITREDVEDVRREIQIMHHLAGHRNVVAIKGAFEDQQYVHIVMELCAGGELFDRIIQRGHYSERKAAELTRIIVGVVEACHSLGVMHRDLKPENFLLANKDDDMSLKAIDFGLSVFFKPGQIFTDVVGSPYYVAPEVLRKRYGPEADVWTAGVILYILLSGVPPFWAETQQGIFDAVLKGVIDFDSDPWPVISESAKDLIRRMLNPLPSERLTAHEVLCHPWICDHGVAPDRPLDPAVLSRIKQFSAMNKLKKMALQVIAESLSEEEIAGLKEMFMAMDTDNSGAITYDELKEGLRKYGSTLKDTEIRDLMEAADIDNSGTIDYIEFIAATLHLNKLEREEHLVAAFSYFDKDGSGYITVDELQQACKEHNMPAAFLDDVIKEADQDNDGRIDYGEFVAMMTKGNMGVGRRTMRNSLNISMRDTPGAL >Sspon.08G0024990-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:4583848:4588466:-1 gene:Sspon.08G0024990-2D transcript:Sspon.08G0024990-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQDRRSLDSCALCVMRTFQGQNPENRRVHTSKPWTSKRKFCELPDSVEVHIIDDDSDDSSKDYSVEDASKQLAIYNPEITHDKQTDIDHCASPHQSSKKSRHGYGTVLPSIGTYTVQCASCYKWRIVPTKEKYQELRGSISQELFVCARAREWNRELSCDELEDISQDGSRMWAIDRPNIVQPPPGWDREVRLRGASTKFADVYYTSPSGKKLRSLVEIGRYLEQNPHYIREGVNLSQFSFAIPKPLQEDYVQKRKFGDDHELLELHEIAQVGPLCWAAPPSRRELLGELGISALGPADIDQPGMSDSVSLHQLKVSEPPAQYRRRTVKQVSSRKRQRTPPAARYPFEEQSGGHANDIEHFYDFLLP >Sspon.03G0023080-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:70690030:70691931:-1 gene:Sspon.03G0023080-2P transcript:Sspon.03G0023080-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLRASSTALRRSHRLISAFAVDQLIRCHPPIDSAVPWNKLLRDHLAGSRPGLALALYRLMRALCPALPNSYTLPLALRAAPSWRLASAVHAHALHLGLHAHPDVAGQVLAAYARLGRAAEARRVFDALPVRRITLSWNTLISACSVGCDPDAAWAAFARMVAAGASPDAVTWTTLLSAHARCGRHPEALRLFGDMHRSGCEGNAEAVAVALSACPYAGGPALAKGRSIHAYGFVKGVVHGYLFVTNSLVCMYGKLGEMEEAEKVFWDAGAKKNAVTWNALITSYAAAGLCGEALGVLAQMEQCGGMVTPNIVSWSAVISGFASSGDMEQALQLFRQMQQQWLLPNVVTLATVLSACTELLALRLGQEVHGHTIKAALDRHSLVQNGLVNMYGKCGRVAAARKVFDRMKSRDLISWNSMIGSYGTHGLCDEALAMFQDLIGLRLSLTALRLSPCCLRVATQGVAEGRRLFNQMVREHMISPTMEHYTCMVDLLGRAGLLKDASELIETMPMRPDLCVWGALLNSCRLHGDAAIAKVLQTETVTTGNHTLITNLYAACGMWDDSKRVRVMTKEAGLRKNPGQSWIEVRNKVFAFTAGSTPLSEAEEVLRVLDDLYGEMEDEKRAMYDAIANIV >Sspon.01G0015590-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:47199859:47203282:-1 gene:Sspon.01G0015590-3D transcript:Sspon.01G0015590-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTPRLAAIFFSLLCCSASSSRPLNLISNSSSGFITHLPGFQGPLPFHLQTGYVEVDESNGVHLFYYFVRSERSPGDDPLILWLTGGPGCSVLTALAYEIGPLSFDLNGYVDGLPKLVYNQDSWTKVSNVIFLDSPVGAGFSYSDTEKGYKSSDTKAANHIVIFLKKWFDKYPQFLSNPLYIGGDSYSGMIVPTVTSEIARGKEDGSQPNLNLKGYLVGNPVTDFNFDDPSRIPFAHGMGIISDEIYEAYKKSCSVGDSRHQSISIECINSLGICPNHVLEPLCAFASPQPHKTPNLKLNSGAREMLQLPVYTGEEEPHLSEISLQCRTAGYIMSYIWANNASVREALGIHKGTVPSWSRCNYEIPYTNDIPSTVKYHLDVTTKGYRSLVYNGDHDMVIPFIGTQAWIKTLNFSVVDEWRPWFVDGQVAGFTKSYSNNLTYATVKGGGHTAPEYMPRQCFAMFMRWVSGDPL >Sspon.02G0008480-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:27362951:27372590:-1 gene:Sspon.02G0008480-2C transcript:Sspon.02G0008480-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGGDPQLPSISVARTTSEGAGAVDLDLLEQLLSGDNSWLEVVSRSPNSVAFPPPSAFFSADATATTATTAAAPAANASWWIQTGGASPSSVRERFSQALSYIRDTQSDGDVLVQLWVPVNRDDGKLVLTTSGQPFTLDHRSDSLIRFREVSTKYQFSAEVNSGDTPGLPGRVFIGRLPEWSPDIRYFTSYEYPRVRDAQYLDVHGTMGLPVFEKGSYNCLGVIELIMTRQKLNFTSELNTICSALQAVNLRSTEVSTIPRIAFQFTYLSSNIKQVYKILRHENSDAYIFSLMTSWPLLLIIHQFSTASYKDALAEILEVLRAACLTHKLPLAQTWVTCAQQGKRGSRHSDENYRYCISTIDEACFVNEAEMRGFQEACSEHHLLRGEGVAGKAFTTNQPCFMPDIGSSTKLEYPLSHHAKIFKLKGAVAIRLRCTRTGTADFVLEFFLPTDCEALEEQKTVLDSLSGTMRSVCQTLRVVTDREMEDEAVLEMNELNSFTPHGKDKAKELSFGGSSADRRGEASWTSLAGTSQQESELAALRMHGMFSPGGQGPSLSGVQATAEGSKAKRRTKAEKTVSLQVLRQYFAGSLKDAARSLGVCPTTLKRICRQHGITRWPSRKIKKVDHSLRKLQQIIDSVHGAETAFQLNTLYKDLTNTSISSDNNLSGSVTVPPTNQSNLTDFNKHQPHKSSSNVPSTSHSHSSCSHSSKSSPSCSGGATKHAQQGIIDLMKSENPVKDSSIQTLQTEKLSLYEHFSVHEAPIDLLQDVTEKANGGHHSSRSPSSPNHKQNTDANMRVKATFGSEKVRFRLNPECNFEELKHEIAKRLSIVDTNHLVLKYLDDDSEWVLMTCDADLQECFHVYKLADIQTIKISVHLAISPATRVTTGHT >Sspon.02G0048210-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:22510204:22528290:-1 gene:Sspon.02G0048210-1C transcript:Sspon.02G0048210-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGVGGGEPIPLSRFGALVAQLESVVASARQKTPDALLCFDLLSELSSAIDEAPKETIQLWQRKCEDALQSLLVLGARRPVRRLASSAMGRIIEKGDVISVYSRASNLQGWLVDVKRAEAIACAGVAQCLGEIYRLFGRKITAGLIETSSIVAKLMKYHEVNALEGSGGGAAAAAYQEAFRIIMRGGVSDKSFIVRVAAARCLKAFANIGGPALGMAEFDSSMSYCVKGLEDSVSSVRDSFAEALGAILALSLNPDAQVKKGGKKQTASAKKIEDGVQKHLIVPFVKANGANAKKLRIGLALSWVFFLHMIHMKYGTPDSELQNYAIQAMEILQGNDSPDPHALTQMLHGSIVW >Sspon.05G0005400-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:19168400:19169985:1 gene:Sspon.05G0005400-3D transcript:Sspon.05G0005400-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAANGKEDAKRTGLEGTGLRLQGGSHGNLRSAGSDQQLRQMLDSLKSSKSPAVINYGASWCRVCSQILPSFCKFSDKFKNLTFIYADIDECPETTQNIRYTPTFHFYRDGERVDEMLGTGEERLHDRLWLHS >Sspon.04G0009000-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:22318338:22322748:1 gene:Sspon.04G0009000-2B transcript:Sspon.04G0009000-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDALSRPAVVIDNGTGYTKMGFAGNVEPCFITPTVVAVNDSFSSSGQPAARGAPARGNWLAQHSAGVMADLDFYIGEEALARSRASSSHSLSYPIRNGQVENWDTMERFWQQCIFNYLRCDPEDHYFLLTESPLTAPETREYTGEIMFETFNVPGLYIAVQPVLALAAGYTTTKCEMTGVVVDVGDGATHIVPVADGYVIGSSIRSIPITGKDVTQFVHQLLKERGENIPPEESFDVARRIKEMYCYTSSDIVKEFNKHDREPSKYVKHWTGIKPKTGAKYTCDIGYERFLGPEIFFNPEIYNNDFTTPLQVVIDKCIQSSPIDTRRALYKNIVLSGGSTMFKDFHRRLQRDLKKIVDARVRASNSRLISGDAKAQPIEVNVVSHPIQRYAVWFGGSVLASTAEFYEACHTKAEYEEYGASICRSNPVFKGMY >Sspon.08G0005680-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:14309712:14318269:1 gene:Sspon.08G0005680-2B transcript:Sspon.08G0005680-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding IGARGCVYFQLNAVFAGISDSDGIKQAANISFLGRDFERLPLGADVDACITLSSNITNCHSNAHAVLAGEVKKMGSETFDLNQQPHENQGGNLNYVLLQKDCKNICRTKVCDLPIEVPTIWPIISFVPTKAYQKSDLPKFSLLPDPEDGRQRSEWGKFMRFLSDNKRMLLEVYLQKALFWPSGSWVPWEQAAIARCGSSTFHILAPEPDECSNFPHAVLLYECGQNGPGDCKQMARTSGKHVNGPNASKRPFKSDFQYGSRNSKPPYFKEEVCDSLPKPKEMETSPKHHDPIVTSSPCESVEDGPRVLNPVVNKRTASPTKNFIVADPSYLRTLSQTHASWIFGAIAELIDNSRDAGASRLSISIEHLFSKKAQKKIPVLSVIDDGHGMTYPDMMRMISFGHKRPNEHREDQIGRFGIGFKTGAMKLGKDAIVLTQTKSSRSVAFLSQSFNEEKDNLEIPVVAYRKEGQYMEVDLSVQSDATAEYNLSAIKNFSSFNEYFIGEKLGLFGEDRTGTQIYIWNLDRWGTDCTLEWNSGKSSENPVHPGRGDILIRSRRVRSRPGQTSNKVLLDYSLQSYLEVMFLNPRMKISVQGSLVKSRPLAKTLNKTSVVSGEIMGRTILLTLGRSKVEWDRTNCGIFLYWHGRLIESYKRVGGQKHSTDMGRGVIGVADITNLIDDEDGNSWVLNNKQGFQDCEMYAKLEEWLGRKVDEYWDTKFDSLELRKGDERHKPDSDWVQCCSCRKWRMLNAGFNVDNLPEEWFCYMPPFNGKCEIPEQQMGRGVIVIGEKRSGHDEQNKAALQGGTPKKEMRPQDLEIQMITQDEEDVKGMPEVVNKRKKPSSGTPSKPKNNSDDDLEGMSSQTEDDAPLLKLKRLRRGPAKTSKC >Sspon.06G0017490-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:78906986:78912930:1 gene:Sspon.06G0017490-2B transcript:Sspon.06G0017490-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEYDERYQGNGDTATATAEGGGYAQAEYAAAAAAPAAGGSPPAGFSDHADGRSSQPQHETQSHDSGSSKSRERDRERDKGKDRERDRDRGREKERDRDRDKDRERGDRDRDRDRHHRDRRERSERREHRDRSDDRDRDRHRDDRDRHRGHDSDRRRDRDRDRDGHRRHRSRSRSPSKSRDRDRRSRSRSRSRSKSKRVSGFDQAPPQQALPIVAAGAIPGQLPGVTAPITGVGVLPNLYNLAAGQFNPLVIQPQAMTQQATRHARRVYVGGLPPTANEQTVAIFFNGVMAAIGGNTAGPGDAVLNVYINHDKKFAFVEMRSVEEASNAMALDGIMFEGAPVKVRRPTDYNPSLAAALGPSQPNPNLNLAAVGLTPGSAGGLEGPDRIFVGGLPYYFTEAQVRELLESFGPLRGFDLVKDRETGNSKGYAFCVYQDLNVTDIACAALNGIKMGDKTLTVRRANQGASQPRPEQESILLQAQQQVQMQKLVYQVGGALPTKVVCLTQVVTADELRDDEEYDDIVEDMREEGRKYGNLVKVVIPRPDPSGAPVAGVGKVFLEYADVEGSTKAKTGMHGRKFGGNQVVAVFYPEDKFAAEQYD >Sspon.01G0039570-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:35497376:35508717:1 gene:Sspon.01G0039570-1B transcript:Sspon.01G0039570-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLCNCLEGCLDYAARGSPDKKRWQRPTATRHDGRGAQQLQLLVARCLPAAVPPGANGACGAIAASGAGAAAGKTPYRGSKGQGSLWMVFLATAVAVCGSFEFGTCVGYSAPAQAGIVSDIGLSNSEYGVFGSVLTIGAMIGAVTSGRLADILGRKMTMRFAAVVGIFGWLTVYFAKDAMVLYAGRVLLGYCTGVLSYVVNIYSSQYVPKIFILMFLNLLFKQWKHVPASNILKKVLFINTTLYALTTPGVIITQVPVFISEIAPKGIRGGLATSNQLFICSGCSAAYIIGALVSWRSLVLVGLVPCAVLLVGLFFIPESPRWLANIGKEREFHASLQEFRGEDSDISEEATEIKDYIESIRRLPKARIQDLFQSKNMYAVTITKIQKLMVPVRQLLFSEEVRKKFRTNSVGVGLMIFQQLGGINALGFYTSYIFSSAGFSGKLGTTLIGIIQVQIPITFFGALLMDRSGRRALLLVSSSGTFLGCFLTGLSFYFKVYYAAYSVGMGPVPWVIMSEIFSIDMKAIAGGFVTLASWIGSFAISYSFNFLMDWNPAGTFFLFSAASLVTVLFVAKLVPETKGRTLEEIQTHKEVVEDKSEWNSDDDNVLSTEDTCFSVVIPTVKA >Sspon.01G0016050-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:54159529:54161003:1 gene:Sspon.01G0016050-2B transcript:Sspon.01G0016050-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MESAIAAVAGELVSRFISFAFNRYCSSSSYEDEGWDEKRERLRHLLMRAHTVQLWDADAAQDTVGGHVPGQQGYHALDALTFQLQHEVSDSSISLCSATRLKRPRTVLASAKKDKVHAALENLQTAVASISEFVALLCGCDERLSRRPYDAYLYIGNFMFGRHAEKQKLLNFLLQPNPSDDHAPPPVLPIIGGLAVGKKTLVAHVCADERVRSKFASILHLNGDNFLTIVDHEKAVQGMPSLVVVEFVSDVDDMKWNEFYSFVTRTTSTRSKVIIISRLGRLARFGSVRPIFLDAVSYEELWYLFKNLVFGSADPAQHPRLVHIAEGIAKELHQHGSLVAANALADVLRSNLNAQVWLSILNRCRKVIEKNLFAYGQQPNLLFQEGREVDMTDFVSSPAINPIRILPCTSSALAKTELPKVTFRELLLDPSVRSKGEFCLLSWESSRLPPYISFIHFVPNGTQDTPEGHSLSGRKRHTVPF >Sspon.01G0004580-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:12214001:12214369:-1 gene:Sspon.01G0004580-1A transcript:Sspon.01G0004580-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTFDVGSFFDMTFPTFPAVLPPAMESSFAGSSATSETWSPAKKLRYDDGDLSEGSGGGSALELADELSFDPFMLLQMPYSGGYDSLDGLFAAEAVQQDVNNDMNGVSLWSFDEFPVDGSVF >Sspon.07G0010980-3C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7C:42401783:42404189:-1 gene:Sspon.07G0010980-3C transcript:Sspon.07G0010980-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGKSAALMLGLLLLLCVLRHGAAQRYNAIWSFGDSISDTGNLCVGGCPSWLTTGQSPYGETFFGRPTGRCSDGRVIIDFLAEHFGLPLPPASKAGGDFKKGANMAIIGATTMSFDFFNSIGLSDKIWNNGPLDTQIQWFRQLLPSVCGNDCKNYLSKSLFVVGEFGGNDYNAALFAGRSMAEVRGYVPRVVSKLIRGLETIVRSGAVDVVVPGVLPIGCFPIYLTLYGTSNAADYDRDGCLRSYNGLSYYHNALLKRSLASLQRTYPHARIMYADFYTQVTHMIRAPHNFGLKYGLKVCCGAGGQGKYNYNNKARCGMSGASACADPGNYLIWDGIHLTEAAYRSIADGWLKGPYCNPPIQH >Sspon.04G0015160-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:56783888:56784209:1 gene:Sspon.04G0015160-1A transcript:Sspon.04G0015160-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding YNGDDDEAPVDTARLPSPRRRGVPGVRRRVERQHRRLRRALAEAQGAGRGVGEGDVQARPVQRHQQLQRAE >Sspon.06G0021860-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6B:20487456:20489696:-1 gene:Sspon.06G0021860-1B transcript:Sspon.06G0021860-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDEGQNKAQNRVQVRDDSLGTKQDGNQRNVVAKAALSVSNWKGKGKRSQCKAKQVAKAVVNDQMKNRLKGSLQRSVSDWKIKGKRSTREGKQVPRAVVDDITPSAPSGSHHKQPGDHIDARSKVRKLLQLYQATYRKLKQVKEQGIPIVGRIYLEAAKAVKKDPIYTKLGAIVGNVPGVEVGDEFYYRIELAIVGLHRLYQGGIDTSKVNGVPVAISVVASGGYSDELSSSGELIYTGSGGKAGGNIDGSDQKLERGNLALKNCIETKTPVRVIHGFEGESRIEVGKQTSTFTYDGLYEVVECWQEGPKGEMVFKYKLQRIAGQPELTLHAAKAIRKSKIREGLCLPDISQGSERIPICVINTIDDMRLAPLKYITKVTYPTCCEKEPQKGCDCTDHCSDPIRCACAWKNGGEIPFNRDNAIVKAKRLVYECGPWCRCPPTCYNRVSQHGVKIPLEIFKTGKTGWGVRSLGSISSGSFICEYTGELLNGEEAENRQNDEYLFDIGRNYYDEELWEGIKPVVDVQSSTSSSGTMKCFTIDGAECSNVGRFINHSCSPNLYAQNVLWDHGDMRMPHIMLFAVENIPPLQELTYHYNYKVGSVHDENGNEKVKHCYCGASDCRGRLY >Sspon.06G0002890-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6C:7556502:7556878:-1 gene:Sspon.06G0002890-2C transcript:Sspon.06G0002890-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLKMTEKAPGAALPESSCAYLLQELKMIWDEVGQDQNERERILEELEQECQEVYRRKVNSANMSRIQLHQALAESEAEFTNLLLSLGERSFPG >Sspon.08G0020890-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:26891608:26909746:1 gene:Sspon.08G0020890-2D transcript:Sspon.08G0020890-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGVGGGEPIPLSRFGALVAQLESVVASARQKTPDALLCFDLLSELSSAIDEAPKETIQLWQRKCEDALQSLLVLGARRPVRRLASSAMGRIIEKGDVISVYSRASNLQGWLVDVKRADAIACAGAAQCLGEIYRLFGCKITAGLIETSSIVAKLMKYHEDFVRQDALLLLENALEGSGGGGAAAAYQEAFRIIMRGGVSDKSFIVRVAAARCLKAFANIGGPALGMAEFDSSMSYCVKGLEDSVSSVRDSFAEALGAILALSLNPDAQGLFLHCSTNGANAKKLRIGLALSWVFFLHACVLYVLRVGVADQMTEPTQREFLVFLGRKLESSNYTAPMRVATLRILSYLLRSVGE >Sspon.01G0001740-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:4559725:4561518:1 gene:Sspon.01G0001740-4D transcript:Sspon.01G0001740-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPAPTPIPLTSIAELRQHHSQLIRLGVASHPAHARRLLSFLARDPDSHFPYASRLLAHHPAPHPALFNPLFSALPTRHAARLLALMLSLPLHPDHFTFPRILPGAQPLHLVAQLHALLLKLGFHAHTQSLNALLAAYLANARPDLASRVFRGGGCALDVVSWTTMVGWLVKLGLADDARELFDGMPERNLVSWNAMMSGYVKAGRFLDALEVFDEMRARGVDGNAFVAATAVVACTGAGALARGREVHRWVERSGIQMDDKLATAVVDMYCKCGCVEEAWRVFEALPAKGLTSWNCMIGGFAVNGRGEDALELFGRMEREGVVPDDVTLVNVLTACAHAGMVSEGRHYFNYISQRYGIEPKMEHYGCMVDLYGRAGQLDEAKMVIQDMPMLPDVGVLGALFGASKIHGDVDLGEAIGWRVIELDPNNSGRYVLLANLLATAGRWEDVARVRRLMDERNVSKEAGRSVIEIQGEVCEFQCGGLCHPQAEEVYAMARGMMSKIRAEGYVPDTRDMLHAIAEEEKETPLLYHSEKLAIAFGLLRTRSGDTIRITKNLRVCRDCHEATKFVSRVFDREIVVRDRNRFHHFKDGLCSCKDY >Sspon.01G0025660-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1A:90158121:90159359:-1 gene:Sspon.01G0025660-1A transcript:Sspon.01G0025660-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSIVEAVARKLPPCHGTLMARSGRLVWIKSVLRAVPIYSMMADSLPPWALKEIDKICRRFLWAGGDSSIRGKAMVAWTTACRPKELGGLGISDLKLSGYALQTRWLWLRKTDSSRAWSHLPLDIEPRVMAFFRCSMFTNLGNGNTALFWEDRWLRLQAPSDLAPNLAQLVPRRIRDHLTDRTVWRWTPDGEYTAKSAYKMLHAGSVPFRGHSLIWKTWAPLKVKVFLWLTFRRQHWTADRRARHGLDSRAECFLCDQATETIDHLLCKCPFSREVWFHVCQAIGLDLPASARSVLHWWRRLRRAASADKRRGMDSLFALVSWEIWKERNARCFRQAANLLPQLLQIIKAQADLWAQAGAVHLRSLSSGK >Sspon.04G0008620-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:25557661:25567879:-1 gene:Sspon.04G0008620-3C transcript:Sspon.04G0008620-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GQGTRTSTPAAIRGLAASSTPVPLALSLQDVRRLAAAPPVTFLSSSTRLLHLPPCPWLPPTAPHPSLSLPSPPLLPLFQSPKPHPSAARCANPLARPVGAAPFARIGSEAEPRIALRFLEQSCGDSRSEKEQYSVNLTKRNLAGLANRFLRRPAHGISLSYYGIGKNDFGLPLGARSILQSVRASSTATAGQPKLDIDDEQSEDQKQNRKKKEASPEECDQAVEGLSTAKAKAKAKQVQESPKASQSVMQKFWARLLGIGPALRAVASMSRADWAAKLKHWKDEFVSTLQHYWLGTKLLWADVRISSRLLVKLAGGKSLSRRERQQLTRTTADIFRLVPFAVFIIVPFMEFLLPVFLKLFPNMLPSTFQDKMKEEEALKRKLKARIEYAKFLQDTAKEMAKEVQTSRSGDIKQTAEDLDEFLNKVRRGERVSNDEILSFAKLFNDELTLDNMSSIKNDDKMIQAEGVESLSEEELRQACQERAFTVSGKVKPEEAVVATLSSLPDEVVDTVGTVLPSEDSVSERRRKLEFLEMQEELIKEEEKRKEKEEKAKQEEEEKTKLKEPEGAAEDLALKEMTEATAREEELRKAKQHDREKLCNIGRALAVLASASSVSKERQEFLDLSTRRYNMKWIRQTNTHAGIFHEGEEEAKRAYIAAREESDRHAEAAAEEKVSSALIEKR >Sspon.05G0027930-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:5B:57726734:57727171:-1 gene:Sspon.05G0027930-1B transcript:Sspon.05G0027930-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHWVSWEEVCRRKEEGGLGYRDLHLFNLAMLARQGWIILQNPDSLCVQLLNAKYGTNDSLLQAKEGPCISYSWRSIVRGLQALQKGFIWRVSDGSQIKIWEDPWIANGISRRSFTPKGQILLTKVEELLNPKSGTWDEDLIRNVF >Sspon.01G0046060-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:96123583:96128064:-1 gene:Sspon.01G0046060-1B transcript:Sspon.01G0046060-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNNFLTTIKSLKLIEGCKAAQLYALSSVGASTSGSADAGGSSIGKPHPPPPPKTISMRSGSLYYPHTAPSTSGAFVPEPHLPCGLPVADALEPALDACLRPVDHVGALAASYRRVSAATAGGDDDLCDAYLEQHALFQSIGDARLIRRALRAARLDPAPPPLAPCTATTPLLECPRAAVFASVSHSVDPVCPCRRPPPPPVTPPPHRLRRNTSGAASEMSEEEEPETNDLWFIIGEEEVACERSCIAALSKPLNTLLYGGFAEAHRDRIDFSRDGITPRGMRAVSAYSRHGRVDDFPPDIISQLLAFANKFCCEGLKAACDNQLAAMVRGLDNARSLIDIGLEEASHLLVASCLQAFLRELPKSLTYPDIARLLCSPEGRERLDISGNASFALYYFLSYVAMEQGMRSNTTVMLLERLNEFAEQPWQKQLALHQLGCVMLQRGEFEEAQEWFEAAVAEGHVYSLAGEARAKYKRGHKYAAYKLMNSIVGDCDEPAGWMYQERSLYCVGKEKLADLQAATELDPTMTFPYKYRACALLEEDNAESAIAEISKVVGFKMATDCLELRAWFYLALEQCELAVQDVRAILTLDPTYMMFHGRMHGEQLIELLRGQVQQWDMADCWMQLYDRWSAVDDIGSLAVAYNNMGSIYVDCDMLDEAAECYGIALNIKHTRAHQGLARVHYLKNRKKAAYEEMTKLVQIATNSASAYEKRSEYGERDAARSDLNTATLLDPTRTYPYRYRAAVLMDEGKEEEAIAELSGAVAFKPDLQLLHLRAAFFDSMGDTECALRDCEAALCLDPTHGDTLE >Sspon.01G0015720-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:51168751:51170805:1 gene:Sspon.01G0015720-1P transcript:Sspon.01G0015720-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLFQTKLLEEEEKEKREEEERRERRRTKEREKKNRRKERLKGKDREKEKTLFRSKKSDDLSPLSLCNQAAPSNNESQDVLDLRYSDSEEEDNVVVREHYPDSSADQSSSKDSDERSNEHECSATAEFVPSDCDGSFLCDESKSSRNLRFRRDFPQEKDASYWYEDCRDDSGDTQWQSRERIRNNTRNYNAVFNANNRTRDRYNPCSCGHQEDYRYFSTATRSSRETKMSRKTVAEKPRLQYRRCYPPDSFAVSNGGRVGGTPNKSPGPKQVWEPMDARKKIGNGNSATGAADGSDQVECSKDISECEKVEVVCEPLAEICSEISAEACKSDTDQPCRQGEKNQSACSDGSKCVDKPNGFLTKDTGRTTNLTSSDSSSCLSEGDRDSSMSSMTSLSAQNVESSSTSDSEESSDRNNSSPGDPPAKNVSRSLLEMCAGNGFREYQPKGLNPPNGNQFGFMVSPLQDQMLHQQKVHAPPYSSTFMGFHNHPLAVPTNGYLPYPQPGHFYPGPMAPVGYGVAGNQRVDFPMQYTNNIHAYSGPEFGFLPSQPVHKTPVSFHAVPVPLTTLCRSGVPVVINQERQQSPAPFPKLKQAVPDPETSCAEDTSKQKGDDADSTPFSLFQFNLPIGPPTLATTKEEQSGAMPSTATAPAQIARAQPCSREETNVKEYNIFSGCNGVMFQLN >Sspon.02G0032950-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:3017374:3018898:1 gene:Sspon.02G0032950-2C transcript:Sspon.02G0032950-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSRAGAVISGAFPRNCAPEASVPRAPAVGSPPPPRDPTRQTDPTARTGAVSSHGHHPLPIKSTDPSIEHQQAATHRNTGRSPTTTSAMGPALSKQQAAAAAMAPKRAYVTFLAGDGDYWKGVVGLAKGLRRVRAAYPLVVAVLPDVPEEHRRKLRDQGCVVREIEPVYPPESQTQFAMAYYVINYSKLRIWERMVYLDADIQVYSNIDHLFELEKGKFHAVMDCFCEKTWSHTPQYKIGYCQQCPERVAWPEQELGPPPPLYFNAGMFVHEPSLRTAKDLLDALVVTPPTPFAEQDFLNLFFRDVYSPIPPVYNLVLAMLWRHPENVVLDEVKVVHYCAAGSKPWRYTGKEPNMDREDVKALVAKWWDIFDDESLDYKGAPVVDGGDGEEEAVDQARLPLRQALAEAGAASPVAGRDDGVHSG >Sspon.01G0001420-4D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:4261700:4269809:-1 gene:Sspon.01G0001420-4D transcript:Sspon.01G0001420-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWPHRSRPHQGLTKTTLDGSVGNGGASSTTSSWLGPASSQFIAPAGGAVVARNANALETMAAMTEPHMGDQLTHKGICSHPSNQLASLRPLHSRAGLLSTIPVSSFLPPSNPSPPSPCPTPHTTDHSPLSRSRRTRLSRPSPATPSTGAPPLPSLTMAVFVLLLLATFLPPTALAAFSPAFSFFLACGAGSTVSFPRDNPARNFTPDDGYLTTKRVPAVTNASPNSAASPLYAAARASSSAFSYRLSFSDSAGQAKFLVLRLHFFPFSPASPSTVSISSARFAVSVQLQDAYTVLSSFSPPGAGVVKEFFVPAGGSGDFRVTFTPAAGSAAFVNAVELFPAPAELLWNVTPVGVGAAAPDIAAWPKDALETVYRLNVGGPEVTEENDTLWRTWLPDDPFLFSPAGSSMLEETNTPIIYDATYTREVAPDVVYKTQRAANVSAAGAGVVSPRFNVTWTFSAEPGSNYLVRLHFCDYELLSSVVGVGIVFNVFVAQAIGTQDFTPTQFETQANTALYMDYAATAPISGNLTVSVGMSAKSSPGEGGFLNGLEIMKVAAGSRASNRRVLIITLSAVLGASVLAFAALCLFFVVRRKRRMARPAPLEKESSKPLPWSQESSGWVMEPSSRSGEGTTGAMQRVSTQLHIPLEELRSATDNFHERNLIGVGGFGNVYRGALGDGTRVAVKRATRASKQGLPEFQTEIVVLSRIRHRHLVSLIGYCNEQAEMILVYEYMEKGTLRSHLYGPDSDGAAPLSWKQRLEVCIGAARGLHYLHTGYSENIIHRDVKSTNILLGDGFIAKVADFGLSRIGPSFGETHVSTAVKGSFGYLDPEYFKTQQLTDRSDVYSFGVVLFEVLCARPVIDQALERDQINLAEWAVGWQRRGQLDRIADPRILGEVNENSLRKFAETAERCLADYGQERPSMADVLWNLEYCLQLQETHVRRDAFEDSGAVGAQFPEDVVVPRWVPSSTSFMTTADPDDTVVTDVGVANSKAPPRHPSESSPPARRAELIPPLRPCPPISSCPPINPTPFRRSPPPTTQQIVTKSTTTSPSPTAMAPPPTSRRLALGLLLIGALCSAAAAARFAPADNHLLACGAAAPAVLPDGRRFVPDSGCASTRLRSSSPSLSSAAPPGSPPPPSPLHASARVFSCRASYDLAVRRRGHHILRLHFYPFAPALTASRFHVGAAGLLLLHNFTASSPVVKEFVLPVDSDVLVLTFVPDSGSTAFVNAIELVSAPEELVGDIGTLVTSGGAAQIHGLSSQVFETLYRINVAGRKVTPFNGTLWRTWVNDEKFLVDTDSANYRAWSFSGRIAYPKGSRQMTREVAPDNVYNSARSVRPGANVTWGFPVPAGSRYLVRMHFCDIASKALYELYFNIYVNGDLAVKDFDLSSVTGFLAYPYYMDFIVDVKDEGILKLAIGGSKKSLSDEVSGILNALEIMRMNKTSGGMDGDFPIDLDMEYVVGKGIGEFARSLLCGFIFAGLFVALVMLVLRLRTKLRNNGAAWSWRPMDSGEGKLARAYQLVPSKTDY >Sspon.05G0008930-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:28183417:28187894:1 gene:Sspon.05G0008930-3D transcript:Sspon.05G0008930-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPTDALDAGLDASLPVDGPSMDLFDGYAAPCLVPRITGEPAARRARRGSQPCHAHVTSIARVCISLLLQDLRRGWRGSMSADTYASSGGAMPSAYTGSERRHMSTTSTQHQRQRQQHRPWLSLAGGACYERDERCVGGACDGRGRGARSKRSQEHEASEQCRVRTRWEKTEWSERATEGVKVVVHVTPHVRLEGVAGPTCVTSDARYSAPLLRLHDAAHLPRRPGGGRPRVSDTGRCARALQVGAFRGPCTVPALRAPAPRLVPVAAAPPPHRLRRRAPPRHLLRLYAQLKSLAVPIPVASLHPLLSSLPSAPAFALFADIYRLRLPLCTTTFNIMLRHLCATGKPVRALELLRQMPRPNAVTYNTVIAGFCARGRVQAALEVMREMRERGGIAPDKYTYATLISGWCKIGRMEDAAKVFDEMLSKGEVAPSAVIYNALIGGYCDRGKLDVALQYREDMVQRGVAMTVATYNLLVHALFMDGRASDAYAVLEEMQRNGLYPDVFTYNILINGYCKEGNEKKALEVFEEMSRKGVRATAVTYTSLIYAFSRKGQVQETDRLFKVAVKKGIRPDVVMYNALINSHCTGGNMERAFEIMAEMEKKRIPPDDVTYNTMMRGFCLLGRLDEARRLIDEMTKRGIQPDLVTYNTLISGYSMKGDIKDALRVRDEMLDKGFNPTLLTYNALIQGLCKNGQGDDAENLMKEMVGKGITPDDSTYISLIEGLTTEDERMAAADAAKA >Sspon.03G0006000-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3C:46154597:46155781:1 gene:Sspon.03G0006000-2C transcript:Sspon.03G0006000-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHTPFMDKSPHRRESAGGRLKQRLARILTRSTCTTNATSATAAAGSGGGTAFVSLAKTNTDSSHPEPEAPPSPYFCTPCTYERPKVHHGTRPRRRRSRSASLIHISVDCTGGAGAVSGRRSVHSDAPLLQHLLSVPARDVVKRQSKGGRKPAARSPSASRRHLSSSSSSWGRARRPSSTPTPYSWSLSSSSSTATDDELAPFSGSGSDEEGGDEAETTTLFSSLSFSSDSRSEFYHTNGGGGGGNTRTSRSHRNTTSRSRRRAPRRALSRTSDPAPPADAFRPLVVSVETKKHRECNNVRNKEEKGLNVGKLMMRAAAAEEETAGASMAVVKRSSNPYLDFRSSMVEMVVERRIGSVAKMEELLGSYLSLNSPRHHPAILAAFEDVWEAVFGEE >Sspon.08G0005690-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:17630577:17633346:1 gene:Sspon.08G0005690-1A transcript:Sspon.08G0005690-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFAWNWNGSLLGRSTSLFIRTHVSDENCPGVVLKPAPHTTCNDAYPRRQRVIVTFTTQVAKPLESLHQAAIMAAAVLCFASLTRVPFAAVLLLMLLQQARFLALAVARTTNLTAGATLTPPDYITSPSGTFSFGFLALDSDPTKFLLSTWFRFAADGNASQSQPQPQSVVWFAKQSPSGTTPNATAQSVLSITADGQLMLTDGDGGSNPNQVLWTPTAERGSVLALRDSGNLQFLSDSGNQVLWESFSYPTDTLLPGQSLAYESTGSEGKLFAKRADAEFTTGRFSMGIQSDGNVVLYVDLLTGNNPENAYWQAYTNSPDGNTTVTFDGQGRLNYTLHNGTVYSLVKPAASFTAGEYLKFARMDPDGIVRTYIRAKNGGGNTTWTVSGAFPDYGCIKRTSGLQGMCGPGSYCVSAPTPSSRDRLNCACPSGYNYTDDQHRDSGCTPGFEPQSCDGERSSDEFTLVELLNTTWETSIYYKKFSSVTEEQCRDYCLSDCFCAAALMIGGSDCAEMAALTNGWQANGVTTKAFIKVRTRKSPVIASARMRNALAYKVVAICLAFLLLITIGVLVAQHFIGRNRESQQRPLTSSVRAFSWKELYQATNGFEKLLGKGSFGEVYRGTMRSPQPHLIAVKKLIASNEYSEQEFTNEVQSIGQIHHRNLVRMIGYCKEGSHRMLVFEFMPGGSLRSFLFNPERRPPWRWRAEAAVAIARGIEYLHDGCSAPIIHCDIKPDNILLDDRGVPRITDFGISKLLGSQQVHTTVTHVRGTRGYIAPEWLRGDARVDTKADVYSFGVVLLEMICCRRCQEPVVVDPPRGVEDDETVTLFGWAAQLVGARRTELMLHGDDDAGVDSAEDMERVDRFARVALWCVEPNPLLRPTMHQVVQMLETSTTYT >Sspon.01G0001440-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:4434222:4436349:-1 gene:Sspon.01G0001440-1A transcript:Sspon.01G0001440-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPPRQLPQLVDDAIAEILLRVPPKEPAHLVRASLVCKPWRRVLTDPAFLRRYRRFHGAPPLLGFFNNIHSAKHVPRFVPTTATASPFSSSAAFDCRPLDCRHGRVLFQLTDAHEFIVWDPITGAREEVPEPNIRCWSAAVLCAAAGCDHSSCHGGGPFLVVCVGFKGDWHAHASVYSSQADAWGASVHLDVGVQDYCRGHLELSRPVIVGDGIYHVLELNARIAILKYDLGRHHLSIFALLPASHIGNLLIMPTEDSLLGLASIKGSRLCLRSRIVNGDAAPRWVTYRVIDLQTVLPVTIPINQAKVIGFAEGVNVIFVATDVGTFIIDLESERSRKMIKVGHVALQPVISPI >Sspon.08G0013230-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:65548069:65549687:-1 gene:Sspon.08G0013230-4D transcript:Sspon.08G0013230-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPAAQAQLLLSNHPSPSPPHHRRLLRRRQPPRPHTCTIRHYQGHDKCASSSPRLRHALRPPGAAAAVAIAPGDHWGNWAFLLSAAAFGTWAEENTSWGAALSGALVSIMAGLAATAVGLVTPGAPAHDAVMEYLLPAAVPLLLLGADLRRVVRTTGDLLKAFLLGSVATVIGTTVAYLLIPMRSLGQDSWKIAAALMGSYIGGAVNYVAISEALGLTPSVLAAGVAADNLISALYFMALFSLASNIPAEPKTATASPQKDGEPDEGGGGRLFVLNGGAAVALSFIICKVGSAMAAWLGVQGGTLPCVTALVVFMATAFPGPLGRLAPAGESLALILMQLFFAVVGANGNVVDAVTRAPSVFAFALVQVSVHLAVVLAAGRIMGMDRKPLLIASNANVGGPTTAAAMATAKGWTSLVVPGILVGIFGISIATFLGIGYGMFVLRRICG >Sspon.04G0000320-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:1191852:1194662:1 gene:Sspon.04G0000320-2B transcript:Sspon.04G0000320-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPPARGGRGGRGGRFDGGGRGGGGGRGFGGGRGGGGGRGGRGGRTPRGGRGGGGRGGRGGGMKGGSKAVVVPHKHAGVFISKSKEDALCTKNMVPGESVYAEKRVSVQNDDGTKVEYRLWNPFRSKLAAAVLGGIDNIWIAPGTRVLYLGAASGTTVSHVSDIVGPARILALNASYFLKNGGHFVISIKANCIDSTLPAEAVFAAEVEKLKADQFKPAEQVTLEPYERDHACVVGGYRMPKKQKATA >Sspon.04G0018490-3C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4C:71845542:71845998:1 gene:Sspon.04G0018490-3C transcript:Sspon.04G0018490-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGYKYGVLRWSKTGKNNRRHGSGHNFSASSLLDPGVTLSFQWNWLSLLIAVTLSGLLEAYTAQLDNAFIPLVFYSLLCL >Sspon.02G0036720-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2B:26378960:26379484:-1 gene:Sspon.02G0036720-1B transcript:Sspon.02G0036720-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MYTRRNLSPVKGERRMKRPQKEPGPRHLGQPVPPKESEPNGGIPILVRGPRPAPGPTCHRPTTTSHTPPRRAHLSVIRWHEEPHRQRVHAAADEPPTSAPRGPHRPASGSGDVIGKRPYNLRAGGARAPVTPDPAPTTTHGHGRVARERPNPTDAYEPTTKFLICPRLQPQTVA >Sspon.02G0014780-3P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:37417564:37419779:-1 gene:Sspon.02G0014780-3P transcript:Sspon.02G0014780-3P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQRLTYRKRHSYATKSNQTRVVKTPGGKLVYQYTKKRASGPKCPVTGKKIQGIPHLRPAEYKRSRLSRNRRTVNRPYGGVLSGTAVRERIIRAFLVEEQKIVKKVLKLQKTKDKTSSK >Sspon.05G0015680-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:61583806:61585300:1 gene:Sspon.05G0015680-1A transcript:Sspon.05G0015680-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHKDYQTTESKMGILVITVDLECSRCRAKITKVLDCLKEEFSIEKVEFEDKKEKKVVVVRGKFDAEKLCKKVWCKAGKVVKEIVIAEVWPMPPPPKPCTPCKPKPEESKPKPEDPKPEDPKPEKKCCVCEHCKPKPDKCCVCDHCKPKPKPEEKPKPEAKPKPEEKPKPAPPKTEYKLVPYPYPYPVPNPVMCQSWPWQCPPHQQCQCCEKQQPPPPPPPPPPPPQRPTCSCSSHANCGCGQTPPSWPPQPPVWPPPWASCNVVTDENSCSV >Sspon.07G0009890-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:28937299:28939797:-1 gene:Sspon.07G0009890-1A transcript:Sspon.07G0009890-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHKPGAAIHEPLLPSPPQGKAKAAASAEAKRLMRLAGPIVASCVLQNVVNMASVMFVGHLGELPLAGASLATSLANVTGYSLLTGMATALDTLCGQAFGARQHHLLGVYKQRAMVVLGLACVPIALVWAYAGRILLFLGQDPQIAAEAGAYARWLIPSLAAYVPLQCHVRFLQTQSVVLPVTASSGATALCHLVVCWALVYKAGMGSKGAALSNAVSYAINLIILALYVRLSAACKETWNGFSWEAFKDLWRFTELAWPSAMMIWCENLYFTGSSKTVSQKYDTLPCCSLEWWSFEVLVLLSGLLPNPQLETSVLSICLNTGALLYMIPLGLTYSISTRVSNELGAGQPQAAKMATKVVMYMALSEGLVISLTMTLLRNIWGYMYSNEKEIVTYISKMLPILGISFFTDGLHSSLSGVLTGCGKQKIGAAVNLGAFYLLGIPVAVLLAFIVHLNGMGLWLGIVCGSVTKLVFLLFVTCSINWDKEVSSQGEVQSVKLITPISMKNVNVTWKFEGRTQQRKCGSYLSGKLSIIGKTD >Sspon.01G0025630-3C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1C:90127254:90134214:1 gene:Sspon.01G0025630-3C transcript:Sspon.01G0025630-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPEPASRRGDDTHMKLLCSHGGRFQPCGPDGEPRYVGGETRVLFVLRAVSFRDLAARLAEMAGGGSAAEVRAISIRHRLADEGLEDVLVTVTCDQEVAHMRDEYDRLRATRPAARFRVFVTTTTLSSSAGPKRATTAGLPPLAPQSSMRRVQSEQAVAARAQHQHRPTTRRVHSAQELAGGVHMQPSFHHHHRHQLCCCCCSCSCQRRDRYTPAPPPARPTYALPYMSKKVAAPPPVPAAEAAGRVAAVSTEAAAAREKATSRDVEEAVESRRAIWELEPGHTWVFLTAGGTATARKVDCDAAHGRRAGQTTEPREPRFTSHAWPRQTGHHQAAPRRRRAQPWASTHAGERARGGAIFFHRWPTMTAAVERRKGRCGGGYSLMGFIKDIPPLKGDNYNEWKKKIDLAFVLAEVDWVVTTPCPSEPVAPVREANESDAEWQKKERDHAPVQMAYDLQKQKWVNANKKCVAVIKNTIEPTIMGSIQECDTVTEYLERIKSQFYGSSKTYATQLIKQLVTEKYTGGGHGNGIREHISKMNHLNNKLKSMDLALTEEFLVHLIFASLPKEFDTFVVNYNIQPEKWDLERCMAMCAQEEERIKPPMVIKVVRSDRGGEYYGRHTPYGQVPGPFARFLQENGIVAQYSTPGEPQQNGVAERRNRTLMDMDEMMRGSMVAREIVLEEKRVCVPNPMIQEPFFELPVLVAPTVPDTVVPTPVVSSPVVTINNDEEPVLQEPIQTDATDEGEQQQPQTEDVPNVEAPRRSQRVRRSAIPNDYEVYNTEDFQMEGDPTSFEEAMRSDNSSKWLEAMEDEIKSMSTNKVWDLEPIPKGAKTVGCKWVYKTKRDSQGNIERFKARLVAKGFTQREGIDYNETFSPVSCKDSFRIIMALVAHYDLELHQMDVKTAFLNGDLEENVYMAQPKGFVVEGKERMGCRLKKSIYGLKQASRQWYLKFDRTIKNFGFKENIEDNCVYTKFKNGRYIFLILYVDDILLASSDVSLLLETKKFLSSKFDMKDLGEASFVLGIEIHRDRNKGVLGLSQKAYVEKVLKKFSMHKCSASPAPMVKGDRYGDFQCPRNQYELDQMKVVPYASAVGSLQYAQVCTRPDLAFVTGLLGRFQSNPGIEHWKLVKKVLRYLQGTKGLMLTYRKSDSLQIVGYSDSDYAGDDRKSTSGYVFTLAGGAISWKSSKQTVTTSSTMYAEFVACYEATGQVNWLKKFIPGLNVVDSIHKPLKLYCDNDPAVQYAHNNRSSGAAKHIDIKYYVVKDKVRDQIISLEHISTEKMLADPLTKGLPPNVFREHVAGMGLRESL >Sspon.06G0010170-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:43167911:43170840:1 gene:Sspon.06G0010170-3D transcript:Sspon.06G0010170-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMEGGGGGGGGAGVGGCLGLGHGGEAQIKGTHTHGGRYVQYNVYGNLFEVSAKYVPPIRPVGRGAFGIICAAVNAQTREEVAIKKIGNAFDNQIDAKRTLREIKLLRHMKHENVISIKDIIRPPRRENFNDVYIVCELMDTDLHHLLRSNQALTDDHCQYFVYQLLRGLKYVHSANVLHRDLRPSNLLLNAKCDLKIGDFGLARTTTETDFMMEYVVTRWYRAPELLLNCSEYTQAIDMWSVGCIFGEMVTREPLFPGKDYVHQLLLITELVGSPDDTSLGFLRSDHARRYVRSLPQHPKQQFRVRFPTMSSGAMDLLERMLVFDPSKRITVDEALCHPYLASLHEINDEPVCPAPFSFDFEQPSLTEEDIKELIWRESL >Sspon.08G0002830-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8A:8014944:8015372:1 gene:Sspon.08G0002830-1A transcript:Sspon.08G0002830-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGAPSSSFTSPRGELTLGKFFAECGRVCSRQRSLCRTELSREVFAESLIGFAERFWLSAKRVNPVVPSASASCPCTSSARPCLPNAYQRPEQQSSAGRKEESSSSEQKKKIRACYYAVQTPDRTWTRRPVATRPITGTRRM >Sspon.04G0010390-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:31762107:31765027:1 gene:Sspon.04G0010390-2P transcript:Sspon.04G0010390-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAERLAASAATLRGSAAAATPSVRRAARASRAFFPPSPASSARVRVGLRAAPSPLPQKARAVRCAAVAAASDVAQVKAAREDIRELLRTTHCHPILVRLGWHDAGTYDKNIEEWPQRGGANGSLRFDVELKHGANALIQPIKDKYPSITYADLFQLASATAIEEAGGPKIPMKYGRVDVTGPEQCPPEGSFLVNAGPSSPADHLREVFYRMGLDDKEIVALSGAHTLGRSRPERSGWGKPETKYT >Sspon.01G0062520-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:105501941:105508265:-1 gene:Sspon.01G0062520-1D transcript:Sspon.01G0062520-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLGYGYDMVLLPPRHPTDEDYELSQPGGAIRQYRRSRQARAARRRRARRNHRLHLAEGDGIPWSGTPQPGASPDFLVESLGRMSLTEGNMPTKSPAAPPPGETPLSGASVPAWTTTSPSPFPFGLDSAARTYASSVSASMSAYEELPGHHLRSTLDLVASTPASDYLDSTETPETSSRETASRRRDHGSDLRRPHIPPSYYYFGAPDSDSADDTYDPTRECFNDDVESTSESEDEEPVEGRHTPPHVELPAEQDDPQFLADQGMQLEQIPRERARDVNRRINEDRVVDPPVFARASQNVVAAAMLIRNMPEPSNPDARRARDEIRELLETAAMQQAESSASRRREPASERIIEPSRQEREASVHPEPARRNRVAPAPEPTTNDREPRDTRGNIVERRTRKHGDGVARGYHVHRGGRYDSSEDRSPSPEPPGPRVFSKAIRETLGEATDDRVIIRQLPLFLSDTARAWLEDLPPRQIHDLDDLVRVFEGNFKGTYMRPGNSWDLRSCKQKPGESLRDYIRRFSRQRTELPNVTDSDVIMAFLSGTTCKELVRELGRNTPLTANGLMDIVTNFAAGEEAVGAIFGGDQDKGKQKVDDPASSTRGSKRNNRKKKKGQQGKLEAPADELVAATERKKPRAPPRRGHLRQNAQRAVYFEGVGVKKDDKKEDPKSDDKDGGFPEIHDCFMIYGGPSTRLSVRQRKRERREVFSVQMAAPRFLDWSAVAITFDRDDHPDYVPNPGVYPLVVDPVIANTRLTKVLMDGGSSLNIIYSQTHDLLGVERARLQPSVGGFHGVVPGKRAEPLGWVDLPVCFGTPANFRKETLTFEVVGFRGTYHAILGRPCYAKFMAVPNYTYLKLKMPGPNGVITVGPSYEHAYECDVECVEHGEAVLESVALAADLDGLANEIPDPKRHAGSFEPAEDEAVLVDFLRANADIFAWSPSDMPGIPREVAEHALKIRAGSKPVKQRLRRFDEEKRRVIGEEIHKLLEAGFIKEVHHPKWLANPVLVKKKNAYSGYHQIKMKESDQLATSFITPFGMYCYVTMPFGLRNAGATYQRCMQHVFRKHIGSTVEAYVDDIVVKTKQADNLVNDLDVAFKCLRAKNIKLNPEKCVFGVPRGEPLLLYVTAMTQVVSAVLVVERAEEAHALLVQRPVYFISEVLSETKVRYPQIHKLLYAVVLTRRKLRHYFEGHPVTVVSSFPLGEIAQNREASGRITKWAVELMGETLSYAPRKAIKSQLQAELWTMYFDGSLMKTGAGAGLLFISPLGVHMRYVIRLHYPASNNVAEYEALVTGLRIAIELGVRHLDVRGDSQLIIDQVMKSSSCRDPKMEAYCQEVRRLEDKFHGLELNHIARRYNEAADELAKIMSSRTTVPPDVFAKDLQQPSFDLGPDGGVDGTSLDPPPEAEAPSTGAEAMQVEGLTPPSDLEPDWRVPYLDYLIRGDLPSDKTEARRIAHRAKTFVIIGDSRELYRRSPTGILQRCITNEQGRDLLSDLHSGACGHHAAPRTLVGNAFRQGFYWLTAVSDAVKLVRSCKGCQYYARQTHLPTHALQTIPITWPFAVWGLDLVGPLRRTTGGFTHLLVAVDKFSKWIEAHPITSIRSEQAVLFFTDIIHRFGVPNCIITDNGTQFTGKKFLDFCDNHHIRVLWSAVAHPKTNGQVERANGMVLQGLKPRIFDKLNKHGKRWAAELPSVLWSLRTMPSRATGFTPFFLVYGSEAMLPTDIEYGSPRLKAYIERNNDAAREDALDQLEEARDVALLHSARYQQGLRRYHDKHIRKRDLNVGDLVLRRNQNTKGRHKLTPPWEGPYIIAEVLKPSTYKLSNEKGEIFTNAWNIEQLRRFFP >Sspon.06G0032350-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:83967724:83974985:1 gene:Sspon.06G0032350-1C transcript:Sspon.06G0032350-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSSGSASRHGRLLISPSLSTPTFSTRSPSPLTLTGRVPPRRTTTRSAATPPSRPRSSFGGAGPRGPAAAAAASASGPAFAHNARLAAALVPAAAFLLDLGGLPVFAVLAIGLAAAYLLDALQLRQGAFFTVWAALLAADVAFFFSASLSSAAAASLPLTVLALLLCAETSFLIGVWASLQFRWIQLENPTIVAALERLLFACVPIAAPALFTWALVSAVGMANASYYFAAFCMVFYWLFSIPRPSSFNSRKQDAPMLDSDGILGPLESCVHSLYVLFVPLYASTRGALWWITRDARAMDQIRIANGFVALVVVVLCLEVRVVFHAFGRYIHAPPPLNYLLVTVTMLGGALGLAAHAAGKVGDAASSVAFTGLAVLVSGAGAVVIGFPMMFLPLPMISGYYVARFFTKKSLSSYFTFVALASLMVLWFVVHNYWDLNIWIAGMPLKSFTKYVVAAVIMAMAVPGFALLPTKLRFLLELGLIGHTLLLCYIENRLFNYASMYYYGFEDDVIYPNYMRFLPGSVGKQFLKLYSGGMEDLLQMSAKRFLVLVVATGLLFVVMQPPIKLSWVYHSDFITAAHLSDDDISIYGFVASKPTWPSWLLIATVVLTLAAVTSIIPVKYVVELRALYAVAVGITLGIYISVQYFFQAVVLYPLLVATIVSAAVFVVFTHLPSESSTRVLPWVFSFLVALFPVTYLLEGHLRSKSFADEDEAEKFTNMLAIEGARMSLLGLYAAIFMIIALEIKFELALLLREKAADRGMHGPSSRSSAFPPKARLLQQRRAHAAPTFTIKRLAAEAAWMPAIGNVSTVLCFGICLVLNITLTGGLNRAIFFLAPILLLLNQDSDIFAGFGDRQRYFPVTVSISGYLLLTALYRIWEETWPGNGGWALDIGGPGWLFAVKNFALLVLTYRITYSSTASCGIMSDRQMPSYC >Sspon.02G0042090-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:81213022:81223633:-1 gene:Sspon.02G0042090-1B transcript:Sspon.02G0042090-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEDAGGASPPVPLAQVPPPLLSIPRPLATGHGGVPTSSALRDGDGERLHRFVIQGYSLAKGMGVGKHIASETFTWAGTSGRSTSTPTEEPRGQLRLRLRLHRLASDGTDVRALFELTLLDQSGKGKHKVHSHFDRSWSLGRTPSSTADPCGVTNDSFGELPLKHQIFSKTIINCTVGVVVSTIDYSRPHSIHVPDSDIGYDFGSLLDSQEGVDVILNVGGERFHAHKLVLAARSHVFKSQFFDDESDGEKSEVNETDELKEFSIDDMEPKVFKAMLHFIYRDTLVDDNELGASSSDGSIFDTLAAKLLAAADKYHLPRLRLLCESYLCKGISVASVASTLALADRHRAMELKAVCLKFSAENLSAVMRTDGFIYLKDNCPSLQSEILKTVAGCEDQCSSVGKSQSVCGQLSDGGDSSVRRVRQRT >Sspon.01G0015010-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:44120902:44121771:1 gene:Sspon.01G0015010-3D transcript:Sspon.01G0015010-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding APLYNAQRTLLPCASRSAHPIEEIHLQSAGEEIGVMAKKQRRQVVCMVFLVAFLVISAMHAVPAEAGRTLAESSVGYEPLKPGVLDPDRPYTRRCRDIYECPHTHGTATP >Sspon.06G0014650-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:84318818:84328010:-1 gene:Sspon.06G0014650-2C transcript:Sspon.06G0014650-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPVRLTLLLAAILAAAGVGEAVYIPYNTSAGVVPGKLNVHVVPHTHDDVGWLKTVDQYYVGSNNSIQGACVQNVLDSLIPALLKDENRKFIYVEQSLFLAADSENGIANSASKLGYVWLQAFFQRWWRNQNDMIKDTTTLGHKYIKEEFGQVPRIGWQIDPFGHSAVQAYLLGAEVGFDAFYFFRIDYQDRDTRKGTKELEVVWRGSKTLGSSSDIFAGIFPKNYEPPPGEFYFEVDDSSPVVQDDPLLFDYNVEERVNDFVAAAVAQANITRTNHIMFTMGTDFKYQYAESWFRNMDKLIHYVNKDGRVNALYSTPSIYTDAKYAANEQWPLKTNDFFPYADNPNAYWTGYFTSRPALKRYVRMMSGYYLAARQLEFFKGRHSSGLSTDSLGDALALVQHHDAVTGTEKQHVANDYAKRLSIGYIQAQELVSTSLACLTESGSKSRTEIESQLLPIATASLNIRDKHVKAYLGTTPAGTLSLYSDSKTQVQANFEQKYKYYIGQDGNASDPQASGAYIFRPNGTVPIKTDGQVPLTVLRGPIVDEVHQQINSWIYQITRVYKGKDYVETEFIVGPIPVDDGNGKELATEIVTNMATNKTFYTDSSGRDFIKRIRDYRSEWKIEVHQPIAGNYYPVNLGIYVEDGSKELSVLIEGKYYVKIDPQGEGARWRRTFGQEIYSPLLLAFTEQDGGNWANSHVAKFSAMDSTYSLPDNVAMLTLQAGEDKELSALASVDLKRVFPEKKVLSDTLLIPQILDPSLQIGKIIETSLSANQERTAMEKKRLKWKVQGSAADEKVVRGGPVDPSKLVVELGPMEIRTFIISFDHDISDKQQL >Sspon.04G0018760-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:70185387:70188201:1 gene:Sspon.04G0018760-2B transcript:Sspon.04G0018760-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAAARRLFSFHLHARPLAAGVAVATPHRRGKHDAISCKATGKTKPKPKSKAKAGKGGERQQRRALEEHLKRRTRSAAAFDADLYVRRAHEHHVPVLLGEVLAAFRRPRPLRSFVDCTLGAAGHSLAMMEAHPELELYIGMDVDPSALEIGRGHIEAFLAGRERETNGGEEDVLQETLRAYTHVKNFKYIKQVLGSVDESLAVGFSGVDGILIDLGMSSMQVNRSNRGFSVLQDGPLDMRMDPMATLRAEDILNSWPELELGRILREYGEESNWQSVQKQIVKARAMGGLHSTGDLVKLIQRMCSISSGRQGWIKTATRVFQALRIAVNDELRVLEDSLHSCFDCLATGGRLAVISFHSLEDRIVKKTFLELIHGGEADDEEDYKDDLALTDIEDEDEPWFGHRVQGRNGTVLTKRPITPSQEEEKLNQRCRSAKLRVIRKT >Sspon.01G0052320-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:20781694:20785309:-1 gene:Sspon.01G0052320-2P transcript:Sspon.01G0052320-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding FQVLGVDKNASQRDIQKAFHKLSLKYHPDKNKGKGAQEKFEEINNAYEILSDEEKRKNYDLYGDEKGNPGFGGGNFGNHEGYTYFGGGPKSSHFTSGNGWQTMGGQGNTKTFSFSFGGKPGASGGNPFGGGLDLGDVFSNLFGGGSMGDSHFGGSTGSARANTGTSGQHSGTAKIQDITTQVFNKEVADQGTTWLLLFYTPQSKGQFVLESVMQDVVHSLDGALRAGKVNCDNEKSLCKRSGVSIGKWARLFIYSYATTEKGSLHEYSGEHDAKSLKTFCQEHLPRFSKRLDIGQLSFPLNVLPNLPQVLLLSSKKDTPAMWRAISGMFRNRLIFYDAEVHDVSHPLLKSLGVKNLPAVIGRTVNGEELLLKDGISVKDLRSGIKELKTLLESFEKKNKKLSSNQANKKPSSQSEENKVPLLTASNFEEICGEKTSVCIIGVFGSNKAKGQLETVLSEISKKTLIRGQNYNSRNAVSYALLDKDKQSAFLSSFDKSRYKSSDRLLIAYKPRRGRFAVYDDKVTLEEAERFVGSVLNGDVQLSPTKQKPVLR >Sspon.02G0028000-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:119909028:119917867:1 gene:Sspon.02G0028000-3C transcript:Sspon.02G0028000-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGYGDARQPLPGRYGLYHFGTSGAAVAAATAVTHPLDVIKVRLQMQLAGQRGNLVGMGTIFTQMVEVEGTRSLYLGLAPALTRSVVYGGLRLGLYEPCKHVCSYAFGSTNFAFKFASGVIAGGLATTLTNPMEVLKVRLQMSKSSTSTIGEMRKVLAHEGFKALWKGVGPAMARAGCLTASQMATYDEAKQALMKWTPLEEGFQLHLISSCIAGTAGTLVTAPVDMIKTRLMLQRESKGVRVYRNGFHCAYQVVVTEGVKSLYKGGFATFARLGPQTTITFVVCEKLRELAGMTAI >Sspon.04G0010260-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:30787136:30789008:1 gene:Sspon.04G0010260-1A transcript:Sspon.04G0010260-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MACPAQSMLSASGCIFLRSKPQAAAASHVRGGIIGGGCSSRPFLLTCNASSPPAPTQEDPDCNEEECAPEKEVGSLSAEWLAEERTQVVGTFPPKKRKWGYVEKDTAGQTNIYSVEPMVYVAESAISSGTAGTSADGAENTAAIAAGLVLITVAAASSILIQVNKSQPPVPPEAAYNGPPLSYYVAKFQPAVAQTLEAPAPAEAAAPEATSTVEASAALEAQQLSS >Sspon.01G0055190-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:74316378:74317202:-1 gene:Sspon.01G0055190-1C transcript:Sspon.01G0055190-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTGTSVAAAATMLAAAAAIFITFVICFYLFLCAKRYRGAAPTIGGGGAGGGGDRQDRGRPRFVFAGAGCHGGGGGMDEAAIAALPRKEVGAGDPPADCAVCIAEVGPGEAARVLPRCGHAFHVECVDMWLRSHSTCPLCRCAVAEEDGGGGKAVARAAPEADPESPNFPTNVLFFGSQDAVSTRGAAAAAPAQVAPSVTPPQAPQPAVPGPIAGVAAVVEAARVAALRRLLGCGGGATPAPSPAQQQQNQDGDLESGLGGGETSGSPLAKPQ >Sspon.05G0014590-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:54688797:54690952:1 gene:Sspon.05G0014590-1A transcript:Sspon.05G0014590-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRVVPPTGGQPPQVLWQDGGQPAQVPFPDAAEVEVVDELSGAMDSGGYFTDRTTKGLGLADRRKKMETQKTISKKQKVVANSSPASAPPLLPAATVDENQHSNSALQRPPGQKKQKLRQHSSIKALDYLLAKKKEADVEKELKKEERCKKAFALQEERIRLEKEKLELQRDQFEFNKKLEEERIMNVDTSHMCPDQHQYYEGLKNDILARRLNN >Sspon.03G0021390-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3A:65595645:65598408:-1 gene:Sspon.03G0021390-1A transcript:Sspon.03G0021390-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGICCSKAAAGELDDDEGLGFPWMHDDLFHSHLWASAGVSVHTKQGWKGANQDAMTVSQDFAGQKGHIFCGVFDGHGPLGREVARHVRDTLPVKLASALKPKSGGEDSSSDTLKLKPEEDDSSNNLKLKPEEDDSINCLKLKPEEDDSSNSLKLKPEEDDSSNSLKLKPEDDSSNSFKLKPEEDDSSNSLKLRTEEDPSSNTDLDSFDKSDSSSFSDDTSDESQLLSTWKNIFVKTFELVDEELRQHSGIDCICSGTTAVAAVRQGDHLIVANLGDSRAVLCTRDSKDRLIPVQLTTDLKPDLPSELARILNCKGRVFAMDDEPDVPRMWLPDQDAPGLAMARAFGDFCLKNHGLICTPEVYCRKLSEKDEFLVLATDGIWDVLSNKEVVKLVSSATDPSKAARQLIDRAVRAWRRKYPTSMVDDCAVVCLYLNRRASPGPGPDESLRVPGTGEDVKPPAMPFTGSSFRRAL >Sspon.07G0013660-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:49029136:49030126:-1 gene:Sspon.07G0013660-1A transcript:Sspon.07G0013660-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQKQFPPQQQGSQPGKEHAMDPRPEAIIQNYKAANKLKDKVALVTGGDSSIGRAVCLLFAAEGASVAFTFVKGAEDKDAEETLRALRDISSRTGAREPMALPADLGYEDSCRKVVEEVANAHGGRIDVLVNNAAEQHERRSITDITESDLDRVFRTNIFSYFLATKQAVAHMGHGGGCIINTASVNAYKGHKTLLDYTSTKGAIVSFTRALALQLADKGIRVNGVAPGPIWTPLIPASFGKEKVEQFGSEVPMKRAGQPAEVAPSYVFLASEQDSSYITGQFLHVNGGVIVNG >Sspon.03G0004480-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:11475698:11479406:-1 gene:Sspon.03G0004480-1A transcript:Sspon.03G0004480-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTWTSQLFPLLVAAVAVSFLPAAAASNTTTSSLQSLLAEVSQWRETHLGDPSSHPGDGAAHAGVRPNTVVAWALSFLAASVSSAGGVGGGSLFLPILNLVAGLSLKRATAYSSFMATGGAASNVLYNLASSTGGGGRLIDYDIALLFQPCLLLGVSIGVVCNVVFPEWLVTLLFSLFLAFCTAKTCCAGVKIWRSESGGAGAGAGAARGDQHHGKEPLLLRLPRGTSDADAEGGGRGNGAGFPWADVALLVMVWLCFFALHVLIGDKHGKGVIKIRPCGVAYWLITLSQLPVAVAFTGYIIYAKRKKHVVHSQDQDDGKVASWQFYLYYTVVVAKLKAIWNDDDDDMVVQADLVDTGVETLSSLTLSLAAFCLFGIGGGLLLNPVLLQIGIPPQTAAATSSFMVLFCASMSMVQFILLGVEGIGQASIYAGICFVASVIGVAVIERAIRKSGRVSLIVFLVTAIMALSTVIVTCFGALDVWMQYTSGEYMGFKLPC >Sspon.01G0017500-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:64263788:64286562:-1 gene:Sspon.01G0017500-1A transcript:Sspon.01G0017500-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSKEFLALRACMQTAIQGGLGTPGALSHAYVQHPPLRCDIPDIRGLFYDDANKFLIAPTADRILYWKTTLSTPSGPPNSDPVNEGPVLSVRYSLDHKAIGIQRSRHEIEFRNRETGETCSKKCRADSETILGFFWTDCPTCDVILVKTSGLDLFAYEPQSHAFHLVESKKFNVSWYLYTHESRLILLASGMQCTMFTGYQFSAGGIVKIPKFEMMMSKSEANNKPVLAADDVHILDRVSMSLNLYRFYRDAVVQQCTLPTYSSRIAVSAVDNIIMVHQIDAKAKDYGRCIAAKVPEIEHNMCSKEFLALRACMQTAIQGGLGTPGALSHAYVQHPPLRCDIPDIRGLFYDDANKFLIAPTADRILYWKTTLSTPSGPPNSDPVNEGPVLSVRYSLDHKAIGIQRSRHEIEFRNRETGEIVAKSAELIQKLYLAFSGQIAQHKFNVSWYLYTHESRLILLASGMQCTMFTGYQFSAGGIVKIPKFEMMMSKSEANNKPVLAADDVHIVTVYGRIYCLQLDRVSMSLNLYRFYRDAVVQQCTLPTYSSRIAVSAVDNIIMVHQIDAKVVILYDVSLDSYAPVSAPLPLLVRGLPINSRQVSQTADSQSSAYGGTIYGEGWNFLIPDLICDAENGLLWKLHLDLEAIAASSSDAPSILEFLQRRKSDPSMVKTLCLAIVRTIILERRSVPTVAKAMDVVLDSYTRLMKMGGAFPGVRRTHEQNQQSGSQPNEGSHVVSQEPSPGTTVSPAVNPDQASGAVNISEQPNSGVEHAIDGGLLNINISSDSADNTSDAVDKRQQAVGEASRPLSSGTLTQHGQHAGTVAISPTEMFQSVFTLVEDEMMGDPAYLIAVIMEFLRSVSKAGLKAPHSLYVMMATLLARSNRYAEIALFVSNKILEPCKELAMQLMELGLQHPPTRKLGMDMLRERGLHHDYVTAMLQDGYYLEALRYARKYKVITVQPALFLEKAVAKNSAHNLAAVLSFFCEFTPSFKTTSDFGRYRHILSEMV >Sspon.05G0018940-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:78851879:78861680:1 gene:Sspon.05G0018940-3C transcript:Sspon.05G0018940-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPFQSNGPNNHMEAPLVSVQRGRGIPGGDWDPHGIRLGVHASASVGPTAEHKGWFDPGTQNNPRNKNRRGDESPPAPPGPAFFSDDGGGALWGGGFRTRLDRTGAPPAASHGPGRAAARARAQAEMPKRMESVEDLIEEAKLRTVWWALCIFAISYVLTHTSKSMWTNVPMSILILAFLRYLSFKVEFRWREQPVRKQTYLSQASKRQLSANDHRLSTVPPVSRWRRKVGSPSVEAAFESFIENILRDFVLDLWYSDITPDREAPELIHGLVLHALGEVSGRVKEMNLVDMLTRDMVDLIGNHLDIFRKNQTLIGVDVMRTLSSEERDERLKQHLIVSQELHPALLSSEHEYKVLQEIVGDIMALVLRPQDAQSPLVRCFSRELMTCLVLQPVMNFASPELVPYKGGSQGCQMESRNLTVEPSSLIPPNNSGMRSLVTSECGKSKMSEDDNDSTIQPRQPDWAVVLDAATKRRSEVLAPENLENMWAIGRNYQKKMIKVDQPSRLKGYGGSDNSPSAGAVAKELSSNINKRIASVDDKYMVNLMQSKNRNAQSTFVTGSHPLALQNTNEVKPKEGSQVHFSSKEKPHETSNSVKAQLKRSNSTPDIEKRYLAKSNQPMVPSERLNVRKNQDERGAGPASHVEVLMHVPKIRCRVVGAYFEKLGSKSFAVYSIAVTDADNKAWFLRERNIYRNFERLHRQLKEIPNYSLHLPPKSFLSSSVDDYLVHQRCILLDKYLQDLLSIANIAEQHEVWDFLSASSKNYSAGKSTSVMKTLAVNVDDAMDDIVRQFKGVSDGLKRAVGTSPSSATAHFADNRMPLSWNQEEKDNHNLHQRNLESAHSLSDGDSNCEDHTSSMNSGCHSDNEVNNRGHTSNDVKHIETYSSLDKQASDQIGKPTRAYSDSSNMSSLNTFEDPTGIPPEWMPTNVSVPLLNLVDKAFQLKRRGWIRRQVLWISKQILQLVMEDAIDEWIIRQINWLRREDVIVQGIRWIQDTLWPNGIFFTKLDGYKGNAGTSQFDKQSFGSPNQAVGNKKSTSSFELQLEASRNANEVKKLLLDGTPSTLVSIIGYKQYRRSARDMYYFLQSNVCIKQLAYAMLEQVLVTVFPELRQLIDDIHEKGRKEQASFTYQL >Sspon.06G0020630-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6B:10479077:10479481:1 gene:Sspon.06G0020630-1B transcript:Sspon.06G0020630-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVQELLLAVRRGDDAVAQVKAYFQITKKVHKQFKKVCKKTTSDEKDCRVIKLLAEARLVATSVLEHTSCLLSKQIEMPKRSLVSKTFQKGRVVCDEEQLQALECSIRDLESGAELLFRRLIQCSVSLMNILSS >Sspon.07G0006020-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:15675229:15675492:-1 gene:Sspon.07G0006020-1A transcript:Sspon.07G0006020-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATETIQVPAPAMPAVAGRAATTGGGARGSSSRAGLPPPPRRGQIKGKILKDVLAAVSALTVGLVKNSRADGDGEEGLPASDDASDK >Sspon.02G0017170-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:48750783:48754643:1 gene:Sspon.02G0017170-1A transcript:Sspon.02G0017170-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAMGAMAATSLAPILATATFPGDLGLGRRRAAVSGWRAGGRRLRASPPARRPFLFSPRGVSDSRSSQTCLDPDASTSVLGIILGGGAGTRLYPLTKKRAKPAVPLGANYRLIDIPVSNCLNSNVSKIYVLTQFNSASLNRHLSRAYGNNIAGYKNEGFVEVLAAQQSPENPNWFQGTADAVRQYMWLFEEHNVMEFLILAGDHLYRMDYQKFIQAHRETDADITVAALPMDEQRATAFGLMKIDDEGRIVEFAEKPKGEKLRSMMVDTTILGLDPERAKELPYIASMGIYVFSKDVMLRLLRENFPAANDFGSEVIPGATEIGLRVQAYLYDGYWEDIGTIEAFYNANLGITKKPVPDFRYLPPSKVLDADVTDSVIGEGCVIKTENDKKVLSETGGIPIGIGKNAHIRKAIIDKNARIGENVKIINFDNVQEAVRETEGYFIKSGIVTVIKDALIPSGTII >Sspon.06G0017520-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:77525779:77527437:1 gene:Sspon.06G0017520-2D transcript:Sspon.06G0017520-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SSHSGSEDDGHAAPLLTPCPESAVPRCRWWKWNLYPFACATLASMTTVLMGYSFAVMSGAELFIREDLGLTDAQTEVLTGCMNLYMLLPILAAGWAVDLLGRRGALLLANAFLVAGALSMSLGRSYAALLAARFVTSVGVGLSLVVAPVYNAEISPASTRGVLCSLLDVFINAGILLGYVSNYAFADLPVHLGWRVMYAAGVLPPVLVAAGVLAMPESPRWLAMRGRYAHARAVLSRTSDTLAEADLRLEEIKRAASVTAVPGSTRDDNGTWTELLVRPTAVVRRILVCVVGLQFFQEASGIEAVVLYSPLVFKRAGMSSSSDRAVLGATVSVGAVKTLSILVATSLSDRLGRRPLLLASTAGVAVAMASLAASLWLGATSACVASVLAFVVAFSVGFGPLVPAYGAEVLPLRLRAQGTSVGTAVGRLVSAAVSMTFISLAGAITMPGCFLLYAGVAAAAFVFVYTRLPETRGRSLEDMVVLFAK >Sspon.01G0018880-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:71187224:71202696:1 gene:Sspon.01G0018880-2C transcript:Sspon.01G0018880-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARRQAAVLVLVLALVMGAGWAPGPRGCAAQGEAVVVASYGEGRLSLKPYDWTYLRVEFPPSFSSVTMDFAADIDLHREHLKGIPRSELAIICLMNSNPPIPDISDSYLDNLLSNSLPAGPFGNSNIINQPNLVQCIPFQKNTTVVLTNDQISPGVWYIGYFHGLGPARTQSKMISRGKARVVSTRITVRGCPTSAFWGPYCNQTVDMIGCSQPSIDNNSRNLLDLNVERNSLYTREHNRRINILSQPNHLIEQEVASNVTALVRMENSISCSISNDSLCIRQGDMKFYFLDVVNLALQFEVTATNFGALGPSLICYLSSPNIGRWYIAIEFVNKTQMNVTASPPVVDTTCFSLKWQLTGCLNGKTGTNCSWEAYGLQRVPKRSPSVPFESYYVPTDERASLEDSHFYLEQFLSNSSHEQFAWTYFFLDIPQGSAGALIHVQLKSDKELNYELYSKYGGLPSNDTWDYYASRTSSSNGSNSTISDMDLSIFYAKEGTWCFGVKHPSDTANSQTYMSVSLQGCHRNCNQKGVCHSSVDESGLTFYSFCTCDRDHGGFDCSDELVSPNGHIWQSVFLIASNAAAILPAFWALRQKFLDFWLSFMAVVGTFIYMATIDEASKRAMHTAVWPNFRTLFQNTLEMLNKRFRWIFLLLGFMTLSFAAISWKLESNSNYWIWHSIWHITIYTSSFFFLCSMRVSTRNLSAESNHELTRQDSLPRSEPRET >Sspon.06G0015330-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:84480984:84485267:1 gene:Sspon.06G0015330-1A transcript:Sspon.06G0015330-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AMASLAVKLNSILKPRALESMHAFLEKLSAVHDPDAQVKTWTKEVRELAYDIEDTMDEFMDRVDARSAGTGTANHGSSRGLLLGFASRVMRLVSTTWTHLRLANELKGLKARTIEVSERRSRYRFGEDIWVSRDHMVADPRINVLYADVPDLVGIDSTVTNIIDWLMDGTTSLKVLSIVGFGGLGKTTLAMEVFRRIGRQFSCRASVAVSQKLDVKKLLKDLLSQVAQEEVDRMDTWEEGQLICKLRERLLNDRYLIIIDDVWSKSAWEKVRCALPQNNCSSSRILTTTRIESVAKSCCSEPNDCIYRIEPLDESDSEFRVLRVLNLEGYQGFSENYLKEVSRLFHLKYLNLRRTWISSLPPQIGDLKTLETLDIRDTNIEELPGTITGLDQLKYILSGGHTWGKVKLPDGIGSMASLRAILGFDICRSSACAVQELGNLQSLEVAINWTDFTSGNVKHQEALMRTLGKLGTRNLQSFAVCSRNLGSLEFLDSWSPPPNRLQKFRLSAYYFLPRVPRWMAPLSNLIDLNINIAELTYEDIVILRELPSLLRLDLWLKSPQKDDTIVIHGVGFPYLKELFFSCEETCLLFEPASFPKLERLHTTIHVIRAKPYSHRFGIEHLKSLKQISIQVFCYGANASDIKDVDHAISTAVNSNPNHPRMNIEKRGMDLKLEERNKREHSKDKNVEKHPSKEDINHTDKKRRKLHIEEHHSASAR >Sspon.06G0009360-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:42089931:42094430:1 gene:Sspon.06G0009360-2C transcript:Sspon.06G0009360-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AUGMIN subunit 2 [Source:Projected from Arabidopsis thaliana (AT2G32980) UniProtKB/Swiss-Prot;Acc:O48767] MAAAQPKPAKRLGGMAEALAIAADLGFPAPTTQEDQSSSDKSDDLVKVLRELTVVQRNIANLQVELQGRKDDKNIAHLTHVSEMEKKCESLARITTILKDVIQNKVICVKSSCVCISQLIYISKIAAVVYQDRIIARLQQPYSLDCIPVEAEYQKQFSELLLKAASDYGALTASVGDFQWSQNFRESPAVWGKCCDRFLLHLLPAPETTCWPFVSVTDPKWLQRRFKVLDAASVERRLDADSWKQVEDINPESDGLADAMNQRRLSWPSIKRD >Sspon.06G0029510-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:24043799:24048419:-1 gene:Sspon.06G0029510-1C transcript:Sspon.06G0029510-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDPERLGSGGRARFWTQKQALFLKNLSFQVLMAPCTSKLSTELTWSHMRRNARANATIAAFPVLVCVLLFGMQNVVDMELGKPQFRCGCACVRRDTGTSACADTECGIQYSTPTQAPTCAVPTPQRWPALVQVPDAQAHQLWCQASGSEQDCPVTVLLTGINRQLSQGIIIIYTMLLISTQKQITPSWNNLGSSTPPAHVLYVEPAFAPDETLYVLQPQCLWNSGTVSATYNGLPLQYYVQCVQALPLWCDNSSVINHQLFNGYKGANEWGRSNEFLAGYDFLDTSMTSLQVYISYNSTFSRDDDDDDGSMTVLRVPRLVNMASTAYLKLLLGADAKMDLDYLKEMPKPETKMRMDLTPLLDPLFFTWVVQLLLPVSLFGYHHLHPLHKDKLNLYMSLPTIHSLPVTLLVYEKEHKLRLMMKMHGLKNAPYWLITYAYFLCLSTAYITLLVIFGLNSYGVQFIFYFTYINLQIVLAFLFSSFFSSTKTASVISYIYVFGSGLLADALFVYYIQDTTFPCNCISLSCFLNLEMLQMTTSMVMTHDKLLFNLFQINGL >Sspon.02G0051970-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:86666625:86667152:-1 gene:Sspon.02G0051970-1C transcript:Sspon.02G0051970-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRTFSFSCWWRRGQDNGDPPCLVALELPSPDPSNPTAALHTDQAGTTATAGAFVPLEPVRRASYHPPAPVPSTLHGVHHITRSAARAEDERDFIAMGAVVTAVIAIAAVVLGWITIEMACKPCLETGRRAMDRALDPNYDPDSPTNATAAAGASANEPLLADLSASTAPPAKAI >Sspon.02G0036320-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:24376199:24379759:1 gene:Sspon.02G0036320-1B transcript:Sspon.02G0036320-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMQQMQQDPWNAAAVGLLRPTKSAPCSPIKPAAAAMLRTHSDSFHVAHKVPVGDTPYVRAKRVQLVDKDPEKAIALFWSAINAGDRVDSALKDMAIVMKQQNRAEEAIEAIKSLRSRCSDQAQESLDNILLDLYKRCGRLDDQISLLKHKLQLIHQGHAFNGKRTKTARSQGRKFQVTLEQEATRLLGNLGWALMQKENYTEAEGAYRRALLIGPDNNKMCNLGICLMKQGRVLEAKDVLKQVRPAAVDGLRGADSHLKAYERAQEMLRDLETKLVGRPRADQLDTNWLFDALLLGSSSSIWQPQPCIDHLLPPPAPAPAPAPAPASAPAPVPAPRDRFADENASSIDENSGRRKSLSAEDRWPELPDHSAFDEALVAAVLGPVLDEEPTAAEGIGHGKLPASCDTSPAVKEKIGKRLRIFQDITQTVWLRSGRVDRSEGKYR >Sspon.07G0030720-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:10617974:10620470:1 gene:Sspon.07G0030720-1C transcript:Sspon.07G0030720-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTPVNGSDGTLLLTDDPDRRRHWHRDIVRGFLEAAAAGILVYMALVDILAEDFMSARVALNTSLLLGAGLMSMLAIWT >Sspon.07G0038380-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:78096448:78099147:1 gene:Sspon.07G0038380-1D transcript:Sspon.07G0038380-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ARRPTNQLQSVQPASLASSTGHAAELSAKAISCPCMSLCLGTMVTMKVLEECGVPSRYEEVMRRGPCASSCLGTIAHSGCHTGSHHAMHAHLQGSLPRELGRCNVQVVWLRARFHTGSATWRDGDTAAPRVDVTGPRWWPPARLPLGAASSRPSAAARGNPSCRVLAGRACGSALLRAALLLLPPRVEFHQPGRGKLPTGPTASPARVGPGARRAHPGAALWRPGNEVPTMATENLRHRPKAKGSGKNRCKSNQLAAWFLGFLDGST >Sspon.03G0001300-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:3198990:3204286:-1 gene:Sspon.03G0001300-1A transcript:Sspon.03G0001300-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKSHTRKAFLLCNYILLGAASSCIFLTLSLRLLPSPCGLLLLFLHALTAVFSAAGCSGSFTAPATPAQWHNAHTAGAALTAIFQGAVALLAFTRTSDFLAELQSYVRDEDGAVILKMVGGLGTAIFVLEWAALALAFSLRLDDEDDDDLHTKNWQSYHISTATQEKQPEHRQPLLENLREKRKCAYESTQMHQ >Sspon.05G0008620-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:17602555:17605872:-1 gene:Sspon.05G0008620-3C transcript:Sspon.05G0008620-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLAAACASASSPARRLSPSASTALPRRFLHSLLGAPTRPSPPPSPLLRRCFKFYRMAQYWTQPSLDRNKALVEYLKQYGDVRTDKVAEVMETIDRALFVPEGTPYVDSPMPIGFNATISAPHMHATCLELLKDHLQPGMHALDVGSGSGYLTACFAMMVGPEGRAVGIEHIPEIAASSIENVQRSAAAPLLRDGSLYFHVTDGRLGFPDAAPYDAIHVGAAAPEIPQPLLDQLKPGGRMVIPVGTYFQDLQVVDKNTDGSISIQNDASVRYVPLTSRSAQLQDP >Sspon.02G0032520-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:113068298:113073910:-1 gene:Sspon.02G0032520-2B transcript:Sspon.02G0032520-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:uridine kinase-like 3 [Source:Projected from Arabidopsis thaliana (AT1G55810) TAIR;Acc:AT1G55810] MGSKSVDEVLDSAAAAGVHLSALHLDGLNRIQGSASSEEQPTTSGLENGHQEPFVIGVAGGASSGKSTVCKMIIDQLRDQRVVVVTQESFYYGLTDEELVHVHDYNFDHPDAFNTELFLSCIQNLKRGKAVDIPEYNFKTYKSVPDARKVNPSDVIILEGILVFHDSRLRDLMNMKIFVDTDVDVRLTRRIRRDTIDNGRDIKTVLDQYSKFVKPAFEDFILPTKKYADIIIPRGGDNNVAIDLIVQHIRTKFGQHDLCKIHPNLYVIQTTYQVVEHGLGHLPFQEKQVITPTGSVYTGVEFSKSGESMENALRACCKGIKIGKILIHREGDNRQQLIYQNLPKDIANRHVLLLDPYWEQVKSVLIRNSAVQAISLLLKKGVQEANIIFLNLISVPQGVHMVSKRFPRVKIVTSEIEFGLNDDFRVMPGM >Sspon.08G0010670-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:46992874:46993770:1 gene:Sspon.08G0010670-1A transcript:Sspon.08G0010670-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVRCDFGLWLVVANDTVASRRTAYVTFKDPKALEIALHLSVWIQIMMGDI >Sspon.03G0040700-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:31753983:31757224:-1 gene:Sspon.03G0040700-1C transcript:Sspon.03G0040700-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAEKARVVVIGGGPAGSLFAKTMQGHADVVLVDPHAGGGRSSSEWVSEARARQPALHLRLYATWIFTWASVHLFSMESALSIAVV >Sspon.01G0051970-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:54422860:54424290:-1 gene:Sspon.01G0051970-1P transcript:Sspon.01G0051970-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIINEPTAAAIAYGLDKKSSSDGEKNVLIFDLGGGTFDVSLLTIEEGIFEVKATAGDTHLGGEDFDNRLVNHFVQEFKRKNKKDITGNPRALRRLRTACERAKRTLSSTAQTTIEIDSLYEGIDFYTTITRARFEELNMDLFRKCMEPVEKCLRDAKMDKSSVHDVVLVGGSTRIPRVQQLLQDFFNGKELCKSINPDEAVAYGAAVQAAILTGEGNEKVQDLLLLDVSPLSLGLETAGGVMTVLIPRNTTIPTKKEQVFSTYSDNQPGVLIQVYEGERARTKDNNLLGKFELSGIPPAPRGVPQITVCFDMDANGILNVSAEDKTTGQKNKITITNDKGRLSKEEIEKMVQEAEKYKAEDEEHKKKVDAKNSLENYAYNMRNTIRDDKIASKLPEADKKKIDDAIEGAINWLDNNQLAEVDEFEDKLKELEGICNPIIAKMYQGAAGPDMAGGMDQDAPPAGGSGAGPKIEEVD >Sspon.01G0039360-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:30200578:30208007:1 gene:Sspon.01G0039360-2P transcript:Sspon.01G0039360-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPRRAPPRGGGAGANGGGGLSYSTLFNLEPLLNFRVPVPEDIARYGNSSSNGSPSSEGQGSLLDQYNGVNDASHGLHRKRKRHLDGASDDDEAEAYSNKITEEHYRAMLSEHVQKYRRSKFKEGAFSSDPPRVATPQIKHKNGGKKTMKHSSDFRDVATLDGIEASHEYNGIECVKTHGGFNKLVASLDSTYLDMGDNIRYLVPEGYDKLASSLNLPVSSDIRVEEHFLKGMLDLRTLAAMLGTDQRFEASNRGGLSEPLPQFESLKERIKVQKFSLQVTEDPFAIPEGAAGRIRRSIISEAGNLQVHYVKVLEKGDTYEIIERSLPKKQIVKKEPSVIVKEESEKTYKLWQSLATKSIPKHHRNFTALMKKRQVDAKRFSDSCQREVKLKVSRSLKLMRCAAIRTRKLARDMLIFWKRVDKEQYELRKKEEREAAEALKREEELREAKRQQQRLNFLLSQTELYSHFMQNKAGGSAPPDEEDVPDEDEEEDPEEAQLKREALRAAQHAVSQQKMKTNAFDSEIVRLRQTSESVLPTDDSSSMDPSKIDLLHPSTMPEKSSVQTPELFKGVLKEYQLKGLQWLVNCYEQGLNGILADEMGLGKTVQAMAFLSHLAEILPYWGPERMVLRKNINPKRLYRRDASFHILITNYQILVNEEKLLRRVKWQYMVLDEAQAIKSSSSQRWKTLLSFNCRNRLLLTGTPIQNNMAELWALLHFIMPTLFDSHEQFNEWFSKGIEGHAEHGGALNEHQLSRLHAILKPFMLRRVKIDVIAEMTKKKEEIVPCKLSSRQQVCNHPELFERNEGSSYFYFADIPNSLLSPPFGELQDVHYAGKRNPIIFEIPKLVYEGIICNTENSGNICGFQNGYLNRLFNIFLPSNIHCSAIPEVNSSDESVLSSGAFGFTRLSNLCPVEASFLATASLFERLVFSVMQWNRNYTDEIMDAFLDSEDPNIQSSQNDSTKVRAVARLLLSPTKAKPSLLRTKIGTGPSDDPYEALINVWCADRNFAYKFTDEMHDPWQETVPGFARTSEFNGPRKPVALHPLIQELNTDLPILEPMLQLPYRIFGSSPPMSNFDPAKMLTIRLN >Sspon.06G0017690-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:78366458:78367015:-1 gene:Sspon.06G0017690-2D transcript:Sspon.06G0017690-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MANHRAAAALLLIASLFVAVTISRADARPTVHPNKMVALRRDAHGQGYVAEAAAPPEPMSEPTCDNCEKPKPEPERKPKPEPERKPELTCKKVHGVQAGETCCSVGEGAGLTQDQFLGFNPNLCCEKLFVGQWVCLEATSGCHD >Sspon.01G0051800-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:593835:596021:1 gene:Sspon.01G0051800-2D transcript:Sspon.01G0051800-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPADPPGSAIKTASLLSSVLPRGGLLNPPCRRRSTPHPSRAPRLPLDFPGRQTSQLSVSRGVTAPADAVPHKTGPPTHPPPQFPSPPVVSPPPNPDSKPAEFDLGISAPRSPKSPGSESVRPREFSGLIWSGSSISGMESSPVSYWCYSCNRFVRVSPSTVVCPECDGGFLEQFTQPPPRGGGGSGRRGTMNPVIVLRGGSLSGFELYYDDGAGEGLRPLPGDVQHLFMGSGFHRLLDQFSRLEAAAPRPPASKAAVESMPSVTVAGGGAHCAVCQEAFEPGSAGREMPCKHVYHQDCILPWLSLRNSCPVCRQELPAAATPDAEADAGLTIWRLPRGGFAVGRFAGGPREQLPVVFTELDGGFSNGVGPRRVTWPEGDGQVDGGEGRIRRLLRNLFGCFGQPASSSQSRSG >Sspon.06G0013330-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:57541268:57542410:1 gene:Sspon.06G0013330-2B transcript:Sspon.06G0013330-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLAGVIARLPFPGDRARFAAVCRAWRSAARQLPSHLPWIVFPSGTFCPAGQGAAFFSIPGLPEDATCLGAAHDGWLAIDCTDDVVRRTTNADRWCKDTGEALIYPRRNVKHKHTYLLYNAFSNVTVPLPELDAVVGYMAETFNIRKVLMRSPAPDDFIAITTNNCNYNIILCRPGKGTFMLPDYRIIDVVFLRDTLYGITSGEELLAFHLGEYEDGRPNVTRIELVIKNPLSRYYYGEFPWSWPQDVVDTSDNEVGQDNHNNGGKEVSDSSEEDEDLGDDAEEPNQEENDNDADEGYEEEEEDRNEDEELDDDELADQALEDIFNGDDGVPINTEPEEYDEAFMRYDEEVPYEPKDEILTSDLRAPMRASNGQRWYSK >Sspon.06G0011450-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:49229010:49232581:-1 gene:Sspon.06G0011450-2C transcript:Sspon.06G0011450-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPGGCLEVRLFYARPHPPRLALELRPAGGDGEAQAPAIPLPLRLDRHDAASGEATYVSTAAVRLAPPAAAFDVADHRGAALLRGSLRRCPGAKGGSPAWEIDCVPAAGAAASASAFEVYVAGCCAGEPAVLTHALRLATPEEAAGALVRPPIGGIGVMDLLAASNEGDNDMNTGSMQYPEGWYSDDDDGQLSWFNAGVRVGVGIGLGVCVGVGIGVGLLMRSYQATTRSLKRRFEFDRQPG >Sspon.05G0010670-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:24757095:24761215:-1 gene:Sspon.05G0010670-2C transcript:Sspon.05G0010670-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHEREPLLQQRQTGGVGNAAGAKGSSSAPAPAAAALPSLARTVLKFLMWAVFLTWAAGIFLYPTKPVQAVFKKWAGLTRESMFGMAGGIFLTFSAPILIIALLAYVYISFFPSEHVVEKKKLRSLSFRLWTFPVLVDGPFGVVSAVEFIGIVLFITFVVYSMTYYAVESVSLVSKFDLPSMTESELILDVIGARLGAVGLFCMLFLFLPVARGSVLLRLIDIPFEHATRYHVWLGHLTMALFTLHGLCYVISWSMEGRLREEMREWREIGVANLPGVISLAAGLLMWVTSLHPVRKRFFELFFYTHQLYVIFIVFLAFHVGDFIFSISAGAVFLFMLDRFLRFWQSRAKVDIISAACRPCGTVELVFSKPPGLRYNALSFIFIQVRELSFLQWHPFSVSSSPMDGKYHMSVLIKVLGTWTEKLRSIITDVQEKNRGDSELQCGRMTACVEGPYGHESPYHLMYENLILVAGGIGISPFLAILSDIIHRIEEGKQCMPKNVLVLWSVKKSKELSLLSAVDAQTISSSVSDKLQLDIQAFVTQESLPPLEDGIVGDDQKVPGMFVKNGSTMSGLVGTGDNFWAAAYFVASTLGFLLAYTLVHVYYVKPHNMMAWWYRGLLFMLCMVAGVALPGGLVVLLWHLSEKQRLEGDKWDAAAAASQSPRAEQTGPAAAAGGGDDDAIPSVSLAALRTTRYGCRPNFEGN >Sspon.02G0014070-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:37530301:37531693:-1 gene:Sspon.02G0014070-1A transcript:Sspon.02G0014070-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALDLNNPPPDDGEVLPDLNESPDDGEVLPDLNESPDDGEVLPDLNEQQAEDEANHLHIHHAHQYELVGVAITGGQIQIISPDVGAEAILDLNKQQAQDDVDLLQMDDAHQEDLIQAEIIGENSQIISPDGGGQAIYDLNVPAHDEEPFHVFVGDDDIPTAHEGISADGNAHFHVSGHLFDLNLDASYQPVNGDYYIPTMEEMHQYGAYANEMDIIFDQEELSDSSDENYEPTNANFRT >Sspon.03G0020310-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:63089272:63098369:1 gene:Sspon.03G0020310-1A transcript:Sspon.03G0020310-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVDAALLAIVVAFLLPLRLVSLGLRLASGRHSTSARHLRRSCAALAVATALLAVIFALPRDHARECAAPVASVPGGGDDDGVFREELRSEVEQLKLQLARLESVWDSKSKVLDEKLDPLEEENGRVMRAMELDIQSLMNEQENIKESLCSSYFGDNIKAMENEVQILKDGSRKMNSDIWTVAKDTTEKVEALHSAIKKHQRQTPPPFLCRSGLIFALPRDHARECAAPVASVPGGGDDDGVFREELRSEVEQLKLQLARLESVWDSKSKVLDEKLDPLEEENGRVMRAMELDIQSLMNEQENIKIQESLCSSYFGDNIKAMENEVQILKDGSRKMNSDIWTVAKDTTEKVEALHSAIKKVQVIADEWVKANSTINRIWSFTKETEKRVEGLYSDLKKGFKQTKRKMPFWRD >Sspon.05G0021520-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:27051548:27052671:1 gene:Sspon.05G0021520-2B transcript:Sspon.05G0021520-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding EMLSRGLHGMASPDATPYFSGASSRRRSGADEVDDEEALQWAAMERLPSFERLRTGLMRAAADASPDGGTGSGRRRRYAHEEVDVRAMGLAQRQAFVDRVFRVAEEDNERFLKKLRARIDRAGIQIPTVEVRFQDLNVEAECHVGTRALPTLANVSLDVAEGLLGRVGVRLGKRRTLHILKGVSGVVRPSRMTLLLGPPSSGKTTLLLALAGKLDPTLEVSGEVTYNGYGLDEFVPQKTAAYISQNDVHDGEMTVKEVLDFSARCQGVGQRYGVLKELAKKERQQGIYPDPEVDLFMKV >Sspon.01G0007640-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:13083869:13088151:1 gene:Sspon.01G0007640-3C transcript:Sspon.01G0007640-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Superoxide dismutase [Cu-Zn] 3 [Source:Projected from Arabidopsis thaliana (AT5G18100) UniProtKB/Swiss-Prot;Acc:Q9FK60] MAGKAGGLKGVALIGGGANSTVAGALHFFEDPSTRYTEVRGKVTGLTPGRHGFHIHVFGDTTNGCNSTGPHFNPHNKPHGAPFDEERHVGDLGNIIANEDGVAEVFIRDLQISLSRPHSILGRAVVVHADPDDLGRGGHELSKSTGNAGARIGCAFLLHRNQMPGMRQLMTSKHQPATHPCDVRLQSQDTEHYSFAREKQTRTREQATSIRLKGR >Sspon.07G0001030-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:4718445:4723145:1 gene:Sspon.07G0001030-2B transcript:Sspon.07G0001030-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEDVVGKSRGDTAVTTIVNLAEEAKLAREGVKAPGHQILTIGKSLVAGGVAGGVSRTAVAPLERLKILLQVQNPHSIKYNGTVQGLKYIWRTEGLRGLFKGNGTNCARIVPNSAVKFFSYEQASKGILWAYRQQTGEEDAQLTPLLRLGAGACAGIIAMSATYPMDMVRGRITVQTDKSPYQYRGMFHALGTVYREEGFRALYRGWLPSVIGVVPYVGLNFAVYESLKDWLLQTNPLGLANDNELHVVTRLGCGAVAGTIGQTVAYPLDVIRRRMQMVGWNHADSIITGKGKEALQYNGMIDAFRKTVRHEGFGALYKGLVPNSVKVVPSIAIAFVTYEVVKDVLGVEMRISD >Sspon.01G0020490-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:73890849:73893489:-1 gene:Sspon.01G0020490-3D transcript:Sspon.01G0020490-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSKPWKRHGNLMRIFLSNALHMITEMTDEQMIAFTIHRVRASAVFLAAFPSLLRKYVKALLHTWARGRGAMPLVSFMFLRDLCIQVGSDCLDTCLKGIYKAYLVNCKLSKSISGSKLQHIQFLGNCVRELYSLDPQTAYQHAFVFIRQLGVILRGALTERGPKSAKDKRQKESSKSSKKQAEKSYQKIYDWQYIFCLELWTSVVCGCSSEEEFRPLAYPLTQIIHGVACLVPSARYFPVRLRCVRMLNRIAEATGTFIPVSSLLLDMLEMKELRGRPDGGVGKAVNLFSVKQVDKKTVKTRAFQEACIYSVVDELAKHLAQWSYSIAFFEMSFIPLVRLRSFCKTIKADRFRKEMKDLIYQIEANVEFIKLKRVGIAFSPNDPAIEKEERCSPLSKYVATLHQRAQDRMDALDETSVIVGADSSTFSRRLSEAQKQQDEQDDDEGTIAFSKNWLAENKKPKYVLPSLFFPLLGMENTLSYHCLVHRTPKENKKRPREEDDVATEEDRVEDLVLSSDEEDGNWFRSGDSDEDFVDPDSEYKKQKKAKLKKRNKRQPLPHKAPSRTKRNSHPKKKTRH >Sspon.06G0010070-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:42898426:42898776:1 gene:Sspon.06G0010070-2B transcript:Sspon.06G0010070-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIGFTVMLLSVSAGIHSGAGGFGLLIGFTGVLTGGILAAIGVRMADHPAPTIPLAFGGARALGAFVRRNTAAVGLVMASCAVTAVCGEADPVLCFGMFALLLLAVSLINIGARGE >Sspon.08G0002370-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:5362069:5363544:1 gene:Sspon.08G0002370-4D transcript:Sspon.08G0002370-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DNIFGGSATDAAESLLRAISPAIEYKVPWAAILGNHDQESTMTREELMTFMSLMDYSVSQVNPPGFLVHGFGNYHIGIHGPFGSELVNTSLLNLYFLDSGDREVVNGIKTYGWIKESQLAWLRATSLELQVRGLWYSGFKGQYQEGVACSSVNSGVLGTLISMGDVKAVFLGHDHLNDFCGNLNGIWFCYGGGFGYHAYGRPHWPRRARIINSKLKKGQWSWMEVESIQTWKLLDDEKLSKIDE >Sspon.01G0024130-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:86785123:86789719:-1 gene:Sspon.01G0024130-1A transcript:Sspon.01G0024130-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CAT1 [Source:Projected from Arabidopsis thaliana (AT4G21120) UniProtKB/TrEMBL;Acc:A0A178UYU0] MAGGSFAYLRVELGDFMAFVAAGNILLEYCIGGAAVARAWTSYFATLLNHQPSDFRIHASGLDANYSELDPIAVVVIALVCIFAVVSTKGTSRFNYVLSIVHIAVIIFIIVAGLTKADAANMRDFMPFGVRGIFSASAVLFFAYIGFDAVSTMAEETKNPARDIPIGLVGAMTLTTALYCVLAVTLCLMQPYSSIDADAPFSVAFSARGMDWAKYIVAFGALKGMTTVLLVSAVGQARYLTHIARTHMMPPWLAQVHPRTGTPVNATVVMLVATAIIAFFTDLNILSNLLSISTTTAANRNKLAACIVAILATSVATATCWGVNVHGWVPYAVTVPAWFASTVCLWAFVPQARAPKLWGVPLVPWLPSASIAINVFLLGSIDSKSFMRFGYWTAALLVYYLFVGLHASYDTAKALAAESAIAKVEDGDGKPARGAVHIGEY >Sspon.04G0007880-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:22239767:22251704:1 gene:Sspon.04G0007880-3C transcript:Sspon.04G0007880-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNAQSENRTDTKQEDDVRQSKQDDETINDEQKDGLMDELKSVNLSKFVSEAVSYICEAKLRSADIQAAVQVCSLLHQRYKDFSPCLIQGLLKVFFPGKSGDDLDADKNSRAMKKRSTLKLLIELYFVGIVEDASIFVNIIKDLTSAEHLKDREGTQTNLSLLSTFARQGKFFLGLQSHGQEAYDEFFRDLNVTAEQKKFFKKALNSYYDAVAELLQSEHASLRLMEAENAKVLSAKGELSDENTASYEKLRKSFDQLLRGVSSLAESLDMQPPVMPDDGNTTRVTTGTDALPSSGKESSALEPIWDDEDTKAFYESLPDLRAFVPAVLLGEVEPKSNEQHAKGREQSSESTSEQDTELHDNVQNSATEHQLEVKVDDVVKESEDKDKEKGKDGEKEKSKEKDLDKKNEREKEKGRALDGASLDNLLQRLPGCVSRDLIDQLTDQINIETKIKNIRFIGELCKFKMAPPALVFSCLKACLDDFSHHNIDVACNLLETCGRFLYRSPETTIRMANMLEILMRLKNVKNLDPRHSTLVENAYYLCKPPERSARISKVRPPLHQVHKGKYSQVHLIALLTASLSRYHDDFAVAVVDEVLEEIRVGLELNDYGMQQRRLAHMRFLGELYSYKHIDSSVVFDTLYLIIVFGHGTPEDLFGELRPNMSRYSSIEELVAALVELEENERSAPVEKIENERHSDTESQKRQPRDAGPSLNGESAANGIEENGKDHEVADSESYSDSGSIDGREEEEDILSEDKSNDGSDNEGDDEDDGIPVGSDEDENVEVRQKVMKVDPKEQEDFDRELKALLQESLESRKSEARSRLPLNMMVPMNVLEGSKDSRATESESGEETVDEEGGNAGSSSKVRVKVLMKKGHKQQTRQMLIPADSSIVQSTKQQEAAELEEKQSIKRRILEYNEREEEELNGASQIGNWGQGATNTSSIRSGGRGSWDGSTRGGGRQRHHIAGSGGFYHSYGRRR >Sspon.01G0013030-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:55791387:55803559:-1 gene:Sspon.01G0013030-4D transcript:Sspon.01G0013030-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLTRAAEEDPAAAEEEWSDEAVIYVNGVRRVLPDGLAHLTLLQYLRDIGLRGTKLGCGEGGCGACTVMVSCYDRITKKSLHFAINACLAPLYSVEGMHIITVEGIGDRQRGLHPVQECLAKAHGSQCGFCTPGFVMSMYALLRSSKQPPTEDQIEDCLAGNLCRCTGYRPIIDAFRVFAKTDNLAYTNSSSENANDQAICPSTGKPCSCRNETDINVNESSLSSSVERYSPYSYNEIDGSAYNERELIFPPELQLRKVMPLKLNGSNEIKWYRPLKLKQLLHLKSCYLDAKLIIGNSEVGVETKFKNAQYKVMISVTHIPELNTLDVKEDGIHIGSAVRLAQLQNFLKKVIAERDLPETSSCQAIVRQLKWFAGTQIRNVASVGGNICTASPISDLNPLWIAVGAKFQIIDVNSNVRTTLAKDFFLGYRKVDIKPEEILLSIILPWTRPFEYVKEFKQAHRREDDIALVNAGMRVYLQESEGNWIISDVSIVFGGVAAVPVSASRTENFLNGKKWDSGLLDDTFNLLKEDISLSENAPGGMIEFRRSLTLSFFFKFFLSVTHEMKVKGLLEDGLHADHLSAVQPYSRPVTVGTQSYELVRQGTSVGQPMVHMSAMLQVTGEAEYTDDTPTPPNTLHAALVLSKKAHARILSIDDSLAKSSPGFAGLFLSKDIPGVNHTGPVIHDEEFFASDIVTCVGQIIGIVVADTHDNAKTAANKVHIEYCELPAILSIEEAVKNGSFHPNTKKCLVKGDVEQCFLSGACDRIVSGQVHVGGQEHFYMEPQCTLVWPVDSGNEIHMVSSTQAPQKHQKYVASILGLPQSKVVCKTKRIGGGFGGKETRSAIFAAAASVPSFCLRRPVKLVLDRDVDMISTGQRHSFLGKYKVGFTNEGKILALDLEIYNNGGHSLDLSLAVLERAMFHSENVYDIANIRINGQVCLTNFPSNTAFRGFGGPQGLLIAENWIHHIATELQRSPEEIKELNFHNDGVVLHYGQLLQNCTIGPVWDQLKASCNFVEARKAVNSFNSNNRWRKRGIAMIPTQFGISFTTKFMNQAGALVQVYTDGTVLVTHGGVEMGQGLHTKIAQVAASSFNIPLSSVFISETSTDKVPNASPTAASASSDLYGAAVLDACQQIKVRMEPIASKGTHNSFAELAQACYMERVDLSAHGFYATPNIGFDWTIGKGTPFNYFTYGAAFAEVEIDTLTGDFYTRTADIVMDLGFSINPAIDIGQIEGAFIQGLGWAAMEELKWGDDNHKWIRPGHLFTCGPGSYKIPSVNDIPLNFKVSLLKGVPNPKAIHSSKAVGEPPFFLGSAVLFAIKDAIFAARAEEGHLGWFPLDNPATPERIRMACVDSITKKFAGIDYRPKLSL >Sspon.07G0008990-3C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7C:20658580:20659381:1 gene:Sspon.07G0008990-3C transcript:Sspon.07G0008990-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDEVQQLTRAFSGLGGLGVDEPTMVSALARWRKQPEKRSGFRKGFPGFFTSHGEIDRCEEEYMLHLAAEFARFKNLMVLWAMHPWERDARLAHHVLHQQHPAAIVVEVACTRSADELLGARRAYQALFHHSLEEDVAYRARDKPYCSLLVGLVSAYRYEGPRVNEEVAKAEAKALGAAVKSAAAGGGAGKKLVENEE >Sspon.02G0014890-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:39962519:39963729:1 gene:Sspon.02G0014890-1A transcript:Sspon.02G0014890-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTKTPQVQPPPPPTEGRFEIIINNDTIRTLDLSPVQEALGDLSSLTAGSQSYLEHHTIRFNWHSRVSDSRTLLDRTVGFTINYEREDEYDTRELSEFPDIRLWFVRLDAAYPWFPVVLDWRAGELARYTAMLVPHQMSMRMGVVFNPEALELFVMKKVFAVETWLKQQNHPKPRLKTADMARMLGFGVGDELFELIEKYPVHRS >Sspon.07G0031800-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:41222235:41227606:-1 gene:Sspon.07G0031800-2D transcript:Sspon.07G0031800-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAPAPLSLSASTLPARLRAGAVPAGTRWRQPRRGRMVVRAKIREIFMPALSSTMTEGKIVSWSAGEGDRVSKGDAVVVVESDKADMDVETFHDGIVAAVLVQAGESAPVGAPIALLAESEEEVPLALAKAQELSNGQPQQAPPAPTEDAAAAPPPPPAPAAASAAVAAGTRGIASPHAKKLAKQHRVDLAKVTGTGPYGRITPADIEAAAGIQPKSKPAPAAAAPPPVAAPSVGAVPQAAVLPPVPGATVVPFTTMQAAVSKNMVESLAVPAFRVGYPIVTDKLDELYEKVKPKGVTMTVLLAKAAAMALAQHPVVNASCRDGKSFTYNSNINIAVAVAIDGGLITPVLQDADKLDIYLLSQNWKDLVKKARAKQLQPNEYSSGTFTLSNLGMFGVDRFDAILPPGQGAIMAVGASKPTVVADKDGFFSVKSKMLVNVTADHRIVYGADLAAFLQTFAKILEDPESLTFFLLVWIFWKMANGIKHMG >Sspon.07G0027780-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7C:62996794:62996972:-1 gene:Sspon.07G0027780-2C transcript:Sspon.07G0027780-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GDGGEEALPDRHRHTAYIHRHVRRVQGSLRPWYQHLRLHLLQNGRRLAPPAACRHYPRK >Sspon.03G0016090-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3A:51299798:51299992:1 gene:Sspon.03G0016090-1A transcript:Sspon.03G0016090-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLLPFLYKAILHLANGGQTPIGNPFRNESPPESPAPYYVRLAAGADVPAFLSSAARGYYDRG >Sspon.03G0000770-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:2316370:2327449:1 gene:Sspon.03G0000770-1A transcript:Sspon.03G0000770-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKSSALEYINQMFPTEASLSGVEPLMQKIQSEIRRVDASILAAVRQQSNSGTKAKEELAAATNAVQELMHKIHEIKTKAEQSETMVQEICRDIKKLDCAKRHITTTITALHRLTMLVSAVEQLQVMASKRQYKEAAAQLEAVNQLCSHFEAYRDVPKITELREKFKNIKKILKSHVFSDFSSLGTGKETEDPMLLQQLSDACLVVDALEPSVREELVKNFCSKELTSYRQIFEGAELAKLDKTERRYAWIKRRLRSNEDTWKIFPPSWHVDYLLCIQFCKITRAQLVDILNNLKEKPDVATLLLAFQRTLEFEEELAEKFSGGTTNARNKETASDDEDEGGEHNKIVSDIRKKYEKKLAGPSDEAEQDKDKQKDLSVPGAGFNFHGIVSSCFEPYMTVYIELEEKSLVDQLEKLVQEERWETEEGSQTNILSSSMQVFLVIRKSLKRCSALTKNQTLFNLFQVFQRILKAYAAKLYARLPKGGTGIVAAATGTDGQIRTSDRDERMICYIVNTAEYCHQTSGELAENVAKMINPQFADKVDMSEVQDEFSVVITKALMTLVHGLETKFDAEMVAMTRVPWATLESVGDQSDIPVLGTLLSPTYFQYFLDKLAASLGPRFYLNIYKCKHISETGAQQMLLDTQAVKTILLDIPALGKQSTGAASYSKFVSREMSKAEALLKVILSPVDSVANTYRALLPEGMPLEFQRILDLKGLKKADQQTILEDFNKHAPAPAPAIKHPVVAPTVAPPVATASVQIVPSVATPAVSITPSMASLKGALANQEDVLARAAALGRGAATTGFKRFLALTEAAKDRKDGPFRKLFNA >Sspon.04G0031610-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4C:14667782:14668183:1 gene:Sspon.04G0031610-1C transcript:Sspon.04G0031610-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSTGRRDGEFSRIPVTFPDRRLRIDDDDLGPARRHDSECRRRIDGRPRARYGELSACCRAQQPKIETRQWKSNRFLNNPFRAIYETVGGKFNPGRLMRIPETETTEQLRRGGADRADTAPRLSPLSPVPER >Sspon.04G0025200-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:28993886:28999849:1 gene:Sspon.04G0025200-2C transcript:Sspon.04G0025200-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTMRGALERAKMLVGMEVDEESALPPPEEQSFFDDINRHCTLNTTQASTDPSAHPRLYGFAICLAAGLTCTFLSMIVFFNPVKFGVTFTLGNLMALGSTAFLIGPKRQFDMMLDSVRIYATAIYIASIIVALFCALYVHSKLLTLLAIILEFGALVWYSLSYIPFARSIVSKVMTSCFDTDF >Sspon.03G0018120-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:79828658:79834008:-1 gene:Sspon.03G0018120-2B transcript:Sspon.03G0018120-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKIFGAKKDKGPPPSIQDATERINKRGETVDEKIKKLDEELARYKEQIRKTRPGPSQEAIKARAIRLLKHKRMYEEQRNMLYNQTYNLDQVAFAADGLKDAQQTVMSSNLSSSKFTRVHNAHMNAMKAANKELKGMMKTVKIDEIDNMQDEMTDLMDVSNEIQETLGRSYNIPDDVDEEELMGELDALESDMEFESAAVPSYLQPESDFDADLNLPAAPTRPAAVPAGGLQEDELGLPAVPRASLRSCRYCRGFSAVLPAKARPAARSTVVARVPATRRSVRAAPPPPSWRSLRRVFPMEACDLLGGDACIGEMYPEAKLAAAAPEASRRVDGVERDYLSYDDPKTVFPGEACDDLGGEFCEAPYLDGVSRELAHA >Sspon.01G0025140-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:89076493:89077956:1 gene:Sspon.01G0025140-3C transcript:Sspon.01G0025140-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFYNYYISELFAPRPVSDGPSISGKHDYLPEAGCLSWGYVDDHCNGLVLVHNYPDSDWYGPLPGPADRNNFRRILVNIRRNSELWCRYVLNPATRWRARLPPCPPPPMEIKRTFQSEYLAYDPADSPYYEVVSVTRFHFVHKPRHCFYESSRDTLDPEIEQSEWPPSVYILNVFSSRAGQWEERSFAREGDSIGTVSGMRSDYPNDQRNAVYWRGALYVHCKTGCVMRISLSSDKYHVIKPPLGIEVKSGPEFYLGKSSKGIYCASIKGCRVQVWNLVESSYQMEWVLKHDRYLSKWFSKHKLEYSRPCANYGRKIQGPWASQDINYYYNCRNRDHDMEEPTEEKIGWSSQASEDEKFTWSSDDECGSCSGYMEILGFHPCKEIIFLSESITRGLAYHLNSSKVEVLGNLYPAGYEKELGNKQVLQSSFPYTPCWLTQTADNRE >Sspon.07G0007790-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:21036800:21042242:-1 gene:Sspon.07G0007790-1A transcript:Sspon.07G0007790-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDGLWEEVIKGNGTLEIKGGPSFKVLHMDRNDYYGGDSTSLNLNQLWKRFRGEDKPPAHLGASRDYNVDMVPKFMMANGTLVRTLIHTDVTKYLSFKAVDGSYVFSKGKIYKVPATDMEALKSPLMGLFEKRRARNFFIYVQDYNEADPRTHQGLDLTRVTTRELIAKYGLSDDTVDFIGHALALHRDDRYLDEPALDTVKRMKLYAESLARFQGGSPYIYPLYGLGELPQGFARLSAVYGGTYMLNKPECKVEFDMEGKVCGVTSEGETAKCKKVVCDPSYLPNKVRKIGRVVRAIAIMSHPIPNANESHSVQIILPQKQLGRRSDMYVFCCSYTHNVAPRGKFIAFVSAEAETDNPQSELKPGIDLLGSVDEIFYDIYDRYEPVNEPSLDNCFVSTSYDATTHFETTVTDVLNMYTMITGKTVDLSVDLSAASAAEEY >Sspon.01G0001270-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:2826279:2831533:1 gene:Sspon.01G0001270-2B transcript:Sspon.01G0001270-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DEAD-box ATP-dependent RNA helicase 10 [Source:Projected from Arabidopsis thaliana (AT5G60990) UniProtKB/Swiss-Prot;Acc:Q8GY84] MVNGDAAVEAGGNGKQEPAALQASTFAELGICAELVEACDAMGWKEPTRIQAEAIPHALQGKDLIALAQTGSGKTGAFALPILQELLSNRQAEQSFFACVLSPTRELAIQIAEQFEALGSAIGLRCSVLVGGVDRVQQVLSIGKRPHIVVGTPGRLLDHLTETKGFSLKKIKYLVLDEADKLLNVEFEKSLDDILREIPKDRRTFLFSATMTKKVNKLQRACLRNPAKVEAASKYSTVDSLKQEFYFVPADDKDCYLLHVLNERQDSMIMIFVRTCESTRLLALMLRNLGLKAMSISGQMSQDKRLGALNRFKAKDCNILICTDVASRGLDIQGVDMVINYDIPMNSKDYVHRVGRTARAGRSGYAVSLVNQYEAQWFVLIEKLLGKQIDQRKVDRDEVMILKGPISDAKRIALTKLKDSGGHKKRRKVGDDDEEVEDHSYSKRPKSFKKSNRR >Sspon.02G0024090-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:87196514:87199162:-1 gene:Sspon.02G0024090-3C transcript:Sspon.02G0024090-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGAHEFDGSTFKECFSLSWRNPYVLRLAFSAGIGGLLFGYDTGVISGALLYIRDDFVSVDRNTWLQEMIVSMAVAGAIIGAAIGGWTTDRFGRRTSILVADFLFFAGAVVMASATGPTQLVVGRVFVGLGVGMASMTSPLYISEASPARIRGALVSTNGFLITGGQFLAYLINLAFTKAPGTWRWMLGVAALPAVVQFGLMLALPESPRWLYRKGRADEAEAILRRIYSAEEVEREIEELKESVAAEVRERGSSDKVSLAALVRTATVRRGLVAGVGLQVFQQLVGINTVMYYSPTIVQLAGFASNQTALALSLVTSGLNALGSIVSIYFIDRTGRKKLLVISLVGVILSLGVLTAVFHETTSHSPAVSAAETAHFDASLTCPSYRSSSSWDCTRCLKAAGSSECGFCASGAGKLLPGACLVSNNTVRDACHGEGRLWYTRGCPSRYGWLALLGLALYIIFFSPGMGTVPWIVNSEIYPLRYRGVCGGAAATANWVSNLAVAQSFLSLTEAIGTSWTFLIFGGLSVAALAFVLVCVPETKGLPIEEVEKMLERRELRLKFWAPRRHADGNVDGNGKESGKNSGV >Sspon.07G0022490-3D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7D:8875767:8876663:-1 gene:Sspon.07G0022490-3D transcript:Sspon.07G0022490-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AQRKGAAGSVFKSHTHHRKGPARFRALDVGERSGYLKGVVTDIVHDPGRGAPLARVTFRHPFRYRQQKELFLAAEGIYTGQQIYCGRRANLSIGNVLPVGTLPEGTVICNVESRVGDRGALARCSGDYAIVISHNTDNGTTRVKLPSGAKKLLQSNCRAMVGQVAGGGRTEKPLLKAGNAYHKFRVKRNCWPRVRGVAMNPVDHPHGGGNHQHIGHASTVRRDAPPGAKSGQVAARRTGRRRGQAAVTAGKSML >Sspon.03G0005970-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3A:16663328:16664489:-1 gene:Sspon.03G0005970-1A transcript:Sspon.03G0005970-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFTDKEHRGPFEYSEDLTSLTVGAEDPENVISAQRYQENDNDLLLSTSDKEDNGTRASNGSSTSTYNAPSDLISPSLISSQTPAETSLINTDGPTYSSQSNFSLDDLLGLGVTEAPAPPPPPALTLNSKPVLDPGTFQRKWGQLALALSQECSLSPQGAASLMNPQSLIRHMQSNYIQCIASGGQPPNYKFFFYAQKDGATAFFLVECIVNTASAKAQLKIKADDGTAAEAFSTLFQSALSKFGLS >Sspon.02G0017530-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:50854442:50857636:-1 gene:Sspon.02G0017530-2B transcript:Sspon.02G0017530-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRGGSHGELGQRIDYVFKVVLIGDSAVGKSQLLARFARNEFNLDSKATIGVEFQTRTLNIDARTVKAQIWDTAGQERYRAVTSAYYRGAVGAMLVYDITKRQSFDHVARWLEELRGHADKNIVIMLIGNKSDLGTLRAVPTEDAKEFAERENLFFMETSALESINVENAFMTVLTEIYRIVSKKNLVANEESDSSGDSSLLKGTKIVVPGQEPPPTSKATCCMSS >Sspon.02G0002170-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:6993049:6999937:1 gene:Sspon.02G0002170-1P transcript:Sspon.02G0002170-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEDGATMHVLRRVLDGLQCLHPRRRRRHGGTGPPKQPRVAVRRFGTSKATTPCRADGGAEGGGGREVTIRVATFNAAMFSMAPAVSGDAAPAPAAGAGAGLPGSPRRPPKGILKAQAAASLARSPSKARVSINLQDNEISLERTRLWRGAGGKRQQQPPRPRRRSVEEVLREAGADIIGLQNVRAEEERGMRPLSELAEGLGMRYVFAESWAPEYGNAVLSRWPIKRWKAHRVADQSDFRNVLRATIEVPDAGEVNFHCTHLDHLDERWRMKQVDAIIRSGDGPHILAGGLNALDGTDYSADRWADIVKYYEEIGKPTPKVEVMQYLKAKQYVDAKDFAGECEAVVVVAKGQDVQGTCKYGTRVDYILASPNSPYKFVPGSYTVVSSKGTSDHHIVRVDVAIPQIREPDGETTANGKRRVVKMNKKSSRKGIWGAK >Sspon.06G0032170-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:79699799:79702404:1 gene:Sspon.06G0032170-1C transcript:Sspon.06G0032170-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAAAQAEAEEELRLELEAVAAVYGDDVRVLRDLPPHLVVHVRPRTADDSSQQFVELFLGIKASSQYPKEPPHIYAVESKGLDENRQIYLITSIQNKAKELSNYPMLVTLCEVFIVFCFVYSK >Sspon.04G0002580-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:8903118:8906189:1 gene:Sspon.04G0002580-3C transcript:Sspon.04G0002580-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RGNLRPLTRVASFPFFPLLRAVRRLRLSLRPSVRPSSPASSLAPAAGIRRPVCVRLGLVPSASPRRVKGLISEKMVRSARARRHVARQLKSTHPIPSYRWKAKAMKKSNRKQASPALQKMDWEDANCSVCMEYPHNAVLILCSSHDKGCRPYMCGTSYRHSNCLDQFKKAYTKGALLEEVPANSIGTNLDSAPLTAEKTESIDLACPLCRGKVKGWTVVEPARSYLNGKRRTCMQDGCSFVGTYKELRKHVKLEHPLAKPREVDPVLEQKWRLLEIERERQDALSTITATMGRAVVLGDYVLDLEDGVDLEDVDSDADVDDGRGTENTRRMLLFIMRQVAQHHQNQRLQNVTGASDNAEDNYVVSSGANGTTPYSYPLEGEDENDMVVAGGRSTDVLRPERRRRRRRRNRGRLFLGAN >Sspon.03G0024370-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:74153467:74156449:1 gene:Sspon.03G0024370-1A transcript:Sspon.03G0024370-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding EMARASNCRSLFSSSLVLIFASLIYLLYPGCKFTYASDTLLPGQSLNGTHSLLSKNGVFRLGFGFNQFGIWFDNSNYFPVWEPVVGFNYYVDISSFSLSEDGILRVNDGPNTLPWCSDYPVNTSLSVVAVLLDNGNLVIRDQSNISRVLWQSFDSPTDTLLSGGRLGYNGTRNRYLTYHDGDGRLIGALQLDSTRRQGFIITYFGNDSNLAGTFPTWVDIREEEGYGVLTFNDAHTYMRFDAEYGNIQIAKLGNGYSTLWSMPESACGVGSYCGPYGLCKIWTGSCMCLDGFEKGSRNYEGCLRKEPLNCESSSTEQEVTFYPVNNIYGFPHNPLSLEIETMKECESYCSRNCTCTAFAYNATCLLWYQELRNTAFSKSGLNWHSIYVRIATKQQKPGSTAIKEVIVPSMLGMLALIAIGSILLCRCIRKLPKGRVVVENRSLTVFSIAQIKNSTKRFSEKLGEGGFGCVFRGMLPGCTFVAVKKLKGLRQEDKQFRAEVQTIGMIQHINIVRLLGFCAEDSGRFLVYEYMANGSLSNHLFSKSSSKLSWEQRNSEKIKEGRFTYFPSYVAVKVNEGDDVMCVLDSRLEGNADAEQLERACRVACWCIQDAEDHRPMMGQVVHMLEGVMDVQVPPVPRSLQNYVGMEDSTSADFYFSEVSVWRMAEQGKASPTALELVIHSQEE >Sspon.02G0003470-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:10159280:10163560:1 gene:Sspon.02G0003470-2B transcript:Sspon.02G0003470-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AMPTLGIAPLLDAYFRRRFAAAGLLEATVPLDGGATTVHCWRFPPGPGAAADGEDDARPVLVLLHGFGPPATWQWRRQVGPLSRRFRLIVPDLLFFGGSSTSSAPGAGRVSEAQQAEAVAKLVVAVVAPAPARVSVAGTSYGGFVAYHVARLLGPGAVERVVIASSDLLKAADDDRALLRRGGAERVEDVMLPRSTEQMRRLLQLAYHRPRRFTPGFVLRDLVKEELIKGITLGNKDKFQLTPLPQEVLVLWGEHDQIFPVEKAFEVARKLGANARLEVLKDTGHMPQEEDPQRFNEAILNFLLPAPKS >Sspon.02G0059340-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2D:92403916:92404378:-1 gene:Sspon.02G0059340-1D transcript:Sspon.02G0059340-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ARRTDLARTEGTAVTSVRSDATPAPRSLDKTTPPKAVTANTVPTDRIWPNKTYVLFYPLRDGIHDEGLDLETIQTGGSHDPNARYRGHQFHKPTGRSTTRGGYQLLYDALGNSEAMTKTMAEITSHRTAGEPPPCLQCSHGRHIAPCHTMLRS >Sspon.05G0011530-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:33197045:33198788:1 gene:Sspon.05G0011530-1A transcript:Sspon.05G0011530-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable plastid-lipid-associated protein 7, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G09820) UniProtKB/Swiss-Prot;Acc:Q5M755] MAATVLFTPAQCRRATATTSGRGGAPSHCALLLPPRHRRRARGSVRAVPPEQSSLPQAPAAAAAVYGSAGDVKAALYGALEGANRGIFGMTSAKRSEIHGVVELLESRNPTPEPTAKLQDKVDGCWKLIYSTISILGKKRTKLGLRDFISLGDFLQIIDVEEVGKGYPAVKFSLMSINVFLICAILEINGDLASLLYTQKEKAVNVIEFSLRALKIFSGKLTIEASYTVTSQTLGTSSQPVASLFQRVDIKLQSSTITPEQLMNIFQKNYDMLLDIFNPEGWLEI >Sspon.07G0009240-7P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7D:22636967:22638965:-1 gene:Sspon.07G0009240-7P transcript:Sspon.07G0009240-7P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKEKSHINIVVIGHVDSGKSTTTGHLIYKLGGIDKRVIERFEKEAAEMNKRSFKYAWVLDKLKAERERGITIDIALWKFETTKYYCTVIDAPGHRDFIKNMITGTSQADCAVLIIDSTTGGFEAGISKDGQTREHALLAFTLGVKQMICCCNKMDATTPKYSKARYDEIVKEVSSYLKKVGYNPDKIAFVPISGFEGDNMIERSTNLDWYKGPTLLEALDQITEPKRPSDKPLRLPLQDVYKIGGIGTVPVGRVETGVIKPGMVVTFGPTGLTTEVKSVEMHHEALQEALPGDNVGFNVKNVAVKDLKRGYVASNSKDDPAKEAASFTSQVIIMNHPGQIGNGYAPVLDCHTSHIAVKFAELITKIDRRSGKELEKEPKFLKNGDAGMVKMIPTKPMVVETFSEYPPLGRFAVRDMRQTVAVGVIKSVEKKDPTGAK >Sspon.02G0011170-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:30139532:30140442:-1 gene:Sspon.02G0011170-1A transcript:Sspon.02G0011170-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VELRSWPLLDACKDSLRSCNSIILDLCLIHFPVTTRHTGVGTTSSSLGDNGVLDIDSTISLETTWHAMEELVSMGLQYNLQSLAKKYGKMPVHLVLQWGL >Sspon.05G0037020-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:7987187:7989227:1 gene:Sspon.05G0037020-1P transcript:Sspon.05G0037020-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ENDO1 [Source:Projected from Arabidopsis thaliana (AT1G11190) UniProtKB/TrEMBL;Acc:A0A178WKD4] MATVSLLRAAALGLVVLASALPAARSWSKEGHMLTCQIAQDLLEPDAAHAVRNLLPDDVGGDLSALCVWPDQVRHWYKYSWTGPLHFIDTPDKACSFDYSRDCHGPDGAKDMCVAGAIANFTSQLLHYKHGSADRRYNLTEALLFLSHFMGDVHQPMHVGFTSDQGGNSIELRWFRHKSNLHHVWDREIIQTALADFYGKDMDAFRKQLEHNLTKANCEDLSSCPTKLAIIHSETMNSLVNSFGSWLINSNLPTFGCDAMQCNRYASESIGLACKWAYSGVREGETLSDDYFDSRLPIVSRRIAQGGVRLAMFLNRIFGAHNRDVPVPPPS >Sspon.08G0011100-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:43491642:43500897:-1 gene:Sspon.08G0011100-2B transcript:Sspon.08G0011100-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSCFSLHNAGQDGGVLRWFGSCWLGLTGLGTKVNIIVGSHVWAEDPDTCWVDGEVVKINGEEAEIQATNGKKIVANLSKLYPKDMEAAAGGVDDMTKLSYLHEPGVLQNLAIRYELNEIYTYTGNILIAVNPFQRLPHLYDPHMMQQYKGAPFGELSPHVFAVADVAYRAMINENKSNAILVSGESGAGKTETTKMLMRYLAYLGGRAATEGRTVEQQVLESNPVLEAFGNAKTVRNNNSSRFGKFVEIQFDKHGRISGAAIRTYLLERSRVCQISDPERNYHCFYLLCAAPQEDVEKYKLGNPKTFHYLNQSNCYELVGVSDAHEYLATRRAMDIVGISTQEQDAIFRVVAAILHIGNIEFSKGKEVDSSVLKDEKSKFHLETTAELLMCNPGALEDALCKRVMVTPEEVIKRSLDPYNATISRDGLAKTIYSRLFDWLVDKINSSIGQDASSKCLIGVLDIYVCINYTNEKLQQHFNQHVFKMEQEEYTKEQIDWSYIEFVDNQDVLDLIEKKPGGVIALLDEACMFPKSTHETFAQKLYQTFQKHKRFVKPKLSRTDFTICHYAGEVLYQSDQFLDKNKDYVVAEHQELLSASKCSFISGLFPPPPEETSKSSKFSSIGARFKQQLQALMETLNSTEPHYIRCVKPNNVLKPAIFENINVMQQLRCGGVLEAIRISCAGYPTRRTFYEFLHRFGILAPEALEGNDEKVACKKILEKKGLLGFQIGKTKVFLRAGQMAELDARRTEVLSAAAKTIQGKMRTHIMRKKFLSLRKASVCVQAIWRGRLACKLYDNMRREAAAIKVQKNQRRHQARRSYKLHYASVLVVQTALRAMAARKEFRFKKQSTGAVTIQARYRCHRAHKYHKKLRWAAIVAQCRWRGRIARKELKKLKMEARETGALKEAKDKLEKKVEELTWRVQLEKRLRTDLEEAKAQELSKMQSSMEALQAKLDEASTKLAKEREAAKTIEEAPPIVKETQVVVQDTEKIDSLTTEVQELKTSLQSEKQRADDLEKKRSGEEQANEEKQKKLDETENKMRQFQDYLR >Sspon.07G0004450-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:11202750:11206270:1 gene:Sspon.07G0004450-1A transcript:Sspon.07G0004450-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DGGDDAGEGDDGGCGAGRTWVSFLREREVQGYGVLDGYEIPVSAIELYLDIRSTIEDHVRGFTEPTSDKLLPDLLPQDQHVFTLVLDLNETLVYSDWQRERGWRTFKRPGVDAFLEHMSKLYEVVVYSDQQPMYVEPVFERLNSRGTISHRLSRPATKYVDGKHYRDLSKLNRNPAQVIYLSAHAFESCLQHANCVQIKPFKLEDKYDTQLLDLIPFLEYVAMARPSDIRTVLASYQGHDVAAEFIERSKEHQR >Sspon.01G0044830-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:96416545:96419685:1 gene:Sspon.01G0044830-2C transcript:Sspon.01G0044830-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIRILYIVAFVFLALLLKLIRSYVTSSRTKPPSALRLPPGPWQLPLIGSLHHLFLSRFSDLPHRALREMSGTYGPLMMLRFGAVPTLVVSSAEAAREVMRTHDLTFCDRNLSVTFDVLSCGGKDIIFSPYNAQWRELRKLCMLELFSQRRVLTFRSIREEEVANLLRSISRESADGQPAVNLSEGICRMINDVAARTVVGNRCEYRDEYIHELDEVVRLAGGFNLADLYPSSQLVRRFSAAARDARRCQRNMYRIIQSIIHEREAMPTPERDEDLLGVLLRLQRDGGLQFTLTDEILSTVMHRVQSEMRETFKGQDKITEDDLVKLRYLQLVIKETLRLHAPVPLLLPRECRESCQVMGYDVPKGTKVFVNVWAVARDMKLWHDAEEFRPERFESSSIDFRGNDFEFTPFGAGRRICPGITLGLANLELALASLLYHFDWDLPDGVRLEEFDMAEIFGI >Sspon.06G0020860-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:11677545:11679869:-1 gene:Sspon.06G0020860-3D transcript:Sspon.06G0020860-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAGEGRRVCGMPEKAQLHVAMLALQFGYAGFHVVSRLALNMGISKLVFPVYRNIIALCLLVPFAYFLEKKDRPQLTLNFVIQFFLLALCGITANQGFYLLGLDNTSPTFASAIQNSVPAITFAMAAALRIEKVRLDRRDGVAKVAGTLACVAGASVITLYKGPTIFGPSGGGEMTTVSKAVGGDKNWTLGCVYLIGHCLSWSGWLVLQAPVLKKYPARLSVTSYTCFFGVIQFLVIAAFMERDADAWKFHSGSELFTILYAGFIASGVAFAVQIWCIDRGGPVFVAVYQPVQTLVVAIMASLTLGEKFYLGGIIGAVLIIAGLYLVLWGKSEERARIARDATALVSGAGDRDREGLLAPGSGGGIRSKAAASAGVTQPLLLPSSTSTDNV >Sspon.05G0031580-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:6123300:6124793:1 gene:Sspon.05G0031580-2D transcript:Sspon.05G0031580-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRQTFACYTMALLFAAAAVSAQLSTDFYDETCPNALDIIESAVRAAVSKESRMGASLLRLHFHDCFVNAMLLDDSPGFTGEKTAKPNKNSLRGFDVVDDIKAQLEDACNQTVSCADILAVAARDSVVALGGPTWDVELGRRDGTTASLDDANNDLPAPTLDLGDLIKAFSKKGLSANDMIALSGGHTIGQARCVNFRGRLYNETTTLDASLASSLKPRGDDNTSPLDPSTSYVFDNFYYRNLLRNKGLLHSDQQLFSGGSADAQTTSYASDMAGFFDDFRDAMVKMGAIGVVTGSGGQVRVNCRKTN >Sspon.02G0009410-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:26533907:26534806:1 gene:Sspon.02G0009410-1A transcript:Sspon.02G0009410-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GVGILLQRLQVGFALCQASSQVVAGASACFCIGFFRGVRASAPAECSPRGLGRIGYASKVLTLCGVQIRGLSLSARVAPEPLLMARGSSSGPQIRLMGFEGSAPSSDGIPLVNAYRVLGMAWGAPGIIARAWPQRGSEWTSAFGPLGFDQRSMGHASHYHVVFDMGHN >Sspon.06G0024570-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:56092599:56093936:-1 gene:Sspon.06G0024570-1B transcript:Sspon.06G0024570-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEALVQGGDSEGNKQITEVVQSTSHANLVSTRTTTIERVFWASFEDLPNDLKSCFLYFAASPKNIIWPANGTVQVWIAEGFIKPQKGKTMEELGHNYLKELVLRCLVQIQMMNAAGGIEQARVHPRLHGFLQSEAREAGFMEVHDMHHVFVPPSVRRLSFMSLGGRYISFTNKFPKLRSFICWVKEEQHQSNDSQGTNSKKHGRDLKFLCGSKFLRVIRVEGLRIEKLPNRIGDMINLRYLGVNCKDLKELPSSIKRLLNLQTLDIEDTQVEKIDPGFWKIRTLRHVLAEKLTLPETIEEELGELQTLSGVKSAAQGGEWKEQNYPLHKMPNLRTLKLHGIAHEKQGAALKSALTKMHLLGDLSLQGDVIPSSVFTAPSLRFLQTVELDGNVEWPEDGWDASKVRPNLVQVKVKLRNSNEVPLHIQEKIGEILVLKDIQKIRPV >Sspon.07G0016360-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:58109914:58111621:-1 gene:Sspon.07G0016360-1T transcript:Sspon.07G0016360-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMDHMMRLGAGAAHQISRAEPMPDRRSRFWQMDVQPAPRIDIICPLPCRPQLLNRPSLKSNGALPLYRADPTCDVVDLILSKNDPDVDTDSSSQVAFFCGSPPARTNNPVINDPQFGKKTLSFSPLGSSFGKMAAGRVEVGSPSCGASSPKVRIEGFACGNKEPPHCAVTFA >Sspon.04G0001320-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:1833506:1837355:1 gene:Sspon.04G0001320-2B transcript:Sspon.04G0001320-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGTGIRKYMGALKDTTTVSIAKVNSDYKELDIAIVKATNHVENPTKEKYIREIFYHLSPGRPRADVAYCIRALGRRLSKTRNWAVALKTLIVIHRALREVDTAFLEELISYGRSSSHMLYLSYFKDDSSAEAWDYSAWVRNYALYLEEKLESFRVLNYDVEKDPLKIQDLDTSGLLDQLPALQQLLFRLLGCQPQGASSYNIIIQHALSMVALESVRIQTAINDGILNLVDKFFEMRRDDAIRALDMYKRAIEQAEQLSEFYEVCKSIHIGRGERFLKIEQPPASFLATMEEYVSNAPLASTVQRNQAVLAIEYNKKSEVEEPSTLPPPPPPAQEPEPEPEPVQQVPPAVEPTDLLGINESTPDTSEIDQKNAVALAIVPQDNAPKAPAPTSTESVATSWELALVTAPSSNGNAVTSSKLAGGLDLLTLDSLYNEAHLRAQQNASYNPWETNPASGPVMQQPMYDPFYASNPMAAARSVQMAAMEQQQHAFMLQQQQQQQQQQQMMMMMAQQQQQASSNPFANPYMHAGAHPYGAGVQLHAGNAYTGTGMM >Sspon.04G0023050-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:16696489:16697219:1 gene:Sspon.04G0023050-3D transcript:Sspon.04G0023050-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLHHSQSPENYVRLQQGRRWRRGARGFRLCPRNRFSVRRLRGELLTFLGLVGRYVRLLVRKLSTTSSSARGGCARSGSRRVLVVMTGGGRDMAPAAAASRKPAPFIARSNSFYSQAIADCLEFIKRNSVPVEDYGSTLNLDDDSVISADNRSCLGD >Sspon.01G0036970-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:19899964:19911420:-1 gene:Sspon.01G0036970-1B transcript:Sspon.01G0036970-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ALNLSFNAFTGSIPEEVAELEAIATFLLKEQIFRSYPDWTRNMNEQSTPTNPPTAYPDWSQFQAYYNAAGTAPVTPPVFFHSSVAPSPQGHPYMWGPQMMPPYGTAPPYAAMYAQGTPYQQAPMPPGSHPYSPYPMQSPNGTVQTPTSGAGGTETNKSNKNKRKTLLKRSKGSLGSLDVVAVKNNKSPAKPSASSSNEGSSQSESGSGSSSEGSSTNSKSSSRAKDGSEQGQGNDARSKGIQSSAVEPTQPSSGPVVLNPMMPFWSVPPPMAGPATTLNMGVDYWGTPASVPMHGKVIAAPTSAPSSNSRDIVLSDPAIQDERELKRQKRKQSNRESARRSRLRKQAEWEEVANRADLLKQENSSLKEELKQLQEKCDSLTSENTSLHEKLKVLEDEKSNGNWYKD >Sspon.01G0041200-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:42608027:42618862:-1 gene:Sspon.01G0041200-3D transcript:Sspon.01G0041200-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSRRPAGSRVLTTPLTDGGHTPMDHDDTDFQNQNFQLAGEGSSKFPSGLRPFALPKLDIEDQLQGHLRFDNLIDSEAFFSVQGHGNSWIEVLSTGSSVVDFSSSAAESCSISKTNNIWSEATSTESVEMLLKSVGENETTGNMDNNAHLQLSGMDSQTDQSSAHPKSGNSPTDSTVVPTEKDQSWSTHSRMTDDLDRSQSTHSRMIADLSNTESQLEHFAPFLMDKKAEQAADSVAEKCIAGETLLSESSDGLLEAITNPAKMLYRSDDACNKVNSTLQPSFSAVQHGTEGLKSSVHRSSELVVKESSTGLDSLLSDQSEADPRHSNSHPVSSVSPKSKIADATCVPEETKNAGGSSTNTCCTGDESKHVVLEHDQDSVDNQNSGDMGEKIIEEEIPAVSGNIEQMIENDHEENATNATGTSKDKVGPSNSIAPENFAAGTLNASEDPNIPSLNHERSFEEHELPALVEEPKSTNLLLSTSGLQEKISASVISSSSGVTPTIVTDNVGTSEDKNGCSIGVSPVDSSALLDKKDLKMATVNNEEAFKEGAKSALGDQDHNDRIDPATALGIPTGKVAENIVKTPLDASDDLNAHMQDIVLNHGTDCSPGTVPSQGRQGSSLLEPGNGNGICTGVTCESPSVISCAESSPQEGGHDSNALLHHTLCGQSEDPKDRGATADATQSSKQCCTRNIESAPGSEDASAAGGDRSFSFEVGAPPNVAEKAHSPVWSPFPRYLTSQSTKTATENPQAGSSLKDASDDSKKASTVEAGKEQLSERKVTESSGGSPPDNSNIGGNIKSRSSPPRQHPIPECSDLVNFPFTDPQHLQLRAQIFVYGALIQGTPPGEAYMVAAFGEPVGSGKPTWEAAWRAAFERFQYQKSLYTSLETPTSARIGSSVPEKASKGTAITTVPASKKGGKTVVPAHPAVTLHSPTSNVPFGSSTFNLQRGTHLDFSQAVSPFAYNSHMRHPSSGVAPWYTQSPGPRPAPWLIPPQNLIFDSSMQPAVPTNETAKGASSKNISISHTVSSGVVLPSPAPSIVSSPTAVVNDGKQKAASSSKHGTASQKPRKRKKASASPEQQPVFASPQLKMDMPSFTPAIKHTAGFALSGSKLVPNTGQIASEPNYQITGGTDSEQRIIFSEQIRGAVEQSTAQAKGASIDSMEAVKHKEGLWSHLSTISRDKLPPEVEEKLTSVAAAAEAAVSVAKAAAEAAKMASEAALQAKMMAEEALSSSISVMPMHHEAGQINVISSPRTLSSSTPASSLKIKNKSHAPGSIISVAREAARKRVEEASAAAKRAENLDAILKAAELAAEAVFRAGTIIGMGEPLPFTLRELLEAGPNGYWKSASVRNKSGSGNDNPVTETLEVDAPVNLNKSGRKRGRKPKYDQALLGSEPSSSCKELQPYGIHSGHGVVEDVPVTVSLDGNNVIAPINIIWNGIEKGSSVEVLSDKGGFGVAWFSAKVIDINANNAFVNYDNHNGTGPREEWVPLRQEGDKPPQIRLAHPATLSKFKTRKRRRETAGSCLWVIGDHVDAWVNNSCWREGVISQNYETDETKYVVHFSVGGGGESLVVDAWSLRPSRVWKDGQWIEWSRARERKSKSNKGDSPLEKRQRTDLLQTGGNLSVVGEAGGSSKDKNTNNAKKPEELKPLSLSQGEIVFNIGKSVVENKSDALAFRRPGLQKEGSKVVYGVPKHGKKKKFMEVSKHYDAGQSDKISEGNASNRFAKHLMPQLPRPRENTSKVDAIRGRRVGETRSRLPKPTKSQSVGGSSDSLLMHVPNCVSQRSFGFVGRSTSTSNNEKPTSEKNNPALGVGLRTEVPSVSELETASTVPSSKPNVSTTTRAKRKYVPTVSNTNRSILKTSEKTSSDSGEPRMTTSDSTEPRRSNRRIQPTSRLLEGLQSSLIISKVPGEKVPRSNFKSASSRVVSLQSQKLDGALLLCRW >Sspon.01G0056060-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:86364775:86367119:1 gene:Sspon.01G0056060-1C transcript:Sspon.01G0056060-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RFAAVIMRIREPKTTALIFASGKMNYNLVSIHQSVVVLKQATDNFLSPGKIVLTGAKVREETYTAFENIYPVLTEFRKVQQCVLCDDEKARTVCNGGMY >Sspon.01G0019730-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:78872221:78874480:-1 gene:Sspon.01G0019730-2B transcript:Sspon.01G0019730-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESCTVSNSENKRSLPAWMLKATSGNQVEKTEDQNKQVLGSDEQIGALNQSKPIKRNNRRPLKSLDSGAAGELGVLRRCEGREKARRKSKDAVKDEVEENVEVKSKNVRKASGRAAPKNSRKRKLDNANSEPSSPASTDDDIELTVEDLVSIAEEFVNADKQKQCELETVKATRQKEHLPCCTISAEADTGQSVVNDGSVKGLMQCTTVTRNTRAIEYTEDKNTSHQEVKCPSSIKTTEDVAQDMINLLFGHLLSKPAGNTKKSDPVESMTRTINQVPEEKGWHSEVPRQEEPVKKSEPVESMTTTTNHVPEKKDWRSELPKLGEPVTKKKSSLRDKVALFM >Sspon.05G0018830-3P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:75957619:75959977:-1 gene:Sspon.05G0018830-3P transcript:Sspon.05G0018830-3P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGEDIQPLVCDNGTGMVKAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQSKRGILTLKYPIEHGIVSNWDDMEKIWHHTFYNELRVAPEEHPVLLTEAPLNPKANREKMTQIMFETFNVPAMYVAIQAVLSLYASIVLDSGDGVSHTVPIYEGYALPHAILRLDLAGRDLTDSLMKILTERGYSFTTTAEREIVRDIKEKLAYVALDYEQELETANTSSSVEKSYELPDGQVITIGAERFRCPEVLFQPSFIGMESPGIHETTYNSIMKCDVDIRKDLYGNIVLSGGSTMFPGDHCPCTKQYEDQGCGTA >Sspon.03G0009030-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:29302141:29307263:1 gene:Sspon.03G0009030-4D transcript:Sspon.03G0009030-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRGGAGSATLLRKRSLSVNTTASAAAAAIDQFGSKRQAGGVAAAVEEEPVSPTGRLFREPHFRCHIVSVFGLGAPVDLPALRAGVAATLARHPRFCSVQSAPVSMLSLFMACTRSAADPAALPSLPPARRRAGPVYAVRRRPLSLSSAAGALDALAALAVWLLSFLVLVWHTVVDVACFFATAVSLLGDAPTVLKGKEGTEFEPRRFVNRTLSLDDIKYVKNAMSCTVNDVLLGITSSALSRYYLRRTGEQVLKWNAFGSAMFDGFIRLTEQLTPKPKSSGESDSKSITVRSAVLVNLRATPGIHVSFSTTSSLITADQWGRSLLLALASMMESSKDNGARWGNKLGYMLIPFHLAKHDDPIEYVRKATKVARRKKSSMESVFTFWSGDMVLKLFGIKVRWISFPISIRRRRRRRCRCRDRRRTERSCRVRAAAALCYGMFTHTTLSFSNMVGPTEQVLFCGNPIVYIAPGTYGHPHALTVHYQSYMNSVKLVLSVDEAQFPDSHQLLDDFAESC >Sspon.01G0015400-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:44591750:44598859:-1 gene:Sspon.01G0015400-1A transcript:Sspon.01G0015400-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding TIVEASVDGSISSLFVECASMLFLIVPVVSDRLLLSIISVDTGKTIARSSKAAARNGICQWPDSILESIWFSQDEVSKEYEDCQCRIAVSMGSTKGAILGEVFLNLTNYLSSELEDSTAISLPLKKCNSGTVLQLKIQCLGTKSKSRFIVLLPFSLYTGMELWNGPSPCFVGNSPSNDDIKSDGSNNLITRNVNFSSTNHLGGFHQDEVGIRDTSFSPSPRNDSDEGLYIERQDTSSSFIDDISVGRGDLIYRSNDSSFSNQTPGRNMLQESIDESSLSGFAQLSSGASGSSKDLLDAAEETIDELLNEAQMWESHSQKLKNDLETLQKECDEKSKKQTEILLELSASQAEQESLRQEIEELKLSLEVANARQIVTGIPRSGDAIDVQLELKDEVQFLRESNENLTTQLKKSQDANIELVSILQELEETIEAQRTEISNFTQMSNVIDHEVPMNALSVQEDAEWERKMSLKEDEIVALREKLDRVLSIENAGGASSVAIYLELEKENDFLKVQMQDLENDCSELTEENMELIQKLKEVCGVEGQDSCISDIQEMLNATDLSGTSKSRAKYLERKCADLELRMLNFQSESRELEEKLKKSQEELKERSLELSELRENLSSFRATELEREEINIARGYQLRSEELGDTGSELNLLKGTIQLKEKEIEGLQHSKLEMEAFIDNVLGQKIHELEICKVELELHISRLEDEKLELLESISGMEVELTNLTSEYESCIVQMDDSRTMIIDLKDKVEWQQSELEAQKVEVKQKQLEFQKRFSEVQEDSEALRRLNAKLQAKVDNLIEDCNSLQALMDDLKKEKLELHSCATQLEQELEHSKRKTTDFCRTVDFLEVKLSSIQKDISSKERSFLLELENILHEHKEHEEKINRAHFLLNKIDKEKTIEVENLEREVMSLTAQLSSTHEDQESSMLDTIREASILRADKAKLQANLHDVNEQLRRYESQLEDIRKESKSKIKSLADSLNASKQNEEMLKTDAEDMRRLMEAAKSNEENLRITSNELELKYKSSDYEKQQIMEENSGLKIQVQKIAGVQDELLKVQSSLDEAKFEKGRLEELLRLMSEECDELKVQKAMLTDKVSHTQDTSNKINGDKQSKTSMQAKLSSIKQGNNDLATDNGGCSPVNEESDLQAKIQSLESRLAEALEENRLYRTQVKSPTAERQSGSRNGEGNNDDKIAQLESELKDMQDRLLNMSLQYAEVEAQREELVMELKNEACCENARGIQILEATTVRIEQGHQEPRVFSHLGD >Sspon.02G0013350-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:33144152:33147277:-1 gene:Sspon.02G0013350-2B transcript:Sspon.02G0013350-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGGGGASGLAFRGVVEEEEEEAVVSASERPMQRRRRRWGAEVDDGYSPSSTGGGGSSCCDSFGCDSVGPGLLPLAGFVRPDGDPDTDLETDGLATSSSSGKHNADDEAEEVLCGVKEEEWAQVQEPAKNPAGRATPECHNQRYRTEAAVLLHGRKGSKQRPASLDLGSPGFHGATFSPSFVIGGVGLMNKGLGASLIRSDVFHSPGTPNYPRHRASVLGCQKGWSSERVPHPSKGSRRYPGSSMAFPYSNGRTLPSKWEDAERWIFSPNSSDALGRTTIAHARRPKSKSGPLGPPGRLGGQYSSVSSVSLLDSRRAGPITANSPFAAGVLMPEHVCGGKNTNGSYSSRPFGDEINIGRGVKICPLNGGSHPIRTSRVRQRLDYAVESSASLPSTQESIQDEQVEITEDSASIASIISRKDAATQTSPELSRSSSPNNRPTFNCSLSTEQVKDSGSCSSDLDIRDVQMDDRVTLTRWSKKNVTRSSNKNSTNIIEWKEKTVESKSPSWGFAEAKCISKIDREDTKITAWENIQKAKAEAAIQKLVIKLEKKRSSSLDKILNTLKSAQRKAQVMREREHDAVTANQDGKGSRKANRRAQL >Sspon.03G0007450-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:41278544:41280106:-1 gene:Sspon.03G0007450-2B transcript:Sspon.03G0007450-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCCGAMSARPRGIHEETLLRVPGASVHLMAGSDGPVELGCGDLAVVRLTKDDVAVATAVRVGKDLGWPLARDEPVVKLDRLHYLFTLPDKDGTFLNYGVSFNANAAADADAALASLDGLLRSSACFSAPSHAVVPSKSSRPPPPPQQQRPVASDGYWNEFAPRMEGYNGVLAKAIAAGTGQLVKGIFKCSEAYASQVQRGADLFRPQAAGSARSRFGDGGGADRSSQASTKRGAVNKSLKRVRKLSEMTEKMSQSLLDTVISVTGSMAAPLIRSKQGRAFLASVPGEVVLASLDAINKVMDAVEAAEKKSLAATSNAVAGAVSRRYGESAGEATQDAFATAGHAVGTAWNLFKIRKAVTPSSSMPGNMVKSAIRNRN >Sspon.08G0006250-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8A:19560632:19561646:-1 gene:Sspon.08G0006250-1A transcript:Sspon.08G0006250-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRSASSPLLNCVRAPAAAVEHLAVVSPLLSPAVVAAPRQGAVLCRTMSEGDLAAPLVPKKDVEGHGRVLPRLSASSSSSASISLVEDDSEGEEDEDEETVVAVVAGAGGADVQLPLRRLLTSTGLDVSAAAAREALALVEQGVGGSSGGGNGKALGSSGGQGGVGDGGGGDNRAATDTHYRQMIEADPGNSLLLVNYARFLKEVEGDAARAQEYCERAILASPGDAEALSLYAGLVWETSRDAGRADDYYIRAVQAAPDDCYVLGSYAEFLWDAEEDDEENDNSETLPPPSLFQGAVQHPSITAAS >Sspon.01G0038750-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:22309713:22314658:-1 gene:Sspon.01G0038750-1P transcript:Sspon.01G0038750-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPDPSAAGAGAGGESTSQATAVEPIRMPTVEEIKGQDIWNNCAVRSVVSGVMEEMTARQQIVYTAKQMGRRSISNAKTFAVMGLIFSAAECTIEKIRAKHDTTNTAVAGCVTGGALAVALKLHALDVRGLLRSQWRLRSSLIGILEMGVVNLKPAEYEQRWQKNLIVGNDLLNYSTTIHITPLLLALATMTVVAGARLGIILSAVVPVAIGAVVPVAVIGA >Sspon.02G0019640-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2A:63597399:63597959:-1 gene:Sspon.02G0019640-1A transcript:Sspon.02G0019640-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VLYVFYLLCKLGVVKCLAKNACKLVYMPCWGGCRLLHHLWRKVRDTERVYRGRRGQRRQPDVELGDLSTSSYDVDYRGSPSPSSASDYSGHHRGGAATAGRSSRWESSSSSSVRGRRKDRLRQSLRPRRASSKAEHAMRISRESDCERRHPHHPHSVGARRKEASSLHDHDRGSAARDHSHAHCRT >Sspon.04G0008380-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4C:24075791:24076378:-1 gene:Sspon.04G0008380-2C transcript:Sspon.04G0008380-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding TSTIDGSRSKHLPLRGSLLRGPESVAFDGAGAGPYSGVSDGRVLKWNGFARGWSTYAYSPGYDAEACIASRARPAELTESKCGRPLGLRFHHRTGNLYIADAYKGLMRVGPGGGEATVLAAEADGVPLRFTNGVDVDQVTGDVFFTDSSMNYPRSQHERVTATGDSSGRLMKYDPKTGQVTVLQAGITYPNWPRH >Sspon.02G0042380-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:85798751:85800297:-1 gene:Sspon.02G0042380-2C transcript:Sspon.02G0042380-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCGLHRRLCLGGTRTVPFLRRFTPKEVEAATRGFTAVLQGGAGGPDTGTAYRARFAGGLVATVVRRRRDDERSHGEGGTDAFYLELQLLARLNHRHVVRLRGFAQGHHARPVPFTLLQSSLWFLVFDHMENRSLKECLHGHILFFLLIFFFRSLNRDAFLSAADPLRTPLDWRTRLQVAIDIAAALEYLYYHCDPPVFHVSVNSGNVLMDANFVAKLSDVGVISHDVKLATTDSFQEKPSHQSFFPQVCNLHRTHLLAEFTYADQVERRRAGLVFQYGVLVLELVTGQSPGGDGELVRWVQDPGFAASVDRMVDADLGGVYDARELRDLVVVARLCTRDRGDGGGDGAVVTIPQIVRYLQGKLERIGCQD >Sspon.08G0009110-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:35176321:35181239:1 gene:Sspon.08G0009110-3D transcript:Sspon.08G0009110-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ASTPNQPWLVSVPFTSFSPPHRRLRGVRQRRRRGARLRRGREKGLAFAKDVLIGEAVRSLTPLRLPGVEKAVRVPFLGGVRVAASNITLFHLDVGDNSTIFPGDSASSSSPPASPPTSVCTGATATIQITDSGTASILVQGMEVGITMVIKNNNGSLALSASQFINAFEDHIKAAVEKAIPENIIEGAGKLDSFLQGLPRTISLDDVAAFNMTFINDPHYGNSSIEFDINGLVTSAVAKTTNLQKHPQLSLACGGASKMLLLSLDEDVLNSALEVHFKAGSMHWVVDKVPDQSLLNTANWKFIIPRLYWNYPNDDMLLNISMASSPVIRITSEKIEATINADMVIDVVDGKETVPVACISVIVSASGVVDASGNKVYGRVGLDNFSLALKWSKIGNFHMSLIQGVIRVFLNTVCMPYLNSRLGNGFILPVVHGFTLQDVYVLTSAEQLTLCSDVTFNASSLASLSLIM >Sspon.03G0026100-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:1924212:1929529:1 gene:Sspon.03G0026100-1B transcript:Sspon.03G0026100-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding STIASPSKFLSPLYLSGHSLAVRWATYGSVNLVLSDDGTPKFQIEEVEPSTKRRYLTKKRLKVQRKKERKKRKEANKNDPRRIRPKGKKIKQKFPTPEARLKYKIEKAKLKEARLVEKLKKYEVAKAQGPMAKPDDLSGEERFYLKKVSQKKTNYVPVGRRGVFGGVILNMHLHWKKHETVKVICKPCKPGQIQDYANEIARLSGGIPVSIIGDDTIVFYRGKNYVQPEVMSPIDTLSKKKALEKSKYEQSLETVRRFIAISEKELELYFRHVALYGNPQSQKAELPTFFKTAKQQWGVEILREGVLVD >Sspon.05G0023300-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:6594860:6596308:1 gene:Sspon.05G0023300-1B transcript:Sspon.05G0023300-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKAGEQDSQELMEDGSAPRRSTPPTTRRSRSAEFHNFSERRRRDRINEKLKALQELLPNCTKTDKVSMLDEAIDYLKSLQLQLQGMAPVVPPELQQYMHYITADPAQMPPLRPTGQQPRQFQITQANPQRQSNVESDFLSQMQNLHPSEPPQNFLRPPKLQLYTPEQRGGLPSTSHNTGWISGRSSSYNFME >Sspon.03G0008120-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:22093551:22094452:1 gene:Sspon.03G0008120-1A transcript:Sspon.03G0008120-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPPSYSSSSMPAPGGGGGRMSAFTMRAVARMSRARWFIFLRRVYQYQNGPRSDLGSNPFNSPGWLALELGVIVAQMVLTTAVVATSPAERPAWPLRLWVAAYNVGNVLSLPLLYWRHRHSSAAAAGGRGGDALSGDLEMHGANDALRSSSYLMNKARAFLELFFAMWFVMGNVWVFDARLGSFQRAPRLYALCISLLAWNAVVYSLPFLLFLLLCCFVPMVGYALGYNMNSASVGRGASDEQLAALPRWRFKEPADVPRDRQHDDQA >Sspon.03G0003680-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:16588346:16592623:1 gene:Sspon.03G0003680-2D transcript:Sspon.03G0003680-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSRFVFNLHIIDILAVTILGLLIMITLFVHELQFYLTTYTVHQLRLDKYGHIIGTEYLSDLVEKGHGAHHDHDHGQEHHDEQKKHEQTFNEEAEKMIKSVKQALENGEGCRVYGMLDVQRVAGNFHISVHGLNIFVAEKIFEGSSHVNVSHIIHELSFGPKYPGIHNPLDETSRILHDTSGTFKYYIKVVPTEYKYLSKKVLPTNQFSVTEYFLPIRPTDRAWPAVYFLYDLSPITVTIKEERRNFLHFITRLCAVLGGTFAMTGMLDRWMYRLIESVTNSKTRSIDTKSTDYSFVIY >Sspon.07G0023960-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7B:20871906:20872349:1 gene:Sspon.07G0023960-1B transcript:Sspon.07G0023960-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding QCYKCYKWRTVPKDEFETLRENFTKDPWFCSRRPDCSCVDDADIEYDSSRIWVLDKPNIPKPPPGTERLVIMRGDYSKMDTYYVMPNGKRARCAGDVDKFLEANPEYKNRISASDFSFAPPKVVEETVSHNSVWKAAKAKKQEKAEAQ >Sspon.03G0019950-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:11180179:11182354:-1 gene:Sspon.03G0019950-1P transcript:Sspon.03G0019950-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MESFRSTRTSKPQRCIPPSPRLLMLAILVTSLQQLTTAIDATTTTDSDCPTVTCGNLTITYPFTLGGRGKSSCGPPAFQLTCNTSASGGAFLGSSYMRVLDIDYDSGSLVAVHVLMAADAACSVIFNVSSAFAITDRFTISASNRELYIMSRCGGTLPPLGAVPVTNCSSNISRTLAYLGGGYGTGRPPANDGRCELAVFPVLGSEADGATSASYRQLIRGGFQLEWEPVGDCSACRESGGRCRYDASTAEFACLCSDGILRSSTCDGKRTRKLALIVSMSIVATTLVFICLAWLIYHRKQKFRSGFWRAYSADQSNEEVLKRCGSLAPQRYKYSELKKITKSFKEKLGEGGYGVVFKGSLRDGRIVAVKLLKGSKGNGEDFVNEVMSISQTSHINIVNLLGYCLEGSKRALVYEYMPNGSLEKHIYSELVLGWEMLLKIAIGIARGLEYLHQGCNTRIIHFDIKPHNILLDNEFCPKISDFGLAKLCRLNGSILSTAEARGTIGFIAPEVFSRAFGAVSTKSDVYSYGMMLLEMVRGKTNMKGSADNSSEAFFPHLLYDHLVGDTQRCQVEDGTEEVARKLTSVGLFCIQMAPDDRPSMSRVIEMLEKSANEFEMPPRPFLCSPLQSTNASSITTVMASMSS >Sspon.04G0008400-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:24364049:24372835:-1 gene:Sspon.04G0008400-1A transcript:Sspon.04G0008400-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAEGKKSGGQMTVVRGLDVARYMGRWYEIASFPSFFQPRDGRDTRATYRLLEDGATVHVLNETWSKGKRDYIEGTAYKADASSDEAKLKVKFYLPPFLPIIPVVGDYWVLYVDDDYQYALVGEPRRKNLWILCRKTSIDEEVYNQLVERAKEEGYDVSKLHRTPQDDPPPESDAAPTDTKGVWWFMSLFGK >Sspon.07G0017410-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7A:62723588:62724328:-1 gene:Sspon.07G0017410-1A transcript:Sspon.07G0017410-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDAFTDYKGVTKFYNPARNMLERVEITKETTQFPSKRGRSTAISADAAPSKQRKRKNKSSNPANATQPQVEEHPVEVRHSHPTSTVHSITDVGTLECPDATILGDEDASQRVHEIFINYLKSGESYDRKTTIIDIYFSAMIAKILENDPDPKTMAECKRRSDWNQWKDAIQAEISSLSKREVFSLVIHTPPKVFPVGFKWVFVRKRNENNEVVRYKARLVAQGFTQRPGIDFNETYSLVMSGITF >Sspon.02G0013080-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:32664533:32665474:-1 gene:Sspon.02G0013080-2B transcript:Sspon.02G0013080-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSSDIILDTPYFRIYSDRRIDRLVGTDTVPAGFDPTTGVTSKDVVIDSDTGLYVRLYLPDTATTGSDDAKKLPVLVYFHGGGFVVNSAASPHYQPFLNTLAAKAGLLIVSVNYRLAPEHPLPAGYEDSFRALKWVASGSGDPWLSHHGDLGRVFLAGDSAGGNFVHNVAMMAGAASEVRIEGAVLLHPGFGGRERIDGETPESVVLMEKLWGIVCLDATDGADDPRVNPLAAAAPSLRNLPCERVLVCAAELDFLRARNRAYYEALAASGRGGTVEWFESKGKEHVFFLHNPGCGEAVELMDRLVAFFAGN >Sspon.03G0030210-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:27308100:27313379:1 gene:Sspon.03G0030210-2C transcript:Sspon.03G0030210-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGKAAGALALALVLALAGANSEGDALSALRRSLRDPGGVLQSWDPTLVNPCTWFHVTCDRDNRVTRLDLGNLNLSGHLVPELGKLEHLQYLELYKNNIQGTIPSELGNLKNLVSLDLYKNNISGTIPPALGKLKSLVFFYAFTSFYLCLRRRLNGNRLTGPIPRELAGISSLKVVDVSSNDLCGTIPTSGPFEHIPLSNFEKNPRLEGPELQGLAIYDTNC >Sspon.03G0012120-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:43604949:43646909:1 gene:Sspon.03G0012120-2B transcript:Sspon.03G0012120-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Regulator of telomere elongation helicase 1 homolog [Source:Projected from Arabidopsis thaliana (AT1G79950) UniProtKB/Swiss-Prot;Acc:F4HQE2] MPVYRIRGVDVDFPFDAYHCQITYMDRVIESLQQGKNALLESPTGTGKTLCLLCASLAWRRTFGEFLRVGRGGGGGGTQQLPYGSQPSVSQQSDDSTSQQQQSRYPVIIYASRTHSQLRQVIKELKATSYRPKMAVLGSREQMCIHDEVSKLRGRAQNNACHFLCKKRRCPHNNHVAEFMKNKPELGNEPFDIEDLINIGRRKGLCPYYISRELSKSVDILFAPYNYLIDPGNRRSLNGIPWDNAVLIFDEAHNLESICADAASFDLFPNNLTACIAEAHECIKLCAAKRSIEKSSDKQFDPENYAILKALLMALEKKIGELLIASKELGYTKAGSYIYDFLSELNITSDTSKKLIETIDGASLLLEEDIIFRGGGQNHAKYYRFHVNESQQTSGDALKVLGKSSRTLSWWCFNPGLAMEEFLKLGVRSIILTSGTLSPLDSLAMELNLEFPVRLENPHVISSDQIWVGVVPVGPSGHALNSSYRTRNTIQYKQELGTAIVNFARIVPDGLLVFFPSYSMMDMCVEFWKNRNHSNSASENTIWQRICKHKQPVIEPRQSSNFQSAIENYRAKLHDSSSGAIFFAVCRGKVSEGLDFADRAGRAVIVTGMPFATPTDAKVRLKREYLDKQGTPSNKNTKTLTGEEWYVQQAARAVNQAVGRVIRHRHDYGAIIYCDESYPQKLLVGEADYEKPDVWHS >Sspon.02G0031880-1T-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:103537256:103539863:-1 gene:Sspon.02G0031880-1T transcript:Sspon.02G0031880-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding TPRLRKCPRTRGRLHQCTAAVACIAAHAPPPAPCPRRRRQIPRRAPQVGASSPTPWNQLLTAYSASGSGLAAARRVFDEIPRPDAVSWNSLLAAHVAAGAHRDAWRLLRAMHARGLAASTFALGSALRSAAAARRPELGAQLQSFAVKCGLADNVFSASALLDVYAKCGRLSDARRVFDGMPVRNTVSWNALIAGYAESRKLAQAMELFLEMQRVGLVPDDATFAALLATIEGPRWYSLMQQLHGMIVKYGSALGLVVLNAAITAYSQCGALADSRRIFDGIQSRDLISWNSMLGAYAYHGMDDEAMRFFVRMMRESGVRPDMYSFTSVISVCSEHGCDDQRGRSIHSLVIKIGLEGVTHVCNAMIAMYTRFTEYCMMEDAYKCFSSLVFKDAVSWNSMLTGYSHHGLSSDALRFFRCMRAENIRTDEFALSAALRSCSDLAVLRLGRQVHSLVIQSGFASNDFVSSSLIFMYSKCGMLGDARKSFEEADKGSSVPWNSMMFGYAQHGQAQTVTDLFNEMLDLKVPLDHVTFVALITAYSHGGLVDEGSEILNTMETRYKIPLRMEHYACGVDLYGRAGQLDKAKELIESMPFQPDAMVWMTLLGACRIHGNMELASDVASHLFVAEPRQHSTYVLLSSMYSGLGMWSAEQQCRRQRLYIEIGMGIFNVFVR >Sspon.07G0016160-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:57564515:57567591:1 gene:Sspon.07G0016160-1A transcript:Sspon.07G0016160-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMVPLDPSSKPTSQRGIAEGDTVVVYERHDAMRAVAVRAGGVLQNRFGVFRHDDWIGRPFGSKVFGCGGGSNKGGGGGGGKAKAGGGGFVHLLAPTPELWTLVLSHRTQILYIADISLVVAYLELVPGCVVLESGTGSGSLTTSLARAVAPHGQVHTFDFHEQRAASAREDFEKNVLSSLITVNVRDVQGEGFPEEHCGAADAVFLDLPQPWLAIPSVGLMLKKDGVLCSFSPCIEQVQRACEAMKTCFTDIRTFEILLRTYEVRDIALKSITSDEACVGPLPQKRRMLTTPGENTECPQRISSILVRPCSSAKGHTGYLTFARLCIPVWLNVVVSVRK >Sspon.01G0060410-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1D:78261937:78262272:-1 gene:Sspon.01G0060410-1D transcript:Sspon.01G0060410-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GWFPARLSRLSTRHRRLDLAGGSFARTRGEHLGGITPGAVCGTAVENFFSYRTNPPFPSPNLGAHPRLLASTAGTAHLDVLRRPLGTAAASLIALNLSPPLASCRPPGPFF >Sspon.03G0009340-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:40555793:40565123:1 gene:Sspon.03G0009340-2C transcript:Sspon.03G0009340-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFRNMVCTPQVIDLTSERGQARGGNGSEIPEQGAQHAVRVVGNGTNVGLSGVRSYYDVGINHHHQPVPNPPPNLGVDSGFVFASNMYNPCMSSASINRHVSHAQSFGSGNQTLPLNQVSGTMDESSRNDSVGESARDHIKRKNAAVAGSYPFVNGFASSSSSSHAPQNPMLRPWDPSFESTVSSNVALFNPSEYHGHSSWPSLEGSSITGTNGFNSMAVHPESAQRGNYTFPSNHIGHSWMSHATNGIADGVPQWEYVNATTNIQGRFAHSGATEIANGGFQEYQNGPSTVSRGPVPYFHQHAMHGMQAHNLLDPTQMQVPYQQCHNNGVLHGGVNYPGNRLHLGPRIPVVFSSPERTFGPPQHTFLTNPVNHRNIRILPPEHAAIMDFSRLYEVSNTVDEHRDMRLDIDSMTYEELLALEEQIGDVNTGLTKSHIVDKLRTSLYVPGTSSMSDQPSKSSLENDACIICQEEYQVRDCVGTLDCGHRYHAECVKQWLMVKNLCPICKTTALSANRRQGQ >Sspon.02G0016740-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:46532565:46534928:-1 gene:Sspon.02G0016740-2B transcript:Sspon.02G0016740-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALGAKMERLSSIDAQLRMLVPGKVSEDDKLIEYDALLLDRFLDILQDLHGDDLKEMVQECYEVAAEYETKHDLQKLDELGKMITSLDPGDSIVIAKSFSHMLNLANLAEEVQIAYRRRIKLKKGDFADENSAITESDIEETLKRLVVDLKKSPAEVFDALKSQTVDLVLTAHPTQSVRRSLLQKHSRIRNCLVQLYSKDITPDDKQELDEALQREIQAAFRTDEIRRTQPTPQDEMRAGMSYFHETIWKGVPKFLRRVDTALKNIGINERVPYNAPLIQFSSWMGGDRD >Sspon.01G0052230-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:22059411:22062006:1 gene:Sspon.01G0052230-1P transcript:Sspon.01G0052230-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ENRQKPSSPSLSAATQETIRLAGLGTTFAMVQIKEFRIVMPMSMEEYEIGLSYTIMKMEQQNTNSKEGVEVLQQVPFEDEKLGKGQFTSKLYHLQRALHLSALSQCMRTLGVHFQRAEQCPLFSKCSLTIDTVTRPDNGCSENVHNLTSEQLAAREVEIIDIASISRDYWSKVIGAPNVDLTTFKSQRTERGPLLKGWMDSCVPVMTTYKLVIMDAPIWGLGERLEDCIIAGERALFLACHRLCFAWIDEWYGMTMEQIREMERQTDMLLKKTLKKPGKAGSKHEGSRKTLKDEIVAVGSCT >Sspon.04G0023570-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:18517663:18521543:-1 gene:Sspon.04G0023570-3D transcript:Sspon.04G0023570-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial import inner membrane translocase subunit TIM13 [Source:Projected from Arabidopsis thaliana (AT1G61570) UniProtKB/Swiss-Prot;Acc:Q9XH48] MDSFSSSLPSSGPGANPDAVMEQIKAQLAQAYAQEFLETVGNKCFNKCVTKPGSSLSGSESSCISRCVDRYIEATGIVSRALFSSQR >Sspon.05G0015910-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:58616809:58620309:1 gene:Sspon.05G0015910-3C transcript:Sspon.05G0015910-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box protein SKIP16 [Source:Projected from Arabidopsis thaliana (AT1G06110) UniProtKB/Swiss-Prot;Acc:Q9LND7] MAIEAVAAEPEPEQANKVAVEEDLLPEFTMADEAPECSLAAGMGQYEYEFVERKASVVEGGLSGEALLAAGLACSDAHWRQCSLAVGEQNCEFMRKKGRAMGLDNTHRGEATRLYGPVAYKVWLESFGMYPLPMVKRVKQFWTSMKTWLSENFPEAYRTLCKGVSEAQLKSAEDDLGFKLPMPTKLLYRFCNAQLPFSEDHDTNKSISTHGLIGGYAFYDHWVNVHLSPLEQIVEETKDFYQEFPDVFHGRKFIVVATSWFRPKTFLLDCSNGELYVGTYNLPIGGMLPCVPKALIKPAENDLALDGLLLWLEEHLRRLQNGMIKTRMLMTSRYISLYPEAPPSCSSAVTNGIKVRSSAVFVPEHPGGPGEKFMFTYSIRMSVPEACMLGGVYYSSCQLSSRHWTIRSCDRVVSDVSGGGVIGQYPVLLPGEDEFVYESCTPLPKVPGSVEGSFSFVPGKLIRPEGKPFEVMVAPFPLEVPEYIF >Sspon.01G0019830-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:75643063:75646213:-1 gene:Sspon.01G0019830-3C transcript:Sspon.01G0019830-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAMDTAVSQNATAAGAGSGGLAGLLPEVQTVEVLVAVSIFVAIHSLRQRRTQGLPTWPLVGMLPSLLLGLRSDMYEWITGVLKARGGTFTFRGPWFTNLQCVVTADPRNLEHLLKTRFGSFPKGPYFRDTVRDLLGDGIFGADDEVWRRQRKAASLEFHSAEFRALTASSLVELVHRRLLPVLADAEASGGAVDLQDVLLRLTFDNVCMIAFGVDPGCLSPGLPEIPFARAFEDATEATTIRFITPTAVWRAMRALGVGHERVLQRCLAGVDEFAYDVIRRRKEELAAEASAGRSRSDLLTVFTKMRDEDGRPYTDKFLRDICVNFILAGRDTSSVALAWFFWLLGRNPGVEAKIVEEIEGIMAARKEAAGREVEEEELVFRPEEVKRMEYLHAALSEALRLYPSVPVDHKEVVEDEVFPDGTVLKKGTKVIYAMYSMGRMESIWGDDCREYKPERWLRDGRFMSESAYKFTAFNGGPRLCLGKDFAYYQMKFAAASILHRYRVHVVEGHPVAPKLALTMYMKHGLKVTLTKRDKTNKL >Sspon.02G0017200-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:44028487:44032352:1 gene:Sspon.02G0017200-3D transcript:Sspon.02G0017200-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding PRMARLAAVAVALTAIAAVAGAHETYYASVENHLPALGMKLACHAMGGFLTELSVVPRGRVPHGRAGRRVAELLVEQGQHGWVRCNWAYAGNYVAGITVLDSRWPEARRCQDPAGQGLCRVVFENDAVVLKTPHRGERVIGDLPVKRCRRHWMLFSTGCTYPDHHYPYAGRRLGSAFEYTKGFQYNTNYKHICASRLTRLPDGSGFPASAAVLESICPYLNDNV >Sspon.01G0022890-3D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1D:80275930:80276208:1 gene:Sspon.01G0022890-3D transcript:Sspon.01G0022890-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AKDEKIYLGPHGAPPSQAKQRELNTVGRKQRFRNKLKEADRKFTGNAQESKVESLRELMGARASGTSMPKSSPRDWLDPHCHESEFDRKPTR >Sspon.01G0022110-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:80955426:80958670:-1 gene:Sspon.01G0022110-1A transcript:Sspon.01G0022110-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MYFPTQIYMMSSNAPSSMALAPSHARDVVVALTILCISFALSLFLRRTKATSSLKKTQLHRLPPGPAGLPIIGSMHCVVSKRPVFRWIHGLLKDMNTNILCLRFGAVHVVVVSSPEIAREVLRKNDAVLASRPDTVASGLFSFGYKGSILSPYGEQWKKMKRVLTSEILSTSMEQRLHRRRAEEADHLVRFVYSQCSGTSANANSIVDVRHVARHFCGNMIRRLVFGKRHFSVAAGAGAGGGNGGGSGPGPEEVAHVDALFTLLNYVYSFSVSDYIPPAWAWMVAGLDPDGHKKVAKRVMETLNRLHDPIIEERIREWDGLRKRGEKREARDFLDALVSLQDSQGRPFLSFNEIKAQTAEIMFAIVDNPSNAVEWALAEMMNQPEVMHKAMNELNTMVGKDKLVQESDIPHLNYLKACLREAFRLHPYHAFNPPHVAMEDTVVSGYLIPKGSHVLLSRVGLGRNSGVWDAPLQFRPERHLTMDSKQQSGHHVVLTEPDLRFISFSAGRRGCPGVSLGSSVTVMLFARLLQGFTWTKPPGVRTIDLKESTASLALAESLFLQAQPRLPMHLYASI >Sspon.06G0020180-1P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6C:8802904:8803838:-1 gene:Sspon.06G0020180-1P transcript:Sspon.06G0020180-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGSSSNTTESIESKNSMEGKRLSRMETTLPAFKESQLIGREKEKSEIIKMISNTHSQEFEVISICGMGGLGKTTLVKYVYRSQELNAMFEKCACVTINRPFNPSELINSLAAQLGGDKLVDLLEGKNYLIVLDDISSTTEWDAIVKYFPTTVTTSRIIVTTREENIAKHCSKKERNIYKLKLLGDKDAHDLFTEKTLIEIFEAQPRTNRPD >Sspon.01G0033330-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:112294709:112302622:-1 gene:Sspon.01G0033330-1P transcript:Sspon.01G0033330-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Anaphase-promoting complex subunit 5 [Source:Projected from Arabidopsis thaliana (AT1G06590) UniProtKB/Swiss-Prot;Acc:Q8H1U4] MSLFAGVGGGAADAASGGGTGRALLELTPHKMAVCHLVQVFAPPAQAGGDVVPPFPFESLAHHNHLGVFLFTLTRSCEDFLEPPLEEFLRQLKAVDNLANGWFCEQLTSSMSALNSPDDLFNFFDKLRGVLTAPEGASAEDLFLDPNSQLGVFLRCCILAFNSMTFEVLRVGVCHLLADLVMYCNSTDASYDLAEDEDFSSEMGNLMDADIGSQVGIFDKFHQGYASEHHMGESSSALTRAPMSPYDFDDANIFKADDNPTCLRSRWQLEAYLNQQADILEKDPGSVPLNSFNATMTQLQTLAPELHRVQFLQYLNALCHDDYVASLDNLHRYFDYSAGMQGLFGRSVSQVQDIVVGKYESALLCLGNLHCYFGHPKKALEAFAEAVRVSQMNNDDSCLAYVLGAISNLLSKIGISNTVGIISSPYSLGTNIGLGTPLSIQQQLLVLLKRSLKRADALKLPSLLSFDHLSLAKFDLKHVQRSLVSFGPNASTKLRTCPADVIKNLRLGSRVLTDFGADVLSTSNDNGSFSTSWLRNLSAASDSWRRSSKNTKKLHINDFDNFHYHAQPSPVPAPILQLAGSAYLLRATAWEHYGSAPMVRMNALVYATCFMDAASSSELSLAYVKLIQQLAVFKGYSAAFCALKLAEKKFPSSTSLHIQLLGMQILHERALHRYKTVSTLHFVVAFCFHNLMCYYLCKIDLLRGHLKVAQQICDEFGVLSSSVSGVDIELKTEFSVRRARTLLAAKQFSQAAAVANSLFSTCYKYNMQVENASILLLLAEIHKKSDNAVLGLPYALASQSFCKSFNLDLLEASATLTLAELWLALGSSHAKKALSLVYQSLPMILGHGGLELRARAHIVLAKCHLADPKFSVVEDPEAVLDPLNQATEDLQALEYHEMAAEAYYLKAMAYNHLGKLDEREEAAARFKDHVTALENPQNEEDSLAY >Sspon.07G0008930-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:21442764:21443529:-1 gene:Sspon.07G0008930-3D transcript:Sspon.07G0008930-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPTPRKRKAPPPEPRRRPPSLLEFRAPVDGAWYDARVTVQCGALRVMYEGFLEELDEWYDPAALAASARDVAALRARFRVRSPPLDDTQCRDLRAGALLCVSCALDGGDLKFYDAALESVLPAAHEIVDGRERCACRFTVRWSEGPRAGSREEVGVERVCCVQSSPVQDPVLLEFLDGVTKLLGNDNEEMVAQEIRAVPAAEGGVPAGAPPGFHRKFGST >Sspon.02G0042820-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2B:86462832:86464790:1 gene:Sspon.02G0042820-1B transcript:Sspon.02G0042820-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AGTANDQFVYPGFAGANVTLDGAAVVTPSGLLELTNGTLRQKAHAIHPAQLRFREARNGTGTATARSFSASFVFGILCPDADACGHGIVLFVAPPSYDLAAAFPSQYIGLVNGSTNGDAADHLFGVELDTDQNNEFRDINGNHVGVDVDSLVSVASASAGYYDDDGGGVFRNLTLASGEAMQVWVDYDGERKRVDVTMAPLRVAKPRRPLLSTAYDLSTVLTDVARVGFSSATGSFNSRHYVLGWSFAMDGPAPAIDIAKLPKLPRFGPKHRAKLAEIVPPVATAAVVLAMGAIAVLLVRRRLRYTEVREDWEVEFGPHRFSYKDLFNATEGFKNKNLLGVGGFGRVYKGVLPVSKMEIAVKKVSHDSKQGMKEFIAEVVSIGRLQHRNLVQLLGYCRRKGELLLVYEYMSNGSLDKHLYGGDHDMPILNWDQRFRIIKGIASGLLYLHEEWEKVIIHRDIKASNVLLDNDMNGRLGDFGLARLYDHGTDPHTTHVVGTIGYLAPELGRSSKATPLSDVFAFGVFVLEVTCGQRPIRQSSNGDQLLLVDWVVEHWHQGSVTDTVDARLHGTYNVGEASLALKIGLLCSHPLSSARPSMRQVSKYLSGEVPLPELAPTHRSFESLALMQNEGFDSYVMSYPSSMATMTTMSSP >Sspon.06G0002030-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:5332857:5333619:-1 gene:Sspon.06G0002030-2B transcript:Sspon.06G0002030-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQWSSVAARPDQWIGPCRCSSPLPPFRAALPRRRRAERNGRIGCASVPRELGTAAEAELPPVVVEGTEEEAVACEECSGLGWMLCDFCKGKKNNVKSEGTRVYRRCPTCKAAGIIVCPRCRVYKCITFPDSNES >Sspon.02G0042410-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:85936747:85939069:1 gene:Sspon.02G0042410-2C transcript:Sspon.02G0042410-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AYLTTGWLILVPLFSPHVACAVRAVCCYCREDGMFKGIYHGKQCHAADIPAVLARAWAAGVDRIIVTGGSLKESREALEIAETDGKLSCLPACCASSTAAVLGGAYKEFEENGDPEGHFQALLTLAKEGIEKGKVVAIGECGLDYDRLHFCPADVQKKYFEKQFELAEAVKLPMFLHMRAAGEDFCEIMTRNLHRFPGGVTHSFTDSAEDRDRLLSFEKMFIGVNGCSLKTNENLEVLRGIPVERLMIETDSPYCDIRNTHAGSQYVKSVWPSKKKEKYEPDSTVKGRNEPCLV >Sspon.08G0012760-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:52385108:52386025:1 gene:Sspon.08G0012760-3C transcript:Sspon.08G0012760-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RWACARAAAGAWSCCAPSSSRPSASASATAAASWSSGSACCSPSSATSPASSTPSTSSAPSTPTATATPTTTTSTSP >Sspon.05G0028950-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:74197514:74198071:-1 gene:Sspon.05G0028950-1B transcript:Sspon.05G0028950-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHARQLLVENLVGRMDRKTDAIVHVDQDSATRTPWPKRWAGSRLVFHEGRASSAFGTLSVEDLCHCCRVLAPYQGV >Sspon.04G0011360-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:31335919:31336419:1 gene:Sspon.04G0011360-1P transcript:Sspon.04G0011360-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFSSYFFSSSSSSSDKKSSSSSKRRQQQQAAQPQADANTTRYLGVRRRPWGRYAAEIRDPATKERHWLGTFDTAEEAAVAYDRAARSLRGARARTNFAYPDLPPGSSITPYLSPDLTSGDNATQLLQPFYADPTAAPLQAAAPPAANGARRLRRRRRLRLCLRRL >Sspon.08G0018790-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:8431747:8437478:-1 gene:Sspon.08G0018790-1B transcript:Sspon.08G0018790-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AMATTLDFAMPGPVTKRYRLKAGDCSWCVYYYPNGRSTSSADYISIFIALAGAGGRSTTDEPVKARVSFTLLDRAGEPVPDHSVCSGVWEYPKVGHEHGCSRFIHKEFLEASEYLVDDCFTIECVVSVERANDLQQRLSLDPDVVFQVGGEAFSVHRQVAGVGGGARKIEQLGSRGLLHTHRRYAASGSLLETTTGPEEESMMAEHLLVAADRFDMQELKLICEEILSSDIQDYVRGIKRGMI >Sspon.08G0016800-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8A:66046579:66047181:1 gene:Sspon.08G0016800-1A transcript:Sspon.08G0016800-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPPAAAAGMMAVNAKRYTVVALLATLAVAAMVTVFFVVLCPARITFSVARTGSSHNSSGPAGGGGSSVLSLTLAADNPSRRAKVTYESMFVDVSNSTAPGAQWDNWVRATVTTRMPLRQSGRTAAIDVTVPLVDAPWTQDFTGNMSSLFSVMVTAQARFRVGVAWTRLYDIKVSCSPVSFFTAKAIPAGAAGGAAGLP >Sspon.05G0027240-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:48897400:48945523:1 gene:Sspon.05G0027240-2D transcript:Sspon.05G0027240-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCVAWWAVLLAAAALVGAPREPHSVRARTWYDDRFALVPVEFGGGGGDGEGAAGLRGELPCQTYSANSRSCEELNGSGSFNTTCVISSSSSLDGDLCVYGDGSVEIRPHVKIICPVRGCYITVNVSGSIRIGEHVEVIAGSVSLCAANVSLNRHSTINTTALAGEPPPQTSGTPHSLEGAGGGHGGRGASCKVSNDTNWGGDVYAWSTLHWPWSYGSMGGSMSADQFGGYGGGRVMLRTTDFLNVDGQVLAEGGVGSLKGGGGSGGSIIIQAFKLYGNGTISAAGGNGWGGGGGGRISLDCYGIQQDLEITVHGGQSFGCAQNAGAAGTIYDSSLQTLKVSNGNFTTRTETPLLGFPMTRLWSNVLVECYAKVLVPLLWSRVQVTGQIRLLTKGSICFGLSENPISEFELVAEELLMSDSVIKVYGAFRMYVKVLLMWDSNIQIDGGAKDVVLASMLEARNLVVLRHGSVISSNADLMVYGQGLLNLSGPGDGIKAKRLFLSLFYNIEAPLDEAVPSSLDALSRCESKTCPSELITPPDDCHVNRSLSFTLQICRVEDITVSGIVRGSIIHIHRARTVTIAKDGTISASELGCKEGIGKGKFLKYGAGGGAGHGGRGGTGIYNGMKSEGGLEYGNADLPCELGSGSGGSALSADNTAGVIGSMKWPLSRLLVYGSLNSDGESRRGTSENLNGTLENSNGTFKGGVGGGSGGSILLFLQWLLLEKNSSLSASGGNGGVYGGGGGGGGRIHFHWSNIATGDEYVQIASVNGTIASSGGSGKNDGHFGEHGTVTGKKCPMGLYGTFCTECPVGTYKNVVGSNSSLCSPCSVDNLPNRAEFIYVRGGVTEPPCPYRCISDKYKMPNCYTPLEELIAVRIKIGESDITYRATNAIHNDGCSSFPFLLSLARDALSRCESKTCPSELITPPDDCHVNRSLSFTLQICRVEDITVSGIVRGSIIHIHRARTVTIAKDGTISASELGCKEGIGKGKFLNEGGLEYGNADLPCELGSGSGGSALSADNTAVIGSMKWPLSRLLVYGSLNSDGESRRGTSENSNGTSENSNGTFKGGVGGGSGGSILLFLQWLLLEKNSSLSASGVYGGGGGGGGRIHFHWSNIATGDEYVQIASVNGTIASSGGSGKNDGHFGEHGTVTGKKCPMGLYGTFCTECPVGTYKNVVGSNSSLCSPCSVDNLPNRAEFIYVRGGVTEPPCPYRCISDKYKMPNCYTPLEELIAVRIKIGESDITYRATNAIHNDGCSSFPFLLSLAEVPGASRAEETQSHVHRMYFMGPNTFREPWHLPYSPPDAIIGIVYEDAFNRFIDEINLVAAYEWWEGSIHSILSVLAYPCAWSWKQWRRRKKIHRLQEYVKSEYDHSCLRSCRSRALYKGLKVGSTPDLMVAYIDFFLGGDEKRLDVNSDFAAILIRFIVHHNQICRVEDITVSGIVRGSIIHIHRARTVTIAKDGTISASELGCKEGIGKGKFLKYGAGGGAGHGGRGGTGIYNGMRSEGGLEYGNADLPCELGSGSGGSALSADNTAVIGSMKWPLSRLLVYGSLNSDGESRRGTSENSNGTSENSNGTFKGGVGGGSGGSILLFLQWLLLEKNSSLSASGGHGGVYGGGGGGGGRIHFHWSNIATGDEYVQIASVNGTIASSGGSGKNDGHFGEDGTVTGKKCPMGLYGTFCTECPVGTYKNVVGSNSSLCSPCSVDNLPNRAEFIYVRGGVTEPPCPYRCISDKYKMPNCYTPLEELIAVRIKIGESDITYRATNAIHNDGCSSFPFLLSLAEVPGASRAEETQSHVHRMYFMGPNTFREPWHLPYSPPDAIIGIVYEDAFNRFIDEINLVAAYEWWEGSIHSILSVLAYPCAWSWKQWRRRKKIHRLQEYVKSEYDHSCLRSCRSRALYKGLKVGSTPDLMVAYIDFFLGGDEKRLDVASTIQKRFPMCLIFGGDGSYMSPYYLHIRQGSVRSTLGPVVSWINSHGNPQLERHGVRVELGWFQSTASGYYQLGIVVAVNENFYKSLHHHEHAPEFCARIRFEKEIPTTDFNQANQDQPCTSYTVSRKRLTGGVNGGIINEGTLKSLHYKRDYFFPFSLLLQNSRPLGYAETLQLLICIVLLGDFSITLLMIVQYYWISVGAFLAILLIPPLALLSPFLAGLNALFSRGLKRSSVTRIFALWNITSVVNIIVAIIYGSLYFWFSSLVVFKSREDNEWWILPTILFLIKSLQAGLVNWHVANLEIQDYSLFSPDPDRFWAM >Sspon.08G0004510-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:10803440:10806188:1 gene:Sspon.08G0004510-2B transcript:Sspon.08G0004510-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSGRLNVTTSSSPGDDFPFAAMQQHQPPPPPPPPYVGFEHGVAGGGGGQRGAGGVGIQQHHLYDGLDFAAALQFQQEAPHHHHQLLTLPSSLGPMAPPPLPLQMPGMAGMPGDHVYPALGMVKREGGADGAAAGRIGLNLGRRTYFSPGDMLAVDRLLMRSRLGGVFGLGFGGAHHQPPRCQAEGCKADLSGAKHYHRRHKVCEYHAKASVVAAGGKQQRFCQQCSRYVFHVLSEFDEVKRSCRKRLAEHNRRRRKPATTASSKDAASPPANKKPNGGSITSSYSTDNKNLSTAKSTMSSNTSSVISCLDQAGNKQQLARPTLTLGASQDKDHQQQQLSTMLQVQAAGGHHQEQHFITSLQVHNNGGGGGNNILSCSSVCSSGALPSANGEVSDQNTTTTNTGNGGSSNNMHNLFEVDF >Sspon.06G0022130-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6C:25695171:25699812:-1 gene:Sspon.06G0022130-2C transcript:Sspon.06G0022130-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRSLPEAGSFFRRLLGRGSLEGGPAAGATAPFRHFVEQLVGGAAPISSAPSALAAASAERGTGGSDRASLTVHFLRHSCGLEDADAAKAAERVHLRSTKNARAVLALLRDTHGLPLASVARLVAAYPAVLSSLTLGAKLDFYLRELGLSPAELRRFLLASPNRFLTAGLDTRLRPNLSLLRNLLGTEENVLAAVKQSMELIYDNLEIVLLPKLQVLRDHGVTEEVLVKLVTTHPKALVHRSTRFDEGLAAMKDLGVSPDSGIFPYAFGVFAKMYQSKWDRRVQNYVSLGWTEEQIRRAFVRHPYCMTVSEDKVKKCMQFIAEKLGWDPDYLSSCPTILSFSHEKRVLPRYRVLDILVSRGVIKNGIRMSHLTVSEKKFKEKTASGVSFEPLFICFGDDEVTRDIQGGISWCMLFADDVVLVDDSREGVNRKLELWRHTLESKGFRLSRTKTEYMRCDFSATRHEEGDVSLDGQVVAKKDTFRYLGSMLQKDGDIDKDVRHRISAGWLKWRQASGVLCDKRVPQKLKGKFYRTAIRPAMRDRVRNDDIRDRVGVAPIKEKLIQHRLRWFGYIQRRPPEAPQLHSPPRLPLHGSSASPASISTVLERSNPSFSLSTAPLAHHDLLERMDPPWPSPSDLPCPSLLER >Sspon.07G0001370-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:2494197:2499914:-1 gene:Sspon.07G0001370-3D transcript:Sspon.07G0001370-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRCGVASVTFYVTVRRAPPPPHGQARAHGEHGTRVAPSEAAATSPHRSSVHSCASPSSFPTPFQRNGRAPLYKPPPAPHHHRLAPSRPPILSSLPPTPGAIDPSVRRLLRPSVAMQFSSVFPLEGKACMRPVRRGSEGSWSERMRIGNSCSIRRNKALRRMCFGARGAVSSAQCVLTSDAGPDTLVVRTSFRRNYADPNEVAAVILGGGTGTQLFPLTSTRATPAVPIGGCYRLIDIPMSNCFNSGINKIFVMTQFNSASLNRHIHRTYLGGGINFTDGSVEVLAATQMPGEAAGWFQGTADAVRKFIWVLEDYYKHKAIEHILILSGDQLYRMDYMELVQKHVDDNADITLSCAPVGESRASDYGLVKFDSSGRVIQFSEKPKGAALEEMKVDTSFLNFAIDDPTKYPYIASMGVYVFKRDVLLDLLKSRYAELHDFGSEILPKALHEHNVQAYVFTDYWEDIGTIRSFFDANMALCEQPPKFEFYDPKTPFFTSPRYLPPTKSDKCRIKDAIISHGCFLRECAIEHSIVGVRSRLNSGCELKNTMMMGADLYETEDEISRLLSEGKVPIGVGENTKISNCIIDMNARVGRNVSITNTEGVQEADRPEQGYYIRSGVVVILKNATIKDGTVI >Sspon.02G0036760-2T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:31055060:31057006:1 gene:Sspon.02G0036760-2T transcript:Sspon.02G0036760-2T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAERGLGGGFFIFYVDRRWRRWDMTRGPVGGVIDEGINWIVAVTMDDLLSPCSSFSPPSPPSFFSHAGHPQWLLDDVVVAKNEGYDDVDDLWPVGSSLSPDSELSEQPLPPQPPPPPPKQKQELTSVTAPTQQRPGKRRGRKPGPRPDGPTVSHVEAERQRREKLNRRFCDLRAAVPTVSRMDKASLLADAAAYIAELRARIARLEAESRRSAAARWEPVAAAAAACGAHEGRAAGAADDEVVEVRMVGPDAAAVRATSAAPHAPARLMSALRCLELHVQHACVTRVNGMTVQDVVVDVATPLQDDDDGLRAALLQMMQDSAAT >Sspon.06G0009170-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:41536724:41547809:1 gene:Sspon.06G0009170-3C transcript:Sspon.06G0009170-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to 26S proteasome subunit RPN12 [Source: Projected from Oryza sativa (Os07g0435100)] MDPKMTEVTQMFARFKAAYARNDLDACVTLLSQLKVHLTKFPSLPPSFQQTPNAVEELKLARDIYEHAVVLSVKLEDQDAFERDFCQLKPYYMDTCGIIPPSPEEYPILGLNLLRLLVQNRIAEFHTELELLPVKALEHPCINHAVELEQSFMEGAYNRVLSARQAVPHETYVYFMDLLAKTVRDEIAGCSEKGYDSLSVSDAKQMLMFSSDQDLHEYITEVQPESVTDRSNVPPPPGPQARLRVQTRPNQIKAKEKHQPNAQANVFRPSPIPSPRPRAPASRGRRRHSLPPPPMDPKMTEVTQMFARFKAAYARNDLDACVTLLSQLKWHNSPSPEEYPILGLNLLRLLVQNRIAEFHTELELLPVKALEHPCINHAVELEQSFMEGAYNRVLSARQAVPHETYVYFMDLLAKTVRDEIAGCSEKGYDSLSVSDAKQMLMFSSDQDLHEYITEEHPEWEITNGAVFFQKAKESQPCKEIPSLQLINQTLSYARELERIV >Sspon.02G0018920-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:60963253:60969127:-1 gene:Sspon.02G0018920-1A transcript:Sspon.02G0018920-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKKGNPRPTLQPPVRKAPSHHTILLPILENSSNVDNKSAAQLLESIIFVIDAVLPLIRKPPQNVVEELEKDLKHMIVRHSYLTVVHACIKCLCALSKSAGRGPGLLENLVNIFYKHLSGATDCIHSGTQLLGRSLFCLGLLLRYGYQLMLTSENQLDFPKIINLLQRKYLLRDDFSLKVRALQTLGYILIAKPEFMLQKDMLKLIETALSPEVDYRLKIQGLQNLYEYLRDAESQLTAESTAKPPVQCEINGGSEVPVAAGAGDTNICGGIIQLYWSSILDRCLDTNDQVRQSALKIVEVVLRQGLVHPITCVPHLIALEMDPLEGNSKLAHHLLMNMNENNHKMAANIKSNPIAFVKPGISRIYRLIRSNRNSRNKFVHSIVRKFESDSRSRSTVSFLVYCAEVLASLPFTCSDEPLYLIYDINRVIHLRAGGVEGNLKRWTSMSQPQDTAGVSTLPRESHVVMQEPGGYYNNVGYVPERVNDNNPWSTSDVDMAKVQGDCHDAIALQLLLKLKRHLKVVYSLDDARCQAFSLKEPPKSGEAFSRQNVPFNIGNTNISLPSCLQDVASVYQDFKTALREDSMDFAVFIPSVQTRKRPTPRSTTNPTPRSTMRVRRTAAPSVTKAHGGARGDDSDDADDDDWTGGPRMLDFSGGGRVTRQRVQ >Sspon.04G0014160-1P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4C:72228813:72230649:1 gene:Sspon.04G0014160-1P transcript:Sspon.04G0014160-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGFRPSRRRRRRGGADAGTCPRRGGAAAPAVPPRSRWAAEIKVYTRKHPRKNPKPPPPEPAPSPSPSPSPAPAPAPAPTPAPAPAPAPAPAPAPNPLSETLSSIRRNIRRAEAAGAAARPDPAAPASAPARTPSGKRGAASGDPSSGLNRVGGRIPNGHGDDRAAAAAEKAEKARKRRARSELRRRLAGELDQVRVLSKRLKEAAEALAQREASEPAPLPLMVLPTQQQAVDAGYVQPQFSAGDMAVPMSAQIAAAVTPGRTLLQRRPLTVSVIHNEAFEKEKRTPKANQLYQNSEFLLAKDRIPPSDSHGRKKSKHHKKKHRTLESRGADFDAERRLYSHAFKKSSSLLSRLMKHKFGWVFNKPVDPVALGLHDYFIIIKHPMDLGTIRGRLSHGQYRNPKEFAEDVRLTFHNAMTYNPKGQDVHFMAEQLSGIFEAQWPEIEAEVNYLASCPPLPKKFPPPPIDLRFLERSDSMRHHMALDTNSRPISHTPTYTRTPSMKKPRAKDPNKRDMTIDEKRKLSENLQNLPPEKLDAVVQVIKNKNLSVMQHDDEIEVEIDSMDAETLWELDRFVANYKKNLSKQKRKAERAMLARQDAELRAQHPIQPPQP >Sspon.07G0017940-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:64822476:64830458:-1 gene:Sspon.07G0017940-1A transcript:Sspon.07G0017940-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFYLNYRDGVSESQFYRTLNIEFYQVSIVCLNEHQKSPAIQRPGNEVPTTATENLHHRPKVKGSGPRPKEPKHRGKGSNNRTIKPAAEIWINRTQAAASRPRRVKPKDISMWYLTSWKHTVQSPGLLIQRLVAGGEVLGRAARQERQVKQRAAAVSLGRDGPCEATGAEREWQGATEHAKKKGAASLKVADGGRTAERAAHGQRRSSGRTAVADLGEIEDSSVR >Sspon.05G0017140-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:71647482:71653653:-1 gene:Sspon.05G0017140-1A transcript:Sspon.05G0017140-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding EKHLSMDRRLLEAAISGDFPSLKQQLALDDPGVLLATTPQGNTCLHIASIQGHEDFCKNVLSLDHSPAMALLSAVNRDGETPLLTAMARGRASVASVLLRCCRDHRLRLSETTILKQDKHGCNALHHAIRRGHRMMALELIEADPTLSKAVDDCNESPLFIAAARDFTEVFHKLLEIPDSPDSGAFGFNVLHAAVRSGNLAIAKRIMETRPWLVSLENETKYTPMHLAAYENKVDVLTLLLEHDPFLGYLTNTIGYPLLCVAACMGHVDVAREILKHCPDAPCCDANGSTCLHVAVLYGQAVFVEFVLGSQQLQHLINMADNNGETALHLAQRNGLMWIAAVLGDKEKIINNNPVKTTASTSSSEGTPMDGRVLKAAVTGNARLMKDLASHDPSVLLGTTLQGNTCLHIASIHGHEGFCKDVLTLNQSLPLLNTINADSETPLLTAVARGHTTLASVLLRFCRDQQLTETILKQDKRGFNALHHAVFRGHRKLALELIEAEPALSKAVTKPLESPIFIAVMRGYGDVLEKLLEIPDAAHGGARGYNALHAAVTSGNAVVAKRVMEACPGLARQENEDKCTPMHLAVIENKIDVFTVLLQHDPSLGYLISSSDGSPLLSTAASQGNVGVARELLRHCSDPPYCDATGSTCLHIAVSFGQADFVRFVLRSPQFQHLINLPNDRGETALQLADPGMIAALQWTDSSKQQYVHLYLHVPGVLLGTTPQGNTCLHIAAIHGHEVFCKEVQALNPSLLAAVNLDGETPLLAAVASGRVSVASVLLRCCRDQPLSEVILKQDKHGCNALHHAIRSGHRELALELIEAEPALSHAVNQYRYSPMFIAVMRDYGDVFEKLMEIPDSAHGGPKGYNVLHAAVRNGNSGRTRGICKTKI >Sspon.08G0005810-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8A:18366800:18368653:-1 gene:Sspon.08G0005810-1A transcript:Sspon.08G0005810-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVATARELINVIINPTALPILLLVAGLTLLYVLRRRGSGSGGLRLPPSPFALPVLGHLHLLAPLPHQALHRLAARHGPLLYLRLGSVPAIAACSPDAAREVLKTHEAAFLDRPKLTAVHRLTYGGQDFSFSPYGPYWRFMKRACVHELLAGRTLDRLRHVRREEVSRLLGSLSRSAADGAPVDVDAVLMGVTGDVISRMVMSRRWTGDDSAAEEMRSVVTETAEITGMFNLQDYIGLFKHWDVQGLGKRIDAVHRKFDAMMERILTARDAERRQRLKEAADDAGHGDKKDLLDMLFDMHEDEAAEIQLTRDNIKAFMLDIFAAGTDTTAITLEWALSELINNPAVLRRAQAELDAVVGASRLADESDIPRLPYLQAIAKETLRLHPTGPMVVRRSMEPCKVSGYDVPAGATVFVNVWAIGRDPASWAPDPLAFRPERFLEEEQGGGDSAGLDVRGQHFHLLPFGSGRRICPGASLAMLVVQAALAAMLQCFEWTPVGGAPVDMEEGPGLTLPRKRPLVCTVKARLHPLP >Sspon.03G0038370-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:4295684:4305835:1 gene:Sspon.03G0038370-1C transcript:Sspon.03G0038370-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DYIANPSTMDMAYNVLIDHALAESDRSCPEMRVIVKEISYPLVKFVCTKTIEDFVFSSDFVGLQDFHIHGFLEVGAAALLVGDMDAKINDQQWKYSVTQEFPDIDLLQPSSSAPSTFGSSQSHLKAITASKRMRSAPNQVWMNIPINTFQPRARPLFQYRYYSEQQPLRLNPAEISEVIAEVCSEATSNANQSIAPSRLSTQSRQPSADVAFSVLIKLVIDMYMMDSETAAPLTLYMLEGMLSSQKSSARTKALDLILNLGVHGHLLEPMVVGDAPLIDKSESVNHSYLSNEYGSSIDEPREAEPEEEQKISPAIDQFESWLLKILFEVLLLLVQMEERQEIVWASALSCLFYFVCDGGKIIRSRLGGLDIRVVKTLLEISVEHSWAKVVHSKLICMLTNMLYQVSDLAQNGVRDTQFVPERIDLLGGIDYICLEYSRANSREEKRDLFFVIFDYVVHQINEACLAGGISTYTYDDAQPLASLLAFADAPEAFYISVKHGVEGVGDMLRKAISAALSQSAQYDQLNVLLDKVMRKLDGTASTFSRIDNEFSYMIQVTKSCKCFSSIKDGCDDADVALRARLCWATLHSLLHSQISSYRHHGYIWLVELLLSEISEETDGSVWSKIQKLQEEIEVAGSQDLSCSEVSLPVCMLCGLLKSKHNFIRWGFLYVLDKFLMRCKLLLDDSDMQDHATADRSKNCLDKAFAVIDIMNSALLLVVQNNETDHINILKMCDMLFSQLCLRIPSTNVMHVRGLQSLGQLFGYTSKNIDSHLETLASHQSVGNKNLCRSETLQDIGMDKSGQSTSFCEASMAALLLRGLAIAPMQLVARVPTSLFFWPLIQLEGAASDDIALGIAVGSTGRGNLPGATSDIRAALLLLLIGKCTADQEALKEGLLDDTDSRVAYYSAAFLLKRMMTEEPEIYQRMLQSLISKAQQCNNEKLLENPYLQMRGILQCYVNHHNFIM >Sspon.06G0019260-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:17297453:17298662:-1 gene:Sspon.06G0019260-2C transcript:Sspon.06G0019260-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFVGELHWWTTDADLEAELSKYGPVKEVRFFDEKASGKSKGYCQVDFYDPAAAAACKEGMNGHSFNGRPCVVAFASPNTVPHGRGAGEDPAGLGRTDIISATKGGGGAGMPYAGGNYSGGRGGGAVSGGSGGNWGRGGGGGRGPVGNMRNNRMGPAVGRGIGNGMVAPPPPMLPQGGMLGQGFDPGFGAMGRMGSGFGNFLVGPGAGPFPGMMQPFPPVVAPHVNPAFFGRGGMGAGGVGMWPDPSMGAWGAEEQSNYGDDAASDHQYGEGGSHGKERPPEREWSGASERRRDTEKDMPPEQELPERRHRNEHDMGRDRDRDYDRDRERDRDRERDRDRERDKDRGRHRDDRDRYGDYHMHRDRDSERNEDWDRGRSSGIRNRSREADHSKRRRMTPQ >Sspon.02G0005390-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:16951679:16953242:1 gene:Sspon.02G0005390-1A transcript:Sspon.02G0005390-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMVSGAKRRGLQEEEEEHEERLDRISRLPDGVLGDIVSLLGANNVTEIPITPSAPTTSPKSGLPVTSLDGWLESPALDRLQELEFHYGRPCLSKLALPLPLPPPPASVHRFSSTLRAVSFGGCGFTDGNNAGGLHFPVLKQLSLSDVRFSKSSLRALLDACPVLQSLLLMGSIGFPRVQIASPILRSIGVRPSCGPMNLQLQHLIIEDAPCLERLLCFDCFCTGITISVISAPKLLVLGPHSPRLQFGTSVFQYVVLYLGISLVSLKMVMHSVKVLSLSEERLSLDVTKMAGEKNVWCHKYRNLIGTLDIRLKKIVLAGYRGNTSHVNFAKFFVLNARMLESMRLELYVALEDPSNAWIDKQHRLLRTKNKASRDMQLDFASNYGLLLTYSFFRPEQVHDLSTADPFP >Sspon.08G0024060-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8B:61566807:61567295:1 gene:Sspon.08G0024060-1B transcript:Sspon.08G0024060-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDEQSHIYDQFFNFTLVANNTSRRTSVWYGSLSAEMWYSETAWIPAELDTTAQQQLLADVWWRPGSTAAVAAWAEWGQYNEEPPTKRSNMTMTTPTDMVPVVVVKWPFCRVVMKARVWFRYGLLPTRPYTIRVSCFPVIWIFRNVTAANQTRPPAIINCIG >Sspon.02G0041400-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2B:75279736:75280087:-1 gene:Sspon.02G0041400-1B transcript:Sspon.02G0041400-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTATQQDHDLHRPLSPEPYEARLDLSHLSAKHRPDAHSIGYGEDDHPIKPVGPRAEHHTLGSTVLTDKTIPGLGYVTPRGSTPSKSHPT >Sspon.01G0049040-1P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:97217723:97219395:1 gene:Sspon.01G0049040-1P transcript:Sspon.01G0049040-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GIRIPSHAVTHPHPPPPPLLLSCFFVVSASGRRFFCAERFLVVVLALVRCLSSPFAFACMGLDVGEIGMGLDLGLDLRLFAARSAGGMAAAAAKGAPAGIESCIRSLEEERKKIEVFRRELPLCARLLADVIDELKEEAAKRGRDAEAKADDGDKRKWMSTAQLWVDSDAKSDESDKEQRSEITSPSPKLLGGAPMPIRAVAAVPPLPPPFFRREDSSAGTGLSLVSPATKAPISPVAASDNTSGRFCATMPPSGSGVNLHSQAQQQASRKARRCWSPELHRQFVAALHQLGGPQVATPKQIREVMQVDGLTNDEVKSHLQKYRLHNRRSPGAAPVSQPIMLVGGLWAPQEQSSSGSPQGPLQFSGSGVAISTATVGGGDSSSSDEDNKSEGYSRK >Sspon.07G0003870-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:8575913:8588200:-1 gene:Sspon.07G0003870-2D transcript:Sspon.07G0003870-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGLSADACAVHLSSGYVSVVIIESLGQAKISNLGLQSRTQDLTGNQLNGSIPPGLLKRIQDGSLSLRYGDNPNLCSNSNSCQSAKKKNNPMLAVYIAVPLVVFVVVGTLGLLFFFMRGKKVDTGINTLKGSEPGNSLNMKNRRFTYNEVKAMTNNFQRELGKGGFGNVYDGVLKDGTRVAVKLLSECSKQGVGEFLTEAETLTKIHHKSIVSLIGYCKDGRYMALVYEYMSGGTLERKLRGSDDGSTGSLTWKQRLHIALYSAQGLEYLHKSCSKRLIHRDVKTSNILLNDNLEAKIADFGLLKAFHRDEDTHVSRTRVVGTLGYFAPEYFGAQRLTEKCDVYSFGVVLLEVITGKPAILKCPEPTNIITWVRQRLNRQNIEDVVDPRILQDDYD >Sspon.04G0016710-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4A:61298104:61301279:1 gene:Sspon.04G0016710-1A transcript:Sspon.04G0016710-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MWKPAWLEALNTQKFFVACSFHEHAKKNEKNICCLDCCTSICPHCVAAHRVHRLLQVRRYVYHDVVRLEDLEKLIDCSSVQSYTINSSKVVFLKKRPQNRQFKGSGNICTSCDRSLQEPYFHCSLDCKVEYILRQKKNLSAYLRPCKSLQLGPDFFIPHDADDDTTHSTLVDVDEPMGSSDSENLSAPCTNFVRKKRSGPYICARSANRVSDEDMATNMSRRKGFDSSRVRLGCSPLNTTAFLCFQSSQQQRSKKVDSIGAGMLPGSVEFHSSVTGAMHIKFPPPTCFQNSWRKGQWATI >Sspon.01G0009760-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:27747492:27749379:-1 gene:Sspon.01G0009760-1A transcript:Sspon.01G0009760-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAQTAEELATQIEQQKLEEQKTEAEEVVVEDEDDDDEEDDDDDKDDDELDGQEGDASGKSKQSRSEKKSRKAMLKLGMKSITGVSRVTVKKSKNILFVISKPDVFKSPNSDTYVIFGEAKIEDLSSQLQTQAAEQFKAPDLSQMISSPETSGPGQEDNEEEVDDTGVEAKDIELVMTQASVSRPKAIKALKASNGDIVTAIMDLTN >Sspon.03G0040200-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:28222008:28224316:-1 gene:Sspon.03G0040200-1P transcript:Sspon.03G0040200-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADQLSEDQIAEFKEAFSLFDKDDNGYITTTELGTVMRSLGQNPTEAELQDMIAEVDSNSSGTIDLQEFLGLMARRMKDTESEEELREAFRVFDQDQNGFISATELRHVMTNLGEKLSDEEIGEMIREADVDSDGNINYDEFVKVMMAKRRSKRTEEKAAARGKKKAGAPSDAGKRGQKCVIL >Sspon.03G0010740-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3B:36176117:36181527:1 gene:Sspon.03G0010740-2B transcript:Sspon.03G0010740-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SSVEAEFFTEYGDASRYKIQEVIGKGSYGVVCSAIDVHTGEKVAIKKIHDIFEHISDAARILREIKLLRLLRHPDIVEIKHIMLPPSRRDFKDIYVVFELMESDLHQVIKANDDLTKEHYQFFLYQLLRALKYIHTDQLCIAAATGWPSLFLRCHSPTMYTPTQPPCADGLPARSILLATSHRFGSTARARQRQALASVSYPVCGTTCMRRWLLVFPQDYVATRWYRAPELCGSFFSKVRNEKARRYLSSMRKKETISFSQKFPNADPLALDLLQRLLAFDPKDRPTAEEALAHPYFKGLAKVEREPSCQPITKMEFEFERRRVTKEDIRELIFREILEYHPQLLKDYINGTERTTFLYPSAVDQFRKQFAHLEENSGNGPVIPMERKHTSLPRSTIVHSAPIPVKEQPRIGPSRERPSSDESYRNPRETEKYSGNLPRMSQAPQRVPTARPGRVVGPVMPYQNGDTKDPYDTRRLAMNSGYPPQQQIPQTFGYYQTHGKPACSEPSQAERYTLHQQAYACANRTAVSDVALDMRAPPFHHLSAGKKGDYSDRLTAETNLYTRSLNGIAATAAGVAATTHRKVGVVPFGMSSMY >Sspon.01G0048440-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:104480731:104482880:1 gene:Sspon.01G0048440-1P transcript:Sspon.01G0048440-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPPWPLASLCRAHLVLVLVPALLLLPSMAHGGTAPCGSPCSVGDESVRALSVGEELVAETMPLRHGRRVYRIDGLRASAWYEVKISYPASVPSSFSIQLLDDWSSKNRRLLNTEKIIFKAESSNPVYVLVTVEPEGVVAKPHVPERELALFNIVCDELMLGIPHFAWWVGIAALLCIVLAAVAPLVLPLHKLLNCEGTESSKASAAKMS >Sspon.06G0000240-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:14770830:14773936:1 gene:Sspon.06G0000240-4D transcript:Sspon.06G0000240-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPAYDSTARRLPSSIGGGVRGWVPLCRHATLSASSPALPFAFPPSSPPKNPCAYTAAALASRIRPAGIQFENNCEIGVFSKLTNAYCLVAIGGSENFYSAFEAELADAIPVVKTSIGGTRIIGRLCVGNKNGLLLPHTTTDQELQHLRNCLPDQVVVQRIDERLSALGNCIACNDHVALTHPDLDKETEELIADVLGVEVFRQTIAGNILVGSYCTFSNRGGLVHPHTSVEDLDELSTLLQVPLVAGTVNRGSEVIAAGMTVNDWTAFCGSDTTATELSVIESVFKLREGQPTAIVEDMRKSLIDSYV >Sspon.05G0002860-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:8255051:8255491:1 gene:Sspon.05G0002860-3C transcript:Sspon.05G0002860-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSKMLLAALCVVALLAVRSESHGLGDFTSGEATPQMQNFFKPEAAALPESLDGSSMPAATMAAKPEATVIPTTTTTATADTSAATAGTVAKSSATPRRSVSVAAGVACGVAAVAVVGIAAAVAYVVRGRRGARRGTAVQLGSSP >Sspon.01G0056440-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:89438582:89444107:-1 gene:Sspon.01G0056440-1C transcript:Sspon.01G0056440-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:MRS2-11 [Source:Projected from Arabidopsis thaliana (AT5G22830) UniProtKB/TrEMBL;Acc:A0A178ULQ2] MASVPLSSPSQAGLLVLPLPLLQPAVGACLRYRLPRLPPGLSSVRKGGLLPLPLLVPPRAVEGNDGRAVTKEEVEEEEEEVEVEVTKEGEEDTQERGGTGVTKEEAARGSERFAADYIPLGIREPVYEAIEVRSNGRMSTKKISRRQLLKSSGLRLRDTRSVDPSLWLMNSMPSLLVREQAILLNLGSLRAIAMYERVLIFNYNSPGGKAFLGLLLPRLNPRNINGGPAMPFQLEVVEAALISRIQRLEQRLMKIEPHVAALLEVLPNRLTADVLEQLRLSKQALVELGSRAGDLKQMLIDLLDDPHEIRRICIMGRNCTLDRLSDNMECSVPLEKQIAEEEEEEIEMLLENYLQRCESCHGQAERLLDSAREMEDSIAVNLSSRRLEVSRVELLLQVGTFCVAIGALIAGIFGMNLKSYLETNAWAFWATTGGIVVGAVAGFFLVYSYLKARKIL >Sspon.02G0016540-3D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2D:39947504:39948137:1 gene:Sspon.02G0016540-3D transcript:Sspon.02G0016540-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYRAEDDYDYLFKVVLIGDSGVGKSNLLSRFTRNEFSLESKSTIGVEFATRSIRVDDKVVKAQIWDTAGQERY >Sspon.03G0035200-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:79471072:79475102:-1 gene:Sspon.03G0035200-1B transcript:Sspon.03G0035200-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQEDQEAQKPLLVTAAGRSGDGWHLAASGAASSSSSIAVVVASTAVAVAGSFEFGISVGYSSPSQPGIMRDLNLSLAEYSVFGSILTIGAMLGAIVSGTVADRVGRRSAMAISDLLCILGYLLITFSQNFWWLDIGRFSIGCGIGLLSYVFMICCGASLAYVLGTFITWRTLATIGVAPCLLQLVGLLVTPESPRWLARFGQPGEFEAALQKLRGKGTDISDEATGIKVGVGLMVLQQFGGVNAICFYASEIFVSAGFSSGNTGMLAMVAVQIPMTGLGVLLMDKAGRRPLLMVSAAGTCLGCLLVGLSFLAKVSNFPFVGTISLKFLFLEQEHHWGKDLNLIFPINMKGAAGSLVTLVSWLGWWIVSYAFNFLLVWNSYGTFFIFASICGLTVVFVELLVPETKGRTLEEIQASMNSSLTPPFHT >Sspon.01G0027660-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:96792144:96793279:-1 gene:Sspon.01G0027660-1A transcript:Sspon.01G0027660-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEPSFFHQWQSDALLEQYTEQQIAVAFGQGEVDHAVAAALSTMPMLQQQQPAAAEHPHRPRKAAKVNTSWDSCITEQGSPADSSSPTILSFGGHAAAAAAFAKAEPMHYAPNAAYFGAAKAPKQEVVDAAAAALPFQQPHPAKRSYDDMAAAPAAATTRPASQNQDHILAERKRREKLSERFIALSKIVPGLKKRARLASQPMDKASVLGDAIKYVKQLQDQVKGLEDEARRRPVEAAVLVKKSQLSADDDEGSSCDENFVGTDASGTLPEIEARVSDRTVLVRIHCENRKGVLIAALSEIERLGLSIMNTNVLPFTTSSLDITIMAMVSQLDQPLSV >Sspon.04G0027530-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4B:59708694:59708966:-1 gene:Sspon.04G0027530-1B transcript:Sspon.04G0027530-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKCGRCLLHQPPSGSAELGGQKLLAVTVTVTRNGSGAGSGRISAGFRSSGFGFGFDFSPTVFGFGYPKLIGFGFGFRFSPADTQWISEI >Sspon.04G0024460-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:19674729:19681383:-1 gene:Sspon.04G0024460-1B transcript:Sspon.04G0024460-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHVIGGKFKLGKKIGSGSFGELFLGVNVQSGEEVAIKLESVKSRHPQLHYESKLYMLLQGGTGIPHLKWFGVEGEYNVMVIDLLGPSLEDLFNYCNRKFSLKTVLMLADQMIARVEYMHTRGFLHRDIKPDNFLMGLGRKASQVYVIDYGLAKKYRDLQTHRHIPYRENKNLTGTARYASVNTHLGVEQSRRDDLESLGYVLMYFLRGSLPWQGLKAGTKKQKYDKISEKKMLTSIEALCKSYPSEFITYFHYCRSLRFEDKPDYSYLKKIFRDLFIREGYQPDYVFDWTVSRQAAENNRLRLSGKTGGLVGPSVDRAERAAARHDVPERFSGPADAFARRTGSGSGHYGEHTKHRTLLDSLVASKMAVDSDKRRHSSSRNGSTSRKALLSSSRGSGDPSDPNRSSHLVPTTTSSSRPSINQRLHQSTGLEGRTSSFPKPGRIGHDDPTMRSFERLTISAERRK >Sspon.01G0029750-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1A:103259222:103259848:1 gene:Sspon.01G0029750-1A transcript:Sspon.01G0029750-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEKKQGLQLLDFWVSPFGQRCRIALDEKGLPYEYLEQDLANKSELLLRANPVHKKIPVLLHDGRPVCESLIIVQYLDEAFPATPPLLPAGDPYARAQARFWADYVDKKLYDCGTRLWKLKGDGHAQARTEMIEILRTLEGALGEGRFFGGEAFGFVDVALVPFTSWFLAYERFGDLSVEKECPRLAAWAKRCAERPSVAKNLYPADK >Sspon.01G0050020-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:78994333:79002184:1 gene:Sspon.01G0050020-1P transcript:Sspon.01G0050020-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGAEVAVVEDTAAVGEPTDVAAPAGGGEEAMRMEGWLYLIRSNRFGLQYSRKRYFVLEDAALRCFKSAPSSKREDPVRSAIIDSCIRVTDNGRESLHRSDFYIFTLYNASNHYDQLKLGARSSEEAARWIRCLMESALKSPRKDEHIVACSHRRWQAFRLSRRNSRMHSIDWTLFSSAHNDPMASDVIAPSPWTIFGCKNGLRLFTEANDGGFRGKYWDDHPAIMAVGVVDANSEAVFQTLMSLGQSRSEWDFCLREGKVVEHLDGHTDIIHKKLRGDWGMRKRDLLLRRYWRREDDGTYVILYHSVFHYKCRPERGYIRACLKSGGYVISPVNQGRQSVVKHMLAIDWKFWKSYLFTSSAKYITIRMLGRVAALREFFRAKNGNCACMEFSSGELTRDMGLPQGENQRINLEIQQENENRRLEGPTEGSVGGSNRHLSSTSSFIQLNDAADEFFDVPDESEYDQREVMFPSDESTHASDQRHAKLSTAAVFVKRLHDLAVQKRGYVDLQGAADADNGPCCYGYTLPKDSSYTVPSTWAMTDPTTFLIRGETYLHDRLKIKANSTLMQMVGADWIKSDKREDDLAGRPGD >Sspon.01G0014960-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:42914802:42920361:1 gene:Sspon.01G0014960-1A transcript:Sspon.01G0014960-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAISMEGIEARARELGVDLSAVDLDSIALPAGEDFGILSDDEEVLRSEDPPELEMGLSNIIVVDNLPVVPPEKFEKLENVIRKIYSQIGVIKENGLWMPVNPETKKTYGYCFIEYNTPQEAELAREKTNGYKLDKSHIFAVNLLDDFEKYMKVPDTWTPAEIKPYTAGENLLKWLTDEKARDQFVIRAGTLTEVYWNDARKLAPELVFQKQYWTDSFIQWSPLGTYLATVHRQGSQVWGGENGFERLMRFAHPMVKLIDFSPGERYLVTYSSHEPSNPRDTHRVVLNIFDVRTGKVMRDFKGSADDYTTGGNIGVSGVSWPIFRWGGGKDDKYFARLGKNIISVYETNTFSLLDKKSLKVENVVDFSWSPTDPIISLFVPEMGGGNQPARVSLVQIPGKEELRQKNLFSVSDCKMYWQNNGEYLAVQVDRYTKTKKSTYTGFELFRIKERDIPIEVLELENKNDKIIAFAWEPKGHRFAIIHGDGPRPDVSFYTMRTANNTNRVSKLTTLKAKQANALYWSPAGRFIVLAGLKGFNGQLEFYNVDELETMATGEHFMATDIMWDPTGRYLATAVTSVHEMENGFQIWSFNGKHLYKVSKDHFYQFIWRPRPPSLLTPEKEEEISRYLKKYSKKYEQEDQDAFNQLSEQDRKRRTQLQEEWDSWVAKWKQMHEEERAYRMELRDGEASDEEEEYEAKEVEVEEVVDVHQEVLAFDLDQE >Sspon.01G0015150-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:44501852:44504004:-1 gene:Sspon.01G0015150-3C transcript:Sspon.01G0015150-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RMAIDHESPFKELRLKNRRIQGGGGPDLEPEEEAATAAYAEQWPRWLQPLLSARFFAHCKTHSDSHRSGECNMFCLDCSSAAAGAGTRALCSLCLAHGHRDHHTIQIRRSSYHDVIRVSDIQRFMDIAGVQTYVINSARVVFLNERPQQQKPGCGGGGKAASASANLCEVCARSLLDNFRFCSLGCKVIGCSPDAAKTRNWLLRPADGDDSTSSSSALRNADKKQSFTPPTPQPTLPTKRRKGIPHRAPFGSLIVEY >Sspon.06G0004400-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:11816107:11819813:-1 gene:Sspon.06G0004400-3C transcript:Sspon.06G0004400-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MYHPTRGGVRGGRDQFKWDDVKVDKHRENYLGHSVKAPVGRWQKGKDLFWYTRDKKSDTEDALKEEIRRVKEEEEQAMREALGLAPKRSNRPKGNRLDKHEYAELIKRGSTAEDLGAGHAEAAQVQGLGLYKAHRDEGESSSLNLDPPQMEPEQTDLPPAPKQEDSEDDRKGKRRREHDERRGEKERKRDKHGDGKERRRDKHERRHDSEDRSKRHRKDKQKRRHDSDSG >Sspon.04G0023900-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4C:19245835:19246870:1 gene:Sspon.04G0023900-2C transcript:Sspon.04G0023900-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFCARQQQHFNLPPPPTTKRCPRPAAPSTARAAWPRSRHPAVGLLRWSPRRPSLAALQVTASATTNAARSSEVICFKNIVRDTKLPRAGKFFELEMTVRDCDLDMYGVVNNAVYASYIETGRQEMIANLGVCTGSIVRSGRAMALSELNVKYLAPLKRGAKFVVMVRVVQIKGVRMLMEHLIATLPDRK >Sspon.04G0013680-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:56071314:56086376:-1 gene:Sspon.04G0013680-3D transcript:Sspon.04G0013680-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRYYCDYCDTYLTHDSANVRTYYQQFEEQQTQSLIDQRIKEHLGQAAAFQAGAPFNQHMLAFPGAVARPRLPILPTPGMPHGFPQAAGAPLMPGVRPPILPAPGIPAFSLHFIEEVICFIYSASFTKAPDQLSPPTPFSTETRSPSPPLPSSAAAMPRYYCDYCDTYLTHDSANVRTYYQQFEEQQTQSLIDQRIKEHLGQAAAFQAGAPFNQHMLAFPGAVARPRLPILPTPGMPHGFPQAPGAPLMPGVRPPILPAPGIPGYPGAPPTMPQPGAPPGSMPQPGAPPGSMPQPGAPPGSMPMQMAPLPRPPMLPPPTSGVPGAPIPNSAAPPVIYQANPTAPAGPTSGAPPAPPTAPQPTFSYAQPSEGNH >Sspon.05G0013970-3D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5D:49176706:49178723:1 gene:Sspon.05G0013970-3D transcript:Sspon.05G0013970-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPIPIGEWMASACITKTVSKVCSYLEDQYEYQRDDAKDKLTKLKNNLWKIPFVLDKASSLQTKDPSMQCWLGSIKDAAYQAADVLGLFDYRFFEAKAEDIGTVMAKSSDYVTVSSSSSTISATTNTTASTSTSSSSTVKRSVRILKRLLFSDEDLNKLIAIVDKFDKIASEMQTFLELADPRDRKPGKALHWRRTTSMLGTTKFFGRDGEETKLKKLLEQTNDECRQPYSVIAIVGVAGVGKTALLQRVYNHFRDIGHFDIMAWLYVSEKFGVKRLTKEMVQSQKCHRLKRKRDGTSRVSWDGSISADLNSISNLDLVQRKLQKKLNGTKILVVLDDVWNEMSSKWETLCKPLQFASMGSKVVLTTRSQKVAKINGATEIIHLDGLKGKDYLDHFQQCAFGNATPSDFPRLVEISEQLVMKLAGSPLAAKTVGGELKMKLQEDHWKDVLQLQLWQIEQTADDIMPALQLSYEHLPDHLKQCFVYFSLFPKNYQHRGDVLIQMWRAHGYIQKETSDENAYRYIDDLLQLSFIKEAANLDNHYVVHDLLHDMAESLSNGEHFRIEDDFHVSIPRNVRHLYVKASNISKVYMSLVESQESLVESQDLKKNLRSLIICQHHAASGERIPPDKFNNVLKETLHELRSLRVLVSRHK >Sspon.08G0015060-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:60332087:60334827:1 gene:Sspon.08G0015060-1A transcript:Sspon.08G0015060-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDATPPSKKAKTTTAAAPPPHKLREAAALADEVRTPEKPAKKLAPSPAPATAAEQIPTPEKPEEMPRARGRSVAFSVKEIRRAALGLRRPAAQAEAAVEDELESVERELGVGAGASRSPVKRKAEVKLPESYEMLCEFFNCLESSTRLLRMKGSKATFPNICSSIQHLTERRFTHSHLAQLKYIMPEAIVINKILLRDDTTCCMYPDLQVNLLVSAVDNVVKQKGETAYLALRRVFRQRLVEFYREHPEGDDIPEHELPHPFNRTRSSMPQDEQRTVPESSSPLKPSDVNGQQTAVMSHMSQSFKRRFSQRSPISSTTASAPSPLVKVASTVPSPLSRNSLFSRDVSGSMCVDDKSSAKEVVCKSGVLENTPAKFASTPVRLMAPTPDLKTPKRPTSATGYDTPPLKMAKRSARAKLFATPTKDSSKDGENQSANISGADADDELLSFLPQSLLKSVKEKEERALEEKETGFADQVKRQKLIASLPSTFDTIFLIYQSRQRSVMTKQELIHKIIASSPKIADRSEVEEHLTLLKELVPDWISEKTARSGDALCCIDATLSQSEIRQRLYDAAE >Sspon.06G0025770-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:71467559:71475112:1 gene:Sspon.06G0025770-1B transcript:Sspon.06G0025770-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MCTCPPLAQPLEEVPALRITPATTSRYRSASALNRFVNYLLFFRNRSPLHVAELNSYGGGNFDEAVQYLELWIRYSLSCAVAKLSVTSHDEYQLDCMGRTPLLVSMPHLVEAFIRLGDCNDGCKHRYEIGDCGDESCWGCRLYENNHGNNNCILLHGLSSCTNLELTAATTPLKTLLLNEWCITNNLGALICFLQHSPVLEKLIIQFEPPEIHERLVEIGASYDLRKQPLVLKDLNVEVRCDDGDEQVHKVLDILGSYGLPHEKFKIPPKLTRARFDDTWTSGSFSFEQEI >Sspon.04G0005110-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:42068293:42078347:1 gene:Sspon.04G0005110-1T transcript:Sspon.04G0005110-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPDVLIEFNLLNLFDAYMGIHKPKDRSEADLARRRLIFDDFFYLQLGRLFQMLEAVGTRVEKEELLYKCKNHELNTVGVDDWSPLTQKLLRALPYSLTQSQMNAVKEIIWDLRRPVPMNRLLQGDVGCGKTIVAFLACMEVVNSGFQAALMVPTEGLKTGEIAMVIGTHSLIADKTDFSSLRISVIDEQQRFGVVQRGRFNSKLYTSSSKLSDENTSSDETSDSETFMAPHVLAMSATPIPRTLALALYGDMSLTQITDLPPGRQPIETLALEGNDVGFETVFQVMT >Sspon.01G0037280-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:21013589:21015120:1 gene:Sspon.01G0037280-1B transcript:Sspon.01G0037280-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGGGGGCCPSMDLMRSEAMQLVQVIIPAESAHLTVSYLGDLGLLQFKDLNAEKSPFQRTYAAQIKRCSEMARKLRFFKEQMSKADITTSPTQLNETHLDFDDLELGELEAELTEVNANNEKLQRTYNELLEYHTVLQK >Sspon.01G0050220-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:89360276:89362365:-1 gene:Sspon.01G0050220-2C transcript:Sspon.01G0050220-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine protein kinase, Abscisic acid (ABA)-activated protein kinase, Hyperosmotic stress response, ABA signal transductio [Source: Projected from Oryza sativa (Os03g0610900)] MDRAALTVGPGMDMPIMHDGDRYELVRDIGSGNFGVARLMRNRADGQLVAVKYIERGEKARFFFQQLISGVSYCHSMQVCHRDLKLENTLLDGSTAPRLKICDFGYSKSSVLHSQPKSTVGTPAYIAPEVLLKKEYDGKVADVWSCGVTLYVMLVGAYPFEDPDEPKNFRKTIQRILGVQYSIPDYVHISPECQDLISRIFVANPATRITIPEIRNHPWFLKNLPADLMDDSTMSKQYEEPEQPMQSMDEIMQILAEATIPAAGSRGLNQFLNDGLDLDDDMEDLDSDADLDLESSGEIVYA >Sspon.06G0033660-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6D:18955856:18957539:1 gene:Sspon.06G0033660-1D transcript:Sspon.06G0033660-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GDGPRTPLALRLHPARPAPCRAVPAGTRWRQPRRGRMVVRAKIREIFMPALSSTMTEGKIVSWSAGEG >Sspon.07G0022220-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7B:6907538:6908407:-1 gene:Sspon.07G0022220-1B transcript:Sspon.07G0022220-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNALHDKTYEAEVDTLPNFSSTLAIPGSEPQKEEILPSDFMLDIESDLFADFGNISNYHSIDRPQNGQFSICLPSERQLRELISVMSSEWLEESELSSEVIRVDTPSITIRCAYNSDQFNALYNPVVGINIMSEAFALNLFGKLVLTPTTKVIKESSGRLVPSLGIINVLPFMVEGSMVHLNFYIFDIWGFDLLIGQPFRRLLYEGQTGKLHISLGKDFKLPITITHSLNNKTEPYLLPDPMEEVKAASLELLDDPDLEEEAPFFTEEEAEPSEPEPLDEFAETPRPP >Sspon.07G0010740-4P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7B:81228378:81229964:1 gene:Sspon.07G0010740-4P transcript:Sspon.07G0010740-4P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding QEEAHEDVEHDHNKVVVEDCSTSRSSDDKNDHITRSLDKKNDNATSDASNDSTSCTLDGEDDGYESDASTSSSISSHGDTKVSIGGFVVDCDGPNFELLYKLSKALRNEMTKTSKLKNENSFLKTTCEQQKHLLYVTTCSHEELKLAHEKLSVAHDNLAQEHALLTNKLSNEEPKTSESSSFGSNDQSHITNPCDVGKKHVSTSCDDLLDMPCFSQLNICSTSMSCETNLLKENNELKSEVKNLSNKLERCYNSKVTFEHIMKTQRNLGDKSGLGFKKKLTRGERKQEKRMKRLLQKKLSHSMCYRCHEVGHLANGCPNIEKLKKMKEEERLKYVKCFKCCTWGHLTSMCPTKQLVKQQVKPQPKPLVEQEKTLQEQIKINHGDDGDLIKKKKKTRRGGKARHPMQIQDAKMMSKNQNEKRNLTHIKCFKCEDMGHFVSGCPTKLEKKAQATHKRQGIEKQHMSKEEKAHSKRSCYLCREKGHMAHSCPLGNNSKPISIDDNIVLRKDGNGTSMIAIAKHSAIHTKAMP >Sspon.01G0056470-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:89838952:89842267:1 gene:Sspon.01G0056470-1C transcript:Sspon.01G0056470-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding PVPVTCAARSLVVRPSPSHAADPAIAIGAHANELGNPVPKEPVLFLKPTSSFLHAGVATAAVEITEPLESLSLHHEVELAVVISRRGRDIPEASAMDFVGGYALALDMTARDLQSVAKVPKSAVTNPDDLELWLKVDDELRQKGSTSDMIFKIPFLISYISSIMTLMEGDAILTGTPEGVGPVRVGQKIKAGITDLIDVEFNVQRRNRSFSALCNKQESNGMFME >Sspon.01G0032850-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:111605485:111606780:-1 gene:Sspon.01G0032850-1P transcript:Sspon.01G0032850-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RTSRNHATRPTTMAAAALLLSPRFVSLPPHPSLAPGFIKRPTSYPLGRRGAGARLRAVGDGPGAGLSPDQTTVYNGAYGPWTVEDSDVREVLLYRSGLVTAAASFVAAASAAFLPEGNPAAAAGAGAADLLYASGASGLGLSLLLIHIYVTPIKRFLQALWAVGVLGSLGTYLVAARPLDEGLVQYVLEHPAALWFVGPKFVNHVDSNAFTGLCYGKLEAGILLQRIFVFTFYAQSGLMDNSTKTTLLGVWMLLFTVFAARKFQQPIKVKSLPMIRMYPPFVADILLPVSNLTISFFFTIQDDIGDKSVFMFNALPEEEKNALIQK >Sspon.01G0033880-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:102307538:102315906:1 gene:Sspon.01G0033880-2B transcript:Sspon.01G0033880-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RFVRFVSTPEVLERVTTIESEILQIEDAIAVQGNDCLGLRYAGTQPTPPLQNHDATQEENSKAQLLRVLETRKTVLRKEQAMAFARAVAAGFDIDNLVYLITFAERFGASRLMKACTQFIGLWKQKHETGQWIEVEPEAMSARSEFPPYNPSGIMFMGDNMKQTMETMSVSNGDANGEDASKAVSISTVGNASTLLYARHALLSWNEPILPFPLPPMDETRYHHSERRVSKKHSSDSKDSETSDDKSDQSGSERETSYGHRSHKKDKRTGKKKPSVLVIRNINVTSKRHGSSDSESQTGSDVASEDSDDLHTRSRKKKNKSSSSKKKDARKTVFESADEYSKDEMSYGQDGDQGNWNVFQSFLLRADEKTRDNDADLFTSEKKAPPARMKESRSVDDSILLAERNSAGANEHNTTGFSMENGRIRPRQMLSGDELMMSGEGEGTSFAGDDIKEIEAGGVRYRRGTSDDFMIGQEKSMDRGSSLDPLAEAQYKSPTLVEKNVHSLTDESFMIPLRSTSEDNHEPECRTAIDIDVELPSTVQKISDAKAGNQLFYEPVELMPERGCEDVSFGYDPAMDYNIQMQNQPATMVEDAHVEDASLSAVDEVKKPEKDKKLRSSQESLDKRRKDASVRRLSSSKGPLTDAQKRAQNLRAYKADLQKAKKEQEEEQIKRLERLKLERQKRIAARSSTSTAPTPQQPRVKPSPKVSASTNKSSKFSDAEPASSSPLRKLPVKTTPGTDPQKTAKSSKLNNNTNAVSKSTSSLIDMKEKSGRTESSNERLRKLAEPKTNSSTGHHLNSKSASADHPRRKSMPQDTQTKKISAIMQLDQSKSATLPELKVKYPQAPAVAKNAVASREKKEVSPGAKALPTTETAGVKETNGNISRMNSSDDNVVVEKTVVMLENEVVSTPPVILHSGRNAAKETSGDDRTEKPSPELEYIAIRAPLSPVILPEAETPVTNGSDDQGSSYEVVAECLKDEPERPTLTAVEKPYQAPFARVTSLENASDSSPLPVREPESLVHADSIKARVPEPVYTVSVQGHEVSEKARSKEPKGFRKLLKFGRKSHASALIEGAMDSDTSSVDEASAGDGSMLKNLISQDDSGASSKASRSFSLLSPFRSKHKVIVL >Sspon.08G0003760-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:10405593:10410261:1 gene:Sspon.08G0003760-3C transcript:Sspon.08G0003760-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MENAEGPSPDSGDRAFLAHALPSFPCEDYAAPITTYLLRGVYSIELRLKEQSECQILSLHLFIIENERSDESHELDEVSWAPVRDGDAQTTPGHNSRRPNLSLQIPARTLDTSMPTSTRVTISSSPSSTRVGLPPRPNSTRTKSSIKNIIPQNSFRARSSAQEGDRVVLLNPGTSSEGQQDNPITARSFSFRKVISSLSAKMTHSLPVTPVGTTDKVASPANQLDTLPTTSNEGVEAKIRRSLSVPGNRKNRILRRADSIGVIRVIPTTPRPVPVDATASNDGIEETIDVPEDGGEDIPEEEAVCRICFVELNEGGETLKMECSCKGELALAHQDCAVKWFSIKGNKICDVCKQEVQNLPVTLLRIPTETANRRVANAAQQRTAQQYRFWQDIPILVMVSMLAYFCFLEQLLVTNLQSRALAISLPFSCVLGLLSSMIASTMVSKSYLWAYASFQFAIVILFAHIFYNVLRVNPVLAVLLSSFTGFGIAISTNSLLVEYLRWRARRNHRLAQQAANAAQHQESGNDRANDNDGAQQGHDPNSGNNAIMKCYGCEQLSVHSSPTRLNCRTGDAGTAHRRPPRSQVASQLGHLHRRGLRRTTPTVSARPAPAATIVCRGPRRPPGLPLPPAADGEGPTASRPGVAGARRPTAPAPLRCSPGLLLCSLPPASSVLSWP >Sspon.02G0012880-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:34491691:34493436:-1 gene:Sspon.02G0012880-1A transcript:Sspon.02G0012880-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSSYEMAASILLCAEDSSSILDLEAEAEEEEVMLARSSRTRGDPCVVFPVPSEECVAGFVEAEAAHMPREDYAERLRGGGMDLRVRIDAVDWIWKVHTYYGFGPLTACLAVNYLDRFLSLYQPPEGKAWTTQLLSVACLSLAAKMEETYVPPSLDLQIGDARYVFEAKTIQRMELLVLSTLKWRMQAVTPFSYIDYFLHRLNGGDAPSRRAVLRSAELILCTARGTHCLDFRPSEIAAAVAAAVAGEEHAVDIDKACCTHRVHKERVSRCLEAIQATVALPGTVPRSPTGVLDAAGCLSYRSDDTAAAAAAAASHASSSSWCDEDDDSSPVVCSKRRKISR >Sspon.01G0004670-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:11661249:11665694:-1 gene:Sspon.01G0004670-2C transcript:Sspon.01G0004670-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:plant glycogenin-like starch initiation protein 3 [Source:Projected from Arabidopsis thaliana (AT4G33330) TAIR;Acc:AT4G33330] GMGVTTTGEAVCKSPVRASVIVKMNAAFLAFFLFAYLALLLHPKYSDILDRGATSLVRCTFRDSCPPPSSTTTQLSRQLGGVAANKVAAAERIVNAGRAPAMFEELRGRLRMGLVNIGRDEVLALGVEGDAVRVDFERVSETFRWSDLFPEWIDEEEDDEGPSCPELPMPDWSRYADVDVVVASVPCNRSAPGWNRDVFRLQVHLVAAHVAARKGRRDGAGAVRVVLRSQCEPMMDLFRCDEAVGREGDWYMYRVDVQRLEEKLRLPVGSCNLAMPLWGAEGSRRCSTRRRSWRRRRGRTGVPGARRTRRCCTRRTRTCAARSYWRRASAARGPPRDLVLLHDHTVSKPALRALTAAGWTPRRIKRIRNPRAARGTYNEYNYSKFRLWQLSDYDRVVFVDADILVLRNLDALFAFPQLTAVGNDGSLFNSGVMVIEPSACTFDALIRDRRTIRSYNGGDQGFLNEVFVWWHRLPRRVNYLKNFWANTTGERALKERMFRADPAEVWSIHYLGMKPWTCYRDYDCNWNVADQRVYASDEAHKRWWQVYDQMGETMRGPCRLSERRKVEIAWDRHVAEEIGYADQHWKINITDPRKWD >Sspon.04G0017650-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:64404090:64404728:-1 gene:Sspon.04G0017650-1A transcript:Sspon.04G0017650-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AIELSILSEYYGREIAAYDIQTSRCDLYGQEKNYSERAMLIYDGLHYDALAMSPAEGAPEEFDQTIFPVNHNRSIGPAEGLALNLVKEAQRKRSYTDTANFTLRCGVCQIGVIGQKAKALIKCK >Sspon.05G0012780-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:41981825:41984324:-1 gene:Sspon.05G0012780-4D transcript:Sspon.05G0012780-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEVSLVWNLGTTLLIISFSSRRASHPEGGGLVVALQEAKKEEVEKPKEAAAEEKKDDKPKDAAAEDKPKEGGGEEKPKDGEEKKEDAPPPPPPPPPPEEVEMRVYMHCEGCARKVKKILKRFDGVEDVITDSKAHKVLVKGKKAAAEPMKVVERVQKKTGRKVELLSPIPPPPEEKKEEEKEEPEPPKLEEEPPVLAVVLKVHMHCEACALGIRKRILKMKGVQSVEADLKASEVTVKGVFEESKLAEYVYKRTGKHAAIVKSEPVPPPESAAAGDDKAKEEKKEEGGGEEKKDGKEEEKKEGGGDGGGDEKKEEKEGGGDEKKDEADKDKDAAVNLYMHYPRFAFPGGYYQPAYQYPPPPPPPPPGYAYQPAYPPPSYAAYAPPHHHQPMAPQIFSDENPNACSVM >Sspon.02G0025480-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:83554851:83565388:1 gene:Sspon.02G0025480-2D transcript:Sspon.02G0025480-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPQLMDELVEEILLRLPPDSPASLVRAGLICKRWCHLVSDPGFQRSVGDNGYTYCTTSFVPEPGSALRRVEHPRLLPRECRHGRVLLYSKHRYDFAIIVVWDPITGERVELPNLPSAFLFVNTWTAAVLCAAAPSGTCDHLDCHGGPFIVVLVVQYHMDDLPISSYVYSSESGAWSEPTFSLPHSGGDWIGWLHSSQLVGNALYFVPVLRDFTFSILQYDLGTREITAINPPKAAHMDRIFELIATKDGRLGFAGVEETKLSLWSREVVGGGRWALCQVIDLEKLFPGASSPRLFGSAEDTGVVFLGVRCRLVSIDLWSGLPPPTETLELPPAHPIMPPPPPRPPPPPPTPSPSSPPATLTEELIEEILLRFPPGEPASLFHAALVCKPWCRLISGPRFRRRFRERHRTPPLLGFFCNTGYSGARFVPTTAFGRLGANRFDWKALDARHGRVLGRRNVLEEEEDALIVWDPITDEQRELPIPPLQTLGWTAAVLCAGAGAGACHHLDCHRGPYLVVFVGYDPNETFVCTYSSDVGAWSEPISTHQHYDNCFVDTEKHAVLVGNALYFGILLTNITLKYNFESREMSWIELPFSDECALSYWWQYVLTSPWKKRNNCSLTQIRFVYQLMAFSKFLNCCRTGSCLYSSSKPSLCRAAGPAPASESDQASAMPPPLVDDLVEEVLLRFPPKDPAALVRATLVCKHWRRLVSGPGFRHRFREFHRTPPMLGMFCSGCSHVYRPFVPTTAFRPHHAERGCRRVLDARHGRVLLSSMGKGKALVVWDPITDEEWKVPFPRLPPQYKASFSFTAAVLCSTTGAGGCEHLDCHRRPFIVVFACVVFRVPGVVDTVIWTYSSDATAWSEPTFSRQPGYLFNPRIRSALVGNTLYFGILNNRTALKCNVQLRQMSWIQLPSSMPLYRPTLLTTTEDGGLGLATEHESKLYVWSRKDATWEQSRVIELKMLLPVDADFTTLDVVGSTDDLAILFMRVDDVVYAIDLKTYKGKKVYEGRVNAIVPFMSFYTP >Sspon.07G0005650-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7C:9686788:9687549:1 gene:Sspon.07G0005650-2C transcript:Sspon.07G0005650-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEIVGSAVANEAVSRLVSSFLSGDKTSQQQESVEDKAERLEMAVLKIRSIVAVSEHVHISHLPLLQWKAKLKRVAQEGDDILHVHKKQQTLRCNRVSDSSTGNSVSQSLIQAAKRFVPFCRKEDEELMSDNTLRRFERLADGADSFFRLVESGGRPNKSVFLPPPLTRALLAGDSMEFLIQTKTGSDHIMVWPWLDHPDAKSGRGLEACLTVTREDEVVWQKGFKMSVLFRLSEASNILAIAMSCLELLPWW >Sspon.03G0009690-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:3422212:3423065:-1 gene:Sspon.03G0009690-2P transcript:Sspon.03G0009690-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQQEVAPCACGPRYAGGCGGRCAAPAPAFSLLFPMPMGLPAEQCYYYHAEDGGPVDCTLSLGTPSTRRAEAAGVVHASAGGGGGGAAALMEEPRQEGSPPRRCANCDTTSTPLWRNGPRGPKSLCNACGIRYKKEERRAAAAVAPAPATQDSGVRPYACGGYARPLPPPPQQQQQWGCYGPATGESAASYGMYGGDGVVDADGPCLSWMLNVVPSSPAFAVRERHTLFQYY >Sspon.01G0057180-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1D:497765:498208:-1 gene:Sspon.01G0057180-1D transcript:Sspon.01G0057180-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VRKNETGGGTGASRWWWSGASGNACQCERQTEHQVPLASWESCHGLMCRCRPRVSARVPRCPVCASSSLQGAVARQPQPGRPAWAKRNRVYPTCSTWSLPCTSARPQLLIKLNLLRPPASIVSGDVADDWNTRHNVATTANLSPGIC >Sspon.03G0010520-3D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3D:33617222:33617628:-1 gene:Sspon.03G0010520-3D transcript:Sspon.03G0010520-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMSLSSSRAQWTAKQNKQFEQALAVYDRDTPDRWHNIARAVGGKSADEVRRYYELLVKDVEHIEAGKVPFPAYRCPAGYDADRLRHLKI >Sspon.02G0002770-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:9508489:9509592:1 gene:Sspon.02G0002770-1A transcript:Sspon.02G0002770-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYDGDDGQSQDYLFKVVLLGDSSVGKSNLLARFARNEFYPNSKSTIGVEFQTQKLVIDGKEIKAQIWDTAGQERFRAVTSAYYRGAVGALLVYDISRRQTFDSVGRWLNELHTHSDMNVVTILVGNKTDLKHAREVSTAEGQALAEAQGLFFMETSALDSSNVAAAFQTVVKEIYSILSRKVFQSLEQKKSELQSLSNGKAVVLQGEANKTSSGHQDCHYGERWDWDTSGYVTLQ >Sspon.02G0016200-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:44400660:44404492:-1 gene:Sspon.02G0016200-1P transcript:Sspon.02G0016200-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKIFSIITMCVSNPCPVSFVYFHPHTFIINAVIFYWLQVNLKYEDDTPVDRKGSGRKVMEKQQQTYAAELANKDFAYDGEKRLFTIGTLPQVKNETPANGSPGNDSPPGSDRKRVRRPYNTKTYKVELSFAAKIPMSAISQALRGQESEHTQEAIRVIDIILRQHSAKQGCLLVRQSFFHNNPNNFVDLGGGVVGCRGFHSSFRATQSGLSLKIDVSTTMIVKPGPVIDFLLANQKIGDPSMIDWAKAKRALKNLRIKTSPANQEQKIVGLSDRPCREQLFTLKNGNNGESEEITVFDYFVKNRGIELQYSGNLPCISVGKPKRPTYFPVELCSLLPLQRYTKALSTLQRSLLVEKSRQKPQERMSVLSDVLQRSNYDAEPMLKACGITIARSFTEVDGRILHPPPSLKLGMEKTFLRAMADGTSTIRLIRASSVEKWAVVNFSARCNVRDLVRDLIKCGGMKRIMVEAPFDVFDENPSMRRSPSVKRVEDMFEQVKTKLPGAPKFLLCVLSERKNSDIYGPWKKKCFAEFGIVTQCVAPTRVNDQYLTNVLLKINAKLGGMNSLLQIETSQQFLSYPRSQL >Sspon.08G0017300-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8B:1091131:1092501:1 gene:Sspon.08G0017300-1B transcript:Sspon.08G0017300-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding WSWTSRPSWRRSSPCGGTAGTAMPWGTSSPRWRPPWTAPSRTATTRCPPSASSPPSPPPTPSRSRSRRPASTASARSTAPPRTFGGPNAGDYGGEMGFLDVVEPKAAAALDDGVAAGLGVCKVEPGL >Sspon.07G0018250-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:79590394:79593231:1 gene:Sspon.07G0018250-3C transcript:Sspon.07G0018250-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKLLRFELPDHGSIFKEQISSTKIPVHLKSSGPVCSTCEKFMNEAVSYLSEKQTQDKVMEFLHDACSKSFSFEEKCVELMDSYATLLFAKITEIKPEAFCKQYGLCRDTAIFSGVRSNSTCVFCHHLLDEIMSKLKDPDAELEIIQILIKECNKIEGHVQQCKKLVLQYIPLVLVNGEKFLEKNDVCALLQACPASQKKTFSSVLQGALLSDA >Sspon.01G0019170-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:69308277:69315409:1 gene:Sspon.01G0019170-4D transcript:Sspon.01G0019170-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSSKKSSVVAAPAAVSVPDGKSVKKGKRNAEDEIEKAVSAKKQKTASEKAVPVKKQPPPKKVESSSSDSEEDSSDSEVEVPVKKQPPPKKVESSSSDSEEDSSDSEVEVKVQPKKVIQPKKGTQPAKQESSDDSSSESSSDDVKHDSSSSDSSSDEDEKSAANLKKPPVASVQKKTQESDSSDSDSDDDESEDDIPVKAPVVAKKKEVSSDSSDSDSDSESEDEDNNAKTVQPAKAAAPKKEESSGSSDSDSESDSDSDEVGGTFFSTHPEKPTVPAKRPLATNKKNEESSDESDGSSDESSDESDEEPPQKKSKNSAPSGAAKAATKVSKKESSSDEDDGSSDESSDDEEESEPTKTPKKKPKTPAKSQSQATGSKTIFVGNLAYSIEREQVKEFFEEAGEVVDVRLSTFDDGSFKGYGHVEFATVEAAQKALEFIGHDLMGRPLRIDIAVERGAYTPSSGKDNGSFRKSAQRSGNTVFIKGFDTSGGEDQRSRDLITTGTVASVVGVVAEEEGVTGGVVGAVGVDLEAVVEGVTEAVVAGERRQHLGMTIEDPRLAIIGYQLVRADKISIACRGRVWLCTSPCRGSCRAAVDRDVNGSG >Sspon.05G0021450-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:81339145:81344206:-1 gene:Sspon.05G0021450-2B transcript:Sspon.05G0021450-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRPGSKESQNYDINNQRVHPQPIDENMNQNGDPMDTMIGRIFNNISSLKSAYIQLQEAHTPYDPDKIQEADKLVIEELTKLSELKHAYREKHPKPVAASPQDSRLLSEIQEQQNLLKTYEVMVKKFQSQIQTRDTEITHLQQQIDEAKLRKSKLEKKLKQRGLLNKESEESDEEESYFSIELTPSLFTSAVDSAYQSIHDFSKPLINMMKAAGWDLDGAANAIEPGVVYTRRAHKKFAFESYICQRMFSGFQEESFSIKDSNISGSNEAFFHQFLAVRAMDPLDVLSQNPDSVFGKFCRSKYLLLVHPKMEGSFFGNMDQRNYVMSGGHPRTPFYQAFLKLAKSIWLLHRLAYSFDPKAKVFQVKKGSEFSDIHMESVVKNIILEDGAERPKVGLMIMPGFLIGTSVIQSRKRSKVPDSHGQSLLSTAAMAAASDSTHPPPSVTHDLDEDDDDEEFDDDDDDLDDEADDGSEPPASSSEAARLEAVLRRLTADEVWIRVHQVTIRGCARTRRAAVEAAVGPDLARAATVRDLVRAAAAAGDRLRRLDAFDTVSITLDAAPPGVPGSAVVVLVDVAEARGRAAGDFGVFAHTQTRSSSLEGSVKLKNLFGYCETWDASGALELDKTAELSAGVQMPRIGAIPNPLIARISFLSEDWLKSTFKEHLMGISVGLLSTMNHNLAYNLTWRKLTDPTCMPSNSIQEELGHGLLSSIKYVYKVDQRDSSIRPTRGYAFLSSSQVGGLAPGSKYSRFLRQEFDLRVALPLGVLNGALNAGVAAGIIHPLERGSTGSVSPLLERFYLGGNRPLVCRLGGPSSLLGFGTRGLGATELKTYDPNNSDNGTSTSPELNGLGGDIAVTAFADLSFDLPLKPLRELGIHGHAFVCAGNLGKLTDCDLRKFPDTDFLQTFRSSAGFGVVVPTRLFRIEMNYCYILKQLDHDRGKTGIQFNFSSP >Sspon.07G0030560-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:8864197:8869215:1 gene:Sspon.07G0030560-1C transcript:Sspon.07G0030560-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNASAKEGENGHMAASPELAAAHNGGGSSSSSAGGAAARPPPPLSPPDAVMLERPPPVPYLFAPQVPVTPLHRPTEFSPVFNHSPTNGTGGESTNHHSQEKGIPTLITWSQGGNEVFLEGSWDNWTSRRALERSGKDHAILLVLPSGVYHYRIIVDGELRYIPELPHATDERGQVANLLDVHDYIPESLDSVAEFEAPPSPEHSYDLQYPGDEEFAKEPPTLPPQLLMSVLGDTDNTDIQASKPQHVILNHLFIEKGWGSQSLLALGVTHRFESKYVSFVLYKPLKR >Sspon.06G0003310-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6A:10176887:10177087:1 gene:Sspon.06G0003310-1A transcript:Sspon.06G0003310-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPIRLPSSSYRGVASATTKKRSPDFFFTGNFPLQHLPVNMSPMMVFSAGMSSGWMISLVMSCPTAS >Sspon.03G0015540-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3C:69965291:69965698:-1 gene:Sspon.03G0015540-2C transcript:Sspon.03G0015540-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNLVSQCVANGAGARRPLVVGPDGSRTTVEENTGVAELMIDAPGHVVVRATDVARERRVRAMAADELLRAGVVYLLVPAGRAGARLGDREVDAIGRLASGKKSSRKSRPACGKRVFPDVNGEENAAEGKEVVVCP >Sspon.02G0030430-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2A:110770675:110770913:1 gene:Sspon.02G0030430-1A transcript:Sspon.02G0030430-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DIEQERDLANEVLQNAREENYDNIKNERQKIDSMINQARAQLVELENERSKLKSLIESLIQQQEVSGKMIVEVTTILY >Sspon.08G0004940-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:65002366:65005199:-1 gene:Sspon.08G0004940-2B transcript:Sspon.08G0004940-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFHYPDHGLSMDAAAAAAAAAAAAAAASSPNPSGFSSPGVGGEREKAAIAAHPLYERLLEAHVACLRVATPVDQLPRIDAQIAARPPPLAAAAGAAAAGGPSGGEELDLFMTHYVLLLCSFKEQLQQHVRVHAMEAVMGCWELEQSLQSLTGASPGEGTGATMSDDEDNQVDSEANMFDGNDGSDGMGFGPLILTEGERSLVERVRQELKNELKQGYKEKLVDIREEIMRKRRAGKLPGDTASVLKSWWQAHSKWPYPTEDDKARLVQETGLQLKQINNWFINQRKRNWHSNPTSSGEKTKKKRYHNENCFMAKYILPYRRQEGRYAAWVT >Sspon.07G0019830-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7A:73523044:73523682:-1 gene:Sspon.07G0019830-1A transcript:Sspon.07G0019830-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALETCFRAWALHAPAAGSKDRLLVVGSSGSGSNLVLPSKRAAAAVAPLSVGRVATRRPRHVCQSKNAVDEVLVADEKNWDGMVIACETPVLVEFWAPWCGPCRMIAPVIDELAKDYAGKITCCKVNTDESPNVASTYGIRSIPTVLIFKGGEKKESVIGAVPKSTLTTLIDKYIGSS >Sspon.01G0053650-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:40455512:40458704:1 gene:Sspon.01G0053650-1C transcript:Sspon.01G0053650-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LLGLGQHEDIETDDHSVSSDDKSQDFNTASDTFSSKGKKKSSGSQQQDHYALLGLGHLRFLATEDQIRKSYRDMALKHHPDKQASLILAETTEEAKQAKKDEIESHFKAIQEAYEVLIDPTKRRIYDSTDEFDDDVPTDCAPQDFFKVFGPAFMRNGRWSVTQPIPSLGDDTTPVEEVDKFYNFWYNFKSWREFPDDDEYDLEQAESREHKRWMERQNAKLQEKAKKAEYARVRTLVDNAYKKDPRIQRRKEEEKAEKQRRKEAKYLAKKLQEEEAARAAEEERIRKEEESKKAAEAAQHQKKLKEKEKKLLRKEKTRLRTLAAPVVADSHFGMSEEDVESTCAKLDMEQLKKLCDGMDGKDAAEKARLMSNALRNGSSSKEAKKIEANGAECSAPKSNSTGGRATEGSSSILKSYEKKEKPWRKEEVEMLRKAMQKYPKGTSRRWEVVSEFIGTNRSVEEILKATKSVLLQKPDSSKAFDSFLEKRKPAQSIASPLSTRDEISSSTEGAGTASSKAAAQPACSQAANGKAAADPFPDGAPSVADPDAWTEAQVLALVQALKAFPKEASQRWERVAAAVPGKSMHMDAKEGPVFVSDSRIV >Sspon.05G0014810-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:53630210:53631298:-1 gene:Sspon.05G0014810-3D transcript:Sspon.05G0014810-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPQPRAPPSLPHELIEEVLLRVPPDDPASLVRAAVACRRWCRLVADPAFRRRRLELHRATPPMLGVVCDGIWDGKGPSARFVPTSSCRPPRADHRGLRSFDARHGRVLLHGSAYTDFIVWDPITDQRVELPSPPWFLRNGSLKATVLCAATGTCDHLDCHRGPFIVVLMGTNNDYMFCCIYSSESGAWGKPTSGDLPGDMVVEWTRSALVGNALVFALCGYRRILKYDLGTQQMSVIRLPYVRTNMIFMPIELTTLEDGRLGFARVEKSNELCLWSRGEGDDNVEGWTLCKVIDLKGLFPLVDSYDLKYCLVGFVERVCVAFVGVQGGLFTIDMKSGLMKKVSEGLRICFCAVPYINFCTP >Sspon.03G0012790-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:52230001:52234220:-1 gene:Sspon.03G0012790-3C transcript:Sspon.03G0012790-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEVWCGLDLQLQAGDIDGLHPAASDLHGHDDPFWPALAECAASFLAGDDTASCFGVADIDLTAAAAASANDAASASKAADYGMHTSGFFNDDHRRLTTMRQDEFEQQQPVYSSSSLSSKRSLSIDSGGSSSTFFPLDDAAAAIFSAPHPAPLPPAPLQPQQDPFAGDDEAIMLAMMAVLSSASPSSSESSSPTHGAAAVRVQPRLHLHGGDSASHVTVRSSSLAAVAPERTTSAAARQQQDSNNSSQVYHMMSERKRREKLNDSFHTLRSLLPPCSKKDKTTVLMNAASYLKTLEAQVSELEEKNTKLERYVPRDDGATGTTTAAHRRAKVHIARAASDEQQVSLTVMVMVECDIVDLVLHVLERLRWMSGVSVLSVDADTYSPQALLKALANIKLHIKDGDCWNEALFHEAMTKAVHDATSSPSSPSCAAVGPLVAAA >Sspon.05G0000770-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:2072384:2073390:1 gene:Sspon.05G0000770-1T transcript:Sspon.05G0000770-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAASIHSATAAAAGASKRPADSDAELPLDSSALHQQGDEAARKGQLQQPRQQHLECPRCRSTNTKFCYYNNYSTAQPRHFCRACRRYWTHGGTLRDVPVGGASRRAGGGGKRRRVSTEPSSAASASPPPMPAASLADACLPDLSSAFPFLSDGSFFPQLDVGSGVALAPAAFSSSWQSVVPDFYDGLAPWDDGAAAGGFAGAWGDIAGLDLSWTPPGN >Sspon.01G0036680-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:15762819:15766809:-1 gene:Sspon.01G0036680-2D transcript:Sspon.01G0036680-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQAVMVISTQAKPRLLKFYNFQPPEKHQDLVRRVFQLLSSRPDSVSNFVKVDSIFGPGTKMVYKHLATLYFVFVFDSSENELAMLDLIQVFVETLDKCFKNVCELDIVFNFNKLHTILDEMILGGQVIETSSEQIMKSVEEIERLEKQSSTTSFIPKSITERFTR >Sspon.05G0023540-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:9566777:9567304:-1 gene:Sspon.05G0023540-2D transcript:Sspon.05G0023540-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAPAAASRATGGSAPTRLISKSSFLVPPPIPLPRRAGGGGQRAVLLGVGRRGDNGSSSSEGGRLVDEDMATLRRRIREARAKSSSEEDDTFDADVDAGAGIPLPTEWTELERRHHGSYVAGVRRAVGLLEALLVNTRPGLGAGLLAMLLLGVPASLFLLCAQLIQAADSVRSG >Sspon.01G0005660-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:21228822:21230375:-1 gene:Sspon.01G0005660-1P transcript:Sspon.01G0005660-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding NGRGGAGDGDGGGELRVQQRLPVRLDNLPRRPLLLLLRHRAVPSRQGAAAVLLRLLGGGRPVYDLRRLLRPAPAELRRQRRRRWRRRRGARQCGEEREAGGDHRRGEAAEQEGRRRVSARGHGQLAGLLWLLQGDELGGGQWLWRRRCPDDRDRQCRRLLLRLLHHDGIQASLKWSCFERLHANAVLPGSREADAQKAGVQRCVGEEEVV >Sspon.01G0004720-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:53657590:53663312:-1 gene:Sspon.01G0004720-1P transcript:Sspon.01G0004720-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ITLYDELEISSLRQQQTDPNFQDIPTQSWYPPSVVGSSSRPSTPTSSSASPHQRASDNPQSSSRGQPSPAEAAGIIARLKDKSIEELQRLLKDKEAYNAFFNSLDQVKTQNNVRDELRKETLQLARENLEKEQRILELRNQCTIIRTSELAAAQDRLTDLERQKDDIMRSYSPAALLDKLQTSMAKLDEESEELHQKFLEKDIDLATFVQKYKKLRTAYHKQALLHLAGQTSLR >Sspon.01G0030770-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:105202478:105203545:1 gene:Sspon.01G0030770-2D transcript:Sspon.01G0030770-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNIVTCKAVAVSYFSICLIVQNQDKETSLKKAAAKGSKAEQKAKKKQVEEEITRLSRELEANHAAELASFGYKPSGSSEKGNLDNLVKAIAGVSVSSNSDSTKPSKGARRREKKAKEEAAREQRIQEEQSNLVSDRVLENEKLERKLEPLGLTIQEIKPDGHCLYRAVENQLLLHSNGAARYSYQDLRQMAAKYMREHAADFLPFYLAEGKADIGPDPMESFEKYCKEMESTAAWGGQLELGALTHCLKKHIVVYSGSFPD >Sspon.08G0000270-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:146174:149639:-1 gene:Sspon.08G0000270-2C transcript:Sspon.08G0000270-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLLLGLGFLLGILSLAIAEGLALLWAIRSLTRRSPPQLPVHPTPPRSVSRHGLNRQGFLWMLEQQKTPPGPKDSINRPSNAGSPGIRDKKLIVEVFPVKMSATLEGHSLTLSGPDDASQLTIDLLSCTVVAVSASNLPSRKWAKRYPIKLESKESDICRGSKVCYVYADTSWEKESWCRALRLASTTDKDKLKFHDMLTGEFCSYIASLKDGYPCFLGSSTLSSQEHVLVDNTVKTDGSSKLRGFLKRLAKKASVKASQESKTGMVPSKQDIKQPSTPSSSTSFNSQLPDSPNAKVDEKLADDGTLCWNLLISRLFFDAKMNDEMNKAIKARIQRTLSNTRTPAYIGEITLTDLNLGKLPPYLHRMRVLPPDLNESWAFEVDFEYSSGIVLYIETRLEVQAPELEKDILKTDIKDDSNGDVSSDFLDSLDQYGNQFRHSEALDSAAEVNDEADTLRKSKSTGWASTYMSRWKSIMNSIADQVSQVPLSLAIKISSLRGTMRIHLKPPPSDRVWYGFTSMPEIEWELESSVGDRKISSSYIASLIGNRIKASVRQSLVMPNCESFPISWMISDTDDWVPRRIAPFIWLNREPTETSTRHAADTTREMPGEAFASKAIAKNKSSPLPPSRRITKGSWNKTNIGVDGPEQAEASTSWQTWLVSASGAPLQSNEDATREQLRMPLLSSSGDERAGLVEEQTSPSAGEEGDAKKRKRGVRRARVMDLGRRMGGKLEEKGKHFIGKMRENARNNRLLLPDLEQATTPPAPAP >Sspon.04G0023010-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4B:9717908:9718465:-1 gene:Sspon.04G0023010-1B transcript:Sspon.04G0023010-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDLLFLGSRTPAANAAADGEWGADRRCGDLGRARPRIYGRREPEGGAVTRPDRQPSKSDSVGGGVGFLLRPAGKASPPTHVAPCMGRASCFLDTISPQKGGGDLVSWGRGSRPRRCRPIEPLESLVALEKLEIGIPTAVDHPDDEVASAAVMPGRLNGLASETLWSEWSVRILYQSRGRAWCMW >Sspon.06G0029930-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:33345076:33345630:-1 gene:Sspon.06G0029930-2D transcript:Sspon.06G0029930-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DGILSPPVVCRRAHHHRSPRAPLPAVLSRRRLLRRRRLRVHASPPQAPAPAHQRAHYRASKNRRPCSGHYYGRRPATASPAHAPRRANHRSGCGGDAGAAKEAAAGAVARHGVRVRARAGGHGHGRDQEAPEAEGPAAGSGWRRRRERAAVLLRRGVGAVEAHTVAELQGRRGRGGCRGAGQAGV >Sspon.04G0010730-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:32610387:32610944:-1 gene:Sspon.04G0010730-3C transcript:Sspon.04G0010730-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPLPQTVHLLPVAFVLALIGCLVGGASATVVTTCRAAADSDARVDYGFCVAELGMHRESPDADTWGLAKVAALTGVNNADNAVYDIKALLAMRPGGADGPTRAALEKCGKLYDSVGFAFAEADDEINNRRYAAGKGKVAEAVSLARQCDDALAKAAAVPSPLAQHSSYNVRIANICTAITNLIK >Sspon.05G0021590-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:90699400:90703133:-1 gene:Sspon.05G0021590-1A transcript:Sspon.05G0021590-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RWSDHTCVQDQDGAPPTCLPRKLNQSRVTKICCRPDTTDCHAHACIDRTTRIHQSNSGVLTVPQQYIKPPSEHPCGSITSMNCPDLSFPIIDLACFSDIPEHRKAMLEAIGEACKNWGFFQVVNHGVGIDSVKRMREAWREFFDLPMEEKKLYANSPVTYEGYGSRLGVEKGVTLDWSDYYYLNLLPNDMKNLEKWPEMPRHLREVTEKYASELMNLSEVLLKAMSSTLGLDEDFLHMAFGGSKGISASMRVNYYPKCPEPELTLGLSSHSDPGGITLLLVDENVKGTQVRKGNTWVTVQPIPGAFVVNVGDQIQLYIKSSIAVVCKS >Sspon.03G0005060-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:19812996:19814855:1 gene:Sspon.03G0005060-1P transcript:Sspon.03G0005060-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLTERQTQPEKKAPRARPMSGKGVVMLCTASFFVGLLLSGRVTLLTPPSNAPSGHGSRIPLFSDGCDQSRRVPGQVGVVAGDGAGRGTSQAERRLGVSVPSRGRLPKAFVVIGINTAFSSKKRRDSLRDTWVPRGDRLRRLEKEKGVVVRFVIGHSATPGGALDRAVDAEAAATADFLRLDHVEGYHELSAKTRTYFATAVATWDADFYVKVDDDVHVNLGMLTSRLNKYRARPRVYIGCMKSGPMVGMMPYCHGRGVKYYEQEYWKFGDEGNKYFRHATGQIYAVSRDLASYISINQPILHRFANEDVSLGAWLLGLEVEHVDDRSLCCATPPDCEWKKQAGNVCAASFDWSCSGICKSVDRMRAIHSACGEGDGA >Sspon.02G0009270-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:26340848:26346183:1 gene:Sspon.02G0009270-1A transcript:Sspon.02G0009270-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCLGWFKRRRSRSGGSSSSSGKARGASAPVSVSGPATTMTTTTATTASAVSTSRSDDSGAVRPVSKSAGSAGSSQMSQRSISSLYEERGHGHLRVFDYEELQGATAEFSRAQKLGEGGFGSVYKGFISAADGKGDRVPVAVKKLNQRGMQGHKQWLAEVQFLGVLEHPNLVKLLGYCAVDSERGAQRLLVYEFMPNKSLEDHLFRRANPPLSWNRRLQVILGAAEGLAYLHEGVEVQVIYRDFKTSNILLDKDFRARLSDFGLAREGPTGANTHVSTAVVGTQGYAAPEYIDSGHLTAKSDVWSFGVVLYEILTGRRSLDRNKPAAEQKLLEWVVQFPPDSRNFRMIMDPRLRGEYSVKAAREIAKLADSCLLKNAKERPTMSEVVEVLRRAVQAQAEPDSRTPGPGARGKKADAAAPSRR >Sspon.05G0002400-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:2614908:2619171:-1 gene:Sspon.05G0002400-3C transcript:Sspon.05G0002400-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:BRIZ1 [Source:Projected from Arabidopsis thaliana (AT2G42160) UniProtKB/TrEMBL;Acc:E5KGE0] MFVLRIQSVDFPDAASASASASASPAAVAADEVGTSRGSAATSHPPSPLSGHPPPSTATSSIPPLELPGATPAAPGRSPRILHTRGVIHLYHSSSSTSTSSSYASAVAATSSSSSGPAAPQLASDSHLLPCRGTRLLVLAVPTRVSPDDFVRFCGPCLERASDIRFIRDDGVEDRYSVLVEFEDQNSAERFYADLNGWRFSTSEREVCHVLFIAAVQYTPSSELATTPPAGSTELPMCPVCIERLDQDISGILATTCDHSFQCSCVSVWDNSSCPVCQFCQKQSENSTCSVCQTTGNLWICVICGFVGCGRYKEGHAKQHWKDTQHCYSLDLETQRVWDYVGDSFVHRLNQSKSDAKHAKFKSKCKYSGDDCVNCSCNDDSDMGGAMFNSKTETIVDEYNRLLASQLETQREYYEGLLSEAKRNKEHQISEAVDKAVNDKLQEMQLKLENHIVEKKKVADMNEKLTRSQDMWRQTLRDIEERERAQLKSKDETILDLEEQIKDFKFSIKLQKSIEKNDGVKGGTLVPLPTVSDSGGKGK >Sspon.02G0051510-2D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2D:70565820:70566170:-1 gene:Sspon.02G0051510-2D transcript:Sspon.02G0051510-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding IRLEDNLKKAHVTLAHKRGHGVAAVASYGIYQHQEVPVSFSALYYTDKMAALEAQLGAVNDEQLNSRNDWPHATLWTAPGVAAKEANVLPQLASEGKAERVPIDPPITISGVVDFY >Sspon.04G0004640-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4D:14670261:14671937:1 gene:Sspon.04G0004640-2D transcript:Sspon.04G0004640-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCFDLTKSFCDQISTIVCPYWWNQQEGKHKIHWLHRDTLMRPKKEGGLGFRDIHAFNLAMLAKQAWRLWEKPNSLCAQILRAKYFRDGTMLDAKPKSAMSYTWRSILRGIRLMKQGMVWRLGDGRGLKIWNDPWLPRDASRMPITPRGTSLVTDVDELIDPVTGDWDVQMIKDLFWEDDQQQRRVRWQKPPEGYLKLNCDASFINETKAGSWGFLIRDHVGEVVMSGRGRIGYALSAFHAELIACMQGVQAASDLGIVNLIMETDAINVEAALQSHSYDVCPEGSLIEELKSFTNFNFSNFICNFLGRAGNKAAHVLASLGYDCVAGEALFTSVIPDDIVVIVSNDLSGE >Sspon.07G0003660-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:24352824:24365449:-1 gene:Sspon.07G0003660-3D transcript:Sspon.07G0003660-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEKTVVLYPSLGVGHLNPMAQLAKAILRHGGVAVTIAVVDPPEKHAVLAAALARLAAVNPSITVHLLPIPPCASSKQHSHPIVPVLDALRTANPALRAFLASRVPAVDALVVDMFCTDALDVAAELAIPAYFFYPSAAGDLAVYLQIPDLCRAMPSSLKDMGKAALNFAGVPAVRALDMPDTMQDWESDLGSLRLRQLARMPEAAGILVNSFEWLESRALKALRDGHCLPSRSTPKIYCVGPLVDGGDTKGNGERHACLEWMDEQPRQSVVFLCFGSLGAFSAAQLKETARGLERSGHRFLWAVRSRSSREEQNSREPDLEALLPDGFLERTRGRGLVLKNWAPQTQVLRHEAVGAFVTHCGWNSVLEAVMSGVPMICWPLYAEQRLNKVHVVEEMKIGVVMEGYDEELVTADEVEAKVRLVMESEEGKKLRERTAMAKEMAADVIKEGGSSHLADRLAGQGVPITMAIADVPSSSDSRETVTRLSATYPSVSFHLLQPATSRSGDEAEPDADPFITLIADLCATNPALLAFMRSLPSVKALVLDFFCGCALDAAAELGLPAYLFFTSGASPLAAYLHIPVMRSDVSFGDMGRSLLHFPGVHPVPASDLPEVLLGPHNEQYKATIGLFEQLPRAKGILANTFEWLEPRAVRAIEEGSPRPGEPVARLFCVGPLVGEERGGEGKHECLTWLDAQPARSVVFLCFGSASSVPAEQLREIAVGLERSGHAFLWAVRAPVAPDADSTKRFEGRGEAALEALLPDGFLDRTRGRGLVVPTWAPQVEVLRHPATGAFVTHCGWNSTLEAVTAGVPMVCWPMYAEQRLNKVFVAEGMKLGVVMEGYDEAMVKADEVEAKFRLLMESQQGKELGDRGEEEPVAKAVAVLVGRREVIYGYRRDAQNVQKFTPEVSEANGCLLVDQGMPLHPMATATATAVPYNAAAAAAGKDDPTRPLAIASPTVHPAATDDATARDEEAVATTRRWRSMQYLRKRRCLLWCCGCCATSVVLLGITILVLALTVFKVHDPVLTLNYVTLEGVDGGLGPTAANPVSVNATLTADISIKNPNVASFAFDRSETDFYYGGETVGVAYAPQGEVGADRTARLNVTLDVLADRISPNVSTTELIFGQEYNITSYTEITGRVDVLGIYKRDLDIKMNCTIMLEVGALSSVQSKATDCVAN >Sspon.06G0011350-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:48791138:48792770:1 gene:Sspon.06G0011350-2B transcript:Sspon.06G0011350-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSNGEAEQQWIENVKSGGAVPCLTPENCPNGWATPSGDSFMVRGPEYLTNKVKIPGGEYLLKPLGFDWIKGPAKICEILKDNNHRVRKAIDEEVSRGNQPFVWAFNLQLPKDNYSAIFYFVSLEDIPEGSLMDQFLKGDDAFRNSRLKLIANIVKGPWIVRTAVGEQAICILGRALSCKYTQGSNFIEVDVDVGSSIVANAIVHLAFGYITTLTVDLAFLIESQTESELPERLLGAGRYSSDPY >Sspon.02G0019090-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:61742089:61742774:-1 gene:Sspon.02G0019090-1A transcript:Sspon.02G0019090-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISTWSARLLLTPASSRATLCPHRPPATNPLSSSFPRNRLHFARPRPPRAYISAPAPGPEAAYAPPSLDAAAAAADVAAAISSSDAVTWAGVWALLSRHRARIAVCLAALVACTACTLSMPLFSGRFFETLIGRGSEPLWRLLSKIAVLYTMEPIFTIIFVINMTIIWEQVMARLRSQIFRRILIQKVLSA >Sspon.07G0002530-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3B:31475028:31476182:-1 gene:Sspon.07G0002530-2B transcript:Sspon.07G0002530-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTTTTTTPAPLLDMPAPSTDATATNGVASRRRLLLCANYAALLGGSVASSLLSRYYFAHGGCDRWVATLVQSVGFPVLLVPVYAGRCRSASQPRPFAWFTRRLLAACVIIGVLMGVNNLLFSYSSSYLPVSTSSLLLSTQLAFTLVLAAVIVRHPLTFSNLNAVVLLTLSSVLLALRSSDSAERPDGGSRARYFAGFAVTLGAAGLFAAYLPVMELVYRRAVSGGFRMAVEVQVIMQAAATALAFAGLSAAGGWREELARWDLSPAAYWAVVAALVVTWQACFMGTAGMVYLTSSLHSGVCMTAVLTANVIGGVLVFRDPFGWEKAVATVLCVWGFSSYLYGEYSSTQQAQEGDGKVAAAAGDGHKSVASGGVGGGGGAVETV >Sspon.01G0023850-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:85679192:85687041:1 gene:Sspon.01G0023850-1A transcript:Sspon.01G0023850-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASMKPYCLAIIVQLIYTGFFVISKAAFNQGINTYIFFFYRQAIGSVLLLPIALLQRMEDVNLRSSSGIAKVTGIALCLAGVFTIAFFSGPSISPVNHHRAFASDPAPAGSKPVVPKGPALLKDCPDKMVVTITQCLFSTVQSFVVAVVAERDFSKWKLRFDISLLAILYSGIDARDVKKLQDAGIYTCNGLMMCTKKALNYASQYPTLCSAYLNSLHKPSGIKGLSKAKVDKNHGSMIGNDLLLKITTGSQTLVDKQFGHV >Sspon.04G0027770-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4B:61494565:61494804:1 gene:Sspon.04G0027770-1B transcript:Sspon.04G0027770-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MWIIENRVWLRPERPFYYGLVLESEMDSNLIWIRPTTWLGCPCLTPKVAAKLEDVLGHLLGVLYLFGACSNLVLGPKCE >Sspon.02G0020970-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:68550391:68556549:1 gene:Sspon.02G0020970-2B transcript:Sspon.02G0020970-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVEYDVIVLGTGLKECILSGLLSVDRLKVLHMDRNDYYGGDSTSLNLNQLWKRFKGEETPPAHIGASRDYNVDMVPKFMMANGALVRVLIHTGVTKYMSFKAVDGSYVFNKGKIHKVPSNDMEALKSPLMGLFEKRRTGKFFLYVQDYKEDDRSTHKGYDLTKLTTKELISKYGLDDNTIDFIGHAVALHRDDSYLTEPAIDTLYAESVARFQGGSPYIYPLYGLGELPQGFARLSAVYGGTYMLSKPECKVEFDSEGKVCGVTSEGETAKCKKVVCDPSYLPDKVKKVGKVFRAIAIMSHPIPNTAESHSVQIILPQKQLGRRSDMQQCSCVHTRYVFCCSYSHNVASKGKFIAFVSAQAESDNPEKELKPGIDLLGTVDELFIDTYDRYEPSNDSSADNCFISTSYDATTHFESTVMDVLSLYTKITGKTVDLSVDLSAASAAEDDI >Sspon.08G0021250-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:35466262:35469856:1 gene:Sspon.08G0021250-1B transcript:Sspon.08G0021250-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEHVDGGEFNVKELLNMKEFTDAYPAMPCYGHVVAAWRSMVCSSHTLIRSTGSEFNFDELFNIDRGHQPHRFPSLSRSPSSAPARVVRWVPEPRSEAHQAPMAAPLPWVILRCVLVRKDTKAAEVEHPAAISISTALGAPPRITILSVAVAPNVHPGRPICSDELPYLVATGPLRSPDLCFFAGSVPIITDELILARQFFLKAKTPTTGSADRVPQRTGPSMPVTYNIRNVGLIPGVFGGYVIAELQGGATGQPGQALPPDLGRHDQAMVRDGARLPLVHLGTGLWWFDLSWGLLSCDPNDLLHHVLSFHDLPPGCYLSDARPFLYTIRCICVSENMLRYVGTARDCHPDGCLGEKKVVMWTWIPDPSGGDDEDANEWKWHKSYETDFKDIWNDGSYQATRLPALVPQIVLVSPRNHNVVYFFLNKIFFGVDVPSHRVVHFVKDCNKLEAPVSRLCVLPWDLPSWIVNGNT >Sspon.04G0010110-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:30193670:30196287:1 gene:Sspon.04G0010110-1A transcript:Sspon.04G0010110-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGVGGPLLTIGDLLSDLAVEGGDDPLVGVGDASAPSSPSAAQQAGKADPSDLSRLFEALQEKDPSWPSLMLKLCAALKTADKLVSCANTNAEQLLEKVKALEGVLERGDHAVAEIVEALQRSGLAKDHQSSRSKSASK >Sspon.08G0018400-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8C:7664914:7665561:1 gene:Sspon.08G0018400-2C transcript:Sspon.08G0018400-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRVQRPLGIGSMLLCHPFSACLVGSRAASGGRRRGDNNGSSPPEPSARTWRKPEPGRLKLNFDGSSKHGASRRASIGGVYRDHEGGFVLGYAERIGAATSSVAELAALRRGLELAVANGWRSVWIEGDAKTVVDVVRSRARVRAQEDLRLCGEIEALLPLLDDMSVSHVRRQGNRVAHGFAKLGTRRRAAQGVARHPARRGAQVPPARRRGEVM >Sspon.02G0028010-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:101769337:101773564:-1 gene:Sspon.02G0028010-1A transcript:Sspon.02G0028010-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bifunctional 3-dehydroquinate dehydratase/shikimate dehydrogenase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G06350) UniProtKB/Swiss-Prot;Acc:Q9SQT8] MATAMSVSAVTPAAAAAARPRTMVCVPATARAPREMAEELAAAAALGADLAELRLDCLAGFAPRRDLPVILAKPRPLPALVTYRPKWEGGEYEGDDESRFEALLLAMELGAEYVDVELKVADKFMKLISGKKPDNCKLIVSSHNFERTPSSEELANLVAQIQATGADIVKIATTATEIVDVAKMFQILVHCQEKQVPIIGLVMNDRGFISRVLCPKYGGFLTFGSLKKGKESAPAQPTAADLINVYNIRQIGPDTKVFGIIGKPVGHSKSPILHNEAFRSVGFNAVYVPFLVDDLAKFLNTYSSPDFAGFSCTIPHKEAAVRCCDEVDPIARDIGAVNTIVRRPDGKLVGYNTDYVGAISAIEDGIRVLKGRFAAFEQRDPDTSPLAGRLFVVIGAGGAGKALAYGAKEKGARVVIANRTFARAQELANLIGGPALTLADLENYRPEEGMILANTTAIGMHPNVNETPLSKQALKSYAVVFDAVYTPKETRLLREAAECGATVVSGLEMFIRQAMGQFEHFTGMPDLDSVTSMRLSNCKLMLRAETFW >Sspon.02G0028090-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:102120980:102123412:1 gene:Sspon.02G0028090-1A transcript:Sspon.02G0028090-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRVMKIASERAVVVFTLSSCCMCHTVTRLMADLGVNALVHELDSDPRGKDMERALHTMLGGRGPAVPAVFIGGKLVGGTNRVMSLHLAGELVPMLKSAGALWFKSISPENYKAELFSFYISLVT >Sspon.05G0013680-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:48364429:48372337:-1 gene:Sspon.05G0013680-1A transcript:Sspon.05G0013680-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSCTRCPAAAGAASARRAAAQPLATAAAVSFARCGGFGRFAAAAAACWRIHAVAPQGAKAPMDADVKHVVIPPTSPKVENGSPSEITLDEFEDLSALCKNDDSTVSITHFTIFGYARSKMTDAELRNMVSKTLTCRIDKRENCSEKMEEFLKRCFYHSGQYDSEEHFLDLDKKLKPHEGSRVSNRLFYLSIPPNIFLDVVKCASKSASSVNGWTRVIVEKPFGRDSESSAALTRGLKQYLVEDQIFRIDHYLGKELVENLSVLRFSNLVFEPLWSRQYIRNVQLIFSEDFGTEGRGGYFDGYGIIRDIMQNHLLQILALFAMETPISLEAEDIRNEKVKVLRSMKPLQLEDVVIGQYKSHTKGGTTYPGYTDDKTVPKDSVTPTFAAAALFINNARWDGVPFLMKAGKALHTKRAEIRVQFRHVPGNLYKGSFGTDLDRATNELVIRVQPDEAIYLKINNKIPGLGMRLDRSNLNLHYAARYSKEIPDAYERLLLDAIEGERRLFIRSDELDAAWSLFTPLLKELEEKRIAPELYPYGSRGPVGAHYLAAKYNVRWGDLSAEHYKA >Sspon.03G0018330-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:56197874:56201034:1 gene:Sspon.03G0018330-1A transcript:Sspon.03G0018330-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAITGGVVSSEPCSLHKAALFLIRFTKSATSHLPSSDCYTYLRTVTDATIQLGRFRNELGARHQQGAANFDAHDYEDPVEGDRRHQDQESEGGMAVAADGSHRDSAAGAELDLASGKNKGKKKKKKNENPPEDRAVVRADSHIPPSPEIATEKRRKKKHPNKEIIVDVKQEPSFVVEEELVSEQKKSKKKKEKDHVKLEEDVNEVEEKIVNDSAAEQRVARTERERRKKKHEQEEGRTEVVKEEKTIADGDLDIEKKRKKKRGRGDIYDNGPEQENQEMEKSRILIIGGTGHIGKHIVTASVRLGHPTAVLTRDSAPSDPAKAQLLKSFADSGAALIEVPVI >Sspon.07G0005520-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:13244095:13246974:-1 gene:Sspon.07G0005520-2B transcript:Sspon.07G0005520-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GAAALARGRPAAGNHRRHALHHGQRPVFHPQGRARQGPKHIGNDMWDVAMERRDKKLMEESSGN >Sspon.07G0037880-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7D:63854325:63856578:-1 gene:Sspon.07G0037880-1D transcript:Sspon.07G0037880-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MACVTSVRYSVRFNNFETDIFAPTRGLRQGDPLCAYLFLMVAEGLSCMIRKAEERGDLKGVKMKLLEDRSRDELEVGFAELILTVGWYIWWERRQIVDGETVQRPSRSAMAIVALTKNYKAAMKKGSKLQQGWKKPPKGKVMVNVDAAFNEDEGCGSVGAIIRDCSGGALAAAHSFVPHLIDAPMAEAYALKEGLMLAQHIGCNRLIV >Sspon.03G0014720-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:46823912:46827809:1 gene:Sspon.03G0014720-1P transcript:Sspon.03G0014720-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPQISRRALGLLLVLAAAAAAAADEVVALTEADFEKEVGQDRGALVEFYAPWCGHCKKLAPEYEKLGASFKKAKSVLIAKVDCDEHKSLCSKYGVSGYPTIQWFPKGSLEPKNYEGERSVEALTEFVNSEAGTNVKIAAIPSSVVVLTPESFDSIVLDETKDVLVEFYAPWCGHCKHLAPVYEKLASVFKQDDGVVIANLDADKHTDLAEKYGVSGFPTLKFFPKGNKAGEDYDGGRDLDDFVKFINEKCGTSRDSKGQLNSEAGLVASLNPLVKEFLNAAGDKRKEVLSKIEEDVAKLSGSAAKHGKIYVTAAKKIMDKGPDYTKKETERLHRLLEKSISPSKADEFIIKKNILSTFSS >Sspon.03G0004700-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:12133462:12140054:1 gene:Sspon.03G0004700-1T transcript:Sspon.03G0004700-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMPQSFLLLPSFLPPASLVRVASRPLSSSSLTALRFHRGDAKLSPPLLAASHSPPGGFRGYGDSGGSDGGSGGDGGRGMDSPDPGDGWWRRWLQALHPEFLLLFLSSSPAPRPHSLRPSATPEMTRGRVRGGKRTRLVPDPTWTSYLIAGDDGWKREDEGEKVGGGAEELAALRRQLGRSWRRCTDVAVQLLLPDGYPHSVSSDYLNYSLWRGVQGVASQISGVLSTQALLYAVGLGKGAIPTAAAVNWVLKDGLGYLSKIMLSKFGRHFDVNPKGWRLFADFLENMAYGLEILTPVFPHLFVPIGAAAGAGRSAASLIQAATRSCFYAGFAVQRNFAEVIAKGEAQGMVSKFVGIGLGIALANHIGSSVPLALISFAGITAVHMYCNLKSYQSIQLRTLNPYRASLVFSEYLLSGQVPSVKEVNDEEPLFFNLSLGASRQQSKILSAEAKDAADRICRRLQMGSKLSEIIESKEDACALFDLYKNEQYLLMDYKGKFCIVLKEGSSPEDMLKSLFHVSYLYWLERYMGFKPSNVASECRPGGRLEVSLDYAQREFSHVKHDGSDGGWVMDGLIARPLPVRIRIGDGTYLKASELGNGIDEAVVELSDPPDMA >Sspon.02G0025930-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:90108421:90109979:-1 gene:Sspon.02G0025930-1A transcript:Sspon.02G0025930-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSKKVCVVGAGVAGLVSARELRREGHDVTVMEQSGGVGGQWLYDPRTDASDPLGVAGAQSSIYASLRLNTPRETTSFSDFPFFPNSDGTGDARRYPVHGEFLRYIRDFCDAFGLMDVVSLNTNVLHVGLLAPRGHDGGVTRWTVRWSRHGGCEGEVVTAEEVFDAVVIAVGQITQPRLPAISGMDKWSRRQLHSHSYRTPDSFQDQVVVVVGCHPSGSDIALELCTVAREVHISVKSMDDGAVVPGMRKAVSRHHNLHLHLQVDCLCEDGQVIFADGSCVVADSIIYCTGYDFSFPFLDTGGLVTVDDNRVGPLFEHTFPPALAPSLSFVGLPRLLVVPRFYEAQARWVAQVLSGRRPLPPEEEMLRAAEEYHRAREAAGVPRRLSHSIFFDMDYCDEFGAKHCGFPRLPEWKRDLMSSAVARYREDDAEIFRDSYHDSDLLREGLQAQGWLTGRPPPPPPEDTRVENES >Sspon.07G0011240-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:38903290:38906034:1 gene:Sspon.07G0011240-1A transcript:Sspon.07G0011240-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLYHNEWAEALGIEISSPRAKDGTMVVNRTSLSSGKLMPSLSFKLWEAEAVVASMDHDRSRPSECLIRDDSDSEVVFMATPTSPRVSSSPKCELDAAAVKLQKVYKSYRTRRNLADCAVVIEELWWKALDFASLKHSSVSFFNGGKPETAASRWARARTRVAKLGKGLSKNGKAQKLARQHWLEAIDPRHRYGHNLHIYYDVWSKSESTEPFFYWLDIGEGKEVNLEKCPRSKLQSQCIKYLGPKERQEYEVVVERGKLVYKKNGAVVHTLDDSKWIFVLSTTKALYVGQKKKGSFQHSSFLAGGAITSAGRLVVKEGILKAIWPYSGHYLPTEENFKDFIRYLEENGVDITHVKKCPLDKDDEYPLLSKPEAQPNAAVSNGTDGAEHTTASAAMDEHTSDSDAADGDVHRATGDGNMSEAEEDDTDTHGHTNIDTEEEGQQHELPLPPSSAAAAELGRKNHLTCRWSTGTGPRIRCVRDYPQDLQSRALEHVNLSPRLTGSPSRKRDPVPSPRPSPAMILSPRLASVGFQPQTAVSLTLPDFKRSRLQ >Sspon.07G0029540-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:76871106:76871651:1 gene:Sspon.07G0029540-1B transcript:Sspon.07G0029540-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPPQRQQPAGSACVWVVAVVLLLSVLAGGGCLGLYVTLPPSEVPQWLPAAGLALVALPWAFWIATCAYRCCCSADAAAPAVANIVERRSSSRAGAAAVAPMPMPSSKSLKSARSTRHANGSLASGSGSGSPTASSAARRVRFGDTTVLGEDHAAPEKDDGSSVHSNESEAPLAYNMQSSG >Sspon.07G0029060-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7C:76475588:76477148:1 gene:Sspon.07G0029060-2C transcript:Sspon.07G0029060-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFQRKHYLWGVFRPRQDQCAEVAEPVHDTVWCAQEKEEEQHASNQQDEVQEVHWKSPAKSMQQAAATIGVPGSPDMDFGPEAPEERQLGDALRHTLHCAEATAVATNPAAVTTEAAAVATDPAIVTTEAAAVATDPAIVTTEAATIPTEANENDTNGATIPGHHGRSDSIVGVPPGKFFCFVAGQTPKLEQLIQEMQREGSLVLAIRGEPIGGGLWPETSPPLI >Sspon.08G0017070-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8A:66692485:66694646:-1 gene:Sspon.08G0017070-1A transcript:Sspon.08G0017070-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding PAPLTAAVAAGRPPPLLAAPHCQPATPSIFSFTSVPLPLPWPAGGFPAASPSPRRHLLSLKLSIVVKDHKRCFFFQRIDGPNKFDPRYLLFDDWRGHHQREHFERGVPPPPNPPPITKDEKHLAAVRRLEEPPLCHCGERAVINPENTMEFVCPLKHESTGKCKWESYEGRNKFVEELQQRQLLARNRGYGPDYVASFIEKRKKNMRIGCTGEYAADVICARYMEKKLQEHQTRVGGTTERPIVVKGEGDQDEDDTARLSDLIALSEVGLQADKEEDNTGKLSELIGLAEAGLQAEEDEDAFFSWPQRP >Sspon.05G0019000-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:83208514:83214263:-1 gene:Sspon.05G0019000-2B transcript:Sspon.05G0019000-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPDADAAGGGGGAEAGAGASSSPASAAANGRALVRWDQILPRRSLRVLLVEHDDSTRQVVTALLRKCGYRVAAVADGMKAWEVMRARAYAFDLVLTEVAMPSLSGIQLLSRIVAADECKNIPVIMMSSQDSIGTVLKCMQKGAVDFLVKPLRKNELRNLWQHVWRRHAMNCQTNGSENNAASNHISANVANGSKTGENSDEESDAQSFGSKRETEIQSVEKLPGTRRDEVAGSSKKIEQQNKSYDDGVNTKVDASKDSDGAPSGSEKNVRPKCLNNGITSAKVAEQIMDNALRITDASSRRPSNLGKDLGMTEPAADKKCQSSVMENNAVTKGAAIGHADSCPSQFLETNLGKQHHLNGYENQEFREKDIFNHSNSSAFSRYGNKRIEPSGEIQFFPSLCITGQEHVHGKDPVFQPNGVLVPPNEHNTGESTRQARITLDSSTEGAAIMCSSSAREDAGASSSSHRKDNMSHPSYGFIPVPIPVGPGMPYHYGAILQPVYYPQGPLMHCDSAGINKAAIQHAPGQSNYHEAPVKPSQVDEHKQSEENHQLHHSRQILRESGEPIDMARAHMDRANQSASCSQDICKGSGCTGSGEADINANTMVALESGNESGIQNCDMSRREAALMKFRMKRKDRCFEKKVRYHSRKKLAEQRPRVKGQFVSQKLKSATTTEAETDS >Sspon.02G0034800-3D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2D:9746040:9752278:1 gene:Sspon.02G0034800-3D transcript:Sspon.02G0034800-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPYQHVGGGTCSKDPDDVGVSSSPNNVPLPQRPPLLVSGGVTRGDVEKNVYVEERATGPDVYNAHATSASTTEPAADLRPCGCAETRAQGVRVSIQPLAVGTADRQTGRCARRSVRAPAPRAYIRNGHVAVGRYTRYAWRYTIQRWTSADSLKRHGDATPPDRERAARQPKRAKTTPTGGFLSPLPFAFAFLFPPCPPHPFFTRQPPFRLLGWGVPVKSMAASARACLVALALVSALILDGAAAAAEANAGGRSLTLRQRRRQLLRQRQVRSHLKRLNKAPLATIQEQEKAAPFEAAAAGFLFMPARLPWSLGPLRLFGRVEWLFLVDHPRAIGLAFLPSREKKMRPAYHPEGLYDESKVASQQNAQTITQMWHQNGRCPENTIPIRRTKEEDVLRASSVRRYGKKKGRSTANPMSVDPDMLNESGHQHAIAYVEGDKYYGAKATINVWQPKIEQANEFSLSQLWILGGSFGQDLNSIEAGWQVSPDLYGDNNTRLFTYWTSDAYQATGCYNLLCSGFIQISNQIAMGASIFPISNYGGSQYDINILVWKDPKEGNWWLQFGNGYVLGYWPSFLFSYLADSASMIEWGGEVVNSEPDGSHTTTQMGSGHFPEEGFGKASYFRNIQVVDSTNNLKAPRGVGTFTEQSNCYDVQDGNNGDWGTYFYYGGPGKNSNCP >Sspon.07G0007570-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7C:15839684:15840451:-1 gene:Sspon.07G0007570-2C transcript:Sspon.07G0007570-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding WRWAPSGASTLERAARAFLLASAALVLACALYLYVFRYVGRGGRPAFAAAGFVGDAGLGLGPGLGGEPCDVFDGAWVPDDTGRHPLYNSSECPFAERGFDCLGNGRNDTGYLNWRWKPHRCDVPRFAARTALERLRGKRVVFVGDSMSRTQWESFICMLMAGVDDPRTVFEVNGNEITKTIRHLAVRFASHGLTVEFFRSVFLVQEHPAPRHAPKRVKSTLRLDRMDNFSRKWVNSDVLIFNTGHWWTPTKLFDT >Sspon.04G0028660-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4B:67334919:67336511:-1 gene:Sspon.04G0028660-1B transcript:Sspon.04G0028660-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding QWRRLPGEPWRSAGDGHGGLASSWRWRRVGVPGRRFGHAAVGPGVGHLQAVHGGAVGRRRQATHAWLNGGSLLLLAPHPWREHAWTGELHRVVRHHREGDAAASGCGWSSTAAPAQSSQSSTRPSYCNRWPSATGATHDLEQEIRAEMDGNDRFLRNADQGGFNTNDKGLEPPLPPKYTLTP >Sspon.01G0048690-2D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1D:100426626:100426952:1 gene:Sspon.01G0048690-2D transcript:Sspon.01G0048690-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPPSAVAAVLLLLLLTAAPASGQIATSCTASLITTFTPCLNFVTGSTNGGGSPTQQCCGSLAEMVRTGADCACLILTGNVPFSLPINRTLAISLPKLCSSTSVPLQCR >Sspon.03G0012890-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:35877260:35879522:-1 gene:Sspon.03G0012890-1A transcript:Sspon.03G0012890-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial carrier protein CoAc1 [Source:Projected from Arabidopsis thaliana (AT1G14560) UniProtKB/Swiss-Prot;Acc:F4HW79] MGSSQESTFSSASAAAQVNASALDLLPVYAKELIAGGAAGAFAKTAVAPLERVKILLQTRTEGFQSLGILQSLRKLWQYEGIRGFYKGNGASVLRIVPYAALHYMTYEQYRCWILNNFAPSIGTGPVVDLLAGSAAGGTAVLCTYPLDLARTKLAYQVSNVGQPGNAFGNSGQQQTYNGIKDVFKTVYKEGGARSLYRGVAGLFGQTLTYPLDVVRRQMQVQSKQPQNSSDGFRIRGTFQGLLLIIRCQGWRQLFAGLSLNYVK >Sspon.03G0010060-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:27246441:27258762:1 gene:Sspon.03G0010060-1A transcript:Sspon.03G0010060-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Site-2 protease [Source:Projected from Arabidopsis thaliana (AT4G20310) UniProtKB/TrEMBL;Acc:A0A0F6SCX9] MGGFSADAAGYAQLASAAAAAAQLPSAAAAAVSGATFSAQALTTAAGSAAGGGPTAGTETGAADGIWHNVMLCTACVMITLLLPVMLYPLYVSGDGLMVMDIPEISPMSEYLSSHDVILSVDGLKITRTDEWIKILNQGSTAKSSDPEFLEGSHRYVATSSAKGYCVPDSWIDASKNLWQIRDKLPCPDELIAFEKVICNSSTILTDKTSIGSDQKEVEGKYCLIAKDVVKLRRCGNGWHRTEGDESSCACFEDEHCLVPVLTPGISWIEVSYTRPYSLGCLQKAGNMLPSHTTNSNLGQSPCEGSFVYVGDLSSSARSVRLSQYRPRWALLLFIADIPYTFENGLSSLLHASAALAVINCLPVYFLDGEAILETTLSYVAWFTPRLQRRILKVCRFVWTVLSVITFSRICYSTVLHGVNRNKTDMNETEVSRSYSTEQE >Sspon.03G0015420-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:49224561:49227618:1 gene:Sspon.03G0015420-1A transcript:Sspon.03G0015420-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRPTARARAQGQPQLFVFGGDCGDRYHGEVDVLDVDTMAWSRVSAMSLSCRVASISSRPLKTDQFLRTIAPQHRQEVQFLSSDHKPQPRPPGPPLIGAEVHGTIDGAFDSGYLMTAVVNGQLFRGVLFAPTDGSSPDPDELGRASQQQRPLLAHAIPVHARPVPQATGFVLPDWFPAKAVKSEPERGSSDLHDVGASLKNGRGPAWPTAQHEWGMVQVYETG >Sspon.04G0000140-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:1991402:1996432:1 gene:Sspon.04G0000140-1A transcript:Sspon.04G0000140-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTPSAGIPPPSFSAASSPSTSYSLLRPLRRCRRLPVPRAAAVPPKRSAPVPATSLPPEAPASAAAAPFSVTPAGTYLGGEDPLVSKLRTQLGVIHPLPAPPVNRSVVGLFALFFFVGAAFDKLWTLRKRRRAERELKVNGSWPQVPTPSFSLFLEEKDLQRKESVEWVNMVLGKLWKVYRTGIENWIVGLLQPVIDNLQKPDYVNRVEIRQFYLGEEPLSVRNVERRTSRRANDLQYQIGIRYAGGARMALALSLKFTKVPVIVPVWVRDFDIDGELWVKLRLIPTEPWVGAVSWAFVSLPKIKFELSLFRLFNLMGTHLLLQTFEISRLQINSSLLMFLTKLLTEDLPRLFVRPKKIVLDFQQGRAMGPVSGSVASDIIQNVASDLIQEGNKDFVGELSVTLVDARKLSFVLFGKTDPYVVMILGDQVIKSKKNSQTTVIGLPGEPIWNQDFHMLVANPRKQKLTIQVKDSIGLTDITIGTGEVELGSLKDTVPTDKIVTLYGGWGLFGKREAGELLLRLTYKAYVEDEEDEAVRSEFGAGYASDEDVLDYVGGMSKGSDFVGKERETFMDLLAALLVSEEFQGIVSSEAGSSSREGEQAGSGPESTDRVVTSSSTSAAAADTEASTVSNSSTDTALVWLAAITSVMVLVSSNLGGSD >Sspon.03G0005680-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:31434822:31439226:-1 gene:Sspon.03G0005680-1P transcript:Sspon.03G0005680-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AMSWLARSIAATLSSAHSDDDDSESPSGDKSPPRASDPTSADTGESDEQPDTPSRGVKGDISELTESLTRRFWGVASFLAPPETEEEEVEEETAAATEAPQSPRIDGIRSDLAEIGGRVKTGISMLSNAGAVAEISRIASSFLPFGPGDHGEEEEGEAVGVTEEVVVFVSNISKHPKTWLDFPLFVDDRHTVDFELSDAQYGHAVAIERLVPSLSYLRTELCSTNMSEACFWKIYFVLLHSKLSKQDAEILSTPQILEAREGLLQSSPTKNKLLSENRNTTEPEESELSPSSIQNKHGVSEAPSFQELTSDAVPNVEADKHPISNTEAEIVDKSVIQEELVMKTEAKSLPTEKSNPHPAEDDDEKEVDDWLQDVDSVPSKTGNPTAVGEEEQVRHRPNTSTEVKLLHYCSLDGLDMKPTYRIAEWADPGHDMLITHTN >Sspon.04G0037720-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:76829391:76834400:-1 gene:Sspon.04G0037720-1D transcript:Sspon.04G0037720-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALQAPQAQQQATPSPSPSPSPSPSPSPTRGRTGSGEWPDDAEKLPTGAAATASPARSSDAVELVVVASTHHAAAAKYVPPRATSHTADPNPARGGSAGWYSWSGGRPPARPRRARPDPAPPRSQQPVEVPPPPPPPAPAPATAPVPPTAPAPAPAPAPRAPSPHVQFRSGDQVVPGILSRKRRAAVMQRTALLARGAAAGLCLAALAVLAADTRKGWARDSYSNYTQFRYSEAVNVIGFLYSVFQFVALAELMRTKKHLIPRPKRDLFDFTMDQVCLCLPQVLAYLLISSSSSATARVS >Sspon.07G0003110-3C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3C:36473738:36475010:-1 gene:Sspon.07G0003110-3C transcript:Sspon.07G0003110-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding WTLPLAGLARATMLTNTSRARRLDAAGSTWTRPSLGCALGSSSATRPLLAAAAAATPERARWARMRVPSKAARVWTTVTRSRSSTRARGMSSTLAYSTRRSPSPGSSATAGRAVSNGGAMLSSYSGTTGGYISSGESNNYSGGGGHDAEVVSPTSIMSPTTTAPPPPL >Sspon.07G0014850-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7B:63550910:63553476:-1 gene:Sspon.07G0014850-2B transcript:Sspon.07G0014850-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VGFAACWKSGISDFLGAPRWFEEFVNSRSVLAVCGDMPRYDDRYGNTRLYVGRLAPRTRSRDLEYLFSKYGRLSVFYTTMELTVCGNHLRRIREVELKRDYAFIEFSDPQDADDAQYNLDGREVDGSRIIVEFAKGVPRGSGGSREYMGRGPPPGTGRCFNCGIDGHWARDCKAGVREAIHVLHPHAVDGAAAGATAEAGAIACVNNPSITISRSRSPSGSPRGGRCDRDERRSRSVSYSRSASPRRSVSPAKEKERSRTPDGSRSPRSPSPRDDVSPPPKDNGARNGSDREDSPGARENSKRSRSPSDGYRSPAANGRSPSPRDDRSPSPKGDNGDDERRGSPRGSQSP >Sspon.05G0013310-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:54148882:54149193:1 gene:Sspon.05G0013310-2C transcript:Sspon.05G0013310-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFYVDEKWKFSKKSRNNGSRRVPGAGGAGGGDSLLKRSSSMRDVPAIGRRGSGAGAAAAAAGGCAPQPSFSSRCAGLVKEQRARFYIMRRCVTMLVCWKDCS >Sspon.08G0006340-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:19863263:19865761:1 gene:Sspon.08G0006340-1A transcript:Sspon.08G0006340-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSCRSLSTWVRRLVACMGGCFGCCAKPTPITAVDEPSKRLRIQGRSVRKASLSEDFWSTSAHEMEKSGIQSQRSMSSISTVAQSSDQHAAGSSSNPNEFVNQGLMLWNQTRQQWVGSKKRHSRSQQPREPKLSWNTTYESLLASNKPFSQPIPLGKL >Sspon.06G0031930-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6C:74819412:74820086:1 gene:Sspon.06G0031930-1C transcript:Sspon.06G0031930-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKIFALLALLALSVSATTAFIIPQCSLVTAAGYEHPVVRAYRLQPISPWQQQSSAHLLAQLQQQQFLPALSQLALANPASYLQLQQLLPVNPLAAANAIAYLQQQQLQQQLQQFLPALSQLAVANPAAYFQQQQLLPFNQLALSNAAAYLQQQQPLPFTQSVVATAAAYHQQQQLLPVNPLALANPLAAAFLQQQQLLQSNQMSLINPALSWQQPIIGGAIF >Sspon.03G0015670-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3A:49893219:49896628:1 gene:Sspon.03G0015670-1A transcript:Sspon.03G0015670-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKPFSESFFAFYRFVRRRLGNPPCQHHHQQSTSGVPTYPVIIHGCPLALQVDDHLHNQNQALVLDIEGVLLRSSSLFPYFMLVAIEAEVQLRVMVMVCFLGLREEKVARVARATLPKHFLEDIGREGLEIVRGFKRVVGLSRMIPRVMVEDFLKKYMGLEMVVGREVKMVRGRYVGLLEMESERKLGLEKLEETEMVGFGSCTGYFSHDYHQLFNCCKEVYLVTPEEKKQWSTLPRDQYPRPLIFHDGRLAFRPTPQATLAMLMWLPLAVPLTVLRTLVFVNLPYSLSVPIGSATGVTTRVINSPISGNGNTNHGALAQPNPQGHLFVCNHRTLLDPIYISVMLKKKVSAMTYSVSRITELLSPIRTIRLTRNRDEDHRRMEHSLQKGDLVICPEGTTCREPYLLRFSPLFVELVDEVYPVALMNWSNMFYGTSTGRSKYLDHFYYFMNPHPAYVVQFMERMPTHVVINGRRCESYEVANMVQGEIGRALGFEPTKLARKDKYLILAGNEGVVDTKQ >Sspon.05G0033390-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5C:31972983:31976905:1 gene:Sspon.05G0033390-1C transcript:Sspon.05G0033390-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVFFLAALLATPTRSSSPSSNAAGLQPTLTFCSGHSPYLTSSPAGLVVTSSEITRFLAAVCAAAYGVAHMSHNMSAYVAENRTMYGVAQCHPDVSVSDCAACLAASSKLIAGAACAASAVWHDACFLRYSDHDDLGRFREDEYTATVFNASRALSPHVATKAVVRRLLATAGNGTTSSHLCRSVGAAAGTVAGGGWIYGLTRCAVGISCDDCCRCLRGALAVVDRAYNGSAGMQVLRLSCMARYESFPFYNTKSLARRLLADRWASRNTYDPHINVPVSRPADTPAVPVPPGGRNPPPPSPPAQARAPPPPAPPGGHTPQPPTAAPNDQTATGSSKTKGKGMSNTSKWLIVSLATGLAIAAIILALTIWYRRKRRPAQRGHVIEPLVLNRQADGMPEETMHDQEKKHLSREEDEEDDGGRTSCQLYSYQVLEAATCHFSSRNKLGSGGFGTVYKGTLENGKQVAVKRLRDSKRTVQELEREISIVADLCHKNLVRFFGYCFQEEGRFLIYEYVPNNSLDKFWYKASFQCEKLEWVTWFNIILGVARGLRFLHDKGIIHRDLKPHNVLLDDNFNPKIADFDLIRMYDKQKTHESTEKVAGTFGYMAPECTSGRKFLLSIKSDVYSYGVLVLEIITGHKIYTFEGQDSEGLVEYVWQHWTEKRASDVVDGDLGVDAQEHAARQALRCVHVALLCVQSDRARRPTMGQVIAMLSSGDDGAAEELPEPSLP >Sspon.02G0029370-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:98339693:98342802:1 gene:Sspon.02G0029370-4D transcript:Sspon.02G0029370-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLVALWAVLAHVLGCADVLHAGHQPLSRIAIERATAALDNSASINAHPTVLGLKGQTARAVTGWSSRSRIRTPPTTTGSASSLLQVSVHDMDQRLSFGEWVHLQFQYANFTNDAYNKSGKGSLRLQLINQRADFAFALFSGGFSAPKLIAVSNSVTFTNPKAPVYPRLAQGKSWNEVSFNFFASDLLMTVTWTSGYSIKEAVPFVEWGPKGGDRTLSPAGTLTFGRNSMCGSPARTVGWRDPGYIHTSFLKELWPDALYTYRLGHRLSDGTHIWSKSYSFHASPFPGQESLQRVVLFGDMGKAEIDGSDEYGNYEQASLNTTKQIIKDLENIDMVIHIGDLSYANGYLSQWDQFTEQIEPIASTVPYMIGIGNHERDWPDTGSFYGYNDSGGECGVPTQTMFYVPAENRAKSWYSTDYGMFRFCIANTEEDWRPGTEQYKFIEHCLSSVDRQKQPWLIFLAHRVLGYSSGGWYEIMMGSYGEPMGREGLQDLWQKYKVDLAVFGHIHSYERTCPIYQNRCVQDGSNHYSGRFNATTHVTVGGGGASLSTFRNNVPYWSFFRDSDFGFSKLTAINNSFLLFEYKKSRDGN >Sspon.01G0040540-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1B:41478973:41479275:1 gene:Sspon.01G0040540-1B transcript:Sspon.01G0040540-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSFLLAMAALAALLAVGSCGTLLTWTVGKGSSSNRLVLVANAAISEVSVKDKSAAEWSDDLKESPAKTFTLDSKVPIKGPISVRFAVKGRGYRTSDD >Sspon.04G0037250-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:65708107:65713009:1 gene:Sspon.04G0037250-1D transcript:Sspon.04G0037250-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGKRLTPLLLAVLLTCLVFAANCCGGDEGGGVETPAGGLRQPPDGEKMVGSSDFRIILCLKDKCYGSFPYKTCYCCQTLVGTPCFDDQQTCQRRCPHHKRLHRIPAAHRASADAMHRQRVPAGEGGDVEIPAGGGLRRPQAGGEKMDGRSKINIKLCVRFECYGTGECYCCQVLPNKPCFPNQRDCQRVCPNDKRLHRLPAAHRASPPPMSSSTDR >Sspon.07G0002520-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7B:4923674:4924344:1 gene:Sspon.07G0002520-2B transcript:Sspon.07G0002520-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RRAEPGERQYLLRVPRRRALRWQLLLLPRRPPRPAQRHRVPRLRPRASARTPATQTKLFLDPQIPFYGVKVDAIHVAGKALDIPAEVWDAKSGGVILDSGNTLTVLAAPAYKAVVTALSRHLDGVPKVSFPPFEHCYNWTARRPGAPEIIPKLAVQFAGSARLEPPAKSYVIDVKPGVKCIGVQEGEWPGVSVIGNIMQQEHLWEFDLKNMQVRFKQSNCTR >Sspon.04G0037480-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:72816084:72820862:1 gene:Sspon.04G0037480-1D transcript:Sspon.04G0037480-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQRPEPLSTESRGACSSSRRHDQGRPVTTEGCGPKLSGTRYKGKTVTCSTATVSRLSNQEQAVLGGGTGELEVKCHVYDLKLRPPMANDMTSHYKREIEGAASLARLHGKWALGWSKEEDGDRYLLALAISSMYSRRRRRRRLHSQSSPQYEYDMTPPPSPCRVRVWRERCRERREGRL >Sspon.08G0009420-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:64454855:64460377:-1 gene:Sspon.08G0009420-3D transcript:Sspon.08G0009420-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPPGTTIPEAPPNLDYSIALEYDGPDVSYELPRIDPVCLPAIPTAEPVSGPLGLGLGSSGVVPVAPVIGPAAARARANPPPPGASRAGGAAAHRAAVPPARARRGSSPSAGSAAAAARDEDGCSDDDEDEDGSRPPRSSRPAAPEGRRPQVVTFGEPEDSRYQSQDFDAASAEQQYVAVTRPAVERKGRMTCCHRCGKSKWESKESCIVCDARYCGHCLLRAMGSMPEGRKCITCIGRPIDEAKRSKLGKGSRVLACLLSPLEVRQILRVEKECQANQLRPEQLVVNGFPLHEEEMADLLSCQRPPGNLKPGRYWYDKESGLWGKEGEKPDRIISTNLNFNGKLQPDASNGTAQVFINGREITKIELRILKIAKVQCPRDTHFWVYHDGRYEEEGQNNIKGKIWESPLTRFACALVSLPVPPTNFDVTKDEAPYSSRTVPDYLDHKRIQKLLILGSPGAGTSTIFKQAKLLYGNRFTDEELENIKLMIQSNMFKYLGILLEGRERFEEEALAVSNHPSSEGDDPQQDESKSSSSNSCIYSINAKLKKFSDWLLDIIATGDLDAFFPAATREYAPVVDELWKDPAIQATYKRKDELHFLPDAAEYFLSRAIEVSSNEYEPSEKDVIYAEGVTQGNGLAFIDFTLDDRSPMSEPFGDNHDAYSQPANKYQLIRVSAKGMNEGCKWVEMFEDVSMVIFSVALSDYDELGAPASGSSRTLMNKMIQSRDLFEATIRQPCFRDMPFVLVLNKYDLFEEKIGRSPLTTCEWFGDFCPLRTHHNNQSLAQQAFYYVAMRFKDLYAASTGRKLFVWQARARDRPTVDEAFRYIREVLRWEDERDAAGYCPEESFYSTTELSSSRLIAAADVNASWFADPVLT >Sspon.03G0000180-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:10402147:10403967:-1 gene:Sspon.03G0000180-2C transcript:Sspon.03G0000180-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Delta(3,5)-Delta(2,4)-dienoyl-CoA isomerase, peroxisomal [Source:Projected from Arabidopsis thaliana (AT5G43280) UniProtKB/Swiss-Prot;Acc:Q9FHR8] MSPGSKNKNKSEQSRGERGDKSPAASYPATTSSRERAGSSSNPPRMAGGDRDASDAELRAGFETLAVTRPDPSAGVYEVRLNRPAQRNALSPAAFAEIPRAMSLLDRTPTARAVVLSAAGPHFCAGIELGGPGNPLAAASVAGAGDPVAAAEALRRAVLDMQAALTAIERCRKPVVAAVHGACVGGGVDVVAACDIRCCSRDATFVLKEVDMAIVADLGALQRLPRIVGYGNAADLALTGRKITAMEAKEMGLVTRVFDSKKDLDAGVAKIAKEIAEKSAWAVMGTKAVLLRSRDVTVEQGLEHVATWNAGMMRSNDQKEAIRAFLEKRKPVFSKL >Sspon.03G0003380-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:8465569:8467240:1 gene:Sspon.03G0003380-1A transcript:Sspon.03G0003380-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPPEPGPYMPDLPAVPAWLNKGDNAWQLVAATFVGLQSMPGLVVLYGSIVKKKWAVNSAFMAMYAYASTLIVWVLVGFRMAFGERMLPFWAKAGPALTQDFLVRRAVFPATAHYGRGGVLETPPTEPYYAQASLVLFEFEFAAITLVLLAGSLLGRMNIRAWMAFTPLWLLFSYTVGAFSLWGGGFLYQWGVIDYSGGYVIHLSSGIAGFTAAYWVGPRLKSDRERFSPNNILLMIAGGGLLWLGWAGFNGGAPYAPNITASVAVLNTNVSAATSLLTWTCLDVIFFGKPSVIGAVQGMMTGLVCITPGAGLVHTWSAMLMGASAGSVPWFTMMILHKKSSLLMKVDDTLAVFHTHAVAGVLGGVLTGLLATPELCALDSPVPGARGVFYGGGLAQLGKQLGGALFVTVWNLVVTSAILMCIGLFIPLRMPDDQLMIGDDAAHGEEAYALWGDGEKFDVTRPDTTRTGGASGAPREDTVEQRLTSMGARGVTIQL >Sspon.05G0036860-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:5201828:5204127:-1 gene:Sspon.05G0036860-1D transcript:Sspon.05G0036860-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNAAVAVTSSVAGTATAVVMIALIRRCRVVRKKMKKKIAKKVLEELDRKNRELQLACNPAEDVVVEIGPVEKFLNEILNEKPMRFSSEQLAAYTRNYSCELGSGGYGVVYKGELPNGLLVAAKVLKVSMNKKVQEAFMAEIGTIGRTYHVHLVRLYGFCFDANTKALVYEYLENGSLEKYLYGDEDSTSKRLEWGTLHSIAVGTAKGIRYLHEECQQRIVHYDIKPANILLTADYTPKVADFGLARLGERENTHMSLTGGGRGTPGYAAPELWMALPASEKCDVYSFGMVLFEILGRRRNFDPGQGESKEWFPRWAWEKYELGEIEDVVSCAGPALGEGREFQPSARPTMSSVVRMLEGEMAIVPPVNPFHYLMESSGGSTSSGLWSGTYQSSRDTTARDSELSISPAAKSTGAMIEEVERTLASVLTKQSL >Sspon.02G0035130-1P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2D:11396432:11408118:1 gene:Sspon.02G0035130-1P transcript:Sspon.02G0035130-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding EVKMAEFSPSVVAASALLAAAGEVAAANLPAFQAGVAACPFVNSEKLRECGEVLAAACGVGPGRAAASADTPVTVLRHHRSASSASESDWTIGSATNGRGGNGDAKKRCMGPPSQRGLAVANRWMRATWTRGHIAALARVRPHGPPLARGSRLVHADCMVLYTPPHVHTFRRYWRDPCAPWPGAAERTHSTAPIHGARPLAASQAKPYSQCTRAAASSSGRGAREHEQRQRGRRRGKWLAHVSRRVKAAASGRRSPRLPAPSSCMPCFALRLCTLHCPGRHQREPFLPSRPGLPAGPPNQRRSASHPDPHLLRIIPLFPLLVLASSMGRGHGSNHLHSCLHPSIRSDRSIGDTTAQAGPPYINYLVRSEAHRTYVLSGGVQDRTDGWTHGATTLRRAEICRAIGGGLLDLEDRRSPDPGCIEDHAAHVVPRAEAERRQRQAGIPSGPAVPIAPIRPACLPDPLTLQSQYVRACVLRGSVPPQPPEAGGQSQYVRACVLGSRRAWRSAPADGGRFSQICLWRKDFKKWILNLAPLSMAPRYNGMAPSSLAPRRRRRGLRGNRRGIVWRQGLAPWILAPSLGATDLGTKETAKRSASSFFFLSLLSFFFSSPPAARRRRPRCRPCRRPPDTPPPAAPIRALAAPARAARGPAAPCNIPTQSLAGLIAYSYQQVATSFPEAHLQRTPKLSVLGLERWVTDREVLPGCARVRTKYAEKTCVGMVRMAGVDPEWSHSMAHALALDTRTWPREDVPGLGLTDEDVGLLRGVLHSRRAPRAPPMPARPRRLPPPPPAAGPVRAGRAHAAAAPPVAAARAAVRARRPRRPRATAPTVAPAHAPPAAHPARPRTSDRRGTVERDRYGYVELVDMQSVPVLFNEKSSFSELTARAREEVHCRRDDGIIVEGVLHLGYPPNMLRKMILIGCADQWDNYVRSAMKSQFQSLDVVVQRVLVDPIPLGLSPSMGEQAYFEPPVPERDVDAEVPPTVPDAQSVPNDVAHPPQEIPLTQNHPRDVPDNEGAPAADAQVQIGDGIRASNSVEIMNDSEPYEIARAVDSDDDRPVGELTESDVEMLSRIFPGRRDPMVHEFSDLTHSDQAFAEGHDDELQEAPEAGPSMEIEKGRVFNDLPALKRWLQAFAVLRKRPYKENVSGKWKITRVVGPHTCSSHDLSTKHRQLTSTLIAKRLMKVLQGEPNMKVRTIIKIVNEVYGGYDISYGKAWRAKQRAWKMIYGDWEDGYEQLPVLLNAIKAVNPGMHYEYIPRPDAWKDGRHIFFRAFWCFPHCVEAFRHCRSVFSIDGTFLIGKYRGTLLIAISCEANNKLVPLAFALVERENNDSWGWFLRLVRIHVVGPGREVGVISDRHQGILNAVREEIEGYAPLHHRWCTRHLAENLLRKDGVKDNFDLFQEAARQLEDRYFRRKLEEVRTTSSAEGRQWLTGLMRDVEKWTRAHDAGGWRYEFQCSNMAESFNKLLLGIRGMPVNAIVQFTFYKLVAWFNDRYAHALQLQSEGKIWAPTPQSHLEKAKERAGTHEVTCFDHATGRYEVKHTGAAARHRNYDIEARIPHEFSVDTLVETWRLRFVPFRDPREWPPYDGPRYVADPAYRWDKRGSRKRTRHRMVMDQIPGRTRRGRATSFLNDPEQYECGKMAQFHLLDPVYDETHRGRLVASGQNLPLLRSRVHGGFLEMQYDDRWRPETHSFHMAFGEMTVTLQDWQKMLGLSIRGRAVTGLCRSEGWRARVEAFLGREVGDQGERTSGVCNGST >Sspon.05G0010450-2T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:21184705:21186418:-1 gene:Sspon.05G0010450-2T transcript:Sspon.05G0010450-2T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTGTAAAAVPEVALQSGDARPMPMVGMGTAQFPLVHEVTKNAVLAAIEVGFRHFDTAFMYGTEKPLGEAVAEALRRGLLQSREELFVTSKLWCSQNHPDLVLPSIRETLKNLQMEYVDLYLIHWPVCVNGPPRFPSNKEDAVPLDFEGVWRAMEECQRLGLAKAIGVSNFTTKHLDRVLAVATIPPAVNQVELNPVWQQRTLRAYCADRGIHVAAYSPLGGQNWDGQGNAVLDSEVLAEIAKARGKTVAQVALRWIHEQGVTCIVKSYNKERLKQNLEIFDWELTEEDRLKISQIPQRKVVQTSSILFSQDGEFTCVDPAELNIVEE >Sspon.03G0020970-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3A:64739140:64740280:1 gene:Sspon.03G0020970-1A transcript:Sspon.03G0020970-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding TSTGNLSASAAAGRYRRSLRPCAASYATSFHCTPGTSGKDVGGRTSALERTDNRAVAEELDAAMDSPQVCLHLARCQSACQRSPIIREDRVVPAFPDVPAA >Sspon.07G0013160-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:47026100:47028983:1 gene:Sspon.07G0013160-3D transcript:Sspon.07G0013160-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQSALRGPSDYSSEPPRHPSLRINAKEPFNAEPARRDLAASYITPVDLFFKRNHGPIPILDDIDSYYVTIGGLVGETRRLSLDDIRKLPKYNVTATLQCAGNRRTEMSRNRKVRGVGWDVCALGNATWGGAKLSDVLQLVGVPYHTEITLSGGKHVEFISVDQCPEEKGGPYKASIPLGQATNPAADVLLAYEMNDEVLKRDHGYPLRAIVPGVIGARSVKWLDKIDIIEEESKVQYAFFISPCSCLSCFARDECNLSVMIQGFFMQKDYKMFPPSVDWDNIVWSTRKPQMDYPVQSAVCSLEDKSAVKPGQVTVAGYALSGGGRGIERVDISPDGGKSWIEAHRYQKHDVPYVSSDISCDKWAWVLFEAVVNVKGDTVIVSKAVDSSANVQPESVESIWNLRGILNTCWHRVHLLATPDLRSFM >Sspon.01G0001200-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:3875431:3876759:1 gene:Sspon.01G0001200-1A transcript:Sspon.01G0001200-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding HVGVVVAVQLPALLLLPVRRPPPRAPRRRVLLQQPPDEHQHPVLPRGPLRRAQDGPEQQAAVAADGGAEGADVLLGLRAGGEARGESAARGGLGGGGRGDGEGDGDGVRGPPPGAQGGPPRRQEGGVLAQPGPAAALHLRQGLLPRRGVVPPHLQLLPPRLQRRQARPAPRAPPRRRPRQQHVQRRRRQRLLHHV >Sspon.07G0000630-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:808580:820963:-1 gene:Sspon.07G0000630-2C transcript:Sspon.07G0000630-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKALAFFILFEFISHWVNMGTPMSPWKGRLRSHHATPQILPKRRLPSQTKNLEEKEKLQTLKKPQSLPKRRLPSQTKNPEEKEELQTSKKLAAPKKTARGHHAGAAVQQPRAPLRQSSRLAGRDLEHPIVIDDDEHKARADQSAITPLRRSPRFHVEDKSLGKSLLPPNRRETSANRKTKTAHRKEKNQESLKRNRGSAGFPPRKDISDVSNKKSDKQELKSNHCKVQTGKRKRGTERRVSSKKQSCQDPEPLPVYCQEIAPRNVPIKYTHRRIEKDPSIEVKLKVGDERLNIDENINKPSGTEREGTVNFCDSDDWTKEQDMALRKAYFSARPSPHFWKKVSKMVPGRSAEDCFNRIYSDLSTPTPIGPRSRTRKHSGSSSARKKPFSRLRTKPSEPSPAVLKPIKNVILHEKYIDQLARREGTKRPRRRTSASSKAADSGKSLSEQQAGVKAAKNALISEATDFISSFKTLQLPYEPRLKLASETSFKSQSFGILCNAAVKNEELMEILKYNITVVVPHQNLSNLRRRSGPGGTPLAFIPLLQLNAAVNVFNHENGAVAGPDEQPPEVGVGLDLSELKVVDVKLKEVGHGGNKAGLAGPRRAVEQVAPLPGPADPPVVVLPPDEPLEVLDDGALELVVHGERVEGGRVAEVDGGPGVVLHHVHLEAPLPVLDGLGRGDDVREVGSQGLVLVPPVEADLERPGLRAAGLVAGDDEGPGVVDAVVGVDHVLPLLHPHGDALRVVDAAGAEARHVGGDPGGQVGARGVVELVGRQALGLVDDHGVPRRDAGADAPRRRAQQVPDLGSSSLTTVRTSMTAATADAAIVMYLS >Sspon.07G0006500-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7C:12182122:12182597:-1 gene:Sspon.07G0006500-2C transcript:Sspon.07G0006500-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLLGRPGSWGGLVLRVGQTFFAAACIGLMGSSLGFASYTAFWRGQQCEISDYSAGVSGAVGTSDSECY >Sspon.07G0037130-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7D:49030740:49033454:-1 gene:Sspon.07G0037130-1D transcript:Sspon.07G0037130-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQWIKDNNKGSEKEAQVFFYILFNRLLMPSSDPNLTGENILCGDLQKIETINWSKVICKHLKEGIIKRRERKKKVGVKTASVAWGCTFVLAICYLDTLITDEEPKETPRIKYYTKEKIANLLKKAGDVDKFVYVPEGKQAVNTQKDKKEDKKGKKQDKKRDGAKRWESEQDMCKDKGMLLPSVDHLLQASMDKVPEVQDVMNALVKDYQSFVKKKVDEIRVYQSSVVAQAMSLEEKVRETNEFYAEHIESKARFTDDTIVMEDKDKEVIENMKITPAMDISGHKLCEFEFVKSLKPTGELSNFIVDTLAYLWNKDRKDKDKVMLSQGAVVTSLKTHLASQDIQFVGTEHEICVPQQRNSYDCGLYMLQFILNFGDEAIKRINKAPSTATRSVRGRNPALPHTPAPPPVLPPLASRPYVPPPLPPLPLCVSRSSGLRATTTPARRQHSLRATTSMHRHSDPLDRQSCSPEGLLLPYVGGCKRRRSAREEGDPQRRGGRDPEVEGEIHKNRRTREEDPQEERRRTRSRSGEGDLQG >Sspon.02G0014200-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:31449655:31451039:-1 gene:Sspon.02G0014200-3D transcript:Sspon.02G0014200-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAPCCDKATVKKGPWAPEEDAVLKAYIDEHGAGGNWIQLPHKIGLNRCGKSCRLRWLNYLRPNIRHGGFTEEEDRLICSLYISIGSRWATIAAQLPGRTDNDVKNHWNTKLKRRLLGGGRRPRAEARLQLLTSPTTWQHHNSFASSALERMQASMRLHRGHQARLDNPAAAAFTLHNYGSLGAPLWPSLSSSSSPSPAASESSEMLPRQPPGGGATSTGYCAGLWTHMPGSFGYTGAGVQENIDGTCTPPLSTSIGETMTTAVGVESSSSTPTASSASATFGSSMDDEIDMLLRQIQCFGENNAHHIGDEAAVDGIEHCFRASMDHHDTVDGSVGSWSSCCSTPGVDSVFHDYVQ >Sspon.07G0003350-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:7847076:7849421:1 gene:Sspon.07G0003350-2B transcript:Sspon.07G0003350-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MREPGPAAPFTAASLHEHVLRLHQCGGSSLLLRRAHAASLVSGALAASLPLAGALLLSYTALPDIPSARLILRHHPLRLRSAFLWNSLSRALASAALPCEALRVYNCLVRSGVRPDDRTFPFALHAAAAAVAQGEHPAKGAELHAAALRRGLLLADIFAGNTLLTFYAACGRAADARRVFDEMPARDVVSWNSLVSALLTNGMLEDAKRAVVGMMRNGVPVNVASLVSVVPACGTQRDEGFGLCVHGLVLKSGLDSVVNLGNALVDMYGKFGDLESSMRVFNGMQEKNEVSWNSALGCFAHAGFHEDVLEMFRVMLEHEVTPGSVTLSSLLPALVDLGCFHLGKEVHGYSIRRAMESDIFIANSLMDMYAKFGCLEKASAIFENIEGRNVVSWNAMIANLAQNGAETEAFRLVIKMQKNGECPNSFTLVNLLPACSRVASVNMGKQIHACSIRRSLMSDLFVSNALIDVYAKCGQLGLAQDIFDRSEKDDVSYNTLIVGYSQSQCCFESLHLFQQMRSAGIEYDAVSFMGCLSACANLSAFKQGKEIHGVLVRRSLNAHPFLANSLLDLYTKGGMLDTASKIFNRITRKDVASWNTMILGYGMHGQIDVAFKLFDLMKDDGVDYDHVSYIAVLSACSHGGLVDRGKKYFSQMIAQNIMPHQMHYACMVDLLGRAGQLSESVEIITNMPFPANSDVWGALLGSCRIHGDIELARLAAEHLFELKPEHSGYYTLLRNMYSESGMWNEANEIKTLMKSRKVQKNPAYSWVQSGNKLQAFLVGDG >Sspon.08G0016070-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8A:63712774:63715527:-1 gene:Sspon.08G0016070-1A transcript:Sspon.08G0016070-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMDFGAPADDPKVFRNVCRDRILKDLLKPDKDKETKSSWKVLIMDKFTVKIMAYACKMAEITDAGISLVEDLFKRREPMPSMDAIYFLQPLKENVIMLLSDMSGRCPLYRKYMNLEFFAIDMQGFVTDHDTALNDLYGPSEQNSKKFNDTISTMATRIATTFASLKESCVSLH >Sspon.02G0000290-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2A:1390391:1390611:1 gene:Sspon.02G0000290-1A transcript:Sspon.02G0000290-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDHLPAANNKVRRGLGCCQGGFGCTCLSHPEMMVRPECSCSPSWSRTSMSDGGISRDSSKNHGSEDTAASEV >Sspon.07G0014240-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:51432471:51434295:1 gene:Sspon.07G0014240-1A transcript:Sspon.07G0014240-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRLLAAVGPLAGPSVALVALFCLLGHLQAQPQPNYRAALTNSLLYFEGQRSGRLPPDQRVQWRGDSALADGRDHGVDLTGGYYDSGDNVKFGLPLAFTVTMLAWSVVEHERPLAAAGELRNALAAVRWGADYLARAHAADETLYVQVGDGDSDHSCWQRPEDMDTPRTSYSVDASRPGSDVAGETAAALAAASVAFRPLDAGYSAMLLGHAEQLFRFAKNHRGLYQNSVPGAAKFYPSSGDEDELIWAAVWLFIATGGEEYKAFIASDGNVGGAQTSFSWDNKFVGAQALLILEGKLPDAGNAAAMKSHLEEFLCGVLEHNSNDGRLSPGGVLWLEPWNNLQYVTSAAFVLAARADHLAAAGGAGASLRCGGATLPPQQLLAFARSQADYILGANPERMSYMVGYGTRFPEQVHHRGASVPSIKSSPGKITCKGGFGYYSRDAPNPNVLVGAIVGGPDGSDRYDDSRRNYQQAEPSTVTVAPMVGVLARLSQQN >Sspon.08G0008670-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:35209225:35214077:-1 gene:Sspon.08G0008670-1A transcript:Sspon.08G0008670-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLVDGDLALSSSGGASAGAASRTGGTGRELVAALLESPGIRDAADRLKATPERRISAGQEGAPRHVYVFQREYATVDPARVELVGTDEATTCIGVVIRNNKTGMTSVSHMDFPKIVEGGIKQMLELLGDDNAPFDVHLIGGFADASTKVVRSSGKKHIKQEGYSYPLCCKIVEVLHKSQQQFHLRSFCVLENNTKTDSFGNALPIIGGFVVETSSGGVIPATFDMDSRCPDEVVRRIRVSVSYYDPTWQGRLLETYDTQCDVFQIAPACWMPDWADIASSLNQLSDSEVLLQCSTSPAAEPPHFVENERRIWKYLINNPDWEETFPKHKPRVFHRTSDGGWSRYS >Sspon.07G0016850-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:60495988:60498123:-1 gene:Sspon.07G0016850-1A transcript:Sspon.07G0016850-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MESHETSGKQVEPHGKAKKERFLDFLRAAPSKDLWLHRFGVNAPQAVLRRVATVSARTAAYAPAAYARLLSLRAPAFARTVDWLALRARCKAWARRPTNAALLVWLAFVAAGVVFVFLLMTGALNSAVPDASRRRRWTEVANQVLNALFTIMCVYQHPRLFHHLALLLRWRDADAAELRGVYCKNAAAGPRRERLHVAVVLLLLHATCFAQYAYCALFWAFSSETRPDWAVNFCMGFGLAAPVAAALYMVYGPLGRRIVQLPEVSTDGDDDAVAVKDAAMANEEAQCSGGSRVAVARPEWAGGLFDLADDPTVAALSLTCGFCVFGWNMERMGMGNMYVHVFTFALLCAAPVLVFAVAALNIHDPTLGYLVGATGALLSVFGLTYGGFWRAQMRRRFGLPADRSMCGGRPAVADYVKWLFCAPCALAQEVRTGNLYDVEDGSLYHVRGSEEDAAAEEKPTMAPLEREGCAAPLTADKAHDGIECVVTVDPPAPLVAGEVSLSSERRETMEEERSSRYSSRELELFG >Sspon.03G0001090-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:14639058:14642921:1 gene:Sspon.03G0001090-3C transcript:Sspon.03G0001090-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-glucosidase 42 [Source:Projected from Arabidopsis thaliana (AT5G36890) UniProtKB/Swiss-Prot;Acc:Q9FIW4] EDIELMASLGFSAYRFSISWARIFPDGLGEKVNEQGVAFYNDLINFMISKGIEPYATLYHWDLPNNLQKTLGGWISDKIVEYFASYAEACFANFGDRVKHWITINEPLQTAINGYGIGIFAPGGCQGETARCYLAAHHQILAHAAAVDVYRRKFKAAQGGDVGLVVDCEWAEPFSENVEDQIAAQRRIDFQLGWYLDPIYFGDYPESMRQRLGSDLPTFSEKDKEFIRNKIDFVGLNHYTSRFIAHHQNPDDVYFYQVQQMERIEKWNSGEKIGERAASEWLFIVPWGLRKVLNYIAKKYNNPAIYVTENGMDDEDDQSATLDQVLNDTTRVGYFKGYLNSVAQAIKDGADVRGYFAWSFLDNFEWAMGYTKRFGIVYVDYKNGLSRHPKASALWFSHFLKGEAAENKADKN >Sspon.02G0035290-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:19355793:19362614:-1 gene:Sspon.02G0035290-2C transcript:Sspon.02G0035290-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFEPVAQSRTLKPPLNTSFSATGTTAPRLHIMMLAALLLLLSSMKLLAEGQSVCSNANIMYIESSTHMSNLNALAEALFTKVTSSNSYSAHDTAGTGPNMIYGAVLCRGDMTPAIACAKRLKEVLDAAMNNSANSSCSSQKDMTLFDDGYLVQLRFSDQDFISNFHDSQECIVRANLNPPLLGDVSEQFHSLVSKLMTKLTDAAVKNMGRYETGQGWLTEKSQTVYGLVQCAEDMLKGACQDCLSSAMAKREQMVDQIGGAILGVRCSLWYQTEVQFFAGTPAFSLNMPTQRARFKLQLLSMAIQNVINLWRIEEGNSGFSLYDFSQIKEATGNFSSENKLDKGLLPGGLEVAVKRLAPCSVQGLLEFKNEIQLIAKLQHKNLVKLLGCCIQGDQEKMLAWKLWKVGEWRQLVCCRIGEDHEAIERCIQVALLCVQENAEERPAMDLAVSMLNSESVILHKPKQPAYFFTRSIKSEVSSCSMNISITLERF >Sspon.01G0036800-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:57722901:57724235:-1 gene:Sspon.01G0036800-2D transcript:Sspon.01G0036800-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DMYAKCGRVDDARSVFDRMPVRDVVSWTAMVERYFDAGRDGEGFRLFVRMLRSGIQPNEFTYAGVLRACAEFTSEKLGKQVHGRMTKSRAGDSCFAESALVHMYSKYGDMGTAVRVFRGIPKPDLVSWTVMISGYAQNGQPDEALHYFDMLLRAGFRPDHVTFVGVLSACVHAGLVDKGLGIFHSIKDEYGIEHTADHYACVIDLLSRSGLFERAEEMINTISIKPNKFLWASLLGGCRIHKNVRLARWAAEALFEIEPENPATYVTLANIYASVGLFDEVENTRRIMELKRITKMPASSWIEVGTRVHVFLVGDKLHPQAEEIYVLLKKLYLKMREEGYVADAGFVLHDVEDEQKQQDIGYHSERLAVAFGIIATPKGAPIKVFKNLRICEDSYVKHHLLLQSVRVPSAYHASSFGHRDVLCLVDASRGQPLRPTAEQPGHVHA >Sspon.08G0004890-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:14256430:14259485:1 gene:Sspon.08G0004890-1A transcript:Sspon.08G0004890-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQHAVLIIFLLFLVCSCQSLVDRLTSVKPLYPGDKLISDDGGMFALGFFNLTTNSTPSLYLGIWYSNIPERTYVWVANRDSPITTPSAKLALTNTSDLVLSDSEGRTVWATDNNVAAAGSITGGSGVLRSTGSFELELQLPNGTGVVVWKSLDHPTDTILPTFRLWTNYKAHTVLRVVAWNGPRDPSAGEFSLSGDPSGWDLQIIIWQGTRRTWRSGVWNGAGASAITRFIYSKIVDDGEVIYAAYNAAGGPTTHWKLDYTGNVRLRVWNVESSSWTVLFEGPGNGGCLHYGACGPFGYCDATGREGGVQECRCLDGFEPEDGFFRDFSRGCRRKQALACAGASGGRSHYFLTLPGMKVPDKFLYVRNRSFEECAAECDRNCSCTAYAYANLSTIVTMSASSDMSRCLLWMGELLDTGKDGDLGENLYLRLATGSPGNNKKKIGMAMEIVLPTMTFLLMLTSCICLATKCKSRGTRRNKEAHERSAHDFWDQNLELSSISFEDLASATNNFHEANMLGKGGFGKVYKVGILKDGKEVAIKRLSNGSEQGKEQLRNEVVLIASLQHKNLVSLLGCCLHEDEKLLIYEYLPNKSLDKFLFDPAMKSMLDWPKRFNIIKGIARGILYLHQDSRMMIIHRDLKASNILLDAEMEPKISDFGIARIFGSSEQQASTRRAWNLWKDGMARDFVDTVVVESCSLDEALQCIHIGLLCVQDSPNDRPLMSLVVSMLNNEAMPRPMPKQPLFFAQRYYEALSTRGDSEHSANHVSLSTVEGR >Sspon.01G0046310-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:87419216:87420058:-1 gene:Sspon.01G0046310-2D transcript:Sspon.01G0046310-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHLSSAMNKLLLLVASAAGCSSFLVARASAPNHRPNQTNSVAAASCIPSERDALLAFKRAITDDPAGRLASWREQDHDCCRWGGVRCSNVTGHVVGLYLGAPTAPCDWGHSDDDILDDDPIIQCSGGAFAFLEGQIPAPLFSLRHLEHLDLSWNALDGIMVPPQLGNLSNLQYLNLSNTGLHSSDISWIAHLPRLRYLDLGSLNLSTAYHWAHAVNMLPSLRVLGLSRCQLASTNQPLPHLNLTKLERLDLSVNLFNHTVASCWFWGLTTSLRYLMLYL >Sspon.01G0020130-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:78316774:78320694:-1 gene:Sspon.01G0020130-3C transcript:Sspon.01G0020130-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQIRLSKIGSSDSGAAATGSAAAAGASAAVGAAAAPGGGIPESVTVACPDHLVIADLPVAKSLGAVTTSAAAATRAIGRRSRRPLGERVHICSRCEFPIAIYGRLIPCEHAFCLTCARSDSSCYLCDERIQKIQSVKMMEGIFICAAPMCLKSFLKKADFEFHVPDVHANLLQTNQEKEEERNESDAPNISRASAGDTQRQSQMPEMSTARAPPRSGVSQDREERSRYHQSREQTPLRPPTLSKPPSFHGRHSYPPGDTLAENNPPQGFDRPYNWTSQSRQESPGAATPLRQESDHSIQDRQQLMANAPYMFPPIPPHQGNFMMPMNMNQPLIPNAPFNYPLQQDGNPQYFSAPFQMQLPDTGSDQGSMPSVQPPAGPMSFPEGLQRPWAMGLMPFQSMALGQGMADGVGDPQSGGGLAFMQAGFGGMPDGSMNTGMPDRGDGRGILAQMPMSMQMQMSLPPPPPTQPPSGSQQTFNRS >Sspon.08G0005820-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:15138254:15140425:1 gene:Sspon.08G0005820-2B transcript:Sspon.08G0005820-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GPLRRGPPRQLQGQDAALRPPRQPGVGPGVRLLQVPRAVQRPRGVPQGPRDAGPRRLRRQGHLRPRRGAHAGAARQPAGAAVVPPRGAARRAREGARGAHAGRLDRHAGRRGVPGGVALGRRGGARRGRRQRALQGLRVAQALVPPRQRDRGAGRAAAGARPRAGGVRQGAGGEPDPQDVGGARAHAEPAVERGPGLRRRRAVRGAAGADGGGPGVPAQGRPAGPRRAAAHALREAAGPPALRAVPLVRPREVRRRAGHRGRDAAGAPVRQPRPRARLPRGRLPRHGRVHHVHQRHPPHGAAAVEAARRRARGRHARRRRAAAHEDPRRPRHHRRVLRRQVRAEVGAHAHHDRHLRPHVERAVHVGGVRPLHRHHHRRLRQLPPRREQRQRRPAGEGRPHRQDPHPPVHAGDGPRVHARVPADRAAAVRGEEDGRAPAGRALHLPLAHQHGAPLHAAAAPQDALPAPVHGDAAGRAPLPGHGHRGGAAGARGAAAAPGGGGVHAGRGVPHVEHAAEQGQLLPRRLPLLGLRRRRALVQRRVPLEERGHDGAGARAAAHPGVVPGADPPHRVPLHVPHRAVELPPPAAPPAAHGHQDVVGGGGAPGRAGRGVRHVPDVAAAGRGVHALRPPAERGREDTDGGRRHGHAGGAPAVAAQLARPEGDVPLRHILPPRSGGALRHALPHRRARRGALRAAPPEVPEQAAFRAQQLLPPPAVARGHHALK >Sspon.07G0000410-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:580307:581640:1 gene:Sspon.07G0000410-3D transcript:Sspon.07G0000410-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPPLFLVPVLLLGMLASRAPAQQPPATLHERDAAALRDLRASLSDLPGSRFFDTWDDPPEPLRVRRRHDDNPDSDSSTTTVLRVSVLTLGTGLADSPGLTGTLPDSLSTLAALTDLVLYPGRLTGAVPASLAGLPDLHTLDLGGNRLDGAVPPGLLLPDSPSLKVLILANNGGISGEIPAGFASSGLFHVDLARNALAGGLPPLPATLRYFSVAGNAMQGTLDGAFGTGGDDGSASPPPLPADLAFLDLSMNNFSGPIPASVFALPGLSSLLLSRNNFTGALSVPPAQQEWAVVDVSHNGISGEVPEALAAAGSLYVNNNRLSGEVPAAVARSVLAGRMTTLYAQHNFLTGFPVPPEAPLPDSAALCLSYNCMDLPSASAADGCPTIGGPLEARPAEQCRSTTTSNGGGGDG >Sspon.01G0056250-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:87206962:87207375:1 gene:Sspon.01G0056250-1P transcript:Sspon.01G0056250-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHGDRRSGAHGAAARPWRGAGVAAGSGGPPPPKVYRVEPRDFRELVQRLTGAGTPAAAAAPGPAHQRATLTPAAMVADSMRAQAAAAVPVPGATEQFDYASWFSAPLLSPAAYGAPGFGGGHQHQHQHHGSSGPLL >Sspon.03G0024360-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3A:74147417:74147854:1 gene:Sspon.03G0024360-1A transcript:Sspon.03G0024360-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISTLVAVKRLKGMVQGDKQFQAEVQTIGMIQHINLVRLLGFCAAGRKRLLVYEYMVNGSLSSHLFSENSAKLSWELRYRVALGTARGLAYLHEECEDCIVHCDLKPDNILLDELFCPKIADFGMAKLLGRDYSRVLTTMRGTI >Sspon.03G0044580-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:1022889:1025345:-1 gene:Sspon.03G0044580-1D transcript:Sspon.03G0044580-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSSSRPQQREEKPRPLAMAAVDLSKLVKEKRFWVASFLVVWAAALQGHMMWMQRQDAFKHNSTEGGLQQLVASLFLLAKAIGLMPMADQST >Sspon.05G0020020-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:80831105:80834366:1 gene:Sspon.05G0020020-3D transcript:Sspon.05G0020020-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGYPPTGSGYPYGSGGGYGAPPPYGSTPAPSAPPYGETKPPKEGKTSSSSSSGSAAPYYGAAPPHSQPPTAAAAAATARRHPSSTAHRHPSTGYGGSPFASLVPSAFPPGTDPNVVACFQAADRDGSGMIDDKELQSALSGYNQSFSLRTVHLLMYLFTNTNVRKIGPKEFTSVFYSLQNWRAIFERFDRDRSGRIDTSELRDALLSLGYSVSPTVLDLLVSKFDKTGGKSKAIEYDNFIECCLTVKGLTEKFKEKDTAYSGSATFTYEAFMLTVLPFLIA >Sspon.01G0045590-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1C:85215415:85215591:-1 gene:Sspon.01G0045590-2C transcript:Sspon.01G0045590-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding YCIHMTISPLLNTNGKSTGGGGGNGNDRGPRGIKSTGGGVEKIRKSFAARSLKKSVLY >Sspon.05G0020300-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:86445679:86449460:-1 gene:Sspon.05G0020300-1A transcript:Sspon.05G0020300-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MISSGKQWNIYTYNITLNGLCKNNCVDEAFKMFQSLCSKDLQLDIITFTIMIGSLLKGGRREDAMNLFGAISSYGLVPDAGTYCLIAENFIEEGSFEEFDGLFSEMEKNGTAPNSHMLNVLVRRLLHRGEISRAGAYLSKLDEKNFSLEASTTSMLISLFSREEYQHHAKFLPEKYHLRRLQFIGNERAAETADIIAKGCGVSNGGGESPNQLNERCVSYLNKF >Sspon.05G0028120-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:59649444:59654654:-1 gene:Sspon.05G0028120-3D transcript:Sspon.05G0028120-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFFTEYGEGSRYKIEEVIGKGSYGVVCSALDTHTGEKVAIKKINEIFEHVSDATRILREIKLLRLLRHPDIVEIKHILLPPSRREFKDIYVVFELMESDLHQVIKANDDLTPEHYQFFLYQLLRGLKYIHTANVFHRDLKPKNILANADCKLKICDFGLARVAFNDTPTAIFWTDYVATRWYRAPELCGSFFSKLLTGKPLFPGKNVVHQLDIITDLLGTPSPEAISRIRNEKAKRYLSSMRRKRPIPLTQKFPNADPLALCLLEKMLAFDPKDRPSAEEALADPYFKNIANVDREPSAQPVTKLEFEFEKRRITKEDIRELIYREILEYHPNMLREFLEGTESTGFMYPSAVDHFKKQFAYLEEHYAKGSTAAPPERQHNSLP >Sspon.04G0027860-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:67175023:67177431:1 gene:Sspon.04G0027860-3D transcript:Sspon.04G0027860-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:26.5 kDa heat shock protein, mitochondrial [Source:Projected from Arabidopsis thaliana (AT1G52560) UniProtKB/Swiss-Prot;Acc:Q9SSQ8] ENSALQSRHSMSSISTAAQSNDQHASGSSSSPNEFVNQGISLGVQVPIVEGFGMALARLCLNRALAASRAQALARPAYAAAPSTDLKLHSLFSTSAADSAASGEANRREVAVSERSAPARRGGRWAWRDLRDFTPFRLVDGIGSALSQVAETLSRPLERLAPSRLLSGKVREDEARYRLRFEVPGLGKDDVRVAVEDGVLVIEGEKREHGEEGDDGEWWSASGYHASLLLPDDARADGITAEVKDGVLYVTVPRTGERKRNVTEVKVQ >Sspon.04G0034780-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4C:79107882:79109005:1 gene:Sspon.04G0034780-1C transcript:Sspon.04G0034780-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLYRVLDLEFASAGCGCSHFYRSLRLPPRGQLHFFIPVLIVGDGHPSPSRRGSRRSIGRSGGLLQWSAAVVAASSSRISEKGVWNSKHGAAIEDVLVVIHSLKQGLQTEDANISVRFQSFESFHVSELSPLKVTTMLHKIGPMVGALYAGDEFFSSTVYRGYRAIPANHSIVCTGHIYVDDELFIIVQDNAAWE >Sspon.05G0012970-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:40918331:40925669:1 gene:Sspon.05G0012970-1A transcript:Sspon.05G0012970-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARCPYFAVEESSRGIRSGESPAAALRRILATPGAHQAPCCYDALGARLVERAGFPIGFMGGFCVSAARLGLPDVGLISYGEMIDQGRLINEAVSIPVIGDGDNGYGNSMNIKRTIKGYINAGFAGIMLEDQVAPKACGHTEGRKVISREEAIMHIKAAVDARKESGSDIVIVARSDARQAISLDEALWRVKAFADAGADALFIDALASVEEMKAFCAVAPEVPKMANMLEGGGKTPILSPAELEEIGFRLVVYPLSLVGVSMRAMQDALVAIKDGGVPPPSLLPSFQEIKDTLGFNRYYKEEKQYQVDK >Sspon.08G0001800-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:3917633:3918718:1 gene:Sspon.08G0001800-3C transcript:Sspon.08G0001800-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSSTSTLRLHQPTRPHRSHPPAPAAGGATHLASPRRWRGHAPGAASPVPLRARAQRIRALDAAQPFDFESRAAGLLEERQRLKIAIVGFGNFGQFLARTFARQGHTLLAHSRTDHSALASTLGASFFTDPHDLCECHPDVVLLATSILSAEAVLRSLPVHRLRRNTLFVDVLSVKEFPRNLLLSSLPPDFDVICTHPMFGPESARDGWDGLPFVFDKVRVGDCPARRARAEAFLNIFEREGCRMVEMSCAEHDAHAAETQFLTHSVGRMLAMLELRSTPINTKGYETLLRLVDNTCSDSFDLYNGLFMYNKNSTELLNRLEWAMDSVKKKLFDGLHDVLRKQLFEGSPHAPNVSSNVRK >Sspon.02G0058320-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2D:72448378:72448611:-1 gene:Sspon.02G0058320-1D transcript:Sspon.02G0058320-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHTDASKLRETIRSLGKPLLSSKSKLCSREQQASRDGDIQKGQLLQKRQVEHFGIGSIREVEAAEGGNAKGGREAVH >Sspon.06G0018700-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:65007045:65012444:-1 gene:Sspon.06G0018700-2C transcript:Sspon.06G0018700-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMEPAVWAPGLRLPVEYVSAEIYDSCLQTYVAASSRVEHGQLVQFSSATPNDPMEKASQELQVDFHNMEMNIYSNPIHVFEKAAREFKFDVDMMKMKMHRYPARIRSLGELYTAPMTVSIGPYHHGRDHLKAAEKVKHVAAYHCIMESGRPAEEVYQAVLAVAGYARSLYDENVVDGISDGVFQPMMFYDACFLVQYMLTCTDAGLAHMDPALRSFFDANDNDIYHDIMQLENQLPWPVVRAIMRFRPVPLKEFVASLRGCLQDRKDRHEKPFVMDDSYEPPHLLGLLRYYIVGRSDVKLPALPETESMSFSVSAIELAEIGITLTASKTTELVHMGVNKKGNLFGELSLAPLSLDDTRASVLLNMAALELCVTSSFHDADDEASAVCSYLLLLGMLVDREEDVHELRARRVLQGGGGLSNTKALSFLTSVQGLRLGSRYVRIMEEIEDYKVNRRTRTKLHAFVYRNVKVIVAVISAIAALVSIIGTISNFTQGSNEGSLLLKDFVMSRNLDPNGGL >Sspon.07G0006990-3P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:13339797:13340513:1 gene:Sspon.07G0006990-3P transcript:Sspon.07G0006990-3P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGTGSSSRRGRGFSSSFTLRQPPVVDIGCNCRRPKLFSVFSSSPSLFRGGGKPKSPNASSTSTTTAFTATTAGGRSGTTATSTDSSSWGPASFVATNSLYEEPVAVAVAPLQQDREQQQEARRRRRQQHRRRRSRRAAAAPPTRHGVEVEEEEEYGRRVARESVAVAVDSAEPYEDFRESMVQMVVEKEIYAWDDLNDLLHQFLSLNSPRHHPLILHAFADLWTRNGLFCPPSPCQF >Sspon.04G0012840-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4B:43447341:43448626:-1 gene:Sspon.04G0012840-2B transcript:Sspon.04G0012840-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding HLYELEQHLDRFLRSALMAKIPLPFDRSTIRSILIQTVSASNCTQGSLRYWLSVGPGDFQLSSSGCANPALYAVVIESPSLQVPSCCKVVTSSIPIKSPQFAVMKSVNYLPNALTKVEGEENGAFTGIWLDDEGFVAEGSNMNVGFVTKSKELLLPCFDKILSGCTARRVLTLAEHLVAHGKLSGVISRNVSVQEGKMADEMMLIGSGILVKPVVQWDDQIIGSGQEGPIAQALYDLILEDMRSGPPSVRIPVPY >Sspon.02G0014430-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:38306171:38312247:1 gene:Sspon.02G0014430-1A transcript:Sspon.02G0014430-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gamete expressed 2 [Source:Projected from Arabidopsis thaliana (AT5G49150) UniProtKB/TrEMBL;Acc:W0TY53] MANTAATSRRSALSSSPLSLLLCVSLLLSSTVQQPPSTQAQQAAVQAPDPSFMFGWLNNKWAYTAGDTAVIQVMSLDLRAAAAVRASLSFTFSVNGKEGNSRYVTDVAANIGADPNAWTVSFVPLRAGNFAALVGEKRFVAAEWPLTFTVAAAGVHPSASRASWTFPGRRVVAGSRAFVSISPRDAFGNGIARGPDMPGYFRVSGSYLNGSAVELWDFHYNGWTEDGRIGVEFRSNVAGDLLVHVYGDDRELRDSPLMLTVNPGVLNIAVSTCSWKHGINTLQLSSKLELFIYQRDSFGNIVPDIHPFDAQVVDKATNLSIPIVDLAMEAVADGVQLLSFNVVEAGQFFLTVFDAQLDERVSNSVHTFDVFVGYCDGSSSFANGSGLANSTAGSTSSFSVFLLDHYRIPSPVEIARMQVKILAKNATSYADPIITPGREPNGAINMSLSSVVMFDPKVKLSVENEVVVRLVDSFMNPVVSFKSKLKFQLISASITSTTSFVAKEFVDNGDGSYTAHYVARGLGSYGICVLYEDKQLTPCPFDVTVLADEYFSDVKNDTVSVWEDESISFDVLSNDRIAGSKVEIANSSSPLHGSVLQSSKTYRYTPFEGFFGNDSFSYTVCDEHNNVVTATVFISVLCRPPQFISLPDKLHVTEDTISPLFGGFPGMKMVYSDTTENISVTVTAQSGSVFFDPVRIKLQQLSDDVLSISSRGRGGKDLMLQGTIEVVNDALQFLLYSGNEDFHGNDVIALHASSRNGVRRTQFPTFVEPINDPPVILAPSSIFLSGNQSMEGHKIFDKHRDTFQFSIVEPDLHNFPGNESSFFLVLSLEVLEGTLTTTLPSSAIATASMKTEGVNGWQTLQTYVTIANHFMLKGTAIRFRGSVQDCNNALQQLHYQGPRHGTTLSMTVNDQGNHGCYPDCSERMTMPLTTAKAIRLVPIIKTKHCEAHFDSVLRLFSVFRWLAAIGIVIMLCLGCVLMCCLCKCMRALKSQRRYKIYGKIRTTEQTPFRQHMGASPSQCEDVGYCPATATVPQLGANGSTLRQRSPRSCKQELELQPVSGTINNRNEDSLPVTDKDK >Sspon.02G0020830-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:70778283:70778798:-1 gene:Sspon.02G0020830-3C transcript:Sspon.02G0020830-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVSSSKLAWFVLAFTVVAAAAAGRVSAQNTAQDYVNLHNSPRADVGVGTVTWNTTVAAYGQSYANQRAGDCRLVHSGGPYGENLFWGSAGCAWAASDAVGSWVAEKQDYNHATNTCSAPSGQSCVHYTQVVWRASTAIGCARVVCSNNAGVFIICNYYPPGNVIGQSPY >Sspon.07G0005300-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7A:13516828:13517316:-1 gene:Sspon.07G0005300-1A transcript:Sspon.07G0005300-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRPSIGCTVPLINNVMWRNLTAFQISVLLALPLRALQYHGLDISGINEKANGTMIFNVFVLCQVFNEFNKREIKQTNVFAGMLWNKMFLGNIAVLRCDKVCQRLVARKVLTTALTALLHCHNSWSSTDGVQNVGHNGDDRGRGGQGEHAMVLEDRACIQHS >Sspon.08G0005830-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8A:18469365:18471268:-1 gene:Sspon.08G0005830-1A transcript:Sspon.08G0005830-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKVLAVDCGGAGVDAADDLKYISGLSTILVATIQEVKDQVSQMEFIFCSQLFPHIQAKSKLVQARLADAMKASEAEWRKREAGLVNQLEEVSREETASGRLEDEMRKGEGVRQLQKEIEEKADEMVREREAHQRLQQQVEQLAARHEAEKKQLLGRLEDEMRKGEVVRQLQREIEEKATEVVREREAHQRLLQQVDLKDKDLLLEQSKRRDLIEDYTQLKTNYKHLKSQYTFLLGKIDQHEGSKPPGDIPVERRNTESPPSKRKLKDLEHTNKERIQVLSNTRDLKNDSAPGAKAQVAQHASSVRGPFRSSHHALPSCPPNPLPNHAANNSKLHASTSVAGPSLNWRETRARKEPGVADPHDDFLDTPLEAVKNTIRNPTTPEEAQALAASPPQDMEFNNSDDETQDMNIATQALRNIPNIPVPKQQNTISVQPPKKDFKYRESVRKKADRENLKGVECKQCKKFYDAVLPGGHANGDGAGSTSLRCEHHDGVSRHRY >Sspon.01G0029040-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2B:85992382:85995763:-1 gene:Sspon.01G0029040-2B transcript:Sspon.01G0029040-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLLHLLLVLLATAAAVAGAAGGAATADADALLAAKAALSDPAGALASWTNATTASPCAWSGVTCNARAAVIGLDLSGRNLSGAVPAALSRLAHLARLDLAANALSGPIPAPLSRLQSLTHLNLSNNVLNGTFPPPLARLRALRVLDLYNNNLTGPLPLDVVALPMLRHLHLGGNFFSGEIPPEYGRWRRLLYLAVSGNELSGKIPPELGGLTSLRELYIGYYNSYSGGIPPELGNMTDLVRLDAANCGLSGEIPPELGNLANLDTLFLQVNGLAGAIPPELGRLKSLSSLDLSNNALTGEIPTSFAALKNLTLLNLFRNKLRGSIPELVGDLPSLEVLQLWENNFTGGIPRRLGRNGRLQLVDLSSNRLTGTLPPELCAGGKLETLIALGNFLFGSIPESLGKCEALSRIRLGENYLNGSIPEGLFELPNLTQVELQDNLLSGGFPAVAGTGAPNLGAITLSNNQLTGALPASIGNFSGLQKLLLDQNAFTGAVPPEIGRLQQLSKADLSGNALDGGVPPEIGKCRLLTYLDLSRNNLSGEIPPAISGMRILNYLNLSRNHLDGEIPATIAAMQSLTAVDFSYNNLSGLVPATGQFSYFNATSFVGNPGLCGPYLGPCHSGGAGTGHGAHTHGGMSNTFKLLIVLGLLVCSIAFAAMAILKARSLKKASEARAWKLTAFQRLEFTCDDVLDSLKEENIIGKGGAGIVYKGTMPDGEHVAVKRLSSMSRGSSHDHGFSAEIQTLGRIRHRYIVRLLGFCSNNETNLLVYEFMPNGSLGELLHGKKGGHLHWDTRYKIAVEAAKGLSYLHHDCSPPILHRDVKSNNILLDSDFEAHVADFGLAKFLQDSGASQCMSAIAGSYGYIAPEYAYTLKVDEKSDVYSFGVVLLELVTGKKPVGEFGDGVDIVQWVKTMTDANKELVIKIMDPRLSTVPVHEVMHVFYVALLCVEEQSVQRPTMREVVQMLSELPKPAARQGDEPPSGDDGSAAPSDPPAADGS >Sspon.03G0006670-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:18356755:18362989:1 gene:Sspon.03G0006670-1A transcript:Sspon.03G0006670-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAERDRILERERRQMEQILELDMEELQVEEVDEDGSSSSSDVDTFLRNAHGDGGVNTSEELMVDTSTVSLQDHTYLEAKIDGARGKFAFLDGDRVLNLPMFYLQGVVLFPEASLHLRVFQPRLVEAIDKAINHVDAPCMIGVVYVYRHTNDGHYTIASVGTMAEPWGEVQIIEEDTPQRTPRDAFGQLAATNSFMQFASSTVDSDMDRDSLSPTSTSSDYSVTDKRIYLLGSRSSGLVRCGIADESSNEGQNSIPEQSCQSHESVKEIDGYGQPDKNTNTGDDDNLCFISSKSFQRARNKDTKQQKHYFATKNASQAPLSFWPRWAYEMYDSYSLSRRAAGTKFVETSNFKPKWMIIKLPISESLRQELLEIDGISYRLQREIQLLKAFNIIRCRNCLTCIARRSDMVVTSSDSPMMTHSKPHRSVKEIITVYSATGLALRGDPSKTHSWFPEYTWTIALCSACQSNIGWLFRADNKNLHPRSFWAIRTSQISDDTQSRYN >Sspon.03G0040820-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:33457159:33459022:1 gene:Sspon.03G0040820-1C transcript:Sspon.03G0040820-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNSLMYKLCYYRFVETDEFKNKFYAENWWKEEPMAIEITKAGVLESLASTDYTAFLEIKHIDL >Sspon.07G0024330-2D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7D:21893523:21894788:-1 gene:Sspon.07G0024330-2D transcript:Sspon.07G0024330-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPGDCSFTPSELLCFLRLKIAGEPLPATATAHFHDADIYTADPALLATLFDPAPEKKGESSSWFFFTHVRPKSSTDSRKSRQVAGGVGTWHSERTPRRVLDDEGNCAGHSQYFSYKLKIGKNCSERTEWYMLEFSDGQEADHERVHGGEPQLVLCNIYKAHTHSRRSNGSTSTPSYSASARKRKAVGEASAPHVKAKRQLFDSSAPASAVRSQEQVRSTTPSNLRKIGDTSDCIALVTKADGEATTSQLKIGDKSVFSRSWPEPEKSTSDCIALMSKADGEATTSKLKIGDTSDFSRFWPEPEKSFDLGYTTTCGALPTLENCSRTNVRDVGDVFCGQDAWPSAFHSSNDTTTFVCGMPSAPVLQPPAFVCGMPSAPVLQPPAFVCGMPSAPVLPPPAFVCSMPSAPVLPPPGEASWWC >Sspon.04G0001860-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:5954006:5957356:-1 gene:Sspon.04G0001860-1A transcript:Sspon.04G0001860-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLSLVGNSFGCSASGERLVSAARDGDLQEARALLEYNPRLARYSTFGGRNSPLHYAAAQGHHEIVSLLLESGVEINLRNYRGQVHRTDYLNGGTAIHFAALHGHARCLRLVLADYVPSTPNFCSVMNHRSSEEDSTADFDHEYVGGLVKMVNQKADGGLTPLHMAALNGHVECVHLLLDLGASVSEVTIEDGTTIDLIGCKHEFCTRCALYLCSTSYISVSPAGAIPCPLCRHPIISFITLPGTSPIRELPRNSLSLSFCTTCPAVNSDSSAPIAAHLYRTEFQCGRMPPMGSSSFRSLSCQRIPAMKLNPSFCMGSMDTNPCLIRCSRFGSSLRRSASQGEETRRAWPVTFNPIVATGS >Sspon.06G0033610-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6D:17457509:17457919:1 gene:Sspon.06G0033610-1D transcript:Sspon.06G0033610-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding HSLIRRPAGRLLPERELTSTLCLAPHRSIYDAADEGGGADKGGGGREQRSGPSPWSSDLTRPSGTRARLLTGSAAVGSLGGDAPTLRRHGPDPAGTNRGVGLPVWKSRRAKDLGVAQRLVVPAKKTRRGPRSQSTQ >Sspon.04G0003430-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:6844478:6846003:1 gene:Sspon.04G0003430-2B transcript:Sspon.04G0003430-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEGQSCLISRSLPSSCEQESRLAYMTYHLLEITRSKRPPGTLSIEHDVAAVAALTKRTKSAEDQNSEALDCQGSNDQGDSDSSTLISSIGRDNSINCLARCSRSDYGSIASLNRSFRSLVRSGELYKERRQLGISEHWVYFSCNVQEWEAYDPYRSRWMTLPRMPHNECFMCSDKESLAVGTELLVFGKEILSHIILSYSILTNSWSRGVEMNAPRCLFGSASFGEKAIIAGGMDADGRVLRSVELYNSETKRWITLPSMNKARRMCSGVFMDGKFYVIGGMASNTEVLTCGEEYDLDRGTWRVIENMSEGLNGASGAPPLVAVVENELYAAQYAGKLVRKYNKMDNSWTTLGELPERPEAVNGWGIAFRGCGERLLVIGGPRVLGGGMIELHSWIPSEGPLQWNMIGSKPSVDDLYLVPYTLLK >Sspon.02G0025950-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2D:84866168:84867500:1 gene:Sspon.02G0025950-2D transcript:Sspon.02G0025950-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLFIVMVVSFLSHMIGPCGRVGFKNKDGALQFPVSHSKHPCLLLESSSSSSSSEGSGNATAIIHPSAYSVPKASPVVANDVIHEGKLFMVISLGTPAVFNLVTIDTGSTLSWVQCRSCQIQCHTPPPEVGPIFDDGNSSTYQRVACSSQDCAAVHERLGVLSGCIEETDTCLYSLRYGSGPLPQYSVGRMGRDRLRLALTDDGGSVDEFVFGCSDDVRFNGREAGIVGFGNEAFSFLNQVLAAQRQQQRNGGGGYSYNAFSYCFPGSHDAEGFLSIGPYARDNDDDAKPEFTNLIFGYAGDDRRRFVYSLQQLDMMVDGQRLDVDPSVYTMQMMIVDSGTPVTFLLAPVFDALDKAVTAAKGYARARHQLQDEENTLCFWVPGETVDWSDLPT >Sspon.08G0002360-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:7050469:7054817:-1 gene:Sspon.08G0002360-1A transcript:Sspon.08G0002360-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHHDGSKPYQPRRGPERHPQPADGITAPPPAAVAPSVEHLVAAAAEAEALNRFAAEPQVHEQEIGEEEEEDEEEDEMEEEEDEQEGQHGGIGGEHVPMDADAAAAAAAAAGAQMDPHSAMVPGTVPPMATNQLTLSFQGEVYVFDSVSPDKVQAVLLLLGGRELSSLGGASSSAPYSKRLNFPHRVASLMRFREKRKERNFDKKIRYTVRKEVALRMQRNRGQFTSSKPKPDEIAAASEMAAVDGSPNWALVEGRPPSAAECHHCGTNATATPMMRRGPDGPRTLCNACGLMWANKGLLRDLSKSPVPLQVMQSAPLLDAGNGSAMSAPGSELENAAAAMANGHESSSSGV >Sspon.01G0013140-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:35878255:35882885:1 gene:Sspon.01G0013140-1A transcript:Sspon.01G0013140-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPASACTNLNFGCPRRSTRFKNIHTIYDEDSDRDPSTFKRIKTEVIDYELSVGSVSDKDSEQDCHDVSLKDLRTQCKAKNQKTSKITLEGCGIKNQAKTEDDIDLDKPLIALKQKRPKASPAKANIKMDALRSSPFAAKEEDTTSLRDEILSYAQSSLLKATMQDPVLEKLGRRVAELEQSKVVIDCTEEIVGEQMCCAEVNNTAGALVSCAKPDVLCEIKTEDTNHSEFGTSICSIKNPEHSSFELQQKLMEGDDRVPQSCFMTQPTQLADVSDHSCKQTCSFKENNFDDITAAKATEVISSLGLIASENITNSDMDKSSTSNGFMACSFSQSCHDRVDNDDEWNLFVHGNEPVKILEELSPIDESSTDTPSDLCGNTEMNCTSLEGVAQMQAEGQLDSIVCCGVRPKHMLLDMEIEDTATGTFTFDKAIDLAHPANFVAQDGRLESIVYDVLNNNAQRTASKNKSYVGLTDTTVIQSSLIDFTDNCPEDKKASDDKISPPNNVDWPYKLNSTIDYGISRSINNDEGSEEELVPQHQLYQSCSDKFNLSSVMPEISNAEESKKLSAGDQNSSATSLETDGRIQKTEFFVDEESIEEHAPKVLLSKRKIMSPTSQEKLCSALTGIDLCDGVQRLSKQYMLSVSNIFTRSIIITLFLVAESKTIIEDHGKTPISLPQPAHMQDRSIFRTDRRLKGRTSVSPTSKGVLKSTGSPPHQQTTCSCMRSSPVVLDTEKAVEFSQRQMHDMENIAAKLIRSLKHMKSIVDESLSTEAYSLLPNFNIAEVRHEMECALLILNSSKIRAASEDALEVERTTRKWLSIMNKDCSRFCKILSLAKKNAVSHPEAPRKQRKITFADEAGGMLCHVKVFKDGQASLLSECQSDL >Sspon.02G0016690-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:46701952:46703592:1 gene:Sspon.02G0016690-1A transcript:Sspon.02G0016690-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRATGAKLLDHSYFSYQITATYNIEYNLHDSLRNSEDIKKKHRPTRPPPRPDPIPAQPALGNRNHPSPAQQTHRRDHQEITPGSGVGSSEGLAECSRAATLRPGGGGTVRLFFAFAAP >Sspon.05G0012730-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5A:39835846:39839720:-1 gene:Sspon.05G0012730-1A transcript:Sspon.05G0012730-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPAETSPPAPPPRATHPVSTPLLLQDPTTQATPPWREQLTLRGIAVAAVLGALLCVVIHRLNLTVGVIPALNVASGLLAFFLAAAWRAAAERLGFGRGPPFTRQENTIIQTCAIACAGLAFSGCSASYIFAMDRKTYELLGPDYPGNRAEDVRDPSLAWMISFLFLIALVGPFSIVLLRKVMVIDYKLTFPGGTATALMINSLHGKTEGDLAGKQVHCLVKYMGISFVWSFFKWFFSGVGDSCGFDNFPTFGIEAFKNTFYFDFSPSYVGFGLISPHIVNCSVFLGSVISWGFLWPFISAQAGHWYPDNLGNSDFRGLYGYKVFIAISIILGDGLYNLVKIFVVIAREFCGMQSKKRDLPVQALEAEDDGSSEQLAEEKLQTKVFLKDSISPWFAASGYIVLAAISIATVPTIFPQLKWYLVLLCYFLAPAVAFCNSYGMGLTNLNLAPTYGKIGLFAFASLVGSDGGGVIAGLAACGIIMSIACSTADLMQDFKSAYLTLSSPRSMFIAQLIGIALGCVIAPLTLWLFWTAFDIGNPDGEYKAPFAIMFREMAILGIEGFSALPLHCLDICYAAFFLALAISLLKDVTPANVSRFIPIPIAMAAPFYVGAYFGVDMFIGTVILYVWQKLNREQADGYAVAVASGLICGDGIWSIPSALLSILGVVAPICMSFKPSSAPM >Sspon.07G0004570-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:11486929:11494763:-1 gene:Sspon.07G0004570-1A transcript:Sspon.07G0004570-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSAVTDDSAASTTGMRDDERSLSGESFSEWRSCERADSDTPSTSPPFWDSDGDDDDPGPKPSELFGRYTWRIENFSKEKKREMKSEPFEAGGFKWFWKKEHDWGWKKFMELSKIQDGFLVDDVLEIIAQVQALEYQMKGKKGKTKLADLEELPAPMVHVDMDMFVLADDVIVLLERAALEPLPCQPLAPKDDKTSQSRMKDGSSGEVYKISMEREERRLTELGQKILETFVLSHIFSGIEVAYQEAVALKRQEELIREEEEEAGLLEHHMKGKRGGGANEKDKRAKKKQTKQKKNNRKAKDKERDEKCEVKILERLRDETAIDNSDGLPAKVEVIAKVDALEEGSSDGSDMPNRGKNQRNKGLSIIAFAEEGDGLPSTSSVTGGSGRNSSGCCTAPKLDQDTVLLTLRDKLRKLGQRLHEFGYYIFCV >Sspon.02G0045220-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2B:108571601:108572416:-1 gene:Sspon.02G0045220-1B transcript:Sspon.02G0045220-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLAHDGPEYGWARLRRDTDGGRALRLGSSGRSGLAHIAGSRGVAAARGGVADPHPAGAAHLHSHLRLALPFSDYPADPTTQAAPGPPSSALASGKKNPVDAGLIEPCVRPMAGLMPRENPFYWTADGNDSVLSFPSAPNRQMARFLRSHPNLLRCPPSPIPATSAMERRPSRRPMPRSAALRPRSSSPPSADAGARSPLDLLLLFDGIYARNGANPGTTSSTATAWCTRWDTQRRRRVLRLQLHGDRVPHLGANSPRPGLDQGRRQGCAR >Sspon.04G0034060-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4D:74493282:74495354:1 gene:Sspon.04G0034060-2D transcript:Sspon.04G0034060-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSLHFSYKKYSSRLPVPVLAVALVLLISLASPASSCTEQEKKSLLQFLAGLSQDSGLAKSWQEEGTDCCKWQGITCNGNKTVIEVSLPSRGLEGSITSLGNLTGLQHLNLSYNSLSGDLPLELVSSSSIIVLDISFNQLSGDFHKLSSSTTGKTLQVFNISSNLFTGQFTFTSWKGMENLVALNASNNSFTGQIPSHLCNISPSFAVLELCYNKLSGSIPPGFSNCSKLTVLKAGQNQLSGTLPDELFNATLLEHLSLFGNSLYGKLNGAHIAKLTNLVILDLGENNFSGKVPDSIVQLNRLQELHLGYNSMYGELPLTLSNCTNLSTIDLKNNSFSGELTKILKSSMNLTTLLIGANFMNETMPDDDIIDGFENLQVLSLSECSLLGKIPYWLSKIKKLQMLLLDNNQLTGPIPDWISSLNFLFYLDLSNNSLNGEIPTALTEMSMLKSEKTAVLLVPRVFELPVYAGTSLQYRKVNAYPKVLKLGNNNFTGVIPPEVGLLKEQHSLDLSFNNLFGDIPQLICNLTNLLVLDLSSNHLTGAIPGALSNLHFLTEFNVSFNDLEGPVPTTGQFSTFTNSSFGGNPKLCGPMLIYQCNSAEEGPVSIVYENQGGSK >Sspon.04G0015540-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:19467708:19473028:-1 gene:Sspon.04G0015540-2P transcript:Sspon.04G0015540-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGAAAHAVGPRGGASASASQPHAAAAAAAAGTGAGGCEPVRKAGPVTMEHVLLALHETEAEREARIRDMFAFFDTAGRGQLDYAQIEAGLAALQVPAECKYARELLRACDRDRDGRVGYDDFRRYMDDKELELYRIFQAIDVEHNGCILPEELWDALVKAGIEINDEELARFVEHVDKDNNGIITFEEWRDFLLLYPNEATIVNIYHHWERVCLVDIGEHAAIPEGISKHVNASKYLIAGGIAGAASRTATAPLDRLK >Sspon.06G0020390-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:9197553:9198383:-1 gene:Sspon.06G0020390-1B transcript:Sspon.06G0020390-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKNWLVLTWAALKAQLSSVGTARWPTRTSASTHPEEAAVVVVGERRRLRRPPGLRGHVVPVLFFAAAAAGELHGRRRRRMPRRLPVLHHQRRRPTMSGKRAYVLERKKETS >Sspon.06G0016390-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:71015700:71016876:1 gene:Sspon.06G0016390-1P transcript:Sspon.06G0016390-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPVASTAGESVVTASGDGRSPLAVLAGEAVDKKRQRTTSIDDAAAASLWAFILADILGVVLRCLPSLADRTAVRSVCRHWRAATHGHSLPLPLPLLVLPRFRFFSFSTQGAIVAMRRAWMPEEVATGHVGCVGSSKGWLLVARPCEDATGRDRFLVNAFSHEVVCVPRLRAPYCTTSGELPWTAKDDPNPKYSRSLEHVVLSAPPSSATKCIVAGFSYRRRVPGLSVWRGLPGITLWQPGMKTWYVYQSRWVDWLSDLVFHQGKLYMLRRFWHTFQSPLLFAFTLGEDEHGVNVSGLEHRVTMPPFPRPGPMHWAASIDPCGVTEIHSFDGDCVFVDLCRCTSFPAGSYEGVQ >Sspon.02G0023080-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:71291507:71293549:1 gene:Sspon.02G0023080-3D transcript:Sspon.02G0023080-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPSVPRTGEHPVAPTGGDTILAVHDDDGTVHCDSLDATAPRHAAGREVRYRGWKAMPFVIGNETFEKLGSIGTAANLMVYLTSVFHMTNVLAAVALNVFGGTTNLATVFGAFASDLCLGRYATVGFGCVATLIGMIILTLTAGVPALHPPPCSAGAGGQQCAGATEGQFAVLALSFLFIVVGAGGIRPCSLPFGADQFDPRTESGRRGINSFFNWYYFTLTIAVVGSSTGIIYVQSNVSWCIGFAIPAALMFASCVLFFAGAGLYVRVRPEGVPLAGVFRVAVAAARKRRAAAPQDPAASLFRTRHASSLVSRLPYTDQFRFLDKAAVVESESEVDDASGGPRDPWRLCSLQQVEEAKCVLRIMPVWATCIVYYVAFAQTNTYVVLQAAQADRRLGSGGFEVPPASFTIFPMLALAVWIPLYDRVVVPWLRRLTGVEGGITLLQRMGVGMVLSVVAMLIAGVVEQRRRESAVLHQAEANRELLSVTLVSPASAFWLVPQLAALGLSEAFNQVSQMEFYYKQFPENMRSVAGSLLFTGLALSLYLSGLLVVVVHRATADPVRGDDGWLAENLNRGKLDWFYFLIAFFGAVNFFVFLAFAKWYRYKGLDDGEQGADGVRQWQSRTVEVDDCPEEGRVVRRRSF >Sspon.02G0028500-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2A:103712506:103713741:-1 gene:Sspon.02G0028500-1A transcript:Sspon.02G0028500-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTSAPRRSARARRPPPRLADRAAASTSARRRSARGPAAQPVVLPDEIWEEIFLRLDAAADLARASAACSSFRRIVSEPRFLRRFRSLHTPAILGFITSSIYRRFVPVGSPHRSAPEARALEQAADFTFSFVPDPCAWRFRHARDGRVLLSQHFSIKIVFEDFVVCDPLHRRYVMIPRIPDDLMAASTPDARRVLPVLAPAGENDDEESFRVICTVVFKDKVMAFVFSSCNQTWRGVTSTICLPDGFIEYMTYYAHGCLYWMVGLMGYSLMLDTSEMQFSIIDLPPHNFDSENMRAIVEAADGRLGFLTIGDDTIDLYCKNWQSNCVGAQEWLHDKLIPLPKDSRINYHWEIEGTAGHYLALIAESSRKTEHFVLDIKTLLVENLCTSKNGAFFGFPYARFPPPLALPSI >Sspon.05G0021680-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:90874697:90878319:1 gene:Sspon.05G0021680-1A transcript:Sspon.05G0021680-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMDDDGLGYTFPCSLLSSDSHGCSTITSILHHHRVGCLVSLRKLHLHKMRVTGDELSCLMSSSPALEELELSYCHDIVCLKIPHLLSRLKFLHVGNNNGLQKIQCDAPELKIVSYVGSWVADNAHLPWRFIIPKMKVSSVDEHGMLSYATTKLPSVAPNLSILSLSSCFETERDQADGGRPAIPLLLFVFVFVQAQRRSSLVDLEAYRRSFLSVVVLRAWQVGGACPEYEHVLEMSMLLHAMADDSGNVESDDDFSMRSEDENSESLNVGELNALLFVLIYVA >Sspon.02G0000540-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:5276120:5278111:1 gene:Sspon.02G0000540-3D transcript:Sspon.02G0000540-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMPVVRIAQTFIFLVLLAHTASAQLPAPAPAPDAGCNGIFLSYTLQGRDRIRPHVAERNSQPYSFRADATVVNSGTRPLRSWALQITFVHGEILVGVDGAVLTSGADLPYNTTAGDAGRPTSFTGYPQTDLLTPIATAGDPAKTQATVNLVGTLFAGPKPYDPLPSFLSLADPSYTCPPATNATSPTSLTTCCVLTPAAGAGDDSATDPARNFLPRRTGDLVITYDVLQSHETTYLALVTLENDAPLGRLDGWQLSWRWQRGEFISTMRGAYPREVDTAGCLYGPQGQYYKGLDFSKVLNCDRTPVVHDLPPSRANDDDIGRINHCCRNGTLLPKSMDVAQSKSAFQMEVYKMPPDLNRTKLYPPTSFNVTGSSPLNPEYACGAPIPVSPSEYPDPSGLASTTVAVATWQVVCTSTKKPPRCCVSFSAFYNESVVPCRTCACGCPSSGTQPAVSATCSTTAPAMLLPPQALLMPFDRRASEALEWADQKHLGVPNPMPCGDFCGVSINWHVATDFTGGWSARLTLFNWDGTDMPDWFTAIVMDSAYDGFQQAYSFNTTRVGNSTIFVKGFQAFDFLLGERNMSGVDYPVPGKLQSVFSFTKKTTPAIDIIAGDGFPSKVFFNGDECAMPLRIPSLGTRAAGLVVPMQLCLLLVSSFLLLLLH >Sspon.04G0033010-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:41076725:41081982:1 gene:Sspon.04G0033010-1P transcript:Sspon.04G0033010-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVDEAVRALGAGFDLTSDFRLRFAKASEGRRLVELGEETRDVPLPGGGGATLRGVPRDVGVDKGDRIRFRSDVLEFNRMSELLNQKSSVQGKVPSGYFNALFELSGAWLTDAKETKHLAFDGYFISLYNLHLKASPLVLRDEVKKAVPSKWDPVALSWFIKTYGTHIIVEMGIGGQDVICVKQSHSSTVSTADLKLHLEDLGDFLFSDGKNHSPIHRKTKDGKSKVPDVFVRIVQHSNNLHLSSYSEASTKDGLTITCSKRGGEAYLPNHSKWLQTVAKKPDAIMFKFVPITSLLTGIPGSGYLSHAINLYLRYKPDLQDLQYFLEFQVPLQWAPMFNELVLGPQKRKGSYPSMQFRLLGPKLHVSTSQVSSSQKPVVGLRLYLEGRKCNRLAIHVQHLSSVPSMIGDSVASSMSEWRESEDSDPGYIEAIQWKNYSCVCTSAVKYNPEWHKRAPGGVFIVTGAQLVTKGTWSKKVLHLRLLYTHIPNCTIQRTEWTNAPAASQKGSFLTTISTTLSSPFTQRDTHQQAKHEPVQLNSGVYPDGPPVPLRSRKLLKFVDISEVVKGPHDVPGHWLVIAAKLVKEGGKIGIHVKFALLGYEGQSSQGDSFMS >Sspon.07G0024380-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7B:24272903:24273108:-1 gene:Sspon.07G0024380-1B transcript:Sspon.07G0024380-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ELVLSLTTSGARQGDGGAVYAACTDATGLDDNGASCTDGGAASAMWAMAAQRARPRWWRRGSKRGLGE >Sspon.06G0031490-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:82694492:82696542:-1 gene:Sspon.06G0031490-2D transcript:Sspon.06G0031490-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFYALISLNTTLFLRLLSHSLLPQKVQSKPRQEGRKMALVGQVATVAQLVGVDAFSLITMIAEAAQTVRRNRAVCRQLARRVEMIGGLLRRLHDHDTQLMQHPETRSPIEALEETLRRAYLLVRSCQRRGYAYRCFMGARHADELREVQGEIGFYLQLFPLVSYVDATLNWEKHLSKAFDNSSFQEAPMECKVRGSQALVPAQPIQHLSLQQFSTHEKN >Sspon.06G0021960-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6B:21904535:21905218:1 gene:Sspon.06G0021960-1B transcript:Sspon.06G0021960-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKENSRARWRRLRRPAPAARGRRRSASMSPGGVEQRGREGEGRAREGKEQSWVAIGERPPVLCGFAVVASSGFGSTPALRPGAHDGGGFLGSSEEGARDSVGLVAPAGTRCGGFGEGRTRGSRTRRPRRSEQLGEASTYLTFDFACPSLTRRPMAAWRQPWSSFIAKVGRFRTGLQCLSCGRVPPDISEQGLVFV >Sspon.01G0032210-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:109312679:109315994:1 gene:Sspon.01G0032210-1A transcript:Sspon.01G0032210-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPLPGKALPRHDVTKGGGQADAGNADDDQPSVAAELRALWGMAAPITALNCVVYLRAMVSVLCLGRLGPLDLAGGALAIGLTNITGHSVLFGLASGLEPLCAQAFGSRNHELLTLSVQRAVLLLFLAAVPIALLWLNVGPILVALGQDPTISAHAASYAAFALPDLAAGAVLQPLRVYLRSQGITRPMAACSAIAVALHVPLNVALVFGMGLGVRGVAAAQALTNTNMLLFLLAYIRWARACEGTWKGFARPAAVASGLPALASLAVPSCVGVCLEWWWYEVVTVLAGYLPNPAAAVGAAGVLIQTTSLMYTVPMALAACVSTRVSTLSSTNMDRRKRKTDRIRIDAEIFDFLAHLDWVGNELGAGKPRRARMAALVALWCALAIGAVHVAWTVALSRRWVELFTTEPGVVRLASAAMPVVGLCELGNCPQTTGCGVLRGTARPAMGARINLLSFYLVGTPVAVYLAFGAPGVGFRGLWYGLLSAQASCVALILAAVVWRTDWRVEAMRAKKLAGLELAPVPTAAADDNDDERKRLVAAATGEPAAEGV >Sspon.04G0008530-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:24832547:24838056:1 gene:Sspon.04G0008530-1A transcript:Sspon.04G0008530-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPTAAPLDEGKAKKVLRQVEFYFSDSNLPRDKFLRETVEQSDDGLVSLPLICSFSRMKSHLGLDADVKAETMPEETVLAVAEVLRRSPVLRVSEDGKKIGRASELSKPDEIIEQVDSRTIAVSPLPYNVKLEDIQSFFTNYAKVNSVRLPRNIVNKKHFCGTALVEFSEEEEAKSIMDNALVFAGANLEIRPKKEFDAEQESKKEAYEKARPRKDNQNEGYPKGLIVAFKLKKTMVDSVVQQDNIDEGNDKATKLEVSSSMEKLSGQGPESTLESDGTKQGKSSDDMTKEKELNTAEAAESEKCIGDAPVESDKHGDSGSLSRDGKNISGNVNVKNQISREDLKEAFKKFGTVRYVDFSIGDNSGYLRFEDSKAAEKARMSAVLADEGSLIIKDHIVTLEPVTGEAEKDYWNTIRGIQGKYKDSRSYKGRALKNQRGGKHFNRKRDRNPESEKNSNKTQKVEAAA >Sspon.08G0023290-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:54752370:54755384:-1 gene:Sspon.08G0023290-1B transcript:Sspon.08G0023290-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMKVDMSALETNFGNSTFPAGDDMYFSAEAPDVPSMVLPTCDDFDGFQADTKNMVKNKKGTTTLAFIFDKGVIVAADSRASMGGAADCQFWHRNLGNKCRLHELSNKRRISIAGASKLLANILYSYRGMGLSIGTMIAGWDEKGPGLYYVDSEGARLVGNKFSVGSGSLYAYGVLDDGYRFNMSVKEAAELARRAIYGATFRDAASGGCVSVYHVGPDGWTKLSGDDVGELHYHYYPVQKTPVEQEMTDAPTTSA >Sspon.06G0005780-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:19335277:19344493:1 gene:Sspon.06G0005780-1A transcript:Sspon.06G0005780-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LRLSNLLLLALASVPGAATLADAVFARLPEPAARDPFPWNTAIRLHAPARPRAALLYFARMRRCGVRPDAYTFPAVLKACGCAPGCRAGLLVHAEAVRRGLGADLFTVNALISFYCRILDCRSGRKVFDEAGGVSRDLVSWNSMVAGYVGCGEMWPAQELFDEMPQRDAFSWGALIDGYGKQGGAGVDRARELFDQMPERDLVCWNSMLDGYARHGRMDEARSLFEEMPERNVISWSIVIDGHVRCGEAKEALEHFQSMLRCGVRPDRVAAVGAVSACAQLGALEQGRWLHSYLEKKKVLSDVVVQTALIDMKMGCEKQGYFTLDEWRAGLKALRADSISKLKKAFPELVQEVTRPSNFQDFYIYAFRYCLTEDKKKCIEIPVACELLNLVLGLQFRPQVDKLNNYLKYQNDYKVINMDQWMGFIRFCNEINFPSLDNYDSDLAWPLILDNFVEWLRENKS >Sspon.05G0024360-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:21902680:21917076:1 gene:Sspon.05G0024360-3D transcript:Sspon.05G0024360-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable polyol transporter 4 [Source:Projected from Arabidopsis thaliana (AT2G20780) UniProtKB/Swiss-Prot;Acc:Q0WUU6] MADAGRNKYAVLDRSEEPEPDARTEGRRRPSAPESERRRRERFVYACAVFASLNAILLGYDVGVMSGAIIYMQKDLHITEFQQEILVGCLSVVSLLGSLSGGRTSDAIGRKWTMGLGAIVFQIGAAIMTFAPSFTVLMIGRLLAGVGIGFGAMVSGVYIAEISPAGARGTLTSLPEICINLGILLGYVSNYAFSGLSEHINWRIMLGVGILPSVFIGFALFVIPESPRWLMMEKRVSEARAVMLQISESEAEVEERLAEIEEAAGLMKSMKSEDKEVWRELLNPSPAVRRMLYAGCGIQLFQQITGIDATVYYSPTIFKDAGIKSDQELLAATVAVGFTKTVFILVAIFLIDRVGRKPLLYVSTIGMTICLFLLGVALTLQKHAVGLMSPRVGIDLAIFAVCGNVAFFSIGMGPICWVLSSEVFPLRLRAQGSALGQVGGRVSSGLVSMSFLSMARAISVAGMFFVFAAISTISVLFVYFCVPETKGKTLEQIEMMFESGDEWGGGEIELEDTQHLIPSNKKSARGGSTVKARSGLPWRSLAATRDTSELGDELVQQRVCPVEHHHGVVQSPPFARGLVHGLRSNGVAEVGERPEDDDVGVEVDAAVPVEQREAEEVGEVLAERDAPEADAAALDSAEPRLGGRTVQEAKSGEATAKRKPRSRNGLSLSLPPAESMVSEATRRQPPRCHLKRLSRTRSSRRPNCASGESHVMKKRSVQGPAKSSSTRAAKRRVCCVFLSRSLRRLLFLFADAFFLLLLAAGAAGGHDGGGGGGGGAAAKACNASCKVLSTETPMLVLLLELVALRLEGVVGIEACKACKVSSMETPRLVPVRLALVALRVEDSLGRRGQMRRLKVVCERRKTRESTSRRPGSERERMSKGNGFRAAGIVVVGDAGVTILFSACWLLHLGCYCHVHSARR >Sspon.03G0000750-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:9898231:9906093:-1 gene:Sspon.03G0000750-2B transcript:Sspon.03G0000750-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAAGHKERSRVLVIGGTGYIGRFIVAASVREGHPTYVLVRDPAPADPAKAAVLQGFRDAGVTLVKGDLYNHESLVVAMESADVVISAVGYAQLPDQTRIISAIKDAGNIKRFFPSEFGNDVDHVHAVEPAKSVFAAKASIRRAVEAEGIPYTYISSNFFAGRFLPAVGQIGVTGLPIDKVLILGDGNVKAIFGTEEDVGTYTIKTVDDPRTLNKILYLRPPSNILSHNELISLWEKKVGKTFERVYIPEDDVLKKIQESPIPLNRALSISHSGWVKGDHTNFEIDPFFGVEATGLYPDVKYTTVDEYLNKFL >Sspon.06G0014660-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:80981078:80985019:1 gene:Sspon.06G0014660-1A transcript:Sspon.06G0014660-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:N-alpha-acetyltransferase MAK3 [Source:Projected from Arabidopsis thaliana (AT2G38130) UniProtKB/Swiss-Prot;Acc:O80438] MSSAASSGAAAGGGSEGSGGAEERGEIAYVSYGGEQHLPLVMSLVDEELSEPYSIFTYRYFVYLWPQLTFMVRASPALSLSLHPPPLSPLRPLESRRGRAGFDAFLVLRLGWQRGLGAMQAFDARDGKCVGTVVCKMGEHRGAFRGYIAMLVVLKPYRGRGIATELVTRSIQVMMESGCEEVTLEAEVTNKGALALYGRLGFIRAKRLYRYYLNGVDAFRLKLLFPRPDPGLPPMMIGNDRDDQKMDSPYL >Sspon.03G0003590-4P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:13119091:13131336:-1 gene:Sspon.03G0003590-4P transcript:Sspon.03G0003590-4P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAAEPSPSVPSTAADDLETLALDSSSSSAAATASASTDPLLRPPTSPTTAASDDPFVIDDFLDEDDFSTTPSPSVARPPRAARGDAASPVFAKITVSDPKKHAEPSGAGAAGVIPGSGSYFSYLITTRLAGGGGEVRVRRRFRDVVALADRLAAAHRGLFVPARPDKSVLEGQVIQRHDFVSQRCAALQRYLCRLAAHPIVGQSPDLRTFLTEPGAIPAFQGEAPRYWTTTVNAAAPLVPTKAGRDLFGMFKGLKQTVVNGLVATKPPPVEKETDTEFLAHKARFEDLQQQLTTTSQQAEALVKAQDDLRETTGHLGMTLIKLAKFEREQATCNSLRRRSGEIHNFANSVLKMSRSQIKLNSEIVKHLGSIHEYLEMMISVHHAFTDRSNALHYVQSLSADLFSLHTRAGRLESSSARDMGHEWSTYQKVEGLKETIRSAEAAKSDAVREYESIKRKQKPPAMLSHAPSPSPAAFFKPRPSSAGSRLGAKHLTATAAAAASTRRSVSASATSRRGFLLFVPSLAAASAVLRPLPSAATEADDAETPAPHPSPTEEPLSPQPAAEAAAEAEAETKPEPSESAMSRVYDATVLGEPEALAGDARGRVWEKLAAARVVYLGEAELEPDPDDRVVELEIVRGLAGRCADAGRGLALALEAFPCDLQQQLDQFMDGRIDGRILKLYTSHWSQDLWQQYEPLLNYCRDTGIKLIACGTPLEVKRTVQADGIRALKKAEREAYAPPAGSGFISGFMFGSGRSLIDKISSMDDSLFGPTSYLSEQARVITRELSDGDLSRLLIVVTGASHVMYGPRGSGVPGRISKKVPKKDQVVVLLDPERQVIRREGEVPVADFLWYSAAKPCTRNCFDRAEIARVMNAAGRRPEGLPQDLQKGLDLGVVSPEILQNFFDLEKYPVMTELIHRFQGFRERLLADPKFLQRLAIEEAISISTTLLAQYERRKGRFFEEIDYVLTDTIRGSVVDFFTVWLPAPTISVLQYADDGSGQSLEFVKGLLGSLPDNAFQKNILGQDWSIKRRIAAVLVGGLKLAIVGFISSVGAGVSSDLVYAARGIVKPSENVETGRKRAPIWKSAAVYSCFLGTSANLRYQIIAGLVEYRLGESLVTYYNQPLIAGLLSFVARTLNSYWGTQQWVDLARYTGLQKSEEKPPSAEVTMPPDSAHLEGCTTEGHNFEDSSNNTNESTGPADANAKRFSLEPYVSESEQVILVIFRRNMVAIRSGMFVQCTRAPCMRKRCHQAVMASHVSMDTNEVHSSHFCATSNFFKKIGEGNYTEV >Sspon.08G0018930-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:12166249:12167623:-1 gene:Sspon.08G0018930-2C transcript:Sspon.08G0018930-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPRVWGRLPQPLVDRVLACLPTPSFLRLRAACRRFCNLIYSSPFLHSHLLLSPHLPSSPSPPQAPSWSRLPLPLPAAPGAGHQAFSPAAASAGLLAFLSDASGHKTLLLANPITRLLAPLPLCPTARLSPTVGLAAGPTSFIAVIAGDDLVSPFAVKNISADTFVADAASVPPSGFWAPSSILPRLSSLDPRAGMAFASGRFYCMSSSPFAVLVFDVAANVWSKVQPPMRRFLRSPALVELGGGREREARVALVSAVEKSRLSVPRSVRVWTLRGGHGVVAGGSNGGSGAWTEMARMPPDVHAQFAAAEGGRGFECAAHGDFVVLAPRGPAIPVLVFDSRRDEWRWAPPCPYPYAGEIAAGGAGFRVFAYEPRLATPAIGLLDATAPAAFLHGIQG >Sspon.04G0033540-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4C:59869895:59870990:-1 gene:Sspon.04G0033540-1C transcript:Sspon.04G0033540-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MIIAVVGSLGNIGKTFGAACSLIVGKKLENLHDALSNTRTDGVGALLREGAAAYLNSIVNKKFPFTTQQVKDCIVVAVTSDGAASAQAGIFKKANEYHY >Sspon.01G0021210-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:82721051:82723441:-1 gene:Sspon.01G0021210-2B transcript:Sspon.01G0021210-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVYRERHCPGKDEQVRCLIPAPPGYRTPFKWPHSRDYAYFNNIPHKELSIEKAVQNWIQVEGDKFKFPGGGTMFPRGADAYIDDIDKLISLSDGKIRTAVDTGCGVASWGAYLLKRNIIAMSFAPRDTHEAQVQFALERGVPAIIGVMGKHRLPYPSRAFDMAHCSRCLIPWHAHDGLYLAEVDRILRPGGYWILSGPPINWKTHHAGWERTKEDLKQEQDKIEDVARSLCWNKVVEKRDLSIWQKPKNHLECSNIKKTYKTPHICKSDNPDAAWYRQMEACVTPLPEVSNQGEVAGGAVEKWPERAFLVPPRIKRGMIPGLDAKKFDEDKKLWEKRVAYYKRTIPIAENRYRNVMDMNANMGGFAASLVKYPVWVMNVVPVNSDRDTLGAIYERGFIGTYQDWCEAFSTYPRTYDLLHADNLFSIYQDRCDITDILLEMDRILRPEGTAIIRDTVDVLTKVQAITKRMRWESRIMDHEDGPFNPEKVLMAVKTYWTAKGGG >Sspon.03G0009200-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:30073991:30078164:1 gene:Sspon.03G0009200-3D transcript:Sspon.03G0009200-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein CONSERVED ONLY IN THE GREEN LINEAGE 160, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G31040) UniProtKB/Swiss-Prot;Acc:O82279] MSLLAVASSTRAAAVRPLCASAASGEAAPAPAATEAAGRRPVKVILPKKKPQKWSTGMAPGEYGGGPATVKPRKYWWGKEDRDPVGNTDDFIWNKDFLPYMERVIANGGADAEPTIARLAPVDEEESGFLSINRAMSLDSVDVDLSQELLAPTRPILQTQVEAAWRGRAIGAEAVNGASTPRWRLVPTRREQAKWDRAAKAATGGSDVILRESKSRVQQGDPKVLAARSREDYLKLKQRLQWLTLGIGGIGVISAYISYSPEVAARYEIDLLQLTAVTLQLRCRVDWIIGVSPHAWNQCRFPSRWNWRNYQVCSLQQPLNLLLRTYRSAAAQPRLLIPVVLVMMYNRWNGILVPDYGFMQLELIPMLVGFFTYKIAMFAQAIQDSIPAVGNREG >Sspon.01G0004170-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:9933045:9936031:-1 gene:Sspon.01G0004170-1P transcript:Sspon.01G0004170-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSTLSHPTAAAAGSGKSLFPAAPAAQSVRFPKARTPVPAAVSAATAAVHADSAEDRVSSLSQVSGVLGSQWGDEGKGKLVDVLAPRFDIVARCQGGANAGHTIYNAEGKKFALHLVPSGILHEGTLCVVTRNGLRVCDLRHMDTFGDKLDVLFKDAASRFLGFQYSKSMLKEEVERYKKFADRLEPFIADTVHVLNESIQQQKKILVEGGQATMLDIDFGTYPFVTSSSPSAGGICTGLGIAPRVIGDLIGVVKAYTSRVGSGPFPTELFGEEGDRLRKAGMEFGTTTGRPRRCGWLDIVALKYCCQINGFSSLNLTKLDVLSGLSEIKVGISYSQPDGQKLQSFPGDLDTLEQVQVNYEVLPGWQSDISSVRSYNELPQAARLYVERIEELVGVPVHYIGVGPGRDALIYK >Sspon.04G0020520-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:78716668:78719852:1 gene:Sspon.04G0020520-3C transcript:Sspon.04G0020520-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEARVTALVLLVTVSSVLYTGAGAATAGDERAALLALKAGFVDSLGALADWTDGAKASPHCRWTGVRCNAAGLIDALDLSGKNLSGKVTDDVLRLPSLTVLNLSSNAFATTLPKSLAPLSNLQVFDVSQNSFEGAFPAGLGSCADLATVNASGNNFVGALPADLANATSLETVDLRGSFFGGDIPAAYRSLTKLKFLGLSGNNITGKIPAELGELESLESLIIGYNVLEGSIPPELGSLANLQYLDLAVGNLDGPIPAELGKLPALTALYLYKNNLEGKIPPELGNISTLVFLDLSDNSLTGPIPDEVAQLSHLRLLNLMCNHLDGTVPAAIGDMPSLEVLELWNNSLTGQLPASLGKSSPLQWVDVSSNSFTGPVPAGICAGTALAKLIMFNNGFTGGIPAGLASCTSLVRVRMQSNRLTGTIPIGFGKLPSLQRLELARNDLSGEIPGDLASSTSLSFIDLSHNHFQYSLSSSLFTIPTLQSFLASDNIISGELPDQFQDCPALAALDLSNNRLAGAIPSSLASCQRLVKLNLRHNRLTGEIPKSLAMMPAMAILDLSSNSLTGGIPENFGSSPALETLNLSYNNLTGPVPGNGVLRSMNPDELAGNAGLCGGVLPPCFGSRDTGVAARAARGSARLRRVAVGWLAAMLAVVAAFTALVAGRYAYQRWYAGGCCADDESLGAESGAWPWRLTAFQRLGFTSADVVACIKEANVVGMGATGVVYRAELPRARAVIAVKKLWRPAPVDGDAAASASEVTADVLKEVALLGRLRHRNIVRLLGYVHNDADAMMLYEFMPNGSLWEALHGPPEKRALLDWVSRYDVAAGVAQGLAYLHHDCHPPVIHRDIKSNNILLDADMEARIADFGLARALARSNESVSVVAGSYGYIAPEYGYTLKVDQKSDIYSYGVVLMELVTGRRAVEAEFGEGQDIVGWVRDKIRSNTVEEHLDPHVGGRCAHVREEMLLVLRIAVLCTARAPRDRPSMRDVITMLGEAKPRRKSGSSGTASGKDKDSSAAAAAPAVVVDKDRP >Sspon.01G0058160-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:22848816:22854966:-1 gene:Sspon.01G0058160-1D transcript:Sspon.01G0058160-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPREVIVYMDECRSRSLLKFISDAGIVPSLEDELRRERVVRELGKIVMDWAKRVAYEQGKQHWIASATVLTFGSYALGAYGPESDIDVLCIGPYIATLQHHFFVVLRQMLEGRPEVSELHSIEGAKVPLMRFKFNGILVDFPYVQLPVINAAEAMHAFDPHVLENVDGPSWRCLSGVRANRQIIRLVPNMKKFQYLLRCLKLWARRRGLHCHLLGFFAGIHLAILAAYVCRRHPNASINTLLSLFFDIFVHWPWPLPVSLLDPPVLCRGPDGCSLMPILLPCNPPEFCSSSTTESTFSKIKEELRRGYALTKDTRSTDFDWSWLFASFPYGARYKCFLRIVLSAPLDEELRDWVGWVKSRFRNLLLKLESLGVYCDPDPSEQVDHTITEPNVVFFWGLVYRRNIQICTSSLKEDFMKSVCNNIYGKEKCAHSDITMSIVGPPQLPKSIFDLSVYSEKLPQHMMGHQLMKQRYNAVMLLLGTDAEVDMEEVVNGNYTVQ >Sspon.02G0030790-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:112910828:112912656:-1 gene:Sspon.02G0030790-1A transcript:Sspon.02G0030790-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALPPPPPPDLVVLIDDLVEEFLLRLPPEDPTLLARAALVSSGQLKPWPRPPPTSFRRGDLDVFLSVWDPITDEQQDLPVVPLRPTRYLNIFNWDAAVIYAIGDGCNHLHCHFKVVFVGQRKEKTFSCFYSSEAGSWSDPVFASGWPENAFYPGNCVLIGTALYTTCAARNEIFKCKLIATEDGRLGVAKASNSTLHLWLREHGRLEESRAIDLQALLPLDALPDPLLPYEARPWLTGFAETGAGVIFLWTRVGYFTIDLKSGSCKKVGEDLVSARVVPYVSFCTPAPGAVSTDDRPETGVAISTYEVPVADIAVSTDEGPGAGVAASANEGPGAAGMCQMPKKLKLQVQGPLSQERN >Sspon.03G0020950-3D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3D:51337476:51338483:-1 gene:Sspon.03G0020950-3D transcript:Sspon.03G0020950-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LPRRIQLLSDGTIVRSDPAVLRPPDEHFPDVPAVQWEDVVYDAAHGLSLRVYRPAAATAGDAATEKKKKLPVLMYFHSGGFCLGTFSQPNFHSGCLRLASELPAVVVSADYRLGPEHRLPAAIDDAAAALSWLRDQHATAVGVADAHHHPWLAESADFTRVFVAGESSGANMSHHVAVRHGSGHLALAPLRVAGYVLVTPFFSGVQHTASEASPPPAVSPSFTTEMADKMWRLSLPVGATRDHPVTNPFGPDSPALDAVAFPRVLVVSAGRDILHERVLRYAARLEEMDKPVEVAVLEGQEHAFFSRQPWSEGTNEMIRVVKRFVYRENDATSAE >Sspon.07G0022090-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:6627432:6628352:-1 gene:Sspon.07G0022090-1B transcript:Sspon.07G0022090-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADESVYCPDCHRTTEVVLDHATGDTICTECALVLEAHYIDEGSEWRNFADDGGGEDRDPSRVGGPNDPFLSNTPLVTKIVYAGPQKTQGEGGHALPRMRVSAGGGGADHEQTLVDAFHAIADMADRLGLVATIRDRAKDVYKRLDEAKACPTRGKKRDVFYAACRNEGKPRTYKELATVTAAGAAAKKEIGKMTTLIKKVLGEEAGQVMDIGVVRAADYMRRFCSRLGMGNQEMRAAQEAARRLENGLDVRRNPESIAAAISYMVVQRAGAGKTVRDVSMATGVAEATIKEAHKDLTPHADMLFA >Sspon.02G0011880-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:31831684:31833083:1 gene:Sspon.02G0011880-1A transcript:Sspon.02G0011880-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLEKFSGLERHYSNFFVSSMPLPSVWVLTPSNCTTILFLFLRPQSPLLVSPQATTLAAPESMDPSKSSTPPPPPVMGAPVAYPPAAYPPGAAAGAAAYAPQLYAPPAAAAVQQAAAAQQQQLQMFWAEQYREIEATTDFKNHNLPLARIKKIMKADEDVRMIAAEAPVVFARACEMFILELTHRGWAHAEENKRRTLQKSDIAAAIARTEVFDFLVDIVPRDDAKDADAAAAAAAAAAAAGIPRPAAGVPATDPLAYYYVPQ >Sspon.02G0024440-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2B:82096876:82097862:1 gene:Sspon.02G0024440-2B transcript:Sspon.02G0024440-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTTSPRAPGVSGEVPPPHVVEDCLGLVQLLSDGTVKRAPATLVLPDDAPPPDDAAPIRWKDVVYDEASNLSLRMYVPSARAGDGGAETKLPVLVYFHGGGFIIGSFASPEFHAVCLRLAAELPAVVLSADYRLAPEHRLPAAVEDADAVLSWLADHADPWLADAADLGRVFVSGDSAGANIAHHAAARAAVASGRRLRLAGCVLLWPYFGGEPRMASEAACPGDVFLTLPLYDQMWRLALPAGATRDHPAANPFGPEAAAAGGGPGAELPPMLVAAGDRDMLIDRVREYVARLQAAAAVNNNRRVDLVEFPGAGHGFAIFEPDDEAA >Sspon.03G0020320-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:63198064:63202033:-1 gene:Sspon.03G0020320-1T transcript:Sspon.03G0020320-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTFPLSGGSTISLALFSDVSNSRELLDLMQSGKLEPEVAFLNASLVPDVFPVLAAALKALLSKTRESLTTRTLHSELVYNYSGSKHITESLKRCGISDDTTYILAACFDASDEEVKAVEKLIRGTEIDLTELERRANQPQILKHYKIPPQELSISTLPDAIVCRIAARDAL >Sspon.07G0007300-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:19201996:19202680:-1 gene:Sspon.07G0007300-1A transcript:Sspon.07G0007300-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLQPDEIHVSGRDCVYPANPAHTLGFCAIFLLVVAQIIASAGGGCCSCCRPPGGASYSNTTRRRVVGVVASVLSWVAAVIAVVYFRVGAALNAPTTRRAKIAGPDQEECYLLKGGIFVRAAVLSLVATSLGILSCVLLRLPAATDAPPEQGQHAVGLPQWPAQGFEHPYPAQWYGQASDPKFAPPPSQGQANGQVYA >Sspon.07G0016310-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:54762091:54764923:-1 gene:Sspon.07G0016310-3D transcript:Sspon.07G0016310-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGGDGVARQAELRRIEGNVCFKKARLGAAIDCYTEARNLPAIALCPDVAVYWMNRALCHFKLKEWAKVEEDSRKALALDHTLVKGRYLLGCALLEKEESALAIKEFEKALILLKSANSTDEMAEEIWQVLAKAKYLDWEKHSTERLWRMQSLKSSTSCLDMADQLKFPKRIPWTCREACESALQEHHFLTGTLEEDSNGSSNEYSEQFKLLSEVFSQATIADTPADITFEIFRDPVITPSGVTYERATLVEHLHKVGNFDPVTREPLKEHQLVPNLAIKEAVQAYLKEHSWAYKLN >Sspon.01G0020940-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1C:77076818:77078134:1 gene:Sspon.01G0020940-2C transcript:Sspon.01G0020940-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding CGYPALNASYFDLSYHPVSTNVDCKLYKNARSVRCYDCNSCKAGVAQYMKTEWRVVAIFNVILFVILLPRTHIATSLSQVFSNSSTDDCSADTCGDVPNTAAFGGCYWTIS >Sspon.04G0003900-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:7131017:7137600:-1 gene:Sspon.04G0003900-2B transcript:Sspon.04G0003900-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGKYSGARTKRRWRGLAAAAWVLIAVVGAAVMHWTQRRQSMDRAEERLISMCEERARMLQEQFGVTVNHVHAIAILIATFNYEKSPPAIDADTFAKYTARTSFERTLLNGVAYAQRVFHHEREMFESQQGWIMNTMKREPAPPRDEYAPVIFFQDTVSYLARIDMMSGEEDQENILRARTTGKAVLTNPFRLLGSNHLGVVLTFAVYRPDLPADASVEQRVEATIGYLGGGFDVESLVENLLSKLAGNQDIVVNVYDVTNASEPMALYGPSILDEQVPSHVSMLDFGDPFRRHEMRCRYRQKPPIPWVCHKPILWAYLSYGCFWGIALPLHILDMTKKMEELKTQAEAADVAKSQFPGQTVSHEIRNTYEWRPWIVLHFHGLAIYKFLPSGVGMLDMLLGTDLNYEHRELCSNCSNVALITLINDVLDRAKIEAGKLELEAVPFDLRSLMDDVISLFSSKSREKCIELAVFVCDDVPKLVLGDPWRFRQILTNLVGNAVKFTERGHVFVRVCLAENSNMEANQVLHGTMNGKDGKVESTTNGAFNTLSGFEAADRQNSWQYFKLLLSNKESLLDDLEGENSNQSDSDHVTLAISIEDTGVGIPLQAQDRVFTPFMQADSSTSRNYGGTGIGLSISKCLAELMGGQISFTSRPFVGSTFTFSATLKRSYKDISVDSSRSLSEALPTAFKGMKAILIDGRPVRSAVTRYHLKREKATMLFIESDFWRPETDVQLLNHLREHKNGLLSDAPKVVLLVTSEADKDNYGSIFDIVMCKPIRASTIASSIQQLLKVEMPERKENQNRPSFLRSLLVGKNILVVDDNKVNLRVAAAALKKYGANVSCVESGKDAISLLQPPHSFDACFMDVQMPEMDGFEATKQIRQMEMKANEERKNKLALSEGSTFVEYHLPVLAMTADVIQATYEECIKSGMDGYVSKPFDEEQLYQAVSRLVVGTTDSAV >Sspon.05G0031520-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:6391094:6396782:1 gene:Sspon.05G0031520-1C transcript:Sspon.05G0031520-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADMECRLEVDGVLSYADDLMGVLRCSDDADANAQVIAAARLLRTACRSESDHLELQLKVLSPCHSTLRRKIGVQLFRPIGFDSSPPASSPVLAGEGIKAGGSGSGVGSGQSVKARSACSSSSSVPSPIRRLRSAPEKMRNRDRGGRFRSSSTSSSFGAGGGPSAGTATSVHDSVYGKRRRQCDEVLSELRYGLGRSFIPDGFPVLVDVVVRIGPAESLRCLPGLLLLGLGVVDIRVFGGARSSVSFVVSTSGSGSDDSMEEYKGTLCLVQGLRQVVVESAWLLQFLGNDSGFVDRDAWEDYQQKLCSCKEKTDEANTETIAADELSVLQNRIEENLQEEKELHEELR >Sspon.03G0014260-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3A:44780604:44781200:1 gene:Sspon.03G0014260-1A transcript:Sspon.03G0014260-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLSPPLHTEAAAALWRPRQRWHGSLERTTTSYYPVKKHAGRLQASYRGLEALYDDGYQRMKNLDYYYESLGELVEHDSGPVRWFCPVDAGSPIEDAPLMLYLPGFLN >Sspon.01G0027530-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:96394012:96394826:1 gene:Sspon.01G0027530-1P transcript:Sspon.01G0027530-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDTDYHLSAASSGTFLDLHVSSASLLRSRYFAALLSDRWPTAASGRLSLAVVAPPSCPRPFDAHIQVLRLLHTLDFAGAIRSPGDALDLLPVALLLLFDACVEACIRFLEAVPWSEDEEARVIDLARLLPADEAADLLARVSPPPSPQVLLPLRGSPSEAMLHGLIHSAIHGHPVHAATKAFVAMLLKDYPSRDCVHKVLDEAFQSRLDTVKELMGKYASPDFRVAVDSDEREAIQRLNLQSAVLNVKHLYWLIERMVDLRWRIMR >Sspon.02G0003450-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:10201137:10204311:1 gene:Sspon.02G0003450-1P transcript:Sspon.02G0003450-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPSIACPVKCCPHPRLAPQPPAPSLELLAARGAPAAGELRACRSPPYLSLSRSVDSFEPLAPCRGSASARRARAAVALAGDGRGGPSAAAALIASAQSRHAIFRDGLVRRAFAAAEAAHRGQVRASGDPYLQHCVETAALLAELGAGPAVVAAGLLHDTVDDAGLGYGFISEHFGAGVADLVKGVSNLSHLSKLARRNDTASRIDEADRLRIVFLAMEDARAVLIKLADRLHNMRTLDSLPKTKQQSFAKETLEIFAPLANQLGILNWKEQLENLCFKYLYPDKFDELSTNLIEFYNRDMIAAATRRLEQALQVRGLSYYAIYGRHKSMYSIYSKMARKKLAMDEIYDIHGVRVILENKADCFATYQSLHTVVLTEETLPLEIQIRTRDMHLQAEFGIAAHWRYKEGARSCSVSVSEMVEWVRWVVTWHCQTLKTDYPSSLAHDASSKETHSIPSHSDACLLSYSKQCDHKGPVLVILLENEKLSVKEIPQNWTILDLLNRSSNFGMPLRLRLNCHVVHNWNQELKMGDVLELIPSTPCKCR >Sspon.05G0019750-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:79706752:79709384:-1 gene:Sspon.05G0019750-4D transcript:Sspon.05G0019750-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRATHGVTAGAWYFEVKIVHLGPTGHTRLGWATNRADIETPVGYDAYGFGYRDVDGAKVTKAWRDKYADEGYREGDVLGFYISLPNGEQYEPKQHNNGMPLHVQVPKKAQKTPDPVPASYNSQGSEICYFKNGVCQGSAFKDIPGGRYYPAASMYTEPGEPNCIIKFNFGPNFEFLPQDFGGLPIPQPMSLVPHQAYEVKNEGTTENAIAGSGNKSSQGN >Sspon.03G0025050-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:94328683:94329462:-1 gene:Sspon.03G0025050-3C transcript:Sspon.03G0025050-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPGRSHSNQPPPSDPPQPSASSSLPPLPAHAYGDYDPAGATDELPRRPPHHAPLPPPHPLRRASSSPCSSALASCLVATAFLLLSAGGAGAALFLLFRPRPPDISVAAVRLPSFSASNGTVAFTFEQTAAVRNPNRSPLAHFDSSLRVAYAGGDLGAVYIPAGLIDGGRTKHVSAVFDVPAIPVAQPTMVVAGAGAGADAASAAQQQSQPAAVIEVHSLLVVKGRVNVLRVLTHRVQAAKLCRVGVSPLDGTVLGFRC >Sspon.04G0013870-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:54838576:54839992:-1 gene:Sspon.04G0013870-2C transcript:Sspon.04G0013870-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AKSGESTVEVASSSSSPLTPASASATRPKAKHRMEIEGYPVDGVSIGGQETCVIFPTLSLAFDIGRCPQRAVSQEFLFVSHGHLDHIGGLPMYVATRGLFRLRPPTIFVPACLRDLVERLFEVHRAIDQSELNHNLVPLEVGEEYEFRRDLKVRAFRTYHTIPSQVYVKYSVKQKLKQEFIGLPGSEIKRLKLSGVEVTNTVSTPEIAFTGDTTADFILDPDNADVLLAKILVVEVTFLDDSISVEHAREYGHTHLSEVLPRSWLLETQIASQSNKLGNKAILLIHFSARYTTEV >Sspon.08G0028930-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8D:19287013:19290086:-1 gene:Sspon.08G0028930-1D transcript:Sspon.08G0028930-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRRTRSAFGHCDRATRPPRSTSVVRSQRSLTPPQRSSDDRTHRSMTERSRATSGPMHVLAIEPTTGHIAGQRLIISREVQRGPNDDRTRQVDRDLMHAQRPSTWALCAHNYVVLAVLCLCVPTPCPCAPTRCPCHPTPSGRVQVEADAQLVLAFPLLSSLSFLPHSLPRSPCLPCTGRAEPSSSRPSAAASPCRGRRCWLLPASRAGAGCGHGQGRVGPPCPSFSLAAAVPCLTASGLSSAQVNGATAFLSSRWTSPAPRRPRDSTPPPAHPTRSIRADPRRRCACSARVHHGRVMLPLELGRHRPRHPPHVCAAPLRSNPRPRAAMRRCQVEKRIKACHAHARRRRQPGRAVGVARQAASERTGEAWPGFATHSP >Sspon.07G0017370-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:81643459:81645693:1 gene:Sspon.07G0017370-2C transcript:Sspon.07G0017370-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASYKFGPYKIDAREREVKRFADLSSDEISDLWVTAKEVGARLEQYHKASSLTFAIQDGPQAGQTVAHVHIHLIPRKKGDFEKNDEIYGAIDVKEKELKEKLDLDIERKDRTMEEMAHEANEYLQLRLRTDIVMVSSSG >Sspon.05G0019040-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:80717081:80721692:-1 gene:Sspon.05G0019040-4D transcript:Sspon.05G0019040-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEYAQMDCEASMEHLLERMLLDPSAEPTNLPLSLLKAITNDFSDHRKIGSGGSADVYKGELQNGTVIAVKKLFHNLDMDDEKFIKEVGCLMKAKHKNVVRFLGYCSDTQGKILNYEGKMILAEERQRLLCFEFLPNGSLDKYITDISEGLEWRTRYKIVKGICEGLHYLHQDIHMVHSDLKPANILLDENMVPKIADFGLARCFDGKQSKTITSKVMGSLGYLAPESYDGVITFKSDIYSLGIITMEILTGRKGYCDIQNVLQSWSIRFKKSTQEDIWLQYVRVCLEIGRQCIDRNPAERPHTLYIIERLDQMERTCGFIETDIRASSATQVLIL >Sspon.04G0025560-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:27770531:27778067:-1 gene:Sspon.04G0025560-1B transcript:Sspon.04G0025560-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GRPSMPTSGQSEVHIDNAQSRLNTWIVNDYSYSAHANKKHKFETKDFTSMNVQKFIKGMPWTKEQLQPRKPY >Sspon.05G0027550-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:48993709:48998147:-1 gene:Sspon.05G0027550-2C transcript:Sspon.05G0027550-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DVVAAKIDPKLANTLIRQLSQAGNAAIIVDPSSMQIIAKATDQTHKHDMPVEGNAFSEVKADVTCSLNEATDNDSNLSLPGSFLPKRNSLNMEISCINPWGWMRKRTCEQKPFPCGGCFAWHPLRHAPMVAIENAAERDRTTFPSSTPITKPDSNGNPENCCDNEPAKRLKTDTKDNEQPASESFCGDLSETSRPYLCTGFDIYLVWEPCTMCAMALVHHRFKRVFYAFPNPVTGALGGVYRLHGERSLNHHYNMLN >Sspon.03G0018600-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:87136466:87143164:1 gene:Sspon.03G0018600-2B transcript:Sspon.03G0018600-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEAPPSASSSSSSAPRPPAAAASGSGGGAAGSAESYIGSLISLTSKSEIRYEGVLYNINTEESSIGLRNVRSFGTEGRKKDGMQIPASDKVYEYILFRGTDIKDLQVKSSPPLPPPPQAASLHNDPAIIQSHYSQPASTSSSLPSAGGAVLPDLSSHAVQYGLQRPTFQSNLPLYQPGNAPWGSSVAPPAGNAPWGSSVAPPAGNASTLSVPSMYWQGYYAPLSGLPPHLQQPPLLQPTPGLSVPQNLQYPGLNPLPSGPQKLSELQPSLMPPITSQGPSSGILPATTAPASATLLAPESSKPLLLNMGSLFTPPATSLGATFPFPSQPTSVAETSAIVSQNLTSFGSNKATALPGSTLAYQTVSQSVSSTIAPASSAQVEMPVPLLAPSGQLLQNTSSVLSSSHSMQAPLQVASKEVKPVEPKVKVAEPLLPDPLLPDPPSRALPENKEPILPLPKQTPQKYNGSGSHNHHNFRGRGRGRGSAFSQSVTAFTEEFDFTAMNEKFNKDEVWGHLGKKSQSRDKDGEVRDDVFDEDLEVEETDNPELAVKPVYVKDDFFDSLSSGTFGRGGPNGRGRPSERRRVDTETFGEFPRHRQPYRGGARGYRGGGRSRGSYYGGRGYGNTGTGGYGNTGTSGYGNTGTGGPGNSYPHRGYGRD >Sspon.07G0010680-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7A:34758995:34760367:1 gene:Sspon.07G0010680-1A transcript:Sspon.07G0010680-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQYAETTRERTQEDKIKNARIDDWLPITSSRTAKWYYSAFHNVTAMVGAGVLGLPFAMSQLGWGLGTVVIVMSFVITLYTLWQMVEMHEMIPGKRFDRYHELGQHAFGERLGLWIIVPQQLIVEVGTDIVYMVTGGQCLRKFHDLVCRGRCKDIRLTYWIIIFGSVHFPLSQFPNFNSISAVSAAAAVMSLTYSMIAFVTSVVKGAEEATAGAIDYGLRATSTSGRVFGVLNGLGAVAFAYAGHNVVLEIQATIPSTPEKPSKKPMWLGVVVAYAIVALCYFCVAFAGYYAFGNSVEPNVLISLEKPRWLIAAANLMVVVHVVGSYQVYAMPVFDMIETVLVKKHEFTPGIRLRLIARSAYVAATMFVGMTFPFFDGLLGFFGGFGFAPTTYY >Sspon.01G0004720-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:96268292:96271013:-1 gene:Sspon.01G0004720-2P transcript:Sspon.01G0004720-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding IEELQRLLKDKEAYNAFFNSLDQVKTQNNVRDELRKETLQLARENLEKEQRILELRNQCTIIRTSELAAAQDRLTDLERQKDDIMRSYSPAALLDKLQTSMAKLDEESEELHQKFLEKDIDLATFVHKYKKLRTAYHKQALLHLAGQTSLR >Sspon.05G0010360-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:29556449:29560342:1 gene:Sspon.05G0010360-1A transcript:Sspon.05G0010360-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VFRGMEIGSVVTVASVGYSRCVLEAEGSIYYLPADYRFGANEEEVGRVTECRGEVGRLNVVGGAEIGPLRCWPGGLCLSRSIGDQDVGEFIIPVPYVKQIKLSNAGGRLIISGDGVWDALTAEIAFRWLPPEAAAEQIVKEAVESKGLRDDTTCIVIDIIPPEKPKCTKESTKTPGKGLRLTHCLSLIRILILSQIWWRKSLRTDAHPFQGGLILNILSEICSNFLHVQFVKLTWNLVKAYPYTRVCQSQESCVPGMALSFVTVARKRKRRWRGSVTHEIPRQEIAGQVNSD >Sspon.01G0045820-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:93067492:93070744:-1 gene:Sspon.01G0045820-1B transcript:Sspon.01G0045820-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSPYASPFLLSLLLLLSIPVVFLLAPRLLPPKTLPAIPDADESDDLALFRRAILSSSSATSTPPTSAASYFFRRRPAPKIAFLFLTNSDLVFSPLWEKFFRGHTHQFNLYVHADPYSVLELPPTPSFRGRFVPAKATQRASPTLISAARRLLATALLDDPNNQFFALLSQSCIPLHPFPTLYNALLSDNAGPHSRHRSFIEIMDNMDNDTKLLHDRYYARGDDVMLPEVPYDQFRAGSQFFVLTRRHAIMVVRDMRLWKKFKLPCLIERRDSCYPEEHYFPTLLDMQDPEGCTKYTLTRVNWTDSVAGHPHMYGPGEISASLIRELRKSNMTHSYMFARKFSPECLEPLMEIADSVILPFQR >Sspon.02G0001480-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:5068612:5071512:-1 gene:Sspon.02G0001480-1A transcript:Sspon.02G0001480-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSTVKLAVLALLLAAAAHHGLLPLPTARCYWLNPEIYDAGGLSRRAFPEGFVFGTAASAYQVEGMAKRGGRGPSIWDAFIEVPGTIPNNATADVTVDEYHRYKEDVNIMKNMGFDAYRFSISWSRIFPNGTGKVNQEGVDYYNRLIDYMLQQGITPYANLYHYDLPLALHEQYLGWLSPKIVEAFADYAEFCFQTFGDRVKNWFTFNEPRCVAALGYDNGLHAPGRCSECAAGGDSTTEPYLAAHHLILSHAAAVRRYRDKYQLYQKGRIGILLDFVWYEPFSDSNADQAAAQRARDFHLGWFLDPIINGRYPYSMQEIVKDRLPLFSDEESRMVKGSIDYVGINHYTSFYMKDPGTWNLTPVSYQDDWHVGFVYERNGVPIGAHANSYWLYIVPWGINRAVNYVKETYENPTMILAENGMDQAGDVSITQGVHDTVRIRYYRDYITELKKAIDGGARVIGYFAWSLLDNFEWRLGYTSRFGLVYVDYKTLKRYPKDSAFWFKHMLSKKRS >Sspon.01G0013920-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:40113983:40116617:1 gene:Sspon.01G0013920-2C transcript:Sspon.01G0013920-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKVFVVLPAEFKAGQSTLSWVLDHFDGSRTTVVITHVHVPPQMIPVMGVKFHSSKLSPEQVKLFRRIEHEKVDKQLDGYVHQCLKMKVKCEKLIFEKEDVVAGLVELIVLHKVTKLIISGAADRQYSRGEEEEIAPSATPFLPDFDHQALQLVPYQKEDDVKSELGLYDELKEACIAAENLMKRALNESSRRQKADGEAKEYQELYLEETRKREELEGALARAHREIARLRKTNQVPVDEQNTATDELQEAMSERSSLEGHEVDVDAVFGTAGQVTEAQKEHVQVQIDLGTGERDLELEIQALLNRSKLAAFSPSSVIESPYDEDRVPSYFLCPILQEPMRDPHVAADGFTYEGDAIRGWLDAGNDASPVTGQPLRTGSWLRISRLVP >Sspon.01G0037460-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:89338232:89339284:1 gene:Sspon.01G0037460-2D transcript:Sspon.01G0037460-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLLFCLVLLLCAGHQIAATAAASPSTIHVPKTYSSAAAFDFITLPDGVNGAESLAFDRRSQGPYAGVSDGRVLRWGGSARGWTTFAYSTSYVHNPSCKASPARPGDAEDVCGRPLGLQFNVKTGDLYIADAYHGLLKVGPAGGEAKVLAAKADGGAFTFVNGVDVDQSTGDVYFTDSSTLYTRRHNTQILLNRDSSGRLMKYDARAKRVIVLKDALPYPNGVAVSADRTHVVVAHTGPCQLFRYWLKGPKAGTYELFADLPGYPDNIRRDSRGGYWIALNREKIDGADAAAGKHIVGVRLDAKGVQREEMTADDKRVTLSDIAEKDGKLWLGSVELDYVVVVDQKLLN >Sspon.01G0032460-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:112978096:112980553:-1 gene:Sspon.01G0032460-3D transcript:Sspon.01G0032460-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSAENDPTTVTERGKDKHEDGDKEQGSGGGFIEKVKDFIHDIGEKIEEAVGFGKPTADVSGIHIPHISLHRADLVVDVLIKNPNPVPIPLVDIDYLIDSDGRKLVSGLIPDAGTIHAHGQETVKIPVSLVFDDIKSTYKDIQPGSIIPYLVRVVLLVDVPIIGRIKIPIQKDGEIPVPYKPDVDVEKIKFHHFSFEETTATLHLKLENKNDFDLGLNLLEYEMWLGDDSIASAELTQTAKIEKQGITRMQVPFSFRPKDFGSAVWDMIRGRGTGYTIKGKIDDWSAAVTFHTKCSKAEKDAEIVIHLIPLALVKLVP >Sspon.04G0018140-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:74522002:74523820:1 gene:Sspon.04G0018140-2D transcript:Sspon.04G0018140-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSTLGGLLQISACLPVIVHTTRPYFERSRRGQGPVYLWVRGHGKQPRASSCMELERSSLLQFLSELSQDAGLTKLWQGTECCKWEGITCNQNGTVSSVSLPYRGLQGHISQSLGNLTDLQYLNLSYNSLLVSSSRIIVLDVSFNQLSGDLIELPASTLGQPLQWDSPP >Sspon.04G0026690-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:49080413:49081654:1 gene:Sspon.04G0026690-1B transcript:Sspon.04G0026690-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIERPPQPPPVATAVAAAAAISTVLGDDDLLREIIVRLGLPTSLLRAALVCRRWLRHASDPAFLRRFRDLHPPRLLGAYLSTSAGPAPRLRFLPIRPVPELAAAARRAGSFFDAFKGSSAAIYDSRGGRLLVTTFDDRHDSTHQVCRPLSPGGDTTVVPPPPPPPPIQLNNDEECLIYHYGEFLRDDGDGRSYFCVVMGFSELQTTVYLYELHDINWVVKASAAAQLALLPPKSRVMLFDSANFYMLSTTNRILVCDFPSSSISVMELPNGVENKPGGCIMLSRGGSFGIFLIYVKESQLHIFHHRMGANDPDNWSLVDSVSLRQVCANLDMARWPSVDGPGVKLCAVGDNAEFVLLEIFGTIVFLDITSRQAEKVYELTPEDKELVSVRPLMLIWPPVFPELKEAYYDQKD >Sspon.08G0014710-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:59882562:59886330:-1 gene:Sspon.08G0014710-1A transcript:Sspon.08G0014710-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GPPSLPTGPSGLEYPAESHGCRDADGNGQPNATATSPGQTCPLRRPSAPCRAARVSCLGRRVPGPVNNQPHAGTDSGAVFVPCRPPPSTTPPREGMVASTACSLPHGLFAQASRSYNSRFLRLSALSRTGLGPACFSSSGSGSPSGLKSAGNLYLHPVSRGSTVVCSVMDTPKSKREPDHLLILVHGIMASPKDWTYGKAVLKRRLGDNFFIYASSSNNYTKTFDGIDIAGRRLANEVLDVVNKMSSLRKISFLAHSLGGLFARYAIAVLHSVETKNAGQSSALIVPTTRGSAKSRCTSGLGSIAGLQPINFITLATPHLGARGRNQLPFLQGLSILEKLAAPLAPLIVGRTGAQLFLTDGDPSKPPLLLQMASDCDDKKYILALAAFKNRVLHGRLANIFIEERKGSCKEGPHFPSKAARAKEAAQRTPNTENTEEYHQMMEEEMIHGLQKVGWKKVDVNFHSSFWPYSAHNNIHVKNEWLHNAGAGVIAHVADSIKQQESRPCLPANL >Sspon.01G0049620-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:96261529:96264482:1 gene:Sspon.01G0049620-2D transcript:Sspon.01G0049620-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LVASLLLCTHTKRAPLLPRIAPRKREIAAPLLFVASTGVGARDLAAVPRDLVTDLSDKMVKICCIGAGYVGGPTMAVIALKCPDIEVVVVDISKPRIEAWNSDTLPIYEPGLDDVVKQCRGKNLFFSTDVEKHVAEADIIFVSVNTPTKTRGLGAGKAADLTYWESAARMIADVSKSDKIVVEKSTVPVKTAEAIEKILTHNSKGINYQILSNPEFLAEGTAIEDLFKPDRVLIGGRETPEGRKAVQALKDVYAHWVPEDRILTTNLWSAELSKLAANAFLAQRISSVNAISALCEATGANVSEVAYAVGKDTRIGPKFLNASVGFGGSCFQKDILNLVYICECNGLPEVANYWKQVIKINDYQKSRFVNRVVSSMFNTVAGKKIAVLGFAFKKDTGDTRETPAIDVCKGLLGDKAQISIYDPQVTEDQIQRDLAMNKFDWDHPMHLQPTSPTAVKQVSCVWDAYEATKGAHGLCILTEWDEFKTLDYQKIFDNMQKPAFVFDGRNIVDAEKLREIGFIVYSIGKPLDAWLKDMPAVA >Sspon.02G0024250-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2B:84711365:84711900:1 gene:Sspon.02G0024250-2B transcript:Sspon.02G0024250-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding EPGDAEGRGDCAFLVVVGVDSASLNLNGDYGRAMLYVEDAALGPRDAAFLAPLLESPIAAAILPAPRASTSRVLAPALQRFITAALLPAGSDDHVRVRAREADTVAGGAAPNRSQLALAAATTTTGAKGGGFPFSVGHRDAHEPDDAEGGGDRAFLVVVGVDSAHAALNLNGDYGGAM >Sspon.01G0022520-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:82187663:82193806:-1 gene:Sspon.01G0022520-1A transcript:Sspon.01G0022520-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPPRLIRAEEKLRPKPSEATVKLARRFQTTVLSLLINSDHSEVSDAIKYFHELQVDVGVVYLLLPTVYGKIDWCGIKFSTSSVYDDVTDKNPRHCHSCKDADILQTMDDPCCRCILQNSVVYAPCDRKFYNITELDLNANQSLDLNDRSAVSCKRDTFKMIDDLENSGRSFSGPSHGWEPGIGLPEDLADLFEAIAGAIYVDSRNDKEVVWRAMRRLLEPLATPKTMKLDPVSELKELCERKNYPKPSYSPTRDDVAGVTRVVAKVKAAGTVYSETGEGRNQDVAEVLAAKDLLKKLKAAARG >Sspon.04G0006930-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:20873931:20888633:-1 gene:Sspon.04G0006930-3D transcript:Sspon.04G0006930-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Anaphase-promoting complex subunit 8 [Source:Projected from Arabidopsis thaliana (AT3G48150) UniProtKB/Swiss-Prot;Acc:Q9STS3] MASARETYRAELRAAARQLGERCLYSAAKWAAELLVGVEPDAAPVPSAAMDTPSSSSATSAGRLLHLHRSGGSIFRHRPRLSGGTSSEAGTPLGGVSYVSTPIPDDDAFDSGGDKYLLAKTYFDCREYRRAAHVLQKQVGRKTVFLRCYALYMAGEKRKEAEMIELEGSLGKSNAVNQELVALEKELSTHRRTGSIDSFGLYLYGIVLRDKGCEGLARTILVESVNSYPWNWSAWSELQSLCTSSDILNNLNLKNHWMKDFFLASAYLELKMHEEALKRYERLMGVFRCSGYIQAQIATVQYSMRDLDEAETIFEDLLRTDPFRVDSMDIYSNLLYAKESLTALSFLAHRVFLTDKYRPESCCIIANYYSLKGQHEKSVLYFQRALKLNRKYLSAWTLMGHEYVELKNTPAAIDAYRRAVDINPRDFRAWYGLGQIYEMMGMPFYALHYFRKSSYLQPNDARLWIAMAQCYESDPLQMIEEAIKCYERAANSNDTEGIALHRLAKLHGMLGQSEEAAFYYKKDLERMEVVEERQGQNFVEALLFLAKHYKSIGRFEEAEHYCTRLLDYTGPEKETAKNMLQGIKRLQSGFPSMDTDHFALVMLIVCILAMRLHTAGYDDLLRMMLASSSWSLATRRTRRLHGAKMSVIGMSQIPNANISIAALSVRALNLCGFGFLHRTTRFSVHSKYLLSTMFGRMPRKSSNNTKYYEVLGVSKTASQDELKKAYRKAAIKNHPDKGGDPEKFKELSQAYDVLSDPEKREIYDQYGEDALKEGMGGGSSSDFHSPFDIFEQLFPGSSTFGGEIISDKDKCPSCKGSKVVQEKKVLEVHVEKGMQHGQKIVFQGQADEAPDTVTGDIVFVLQLKDHPKFKRKYDDLYVEHTISLTEALCGFQFVLTHLDGRQLLIKSNPGEVIKPGQHKAINDEGMPQHGRPFMKGRLFVEFNVEFPEAGALSSAQCRSLEKILPPKPGSQLSDMELDQCEETTLHDVNIEEEMRRRQQQKRQEAYDEDEEEAGPRVQCAQQ >Sspon.05G0015600-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:46252323:46260120:-1 gene:Sspon.05G0015600-1P transcript:Sspon.05G0015600-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGALTSTELNFLVFRYLQESGFIHAAFTLGYEAGIHKGGIDGNAVPPGALITIVQKGLQYIELEANNDENDDEVERDFALLEPLEIITKDVEELQQIVKKRKMERSQTEREKDKGKEKERNEEHERRPGGERERERHDKEKEQVREKDKSEKDRDHDKEKEKEKERERQHAERIDKVKHEDDSLAGGGPTPMDVSTTAQEISNTDVTVLEGHSSEVFACAWSPTGSLLASGSGDSTARIWTIPDGPCGSNMQSSPPGVHVLKHFKGRTNEKSKDVTTLDWNVSFLLLVKVHYWLQAPMMDRQEYGVEMVSEQLF >Sspon.03G0004170-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:10505946:10506230:-1 gene:Sspon.03G0004170-1A transcript:Sspon.03G0004170-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LTSSPYLRPTPKAVTPRPISSTSFLVYPNGACTRTRSNPARSVNFGRVFVLRGCLQFQECFVHLPSLVSGIGSHPCCSPRCCSVARSASSASPI >Sspon.04G0007560-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:21270578:21273687:1 gene:Sspon.04G0007560-3C transcript:Sspon.04G0007560-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASAAAHNRSAGSRTAYARAELEALRDAPSKEAHARLWADVRAALAASGFSGEYDGLLVAEEDVRSRRGNRGIKAMGVRKWPEEAAAARFLGVAEICAHRNGDLAVCHEHRSESAPDHAGACGVVEEPFHQGEDVEYEDDSDDDYEGILKPAFAVDGDPDFESGEPLDGFEYLRRVRLEANQIPRVKVAKIYSSAARNEQTRYMPDIPDIPKCVPDLRASKQWEDTFITQFVETRMVLSELDNSDEPSASSAAKISTKPGSRSEPQTEPTLTMIRNKDAVSRAATLRNYIDMIQSLDTLSRNNCLWLFALCVAIHTPLDADTCASLRSLLRKCASILATKTEMDDEVIMLNILMTISGRYFGQGENSN >Sspon.06G0014110-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:74132187:74136589:1 gene:Sspon.06G0014110-1A transcript:Sspon.06G0014110-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SMQKALQQNFRKYMTFKKDYNELLLLLLRALVKDALHFEEIMSGSTSRLTHVEVKCQSGGPEEQEYEIYDLKPFFSGAHIRDNSFVLDEGGDDPGACSETRCTGRVVSKPGREIQMRHALFSFLCAPKSISFTPSMRLRPSQASRRSAPKMANAAARAEVNDAAAMSWGLGWKRSSEMFQLTLNYEDYDDNDDRPSSSPSPPASSSPQSQLMVALHRCPPPHDAVSVDLTQTC >Sspon.07G0036840-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:43402246:43403623:-1 gene:Sspon.07G0036840-1D transcript:Sspon.07G0036840-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSGYQRCHAMERVVDSVRIPCPHAPYGCETRTAYHAREEHLLECPHAPCHCPDDACGFVGSVATLATHGWPCTAEVCADKPFGVDLRIGFNFLTAVRGSTQYLLLLNMASTPFGHTISAVWISSLATVAISSSAPATSTTCELELYFMQFKHRGHYQKYRFQVECMCPSNGLPDPNASSSSSSSQSKVNPF >Sspon.01G0029380-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:102236605:102239903:1 gene:Sspon.01G0029380-1P transcript:Sspon.01G0029380-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISTFHVIDPQNISFHFLIPEGGNDKSSYHKLKVLLPDSDLTVTSQKQIKDKLNVATPEGNFLWLFHMELSPFLIAKSQLSKKRYVYITADSIIKGKVEDLGRMDLGSYAIGAGVDCSKRLNDYISMDVLSAIQRAGAAQSWVPKEPYNKDACLLDFDVLLIEPRKLEKNLVASIMWWVNAINLANQRDQIRLAVTLAFNDKYMKLPSNWKRTDGDTDILNFDGPKNVCSEDGRQHEQSGYGCPITTPIWADIDHYERVVVVNEAAEDVLVLESNDMRINPEWNFNLLRFQQTCNSCSAMFNAADLTIKQIWLIAFQD >Sspon.01G0040210-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:39308107:39309138:-1 gene:Sspon.01G0040210-1B transcript:Sspon.01G0040210-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEVSDLAARLGYSRQGSQIPPARPFLSPTGPGMRGLPQCSGLGGAWAPVCGVLATCEAACSLMAMLRNRQWRGEQDPAARSVLKQRLPVDGGTTGDGGARSGSCAGNGLTDRLGNRLAGGLEDFFYRLAEMGILKSPPPLND >Sspon.03G0032350-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3B:37114452:37116009:1 gene:Sspon.03G0032350-1B transcript:Sspon.03G0032350-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDHLVGLVKVRVLRGVNLAIRDLRSSDPYVVVRIGKQVRSRLLLPSFSFPQVIEVALIYWWVLSGERSVEFRSNRSPPGLPQLFGFICFPCLEFGTPYEVDCPFFSHMRAQNRDYFFNNPQKLKTRVVRKSTNPEWNEELTLSIEDPAVPVRLEVYDKDTFVDDTMGNAEVDIRPLVEVVKMKLQDVADKTIVKKLVPNRQNCLAEESSIYVAEGKVKQDLVLRLRNVESGEIELQLQWVDLPGSRGV >Sspon.03G0012970-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:36362622:36367208:-1 gene:Sspon.03G0012970-1A transcript:Sspon.03G0012970-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEFLVIALLLCLLIDGAYRAMAWDDADFFSNCPPSRCSKHGPEIRYPFRLESSNTSSLCGAPRMKLGCSGDDTILVLPTAVTQYKVTAIDYRRGTLTLAPRVDDSSSSCKQKLMSVSLPRSIIKCEDSSSPCSLLLCDPGYATIVSCLREFTPSNLAANYIFGPISCLSSASQFSYLVDGSASMSVLPLDCKVVPDTYFLMSTPTGGSTFKEQAETILDFSGSATIIWYHYDVGHAYNCTQCEQGSHVKVIAATSSVATIVVLLLMVATGLYLSLKTRYNEEIHLKVEMFLKTYGTSKPTRYSFSEVKKITRRFKEKVGQGGFGSVYKGELPNGVPVAVKMLENSTGEGEEFINEVATIGLIHHANIVRLLGFCSEGTRRALIYEYMPNESLEKYIFSRDSSSSQELLVPKKMVDIALGIARGMEYLHQGCNKRILHFDIKPHNILLDFNFNPKISDFGLAKLCARDQSIVTLTAARGTMGYIAPELYSRNFGGVSYKSDVYSFGMLVLEMVSGRRNSDPSVDSQNEVYLPEWIFERVITGQDLVLSREMTGEENEKVRQLAIVALWCIQWNPRNRPSMTKVVNMLTGRLENLQMPPKPFVSSESHEGP >Sspon.01G0011910-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1B:39713321:39713504:-1 gene:Sspon.01G0011910-2B transcript:Sspon.01G0011910-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARYLVAALLRSGEWRVRVTDLTPTLVLGPGETDEILSDALRDGRAVYASVDVCNLEQLIK >Sspon.08G0023730-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:57789247:57792852:-1 gene:Sspon.08G0023730-1B transcript:Sspon.08G0023730-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMTMATVVTECSDAGELQFSIVSAFVEETQLRLYSLYKIATEGPCNAPQPSALKLKASAKWLVLAKKLFSAQAADGYYARGVGTGTQQRAAARWQAATGGDRRADLARRPPQDEKLLTPAMLVQQVRKVRGIYSGPWTWRSSDVFLHPSYNKLSRPSVASRMKSCHPSPRLQATIQRLLLDPQENLKASTNYKETSPSKTAKYISEYTLHVSFEYISL >Sspon.08G0004450-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:12786877:12789105:1 gene:Sspon.08G0004450-1P transcript:Sspon.08G0004450-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHRLAHTRVCHCFILPTSRALSNAPAPAPTPPAAAPLSAADLEQLLRRCHYSASTHRFHSLLPLLSHPSVLLSSALHLSRRAHPSLPTPPQPPPITIAAAAAAFSSPSSHLRLLLPSRLKGQPLPVPTLPLRLALRCAASVLDAVFAPRAATFAYRGRHAAVRYLKSIPSASWFFRVAIPRQPFAPRHVRRLLGAISSKVDDPGFLEFLNELFVSDAVAFELGSCELGRGLPQESELTGTLVNIFFNLVDGEIMAIREEVHKKHPRMKDDSVRHTPVRVYAIRYLDDILVVTSGSKMLTIEIRDRIIAALERDLEVRADRLGSSIHSAVSEKIEFLGIEFQAVPPSVLHPPMSEKAKRARKKYLKMKAEKAQELKNARETRRKKLGLKILNHLFKRMRRGEEFEFDFRIEKEVQQVFRDWAEETVAEYFESREHCQYWHRLLASGDFLSLNRVRDQLPPALVDSYDKLQETIDKFLMPMGGHDMTEQEERLAEEEEEKQYEKRTVEDLTELKMRANVPIDLVRKTVKLAGFTNSMGQPRPIKLLLCLDDADIIKWYAGIGRRWLDFFCCCRNFKMVKTVVTYHLRFSCFLTLAEKHECTKRQAISHFTKDLKVANDDGMAEVHFPTEREIKMMGDKNLSDPKPVDGALTMVLVRLAVDGTSYPCLAHFCAKTETVLYRIRLLQNRLNIDPLNEKKWVHGLGAIHESLNKKCLPLCSMHASDLFLGKITLQDIDCTQFVDVE >Sspon.01G0052460-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:22464819:22467042:1 gene:Sspon.01G0052460-1C transcript:Sspon.01G0052460-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MYTARKKIQKEKGLEPSEFEDSVAQAFFDLENGNQELKSDLKDLYINNAIQMDVAGNRKAVVIHVPYRLRKAFRKIHVRLVRELEKKFSGKDVVIVATRRIVRPPKKGSAVQRPRTRTLTAVHDGILEDVVYPAEIVGKRVRYRLDGAKIIKIFLDPKERNNTEYKLETYTAVYRRLCGKEVVFEYPMTENA >Sspon.03G0035170-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:42112120:42114173:-1 gene:Sspon.03G0035170-1T transcript:Sspon.03G0035170-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTQCIIDHQALPRLLNLLTQNHKKSIKKEACWTISNITAGNKDQIQAVISAGIIGPLLQLLQTAEFDIKKEAAWAISNATSGGSHEQIKYLVAEGCIKPLCDLLVCPDPRIVTVCLEGLENILKVGEHDKTMGGATADGNLFAQMIDEAEGLEKIENLQSHDNNEIYEKAVKLLEAYWMEEEDDAMATAGEAAPAVFDFSQGGNPPAGGGLNFN >Sspon.06G0003400-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:9019438:9033887:1 gene:Sspon.06G0003400-3C transcript:Sspon.06G0003400-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPLLERMLTALALWCLVLNTREAEACIVAEMDALVAFNVSIKDPHERLSSWKGENCCNWSGVRCSKKTGHVVQLDLGKYNLEGEINPSLAGLTNLVYLNLSQSNFSGVNIPEFMGSFKMLRYLDLSHAGFSGAVPPQLGNLSRLTYLDLSSSSFPVITVDSFHWVSKLTFLRYLDLSWLDLTASMDWLQAVNMLPLLEVIHLNDAYLPVTNLNCLPQVNFTTLKNLSSISELDLSSCGLYDRIPGELGKLTSLKFLALADNKLKVRTQYLEMCQPAWARPQFPAWLQSQTRIEMIDLGSAGIRGVLPDWIWTFSSSITSLDVSTNNISGKLPASLEQVKMMTTLNMRYNQLEGSIPDLPTGLRVLDLSHNYLSGSLPQSFRDNVLYYLLLSNNFLSEEIPTDLCNMVWMEVIDLSSNHLSGVLPDCWNKNSNLYMIDFSSNKFWGEIPSTLGSLNSLITLHLGKNDLSGTLPTSLQSLNRVVLLDLGENNLSGNIPKWIGVSLQTLQFLNLRSNQFSGEIPEELSQLHALQYLDFGDNKLSGPVPHFLGNLTGYFGDPYVVSDASPFIEFMVYGVGGAYFSVYMDALQLTWKGQTYHYSRILFMLNIIDLSQNQLTGEIPSGLGFLTKLLVLNLSRNCIEGSIPGEFGRLTNLESLDLSWNNLSGPIPQSLTSLYSLLYLNLSYNDLSGKIPSGVVFDSFDGDSYLGNVNLCGAPLSTICLPNNSKHYPRKNKWKHLDTLTYMFTLLGFATGFSIVCMTLISSAAARKAYFQFADGILDKLHAATDMKLHINRMLAGRDLSLPTGSQISITRYNFGGPSTAV >Sspon.05G0010430-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:25215025:25218285:-1 gene:Sspon.05G0010430-2B transcript:Sspon.05G0010430-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLNKEHLYIFLFLSSPHSKIKGISERAAPQLERAAPSTLAICRACLSLRTERQSHLTPLRPPLPDSRARSRAPMADASPLLPPAPGKSPATAARANDELRSFRACLAWLCVDHSSSLRVAAVGSWAVFLLLAVAAPAAVRLLPPADSPPRPFDGQVQVSLTLAASLAYVSLRALLHRAGGLRRLLYLDSLRRDSEDVQAGYAAQLARSFRVLACFVLPCALAEAAYKAYWYYHAAAAAHGGGSRSRRWWWAAAACCAVEVASWVYRVALFFMVCVLFRVICYLQILRMVGFAREFGRFADVATVLQHHRRIREQLRKISHRYRKFIVCSLVLVSASQFAALLATTRPHAVVNLATAGELALCSINLVAGLLVCLYSAAKITHKTQALTSVAAAWHADATVHAFDNDQENPDPDLPPTSGYLAPANAYRVAAGDESASDDDDDSRSECSSLDDPKYVPFQANNISFQKRQALVTYLENNRAGITVYGFVVDRAWLHALFMIEFSLVMWLLGKTPALFTAVM >Sspon.04G0002320-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:51043538:51045643:-1 gene:Sspon.04G0002320-2P transcript:Sspon.04G0002320-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNMALHVYDDIMRMTENLSEKYIIGYGASSTVYKCVLKNCKPVAIKKLYAHYPHSLKEFETELETVGSIKHRNLVSLQGYSLSPVGNLLFYDYMESGSLWDVLHEGSSKKKKLDWETRLRIALGAAQGLAYLHHDCSPRIIHRDVKSKNILLDKDYEAHLTDFGIAKSLCVSKTHTSTYVMGTIGYIDPEYARTSRLNEKSDVYSYGIVLLELLTGKKPVDNECNLHHLILSKTASNEVMDTVDPDIGETCKDLGEVKKLFQLALLCTKRQPSDRPTMHEVVRVLDCLVNPDPPPKPSAHQLGQLPQPSPAVPSYINEYVSLRGTGALSCANSSSTSDAELFLKFGEAISQNME >Sspon.01G0006990-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1C:16741928:16743220:1 gene:Sspon.01G0006990-2C transcript:Sspon.01G0006990-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSAVASGEPWQWRDRSYEPTAYSPPLGLLNLPEDPTPTCSPEGRGGLRHQ >Sspon.06G0028300-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6C:5119642:5119947:-1 gene:Sspon.06G0028300-1C transcript:Sspon.06G0028300-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKGRANKYENRQTAGRSGEPKHERRQGACRWSGADRLERTDRCGAHGSADGDGDSKRADCGPADGNGNLKQADRGPADGDIDSKRADRCTQVDEHRDSKRK >Sspon.01G0034770-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:6368706:6371027:1 gene:Sspon.01G0034770-1P transcript:Sspon.01G0034770-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKRGRGGTAGNKFRMSLGLPVAATVNCADNTGAKNLYIISVKGIKGRLNRLPSACVGDMVMATVKKGKPDLRKKVMPAVIVRQRKPWRRKDGVYMYFEDNAGVIVNPKGEMKGSAITGPIGKECADLWPRIASAANAIV >Sspon.01G0022670-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:86256483:86259372:1 gene:Sspon.01G0022670-2B transcript:Sspon.01G0022670-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Inositol-phosphate phosphatase [Source:Projected from Arabidopsis thaliana (AT3G02870) UniProtKB/Swiss-Prot;Acc:Q9M8S8] MSEEQFLAVAVEAAKSAGEVIRKGFYQTKNVEHKGQVDLVTETDKACEDLVFNHLRKHFPDHKFIGEETSAALGATADLTDDPTWIVDPLDGTTNFVHGFPFVCVSIGLTIGRVPTVGVVFNPIMNELFTAVRGKGAFLNGSPIKASSQDELVKALLVTEVGTKRDKATLDDTTNRINKLLYKIRSIRMCGSLALNMCGVACGRLDLCYEMGFGGPWDVAAGAVILQEAGGLVFDPSGGEFDLMSRRMAGSNSLLKDKFVKELGDTN >Sspon.07G0012710-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:52735763:52736786:1 gene:Sspon.07G0012710-3C transcript:Sspon.07G0012710-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSICNFNGKIAFQDIVNATENFDEKYCIGVGGHGSVFRAELQGGSVFAVKLLHAMEDDYTDEGTFRAEIDVLTKTRHRCIVKLYGYCSHSQCRFLVYDLIERGSLASVLHEEQLARELDWPKRVGIVRDVAQALSYLHHDCDEPIIHRDIKSSNILLDRDFKGYVSDFGMARKLKHISSSSSTIFAGTCGYMAPELSSTMLLTEKCDVYSFGVVVMELAMGKHPGDLLLPFFCQTQQHTKLKDILDKRIVEPKGNEEKDIILLLLVAFGCLQICPKARPTMQ >Sspon.03G0023040-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:89200220:89203606:1 gene:Sspon.03G0023040-2C transcript:Sspon.03G0023040-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SRALGGHRTTAHKMGILQATSSTRDQEPLTTLGEGSNNLQLRAQSPPLLQAVYRCQPPDETGRVRRVQSCFAALGFSGRLTGRSGPVRHRTRRPDPRPVTNPSSTEPPSVTSLGGRERGTQTPLYRLNSTAFANTVLLAAGARGGLVTGTLIADALVVGARVLILEEAGGMPRPDPGDGGGRFNARILPGPCEGKVRGGGAPPQVLIPLYFLSLLSSANAPGVSPPASRLASEHSSPSYRMPPSMRCSLMPSPSTALNLPRLLHGRMDVDLSQIQAAQSSGGVRWPAPEEIDEDSLLSRRAAFASTDGSCDEENPTLAER >Sspon.07G0004590-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:7252140:7254030:1 gene:Sspon.07G0004590-3C transcript:Sspon.07G0004590-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSKRKGGGAGGDKKDLFHVVHKVPAGDSPYVIAKHLQLVEKQPDMAIVWFWKAINSGDRVDSALKDMAVVMKQQDRSEEAIEAIRSFRHLCSKQAQESLDNLLIDLYKIELLKQKLKMIYLGEAFNGKATKKARSHGKKFQGNLGWAYMQQNNFEAAELVYRKAQTIEPDANRACNLGLCLIKQGRHEEARQALEDKMKRWLPVLSSFSAN >Sspon.01G0013310-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:26880957:26881678:-1 gene:Sspon.01G0013310-2B transcript:Sspon.01G0013310-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGSEEKAAAVRVIGGWACPYAIRVFAALKLKGVDYEFLQEPAGRKSDLLLKSNPVYKKIPVLLHHDKPICESMLIIQYIDEVWASTGPAILPTEPYTRANERFWAQYVDNKIAPAFLVLRGFTNGDKDEAAAQVSTALEHLEEAFVKCSQGKHYFGGDRIGFLDLVFGSHLGWFRAVEKISGIKLLEEDKYPEITAWADRFCTHHAVKD >Sspon.01G0042870-2D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1D:57716141:57716485:1 gene:Sspon.01G0042870-2D transcript:Sspon.01G0042870-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLAAVLVAFLALFAVAATAQAPAASPKRAPAPAPPKMAPLPPPPTRAPMASPPSPPTTAPVSAPSADAPATSPIGAGVGDATAPAGASALTPAAAPVTEKSAAASASAASFFV >Sspon.01G0044890-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:86040896:86043392:-1 gene:Sspon.01G0044890-1B transcript:Sspon.01G0044890-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSISLYLLVLISCSENTKNVLLSCAFIHLEKKEFIKQFAEISSINQRILLSGPAGSEIYQETLVKALAKHFGARLLVVDSLLLPGAPSKDPESQKDVGKADKSGDKATAEKFAIYQKHRSSLADTVHFRRPAAPTSSVNADIVGTSTLHSASLPKQESSTATSKSYTFREGDRVRYVGPAQPASLSQRGPSYGYRGRVMLAFEDNGSSKIGVRFDKQIPDGNDLGGLCEEDHGFFCSDFSGGEEVERLAMTELIEVISEENKAGPLIVLLKDVEKSFTGVTESLSSLRSKLELLPSGVLVIGSHTQMDSRKEKVHALFLIN >Sspon.01G0001500-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:4024722:4028436:1 gene:Sspon.01G0001500-3C transcript:Sspon.01G0001500-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASATPRPSLILPRASSHSHSHSQPSAVGLTSDRVAASRRRRGDFVFVVNPSGANGRTGKQWKQLLPLLRTRLADQCNICECITSGPSHAIDVTREAIKDGADAVIAVGGDGTLHEVVNGFFWKGSPVRALDRGPDHSTALGLIPLGTGSDFARTFGWTNDPRDAIDRIVRGAKSKLDIGVMEGPNREPHFFVNVADIHLSAKAGYFASMYKRFGNLCYVFGALRGFWGHNNQDMRIKVNGGEWRTVHKVTALCIGNAKYFGGGMKITPTADPFSGNLEVVILQDFKWYDFLLKLHRLYGGTHLSVNGVSSMRVQSIEAAEVTASGGIFVQSDGEHFGFLPTKFSVLPGAVDFFC >Sspon.08G0007760-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:26484072:26486075:1 gene:Sspon.08G0007760-1A transcript:Sspon.08G0007760-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEVKQKVATEDILEEDDEKRSSENSVPPVILDLNEGFGEGSDEGDAGDDDDGNEEDGDDGGSTSEVEGCRSSSSNNSSTNHTSMSNKDCDMDSSSKGEGSGERTLTVRQYNRSKLPRLRWTPDLHMAFVHAVERLGGQENVVKFLFQLMDRSNAKAGASMMNVRGLSIAHVKSHLQMYRSKKLDHESGGHERAAISSVFSPMDFHMMRRGDHRFHDMFLHRAPGSVISSGRLLHNGELFGSRNAVSPEATSRLYTLLQRRQQPSMQTFDFKNYSSSLRDQEWSFSQHAAAAARAGAINDHGPTKGLIHDMFLRKNGRVTSHLFDVRDAIASNRTSSDAAGAANHGGRVVRSTDWDGTSSGPPLSRTMSAAASTGLELGSHQLLSRGRGSGNVASSDPVVTSEALGSRLQTFLEPSKVIGEMCAGTRTTKRMKTPMEGNGGTPDLQLSLSPNDDMGGDADKQVKKRKFLGIGLSEQEVDDDTV >Sspon.07G0014980-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7A:53872439:53876870:-1 gene:Sspon.07G0014980-1A transcript:Sspon.07G0014980-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGMVVSAATGVLSSLLSKLSDLLTDQYKQRKGVRRDIEFLCRELTDMNAAMEKLAGMQKLDVQTKVWRDKVREMAYDIEDSIDIFMHQLGHGDDDKDGLFHKIAGKVKELRLHYQLANKIQELRARVEEQSQCRDRYRIDGSVSEARVVEVDPRLPAMYEDAKRLVGIYCPREEIIKRLMEEGSNDSGQLKVLSIVGFGGLGKTTLANQMYSKIKNEFECTAFVSVSRTPHIPKTLKDILSGVGYGCTEVEDDVQKLIDILKAWLTNKRKCKGVPLAITSIASLLANHMHVKIWEKIHNSLGSQLDTNPTMEWMRHVLNLSYNDLSHELKTCLLYLGMYPEDHEIPKTDLLQKWIAEGFVREKHGLDLEEAAENCFNELINRNMIQRGFHEFGFGEVSKYCQVHDLMLDLIILKCKEENFITIIDKYPMNGASQVHRISHQFDNRNMLLTVESMSASTVRSYISFCVADCMPPLSKFELLRVLDMEHSSSMDPMCLDLSAINHLFLLRYVRVQGFGIELPKKFSKLKHLMTLDMSLALLDNPSEQLSDFNSLSSLRHLSLPVFVGFKNGLSKLCNLRDLSCFDNGINSIECVRDLGELTNLRNVNVMHDDSRAYGIENNLNVTILAASLNKLGNSNLRHLAWETAPSSEFWSNCLTRPRHLQSLSLYTVFMPKLPNWIAHADRFAHAVLKVCEVRSDDVQVLAQLPCLIYLQLSADTIPETNIIIHPNTFHSLKSFKYFCREFPRLTFDPASMPQLQRLEIHLDAFEQGAMQLQEVSPVGGIEHLASLEEISLCIQAKCGQGSKIESACRDAISRHPKGQAMKIGVSVSEYDENGYFVRTIRYTVGGTSGED >Sspon.03G0022570-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:91368660:91371964:1 gene:Sspon.03G0022570-2B transcript:Sspon.03G0022570-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGFKVTRISEGPVKPASATPEETLPLAWVDRYPTHRGLVESMHIFRSGADAAPAVIRAALGKALAFFYPLAGRIVEGEQAGCPAIRCTADGVYFAEAEADCSLEDVRFLERPLLLPKEDLVPYPGDDRWPVEPHNTIMMMQITKFTCGGFVMGLRFNHASADGMGAAQFINAVGDMARGLTEPKVLPVWHREKFPNPNIKPGPLPELPVLALDYIVLDFPTPYIDDLKRQYKAHSGKFCSGFDVLTAKLWQCRTRALALDPATEVKLCFFASVRHLLKLDRGYYGNSIFPVKMSAPAEKVLASSIMEVVDMIREAKDRMAVEFFRFAKEETDQDPFQMTFNYESIYVSDWSKLGFSEVDYGFGPPMFAGPLVNNDFIASVVILKAPLPLDGTRMLASCVTKEHSEEFARGMKEDLP >Sspon.04G0030610-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:3713792:3720241:-1 gene:Sspon.04G0030610-1P transcript:Sspon.04G0030610-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pumilio homolog 4 [Source:Projected from Arabidopsis thaliana (AT3G10360) UniProtKB/Swiss-Prot;Acc:Q9SS47] MLLLRRRRPKEGRKEGRKEIGTEAGASVMAPSQAAAAGPTFEDLERDLQAVLMDQNHTSPADELSMFRSGSAPPTVEGSRTAMGALFSGPPLPANNLGGGGGSGAGAGLDMLTEEEIRSHPAYLSYYYSNEHLNPRLPPPMVSKEDWRAAQRFQAVSGGIGDRRRRPSEVGSGNSLFSVQPGVREVGGEKALLSDRMGRGERNGLARQQSSEWLGRGADGLIGLTDVSGLGSRRKSFADALQENISRPAATVGHLSRSNSRNALEGPTPIRSSDSPKPQLQNRSDSMNGLRSGSTSPSLVRVQSLGSSMSHTFASANFGDQADVLFNVPKEHRQLSPQNLTQNPDEDSLNAPEYAVFPNGGSNFSNLHASKLTSHSNSKFPMQSPHGNANKKGSLMSSAGSVSHYQNLNGDSHGIDVPGRHMKTHAGGFTSSMLNNQLNPDGDYGNVLSNHGGSSYQGQPTETMYAQYLQANPDSPLGAAASLSPFQGRGFTGSGHLDSPGYQKAYLGSLFAQQKLQYGMPYLGKSGALNQSIYGNDPAFGIGMTYLTSPPSSPYISSPQGHVRQGDRLTRLPAVVRNTAGGSMGSWNSENGLMDNGYGSSLLEEFKTNKTRSFELLDIVGHMVEFSSDQYGSRFIQQKLETASIEEKNMIFPEILPQARTLMTDVFGNYVIQKFFEYGTETQTKQLATLLKGYVLQLSLQMYGCRVIQKALEVVEVEQQTQMALELDGSIMRCVRDQNGNHVIQKCIECIPQERIRFIISAFYGHVVELSMHPYGCRVIQRVLEHCDDESTQNAMMEEIMQSVVTLTEDQYGNYVIQHVLQHGKPEERSIIITQLAGQIVKMSQQKFASNVVEKCLTFGNPEQRQILINEMLGTTDENEPLQAMMKDQFANYVVQKVLEICDDQNRELILSRIKVHLNALKRYTYGKHIVARVEKLIAAGGTCYKATATSVHSTAQLTASQKQVPKKHITRWDQRSVSPAFSSPRWHYDAHGILVPATSLDIFRNRSRLWWYRPFMPSSSAAPLPWMLSGAGEFLVLLTASSSSDQSPFSISSRMAKSSYSSSDLVECSSTEPASICKYPEM >Sspon.02G0012750-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:34168271:34171770:1 gene:Sspon.02G0012750-1A transcript:Sspon.02G0012750-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MYMPVVSAFTENYIFMYGRESCPSVKNILLLDSEGKRVAVKYFSDDWPTNASKLAYEKSVFTKTLKTNARTEAEITLFDGYIVVYKFVHDLHFFVTAGDDENELILANVLHGFSDSVGLLLRGDVEKRTALENLDLILLCIDEIIDGGIILETDANTIAGKVATNAVDGSVPFSEQTISQALATAREHLARSLLK >Sspon.07G0009910-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:29034548:29046032:1 gene:Sspon.07G0009910-1A transcript:Sspon.07G0009910-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MENGGIEEADDALPVPAPPNGRRYRPVGSSDRAVIQMTSMEPGSSSATIDAVVTPQPPRNLKPDTNLTIDPSMREGSPDDHDTSSGSQGDSKLELFGFDSLVNILGLKSMTGEQIQAPSSPRDGEDVAITIGRPKQSGPKFGTMMGVFVPCLQNILGIIYYIRMAGVWQSLVLVSFCGACTFLTSISLSAIATNGAMKGGGPYYLIGRALGPEVGVSIGLCFFLGNAVAGSMYVLGAVETFLDAVPSAGLFQKSVTVVNNTLVNGTETAGTSTISTPSLHDLQVYGVIVTILLCFIVFGGVKIINKVAPAFLIPVLFSLLCIYLGVFIAPRHNAPKGITGLSITTLKDNWGEDYQRTNNAGVPDPSGSIYWDFNALVGLFFPAVTGIMAGSNRSASLKDTQRSIPIGTLSATLTTTAMYLFSVLLFGALATREELLTDRLLTATVAWPAPAVIYIGIILSTLGAALQSLTGAPRLLAAIANDDILPVLNYFKVSEGSEPHAATLFTAFICICCVVIGNLDLITPTITMFFLLCYAGVNLSCFLLDLLDAPSWRPRWKFHHWSLSLVGALLCVVIMFLISWSFTVVSLALASLIYYYVSIKGKAGDWGDGFKSAYFQLALRSLRSLGANQVHPKNWYPIPLIFCRPWGKLPENVPCHPKLADFANCMKKKGRGMSIFVSIIDGDYHELAEDAKTACRQLDAYIDYKRCEGVAEIIVAPTMSDGFRSIVQTMGLGNLKPNIVVMRYPEIWRRENLTQIPSTFVSIINDCIIANKAVVIVKGLDEWPNEYQRQYGTIDLYWIVRDGGLMLLLSQLLLTKESFESCKIQVFCISEEDTDAEELKADVKKFLYDLRMQAEVIVVTMKSWESHMESSSSGAQQDNSHEAYTSAQQRIRTYLDEMKENAQRERQPLMENGRQVVVNEQKVDKFLYTMFKLNSTILRYSRMAAVVLVSLPPPPLNHPSYFYMEYMDLLVENVPRMLIVRGYTRDVVTFFT >Sspon.07G0009800-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:26915105:26919073:-1 gene:Sspon.07G0009800-2B transcript:Sspon.07G0009800-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPNEAANITTPSEPESVGGSEMSSEDTAAARPLLSSSSPSPSAASAAPVLESIEELDRRYAPYARRDAYGPMGLGPVSAAEAARLAFAAVVLVPLRVVAGVLVLVVYYLVCRVCTLRVEEEREGDEGDGYARLDGWRRAGVVRCGRALARAMLFVFGFYWIREYDCRFPNAEDDDVDQSKEIERPGAIVSNHVSYVDILYQMSASFPSFVAKRSVARLPLVGLISKCLGCIFVQRESKTSDFKGVSGAVTERIQRAHQQKNAPMMLLFPEGTTTNGDYLLPFKTGAFLAKAPVKPVILRYPYKRFNPAWESMSGARHVFLLLCQFVNYLEVVHLPVYYPSEQEKDDPKLYANNVRKLMAVEGNLILSDLGLAEKRVYHAALN >Sspon.06G0001920-4D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6D:3590655:3591065:1 gene:Sspon.06G0001920-4D transcript:Sspon.06G0001920-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CP12-3 [Source:Projected from Arabidopsis thaliana (AT1G76560) UniProtKB/TrEMBL;Acc:A0A178WDK7] MASPSIGSPSSSPTALPAGAGLRRSPSSVHPWRRRQHQQQQQARRLVMAAARRRYRGTVRREAALAELVERKIAEAMEACGERGQDQDEGCRVAWDEVEEVSQARADLRRRIAEAPGDPLEHFCALNPSADDCAVVY >Sspon.03G0027940-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:6058558:6060706:1 gene:Sspon.03G0027940-2D transcript:Sspon.03G0027940-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding IEAEKQRRNVEMNLAVDNPHAWAALMNKERNAEQDLSDMIHKKLVLQSQQSLGFPDVPVPASFGRKDHFAQPVAENPLRSVDMLSFEESLAERSLYAKSGQLAQEGSANLGTLPNSIENTGKFNLRSGSGSMLEQKHFLGIDDVQRDFPDTTGGRTSANQLVGSVNELTRVKKQGSSASLAGDDTDFAEEAVSKWSDSGMSKGSSHSLLKRSTNQHTTSQSVPADLSSAIRLKKAGLVSSDENKMESGVASVAQGMEGSVPSNKEAGVYSMPSATNNPDASGQSFSEALKSSRKPPLQYDASESADGGPGGKGAKKKAKKGKQIDPSLLGFKVHSNRIMMGEIVRDD >Sspon.06G0001200-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:1715611:1719032:-1 gene:Sspon.06G0001200-1P transcript:Sspon.06G0001200-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALKFLNKKGWHTGSLRNIERVWKAEQAEEAERRKTEELKKQVAAEKEKAEFRAMQERAGLRPAQERLDFLYESGLAVGKSSEGFQALQQSAPGAAAASTSAQASAADSSKAATPGALFEDKPQSANDTWRKLHSDPLLLIRQREQDAIARIKNNPIKMAEIKKSVEAEKKQKEEKKRHKKHHHHKSKSKRHHSDENSDSVEISDGKDERRKRAHSSLDHKKEENRSRHKKQHREDSSDSDNDEPQRRKQDVSEDDEPRRRRRDEDEPRRRLHDDDEPRRRQQDDNEPRRRRRQDDGEPRRRRQDDDEPRRGTAGRR >Sspon.02G0025370-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:89406608:89412241:-1 gene:Sspon.02G0025370-2B transcript:Sspon.02G0025370-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLDKVRGLEQLAVASPALDYLSVLACFISDWVQLVADISAPSLKVLRWGDLFDPSSVHLGTMKHLESVCPMILLVYGSPAIDNQDCLELLRCFKTIQCLCLTLAYMPVNPSPSSNPSIPRTMARGNPATISKLCIQYNCTIKRTTPRKDM >Sspon.02G0016520-1P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:23618362:23622980:1 gene:Sspon.02G0016520-1P transcript:Sspon.02G0016520-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGAAVAVLAAATARRKSGPFVSLFSRAARRLHDAAETAAAEQDKAGTRSRRRRRRRRRSSSSLLLGPDFPDTWDPSWRDTTPQAPPRCAQADYDRTATIIDGKSIAEDIRLHIAEEVCQMKRSVGHVPGLAVVLVGDRRDSESYVRYKVKGCEEVGIKSLLAKLPGNCSEDEVMDSVSRFNEDPSVHGILVQLPLPEFMDEERILSTISLEKDVDGFHPLNVGNLALRGRNPLFVPCAAKACIELLLQSGIELMGKHVAVIGRSKVVGLPTSLLLQ >Sspon.06G0026890-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:81369946:81380078:-1 gene:Sspon.06G0026890-1B transcript:Sspon.06G0026890-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVETRRSSAAAAAGKRPSPSPSSSSAPPPKRPKVESPGSPTASAPGRAEEDSVAGAAPARSTGSAEDAAAAAQKDQGADKPSSAAAESSKRRKEPEQQQPAAPWAKLLSQCSQTPHHPISVAQFSVGQSKSCNLWLKDQPVSKVLCKLRRLEQGGPCELEVLGKKGMVQLNGRPLSPGTKVPLTGGDEVIFSSCGKHAYHPLNDKVPKTVPSSAVSLLEPPVASIKRIRTDKRAGDTSAVAGTEMLASTSNQPKDVAAVPPAAAGENSQRVVRPMASSASDKSKGRAVSPDKEFENGENANEVNSNIEDSPMDVAAAPISPDDAANDTCQQNGFGPDTHLGAEIGKIATYKIRPVLRMITGSTISEFDLTGDLFKALEDQRDLIRDLNASTSVPPSRCQAFKDGMKQGIINPSDIDVTFENFPYYLSFAYASVIYMQHYKNLRQLVYSSLVSFETNLGMQHAISLVVTCPYFCLISCSENTKNVLLSCAFIHLEKKEFIKQFAEISSINQRILLSGPAGSEIYQETLVKALAKHFGARLLVVDSLLLPGAPSKDPESQKDVGKADKSGDKATAEKFAIYQKHRSSLADTVHFRRPAAPTSSVNADIVGTSTLHSASLPKQESSTATSKSYTFREGDRVRYVGPAQPASLSQRGPSYGYRGRVMLAFEDNGSSKIGVRFDKQIPDGNDLGGLCEEDHGFFCSAELLRPDFSGGEEVERLAMTELIEVISEENKAGPLIVLLKDVEKSFTGVTESLSSLRSKLELLPSGVLVIGSHTQMDSRKEKAHPGGFLFTKFASSSQTLFDLFPDSFGSRLHERSKESPKAMKHLNKLFPNKISIQLPQDEALLTDWKQQLDRDVETLKAKSNIGSIRTFLSRNGIECNDLEELFIKDQSLSNENVDKIVGYAVSYHLKHNKIETSNSKDAKLVLTSESLKHGLNMLQSMQSDNKSSKKSLKDVVTENEFEKRLLVDVIPPNDIGVTFDDIGALENVKDTLKELVMLPLQRPELFCKGQLTKPCKGILLFGPPGTGKTMLAKAVATEAGANFINISMSSITSKWFGEGEKYVKAVFSLASKIAPSVIFIDEVDSMLGRRENPGEHEAMRKMKNEFMVNWDGLRTKDKERVLVLGATNRPFDLDEAVIRRFPRRLMVNLPDASNREKILKVILAKEELGSDVDLDSLANMTDGYSGSDLKNLCVTAAHYPIREILEKEKKEKNLAKTEGRAEPALYGSEDIRPLSIDDFKSAHEQVCASVSSDSANMNELLQWNDLYGEGGSRKKKALSYFM >Sspon.01G0016130-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:54941711:54955936:1 gene:Sspon.01G0016130-1T transcript:Sspon.01G0016130-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVLRAIRRLHARHGPLIALRMGSRLQVTVSNRRLAHVALVQRGAAMADRLEFASREFLGLEASAITTSSYGPLWRLLRRNFVAEVAHPARLRLFAPARAAVLAELTDMLRRRDDVDGLGTAAASASIMETFQYTMFSLLVAMCFGEHLHERDVRDIAEAQRDLLLYTSKLGVLAVLPAITTRLFKGRLQALLTKCQRLKDMFMPLIDARRERKKLGAAAGLHAPLTSAPQDQQTTTTMTLPHSYVDTLLDIQVNDDGGRALTDDEMVAMCSEFLNAGTDTTSTALQWIMAELVKNPDIQDKLHDEIKATMATTGLDHISEDDVNRMPYLKAIVLEGLRCHPPAHMVLPHATLEDMELGGYVIPKGTTVNFMVSDMGMDEQAWERPAEFAPESFLPGGDGEGTDITGMREIRMMPFGAGRRICPGLNVATLHLEYFMANMVRAFQWRAADGEEVDVDGEKAEFTVVLANPLRARLAPREVALWDVATFEHLYNSAVECGVDCSVRWEAQLKLLQRQHYSIAELEEHYTVVSSLVELTILEISGLQEDLGSFIMETITVSNQGATVPDLGMPIFVSVVF >Sspon.04G0031420-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:12864124:12877215:1 gene:Sspon.04G0031420-1C transcript:Sspon.04G0031420-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mediator of RNA polymerase II transcription subunit 23 [Source:Projected from Arabidopsis thaliana (AT1G23230) UniProtKB/Swiss-Prot;Acc:F4I4P3] MDGGHGTRQPMSPAISASAVLPQQRQMQPHHHPARPAIADLFTLYLGMNSKQRAEDPLRESSNKLQKRVTALNRDLPPRDEQFISDYEQLRMPFPDVEQLQAVTESVLISFVLQCSSHAPQSEFLLFATRCLCARGHLRWDSLLPSLLNTVSSIEAPMVQGVSVTGGGPATPSSAITMPNAPNFHPSNPASPLSVMNTIGSPTQSGIDQPVGANVSPIKAAEFSSSAQLGTAARGDQSRRGAETSYLHHLSCRIILAGLEFNLKPATHAVIFQHMVNWLVNWDQRPHGMDEADAMQTCRLEKPLHEWMHLCLDVIWILVNEDKCRIPFYELVRCNLQFLENIPDDEALVSIIMEIHRRRDMVCMHMQMLDQHLHCPTFGTHRFLSQSYPSIAGESVTNLRYSPITYPSVLGEPLHGEDLANSIPKGGLDWERALRCLRHALRTTPSPDWWRRVLLVAPCYRSQSQQSSTPGAVFSPDMIGEAVADRTIELLRLTNSETQCWQDWLLFADIFFFLMKSGCIDFLDFVDKLASRVTNSDQQILRSNHVTWLLAQIIRIEIVMNTLSSDPRKVETTRKIISFHKEDKSLDANNIGPQSILLDFISSSQTLRIWSFNTSIREHLNSDQLQKGKQIDEWWKQMTKASGERMIDFTNLDERATGMFWVLSFTMAQPACEAVMNWFTSAGMADLIQGPNMQPSERIMMMRETYPLSMSLLSGLSINLCLKLAFQLEETIFLGQAVPSIAMVETYVRLLLIAPHSLFRPHFTTLTQRSPSILSKSGVSLLLLEILNYRLLPLYSKALMYDVTKIISMIKGKRGEHRLFRLAENLCMNLILSLKDFFFVKKELKGPTEFTETLNRITIISLAITIKTRGIAEVEHMIYLQPLLEQIMATSQHTWSEKTLRYFPPLIRDFLMGRMDKRGQAIQAWQQAETTVINQCNQLLSPSAEPNYVMTYLSHSFPQHRQYLCAGAWMLMNGHLEINSANLARVLREFSPEEVTANIYTMVDVLLHHIQFEVQRGHLAQDLLSKAITNLSFFIWTHELLPLDILLLALIDRDDDPYALRLV >Sspon.05G0001610-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:3715647:3716117:1 gene:Sspon.05G0001610-2D transcript:Sspon.05G0001610-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAVDTIICRSAPAFGDVKVFDNKLREGPDPASRLIGRAQGFGVNASLDGSSIFTAIDFVFSGDYREYSGSTLTTQGQFDPTGAPSERSIVHRGRQRQAPVRARVHDQPGPELHEHLHRRRLRHVLHPGPLIVNRSVLAFDPNRNVPNVMFVDLFE >Sspon.02G0035000-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2B:15554597:15554863:1 gene:Sspon.02G0035000-1B transcript:Sspon.02G0035000-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMALAQANGQNGWGHGMIAYSLLLAREPFVPSFQPDRFQRNSPGRNSSSRHVISSDRVSSPCTTALHASGRKARRISSLYFLRPCHAE >Sspon.08G0007070-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:19554836:19559923:1 gene:Sspon.08G0007070-4D transcript:Sspon.08G0007070-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ent-kaurene oxidase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G25900) UniProtKB/Swiss-Prot;Acc:Q93ZB2] MESVVAALPAGGAAVAAAVGGLVAAAALAGKAGLAGPKKHLNAPPAVPGLPMIGNLHQLKEKKPHQTFTKWAEIYGPIYTIRTGASSVVVLNSAQVAKEAMVAKFSSISTRKLSKALSVLTHDKTMVATSDYGDFHKMVKRYIMTSMLGTSGQALGEDVSSVYVEEYGKVISKEEIYQATVADMMMCAIEVDWRDFFPYLSWIPNRSFETRVLTTDARRTTVMQALINQQKQRIARGETRISYLDFLLAENALTDEQLLMLVWEAVIEAADTTLVTTEWAMYEIAKHPEKQTVTEDHLPELPYLNAVFHETLRRHSPVPLVPPRFVHENTNLAGYEVPAGTEMIINLYGCNMNKSDWAEPEEWKPERFLDGRFEAADMYKTMAFGAGRRACAGSMQAMNISCTAIARFVQEFSWRLKEGDEDKADTIQLTTNRLYPLHVYLTPRGRK >Sspon.08G0004850-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:14858535:14868016:-1 gene:Sspon.08G0004850-3C transcript:Sspon.08G0004850-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDEEMEKKVQQYLQRKGFRLTELALQEERNRLSTSATSDVALARSDNDPARYHDGYSRLRTWAYSSLDQYKHELLRVLYPVFIHSFMDLVAEGHMQKLIQSFFFFDAISHSAVMHSRDLQKLEGVLSPSHLEEMELARSFRKNKFKIKLCEYSYELLLQYLQKTQALVVLGVINEHITFEVSPGQPSLITDDADVVALIGTSKDLAKQINQKEVHWGLLEDSVEERMEKALAESDKIEAESKDADAEDNKARNSFKRNAEGGKQGASLKKAKKDKLVGATGKNVRTETSMVSVAPRVKPELTLPATPVEVEQSILEDLRNRAQLNSVALPSVSFYTFVNTHNGLNCSSISHDGSLVVGGFSDSSVKSENGSSQGERISTLDEGKRTYTLFQGHSGPVYSAAFSPFGDFLLSSSSDSTIRLWSTKLNANLVCYKGHNYPVWDAQFSPVGHYFASASHDRTARIWSMDKIQPLRIMAGHLSDVDCVQWHVNCNYIATGSSDKTVRLWDVQTGECIRMFIGHRSMVLSLAMSPDGRYMASGDEDGTIMIWDLSTGRCVSPLLGHSSCVWTLAFSCEGALLASGSADCTVKLWDVASSTKTLKTEDAKGSSVNRLRLLKALPTKSTPVYSLRFSRRNLLFASGALSLNSS >Sspon.07G0001100-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:1333826:1340471:1 gene:Sspon.07G0001100-1T transcript:Sspon.07G0001100-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CPK3 [Source:Projected from Arabidopsis thaliana (AT4G23650) UniProtKB/TrEMBL;Acc:A0A178V4I3] MGGRASRHRAQQQDQSSHHASSQPPPPPPKHNHHHHHNPNKPKQQQQQQPPPPRPPPTHHAPPPAPASASPATAPGAATNIGRVLGRPMEDVRASYTFGRELGRGQFGVTYLATHKPTGRRYACKSIATRKLAHRDDVDDVRREVQIMHHLTGHRSIVELRGAYEDRHSVNLVMELCEGGELFDRIIARGHYSERAAAALCREIVSVVHSCHSMGVMHRDLKPENFLFLNKREDSPLKATDFGLSVFFKPGEQFRDLVGSAYYVAPEVLKRRYGAEADIWSAGVILYILLSGVPPFWAENEDGIFDAVLRGHIDFASDPWPSISNSAKDLVKKMLRQDPKERLTAAEILNHPWIREDGEAPDKPLDITVISRMKQFRAMNKLKKVALKVVAENLSEEEIVGLKEMFKSLDTDNSGTITLEELRAGLPKLGTKISESEIRQLMEAADVDGNGTIDYVEFISATMHMNRLEKEDHIFKAFEYFDKDHSGHITVDELEEALKKYDMGDAATIKEIIAEVDTDHKKGTFLCVCVYGTMDGSRNAGFGEHLEGLDEALAALHGRLVDGGGASPGPRDPAPGAGRRRAVLRRLHSPLLSPAPAAGVPAKGAKNGHQQGGPRRRRGEVKEALHWH >Sspon.03G0021240-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3A:65323940:65330915:-1 gene:Sspon.03G0021240-1A transcript:Sspon.03G0021240-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MWPYHAPGDVLVAPS >Sspon.02G0035930-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:22247548:22249217:-1 gene:Sspon.02G0035930-1B transcript:Sspon.02G0035930-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MIATLWARQIARLPKWANSGDKPCARIAAITPSSLAVRQRPPRLGVVHTSSFSSQHNQFTRSAAAGPLIMAGRELVGKDKLVLRGLQFHGFHGVKQEEKTLGQKFVVDVDAWMDLSTAGETDSISDTVSYTDIYRIVKDVVEGPSQNLLESVAHRIASATLLKFSQISAVRVEVKKPHVAVQGIIDYLGVEIVRHRKDMAGSSPRAE >Sspon.02G0014110-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2A:37631288:37631893:1 gene:Sspon.02G0014110-1A transcript:Sspon.02G0014110-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVLLVALALLALAASAASTLTSGGCGCQTPHLPPPVHLPPPVHLPPPVHLPPPVHVPPPPPSCHCPTLQPRPQPPHPCPCHPTSPCHPGHPVGSPPILGQCIEFLRHQCSPAATPYCSPQCQALRQQCCQQLRQVEPLHRYQAIFGVVLQSIQQQQPQGQSPLGTLMAAQIAQQLMAMCGLQPITSPCPSCSAPAGVVHH >Sspon.02G0027120-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:98537636:98542213:-1 gene:Sspon.02G0027120-1A transcript:Sspon.02G0027120-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGGGGAEAGGGGKDALFVELWKACAGPLSSVPPLGEKVYYFPQGHIEQVEASTNQLAEQQGTPLYNLPWKIPCKLMNIELKAEPDTDEVYAQLTLLPDKKQDENTSTTVENEEAEEEVVPHAPPATNEGPRIHSFCKTLTASDTSTHGGFSVLRRHADECLPPLDMSQHPPNQELVAKDLHGVEWRFRHIFRGQPRRHLLQSGWSVFVSAKRLVAGDAFIFIRGENGELRVGVRRALRHQTTIPSSVISSHSMHLGVLATAWHAVNTGSMFTVYYKPRTSPAEFVVSRDRYYESLKRNYSIGMRFKMRFEGEEAAEQRFTGTIVGIGASDPSGWADSKWRSLKVRWDEASSVPRPERVSPWQIEPAVSPSPVNPLPVRFKRSRSSVNASPSDVSTVTREVASKVMAESQQNNLPRALHNQGRTQLTGRYRDSSDVKTAQDLTMWSSGTEQQRNNIAAQTKRSLEGWTQSRTPEGYNQLFSAFQPLKDAHNPLSPFPSQISGTRSNTWDTADARYPAQQANHNMLPGPWSFMPHSSDFRMNQQNYLVMPEAAKFTGKSAFTSLQGHGTDQCSTGWFGHIESSSRTGHASSSLIRPQPLVIGNDVQKTKGTSFKLFGIPLGSPEKCEPLVSPPSVAYDGKLQTSPSEKGNQLDIVEVDICSDPSKTVKPLDGPQSDSITENNEPCPEATLNIQNKVQSSSTRSCKK >Sspon.01G0021810-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:80325334:80338658:1 gene:Sspon.01G0021810-1A transcript:Sspon.01G0021810-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPARRCLPPQAQMPAQKRPPPPPSPSPSAAPGAGSPPPASPPPPPTAEPAEKKPKLEENGSAGAGAEANGNANDSGVKAAAAAAESSDSESEDADAAKQEYVLGRSSPTVPLLALPLSFPRRRGFLPDACSRCGTAKRPRAHAHARIIRKTRTVMECLHRNNECPACRTHCASRRSLRDDPNYDALIAALYPDIDKYEEEELAFNEEENDRNKQIQASIAEAFRKQSEVIGRKSTAKATAAAFVRRSRRNIRPNGQNTYFRGRGRANSDDVALASSEDEEDGNGENCSKEASSAEESSPEKKQKRLPKWPTPRSSPARGACNDEVASDEKDDVGISRENLSTSPLRAWGKNGTRSQTRHSSFSGSNGRMVKGGRMIKLVECLRNSDDNDGETSLKDEEVEMYALKPSYSNPVSTNPSGLDKARLAGEERLSDLRSSFTFPNGIL >Sspon.05G0037550-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:15540015:15543741:-1 gene:Sspon.05G0037550-1D transcript:Sspon.05G0037550-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVGPPHIQVYKLGDELRAPTATEQIDPSFFAPAVLVFLVGKKEKGSLGWRSGDGGGDGVPGDAEWYAALADLYQRKLWHQLTLKLDQFLALAVVQYLDKDAGINYLEGVISKLRDTRESRVEEPILYVKMQIATFLLEKGNQKDCKKLLEEGKTTLDSMVDVDPSVHGFIYEFQNLAFDLSLAALLGENIYNFGELLSHPIAEWIFNMLQAFNSGNLSLYQEICKAHNTALSAQLALVQNERKLLEKINILCLMEIIFSRSSENRTIPMRDIAEQTMLSVEDVEYLLMKSLSARLIEGIIDQVDEVVHVSWVQPRVLGIDQVKSLRDRLDTWIGKVDATLLSVEAETPDLNASADSQLKM >Sspon.05G0025690-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:26185502:26186655:1 gene:Sspon.05G0025690-1B transcript:Sspon.05G0025690-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAFDSSASRSAAAAAFSSYDLGSSLGFRPVKVRGKRFVELEGVAFDSERFCYRRTSSLLDSPRSGSPDYTPDAPEYTPLTPSSQRPGSPDYSPATQIYSPATPEYTPLTPSSQRSGSPVYSPATSEYTPLTPSSQRSGSPDYSPATPEYTPLTPPKRSGSPAYTPATANYTPRTPSGCCLSDYFHDFDRYCVSPSTGYSSRAAASPEYTPASPSGCCSPDYSALFDDINDFCYTSPPSAGYSLHAKSPEYTPGSPSDRCSPDYSMLFDDINDFCYTSPPSSAGYPLLAASPEYTPAIPEYTPATPDPEYTPLSPLQRASSPDYSPSSPSVSDAVSRTSPPSHRRHHPYQRSRTSCCQRRRSSSQHGY >Sspon.05G0008810-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:28008340:28011419:1 gene:Sspon.05G0008810-3D transcript:Sspon.05G0008810-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRSAPLLRRLVSASSPQPLPGHSGGLARRTVTYMPRPGDGAPRTVTLIPGDGIGPLVTGAVRQVMEAMHAPVYFETYDVHGDMPTVPPAVIDSIRRNKVCIKGGLATPVGGGVSSLNMQLRKELDLYASLVHCSNLPGLPTRHQGVDIVVIRENTEGEYSGLEHEVVPGVVESLKVITKFCSERIAKYAFEYAYLNNRKKVTAVHKANIMKLADGLFLESCREVASKYPGIQYNEMIVDNCSMQLVSKPEQFDVMVTPNLYGNLVANTAAGIVGGTGIMPGGNVGQDYAVFEQGASAGNVGNENIVEQKKANPVALLLSSAMMLRHLQFPSFADRLETAVKRVVAEGTYRTKDLGGSSTTQEVTDAVIASLD >Sspon.07G0016180-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:30429919:30434420:-1 gene:Sspon.07G0016180-3D transcript:Sspon.07G0016180-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGEGGGAAAVHHHTRSPEDVFRDFRARRAGIVKALTTEKENLCLYGLPNETWEVTLPAEEVPPELPEPALGINFARDGMIEKDWLSLVAVHSDAWLLSVAFYFGARFGFDKDARRRLFTMINNLPTVYEVVTGVAKKQSKAPNGSSKSSKSNSKPSKQTNSNSKPVKPAHPKEEEDSGREDAEEEDQAYLCGSCGESYANGEFWICCDVCEKWFHGKCVRITPAKAEHIKQYKCPSCSTKRSRE >Sspon.05G0021810-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:5B:294395:295210:1 gene:Sspon.05G0021810-1B transcript:Sspon.05G0021810-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding NGSGGSTSKRSAFTKALQHVRRKNGIHVAQNTATNASTPRSRGASKARKSRKDTVYTRISPGLTSDIFSTIEDETRLKLIKDMGFQGFKYLNIHNTNKYLAAWLLSKFDPIHCTLNSGTRSEMKLTEPDVNFILGIPCKGKPIVPATHKEVVTMKKYICNVFGKESFQQITLPFLTGILYKKPECPMSAAEVIKFKTALIMVLVTIFLGPVSLNSHISTRYMTALVDIDNVQNYNWAKFVIDELKVAADSLHNKLKNGKSAGYINGCIILLQ >Sspon.06G0035850-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6D:85853329:85860656:-1 gene:Sspon.06G0035850-1D transcript:Sspon.06G0035850-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVALLVVSSLVSSTYAEVDLKFAHIAMRRWQADVDGPTCMRMRGEGGASSPRVLLGGACSPCVCVQNKQLSLLYGIRLYGFVTKLQTRRKLGSISHLRCDTTFPFNRIKLFYGIFCSMLRAQVLKDNNCAIISFPTKEILVTSNLLLLFAIQLPHHGKTKLDCRSWTLIGHHISVDHDPRVHRNHLCSVLVHDRRITGHLQQQNKPPVPSAVHNSKHSHHTRCRSMPHL >Sspon.05G0025300-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:22950517:22953163:-1 gene:Sspon.05G0025300-1B transcript:Sspon.05G0025300-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRGRALRPRPIQRRQPASEPPPPVSPPWYAAPRSTLPPPPPAPGEADPLLVAASEVALGLPVHPAPLPAAAPAPLLRLLPAFTSAHFLSLLRRNPLALQPLPLLSLFRVLLASPPGLFRHTPASFLSMSHLLIAHRLPHLALPLLRLLVSRLGRDSPPRLLPLLLSAASTDDPAPLVFAVAKAYTDEGLLPDGCSLLLLALRRGIRAPCQRAYAFYLQLLDAGVPPEARQFNMLMRDMIRSGKLASARNMFDEMLRRGVQPTVVSFNTLMSGMCKVSDLNSANALRGLMAKAGVAPDVYTYSTFMQGLCKIGRIQDAVEMFEEMRERGVNPNTVVFTTLIDAHCKVGNVAAGLELHRDMATRGIKTDLVAYNTLVNGLCRVRDLKAANYIVEEMRNNGLKPDKVTYTTLIDGCCKEGELDMAMEMKQEMSDEGVALDEVTYTALISGLSKAGRSVDAERILCEMVEAGLEPDNTTYTMVIDAFCKNSDVKTGFKLLKEMQNKGRKPGVVTYNVVMNGFCILGQMKNADMLLNAMLNIGVCPDDITYNILLDGHCKHGKVRDAEELKSAKGMVSDFGVYTSLINEFVKKKSNKSYHDNGYEHTYSALKIAVWEGKAESCYKVKKSQIHSKLSQMDQIPVMITTY >Sspon.03G0015600-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:49639817:49648411:-1 gene:Sspon.03G0015600-1A transcript:Sspon.03G0015600-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding WRRRPVNPGGTRKLAAPSREATVVVQDAAVACEVCDQDMLVDLEKGNCLLPKEDNSGMKVNSIAGHARTKPHSSYDDLVALKDDKSHHISCCSSHCRDSTGKSGEPMTSEGEMKVGLLDKSAADKEKKKWYKKPPRPPRPPITSPLDPADQKLISELSELAVLKRARIERMKALKKMKNSKPASSIGNLVALIITVIFCFFILWQGVFSRHGASISFHRPSISSVRMHGGLISIQFYKKNVAAISPHSSPAALNNTGVATRLEIHGEIRSVTE >Sspon.02G0013250-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:35487397:35499855:-1 gene:Sspon.02G0013250-1A transcript:Sspon.02G0013250-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RPTRGQPARSQDVVRPASGPYHDTSECPGAAQQHFSWYPCIQLATGLQDRWRANRQGRRRFFGHCPDASSSLLAGIGAECMQRRPPSLPSSATTDHPQRENESPNGTYGRADGSSCLHVDIRCHNGLTRGVDGFGSRKEIKYPQIHWSSLHTVGGGIVHSLPGSRWPTATTPKISGDA >Sspon.01G0033410-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:111258274:111263839:-1 gene:Sspon.01G0033410-1P transcript:Sspon.01G0033410-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQRNVLALGEHVLKAIVRKRKHETAMEHPEGNDVMVFSQHDPRKHPVTFDDRAKCKKSKLISCGSGAILESYWNFKTSGLPVRVLFYQHGDWSDFPEDVVNLAQQDFQLKRPITTAVFQNKHILLDFVHMICIDYEMTIDKPLAWVDDHGKHFFPDLSAGLYTSKPSQHEKGEADECDGMSSVAESSSSVSVGEVVSHSKRINNIAEDNLKAHNRLHEAVGENNSGPSFHLNEYFSGTIQATGKPNNGPLVDSAVQNLLLKGLGQPFSEKDIIGIYRTPLLDQRWQVRCGLFQKEVEETRSRRGNANVRYAWLPCSRYTMEQMTMRGALETAKPQKGSKFGVVTCLAPANCSNSCARYTDFQEDGIIRMMLCRVIMGNVEVVLPGSKQFQPSNESFDNGVDDLQNPQNYIIWDANVHKHIYAEYAVIVKVPPVTNECLVSKDSVPNISEIISTGSPDNLTKEDRFQTLAPSGVEQEAPKLGHAPRAPSSPWMPFSMLFAAISTKVPRSDMDLVIRYYEEFKRKRMSRSDLVIRMRQIVGDKILVSTIMRLHQKSPPMPAAGLPRALGRGKRE >Sspon.02G0001280-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:5035531:5036795:1 gene:Sspon.02G0001280-2C transcript:Sspon.02G0001280-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGEAEPLQYTTTTLRVSIHCEGCKKKVKKVLHGIEGTYVRTPCVLVLLPPAIPRFTVPTTDCLTGFCVCGGAPSGVYKVTVDAAQHKVTVTGEVGADALLRRLHKAGKQAALWPSPAPAPVEAKKPEEATPAALPVQAGESCAKDAADAKPPKEAEAKEKPPEKGDGKEKKPAKDHKADKGEAEAKPNKDAEAITEPKETKKGSPEPAKQDAAEEPSGGGKKGKKNKKNKPKEGGEGEEAPVPAPAAPEKKPHQPQPPVPAPSPGPERPPPAAFPYYAAQPVLSYNVAHPSSSVSYYAPTPGVPMHPMPPPSPPQVVPYGYPPYPPMVPVPAEFLYGPPGMRSSPPEESYNNMFNEDNANSCSLM >Sspon.07G0030600-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7C:9341083:9342545:-1 gene:Sspon.07G0030600-1C transcript:Sspon.07G0030600-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding QRV >Sspon.02G0032370-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:120202850:120203365:1 gene:Sspon.02G0032370-1T transcript:Sspon.02G0032370-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAHHHHHRARAPLLLAILLAAGTASVASAARDLDLDATNPLPAQAAAAGRNNNPDPNYYGYGAVPGLGGPAGNGGGFYGGPGYGPGVGVPAGEFGFGGGGGGGWGAGYGGSGGGGYAHGGVEVPTVLCQEKGPCYGKKVACPKRCFWSYSRSGNGYGAGGGGGSCTVDCKA >Sspon.02G0030630-1P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2D:92427205:92427731:-1 gene:Sspon.02G0030630-1P transcript:Sspon.02G0030630-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding PTYAQLQMADQSFRFVDGIAKNVPVQIDDHFIPTDFLVIDMGEDEYDPPIILGRLFLSTTKAIIYIAIGEVHFQFPSEKVRCYFNNNYIVDEEPKKNRTRRRRRNRTQKNQIPKDGWTDYQGEVSRYEDRYPEEKIYLEKEVVPPTESEPVTMENKEEKIVQPTHSSKSTSPTK >Sspon.06G0004090-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:10085497:10091960:-1 gene:Sspon.06G0004090-3D transcript:Sspon.06G0004090-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAPAMLRWAAAALALAALLAASPAAAFYLPGVAPNDFHKVQFPSLIPTFLVTILDNLPLVVAIARQDRDATVYQAGYHVGVKGQYAGNKDEKSFIHNHLTFLVKYHKDETTDLSRIVGFEVKPYSINHQFEGPWNDKNTRLITCDPHASKLVESDVKWASRWDTYLLMTNDQIHWFSIVNSLMIVLFLSGMVAMIMLRTLYRDISRYNQLETEEEAQEETGWKLVHGDVFRPPANSDLLCVCVGTGVQFFGMLLVTMIFAVLGFLSPSNRGGLMTAMLLTWVLMGLFAGYASSRLYKMFKGSEWKSITLRTAFLFPGIAFGIFFILNALIWGEKSSGAVPFTTMFALVLLWFGISVPLVFVGSYLGFKQPAIEAPVKTNKIPRQVPEQAWYMNPAFTILIGGILPFGAVFIELFFILTSIWLHQFYYIFGFLFLVFIILIITCAEITIVLCYFQLCSEDYMWWWRSYLTSGSSAIYLFLYAGFYFFTKLQITKVVSGILYFGYMLLASCAFCVLTGTIGFCACFWFTRLIYSSVKID >Sspon.03G0000770-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:13723280:13729652:1 gene:Sspon.03G0000770-3C transcript:Sspon.03G0000770-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLQQLSDACLVVDALEPSVREELVKNFCSKELTSYRQIFEGAELAKLDKTERRYAWIKRRLRSNEDTWKIFPPSWHVDYLLCIQFCKITRAQLVDILNNLKEKPDVATLLLAFQRTLEFEEELAEKFSGGTTNARNKETASDDEDEGGEHNKIVSDIRKKYEKKLAGPSDEAEQDKDKQKDLSVPGAGFNFHGIVSSCFEPYMTVYIELEEKSLVDQLEKLVQEERWETEEGSQTNILSSSMQVFLVIRKSLKRCSALTKNQTLFNLFQVFQRILKAYAAKLYARLPKGGTGIVAAATGTDGQIRTSDRDERMICYIVNTAEYCHQTSGELAENVAKMINPQFADKVDMSEVQDEFSVVITKALMTLVHGLETKFDAEMVAMTRVPWATLESVGDQSEYVNGISSILSSSIPVLGTLLSPTYFQYFLDKLAASLGPRFYLNIYKCKHISETGAQQMLLDTQAVKTILLDIPALGKQSTGAASYSKFVSREMSKAEALLKVILSPVDSVANTYRALLPEGTPLEFQRILDLKGLKKADQQTILEDFNKHAPAPAPAIKHPVVAPTVAPPAATASVQIVPSVATPAVSITPSMASLKGALANQEDVLARAAALGRGAATTGFKRFLALTEAAKDRKDGPFRKLFNA >Sspon.02G0012810-3D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2D:27912597:27915488:1 gene:Sspon.02G0012810-3D transcript:Sspon.02G0012810-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVEARKDAPPARGKAMHRFLVALNCGMLALGAIGGPLLSRLYFSKGGHRQWLSAWLETGGWPLLVIPVAASFLARRARDRAAPVLLTPPRILLAAVALGVATGVDNFHLRLRARVPPRVHLRDPDLDAAGVHGVLRVPGGAAAPDGGLRERRGAADGGRRGAGPARLVGPAPGGHQGPVLAGLHPDARRRRALRPRAAAGGARVQARRGRRPRRVVRAGGGDAAGDGVLRHRVLHRRHGRQQGFPASRARAGDPEGGEALRAGGGPVLHGAGVVGGAVAVLLPGRGGRHLLRAHAAGGHPHRRVHPGHGGGRRHLPAREVQQRERRGA >Sspon.03G0007060-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:8351199:8372633:1 gene:Sspon.03G0007060-2P transcript:Sspon.03G0007060-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEELDPEGLGYIELWQLETLLLQKDTYVNYSQALSYTSQALSQNLAGLRKRSPIRKISSTLNYYLEDNWKRLWVLAVWIGIMAGLFIWKFIQYRNRYVFDVMGYCVTTAKGAAETLKLNMALILLPVCRNTITWLRNTKAARALPFDDNINFHKTIAAAIVVGVVLHGGNHLVCDFPRLISSSEMKYAPLRKYFGENKPTYLALVKGVEGITGVIMVVCMLIAFTLATRWFRRSLVKLPRPFDKLTGFNAFWYSHHLFIIVYIALVIHGECLYLIHDWYKKTTWMYLAVPVGLYVGERTLRFFRSGSYSVRLLKVAIYPGNVLTLQMSKPPTFRYKSGQYIIHVRQLGDWTRELKRVFSAACEPPVGGKSGLLRADETTKKALPKLLIDGPYGSPAQDYSKYDVLLLVGLGIGATPFISILKDLLNNIIKMEEEEEASSDLYPPIGRSKAHVDLDTLMRITSKPKRVLKTTNAYFYWVTREQGSFDWFKGVMNEIAELDQRNIIEMHNYLTSVYEEGDARSALITMLQALNHAKNGVDIVSGTKIATKHPYAKIGVFYCGAPVLAQELSKLCYEFNGKSTTKFEFHKEHF >Sspon.07G0008660-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:22180528:22201737:1 gene:Sspon.07G0008660-2B transcript:Sspon.07G0008660-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:E3 ubiquitin-protein ligase KEG [Source:Projected from Arabidopsis thaliana (AT5G13530) UniProtKB/Swiss-Prot;Acc:Q9FY48] MRVPCCSLCNVRYDEEERTPLLLHCGHGFCRACLSRMLAAAPGATLACPRCRHPTAVGNSVSALRKNFPILSLLSASPSSPSFLHSDSGSSSDGSEDEDDFFARPSRRPAPAPAAAPPGCSSFDLASHPDLKLARRIGSGPPGPAGQEVWAGTLSRGGGVKRCKHQVAVKRVPLAAGDGLEVVQEEVERLRRASTWCRNVCTFHGAVRVGSHLCFVMDRYVGSVQAEMRQNGGRLTLEQILRYGADIARGVAELHAAGIVCMSIKPSNILLDAHGHAAVSDYGLSAILKNLTSRRVPDDSNAGIDATLLSPNYTAPEAWGPLKKSLNMFWDSANGISPESDAWSFGCTLVEMCTGAVPWAGLSAEEICKSVVKEKKPPPQYSRVVGVGLPGELWKMIGECLQFRASRRPSFQDMLKTFLRHLLDIPRSPPASPENDFANENLPNGMEPPTTSILEMVHDNPNALHHLVCEGDAAGVRDLLAKAASERNGSLIRSLLEAQNTDGLTALHLACRRGSAELVEAIVAYQENVDILDKDEDPPIVFALAAGSPRCVRALVGRSASINSRLREGLGPTLAHVCAHHGQPECMQELLMAGADPNAVDGEEAIDVPSPVGTALCMAAALKKEHEEEGRELVRILLAAGADPTAQDDPHCRTALHTAAMIDDVELVKIILEAGVDVNIRNAQNTTPLHVALNRGANSCVGLLLAAGANCNIQDDDGDNAFHIAADAAKMIRENLTWIAQMLLQPSPAVDVRNHRGWTLRDFLERLPREWIYEELMETLEDKGVHLSPTIYEVADWVKFRRTVSSPAFGWQGAGPRSIGFVQSVVDNDHLAVSFCTGEARVLTSEIIKVIPLNRGQHVQLKPDVAEPRFGWRGQSRDSIGTVLCVDEDGILRVGFPGASRGWRADPAEIERVEEYKVGNWVRIRPSLTVAVHGMESITPGSVGIVYSIRPDSSLLLGLCYLSHPWLCEPEEVEHVDPFKIGDQVCVKRSVAEPRYAWGGETHHSVGKIIDIESDGLLIIDIPNRAAPWQADPSDMEKIENFKVGDWIRVKATVPSPKYGWEDVTRNSIGIVHSLQDDGDVGVAFCFRSKPFLCSVADVEKAQPFEVGEKVHVSPSISEPRLGWLNETAATIGAISRIDMDGTLNIKVSGRKSLWKVAPGDAERLSAFEVGDWVRPKPSIGSRPTYDWNSVGRISIAVVHSIQDSGYLELAGCFRNGKWLTHNTDIEKVQTLKIGQHVRFRAGISEPRWGWRDANPDSRGIIAGVHADGEVRVAFFGVPGLWRGDPADLEIENIFEVGEWVRLRNDVEQWRSLKPGSIGVVHGVGYQGDAWDGTIHVAFCGEQERWIGPSSQLEGVSKFVVGQRVRIRGCIRQPRFGWSNHSHSSIGTISSIDADGKLRIHTPAGARAWLIDPAEVEKVEEEEEVCVGDWVKVKDSVGTPVYQWGDMNHNSIGVVHRADDGELWIAFCFCERLWLCKAWEVEKVRPFREGDKVRIRPGLVSPRWGWGMETYASKGEVVGVDANGKLRIKFRWRDRLWIGDPSDIVLDDAHLLTEASNGF >Sspon.08G0014930-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:55059680:55061815:1 gene:Sspon.08G0014930-2B transcript:Sspon.08G0014930-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATDAAPAPRYSLLPGRLPAEDILFCVDVHLEARAEMKSAASAAASSGSTSTASPQPTQPAGAGGAPAAGPRTAVRRMDAIKQALLLFVHSKLTMCPDHRYAFGSLGETFSMVKKGFSSDAGSAMEAIHSLSASELRYAMADLTQLFMTANHEGTRAESQGRLLRVVLIYCRSSMKPQHQWPVKPKNFTLDIIYLHDKPSADNCPQMVYDALVDALDHVSQYEGYILETGQGLARVLFRQMCILLSHPLQRCIQDDLDIPKQVAKKTLATEAAQNEDGTPIQVMLPKYPLIPGLLES >Sspon.02G0018720-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:56934468:56936822:1 gene:Sspon.02G0018720-3D transcript:Sspon.02G0018720-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear factor Y, subunit B11 [Source:Projected from Arabidopsis thaliana (AT2G27470) UniProtKB/TrEMBL;Acc:Q9ZQH2] MPRKAAKGKEGEPQPADAAQEEPAPAPADAAQEEPASAPVAPAAALTEAEVDELPRAIVRRLVKDKLSHVAGGDGAEVIVNKDAMVAFAESARIFIHYLSATANDMCKESKRQTISADDVLKALDEMEFSEFVEPLRTSLQEFRNKNADKRSEANKKQKEKRRKLNEETLPQKENDPADDAKENDD >Sspon.02G0030000-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:96225644:96228439:1 gene:Sspon.02G0030000-3D transcript:Sspon.02G0030000-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RMEVALVSAVLKIVGTKLAPLAIKEFSSKAGVAKDLQELQDLVEEINNWLQTVGDKSIRNGRSSKWLKTLKEAAYDAEDLVHEFHIEAEKQDIKVTGGKNTLVKYLWTKPKSAVTEFKIAHKIKVVKNRFDAIVKGRSDYSTIANSMPADHPVQHTRKTIGEVPLYTIVDETSIFGRDREKNQITTELIETNNQQRIKIVSVIGLGGSGKTTLAKLVFNDGNIINHFDVLLWVHVSREFVVEKLVEKLFEAITGGMPDHLPLQHVSRTISGKVSGKRFLAVLDDVWTEDRVDWERFMVHLKSGAPGSSILLTTRSRKVAEAVDSSYAYDLPFLSKVDSWKVFQQCFGIAMKALDAEFLQVGIEIVNKCGGVPLAIKVIAGVLHGMKGIEEWQSISNSNLLDVQDDEHRVFACLWLSFVHLPDHLKPCFVHCSIFPRGYVINRRHLISQWIAHGFVPTNQGRQPEDVGKDYFDSLLKVGFLQDQAQYWPTGGDVGCKMHDLIHDLARQILQDEFVSEIETNDQIKRCRYLSLTSCTGRLDNKLCGKVRALYVSGRDLTFDKTMNKQWCVRTIILKYIAADSLPLFVSKFEYLGYLEISNVNCEALPEALSHCWNLQAIHVLKCTRLAVLPETIGKLKKLRILELNGVWSIKSLPQSIGDCDNLQSLYLETCGIKDIPNSLEKMENLRILSIVRCHNLQKLLPSESFGKLWNLQTLTLKYCSRLRNLPQCMTSLSHLESVDLGYCSELVELPEGIGNLRNLKVLNLKKCKNLCGLPAGCGQLTRLQQLSLFVIGDSTKHARISELENLDKLDGELGIKNIRYVNDPGDAEKVCLKKKNIQKLSLDWYSRWEAQPNDVEEELSLNMEKDLHLLNSLEPPSKIEKLRIRGYRGSQLPRWMTKQSDSCGPADDRHIVMQRHPSEFSHLTKLPELIFM >Sspon.02G0002440-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:7977655:7981634:-1 gene:Sspon.02G0002440-1A transcript:Sspon.02G0002440-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIHPRITGGRRQPLPLPLERALLAAITVAAALSILCILSFTDTLTFTDTLSFLGFRPRDVDKRDGNRRYLYWGSRIDCPGKHCGSCAGLGHQESSLRCALEEALFLDRVLVMSAKMCLNSVHNTKGLLQSSNATSQQSWETGSCAMESLYDIDLISRTVPVILDNPRSWYEIISRSTKLGEDGLVVHVQRVSRAELKQNSNYSGALLINRTASPLAWFMECKDRTKRSSVMLPYTFLPTMATRKLRDAANKMKEILGDYDAIHVRRGDLLKNRKDRFGVERSLHPHLDRDTRPEFIKKRIAKWIRPGRTLFIASNERIPGFFSSLSDKYRLAYSSNFSSILNPIIENNYQLFMVERLIMQGARTFVKTMKEFDKDLALCDDPKKNTKDWQEPRRFPSDHEN >Sspon.04G0010450-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:32152452:32157311:-1 gene:Sspon.04G0010450-4D transcript:Sspon.04G0010450-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQYNHRSRLPPPPFGRGGYPRGHKQPYYAPPPPPPFPPAPPLERKYEVLMEAGRLAAEYLVAQGVLPPDALQRGAGVSGAWPAHPLPPPPQLPPQEAPGFYGRRRYEDEYNNNPSGRPRRANGTTSSISSRDDCNRGSYNGRGKRKYGEYRRGYLDSGRDREKERGRPFPNGQRYEDGDEDGAPGFRRERRGNRGSDEVRTSVTEAVREGTPLTAKAVAGLCMEDTTSKIMSSVQDTSKDATDAPKVLEETEEGEVEDDSETQNSETEVIKQGIDTDVNHASCRVVTESDANQLPDGKIQDEILDEEAEYDKKVMDEATLDHNTSDGEVTNMEVEMHVASHKDMLAQEDGLMQEADLSPLIANNRDSLIEETELPPSTASHKDSLIEETNLTQTISSYENNLKLQFKEGTQICDIDMLPQDVHLIESPDQRKIINRDVGAEAVIEMEEGKLDQSSSLNLSDLDLVGSTEVAAIHNNPVLVQPSAVDLPQSQIINSKKILKLLQLSAAGSSAESNNKPQEDPGTFTGANASPTDDLCQLPLDNKDVQVIDIECGTPVDVSGFDLSKSKNEMVCSSMDSIMDPGNGIHTDVLPGLQDGYSLAFSDFLDSDIPCYPSMQSDLHAGIGANDPEGITVMDDPIYGSLTDIGFMDVWGQPTQDYDKFF >Sspon.01G0019180-4D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1D:69316099:69319503:-1 gene:Sspon.01G0019180-4D transcript:Sspon.01G0019180-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARHQERTTSMLRRRPAAGCTSRATDTSRPRRALLLLLGHLLLLSSSARPAFSAQGEAEALLRWKDSLPPPRPTDALASWSLNGSTAAAAPAPCAWRGVSCDAVGRVVGVDVAGAGLAGTLATLDLRSLPSLGSLNLSFNALTGRFFPPNVSAPLLSVWSIDLSYNNLSGPVPATLPAYMPNLEHLNLSSNQFTGEIPDTLDKLTMLQSLVLHSNLLSGGIPPVLGNVSGLRDLELSSNPLGGTIPATLGKLLSLERINVSLARLESTIPTELSLCTNLTVIGLAGNKLTGGLPPSLAKLTRVREFNVSKNMLTGEIPPDYFTSWTHLRVFQADGNRFTGRIPVEVATASRLEFLHLATNNLSGTIPAVIGRMTSLKVLDLSENGFSGAIPRTIGNLTSLDTLRLYDNQLTGRLPDEFGNMTALQRLSINTNMLEGELPAGLTRLPNLLGIVAFDNLFSGTIPPDFGRNLSILSMSNNNFSSGLPPGLCSTPRLRYLSLDDNDISGTVPACYSNFTKLVRFRLARNRLSGDVSEILGSHPDLYYIDLSGNSFGGELPAHWSRFKSLSYLHLEGNMITGTIPASYGAMTALQDLSLSSNRLAGTIPPELGALALLKLDLSHNMLFGQIPLTLGNATGMLRLDLSGNHLDGGVPVELTNLAHMWHLNLSRNSLTGEVPALLGKMASLQELDLSGNPGLCGDIAGLSSCRSEPSRGGSSSKRYRARLVLVVTLVSAAALVLSVAALLCVLVARRRRRAGQDSPDTTASGAGGAMALTTSVWGKDAAFSFGDILAATEHFNDAYCIGKGSFGSVYRADLPGPGGQSLAVKRLDASETGDACRRGISEKSFENEVRALTRVRHRNIVRLHGFSAMGGHMYLAYELVERGSLGKVLYGPGRGCELFDWPARARAIGGLAQALAYLHHDCSPPMIHRDVTVNNVLLDPDYEPRVSDFGTARFLAPGRSDCTSVAGSYGYMAPGNNVKKKLHFTVAASVVCDDVSLSAALTLPWLVLLLSWPHAELAYLRVTTKCDVYSFGVVALEILMGRHPGSLISSLHSRLPDTNGSLLLLLKDALDQRLDPPEGQVGAQVVFAFLVALSCVREDPEARPTMRSVAQELSARTRSVLDRPFTAIKVTDLT >Sspon.08G0008870-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:34039813:34043554:1 gene:Sspon.08G0008870-2B transcript:Sspon.08G0008870-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEAIQEELNRIEAENQAHLSVIAEIMEELISRGDIQQQWEEKMKKEQERALEVDNNLQHVLHELANERTDREEKLADLLKERADLERQNQELINLRSEVDGMYDRLATENEEIMADQQTLENQLSDMTSKHQSVNEAKSYLEAEKEALTMLRTWVEDEAAHVHERAETLEKALRRWRIPED >Sspon.06G0006400-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:22828766:22830946:1 gene:Sspon.06G0006400-1A transcript:Sspon.06G0006400-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPPPRRRRMLALPAVCPCEAIAPAPLLASLLSLAADVAGLRAADDLDALPALRGAAREAVRIAGLLLAFLDGIRVPDEAEAAPAVLVLGLSELHVALQKLRLLLADCARKGARLWVLMNADLAASELRLILGSVATAMDVLLLPGHGHVVVGAAPSADADAEEIAGLVSRHAWRAAARLRPDRDDDRAARGVRTVLARFATGVTPDAEDARLVLARVGLATWSDCAEEAAFLEAELLERLETGSDNDNDLVLIAGLMAFLLYCRVVLFDRIDDSGKDNKAATANRLPPRCAAAFNDLNPEALQCPITLELMTDPVTVATGQTYDRASIKKWIKSGCRTCPVTGERLRSAELVPNVAARGVIEQLLLSRGVPVHEPSSKHRCAVDKTATPFGEAAAGGVRLSAAFLTARLSSASASGGAPEAQKRKATHEARKLSKRNVFYRACLVEAGAVPWLLHLLSSSDASVQENAVAALLNLSKHPAGRRALVEAGGLGLIVDAVNVAAKVEARQNAAAVLFYLSSNPEYCEEISHIPEAVPTLVLLARDGAYRGRKNALVSLYGLLQCADAHGRAVSAGAVDALAGLMLQGSSSASATGDDDHGDLAFDAVALLGRLAEQPAGARAVAASSELVTRLVDFLGEVASRSAKEHCVALLASLGRHCGDTVLALLGKLPGLMPALYALIADGTPQAGKRARWLVNEIHRHYEQRQTPASAPAPATGDRVIRV >Sspon.06G0015780-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:86382601:86386674:-1 gene:Sspon.06G0015780-1A transcript:Sspon.06G0015780-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDDSPFIRGVVSPVDACPLCGGSGAGPPSRVALAKRAPPADASLAASVTVPGGDEASALREQLARQRRGVADLQAELEAERGAAAGAASEAMSMILRLQRDKSEAMMEARQYRRYAEERFAHDAAEVAALHDALDRRDAAVRALAARLRACQARLLSLGFPASPSPASPTAVADRPCPCSDDSDSDEEYRSVRCLDHPADVGTPRTHHLLNRIPSPDDSDKAVVMFGSPRRRHASTFSGGGGVPYDCRIALADEFPLFTADRDAPDQDADDEEADRVYTVDAVHGVPVMAPEDCCYFGDGDVAARARAGAGGWAEEDEIQKLKARLLALEADRESMRHAILSMGDEKAQVVLLREIAQQLCRDTAPFPAVPLKAQPRPQPVVMAQRKVVKRQSSFAKVFILTVIKWVVSIFCWQRKSNRIRYPIGMCGSNVGLMLVLDRFPKQRQKKIPKRKLSASTL >Sspon.06G0023880-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:48468533:48470440:1 gene:Sspon.06G0023880-2C transcript:Sspon.06G0023880-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSRGGRSSAATTRRQPSEYEPSACTTLEQGDLQRRPGRNPDTNRTGDELVVPGSRGLQDAIGTGLDGIRTFLDPLVPKARHAAAAANKDDAACYVDSLLDLTVSEDDVDGSGRRNQLTESEIVSMCTEFLSGGADSTITALQWTMANVVARPEIQSKLRAEICHVVTGIQLDDDEHLPQMPYPRAVVLEALWRHPPGRFMVPRAATEEGGATLHGFRVPRHVSENFTLGDMAMDEAVWPEPQRFRPERFLPGGEGKNVGLTGSREIKMMPFGAGVEDWFVANMAREFEWKEVPGQPVDFAEKLVLTMVMARPLRAMVVPCS >Sspon.06G0004390-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:10946307:10946750:1 gene:Sspon.06G0004390-1T transcript:Sspon.06G0004390-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMRTALTSLPARLRDPSSAAATGGLRLLSDGKGRVLSEEERAKESVYIQKMEKERLEKLKKRLEQEKSGADQGKPADADK >Sspon.01G0003050-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:5294483:5299637:1 gene:Sspon.01G0003050-3C transcript:Sspon.01G0003050-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVATAAVSLHPFLTRPASVLRIGRRLPPLLLRATSSTSTSASPDFNITFAEPAPSKKAPSAQPLVPWIVRGEDGKPRLSTSPPPDVLQAIALAEAEAKKAAKKETLKGQKGAVAAAAASNASLEAKERKAAPTAPPKFSKAARRFYNENIKEREPQRLAKVLAAAGVASRRSSEELIFQGKVTVNGSVCTSPQTKVDVSKDSIYVNGNRISKKLPPKLYFAVNKPKGYICSCGEDSKSVVSLFNDYLKGWNKIQPGLPKPRLFTVGRLDVATYVVTIDGAVHKKHLIAISEGTKIDGVMCIPDLVEPLDAQSDTRKTRLRIVVHEGRNHEVRELVQNAGLQVYALKRVRIGRFRLPADLGIGKFVELKQADIKALEGNK >Sspon.07G0024270-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7B:23052534:23058646:1 gene:Sspon.07G0024270-1B transcript:Sspon.07G0024270-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYKQSTMQPITVQLQESEALASTCSMSNRFYEVGWDVEHLINVNFEILSVLVVALLQGPSSIRLSILQWATACCWPDMAASSRRAIGSWLLLLDANPVARKGISVQNHDTRAGQGSAAVEVVLLVVEGTANVEVLDITAWLGAVQGMDALLLVGSRWRDGRARHRLGEGETEHGVALLVAGDGHGEVEAVGSLSWLDKKEVAMRMAEARRGGQGCRDRLDGEVDAAVLGKAWLMEIAWRGTLAMASRRHDTLGPRPMERGREDGEEKMAAADGGRGRKRRMRWRFIPMSLGLQGTPTILGVYAHIGTRGADPGGSYGCRNVGVRGSAIAVCQERSSLYIPAQLTSSNRGWQEGWFYLRNDDDRLPPYTGHMEEGAPLEGCQMSDTCLTTVEVTRRVTYTVVAGFTVVDLNRVKMRPTWGYISLGLERMVRDFEPPVPEDRERREGNHLFAEEQKQKKDTTKRKRNEDICVREALEKRCRQPGGCLMLPGEGGDASKQRATHFGASAAVPKAGAVGKSSSREIPSSLPQRTKEAAMAAGGLCRPAITAAAAPVTGARGGGGHGGRHSDEEKEDGILQVECAPEGWGAPLVPHKALKSGTLSSPGEAGRMAQWPAAAGAALQCGATSVQANEVVETTQEKAAAEAEATKKQEAKAARVGKASAARVGKASTTKIDEAMMAKADEAEVVKADERAASIDTGKAVVEAASTSPRRKTSQG >Sspon.05G0018010-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:72304541:72312333:-1 gene:Sspon.05G0018010-4D transcript:Sspon.05G0018010-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMCDCRRGWGSGGWLWGRRGPSGFGSASTAEEVTAGVDASNLTAIVTGATNGIGKETARVLAPRGAKVIIPARTLESGLKVKESLAEQVPSSKLHVMEMDLSSLSSVRDFARSFDSSHEHLNLLINNAGIMACPYQLSKDGIELQFATNHVGHFLLTNLLLDKMKSTARETGVQGRIINVSSVAHKRSDGTCFELNKLNDKARYQPFIAYAHSKLANILHANELSRRFQEEGCNLTANSLHPGVIITNIIRYVAGNNNALISVLSPVANLVLKSIPQGAATTCYLALHPNVKDVSGKYFSDCNEATPTAVARDSELAKRLWSFSEELVGINADMPQTTQARATNGIGKETARVLALRGAKVIIPARTLESGLKVKESLAEQVPSSKLHVMEMDLSSLSSVRDFARSFDSSHEHLNLLINNAGIMACPYQLSKDGIELQFATNLVGHFLLTNLLLDMMKSTARETGVQGRIINVSSIAHKRSDGTCFELNKLNDKARYQPFIAYAHSKLANILHANELSRRFQEEGCSLTANSLHPGMVITNIIGYLTNTNVCLTDALISVLSPVANLVLKSVPQGAATTCYLALHPNVKDVSGKYFADCNEATPTAVTRDSELAKRLWSFSEELVGINADMSQTTQASEEEDVQVKDVIQAK >Sspon.08G0026730-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:31607574:31613128:-1 gene:Sspon.08G0026730-1C transcript:Sspon.08G0026730-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPDFSRASGGPSFEFAFNSVNFSDRVLRIEIVAGDDALGAKGAAGEGCSSLADWARHRKRRREELRRGKGEDGESSYSSWSMECTQVLRVKSIYISSAILAAKSPFFYKLFSNGMKESDQRHATLRITASEENALMELLSFMYSGKLTTNQPTALLDILM >Sspon.01G0045280-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:81692560:81698428:-1 gene:Sspon.01G0045280-2D transcript:Sspon.01G0045280-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYMKLGSKPDVFQTEGSIIRFVATELATDIVISIGDVKFYLHKFPLLSKSSRLQRLVASSNEEKNDEVDISDIPGGPSAFEICAKFCYGMIVTLNAYNVLAARCAAEYLEMFETIDKGNLIYKIDVFLTSSVFRTWKDSIIVLQSTKSLLPWCENLKVINHCIDSIASKASIDPSEVEWSYTYNRKKLPSENGIDSHWNGVRKQPMVPSDWWVEDLCELEVDLYKRVIMTIKAKGSTPAVVIGEALRAYAYRRLLGSLEDAVSNGVDCTKRRAALDAIIFLLPAEEGSVSCGFLLKLLRAACLLESGESHRINLIKRIGTQLDGASVSDLLIPPNTDENNIYSIDLIMAIVEEFMLQNSDSVKEKIEDDEEIVEIENVTSVSSTSKLAVAKLIDGYLAEIAKDPNLPLSKLIALAEMVSSLPRPTHDGLYRAIDMYLKEHPSLSKSEKKKLCGLMDCKQLSQDACMHAVQNERLPLRVVVQVLFFEQVRASIASARSDPSSEHPSAVRSLLPRENGNSIGSSRSAATTTTEEECGVPTSSDINSLRSMRLANNSGGSERSSGSSDINKNSDDKSATGKAKGMLMPKKILSKLWSGKTNTGENSSSDTSESPGSVNPEEAKSTQSRITRRSVS >Sspon.04G0012930-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:44924969:44925532:1 gene:Sspon.04G0012930-1A transcript:Sspon.04G0012930-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding KCQEGSARCSRRAADQAEASTTTRTARGAACRAPPRAPTRRRTSAGTASTSPRPRTSRSPTAGSRGPTAARPSCTT >Sspon.08G0020390-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:20209552:20213665:-1 gene:Sspon.08G0020390-3D transcript:Sspon.08G0020390-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Diacylglycerol O-acyltransferase 1 [Source:Projected from Arabidopsis thaliana (AT2G19450) UniProtKB/Swiss-Prot;Acc:Q9SLD2] MHEFWIISSVILQKNFRCDSAVLSGFVLMFLASIMWMKLVSYAHTNYDIRVLSKSTEKAAAYGNYVDPENMKDPTFKSLAYFMLAPTLCYQPTYPRTTLYKPNCEEFQTSTEREFLECYRKSLKTLSANIICQLGFDLHWTLIFHFPWLSCLLFWFGFRLNILAELLCFGDREFYKDWWNAKTVEEYWRMWNMPVHKWIIRHIYFPCIRKGFSRVIASICLQNSTFVLFCFSILQMQFSFKQLLNPSVPKTDMIFSVPTSQIIVSTLQGVAILISFLVSAVFHEICIAVPCHIFKFWAFSGIMFQIPLVFLTRYLQATFKNIMVGNMIFWFFFSIVGQPMCVLLYYHDVMNRQAQASR >Sspon.03G0021150-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:87822441:87826007:-1 gene:Sspon.03G0021150-3C transcript:Sspon.03G0021150-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding YCLVYWLISICTSFAASDYYQFDDLLTSDERSIRKKVRSIVEKEIAPIMAEYWEKAEFPFHAIPKLASLGVAGGTIKGYGCPGLSITASAVTMAEMARVDASCSTFILVHSSLAMVTIALCGSEAQKQKYLPSLAQLTTVGCWALTEPNYGSDASSLRTTATKAPGGWHIDGQKRWIGNSTFADVLVVLARNADTQQLNGFIVRKGAPGLKATKIENKIGLRMVQNGDIVFNKVFVPEEDRLPGITSFQDISKQVLAISRIMVAWQPIGISMGVFDMCHRYLKERKQFGVPLASFQLNQEKLVRMLGNVQSMLLVGWRLCKLYESGKMTPGHASLGKAWNSRMAREVVSLGRELLGGNGILADFLVAKAFCDLEPIYSYEGTYDINSLVTGREITGIASFKPAALARARL >Sspon.02G0050970-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:62763903:62764922:-1 gene:Sspon.02G0050970-2D transcript:Sspon.02G0050970-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAAAAKKHKSGLIENQEPLGGGNGAGESSLDHISRVPDEVLGTIISLLPTKDGTHTQAVSRRWRPLWHAAPLNLGAGSDLTGQDWKRLVFVSKILRDHPSPDGVLSFKMIALSLTTSTRTVKVLALHSLGPNLDSVFDFLKCFPCLQKLYVKLNLESHLRQVVMTRYEGMRPDVDFAKFFVLNAKVLKKMVFGCFNNCNDKWMANQHSRLQLDNRASRGAQFKFRSVGWGSSFAHSNTHSLRMDDPFDSHFWR >Sspon.07G0029060-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:73644835:73649147:-1 gene:Sspon.07G0029060-1B transcript:Sspon.07G0029060-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTLAWLLGACCLRWVLASGGRDGKKSQQVAVRQETTREVEPRRCGVAPFGECGRVGDRDPWTRVGTRRLAAQKRERISEGIEGLGIAIMSREPKSRFRSVAGKKIEKQVRMDATRFHPYASNRRGHGVASGANHLGKPVMDSHIGHKSNILSNGKLALSSMNASKTNKRDNAANDANRQDNVASLLVGQTPIKDSNTRHMNSSTMNVSLDPLLRNALKNNRRDDGVAYLASKLDRRNPLKDKNINHNNSSAMHVSSATLSRTNNKRSVPVEANRQVGHTLRPIKQDSPRKNNNTKRVEAIMPNGNFPRRDDSIVVLRSLDDHDPLKAKTTMNRSKHINNSQSPQFKAMAGLVHRPKDFDGLDGYNDALPSRLNRQVSLDNGGGFIVDNHSDRELTIKETEEASPKQMKKQRNKEAKGDDTSDRNPMGEINGDIYPFGVKDYSERNLTYGPMKRQRCNTKTNEDEAIDNGDMELMEVEDVEAHDCRPKNKKKRGIESNEVDDGKADDCFAKKKLESLPKDHVSLDCTNEATQPEKLTHRKLKKWRQDIMRNEDEELHVDGGDHSPLDADDDSSRLTSQAPIPIDCLAEVPAQFVLEHVKKQCNYCSKPIDEPIWRHDEDLDKLVKEVIEDDLVLQTVIGEAEMLIFPSTLLPERYKTFQRKHYLWGVFRPRQDQCAEVAEPVHDTVWCAQEKEEEQHASNQQDEVQEVHWKSPAKSMQQAAATIGVPGSPDMDFGPEAPEERQLGDALRHTLHCAEATAVATNPAAVTTEAAAVATDPAIVTTEAAAVATDPAIVTTEAATIPTEANENDTNGATIPGHHGRSDSIVGVPPGKFFCFVAGQTPKLEQLIQEMQREGSLHGDKPSSQKK >Sspon.06G0012280-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:65308782:65315134:1 gene:Sspon.06G0012280-1A transcript:Sspon.06G0012280-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHGWAGPFLVPVDIVKLNIPDYFEIVKQPMDLGTIQKKMKAGMYSTPREFAADVRLTFSNAMNYNPVNNDVHLMAKTLSKNFETRWRLIEKKLPKPDEKPPQPDERPPQPVEKPPVREPTKKNSTKRVAIEKEDPTKKKTSKKSVPKQDIFREEDSADNPVLQPKKRKTSPLVQDAPLVEDIVPAGKRVMTSEQKYDLSARLQSYGAFIPDHVVEFIRSHADDCEADEEELELDMDALGDDTLFELQKLLDDYDRVNPSINLTEEEPHEVESWSQYELINPSVCNDEGNELIDEDVDIGENDPPVSTLPPVTQIQVALLEVIQMPKLLNKTAGKNSTCGWLVDKEKDSLNTLNLPEQSTNPIPVSADGEGGNVSEKQVSPDNPDKQIRAALLRSRFADTILKAREKALDQTTKKDPEKLRREREELERVQREERARLQAEAKAAEDVRKRAEAAAAAEAAAEAKRQRELEREAARKALQEMEKTVDINEGSHFLKDLEMLGSVTGEQIPNLVGETSPGFQMGSNTLEKLGLYMKNDDDDEDGDFTDEPVADVEEGEID >Sspon.02G0032000-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2A:116999225:116999611:-1 gene:Sspon.02G0032000-1A transcript:Sspon.02G0032000-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAVVSASHGAMGSLIAKLGGLLTSEYKLLKGAKGQILFLKAELESMHVFLKKISDTEQPDEQDKHWAKEVRELSYDIEDSVNEFMLRVERKAGSKPHGFMGFINRSTKLLTTMNIRHDIAKEFEGLK >Sspon.01G0003610-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:10030905:10032497:1 gene:Sspon.01G0003610-1A transcript:Sspon.01G0003610-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKAGRWLRSFLSGKKDKPPQAVDPPAARKDKRWSFRRPPAAQEERAAEPAGRGGPPDQDQHQGPLGFPAPGVEFDQKKHAVAVAVATAAAADAAVAAAHAAAAVARLSSRGAPRMELPPCLVEDAAAVRIQATFRGYLARTALCALRGIVKLQALVRGQLVRKQANATLRCMQALLAAQSHLRAQRMLALQHHDHHHLHHPTPPRPRQSPQHPRHRRSYEMDRLCEENAKIVEVDVGEPPVRRSAGKDRQLFADHHHAGRSSPAPSAVTELMSPRAYSGHYDEFSVANTAQSSPRHQASEACPGYMANTESSRAKARSQSAPRQRTDALERLPSRRKGTPPRTAKMQRSSSLAGGAGATPRGAGHYSSPWSSGARLDVSTASVKDSECGSTSSVLTAATVYSRTRSLVGFEVRRGLY >Sspon.04G0024270-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:18594971:18596375:-1 gene:Sspon.04G0024270-1T transcript:Sspon.04G0024270-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNQRRRAGCDHQEYSGRHLMHEPDRDTPERRDWAKLPRDLAAEIAGCLLGIDLTEYIRFRAVCKPWRRSTDDPRLLNSRFFPRNWVMLSNYGEDTRRRLLNVATGALIVDDFPELSGHHPLGCAEGILVLLNTATSGIRLFNPLTRAVTDLPGFSSWSPASLNALMAATDGTVLSYSGFGVIDDGGTAASPPTVVLFLSAQLKVPLLAFIRPGESHWALADTSELTCRAYQVSVLSLHGRFHLSTSTGDVLTVELHPEPRLVHAVRKTAPPATAMVPGICSFYLAPSGNNDGAGMLMIKVHASGEDEDHGEQVEVFEVDVDGRRLILKSTVGADRVLFVGKQ >Sspon.03G0012000-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:43077344:43081985:-1 gene:Sspon.03G0012000-2B transcript:Sspon.03G0012000-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTGGRGIMKEIFACIPTQPIPSPAEGSVSAAACSVVGEAEADEDRISRLADGLLSNIVSRLPIKDAARTATLSPRWRRVWSSTPLVLDDAHLLPDPDEPDGPLGFGTDWRSIADAVSSVLAAHPGPFRCVRLTNVCSYAAVRDRGALARDWLRVLVDKGVDDLVLVCPRWPLKAKIPADILRVAFLRSLYLGLWDEFPGSTKSLRRGNVAFPHLLELGLCRTDIKTADIDHLLQCSPLLEKLALVACDNSPDCVRVRSRSLRCVLFWMSVANKVTVLVVPRLERLILWSECPGARLDDDFRTRLNIGYVQELKVLGYLDLRIHVLEISNTIIEERRRRLAAMAPEAVVEMEDILRVVLTCLPALPFRTTGASRRFHSPRGGGGGEDRISRLPDAVLSNIVARLPAKDAARTTALSRRWRRVWASTPLVLDDSDLLVFHGDGGRSARVNWPAVTDAVSRVIGGHRGPIRFVRLTCCSMELAARHGILQYWLRVLAAGGVEDLVLVNRPFPRSLRLPVDVLHIASLRTLYLGFWQFPDTAALPRGRAAVFPRLQEIGLCATTIHSRDIDHLLACSPVLEKFAIIASLYHTRCVRIRSCSLQCLVFWNSLADELDVVVAPRLQRLILWQACQCMIIGSVTFPTRVRIGHATELKVLGYLEPSIHQLQIGSTIIE >Sspon.04G0006290-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:14013432:14016642:-1 gene:Sspon.04G0006290-2B transcript:Sspon.04G0006290-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSSEIRFGHQTPLSHSDTDSYEEEEEEEEEEEEEEEFEGEEEEEMDEVTVSSPLMLRATEARGGVSVVEMVTGALRRSLMLCSSSAGAGVREPEELEEDGGGATPPGMQIGGPTDVRHVSHVTFDRFVGFLGLPADLEPDVPHPVPSASVSVFGVSPTSMQCSYDRRGNSVPTILLTMQKKLYSLGGLQAEGIFRINADNSQEQYVRDQLNRGVVPDGVDLHCLAGLIKAWFRELPSGVLDSLTPEQVMHCNTEEECSHLASTLPPVEAALLEWAINLMADVVENESYNKMNARNIAMVFAPNMTKMADPLTALIHAVQVMNFLKTLILKTVNEREEAAKVNRAFPSSSGSPSDKDEPQTLEHLDMPFACSSQQNVDYPIIDEAKLDQFLFRVEEALHHETQGSTDGPKNHDSNRGDQKSNSEITPLDTDLTSQTEFSNSNEEGLFDKFKFRKGVGRLCRHPVFQFSRSMKKSDEAEQACYD >Sspon.04G0013660-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:54060650:54063763:1 gene:Sspon.04G0013660-3C transcript:Sspon.04G0013660-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGSQNGGPRPRPVKAETIHGLARAGDLAGVQRKLRENPALLNDKNPVMCQTPLHVAAGYNNTEIVKFLLNQQGAETVDLEAKNMYGETPLHMAVKNSSCGSTNLLLEHGAHIEAKANNGMTPLHLAVWHALQAGDCSTVSVLLSYNADCFAKDDEGKMPLNHIPGGAGSEKLLKLLTHHMEEQRKKKALMSCLEGKAMSEFEEAISQIVGLQELKMQLRRWARGMLFDEKRRAMGLGIARRRAPHMAFLGNPGTGKTMVARILGKLLHMVGILPTDKVTEVQRTDLVGEFVGHTGPKTRRKIQDAEGGILFVDEAYRLIPMQKSDDKDYGLEALEEIMSVMDSGKIVVIFAGYYEPMKRVIASNDGFCRRVTKFFYFDDFSTTELAEILHMKMKSPSESSLLYGFKLHPSCSIEVIGELIARETTEERRKQMNGGLVDTLLINARENLDSRLDFNCDDTDTMITITLEDLEAGLRQISRQRQL >Sspon.01G0033410-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:114316536:114320197:1 gene:Sspon.01G0033410-2P transcript:Sspon.01G0033410-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQRNVLALGEHVLKAIVRKRKHETAMEHPEGNDVMVFSQHDPRKHPVTFDDRAKCKKSKLISCGSGAILESYWNFKTSGLPVRVLFYQHGDWSDFPEDVVNLAQQDFQLKRPITTAVFQNKHILLDFVHMICIDYEMTIDKPLAWVDDHGKHFFPDLSAGLYTSKPSQHEKGEADECDGMSSVAESSSSVSVGEVVSHSKRINNIADDNLKAHNRLHEAVGENNSGPSFHLNEYFSGTIQATGKPNNGPLVDSAVQNLLLKGLGQPFSEKDIIGIYRTPLLDQRWQVRCGLFQKEVEETRSCRGNANVRYAWLPCSRNTMEQMTMRGALETAKPQKGSMFGVGTCLAPANCSNSCARYTDFQEDGIIRMMLCRVIMGNVEVVLPGSKQFQPSNESFDNGVDDLQNPQNYIIWDANVHKHIYAEYAVIVKVPPVTNECLVSKDSMPNISEIISTGSPDNLTKEDRFQTLAPSGVEQEAPKLGHAPRAPSSPWMPFSMLFAAISTKVPRSDMDLVIRYYEEFKRKRMSRSDLVIRMRQIVGDKILVSTIMRLHQKSPPMPAAGLPRALGRGKRE >Sspon.05G0026140-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:5C:30133951:30134126:-1 gene:Sspon.05G0026140-2C transcript:Sspon.05G0026140-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MESGTSRPPASGTAAADFPELLGFCARAEALITELLLLSDRAPPLFADRRFDPVLFDF >Sspon.08G0018940-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:23745353:23749979:1 gene:Sspon.08G0018940-1P transcript:Sspon.08G0018940-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSSSRPLRQRHHSSPLLILLVSVSVLLTSLPISATADGLPELGGGDGLYREILRDETVLRLNELGKISDGEGYLERTFLSPASIRATAVIINWMKDAGLTTWVDQMGNIHGRFEPTNSTKETLLIGSHMDTVIDAGMYDGSLGIICAISALKVLKVTGKLQRLTRPVEVIAFSDEEGVRFQTTFLGSAAVAGTLPESILQVSDKSGTTVQDVLKMNSFEATSTAISQARYNPESVGSYVEVHLEQGPVLEALRYPLGVVKGIAGQTRLKVIVDGSQGHAGTVPMKLRRDPMVAAAELVVTLERLCKEPNKLLTYDECSCFTEESLAGLVCTVGELNTWPSASNVIPGQPCPQQVNFTVDIRAMDDQVRETIVTSFSRLVLQKCDDRLVDCKVEHKHSAAATPCDPELTSQLKRAARSTVSAMPGAPWRRPARRPC >Sspon.05G0009740-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:19430557:19436966:1 gene:Sspon.05G0009740-3C transcript:Sspon.05G0009740-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MACTHLAAAGASSPAAAAVRSPAHSRAAAFARLRSTPCFASAGLSIKGNRAAAFPLVAAAGPAAAAPVADLDGRPAAEKQSIIVIDNYDSFTYNLCQYMGELGLNFEVYRNDELTIEDVKRKNPRGILISPGPGEPQDSGISLQTVLELGPSIPIFGVCMGLQCIGEAFGGKIIRAPSGVMHGKSSPVYYDEELGKALFSGLPNPFTAARYHSLVIEEETFPHDALEATAWTEDGLIMAARHKKYKHIQGVQFHPESIITPEGKKIILNFVRFIEELEKQRS >Sspon.02G0058820-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2D:84000713:84002151:1 gene:Sspon.02G0058820-1D transcript:Sspon.02G0058820-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPAVLKLICDAFNRRFDELEARLDRRFSPSPTTEPRDAVEEIRVGATTPEPLIASAPICSTTTVSIDEHSPDPAAARAKSDASTVFNDANPIHLDRANVTLLVTSGALAEQDTAAHFATEFTFPAPVVQQVAEHFGSLVARAEQEKRVVVVPAKGVGASGRVCIAWLHPSRTLALDELPQVLHSRCSTFGPTHIKRATASVSTTPAPLRLRRPRRAPPHPPWLRRPRCYQPRPPRLGVRAPRPPRRRPLPHALRLRTHPVALAASGRWSAVAIFAEGLHLRSAAVGRQHLRPCRVLGRPGQLGLLPGHHGQPGLQPGRRRGRHPRDPFRALFTIPKPGHFILRADADLAASGRIYVRFALVDNRLSDLSSFIPAVAVFATGGEASFNIFCNDVSDAIPADAFARIMKDILAGHGEASSPLLLRGHGPAHAHAASVLDDLAATARLN >Sspon.02G0015850-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2A:43439268:43439753:-1 gene:Sspon.02G0015850-1A transcript:Sspon.02G0015850-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MANSTSAIPMPARSAGRMTKAAVFCRRISRCTVKAVATSPRALCPEGSKNDYYKVLSLDHSAAVGAEEIKRAYRRLALRYHPDVCPPSRRAESTELFLELRRAYETLSDPAQRLRYDAELRASGQEDPAAGAAFARDVWEAQLCALRARSEQRQSARSGGVR >Sspon.01G0058410-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:27763346:27767693:-1 gene:Sspon.01G0058410-1D transcript:Sspon.01G0058410-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKGASSSKGNRNVAGRVFRSSAIMKRHDYTTIESEDGYHIQIDGLLNIPKTCENGFSEENGATPAPIVVDLQREPGLSSKSIVLQVDSRSSQVPSGIHCRTDSIRWSMVVGGSGRGRADEIYFKLRTSIGRKARRMQAQSDFFDPYRIRVVISCTTSYILKQTDLVVKPIVFQSTKNFRQDCLLGEGGFGHVYEGRLENWQELSIGVNILEPMQSTGIY >Sspon.01G0038790-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:22358722:22362175:-1 gene:Sspon.01G0038790-1P transcript:Sspon.01G0038790-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASSTPVDASGEPIPTSSVLMAVSKHIAVRCRPENVAFLNCKKKDPNPEKCLEKGRQVTRCVLSLLKELHQKCPKEMDEYAGCMYYYTNEFDFCRKEQQAFEEACPVSE >Sspon.04G0000680-3D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4D:22207031:22220964:-1 gene:Sspon.04G0000680-3D transcript:Sspon.04G0000680-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MIAAQLPGRTDNEIKNYWNTHLKKQLHRMGLDEPPPGPAAGSPAARHMAQWETARLEAEARLSLLATATAASSSGATATTAAATTSASSSSTTVDLKACCAKPADIFLRLWSSDIGDSFRRNRKTAAAPPAGPPALLPPAPVVVKRKQDAMMIKQELPQALLLGPGPGDDSSAASNETEVAEALEEYQMFLDFAGEELGLFHGRHGGFSLFPPLDVLAEASLDT >Sspon.08G0005070-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:15114623:15115577:-1 gene:Sspon.08G0005070-1A transcript:Sspon.08G0005070-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFCHQLKLLPSGLFKYMKKLLVLNLSGCTFLEVLPEFCGNDAGCQMLETLDLSNCTNLAGLPNSCTSLCELRCLYLSGCSRIQNFLNLIPRWKFGKLEYLNLSRVDAKAYSEDPGTSVGNVESSEDPNRELELGMLQDDIVTQRLVCLKYLSVGGFTLISEQGIARLTFKTLPNFDVRPQYDDNHSNIMLLQQLLDLTHHELNIKCLENVVSPEEAEEVGLGRKQQLHVLSFEWSSSDAGSSIGNDRVTNATGVLENLRPHRNLQRLSIKGYNGTIFPDWINNINDTLPNLVKIILSDLKGCDHIPALGNLPNLQ >Sspon.01G0010170-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:28096600:28103396:-1 gene:Sspon.01G0010170-2C transcript:Sspon.01G0010170-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSAISETFPEPNRRLLQRILKMMHIIASHTSENRMTASAVAACMAPLLLRPLLAGECEMDEVFDMDGDDSAQLLAAANAANSAQGIVATLLEEYDGIFHDEHLRCSLSPESQIEDSGTEASTDDGNLDAKGNGFHDAENDVDQEMDDDNGVERILSGKLSESSGYAGSDLYDYKAVNAEDSDAERPVEVLGGNLDLSKVQNSCSTENGSANVNTLLSENNPSNPTVGHETLSMGEILSSFDPGISVPSQNSEYSVERQSNKINGSHPHVKRSNFWGRNNARKSQNSESVDSSGEEELAIQRLEIAKNDLQNRIAKEARGNAILQASLERRKQALHERRLALEQDVSRLQEQLQAERDLRAALEVGLSMSSGQFSSARSMDVKTRAELEEIALAEADVARLKQKVAELHLQLNQQRQHQNFVQQGFDMNLAFCNQEKQRNEESSVESSQWRNIKQHVLPYGSSRPLTRKLSFDASSSESRGTEASTSMSTENTSVSINVPKLAEGIEFGRQPMVTSSTLVELTTRLDFFKERRSQLMEQLHSLDLGRGSAQGFPYKPPSPWNHPRIGKEGMGTRKVPV >Sspon.03G0009110-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3A:24941217:24946627:1 gene:Sspon.03G0009110-1A transcript:Sspon.03G0009110-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNCKKAISEEVEIVKQLDAQLKSLKEAPGTRRSSSPPIRSNNKSFVFQPLDEYPTSSPAPFDDPDVWAPPRDTPTRRPTRGQSSARKSSQDGAWARGSARTGTPSRSAKPNGSKGGSAVKSSAASNSSLRKGKPSSSKADSASSDAEEGKSKKGQYEGPDMDLAAMLERDVLDSTPGVRWDDVAGLSEAKRLLEEAVVLPLWMPEYFQGIRRPWKGVLMFGPPGTGKTLLAKAVATECGTTFFNVSSATLASKWRGESERMAEAGEAYYIPLPDFESRKALININLRTVQWLIVIAVPPLYRQIAADVNIDEVARRTEGYSGDDLTNVCRDASMNGMRRKIAGKTRDEIKNMSKDEIAKDPVAMCDFVEAL >Sspon.01G0056600-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:91050960:91055564:-1 gene:Sspon.01G0056600-1C transcript:Sspon.01G0056600-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GSMRATVRTRRPRPPRPSPEVAKAPISPTTRSKPEKDLSPLTLPIRAFKLRLANGPPLAPTAKAFKSYSETCASLLRHCRATRAASTATSAYAPSSGFLPLVLSLHAHTLRSGLAADRSVASNLLTAYAAFARAADRDQAFRDCVAADAASSFTYDFMVSEHVKAGDIASARRLFDRMPEKSIVSYTTMVDALMKRGSVRDAVELYERCPLYSVAFFTAMIAGFARNELHKDAFLVFRKMLSCSVRPNVVTLICVIKACVGAGEFDLAMGVVGLAIKCNLFEKSIEVQNSLITLYLRMGDAAAAHRVFDDMEVRDVISWTALLDVYADLGDLEGARRVLDAMPERNEVSWGTLIARHEQKGDPSEALRLYSQMLVSGYSWNGKMVEAEDLFKKMPARNSASWNTMISGYAGNRRFGDALNYFCAMLASGQIPGEITLSSVLLACANLCSLEMGKMVHAEIVKLGIEDNIFMGTALSDMYAKSGDLYSSRRMFYQMPGKNNITWTAMVQGLAENGFAEESILLFEDMIANGIAPNEHTFLAILFACSHCGLVEQAIHYFETMQAHGIPPKDKHYTCMVDVLARAGRLPEAEELLMKVPSKSDTSSWSSLLSACNTYRNKEIGERAAKKLHELEKDNTAGYVLLSNMYASCGKWKDAAETRILMQGASLKSTVILNIIVMTLYFTQFLKLFCRLIGLESRCFWYTQFWLSAHMATWEGWSLGLRRLFD >Sspon.06G0002290-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:7304211:7307540:1 gene:Sspon.06G0002290-1A transcript:Sspon.06G0002290-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALAGAASSSTLPVRRPPSRVAAQSLALRPSRCGPLRAAGAGGGGGSGKDDAPAAPAANGSPVLKLKSDSSQNGVLPITADKSQKASSTSVSTDSSGSRAGLFRTPISGGVQSATFAHGLPPPALAVRNLMEQARFAHLCSVMSGMHHRRTGYPFGSLVDFANDSMGHPIFSLSPLAIHTRNLLADPRCTLVVQVPGWSGLSNARVTIFGDVYPLPAEQQEWAHKQYVAKHQQWASQQWGNFYYYRMHNISDIYFIGGFGTVAWIDVKEYETIQPDKIAVDGGDLQSLKELNAIFSKPLREVLSSEGEVDDAALISVDSKGIDIRVRQGAQFNIQRLAFDVPHKVETLEEAKKALHKIIKDKQQIK >Sspon.03G0010740-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3A:29338457:29338917:1 gene:Sspon.03G0010740-1A transcript:Sspon.03G0010740-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SSVEAEFFTEYGDASRYKIQEVIGKGSYGVVCSAIDVHTGEKVAIKKIHDIFEHISDAARILREIKLLRLLRHPDIVEIKHIMLPPSRRDFKDIYVVFELMESDLHQVIKANDDLTKEHYQFFLYQLLRALKYIHTGKGNVAIRYALFSWKI >Sspon.03G0017630-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:57299351:57301155:-1 gene:Sspon.03G0017630-4D transcript:Sspon.03G0017630-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTKLAVLATGAPPPPRPPHPSRHQRHIPRRRSFKPPRSLLDGGQPSPPPPVPSSRRRAWPAGRLGSALRCGVPLGPLLDGIHSRVGLQVYGNGALDAGPLHTHILVPPLLGAFYLTVGLLHLFLDQMAPPRSSKATGTARKTATSLLVLALFIELSAELYRAGVPSNVEAYTLFAAAEFVWVFLDGSWLGFAIACLVGTVCPLAEIPLIKLLGCWSYPNADVHLLGEGLVSWTTTCYFVYTPFLANLARWLDSRLATNDGGAQGDDEAARS >Sspon.05G0021010-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:78058399:78059454:1 gene:Sspon.05G0021010-1P transcript:Sspon.05G0021010-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKASGGCSAPPPTDMGFLICCARLPQLLLLLLLLTTATPPVAAQPPSPSSARALDAALQDYAFRALSARPRTGIVYNATVPGNLTGIAASALRLRSGSLRRRGFPGYFQFAVPPGVVVQPHVERVVLVHHDLGNWSERYYPLPAGYTYLAPVLGLLVYDAANLSAVGLPELSIVASGGPISVAFGSVRAVQAGGAVPRCVVFDLNGALQFRDLEGTNVCTTYRQGHISIVVNSSGIAPTPAPPPPPPPAGAIAPPIPAEGGGKKGSSDAWKIAVGVVGGAAALGLLAALLLCLVRYKRDKKLQLMERNAEVGETLQMAQVGRTQAPVASGTRTQPVIENDYAA >Sspon.04G0010310-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:30148931:30153603:1 gene:Sspon.04G0010310-3C transcript:Sspon.04G0010310-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:QQT1 [Source:Projected from Arabidopsis thaliana (AT5G22370) UniProtKB/TrEMBL;Acc:A0A178UBM9] MVFGQVVIGPPGSGKTTYCNGMSQFLSLLGRKVAVLTAIHLIDAHLCCDPGKYVSALLLSLSTMLHLELPHINVLSKIDLIENYGNLAFNLDFYTDVQDLSYLQYHLEQDPRSAKYSQYESFIAVAEKMRNDYDFLHTLDASILPRGDEAVKGLVIYRVYNSMQGSRFVCI >Sspon.03G0023400-3D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3D:56329568:56334741:1 gene:Sspon.03G0023400-3D transcript:Sspon.03G0023400-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCVCGRPSAFDDGQCRATPPPAAKLSAAVRREEEARKQQLQLQQHARAGSGREEALERRRAMMAMAAACQVRSPVPRAVEAEQVAVGWPPWLVAVAPEAVRGWVPRRAESFEKLDKIGQGTYSNVYRARDLEKEKIVALKKVRFDNLEPESVKFMAREILILRRLDHPNVIKLEGLVTSRMSCSLYLVFEYMEHDLAGLASFPGVKFTESQNMLTISTTVVQVKCYMQQLLRGLEHCHSRHILHRDIKGSNLLIDNRGILKIADFGLASFFDPEQRHPLTSRVVTLWYRPPELLLGATNYGVSVDLWSAGCILAELYAGKPIMPGRTEVEQLHKIFKLCGSPSEDYWRKSKLPHATIFKPQHPYARRVPETFKEFPAPALALVDVLLSVDPADRGTASSALQSEFFTTKPYACNPSSLPRYPPSKEFDAKRREEEARRQGVTGGKQHKHDPERRTRESRAVPAPDANAELVSSLQKRQAQANTRSRSEMFNPCKEDSASGFRIEPPQPTPVTESSEDPQRAYPTRIFHSGPLVNQSQTSKAGGGKNGELQVPGVANHHVVVSTRSGLRTDDSSRTMVAQAEAFAHGRRLSESINEHFSNSGKYDQVFPKKDDRNIRADGAICYGSKGNKIHHSGPLTCPSGNVVDEMLKENDRQIQEVFRRTRVEKSRARRDHGHHQGGIRPGDFGAIPVFPSSRSSYQAMQQ >Sspon.07G0016240-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:57836806:57842938:1 gene:Sspon.07G0016240-1A transcript:Sspon.07G0016240-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRKKRTGPGESSGEASGAPGQGSSQRPQATQQGARGGGQHQGRGGYQGRGAPPSQQPGGGPPQYQPRDYQGRGGYQGRGGPPSQLPAGGPPEFQPRGYQGRGGYQGRGGPPSQHPGGGPPPGSQPQDYQGRGGPRPRGGMPQPYRGGHVGGSVGPSVPSGPSGPVPELHQAPDVQRQAPVVATPSPPGAGSSSQPRKAEVSTGQVQQQLQQLAIHEQSSASQAGQVAPASSKAVRFPLRPGKGTHGSRCIVKANHFFAELPNKDLHQYDVSITPEVTSRGVNRAVMGELVNLYRHSHLDGRLPAYDGRKSLYTAGALPFTSKTFEITLQDEEDSLGGGQRRQRVFRVVIKFAARADLHHLAMFLAGRQPDAPQEALQVLDIVLRELPTARYCPVGRSFYSPNLGRRQHLGDDMSSTAFIEPLPVIEFVAQLLNRDISVRPLSDSDRVKIKKALRGVKVEVTHRGNMRRKYRISGLTSQATRELSFPIDDRGTVKTVVQYFLETYGFSIQHTTLPCLQVGNQQRPNYLPMEVCKIVEGQRYSKRLNEKQITALLKVTCQRPHEREKDILQTVHHNAYSEDPYAQEFGIRIDERLASVEARVLPPPKLKYHDSGRERDVWPRVGQWNMMNKKMVNGGRVSSWACINFSRNVQDGAARSFCHELALMCQVSGMDFALEPVLPAGYARPEHVERALKGRYQDAMNILRPQGRELDLLIVILPDNNGSLYGMDLKRICETDLGLVSQCCLTKHVFKANKQQYLANVALKINVKVGGRNTVLVDALTRRIPLVSDVPTIIFGADVTHPHPGEDSSPSIAAVVASQDWPEVTKYAGLVSAQAHRQELIQDLFKVYQDPQRGGSVSGGMVRELLISFWRATGQKPKRIIFYRDGVSEGQFYQVLLYELDAIRRACASLESDYQPPVTFVVVQKRHHTRLFANNHNDPRAVDKSGNILPGTVVDSKICHPTEFDFYLCSHAGIQVGFPCLIAEFQLFVLFYTNDGIPFGEQAACPLSCSVDETNLLLMVANSHQQPVLHHQFLNSRSTFSGMLGALAQYQSFRLHTMLTWQPSELASTWSRIPLKWVYGKRCFDKPCAAAGGHGVRVHRARRAARRPRRRQDGRGGNRRRAHDHGGERGTRGASEEKRSRGPTP >Sspon.03G0001680-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:20761438:20766967:-1 gene:Sspon.03G0001680-1P transcript:Sspon.03G0001680-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPGAGAHYSARTAEEVFRDFRGRRAGMIKALTNGMLPSLALLFRISPAYLAGGFAGADVLLGLVVCADVEKFYQLCDPEKENLCLYGYPNETWEVTLPAEEVPPEIPEPALGINFARDGMNEKDWLALVAVHSDSWLLAVAFYFAARFGFDKEARRRLFNMINNLPTIFEVVTGVAKKQNKEKGPNSTSKSNKPSSKMTSRPESHSKVTKVAAPPKDDDDESGEEYEEEEERDNTLCGSCGTNDGKDEFWICCDSCERWYHGKCVKITPARAEHIKHYKCPDCSNKRARA >Sspon.01G0003800-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:9923809:9925696:1 gene:Sspon.01G0003800-1P transcript:Sspon.01G0003800-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQQRTLVYSFVARGTTVLADHAEVSGNFASVAAQCLQKLPANNNRFNYNCDGTHSTTTYMKDSVMHLLPIGFIERVKEEFSKKYSGGKAKNANANGLKREYGPKLKEHMRYCDQHPEEIDKLAKVKAQVTEVKGVMMQNIEKVLDRGEKIELLVDKTEDLRSQAQDFRKQGTKIRQKMWWENMKMKLIVFGIVVALILLIVLTIRRKHKKGKNKKNHRECISITTQDQNPSPKISR >Sspon.03G0009580-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3A:25983254:25985008:-1 gene:Sspon.03G0009580-1A transcript:Sspon.03G0009580-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFDVGFVPYNPDGWGPPEAAAAPLSLGGGSSSVPFAPFSRSDKLGRIADWTRNPPGPAAFAAARDAVFDFAGLEDSIGLASADDSSFRLVDGKPPPRHPRFGPRWRFQQRPQLPQRRDEEVEARRREAEKERARRDRHWQQNRRTHHQFNRGGPSSSSAKPSVDIQPEWSVKEQIPFSSFSKLSFAVADQPEDLLVCGAVEFYDRTYDRVTPRAERRLERFKSRNFFKVTTTDDPVIRRLAENDTATVFATDTILAALMCAPRSVQSWDIVIQRVGNKLFFDKRDGSQLDLLTVNETAQEPLPEAKEDINSAHSLAVEATYVNQNFSQQVLLRNGEKVTFDEPNPFATEGEEAASVAYRYRRWKLDDETSLVARCEVHAVNIDPRGERQFLTLNALSEFDPKVTGVDWRKKLETQRGAVLATELKNNANKLARWTAQALLAGADMMKLGYVSRLHPRDHYNHSILSVIGYKPRDFAAQINLNTANMWGIVKSIVDVCMKLGEGKYVLVKDPVKPQVRLYEVPNDAFENDYVEEPLPEEEQVRPFSEDVDATAQEMDAAAEAEATGTTAGAEGDAEKSSDVAAV >Sspon.03G0040650-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:20183041:20198412:1 gene:Sspon.03G0040650-2D transcript:Sspon.03G0040650-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAAATPALRRRPLSVHKESNGTAAATGAAAARVGRAGHAEELGEPVDSSARLVEDFYIVVVIGTSTPVNLAAVRAGIEAQLARYPHFRSIQVKDDASSEDGHRRWVPTTVNLEDHIIYPKLDAAAVARDPDRAVEDYVATLSTLPMDWSRPLWEFHVLDFPTSEAAATTAVRVHHSLGDGMSLLTLLMACTRSAADPTRLPAMPPPPTTRTGAIWERPRPPASAGALAFVAWVWSFAVLAWHTVVDVVAFFATILFLRDPHTLFKRVSHGEHQRKRLVHRGLSLDDVKFVKNVMNCMLHVPGQTVNDVLVGVTYAALSRYYFRNTGEADIKKEIRVRSILLVNLRPTTSLQQACVNMIESGKESDVKWGNELGFIILPFHIALHDDPLQYVRKAKKVVDRKKSSLEVVFTHLAAEVILKIFGLKWIYQDHRMDAAAATPALRRRPLSVHKESNGTAAATGAAAARVGRAGHAEELGEPVDSSARLVEDFYIVVVIGTSTPVNLAAVRAGIEAQLARYPHFRSIQVKDDASSEDGHRRWVPTTVNLEDHIIYPKLDAAAVARDPDRAVEDYVATLSTLPMDWSRPLWEFHVLDFPTSEAAATTAVRVHHSLGDGMSLLTLLMACTRSAADPTRLPAMPPPPTTRTGAIWERPRPPASAGALAFVAWVWSFAVLAWHTVVDVVAFFATILFLRDPHTLFKRVSHGEHQRKRLVHRGLSLDDVKFVKNVMNCVGEADIKKEIRVRSILLVNLRPTTSLQQACVNMIESGKESDVKWGNELGFIILPFHIALHDDPLQYVRKAKKVVDRKKSSLEVVFTHLAAEVILKIFGLKAARATFHRMISQTTISFSGMIGPVEQVEFCGHPIVFIAPSGYGPPEALTVNFQSYVNTMMVNLAVDEAQFPDSHELLDDFVESLRLIRAAASSLGKNQRND >Sspon.04G0000510-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:3295208:3298091:-1 gene:Sspon.04G0000510-3D transcript:Sspon.04G0000510-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRRGGPAPATAVASPAVLGTVAVMALVYYSTVFVFLDHWVGLATPAGAAHAAAVSLAVAACFLAFVCAAGADPGTVPSAFAPDAEAAQGQGLKSRYCDKCCMFKPPRTHHCKVCKRCVLKMDHHCVWINNCVGYANYKAFIICVLNATIGSLYSFILAGVLLFFLSLTIGSLLCWHIYLLCHNMTTIEYREAVRARWLAKKSGQKYRHRFDLGIRKNIQMILGPNILCWLCPTATGHLKDGTDFQITNN >Sspon.08G0013530-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:68345751:68363098:-1 gene:Sspon.08G0013530-2B transcript:Sspon.08G0013530-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAEAARGASSTANPSILFLPAAFLFLQGLLRPTVPPLSCLQPSSLLPPHLAVLVDLGDGLQTGPYLDRPFPSDPTGVSCGRRDTSPLLQAPYAVSEQSEPRSPARRRAGVVFATMNSIEPFNRLVRLAARAFYDDVSMKGDNQPKTSRGDNRGMAVVVLDALTRRQWVREEDLAKALKLHSKQLRRILRFFEEEKLVTRDHRKESAKNTKAHNAAAAAANDGQPAAKEGEEKVKMHTHSYCCLDYAQICDVVRYRIHRMKKKLKDELDSRNTIQHYICPSCNKRYSAFDALQLVSYTDEYFHCETCNGELVAESDKLASEEMGDGDDNVRKRRREKLKDMQQRIEEQLKPLVAQLDRVKNLPAPEFGSLQTWERANIGAFAIGDPAAADSSRNSQGQYGTPMPFIGETKVEVVIDGVKEEGAESGKNGSELKVLPPWMIKDGMNLTKEQRGEKIEPQKLEISEAKDDKKQDLKDDQSVQEEYIKAYYEALRKKQEEEEAKRRMQQEGKVFVPDSQTERQVGKKYKRDDDDEGIEWEEHQPTGTGNETETYKLADLNAEAQESGDDEDDNVWEEG >Sspon.01G0006500-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:17084872:17088146:-1 gene:Sspon.01G0006500-1A transcript:Sspon.01G0006500-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEGAKAMLAKPIQLADQVAQQAGYQCLRTDCTELRSRAKKLAELLRQAARAELYERPAARVMADTERALHKAAGMAARCFQSHSRLRRFFTLNPVSGLPRTLALLDTALEDIAWLIRISSPQDDDDGDLRGLPNIAQNEPVLSMIWDNIARLHTGGLAARADAAATLASLARDNSHFAKYIVEEDGVAPLVKLLKEGTDEGQEAAATALGFLCRDEDSVEKLLHSGVCSVFAAALKEPPMRVQAAVADAVASLARHSQKCQDLFAQSNAVRHLVTHLASGTIKEHSRYSVGVNGSRNTVTAAAAATTSLDNLHSVVLAKSRSVRQGEPGSSTNGNQPPNLLETSTGQQRARANQMQSVVQSAMVATNTTTNGVMPPGARPQLSSNGSSGRGSREAEDPATKAQMKAMAAKALWMLARGHVGVCKSITESRALLCFARLLQSGDGGAGTDLQFYSAMAIMEITRVAEHNLALRQSAFKPSATAAKAVVEQLLRIVRKGDDDDLLRPCVTALGCLSRTFTASETRVIGPLVQLLDDREIPVMKEAVVALTKFACTENHLHVNHCKAIVDAGGARHLVQLVYLGEQLQIEALILLCYIALHVPENEELAQAGVLAVLLWASKQARMAQDLRVEALLPEAKARLDLFQSRASRKNVSVVIVLSYEE >Sspon.02G0054470-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:113864401:113865375:1 gene:Sspon.02G0054470-1C transcript:Sspon.02G0054470-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding HSRSPTGDEDDGVGSDKGRGGKHGALGNEGQEARCGAEDRGGSRSIPFHARETGGVMCAGWGVGAWAGFRKGVASGRTHTLDVRANRHFITVRTCRNYLFLSTFRED >Sspon.08G0011110-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8A:48547207:48552767:-1 gene:Sspon.08G0011110-1A transcript:Sspon.08G0011110-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAAAVAGAWLDLDGPTALLPRRLWPSSVGGGRAELFPFPMLGWPWPASGGEALRAAWDAARAAAVAPALAAASWVCLALSAMLLADAVFLAAASLLARRRPYRAPGPIAGSTAEEDGDGDEEAGCSVGYPMVLVQIPMYNEREVRVLSLYFGHQRNAMLRTVYKLSIGAACGMSWPSDRVIVQVLDDSTDPTVKDLVELECKFWANKGKNVKYEVRNNRKGYKAGALKQGMLYEYVQQCDFVAVFDADFQPEPDFLMRTVPYLVHNPRIGLVQARWEFVNPNEFLMTRIQKMTLDYHFKVEQEAGSSIFGFFGFNGTAGVWRISSIKEAGGWEDRTTVEDMDLAVRAGLKGWKFIYVGDVKVKSELPSNLKAYRRQQHRWTCGAANLFRKTGAEIILTKVTVPVWGVVYIPTTITLLYAIRSPSSIHFIPFWILFENVMSFHRTKATFIGLLELGSVNEWVVTEKLGNSNGTNSVPQILEKPRCRFWDRCTISEILVAIFLFFCATYNLVASTAEKLLVLGSSTIGDAGIASGDHWSSIVNWWLKNIKRVSKTDDRSFDTLFLLVLWMIWKECNRRVHDNSANSPAILAVRINKEACT >Sspon.03G0014350-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:45265356:45269556:-1 gene:Sspon.03G0014350-1A transcript:Sspon.03G0014350-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFLHWSSPESEFASTPSKSKVKMLWRTVRSMDVIMHSSSFLLPKLHQPVNKPVKNYALVVLNQQLPRFMPRLWDHANLRICADGGANHIFDEMYQMTNDEDKKSIRNKYVPEIIEGDMDSIRPEVKLFYSSQGSKISDKSHNQETTDLHKCISRIHHCTPDHEKPNLCVLVTGALGGRFDHEAANINVLYLFSDMRIVLLSDDCLIRLLPRTHRHELCIESSVEGPHCGLFPVGAPSTSTTTTGLKWNLSESKMRFGSMISTSNIVQSEK >Sspon.08G0022620-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:50210363:50211488:1 gene:Sspon.08G0022620-1P transcript:Sspon.08G0022620-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDTSIPNRVSPVVGRNLLGMVMPMLLCFSLGFVVGITCNAKFPNFYLPFVPPLPSARMWSPSPPPLLPPPAPSPPPPPALQPPPPPSPPPPTPSMTSVQSPKVAELMIGSLSSVKSNNMTDEELLWWASMSPKVRATPYRRVPKVAFLFLARGDLPLRPLWEKFFQGHEGLYSIYVHADPSYTGSPPEDSVFYDRMIPSQKTSWGDVTLVDAARRLVANALLDLGNQRFALLSESCIPLYNFTTVYALLTGSNTSFLDSFVNHDSEVRYNPFFGQRAAGGGNITLVQWRKGAQWFVMDRALAVELVSDDTYFPAFREYCARHRYCFAEEHYLPTLLSVLGWTCNANRTL >Sspon.05G0008730-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:27899607:27901218:1 gene:Sspon.05G0008730-1P transcript:Sspon.05G0008730-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMETLQALELFAGIVLILVTVLLFRHLRPDAPRTSTSSPPRPRGLPLIGNLHQLGRLPHASLAALAAKHAAPLMLLRLGSVPTLVVSTADAARALFQPNDRALSGRPVQCASTRLSYGLQDITFSAPDSPLWRAARRACLSELLGAPRVRGFRDAREAEAAALIADIADASSTARSPVNLSEKLTSTSNRIVMRVAFGDYGEETTAVLEESQKHFGAFFVSDYVPWLGWVDALRGLRRGLERYFHELDAFYERLIHDHLSKQAGSKEEDLVDVLLRLHQDPAHQSTFGNRGAVKGILMDIFLAGTETSAAALEWTMTELIKHPDILSKAQSEVRSAVDGKDMVREADLPRLQYLKSVIRESLRLHPPAPLLVPRETTEACTVRGYEIPAGTRVIVNAKAIGTDPDAWGPDAARFVPERHLAEGVDLSDHKPWHDGFALVPFGMGRRSCPGVHFATAVVELLLANLLFCFDWRAPLGEVDVEEKPGLIVSRNNPLVLVPERKCVK >Sspon.03G0043620-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:82663665:82664066:1 gene:Sspon.03G0043620-1C transcript:Sspon.03G0043620-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTLHLRTNNGDVSIGISPGEAAGAAGSNDCKQLQRWLCASGLATLAMDAGTAFYRSPHGVVFEQHRFAYYATLAGIFSAGLAEVGTACWLASSGQQGRAHGRAFRASVVCASVVPLAAIMALGGFSVVMKG >Sspon.04G0018100-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:74228864:74231026:-1 gene:Sspon.04G0018100-2D transcript:Sspon.04G0018100-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPLHFSYKKYRSRLPIPVLSIALVLLISLATPTSSCTEQEKKSLLQFLAGLSKDSGLAKSWKEEGTDCCKWQGITCDGNKAVTEVSLPSRGLEGSIRPSLGNLTSLQHLNLSYNSLSGVLPLELVSSSSIIVLDVSFNHLSGDLHELPSSTPGHPLKVLNISSNLFTGQFTSTTWKGMENLVALNASNNSITGQIPSHFCDISPSFAVLELCLNQFSGRVPPGLGNCSTLRVLKACHNNLSGTLPDELFNATALEYLSFCNNDLHGVLDGARIIKLRNLEILDLGGNNFTGRLPYAIDQLKRLKELHLDHNNMNGELPSTLSRCTDLITINLQSNNFSGVLHKVNFSSLQNLKTLDLLYNSFTGTIPESVYSCTNLSALRLSGNNFHGQISPRIGHLKYLTFLSIAINNFTDIRNTLQNLKSSRNLTTLFMGSNFMGDAMPDDAKIDGFENLQVLDIKDCLLSGEIPLWISKLVNLEMLFLSGNRLTGSIPTWINTLNYLFYLDISNNSLTGEIPKELMNIPMLTSDITEAHLDFRIFDLPVYDGPSLQYRIPIAFPKVLNLSSNRFTGVIPQEIGQLNALLSLDISSNNLTGPIPSSICNLTNLLVLDLSNNNLTGRIPTALENLHFLSTFNISNNDLEGPIPTGGQFSTFQNSSFDGNPKLCGPMLIHNCTSVKAGPAPIGPTGLGGGDIFFAAAFVVFFGVGVLYDQIILSKFFGK >Sspon.06G0007940-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:39667629:39671325:-1 gene:Sspon.06G0007940-1P transcript:Sspon.06G0007940-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSAWAVALAALCIVALAPPATGFYLPGVAPNDFEKKDPLPVKVNKLTSIKTQLPYSYYSLPFCKPDTIVDSAENLGEVLRGDRIENSPYTFEMREPQMCQIVCKISVGEKEAKLLKEKIEDEYRVNMILDNLPLVVPIQRVDQEGAYFYQHGFHVGAKGKYSGSKDEKYFIHNHLSFTVKYHRDEQRDVSRIVAFEVKPYSVKHEYEGQWNDKKTHLTTCDPHAQRIITSSESPQEVEVGKDIIFTYDVDFKESDIKWASRWDSYLLMTDDQIHWFSIVNSLMIVLFLSGMVAMIMLRTLYRDISKYNQLETQEEAQEETGWKLVHGDVFRPPSNSDWLCVYVGTGVQFFGMLLVTMVFAVLGFLSPSNRGGLMTAMLLLWVFMGLLAGYSSSRLYKLFKGSEWKNIALRTAFTFPGSVFAIFFFLNALIWGQKSSGAVPFTTMFALVLLWFGISVPLVFVGSFLGFKKPTIEDPVKTNKIPRQIPEQAWYMNPIFSILIGGILPFGAVFIELFFILTSIWLHQFYYIFGFLFLVFLILIVTCAEISIVLCYFQLCSEDYLWWWRSYLTSGSSALYLFLYATFYFFTKLEITKFVSAVLYFGYMLIASYAFFALTGTIGFYACFLFTRLIYSSVKIE >Sspon.02G0005200-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2B:15659748:15660134:-1 gene:Sspon.02G0005200-2B transcript:Sspon.02G0005200-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLLEELVEEVLLRLPPADPASLVRAAIVCKPWCRIISDRRFRRRFSEFHRSPPMLGLLCNFRDQDGDYVSRLLPTSSACPLRADHNWRALDARHGRVLLSLLLGLYLSVWDPITDGWHQLPPVPDVW >Sspon.01G0056210-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:86838885:86839700:1 gene:Sspon.01G0056210-1C transcript:Sspon.01G0056210-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRKRLPAVTAAPGAHGTHGSRCGDGEENSAGSGGASAASVPPPAPMCSTLPSDIELSAGVYTSMEAAADVFDKMSRRLPATNLGCKLEHVIGPRHSILFCPSDFDPTSETGTSTRVSRALF >Sspon.02G0010680-2P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6B:7295765:7297810:-1 gene:Sspon.02G0010680-2P transcript:Sspon.02G0010680-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLKYRPEDKAAKKERLLKRAQAENEGKTVEAKKPIVVKYGLNHVTYLIEQSKAQLVVIAHDVDPIELVVWLPALCRKMEVPYCIVKGKARLGSIVHKKTASVLCLTTVKNEDKLEFSKILEAIKANFNDKFDEVRKKWGGGIMGSKSQAKTKAREKLLAKEAAQRMT >Sspon.02G0004660-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:14297107:14301981:-1 gene:Sspon.02G0004660-2B transcript:Sspon.02G0004660-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRGPSVSDEDDDLETLVPQNHAKPPSPSARSRSPPSSFSVAALRPALPSSAASLGRVLWSRRYLVLFVSLPLLFLVLFVSLGGASSLRLPSSIRLPSAAPAADPAASRMREAELHALYLLRSQRSGLLSLFNRTAASTNGSAPAPISLSDLQSALESQIKINREIQAALLSSHHSGSGNATEDGLDLDLPFAGCRRRELPANRRTIEWNPKKDRFLLAICISGQMSNHLICLEKHMFMAALLGRTLVVPSQKADYQYDRVLDINHINDCIGRKVVITYEEFVEKRKKVAIDQFICYAALPPCFLDEDHIKKLKGLGVSLGKIEAAWPEDAKLKEPKKRFVGDIAPKFSTEAEVLAIGDMFYADVEDEWLNQPGGPLAHKCKTLIQPSRLILLTAQRFVQTFLGGNYIALHFRRHGFLKFCNVKKESCFFPIPQAAECILRIVEKANAPVIYLSTDAAESETNLLQSLVVFNDRQVPLVKRPEHDSSEKWDALLHRNHMGGDTQGPPSRRTSSGCGGAGDQRRTVTSTSARQKSKERVGDMGLLAALNNAGP >Sspon.03G0008590-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3A:23142070:23142936:-1 gene:Sspon.03G0008590-1A transcript:Sspon.03G0008590-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFSEKLGQGGFGDVYRGNLSDGHQVAVKMLKDSKGDGEEFMNEVSSISRTSHVNVVMLLGFCLEGSKRALIYEYMPNGSLERYAFNSNMNNQSSLGWEKLFDIAIGIARGLEYLHRGCNTRIVHFDIKPHNILLDHDFCPKISDFGLAKLCPNKESAISIVGARGTIGYIAPEVFSKQFGTVSSKSDVYSYGMMVLEMVGARDKNINADNESSSQYFPQWIYEHLDDYCISASEINGETTELVRKMIVVGLWCIQVIPTDRPTMTKVVEMLEGSTSNLELPPKVILI >Sspon.05G0009330-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:29793383:29795654:1 gene:Sspon.05G0009330-1P transcript:Sspon.05G0009330-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEISMPELEFTTRAGKIGQYLPEPPHDYDLNWGKVPQFGDANKLADLRLKDITVANFDLGVRTENPIRSPPKLPFDEKVVVVPKLALPWLGPLDKLFVKTSPRKQCRLRRWRRVRRQSPDNPLQLNINFLVLATGYSLGVIGLKTVGNCNRKRVWVKDFGFAGKGRKAHRRPIRDRRHASFAESYLIASDAGDAFSNHQHRTMSMNYDGDRSEDRQSEEVQSAYKRDSDIGEEMIITSEEQNDENEWSWVNKDRNGDPLAESVSSLHTTQQVLENEIQKLSELAKELEAEESTSGNKDQDVIVLPYAEVDMLELNEKMEHLEQKVKETSNTIREKDLRLSKLQVLIGTADRAKLEEEAAASIDQLETELEHHLQEKLEAEIQCLVMLKARQNWQVRAEDRMALEEHRALSGGDKTRMLLKLRETESKIVMLKEQVDKLEVREKELYRTTEVLKMQSRTFKVSLFGLLQLVMMCLSLKMFFARVSA >Sspon.07G0026390-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:30426381:30428135:1 gene:Sspon.07G0026390-2D transcript:Sspon.07G0026390-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VGQFIESVKQEVMRDLATAPYGGSVVKAPKVLADIVEAIAAAVYVDCKFDLEKLWKVTRWLFEPIITAETIDEQPVTTLHELCQKHGKVAQFETWQKGGMTMVNVFVGGEMVGLGSSEQKVIAKLNAARDALGKLIGGAKQQC >Sspon.01G0062560-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:105831820:105833868:1 gene:Sspon.01G0062560-1D transcript:Sspon.01G0062560-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLRSGHGGIGSGHGSTSARADRDPEGHGENGRENANGESHEAPLLAPSPPPPPPPPLMTPAEMMAEVLATRRETARALEMMAQAIGGLARGGPGGNGGNGGGAREPLDAEHWLRVLEQKFLLLTVTDEQKVRFAAQQLLGSASAWWDTFNAMQQVDHECSSDGLVLASIFLPFQLPLGLGVCFASHRCVHAAIAGPRLVMSPGAALHCYPCHPTPCGSAQVEVEAQLLLARPLLYSLTPSFLSLGFRPPGMSAPSSAVPCSILPGHPRATQHCHRSSCLRPRSALDMLAKPPWLGPLAVATVLPLPPCSRHRGRCTRGRATTDSAWPSRHSYHLPLPTPPLLCPSPGSSSAATPRSATVFGRQSCWPPAKLLLCVFLLLRASFAPSPPP >Sspon.07G0016100-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7A:57515248:57518745:1 gene:Sspon.07G0016100-1A transcript:Sspon.07G0016100-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVLAAENEDTNNICVCDVEGMVLDWIRTVAKEEKFVTVLSGDSPYDHDEHQSPLKKILFWCEAPGRVLKNRGRRRRRFPATVVPAGGKEVAGKLHGGEAHPWVDESRPDTVSGGLPTCTGELQWRTWRHGALRRVLRMERRTGMCVSFTVPSRVQNMKEKGGRTAGDGWPRSRNQSQMTRKDWAILAARWSERRHYDGGEIAGAAVLCDGDRERKKGERAAGDRR >Sspon.06G0024200-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:52422097:52426283:-1 gene:Sspon.06G0024200-2C transcript:Sspon.06G0024200-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSGGRRLPPWTSPRSAGAGAPRWSPAAGTPAAAGGPRPGPVHGTPPVRAGCFGTRVTPPTSGGARVTPPSTGGCSSRPPRPPPSLDSPYVRAKQAQIVEKDPNKAVPLFWAAINSGDRTESALKDMANVLKQANRAEEAIEAIRSFRDRCPYEAQESLDNILLDLYKKCGRTDEQIEMLTIKLRIVDEELASGRWKTKLSKSHGRVVYLSLRDEKARLLGNLAWAYMQSENYEEAEMLYRQALAIEADYNKECNLAICLMKTGKLAEAKYLIQAIPYKCDDESHVKSLSRATEMLRELDLQSLPSPITQAKSKESRIFVADDVEMLVDLQPQTLSTPLSGLKYKEPHISVSQNAEKHENCNSGLPSPITQLRREAMVTAGAEKNEGFAEFQDLSRLFNDAATPHSILEKLRKRLVKEAPKISIHDQIQTLTPTECLPNSERNLDASETPMLEGKLLTKGVRKTWADMVDEEEQQLGDDKPWADMVAKDEQQLGDCKSTLGVGTTEQNGSSKHASKLEYRTPLSCQESRAHQRPVMGGQLQGSSAGSWRQNDCKISMDKNVNRDLVRTAPTSSKHKVQDHNNRVWQRLDTVHPHERASGTKQVPRRSNTSQRALFPDWKSKGEGYGHGCVLFDDNEHTQCSSHIEATHRWHNNEASTGSWRPQNRLQVFQEITNEINQNVVNKLLHNGALQDLSVLPPLVHCRNKRWRALQFANGH >Sspon.02G0021780-3C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2C:74414927:74415430:1 gene:Sspon.02G0021780-3C transcript:Sspon.02G0021780-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGDRPARGARVVLCPLPFQGHLSPMLHLAGALHARGLAVTVLHTAFNAPDPARHPGITFVAVPDVIPEAVAATNNGIAKLLALNAAMEASGHVRDALASLLAEEGAPWLACLIFDSLLSAAQNAAAGLALPTLVLQTGSATSFRLFRSDTYDMLHDKGYLPATGS >Sspon.04G0021260-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:74722120:74724302:1 gene:Sspon.04G0021260-2B transcript:Sspon.04G0021260-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LAMAAAPTPPAASARFPPVSSYDASARVRRTAAADLDGTLLASSSAFPYYFLVALEAGSCVRALALLLVAPLLLALYTLVSEAAAIALLAFVTFAGLRVRDVEAVARGVLPRHYAAGVRADTWAVFRGCGEGRRVVVTASPAVMVGDFVREFLGAEVAGTELETFCAFGDTRFTGRIKAVLVGERKAEVVRRLFAGGEMPDVGLGDRESDHDFMAIVQEAYMVPPDKRAPLAAADTLLSRAVFHDGRLVQRPDPAQALFALAYLPVGFLLALFRVFFNLMMPLCLVRHTYRLTGIQLRVRGTPPPPPAPGAPGSLLVCNHRTALDPIILSVALGRPVSCVTYSASRLSTAISPIRAVALSRDRATDAARMAALLAEGDVVVCPEGTTCREPCLLRFSALFAELTDRIVPVAMEARQGTYYGSTARGWKWLDPYFFYMNPRPGYDVTFLPPLRPEETCGAGGRSAVDVANHVQRVIAKELGFQCTTLTRKDKYMKLAGNDGTVAVRAKKDAADDNAATATTK >Sspon.04G0028700-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:72170648:72171013:-1 gene:Sspon.04G0028700-3D transcript:Sspon.04G0028700-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRLLRRLSRTVAAAGEDGGGPAARATSGGRRRKGKKPGAVPEGHVPVCVGEEGGPVERFAVRAELLGEPPFAALLRRAAQEYGYAHPGALRIPCPVADFRRLLLRLSHDPSPWPAAGCYA >Sspon.03G0044510-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3D:116154:117030:1 gene:Sspon.03G0044510-1D transcript:Sspon.03G0044510-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQADLILHLLLLPDPFILALRPHLATWTLGRQPLKPTKNSNGSGKCFVINF >Sspon.01G0024740-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:88075823:88094118:1 gene:Sspon.01G0024740-1A transcript:Sspon.01G0024740-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASANSWTLEIASPVAAPRLFRAAVLDWHTLAPKLASHVVASAHPVEGDGGVGSVRQFNFTSVMPFTFTKERLDFLDLDKCECKQTLIEGGGIGVGIETATSHIKVEPAAGGGSVAKVETTFKPLPGVEVKDEITKAKESLTAIFKGAEAYLATSQQAPVMASTNSWTLEIASPVAAPRLFRAAVLDWHTLAPKLASHVVASAHPVEGDGGVGSVRQFNFTSVMPFTFTKERLDFLDLDKCECKQTLIEGGGIGVGIETATSHIKVEPAAGSGSVAKVETTFKPLPGVEVKDEITKAKESLTAIFKVMASANSWTLEIASSVAAPRLFRAAVLDWHTLAPKLASHVVASAHPVEGDGGVGSVRQFNFTS >Sspon.01G0029400-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:98336210:98336767:1 gene:Sspon.01G0029400-3D transcript:Sspon.01G0029400-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AGVLREDVLGRAGAVVGAAVLGRHLPTAGGRRHRGPDAVQGVQGVREAGEELDQEAHGVAEEVRARQRPGARHQRHHREDQEAVRELRQIRAALRRRRPAAPHRQRRPAALGRVHHPRPALPLHRRVDRVGRQELPHRHQRREEARHEGDHHRRRARHPPPPQGVHLARCGIPRAHQRRPRRRRQG >Sspon.05G0010500-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:29837747:29839615:1 gene:Sspon.05G0010500-1A transcript:Sspon.05G0010500-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEQSIPKEAAFQIINDELMLDGNPRLNLASFVTTWMEPECDKLIQSSINKNYVDMDEYPVTTELQNRCVNMIAHLFNAPIGDDETAVGVGTVGSSEAIMLAGLAFKRKWQNKMKAAGKPCDKPNIVTGANVQVCWEKFARYFEVELKEVKLKEGYYVMDPEKAAEMVDENTICVAAILGSTLNGEFEDVKMLNDLLTAKNAETGWDTPIHVDAASGGFIAPFIYPELEWDFRLPLVKSINVSGHKYGLVYAGVGWVIWRSKEDLPDELIFHINYLGADQPTFTLNFSKGSSQIIAQYYQLIRLGFEGYKDVMQNCRDNATVLREGIEKMGYFDVVSKDSGVPLVAFSLKDSSKYTVFEVAESLRRFGWIVPAYTMPADAEHVAVMRVVIREDFSRGLAERLIADLGKTMADMDAHADRKAPEQPKKSVHDIEKEVTTFWRRLVANNKKKSSMVC >Sspon.08G0012000-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:51530862:51533553:1 gene:Sspon.08G0012000-1A transcript:Sspon.08G0012000-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histidine kinase, Cytokinin signalin [Source: Projected from Oryza sativa (Os06g0183200)] MQSVLCTHADSNAQLKRSTRKGASYRTEFVGVSSAIRLQIIYQTGLVAEDMIDLFSADILNSILDTSKVESGKMQLEEAEFSMADVLQESVDMANVTGVRRGVEVIWDPCDFSVLRCAAVLGGQQAPQADPGQPARQRPQVHRRWPRPPPGLGDPADRRERRQRSVKVRLMGGEISIKDKEPGERGTCFAFNVLLKMSEVQQPQDIEEGPSVPPAGTLNGSNFRASAFQEASSFKGVHCVLYVHGSETRRILQTWMESIGVKVWLVRQAEFISATLEKVQSNSMATAAADCGGADRCFSSKEMVNQLRNSTGPRRANLVGIPSGILIVIDVSGGGLEEICQEMAKLARIKHEAPCKVVLLEDIKTPSSDLRRLKEQGCDLVLRKPVHGSRLFTLLMTLRDLQASDAQAQSSQVGPDIAGNNSQQQGLPDVIVPCVQEAAASTQASSLVQEQKPEDDKPLAGMQILLAEDTVVLQTIQRKILNQLGATVTVAEDGAVAVNLLKEALEQANVSQEEIVPLPYHVIFMDCQMPNMDGYEATKLIREEEQRYGVHTPIIALTAHDTEEDLQKAIDAGMDLHLTKPILRQKIVEAVRSVCKREN >Sspon.01G0027380-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1A:96005405:96006202:1 gene:Sspon.01G0027380-1A transcript:Sspon.01G0027380-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAHTNNHDSASYDALKLSRIDEDLAHTRNPYGSSKWKVPAYHKPYPLHIDYLKTPDGWWIPDFYEFSGEDDKTAVEHISTYLSQLGFAGKEDYMRVRNFPLSLTGIVFAWFTSLPRCTVGSWSQLEEKFYEYFGKTNEKRPITIESSAKIGLLVGMKERFDSDVSKGCLDGHGLHERDGDVTVCVW >Sspon.02G0053050-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2C:99256175:99256543:-1 gene:Sspon.02G0053050-1C transcript:Sspon.02G0053050-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALTWHRLSVVAHGAELGKYRPHGLLHPPSLFLSFLHLSFSFLCCNFAAAIPTALAAIVVLGLVTRVHAVTPTTSLPAPSSPPTPATTASLLMVVRQHQQPHVHPRGSLPQACSGRPPVVPR >Sspon.01G0007630-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:13076676:13082488:1 gene:Sspon.01G0007630-2C transcript:Sspon.01G0007630-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tubulin alpha-1 chain [Source:Projected from Arabidopsis thaliana (AT1G64740) UniProtKB/Swiss-Prot;Acc:P11139] MREIISIHIGQAGIQVGNSCWELYCLEHGIQPDGLMPSDTSLGIARDAFNTFFSETSAGKHVPRALFVDLEPTVIDEVKTGAYRQLFHPEQLISHKEDAANNFARGHYTVGREVVDLCLDRIRKLADNCTGLQGFLVFNAVGGGTGSGLGSLLLERLSVDYGRKSKLGFTIYPSPQISTAVVEPYNSVLSTHSLIEHTDVVVLLDNEAIYDICKRSLDIERPTYTNLNRLISQVISSLTTSLRFDGAINVDITEFQTNLVPYPRIHFMLSSYAPIISVEKAYHEQHSVPEITNSVFEPSSVMAKCDPRHGKYMACCLMYRGDVVPKDVNAAVHSIKTKRAVQFVDWCPTGFKCGINYQPPTVVPGGDLAKVQRAVCMISNNTAVAEVFSRIDRKFDLMYAKRAFVHWYVGEGMEEGEFSEAREDLAALEKDYEEVGAEVEDDEEEAEY >Sspon.02G0039980-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2B:63863643:63864344:1 gene:Sspon.02G0039980-1B transcript:Sspon.02G0039980-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGHGRPPPPPFFLPFPPLPAQVAAKHPAAAAAPARGTVLAARARPDPTPARCQQPVEAPPPPLPTARPPGAAGPSLRSPGAGADARQQPVEAPPPPLPAVRPPGAAGSSRRLPGAGEQPVEALPPLLPAARPPGTAGSSPGAGTDASPSFCAGALPPAPANLQRPSFCAGAPPPAPLLLLRCPSSCAARTGCGCCTNAPPPAQPALGTRRGTSALRATLCRCVGATDPRSRR >Sspon.04G0006350-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4A:17879219:17884876:1 gene:Sspon.04G0006350-1A transcript:Sspon.04G0006350-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGRVGAWAANAMGLCVSSVCAWREEMGGKETAGGGIYNGDARGERGRRRRRGRRCGSRVDGGGDLAGVVVAETGTPPAGRVAPALVVGASPAAAAPPPRAGEEQQHWRRSSSLRPAPPLGSSPEAVPKLRRGPEIGIASPFRTRILPRARPPPPPPPPPKPERIPAVVPTAWPAYLPPNPLLSPPELGKKEGMAGELVVSDADDLLVGTLGAFFFMCGHDLLPLPLRRGAWVYPIHSTTYQSASVYLVQGRVRVLFDDDDASYQSLPSGSRIIDPVVLLFQPFEALQIAGLL >Sspon.01G0058390-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:27592084:27593228:1 gene:Sspon.01G0058390-1D transcript:Sspon.01G0058390-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIQRWVLITHLAVWGSIAATFLCMVLIDSIPIFPNYGTIYNMAASRTYWLSVCLIIVLGLLPRFLCKVVYQTFWPSDIQIAREAELFKKLPQQLGSRPAKTKFGKVYKFGSWKRLQNAMEPLTKGTDCTGVTKRLGPSGA >Sspon.02G0001020-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:3027275:3029148:1 gene:Sspon.02G0001020-2D transcript:Sspon.02G0001020-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding NGRACCLPHPLGGGSRGQGGAHRGLLQRDVPARADHHQAGRNLVRRSPRAARRDNGERGRREQRNPESVHGPAGAAVQLRVPRPVPARPGGALRRPHAGLLPLPLLPRPPVQRDRHAGPNLRGVAGRAVPAVWRRRRAIGAGRHPDHRRHGLLPGPHAGPRAAAHGPAAVPVGTQPFRRGGSPRL >Sspon.01G0036050-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:17011774:17016306:1 gene:Sspon.01G0036050-1B transcript:Sspon.01G0036050-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor CRC [Source:Projected from Arabidopsis thaliana (AT1G69180) UniProtKB/TrEMBL;Acc:Q1PFF1] MDMVSQSEHLCYVRCTYCNTVLAVGVPCKRLMDTVTVKCGHCNNLSYLSPRPPMVQPLSPTDHPLGPFQCQGPCNECRRNQPLPLASPTSTELSPRMPFVVKRKEEIQRIKAAKPDIPHREAFSMAAKNWAKCDPRCSTTTASAATSNSAPEPRVVPTPQVTEPRFDLEVVLKIKAVSLLYTFIQKQSKSPLWYAISYVHACMLLLQDRAKEQVIESFDIFKQIERSI >Sspon.03G0003160-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:32715282:32715787:-1 gene:Sspon.03G0003160-2B transcript:Sspon.03G0003160-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVQHLIERCMTFVMSMEECMEALAKRADVQPVVTSTVWKELEKENKEFFDKYKQWISEKRSASTS >Sspon.02G0039480-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2B:53686001:53689659:1 gene:Sspon.02G0039480-1B transcript:Sspon.02G0039480-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEMMKLIKWRTDLLDSGAAGSSESDKDDDAIPMDDELAIVVANEPVALAIHCGDTDDDDGEVIPIEGYDANGHHISVRESAMARAEEIQSSLPAEHPSFVKHMLRSHVVKGFWLGLPKHFCDKHLPNRDVAIVLEDENGEDHHTTYLGYKQGLSAGWRGFAIDHDIKVYIVRANGFTTADIDPNLQNLEAGKNGEEQSCEDVITEEDTEDTTTNNREVPPSDGIGISDSEIDFDDVTSFSNVNVILDCLATDCEFHDRLRRTYYELCCSQKSLLHKHLLKQLHPTLVAGVIIETVSIADGIRACKAEASSREDFLVWKKTLESFELLGMNVAFLLNRVNSLLGVASRSRESYAEWQHKYEELKLERARAGDKMKALELQLSNVKDVLQK >Sspon.06G0034580-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:49184947:49187775:-1 gene:Sspon.06G0034580-1D transcript:Sspon.06G0034580-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSTGPRIQKDIFLLENQIPWLVLDALAEFIPVDVRGFVAGMGQKFLPGKFPDCSSYALDAATAMDCLICWFGGIILAHLRDMRGQGKRMKKGDPDTGGSASIIDLEFSCKLRSFNIYSLGLQLIAVIIGMASCIIRVDDMSLHELCSKIYMYTARAKDCFYFCLLDAAKKFLTPKADESQRPSYFITCCWSTCLSQLNPLHISFGIDQD >Sspon.03G0016070-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:49654314:49655956:-1 gene:Sspon.03G0016070-3D transcript:Sspon.03G0016070-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAILSALKLGPSPPALAGAAPPATSTPARSSVHFHLANAGAAALVAASLLSADPAVAFIGGGPYGKQVTRGQDLTGKDFSGLTLVKQDFKTSILRQANFKGANLLGASFFDADLTSADLSDADLRGADFSLANLTKTNLSNANLEGALVTGNTSFKDFTDVPLRDDQWEYLCKIADGVNSTTGNPTKETLFCS >Sspon.01G0043460-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:74836604:74838410:1 gene:Sspon.01G0043460-1B transcript:Sspon.01G0043460-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQASDRSPLEAVEKMICSSIDPVVERSCVNCLNCNELVLSSSQTENILCQGCLGSKQPLAASYTCNNSLRGAHCAEADLGGARFSGVRPRVPICLGLFKNCPEFFP >Sspon.08G0025320-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:7853201:7871236:1 gene:Sspon.08G0025320-1C transcript:Sspon.08G0025320-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MREGMRERDRGGSQDASGPPPFRGPAYKTKLCALWRGRGGCPRPNCGFAHGEAELRRPPPRASFQPRPRPGSSRSRSPIRKSERKHSKSPDGGKTDSSISFRSSDNEDRGKDERYLSSDEKNGREEQLKQMHLDMEALHEDKSKLEAILEKKTDEERKLCSRVEDLELQLNKEKEDCQRSQARFERLADLLASDILKPCTKEQGSIGITANEDPYNANEMSPSDQRQNHVSALRRRPISLPTSEEAKTGKRQRENDDDMIPTSENYRPEDALEHVQDSKGTDLPESFTVKKKLREGDYDDVGNIVSSSNIFADRVRQLPWAAYRPFRPALFSSHFPSSWHSSSSVHFTLSAAVSTVARGRRPPRPGAMREGMRERDRGGSQDASGPPPFRGPAYKTKLCALWRGRGGCPRPNCGFAHGEAELRRPPPRASFQPRPRPGRRDYRDHEFRLKPERRHSPRYSPERDIRRRSFRDKRPSSEDRGSSRSRSPIRKSERKHSKSPDGGKTDSSISFRSSDNEDRGKDERYLSSDEKNGREEQLKQMHLDMEALHEDKSKLEAILEKKTDEERKLCSRVEDLELQLNKEKEDCQRSQARFERLADLLASDILKPCTKEQGSIGITANEDPYNANEMSPRKRQRENDDDMIPTSENYRPEDALEHVQDSKGTDLPESFTVKKKLREGDYDDVGNIVSSSNIFADRYKGDDEEVDVD >Sspon.02G0002220-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:7222362:7224264:-1 gene:Sspon.02G0002220-1A transcript:Sspon.02G0002220-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding HPYSLKSSKGAPILPRPIFVFFIASFGFYVCYLSFNQITLENKREGNSREEQRENICRKPYVPYEELSYVHFPKPTSYSREECSCTPVRFFVIVSMQRSGSGWFETLLNSHPNISSNGEIFNRVDRRENISSILQTLDKLYNLDWLTSAAKNECTAAFGLKWMLNQGILEKPEDIVGYLNKKGVSVIFLFRRNTLRRLISVLANDYDKDAKQLNGTHKSHVHSIEEAEILAKFKPHLDPSTLITNIRNIEKAIRDCLDHFKSTRHMILYYEDIISNSNALSQVQEFLRVPVRRLMSRQVKIHTRPLPDLVKNWEEVSSKLNGTEFARFLDGSDYVK >Sspon.06G0004660-4D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6D:12365483:12365803:1 gene:Sspon.06G0004660-4D transcript:Sspon.06G0004660-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLPDYVRYIVSEYAKILRQLYSLGARRVLVTGSGPLGCAPAELALRGSRAGECNAELQRAAALYNPQLVDMIKGVNAELGADVFVAVNAYRMHMDFISDPAAYGI >Sspon.08G0002750-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:5974257:5978897:1 gene:Sspon.08G0002750-2C transcript:Sspon.08G0002750-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHLARVRRPAAAAAAGAGVSSIRATRPPPAPFTTATTSTSDTFSSTAADPDGVAADVATLLSRCSGDWKLAIAASDIPSRLSPAALSSLVRRRRNSSPRLHPKLLLDFFYWSRTRLAPSAPAPDAFAHLAVSLCAAGLYPQASGLLDQMIRAYPTPPLVLSSVHGALSGSDQERRPVVLDVLVDTYKKTGRVRDGAEVVLLMKDLGLAPSLRCCNGLLKDLLRADALDLLWKVRGFMDGSGISPDVYTYSTLIETYCKVRDLESAKKVVEEMRETGCSLNVVTYNTLIGGLCRTGAVEEAFGYKKEMEDYGLVPDGFTYGAIINGLCKRGRPDQAKCLLDEMSCAGLMPNVVVYSTLIDGFMRQGNADEAFKIVKEMSAAGVQPNKITYDNLIRGLCKLGQMGRASRILKQMAKIGYMADTMTYNLIIEGHLRQHNKEEAFSLLNEMRKGGISPNVYTYSIIINGLCQIGESERASGLLEQMIADGIKPNAFVYAPLISGYCREAYFKSDNLEKVSSTLESMLEKGLMPDNRLYGIVIHNLSSSGHMQAAVSVLSVIEKNGLVPDSLIYGSLISGFCKAADMEKAVGLLDEMAKKGIEPGISCYNALIDGFCKSDDISHARNIFNSISRKGLLPNCVTYTTLMDGYCKAGDIRDAIDLYNEMLTDGVTPDAFVYSVLAAGCSNSGDLQQALFITEEMIVRGYASISSFNTLVHGFCKRGKLQETVKFLHVMMDKDIVPSLLTVENIVSGLDEAGKLSEAHTIFVELQQKNASHRDTDHLSSLFTDMINQGLVPLDVIHNVIQSHCKQGYLDKALMLHDALVAKGAIVGCTSYLALLDGLCRKSKLIEALNLLKEMKEMGICPSEDQCMTLLNSLHSSGFIQEYNKIHN >Sspon.06G0030470-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:48318403:48321365:1 gene:Sspon.06G0030470-1C transcript:Sspon.06G0030470-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MCVIARVDLAVEEDLDRATCLIADGDVASLLPSKTQGALLKMFLGPVNLRATRKEVQLKVKEEYNSYRDRTALLFLGFLVILLFLRQWLWNGCFPALPVQLYQAWLLFLYTSLALRENILRVNGSDIRPWWILHYYCAMLMSLISLTWEIKGQPNCARKQRGVELFLCWAIMQGFVMMLQNRYQHQRLYTWIALGKGYVGFLLLCTAHTGVIPEWQDQCNTVDTLVAKSRFKAKMKKSKVKRNLDTCPSPTGSSPTDSTTRA >Sspon.02G0004650-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:23156142:23159482:1 gene:Sspon.02G0004650-1P transcript:Sspon.02G0004650-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGANTTAAVCPCPEYAEVDPTGRYGRFSDVLGKGASKIVYRAFDEYQGMEVAWNQVKLHDFLQSPEDLERLYCEIHLLKTLKHRNIMKFYTSWVDVSRRNINFITEMFTSGTLRHRKSFGTKPEALYKVKDPTVRRFVEKCLATASQRLSARELLEDPFLQGDDVAVSLDGGDYHVPSNYIRQPSYLGHTYSNGSMMSNGFSESIDEDALSEDCEDDDMKGQDGIDLFKENEDEPLGNVDITIKGRKSEDGGIFLRLRISDNDGRVRNIYFPFDVEADTALSVATEMVAELDITDHEVTRIADMIDGEVSALVPDWRPGPGIEEAPDTSYCHNCGSNVSSCGSLYAYMSLGRQGCQCAELHGRFEEITFQADGEQCDLQESAGSSDDGGGQTEHYVKSKESTHVNGLVQMGRRDLSSQLCFSSFQEQSCSSNYYENDTNHHTNGFDMKHEVKIAKYKARKMAQLKKAIHPSLDFDNAYGVNRMKPSLNKLQSFHIGKNHSFRVPTSPGKASTDYHSDLNSQVWHSRHPDPGAQRARHCEVNAAGSSPDYMFTARRYYTGAQLPPNLPRTKSVPP >Sspon.03G0033560-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:51598150:51601745:-1 gene:Sspon.03G0033560-1B transcript:Sspon.03G0033560-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAFASHARRLLLSGAGAPARSFHAQPYQVGSLPGAARVGVVEFLNGVGKRVEKHAAKPDDAVGSDIQRLIEAGKLRRKNLHIPCKRVSHKFSSLSRVFL >Sspon.02G0016560-3C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:49102128:49102507:1 gene:Sspon.02G0016560-3C transcript:Sspon.02G0016560-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATITAAARWSSPTRLQLLNRRRLRFSASAAPPTSSAGFGWADALRVAGDSGHGDESDLSGYFRKIKTCNRGMDKKGQFVEFSVEDQVV >Sspon.02G0028570-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:125873777:125877227:-1 gene:Sspon.02G0028570-2C transcript:Sspon.02G0028570-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPFYLARGASKLVRRITSEISVELKILSDKWRLLLAGLIFQYIHGLAAHGVHYLHRPGPTLQDLGFMILPELGKERGYISETLFTFIFLTFVLWTFHPFILQTKRFYTVLIWRRASQFLRIITFYATQLPGPNYHCHEGSPLARLPPPQNAAEVLLINFPKGVIYGCGDLIFSSHMIFTLVFVITYQKYGSIRFCKMLAWCIAIAQSLLIISSRKHYSVDVVVAWYTVNLVVFFVDKKLTELPDRSVGSTSVLPVSSKDKDTKLKEENTRLLNGNSMDSADRRPRTQMNGKQIENERHVDSETVKT >Sspon.03G0020790-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:64343655:64345156:1 gene:Sspon.03G0020790-1A transcript:Sspon.03G0020790-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALTSTECSSSTTKSLTHQETEMEAALSMKLLIDRKAQRVLFAEASKDVVVDFLFSLLALPIATAVKLVGKEAMVGSVGKLDSTYVQPGAAKDTLLCPTVVSATASYSYNNISSLLCLTAAEASSGSGPPKTLNYSYIHGKMIPTKSKLTSTRNSNCSTYVTDAYGAACPGCVCCMTTEAQYLPSAGSISDSGQAVVAQSAAEGFVQGIVTYTVLDILTVVRHLRHHPAQHLGDEGPRRPPGEDRAAGLRRGLGDPEGLPAVQDSAH >Sspon.06G0002520-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:8223543:8236117:-1 gene:Sspon.06G0002520-1P transcript:Sspon.06G0002520-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ELYKLVPDKQMREVVVPARGGDTGSLDGGVLYLYYECGESNGERKRIIHQLGSRITECGKRSEKMAVPQVDKKMLGELEAMGFPTARSVRALHFSGNSNLESAVNWLLEHDSDPDIDQLPLVTREIIIECGDTSNEVRNDVQETRDTVQEQKPEEQTETGRQNETSQLEREPNADEQEEQDRKRRLLAFCLSHIHPSNTLVLLRILALYKQKRDEEGKARGRIRNQLQEDQRERIRAAKDLMEAKQTLEENQRKRMMESRIADQEEKRARERIRQRIADDKAERRRRLGLPQENPSASAAVITPTKVKPVERVVTSEQLRDCLRTLKKNHKEDPAAVTRAYQILLKIVANIVKSPEEEKFRRIRLSNPVFKDRVGSLQGGVEFLELCGFQRLSAMGYLVMPRDKVDMALLNAAGVEIASAMENPYFGLLSK >Sspon.06G0031500-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:68619851:68635664:1 gene:Sspon.06G0031500-1C transcript:Sspon.06G0031500-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTAGGGKGRRSVRKKRSRDGDDENGAGKRCRVDKDDYGNGEIGADKRRRFDEDYNDGETGAGNGTGDYNINGDEIDDKGDCTGEDLIYYYSDDDEETEVDGAEAIERAEERYIVLSQDAIRARQQADIAEVADVLSIPPGFAAVMLRHFKGRAMRVQEEWFSDDRRIREAVGQPADGGVLVPTVLSRKCLVCAICFRSLSLRCPDPSCSAAVVRELVEEVADAEEKARYAQFALWSFVDESGGRIKWCPGRGCTRAVEFVGCAGDSTDVFCECKHGFCWSCGEEAHRPVSCDTVRAWLAKNISDSETANWVLTNTKKCPKCRRPIEKNQGCNHMMCSTPCYHQFCWICLGPCECGSYRALARQNKVNAGQRRREQAKASLDRYLYHYERWAANHTSLQMVFKDMADLERSGLEKMAVKVHVPASDLRFLTQAYEQVADCRRVLRWAHAYGYFLDPKRDATKRNLFDHLQKDANSSLERLHGCAEVERMELCAGDTADVTERYKSYKKKLQGLTQVTRHYFENLVKAFETNLAEEDGEPLAAALSWVRVPAAASLSRVASARGVHDGSGGASPSFRVVMTTCTAVVVAPQPRLPRGKDGVRGPGLQHDDDDAAHGSSLLRDDDDDWGTGAPWAVVPIGTARYDLEVIVSCLGQDAGTSDHHGGGGAGTAGACNKRSQNASSFADDDDDDIKGETSRRRKICDGTPTSGRRQEDGESGGACGWHAQAAPHGPGPGEEDYMYEYDDCDADDGAGEDYIYEYDGEEEGVEQAQVDDAQAAAACAREEQRYVVLTEEDIRARQEADTARVAEVLSIPAGFAAVLLRHFKWRVGRVQEEWFTDDARVRGAVGLPPELVPAARGAGPRVVCGICFDEYPAGRTASAGCEHYYCDGCWRGYVRAAVGDGPRCLSLRCPEPSCSAPVVRELVDEVLAAAGSAGSAADDGDRYARFWLRSYVEESGGKVRWCGGAGCARALEFLGDAAAADKDVFCECGHGVCWACGEEAHRPVSCGTVRAWLVKNSSDSAETANWVVAHTKACPKCGRPIEKNQGCNHMRCSPPCGHHFCWLCLQPAGGANHYACNDSRPRRSGADAADDDDMAAAAASTATAEEERLKRRRARASLERYLYHYERWASNRAALESVARDTAALERGELERMARAADVPATALAFVAEAYRQVADGRRVLRWAHAYGYFLDPERDAAKRALFDDLQNQANRWLECLHAAAELERKDLFGDGKGKGKEAADAPVVVVAAEAFRAYRQKVANLTGVTRKFLGNLVRAFETDLPEVAAAANPA >Sspon.01G0022450-1P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1A:82058027:82059729:-1 gene:Sspon.01G0022450-1P transcript:Sspon.01G0022450-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKPFYDILGQAYPSSPKETMITRDTQVDCPQDNYSEQACSGSFFTDILGPQGMHLVANDWASECDHLSLQFEKGAEEANKFVPSIVKLVDLDSNGLPDSIQMIKATIGQKGKHVSKIQSHPHVDLEFLEARNSKHLAISVSETTRNEMFDSVLLCDWQFRCDVAHRREIKAKEANISSQNVRRKGYGQGQMKSRGKKKEEGIDLRAHLMQCAQAIVVNNLPFASELLKKIRHHASPYGDGSQRLALYFAIGLEARLAGTGMAIINPALCEARRWPPKLRITGIDVPQPGFRPRAIIEATGKRLAEYAEMFNVPFEYQGIASQWEDICIENLNIDNDEVLIVNCMYRTKYLGDETEDIDSARDRVLRTMKRINPEVLILGIVNGMYSSPFFLPRFREVLFHYSALFDMLDATALQTDEDRIQIERDLLGASALNILACEGAERIERPETYKQWQVRCLKAGFKQLPVNKAILKRSIDEKNKHYHEDFVIDEDIRWLLQGWKGRI >Sspon.08G0009070-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:39881374:39884350:-1 gene:Sspon.08G0009070-1A transcript:Sspon.08G0009070-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSEPDVELRGLDIDVELDPEDLQPSVPLKKVPGGDLFEAARAGDCDRLALLLEAGANVNARDRWDSVALYYACLAGHAEAARMLLEAGAVCAERTFDGDRCHYAALNLRLRWLLKSFEARPPPLAPLPAALRATFLACPANRTAFLEMLQGSAGAESTALAAAAGFGPKDDPSSACLFPPDITFYVDRKPVEAHRAILCARSPFFEKKFKTDWKDRKEVRFSNQKLYYGALYSLIHFFYSDRLEVAVDDMENLARACKVCKCEELQKILDKEVVHQKYAEYKSARELDLDNSQKRFILQAQSLPEEDRLPSALQRILQTCLANSREGYYSEESNEMIRNSEDDDLADLYIKVGDKVFHCHQVILASRSEYFRARLSRTVGFLEGNCGFQAAQNVPLLEEHDLSAEAFEKMLEYMYTDKLEHLDPDQAEELFDVASRYLLFPLKRVVADMLLPYLEHVSPAELCHWLMLSDIYGVMKIREYILDIIACNFEMFADTREFRALLLTLPPPSGDDSLRTTRPSAPGTGGNTDQGNILDDLREKWLEAEGAELDERDESAALFDRRLEMLMLVAEKEADDEDA >Sspon.06G0017390-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:76087336:76088570:-1 gene:Sspon.06G0017390-4D transcript:Sspon.06G0017390-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPFSVLRSSSPVVVAAAAAATAPSFSGMSSHASALRISSSKSRVSCRATSRSLSIRCEQSAKQGSGGGGPDVWLGRAAMVGFASAISVEVATGKGFLQNFGVATPAPTLALVVSGLVVGLVVFFLLQSGSSRD >Sspon.01G0060130-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:74114300:74134785:-1 gene:Sspon.01G0060130-1D transcript:Sspon.01G0060130-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDKLDQGLVPAELGPLDYKGLYNAVSKALFRAHVEGQLKREIMAEPERFVEPDPELPLALLDQKEAGKKLLLITNSDYHYTNKMMNHAFNRFLPNDVGWRDLFEMVIVSARKPEFFQLSHPLYEVVTDDGLMRPCFKVKSGGLYSGGSAQMVEKSLDIHGDEILYVGDHIYTDFDALVKSHDQKEKLVTLIQQKESVGDLFNQLRLALQRRSNSRPAQTLAATCMDDQELTESMQKLLIVMQRLDEKIGPMLEADGELFNKRRLGPYPAPRPPTVRQCVHTPTPTTDAKSEGPPAQKKRRPAPMSLRRMRWMSDEDGRWELDAETPVTMEGTVRPVPGDPLPLGLSRGYRVTRPKQLDFFHRFMASPLVPTFSATRDGLSVNHAHILYITDNWSSTILEKINVNKLVSVVKEKLANRQEEASWTKDLKKHLHDVMSLGVGTEILITPDTTLLLELFDIKKGNRGKAMFHHEAKNLDALNLFGIQLVICGTPLYLLQLPHHNITLQASWPGLFVDKKGAYWDVPLSLSADLASVGSSSGLSYHLLLQQNSGEPKCFGGDETDDVPIALLPGLCAKAAISIKKSIDAWRKKEDKLKNIQPYDIFLSDSHVSLTGIVGAVASGYLGNCSRRVAIRDETQKSNAFRMFDERNKCAAFADLFASVTFTAQYGNFQRLFLDLTRASARFDITSGSLFLCGASRLAQDFFFSRRPAVETFCDICPDVTVSLQQQIVGPFSFRVESSVAIDPRSQDHFVRVDDPIFAIDWALKVLGSAKATA >Sspon.03G0039290-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:7663869:7666518:-1 gene:Sspon.03G0039290-2D transcript:Sspon.03G0039290-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRRQLICIAAAVAAAAILLTASAKKSGDVTELQIGVKYKPESCTLQAHKGDKIKVHYRGALTDGSVFDSSYDRGDPFEFTLGNGQVIKGWDQGLLGMCVGEKRKLKIPAKMGYGERGSPPKIPGGATLIFDTELIAVNGKTSGGAKAEKECVDLTQRAAQPETLSQAAELRRELVQIRGNN >Sspon.05G0007330-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:24640456:24644808:-1 gene:Sspon.05G0007330-4D transcript:Sspon.05G0007330-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:General transcription factor IIH subunit [Source:Projected from Arabidopsis thaliana (AT1G05055) UniProtKB/TrEMBL;Acc:A0A178W5Z7] MYGVGGGGGGFNAPSTTAGRRRNPGDEEEDEEEESGQGRVLEAWERAYADERSWESLQEDESGLLRPIDTKTLVHAQYRRRLLLRSAAGAAARIQKGLIRYLYIVIDLSRAASEMDYRPSRMAVVAKHAEAFIREFFDQNPLSHVGLVTIKDGIAHRLTEIGGSPESQIKALMGKLECSGDSSLQNALELVHGYLDQVPSYGHKEVLILYSALNTFDPGDIMETITKCKKSKIRCSVIGLAAEIFICKHLCEETGGSYTVALDESHFKELLLEHAPPPPAIAEYAAANLIKMGFPQRGAEDLISICSCHKKIKSGAEGYICPRCKVNVCELPTECRTCGLTLVSSPHLARSYHHLFPVAPFDEVTPVPNRIQRGGQICFSCQQSLFNPDGQSNLHVRCPKCNQHFCLDCDIYIHESLHNCPGCESQRIAS >Sspon.08G0024430-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8B:63701464:63702307:1 gene:Sspon.08G0024430-1B transcript:Sspon.08G0024430-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTAWRFYTFAMTITISSVSSIPPMAILRQAACRRYGAIPAERWNQETPWTPLLSTIGPRPCRRAKECGVAPPAHTKDRPRKNGDDHLANTDLAPLCQPLWRGREITPSKNGGDDHLTDGGPTPLRQPLRHDREIAPASDNHLTNMDPAPLRQPCLRLWDKHGSSEAEGVS >Sspon.08G0028690-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8D:15173154:15175625:1 gene:Sspon.08G0028690-1D transcript:Sspon.08G0028690-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLDLFVEPLKPRAKRPTQDLCNLCPWALHDFALPRRKLRDDSTRLLLFLPKLQQGFEAQTRKPFAGGFEAQTTKPLREAYPLRLLHDLDTCTEGSSYQLIPRRTRASLTVRQALTQRQWVRGISGGMSADVIVEYLHIWEATSNVRFTNRPDRLIWRWTNDGSYSAKSAYKMLHAGSVHFKGHHLVWKTWAPLKVKIFLWLSFGGAIGLATGGSDTGSKQGNCATCVIKRWRPLTISSLHALTPASWWKHIRVGSSDPQQSGMDSLFALVSWHVWKERNARCFRRSSATVSELLQLIKEEGDRWIQDGAMGLRSLVTTQAPLLLLGKSPSPSTPWHNPSSLVIRFKLCCLVGSFTFDDRLNKTSSLFHDFIIGASKSIVSPPLLPPPPSVVDVRAYSPPQCVESIIVRRPPVRPVDAGASERQHHCT >Sspon.01G0048460-3P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:70206795:70210743:1 gene:Sspon.01G0048460-3P transcript:Sspon.01G0048460-3P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AMGQQSLIYAFVARGTVVLAEYTEFTGNFTTIAAQCLQKLPASNNKFTYNCDGHTFNYLVEDGSAYCVVAVESVGRQIPIAFLDRVKEDFTKKYGGGKAATAAANSLNRELRSKLKEHMQYCVDHPEEVSKLAKVKAQVSEVKGVMMENIEQVLDRGEKIELLVDKTENLRSQAQDFRQQGTKVRRKMWLQNMKIKLIVLGIIIALILIIILSVCHGFKCGSK >Sspon.03G0002690-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:15957716:15959728:-1 gene:Sspon.03G0002690-2B transcript:Sspon.03G0002690-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAINSNALAEMEVPESYLDSLPKNGRATLGDIIYRYITSDQFSPECLLDCLDLSTEYQALEIANRVEASVYVWRRRVAAKPVNGLGRSSSARSSWGMVKDMMVDSEKRELLAERAEGLLICLKQRFPGLTQTSLDMSKIQYNKDVGKSILESYSRVLESLASNIVTRIDDLLNIDELNRHAEHFTATGDAGCKIACSQTAVPSFPVPASGTPFMTAYATPSFSPAQLASPSKKERTLLTPGRRSQHSRGAGTKKGLMDHVGTEIKGMIISSGMMIDDTSDDSKKTSTVEAGKEQLSERKVTESSGGAPLSVQI >Sspon.01G0029020-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:101021209:101028049:-1 gene:Sspon.01G0029020-1P transcript:Sspon.01G0029020-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinesin-like protein KIN-8B [Source:Projected from Arabidopsis thaliana (AT3G49650) UniProtKB/Swiss-Prot;Acc:Q9SCJ4] MPSIRAPASKQTATLQVAVKCRPLTDTEQRRSRHIIQVIDDKTVVVLDPDLSKDYLDLIQNRTKERRYTFDHVYAPGCSNSDVYKNISSTIAGVVQGLNATVFAYGSTGSGKTYTMVGTHSDPGLMVLSFRTIFELIKKDDSKDTFEVSCSYLEVYNEVIYDLLERSSGHLELREDPEHGIIVAGLRSIKVHSADRILELLNIGNSRRKTESTEANATSSRSHAVLEITVKRKQKGQYGNQVLRGKLALVDLAGSERASETNNFGQKLRDGANINRSLLALANCINALGKQNKKGLAYVPYRNSKLTRILKDGLSGNSRTVMVATISPADDQYHHTTNTLKYADRAKEIKTHVHKNIGTLDTHVEDYQRMIDNLQVEVSQLKKELAEKEHQLSIKPTEKAADSELSWLNVLSQETGENVQERINLQKALFELEETNKRNRMELQHLDDSIARHQVKEMDSTVLQALTSRRQVILDNIRDNDEAGSGYRKDIEMNESRRRQLQDMIEEAVSNNGNKTYLHILSQYRLLGMTNAELQIEMAMRDQVIHNQREALRSLWNILYGTGLNQKQILKLAAKQGLTVEGCPLPSSSPDVTTPPSFPPHRRFPSFMSFPSPQSEPYSPSACFFQHGFSTMSLLKNQHETPTICRQEHLSSYYMMSGCSPYSGDGKQWSSGRPMTFFSTPEKTKEVSSVYRETENAQSQHKKEHSGSHDFSLHRKIPEYSQMLLDLTVKTANRSMQHTDELTW >Sspon.02G0003020-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2B:8601583:8601885:-1 gene:Sspon.02G0003020-2B transcript:Sspon.02G0003020-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDGLGHAILEDNGLEAALEEVLNSEGKHIIKLVLTLAKETISVHAAEERLTLKDTAWVLLIQGEQLPGRISDTAQGILHAPQFTLAAQAILTNQLQLSI >Sspon.06G0005850-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6A:19675837:19676610:-1 gene:Sspon.06G0005850-1A transcript:Sspon.06G0005850-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGQEVSSGGAAAPMCANGCGFFGNTATKNLCSKCYKEHLIKTADAAAPVAEKKIEVAKAAAHVSEQLAGQDPDATAAAVAVATATPVAHAPSSVTEKKNGDGPVETQKQEASVGGGAAIKCAANGCGFFGSTATKNMCSGCYKDFLKDAHAAPAAEDKVVLAAEQPAAAQISASTSSAAPAVEAPPAVKSAAPNRCASCRKKVGLLGFPCRCGGTFCSLHRYAEKHACDFDFKAAGREKIAKNNPLVVAAKINKI >Sspon.05G0000420-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:2072323:2082311:1 gene:Sspon.05G0000420-1A transcript:Sspon.05G0000420-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pre-mRNA cleavage factor Im 25 kDa subunit 2 [Source:Projected from Arabidopsis thaliana (AT4G25550) UniProtKB/Swiss-Prot;Acc:Q8GXS3] MVSSSSPVVNVYPLANYTFGTKEPKMEKDTSVADRLARMKVNYMKEGMRTSVEAILLVQIEGLKRKLCSKLAVNSPSFPPNWQECKKLFIVHLSEREYFAVPRNLKLLAVPLFELYDNVQRYGPVISTIPQQLSRFQFNMVSS >Sspon.03G0026840-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:4892396:4898505:1 gene:Sspon.03G0026840-3D transcript:Sspon.03G0026840-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGLLSSSSALLRRAAGAAAQLSRAECGSASASAPSHLRRSPLQATGIGISVQIIKNKLAPASLKEAGIDIRFGKGICHESEILEMASSVGVILKDGCGYWINNEFVAGKVEAEKFLLENAAVADEICNTVRNEFRQRSRFTDEPMRAGVRAIGVCYGVIGSGLPSKSDVVQLYKSNGISSMRFYFADQDLLTALRGSGIALALDVGNDKVGNLASDPAAAASWVQDNVQAYYPDVDIRYVVVGNEVPGAASVLQAMQNVHAALASAGLASSVRVSTAVKMDAIENSSPPSSGVFKDPSAMSPIVQFLAGNGAPLLANVYPYFAYEYSDGIDLDYALFQPSSTTVTDPANGLVYTNLFDAMVDAVRAALDKAGGGGVDVVVSESGWPSADGKGATVDNARTYNQNLINHAGKGTPRKPGPMEVYVFAMFNEDQKDGDPTEKKFGLFNPDKTP >Sspon.08G0010630-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:46898804:46901718:1 gene:Sspon.08G0010630-1P transcript:Sspon.08G0010630-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKLVAPSVLPAVVAVVVLCACTMPARGGDDYTAFVYAGCSQGRYDPGSQYAADVDTALSSLVNSAGFTAYGNYTSPPSAASTGQLAGVYQCRSDLPAAVCGGCVKSAVSKLSSLCNTAAGAAVQLRACFVRYGNDSFLGKQDTTVLFKKCGGENAGDTGVVAMRDAALGALVAAAAPADEGSYRAGAAGYVQAMSQCVGDLGAKACTDCVSAASSQLKAGCGYASAGEVYLGKCYARFWSNAAGGTGSGTGGGVPEKSEVAPVPAAAMSSVAAAVWEEQQAMDTRMVGSCQTHESGKTLAIIIGLVAAVAIVIVFLSFLRRAGGVG >Sspon.03G0034600-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3C:71848590:71849087:-1 gene:Sspon.03G0034600-2C transcript:Sspon.03G0034600-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding PDRLQWQPRLTAPRRHVVVEACSSCQLRCLSLCRSRSRTQTHSPNPCRYQIQTHSHSPFRSLIQTHHSLCRSRSRSLSPYRSPTQTHSLSPYRSHSQAHSRRSLCRSLTQTTHSLNRSPCRCLTQTCNSRSRPRGPNLFRTKIHSRRLTSYLEARSHFRTADQEC >Sspon.02G0024200-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:82855970:82859046:-1 gene:Sspon.02G0024200-1A transcript:Sspon.02G0024200-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hyperosmolality-gated Ca2+ permeable channel 3.1 [Source:Projected from Arabidopsis thaliana (AT1G30360) UniProtKB/TrEMBL;Acc:A0A097NUQ9] MDLSSFITSVLTSFVIFVALVLVFTWLSRRPGNAPVYYPNLLLRGLDPWEGRGRGTRSPVGWIRDAISASEPDVVTAGGVDAAVYLVFLSSVLSILVYSGIVLLPVLLPVAATGRALVVIPPDPNNSSQSTQDFSPLERLGVGNVPEGSMRLWAFLLSVYWVSFVTYFVLWKSYKHVSNLRATARSTPDVKPEEFVVLVRDIPRPPPDETIKDFVDSYFRALHPNTFYRSMVVTDHTKADKIYQEIEGHEQKIARAEVVYANSKTESNPEGTKPTHRIGFLGLIGKKVDTIEYCSEQIKELLPKLEAEQKTTLHEKQQRAAIVVFNSRSAAASASQTLHAQVYDKWTVMEAPEPCQILWPNLPRNLYERQIRQSVVYVIVFLIVFFYMVPIAAIAAVTTLENLEKKLPFLKVVVEQPAIKTVLEAYLPQIALIVFLALLPTLLMFLSKQEGIPSQSHAVRAASGKYFYFIVFNVFLGYTLGSTLFKSLTTILDHPSEIVDMLAKSLPGSATFFLTFVAL >Sspon.05G0021980-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:4866454:4869008:1 gene:Sspon.05G0021980-2C transcript:Sspon.05G0021980-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding METGEMLASDSGIASGLARTLGSRRQRVIEAACNSILDLSASSVGRERLAGSPVLPRTFFRMVESVYGFAHSISTESPESPTEANKILCLIIDTVALLVNSCKIHNLQNLQQELVRNVLSLLYKIWKRTQLLRSSTDCNKPKNKLQSKEYDISEAIFRLSIGLASPAFPEPDVVRKSIFGQTVSDFETFVLTYWEKSPNLCRRKQSSQKDSPGLVSCPAITSDELDINSFLREVHDSLGDSVKYRQDIRVMRTQDPNDQTSRGCVTEEHFFDDGTVFMDEDAFIEKCKHAFKSGYSIALRGMEFRYEKVAAIASALADLFGQPSVGANIYFSPARSQGLARHYDDHCVLVWQLLGSKKWMIWPNPKPLLPRLYEPFDPLDGTLDENSERVEVLLEGDMMYIPRGYVHEARTDVGGSETNAYADYSLHLTLAIEVEPPFEWEGFAHIALHCWTEKQQLRDSQLVEFEGIVETSLSAIALHVAIRLLSDSVHGCGKVWTIQFLYDDSLKAFRSNQRSTFDEILRKIDQNCSIKEALKRITLAVKERDDEAFQWMSWLRHLPQQAVDIIDFCNIFRALEELLEAFNSNPDQALDGFTAFKSGFCRRVTYEDVCETFETLLEMYRTTRTQYMRGMLALHGAGAHVS >Sspon.07G0030620-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7C:9644539:9644946:1 gene:Sspon.07G0030620-1C transcript:Sspon.07G0030620-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFFDAGCGRGRDLHRGLRRPGRRRDLHRGRDLHRGLRRPGHGLPRGLQRVDLDAREAGGARDDDVDAAIAADLGVRLQRLAAVEQDDVGVDPEGGDAGTDVGRELLGDERLGAAVLEEEEHERVPDETVGPTND >Sspon.01G0038150-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:26684698:26687778:-1 gene:Sspon.01G0038150-2C transcript:Sspon.01G0038150-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:bZIP DNA-binding protein, Disease resistance, Vascular developmen [Source: Projected from Oryza sativa (Os03g0336200)] MEAKKAMTPEQLAELAAIDPKRAKRILANRQSAARSKERKARYITELERKVQTLQTEATTLSAQLTLFQRDTTGLSSENAELKIRLQAMEQQAQLRDALNDALKQELERLKHATGEMTNSSETYNMRFQHVPYNSSFFPLSQQNASPHLGSTQLPPPFHPPHPNVPNHQMLSHPNTLPDIMQQESLGRLQGLDIGKGPLVVKSESSSISA >Sspon.08G0018300-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:7403986:7407034:-1 gene:Sspon.08G0018300-1P transcript:Sspon.08G0018300-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding WSGSGRVTDAWTRSCGTPAPAAWSRCRRCTPRCTTSRRATRSTRRAPSSTSPAGRVPALVLCRVAAVRFMADPDTDEVFAKIRLAPVRPNEPGYAADADDAIGAAAAGGAQEDKPASFAKTLTQSDANNGGGFSVPRYCAETIFPRLDYSADPPVQTVLAKDVHGVVWKFRHIYRGTPRRHLLTTGWSTFVNQKKLVAGDSIVFMRTENGDLCVGIRRAKKGGIGGPEFLHHHHQPSPPPGGGYAGFSMFLRGGEEDGSKMMATAAATRGNKVRVRVRPEEVVEAANLAVSGQPFEVVYYPRASTPEFCVKAGAVRAAMHTQWCAGMRFKMAFETEDSSRISWFMGTVSAVQVADPIRWPNSPWRLLQVAWDEPDLLQNVKRVSPWLVEIVSNMPAIHHLTPFSPPPRKKLCVPLYPELPLEGHQFPAPMFHGSPLGRGVGPMCYFPDGTPAGIQGARHAQFGISLSDLHFNKLQSSLSPHGFHHHQLDHGMQPRIAAGLIIGHPAAARDDISCLLTIGTTPQNKKPSDVKKAAAVAPPQLMLFGKPILTEQQISLGNVAGFPLPKKSPSDDIAERTVSNSDVSSPGRSNQDGSSSGGAPLSCQDNKVPDLGHCKVFMQSEDVGRTLDLSAVGSYEELYQRLADMFGIEKAELMSHVFYRDDASGALKNTGDKPFSEFTKTARRLTILTDAEQR >Sspon.04G0030400-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:1512478:1517141:1 gene:Sspon.04G0030400-1P transcript:Sspon.04G0030400-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDNMFEVSIIQKLPMPLQPVESNGRRVPLGQRKLSCDEECAKMEKKRVLAEAFDITPPNLDALHFGENSSSSDLVADLFRREPKWVLAIEERCKFLVLGKVRGSSSSNLKLHVFCHMLKDKRDAISLIANRWKLSVQVAGSEPKHFVIIHVTPKSKPPARILGSKPGAPVTAAHPYFDPLVDMDPRVVVAMLDLPRDADVNALVLRFGGECELIWLNDKNAIAVFNDPTRAATALRRLDYGSAYQGAAMFMPSSAQASSSGNVWTGGQKDGGLAARSNPWKKPASAEPDMSSGDRTGVAGHTPAPGWRGASTISRVMETPNRWNVLESDAAASSVPGNEWRRAAPRTDASYSAIPNAGNAGPLVTKLQPDVDVDDWEEAYLNAYLIAVSLAAGFQQSNYSSSGPRLDAGSYVVSLRQILTEKYANLQEMVCFNSKPNDAGAIRRRPGNIGEVDVFIPGLRVPESLELSQLLSDGHPRRLTERLAVLRSRIVVMAAHEALSVTRPRKRTFTQHGGSTSADLLQTLEDYLPVLLGLVKEGKPNKSVPIVVAFILVQCLTGSNLEDKIQFSWMNQEDDAEETALPSSWYEVLLVLHMMAMLRLSQANSLLLPKTSLEGYHAKAAAYYYHGLILDEGNTEKSHRMAVAALQSAEEFLKESKDAAEAFHAAPPVSRQWQCIHIPLPLRVFRLWLIEPWCSKNRWFVAQKPACMWIDEKDSSCKVRINKDLYSNDSIREAVPALPDFAVALKPEEHRLPAVTVGAAND >Sspon.01G0023610-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:89808740:89810405:1 gene:Sspon.01G0023610-2B transcript:Sspon.01G0023610-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAATPDLSLHIRPPSPPDDARSSGSSNRQANHETDVFFSKQTLCLGLVQTTTTTAQEDSQCDIQQQQRLHQPSQIQRFKKSSSAALSGGTTRSRNGGSGGGGKRSSRAPRMRWTTALHAHFVHAVELLGGHERATPKSVLELMNVKDLTLAHVKSHLQANVSNSEGNDGRQIMCCRPCAGDERYGLSAEGL >Sspon.05G0021920-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:1335684:1337786:1 gene:Sspon.05G0021920-1B transcript:Sspon.05G0021920-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKASADTYHITGPSLCTRSMLFHSSRSQNLVDAASLSAAEKPLKLLIAGCPRLLTVLASHRSPMAYKVKGIFKGLKVFSRIFAVKEHEMEIGYPTDVKHVAHIGWDSAAGSASPSWMNDIMASSDLSSLGNFAALTGTSTSWVSQDLDRQQRVGAVVEENTGGRDDSATCPDVPRPPTKKPRDGSPTALSASEPSSSSKDEVAASPPSPAAHVDAAANAAR >Sspon.01G0005240-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:12235955:12240263:-1 gene:Sspon.01G0005240-3D transcript:Sspon.01G0005240-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTARFSVQLPEPKSLLKLGSSQLPSSPKHFIQPSAMEASAAVESSATVSVFEVSSNKQRPDTAKLVLNSPSPPSLREELVGVVGKTFRPRPPGDGGRAPRCPWILTALQCVFPVLQWGRTYTLKSFRSDVMAGLTLASLGIPQSIGYANLAKLDPQYDTSVVPPLIYALMGTSREIAIGPVAVVSLLLSSMIQKVVDPAADPATYRSLVFTVTFLAGVFQVSFGLFRLGFLVDFLSHAAIVGFMGGAAIVIGLQQLKGLLGLSHFTNSTDVVSVVKAVCSALHDPWHPGNFLIGCSFLIFILTTRFIGRRYKKLFWLSAISPLLSVILSTAAVYATRADKHGVKIIQKVHAGLNPSSAKQIHLNGPHTTECAKIAVICAVIALTEAIAVGRSFASVRGYKLDGNKEMLAMGFSNVAGSLSSCYVATGSFSRTAVNFSAGARSTVSNIVMSITVVIALELFMKLLYYTPMAVLASIILSALPGLIDIKEACNIWKIDKMDFLICLGAFVGVLFGSVEIGLAVALAISFAKIIIQSVRPQVEVLGRLQGTNIFCSIRQYPVACQIPTVLPIRMDTSFLCFINATFIKERIIEWVREEVENSNGKARERIQAVVLDMSSVVNIDTSGLTALEEIHKELVSLGIQMAIASPGWKAVQKMKVSRVVDKVGEDWIFMTVGEAVEACLAAHKGTALEC >Sspon.04G0016940-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:65523895:65528498:-1 gene:Sspon.04G0016940-2B transcript:Sspon.04G0016940-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGSAVTGGGAEEAAAAVPLGQKLMVHVAENGNTLEFQCGGDTLVEAIQHSIQLHCGIPPSDQLLLCGNTSLDGANGHALAYYKLPRDDREVFLYNKARLLADSRPPAPESLYIPEPNIPPPPRPQDSPPVDASADPALKALVSYETRFRYHFQVANAVYQSSLAKFELCRRLLREGQVQERALDTARSNLEHTFRKLSQRYSEFLRCFTQQHRSHVEMLANFERDVQKLRAVRLHPALQSEGRHCLMDLLKENDLRKLADGCLSSHKKFEVKVSQLKANFLELKKRVEGLFNAMSSGGCKDVEKLIKEHQGVIGDQKIIMQALSKDVDTSKKLVDDCSSCQLSASLRPHDAVSAVGRIYEVHEKDNLPSIRNFDHRLTKLLEKCKDKKNEMNTLVHVCMQRVKSSQISIKGMMSELIAFQEVMGHQEDFDNLKIVSGLGHAYRACVAEVARRKSYFKLYTGLAGTYAEKLATECQNEKTRREDFHRTWSRYIPDDVMCSMGLFDSPSQCDVKVAPFDRDLLPIDVDDVEKLAPQSILGSFLKSERSQLAKPLLSNSSTSGNLNKSEQNPLSADDKMDFQDFLGGYDSIDIAGTSKLEVENARLKAELASAIAILCNVGAEYGYESIDEGQIDAVLKKAREKTAEALAAKDEFAYQLQSLLTAKQEKCLAYEKRIQDLEERLANQYMQGHMVSGSKGTSDSLLSAFKSNDCNLDVCGGRQTQIRDESSVAMDETSSTSEQPSKQTEGGDENMTDISGALNLQLLDSAACTNLDAFMTELPRDNEHKIVNIDKEGHMLTQLTMADTSDVPIEDPLSILNSRTNEHHALELRNKELLVSELQNTLDQKSKQLGETEIKLSAMMDEVNSLNKELEQTRGLLDESQMNCAHLENCLHEAREEARTNKCSADRRAVEYDALRSSALRIHGLFERLNNCVTAPGVTGFAESLRSLAISLASSVKKDEADTTVQFQQCIKILADKVYLLTRQSAELLERYSAMQAVHGGITKELDEKKELIKNLYNKLQLEKQASKEKISFGRFEVHELAVFFRNPAGHYEAINRNCSNYYLSEESVALFTEHHPQHPAYIIGQIVHIERRIVHPGQMGGAPRPDSSGGRRLPASMLNPYNLPGGCEYFVVTVAMLPDAAR >Sspon.08G0024320-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:63426061:63427005:1 gene:Sspon.08G0024320-1B transcript:Sspon.08G0024320-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding WENGRIAKRLVFDPDEDVRLVIAWLIHSNDPINGNCKKNERYWGDVHELYNSTTPTNRKREKKATSSYLSGYSDEQLKDMAKQFYLDGYPKEGSFTVEHCWKILRDEPKWHAVLEELENPNKRSLVGEAEAIDTSGTPEAVGEMERPIGTKKLRNNAMERISDKKFQTARLNREAALLESYKKLLCMDTREMTEDIRAEHVLALKMLREKLAVSQ >Sspon.04G0017160-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:62422639:62425374:1 gene:Sspon.04G0017160-1A transcript:Sspon.04G0017160-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMWSHEPAAEQKAAAASPPTRQRSSVAKLMTASSSLANLLSVFVGANNNNSPADPRPPPRRSFDEGGGVGLGIVAAMSQACLTGAGAEAEPIAIGAAARRRAREDDESYTCVITHVAGAGGGSVRKRVYFGFGDGGGWLVETDDEAPAPAPDFLSRCCLCDKRLDGLDIYMYRGEKAFCSSECRCQQMLMDDRADKCGSEAFIRSGDYSYSVSPHSAPMAFSPSVAAA >Sspon.03G0028070-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:11609988:11613691:1 gene:Sspon.03G0028070-2C transcript:Sspon.03G0028070-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDLEEANAGVKMKAAAEGEVAVVDSRKALFIRRALDIFFVVYLLFYVGIVVVMVMVSDNWWDPWPGVVILSLVCIATLWLTPKMKKVYVELYATKRTTGRDSDLSTKLLAFEK >Sspon.07G0021250-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:2319477:2333156:1 gene:Sspon.07G0021250-3D transcript:Sspon.07G0021250-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVSRGFLNLIVDNGVQGGKSLRCIDLRRHKLFNTRTPVLPPPKGNRSESERPPQDDRKNYRQAAASSITGKIREIQLPQPTMNFRCSIVDCYWYMKCLPLAGRKLLCVDPSYRTVLFDVNTSQVETIPYFHVPKHEPLPCFVPLPSSADAAAGNGSFYIIEGRPYQEELQGDDELLSNQYEAFVYNCESKSWQRHFLPPPPFVHDPKHYEDSKHPNITSYAVVERGGSHVIFVSAEDGGGTYCFDTVTHTWSHVGDWVLPFTGKVEYVPELKLWFGICTQDWQLGAADLSTMDSQSQPRLLGTWKELEAPGDWRQLKTPQLVSLGSGRFCITRFFFHALPNPLAFLLNPIAFYDYYDDPVEEEYFTVLTGIDVVPRVHDVHGTSIDSKGKVELQMIKHNSRRHMSYGSDGNIEVRTNPGAKSLCCMDLNLRRHSLFDTATRAPKNKTTTRAVAPKGNALALNKIRLPRPSLSMRTSDSDLKDQRIHFFQAADRRVFCLNQLGRGFLLEADTPRMVMMPCLHRPKLEPIALYVPGAEPDFDDLDGGGGGDLFIMERRVAKPKPGGCGGFQSQFEALVYRKPSVSCSRFVPKTWRRERLPPPPPYIHGAADHSWLEISSYAVVGSQVCISVDGDGTYCLAVVSDTVMDTYSWSEVGKWTLPFQGKAHYAPELKLWFGFTDKDQNLAAADLSAMDSHSQPQLLDSWKELETPKGWQQVQDPQLVCLGSRRFCIARFFRTGTAMDDCQNVTVLTGVEVVRGVNVFPGKVGDLRMVKHKSLCHKLRCGEDTITALFNTTIPVLQLKANRSESELPQDATAWADDHKNKIGTSPLPAPSINLRAMGFSTETCVLKPRETLVSSPESSASDANDRKNYNQAAASKPRKIRAIQLPQAIMNFGCSMDESSDWYLDCLPLAGHKVLCVDPSAGTVLFDVGMCQLETIPCFNVRKRQPLPCWVPLPSSADAAAAAGNGSFYIFESSPYQETLQGDDGARQQLSHQFVAFVYHSQSKSWQRQLLPPPPFVYDPKHYEHYRHPDITSYAVVERGGSHAIFLSVDGAGTYCLDTVTHTWSYVGDWVLPFEGKVEYVPELKLWFGICTEGRQLGAADLSTMDSQPQIVGTWKELEAPGHWRETKPPQLVNLGSGRFCITRFFCALLNPRSSVNPMVLAGYDAVEDFTVLTGIDVVPCVHDAHGTANDTISSGNGSKGKVELQMIKHNSRRHMSDGSDVKSLCCMDLNLRRHSLFDTATPPARKKTSTRTRAPKGNALAASNKIRLPSPSFNVRASDSDLMDQRIDFFPTAADQRVFCLDQAGRGFLLEADTPRMVMMPRLHRPKPEPISLYVPGAEPDFDDLDGGGGGNLFIMDRRVAKPEPEVVSGGGFQFEALVYRKPSYSGFLSKTWHRVLVPPPPPYVHGGGAGDSCLEISSYAVVKAGSQICPASDTGTHCIVTDTATYCKDDAWSEVGKWTLPFRGEVHYVPELKLWFGFAAEDQNLLAAADLSAMDSQSQPQVLDSWKELDTPKGWQQVRDPQLVSLGSRRFCIARFFRTGTAMDHCQNVTVLTGVEVVRGVNILPGTVDLRMVKHKSLCHKSRCGEDTITA >Sspon.01G0030930-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1B:108611314:108612774:-1 gene:Sspon.01G0030930-2B transcript:Sspon.01G0030930-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin carboxyl-terminal hydrolase family protein [Source:Projected from Arabidopsis thaliana (AT5G62990) UniProtKB/TrEMBL;Acc:Q9FM53] MVVVAPSSLRSFLPARPRRPHPMNPTAKPLSRTLTIRCAATTAAGRPGPPPLPPPKLVRCAALDRQAARASRLRFARKLLTLLLSKRRHFLPLRVLNRCRRFLGLPRRGRPLIPMVLRYPALFRLFQAHTSLPLSPSLSTLAVGLTPAAEALAALRATSTGAGALAAKIHRLLLMTPRRSIPVSRLVHLAPDLGLAMDFRATLCPRHPDLFRLVNTSRGHALQLADPPPTPPPPLLSLRPATPPDRLMDRPRRFPHLPLRRGLNLRRAHRDYLLRFHSLPEVSPFEPLDEGASLEMLERRACAVVREVLAMTVEKRTLVDHLTHFRKDFGLPNRLRAMLVRHPELFYVSVKGVRHSVFLVEAFDDDGRLLVEDEMLVGRDRLEELVGEGKRMRRARKKGVLTFDGDSDEDEDDEAAEEEGSLEVDDEFGELFEDGVIGEDWEEVGDGGGSEAYEEYDAESGEMEEFWVKKAVAQGLVDDGNEQDVW >Sspon.07G0003020-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:7105758:7106087:1 gene:Sspon.07G0003020-4D transcript:Sspon.07G0003020-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLQRSSETFRRSGSSGLVWDDKNFSGEIKPAAEDDGGGAARAVERNRSAGHAHAGYRATGRVPPALDPPSPRVAVCGFCRLFGGGGNGNGKDKGRDGGSAKAKGRRH >Sspon.03G0020810-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:64381914:64388048:1 gene:Sspon.03G0020810-1A transcript:Sspon.03G0020810-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ADCNHVCRAKLRAAGGAERLHRWSKRVRAGHRDVHGAGRPDGDAHVHHLRHHPAQHLRGEGPRRPAGDDRAARLQRGKPSCSRRMK >Sspon.05G0007200-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:22560882:22568378:-1 gene:Sspon.05G0007200-1A transcript:Sspon.05G0007200-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAADDWRYVTNRCEADERGYAGDNRRSAWAQWGTGRNSAYAHRGGDDGLRPSGARPPQKRRHGAGDLPKPVHFVSAAVSSSDEHGDSRNLAPSSSVDTARQPTSPPPEPGSLASNAVVAQMMKRMNYKEGSGLGRHGQGIIAPIEVALRPKNAGLGSVERSIIGGADGLPPPSDENWPKWDEAGGARKRKRDRDVVDDKILARRLEESAAEAVVRVQKALARSARWSSTSSGLLGDLRPGDEMPAAAITKAMKWVQERSASGTLTTGELIREFTALKEKCPREYTTYRLADTARAIVAPLLRAVFQRWEPLEDPSSGLEAVTTLKDILLDDGSAVSPYGALVDDVVVGPALASAAETWEAKDPEPMIRFLETWGDALPLPAIQRLLEQVVMPKLSAAVELWEPRWEPEPCHVWVQRWIPLLGRWLKPLYVTVRRKLGKALLGWHAARALADYDMVFPWKDAFGPEAWEEFVGRHVVPYLRQGLQALRVTPPKQEDGGFAGVMRWASVVSVQDMAQLLEEEFFGKWQDALCRWLWAAKPTVGEAIAWHEGWKRLLTPELLVEERVSVPIESGLQKINRAAQGLEIYRRERGQHASEAHSCRSRLRRRAVVSNRSNKRHCSPPEDPGLSITPISLSVYANKLNLFWLIHLNPNWIKAQCLQCLELGQPVQFATSHDGEMLKTTETEVPKVYQFTNRVWQVLYVGITQVESPQRPKKPEQATWELNDLPPVSLPSVKPAFHQAAGGRHGADLVPPLPIAAPQPERLPVPRDLVVPLHDLGDLAAEAVGGGGVHQAELALPLAGAGGAGAEQMGLEPLEARRAERLELELLEHVLGRVLQVPELPEPQRDEVVSDHPVLGGPERGADAPEPGPELVELAVVDRARLGGGPELVQ >Sspon.06G0006650-3D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6D:20132617:20133393:1 gene:Sspon.06G0006650-3D transcript:Sspon.06G0006650-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVEYFLSGWPLSSWISPGAAFFLFVNVLIGAIVVTSRGHHQGGRAAAASTRRLCRSASSMVLDRLRSFSMFSVHPAVPVEEDYSYSTSSPELELEAEELEQPHQPAVAEPARSPVAAAVAAPADGGASSEIAKEEAEAGQDMSTSSDDEAARGLALQQGHGRQQSPSVDVVTADEAAAAVESRRQEEKLVEATMQRAPACRRETEEALEGKAALNARAESFIRKFREDLKLERLNSIINYTRTLRRGAGAPSPTAR >Sspon.08G0009590-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:42253582:42255688:-1 gene:Sspon.08G0009590-1A transcript:Sspon.08G0009590-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGALCDFCGEQRSMVYCRSDAASLCLSCDRNVHSANALSRRHTRTLLCDRCASQPAMVRCLAENASLCQNCDWNGHIAGSSAAGHKRQTINCYSGCPSSAELSRIWSFVSDIPNVAPEPNCEQGISMMSISDSGVSNQDNAAGDMLDIASATLISDLGTCDKPLVGSSSGAGVNLLPLATDQTAGSVDSTPDKVPYTPDKDMFSKDSIYEDFCVDDVDLAFENYEELFGTSHIQTEQLFDDAGIDSYFEVKEAPAGNSTEVCSLLMNIVFLNPLQSFTSMCTCTSHSVGVLLLCQSTEPI >Sspon.03G0037850-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:1613488:1616558:1 gene:Sspon.03G0037850-1C transcript:Sspon.03G0037850-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVYASSEFSRVFSSLDRDGDGKLSAAELRLCMKAALGEDVSAEEADRLVASADADGDGLLSQEELLALALAGTAAAAEEEEEEGEGERWRELRAAFGMYAMEGEGCITPLSLKRMLSRLGSHQDLMTVSAAELRLCMKAALGEDVSAEEADRLVASPDTDGDGLLSQEELLAMALALAGTAAAAEKEEEGEGERRRELREAFGMYAMSGEGCITPLSLKRMLGRLGSHQDIDECRAMICRFDLNGDGVLSFEGS >Sspon.01G0028900-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:97399980:97402028:-1 gene:Sspon.01G0028900-2D transcript:Sspon.01G0028900-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:30S ribosomal protein S9, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G74970) UniProtKB/Swiss-Prot;Acc:Q9XJ27] MALSVSSLAAALSHLSLPSTSTSKPHPAPLLRLRSTSRCAVSLALRASAAEAAEPSEADLPAEEVVAVEEEAEEDALSGIVLRKYVKQRLPGGFAAQRITATGRRKTAIARVVLQEGTGKVFINFRDAKEYLQGNPMWMEYCKVPLMTLGFENNYDVFVKVHGGGLSGQAQAICLGVARALVKISNANRVPLKSEGLLTRDTRIVERKKAGLKKARKRPQFSKR >Sspon.05G0028780-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5B:72821193:72919801:-1 gene:Sspon.05G0028780-1B transcript:Sspon.05G0028780-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VAHLMLSQNSRSKAQEILQVALWSRHFFEVVNNLNAPQVSEKLPPPLVVSGALHNQKVTEYKWSIVVERIRGELQGGLFEEVTNMDEYIPPFVMAL >Sspon.06G0016450-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:88541770:88543281:1 gene:Sspon.06G0016450-1P transcript:Sspon.06G0016450-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEAEAAHTQTEYQTKTQINFVARLQLCKIPIFSPIGFAAADADVAMEPAADSRSGSGLVTDTVSACDWSRLPEDMLVSVLGALHVADAIRSGAVCASWHAAYVSFRRLRLPSPRQPPCLLYASDAVAPGAAALHCPSTGATLQIPFPRAPLARRPLLGSGHGWLVTADEASNLHHLNPVTGAQVALPPITALHHVERGADEQGDPAYLVYENLSVYSFSKHRCEVNTKPTILEMDDAYECMYFRVVLSAGPSAGRGCVVLLLHMPLGEVSFARLGDDRWTWVAPGDDDDDTGLPSRYGYRDAMYSAADGLFYLLGLDASMCSLDLNGPSPVARKILDSVPKSVDASKYLVQTPAGDILQVWRSREEVDSEIPVEYPPDYVVDDTIAGQDPCLELNTFEMQLYKVDLHGHRVELIKSLPENALFLGYNGSMCIPVKDFPGLKPNCAYITDDSMEYINFWKHNRREIGIWSLAEQSMSKLVDVSPTTYPWLNWPSPIWIQPSFF >Sspon.06G0005590-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:18924722:18926231:1 gene:Sspon.06G0005590-1A transcript:Sspon.06G0005590-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKQVVVVDTALVAPSEETPRQPLWLSNLDLAVPRTHTPLVYYYPAPAQGGAALGTGSFAPDRLRAALAAALVPFYPLAGRLGVGPDGRLQIECNSKGALFVVANADLTGADVFDDYEPSAEVRRTFVPVAESGDATSSPMAMFQVTFLKCGGVVLGTAIHHAAMDGVGAFQFMQTWSGVARGLDVAEACGPQAPYHDRTLLRARCPPCPTSDHFVYSPAFLSGRPRAYVTRVYAVSPKLLADVKSRCAPGVSTYCAVTAHLWRCVCVARGLAPGEDTLLGLPANVRHRLRPPLPRTFFGNAVVRDLVTAPVSTVLDSSLGSVAETIKKAVDRVDDAFARSVLDYLELQQQNNAGGGGQQAQQAQAPSREQLVPATDLWAVSWLGMAMYDADFGSGAPRFVAPAQMFGVGTAYMTPRGPDRDDGIAVLISLEPEYLPCFEKVFFYN >Sspon.06G0031900-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:75182318:75185508:-1 gene:Sspon.06G0031900-2D transcript:Sspon.06G0031900-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MWGRHYWGGGRRPSWAPGGDGAGGVVVMFAWLSSQERHVRAYVELYAAHGWACLVCHSDFLTLFFPEKTTMLADRVIGELVKVLKITPAPVVFASFSGGPKGCTYKVLQLIERRCEGQLSLDEYQLVRDCLCGQIYDSSPVDFVSDLGTRFLLDPSVLKMSEPPRVLSWMTKGTASGLDILFINKFEEQRKDYWETLYSSVHFGPILILCSEDDQLATYSVVQNFGQHLLELGGDVNLIKWHSSPHVGRQVTNTMRTQNLDH >Sspon.04G0000600-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4A:2944795:2946385:1 gene:Sspon.04G0000600-1A transcript:Sspon.04G0000600-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEGHVNNAGIVGTTTEISDPESFKQELADMDGMEKLEWIRKHTTEPYDKAKECLITNYHGTKIVTEALLPLLQCSAHGRVVNVSSHFGLLRFFSGEELKTELNNIDNLSEERLDELSELFLKDFKNGQLEHYGWPTEGGYPAYKVSKALANAYSRIIAKKHPTLCVNCVHPGYVSTDINFHTGDLTVEEGARGALILAFIPKGGMTGAYLNCIEVASFV >Sspon.05G0006810-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:21359068:21363274:1 gene:Sspon.05G0006810-1A transcript:Sspon.05G0006810-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCGASSQKDAGAPRRRPGSVGDVVVFLPGLRVPRTVDFAQALGGCGVARSIVDRLSALRSRVVEMAMQESAAALKPRRRTAARHGSSTANLLQALEDYLPVVLGLVKEGSVLRHKVQFTWSNQEDNAEETAISDAWYEVLSVLHLMAMVCLLQANTLLLPRSYGDGYAPRVSEESRRATVDVFLKAAGYLDCAIQHVLPKMPLELRRQLPVDLAEGNLKALSLQALGQGVDMQLGLAIDSPKATLAVKRRLACEMVKYWQQVQESIPELPISDGWGKKHRLFVKWKYVEAKAAAYYFHGLILDEGNTEKSHGMAVAALQASEEFLKESKRIPRDASSKVRINQDLYTQEKVIGAPPPLPDFALALTPEDYDLPPLDPLWNKEDTGH >Sspon.02G0017560-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2D:46762656:46764184:1 gene:Sspon.02G0017560-2D transcript:Sspon.02G0017560-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ASTRPQQMSASSSSRDNMRSTSLSDSSNVMAQGPLKAMDNRGSMGAFWSTQHAQELSFAYDKEPAFDEEPVNQVTSKQSQAKNQNTPAHNSSQKSLSASVDSSPGDFEIRFSANGSESGLEKTKTAITENKTKIQTTAFNSFMADFDSIKMNSQNSTDNVNMTSKLKEHQLEAEVTLLKEQLKIANLEKEEISLKFDKLSAICSSQRREIQELKQALAAASVTPQAKQFRENSKVELTPPSKSLDTPEADILIYWFSQPREKIEGTPPELRQGLFTSSPGTPSPDLKTWSAFPEEPKAQAAVKSVHPRSVRTLRASNSNKASSLGQSNVSSSTDPFAFGQDSFKATPSGTALPQLSNMGNTSQLNSLNVDEKKDGSYQPAGWTGF >Sspon.08G0025770-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8C:12818182:12818520:-1 gene:Sspon.08G0025770-1C transcript:Sspon.08G0025770-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPVGYPPELRQHWELCPGRAALLGASSLGTPRWGLVAAAQDTLAGAAGSDLGATGRRSWSVPEGAALPVVTPGSHLRPEEASSAHPATPCQGRAVAPPRRRKGEPLFYRRR >Sspon.04G0020480-4P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:80684977:80686599:1 gene:Sspon.04G0020480-4P transcript:Sspon.04G0020480-4P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDAPGSSQNGVTAREPAFAFAFSTEEPAEEDAASAPAAGVKSFNLPVDSEHKAKSIRLLSFANPHMRTFHLSWMSFFTCVVSTFAAAPLIPIIRENLGLTKADIGNAGVASVSGAIFSRLAMGAVCDLLGPRYGCAFVVMLAAPPVFCMAIIDSAAGYVVCRFLIGFSLATFVSCQYWTSTMFNIKIIGTVNALASGWGDMGGGATQLIMPFVYEGILRCGATPFAAWRIAYFVPGLMHVAVGILVLTTGQDLPDGNLRSLQKQGDASCRKDSFSRVLWHAVTNYRTWVFVFVYGYSMGVQLTTNNIIAEFFYDQFELDIRVAGIIAACFGMANLVSRPLGGVLSDVGARYWGMRARLWNIWILQTAGGGFCLWLGRASSLPASVTAMVLFSFCAQAACGATFGVIPFVSRRSLGVISGLTGAGGNVGAGLTQLLFFTTSSYSTGKGIQNMGIMSMACTLPLVLVHFPQWGSMLLPPSADADEESYYASEWSEEEKSVGRHGASLKFAENCRSERGRRNAVAVLAAAATPPNNTPEHV >Sspon.05G0023780-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:9789411:9790874:-1 gene:Sspon.05G0023780-1B transcript:Sspon.05G0023780-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Xyloglucan galactosyltransferase XLT2 [Source:Projected from Arabidopsis thaliana (AT5G62220) UniProtKB/Swiss-Prot;Acc:F4K6F1] MPESPASPGKPPSQPGSPLNAATPSPASALLRGSVLLLAFLVLQLVLFWNILGFPSSRFLPAPGHRNTTWPNGAVNGGACDDGLIYVYDLPSEFNHDLVDDCESLWPWYSFCPYLANGGFGEAAATLPVFFNVTRNVSLSSWYNTDQFQLEVIIHRRLLSHRCRTTDPSLATAFYVPFYVGLDNQTSFQRSGGWDHFITLGRITWDFRRYGDDGWGTNFAVLPGIANVTRLGIEADRLDPMEVAVPYPTGFHPRTAADVRAWQRHVLSLKRSKLFGFAGAPRSGFRDDFREVLLEECEDAGSEHCRSVDCRGTRCTDNGAAVLELFLDSSFCLQPRGDSFTRRSLFDCMVAGAVPVLFWRRTAYDQYRWYLPPGPRGEEGACEQQVRRMRGRVVEMIPRLVYASSPDGLGDGMEDALDVALRGVLERFRRRRASTAPE >Sspon.07G0009490-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7A:26667963:26669882:1 gene:Sspon.07G0009490-1A transcript:Sspon.07G0009490-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSEASVTWRSTVPGSPPTTSRARPKHGTMPSSRMRACLPGNVSRSCATCVLDRRITPIASTRCSAMLGTSQLLQRL >Sspon.05G0015350-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:58959010:58963475:-1 gene:Sspon.05G0015350-1A transcript:Sspon.05G0015350-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DMDGGEHEAEESSSQRRERLLALRSAANASPAGDPPPAPAGSLLPDPDLPGDQAASVCPPPPQRFDYYTNPAAAFTSSYSGGATNPTWSHKRKSPPACYAPPPPASGNYGSNYHPPHQQHIPSQVHSPSPIPQDAPGSSPWRSPMQFQDPMSGYQGAPRAPPPWGSHSGPQGRGSYSNSPNFGFRHPNPSRGGSPMNYGPRGGPYSSYGRGREPNYFGSPGSRGRGGRGGVGFQNHTGRQGRSYFNKSMLDDPWLDLQPAVGNILIPRAEYDSNKSWLPESLRKKETPAQGQIKSTSGLSLAEYLDLSFNQIQKAVEKGAKSKRNRG >Sspon.01G0027070-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1A:95471950:95472330:-1 gene:Sspon.01G0027070-1A transcript:Sspon.01G0027070-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARHVTTSHVIKARYPPASTASTGRRTPPRREHRSAIMSGAQGAQPKGAFTATTYTSAPATTGGGVAQGQERRQTPRTELRSGEDERGLPVRKLQDTVEDAAGKGGPVFGAGTEDGKPDLGVTGTG >Sspon.07G0013550-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7C:55389621:55391444:-1 gene:Sspon.07G0013550-2C transcript:Sspon.07G0013550-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLSFNRLTGAIPGVIFSLSSLTDSLLLSHNYLSGVLPPQIGSLKHATTLDLSKNNLSGEVPGALGDCASLVYLSLDDNYFNGSIPPSIGNLKGLSVLNFTRNGLSGSIPQELSKIHGLQKLYLAHNYLSGAIPQLLQNSSALVELDLSYNHLEGEVPSHGVFANMSGFSVIGNDGLCGGVAELKLPSCEVKPHSHRKRLQLQIFLPAVGIAICLSLLLVALFLFKGRKGLDRINATQNHLLDNKYPRVSYLQLFEVTDGFAPINLIGAGKYGSVYKGNLSLTGARDSVVAVKVFTPQQPGSSRSFLAECEALRQVKHRNLINIITCCSSIDSRGKDFQALVFDFMPRYSLDRWLHPRSNEQTHKLSLTQLMNIAIDVADALDYLHNSSCPTVIHCDLKPSNILLGSDWTAYVADFGLAKLIGESMDQSNLNIGTESTIGIRGTTGYVAPEYGAGGQASVAGDAYSFGVTLLEMFTGKAPTDDMFIEGLTLHLLAEAGLPDRISEIIDPELLHAELYDNDSEILSCLASVIRVGVSCSKDNPSERMNMEHAAAQLHRIKEYIVDRI >Sspon.03G0009650-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:3498515:3508239:1 gene:Sspon.03G0009650-1P transcript:Sspon.03G0009650-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGGAGGKGAAAPVPAGSRKLVQGLKEIVNRPEAEIYAALRECGMDPDEAVSRLLSQDTFQEVKSKRDKKKEIKEVPETRYRSANSSTNRGLRSGADRGGRSNSVHSSSTDNMTSRPPVSGSGTASVNSSQKQTIASSSANKHMVTDGPAVSLQSSSGFQHGGWSGTPGQLSMADIVKMGRPQGKASSKPVVTVDRGYAGQYPSLPSTNQNLKQSVSMVPPTELDKGLQPAQDSVQVKNHGHSAADSKLPYGTDWSPQDDPTSANQSSLPETSGDPSLYEASFQSSALVTDVVNSHENSHLDENSTFAMRPAPASERHLEPSDGISEYNDGMLNNSSSYQPHNYSYTEQEVEDSSADVSAAAANFQSLSLHNDELAAKKTAEDNPAVIIPDHLQVTNTECVSLSFGSFGSGAFSGLLPQKTADSNVEFPAREDSAPVDQIDARNQEYYESGAVTSPAEENLEDMLGANMENVDAPSVSQANELRQDVLDPSGLQYDVPSVSSHAYSNTNASQPSTMEDPQGNNQAHTLSHLSNLMQANPLSTSSLLGSNQNHAALHGLEFDLPPYLEAKYNTGSTTNPRPAISMQEALKAGVFSNAQSTQSLPSTSIPPGPPLPQQLAHHPYSQPTLPITHFPNMVGYPTYLPQNYATYLSSGTFQQAYPSNGPFHQSAAALLGSGMKYSTPEYKNNLSATGLQQQHQPQPQPQPPSSVISGYGGFGSSSNIQGNFTLNQSSGSAATLGFDEALSRQYKDTSQYMALQQGDNSGMWLHGSGSRAASTLPPNHFYGYQGQSQLGGFRQAQQPQPSQFGGHGYPTFYQSQGGLAQEHPQNLAEGSLNGFQVAPSQPSHPSWQHQHTY >Sspon.05G0016560-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:63281010:63286342:-1 gene:Sspon.05G0016560-3D transcript:Sspon.05G0016560-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAYRVEDVMDNFSYHYLRYKNDQFLKRLSKGINYTAIFSGISDELIQIEKEIVHVSMLKDQWLRPVHELLPTQIHNSELQFPQYSVPQFMKDEDLVGIEKNSEQLTKWLRSDTQDLKVVSVCGMGGIGKTTLIANVYEKQRDNFKVLLWLTISQTYKSVEALLRKLLEMTRADSDSMEMGKRKSEGIDSMDILKVKTELRAVFGAKKYLVVLDDIWNPQVYESMRDVFEDSKNGSCIVITTRKEDVAALASKHYQLKLSPLGLKDALCLLCTKAFPYNDSESEDPSKVMELATDTANKCNGSSLVNCPAELRELATGVAKKFEALLLEQCPAELQELATYAIRKCEGLSMAKSSSELLELANDIAKKSVVLPVAKCPLEMQVLAVNIAKKCGGLPLAIVSIGSLLSTRKLILPVWKQIYDQLPSELEKYAQVRGILSLSYYDLPGELRNCFLYCSMFPEDYPLPSEKLVRLWIAEGFVVKKGNSTLDEVAEGYLMELIHRNMLQVVDNDELGGVNTFRMHDILRELALTISKVEMFGIVNDFGAVIQMDRNVRRLSAFRWRKMKNDASKMKFPRLRTLMASETIVMSIPSILSESKYLTVLELQDSEVTTLPASIGHLFNLRYIGLRNTGISVLPEYIENLINLQTLDVKSTNIRNLPHGIVKLTKLRHILADRYADVKQSEFRYFVGVEAPTGLSNLEELQTLETVQSSIYLAEQLENMMQLRSVWIENITTAHCSKICKVLSMMPLLDSLLLSASNVNEPLSFEDLKPTSTKLDRLIVRGCWAGRTLKCPMFEHHGANLKYLALSGCHLGGEDPLVFLASHAPHLSYLRLNNINSKHELVVPADSFTHLKTLVLMGLHDVSFLDIGHGSLPVIEVVDVIGGWRVGRDSKKLMFQKGRLIGGI >Sspon.05G0012860-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:43207869:43214933:1 gene:Sspon.05G0012860-1P transcript:Sspon.05G0012860-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEAARRRQEIAVEGQRHLEETIAAAFQILVSMNDELCNAGLWSSSSVSAAAAAAAGSQHHHSATPPPPPHSADSDAADAGGAPGPGGSLDEARHRYKSAVAALRASISAVSPCAQDIGSTESEADHAEIERLEEHASALRKWCVAAENTWLNYFN >Sspon.05G0011720-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:34047471:34051667:1 gene:Sspon.05G0011720-1A transcript:Sspon.05G0011720-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEGARPAAAARQIVKSLQRWGRLSLERPPFGAARGDYHQLPRPSPSPTAAAAAAVTLGGRGEVFDEGIVVRMPLKRKSPYRECDTAESTGLSMASSGFIQGVGSPRMTPISGNTARKYKSKSEYTKAGPQTPTLNAGKYKFVDLVLCLCVVVGSPGNPPTPAGSCHYDSSLALLTKKFINLLKEAEDGILDLNSTAETNWSDRKEVEEQNLLETDFENLNLQEQALDEHISKIREKLKGLTEDESNQGWLFLTEDDIKGLPCFQNQTVFAIKAPHGSSLEVPNPDVMTGNSFQRRYRIVIRSTTGAIDLYLVSETEEKMEGELDDAAAPAGHTNVAKHGSIKRPRTKRAWQMSREEEVVLKAQKTQKTPDLNPPCHSDVDLDQIDPNDFLAEEVSSPETLEQ >Sspon.02G0022480-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:68969905:68976048:1 gene:Sspon.02G0022480-4D transcript:Sspon.02G0022480-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKSGALDLASGLGGKIAKEEVKSAVDEYEKYHGYYGGKEEARKSNYTDMVNKYYDLATSFYEYGWGESFHFAHRWNGESLRESIKRHEHFLALQLGLKPGMKVLDVGCGIGGPLREIARFSSTSVTGLNNNEYQITRGKELNRLAGISGTCDFVKVKALEYVGLAPQGSERVSNFLEKAAEGLVEGGKKEIFTPMYFFLVRKPLSE >Sspon.03G0030640-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:21975868:21981285:-1 gene:Sspon.03G0030640-1B transcript:Sspon.03G0030640-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIQGLLPQLKSIMAPISAEELRGQTVAVDTYSWLHKGALSCGDRLCKGIPTTRPSANKGYQETKRARSRKENLERAREHEAAGNSRAAFECYQKAVDITPRIASELIEVLKKEKVDYIVAPYEADAQMTFLSVNKLVDAVITEDSDLIPFGCSRIIFKMDKFGQGVEFQITRLERNRELDFNGFTRQMLLEMCILSGCDYLPSLPGMGVKRAHALIQKLKCHEKVIKHLRYGAVSVPPQYEEDFKKAIWAFKFQRVYDPAREDIIHLSSNIARGIALGNIDPLTKEPFEIKQEYSAPAVHKVCPTREPIAPSIGRRKLDLPVQKNILTNYFCLASLEAKRKFRAPKVTHKQQISNESLPSPQTQGSDTPDSVEDTRLPTDHIQASQSLNLLKMTQSVLALSVALSVSAVSTPSMTQPIFHLNAVHLMVVLILLTRIRALKTESLCVYSLFLGNLPWTPESFLISHNVEPSIPVQHHTESIVASKNNDITVRSSYFKTVNKRICTDQEDQLDDDYDVGTGNLPGDQLRKSGMLKRRKLSGIQNFEDETLQPIHSDDSPPVVDEGQDTDDLDDTNIRTERRFGCNVSHVNTYSGIAEKSMDKFAALVSSFRYPGPRASGLRAPLKDVKNTLSVRSILKAPEQGTFRRTAKKTGLGPPSKSRYTTDKESAPPDISTFAYKPMKTAASDQGKTTGKATDSTDGPADLGTFAYTSVAPTVCYPDWSKYAGTATRIADSPPDLSTFAYKPVKAAVRNFDGSRFTGTTLKATGGPSRSQFK >Sspon.01G0054110-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:47734191:47735194:1 gene:Sspon.01G0054110-1T transcript:Sspon.01G0054110-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ESKVTAARNMSAWVGACFFTPLFGGFIADTYWGRYWTIVGFFPLYVVAMVVLIASASLPIFSTSSDHGGSVHRVVVYLGMYLAAIASGGVKPCTSSFGADQFDTNDHAELVTKGSFFNWYFFLISTSSLLSGTVIVWLQDNVGWAVSYVITTVLMLVCFPAFLAGLRVYRFRKMGVSPLTSILQVVVAAVRKWHIKLPDDSSLLYEPTSMPSGTDASYKIEHTNEFRIRFDLSSPGCCHH >Sspon.08G0016090-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:60682326:60682946:1 gene:Sspon.08G0016090-3D transcript:Sspon.08G0016090-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTGSGGSTQALVAMHRGGGEPETQPHRLLLQRMEPLFRPAPTDRDRRLTKLLVNVTVDRSLWPVHLVLGADATVADLVRAAVAAYVREGRRPPLAAAGGAGADDAVDGFELHLNKYSLESLRLDEKVLDLGSRNFFLCARRSAAAA >Sspon.01G0001380-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:4294766:4302093:1 gene:Sspon.01G0001380-1A transcript:Sspon.01G0001380-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeobox protein knotted-1-like 7 [Source:Projected from Arabidopsis thaliana (AT1G62990) UniProtKB/Swiss-Prot;Acc:Q9FPQ8] VGIYMQGGGGDQAGGSLSMDMGVGYAGGGGECSSSTSVAAAAAAAAAAAAAAAAEAEERQLLKGEIAVHPLCEQLVAAHVGCLRVATPIDHLPLIDAQLAQSSGLLHSYAAHHRPFLSPHDKHDLMVVQAQYLMLLCSFREQLQQHVRVHAVEAVMACREIEQSLRATLEEGTGATMSEDEDEPPMLEAGLDMGSDGHDMMGFGPLLPTDSERSLMERVRQELKIELKQGFKSRIEDVREEILRKRRAGKLPGDTTSILKQWWQQHSKWPYPTEDDKAKLVEETGLQLKQINNWFINQRKRNWHNNSQTSTLKSKRKSKKRVDATELPKQRHT >Sspon.01G0007580-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:44465376:44466445:-1 gene:Sspon.01G0007580-2B transcript:Sspon.01G0007580-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GFGRGAGGRGRGVRRALRPHGAGRLAPQPQQGALLLRRALHLARRRGPPIPVPPLPAPPRPPPSSSPTAAPRPPPASSGSSCVPFLHRVSWS >Sspon.07G0007890-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7A:21163221:21164093:-1 gene:Sspon.07G0007890-1A transcript:Sspon.07G0007890-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLNNLVVPTGPWTDTCIDHIGLGVSGVASGRCSSRRRLISDGHHPLGVAPDPSRPTTCARAEIDGAVWRSGHVELAPPPADHCVEFLPLDFSPAPPSAVHGRATTAPRVDRSDQNTKSKRRE >Sspon.03G0009430-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:40800427:40801825:1 gene:Sspon.03G0009430-3C transcript:Sspon.03G0009430-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLESGRRRLLLLCASFVLLSLFGPSNGASNSTENLSESRNKTSHTLEVIRVINFQRSYLGFLVLSHVGKHKPSLLIAQVAFLPDDTESIISAQATRIVPLVFVWFPDASRNNTSQNVKQMSQWKMHQSPLLLPCHFAGFLLLRNTVAVLLATGGAVLSLMNFENSFSNSHQRVGLALYGVMWLQPIIGFFRPERGVKVRSLWYFFHWLLGIAICATGIVNVYIGLRTYHERTTKSVRLWTGLLTVEVIFLAFFYLMIDRWSYMMKQGHATVEQLRPTDNRRTYPTTLRKELALVQE >Sspon.04G0027540-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:59709114:59710044:1 gene:Sspon.04G0027540-1B transcript:Sspon.04G0027540-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQCNILIIQLISCRVPCVKRDGVRFTINGHDYFNLVLVTNVAAANSIKSMEVKSSNSSNWSPLARNWGAKWHFLHILQGGCSRLDSPTQMGKLLNSQTWCHKNGSLAKHLHPSCSSSDDESSVIQDYYFRLK >Sspon.03G0014870-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:42296024:42299867:1 gene:Sspon.03G0014870-3D transcript:Sspon.03G0014870-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAARLFLCLVSALALPTFSSSSQSLPSDQRDADRVARLPGQPESPSVSQFSGYVTVNERNGRALFYWFFEAQTTPEEKPLLLWLNGGPGCSSIGYGAASELGPLRVVGRGAALAFNEYAWNKEANLLFLESPVGVGFSYTNTSSDLDKLNDDFVAEDAYSFLVNWLERFPEYKNREFYISGESYAGHYVPQLAELVYDRNKDKKGKAYINLKGFIVGNPITNYYYDSKGLAEYAWSHSVSVRRDRHIQHLCTEVPSKPEFSSSENHAFFMNDQEKLRRRIRMFSGYDPCYSSYAKDYFNKKEVQKAFHAIVSGSLPVKWHVCSDPILNSYNFSVFSVLPIYSKLIKAGLRVWLYSGDADGRVPVIGSRYCVEALKLPMKTQWQAWYLDKQVAGRFVEYYGMSMVTVRGAGHLVPLNKPAEGLTLINTFVRGEQLPTHR >Sspon.03G0024940-3D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3D:60308493:60311120:-1 gene:Sspon.03G0024940-3D transcript:Sspon.03G0024940-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLTQSSIDALLGVLTSVIQDEAKLLGGVHSDMKFIKDEMDSMNGFLLHLTKMESEHDDQVRAWMKQVREIAYIAEDCVDRYRRDIGRHGVRLGDGCVGHLTMLPLLLKDHKVYFQLRKLGKQIAELKARVHDVGKRRLRYGVSVPDGRDLKRVDNKLPGQEEERRLAFVRALEEKLEEEKKKQHAPAPLGSFLRRKMGGDLLQDALAVAVPSAAVGLGLCGADSDIINQLPALLVPSEAATIRGILKKCSPPEDHPAAATATGGGAASSAEAPQPDEGEAFRCTKKMFLCALYVYPYPTNQELKKLQEEVEAPGVAAKEAMNQVMVFCYSLLSTQQKSCLQYLTAFLHESKISRTSMVRRWVAEGLVGKEPGGGGRTTPEEAGERCFRELLLRGFIRAARRSNAGTVKSCVMDDAAREFIVGITKSENFVADLPAHLDRQLKIRSIVNRRRSMSPLLLLPQPKKKNTLLRRCCCPDDHDALEDDTTKDPMDELVDFLKSLPELYRLNVLDLGGCLGLKSRHIQSFGKVDCLRYLSLRDTDVSQLHADHINKLTELETLDIRGTNIPPRDTKRIYLPQLKHLLAGRFATTTATARTPSAEKAMLVTVHMPDRIGSMRHMETLSHVQVSIDGAELQGVAKLQQLRKLGVVVHANTATAAVLGRVLYVLAGCLRSLSVWVVDAVAVAHTRTTTTATATTQQRKGGGEGILDISSMHEIASSMVLENLDIKGKMSLPSWIGRAPKLANVTLRDTEMDGGDALRRLAAVLSLRCLKLSRKAFTEQALRFKDVQFQALRVLVVEGDAFTRVAFFAGDAAPKLEKIVWAIGGGSTIAQMQNEELIVGIQHLPSLKEIELRASFKVNNLLDWTRQQTTSANP >Sspon.03G0035870-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3B:85323332:85325262:-1 gene:Sspon.03G0035870-1B transcript:Sspon.03G0035870-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DAMAGED DNA-BINDING 2 [Source:Projected from Arabidopsis thaliana (AT5G58760) UniProtKB/Swiss-Prot;Acc:Q6NQ88] SMQKGLLGIWDYVKLHEKITYDSVHSCILNSMKIDTTNDGMVYTASSDGTISFTDLDTGIGSPLLNLNPNGWNARIWDTRKLEANSPLAGLAHGRVVNSGYFSPRSGNKILTTCQDNRIRVWDYILGDLQSPSREIVHSHDFNRHLTPFKAEWDPKDHTETVAVIGRYISENYNGVALHPIDFIDTSSGKLLAEVMDPDITTISPVNKLHPQDDILATGSSRSIFIWKPKTEDELTEERAKQKTKEYVYGSSSRKKANGKRGNSSDDDSDGDSGGKNRKAKKTRFTHAVKGKGKSKV >Sspon.04G0034320-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:76648790:76652602:-1 gene:Sspon.04G0034320-2D transcript:Sspon.04G0034320-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Wee1-like protein kinase [Source:Projected from Arabidopsis thaliana (AT1G02970) UniProtKB/Swiss-Prot;Acc:Q8L4H0] MLRTKTPRSRGGKAGRAPGAASAVKPAKAEGRSPSGELSMQLEHVSLISFLSDRRPGAPAGGLTPFEALLEEEDGDGEGLGCYRADPTPPPPPPLPQPQSMPPPPPPQLPQASPMDADETMEEKDCCILSQDFFCTPDYITPEMPQVANEFDDDKENIPCPKSPEKSANPRSKRYRTDCSPKGLESTDFSFDHQITPVPFDSLTRDDSEEEQPMQPALEKRGGYVSQSAVALRCRVMPPPCVKNPYVNTDPCIDDAVYGGRQCNSAGFSPSIGGNGLSRYRTDFHEIEMELCDRCLSMNRSKPVKRGEALELLYQICKGLEFMHECGIAHLDVKPDNIYVRNGIYKLGDFGCATLINRSLAIEDGDSRYMPPEMLNDKYEHLDKVDIFSLGATAYELIRGTPLPESGPHFTSIREGKIALLPGCPTQFQSLIKSMMDPDPVRRPSAKEILRHPSFEKLHKAPAKK >Sspon.02G0015760-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:42926696:42935166:-1 gene:Sspon.02G0015760-1A transcript:Sspon.02G0015760-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPAAWERRRTLLVVNLASIMECADEALLPAVYREVGAALHATPAGLGALTLCRSIVQAACYPLAAYAAARHNRAHVIAVGAFLWAAATFFVGVSDTFLQVISCFVAPTLSLGSLRGAAPTVLQVVAEMIEDAKFVVRIPTFQIFVAQGVSGSFPWSALSFGTMWLELIGFSHGDTAVLMTIFWVASSLGGLLGGKMGDALAVRYPDAGRIVLSQISAGSAVPLAAVLLLGLPEDPSAGVAYGVVLFVMGVFISWNGNNVKLFQLDLAQSCSPIMAEIVPEKSRTSIYALDGTLESVLASFAPPIVGLLAQRVFGYNPDDKGKSVQRDRQNAASLAKALYTSTAIPFIVCTSIYSFLYCSYPRDRDRARMQSLVESELRQMEEKGSSCLEDGNGHRHGGQRAGVTCDSKELREAEMDTVRLLADHDHGRRTLVLVNLASIMERADEALLPAVYREVGAALHATPTGLGALTLYRSIVQAACYPVAAYAASRHNRAHVIALGAFLWAAATFLVAVSGTFLQVAISRGLNGIGLALVIPAVQSLVADSTDDDNRGTAFGWLQLTSSIGSIFGGFFALMLAQTTILGIEGWRIAFHLVAIVSVIVGILVWFFAMDPHFTTDNAASHAAPVSQKSALDEARELIIEAKSIIQIPTFQVFVAQGVSGSFPWSALSFLSMWLELIGFSHEDTAIFTTTFAVACSIGGLIGGKMGDFFAQRYPNAGRIILSQISAGSAIPLAAVLLMGLPDNPSRSSGVAHGLVLFIMGLIISWNGAATNGPIFAEIVPEKQRTSIYALDRTFESILASFAPPVVGLLSQHLYGFKPDDKGSSPEQDRENAASLAKALYTAISIPMVICSSIYTFMYRTYPRDRERARMQSMIQSELDQIELGGSSFGCGDDRFELFESVHDGDKPDEVDGSYGAEESAEADAGTEKLLGNHEL >Sspon.03G0022680-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:91436864:91438033:-1 gene:Sspon.03G0022680-2B transcript:Sspon.03G0022680-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AVPSWIWHRLVAGLNAQLRLVRRGNLKVTFLPVIDWLETHANPSLAENGIRVDLAWFQATALGYCQLGLLVYAVEGEAAVIEPDGSPRVKAEQRTPTQNMLADTQLSQSRIKDALMRKRITGGVLDIVNQNTPERAALEPGNLSHMS >Sspon.06G0001930-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6B:4867047:4874968:1 gene:Sspon.06G0001930-2B transcript:Sspon.06G0001930-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding QADVAPGVATISFPSKASQTVSSAAAAAMLTVSVGRDRLFAALGRTYTQEEFEALCFEFGIELDDVMTEKAIIRKEKHLEDDGEVDDDDEVIYKIEVAANRYDLLCLEGLARALHVFTGTEASPVFQVSSIRRGSILQMHVKPEALPPHEINFVPLKQEKSFRADKLMEFYKSDMKLKKFLHIIENSLVYPVIYDSNRTVLSLPPIINGAHSAITLATRNVTMFSEYCETKFEVEPVEVVVCLLNKMQLQAESHTLKGEPHISVSVPPTRSDILHARDLSEVARAGYMEVLTFILSSHEENFDMLNRADDKNKAVIITNPRTSEFEVVRTSLMSCLLKTLKHNIDHPRPIKIFEVGDVVMLDSSRDVGASNNRRLAALYCNRVSGFEEIMGLVDSIVKVVRAPHVNFGENYYVPTDEPEFFPKRQCKIVTSDGKQVGYLGIVHAEVLTLRRF >Sspon.03G0001780-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:16137898:16140278:1 gene:Sspon.03G0001780-1P transcript:Sspon.03G0001780-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LYARALALFREMRGRGLRADEYTLPPLLNSAALMRGPPPAAVAALHALLLRAGLALHLHVANALVDAYTRLPRAGGAAAAAGAARAVFDEMPRRDVVTWTSLLTGLARAGAHAAAVRAYHGMVAAGVQPDEFAVAAALSSCAGSTMLDVGRSVHAAAVRLGLNPFRSVGNSLVSMYAKTGALRDARAVFDAMPARCTITWTALIVGYAQNGRGRQSLEVYANMVRSGCRPDYVTFIGLLFACSHAGLVDAGQAHFRSMLADYGIAPGPDHYACMVDLLGRAGRLDEAMDLLNRSSTELDATVWKSLLGACRVHRNAELAERAAEMVWRLDPTDAVPYVMLSNLYSRARRWGDVARIRALMKARGVTKEPGCSWVGVNGVTHLFHVEDRGHPRAAEIYRKVGEMTERIRVEGYVPDTAWALQDEAPEGKQRGLAYHSERLAVAFGLLAVPAAAPIRVFKNLRVCGDCHTAIKMVAKVYGREIILRDANCFHHMKGGECSCGDYWNPLELPLILYRQDDNIIKSQQSYVDTSLSTPDYDILMK >Sspon.01G0015600-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:46022463:46027888:-1 gene:Sspon.01G0015600-2C transcript:Sspon.01G0015600-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VHRAVLHNGERVAVKVQRPGLKKLFDIDLRNLKLVAEYFQRSETLGGPSRDWIGIYEECSKILYEEIDYINEGKNADRFRRDFRNIKWVRVPLIMWDYTTEKVLTLEYLPGIKINNLDVLDSRGYSRSLIASRAIESYLIQILKTGFFHADPHPGNLAVDKDGSLIYYDFGMMGEIKSFTRERLLSLFYSVYEKDANKVMKALIDLEALQPTGDLSPVRRSIQYFLDNLLNQTPDQQQTLAAIGEDLFAIAQDQPFRFPSTFTFVIRAFSTLEGIGYILDPEFSFVKVAAPYAQELLDLKQRQQRGPQLVQQIRKQANDARDSTISMPYRIQRIEDFVGQLESGDLKLRVRVLESERAARKATVLQMATMYTALGGTLLNVGVTLNSQGNQIVANGSFIGADLCKE >Sspon.03G0038480-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:4952523:4954965:-1 gene:Sspon.03G0038480-1C transcript:Sspon.03G0038480-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATFELYRRSTIGTCLTETLDELVSSGAVSPELAIQVLVQFDKSMTEALEMQVKSKVNVKGHLHTYRFCDNVWTFILTDATFKSEEIQETLGKVKIVACDSKLLQPQQP >Sspon.02G0050560-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2C:62779703:62780029:-1 gene:Sspon.02G0050560-1C transcript:Sspon.02G0050560-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEEPFFTLPVAVEPTVQDTVEPTPVASSPVATINEHEEPVLEEAVLEDPIEPNVAHEEEQQQPNVEQVPEALEGLKEQEGQRFLMTMKFMKEKNFRWGMIPPHLKKP >Sspon.06G0020970-3D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6D:12531944:12532715:1 gene:Sspon.06G0020970-3D transcript:Sspon.06G0020970-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGKNTERARKALDAMKELGISRKQATPVLKELLATFDNNWEPIEDEHYRALADAIFARQDNKQTSPSQQGAQAAHADLEPNGSTRDDLQQYVYASHDDTGEDDNETPLVKRPRMGTANFRPEPLPFEPGPQQSAVSTQGALPASPQASRRQTRSLTVVPHAAGHEYPLAVDDALIVKEPKPEPQIDIAEVSVGDPLTDRDFLAGPEAIRLNGGSSGKVCLLNVL >Sspon.02G0037840-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:31664264:31665297:1 gene:Sspon.02G0037840-3D transcript:Sspon.02G0037840-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRKVMFTVGKKKNKGVASQMSRRPFQHMALRRLRELKKIVPDAQDADVDVLLRRTADYICILELKLTVLRRVSAMYVRRASFKPIFAR >Sspon.07G0023340-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:15174437:15183930:-1 gene:Sspon.07G0023340-1B transcript:Sspon.07G0023340-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFQQLRKAGVRLDENPVVSNKNSRKDLVEIDPVNNERREKVKEAMLHAWNSYVKYAWGMDELQPQSKNGINSFGGLGATLVDSLDTLYIMGLKDEFQKARDWVAESLDFDKDYDASVFETTIRVVGGLLSAYDLSGDKVFLDKAKDITDRLLPAWDTTSGIPYNRINLAHGRAHNPGWTNGDSILADSGTEQLEFIALSQRTGDPKYQQKAENVITQLQKIYPSDGLLPIYINPHSGTASYSTITFGAMGDSFYEYLLKVWIQGNKTEHVKHYRQMWETSMEGLLSLTKKTTPSSYYYICEKNGGSLSDKMDELACFAPGMLALGASGYGPEKSEEIMNLAKEDMSVGTSWNILRPETVESLMYLWRLTGNKTYQDWGWDIFQAFEKNSRIESGYVGLRDVNTGEKDNMMQSFFLAETLKYLYLLFSPPSVISFDEWVFNTEAHPLRIVPVNDNKGIGTPVRPFGRKQGKPE >Sspon.02G0059390-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2D:92466968:92468117:-1 gene:Sspon.02G0059390-1D transcript:Sspon.02G0059390-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCQYMLAAAAVLATICDVLVVRFALPARARPCSSLCRRRQAPANARSACPSAHAHVRVPTSLRPAHLVRVWPPSAPRLPSCESRNDVSWNERTDLLTALPTYAGTRVSPWTRAMRCQYMLAAAEVLTTLCDVLAVRSTSPVHARTSSSLCWRRAPANARPACPSAHAHAHVSASLRPAHLVHLQPAPPAAGNCDLLPCVVPAYAGARVSPWMWAMRCQYVLAATAVLAVLL >Sspon.07G0022370-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:7601833:7604596:-1 gene:Sspon.07G0022370-1B transcript:Sspon.07G0022370-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVQTICHCSCLRLAPALALSLVVVARTIPNSIPVIWFLEAEKMVLKTELCRFSGQKIYPGKGIRFIRADSQVFLFANSKCKRYFHNRLKPAKLTWTAMYRKQHKKKRRRTTKKPYSRSIVGASLEVIQKKRAEKPEVRDAAREAALREIKERIKKTKDEKKAKKAEVTKSQKAQTKGAVQKGSKGPKLGGGGGKR >Sspon.03G0040140-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:26691629:26693912:-1 gene:Sspon.03G0040140-1C transcript:Sspon.03G0040140-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding PWRWLRRPPARPFLRVAAAAPSSVTSSSVLSPRGPGLLPSPRPASARRHLTAAWSSRAAASASVEIQDDYADEMDAVNIAQDVTQLIGKTPMVYLNSVADGCVANIAAKLEYMGPCRSVKDRIGLSMINDAEEKGLISPDQTILVEPTTGNTGVAIASVAAARGYKLIATMPSSLDVERRILLRAFGAEIVLTDPTKGLKGAFDKAEEIVLRTPNAYMFQQFNNEANSEVDIQALPFTHMTGPEIWEDTLGSVDILVASIGTGGTITGTGRYLKRMNKDIQVIGVEPAETSVISGDNPGYIPSILDVQLIDEVVQVSTAEAVDSARELALKEGLQVGISSGAAAIAAINVAKRPENAGKLI >Sspon.01G0019250-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1A:72229547:72230604:1 gene:Sspon.01G0019250-1A transcript:Sspon.01G0019250-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGLDERVQYVLRSYFYVAVPTANSSSHVGNGRREPQSGMHALGPACRASPAPTACARAPTAVPAPISAGDSGFSRLHTSRRWQSRVPEARRGDADELQRAWTPVVRTDMGMADLRLPPAGPVTHRREAYLQFFFHLPKVTADAMP >Sspon.01G0007710-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:14713835:14722033:1 gene:Sspon.01G0007710-3D transcript:Sspon.01G0007710-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSSVAVSSLETEAPQAAVTRERKLNPDLQEQLPKPYLARAMVAVDADHPNGTEGRDPHGMSVLQQHVAFFDRNGDGIVYPWETFKGMRAIGCGFFTSLVFGFLINLVMSYPTQPGWLPSLLLSVHIKNIHKAKHGSDSETYDTEGRFDPSKFDAIFSKYGPHVF >Sspon.03G0031140-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:22376826:22377698:-1 gene:Sspon.03G0031140-3D transcript:Sspon.03G0031140-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASARHLFFLAALVAVAAPHSADAWGGGRFFFSKTTRPEATVESDKAAVPNAAAADTDAAPAFSRPSTGGSGRGYGLYGRPEENYPPDYFRRGVHRNAEKLTTTTTDVPATAGSLEEATPVRGRGGDGERVRTTFPENGSGRGRPPTDVPATTGTEEEEAAPAGGAGGDLDGVQPYPENGSGRGRPPWYYTGFRRGRQQEQRDYGMSDTRLYQNGRYYYDVDAGRYGYGRESNPMQTRPNEEEFGSGYGRPRGAAGGRRGNDAEFANGAMDQNTNGFQEEAGQNGLYIP >Sspon.04G0017590-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:69680529:69683157:1 gene:Sspon.04G0017590-2C transcript:Sspon.04G0017590-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFPVRLPPPSTTAAAAAATVGAVLAAVALRRYLSSSRHRPPARVSMSAAAGAATTLVAYGKSTQEQELLASAAGSVTLGEGEPAGEVAVALAYEGAGFDAAAYMSTLRARRFGRWMLWTPRIGSTQDLIARNFAKLPVGVVCVADVQFKGRGRSKNVWESPPGCLMFSFTSQMQDARKLPLMQYVVCLSITEAIKELCRAKGLPELDVRIKWPNDLYLKGLKVGGILCTSSYEPKVYNICTGVGLNVDNEKPTTCLNAALQEANPSSPILKREDILAYFFNKFENLFEIFLNQVVVQDAHESKSGSVVTIQGLTPTGYLHAIGEDNKSYELHPDGNSFDFFTGLVRRKMEA >Sspon.02G0008910-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:54151771:54152898:1 gene:Sspon.02G0008910-1P transcript:Sspon.02G0008910-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTDTTSTDSQLHPAPAFSAAGARAGVCSLAARGAESLARGLVTCVFATVGTVLGAITGGLIGLATETGVLRGTGVGGITGALVSMEVVDSSLALWRSDEPAIWSVVYVLDVIWSLLSGRLVREKVDPVVLNAVESQMSAAEAPVLHGDHADIFGTGGTNGMARVAIDALPVVRFTERSNVDASGELIACSVCLQEFEAGDSARSLPVCRHTFHLPCIDGWLLRHASCPLCRRAV >Sspon.07G0027530-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:62277611:62281937:1 gene:Sspon.07G0027530-2P transcript:Sspon.07G0027530-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLATLGRSVLRFPNGSMRLVMVTIIGAVLGFFIGISFPSVSITKLHFPASFVSYIEDKNSGLTTQAILNHAWTSARNARGNGTEPTSNSTLKIYVPTNPKGAEGLAPGIVVSESDFHLRRLWGDPSEDLPFKPKYLVTFTVGVEQKENINRAVKKFSDNFAILLFHYDGRVSEWDEFEWSQRAIHISVRKQTKWWYAKRFLHPDIVAAYEYIFIWDEDLGVEHFNAEAYIKLVKKHNLVISQPGLEPDRGLTWQMTKRRGDSEVHKDTEERPGWCSDPHLPPCAAFVEIMAPVFSRDAWRCVWHMIQNDLIHGWGLDFALRKCVEGQSENGRAPWEGVRARCRKEWGMFQTRMAEAEKAYYKMMGITPPNSTLV >Sspon.06G0004500-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:11220823:11223583:-1 gene:Sspon.06G0004500-2B transcript:Sspon.06G0004500-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPGATARRLLALAPARSRRAFWSASWAGADPAAPSASSTSPGNSSSSRKKKAPSGSAHHRLAAVMDAVNERKLPPELRGRGNAVRSVSLSPDPSSTPFAGEKNPCLPLFRSETDIVNVVEQRIWHSMEEGHFENLPGKGKPLNLTSNPHADPAEDTLYRILSRNGCAPEWVELNKEIRGLIMGWRSALRKAWANRSEDDDSNWNDDCRVLREQIRQINDKVFRYNLIVPFGRQMFGLNWDKEVDKLK >Sspon.06G0000620-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:2592979:2593749:1 gene:Sspon.06G0000620-1A transcript:Sspon.06G0000620-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding HARSVGRDRPPAGAAASSVRGRRSPPAQGVRRGAGGGITGGQGGRGRRGREPVPAVRVAGHQVLLLQQLQHLAAAPLLQGLPPLLDQGRHAAQRPRRRRHPQEALVHVVLRGRRRRRQQAAQEEARQQEAPRRGAGPGARRRRRRQCCHRPRRRRRLRQDDDDHRRRERDHHGDCGGGGPRCGGGLRLPGAPAAAARGGGRRRARARLSDFPSAAGKAAVLEDDSFVWPAAFDLGTCWANAGFADTDPASLFLNLP >Sspon.08G0022150-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:45977465:45979615:1 gene:Sspon.08G0022150-1B transcript:Sspon.08G0022150-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPRFDFAASVLLCSEDSTTIFDLEEEEREGILCVLRPSPRHANAPSGALSIDFPLQSESCIEAFLGREEGHLPMEGYAERLLLQQPGGSDLVAIRNYAIDWIWKVHDYYKLGPLTVVLSVNYMDRFLSVFVLHMHFLPSGFIDCTEMNTKSWCNCFFIAARERLDDTTLNSGLPVLGCKNGGNNCLKPLRLAGKFNYRSSHFMKLDLRILCETCISKVVDAEYVFEPSTIHRMEILVLNTLSWRMQAVTPCSFIDYYLHKFSDGDVVSEIILSRAVELILSTSKVAEFLVFRPSEIAASIALVALGKHDSSVLESVATCRKELRKERVLGCYEMVQDKIVMGDIVIKSDGSSVFPKQHSSTGVLAVVACESQQSEDTSAGATEGCFDLGIELKVQGNRTNLKRK >Sspon.03G0004940-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:14044676:14045945:-1 gene:Sspon.03G0004940-1A transcript:Sspon.03G0004940-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAIFGWTGAGHHVDEYFSRQAAGCGRFDMDEAFLGVCFGQLQCDGVLIGGDGGGVAGACQVGSNAGAIEGGAGMDHGDPLAFFNAGTGDVFDAGLLDAALAFTRELGDGGAAVSNGGAMLSSYSGTTGGYISSGESNNYSGGVPGDDRIATTTTAPPPAPFPRPTGGGAKRRASATSISFGGAGHVARDPSAAGYEPDMEAMAQVKEMIYRAAAMRPVNLGPEVAAAAAAEKPRRKNVRISSDPQTVAARLRRERVSERLRVLQKLVPGGSKMDTASMLDEAASYLKFLKSQVQALETLGTTNTNSKSKSTTSSTTASRSQQYNYYGGAGGSNNPGGFLGFAAGRSSNIISPAGYVNPSGSSNTRKLLL >Sspon.02G0027260-3C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:123198671:123201063:-1 gene:Sspon.02G0027260-3C transcript:Sspon.02G0027260-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHVSFYRNYGKTFKKPRRPYEKERLDAELKLVGEYGLRCKRELWRVQYALSRIRNAARHLLTLDEKNPRRIFEGEALLRRMNRYGLLAEGQNKLDYVLALTAENFLARRLQTLVFKAGMAKSIHHARVLIKQRHIRVGRQIVNVPSFMVRVESEKHIDFSLSSPFGGGPPGRVKRKNQKKASGGGGDAGDEDEE >Sspon.02G0016020-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:42521062:42524040:1 gene:Sspon.02G0016020-2B transcript:Sspon.02G0016020-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGPGLYTEIGKKTKDLLYKDYQTDHKFTLTTYTSHGVAVTASSTKKADLIFGEIQSQIKNKNITVDVKANSESNIITTVTVDEIATPGLKTILSFAVPDQRSGKVELQYLHDYAGVNASIGLTANPVVNLSAAFGTKALAVGADVSLDTATGNLTKYNAGLRFTHEDLIASLTLNNRGDSLTGAYYHKVSELSNTAVGAELTHSFSTNENTLTFGGQHALDPLTVLKARINNSGKASALIQHEWRPKSLVTISAEVDTKTIEKSSKVGIAVALKP >Sspon.05G0014680-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:55672392:55672613:1 gene:Sspon.05G0014680-1A transcript:Sspon.05G0014680-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEEKGRDGAAAATTANAAAACCAVLCFAAGACEGYDMMPMTDGHGDDTTPPPQPTEEGGGWMLCQQDKARGGE >Sspon.05G0029070-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:70238324:70241034:-1 gene:Sspon.05G0029070-2C transcript:Sspon.05G0029070-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGSSTWTRHHLLLLFFLPILAVATSSSHTTTINITNRCSYTVWPAATPVGGGVQLDPGNTWVLQVPGGTGGGRVWARTGCSFDGPGNKSCQTGDCGGVLACKTSGQPPITMAEFTVGGPGSTDFFDITVVDGFNVPMDFLPVPVPSKGSSGCSKGPRCVADITSQCPDELKESGGCRGACESCNGSTVNSNTVFYARMCPDAYSYSLDQGPLRRQEMQEKEEAEFGRLPGMPKRFTFEQLEEATDQFREKLGKEDSGRFGEEAIAVKRLDRAGQGKREFLAEVQTIGSIHHINLVRVIGFCAEKSHRLLVYEYMPKGSLDQWIFRRHGDDDTPHLDWQTRCKIIAHIAKGLSYLHEECMKRIAHLDVKPQNILLDDNFDAKLSDFGLCKLIDRDKSQVVTRMRGTPGYLAPEWLTSHITEKADVYSFGVVVMEIISGRKNLDTSRSEKSFHLITLLEEKLKSDQLVDLIDMCSSSDSQAHKQEAIQMMKLAMWCLQIDCKRRPKMSEPGIKH >Sspon.03G0000910-3C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3C:13880966:13881442:1 gene:Sspon.03G0000910-3C transcript:Sspon.03G0000910-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LLVRDPGTLATFLWNPQDGDRVHLPSLQGLEDAALMHSHFLLSDSDEPSAPGCVVLLVEAGGDDSTFLWYCRPGDDTRVKHGYDIGTQICSQPDEQERYEKTPICPIAACRGKFYFNASSTELGVLEFSPDPVFSFVVVDDDGSSDGGSDEPEEDEDGE >Sspon.04G0015470-2P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8B:16870326:16875613:1 gene:Sspon.04G0015470-2P transcript:Sspon.04G0015470-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFGKSLSGQIVETLPEWRDKFLSYKDLKKRLKLIGAGNGAERQPKRARRDDAGEADASASASAAPAMTPEEVDFMRLLEAELDKFNSFFVEKEEEYIIRQKELQDRVAWAAGRESKEELMRVRKEIVDFHGEMVLLENYSALNYTGLVKILKKYDKRTGALIRLPFIQKVLQQPFFTTDLLYKLVKQCEAMLEQLLPVSEASVSSEDVKGDSNDEEKLAKPSSSLVNGGGIPELDEIEYMESMYMKSTIAALRSLKEIRSKSSTVSMFSLPPLQGNNAQGSYQIRAEQTKLDEEPDRWSKLCSPALRRRRQALVTCAMPPRKRPAPPPEEPSPSSKPLLDAQPSEPSKSAPDSEQPTISATILAQLPTQERLVYKLIFEAGNKGMWMLDIRKKLLMGPNIATKVVRSLVGRRLLKEVSDVRHRSRKIFMATDFQPSAEITGGTWYHDGRLDTDAVTTARRCCQAQVERLGAATAQMIHHGILKDDPRAGYTIDKIRDIIKTMVLDKVLEEVKSTGTGEFTAVRAGTMCYRL >Sspon.03G0024420-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:74382302:74387285:-1 gene:Sspon.03G0024420-1A transcript:Sspon.03G0024420-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Flavin monooxygenase-like enzyme, Auxin biosynthesi [Source: Projected from Oryza sativa (Os01g0224700)] MDRFAETEGKRAHDPLYSPRRAARATVTGFPVGEHGEVLAGPVIVGAGPAGLAVAACLTMRGVPYVLLERHSCVASLWRHRTYRRLRLHLPKRYCQLPLMPFPPSYPAYPTREQFLAYLEDYIATFGIRPFFRQAVVSAEHDGDFWCVRTVGGGGITREYRSKWLVVATGENAEPVVPDIDGIHAFKGLVMHSSDYRSGEDYRGKKVLVVGCGNSGMEVSLDLSNHNVQTSMVVRDSVHVLPREIMGLSTFGLSMWLLMYLSVQTVDQVLLLLTQLMLGNTARLGIPRPSIGPMELKKVSGKTPVLDVGTIAKIKSGDIKVFPAIQSFQEHGVQFIDGKTESFDIVILATGYKSNVPYWLKEKDFFSEKNGFPHNSNEWKGKNGLYAAGFSRRGLLGVSMDATNIGDDIVRCWNDFGYERHKSKYLHLQYTYKK >Sspon.07G0012330-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:44026221:44054229:1 gene:Sspon.07G0012330-1A transcript:Sspon.07G0012330-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHQSPDKTSSNRTCSTHTAMTTTKQHCLRFATVLASLLSATACLDVGFYDQTCPTAETIVQQTVAAAFTNNSGVAPALIRMHFHDCFVRGCDGSVLIDSTANNTAEKDAPPNNPSLRFFDVVDSAKAALEAQCPGVVSCADVLAFAARDSVVLSGGLGYPVPAGRRDGRISNATEALNNLPPPFFNATQLADSFASKNLSIEDLVVLSGAHTIGVSHCSSFAGIGNQGDRLYNFSGSADGIDPALSKAYAFLLKSICPSNSSRFFPNTTVFMDLITPEGFDNKYYVGLTNNLGLFKSDVALLTNATMKALVDSFVSSEATFRTKFARSMLKMGQIEVLTGTQGEIRRNCRVINPASILETACKKETVGLVTREQYVEKYVASLLEVAESELAELSNKNATRLFSYPASKVKAEAIVQHLTYCTWLSSSVTRSTSTSMGDGSMFIIFFLFRLTLTNVVGQVSSVVSGLSMVQELRQLGTDGAITGQQTGTDGLLLSPQAEAELATVNSQIASITLSTQPDSRSSRFIDALNNLKTSALYQAANDGDAPANGPQFVWLNKAPPRVWFFGWLLINNHIQCRANLFIKNILDDDVCELCGAAAETADHLIFRCQTKRQQSSSTSPAVAMTTKRCCLLVATLLAALVSVRARLDVGFYNKTCPSAETIVQQTVAAAFGNNSGVAPALIRMHFHDCFVRGCDGSVLIDSTANNTAEKDSAANNPSLRFFDVVDRAKASLEAQCPGVVSCADILAFAARDSVVLTGGLGYKVPSGRRDGRISNATQALSNLPPPFFNATQLVNNFASKNLTLEDMVVLSGAHTLGVSHCSSFAGIGQRGDRLYNFSGSADGIDPALSKAYAFLLKSICPSNSSQFFPNTTTFMDLITPEKFDNKYYVGLTNNLGLFTSDAALLTNARMKALVDSFVRSEAKWKSKFAKSMVKMGKIEVLTGTQGEIRRNCRVINPSSVTDVLGSQSGSSGFTGVAAS >Sspon.07G0011930-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:47411005:47415348:1 gene:Sspon.07G0011930-2B transcript:Sspon.07G0011930-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSAIKMHRGFEDDKDHSRRICDQGQCWPLKRILSGKRVATVALILWEEVHSYVYLIINGYIFTFPVHLVKNNILGEGGYGIVYKGCSPDGTIVAIKRLKNHALDTGGDQFHTEVEVISLILHHNLLRQSGFCATNDERLLVNPYMPNGTVTSKLQECVNGKPSLYWPMRKRIALDIIHCDIKASNILLDKYLEAIVEDFGLAKLVDHGVSHVVTTIGGTLGRIPPESLMTSHVSEKTDVFAFGLVLIELTKELLEPNQLRSFMDKKLRKNYDSAELVEMVHIALLCTMYNPDHHPRMSEILRMLEGEDEVVGKWDWETMKNIEEPSPDSHTHLFYTLDYDIGAVQLSWKPLSSQGQDDQTD >Sspon.03G0030580-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:21803443:21811335:1 gene:Sspon.03G0030580-1B transcript:Sspon.03G0030580-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPEKRGYELQNFVAHDAEVRSLSIGKKSSVCSSPATLSGHTSAVEAVQFDSAEVLVLAGSSNGSIKLWDLEEAKVVRSLSGHRSSCTAVEFHPFGEFFASGSSDTDLKIWDIKKKGCIHTYKGHRGAIKKIRFTPDGRWVVTGGEDNIVKVWDLTAGKLLHDFKFHSGQINCIDFHPQEFLLATGSADRTVKFWDLETFELIGSSGPEGTGVRSMVFHPDGKTLFCGLDQSLKVFSWEPVRCHDVVDMGWSNLADLSIYEGKLLGCSYHERRVGLWAADISLIGPYALGVLPKANFFAELVQSMDDNPVKPVDSTANSRPALAMAHSKSSYKVKESGIAESRVRGSHLTPASTDKIRKDRSSTIPRRPASSLKSSVQGSTPMRRMKLVDSPSTNPKIVERNFGQRDISLASRAAIANNSDTAKKSNLTESALVKDIYTTSQAVSAPVVLPRDILEDKTVSNVRRGTGDTAAVPDDFRPVHKRKPSLSGSAVDSDSSSIFTEPDVCSEGLSGLKFSFGLTPYYKKEEFGDVDNEGIAQIAEKMDRTVSLDHSLQLNDDKSFESPCSTTETAKVKYVRGVAVPLGKTKSLVERWEKRESSSTDYSPQIGSYSDRVSRNDNPPSHLAEPSTTYEKDLSTVDEMMAPVNLVQNHDEFINAVKLRLTKLEMMRHVFEQSGIKGAIAAVAKLPDNAVQADVVSALKGKLDLFNLEIFSSFLPVLSGLLCSKTERHATVSLETLLDLIKIFGPVIHSTLSANLGVGVNIQAEQRLQRCTRCFNHLQKIQQTLNPLIMRGGEAAQLAQELNLSLQDLVVI >Sspon.07G0029330-1P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7B:75795700:75796074:-1 gene:Sspon.07G0029330-1P transcript:Sspon.07G0029330-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGAGAGPPPVTLWRAVATAAVALWLVPAVLALAVLWLPLLCCAVAAVRFLRVRRKLVVASRRSSRGCCDGDGDRGPEDAAGGRLRLLHQYLDDQMELVGGGHEEQEEGASAEPPLLVDPTAKL >Sspon.05G0026510-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:35027146:35034674:-1 gene:Sspon.05G0026510-2C transcript:Sspon.05G0026510-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATATLAAPPFSLSSRPAPAQARTARRRTTRPFLRPTCAYALQEGQSRRFHRLPCGLDLEVIAQCPPAAGERTPLVFVHGSFHAAWCWAEHWLPFFSRAGFPCYALSLRAQGESSVPQEAVAGTLEVTLSLAAKAYANSLSLCKETFFSAQMDDELVLRFCSPHYGKPEVATAPNLHFTKGVVQR >Sspon.01G0025780-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1A:90266737:90269164:1 gene:Sspon.01G0025780-1A transcript:Sspon.01G0025780-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFMDAKEVTDVNGIKSIGVHPVDVSNLALVFADAKAIKENSVKLGAGEDLHALFAGVLTMRPWKRVIDPSPDHLVLGKNTDYSELQDYASLYFTEISELLRRLPRVILLMLKTNDCLRAVNHALVGGTSLESFMIIGRVSSEAVLEAKRMNRRSFLDGLMIWLEEVLLEARFFSLKLMLCVMQLRKLLPG >Sspon.01G0016700-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:66136597:66140685:1 gene:Sspon.01G0016700-2P transcript:Sspon.01G0016700-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heme oxygenase 2 [Source:Projected from Arabidopsis thaliana (AT2G26550) UniProtKB/TrEMBL;Acc:F4IUM0] MPLIATAASAVAPPPPRRVAPLRSLGTRRPGILALTATSCSPSPPPPVVAAEAPAPPQEAKPKPRRYPKQYPGESVGVAEEMRFVAMRLRNPKRTTIKDKAGTADADADAGARASENEDEEDDGGGMKEEHEKEEGGELEAGEWMPSMEGFVRYLVDSKLVFGTIERVVAESTDVAYVYFRKSGLERSASISKDLEWFRKQGIAIPEPSTSGSTYAAYLTELAESNAPAFLSHYYNIYFAHITGGVAIGNKICKKILEGRELEFYKWDTDVELLLKDAREKLNELSKHWTRKDRNLCLKEAAKCFQYLGKMVRLIIL >Sspon.04G0013770-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:50309945:50310262:-1 gene:Sspon.04G0013770-1P transcript:Sspon.04G0013770-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLGPSMVTLQQQEQPHKAGKPAAAAAAGGGHREHQEQQSSSNCGGGSSFQMPLHYPRYKKADYEAMPEWRVDCLLREYGLPVAGGLREKREFAMGAFLWPDQY >Sspon.05G0008670-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:25905356:25906498:1 gene:Sspon.05G0008670-1A transcript:Sspon.05G0008670-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCTPPQPLHSSRRPLRAAPAPRCSKSNDAQPSPSSPLRRLVLSPEGRAKLDPRPDRDFYAFPRLVTHVDDGFIATLTDLYRDRLRAGWDVLDLMSSWVSHLPPEVQFRRVVGHGLNAQELAKNPRLDYFFVKDLNKDQQLELEGGTFDAVLCTVSVQYLQSPEKVFAEMFRVLKPGGVCIVSFSNRMFYEKAISAWRDGTAYSRVQLVTQYFQCVEGFTQPEVVRKLPSAGGSSPSSPLDAVMRLFGVAGSDPFYAVISYRNFKPM >Sspon.02G0015420-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:42049438:42051607:-1 gene:Sspon.02G0015420-1A transcript:Sspon.02G0015420-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQATAAAFLARPLPRLRRIGGWGADAAAVRGGVIALPPRLRGSRCSISLSIAAGAGAGGDREFSYEHVPVFPRYRIRDPYKLLGVDRDASEEEIRSARNFLIQQYAGHEPSEEAIEGAYEKIIMKSYQQRKKTKINLKTKLKKRVEESPSWVKALIGYFEVPSMDIISRRLFFFAFIAGWSIATSAENGPAFQLAISLFSCIYFLNEKMKNLLRASTTGFGVLVGGWIIGSLLVPLVPTFIIPPSWSLELLTSLVAYIFLFLGSTFLK >Sspon.07G0014590-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7A:52502541:52505999:1 gene:Sspon.07G0014590-1A transcript:Sspon.07G0014590-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTRHAAGTLPPRALAASTGDAVVSPVPSSVRDALLDPHWRRAMEEEYAALLANQTWELVPRPPGSNVVTGKWIWTHKRRVDGTLERYKARWVLRGFTQRPGVDYDETFSPVVKPATVRTVLSLALAPSWPVHQLDVKNAFLHGLLTETVYCSLYGLKQAPRAWNHRFAAFLLTLGFVETKSDTSLFVYHHGADTAYLLLYVDDIVLTASSEPLLRRIIAALQQEFAMKDLGELHHFLGVTVEHRPAGLLLHQRQYTRDILERAGMTDCNPCSTPVDTQGKLSEVEGPPVSDPTAYRS >Sspon.01G0004770-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:11294389:11297112:1 gene:Sspon.01G0004770-3D transcript:Sspon.01G0004770-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MYKLGGRGGGRGGSGAAKRPPPPHGRGRGSASSIGGMAGPPRGRAAAAAAATQPAGRDEAFRLESSGPPAFAAIIRLTPDLVDEIRRAEEAGGGARIKFNPNMYNSSENVIDVSGKEFKFTWASERGELCDIYEERQSGEDGNGLLLECGSAWRKVNVQRILDESTKNLVKMRSEEAERLSKSRKSIVLDPANPSVKSQAKSMAAAAVEGNPRRMHWKQKNEFLRKNKAAVITPTKSVSKVKLSNNIPKGNISNSPAPSPEQPGASNPSFPVGSDANNEVITPFDLNKGENSKYEKSAASKMSNKGINRRASSHAANVDDNTNEVRSYLI >Sspon.01G0014260-2T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:42548532:42550024:-1 gene:Sspon.01G0014260-2T transcript:Sspon.01G0014260-2T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEQVRETTMTPVVLRLFGVDVRRGDGGEPEELPMDLKKSSSMPNLIIHKPLLSPGDAGDGKGYASDDAELASGQQKRRRRKAQERKKGIPWTEEEHRKFLDGLRNLGKGDWRGISKGFVTTRTATQVASHAQKYFLRQTNPSKKKRRASLFDVGIADYNYNDDQVPSPQRSIVTKPAPMQEIIHTDRGDVPYRGICEILGNNMQVNQLTDYYYFKKDPDVHLETSLSMASGLETASSANSLDLSIIAVNSLELSIAPPA >Sspon.03G0014800-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3A:47293979:47294833:1 gene:Sspon.03G0014800-1A transcript:Sspon.03G0014800-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVASISPSKSSKQQRTYLHFVHGAREPPWPDQVDPGDGAQVAGGGAAAAAPDPGRASCSGAARGLPSPPVSPPFQAVAPPAAGHRQASSSGVHALRRLTRTTPLPPRHPCSERGTSTRVGREAATHFSPSATPDLSADLDFSATPALSAVSEVFGCAAIAWPPLSPPCAPPAPPPSASVGPTRARAPGVWLGSPFRGHDSSVLRFRLRPSSLPPRLGNFYLGYDHDDLDLGYFGTKSAILHFFAPATTSATWLQLRGDDIVSSLCSSPVSSCVRPRCDCGGMLEY >Sspon.07G0008620-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:22046945:22051869:1 gene:Sspon.07G0008620-2B transcript:Sspon.07G0008620-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDGGGGGGVDWESLAEATSGAIGSLVSTTVLYPLDTCKTKFQAELQTHQGAQKYRNLSDVFWEAIRKRQFLSLYQGLTTKNIQSFVSSYFKRLYLEKSGAKSIGTTANLVVAAAAGACTVIVTQPLDTAASRMQTSAFGKSKGLRETLSEGTWMEAFDGLGISIILTCNPSIQYTVFDQLKQRIIQRQRRKNAGSAEDNSRVALSAFSAFLLGAVSKSIATVLTYPLIRCKVMIQAADPDEDDDDESERPSKPRTPKTMLGALHAIWSKEGIPGFFKGLNAQILKTVLSSALLLMIKEKISKFTWVSLLALRRYLFVSQKRIKSVTV >Sspon.07G0021990-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:5079662:5085203:-1 gene:Sspon.07G0021990-2C transcript:Sspon.07G0021990-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SMAAASRASLVLFLLVASAVLSLLAPGAGAATCAPTQLTPCAPAIVGNAAPTAACCARLKAHPASCFCQYKKNPNMQRYVNSPNAKKVFAACQLQSLSESRDSDNTKTMHESNVPHCREWETSNHDSKRRADMAMRKSKGPTLAATHEQAPKNMDIAHPGSLECATPISRVRVTVSHSRIGSAARPRSATGGASGNAQSGWGRHRQTQLQGEVGQFL >Sspon.05G0007600-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:23657277:23664388:1 gene:Sspon.05G0007600-1A transcript:Sspon.05G0007600-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSACSRKRGQLVQEDDLYSARFSKSGSFKWLLYTLPRSNSADVQRRTQGPAPGRCPSLVELCVAKVCKDINTYSDFSLLPRDLSQQIFNELVECGCLTEASLGAFRDCALQDICLGDYPGVTDAWMEVVASQGQSLLSVDLSCSDVTDSGFNLLKDCSSMQSLACDYCDKISEHGLKTLSGFSNLTSLSIKKCAAVTAEGAKAFANLVNLVNLDLERCPKIHGGLVHLKGLKKLEKLNMRYCNCITDSDMKYLSDLTNLRELQLSSCKISDFGVSYLRGLHKLGHLNLEGCSVTAACLKVISELASLVLLNLSRCGICDEGCENLEGLTKLKALNLGFNHITDACLIHLKDLISLECLNLDSCKIGDEGLFHLKGLIQLKSLELSDTEVGSNGLRHLSGLRNLQSINLSFTLVTDIGLKKISGLSSLKSLNIDNRQITDTGLASLTSLTGLTHLDLFGARITDSGMNCFRFFKNLQSLEVCGGLITDAGVKNIKDLKALTLLNLSQNGNLTDKTLELISGLTTLVSLNVSNSRVSNSGLHHLKPLQNLRSLSLESCRVTASEIDKLRLVALPNLISVRPE >Sspon.02G0016510-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:46827443:46833761:-1 gene:Sspon.02G0016510-2B transcript:Sspon.02G0016510-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAKEAGPSSRAVLVTGGAGYIGSHAVLQLLTAGFRVVVLDSLANSSELVIRRLRSLAGADNAKNLAFHKVDIRDKDGLEKVFASTRFDAVIHFAGLKAVGESVQKPLLYYDHNVVGTINLLEVMAAHGCKKLVFSSSAAVYGSPKNSPCTEDFPLTPHNPYGRTKLMAEEICRDIYHSDPEWNIILLRYFNPVGAHPSGYLGEDPCGTPNNLMPFVQQIAVGRRPSLTIFGNNYATKDGTGVRDYIHVVDLAEGHLFALRKLFESSSNTGCEAYNLGTGKGTSVLEIVNAFEKASGKKIPLVMGQRRPGDAEILFSSPAKAERELHWKAKYGITEMCRDLWNWASKSPYGYAASESPKLNENVQSKSNACDVFGYPE >Sspon.08G0028160-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:6538809:6542507:1 gene:Sspon.08G0028160-1D transcript:Sspon.08G0028160-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDTKKDAVWQQNRATSHLGISKELGDRYDFRTMLDTRKGTTLSTDASCSKDTESSDNLIVKQQMLIQHLVEKTQGVTEKITRIERVIHQLDANHQENVKMLDVAKDFFNSFKPTRAANGAIEFFSEDDEDIVQKINHMKDFFSYFIPSDRVKSKGMGKKLYIWQISGDYTFRKLKSETLIPYFSPSISDTPNTLKIRYRPKI >Sspon.02G0041140-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:76378593:76383380:-1 gene:Sspon.02G0041140-2C transcript:Sspon.02G0041140-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLACCLLSTLPPGRLTAGDAHASHHTQTDRPTATAARFRPGPTSGGEEPPDLGLTLMASSSDEQPKPPEPPAAAVPPQTHAEWAASLQAYYAAAGHHPYAWPAQHLMAAAAAGAPFGTPVPFPVYHPGAAAAYYAHASMAAGVPYPTSEAVPAVVPAAAVPEGKGKGKGGGASPEKGSSGAPSGEDASRSGDSGSDESSETRDDDTDHKDSSAPKKRKSGNTSAEGEPSQTAVVRYAAVESPYPTKGRSASKLPVSAPGRAALPSATPNLNIGMDIWNASPALAVPAVQGEANPGLALARRDGVTQLDERELKRERRKQSNRESARRSRLRKQQECEELARKVADLTTENSALRAELDNLKKACQDMEAENSRLLGGMAHSQGPSVTTTLGMSIDPPKVQHHDDEGQLHKKSSNNSNGNYAGGSHKPEANTR >Sspon.01G0049810-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:115678835:115687266:-1 gene:Sspon.01G0049810-1B transcript:Sspon.01G0049810-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ANGGSTREKAFVMRVDDDDEDDTEDEDDGTSYGKSRALMAKGARFSCNDLESSDSEDELDGQVAPYHLMEKRDLAKSILLELEREHHLKVQEEVRNKLASLEVCHQNEIQRTISAFARLQKYAESRKEIDRRLDIHFQRRIAEVLDKHLSMVQRDHEQKSQIVERRIRDDAALEEAKRKEQAVKEEKLRQERARQEAEARQKEAAKLAAEARKTAFEAAEKEAAEKEAVEKEAAKLRDAAASQSSPNSQNNIAGIKVFADKYALEAESRRCALVQNQVPENIHLSKEFSKYDRQIAKSISKLMPTTDSVRLTAYVLLLVAYKHILSRVNILNNVGVPDAMDYLLAEFNRVCIYTVPKHLHALNAQARTRDYYKLIGYQEENEQLESTESYLTYVVAYVKLYAAMIQTEIKGVRHPHGLAEGWKWLAMFLNSLPATTATACALHAFLKMAGFALHKKYGSQFMKILDVISRCFLPALKEQGNKMQAEAVNNLQNYLNDKIYLEEPEGQYLVQQLLSKELFM >Sspon.02G0052100-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:87579828:87587731:-1 gene:Sspon.02G0052100-1C transcript:Sspon.02G0052100-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPQKSFGVKFLLLNGMQIL >Sspon.05G0037230-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:10679072:10686032:1 gene:Sspon.05G0037230-1D transcript:Sspon.05G0037230-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGDQLGSRGGRLRPGLPGGGGPIGPGGSIGAGDVRSPGGLTGAAVGGQLNAGGKLGSSGGRLLLGLPSAGGLVDVGIFRSPVAGDPLSAGPFRSPTGLTSHAGSPIGPGGVGFTSPPGLITPSALDPRGVRSSPGLIGAAGNCVAGNTPIFMSRSGATDQEVDDAPGQDSSDSDNEDPLQDEHMDADAEDSDGSSEDVVPINDQSTLQGFANGSSEDAVPIDNQANAISQSQTFSKLDLVHIILAGTPRNCAGQRGAEVASNIKNMSRMEKVVRHADAAVGPPPAMVAMAVEPSVSAVLLKYSVPINCWFQ >Sspon.03G0008570-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:23058868:23065236:1 gene:Sspon.03G0008570-1A transcript:Sspon.03G0008570-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLDLWISKVKEGQHLAEHELQSLCEYVKEILIEESNVQPVNSPVTVCGDIHGQFHDLMKLFATGGHVPETNYIFMGDFVDRGFNSLEVFTILLLLKARYPAHITLLRGNHESRQLTQVYGFYDECQRKYGNANAWRYCTDVFDYLTLSAIINGQVLCVHGGLSPDVRTIDQIRTIDRNCEIPHEGPFCDLMWSDPEEIETWAFNFVNGIELVCRAHQLVQEGLKYMFQEKGLVWSAPNYCYRCGNVASILSFDEKMERDVKFFTETEENNQMRGPRTAVPYFL >Sspon.01G0005320-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:12893680:12897382:-1 gene:Sspon.01G0005320-3D transcript:Sspon.01G0005320-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:At5g42970 [Source:Projected from Arabidopsis thaliana (AT5G42970) UniProtKB/TrEMBL;Acc:Q1H5B6] MVMVVVIREKLAELYESEQQWSKAAQMLSGIDLDSGIRMLDDTNKLSKCVQIARLYLEDDDAVNAEAFINKASFLVTSSHQEVLNLQYKVCYARILDLKRRFLEAALRYYDISQIEQRKIGDEEIDENALEQALSAAVTCTILAGAGPQRSRVLATLYKDERCSKLKIYPILQKVYLERILRKPEIDAFAEELRPHQKALLPDRSTVLDRAMIEHNLLSASKLYTNISFDELGTLLGIDPRKAEKIASRMIYEDRMRGSIDQVEAVIHFDDDTEELQQWDQQIAGLCQALNDILDSMSSKGIVIPV >Sspon.05G0030290-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:88591409:88592409:-1 gene:Sspon.05G0030290-1B transcript:Sspon.05G0030290-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAAATATASILLFALFFAGAHAEPAEIPCALPACKTVGGGSQFFDVQFCLAALGSDGRSISHCMDYQAYSVIATDLLAANVTATAAKIDGLLRESASGGGGRDDGGVDEATTRCLRSCQALYGGTVRRQPGCVAAVRGVRKGEATRCLEEAAVAAKQCEDGFRSSKVASPVTAENQNAFMLAKLAVALLGEFGLSQGEIERAEGVRSQRDLHLLCSIA >Sspon.02G0020710-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2A:67443490:67443825:-1 gene:Sspon.02G0020710-1A transcript:Sspon.02G0020710-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMSRAVLLLVVLTGAAAVAAASPTTASAPDDANTKPSILTPIAHTPVGSFEGADGPVADNAIDDDDAAPVGSPIGTTMTEPEPELAPPGPPDSSSATAASVRGPAAAAAVA >Sspon.06G0015270-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:67506694:67510466:-1 gene:Sspon.06G0015270-2B transcript:Sspon.06G0015270-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLEFQVMTADIDEKSIRRENPDDLVMVLAEAKADAIMSRLNLADYQKEGNQPTLLITSDIPTTKEEARQFLKGYSGGHVSTVGSVVVTNLTTGKRLGSLDKAEVYFHDIPDEVIENLIDEGVVFRVAGGLLLEHPLTLPFVEAVVGSSDSVMGLSKEIANKLIHDALST >Sspon.01G0010450-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:31629829:31642761:1 gene:Sspon.01G0010450-4D transcript:Sspon.01G0010450-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding IVEEGKGWETPREPYEITARITARTADGKEIIPSKEEAYFFTIGKSEVPKGLEMGIGTMARKEKAIIFVSSTYLTKSSLMPQLEGLEEVHFYVELVQFIQVRDMLGDGRLIKRRVVDGKGEFPMDCPLHDSLLRVHYKGMLLDEPKSVFYDTRADNDGEPLEFCSGEGLVPEGFEMCVRLMLPGEKSIVTCPPDFAYDKFPRPANVPEGAHVQWEIELLGFEMPKDWTGLTFKEIMDEVDKIKNTGNRLFKEGKFELAKAKYDKVLREYNHVHPHDDEEGKIFANSRSSLHLNVAFCYQKMGEYRKSIETCNKVLDANPVHVKALYRRGTSFMLLGEFDDARNDFEKMITVDKSSEPDATAALLKLKQKEQDAEKKARKQFKGLFDKKPGEISEVGVESEGGKDAGGARGSDDATSADRGANTMDSPTREPEYAFEEERPGLLGRLWPSARRIFSSLGMNRCTIL >Sspon.04G0014240-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4B:55022906:55023758:-1 gene:Sspon.04G0014240-2B transcript:Sspon.04G0014240-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPEYASEGVFSVKTDVFSFGVLLLEIISGKRNAGFHQQGDFFNLLGYAWKLWKEGRWFELLDKSLADHHDQDQALEILKCINIALMCVQENGDDRPTMSDVVAMLSMETMSSLPCPKQPAYFNLSSADGELSTTAPSSVNV >Sspon.01G0026400-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1A:92001793:92010348:1 gene:Sspon.01G0026400-1A transcript:Sspon.01G0026400-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSSADDAELRRACAAAVAASGARGEDVAFSIRVAKGRGIFEKLGRLAKPRVLALTVKQSSRGEANKAFLRVLKYSSGAVLEPAKLYKLKHLTKVEVISNDPSGCTFVLGFDNLRSQSVAPPQWTMRNIDDRLLPRKFAVCYLPKVVGIDIVELALWAKENTLTIDNQVSTQGGQETSVATQTERKVTVTVENDLVSQAKEEEEDMEALLDTYVMGIGEADAFSERLKQELVALEAANVYQLLESEPLIEEVLQGLDAASATVDDMDEWLRIFNLKLRHMREDIASIESRNNGLEMQSVNNKGLMEELDKLLERLRIPQEFAASLTGGSFEESRMLKNVEACEWLTGAIRSLEVPNLDPCYVNMRAVREKKAELEKLKTTFVRRASEFLRNYFSSLVDFMISDKSYFSQAREFANELRASTKAPKNPAVWLEGSGGSGHNGSSADTSTVSDAYSKMLTIFIPLLVDESSFFAHFMCFEVPALVPAGSPNANKSKSGGNDADDDLGLMDPDGNDLKPDSTSAELGTLNEALQELLDGIQEDFYAVVDWAYKIDPLRCISMHGITERYLSGQKADAAGFVRKLLDDLESRISVQFSRFIDEACHQIERNERNVRQTGILAYIPRYLYWMD >Sspon.03G0024280-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:92575488:92579371:1 gene:Sspon.03G0024280-2C transcript:Sspon.03G0024280-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVAAASSSSCSTVLSSSPSTPFRARTPRPLPRRARLLLRPGHSVLRCLPKCDSGKPLGRGAGLSARKAAGPVGRGGSRRPEQFEASSCGSALATVAGVLLLQGSQQALAATQFAGLQPVDVLGDLGDISTGFASAFLLIFFSELGDRTFFIAALLAARSSGAVIFLGTFGALAVMTVISVVLGRAFHYVDGIIPFGFGGTDFPVDDIAAACLLVYYGVTTLLDAASGDDEKINEEQEEAELAVSKFSGNGAGVMSAAALAAASSPVGVIAGSLAGHAVATLIAVLGGSLLGTFLSEKIIAYIGGSLFLAFAAITIVEIVT >Sspon.01G0006940-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:18532589:18534337:-1 gene:Sspon.01G0006940-1A transcript:Sspon.01G0006940-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MILRRSNTRFSLRLVTLKSKIIASQGIVSCHHMNRKSKVGIRGINTYFLRQSPMKSLHSRYQVQRLTNQRANSSLTGILTRRNTFCNCTSSQNHQKLINHHQLLLGLCLMGMGFLVLHRGHRGKLPPPRHKFRRPRLMHPLLHLWECPLGFLHHLLGVFNLRRLRHQWQMVRGQ >Sspon.01G0018510-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:74834616:74835251:1 gene:Sspon.01G0018510-2B transcript:Sspon.01G0018510-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGYKKEHVRVQMVHSHRRLIVRGERPVDGNRWSRFRLELRIPDGCDAKAIHAKFDNGVVRVTMPGVLPEPVPVMDSVTGGTGKQQQQEPPPPSSPLPKPASTAAAAGDDVQDRKGGGAAEQDGGDRAARGQELDKHHETPRKRVLSAAKDAGGTSGSGDDTGGVGDMTAASPSPRQGYGFLHNRKTATTVLGVVLVLISLGIYVKYSLWP >Sspon.08G0000110-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:11408672:11413720:-1 gene:Sspon.08G0000110-3C transcript:Sspon.08G0000110-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVLIVTSVGDIEVDLHTDRCPLTTKNFLKLCKMKYYNGCLFHKVEKDFLAQTGDPTGTGTGGDSVYKYLYGDQARFFDDEIRPELRHSKTGTVAMASAGENCNASQFYITLRDDVDYLDDKHTVFGMVAEGFDTLTKINEAYVDDKGRPFKDIRIKHTYVLDDPFDDPPQLAELIPENSPTGKPRDEVAEERLEDTWVPLDQTVAPEQLEEMIRSKEAHTNAVILESLADIPDAEIKPPENVLFVCKLNPVTQDEDLYTIFSRFGTVTSAEIIRDYKTGDSLCYAFIEFDTKEACERAYFKMDNCLIDDRRIHVDFSQSVSKLWGQFRQSKRNAKKDGCFKCGAPDHLARDCDQDGEQKNKGPNYVLKDENTQRGGNHRRSYDLVFDEDEADYSDKRENENGHKRKNRRIDDRKSELPPRGDRERNSHERPHSDEKGSRHGKDDRNRGGRKHDDYHSYSRSGDRSSGRYDDRDYSKHSNRSRSGEEEEGHRRRDKSDGERRHRDDGYEKSDRHRRDEDGHRKRSPD >Sspon.01G0005380-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1A:14538291:14538656:-1 gene:Sspon.01G0005380-1A transcript:Sspon.01G0005380-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAHATSTSRQVSGIRGRVRLNPSSQRLLVLARRGLRAHGRSHCRYPGQVTGVGRDATQCRATASQGVAGLQPVSEVERPGPAGQSVSMGSQPERPGGHRAGRGHGCRAHSDRHPSGFGR >Sspon.08G0002540-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8A:7380722:7381654:-1 gene:Sspon.08G0002540-1A transcript:Sspon.08G0002540-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MWLAQVTTTLQGAQLWSFTKPTAKPPPEFLDVAAPADPTGKKADPLINPEHEKWYAKDSQVRSYLFSSLSKDIMSTRIALATTTKGSSTVAEYFTKMKGLANEMVSAGKKMDDDEIASYILMGLGEEFDPVVTSVSNRVELISLQELQVQLVSHEQRREICEGGSHSSVNVATKGGRGGGGNFSNNTSRGGHGGGGHGGFVRGGGGRNGVRNGSERGHNFLHGVFCQICGKEGHMGHRCFKRFDASVTGPPQKSVSSAQTSSYGIDTNWYVDSGGHGPYHQ >Sspon.04G0022010-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:52189591:52197075:1 gene:Sspon.04G0022010-2P transcript:Sspon.04G0022010-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine carboxypeptidase-like 29 [Source:Projected from Arabidopsis thaliana (AT4G30810) UniProtKB/Swiss-Prot;Acc:Q949Q7] MTRSAPKTKHTVILTWHWYPLTGPDPSRPAARESRQPLSLRLRVTQPRWLPCVPHEHRWLLAATTPQYCDCTNYSTFHCYSAIRAGVAATMVSGSGGLFLALLLVAVVAGSAAAVDGKWREEQARDRVPRVPGQAFNTSFAQYAGYVTVSEQRGAALFYWFFEAEKDPASKPLVLWLNGVANLLFLDSPVGVGYSYSNTSDDALKNGDARTATDSLEFLLKWLERFPQYKEREFYLTGESYAGHYVPQLAQAIKRHHEATGDKSINLKGYMVGNALTDDFHDHYGIFQFMWTTGLISDQTYKLLNVFCGYESFVHSSPQCDKILDIASTEAGNIDSYSIFTPTCHASFASSKNKVMKRLRSAGKMAEQYDPCTEMHSIVYFNLAEVQKALHVNPVIGKSNWETCSGDTDAVIPVTSTRYSIDALKLPTITPWHAWYDDDGEVGGWTQGYKGLNFVTVRGAGHEVPLHRPKQALTLIKSFLAGSPMPVQSSTHSNM >Sspon.06G0000650-1P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6B:1193751:1194185:1 gene:Sspon.06G0000650-1P transcript:Sspon.06G0000650-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKAEKKPAAKKPAEEEPATEKAEKAPAGKKPKAEKRLPAGKSAGKEGGEKKGKKKAKKSVETYKIYIFKVLKQVHPDIGISSKAMSIMNSFINDIFEKLAAEAAKLARYNKKPTITSREIQTSVRLVLPGELAKHAVSEGTKA >Sspon.03G0030830-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:31033609:31041815:1 gene:Sspon.03G0030830-2C transcript:Sspon.03G0030830-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLALGSSRGRMHAHSLPSKRRKGKLTTRLREAFKKPAPPPLHSRQPATAQRCVCVCARDQTPTSPHPRPIPPRIPTSPPPPPHGLLPRDSYAIGSAWGERMPELRSGVRQSRLRAKKVEDLVAQDPTDNLVVAAPTVAGRRGRGRGGRGGGRGAARGRGGRGRGVPVIDLDPDQPCEVLPGAGVGGRAAGGAQPIQDFADKVVKMDGGSAEKIAAGEDEGTTSPVPEKVMDILGPSLWDVWNSFGQTMTANMVACIAVEAISILEKLHAKGFVHGDVKPENFLLGQPGSPDEKKLFLIDLGLASRWKEAGSSGQHVEYDQKPDIFRGTIRYASVHAHLGRTGSRRDDLESLAYTLIFLLRGRLPWQGYQGENKSFLVCKKKMATSPDLLCCFCPPPFKLFLETVTNMKFDEEPNYSKLISLFDELIEPQHLRPIRIDGALKAGQKRGRLLVNLEEDEQPKKKVRLGSPANQWISVYNARRPMKQRYHYNVADARLHQHIEKGNEDGLFISSVASSANLWALIMDAGTGFTSQVYELSPIFLHKDWIMEQWENNYYISAIAGATNGSSLVVMSKGTPYTQQSYKVSESFPYKWINKKWKEGFHVTSMTTAGSRWGVVMSRNSGYSEQVVELDFLYPSEGIHRRWENGYRITSTAATGDQAAFILSIPKRKLMDETQETLRTSAFPSNHVKEKWAKNLYIASVCYGRTVS >Sspon.01G0020530-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:76414198:76416425:-1 gene:Sspon.01G0020530-1A transcript:Sspon.01G0020530-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALQAATSFLPSALSARKEGSVKDSAFLGVRLADGLKLETSALGLRTKRVSASVAIRAQTAAVSSPSVTPASPSGKKTLRKGTAIITGASSGLGLATAKALADTGKWHVIMACRDFLKASRAAKAAGMDKDSFTIVHLDLASLDSVRQFVKNVRQLEMPIDVVVCNAAVYQPTAKEPSYTADGFEMSVGVNHLGHFLLARELLSDLQSSDYPSKRLIIVGSITAGNTNTLAGNVPPKANLGDLRGLAGGLNGIGSSVMIDGGEFDGAKAYKDSKVCNMLTMQEFHRRYHEETGVTFASLYPGCIATTGLFREHIPLFRLLFPPFQKYITKGYVSEEEAGKRLAQVVSDPSLTKSGVYWSWNKNSASFENQLSEEASDADKAKKLWEISEKLVGL >Sspon.01G0002960-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:6523059:6537060:-1 gene:Sspon.01G0002960-2B transcript:Sspon.01G0002960-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPPAVATGGSGEPTVPAWLRGLPRAPEYRPTESEFADPIAFLSRVEREAAAYGICKVIPPYPRPSRRFVFAHLNRSLVSSSEAAANPTTASGSSTTAPSLPESAAVFTTRHQELGTPRRGRPPPQVLKQVWQSGEQYTLDQFEAKSRAFSKIHLAGLREPTPLEVESLFWKASADRPIYIEYANDVPGSGFAAPKQSRRHKKRRRESDQVEEGEKGSGWQLSGSPWNLQAIARAPGSLTRFMPDDVPGVTSPMVYIGMLFSWFAWHVEDHELHSLNFLHTGAPKTWYAVPGDRASELEEVIRVHGYGGNPDRLASLAVLGEKTTLMSPDVLVARGVPCCRLVQYPGEFVVTFPRAYHIGFSHGFNCGEAANFATPQWLKFAKEAAVRRAVMNYLPMLSHQQLLYLLAVSFITRTPNVLSGIRTSRLRDRKKEERELLVKQEFLQDMISENKLLCSFLEKKSIGHVVLWEPDLLPSSTSLHSCSSGSKAPEKKSEDGFRIESSDRGPKDNNSDGSAHMIGAQTKFTSGNSKSSDTSASVDKANADTDDEDDLPFDLSIDSGSLTCVACGILGYPFMTILQPTREALEGISLAHTSRYKMSSEKDNCSNTIPCCPADSNFGCSFVPNRPSWPAEQQCLATPLGQANISHQNVNSHKDVRLRENEPNGPVLQHSDISHSCRSENTLHSCSDREKSENKIPENSLGLEVSEQTGKYDSDAQTAESSDDTINWNTSCTFARPRIFCLQHALEIEKLLEGKGGVHALIICHSDFVKLKALAISIAEEIEFQFDCTDIPLANASKSDLHLINISIDDEGHEEDGRDWTSQMGLNLKYSAKLRKEKSENQEQSPLSFGGLFSCPSPVSVVPNLKWLCKRARTPYTVIGITRSSSATATPEELKPGVKTGIVTNGNVCEDDSRQHTYRQSGLEQPGRLQDCDKPSCSKENDHGRHCLVNIPIAVAEYPMMHQVREGPVSVSTCNDSICSSGSLDSPPLTSPVEVTRYQGCIQSTELSSSPAFSVQHFLNDESTSVEGSINCVSNDCLQSQDVTLRSRDECLQVQQDQEKMGLRNNPNMTAVDSHLIEGLAVSEGKHGDTVSASLQNEERCAKTSYCSNTGTKTTKSAIENQLETRDLGTGPVKLNSSFNEIPSADRQCSLIFGYLGSADVPASTQPLSVPPDLTSDEPQVDGSHSAVKAIEQQSNNPANLKHDESPHIDFHILEDAQVASMAAVPGHEGKSVDTGSNTFDILLGALAEESKATDAPGKDEVGKASLTLMTLASNEPSADDVTDVRAVEVVETDTTLGAAKDDKQVDLSDVVSRCIGSSNRTDIICYVRRKHKRKRDSQSNTDSSQSHSSFIRSPCESLRPRTKPAVVEESEWSRTAEASAAKRGKRTKTVGSFQCDIDLCDMTFETRAELNAHKRNICTDESCGKRFSSHKYLKRHQCVHSEMRPFKCPWEGCKMTFKWLWAQTEHIRVHTGERPYKCSAPDCGQTFRYVSDYSRHRKKFNHY >Sspon.04G0011120-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:33187207:33192510:-1 gene:Sspon.04G0011120-3C transcript:Sspon.04G0011120-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIAEVALHTMPGAFTTHSPASILSLGAVARRRNRNTNSVPNARALQGLLRIPRLRSVRRLCQRIDDLARVTEGNGTWVKDAMNKAGQVLGDISVPGQVVGGNGGLNGSAAKPPPQRRKSSSVEDEAWELLQESMVYYCGSPVGTIAANDPNDSDPVNYDQVFIRDFIPSGIAFLLKGEYEIVRNFILHTLQLQSWEKTMDCHSPGQGLMPASFKVRTIPLDGDEDATEEVLDPDFGEAAIGRVAPVDSGLWWIILLRAYGKCSGDLSVQERIDVQTGMKMILKLCLADGFDMFPTLLVTDGSCMIDRRMGIHGHPLEIQALFYSALLCAREMLTPEDGSADLIRALNNRLIALSFHIREYYWLDMQKLNEIYRYKTEEYSYDAVNKFNIYPDQISPWLVEWIPPKGGYFIGNLQPAHMDFRFFSLGNLWSIVSSLATTHQSHAILDLIESKWSDLVAEMPLKICYPALENQEWKLITGSDPKNTPWSYHNGGSWPTLLWQLTVACIKMNRPELAAKAIEVAERRIATDKWPEYYDTKKARFIGKQARLYQTWSIAGFLVAKLLIEKPDAARILWNDEDAEILNALSTNRKRGKKVLKKTYIV >Sspon.02G0025540-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:83899010:83901589:1 gene:Sspon.02G0025540-4D transcript:Sspon.02G0025540-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At3g06430, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G06430) UniProtKB/Swiss-Prot;Acc:Q9SQU6] MSPPAYTASPCAGSALFSNSRAPPPPPPRLLLLQRGPGAPPAAGRYGGRVAPSQESRRPAPAQEKRRPSEPSSRIQLPGRKRHWKAGEFPGTATSGGGSGGRLPEQGKLHSRAGEFPGTDGGGRPSPAPSQGKRHWKAGEFPGTAAGPGSGTPRAPLKNVKKRLDARADAKAWACTVTEALADRVSSKNWQEALQVFEMLKEQPFYHPKEGTYMKLIVLLGRSGQPARAHQLFDEMLQQGCQPTPELYTALIGAYCRSGLLDEALQLLNDMKGSPLCQPDVYTYRTIIKACVDATRFDLIDVMYKDMAEHSIAPNTVTQNIVLSGYGKAGRLDDMERVLSDMLHSTACKPDVWTMNIILSLFGNRGQVELMEKWYEKFRSYGIEPETRTLNILIGAYGKKRMYDKMSAVMEYMRKLAFPWTTATYNNVIEAFAEAGDAKNMEHTFNQMCSEGMKPDTKTFCCLINGFSKAGQFHKVVGMVKLAERLDVPANTCFHNAILGACARADDLMEMERVFRHMKHTQCDPDALTYSILVEAYRKEGMTDKIYALHQENPSLVPTDFVMV >Sspon.05G0013500-2P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:5A:47291385:47292419:1 gene:Sspon.05G0013500-2P transcript:Sspon.05G0013500-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLRDSIPMVSLAVLVAAVCARAASSWLRPGLPRLVSLLPVIAFLAAAPLALSSSAIIRGLAGFFLGWLGVFKVVLLAVGRGPLDPALPVLPFVFTTALPVKLIRCCGTGAAAGAAACSRAKSVSLVSCAVKVAVIAAILHAYQHVNRLHLYTRLALYGVHMYCFLDFLLPCIAAAGGALGMEMEPQFDRPYLASSLRDFWGRRWNLMVSAILRPSVYDPVRARAGKAPAVLATFLVSGLMHEVMMYYLTLRPPTGEMTAFFLLHGVSSVAEESCARRWAEWGRRPPPRPVATLLVVVFVAVTAFWLFFPPICREGTEEVLLEEWAAVGAFFNDAGRKLLPYV >Sspon.01G0004320-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:11262230:11264386:-1 gene:Sspon.01G0004320-1P transcript:Sspon.01G0004320-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPNADPAQQHHASTPPLLLAVRHLPFPGVHRTRALPGPDVLAPLARRLEELASAAAAHPLLKPLFAAHSHLSSFSQGRRRLVVARRATLLSGEHCFAAVLGDSVAGMVVSNGINNFLNLYNTVLVIRLVLTWFPNTPPAIVAPLSTICDPYLNIFRGIIPPLGGTLDLSPILAFLVLNAFTSTAAALPAELPSSAAAQHHQRTAAPSSSAPLEATSNQRKWMRRMRSQKSQGEGGDH >Sspon.02G0001330-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:5356413:5357617:-1 gene:Sspon.02G0001330-2C transcript:Sspon.02G0001330-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ERF transcription factor, Mediation of the transition from spikelet to floret meristem, Determination of panicle branching and spikelet formatio [Source: Projected from Oryza sativa (Os07g0669500)] MSPLPSHLISSTVPALPCPPSFSLPKDTSKLHLNTTPPSLSCDHYYTTLLQNDLKPALKLGSSQPQLKPSSLVLVLIWQQQRQQPDHDGLLRASEAGDGVVGAAAARPPAGRGRRRAQEPGRFLGVRRRPWGRYAAEIRDPTTKERHWLGTFDTAQEAALAYDRAALSMKGAQARTNFVYTHAAYNYPPFLAPFHHAQQPSSYAHAPSSVQHQYGGGVGAPHIGSYGHHHYHHQGSAGAAAAGGASSGECSSTMPVPVERADGTLLLDRSGGGHHHHHHHEFLFASADDNSGYLSSVVPESCLRPRSSAAAVEDLRRYSDADAYGMGMGLREDVDDLAQMVAGFWGGAGDADQLCGFPMVASSQGSDGYSPFSFLSH >Sspon.05G0021020-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:86230747:86236155:-1 gene:Sspon.05G0021020-3C transcript:Sspon.05G0021020-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Root phototropism protein 2 [Source:Projected from Arabidopsis thaliana (AT2G30520) UniProtKB/Swiss-Prot;Acc:Q682S0] MAASTMDRITQWVSSQEVVPADLTVRIADSVFPLHKALMVSKCGYIRRAVAAAAPGAAVELDLSALPGGADAFEKAARYCYGGSLDITASDAAPLRCAAAFLDAPDLARRAEDFLAQAALRSLPGAVAVLRSCECDALLPAADELGVARRAADAVALGVCNEALFPTARPGEPPGWWAAELAALSPASFRRVVTALRCRRAGTEVVAAAAVAYAESVLAGILAPAAAPGPGRRDVVVVRADAEQRALLEAVVDALPPAADAPLPAAFLCRLLHAAVTAEASAKTCRDMELRVAAVLDQATAADLLGVALDGAGERVRNADTVRRVVAAFVERQQRQPQTQEGRRPSLAGGPADELGALEKVAKTVDEVAAEMATEESLPISKFVGVAGAVPRDARPSHDCLYRAVDIYLKTHPGLDEIEREKVCSVMDPLRLSYQARLHASQNKRLPLQAVLSALYYDQLKLRSADGGGAGVEGGGWETQSAAGKARAQARADASLARENEALRSELARMRAYVSGMQQHSKGSGSRTSSSLVPAAAGKKASFLGSVSRTLSRLNPFKGGWAKDTASIADGRDRSAMHVVKPKRRRFSIG >Sspon.08G0019670-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:14212534:14218695:1 gene:Sspon.08G0019670-1B transcript:Sspon.08G0019670-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGEEEAVVVVVVVVEEEEEEEEEEVVVVVVVVVVVEEEEAAVVVVVVEEEEEEVVVVVVEEEAIVIDEVKVLMMKVMVTNGEVTMVEALAAAAAALAAMAAATTVAAPATRAAAGAAAAEAAEEEEEVVVVVVEEEEEEEEVVVVFFRKTNICSYHDVVLLPLEKPIKTSSLQPEAQKPVVIFLEKNVSSPSSIHSAPLAATSKRCAALGRPTLPFLSLSLSLSHDTAAHQASPSAAAFRRGDTLDGQWRGRCNAQAWPPPERHLNLDGRQTLQQRCRPRVWPSASPAVQDRLGLGARAVAAASAQVTRMRKATTASSRLGTRGSAAVAAAQGHPSSSPLSCCTKAQPPVINAVDAGKASWISNSAKKTNDQHSPTLTRLRFSEAKMKEYSDKTEELGAVLATEA >Sspon.03G0017150-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:77699828:77706642:1 gene:Sspon.03G0017150-2B transcript:Sspon.03G0017150-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mediator of RNA polymerase II transcription subunit 27 [Source:Projected from Arabidopsis thaliana (AT3G09180) UniProtKB/Swiss-Prot;Acc:Q8RWM3] MLGRQLEESGVLNGALKARGNSWGLHMPLVCPDGAVVAYAWKRQLAGQAGASAVDRTRLALKAFTDQKRRFFPHLEDEVLSHLHDGEPGVTKKPKLIASNGDLEEKSLSEILKNLENEVPNMKIFTYWHLDWSKRASSLASLMDDDFVDPSKELNLQNMGKSRSGALTTPIDQVAVIELLVPSIFRAVVSLHPAGSTDPDAVAFFSPTEGGRYLHVRGTSVHHVFKHVKEHADKALQYFISVEPSKALSLLLRWIASYQTLFTKVCSGLESLECLPRVGDPLPEQLLLLDDDGDTQPLGLIHGMFQVVAGHPDKQPQSAGGTSGYGNAGLGSLEAGIMGEFIHRAELVLQFSESEAEADSGILLPGTITGTLFHPTFQGYMKGVP >Sspon.01G0033680-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:113349548:113353973:1 gene:Sspon.01G0033680-1P transcript:Sspon.01G0033680-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVAASSDQYRSSSSSASSPARRYYLPKPGALRRPISFEDSPDWDDIHPDDTIHLATASISIASSAYPSPSPSLPPGPSSASAACRDRKLAGATLVWKDLTVSSLAATTNRFSDRLVKSSNGYALPATLTVIMGPARSGKSTLLRAIAGRLSATERIYGEVFVNGAKSPLPYGSYGYVDRDDVLIESLTVREMLYFSALLQLPGFLSSKKSIVEDAIAAMSLGDHADKLIGGNCFMKRLPTGERRRVSIARELVMRPHVLFIDEPLYNLDSVSALLLMVTLKKLASTGCTIIFTMYQSSTEVFGLFDRICLLSNGNTLFFGETLACLQHFSNAGFPCPIMQSPSDHFLRAINTDFDRIIAMCKNLQDDQGDFSSVSMDTAVAIRTLEATYKQSADSVAVESMIAKLTEKEGPCLKGKGRASDAARIVVLTWRSLLIMSRDWKYFWIRLALYMLIALSVGTIFTNIGHSLSSVMVRISAIFAFVSFVILLSASGVPAHIDEVKIYSHEEANRHSGTMVFLLGNFLSSIPFLFLVSILSSLVFYFLIGLRNEFSFLMYFVITIFVCLLANEALMMIIAYIWLETYKCTLTLIFLYVIMMLVAGYFRIRENLPYPVWTYPLSFISFHTYAVQSWLYFSCGTKVVTYGVVYNLPGLGRKRIRTIPGVQAVRGSYDISSSGNAKWVNLLVLLLMAIGYRIVLYLLLRLDVRKHARMLGNWRSWWPSVHSATGAK >Sspon.07G0009460-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:26636157:26638615:1 gene:Sspon.07G0009460-1A transcript:Sspon.07G0009460-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSRRKLKRSRAKVRVGLPRRKPNEFKPAFELPEALAAAAAGGAGWDAEGSVVKNYAAFGVVANPNLLGAHARGTPRLVQSASLQAPDVAAARAPVPEFEPIDTGSDLENDDLKSALGKKRKDGKSAPLQPLTKVQRVCIGRLIDKYGDDYKAMFMDTKLNAMQHSVGTLKQLCERYHVDGKIFVYPL >Sspon.03G0021710-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3A:66057840:66057987:-1 gene:Sspon.03G0021710-1A transcript:Sspon.03G0021710-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding KLGEKVTVKVIPGTGHLPQQQDIKLFNRVLLDFLLQPSSTSNGSAAAK >Sspon.05G0015010-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:50341622:50343365:1 gene:Sspon.05G0015010-3C transcript:Sspon.05G0015010-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMELSRRHGPLMFLRLGEVPTLVVSSAEAAELVMKTHDLAFCSRPTTSVTIDIVGCKGKGLGFAPYGDRLIQMKKIVVVELLCAAQVKRIESIMADEALAPDLVARAMFGGNCAEKSDFIRRYNEVSELVSGFFLVDLFPSSRLVRWLSIGERRLLRSYGGIQRIIATIIESRKAASTSKCSLDHEDLLGVLLRLQKEGSLAFPLTSEIIGAVMFDIFGGATTTLGSTLEWAMSELIKKPETMKKAQQEIRSVLGQSRRGVITNTDLVGLSYLRMVIKEVLRLHPPNPLLVPRESREDCEVMGYHIPKGTKVLVNAFAISRDARYWNNPEDFSPERFENNNVDYKGTDFEFTPFGAGRRRCPAIMFATSTLDIALANLLYHFDWALPDGVSPEMVDMSEQYGMGVTKKLDLHLRAIPYVPPST >Sspon.07G0034000-2D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7D:82865504:82867617:1 gene:Sspon.07G0034000-2D transcript:Sspon.07G0034000-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMVMEGERTEGDELIADYVDCLMSLDTNSRPAHSDGLILGAAVGEGGSVGAGTEPDANAMRDFPSAEEPNEPVLGMTFESDEAAKAFYNEYARRLGFPFRVGRSRRSKGTEELVVMKRFVCSREGMYKKKQTSPDEAVRKRERMSMREGCNAMMEVVREADHWVVSKLEKAHNHDLGTCSAKVGYLRARGLLGGGSDKATMVGPDEMAFLRQNVLGEGGDAQGLLDYLKKMQANDPAFCHAIQVDKNGCVVNVFWADARAKAAYRHFGDAITFDTTYKKNKYMMPFVTFSGVNHHLQPVIFGCALLMEETEFSFVWLFETWLAAMGGQAPCSLVTDQNRAMKAAIGKVFPNSCHRFCKWNILSRTKQKLTHTYSEHPTLRDELESCVLESETISSFETTWMSIIDRYDLRKNSWLQAIYNIRQKWVPLYLMDTFFAETSPTWKLETMNDFYKKYFNSKTTLEVFLNQFDSSLAGRYEDEAKADMDASLNKATTKTASLIEKQAASTYTKAVFSKFQEEFTESLGFIIQKTEDGCISKYSITKDEDPSDTFYVTYNASNKMANCSCKYFEFSGILCRHILGVYIIVDPRTLPPEYFMKRWTRWARDDALLEDNNNNTHDEDASQSTTSRYNALCADAIRCAEKGAGSDAVYKAAKDILQKAYEEIIAYERNPGRGSQRDAININEDVTIDDAMNDQSMPDSGRK >Sspon.02G0011120-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:57746353:57747771:-1 gene:Sspon.02G0011120-1P transcript:Sspon.02G0011120-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDYVASLLMGSSAPALDFAALDTGFLDTLCGGAGLFGVPGIAAGPGVAAGPRRGPRSPTRRGRAPGTMAMKRKAPPTGSAGGKEACLGKAAEVKGPDGKRCRVGASDSPVKPKVEEAAASDASVEVKGQKKGKGKSPKPAVEPPKDYVHVRARRGQATDSHSLAERVRREKISQRMKFLQDLVPGCNKVVGKALMLDEIINYVQSLQQQVEFLSMKLATVNPQLDFSNLSTLLHKDMYQPCGPSANSVFPLESAGAAFPFCDQADLFQNFGSGGMEDQCSLSLLDTALPHTANPQFAFQKQVNVA >Sspon.04G0032720-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:32518176:32521001:1 gene:Sspon.04G0032720-2D transcript:Sspon.04G0032720-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPKPKRPAPPRRGSGGLWRPVALGGLPLAAAAYVGVDYLRHLSPVWHGRLRPALWAALALATAARAPFYRRWDAEVRAAPRFFAAIAFMLAALLCEALSVRFVSTVLGLQWHRSTAPLPDTGQWILLALSGKLPQTVVDLLKSRIVTLHHYLMLFIMLAFSALFDCIKGPGLGIGSRYMFTMAVGRLLRTITFLATILPSARPWCAEARYQIPDHPHPWAQKYYAPYASDPNMIWRVMKEDTPYATLQDYPDEYKPDWGLMSFLVDILRPSTGEGPSWYHLLRKSSGGCNDLIYSGHMFVAVLTAMAWAEAYGGWSSVVIWFLVIHSAQREVRERHHYSVDCIVAIYIGILLWRMTGFLWSAMETNRSRRLSKLDEVQKRLFRAAKDSDVFEIRSLLNEVELAGQEKKGFSQRVLFSFAAAVIVFTILFVLLAFTLTNDG >Sspon.04G0009620-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:27676018:27680963:1 gene:Sspon.04G0009620-2C transcript:Sspon.04G0009620-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSAGGHGGGYGDDGGQEAVDFRGSPVDKSRTGGWLGAGLILGTELAERVCVMAISVNLVTYLVGELHLSNSKSANVVTNFMGTLNLLALVGGFLADAKLGRYLTIAISATIAATGVSLLTVDTTVPSMRPPACANARGPRAHHECVPARGGQLALLYAALYTIAAGGGGLKANVSGFGSDQFDARDPREERAMVFFFNRFYFCISLGSLFAVTVLVYVQDNVGRAWGYGVSAVAMLLAVAVFMAGTPRYRYRRPQGSPLTVIGRVLATAWRKRRLTLPADPAELHGFAVAKVAHTDRLRCLDKAAIVEADLSAPAGKQQQASAAAATASTVTEVEEVKMVMKLLPIWSTCILFWTVYSQMTTFSVEQATRMDRRLRLGSGGFAVPAGSLSVFLFISILLFTSLNERLLVPLAARLTGRPQGLTSLQRVGTGLALSVAAMAVSALVEKKRRDASNGPGHVAISAFWLVPQFFLVGAGDSFAYVGQLEFFIREAPEGMKSMSTGLFLVTLSTGFFLSSFLVFAVDAATSGAWIRNNLDRGRLDIFYWMLGVLGVANFAVFIVFARRHQYKASTLPAAAAPDGAGQKAMDDFVAVTEAIEGMDV >Sspon.05G0004820-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:14858061:14858849:1 gene:Sspon.05G0004820-1A transcript:Sspon.05G0004820-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRGGSSGGGRSSLSYLFEPEETILYHPHTTAKSNQETKKSPDTNSSNVKDDIKAIGAEAVQEPPHPPPPKREVSNPILSSHKPPCNIYHTSQLSYNNSGLLITDRPSTRVRCAPGGASSLGFLFGEEHEK >Sspon.02G0020880-3P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2D:63447057:63452449:-1 gene:Sspon.02G0020880-3P transcript:Sspon.02G0020880-3P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVYPLFATTGVAVGICVMQLVRNITGNPEVRVTKENRAAGVLDNHDEGRRYSQHGVRRFWLSKRRDYMQAMDNVPTDPSSTPTTKKRFGANRRASFGAVGVRVPPTRPLPPAAGLCLAGPASWAFQFGGHACESDAAAGPTKVQRVYASALHGAASAYITRNEAEPLPSSTLTTRRLIEQDRRRSIHRIRRRRRWLPRMRRGPRGGSAPRCTRCSADGGGAGHLLVPAGAQHHGQPEVRVTKEKRAAGVLDNHDEGERYAMHGLRKFVRGKKPEIMASINSFFADPPKDD >Sspon.01G0031110-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1A:106857097:106858909:-1 gene:Sspon.01G0031110-1A transcript:Sspon.01G0031110-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPPQLAPVLPTPHRQRQTWRRNGSSSVTRVKGIPLIVQSANTRTAASRHLVEPLRNATTRIISSLVLPGT >Sspon.06G0002700-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6B:7012814:7013753:1 gene:Sspon.06G0002700-2B transcript:Sspon.06G0002700-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAVTLAAATLLGTASASPAEGIQPPVPALRGGKRGGRSRPSTPMGPQCMPRAQEAACHPHVAQRGNGRRRFGFSRQRLRVSDRHCQPLSKIAVHKATVEMQPLAYVRATPSLLGEQGEDTEWVTVKYGRRNPSNDDWIAVFSPADFK >Sspon.02G0022110-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:67259324:67262390:1 gene:Sspon.02G0022110-4D transcript:Sspon.02G0022110-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amino acid transporter ANT1 [Source:Projected from Arabidopsis thaliana (AT3G11900) UniProtKB/Swiss-Prot;Acc:Q9SF09] MAGAKAAAGGSAAPLLPAQAGEGSSGGAGGGGATSAQTLGNVVVSIVGTGVLGLPYAFRAAGWVAGSLGVAAAGFATLYCMLLLVDCRDKLEEEETEECSHGHYTYGDLGDRCFGTIGRCLTEILVLVSQAGGSVAYLIFIGQNLHSTFSQLMSPAGFIFAILLPLQIALSFIRSLSSLSPFSIFADVCNVLAMAIVIKEDLQLFDHPFSNRSAFNGLWAVPFTFGVAVFCFEGFSMTLALEASMAERRKFRWVLSQAVAAIITVYVCFGVCGYLAYGEATKDIITLNLPNNWSSAAVKVGLCIALAFTFPVMMHPIHEIVETRFRSNGCFQKLCHNVGGAEWIGLHSSRILVVAALTVVASFIPAFGSFISFVGSTMCALLSFVLPALFHLSIVGSSIPLWRRVLDYAILLFGLAFAGYGLVTALSPH >Sspon.04G0008920-3P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:27217999:27220883:-1 gene:Sspon.04G0008920-3P transcript:Sspon.04G0008920-3P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGGEDGKQQPHLVLAHKLFLLSRSDVDDLAKVDLRADVLAAVKSDDMAALYESLAADGVLEMDAALLAEMRARIDEEIRKLDEKIADAERIWEKALEQLKVTEGKTVAVGQKMDLVFYTLQIGLFYMDFDLISKSIDKAKNLFEEGGDWERKNRLKVYEGLYCMATRNFKKAATGLTEPIKLDRYLQPHFRYYMREVRTVVYSQFLESYKSVTMEAMAAAFGVTVDFIDQELSRFIAAGKLHCKIDKVAGVLETNRPDARNAFYQATIKQGDFLLNRIQKLSRVIDL >Sspon.07G0016120-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7A:57525695:57526207:1 gene:Sspon.07G0016120-1A transcript:Sspon.07G0016120-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAAVALLVLVAALALVATVQAGFYFKAEDLESDEALWELYGRWAAHHGVVREPGRFPTFKANAHMLHGKQRHAGELMALNVFGDWSFDEVMATLGSPSPPELEELPVIDLDLLAATPTKSTGGLQMLSLMSRGRVAAVDVEGRHAIKTKSAAVGQSGVAGILADAIYVDV >Sspon.02G0058240-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2D:71484238:71486484:1 gene:Sspon.02G0058240-1D transcript:Sspon.02G0058240-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSDEAEEIGRTMTTNDELRLCGLAGDDEDDIVGDAEALLGRAAAERLFNCSMGEFGVAADGNSGTSGDGQANAPGDGQAEANAAGDSDDRPARVRNSTSACWDDFEKLYKKVNGKDVRYGARCLHCHKEFSALSRFGTGHLLRHQDSCPKKREKDRLSQSHITFTKDGTIRNWDYSAEVARVELVRLLARLDLPLILGETAAWEEYITRAHNPRYKTVSRQTTTRDLFKYFTDKRDNLIDAFKSNAITSVAITSDIWSGNAKEDYLSVVAHYINADWQLEKRVLGLRLIDVSHNAENIAEHVANVLNDYGVLKKVFSVTLDNASANNKAMDALKPVLKEYLGADLFLHQRCACHIINLIVKEALVVVNPMLDAFRTAISFINSSNQRIAAYKSYCMASGIRPRKFGLDMDVRWNSTYLMLKHLLPHKETFHTFIESNYPRPREKRIVPPTLSGKKRTAWDEIYDDDDDVLPASLDLSRTMPATALLHAASSSSSSSGSELTAYLDCDTVSQLDDDFNILHWWHQHKLTYPILSNLAKDIFSVPVSTISSEATFSLTGRIIEERRRRLNPETVEALTCIKDWEAAEARLQHMVEDKELADAFEHLYLD >Sspon.02G0050520-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:62286002:62288913:1 gene:Sspon.02G0050520-1C transcript:Sspon.02G0050520-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRPRPTPIHKQIVHRRNTNQEKRQLSGLLPDIYAYTVDDGHWSVVTCSDPIRRRRCFPEAYKGSSRYTPLDACIHDASLRKEGGSSSWMLEHRGNDASGACLIPPPYVTGVVTCPQRPAFSVDPAGPTEAVHITPGIQRLVKFRRFNPFPQVQCVSYKSRLQSPCLQFPSITTRRISSHLIAIMEEYASYMFSSSMHSYGGGGMATDAALDTGGDDMQRLLNALMVDMAAESDSFSDDMEAASSESSSSACSANSQEASRRPQQQQPDGDRTTSDSNKGSKQQQQPPPPFIGVRKRPWGKFAAEIRDSTRKGARVWLGTFDSPEAAAMAYDQAAFSVRGAAAVLNFPVDRVQESLRALALSSAAPAAGGSPVLALKRRHSIRKRSPNKNKKQQQQQVAVAAAPQPQPPCSGGNVVELEDLGADYLDELLRVSSEFHWQ >Sspon.07G0004050-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:9076133:9083855:-1 gene:Sspon.07G0004050-2B transcript:Sspon.07G0004050-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NSF [Source:Projected from Arabidopsis thaliana (AT4G04910) UniProtKB/TrEMBL;Acc:A0A178UTB9] MAGRYYQGQGGGGGASMEVVSTPNQELALTNCAYVSPADLRRFPNGLALVADAWRRQAKVSAGDSVTVSSFAPPDDFKLALLTLELEYAKARANRNDELDAVVLAQQLRKRFLDQVMTSGQRVPFEFYGTNYVFTVNQALLEGQESSTPLDRGYLSSDTYIIFEAAPNSGIKVINQKEAASSKLFKHKEFNLEKLGIGGLSAEFTDIFRRAFASRVFPPHVVSKLGIKHVKGILLYGPPGTGKTLMARQIGKLLNGKDPKIVNGPEVLSKFVGETEKNVRDLFADAENEQRTQGDQSDLHVIIFDEIDAICKSRGSTRDGTGVHDSIVNQLLTKIDGVEALNNVLLIGMTNRKDLLDEALLRPGRLEVHIEINLPDENGRLQILQIHTNKMKENSFLSPDINLHELAARTKNYSGAELEGVVKSAVSYALNRQITMDDLTKPLDEESIKVTMDDFVNALHEITPAFGASTDDLERCRLRGIVDCGKAHKHIYQRAMLLVEQVKVSKGSPLVTCLLEGPAGSGKTAMAASVGIDSDFAYVKIISAETMIGFSESSKCAQICKVFEDAYKSQFSIIILDDIERLLEYVAIGPRFSNLISQTLLVLLKRVPPKGKNLLVIGTTSEVGFLESVGMCDVFSVTYHVPKLKKDDAKKMPIKKLYTLVEMAAQGPTGGSAEAIYAGEDKIDINHFFSILSDIIRY >Sspon.07G0002570-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:5406779:5409631:-1 gene:Sspon.07G0002570-3D transcript:Sspon.07G0002570-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VANLPEEVKKQLEDPDSRYNFGWSHGKEKLESGKLDTFKGSFYANPVLDVPTTDDVLVSRYPSYCRPNIWPNENLPELEIAFKALGKLMMEVGLMLAHHCDRYVMGQGVGSYDGDSLEQTIARSRCHKGRLLYYFPRQFSEQTDVESVSSWCGWHTDHGSLTGLTCGLFTKNSVEVPCPDSAAGLYIRTRDNQVVKVVFGEDQLAYQIGETTEILSRGYLCATPHCVQAPSSENASNVDRSTFALFMQPDWNEKLKFPSEIPYHQELIPPNGTLTFGEYSERLVNKYYQTTT >Sspon.05G0006730-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:21108421:21114604:-1 gene:Sspon.05G0006730-1A transcript:Sspon.05G0006730-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MACESLVDWRGKPINTKVHDGVRAACSHRCEFLGARAKPSEYDNGYGLLTLQFHFPWLHPAPCNSSEAAADASTSSCRQVDGWRSALLYIALYTIALGEGVMRACIPALGSDQFDGDNPSEARQQSSFFNWFTFCLSIGSVAGLILIVWLEDTKGWDVGFGLSALLILVALLVSAAGLPLYRNRVPQGSALTRVLQVGWILGLDIFLDKACVKTNTGGQGDGPWSCSAAKVEETKIVLRMLPLVFSSTVAHVSSSLLIAFTVQQGATTNTKLGKVHVYPAMLFIIPSIFQTLMLGGVGGKWWFEILNCAV >Sspon.07G0015710-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:56011030:56014959:1 gene:Sspon.07G0015710-1A transcript:Sspon.07G0015710-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPYVSIRDRQPTSMSSTATAAPECDGPKTSWPEVVGLSVEEAKVILKDKPDADIVVLPTGSPVTMDYRPNRVRIFVDTVAQTPHVG >Sspon.03G0007890-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:36135468:36138402:-1 gene:Sspon.03G0007890-3C transcript:Sspon.03G0007890-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MESTSGEDAPKRNPLPAALVSNLQSVLAARRPPGAEVSTAAVAGEAEAEAPEAEASDVPAGDGAPARPIVLLTCAGGIRSSGLAALVDALVAGGRCDVHVCAPESDKPACGHSITIRETITATSVDFTGAKAFEISGTPVDCVSLALSGRLFTWSSPALVISGINTGPNCGYEMFHSSAIAAAREALVYGVPSISISLNWKKDETKDSDFKDAAQACLPLINAALDDIVKGTFLRGCLLNIGVPSAPSANKGFKLTKQSGYSPAQSWQAVSASRPSSATHFMGMHQSLGIQLAQLGKDASAAGAARRVSAQRKTVEVESVAAAGKQEIREVVKKLFRAEFVEKQHEGLDEDIDLRALENGFISVTPLNVHGQVEPEMGAPASDWLSAAVSLDKEKDASPAAADQQDVAAEEKEAP >Sspon.03G0030110-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:19683042:19686736:1 gene:Sspon.03G0030110-1B transcript:Sspon.03G0030110-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vacuolar protein sorting-associated protein 36 [Source:Projected from Arabidopsis thaliana (AT5G04920) UniProtKB/Swiss-Prot;Acc:Q9FF81] MPPARSEVIAIVVTCKADVDVFFGRLLEAIRARAWEVAPAAAPSSGTPVAEGAAPAEDIAIRMPVVGVSGILRMEQESWESAGQNLQDAFQDLNALMSKAKEMMQLAEKMRLKLLMNSSTESNSNDEDMGSKQDMQDLLLSVGIVSPVTKETAGALYHQQLSLQLADFVRIPLEKAGGMMALVDVYCLFNRARGTGLFFFYNPGYGNSKDPVMLRKFDSGVKVIQTKTHSDEEVFARISSLAQKPDALQKGISPSDAAFTLGIAPALAKEHLLNAENKGLLCRDVSPDGFRFFINLFNEIDAQNIYSQKPHGLYNAWI >Sspon.01G0056960-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1C:94508283:94514205:1 gene:Sspon.01G0056960-1C transcript:Sspon.01G0056960-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWLGRQDSQLLWLCAGDFNEILYHHEEGGVRRPQACLDRFKEALEGCELDDLGFFEDIFTWRNKQMAGDTHIRERLDRAVANAAWRMMFPLMHVKNGDPYHSDHHPVVVIEEAWELSLNIDASLRESLCGVAASLKDWSVNVLGDLEKRLKKAKKELERWRREPISDFSVSREAVWSFKVDRLEEQVDIYWKQRAHVNWLHYGDHNTMSTVEDGGERLQQLLAAVQPGVTPAMNTLLTAEFTAEEVKEALNAIGDLKALGPDGMPDVFFKNYWDIVGEQLVKEALAVLRGGQMLQGWNDTIISLILKVDKPEKVTDLRPISFCNVVYKVVSKVLSRRLREVLPEIITPNQSAFVPGRLISNNILIDYELTHYLLNKRDGNVGFAAIKLDMSKAYDRVEWCMLEKMMRRMGFNEQWIALIMECVTTVRYQVKVNGELSASFTLQRGLRQGDPLSPYLFLLCAEAFSVLLRKGEEDGLIAGVKICHNAPSISHLLFADDSLILIQANEGDCMHLQTILQMYEECSSQVINKAKSAILFSKNTKLPQKKVVCDILQVTKETMHEKYLGLPVQVGRSKTGAFAYLKDRIWKCMQGWNEKYLSWAGKEILIKAVAQAIPTFAMGCFDLSKMLCDQIGAMICRFWWNHQERKRKIHWLSKEQMLKLKEEGGLGFRDIHLFNLAMLAKQGWRLWQNPESLCARVLKAKYFATCSVLEARPKASMSYSWRSILRDLEIMKNGMIWRVGDGVGLNIWSDPWLPRDFSRKLMTPRGPNLLSEVAELMDPYTGSWDVALVWDCFWEEDAELILALPVHQGRDNTLAWHFDEYGVFTVKSTYKSPSPLQPDPAWRGMDIGIRCPVCDHMGEDGAHLFFKCRLAKQLWSLLGMETERGSLKSFPIAQDAVVFIMKAAEQKKILMVICLWFIWTERNVTREEGRHRSAEMLARSVELYANENADLFSKPQMQRVRHWAHWTKPPEDVLKLNVDASFFHESKAGSWGFLIRDSDGDVMLTERGREWLTPMPHHRLTCSFVALEFAGGGAQRSARLGFLASSAAQPSRMGRTGVHVPMSPEWKAPDVPIPSPTREITRATPK >Sspon.08G0010060-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2C:64702652:64703152:1 gene:Sspon.08G0010060-2C transcript:Sspon.08G0010060-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNPTKILYVVLLTAGVTLMLVVHVLVVFWAKMGGLGSRGNGATHTDEEGGHGGGLSAGELVRLRCHDFESADSEAGGDCVVCLEAFEAGGRCRQLPRCEHSFHAECVDSWLTKSRTCPVCHADVVDRPPKGEAKAAASSGVVEMAERRSSDAALEIVTERQDAYSP >Sspon.03G0035120-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3B:78575458:78578588:1 gene:Sspon.03G0035120-1B transcript:Sspon.03G0035120-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHL >Sspon.06G0004640-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:11520854:11524692:-1 gene:Sspon.06G0004640-2B transcript:Sspon.06G0004640-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGKCPHRKVKKRRLSHKTARRGKFLLKADDAVYDELVKLADQGKDAEGKELPVDEDLPGMGQFYCLHCDRYFASESVKDDHYRSKRHKKRVKVMSGPAPHTQLDAELAAGMGKPDNGLKLMSM >Sspon.01G0051710-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:18412842:18417846:1 gene:Sspon.01G0051710-2P transcript:Sspon.01G0051710-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAKAERECAVPPPAANAEEAASTNHSNNMEKVYAVNSPHKKRKSQYEPSDPKLLPLKCKFRKRLSCHDDESATTESLGYDCIFMNKTSRTDMVSIPEELDSCENTISLFGGCIEVDSKNGIQEQSLRKMFEVRTSASSSSSNNFSSEAFSSSHSSGTRETDSWVMHDIEHHHPDVMLKPHNDDLERIYNVLEQYDDLMEDELMAGDVFGSAAQIMDEKLYSNGVDDFQILPTGQTGYHTCPSNVGIM >Sspon.07G0031380-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:22130449:22131042:-1 gene:Sspon.07G0031380-1C transcript:Sspon.07G0031380-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding APHAPHATAFKAHRPPNFALTSLSLACLSPLPNPSPPVSVARPRPPVQEPEQETDGPPDRSGARGRSSHGAVMVPGPSPPLRGVPARPLRRGAAAALATRGEPASSARAVRRRRRRAPRPHVRPSRRSPGAALLVPHTAPQAPRRGRGAPPPQPPPPPARADAAAASGPRRRWRGGDRRALRRRQAARADGAEPAAQL >Sspon.05G0004910-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:17633534:17638601:-1 gene:Sspon.05G0004910-3D transcript:Sspon.05G0004910-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SEFCDSEKLTYNSSLKVQRFTVPQKFFLHFYVVGAVVTTSLLLAIWFYAYMKMTPLMPEASSYSTIASHLVGGSNSFSLANFWSSRPMEHKYRVWRTVFVLILMEIQVLRRLYETEHVFHYSPSARMHIIGYLTGIFYYVAAPLSLASSCLPEAIQYLRYQIAEFIVKGRARMPDLAIDPSHLLKPLLKLGWCQWIGAVIFIWGSLHQIRCHAILGSLREHKDSDEYVIPCGDWFSRVSCPHYLAELVIYFGMLIASGGSDISVWFLYLFVITNLSFAAVQTHEWYLQKFEDYPRSRYAIIPFVC >Sspon.01G0029780-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:99748714:99749542:-1 gene:Sspon.01G0029780-2D transcript:Sspon.01G0029780-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding QPEPETEQRRERSRRYSQPAAMNSLFSSSWKRGGGGDDGDIESGGVEMSAPPGAAAGASLDRFFEDVESIKDELRDLERIQRSLHDGNEAGKSLHDASAVRDLRARMDADVGAAIKKAKVVKLRLESLDRANAANRSVPGCGPGSSTDRTRTSVVAGLRKKLRDSMESFSSLRSRVASEYRDTVARRYFTVTGTQPDEATLDALAESGEGERFLQRAIAEQGRGQVLGVVAEIQERHGAVADLERSLLELQQVFNDMAVLVAAQGEQLDDIEGNVG >Sspon.06G0028640-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:9140736:9142852:1 gene:Sspon.06G0028640-1C transcript:Sspon.06G0028640-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPVTLLTQKRPATKPYQLERSGLFDRLLSSAAAEQKWRNLKSLFAAKHCGIAGINAVDFLGQYQAQVAIFGSLLRRHRKFGGEAKVPGFVKNEASRNLKRSI >Sspon.07G0012760-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:46211393:46216438:-1 gene:Sspon.07G0012760-1A transcript:Sspon.07G0012760-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gelsolin family protein [Source: Projected from Oryza sativa (Os05g0153000)] MKGVDDAFLGVGDKPCVPSPPLCLVPVAKPQHGKFYTGSTYIILNTTELKSGARRHDVHYWVGEEAKEEDCFMASDKAVELDAALGSSTVQYRETQGEESDKFLSYFKPCVIPVQGCFFSQLKGSGDRSSATMMFSLQTRAKALDVVKHLKENRHSGRCEIAAIEDGKLVGDSDAGEFWNLFGGYAPMPRDLPDTVKEETLTAPSKKLFWINKRNLVPLEAHLLDREMLNSDRSYMLDCSTEIFLWMGMTTLVSERKSSITVLEDYVHSQSRSFNVRTFIMTEGHETVDFKLHFQHWPRNVELKLYEAGREKVAGVRTMSLMPNHGTAIFKHQGYDVTEIPEDKPQQLISCNGSLKVWLVDRGCTNLLSTEDQEQLYTGDCYIIRYSYVEDGKDYHLFFAWSGKNSVKDDSMLATSLMSIMADSVKGHPVVAQVFEGREPELFFSVFKSLIIFKGGRSAAYKSAVLQKSPRNGYHQKEGVALFRVQGLKHDCVQAIQVDLPMKQSLVVREGSEPDHFWKALGGRSEYSKEKRVKGWPADPYLYACRFEQGLLKVKEVYSFCQDDLATEETLILDCNEEIYVWVGLHSDITSKEQALNIGKMFLQDGVLHGGGRSIETTVYAVTEGDEPVFFTNFFNNWDNSKQSPMVGNSFERKLAVLKGVSPKLELRLSALAACDVMQTPDRSMRRLPSRRPGVSSEPTTPEHQHQQQQPMVRRAFGSASAGRFARERSPAAAAPPTSSPSAKSRSSSSTSSMPTAVARRLFPASVHASEAVHVVSKGTARRR >Sspon.05G0009070-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:20406020:20410203:-1 gene:Sspon.05G0009070-2B transcript:Sspon.05G0009070-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAVAAAMHGRRLLLLPAALLHLLLVPLPPPVQARVTALIVFGDSTVDAGNNNAIPTAVRSNFPPYGRDFPFPPGRATGRFSNGRVATDFYSEALGLGRAFVPAYLDPDYGIRDMAIGVCFASAGSGLDVATSRVFRVIPLWKQLDMFREYKSRLADHLGAAEARAVVAGAVYAVSIGSNDFIENYFALTTTRFLEFTLAEYTDYLVGLARGFLAELYGLGARKVGFTGLSPMGCVPLERARALGRCAEEYNAAARAFNAALADMVRELGGELPGADIRVAEVYDFFEDMVRDPGRHGFARADVGCCGTGTYETGYACGAWAAAPAGTRTCPDADRYVFWDAVHPTERASRLVADHLMNTTFGRFV >Sspon.07G0024800-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:30337107:30337870:-1 gene:Sspon.07G0024800-1B transcript:Sspon.07G0024800-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSQSTQFGLATALFGVLSFVLGVLAELKKPPHGTPIKDRDVVVCRFPADPTVALGALSALAAACSAGLGALAVFFPYGGRRVPRKALFAHTPLYVFFHVAVGVTAAGAGTTVWATATEAMHHVRNVHRDLAYACPTAKTGVLGGAAFLNLDAMLFWIVCLMLGRNVREDYFDDHGGYGGDGVAGTGIEE >Sspon.03G0043180-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:76828307:76834115:-1 gene:Sspon.03G0043180-1C transcript:Sspon.03G0043180-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MWASDIGEMRMRDVPMATEFGPAPVGGVAAGSGGVGAAAEAAAADSGYGSEPGYRGDVELGYGDEIDEEEEDGKQQLFWGGVIGAGSALVPRPHPLRYRKARCHVSGGQCPSGPMGSTAASRPQAGHRLSGCSLQDMNKMKINGDNNFGEQKSHHRCRRKKHDVRMLDSLS >Sspon.02G0046180-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2C:1211018:1211881:1 gene:Sspon.02G0046180-1C transcript:Sspon.02G0046180-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATVVTASHGGMASLLEKLCDLLTDKYMLLKETKEQIVLLKDELENMYAFLKKVSGTEEPDEQTKCWAKEIHELSYDIEDSINDFLHRVEQEANIKPHGFKGFISWMMNLLKTINTWHEIAKEFQGLKRRVMEVSERRTRYTMDDAIPKPNNTAIDLRLLAMYAQSAGLVGIDGPRDELIQLMDREDVPAYQLKVVSIVGFGGLGKTTLANQVYHKLEGEFQCTTFVSVSQKPNISKILRTILYHVGFNNASIQTWEDYELTSALQKFLSDKRYTNLIIAVHRFLN >Sspon.05G0006160-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:13422030:13424556:-1 gene:Sspon.05G0006160-2B transcript:Sspon.05G0006160-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPGGREDGKRRGATATADEDATDADAATSASAASLNDLCATATAGGAAAGAPAPFPRAAAWAVAALLAVGLGVGALVLAVVHSAALLVVALLLSAAVAAFLLWNAAAAASGRALRRFVDGLQASSLRVAADGQIVKITGRFAADFYITDAKSGKRALVKAGHHSKVVPLINENLLVTTSKDTELSSTLKYWLEERNLSSEEAQLIRLEEGYIREGMRLSVIGMLSKKNGDAMILPPPEPLSTGFVLLSCLLPSYFDGIVLRLVDRSYFVPNSGVS >Sspon.01G0053630-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:42200110:42203087:1 gene:Sspon.01G0053630-2D transcript:Sspon.01G0053630-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRRPLAAASLLLLVAVTAAAAREERGLVARVEGPPPRHRHAYAAMMYMGTPRDYEFYVAVRVMMRSLTRVRADADRVLIASADVPRDWVRAMTEEDGLRVVIVENLRNPYEGNLGGINKRFKLTLNKLYAWTLVDYERVVMIDSDNIFLQNTDELFQCGQFCAVFINPCYFHTGLFVLQPSIDVFKGMLHDLEIGRENSDGADQGFLVGCYPDLLDRPMFHPPENGTKLNGTYRLPLGYQMDASYYYLKLHWHVPCGPNSVITFPSAPWFKPWYWWSWPVLPLGLSWHKQRWDDLGYAAEMPVVLMEVLMYIVIITVTRLARPGMTKLCYNRRPEKQSALVQWLIKLAAIVAVVAAYSVPFFVIPRTVHPIMGWSIYL >Sspon.03G0016920-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:40550168:40553130:1 gene:Sspon.03G0016920-4D transcript:Sspon.03G0016920-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPALAAAATSPASSPLTLDAIPLASRPATAAAPRKRSVLLLDHRPHPASPTPPLLSSTAAAAAAAAAAASAPHARRKKTSHPPRPRWQTVLSIAAKNATLLAALLYLGGLAWRWSHPPPPSPPTDRAALEGYAARVDEVEASLARTFRMIQVQLEAVDRKIDAEVGAARGDLLALLEEKRLALEGQLTRLDARAGELGDTLDGLKRMEFLRKDEFEKFWDDVKGSLGSGSRSEVDLDQVRALAREIVMREIEKHAADGIGRVDYAVASGGGRVVRHSEAYLPKLGGLTAWIPGVDVGPKPEKMLQPSFGEPGQCFAIQGSSGFVEIKLKSGIIPEAVTLEHVSKDVAYDRSTAPKDCLVSGWYEETPGETQWGHATKMALAEFTYDLEKNNVQTFDVSAPDVGVINMIRLDFTSNHGSSQLTCIYRLRVHGHEPVSPATAGSQA >Sspon.01G0056080-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1C:86383287:86385960:1 gene:Sspon.01G0056080-1C transcript:Sspon.01G0056080-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIFHYAGSRKRRRTEVTEVTGRCTGRGHDLTGRVRSVQRSSQARGLGFATGASGHSRDRSIRSGTQRNSAWRRAERTRGASGREGSLLDSDRTLALSRPVVAWSASGRCVAGARHCAFDASGRLSGASGRHRNRPPASLRSLRVCPVRLRLASPARALRPTPFLLLCSARRVMDPAPARAPSLRPASLLAPPRWPQPRSLAPPRLSPGSSARSPRIPRHPAEKERRIDHQSALNHNNPHPITCPALDTAYTVHALPGTAASPHSHGHRRIALFGPPWRRVPRRAECDDASQPSPLMLLDRPCPVRPSCQALKSPPPPPHARRSGLRLSLSLIFVGPLLVHNLEKQVDVLHNEKKD >Sspon.04G0012780-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:55798929:55799643:-1 gene:Sspon.04G0012780-3D transcript:Sspon.04G0012780-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding WKYILDPAINKEPWSQQEELRLIRAHQVYGNKWCKMVKHFPGRTNDALKGHWRGSMKRKLDSYLASGLLEHIPDLQDDVSFPQSNQSDIPKDCKAASDRNRFSSCLSTNPKLKQELTGLVENANTSARKSSDLCYAKATDTHSAKISEMTMAKSQQCAGTRKKLAFLSTPVEL >Sspon.01G0040270-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1B:39572560:39572892:1 gene:Sspon.01G0040270-1B transcript:Sspon.01G0040270-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RADQRKHIPPLVLPRRCSDELARRRPTPLPSDQPAKSGRTHERLPSPRTPAGLFAFPSLLLRLGTGTRPCARARAGGAEPASCSTLSELAGHADGFISSQSSSSSSTASS >Sspon.01G0001130-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:3129199:3130081:-1 gene:Sspon.01G0001130-2C transcript:Sspon.01G0001130-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VFGLNPKAMIAMAWKPVVKKLGLWKTVRALARLYDAGTGMIIFIPIAICSWFPFISTFQTRLLFNQAFSRGLEISLILAGNNPNAGM >Sspon.03G0005700-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:23533506:23536561:1 gene:Sspon.03G0005700-2B transcript:Sspon.03G0005700-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LRSTSIPYLSSLAASSPRRSPKATRPGAATGADENARPNHLVPVGAASPQRKKVLGERNDGGVGGMEGAASPPVQQPKPAPSPPTLAGRGAGPYDPKTNYTTPRPEFLRFDPERRREILLRVSRAADVMHDDCSSTTSGTAAAALEEDGDSLASDAAAASPISSAPRSDSEAELDDSEEYEEVVPARRGRWARQLFLLLVCVSCCFCYMYCVNHSGFPTYSEDALDLDRGIEGMYDAYDHELDSLRLSEPVYSTCPEEDVPEENTNQLVHEDYKSVDHLYDNRASPRNLVAVTVMGLADICLNVFLGELTCQIGSERSENVADLKEDSGMDILDTEENDKQSSEVDCLGGNVPLDSITSTITQTNEEEGSSESVHLEEWEDCSNQCVLQLVSMEKAIKSASDKMEDKKVESEELDLWQYENTAEAAKTICSSVKFLWSAMEPHLLQILACLSFVGLVAALYRYYQRSRECCNSTITYDSLAEQPLLVQHQVVQLSVTSSVQDAELPVHSSEQPMQLTIPNQGLAGSLEVPMELTLPMLDPLVSLEEPVQESLPQTDPLVTLKVPVIGHGIHDQKLKQGDPENMKASSGRFLNHSDVDSSKAPVVELLGEFMFANSSRGRSIKRSNQNPGDATVQELLEHLGKDEDVKKMQVHSSIIQSPSVRGAIKEEKSVKREKTNATPTPLTPTPLRRSSRLRNKVTSP >Sspon.07G0017880-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:80787620:80791290:1 gene:Sspon.07G0017880-2C transcript:Sspon.07G0017880-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAATERCDRSPWWPLARLPLGAASSRPSAVARGNPSYRVLASRACGSALLRAALLLLLLPPRVEFRQPGRGRAADWADGFPRHGWARVRGERTPARRCGSLLYALTSTKVNLAKGHQENQSPAIQRPGNEVPTMATENLRHRPKAKGSAPGPKNLNTEERAATTGLSNQQQRVDYYT >Sspon.04G0007590-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:20971446:20976863:-1 gene:Sspon.04G0007590-1P transcript:Sspon.04G0007590-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAGGGEEEEEEEVFYESRDRVLSSSCSSTSASDDDDNDRPRRRRDGAAAAAAAALDVWTSEPAPVQERRRKLLHMMGLAGDPALARLEMGRSVSCDGPVRPAPVSPISRSRSDGAVPVSATKPPLGGRSRQASSGSSEATPEGEETDPRCLIRNLDDGSEFLVKEEFELREVGTGRQLTMEEFVDLCVGRSPIVQELMRRENVANSGSNNGSSTPIQRSNSDSSNGATRHRRHSSWLRSIRNVAGSMVVSSRDRRSSDDKDTSSEKGGRRSSSATDDSQDSAGAVHHGPVRVKVRQYGKSYKELSGLFMNQEIQAHNGSIWSIRFSPEGRYLASAGEDCVIHIWEVSEFERKREENGVCNPFVAMACNGSPEPTLALASSVDGSNREKKRRARFLEGRRSVSSDRLMVPEHVFALSEKPIRTFVGHSEDVLDLSWSKSQYLLSSSMDKTVKLWHISSTSCLKTFSHSDYVTCIQFNPVDDRYFISGSLDEKVRIWSIQNREIVDWKDLHEMVTAACYTPDGQRALIGSHKGSCHIYDTSDNKLLQKKQIDLQNKKKKSSQKKITGFQFLPRSTSKVLITSADSRIRVVDGLNLVHKYKGFRNTNSQISACLAANGRYVISASEDSHVYVWRNNDNLEQGRSKGNVTVTNSYEHFHCQDVTVAVALPCNGSAMVSRANSTKHDEQDSVLEHSLLHAVPEKLQDSSDFQPQSGNILSTSSNHSGDGATWPEELMTPTKQSPRSSASFPSGADQAPSRSAWGTVIVTAGRGGQIRTFQNFGFPARV >Sspon.02G0043480-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:96103764:96104995:1 gene:Sspon.02G0043480-2C transcript:Sspon.02G0043480-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMLMLGRRFVNIVAAGKYKTGMLSVHRLDVSKHLFYPSANEARFVGGDGETLQELPAPCAIFSDATTAAAPVRRRSTAFFSLVSPRSSDGSRIRFTNEAGDSIMYDADEGCFAMPCPDLEEFAGPVVASVSVVAQQAGGGGAAAKEEPKKACTSCMACVTGSHASTSTRVGEGWFWQSLPPPPFVSDDGPDDVISSYTVVEGGRTICVSSSLYAAAAGTWCFDTVRGDWWHAGDWVMPFDGRAEYLPDLDLWLGFSSDASPGRELCTTSGLSIWAMPMAMNQPPTLLQRVWRDDHTPKPKQVELISLGSGRFAIAKVFNLDHGYTSDFALDGIDYMVDDDELLDVTGVEIRPRHDSRYIWSCSDGLELIRHKSISYICDSHRIHQVL >Sspon.01G0041440-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:45112201:45129390:1 gene:Sspon.01G0041440-2C transcript:Sspon.01G0041440-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAASDETLAAVFAQLKPHTVALLDLLRSRRPASSSASSLREMAAFLRAAPAPALQLCFDYTVFPLLLLLDAAVQCRKQGNAPGQGAGGIDISDAVAEVGLTCLEVLLTKCRLTSVNQMVAMLKKLTSGAMLSPSEASEEFRGAIIRCFRALVLQLQPCLDNFCSCKQGTMLPTTPTSTGLEVGSVVRSNYSAQPEECLLAFLRSQNASAAVGHWLSLLLQASELEASRGHRGSADVRKESLHTLRILIGKAIRLVGSADALAFFLPGIVSRLGKVLYTAKTMISGAAGSSLSIEQAILGLTEALTIVLNDKENLSALVKHMNENHSHSPGGSGSTEHVLQMLRQLPTKSLSDEIGHDETTDDSISNVNNSFADRKALHVKRTKKWLEETTSNECLCVLACDDAAAVSEAAQDALDYLFNQGHNLVTENEISDTFNRLVERLPQVVLGSEETTALSHARMLLALTFYAGPQFLINHLHRSPVIAARFFDCLGLCIGHSSQFSGSMDKLIVSKPLSIGYLYSVAELKSGAYAKDTTNKSLHAMSTSAASKISVIHDNALPNALLGTVEYELPHVPPWFFHAGSQKLYGVLAGIIRLVGLSTVSGKETAASLSLFVDILLDQFRRLSTELRDKDIYKDGLQWWYMKSEAGQTLRQASSAVCMLNELMYGLSGQSFGMFLQLFNNRSAQMSRTVCQKNQLLACVKHNGVTNEREVWGFNEQKGTKDNILHCIGSILHEYVSPEVWDLPTEKDTELRLIELNLPLHFYRDTTALHTVVLEGIGVLGVLLGQDFALSGFMHSSLYLLLRELISSSAQIRTASDAVLRALATAGGHCSVGQFVVANADYIIDSLCRQLRHLDLNPHVPDVLASMLCYIGASRDILPFLEEPMQAVSSELEVLGRHDHPHLTVPFLKAVSEISKACRHESTCLPDEAQSFYAKVSSEGQEVKNTIEKRMESSAISERMNVDARPYFMTLEYWEDLLCKLNEMRRYRRIVGSLAGSCLSAAIPLLSSMKETACLVALDIVENATISIAKVEEAYKCECQSKGVIEEAIQFLSFDELLDGTDATEDVDENRLLPAMNKLWPYLVICLRNKISVPVVRKCTEVLSRAISISGGDFYVRRFHKDGSIVWRLLALSPFRRMSKMNEKAIILPYRDTSLTSEEPMAEISSQKIQIAVLDMIAAISSNKRSAVALESVLKKVCGLVVGIAYSSLTGLQEAAIRALAGLACMDADLVWLLLADVYYSLNQREPLLPNQDLALVSDLLPPPMSSREYLFVQYGGEGVRYDVDPSSVHEVFRRMQDVPDPLSICNLAELLKAPGK >Sspon.03G0004040-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3A:10236959:10238017:1 gene:Sspon.03G0004040-1A transcript:Sspon.03G0004040-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAHRPQCTHTKAFRDAMHHSVATVPLAVTAAMLYARFAASSTRPGLCRLFALIPVLALLLVLPFLIPLYGVRGLVAFFLVWLGEFKLLLLAFGHGPLDPHIRPLPFVFTAALPVKLRRQISKDAAVTKTKTVLLSSTIKFAATAAIVHHLHHSKERTHPYAAFVLFGIITYCILDSVLPCVAATGRALGMELEQQFNKPYLAASLQDFWGRRWNLMVSAVLRPSVYNPVRAHLGVPAGVLATFLVSGLMHEVMAYYLTFRAPTGQVTAFFVLHGASMCAERWCARRWSARPPRVVATPLVVAFVVGTACWLFLPAIFGDGMDDLYLAEAAALVSSFRDVGARFLGLGSLGS >Sspon.03G0018990-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:58946223:58951331:1 gene:Sspon.03G0018990-1A transcript:Sspon.03G0018990-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AAVMGVNGHHEPRRGCNGTVCNGSVRPLDHFGDVDPWTAWAYKPRTISLLLMGTCFLIWASGALDPERSSSADRVSSVKRGVFAMIAVFLAYSFLQAPSTVLIRPHPAIWRLVHGMAVVYLVALTFLLFQTRDDARQFMKYLHPDLGVELPERSYGADCRIYVPDHPKSRFNNVYEIIFDEFVIAHILGWWGKAIMIRNQPLLWVLSIGFELMELTFRHMLPNFNECWWDSIVLDILICNWFGIWAGMKTVRYFDGRTYEWVGLSRQPNIISKVKRMLGQFTPAHWDKDEWHPTRGPWRFIQVLSLCVVFMAVELNTFFLKFCLWIPPRNPLIVYRLVLWWLIAIPTIREYNTYLQDSKPFKKVGSFCWLSLAICIVELLICIKFGHGECCSNLSAYVTCKYCYTPLKHADCTGSCAGLFPKSMPSWLITFWSAVALLLVLFVWTWKYRTMIRKRI >Sspon.02G0026040-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2B:91926571:91931411:1 gene:Sspon.02G0026040-2B transcript:Sspon.02G0026040-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GSSIECLYLRASCHHAIGEYKAAIKDYDDVLDLELDSMDKFVLQCLSFYQKEMALYIASKANLEFSQFNIDDDVDPLFKVYRQPPLRISLRNGRLNKQDFKFTKHQTTLLLAADSIGKKIQYNCRGFLPNQRQYRMAGLAAIEIAQKVSKAWRFLRNPKNIAKLVRKRDKLNMSQNRGGYCSTSTLAGSPTSSPNEDRVSSGISLSWQDVYNIAVKWRQISEPCDPVVWVNKLSEEFNSGFGSHTPMLLGQAKVVRYYPYYQRVLETAKTIMLDLKYVNNAEDHAIFLTDIEKLKKIEIASSCSDLYHVVGETYWVATRCDSMAFRGGKTGFDFAIRTPCTPSRWEEYDEEMSAAWEAICEAYCNDTNPTRDPGMLDAVKDAILRMTYYWYNFMPLSRGSAVVGYVVLLGLFLAANMDITASIPPDVQVDWEAILSPDPDTFVDAIKPWLYPSTKISRCLKDYTDVSIAFSTT >Sspon.08G0023600-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8B:56849716:56850042:1 gene:Sspon.08G0023600-1B transcript:Sspon.08G0023600-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHASPYSHAIFEVRAPAPEALAIRAAPLPVARAPPPLPSADPQCSAAATSAFPYNRLAHAHVRVLSATGSAAAAGALHAQCLHRGLAADRFVACSLISAYGRTGHPA >Sspon.05G0020070-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:80954294:80960342:-1 gene:Sspon.05G0020070-3D transcript:Sspon.05G0020070-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Noc2p family [Source:Projected from Arabidopsis thaliana (AT3G55510) UniProtKB/TrEMBL;Acc:Q0WVH0] MAKKLGKKARKFARKNLQSAAKRNRKIRNQFNHRRGPRRGGSAGREDGDEDVPQRVHDSTMVTNDDDTTLIHGLEFPEDDAELDADLSDSDGYLSEDPGCLYYSDSEDEKADKDCIMEDGLDRQNDDMNRDIKKQKTKLKKLLDKDPEFANFLEKWQSELESYRSKEDSDEDGMDSMDDDDDSNDGNSPNAKVLTSKTISEWCQLVSKDPKSPALRNLLNAFRDACRYDNVFRSLLEIQDDANKGKIMNLRNSKKWQTVDPLIKSYLRNSLDLLSQLTDNKILALVLTRLRASVVLFSAYPSTSSRLVKILFRLWASGDQSLSLSAFLMIREVASLLPDCLDHCLTKSYNTYLASTKLVNDRNTKHIYFLIDCLVELYSLDVQKSYERAVTSVEQLNAILRQASKTKEKEDLRKIDNWQYINCVNLWVRFLCCHYKDYNLHPLFSEVLQVIRGVAHLFRGTRYLPLRLRLVQMLNELSSCSQMFYPIPSLLFDCLEFREVSQKEQTQRTKINFSSLLKVPKNLLKSRDFQEECVLSAIQVLSAHFAQWSYHVSFPEVATIPLFLLKKLHEQTTIESLHRPIKRLIDQVTENKDFIERKREVVSFSPNDKASVDSFLQEEKVSRNASFTRFYASVAENCQPKGRNTL >Sspon.07G0010340-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:27790933:27792027:-1 gene:Sspon.07G0010340-3D transcript:Sspon.07G0010340-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEANGSQEQLPPRNLSVVDHESLQQPSDAKNTGGWITFPFLAVAMLGLGVARGGATSNFVVYLVKKYNVPRVDAAQISSIALGCLSLAPVGGAIIADAFFGCYPVVAVAMVFSVLALVMFTLTASLNVLRPAACQPGAAGPCEQASTGQMAALYAAVFMLCVSAGGARFNQATLGASQFDAAADRDVLFNWYFIFFYASSVIGSTVIVYVQDNVSWGLGYAISGAASLAGLLMLLVGTPYYRRPGARGSPFTELARVAVAAARKWKVNLDTSEELRFYHGTRSSDRDGNVISDTIGLATPSDSFRYEKDAFEHL >Sspon.02G0010710-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:29093303:29094547:-1 gene:Sspon.02G0010710-1A transcript:Sspon.02G0010710-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGLTTMLALPWAPSPVLPVKLCFSTSSSKRTASAMPLVNKKRSASSAHLLGRGRALMAATATAPAFCDDEQPRLQSVELLRRLGQDGWVEDQMLSLLTPVDEAWQPADLLPTFAAAAEEQRTQVAELQARAAGLPDELLVCLVGNMITEEGLPTYMTMGNRVGAAEGANDATGCDDHGWARWLRGWTAEENRHGDLLNRYLYLCGRVDMRQVERTVHHLLRRGMSMLEPSCPYHAFIYGAFQERATFFSHAHTAKRAALHGDACLAKLCGVIAADEKRHEVAYTRVVARSFEGDPDGVLRALAAVMRAKVTMPGERMTDGRDDNLFDHFSAVAQHAGVYTAANYSDMMEHFVGRWKVAELEGLSGEGRRAQDYVCGLPRKIRRMEELAHDRAAQKEAQSVNISWVFDRPVRLH >Sspon.01G0001710-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:4493845:4524905:-1 gene:Sspon.01G0001710-3D transcript:Sspon.01G0001710-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding PRSVTCPAQRTVPSQIAEDATPRLLDDDAKPTRLFTRASAETASRTHTSLLCRLLLQVQWQGAVNRAQSPAPSASRCRLPTSAPPSSLSLSLSLSRNTQKPLVGLASTATITSPARSSPPYLQELPPAVASRRLSRVGGRTSAAVVFARVPADWCASRVSTTPRARVIEMTSLSRTLSRGGTMQPPGGRRIQRTQTAVNFGEPMFDSEVVPSSLVEIAPILRVANEVETANPRVAYLCRFYAFEKAHRLDPISNGRGVRQFKTALLQRLERENEPTLMGRGQKSDAREIQTFYHHYYKKYIQALQNASDQVDRAQLTKAYQTAAVLFEVLKAVTQQHAVEVDHEILEAADKVKEKTKIYLPFNILPLDPDSGNQAIMKFPETDSVSNQREHLILLLANIHIRRNPKTDQQSKLDDNALNDVMKRLFKNYKKWCKYLGRKSSLWLPTIQQEMQQRKLLYMGLYLLIWGEAANLRLMPECICYIYHHMAFEMYGMLAGNVSALTGEYVKPAYGGEKEAFLKKVVTPIYHTIAKEAERSKREKGNHSEWRNYDDLNEYFWSADCFRLGWPMRADADFFCQPDERNESTRISKQKGKVNFVELRSFWHIFRSFDRLWSFFILALQVLSIFITSAILNLGQATLDIIFNWKARRTMEFAVKLRYVLKFTMAALWVVLLPVTYAYTWENPTGIIRIIKSWFGNGRNHPPLFVVSVVIYLSPSMLSAILFLLPFLRRSLESSDFKLVRLIMWWSQPRLFVGRGMHESAFSLFMYTMFWIALLLIKFAFSYYVEIKPLVEPTKVIMKTPIRTFRWHEFFPREKSNIGVVIALWAPIILVYFMDTQIWYTIFSTLLGGIYGAFQRLGEIRTLGMLRSRFDSIPLAFNSCLIPVETSDTKRKKGLRSYLHNRFKEMEHADKENIAARFAQMWNEIVTSFRDEDLIDNREKELLLVPYVSDQALGVMQWPPFLLASKIPIAVDMAKDSNGKDRDLKKRLENDYYFKCAIEECYASFKNIINDLVQGEPEKRVINKIFAEVEKCISEDKKENDEKDRSAVIKIFQDMLEVVTRDIFDDQLSHGGSYQKHDDTTAWDKEYQLFQPYGAIKFPLQVTTTDAWLEKIKRLELLLTVKESAMDVPSNLEARRRLTFFTNSLFMDMPDAPKVRNMLSFSALTPYYNEPVLFSIKELEEENEDGVSTLFYLQKIYPDEWKNFKERVGLEEELKESEESEESEELKEELRLWASYRGQTLARTVRGMMYYKKALNLEAFLDMAKREDLMEGYKAAESVNDEQWKIQQRSLFAQCEAVADMKFTYVVSCQQYGNDKRAALANAQDILQLMRNYSSLRVAYIDEVEDRVGDKKMETAYYSTLVKVALTKDSESADPIQNLDQVIYRIKLPGPAILGEGKPENQNHAIIFTRGEGLQTIDMNQDNYLEEALKMRNLLQEFLTEHGVRRPSILGVREHIFTGSVSSLAWFMSNQEHSFVTIGQRLLANPLKVRFHYGHPDVFDRLFHLTRGGVSKASKSINLSEDIFAGYNSTLRGGNVTHHEYVQVGKGRDVGLNQISKFEAKVANGNGEQTLSRDIYRLGHRFDFFRMLSCYFTTVGFYFSTLLTVVTVYVFLYGRLYLALSGLEEGLSQGRLIHNHPLQVALASQSLVQLGFLMALPMMMEIGLERGFGQALSDLIMMNLQLATVFFTFSLGTKTHYYGRMLLHGGAQYRGTGRGFVVFHAKFAENYRLYSRSHFVKGIELMILLIVYQLFGQSYRSAIAYIFITFSMWFLVLTWLFAPFLFNPSGFEWAKIVDDWSDWNKWISNRGGIGVSPDKSWESWWEVEQDHLKHSGTIGRFVEIILAVRFFIYQYGLVYHLHITHDKSILVYLISWVVIVAALLVMKTVSVGRRTFSADFQLFFRLIKFLIFVAFTAILIVLIVFLHMTFRDIFVCFLAFLPTGWGILLIAQACKPLARYVGLWGSVRALARAYEIIMGVLLFTPITILAWFPFVSEFQTRMLFNQAFSRGLQISRILGGQKKERSARNKD >Sspon.05G0028590-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:69541426:69563025:-1 gene:Sspon.05G0028590-1B transcript:Sspon.05G0028590-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDKKDDEAGTSPPAPPRVAVAAAAAAAAAPKPSTYAPMGALRGEECADLLALVSAVSRPLEDAVADFLARVPPERRPRFGNAVSFVLEDKMMLQPAERLVAFAILHQGYSSQLANPFLPLLINVLLPREQLEKQCSYDAARPLPFSSNFRDATVRSAIPDPDVFQSFDNNHELLWDGTMCADTSRGAGIRDLVDQACKGPLAVAQQEECADLLALVSAVSRPLEDAVADFLARVPPERRPRFGNAVSFVLEDKMMLQPAERLVAFAILHQGYSSQLANPFLPLLINAACEETSEKAEQAFLQVLKQSAVDYLNGSDYASQVLLPREQLEKQCSYDAARPLPFSSNFRDATVRSAIPDPDVFQSFGNSSEVSSIIPNRDDMWLNIDNNHELLWDGTMCADTSRGAGIRDLVDQACKGPLAVAQQEKIIEDLGKNWKLVYHCGMTPQKLPDLVEHNPLIAVEVLSKLINSPDMDAYFDVIVHMDMSLHSMEVVNRLTTAVVLPPGFVHDYISNCIRSCEDIKDKYMQNRLVRLVCVFLQSLIRNKIIDVQDLFVEVQAFCIAFSRIREAVGLFRLLKSLE >Sspon.01G0041220-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:42998511:43005089:1 gene:Sspon.01G0041220-3D transcript:Sspon.01G0041220-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKSWRATRDVAGAFSMDLELVGEEEDDDAVPAIPPPQTPLEPMEYLSRSWSVSASEISKILVGGGGKKSSAVAAASRLPEVTIPEQSVLATTSSSIVPLPRHQQQHRDARRSSMSSGHHHHQSIGKWFQVHHRETCRAKQSGKEKQRADKAHVHAMVSVARVAAAVAAVAAATTTSSDTQAATKMATAMASATELLASHCVEAAQHAGARHDQVAAAVQAAVGVRSPGDLMTLTAAAATATLKQRVQRETRSNASVLPYEKGNSWSPDIWCKEGELLKRTRKGVVYGVYSELPTWAEPGKDCTEETCCFGLSTAQGLVEFECEGNASKQKWVDDVQNLLRQAALHDQFYLFRSIAAAAVQLGGSSAATNQLMATPSQQLEALFSSVCLRRLGMLMDVGLTTWPT >Sspon.01G0043980-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1B:78898036:78898197:1 gene:Sspon.01G0043980-1B transcript:Sspon.01G0043980-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSLVPKPLPEVTWIMPDPHLILSIWVVAEESVEGVYREPEKTDQLVQASK >Sspon.02G0003010-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:8740659:8743113:-1 gene:Sspon.02G0003010-2B transcript:Sspon.02G0003010-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFEYVPASPGSSRWAGESAARRRQRRLSSPSLRTYLTPAFDAVAGGEGGVSGYSSSSSSGGLELGFDASLLRYRRSCFAASADLDSRVLLYSPQSMPPPPPQMRAAYLAADDGVWAPGVSHYGSKHEAGRLTGAPVFQDSENRISFVSPPQTSSNLPTTVLGASTSVKLPAELKLPEGSVVATNAELPMPGPEATPSTLKSSADPEPAVEGDEITEALYGDSGRRRLPIFREICPE >Sspon.02G0042100-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:84395414:84400818:1 gene:Sspon.02G0042100-2C transcript:Sspon.02G0042100-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEDAGGASPPVPLAQVPPPPPQHPTAPSQRDMAASPTSSRSVTETVNGSHRFVIQGYSLAKGMGVGKHIASETFTVGGYQWAVYFYPDGKNPEDNSVYVSVFIALASDGTDVRALFELTLLDQSGKGKHKVHSHFDRSLESGPYTLKYRGSMWGYKRFFRRTALEASDFLKDDCLKINCTVGVVVSTIDYSRPHSIHVPDSDIGYDFGSLLDSQEGGGGSKYPSDRVPNGDKIDIYDFA >Sspon.08G0008680-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:54881843:54884865:-1 gene:Sspon.08G0008680-3C transcript:Sspon.08G0008680-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQELRGRSAAAMAVDDELPLASSAPSWPVSMHSAAAAAVYYLGFSFALAQGRAAGVFDLGGARRRGCRWSRAVELALREKVAALERQVEELRHRRAEDARANEKVAGIFASHEQRWFAERKSLRRQVHAVVAAARAREAKREEEAAELRRQVEEQRDTVALKDRALEQEVQRRQGAEERLRAAERSAEELRERAGREALEHAAEVRKHKAAFVELASAQRQLEADLARAARLADTAEAELCAALERRDEAAATAADLSTEAARLRRDADHKDKILSAMLRKSKIDMEDREMLVREVKMCKARRKQAELEADRWRKMWESRGHRRGSSRSSARGAAADLPLPAGSSADKLLAPDAVARATNDTTKILFVDHVEGDGKKDHRQAPAKELTTIECVDRYANHVDDKPGMSTCSEYQGLQEWFQMETEKYTAMIKHRHTAEIEAFTEQLRLKDEKLEAFRWRAVSMDVEATRLRSRIQELEGRLARHEKHSAGLEALLLDRANENRALEEQLETLQAQAPGVEMCTPAGGQDDGPDDHSIPCSPVKVVQRTMSSGSSRHQESTEVSKYQTKLDEVVAVSPEDHKEDWKELDVHATEALVVSVGDLACAAAAATSMEHDRHDAPASRQSFRSEIEEEKEVYTDPGNAQTTGSSSQEQEATSELALVVLPPGQKSSAWKTDIHALAVSYKIKRLKQQLLVLEKLANECKEEAAATKPSGSEASCSSSSSRQQPRSRYHTMMSFLSKHVKRYQSLDDKIDDLCARMVRGGDVNRIPIPSSKSIPGSCVDEEGKRSAGRERHGAGAQSQSQSAALGQFLEETFQLQRFMVATGQKLLETQSRIAPGLARDRSGGGGDGDGVDMKRLMEVAGALLRDVQRGLEVRIARIIGDLEGTLTFHGILRRTR >Sspon.07G0009550-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:23773442:23778122:1 gene:Sspon.07G0009550-1P transcript:Sspon.07G0009550-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRRNGCTIYVGNLPGDIREREVDDLFYKYGRIVEIDLKIPPRPPGFAFVEFEDPRDAEDAIYGRDGYNFDGHKLRVELAHGGRGPSSFDRSSSYSSAGQRGASKRSDYRVMVTGLPSSASWQDLKDHMRRAGDVCFTDVYREAGATIGIADYTNYEDMKHAIRKLDDSEFRNAFSRTYIRVREYDARRSRSRSRGRSRSRSKSRSRSRSRSYSRSRSRSYSKSRSPRSRSASQSKSPVKARSPSRSPPVSLSVVQPPRDKSASRSPARSKSLPRSCSPGTEGEVIPYCTERSE >Sspon.06G0004270-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:10880024:10882108:1 gene:Sspon.06G0004270-1T transcript:Sspon.06G0004270-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVKHLCHFAQQCANLKMFMHVSTAYVCGDRDGLHLEKPIKPGESLREGRYLDIDAELQLVREAKKELMDASDDEHKKTERKAMKELGIQRARHFGWSNTYVFTKAMGEMLLGQLRGDMPVVVMRPSVITSVREDPLPGWMQGMRTIDTLIIGYAKQNLSCFLGDLSVVVDVIPGDMVANAMMAALVAHSEEKAAEAVPVYHVTSSLRNPVAYSVLYESGRRHFYQNPRVGKDGKVIPTREMRFFPTIAQFHLYMLFTFKLPLEILHLVNLLLCGLFSRLYNDLNRKYKFVMHLLDVYGPFAFFNG >Sspon.03G0021410-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3A:65601830:65604728:-1 gene:Sspon.03G0021410-1A transcript:Sspon.03G0021410-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box/LRR-repeat protein 3 [Source:Projected from Arabidopsis thaliana (AT5G01720) UniProtKB/Swiss-Prot;Acc:Q8RWU5] MAAAASHQQHRHPKRRRLSLALSLSLSPFPSPTPTPAVTPPLDSLADELLFLVLDRVAQADPRALKSFALASRACHAAESRHRRTLRPLRADLLPAALARYPCATRLDLSLCARVPDAALASAVSSSSALRAVDLSRSRGFGAAGVAALAASCPGLADLDLSNGTDLGDAAAAEVARARALRRLSLTRWKPLTDMGLGCVAVGCAELRELSLKWCLGVSDLGIQLLALKCRKLTSLDLSYTMITKDSFPAIMKLPNLQELTLVGCIGIDDDALGSLEKECSKSLQVLDLSQCQNITDVGVSAILKSVPNLLELDLSYCCPVTPSMVRSLQKIPKLRTLKLEGCKFMVDGLKAIGTSCVSLRELSLSKCSGVTDTELSFAVSRLKNLQKLDITCCRNITDVSLVAITSSCTSLISLRMESCSRVSSGALQLIGKHCSRLEELDLTDTDLDDEGLKALAKCSKLSSLKIGICLKISDEGLTHIGRSCPKLCDIDLYRCGGLSDDGIIQIAQGCPMLESINLSYCTEITDRSLISLSKCAKVNTLEIRGCPMITSTGLSEIAMGCRLLSKLDIKKCFEINDVGMLYLSQFSHSLRQNIRLAEHDHRPFSGYNA >Sspon.01G0020970-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:74660328:74661652:-1 gene:Sspon.01G0020970-4D transcript:Sspon.01G0020970-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEAKNAEAEALPTKDIAEEKTAAPAPALAPEVTLVVPQVVAHATEVVCALYSESTKESHGGVLTLRTSFISAWEESEKAKAENRRSPLPPSRTDPAMPFAVSISMGLKFPRLASVTSWENSKVAEMEAELKKIHEQLETKKAAQAEKLKNSAAAVHRAAEEKRAAAVARRGEEVIAAEEAAARYRAMGQEPTRLFGLGLFRRG >Sspon.01G0022740-4D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:80149778:80150858:-1 gene:Sspon.01G0022740-4D transcript:Sspon.01G0022740-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDYIENAKKTAEDILSKESYTLESLNQAMAKKVDALIFSWSAIDGCDIDSVQRKSIKEEITQLLHKYANKQQSLAGVSASISSSHQQQNFQEEYQQRPQMRLVEQQKQQRNPHGLQPKPGEKQHQQQKPQETQHRHIQNQQEPQEQEMWQNQKRRGQNKKNRKRKQRRQKLQQQNKRPRLPPYIRPGIDNGQPFSGTRRAPFAPYSTAIPRYFWSRPCDDHSQHHVPVFRH >Sspon.04G0010180-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:25578350:25584736:-1 gene:Sspon.04G0010180-1T transcript:Sspon.04G0010180-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGLLRWRRLAGAATRAASTLTAAECSPAAASAAGAVAPPPHRRLQERRKWESSSGSGGSSSSSSTDEPEPRRIRAEAHCPRCSKHMDILFSHRGPPPTTAGAAAAGYQALNLCPNCRSAYFFRPHVLAPLQGTFVEIGRVRADLLDHPAARARDPIFWEAIRASSSSRDDGDGGGVAVHVPPGPPFHPNLNVVRVAGSGGGGGGGGGAGDEGAGKEGWGGSNLGRDLPTPKEICKGLDKYVIGQDRAKKVLSVAVYNHYKRIYHQSLQKGSGADLGGINGEADDDDNVELEKSNVLLMGPTGSGKTLLAKTLARFVNVPFVIADATTLTQARYVGEDVESILYKLLTAESLNISRDVSGEGVQQALLKMLEGTIVNVPEKGARKHPRGDNIQDSSIGFGAPVRANMRAGGISSAQVTSSLLESVESGDLIAYGLIPEFIGRFPILVSLAALNEDQLVQVLTEPKNALGKQFKKLFSMNNVKLHFTDGALRIIAKKAMSKNTGARGLRTILETILMDSMYEIPDAKSGEKRIDAVVVDEDAVGSVDQPGCGAKILYGDGALDQYLSQIKVSGDGVASEMDGEAERAIGM >Sspon.02G0012560-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:49738274:49742817:1 gene:Sspon.02G0012560-1P transcript:Sspon.02G0012560-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTAHAAAAALALLVFFSAQARDAGVHVVVDAAAATLSQGQSLGAGDKLVSAGGTFELAFFTPTGASDPSRRYLGVMYAQSVEQTVPWVANRDAPVTAGSAYSATVTAAGELQVLEGERVVWRTNSATPASSSSAANVTLTLLDTGNLQLTAGDGGGATVIWKSFDYPTDTFLPGMSITLDRRDGAAVRRTLFTSWRKPGDPGTGDFTLGQDPLGSAQLYIWRTTSGGNNSTYWRSGQWANTNFVGVPWRSLYVYGFKLNGDPYNGSGVMSYVFNTYNSSEYRFMLHSNGTETCYMLLDTGEWETVWSQPTIPCQAYNMCGANARCAAGGDDGQRAICTCLTGFEPRNVSEYSSGNWTQGCVRSPPLTCSEANVSGGGGGGDGFADLPGVKLPNFAAWGSTVSDADACKQSCLANCSCGAYSYSSNTGCLTWGQDLLDIYQFPDGEGYDLQIKVPAYLLETDSKRRLWTTVVVAVVIVVVVLAGCGLLLWKCRRRIKEKLGIAGREKTKTTQPSLLPLREARQDFSGPKQPDQEEAEGGKKCELPLFSFEMVAAATGDFSADNKLGEGGFGHVYKGSLPGGEEVAVKRLSRGSGQGLEEFKNEVILIAKLQHRNLVKLLGCCIQGEEKILVYESGAARAAGLEDELWNADKGEQLIDPAILPACPVREALRCVHMALLCVQDHACDRPDISYVVMALGSDSSVLPMPKPPTFTLQCTSSDMEGGIFPDRVDESYSACDLTVTMLQGR >Sspon.01G0028790-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:100351748:100356025:1 gene:Sspon.01G0028790-1P transcript:Sspon.01G0028790-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTREEWSMSDFEIGKYIGEGKFGKVYLAREKQSGYVVALKVIFKAKLEKYRFHAHLRREIEIQHSLDHPNVLRLFAWFHDEERVVLVLEYAARGELYKVLRAAGRFTERTAATYVASLAGALAYCHKKQVIHRDIKPENLLLDIEGRLKIADFGWAARSNAKRHTLCGTIDYLAPEMVEKKAHDHAVDNWTLGILCYEFLYGSPPFEADEQDDTLRRIVKVDLAFPSTPYVSSEAKDLISKLLVKDSSKRLCLEDIMKHPWIKRNADPSGSCIKQKDVTRSKREEVVSSSKVSASIVQNKHKA >Sspon.03G0023900-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:73053963:73054547:-1 gene:Sspon.03G0023900-1A transcript:Sspon.03G0023900-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNGTASPGAGGGTRCCKATTLVAVAATSFVCGFILVVAFLFVRFLLLRQRWRHRARRLLQEQHHRSKPGLDAAAIALIPSFPYRRQADAEAEGSTSVPAAAAECAVCLGVLDEGQMVRQLPGCKHVFHQECIDVWLASRASCPVCRGKAEPPAPARAEDRAAAASTPARVAAVDMFGDEERASSSTPRGSRG >Sspon.01G0000610-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:1275906:1278718:1 gene:Sspon.01G0000610-2B transcript:Sspon.01G0000610-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVKQVLVRPRYDDDDGSSCSSSAGRCDDEAADQSPSPPPMSSCGRYLLHRVCRFDTLAGVAIKYGVEVADVKRANGLTTDLQMFAHKTLRVPLHGRHAPSPPSSSPSHADRAAREWTARRPPKIAASLDPFLKPPRSTVSPSMSLLQGYYGLTPTPKGNLTNEGTEMATYAKGHHRKARSLSSNFSLENGDGAREMDDAEKPIRRRQKTDGELTAREDNGGSLLARSRQGLALRPKCGSRPDMNGSQQDLLATWVPSYGDGLHTVKKSSSTPEFQDSDSISIASVWLKSKWNLKPDAFTLTLPLPLLDGFSKPLFDSIPKPLLDNIPNSIAAWRNKAAKD >Sspon.05G0000690-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:2606470:2607264:1 gene:Sspon.05G0000690-1A transcript:Sspon.05G0000690-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DAGHLPEAGGARAAGAQQPPERRRVVQQAPEPRRDPARLPRRAPHQRLLHLLRRRRRARLRRPHRLLRRRQHLPAHVLRPGRHLLRLPGPPRQPERPHPAVRPVRAVHQRGAAGHRGLPHAPRPRAVPGRPGRQGPRGRLCLRRLRQPLRRRLRRAGRERRRRLRAAVLGRGGGRVRGHQRRPRRGEARVRQVLRALPRRLHVPQRGRAQELRAPHEQAQGHATRRQRGRHVRRHLQGRYLHQDQLHAPRRQRHQLGRRLGRCHL >Sspon.01G0019220-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:77432824:77439739:-1 gene:Sspon.01G0019220-2B transcript:Sspon.01G0019220-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGEKGRPGSRKEGEEEVESTIEYICRYEAEGAILVFLTGWDEISKLLDKIKGNNFLGSPNRFLVLPLHGSMPTVNQREIFDRPPASMRKIVLATNIAESSITIDDVVYVIDCGKAKETSYDALNKLACLLPSWISKASAHQRRGRAGRVQPGFCYRLYPKIIHDAMQQFQLPEILRTPLQELCLTIKSLQLGAVSSFLAKSLQPPDPLSVKNAIELLKTIGALDDTEELTSLGRHLCTLPLDPNIGKMLLMGSVFQCLDPVLTIAAALAYRNPFVLPIDRKEEADAVKRSFAGDSCSDHIALLKAFVAWKEAKRSGRERTFVGKIFCPP >Sspon.03G0012970-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3B:46033892:46111775:1 gene:Sspon.03G0012970-2B transcript:Sspon.03G0012970-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLKTYGTSKPTRYTFTEVKKITRRFKEKVGQGGFGSVYRGELPNGVPVAAKMLENSAGEGEEFINEVATIGLIHHANIVRLLGFCSEGTRRALIYEYMPNESLEKYIFSRDSSISQELLVPKKMVDIALGIARGMEYLHQGCNKRILHFDIKPHNILLDYNFNPKISDFGLAKLCARDQSIVTLTAARGTMGYIAPELYSRNFGGVSYKSDVYSFGMLVLEMISGRRNSDPSVDSQNEVYLPEWIFERVLIGQDLILARETTGEENEKKPTVNDKGCKHANRKIGESTDAPKAFCVI >Sspon.08G0005700-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:14662802:14664957:1 gene:Sspon.08G0005700-2B transcript:Sspon.08G0005700-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPATPASGAAATAMRPYLRTHGKQVARLHLFDWVVLLLLVAMYAVLGMVQPFHRFVAEDMMASLRYPMKGNTVPSWAVPIVAIVVPMIFIVGIYIKRRNVYDLHHAILGLLFSVLITAILTVAIKDAVGRPRPDFFWRCFPDGVPKYNNITGGVICHGQRSVIEEGHKSFPSGHSSACFAGLGFLSWYLAGKIKAFDRGGHVAKLCIVLLPLLLATMVAVSRVSDYWHHWQDVRGIDDFLPRH >Sspon.02G0024090-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:84069986:84072382:-1 gene:Sspon.02G0024090-2B transcript:Sspon.02G0024090-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGAHEFDGSTFKECFSLSWKNPYVLRLAFSAGIGGLLFGYDTGVISGALLYIRDDFVSVDRNTWLQEMIVSMAVAGAIIGAAIGGWTTDRFGRRTSILVADFLFFAGAVVMASATGPTQLVVGRVFVGLGVGMASMTSPLYISEASPARIRGALVSTNGFLITGGQFLAYLINLAFTKAPGTWRWMLGVAALPAVVQFGLMFALPESPRWLYRKGRADEAEAILRRIYSAEEVEREIEELKESVAAEVRERGSSDKVSLAALVRTATVRRGLVAGVGLQVFQQLVGINTVMYYSPTIVQLAGFASNQTALALSLVTSGLNALGSIVSIYFIDRTGRKKLLVISLVGVILSLGVLTAVFHETTSHSPAVSAAETAHFDGSLTCPSYRSSSSWDCTRCLKAAGSSECGFCASGAGKLLPGACLVSNNTVRDACHGEGRLWYTRGCPSRYGWLALLGLALYIIFFSPGMGTVPWIVNSEIYPLRYRGVCGGAAATANWVSNLAVAQSFLSLTEAIGTSWTFLIFGGLSVAALAFVLVCVPETKGLPIEEVEKMLERRELRLKFWAPRRHADGNVDGNGKESGKNAG >Sspon.03G0013220-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:36919067:36922613:-1 gene:Sspon.03G0013220-1A transcript:Sspon.03G0013220-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASPLPMLAASRFLSLPPLLAPTCPRRTAPFIHAATQTLEAPEAPKPPRPSPRRSAVAEVKGAPDPIAALNRFEEVLQTQDCNIILRHCGDTRRWDDLSKVFEWMQEREMTNAASYSSYFKYLGLSRDPAKALQVYGAIKDRMMRVHVSVCNSVLGCLVKNGRLDSSFKLYDEMIREGLSPDPFTYSTLLSGCMKLKQGYTKAMGLINDMKSRGLQMDIVIYGTLLAICASHNYCEEAEVYFQKMKDEGHNPNLFHYSSLLNSYSENSDYGKAELLMKDLRSSGLTPNKLSFDSFLKFHVAIPVLKVILTTLLKVYSKGGLFEKAKELLTELEASGFAQDEMPYCILIDGLVKGGKIQEAKILFNEMKEKGVKSDGYAFSIMISALHRGGDHEESKQLAKEFESENASYDLVMLNTSLRTYCSTNDMESVMRLLKKMDELNISPDNITFNTLIRYFCNSKVYHLAYKTVEDMHTKGHQLNEIKWECNKMI >Sspon.03G0015580-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:73970099:73974332:-1 gene:Sspon.03G0015580-2B transcript:Sspon.03G0015580-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Origin of replication complex subunit 4 [Source:Projected from Arabidopsis thaliana (AT2G01120) UniProtKB/TrEMBL;Acc:F4IM82] RTYSFIGGNALQSSPGAAARRGDSPTSGPRAAPLDDGRCVTPLQLQRRATSCCRVAGCPQPPEALHRIPNLKIPGPPKRESSATMVTAVSVPSQAQTVLRGRLCDPAFVHCALRSSPDTNYSKLKYLVASSVSEACNNSVLLLGPRGCGKAAVVDMVLDDLRKEHPDAISVIRLNGMLHNDDNCAMKEIARQLCLEHQLSFSKMASSDDNTEFMIDMLRECGLAHKTILFVLEEFDLFAQGKQRLLYSLLDAMQSLTSQAVVIGVSCRLDADQLLEKRVRSRFSHRKLLFVSPSLDDIQRYVLSPDPLDKKHEMPPNSLTEAIFWLVEHLLILAKDSGLPAKYITDYNSRLTSIFSDKKFKGCLNSLMNADATTSNILRFLVVSYMNMESGFLSIESFLKALSSMQRQPKMDSLQDLSILELYILVCMHRLEDKEQSSYNFTSIMKEYRSIQDAYKTSDKYASTVCFRVSTTITDNQWASYRIRYSKLTLAFEHLLDRELISFGDNRGRNQALEYRPVKLLISSRELAQSLKLNTTCPAVLQKLFDRERYM >Sspon.01G0033860-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1A:113788582:113788725:1 gene:Sspon.01G0033860-1A transcript:Sspon.01G0033860-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding TLELWSLSDNQRIPIQAHEGLIAALAHSPFTGMIASASHDRCVKLWK >Sspon.07G0019230-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:69923186:69924994:1 gene:Sspon.07G0019230-1A transcript:Sspon.07G0019230-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMADEGDKGEAQPPGLDPRTRRSAATRATGDMAGGGGEEESERGPGYVLSLPAAWLPLPVAVSCLDATVRRKGRSRPRLRAQPSGWWAFKLPVVPAPEEAKRPVPPAAAVNNPPEEARPQRLRVRQAPLPDPHHTPAAAEERPPKRARICLQCGAAVTPQWRSGRMGQGTLCNACGVRLKAAGALRGQVQCRPAPPTSRTPARPPPPDSPVSESSPDSPIWESGSVPDVYLVRKKPPKRGRPPPRPRTQPAPAPAPAVYLVKKKKKKKAAASARKPWRPSKSAKQCLHCGSSSTPQWREGPLGRSTLCNACGVRYRQGRLLPEYRPIASPTFEPSEHANRHSQVLQLHRQRKSQSHQQQHPLPVEKHPPRAMDVLQFPPQRWHVKEEYPPTPLHQPLLHPVVDGSRAGGELRVGGMVDAAAGADAGHGGGGKGSDLNSEDSLLLEGPSAPLLVDGDEPLIG >Sspon.02G0012130-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:32064279:32066986:1 gene:Sspon.02G0012130-1A transcript:Sspon.02G0012130-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGANSVGESVGESSAPAPAPEPEPQTRPAEPVTKGRGLRRWRRIPREQHHEGSPASPGAGTGAGASAGEDLAAQLHKRRYGPAADEPKGKQDAAAEEVESSVASVESSFVPLEASPPPALAPALTRLDPNLSHLIATAGFSVGAGGADSDNSDDRTSKLSTAASAPRHDFSSVGFGRERDRARSRAPGAAHGKGIRAARVRGASARVASSPAEAENSRSSVESNLRSSNAAHARRSSAGVTSNGVHKVLFPDGHQSDDEPPSGDLRYATGGFYKENGSVVGRLGNCDSDSNNHIFHEASVGKFENGGTHSGLDPYAESVALLQSAQEALENEIQKFVEIRKETDEDSTTHQSEIEWSSSPVEELNEKIKMLELKLEEPPCEQDSKILELDALSQVQPQNTIACNNDLLSLQSDVDQLLLEKMEAEIQCFILTRASQDWKLLTMDQFALDEAQKSLPADHKSLETKLRHAENRAMMLEEMVDKLESQCKELSETSEVLKLQARASRASLFCSVQFVLLCIAIGTLLVRFLPSSPEI >Sspon.02G0009640-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:21485583:21491640:1 gene:Sspon.02G0009640-4D transcript:Sspon.02G0009640-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding KALRRSSTSSSPSSSSSPTAASSPPSSSWIHIRSLLVAAASSSSSSSSSSSSAAAGSAVALVSAAAAVSSSSSPASSSPHSDRCGIKSPWSRRKRKRALSREQWERLFSANGKLRDGGKKFLKKVRSGVIEPSIRAEVWPFLLGVCYDLNSSEEDRNSVKIKKRKEYEKLRRQCQQILSGYKGNGLKAITEVNNEECSSLEGTAEGSESPCFEDANAICAPASLEELKPEQSEADQPENIPCAVVECMEEDADELAYAYPCIAESESSDSESSDEDDPGRISVSGEENCDPDPKFTRSTSFKADFFRSSRTSEDFATWQRIIRLDAIRSNSEWILFSRNQAEVPKERALQSAASVGLKDYDHLEPSMIYHAARLVGLLEAYAVYDPEIGYCQGMSDLLSPIIAVMEEDDEAFWCFVGFMRKARHNFRLDEVGIRRQLKIVSQIIKRKDSHLYRHLQKLQAEDCFFVYRMVVVLFRRELTFEQTVCLWEVMWADQAAIRAGIGRSTWGRIRLRAPPTDDLLLYAIAACVLQRRKLIIEKYSSMDEILRECNSMAGQLDVWRLLDDAHDLVVNLHDKI >Sspon.05G0016200-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:65882594:65882812:-1 gene:Sspon.05G0016200-1A transcript:Sspon.05G0016200-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding EMPSHGYGCFSFCSLQMIIRFVRSAVGMQFSPDPRSAPASKHGHEEFVSACVAAHETPTATRVGHCTHWLSKV >Sspon.08G0015650-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:62884829:62891014:-1 gene:Sspon.08G0015650-1P transcript:Sspon.08G0015650-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWSLLLRVTDKTLLLLLVVAVTLSLEHGVPVHGFLAASSDCQSSCGNISIPYPFGIGAACSWEPSLNVSCVVDGQGQEAAYLRVGDTLFKLLEIDVSQGEVRVESPISSSCRNGSKLEPLFILVPPFTVSSKNKLTAIGCATVAGIGSQSQDGYTSACGSFCNQDSMGNITECAGIGCCQTSIPSPGNLRSLNASFIVTADNLHISTPQKSSSPCSYAFVADANWFKFHPLYVTSTKFGEMYGSGSDRGVPLVLDWVVGNETCEEAVKNNMYAYGYATRVSSYACLSDNSFCLNASIGLGYRCKCLAGFEGNPYLDRGCQISMSVLPKLLQWYLR >Sspon.03G0029270-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:20410243:20412446:1 gene:Sspon.03G0029270-2C transcript:Sspon.03G0029270-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTWGWRMLVMRLVQGCLNYSVTGRSCFIMCQVADSLEKGTEHEDEYMISEKELLVNRFISVPKDMGAFNCGAFVAGIVKGVLDNAGFPTVVTAHFVPIEGQQRPRTTILIKFAKEVEDLCSFTAWIR >Sspon.01G0003870-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:9116462:9126916:-1 gene:Sspon.01G0003870-4D transcript:Sspon.01G0003870-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGAGGPPGRRASRPTTPDSLSVLPLLPDFLPHSVSLTPRARARSPSLSPRLAAGDELELELVPRIEDGRRGMEPMNVDNGGCGGLDAQIEQLMQCRPLAEQEVKALCEKAKEILMEESNVQPVKSPVTICGDIHGQFHDLVELFRIGGKCPDTNYLFMGDYVDRGYYSVETVTLLVALKVRYPHRITILRGNHESRQITQVESEIFCLHGGLSPSIENLDSVRSLDRVQEVPHEGPMCDLLWSDPDDRCGWGISPRGAGYTFGQDISEQFNHTNNLKLVARAHQLVMEGYNWAHEQKVVTIFSAPNYCYRCGNMASILEVDDCNSHTFIQFEPAPRRAYAIIIDVNICWIKGQKEIT >Sspon.01G0055810-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:83474208:83482150:-1 gene:Sspon.01G0055810-1C transcript:Sspon.01G0055810-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLTTLPLDARSLVDLNMAYEPGKQVRSPVRIKNISKSYVAVKVYLPRRVRVAGTIPSTLRIGFGGAAAHLPLGLQALGDVAEPEADRIGQQHLARQQQFILPQELGKSQVAVHRQQLRFGKQLRALVSWLFSE >Sspon.07G0026070-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:42869877:42870856:-1 gene:Sspon.07G0026070-3D transcript:Sspon.07G0026070-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRSLGSLLTRRLLSPGSGIPRAQAKKALAPAAGVPARSFHSLRDFAVGDGAGAYGGVAAAALAGLVGILYFRKGADESEETKEVTRQEAKKQLAGDEGRKQGAIIEAETKEHNDNDFSLEEYLAEMTKMAAAQKKARYERSCKKAVKEVTNSEAIKDEAAMKDEAAMKARFEEWIKEYGKRYKNKEEKARRYELFKAFANMVDKATAEGGAVFVTNHTADWTEEECQCLYDSDVDWDDYIDHIRSLIDKKNARAKKPSRD >Sspon.03G0015610-3C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3C:70326783:70327088:-1 gene:Sspon.03G0015610-3C transcript:Sspon.03G0015610-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSPGPIGPPFLTRQSPYDRRRKSLGSTSSVEANSPQETWTSRTVQSRHVLVMVTLFAVGRDAVGQATRVALGHLHHLLRAEIRRCRVRHSSSSARVTAAG >Sspon.02G0015620-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2B:41000613:41000948:1 gene:Sspon.02G0015620-2B transcript:Sspon.02G0015620-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MERFAAMVTAHRAAPVPAPAPAAKVEAKGKGKKGKVVEDAAHLSIQLEEIVIVKNDDVASLGAARGRSTLTSGASTPMGQRVAAVARAPPSGMSAAAAAAARGALSTTAGWI >Sspon.07G0009630-1T-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7B:25904755:25905270:-1 gene:Sspon.07G0009630-1T transcript:Sspon.07G0009630-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAHRLLLVAVAAAAAVSGAAFANPTAYEALADFDFPPGILPKGVVAYTLDNATGAFTATLDASASGTGSSVCEFSIQGSYSLRYQTKITGKITPDHLSNLQGVSVKILFLWINIVEVTRRGDNLEFSVGIASADFGIENFLECPTCGCGFDCNDLLMLQKPGAATAKLRLR >Sspon.08G0013740-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:53047379:53051673:1 gene:Sspon.08G0013740-2B transcript:Sspon.08G0013740-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSPKRAAGREGCGCWAAVARGLRGACFRPAAPADGDGGGSAKGSHVHDAAETRYLNASNRKLGDHFQTKHDGENGVDASIEKRTPKLLQFTFQELKSATLNFRPDSILGEGGFGYVFKGWIEPNSTAPAKPGTGITVAVKSLKPDALQGHREWVAEVDFLGQLHHKHLVKLIGYCIEDDQRLLVYEFMARGSLENHLFRRALPLPWSNRMKIALGAAKGLAFLHGGPKPVIYRDFKTSNVLLDAEYNAKLSDFGLAKAGPQGDKTHVSTRVVGTYGYAAPEYVMTGHLTSKSDVYSFGVVLLEMLTGRRSMDKKRPTGEQNLVAWARPYLNDRRRLYQLIDPRLGLNYSVKGVQKVAQICHYCLTRDSKSRPSMDEVVKQLTPLQDLNDMASASPRPRSTQRGKVHR >Sspon.01G0019280-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1C:73226203:73228652:-1 gene:Sspon.01G0019280-2C transcript:Sspon.01G0019280-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEELTQGLQPGSTTSPGSSSSSTALAATSPRPPAPPRPPLATVVSSGPSSLRAKRRWGGRGSRRRRGGGRGGGRGGHHQAPAPAGTHGGTMALVPEPVVRSHLPELLLLVLHPAPSLLRVGSTLRDSTGGHRLGPGGPGSLVQHHGPDTSAAFVASTSSTTWHRRLGHPGRDALIQLSRSSGLPCTRAHDEQLCH >Sspon.07G0017240-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:66761930:66764123:-1 gene:Sspon.07G0017240-2B transcript:Sspon.07G0017240-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWKAAEKLIRHWKILRGDNVMIIRGKDKGETGLIKRVIRSQNRVIVEGKNLVKKHIKQGEGHTGGIFSIEAPLHASNVQVVDPVTGKPCKVGYKYLEDGTKVRFARGMNASGAVIPRPEILKDRRKPRPTSPGPKDTPIELVLEKTYDDKAGIGMPD >Sspon.05G0016660-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:63555893:63557216:-1 gene:Sspon.05G0016660-2C transcript:Sspon.05G0016660-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAATADPPGYFVGRPLHYQDQQPQTVPPPQAPPPAAAAPAVDDQNAVNAQVPVGAFYEQVGNLTLCKAQLSQEFSHPMVCPSRKLGSGEFE >Sspon.01G0006420-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:16959265:16962320:1 gene:Sspon.01G0006420-4D transcript:Sspon.01G0006420-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRCFPYPPPGYVRNPVAVAEAESTAKLLQEITVVRKLQKEREKAEKKKEKRSNRKAPQEGETSKHSKHSHKKRKLEDVIKAGQDPKRESRESVEQLEKSGLSEEHGAPCFVQTIRDSPESSQDSSKRRKVVLPSPSQAKNGNILRIKIKSNQESQSALLEKPRLLEQPLVQQMGSGSSLLGKQNSIHHKVNVRSTSAQQRINGDSQAVQKCLITETPSQTMQRVVPQPAAKVTHPVDPLVSVKAPVGRSDLPPKFLGSVPSPARVMGRFEPPPVKLMSQRVQHPASMVSQKVDPQLSKVLQKETGSAVCLPEAPQPPVLQKPKDLPVLMQQEPITSLTKEEPCFSGRNAEAVQVQDTKLSRSDRKKIRKAEKKEKKFRDLFVTWNPLLIENEGSDVGDQDWLFSGTRNSDASMAQCKATDGVEPIHPMVQQQPCLQPRATFLPDLHIYQLPYVVPF >Sspon.01G0003670-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:10306746:10306937:-1 gene:Sspon.01G0003670-1A transcript:Sspon.01G0003670-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAVLVAVVLMQCCKVFVAARPLLEAPAVAGGDGTSWLGLIMQVLQGTGGNNHNCQAPNGSCP >Sspon.07G0034720-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:79993465:80001618:-1 gene:Sspon.07G0034720-1C transcript:Sspon.07G0034720-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEERTRGRPPLLMKLMGMPLLSEIARPPIAARAGCRTSWPPCEMHVSWMAKRRDTRHAWMDPAAKADDRRLQPTSETHHRASHRGARAIIAMRVLNDSRATVGADASSAPPLLVTPAAAAGMPAAGVAGSDAGFDSDMVFMLAALLCVLVCALGLNSLIHYCLVLDFGRTTLTTVAAPAPPHAAAAAAATGLKKRELRRIPVVVYEAKPGASATDCAICLGEFDDGERVRVLPRCHHGFHVQCIDLWLAAHPSCPTRVVTPATAKAWQQRWQGKKRHTRRGQSGCTVLCGGCSTVLWTILFPSEFTRRGRETASVSGPTCQRKRGIRGRNDVHGDNVGAVANRGGHLELVGVGYAGDVGDRNLMPAVGDISAPVSACGGHGSSPELRRRRDDEPMPCGAVWRAKRRWRVIKVVVWMTAAARWRALLGDRAAQRGRKSWQVEMAD >Sspon.03G0032480-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3B:37928515:37928772:1 gene:Sspon.03G0032480-1B transcript:Sspon.03G0032480-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGQTPHASLTRFVRCAPPRSADPALACSFCMLCAPARGTNPVLARATCPHPNSRLPSWSRSIRLSRPLPRSMPSTSLHFCQSSK >Sspon.03G0034980-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:77738468:77739830:1 gene:Sspon.03G0034980-1T transcript:Sspon.03G0034980-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQQRQQRRHGSGGGGGGVLRLVAVLLLLSLCLARADAVAAPWAPLAGAAPASESEHGVATALENALAQEEAARGHSTASTAAAEEDSDGAVVLERRVAMESTEDYGFPSANSRHVPHP >Sspon.03G0002870-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:16703444:16705748:-1 gene:Sspon.03G0002870-1P transcript:Sspon.03G0002870-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VFFKDVAGCDEAKQEIMEFVHFLKNPKKYEDLGAKIPKGALLVGPPGTGKTLLAKATAGESGVPFLSISGSDFMEMFVGVGPSRVRNLFQEARQCAPSIVFIDEIDAIGRARGRGGFSGSNDERESTLNQLLVEMDGFGTTAGVVVLAGTNRPDILDKALLRPGRFDRQITIDKPDIKGRDQIFRIYLKKLKLDNEPSFYSQRLAALTPGFAGADIANVCNEAALIAARREETQITMQHFESAIDRIIGGLEKKNRVISKLERRTVAYHESGHAVAGWFLEHAEPLLKVTIVPRGTAALGFAQYVPNENLLMTKEQLFDMTCMTLGGRAAEEVLIGKISTGAQNDLEKVTKMTYAQVAVYGFSEKVGLLSFPQRDDGFEMTKPYSNQTASIIDDEVREWVGKAYKKTVELITEHKEQVAQIAELLLDKEVLHQDDLTRVLGERPFKALEPTNYDLFKQGFQDDDDKSQAPAENAELPDDSSPPLGEVVPT >Sspon.02G0018850-4D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2D:57077663:57079731:-1 gene:Sspon.02G0018850-4D transcript:Sspon.02G0018850-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTAARAVPPAAAAPPPLPRRLRPARCTAGAAAAETATAGPTRVTTVSNRGDSLAICRVLNGMWQTSGGWGRIDRDAAVDAMLAYADAGLSTFDMADHYGPAEDLYGMFINRVRRERPPEFLEEIKGLTKWVPPPVKMTRSYVEDNINRSRKRMDVAALDMLQFHWWEYSNPGYLDALKHITDLKEEGKIKTVALTNFDTDRLQIILENGIPVVSNQVWHSDGWSFV >Sspon.02G0010670-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2B:27929729:27930052:1 gene:Sspon.02G0010670-2B transcript:Sspon.02G0010670-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKGRKPAGLIMKTLDRCRSARRSKPAPAPAPEGCFTVCVGAGRQRFVVRTECVNHPLFRALLEEAEEAFGYAAAGPLALPCDADAFVRVLEQIEEEDAAGHAAAVA >Sspon.05G0011280-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:23677747:23680687:-1 gene:Sspon.05G0011280-2C transcript:Sspon.05G0011280-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRKIAEELQLDLETMAMFDKQDEEDDFSGLDHGSRDVILSVSQVILRTLIYAKFMVIFLNGSDDEIDSSSFVALLREEAANIVARHPSMRGIGITECHKLRFLGLAHCAHENTSEGEKNTSWTWLQNLWVLDLRYTEWDDILSEEKMHNMANLMELNIEGFMCWQLATRLHGKLPYLRRLRIIKPVHKADTSIDSSSSLSVDKTELEILDLSGNRDMKNLSISSSMARSLQTLILDGCDGLENVVVPDGLPSSLRSFSFDGYGPATHWTSSFKLPPKSSKQQKHDSNAEKNVVKTTKISLQGCTQLENLFVRGLPNLEELDLSGSAIKALDFRTMVVDVLASWGSSGSYCWVLHATLADARLSRSFCALLGHYDYQRVENDIYFNIHVTSSSGSGGVVQLETTGEEMTGTSSQQHQVQVTMYSDAFFKIGVAPMLFFPRPPTQPLDHHIEISDGSRGYLKWCRVERCPNLDTVFPEEKWGDNNNLQTIWASHLLMARCIWSKGFNRYPGSFENLQHLHLQSCPRLQFVLPVWVASFPSLETLHIINCGDLTHIFVLDGSYPWAIVDHGLPFPKLTTIHLHNLPKLQQICEVKMLAPALETIRIRGCFALRSLPTVAAREPGVMKPTVDIEKNVWDSLEWDGLAADHHPDLFEPPVHSRYYRRSRHLRGTMLR >Sspon.01G0015060-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:43988794:43990640:1 gene:Sspon.01G0015060-4D transcript:Sspon.01G0015060-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTMTLPPGFRFHPTDDELVGYYLKRKVDNLKIELEVIPVIDLYKSEPWELPEKSFLPKRDLEWFFFCPRDRKYPNGSRTNRATTTGYWKATGKDRRIACDGGVYGIRKTLVFYRGRAPGGERTDWVMHEYRLCQDIAHGACNFIGAYALCRVIKRHEAGLLQGEPAAGRAKAAAGSSARGQMSKVSSSSSLVSSEQLSASFTPTNSTSPPPTLDVGSRGIMCTVSESGNPFQSPVGYGVTATATTGTGLPSSPLPPPLLLSSPHDTFFIGDDFPAAAAEESRSHAHLFGGDIMGMGMGMGMGGVSDQEPKWDSFACPTTFSSGADTWNAAAAVASATMLCRQASDGIEDLAAIFFSDDNRIVF >Sspon.01G0007950-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:87308268:87308819:1 gene:Sspon.01G0007950-2C transcript:Sspon.01G0007950-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GHGHRGAPPRRAPPVPAARPARHGRPRRASRRRRRRCRAHVQAVDLPGPQEAERQRQAAARQAQRQAAARRPRRGRGGRRRRDPDLSGLRHPRDGRWVRQHPRDGRRVGRRLRRACRRVRPRRRGGAHGDVRRERALLQEEGHLPQEVLLLLQRRRQGIRRWWWRRQLHRRLQGQVHSLLLIQL >Sspon.01G0003700-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:10372118:10372315:-1 gene:Sspon.01G0003700-1A transcript:Sspon.01G0003700-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMRAVLVAVLLMQCCNVFVAARPLLEAPAVATTADGGWLGMIMQVLQGPGGNNNNCQAPNGSCP >Sspon.06G0030480-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:48475670:48477241:-1 gene:Sspon.06G0030480-1C transcript:Sspon.06G0030480-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLLYYSLTIAVCIAVIPLLSTLRSFTATPPPSLPPGPSRLSLITPLLFLGRTNFGIERVLSAARSRYGPVFTLYLHPSRPAIFVADHAAAHRALVQRGAAFADRPPASLAFRIFSSGQRNITSGAYGPLWRALRHNLTGKVFHPSRLGRFAAARCKALQGLVAGVVARQMRGDGVVVVEALLHRAMFHVLVTMCFGERLATDAVVAAVEKLQREFLTSVLGFQVFDVCPAVTGILFRRRWKQMLSLRRRQEELFAPLIRACRAQRDAGDGVDDTTTYVDTLLDLRIPEDGGRNLTEGEMVSLCAEFVAVGTDNTATEAQWIMANLVAQPEIQARLRAEIHQVTSGSACIQEADLPRMPYLRAVVLEGLRRHPPGHFAIPHAATGIDDDDGDVGLSLEGFRVPRRASLNFPLVALGLDEAVWPDPLQFRPERFLPGGEGADVDLTGAKEIKMIPFGAGRRICPGMALALLHLEFFVASLVAEFEWVQVPGEPVEFAEKQELSVVMRRPLRATVVRCTGNAPN >Sspon.05G0020310-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:83197080:83200371:1 gene:Sspon.05G0020310-3C transcript:Sspon.05G0020310-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MITALDLYHVLTAVVPLYVAMTLAYGSVRWWRIFTPDQCSGINRFVALFAVPLLSFHFISTNNPFAMNLRFLAADTLQKVAVLALLALASRVFPSSASRFLGLDWSITLFSLSTLPNTLVMGIPLLRGMYGGASSSTDAGTLMVQVVVLQCIIWYTLMLFLFEYRAARALVLDQFPDGAAASIVSFRVDSDVVSLARGEIELEADAVPVAASSRDAGGRMRVTVRKSTSSRSEAACSHSHSHSQSMQPRVSNLSGVEIYSLQSSRNPTPRGSSFNHADFFNIVGAGAKGGGGAAAAGDEEKGAGGHSPQPQAVAVAAKRKDLHMLVWSSSASPVSELAAGAGVHVFGSGAADHADVLAKGTQAYDEYGRDDFSRTKNGNGADKGGPTLSKLGSNSTAQLYPKDDVEGRPAAAMPPASVMTRLILIMVWRKLIRNPNTYSSLIGVIWSLVSYRWGIQMPAIIARSISILSDAGLGMAMFSLGLFMALQPRIIACGNKFAAIAMAVRFLAGPAVMAAASIAVGLRGVLLHIAIVQAALPQGIVPFVFAKEYNVHPDILSTAVIFGMLIALPITLVYYILLGL >Sspon.05G0027860-1P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5B:57699175:57701645:-1 gene:Sspon.05G0027860-1P transcript:Sspon.05G0027860-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTEVEGPPPAAALEVPAKRGLLRYNSPLAQVSLLGLICFCCPGMFNALSGLGGGGQVDASTADNANTALYACFAVFGVLGGAAHNLLGPRVTLMLGALTYPLYAGSFLYYNHHRHSQAFPVTAGALLGAGAGFLWAAQGAVMTSYPPPNRRGTYISLFWCLFNLGGVLGGLLPFSLNYNSGDEAKNVSDSTYIAFMAFMLVGAALTMLVLPPARIVRDDGTKATRVTFSSPATEGAEILKLFANWKMLLVLPAAWASNFFYTYQFNNVNGGLFTLRTKGLNNVFYWGAQMIGSAGIGYFLDFGFASRRKRGLFGVVAVAVVGTAIWGGGLANQLKYRSVPLSDPIDFKEGHRYAGPFLLYFSYGLLDAMFQSLIYWIIGALANDSQILSRYVGFYKGVQSAGAAVAWQVDKQHTPLISQLIVNWGLMTISYPLLVLLVFLAVKDEDYSVSSVEEDGKEKDSKLSAPTSFH >Sspon.03G0009860-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3A:26489910:26491443:1 gene:Sspon.03G0009860-1A transcript:Sspon.03G0009860-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding QQLSSPRDWGKNAKIPPDPGPPDPESHPIPVLALRTARDVSRHGHRRTDAAEHVAHAPSRLAASAL >Sspon.02G0005590-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:17714783:17716317:-1 gene:Sspon.02G0005590-1A transcript:Sspon.02G0005590-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQTLQNHLKRYPILSKLLWLALGSFGHATAWLSHQKTGTCSVLMLQWPVQACISFHAK >Sspon.07G0014370-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:51254823:51257423:-1 gene:Sspon.07G0014370-4D transcript:Sspon.07G0014370-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative serine/threonine-protein kinase-like protein CCR3 [Source:Projected from Arabidopsis thaliana (AT3G55950) UniProtKB/Swiss-Prot;Acc:Q9LY50] MTPRPPLLLLLPLFLLAIALPTASAASTLAVSGSSTSPTVCGVDADNGTVYCAPLPGSSNSNSSTNASSASSPVAQSIITFAEVSAGRGFVCGLQAGGAALFCWPSTPAPQWGQLRRLYNGPDPLADLAVGGDHVAAYEANASASGTGRILWWRGGDRFPAQADGGFRSLVSGDGFTCAVETNASAAVRCWGPQGSAVQAGLANATNATYLAAGGARACTVLASGAALCSGSNASAAGALPSDLFAYGLAVGDSHACALRRPNHTAVCWSLGGPTTTLYEPALGISFQFLVAGGNFTCGVAYSDYSVYCWSAGADAALVPLPRIRPGVCVSNVSACRVGCGFMSGSQQYCGGSAWICDALVCDASPPTPAPTPASPPAPSPSSGKRVSKAWIAFCVVGAVGGFAGLCSIVYCLVFGVCSNKRVHNSVQPNITTTAAGAGAGGDNNGGGGGAAGGSPYGSPNGSRARSLFRRQLSRVMTRQRSGPSSFKDPAEEFTFAQLEAATKGFALEAKIGEGSFGTVYRGKLPDGREVAIKRACESGPRARRFQEKESAFRSELAFLSRLHHKHLVGLVGYCEENEERLLVYEYMKNGALYDHLHPKPGAAPSPVASSWKLRIKILLDASRGIEYLHSYAVPPIIHRDIKSSNILLDGGWTARVSDFGLSLMGPLETSSEDDDAAGATAATARSRSRSRSRSRSRSQSQHLTVMKAAGTVGYMDPEYYGLHHLTVKSDVYGFGVVMLESLTGRRAIFKEAEGGSPVSVVDYAVPSIVGGELAKVLDPRAPEPAAHEAEAVELVAYTAVHCVRLEGKDRPAMADIVANLETAFALCEGSAGGGDRDRGGGGGFGNSSSSASLPSVTSMDRSGAL >Sspon.01G0040560-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:41609367:41610218:-1 gene:Sspon.01G0040560-1B transcript:Sspon.01G0040560-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding IMELTRPRDSVATLLAVLVVSAAVARSDGALCDKSDKAALLAVKSALGNPPALSGWNSTVACCSWEGISCNATTGRVTDLTVFALNISAPVPAAIANLTAVQTINFAYNQLYGSIPAFLGPHALPDLTFLRLDGNRLSGAIPPTATVFDLSLVGNLLTGPLPATFGGASFGDVDLTDNQLTGDASMLFGAKKQLNALHLSRNRFKFDLGRVELPEAMDILEIDHNMVYGSISAAAAARKWLAFDVSYNQLCGPIPQGRYTHRFGAKHFAGNKCLCDRPLPPCKS >Sspon.02G0013230-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:35421379:35425745:1 gene:Sspon.02G0013230-1A transcript:Sspon.02G0013230-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GRRPPTLRRAFTAPLVRDGRRESPRALIRNRRIHFHRIGKWRRRDARKRATAVPLPDTAAPKSMPRGAPFVALAAFKKRFTGRCFRCLACDHQVAKCRDPVRRISCRKVGHLSRSCPTRRPCTIFDQLRARLIFSPERIHSRIIFPPLPHRADPTAAKFAMAFVPGLAHQRPVRSRVNVMSTETMYHEANRLKAHAAVISSPLEGYRTNAPEVAHTLADQLHLPRHTICATKYSNTEFVAEFESLSDRDRTLCKGYIEIGDSIFPILLWVSVGGGAEQTWWYHVKVVMEHVPLEAWNEDGVKLILGDSCVFDSYRSPRRSDRGWEALQHASEDWERHRSCFLSPELGRYAVTLCLISSGGPTKTGRLGQPPSPRRMTQCFMSLATTKRQPTPLGYSPDSDDICDGGRHCSPKYQPVNKLWASPPLAHPAQSQDEIVFGSGVQLEETNKRPKNLMGEVSDWVTRMEIDGAAQASDFSPGSGDGSGVDDPTTKHGTDLEVEDVLEAEAAAVALEDKDREDSDEYAAFSAARSLCQWSKRLFSEARTQLLMANEIILRLDTAKELRALTPQEMLLHKELKARVLGWAAIERSWRQRSSLLLQIKEGDVCTKFFHQKAKGRRSRNLIAYLKQDSDNIVWNHTEKKNILFQHFAQILGSTVRRTCTLDWERLQLSQVHDPSLDLPFTEIEIKNTIRELPGEKAPGPDGFTGTFYKVCWHIIKDDLMAAIGCFYHLCAGPLEHLNGGIHCPHPED >Sspon.01G0004590-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:56349160:56352118:1 gene:Sspon.01G0004590-2B transcript:Sspon.01G0004590-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATEQAAENYTVEDLVALNPYNPDILNDLEKFVNEQVSSQTYNLDANLSLLRLYQFEPERMSIQIVAHILIKALMAMPAPDFSLCLFLIPEHVQMEEQFKTLIVLSHYLETARFSQFWDEAAKNRHILEAVPGFEQAIQSYAIHVLSLTYQKVPRPILAEAINIEGLALDKLLEYHAANSGWVIEKGGQSQVIVLPRNEFNHPELKKNTADIVPFEHVTRIFPVLS >Sspon.04G0002110-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:6507652:6514850:1 gene:Sspon.04G0002110-1A transcript:Sspon.04G0002110-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLASALCVLLAAVVAVSTAVATESEAEDRVSTYIVHVAPAHAPRLSRPRALSGAYRSFLRDNLPARVARPAPRLLYSYAHAATGFAARLTGAQAAHLASQRSAVLAVVPDATQQLHTTLTPSFLRLSESSGLLQASGGATDVVIGLIDTGVYPKDRASFAADPSLPLPLPPSTFRGRCVSTSAFNASAYCNNKLVGAKFFGLGYEAAHGGAVGETDSRSPLDTNGHGTHTSSTAAGSAVANAAFFDYGKGTATGMAPRARIAAYKACWTRGCASSDILKAFDEAIKDGVNVISVSLGAVGQAPPFYSDSTAVGSFSAVRNGIVVSASAGNSGPGEFTAVNVAPWILTVGASTLNRQFPANVVLGSGDTFTGTSLYAGTPLGPRKLPLVYGGDVGSSVCESGKLIASRVAGKIVVCDPGVIGGAAKGEAVKLAGGAGAILVSSKAFGEEALTTPHIHPATGVTFAVAEKIKKYIRTSASPVATILFIGTVVGGTPSSPRMASFSSRGPNLLAPEILKPDVTAPGVDILAAWTGENSPSELDSDTRRAKFNIISGTSMSCPHVSGIAALLRQARPDWSPAAIKSALMTNAYNVDNAGDIIKDMSTGTESTPFVRGAGHVDPNRALNPGLVYDVGTDDYVSFLCALGYTARQIAVLTRDGSTTDCSTRSGSVGDLNYPAFSVLLGSGGDEVTQHRIVRNVGSNVRATYTASVASPAGVRVTVEPPTLKFSATQQTQEYAITFAPEQGSVTEKYTFGSIVWSDGEHKVTSPIAVIWLASQAAEMMQSLVSFALACQLIVGFDPTMARLASALCVLLAAVVAVSAAAVTESEAEEPMSTYTVHVAPAHGPRTTRPRALSTAYRSFLRNNLPARVACPAPRLLYSYAHAATGFAARLTGAQAAHLASQSSAVLAVVPDVMQQLHTTLTPSFLRLSESSGLLQASGGATDVVIGVLDTGVYPIDRASFAADPSLPPPPSTFRAAASRRRSSTPPRTATTSSSAPRSSAGGSAVANAAFFDYGKGTATGMAPRARVAAYKVCYTRGCSTSDILKAFDEAIKDRVNVISVSLGFAGESPPFHSDNTALGAFSAVRKGIVVSASAGNSGPGEYTVTNTAPWLLTVGASTINRQFPANVVLGSGETFTGTSLYAGTPLGPSKLPLVYGGEVGSSVCEAGKLIASSVAGKIVVCDPGVNGGVAKGEAVKLAGGAGAILVGTVVGGTPSSPRMASFSSRGPNLLAPEILKPDVTAPGVDILAAWTGENSPSQLDSDTRRVKFAIVSGTSMSCPHVSGIAALLRQARPDWSPAAIKSALMTTAYNVDNAGDIIKDLSTGTASTPFVRGAGHVDPNRALNPGLVYDAGTDDYVSFLCALGYTASQIAVLTRDGSTTNCSTRSAFSVVFGSGGDEVTQHRIVRNVEATYTASVASPAGVSVTVEPPTLEFSATQQTQEYDITFAPEQGSVADKYTFGSIVWSDGEHEVTSPIAITWPASQAAA >Sspon.01G0039320-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:33719388:33723097:1 gene:Sspon.01G0039320-1B transcript:Sspon.01G0039320-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGDGSAKRLRLLLWRSCSCRPLLLEEQGEVYMTDLTALYTWTCSRCNDLTRGFEMTCIIVDVQNCLQAFVGVDHNLNAIIVSIRGTQENSVQNWIKDIIWKQVNLNDPNMPNAKVHIGFYTSYNNTVLRSAITNAVRKARKLHGHSDIIVTGHSMGGAIASFCALDLAMSFGSNNVHLMTFGQPRVGNAAFASYFAKYVPKTIRMTHERDIVPHLPPYFFFLPKLTYKHFPREVWEHEVDGNTTYQVCDGSGEDPNCCRSVFALLWSASDHLTYMGVEIEADDWSTCRIVLGRSVEQPCQRHCDVWTVRRRCHRG >Sspon.06G0010290-3P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:45283578:45295572:-1 gene:Sspon.06G0010290-3P transcript:Sspon.06G0010290-3P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGKVTLEAVRKAQRAEGPATVLAIGTATPANCVYQADYPDYYFRVTKSEHLTDLKEKFKRICRKSMIRKRYMHLTEGILQENPNMSSYWAPSLDARQDILIEEIPKLGAAAAEKALKEWGQPCSQITHLVFCTTSGVDMPGADYQLTKLLGLCPSVNRVMMYHQGCFTGGMVLRLAKDLAENNCGARVLIVCSEITVVTFRGPSESHLDSLVGQALFGDGAAAVIVGADPSEPAERPLFQIVSARQTILPDSEGAIEGHLSEVGLTPSISRTGSAKDGLTTTGEGMEWGVLFGFGPGLTVETIVLHSVPITTTRNTTRPPSGEELAARAEKVAEASGRIP >Sspon.05G0002150-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:6759234:6762478:1 gene:Sspon.05G0002150-1A transcript:Sspon.05G0002150-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGFLQAADAKDWVYKGEGAANLILSYTGSSPSMLGKVLRVKKVLKDKSQPAPSCMVFSSHEQLLWGHIPELVESVKQDCLAQAYAVHVMSQHLGANHVDGGVRVRVSRDFLELVEKNVLSSRPAWRVNASSIDNTADAALLIPDHSLFSGNPKGSSCIAVEIKAKCGFLPSSEYISEDNSIKKQVTRYKMHQHLKFHQISKTSEYNPLDLFSESKERISMAIKSFFSTPQNNFRIFVNGSLAFGGMGGGADSVHPADTDKCIEDLSKVSGLELPDFTELLSETIFRSGVLGKLLTTQKLDDHDIEGAIHLYYNIISQPCLVCKNLTDVELLRKYTLLHSLPLDKSLKIVRDFLISATAKDCSLMISFRPKENGSTDSEYDSVFLESVKRTYEYKAYFVDLDVKPLVKMEHYFKLDQKIVNFYTRNGEVVPSPKGSKSKDTSPIQLQQ >Sspon.05G0028990-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:69589511:69594445:-1 gene:Sspon.05G0028990-2C transcript:Sspon.05G0028990-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQFRQQYGGGFSDSRGHHHQALPDWHRPHHASAKPSSRIRRPGKPAPRRRSPAAAAAVAAALLLLAAVFFLSRRISRSPAEISQDSSTGEALPEWNQSKSWKELKFGHGGGGRSARDSRYWDRDDRRRDEDYTEDEKEKISGGSETSADAGGSGDKGTTSEASGEDKGLTLETGSGAKDVPEVSEGGKGGTLYNEGGRKELEQYEAAAMGATGTGVKEVDPDDEYDDGIDMQDDLEDAHMHSSDGGRKLGGGSHESAEKKDEVATERHTEAGGGIADSHDISSPDKKKVSGTGDKKHVSKKKPKRKKSGSTCEMKFLNSTAQLVEPARNEKFSNFNLEYVEVEERPVGSEYWEPRFAGHQSLQEREESYKAHDQQLKCAFVKGPNGTSTGFDISDDDRKYMSKCHIAVSSCIFGNSDRLRTPFGKTITSLSKKTVCFAMFLDEVTLQTLESEGQKMDSMGFIGIWKIILIKNMPYNDMRRVGKIPKFLAHRLFPSSRFSIWLDSKLRLQTDPILILEYFLWRHGYEYAISNHYDRHCVWEEVAQNKKLNKFNHTIIDQQFEFYKADGLTKFNPSDPNKLLPSYVPEGSFIVREHTPMSNLFSCLWYNEVDRFTPRDQLSFAYTYLKLRRINPDKPFHLNMFKDCERRSIAKLFHHRSEERHSGAQLTR >Sspon.06G0012590-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:54492205:54492909:1 gene:Sspon.06G0012590-2C transcript:Sspon.06G0012590-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYHLRSASVPSSPRSSETKPESDCLFAFHDCPNHERESDKAWKHLQLHHSQRKVVEEELESSLVLLDLCNAMQESFAELKTIILEIQLALKRGDEATVQARVQSYARSAKKAQKQCKKINRKAASGIEGSRVMLELDIVDLDSGVETLFRRLIQSRVSLLNALSL >Sspon.01G0020210-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:74815544:74818019:1 gene:Sspon.01G0020210-1A transcript:Sspon.01G0020210-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DALVNTGLAKLGYEYVNIDDCWAESDRDYQGNFVANRQTFPSGIKALADYVHAKGLKLGIYSDAGTRTCSQKMPGSLDHEEQDVKTFSSWGIDYLKYDNCNDAGRSVMERYTKMSNAMKTYGKNIFFSLCEWGRQNPATWAGSMGNSWRTTDDIADNWGSMTSRADQNDRWASYAGPGGWNDPDMLEVGNGGMSEAEYRSHFSIWALAKAPLLIGCDVRSMSQQTKDILSNSEVWAGPLSNNRKAVVLWNRQGYQATITAQWSSIGLASSTAVTARDLWAHSSFSAQGQLSASVAPHDCKMYVLTPN >Sspon.02G0034400-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:12666188:12676799:-1 gene:Sspon.02G0034400-1P transcript:Sspon.02G0034400-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVYDVVTSRSSYLRRLLSESSDVAIALPAGLTFDAFAQAIATCYGSDGAAAALSPASLAAAWAVTGWLELVPGPGPGRDPYGLAHTAEDYFFQEVATDHGRAAGVLRCCAAFLGGEAAGPAADLLVRCLEVLAASDSGSERWLDDVAALPVEEFLVAVEAMRARFAHDHDLMYTVVDHYLENHKGKLTEEDKSRLCYNVNCTKLSQRLFMHLVQNPRLPLRFVVQAMLVEQLHSHHTMLLSQHHQAAAPAPTRSAAPLLKPSLSGVFRGGGMAVTGEDASMSLGDILQRDAALRQSAHIRASMQATSFRIETLESELAGLRTRLRRSEQQQAEAAAATGASSIDRAPGKSASFRIPRSRLWDGEELAPVGPRRAATRDSNARGFKSRFVHGFKNLFGRRQGAAGAPSACGEDASTRCFGDKGAAVAAPELESDDDEVVCMEERWRPHRRNHSLV >Sspon.05G0007960-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:26232813:26235307:-1 gene:Sspon.05G0007960-1P transcript:Sspon.05G0007960-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSTRSVAFVILIGVAARAVFAVTDGLLPNGHFEQGPAKSELNGTRVLGRYSIPHWEISGFVEYIESGQKQDDMLLQ >Sspon.04G0009460-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:23776016:23788053:1 gene:Sspon.04G0009460-2B transcript:Sspon.04G0009460-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTFFGVLVSDPALQSQFTQVQLRTLKTKFAAAKRPNAEHVTIKDLPPVMEKLRGIHEVLSEEEVSKFLSETYPDMNQPIEFEPFLKEYLNLQAKGSSKSGGKKKLKGSVSFLKASTTTLLHVINESEKTSYVNHINNFLKEDPFLKNFLPVDPASNELFNLVRDGLINVAVPGTIDERAINTKKDLNPWERNENHTLCLNSAKAIGCTVVNIGTQDLIEARPHLVLGLLCQIIKIQLLADLDIKKTPQLAELVADDNGKVWIIMNLNVMHEAEELVTLAPDKMLLKWMNFHLKKAGYKKTVTNFSTDVKDGEAYAYLLSTLAPELSSKTMIETSDPKERAQKVLETAEKLDCTRYVTSKDIVEGSANLNLAFVAQIFQNRNGLSTNTVAPVHQDTPDDVRGIQGRESISPMDQQWVMLEVDKISPGSVNWKHASKPPIIMPFRKVENCNQVIKIGKEMNFSLVNVAGNDIVQGNKKLILAFLWQLMRTSILQLLKNLRSHSKEKEITDADILIWANNKVKESGKTSHIESFKDKTIADGVFFLELLSAVQSRVVDWNMVKKGEDGSYQFHVYISCYPVKFHKYHVICFHGCRGREEDECNYIISVARKLGCTVFLLPEDIMEVNPKMILTLTASIMYWSLQKQGPYQTLQSQFTQVQLRTLKTKFAAAKRPNAEHVTIKDLPPVMEKLRGIHEVLSEEEVSKFLSETYPDMNQPIEFEPFLKEYLNLQAKGSSKSGGKKKLKGSVSFLKASTTTLLHVINESEKTSYVNHINNFLKEDPFLKNFLPVDPHRTSYSILFGTLINVAVPGTIDERAINTKKDLNPWERNENHTLCLNSAKAIGCTVVNIGTQDLIEARPHLVLGLLCQIIKIQLLADLDIKKTPQLAELVADDNGKEAEELVTLAPDKMLLKWMNFHLKKAGYKKTVTNFSTDVKDGEAYAYLLSTLAPELSSKTMIETSDPKERAQKVLETAEKLDCTRYVTSKDIVEGSANLNLAFVAQIFQNRNGLSTNTVAPVHQDTPDDVEASREERAFRLWINSLGIPTYVNHLFEDVRTGWVMLEVLDKISPGSVNWKHASKPPIIMPFRKVENCNQVIKIGKEMNFSLVNVAGNDIVQGNKKLILVAKELEIHSKEKEITDADILIWANNKVKESGKTSHIESFKDKTIADGVFFLELLSAVQSRVVDWNMVKKGEDEDEKKMNATYIISVARKLGCTVFLLPEDIMEVNPKMILTLTASIMYWSLQKQGPYQSPGPQDTLPEEEECEEEEEEEEEEEDFEGGVEDGVSETTT >Sspon.02G0019720-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:63786350:63792682:-1 gene:Sspon.02G0019720-1A transcript:Sspon.02G0019720-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEMSWVGKKIHLYNVTMGLYMLDWWERCLFSILPLRLHPIQVDILVLILLWFICFNGSRFATDVFESTVFFMVEI >Sspon.02G0043850-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:97258942:97261443:-1 gene:Sspon.02G0043850-1B transcript:Sspon.02G0043850-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWKMLPAASELFPALVRAGTTTPRPRPPRAARNSPIVHRHAPPAARLAGGAGTTTTLVVDVDGALLLSRSLFPYFMLVALEAGGFLRALVLLLIYPAIRCCRLGGGGDLAVHTMAAVAFCGLRARTFRAGRAVLPRWLLEDVAAEALDAARRVGDDDPARVVWASAMPRVMVEPFLREYLQVPAAAVVAAREMKTAWGFYTGLMEGDENCEAMMALVPKTTTTMASAWEAGDVEVYVVSPEEQSKWRRLARPDYPTPLVFHDGRLAFLPTPLNTVAMFTWLPLGAALSVLRLAVALALPYRVTTALHAATGQSWRLRGSLPNPVGDGAAALYACNHRTLIDPVYVSVALDRPVRAVSYSLSRVSDLLSPIGATVRLARDRARDGAAMARLLARGDSVVVCPEGTTCREPYLLRFSPLFAELGGDAGVVPVALAVDTSMFYGNTASGWKAVDPFYYLSNPRMCYTVQFLDRVDTADVVSGGKAASADVANRVQRLIAEALGYECTMLTRKDKYLMLVGNDGVVAAPRRAANKKAKHPM >Sspon.07G0007220-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:9121605:9127105:1 gene:Sspon.07G0007220-2C transcript:Sspon.07G0007220-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGKRKINSPTQPGFKQNTDASPSKGQILKAVADAGGLPSPDSKQSKIGAGGPNKRSRKESNVSKKGVLSQDAGRATELKRLPTPINLFEDECVFCHSFRTTEVIQAKGHLLCPEHVSKTLPCDKLRTHRKENYSSSLRQSHCSCKEEPFTHFEEEGQQSHLHNTTSSSSPVGKCADMEGNLYVRHMETQQTDQLNTSNTSSFPHSQPSHKEGISPNSSRGGKQMDQLNSMSSCLPGSLYTKKEENFDNHQRKNQQTDQLNISNPFYLSRSQHSQKEGISTNNSRDGQIDQLDTSSSSSLPLGQHSYDKATSKNRERDNQQTHECNTSNLSSPQSCHPAKGISIAFQGEETTADQPDTSSCPSDQLVLFGLSLSVSEKDFLQNFACSTNATLMEEWSKDVTHVIVAKGAGSSCSRSFEVLMAIGLGRWVVHFESAEPNHHRSHELEASKISREVLSDADAFDLALSGVAGCLGTTLPVPEAAYEVAFSLDSVRTTDGPKKGRMRAAEAAPNLFSGLCFCLSDYMNPDNKDRMRDLIATAEGRVLERRDLHLQLKNPDDSSVKPYFVYDVDAPAEFSSSTLHEEMEEVREQAAAGLQVICHLKVLDAIAAYDAEILNMKDSFTS >Sspon.01G0037110-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:15213077:15215871:-1 gene:Sspon.01G0037110-1T transcript:Sspon.01G0037110-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIATRVCFVVLLLICTFLREGRAATFTFVNRCTGTVWPGIQSNAGSSRLDSTGFVLPPGTSRAVQAPSGWSGRAWARTGCVQDATGKVVCATGDCGSGSLECNGQNAATPATLAEFTLAGGGGDDFYDVSLVDGYNLPILVEPAGGATGATTCAAAGCTADLNERCPAELRTDGGAGCRSACDAFGKPEYCCSGAYANPNTCRPTAYSQLFKSACPKSYSYAYDDPTSTFTCAGGRDYTITFCPVATPSLKSSSGPGATTAAPTGPTPTLPGATPQMPRSAGGQDGQGVMLGDNSWLASLATGDASSAPSLPPALRASPLAPLLLGGLLLVVL >Sspon.02G0003190-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:9366301:9370821:-1 gene:Sspon.02G0003190-2B transcript:Sspon.02G0003190-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSEPQPANKRPHVLLIPYPAQGHVNPFLKLAKALHARGFHVTFVHTEYNHGRLLRARGAEAFDAGAEGFRFETIPDGLPPSDLDATQDIWALCEATRRTGPAAVRGLVERLNRTDGVPPVSCVVADGAMGYVVHVAKEMGLPAYLFFTHSGCGFVAYLNFDQLMCFTNGYLDTPVDWISGMLPGARLRDFPTFIRTTDPNDTMLTIIIKQCELDSPAADGILLNTFDDLERRSLDAIRARLPNTFTVGPFGPVVSPPSYLPSLTSSLWKDDDRCAAWLDGHADEEGSVVYVNFGSITVVTGEQMDEFTWGLAAAGCPFLWVVRPDTVRDAGGWALPEGFAEAVAGRGLTVGWCDQEAVLEHRATGGFLSHCGWNSTLESLRAGVPLLCWPFFSEQVTNCRYACDVWGVGLEMPREAGRREVEAAVRELMGTQGRGAAARRRAAEWKEKARAAVAPGGSSRVNLDKFIQQIARAKC >Sspon.04G0029270-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:77435992:77438306:-1 gene:Sspon.04G0029270-1P transcript:Sspon.04G0029270-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGSSIVTVVPESDCGDDDGLSEALGGIRLDVDSASKPWSTSLANVALSSLSGLNDLLECPVCTNSMRPPILQCPNGHTICSSCKHRVENHCPTCRQELGNIRCLALEKVAEQLQLPCKYQSMGCTEIHPYKNKLKHEELCRFRPYNCPYAGSECLITGDVPFLVSHLINDHKVDLHEGCTFNHRYVKPNPYEVENATWMLTVFKCFGQHFCLHFEAFLLGMTPVYMAFLRFMGEESEAQGFGYSLEVGGGGRKLTWQGTPRSIRDSHRKVRDSFDGLIIHRNMALFFSGGGRQELKLRVTGRIWREQGQ >Sspon.06G0012550-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:54436684:54437505:-1 gene:Sspon.06G0012550-3C transcript:Sspon.06G0012550-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMAAADSSKPARAAVLPARPLLLALPFLSLLLLLLYVYSTSSRPVLSTTVASTTTVPLTPSPPSPHIRMRRSRYASYDDYLRHQLNKTLDPRLRRVWATRDWQRKVDAFARLFAGLHAEGLLSNTSRALCVGARLGQEVAALRQVGVRDALGIDLAPAPPLVARGDFHAQPFDNDTFDFEFSNVFDHALYPDRFAAEVERTLRPGGVAVLHVAVHRRGDKYSANDLLDVRGLVGLFPRCDVVRVSKVDAFGLDTEVILRKKRPSSRRRRRL >Sspon.01G0014750-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:42351662:42354835:1 gene:Sspon.01G0014750-2C transcript:Sspon.01G0014750-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLATALTATMATRTTAPPPTPHAPRSLVPRIRPRRGLTAVRAASEGTTDGWTPGSWRARPARQIPEYPDTAALEATERTLAEFPPLVFAGEVRKLEERLGEATMGRAFLLQGGDCAESFREFNAKNIRDTFRLILQMAVVLTFGGQMPTIKVGRMGGQFAKPRSNPTETRDGVTLPSYRGDIINDQAFDEESRVPDPERLIRAYTQSASTLNLLRAFAHGGFADLQRVTQWNLDFLRHSTQGDRYLELSQRVHDAIGFMVAAGLTPQHPIMTTAELWTSHECLHLPYEQALTRKDSITGLYYDCSAHMLWVGERTRQLDGAHVEFLRGISNPLGIKVSDKLDPSELVKLCETLNPHNKPGRLTIITRMGAENMRVKLPRMIRAVRQAGMIVTWVSDPMHGNTISAPCGLKTRSFDAIRELHYTLYLILVGTTAELRAFFDVHGQEGSHPGGIHLEMTGQNVTECIGGSKAVTFDDLSARYHTHCDPRLNASQSLELAFAIADRLRNKRDKAWHNLTSRVIA >Sspon.05G0013200-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:31424232:31425698:1 gene:Sspon.05G0013200-1P transcript:Sspon.05G0013200-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSATLRLAIAVAVAVSHSLAATAPGPCDARETPPASRTVRAGFYLAADARLRPLDRLDASLYTHLYYSALAVHPTTHKLVLPTDPAQAGLLATFSPTLKSKNRALETLLSVGTAGIAADRPAFAAMAADPASRAAFVAAAVALAQDSSFDGLDVAWRFPASAVEMANFGFLVSEWRAAAPPGFLLTATVYFSNHVFDAPLPGVDYPSEAVARCLDWVNVVAFGLHPLAAGATNATAFDAPLYDRASHFSASYGVVSWIDAGVPASKVVMGLPLYARSWFLRNKANSGIGAPVVAAGPKQHGSNATGVMSYAEVQKIAAAGGGGRRAVTTTFDNASVASYLSMGDVWVAFDGAAVVAEKLAFAARRGLLGYFLWPVNYDDANLTVSRSALDVWMKNEISSNSKNDTGVRQTQGPVRLPPALQSPAGTPGPGPAPTSGSRSWLPWTKLDAFLHFVVLILVCF >Sspon.05G0008170-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:16386672:16389011:1 gene:Sspon.05G0008170-2C transcript:Sspon.05G0008170-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQVRASPTFLPATTSSSSSSSPPSSSQVPTPFAFSGKSQRRGGLVAVPSANRVARRSVVMAAAVAAKLEDADALIDSVETFIFDCDGVIWKGDKLIDGVPETLDLLRSKGKRLVFVTNNSTKSRKQYGKKFETLGMSIDEEEIFASSFAAAAYLQSIDFPKDKKVYVIGEEGILKELELAGFQYLGGPTDGDKKIELKPGFYMEHDEDVGAVVVGFDRYFNYYKVQYGTLCIRENPGCLFIATNRDAVSHLTDAQEWAGGGSMVGAILGSTKQEPLVVGKPSTFMMDYLAKKFRITTSQICMVGDRLDTDILFGQNGGCKTLLVLSGVTSLQMLQSPDNSIQPDFYTNQLSDFLTLRAATV >Sspon.05G0016790-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:69188604:69192296:1 gene:Sspon.05G0016790-2B transcript:Sspon.05G0016790-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTTGRLPKRVRVTAGPRALDLRAFPAAGEKPPRGAFRDCVRAFLARSAVPADGAWRVAFRVGDGAVVAMEVVEEDVARAGAGRIYCEHCTVAGWSRHPVCGRRYHFIIRNEYDVHEYKTCKHCGHMAQLLEMRCPSCKHGISYDDPEDWDYRQLDNPRHLLHGIVHDNGFGHLVRINGREGGSSLLSGIQLMGFWDRLCKYLRVRKVSLMDVSKKYGTDYRILHAITTGYSWYGQWGFKLNKGSFGITSEEYLKAIDNLSSTPLSHFFPHSRYPRNKLQDTISFYQSLSKQPLTTIRELFLYVLGLATSKSMNMHYGSMHKEHSHTHVQETWSDEEMKRATEIAIKVLRAVEKTRWVAMRTLKAAMYHPIGSPQLVDYCLKTLEAKTIDGMTVAVRCNSETNTLEYRLTDEPIFQSNVSTPTLDHLRRDIKFLHDALLYPHTMHPYKPENCYEHAKRSAMILLDCKQFTKHYDLEQEFLPQNPSMLHMWCQVELLDQVGDPPCIPPELLTLPQTATVADLKVEVTRTFRDIYLMLQSFVANQLVDCGTASESTQLKLLFGANGTVRVQGRCAGGERRVGIYRMERGVDKWTVNCSCGAKDDDGERMLSCDSCHVWQHTRCAGISDFDQVPKRYLIQHQDKLLSALDPQQVN >Sspon.08G0009180-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:53201365:53202862:1 gene:Sspon.08G0009180-2C transcript:Sspon.08G0009180-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPLLLCVVLLCSYCLIALGGNERGFVVVPTSTSSSSAANPAACSPAPQVTSDPNRASMPLAHRHGPCAPASAAATNRPSRAEMLRRDRARRNHILRKASGRRITLSDVGVSIPTSLGSAVDSLQYVVTLGFGTPAVSQVLLIDTGSDLSWVQCQPCNSSTCYPQKDPLFDPSKSSTYAPIPCDSKACRDLDRDTYGNGCTNSSGTSLCQYGIEYGNGDITVGVYSTETLTLSPQVSVKNFSFGCGLVQRGTFDLFDGLLGLGGAPESLVSQTAGTYGGAFSYCLPAGNTTTGFLALGAPSNNTAGFLFTPLHSVPEEPTFYLVSLTGISVGGKQLDIPPTVFSGGMIIDSGTVVTGIPETAYSALRTAFRSAMSAYPLLPPNNDEDLDTCYNFTGSSNVTVPTVALTYDGGVTIDLDVPSGILLDGCLAFVPAGSDGDTGIIGNVNQRTFEVLYDSGRGHVGFRPGAC >Sspon.02G0024630-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:84965732:84967647:1 gene:Sspon.02G0024630-1A transcript:Sspon.02G0024630-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSQQPETTAPAAAAGGTVNTSTIKNARHRTSTLKQCYHLAISNALYLLLAPAVAYAFHRLSHHTPSDLAAAAWSAFAANPPFTISLLVLSAVLATAYLMRRPRAVYLLDFACYKPGAEHVVTRERFVRQSEAAGVFTGDNLAFQRKILERSGLGQGTFFPSAVLNLPPNPCMAEARAEAEAVMFGAIDQVLAKTGVRARDIGVVVVNCSLFNPTPSLSAMIVNHYKLRGNVASYNLGGMGCSAGLISIDLAKQLLQVHRNTYALVVSMENITLNWYRGNNRSMLMSNCLFRMGGAAVLLTNRASDRRLAKYHLLHTVRTHHGADDRAYRCVFQSEDETGRVGVALSKDLMAVAGEALKTNITTLGPLALPMSEQILFLASLVARREFGVRGLRPYIPDFKMAFEHLCIHAGGRAVLDTIEKNLELSPWHMEPSRMTLYRWGNTSSSSLWYGLAYTEAQGRVRRGHRAWQIAFGSGFKCNSAVWRALRTIDPVKESAVGNPWVDEIHRFPVEVPKVESVVVS >Sspon.04G0011290-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4B:30136011:30137102:1 gene:Sspon.04G0011290-2B transcript:Sspon.04G0011290-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGAGEGDAWADQEQGNGGGSRGVGGGEAKRSEIYTYEAAWHIYAMNWSVRRDKKYRLAIASLLEQVTNRVEVVQLDEASGDIAPVLTFDHQYPPTKTMFMPDPHALRPDLLATSADHLRIWRIPSPDDAEDGAATANNNNGSVRCNGTQQPGIELRCELNGNRNSDFCGPLTSFDWNDADPRRIGTSSIDTTCTIWDVEREAVDTQLIAHDKEVYDIAWGGAGVFASVSADGSVRVFDLRDKEHSTIIYESGSGGSGGGGGSNSGAGDGGGASPTPLVRLGWNKQDPRYMATIIMDSPKVVVLDIRYPTLPVVELHRHHAPVNAIAWAPHSSCHICTAGDDMQALIWDLSSMGTGSNGSGNGN >Sspon.01G0025100-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:88954835:88957428:1 gene:Sspon.01G0025100-2C transcript:Sspon.01G0025100-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHRHLRFVRPRGGTRHPPTSLAPRARLSRQGPVLLVLGRLLVSLSASTCAGVVATCGCHVARAVNGKGNGAGAVQAADRIGDRDQPNRLRKISSEQGRANMAGKFGAIRSRAVACLFSPHPRNLFLHSTQTPSRFLPFTCRLHPRSLSTLAMAASNGAAAAATDSVQEPPQKISKISPLLKVKKLSDKAVLPSRGSALAAGYDLSRYALHRRPTKCPRNPQGRADLLTAVEIVVPARGKALVPTDLSVAIPHGTYARIGERHNLPFVSRFLFGSPRSGLALKHSIDVGAGVIDADYRGPVGVILFNHSDADFAVKPGDRIAQMIIEVIATPEVAEVEDLDATVRGEGGTLMAPSLRRNEEGGIRYLD >Sspon.05G0002700-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:2371550:2372667:1 gene:Sspon.05G0002700-2C transcript:Sspon.05G0002700-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAREEELKRIDLKVNVSCCDGCRRKVMKAMSLKGVLRTEIQPSHDRVTVVGDVDVKVLVKKLAKVGKIAEALPASEQGKKQRDEGGRKDGSDRAAPAQAEEKCKGKEDGMGDGDKAAAKVAPSKHEGCKKCAREAAARAIPEGDNGDHGNVKKAPSSKDDAAGGWSGEEGGDADGLFGAKPLAVAPDHHHAALQPQAQVQQHYHRAEPAMVVPVHVPAYYPPPTAAAAVPYYGYYGMPPPPPPMMMALAPPAQRHPQVRPQPSRFDEDYFNDDNTVGCSVM >Sspon.04G0001480-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:5971994:5972900:-1 gene:Sspon.04G0001480-3C transcript:Sspon.04G0001480-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHFRPHEPNVPTPYRASRFLPLYNPRRPPLRPFTILGFSRRRRCRCCIFVSAATMGMAYLPDQEEAGEEDAPEPPHPLLDPHAHRQHHQVTPVTPLTPARLIWIRPSLTPPLHSALAPHQARLLSVGIGRLLRWKARL >Sspon.08G0019440-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8B:12125646:12126554:-1 gene:Sspon.08G0019440-1B transcript:Sspon.08G0019440-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLVGKEELQLLSLEWSPKCYMIDNVALENLQPHQNIRRLCINNYPIDAGFPRWMLSLPNLVCMELFNVKTGHLHLDHLQNLEDLHVSSLSSLDGTGLNLWDTIIKRYDRSSLHISSMQQLKNLRRVTLARVGKLVWETSISPYIEQDDDDKKRRANSNSWESSCQPSENIFPGLEYLEIDCCLDVRFQPSIPRSARYIISGSKQYPFLFNWPSFEQVMGPPTSASSSKMEIKHSEKISSGPLDSLGQLDIEELTVDSCIDRIPLPKCIRGWKSLQKIEILNCEDIKKLPEWLGDMASLRELK >Sspon.03G0008940-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:24335975:24351204:-1 gene:Sspon.03G0008940-1A transcript:Sspon.03G0008940-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Voltage-gated Ca2+ channel protein, Elicitor-induced defense reponses, Hypersensitive cell death, Activation of MAPK cascad [Source: Projected from Oryza sativa (Os01g0678500)] MTEVEAPLITEVEGEEGRGFRGSASAGGSRSGLGNGVRGARQYHRRLDALAYGDRYQKAAALVDLAEDGVGIPEDVLNDTRFERAMRFYFVYLRLDWLWSLNLFALILLNFLEKPLWCQKYASHTCDQRDLYFLGQLPYLSKTESLIYEALTLVILVLDIFYPLSYEGLNIFWKNSMNKLKVLLLFILACDILVFMLSPGPFRIAPYVRVVFLIMTIRELRMCAVTLVGIVGTYLNVLALSLLFLLFASWLAYVTFEDTPQGKTIFTSYGTTLYQMFVLFTTSNNPDVWVPAYKSSRWNALFIVIYVLLGVYFLTNLILAVIYDSFKEQLAKQLAQMDSIRKSILQKAFDLIDTNGQGYLNKEQSLPKTSREDFELIFAELDRSGDFKVTSEEFADLCNTIAIKFQKEPPPSYLEKYPSFYHSPQCERLKSFVRSRLFEYIVVFVLLVNLIAVIIETTLDIENSSSQKVWQEVEFVFGWIYVVEMALKIFSLGFGAYWMEGQNKFDFDSISSPWKDVAFNKNFVASSAFQSICCDILYPHFFGGIVYAGNLKLEETDLFGNDYLLFNFNDYPSGMVTLFNLLVMGNWQIWMESYAHLTGSSWSLIVAFVLEAFFAEMELEKAGEADTQDSTPDGRNKRRSMRVRTKGTMVDILLHHMLSNELDGSQNSD >Sspon.05G0010710-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:32806811:32807338:-1 gene:Sspon.05G0010710-4D transcript:Sspon.05G0010710-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADRLLASCIPGGMEAAEREDGEGLELSLSLHPSPSSPPRFQAVFACCYCPRKFRSSQALGGHQNAHKLQRNLARRGREAASTPPAPLPPPVADQQGSSRASGGSESAPTPRSRAEPPPGADAWGEGRHHRRHQHHHLYQQRPALAGAAEASSGASSRGGKEDAAEEIIDLSLKL >Sspon.01G0010420-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:31403860:31410891:-1 gene:Sspon.01G0010420-4D transcript:Sspon.01G0010420-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKAATLYGSKAQSDALRPGPLRPANIIRNKFPTYKNGSNGIVIKLADGPEIPPLKEIVAKETADLLDRRQRLSVRELAMKFEKGLNTATLLSNEVKWRQVALLERDILLKNLKSVLESLRGRVTGKTKDEIEESISMVEILAVQLSKREAELLQQKEEVTKLAKSLKQASEDAKRIVEEERANAHTEIETAKSAVQRVQQAVQEHEKMSQNTGKQDMEELKKEVREARRIKMLHQPSKAMDLENEIQILRNTFAEKSKDCVNLLKELEMHKRLKENGTIPSFDLEGLQCLGSMLRIVGLSGTHMDLSNISIQWFRIHPKESNKEIISGATRPVYALEPHDVGRYLQAEIDVGGEIAVAKTAGPVDPDAGLVDYVETLVRKPETEFNVVVLQLNGVDQPKESVHVLNVGRLRMRLTKGKSVVAKEFYSSSMQLCGVRGGGEAASQAMFWRPRNDLSLVLAFESTRERNTAIMLARRFAIDCNIILAGPGDKTPW >Sspon.05G0038670-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:52529012:52530800:-1 gene:Sspon.05G0038670-1D transcript:Sspon.05G0038670-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHRHVAAMMNVVAIGLVLSTLAAAGVWSPAPPPPPAAPRHGDHVVREGRRVAIVEYERELPLSTEDSGSVKVKETRVLPPDALDGVEGDGGVFDEARGVVSDAAGKVAGAAEEGKERLADAKESAKSGVLGAVKRCKDRLCGAGRKVEEGAKEAASRVEHGAEDAARGAKEAVWDAKDGAENMAFDAAQKGKETVKSAKDKASEAVRSAKDKASDAAQQGKETVKNAKDKVSEAAGKAKEKASDIQHGTAEATKAAKERVSEAARHTKDTVRSARDSEEVKAKAAEVGKNLTDIARRARDVASDAAAYLLGAPGREAALTATAVLHLLGFATAYGACVWVTFVSSHVLAAALPRQQLGMLQSKLFPVYFRAMAYGVGLALAAHLLGRERRSVASRAQSFNLLAALGLVLANMLLLEPKATKVMFERVKVEKEEGRGRDMVDIVDPPVVTVATHTAATTTTVPTAAAAARTGTAPVDGAGTGGKQAKPATATGDAEESRAVRLSRRLKQLNGYSSLCNVLSLMSLTWHLVHLARRLHAGTAC >Sspon.07G0030820-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:13730145:13742686:-1 gene:Sspon.07G0030820-1C transcript:Sspon.07G0030820-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVRATVSRFPATPEALESCAVQWGVAVTPFAAADERGQPPTTGAGGDRVPRCEHCWAYLSSHCDLERWGWTCALCGTLNGFDDETERRFQRPGACPELNSSFVDLEIPVDEAEGGGDGVQALPVYVAAVDLACSEEFLELIKSALLAALEALIPGSLFGLMTFSHKIGLYDVQGPIPLVKNVFIPPDLEEDGLPVALEDAMPLHSFLAPVDTCKDQISAALETLRPTSSWERGAASGQEEDTVLLGGRGFGTAMSALIEYLSSEYGSTFALARVFAFLSGAPDYGAGLLDTRRYGEQYASKGVDADLALLPEQIPFYRDLAAVAVQSGVCIDIFAVTDEYTDLASLKFLSIESGGSLFLYANADDSTLPQDIYRLLSRPYAFGCVLRLRTSSDFEPGNSYGHFFPDPQYENVQHIICCDSFATYAYDFEFAHNNGFSSVIEPVKETSGNGSQSPASYKFCLKRRLRIRTLQYRPASNISEIYDSVDPEVVLHILVHKVILESLDKGVREGRHQVHAWLSLLAARYNQALSSDVRTPLSSIDIDFSQCPQLQTIPQLVFALLRSPLLRLHEEGVHPDYRIYLQCLFSALGPSSLAKAIYPVLVSYSSPDKQAFPRHTLSRAALIMSESPIFLLDTFTNLIVYYSSTADPSIPFPPPRDCLLRTTINRLKQDRCITPKLTFIHGGNDDSTLFESYLIEEQDVDGSGFTTGSGFVAFRESVRNVAGEIIQEEIGSYERADSTARRPRSNSAPFAPAKGADSAGIDATGGT >Sspon.02G0050280-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:54734563:54737654:1 gene:Sspon.02G0050280-1C transcript:Sspon.02G0050280-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPQVFHYENANTTGQAVLKEMRKHGVTEKKLQLLRDVSGAFRPGVLTALMGITGAGKTTLLDVLAGRKTGGYIEGIINIAGYQKKQETFSKISGLSAEQRKRLTIAVELVASPSIIFMDEPTTGLDARAAAIVMRTVRRTVNTGRTVVCTIHQPSIEIFESFDEIFQYKKLTSFLLHNSKEEQDVFNILGIAYASALFLGLVNCSTLQPIVAMEKVVFYREKASDMYSSMAYVITQIGVEIPYMLIQVLIFSAIVYPMVGFQLTVTKFFWFVLYMILSFTDYTLYGMMAVALTPNIEIASGLSFLIFMIWNVFSGFIVTRKMMPSWWRWMYWADPAAWTVYGLMFSQLGDCTDLIHVPGQPDQPVRLFLEEYLGLQGDYFLLVTVLHVALSMLFGIVFCISIKYLKFHRR >Sspon.06G0004730-1T-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6B:12150789:12151079:1 gene:Sspon.06G0004730-1T transcript:Sspon.06G0004730-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVAPMVGMSLGSGGGPSGSGGSGSGKKRFRTKFTQEQKDRMLAFAERLGWRIQKHDEAAVQQFCEEVCVKRHVLKVWMHNNKHTLGKKPSFPGAGA >Sspon.05G0026780-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:36923441:36925421:-1 gene:Sspon.05G0026780-3D transcript:Sspon.05G0026780-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DIGEREGKYYAINIPLKDGIDDASFTRLFKTVNSLHCIISYYFVIFLSPVMLSEFIMQIIAKVVETYLPGAIVLQCGADSLARDRLGCFNLSIEGHAECVKFVKKFNIPLLVTGGGGYTKENVARCWAVETGVLLDTELPNEIPNNEYIEYFAPDYTLKVPNLNMDNLNSKTYLSSIKVQVMESLRSIQHAPGVQMQEVPPDFYIPDFDKDELDPDERADQHTQDKQIHRDDEYYEGDNDNDHDDGTR >Sspon.08G0015430-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:105044350:105057095:1 gene:Sspon.08G0015430-2C transcript:Sspon.08G0015430-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRASPYSYAVFERLLREHFPFPRCVFQVHALLLTSGVLLLDLDPPCSSAAFPYNCLAHAHLRVPAASSSLPPSAPLRLFSAMLARGARPNRHTFPTYGRTGHPARDARKVFDEMEAPADLASCNALLDALCHAGDLDAAGDFFERMAARDAVSWTTLVSGLSRGGRHRCALEVFRGFLLGNMGRRLQEATLVCVFTACANLDGGEGLATGTAVHAYLVRHEIELTAFLGTALVDMYGKHGRLGCCSSAFEVVCKKEVSTWNALLLALANHGKETEALVKFDVMRGEGFLPNQITFLALLMACARAGLVEVGLYWFEAMVAEYKVAPLMVHYGCVVDLLGRAGRFGEAIEVIERMPFAADASVWGALLGACKLHGNVELAVEIGQKLMSLGPQQSGRYMTIRNVYLEDGNWHAGARMGEVMLEAGIKKAVGQSSVVLVEKRHQDVGLITVVMLTQLGGGIQLPLSSGLDHSGGKNQATRRRDTDVMRPECAHVEIVS >Sspon.01G0004530-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:10637288:10640332:1 gene:Sspon.01G0004530-4D transcript:Sspon.01G0004530-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAGASCLTSSSYVASALSRSLVLGLRSYAYAVVEALQASTVMAAQQQEQARSTRQAVPASSRVAIIGGGISGLAAAKQLAAHDPVVFEATASIGGVWKHCVYRSTRLQTPRPDYEFSDYSWRNRDDPTFPTHAEIIEYLEGYADTFGLWRYIMLGAKVVDIKFLGGGGGFTELWSGTGEPLQGKPMWEVGVATAGSDTVQYYQFEFVVMCAGKYGDVPRMPVFPPGKGPEVFRGQVMHSLDYCKLSEEETVEQMRGKKVVVVGYKKSAIDLALECAQANQGAEGGEACTMLVRTLHWVVPSYSIWGLPFFLFYSTRLAQFLYERPNQGLLRSILCTLMKPLFIESYLAWKLPLDKYGLRPDHPFVEDYASCQMAILPDGFFDMADRDMIRFKRASGGWCFSRNGVLLDDGTEVEADLVFLATGFEGKDKVRAVLPDPFRGLVVDKSGMMPLYRGTIHPLIPNMAFVGYVESVSNLHTSELRCRWLAGLLGGRFALPSVQDMVRHVDGEAEAMRRTTRFYRRHCISTYSIHDSDAMCADLGSRVLRKGNWLAELFAPYNNQDYKED >Sspon.01G0020030-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:74476895:74477386:1 gene:Sspon.01G0020030-1A transcript:Sspon.01G0020030-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding NGERYACRRLGRACSGAGFVRRRAASPRPGPVRRGLVRPAGPQGPRLLVPGRVGPRYARGDDGERVPGGRGRRRPVGVRRQVPQRQRPDRGAVHGVVRGREEVPQEDPHHERAERAHRGGNGRGRVRLPPRLQEQHRRHVQGRLEEARAQHRHRRGPSHLVRRM >Sspon.08G0008900-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:33809628:33811257:1 gene:Sspon.08G0008900-4D transcript:Sspon.08G0008900-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSICSKLLALLRKSRALTTTSTAAAAAATASATASANGMEEAVAAAGPLRTRVCIIGSGPAAHTAAVYAARAELKPVLFEGWLANDIAAGGQLTTTTDVENFPGFPDGILGAELMDRCRAQSLRFGTKILTETVTSVDFSARPFRVASDDTVVHADSVVVATGAVARRLHFAGSDAFWNRGISACAVCDGAAPIFRNKPIAVVGGGDSAMEEANFLTKYGSQVYIIHRRNAFRASKIMQARALSNPKIKVVWDSEVVEAYGGAEGGPLAGVKVKNVVTGEVSDLQVAGLFFAIGHEPATKFLGGQLELDTDGYVVTKPGSTHTSVKGVFAAGDVQDKKYRQAITAAGSGPIQKRSGTGGVFMQH >Sspon.03G0004420-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:11358066:11361674:-1 gene:Sspon.03G0004420-1A transcript:Sspon.03G0004420-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AAKIYLLLAPTRIASRRRRTLFSAPKKEKAPPPSSKPAKSGGGKQKKKKWSKGKQKEKVNNAVLFDQATYDKLLSEVPKYKQITPSVLSERLRINGSLARRAIKDLMARGLIRMDLVFVILLNIRDLDLEESICIGDEG >Sspon.05G0024550-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:23482761:23485685:-1 gene:Sspon.05G0024550-3D transcript:Sspon.05G0024550-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSQAPLRPLAFACFIFLCSSFWAANGMYARVGAAAAAEGESRRMRLHTDGSRGDAHAWPGYLYTRAVGRCTPQFWSSGAEPWPNIVPQEAAVAKVFGSRSMERYGPRLTLLEATMRTDDIGGSAFAKLVKQGSAALLNAYTRSGFPFDSWEVKALLLEALVSEEAAVVQAERFEQANESCV >Sspon.08G0022060-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:45455055:45470865:1 gene:Sspon.08G0022060-1B transcript:Sspon.08G0022060-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:minichromosome maintenance 9 [Source:Projected from Arabidopsis thaliana (AT2G14050) TAIR;Acc:AT2G14050] MFSSEEDESAAAQKLADFLFDSYADDIHRILLDDDPSKLHFPLVIECVLPISSSRSRSPSPSPSPNPNPISTRRFAELMEFDPKFADKLYLDPHKYLPFLKDAAQWAQDKVLKKLGNSKTTETKKSVHVRIDVTGSPLESPEASPSIGKVRVKHMRKLIALKGTVIRSGGVKMIEYERCYMCRKCKYSFEVYPELEAGNRINLPPSCPSKDSRGCKSASFQFVEGSTMCRDYQEIKIQENVQLLGVGSIPRSMPVILMDDLVDNIKAGDDVIITGILSAKWSPDIKDVRSNLDCMLLANYVRRTNELKADIDIPLETEDEFKRFWEKYKVTRTPLKVALTLIGGVQHVDASGTKVRGESHMLLVGDPGTGKSQFLKFAAKLSNRSVITTGLGSTSAGLTVTAVKDGGEWMLEAGALVLADGGLCCIDEFDSMREHDRTTIHEAMEQQTISIAKAGLVTTLSTRTTVFGATNPKGQYDRDESLSVNTTLSGPLLSRFDIVLVLLDKQNADWDEIVSSHILENFHEKKDKADASDQWTLPKLRRRQFKPVLTKEAERVISSYYQLQRKSGTHNAARTTVRMLETHARLMFRNEVEQLDVIAAILCMESSMTTSPIVDIVGNALHSNFTDDPDKECILTCDFVAYLFKNIEDLSHFINKTQEKKILKKLGLTQ >Sspon.03G0023790-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:72689881:72691265:-1 gene:Sspon.03G0023790-1A transcript:Sspon.03G0023790-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRGSLLAVAVAAALLVAVVAAAMPPTCERIECPAYEVVDSANGFEIRRYTDAMWITTAPIEDISFVAATRTGFLQLFNYIQGKNAYNETIEMTAPVLTRVSPSDGPFCASSFAVSFYVPTKNQADPPPAEGLRVDPWAGARYAAVRRFGGFVADDDVGEQAAQLDASLQGTRWAAAVNDARRADPASPYTVAQYNSPFEFSGRVNEIWMLFDDAAAAATSM >Sspon.04G0003190-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:9699959:9702229:-1 gene:Sspon.04G0003190-1A transcript:Sspon.04G0003190-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SMGQVPPPAGGSTDEDVVVAADAIATPAPDAGDPELVQQRKGKRKKKRKRKRPRVPTEQEVAARRSVLRWACPGREAVGDHESGDAGRRRPRVAVELHAHSARSDGSLSPADLVERAHRNQYPIRIIPGVEISAVHSPSIDEMGAEEPVHILAYYGAWGPAKPQELERFLASIRDGRYARANQMLLKLKDLGMPMKLEDVFKIAGNGVAPGRLHVARAMVDAGYVENLRQAFSRYLYDGGPAYARGSEPNGKSVVQLVCRTGGVAVLAHPWALKSPAAVVKDLKAAGLHGIEVYRSDGKVSGLSDLADTYNLLKLGGSDFHGRDDKEEPDVGSVDLPVLAVLNFLEVSKPIWHNAIREMFTRISKRTTDLNGSKRLRRASSDGDFCIPCLSSGDPELTDAPEVEFLRAEVADIVLTHK >Sspon.01G0048600-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:109867326:109870529:-1 gene:Sspon.01G0048600-1B transcript:Sspon.01G0048600-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMTILKRMAVMDKCGDADDCISTTEAAERSSKLSDEKIKNLPVPGEVDFINGGPPCQGFSGMNRFNRSPWSKVQCEMVLAFLSFADYFRPRFFLLENVRNFVSFNKGQTFRLTLASLLEMGYQVRFGILEAGAYGVAQSRKRAFIWAAAPGETLPEWPEPMHVFASPELKINLPDGKYYAAVKSTAAGAPFRSITVRDTVGDLPPVENGASKPTIQVLQVKLSTGQMVDLIPWCLPNTAKRHNQWKGLYGRLDWEGNFPTSVTDPQPMGKVGMCFHPEQDRIVTVRECARSQ >Sspon.03G0041330-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:39476043:39481110:1 gene:Sspon.03G0041330-1C transcript:Sspon.03G0041330-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At1g30610, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G30610) UniProtKB/Swiss-Prot;Acc:Q9SA76] MAAPPNASMGLLSLGGCGALLPTPQPNLCHGRGFLIPERSVSMLPLRWGLARKRGWVLDSRSDSAVAGGEVGAGSSELRHIEKELTFSPTFTDYVKIMESVKLDRSKNLHGADSDDRRSRRRFAGDDRRTNRRSGDARNKPFERNQGPRRDLAKDENQKDVTGFVDKRAMGRVKNSRRRQGEAEDYVQRRIIRGDTRGNGGNGQFSSPVKAKDTRGSMSVHQLVRNRQAQSVAGNDLQGQATYTPSGASALPNSSVSSKNAKVQMGKHGYSRTSSSIDFKYPGETFSNTEVNADSNVQRNQQRVESLGRNFVVRRLGEIDIKKSTVSKNYRSTQATPEHDSHSSDNLESDEPRTVQLHRGANVKIGKFVRRDAEATDFDDRAAFKTLRNRPRILRMEMEERIQKLASRLNATDVNTPEWKFSKMIHDAKIKFSDHSILRIVQMLGRYGNWKRVLQVVEWLQSRERFKSYKSRYIYTTVLDVLGKAKRPLEALNVFYSMQNQLSSYPDMAAYHCIAVTLGQAGLVKELFDVIDCMRSPPRKKFKLSPLQNWDPRLEPDLIVYNAVLNACVQQKQWEGAFWVLQQLKEKNIRPTNSTYGLVMEVMLVCGKYNLVYEFFNKVEKSSIPGALNYKGARYNFNLIIMLSIIDISDLLLSVVLVNALWREGKIDEAVMAVKDMESRGIVGSASLYYDLARCLCSGGRCKEALLQVEKICKVANKPLVVTYTGLIQTCIDNGGMENAKYIFNHMCNYCSPNTVTCNIMLKSYIKHGMLEDAKDLLHDILNCRIRSKADSSQVATADKFTFNTFMEACAAAKRWNDFEYAFREMLLNGYHFDERRHLRMEQLLDDLWRYLCHHSRVPPTPVIMERFCLKLMQGDILAAISCINSFQEGKICNISSMSWLNLLSRNADRLKEEHVTKLAHELNSLDPSPAALLPLLRASLPTKTEECWRRREMQSRRRHEAHRAAQLHGNLGPCRPKPAAERCLLVVSARRTAR >Sspon.06G0007960-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:41149674:41157661:-1 gene:Sspon.06G0007960-1A transcript:Sspon.06G0007960-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPELPEVEVARRALEEHCVGKRIVRCVAADDTKVIDGVAPERLEASLVGRTIVAARRKGKNMWLELDSPPHPTFQFGPSPFPCPQIVSVWFPYLRRVRVRMAGAIYIKGVELIKYKRSAVSPTEEWPSKYSKLFVEMDDGLEFSFTDKRRFAKIRLLDNPEAAPPISELGPDALFEPIKLDEFMKSLGQKKGPIKALLLDQSFISGIGNWMADEVLYQARIHPGQTASKISKDKCEILHQCIKERKECDIIGHPFSLLMHVIGMIISYIIIFVYLTQVIEESLKVGADSNQFPEKWIFHSREKKPGKAFVDGKKIDFITIGGRTSAYVPELQKLDGTDAAASRSKGGKDKENDDDTKSGKGRNAPKPAKGRVKAAKGSSNKATNTSDDGDEEDYEVEEAKPAKRGRKQPARLANTSSKNAGSIHGDEATDEEDARPAKRGKKQIEKTTKRSLKEANHEDSDEAAVVVQRSLFLLHRHMILHQPDHGHFVAYGQIDRLKYLNAV >Sspon.02G0029750-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:108284471:108289199:-1 gene:Sspon.02G0029750-1A transcript:Sspon.02G0029750-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MREPKAGDSNRWGRSRRRASTTTTIASSTGLGSAGQAARTTVRERAARDGRTQQVGTSGMGLTRDSSEAKWAHDVRTGRPRLEKAAALEKASGRCGALLGAVCASLVRLLSRHPTPSVVGDYPTHGRVKGE >Sspon.07G0012510-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7C:51237146:51237493:1 gene:Sspon.07G0012510-2C transcript:Sspon.07G0012510-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDFLVSAATGALSPVLHKLAALLGDEYKRFKGVRGEVKFLIRELEAMHAFLLKKSEEENPDAQEKAWMKEVRELSYDIEDSLDDFKVRVDDDSTKPDGFIDKCKKLLQLDKTKAA >Sspon.01G0046750-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:90927275:90929903:-1 gene:Sspon.01G0046750-2C transcript:Sspon.01G0046750-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:N-terminal acetyltransferase B complex catalytic subunit NAA20 [Source:Projected from Arabidopsis thaliana (AT1G03150) UniProtKB/Swiss-Prot;Acc:Q8LGI8] WVWAHLLHPNLDGHFIPHGLICSIQIPHGPGHLHPSPPKLLGTHPHPTGHRPAAIRREKEELRIRIERRPHPAAPAPNRERRGKKEGSMTTIRRFCCDDLLRFASVNLDHLTETFNMSFYMTYLARWPDYFHAAVNPGGRVMGYIMGKVEGQGESWHGHVTAVSVASEFRRQKLAKKLMNLLEEISDKMDKAYFVDLFVRASNMPAIRMYEKLGYVVYRRVLRYYSGEEDGLDMRKALSQDVEKKSIIPLKRPVTPDELEYD >Sspon.07G0015500-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7A:55528293:55528829:-1 gene:Sspon.07G0015500-1A transcript:Sspon.07G0015500-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AQEMCESLQCWEPTLAQKADNSGRTALHYAASSGKTGVVKLLLVNSLLAYIPDDDGLYPVHYAAMDSYSETIHQIMEICPNCDELVDKKHRSILHCAIKFGRTKVVWYISRNPKFKSIMNVGDSEGNAPLHLAVKHGHVLSFFLLMMDIRVNLGIINHKGLTPVDVASNEDTHKYSLLV >Sspon.08G0027240-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:39911965:39912459:1 gene:Sspon.08G0027240-2D transcript:Sspon.08G0027240-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTETVPAGFDPTTGVTSKDVVIDSDAGLYVRLYLPDMATFTGSPPNDDNNNKLPVLVYFHGGGFVTQSAASPVYQCFLNALAAKAGLFVVSVNYRLEPEHPLPAGYEDSFRALEWAVSAGNGDDPWLSRHGDLRRIFLAGDSAGRNIVHTVAVMAAAALSSEA >Sspon.04G0014450-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4B:56828061:56829223:-1 gene:Sspon.04G0014450-2B transcript:Sspon.04G0014450-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPSASTSSTPSRRRSRPPAPPPSPAPTSSPSPRATPSTWSALSAFPIDYSLSALRRAAPRLNDDVWYAARRPELGSAAGPAGRDVPQQHGRGDGPARPGLRPGRPGGGVRRQGPHLARPRGAVGRAHGGDGAVRELPHPRLLRRQR >Sspon.01G0056900-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:90050660:90054828:1 gene:Sspon.01G0056900-2D transcript:Sspon.01G0056900-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRSPTQHLLLQHTPPPALLVPTILATPPADSPRAAMGYAQLVIGPAGSGKSTYCSSLYDHCQTVGRTIHIVNLDPAAEHFDYPVDMDIRELISLDDVMEEIGLGPNGGQIELFTHVPVLRNFVEHLKRKNFNVCAVYLLDSQVDDYSMVNFIPLDLRKESSIQYVLSYIDTCIQYGEDADVKVRDFEPIEDED >Sspon.07G0027070-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7B:58809156:58812855:-1 gene:Sspon.07G0027070-1B transcript:Sspon.07G0027070-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIEKIFPSNLDVVIYATINSMQMWGELLKEVDKGKMLKVVQRLSDWLNKEKHPDMSSDIMIQCNPQPPPSFSDPVVPPLSLSPSATPTPSHQSLPAGAWPPSRSPKGAAIPTASSPLSFTSLFSVSSTDPRASISAVVGSMPLLLGPRSSLRIRYCWLDVRVAKAAGAAIADSMHNGRGSGCHWHSLDA >Sspon.08G0013260-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:56036996:56038924:-1 gene:Sspon.08G0013260-1A transcript:Sspon.08G0013260-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MINAYRIITHITLQLLTLGITIKEDNIDAAAKEIIGFLEHTRQWSVIYLHGWFAGMGLGASAILKAVVKQLRSPLSEAATRKEAGLDKIIHIDCSLWQNKRSLQKAIAEELRHPREVMAFFDQGDEEDDFDGVEQAVEWAILNELSNCKFLVVFHNGSGNYVDIWECGVPVMGVMSKRVLWASQAGRFTLCDDALEEIEMEKLAGLSDVFISVGPQSIITRNAVINVLLRFLHVEAKEVARYSGVLEPNSTSYWECDGIIEDDNDYGISAWEIGDALHRNMNLDWPMSSMGNLYEILRDKKWRHSERHWVSLTSQDEAVAEVPTSFFTFNNRISIGSMFEKSYMSKLRVLHLSDCIFSYSSPPFLSCKNLRFLLLDHCKDTNLAPHDGEKEGCSQDIRTCFHNLWVLELSYTNWYWLLSKEMLDLMVELRELNVKGADNRSMMYLHHYSGSKSRMIKLRVVVATESFEEDEVVKSTHVLTRSSKDYGITGATDDRDLHILKTIILNGCGELEEIEYNTLPPSLESFSFTNNIDASRIKRISIWGCAKLKSMLLIGYLVELDMSGTSVETLDLSAIQA >Sspon.06G0006550-3C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6C:22255613:22255788:1 gene:Sspon.06G0006550-3C transcript:Sspon.06G0006550-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGMFPSGGGETLMGRKSALDARVVVLMHSFVAAAIVAGFFKQFGDIKWLRIARNHKV >Sspon.02G0017920-3C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:48664012:48668945:-1 gene:Sspon.02G0017920-3C transcript:Sspon.02G0017920-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding PGKVETREPPLLDCCSPLQLSSNCPPNLCSSLATASASAYRRRAMERRSVRFVPSEVRARPARHSLPLPLLLPAPPRWRWGLLRAHKIGLVASVVLRCCLPAGFLVGIARMEKLAADRREQVFDNKFCQKLAEEFNRSAGRAGSKALQATQVQGWFLNKFPASATKPTCVPTASQEKTSASEVNVSVSEKRSAASEEKHVCPLLPKKKTRDMIPELENLEFEAMSAKDSAWYDIAMFLAHRTNKAGEVEVRVRFEGFGADEDEWVNVKKFIRQRSIPLESSQCKSIIEGDLVLCFRDGNDEALHFDAHVLEVTRKQHDIRGCRCVFLIEYDHDQSQMPPSGPFKYGFMLAGTIAQSIEIETPEASTNERHHRVHVAFHGLQVVLAHH >Sspon.07G0028700-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:68239739:68242270:1 gene:Sspon.07G0028700-1B transcript:Sspon.07G0028700-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMPEVLRSVNIEESKIEVNAPLCSFRICRVLHLQNCYVPISLKHIERLLHLKYLDISFTAVDELPKELGHLKSLQSLVLINIGLDELPPTVCSLTQLMCLVAQGFKRFPANRMGNLTSLEEIQLKTVVGRSTTEDLVVELGKLTRLRVVRIIFSEELYESLQKALVRTLCNLQELQELVLASTGSCVGAIVWEDWEPPKQLRRLLIAGIWFSQLPGWISRSHLPRLCFLSLGMYVVEVHDLDNLARLPELSYLELYGSSWPLGYTVGTDGFRNLRFCSVGTALKFHIGAMPRLEELQFGVYAGYLSWEANGVLLEQNPTKDVIEDHDLGLDNLLSLEQVTVRVDCLGATATEVQEVEAVVTRAVENHPNRPTMKMSRECEENISSDEKQEAPLCLIAEGFRRFPTDKMGNLISLEELRLKSVVGQSATEDLVVELGKQTRLRVVTITFSEELEESLQKALVQSLCNLRELQELELYFTGLSQQGAAVWEEDWEAPRQLQRLLIVGITFSRLPRWINRSRLPHLYFLSLAMDVVEVHDLDNLGRLLELIYLKLVGSSWPPGYTVGTDSFRNLRVCNVGTTFKFHMGAMPRLEELEFGVYAGYCSWEKNGVSLEQLPTKDGIEDLDLGLENLLSLEQVIVRVNCLRATAVEVQELEAMVTRAVENHPNRPTIKMDRIYEEHILSYEQREAL >Sspon.08G0024290-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:65220857:65223905:1 gene:Sspon.08G0024290-2D transcript:Sspon.08G0024290-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Haloacid dehalogenase-like hydrolase domain-containing protein Sgpp [Source:Projected from Arabidopsis thaliana (AT2G38740) UniProtKB/Swiss-Prot;Acc:Q9ZVJ5] MAAHAPNGNPTVSSLATTVPVQAVLFDIDGTLCDSDPLHHLAFQELLLEIGYNNGVPIDDEFFIKNIAGRSDVEAAQNLFPDWPPEKGLKFLDDKEAKYRSLAKERLVPVKGLGKVVQWVKDHGYKRAAVTNAPRINAELMISLLGLSDFFQAVIIGGECEQPKPAPYPYLKALKELEVSAEHTFIFEDSPSGIRAGVAAGMPVVGLATRNPENSLVEAGAVLLIKDYEDPKLWAALEEIDREEAKLKKAST >Sspon.01G0001660-3C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1C:4196178:4196975:1 gene:Sspon.01G0001660-3C transcript:Sspon.01G0001660-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAEIELPEFNPRERVKQQISVPFLWEVKPGAPKRDWVISKPVPVAFACPSPTPTKLVVSVPFQWEEKPGKPLQEASPFHVLPSDHGGFSASSRSLNPFVVESEEEYSLGFQLEAFGFPDSNDTSGAAAWADGSSGRRDGWFSFSESEDYSRSSGDTSAQDQEFQFQFPRAPSEKSWEVANDEDELNKNNPWSPPRSAATTLEELMVLSRRLRCGQALPVDVGKKSLSSVVILYYHSRSYFFTSASVNLKQAALHLIVRDRLANP >Sspon.05G0027830-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:57162108:57166667:-1 gene:Sspon.05G0027830-1B transcript:Sspon.05G0027830-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPTGAPHSPSADDLLTTDADGAAGSPSADDLLTTDADAAAAGVPVPWAASQPGADGDKKPGDDGGKKPAIAIGRVWSEADEVRILEGLAAYAAAHGAEPRRSQLRAALDGCGLDKSEFTVTEIYEKVRRLRTKYANLRSAGGVPVPAGGADDGDEARKYELSRSIWGDRPLNVVKKVGASRATKASANANAAPGTRVRRGVEELQDLYPSLALAVEGITDNESLRPVLKRAFQLISDEKARELDAKMKKQMAKEVQMTLNQTALRNQSGNQFQSEEPEKQLFNCGKWLNDGDSGMQSFSLSQRNPRGKQGTARHMQALAFLKKQRERGGEGLRDTLSSGGLASRLSGAGHRCSHGLSLGGAGNR >Sspon.01G0059280-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:45259667:45260396:1 gene:Sspon.01G0059280-1D transcript:Sspon.01G0059280-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSARPRLPCPRRPRLWLMGRTPPAPPVAAVLHPPAVAIAEVRAAAELRTDDLRVLCGIMLRAGVELHKDELHSSKLGRVCWGMAAQTYVHALPFHYAGR >Sspon.01G0003860-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:9994392:10001126:-1 gene:Sspon.01G0003860-3C transcript:Sspon.01G0003860-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MECLVESVSCYCRVDGGLKTVVSARKFVPGAKLCMQPDIIPNKRKSRSSRKERSQTQSPLLPGLPDELAISCLMRAARVEHPNMRLVCKRWNRLLSGNYYYSLRKKFGMAEEWIYVFKRDRDQKLSWYAFDPVNQLWKSLPPVPPEYSEAVGFGSAVLNGCYLYLFGGKDPYICESKPGKEQRSPWAARPLPSLSKGIPWAAKGVK >Sspon.08G0003600-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:8463817:8468753:-1 gene:Sspon.08G0003600-3C transcript:Sspon.08G0003600-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGALRVMLLRLVLLAAAAATVTAARPSEVAVGALFTYDSTIGRAARLAIELAVDDVNADRTVLAGTHLNLLAQDTNCSGFLGTIEGWTFFLSRIVCFLAHLSTLQLMERNVVAVIGPQSSGIGHVISHVVNELHVPLLSFAATDPTLSASEYPYFIRTTISDYFQMNAVASIVDYYQWKEVTAIFVDDDYGRGGVSALGDALAAKRARISYKAAIPPNSNTEVINDVLFKANMMESRVMVVHVNPDTGMRIFSVANKLQMMASGYVWIVTDWLAAVLDSSTSRDRKDMSHLQGLIVLRQHIPESDAKNKFTSKWNNAARNRSITSGLNSYGFYAYDSVWVVARGIDQFLNNGQQINFSTDPMLHDSNGSTLHLSTLKIFDGGEQMLQQLLLTNFTGLTGPVQFNSDRNLGHPAYDILNIGGSGSRLIGYWSNYSGLSVAAPEILYQKPPNTSSLAQRLHNVVWPGDSTTTPKGWVFPNNGQPLRVGVPMKPSFKELVAGGKGPDNVTGYCIDIFNAAIKLLPYPVPCQFITIGDGRKNPNYDDIINMVAANSLDAAVGDFAIVRNRTKIAEFTQPYIESGLVIVAPVKQATSSAWAFLKPFTLEMWCVTGALFIFVGIVVWILEHRSNEEFRGSPRRQLITIFWFSFSTMFFSHRQNTVSALGRFVLIIWLFVVLIINSSYTASLTSILTVQQLASGITGIDSLISSGLPIGYQDGKFTRNYLIEELNIPEYRLVPLNTIQEYADALKRGPKDGGVAAIVDEMPYVEIFLSYHCNFRIVGQEFTKEGWGFVWGIDPTDNRAAGGLDATNGADAHPEDNGEGK >Sspon.02G0013750-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:34276413:34279742:-1 gene:Sspon.02G0013750-2B transcript:Sspon.02G0013750-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thermospermine synthase ACAULIS5 [Source:Projected from Arabidopsis thaliana (AT5G19530) UniProtKB/Swiss-Prot;Acc:Q9S7X6] MVGAVPAEDLPGDVSSKGYGAKHLQLPPPLPAPPKQQQQHPDVEAESGWFEEEIDDDLKLCYALNSVLQRGASKYQEIVLLDTKHFGKALIIDGKMQSTEMDEFIYHESLIHPPLLFHPNPETVFIMGGGEGSAAREVLRHKTVQRVVMCDIDQVHVQTLNRIRGHADMFTVYFRITWRMHDQEVVDFCRTYLTMNRQAFCSEKLCLIINDARAELEKSKEKFDVIVGDLADPVEGGPCFQLYTKSFYELIVKPKLNDLGIFVTQAGPAGVLTHKQVFSSIYNTLRHVFKYVQAYTAHVPSFADTWGWVMVISLLSTYDVLFRSTFQFHAVWLTIAFQASDHPFDLTAQQINERIGDRIEGELAYLSGEFFISSTTLNKSVHQSLLNETHVFTEDDARFIYGHGRACRAIFCRNKYRYEKSDAEIISSFSMMPKL >Sspon.07G0009940-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:4661281:4662840:1 gene:Sspon.07G0009940-3D transcript:Sspon.07G0009940-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSKNHTAHNQSYKAHKNGIKKPKRHRQTSTKGMDPKFLRNLRYSRKGNKKSGEAEAEE >Sspon.06G0013700-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:54688136:54690702:1 gene:Sspon.06G0013700-3C transcript:Sspon.06G0013700-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAAAAYHCNAILHFPRPPHFRRLPPLPVPALVTRRAASFGLGAARLGPKVRASSVPPPPPFFETVEEEEVTRRAASFGLGAARLGPKVRASSVPPPPPFFETVEEEEEEEEGGWSDAEAGFSDEAEDEQEWAGGNGAARGEDLGADAGEDLSGWARQWPRPRELFVCNLPRRCDVQDLLELFTPHGTVLTVEDLLVCVLMLTPQVVYTSQISRDAETGISRGTAFVTMRSLAEARTAINALDGFDLDGREVFVKLASDVISNRKNVNLSHITPMKDHIFESPYKIYVGNLAWSVQPQDLRELFTQCGTVVSTRLLTDRKGGRNRVYGFLSFSSAEELEAALKLDRTVFYGRDIVVKGAIVERQTR >Sspon.03G0008390-4D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3D:27843980:27844276:1 gene:Sspon.03G0008390-4D transcript:Sspon.03G0008390-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQQQLYGSAPPNPFMASDPFAVSNQVAPPPSVQMAAMAPQPQHLPMMIEANPFGPQQHHAGIAPAANPFLDAGLGAFPAVNGMHPQTNPFGGAAQLL >Sspon.08G0012530-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:52258142:52259689:1 gene:Sspon.08G0012530-3D transcript:Sspon.08G0012530-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAASSPPPHVAVVAFPFSSHAAVLLSFARALAVAAAPSGATLSFLSTASSLAQLRKASGGGGGSAGHGLPGNLCFVEVPDGAPAATEGPVPVPRQMQLFMAAAEAGGVKAGLEAARAAAGGARVSCVVGDAFVWPAADAAAAAGAPWVPVWTAASCALLAHLRTDALREDVGDQAANRADELLITHPGLARYRVRDLPDGVVSGDFNYVISLLLHRMGQRLPRSAAAVALNTFPGLDPPEVTAALAEILPNCLPFGPYHLLLPKDDADTAAPADPHGCLAWLDRHPERGVAYVSFGTVASPRPDELRELAAGLEASGAPFLWSLREDSWPLLPPGFLDRVAGAGSGLVVPWAPQVPVLRHPSVGAFVTHAGWASVLEGVSSGVPMACRPFFGDQRMNARSVAHVWGFGAAFEAGMTRAGVAAAVEELLRGEEGARMRARAQELQAAVAEAFGPGGACRKNFDEFVQI >Sspon.01G0026840-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:93546433:93550911:1 gene:Sspon.01G0026840-1P transcript:Sspon.01G0026840-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEGSSGPVVVPRNFRLLEELERGEKGIGDGTVSYGMDDADDIYMRSWTGTIIGPPNVEPSLFPMLGNWEREHTMEDILVSLKREMSTPQNRRLYQPHEGNEDQRVEQKGLSLRCVIM >Sspon.05G0000450-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:2157804:2166240:1 gene:Sspon.05G0000450-1A transcript:Sspon.05G0000450-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potassium efflux antiporter, Chloroplast development, Drought toleranc [Source: Projected from Oryza sativa (Os04g0682800)] MDLSRFASGVGPSRAGLQIGAAGNGFRPCSLRHRGGGNGNLIAGSALRGRGGLFYLAPTRGSPLSLRARGRPPRCQGNDSLAYVDGPLEAAKDSGEVTDDEATSSGSDDDRGPGPGPADVDGLREMLHRSRNELEVARLNSTMFEEKAQRISESAIALKDRADGAQRDVSAAVATVQEIIGKEADAKEAVQKATMALSMAEARLQLAAEALEAKRGSVGPMEVSIEGVEEEALVSAQEEIKDCRAALSRCVEELGRVQDKKMELQKEVDRLTELAEKAQLDASKAEEDVANIMVLAEQAVALEVEAAQRVNDVEMLLGKAEKAISSVDAVVELTSSAEEQKSAEEDSVSEGYEYSSDGTDDVSVRDEVSSIERLMVGDLTVEGIEQLEPSREIYDEASSDKMSVEPQKEAEPDSDKSKQGKKQDMERKEVTKEPLSAPKALVKRSSRFFPASFFSSKVDGEFTPTSVFRGLMKSVQKQAPKLVVGMLLLGAGAFFLNRAEKSQLFQQQGIATSIGKVTSTTKPIVREIRQIPQRVKKLIELLPHQEVNEEEASLFDILYLLLASVIFVPLFQKIPGGSPVLGYLAAGVLIGPYGLSIIRNVHGTKAIAEFGVVFLLFNIGLELSVERLSSMKKYVFGLGSAQVLATTAAVGMIAHRFATLPGPAAIVVGSGLALSSTAVVLQVLQERGESTSRHGRATFSVLLFQDLAVVVLLILIPLISPNSSKGGVGFQAIAEAMGMAAVKAVAAITAIIAGGRLLLRPIYKIIAENRNAEIFSANTLLVIFGTSLLTARAGLSMALGAFLAGLLLAETEFSLQVESDIAPYRGLLLGLFFMTVGMSIDPKLLLSNFPAISVILGLLIIGKTLLVTFVGRVFGVSTIAAIRVGLLLAPGVVKIIGIRHLQD >Sspon.02G0031230-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:114214908:114215915:-1 gene:Sspon.02G0031230-1A transcript:Sspon.02G0031230-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MYTCDGEGHELVLELGVRTAKGDELDNLKTSMQPEDMQEEDLYKGCPLPTASADTGSVNSYLQVEVPVRQSAKDNGGFGGRTKKKLRMSKEQYGFLEDSFTEHSTLTPTK >Sspon.02G0005550-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:17564865:17568123:1 gene:Sspon.02G0005550-1A transcript:Sspon.02G0005550-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPAAATGGAGGARDGDANSGLGEPLLRNGGGVHAGAAVTVANGGAEPAAEKKRSVEDRYWVDIDQPEALEPADVESGHGGRRPLLFRNKKVKRSILYPYRFLIFARLIAIIVFFIWRVKNNIADIEWLWALSVAGDVWFGFSWLLNMLPRLNPIKSIPNLAALKLQHDRRRPDGSSSLPRIDVFINTANPVDEPILCTMNSVLSILATDYPVEKHACYFSDDGGALVHYEALEETARFAALWLPFCRKHCVEPRAPERYFYDELLRAPTYAGGSPEDFVEDHRCVRREYEEFRARIGMLFDTVRRRSDAYNAAGKSGGGAKATWMADGTQWPGAWIDPTENHMKGHYAPIIKVMLEQPGHTPQLGQSNGVVNSCPIDLSATDSRLPMLVYVAREKHPTSYDHQKKAGAMNVQLRVSALISNAPFIINFDCDHYINNSGAFRAAMCFMLDPREGEDTAFVQFPQRFDDVDPEDRYCNHNRVFFDGTMLALNGLQGPSYLGTGCMFRRAALYGGKPPRRRRAAGNHDGSSIAVDASVFGNSTPFLESIRLAVAGEENRRPTAVCTLDDESITTELLNVMACAYEAGTSWGRGIGWVYNIATEDVATGFRVHRQGWFSRHCTLEPAAFRGTAPINLAERLLQIMRWSGGSLEMFFSHNNPLLAGGWLHPLQRVAYLNMTIYPVTSVFTVVYGLCPVMWLFPEEFYIQRPFTRYVVYLILVILMIHVIGAFEIKWAGITWTDWWRNEQFFMVGATSAYPTAVLYMVIKLVTGKGIRFRITSKQAVADGDGDKFADLYVFRWVPLLIPTTVVFAANVGAIGVALGKVIVFNGVWRSYQVRHAALGLLFNVWIVALLYPFALAVLGRRGKRPAV >Sspon.06G0009970-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:40585150:40588286:-1 gene:Sspon.06G0009970-3D transcript:Sspon.06G0009970-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPSVRLSPGPAAFSGSSLRSKLPSIPSISSLKPSKYVVSSLKPLYLAPLNGPCTAELKSRRQPLEFCCAASAADDKESKTQVAPVQSEGAQRLKISIYFATWWALNVIFNIYNKKVLNAFPYPWLTSTLSLACGSAMMLFSWATRLVEAPKTDLDFWKVLFPVAVAHTIGHVAATVSMSKVAVSFTHIIKSAEPAFSVLVSRIYGCHDIQPCICFPQHLLEEGHEGEVCQWHELLCCLSIMSLVILTPFAIAMEGPQMWAAGWQKALAEVGPNVSYMSLDQISPLTFSIGNTMKRISVIVSSIIIFHTPVRPVNALGAAIAILGTFLYS >Sspon.06G0005400-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:16682789:16684589:1 gene:Sspon.06G0005400-4D transcript:Sspon.06G0005400-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:4-coumarate:coenzyme A ligase, Lignin biosynthesis, Defense against woundin [Source: Projected from Oryza sativa (Os08g0448000)] MVSPTEPKAETTVFRSTLPDIAIPDHLPLHDYVLERLAERRDRACLIDGATGETLTFGDVDRLSRRVAAGLRATLGVRGGGTVMLLLPNSVEFALAFLACSRLGAAATTANPLHTPPEIAKQAAASGATVVITEPAFVGKVRGLAGVAVVATGDGAEGCISFSDLASADGSALPEAAIDVANDVVALPYSSGTTGLPKGVMLSHRGLVTSVAQLVDGDNPNLHFREDDVVLCVLPMFHVYSLHSILLCGMRAGAALVIMKRFDTLRMFELVKRHGITIVPLVLPIAVEMAKSDAIDRHDLSSVRMVISGAAPMGKELQDLLRAKLPRAVLGQGYGMTEAGPVLSMCMAFAKEPLPVKSGACGTVVRNAELKIIDPETGLSLPRNQPGEICIRGKQLMKGYLNNPDATAKTIDSEGWLHTGDIGYVDDDDEIFIVDRLKELIKYKGFQVAPAELEAMLIAHPSIADAAVVPLKDDSCGEIPVAFVVTSGGSEITEDEIKQYVAKQVVFYKRLHKIFFVEAIPKAPSGKILRKDLRAKL >Sspon.06G0013630-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:51015946:51018004:1 gene:Sspon.06G0013630-2C transcript:Sspon.06G0013630-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAATTSSSFRLRLRGCPSSATFTSSATDLPHVSLHDLSAKHGRDGLMLLRLGVVPTLIVSSPLAAEAIMRTHDHVFASRPVSTVSDELMYGSSDIAFCPYGEHWRQAKKLVTAHLLTVKKVHAYRSARKEEVHLVLAKVQEAAAAGTAVDLGMMMNTFANDIVSRAVSGKFFRAEGRNKLFRKLVDANTALFGGFNLEYYFPGLARSLGFLSRRFLRNRAHETHKRWDELLETILSDHERRDSMHRHGGGDFTDVLLSVQKEYGMTRDHVKAILVSRREGDTEVAPAAPLLVPRLSMVDCIVDGGYYVPSGTKVIVNAWALGRDPESWEKPEEFMPERFMDGGSAAAVDFKGNHFQFLPFGAGRRICAGINFGMAAVEIMLANLMYCFDWQLPSSAWRRRVLT >Sspon.01G0002960-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:8061793:8065178:-1 gene:Sspon.01G0002960-3C transcript:Sspon.01G0002960-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPPAVATGGSGEPTVPAWLRGLPRAPEYRPTESEFADPIAFLSRVEREAAAYGICKVIPPYPRPSRRFVFAHLNRSLVSSSEAAANPTTASGSSTTAPSLPESAAVFTTRHQELGTPRRGRPPPQVLKQVWQSGEQYTLDQFEAKSRAFSKIHLAGLREPTPLEVESLFWKASADRPIYIEYANDVPGSGFAAPKQSRRHKKRRRESDQVEEGEKGSGWRLSGSPWNLQAIARAPGSLTRFMPDDVPGVTSPMVYIGMLFSWFAWHVEDHELHSLNFLHTGAPKTWYAVPGDRASELEEVIRVHGYGGNPDRLASLAVLGEKTTLMSPDVLVARGVPCCRLVQYPGEFVVTFPRAYHIGFSHGFNCGEAANFATPQWLKFAKEAAVRRAVMNYLPMLSHQQLLYLLAVSFITRTPNVLSGIRTSRLRDRKKEERELLVKQEFLQDMISENNLLCSFLEKKSIGHVVLWEPDLLPSSTSLHSCSSGSKAPEKKSEDGFRIESSDCGPKDNNSDGSAHMIGAQTKFTSGNSKSSDTSASVDKANADTDDEDDLPFDLSIDSGSLTCVACGILGYPFMTILQPTREALEGISLAHTSRYKMSSEKDNCSNTIPCCPAD >Sspon.06G0009610-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:32180910:32182860:1 gene:Sspon.06G0009610-2B transcript:Sspon.06G0009610-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSISMMEARMPPGFRFHPRDDELVLDYLLHKLSGRGHRGGAAIVDVDLNKCEPWDLPGVVLLQPARPQVRDGAAHQPRHALRLLEGHRKDRTVVSGGGEDAAAAAVGMRKTLVFYRGRAPKGRKTEWVMHEFRLLPHAAPCLQPAATKEDWVLCRVFYKSRTTPPRPASEDAQDGTPSAEPQLMAALPLAPLADTYTVYGAAPTVSEQVSCFSGLPALPFKRPVSLGDLLTFDTSEKES >Sspon.07G0012220-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:49963205:49963474:1 gene:Sspon.07G0012220-3C transcript:Sspon.07G0012220-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLARYDATVLLAAVTALSAAVAFVAALHLYARCLLQRRIALAEGSPRVVVLHRPPDGYVVEVVGVVEGAGGACGQQAAGLDAKALRALP >Sspon.04G0034800-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4C:79126977:79127596:-1 gene:Sspon.04G0034800-1C transcript:Sspon.04G0034800-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAAASGRKGREGEEGERGREGGGGGGGGGRPPPPLTRSALAPSPSPSPSPAASGHLFDRMDPALAQPTPSRRPLAVLTRAAHVEPDTLGVR >Sspon.02G0030970-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:107267357:107273650:-1 gene:Sspon.02G0030970-2P transcript:Sspon.02G0030970-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MITGGQSYVSAPPAFSADGRLLLVCSGRAVSVFSTATAMLVSELEGHEGDVTAVVVVAPPAQATAAAKLASYCWTAGLDGVLIYWDFLAAEVVRKVQVGLPVHSMVVPNICRTSKGVEVSTPFAFISVEDTSKPASEAKALRGQMRIYDLTKGRQTRKPEKIIASSSGEFLGITNKRKLHIWSIPTKDFKPDKMRKIKLRHTKHLTTLAFHPTERTVAGGDVTGRILIWRCFGNSKFSESSVKSKEDEGRDGVRGDDDADTCTTWHWHSSSVKFLKFSSDGAYLFSGGLEGVIVVWQLDTGKRRYKPRLGSPLLFFVDSPDSSISCVSCTNNQVYLLKMPNMEVMRSIAGIKLPIASPNLGGAGRAVYGFDYTNRLVAIPTEDYCIQFYNLFENAGFRVCERNFQPNDDITMYISLVSLSIDGNLMCTVDVKLPEEELGGLVTLKFWNHGSRAGHYSLSTIIYEPHSDAGVSSVAFRPGRNMAVSSSFGGNFKVWVQSLSSQSSDERNYSGWRCQSVGSYKKKPMTSAAFSADGSVLAVAAENVITLWDPDNNALVGVIAETLSPVTNLSFVGTSVFLMSLCQSSRPQIALWNVSNLSMQWSYSIYAEAACCSPDGSEFAVLALLSCPDGETSTQQDGVILLFDAESPNPIASWSVKKASGGNISFVKGDVSLDANADSSRDKEAMLLVYVNGSHEYVIFDPRKSEEVVVSKNTHKKIQAEEPAPIGYASIYGDLQKLESKKEVSDVPFIPSDRPWRPYSVDRLMFFHLSQNYALHSCHLCWRRDLLETSEAPRSYVPSYNGILARCKMEMRLEIHWPEGYRCMPTKAQGTSELVVVCLRLVTEITRLGGQRLTPSS >Sspon.02G0029000-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2D:99667055:99669787:1 gene:Sspon.02G0029000-2D transcript:Sspon.02G0029000-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MINLAVRQLTSNRSAKGFSSPSVVCPSRRAPTAVHPHPCPSQSSWSDPRSSPPYVVRPLNPCPSPPSLPHDCIGATVRPSSLNAVHTSPDAPPTYPVILDLEKQKKGKTRGQARRAHPHTVVPLAGSAPPLRPLAGGGRLLAGSGSCSPDPVASSPDLASHHHRHVRAPPEGGEEKGRRRRPPGAHRSWIRPPRVATSPDLASPRRSTTVGRREGARAGWGQRGACRQRVRAGSHIAETEREMDGRRISETKREGSGVASGIGGRSLRWGLPWEIGRGEGEGAASEGVGCGVSGAR >Sspon.03G0029350-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:21040454:21041915:-1 gene:Sspon.03G0029350-2C transcript:Sspon.03G0029350-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPPSSKPMEVAAVACRAGLLARARPGSRARRRAWEHGVAVGPAPSAARRRLLVASLGVGEPLPAQSLGEEAAVALEVGEDDDLDSLVSAENLLPPAAAADVVWFVRTTKNTKLTLARLVNLTVPVPEARTVRVKFVLRKQCAFGQQFLLVGDAAALGLWDPAKATALDWSDGHVWTATTDLPANRSIEFKFLLRDASGHVRWQHGPNRTLQITETPNTMVVREDWDHGNKQKVSEEEELSIGEEHVMFSEDLAGTNGAMPADNPQKHQNLETDRSAAVVADAPLQGEMVAANGTDQPQLMVDKHQTILEEVPGEQNGTPSADDYAGNGNDDDDTTLYQGGDLLPNRPTSIFENDLAWAGKAMQQLLRILGFQIGTRT >Sspon.08G0022260-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:48163741:48164366:-1 gene:Sspon.08G0022260-2D transcript:Sspon.08G0022260-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNSQHGQPNSVRACKTGSKAHRGKLSSGDRMAFMRYRALPQGELTVEEFRAWLAQFDADRDGRISREELQHALRSLNVWFAWWKARDGVRAADANRDGGVQGDDEVARLFAFAQRHLHVKITQLGYY >Sspon.06G0011680-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:46507673:46509822:1 gene:Sspon.06G0011680-2P transcript:Sspon.06G0011680-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MELVDRLQRLGVDYHYKKEINDLLCSVYNDKDGGSDNLYITSMRFYLLRKHGYGVSSGMSKGTFQLLADVCASDNSWGGDTLQHHHFQQEPPPISTAGKIGARAMRGSPVHFGDTTVKRVEARRYISVYEKKATRDATILEFAKLDYNILQAIYCDELKEITVWWKAFQSQTDLSFARDRMVELHFWMLGVVYEPYYSYSRIMMTKFIVFASLLDDLYDNYSTTMRSTTFTAAMQRWDEQTTQQLPAYLKALFINILNTTNKIVEELKLTKNKHADLIKRLFVPWDISKPSVRCSSASLDDVGETEHGKISTGNGGLYSVAKKAIVPRLPAIK >Sspon.02G0003070-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:10115680:10115928:-1 gene:Sspon.02G0003070-1A transcript:Sspon.02G0003070-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding CWYALGIVEDIGRIYTCLDPDEPVEVLYGEVRRSPGLPCMAHRRRQPDRAEALSPAHEVDVNVVHVGATWVGGHVRRHAPVVQ >Sspon.01G0034000-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:15723594:15725942:-1 gene:Sspon.01G0034000-2C transcript:Sspon.01G0034000-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASSSGAAVTARGIHIMDSPEGRMSAVPWVSLGVDGAGHEEEDAVLARARGCDVYVGHGGGVRRMVAWLRAELELLGVPCVASDRRRCGDARGLAAARAAMDVALAGVVVVTPVSLANPYAVEEIRVFLERGALVPVFVGIRQGDFVVEDVVERRSDLWETHGGELWKAYDGVEAEWREVVEGLARAEPVVELRLGDLRDRVLDVLQILGPRLGRPAVAPAVRAWRAAADAEIPFPWNTGFVGRERELLDVEAMLRGGAPAHDQAAGKRPMPLDGSITGASFLHGVACISAASGAGKTELVLEFAHRHAHEYKKVLWVHGEARYLRQSYLKLADHLGVAVGDNVLQTTTTERARSLHGIEGVAIEKIKKELTRDIPYLVVIDNLESERDWWDGRAIKELLPRGGGRTHVIITTRLPSLQGVRVFELGNLDAPNSMHLMKGARMFRDDDLAVLTDIQEKVCGVPLGLALVGSILSEIAVSPAELRETMIDAPHRAPTWSPKDDAAVRDNPGLVQLLDACFTLLRWETPAGLGKVAERLLEASSFFAPVPIPTAMLIHAACACAAGKTPWKRLTRELRRCCTSPLAPLETGRVQQNALAMLLRLGIARRSTRAGCVSVHGVFRTFGRKIGSGRVARAVVETIAEQGGGAAQHADDHTWAACLSLFRFEEPDVSVELPPPELARFITRSALPLAARCVTGYSAYGAALELLREATDVVLEAEDLYIGAPRRINDHKYVAIDPKVYRKLAQARAELLVMRARIMLRAGERGIAEDHCLSANGLLE >Sspon.02G0020150-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:60857392:60860204:1 gene:Sspon.02G0020150-4D transcript:Sspon.02G0020150-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGQQQVNAYTDEDTDYTDDEDYNDEDYTLEPETASKRQKRHTPEQIRELRAAYQQNDHPDEPTRCVLGAKIGLEGKQVQYWFQNQRSQMQAKTVVQNSKAAQQENAALMAENMSLRKAILTKSFFTCGGAMVPAELPAENRRLLKENMRLRGECIHATALLNQILRSAPPAEQRPPAVVAWRPAALSVGEGASKADRTAHLRRHAETAMDQFLLLATKGEPLWLPTPDGEELSYLGYQKKATLPVHHGFCPDGFIMEATRETGTVRAFVADLIVMLTDAKRWSEMFPGIVAGVTANGAISGGVLGSRIQLMNAELCVQSPRLLNRSINFLRYNKRVAEGQWAVMDVSVDGILGPLGSRTADAAAVANNTGCRLLPSGCLIEDMGNGYCKITWVVHAEYDETAVPTMFRPLLRSGKAFGAHRWLASLQRQCEYLAVLHSIQVPRGDNDNTAAISSMGKRGILELAQRMMTVFYSAISGPVTQPSSNLYEWPASAGTSARRTDAAVRMVTWKKAGSVADLVLSASTTVWLPNTPPQLVFQYLCDGQRRGEWDVFANGAAVTELCSVATGHLHGNAVSVQYSNVMTDGTDSKKVLILQQACTDASCSMVVYAPLEEDFMRAVMNGGDTPLSSSCRLGSPSFRMAMAGLTMLRAPPALPSAATTLL >Sspon.04G0003500-4P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:11624399:11627546:-1 gene:Sspon.04G0003500-4P transcript:Sspon.04G0003500-4P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTNSRTNSRANFNNDIHDIGTVQNSTIMPPTYYDRSLADIFPPHLLKKVVSEVVSTFLLVFVTCGAAGIYGSDKDRISQLGQSVAGGLIVTVMIYAVGHISGAHMNPAVTLAFAVFRHFPWIQVPFYWAAQFTGAICASFVLKAVLHPISVLGCTTPTGPHWHSLIIEIIVTFNMMFVTLAVATDTRAVGELAGLAVGSSVCITSIFAGAVSGGSMNPARTLGPALASNLYTGLWIYFLGPVLGTLSGAWTYTFIRFDEPPSGHKDMSHSQKLSSFKLRRLQSQSVAADDEELDHIQ >Sspon.07G0022080-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:6512690:6514000:-1 gene:Sspon.07G0022080-1B transcript:Sspon.07G0022080-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPATATVQRRRRRPKKFPYRPKPPPEPHPFLLHLKSFPSPVAAAASLLSAPRHLHDHPFAACVLYRLARARLFPLIPPLLTALRSLRVPLQPTAFAALIDHLGAASRPDAALHVFRAVPAFCSHSNATFHALLHSLVCNGRVDAARDMLPEAPKLGVRTNAISYNIILKGVCHRDGFSGARVVVDEMLARGVRPTVVTFNTLVGSACREGELAAAERLVDEMAHRGVAPNAVTYALLMQGLCDAGRYDDAKKLLFDMEYRDCQPDVTNYGVLMSACGACGDAGGIRGLISDMRKRKLMPDDASYNILIRCLCDAGRVDEAHRALVEMQLKDGTAPSAATYRVLLDGCCEAADFDLGLRVFNAMLASGHCPLAPTFRRLVRGLGEDSKAEEACFVLEQMGQRGMRLDAQGWQSVASCVCSSISATEMNLVDHLVSSS >Sspon.03G0004600-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:11719844:11720134:1 gene:Sspon.03G0004600-1A transcript:Sspon.03G0004600-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MCHPGLPPLPPGCAVRRRERQAAVALAPSSSPSVPRARQAQAAPHYYCVCSPTEHRGSFRCRLHRSGFEWGRRRPFANTPGRAEAGPAMHARMAAS >Sspon.07G0020440-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:79901190:79904845:-1 gene:Sspon.07G0020440-1T transcript:Sspon.07G0020440-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGRIEIKRIENNTSRQVTFCKRRNGLLKKAYELSVLCDAEVALIVFSSRGRLYEYANNRHLVGDSVGNLSLKELKQLESRLEKGISKVRARKNELLAAEINYMAKRETELQNDHMNLRTK >Sspon.07G0001440-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:2876719:2877603:1 gene:Sspon.07G0001440-3D transcript:Sspon.07G0001440-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEERFPQPREARGPTFAEPAPADPPCDADDALAPVVQLLSSSSGEDSSDDEGERDFEFPFVSRDSPAGTAVPADELFADGRIRTFYPVFGRSAGCVARGSSHGSCTALPAAPPPVRGQLGQLFLEETRERNSSTSSTASTSSSSSTGAATDDDGLEGAAPESYCVWRPNPSASPSPPPRKSGSTGSMARWRRISDLVGRSHSDGREKFLFLAAAPPHDAPRRHKKPAPAPEGARKPPPAADADTAAHRIAYLARGGVPVPPGGTPRRTFLPYREELVGFFANVNGISRSHHHPF >Sspon.02G0057990-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2D:68848759:68851437:1 gene:Sspon.02G0057990-1D transcript:Sspon.02G0057990-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding IKRPVAKLPSGRPNSICPVNYLCNTERVGFLFDGGCTRFSRCCSDLPTAPLPRYPLRSNSQSSLLLHSPLLSPHRRRHNTLPVEITAAILVIAGTEYALAVPETKWKGQKAKEVEDTGFKLWYTGTTSGRNGVGILIDRSLKDGVVDVRRQGDRIILVKLVVGDLALNVISAYAPQDLLIANTLFRKRESHLVIFRSGQHSSQIDFILARREDRRACFDCKVLPGECVVPQHKLEVADFRFRERMLDEGPWEEGEDADDMWLKMATCVRKVASEVFGVSRGGKREAKDTWWWNDEVQRAIKKKKECFKRLHHDKNAANIEGYKIAKRVAKRAVSVAKGQAYDSLYQRLGTKEGEKDIYRMARIRERKTRDINQIKCIMDGTDRLLVKDEEIKDRWREYFDKLFNGENEGPTLELDDSFDDTNRRFVRRIQKAKIGEALNRMKGGKAMGPDGIPIEMPEEWRRRILVPIFKNKGDVQSCTKYRGIKLMSHTMKLWERVSIA >Sspon.03G0017900-1P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2A:1386919:1387995:-1 gene:Sspon.03G0017900-1P transcript:Sspon.03G0017900-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATTATNRRVILKEYVEGYPREEHMELLRGAEVPLRLTGAEPAGSVLVRNLYLSCDPYMRPKMSRPLRESYTAAFVPGDAITGYGVSRVLDSSDPRLAPGDLVWGITGWEDYSVVTPPVTKFLAKISHHGEGVPLSYYTGILGMPGLTAYVGFHEICAPKKGETVFVSAASGAVGQLVGQFARLAGCHVVGSAGSKEKVELLKTKFGFHDAFNYKEEPDLGAALKRCFPDGIDIYFENVGGAMLDAVLLNMRVHGRIAVCGLISQYNLADGDKDAVRNLGAVIAKRLRLQGFIEPDHKHLYPQYEAWVLPYIRDGTLAYVEDVAEGLESAPKALIGLFHGRNVGKQLVRVADDESAA >Sspon.04G0018980-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:71021789:71027759:1 gene:Sspon.04G0018980-2B transcript:Sspon.04G0018980-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable ATP synthase 24 kDa subunit, mitochondrial [Source:Projected from Arabidopsis thaliana (AT2G21870) UniProtKB/Swiss-Prot;Acc:Q9SJ12] MALAARLVSRSRQLYSVQAAFGNGGATQVRSFAKDAAPADRPPVSGDDLLKGIFFEVKKKFETALGVLKKEKITIDPDDPAAVSRYAQVMKTVREKADLLSDSQRIKYTIETFTKGIPDARTYLNTLQQIRIKSGLIDHLGIEPLMMEALEKIEKDIKKPLLRSDKKNMATVLAEFDKINKKLGIRKEDLPKIEEELELEIAKSELTELKKECVEAMETQLKREEFQDEEMADVRKLDIRNFL >Sspon.08G0006180-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8A:19468855:19469267:-1 gene:Sspon.08G0006180-1A transcript:Sspon.08G0006180-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPPEAARVGAEAAKAMEARLQRHCFFSRGRTKPSLTAPKIGVAVSVARLAADRESVTRSLPRSAACRLVASGLCTLQPSKLAVATRCQTPPARPTFLCQCFGNGNLVVHSFGDL >Sspon.03G0025290-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:95021743:95024854:-1 gene:Sspon.03G0025290-2C transcript:Sspon.03G0025290-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPTSFLPILFLLLANAARALNQDGVHLLHAKRALTVPPDALADWNASDATPCAWTGVTCDDATGAVTSLSLPNLNLAGSFPAAALCRLPRLRSVDLSTNYIGPDLDPAPAALARCAALQRLDLSMNSLVGPLPDALADLPDLLYLRLDSNNFSGPIPGSFARFRKLQSLSLVYNLLGGQFPPFLGAVATLRELNLSYNPFAPGPVPATLGGLSDLRVLWLAGCNLIGPIPPSLGRLANLTDLDLSTNGLTGPIPPEITGLASALQIELYSNSLTGPIPSGFGRLKELRAIDLAINRLDGAIPEDLFHAPRLETVHLYSNKLTGPVPDSVARASSLVELRLFANSLNGTLPADLGKNAPLVCLDVSDNDISGEIPPGVCDRGELEELLMLDNHLSGRIPEGLARCRRLRRVRLSNNRLAGDVPDAVWGLPHMSLLELNDNQLTGEISPVIAGAANLSKLVLSNNRLTGSIPSEIGSVSKLYELSADGNMLSGPLPGSLGDLAELGRLVLRNNSLSGQLLRGIQSWKKLSELNLADNGFIGSIPPELGDLPVLNYLDLSGNKLTGEVPLQLENLKLNQFNVSNNQLRGPLPPQYATETYRNSFLGNPGLCGEIAGLCAGSEGRSSNHGSGFAWMMRSIFIFAAVILVAGVAWFYWRYRSFSKSKLRADRSKWILTSFHKLSFSEYEILDCLDEDNVIGSGASGKVYKAVLSNGEVVAVKKLWSTAVKNGEEGSAADNSFEAEVRTLGKIRHKNIVKLWCCCTHKDCKLLVYEYMPNGSLGDVLHSSKAGLLDWATRYKVALDAAEGLSYLHHDCVPAIVHRDVKSNNILLDAEFSARVADFGVAKVVEPEGTGRAAKSMSVIAGSCGYIAPECIESATEYAYTLRVNEKSDTYSLGVVLLELVTGKPPVDPEFGEKDLVKWVCSTMEQKGVEHVLDSRLDMGFREEIVRVLNIGLLCASSLPINRPAMRRVVKMLQEVRAGAAAELPGRPHPARVVDRDGKLSPYYYEDASDHGSS >Sspon.02G0054510-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:114338832:114339140:-1 gene:Sspon.02G0054510-1C transcript:Sspon.02G0054510-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding NGLRASGRRAGADRGPRRAPRTHSAPPPRRGPHPRDRRLARPQLHHQQPRRQRRARVHRARASATRRVPHNAVVGDQPVPGSSKGCSCTGRRRPLLLLGASEL >Sspon.01G0018640-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:8348847:8354617:-1 gene:Sspon.01G0018640-1P transcript:Sspon.01G0018640-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMHRPLRFQLLIIISAAWPFVPPAAADDSGWSKGTATFYGGGDASGTMGGACGYGNLYWSGYGTDTAALSSALFNDGAACGECYRVTCDDSASQWCLPVRKSVTVTATNLCPPNHELSGDDGGWCNPPRRHFDMAQPAWLKIAQYKGGIVPVLYQRTACVKQGGVRFTVTGSNHFVLVLITNVAGSGSVKAVWVKGSATDRMPMARNWGANWQSLAGLTGQALTFGVTSTDGRTVVVPDVVPAWWKFGQSFSSGVQFLALLAVLAATFFAPARGWNYGTATFYGGRDGSGTMGGACGYGNLYQAGYGTNTAALSSVLFNDGAACGQCYLVMCDSNASPWCRRGAAVTVTATNFCPPNWAQPSNSGGWCNPPRPHFDMAQPAWERIGVYSAGIIPVLYQQVTCWRQGGIRITIGGSSFFQLVQFSNVAGSGSIRSVSVKGTKTGWVALNRNWGANWQCNSALFGQALSFSVTSTGGQTLYMTDVVPSWWQIGMVFASNYNFYY >Sspon.01G0004340-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:11249462:11255485:1 gene:Sspon.01G0004340-2C transcript:Sspon.01G0004340-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGASLSLVPVLDYFARRECLGAGLRHNAVTLPYPDGGAGATCTVQYWAPQGKPQLPPLLLVHGFGPRADWQWRCQVGPLSRHFHVIVPDLLGFGGSSYPFETAPPPTEATQAAVLATLLDALPGMEGRRVAVAGTSYGGFVAYWLARAAGAARVGPVVIASSDLLKTAADDRAFLKRAGEGWGGVDEILLPAEPAALRKLLELASYRPPPRLMTPDFLLRDFIQVRRSACANRNLSSLTKLFTENRERLVHILKGITVGTDKFQVTPISQEVLIVWGDHDQLFPVEKAFALEDPARFNKIMLDFLLASHKPDPSLMDFIARRAFLGAGLQPHTISLPAATSSDTGGGGASGQRAIHIHYWAPPGEPRLPPLLLIHGFGPMATWQWRRQVGPLSRHFHVVVPDLLCFGASSSCGGSPAPSESAQAAALATLLDALPGLAAGARVAVAGTSYGGFVAYALARAAGPGRVGPVVISNSDLLKTADDDRALLQRTGPGFARTADLLMPLDARGARRLMELSFYRRQAITLLPDFVIGQAVQVTDSKRQLFTDKREEKIELMKAITVGTDEFQLTPLPQDVLLIWGDHDQIFPLEKAFAVKRCLGENVKLEIVEKTGHVPQMEDPDRFNKVVLDFLLASQKPSSTQHAR >Sspon.05G0003810-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:11363108:11364254:1 gene:Sspon.05G0003810-1A transcript:Sspon.05G0003810-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDLMGCYAPRRTDDRLAIQEAAAESLRSLELLVSSLSTQAAAPHKAAHHLQHQQPFGEIADQAVSKFRKVISILDRTGHARFRRGPVESPPRAAAAPPVVAAAAPAPAPSLSLAPLAHVAPVSVAQPAATAHPQSLTLDFTKPNLTMSGATSVTSTSFFSSVTAGEGSVSKGRSLMSSGKPPLSGHKRKPCAGAHSEATTNGSRCHCSKRRKNRVKRTIRVPAISSKIADIPPDEYSWRKYGQKPIKGSPYPRGYYKCSTVRGCPARKHVERATDDPAMLVVTYEGEHRHTPGAAGPSPLATASPVAAAVSVSAGNGHV >Sspon.03G0005390-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:30860755:30866525:1 gene:Sspon.03G0005390-2C transcript:Sspon.03G0005390-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAAAVPGGGGAADAEELFRTKRIPEIRAAEGATRREISAKEEELRQLVGRSYRDLLDSADSILLIKQSSDAISDNLARISGSLSSLSPPPEPSPAVSAASPSPSAGGRARLYALAARAKYLVDTPEHIWGRLDEGLLLEAAGRYLRAQVVHGRLSRDAAAAARFPLLAHQAQLVEAFRPQIAQRSRERLADRRLPVAAHADALAAVAAIDPPSLAPAQALLLFLTSRRAWISQALAGLASDLSSYTSVLCDIARIVRITLGHVGQLFVPALSDMPLFFKTVLEKTPPEQLFGGIPDPDDEARLWKEHMNQLEATMVLLEPDAIAGACTDWLKECCTEIFGVIAGEQKLVDAIGSGELLGSVQRLVRDTLDGRDGLEGSLEQWLKSVFGSDIESPWDQIRGLILKDGKDIFEDWMEEAFVRRMKDIVHSELDRLGASVNVKESVHAIGGNADPKDAGDFLAYLRKSSKGGGFWFSESKIKKGGVLAHLKPIADENDFHSCLTSYFGPEVSRIRSAIDSKCKNILDDLLSFVESHNSAPRLKELVPYLQEKCYRTISGVLKELEAELRKLSALLGTKKEGNDMPAASIIAERSLFIGRLLFALRYHSSHVPLILGSPREWVKEAGGAAFARLSSPTPRHSRASFDSLVSFTPRRRTFDSPKSPGRQFSDSPRRQTIAAAVSLFGADDRSNPRLDELNKTLQSLCIMAHNVWIAWVSTELSHILSYDLNKDDSLSSSTPLRGWEVTVIKQEESTEGPLEMQIALPSMPSLYIISFLYQACLEIHKVGGHILDRIILHNFAWELLQKVINIYENFLSSVESGNSPVSEKGILQILLDLRFIGDVLSGGKSSSTNTTEMQTKQDSLPSTVTKTSFRRKQSQLQADSAVIEPINKLINRLSQRLDPIDWATYEPYLWENEKQSYKRYVVLFGFLVQLNHMYTGTVQKLPTKSNADSNIMRCSQVPRFKYLPISAPAISSRSHKSSLQSPSSDSTSKNPWKSYSNGDRSTTPEFDDNASLVGAAPLLKSFVTQVGSKFGENTSRWGSMLSDGQVGKLSDILPGPAAGFFSSFTSGARYDS >Sspon.08G0014590-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:59523183:59529615:1 gene:Sspon.08G0014590-1A transcript:Sspon.08G0014590-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGSPKVFFASSASRRGGALRRLLSTPAFSAACLLFWPRRLPRRRAHPLALPVRHSQPLPRLLAPALRLRRVGPPPRGWLRRGLRGAPGLARHRIARPPQGHGLRRDLHRVRLHRPPPCAAPDVAPRGSPGSTSLEEATGLAFRFVIGKSNSKNKMAALNREVEEYDDFVLLDLEEEYSRLPYKTLAFFKAAYALYDSDFYVKADDDIYLRPDRLSLLLAKERSHPQTYIGCMKKGPVFTDPKLKWYEPQSFLLGSEYFLHAYGPIYALSADVVASLVALRNNSFRMFSNEDVTIGSWMLAMNVNHENMHALCEPDCTESSIAVWDIPKCSGLCHPEVKMLELHQRKECTGGPTVAAEVSESED >Sspon.08G0015010-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:60278709:60284392:1 gene:Sspon.08G0015010-1A transcript:Sspon.08G0015010-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPLEDLTRVLAELAARLSQPAAGSGAASSGESLSASISSLAAALNPSGDGAGASSGTRVLDAALSLIARVDCLVRILVSVLSASVSCRVVRPDGDAGEEMLCVGSSVSPVDCRELLQSCATLVEELGDCDDGRHSYDLLYAVAKTVVLSPHYQCLFPLPYYKEEGERVSHMGTIAAELINHPSNHVLPSDHSIPLRGLGSVLELHNALASSALDILLKPMSWGISIELGQRFPFSHAYFPSQQSDLLAILTGPLSCQGFLDLVSYISALIHLDKRTRCSSQKNLQLQPSKGLVKYNSAWYVFATALLFHREGSQDYLSETLSKEITAESISDISLVQRAAFYLSWVLCPSNDDQCEMLSKNILETSHSWARNNKKCLSYQSTMNHRRKLRRPTAANSEKFHVPINAVSSLVKEFDDRCVKCCSTTAFPQVQIGKLSDLHPSYFNLFHQLIPLGVLLVSPSCVNEQNCDILLHYTSTGQVLGSNEAQIRTKDHASNDVFLGSCIGTAERWALIGAYLIFGWLDVVEDMSSLIFDCEDRCHRFVSQLRNKTGPYLLKCVKSLFKVLEQANQDRDFVIDLHNRLLNWNKNGQGCEIFGDVILEMNKKCGFWERIVYSEHCPFPLLVETPEHKWSA >Sspon.01G0030710-4D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:104984399:104986877:1 gene:Sspon.01G0030710-4D transcript:Sspon.01G0030710-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLMNALSNWLVNPRRNPLARLHMHAVSSRLRKYGLRYDDLYDPYFDLDIKEALGRLPREVVDARIQRLKRAMDLSMKHQYLPEHLQAQQVPFRGYLSDMLALVKKENAEREALGALPLYQRTLP >Sspon.01G0007520-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:32389335:32393232:1 gene:Sspon.01G0007520-2B transcript:Sspon.01G0007520-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGFRFALVRGARSRSRAELQTVQRRRPSDLVQQRFSHSASAPTRPSFGIAFDIDGVILRGRSPIGGAPRAIRRLYSEEGTLKIPFLFLTNGGGVPEHRRALELSLLLGVNISPTQVVHGHSPYRELVKRFEDDLIVAVGKGEPAVVMSEYGFRKVLSIDEYASYYKDIDPLAPFKTWKVGQTDSYMSAKVHPSYDVYSERVKGVFVVSDPVDWGRDLQVLCDILSTGGLPGTEKGDQPPLYFAADDLEYQAAFPSERLGMGAFRIALESIFNQINDHPLKYTSYGKPNPFVFKNAANILEKLVMSMFPNSQTSMEVKDFQFSTIYMVGDNPKVDINGAMKAGHPWSSVLTTTGVFRGKDNDPQFPADAVVDTVEDAINYILEKE >Sspon.07G0018010-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:65014968:65016385:1 gene:Sspon.07G0018010-1A transcript:Sspon.07G0018010-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MCPYPSWRGGSPLSLVPTSPDSLKHHRSPLSRSPLCFARPSADLDALLCFARPSASPPSLLSAQLYSHRYGSIKQANNRIDEKTAESSGPASKSTRRRRNQGGASQECPDA >Sspon.05G0032530-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:29946271:29951289:-1 gene:Sspon.05G0032530-2D transcript:Sspon.05G0032530-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LRKAQAIIPSNSEETKDALTDSVSDGHAIAESNGRNYEVKGQIEGELNKGMILPFQPLTMTFHNINYFVDMPKKMKARGVPEKRLQLLCEVSGVFRPRVLTALVGSSGAGKTTLLDVLAGRKTGGYIEGDIKISGHKKEQRTFARIAGYVEQNDIHSPQVTVEESLWFSSILRLPKDISTKTRHEFVEEVMALVELDQLRHALVGKQGSTGLSTEQRKRLTIAVELVANPSIIFLDEPTSGLDARAAAIVMRTIRNTVDTGRTVVCTIHQPSIDIFEAFDELLLLKRGGRVIYGGSLGVNSIDMIDYFQSIPGVNHISEGYNPATWMLEVTTQACEEILGLDFAVVYKNSDQFREVEELIKESSIPAIGTEPLKFSSEFSQNFLTQFRACLHKQRLVYWRSPEYNVVRLFFTAIAAIIFGSIFWNVGMKRDTTEDLMLVMGSLYAACLFLGVNNASSVQPVVSTERTVYYRERAARMYSSFPYAAAQGLVEIPYIAVQALIFGLITYFMINYERNIGKKIAFVSCFPVPYFHLLHILRDGGSRLDPNTRCGSSCIICLLLLVESSLWVPHPPILGPAFDGTVQEFLEESLGFQQGMAGVTVAVLIGFSLFFFAIYAISIKVINFQRR >Sspon.06G0019980-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6B:6578020:6579790:1 gene:Sspon.06G0019980-1B transcript:Sspon.06G0019980-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSRAGAGDYIASLLSSSPRLDFGVLGAADGGGGEEDCLDKFCGDPGFAARAARLSSFSGQRFPAPPASSGCPAGARRERRRRRRVRWLPGGVVGVGPGVRDEGRQCQEAEGARGGGKGKGRSPLSRCAGEQKDPDAKRCKAEGGEGNPVKPKSEQAGSDSSVEDSGQNQKPPGKGKNAKPVRRERISQRMKVLQDLVPGCNKVIGKALMLDEIINYVQSLQRQVEFLSMKLATVNPLDFSNLPTLLQKDMFQACGPSASSVFSLESSSSGFPFSDQGDVFQSFVPNGLENQCGLNPLDLALSHATNGQYGFQDGTAGTNLQQRSYWEDDLQSVFHIDNNGQSQENGVSVSAQSFHGWLIKH >Sspon.06G0017810-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:96679275:96683579:-1 gene:Sspon.06G0017810-1A transcript:Sspon.06G0017810-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSEPQPCPQIRPTRKSSHNLSSRSPWLGHLCTCAYVRAASPNTSHRLSRPAFSISPMAPTAHQAAAREDEPEEKSRRKAIIANGGGGKAVAVRCHPALADYPGRKASIRAVVGELLSMAGSAGGKGSDGGRKKSLISLGVGDASSHACFRRGGEFAAEAVADAARSGAFDCYTPSHGFPAARRAVAEYLSAGARHRTHDADVFLTVGGTGAIAAITTVLGGAPGANILLPRPGFPPYEAACEIAGAEPRFYDLLPRRGWEADLAGVRALADAATAAVVVINPNNPCGVVYSAQHLLQIAETARDLGIPIIADEVYAHMVFGGSKFVPMASLAHIAPVITIGALSKRFMLPGWRLGWLAFCDPNGALKHVRTATERLLNVTSGPASIVQAAVPKIISVEHPEFHRNVVDLLESAADALFRRVNQIEALKCYTKPEGSMFIMVEISTSILYGVADDMDFARELIKEECVLVLPGSIIGLKNWVRIFFGAPVNLILEACDRIELFCRRRMLKQKQLKN >Sspon.08G0000830-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:807954:812228:1 gene:Sspon.08G0000830-1T transcript:Sspon.08G0000830-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPYKHRPSSGSNSSFWTTNSGAPVWNNNSALTVGQRGPILLEDYHLIEKLAQFDRERIPERVVHARGASAKGFFEVTHDVSHLTCADFLRAPGVQTPVIVRFSTVVHERGSPETLRDPRGFAVKFYTREGNFDLVGNNMPVFFIRDGMKFPDMVHAFKPNPKTNLQENWRIVDFFSHHPESLHMFTFLFDDVGIPLNYRHMEGFGVNTYTLINRDGKPHLVKFHWKPTCGVKCLLDDEAVTVGGTCHSHATKDLYDSIAAGNYPEWKLYIQTIDLEHEDKFDFDPLDVTKTWPEDIIPLQPVGRMVLNKNIDNFFAENEQIAFCPAIIVPGIHYSDDKLLQTRIFSYADTQRHRLGPNYLMLPVNAPKCAHHNNHHEGFMNFMHRDEEVNYFPSRFDPARHAEKVPIPTRVLTGCREKCIIQKENNFKQAGERYRSFDPARQDRFIQRWVDALSDPRVTHEHHTIWISYWSQCDASLGQKLASRLNLKPNM >Sspon.04G0010820-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:32905668:32909657:-1 gene:Sspon.04G0010820-2C transcript:Sspon.04G0010820-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:N-carbamoylputrescine amidase [Source:Projected from Arabidopsis thaliana (AT2G27450) UniProtKB/Swiss-Prot;Acc:Q8VYF5] MAAAAGRKVAVAAVQFACTDIEAENVATAERLIREAHKKGAKIVLIQELFEGHYFCQAQRLDFFRRAKPYKGNPTIIRMQQLAKELEVVIPVSFFEEANNAHYNSVAIIDADGTDLGLYRKSHIPDGPGYQEKFYFNPGDTGFKAFKTKYATIGVGICWDQWFPECARAMALQGAEILFYPTAIGSEPQDGNLDSREHWKRVMQGHAGANLVPLVASNRIGRETVETEHGKSTITFYGNSFIAGPTGEIVKLANDKDEEVLVAEFDLDEIKSTRHGWGIFRDRRPELYKVLLTLDGEK >Sspon.07G0022730-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:22335605:22345932:1 gene:Sspon.07G0022730-2C transcript:Sspon.07G0022730-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTPPSSRDPSPQPRRPASSAGPATKRGGLLLGRYELGRLLGHGTFAKVYHARTPTPARPSPSRCSTREGPPNGLVPHIKREIAILRRVRHPNIVRLFEVMATKSKIYFVMEFVRGGELFARVAKGRLKEDTARRYFQQLISAVGFCHARGVFHRDLKPENLLVDERGDLKVSDFGLSAVADQFHPDGLLHTFCGTPSYVAPEVLARRGYDGAKADIWSCGVILFVLMAGYLPFHDQNLMAMYRKIYRGEFRCPRWFSKDLSSLLNRLLDTNPETRITVAQIMESRWFQKGFRPVRFYVEDDQVHSLADSENEVPELGPSEPPPPPPPPPTLKEDDGDDSGWESDSSVASCPATLSSEERRRPVGSLPRPVSLNAFDIISFSRGFNLSGLFEERGSEVRFVSAHPMQTIITKLEEIAKVKSFAVRRKDWRVSLEGTRESEKGPLTIGAEVFELTPSLVVVEVRMKAGDREEYEDFCERELKPGMQHLVHHTASVQLNIRNFQNLEWCQQEGKCCWKLQGRYFWLKEMGIPYCKCADRGTPATKTYQTQAKSSNQRFRELKSEI >Sspon.03G0033170-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3B:46484409:46484892:1 gene:Sspon.03G0033170-1B transcript:Sspon.03G0033170-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LA >Sspon.03G0006760-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:33666419:33669711:1 gene:Sspon.03G0006760-3C transcript:Sspon.03G0006760-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable pectinesterase 53 [Source:Projected from Arabidopsis thaliana (AT5G19730) UniProtKB/Swiss-Prot;Acc:Q8VYZ3] MGVPRARFLARIAVVAIVLLAPTSTCGVDGHSRGLRPGRGAGGAGDPPPPFPVNATRAEMIERQFMEWVRYMGGLRHSTFQHALARAFPSYSLVVDKNPAFGDFTTIQAAVDSLPVINLVRVVIRVNAGTYTEKVSISAMRAFITLEGAGADSTIVQWGDTADSPTGPKGRPLGTFNSASFAVNAQYFLARNITFKNTSPVPKPGATGKQAVALRVSADNAAFVGCKFLGAQDTLYDHSGRHYYKECYIEGSVDFIFGNALSLYEDCHVHAIARDYGALTAQNRQSMLEDTGFSFVNCRVTGSGALYLGRAWGTFSRVVFAYTYMDNIIIPNGWYNWGDPNRELTVFYGQYKCTGPGASYAGRVAWSHDLTDDEAKPFISLSFIDGTEWVRL >Sspon.02G0053200-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:101394671:101396025:1 gene:Sspon.02G0053200-1C transcript:Sspon.02G0053200-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATSPWRPAALLVPAAGAGPVVRLLQEELPKAESIVREFLSSAVRQNVGLAAALIRLHFHDCFVQGCDASVLLDSTPTQPSEQLSPPNLSLRPAAFKAVNDIRARLEQACGRVVSCADIVALAARESVALGGGPAYKVPLGRRDGLAPASDAAVRDALPPPTSKVPTLLSFLAKINLDVTDLVALSGGHTVGIAHCSSFKDRMFPTQDNTLNKFFAGQLYGTCPTDTTVNTTVNDIRTPNTFDNKYYVDLLNREGLFTSDQDLLTNSTTRPIVTKFAVDQNAFFEQFVYSYVKMGQINVLTGSQGQVRANCSARNAGAGGDDLPWSVVDAAGSLVL >Sspon.02G0033060-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:3449571:3450170:-1 gene:Sspon.02G0033060-3D transcript:Sspon.02G0033060-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTKQGMVAVVKPALAKGTPSASFRLRNGSLNAVRLRRVFDLFDRNGDGEITVDELAQALDALGLDADRAGLAATVGAYVPDGAAGLRFEDFDKLHRALGDAFFGALADQQDDAGADGGKGGEEDEQEMREAFKVFDVDGDGFISAAELQEVLKKLGLPEASSMANVREMICNVDRDSDGRVDFGEFKCMMQGITVWGA >Sspon.02G0025750-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:94520362:94521229:1 gene:Sspon.02G0025750-3C transcript:Sspon.02G0025750-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCRVPPAKRVWRGIRARLGLRRTTGLGRLRKEVRTCEYSDVHVMWEMLSNPSTSGSGSGVRDDRRRPTTAGKGSAATRPARNAAAAAAWSRLASYCCAL >Sspon.08G0017040-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8A:66647453:66648509:-1 gene:Sspon.08G0017040-1A transcript:Sspon.08G0017040-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFWRLMGFMTTGGPAVRGQAWNFLSVACHHSLSATVLGVVPAHHVIFRTTYGIRQWSMLHKEEEGPLFSEGCRRWETLIMAVFAKFG >Sspon.06G0002620-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:6671487:6672712:1 gene:Sspon.06G0002620-1P transcript:Sspon.06G0002620-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAILKRHSSTDTDSAMSTAAAGGEASAAVAASLSDDMLAEIILRLPVDSVARSKCVSKAWCATVSDGYLRRRLPLQLSVVYFPDDADSASARGKTRPRFACADSAAGDSGVGLLRDRDLGFFPFLDVSVVCDACNGLLLLRAAGTRRFYVVDPVTRRWAALPSPSRDPRLSMLAFDPSSGSGYHVINFTGRWRDRGGEVEVFSSETRAWSLRDAEFGVPAASLSGSVHFHASAVYVLASDPDCVVRMDVTAGLECAVAELPEPADGGGDGRLAHSSGKLHYVATDGALLLKVWVLDGESPALRWRLKHAVKLGDVVELEGGFLALHPEKDALYVWSAGKLQLLEYDLTRKEVTGAWAFGKGEKNRVVKTWLVPSSMYLSDCLPLADDAHVQEQCQGLNC >Sspon.01G0052660-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:25022027:25025480:-1 gene:Sspon.01G0052660-1C transcript:Sspon.01G0052660-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MWAPSVSVAQGRGQATAWARLWGEHAPRRGGALANLRSAPRLPGSHHLLATGDPRSGADYMSCPLTLLNQIEAGSSTGVVDIDESFTAINTFLLVLIVEIDDVCWPMQSSIVSVQSGSIQLVPGHVHGRALKH >Sspon.05G0039500-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:71307940:71311907:1 gene:Sspon.05G0039500-1D transcript:Sspon.05G0039500-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWVVAIIPTHISTSPSPAHLQSLSIDPSSNVILLEIQHYLLILEILIPWEQTSLMEHHKNDSMAGSALNKVARPSDNAQVIQRSMAGNSLNMVPRPSDNAQVLSYLAGGDQVQSTKQDTGHILKSKMQAVYREDV >Sspon.08G0001760-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:3695613:3696326:1 gene:Sspon.08G0001760-1P transcript:Sspon.08G0001760-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSTEPARGPYYASAAAAPAADGVEAPTQHYKRRNGHQILQEKRPWSSGLVWALVILCTVLAIGVIVTGATVFAVYLLYKPKTPYLLVSDARLETLVYDQSGTIRDLQLALTVLAENSNSKTDATFSRVNLAVGFRGAEVALLRAGTFAVPRRSSFPLRYQVVSAGRQLSPQGMEAMAGALRAGVVPLDLFGKARTTWKVGIFASLQFWTRISCRFLFNYPGNGTALPIDCRSKSP >Sspon.07G0025310-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7B:40564077:40564414:-1 gene:Sspon.07G0025310-1B transcript:Sspon.07G0025310-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SWLNLKRTPSISYRPGCPIKLWTHLGGVHRRKAVLKRKREKAAAWLAWWAMCDHAVWWAGKKRAEEPVKMETGCAGIKVASPFITSLQVHKNWNQNFLNVLQFLLRSKLGI >Sspon.01G0038070-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:27914943:27920032:-1 gene:Sspon.01G0038070-1B transcript:Sspon.01G0038070-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKATAPPAKRRRGPRLAVLALVFCSLLVPIAFLFNRFPPVSPLLRPVTTPRPRNPRIWGNAGVGADRISAVPHGSVRFLIGSIVFCCAVYVTDERPQQEINLPSFDRIVSGGSVNEDVSKKSSGSSSVLHRGIDSDPSIVSPKPKAIVFPPPKIQQEALPPPKVEPKPEVKPVPVPVQVPVPVHHNKNINLDNKRPPRVQSADEVEKAKACQLEFGSYCLWSIEHKEVMIDAIVKRLKDQLFVARSYYPSIAKLKGKETLTRELKQNIQEHERVLSESIVDADLPSFIKTKIERMDQSIASAKSCTVDCNNVDRKLRQILHMTEDEAHFHMKQSAYLYNLGVHTMPKSHHCLNMRLTVEYFKSMPLDPNYSSAHKFNIPDNRHYVILSKNVLAASVVINSTVSSSEDTENVVFHVLTDAQNFYAMKHWFARNSYRESVVNVINYEQIIFENFPEFGTQQLYLPEEFRVFISSLERPTEKSRMEYLSVFSHSHFFLAEIFKDLKKVIVLDDDVVVQRDISFLWNLDMGDKVNGAVRFCGLKLGQLRNLLGRTMYDQQSCAWMSGVNVIDLDKWRDHNVTENYLQLLRKFGNNDDEASLRASALPISLLSFQHLLYPLDERFTLSGLGYDYGIKEEVVQSSASLHYNGNMKPWLELGIPDYRKYWKRFLTRDERFMDECNVSP >Sspon.04G0019920-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:70236695:70246166:1 gene:Sspon.04G0019920-1A transcript:Sspon.04G0019920-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGVHSLLARPNSAPFAFSQPPLRRRHRPPPPGPSACRAASRWADRLFADFHLLPAATAATDPPAAAPSSYPFVPLFPDAADRALPLPVDFYKILGAEPHFLGDGIRRAFESRIAKPPQYGYSTEALIGRRQMLQIAHDTLTNQSSRTEYDRALSEDRDVALTMDVAWDKVPGVLCVLQEAGEAQLVLAIGEQLLQDRPPKRFKQDVVLAMALAYVDLSRDAMAASPPDEDGASNLAPELLAQIDETLEEITPRCVLELLALPTDEKHKNKRQEGLQGARNILWSVGQGGIATVGGGFSREAFMNEAFLQMTSAEQMDFFSKTPNSIPPEWFEIYSVALAHIAQAIASKRPQFIMVADDLFEQLQKFNIGSQYPYENEMSLALERALCSLLVGDISNCRMWLGIDNEPSPYRDPKIIEFVVNNSSIDEENDLLPGLCKLLETWLLSEVFPRNRDTRGMQFRLGDYYDDPKVLSYLERMEGGGASHLAAAAAIAKLGAQATAALGTVKSSALQAFSKVFPLIEQLDRSGKDTPSDDLEKSLEKLAQESVAGDAIHDSRNAALKIISAGALFALFAVIGLKCLPRTKSLPALRSEYATVAVADSIDGPAADEEPLDIPRMDAKLAEDIVRKWQSIKSKALGPEHTVTALQEILDGNMLKVWTDRAAEIERHGWFWEYTLSDVTIDSITVSMDGRRATVEATIEEVGQLTDVADPKNNDAYDTKYTARYEMTYSKSGGWRITEGAVLKS >Sspon.04G0010110-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:29883284:29891116:1 gene:Sspon.04G0010110-4D transcript:Sspon.04G0010110-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGVGGPLLTTGDLLSDLAVDGGDDPLVGVGDASAPSSPSAAQQAGKADPSDLSRLFEEHYNNLMKALQEKDPSWPSLMLKLCAALKTANKLVSCANTNAEQLLEKVKALEGVLERGDHAVAEIVEALQRSGLAKDHQSSRSKSAS >Sspon.08G0019630-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8B:13529468:13533735:1 gene:Sspon.08G0019630-1B transcript:Sspon.08G0019630-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPERQWAGLTSDTATTPTLTAYRTPLRSYRSYVSDRVRQPLTHLTRSDYICPHAPSRASNPPRLRLRVKPATLHPSHAALLPSPCHTPPLTAAPSPAAPFPVLMSHLVCNIPSRVALVRAEDAIPSTRRGGGGESTSAGRTTSGAGDKREPVSHAGHPSRLPTGHRVLRLVLNLTPIRRDMKRAAPSVEPLDVSSGDSSGSDSDQLGRKEKGGSASKASAAAAAGEDMKRVVLHKESHDVSSDDDLSSDSDDDVGKGNGWNAFGLPNSSKASSVAEGALIRRAEMYQQYMKHIPVPAYCDSVIPFTSWLGLAGSLKQLYEQPLHYLTNVLLKKWDQQRIGSDDEHRRLDAIIHPVRAETLIWATEESKKKKTGVDFSALSRHGYHGGPSVLTVRPVKEANWSWSTGKDRNDKEDAPESYEERERTRAAVTEGEKLIGLQNAPPNQLLLEKDKKDASFSQKEKRKRDRGQASTGKNYVEEEKRLLRGSGVYSGFDT >Sspon.08G0021530-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:40518378:40519680:1 gene:Sspon.08G0021530-1B transcript:Sspon.08G0021530-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKICNDNHEAKQPKNFHMILVVESTCLNFDFFASSNTKLYHLCCILYHTLVFLPVLTIFAMLLQPKWNDALLEVFRIDYVGSSPYITCNPSLFHHRLSTRDRFLILSSDGLYQYFTNEEAVAQVEMFIATTPEGDPASIWWRKFFSELQTKQEWTFTN >Sspon.04G0009660-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:24404492:24408661:1 gene:Sspon.04G0009660-2B transcript:Sspon.04G0009660-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKCRSDSSGGGDDHRAPAPAATGVGGSPSGEPSKKQRTEEPSPSSSVAGECLSSSTQAPPPLPLAVQLPQDAGVGEPPAPPPPPGSESGGGEQVRVPDLGEDLVFEVLMRAEARTLAAAACVSRGWRVLARDERLWEAACLRDWAYTGFSEQMLRTVVLSLGGFRRLHEMYIRPVQQRAAGAPPPGQQQRRQLPVQVSLSLLSTSFFLNMPNAPPPPPKDKDKDRDKNGGGQCGVSRDEFMEKVTKTVDSEELSVDFSHKNVFGAQRASWRIISSVEQKEEGHGNEDHVTLIKDNRSKIEVASTKCVGLYRAVPRVGFKQGEDGSCVGP >Sspon.02G0000070-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:563430:569160:-1 gene:Sspon.02G0000070-1A transcript:Sspon.02G0000070-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGIITKAQDTRQFLSSLVWSIWEGITLLVRAVHLAFLFFPATALAPFADKFSVAFRRRWLSLMRRTLEKAGPAFIKWGQWAATRPDLFPSDLCVELAKLHSAAPAHGFAYSKAAIEKAFGRELSEIFESFEENPVASGSIAQIHRATLKHQHPGKHVAVKVRHPNVGESIKRDFLLINLVAKASNIIPGLSWLRLDESVRQFAVFMMSQVDLSREAAHLSRFIYNFRRWRHVSFPKPLYPFVHPSVLVETFENGESVSRFMDEIEGNARMKKDLAHIGTYAFLKMLLEDNFIHADMHPGNILVRLNESKLARRRFFRAKPHIVFLDVGMTAELTRSDRDNLQQFFKAVATRDGRTAAKCTLQLSKNQSCPNPVAFTEELDKTFTFWGTPEGDVFHPVECMHELLDTIRRHRVNIDGNICTVMVTILVLEGWQRKLDPGFDIMHTLKTLLLEKDVKQPIDFFS >Sspon.05G0013670-1P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5B:49672980:49681277:-1 gene:Sspon.05G0013670-1P transcript:Sspon.05G0013670-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGSGGHQLPLEWPHPGPYGYDPYTNTFLPLPYYGYGFGVEYSWNPPDQQQGPIPAPYFSSSVPLGRAPMAGAQRGRGRGRHAQRGRGGRHGFSAPRQHGGPGLLGSDPSSQPPAQVQPPVLVPLPSEKRDPTPPPPASSSPSSHAMTPPVSDPVKNSHVVYVSMTAAKMEDARHDVLVGLSGSSTAATDKKRLLPLYPPAPMKNSDVDVSMAHRDDDQGDVLGLNVYMAKKRLLSAKAALAADADAEEAAEAAARKKTRLGWGQGLAKYENRRYKKDDETTHKLVAHDGATGEPASSHGSSRPLGSKGHGDNNSLDDMAEMRVSHVTAAAALFSKGSSRPLTGDVGDPRKNGSIGSLTEKAASPAEVPARFSRKHRPSPAADDQNLKADGCIGDLQIIFPKVYAVPHKGSPPPAAEDNSMTAIAVSALASSSQGCDAPPDESSMKFTKVEDVPPRMEKVIVKMVQKPRKILPKNVLSDEEPETDELQGSKLKEWSQEEKDVFSEMITTFATVTATKKYERMNAKAEDVKKPENQNFHESTANLLAHSLPDKGHGESSMDEHEHATGKVLVGESNASPSPLGMRSSQAACLTISKTEAPVLENFNEDSCSNEAVCITKSSSKVKENVPQSVLIANHGDEEIVWLECNDAAKHHSWKATEKKLANSNIDDGRMAPSDMKNPNSKENPCITSDPAAMLTGPAFVQNMMELDEAMEKKESEKNDDKVKGKEGNNPVLCVASKHGSGIQLRFEVNSQKEMEKVDCIPSPEGNDLNADMETPTKDRSPCVATNASVVRDDGLIQQLRASEAMYRSSENNDAKVKSKKGNPVHSVASKESNGIQLSCNVTAQKGKGIIPSPEVNDLNAPPMQISNGAPKTYHLPSSSGSAALDMVHANSSYVAMDIDLNIPLDVAPLDVTLIDLGSSTAEASMGPSSEATDSDATMEISPSQTTTTVTQTAQQQPANQPGSIVLFGRVIYQAPSTGTTNHTPNQGNQTVVSSSNMPSNPVVPVIRRRGHGQVYPSWATTTSIMRNSIPNNQQGQQAMLSNRILYGSSANGASTSAASPLQLQPGSSALLNMMNQHRQQALANITPYRIMRGGVPVVPSPHLIQGSSGGAGLVNFNGFNSVQQQWPFPVVDTSRVVLGYPFYYPGATANQAPATVNTMLSIGTNNGEGASTSNARWDNHGLERLRTCIRKDLNIIAYRFRLHYPEDTPELLSTIPTSAFGKMQRYGPQQTTAHCSSDTAA >Sspon.01G0023480-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:82979148:82983284:-1 gene:Sspon.01G0023480-2C transcript:Sspon.01G0023480-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQPQAQTMASAAGITSGQIQKNRILGSNSKPFAGIILKKPQQVGVLPLRVRGSIASSPWKLFSPKAAAPKSGDGLRIAVLGASGYTGAEIVRFLANHPQFHIKVMTADRKAGEQFGSVFPHLRTLDLPRLVAIKDADFSDVVAVFCCLPHGTTQEIIKSLPRHLKIVDLSAVCSAHTIFTSLICVRSQLLLRNYCLQDFRLRDINEYAEWYGHSHRAPELQEEAVYGLTELQRDDIRNARLVANPGCYPTSIQLPLVPLVKAKLIKLTNIIIDAKSGVSGAGRGAKEANLYTEIAEGIHAYGITSHRHVPEIEQGLTDAAESKVTISFTPHLMCMTRGMQSTIYVELASGVTPRDLYEHLKSTYEDEEFVKLLHGSSTPHTSHVKGSNYCFMNVYEDRIPGRAIIISVIDNLVKGASGQALQNLNLMMGLPENMGLQYPPLFP >Sspon.08G0004550-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:13099857:13102458:1 gene:Sspon.08G0004550-1A transcript:Sspon.08G0004550-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LRFGAPEMSMAAAARKKKGSSPLSLAAATAAAPVHTLSRGGCLAPTALSMGRGGCSVPMARSLGRGGGSAAATTRPINGGFGKGAATVPSSIDGSSGGGFPISSSSMDGFPFPPSPSQAWWDAAGGDPSSPGLGRSILPMCCRDKDPRPPGGFMSYFSNQPQNFHLVGASIHNSPASSPPEVEILLVPPYNDNDNIRTERRILWTEDEDISLMSAWIEHSTNSTCGADKGGKEYWSEVVKSYNKTTPALRKRNLKQCKDRWHKINRWTDLFECAYVKARRLFISEYSDQMWIDAAHKFYVEDNKDSKLGPFIGIEVWKICRKVSKWKTYNEDLKNARKRKSFHLEEERDEDDESLEEMPKRPMGQKAALAAKGKSKGSGCDDDGNSKESAIDLDKLGRFSKFQEETNAKRMKILELQQKLSSKKLETTKIAHLTAQENKEGKKLEKESKMMEAYNNLISQDTSSMSDAEKARCSTIISYLNVNRDAPLLSVTFINGPC >Sspon.06G0022430-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:30352884:30357271:1 gene:Sspon.06G0022430-1B transcript:Sspon.06G0022430-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRECGQIDRAISLLEDYANAQTSNIDWSVLDLLISLYLRNNVLSEALRQIKRAQLQLGSQQKLPVQLQAKEVICHAYCGDMKYAEIFLHDVHLEPSKENIDVIKELASTLEKMGQYEYAVKFYLLIENVATHNDGGLFFDHKEMGSSYVKVAQCYMVLGDKKNAIPYFYKALRSMKDNIDIRLTLSSLLIDDDKTDEAATLLSPPKIPELQSANTPDLQKPWWCDGKVKMQLAKIYYNKGKLEDFVDTIFHPILETLNVEYANRKVRPMKKLPNTVLHERVKVLGEQRPDSVFQGLKPIASPDEL >Sspon.04G0027310-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:60113723:60117798:-1 gene:Sspon.04G0027310-2C transcript:Sspon.04G0027310-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRLRSFTRPTAAAFLRSAASRSPAASLPRTLAPVPRGFGHRAADGSGAVSATAAQRGLGGPADVAAGAGGGPGRVAGYALQLLPGSL >Sspon.08G0017780-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:2935630:2936138:-1 gene:Sspon.08G0017780-1B transcript:Sspon.08G0017780-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DVDAGGAGRGGAGSRGHPLDAGASGGHLLQRPAHALRGRREAGADQAPQVGQGAAVHDQVRRHLHPRRRRRARGGPAQRQEAPRGVQGRLPHPAVLVGSTCSSL >Sspon.05G0028590-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:64876597:64889639:1 gene:Sspon.05G0028590-2C transcript:Sspon.05G0028590-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDKKDDEAGTSPPAPPRVAVAAAAAAPKPSTYAPMGALRGEECADLLALVSAVSRPLEDAVADFLARVPPERRPRFGNAVSFVLEDKMMLQPAERLVAFAILHQGYSSQLANPFLPLLINAACEETSEKAEQAFLQVLLSSTNGDNSEVLKQSAVDYLNGSDYASQVLLPREQLEKQCSYDAARPLPFSSNFRDATVRSAIPDPDVFQSFGNSSEVSSIIPNRDDMTTTMSYYGMVPCVRTLAEVLGFEIWLTXXXXXXXXLEKQCSYDAARPLPFSSNFRDATVRSAIPDPDVFQSFGNSSEYWLNIDNNHELLWDGTMCADTSRGAGIRDLVDQACKGPLAVAQQEKIIEDLGKNWKLVYHCGMTPQKLPDLVEHNPLIAVEVLSKLINSPDMDAYFDVIVHMDMSLHSMEVVNRLTTAVVLPPGFVHDYISNCIRSCEDIKDKYMQNRLVRLVCVFLQSLIRNKIIDVQDLFVEVQAFCIAFSRIREAVGLFRLLKSLE >Sspon.06G0001140-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:6916783:6920033:1 gene:Sspon.06G0001140-2C transcript:Sspon.06G0001140-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRGLAATRAAVGLCRIAASASSSLPSAAAGGRRERELPFALVVERGMVVGGHRGMGMNAVGAPPGAPVGAARERENTLLSFGRAAEHAAVAFVEFDVQVRLAVSFGTLFGFGLEFAVTPQHCDARMVTKDGCPIIFHDDFILTQGIGTVCERRVTDLLLEDFLSYGTQKESRKVSKPLLRRGGDGRVLSWTTEDDDSLCTLQEVFQRVSPRLGFNIELKFDDSIMYHRKDLECALKAVLQYARSRSVFFSSFNPDAAQMMRELQSSYPVLFLTEGGTSKHHDSRRNSLDDAFRVCLEHDLHGIVSEVRGVLKNPSAVVRAQESNLALLTYGQLNNVSEAVYIQYLMGVHGVIVDRVEEISNAVAGFGKPDLGQSGAGVDGAKHQAFSQQQLGFLLRLIPELIEQRD >Sspon.01G0008740-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:3914899:3919278:1 gene:Sspon.01G0008740-2P transcript:Sspon.01G0008740-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAYEATKEVFSRIQALDPDHAAKIMGFLLIQDHGEKEMIRLAFGPEALLHTVMAKARKDLGLLPAPGSGPGTPTSAAAAHSPFLLSRQNSGRCGGGGAGTAPSPLSVSSPSSWAPPPVFSRSNSVVSNGAAADEALAAAAAGVGDDLMSPAAGGNAPPSPFFAAGDPLLDELQLQEQLAFLNDAAGGHQLPLFDAASECRSAGAGDAAGFFPYGGLGWANGGGPGHRRSASVSELCLGGADGLGWKPCLYYARGYCKNGSACRFVHGGLPDDATALTGAKMDTATLEQQCQDILLRSKSQRLAAAAAAAFPYSPTGSLPGSPSAATKCLSLLLQQQQQNENQRAAAAAAAAALMLGGDDAHKFMGRPRLDRADLASMMNPGSRQIYLTFPADSTFREEDVSNYFSMYGPVHDVRIPYQQKRMFGFVTFVYPETVKLILAKGNPHFICDARVLVKPYKEKGKVPDKYRHAATTFHFAIHAARSQGFSLYTTSCARMLQHSHSANEMVLRRKLEEQQQQQAAELQQAMELQSRRLMGLQLLDLKPRSSPSPIGMPFSPTRAVASPTVESPPNSAGEQGKGSGGFLLPQRRAVNGGDKEESAGDASPNADSDQSVEHNLPDSPFASPTKKSAAAAYSRDPFAPSDSEIPAAAAASPGRNAAPFAGINNGGLTGHRRPSVLDIPSPKPYFFPMSRLSSDHGAGAIGM >Sspon.02G0018100-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:59020555:59023143:1 gene:Sspon.02G0018100-2B transcript:Sspon.02G0018100-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGDQPETVEVTLRAVGPSRPTTLRLPPLLSVAELRGRIARERRLAGTEEVRLRLVLRGKTLPHQDDTHVNLRDGGGCPNPESLYVFVGDLRDMLLTSHTLIVAVAPKPPANHLLDNDDEEEEEEELKFKIPQTTTLWKRKIFMFLRDKLRLPDIILMALFSLSMKAWIIIAMWFLLAPIAQKYDIGPLYILGTGFLIILLNLGRRQQGDVSAYSIFNEDFRELPGTLNADRIDRDIRAGQF >Sspon.08G0011230-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:44846479:44850985:-1 gene:Sspon.08G0011230-2B transcript:Sspon.08G0011230-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-DYW protein, RNA editing in mitochondri [Source: Projected from Oryza sativa (Os12g0270200)] MPSPPPSTAAAAAQQLESLLPHLSTLSHYKQFHARLHALGTLHSYPSLRARFLDRLALLPHADALPHALLLLRSLPSPATNDFNAALRGLAASPHPARSLLLLAGRLLPAPAPPRPRLDALSLSFALKATARCSDALATLQLHAILVRLGAVGNVRVCNALIDMYSKCGSLSRALEVFHSIKLEDRTLVSYNATIQALAMHGLGEDALKLFDEMPARIEPDEVTYLAVLGGCNHAGLVDDGRRVFDCMRVPPNMKHYGTIVDLLGRAGRLAEAHDMIMHMPFPADIVLWQTLLGAAKMHGNVDLAELAATKLADLGSNVDGDYVLLSNVYASKSRWADVGRVRDTMRSNDVKKVPGFSYTEIDGVMHKFINGDKEHMRWREIYRALDEIGSRICELGYEPETSNVLHDIGEEEKQYALSYHSEKLAIAFGLISTPPGETIRVIKNLRICGDCHVVAKLISKAYGRKY >Sspon.04G0024890-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:22799113:22804161:1 gene:Sspon.04G0024890-1B transcript:Sspon.04G0024890-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALQRMGVVENYERIRDYSVAIVGIGGVGSVAAEMLTRCGIGRLLLYDYDTVELANMNRLFFRPEQVGMTKTDAAVQTLSGINPDVVLEACNELGQTWMESGVSEDAVSGHIQLLVPGETACFACAPPLVVASGVDERTLKREGVCAASLPTTMGVVAGLLVQNALKYLLNFGQVSPYLGYNSLKDYFPAMEMRPNPQCSNPACVQRQKEYMESKPARDAAAKAKMEAEASAENECPVHVDNEWNISVVDDEETGTSSIRNTPDILPEGLVRELPDADSYAEPAAPVSSSAIDDDLEELQRQLDALNAS >Sspon.01G0025740-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:89804438:89806538:-1 gene:Sspon.01G0025740-3C transcript:Sspon.01G0025740-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVEEEVGRLKEEIQRLGQQQPDGSYKVKFGVLFNDDRCANIFEALVGTLRAAKKRKVLTYDGELLLQGVHDNVEITLLPPPAVAAA >Sspon.03G0038630-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:1979176:1983369:-1 gene:Sspon.03G0038630-2D transcript:Sspon.03G0038630-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKPAPRPQTGTGRRRPRPSLPLRSTHRECAPRRQALPLHLPLQSNHSCDGDVLIAVLCARAQVGGGAGEDGEEAALGRSRSRRPPWMAARRVDAALLTDANGMLSGILTAEDIAGRVIAEGLKPEETLMDKVMTRNPVFVMSNSSAIEALQKMVQGKFRHLPIVEHGEVIAMVDIKKFLYDAISRMEKAAEQGSAIAAAMEGVEREWGNDFPGPHALVESLREQMFKPSLSTIITENSCAPVVCPSDPVTLAAKKMREHRVNSVVVMTGNVLQGILTSKDLVLRVLAQKLSPDATLVEKAMTANPDCATLDTSILDALHSMQDGKFLHIPIIACLDALQLAHAAISMVEGTSGTNDLADSMMQKFWDSALAIHPAEEFDSRSDESRMVASDSADGKHVHPPHVNSSFCFKIEDRKGRMHRFSCVSESLDELVSTVAYRLGTDGEKSSINLLYDDDEGDRVLLTSDSDLTAAIQHAKSAGWKVLRLHMDDSQVITESTVPRANTSTSQRARTSFRFGIVACAVALAGVAVIVYLKRSQL >Sspon.01G0008760-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:24394519:24399069:-1 gene:Sspon.01G0008760-1A transcript:Sspon.01G0008760-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPRKPCTSAPDPPVSGVRLPWHPPAPPVPTAVLISLAALLVRVLVSVGPYSGQGAAPKFGDYEAQRHWMELTLHLTPSDWYRNTSDNDLAYWGLDYPPLSAYQSLLHGHIINASLPEAVALRSSRGYESMESKLLMRWTVLSSDLLVFFPAALWFVWAYMKLGIGGEERREGWMWLLSMVLISPCLVLIDHGHFQYNCISLGLTLGAIAGVLSRNELVAAALFTLAMNHKQMSLYFAPAFFSHLLGKCLKRNYPVVEVTKLAFVVLGTFALVWWPFLHSYEAALQVISRLAPFERGIYEDYVANFWCSTSVIIKWKKLFTIKPLKLMSLSATILAFLPSFVQQVKSPSNLGFLYSLMNSSFSFYLFSYQELGVVGVEQPLCLADDVLALLDRLLRDDQLQHDHAEAVDVALLGQLLGHVVFRVQVALQ >Sspon.06G0004220-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:11287490:11297334:-1 gene:Sspon.06G0004220-4D transcript:Sspon.06G0004220-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDILLGSGRFLARRPPLALVPRCSRGSPDKSGSDKGETSTDWDKAWTTFKNKGKRTLFSDFSPNKYVTWNPRRSEYPLSEEVDPIKRTERSNLMLWTSPQFTLVGAIIIVLTLLIYTLVVPPKQ >Sspon.02G0054580-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2C:114899611:114900147:-1 gene:Sspon.02G0054580-1C transcript:Sspon.02G0054580-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSKPSSSAAALLLLAVAMLAAASVTPALADVTHANCAKNKKVTVQNLCTHDVTLTLEPLANSPPLFTGAYTLHPHSHAEFPVCWWTGRLHAGADAATVEFHVGVDGGSFYLAPNAQPGQRVPVSVTPHAASAASPLQGRCPAVGCAVEGKCSVHQVPSGDCRNVQEMKIIYCNPHV >Sspon.02G0036210-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:23458798:23459604:-1 gene:Sspon.02G0036210-1B transcript:Sspon.02G0036210-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MACQQLRSTSLPVRPHALVQEVEDELQRIRSCAVASASAPSLAARLGDAYGRIEELVRLHGGRDAHAHALSSARWRSAAEAELDASVALLDLCQRARDAVASAKQHVRAARRAVRRGDAVLARAAVRGYVRCLAKAGKKHDAGGRKKLLSRRGAPANNAGETPAAVKVLSEAVAVTVAVLQCVLSSLSMRAVDTRRKSRWCVVSKLLGTSDWSLVGACKDLEGHEGAMSAQETLQELEDGVEAVESGLEHLFRHIVQARVALLNVLTL >Sspon.01G0054000-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:45544852:45550093:1 gene:Sspon.01G0054000-1C transcript:Sspon.01G0054000-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:H3K9 demethylase, Floral organ developmen [Source: Projected from Oryza sativa (Os10g0577600)] MWDPLLRPTAQRRDMVEGRSCLPAEVRNGLETLKRRRLERMRLSAQKEAADNPAVAARSGGDALWSPANCGVRLHSNNSTALPGNVQNKDPFAKRKVEKFDMSNLEWIDKIPECPVYCPTKEEFEDPIAYIQKISPEAAKYGICKIVSPVSASVPAGVVLTKEQPSFKFMTRVQPLRLAEWAEDDTVTFFMSGRKYTFRDYEKMANKVFSKKYSSSSCLPARYVEEEFWREIALGKMDFVEYACDVDGSAFSSSLMINLGKATGTSSINYHHCGAFKTWYGIPGDAAPGFERVASQYVYNKDILNGDGEDAAFDVLLGKTTMFPPNVLLDHNVPVYKAVQRPGEFVITFPRSYHAGFSHEHELRSCPCKSNRVVYVREDILELEALSRKFEQDVCLSKERSCSFKDAEISDTNVERVPNLGITLDFGNSKAGSSGFMTVDGGNSSAAVSILTSSAHHKAPKHSEARTINTSMTKGTCTVDESSSGMDDACNEHGSCNASAMECSDNSDSESEIFRVKRRSTSFDKPTSETKTSTLSEQQVLRRLKKVHPEVQQVSRRPEEYDNGSVHSTRMSQKSSNPASSDDERDDKVPISWRIKRRQLETQHNVTSPGARPQSYPASSGGSREESAERTRDAAAELRPKRVKIRLPSSASRQIEQQGSSGQRFMREDKLSLGFPRALLTTKRGDRREEWEGSGGDCGKADLISTGAAD >Sspon.02G0059360-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2D:92429806:92430048:-1 gene:Sspon.02G0059360-1D transcript:Sspon.02G0059360-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAGPQRRWQPVVEKNGAGTRLRWRESARVGSGDAQELGEASCEMEWMEIEQWWRIPPATKAWQRRDRERKERNGGRAMA >Sspon.08G0023490-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8B:55871838:55872482:-1 gene:Sspon.08G0023490-1B transcript:Sspon.08G0023490-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLNQGLLRIKEERAPRTQQYPHQHATAGRHEIAEPTQDANIAVSTAPLTSPSAALVAGASLGECTDEKAHQDHEEDVAHEHERCRHRVAPDVIGVDRRVARQHGEAHFGQRGVLPGHVDPGAAFPAADLIDASEAEEDEDADGQRHDRRSHPPGEHGREQRGQRLHCGHLELAEAEEEQQEQGDRDKHEGDGSGVAPGVVDGQRRVEDQGAEA >Sspon.01G0002410-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1A:6535529:6537172:1 gene:Sspon.01G0002410-1A transcript:Sspon.01G0002410-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAGHGLRSRTRDLFARPFRKKGYIPLTTYLRTYKIGDYVDVKVNGAVHKGMPHKFYHGRTGRVWNVTKRAIGVEINKQVNGRIIRKRIHVRVEHVQPSRCTEEFRLRKAKNDQLKADAKARGEVISTKRQPVGPKPGFMVEGATLETVTPIPYDVVNDLKGGY >Sspon.03G0034780-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3B:77010534:77015204:-1 gene:Sspon.03G0034780-1B transcript:Sspon.03G0034780-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHDGFALMVMEDHSLGLAGIEDSRLHLWSRRVSLEGIAEWVQCRVINLEKKMPMANPSDSASVVGFAEGVGVIFVTTGVGLFMMELKSGRVRKVDKPWDLSNVLPYMSFYTPAPLAPGPPPNPNHFPAPAPPPSSPSLPRSACTARRCRLPARRSRQLWKIRACPAAHLPHTGSLARPRRVPPELGRPTPRSPELGGPAPCPFPSHPRVARLVIGRRAGKRAHALEEEGAGHEEEGGGREEEAEGGGRGGRRRREEKEKEKEEEEEEGEEKKEKKKGKRRRRLRREKEKEGEEKKEKEEEEKKEKRKEEKEEEGEEKEKEAAIVPVRRPTLAWRYGPATRPDHRPWSPP >Sspon.08G0019390-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:95005482:95009078:-1 gene:Sspon.08G0019390-2C transcript:Sspon.08G0019390-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFNYHDHALAMDPAAVGAAAANPSFVSGGGGGVAGGGPGWEREKAAIAAHPLYERLLEAHVACLRVATPVDQLPRIDAQIAARPPPMAAAAAAAAAGGAHSGGEELDLFMTHYVLLLCSFKEQLQQHVRVHAMEAVMACWELEQTLQSLTGASPGEGTGATMSDDEDNQVDSESNMFDGNEGSDGMGFGPLMLTEGERSLVERVRQELKHELKQGYRDKLVDIREEIMRKRRAGKLPGDTASTLKAWWQAHSKWPYPTEEDKARLVQETGLQLKQINNWFINQRKRNWHNNPASSSSDKSKRKRSTAGDGNAEQSW >Sspon.01G0020180-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:78454083:78457680:-1 gene:Sspon.01G0020180-3C transcript:Sspon.01G0020180-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPHLPAAVASPSSSSSSTTTTSRAAAYHHHHLLDAAAAPPSSSPHQHRRRRRRRGAGVLPPPAPAARRVRPLLRRRGARAAGGPARGGARRGGHHAGLRRVRPAHGLPGEHGLGAPPARRGRGRQGARRRRARRGRRRGRDPGQLRAHHGGAQGAIRRRLLRAREPRSLAAPRGRTLQSFDKEKDVNSVRVPSLNQACKDFHACQWPSDLTNDDEALALYFDKLNDKNHDAIEEVKSSSKQILTFSHFVCRYVQAPLAYPRERKRRMNSEGWLPFCVYRDGFNPEIYPALWSDYYNKNKREPENTQLAPWVASHFAKYHKF >Sspon.01G0021460-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1A:78749079:78749769:1 gene:Sspon.01G0021460-1A transcript:Sspon.01G0021460-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RQMKIIVQIIAW >Sspon.02G0057540-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2D:60420517:60420921:-1 gene:Sspon.02G0057540-1D transcript:Sspon.02G0057540-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTLSESPPIHPYQRDLSSPLARYHFSFPPLLCLATSLPLFLSTASALLTSQLSSLQFYPLALFLSFPLLPSLSLAYPDKGDNGNLQQHRLAVPALYNTSARTTTLCAAFSEAVQRTYTQRVLRSSSSWAPAHW >Sspon.01G0009090-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1A:25415064:25416243:-1 gene:Sspon.01G0009090-1A transcript:Sspon.01G0009090-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARFSSILELPCGPQRRCCAARGAAPARPRSSRRGAAGTGCRARRGRLARVDRRRRPRLRRGGRAGRRPSPPLLAVVALVSSSPPLLAPVVEIPEPAVVLPACPHFLAAAGGGPHASCPLRGGAGAGVADVRYSATFGGRALLADGDRVPAAASPSAIRVLQDVVADRGADSLRSPSLH >Sspon.06G0015380-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:80660750:80664497:-1 gene:Sspon.06G0015380-3C transcript:Sspon.06G0015380-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSASNGEATAVPVPDSWNFEPNERLLGLMSISVRGVLARIKAEMVAGGGGGGRPVIPMGHGDPSAFPCFRTAPEAVDAVAGALQSGEYNSYSTCVGLEPARRSIAQYLSRDLPYELSLDDVYLTNGCAQAIEIICSVLARPGANILLPRPGYKFYEARSVFNGMEARYFDLLPGKDWEVDTDGVQALADKNTVAIVIINPGNPCGNVAETAWKLGIFVIADEAYAHLTFGERKFVPMGVFGAVAPVITLGSLSKRWLVPGWRLGWIVTNDPSGVFQRTKVAASIKAYHYISSDPTTFVQGAVPNLLENSKEEFFQKTIKILKESADLCWEKLNGINAITCPSRPMGSMFVMVKLDLSYLQDIKDDMDFCCRLAKEESVVVLPGRVVGCKDWLRITFAIDPSSLEDGIDRLKSFCLRHSKPAE >Sspon.03G0004020-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3A:10226362:10227692:1 gene:Sspon.03G0004020-1A transcript:Sspon.03G0004020-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GDEEKSGLLRRLVPGAAESGRLVLFEADLYDAATFAPAIAGCQFVFLVATPFQHDATSAKVRFTTTVPHRVGEYILSKLLSEKALLAYNAAESPAFEVVTVPCPVVAGDMLQAAPRLAWSPASPVTRDARQFGALRMLQRLMGSVPLAHVDDVCDALVFCMERPSMAGRFLCAAVYPTVDEIVGHFAAKYPHLDILRETELGLPSVQAHSNKLGELGFRYKYGMEEILDGSVDCAVRFGRLDASKFSMQER >Sspon.02G0029860-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:105393279:105406811:1 gene:Sspon.02G0029860-2B transcript:Sspon.02G0029860-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKEVVETTLVAPSEAMRRQELWLSNLDLKFPHIYTRVINYYPAAGPPEQQGACEGFFHPERLRAALARALVPFFPLAGRLSLGEDGRRRHVDCNGEGVQFVVVRADVAGAELFDDYQPSPEVTEMFVPALPPEELPCMVALFQTWSRLARGLDAAEAVGPEAPFHDRTSLRARSPPVPATAPDTAVVFTDRLRPFVTRSYPFPLKLIDELKSRCGVAGGGVSTFSAVTAHIWRCMCVARRLAPDSDTRLGMAVNVRRRNHTWTTMNSVDDAYARAMVDYHEVNSKPTVKFVAGKTAPAESDVAVISWLGMPLYDADFGWGIPQLVTPVVRIFGGMVFLLPRGNDKESGITMLVTLEPEYLPGFEKLFNASSSTTARNGPSLHFPTARPPVAVALSSVQSAPPSVAGIPPNTSPACVAAMASHHLASPAAPAAAAAATSATTVSRALFKHAYTKPSCSLLHAPLLRATTRPALLSPAAAAVSTSAAPAATAAAAMDAVKEWGLRPLAEVDPEVYDLIEREKRRQRSGIELIASENFTSLAVMEALGSPLTNKYSEGMPGARYYGGNEVIDEVEELCRARALAAFHLDPARWGVNVQPYSGSPANFAAYTGLLQPHDRLMGLDLPSGGHLTHGYYTAGGKKISATSIYFQSLPYKVSSDTGYVDYDKLEEKAMDFRPKLIICGGSAYPREWDYARLRAIADKCGAMLLCDMAHISGLVAAQEALNPFEYSDVVTTTTHKSLRGPRSGMIFYRKGPKPPKKGQPEGALYDYEDKINFAVFPSLQGGPHNHQIGALAVALKQAMSPGFKVYIQQVKANTVALGNHLMSKGYKLVTDGTENHLVLWDLRPLGLTGNKVELLCDLCDITLNKNAVFGDSSAMTPGGVRIGTPAMTSRGLVEKDFVQIAEYLHQAVTICLSIQEEHGKILRDFKKGLVGNQDIENLRAEVEKFATSFEMPGFRVSDMKYKD >Sspon.02G0025740-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:89266347:89267052:-1 gene:Sspon.02G0025740-1A transcript:Sspon.02G0025740-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLPGGFLSVRVLRGINLVSCDAKGSDPYVVLSLDGQKLKTSVMKKTVNPVWNEDLTLAVMDASAPIKLEVFDKDTFSKDDRMGDAEFDIEALVQIIQMDLEDIRSGTVVRTVRPGGKDSCLADESHIIWDNGQVVQDLLLKLRNVDTGVVHLQLKWVTIP >Sspon.05G0012690-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:33588897:33591578:1 gene:Sspon.05G0012690-1T transcript:Sspon.05G0012690-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALMAAAAARVDDHDEYAKLVRRMNPPRVVIDNEASVDATVIRVDSVNSHGTLLAVVQVIADLNLVIRKAYFSSDGNWFMDVFNVTDRDGNKVLDASTISYIQKTLEADDWYYPEARNTVGIVPSEDYTSIELTGTDRPGLLSEVCAVLAAMGCAVQSAELWTHNTRVAAVVHVTDAGTGGAIEDAARIADISARLGNLLRGQSDVRAGGGAGAGSLALHKERRLHQMMFDDREGHAAAAPPDGAAPRTEVSVTPCAERGYTAVVVRCRDRPKLLFDTVCTITDMHYVVHHGTVSAEPGGGAYQEYYIRHVDGHAVRCDDERQRLVRCLEAAIERRTAEGLELEVRTGDRAGLLSDITRIFRENGLTIRRAEISSSGGEAVDTFYLSDPQGLPVEAKTIEAIRAQIGEATLRVKNNPFAAGDGAVARKDADVAGAGTTAFIFGNLFKFYRPFQSFSLVKLYS >Sspon.01G0023510-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1A:84698488:84698866:-1 gene:Sspon.01G0023510-1A transcript:Sspon.01G0023510-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGLTMDQAFVQAPEHRPKPTMTEVTGIPLIDLSPLTANGGSGDVDALAAEVGHGVPAETVARATEAQRAFFALPPERKAAVRRSEAGYYESEHTKRQEREGLEGGVRPRRARAASAPADSRGRRR >Sspon.02G0006420-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:18923442:18925419:-1 gene:Sspon.02G0006420-1T transcript:Sspon.02G0006420-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTEPPRRPWAGALLLPLAAAAVAAVLSCCCCHGASAAAASFGDNFEITGAEDHVKTSADGQTWYLYLDNKTGVGFQTKQRYLFGWFSMKLKLVGNDSAGVVTAYYVRCRRLAAPSFLPSDHRSFRPAIIIWSMADDADLVQMCSDVDAAPQRDELDFEFLGNRSGEPYIIQTNVYHNGVGGREMRHWLWFDPTADFHTYAILWNTKHIVFFVDKVAIRVYPNDASKPGGGSGFFPISKPMYIFSSIWNADDWATRGGLDRTDWAKAPFVSSYRDFATDACAWPADSNAPPACAAATGDSWWDQPPAWALDAGQRLDNAWVARNLLIYDYCDDRKRFPTPPEECALRAAATAS >Sspon.05G0014280-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:45034581:45036453:1 gene:Sspon.05G0014280-3C transcript:Sspon.05G0014280-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRVDQETLSKKFCNSIGFRITCTITLKTEIDSTRSWCVVLHTRALAILLERAGRASARITGSRQATCAPLTSSKPHCGMSLSHTRLFTIATLPDTFKQKKSPCSSSRDHKTNEGSSSSEGTKRPKSSMTSLSKVPSYTKSVYDIGPPSWIRKEMNCNSITQHLVRMSLAQAFCSQIGLQERCTITLKTSVNSNSWQVRGQSWQKDSSCVFGSGWKKFCRENNVKVGDVCTFNVVKTTLWHVDITRGIEALLLFVELNDLFL >Sspon.02G0031730-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2B:109500788:109501061:-1 gene:Sspon.02G0031730-2B transcript:Sspon.02G0031730-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding CPSSALQASIAILVMIPSSVAGATDGRRRSTAPPFPSPVIRYGQPISLFGVARGSSRTVPVRPTLLEKWVPRYSLWQPLVMSRCPRPLKR >Sspon.03G0008160-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:22169028:22174420:1 gene:Sspon.03G0008160-1A transcript:Sspon.03G0008160-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVVSVFPKPLFQGGDSGLESDESDDLEAKSRAIDEQKLKAEEDAEEELQINIRSESDEFRLPTAEELEEEAHRPPNLPNLKRRISEIVRVLSNFSKLRQKDVPRKDYINQLKTDIMSYYGYNDFLVEALTEMFPAVELIELLEAFEKRPPECLRTNILKKQGASSFLPVMALAPQEKERIVDMAAAPGGKTTYIGALMKNTGIIYANEFNEKRLHGLLGNIHRMGVTNTIVCNYDGKETIWKDLQIKTSKDIEDIRNCAFVQKQLLLAAIDLVDANSKTGGYIVYSTCSIMIPENEAVIDYALKKRNVKLVPCGLDFGRPGFIRYREHRFHTSLEKTRRFYPHVNNMDGFFVAKLKKLSNTIPVTSESSKASEGAAEKADGEDENTISNEQETAVPGKDEKTVQMKNHKKTKVTNKRTSITKETGVHKPESNRPGKHLKKKDSDTKEIDGPESTETNGDRKEEHREQTKQTSYKRKFAFNNSKKSGPESNSGVKEKKQVSDKKLKRKFKLRREWEAEKKAAKRKV >Sspon.05G0026100-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:31144035:31146231:-1 gene:Sspon.05G0026100-1B transcript:Sspon.05G0026100-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDLQCCRCRAKITKILECLKEEFCIEKIEFEDKVNKVIVRGKFDGEKLKTTILCKAGKIVKEIAIVEKWPPPPPPPPPPPPECKTAILVITMVDLCCACRAKITKILEGLKAEFCIEKIEFEDKLNKVIVRGKFDGEKLKKTILCKADKMIKEIAIVDKWPPPPPPPPPPPPPPPPPPPKPECKVVPYPYPVPYPFPRQSWPCPPTQPPCHCCKPPPEKPPQPPVKPPPPPSPPPCKCECSHDKDECKHHCPPCPPCPPCPPCPPNFWPPQPWPCRCPEQDSQCSIM >Sspon.06G0007800-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:52025812:52035641:-1 gene:Sspon.06G0007800-2C transcript:Sspon.06G0007800-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein MRL1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G34830) UniProtKB/Swiss-Prot;Acc:Q0WLC6] MEASASSYASAPAALLPLLAAPPRFLHLPLRRPSGRRHGFAIWGGGGLLLQPPLLGPGSRARCRTTCCASSPEQAEVLLGGASLVSLAAVALLSALQLIWLRWRSARHRDFPEVLHEHDKINVNKALGPSKAMYDSNLEAQLTQDSHWLSEPCVSGRISVAEMHPKAGNFPCANTVHKETQACSVITPWISPDIPLYISRPEEVSCSTPTANSSIEMDTSLPVMPHSVSQGQDKSKYLSNRTGRVAGLPYQFLSLSGQKKEAQNSQGHNDKQMDTKDANLVGCPQSDQEEHLDFTSLSSFERVEEDHLNFVPLASYCNLLEPGKVIEFTYSNAGSSYLAAGRFAPVACLREVPVSKQVKAVKGHDGGWNISNILNKENPDNFAPVKRGGSKGTKDTLDYLRIYNSFLIDGRLKDCMDLLESMEQNGLLDMKKIHHASFFSMCKKQRAVLEALRFCRLIGNPKISTFNMLLSVCASSLDFDGALQVMELLKEAGLKPDCKLYTTLISTCAKCGKVDAMFEVFHEMVSAGVEPNVNTYSALIDGCARAGQVAKAFGAYGIMSSKKVKPDRVVFNALISACGESGAVARAFDVLSEMTAESSESKGSKPIIPDHVTVGALMKTCIQAGQADRAREVYKMLQEYNIKGTPEVYTIALRSCSLTGDLGFALKIYEDMNKIGVKPDEMFLSALVDVAGHARRADAAFEIMKDARAKGLLIGTIAYSSLMGACCNAEDWKKALQLYEEIKSIKLTPSVSMMNALITSLCDGDQVLKAVDILNEMNRLGVHPNEITYSVLFVACERNGEAQLGLDLFEQFKMDGIGINPTIIGSLTGK >Sspon.04G0018470-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:66444774:66448662:-1 gene:Sspon.04G0018470-1P transcript:Sspon.04G0018470-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLAPEGSQFDDKQYDKKMQELLIEDFFTSYDEVCESFDNMGLQENLLRGIYAYGFEKPSAIQQRGIVPFCKGLDVIQQAQSGTGKTATFCSGILQQLDYGLVECQALVLAPTRELAQQIEKVMRALGDYLGVKVHACVGGTSVREDQRILASGVHVVVGTPGRVFDMLRRQSLRPDNIKMFVLDEADEMLSRGFKDQIYDIFQLLPSKIQVGVFSATMPPEALEITRKFMNKPVRILVKRDELTLEGIKQFYVNVEKEDWKLDTLCDLYETLAITQSVIFVNTRRKVDWLTDKMRSRDHTVSATHGDMDQNTRDIIMREFRSGSSRVLITTDLLPVVSMSSRSHLSSTTTCRPSPRTIFTALVVVVGSEGRVLPSTLSPVTTSGCCLTSRGSTT >Sspon.02G0007350-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:24291051:24294061:-1 gene:Sspon.02G0007350-2C transcript:Sspon.02G0007350-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RMMPVPPPGGYDRSPLFYGGGMRYGPGPELGRYSYGFRGSPMPVSSPWSGGALAENNDNIASRKRRGGPDGLSEGDWTCPKCDNINFSFRTTCNMKKCGAPRPTPGGNTSSSRKDNNNKEAPEGSWTCPECNNLNYPFRTVCNRKGCSYSKPAPTNN >Sspon.08G0014920-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8B:55024556:55025674:-1 gene:Sspon.08G0014920-2B transcript:Sspon.08G0014920-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEARSSSWPDLLPEILGLVLRRLPSLADRVRLRAVCRPWRSNAQIDPLPPLLPWLTLLDGTFLSIPDGKIIRMPIPDKGFCRGSVDNWMFFVHNSDGDCSLMNLFSKATLNISKLDITWLNGNSRYYNSHFYKLMAPLPLESSPGSLVALEGGRLRICQPPIAVDLEMHLDDITFFNGKLYGLSRHKLSILEINYGLNGKPKISKVRCITSYPSFTLRLPQPLPGTYLLRSYLVECCGRLLMVRRKIGCNPEIKPCPLDSDRTAGFEVFEADLSTKPGQWICVRELGSQALFVGKHCSKSFPAGEGTGVEENCIYFMRDYFPGNRAADPLGDSGVYNMKTGIIKPLSETSAVPKHRGGRWRPTWIFPTDAM >Sspon.01G0034290-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:2314471:2314875:-1 gene:Sspon.01G0034290-1B transcript:Sspon.01G0034290-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding TITRRQLKEWVSAAADTTSWCVALSLVAMLLVVCTLGAGDGGGGVVVVVRGAALSARPCEEIYVVAEGETLHSISDKCGDPFILERNPHVHDPDDVFPGLVIRITPSKPIHRSSEAFVGVGSSKSNKFLADLNAC >Sspon.06G0033450-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:15350041:15352227:1 gene:Sspon.06G0033450-1D transcript:Sspon.06G0033450-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSATTQPWRSLLCCVSGGGAVSGDDDGPGSRRRRDRERLLLLPSSSALSSSAGTLTPEDLSLTLWGSNLHAFTYAELRAVTGGFSRANYLGSGGFGPVYRGRVDAGLRKGLDAQQVAVKYLDLDGPGTQGHREWLAEVFFLGQLRHDNLVKLVGYCYEDEHRMLVYEYMSNQSLEKHLFRSVDGSMPWMRRMKIAVGAARGLAFLHDADTPVIYRDFKASNILLDEVSDRSTSSVKILRILFVALALDWSLVVDYNTKLSDFGLAKDGPQGDATHVTTRVMGTNGYAAPEYIMTGHLTAKSDVYSFGVVLLELLTGRRSVDRARRTREQSLVDWARTYLKKPEKLYRVMDPAMECQYSCQGAERAAMVAYKCLSQNPKSRPTMREVVQALEPVLDMDDYLQIGPFVFTVIVEDNNDRNNESGGKVVDVTIETTVEKKQQHQSHQDWHRQKFPNSVVHADVVLHQTGMAASSGRTLARCGGTGGRPAT >Sspon.04G0022820-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4B:8970485:8977270:1 gene:Sspon.04G0022820-1B transcript:Sspon.04G0022820-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding APPAPTATPAPPPTSPALPAAAAAPSSDLPLVRSSCQRPRAADLFFDRCAFPPNSPSLTPASSVPRQRIQLSPVPLPADPGPIVHALPLLSGSAPAPRSAMERGSSSRRRTWAEQVEDDELAAPAGLNPNAAPFYGGSPAAAASGLNPDAALFFGGSPVGGYGERLSFTDSEASDSEPEPEPKPPLPEERGKAVAPGGCRRRRRHRHRPRNPGGFMAAARRSRPHFPSQSQRQPLTGDDGGRRSRSLRAHPARASGTPDEEGFYLVQSHRRWRRRNPPRQPRPVPPHMVGKCFNCGSEDHIRARCPFPTRCLNCGTEGHRRRDCPFPPLDNVTRKRRRRSPAYNECRRTAPRRGTSPRRRSPATDDMISASSVSTGLSTSVPQACAPSPQQDPPTSPTPEPQPPSAPDSQQMEPPHCGGQRHTQLVVVPRSTELEAAERDLGRALVAVIAGTRPPVSPAMVRAFLAAEFGIEDASVHRYEPEDFVVRFANRDDAERVLHTRVLNPPFHLIWHPWSKLSMASFTFLRYRVLVGMTHVPLHARSAAVAQTIIGRACARIELAPPDAIPADDDREFFVSAWCMDPRFVPDEQMIFIHEPINRIPGTMLCLRADEEVIDGLPGLSYLVRIRIVEFQDWSNPSGSPDEGYGGRDLNDEDDDFDDSNHNRSHPGADLGNGHSRGPRTFWCVDDADAPRLGGRHGTTFMPRRMVMVGSIACPLARRGRAARLLGGDKSVIDTGPVAMLGPEVQGASEEIAAPMVERAGMTVAAAVVLNGDSAVAIMAEIEPAMLNDDVSFVERRAVDLQEYTGSLVGVDLSCLECRLPAPTAWDLSASLVSPASHRTADPRNVFAGSLVDVDLSCLECCLPAQNNLNQEQTTTAETPASQGPTLDVVTEAPAPRPPTTLGLATDSGSQPPDCVLDGHGLLDGPGLGSGDMTPVATLDDAPLEDDVGAAFINAIKLPLQECLLQAPPHPRARRRAPENWTPRRSDRLAAKSVFRDPNPEKQAKRVLVNKWEGRPDDVVTNTPDASIAVKFHAAFGGPVSTRKHEYMRELFHGTLRDIVEQQRTSVVCLQESKVQNLPVLMNIEITGIDFDYAYLPAAGIAGGALIAWRRDLWSVAATSIRRFSITVQLTPLNGLGDPCWLTNVYGPTEGADKADFLQEIRDVRAASQGPWLICGDFNMIYQACDKNNGRLHRGVMRNFRSVLDDLQLDELHLSGRMFTWSSHRDNPTLERLDRAFASVEWLEQYSCHHLRCLSSDSSDHAPLLLVLNSEPWARPRFRFDDYWTKMGGFQEVVATAWHAHIDASDPCRVLDQKLRTVAKALRSWRSRKVGAIRLQLAAARAIIYEFDTAQESRQLTTEEIQLRKELKQSVLGLSSLCRTMARQRARTRQIKEGDACTRYFHLQACHRRRKNYLFAINHNGQTFSEEEAKANIVFSYYNELLGMDFVRRHRIDLSGLGLPQLDLAELVAPFSAEEVAMAVRATPSNRAPGPDGFSGTFYKVAWAVVGGDVVRAFQALWEMNFRSFHLLNEAIMVLIHKTQAPAGLKDYRPISLIHSVGKLFSKALALRLAPRMHELIKINQSAFIQGRRIHENFRTVQLSCRWLHVHRVSSVLLKIDLAKAFDSVAWPFLLEVLEHAGFPTRWRDWVSAMLYTASTKVLVNGRPGERIFHARGLRQGDPLSPLLFVIVMEVLNALIAEGDHRGVFRPLPDKIRSRTSIYADDLVILLSPDAQDFTNVKRILETFAGASGLATNVDKCVITPIRCSQSQVEAVREVFPCKVQEFPVKYLGAPLALTRISRNEEQRIVDNVAARIPAWKGGLLTNAGRRMLVQSTLSAIPVHVSICCSLSSWAIKEIDSRRRAFLWTGTDSVSGGHCKVAWPIVYAPKENGGLGIPDLQILGFALRLRWEWLRRSEPNSAWAALSAVPERRVASMFSSSIYVVLGDGASAKFWTDAWLPDGAISAFAPNLFKAVGKRRRGKTVRDALNNRRWVQDITGARTAAVILEYVQLCETLENVQLRPLEPDRFVWRWTPDGRYSVRSAYRAYFASWTSMAGAKELWRAHVPPKVKFFFWLALHGRLWTAARRMRHGLQVTAACVFCDQLDETTDPLLCSCVFAREVWSRLPIAMTSIAAPPHSTSTLLDWWLSERSSLPQSLHRSFDSLVLLVTWCLWKERNRRTFDHQTVSPSELVSAILGEAAAWVGAGFGSLALLTGLVA >Sspon.06G0009530-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:42571398:42573130:1 gene:Sspon.06G0009530-3C transcript:Sspon.06G0009530-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVAGGMLASAVLKVVFKQICAVIGGQITLQKDFTKDLLKMKMTLESVSAVLRDAERKSIENSSVQLWLRRLKNAMYDISDMLDEFEADTQPAARQMSLKKGISQLASIGPKTKLANRMKKMREELKEITDQHVSFRLEVGSASSEQKFLETRETAVLINDEEVIVGRTEEKMKIMVSLSKSINGKLTIFPIYGNGGIGKTTMAKTIFNNTMFNEYSREDNDQFQLDELKKMLKVSEDTRIIVIITTRNESIANELYTIQPYKLALLSDDSCWTIIKRNSGLDSRPDKKQLEQIGNDIARKCGGVALAAK >Sspon.01G0044110-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:78008082:78009027:1 gene:Sspon.01G0044110-2C transcript:Sspon.01G0044110-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRMTRAAVVVVALASLLAVSATAGAVTFSATNAASSTAGGKRFGRDVGVAFSRRVLSDASSFCWNTFSQPSPRSRKPVSSVSLVVEDIGGVAFTSGNGIHLSAQYIGGYSGDVKTEAAGVLYHEVTHVWQWDGQGQANGGLIEGIADYVRLKAGYAPGHWVKPGQGDRWDQGYDVTARFLDYCDSLKPGFVALLNAKMKGGYSDDFFAHILRKNVQQLWQDYKAKYGG >Sspon.06G0010240-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:45233169:45233990:1 gene:Sspon.06G0010240-2C transcript:Sspon.06G0010240-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative HAP3 subunit of the CCAAT box-binding transcription factor, Flowering time, Short-day promotion, Long-day repressio [Source: Projected from Oryza sativa (Os08g0174500)] MKSRKGYGGHQGHLLSPVGSPPSDNESGAAAAAATGGGGGCGSSVGYYGGGGESPAKEQDRFLPIANVSRIMKRSLPANAKISKEAKETVQECVSEFISFVTGEASDKCQREKRKTINGDDLLWAMTTLGFEAYVAPLKSYLNRYREAEGEKAAVLGAGGGARHGDGAADDGTLAAAGVVIAPPSGAAGDRAVHDGAAGHDAHVGLMMGASSIVGFGAGGAAAASYYTAARKSYGAGEGSKVTEFEGIGGEEENGGVQRGRGFANHLHGAVQW >Sspon.01G0001220-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:3663289:3664849:-1 gene:Sspon.01G0001220-2C transcript:Sspon.01G0001220-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSEQAPAAEVVEGSETAAPAPVESEEAAPKAEEQQKEDEAPVVVEDVKEGEDEDEDDDDDDDDEADEGELGAGATEGTKQSRSEKKSRKAMMKLGMKPVTGVTRITIKRAKNILFVVSKPDVFKSPTSETYVIFGEAKIEDLSSQLQAQAAQQFRMQDLSKVMAKQDAAAPADEEEEVDEAGIEPRDIDLVMTQASVTRAKAVKALKAHDGDIVSAIMELTA >Sspon.08G0012990-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:66572608:66577081:1 gene:Sspon.08G0012990-3D transcript:Sspon.08G0012990-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:U-box domain-containing protein 14 [Source:Projected from Arabidopsis thaliana (AT3G54850) UniProtKB/Swiss-Prot;Acc:Q8VZ40] MPALVAAEIAALPEPCGPMRRLCGDLARRIRLLAPLLQHLQDRDRDDALPLADALGAARDLLRAVHDGSKIYQAMQGDALLQRFATVNRHIHLALDALPYQTFDMPEEVLEQIDLVHSQFKRAATTAAPPDAQLSKDICSALADKAFDPRVLTRISDKLQLHTMADIKKESLALHEMVISSGGEPDGCVEEMSSLLKKLKDCVVTEAPTTETPSTRSASIKHTSPIIPDEFRCPISLELMQDPVIVSSGQTYERSCIQKWLDSGHKTCPKTQQLLSHTSLTPNFVLKSLIAQWCEANGIELPKNKANSRDKKAAKSSDYDHAGLVSLMNRLRSGSQDEQRAAAGEIRLLAKRNVNNRICIAEAGAIPLLVNLLSSSDPRTQEHAVTALLNLSIHENNKASIVSSHAIPKIVEVLKTGSMEARENAAATLFSLSVVDENKVTIGGAGAIPPLINLLCDGSPRGKKDAATAIFNLCIYQGNKIRAVKAGIVIHLMNFLVDPTGGMIDEALTLLAILAGNPEAKAVIAQSDPIPPLVEVIKTGSPRNKENAAAVLWSLCCTDVEQTRAAKAAGAEDALKELSDSGTERAKRKASSILELMRQAEEAG >Sspon.02G0025770-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:89513835:89514565:1 gene:Sspon.02G0025770-1A transcript:Sspon.02G0025770-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MERDDLELKLAAAAAAIVAAPEAAAAAVVAASADDEGTLELLDEVVEKTKTMKLTEQAVTSSAASQERPLADAVNTSVDVGAAAASVANNEDAADRLHQIYHEESAKSKVPVPVPASLVVAQTTRKKKLLVLDLNGMLADINQRYGRQCLCLAPGSLPSIIYRALGKGHLCLAPGSRQRMRSGHALGKEKHSAKNVFAKRQTFGKR >Sspon.01G0032480-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:112996094:113002241:-1 gene:Sspon.01G0032480-2D transcript:Sspon.01G0032480-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAFRKQLDVLMGANRNGDVEEVNRNYYDRDVCRLFLAGLCPHDLFQLTKMDLGPCSKIHSLQLRKEYPSFYIITFVFSSLRCYMFSSAVDSYEEAKAKGKENFDRELEDMIERLIVECDRKIQRALKRLADDDAKAAIAISVSEVTLTDDILQLSKQIKEKMIEVDAFDFEGRTDDKIKAQEVVEELRAKRADMQATLLLDAFNKDRASLTQPIPPPLAAVPPPPPPDARTQEMINEKLKKAEELGEQGMVDEAQKALEEAEALKKLAPRPEPPSDPSKYTAADVRITDQKLRLCDICGAFLSVYDNDRRLADHFGGKLHLGYMLIREKQKELEVNSCTPMPKVQVRYWRFMKQINSSRVSKP >Sspon.01G0000420-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:982681:985982:1 gene:Sspon.01G0000420-2B transcript:Sspon.01G0000420-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding METVKSLLKPKPTPQQQLREWQRRLRNEGRNIDRQIRDVQREEKKVEKAIREAAKHNDMGSAKVRVQTCTDNKLECYNLSATARTVGHLSKSTEVMKLVNNLMKAPEVAATMQEFSKEMTKAGVMEEIVNDALDSTLDNEDIEEEIEEEVDKVLTAIAGETASELPDAVRKEKEKMKQPSTGVPAERTAIAEAVDDDELDKIRERLAKVRS >Sspon.01G0027310-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:95671729:95675611:1 gene:Sspon.01G0027310-2B transcript:Sspon.01G0027310-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSMQAADAAGRLSALLSLLALRRLLAVLQPLALLLLLPFRWRARPGAVPTAAPSDAAASASSGASGKKGKASSSVVLRVPAGSPMVAARRQASARREIAMRRAREAGREYELIATARGETLFTQCWWPHPPSSNIKPRALVVVMHGLNEHSGRYDHLARRLNDIGIKVYGMDWTGHGGSDGLHGYVQSLDHAVNDLKMYLKKVLAENPGLPCFCFGHSTGGGIILKAALDPEVETLISGIVLTSPAVRVQPAHPVIAVMAPIFALIAPRYQFTASHGNGPPVSRDPEALRAKYTDQLVFTGAIRVRTGYEILRLTSFLQQHLHRIAVPLLVMHGADDLVTDPRGSRALYEQASAADKSLKLYDGLLHDLLIEPEKDKVMDDIVAWLSPRV >Sspon.04G0021330-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:74866913:74872509:-1 gene:Sspon.04G0021330-1A transcript:Sspon.04G0021330-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GTAAVPSASCELLSPFLDCSGRPVGHGGLRGCRARSWRLRGLRHRSHAPLHPPPPPPPRVSAFQRRASAPRPGAAAARSRGRGDLPPLDKWDIMELDFGRFLGEDPKLTLAKILLKKSDPDASSLDVEKLIATKKDKLDDILRECMEANKKDQGFNTSESGSPLNATRPTISKPTEAKSALNISRPVMGKPIQDGPPLTLLRPAGSKPKQDEPSLAPLRPVGSKANEDTPSLILSRPIGSKPQVRGTPVQDSWPSKESLAAATEFSEVGSISRTSEVDVTLRKPAVYQSEDDDLKSKLKIKPNINLKMRKDMNEDLTNISLLQKPDAAKDTSNLEQDNASASPATVSATEDNSELEPESNGLDEKLVTENVHESSGLDDDSTAGLQPSGQTFIQETSTSAGPVDNQSATSNNFSMQAFLQGKPKRENQSAEILPSEVDEKMNATDNKNFVDDGGNVLPSKLEDITESDWTRLEHYASTGEKVEVELINCSAKGFVVSLDSLIGFLPYRNLATKWKFLAFETWNIEPESSPVFKIAGEDQESLSSKPKFEDLIRAYNQEKSKFLSSFIGQRLRVSVVLADRNSKRIFFSMKPKESEEFIQKKKNLMSQSHSQLKRKDTFSITLIGLHAIHYFLILLARLSVGDIVQCTIKRFVYFGIFVEVEGVPALIQQWEVSWDDTWIQQFLTKLVRTISYLFYMKLFFRFQVVDAKVIQLDYNNSRIFLSLKDVKPNPSIGALEAVIGEDLSLGGALEPVQADFEWPEVDALMEELRKIEGVRDVYKGRFFQSPGLAPTFQVYMAPVVGPKYKLLARYGNNVQEVMVETTLGKEELKEAILMCTN >Sspon.02G0026060-3C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:96356966:96359660:-1 gene:Sspon.02G0026060-3C transcript:Sspon.02G0026060-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEEAAAPLLLPGNNGDDDLRVDLTRTIHGDGDDDERKAAGEAASSARHHALPGWDWAEVSGQLAFAAPMVATSMAYYAIPLVSVMFAGRLGDLQLAAATLGNSWGTVTGIALMTGLSGSLETLCGQGYGARAYRTMGVHLQASLLTSALASAVVSLLWLYSEPLLVFLGQDPETSRLAADFLRYSVPALFAYGFIQCALRFLQAQSVVAPLVAFSLLPLAAHVGVAHALVDMLGMGFAGAAVATSVSLWMSFLMLAAYVMLSARFRDTWPGLTAEAFQHVLPGMKLAIPSAVMVCFEYWSFEILVLFAGLMPESQLSTSIIAMCQNTEAISYMITYGFAAVISTRVSNELGARNIANAKKALTVSLALSLMLGVAFLLLLGLGHEVWVRLFSSSEAVVSAFASMTPLLMGSVVLDSTQGVLSGVARGCGWQHLAAWTNLVAFYVIGLPLAILFGFTLGFQTKGLWMGQICGLLCQNCVLFFITLRTNWEELDLT >Sspon.03G0034040-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3B:67735353:67735532:-1 gene:Sspon.03G0034040-1B transcript:Sspon.03G0034040-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GAGGGWRGVWSGCRDGVGVTRVEDEVEWAKVGVAVPEEAAAAAKRRRWSKSSSRSASLS >Sspon.02G0035050-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:15963070:15975832:-1 gene:Sspon.02G0035050-1B transcript:Sspon.02G0035050-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMASTIMAATSRIVAAKTPFLGQGRAAANASPLRDVAAAASGRITMGNDLWYGPDRVKYLGPFSAQTPSYLTGEFPGDYGWDTAGLSADPEAFARNRALEVIHGRWAMLGALGCITPEVLEKWVRVDFKEPVWFKAGAQIFSEGGLDYLGNPNLVHAQSILAVLGFQVILMGLVEGFRINGLDGVGEGNNLYPGGQYFDPLGLADDPVTFAELKVKEIKNGRLAMFSMFGFFVQAIVTGKGPLENLLDHLDDPVANNAWVYATKFAPGLGFRNTTLKLNDDWFVRIMPIHFLPLDANPFTGLSSLASSPAISILASAFLSTTAASVAATVAMPFSSLKPAGSDGRLVVGSRPRHVGRAGNVGGASLGCSSRFFRVSSNTSRELFCVAAAGAITAAAESITTRKSKGHL >Sspon.08G0026300-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:19922453:19924547:-1 gene:Sspon.08G0026300-1C transcript:Sspon.08G0026300-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSSSGARVSDSGGVVVSGSEAALPTYDPLSAAGRREAARTRALGRAVHCIPVVLLVCALLLWLSASSHTHLAAEVGLKPAEANH >Sspon.04G0002260-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4A:6886393:6887079:-1 gene:Sspon.04G0002260-1A transcript:Sspon.04G0002260-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSPETEAGSSSGGKKFKGVRKRKWGKWVSEIRLPNSRERIWLGSYDAPDKAARAFDAAFVCLRGRGAAGADLNFPDSPPPCRAGGCSSDPREVQAAALSHANRAAVTAQQAAAAFIMDDAEADGGSAAPWDYYYSVAHDAGGVLGAAATSATEVVAPVRADGSIDWRPIMAHPPPLFSPTGWGSNAYDFLQVPPPAAAVADEDMDDGIHGATASLWSFDRDSYFRH >Sspon.01G0039150-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:20671371:20682735:1 gene:Sspon.01G0039150-2C transcript:Sspon.01G0039150-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSPVTRLPEVNSLPDGFVPDVESSGTDAASPSSAPIADDALDSDIPAATNPGGEETLSDPSLPASTAEDASSTAAAAEALGTLSLGEHGPAGAARGAGFPYHVLLFLLTGEESLKENCASEQVGAPTAQKVVNIVYHIGSGEPKRKVMKRSKLEKDRELFELAQQYHRVVAERDAAIAVKERLESLCREFQRQNKMLKEECGRVSTEGQNMRMELSEKFDNAIKLKEKTLELELADLRLQQHQEKAAQEHTQMQLYAEQVSQLMTTEKNLRLQLASDGERFQHFQDALSKSNEVFETYKQEMEKMISVIKNLKKENEFLKGKCENSDIALVKLIEEVMCASQKLSPSCTKPGCYVETTVSTTIPACLLSTFGGLDYGGELVAGQRVLGSAREEQLTKLAGRAGISVLAAGKFAYLSAGLAFGESQALGTDVIQWKKALAKDDKVVLSERQLGLLGLKMAGSEGQGGGKGEQTKRPPKNKPSTPSEPIVPIRSLRSA >Sspon.01G0035230-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:9006022:9007111:1 gene:Sspon.01G0035230-1B transcript:Sspon.01G0035230-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARWAAAALVLAAATAIAMASVAGGDMSADKTECADQLVGLAPCLQYVQGQARAPPPDCCGGLRQVLGKSPKCLCVLVKDKDDPNLGIKINATLALALPNACGATRANVSHCAQLLHIPPGSKDAAVFSPGGDKGSTAAPAKDNSTATTDPRALQATNGGGVSSSAATAGAALTVLLAGYLLLLVPELSPSSF >Sspon.08G0018000-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:6027269:6030673:-1 gene:Sspon.08G0018000-3D transcript:Sspon.08G0018000-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At5g44230 [Source:Projected from Arabidopsis thaliana (AT5G44230) UniProtKB/Swiss-Prot;Acc:Q9FFG8] MVHLARRHDHSPARLSVPQPRPPTDLPLPLLPPPSLASLLLAAVASSPSLPHLRHLHGLLVRLHPYLPPPSAPFLLSRLLRRLAALPLPLPPTPTPLRYAVAVFSSLSPPDPFLAAALLRFAHLTQPPMETFRVFSGLRRAHGCDLPFLPFAFSPLAKSAAAARSLPAAAGAHAVSLLLGGFDKHRFVENSLIGAYVACGDVGAARKVFDEMTVKDIISWTSIVAAYSRSRDMGSAEEVFALCPVKDMVAWTAMVTGYAQNAMPVKALEAFEQMAVAGMPIDEVSLTGAISACAQLGAVGRAAWVQEIAERNGLGRNVVVGSGLVDMYAKCGLIDVARRVFEGMQEKNVYTYSSMIVGLASHGRASDAIALFKDMVRRADVEPNHVTFIGVLTACSHAGMVKEGRYYFSQMKDRYGILPSADHYACMVDLLGRAGLVIEALDLVRSMTVKPHGGVWGALLGACRIHGNTEVAKVAAEHLFNLEPEGIGNYVLLSNTLASAGEWDEVSKVRKLMRIRGLKKDPAVSWFEGRDGFVHQFFAGDNTHPWMHGIKKRLLELRAKLKLAVFFTKEAEAVYWLAFMKTQIFRKMKV >Sspon.04G0001170-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:4434847:4435742:1 gene:Sspon.04G0001170-1A transcript:Sspon.04G0001170-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKEPKLQGARRIVPEWTGLDSEARQFTARILGDDVESPGAIPPPSETPKAEDKGTDQDVKDEL >Sspon.01G0014370-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:41800287:41803864:1 gene:Sspon.01G0014370-1P transcript:Sspon.01G0014370-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCTASKVEQEDTVRRCKERRRHMKDAVAARQLLASAHADYLRSLRVTAAALSRFAQGHPSLAVSHHTAPVLLSAAAPPPAPSAAHALPTPAPSTATSSSLPPPTPLAQHPHPPPQPQPQPAAAMAAPQPAPARAPRPRRLRVPHILSDSSVASPAQSSFRKQPPVGTPSSSSAWDWENFYPPSPPDSEFFDRRKAEVEQASRLRELDEEEKARAYPHHHTHYNLKEEDEAAEDDDGEVDHEPEGMHCGGWEDDEHYASTTTSETRSEDEGEMGDRSECGFAARSECGFVARSEYGGTAPSEYAAIPLPLRRDERSEAGDSSSTVTAATEMRMVVRHRTLAEIVAAIEEYFVKAADSGNDVSELLEASRAQLDRNFRQLKKTVYHSNSVLSALSSTWTSKPPLAVRYKLDTNALEIESTEGKSHGSTLERLLAWEKKLYEEVKARESVKIEHEKKLSTLQSLEYRGRDSAKLDKTKASINKLQSLIVVTSQAATTTSSAIVRVRDNELAPQLVQLCFALLGMWRSMNHFHEIQNEIVQQVRGLVDNSMAESTSDLHRLATRDLEAAVAAWHSNFNRLIKYQRDYIRSLYGWLKLTLCQVDNSVPQEAHASMISRELTSFCDEWKQALDRLPDAVASEAIKSFVNVVHVIYTKQAEEMKIKKRTETLSKELEKKTNSLRSIEKKYYQSYSMVGLGLPGSGRDGIESHAYDARDPLAEKKTEIAQCRRKVEDEMTRHAKAVEVTRSMTLNNIQTGLPGIFQAIAGFSGTVVETLDVVCRRAGSVR >Sspon.01G0004760-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:12812189:12814535:1 gene:Sspon.01G0004760-1A transcript:Sspon.01G0004760-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPEVEVAAATAMETEAPAAAGQKREREEGDDPAADGGEAATEEAAAAAKKPKVEGEAKEAEEAEEGKAEETEEGKAAEADGKPVKLGPKEFASAVEMFDYFFALLHSWTPQLEFNKYEQMVLEDLLKKGHADPAKKIGAGVEAFEIRNHPVWQSRCFFVRRIDGSADDFSFRKCVDNILPLPEDMKIGNGKKSGGHHKSGGGGGRGGGRGGGGRGGWRGGRGRGRRG >Sspon.07G0001780-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:3567582:3570662:-1 gene:Sspon.07G0001780-2P transcript:Sspon.07G0001780-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQDEAVATQKTGNTASPSKDYPTPSPYPDWSTMQAYYGPGVLPPTYFAPAIAPYVWGPQPIMPPPFGTPYAAVYPHGGAYPHPLVPMMSTPLSMEPAKSANSKEKNSNKKLKEIDRTSVSASSGNSKRTMSSSEDYSAEGSSDVNDQKVNKTSRKRSSDDGPGTETTTGANTECVLAPNHTLGNAAILPHHCFPAPVIKPSATNIANSRAIGTAISPSPGVMVPAHTAVQSDLSIKDERELKREKRKQSNRESARRSRLRKQAETEELATQVESLTAENTSLRTEIGRLTESSEKLRLENSALMVKLKDTAAPTPADKAATSSSSPCAAAENFLSMIDSAKAAGVSRHTEHGEPKLRQLLDSSPAADVAAVS >Sspon.03G0029510-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:16156303:16159594:-1 gene:Sspon.03G0029510-1B transcript:Sspon.03G0029510-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAPAHRHRSTSSSSPPAAALVDLATTHRCPQKNLPRRFAASASSLPYSFDRVDGGSGDLAAILFSSVPPSILASPQMDMVAAWLTSQYHAVAFLPPLQRLPPVSISERGQGCSRLAQIRMATTVSNFVLATDLMPALPVEPQSYGRGEARLPT >Sspon.04G0001760-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:5754300:5757881:-1 gene:Sspon.04G0001760-1A transcript:Sspon.04G0001760-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NUDT3 [Source:Projected from Arabidopsis thaliana (AT1G79690) UniProtKB/TrEMBL;Acc:A0A178WDC8] MQDSNLDLTIGPYETYEDGLFSYKATFEAFVGIRDDTATSQVKLFGDQLQDLEKNLPMDNIFKSDSISAAPIRVINLLYNSGDVKGPQTIAFNLPNDERIVNERGTSMVMLKNISEAKFKHILKPIADACIREEQKEYVDFEPYYTHIELQEFHSALEEAKADIVGLWALNFLIKKGLLPKSLSQSMYVSFLAGCFRSIRFGLEEAHGKGQALQFNWLYEKGALVLHSDGKFSVDFTKVEEAVESLSREILTIQAKGDKPSAQSLLQSRATLTQPLRVALEKIEHMQVPVDIAPIFGTANKLLANPHNAWTKPGIAQE >Sspon.03G0044050-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3C:86541783:86561336:-1 gene:Sspon.03G0044050-1C transcript:Sspon.03G0044050-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MERTSAPATQPMRGGTAVVAFSYPITVADDRLTPMFARLPVAKRSGGGKPGPAPLARSPGGGGGLAHRASAHWCDRSSAVTGPPRGRLHSSSSPSPTARSPPRRRSPGRSPSPDHEGLVDADSVQICAAPWLALWVARGTGPVRGRCIAAKMEMEAGAGT >Sspon.01G0027970-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:98010244:98013660:1 gene:Sspon.01G0027970-1P transcript:Sspon.01G0027970-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDESPPPAQAAEKSQPAEQAAGGWGGWGLSIFSEISRNAVEVAKSAIADIQQPPEQETGPGSGEKDQEKEPEGEGEGEEEEERRKAALDKLEKATRWRPSRLVLGKLLGPHGRVVHYLFKTSSLAETIQQGELPAKASAIAPTILETGKSFTAKGMEVLERVGKETMEFIVEETGMEVDKGSNGEGDQQTEEEQFEEVSFDRCFYIYGGPDQLEELEALSSHYALLFNRKKGKLNAEQKTFYDGKLKEVQQIFNLSTNVDEDGPESDKGKKIESANTDADAEMKKLCEASVSKAAKMAAGFTTALGGLSPNEIIKRTTNRLETIHSEGVHKLSEMCCLAVSQLLVLGKSVISSANKSKNEDDENDVKIDWPEDPISKAKIIRWKAQSISVDMEKVSTSFATGISDVAEAYAAAMQNALADKQDDLPNQKSLQEKVKSISIHLNSDQTSAVSKLQDALQYLAYVVVCASMPSV >Sspon.06G0016080-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:77550253:77552272:-1 gene:Sspon.06G0016080-3C transcript:Sspon.06G0016080-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKLFFSESACKETKLHSAPHSWLPLERGKLSKFSGHAAASSSIDSLMKMPEPAVLPHFKPADYVDILAQIHEELESCPPDEKSCLYLLQFQVFRGLGEAKLSRRSLQSAWEKASTIHEKLIFGAWLKYEKKGEEAIADLLSSCGKCSQEFRLLDFVSQVFTGSHVMSYDDDESDEFRGSAVVHFRIRDDMIACDRRKLAALSTPLYAMLNGGFRESYLEVIDMSRNGISPIGMRAISKFSLSGRLPYLSADAILEMLDFANKFCCKGLKDACERKLASFVSSRQDAIDFMECALELGCSILAASCLQVLLNELPECLNDEQVVRIFSSANKAQRLTMVGNASFSLYCLLSEVSMSTNPTSDVTFLGKAVESASDSRQKQLALHQLACTRFLRKDYPESERLFNAAFSAGHLYSLAGLARLASLRGNKHFALKLLDSVMSSRWPLGWMYQERALYLEGDNKIENLNKATELDPTLTYPYMFRAASLMKRQSVEAALMEINRILGFKLVLECLELRFCCYLALEDYRAALCDVQAILTLAPDYRMIGGRVAAKQLRMLVLENVEQWTAADCWMQLYDRWSSVDDIGSLSVIYQMLESDNAKGVLYFRQSLLLL >Sspon.08G0028760-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8D:17406603:17407016:1 gene:Sspon.08G0028760-1D transcript:Sspon.08G0028760-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTPREAARRFARFTEEVQLKQRSPLIAAPPRQKAATKRPMPIRSRRIAVQPLAHISTSKRGEVLLVQRMGIVSPAAPVSCASKGTYDAIFAGNLTPSHVAALDELFPATNSRAGRRALFSDSGGGSRSQQRRRPAP >Sspon.05G0014230-3D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5D:50510611:50511646:-1 gene:Sspon.05G0014230-3D transcript:Sspon.05G0014230-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAERHERWMVEYGRVYKDAAEKARRFEVFKDNVAFVESFNANKNNKFWLGVNQFADLTTEEFKANKGFKPISAEKVPITGFKYENLSVSALPTAVDWRTKGAVTPIKNQGQCAAMEGIVKLSTGNLISLSEQELVDCDTHSMDEGCEGGWMDSAFEFVIKNGGLATESSYPYKAVDGKCKGGSKSAATIKGHEDVPVNNEAALMKAVANQPVSVAVDAGDRTFMLYSGGVMTGSCGTELDHGIAAIGYGVESDGTKYWILKNSWGTTWGEKGFLRMEKDISDKRGMCGLAMKPSYPTE >Sspon.02G0014940-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:38303455:38305850:1 gene:Sspon.02G0014940-1T transcript:Sspon.02G0014940-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFVTLMVLASPVLILLLRAAWITLSCYFLTPLRIRRIMARQGVHGPPPRPLIGNLRDVSALVAQATADDMPALSHDIVGRLMPHYVLWSRTYGESEMARMYQRPPPHCTVDRVPLRRWNVLVTLVFVRACAAGKLFVYWYGSEPRLCLTDAAQIKEFLSSKYAANATGKSWLQRQGTRHFIGRGLLMANGAHWSHQRHVVAPAFMPDKLKGRVGHMVECTKQTIRALQEAASAPSPGGGQRREVEIGGYMTRLTGDIISRTEFDTSYDTGKLIFRLLEDLQRLTASSSRHLWIPGSQYFPSKYRREIRRLNGELEAVLTESIGRSRAIADEGRTTSAYGRGLLAMLLSEMEKKKQDGAAAGQQAEQQFSYDLQLVIDECKTFFFAGHDTSALLLTWALMLLATHPEWQDRARAEVARVCGDDPPSYDDLSKLTVLQMIIHETLRLYPPATLLPRMVFEDIRLTGGLHLPRGLSVWIPVLAIHHDESIWGPDAHEFRPERFAAGRRPAFLPFASGPRNCVGQAYALVEAKVVLAMLLSHFRIAISDGYRHAPVNVLTLRPKHGVPVHLRPLRP >Sspon.05G0013920-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:50730310:50732985:1 gene:Sspon.05G0013920-2B transcript:Sspon.05G0013920-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:electron transfer flavoprotein beta [Source:Projected from Arabidopsis thaliana (AT5G43430) TAIR;Acc:AT5G43430] MKILVAVKRVVDYAVKVRVRPDRTGVETANVKMSMNPFCEIAVEEALRLREAGAAAEVVAATVGPAQSADTLRTALAMGADRAVHVLHDPDPARPLLPLAVAKILRAVALQEKPGLVILGKQAIDDDCNQTGQMLAGLLQWPQGTFASKVILDTEKQKATVEREVDGGIETICLDLPAVITTDLRLNQPRYATLPNIMKAKSKVIKKVAPEDLGVDIRSDMEVIEVNEPPKRKAGMILSSVDELLDKLKNEACVL >Sspon.06G0004550-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:11913757:11916745:-1 gene:Sspon.06G0004550-3D transcript:Sspon.06G0004550-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin carboxyl-terminal hydrolase [Source:Projected from Arabidopsis thaliana (AT5G16310) UniProtKB/Swiss-Prot;Acc:Q9FFF2] MSWAAIENDPELLQQMQLKGLQVDELYSLDLDALNDLQPIYGLIVLYKWRPPEKDERPVIKDAIPNLFFANQIINNACATQAILSVLLNSPGITLSDELKKLKEFAKDLPPELKGLAIVNCASIRMLNNSFARSEVSEEQKPPSKDDDVYHFISYVPVDGVLYELDGLKEGPISLGKCPGGVGDAGWLRLAQPVIKEHIDMFSQNEIRFSVMAILKNRKEMYTAELKDLQRKRESLLQQMGDPSAIRHVPSVEQSLAEVAAQIESVTEKIIMEEEKSKKWKTENLRRKHNYVPFLFNFLKILEEKQQLKPLIEKAKAKQKSHGPSAR >Sspon.02G0054000-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:94931617:94935069:1 gene:Sspon.02G0054000-2D transcript:Sspon.02G0054000-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AGGSHKPVKCMPCSRRYIGDAYLDTLTGQLAQRRDLAQMSDSGESCKGLADDVEVPMLSKLRRQLVGEGSHRRLVYSMDFGVCDSAVVNFLDVYDAHLVIFEKLPDGVFADPFELQHFVERKVFVDVAVFGDTNLELPTALSNRSSVEIHFDLRLIISTNCSLVIDMPLHARYPPLDASGYATVEFGNPDLFLRYRKKETHSDSCLWVIKNLKAAPLEKAAWRIPCGDEAHIGFVSSITFLSALFDTY >Sspon.08G0012960-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:55125215:55131419:1 gene:Sspon.08G0012960-1T transcript:Sspon.08G0012960-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSVEETKRSLTVAPFECAWGEELRFGEPGRGCIAFEASAQNDVTLVFRHQLGSQHYHYKTDTSRHYTVILGSHRNKRLKIEVDGNTAVDVAAVGLCCSSSFQSYWISIYDGYISIGQGKHPSNNLLFQWLDPEPNPHVQYVGLSSWDKHVGYRNISLLPSAPPNSIFWTQIEYANVHWVADAGDYARKRLSRDDCDQRVLGDFLENWDFSDAVFVVGTERKVVPAHKLVLCASGDFPFEIGDGATIVLPSASYPVLHSLLEYIYTGSTQIAEWLLSSLLELSSQFKVEPLVKCCKEIINCLEVDKKPSDSGKILEVSKSGLQDHKFDSSPLKAPLNVQKVGEFLANGEHSDINIYVNGHGLVAKGHKLILSLWSAPLAKMFTNGMRESSATDIFFGDVTPEPFFLLLQFMYYGELKLDSRDTTSVLVQLLLLADQFAITVLQFECCKQIMECLSECRLTPESLLQDTVCSVLQAVSSIPSCKLLEEVCKRNFAMHFDYCTTACTDFVLLDEATFKDILEAMQHGDMTVTSEERVLDVILTWCMGACETFHWTSVDELLRTSTPEQLFGERLSAINTLLPLLRFPLMQLSTLKRMERSNLANHIQAFRQLVAEAIEFSHAGQLIPTSYECERFQHRRSSYKELQYISDGDNNGVIYYAGTSFGKHQWMNPVLTKNITVVASSPNSRHTDPKALVSKNYQGTCFAGPCIENGKKLSWWMVDLGQDHQLMCNYYTVRQDGSTTFMRSWALQGSMDGRNWTSLRVHDDDPTICHPGQFASWPIVGPAALLPFRFFRVALTGPAAGCTWNLCICFLELYGYFR >Sspon.01G0044040-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1B:79371030:79374164:-1 gene:Sspon.01G0044040-1B transcript:Sspon.01G0044040-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHSAGEQFRRRQEAKQPQATTTPTVDDTRQAAADNRKPRALLRLRAPDGPPRLLVLGRRRRAKRRAL >Sspon.07G0017040-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:65844678:65846155:-1 gene:Sspon.07G0017040-2B transcript:Sspon.07G0017040-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPLATDEDHKKHGDGCGSHFLVVAYSMQGHVNPARTLAHRLAQTSGCTATLSIPLSGHRRMFPSDDDEEAIISDGLISYLPFSDGIDDGTWPSDSEDRARRHEANFRTLSAVVSRLAATASSGRPPVTCVVCTLSIPVVGEVARAHGLPLAIYWIQPATVLATYYHYFHGHDELLRLLAADGQHETSENLRCDDEVTLPGMLRPLRTRDMPSFFFTGKTKDSLSKMVLQLMGELFQQIDEEKPAVLANTFGALEDVALQALQPYMEVFAVGPAVPLPLKNDGASELAQIHLFQHDETAAYMEWLDAQPEKSVVYLSFGSLLGYTRRQAEEVLHGLQAGGRPYLWVVRREGRAEEVDDLCLTAAAVAGMVVEWCDQQRVLAHPSVGCFVTHCGWNSTLEAVACGVPMVAPVNAWLVEEWEVGVRAERDGEGTLTGEELARCVELVMGEGERKWAQGESKRGRGCSWPVGNKSSELC >Sspon.02G0022180-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:75086086:75091302:-1 gene:Sspon.02G0022180-3C transcript:Sspon.02G0022180-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAGEILRAELSSRTPPFGLRLWVVIGICIWALILFVLGFMCFWSVHRRNKKPGNNKPCDKIPVSQIPDVSKEIAVDEVREQHAAVVHNLRVQESHHALAVQVQEKHYAEKDSGKMLAHLVRSKSSDADDLSQCSSAYQCERAGSSYSGDEGSSGNARRQYAQYATVSASPLVGLPEFSHLGWGHWFTLRDLEHATNRFSKENVIGEGGYGVVYRGHLINGTDVAIKKLLNNMGQAEKEFRVEVEAIGHVRHKNLVRLLGYCVEGIHRMLVYEYVNNGNLEQWLHGAMRQHGVLTWEARMKIVLGIAKALAYLHEAIEPKVVHRDIKSSNILIDEEFNGKLSDFGLAKLLGAGKSHITTRVMGTFGYVAPEYANTGLLNERSDVYSFGVLLLESVTGRDPVDYGRPANELHLLISYNMDMVQVHLVEWLKMMVGARRAEEVVDPDMELKPTTRALKRALLVALRCVDPDSEKRPTMGQVVRMLEAEDVPSREDRRSRRAHSSNADSESKASSSEFEISSDRRELGPSARFQS >Sspon.03G0036300-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3D:52839630:52840575:1 gene:Sspon.03G0036300-2D transcript:Sspon.03G0036300-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHAETQRRGGEGLSVSAAPRAGPMNRVVRPRCGTASPRRHRAQVQRYGTTRAGWRGPPPARRRRERCQAASPLGAATATPAASISPGRIPLPHPHPRATAGGNLKSEEDIADFSMQRFHSTSQPSSSDDPITVGDSGNLPRRRVSGL >Sspon.02G0047510-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:17062236:17067993:1 gene:Sspon.02G0047510-1C transcript:Sspon.02G0047510-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHPMYGSGPLRSRNAANSDEIQLRIDPVHGDLDEEIDGLHSRVRMLKGVAQEINSEAKFQNDFLHELQMTLAKAQAGVKNNMRRLNKSIIQQGSNHVLHVVLFALFCFFVVYLLSKFSRR >Sspon.07G0022320-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:7148983:7149589:-1 gene:Sspon.07G0022320-1B transcript:Sspon.07G0022320-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Jacalin-related lectin 19 [Source:Projected from Arabidopsis thaliana (AT1G73040) UniProtKB/Swiss-Prot;Acc:Q9SSM3] MVVSKKLMKVGPWGGTGGHPWDDGGHSGIRSITMSYDRCMESISVEYDRDGLAVPGERHGGAAASHTTQARSRSPPSIKLSYPEEYLTAVSGHYAPIAHGGSPVIRSLAFRTNQRAYGPFGAAEGTPFSFPVDGGVIVGFCGRSGWQLDAVGLYVAPLRPENMSDIVQKIGLMAYRA >Sspon.05G0000050-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:423717:425800:1 gene:Sspon.05G0000050-1A transcript:Sspon.05G0000050-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTLRPGDRAVAEKNYGVNKDVVFIKRVLATPGDFIEVRHGQLIVNGIALKEHYTATHALYTMEAMRLPEGHVFVMGDNRNNSCDSRAWGPLPIANIVGRYMTSFTRSSFQ >Sspon.06G0012390-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:49042947:49053825:1 gene:Sspon.06G0012390-1P transcript:Sspon.06G0012390-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding HAFALVLRVLVPLPPPDLLHDARAGHLPVEPPQEILPVHILVARVHLSGVDTHTYTYKLHHIHIHRDCCDGGKNGYCTCGAYPGMFPGSLTR >Sspon.03G0012380-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:34136416:34137391:-1 gene:Sspon.03G0012380-1A transcript:Sspon.03G0012380-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DGRGVVVLHPLGGPAAAAVLRVRGAVDGGHGGDVRAVRHGAAAGLPLHALVRRPEGRRLPLPPCAAAGRPLRNQEQGQRLRHRGGVRAVPRHRRQGLRRLLPPQQRPGADSVLRLRRDPCERAQYEPGPGVRAEERGGARRGGGAGQGHDHVRLRDRADAHRGHRARRGGEARHERHQRRGAAARGGGGARGGRQDTAGEARRGGGRVQVPGRRGRRAPAAGHRGGPAPVRARREVRHGHGPRHAVLRHHQGYRRHVARLHGVHPARSRCSARCGCAGPRRRAPGRGVRPRRRNQV >Sspon.07G0024710-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7B:28645316:28646245:1 gene:Sspon.07G0024710-1B transcript:Sspon.07G0024710-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPGPDAPAGGGGTNSSAPAEAGPSPSSSSAAAAAAASSSSRQQAEQEAPPQQQAGAQQLRQQQPAAAPAPPPAQPQAQPQPLAQQPPPAGLSRYESQKRRDWNTFLQYLRNHKPPLTLARCSGAHVIEFLRYLDQFGKTKVHAEGCAYFGQPNPPAPCACPLRQAWGSLDALIGRLRAAYEESGGRPESNPFAAKAVRIYLRDVREAQAKARGIPYEKKKRKRGTAAAPPVAPPPVVTAGTTSGAGGGEEEDDDDDEPSPSAGEPQQPASASAPPPASTSSARVSSSSAAAATTTTTTTRKEEEGSAPS >Sspon.03G0002190-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:14891134:14893328:1 gene:Sspon.03G0002190-2B transcript:Sspon.03G0002190-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPANGSAGAELDNAAEWRTRAPGGTEHSWLRAVPGGTGTTLLALRLSRGDAAEAAQAALRSLRNAHPVLRARLRTSPSGPTLAFPDPAAPPAPSLPLAPLPAPDFHALLEHELNCNPWADPASSDDAPVLFATLYELPPATGGAALFVRIHTVACDRSAANALARELVALLAGGEEGGERANPEDAAAEAGLEERIPQRDTWKPFWARGLDMVGYSINGLRTSTLPFVETGTERSTQMLRLGLGRDETTRLLDACKENGVKLCSAMAAATMLAARQSKPLESGQQETYSIVTLINCRKFLEPALDDHNVGFFYSAITNTHTIHGEEGLWELAKRCHDSYTSAKNNKKHLTDISDLNFLMCRAIEAPQLTTAGALRTALVSVFEEPDVADVAEVQSKAGVEDCICCATVHGIGPSIGVFDSIKDGKLDWACMYPSPLHSRKQVQEIFEKVKQILHHAAAAEENFEDCS >Sspon.06G0029430-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:22637750:22638616:-1 gene:Sspon.06G0029430-1C transcript:Sspon.06G0029430-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAINLPGPSEDLMRAMESFMQDDAAPSPLAMPPPPPPRAPPLPLPAAGAHGHGHGAQQYPATTHLSPEQMQFIQAQLHLQRNPGLGPRAQPMKPAVPVPPQQRPQKLYRGVRQRHWGKWVAEIRLPRNRTRLWLGTFDTAEEAALAYDQAAYRLRGDAARLNFPDNAASRAPLDPAVDAKLQSICATIAAASSSSKGARAKSKAMPINAPVLEAPSNSSSDEGSGSGSGSDDEMCSSSATPVVAAPPVVTDMGQLDFSEVPWDEAESFVLRKYPSYEIDWDALLSN >Sspon.04G0024720-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:25100930:25103639:1 gene:Sspon.04G0024720-2C transcript:Sspon.04G0024720-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GrpE protein homolog [Source:Projected from Arabidopsis thaliana (AT5G17710) UniProtKB/TrEMBL;Acc:A0A178U8H4] MAALLRTAAALAPPPSSRLSAREPRGRCLRLACSRRAPARPLRAWLLPTPHVFCRDGGRFRRLAATEADEAAQTATQEDSETEVTGDSAADDGAGSTDETPSIIVTALQSYREALINDDEAKAAEIESFLLSIEDEKNSLLNKITALNVELATQRERILRISADFDNFRKRTENEKLNMIENVQGELIESFLPVLDNFERAKMQIKVETEGEEKINNSYQSIYKQFIEILNSLGVEDVETVGKPFDPMFHEAIMREESSEYEEGIILQEFRKGFKLGERLLRPAMVKVSAGPGPEMSAGPGPQTSEDNDPTIVEDSVAPQKVEDVEDDGVDGDAE >Sspon.07G0037610-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:57808555:57809070:1 gene:Sspon.07G0037610-1D transcript:Sspon.07G0037610-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQISFAVARDYNLTSLFTDLLQMNGLDIVQIVSATALEKLSQQSKHLTKILPAPSPGLCFSIFPCLSQKTVATGRYPTLLEDGVDIDQGVMVLCDAEGINPILEVLCENRNEARCARERCGQWRES >Sspon.05G0014050-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:54134631:54139179:1 gene:Sspon.05G0014050-3C transcript:Sspon.05G0014050-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNYSAFDMGSLWTDMDPDNAEYRRNIQNRFMSNIEKMNAYGNRDLNASYIADSDLSDALSGLRLSNSPVMDQRNHGEELLDEILKRQRDFSKIGDENRSPLVGNVFRAPRSDVHPPPMYGDGILRRQTSALDGSNVSRISRHHIKGVDDLSLAEQLAIMQSGNLPRGTNLSRNAAMTNMINPMSNRYNSNTDFDLVRSRRAFLEDLLAQHMDQYGSRFIQQKLEIASPDVREKIFPEILSNAIALTTDVFGNYVIQKFFEFATESQLIQLADLLKGHILELSLQMYGCRVVQKVLEVVDMDRKIDIVHELKNYVLKCIGDQNGNHVIQKCIECVPEDCIPFVIDPILSQILALCTHQYGCRVIQRVLEHCHDPVTQSAIMNEIVQQTFHLTDDKFGNYVVQHVLEHGKPEERSSIIQKLSGQVVILSKQKFASNVIEKCLAFGTPEERDSLIGEIISSGQTFQELMKDQFGNYVVQRVLQTCDDKYLEMILSSIKLHLNELKNYTYGKHIVARVEKLIVTG >Sspon.06G0017180-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:75247256:75250407:-1 gene:Sspon.06G0017180-3D transcript:Sspon.06G0017180-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAETLSTPASASASASASTKKKKKHSKKHKATDEAPTNVTVDAPTDEAPTNVTVDAPTDEAPTNVTVDTPTDEAPTDVTVDAPTDEAPTNVTVDASLTGGSSYAAAAPVVGYFPSGYDPLAAAAAAAGAESSPRTRLFRHEKHPTWVDLVVRSPGGGPDFVGRSYAGEAAAPQLCEYALGVLDKASGTLRVVPIAAKKILRIEPHLEVQRPAHSQHSEVASEAASAAGNDELKAQDLTMMYGTKMDRDKDNKWRSLNEQRNDPSAFEDIDLGGSETAANTIDSQEPVLTRNIPPYDPTADTSEKAYLLDEIIPKSMRQHLLQIIDHFESGEFSSKGYGGFVSKRVHKLNELQGEDKERFAWILSYIQHLLSLLARNGSMSKRQRKERNENQTNRGPATPQAVYRRLLLMFTEPGSSVMSTEKNELLINYILVLTLFADDFRSNPNDICEDLKMTRQKLKPYYDHLGCKSVSEGAFKGSVMTLPAPLKFPKDVTRKRRRQ >Sspon.08G0010950-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:48102773:48104173:-1 gene:Sspon.08G0010950-1P transcript:Sspon.08G0010950-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMEMMGWQIPAFGMWNYCNDLSITQYFDSAMQARLMKRCWSRRGSDAGAAVVVGEKGGVACGDEQLVLFRTPSFQRKPAAQIKVIRREVEKHCDGDELQDGGGVRPDKVVAYPVKRKVVISKAVDEDLYKVPQPPLYQKPRKMRKVVWSMWIGCLGLDCIA >Sspon.05G0000010-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:3933666:3935597:-1 gene:Sspon.05G0000010-2B transcript:Sspon.05G0000010-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRFCHAPRGRRPSAAPHDHQPLPPPEWIDPYPDLADPSPYASGSAAPPTPSPWLPRVISLVLRSPPATLAADLRAFCRTFLLRLSPAFVAAALRSPQLTPHPLPSLHFFRSLPNGADLRAHPQHLLSCYVSLLHSLARSRDTAGPDAAGHARQLVAELRAHGDAVLRHLAPASSASLIRNLAAFGLSEELLWAWQAMRHAGVEPSRLTYNCLLDGLVNAGLLDTAINVFDAMSTEDRVRPDVVSYNILIKGYCRAGRTQDAMARLADMREQAELAPDKVTYLTLMQRHYSEGTFPQCIALFQEMEERGVGKEIPQHAYVLVIGALSKDGKPFEALAVFERMLKRGCPANAAMYTVLIDSMGKFRREKEAMALFERMKASGIEVDAVAYGVVVNCLCRFGKMDEALACFRSCVGKGVAVNAIFYTSLIDGFGKAGMVDQAKELFEEMIAKGFVPDSYCYNVLIDALVKAGRTDDACAFYKRMEDDGCDQTVYTYTILIDGLFKEHKNEEALKFWDSMIDKGITPTAAAFRVLANGLCLSGKFSRAWRILDELAPMGVIPETAHEDMINVLCKTGRFKQACKLADGIVQKGREVPGRVRTMMINALRKARNTDLAFKLVHSKIGIGYERSGSIKRRVKFQTLFE >Sspon.07G0023210-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7C:10865940:10910263:1 gene:Sspon.07G0023210-2C transcript:Sspon.07G0023210-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKGRTSSLLVLTSACSGVRCGSLVMACGCCCYNEELV >Sspon.03G0020760-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:64296688:64297557:1 gene:Sspon.03G0020760-1A transcript:Sspon.03G0020760-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATNTTTTLSMKLLIDRKAQRLLFAEASKDVVDFLFSLLVLPVGAAVKLLGKEGVAGSVGSLYGSVEELDYTYIQPGAAKDALLRPAVLCSLDSSSLLRLLPPSAAGQHPAATAARSLMLYRCTSIFNSSCRTYITDAYGKACPTCGNHMTAAAQYLPPAGGQMAVTGFVRGVVTYTVMDNLTVMPMSAISSFTLLNAFAVTDLAALQEKTVQLGYNEVIELLFIWFNSFVGLIYVWYSRGLEILRASLQSKTVLSDVFLRRKGPGDDA >Sspon.08G0008980-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:34812784:34832305:-1 gene:Sspon.08G0008980-2B transcript:Sspon.08G0008980-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSSPSVPFPLLQAPVESTYRACTIPYRFPSDNPRKTTPVEIQWIDLFLNSVPSFKQRAENDPTVPDAPAKAEKFAQRCAPVESTYRACTIPYRFPSDNPRKTTPVEIQWIDLFLNSVPSFKQRAENDPTVPDAPAKAEKFAQRLRELVLRELGFRDIFKKVKDEENAKAMSLFEGVVKRNDEIEDDGKRVENLIRGILAGNIFDLGSAQLAEVFAKDGMSFLASCQNLVSRPWVIDDLDAFKSKWTKKSWEKVILAANDMPSINDVTYPELVEIINELKDANGKLSGVDASGLLVANSGNDLPVIDLSSVSPELAFMANDADLVMLEGMGRAIETNLYAQMKCDSIKIGMVKHPEVAQFLGGRLYDCVFKFNEA >Sspon.07G0008980-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:21685798:21687376:-1 gene:Sspon.07G0008980-3D transcript:Sspon.07G0008980-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MCCWCCCLECIHNIPPLNLLFLHFSDPALPGEAGAAPASMASISVPNPVPSATEDAENIRKADGGTDEKALIEILGHRTAAQRAEIAVAYEGLYNESLLDRLHSELSGDFRSAMMLWTADPAARDAKLAHKAMKKGERYVWVLIEVACASTPDHLVAVRKAYRDAYSASLEEDVAACPLYKDPLLKQFLVRLVSSYRYSGELIDAELARAEAAELHDAVVARKQPLHGDVVRIVSSRSKPQLKAMLERYRQDHGKAIDEVLEEERRSDQLAAVLKTAVWCLTSPEKHFAEVIRSSIVGLGTDEESLTRAIVSRAEIDMNKVKEEYKARYRKTVTSDVNGDTSGYYNGILLALVGPE >Sspon.02G0004200-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:14742865:14743967:1 gene:Sspon.02G0004200-2C transcript:Sspon.02G0004200-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAASRSLALALLLCASLLLASAAASSEMLMMTNRFHAWRAAHNRTYAIAAEHQRRFEVYRRNVEYIEATNRRGGLSYQLGENQFTDLTSDEFLAAYTMAPGLVLAARDDETVTRKLDAATSRNSSYSGDAFGQVEGQGCCDSHQAPNDLWYVRSCWPFAAVASIESLYKLRTGRLVSLSEQELVDCASPPNYGCGGGDPATTMWWVARNGGLATTWDWEYPYESKQGQCRRGRIRVGRIRGGAAVAPNSEAALERAVAQQPVVVSINAITFQHYKGGVLSGPCDAVINHAVTVVGYGADAGGHKYWIVKNSWGDAWGENGYVRMERRVGVREGLCGIASMPYYP >Sspon.02G0032260-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:119703318:119708007:1 gene:Sspon.02G0032260-1A transcript:Sspon.02G0032260-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRHMEEDSSTFLQWAMNQLQHHQHPAAAAATTVSAAYHQQDGGVSGGTRISGAAAGDQDAAAAFPSLQALRASQPQTAVPASVRVRDLTVQVGDYGGLTNSSSSGDSPGAGAGAAMDHDAVAAAWSPHTARSRTRGLGGGSNSRPVSWNFSAASALPADDRGAGVALPDATAVVARVQVQQRAASSARRRGGSAGPSAAASSPGPVQDHIIAERRRREKINQRFIELSTVIPGLKKMDKATILGDAVKHVRELQEKVKGLEEDGAGGSGGIQSAVLVMKQQCHMPEDEAMASSHGGGDGGMRLPEIEARLSERSVLLRIHCYSARGLLVRVISEVEQMQLSITHTNVMPFPASTAIITITAKATRKHAFIGRLVVARQAIL >Sspon.01G0040310-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:39921675:39922592:1 gene:Sspon.01G0040310-1B transcript:Sspon.01G0040310-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRTLHLPSKLTRPNSHSFSPSSSPPHQPKLPPRPDPPQTRVAIATTTKKQQLHKRSASLLKCGANLHGCMDEVVQTPKDQTAEIPLVMYPSVVFPGATLQLQAFEFRHRIMMHTLLQQGLRFGVICSACKNGSRMADVGCVVHVVECERLTDDRFFLTCVGKDRFRVIDIVRTKPYVVARIQVLSDRHQGSDNSVPQGDLGSLMQQVEQHLKNVAMLSDKLNQKPLPYHQGDQLHRLHTAASLSFLVARLFIEDRLEQQTLLQMDDTGQRLVREGMYLERRSKYLAAIAAIKDAFQHLSCNEM >Sspon.03G0016030-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:82057673:82060641:-1 gene:Sspon.03G0016030-3C transcript:Sspon.03G0016030-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVRVVDTATPSSQPSPGQEANTGHPSPPSCSLLSAGRSFAGTQNVSSLQKDEAWKVNVRIHGCDLEQGYLCGTMEALNVPLADTPVVTFWEGEIVDAKNYTFFTGKWEASPEDDIRHWSKFPSFTPLLSQIETDGGKSLDFSNYPYIFMRWKEQYFVNVGADCGLTIAGFYYVCFSCSDGSISGFYYDPNSSPFQKLELKCTMRKILDSPSHPMSCSDRPDALDRQLSVSI >Sspon.07G0033530-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:53779737:53781536:-1 gene:Sspon.07G0033530-2D transcript:Sspon.07G0033530-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LAVTAAAAAAGGGSPRTVLVTGAGGRTGRFPEVPLIWHFSSVGKALGFWPLIERFTLLLIHLSVCKNPIFPICGNPGQIVYKKLKERAGQFVGRGLVRTGESKGKIGGGDDVFVGDIRDPESIAPAIEGIDALIILTSAVPKMKPGFDPSKGGRPEFYFEEGSYPEQVWKRKAEQYLADSGLPYTIIRAGGLQDKDGGLRELIVGKDDEILKTETRTIARADVAEVCIQALLFEEAKFKAFDLASKPDGEGTPTTDFRALFAQVNSRF >Sspon.02G0017390-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:44594702:44598746:-1 gene:Sspon.02G0017390-1P transcript:Sspon.02G0017390-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATACLLARVSRQCAGAVAASSAAGRHRGPLAAASVEQFAGSCLSARVPHNHFRYSTSIFQKIGFSSVSPQQSDNEVNELKDQERTLDGSSEDCSSGSIEGLDLSKDDLVKLVREKDELLKSKDDEIKDMKDKVLRSYAEMENIIARTKRESENSKKYAVQNFSKSLLDVADNLARASSVVKESFSKLMPQKILLELSHY >Sspon.01G0055300-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:74664283:74664534:1 gene:Sspon.01G0055300-2D transcript:Sspon.01G0055300-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVYISEEYVAQRRAERRAARVAAAARCGEEKARTDGEQKRWTAAAWAEKGKRPADGGNADTNVGGGSAWLVGEDAVLSYFSA >Sspon.07G0014740-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:52685186:52690555:1 gene:Sspon.07G0014740-1A transcript:Sspon.07G0014740-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKKNCPPSPSPRSTEKSGRELRSGEANGSTNSNSNTISKGDREKGVNVQVILRCRPLSDEETKSNTPVVISCNERRREVAATQIIANKQIDRTFAFDKVFGPSSRQKDLFEQSISPIVNEVLEGYNCTIFAYGQTGTGKTYTMEGGGTRKTKNGELPTDAGVIPRAVRQIFDILESQCAEYSMKVTFLELYNEEITDLLAPEEPKFPVPEDKTKKPIALMEDGKGFVFVRGLEEEVVYSAGEIYKILDKGSAKRRTAETLLNKQSSRSHSIFSITIHIKELTHEGEEMIKIGKLNLVDLAGSENISRSGARDGRAREAGEINKSLLTLGRVINALVEHSGHVPYRDSKLTRLLRDSLGGKTKTCIIATISPSVYCLEETLSTLDYAHRAKNIKNKPEVNQRMMKSAVIKDLYYEIDRLKQEVFAAREKNGIYIPRERYLQEEAEKKAMTEKIERLGADLEARDKQLVELKELYDAEQRLSAEFGEKLGKTQKDLEDTKSALHDLEEKYNEAKSTIKEKEYVIFNLLKSVTSTSVLSTEKSLVDCAYKLRAELENAAADVSGLFSKIERKDRIEDGNRSIVQRFRSQLTHQLDALHKTVSSSVMQQEDHLNEMEHDMQSFVSSKDEAAQGLRESVQKLKVLHGSGITALDDLAGEIDMNSRTTFERLNSQIQSHTSALEECFGGIASEADNLLNELQCSLLKQEERLAHFAKKQREGHLRAVEASRSISKITAGFFHSLDVHASKLTSILEDTQSVQDQQLVDLEKKFEECAANEEKQLLEKVAEMLASSNARKKKLVQTAVGSLRESAVNRTSHLQKEISTAQDFTSSVREKWGFYMEETEKNYIEDTTAVDSGRSCLAEVLVECKAKTTMGAQQWKNAEDSLFNLGKGNVVSVDSIVRTGTEANQVLRSKLSSAASSTLEDIDVANKALLSSIDSSLKLDHDACANIGAILTPCHGEMRELKGEHHHKVVEISQNAGKCLEEEYLVDEPSCSTPRRRQIDLPSVESIEELRTSDYDELLKSFRESRGSWKQANGDTRYLSEAVQEQTVIDSRIPLVGRN >Sspon.05G0009310-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:26869652:26875200:1 gene:Sspon.05G0009310-1A transcript:Sspon.05G0009310-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGHIAMEWKDPNPGLESSMGFQTRGVRPDTIGGHSNEDVKAGFEKHGLSVAISSPQEEGRSLPLTPQFGQKTSPGSSLAERMQARAGFKVPKLNVPFSTAAGADNSVPGAPSPYLTIPPGLSPATLLESPVFVSNAMGQPSPTTGKLFMFGSTNDNDPIKFGGPPVGDGTNDNTFSFKPLDLKSSHYTAEAMKEQNMPPSVKTETKTQPVQEANLLGQLNQQNHNVQTNTNSGGPHDSKLSRLASGTGAGNEHVSPPDYGQTAEEGDAREDYPAMAATAPADDGYSWRKYGQKQVKHSEYPRSYFKCTHPNCQVKKKVERSLEGHITEIIYKGAHNHPKPMPSRRPGVQQPVHPSGDAVQADAADNLGSQQANAAEANHQPWRAGVQDGMDAATSSPSVPGELCDSSASMQVEHAPRGFGSPEGADVTSAPSDEVDVDDRVTLGSMSHGGADAEGDELESKRRKLEAYTIDMSTASRSIREPRVVIQTTSEVDILDDGYRWRKYGQKVVKGNPNPRSYYKCTHPGCTVRKHVERASHDLKSVITTYEGKHNHEVPVARNSGGHPGTAAAAAGAGGGPRSRPEHPSSVHDGLMMRHLGGCGVPFGLPLQPPSRDPLAPMGNYPTYPFTALGGGSGGPTSLPSLPMPAGNLSAVEGLRLPMLATSSSLHQHPLLRHRQAMQAAALVAAPMADVKVEGNVAGGVTAAPSSVYQQMLRSGLRLGHQM >Sspon.06G0005190-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:16720510:16722957:-1 gene:Sspon.06G0005190-3C transcript:Sspon.06G0005190-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGSSSSSAALVAIVDDFYFSVLAHGRNDAGAAGDDELFPILDEKYATELQLQEVIMSSAIKATARSPVAPPRSSTADTSNNAAAAAQQQQQRRVMAKVSAPTPRPTLVFCKICMDVVPPSDAHRASRGCAHAFCGGCLAGYVGAKIQDRIADVRCPEERCGGVLDPELCQDILPREVFERWGAALCESMLLGAKRAYCPFKDCSAMMLVDDDGGDDVAERLFCARCNVAPWHAGVTCAEYRKLRKGDRGIEDMMLLEMAKGKKWKRCPKCEFFGTSSPYQFPSAPAINRQRI >Sspon.02G0025620-1T-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2D:84364244:84364603:-1 gene:Sspon.02G0025620-1T transcript:Sspon.02G0025620-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding KKSVELLEELGLQKGLLPLEDIQEFGYNRDSGFIWLVQGKKVEHTFKKIMHKVSYDAEVTAFIENGKPRKITGIKTNAMMLWISINEVFVPEALPEKVTFKSGNGLSRTFDAAAFALGE >Sspon.04G0029210-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4C:73210427:73210792:1 gene:Sspon.04G0029210-2C transcript:Sspon.04G0029210-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSGSGSGSGCSGSLSAATAALAAAAGTEEELRALMEQRRAKRMLSNRESARRSRMRKQRHLDELTAQAAHLRRENAHVATALGLTTQGLLAVDAENAVLRTQAAELAARLGSLNDILAC >Sspon.02G0015170-3C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:42659447:42664248:1 gene:Sspon.02G0015170-3C transcript:Sspon.02G0015170-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AGGGDGGGDGGGGSDLGWLRAFPHVLTASMANFLFGYHIGVMNGPIEDIATELGFQGNPFLQGLVVSIFIVGAFFGSLGSSALVDKFGCKRTLQIDSIPLIIGAFLRWRTMLYAACVPGVLIVAGMQFAVESPRWLAKVGRFDDARKVVESLWEPSEVEKSMEEIKAVVVNDDSRGSWSDLLVEPHNRVALIGGSLFFLQQFAGINGVLYFSSLTFRDVGITSGALASLYVGITNFGGALVASNLMDKQGRKKLLIGSYLGMAFAMFLIVYGISFPLDEGVAHSLSVAGTLLYIFTFALGAGPVTGIIIPELSSARTRSKVMGFSFTVHWIRNFLVGLYFLELVNKFGVGAVYAGFGGVSLLTALFAYNFIVETKGRSLEEIEMSLSTATPGKQE >Sspon.04G0036100-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4D:22627352:22629142:1 gene:Sspon.04G0036100-1D transcript:Sspon.04G0036100-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LLGRRGCAWESGGGWRQGRGAGGPRHGCSGHRREQRNRAGDLQAAREQRRSRRPDSQRREEGLAGRGGAPGLRPLWRDLPSVGRRRPLEHHAASGVCRGQVRQARHLG >Sspon.02G0056910-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2D:38480215:38480492:1 gene:Sspon.02G0056910-1D transcript:Sspon.02G0056910-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GGETWAGRFPPSGLEPVVSGGARAPPASSTSGARENHHQPAPPALVLAQPGGDVCYESERAARRMAKARSEVRVGCAIGREEQRGASSERRR >Sspon.06G0011270-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6B:51076366:51076893:1 gene:Sspon.06G0011270-2B transcript:Sspon.06G0011270-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYVAAAVFAAVYLTALFAGGEACDNVPSMTWTAACKETNKWEQLCQQTLQTAPDTAEVTMYALIATRLAKSSYEETLAELDSMLGAGNLPGAERLAIDNCKEKYSAALSNMAGVVDHMPACDFSLASKEYIDAEAGVRSCQEELQPYQFLPLFAKVSTDHDLTLVAYLLGAIIVG >Sspon.02G0024450-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:68585975:68588219:-1 gene:Sspon.02G0024450-2C transcript:Sspon.02G0024450-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRIEEITRMQDHACKMDSHQNSLVDRPVSDGPDSGSFGHLAQQRITLDTSLPLRSNPCLHHCAPAPPQPPVPSSTPLRSSAAATMEEQQFLTSMGVSVSNMRSHLQRACADVDVPDPVMLGAAMFLTSAYDAIPNPPVSPAAPLASAVAARAPADGVDRISLLPDALLRNVVSRLPAKDAARTAALATRWRGLWRSVPLAVVDAHILPRWISADHMMPGGENALSRVVAVAASRVLDAHPGPFGCVHLTRCHMASHQAEIERWLELLAAKGVQELVFINRPWPLDHPLPRGLFGCTSVTRLHLGLCRVPSTAGLPRATRFPHLQELVLSTVVIEERDLHFLIDRSPVLEVLTITTNLTGKARVRLISRSLRCVQ >Sspon.06G0027740-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:89145115:89147858:1 gene:Sspon.06G0027740-1B transcript:Sspon.06G0027740-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYCHGSHPDPNTWGSTKQGSLSPPSLPFPEPSFRAGGLLLRLPGCPPGGLQNQNQPPQTNAIPPGRPLSLPQVSQTSESSDFPLPAMSTTPEDFLAAQGAPYLAAPEPFSPSVFLDLPPTPGRPADDDDPDLVLPFISRMLMEEDIDDKFFYQFPDHPALLTAQQPYAQILSADSSSSSSHAATTTTSSSSGSRTAAGNSTLSPSSNAPASSPDPASWPPYDDQVDLSDLLRSPPYPSPDTGVGLDDFAALLSPAAQGQDDATAGFHFHQSPQFSDGGGGGGGAQRQQSPLAAQNAITSGGAQQRQRSIQSAAFSDGAEEQGEDTKAEAAATLPAGDADHAALASAFYGAQNGGNMDMLNMAFLKGMEEAKKFLPTTSSLLIDLDDTSGKSLPANRDSKPSNAFAATQVKEEEEEEQVVNAISMFGGSRSTNGRGRKNRHAEEDDLEAETGRSSKLMMPEQEETGANELYNEIMACDYPTFLKRMEDLRIAMDSESEKSARKVSRKGARGKQSLVNEVVDLRTMLIHCAQSVATGDRRSATEVLKQIKQHSSPRGDATQRLAHCFAMGLEARLAGTGSQAYQSLMAQHTSVVDFLKAYSLYMAACCFMKVRHIFSNNAICDAVAGRSKLHIVEYGVQHGFLYPGLFYQLARMEGGPPEVRLTAIAVPQPGFRPAHQIEETGRRLSNIAREMGMPFKFRGIAAKWEAVCAKDLNIDPDEVLVVNSECYIGNLMDESILVDSPSPRDTVLNNIREMRPNVFIHTVVNGTYGAPFFLTRFREALFFFSAQFDMIDATIPRDNAERLLIERDIFGTFALNVIACEGADRVERPETYKQWQVRNHRAGLRQLSLNPEVVKAARHKVKNFYHRDFLVDEDNRWLLLGWKGRVLYAMSTWVAEDNKPIF >Sspon.02G0043210-1P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2B:90283296:90284693:-1 gene:Sspon.02G0043210-1P transcript:Sspon.02G0043210-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMIISAVLGEAISRSISFVISKSSKQKVPDDVQNSLQRVLLRAQVIIDETTGRHITNQGMLQQLGMLRDAMYQGNYILDTSIYQSHDVEDGKDSVVSQSLSLCKVNSLRGISSSSRKKEILEQLQHAVNNLSSMILDLKELVVFLTSYPRMYRQPYSMHLLLSNCMFGRQMEAHHVISFLLDTQLRGFEELQVLPIVGPYGVGKSTLVSHVCKDERICDHFSEIFLLRRHEFTNFDHAKLSRGCAAEHQNNVLNSNKGRRLLFVVELVGDLNEDTWNKWCCSYKQHIPRGSKIIVTSRSDKIVKFGTTPPLYLKHLSPEAYWYFFKTLTFGSMDPETHPRFAQLAMEIARLLNGTFIGANMNACLLRDNFDIQFWHKVVAFFKGVTLKNVSEFGMRPFDLIQQNRPILVWRMATPSEEVVSYGQFERSHYSSQWLPQVPVALGEGPIALGEAFPECNTQGRASG >Sspon.07G0011920-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:40094972:40098730:1 gene:Sspon.07G0011920-4D transcript:Sspon.07G0011920-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEPVSEREGDPRPLLPVLPRQRRCPLLLLIWCAADLVARREFVKKNYGDIKARNPSLPFLVRECSGVQPQLWARYDMGVERCVNLDGLTEAQIDKKLEELAKAG >Sspon.04G0016030-3C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8C:17422775:17424415:1 gene:Sspon.04G0016030-3C transcript:Sspon.04G0016030-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:bZIP transcription factor, Endoplasmic reticulum stress respons [Source: Projected from Oryza sativa (Os06g0622700)] MDIDLFPDIDLDALLVSFSGEPAAVSDLIVPSAPATAHDAEAGSPESVTSRASPPGEVALSEIERFLMQEGEAEGELGGEVEGISVDQFFDALYDGGEGKDEGEAGASTDADSGRDEVVEVVTPEPEPETETVEVDGDDPVSKKKRRQMRNRDSAMKSRERKKSYIKDLETKSKYLEAECRRLSYALQCYAAENLALRQSLMKDRPVGAPTAMQESAVLTETLPLVSLLWLVSIVCLFLMPVLPNRGPAAPSSGGRDLVMAAGKTSSETSEILKLILHGRRCKSTRAKIKLYTLPFHAVTAR >Sspon.01G0022450-2P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1A:82107613:82110165:-1 gene:Sspon.01G0022450-2P transcript:Sspon.01G0022450-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGTDEKASIYQRHDALQAMEKPFYDILGQAYPSSPKETMITRDTQVDCPQDNYSEQACSGSFFTDILGPQGMHLVANDWASECDHLSLQFEKGAEEANKFVPSIVKLVDLDSNGLPDSIQMIKATIGQKGKHVSKIQSHPHVDLEFLEARNSKHLAISVSETTRNEMFDSVLLCDWQFRCDVAHRREIKAKEANISSQNVRRKGYGQGQMKSRGKKKEEGIDLRAHLMQCAQAIVVNNLPFASELLKKIRHHASPYGDGSQRLALYFAIGLEARLAGTGSQMYQKLMEKRTRATDMLKAYRLFNAVCPFARVAYYFSNQTIADLLNGRPKVHIIDFGITLGFQWPSLIQRFAKQEGGPPKLRITGIDVPQPGFRPRAIIEATGKRLAEYAEMFNVPFEYQGIASQWEDICIENLNIDNDEVLIVNCMYRTKYLGDETEDIDSARDRVLRTMKRINPEVLILGIVNGMYSSPFFLPRFREVLFHYSALFDMLDATALQTDEDRIQIERDLLGASALNILACEGAERIERPETYKQWQVRCLKAGFKQLPVNKAILKRSIDEKNKHYHEDFVIDEDIRWLLQGWKGRIIHSLIELAPAFVAMVLRRRFNQRAAVQALEDQSWITDRSSSGTSP >Sspon.08G0019770-3D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7D:19209859:19211013:-1 gene:Sspon.08G0019770-3D transcript:Sspon.08G0019770-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MWTALKHHYCGSSIWDDGKFKKDDHIVMEVHEDVEHDHNEVVVEDCSTSMSSDDDDDDHATRSLDKNDDNATSDASNDCTPCTLDGEDDGYESDASTSSSISSHGDTKVSIGGFVVDCDDPNFELLYKLSKALRNEMTKTSKLRNENSFLKTICEQQKHLLYVTTCSHEELKLAHEELSVAHDNLAQEHALLTKKLSNEETKTSESSSFGLNDQSHITNPCDVGKKHVSTSCDDLLDMPCSSQLNACSTSMSCETNLLKENNELKSEVKNLSNKLERCYNSKVTFEHMMKTQRNVGDKSVLGFKKKMTKGERKQEKRIKRLLQKKLSHSVCYRCHEAGHLANGCPNVEKLKKMKEEERLKHVKCFKCRTWGHLTSICPTKQLVK >Sspon.08G0027680-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:783097:785265:-1 gene:Sspon.08G0027680-1D transcript:Sspon.08G0027680-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MWNAVQNAAQVTGVDALSLIALIVRAAETASRNKKTCRELVEQVKQIGDLLRSLEEQPGVGIMHRPETSALLRELHETLQLACTVVESCGQGGCVHGLWAGGSRASRLRDVQSKIAFYLQLFPIVSHLDSTRLLVQVINSAAAPTSAGGAEEEVLRSFQNLSDPQDDQRFQNLSFSQLRNATNNFSLENQIEQGPLATLYKGQLHGNHVTIKKHSVTSSSEQKLPPSMSQYELCKNEVQILPKLQHKNIVKLLGFCAERSERIVVYEHIENGSLEDKFCILRFDNLITCHRCRCEHLAVRMGAGFTVDWPTRFRIIEGVAQGAVYLHNHSRLRIIHRDLKPCNILLDSDMNPRISNFDLAKVLSAGVDQGTADCVVGSVGFIAPEYMKNGTFSVKTDVYSFGIMVLEIISGKRWTRPLQKTYYRDLLTWAFNRTTCCSNKMVQRLKGFMHPTMHSIAFCGRTVPRCLSLPTRRRVMSKQREMRRCVRVALLCIQEKPERRPNMLEVTRMLMSSRKAAVPFPRRPGYATESPMYAGDRSTTP >Sspon.05G0026880-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:47001362:47009620:-1 gene:Sspon.05G0026880-1B transcript:Sspon.05G0026880-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPRVPCGDGGPELRAARRLRQEGERIHVLDPRILEPQRQRSNPFRAESKSLHRWTAKYCPLLPPPRSTIAAAFSPDGKTLASTHGDHTVKIIDCHTGKCLKVLSGHRRTPWVVRYHPLHSDILASGSLDHEVRLWDANTSDCIGSQDFHRPIASIAFHGRGEILAVASGHKVRLAVLTLKSKKKNKLYIWNYNKRDDASFPAIILKTRRSLRAVHFHPHGAPYLLTAEVNNIESADSPLTLARSSGYSNYPSALLVTNTNSRFCPLPESNVMSPCLLWPAYLRDDGILHVLGNDSSSTIVQQRSSLVQPSTSDTANVQLEQFATPMDVCPGEPTSSNDISDAVTVPTSNGIEMHGAGGQSNSRLQGGSSISNIERFSARDDLQVSSLSSTEPIPSTAGPSGSVLRRAMPLNMLLTGGLDVQMFLRNIGSGQQDHSLFGDSHNWEVPFVQGFLMAQNHTGLHPALVNNNVLVDLSIAGTAGTNNLTRESPHMHNFGCPGASSSIPLTAGSSRGPNRRYASRSVPGVRSLLLGPQIDEAEAHAASLGAGSEITASMLASGTELPCTVKLRIWRHDIKEPCVPLEPEACRLTISHAVLCRFGDILASRAIRAAHCLTSIQFSPTSEHILLAYGRRHSSLLRSIVVEGENGIPVYTILEVYRVSDMELVRVLPSAEDEVNVACFHPSPGGGLVYGTKEGKLRILQHNGADMTNMGVGCFIEENMVEVASFFLVYSCHLLLNLLSLLRQDISMFIKPIFETLQLSGASS >Sspon.01G0033880-3D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:115095069:115095638:1 gene:Sspon.01G0033880-3D transcript:Sspon.01G0033880-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RCDLVVVANGRKEKIASGLLNPFVAHLKVAQEQIAKGGYSITLEPDPEIDAPWFTRGTVERFVRFVSTPEVLERVTTIESEILQIEDAIAVQGNDCLGLRYVEDYNGKLVDCMEGEILTCTSSFFRHDS >Sspon.02G0048160-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:22243124:22244012:1 gene:Sspon.02G0048160-1C transcript:Sspon.02G0048160-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGRSGGFSCLALQPPWLPAIRDHGGLPSSWERRLAVANQPSRPHDLVASIESHHDLVQESRKEWLG >Sspon.01G0003560-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:7793805:7795655:1 gene:Sspon.01G0003560-2B transcript:Sspon.01G0003560-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPMLPATVTVKEEWPPEEEEEVVEDVDAPRPMEGLHEVGPPPFLTKTFDLVADPATDEVISWGRASNSFVVWDPHVFAAVLLPRFFKHNNFSSFVRQLNTYVSVPSPLLCSIAILFRLLCSKIDPDRWEFANEGFLRGQRQLLRLIKRRRPAAAPPYLQASQSHSQQLQGSCLEVGQFGGLDGEMDRLRRDKSILLAEVVKLRQEQQSTLAGMRAMEERLQHTEHKQVQMMGFLARAMQNPDFFQQLAQQQERRRELEGALMLSAASRKRRRPIGAAPALDGGGVQEEEEQADDDDPTATQALFAELDERGTTSELENLALNIQGLGSKRRQDGGEKQGGGVRSQQLAAAGGGGETAELTDDFWEELLNEGMRGGAAAEMLPPERRRPGWYVDALAQKLSSMSNTTA >Sspon.04G0008150-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:23528501:23533724:1 gene:Sspon.04G0008150-1T transcript:Sspon.04G0008150-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRSFSRLLTRSFYPRRAKVESSPGIVSQAASMLVAGTGRPARSYGAAAVVAAALTGGAGILIFKKRPDDGPVAVDGDVTMEDIKKEEVDMGKHQMDVVEAKFHEWMEKVGREYPNEEEKAYRFELFKERLKETETFTEEGRGNNSFPCFADMTDDEIRAITNCHKPRSKEYEASLMRMKKKMDERMLLIEKEKAKRNVVNCSKHKIGSHLRWRVHEVLERRENLEHPLGHQLEIAVPHVVHEVDIGDVDHNAQNRGIPNVNSRQHGDREQEVVDHVFRKGMDHRCIR >Sspon.03G0000980-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:2734490:2735220:1 gene:Sspon.03G0000980-1A transcript:Sspon.03G0000980-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRYDVKSILDSSALPIGSAAKRLKEAEAAASAQHHASVVSYDVGRMASQLGDGGALAAAYGAHYHGAWPTIAFQPSAATALYHPYAQPMRGWCKQEQDHAVIAAAHSLQDLHHLNLGAAGAHDFFSTGQQAAMHGLGSMDNASLEHSTGSNSVVYNGVGDSNGSTVVVGGGYMMPMSAAAATATTAMVSQEQVHARAQGTTTKPSRLLRWGTRATW >Sspon.08G0007280-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:23923663:23926314:1 gene:Sspon.08G0007280-3C transcript:Sspon.08G0007280-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKVLPEQKPKLTRLTYRKIHGSEYSFGAHDHPSSGVFEVEPKKCPGFIYRCTVFIGRTTLNPLEFREFIQRMASEYHGDTYHLISKNCNHFTDDLSTRLTGKSIPCWVNRLARLGAFCNCLLPESMRLESTETKHLADCRFSDGSNTTSNDNFDEDDLEDKHLLPTSSVGEDTIVKEVHR >Sspon.04G0011540-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:40609869:40615064:-1 gene:Sspon.04G0011540-2B transcript:Sspon.04G0011540-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPSSAERLAAHWVADALAADEAIHFSVIKSKLQALIACVARSVLLHSFVLSPRVPDAPLALVDLSPEYLIGAPDSVRERVALRGLEEHATFADADEGGAAVAPPPSKILRVDAVRSCEDLLVELTEQVGSSGIRDIILPFRQDIQNFICIKKPTLPESSLELLREVDPEIQSMAAPSSVEQNGIKKHDNHQSLCSVHHLNSNIDTPRPPSASTELQPGNLTNLVNNLEKGNFKQCPIESTVDLDKTLETDRRFYNQPREYAINAAGVGTRASEKDPSNVDSNMSGSSTSCNATLQGNIAEPLSKKSMVDETTVVQAQPCKGKSPNPPDYNNVIKRPNDDGISYQSSKDPRHESKTLQATMTPAFDRTNTPEASDLPEPIATEDTTMVQQLHSCKTLLNHLQHDSGQKVNQDLDGTFSIQPVEKYSIHEESTLRATSVIPSVSCNGAMQEDKSKTNYPSGNSTEHPAIFEEQNCDKSQLEVSCADKNKHALHDDATMLGKNKVVCGGLNVQGAPESHSCNLTVHDKVLEAHCLSDQNTGKSTNDIQKRSCNISVSISCQDGYRKTAKQDSNKQTIGKTAAEISHAHSSDDSISGFAAACLLSMSGKIPLCSQDQEANDSLRVSPEQDLCRKCGKGGQLLQCSSCLLSAHDSCFGSSLTFEDHGQLYCPVCICAKATEEYKKAKKTYIEARKNLAAFLGAEQLLKQHEQQTRLLPRAIYSEGQLNGHNNSSKKQTSVSETTVDDLAHQGEESNRQWKKQKINVTSDACNEVVIEKASSVGNSDVAPMNASVLQNKSNQLQDAEQDHVENTEAHEGSSSQNRYSPAANPEVETDKEDGPTHSHHQSKDSDEIEFTSSSDSGKPSSPPWHTIKHHRARLQEREATVSSNSTKAFGQKDQHEPLPSRKRNYAYPPKRYSNPAVPTGRRSKLCWTVKEEAALREAMKIFTPRDNGPIPWVQILEHGRDVFHRTRLPSDLRVKWRNMKNRGDV >Sspon.02G0042790-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:86410746:86415245:1 gene:Sspon.02G0042790-1B transcript:Sspon.02G0042790-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGTNSVLLKLVFFFLCFNLVTTLTAGDGQQFIYFGFADTGIILNGLATVTPNGLLHLTNGSAQLKGQAFHPTPLHFRKGSLNGTVQSFSAAFVFAIRSIAPGVSAHGLTFFVSPTKNMSTAFSNQFLGLLSKKANGNSSNHVFAVEIDTVLSSDLLDDDDNHVGIDINDLRSVKSHYAGYYDDMNGNFHNLTLSSFEAMQVWVDYDGGKKQINVTLAPLGIAKPMKPLVSTTYDLSSVLKDESYVGFSASTGILDSHHYVLGWSFGMNQQSPPIDVNKLPKLPRIGPKPRSKLLYIVLPIASAILVLALVTVIFLVIRRKIRYAELREDWEVEFGPHRFAYKDLFHATEGFKNKNLLGTGGFGRVYKGVLRKSESEVAVKRVSHESRQGMREFIAEIGSMGSLRHKNIVQLLGYCRRKGELLLVYDYMPNGSLDKYLHDNTVETLDWAKRFHIIQGVASGLLYLHEDWEQVVIHRDVKASNVLLDNEMNGRLGDFGLARLYDHGTDLQTTHVVGTMGYIAPELTRMGRASTLTDVFAFGVFLLEVTCGRRAIAQQDGQDDAPFMLIDWVLEHWQKGSLLSVVDTRLLNNYNVDEATLALKLGLLCSHPSPSARPNMRQVIQYLDGSAMFSEQTLVEITRNGGQDSGPNYVASPSPPSSTSFGTIQWSPTQTVISKKRAVGEGQEMSMRCKINVAFVKIPGIEASHLCRASLHVNIGQENTLGVVYKLPRLLAGYRKV >Sspon.01G0027750-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:95131098:95132624:-1 gene:Sspon.01G0027750-3C transcript:Sspon.01G0027750-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAISLPRTLAPLITPRAEEGAGSLGLLPRLGSGHRRARVGRSRRRLRPRRRNGPGNAVALTDLWDSTEGLAVVALLRHFGWELASVLKDSMAKFDSAGAKLIAIGVGTPEKARILADRLPFPMDSLYADPERKAYNVLGLYHGLGRTLFSPASAKIYSRLDYIKEATKNYTLEATPADLTGVLQQGGMFVFRGKELLYSWRDEGTGDHAPLDDVLSACRKIPVA >Sspon.02G0024260-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8B:63679824:63683876:-1 gene:Sspon.02G0024260-2B transcript:Sspon.02G0024260-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MERRIVTQLMTCMDQFHQNIGSGSGNLDAESSEKKPGYVIVIGATNRPDAVDQALRRPGRFDREISLGVPDENARKQILKMLTQHLRLEGEFDLFKIARATPGFVGADLKALVDKAGNLAMKRIIDERRAQYRHENDGSSKHDWWRQPWDESEVEGLHITMDDFVEATKMVQPSLRREGFSSVPDVTWDDVGGLDSLRKEFDRCIIRCIKHPEDYEVFGVNMQAGFLLFGPPGCGKTLIAKAVAHEAGANFIHIKGPELLNKYVGESESEVRKIFTRARTNSPCILFFDEVDALTTKRGKEGGWVVERLLNQLLIELDGADQRQGVYVIGATNRIDVIDDAVLRPGRFGKKHYVPLPDADERVSILKAHARSKPVSTDVDLDALARRAECNNLTGADLASLVNEAAMAALEERLEFIENGTSSMSSSCLIEISHFERALSMIKPSVSEQQIKHYEALSKRYSSN >Sspon.02G0001090-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:4737001:4737941:1 gene:Sspon.02G0001090-2B transcript:Sspon.02G0001090-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQQTTVNYLGLIVSAGHHCAAQLSDASIIMDASEYIEELKQKVVRLKQEMACEEEEAAGALLKHSSSPSPTVTVETLAGAGAGQHGFLINVLSDKSCPGLLVSILEAFDELGLSVLQATASCADTFRLEAIGGENQVDNVDEHVVKQAVLQAIRTCSSQGCRNQQE >Sspon.06G0000320-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:1971458:1972932:-1 gene:Sspon.06G0000320-1A transcript:Sspon.06G0000320-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSFKSSLRSSFNGSRVPNQGFMEESDVQEQLSRLQEELRKEKEEKARALDEIQELRTTNKNRNKKLKSNGGEEQLDLADRLQNLESELEAARDSEKKMLLSLEAQTKQLEQTKVSLEEAKIEIASLRDSTNSSNPGRQSLRNFRRRGMMSFSFADPGEVETWSLQRELKLAVESEEKCKKAMDDLAIALNEQTTEAREIKAKLSLVQAELNNARTEVESLKASLESTEGKLQLALEEAERLKVESDELAAASKEKERGLVDCIKLFEEDLSKGKEENDKLIESQRVVRDENSRLREMLKHAVGEANVARESLEIARVENSRLNEQIFEKESTLQSIKQEYESLKISEAAAQSSIKELKDMIDAMFSSESTKTSATASPRDAKGSRTKENSVAADDVYSDFEKSTQPGDKNPGKQKKKTILRKF >Sspon.07G0001930-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:5358195:5361860:1 gene:Sspon.07G0001930-1T transcript:Sspon.07G0001930-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGAKELEAARRVATLTLAELDISSSTSPPCSNLPALLRRCLQLLRFLNAGDPNLAARCCDGLLVSLRAILSRDPSSSLLPAIEVFAESFVARDQLRSCLVKDTYAAPEGSRVFTEALPCEDEHIMLELVCRHFISSLLDEGAFEVFVSALSWSGKALQQTPEISFQGALALVQRTWSFSLPAVVQAHLLLLMSRCTSDQNLNSHMLAFQYAMKLYVRYLPALCVFNRTGGAEAPVNYLGKKRPYSCIKDTTEQKLRSQIDRLLSFCELHSGDDLPFGLSDIGRVIEENQHMFHEKFRQQCTVVVKAILSSILCCAKQKEVLEPDVEVSDEIICLAAALRVMGSSMQHILHHFSQMRSATDKEHNKEYKIIYEIISLLGQYETNELHRYDLAITGKSVDSESASMLMLTHFASLSTSCLRRKLGFLWKGCIIMMMRATNLIAEEQSLSTFDLPMDVSKESAVFCNTKVGISEKLSIGMSKRQVEDGGVHQMLLSGMFTVWAPLRNVIPESERLMVKGFLIVILNTHETRGLIYWIALNVKKEKIIQMP >Sspon.02G0037280-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:31880121:31883884:-1 gene:Sspon.02G0037280-1B transcript:Sspon.02G0037280-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GFAAAEAQGRRARRVLPGRIPPRRACRPKAHLPRAQAAAREDEALLRRCRRGLRLRPGRRRGHPWVMFYTECPLN >Sspon.08G0009920-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:42573367:42576720:1 gene:Sspon.08G0009920-3C transcript:Sspon.08G0009920-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWKVASGGKAAAAPGGDKLGRFPPSSSAAARSRMKLWLVRATTTVLLWTCVVQLTAVGDTWGPRVLKGWPSCLTPHDAARPRPPLVDRAAALPLPPKRIYRNNGYLMVSCNGGLNQMRAAICDMVVIARYLNVTLVVPELDKTSFWNDPSEFQDIFDVEHFITSLRGEVRILRELPPRVKRRVELGMFHSMPPVSWSDISYYQNHILPLIRKYKVLHLNRTDARLANNGLPMEIQKLRCRVNYASLRFTPQIEELGKRVIRILRQNGPFLVLHLRYEMDMLAFSGCTQGCSTEEAEELTRMRYAYPWWKEKVIDSDLKRKDGLCPLTPEETALVLRALDIDRSMQIYIAAGEIYGGKRRMAALTSAYPNVVRKETLLEPSDLMFFQNHSSQMAALDYLVSLESDIFVPTYDGNMAKVVEGHRRFMGYKKTVLLDRKLIVELVDRYTNGSLRWDEFSALIKVAHAKRMGSASKRMVIPDRPKEEDYFYANPQECLQDRDLLQTS >Sspon.01G0009710-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:33972044:33974455:-1 gene:Sspon.01G0009710-2B transcript:Sspon.01G0009710-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bidirectional sugar transporter SWEET [Source:Projected from Arabidopsis thaliana (AT2G39060) UniProtKB/TrEMBL;Acc:A0A178VR23] MYAKHVYDRPTFYRVYKKSTESFQSVPYVVALLSAMLWLYYALLSIDVLLLSINTIACVVESVYLAIYLTYAPKPAMAFTVKLLCTMNMGLFGAMVAFLQFYVDGQRRVSIAGGVGAAFALAVFVAPLTIISVEFMPFWLSFFLTISAVVWFFYGLLMKDFFVAMPNVLGLLFGLAQMALYFVYRNRNPKKNGAVSEMQQQAAVQADADAEKEQQLQQADADADDGEAVTVRTDDDGPKNVVVDIMPPPPPLLPAERAPPLPPPPPPAMVMMTAHQAAVEVV >Sspon.05G0012860-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:33417660:33428956:-1 gene:Sspon.05G0012860-3C transcript:Sspon.05G0012860-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calmodulin-binding transcription activator 4 [Source:Projected from Arabidopsis thaliana (AT1G67310) UniProtKB/Swiss-Prot;Acc:Q9FYG2] MSQSFDINVLREEARTRWLKPSEVYYILQNHERFPITHEAPKKPPSGSLFLYNRRVNRYFRRDGHTWRRKKDGRTVGEAHERLKVGNVDSLSCYYAHGEQNPCFQRRCFWMLEPAYEHIVLVQYREVAEGRYYSSQLSNGPPEPSSLGYPNAICGNQYHSSTSGTSEGSESHQSYSNLSSVTEVSSYSGNKEYNKNDGGLLSIPEVGHICLQQNRTDNSNSQNKSGLNMALKKIAEQLSLGEDDDDDYIYSNQAHSMGGDNQVKQIRQEGTQKGLSRNIAPSWEDVLHSSSGLATSSIYQQSDVEYQKNSEYHPPERLDSSDLRIQLSAAKRFLLGPEATIDSPSLNSVLRNRVNSVTDTISAYDSRFESSLNPDWQTKTAFTFQSNSQDSEITELFDHGHFEPYSREDTTFALGQTIKFNIREISPEWGFSYEITKVIITGDFLCDPSNLCWAVMFGDSEVPVEIVQPGVLRCHTPLHSSGNLRICITSGNREVCSEFKDFEFRSKPTSSGFTDIAPSSRHLKSCEELLLLAKFARMLLSGNGSPEVPDGDPRSGQCPKLKMNEELWDRLIDELKVGCENPLSSVDWILEELLKSKFQQWLSVKLRGFNGTDSLSKHEQGIIHLISALGYEWALSSVLSAGVGLNFRDSNGWTALHWAAYFGREKMVAALLAAGASATAVTDPTAQDPVGKTAAFVASERGHTGLAGYLSEVSLTSYLASLTIEEGDGSKGSAKVEAERAVESISQRSAQLHGGTEDELSMKDSLAAVRNAAQAAARIQNAFRAFSFRKRQQKTARLRDEYGMTQEDIDELAAASRLYHQAHASSGQFYDKAAVSIQKKYKGWKGRKHFLNMRRNAVKIQAHVRGHQVRKKYRTIVSTVSVLEKVILRWRRKGHGLRGFRAEQQPIVEAIEEDDEEDDDFDDDEAVKVFRRQKVDQAVKEAVSRVMSMVDSTEARMQYRRMLEEFRQATAELEGSNEVTSIFESDLELLGINNFILRNKNLLWLPYMAMP >Sspon.07G0029740-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:71591671:71592046:1 gene:Sspon.07G0029740-2C transcript:Sspon.07G0029740-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFNNLETFPWKINYFATFQRSSHPFSHMAAHCTISPVRPCSRTLGYPWPPGIRCGGRSRRRW >Sspon.08G0012830-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:51471560:51474154:-1 gene:Sspon.08G0012830-2B transcript:Sspon.08G0012830-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAAAATAPTARKRPAPDQEPRAADATKRPRYKDLRSIDEFEVLEVLGEGAEGVVSRARDRRSGKEVALKWIRGDGPDGHGPPDRRALAMEAGCLHACRGHPSIIGIDGVAADPKTGDVHLVLELIRGGLSLRESIWGTPSEDAIRLMMRQLFGAAKKVHDLDFIHRDIKSENILVCPLGELKVCDFGSATRKKPDGKPHEAYPVGTLQYNAPELLDRNWYYGPAIDMWGLGAESEYEMTTEMSELRDRMTSAAGKLDPECLAKLSEDGRDVLKGLLAFCPEKRLTAAEALEHRDGEYDLQYAWVTEKQMLQPSVKDLNQLVKDGDYVGYQIGSFVHSLLMHRNSTATKLFPYYSADDYAKALRNGSKNGGIQLMSSSTGE >Sspon.05G0012950-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5A:40870164:40872707:1 gene:Sspon.05G0012950-1A transcript:Sspon.05G0012950-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAAAFASAALERAIGTLSLLLPGFFPSARHGEEDAEELGRLVRTMRRIRAAIDDGFPSRAPGSASASARLRLRELRGLAYDAEDVVSECEYEAVRRRAEALDAVGRAGSDGGRCRLKRVRREVIDDYFSDIDMVPAVRDLAARARKIRVRLDEIIKEYEDLCMTDNDGEQQIDLAAQRSQRYTSSIVHETSIHGREVDKNNIIKMLQSEVRPMSVLAIVGMGGLGKTTLAQLVFNDQRVRQSFDRLAWICVSDQFDLKIITRNIISSLQKQKYEALELNDLQEALIEQIERKKLLIVLDDVWNEHRAPWDSLCAPMMTTELCTIIVTTRSKTVASLVQTMPSYSLNCLTSAASWSLFEQITFEGQDPAAYANFIQIGEEIVEKCKGLPLAIKTLGSMLRYETDEERWKYVLESDLWDLDPQQNDIVPALELSYSHMPVYLKKCFMALSLFPKDYHFSQDKLIFLWKSLGLLHTDDVWDKDRTGKLYLSDLLKRSIIQCNEHAYTMHDLIHELACCVAGEEFLRLENDVPAQISKDVRNISIFLPWTCVTSKLEHFHGSSALRAVILSSMEGLGGPIEISDELFVYSKQLRTIVLDGISLARPSLHDSVGNLKHLRHLVLRDIGGLELPISICQLFNLQTLDVTTSGNLKPACIPNGIGRLINLHTLPVITVKRGAWHCNLRDLKDLQNLSGKLCLKGLDNVTIVDEAEEANLCSKQHIRALNLIFPDGDWQYCKHGQEPAPTTASHEEILENLQPHSNSDKIGILSI >Sspon.05G0013840-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:49382391:49385113:1 gene:Sspon.05G0013840-1A transcript:Sspon.05G0013840-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Subtilisin-like protease SBT2.4 [Source:Projected from Arabidopsis thaliana (AT1G62340) UniProtKB/Swiss-Prot;Acc:F4HYR6] MSSALPRCFLLPMLFAAVVASSAAAVGDTVRARPDDEQEEKPSIYLVSVHGEPPLAAAGVGRKATWYLAQKRRAARLHDRLLQGAMDDDGARGSGSCRCRKIYSFHHSVNGFAVHATASLAERLRAAPEVAAVEEDVGTRLMTTYTPQLLGLPDGVWRQRHRGSTSKGEDYGEGVVVGVVDSGVDPVHPSFACVPRPPAAADPPDDDGPFAGGRECSVGPMFPPGSCNGKIVTARYFAAGAAAVLPLDPSRDLSPFDAEGHGNHVASVAAGNRGVPVVVGGAMYGFASGMAPSARLAVYKAVYPAGGTMADLIAAIDQATEDKVDVLVLSVGPDERPASKVTFLSMLDVALLYARRAGVFVAQAAGNRGPAESSVVSYSPWVTTVAAATSGRNYTSSLVLGDGRRIPGLGLSAPTLQARLVAAKDAAAPDAASMERAEECQDAEALRWRADVLRGSIVVCSFSRGFYNGTSTVTAILDVAEALGFVGFVLVADAQHGGDFLAQPLPLAVPGVMVPRVADALVLWSYYAAHTVYGGTATVFGATAAITEGRVAAFSDAAPVVARYSSRGPDVSDRESTPADVLKPDILAPGDQIWAAWSALSVNEPILAGNRFAMISGTSMAAPHIGGVAALIKQRHPSWGPAAVASALSTTARRHDRKKRPIMSEGFEIGSLHRATPFHYGAGFVNPAGALDPGLVVAPEPDDYTSFLCSLPQLSPDDVRAATGLPCQASLVSPVDLNLPSVTVSALRGSLFVRRRVTNVASNAETYLCSALPPAGVDVTVRPGWFEVAPGETQEVVIELRVTRASDAFSFGEILLAGSLDHLVRLLLAVRPLATSQKCNRS >Sspon.06G0008470-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:38282573:38292455:1 gene:Sspon.06G0008470-2C transcript:Sspon.06G0008470-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MACLAISLQPVNGPDILLQTRSWFPVSRALAAVSAFRLARLHLARGKQQSSSSSASSASLDAIGDDPLAAASGQLVVGVESQYRVVYRLVNSIYVLGVTTAGSDHAAPAVNAFAVADAVNQTVSVVVAACRGVDVTPEKVHRKYPEVYLALDLVLHGVGSVRLSQILATIHGDNLARMVNSSPDAEARARGADPWPAVEHLAQDRHAAREGFSGASFELPQETLAAGDEFSSNIAPATTVATGDEPPPEEAAPVEKDPFAASDLINNKPEEALVGGFKKNKETALVVADPAAALAGLEVTTLPPAEATKPTFIGVEGFEGDYGGIEFGNDEASLAEAFEGLNAPFGGGLDASEFVTTTKKDHKDRAVTGLELLVTSGKPPNAAAGTPLENLLVTKRTEMTAPELFIAEEINAEFKESILVRVGLKGTIFLRTLPLNKAAGKETEFSFRLEGTSGMKRAALQSNVLSNLQNGLFHVRTVSKEEPIPLMKYSFLPKHSPLPLRMRLVKRHSGTLLSVMIQYASNPMLPQPLSNVTFIVKLPVDPTLLNVSPKAVLNRAERELRWHISEIPLKGPAGRLRARMPVDQDSKDGELEVIGMVKFAYQGCENPIVSYLANLLFPAYILYSNSRIDELKASIFKLAAVILIS >Sspon.06G0033030-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:7256092:7264874:1 gene:Sspon.06G0033030-1D transcript:Sspon.06G0033030-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRGGLREGKRSMVELQFTPLRHMLFCFSEGLGEAYDGDIAFASSLETFGGGHNDPISVAFGGPVMTKFTIALREIGTYKEVLRSQVEHMLNDRLLNFVDIDLHDVKDAHKRFDKASLSYDQVTSLSHVEAKKRFEFLEAVSATMDSHLRYFKQGYELLHQMEPYINQVLAYAQQSRERANKEQASLVERMHEYKRQIDRESRSSINGLNDSYNGDGIQTIGRSSHKQIEAVMQSTSKGKAESAVDQMDWIEKITGVIASLLSSQSPEQCFMSSPKGSGHDRSTSDASSFTSSVEFEPSVIDDLVLEKNSGNGQHDVRGTHHHRTSMKPEKPIDLLRKVDGNNMCADCGASEPDWASLNLGALLCIECSGVHRNLGVHISKVRSLTLDVRVWEPSVINLFQSLGNMFVNNIWEEMLSDDNSSADGSDTSQYLSVSKPKHKDVFSAKEKFIHAKVFTTFTTACILCSLAMNGVLKPNCLEESPCEEWLHKYDSLTVPTWIYVDKEFIRNHGMDENQLAQQMWNSVAANDKKAAYSLIVRSRANVNLVYGEMPSSPFLTLGKALQQEQPASPHDGSPRFFDCNSHDKISPREPLSPASTSSRTDDMEDSCEGLSLLHLACRVADVGMVELLLQYGASVNMNDSRGRTPLHHCILKGRHRHAKLLLSRGADSQAMDRDGRTALQYAIDGGTSDEDILA >Sspon.07G0016140-3D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7D:54583024:54584823:-1 gene:Sspon.07G0016140-3D transcript:Sspon.07G0016140-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATFELYRRSTIGMCLTETLDEMVNNGTLSPELAIQVLIQFDKVEMPVPLPPRLFYGFPTANLGHLHTYRFCDNVWTFILTEASFKNEETTEQVGKVKIVACDSKLLGQ >Sspon.08G0009940-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:37748975:37755736:1 gene:Sspon.08G0009940-3D transcript:Sspon.08G0009940-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MISAVTSLSLTRLLNSAGAEGNKRGARKAGFWQLPLVHWPQFLFQFPPCLATWRARCRPRWQSQPWTELLAVSTLPKAEATKVGGWKRVFVQTDTGCVLAIQLDRGDNAHTVKRRLQVALNFPTDERSLTLGDRVLKNDLSTIRNDSPLLLTKTFMHRSSSTPCLSPRGKDLQQQKDKGCPIELLVCPSRCSRTRQLVKDVARAIRKGVDPVPIKSGLGGAYYFKNSKGENAAIVKPNDEEPFAPNNPKGFIGKSLGQPGLKRSVRIGETGFREVAAYLLDHDNSANVPPTLLVKISHPVFHMNEGANCANKNIADGSTQAVSKIASFQQFIPHDFDASDHGTSSFPVSAVHRIGILDIRIFNTDRHAGNLLVRKQTGAGKFGNQTELIPIDHGLCLPECLEDPYFEWIHWPQASVPFSEDELEYIANLDPVKDADMLRMELPMIHEACLRVLILSTIFLKEATAFGLCLAEIGEMMSREFTGMEDQPSELEFVCMEARRLATEQEDSTTEHDSGEEDLTQFELDSEDHEMLKEPSAHQFEFKTRNSRNQLSKLDEADEEEEEEDTEEVESNAGKLACPKPVNKWLAANISKLSTSLKGVNLTDKTQRQLSAGPKFVDPVNTSKSNSNDSGSQLCNWGSANDMLPTSVSFVKLADMKTETWGLFLEKFQELLPETFRSRKCTVTAQRAKQRLGTSCQSLSRNHQQHDGTIQKMTDSGGGSLPLWPAPPPLQAQNAARLGGGGWPQSAALSDTTETETLESRLAHPAPSAARSVAEGDSRERVRAVATLARRR >Sspon.06G0027550-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:86899180:86900097:1 gene:Sspon.06G0027550-1B transcript:Sspon.06G0027550-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGINIFTEGQLKKITNGYQNSIGEGAFGKVAVKCSTAKGEVLPQEEFVNEITFQFRITHANLTDVPMLVFEFVPRGSLYSVLHGTGKMHALSLPVRLNIAIGSPEALAYMHSHGGHNHVHGDVKSGNILLDDNLTPKVSDFGSAKLVSLVTRKPAKDGENSLYIDFIKLCKDEGNGRKLYDEEILSGDDARSHHHMECLDMISKLAVQCLKEDLDDRPTMAEVVEELKRVKAIATGGSCSVTS >Sspon.05G0020180-3C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:5C:83490316:83490645:1 gene:Sspon.05G0020180-3C transcript:Sspon.05G0020180-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGKKKMLATLVGCNYAGTENELKGCINDAHAMRALLLDRFGFAPGDVTVLFDDHESGAGVLPTGANVKLTGRNGGARGARDVLFFFFSGHGTQTPPISGHGDRDDEAI >Sspon.04G0013190-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:46780593:46781914:-1 gene:Sspon.04G0013190-1T transcript:Sspon.04G0013190-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MIIMGEQRNPAIRQVSSSLLALAFASSVLVGLAAGGSFYEECDATWEPQNCWAYDDGNRLSLALVSSSSGSMIRSKRQFVYGTVSTMIQLVPGDSAGTVTTYYTSSLGDNHDEIDFEFLGNVSGQPYTIHTNVYAAGVGNKEMQFKPWFDPTADYHNYTISWTPCMIVWYIDGVPIRVFRNYAATHGVAFPTSQPMYAYSSIWAAEDWATQGGRVKADWSKAPFVASYHGIDLDVCECDGGGCVSTCAAAFAGGDCSSLSDAQVGKMQWVQSSYRIYDYCVDPKRLVNGQKPVECGLPQY >Sspon.03G0041880-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3C:47869581:47876707:-1 gene:Sspon.03G0041880-1C transcript:Sspon.03G0041880-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding FSGKVTYNGHEMTEFVPERTAAYISQHDLHIGEMTAYAMGGQDANVVTDYILKILGLEICADTMVGDEMLRGISGGQRKRVTTGEMLVGPARALFMDEISTGLDSSTTFQIVNSLRQSIHILGGTAVISLLQPAPETYDLFDDIILLSDGQVTSKKDQKQYWVRPDEPYRFVSVKEFVTAFKSFHTGRAIANELAVPFEKSKSHPAALTTTRYGVSGKELLKANIDREILLMKRNSFVYIFRTFQLMLMSIIAMTLFFRTKMKHDTVNDGGIYMGAVFFGVLMIMFNGMSELSLTVFKLPIFFKQRDLLFFPAWSYTLPSWIVKVPITFIEVGGYVFLTYYVIGFDPNVSRFFKQYLLLLAVNQMAAALFRFISGVSRNMIVANVFASFMLLVFMVLGGFILVRDKIKKWWIWGYWISPMMYAQNAISVNEMLGHSWDKILNSTASNETLGVQTLKSRVVFTEAKWYWIGFGALVGFTILFNALFTLALTYLKPYGNSRPSVSEEELQEKHANIKGELEKKRRRTEVTACTGRGTGRCTGRGHCATGASGQAISGSRGPPDAVRVRSQVTGRVRWSRELTGLAPDAGTVASGGFFSASGGCLAVRGSVLTGASGGSRDRRVRSSRARPVARSSASGQRLFGPYKVLDGNHLVSASSHRSTGVNTETDSAIMEDDSSSTKKGIILPFDPLSLTFDNIKYSVDMPQEMKAQGVQEDRLELLKGVSGSFRPGVLTALMGVSGAGKTTLMDVLAGRKTGGYIEGDIRISGYPKKQETFARVSGYCEQNDIHSPQVTVYESLLFSAWLRLPKDVDSNKRKIFIEEVMELVELKPLRNALVGLPGVNGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFEAFDELFLMKRGGEEIYAGPLGHHSSELIKYFEAIQGVSKIKDGYNPATWMLEVTTTSQEQILGLDFSDMYKKSELYQRNKALIKELSQPAPGSSDLHFSSKYAQSSVTQCVACLWKQNLSYWRNPPYNTVRFFFTTIIALLLGTIFWDLGGKVSTSQDLMNAMGSMYAAVMFIGIMNCTSVQPVVAVERTVFYRERAAGMYSAFPYAFGQVVIELPYALVQDILYGVIVYSMIGFEWTAAKFFWYLFFGYFTLLYFTFYGMMAVGLTPNYNIAAIVSSAFYAIWNLFSGFIIPD >Sspon.02G0054520-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2C:114490052:114490342:-1 gene:Sspon.02G0054520-1C transcript:Sspon.02G0054520-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSLSLAVLLFVLVLVASAVPATVAGGKYGGARMVIIRGPGTRVVGAAGAQSNYRRLVEDEVAPELGGGLLLGAGEGGIGYGALDKDRPGCQSGN >Sspon.07G0010210-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7A:30706202:30706558:-1 gene:Sspon.07G0010210-1A transcript:Sspon.07G0010210-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLPAVVARALQGRRWPSPPRVPSHPAALAGEAAVRTGEHGGACRRSPRPAASALASSRRLRAAGGHGLQRVPPPPSAPCLSPFFFPSLLARSAGLHTQVVDVRESAGNSGRPARPRA >Sspon.01G0005560-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:14711286:14714390:1 gene:Sspon.01G0005560-1A transcript:Sspon.01G0005560-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMRSRHPKALGFRCYPASHRSLTLVLWSLVALVVVVNFHLLIIHKEEDETMSTHEIRRSIMRELEVVEEEKFRLAPPRSRRNPRAVRRKGEKKPPTIVDEFLDQSSAVHDMFFPELNTAVDPINGGNDSMYFYYPGRIWLDTDGKPIQAHGGGVLYDKRTNTYFWYGENKDGKTYKAHSKGADRVDIIGVSCYSSKDLWTWKNEGLVLRGEEKNVTHDLHKSNVLERPKVIYNDRTGKYVMWMHIDDANYTKASVGVAVSDSPTGPFTYLYSKRPHDCESRDMTIFKDDDGKAYLIYSSEDNSELHIGPLTDDYLDVTDVMRRLLIAQHREAPALFKHEGTYYMVTSGCTSWAPNTALAHAATSVMGPWETLGNPCVGGNEVFRSTTFFSQSTFVLPVPGLPGSFIFMADRWNPSDLRDSRYVWLPLTIGGVPDEAADYSFMFPLWSRVSIYWHKRWRLPEEWRDS >Sspon.02G0020340-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2B:66282915:66286891:1 gene:Sspon.02G0020340-2B transcript:Sspon.02G0020340-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rho GTPase-activating protein 2 [Source:Projected from Arabidopsis thaliana (AT4G03100) UniProtKB/Swiss-Prot;Acc:F4JI46] MEGGALLQLALAPLCRYWHSWLARSSTLTSVARACPSTLASTTGASPSILSSASAANTCPSTLTSVCVLTHQGTQVQKLAGHLNFTSVGAPLAGPPRAFPQFKIADRNRNDTKPKASSPDCLRLKGHRFPQIEVACRHSPRLSSAQQLPPPGVREVPRPQRVTMVEVMMVPSSNGCGEGGGGAGSEGKAEDGQQQAQGQGQGQGQVLALVLAALRKSVVLPCQMADADDPAGAAWGMEIGWPTDVRHVAHATFDRLHGFLGLPVEFELEIPGQVPSASASVFGVSSESMQCGYDDKGNSVPKILLLMQERLYAQDGLKAEGIFRITPENSQEEHVREQLNSGIVPDDIDVHCLASLIKAWFRELPEGVLDRLSPEQVLHCNTEEQCIELVKLLPATQAALLHWVVELMADVVEEEESNKMNARNVAMVFAPNMTQMSDPLTALMHAVQVMNLLKTLILKTLREREDDDAGAYSSFSSSSSLSDELEEEDGHDQQDGENDSGSENYNCSATERPKDIDKAAALRVDNEQLIGVSRRHTSTDCHLPYVRFSNDNEDVSLDDIEECFLRRLEWKSVRERVDEGDSRNSPPSEKEAEWLSSSENITEGSSTIGEERGRTSNATDVSINELRQTKIRTEMTSAEVRSAANGELILCS >Sspon.01G0001100-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:3289905:3291149:1 gene:Sspon.01G0001100-4D transcript:Sspon.01G0001100-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VSIRRRRGDPRRAHRSLQDPGGPGGPLRRARRHPLPPPGLPVAPPAPAPPPPPQPPRGPRAQPVRLHRALWPRRRRRLRALLPLARSRRGARRAPGARERRARRGVPPRRRLGGALPEALREFAGLRDQGVLDPLCMVLDTCCGGEGGRGRLEELYHEELGLPILVQVVTTASPVGHKEEWLGWLLFKVCVEEQKFESLFYALCSANDAERTDNGEYNAKHVFLLGTLSRCLNSRPEEVTVSDSFAHDVFNLHKHAAETVNFTHRGTSPLPTGSPAIDVLGY >Sspon.02G0051720-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2D:73060031:73061692:-1 gene:Sspon.02G0051720-2D transcript:Sspon.02G0051720-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDQELDPLYVNKRDQLKEVVASMIKPKIVQGRTLNGTEFVSFLGQILEALNKGEIPSTGSLVEVFNKAILERCLKVYNERMGRAGLPVSVDKLQQFHDLAKDEARRLFNKQHFGKHHAAQSILKLDEEIKKVYRNFGQANEYQSSKLCEARFSECEDKMEHLQVLKLPSMAKFDAGFLLCNQSFEMDCVGPAKESYQHRMSKMLARSRAIFIKEYNNKLFNWLVIFSLVMVVIGRFLIKFFLLEVAAWVMFAFLETYTRLFWSSESLYYNPVWHMIVSSWETIVYSPVLDLDRSGIFLPSTLRWPWHLYYLLCCDIITLICC >Sspon.02G0041500-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:79163690:79165933:-1 gene:Sspon.02G0041500-2C transcript:Sspon.02G0041500-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLRGVLLVLALLLAATAVVPVLLLGDDDDDGAAVEAPAPPFNSSRVKAVSWQPRIFVYKGFLSDAECDHLVTLAKKKIQRSMVADNQSGKSVMSEVRTSSGMFLNKRQDPVVSRIEERIAAWTFLPEENAENIQILRYEHGQKYEPHFDYFHDKINQVRGGHRYATVLMYLSTVDKGGETVFPNAKGWESQPKDDTFSECAHNGLAARLIDLLAALRAVKPVKGDAVLFFSLHVDGVPDPLSLHGSCPVIQGEKWSAPKWIHIRSYENPPVVPKETGGCADKSEHCAEWAAAGECRKNPVYM >Sspon.06G0029360-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:21669549:21672284:-1 gene:Sspon.06G0029360-1C transcript:Sspon.06G0029360-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAAAAFNRAAFTARPLHRPPRPLLHLAGAEDALAGRSGAPLTRPRCSGSLSVGVGSYGSVPALATVSALQLTYLCASAEHTPVFPRQQSWDPYKLLGVDHDASEEEVRSARNFLLKQYAGYEESEEAIEGAYDKIIMSSYSHRKKSKINLKSKLKKQVEESPSWLKALLGYFEVPSLEIISRRFAFFAFFAGWSIATSAETGPAFQLALSLVSCIYFLNEKMKNLVRASTTGLQSSKLEIPAVITKKIALADISTANPNFLISGPHSHFWSE >Sspon.03G0008430-3C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3C:37605289:37606559:1 gene:Sspon.03G0008430-3C transcript:Sspon.03G0008430-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQDESTSWEMPYGDINRKKPAARSSDILTTSDGIAKRARFDMSATSNLPVLGSSDYSDMQADDGSSVGHSSDPAILNNDVSPVEKMIEMIGALLAEGERGAESLGILVSTVEADVMADIVIETMKHLPEASFHLATNNGVQQLNFKYSSGLLTQNLPANSDSALFAAQSTPTADGVSISPSDAFVMTSVHDAKRDPRRDPRRLDPRRIVSPSAVNSIQVKMETNSVHQTDNLSNTLYSNSGKAENYSDYSGDLQKNEDEQHSASQPNQTIAKDKLELLDVAAEPEPTSEVEAPVDVKIHSSDVDEDMVKPMSSEVISLDESDSMDLEVDPFLPAPEASTPEDTNHDLPVITSQLELSEKGKISINKVAIGRILDDYKKNSLNARFSLLAHLIAQ >Sspon.01G0060940-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:85862575:85893906:-1 gene:Sspon.01G0060940-1D transcript:Sspon.01G0060940-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMDYVIRISRCVVRDFGASNLAAPLGLEMGDRRALRSKATAGFEGLAARRRDARVLHRVPDPRAVALLQATIGEIIRGKRKQNRSNKSFKCRYGPIFRTSHVGEDLIVSLDPELSTRVLQEEERAFQIWYPPSFMRVLGADSIITALGPLHRHIRALVLRLFGLESLRLVLLRDMQQSARAELRSWLRLPDVEVRAATSRLINHDDAASEGSLWKCFDACTRGLLAFPLCGRKRVMKMLKEQLGARRNEPEREAVDFFDLVIHELDKPNSELNENIALDLLFLMLFASHETTSIGLTAILKKSMRMYRKEGRIQTLMKSPGKITNYAIPQGSKVMICSSAAHLNPKDILEPVGGSKNFMAFGGGLRLCVGADFAKLQMAIFLHCLVIKYRWKAISGGTMMFYPGLRFPDGFHIKLLPKIN >Sspon.05G0007740-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:24128601:24149348:1 gene:Sspon.05G0007740-1A transcript:Sspon.05G0007740-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPEEKLRCTKEPFIEDVGTRRIKSIRFSVLSGSEIRKSAEVQVWNNRIYGHDMKPVPNGLLDPRMGIPNKRDKCSTCHGEFSDCPGHFGYLKLALPVFNVGFFNCILDVLKCICKSCSRVLLMEKDRREFLKKMRNPRADALQKSATMKKVRDKCKLSCCPRCDYRNGVVKKGRAGLIVVHDCSKVLDGHTEELKNALQNKKEKVATNSVRVLDPATVLSLFRRMTDEDCELLNLGDRPEKLIVTEIAVPPVPIRPSVVVGNSRTSNEDSITVILKSIVNTNSILKETLHTGGPFTKCFTHNIVALFNDSKGRLADFVVAVPVLMARVLTYPERVSNYNIEKLRQCIRNGPFKYPGANFVTQPDGTKQSLKYGDRRITARDLKCGCIVERHLEDGDARIMPWRTLRFNESVCNPYNADFDGDEMNLHVPQTEEARTEALMLMGVQNNLCTPKNGEILVASTQDFLTSSFLVTRKDTFYDRSSFTLLCSYLGDAMENIDLPTPALIKPVELWTGALALHPGCNAAQTLEHNITGVLNEIRSIAGNVCMDTLHWRNSPLIMSQCGSKGSPINISQMVACVGQQSVGGRRAPDGFINRTLPHFPINSKTPAGALAQPLSPKLDWGGRGSEPVRNSPKQGAPGSAPDGGELETGASWRRRPTNAKGFVANSFYTGLTATEFFFHTMGGREGLVDTAVKTAETGYMSRRLMKGLEDLSVFYDQTVRNASGGIVQFLYGDDGMDPAKMEGKDGMPLNLDQLFMKVMATCPQRGSDTLSPEAIKQMLENKLLQHDTSSDGGCSEEFKKNLTEFLDKRIELMKCTRRALHLHEDHVEKKDSCVEESVAAIISGISAKQLQQRVFLDTCLSRYQSKKIEAGASIGAIGAQNVTLGVPRIKEIINAAKKISTPIITAQLLSRKDVLSARIVKGSMEKAVLGEVAAAIQIVLKSSQPNLVVKLDMQLIETLHMGISADSVQLSILNHPKIKLKSEHVRVIDRAKLRIYPAGTDKRKLQLELHNLKSILPKVIVKGIPTVERVVIDEVKVNNETERYQLLVEGTNLLAVMGTPGVDASKTKSNHIMETNQRLGIEAARRSIIDEIQYTMKSHGMNIDRRHMMLLADLMTYKGEILGITRYGIAKMKSSVLMLASFEKTSEHLFNASYSGREDQIEGVSECIIMGIPMQLGTGILKVRQRLDHVPEFKYQPDPILS >Sspon.07G0010840-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7A:36095243:36095885:-1 gene:Sspon.07G0010840-1A transcript:Sspon.07G0010840-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GHAQNIHFPFDIEADTSISVATEMVVQLDLTDQDVTAIAEMIDAEIRSHIPDWAAEESIDNQGDEAAHSETHSSEGDEGTSEFRNEVDTSHNGFVQEQLPSGRKYWSDSPRREGEISQLVVEPEIGDSIANGIPKRNKKDDIVSCARDKDDQSFSSSIHPVEGMFERISSSVDLSNSSVVDSMSREASVGSSPRSLDDEREHNSDWHLVADVTE >Sspon.03G0003760-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:9697885:9703169:1 gene:Sspon.03G0003760-1A transcript:Sspon.03G0003760-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AAMQTQSRLAVSGSNSSSASEDEHEAVIRELTRGHELTAQLRAEALRALRGQGQAEATAAFILQEVSRAFTVCLSIMSSPARGPPSQPPSATMEMPAPPALAPPRRSRDDSMPHRQRTTSSPHYDGYQWRKYGQKRITKTQLRRCYFKCSFHRERNCRATKQVQQCSSGDPPQYVVMYFNEHTCDTAAWESPAAAAASSGMVPLDDLSALVARHQGQGARALLDERGVQEEHERQLLVSSLACVLGGQHQSPAGSAVNVGQEQEQEQEQEPRPRGRTRDAPAPAPAGVDAPGEMPRIIDEDVAGLDVMDYDVTDALCFGDSYDLPGDGSSIPDDVSKQRGI >Sspon.04G0012780-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:44622425:44630002:1 gene:Sspon.04G0012780-1A transcript:Sspon.04G0012780-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQSSDGMPISQRRANSPTEHSSKSDEVAQDMRDLLTRKLSTASRRAVVPKIKYTDGHQRKEDEALTQMVNKHGTKNWQTIACAISGRTAHSCLARWKYILDPAINKEPWSQQEELRLIRAHQVYGNKWCKMVKHFPGRTNDALKGHWRGSMKRKLDSYLASGLLEHIPDLQDDVSFPQSNQSDIPKDCKAASDRNRFSSRLSTNPKLKQELTGLVENANTSARKSSDLCYAKATDTHSAKISEMTMAKSQQCAGTRKKLAFLSTPVELKEDEILTKMVAKHGKNWQTVASAIPGREAKQCRISRWTRSLDPAINKEDWSEQEELKLIRAYQIYGSQWLKMVKHFPGRTNHALKEHWRGRMKGKLNFYLASGLLEQVPDLQEDISVPDSSQSEIPKDCVPDSSQSDIPKDSQGSSGRSRPPSVLRTRAKSKQELPELDKNAGTSGEETSDCMCPKGPNADSAKVSEKVMAKSKQRARARRKLDFLSTPVELTVCSAAASCERPPRKWIK >Sspon.06G0020590-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6D:9785915:9789058:-1 gene:Sspon.06G0020590-2D transcript:Sspon.06G0020590-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGNRVIPINTEEDAYKESQLIGREKEKSEIIKLISDKGSQQLEVISVCGMGGLGKTTLVKDVYQSQELSAMFEKRACATIMHPFHLEELFSSLAMQLDEMSYRNEVTDGDRMKLGAKPPLAASLEGKRYLIVLDDLSSTTEWDIVIEHFPITKTASRIIVTTRLENVAKHCSKKDNNIYMLKSLGEKDARALFTEKVFIAYHGNAMFFVCRFGVTFKAQYPLKHVSTCLIQEKKVFGTITDLDEQYPELVDEAKLILKKCDGLPLAIVTIGGFLEKQPKTSMEWRKLNEHISAELEMNPELGMIKNVLLKSYDGLPYHLKSCFLYLSIFPAGYMVGRRRLIRRWMAEGYSRDIRDKSAEKVANNYFMELIDRRMVLPSQRYIYISKGIDSCKIHDLMREIAISKSADEDLVLRLEEGCSSKTHGVVRHLAINSNWKGDKSEFETMADLSRIRSLTVFGKWQPFFISKKMSMLRVLDLEGTLGLLDHHLEHIGILVHLVYLSLRGCEGILYLPGSVGNLSQLQTLDVIGTRIIKLPSSIIKLRKLRFIRSGNDFGILHSGFYEEFIKDKSKLAQDKRCLLALTSVGFCASCCAPQLMRDAVDIDGIPNRHDVCNIYSCVMPPFLERRLDPRGVVFPTGIRKLKALNTLGIVNIAQRKTILKDIRRLTQLSKLEVTGVNEKNCREFCLTLSDLSCLIALLVSVMEPGLHGCLDGVSSPPKKLESLHLVGSLVKLPEWTKGLQNLVKLKLRRTMLCELNASIQVIGVLPNLQILCLWQKSFQVEELCLSFQQVVFPSLKVLELALLDNLKSVTFEEGAMPKLEQLFFAGWQEKTNIVFFSGLASLQSFKEFLLYSGDYKEDFVEDVRGQLAKNPNGPVLK >Sspon.06G0012000-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:51960516:51964397:-1 gene:Sspon.06G0012000-3C transcript:Sspon.06G0012000-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRVRPSHLVLALAAAYLLLVSLKFRRVLDLAAADLAAASAFSSPSSSDHLPSPGSVSSSSASSDAATADASTASPFPVRPFWHRYDRVSLPDLASRNRSALDRMADDAWALGLTAWEEAAAFAGDPWALLAAATSRASDTDKCPSAVSQRARGRVVFLPCGLAAGSSVTVVGTPRAAHREYVPQLARMRQGDGTVMVSQFVVELQGLRAVDGEDPPRILHLNPRLTGDWSQHPILEHNTCYRMQWGAAQRCDGTPSNDNDDKVDGFPKCEKWIRNDIVDTKESKTTSWLKRFIGRAKKPAMTWPFPFVEERLFVLTIQAGVEGFHIYVGGRHVTSFPYRPSRHLGVPGDHFQGFTLEDATGLFVKGDVDVHSVYATALPMSHPSFSLQQVLEMSEKWRSRPLPKGPVSLFIGILSASNHFAERMAVRKTWMQTPEIKSSKAVARFFVALEMINAICFITSLQNSRKEVNVMLKKEAEYFGDIVILPFIDRYELVVLKTIAICEYGVQNLTAANIMKCDDDTFVRVDVVLRHIKFHSDDKPFYMGNLNLLHRPLRTGKWAVTSEEWPEDIYPPYANGPGYVISGDIAKFIVSQHANQSLRLFKMEDVSMGLWVEKFNATKPVQYSHSWNFCQYGCVFNYYTAHYQSPRQMLCLWDKLIHGQADCCNYR >Sspon.06G0017190-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:93471254:93478181:-1 gene:Sspon.06G0017190-1A transcript:Sspon.06G0017190-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatidate phosphatase PAH2 [Source:Projected from Arabidopsis thaliana (AT5G42870) UniProtKB/Swiss-Prot;Acc:Q9FMN2] MYAVGKVGSLISRSVYSVSGPFHPFGGAVDIVVVQQQDGSFKSSPWYVRFGKFQGVLKSREKVVDISVNGVEVGFHMYLDSNGEAYFLRSADPNGGEEGEFILSPASSGDEREVPIQEAQAQLRKSKSTSCDSSTMEADVSEGKILARTTLRRTTILERMFGRKSGKNNAHAVDRVSSLERAEIAAELLDTKWSTNLPRSSKTNGSNDEPSKSNLAQFSNNDQMETSKPNGSNDELSKSNLAEVSNNGQVETSKPVFPEHSLDHGKDIYCNCDNAHCNLCSPRGGRNSSADETDQCLQATIVKKEVFEIHTRESNDFTDNITSTTHQHGSEPLSNGLGTDKLTHQPVDTQDELLHDVEDVAGRETHTEQVEIHMRESSDVTDNITSITHQHGSESLSNDLGTDKLTHQSVDTQDELLHDVEDVAGRETHTEEVVSNGIFEIHVVETDITSGKSEVVSEVVTVDSDGANQNFTDSNYQNYGTTDISAERHEVSLIPSAQDAVQEKIVILSSSETVESSLDAVQEKVVILSSSETVESSYAVSCILDDTVHDASDISQADSVQHEEHSSISDVSTEKLIPEESSLVCYGAPSNKKDLVNVAVQECEAFIVENPAPEILEENGPDMDASTDSVSLSHADAAHDLACQHVSSSVVETPSYEPDIGPEDVTKNSIVENEACSGELVVSVIQTSMEDGLTECIAELAVFSNKIEVEGSPTAVGFSSLVSVEVQNTKLEDDTNKSSSVIGDEVGVAPEATAELDEEAEPVVSFSEYTEEIQFQFSDTENFADRKATDDMVANKTTGEVEHDESDCDTEKQEGGDVALANDLENCSDSLKPVTSPVSIPAIDFQSGDSNIEAKSLPNLRSHIHDLERSDIFQLSRSLQSNAENNGIDPVKSTSNAENNGVDPVKSTNSAFLEQKSEVIGDSEENTSPPEVTSNVAPDGKHADDLKVDAFIPFAELSLCRHLLSEGMGEDAACSAFDSEKITLEKFRDMKQSLMRNNKLVVRIAGRYFPWDAAAPIVLGMISFSEEQVFEPKGMIKVERVEQSEAPGGSWRIWPFSFRRTRTISAIQPVCESTVETSVITPKESTPVKELDRERNKSRGKRIERKVRSLTPTSEELASLDLREGRNVVTFTFSTAIVGKQQVDCHIYLWKWNTRIVISDVDGTITKSDVLGQFMPLVGVDWSQNGVAHLFSAIKENGYQLLFLSARAISQAHITRQFLFNLKQDGKALPDGPVVISPDGLFPSLYREVIRRAPHEFKISCLEAIKDLFPHDSNPFYAGFGNRDTDELSYLKVGIPMGKIFIINPKGEVAVNRRVDTKSYTSLHALVHGMFPPISSSSEQVSIPLIFASSSSVLTLFHFKLLLILTTSSWSIQEDYNAWNYWKMPLPDVD >Sspon.07G0028680-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:79313645:79318390:-1 gene:Sspon.07G0028680-2D transcript:Sspon.07G0028680-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQFAILGVVLTDLLSFLTDVSKKVSGSTGISAERSTRSKSNKDLAIKSKAKLKGAFSLTRLNNDTFKSKGPRTSKRYQLKDLTWRSKDTTVNGKENYGQETVHEENTKSCEHEYGSYCLWSTEHREVMKDAIVKRLKDQLFLARAHYPSVAKLKHQERFTRELKQNIQEHERMLSDTITDADLPPFFAKKLEKMERTIERAKSCEVGCSNVERKLRQLLDITEDEAYFHTRQSAFLYHLGVQTTPKTHHCLNMRLTVEYFKSRSTHMDQLNEQKLESPTFHHYVIFSRNVLAASTTIHSAVMNSQNSDHIVFHLFTDAQNFYAMKHWFDRNSYLEATVHVTNIEDNQKLSKDIDSLEMQQLWPAEEFRVTIRNHSEPSQRQMKTEYISIFGHSHFLLPDLLPSLNRVVVLDDDLIVQKDLSSLWNLDMGGKVIGAVQFCEVRLGQLKPYMADHNVNANSCVWLSGLNVIELDKWRDMGITSLYDQSFQKLRKDSLKSQRLQALPASLLAFQDLVYPLEDSWVQSGLGHDYGISHVDIEKAATLHYNGVMKPWLDLGIHDYKSYWRKYMTNGEKYLSISNFCAAQSFFCLDKNSLSMKS >Sspon.08G0017780-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:4306286:4306610:1 gene:Sspon.08G0017780-2C transcript:Sspon.08G0017780-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTPAAQAVVALALAAILSTPAPQADTFSNVPPTLSAGGDGKQERIKHPRSAKALQCTTKCVGTCIRGGGGAPGEGPLNVR >Sspon.04G0009450-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:27003189:27007662:-1 gene:Sspon.04G0009450-3C transcript:Sspon.04G0009450-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQYEVVEQIGRGAYGSAYLVLHKAERKRYVMKKIRLSKQNDKFQRTAYQEMSLMASLSNPYIVEYKDGWVDEGTSVCIVTSYCEGGDMVCRWFTQLLLALDYLHCNRVLHRDLKCSNILLTRDNSIRLADFGLAKLLMEDLASSVVGTPNYMCPEILADIPYGYKSDIWSLGCVMFEILAHRSAFKATDMAALVNKINRSSISPMPPIYSSALKQIVKSMLRKNPEHRPTAGELLRHPHLQPYLAESCTCSPIYLPVKPNKSNLVDKQSKKPSSGRKRTVKANGSNETLETTAEHTVEARDSSTNFSDASTIGTQEALILQMPVELVPRSKEQQNSDVLSLQHAEENLMATTDRQIDATIRLKAIRTSNVKEEVPVTVANQKFNEAPIPNEELTIGVVQEQRKDVKPRAYPAAKPELCDTTITEESSPISTLKLAHTESAPAEWDHLNIVQQRADALESLLELCAKLLEQERLDELAGVLRPFGEGAVSSRETAIWLTKSLMTPPKFGESPTKLL >Sspon.01G0004330-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:10900765:10906548:-1 gene:Sspon.01G0004330-1P transcript:Sspon.01G0004330-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVLTCVIESMGSSCSKSHSFDETEAAENAKSADIDRRILQETKAEQHIHKLLLLGAGESGKSTIFKQIKLLFQTGFDEAELKSYTSVIHANVYQTIKILYEGAKELAQVEPDSSKYVLSPDSQEIVEKLSEIGVRLDYPSLNKERVQDVRKLWQDPAIQETYSRGSILQVPDCAQYFMENLDRLSEVDYVPTKEDVLHARVRTNGVVETQFRLYDVGGQRNERRKWIHLFEGVNAVIFCAAISEYDQMLCEDETKNRMMETKELFDWVLKQRCFEKTSFMLFLNKFDIFERKIQKVPLSVCEWFKDYQPIAPGKQEVEHAYEFVKKKFEELYFQSSKPDRVDRVFKIYRTTALDQKLVKKTFKLIDESMRRSREGT >Sspon.07G0007210-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2B:108575965:108576264:-1 gene:Sspon.07G0007210-2B transcript:Sspon.07G0007210-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMLRGCCGHTRRDRVRNDDIRDRVGVAPIEEKLIQYRLRWFGHVQRRPPEAPVRSGVLKGTDNVKSGRGRPKLTWDESVKRDLKEWNISKDLAMDRSA >Sspon.08G0012580-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:52356614:52360432:-1 gene:Sspon.08G0012580-3D transcript:Sspon.08G0012580-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPVRAGGPRNPRLLGLNVGAGVEVKLRLRRAGRDHDFIPYEEVLDTMLHELCHNQHGPHDAQFYKLWDELRKECEELVSKGITGTGQGFDGTGRRVGGFTVHPPPPSLRQATLAAAQKRARNGALLPSGPRKLGGNSEIMSALSPVQAAAMAAERRMYDDLWCGSHDQSGIDDSDDVIIIQESPNLVTRDGKNTKASCSNTSAEPSTSSGIHIAARDDRTSDAFDSSKWECACFSLTHLQPLAPICEVCGTAKPKIAKAKTALSLTNARHVINGDTHMGHLWPHMAQAMIEDDTSEQASAALSI >Sspon.06G0031550-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6C:68989041:68989460:-1 gene:Sspon.06G0031550-1C transcript:Sspon.06G0031550-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTGAAEVPAVAPARGSGVEGLDLADPAWRASSSRRGARPGLAGGMGLLRRDGGPLDRPTVTLPPEPKRRGEGGKGEVCRRPRARTSRRPSAHASAAVPPSRYLSLRPLSPSWRLPCGPAAGVADVLYSAAFGGWTLVR >Sspon.03G0026120-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:7147511:7149159:1 gene:Sspon.03G0026120-2C transcript:Sspon.03G0026120-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRATPQEAAGAAIRSVGLGFDVVSDVRLKYCKQRGKPDPWLIELDHGEAQDIVLPGGVTVSGVTKSVKCDKGERMRFRSDVLSFQQMSEQFNQELSLSGKIPSGFFNTMFEFSGSWQKDAANTKTLAFDGWYVTLYSVAFSKGQIVLRDHVKQAVPSTWEPAALASLPFSLNHSLSSSI >Sspon.08G0011570-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:47548870:47549718:-1 gene:Sspon.08G0011570-4D transcript:Sspon.08G0011570-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEARSSAALVGVAVAALLVVLVPETSRAERFIVGDAARWTWGYNYTDWVIRKGPFFQNDTLVFMYDPPNATVHAHSVYLMRNAADYQSCNLKAAKLVANVMQGAGSGFEFVLKKRKQHYFVCGERGGIHCTMGNMKFVVKPKSSACRDD >Sspon.08G0006550-4D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8D:17778481:17780445:1 gene:Sspon.08G0006550-4D transcript:Sspon.08G0006550-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYSSSEDEELVGDFIDAEDNTGTENIDQGTGVMASQIHGDDPSVGSMPPVIGNELLMAADIVGKIDEPRMGMEFESDAAARAFYNAYALRFGFGIRVPRSRSERRKGVEVLVMKRFVCLKEGHHKKKPVEPSNKKKRKRLSIRDGCPAMMEVVRRGPDRWVITKLVLEHTHVIVSADRAREVQLRRLSGKFQEHENQLQELRRNVFGDTNAQGLFNYFKKMQSENSSFFFSIQVDSKNCVSNAVWVDARARMAYTYFGDAVYFDTTYSQNENMLPFAAFTGVNHHGDTVVFGCALILDRTESSYGWIFETWLTAMDNRLPFSFTTDEGKGIAAAVAKVFPQCFHRLCRWRILFRCKKRLTDVCTRFPELHDELKRCVNGCDTVAVFDMFWGSILDKYGLRDDNWLQSLYEIRDKWVPAYLTSSFFAELSLTHRVETFLKTDTVLEKQARSIYTRAAFEAFQVELVEAMQYYAVKVQDGSYMKYFVERDGDPPTRHTVFYNVAEKKAWCECCRFAFSAILCRHVLRVFLLAGVIMLPEPCITKRWTKKAKTGPELFGLNVGNGSGSADSVASRYTDLVRDAMKCAEKGAVSAGSFRVAKEVLCKAFMEIR >Sspon.02G0020120-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2A:65769647:65771257:1 gene:Sspon.02G0020120-1A transcript:Sspon.02G0020120-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALERLQVLHALDVAETQRYHVRTVVIAGTGFFADAYDLFCITLVTKLLGRIYYHVPGRGEPGRLPPRLEAAISGVTFFGMIVGQLLFGWLGDKVGRKKFYGKTIMLMIMGSVLSGLSFGNSAGGVMATLCFFRFWLGVGIGGDYPLSATIMSEYANKRTRGSFVAAVFATEGLGVLAGCIVTLVVSATFQARFGAPAYEEDPAASTPPQADYAWRIVLMVGAIPACFTYHWRMCMPETARYTALVARDADKAARDMSRVLEVDITGEPDNITRDRGDYGVFSRRFARRHGAHLAGAVACWFVLDVVFYSQNILQEKIFSDVKWVPRARTMSALEETYRVGRAHAIIALCGTLPGYWFTIAFVDVVGRKAIQFLGFAMMMGFMLLIAAFYDSLVSPGRRIWLVLMYTFTFFFANFGPNSTTFILPAEIFPAHLRTTCHGISSAAGKVGAIVGTFGFMYAGQKADGSEATEKGYPSGIGVRASLFVLAASNVLGILFTCFLPEPKGRSLEEVSGEGGDESTNRDDADDVRDSQVLPL >Sspon.07G0014430-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:57885538:57886935:1 gene:Sspon.07G0014430-3C transcript:Sspon.07G0014430-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MYPYRMSNVMIGYLNLATLLASIPIIGAGLWLAKGSTTTCSSILQTPLLVIGFVVLIISLAGFVGACFHVAWALWLYLVAIILLIAFLLGLTAFGFAVTAGGGGTQVYGRPYREYHITDYSSWLQKHMQDIKYWRPALACVVGSKACPKIENWTPMDYLQHDLTPIQSGCCKPPTACQYSGGMPVGAQDEDCYRWNNAPDILCYQCNSCKAGVMEQIRQDWHKIAVLNVIVLAALICICACGCCAFRNARRSLSEYPYGVNQMSKINPRWDYYWYSKQNSSVVYSLLSHLAPLSRKIDFITENVKIYRQKDKVRKLMEHKQSHEQKKTSIIMKLFIKDKRDSFLLYCYIH >Sspon.02G0032150-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:103048407:103050599:1 gene:Sspon.02G0032150-3C transcript:Sspon.02G0032150-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAAFTVDLDKPLVFQVGHLEEQYQEWVHQPIVSKEGPRFFENDILEFLTRTKWWAVPLIWLPVVCWCLSTSIQMGSTITDVAMMIVFGIFLWTLIEYVLHRFLFHIKTKSYWGNTAHYLLHGCHHKHPMDGLRLVFPPAAAAILCFPFWNMIKLFSTPSTTPGLFGGGLLGYVIYDCTHYYLHHGQPSSDPAKYLKKYHLNHHFRIQTKGFGITSTLWDHFLILIHGPTVNAMTSCWLADATEGLVRSMVLPRAVSFQVQSISGRSDYYI >Sspon.05G0004830-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:17425235:17427356:-1 gene:Sspon.05G0004830-3D transcript:Sspon.05G0004830-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGLSGAAMTGFVAKNPLLAAAARRRAPPLAGRALPFSPLTTTTRAPRRRGLGTVTCFVPQETEHPAAPAAPVPVPVPVPETALDEEARAAAARRIAEKKARKQSERRTYLVAAVMSSLGVTSMAVAAVYYRFRWQMEGGEVPMSEMLGTFALSVGAAVGMEFWARWAHRALWHASLWHMHESHHRPREGPFELNDVFAIINAAPAIFLLAYGFFHRGLVPGLCFGAGLGITLFGMAYMFVHDGLVHRRFPVGPIANVPYFRRVAAAHKIHHMDKFEGVPYGLFLGPKELEEVGGLDELEKELARIGRTI >Sspon.03G0002630-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:6407634:6411502:1 gene:Sspon.03G0002630-1A transcript:Sspon.03G0002630-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEQSAITAAAAVEPGVLERGRVSGGGRRLAGESSDEEEGSQRFSDAEDRSWHSHSRQGSALEGCISTSAPISCDAGAASAVGVDTATERARKSCVSECSLDLDDVVDLEAGLAEITKASPDKAERNCRICHLGLDSAAAESGAGIVLGCSCKADLSRAHKQCAETWFKIRGNKICEICGSTACNVAGFCDADFIEQWNESSNTAAAQATATEPRRFWQGHRFLNFLLACMVFAFVISWLFHFNVPG >Sspon.07G0017260-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7A:62336340:62336530:1 gene:Sspon.07G0017260-1A transcript:Sspon.07G0017260-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding EERTKAMANELVAKLTFLCWDKCVTGSVGSSFSRGETSCLSNCAKRFAEVKMMTMQRFTGRS >Sspon.02G0034790-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:17543707:17560150:1 gene:Sspon.02G0034790-2C transcript:Sspon.02G0034790-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPLTFFWNSTKQLAALDLSSGGATVVTAVALEVVVPAPFFESESARYVRADVQLTTETAATRPEHALTRCLYFVLPVEKESDDVSQKDIESFDRRSLSSNIATSSLSTAGGPKGKDSWKLKSIVTLALTLLTSSQAILIVWSKRAGKYEYSITTANFSVEASKCLLSLVALYRTWNNEGVTEDNRLTTSFDEVRVYPIPTMLYLVKNLLQYYIFAYVDAPAYQILKNLNIISTGVLLSEIQWAAFILLCAGCTTAQLSPSSDHVLQTPIQGWVMATVMALLSGFAGVYTEVIIKKHPSRNINAQNFWLYIFGMLFNLVAICVQYFDAVMNKGFFHGYSFITVLMILNHALSGIAVSMVMKYADNIVKKMSYFVIQ >Sspon.05G0017990-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:71404819:71409931:1 gene:Sspon.05G0017990-2D transcript:Sspon.05G0017990-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQSLNDDILRSVFSRLDDHFDLARCSAVCGSWNRIIETAHLMRDLYYKRNPPAKGSSSNISVKSYFEMLAMDEHASSFSRGPAEAFQWIGHPIRATLCRMKSGSILTGVGDKVLRLWSAESCKFMNEYSVPNSKTLVDFDFDENKIVGLTSSQICIWRRSEPRSIFQSGGASFNHGLCMSYADPEVVIGCEDGRAFVYDMYSRSCSSIYRLHSSPVTCLALTDDQLIVGGSTFGTVAIADQTSGQKLGVLKSAYAPLAIRSLSFCTNSHMIFAGSSAGYAHCWDLRTLRPLWEKRVSPNVIYSTRHLPGDTATLAVGGIDGVLRVICQKTGEPIRCLVVDADRPAEAAASRSRSQIEKKPVRRIGPDAQVDSIPRRLRPQITSLSVGMKKIVTTHGENYIRVWKFRPKSS >Sspon.08G0007100-1P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8B:20254581:20256898:-1 gene:Sspon.08G0007100-1P transcript:Sspon.08G0007100-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGRIFNGSGKPIDNGPPILPEAYLDISGSSINPSERTYPEEMIQTGISTIDVMNSIARGQKIPLFSAAGLPHNEIAAQICRQAGLVKTLEKGKHAEGGEDDNFAIVFAAMGVNMETAQFFKRDFEENGSMERVTLFLNLANDPTIERIITPRIALTTAEYLAYECGKHVLVILTDMSSYADALREVSAAREEVPGRRGYPGYMYTDLATIYERAGRIEGRTGSITQIPILTMPNDGK >Sspon.08G0004620-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8B:11309355:11310497:1 gene:Sspon.08G0004620-2B transcript:Sspon.08G0004620-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSHSALQLEVPKAAANNGTSSFLADKSAKVFLAGHKGMLGTAIHRRLAALGFTNIVGRTRAELDLTCEPAVHKFFDAEQPRYVILAAGKVGGLHASSAAPTDFMTENLRITLNVLTAARRCGTVRKLLFLATSAVYPVDAPQPIPESALLTGPPAPGNEWYAIPKIVGIKMCQAYRAELGMDAIVVVPNNLYGPRDPFPSESSHVIPALIRRFHHAKASGAPEVVVWGSGRQVREFTHVDDAADAVVLLMETYSGPEHVNVGSGREVTVRELAETVREVVGYEGRVVWDTSRPDGVMRRLLDSSKMAALGWEPKVELRDGLKKLYEWYLRGRATDFEAGLV >Sspon.02G0005820-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:18192784:18196315:-1 gene:Sspon.02G0005820-1A transcript:Sspon.02G0005820-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTDAAAMAVVRAARPALRGAHDGVAFAAHAAFLAAGYSLCAVGPAALADLSPSGDEEVGIDGWNSMENCYAFLYSKEEKGKRKGVKDFFVEEQPKNYGDMYKNFATFIETVNSNVLCKLDGDDSAAEAAKSAASKNSDAERSSSIQSSEDPGPRTADPSGLIYPPIAPLGSDDLYPGPGAGFYPHRLNDYFGATGPNDPRFFPSNPFNAPFGGPGSVPPGGRYDPIGPPGVPGFEPSSFVRRPRRPPGGSTHPDLEFFQQGPDF >Sspon.02G0007190-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:23634635:23635582:-1 gene:Sspon.02G0007190-1P transcript:Sspon.02G0007190-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDAAGNILASLGRVHLVDLMASEGLPSDNYKMCVSTLMQSLAQYSAVIIQLSPADGALLRSGLDSARFFFHQRGYNSNEAVHSDDSREWCKTSGYYVDPQMCLEMYDYRPGVTATDPSGEMELPPSGLPDIFSVLGKVSRDILDAISFSLNLRSCAFTEILDNIPLRSQEVSSSVLSACCHSRPSFEGAHQHSIASQDNGHLLMFSEQEQQIDKTLLTLVKPDRSGLYVKDLHGRWILVDGDIGPHDVVVYPGLALYQETAGYVNPAVHKTEVDNIHGCMFGRCSLMFKLMPRSVARLSGSEMRAAGHGVDAQFQ >Sspon.08G0001350-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:16735269:16736255:1 gene:Sspon.08G0001350-2C transcript:Sspon.08G0001350-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGIGAASSVLLALMVFGMPESPRWLVMKGRLADARVVLDRIAETPEEAAERMADIKAAAGIPHEADGDVVAVPKRKSAEEKQVWKELILSPTPTVRRILLATLGLQFFQQASGVDSVVLYSPRVFQSAGITDDDQLLATTCAVGVTKTVIVLLAAVLLDRVGRRPLMLTSSGGMAASLVGLATGLTVVGRHPHTEAPWAVGLCVTSTLAFVSSYSIGLGPMTAVYTSEIFPLRVRALGYAVGVACNRVTSGVVSMTFLSLSSAITIGGSFFLYAGIVTLSWVFFFTCLPETRGRTLEEMGELFGTIDAGTEAADDASARLLASELTT >Sspon.02G0010430-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2B:27549623:27550207:1 gene:Sspon.02G0010430-2B transcript:Sspon.02G0010430-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAADATVRRGSASSASVSLVIVLAAVAITCSSFPPSSAAAKAAPCSSQTFLGTGGGGQRPYASCADLPRLGATLHYNYTAATNTVAVAFRAPQAKGDDGWVAWGINPSGRTGMVGTQAVVAFQHSNGSLVAYPTVLDSYAPSMAPAAPKDLAFPVSDVAAEYADGKEMVVYATLALPAGKGSKFTHVWQQGTAV >Sspon.03G0045830-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:26646517:26652381:-1 gene:Sspon.03G0045830-1D transcript:Sspon.03G0045830-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVGTKRYTSSSVVTVLVQEVREFIKRTFKFLNTGTFEHNDGIQKSIRVCLETGPHGARGRNRRGMDSSGWRDDKPFDSRCSTSWPYHLRKFLRFHLCKENKDTQEPLGVIGKMVRVQVHYKWNGHVDAALRGMLRHVTVERAIVARTTRSEANARGKENGVQEANSTVPIKDRLVLIWLSVQLKIISVGSIGTLSVI >Sspon.08G0008940-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:37347589:37351506:-1 gene:Sspon.08G0008940-3C transcript:Sspon.08G0008940-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVTIQKPEGPILEFDCNFNDDELAIENMRVLNRDNPDAENVYEGPRFPVLDESLQKALHRYLEVRGFKHSLHDWLYEYMMRKDEKEYVVWLKNMKEFIGGN >Sspon.01G0010970-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1C:30604591:30605292:-1 gene:Sspon.01G0010970-2C transcript:Sspon.01G0010970-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAEVDARATGDEGRGGGGSVAEDGQAPAPAKGSATISVTVVLLALLVASVVAFLTSSLPRAGDGDGVGDGVGKGVQEGAAGRAGEVAGKRTEPVEHAVGDAIGIPGFNSRLDAFRTWAALTWMKLRRPRSDEPRYDDAAAGSAGSVADAAKRSFEMGKETVEQAAATTARATRDAAETAKER >Sspon.03G0010680-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3A:29120907:29121330:1 gene:Sspon.03G0010680-1A transcript:Sspon.03G0010680-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SSSLAVLPYHRRRPAASLPPATLSTNHPNSFYPDLPNFAVPHPRSRPHPSDVLHCPAGGAITALPLVAHKSPPPSSRLPPPVIPLSPEDIEELQSSNPNPNHRRPAAASLNHYLWPVARTPPRRSTRLPPVPCTRRPPLT >Sspon.05G0021820-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:5B:296838:297139:-1 gene:Sspon.05G0021820-1B transcript:Sspon.05G0021820-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GNDRKAKDKDNRTRIGCKAMLRIHRSSEQHEWKVTAFEPMHNHGLKRGYNHTSNFRSHSHIDDGTKRILAEMVDKGIPRSHMYGFVSGLLIDVLIMRFI >Sspon.08G0015730-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:87255084:87256259:-1 gene:Sspon.08G0015730-1P transcript:Sspon.08G0015730-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTPSGRASRCATSRAGGRTPARRPATCAWWGAQTVLVSPLDREWKVKPYCRKHDAFALSHVKEWTLRPVGGDADAPRCTVNSSATAFVLSTGGFTGNLFHDYTDVLIPAFITARRYGGDVQLLVSSYKPWWTTKYLQVLQQLSRHEVVDADADARSGATRAWWWADVPPGAGRGRVLIAGSVHAGVPRDAAGRVRAGARAAAPSGDRWDIRRRPRLLIISRRTSRRLLNERAMVDMATSLGFDVRTGDPEVSTDVGRFARLVNSADVMVGVHGDGLTNMVFLPAGAVLVQVVPYGGLEWLARGTFREPAEGMEVHYLEYVVQKDETTLSEEYGEDDPVIKDPAAIHKQGWDALKAVYLDKQNVRPHLGRLKNTFVQALKLLPHGRQTTDD >Sspon.07G0005710-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:9919179:9937052:1 gene:Sspon.07G0005710-2C transcript:Sspon.07G0005710-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLAEKGGSVLEKIVAHPNFFILATMNPGGDYGKKELSPALRNRFTEIWVPAVTDVDELKSITFGRFTKTDFSCFGDCIVNFWKWFNQLHIGRTLTIRDMLSWISFINVTGQNLGPEQALIHGLFLILLDGLSLGLNVSKTEATEMRNTCLSFLLEELQKVKGKAVDSYLNDLNNYGWGDNMKKEDIDHGCLEGHFGIAPFYIPEGHSACKQQGFEIKAPTTSKNVLRVLRGMQLPKPLLLEGSPGVGKTSLIVALAKFSGHDVVRINLSEQTDMMDLLGSDLPAQGGNGMEFSWSDGILLQALKNGSWVLLDELNLAPQSVLEGLNAILDHRAEVFIPELGQTYKCSSSFRVFACQNPSSQGGGRKGLPKSFLNRFTKVYVDELSEEDYLFICQKQFPSISESLIRNLIHFNNRLYMDTMVYRKYGQQGSPWEFNLRDIIRSCVMIAGSSDPMKDDCFLNTVYLQRMRTLVDRHEVVKLYEEVFKKKTSIHQPKMLYVNPHCLVVGSASIGRNLIQSYKVQNNQLNIFPGVLNSLEAAMHCIQQGWLCILIGQNSAGKTSLIRLLAQLSGNTLNELNLSSATDVSELLGCFEQYNFFRHYKAVLSEVEHYVDVYFSLSIDTNRKNFVAEKRGLFTKWFEFVAEKGHSSIGTSKFIEMSGNASLPSLSLLAEIVEQIKCDLEMFFPVPLIKDEFKKTKDDLSKTLKSINNLQQNGAAHQPVKFEWVSGDLIRAIERGEWIVLDNANFCNPTVLDRMNSLVEQERSIVVNECGLVDGNPVVIKAHHKFKMFLTVNSNYGEVSRAMRNRGVEIFLMDQSWNLEGCSKVPGDGERKDVIRFLISCGIPRMELVSSMCEAHMHAKAEGSRVGINITLLEITRWVQLFQQLIIKGNQFLWSLHLSWEHTYLPSLGEINGSRIVEEGKLKFLITLMDLKFWQETLETCVMRNCMFLQSLGAQYSAYQISSLKDNSSLLGDINIVRQSILPATSLHELQFPTVTGQSVKNCVTGAFNSCLADQMLFFAANWVMEQCTENDRELYEYILKQESEHPIWHSILECYRKIVDHHKIDIVTQHIPLLSKKLLDMAGCDSLKACQNRLSSAFDALSLLRLTLQQWQIETNCSDLAVLKCTLLPALKSLRCLEGEVLKMIVEFPNLLKIYSRLLDYHRSIWKMIIASQLEGLPIVWNLLRKEILKLLPKFQVEVGVFLMEGVNLYNLHDLNFQYGKPTLWVYGGHPHLPSSSEIFDKIQELFSFTADVWPRKNLLNIELDDREQLTDAMLSANQDLRLLAMEGVSMACIATMKTEEDVLIVGPQLDEVHKRLVGKILVNSEYMLKYAMDYSLGSSSRSPLDYIQHQIIWWICHDWTKVNNAHLKVASCILEMWYNYHSSLWTYCSGSPKSLFSVTHDDSCDLAHLTKMDAINTIIQQDLRVVDYLKNCVMLKLHLETSGKIIFVHKKYFKKEDYNQLESVLFQQSRRFIEKEDLDTICALLSSSTHGVLASLLTSDKLVESLLMDLYSSYSRDSLLHTGAAWVRIGELRFRLLLSSYSPDPTFKSTYKHSHILEKITLVKLEEKVRHDCEELAGSSSPEDNHDNTLLQSLEIDEKDTRAKKTKAPKGLAN >Sspon.08G0006780-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8A:20979905:20981538:1 gene:Sspon.08G0006780-1A transcript:Sspon.08G0006780-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAAAAANSALAMTNATVATANGARTNGTPATTPNGASATAYGSPASHHGANGAGDGVPVPGSGRDHVVIFPFMAKGHMLPLLHFATALSAQHGRSRRLRVTLVTTPGNVAFARTRLPASVDLVALPFPSFPPLPAGVESTDALPCPTLHLTFMHATGLLRGPFAEFLASLPSPPLALVSDFFLGFTRRVAADAGVRRVVFNGMSCFASAICKALAASPPASGFEPGAMIQVPGMPEHVVVRAEEVPDGVTKRADPDNPFTRFFMDEIGDSDVRSWGVLSNSFAALDEAYVPALESFYEAGARAWLVGPLFLAAAGDDIPDGEKEQDPEGCLSWLDERAAAQPGSVVYVSFGTQAHITDAQLDELRSVLAHKAVGGFVSHCGWNSVMESLAAGKPMLAWPMIAEQHLNARHVANIIGVGVRIALKAGADVVGSAEVEDKVRELMDAECKAAKQMRERAAWAQQAARSAVSHGGTSAMALQKLVEELQETYDDVVGKGANGV >Sspon.02G0034570-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:8649364:8653085:1 gene:Sspon.02G0034570-3D transcript:Sspon.02G0034570-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding PMRCPLSDSLPLGHSHHLHPPRLVSSRSRPHSSRKASRKNNRQSPKEIGGERERRRRRKRHSRERKEKKKNPRPPPARCAAARCTERDKRTSPERCRIQSVRRARAGTERATDRAPAMQPPHPEGGSKQEAPAEAVPLAAAAPAPVKKKRNLPGTPDPDAEVIALSPGTLLATNRFVCEVCGKGFQRDQNLQLHRRGHNLPWRLRQRGPGAAPPRRRVYVCPEPGCVHHSPARALGDLTGIKKHFCRKHGEKRWACPRCGKRYAVQADLKAHAKTCGTREYRCDCGTLFTRRDSFVTHRAFCGALGEETGRVLAPPAPPSPRPPDLEAEENVDKHNDKGEEENEDSAVAEVEPQRVEVVPEEPQRIPSPPPLLQEPPRRPSPPPLPQEPPRPPAPPPLPQEPPHRLSPPPLPKEPTPRLSPPPLPKETPRRPSPLPLPKEPNLVEPEDEDTCFQEADQYKDAELEVSNLLDEDTPMLPCFLPSPSEAIGTDGSSTTCGAGGSVSNSIAPSTTTNTFAGLFASATTSTTSPSRSLRDLIGVDPTFLCLAIGAPSLFPQTSTSDSGTFAPPPAPHMSATALLQKATEAGATQSSSSFLKEFGLASSSSSSPPSKQQPQGRIADISVEPWQYQRNPQMEMEHHQSHQRREMESSSQPWHPRSTQQMEMERHHRNHQQREMESSSQQWHHHRNDQQMLGLETYRSNQQMQMEMESSSQRWLHHRSYQQPMEMDSSSQRWPLHHRSNQQQMEMMERHHRSNQQQMERESRAMLSGGLGLGLAYESGNSGLPDLMMGPSPLFGPKPAATLDFLGLGIGGTMGGSTVANRGLPALMIGGEMDMGSSAQAPWEDAKRKTNNGRTIL >Sspon.06G0015910-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:71385078:71387013:1 gene:Sspon.06G0015910-2B transcript:Sspon.06G0015910-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSLSPLLRQELDNLDKDADSRRAAMKALKSYARHLDSKSIPHFLAEVSDTTTTAAGAAGAGVPPGEFTISLYEVLARVHGRNIVPQIGNIMATIMRTLSSSGGSFPLHQACSKVVPAIARHGIDPSSTPDGEKAAIIASLCRPLCGALMGTTQPQDCGGAASGAALCLKALVESTNWRFASGEMVNEVCLKVAGAMHDRATRSNAHMGLAMALVKHNGLIAEAYARSIVRSGLQILDGDTAESSSQKRLSAIQMINFFMKFVDPRCLSSELGRVIDVMERCQNDRMPFVRGAAFEASQSAKNIAAQKGSRHEVGTSPMVGSNFHKRREKSPCRSLWGAKGSPAGSTVAASPAQFRSPESQVVDSSIMNVSTLTESPVSVGQSSCNFDQSRRTNRRLWNNDGVDVSLKDGLFIQLCSNSNSYEDDLGEVCDSEVTDANFECTNTFSGFASPSPNGSISRDKTPSPRASDRPISIDNVKIYTTPRKLLRLLQSSYDSDSATTAKLSGLSSPDQEHKELVISSEQMQSLHSDSKADEMKDENETIDLQNSNDRTETLSNADESGHSTTEAENTSSKASPEIELKEDDVYITSSIGKMR >Sspon.03G0011140-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:30294172:30298137:1 gene:Sspon.03G0011140-1A transcript:Sspon.03G0011140-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding CMLENEQTFLFSTAQAGDKVLNLQVPMTICSSEEIIDEFELLTRDARRVQQDTLRKILELNGHAEYLNRFNLGTRTDSKSFKSCIPLCVHSDIESYIQRIADGDDSLVLTGKPITSLSVSSGTTQGKPKFLPFNDELLESTIQIFRTSYAFRNSVMIQSSKIMFGHTCSEYPIGNGKALQFVYGSKQVFTQGGILATTATTNLYRSRRFKEAMKDIMSQCCSPDEVIFGPDFHQSLYCHLLCGLIYSDEVQFVFSPFAHSLVHAFHTLEEVWEELCADIRDGVLSNRVTTPSIRQAVSKILRPNPELASSIYNKCQNLSNWYGVIPTLWPNAKYIYGIMTGSMEPYLKKLRHYAGHLPLMSADYGASEGWVGSNVNPTLPPEEVTYAVLPNIAYFEFIPLQKPKGEEMENFSSIHYIESEPVGLTEVEVGKIYEVVITNFAGLYRYRLGDIVKIAGFHNSTPELQFICRRSLVLSINIDKNTEKDLQLAVEEAEKLLAAEKLEVVDFTSLVDRSSDPGHYVIFWELSSDSASEDVLSGCANSMDLAFVDAGYVGSRKIKTIGALELRVLRKGTFGQVMDHYLSLGGAVSQFKTPRFVSQSNSKVLQILSRNVTQSYFS >Sspon.02G0040440-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2B:68688693:68688883:1 gene:Sspon.02G0040440-1B transcript:Sspon.02G0040440-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VDEVGLEQVPDAIHNYHLCVVKNRRIDSNIIAKATQMKIIMQYGVGLEGAYKFGIILISISA >Sspon.02G0026790-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:95065858:95066769:-1 gene:Sspon.02G0026790-1A transcript:Sspon.02G0026790-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALGGRRRASAAALLAVAVALVSLAGPAMAAGNKTGQVTVFWGRNKAEGTLREACDSGLYTMVIMSFLDVYGPNGKYHLDLSGHPIAGIGDDIKHCQFVGVPVSLSIGGFGSGYSLPSKQAALDLFDYLWNAFFGGSKPGVHRPFGDAWLDGVDLFLEHGSAAADRYDVLALELAKHNIRGGPGKPLHLTATPRCGFPPAGYLKRALDTGIFERVHVRIYDDADCEAYWHLAWDKWTAAYPATRFYVGLTASEMTHGWVHPKNVYYDVAPSVQKADNYGGFMIWDRYADKLSNYTSMVKYYA >Sspon.04G0000260-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:2234941:2236164:1 gene:Sspon.04G0000260-1A transcript:Sspon.04G0000260-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTSSELVAQTKKTKTAALTLLMKTTTPTSSSTDDHSTADLVQVDDSHGHHQPLAAAVKRKQRTKRRRHHPPAAASSSASASVSSSESTTTEEEDMAHCLILLAQGAAGASVVDSKPSPAVLPSSTLPTAPHQNQAPPPPAAPPRAERYTSRKYTEAAATPDGVRAGFYVYECKTCNKCFPTFQALGGHRASHKKPRLAATADGDIAAAVNVDGTTTTTATKAPPPMATTTTASPSAPPLAVQRQPQPRPLQTAAIDIAAATSAAFPDVTVTTALSLSSVAAAAASGKLRVHECSICGAEFASGQALGGHMRRHRPLNAPDRAVTTAIVAADTTGNSNSKKESSAGINLELDLNLPAPSDEEAAVVSLLPPPPPPPPAVVMLGLGHFNDGKKAGLMLTASALVDCHY >Sspon.06G0005350-3D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6D:16599304:16600869:-1 gene:Sspon.06G0005350-3D transcript:Sspon.06G0005350-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPELLDFDKDLIYLEAASKIQLKLLAEEMQAINKGLEKVEQELAASESDGAISVGFRKALKSFLDAAEAEVRSLISLYAEVGRNADSLAQYFGEDPARCPFEQVTSILVVFVNMFKKSRDENARNAEAEKKKLEKEKASVSAK >Sspon.02G0032710-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:121307934:121317458:-1 gene:Sspon.02G0032710-1A transcript:Sspon.02G0032710-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGGEPSPSPSPVPPASPTKHSRSPDDAQPDASPKRRKRHHHRRHHHHRRHRHADSPVPVAADEEVEEGEILDDAAAASAMEVDAESAAPQASFAPEHFGNGADTDTDTDATKMQAPAPPTLPSSKDGRSLNGAPESESGGILSSDAEDNKGHERRQSQSRSKSPKPRREKERRHKDDHHSSSSKDYHSKNHSRTSPYSRHQSEAHSRDQHLRSRERGDDTNGSRASLRDGSDRESNDRNGKSGRHTTRIQESERERSSSRGIHDRHGGRHSDRRGSQERYRDDRIDRDKIDSLEATRRHRERSRSHNRSDLRESTRLRDQSRERERRSGSSRHRDHDSKRDTSKDRHRESDRVSSAHERERGRDARDREWHRVKGSETHRAKEGRDKVSDSDRHRDSTRSKYSVSDGYKERTRSGEKGRDVDHKNRKFEEMKENSLKEEDEEEYQEKIEQQLAMQEEDDPEKIKEEARRRKEAIMAKYRQQQSQKQDMESKPSSNDEEVRAMDGNETIHQKDDNDSSSTGNDEAENKHDSSELFDDKTDFTVGKSPAHNDASTGVGAFTDERTIGVSGLGEGSPKGKDDGLHIERNALHDNWDDADGYYTYRFGELLDGRYEIIAAHGKGVFSTVVRAKDLKASKDDPEEVAIKIIRNNETMYKAGKQEVSILEKLASADREDKRHCVRFISSFMYRNHLCLVFESLNMNLREVLKKFGRNIGLKLTAVRAYSKQLFIALKHLKNCKVLHCDIKPDNMLVNEAKNVLKLCDFGNAMLAGMNEVTPYLVSRFYRAPEIILGLAYDHPLDMWSVGCCLYELYTGKVLFPGPSNNAMLRLHMELKGPFPKKMLRKGAFTMQHFDQDLNFHATEEDPVTKADSARASAVCTFYMLKGQHKKILFDFSASAIRTKTEYMRCDFSATRHEEGDVSLDGQVVAKKDTFRYLGSMLQKDRDIDEDVRHRISAGWLKWRQASGVLCDKRVPQKLKVMENHKVKHGKNEV >Sspon.08G0011890-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:47478730:47482916:1 gene:Sspon.08G0011890-2B transcript:Sspon.08G0011890-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPVDSEAAAAEKARRKKDKREKRKKHKDAKEDDCGAATAEEEAPKEKQKQRKGGDEGDGQEKKKLKPTVSIAVAGSIIDNAQSLELATLHEALQRASLLSQLAGQIARAATVFRIDEIVVFDSTPAAESGGAGDGEESGARFLVRILEYLETPQYLRRRLFPMHKNLKFVGLLPPLDAPHHVRKHEWSEFREGGVTLESDPSKGTLVDVGLSKNVLVEQTLEPGKRVTVAMGTNRDLTTTNHLFMYEEFVHVLTCIRKVVPPSTPREQMGSYWGYKVRYTSNLSGVFKNSPFKEEYDHIIGTSEHGQIVNSSELTLPTFRHLLIAFGGLAGLEESIEEDTNLKGKRADDVFTSYLNTCPNQGSRTIRTEEALLISLQYFQDPIRRAEQKL >Sspon.01G0014390-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:43154140:43155236:-1 gene:Sspon.01G0014390-3D transcript:Sspon.01G0014390-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPRRYLAALLALLCACTTTAPPASVAAANVPITTCRSFCGNITVDYPFALHPGCGHAGLRDLLFCINGALMLHLPSGSYRVLDVDYAYRGLTLHDPAMSDCRALDLTPAGRGNGFVLEPWREPYLSPDPDNAFLLLGCRATSPLFQGFPDRHLPCRNVSGMGCGDYLGCVAWDDYYAAGGGRRPSGDAAYGAAAGQPPECCAVPWAAIRAVNVSRLECEGYSSAYSLAPVRAERGAAGWAYGIRVSWTLPESNRGFCGACRATGGACGHDMESHADLCLCGDWNSTSNCDSSADAARSGAAAATPRAVAGLRWAILASGLTSLWYYASRSNL >Sspon.03G0015330-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:48894369:48896339:-1 gene:Sspon.03G0015330-1A transcript:Sspon.03G0015330-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LVPSEEAGRASGSRGAGDSERRRSSVRPVAGQSMARLRCLLVAFVLLAGALRLPGDAIASRGHHGPAAHDYRDALAKSILFFEGQRSGKLPPSQRMSWRRNSGLSDGSAAKASSDRPPLLSFSPAFMTMLAWSVVEFGGLMKSELPHARDAVRWGADYLLKATAHPDTIYVQASGGLAFLFLFLFLMERVGDATKDHACWERPEDMDTPRTVYKVDPRTPGSDVAAETAAALAAASLVFRKSDPAYASRLVARAKRVFEFADKHRGSYSTGLAAAVCPYYCSYSGYQDELLWGAAWLHRATRSPSYLSYIQTNGQVLGADESDNTFGWDNKHAGARVLIAKSFLVQRLDGFICSMVPGTPTDATQYTRGGLLFRLSDSNMQYVTSSAFLLLTYAKYLAFAKGTVRCGGVAVTPQRLRAIARRQVDYLLGSNPMGMSYMVGYGARYPRRIHHRASSLPSVAAHPGRIGCSQGFTALYAGGANPNVLVGAVVGGPDMQDRFPDARNDHEHSEPATYINAPL >Sspon.06G0016610-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:11896930:11899969:-1 gene:Sspon.06G0016610-2B transcript:Sspon.06G0016610-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASIPALFICGIVSFKFIKRHRKGKMNVKEEDEALFQVIEGSSQFRYWRLQITSHKKINLDKEDLAQYIRVLLDWNKRLAIIEGIAQGILYLHKHSRLRVIHRDLKASNILIDCEMNPKISDFGLAKIFSLDDTEGNTKRIVGTYGYMAPEYASEGLFSIKSDVFSFGVLTLEIISGRKTSSFHQYGEFINLIGHAWQLWKDELWLQLVDTSLGSECHTLQMRRCFNIALLCVQENAVDRPTMSEVATMLSSESMALPEPKHPAYFHVRVRIEDVVEPSSIDVTISTLCGSGARWGPSEGWASEDKR >Sspon.03G0016140-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:37752680:37758260:1 gene:Sspon.03G0016140-3D transcript:Sspon.03G0016140-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable WRKY transcription factor 47 [Source:Projected from Arabidopsis thaliana (AT4G01720) UniProtKB/Swiss-Prot;Acc:Q9ZSI7] METRPELHDHDHVHKQEAQEGEEHAAVMEHGAALSLQRGATLFGRRHDEEAGRRRGEIREVDFFSRDSGARGHDDGGGRGAPGGGRDDINIGLDLLTTATAATTSAGEEMMAAKNQKIEASAVEVELRRVVEENRRLRGMLDELTRSYGALYQQLLQVTQNRQHPADLVINRSSLAHTHLTTAASHNTSSTLQLLEARASSTTTAQPDAVAGVEDEASDGAGEASPSLSNGGNNNDADGKRKTSPDGMAPPRENGGEQASSELPGRKARVSVRARSEAPMISDGCQWRKYGQKMAKGNPCPRAYYRCTMAVACPVRKQVQRCAEDKTILVTTYEGHHNHPLPPAATTMANTTSAAAAMLLSGPATSRDGAAALLGHPAASLFHHSSSIPYASTMATLSASAPFPTITLDLTQAPGGVAGSGGLLPHGLGLHRPPVGIHPAMPFPAPSPLAMFLPQRAPTGPPMPSGLVARQQQSVMETVTAAIAANPNFTTALAAAISSVMAGAGAAHQAQPTPRGSSISTAAGDQANGSAGAATAGPTPAGAHAASAGSPRFATQSFTTSTT >Sspon.03G0028160-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:12024416:12027455:-1 gene:Sspon.03G0028160-2C transcript:Sspon.03G0028160-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVSGDLNSTITVVAIEIDGLVAVTVTEIDAEQTGCVITQVVVFLAVDKGGDVDPPPAASTPAPPLDGRFGGLAMSTPEEDDAAREIKNRRTTEQEREAIEQRADLKKDPVPKMKKPEPKVGRRIQRLESTARWARGQGKEGGDGDGVSEVEWRSAGEVTGAEDGADGDQDLEQSESEPARDGCAAGNDRMRIRVRDLGRGGREEEQARGQGKEGGDGDEVSEVEWRSAGEVTGAEDGADGDLEQSESEPARRLRGGGNDRMRIRVRDWGGEGRALRLYTPGGRPSAVAGLRGLPGRRRWRPRHGLLVRAVLARARWPPGRASGPRAVPTGLGLHGFLFCRVKSTKATSRSDSVRLTSQDRVPFPSPLHPHISNLLPSRCILACPNRTRILIHTGSLSRCRRALAAWEKSPPANGRYELDRLLGKGSFAKVYHARNVGTGEEVAIKIMDKDHLSKLGAVQQQIMREIDIMRRVRHPHVVRIHEVMATRKSIFIVMEFVGGSTLNATWSTASARRVFQQLVSALDYCHSLGVYHRDIKPDNILVDAMGNIKVTDFGLSALAGTAQREALLHTICGTPMFIAPEVFLRCGYDGAKADVWACGVILFALVAGRYPFNHKDTSLYHMIRRCDYHCPPWFSTGLVGLVRRILCPDPVRRIVIPQMKENLWFKKDFKEIPRSLSEPELRDSNSDSDDEAMASSTSSGDPASPIACPMHTSVSAPSLTTLESTGSVAVQAELRMRRPKSLNAFDIIASSPSLDLSRLFQEPSEQMRFVSAAPVSKIISKLEEIAGHVSFTARTKEYQVSIEETRNRNQGVLLISAKIFELTSELVMVKICKKPGDTTQYRQFCNNELKPGLRGLADGLPEDNAE >Sspon.08G0013960-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8A:57645992:57651846:1 gene:Sspon.08G0013960-1A transcript:Sspon.08G0013960-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPETQRQHDGDADGGAAEADIERLPVDLLAHILSLLSPFRDLSMYVRTTAAHGRLCPHLPPHLAAVLYYRRWRWAVERALASRRRLSFAGQRTGNDTAARLIRAAVNLRDLDISRSCWGCHITDEGLIKISSAGCVANLTSISLWGLAGITDKGVVHLVSRAYSLQHLNIGGTFITDESLYAVANSCTNLKHSLPELDFGYSRFGFFGFGCGYFGFGVWVSGFVPRLRSDGPVIEQRGIKLRSGGPSAGQILVVQSCRLSWGRPKKARAEDPNQQSIILWSCRHVTEAGLVALVNKCRRLECINVGGMRVPPESFVGLLSISPALQIRSIPRILNAGVQVS >Sspon.02G0006870-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:20667352:20674289:1 gene:Sspon.02G0006870-1A transcript:Sspon.02G0006870-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitotic checkpoint serine/threonine-protein kinase BUB1 [Source:Projected from Arabidopsis thaliana (AT2G20635) UniProtKB/Swiss-Prot;Acc:F4IVI0] MVVLERTPGAAPGAAPSPLRKSPRFSREVASPPSDPILPYLRYSRIGLEICFRYLDSLKCVRIRVGARLVHGSISRAMDELGTGPQYDMAALDRLKRYLIECISKYGDDYQYSTDPRLLKIWILYADATEDFPSVYNQLEEKRMFLEHALLYDSYAQYLVAHGNVVEADKMYEIGISRKAEPLDHLKKMRLTFLKHLENIVEEAGAEAQPQPSKKQKNEPSVVDPWSASTRNTLLETINGDLRTFPGYHKSNKVYYGKVPLTSSLNILRNKVIELGGRKYQIKGSTGTGAFAKVYKATVDGNTEEMVALKIQNPSFALEFYMYRQLDLRISDIERPSFGYAHEMHIFSDVSVLVCDYLPYGTLLDVINSHLVVGRYMDEVLCMYYTIEMLNMLEALHSVGIIHGDFKPDNILVCYPSGEITEDTFRSEKRDERNQGLCLVDWGRGIDLNLFPSPTEFHGDCGTSGFRCIEMQEHRNWTYQVDTYGLCVVVHMMLHGIGMSVEKVPRVGGGYEYRPKQPFKRYWNVDLWQKLFTTLLNPPSNDSDVATLQSLRASFREYMCSNRQLVGKLNQMLAKQKASLCSS >Sspon.06G0017940-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:97445879:97446938:-1 gene:Sspon.06G0017940-1A transcript:Sspon.06G0017940-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAGPERWSLASATALVTGGSKGIGHAIVEELAAFGVRVHTCSRNAAELEECRRRWAEEKGIRVTVSVCDVAVRAEREALMDTVKDVFAGQLDILVNNAGLFLFKPTTECSAEDYSRMMATNLESCFHLSQLAHPLLRKSAIAGGGSVVHVSSIASCLGGPNVAIYSTAKGGAP >Sspon.08G0016010-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:63625805:63628551:-1 gene:Sspon.08G0016010-1A transcript:Sspon.08G0016010-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent Clp protease proteolytic subunit-related protein 2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G12410) UniProtKB/Swiss-Prot;Acc:Q9XJ36] MAAPANSSCFHPRATASPPSSLSVGTKVFVGLRAQTKLGSSESSCPNVSAGFYTAVNRRISLGLSNKKATRARISMMPIGTPRVPYRTPGEGTWQWLDIWNALYRERIIFIGDNIDEEFSNQVLASMLYLDSIDDSKKIMLYINGPGGDGSRTGMPLCRISLQSPAGAARGQADDIENEANELIRIKNYLYGKLAEHTGHSVEKIHEDLSRVKRFDAEGALEYGIIDRIIRPSRIKKEGSTAQRRDMRNLGLG >Sspon.04G0011260-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:34701321:34717314:-1 gene:Sspon.04G0011260-1A transcript:Sspon.04G0011260-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acetyl-coenzyme A synthetase, chloroplastic/glyoxysomal [Source:Projected from Arabidopsis thaliana (AT5G36880) UniProtKB/Swiss-Prot;Acc:B9DGD6] MGTANGQQPAAEGASSDKLRHVESMSELPSGAGKISGINAVVLGESLAAEENDLIFPSSQFSANALVSSPKQYREMYERSIKDPAGFWSEIAETFFWKEKWNPAEVCSENLDVTKGPVQINWFKGGKTNICYNAVDRNIESGNGDKIAMYWEGNEPGQDGKLTYSELLEKVCQLANYLKSLDVGKGDAVIIYLPMLLELPIAMLACARIGAVHSVVFAGFSADSLAQRIVDCKPKLVITCNAVKRGVKPIFLKDIVDAALVESEKNGVSDVVTKFPTKCDVEWVDAEDPLFLLYTSGSTGKPKGVLHTSGGYMVYTATTFKYAFDYKPTDIYWCTADCGWITGHSYVTYGPLLNGATVLVFEGTPNYPDSGRCWDIVDKYNVTIFYTAPTLVRSLMRDGTEYVTHYSRKSLRVLGSVGEPINPSAWRWFYNIVGDSRCPISDTWWQTETGGFMITPLPGAWPQKPGSATFPFFGVQPVIVDEKGQEIEGECSGYLCIKKSWPGAFRTLYGDHERYETTYFKPFAGYYFTGDGCSRDKDGYHWLTGRVDDVINVSGHRIGTAEVESALVSHPQCARLLLLVKGQGIYAFVTLVDGVPYSDELRKSLIMTVRNQIMRRILRKIAARQLDELGDISTLAEPAVXVITCNAVKRGVKPIFLKDIVDAALVESEKNGVSDAVTKFPTKCDVEWVDAEDPLFLLYTSGSTGKPKGVLHTSGGYMVYTATTFKYAFDYKPTDIYWCTADCGWITGHSYVTYGPLLNGAMVLVFEGTPNYPDSGRCWDIVDKYNVTIFYTAPTLVRSLMRDGTEYVTHYSRKSLRVLGSVGEPINPSAWRWFYNIVGDSRCPISDTWWQTETGGFMITPLPGAWPQKPGSATFPFFGVQPVIVDEKGQEIEGECSGYLCIKKSWPGAFRTLYGDMRDTRPHTSNHLLGTISLVMVAADKDGYHWLTGRVDDVINVSGHRIGTAEVESALVSHPQCAEAAVVGVEHEVKGQGIYAFVTLVDGVPYSDELRKSLIMTVRNQIGAFAAPDKIHWAPGLPKTRSGKIMRRILRKIAARQLDELGDISTLAEPAVESTKCRDEPNGIKVLTA >Sspon.02G0043460-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:96377123:96377905:1 gene:Sspon.02G0043460-2C transcript:Sspon.02G0043460-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGLVGLLKVRVVRGINLAYRDARGSDPYVVIRLGKKKLKTSVKKRSVNPIWHEELTLTVTNPSQPLKLEVFDKDTFSRDDPMGDAEIDVAPLMEVVNMNPEDIKNGAIIRSVRPSTKNCLADESHVCWRNGKFVQDMILRLKNVESGEIQLQLQWVNIPPVAAR >Sspon.08G0019560-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:13039258:13041875:-1 gene:Sspon.08G0019560-1B transcript:Sspon.08G0019560-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGGGSIVELARMAAALARTEWAARVAARRPVRSYRGVQQRKNRYYSMIWNIHTKRLVWLGSYRTPEEAAYAWDAVARVTRGHWAKPNFPEPDWARAAPAREEVSTELVLALPMPAAAPKRREPAAAVVAQHRELPVSQAGVSDLAVVGDNFTHDGVSTSAAPPPVPRLTPNDLLLQIGLPGRGGPVIIGGRSKEMDVGKYQWWMIVELDEY >Sspon.01G0032750-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:104262941:104268388:1 gene:Sspon.01G0032750-2B transcript:Sspon.01G0032750-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLAPPATDLFGEPIEAHPPWFKPDAFLRAGFDPDAYVAELRSYVPLESLAAELRAHLAALRAELVGLINRDYADFVGLSARLKGVDAAAARMRAPLADLRDKVAAFRAGASAALAALRAGLEQRAAAAAARELLELLLDTSHVVSKVEKLIKELPTAPSDSSNAKVPNNDTGMPNGEAGTGVRETQSILLERIASEMNRLKFYISHAQNLPFIENMEKRVQGATKLLDGSLERCFVDGLEHRDAKVIYNCLRAYAAIDNTSSAEELFRTTVVSPLIQKIVPQNYARAVAGASSDELEDDYQQIKECVEKDFKFILEISSSENSGLHVFDFLGNSILKEVLSAIQKGKPGAFSPGKPKEFLRNYKASLGFLDFLEGYCLSKSAVTKFRSEPAYTDFMRQWNVGVYFSLRFQEIAGGLDSTLTNTFSPTGSNEAQGKPLLLKQSIKLLESLDSCWSDEVLVFSHCDKFLRLSLQLISRYTTWLSSGLSARKASDGSPNSPADAEWALSIPIEDFIYIMHDVHAVIGELSESGSFIGHVNQLLGSCPIEVLNLVKQSILQAVEPLKELLPAIMNVMIGIIVKKSNEDLKHLKGITATYRMTSKLPVRHSPYVSGILHPLKVFLEGGRIHYLSEDDKTKLCRGSTDKITAIYYDLVSEVVTVARKTESSLQRLRQGAQRRVGASTDASDNIISDTDKICMQLFLDIQEYARNLRAIGIDAREIDSYRALWQCVAPKDKQENLQF >Sspon.03G0032290-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3C:46093118:46093863:1 gene:Sspon.03G0032290-2C transcript:Sspon.03G0032290-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLPLLVCIILGTYAYSLAHGGNEHGFVAVPTTASEPQAVCSTSRVRLDPGSNTVSVPLVHRHGPCAPTQSSDKPSSFTDRLRRNRARSKYIMSRVSKGMMGTTRDADADVSIPIHLGGSVDSLEYVVTVGLGTPAVSQVLLIDTGSDLSWVQCKPCNSTTCYPQKDPLFDPSKSSTYAPIPCNTDACRNLTGNGYGGGCTSGGGGGA >Sspon.02G0025690-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:90832484:90833983:1 gene:Sspon.02G0025690-2P transcript:Sspon.02G0025690-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGGGSGSVRRAAAALLWVLVLAAAGGGSVSQAQLRRGYYAGVCPNVESIVRGVVAKKIQQTPATIGATVRLFFHDCFVEGCDASVMVASTANNTAEKDHPINLSLAGDGFDTVIRAKAAVDAAPGCRGKVSCADILAMATRDAIALAGGPSYAVELGRLDGLRSTASSVNGRLPAPFFNLDQLNQMFAANGLSQADMVALSAGHTVGLAHCSTFAARLRGADATLDAGYAAQLAAWCPAGVDPRVAVAMDPVTPVTFDNQFFRNLQGGKGLLASDQVLHTDPRSRPTVDALARSRVAFERAFVDAVTKMGRVGVKTTTAQGNVRHDCAVLG >Sspon.03G0026210-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3C:7293355:7296577:-1 gene:Sspon.03G0026210-2C transcript:Sspon.03G0026210-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSPALLLLLLLIGGAPAATKADMPMAVNEEVLGLVVFKSALSDPTGALATWTESDATPCGWARVECDPATSRVLRLALDGLALSGRMPRGLDRLPALQDLSLARNNLSGALPQGFSLLGSLRSLDLSYNAFSGPLPDDVARLASLRYLDLTGNAFSGPLPPAFPRTLRFLVLSGNQFSGPVPEGLASGSPLLLHLNVSGNQLSGSPDFAGALWALERLRTLDLSRNQFSGPVTDGIARLHNLKTLSLGGNRFSGAVPADIGLCPHLSTIDLSANAFDGHLPDSIGQLGSLVYFSASGNRLSGDVPAWLGKLAAVQHLDLSDNALTGSLPDSLGDLKALKYLSLSRNQLSGAVPASMSGCTKLAELHLRGNNLSGSIPDALFDVGLETLDVSSNALSGVLPSGSTRLAETLQWLDLSGNRLTGGIPTEMSLFFKLRYLNLSRNDLRTLLPPELGLLRNLTVLDLRSTGLYGAMPADLCESGSLAVLQLDGNSLSGPIPDSIGNCSSLYLLSLGHNGLTGPIPAGISELKKLEILRLEYNNLSGEIPAQLGRLENLLAVNISHNRLVGRLPASGVFQSLDASALEGNLGICSPLVAEPCRMNVPKPLVLDPNEYPHGGAGGGDNNLETNGGGVGAPRKRRFLSVSAMVAICAAVAIVLGVIVITLLNVSARRRAEAAGGGGGGLGHDQKKGVDESIVTASTTTKSSSSPPPGGKGKGKLAAGKMVTFGPGSSLRSEDLVAGADALLSKATEIGRGAFGTVYRAPVGDGRVVAVKKLAAANMERSREEFEREVRVLGKARHPNLLPLKGYYWTPQLQLLITDYAAHGSLEARLHGGGEELPPMTWEERFRVVSGTARALAHLHQAFRPPLVHYNVKPSNIFLLDAECNPAVGDFGLARLLPGKLADGGGSRFHAGGGMGYVAPELACQSLRVNEKCDIYGLGVLILELVTGRRAVEYGDDDVVVLMDQVRVLLEHGNALECVDPGMGGHVPEEEVLPVLKLGMVCTSQIPSNRPSMAEVVQILQVIKAPVGGGRMEASSF >Sspon.07G0012700-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:45989127:45993848:-1 gene:Sspon.07G0012700-1A transcript:Sspon.07G0012700-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVHAIGEATSDPTLHTGTCIAAHPADLCREMHIASLPPLGCVFLDLMDCLLTSESVSSLGDVHTGDVPNIKQRLWLHEKRIVMGGARTFN >Sspon.01G0011460-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1A:31338911:31339465:-1 gene:Sspon.01G0011460-1A transcript:Sspon.01G0011460-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVTASLVKEKGSARPRGTGGQWEEAAARWLPLGGDTDGTAGRVEEANGEQREKRGKGSKRGACASPRLESNAAWLHGGEGGGGLGEVRVGRNSIGLGSGDFNRSCQAPGRHRASLKLQVVPCQPACLGKAQARPAPSGRASLGPLAIGPCHAWARQKKRASCRVDGLGLHAHIYIFFKTRVYN >Sspon.04G0010050-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:23120267:23121778:1 gene:Sspon.04G0010050-2B transcript:Sspon.04G0010050-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGGWGDSGHRRNGNGNGMYYGTPFYGGYGYASPVPHPNMYAAAYGAYPYYGNQQLVS >Sspon.07G0015440-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7A:55354443:55354616:-1 gene:Sspon.07G0015440-1A transcript:Sspon.07G0015440-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAQLAIRPAEHSELGPISDTLASSGHRVTSSVLVVQQHDMSNADEVPVSVVVDGRA >Sspon.04G0008660-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:21800287:21810012:1 gene:Sspon.04G0008660-2B transcript:Sspon.04G0008660-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAIRKQLDQLMGANRNGDVREVSRKYYDRDVCRLYLAGLCPHDLFQLTKMDMGPCPKLHSLQLRKEYPSKPRVTLFCVFVCGVLCLPECVNRYEEAKAKGTDNYDRELEETIERLIVECERKIQRALKRLEEEDAKAAIATSVTEVTQTKEVMELSKQIRQKMKDIDGFDLEGNTEGKIRATEELDKLKEQRAEEQAKILLEAFNKDRASLINSLQNATQTTTPVPPAAPDARTQEMINEKLKKAEELGENGMVDEAQKLLDEAEALKKLSTQPQAVPDSTKMTTHIQITDQKLRLCDICGAFLSVYDSDRRLADHFGGKLHMGYMLIRERLSELQEEKNKKRKLDRAEYDRRSRERSRERARASSRDRYRGDRSSSRDRDYDRRRSHDRYHDRERERDSGRSRSYDSRSHRRSRSPRGSSRDYDRS >Sspon.08G0018620-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:6532901:6535614:1 gene:Sspon.08G0018620-1B transcript:Sspon.08G0018620-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding CMQGGPFWSVPTGRRDGTVSIKQEALDQIPAPTMNFTTLLQSFRNKSLDLPDLVWLSGAHTIGISHCNSFSERLYNFTGRAVPGDVDPSLDPQYAATLRRKCKTMTDNTTIVEMDPGSFLTFDLSYYRGVLKRRGLFQSDAALITDATSKADILSVVNAPPEVFFQVFARSMVRMGSIDVKTGSEGEIRKHCALVNKH >Sspon.01G0029980-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:104161040:104162038:-1 gene:Sspon.01G0029980-1A transcript:Sspon.01G0029980-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GVRGRAPGRGRVPGRAVRVQRRLGGAARAAGVLDAGAGRRHRGVEGRDVRRGPRAGAPPVPSPGARRRRPEPAGVLLLPRRRVLHRVPHLAQLPELLPPPGLRLRRARGGAGLPPRAGAPAPRRDRRRRGGGPVAGRAGAGRGRRRRGPLGRRGRGPRPGLRVGRVGRWQYRAPPRRAVRLARGARGAGPRRRPGLHPARALLRRGGAHAVGGRVPRRRLPQPAPQRPLLAPVAARGRHGRPPLGQPVRPRRARAGRRRVRAHHGHRRGPRHPPRPRRGLRRTAQAGREARRGARFRRPAARLLQHRPLVRRLRRAHARHQALRRHRRPLRLN >Sspon.06G0002550-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:8203664:8206478:1 gene:Sspon.06G0002550-1A transcript:Sspon.06G0002550-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHWRAGFAFAAALVLLLAFSVSAADQAANGAAGNGTGVGADLLDRRTKMFLHHTAGARAAGAQDTGLGLFDAFFASLSMIVVSEIGDETFIIAALMAMRHPKSTVLSGALSALVVMTVLSTGLGRIVPNLISRKHTNSAATVLYAFFGLRLLYIAWRSDSKASQKKEIEEVEEKLEAGQGKSTFRRVFSRFCTPIFLELATHKNAVGVATGATLGHTICTSIAVVGGSMLASKISQGTVATIGGLLFLGFSLSSYFYPPLFSQSLTSLALVNLGQHPHLIQ >Sspon.05G0019870-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:82284253:82288028:-1 gene:Sspon.05G0019870-2C transcript:Sspon.05G0019870-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DWF3 [Source:Projected from Arabidopsis thaliana (AT5G05690) UniProtKB/TrEMBL;Acc:A0A178UQC4] MDAGGPPPPLFLLAAATALLAAVALGWLLLQAGGRAAAAAGRRRRSASAPAPRLPPGSTGLPLIGETLRLIAAYKTPNPEPFIDERVARHGSGVFTTHVFGERTVFSADPAFNRLLLAAEGRAVSCSYPSSIATLLGPRSLLLTAGPAHKRLHSLTLTRLGRPASPPLLAHIDRLVLATMRDWGRPGAPAVRLLDEAKKITFNLTVWQLVSIEPGPWTESVRREYVKLIDGFFSIPFPFAYLLPFTAYGQALKARKKVAGALREVIRKRMDEKAETSGASKNGEDEEKREKKDMVEELLEAEGGSFSVEEMVDFCLSLLVAGYETTSVLMTLAVKFLTETPTALAQLKEEHDNIRGIKGKDQPLEWSDYKSMPFTQCVISETLRVANLISGVFRRANTDIHFKGYFFRNYLYVFQVFTSCDIHSLVYNFLFCSLDYVIPKGCKIFASFRAVHLNLEHYENARTFDPWRWQSKNKLQNAEGASLFTPFGGGPRLCPGYELARVVVSVFLHHLVTRFSWEEAEEDRIVFFPTTRTLKGYPINLRRRPDYDDF >Sspon.08G0006410-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:19691426:19693122:1 gene:Sspon.08G0006410-2C transcript:Sspon.08G0006410-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHPVMPSVAFDGRGAGPYVSVADGRVLRWSGNGNGSGPGWTTYTYSPSYAKNGCAAPSELPPVATESSCGRPLGLRFHRRSGTLYVADAYMGLMRVGPGGGEATVVATEAGGEPLRFTNGVDVDQRTGEVYFTDSSSTYRRSQHQMVTATRDSTGRIMRYDPRTGQVTVLQSGVTYPNGVAVSADGTHLVVALTGPCKLLRYWLRGPRAGTSETLADLPGYPDNVRPDGKGGYWVALHREKNEFPFGVNSHLVAVRIGADGETLQEMKGPKNVRPTELVERKGGKIYMGSVELSYVGIVSP >Sspon.04G0026420-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4B:43150216:43152830:-1 gene:Sspon.04G0026420-1B transcript:Sspon.04G0026420-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRISLRLLRSSAAAAAASSLRGLAVPRGGWPARPALQPPVRTAAPADLTRWPPQRGYSQFASGFTPLKPKPLGSILDIERAKGLSPEHLVAAWDDVSPRPLTVQMPYMIFTGLEDYKARGTQASPYYTVTHYTDFAETKDTVLIRGDVVFTSKLTDSEAKTLLETAHSFYLNDVRYRLVERFNKETHDFEFGDVLQVLDMPTM >Sspon.08G0022530-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:59280002:59280703:1 gene:Sspon.08G0022530-3D transcript:Sspon.08G0022530-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLEHPLEAVAFRLYSLPIPEAASAATGAAAWTCLAAVLAAAAAAAGLWRLRSSTPAGAVAVSTKPLELDPSRAAEVSSPEPPSRPSQRSPPEPAAASTAPSPKERYTAYYRDASCVGCCDVGSDEDGDENEEEEDGDVAEEHEDGGDRDVFVSDGVDPFGWGEVVRLLPPPLSTTAAAEMGRRYRRSPTAALSGGSVVQLWDQGAVAGGGLTPTASPRRRGRVVVGAVSAF >Sspon.01G0029920-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:99951342:99957295:1 gene:Sspon.01G0029920-2D transcript:Sspon.01G0029920-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHSVLARLPQGSIRLVVAFGLLLLVSLLVLHRRPGRPHMAAAGRLSDPSALFLSLSPGANASIAADLRALTAGPHLAGTPASAGAAAHVLARLRAAGLQTLTREYEPLLSYPGHASLALLRSDGSLLARLSLEEPADEGRRVVPPYHAYAPSGGAVAEAVFVNLGREEDYVALERLGVGVRGRVAVARRGGGYRGGVVARAAEKGAVAVLIAGNADGGVERGVVLLGGPGDPLTPGWAATSGAERLEFDDKAVKRRFPSIPSMPVSAKTAAAIIRSLGGPAMPAEWKDGLGVDVGGVGPGPTLVNFTYQEDRKFRKIQDIFGIIKGHEEPDRYVILGNHRDAWTYGAVDPNSGTAALLDIARRLGIMLQSGWKPQRSIILCSWDAEEFGMIGSTEWVEENLEDLHSKAVAYLNVDCAVQGVGFFAGSNPQLDKLLVDVTRQVKDPDVMGKMVHETWNEMSGGIKIERLARTDSDFAPFLHHAGIPSVDLYYGEEFPGYHTALDTYNWMEKHGDPLFLRHLAITEIWGLLALRLANDPVLPFDYQAYTSQLQVFSFPIWNWCLKLADDVLLCWFAFIKIRKLQQLDLYDEHARMRRRLLNDRLLLAERSFLQPEGLQGRGWFKHLLYSPPEDYESKFSFFPGIADAISRSANLSDKERELAMQHEVWKVCRAIQRAASILRGDFSEQKPTNFSSLVIP >Sspon.04G0027480-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4B:59475352:59480329:1 gene:Sspon.04G0027480-1B transcript:Sspon.04G0027480-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGGAPPTVAVAVRPGGSGSRLAARWVAAGLPDDGCATATAIAVVHVLPELSYVPSPTDDVTWSVAAGCGGGGAAGERVPVALVGREPAEAYARDRRARAEEALLPFRRLYCCGRANVTVETVVVEGDGVAEALLRYMHESGVRSLVLGSASFRWFRRVLSIPDVPESNTNLRIESISHETFALSHRSLLFDNFASDEAQSDSFSQSHSSHSASNVVPSSESSEQVASGSSGVNCAGTEGSKNYDSLISLGKDPCAASNSSKECQSTDEVSKLRKELQETLVVYDKACVDLVNVKKKIQVLSIECSEEARKVEHALEWEETLKQMVSDEKAKQLEVINEIEQARKSFTREAYSRYKTEMATSMISQDKVQIVDAILSKSRSCRRYPKKDIELATDNFSEERKIGEGGYGKVYRCTLDHTEVAVKVIQENSIDKTDEFLKEVEILSQLRHPNLVLLLGFCPEIGCLVYEYLKNGSLEDQLFNSKGCQPLHWFLRFQVIFDVSCGLAFLHARNPEPIVHRDLKPANILLDRNYVGKIGDVGFAKLISDLVPDWQTEYKETIVAGTLYYMDPEYQQTGTVRPKSDVFALGVVILQLLTSRRPNGLIVSVENAVRNDRLSDILDRSQTDWPLDEAEMFARLGLRCTALKCRDRPDLESEVLPKLDEILHRITSAVNLRNPKLSVPSHFICPITQELMEDPHVAADGHTYEHYAIRAWLKRHKTSPVTRRKLPNSSIIPNHSLRAAIQQWKSQQPAQTN >Sspon.02G0007980-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:17076634:17079179:1 gene:Sspon.02G0007980-3D transcript:Sspon.02G0007980-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEGEGKNPAGGGGGDNPQHQQAVQAAPVPVPQGEAAQEAGGEGTELEPEGEKADREVEGGGAGEKDDATCRDLVLVEDPEVVAVEDPEEAAATAALQEEMKALVASIPDGAGAAFTAMQLQELEQQSRVYQYMAARVPVPTHLVFPVWKSVTVMGLATLCLDFGKNPEPEPGRCRRTDGKKWRCWRSTIPNEKYCERHMHRGRKRPVQVVVEDDEPDSASGSKSTPGKVTEGAKKADDKSPSSKKLAVAAPAAVQST >Sspon.04G0004440-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:13231499:13234399:-1 gene:Sspon.04G0004440-1A transcript:Sspon.04G0004440-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLWERARAFAGEAAKRSQELSAEAAKRSSALVSETAKKSKEIFSETASKSREIAAEATKQADLLAGQIKHLASDLPVPSIPPIPAIPPIAAAASSEPDAAELERYGITDDLREFVKGMTISTFRDFPLQDEPEMSDVPTVSNVRQDLNEWQTRHATLVLSAVKEISKFRYELCPRYMKERKFWRVYFLLVNSYIAPFEKKYFEELKVKAEEEKKDSQKEASQASTAAEPKDTKAPSKTSSTNPEHDLDVFLLGDLGSDDEGPVDGDDGLDDDFDKIDAASGLESDDDDKKPATGKAEDA >Sspon.01G0026670-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:94611434:94612808:-1 gene:Sspon.01G0026670-1A transcript:Sspon.01G0026670-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSAGAAAGAALGARTARSCDGCMRRRARWHCPADDAFLCQTCDVSVHSANPLARRHHRVRLPSASCSSPPCDPDAPTWLHGLKRRPRTPRSKPGGGKHEATTPNSIALAASTAVPDLEAEESGSGIVGDNDDEHGFQDDDEDLLYRVPVFDPMLAEFYNPVADEGEQKPLAEFYNPVADDGEQKPACLMPPLVETSLEFAYGGSAEADGLSAGFDVPDMELASFAADMESLLMGVNDGFDDLGFLDEEKPQVNADADLVAMAAPATEREDKKRKRPEMILKLNYEGVIASWVRDGGSPWFHGERPHLDPYELWSDFPAGSRGLFGGAVTAVTGGEREARVSRYREKRRTRLFAKKIRYEVRKVNAEKRPRMKGRFVKRTTLPPLPRPPPQQQQQQQKQLARTLPHVGMVLAPPPVANGRFH >Sspon.04G0010180-2T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:29057559:29063944:-1 gene:Sspon.04G0010180-2T transcript:Sspon.04G0010180-2T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CLPX [Source:Projected from Arabidopsis thaliana (AT5G53350) UniProtKB/TrEMBL;Acc:A0A178USB7] MSGLLRWRRLAGAATRAASTLTAAECSPATASAAGAVAPPPHRRLQERRKWESSSGSGGSSSSSSTDEPEPRRIRAEAHCPRCSKHMDILFSHRGPPPTTAGAAAAGYQALNLCPNCRSAYFFRPHVLAPLQGTFVEIGRVRADLLDHPAARARDPIFWEAIRASSSSRDDGDGGGVAVHVPPGPPFHPNLNVVRVAGSGGGGGGGGGAGDEGAGKEGWGGSNLGRDLPTPKEICKGLDKYVIGQDRAKKVLSVAVYNHYKRIYHQSLQKGSGADLGGINGEADDDDNVELEKSNVLLMGPTGSGKTLLAKTLARFVNVPFVIADATTLTQARYVGEDVESILYKLLTAESLNISRDVSGEGVQQALLKMLEGTIVNVPEKGARKHPRGDNIQDSSIGFGAPVRANMRAGGISSAQVTSSLLESVESGDLIAYGLIPEFIGRFPILVSLAALNEDQLVQVLTEPKNALGKQFKKLFSMNNVKLHFTDGALRIIAKKAMSKNTGARGLRTILETILMDSMYEIPDAKSGEKRIDAVVVDEDAVGSVDQPGCGAKILYGDGALDQYLSQIKVSGDGVASEMDGEAERAIGM >Sspon.02G0055140-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2D:408465:408718:-1 gene:Sspon.02G0055140-1D transcript:Sspon.02G0055140-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding QRTFSRLDCADQNERFTFCILTTIRNRAANHRWNLISVYGPAQHDSSEEFIQELNEICEKDSLPVVLGGDFNLIRNNQERSHGQ >Sspon.02G0006390-4D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2D:12724230:12725599:1 gene:Sspon.02G0006390-4D transcript:Sspon.02G0006390-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLIRSMGRLFALFDQMKPVETKRRKTSHDMACKAVAALLTLAAVLIGFLSPHPGNYSAAARRDARRLALLSYRAPAGGAATTTSTASASSRRGLLEALAENGAGAYHMTLSVGTPPLAFPAIIDTGSDLTWTQCAPCTTACFAQPTPLYDPARSSTFSKLPCASALCQALPSAFRACNATGCVYDYRYAVGFTAGYLAADTLAIGDGDASSFAGVAFGCSTANGGDMDGASGIVGLGSSALSLLSQLGVGRFSYCFRSDADAGASPILFGSLANVTGDTVQSTPLVRNPVAARRRAPYYYVNLTGIAVGSTDLAVTSSTFGFTAAGAGGVIVDSGTTFTYLAEAGYAMLREVFLSQTAGLMTRVSGAQFDFDLCFEEAGDADVPVPRLVFRFAGGAEYAVPRQSYFDAVDERGSVACLLVLPTRGVSVIGNVMQMDLH >Sspon.02G0013290-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:35532954:35534800:-1 gene:Sspon.02G0013290-1A transcript:Sspon.02G0013290-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSASARKSKIAGANKQSKGAPAAASTAAAATRNLGRGGGSLLGTDGAGRTLGRGSRLAAAAPGYPISGSLSDGTAAPPSSIGASGGGAFPGAATAPSSSNSGFPFPPSPSTAWWDPASVDPSSPSFVRSAAWDPSWDKDPRPSGGFMSYFGNQPHNFHLVGAPVQNHEGISPAEVEIVDEQQPELVDSDGENARTEKRLPWKEDEDVSLMSSWIEHSTDSTCGADRGGNQYWSDVVQHYNKSAPPHRKRNLKQSKDRWHKINRWTYLFECAYAKARRVFTSGYSDQMWIDAAHKFYVVDNKEAKIGPFVLMEVWKTCRDVTKWKTYNEELRNARKRTSFHLEGDNEENNQTVDDMPKRPMGQKAAKKAALAEKKQSKRDDGNSKEFVIDVDKIDRFSKFQEETNANRIKVLELQQKLSSEKLEATKLAHLTAQESKEGKKLLLEAKKVERESKMMDAYNNLILQDTSTMSDEEKAERVAAMKCLRKTLFPETF >Sspon.02G0007210-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:21510505:21511930:-1 gene:Sspon.02G0007210-1A transcript:Sspon.02G0007210-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase 7 [Source:Projected from Arabidopsis thaliana (AT1G30870) UniProtKB/Swiss-Prot;Acc:Q9SY33] MRTPRAVSFLALSGLLLLLAVSVAATGYGGDGANSPGGNGYAGGHDAAAGSKGYGSGGASPGEKGYAGGHDAQAGSNGYGAAAGSNGGGGGQEGSYKVPAYQESVAGLDERYYEKSCPKMEEIVGTAVMKAVKADETLAASIIRLFFHDFAVGGVDGSVLIDVPGQSEKYGQASRTLRGFELIEEIKKELEAKCHATVSCADILTAAARDAAASRAVGAPYWSLKYGRKDRKGYFSAGTADRDVPMGGQSVTQLIAFFEKNGLNVQDLVALSGAHTIGRATCGAVRPGLLGRLKAGTLDRQYGDFLQRKCGAGGDAEYVELDGETPTAFDNQYYKNLLHGKGLLDTDQKLLADSRTGGFVRSYADQKPQAFVGQFAHSMRRLGEIQVLTGNEGEVRRKCSAVNY >Sspon.08G0011900-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:51199457:51203596:-1 gene:Sspon.08G0011900-1A transcript:Sspon.08G0011900-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGRDDFFSDFVVLRPDKGGVRSLLHLLCSCKVADNDAVDCPVGTEVAERQRRWAIFVSLVVQMLLLSVKTPLAAFGSAVEYWMNLLTDNGGGVIGLVTKAVQGKVRTPDRTSPKYRSFIGLVDTRIELDKKIKPRDSSYSAALGIMAAKLAYENKLVIKNVVESNWQMTFLEFFNCWNEFRGDYTTQAFMLADKPADAELAVVAFRGTQPFDAEQWCTDVDFSWYEIPGVGKVHGGFMKALGLQKNTGWPAQPTEPGAEKRQYAYYAIREKLRAFLAANPRARFVVTGHSLGGALAVLFPTVLALHGEDALLERLAGVYTYGQPRVGDAQLGRFMEPRLDRRYFRFVYCNDVVPRVPYDDATLLFKHFGLCLYVDSLYRPAAMAEEPNKNFFSPLFVVPKYANAAWELLRGFLIGHVCGAVYAEGWVMRAARAVGLVVPGLPPHSPQDYVNATRLGAASLELLL >Sspon.04G0005280-1P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4C:9113616:9115742:1 gene:Sspon.04G0005280-1P transcript:Sspon.04G0005280-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPLQKLLEAAAAAAAAATTSTPLVAAHLHANLLRSGLLHSSHHLTARVLEWYPPGLARDLFDEIPSPTPRLANALLRAHIRAQQWRAAILLGPRLRVRPDSFTFSLLLRACTALPSLTHGRAVHTVAVRSCTASEDAFVATAIVQMYARCGDMVGAINAYGVLEKPDIVLRTSVVTGYEQNGMAEEALEFFARNVVGQGVMLTPVTLVSVMSAAAQLGHVRKGQACHAYVVRNSLGYDLALVNAVLGFYVKIGDFQASMRLFEGMTDRDVITWSCMIKGYVQHGDAHEGLRMYREMVKARVQPNSVTLVSVLQACALVVDAEEGKRVHRVAVSIGCELEVGVATALVDMYMKCSCYEEAMCLFHRMPKKDVVAWAAVIGGLTQNELPGESIHVFKCMLLDDHVPDAITMVKVLAACSEFGGTRLAICLHGYLVRNGFNNNAFVAAALLDLYSKCGDLDSAVRVFEGTTEKDVVVWGSMIAGYGAHGLGQEAVALYQRMIASSIQPNSVTFVSVLSACSHSGLVQEGIQIFDSMTQVFGVVPNAEHQSAMVDLLGRAGELQEAIRFIRDMDGRAVAHTWCALLAACREHNNTKMSKVAAKSLLKLDPDHVGYYNLLTNIYAFDEKWESVKDTRDTARGRDLRKVPGYSSVEVGNLVHTFIAGERTHQDWDNICTLLCDLSRKLRGEDCSFQVGTSLAFEDSADCSSLS >Sspon.03G0031680-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3C:37642616:37644280:-1 gene:Sspon.03G0031680-2C transcript:Sspon.03G0031680-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQLSGTLVHFLTALSKYRRLNTINIANNTFTGRLPQYIGNLSTVLQYFIADYNRITGSIPSTVANLSNLSEKIPTPVIAMNSLQQLDLSYNSLSGTIPEEINGSTSLVNLNLQGNKLSGSIPSGFGSLIQLQYVALSQNSFSSEIPTSLWNLQKLIELDLSQNSLSSSLPADVRKLTTVNKMDLSDNQLSGDLPVSLGELNMMIYLNLSRNLFQGSLPDSLGKLLNIEELDLSHNVLSGVIPKALANLSYLANLNLSFNRLDGQIPEGGTDLLSYQLISYHELVRATNNFSDDNLLGAGSFGKVFKGQLDDESVIAVKVLNMQDELASKSFDTECHALRMVRHRNLLSIISTCSNLDFKALILQYMPNGSLNDWLYSDDERQLSFLQRVATMLDVATAMEYLHIQLLEAVLHCDLKPSNILLDEEMTAHVSDFGISKLLAGDDSFIVLTGMPGTVGYMAPGAELSLSSNTNDANNR >Sspon.02G0012560-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:33261582:33264657:-1 gene:Sspon.02G0012560-1A transcript:Sspon.02G0012560-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTAHAAAAALALLVFFSAQARDAGVHVVVDAAAATLSQGQSLGAGDKLVSAGGTFELAFFTPTGASDPSQRYLGVMYAQSVEQTVPWVANRDAPVTAGSAYSATVTAAGELQVLEGERVVWRTNSATPASSSSEANVTLTLLDTGNLQLTAGDGGGATVIWKSFDYPTDTFLPGMSITLDRRDGAAVRRTLFTSWRKPGDPSTGDFTLGQDPLGSAQLYIWRTTSGGNNSTYWRSGQWANTNFVGVPWRSLYVYGFKLNGDPYNGSGVMSYVFNTYNSSEYRFMLHSNGTETCYMLLDTGEWETVWSQPTIPCQAYNMCGPNARCAAGGDDGQRAICTCLTGFEPRNVSEYSSGNWTQGCVRSPPLTCSEANVSSGGGGGDGFADLPGVKLPNFAAWGSTVSDADACKQSCLANCSCGAYSYSSNTGCLTWGQDLLDIYQFPDGEGYDLQIKVPAYLLETGSKRRLWTTVVVAVVIVVVVLAGCGLLLWKCRRRIKEKLGIAGREKTKTTQPSLLPLREARQDFSGPKQPDQEEAEGGKKCELPLFSFETVAAATGDFSADNKLGEGGFGHVYKVPYIQEHIANALHT >Sspon.02G0038450-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:41989497:41990126:-1 gene:Sspon.02G0038450-1B transcript:Sspon.02G0038450-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMWWWAPLPAWLSSSALWFLVVNAVVAAVAVLSRARPPLPSPRRGSGVTRRASSAVLHRLRSFSIFSFPSACFNTTPSPHPDAASAAQETEESPRTPTTAKPLPRALPLPPSPLSSSGRVPAVDADEPTGMSMDEAYALALEARRRPDLEREEEARRSEVDAKAEEFIRGFKEDLRQRLNSIFNYTQMLKQRALGGAAASRRQPDQL >Sspon.01G0052970-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:30868096:30870680:-1 gene:Sspon.01G0052970-2D transcript:Sspon.01G0052970-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGHVGKLIDSVGSIFRGSDILPWCDRDIIAGFESEVAEAKNEEQKNESLMRLSWALVHSRQPEDVNRGIGMLEASLDRSSSPEQAREKLYLLAVGRYRTGDYTRSRQLLERCLETPLNLMPAC >Sspon.07G0020730-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:68557372:68560544:-1 gene:Sspon.07G0020730-2C transcript:Sspon.07G0020730-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCCVARPSSGKRRGGNRGGGGAGGNRGGRLGGANVRSCSTLSSISDATRATATQAWTPLTVLGKGLAAEASAEELLRRYQLGEELGRGEFGVTRRCTDTSTGEVLACKSISKRKLRSSVDIEDVRREVAIMRSLPEHPNVVRLREAFEDGDTVHLVMEVCEGGELFDRIVSRGHYTERAAAGVMRTIMEVVLHCHQHGVMHRDLKPENFLYANRSESSALKVIDFGLSVCFKPGDRFSEIVGSPYYMAPEVLKRNYGQEVDIWSAGVILYILLCGVPPFWAETDEGIAQAIIRSNLDFQREPWPKVSENAKDLVRKMLDPSPYSRLTAQQVLEHPWIQNASAAPNIPLGEAVRSRLKQFTVMNKFKKKALLVVAEYLPAEELEAIRELFHMLDTNKDGHLTIEELRKGLRLIGHNVHDTDVDMLMEAVRTSADMDGNGTLDCKEFVTVSIHLKKIRSEDHLPKVFSYFDKNGSGYIEIEELKEALSPRGDQKAIDDIILNVDKDKDGKISYEEFELMMKAGVDWRNTSRQYSRAVYNTLSRKMFKDVSLKLDINKGPLAAAVKEQQAVD >Sspon.07G0024390-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7B:24528045:24531533:-1 gene:Sspon.07G0024390-1B transcript:Sspon.07G0024390-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFFRKKRSARGKDQNKSKAQGGPDSKKDAVILRDGNNQHIAAHTFAFRELAAATKNFRQDCLLGEGGFGRVYKGRLENGQVVAVKQLDRNGLQGNREFLVEVLMLSLLHHDNLVNLIGYCADGDQRLLVYEFMPLGSLEDHLHDIPPEKEPLDWNTRMKIAAGAAKGLEYLHDKASPPVIYRDFKSSNILLGEGFHPKLSDFGLAKLGPVGDKTHVSTRVMGTYGYCAPEYAMTGQLTVKSDVYSFGVVFLELITGRKAIDNTKPHGEQNLVAWARPLFKDRRKFPKMADPLLQGRFPMRGLYQALAVAAMCLQEQAATRPFIGDVVTALSYLASQTYDPNAPVQHNRSNSSTPRVSRGGGSNDQRRLRSPNHHSPDLRREATAASKYETEVSRTNSGSGSGRRSGLDDVDMSGSQLGSPAHAGRKRGSPRTAESQRAIAEAKICGEKSRGRK >Sspon.08G0018310-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8B:5768337:5771479:1 gene:Sspon.08G0018310-1B transcript:Sspon.08G0018310-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYQLRGGGVALDLNLQPPEGGEQAPPAAAEVDRISSLPEKAQLRILGFLPLKTAVKLGMVSKSWSRLVNTPQWPCDSILAIHIRAATQGPCGCLVPTHIRSDQIVPLLANDLGSRGRGPGHRLLRFFLRDFYVDAGLGPPEVSFNFQRASHHLLRLVLFGVGVNEAHRRPMGQVRSINTLEVITIENTSLGDLELKRVLLLCPRLRTLVLRNCRVLTCVDVTAATERLVRLTVAECPQVDKISVSAALGLHSFRYSGGFLRSVALPPTCFGDLRICFTKTTLVDPIQYHNWLDALPNLSYLVNLTICSNALKIMSALRNRENFQSQVAKLSNLQNLRELRLALYRMDTVMLSHIYGFLRMCRCSRLRNLFLELPKATTDSFVDAVREFAEEPPMDGFESLVKVKITNFKWQCNEIELVHFLFRKARSLQKLILVVPKGTNPERDQSGNAILPDLNLLCVEKAPANVKKMYGEAIEIFGGAGPTSPRPSVTANAIHPLQPDEKLTFEKR >Sspon.07G0021670-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:4726787:4727637:-1 gene:Sspon.07G0021670-1T transcript:Sspon.07G0021670-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIGAPPDDSRQRPADHAANILENVLRYPGVVVDGRVVGGGRREAAEAILERLPSLGRWISMGAEEWDELLLSGTALASDDTASGELLAASPANQQADRQHGHRASSSSAAAAACKSYRGVRRRPWGKFAAEIRDTRRKGARVWLGTFATADEAALAYDKAALRMRGPRAHLNFPLDVVQRELQAAAAGDGCAETTRVLRRKRRRANHDAAGDDTRSHVSVMAAATGRDPTMMSFACAKKDQGTPPSMMPERSISDPGAVIDFEDIGGEYWDYLFT >Sspon.08G0012790-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:53156176:53159104:-1 gene:Sspon.08G0012790-4D transcript:Sspon.08G0012790-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVVGGDDAAAAAPGLGQTVCVTGAGGYVGSWIVKLLLERGYAVRGTVRNPDDAKNAHLRALPGAAERLALCKADLLDYDALRAAVAGCHGVFHTASPVTDDPEEMVEPAVTGTRYVIDAAAEAGTVRRVVLTSSIGAVAMDPNRAPDAVVDESCWSDLEFCKKTKNWYCYGKAVAEQAAWEAAAARGVDLVVVNPVLVQGPALQPIVNASLMHVLKYLNGSAKTYANAVQAYVHVRDAADAHVRVFEAPHAAGRYICADAVLHREDVVRTLRKFFPEYPVPERCSDEVNPRKQPYKISNQKLRELGLEFTPAAQALYDTVICFQEKGIIPIPAPTPPPEPEA >Sspon.04G0017380-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:69234558:69236967:1 gene:Sspon.04G0017380-3C transcript:Sspon.04G0017380-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:MADS box transcription factor, Regulator of early seed developmen [Source: Projected from Oryza sativa (Os02g0170300)] MGRGKIEIKRIENSTNRQVTFSKRRGGLLKKANELAVLCDARVGVVIFSSTGKMFEYCSPACSLRELIEQYQHATNSHFQEINHDQQILLEMTRMKNEMDKLETGIRRYTGDDLSSLTLDDVSDLEQQLEYSVSKVRARKHQLLNQQLDNLRRKEQILEDQNTFLYRMINENQQAALTGEVKLGEMTAPLAMLPPPAAFAHSTYYGGESSSSGTALQLMSAAPQLQHADLGFRLQPTQPNLQDPAAACGGLHGHGLQL >Sspon.02G0006390-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:18741658:18742713:-1 gene:Sspon.02G0006390-2B transcript:Sspon.02G0006390-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLSVGTPPLAFPAIIDTGSDLTWTQCAPCTTACFAQPTPLYDPARSSTFSKLPCASALCQALPSAFRACNATGCVYDYRYAVGFTAGYLAADTLAIGDGDASSFAGVAFGCSTANGGDMDGASGIVGLGSRALSLLSQLGVGRFSYCFRSDADAGASPILFGSLANVTGDTVQSTPLVRNPVAARRRAPYYYVNLTGIAVGSTDLAVTSSTFGFTAAGAGGVIVDSGTTFTYLAEAGYAMLREAFLSQTAGLMTRVGGAQFDFDLCFEEAGDADVPVPRLVFRFAGGAEYAVPRQSYFDAVDERGRVACLLVLPTRGVSVIGNVMQMDPHVLYDLDGGTFSFAAADCTSL >Sspon.02G0019880-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:64883266:64884236:1 gene:Sspon.02G0019880-1A transcript:Sspon.02G0019880-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTNMASATSRFMLAAGVPTAGSGSGISGRVSFASAPNRLGGRLVVRADPDAPAEPAEGEGAVATKPKAEKPPPIGPKRGAKVKILRRESYWYNGIGNVVTVDQDPNTRYPVVVRFSKVNYAGVSTNNYALDEIQEVK >Sspon.03G0014140-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:65846309:65849069:1 gene:Sspon.03G0014140-2B transcript:Sspon.03G0014140-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLHWTSLLVLAYQSCGVVYGDLSTSPLYVYKGTFSGSLHRFLDEETVFGVFSVVFWTVTLIPLLKYVFIVLSADDNGEGGTFALYSLLVRHAKFSLMPNQQAADEELSAYYRPGYSTEETPILKALRNFLEKHRKSRTFLLLMVLFGASLVIGDGVLTPAMSVLSSFSGLQVHSSALTHGTFETTSTGEVVLLSCIVLVCLFTLQHWGTRRVAFLFAPVVVLWLLLLAALGIYNIAVWNPRVLRALSPYYVVRFFQRTGKDGWISLGGVLLSMTGTEAMYADLGHFTAASIRVAFVGLIYPCLVLQYMGQAAFLSKSPDCNIHFIFFESIPVCPIFWPVLVIATLAAIVGSQAVISATFSICTALGCFPRVKIVHTSNRIHGQIYSPEINWILMLVCLGVTVGFRDTDLIGNAYGMACAGVMVVTTLLMALVMIFVWQQGFILAAMFLLAFGSVECVYLSAALMKVPQGGWLPLALSLVVVAVMYVWHYGTRRRHLFDVQNKVSLKWLHALGPSLGIVRVPGIGLIYSELATGVPAIFSHFVTNLPAFHQVLVFVCVKAVPIPHVRCYERHLIGRIGPREFRMYRCVIRHGYKDVPGDDNDFENDLVVRIAEFVHMEAAEAAANADEPRNSDASVEGRMAVVNRPFDLSRTGLLMRAPLPNPEDSIVVRAATAAATTAADSSKTETIQSLQTMYEAESPGFAIRRRIRFEIDVSTSESMDPAVKEELSALVEAKHAGVAYIMGHSYIKARKSSSIIKKLAIDVAYTFLRKNCRGPAVALNIPHISLIEVGMIYYV >Sspon.04G0000930-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:4543514:4544844:1 gene:Sspon.04G0000930-2D transcript:Sspon.04G0000930-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ESTPAAPARCEIARLPDDLLSATLARTGPRDACRAAAVSPAFRAAADADAVWSSLLPRHLPPLADGELPAEPLPTKKQLFMRLSDPGSPVLLADRLTVMWLDRATGAKCYMLPARKLGIAWGDTPQYWRWIPITLYRFSEAAELRHVWWLEIRGEIDSKMLSRHTTYSAYIVFSLAQRRVGLYYTCKEASVSLGGSSRSTRHVCLDVGHGRADTWPSLRGDLPEDTLFPRVRGDGWMEVEVGEFCSGEGNDGEVSISLLETSVIKSGLVVLGIEIRPKEQGV >Sspon.02G0020600-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:19334908:19336539:1 gene:Sspon.02G0020600-1T transcript:Sspon.02G0020600-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVAAAEGSGRRDAEAELNLPPGFRFHPTDEELVVYYLCRKVARQQLPVPIIAEVDLYKFDPWDLPEKALFGRKEWYFFTPRDRKYPNGSRPNRAAGRGYWKATGADKPIAPKGSGRVAGIKKALVFYSGKAPRGVKTDWIMHEYRLADADRAPSKKGSQKLDEWVLCRLYNKKNNWEKVKVEKQEPEAAAHHHRQSAAEDSMSDSFQTHDSDIDNASGGMQQSCFGNMVQGQAMTMRNNGTGTVTVKEDSDWFTDLNLDDLQASYNMAHMVNPINPVQTVNLVAGQGHGYLQSMSSPSMKMWQTILPPF >Sspon.01G0002200-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:6067055:6069915:1 gene:Sspon.01G0002200-3C transcript:Sspon.01G0002200-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKYMRKGKVSGEVAVMEVPGGALLGVRTRSRTLALQRAQRPLDKGDAEDAAGEYLELRSRRLEKPHKEQHPPPPAPLAPALATKRGAERKAAAAAAAAPHALAEDDVEVSFGENVLDFDSMERSTRETTPCSLIRNPEMISTPGSTTKSKTSNSMTSRRRMETSVCRFIPSSLEMEEFFSAAEKQEQHSFREKYNFCPVNDCPLPGRYEWARLDC >Sspon.07G0033790-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7C:65944829:65998590:1 gene:Sspon.07G0033790-1C transcript:Sspon.07G0033790-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATIQSVKARQIFDSRGNPTVEVRAVDAPSDAPIWSGSDVLWRNLSRVGWKLCVYEALELRDGGSDYLGKGVSKAVNNVNSIIGPALIGKDPTAQTEIDNFMVQQLDGTKNEWGWCKQKLGANAILAVSLAVCKAGASIKKIPLYQEFMILPTGAASFKEAMKMGVEVYHHLKASVIKKKYGQDATNVGDEGGFAPNIQENKEGLELLKTAIEKAGYTGKVVIGMDVAASEFYNDKDKTYDLNFKEENNDGSQKISGDSLKNVYKSFVSEYPIVSIEDPFDQDDWVHYAKMTEEIGDQVQIVGDDLLVTNPTRVAKAISEKSCNALLLKVNQIGSVTESIEAVKMSKHAGWGVMTSHRSGETEDTFIADLAVGLATLLRIEEELGAAAVYAGAKFRAPVEPY >Sspon.08G0006050-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:16300091:16303100:1 gene:Sspon.08G0006050-4D transcript:Sspon.08G0006050-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAVLGRHDTHKRSFHGSKLEAKMVDAMQQRASHGTSLKSFDSIIMKFPKIDESFRKCKTIFEQFDEDSNGEIDKEELKHCFQKLEISFTEEEICDLFEACDINEDMGMKFNEFIVFLCLVYLLNEPAVSEAVSFISHINSLSNILIKIKMGLGNLEATFETLVDAFVFLDKNKDGYVSKDEMIQAINETTTGERSSGRIAMKRFEEMDWDKNGMVTFKEFLFAFTRWGLMKMRMTMNRDCEG >Sspon.04G0018150-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:68984299:68987920:1 gene:Sspon.04G0018150-2B transcript:Sspon.04G0018150-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSLHFSYKRNQNRLHIPSIGLTLVLLISLAPCTSSCMELERTSLLQFLSELSWDAGLTKIWQGTDCCKWEGITCNQNGTVSAVSLPYKGLEGHISQSLGNLTDLQYLNLSYNSLSGGLPLGLVSSSSIIVLDVSFNQLSGDLIELPSSTPGQPLQVLNISSNLFKGKFTSTTWKGMQNLIALNASNNSFTGQIPDHFCNISPSFAVLELCYNKLSGSIPPGLGNCFMLRVLKAGHNNLSGSLPHELFNATSLECLSFPSNSLHGILDGTHIAKLSNLVILDLGENNFSGKIPDSIGQLKGLQELHLDYNSMYGELPSTLSSCTNLITIDLKSNTFSGELSKVNFSNLPNLKTLDLMLNNFSGTIPESIYSCKNLTALRLASNKFHGQLSEGLGSLKSLCFLSLANNSFSNIANALQILRSPKNLTTLLLGTNFINETMPDEANIDGFENLQVLAIENCLLSGKMPLWISKLVNLEMLSLNGNQLSGSIPAWINTMNCLFYLDISNNILTGEIPKELMNMPMLTSEKTAAHLDTSIFDLPVYDGPSRQYRISIAIPKALNLSSNKFTGLIPPKIGQLKALLSLDISSNNLTGPIPPSICNLTNLLVLDLSNNNLTGKIPVALENLHFLSTFNISNNDLEGPIPTGGQFSTFQNSSFLGNPKLCGSMLGHRCHSADVPLVSTKGINKKAIVAIAIGVFFAAIAILLLLWRVLVSIKVNNLTAQSRREDNGDFETFSFNSSSEHELIMMTRGKGEESKLTFSDIVKATDNFNKENIIGCGGYGLVYKAELPDGCKLAIKKLNGEMCLMEREFTAEVEALSMAKHDHLVPLWGYCIQGNSRFLIYSYMENGSLDDWLHNRDDDASTFLDWPTRLRIAQGASRGLSYIHNDCKPHIVHRDIKCSNILLDKELKAYVADFGLSRMRALYDALSPIGIKLPPAEK >Sspon.08G0013340-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:56114369:56119831:-1 gene:Sspon.08G0013340-1A transcript:Sspon.08G0013340-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLTKAKSEGYLWGNRTAAVDSDKKSSFLPSLEFTLGDALKKLEEKGVVIRFVIGRSANRGDSLDRNIDDENRQTKDFLILESHEEAAEELPSKAKFFFSAAVETWDAEFYVKVEDNINLDLAGLIEMLEGRRGSQGLYMGCMKSGVVISEEGQQWYEPDWWKFGDSKTYFRHASGSLFILSKNLARYININSASLQSYAHDDISVGSWMMGLNATYVDDDRLCCSSSRQ >Sspon.04G0030260-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4B:76541825:76543412:-1 gene:Sspon.04G0030260-1B transcript:Sspon.04G0030260-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDRVAQEYSNYSDIAAGLRVFVEQLNEKNRGFDDYVSQIDAIDQQVTEFEAVVSMLDKHVALLEKKWGRALCQRVQAVVTVSERWESTCQSKIMASSSWCHAWPRMAGLGWFKLPARRRRAQGWFGCCSAGTGPWTFGMLRDGGVGLFADAYGHINGF >Sspon.06G0002980-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:9525326:9526674:1 gene:Sspon.06G0002980-1A transcript:Sspon.06G0002980-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LPRPRARRHHASVDRPGPRESAGAAAPAAHQGARRDDRTPSPPAAGRGDPQAAAGGGGVWWRPPLRAEDEHAVIVAALAHVVGAGRQSSAAQPTPPVLGQQGPPSAAAAAAAGADQMGFPDLSRYAHILQSGGDLDLQAIAGAGGLTPGQSSTTTASASSSSPAPSVD >Sspon.02G0011650-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:29583533:29587376:-1 gene:Sspon.02G0011650-2B transcript:Sspon.02G0011650-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lysine-specific demethylase JMJ30 [Source:Projected from Arabidopsis thaliana (AT3G20810) UniProtKB/Swiss-Prot;Acc:Q8RWR1] MAAAAEAERVSALLREITGEGGFAFVASAEKAGAGDLRAAEAAREMAWEQLHSGPWSEVGTAWRDAYALACLHVARLRTRTRGASGGDGDRSAALRALDMGLIMGGNLLRADLEATLARISAEACGGSEGGAGVVDEEDQRWREALDRNRDIADALKILPANSLSCKKVERRSCISLEEFICNYFLRDSPVIISGAIDHWPARTKWQDIKYLKKIAGDRTVPVEVGKNYVCSEWKQELVTFSQFLDRMWSTVCPSKLTYLAQHPLFEQVLGRKYIRLYPAFISEDLYPHTETMLCNTSQVDLDNIDLKEFPRAENLEFMDCILEEGDLLYIPPKWWHYVRSLSTSFSVSFWWRAAVQPSGGS >Sspon.08G0007450-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:22076631:22081968:-1 gene:Sspon.08G0007450-2B transcript:Sspon.08G0007450-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQDLEMAARHGPGSGADGAHYYPQAPRNGAGGEELDDDGRKKRTGTVWTASAHIITAVIGSGVLSLAWSTAQLGWIVGPITLMIFAFITYYTSSLLADCYRSGDQLTGKRNYTYMDAVAAYLGRWQVLSCGVFQYVNLVGTAVGYTITASISAAAVHKANCFHKKGHAADCSTYDTMYMVVFGIVQIFFSQLPNFSDLSWLSIVAAIMSFSYSTIAVGLSLARTISGRTGKSTLTGTEIGVDVDSAQKVWLALQALGNIAFAYSYSMILIEIQDTVKSPPAENKTMKKATLMGVTTTTAFYMLAGCLGYSAFGNAAPGNILTGFGFYEPYWLIDFANVCIVVHLVGAYQVFSQPIFAALETAAAKRWPNAKFVTREHPLVAGRFNVNMLRLTWRTAFVVVSTVLAIVMPFFNDILGFLGAIGFWPLTVYYPVEMYIRQRRIQKYTTRWVALQLLSFLCFLVSLASAVASIEGVTESLKHYVPFKTKS >Sspon.07G0004080-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:9360241:9364370:-1 gene:Sspon.07G0004080-4D transcript:Sspon.07G0004080-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDEDYSWVRRTRFSQSIVRSSSGREQYGAFIEQFSRGAELRQNGLGTGYRLPRQSLQPPLVKGSGVSNSARLPIPKAKSAVAQSEGKLKHVSSDGQLNRDRSGDRSSQESSAKQDRKGVVGLNLDIPQRHVVRPSKESPDALDFSFHSDEHSQRLQRVCSSPAPFFMTDATATADDSGVRSASMKVTGEVSKPTLKPKRRAKSPIPKRVISDVFKEAKAATKRFSSPQRQRKPISPRSPDDNPPFGFASQRTPSKLKINSRTSSWPRRNVDSGLAKVVALEILEKWTVDRSELLIGHRFASGAYSRLFHGIYKEQPVAVKFIRQPDDGEDDELSAKLEKQFTSEVTILARLQHRNVIKLVGACNCPPVFCVITEFLSGGSLRAFLRKLERKTLPSEKVISIALDIARGLEYIHLKGIVHRDIKPENILFDGEFCAKVVDFGVACEETYCNLLGDDPGTYRWMAPEMYKHKPYGRKVDVYSFGLLLWELVTGSLPYEDMTPLQAAFAVVNKTWISFQNLRPAIPLSCPAALKLLIEQCWSWNPEKRPEFQQIVSILENFKTALETDGTLHKIPISICQPLECNDQNKKKSGNWIQRLSYVQPDFSGPPPPKLL >Sspon.01G0052890-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1C:27734755:27738017:1 gene:Sspon.01G0052890-1C transcript:Sspon.01G0052890-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLSLSIVELRLKAPKAALGIKDLKIDISKTGGLDPVLNVQVNIIPLFVQALESDSIENNTSVFSKLDWWVSFNMSKLDLKFLPKDHGLSINNEIGSISLRCTRLQPQQDFGVVTTHLRLETDITEIHLLMDGATSVLEVIKVSTVVSANIPSQHCQFKLK >Sspon.08G0016770-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:65916075:65916622:1 gene:Sspon.08G0016770-1T transcript:Sspon.08G0016770-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEARYVKVASRFFLAVGKGNAGGDGCGDHRHFLDACFLCKRDITSDRHIFMYKGDAAFCSDDCRQEQRGMDAALKAARRRHRQLRRTTSLPASSSSAAACTANKAATTGNWNIAV >Sspon.02G0014930-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:38258919:38262410:-1 gene:Sspon.02G0014930-2B transcript:Sspon.02G0014930-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VADVKKVIESMQEQASYPADQQVLIHQGKVLKDDTTLEENQVVENNFLVIMLRQYNCNLSQNKGSSSAAPAKATANQAPPTQTVPAPQTSAAPAAPAPIVPVSAPAATATASAAPAVAVSTEADSYGQAASNLVAGSNLEGTIQSILEMGGGTWDRDTVLRALRAAYNNPERAVEYLYSGIPEQMDVPAPPPSSQPANPVQAAQPAQSAVPSSGPNANPLDLFPQSLPNASANAGAGNLDVLRNNAQFQNLLGLVQANPQILQPLLQELGKQNPQVMQLIQENQAEFMRLINEPLEGDEENEMMLDQMADAAETIAVTPEENEAILRLEGMGFDRALVLEVFFACNKNEQLAANYLLDHMHEFDNDDGLGGPPL >Sspon.02G0038070-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:38638945:38641754:1 gene:Sspon.02G0038070-1T transcript:Sspon.02G0038070-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MERDFLAAIGKEQQHPHKEKAAGAEESAYFGGARAGAAAAPAMDWSFASKPGAAPALMSFRSAAREEPSLPQFSSPFDGAKNPAPRILTHQKSFGPDSTQYAAVHRAQPPQHALNGARVIPVSSPFNHNNPMFRVQSSPSLPNGTAFKQPPFAINNTVASSTVGFYGTRDAVRPKAAQLTIFYAGSVNVFDNVSAEKAQELMFLASRGSLPSSAPVARKPEAPIFAPAKVAVPEVLPAKQMLFQRPQHVSSPPSAISKPIPGILQAATLPRSASSSNLDSPVPKSSVPLAVPPVSQAPASQPATLATTTAAAIMPRAVPQARKASLARFLEKRKERVTTAAPYPSAKSPLESSDTYGSGSANDKSSCTDIALSSNHEESLCLGQPRNISFSQESPSTKLQI >Sspon.07G0019720-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:72996433:72997895:1 gene:Sspon.07G0019720-1A transcript:Sspon.07G0019720-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAPFAQVMEDMAKGQEYATQLQALLRDSPEAGRLVDRILHAMSRTIDTAKAAAAEEEASEVQSDVTCAGTAAGGKRKAAAGGGDKRAACRKRGQQSSVVTKNTKDLDDGHAWRKYGQKEIQNSKHPKAYFRCTHKHDQQCLAQRQVQRRDDDPDVYTVTYIGVHTCRDPATAVGPLVVHAAAQELHHAGSRLISFAANASVATTSTTTTGNTTGQQAGHKDAAALLLARPLKLEGGGEQEEVLSSLTPAPGPDQGDVTSGLQLQQQHCYGGDLADMAAHFGYDDTFWTTLCSDSITDILSSDL >Sspon.01G0010860-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1A:30148920:30151351:-1 gene:Sspon.01G0010860-1A transcript:Sspon.01G0010860-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding KSSEEDMHSVKFAVPLSTYWFSNVDLEALLILTITSSLREYPLGAQGSGSVPFLEEPANEGGELEEILRLVAENGKRKTVPGITLAHVSSNLNPEARSTSLQDAKIASWARSSSRRSPAVAMSRTSASPAAPPAPPISSLAKSISGFRDSPARAGSVAKDERPGETRITVERARSGRPSCFRGEDVGPGARAASVYDDGEARGGGGGGGTRLQRDDVDERGDEEREERGAEAEAAEPAAAARPW >Sspon.04G0016780-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:67427934:67434194:-1 gene:Sspon.04G0016780-3C transcript:Sspon.04G0016780-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDAEADELVAAAAGIICSMRGADLAGWTPPWRKPEPEPAAREGELIWPAVARGKRSRRRSPSAGSSGGKARWGRASPASPLDYSGGSGSGSAASTSGGEDGGGFCSLAHRPVPATKVGAIERPQLITFPTPLPRPSGQRPRKKLRLPEIQQLVRSLAVENENLREEMRDLQRACKALSKENNKLETRLGQSNSQNEITSKEQKGKEQLDQQSVTQSARDSFVLPDLNLPPEDSADVSTVH >Sspon.06G0011770-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:46862003:46863549:1 gene:Sspon.06G0011770-1T transcript:Sspon.06G0011770-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRNKLNDRFLELGSTLEPGKPVKADKAAILSDATRMVIQLRSEAQQLKETNGSLEEKIKELKAEKDELRDEKQKLKLEKESLEHQMKLMTSTPAYMPHPTLLPAPFPQAPLAPFHAQGQAAGQKLMMPFVSYPGYPMWQFMPPSEVDTSKDSEACPPVA >Sspon.08G0003880-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:11060015:11062273:-1 gene:Sspon.08G0003880-1A transcript:Sspon.08G0003880-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSENTGAPLEEEQPQAPPTPNPEPSEVAPGEVEEEPQTLERAQELFDRGAKAIDDEDFVEAVDCLSQALEIRLGFPFPLRFPLHFCDMTSHYGELALECASTYFKYGCALLYKAQEESDFLGNVPKSVPNEESVKSTASKDDSGTSKVSGTNVEDAVSSEKADAEEGQNSNGKDQEKGNGEVEKDDDDDDDVDEKMGDEEDNDLDLSWKMLDIARAIVEKSPENTMEKVKIYSALAEVATER >Sspon.01G0040170-3D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:35413984:35415887:1 gene:Sspon.01G0040170-3D transcript:Sspon.01G0040170-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPLRKTLISVKFVYVSHIFNIVKSLKGGKEMRMDVLVMENLLFERNVTTLYDLKGSTRSRYNPDSNGSDKVLLDQNLIEAMPTSPIFVGNKAKRLLERAVWNDTSFLASIDVMDYSLLVGVDEKRHELVMGIIDFMRQYTWDKHLETWVKASGILGGPKNVSPTVISPKQYKKRFRKAMSAYFLVVPDQWSPLVIIPSKQAESGQDRDSDQVLLTEL >Sspon.04G0024050-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4B:16965452:16965647:1 gene:Sspon.04G0024050-1B transcript:Sspon.04G0024050-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SLYDWIVDHSEYVVEYPELIVEYLEAPVEYPEAIVEYPEAVAEYPSSWTLMKLTSCRNCSRVVS >Sspon.05G0008790-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:26128969:26132446:1 gene:Sspon.05G0008790-1A transcript:Sspon.05G0008790-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKPWGGVGAWALDAERAEEEEREQALSFPAPEPPAAAGGAASFPSLREAAAAGGGKSKKNKKGTTLSLSEFTTYGAAAAQRRAAPVEPKGLTHEEMMMLPTGPRERSAEELDRSRGFRSYGGGGGFGGAGGERRGGFDDEGRRGPGRSSDLDMPSRADEADDWGATKKFTPAPVDSGRRDRFGGPSPLGRADDIDDWSRDKKPLPSRYPSLGSGGGFRDSPGFRDSPAASDSDRWVRGATHVPHNGQGAGERPRIVLNPPKRDPAAAASTPPAEVARNRPSPFGAARPREDVLAEKGVDWRKFENEIEQKTSRPNSSHSSRPNSAHSSRPGSPGSQVSAVGSEGAPRARPKVNPFGDAKPREVVLQEKGKDWRKIDLELEHRAINRPESDEERNLKEEINLLKTSGDGKDLSEKISQLESQLEQLSRELDDKIRFAQRPRSGAGRVATLAPTSLGEEPQATVVDRPRSRGGMEPPPRQEERWGFQGSRERGSFGGSRNSD >Sspon.01G0015410-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:46379002:46382302:1 gene:Sspon.01G0015410-3D transcript:Sspon.01G0015410-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plastidal glycolate/glycerate translocator 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G32080) UniProtKB/Swiss-Prot;Acc:Q9FVQ4] MAATAMVGITNLGCRHDPLSSLPRATSAARSCALPPRRRRCRLLTPRSSCCSPSSSVSPRRVLRPTAALKRIPAGDTSFVGPANNDATTLNRSSSSDLRRRLIVPNSTASTGHATASSGVLPAILGVAHLVVSLGIMLAADKFLKKAFVAAGIKFPSALFGMFCVFSVLVVFDTFVPPLAKAFMNFFEPATMFIKRWLPVFYVPTLVVFPLAITEIPAASALKIFAITFGGWFATLTVAGYTVLAVRNLVRTELIPDTEPVSKPSPFSTSEIWSWTAIFVASFGVAYFNPTALGTTAKTCLPFLLAANVLGYMVGSGLPAGVKKVLHPVISCALSADLAAAAYGYLSGSGFDALVKRHAPEIFTSVAIGATFSLYSTAIIGRLVGLEPSLTISMLPRCITLALALSIVSFFEGVNSSVTAVVVVLTGLLGANFVLAAMEKLGLNDPISRGIATAASAQGLGTAALSAKEPEALPFCAISYSFTGIFGSLICSIPAVRQSLIFIAG >Sspon.08G0027660-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:98605:99270:-1 gene:Sspon.08G0027660-1D transcript:Sspon.08G0027660-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPSVSASHLPITASLRKPKPSSLCPPRLPLAKPLPMAALLALAASPALAADAPALSPTPTPAPAPELQAEAPTPTANPFSSSLLTAPKPFAATSVLPEGAQWWYNEFLSAVKRGKVERVCFTNDGGLLQLTVVDGRRATVVLPNDPDLIDILATNGVDISVSEGESAGPGGFVAFVGNFPFLAFTCLFFLFRRAQGGPGAGPSGPMDFGRSKSKFHEVR >Sspon.01G0021500-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:83327590:83328467:-1 gene:Sspon.01G0021500-1T transcript:Sspon.01G0021500-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAREAACCTAVSMALTSRVLLVLATTFTATVPVCSEKLTLIMVRVRLFAVVVVILPATARAAWVDYPSGVPCGVTIPVEQCDPGDAAANSACMDMCHYGGCAGAAGSACRWGSPGAGAATASARLAGRSSCCVGRQIMQRPAALRQREIGGALLYTSRLVVMLVER >Sspon.04G0020780-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4A:72920354:72924499:1 gene:Sspon.04G0020780-1A transcript:Sspon.04G0020780-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AATAGAACPREGLESHRHNNTSRATASTDRLKKARRAEALGASPSASSVPDCQVRLGALTRCGLGRVCALGASCWRRIEPLGSSAALGLSIGIFSARLAAASNANGHLHQTYPEQTRDTPPPGALIAVGRWLPGKLARAAARSQRDCTACWKEKTRRPRSYFGFDYTYKELIHKVLGAHLLKPSIAPYPESDTRLRIWMPPRRFHAPPLDRGTVMQGAVGDEAVNPTTTT >Sspon.04G0001440-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:5760855:5765068:-1 gene:Sspon.04G0001440-3C transcript:Sspon.04G0001440-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MCVEGLDDAAGRLGFGDQEIDRRAGAAMERVCENTVSVEFKQTKLNTFVPCIRSGEWSDIGSRDYMEDAHVCISDLAKNFGYNSVDDEVISFYGVFDGHNGKDAAHYVRDNLPRVIVEDADFPLELEKVVKRSFVQTDSKFAEKFSHHKGLSSGTTALTAMIFGRSLLVANAGDCRAVLSRRGIAIEMSKDHRPCCINERKRVESLGGYVDDGYLNGQLAVTRALGDWHLEGMKEMGEPGGPLSAEPELKMVTLTKDDEFLIIGSDGIWDFFSSQNAVDFARRKLQDHNDLRLCCREIVDEAIRRGARDNLTAVMVSFHLDAPPQSRANRTRDGRVARSISAEGLHSLRVLLEGQ >Sspon.03G0009910-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:41446310:41456805:-1 gene:Sspon.03G0009910-3C transcript:Sspon.03G0009910-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHDETVVTQNSVKAPSPPKDQPAIYPCLDWSTMQAYYGPGILPPAFFNTGIVPGHVPPPYMWGPQNMPPAAFGKPYAAIYPHAGGFLHPFMPLMVNPLSAEPAKSVNSKDNSLNKKLKEIDGTAVSSGNSEKTSGDYSLEGSSDGNNQKVSGTPKKRSLDDRTTSETCGVSATNDKPGESGRLPTLSNIHIPDAMMKPCVSTGSDFKVSGATSIEWPAKDDKESKRERRKQSNRESARRSRLRKQAETEELARKVELLTAENTSLRSEISKLTESSQKLRMENAALMEKLAGGTSDQAQEASADHPQTATAAPPSARVVKNFLSMMDVEDPSRGGGSGGSRRMEHGAPRLCQLLGSGPLAADAVAAS >Sspon.05G0004560-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:13730250:13733890:1 gene:Sspon.05G0004560-1A transcript:Sspon.05G0004560-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARFQARNDDQRPAADHVGHGNFMDHHLKNTLNSGDMDLPGGARAPKARKPYTISKQRQKWTEDEHKLFLEALQQHGRAWRRIQEHIGCKTAVQIRSHAQKFFSKVIRESSGDSNSIAAPPQIQIPPPRPKRRPTHPYPRKLGNSVRKDASAIKQLQKPQLQTQSLSLSEQENCSPKSVLSTAQIGSETLQTEGSGSPASSVYMEDKCLTPSTSVALSKDATTSNDAACEIPEGPVLRLFGKRVVVNNLDQQPISNIGSQQHAADMELDASAETPTSGTGKLSSQVTEEAKTWCPWLTGTQQFMYYIPQGEVFSVHSACQFLNYGNGSISYIVLNAQTVTSNKQQNQPSQVPDCKVTRAEGSWTESNTTSNSMPETTQNSDSVESTKVNNDEDKVIHVSGSRKCASTVPACLRGFVPYKKCTTQSKMLQSEAPGEEADREMTRLCL >Sspon.05G0007980-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:24682688:24685234:-1 gene:Sspon.05G0007980-1A transcript:Sspon.05G0007980-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSGTRSVRLLLLSLLGFCVALSHQESSSSTDSCATAKVAVASLVPFDSTSFRCNAAWKQQDFVLRYKNTGPSEWSFILSAPDKGSYVAVGFSGKGAMVGSSAVVGWASNGRGTVKQYYLGGKSPEDCAPNKGLLKLVRNRSVVVSRSGRLYLAFQLSTDYPQPYLIFAVGPDGNLPQSDSLRLPMHQSMASRSFNYTSGMSSNTAGSGGDAAFPTERKHGLLGMMGWGVLMPIGMITARYFRQLDPCWFYTHMAIQVSGYAVGIAGVVLGFRLNEDGLKNVDVHKALGIAILAMASLQVLAILARPDKTSKVRRFWNWYHHNIGRATILLAIGNIFLGLSIAQEISAYIVSYGVFVAVWVVAVAAFEMKRCYADDD >Sspon.05G0010970-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:31411839:31414766:-1 gene:Sspon.05G0010970-1A transcript:Sspon.05G0010970-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSQGGFGTGSPKSFRYPRGGDFDLESGSPRKGRKPKNPHLETSIVMRVRYFYEAHPVAVALILLSFGLSVLVLLSVYETRFRAMRSVGAWSSDGGEYPFPKLRNLVMVAGHSIYTSSRCGETESENSWFLEPYQKHPGQAATFLAHIKEGVGIAARDEGALLLFSGGETRRDAGPRSEAQSYWAIAESKGWFGNDESVRSRALTEEHARDSFENLLFSVCRFRELTGRYPQNITVVSYDFKEERFAQLHRTALGFPEGRFFFTGTPATPSAREAALKGEAAVRSQFLEDPYGCLGSLHVKRLRRDPFHRTIPYPDGCPELKGLFSYCGPVPYSGHLPWTDALFSTS >Sspon.03G0012700-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3B:44991731:44992371:-1 gene:Sspon.03G0012700-2B transcript:Sspon.03G0012700-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRARARPRGRGPNTHTHTHTQKLLEADPAIPFPAAIRDRHGLPLRLPLLLRRRGRCQVAAIPALLAASIPGGFLIDLFSWFHACFLRGQRSGSSLSLGERLCAVFFPFIAIAEAVFFAFTDCLADLCPDSGSSASGAGGSSSAAKNSRSDHHHTTTDLSYAAPLRVDGPPSTSASSLASPTSPDAVKKIDPPTPTFSSSLVSPPLSRNAVSS >Sspon.04G0008710-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:25946614:25950967:-1 gene:Sspon.04G0008710-3C transcript:Sspon.04G0008710-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRFLPVGGGGGEPSSSSSSGGQHQQQGGLAGQRAAAGLRYRGGDISLGHEHAHDDGHRHLDRQTTDGSMDMLARHSSSPVGFFSNLVVDNGYPRSNKAGGSGGGEGQRDPSTANNSSGSSSGGRKMKPSEFNFTGGAQQGQQGGAAGHLSRISEDGAFPAGIIGDRAAGGRGSGESSSGGATAARSYSGGFSIVGPWEESRDIITTLGAYDPQFSGAMAGTTLEMAGMDRYMQLQQDQVPFKVRAKRGCATHPRSIAER >Sspon.01G0022690-3D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:80021244:80025373:-1 gene:Sspon.01G0022690-3D transcript:Sspon.01G0022690-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVSTVEDINQILMKGLSNRKVGTTSMNLKSSRSHVIFTCIIEAWSKGSSNGFSSSRTSRITFVDLAGPDTDELDGAAKHSTKEERHLKKSLSRLGCRSGTLSTLRFGERAKLMPNKPVINEISEDDVNCLSDQIRQLKDELIRTKSGDTTTCKAGYFSAQSARESLHTLRVSLNRSLILPHIEVDSEEEMDVDEEDVQELRDQISKLHSSSEDTFDDFMDAESGDENTPCSMGRSGEDDQVIIDDFEGPQQEEHKEVSNNTNANEDLGSDRKSSLSINASPRLSPIQDPTLCSSPKIHNKARKSITSPGLSPSKLRVSGSPGDRNVEVCRNSAVRSSLQSSKLSPTDSLAASLQRGLHIIEYHQQNPAPRKSFIGLSFDHFAVNPRQSTAKFSSVAQALPEDHGSNLCSSCKKPINENQTENVNSDKQIVLALGAGSNESASASIKEGNITKEIASKRETELEALCEEQAAKIKELSTLIDQYKNRSEDGPDSNSIAPAEELTSEGKVSEQCHDSKVSLDVNEREALLAEIESLQKQLKNQTTVSTTDSLLDQLRNGSTDQEYELDKERQKWMESESKWISLTEELRVDLESNRMHAEKTEMELSNEKKCTAELDDALQRAMYGHARMVEHYVELQELYNDLLEKHRRVMEAISEVKRAAAKAGRKGCGTAFAAALAAELSTVRIDREKERERTATLLQENEKLKKQLEKLRKKHEMELETMKVHLAESRLPESALGSFYHHENERTPEYSCDAPLTHDDDQSWRSAFASAYSD >Sspon.05G0030090-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:81163835:81164056:1 gene:Sspon.05G0030090-1P transcript:Sspon.05G0030090-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MIEVVLNDRLGKKVRVKCNEDDTIGDLKKLVAAQTGTRPEKIRIQKWYNIYKDHITLKDYEVHDGMGLELYYN >Sspon.08G0003450-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:7259366:7260727:-1 gene:Sspon.08G0003450-4D transcript:Sspon.08G0003450-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDPLIPLVHVWNNAAFDHASSSAWHAHSPARASAGREAEGDKENHRPDPEPDVEAEIGHIEAEILRLSSRLHHLRTSKQSEPPKRGEVAPATATVNPNPLTTDKQQQQRRAAQGLKPIKQAPAAARGRGVSLGPLDIVAANPRVPSAAAAAGTGPQRKIQGEGGAARPILRPIKEPPVQRRRGVSLGPLEIHHGVGSKPGAAAARVKPFTNKLGAVREEVQRSKQHAVPARPWPSSNARQGTAASKAKARSGSMSPSRSRRQSTSKATETRAGNAKATEAARGGNAAPVVNKVADELKPKGVVVVNHTSNAATAKRPAGSSKVRVVPSRYSITPGSSLAAVSQDKRSKQSLPGPASAASQREEIRAKLTEPSNDELSPETVAKVAELLPRIKTMPPSDESPRDSGCAKRVADLVGKRSFFTAAAENGNLVTPYQARVVELESSEAAAAAET >Sspon.06G0006460-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6A:23275037:23275681:1 gene:Sspon.06G0006460-1A transcript:Sspon.06G0006460-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAYNAGDGRSSSTEEMSDQQNHSENSLSERRSSQQVENGVPSTSASYSDTDDDDCGSGYAPTPINRLVMCPNECKRDRDRARYAAMSTEQRVLQNKRRRELYATENTPKNTRMMLQMTPKETA >Sspon.07G0022540-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:9065744:9071840:1 gene:Sspon.07G0022540-1B transcript:Sspon.07G0022540-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPEASSAAAGGGGRLRNAFGGVLCAFTLLLIGVVAFSIRLFSVIKYESVIHEFDPYFNFRVTQFLSKNGIYEFWNWFDDRTWYPLGRVIGGTVYPGLTLTAGTIWWLLNSLNIPLSVETVCVFTAPIFSASASWATYLLTKEAKGTGAGLMAAAILAMVPSYISRSVAGSYDNEAVAIFALIFTFYLYVKTLNTGSLFYATLNALSYFYMVCSWGGYTFIINLIPMHVLLCIVTGRYSSRLYIAYAPLVILGTLLAALVPVVGFNAVMTSEHFASFLVFIILHVVALVYYIKGLLTPRLFKVAMTLVISVGLAVCFAVVAILVALVASSPTKGWSGRSLSLLDPTYASKYIPIIASSCFLPLSDASSFMVLYLVTAVYFSGVMVRLMLVLAPAACILSGIALSEVFSVLTRSIKFQLSKFFDDSPTSSGDSSPESSTNSTKNENRNEKSETAPKEKLSKKNRKKEKEAAESVPVKPKKEKRLLVLPFELSVMGILLLIVLGGFYVVHCVWAAAEAYSAPSIVLTSRSRDGLHVFDDFREAYAWLSHNTDVDDKVASWWDYGYQTTAMANRTVIVDNNTWNNTHIATVGTAMSSPEKAAWEIFNSLDVKYVLVVFGGLVGYPSDDINKFLWMVRIGGGVFPHIKEPDYLRDGQYRVDAQATPTMLNCLMYKLCYYRFVETDGKGFDRVRGYEIGKKHFKLTHFEEVFTTHHWMVRIYKLKPQKNRVRGKLKKLKASAKTSSTLAAGQKKNPWQ >Sspon.07G0002070-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:3623756:3633942:-1 gene:Sspon.07G0002070-2C transcript:Sspon.07G0002070-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to Urease accessory protein G [Source: Projected from Oryza sativa (Os05g0565200)] MASHDHHHSHSHDHHHSHGCARVCHRSSYAISIAVSTSDSTHAWDGGDHAAGGSWVGEDGRVWHSHDGLAPHSHEPIYSPGDFTKRAPPLTSRNFADRAFTVGIGGPVGTGKTALMLALCRFLRDKYSLAAVTNDIFTKEDGEFLIKHGALPEERIRAVETGGCPHAAIREDISINLGPLEELSNLYKADLLLCESGGDNLAANFSRELADYIIYIIDVSGGDKIPRKGGPGITQADLLVINKTDLAPAVGADLAVMERDALRMREGGPFVFAQVKHGVGVEEIVNQILQAWEIATETWSLKIPIWSTPLGNIAAKPRIE >Sspon.07G0005350-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:8987340:8996330:-1 gene:Sspon.07G0005350-3C transcript:Sspon.07G0005350-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAFLQRLKRLDAYPKVNEDFYKRTLSGGIVTLVAAVVMLLLFISETTTETKLVVDTSRGERLRVNRHDIEKRRLDSHGNVIEARKEGIGGAKIERPLQKHGGRLDKGEQYCGTCYGAEECAREDFVERVKTQQDEGCNVHGFLDVSKVAGNFHFAPGKGFYESNIDVPELSVLEGGFNLYGLMVHKELTEFPVQVVPTIYTDIRGHNIHSNQFSVTEHFTDGNVRLKPQPGVFFFYDFSPIKVIFTEENRSLLHYLTNLCAIVGATISMSATNKFGLHDPQVRVSGSHHFSPKKRKTFGSPFLRRTPSSVPTSPEGSSIRRFLMCVKFLSTCAGECCESCGNNGLPVPLGLRMLWNGLMIVRSISFRKCEKMFRGFGEDRLSVSSASPSTATTRSILTYTSWTRGTSPQSLLDTA >Sspon.04G0009390-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:27797504:27802196:1 gene:Sspon.04G0009390-1A transcript:Sspon.04G0009390-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo repeat-containing protein LFR [Source:Projected from Arabidopsis thaliana (AT3G22990) UniProtKB/Swiss-Prot;Acc:Q9LS90] MQKQTGKSGGTGGGTPAKRGRPFGSTTGGGAAAAAAAGAVVDPGASAALVGPSLQVLSALSDQNNKRIVLALQSGLKSEILWALNALTVLSFKEKDDQRRDTTPLAKVPGLLDALLQVIDEWRDISMPKDHMKPPRVRTLGANTTLSGFGQENMEKVYSDTATTSNNDQSKMEDSSVTKKRAASFCFDEDGLFNNDDEGRAERQQCAIAASNIIRNFSFMPENEIIMVQHRHCLETVFHCLEDQNREDDELITNMVETLVNLAPVLDLRIFSSSKPSFIKMTEKGAVHAIMGMLSSSVKPWHCAAAELIGRLIINPDNEPFLLPVIPQIYKRLVDLLSVPAYDAQAAAVSALHNVAEVNMDCRLKLASERWAVDRLLKIVKTPHPVPEVCRKTSMILESLVSEPQNRMHLLVHENTFAEILTSEGKYSDTFARILYELTARPSNKVTSGQAIWGNIN >Sspon.07G0019440-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:74357538:74358240:-1 gene:Sspon.07G0019440-1T transcript:Sspon.07G0019440-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSPCCEKAHTNKGAWTKEEDQRLVAYIKAHGEGCWRSLPKAAGLLRCGKSCRLRWINYLRPDLKRGNFTQEEDELIIKLHQILGNKWSLIAAQLPGRTDNEIKNYWNTHIKRKLIARGIDPRTHQPASAAAVAPAPAAAAAPSSHRHHADDKAVLVRSSCGSRDSTSGSVPHQLGLGGGIDLNLSLSPPTSQPSSPAAAN >Sspon.05G0030660-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:92164349:92166932:1 gene:Sspon.05G0030660-1B transcript:Sspon.05G0030660-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SWR1 complex subunit 6 [Source:Projected from Arabidopsis thaliana (AT5G37055) UniProtKB/Swiss-Prot;Acc:Q9FHW2] MATRMASALASSDNRAQAALARLEALESDNAGVEVVDLNDDEYGSTDEEDPVMMQKKQSKIMKRKTRQGKALEKRVARSFMDVLQEANLESLPPHVPTYLRAAVGPPSTSSRRHYCSVCGSSANYTCVRCGTRFCSCRCQVEWVGK >Sspon.02G0059580-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:95852416:95853040:-1 gene:Sspon.02G0059580-1D transcript:Sspon.02G0059580-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGWFLQDASSSHVIVMKLMFMVMSLHLPPVSWIVARRTLCTSNTLAPQLPWPYILAPLHTTPYCS >Sspon.03G0027840-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3B:6950385:6961243:-1 gene:Sspon.03G0027840-1B transcript:Sspon.03G0027840-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIMIKGGVWKNTEDEILKAAVMKYGKNQWARISSLLVRKSAKQCKARWYEWLDPSIKKTEWTREEDEKLLHLAKLMPTQWRTIAPIVGRTPSQCLERYEKLLDAACAKDENYEANDDPRKLRPGEIDPNPESKPARPDPVDMDEDEKEMLSEARARLANTRGKKAKRKAREKQLEEARRLASLQKRRELKVAGIDTRHRKRKRKGIDYNAEIPFEKRPPSGFYDTVGEDRPLEHVQFPTTIEELEGKRRADIEAQLRKQDIARNKILQRQDAPAAIMQANKLNDPEAVTKRSKLMLPPPQISDHELEEIAKMGNAGDPALADELGEGSTATRTLLASYSQTPRLGMTPLRTPQRTPAGKGDAIMMEAENLARLRESQTPLLGGDNPELHPSDFSGVTPRKKEIQTPNPMATPLASPGPGITPRIGMTPSREGHSFGLTPRGTPFRDELRINEEVEMQDSTKLELRRQAELRKSLRSGFASIPQPKNEYQIVMPPITEDEKEEAEEKIEEDMSDRLARERAEEQAKLEALLRKRSQVLQRSLPRPPAASVWQFLIRSGESRSRSTFVPPTSLEQADELLNEELFRLLEHDNAKYPLDEKTQKEKKKGSKRQQNGGPLVPEIDDFDEDELKEPRPARAFSGRRARAAAPAPTAAARPPPAAARAPSVPIPMVAPSSGGASAAAAATKLRDEALATAKALEVEAASLRDSNQARSIQLQEEADLLKSAAAAQERVRAAAAALDLEREQANLLEQQATALRARLHPEHHRDDDSEGDADSISTETAAVAHLHSQAASVQNIKNLIPIVLDLQASNYSKWRGYILLILGRFALKDHVLSDAIHPHDAAWSRMDCVVVSWIFNTIAPDLLDVVHERDGITARAAWLGLEQQFFNNRESRAMLLDAEFRTLCQGALSVDEYCRKMKNMADALADLGEPVLDRTLVLNVLRGLNERFQFMSQLVTRQKPFPSFVDVRADLRLAELNMASPSAPPSALVTAPSSKTPAPSPAPQRPPQPAGGQQLGHTHAPPRHPQATGGQQSGNGNNRGRRRRGGRGQGGAQAGTQAGPLSGQQWPSFFNPWTGSIQMWPGSNPGGSRGPPPHVPPPPPPQQALMAGAPPGYFAPPPVPGAYYQAPTSTWSSSPWTTEGLANAFSTVTLTPPSSTSDWVFDSGASSHIAGTPGMVTMSPTSSFPSSIVVGNGATLPVVGTGYSTLPGPFRLNNVLIAPDIIKNLLSIRQFTTDNLVSVEFDPLGVSVKDLHTRNLLLRCDSSGPLYTLQLPTSPSGSCALVATPSSTTWHHRLGHPGKATLQTLAKSSSIICSKPDDDTLCHACQLGRHVRLPFTNSLSRATKNFDLIHCDLWTSPIVSVSGFKYYLVIIDDCSHFVWTFPLKLKSDTFDALSNFFAYVLTQFGCTIKSVQCDNGREFDNSASRAFFSAKGVTLRMSCPYTSQQNGKAERMLRTVNNVTRTLLFQASMPPTYWADALATATLLINRLPTKTLNMSTPFFALHGTLPSYHDLRAFGCTCYPNLTATTPHKLAPRSSLCVFIGYSPDHKGYRCLDLATNRVIISRHVVFDETTFPFSLRRPSPPTHELDFLTNDDSSSVLLPPAGTPWAAGPLPARVPSQPVPTLGAPALRQPPSGFVPRPPASSPARPVQAPMAPSGQATTSSAPMAPSAEAPPALSAPVPTGPSIQAPVVAPPVQLPPAPSFNKPPVIHVYTRRPPAPSSPPASTVGPPPRRSNMIPSPPRFVKSDPPLPTGAVPIPPVANTHGMATRGKSGYRQPRLALHTEALSPLPRSCRDALADPHWRRAMEEEYAALQDNNTWDLVPRPAKANVVTGKWIFKHKFHADGSLDRYKARWVLRGFTQRPGVDYDETFSPVVKPATVRTVLTLAHSRDWPIHQLDVKNAFLHGTLSETVYCSQPTGFADPALPGHVCKLNRSLYGLKQAPRAWYSRFASFLLSLGFSEAKSDTSLFILRRGTETVYLLLYVDDIVLTASSEQLLHRLIAALKNEFAMKDLGPLHHFLGVAVQRHKDHLTLSQRQYILDILARHGMSDCKPCSTPVDTCAKVPADAGPPVADPTAYRSLAGALQYLTFTRPDIAYAVQQICLHMHDPREVHLVAAKRILRYLQGTLSFGLIIPRSAPTQLVVYTDADWAGCPDTRRSTSGYAVFLGGSLISWSSKRQPTVSRSSAEAEYRAVANGVAEASWLQQLLQELHHPLQTASLVYCDNVSAVYLSSNPIQHQRTKHVEIDLHFVRERVAIGAVRVLHVPTTSQFADVFTKGLPSSVFMDFRSNLNVRSTE >Sspon.01G0029440-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:111699954:111704302:1 gene:Sspon.01G0029440-2B transcript:Sspon.01G0029440-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSADLADDGFAAPRLFSQGVSYTYDDVIFLPGYIGFPADAVDLSTRLSRRVPLSVPCVASPMDTVSEAAMAAAMASLGAAAVVHCNTEPHAQAAIVRAAKSRRLPFVSSVPFFSPSSAPTLNDFAGNEYALVTEHGDSLSRLVGVAVAADAASREVPVAVSEYMRPTPRSVSASFDFERAAAFLADEGLDYAPLVSDDGEVVDLITARDVERIRSYPKLGKPSLGADGKFVVAASIGTREDDKGRLEQLVKAGANAIVIDSSQGNSVYQLDMIKFAKKTYPEVDLIGGNVVTIAQAQNLIQAGADGLRVGMGSGSICTTQEVCAVGRGQATAVYKVSSYAKDHSVPVIADGGISNSGHIVKALSLGASTVMMGSFLAGSHEAPGTYEYKDGRRVKKYRGMGSLEAMTKGSDARYLGDTLKLKVAQGVVGAVADKGSVLRFIPYTMQAVKQGFQDLGADSLQSAHDLLRSETLRLEVRTGAAQVEGGIHGLVSYEKKAF >Sspon.05G0014220-4D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5D:50481851:50483655:1 gene:Sspon.05G0014220-4D transcript:Sspon.05G0014220-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ALFTAKCEHKASEDSKQWGARAPPAPPRLPPLLPAEAAAHTCCSCPALAHHTNPLLQFGRRLAYHGLLPTLVTSRYVLSTTPPPGEPFRVAAISDGFDGGGMAACPDLDEYQRQLEAVGSETLAELIRSEAAEGRPVRVLVYDPHLPWARRVAKAAGVAAAAFLSQPCAVDVVYGEVWAGRLPLPVVDGKELFVRGLLGVELGPDDVPPFTAKPDYYPVFLEVSVRQFEGLEDADDVLVNSFHKIEPKEADYMALTWHAKTIGPTLPSFYLDDDRLPLNKTYGFNLFNSSESCLDWLDKQLPCSVVLVSYGTVSVYDEAQLEELGNGLCNSGKPFIWVVRSNEEHKLSNELRDKCKERGLIVSWCPQLEVLAHKATGCFFTHCGWNSTLEAIANGVPMVAIPHWADQPTISKYMESMWGLGVRVHKDEKGLVTRDEVERCIKDVMDGDRKDKYRMNATMWMQKAKEAMQNGGSSDKNITEFAAKYSSN >Sspon.02G0048650-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:27468954:27469862:-1 gene:Sspon.02G0048650-1C transcript:Sspon.02G0048650-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVCSSSSHYCHCSPLNLLQSIIVLVFCQHTTHRSAYQPQKPSAEQPFESPYEMIERRWRGKYWPIVEEGLGTQIKLGAVVQQSSSGSTADRV >Sspon.03G0004120-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:28687266:28689178:-1 gene:Sspon.03G0004120-3C transcript:Sspon.03G0004120-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRRPPLGDIGNLVTVRPAEGKPQPQEQQVNRPITRSFGAQLVKNAQANAAIKNAAILPARHALRQDRKAPAKLPPPEDVIVLSSDSEQSRTQSESSASSVRSRRKAINTLSSVLSARSKAACGIADKPRQVIEDIDKLDVNDELAVVEYIEDIYTFYKIAQHERRPCDYSEAQVEINAKMRAILVDWIIEVHHKFELMPETLYLTMYIIDQYLSLQPVLRRELQLVGVSAMLIACKYEEIWAPEVNDFILISDSAYSREQILSMEKGILNRLEWNLTVPTVYMFLVRFLKAATLGNKVEKEMENMVFFFAELALMQYDLVTRLPSLVAASAVYAARLTLKRAPLWTDTLKHHTGFRESEAELIECTKMLVSAHSTAPESKLRVVYKKYSSEQFGGVALRPPAMEICK >Sspon.04G0008790-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:25629850:25632439:1 gene:Sspon.04G0008790-1A transcript:Sspon.04G0008790-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYEISEIKILIVSILFPMFQCEEIGIGLVGFGILFSFLGVILFFDRGLLALGNIFFLTGVGLLLGWQSMWQLFTKKANLKGSVPFFFGLFLLFVRWPVAGMIMELYGSFVLFSGYGPPIQAFLYQIPIIGWILQYPFQKLLDALLKQEDLCGQSDRT >Sspon.05G0006130-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:13336872:13340054:-1 gene:Sspon.05G0006130-2B transcript:Sspon.05G0006130-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLIKGLVHVAIDAVEDAVRERGHGLGGDDDGEAPRRAAPQRADPDADGEEERDERSRSTWAEVVSEQKGSDPDERRDHRNSGRDKRHERREDEGWKRVDGRNQPQHPAGRQNQCEGEERWGGGSRRPQQQQAQGYGDQQQEEGRMNDGGWQTVGEKKHHGRPQQSEAWNGYRKPPSEQKYSEDVGQIHQGLNVEPTREELNSLSKACSRLWELDMNRLVPGKDYRIDCGEGKKVYQKGDMASESLFSWLGDDVLRKPTYSRFCALLDNYNPHQGYKEVVTQQDKHEEVAFIEEIARTAPIKYLHRYLVQKGAVPQDYEDFKRVLTSLWFDLYGRGGTSSCSSAFEHVFVGEIKGRGQGENEVSGFHNWIQASSRNVTKFYLEEAKGNVDYQGYIFPRRRGESPDSETQLLTVQFEWYGVLKSVSSTLLGVSPEFEVALYTLCFFVGGEDNRVDIGPYSVNIKCYRLGNNKIGSAFPIAEN >Sspon.01G0014340-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:41833250:41841489:1 gene:Sspon.01G0014340-2C transcript:Sspon.01G0014340-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRRVRRRTCREREGRVVRGASRVVSAGPEGEEDAAAAVEVDWRALPDDTVLQLFARLNYRDRASMASACRAWRALGSSPCLWSTLDLRAHRYDREVASSLASRCGSLRRLRLRGHEAAEAVPGLRARGLREVVADGCRGLTDATLAVLAARHEDLQSLQIGPDPLESISSDALRHVALCCSQLRRLRLSGLREADAVAVGALARCCPLLEDVAFLDCGTVDEAALAGIHSLRFLSVAGCRNLKWATASTSWTQLPSLIALDVSRTDVPPSAVSRLISHAKTLKLICALNCMSVEEEQLHNHAVFSNSKGKVVLTINSDFKTFETMFPVVDVKEHEVFNQCNWSHKDKIAGDTMTWIEWILSQSLLRIAESNPQGMDGFWLQKGTALLLRLLKSLQEDVQERAATALATFVVMDDESANVDPARSEAVMQNGGIRMLLDLARCSRESAQSEAAKAIANLSVNTKVAKAVADEGGITILTNLAKSMNRLVAEEAAGGLWNLSVGEDHKAAIAVSGGIKALVDLIFRWPAGTDGVLERAAGALANLAADDKCSLEVAKAGGVHALVTLARSCKLDGVLEQEAGALEALVQLTGSQNEGVRQEAAGALWNLSFDDRNREAIAAVGGVEALVALVQQCLNASEGLQERAAGALWGLSVSEANSIAIGQGGGVAPLLTLARSEVEDVHETAAGALWNLAFYYGNALRIVEEGGVPVLVKICSSSGSKMARFMSALALAYMFDGRMDEVALVGASSDSSSKSVNVEGARRIAFKHIETFVLTFSDPQMFSMAAASSAPAALSHVAEAVFIHEAGHLRCSRSEIGRFVAMLRNPSPILRACAAFALLQFTIPGGRHAVHHAGLLQEAGAGRVLRAAAAATTASIEAKIFARIVLRNLEHHQSGTST >Sspon.04G0021820-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4B:1519065:1519355:-1 gene:Sspon.04G0021820-1B transcript:Sspon.04G0021820-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding QREATIVYATHIFDGLETWATDFAYIQEGELRRSGRYSDIEELKSAKNLLSVVESWLRSETKLPKKELPRPETQTRRSSPLDASPFRSSRHMAYYR >Sspon.04G0004170-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:12652803:12655033:1 gene:Sspon.04G0004170-1A transcript:Sspon.04G0004170-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MREQQGSGDVAGRRGGVIRSLLGVERRLAEGDADAEGLVGVSSGKQPAAADSGGEERKAVVRVVAADMPPALQRRAFRCACDELAAMPHFPRRLEPKRLALALKKEFDTAYGPAWHCIVGTSFGSYVTHARGGFLYFSVDKVYILLFRTAVEPSPH >Sspon.01G0027330-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:120185985:120191585:1 gene:Sspon.01G0027330-2B transcript:Sspon.01G0027330-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSENIDLVLEFLRKNRFAKAEAALRGELTGRSNSNGMTTQRRVAELKEDEEQEDLVGSNVGPKGAATARSADSSREFIVKEIDVGALPNGSDGKKGSGIGQPQENNVGDLYPWTFSIANSTAEQLAELLVSEEVPRHRRGAMLAEKRDRGVGTEQPGPVLEQKVSFGRGKGKVDAAGRTEINEQGHSRDKTLVPEKEEMLNGCTVKTVLPFPAENPSSSYNSTHHENERKEVKRSTNADGVGKGTKGQLDEGNRLYYFEKSLDTDQVSDRCFDLQLVGDNQREELPKLPPVRLKSEDKLVNMNWEEKINHHGSGAKQSSADHGFMIGSYLDVPIGQEITSSEDTSDLVSGFATLGDDSLEYPIGEYWDSDEYDDDDDVGYTRQPIEDETWFLAHEIDYPSDNEKATGHTRGTDRHDRPTKDEDDDQSFVEEDSYISGEQYFHGKNIAQIGTSEGPMVHGIPDNDMIAQYDGQLLDPEELNLMHSEPAWQGFVSQNSELGMLGNGKFLNDSERPHPDDPFVEDDQHGSVRSIGVGISSDAADIGSEVRESLIGGSSEGDIEYFNESSLSVSGKRHSQQDTEKKRVGAKGVKHDQIIYAEKGNLPPGVADGGFSFPPPLHSGKNHDSDAKSLWSKKDEMYCINDPDDCQNGIVSDDMLATWRKKNSESSLRSSRDEMTSDVVRSRNSSASYDETEDTTNVRHHKLDDAQEEDTGTNLDDEEAAALQEQVRQIKAQEEEFETFNLKIVHRKNRIALLELIY >Sspon.06G0008690-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:45961398:45962545:1 gene:Sspon.06G0008690-1A transcript:Sspon.06G0008690-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPLPSSCSHARMAVAVALLLMTTAAPAAVALLKDDIEFMWGPDHSFFYDDGNTLALCLEKTHGSGFRSKGAYLYARYDIDVKLVANNSAGTVTTVYLTTDDVRPGYHDEVDMEFLGNVTGEPYTLHTNIFVDSVGNREQQFRLWFDPTKDFHTYSVEWTPKHIIFLIDGTPIRVFKHKSSRGGTFPTQRHMRLDGSLWNADDWATQGGRVKTDWTHAPFYAYYRNLRVTPCAPSSSPGVALCSEEPPAPAALQKVRAEHLLYDYCEDQNRFKDTGLPKECTAD >Sspon.02G0018140-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:57818301:57820625:1 gene:Sspon.02G0018140-1A transcript:Sspon.02G0018140-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCAAAVRSSPALPPASRLRLFLFSALTPRLRSPLLHSRAVVPILLATDADAAMYDAIADAQAAGLQPPAAAFEALIFAHASAGRHHEAVEAFSRMEGEFGCRPTTFVYNAVLRVLVASGGVVPLALALYNRMVAAGCLPNRATYNVLMDGLCKRGTAVDALKLFDEMLQRGITPNVKTHTILLSSMCNAGQLKEAENLLHSMEDKGCPPDEVTYNAFLSGLCKAGRVDEAIERLEALRRTGTFVLGLKGFSCLIDGLFLAGRYEEGFQCYMEVLEQADFSPDIVLYTIMIRGCAEAGRTNDAFAFFDEMKEKGFTPDTFCYNTLLKALCDACDLDGARSLMSEMAQNNVVLDTNTHTTMIHGLCKKQLVDEAMQVFDGMVEVGCHPTVMTYNVLIDGLYRAHRLEEARMLFYKMEVGNNPSLFLRLTLGANQVRDSESLQKLVDSMCQSGQVLKAYKLLRGIIDSGVVPDVVTYNTLLNGLCKVRNLDGALRLFRELQVKGFSLDEITYGTLIDSLLRAHRYNDAMTLFQDILHSGGIPSLSIYNSIMRSLCRMNKLSQAINLWFDHLPKKYNLPAQDEVIASARKKFEEGSLDEAVRELIKIDQEYGSVNSCPYTIWLIGLIQARRIDDALKIFHILEEFGIDITPACCAHLSKYLCWERNLDSAVDVMLYTLNKRFIMSRHVGNRLLSSLCIRHRRKDAQALAWRMHLVGYDMDAYLRESTKGNLKVGFDSLSS >Sspon.02G0046600-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:5201587:5204256:-1 gene:Sspon.02G0046600-1C transcript:Sspon.02G0046600-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding PIEIQLGCICRFMERWSLGPSVVAFVLLLSAASHGRELPVKNSDHSFIYNHTLAKTLVEYASAVYMTDLTALFTWTCSRCNDLTQGFEMRSLIVDVENCLQAFVGVAHNLNSIIVAIRGTQENSVQNWVKDLIWKQLDLSYPNMPNAKVNANEVSIIFSLEKKKKLKVLFICFVFLWLKVHSGFFSSYNNTILRLAITSAVNKARKSYGDINVIVTGHSMGGAMASFCALDLAMKLGSDSVQLMTFGQPRVGNAAFASCFAKYVPNTIRVTHGHDIVPHLPPYFSFLPRLTYHHFPREVWVQDSDGNITERICDDSGEDPDCCRCLSMFSLRIQDHFTYLGVDMEADDWSTCRIITAQSVRQFRQELASNIIMTKHDIDVSIVEPS >Sspon.06G0031600-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:69505548:69506309:-1 gene:Sspon.06G0031600-1C transcript:Sspon.06G0031600-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LVALYLGYNSLTGRLPSNVCKGGRLKRFNADHNMFDGPIPRSLKTCTNLIRVLLGWNQLIGDISQGFGIHPHLQLMNLMSNRLTGELSPNWGACSNLAVLNVAENYISGAIPPELSGLSKLGVLSLQSNQISGRIPLELGNLANLYNLSLASNQLSGPIPSQLGRLRNLEYLDISGNNLSGPIPKLDGRLAFEDIIRATENFDDKYIIGEGGYGKVYKAQLQDGQLVAVKRLHSTEE >Sspon.08G0005970-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:15771924:15783232:-1 gene:Sspon.08G0005970-4D transcript:Sspon.08G0005970-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:MLO-like protein 13 [Source:Projected from Arabidopsis thaliana (AT4G24250) UniProtKB/Swiss-Prot;Acc:Q94KB2] LAFTPTWVVAIVCLVIVSISLAAERSLHYLGKYLKHKKQKALFSALQRLKEELMLLGFISFVLSLSQGFIVSICIPETSTGFMLPCKRENHRVAEEGAKICKKKVRVLSYFHILNDSIMLDNKVFYHFKQCKVVLCKNVFKNEHDESNRLALFQGDVPLLSLEALHQLHIFIFVLGLVHVVFCAATILFGGAKTSFLKQFHDSVSKSDYEALRSAFVVIHYPQKPDFDFHKYMIRAVEHEFKRVVVSALTYCWCQTRAHYHSVGSRGSSIIINDTKEAPKIKPSKDHFWFHKPELVLHLIHFILFQNSFEIGFFFWVL >Sspon.02G0018500-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:55553155:55553814:-1 gene:Sspon.02G0018500-2D transcript:Sspon.02G0018500-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GESKEIIDDGSKWINVRKGTCCICCETPIDSLLYRCGHMCTCSKCANELVRGGGKCPLCRAPIIEVIRAYFIM >Sspon.07G0023970-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:20899459:20902207:-1 gene:Sspon.07G0023970-1B transcript:Sspon.07G0023970-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPSLPFPSPLHITRPAMQLLVRNPASSSGGCAFLPAAAALRPPSATLSWRRPGCSRRSKRYLSLPRASTDGSGSGAAAAEASTVGDTLEREDGGGGTEAGASAESSNRKQPPPVDPKIEKELKKAVQKTAATFAPRASTKTKNPAVPGTALYTVFEVQGYVSMLLGGALSFNLIFPSNEPDIWSYTFTSGS >Sspon.03G0039010-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:8929916:8932459:1 gene:Sspon.03G0039010-1C transcript:Sspon.03G0039010-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLVAYDASSDEEDAGEPPAAEAPQPAPIGLQPRPPSPSTAVGAAHRPTPPPPAASQSVAPINSSNVSLPAPSLDLPDVADLFAAPADNASRKRESNGSALHDSCSKIPRMQSQPRGIRSAAGNTLIPPQLRGRSNVVTEDMSKFFVAKRKE >Sspon.07G0008800-1P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7C:20081722:20085211:-1 gene:Sspon.07G0008800-1P transcript:Sspon.07G0008800-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding PVAAGYQFRLVSYNILAQVYVKSSFFPHSPSACLNAELVQKEGIHYNDL >Sspon.05G0021730-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5C:89342950:89345437:-1 gene:Sspon.05G0021730-2C transcript:Sspon.05G0021730-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNGDIKYDEEYVLNARGINIFTCQWRPLNIEPKALIFLCHGTGTRLAQAGFVVHGMDYEGHGKSSGLQGYISSFDDIVVDCSKYFASVCEKEEYKKQKRFLLGESMGGAIVLMLHRKEPTFWDGAILVAPMCKIVEDMKPHPIVISILSKLSNVIPTWRIIPNEDIIDRAIKSEEWREEVRNNHYCYKGKPRLKTGYEIFMASLDTESNLDKVTLPFIIVHGGDDAVTDPTVSEALYTLAKSKDKTLKLYPGMCHALTSGEPKENIDIVFSDIIKWLNERVPSISQLGSNSGIQLQEQCC >Sspon.02G0039320-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:51199495:51202052:1 gene:Sspon.02G0039320-1B transcript:Sspon.02G0039320-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding KKAHYEVVMETKVLGPIRTTELELTGLRQLHQEYFEKASTICAESEVEALGACQKALDLRWKKFQRNAGLLKRQLTWLFNEHLGKKGISGHINVDYKNEVLSVELTMPQDASRDTIRDTRGLSGCGEPQNKLDTLVEFAVEQGSQWIFITPHDISMVKAGDRIKKQQMAAPRG >Sspon.07G0005980-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7A:15639723:15640926:1 gene:Sspon.07G0005980-1A transcript:Sspon.07G0005980-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRRLLGYFGGVSSRLRRGLSTAASPSRPPWAMIRGPMVVNSPAPRALLHLPAPPCISHILVPAHLVDAPPLPDPDSETVRQVFGGIVSAVSADGLLLLEFMHLRLREAAPVFAMHCSDQTRALDGVQIADITRFVLNPLSGQMFRLPDIDGTKKTVPSLDIGILTQSECLDQPPDRYAVAVLNHDNDGGQQRFVMRRFLSQTGKWDELVALPSPSPHPMGRWMEVVAFAGRLWWVDISCGAISADPFSDQPDLHFVELPRGRVTEPVEDLDGYRRVGVSQGRLRYAEVSREEPFLLSLFTLDDGGSGWTMEHQVAFSRLWPGECHPFQGSPRIGVIDPLNASVMHLTVDKTVLAVDMVKKKLLGFSIISGNVRRSSSFLKACVLPPWLGSSWIPSAGNL >Sspon.08G0010060-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:44068916:44069416:1 gene:Sspon.08G0010060-1A transcript:Sspon.08G0010060-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MIMTHPAAILSVALLVVGVSLMLVVHILVVFWALRRGLVSRGTGQHANQERVEDGHGRGLSAGELGTLPCHDFKSADGGAAGDCAVCLEAFQAGDRCRQLPRCEHSFHAECVDSWLRKSSACPVCRADVVDRPPKGEAKAAASGPGVVEMAERRSSNAALEIVTGR >Sspon.04G0020870-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4C:79367351:79369948:-1 gene:Sspon.04G0020870-2C transcript:Sspon.04G0020870-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding PPRLHSSVRTPSASTATMSRDRYFHRLLDLHAIDDDPFFPSFPFPTTTTSSCPYISASVSSAHHRFLLDDHPFYPTSCPLGFTSPSPIDTFDLDLLLPPHAAAPRCPAFDFDPFLLDALGHRVSALESTLALTPAPPRRKYTYAAEAHGRKVKWTAKDRPAGGRNLKWEAELRTPHHDGFDHKWKWESKASAAGAATRVKWAKEIKGKGCLEPWSNSYSIEETYDDDDHDDDKEKKPTDVKKKVKEDKQKTKKGNVEIVEIEDNTAGCVAIRKAFEMNHCKGKRKELSPQDAALLIQMNYRAHLAHRSQVLRCLRDLAIAKAKLKEIRSFFYNISYRSRIAHDTEERQRFAEKIIVLLLTVDALEGPDYMVRNAKRSMLEELEGMLEIVDPQPPGKPRTLSRRKFDLPEGGAIPKEMRDGVKNVVRIVEEGK >Sspon.03G0030550-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:21468408:21471811:1 gene:Sspon.03G0030550-1B transcript:Sspon.03G0030550-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPHSARSNITHATTRTIARQRSRYRYLRDGDANSKFFHLQACHRKRKNYIPTFAHDGQTFTSEEAKSEAVFNYYNALLGTRFHRTHRIDLQRLNLPRLELQALAKPFSKDEVTRIILESPLDHAPGSDGFTARFYRAAWAVIKADICNAFNCLWQQDWRSFYLLNDASMVLLRKNDAPTELRDYWPISLIHSFGKLVSKGLALLLAAFMDILVRLNQTAFIKGRWIHDNFRMMQFYYRWLYVKQHSRILLKVDIAKAFDSVSWPFLLEVLEHMGFPPCWRDWIAEILSSASMKVLVNGCLGRRICHARGLRQGDPLSPLLFVLVMEVLNVMVYEADQQWLLSPLLGSHFGQRMSLYADDLLLFLAPTQGGFPLHPRHPRPLRGCIGADHERRQMSHLADTVLGGGNRPRAASVPMLAFAAALSLPGRAPICGEAAAHGRAETGGCRSQSYPHLEGKAAKHGGQDDAHPRHTFCHTSACVSITCSLSAWTIRQIDKCRRAFLWSGTDVVAWGSCKMAWPVVCTPKCYGGLGLLDLWVLGFALRLRWEWQKRTPGVPAWTQLPSKPERVVGAMFNCSVRVKLGDGDSARFWTDAWLLAGWIKAFAPHLFQAVGKRFLKTSVKDAIFQHGWPLDSGWRLFDIIILPIVLPGHVWRASTPPKVKLFFWLALHRHLWTADRRRRHGLQDSTECALYGQEDETVDHLCRLLCLCEGSMWLGSIVTYSSLSSVDLVVGWSVYGACLAQKGIRLRCTKERNSRTFDGSSSTVQQVVKLVFDEMDEWITAGFVVVAEFLVPPTAAKSPPSSLKKNGDPPSHHCPPPDRVPLRGDGGPPPRHAWMRYAVTYYDPSSKQSSGMKCISPTMLEPKPTCDTGEAPEPEPKPGPEPRLEPAPEPKPELTCNKTCCSVGEDAGLTQDQFLGFNPNLCCEKLFVGQWSLCLRRDDAESCIGFE >Sspon.07G0026390-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7B:53031703:53033066:-1 gene:Sspon.07G0026390-1B transcript:Sspon.07G0026390-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVGQFIESVKQEVMRDLATAPYGGSVVKAPKVLADIVEAIAAAVYVDCKFDLEKLWKVTRWLFEPIITAETIDEQPVTTLHELCQKHGKVAQFETWQKGGMTMVNVFVGGEMVGLGSSEQKVIAKLNAARDALGKLIGGAKQQVLINGVANGLVDEIGELRE >Sspon.04G0018710-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4A:67051330:67053279:1 gene:Sspon.04G0018710-1A transcript:Sspon.04G0018710-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLRSAAAFALLLPLLLVIPAASAQEGGDAVSESLASRFDAPPSWAFPNPRLRASYAALQAWKRTAIFSDPSNFTANWVGPNVCAYNGVYCAPLPGSHPGDVVVAGIDLNHADIAGYLPASLPLGVPDLALFHINSNRFCGVVPTTFAHLHLLHELDLSNNRFVGGFPEVVLSLPALRYLDLRFNDFEGSIPPALFDRPLDAIFLNSNRLRNPIPANLGNSPASVVVLAHNRLGGCIPPSIGRMADTLNEIVLIADELTGCVPPQVGMLRKLTVFDVSDNHLQGQLPGSIANMAAVEELDVARNRLEGAVPAGVCALASLRNFTYTDNFFTSRPPCAKATADGAWNCIPGAPAQRPPSQCAAAAAHPFDCSKAQCQAAPGYTPTPGGGHGRGGRGRGSGSQPPTPVSSTPRGGRIAGNPPPSSATPTPSYPSPPSSATTPSYHSPPKGSNTPSPPKGSTTPSYPSPPSSATTPSYHTPPPQGSPTTTPSYPSPPSSATTPSYHTPPPQGSPTTPSYPTPPSSSSTPSYHSPPSGSTTPPVTTTHAPPPPTSADEPDVRYAPPPSSTTPPSHSHGSPSPPSTGSQPPSSGHHPGYALPPHAPGTGTPSSHPTTPSGTPSSSHCSPPPSQGGSPGTGTGGQHPGGGKLPFPP >Sspon.08G0002810-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8C:6174746:6175705:-1 gene:Sspon.08G0002810-2C transcript:Sspon.08G0002810-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LHSCNACNVVFVDDEQKRIHYRSEWHRYNLKRKVARVPGVTEALFLAWEAALSVGTTPTLYTCPLCEKEYTSSRAHEQHLSSRSHLMRAASQEPSGSSTAERITSLPVRATTTVEEEELAGEPTSNSMQVNAADYSSTRRDEEIEELDLDPSCCFMCDLEHGTVDDCMVHLHRKHGFFVPDSEYLKDPGGLLAYVGL >Sspon.02G0014690-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:17309952:17311082:-1 gene:Sspon.02G0014690-2P transcript:Sspon.02G0014690-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RMEAVADLKYLPVFPNGGVTAPAKKTARLGAAGVYRECLKNHAASLGGHALDGCGEFMPSPEADPADPRSLRCAACGCHRNFHRRLAELPPPPPLLALPPPPPPLAPAPAPAPAAAASHVMRDSRTMRGEEAPDDRLPAAFDEDTEEESDEGSDFDEDRPLSPLPAPAMAPPPGYRQQAAPHMLLALSTGAPSPGVETPAAAPWPASASLGPMPPAATVPGAAAARKRFRTKFSPEQKQRMQALSERLGWRLQKRDEAVVDECCQEMGVTKGVFKVWMHNNKHNFVGGHSARRSASASAAAAIHHPSDAAGAVYPSSSHAAAPAPAAAAVHHPSAHAAPPPAPVHADFNINGAATDAADYFRVQPSTASGGGSPQSS >Sspon.03G0035100-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3B:78538725:78539498:1 gene:Sspon.03G0035100-1B transcript:Sspon.03G0035100-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIRVCRLQRTPVRRHEPEPAERNDQRRADADAPSLPLFLSLASSVLTYCRPSRHCHDIIPHPHPSLSHTTTKRAPCIGRRPSIHPSHQQRIQFAMACLLRAAAVLALLMAVSVAALSAPVSAGGVAVGDLAAQLTGAVVIRRGGRTCRGTVGECMEYFGADAEGEADVAGMATGGGKRRVLQGGSGYIGYDALRRDNVPCSQRGASYYNCQPGAEANPYSRGCSAITQCRG >Sspon.03G0011830-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:39498460:39500696:1 gene:Sspon.03G0011830-2B transcript:Sspon.03G0011830-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-conjugating enzyme 37 [Source:Projected from Arabidopsis thaliana (AT3G24515) UniProtKB/TrEMBL;Acc:A0A1I9LLL0] MAFAARLNLRMQKEIKLLLDDPPHGVSLNLSEDENVLSSLSSIEARIEGPEGTVYANGVFILKIQIPERYPFQPPNVTFVTPIYHPNIDNGGRICLDILNLPPKGAWQPSLNIATVLTSIGLLLSDPNPDDGLMAEISREYKYNKQVFDINARLWTEKYASPAAVGASGWGSVDAGVLAQNTQMEGTDSLGSLPNTSQKVCEGSQRKMRLLGQKLSLKSERSEESMKTVKQDPVASHLPSMAGSTYPNACFSDASGRQNNTSENMSVSTASGVVSKKGHHKKDLQLPGQSLSATSEAHCKSSDGSDMLPNHLPTYASGAKDPTMQSSDGILENSSARRIGGSSASTYKSLEGNQRNIRALKLSLSVKPEKKNDDQNENMAPNHQSSQSGFINLQKRPLDAVSRKKFSGGPALVQQNPNTEQQPPYTNMVSNQECNQGLKMQRRRLSLKFELPRVDNACEKESKPPNHPLSDKKLNELPSAAAILKGEPMAPNELALSAPAVLKSQSAIGFAGEQKDSSSDNSSGKENTVAIEKVVVSDSEDSADEHERPPRSRLSLMRRRLAGKF >Sspon.02G0023630-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:80526730:80533988:-1 gene:Sspon.02G0023630-1A transcript:Sspon.02G0023630-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGTAHTLLRNAGQLLREKYRQLRGVGGEVDELRDDLATMNAILRMQSEAEDGAVDHFVREWMKQVRELAYDAEDCIDLYLLRVKCRPNHGIRARLEHVFATLFPRNRLAREIRALRARATAISERHARYGVNRDALRRFPSVPPAAPVLVASASAHALRRAKDPEHHQLVGIGDQVDTLAKRLKDEGRALKVFSIVGFGGLGKTTLAMELCRRLEAEFPFRAMVSVSQAFEPSKDLNVLLKHVLEQILQPKTSNEKGIKEETAQLGDISGFDDDKLATKLEELLKEKRYVEATYFCWSNKFSSPLPTFIGPTKQHTYFFFLFFLPHAPDAATGIAAGESPPVHPTKAIAGSGHPRADAPSPNLLHHPLPSPASNLMPPISKTAISGEPLAAPPSPAPARIVASGVACGPQRGLLLPVRTAPLSAMGVRFPCSLPRRRGRSSPASATSPTTLCPVSSSSRYPPVACMVPVRADAASPQHGRLHARMPPTPTTTKSTPARAASPRYLIVIDDVWTIRACEAIQSKLPENNCGSRIIVTTRIETVAKACSAPSGQYIHHMKPLNMEDSKRLFLSRAFGFVSASYPKELEDVMGNVLKECGGLPLAIVSIASILAGYRSSGSKDKWEAVHKSIGFQMESNPTLEGMRHIVTLSYNHLPHELKACMMYLSIFPEDCKIDKYRLLCRWIAEGLVHEKRGFTLMEVAESYLEDLVSRSMIEVRVGFSRYWRLESCRVHDMLLEVMVSKSLECNFVSLLGGQHAAMSNGRIRRLSIHGNDDRRPHNAAQKHNKKMVGTGSDLEGMDVEHVRSLSMFQHGGKMLLDHLNKFMLLRVLDLEDSQGLTNEHMRCICKLYLLRFLSLKGTDISQVPPQIEKLEHLQTFDVRDTPVRGLPGTLKKLYKLERLQINYNDDPDRMWRLPLGLHKMKALREVGFSVLGNDVQVAKDVGQLEQLQDLIVYVDDVVFDKEVLQEFAKSLSKSYSLRRLVIGDFNMSWAKLVGDQLFDGLCNCPSLKTICIQNMCYDGPELVARTKHRFPELTSLRVASASRFPDIIRFEKGSMAMLETLLVNMTDNEEKRI >Sspon.01G0016850-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:31827452:31832491:-1 gene:Sspon.01G0016850-2B transcript:Sspon.01G0016850-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVMEGTVIGTAIESKPGHEQKDSLTEVPRATETLVARDCEVEKLIGLEDDTELTPYEGMEFESEDAARDFYSTYARSAGFRIRISRYTRSRRDNSVISRRIVCSKEGFHETRACDGLHSEQKQQERAGTRVGCKAMILIKKFDPGKWMVTKFVKNHNHGPVPPRRLDSRPADQDCDQIEKPHSIEADPVEEPFEGMEFESEEAAKFFYVNYARLNGFRARISRYCRSRRDNSIISRQIVCSKEGFREVRTKKMMTDEGKTKRPRMITRVGCKAMIVVKKMNSGKWMVSKFEKEHNHSLSHSKMVPSTSNITSREVADFAAKSADPNEVKNEGFGAGTQCNPADSLTVLYNNLCQEAIKFAKEGSVTEEIYHVAVSALKEAAEKVAEVKRSRPTLPHCGFISESKHDVLQVKTTSALQCSNQVELTITSPGSRPVQDSASNLLLIPTNILTDSRLYNGVDTVPLSRGFPKNGRQGTHTSESSVMHFETTEKTSSQKSQNTCSSKLIHGKEQVIHGTSKDSMVAIPAIPLALCMPVTQNLPGSSAEGPYRLLAAPIQAVPISYCPAEPIRQPQKGICALGPFGGVLSELNNQGTGPKSLVHATALACGARVVPLEEAASLINAIESKIRSGGAIIAKLPSSNLTSPVPPSIAMSSSSEDEEEHDHSEPLTVDIDRNFNQQSSDEMKLQSEPSESETEADN >Sspon.02G0028760-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:97136833:97138686:-1 gene:Sspon.02G0028760-4D transcript:Sspon.02G0028760-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SMAATQQSRSRSSAAAAKAAACSEVVDEEHGRRRQGGGAQEEAENKPELRRGPWTVDEDLTLVNYIADNGEGRWNNLARAAGLKRTGKSCRLRWLNYLRPDVKRGNFSADEQLLILDLHTRWGNRWSKIAQHLPGRTDNEIKNYWRTRVQKHAKQLNCDANSKRFKDAMRYLWMPHLVDIDVAAAAADDHHHHLSLLHHHQQQVAVAGAGNGDLAAAGYAATNDVQLHALSSGMAVMTTTTSSSDSLASESYEDGGGLFANVRAGEMLMNGGDWAAQEANNQGLWPSSDHDQSVQVQVQAAAGGGQFQDPELSGWVQGFSESITDNFWALEEIWKMQ >Sspon.01G0049140-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1B:111756499:111756771:1 gene:Sspon.01G0049140-1B transcript:Sspon.01G0049140-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHRRRVELYPGRHGLQQASSALATANWAGARCVGRLLVSCMWASSTPGRYELGQAKAFLDANRGGGGSGLPHHGGQEAQHSRWSSYVHG >Sspon.06G0016830-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:75646254:75653701:-1 gene:Sspon.06G0016830-2B transcript:Sspon.06G0016830-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl-coenzyme A oxidase [Source:Projected from Arabidopsis thaliana (AT5G65110) UniProtKB/TrEMBL;Acc:A0A178UA38] MRLFDFFRARPDLQTPVELTTAAHRELCYRQLRALVREAGVRPLTLMVTDPAEYFAVMEAAGGADISLGVKLGVQYSLWGGSVINLGTKKHRDKYFDGIDNLDYPGCFAMTELHHGSNVQALQTTATFDPVTDEFIINTPNDGAIKWWIGNAAVHGKFATVFARLILPLQGKGGQPADMGIHAFIVPIRDLETHAVLPGIEINDCGHKIVLNGVDNGALRFRSVRIPRDNLLNRFGDVSRDGKYTSSLPTINKRFAATLGELVGGRVGIAYCSVGVLKVAVTIAVRYALLRHQFGPPKQPEISVLDYQSHQNKLMPMLASSYAFHFATVMLVDKYSEMKKTNDEDLIADVHVLSSGLKAYITSYTAKSISICREACGGHGYAAVNRFGALRNDHDIFQTFEGDNTVLLQQVAGDLLKQYQEKFKGGTLSVTWNYLRDSMGTYLSQPNPVTARWEGEDHLRDPNFQLDAFRVKSHIESVILAKFIESVKSCPDEKTREALKLVCDLYALDRIWKDIGTYRNVDYVAPNKAKAIHKLTDYLSYQVRLVARELIDAFDLPDLIIRAPIGMQSEAYAQYTQYGLAYLVGRKRFCHGKPVPAEDKYGRGDGLAGREGDLALAPASVLGWLQETLLEPCIEGLDIRFVSSDPVSEAGGEAVHLIPQMHCCLAAVLTLMQRATRDSLRPFNLLKFKVTPFSLLEEELPAASMGSKKSHDVHNSGGNGGGGGTKAETGDSCPTNSAADGSITKVKGAVAAAFMQWILVEG >Sspon.05G0013900-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:5A:49761714:49762202:1 gene:Sspon.05G0013900-1A transcript:Sspon.05G0013900-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVLSRLEHPHIVKLIGVCPESCSLVYEQLPNGTLRDRLSKGLPWEDRIRILTEQRSALVYLHSSRPNAIIHADLKLTNILHYAGDVSRLGDFGTARVVPVKPLEEETIIRRTIPMGTMGYIDPVFLMTGKLTTASDVYAFGVVILQLLTGLDDLNIVERASG >Sspon.02G0015510-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:42316471:42320784:-1 gene:Sspon.02G0015510-1A transcript:Sspon.02G0015510-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VVEVRTVREVGGSNTWPMLTRTNYGEWAVHMKWKLRARRWWAAVETGNAGEDAEVGVMEALMASTPSEFHEAIGSKNTAKEAWDMLASFRLGLDRAKKAKAQQLRREFDDLRFKPGEAMEDFALRLQSIASQLATYGKPVDDEDVVAKLLRVVPAKYTQLALSIETMLDLSTLSLEDVTGRLRAVEDRAPPEKEKPKLLLREEEWSAWMKEKRKTGEGSSRGGGDRGRGNGGKQRGKALVDKKKKHTDPNACRCCGKVGHWAWECPDKKPEKKEEAHLAREDSDDEHALLMGEYCALQDGEAEEAEADIVSLGQLDERGSEVLIRGVLRIRDRERRLLAKVTRSRNRLYLLDLKVEQPVCLAASCSEEPWLWHGRFGHLSFDALRRLGKMVTGLSQIKHAGELCDSCLARKLRRLPFPKAARYRAADPLELVHGDLCGPITPATHGGQRYFLLLVDDCSRYMWLQLLTSKSDAVDAIKRFKARAEVESGKKLRVLRTDRGGEFMAVEFATYCADEGVGRHLTVPYSPQQNGVVERRNQTIVGMARSMMKAKEMPAAFWGEAVSTTVFILNRSPTKALNGMTPFEAWHGRKPNVTFLRTFCYIGHVKATKPGLGKMEDRSTKMVFLGYEEGSMAYRLYDPVSEKVVVSRDVVFDEAAAWSWNKPAVGEVEGVGGIGDSFIVERLVIHGGGSVQPEAGGATADEGEVPGQAPEPTAVGAEEPPSPAAAGSPVPPHSPTPPEQGTPPAAGVEFASQPSDLSDFIDAFHEGEEVRFRRVDNVIGKAQAPGLAARLLDDDPELLLMSAEEPATFAIAECDANWRRDMLEEMRSIEANKTWDLHKARLVARGFVHREGIDFEEVFAPVARMESVRLLLALAAAKDWQVHHLDVKSAFLNGELAETVFVKQAPGFVVKGAEHKVLRLRKALYGLRQAPRAWNAKLDATMAELDFARYATEHALYTRRRGKEELIVGVYVDDLIVTGARERDIADFKEEMAARFKMSDLGALTYYLGIEVKQGEGHGHAGAACLRAEAVGAGRHDGVQADGDPDGGADQALQAEHGNKGGRDALPEHRRRAALAHAHTAGHCVRRGLCEPVRGGPAGGPLGAVKRLLRYIKGSLNQAVVLPKGGGLRLTVFSEAPPKVKDGEPGLTTFSDANMAGDVDGRRSTSGVLVFLGAAPIAWQSLKQKMVALSTCEAEYVAAATAACQVVWRRLLSKLTGVEARPPALKVNNKPAISLAKNPVLHDKSKHIDIKFHFLRDCVDGGHLVIEFVETGWQLADILTKSLGRLRFLELRGMIGMVEVKGKQRIRGRL >Sspon.04G0001670-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:6869947:6870744:1 gene:Sspon.04G0001670-4D transcript:Sspon.04G0001670-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTNLAGAGAEPFRVFVGYDSREDMAYRVCRRSLLRRSSIPLDVVPIVQQELREAGLYWRERGPTESTEFSFTRFLTPYLAGYRGWALFVDCDFLFVADVAALARLAADADPRHAVLCVHHDYTPTEATKMDGAVQTAYPRKNWSSMVLFDCGHPKNRAVLTPEAVSTRSGAYLHRFMWLDDDEVGEVPFVWNFLVGHNRVDPADEAGTAPRAIHYTSGGPWFERYRDCEFADLWVQERDAYEAEEADKDVDGSIQAPPTVVSVE >Sspon.05G0034980-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:67459768:67462100:-1 gene:Sspon.05G0034980-1C transcript:Sspon.05G0034980-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQFSDNFFSGTVPLEVGTLGHVYWLQLSGNLLEAKEPKDWEFLTALANSSQLQFLLLGSCKFGGALPDSLSNLSNSLEFISLSHNEIVGSIPRAIGNLLNLQFLDLAYNSLTGALPSSFTMLKNLRSFSVLSNKSSGLVPLAIGNLTKLTHLELVQNSFIGRIPGTIGNLTKLVELGLSSNNFTGPIPTEIFNIYTLSQILDVSYNNLEGSIPQEIGKLINLVEFRAAFNKLSGEIPSSLGECQLLQNLYLQNNMFSGGISSLLGQMKGLQIVDLSSNNLSGQIPAFFGTFKTLDYLSISFNSFSGELPIIGVFSNSSAISIQGNSKLCGGIPDLHLPACSSHLENKGHEFVIIAIVLSLVATIFIIALLFVLLTRHKRRKITAPPPTFIEGHPLISYSQLVKATDGFSATNLLGSGSFGSVYKGELDGQADESRNPVAVKVLKLQTPGAANSFVAECEALLVKIVTACSSIDNNGNDFKAIVYDFMPSGSLDGWLHADTDRQTERKFLSLLERVSILLDVAFALDYLHCNGPAPVVHCDIKSSNVLLDADMVAHVGDFGLAKILCEESSMSSLGVRGTIGYAAPGSECPAVTRCRRVGWRLVTLSGNCVESMSLSCRD >Sspon.02G0040120-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2B:65473323:65476531:-1 gene:Sspon.02G0040120-1B transcript:Sspon.02G0040120-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to Poly(ADP)-ribose polymerase (EC 2.4.2.30) [Source: Projected from Oryza sativa (Os07g0413700)] MSKTDAIKEFKRLFLEKTGNPWEAWERKTNFRKQPGRFYPLDIDYGVKQAPKQKDISERKSSLAPQLLELMKMLFNVETYRAAMMEFEINMSEMPLGKLSKENIQKGFEALTEIQNLLKDTANQALAVRESLIVAASNRFFTLIPSIHPHIIRDEDNLMIKAKMLEALQDIEIASKLVGFDSDNDESLDDKYMKLHCNITPLARDSEDYKLVEQYLLNTHAPTHKDWSLELEEVFSLDRDGELNKYSRYKNNLHNKMLLWHGSRLTNFVGILSQGLRIAPPEAPVSGYMFGKGLYFADLVSKSAQYCNVDRNNPVGLMLLSEVALGDMNELKKATYMDKPPRGKHSTKGLGKTVPLESEFVKWRDDVVVPCGKPVPSAIRSSELMYNEYIVYNTSQVKMQFLLKVRFHHKR >Sspon.06G0036110-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:90099669:90106267:1 gene:Sspon.06G0036110-1D transcript:Sspon.06G0036110-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAAAFSSTSVAAPVSNKKVTISIRKKKQQQQVVNKYQPQGGRGLIVRAMAINKETEQDRWRGLAYDTSDDQQDITRGKGRVDPLFQAPMGDGTHVAVLSSYDYISQGLRQYSFDNTMDGYYIAPAFMDKLVVHIAKNFMTLPNIKVPLILGIWGGKGQGKSFQCELVFAKMGINPIVMSAGELESGNAGKPAKLIRQRYREAADLISKGKMSCLFINDLDAGAGRMGGTTQYTVNNQMVNATLMNIADNPTNVQLPGMYNKVDNPRVPIIVTGNDFSTLYAPLIRDGRMEKFYWAPTREDRIGVCKGIFRSDGVPDEHVVQLVDAFPGQSIDFFGALRARVYDDEVRRWVAETGVENIARKLVNSKEGPPTFEQPRLTLDKLMEYGRMLVEEQENVKRVQLADKYLTEAALGDANDDAITRGDLYGKAAQQVRVPVPEGCTDPKAGNFDPAARSDDGSCASTPSRSSFLGKKLNKQPVSAAAVNYHGKSSSSAASRFKVMAAKEVDETKQTDGDRWKGLAFDISDDQQDITRGKGMIDSLFQAPMGDGTHVAVLSSYDYISQGQKTYSLDNTMDGFYIARAFMDKLVVHLSKNFMTLPNIKKLNKQPVSAAAVNYHGKSSSSAASRFKVMAAKEVDETKQTDGDRWKGLAFDISDDQQDITRGKGMIDSLFQAPMGDGTHVAVLSSYDYISQGQKTYSLDNTMDGFYIARAFMDKLVVHLSKNFMTLPNIKVPLILGIWGGKGQGKSFQCELVFAKMGIIPIMMSAGELESGNAGEPAKLIRQRYREAADLISKGKMSCLFINDLDAGAGRMGGTTQYTVNNQMVNATLMNIADNPTNVQLPGMYNKVDNARVPVIVTGNDFSTLYAPLIRDGRMEKFYWAPTREDRIGVCKGIFRTDGVDEEHVVQLVDAFPGQSIDFFGALRARVYDDVVRRWVAETGVENIARKLVNSKEGPPTFEQPEMTIEKLLEYGYMLVAEQENVKRVQLSDKYLNEAALGAANEDAMKTGNFFK >Sspon.08G0009420-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:62649600:62655039:-1 gene:Sspon.08G0009420-2B transcript:Sspon.08G0009420-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAAAGRGEWEDLVRRMFPPGTTIPEAPPNLDYSIALEYDGPDVSYELPRIDPVCLPAIPTAEPVSGPLGLGLGSGGVVPVAPVIGPAAARARANPPPPGASRAGGAAAHRAAAPPARARRGSSPSAGSAAAAARDEDGCSDDDEDEDGSRPPRSSRPAAPEGRRPQVVTFGEPEDSRYQSQDFDAASAEQQYVAVTRPAVERKGRMTCCHRCGKSKWESKESCIVCDARYCGHCLLRAMGSMPEGRKCITCIGRPIDEAKRSKLGKGSRVLACLLSPLEVRQILRVEKECQANQLRPEQLVVNGFPLHEEEMADLLSCQRPPGNLKPGRYWYDKESGLWGKEGEKPDRIISTNLNFNGKLQPDASNGTAQVFINGREITKIELRILKIAKVQCPRDTHFWVYHDGRYEEEGQNNIKGKIWESPLTRFACALVSLPVPPTNFDVTKDEAPYSSRTVPDYLDHKRIQKLLILGSPGAGTSTIFKQAKLLYGNRFTDEELENIKLMIQSNMFKYLGILLEGRERFEEEALAVSNHPSSEGDDPQQDESKSSSSNSCIYSINAKLKKFSDWLLDIIATGDLDAFFPAATREYAPVVDELWKDPAIQATYKRKDELHFLPDAAEYFLSRAIEVSSNEYEPSEKDVIYAEGVTQGNGLAFIDFTLDDRSPMSEPFGDNHDVYSQPANKYQLIRVSAKGMNEGCKWVEMFEDVSMVIFSVALSDYDELGAPASGSSRTLMNKMIQSRDLFEATIRQPCFRDMPFVLVLNKYDLFEEKIGRSPLTTCEWFGDFCPLRTHHNNQSLAQQAFYYVAMRFKDLYAASTGRKLFVWQARARDRPTVDEAFRYIREVLRWEDERDAAGYCPEESFYSTTELSSSRLIAAAE >Sspon.05G0035370-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:72593167:72598755:-1 gene:Sspon.05G0035370-1C transcript:Sspon.05G0035370-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLEEREEEEEREERQPRVEEREPRLEETTEEETESGMLAPGVARSHASEGGEERRRLRIWEGTDTDHILLAHSKMIGELDSDVVVRYFNGKNILITGSTGFLGKVLVEKILRVQPDVKKLFLLIRAADVESAKQRVETEVTGREIFQILKEKHRNGFQGFIQEKVCPLPGDVMYENLGLAPAKLRELWKEIDIIVNGAATTNFYERYDVAFDTNAMGAKHICEFAKRCSKLKMLLHVSTAYVTGEQEGIVLEKPFHLGETLREGTQLDIESELNLIKETRRELKANCSSEKAERRTMKELGLKRAREFGWPNTYVFTKAMGEMLLGHLRGDLPVVIVRPSIITSILNEPLPGWMEGIRTIDSFIVGYAKQALSIFLVDLDLIMDVIPGDMVVNGMMVAMAAHSEEQAQQLSIYHLTSSTRQPAPYAVLAESLQRYFLHNPPRSGGKKNSGEPVQPPSRMRFFRTLPRFRAYMAVNFRLPLEVLRLLNIAVCGAFSRRHDELSRMYRYVMHIAELYAPYSLFKGW >Sspon.02G0049740-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2C:43177686:43177925:-1 gene:Sspon.02G0049740-1C transcript:Sspon.02G0049740-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNKAPKEETTLKAPTMYPAKGRFLPAISSTAGGGVGKDASKEGTASADVVVQAFAPTSSNLHTLAAEDRTLPRRQGAVR >Sspon.05G0025670-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:26076700:26082607:-1 gene:Sspon.05G0025670-1P transcript:Sspon.05G0025670-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAWWPGSKLRSRSKAKPGGAGSVSAASSPRKSADLESPSPSPSPTQRDRKAHSLDFPGAGAAPARGRCAAAGHGSVGYKLPMPAEAAEPVGTLYEEVLAAAAAAGAGDGCSSAEESSVCSAGSLDEAHYQHGFRPMDPVAFARERNMPSDSDRTVNEDKRFMSCSMPREHQRFFEVPVSSVRELHFQSDEPSTSEANCSRGRMIPDFAPRTRSLSPVPKGHAFAVSNGNSREFGFSPRSPVRKMDGLRSPPHPLPLPPASAACSLLPASAACSPLHPASGACSPLHPASGACSPLPPTHSPCSPLPSSPSSCPPLPASPTTCSQSQSQWKKGKLLGSGTFGQVYLGFNSENGQFCAIKEVQVIMDDPHSKERLKQLNQEIDMLRQLSHPNIVQYHGSELSDEALSIYLEYVSGGSIYKLLREYGPFKEPVIRNYTGQILAGLAYLHGRNTVHRDIKGANILVGPNGEVKLADFGMAKHISSFAEIRSFKGSPYWMAPEVAAIFKIANSKDIPEIPDSFSEEGKSFLQLCLKRNPASRPSAAQLMDHPFVQDHPAVRAAKSSVLRNTTSSPADGRHTMSNRELPSQKIITPLKDIGLSMRDFTGFSTAVPSPHSSPIPGRANMSTPVSPCSSPLRQFKQSNVRCMRSPPHPLLSPGLGNTLSYTQNQTRRIPAPAISDSWLDVGQMKLQSLNISPKRF >Sspon.01G0038210-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:3182997:3192276:1 gene:Sspon.01G0038210-1P transcript:Sspon.01G0038210-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRFFFFGSPAANPGEGNEQPISDNKTSRHKKTDEGKDSSGSCSTRLSRSRSRRQKRSKEEPANPKQLRRSMSFSSPARNSCADERCFSFSGDVPCSLYDESDAPHHAKHVVPNMWSPEGNPFLREYAIKTPKPHSAMENDSPRSKCCSCSAGHSPVSSPIAIQCRSTRLSNLLNKNEVLDRYVDRGHEDAMVNEKQKQGAPVTSMVSNLGRPPRPQSTVPSIPKSMKETTESYPDVDLKDGFLWRLAQEGTGDTHKMTTMCSAFRRHISMSEASERESSTSVEDIYEDLQDVRLPNVVCPSTCPTSVEDETDDMLLQRAKEVESRFIIPCGDEYEFSMLRDKPLTSNDMFQLIQQLTEDRKQLAHELSSEIKARVTERFAAKEQYKQTNKELETRTRRLEKEKSEVQTTLEREMDRRSDDWSIRLSRFQSEEERLHERVRELAEQNVSFQREVTFLEANKAEASMKAASLETQNSKLNDDLEKLRNEHEKLHSSSVDLQARFAEVVEERDHIREYLKAKEGENKALHKVIARLQTACNEQERTITGLRQGCIDELDRKFVECTTSDKTRKLQMELIRLTGVEQKLRGEIRSCDLEVESLRQENIALLNRLQGAGNGASFSSIRLDQELQARVDNLQMQGLSLLDKISQLCAKLMDLMKHKRHEYLIGNDALTFSDYTFEYQSIKGGIEGLKRSLKAINSILSEKQNAKEKSGEIAAEGSPSKDETDDFGLKLKEEAMLNRVLKEAVLSKELDIEQLQSDLASSLRVQDVMRNEIRRVQDELSCITHKAKQLELQVLKKDESINEIQQDFQESAKELAALRGTLKTVTEERDLSWQEAKQLRRNISIMQNEVVSLKKKIEALDEDILVKEGQITILQDSIDKPFDIICSPRSMREFDMA >Sspon.03G0026230-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:2224386:2225384:-1 gene:Sspon.03G0026230-1B transcript:Sspon.03G0026230-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVKPHTARVLVGRLRGATAACDGAAAAAAVSEIRLASKEDPEIRAPLADVGAVPLLAAQLHHASPVSVDAAAALLNISISARDQVATAPGVLDALTSALRSGHAAHHAAAVVYSLLCGGESHRAAVCARRPLLSALVALLRASPKPSTRATKDALKALFGVALYPPSRATLVSLGVVQALFALVMTDARNGIVEDATAVLAQVAGCAECLEAFRWVSGVRILLDLVEQGGAATPRARENAAAALLNLVVAGGEAAAAEVVAVGGAEETVRELAEDSTASPRGKAKAEALLRALEGAGPAARRREHRLSDFLDGLVQSDPYISSPASASTHG >Sspon.02G0043370-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:95846287:95847636:-1 gene:Sspon.02G0043370-2C transcript:Sspon.02G0043370-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSMKVCVVGAGVSGLASARELLREGHDVTVVEQSSGVGGQWLYDPSTDGGDPLGAAGAHSSMYASVRLVSPRELACFSDFLFFPSNDGTGDARARRYPGHAEFLRYIRDFCDVFGLMDVVRLNTKVLHVGLAPPLAADDAVKRWTVRWSRRGDCEGDAITTEEVFDAVVVAVGQYTQPRLPTINGMDKWSRRQLHSHSYRVPDSFHGEMVVIVGFHESGKDIAQELSGVAREMHVSVKSMEGLTPAVSKAVARHHNLHLHLQIKCLCEDGQVMFADGSCVVADSIIYCTGYDFSFPFLDTGGLLTVDDNRIGPLYEHTFPPALAPSLSFVGVPRLVLVPRFYEAQARWVAQVLSGRRPLPPEEEMLRAAEEYHRAREAAGVPRRLSHTIFFDMDYCDEFGAKHCGFPPLEGWKRDLLSSAVARLRDGDVESYRDSDLVLEGLRSEGW >Sspon.01G0048180-1P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1D:107341885:107343225:1 gene:Sspon.01G0048180-1P transcript:Sspon.01G0048180-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding EVVMEEEEERHLPPDIIYKIPEYISDPVSLARVASSSKLWRSIIKETAFLDGLMTRHLDHGFTSSLLLGFFYQDSAEAPDHLWQHHRDKNRCLAPSFMPTSELLPFAGCKADYNPTSPLSLGNFIQGINSSLNFYEPVASQDSFLVLCHHSKDAEGDPKPDVVCVCNPLTGEVFHLPGLPHKPPHHYALLVTDDINLDGRMTQSFRLVAIWNIGKKFIYVYYCSKSRAWWRPAGLPDLMAGLFLVSSSPAAASHGAIHWICGCWKSLAPSHVVTLHIDGEELLYLELPSEAKRNKTPLLANSVDGGLLLLLMKGLHMSVWKHKGTGTGNWVRSETINMMSSLPMRVLKMHASAKIRLEIFRGKSGVVVLWIEGEGLFTFSLGDQSMRKIDNEHVTKKYRFCPYEIDWLSCLAVTNLVSNGSLSLDTEREKAQCRWRSLLANNIPKS >Sspon.08G0015020-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:55137604:55139552:-1 gene:Sspon.08G0015020-2B transcript:Sspon.08G0015020-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MYALQRSRIQVCRQCVGHGAARCNVQETSVNCRQHMASVSMVASPLTVVSLLVASAAIMSGGGAKAQPIVPAVISFGDSTIDVGNNNYLPGAVFKADYAPYGQDFVRHEATGRFSDGKIVTDITAETLGFESYAPPYLSPQASGKNLLIGANFASAASSYYDDTAAMYDAITLTQQLKYYKEYQSKLAAVAGRAKARAILADALYVVSTGTGDFLQNYYHNASLSRRYDVDQYCDLLVGIFSGFANELYRLGARRIGVTSMPPLGCLPASIRLYGEGEDACVAGLNRDAETFNEKLNATVKALKRRHADLKIAIFDIYTPLSQLAQDPAAYGFANARGTCCRTGTAKTRVYLCNPTTAGACRNASSYVFFDGVHPSEAANVFMAESMIEAGIELVT >Sspon.04G0009990-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:28449182:28458107:1 gene:Sspon.04G0009990-3C transcript:Sspon.04G0009990-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding TTQQRHTLHRHPTTTNWSLHCTAIPPKDRTIKMKSPSSLLAPSSLLSRASHPPPQSTSLAPSLKTLSHTEIATSKQGYSPQAPANRQTDAGRAASGEHQADPAREIHVHTLQPPKPPRRLSRQRGLLAITSHVGLLANSIDAFHADILGNFVVATQLPNRCETLELPRQIFLPTTFRRQECDTTGATVAYQKHATQRGERPIDGRFQGRIRRRRRYIAFAMALNPVRGSGQGPPNLLPAAVADTRATAHALAQAPAEATHHPAALLAKQPSAPPRGGSRLHGSPWLSWLPSQHRAADLHVGRPDPVGGAPDLGAGAGFHRWKEGGVGEDPAAAVLALCRTAGVKLRRRPGMMEWGRARRWAPPDQIDVMLGRCEAPRPSGLAVADSQQPRHSLRGCVRVYASQRSNEPTENTRLGLHCSLRTQKSRVVVMIVTPSRARVALPRAKFFPRSPRSYCTPFSLRGVPDLPFPVSSSSGPRTGHRLRPDLTGLHRWKNSSYCDGGPLVCPPDEVPASPPPNPPPPSPASHGRSTFVTALIIAVPVLAFLALCLSIFIFVRRRRLRREALLEAAPTPAAPATFPDDGPGEEVVHHVWHIRTVGLDDAAIESIALTRYRAGGVLGASDCNVCLGEFQDGELLRLLPKCAHAFHVQCIDTWLRAHVTCPLCRADVMMDPAAAAADAEQPPGADAADDASAEQSASNTRTMEQERPGQQTNEQRELPRWNDDVSRFGTPEHGHGTFGGWHPWTRPEEVPEDEQAGGEKRGTGGAVCCEVSPEPEVTVRQQPVNAPPAALPRAQLASAAPRPSGDAAMYAAAVLAAASAAHVAVAQSPEPRDNSTVADVLSISVFMAVFFPVFVVLLAFACLRLFRAPDDDPQAPDAASAPEWPHGGGGSRKGGLDAAAIAALPLVFFREVRQHRIVDGREDALECSVCLLEFDDDDALRLLPTCPHAFHPECIGLWLERHATCPLCRASVVDAPPAPAAQLERLQTAPPPPPPPPPLTPDSSPVPSAVVLIGDASASAEGEEEDWTTIQRLARNRRAAGRQALPRSNSTGHSGASDGGMERFALRLPEHVRLELLMSHRLRHVTSAVASVRVMEGSAHDASSVVGGSVRSAMARLLSLFAPGAGWKGDGDDKSGKADATAGASSLRRRENSSRGAVGEEKRSV >Sspon.07G0010060-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:28040181:28044683:1 gene:Sspon.07G0010060-2B transcript:Sspon.07G0010060-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADKEPVVERPEASEEEDASAAAAAAGEEEDTGAQVAPIVRLEEVAVSTGEEDEDVLLDMKAKLYRFDKDGNQWKERGTGTVKLLKHKETGKVRLVMRQAKTLKICANHLVASTTKMQEHAGSDKSCVWHAADFADGELKEEMFAIRFGSVENCKKFKELVEEISESLTKNEGKESEDGSSTAGLLEKLTVSEHKSVESDKSESTDSGKATETKADTAPAE >Sspon.03G0022050-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:67161180:67165200:1 gene:Sspon.03G0022050-1A transcript:Sspon.03G0022050-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDSAAAATVDGSALAGRALAAAGTRHMFGVVGIPVTSLASRAAAAGVRFLAFRNEQSAGYAAAAYGFLTGSPGVLLTVSGPGCVHGLAGLSHATANAWPLLMVSGSCDQADAGRGDFQELDQIAATKPFAKLAVKATTIADIPRLVFQALAAAVSGRPGGCYLDIPSDVLHQTLPESEAAALIAAAAANSAASNPSPSKHKSLDEGIEKAADLLRRAERPLVVFGKGAAYARAEEAIRKLVDTTGIPFLPTPMGKGVVPDSHPLSATASRSLAIGQCDVALVVGARLNWLLHFGEPPKWSKDVKFILVDVSEEEIELRKPHVGIVGDAKRVIELINREIKDSPFCLARSHPWVEAITKKAKDNVLKMEAQLAKDVVPFNFMTPLRIIRDAILAEGSPAPVVVSEGANTMDVGRAVLVQNEPRTRLDAGTWGTMGVGLGYCIAAAVAEPERLVVAVEGDSGFGFSAMEVETLVRYQLPVVVIVFNNNGVYGGDRRSPDEITGPYKDDPAPTSFVPAAGYHKMMEAFGGKGYLVETPDELKSALSESFRARKPAVINVIIDPYAGAESGRMQHKN >Sspon.07G0035070-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:80018587:80023287:1 gene:Sspon.07G0035070-2D transcript:Sspon.07G0035070-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCLATFTTQVRMRSSLSRKIRAINRRLDDIIENKDKYKIEDSNKKTDVTWKPSTSTSIPYTHRKLDDVHDSDVVIYVKEQKQLEDALVKNLTEHQQSKEGYPVIVTVFGESGIGKTTLVRDIYNTMEKKKEFEVQAMESFAPYLTTPNILQQIVQQLTEDDKNCPKEMAQKMLQDKLKNKKYLIVIDGEVSGTEWKNILTSLPIGTRGSRVVHITQGKPEEPPTNYHHVIIQLKHLTEDDTMSLFRRRLRKELQDKNFKKYQKDIFKITQGLPLAVVLLAGLVQTKEFPSEWVKVFDYLKSKTSTKLESMLSVCFDDLPHELKCCFLYFAALPTNTTIEARNLVFMWVAEGFLSSKGGKTMEKIGYVYLIELINRNLVNPVKMDDDSSLGSMSVTIQNKVHDFLQIEAHEASFIEVHTGDDVPTLSSARRLSLQNYTDKYAVLANPLPKLRSIFSQYEQEPKGDQGRRSKRRRAYKFYLSQQRAISYMKKDIRSHIKELLHGSEFLRVINLQGIEIGETLTSAIGKVVHLQYLGITSCSLKHIPRSIGRLTSLQTLDVRETNVRELPRSFWMIKTLRHVLGFVLKLPKQIGNLKQLHTLDSIDLRVSEVLALEGTLGEMIHLESLSVWHISQVNVEALSGALKKLESLRTLMLQGEIIPSNVFTNFSLRRVKFMFLIGDLLHSSDLDGREVLHLPNLIMLSLEKTYVTQEFISKLSELPFLATLALYPGSYKDKKLVFSSSKFPRLKKIKMIDVEVLEKVEVEVSMVPELKELEIHSHFTGCYHHIDMGTDKKRSQKTRIMVDLKKENNAVDEENDDMTGWWMIFS >Sspon.07G0012450-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:44295196:44298999:1 gene:Sspon.07G0012450-1A transcript:Sspon.07G0012450-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAARLFLCLVSALALPTFSSSSQSLASDQRDADRVARLPGQPERPSVSQFSGYVTVNERNGRALFYWFFEAQTTPEEKPLLLWLNGGPGCSSIGYGAASELGPLRVVGRGAALAFNEYAWNKEANLLFLESPVGVGFSYTNTSSDLDKLNDDFVAEDAYSFLVNWLERFPEYRDREFYIAGESYAGHYVPQLAELVYDRNKDKKGKAYINLKGFIVGNPITNYYYDSKGLAEYAWSHSVVSDEIYDRIKKYCDFKNFNWSDDCDAVMDIVYSQYDEIDIYNIYAPKCLLNQSSASSENHAFFMNDQEKLRRRIRMFSGYDPCYSSYAKDYFNKKEVQKAFHAIVSGSLPVKWHVCRWIASKLFTWIYLFSTVTEFFWNKRNEHELIYLLCSDPILNSYNFSVFSVLPIYSKLIKAGLRVWLYSGDADGRVPVIGSRYCVEALKLPMKTQWQAWYLDKQVAGRFVEYYGMSMVTVRGAGHLVPLNKPAEGLTLINTFVRGEQLPTHR >Sspon.04G0014580-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:54487824:54489542:1 gene:Sspon.04G0014580-1A transcript:Sspon.04G0014580-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMKGGVGAGGSGGDEVWARAGDGNENGKQAAVRALSWRERENNRVRERCRRVIARRIFAGLRMYGNYALPRHCDNNVVLMALCEEAGWTVEADGTTYRKGPKPDPAGDQHMADIGVSAPVNPGGASHSLTRASSPSGITLGGGGRGGSDPIPAWLKNLSKQLSDKSYPNFFASSSNAPATPQNGSPPSSPPRLRKKARYSSPPPAAPPPSPARTSNSKSNVLPPPWATGAGASRFSFQTSTPPLMSPVTGRAPGPNPVTMMAGFQISYAGANKAPAYSSFVASGASSLGAGISASASAWMLPPLPGRRSSGASAAVRGRGGALLSPLGFSFRRSGGEQAGAREEVMTEKNADEEMGLELTLGNAETRKDRA >Sspon.04G0009920-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:24922268:24925041:1 gene:Sspon.04G0009920-2B transcript:Sspon.04G0009920-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFFPSIATAKLELNSLYRKYVDMLGISLNNSAVGCHLTRKMAHLPVLLIDRTFFSSGTAIQSIATSYGALIRSIGVLMTKLCGCTMIPKHFCGGQFVLGHSANLRGESVLVMDNNELGNDQTFLSSLLGRKRDYVGLALQCICHPACLKVVDIPQKKLSFSRQWTRGGHDTSAIWKIMASNEQYLAYMIVLAALQLFLRLTRVNVTILFLPMLSRAISSRSSPAVIGNIVLVLVNSCGVLGSALSVKQFGREVTFGISAIVMVAIPLILEVQIGVGGGTRMPTGYTTAMFVLTCVVSCGLSWSWGSFFWTFPGRKVHSAGQVLTIALNLGVCFAQMQYFLLMLCRLKNATIAYYAMWIWYWYTWMSRRYPKNSIMPWWMKNSEPVDTNDAKSTFDILVGSTDRLKKRILLTRVLEVVSGTKVLSEAFIQAMAADAFSGSTPSRSHDRPTKKGRHANSSLRSWKEEQKRKHRQSIDEENPRDPFDC >Sspon.02G0051200-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:73390437:73392495:1 gene:Sspon.02G0051200-1C transcript:Sspon.02G0051200-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SLETEPSSSREATEPARAPTLRRRPEQCCTIRLSISMVRRSRARACPDVVWARGSRRTKIVSLVAVQGRLFIYGCCVVGGSGDVVPGVDLRSQKRLNGGSPQPRSRLYGIGCPRRRPMPESTPRSALLGSRRSSRSPSLGVCRRVVQGVLELKRSDPQPKRSARQKRSEPRSRRCNSPLSVPRPDPITSHGRESVTFAFLLVCSCLQRFSHRTLLLFAFVPRCLFSTVRGPRCDGLAASHSGALVTRCYSTNVHKQSDRRQQRNKWKPHHIIGILNS >Sspon.06G0013540-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:58661226:58662795:-1 gene:Sspon.06G0013540-2B transcript:Sspon.06G0013540-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKVNLFEGDCAGLGCCSIELPWELPAFNLKLVRRNVSTIVRLDDEGLSNIKILLAPWYGFTVGDLYTSWVNISNVNDAWIEIAITDQPNCERARVNKGTYACNDESNCHNLPSGRGYQCQCPNYLQGNPYVVDGCIIQAYDSRPKDNCMTSCGNMTIPFPFGIEEGCFANNDFRLNCTPSNVTVLDRGYAQYHVTNTSLDDGFLAVSNMLNDTTSNNIERIIYSNYNGPNNFMATAGKSVTDGIFDFSQEEEIIKWVVANLTCEQAMQRNAKYACVSRNSYCQNVTRGKTQYGYHCKCSNGFQGNPYLQNGCTDIDECSIPNKCNGRMCHNSEGGFNCTNCSRGKVYDPAKQKCVMSAKLHNIIL >Sspon.05G0039530-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:71363099:71364338:-1 gene:Sspon.05G0039530-1D transcript:Sspon.05G0039530-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LLLVTALVRLGPTTALKELDGSQTCVLLENLSNATDLELVAESRVVIFKQDLKWCPAFNKLKTLILSDWVLGHDVHALKVILQRAPILEKLSSVLQFSRSSFFNFLTYFYLIQDHNYEIEMEESCSLVQNFFHLSTSKYLKLDVERCPTVDSHV >Sspon.07G0020900-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:60984388:60994488:1 gene:Sspon.07G0020900-2D transcript:Sspon.07G0020900-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein argonaute 6 [Source:Projected from Arabidopsis thaliana (AT2G32940) UniProtKB/Swiss-Prot;Acc:O48771] MAAKTAGAVQVLKDKDDTVKRTPMARPSNGREGKPIRLLSNHFAVKLRGVDAVFYQYSVMNNFEILHLVLTSSIYSEITFGYNVCIKSEDDKVVDGKGIGRKVIDKLLQTYCSELDGKDFAYDGEKCLFTVGPLPQNNFEFTVILEETSSRAVGGSPVHGSPTQADKKRVKRSHLAKKFSVGISYAAKIPLQSVALALRGSESEHAQDALRVLDIVLRQQQAKRGCLLVRQSFFSDDSRNLVDLTGGVSGCRGLHSSFRTTIGGLSLNMDVSTTMVVTPGPVIDFLLTNQNVRDIRDIDWPRAKKMLKNLRVKAKHNNMEFKIIGLSDQPCSRQMFPMKVRNGSIEIQTVDITVQDYFKSKQVELTMAYLPCLDVGKPKRPNYLPIELCHMVSLQRYTKALSSQQRAMLVEKSRQKPQERMRVVTDAVKSNMYDDDPILSSCGIEIEKQLTRVDARVLSAPTLVVGNSEDCIPNRGRWNYNNKRLLDPVKIERWAIVNFSARCDMSRISRELINCGRSKGIFIERPHTLVDEDSQSRRCSPVERVEKMFEKVKTSLPGPPEFLLCVLPERKNCDIYGPWKKKNLHEMGIVTQCIAPSNKMNDQYFTNVLLKINAKLGGLNSKLALEHRQMIPVVTQIPTLILGMDVSHGSPGRADIPSIAAVCLVLSCVTCIKQRLVFTLLFIYLCAVS >Sspon.06G0016500-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6A:89645970:89647092:-1 gene:Sspon.06G0016500-1A transcript:Sspon.06G0016500-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GSAREVGSETLASIVARLARRGHPVTHVVYTALVGWVPAVARAHGVPAALYWVKPATVFAVYYHYFHGHGALLDSCCASDDADPHAAAVRLPGLPPLKADALPSFASMASPGRRNYLTLDTLRDIFLALDELRPTAVLVDTFDALEPEALRAVPGFNLVAVGPVAVDEPCRPCVELFQPNDATAYMDWLDSKPPRSVVFVSFGSVLSLSKRQDEELRRGLEATGRAYLLVARKGNSGGGGGDSGQGMVVEWCNQTKVLSHGAVGCFVTHCRWDSTLESITGGVPMVAVPRWADQPTVATLVEASAGVGVRAWVDGDGVVGRGELQRCVEMVMGSTGSASAVRARAECWGQRAKEAAAVGGASQRNLRAFASGL >Sspon.06G0021610-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6B:17851094:17851478:1 gene:Sspon.06G0021610-1B transcript:Sspon.06G0021610-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding NRLAVRPDVDGVGTCSPALASYSSGPAATAERGCCRGASLCSSSFLLRHRGARCCREALWAGRRGAGDGPQQLRASLCSLETCPLDSGAPARAEWPAGGAGELPVARKGAGAGRRDVLAVRLRECVT >Sspon.02G0021730-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:72822375:72834557:1 gene:Sspon.02G0021730-1A transcript:Sspon.02G0021730-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGQDEHRDGSSHRRQVVLFSLPFQGHLNPMLKLAAVLHARGLGVTLLHTDFNAPDPACHPELTFVPIHETLRDEANSPDSDILTKLLALNAACEAPFRQALASLLRRVQDVACAVVDGQCYAALSTAGQLGVPVLALRTDSAAALRNMLAYPRLRDAGYLPIKGKQKEQLDELVPDLEPLRVRDLIRVDGCDTDEMCSFVARVADAVGASVSGIVINTLEAMEASELAKIRRELSFPAFAIGPLHLQSSQDSAEQSLYTPDVSCRAWLDAHPARSVLYVSLGSLACVDRGVFEEMAWGLAGSGVAFLWVVRPGLISGGGAGSEEVPPLPVGFSEVIRNRGKIVTWAPQREVLAHTAIAAFWTHCGWNSILESVCEGVPMLVQPCFADQIVNARYVTHEWGVGLEVGEVLERESVAKVVTKVMVGEDGPLMRERAHRLQMQASAATSSVMDGLVQYTSIATAAPTAAKSSSSPCHSRATSTPCSSCGGPPRAGPRSHVLHTDFNAPDPACHPELTFVPIHETLRDEANSPDSDILTKLLALNAACEAPFRQALASLLRRVQDVACAVVDGQCYAALSTAGQLGVPVLALRTDSAAALRNMLAYPRLRDAGYLPIKGKQKEQLDELVPDLEPLRVRDLIRVDGCDTDEMCSFVARVADAVGASVSGIVINTLEAMEASELAKIRRELSFLPSRLAPCTCSRPRIRRSRACTLPTCRAWLDAHPARSVLYVSLGSLACVDRGVFEEMAWGLAGSGVAFLWVVRPGLISGGGAGSEEVPPLPVGFSEEQREDCHLGATKRGLGAHSHCCVLDALWMELILESVCEGVPMLVQPCFADQIVNARYVTHEWGVGLEVGEVLERESVAKVVTKVMVGEDGPLMRERAHRLQMQASAATSSVMDGLVQYES >Sspon.01G0024910-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1C:88352437:88353632:1 gene:Sspon.01G0024910-2C transcript:Sspon.01G0024910-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRALTAVLVLCLLSASPFAAVSAQTEPQGPPADGACGGPAVGGKCHSVASALRLKLIAIPSILLASVLGVCLPLFSRSVPALRPDGNLFVVVKAFASGVILGTGYMHVLPDSFNDLTSPCLPRRPWAEFPFTAFVAMLAAVFTLMVDSLMLSFHGRGKGRGKGSAAVAHHGHAHSTPPQVHCHGQGVSEATPEAAADKADDDDVEAGKAQLRRNRVIVQVLEMGIVVHSVVIGLGMGASQNVCTIRPLVAALCFHQLFEGMGLGGCILQAEYGARMKSVLVFFFSTTTPFGIALGLALTRVYSDTSPTALIVVGLLNAASAGLLHYMALVDLLAADFMGPKLQSSVRLQLVSFLAVLLGAGGMSVMA >Sspon.05G0039830-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5D:80473048:80474628:1 gene:Sspon.05G0039830-1D transcript:Sspon.05G0039830-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VRAYFRRDLDRAKKTTADRARQRLAEEKAAAEGNHPLGDDEYEAQMREALNQSRAEYEYEQRVQHRGGVVADRRRAERAKWRPYAGAAPTGQAVAATKTPRAPEIAYLAARVPSSRHQSLGAELASPPLPQGESPLLSSLAAASLPPPVSHEPRFAQPHLLLHLPHRLSPGENPFRRTDELRHGGHLAGVRAAVANHHRSPFTPFSPFHSLPCVTSLLTPSSDRAIGAGVGRRTSRSATAAAIAAGDLIRYG >Sspon.01G0003940-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:9264339:9266449:-1 gene:Sspon.01G0003940-2B transcript:Sspon.01G0003940-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SET domain protein 35 [Source:Projected from Arabidopsis thaliana (AT1G26760) UniProtKB/TrEMBL;Acc:F4HPB8] MEKTSCGGGEEEDEGDGSGRRERPRAIFKIRRWRPRRGKGIRVWGAGWGAPRLRAGKRAGPCRPACRGNGPSTACYVPRAGTGTFALRAVPGSGRAKFACFGSCLGPAGCMLRITPGTGGDMAGIQDGGLDNDTLQHLRSRATQLLFKEDWREYVAVCSRIIDAASSGDDRRVLCSTLAHRADARARLGDAAGGLADCDAALAAGPTHPGALLSKGALLRGLGRYAAAADCFRAAALASGSGAAADEARELAEQCRRLEAQTRSGAVDLSEWVLAGFAGKFPDLAEYVGSVEVRRSPHGGRGVFAVKNVEAGATLVIAKAVAIGRGVIPDAADSDEKMFVWKDFVGKVLEAAEKCPKTAALIYTLSTGEERQDDLVVPDMAIFRNEIEGDSLSDGTSAAREMGTQEAVHVDRILKVLDVNCLTEDAPAADALGNNGVVNCGVGLWVLPSLINHSCHPNARRTHIGDHAIVHASRDIKAGEEITFPYFDVLVPVSKRREASRAWGFECKCDRCRFEAESSILRQEILKSENDVASGGDIGAVVVRLEEKMRKSMVKERQKAFLRASFWSAYSALYDSDKLMRKWGRRVPSEALVAESVADAVGGNESVLKAMLRGSRDGNGCGNRLEVEDKVVRIGRATYGKLVKRHAMRDLFRLTLDATNKINI >Sspon.07G0004320-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:4358234:4362876:1 gene:Sspon.07G0004320-1T transcript:Sspon.07G0004320-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIDLNTVEEDEPTAGAVCAELWHACAGAGVALPRRGSAVVYLPQAHLAAGGDGGELPALAAAAPRVPPHVVCRVVDVELRADAATDEVYARLALVAEDKIVGRNIHDGETEEKNGEKEDGDGEKLTSHMFCKTLTASDTSTHGGFSVPRRAAEDCFPPLVIHMEQTSDYEQLRPSQELIAMDLHGMKWRFRHIYRGQPRRHLLTTGWSSFIHKKKLVSGDAVLFLRGNDGELRLGVRRAVQLKNEALLEAVNCTDLKLLMLSAVASSLDNRSIFHICFNPRIGASEFIVPYCKFLKGLNYPFSIGTRFKVGCDSEDANERSFGLISGISEVDPICWPGSKWKSLLVKWDGDTKYSHQNRVSPWDIERVGSSVSVSHCHSSSVSKRTKLCFPQGDLDAPILDGNGRPDSMETERFHRVLQGQELVHSRIHGVACSHSSDTPICQGSYGRRFSADVWNCKNDAMSGPRHLNTTGFAYQPLGFSESVKFSEVLQCQEMSPVVPSFMRAAFNAGTQNGRVRSFDYVQRSAATQGYTLQQYNLPAAEVHSPSSVLMFNQTMVPHVELDGVTNREEAYGSGYSSIAIQRQAEPWPSMQQQRVSENGSEPLDTSEASAPARIAKSGSGDRGVGRSSCKLFGFSLTEKILGTEGGGVKEGNYEADRQTPRVLDLFGHGHSTPGALRALCAAPLGI >Sspon.01G0009840-3D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:30183829:30186190:-1 gene:Sspon.01G0009840-3D transcript:Sspon.01G0009840-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDELRSFLELNLPKPKEGKKAKYSLGVVEPKVGSHISEVTGIPCQSNEFVQELLRGVRLHFDRFINELKDSDLEKAQLGLGHSYSRAKVKFNVNRVDNMVIQAIFLLDTLDKDINSFSMRVREWFSWHFPELVKIVNDNYLYAKLAKFIVNKSDLAEKDIPDLADIVGDEDKAKEIVEAAKASMGQDLSPVDLINVQQFAQRVMNLSEYRKNLYEYLVTKMNDIAPNLTSLIGEVVGARLISHAGSLSNLAKCAASTLQILGAEKALFRLASTSVFGQKLREQVEERLEFYDKGVAPRKNLDVMKAAIDSMVNGTSMDDDDNQKNDASAKKSKKKKTKTESDGDAMDVDKPSNAADGEAEPGTEKKKKKKKHKLEDAQEENGAAHANGDAEETETPKKKKKKSREVSEEAEPKTATEGKKKKKKKAKVEDDE >Sspon.01G0000990-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:3031029:3033331:-1 gene:Sspon.01G0000990-4D transcript:Sspon.01G0000990-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CRR1 [Source:Projected from Arabidopsis thaliana (AT5G52100) UniProtKB/TrEMBL;Acc:A0A178UH15] MVSLCHPIRIRACGNTATRRNAAAAKVLCSVQQTPPPAQSTIKVVIVGATKEIGRTAVLAVSKARGMELAGAIDSQCIGEDAGQISGMDEPLEIPVLNDLTMVLGSIAQTRATGVVVDFSEPSAVYDNVKQAAAFGLSSVVYVPKIELDTVTELSAFCEKASMGCLVAPTLSIGSVLLQQAAIQASFHYSNVEIVESRPNPSDLPSQDAIQIANNISDLGQIYNREDMDSSSPARGQLLGEDGVRVHSMVLPGLVSSTSINFSGPGEIYTLRHDVANVQCLMPGLILAIRKVVRLKNLIYGLEKFL >Sspon.01G0037900-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:20849836:20852415:1 gene:Sspon.01G0037900-3D transcript:Sspon.01G0037900-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPAEHRPAEEEEEAAAAGEDEDTGAQVAPIVKLEEVAVTTGEEDEDVLLDMKAKLYRFDKEGNQWKERGTGTVKLLKHKETAKVRLVMRQAKTLKICANHLVVATTKMQEHAGSDKSCVWHALDFADGELKEEMFAIRFGSVENCKKFKDIVEEIAEQQGKTEERENEEASTAADLVQKLTVTEASKEETAEKEEAPASDDKKDAKD >Sspon.02G0055060-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:124835417:124838317:-1 gene:Sspon.02G0055060-1C transcript:Sspon.02G0055060-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWMKQNQAVIQCQQKVVVVNAPNGDKINVDVAVQKQPTALMNQLDDDAIKENSVIDEFSDVFPDDLPGMPPDRDIEFLIELLPGTAPIAKRPYRMGVDELEELKKQIKELQDKCFICPSSSPWGAPVIFVDKKDGGQRITKMYLDLKQKYWWVGRKQDVAEYVALCDTCQRVKAEHQRPAGLLQPMKIPEWKWEEVGMDFIVGLPRTQRGYDSIWVIVHRLTKVAHFIPVKTTYPGPKLAELYMERIVCLHGVPKKIVSDRGSQFTSHFWKVVHESLGTKLNFSTTYHPQTDGQTERTNQILEDMLRACALQYGTSWDKSLPYAEFSYNNSYQQSLKMALFEALYGRKCRTPLFWDQTGESQVFGTDVLRNAEDQVRVIRENLRVAQSRQKSYADNRRRDLVFEVGDYVYLKVSPMRSVKRFNMKGKLAPRYVGPFKVIARRGEVAYQLELLENLSGVHDVFHVSQLKKCLRVPKEQIPLEELTVKEDLTYEEFPIKV >Sspon.07G0003910-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:9771073:9774537:1 gene:Sspon.07G0003910-1A transcript:Sspon.07G0003910-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARVLPLLCACVCLASLACLPRHAAAQQDAEARLLLQIKSAWGDPAPLASWSNATAAAPLCKWAYVTCDRAGRVTSLNLTNVALAGTGTGTTIPDAVGGLTALTVLDLSNTSVGGGFPAFLYNCSGLARVDLSYNQLVGELPADIDRLGGGNLTYLALNNNNFTGAIPAAVSKLTNLTYLALGGNQFTGTIPPELGELVSLQTLKIETTPFSAGVLPESFKNLTKLKTVWLADCNLTGEIPSYFTEMPEMEWLDLSTNGFTGSIPPGIWNLQKLTNLYLYINNLSGDLGINGPIGATGLVEVDLSENQLSGTISESFGGLKNLRLLNLQQNKLTGEIPASIAQLPSLVFLWLWNNSLSGELPAGLGKQTPVLRDIQIDDNNFSGPIPAGICDHNQLWVLTASGNRLNGSIPFSLANCTSLIWLFVGDNQLSGEVPTALWTVPKLLTVSMENNGRLGGSLPEKLYWNLSRLDIDNNQFTGSIPSSATQLQKFHASNNLFSGDIPAGFTAGMPLLQELDLSANQLSGAIPESIASLRGVSQMNLSHNQLTGGIPAGLGSMPVLTLLDLSSNQLSGAIPPALGSLRLNQLNLSSNQLTGEVPDVLARTYDQSFMGNPGLCTAAPLPGMRSCAAQPGDHVSPRLRAGLLGAGAALVVLIAVLAVFVVRDIRRRKRRLARAEEPWKLTAFQPLDFGEASVLRGLADENLIGKGGSGRVYRVTYTSWSSGEAGGTVAVKRIWAGGSLDKKLEREFASEVDILGHIRHSNIVKLLCCLSRAETKLLVYEFMGNGSLDQWLHGHKRPAGTAGSAMARAPSVRREPLDWPTRVKVAVGAARGLFYMHHECSPPIVHRDVKSSNILLDSELNAKVADFGLARMLVQAGTPDTVSAVAGSFGYMAPECAYTRKLNEKVDVYSFGVVLLELATGREANDGGEHGSLADWAWRHLQSGKSIADAADKCIADAGYGDEVEAVFKLGIICTGRQPSSRPTMKGVLQILQRCEQAHQKTFDEKVADYDAAPLLQARGGSRRKQLSDAEVIDDDGKGGFDCNV >Sspon.07G0001760-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:2911951:2913189:1 gene:Sspon.07G0001760-2B transcript:Sspon.07G0001760-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLTSSEIAGFVVGALLLGATIAAPKVDGFIASSQRRSLGMCKRCGDLRIVACSRCKGTGSARNGGILNLGVLDDLYESLGAEAKTDNLVPCTKCRSRGRLLCPECSKIA >Sspon.06G0024060-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:50713257:50716324:-1 gene:Sspon.06G0024060-1B transcript:Sspon.06G0024060-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRDGVPPAAPPPAAGSGGAVAAAGDGPRRCSQCGHHGHNARTCTARPVKLFGVRIGDKPIRKSASMGNIAHLAAEGSGGGSREEGYGSDGERPHKKRGEAWTEEEHKKFLLGLNKLGKGDWRGISRNYVISRTPTQVASHAQKYFNRQTNVHRRKRRSSLFDMVIDDSSDQLLLSRSSSQEVEQQHLDDPQPVAALPAPVVSPATVVPPSVPVEVPVSVPPPVQVPVPVSAPVVTSQPMEQDSVASSSSAGEAGAVMPGAMPPYLYPMMIPPPYYHPAFVPVPCYGYVPFYYGPPGAGQAPHEVVKPVAVHSTPPLNVKDLYNISELSLKGDSDANGGVPASPLPPKPIGRPERQSAFHGKGPTNGSSGELIPAVK >Sspon.05G0028690-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:67001219:67004676:1 gene:Sspon.05G0028690-3D transcript:Sspon.05G0028690-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVWCSCTALLPVLLCFMICQLCYGTVTDIQCLKKLKASVDPDNKLEWTFNNNTEGSICGFNGVECWHPNENRVLSLHLGSFGLKGQFPDGLENCSSMTSLDLSSNSLSGPIPADISKRLPFITNLDLSYNSFSGEIPEALANCSYLNAISLQHNKLTGTIPGQLAALSRLAQFNVADNQLSGQIPSSLSKFPASNFANQDLCGRPLSNDCTANSSSRTGVIVGSAVAGAVITVIIVAVILFIVLRKMPAKKKLKDVEENKWAKTIKGAKGAKVSMFEKSVSKMKLNDLMKATDDFTKDNIIGTGRSGTMYRATLPDGSFLAIKRLQDTQHSEDQFTSEMSTLGSVRQRNLVPLLGYCIAKNERLLVYKYMPKGLLYDNLHQQNSDKKAMEWSLRLKIAIGSARGLAWLHHSCNPRILHRNISSKCILLDDDYEPKISDFGLARLMNPIDTHLSTFVNGEFGDLGYVAPEYTRTLVATPKGDVYSFGVVLLELVTREEPTHVSNAPENFKGSLVDWITYLSNNSILQDAVDKSLIGKGNDAELLQCMKVACSCVLSSPKERPTMFEVYQLLRAVGEKYHFSAADDELTMQPQNANPDDELILPFENMNYDKTHGT >Sspon.01G0003730-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:9685393:9694587:-1 gene:Sspon.01G0003730-3C transcript:Sspon.01G0003730-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA topoisomerase 3-alpha [Source:Projected from Arabidopsis thaliana (AT5G63920) UniProtKB/Swiss-Prot;Acc:Q9LVP1] MQPGGGGAMRVLNVAEKPSVAKAVAEILSRRSMQSRAGRSQYNRIFEFNYAINGRSCHMLVTSVTGHLMELEFDDRFRRWHSCDPAELFHAPVRKSVPQDKQPIKQTLEEEARRCQWLVLWLDCDREGENIAYEVIEVCTGANSHLNIWRARFSALIDREIHESVQHLGRPNKLFADAVDARQEIDLRIGASFTRFQTMLLKDAFVIDVTGDDRNLVLSYGPCQFPTLGFIVERFWEIQAHEPEEFWTINCTHTSDEGTASFGWIRGHLFDYSCAISIYEMCVSEPMATVQNVRNQEKLKYPPYPLSTVELQKRASRYFRMSSEHTMKVAEELYQAGFISYPRTETDNFSPNTDLHAIVREQVNHPVWGAYAQQLLNPEARLWRNPSNGGHDDKAHPPIHPTKFSEGERNWSPDHTRLYELVVRHFLACCSQPAVGAETTVEIDIAGEQFNASGRVILAKNYLDVYRFDSWGGTLLPTYNIGQQLPDSSYRMTLIPNFLYPLLIKYKTNLTYQFKIMQFVPTALTLDSGVTRPPPLLAEADLLSCMDKAGIGTDATMHDHIKKLLDRCYATKDENTRFSPTKLGEALVMGYDEMGYELWKPYLRSMMEADMKSVSMGTKSKSEVLESCLQQMKACFLDARVNKAKLLDAMGTFFARSNRPINETQNPVEVVRPCGACNGSEMVLKRRATGEFMVGCRSYPQCRNVVWLPGSLSEAAVTNQVCPICAPGPVYKIQFKFRRRDIPPNFDVDHLGCVGGCDDILKELMELSRFGSRSQSATPARGPTPNGVGQGAPRQDLHTNFRPAGQLNNENPSVMHSQGIRSTHTQNPSNASGQVLCTSCGAACILRVANTEANRGRKFYKCQDPGCGFFKWEDELDNGTGRGRRGRGSSRQASASAGRRGGGRRGRGRSADGGMFVSATGDTVPGSCFNCGDPSHFANACPNRR >Sspon.01G0000260-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:1620032:1620310:1 gene:Sspon.01G0000260-4D transcript:Sspon.01G0000260-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDERAGALLDAEAPHGDLLEQRLRLRLREGACLHRHEAGRREHCPPGRRLVARPRRRGTSPPRTCRSSAGSRSARSWRRRRRPCRRRPGTRV >Sspon.07G0006940-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7B:15971468:15971823:1 gene:Sspon.07G0006940-2B transcript:Sspon.07G0006940-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMTDEPEKKKFPGNRAGVYKKIKNLRSRTQTFAVMTTISHPLLQPAAGAGTKEANSTTKLRTTMPRKAQHRARAVAGARRSGVHMYGYSRHQPFAVPSP >Sspon.02G0055470-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:6358484:6363180:1 gene:Sspon.02G0055470-1D transcript:Sspon.02G0055470-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRISKSAPDLLKKAVTSVKSKTDALRTKLIILASMRRRLAMVCAISRQIHGCVPSNIREKKARVEHRSKALTMHKAMVRSKEPAGGDHGVRAHPSLFEVAMFEEDYHGYPDWTNSLFDDDHCYKSEEDVDDDDHDDLDVLDALDEPSVIEIIRSKREVEGLEFNMDDDIDEACDMFIRRFRSRMNRSFSDFCISEYSKSAPDLMKKAVTSVKSKTDALRTKLIILASIRRRLAMVRAMSRQIHELVASDSREKPARVEHRSKALTMTRTQEPAADHGVRSRAYPGLFEVDMSEEDYHGYPDWTNSLFEDDNCYRNEENDHDDDHDDLDILDALEEPSVIEIIRSKREVEGLEFNMDDDIDEACDLFIRRFRSRMNRSFSDLCISE >Sspon.08G0023980-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8B:60825020:60826045:-1 gene:Sspon.08G0023980-1B transcript:Sspon.08G0023980-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MERCSPLPITAHPTTGKEQNPLAPRSPVPRRTPYPKNPEEEKEDDSDPEEVSDREEEEKEGTDPEDRPTLSEAPPPSLSPVGVAEGGKGGSDLAHGRGGTEMTRGATTEWVRRSGRAERASRCGDHGTRWDTDKLPGCAALGRLVRARQYGTDVRTVSLL >Sspon.01G0000670-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:1366667:1367648:1 gene:Sspon.01G0000670-2B transcript:Sspon.01G0000670-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNMIRSVRRRFTVGHLATVTDPATLRRAAAELLATAIFVFAAEGATLTLARAGRMHGHDKGVVGGLVGVALAHALALAAAVACAANTSGGHVNPAVTFGALLAGRICLVRSLVYWAAQLLGAVAAALVLRLATGGMHLPEYALAGGVSWWQAAVLEAAMAFGLMHAYFATVMDHHPRRVRAGAVVAAPLAVGLLAGANVLACGALEGAVMNPARAFGPAVVGSRRWGNHWVYWVGPMVGAGLSGVLYEHHVAGPAGGE >Sspon.01G0020450-3C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1C:78249746:78252136:-1 gene:Sspon.01G0020450-3C transcript:Sspon.01G0020450-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLHLHSDHPPPIPLLDPYRSGPQYHHVTETEEFYLIILCASELIGSVIGKNGANVRRVEQQTGARIKVQEIDKDASGERLIIVSSKEIPAEPISPAIEALILLHDKVSAPSEKRHSSTRLVVPSSKVGCILGEGGKVITEMRRRTGAEIRVYSKADKPKYLSFDDELVQVAGPPAIARGALTEIASRLRTRTLRDTSTANNPPPFAPFDDPPVDMPSRKLTLYGGPANDPPYGRPANDPLYGRPANDPPYGRPAIDTPFGRPTNDRPYGRPAVVPPFGRPTNDPPYGRPANDPYGRPTNDPPYGRPSSTIPYGRPNKSAPRDPSDAYPVDYFSKREYPSGSPMFTSNAPSAAYERYAAPTRFPTRELPSAFSPGADHMSHRSYRDHVPADSYSSRGTQQLGITRDGKSDAYDYTEISLTIPSFGRRFSSTIELRIPNSSLESIVGVGGVNLAEIHQISGARLRLHEAHAGSSESVVEIQGTLDQAKAAQSLLQGFISANSRSMQQQPHSSRMPLYPSWG >Sspon.07G0007740-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:20911237:20919221:1 gene:Sspon.07G0007740-1A transcript:Sspon.07G0007740-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEGETAALEFTPTWIVAAVCSLIVLLSLVAERCLHYIGKTLMKKNQKPLVEALLKVKEELMLLGFISLLLTVFQGMIQRTCIPEHWTFHMLPCEKPDEKAGEAATTEHFVAVGTLGRIGRRLLSEGAAGAEQCRNKHRG >Sspon.07G0026700-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7B:54554470:54554673:1 gene:Sspon.07G0026700-1B transcript:Sspon.07G0026700-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MACKRLQLVVNQVSRAPIKQETPATDINVEEEMYVVEVSDDDDSSDEVLNKLRTKEARASYLMGRIQE >Sspon.08G0002380-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8C:5359751:5363664:1 gene:Sspon.08G0002380-2C transcript:Sspon.08G0002380-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADALFVVLRKVALSLGEGALEKIAKEVVEIAPLMTDFEHSMKQIEGELSVLQAFINQVSVQRVSDKAFDAWLDQVRDVAHEVEDIIDEYAYLTAQAVDTSSFFKRKFHQIKNIAAWQKFPSQIDQVEARIQRLSEMRNRYGFSVSELERNNNLQLSSQFYLSDSAYLIDNSDIVGNADEIGKLTQCLLEEKQDRSLIAILGMGGLGKTAIASTVYKNQKIITSFDCRAWVIVSQTYQVEELLREIINQLIIGERASMASGFMTMSRMRLVEVIQSYLQDKKYFIVLDDVWDKDAWLFLNYAFVRNRCGSKVLITTRRKDVSSLAVDNCVIELKTLKHAESWELFCKKAFHASEDNICPENIRYWANKIVAKCQGLPLAIITIGSILSYRDLKEQEWAFFFTQLSWQLANNPELNWISSVLKLSLNDLPSYLRSCFLYCSLFPEDYKITRKLISKLWIAEGLVEDRGDGTTMEEVAECYLMELTQRSLLQVTERKACGRARTFLMHDLVREVTSIIAKKEKFGIAHGGAVHDLQMRSLNCLSATKIPGNICHLKNLQALQEVSANKDLVSQLGNLQLLRSLAIAEMRQSYIAELWNSLTKMPNLNRLKISMCNVKETLDLKMLKPLPNLTLFVLSGKLEGGLLPSIFSVKLKMLKLDWSSLKKDPVSSFSQMLNLVDLLLTGAYAGEQLTFCTRWFPNLKSLQLADMEHLSWIEIEDGTMMNLHVLLLAGLRNLKAVPEGIKYIRTLHEMFLTDMSTEFIIRLHGSDNHIVQHIPNINKFDSSDSQAVNNAIYLPWLAKKFGPGAIKQFWFSFLLSWGLQELAPQTDDRSFDGW >Sspon.06G0015220-2P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6A:83916980:83918832:1 gene:Sspon.06G0015220-2P transcript:Sspon.06G0015220-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGATVTVEEVRKAQRATGPATVLAIGTATPANCVHQADYPDYYFRITKSEHMTDLKEKFKRMCDKSQIRKRYMHLTEEYLAENPNMCAYMAPSLDARQDIVVVEVPKLGKAAAQKAIKEWGQPKSKITHLVFCTTSGVDMPGADYQLTKMLGLRPSVNRLMMYQQGCFAGGTVLRVAKDLAENNRGARVLVVCSEITAVTFRGPSESHLDSMVGQALFGDGAAAVIVGADPDERVERPLFQLVSAAQTILPDSKGAIDGHLREVGLTFHLLKDVPGLISKNIERALEEAFKPLGISDYNSIFWVAHPGGPAILDQVEAKVGLEKERMRATRHVLSEYGNMSSACVLFILDEMRRRSAEDGQATT >Sspon.02G0050930-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:69449214:69455198:-1 gene:Sspon.02G0050930-1C transcript:Sspon.02G0050930-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVEYDVIVLGTGLKECILSGLLSVDRLKVPTHATTTPASVDLLLHSLSVVATSPSRWDYVLHMDRNDYYGGDSTSLNLNQLWKRFKGEETPPAHIGASRDYNVDMVPKFMMANGALVRVLIHTGVTKYMSFKAVDGSYVFNKGKIHKVPSNDMEALKSPLMGLFEKRRTGKFFLYVQDYKEDDQSTHKGYDLTKLTTKELISKYGLDDNTIDFIGHAVALHRDDSYLTEPAIDTVKRMKAKSVARFQGGSPYIYPLYGLGELPQGFARLSAVYGGTYMLSKPECKSGLTFQPSCFTGVMPPGNKFLQSDISHCIQVEFDSEGKVCGVTSEGETAKCKKVVCDPSYLPDKQCSCVHTRYVFCCSYSHNVASKGKFIAFVSAQAESDNPEKELKPGIDLLGTVDELFIDTYDRYEPSNDSSADNCFISTSYDATTHFESTVMDVLSLYTKITGKTVDLSVDLSAASAAEDDI >Sspon.05G0039120-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:62667050:62675228:-1 gene:Sspon.05G0039120-1D transcript:Sspon.05G0039120-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding WRLKVSERSSPWLRSVNNLLGRQEWVFDPDLGTPEERAQVDEARREFAEHRFERKHSSDLLMRIQVAKENPHNHLGDLPPAVKLQENDDVVTEEAVWTSVKRAVEDGGWGLHIEGHSTMLSSALNYVALRLLGECPNGGDDGAMEKSRKWILDHGGATFTAAWGKFWLSVLNMLACWIEDPDSEAFKCHIARVSDYLWIAEDGMKMQVWDASFTVEALLATGLVKELGPTLKRAHSFIKNSQVLENCPGDLNYWYRHISKGGWTFTTADDGWQVSDCSANGLKV >Sspon.01G0053200-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:33317057:33324854:-1 gene:Sspon.01G0053200-1C transcript:Sspon.01G0053200-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MESEIMASYETKQNCEIRVFESSDEMATDLAEFISQASEISVKERGYFAIALSGGPLVRHMRKLCDAPYNKTLDWSKWYVFWAEERAVAKNHAESNYKLTKEEFISKVPILHGHVYSINDNATVEEAATDYEFVIRQLVKVQKVGVSVINDCPKFDLILLNIGPDGHVASLFPNHPALELKNDWVTYITDSPEPPPERITFTLPVINSASNIAIVATGEDRANAVSFSISDCQEGPDAKTIPAGMVQPTDGKLVWFLDEAAASSLEAANGAYEHQGFAPS >Sspon.04G0004110-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:7866579:7866824:1 gene:Sspon.04G0004110-2B transcript:Sspon.04G0004110-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKQIREQQEAGRRQQQQVVVVHSQVRRIKQEEDERATVHETYQHQHASEMRLVLRDLDRKRSRSPLGRVARTAISIGGDS >Sspon.04G0012100-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:38926379:38931761:-1 gene:Sspon.04G0012100-1A transcript:Sspon.04G0012100-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHHLLLLVLLAMACCHAIASDPSLLQDVCVADKTSSPVHVNGFACKDAKDVAAEDFHFSGLHKAGNTSSSKQGSAVTAVNVAQIPGLNTMGISMVRIDYAPSGMNPPHTHPRATEILTVLEGSLFVGFVTSNPNNTLIAKVLHKGDVFVFPKGLVHFQFNNGKGSAVALAGLSSQNPGVITVANTVFGSKPSIADHILAKAFQKTKMDELAMAIRRLFLFISMLAMACCCAIASDPSLLQDFCVADKTSQVRVNGFACKDAKDVVAEHFFFSGLHMAGNTSNKQGSAVTAANVAQIPGLNTMGISMVRIDYAPKGQNPPHTHPRATEILAVLEGSLFVGFVTSNPNNTLISKVLNKGDLFVFPKGLVHFQYNYGMDNAVALAALSSQNPGVITIANSVFGSDPSISDDILAKAFQ >Sspon.05G0011040-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:5A:31603480:31605348:-1 gene:Sspon.05G0011040-1A transcript:Sspon.05G0011040-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARHLHHHHGQELVAHLRASSPLADLLRSAPNLSGARATHARVLKSPLAGETFLLNTLVSTYARLGRLRDARRVFDEIPVRNTFSYNALLSAYARLGRPDEARALFEAIPDPDQCSYNAVVAALARHGRGHAGDALRLLAAMHADDFVLNAYSFASALSACAAEKDSRTGEQVHGLVARSPHADDVHIGSALVDMYAKCERPEDARRVFDAMPERNIVSWNSLITCYEQNGPVGEALVLFVELMAAGFFPDEVTLSSVMSACAGLAADREGRQVHAHMVKCDRLRDDMVLNNALVDMYAKCGRTWEARCIFDSMPSRSIVSETSILTGYAKSANVEDAQVVFSQMAEKNVIAWNVLIAAYAQNGEEEEAIRLFVQLKRDSIWPTHYTYGNVLNACGNIADLQLGQQAHVHVLKEGFRFDFGPESDVFVGNSLVDMYLKTGSIDDGAKVFERMAARDNVSWNAMIVGYAQNGRAEDALLLFERMLCSNENPDSITMIGVLSACGHSGLVDEGRRYFHSMTEDHGITPSRDHYTCMVDLLGRAGHLKEAEELIKDMPMEPDSVLWASLLGACRLHKNVELGEWTAGRLFELDPENSGPYVLLSNMYAEMGKWAEVFRVRRSMKDR >Sspon.05G0018130-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:73339509:73345658:1 gene:Sspon.05G0018130-2C transcript:Sspon.05G0018130-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MWEPYPIIPNRLGVLQNGKAIAVKKLSEMHLEDGPFQNEVIYLIGLKHKNIVKLVGYCAESRWEATELSGKYVMAEIRKRLLCFEYLNNKSLDKHLSDESCGLKWNMRYQIIRGICSGLHYLHTEWHIVHLDLKPENILLDDGMVPKIADFGMSRLFGQQQSRIITESRGGTCGYMAPEYLTNGFISTKSDIFSLGVIIIELMTGYRDYPPSNEAPFEWFIKKVVQNWRKNLEKAPMHIPLETESQQVNTCIVIGLNCVDSDPKKRPSALDIIQMLNAVESTNKHTLDTEIGTLGYSVATTDHITTFHRSSTSKTANISSLFTFSAKGRKISILAFEVANTIDKSFSLIKIISKQSMEHLKEGVLRSEGVRRLISEDHNQLVLLVEADIRQKFRRFYSEELHGELLMFDKCAERFQRKLMEHNGSGRSERDQRNGNFQNLKSE >Sspon.08G0012820-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:51484724:51493172:-1 gene:Sspon.08G0012820-2B transcript:Sspon.08G0012820-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ARPPPGLLLSRQAPRARGRLVSVARRVRRRLGAGHGRVGRGPSRWWWSPLAAGGREEGQGVGAGAERHGARVPPSPPEAHGRAGGRYGGACGRERRSDADAGRRRGRARELPVEAARGARRVRPGLGGVPRPREALLLGPRASGLGRRRGRARRAGGRGPALQARPQDVVHKVALPVADATGWRGAPVRRLLDGLLSMPAAQPHLPLLRPRRRRRQRQRSTAAARASWRRHRPGRGAHIVPGGRAGGEVCRASVSGAEAGVHAQQHVRHRAPRGALRPQAVPAAGVGSRPRGAHGRLHQRLHGRVVEARRVALGRRRHQDQAWRCSRPPKQSAERVLHGVGERLQRAEMLEGAQPGDPGNPPEDCCRERHASVSPVLGRPSGGTGGQRAHRGGAGAALVEVLGTWMLAEIG >Sspon.04G0007380-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:21890753:21896735:-1 gene:Sspon.04G0007380-4D transcript:Sspon.04G0007380-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTSSGANFHQQPPPTQGMLPPRHGPRPSGLQTSLSLASSEQVGSPDMQEPGSNSDPGHDSATESASSKDTWPAEPNQSNGGVAASGVVSKAAEKEKEVANGVSKLQVIRGPSSRVGGMLLREVARERVDLVAEKMKVMPEEHLEEVKNELRSILEGTGGSHHIEEFLYLQKLVQDRDDLTPSMLSVAHHVQLEILVAIKTGIQAFLHPSVMIPHNRLVEVFLYKRCRNIACQSALPAEECRCNVCASRNGFCNLCMCVICNKFDFEVNTCRWIGCDFCSHWTHTDCAIHNGQIGMGQSVKSSIGHAEMLFRCRACQRTSELLGWVKDVFQQCAPGWDRDALLRELEFVCKIFRLSEDAKGGVLFRKCLDLIERLRNAPADSINPRVILQALQELEMDSPKMSENEDVGHLITPQEACNRIAEVVQEAVRKMELVAEEKMRLYKKACLAVEACDRELEEKVREAQELKAEHLRKKQQVEELESIVRLKQAEAEMFQLKANEARQEAERLQSIALTKSKTAEQDYASMYLKRRLEEAEAEKQFLFEKIKLQENQRPAVGVGSSSGAGGDSAQTMMLSKIQDLLKNVRSMPAKSEGH >Sspon.07G0024330-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:23655463:23658023:1 gene:Sspon.07G0024330-1B transcript:Sspon.07G0024330-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPGHCSFTLSELLSFLRLKIAGEPLPATAAAHFHDADIYTADPALLATLFDPAPEKKGESGSWFFFTHVRPKSSTDSRKSRQVAGGVGTWHSERAPRRVLDDEGNCAGHSQYFSYKLKIGKNCSERTEWYMLEFSDGQEADHERVHGGEPQLVLCNIYKAHTHSRSSNGSTSTPSYSASARKRKAVGEASAPHVKAKRQLFDSSAPASAARSQEQVRSTTPSNLRKIGDTSDCIALMTKAGGEATTSQLKIGDTSVFSRFWPEPEKSASDCIALMSKADGEATTSQLKIGDTSDFSRFWPEPEKSFDLGYTTTCGALPTLENCSRTNVRDVGDVFCGQDAWPSAFHSSNDTTTFVCGETNPLSWAMRMRAALYVAQTLECCSSKGRALNHDLHAYRVVFDVDGNPRLSCFGLMKNSRDGKSYSTNLAFMPPEYLKTGRVSPKSVVYSFGTVLLDLLSGKHIPP >Sspon.02G0030450-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:109302426:109306067:1 gene:Sspon.02G0030450-1P transcript:Sspon.02G0030450-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSKPNAASRLVLLAFLLGIISAAAGHDDAAARRTMEQFAGFAAADDGEGPSGDFCVDSEGLQRQVRTGALAPFALRRGRVVQTMAIDELASFSDSPAPSVTRVLYTDKDVQARRYIKGIMNQLGLAVREDAVGNIFGRWEGSEPGLGAVATGSHVDAIPFSGKFDGVVGVLGALEAISLLKRSAFQPKRSLEVIMFTSEEPTRFGISCLGSRLMAGIEELAQSLRKVVDNQNVSFLDAAESAGYKLHLDDLHSVFLKKDSYSAFIELHIEQGPILEKEGRLMVYKSSLTIGIPIGIVTAIAAPASLKVNFEGNGGHAGAVLMPARNDAGLAAAELALAVEKHVLESGSIDTVGTVGILQLHPGAINSIPSKSHLEIDVRDIDEKRRNDVIEKIRRSATQISKNRGVELSEFKIVNQDPPALSDKSVVDAMEFAAKQLNLEYKKMISRAYHDSLFMA >Sspon.02G0010680-3P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6C:7190802:7192772:1 gene:Sspon.02G0010680-3P transcript:Sspon.02G0010680-3P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLKYRPEDKAAKKERLLKRAQAENEGKTVEAKKPIVVKYGLNHVTYLIEQSKAQLVVIAHDVDPIELVVWLPALCRKMEVPYCIVKGKARLGSIVHKKTASVLCLTTVKNEDKLEFSKILEAIKANFNDKFDEVRKKWGGGIMGSKSQAKTKAREKLLAKEAAQRMT >Sspon.01G0005750-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:15076310:15080024:1 gene:Sspon.01G0005750-1A transcript:Sspon.01G0005750-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPTTVTLLQACAVLLLCCRLSPAAAASASPGDVGGGGARRVLHQPLFPIGWTPPPSPPPPPAPDFTSDPATPDGPPADFFPPAPPTAPAGGGGTTTTSSTPTTVAANVPTASSGSGDGGHHGGPAKGTIVAAGAAAAAAIALLAFACAFLTAGRARRRGDSQKLLGPDRGSARHHSAPSAADFLYVGTVEPTTPGRHHGPTAADLVGSPYRKLRSERARRGVGRDEPTDHPSPELRPLPPLRRAATVGSSDDDAYYTPRQRSGGGGLAAKRGASASSPPTTTTASRRSLPSLTSDCFPPVAAIAAPTPPPARSRRTPPRTRFSAGSTPDIKQVISPSPRSVQPPKAAPPPPPPPPPKSITAPKPPPPPPPPPPPPPPPMIPSNTVPKSAQPPSEPTSRRRLLKPLPPEGPRIAMPMPITAATAEDSIGSASIRKQDDVADDIVGNGEPRPKLKPLHWDKVRATSDRAMVWDQLKSSSFQLRFCFILHALLIAGYQLDEDMIEALFMNNSTPAAPPRDAGRKATVPPFRQEERVLDPKKAQNIAILLRALNVTRDEVSDSLLDGNAECLGTELLETLVKMAPTKEEELKLRDYNGDASKLGSAELFLKAVLDIPFAFKRVDAMLYRANFETEINYLMKSFETLEAACEDLRGSRLFLKLLEAVLRTGNRMNVGTNRGEAKAFKLDTLLKLADVKGTDGKTTLLHFVVQEIIRSEDAKSEKESAMIIHSSKDEQLRKQGLKLVSGLSSELGNVKKAAMMDFDVLHGYVNKLETGLEKIKSVLQLERQCTQGQKFFTTMQSFLKKAEAEIEKVRGEEKRALIRVKDITEYFHGDTSKEEAHPLRIFMVVRDFSRRWIMSARRLQQDRTVIGSARSFRISATSLPVLSVYGQRRENNSGDDSSSS >Sspon.07G0006960-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:18205635:18207174:1 gene:Sspon.07G0006960-1A transcript:Sspon.07G0006960-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AMAFYYGVSGGQSSSGAAWAAAPGSRPWTKAEDKVFEGALVMFPEHLPNRWVLVASRLHDRTPQEAWDHYQALITDVDLIERGMVDAPDSWDDDAAAGGGRAGYGRGGGEERRRGVPWTEEEHRLFLEGLEKYGRGDWRNISRWSVKTRTPTQVASHAQKYFIRQASAATRGDTKRKSIHDITAP >Sspon.03G0032010-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:33512956:33513906:1 gene:Sspon.03G0032010-1B transcript:Sspon.03G0032010-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSRTVRIFWDDPDLTDSSGEEEACGARRVGRMVRELPSMAAAAAPAAAPVPDQCNAGDGDRGRRVVGVAGPGGVCSGARRRLAKGGGPGAASTKFRGVRRRPWGKFAAEIRDPWRGVRVWLGTFDTAEEAARVYDTAAIQLRGPNATTNFSAGSGAGHQQDPATPGGYESGAESSPAVSSPTSVLRKVPSLSSLAEDYSKDDSDTAAPCEPAVTECRSLGLAVLEEEELGEFVPFEDAPVYATSGFWDFEPDAGFLYAEPSSPEASWNAAAAAEPAASSDDAPSWAASSPMQENNDYFQDLRDLFPLNPLPAIF >Sspon.01G0006890-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:18403732:18407761:-1 gene:Sspon.01G0006890-1A transcript:Sspon.01G0006890-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIDWISDTRYLHSFKRPKECLCGPFSLDLDAVHSTVPKMLPWEFPCKLSETLCMEVDKTILLAEEKKKQKEISDLEGLQLQVTAPLVKGRSAAKTRKAKKSKLKRAHSTERNDISPCKNELDDFHDLPDIPLPSDKQLKRNRHCSLLLSESDDDSADVGTEKHDIFSVTEVGFFPQSSEVPPIHGQGISNQFCFPVESRETFEIADSFQNPPESNMYGSISLVCDTFMSQGVSCVPESSLIVDGTSASISGDEFLSRAVSNDFSAFYDGTYTTSRMVLEDTDCVKNLMAERQKDVEDVVGETSEAYMESFGRNEQASCSTAGFQLMDECSRAESIWLLSGKKTNDSCKVQQVQDTWNRLRSCCLEFSSDANHNRAASGALKLASEVSDLISESDLMLSRCYPLTQDMLDPCSTPCAEPDDSSWYSNQFEMGSVYAQHALCIFSRNSQKIDGGSVDLSRELLFASTAAVSLGKIICSGFRNDCGSTNISHMKNPTTCISKRRERHVHLCETLSPVVPPKLLQSFRGPAFVDYLSSISQISQLENLRLSESKVINKQRRSRQSRHYLSSGALPFSPEDVVLLAESGCFSGRREKVIEAPGST >Sspon.01G0046900-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:90896996:90903930:1 gene:Sspon.01G0046900-2C transcript:Sspon.01G0046900-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pescadillo homolog [Source:Projected from Arabidopsis thaliana (AT5G14520) UniProtKB/Swiss-Prot;Acc:Q9LYK7] MPKHYRPAGKKKEGNAAKYITRTKAVNYLQVSLAIFRKLCILKGVFPRQPKKKVEGNHKTYYHTKDIAFLAHDPLIEKFREIKVHRRKVKKAVAKKNRDLADRLLNRPPTYKLDRLVLERYPTFVDALRDLDDCLTMVHLFAALPAVDGERVEVKRIHNCRRLSHEWQAYISRTHSLRKTFISVKGIYYQAEVQGQKITWITPHALQQVLTDDVDFNVMLSFLEFYETLLGFVNFKLYHSINVNYPPILDPRLEALAAELYALCRYMSAGSRRMIGNSQSDEVMEDRDEKTKADGVIEEEKDVKNKASSKADESELRLAQLQHQLPTNEPGALMNLVEESTADDADDDDTKECKGLFKNLKFYLSREVPRESLLFIIPAFGGTVSWEGEGAPFKEEDEDITHQIVDRPTQSHVFLSREYVQPQWVFDCVNARIILPTEGYLVGRVPPPHLSPFVDNDAEGYIPEYAETIKRLQAAARNEVLPLPGIGDEDLDNSLVAAMMDRTESNEAAEKKRKLEMLEKQYHDELKMEIDGVAFSSLSNKEGDKSPDAKDDTQSDREEDASKQEEKDDDDIGTALMSRKQRGLYKAMKMGKEKKKDKIELLKKRKKNADSSASSKKRH >Sspon.06G0021580-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6C:18449013:18450423:1 gene:Sspon.06G0021580-2C transcript:Sspon.06G0021580-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribonuclease 1 [Source:Projected from Arabidopsis thaliana (AT2G02990) UniProtKB/Swiss-Prot;Acc:P42813] MAAARLSLSLLLVLAVAGRAAAQDYDFFYLVLQWPGSYCDTKQSCCYPKSGKPAADFGIHGLWPNRDDGSYPQNCSPDNAFNPSKVSDLLSSLRAKWPTLACPSGDGLKFWGHEWEKHGTCAANVFDEHGYFQAALRLRDQLGVLAALTSAGVNPDGGYYTLSQIKDAISQGTGFEPYVECNRDEAGNSQLYQLYFCVDAAGDSFVECPVLPSGRPCGNRIEFPAF >Sspon.02G0005510-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:17358409:17359041:1 gene:Sspon.02G0005510-1A transcript:Sspon.02G0005510-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AMSTPEDETDAKHINASSPLLRLMDDHIADILHRLPTLADIGRAATVCFTFRCVIADHSFRRRLRSTHPTPYLGFFYGRFYAATEPHQFVPYARALMRIANFSFSFIPSAGPWLLRDIHDRHVLLENSIAAREFAVADPVSRRYALLPLIPNDLVVPILQQHHLHLETFLVPTSQEEGSFQLVAFEFSSSKGRWSSFPFQN >Sspon.04G0005110-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:42064390:42066070:1 gene:Sspon.04G0005110-4D transcript:Sspon.04G0005110-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYSNPQDLVEQQIAPGESAAELISAFKEIDFPEIAAKFPCIKIGDASPVELYEDSTNMKFKETVLSENLTNFIRGSGRNLETAYEFHNDCHPLSQTPTTADDLSISEEESISEDIPLMTQHISQELAVDKKSCPESLSDAITSDNSILDKSIRCLPGTTSRQYRQLEDAGFHTVRKLLQHFPRTYADLQNPQGPIEDGQYIMLFGTVISS >Sspon.02G0037890-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:41701505:41702926:-1 gene:Sspon.02G0037890-2C transcript:Sspon.02G0037890-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-specific serine/threonine protein kinase [Source:Projected from Arabidopsis thaliana (AT2G25090) UniProtKB/TrEMBL;Acc:A0A178W078] MARSGRESGEERKLVLGKYELGRLLGQGTFAKVYYARDLSAAGAGGTGSSSSVAIKVIDKARLRRTEGMVEQLRREISIMRMVRHPNVVGIREVLASRSRVFVVMEYARGGELFAKVARGRLTEDHARRYFQQLVAAVGFCHRRGVAHRDLKPENLLLDEEGRLKVTDFGLAALPEQLRHDGLLHTQCGTPAYVAPEVLRKRGYDGARADLWSCGVVLYVLLCGFLPFQHDNYVKMYQKIFKADYQVPPWVSGDARRLIARLLVVDPAKRASIAEIMCTPWFRKGFVPPVLSPPVTPPKKRLDAGDDDGGALFERGGDDDDDSSSNSDGTTTSPRSCNAFQLISSMSSGFDLSGLFENEQKAATVFTARAPAATVVEKLESVARALGFEITRGKGWKVRMEAKAEGTNGRLAVTAEVLEVAVDVAVVEFAHDAGDALDFNKFCAVDVRPGLAEIVWAWQGDSPPAPAAAVGTA >Sspon.08G0005390-1T-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8A:16436413:16437496:-1 gene:Sspon.08G0005390-1T transcript:Sspon.08G0005390-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSVPGQFAAQLGGEEGVATGDDRAVVVLLVSPFGKVWRAELRLAGGGGAGSSWQLGGAWAEFAAAHGIGAGWSVKIPDKFVQEHLTGSCSSTQKAMVFSPLGKFWHVELDRSQSGVLLGDGWAQFLTAHNLSEGNILLFRYEDNMVFTVEAILHNGYSKEHGAAAADMIDDMIVIGPSTVLQQGDEELGVSPVKKKKKTRNESTRVDVYHGKPNLSPISAKKAVSQKKLVCTMPCHSLTKRVTGFDLTRLFVSTYDSCNCV >Sspon.07G0012100-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:49188865:49194566:-1 gene:Sspon.07G0012100-3C transcript:Sspon.07G0012100-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MESCSFRAATAPSPFPSAPSSSSSSPCAPCPILRFPRPRKGRQIGVRRRASGFDAFPPLPGKVFVDEAIGAEYGEGFETFRMDGPLKVDVDYLNEKLQECFLQRIRHAMKPDEAFGLIFSWDNVIVSFLLVLVYQLLVADTDSLKLNAWRQLALEEGSLFCAGKDIPSAAHVRKSILHGAADHVLRKVLYWAKEEDKMEKLKARLIELYYENLFKLDTPVEGLREWLDAVQTAGIPCAVASSLDRRCMIEALDRMALSKYFKAIVTDEDDMESIANRFLSAAMKLDRKPSKCVVFEDDPRGVTAAHNCTMMAVALIGAHPAYELVQADLAIAKYSELSVINLRRLFANKGISFMDLQKQIIEKSPPKRRLTVDTIF >Sspon.07G0022870-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:11044206:11046711:-1 gene:Sspon.07G0022870-1B transcript:Sspon.07G0022870-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAGEGETMVGEMMIEAILCLEGNRTVVMDQKWGGGDEQGDPWASKVSSTEGKEQQNDPWSSKMTSGGAEDTSGGWDTKAKDSSCNDGGEGLQNDPWASKIGSNEGKEQENDPWASKMSSTVGADDKDGSWSTTAKGTPSKEKADDPWNSKGGNDDSKKSDTWGAGSSGGNQESSWCKPNFSLGDQEPTWNNPRFGDDDNGNNRGGFGRGNRGRGRGRNFGDGGGGSSWNGGNRNDESGGGRSGEPWNRRDFDGGRGRGRGRFGRGDRNQDNFGSGDGGSWGSGRGDGGSWGSGRGDGGRGGYRNWNDNNERKPFGQGGGWSQSSDWNANKAFSNSKPSWEAQNTSVGDDQAGKSDTNNSWSQNRSPSILGQPSGSKSKPSWEAQNTSGGDDQAGKSDANNSWSQNRSSPSILGQPSGGANKSSAWGAPSGGAGGEGSWGKSNEDNWNSSGGSTKAKPSWGGGSEVPPKKEVDPWGKGGQGSGSQGGGGGSSWDKAADDAWNGNKGTDTGSGGW >Sspon.07G0007560-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:20228836:20231637:-1 gene:Sspon.07G0007560-1A transcript:Sspon.07G0007560-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCRSSRLDATDVSPAAALCRERRDLLRAAADHRARLAAAHAAYFRALPRVADALARFASHHHAATPPPGSPVLTLPPPSDHDDDAADADEPKKQRSGSATPHTDSGHSHIHFHSDDASESDPDSSADDPCAGPGGCGGGHGEIPPQPAPALRPGVPLPDRGHGEPQERPIQDPGFGRPEMPWEYASYDPYPSFPNTAFQNATFPSYYYMKASSTPANTVYQEPYGYGNFATSSSYMGYNCGYSNPMYGVPLPPEGDRPVEDRGREPAAAPAPPPPMPMPETTPWDFFNPFDSYEQDLPQYKGKGYDSNGSFTSSPNSSEVRAREGIPELEEETELESMRESVKARKAVESTASNRIGNVDVSAKVKVSMEHKEVEIESVYSASVLESGDESVCSCDCDHANARTEGPVQVPAGDDHGKVRKVSSEDHSSIVVGEDVRPPEFTGTRDVAEVVDEIKEQFKSVAACGDDVARILEVGRMRYRSRNRILRLVFSRMMGTFALSFSSLSETPVKGLEQSAINSSKGNQNSSKRFDLPSDVELNTLSATMDRLYVWEKRLHKEIVEEEKLRITYDKEWKRLKELDANGAEPYKIDTTRASIRALLTRINISIRSAKVICRRIHTLRDDELHPHLVTLIQGYDRYLERFDLQTITLFEYHFA >Sspon.02G0015810-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:43155678:43158551:-1 gene:Sspon.02G0015810-1A transcript:Sspon.02G0015810-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVGTINLFLVVVLGWSSQTALSQILLQAFNWESWNKGGPGWYDYLQSQVDDIAAAGITHVWLPPPSHSVDAQGYLPGRLYDLNVSQYGNETQLRALIAAFHGKGVKCIADIVLNHRTAESKDGRGVYCIFEGGTPDGRLDWGPHMICRNDSYSDGTGNADTGLDYKPAPDLDHLNDVVRSDLTGWLQWMKSDAVGFDGWRLDFANGYSPAVAGMYINSTTPDLAVAEIWTDLAYEQDGRPRADQDAHRQVLADWVDAVGGPAAAFDYTTKGLLQAALNFSQLSWMKDAQGRAPGLVGLRPRQAVTFVDNHDTGSKTHQLWPFPPAMILQGYAYILTHPGTPCIFYDHFFDPNMKDSITTMMKIRTRNKIGPASKLRILLAENDAYVAEIDGSVLAKVGPRYDVSKYVPDGLQVSTSGSDFAIWEKSSVVQTNTPPPSTTTTRSRRWVTPVVATVAPLSALLACGAAVMLLFRRQKRRSANAIDHSDDEDDDDHDQADFENEVLGPRRYHYRELAAATGNFDEENKLGSGGFGPPSRASDVYSFGVVLLEVACGRPATDELPDGETLALPEWVWELYDRGAVLEAADGRLDGQFDVWEMERMLVVGLWCSHPVPRERPSIVHALNVLQSRDTTLPALPTNVHRGAVTPTAGFSAYVHSMSSVGSVGEPC >Sspon.01G0046680-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:92880252:92882426:-1 gene:Sspon.01G0046680-2D transcript:Sspon.01G0046680-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DMDRYQRVERPRNESTIEENEIRITAQGLIRNYVSYATSLLQVPQERRIKEIVLKAMGQAISKSVAVAEIIQKRIPGLHQDTNISSVSITDVWEPIEEGLVRLEMTRHVSMISITLSPRELDKQTPRYQAPVYVEQPRQQQAPPPQRFTRRPPGQQFQQLEYVDSYARVEEEGGAVGVEGVGVEVAMVVMVAMETTKVAITKAVGIMTIKVDMVAMTIKVGMVVDMATTTADTETIQKMVDITEGEEVVCEEEAIGVTVQAMKVAGAVAMKEAGAAAMKVAGAGAMKEAGAAAMKVAGAGAMKEAGAADM >Sspon.08G0024630-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:70768:73235:-1 gene:Sspon.08G0024630-1C transcript:Sspon.08G0024630-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLVEGLEVGGDTFIEEYIIGPAIEPADDHVADGDRERIILFGPEEGQSWIARPSKGPSMLGSVLSIGSRHGSMVNQNLPLTDPIVTLFGSVHENISLAGGSMRRIVPSKFDSMISITDQHPKTEQWDEENLHRDDEEYATDGAASDYENNVHSPLLSRQNTSAEGKGIAHHGHRGSALGLRRRSLSDEGGEAATSTGIGGGWQLAWKWSEREGEDGKKEGSFKRIYLHQEGVAGSRRGSVVSLPGGGDASEGGKFIHAAALVSQSALYPRDITEQRMAGPATMHPSEAAAKVPSWRDLFEPGVRRALLVGIGIQILQQFAGINGVLYYTPQIMEQAGVAVLISNLGLSSASASILISSVTALLMLPSIGLAMRLMDVSGRRFLLLSTIPVLIASLIVLVVSNVIELGTVVHAVLSTISVITYLCCFKMGFGPIPNILCAEFFPTRVRGICIAICALIFWVGDIIVTYSLPVMLNAIGLAGVFGIYAVACAIAFVFVYLKVPETKGMPLEVITEFFAVGAKQAVAKA >Sspon.03G0024820-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:98879865:98883211:-1 gene:Sspon.03G0024820-2B transcript:Sspon.03G0024820-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VAASRPCRLHRPRADRHQGPRRRPQGHGAPPRPPGLRVAGPPPHRRRHAHAQPAAVQGRQACLRPRGPGAARRSLRGRQPGGGGGRRGGGQEGRRAAAAGCQVAQVVGWPPVRSYRKNTMATSQLKSSKEESDANGFLYVKVSMDGAPYLRKVDLKTYKNYKELSLGLEKMFVGFSTGRSGSNGLKGKDGASESRNDGEFVLTYEDKDGDWMLVGDVPWKMFTDSCRRLRIMKGSDAIGLAPRAGEKSKNKN >Sspon.02G0033620-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:8874462:8879151:-1 gene:Sspon.02G0033620-2C transcript:Sspon.02G0033620-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAEEDAAATAAADGGSGGGSSGAGSSEGGARRRFDDKGLVARTSLILWHTHQNDVGAVRKLLEEDAALVNARDYDSRTPLHVAALHGWHDVAECLIANGADVNAQDRWQNTPLADAEGAKRQSMIELLKEHGGLTYGKTGSHFEPKTIPPPLTNKADWEINPLELDFTKAQVIGKGSFGEILKANWRGTPIAVKRILPSLSDDRLVIQDFKHEVNLLIKLRHPNIVQFLGAVTETKPLMLITEFLRGGDLHQYLKDKGALNPLTAVSFALDIARGMAYLHNEPNVVIHRDLKPRNILLVNSAANHLKVGDFGLSKIIKAQHANDVYKMTGETGSYRYMAPEVFKHRKYDKKVDIFSFAMILYEMMEGDPPFSNYEPYEAAKYVADGHRPVFRKSHTNELKDLVELCWSGDISLRPSFLEILKRLEKLKEHYSHENHWHLFHHAADYTQTITCGTGD >Sspon.07G0006090-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:30311524:30312518:1 gene:Sspon.07G0006090-2B transcript:Sspon.07G0006090-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARMLETSFPGIHVILRNYPPPFPKRVLSKVIPIVQVGAIATIMAGDHIFPRLGMVPPPWYYSLRANRFGTMATIWLVGNFAQSFLQSSGAFEVYCNGDLVFSKLAEQRFPSEFELRDLIGSRLPESPFGRNLGRTLT >Sspon.03G0014980-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:68680381:68684263:1 gene:Sspon.03G0014980-3C transcript:Sspon.03G0014980-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKEMTPSSKAAAPPATIRLVNFISEDQLDEVKRTRGERVEDGTAQRDKPLFQILQENKEKKDAEFNERFKHRPPKALDEDETEFLDKLASSRKEYEQQVANEEAEQLRSFHEAVAARSNIVHELETPTVSRPEESRPKPPTKRSQPALLKNVIISMKPQAKKPKVEAEAKPAPEERPSNGHDADQKQPDDTKATLGSLVAYDDDDDDESGEDDD >Sspon.06G0016090-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:87759002:87760814:-1 gene:Sspon.06G0016090-1A transcript:Sspon.06G0016090-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLKAPVIVLGCKLDLRDEQQVMAPIMQSFCAIDTCTECFTLRQIQREATDYDRTIFRMHSAVVSPFSQESAAHLLLYGNKGSRGQPLVVRSKGCACNQDEVYFNL >Sspon.08G0004280-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:10192174:10195263:1 gene:Sspon.08G0004280-2B transcript:Sspon.08G0004280-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAKARAPPAVTVSTAAAAAVEREEHVHYKHTDACHQLRWTAKESYEYMYARPWSRVVDFYAELVRAGAGAAGLAKLFGKDEKDYTLYTAGENYLTPSEKSTTTTSSKDRGGRWERVTFKVVISYHGGSFDGWQKQPGLNTVQGLVEKHLGQFVDERKAKQLEARSLPIEGCAVVAGRTDKGVTALQQVCSFYTWRKDVKSGDIKGAINEAAPDKLKPLHVSEVAREFHPNFAAKWRRYMYIFPLDEDANLILREAQSSKILENSEHNIKPQSFDVAKVDEILKTLAGKTLSYKMFARDTQASRMAKLHSANEDYREGMMVMCVELVANRFLRKMVRVLVATAIREAAAGAGDDALLKLMEATDRRATAPPAPPEGLCLVDVGYEDFSKQRCFIVD >Sspon.07G0036390-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7D:32941186:32941407:-1 gene:Sspon.07G0036390-1D transcript:Sspon.07G0036390-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRQGPRGCQVGLAGPTPCRTAPPSALLLPSFGMFLHRLLGLHPRRSLSQFDPRAHIGSSGLHKETLAPLPEA >Sspon.05G0023890-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:18541818:18556291:-1 gene:Sspon.05G0023890-3D transcript:Sspon.05G0023890-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ETL1 [Source:Projected from Arabidopsis thaliana (AT2G02090) UniProtKB/TrEMBL;Acc:A0A178VWA6] MHRGFEEISDEECSNHTFKPSRVLKRPNQRPSRPPPPIDSFAYNPKACSAAGTSTATVVLSDDDSDFDLGADGGSRRAAKSQRVLKRPHNGPPSRPSPPVKSFRRNPQPSNAAAAFGVSDSEDDDFVLTDDDFDRPTSSLRTSSLRRKGKSQRPLKGPQLKPHLRAPPPVNSFSHNAKRSKFAADVGLSNSEDDEFDLTESSSLHRSAKRQPVQKRPQHKPRLQDPQSYGSPRRNPKPSKAAATTGLSDSDDDDFNITDTDVDLPASLSRTSRPRRTTSRRLTSFAIDISEEDEEDLADDDFDYQDPRPPQQRPSGRRFVIGDDDDSDVPVAVDDDDDGVNWSELEKEDEDEGYNGERSVNVEEPEGDVVGMALRKCSRISSDLRKELFGSSSRDCESYAEIDASTCRIVTQEDVNAACTSEKSGFEPVLKPYQLVGVNFLLLLHRKSIGGAILADEMGLGKTVQAVTYLTLLRHLYNDPGPHLIVCPASVLENWERELRKWCPSFSIIMFHGAARTTYSKELSSLGKAGCPAPFNVLLVGYSLFERRSAQQKDDRRALKRWQWSCVLMDEAHVLKDKGSFRWRNLMAVAQHARQRLMLTGTPLQNDLHELWSLLEFMMPDIFGIGDVDLKKLLNAEDRELISRIKSILGPFILRRLKSDVMQQLVPKIQHVKFVVMGTEQSKAYKNAIDEYRAACQARSAKSSDGISNNIAGLIPKRQISNYFTQFRKIANHPLLIRRIYSDKDVDRIARLLYPKGAFGFECSLERAIQELKNYNDFDIHQLLLSYGDVGTKGALTDEHVFASAKCQALGELLPSLANDGHRVLIFSQWTTMLDILEWALEVIGVTYRRLDGGWIGKLRTVAIALDNRNLLVTKESVDEKIYEIARRKLVLDAAILHSGAELDNSTDVPEKTMGEILASLLLHMKTNNN >Sspon.07G0023490-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7C:14940348:14940901:1 gene:Sspon.07G0023490-2C transcript:Sspon.07G0023490-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTCPAPTPLLSFRKSPRLFLLTRRDAPTPALRRGSPCSLALREYTSLSRQAAMASDSGPDSSDPLLPGRRAPSTGGWKSALFIIWVEVAERFAYYGISSNLISYLTGPLGQTTAAAAAAVNAWSGAAAMLPLLGAAVADSWLGRYRTIVASS >Sspon.08G0002390-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:3794816:3802910:-1 gene:Sspon.08G0002390-2B transcript:Sspon.08G0002390-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGRSLAAVYSARATRPAPLRAGARPPRAAAAAAADPASEHVRRLVAEFDPAVPLDSAVTPPTGWYTDPGFLQLELDRVFLRGWQAVGHIWQVKNPNDFFTGRLGNVEFVICRDANGKLHAFHNVCRHHASLLACGSGQKTCFQCPYHGWTYGLDGTLLKATRISGIKSFNKNDFGLIPIKVATWGPFVLVRFDDESTEDNVYDAVGNEWLGSASDLLGTNGIDTSLPHICRREYIINCNWKVFCDNYLDGGYHVPYAHGALASGLQLQSYETLTYERVSVQRCESAPAESDDFDRLGTKALYAFVYPNFMINRYGPWMDTNLAVPLDSTRCKVVFDYFLDKSLLDDQSFIEKSLKDSGQVQIEDIALCEGVQRGLESPAYSVGRYAPSVEMAMHHFHCLIHGNLSVSESHDSFQDFYLPQHSSSKQDPIEGISPTAQENREDSGSEYRQWKEAAPLNPCFYLADPDGELLPCCNVLDLVELSLEEAACINGLCSK >Sspon.04G0010940-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:25748646:25749742:-1 gene:Sspon.04G0010940-2B transcript:Sspon.04G0010940-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEQGKPRSIRSTAKKSPFVEVEADEISQASGVVFARESALAPKNDQGCSVSHSHGDDETQESDGCVQSQGLDEHGWVDFGHSLQLVLFSRQWSLAESLVDLADQQSMLDYGLSISLDAIWFLRTKRDLEGLNSLIAKIVASGAKDFARAILRTSLLASCVAACQSKAITVGDSKEIVAERLHERLRDCPGAEHLKIEAGAKVQKFMEWALQCIHMHHCSEDTHRYRWSCSTLQEVQLHLSAFRTFLDIAGDNLSGKIFTEAFDAVCFPLTLFSSLFEPGWSSGSSAVSIKGLLSLLVEGGADNVNQCFLEAARFGSTELVRILLEVILVVLINL >Sspon.01G0018980-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:76345111:76350038:1 gene:Sspon.01G0018980-2B transcript:Sspon.01G0018980-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAPKSTGIALSLLLLAAAAAADTAKASALPLLNSTLPDPAAVVADLHSKVATSRRRMQEAGGGGGCMTGNPIDDCWRCAGTDWRQDRQRLADCGIGFGRNALGGKGGPVYVVTDSSDRDPVNPVPGTLRHAAIQEGPLWIVFASDMAIRLNEELLVNSYKTIDGRGARVHIGGGGACITLQYVSNVVIHNVHVHDCVPAGNANVRSSPTHYGWRTRSDGDGISLFGARDVWVDHCALWRCADGLVDAIMGSTAITVSNSYFAHHDEVMLLGASDAYLPDSGMQVTIAFNRFGPGLVQRMPRCRRGYFHIVNNDYTSWEMYAIGGSASPTINSQGNRYIAPADPNAKEVSEHAMPLRPPASASELLLACCRVSVLLWPLPFWPGLRRAALFFAWGWGAEHGQQTRTSFLALPSPACVFPRKRPLLLPGAGGMFGASSPSSPLFWHTNTTSHQVFPNRPIGCPGQSASVPVPSSTRGASRVRQLAWQCSRRPCARAASKHHVRELKADECNVSVCGCGVALWQVTKRVDTAEGQWNGWNWRTEGDMMVNGAFFVPSGEGLEDIYDKASSTDPKSSALVDVLTQNAGVFGDPRYVRTPDHVRHPVNSTLFLTLLETGGPRPQPLTAPRRASRPIIGRSGPCSGLWARELSGGIRRSGSRVRVSFLAFLDPPKAKSCRFTAEDAHPSAPYVPTGHRIPPDQSERLRRRPTRHRRHLGLGRDSALGGMMIGYDSGLRWNDAEETGYRGVNYAGVGAGGGGNGNGYGYLGMVYANGGGDWRCRSHSALPLISSVITLIALGCLRLL >Sspon.02G0050260-1P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2D:45548089:45552728:-1 gene:Sspon.02G0050260-1P transcript:Sspon.02G0050260-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPPSSGVLPPSYPLRLREPPLTLRNPRSILPVADVAALLLRAAYEGDVPQLKTLVKRLRKAGKSVEEAMTEIRASWYKGQGPLHMAALSGKTAVCKLLIKDLKLDVDAAGYDGVTPLSLAILGTASAAVTRLLLDHHADPNKAAFDGCTPLHLATTQDAYEIAELLLSRRAYVDPVSECGTPLYIAAKNGSAKMLKLLLRHQADARADVNAGTVTPLIAAAYAGSTDCIKCLLKAGADANIPDQNGRVPIEFAATQRWKECVDVLFPVTTPLAKVADWSTTGIIEHSKLMSSKPQDENDGSDFEAQGDAAIGKSDYAHALTLYTMTY >Sspon.01G0035740-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:13959149:13962502:-1 gene:Sspon.01G0035740-1B transcript:Sspon.01G0035740-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAGGGGVARPTVTTAPCGRAAHPATTTSPAGGDQQDRERRIQLSIYPKKITRHERYTFTISKTRGLENIRTDDYQPVDPSPSSKATIRPGPIEHGTPLLPYYKIVVILEQIERMCIWLMGA >Sspon.03G0017180-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:75474361:75476277:1 gene:Sspon.03G0017180-2C transcript:Sspon.03G0017180-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AMESRKSNYLVALVLASLLLSAMAGGHRKRLLNKDDASESQETSSESMQQLQEDDEMAVVVHERILRQVKMNDYGRYDPSPTMAKPHFKDIPN >Sspon.06G0011400-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:62522028:62524267:-1 gene:Sspon.06G0011400-1P transcript:Sspon.06G0011400-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRVKIGHWGGRGGQQRDVQYDPIQLVRVIVYSEGQAINGISFTYMDKHGQHHEEGPWGGADEDETPHRDIELSHADLKEISGTCGKVGNMNNIITSLRFVTNKGKTYTFGNSTGTPFHVPMQEGKIIGFFGRAGDYLDALGIYCAA >Sspon.03G0011800-4D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3D:36700676:36707418:-1 gene:Sspon.03G0011800-4D transcript:Sspon.03G0011800-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDRVILYSPDDANGARPSADVNQEDQHYDPLSVVLDCQFCGACVALWPFSLVQRPLQLFKLISDSNRQDDQDNEHANVVGGVGHSKDANFGFNFTIAGGPPPTRQSFRPKVSFPVVSRHLKADLNSRVNLLSSGSDGHMVPVASDASGSMKRKRSTDQPHLLEGDTDDVDTSTIGAKHDQRGENSEKSIPNSVVSTEQKQGGSHSNTDKDTKLDEASNVEQPETGSPSRKSITGTDAAVDQHGLEPRFCSVQGTSEEPSNGVTLTETHVNNSRPTEVAITESLVNREKGVYRPPEKQGLYDRMNEFDPIKQHRTFCPWISPDYGEPLPGWRLTLSALLTQDKKSDGDLQVEVQTSLLDEVAHAPPPARAPRPGTRGPLRRGGPGHQPRPATLRSLLTPIKCGRTRLPREPQPPFPVLASRRLAPAPFRKLRPQLAHLISRARHHPPPATEDDIPGRSPQRRRHHRVLFVLTERRRRRRRLHLCSGALYRPTFWRIWKARDVEEFKPDPYLATLLNCMLWVFYGIPVVHPNSILVVTINGIGLVIEAIYLTIFFIYSDGKKRVSATALLFLFPSASISDLVVAFAILAVEILFVAAVVIGVILGAHTHEKRSMIVGILCVIFGSMMYASPLTIMGKVIKTKSVEYMPFLLSLVSFLNGCCWTAYALIRFDLYVTIPNALGAFFGLIQLILYFWYYKSTPKKEKNVELPTVSRNVGGGNVTVSVER >Sspon.01G0024730-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:88027213:88032866:1 gene:Sspon.01G0024730-1A transcript:Sspon.01G0024730-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQFFGGSSLTSVAPEATPAPAAPPGTGTGASAQVLYVFNRNGVCLLYREWHRPLRTLDPTQDHKLMFGLLFSLRSFTAKIDPTTAEKGNLGVPLLPGQGCSFYSFKTNTYKLNFMESPSGIKLILITHPRTGDQRDSLKHIYNLYVEYVVKNPLYAPGTPIKCELFNKHLDQYVRTLI >Sspon.04G0035920-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4D:21230644:21232921:1 gene:Sspon.04G0035920-1D transcript:Sspon.04G0035920-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRQGALPLATSTTSWRQSNLKASRVSFLQLLAPSPRKVWGGAKEIEKCQGLVFATRPITSRSMGVAPEQLKSTKGLVFATPRPIVSKGMGVMPKQLKSIEGLVFVTPHPIASKGHERISYKLQPRGGGEERIRQAIYLKAKVLPRAHEQKLEHVLQSSTSTPPTLTTLPTSTTSTFSEGSVMKRRYRGLNTI >Sspon.06G0003930-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6B:10063613:10064736:-1 gene:Sspon.06G0003930-2B transcript:Sspon.06G0003930-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription repressor MYB4 [Source:Projected from Arabidopsis thaliana (AT4G38620) UniProtKB/Swiss-Prot;Acc:Q9SZP1] RPSKTQPNPTLPTHHTNFPFPLIAFSFLAAANQPPIKPRAPAHPLPDFSNPIAELAPLPSRRSRKRKRSSKQGDRPMGRSPCCEKAHTNKGAWTKEEDDRLVAYIRAHGEGCWRSLPKAAGLLRCGKSCRLRWINYLRPDLKRGNFTADEDDLIIKLHSLLGNKWSLIAARLPGRTDNEIKNYWNTHIRRKLLGRGIDPVTHRPIADADAGAGAVTNISFQPSPNAAGAVAAQTPQHQPIRAEATAVQVPRCPDLNLDLCISPPCQQEEEEEDEELELKPAVVKREVLQAGHGHGGGLCFGCSLGIQKGAPGCSCSSSNRHHFLGLRAGMLDFRGLEMK >Sspon.05G0014060-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:51055135:51064272:-1 gene:Sspon.05G0014060-1A transcript:Sspon.05G0014060-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VNVLCGVGILSTPYAVKQGGWLGLVILAVLGALAWYTGILLRRSLTLDSHVLFAILTALIVMPTTWLRDLSCLSFISAGGVVASIVIVSCLFWVGLVDHVGTVKSEGTALNLPGIPIAIGLYGYCYSGHGVFPNIYSSLKKRKQFSAVLFTCIALSTVLFAGAAIMGYIMFGESTESQFTLNLPPNLVASKIAVWTTVVLCVFIIAVGLCCAGVGTYSSLSKIIQQYH >Sspon.03G0024350-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:97666147:97669548:1 gene:Sspon.03G0024350-2B transcript:Sspon.03G0024350-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVFLQDWWRSIQHHVGGDQFSITLTGYQTLQRFFYLARLRENPVGVNKATVLLDNGNLVIRDRVNSSMVIWQSFDNPTNALLSGGHLGFNKINGKKMTLSSSLDPYGIGLTFTLSLDATRRRGFIIRQHPNGRIRKLFKARTVGGSGSLMVFSFVQIKNSTKKFSEKLGEGGFGCVFKGMLPSCTVVAIKQLKGLRQEDKQFRAEVQTIEFCPKIADFGMAKLLGRDFSRVLTTMRGTIGYLAPEWISGVPITHKADVYSYGMILLEIISGRRNSEKIKEGMFTYFPIYAAVKVNEGYVMCLLDSDLEGNADSEQLERACRVACWCIQDAEDHRPMMGQVVRMLEGVMDVQCRWWLCAMLIAEDGEDWLPAQLLVPRQASWTTARCSSKCLSESAPERVQ >Sspon.03G0016760-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:73970363:73971372:1 gene:Sspon.03G0016760-2C transcript:Sspon.03G0016760-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGENQESQTRNVPAASAAAAPGAKPASAGAGKSAEGQSVVRRLQSELMALMMGGDPGVSAFPEGDNMLHWVGTIAGSAGTAYEGTSYRLALAFTAEYPYKPPKVRFDTPCFHPNVDVHGNICLDILQDKWSSAYDVRTILLSIQSLLGEPNNESPLNTQAAALWANQEEFRKMVEKLYKPAA >Sspon.01G0005330-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:12775326:12777691:1 gene:Sspon.01G0005330-2B transcript:Sspon.01G0005330-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEATPTTAVSSSGGAAQLPPPGPPAKKKRALPGMPDPDAEVIALSPKTLLATNRFVCEICNKGFQRDQNLQLHRRGHNLPWKLRQRSGKEARKRVYVCPEPSCVHHDPLRALGDLTGIKKHFCRKHGEKKWKCDKCSKKYAVQSDWKAHVKTCGSREYRCDCGTLFSRRDSFITHRAFCDALAEESAKARAEDGGSAAAVVPPPAPPPPAPPAPHHAEQRNEPETNATEPVQFAPPPPPPPPQVPVLSQTSVSAANVSAGSSSVAGTSQSLLGGMFAPSSMASAPQFPDLVGGVGRPERALPAKPPSLCLATDASSSIFSAPVSAERQQFAAPPPPSPSPSPHMSATALLQKAAQMGATSSSSSFLRGLGLDVSSSSPGASSSGQQQHHQDAMQVSLPDTSLPQWPPRLEPEPAPMLSAGLGLGLPYDSTGAQRKPATLDFLGLGMSPTGAPASRGLPAFIQPISGAVGMAGTGAGAADTFGAGRGAQATPWERNPSSSPIL >Sspon.07G0025290-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:33157346:33160807:-1 gene:Sspon.07G0025290-3D transcript:Sspon.07G0025290-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQQLLLLLPAPSRTFLKPLPSPFLSSLPRRHLVPVSAVRMAPAIRRGLLRYATKRSGLVEELEIAKDMQPRSRRANGIFWILLLNFGIYVADHLFQIRQIKSLYLYHAFPTWFQFVTSTFCHANWNHLSSNLFFVYIFGKLVEEEEGNFALWMSYILTGAGANLISWLVLPTSSVSLGASGAVFGLFTISVLVKMSWDWRKILEVLILGQFVVDKVMEAARATTITGQSFQVNNIAHVSGALIGAALVFLVSRIPFSSNDDNPKATKR >Sspon.08G0014030-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:53692976:53696781:-1 gene:Sspon.08G0014030-2B transcript:Sspon.08G0014030-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARLRCAAAIAIATAVPAAAALPLPLRRHPAFLRRVPAAVCFQFSSCRIPAPRRAAAAMSSLAAAAQRTEHEAGAWYAVPGLSLRDHRFAVPLDHSSPDRGDTITVFAREVVAAGKEDVSLPFLLYLQGGPGFESPRPTEAGGWLKKACEDHRVVLLDQRGTGLSTPLTPSSLSQITSPAKQVEYLKHFRADNIVKDAEFIRLRLVPDAKPWTVLGQSYGGFCAVTYLSFAPEGLKSVLLTGGLPPLGEPCTADTVYRACFKQVQQQNEKYYKRYPQDIQVVHEVVRYLSESEGGGVLLPSGGRLTPKMLQCLGLSGLGSGGGFERLHYLLERVWDPVLVAGAKKSISYYFLKEFEMWLGFDQNPLYALLHESIYCEGSSSKWSAEKIHGEYGSLFDPIKATEEGRAVYFTGEMVFPCLFDEIPALRDLKEAAHLLAEKEDWPSLYDVSVLNNNKVPVAAAVYYEDMYVNFNIAKETASQIAGIRLWVTNEYMHSGIRDGGSHVFEHLMALLNGKKPLF >Sspon.05G0036850-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:5106312:5114141:1 gene:Sspon.05G0036850-1D transcript:Sspon.05G0036850-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLATLAATPSTAVAMELLDSSEQLHMPARTAGSQSSSPHCTCSETADEAKVRATQCGGSVGLRGPQNLNRTGGEWIVSID >Sspon.04G0010130-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:25184426:25189798:1 gene:Sspon.04G0010130-2B transcript:Sspon.04G0010130-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGKGGAMDRRSSARWRVLVLCAFSFGLGMLFTDRFWTAPDTSNHIMSQRRRQEQELQLVSEDCNTKRKHGEDKDIMGEVTKTHEAIQSLDKSISTLQMELAAKRSTLELLRSSGSPVTSETSQPRKKAFVVIGVNTAFSSRKRRDSVRETWMPQGEKLQQLEEQKGIVIRFTIGHSATSNSILDKVIDSEDAQHHDFLRLDHVEGYHELSAKTKIFFSTAVGIWDADFYVKVDDDVHVNLGMLATTLARHKTKPRTYIGCMKSGPVLADKPILHKYANEDMSLGSWFIGLEVNHIDERNMCCGTPPDCEWKGQAGNVCVASFDWSCSGICKSVERIKDVHARCGEGDSAVWSALI >Sspon.06G0000790-1P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6A:2886952:2888404:1 gene:Sspon.06G0000790-1P transcript:Sspon.06G0000790-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVERDLHMSRGDGEDSYASNSRLQEKSILKTRPVLHKAVAAAHASSLSSGGGAMVVADLGCSSGPNTLLVVSEVLGAVADRRDELTTMAGAQPPATHVQFFLNDLPGNDFNLVFQSLELFKKLAAKDKGDALPPYYVAGLPGSFYTRLFPGRCVHLFHSSYCLMWRSKVPDELAGGAVLNEGNMYIWETTPPAVVALYRRQFQEDFSLFLRLRHMELVSGGQMVLAFLGRKNKDVLRGEVSYMWGLLAQALQSLVKEGRVEKEKLDSFNLPFYAPSVDEVRDVIRQSQAFDVTHIQLFESNWDPHDDTEDDDGDLVLDGVQSGVNVAKSIRAVIGPLIAHHFGEHVLDDLFELYAKNVAVHLQKVKTKYPVIVVSLKAISRAPKNQANDKYYSGFTHAQFM >Sspon.01G0030270-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:105154471:105164356:1 gene:Sspon.01G0030270-1A transcript:Sspon.01G0030270-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cactin [Source:Projected from Arabidopsis thaliana (AT1G03910) UniProtKB/Swiss-Prot;Acc:F4I2J8] MPKRDRDSEDRRRSSSSRRRRSPSPSDSDAASGSSGSPRRSRGRHRRRSHRRRDTPSSSDASGSGSEDSGSDSGRRGRSGGQRRRDVTEEQIIEYMAKKAQKKAEKVAKKLKANAVSGYSNDSNPFGDPNLTEKFVWRKKIERDVSQGQKVDISVKSEKKKQQERMAEIEKVKKRREERAIEKAQHEEEMALLARERARAEFQDWEKKEEEFHFDQSKVRSEIRLREGRTKPIDVLLKNLNFSDEFDIELNEPYLVFKGLTVKEMEELRDDIKMHLDLDRESQTNVKYWEALMVVCEWELGEARKRDALDRARVRGEEPPPEVLAEERGLHASIEGDVKSLLDGKTSTELEDMQSQIESQMRSGTAKVVEYWEAILKRLHIYKAKACLREIHASILRKHLHRLEHPGAVEQDVESDKEDDNKLEDEMHEDEDDKRYSPEPIPQRTENQFEEEDGSFSPQLMHGNEDEDAIDPEEDKAELLTE >Sspon.03G0008890-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:29762599:29763828:-1 gene:Sspon.03G0008890-2D transcript:Sspon.03G0008890-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQRMWEDKFKKAHLMLCDNALNNKFAMGVTVDQVDRHYRYHKENWKYIAIALSNSGNTFDETRCMVNISDSEKATLCNYSYDPMLMDLWLWTRTHAWMLMMAQIVMTQENNLTSIDTHSLKKHKVRIQTLYQLHLGMQRLIIRLLALVKLGSIQELRTHQLRSQKNKSCFADSTNEITATMKSLRETLAPPQMPQLTETHAAYGKDWRQFQ >Sspon.02G0040620-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2B:70954371:70954619:-1 gene:Sspon.02G0040620-1B transcript:Sspon.02G0040620-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MIDAASTLPPPLPLRPSIPPRSSAAAGILPALRFETEGCPTRRRAERGFAVPEGHLTSCSAPSGERSALFLASSSALNSPCP >Sspon.07G0013540-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7A:48675129:48675434:1 gene:Sspon.07G0013540-1A transcript:Sspon.07G0013540-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MCYRCPNSQQHSTDSHPAAAGSQVSRNSFRSLRGRAPIPVPVQSLLHGAPPPLRLWATSSPSAPPSWCAPPPHLLLLHLRSAPFFPPPRATPPARFFPTLP >Sspon.01G0051800-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1C:18207511:18208338:1 gene:Sspon.01G0051800-1C transcript:Sspon.01G0051800-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSPVSYWCYRCSRFVRVSPSTVVCPECDGGFLEQFTQPPPRGGGGSGRRGTMNPVIVLRGGSLSGFELYYDDGAGEGLRPLPGDVQHLLMGSGFHRLLDQFSRLEAAAPRPPASKAAVESMPSVTVAGGGAHCAVCQEAFEPGSAGREMPCKHVYHQDCILPWLSLRNSCPVCRQELPAAATPDAEADAGLTIWRLPRGGFAVGRFAGGPREQLPVVFTELDGGFSNGVGPRRVTWPEGDGQVDGGEGRIRRLFRNLFGCFGQPASSSQSHSG >Sspon.01G0017680-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:69172329:69176354:1 gene:Sspon.01G0017680-2B transcript:Sspon.01G0017680-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding QMAPAWAVPALTAAAWALRAAVWACLAASAMLVAEAAYMGLASLVAAAMLWRRRRPDARYRWEPMPMPGAGGRHDVEAADFPMVLVQIPMYNERQVYKLSIAAACALTWPPDRIVIQVLDDSTDPIIQELVELECQDWATKKVNIKYEVRDNRKGYKAGALKKGMEHIYAKQCDFVAIFDADFQPEPDFLLKTIPFLVHNPKIALVQARWESGLANSSRMFLTWPLPGDFAVNYDVCLMTRIQKMSLDYHFKVEQESGSFVYSFFGFTGTAGVWRVSAINQSGGWKDRTTVEDMDLAVRASLKGWEFLYVGDIQVKSELPSTFKAYRHQQHRWTCGAANLFRKMAWEIITNQEVSIWKKHHLLYSFFFVRRVIAPLVTFLFYCVVIPLSAMVPGVSIPVWGLVYIPTAITCMNAIRNPRSLHLMPFWILFENVMSMHRMRAAVTGLLETAHANDWVVTE >Sspon.02G0022370-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:76201573:76205757:1 gene:Sspon.02G0022370-3C transcript:Sspon.02G0022370-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MCDLVARTGRHLQRYENGRRLVAGCIPFRYRDINDEVSDDEQKKLVEVLMISSQSGPGLLFPKGGWENDETVEEAAAREAIEEAGVRGDLVQLLGFYDFKSKQPEAMCRAAIFALHVKEELASWPEQNTRQRSWLTVPEAAEQSRYPWMQEALVTGFSAWLQNWRNGGSRVDQSER >Sspon.08G0002920-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:6307249:6308887:1 gene:Sspon.08G0002920-2C transcript:Sspon.08G0002920-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSDDGAAKPRCVSSGFAFTERVAGPQQHTIQFSDHERSPQLSAQKKCAASSQVRIRVGDRIRATRCNGEAITTPNDDGSRQDPVLVPLQDSHGVMSTVSVSTRWERLLSQLPDLTFCLSVPVDTTSKATPSEERVQSMARTLRRRCADHHAIKMLRLVYRKDVAMECRYADDMIALANATKLVLTPHVGKEFLPDADAGTWSLQLPPATVELQVLPFWYAVRPPRIHGAGVSTLRSLTLLGETVVREDFPLAATVFPSLEDLHIGECTLAASMSVTSDTMPRLKHLRFTDVSVRTDMTPKPPSPTLRMSCRRYSKTESPSEPIRVHAKYSLFRLRAPKLAVFEWRCCYADEVRIEAVGRLSDVAIEVAAGRTRTPTPIGTEPKYVTIQQRDKLVTDILQGLMPGLQPRTGKM >Sspon.06G0029620-2D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6D:25262226:25263179:-1 gene:Sspon.06G0029620-2D transcript:Sspon.06G0029620-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIGSAALAVVLAIAAAAAEPPAPAAPIGLADCSTSCGGVSVPYPFGFGPPRCYWPGFNLTCDRSSGQLLLGDGTLRVVEISLRNATVRVVRSGSIIDSASITSDRNVSFGGSFMDHGYMLSNGNELVLSGCNLVATLVEDLGVGPGRSGIISGCASFCSFRNKKVDSVGQTGAGSKYCSGMACCQAPINYHSSPTQVRLRWLDAGNHSEALTFLPTYVFVAEEGWFDQRPLADELLSVKQSPSRAALEVPLVLLWGVRQGLPPLPNLPANATTACSADADRVLCKSDHSVCAAGNLGYTCQCQQGYDGNPYLAHGCQ >Sspon.01G0024840-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:88732030:88733398:-1 gene:Sspon.01G0024840-3C transcript:Sspon.01G0024840-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQRTSTAQVMSMDLSPKKPNKAYGSDGGAYYDWSPADLPMLGTASIGAAKLCLSAGGLALPSYSDSAKVAYGTCGVVLPEATKEKVIPVKEGDSLALPFGVVTWWHNAHAADLVVLFLGDTSTGHKRGQFTNFQLTGSTGIFTGLSTEFVARAWDLTQAAAAELVSAQPGAGIIRVKDGHRMPQARDEDRAGLVLNCLEAPLDVDIKNGGRVVVLNTRNLPLVKEVGLGADLVRIDAHSMCSPGFSCDSAYQVTYIVRGSGRVQVVGIDGTRVLETRAEGGCLFIVPRFFVVSKIADETGMEWFSIITTPNPIFSHLAGRTSVWKAISPAILEASFNTTPEMEKLFRSKRLDSEIFFAPSSN >Sspon.01G0013990-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:41721752:41725225:1 gene:Sspon.01G0013990-3D transcript:Sspon.01G0013990-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNRSRLRAGKESMKSISAQTESTSREAETSKFHRLKCTDETLGEPCLDRIPNFHCKSLPSRYRDANPEDGIMHKRGSMYQSSGEVSRLRNLQGRSKLNYSSSKDTFLSFEVANSSSQSQTSTSGDCSFPLRSYSCNTRSSMGTNQRVYQTSREIMKLSLYEIPEDDLTLEKPRRDCNLLKNDALDSFLEISLEEDTTKGSCTNATPHLPEGIGFCPDERDVVNLPKSLSTKVGVFDATCPSECVGNKKARSSPFKKIFDPIMKSKSQRNPSLMETEDSKSSSTPFGGKCRVLRKSLLSDISRAEQSLAPDCQTNGEAQKLTVTSSPTHLHAVIKLDPNHGAFGFVFSTKGPEESIYVNTWKAGDELNWIYTFHSSGKRASTVGRTSKDRHGCLPPIVGQMHVSSFLYSSVGEDGTLKNSATTEFVLYDIAHARRSSAVERIQFTDAIRPSFCNVVNNSMSGQTRNDLMQRQNTTRTDSDLSTSCLWSREDLHPHLEVAAIVVQVPFHKTKSKELKAGSSPGTIKAVTAGGAHGLPRDDEASPSPLLDRLKSGGACDCGGWDMSCPIVVLDNAYDSYWADSVMNESQAPMELFAQAVQGQLNMTKGNKDVLPALSMKADGNGHFSVDFHARLSALQAFSICISLLHCSEASSDIGIEKFKNKLYSSSLKILLKEEVRQLIDSVTPKEKKKPKSRNEKTPPSIVIDPPFSPMGRRHRGGFVWFQSCNFASACTFLVSRANNRQFKATERGGGICVSG >Sspon.04G0004860-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:9645904:9650171:1 gene:Sspon.04G0004860-2B transcript:Sspon.04G0004860-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMESGRRQGVVAIECVAGGSRAEEWGPGSSETVQTGDVVEELLIGVGSRGGPASHAAPFKGGRAALQKLLHAAYRHGETSVEVRVRRSASAQGQQRQLVAGGDSSGELADAATEARMQACIVPQESVRGGGIGRSRQYVLRSIRDPNYAVGLVDRMESECIAIRGSRSSRVVCALSKAQLQDGYVSYPWEKKMREVLPIPNSSSFLSLLILPMALDRAGSRYNSVEDTLARANAWILSSQATGVPIAFLNVQTEALLTKISGETASSTVNSGSLADLPNLANASLYGFEDYHGVDIGVVKAVRVWYTAAAGEMPVEIILEEGDARLGFAISRTEEGFIYVSSVVENDVERQAPSTRSGLRDLYREAKLASKLLVISRVSGQKVLPWMVSTSGAIRCFDTVSLSQKLSLHRHALRPILLHVLMWDGKSDAPSRPDREPCPLPMQLPLPSPEFAELPRQNSFACVEQRMQAEVDPGVMHGRDTAGDASFRFHNFSLPNNWVNH >Sspon.07G0013200-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:53355045:53357769:-1 gene:Sspon.07G0013200-2B transcript:Sspon.07G0013200-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAAGGLRRLLAAAATAGAAEVRASIFGHALNPTGKRAATKLLRKKMVGDQVAQWYPYDIKRDDPLVMAREEKERLAKLEMLKRRGKGPPKKGQGRRAVKRSK >Sspon.04G0018470-4P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:75561946:75564749:1 gene:Sspon.04G0018470-4P transcript:Sspon.04G0018470-4P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AALQPWQDWHQKGPSLTTSSMTRKCRIEDFFTSYDEVCESFDNMGLQENLLRGIYAYGFEKPSAIQQRGIVPFCKGLDVIQQAQSGTGKTATFCSGILQQLDYGLVECQALVLAPTRELAQQIEKVMRALGDYLGVKVHACVGGTSVREDQRILASGVHVVVGTPGRVFDMLRRQSLRPDNIKMFVLDEADEMLSRGFKDQIYDIFQLLPSKIQVGVFSATMPPEALEITRKFMNKPVRILVKRDELTLEGIKQFYVNVEKEDWKLDTLCDLYETLAITQSVIFVNTRRKVDWLTDKMRSRDHTVSATHGDMDQNTRDIIMREFRSGSSRVLITTDLLARGIDVQQVSLVINYDLPTQPENYLHRIGRSGRFGRKGVAINFVTRDDERMLFDIQRFYNVTIEELPANVADLL >Sspon.07G0013300-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:53485035:53488935:-1 gene:Sspon.07G0013300-2B transcript:Sspon.07G0013300-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SEQKSRKERRKEARSEKHKLRFLSWVEHQVGKKKKLAMPVVEPSPVEEKKPKKETDGMEKMKKRKREAEGKRKPKSNFQEYLEMEMGGAVSMEEDLEMERKLAKKLKVKKGKLGGPDDGMDELFADLGFGGDFGSDDETKAYDWNVVDDTNLDKKKGKKKNKKAKKDDTEMEEQDDIGEENGRKKKKKKMKKDGMETEELVDVGEENGRKRKKKKMKDDSEMDRPDDEGVDMDEENDGAVLESEDGEPNVVELPTESKGKYVPPSLRNASNSESEEIAQMRRRVRGFLNRLSESNVESITQEIATLSRVVPRSVGSQIIGDEVLASCSRGPRGNEQFAAVFAAFVAGMACLVGIDFSAKILASIAKSFEVEYSKEDGLSLRNLTLLFCYLCIFGVISRFLVYDLLSILSKRLTELDVSTVLTILQCSGMKLRGDDPGAMKDFVLSIQNSVNQLKLHSGVREDGKTDIRSRRVEFMLETICDIKNNKKRPKEDPSHHTRIKKWLQKVKAEDVLLRGLTWSRLLDPDKKGQWWLSGDGPSTVANIEDVAAVISKDVAETQKLLQLAAAQRMNTDIRRAIFCIIMSAEDYVREIIRVIVDCCLHEKMFNKYYTVLASKLCNHEKNHKFSLQVCIWDHFKELDNMESSRSMNLAKLVAEMLSNFTLSLATLKVVNLANPVEMTPARISHFQMLFETLLQKDDARVWNVFTRVAGLPELEILRDGIVLFIKQHVIAEDTGKDLASKFKIAKKALDNTAGVLM >Sspon.01G0027040-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1A:95565269:95565973:1 gene:Sspon.01G0027040-1A transcript:Sspon.01G0027040-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQQQHQERPREVYRECMRNHAAKLGTYASDGCCEYTPDDGQPAAMLCAACGCHRNFHRKAYLDAAAGAHGGGGGGAHGPMLPSPGVSSGYGMHHMAITAAGMGGGDTGGAHGHGSGGSRRRTRTKFTEEQKERMARFAERLGWRMPKREPGRAPGDDEVGRFCREIGVTRQVFKVWMHNHKAGGGGSGGAGAGGAAQTSSSTTRGGGVVGGGGSMSPAMGADDEEVRGSEMCM >Sspon.02G0034000-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:9864355:9868046:-1 gene:Sspon.02G0034000-1B transcript:Sspon.02G0034000-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIWPWQGGDAMMHMLVAPDGGGGELQPYGAPPAEQELELLRDNADDGLEGHVRCLRCGISGNATPHMRRGPDGPRTLCNACGIAYRKGKMRRMIEAEPPIDEASLAKLVPEVGMEFVSEEKAYEFYNKYAGHVGFSVRKSTSHKSSENITKVRTFVCSREGYNRDKKSLEAKKPRLDTRIGCPARLIIKVTPECKYRVTDFKADHNHQLAPPSTMHMLRSQRILTELQSGEAELSDDSVVTPTTKATGDLVVRQVLDYRNIKELPQKYMLKRWRRTAKSADEDNEGNATNANGSSLNVPAPPANHHGLQSFSAMIQGSCQ >Sspon.03G0041630-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3C:44095489:44097528:1 gene:Sspon.03G0041630-1C transcript:Sspon.03G0041630-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Laccase-11 [Source:Projected from Arabidopsis thaliana (AT5G03260) UniProtKB/Swiss-Prot;Acc:Q8VZA1] MAMASSRHGLPLVLSALLLAVLALSAQADVKRYQFDIVMSNVSRLCHAKSMVTVNGSYPGPTIYAREGDRVVVRVTNRVQHNVTIHWHGLKQRRNGWADGPAYVTQCPIQGGGGSYTYDFNVTGQRGTLWWHAHVVWLRATVHGAIVVLPERGVPYPFPKPDAEAEIILGEWWHADVEAVEKQGRMLGMAPNMSDAHTINGKPGPLFPCSEKHTYALQVQWGKTYLLRVINAAVNDELFFSIAGHTMTVVEIDATYTKPLAASTIQLSPGQTTNVLVRADQRPGRYFMAAKPFNDVPVPADNKTATAILQYAGVPTSVLPAAPRLMPDTNSTASVAAFHDRLRSLNSARYPAAVPRAVDRHLLYTIGLNIDPCASCLNGSRLAASLNNITFVMPPVALLQAHYGGLKGVFAADFPDRPPARFNYTGVPLTAGLGTSLGTRLSKVAYNSSVELVLQDTNLLSVESHPFHLHGYNFFVVGRGLGNFDPAKDPAKYNLVDPPERNTVGVPAGGWTAIRFRADNPGVWFLHCHLEVHTSWGLKMAFLVEDGNGPDQSVLPPPKDLP >Sspon.05G0030100-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5B:86986794:86990317:1 gene:Sspon.05G0030100-1B transcript:Sspon.05G0030100-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VDKDAWDVIAAARIGSDRARRSTLQKLRQEWDKAHGGAPGGADDERKATRDDTYKNCGRTGHWAKDCWQAKRSGQAHVAKAQEGDEAALFFVHGSIKPHSSPAPATAALLHLDEPRAHVFLVNGSGGNKIDGWCLDTGATHHMTGRREFFSELDSGVRGSVKFGDASAVEIKDIGSVVFTTKTGEHQLLTGVYYIPVNRGANRLYILHVQVAQPMSLAARRDDDAWRWHECFGHLNFEALKQLGNKEMVQGMPRVEHVEQFYNTCVLTKQRRLPFPRQASFRAKEKLELVHGDLCSPVTPATPGGRRFFLLLVDDMSRYMWAVLLDTKAAAVDAIKRHQAVAETECGRKLWPVVSHLRVFGCLTFAKELNHVGKLDDRSTPGVFIGYAEGVKAYRILDPMTQRVHISRDVVDFIVDYVHFEGAEGASGSSSPSSSTSAPGSPSAPASPPPPTPPTSPPPSAAPNSPTPTPHSPASAPTSPRSAPAASVRDGQRTVEFATPLSDDEDRVDAYHDDEPLRYRTFTGLAMHDFEAELHLAHEDGEPRSFAEAEGDAAWRAAMQQEMDAVERNRTWELADLPVGHHTITLKWVYKLNKDEARAVIKHKAHLEGLRVHHMDVKSAFLNGDLKEEVYVHQPPGFVIPSKENKVLRLRKALYGLWQAPQAWNAKLDSTLKQMGFEQSPHEAAVYRWGKDGNTQLVGVYVDDLVITGTKEAEVEAFKEEMKATFQMSDLGLLSFYLGIEVHQDSSGISLCQTAYAKRIVELGALTGCNLAYTPIEERLKLSHDSTAKEIDAMQYRHIVGSLRYLVHMRPDLAFAIGYVSRFMQRPMTEHQQAIKRILRYVEGTTDYSLHYPRCPGAEHFIGYSDSDLAGNIDTSKSTSGTLFFLSNCEVEYIAATTASTQALLLARLLGDLLGKDGEAVELRVDSKSALALAKNPVFHERSKYIHINYHFIRSCLDEGSIKASYINI >Sspon.07G0018720-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7A:67667532:67667743:-1 gene:Sspon.07G0018720-1A transcript:Sspon.07G0018720-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VTGVLAGGVELVDNAELGGAAQRTGWGRHRHSDAEGGWHVSTLHTPSTARDISRIMRASSRYLLVFTSS >Sspon.07G0008030-1P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7B:19153551:19156811:-1 gene:Sspon.07G0008030-1P transcript:Sspon.07G0008030-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LCAAANDFCDRFATIGFNANLITYLTQQLHLPLVEASNTLTNFHGFSNLTPIIGGLVADSFAGRFWTIAAGSIIYQLGMVSLTVSALLPSLRPPPCSIGQHACARASAWTLLVLHLSLLCTSIGTGGTRPCVMAFGADQF >Sspon.01G0022300-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:81879035:81881124:1 gene:Sspon.01G0022300-1A transcript:Sspon.01G0022300-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRLVAAAAASSSSASPLARLISRRGLAGAADGHGPAKVPLWKDPLSPSKWKEEHASQRCRPVEGDRDELLRGDLREMGAVPKDVVRPVGAELALRGAYQHGIVVPKSTARRFHFSKAYHQIKGDDT >Sspon.01G0017100-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:58142140:58143603:-1 gene:Sspon.01G0017100-2D transcript:Sspon.01G0017100-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTMSVTVEITRRAVLRPPPPASARAGGSKSPLTPFDRASTDGYIPTVFAWNAPAPDNDAIVDGLLAAVARYAHLAARMGVDDRGRKCFHLNDAGVLVVEATAEADLADALVAHDVAAHINELYPKADKHALILCLWYAVQERVDEPLFQVQLTRYRCGGLVIGTVCQHLVADGQSMSSFYTAWAMAVRNASATNLPSPFTDRAAIAIPRSPPMPRFDHRNIEFRGEHSSTHAVLPMDRIKNLAINFPEEFVADLKARVGGRCTTFQCLLAHAWKKITAARDLAPEEFTQIRVAVNCRGRANPPVPMQYFGNMVLWAFPRMQAQELLSSSYAAVVGTIRDAVARVDAEYIQSFVDFGDMAEHAGEELASTAAGPGTAFCPDLEVDSWLGFRFHDLDFGYGPPCAFLPPDLPVEGLMILVPSCAAKGGVDLFMALDSDH >Sspon.08G0028340-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8D:9916006:9916330:-1 gene:Sspon.08G0028340-1D transcript:Sspon.08G0028340-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGRTCGSRRGGVRRGEPRQSRREKAARQRRRASSPALSFVPNTTGTTRSRTSPAFACACAAVLR >Sspon.06G0030020-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:36748864:36780357:1 gene:Sspon.06G0030020-2D transcript:Sspon.06G0030020-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHMRLKDAAAELNVPITYLRRLCRQNGFPNWPGKRIRYMNGIGRNGSPWAGLAGPSSQLAKIAEAMKKEEDNMTGIQAPVANHLPGEELTTLNLIDKHTTTMAGGKRNRLQDAPPKWAVVRGMLHMRLKDAAAELNVPITYLRRLCHQNGFPNWPGKRIRYMNRTGRNLMRDVDAAESSSRAGLAGPSQLTKVAETEEDDDMTTGIHEPEPVANPPPRELVTLNLIDKPEQGDGSKDAQQVRHLHGHDWSILFYPDGFSSASSDCISVYVELLGKEAMVWASCDLRLVDHTTGLSSFVHKTELRKFNSADISSFAPQTGIKAPRVSTTKLLNKIEVPPSNITVQLGKLLLLDAEEGTHVTFIVGGETFAAHKILLAMRSPVFKAQLYGPMSEAKAQNVTIQGMQSAVFRTLLHFIYNDSLPVDYHGGGGGTDNCEMIWHLLEAADRYAVDRLSLVCQSILCNNLDVENVSTTLVLAYQHDCNWLKDACLEFITNKSVMDAVGGVAAIHSAPALLLIAVPAVRYHPLIHMTMPTAVSTCTAKTEQCMLVFEIFDYSQHRGMGIGEFIRSGTFSVGGYDWAIRFYPDGDQQNYSGYISVHLELLSSDTKVRGSCDLRLVDQTTGLSTSVHKTELRVFLSSVLSRFAAHAAGPFMNRSQFEASPYLKDDHLTIQCITTVKRPQVSGPELLNEIEVPPSNIAELLGKLLDTGEGADVTFSVGGETFTAHKIVLAMRSPVLKAELFGQMKEATEQLVTIQDMQPDEFRALLHFIYTDSLPDMDDQDGEGNRDMIQHLLVAADRYAVDRMKLVCASILCKNLDVETVSTTLALAYQHSCDRLKDVCLEFITSSSDLMDSLVATQGYQNLKATCPSALVDAFEKSSK >Sspon.05G0026200-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:31683742:31691398:-1 gene:Sspon.05G0026200-1B transcript:Sspon.05G0026200-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLGLRGVSRDFGSFAFLPSVSWPRFSSRPKFPCTSSQRSVVRRSAGRMPTEQRRHMPTAHFLPAESESSSFPDELRLRPERQVGLVKQGCFPEHAGRFACSPPQKLKPIGSAVVDQLDIMQPYKLMDQKVSFGLDDKLFDVKLPPSSWREDQDPANQRDSFPKPLVSPLEGRRGSLNGTQYESGLFSSSLPDIFDKKIRLTPKNSVIGQLVEKVDLNHADDEPFELTKEIETQIIGNLLPDDDDLLSGVFGDIGYNTHANNQDEIDDDIFCTGGGMELEADGNSKLSKVNGGASYSQTRSIVQLNGERPSRILFVRNIDSSIEDSELKLMFEQYGDMQTLNASCKHHGFVMVSYYDVRSAENAMRALQSKSLRCRKLDIHYSIPKDYPLEKDINQDMVIVNLDPSITNDDLHQIFGVLGEIKEIHPISDNDHYRSIEFFDVRAAEAARCALNRSEIAGNKIKLEPSCLGGTKRLMQQMSRVLEHERFGACKLGSPNSPSTCFGSINMASIRSTGTESGTVQVLRSRVQTPINQFREGRSFLDLPSTTIRSRSSPVGIATAGSQSNHHALDEHIHSLGKMNVQNKGRMDCGFQESIAFHPHSLPDFNDRLRNGIPYNCSIPPIGVKSNARAPEAMDGRHIYKGGSGNLSNQSSAHTEALGFSRTGSCPLHGHQLARSNSNNIHQQPSSPMLWPSTSPFTNNVPSRQLMQVHGISRAPLRMLENTIPMNHQHVGSAPAVNPSIWDRRHGYAGEMMEAPGFHPGSVGSMGFPGSTHLHQLETNGMFPHNGPTFMDPAMSPAHMSAPSPQRRGHIFHRRSHVATIPSSFDSAGERMRSRRNDSNVNQSDNKRLFELDIERIVRGEDSRTTLMIKNIPNKYTSKMLLAAIDESHRGTYDFIYLPIDFKNKCNVGYAFINMINPDNINSSLMNEDKRCRPILFHSDGPNAGDQEPFPVGSNVRSRPGRSRILSWEQNHQDIVSDHTKGGTPPSRGGGTPGYIATDTDHVAVA >Sspon.01G0040460-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:37170817:37172838:1 gene:Sspon.01G0040460-3D transcript:Sspon.01G0040460-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASTARTVKDVNPHEFVKAYSAHLKRSGKMELPEWVDIVKTARFKELPPYDPDWYYTRAASIARKIYLRQGIGVGGFQKIYGGRQRNGSRPPHFCKSSGAISRNILQQLQKMGIIDVDPKGGRLITSQGRRDLDQVAGRVAVEA >Sspon.07G0003950-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:6065964:6068631:1 gene:Sspon.07G0003950-2C transcript:Sspon.07G0003950-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLVIDLAKTARDIIRGKRQAQIVNLYSLTMAGFRSLAPKTRNLVVAGGLSAFVLGVYYYTMRAVGGTDELQVAIDKFEEMKKKDAARNSNAGGS >Sspon.03G0028250-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:7157606:7160075:-1 gene:Sspon.03G0028250-3D transcript:Sspon.03G0028250-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVWREGAGWCFCSGGGGDDGVRLERVKAAIFSARASALAAVRAQGHHGSGLLIHRNLLLTTHGNLPSAAAAEDADALLGHGRLVARLEPHRFFITSSILDLTIVGLDYTEGDSTQQGQQPHYLKTSCKPSLDHGSAVYLLGHTGKKELVIGEGKVVIGTDNLIKFSADGVTWCPGSAGFDAQGNLAFMICDPMKLASSPTARSSSASSSSSHSWKKDHPMQFGIPISVVCDWLYQHWQGSLDEVSKPKLPLVRLMSSRSDHSSTSFTRRLVFKPDDDNDDASVCSKPKHQQASGSTATARISHEANPIVDLRTSSEQGIATPEIYESPRRSSCQDQKNAAAPIQLLDINFPPRVPKTIFLPLPLKQMLSDENNVETSKPKNRSKDNGFPSGLIWHRNSEVECRDPPVALRHMDCSSEGQSSSSPAEILEYGVQDQYSSEEETMYSAETMESRNIPSPREKHVGRSQSCVTYSRWISPRTSSMQNGTLRKQHTLIPVRKTHSQNTALPQRSHDYLSPTVSSAMKKRNSMEQQQPTKPRRSTVQSSPKWMF >Sspon.03G0005990-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:34309965:34317899:1 gene:Sspon.03G0005990-2D transcript:Sspon.03G0005990-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTVPSAAKSASPSQPSGKSEVADLKQQLRQLAGSRAPDADDQRRDVFKRVISCMTAGIDVSAAFGEMVLCSATSDVVTKKMCYLYVGAHARAHPDLALLTINFLQRDCRDQDPTIRGLALRSLCSLRVPNLVEYLVTPLTTGLKDPSAYVRMIAAVGAAKLYHISATACIDADLPASLKALMLSDPDAQVVANCLHALQEIWTLEAANSEAAAREIETLYSKPVVFYLLNKIKEFSEWAQCIILELASKFLPSDNNEIFDIMNLLEDRLQHANGAVVLATIKVFLHLTMSMTDVHQQVYERIKAPLLTLVGAGSPEQSYSVLCHLHLLVMRAPMLFSSDYKSFYCQFSDPSYVKKLKLEMLTAIANESNTYEIVTELCEYAGNVDVPIARESIRAVGKIALQQYDVNAIVDRLLQFLEMDKDYVTAETLVLVKDLLRKYPQWSHDCIAVVGNISSKNIQEPKGKAALIWMLGEYSQDMHDAPYILESLVENWDEEHSSEVRLHLLTAVMKCFFKRPPETQKALGATLAAGLSDTHQDVHDRALFYYRLLQYNPNVAERVVNPPKQAVSVFADTQSSEIKDRIFDEFSSLSVVYQKPSYMFTDKEHRGPFEYSEDLTSLTVGAEDPENVISAQRYQENDNDLLLSTSDKEDNGTRASNGSSTSTYNAPSDLISPSLISSQTPAETSLINTGGPTYSSQSNFSLDDLLGLGVTESPAPPPALTLNSKPVLDPGTFQRKWGQLALALSQECSLSPQGAASLMNPQSLIRHMQSNYIQCIASGGQPPNYKFFFYAQKDGATAFFLVECIVNTASAKAQLKIKADDGTAAEAFSTLFQSALSKFGLS >Sspon.05G0010980-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:31425050:31426695:-1 gene:Sspon.05G0010980-1A transcript:Sspon.05G0010980-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIANASGLRIRLQELYLLDPAKYSTLTGVVEKEVKEGTARKVDSCARAVLWLARSMDFTIALLQSLEEDSEQQRSLAQLVEAAYEVSLKPWHGWISSAACKIALKLIPERKVFTSMLLGMGQEDCSALKDEIRRLASLLRPFLDDIHSMMAKFRLDRLKST >Sspon.01G0046310-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1B:96940531:96943342:-1 gene:Sspon.01G0046310-1B transcript:Sspon.01G0046310-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPQFPPWMQRLPDIDKINISSTGIAGQFPYWFSTAFSKVTYLDISNNSISGGLPANMEIMSLKILRLGSNQLTGPIPRLPINLTLLDLSNNSLSGPLPSNFGALSLCWVHLSSNNFSSHIPGSICGLQELTILDLANNRLEGEFPQCPGTISQMTALILTNNSLSGTFPSFLQGCTQLGLLDLSGNNFTWRLPIWIGDLMELRYLRLSNNLFYGNIPFTITNLGKLYDLDLAGSGLSGAIPCHLPNLTAMAGNGKNDDSGDQGGYFDGSLSLLSLSHFKVPVTTKGQELYYYFALSSMVTIDLSSNYLIGGIPEEITSLKLLKNLNLSRNYLNGRIPHEIGFMQSLESLDLS >Sspon.01G0007680-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:21247174:21247617:1 gene:Sspon.01G0007680-1T transcript:Sspon.01G0007680-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DLGRHHQRVRVRVYLEHVPREVDGDEPGTASHPRQVEAPDVAPELVLVDDHGGQRRRRREEAAVDDEDVDVLGLEPRAADEVVDGGEDDELRLGARGLHAGVGRDVV >Sspon.04G0024210-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:18181007:18182822:1 gene:Sspon.04G0024210-1B transcript:Sspon.04G0024210-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTMPPKSGQVSEQLPLVESEKLAAMRHAPSPAMLLLLLLLGIELATVTYISCRALKPDAATAARKHGGGAVWTRAPPRTAPDDVGGDVGARATVQGESKRLVPQGPNPLHN >Sspon.01G0038040-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:27009188:27011267:-1 gene:Sspon.01G0038040-2D transcript:Sspon.01G0038040-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MENATPAIAKPSLSKKPSPSFRLRNGSLNALRLRRVFDLFDRNGDGEITLEEMASALDSLGLGADRSGLEAAVGGYIPAGAAGLRFDDFESLHRALGDALFGPIPEQVPEDDDEGDMKEAFRVFDEDGDGFISAAELQAVLKKLGLPEARNLATVQEMICNVDRNCDGRVDFGEFKNMMQGITVLGHLKTIGLITNQ >Sspon.01G0049640-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:113621300:113624259:-1 gene:Sspon.01G0049640-1B transcript:Sspon.01G0049640-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCGSCLELEDGAWCVCRTDLADSALQKTLDYACGGGADCKPILQNGACFAPDTVKAHCSYAVNSFYQRNNQNPQACVFSGTATLSNNDPSGNGCTYPATPSAAATSNSGVYSPPTMGPSALNDNSGATGVLPTAAGLATRLLVLACCSLVALYLGA >Sspon.05G0012810-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:5A:40346723:40347184:-1 gene:Sspon.05G0012810-1A transcript:Sspon.05G0012810-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGHVLFAMAWPGTMYIASLLVGLGYGAHWAIVPAAVSELFGVKHFGAMYNFLILANPAGSFIFSELIVSNLYEHEAEKQAHQHQMSAFLSPQLLHNTGFLADGPLKCEGPACFFFSSLIMSVFCAVAAGLSLLVVHRTKQVYPRLYSSVQT >Sspon.03G0014550-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:46225187:46234933:-1 gene:Sspon.03G0014550-1A transcript:Sspon.03G0014550-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVITVSAISGVVDSLPKKLQQLEQQSFALSRLDHQSIDRLKDDVELIRGAFEQYSTLENPTEFTRQWVQKVREVAFDIEESIDRCINGVSDAGNNVASGGIAGTLSRLAAGILVGKMKRGPAHLRSISDELKLQQERLHVQFLEKPTIMATTTPQPDLYSSRTTATTTDLQLASRPPLVGMDDARKEVTAMVLDGDSAAGIKVISIVGMAGAGKTTLAKEVYCEIGEHFQHRAFVCVGRRPDALSKVLQGVLSQDANGNDSVLYQDAINMDKLKLKALIRDRLQHKSIFPYISRYLFVLDDLWSTEAWQEVWSCFPKNNLGSRIVTTTRIEAVAKACCSSHSDCVFRIPLLNESDSETLFYGMTFGSKNDCPPYLRDASMHILRKCNGLPLAIVNIAAALIKKTSPASALMDFELDSPPNKSLCLDGMKEIFDQSYNDIPPQLKTCLLYLSIFPEKYEIRRQRLIRRWIAEGFIDEEHGVDKEETAKNYFDELINRNLIQPVQVDYDGNARSCRVHPMMLHFIVCKSMEENFTTLVHPGAGGRQDDHTPSSNSASIRWLSVQCKANNEEDQGTAAQTKESAGLSHTRSLSVFGEVGAMPQLKKMEMLRVLDLEDCQGSLDPSIDVLPMLFLLRYLSLRGTDVSRLPPKIGSLRHLETIDIRSTRIQELPPSIVDLQKLVHLLAGMISLPRGVGKLRALRTLSFADIMKSTASAVQELAHLTNLMELAIFCSQEYRVNLLPVLQKLAGHRLRSLIVVAGSSGCWMESSHSLPTPPRYSLQRFKIDGRIVLDDAIPKLVASSCVNLVEMDINFQELSAEGLNVLESIDSLLRLCLCIISVEQEQLTFRGFPSLKELCFRCRNLPSLSFSEGALPMLQQLELNFQECSSSSSQEPTVSGVEYLPSLMRAIVAFPREDVGTKVVADVRKASLVHPKHPDVVVKTGKEVSSVGDSEFEELHRLSYHTFVVPKREKKSNTDSLHENLLRMQIMLIISWFSNAQKSNAGKSGLLFGLCVYLTFILGVILEYLRVVISESNQFNGMGIYVDTGVSVIIAYVLLILINFQYYILVPFPICLLGFIAYLWKKILVKGKLQQQCKRPEDTRNSEELEAAQGCLSITKDDLEQDRKKIEELLSTALVPYIVVALAVVIGVSVVWFLKLYCKSRAPTATPPVAVEAPAVAELAEIQPMVTKIEAATAELASSLRRHSLPSPFSGGLGAGAIEGALPNSAGSSRESKGARRSGRGGEWEWNQVESAAKLALRVATGT >Sspon.03G0021820-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:66494936:66497462:1 gene:Sspon.03G0021820-1P transcript:Sspon.03G0021820-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRNIGVAVDFSSCSKAALRWASTNLARNGDKLILIHVNNSYQNEQGAVHLWEQSGSPLIPLAEFSDVTRTYGVSPDKETIEILTQVANQRGIEVFAKIFYGDPAKKLYEAVDLVSLSCMVIGSRGLSTLKRALLGSVSTYIVNHAACPVTVVKENM >Sspon.03G0005760-2T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:31699253:31701544:1 gene:Sspon.03G0005760-2T transcript:Sspon.03G0005760-2T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVQEGTAEWHKIANEAARTIAGRENGGNCDIKNLSRGSKVYLPVFVEGANLSTGDMHFSQGDGEISLCGAIEMSGFLELKCEIIRGGMKEYLTPVGPTPLHVSPIFEIGPVEPRFSEWLVFEGISVDESGKQHFLDASVAYKRAVLNAIEYLSKFGYSKEQVGSRVYLLLSCCPCEGRISGIVDSPNAVTTLAIPTAIFDQDIKPKRINGRPLGGPQLRRLPDLLSCSNYGHLPATQDKSGTSAP >Sspon.07G0003680-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:8422038:8423630:-1 gene:Sspon.07G0003680-2B transcript:Sspon.07G0003680-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKILLRSDQALYLQPRKTLAFMKKTVVLYPGLAASHFIPMMQLADVLLEEGYDVVVALIDATMEHNIAFAAALAAVTFHTLPRIHDPPTVTNDMILRYNEHLREFLCSIPPRSIHAVIVDSWSDAALDVTDELGIPAYSFFASNASALAVCLQLPWARRAEGQPSFKELGDATVNFHGVPPTPASHLIHEVLEDPNTEIYKAVVNSLAKNLEAGGILVNTFASLEARAVAALKDPHFLTESGLTVPPVYCVGPLVEDAAETKEKHESLKWLDEQPEHSVVFLCFGSLGNHSEMQLKEIGGGLERSGHRFLWVVRAPLGDNPEKTFGDQADPNLHSLLPEGFLERTRGRGLVVKLWAPQGSGGGAFVMHCGWNSVLEAIMAGVPMHCWPLYAEQKMNKVLMVEEAGIGVELAGWQHGLVKAEELEAKVRLVMEFEEGERLRARVTAHKEAADMVWNDGGSSCQTWARLDRI >Sspon.01G0011620-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1A:31689335:31690552:1 gene:Sspon.01G0011620-1A transcript:Sspon.01G0011620-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFSAGGSGSGSGGGGGGGDPGDGRAQAERWLEIAEKLLAARDLVGCKRFAERAVEADPLLPGADELLAVADVLLASQSMGASGHPDPLAILQLPPGASPDHAAVSRAFRRLALLLGQRNPHPGAEMALRLVNDAYAILSDPSRRAPPSANPATGTPSSYQYAAAAAAAPAPDPLEFWTACPFCCYVHQYPRDLIGRALRCPNEGCRRGFVASEIPTPPTVVPGTEMYHCAWGFFPLGFPNAADLGANWKPFYKVFPWNTAPSGQGGGRGRGHGSRGGRQPQNDSARGGSSRGRIKKTTARKKVGAGPRRRLGGGVESGIDSEMLGQEGWAGDEDGGDGRAEEVRGININEAAQATDGAARVNVSGAGGVEDMGNFHIDVDATEDILGNLHNLPFLRVDNLGRMI >Sspon.03G0016890-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:40161579:40165596:1 gene:Sspon.03G0016890-3D transcript:Sspon.03G0016890-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHGRRKDEEDCTTAALLRGDGEAWKEEEWHHHQHASCDLWRRRVCEESRKLWEVVGPAIFTCTATYSLNVIMQAFAGHLGDLELASVSFACTVLTGFNYGLMLGMASALETLCGQAYGAKKYHMMGVYMQRSWIVLLVCALLLTPMYFFAEDLLLLTGQPPELSAMAGRVSVWFVPLHFSLAFLFLLQRFLQCQRKNLANAIAAAAVLCIHLFVSWLFVSRLKFGLAGVAITLSLSWWAITVMLFVYVTCGGCPETWHGFTAEAFAGLGEFVKLSAASGVMLWILILLTGNLKNAAVAVDALSICMNINGWEMTIPLAFFAGTGGMHIGCSFRVRVANELGAGNGKGARFAAIVSSTTSLVIWLFFWVLIMGLHDKIALIFTTSAVVLGAVDKLSFLLAFTILLNSIQPILSGVAVGSGWQSTVAYINIGCYYIIGIPMGVLLGWLFNLGVLGIWAGMIGGTAVQTLILDVITVCCDWEKQAIIASTRMDNLSQVR >Sspon.06G0013170-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:57073427:57077053:-1 gene:Sspon.06G0013170-2B transcript:Sspon.06G0013170-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLSVEELASNLSTYKEQLREVKKLIKEKKDDPGISEYIDMEKELQEVCTPDLGVAAAVLSWISKYERIFNNTGRLLVITLTEEILATAKQTESAQNAAGLSSPNYSAGVQSEGLDDLSHSHKFAVGTRVQAVWSEDGEWYNATVEALTPNGYYVAYDGWGNREEVDPDNVRLLEEEAADALGQAEKEAEATKMAIKRKIEQAATSDFQARSLPAKLRIEPSDPEDVKAAKRKKIHAFKSKARFEQLEFAQNKRQNAWQQFQTKGKSKKVGFFSGRKKESIFKSPEDHRGKVGVTGSGKGLTDFQRREKHLHLKGGSGDAADDEE >Sspon.02G0008910-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:25537092:25537942:1 gene:Sspon.02G0008910-1A transcript:Sspon.02G0008910-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding METGVLRGTGVGGITGALVSMEVVDSSLALWRSDEPAIWSVVYVVGARSRFDARPGRRPVSKTNSPAACPDSRTDARFLGLLLDVIWSLLSGRLVREKVDPVVLNAVESQVRAHDTLTSPLANHYHNTHLLLFHGTPSMSAAEAPVLHGDHADIFGTGGTNGMARVAIDALPVVRFTERSNVDASGELIACSVCLQEFEAGDSARSLPVCRHTFHLPCIDGWLLRHASCPLCRRAV >Sspon.01G0026240-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:91197657:91204126:-1 gene:Sspon.01G0026240-1A transcript:Sspon.01G0026240-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWLARSLATSLNIPDDSGADDDPDATTTPSPSARIPPPPLPTHPLHSGAAEGVKEDLTELSKTLTRQFWGVANFLAPPPGETSLSPSPSPQSAGGQSADARTPPEIAGIRNDFAEIGGRFRSGISRISGHKAVSGFSRMASSFFAPDDDAENEWEEERRRHIRYEMEEEAEGDEEWHQWEERVGLRVDDGNARHELEMERVRDEENDELEELRARHEDDGELGEQRRHEEDGEPEELRVAHEEEEVEDWVVIGITEEVLAFATNIARHPETWLDFPLLPDDDESDGPFSYFDMSDAQQEHSLAIEHLAPRLAALRIELCPIHMSEECFWKIYFVLLHPRLNKRDAELLSTPQIVEARAMLMQRLQYQSKLENEQLGHHKDDVGVRLRENTLRDGTEAFPFMRQEAASVMPITEIEIEKHPIQVTEVAVVDKSVIREELPKDHTETSNVLQEAFDDDIDDWFDEADLAGHPTIHIGDEEDVSFSDLEEDDVK >Sspon.01G0010170-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:28479227:28495033:-1 gene:Sspon.01G0010170-1A transcript:Sspon.01G0010170-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPAGGEDPEADAAPSCSGSGSADEADPHERALPNGDIYTGQWRGAVPHGAGKYLWADGCMFSWPSGATYEGEFLDGFMHGAGTYVGAAGTPTAASGPRTSSTAPAEKRYANVARGSPDGCGRYAWRDGTEYAGGWRAGLIHGRGALVWANGNRYDGGWEGGRPRGQGTFRWADGSLYVGFWGREAPGGAVHQKGVYYPSPAATGDSPRARDPRDVFARDLPECVRSGTEAQSALTSLRSLKWLMRSRARQLVVWPEQWLGRQPRAVLGVGRGGQVRPWGRLETAERQRREGAATTGAAQAPHLPIPNGAPLRLSKRQGMTIARGHKNYELMLNLQLGISSCECQVLLDLKSSAFDPKEKVWTKFPPEGSKYTLHTILVISNGRITAQRKCGQNSLLKAQNIPLHTILVISNGRITAQRTLRKLFKVDPADYMLSLCGDDALRELSSPGKSGSFFYLTNDDRYMIKTMKKAEVKMLLRMLQAYYNHVRAFEDTLVTKFFGLHCVKSGAHQKKVRFVIMGNLFCSDHTIHRRFDLKGSSLGRITDKPPAEIDEFTTLKDLDLNFIFRLQKHWYQEFRRQVDKDCEFLEQEKIMDYSLLVGVHFRGAIDIDGDKPATPRVSRWDRDHFRSDPNRWSKIKLGANMLSRAELTIRKNDSDVFGEPTGNILQDYDIGKRLEHAYKSFQYDSTSISAVDPKQYSRRFKDFIYKAFQEDKESSVESSQWRNIKQHVLPYGSSRPLTRKLSFDASSSESRGTEASTSMSTENTSVSINVPKLAEDVDLLLRVFHTSHPLLGTTQ >Sspon.02G0024180-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2B:84318912:84319682:1 gene:Sspon.02G0024180-2B transcript:Sspon.02G0024180-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRKELMIMHGVLILGWFIASAAAGRITPQEKAESVTPIPTLSPPEGNMTFIDGVTWCVARPGATQEDLQNALDWACGPGGADCSQLQPGGRCYQPNTLLTHASYAFNTFYQQNGNSDIACNFGGAGALVKRDPSTKHFHPPSISAGFDHRQHCPVT >Sspon.08G0016430-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:64957029:64958077:-1 gene:Sspon.08G0016430-1A transcript:Sspon.08G0016430-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVTLHTNLGDIKCEVFCDQVPRTAENFLALCASGYYDGTVFHRNIKGFMIQGGDPTGTGKGGTSIWGTKFADEFRESLKHNARGIMSMANSGPNTNGSQFFITYAKQPHLNGHYTVFAKVIHGFEVLDLMEKAQTGPGDRPLAEIRLNRVTIHANPLAL >Sspon.02G0027130-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:98561119:98564882:-1 gene:Sspon.02G0027130-1P transcript:Sspon.02G0027130-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MIREFLAVAARAALQWALSSLLLANGAAFCLIAAAAACLRLGPPCIACARVHRLLCSSSSATGDERDALRLLLCDAHLAAVAVARAASSAPPDRSDGNGVSQTMETDYPNMVSGMETHRVVSIGSEICEQDQDGSKPDAGDRSSGIARTTSTDDGNGPLVSLFELAPIVSRPRPREDDDSIDQHQATMPESSLTVDDGDERLTLGELVTAFRAQRRELQALRAELASELRLRAEAEEYQRQLEEQGELDREAARLAMQLVHESETEKHGLQRQLDACRVRVQLYQSDSAAAEDAGGGGGGGCQEANGGDGNGNNYQSLVDFLPGSVYSSSPDLANLLKLYTESGNAGRRQRGDYDVPAIAVVEEAEEEEVAVAVTVTAGTESSRSVDATSAIVSESLQESSNTFHVETVMEAA >Sspon.07G0009090-3C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7C:20911863:20912248:1 gene:Sspon.07G0009090-3C transcript:Sspon.07G0009090-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DGILLKEVDRLLRPNGYFVYSAPPAYRKDKDFPVIWEKLVNITTTLCWKLIAKHVQTAIWVKPEDESCRQRKADMKLLNICESNDNDSPSWKIPLMNCVKLNKDKSNIQKLPSRSDRLSFYFKSLEMI >Sspon.06G0026190-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6B:76991005:76991481:1 gene:Sspon.06G0026190-1B transcript:Sspon.06G0026190-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAADALAGEAATDNGGAVTKVKMAEMPVEFLRWVLAQDKEDYRVPTLEDYTQEELAEAKDTICTVIRCLQISYDEFDEFRAWVGDVIEDNGRVMIHGDMLFSDPKEWQESVDQEWAEARQELEMELTRPLAPHLYMLSTYLSLLYHYAAPIPTFWGP >Sspon.02G0024710-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:80592859:80594565:-1 gene:Sspon.02G0024710-4D transcript:Sspon.02G0024710-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRREGERQPSPWPSGTAAVEADSGAFPSAIILFALVGATATTAAVGQLRRTVSWLYTQLSRSEPYVFWEDIPRRRPNQRGEAWERYHQRMRERTEDQRERVERIRRMQDVFEKERCKCRDYRTWESHNPNYYQHHQRDDWYWNAETFYANQRTNFRAMPREAMSYTMSHHYSVLGLDRSRSEPFSDAEIK >Sspon.04G0033050-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:8389877:8392867:1 gene:Sspon.04G0033050-2D transcript:Sspon.04G0033050-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bifunctional D-cysteine desulfhydrase/1-aminocyclopropane-1-carboxylate deaminase, mitochondrial [Source:Projected from Arabidopsis thaliana (AT1G48420) UniProtKB/Swiss-Prot;Acc:F4HYF3] MALLGVSVTSTLPLLCRFAAVRHLSLVAAAGMAGVTATGVAGVPSTFSSATAQIGGFLSKKPYVPPSWATHLSPMPSHTFSLGHRDDLSGMQLSGNKVRKLEFLMAEAVAQGADCVITVGGIQSNHCRATAVAAKYLNLDCYLILRTSKLLVDKDPGLVGNLLVERLVGAHIDLVSKEEYGKIGSVALADLLKKRLLEEGRKPYVIPVGGSNSLGTWGYIEAIREIEQQIQQSADVQFDDIVVACGSGGTIAGLALGSRLSSLNTKVHAFSVCDDPEYFYDYAQGLIDGLNSGLDSHDIVSIENAKGLGYAMNTAEELKFVKDIAAATGIVLDPVYSGKAVYGLLKDMAGNPAKWKGRKVLFIHTGGLLGLYDKADQLSSLAGSWRRMDLEDSVPRKDGTELMVHIV >Sspon.01G0052210-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:20642536:20645866:1 gene:Sspon.01G0052210-1C transcript:Sspon.01G0052210-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAFEAAGGRKGSCFLEHIVLRMSQYGKLRGHEGCVNTVSFNPAGDLLVSGSDDTNIILWDWLSKTKKLVYPSGHHENVFHARVMPFTDDSTIVTVAADGQVRVGRLKEGGEVTTKLVGEHDSRVHKMAIEPGSPYIFYSCGEDGLVQHFDLRSDSGTKLVTCYSFLNDRRRVRLNSIAIDPQNPYYFSIGGSDEYVRLYDMRRFQLDDSRNINQPVDTFCPKHLIKGGKVHITSIAYSYAREILVSYNDELIYLFQQNMGLGPNPVSVEPEFFDMLDQPQVYSGHRNFRTVKGVSFFGPHDEYVVSGSDCGNVFIWRKKGGELMRMMNGDKSVVNCIEPHPHFPFMATSGIDKTVKLWTPASKKVMSLPKNAKQIIASNERGREVDASRAEVTLSSDVIMHVLRLHRRQSDLHMENESASADLASDDDEAFYIGFGDAERSQRENSDPRECIVT >Sspon.02G0013040-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:15420415:15426525:-1 gene:Sspon.02G0013040-1P transcript:Sspon.02G0013040-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEFLDLETQDGIRMTWNVIPGTKQDATNCVVPVSAIYTPLKPNPSIPVLPYAPLRCRMCRSILNPFSVVDFVAKIWVCPFCFQRNHFPQHYNSISENNLPAELFPQYTTVEYKSTSETGPVAPPVFLFVVDTCMIEEEIGYLKSALAQAIELLPDQSLVGFITFGTYVQVHELGFGLLPKSYVFKGTKEVTKEQILDQMSFFAGKTKPTTGVIAGARDGLSAESIARFLLPASECEFVLNSVIEELQKDPWPVPADKRSSRCTGVALSVAASLLGVCVPGSGARIMAFVGGPSTEGPGSIVSKSLSEPIRSHKDLDKGSAPLYNKAVKFYEDISKQLVNQGHVLDLFACALDQVGVAEMKVAVERTGGIVVLAESFGHSVFKDSLRRIFQSSDNDLDLSFNGIFEINCSKDVKIQGIIGPCTSLEKKSPLSSDTVVGQGNTNAWKMCGLDRKTSLCLVFDIAKKDGPDSVGVGQSASNQFYFQFLTYYQHHEGQMRLRATTLSRRWVAGSGGELIDGFDQEAAAAVMARLVSFKMEAEADFDPIRWLDRALISLCSKFGDYHKETPSSFSLSPRLSIFPQFMFNLRRSQFVQVFNNSPDETAYFTMMLNRENVSNAVVMIQPSLISYSFQSGPEPVLLDVTAIAADRILLLDSYFTVVIFHGITIAQWRKAGYQYQEGHEAPQEEADAIIKERFPVPRLVVCDQYGSQARFLLAKLNPSVSYDNPPAPGGDVIFTDDVSFQVFMDHLQRLVVH >Sspon.02G0015400-4D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2D:36239868:36240491:1 gene:Sspon.02G0015400-4D transcript:Sspon.02G0015400-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAHRTKPPRLQPAQRKQQAMALAHRTSGHTASTSTAAAAATMIASSPPSPARPPHPAPVGPWDPNSSCRTCFSDFAAVLVVLVCFSCVGLCIHYAARVLLRCLDRRRRAAREAQAQEPKPASDADGGSAGASPVAAGVWAEAECAICLADLDDLEGGERVRVRVLPACGHGFHAACVEAWLATRASCPTCRAPSSSRSRPSRTTRA >Sspon.02G0057290-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2D:55983894:55984220:1 gene:Sspon.02G0057290-1D transcript:Sspon.02G0057290-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGLTDSRLFVPRLLAQASAELSQLQTIVNQVVLSNARDHRRGPFCLPQSKLDSGSLYRLLQSKDAPPHPAAKFIWETRAPPTSAVLDLANGSWQSSVPNKSIPQDDC >Sspon.04G0000710-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4B:17898196:17898726:1 gene:Sspon.04G0000710-2B transcript:Sspon.04G0000710-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPQEREAVLMAAGRLAAEYLVNRGDLPPNVLENRPPAAIPSLGVGGQPAFGSQYHHQPPVPRTFCSQFQGRPPAPQQHRQPQAWPNPFHFHQGQQRPPAPQRRFPGPARSKEAAARSRSGHASARSRTARLGRLLPRRGTPARPRHPSWPRVEISKTTTTSTARRPERRATLNLN >Sspon.06G0000390-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:27390976:27398735:-1 gene:Sspon.06G0000390-3D transcript:Sspon.06G0000390-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGRKRGRTQRRHFKQGRENVWKHNPQRPPAGEGGEGREGNPSWQPFATENPAFEEYYKEQQIVPEEEWDSFMSMLRKPLPATFRINASCQFFQDICSQLENDFRKSLETEVTDEHEEDAIRPLPWYPGNLAWHLNFSRMQLRRNQALESFHEFLKRENEVGNITRQEAVSMVPPLFLNVQPDHHILDMCAAPGSKTFQLLEMIHQSTEPGMLPNALVVANDVDVQRCNLLIHQTKRMCTANLIVTNHEAQNFPGCNLAKFSSEVCMDEAKLQRLEFDRVLCDVPCSGDGTVRKAPDMWRKWNAGMGNGLHRLQVEIAMRGMGLLKVGGRMVYSTCSMNPVENEAVVAEILRRCGDSVELLDVSNELPELARRPGLSTWKVRDRGSWFGVHEDVPRYRKHVISPSMFPSGKGSKDSLTVSSSVEVNTDVADADMKDSTDMGEGEQETHISLMIAIMGTKVGCESGEATASSYKKLNSTPIRTEHSDYPLHRCMRIVPHDQNSGAFFIAVLHKLSPLNGGRWVKSEQNISKDRTEKLEKDLGSDKASSEENIVHQQVIDNTNVLDGEQNGDRDNKSSKDKSSEDSKVNVNEAEKGQAGTRDRRRQQNQGRWRGVDPVIFFKDEVTVKSIVSFYGITDSFPLEGHLVTRNPDTSHVKRIYYVSKSVQDVLELNIKVGERLKITSLGLKIFERQSSKEGSPCTFRLSSEGLPLLLPYITKQILYASAIDFQHLLQYRTIKFPDFVDAKFGEEASALLPGCCVVVLREGHQDIGSIATDPSAIAIVCWKGKTNLCVMVSPLDGKELLERISLRFGLKIPKGDKEKPSQEIAGSDELLDCATEADDQDCFPESKASDIEISDAKDAE >Sspon.06G0025080-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:61080873:61082240:1 gene:Sspon.06G0025080-1B transcript:Sspon.06G0025080-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding CSAVYKKNSGKYERQVQIAVKRHKEHETGAPQKPHKAPGPSSPSPIPPPRIYRPTSSDGSVHTPHSTLELKKQSQAAARKIRLPNQRDGPASPPVSRRHLPPPPLLLPVLAAAAAAAAEEPDIRISVQYPTEEEARWLDRWSEKYQAQGSGSGSGSGFSVHPATDEESAYLNSIFDNGKKRAASGGHSSHGGGDQGGGARAGFDGHIEFDDDDHPFGRIVVDTVHSRPSSSEPKDDLQNHESRTEYDDVKDL >Sspon.08G0016860-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:66081620:66087355:1 gene:Sspon.08G0016860-1A transcript:Sspon.08G0016860-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GFA2 [Source:Projected from Arabidopsis thaliana (AT5G48030) UniProtKB/TrEMBL;Acc:A0A178UJR3] MRLPAGARLALLLARRSLSSSASSSSRLPRAHRGIWSDAARAAPSRSSPFSSPSSAHRFFHGTRPVAARDYYDVLGVSKNASQSEIKKAYYGLAKKLHPDTNKGDADAERKFQEVQRAYETLKDEQKRSLYDQVGPDQYEKAASGGGGTGFEGGFGNPFEDIFGGGGGGGGMNDFFRNIFRDRDFGGRDVKVALELSFMEAVQGCSKTINFQTSVTCETCGGAGVPPGTKPETCPSCRGSGFMFMQTGPFRMQSTCTKCGGSGKTVKEFCKTCRGNKVVPGTKTVRLDILPGSDNEDTIKVVEKTQMAVQVREDPVFRREKGDIHVDAVLNVTQAILGGTVQVPTLSGDVVLKVKPGTQPGQKVVLRGKGIKARNSPYYGDQYVHFNVNIPVNLTPRQRVLIEEFAKEEQGEDEKDAKAAGASG >Sspon.01G0050600-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:5311277:5313376:1 gene:Sspon.01G0050600-1C transcript:Sspon.01G0050600-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFWSLLVVAWLPVLQVLLVGLLGALLASSRLDVLTADARRNINEVVYIVFVPSLVFSSLSSTVTLKDIVSWPSDRKNISRDLSLLAAHQARHTCNWGTIPLMIVPAICNEEGSPFGDASTCNSLGLSYVSLSMAFIFWIGQQVSSPLLPNDPRASFWGYYLRRAEELVVVVEILKELLSPPSVAAVVGFSVGAVDKVKSLVTEEGSPLRVIQDSTKLLGDATIPCTVLILGGNLTKGIGKTVVKPIVVISIIVIRFVLLPICGIGIVTAATKLGLLPKSPLYRYVLLLQSTVPPAMSVGTIAQLFDVGEEECSIIFLWTHLVAALALTLWSTVFMSLV >Sspon.02G0043790-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2B:96781149:96782838:1 gene:Sspon.02G0043790-1B transcript:Sspon.02G0043790-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQPMPMQPQAPAMTPAAGITTEQIQKVPPFALTSPPPRSSDRYLDENKQLILAILENQNLGKLAECAQYVFG >Sspon.03G0013920-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:54446368:54446852:-1 gene:Sspon.03G0013920-2B transcript:Sspon.03G0013920-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RMVSKFAFTALVLLMAVGGELGHAVPLRRGLSLGWMNGMKGGAPGGMQPSDTKLLAGAGKEANVYKRADEAKFVSTVPAFVRPPRIPPS >Sspon.01G0009680-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:28957486:28959457:1 gene:Sspon.01G0009680-1P transcript:Sspon.01G0009680-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGAAAPGVQTVVLKVAIHCHGWKKKVRKVLRSIEGVQNVTVDASQHKVTVVGTVDADTLIQKLYKSGKKGEPWQCHPPTKKTEPAPEAPPAPKPAGDGGKDAAPAAAAADKKPEEAVKPVKEPQGESSEEKKKKPEQEGGAAEKKPEAESKEAEKKKQPEQEGGAVEKKPEAESKKEEKVEAKKDGGDSKGAETKAKAAAEPAKEAAAPAPAPAPAAAANDKDEAKKSDKPKDAGKAEPAAVTTTERSLPTPPAPAPKHAYEHEYRHPYYAPQPVVSYHASHPSSSVSYTYFAPQAQPAYSTQQAHPHQAYSMHQPQPAYSYSTQQPQPEKQWSPSYLYMPYPHAAAPEPYYQQQDHYSPPGMHASPMHDSYRIFDDENPNSCSVM >Sspon.02G0012280-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:32606006:32609602:-1 gene:Sspon.02G0012280-1A transcript:Sspon.02G0012280-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSNNPSFLLCVLLIICAAALHADASQEDQLRKFIRSRRDSRSDKGTFKVSNIGHRVGSGLLSTRFSDSEQSALKAADKITALPGQPDDVDFDQYSGYVTVDERNGRALFYYFVEAPQDASTKPLLLWLNGGPGCSSFGYGAMQELGPFRVNSDNKTLSRNKNAWNNLANVIFLESPAGVGFSYSNTSSDYDLSGDQRTADDSYLFLVNWLERFPEYKSRPFYISGESFAGHYVPELAATILIQNSYNSKTAINLRGILVGNPLLDWNMNFKGAVDYYWSHGLMSDEVFDNITRHCNFDNSDGVVCNGAVNAVDPGQIDAYNIYAPICLDAANGTYYPSGYVRQRFIMFHFIYLVLLKWPLFYTSIYNIFHILQLPGYDPCSDYYTYSYLNDPAVQNAFHARMTSWSGCANLNWTDAPISMVPTISWLVQKKLPVWIFRLEDMFNNTREDLHLPLSEEL >Sspon.05G0024390-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:12208567:12210664:1 gene:Sspon.05G0024390-2C transcript:Sspon.05G0024390-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFKAFFTDDGISLLDKRFLPAMDKVGRVCHVFFTPTHAMLLHNLLGATAAGPDGGGPQCVAQFAKDLLFREYNVSSRNGNQIAFSVEVALLHRALRSVLAVHAQPPAAGDATGAPAIQVKLVNKLPAGSRTATPFLTFETKGARAAVVQDVPISRPLSRSDVERLQAALDAAKDLPQTLVQVPDLPQLQSLVDRLKNVGDLLTVAVTQYGDLHLQVSTSLVTVGSEFRKLRVIGDRANAPVGDQNLTSSTRMDMAVERGEALSVQVNMKHLVKSLHCHLAKPDCTFYGIAPGGACLTVVFQYFIPGTRLADKSISFYCRLPVLDPGS >Sspon.02G0008370-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:18137432:18138890:-1 gene:Sspon.02G0008370-4D transcript:Sspon.02G0008370-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKARARSSGGDSRLSVRKTKAEKDPNKPKRPPSAFFVFMEEFRKDYKEKHPNVKQVSVIGKAGGDKWKSLSDAEKAPYVSKAEKLKAEYTKKMDAYNNKQSGGPTASGDSDKSKSEVNDEDEEVRE >Sspon.02G0057090-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2D:42811906:42812206:1 gene:Sspon.02G0057090-1D transcript:Sspon.02G0057090-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKCLFSTTPEVGNQTKSNGANGGHSGDRTLHRTLHARSLFDRTRPVSVQRRAFFGRPVVRTRSRELTGLRPDAGTVASGQFFSASGRWFVLRDSVLTGAS >Sspon.04G0036260-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:26305669:26306401:-1 gene:Sspon.04G0036260-1D transcript:Sspon.04G0036260-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTSASGVALPDLIERDDQVTHQIELSIEDGDQQLDPEFHLDVFEPGPSLAQDEAAYEDLKRTMLGDDKIQSSPDHKETDSAYTSYYGQLGQRLCAINRAYQAGFEACFAGHYSAAHSMTTDELRASARFYAHLLAANALPWCGALGACQGSRSHRAGHDVVVAHLHQDADLADKLEIRTHNKKMNEDDTDVRDVLFLCTRNTRFAIFFTAIGLGGVTESARKLIL >Sspon.03G0025740-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:1118005:1120544:1 gene:Sspon.03G0025740-1P transcript:Sspon.03G0025740-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLKGKPSCDCPNGSGKSSIFRVLRGLWPIASGSLTKPSEGIFNVPQRPYTCLGTLRDQIIYPLSHEEAKLKMLSYETIDKSTASKMLDDHLKTILENVRLVYLLEREGWDATPNWEDILSLGEQQRLGMARLFFHCPKYGILDECTNATSVDVEEHLYRLATNMGITVITSSQRPALIPFHSLELKLIDGEGKWELCSIHQ >Sspon.03G0028470-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:9506993:9513048:1 gene:Sspon.03G0028470-1B transcript:Sspon.03G0028470-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDASASSSPPHSQENPPERGGDMGEAPAEEIGGEAADDFMFAEDTFPSLPDFPCLSSPSSSTFSSSSSSNSSSAYTNTTGGVGGGAAAADGAAGEPSEPASAGEGFDALDDIDQLLDFASLSMPWDSEPFPEASMMLEDAMSAPPHPVCDGRREEKAVPEGTGGEEAYMDASAAVAGEELPRFFMEWLTSNRENISAEDLRGIRLRRSTIEAAAARLGGGRQGTMQLLKLILTWVQNHHLQRKRPRDVMEQAAGLHGQLPSPVANPGYEFSAGGQDKAAGGGTSWMPYQQQPFTPPAYGGDAVYPSAAGQQYPFHQSSSTSSVVVNSQPFSPPTVGDMHGAGGANMSWPQQYVPFPPPGASTGSYPMPQPFSPGFGGQYAGGHAAMAPQRMAGVEASATKEARKKRMARQRRLSCLQQQRNQQLNLGQIQGSVHPQEPSPRSAHSTPVTPSAGAWGRFWSPSSQQQVQNPISIKSNSSRAPVQQVPPSLQDDSPQRLAAASDKRQGAKADKNLRFLLQKVLKQSDVGSLGRIVLPKKEAEVHLPELTTRDGISIPMEDIGTSRVWNMRYRFWPNNKSRMYLLENTGEFVRSNELQEGDFIVIYSDVKSGKYLIRGVKVRPPAREQVCGSSAAGKHKLMCPAGPEKASVPEDAGVDGVSGACKERSPQGVRRARQQGTAAMSQMAVSI >Sspon.08G0012460-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:53494068:53496792:1 gene:Sspon.08G0012460-1A transcript:Sspon.08G0012460-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGGAILSDIIPPPRRVTAGHLWPESKKPRRAAGAGRRKAPVEEEEDFEADFEVFEVESGESELESEDEAKPFAAPRSGVARDGLNTSPAGVDGPAANSVKRKRKNQFRGIRRRPWGKWAAEIRDPRKGVRVWLGTFNSPEEAARAYDAEARRIRGKKAKVNFPDEFSTISQKHRAGPTSLKVPEMDVEEKPIIKMANSNAYHYPVVGHNMPEPFMHTQNMSFAPLVNSAAPVQEPFVNLSSDQGSNSFSCSDFSLENDTRTPDITSVVVGESAFAQNADHAVVPPVMGNASVDLTELEPYMNFLMDSGSEDSIDTLFSCDGSQDVVSNMDLWSFDDMPMSAGAWSMG >Sspon.03G0008050-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:21934405:21935132:1 gene:Sspon.03G0008050-1A transcript:Sspon.03G0008050-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MANAGMKPVAGLLLVLNFCMYVIVAAVGGWAINHAINYGFFIGAGLELPAHFSPIYFPIGNAATGFFAVFAVIAGVVGAASAFAGFNHVRAWSAESLPAAASAGFIAWTLTLLAMGLAVKEIELHGRNARL >Sspon.01G0002060-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:5953862:5956132:-1 gene:Sspon.01G0002060-4D transcript:Sspon.01G0002060-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MESAVNPKAYPLADAQLTMGILDIIQQAANYKQLKKGANEATKTLNRGISEFVVMAADTEPLEILLHLPLLAEDKNVPYVFVPSKQALGRACGVTRPVIACSVTSNEGSQLKQQIQGLKDSIEKLLI >Sspon.06G0016410-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:88972480:88973829:-1 gene:Sspon.06G0016410-1A transcript:Sspon.06G0016410-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGTGSSADQIRDASLPVCARNGDKASWSLAALAKTRCSTKCVPGSEAPRLDEAQDPQHRPWADLPPDILGVVAGRLALLEDRARLRSVCRAWRAAARIHRRLPLCPPLLVLSDFSFFSFRSEGTMTGACRRVPLPERETAGSGNVRCVGSFEGWLVGVKRNKSRYFGDLRCSLMNAFSQVVIRLPPPSGRSGAARSADTFSTSLPIINGSGVVNCAFNTAPCVMSFTKVILSSPPDSGSKCVVAALSGIKSAAKLALWRSGMKSWCVCDGAWRADLIDIVFCQGKLLMLSCSEVVADLLVFEIADDNSGLMVSHVECCAIEMPEVNDSLCKNIWCILEWRGKLLIVEICHRNDEFGESFVELRVFEADLSTNPVRLTEIESLDGDCIFISPCSSKSFRSCHYGEGGGEGDLIYIFLWGHLHRFVYNMKDGTMAPFAADIPKDKLGEAD >Sspon.05G0011010-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:26059536:26064477:1 gene:Sspon.05G0011010-2B transcript:Sspon.05G0011010-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLVAGGVVHGRLPVRPSRFPLLLGSLPYTTVAVSAAFSGCPCPPIVRRNAPAVVPFAKKKRKGYSEDPPDEEVADDFTDELEEDDEVEEEEDFGAEEEEEDIMDDDEGFEDDYDFEDDFESDDEQDLYAGDGGAGGGISLAGTWWDKEALALAEQVSASFDDDLKIYAFKTAANLTIRVRIEKMSTRYGSPTIDDIEAYTIAYREKLDDAESTGKIPKNISLEVSSPGVERVIRVPEELERFKERAMYVRYTTTSDESATFQEGDGVLTLISYDMDLRECTWGIADVKINRQQSGKGRPLSKKQREWRLQTPFESLKLNSDMAGAPPYVTHPPPLPPPGYGTPQPPPPPPTGYGTPPPSPSPTTTHVTVIVIVVPIVGVICLGLLAALLFVFCRRRRRRRCPEVVEEAKMDEVEDVEVVVTEHVRIVEGVVDEAGGEVVEAYKQAGDPYTGCEGGGGGGVVAVEEALAAGVVVQDDVKVEEHVVRFTEASKRERE >Sspon.03G0044330-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3C:92556403:92557172:-1 gene:Sspon.03G0044330-1C transcript:Sspon.03G0044330-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VVAWLNNSNDPINGNGKKTDRYWGDVTDEYNSTTPKNRRRTSKQLKDHFQKIKKKVTWFCSSWKEAVSIWPSGHSDDQIQEKAEAIYEEYKDGPFTFKHCWKILRDQPKWQVLLEEGEQSNKRNLDTEGSVTELMTSLAQPEDRPIGNKKAKKEAKKEAKKCPSQMDDVLQQLTKLQGTNESREKMLETQKHVSGEKLESARLNHLAAKENAKSAMLETYRALSMKDTSGMPDDVKAEHLEFMRCVRESIFGKTGS >Sspon.01G0013940-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1A:38472005:38472583:1 gene:Sspon.01G0013940-1A transcript:Sspon.01G0013940-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSLYQTMNPRGTFAYMDPEFLTTGELTARSDIYSLGIVILQLVTGKPALGIGRAVEDALEKDELELLVDQSAGEWPFVQAKKLMLLGLQCAELSRRRRPSRMSDVWCVIEPLVKSASLSTTSRSFGYQFVENHTPSCFVCPISQVFFMSIYCATEPYFCSLLEPELQKSKITPRQLSNSNYRTNQMKQHS >Sspon.02G0027920-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:101512875:101514614:1 gene:Sspon.02G0027920-1A transcript:Sspon.02G0027920-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEVRNKPYGHSVEVWLQTFGPGQRTPIHRHSCEEVFIVLKGKGTLLLGSSSLKYPGQPQEIPVFQNTTFSIPVNDPHQVWNSDEHEDLQVLVIISRPPIKIFIYDDWSVPHTAAKLKFPYFWDEDCLPAPKDEL >Sspon.07G0001660-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:2755618:2760101:1 gene:Sspon.07G0001660-2C transcript:Sspon.07G0001660-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGLGAMAGSGIQSMLKEGHRHLSGLEEAVLKNIDACRELSAITRTSLGPNGMNKMVINHLDKLFVTNDAATIVNELEVQHPAAKILVLAGRAQQEEIGDGANLTVSFAGELLEKTEELIRMGLHPSEIIIGYTKAINKTVEILEDLVEKGSENMDVRNKEEVVLRMRSAVASKQFGQEDVLCPLVADACIQVCPKNPANFNVDNVRVAKLLGGGLHSSSVVRGMVLKNDAVGSIKRVEKAKIAVFAGGVDTSATETKGTVLIHSAEQLENYAKTEEAKVEELIKAVADSGAKVIVSGAAVGDMALHFCERYKLMVLKISSKFELRRFCRTTGAIAIVTVVKNEEGGNSVATVVLRGSTDSILDDLERAVDDGVNTDSRIIPGAAATEIELAKRLKEFSLKETGLDQYAIAKFAESFEMVPRTLAENAGLSAMEIISSLYAEHASGNVKVGIDLEEGACKDISTLKIWDLYVTKFFALKYSADAACTVLRVDQIIMAKPAGGPRRDAQPGGGMDED >Sspon.07G0006160-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:16065978:16069312:1 gene:Sspon.07G0006160-1A transcript:Sspon.07G0006160-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAPASPGGGGGSHESGSPRGGGGGGGGSVREQDRFLPIANISRIMKKAIPANGKIAKDAKETVQECVSEFISFITSEASDKCQREKRKTINGDDLLWAMATLGFEDYIEPLKVYLQKYREVRTYEGVGDFGGDSKLTAKTGDGSIKKDALGHMGGSTSAAQGMGQQGAYNQGMGYMQPQSTITGISQT >Sspon.01G0052420-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1C:22219620:22220024:-1 gene:Sspon.01G0052420-1C transcript:Sspon.01G0052420-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LGPHSSQIPSPQQPLFPSASRLLPICSAAIKCQSLRLPPVTHSLTLTSDTTQHSHTALQSPDSRAFLGKKPPAATMERRPQQQEAARRTIPRRGQIKVRIFASLFRCIVPKAPARKEGGKNKDGSNHRRVSPGG >Sspon.03G0002880-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:16676632:16678330:-1 gene:Sspon.03G0002880-1P transcript:Sspon.03G0002880-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNHSPYNMSIHWHGVRQLLSGWADGPSYITQCPIQPGQSYVYRYQIVGQRGTLWWHAHISWLRATVYGPIVILPPAGVPYPFPAPDEEVPLMFGEWWRNDTEAVIAQALQTGGGPNVSDAYTINGLTGPLYNCSAQDTFKLKVKPGKTYMLRLINAALNDELFFSVANHTLTVVDVDALYVKPFTVDTLVIAPGQTSNVLLATKPTYPGAIYYMEARPYTNTQGTFDNTTVAGVLEYDEDPSSPSQNNNNLPVFTPTLPPINDTSFVANYTAKLRSLASAEYPAAVPQDIDRRFFFTVGLGTHPCAGVVNGTSCQGPNGSRFAASINNVSFVLPTTALLQAHFAGRSNGVYATDFPAFPLTPFNYTGTPPPNNTNVMNGTKVAVLPFGATVELVLQDTSILGAESHPLHLHGFNFFVVGQGFGNFDPANDPAKFNLVDPVERNTVGVPAGGWVAIRFRADNPGVWFMHCHLEVHMSWGLKMAWLVLDGSLPNQKLPPPPLDLPQC >Sspon.03G0037680-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3B:100226613:100229198:-1 gene:Sspon.03G0037680-1B transcript:Sspon.03G0037680-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNTGKSMPMSHLDRKILNVVPMLLVFSLGFFMGMFSDSKFPNFYIPFVPPMPSPALPLPQLPVSSPSPPLQPVPPPPALQLQVAGVMRFSVPKSAMHNMTDEELFWWASMAPKVRSTPYHRVPKVAFLFLTRGNLPLWPLWEMFFNGHDGLYSIYVHTDPSYTGLLPEESVFYGRIIPSQKTTWGDVTLVEAERRLLANALLDLSNERFVLLSESCIPLYDFPTVHSLLTSSNTSFVDSFVNHDSEVFADRSNITLAQWRKGAPWFEMDRALAVEVVSDDTYFPAFREYCAVQPACLMDEHYIPTLLSLIGWTHNANRTLTYADWRIGEVHPQTYGRDDVTEDLIRKIRGGAGSGRNCTYNDGANGTCYLFARKFAHDALEPLFRLAPKLPLGRPDASDGLDASDTLDLTEPAVQRRDSARPYPFARHSTPHSHSPRPALPRPLSSSLRLRAPSSVAPSPFSGLRRAPASSGLLRAPSCSPTLALALSPTFLTLARRGPPPWRMRWQRSWIRWIRRWRLWIRRIRRWRPWMRPDQVQVPLLFLPSPLSSSMNLDLRLLPLLLLY >Sspon.07G0006840-3C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7C:13190595:13196406:1 gene:Sspon.07G0006840-3C transcript:Sspon.07G0006840-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMERLGELAGFEVKACNGHLNFYLATNQAMQNHTSVVVPVQASDKSSGSKQSSVNKTNATLKRKILEIRLSTSHFDPEEFALYRRYQTKVHKEKTVTESSYKRFLVDTPILFVPPKSGDNTVPPCGFGSFHQQYRIDGKLVAVGVVDILPKCLSSKYLFWDPDLSFLSLGKWVRYDLAKPLLDTSQYSILSDYATMQGEVPQPQICGPSDDSSAKVDHHESPSDEDDDEDFNDYESDMMVDEETIHSEKPDTTEGSSNINDIKNITLDLNGSRVKFK >Sspon.02G0024350-2D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2D:74017814:74018105:1 gene:Sspon.02G0024350-2D transcript:Sspon.02G0024350-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GDRLGDDQSGGGTGGAGFLQGCSAGQWLLERDDDPLPVHGLHLEQGAGTRDLLLLSARRSRPDRSPLPLHGPGWHCDVLWHLHQPNEGDGAPWSLQ >Sspon.02G0044480-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2B:101826373:101826774:1 gene:Sspon.02G0044480-1B transcript:Sspon.02G0044480-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSRVLVVGGTGYIGRRIVRASLAQGHPTLVLMRPEIGLDIDKLQMLLSFKAQGARLVEASLEDHAALVAAVAQADVVISAMSGVHFRSHNLSLQHKLVEAIKEAGNIKVRHFTNCSSILPTTYWRFRKLLF >Sspon.02G0026260-1P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:92041477:92044135:-1 gene:Sspon.02G0026260-1P transcript:Sspon.02G0026260-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQESSDVAVKCATKAATSDEEGAAVAAAVAPPGPAAASATATPFKFNVHAPEFVPMSPAAASPIASPMSAPAGGYYSPFMQMQPGLAPADWSFFHDHEPVFFMPDFAHAKFGAATATATAAGAAGSNSAQAKGTTATTDVAQKIVKQVEYQFSDINLVANEFLLKIMNKDTEGYVPLSVIASWKKIKSLGATNQMLVKALRTSTKLNVSDDGKKVRRRQPFTEKHKEELQSRMIIAENLPEDSSRNSLEKIFGVVGSVKNIKICHPQEPSTARASKSDTLVSNKMHALVEYETSQQAEKAVEKLNDERNWRKGLRVRTVLRRSPKSVMRLKRADFDHFVGSDDDSPHSSQMSSDSPTADYSSPAAAAAEAAAAHAHAHVQQQHQEDQQQQNGGSKQKGWARGRGTTKLHITAPQSPQSAPAGMAGHFDPSSPRTSSSSSQKQCPSSPGSRQQVSAAASASSQKCPFSPRHQPHPPQGPRMPDGTRGFTMGRGKPAAAAPTSPAAAAARATPAPVLV >Sspon.06G0024210-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:52278944:52281415:1 gene:Sspon.06G0024210-2C transcript:Sspon.06G0024210-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFLDVAAQFWSEWGLRISVLLSLSANVLLSLLSGTRQRSASGWRAVLLWFVTRIVLWMAYQGAEIAATGALGSLSLCGSDASAEEQVVIAFWAPFLLLHLGGPDTMTAYALEDNTMSLRKLAEMVFHIWGAFYVLYKYIFRMRSWTLLATASVIMLLVGAVRYGERWYALWQSNSMKEDDGDKDDSSKKKEEEEEEDEKDEDEEELDDDEALRLAQDLFHVCRRALADSSVVLGSEKQRASEKIFQLGWESMCKVVEMELSLMYEALYTKAIVLHTWPTAGYLIRLLSPLATAAAVFLFNQYMGSRPTSTRDNIVRGFFVKVTYLLLGFALAMDVVWLLRALGSTWTSAALKDKAAAWPWSSHWLRHHLLCSGRWRRLHLVVEYPVQWLFCRRDHPVVSCRTWSGNIGRYNLLHECSAAAAHHRRRRPRWRRFYSWLEKKLEVKYLRELPHDVKELLVERVRLILKWTNEPKKPGADDEDGYTMVHIRTLWGQQALNQKGAKELFLFEDKKKEGEDDKDAIAKLLKFGQEFEEDVLLWHIATCVFLSRDEVTVRIPASSTLCVKAIKAMSEYLMFFVAIRRHMLPGLVLHSLFEKTLQALGEIWEEGKKKITGSSSTAANNTPPKDKLANILLEKARNDNKLMVEWFLDDDGRLLVRDAAVLAGKLIGANRRHNRQRVPQLLEFIFNVWVDKLLYAAVRCSRESHAKQLSRGGDLTTILWMIVQHAGLFRIGERRPGYKKPAEKMKKKPAEEKKPEEKKKPEDKKPEEKKKPEEKKPTEEKRKPEEKKPPLRAPPPPAQMPPEPDEDDLGYDDLPTGYITVY >Sspon.04G0003520-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:10968680:10969841:1 gene:Sspon.04G0003520-1A transcript:Sspon.04G0003520-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DRFYEEDEWHFKFQDFRYTHSHSSAGDYLLEEEALFSSQRFCAISSESSFSKGTIGDLFDVDFPSFWAQMEEDAHRKSKEGDCEKALIESANVQTVTEIPERRGGGFSETKKELTFEQVSRHFSVPIKQAARELNVGVTVLKKQCRKLGIPRWPHRKVKSLQKLIDNVQVSARVLQGLGKENAQENGHLTRSLVGFMQQTMKLIGERPDVMLDQRTEELQVCFKENNAQEDGHLTRSVVEFLQLTKKMIEERPDAILDPRTKHLRQVCFKESFKRKRLIGGHGTW >Sspon.02G0010050-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:28068052:28070319:-1 gene:Sspon.02G0010050-1P transcript:Sspon.02G0010050-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LTALPVSSHTAPLRSFLSSHRLLTVLVLVVAVAAAVLTAALVYILARRQRRHSPKEEAPIYTKPSSLAPANPVFYDGGDQHGRGSTATVSSTSSPELRPMPPLPRQFQQTRMNLPSCSKSVIDAGTGGKRASEGAPPPPPPPPPPPPPPPMPPVKDKGCATAAAAPPAPPPPLPRAGNGSGWLPRRHSERPATTVIRASASAVHPEESPGRAPSEEQADAAALPKLKPLHWDKVRASSGRPTVWDQLKASSFRVNEEMIETLFVSNSTWRSSKNGTKGPNSSLCSQENKVLDPKKSQNIAIMLRALNVTKEEVCKALLDGQAESLGTELLEMLLKMAPSREEEIKLKEYREDAVSKLGPAESFLKAVLAIPFAFKRVEAMLYITNFDLEVDYLKTSYKTLEAACEELQGSRLFHKILDAVLKTGNRMNTGTNRGNAHAFKLDALLKLVDVKGADGKTTLLHFVIEEIIKSEGANILATGQTSDQASALADDLQCKKVGLKIVASLGGELNSVKKAAAMDSDALASCVSKPPRRQQES >Sspon.05G0022030-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:5172953:5178511:-1 gene:Sspon.05G0022030-2D transcript:Sspon.05G0022030-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LLIVFSHHYLCCPHSDENEDTHDTHGAIVPYSKSKLAIEQMVMKETFSRDECDRMVELIKSRVRDSTVPEAHEYGKPEEIPSRNAGIAHDFTGTWRSLSRDRNFPESVALSSMRMGPGSFSPGSPLQASPELCTAAVTEAKKWLEEKRQGLGSKPEDNGPCTLNTDIFSSHDDSDMGTPVDLAKSYMQSIPPWQSPFLGRQKFDTSPSKYSILSTKVTTKEDYLSSFWTKLEESRRAHIGSSRGSAEASKLWNYGSNSRLFENNTSIFSLGTDEIVGDPTKTHNVHFCCPKGITEPVDLAKNNGNAPQEYQVASEILPDKVAEANDVSSTGITKDTTGHSGDVKAPTSEPHIGETHVNSASEYIPNDAGPPAQTKMNGSTKKTIVNGLLDQSNGNSGLESSGNDNPSYTNSSSAMPPASTELIESAAPAIDVDSVENGPGTKPEQPAKRALRSSNVPRGRKRVLRSTARGRAT >Sspon.03G0032720-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3B:39410576:39411007:1 gene:Sspon.03G0032720-1B transcript:Sspon.03G0032720-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLLSKVSSAAAACARRVSRATRRLPRTARRLLQRARRPRRDFRQLVPTDDDHQQEASDGDAGGEEEEGGLWRRTVLMGERCKPLDFPGAIHYDSSGRLLPAAPSPRSSGKAAGALLCRSACDVDEAATARSKARLAKAKHV >Sspon.02G0050330-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:55344387:55345020:-1 gene:Sspon.02G0050330-1C transcript:Sspon.02G0050330-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rhodanese-like domain-containing protein 9, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G42220) UniProtKB/Swiss-Prot;Acc:O48529] MAVRIRQGGEPAGISLSPRRRRRSCAAVVRAEVSFVGADEAKRLVDEEGYTVLDIRDRTQRERAYIKSSTHVPLFIENQDNDIGTIVKRQLHNNFAGLFFGLPFTKLNPDFARTVKDKFSPESKVLVVCQEGLRFVAEQFCHQVPLSGLRTC >Sspon.01G0007530-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:32383433:32385768:-1 gene:Sspon.01G0007530-2B transcript:Sspon.01G0007530-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA topoisomerase 6 subunit A [Source:Projected from Arabidopsis thaliana (AT5G02820) UniProtKB/TrEMBL;Acc:A0A178UQD3] YQGGLIVAGPGIDNILYIHKWAFRLSARLSTFSVLDEWTMHSSRAPADYQPTRIQDMWKLFGPLAQPRRRARQGALFRSSLLPHYYPPPNRTAAALELELKPRRHLRRSPKIQYFPRPPSDLSVLPMSEKKRRAGASAAGAASSSKKPRASSAASYAESLRSKLRPDASILATLRSLASASASKSKAAAKSLADHDLSADPASSYIVVADQDSASVTSRINRLVLAAARSILSGRGFSFAVPSRAASNQVYLPDLDRIVLVRRESARPFANVATARKATITARVLSLVHAVLGRGIHVTKRDLFYTDVKLFGDQSQSDAVLDDVSCMLGCTRSSLHVVASEKGVVVGRLVFADDGDRIDCTRMGVGGKAIPPNVDRVSGIESDALFILLVEKDAAFMRLAEDRFYNRFPCIILTAKGQPDVATRLFLRRLKVELKLPVLALVDSDPYGLKILSVYMCGSKNMSYDSANLTTPDIKWLGVRPSDLDKYRVPEQCRLPMTDHDIKVGKELLEEDFVKQNEGWVKELETMLRTKQKAEIQALSSFGFQYLTEVYLPLKLQEQDWI >Sspon.01G0040060-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:31634063:31636174:1 gene:Sspon.01G0040060-2C transcript:Sspon.01G0040060-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRARRLRLRLRLRPPANGKGTGSFGKRRNKTHTLCIRCGRRSFHLQKSTCSSCGYPAARIRKYNWSIKAIRRKTTGTGRMRYMRHVPRRFKSNFREGTEAAPKKSAAASN >Sspon.03G0038700-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:2101355:2106786:1 gene:Sspon.03G0038700-2D transcript:Sspon.03G0038700-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGVWGKGSSNSQFPNPKQVIRGQSVLQLSSSWILDPSSADHFIIMWGERTHHKHWHQGHGSSGNSKDKNHDKRQPKIIPDNYSSVDEVTTALREAGLESSNLILGIDFTKSNEWSGRHSFRRKSLHAINGTPNPYEQAISIIGRTLSPFDDDNLIPCFGFGDASTHDHSVFSFYPENRLCRGFEEVLVRYRQIVPHLNLSGPTSFAPLIYAAISVVENSNWQYHVLVIIADGQVTANTNDGRLSPQEQATIQAIVDASHYPLSIVMVGVGDGPWDAMQHFDDCIPERAFDNFQFVNFTDIMSTSKDMSKKEAAFALAALMEIPSQYKATQGLRPPEKHAQRIDSPRILPPPNKVLENDNAAASHPPQTASSKSTGIGKSTADEQVCPICLTNPKDMAFQCGHLTCKECGPTLSTCPLCRAPITMRVRLYS >Sspon.02G0020690-4D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2D:62872469:62874399:-1 gene:Sspon.02G0020690-4D transcript:Sspon.02G0020690-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHRSFLCFVFSLVFTFSDFAASSDDQFIYNGFARVNLTLDGNALVTPDGLLELTNDTVNLGHAFYPTPMSFNQQPNGTVQSFSVSFVFAILSVHADISADGMAFFVAPTKNLSNTWAQYIGLLNSGNDGNMSNHMFAVELDTTQNDEFKDIDNNHVGININSLTSLQAHHTAYYEDNSGSFSNLTLISGKAMQVWADYDGETTQIEVKLAPVGAAKPVRPLLSAVYNLSLILKDKSYIGFSATTGAISTRHCVLGWSFAMNGPAPTIDISKLPKLPRLGPKPRSKVLEITLPIATGLFVLAVGLVIVLLVYRRLRYKEVKEDWEVEFGPHRFSFKDLFHATGGFRKKNLLGVGGFGKVYKGVLPKSKVEVAVKRVSHESRQGMKEFIAEVVSIGRLGHRNIVPLLGYCRRQGELLLVYDYMSNGSLSKYLYSEGDQPTLSWAQRFHIIKGVAFGLFYLHEKWEKVVIHRDIKPSNILLDSEMNGRIGDFGLSRLYDHGTDPQTTHMVGTMGYLAPELVRSGKASPSTDVFAFGILLLEITCAQRPVKQNAQGLNSGQRI >Sspon.03G0016850-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:53193149:53216203:1 gene:Sspon.03G0016850-1A transcript:Sspon.03G0016850-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLLRPTPPSPHLCALLRRLLSTGAGPFPSRMLPSPRSTAAVPRLLFGPRVVAAAAPRRNGVPVRAFMASTTASEAMHEKRVAAEYTAANVQVLEALDGVRTRPGMYIGSTGPRGLHHLVYEILDNAVDEAQAGHASKIDVVLHGDNSVSVTDNGRGIPTDMHPQTKKSCVETVLTLMHAGGKFGGSKSGYSVSGGLHGVGLSVVNALSEALEVTVWRDGNEYRQSYSRGKPTTTLTSITLTGETNPRQGTASDFGLIKIGLTIILNVVFTTTIDFDFNTISSRIRELAFLNPEITITLTKEEGHTVQHNEYCYAGGLVEYVKWLNTDKKPLHDPIAFRKELDGYANSIRTIDGGTHIDGLKASLTRTINNLAKKSKTIKDKDITLSGEHVREGMTCVISVKVPSPEFEGQTKTRLGNPEVRRIVEQSVQENLTEYLELHPDVLDSILSKSLNALKASLAAKRARELVRSKSVLKSSSLPGKLADCASTNPEESEIFIVEGDSAGGSAKQGRDRRFQAILPLRGKILNIERKDEAAVYKNEEIQNLILALGLGVKGENFKKDALRYHKIVILTDADVDGAHIRTLLLTFFFRYQRALFDEGCIYVGVPPLYKVERGKQAHYCYDDADLKEIVNTFPANASYHIQRFKGLGEMMPAQLWETTMDPERRLLKQLKVEDAAEANVVFSSLMGTRVEYRKQLIQEAASTINIDQLDI >Sspon.08G0011100-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:48518571:48530207:-1 gene:Sspon.08G0011100-1A transcript:Sspon.08G0011100-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKFPLQGTKVNIIVGSHVWAEDPDTCWVDGEVVKINGEEAEIQATNGKKIVANLSKLYPKDMEAAAGGVDDMTKLSYLHEPGVLQNLAIRYELNEIYTYTGNILIAVNPFQRLPHLYDPHMMQQYKGAPFGELSPHVFAVADVAYRAMINENKSNAILVSGESGAGKTETTKMLMRYLAYLGGRAATEGRTVEQQVLESNPVLEAFGNAKTDVEKYKLGNPKTFHYLNQSNCYELVGVSDAHEYLATRRAMDIVGISTQEQDAIFRVVAAILHIGNIEFSKGKEVDSSVLKDEKSKFHLETTAELLMCNPGALEDALCKRVMVTPEEVIKRSLDPYNATISRDGLAKTIYSRLFDWLVDKINSSIGQDASSKCLIGVLDIYGFESFKANSFEQFCINYTNEKLQQHFNQHVFKMEQEEYTKEQIDWSYIEFVDNQDVLDLIEKKPGGVIALLDEACMFPKSTHETFAQKLYQTFQKHKRFVKPNYMSVLLYILVCADACGLMVLYQSDQFLDKNKDYVVAEHQELLSASKCSFISGLFPPPPEETSKSSKFSSIGARFKQQLQALMETLNSTEPHYIRCVKPNNVLKPAIFENINVMQQLRCGGVLEAIRISCAGYPTRRTFYEFLHRFGILAPEALEGNDEKVACKKILEKKGLLGFQIGKTKVFLRAGQMAELDARRTEVLSAAAKTIQGKMRTHIMRKKFLSLRKASVCVQAIWRGRLACKLYDNMRREAAAIKVQKNQRRHQARRSYKLHYASVLVVQTALRAMAARKEFRFKKQSTGAVTIQARYRCHRAHKYHKKLRWAAIVAQCRWRGRIARKELKKLKMEARETGALKEAKDKLEKKVEELTWRVQLEKRLRTDLEEAKAQELSKMQSSMEALQAKLDEASTKLAKEREAAKTIEEAPPIVKETQVVVQDTEKIDSLTTEVQELKTSLQSEKQRADDLEKKRSEEEQANEEKQKKLDETENKMRQFQDYLRRLEEKLANVESENKVLRQQAVSMAPSKILSGRSKSNLQVSVAISFILSSILQDSINELHLLFGLQRSSENVQVSSNDPKITPESNNTSSPKKEYDIDDKPQKSLNEKQQENQDLLIRCIAQHLGYAGNRPVAACIIYKCLLHWRSFEVERTSVFDRIIQTVGHAIETQDNNEVLAYWLSNASTLLLLLQRTLKASGSTGMAPQRRRSSSATLFGRMTQSFRGAPQGVNLSLINGSMVTGVETLRQVEAKYPALLFKQQLTAYVEKIYGMIRDNLKKEISPLLGLCIQAPRTSRASLMKGSSRSNTNTAAQQALIAHWQGIVKSLGNFVNILKVNNVPPFLVRKVFTQIFSFINVQLFNSLLLRRECCSFSNGEYVKAGLAELEHWCYRATDEYAGSAWDELKHIKQALVIHQKPKKTFDEISHDLCPVLSIQQLYRISTMYWDDKYGTHSVSPEVISNMRVLMTEDSNNPVSNSFLLDDDSSIPFSVDDISKSMQQIDISDIEPPPLIRENSGFVFLLPPPE >Sspon.06G0016180-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:77182355:77196705:-1 gene:Sspon.06G0016180-2C transcript:Sspon.06G0016180-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding KTENLRVQCARKRYSRLSSESESSRPATCALHLHLHKTQDEVVSPRHRCYSYLRAARGGGDPASRFPCQDSGTRLLGSSLPSRSSRRPAAPPFAIARMALNEEYTYAELEKEPYWPFEKLRISITGAGGFIASHIARRLKSEGHYIIASDWKKNEHMSEDMFCHEFHLVDLRVMDNCLKVTTGVDHVFNLAADMGGMGFIQSNHSVIMYNNTMISFNMLEAARINGVKRFFYASSACIYPEFKQLETVVSLKESDAWPAEPQDAYGLEKLATEELCKHYTKDFGIECRVGRFHNIYGPFGTWKGGREKAPAAFCRKALTSTGRFEMWGDGLQTRSFTFIDECVEGVLRLTKSDFREPVNIGSDEMVSMNEMAEIVLSFENKQLPIHHIPGPEGVRGRNSDNTLIKEKLGWAPTMRLKDGLRITYFWIKEQLEKEKAEGMDLSVYGSSKVVQTQAPVQLGSLRAADGKDAFAGARRRRKSMAGKGGKGLIAAKIAAGMDKEKKAPITRSARAGLQVPPFLRSLVLVPLVALLGPLRSLVLVPLVALFRPCAMRMALNEEYTYAELEKEPYWPFEKLRISITGAGGFIASHIARRLKSEGHYIIASDWKKNEHMSETCSATSSTSFFYASSACIYPEFKQLETVVSLKESDAWPAEPQDAYGLEKLATEELCKHYTKDFGIECRVGRFHNIYGPFGTWKGGREKAPAAFCRKALTSTGRFEMWGDGLQTRSFTFIDECVEGVLRLTKSDFREPVNIGSDEMVSMNEMAEIILSFENKQLPIHHIPGPEGVRGRNSDNTLIKEKLGWAPTMRLKDGLRITYFWIKEQLEKEKAEGMDLSVYGSSKVVQTQAPVQLGSLRAADGKE >Sspon.07G0020000-4D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7D:82888564:82888765:1 gene:Sspon.07G0020000-4D transcript:Sspon.07G0020000-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAVYRRVLKAVQKHVGGGATKQHFRDFVAAEFRAPAGTEADARARLRLAGDYAYLLTSVHHHK >Sspon.03G0038560-2D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3D:1001089:1001450:1 gene:Sspon.03G0038560-2D transcript:Sspon.03G0038560-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SLCNACGIRYRKRRRQALGLDAAADSQQDQQQPKKKATADPQQQDQHHLRKKTAAAADPQQDQHHLRKKAATDPQQQDRKKAAAAAASSTNKKDKDKEDNKKKKDQQVTVELRVVGFGKE >Sspon.05G0012260-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:36934123:36936864:1 gene:Sspon.05G0012260-1A transcript:Sspon.05G0012260-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAEGDADWSLPSPADLDDNGFPALPSSPAASSSGFAEDFYRSGTDWSSLRAPPPLRPPGVKEKERGGGSLVQSSLFQAWGIERPRREGAEAGDSSLVQRSLFQAWGIDRPRREGLRAGDSSPSPSLSGSWLGRKRRRGGTKDAGVAAKKPLACPFYKKIPGTPFTVDAFRYGQVEGCSAYFLSHFHHDHYGGLTKKWCHGPIYCSALTARLVKMCLSAPATCIQINDCSYICPLELDTEYVIEGVTVTLLEANHCPGAALIHFHLSDGKIYLHTGDFRASKSMQLHPLLQRGRVNLVYLDTTYCNPKYNTLLNMVNCRFPPQEDVIDFVVRTAQRYLKKQPKTLIVVGAYSIGKENVYLAISQALEVPIYTDASRRRILHSFGWSDLSKRICSCNQSSLLHVLPLGSVNHENLKKYLETLNGRFLAVLAFRPTGWTFSEATGKHLDLIKPSSNGSVTIYGVPYSEHSSFTELRDFVMFLRPQRVIPTVNVGNATSRDKMQAHFREWLKS >Sspon.05G0031600-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:6612562:6614585:1 gene:Sspon.05G0031600-1C transcript:Sspon.05G0031600-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGSNDADTAPWADFLPELCNLVLDHLDVIGIIRFPAVCSDWAAASKNTHCPRLPSGTPTLLTSSLDPEGYDVEYDVEPGAFGLHDVATGKSYYGEAEGLKKRTWIGGKNDWLVTTDLRCSVELLNPITGVRVPLPSFATIPGVEVVEVRDRGPGVSFEKSPHHFQKVTPWFRMPAHRLQKVMLGYLAVALFSSGDSTVLDSTLIGGIQPLNELVGPRRAAHYKREVGVHRRRYRTPPQNPNPIKRAHHWTSLLRTPLLLLHRLPLHQNPTVTFLTTVDTAVMPARGKKTSHCTAHGRRREAAGRRRAHPRGPDGGAATPLPSMGHRGRLTRLLPSAALRKEWKRGRKKAGGAARAGCRPTAALHQALDSAAAGKERREWRLGFRKIRPPHPIAMNGWSCSGLSRPAGSGGPEGAFPAQAQVAAWARGAWLAWLGHGPRRRKKKCWAKLGEPRAASMLGCAQQSASSAVSWAFGPK >Sspon.04G0010960-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4A:33604210:33604755:1 gene:Sspon.04G0010960-1A transcript:Sspon.04G0010960-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LLEAQARYARKANGKNCQFEHCWLTLRHTQKFESTLEGNKKPTKSKELNLPVRSEQEDDESTAQGQESSSIPSSKKARPPGRKQSKEKLKKNEGDDEYKDMMQNLLVMKTEEHMMKKERWEKDMMLEQRRLQMEEERLQWEQEQKIMFCDLTTMDDDQRAYVKAKRAKIVKAISTSVGETAS >Sspon.02G0026220-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:92318912:92320993:1 gene:Sspon.02G0026220-2B transcript:Sspon.02G0026220-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKQTENGEESYGLQKLRPEVEDLCKKFDDLVDKPLDERERKVLAEISEFLEKKIKAKIALRVRDDGKEEKDDELLPRSKREELHNLVPLLRAALEERGAASSDHCKWPREQQDAAESSAGADDSKPASATTGCIPCGKPRSSKQQQQSKKQQQEQEEKEGVSLTLLLQLTKNVLEPEQYYEWTTSYVDEERIYGWDKEADEVITALVADDGSERMFRAAGIAGIHGSGKTALAQKVFVHDKAKDNFALRLWVCVGPPDSEDRFNLLYRMLDNLGLDTAKVEDIVDKSKVVTKAKDDERKRIMEKPEATAELRGKAAGMAARRPAAAAGVARKNQAAETAKKETSDDATKEEGNVVTEAGAAAGEDANRQAKEEDDRIFEELLQEKIDNSRAVETSKIGVLLYILNMTLSNTSYMIVFDDIRAYRRDDDDVHTHGCWYSNLTLQPPAEGEWGDRLAYGLPKGEHRGAVLVTCRKEDDAKIMARTGLVVRPPKLEVDDAWKLFKREYEQAKEDKRKKEGGKKEEDLLLKQLEEMKKDIVDKCLGLPVAIIEAARGFVGLEPLPDLPDDTSALKLKEAAAEVKPAPAAAATAGGDTRTESSEGIHQAEEEEAAAGTTEAGHESD >Sspon.05G0008320-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:27143556:27144733:1 gene:Sspon.05G0008320-4D transcript:Sspon.05G0008320-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRTASKDIITLRGSAAIVSEFFGTRAPHRPPPSPKFSCRGCPAGGGGSVGADPSRIRLVRKVRDFVLLIDPVPFGFLVDCSILYNRAVYPEESFTKVKKYGLTMLLTQDEGVKNFIANLTSQLSKWLEAGKLQRIVLVIMSKATSEVLERWNFNIVTDAEVVEKGAIKEKSDKEIMREIQAIMRQIASCITYLPCLDEPCVFDVLAYTDTDVDAPGTWVESDAKLIDNPQMVKLHSFDTKV >Sspon.02G0018070-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:68253550:68256881:-1 gene:Sspon.02G0018070-3D transcript:Sspon.02G0018070-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKTASVRLLRDGGFRLSQELLSGYSETVIPLIQRFYSTPTTLPRDNSVCGGEPSHASRPVAKQFKNYGPKKRHGPSQKGHRHETKLPGSGRDGSSRKGSHHEKKLPGRDGPFQKGSHHEKKLPDPAFKPFLFQIVLDTSTSALVPVLDTWLKAGNRLESDKSIWKQRMYNKALKFMEWIDRRKLLNFEERDYASHLDLIARNHGIEAAEKYIERVPEAFRSEVLYETLLVNCVCRDDAQKAEQVFNEIRELSLPLTISACNQMLLLYKRVSRNKVVEILTLMEKENIKPSLFTYKLMIDLKGRSNDTLGMESVLNLMKENGFEPDFGIQTTVAKFYISGDLAEKAEEVINAMEVYVKDNRHAIRSLLDLYAILGRPDDVERIWNLCTEPKLEDFLAAIKAWGKLGHIERAEETFESLVKTSLKLTSKYFNAMLYVYAENELLDKGKKFIERMCLDGCPSGPLTWDAVVKLYVNSGELAKADSFLVNVTEDNPDRYPLFRSYIILLKAFAEKGDIHNAEKIFNRLKQTSYPARTLPYNLLLAAYANAQVTPYGFRERMKADKYSPSKTQIERLNRLDSL >Sspon.03G0015870-4P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:50117651:50121555:1 gene:Sspon.03G0015870-4P transcript:Sspon.03G0015870-4P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCFACCGDEDTQVPDTRTQYPGHHPARADAYRPADQPPKGPQPVKMQPIAVPAIPVDEIREVTKGFGDEALIGEGSFGRVYFGVLRNGRSAAVKKLDSNKQPDQEFLAQVSMVSRLKHENVVELLGYCADGTLRVLAYEFATMGSLHDMLHGRKGVKGAQPGPVLSWSQRVKIAVGAAKGLEYLHEKAQPHIIHRDIKSSNVLLFDDDVAKIADFDLSNQAPDMAARLHSTRVLGTFGYHAPEYAMTGQLSSKSDVYSFGVVLLELLTGRKPVDHTLPRGQQSLVTWATPRLSEDKVRQCVDSRLGGDYPPKAVAKFAAVAALCVQYEADFRPNMSIVVKALQPLLNAHARATNQG >Sspon.07G0024170-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:22812989:22831844:-1 gene:Sspon.07G0024170-1B transcript:Sspon.07G0024170-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein RETICULATA-RELATED 4, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G12470) UniProtKB/Swiss-Prot;Acc:Q94CJ5] MASPRPTSLSSPAALIHLRLQPLPSIPPLHPTTLPFPRSLPLHLPSLRLNGPHLPPLPLASSGSGSGITGTGGEDGLPPLPLASSGSGSGITGTGGEDDLPPSGGGGGGGGGDGEGEGDGSDDASVNRREALFVLAQLGRKLESLPADLAAAVEGGRIPAEIVRRFVDLEASPVFRWLLQFGGFKERLLADDLFLTKVAIECGVGIFTKTAAEYEKRRENFVKELDFVIADVVMAIVADFMLVWLPAPTVSLQPPLAVNSGAIAKFFYNCPDNAFQVALSGTSYSLLQRVGAILRNGAKLFAVGTSASLVGTGVTNALIKARQAASKDFDGEVENLPILSTSVAYGVYMAVSSNLRWIDYASPTNSQHGGKGGKH >Sspon.01G0059600-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:61292018:61296276:1 gene:Sspon.01G0059600-1D transcript:Sspon.01G0059600-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AMKRLGPWLNTVRSKLLRSHQRLENSGRQGLGTELQQDHSKTINLSDNDEDEQENSDDNTSSRSGATGQSRGRSKRRNDAKPVPRIEETMSDFVRLKREQAAAKAHNKCQGQEFSMPRCLVVLKDMDDLSVDVKIQAAQRSWVRLVAATFKDHAKSKHLPVDSSYHLGEHNGGSCDRDSIRHPGQKQLTARGLVPKGVHSYDLKPDGKIEVTLPQECNFFIPLGGQKYKFQFASTFGGMIHAGSITEVYGVNLQVKFAWLGVNQVVRAGNQLTFHVQTFTQSFPVSNFAVSPSCS >Sspon.06G0001380-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6B:2601592:2601969:1 gene:Sspon.06G0001380-2B transcript:Sspon.06G0001380-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVEILDGSTVRSFVEDEGAFNTSVDGRFAALDADHDGLLTYAEMAGELMSLRVLEKHFGVDEAVVAPEELAGLYHSLFAQFDRDGSGKVDRQEFRAEMKEVMLAVANGLGFLPVQMVVEEGSFLK >Sspon.01G0036810-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:16083305:16087738:1 gene:Sspon.01G0036810-3D transcript:Sspon.01G0036810-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein GID8 homolog [Source:Projected from Arabidopsis thaliana (AT1G61150) UniProtKB/Swiss-Prot;Acc:Q84WK5] MFLSRIVLRDLDSIDSPASMASSKKVVTRDEWERKLRDVKIRKEDMNRLVMNFLVTEGFVDAADKFRIESGTQPEIDLATITDRMEVKRAVQSGNVQEAIEKINDLNPTQTFLEEIEKTVALLVFEDVKNCPYGELLDVSQRLKTASEVNAAILTSQSHEKDPKLPSLLKMLKWTQNQLDEKAAYPQINDFTTAALEDPSI >Sspon.05G0039360-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:69504901:69508495:1 gene:Sspon.05G0039360-1D transcript:Sspon.05G0039360-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRGIKAARKPSRQDADDADGDDRISALPDAVLQHALGFLPPRDAVRTCVLGRRWGNLCGGRCLACASPTSRRWGAATCSTCFFCGSATRGRPWMSARSTSLGWEINPAQGTWISGSGTLSCCEPERCACALSLREIGALWDRPLVSTTCLKVLHIDRVCTGIPCVMDFSRCPALGDLEITSSVFMAACSFISPSAKRLCFVNCSFGVNEYPWDGRSSISAQSLDWLKIEQCKGLAPVVLGCMPSLQAASIWVRCAFEDQCDKPCSACSCCNCQGECLNCDKDIADLMEGCVLLRGLSNATSLRFRASFGMRGGRPPAAVSVYIGIVGDRRSTSLEEGID >Sspon.01G0024230-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:84524452:84525011:-1 gene:Sspon.01G0024230-2D transcript:Sspon.01G0024230-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPLPHRSNAHASLPAADGTMPAKRSTNPANGEAPAALSAPAADLGLRVPAARGRGACSCSDEAAGRRRWGWEMTWKATHIYLRLVDDDAITVSQVSAIAMWRPRHHPNSEGELERRNEECHS >Sspon.08G0003020-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:8377315:8378618:1 gene:Sspon.08G0003020-1A transcript:Sspon.08G0003020-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASLQAAATLMQPAKIGGRAPSASLPSRSSSHLARAFGVDAGAARITCSLQSDFRDVASKCVDAAKLAGFALATSALLVSGASAEGTPKRLTYDEIQSKTYLEVKGTGTANQCPTIDGGVEAFPFKAGKYQMKKLCLEPTSFTVKAEGIAKNAPPEFQKTKLMTRLTYTLDEIEGPLEVGSDGTLKFEEKDGIDYAAVTVQLPGGERVPFLFTVKQLVATGKPESFGGPFLVPSYRGSSFLDPKGRGGSTGYDNAVALPAGGRGDEEELQKENIKNAASSTGNITLSVTKSNPETGEVIGVFESVQPSDTDLGAKAPKDVKIQGIWYAQLE >Sspon.06G0006340-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:20739594:20740947:1 gene:Sspon.06G0006340-2B transcript:Sspon.06G0006340-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIAKVDLRGVVPGGAGWEAARAAVTASMVAHGCVVVAHDALGADLRQALFSRALPELFALPLEVKQRTVSDKGQFRGYISQVPGTAWESLRVGEPTDAASVRGFAEILWPEGNPEFCETIVSFAKNMMKLEETVETLVLEGLGARGESIGAHFGLLGHNFRLSHYGVPPDTESSMSLQPHYDSIVMTAIVQHEVEGLEVHVDGRWVAVPAETGTFTFVAGEQFRVVTNGRVPACLHRVRTPSNRERFSVLFARRQKDGIVVRALEDLVDAEHPLVYNPLRHEEFSDWRYSEEGLKFSDPLKAFCGVEKDKVVGAMA >Sspon.05G0003770-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:16809738:16813910:1 gene:Sspon.05G0003770-1P transcript:Sspon.05G0003770-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSEAAKVAVPESVLRKQKREEQWAAEKKEKALAEKKKSIESRKLIFTRAKQYAEEYDAQQEKELVQLKREARLKGGFYVSPEAKLLFVVRIRGINAMHPKTRKILQLLRLRQIFNGVFLKVNKATINMLRRVEPYVAYGYPNLKSVRELIYKRGYGKLNKQRIPLSNNQVIEEGLGKHNIICIEDLVHEIMTVGPHFKEANNFLWPFKLKAPLGGLKKKRNHYVEGGDAGNRENYINELIKRMN >Sspon.05G0034030-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:47732059:47734106:-1 gene:Sspon.05G0034030-1C transcript:Sspon.05G0034030-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNIREVHIKKGRIWHSHLAWRQLQNLRKLRVIEPTSSWETGNKDEFTNMEKLELLDLSGDSTIQVLPNLSSASRLRTLVLDGCVGLDHIGPEGIPTSLESFSLDARAGKDGNNTAKISRITLAGCAKLVDFTLLGSLANLKELDLSCTAVKTLNLKKEVQVEKLERILLMGCYQLCAIVWPENRMQQLRLLHIDTRQGVVLSREASHHSVVHQEQERYCHAHISITDMRFLQSLVLTGREEFCWSTTPFKLNLYLSCAIKDDGKNCSSDKVGHRFYSTGKIAGSQSVVHKSLMSNTCSTYNDVNIEQIVITEGDDSSALQFEPQDLHVEMGHEMVDINVANSQGIRALYFVMNRVQSLHLHDNCSISSIIPEHLTSTREWKISYHALKWCYLEKCPKLDTVFHTNYDDRYLFEELETFWAADLLMARSIWSRRRPYDFVDSVSFGKLQAIHLYRCPMLKFVLPLSWNHTLSSLETLHIVWRQVFPVEAGFLNKIAAEHPNGMLEFPRLKDLYLHHLSSLRQICEAKMFAPKLETVRLRGCWGLKRLPATNKGKHPVVVDCDKEWWDNLEWDGLDFGHHPSLFAPSHSSYYKKRMLRGTVLRYVKFDEGTIEETT >Sspon.02G0038720-3D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2D:39250907:39252022:1 gene:Sspon.02G0038720-3D transcript:Sspon.02G0038720-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHCLPLPESKSFNLRVNNSATKHLSGDDECINIDVSIPAAAGFTCTATYWPNWHSSGHIKIAVVITRTDDQHHPHRHKLLVAHIDLPSRTGLTPPPVTVRRETVTTDCNCNCNEGIVRREPVTTDCKDGMGKVKREPVTTDCCKEGISLIAKRVDVEAKCVVDDHFTAICTVAVPKFWPSVPLPTPSRLEHDIHKASDLTDVSFQVDGETFRAHRLVLAGRSPVFRTELFGRRQMAESSQQQESSIAIQDMKASTFKSMLHYMYHGLLPAETAWPMSECQNLHVAADKYGLDTLKQTCEEILCASVTTDTVLSSLQFAEERACLKLKSRCLDFLADGEKFKAVAVTNEYIDLMKKVPSLLDHVQNRFKRPRL >Sspon.08G0011790-3C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8C:48578053:48579318:1 gene:Sspon.08G0011790-3C transcript:Sspon.08G0011790-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRRRSGRQPSAYLVSKLRQQEALQRRCGPGTVAYSNALEQLRSGRSGGGDIIASPECKYLVSISYRGLGNRILAAASAFLYALLTERVLLVDPSNDMGELFCEPFPGTTWLLPSSGFPLASYTNFSVSTAESYGNMLRNKVLRTDDVPEAQQLPVFSYVHLDHDATEQDRFFFCDDDQRVLRNIPWLVMRTDGYIVPGLFLVMGFQEELGRLFPEPDTAFHHVGRYLFHPNNHVWGLVTRYYDAYLATAQQRMGIQVRVFGTQPNSPELLEQITKCTQKERLLPELLTTAAAEPQVPEPIRKTKAVLVTSLKSWYYEKLKGMYWEHAAATGGEAVSVHQPSHEEFQRFGAKSHDAKAWAEIYLLSLTDALVTTAWSTFGYVAQGLGGLRPWVMYRPDNDTHVPDPPCGRDVSMEPCFHAPP >Sspon.04G0025730-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:29543353:29543835:-1 gene:Sspon.04G0025730-1B transcript:Sspon.04G0025730-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEQQQQQRWQEGHRLCANNCGFFGSPATLDLCSKCYRDLYQQQQPAGAAPAGPSSAPAASAFQQPSSSAVADAAAVSPEPEPPAATAASAAGAKAGRCASCRKRVGLTGFACRCGATFCGVHRYPERHACAFDFRAAGRDAIARANPVVKGDKLKDKI >Sspon.08G0015920-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:57977815:57979402:1 gene:Sspon.08G0015920-2B transcript:Sspon.08G0015920-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptide methionine sulfoxide reductase A5 [Source:Projected from Arabidopsis thaliana (AT2G18030) UniProtKB/Swiss-Prot;Acc:Q9SL43] MAAPSAVAVAAVIGVLVLLAAGASGARLPGRGGAVRGAALPRGGAPATVVFALGSFWRSEAAFGCLPGVIRTSVGYAGGSKANPEYRNLADHAECVKVEYDPRLIHYKQLLDVFWASHDPREVFGQGPDVGNQYRSVIFTNGTIEARLAALSKDKEQAKDRSSVITTQIQPLGMFHPAEPEHQKFELKRKPFLLQLIGNLPEEELLTSTLAAKLNAYAAELCPANTQKRINSKIDEVTKKGWPILRDI >Sspon.02G0016840-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:44764459:44770467:-1 gene:Sspon.02G0016840-2B transcript:Sspon.02G0016840-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPPAHPLHTRNSAANASSSSGGGGRDEEAPIRSGAEGDIEEPLVSRRTETMADQLGSYTIRSHGMILARFHMYDWIILLLLAVIDGLLNLIEPFHRFVGKDMMTDLRYPMKGNTVPFWAVPFFPYPFGGDAWWPHAYTVQVAEERNSRNANSYSVRPTEIETVNIPGRGGITLRDTLNDVESGTGNDRRS >Sspon.03G0043530-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:81607247:81607948:-1 gene:Sspon.03G0043530-1C transcript:Sspon.03G0043530-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSRQSSRFKGVVPQPNGRWGAQIYDRHARVWLGTFPDEEIAARAYDVAAVRFRGRGAITNFPEERVSAGELAFLAAHSKSEIVDMLRKHTYAAELRQGLRRGRGMGARAQPTPSWAWKPLFEKVVTPSDVGKLNRLVVPKQQAEKHFPLKHGPETITGKGVLLNFEDGEGKVWRFRYSYWNSSQSYVLTKGWSRFVREKGLRAGDTVAFSKSVCGQDNQLLIDCRKTPKKQDE >Sspon.03G0004210-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:10574453:10578593:1 gene:Sspon.03G0004210-1A transcript:Sspon.03G0004210-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AMETASFLSVALALAALVPVSLLLLNRLLYGKLPPGPRRRPVVGNLFDVQPVRCRCYQEWARRYGPIMTVWLGTSPTVVVSTSELAKEVLKTHDQQLADRCRDRSTESFSRGGQDLIWADYGPHYIKVRKLCNLELFTQRRLEALRPIREDEVTAMVESVYKAVTSPGNEGKPLVVKNHLSMVAFNNITRLAFGKRFVNAAGELDEQGREFKGIVHNGIKIGASLSIAQHIPWLRWLAPVDEQVFKAHGERRDRLTVKIMEEHAKALKQRGAQQHFVDALFTLRDRYDLSDDTVIGLLQDMITAGTDTTVISVEWAMAELLRNPRVQEKLQEELDHVVGRDRVLSETDFPNLPYLQAVVKESLRLHPPTPLMLPHRASASVKIAGYDIPKGANVVVNVWAVARDPAVWDSPLEFRPERFLRENIDIKGADFRVLPFGAGRRVCPGAQLGINLVASMIGHMLHHFRWTLPEGTRPEDVSMMESPGLVTFMATPLQAVATPRLDKEELY >Sspon.05G0016280-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:61304975:61305764:1 gene:Sspon.05G0016280-3C transcript:Sspon.05G0016280-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RDSSSQNQVVFPGNKTLAHRRRLPPPPRAIHPLKFSIPDLDLATTAPRALRDLDSVAPSIHSPKAAGAPLPRPMSAGAPPSSPVRRSCRVVARWVAVAMPSPPPAPPAAAQDEVRPLPPMKIPRWPASGYRSSASGCTSAWTPWCS >Sspon.04G0035950-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4D:21249241:21257173:1 gene:Sspon.04G0035950-1D transcript:Sspon.04G0035950-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAQIHRRMSCCYHRHCHLCSSPPDPKASVIIPYLHRRPFSSKLISLLMFIDAIPRQSVLTSLAGGVLPGEGGPQHEEHIGQPLRRCACQHLPLPPHLVLVLLQACLGFDPMSSHFHVIEFVEVEGACVGVDIYSSKTAVWIFKESEWGKVWILEDYGTDNWRLKHLVDTEELFGHMNIKVGSELCDKEYRVITVHPKWNFIFLVGKDRTPIAYDMDRRKSVSDDDGDGYDYHCRQLTAKAKIGLRWGLRRGCERGLSVVVHPRSRRSSQTQPVNLLPGAPLPPNQGRIHQYGEPWRPPYLPAGELPMDLAAMTDHLYGCFSVHSFCEYKLTEEADERCAEVNAEEVPQLGLLGCVRVKCDGRPTPKVGSSSSHSSRQHHSSLSPSRTACFRAWRSLHGCTLTLFHSSIPTLHRSRHPAVSPLHQLQGACEVVQAASSRIVKPEESLATTTVHHVHAPGGGGAVHAVAATDECGVGRAGNGYSGEPEWGGTTGDGHGGMADWGSAAHNWGTCGEMAAVAMQSGARNGRTVHSGAPGRGTPIQETLASHPNPRHRPDTPVGGGDLTQRLRPRARALALTSHHPKRSVPRRDTDHPIARPRRPIHRFPPPAMGAAAVEKWRQPEPTAAVSARDSVIPPYAARASETPFPRLGSGPSGSLTVAGLGRHTVSSLNIDRFGVC >Sspon.05G0011670-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:28487424:28492595:-1 gene:Sspon.05G0011670-2B transcript:Sspon.05G0011670-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding PHEADGEEVDRRQGPPEAAGDQGGAQVGPGHRRREEAAQVPPRHRRAPGDPQVPEEHGAAHPQAPVPAARSGDRAGLQDGPQVPVLGGGGAAGGCRGLPGGEEVDRRQGAAEAAGDQGGAKVGPGHQRREEAAQVPPRHRRAPGDPQVPEEHGAAHPEAAVPVARSRDRARLQDRPPVTVLGGGGAAGGRRGVLGG >Sspon.08G0014790-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:54899034:54900126:-1 gene:Sspon.08G0014790-2B transcript:Sspon.08G0014790-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding YVLQVIEHVANPLEFCESLSALTVPNGATVVSTINRSMRAYATAIVAAEYILRWVPRGTHEWSKLVTPEELVLMLQGASVSVEEMAGFVYNPLSGEWSLSDDISVNYIAFGVKKSEASSTNGREASLS >Sspon.08G0006690-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:17535312:17536733:-1 gene:Sspon.08G0006690-1P transcript:Sspon.08G0006690-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MENPAPPQQQHFLFVTNPMQGHINPTRRLAGRVMVSNPDARVTFCTAVSGHRRIFPSLASPDEEFVDAAGVLHAPYSDGFNDGFNPAVHDAGTYRARASAAGRETLSAVVARLAARGRPVTCMVYTFLVPWVPDVARAHGVPAALFWIQPAAVFAVYYHYFHGHDVAIAACANGLDPDATVRLPGMPPLKPDALPSVVSITSPEHRHHVVLDMVRELFLSLDEHKPRVLVNTLDALEPDALRAVPQFEVDAVGPVVPDDVSPSSRADLLHCHDEKPYMEWLETKPARSVVYVSFGSILPMSKRQEEEMRKGLEATGRPYLWVARKAGDGGASAGDGDGAQGMVVDWCDQVRVLSHPAVGCFVTHCGWNSTLESVTRGVPMVAVPQWTDQPTVAWLVDACMGAGVRVRVDGEGMVERGEVQRCVEMVMGDGEAAAAIRAQSDRWREVTRQAVVRGGTSERNLRAFALGTAGSDA >Sspon.07G0029330-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7B:75780967:75781299:-1 gene:Sspon.07G0029330-1B transcript:Sspon.07G0029330-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGAGAGPPPVTLWRAVAAAAVALWLVPAVLWLPLLCCAVAAVRFLRVRRKLVVASRRSSRGCCDGDGDRGPEDAAGGRLRLLHQYLDDQMELVGGGHEEQEEEGASASR >Sspon.03G0029340-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3B:15322985:15323407:1 gene:Sspon.03G0029340-1B transcript:Sspon.03G0029340-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASLWRAVMGTGAASTDATDSAGGGVEFWRAPERVGWLTKQGEYIKTWRRRWFVLKQGRLFWFKESTVTRASVPRGVIPVASCLTVKGAEDVLNRPYAFELSTPRETMYFIADTEKEKEEWINSIGRSIVQHSRSVTDAE >Sspon.02G0019180-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:64495769:64503054:-1 gene:Sspon.02G0019180-3C transcript:Sspon.02G0019180-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAMVSAFSGAMGSVLSKLAGLLEKDFKLARGVKEDIVSLRDEMSTINASLRELSQMEEPIDDLHRELRGKVRELAYNMEDCLDISLHRLGDPSKAGFLRDLKTLTARCEIAKLITTLKARVEQLGNRHKLILQLPERPRAVCVDPRIQALYQDPASLQGIGGHREKVVEQLQDGAPQLKVVSILGTGGIGKTTLANQVYTAIQGKFDCTAFVSVSRIPDFAKILSDIIQQSGWYSISQDDENQLISQLRQHLQNKRNGVLENMALTQARSFNFWGPAQWLPSLTKFRLLRVLNLDIEGSMDNRYDMSCIRSLFQLRYLRTNGIGSNQKLLTQLRKLEQLKTLEVAREDGYFVLDAQMLPPTLRHLLVPAVVNLAGGIGHLRNLRTLSEYGIELRDVGRMKELGELTNLRELKLVRSSFAVGDTSDVLLSSLCKLSSLRSLIVRGSLRDEDTLARWSPPPRHLRRLHVLECLFSTIPADWITQLHNLSSLEIEVRSLPSDGIVVLARLTLLVHLKLHVEERVPQEGFVVFHRAAFPNLRELWFRWEAPCLVFEAGTMPRLRSLVIDCYAQGARQADGVLDGIQHLNSLVELKVHVYEREGYISRLYLCADHKRPRVEEQRRWDETSLKAALKEAISKHPGSLRVAIKDM >Sspon.04G0013230-4D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4D:61258214:61259257:-1 gene:Sspon.04G0013230-4D transcript:Sspon.04G0013230-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHAILAGIPQSLRPAVLIASTCAVLLLATALLLPRAPPPAPLLTTDAHTDADAVRLDARVTRRNGNEVLWQLPPPSSPLRAALFAAPGCTIRATDFFDASPGCPRCTGLPEERRFTRAALSRGYAVLAVSSRAECWSLDDGGGGDGEDGSELAAVQSIIKWWTTEKYPQLAGLPLVGIGASSGGYFLSALAATVKFSSVAVMIAEGVYGAMAEIPTGYPPALFVHMPKDTERAQLVADSMGRLREKHVDVREIRCDDFAVSAEFLAGRVPGLTRAFADALVDVLLRKGFVDEKGFLKKDGRRTRWKEAAEEAKVLPEGFGLERHVTEELNVAYAYHEFTSLKNTEIFQ >Sspon.01G0001250-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:5138065:5140401:1 gene:Sspon.01G0001250-2C transcript:Sspon.01G0001250-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLAFPLVSHLLRGRPAAVRPRPPPARRYASSSSAAAAATAASTPPSPLLPQLPPASAYVHLPFCRKRCHYCDFPIVALGSSSAPSHGGGEAAEDPRIVEYVRLLLREVSATRPVSDDGVPLETVFFGGGTPSLVPPRLVATVLDALRARFGLSACPEVSIEMDPGTFDAAKLRELVGVGVNRVSLGVQAFQEDLLRACGRAHGLKEVHEAVGIVTACEGLQNWSMDLISSLPNQTEEMWEESLRCTVDARPTHVSVYDLQIEQGTKFGQMYAPGVFPLPSDTESANFYKIASKRLSEAGYNHYEISSYCKPGYECKHNLTYWQNRPFYAFGLGSASYINGVRYSRPRRTKEYAEWVQKLEDGTWSHESRSSDMKDMALDVVMLSLRTAWGLDLQSFSKSFGKSLALSLCNTFKPFVESGLVIAMDMDRRALPHIDFELDLQNEDDFGSRVAFIHSFPFGWFDEAKL >Sspon.02G0018620-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:59801543:59806619:-1 gene:Sspon.02G0018620-1A transcript:Sspon.02G0018620-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPMCGANPSSPFLPLAWRRRGDACVPPGHRGRPSSHTCRSHAATVPTISLSQSQVQQQHAAARLARASDPTAPSPPPCSCSPLPHLHPRRREIWAGGMQQAGAGPADDDDDEEMGVKEEEDDDEEQDDGYYMDPRSPAAAAAAVVSTPGGGGRAGGTPNRRRAREEKERTKMRERQRRAITGRILAGLRQHGNYSLRARADINEVIAALAREAGWVVLPDGTTFPSSSSSAAVAAQRRTSKAKAAKPQRPSRSSRLSLLGFSCLPRSRLPRTRSTTGHRPPPPPPPRVLPNSVPPDPDQMLTRAPPPPVFLQSVRPVMVAAAPPSATPLALPASAALPLRGIAPVAARPISHRPAPALLVSPPRAAAASRPPADDVPDGNSSHLLAVPVPMDPAATEDAPVAKQVPDVAPRPPERDFAGTPYVPVYVMLPLGVVNGNGEVVDADELVGQLRVLKAAGVDGVMVDCWWGNVEAHRPQEYNWTGYRRLFQMIRELKLKLQVVMSFHECGGNVGDDISIPLPHWVIEIGRSNPDIYFTDRAGRRNTECLSWGIDKERVLQGRTAVEVYFDFMRSFRVEFDEYFEDGIISEIEIGLGACGELRYPSYPAKHGWKYPGIGEFQKSLRKAAEARGHTIWARGPDNAGHYNSEPNLTGFFCDGGDYDSYYGRFFLSWYSQTLVDHADRVLMLARLAFEGSNIAVKVSGVHWWYKTASHAAELTAGFYNPCNRDGYAPIAAVLKKYDAALNFTCVELRTMDQHEVYPEAFADPEGLVWQVLNAAWDAGIQVASENALPCYDRDGFNKILENAKPLNDPDGRHLFGFTYLRLSKVLFERPNFFEFERFVKRMHGEAVLDLQV >Sspon.01G0030850-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:108848008:108850224:-1 gene:Sspon.01G0030850-2B transcript:Sspon.01G0030850-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHEQQAPPSPAVAAPPPVPSAPSPASHHDDDDVEVTTFRDIHPLTPDVPTPPARTRSWDTASHRSFSSEEQFMTMSREFTAMVAAGATMQTGTGGASGGYDGGADQLTSIGEDELEETNPLAIVPDGHPIATPARSRASAAGLEVVPAGPTPTPQPPAHVEASQVKKEEVETKVSAWQTAEVAKINNRFKREEVVINGWETEQVEKASSWLKKIERKLDEQRAKAVEKTQNDIAKARRKAEEKRASAEAKRGLKLAKVLELANFMKAVGRVPTKRSFF >Sspon.03G0009050-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:24664026:24669282:-1 gene:Sspon.03G0009050-1A transcript:Sspon.03G0009050-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAGSMKHLVEVEPAKEAAGGAPSAGPTYRCAAGGNAASPPAVPGLGCCWDIFRLSVEKYPDNKMLGRREIVDGKAGSYTWLTYKQVYDTVLKVGAAIRSCGVGKGGRCGIYGANSPEWVISMQACNAHGIYCVPLYDTLGAGAVEFVLCHAEVQIAFVEEKKIGEMLKTFPNATKYLKTIVSFGKVDPGHKEKVEQNGLSIYSWEEFLQLGGEEKFELPPKEKDDICTIMYTSGTTGDPKGVLISNKSIVTIVSAVDEFLNNSNEQLHGDDVYISYLPLAHIFDRVIEEVFIRHGASIGFWRGVRIQNTCSILSLATFFISAIGSISILLQDVKLLVEDIGELKPTVFCAVPRVLDRIYGGLQDKITAGGFLKKTLFNVAYKYKQGNMLKGSKHEEAAALFDRLVFTKVKRGLGGRVRLILSGAAPLSRHVEEYLRVVTCSHVLQGYGLTETCAGSFVSLPNNMSMLGTVGPPVPYVEVRLESVPEMGYDALSSETPRGEICIRGDTLFSGYYKREDLTNEVLVDGWFHTGDIGEWQSDGSMKIIDRKKNIFKLSQGEYVAVENLENIFGQTPGVDSIWVYGNSFESSLVAVVNPNKQALERWAESNGVTGDFATICEDPKAKEFVLGELTKMGKEKKLKGFELIRAVHLDPAPFDMERDLITPTYKKKRPQLLKHYQSIIDGMYKRMK >Sspon.03G0022070-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:41831147:41832028:-1 gene:Sspon.03G0022070-2P transcript:Sspon.03G0022070-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMPSLLSPRATSTRAVEPTAHSASPEPRLSYSSPGAAAAPSRASDDSCVVNDVDAFARTIAAIRSKPPAAAASGSGSSLASVLSHYAARWLPDAASSPSGRFLLPPESPTAAWLKKRLLLESLVAALPPDDGDGDDKDGGKSDGITCDFLLRLLRAGSTVGADAALLGDLEARAARRLDQASLGAVMIPAFCLGGLARGALAPCAPYASPPTLLDVPLVLRLVRGFLREGRAKAGSGGGAAAARVARLVDAYLAEAALEAGLRPAEFEELARAVPAHARAADDGLYRAVDTYLK >Sspon.01G0004940-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:11708316:11710716:-1 gene:Sspon.01G0004940-2D transcript:Sspon.01G0004940-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSFDPSAHQRPERPDASVPDRRPDASYNPEKPNPNLNLSSAFLILTLTWHTERPKEADGVIGDRAGELDLAWRFGCVKAAARLRAANGSGRPSSGRVTSGRGKPAQSLQRRTRIGVGARRFRSRWLRACITYSHALRDQVSVPLASSGLVVSHSRAVPAPPRRPLLSGRRRTREKKKLKNVVQMHLRFVFRCGSRELYVIDQNQRQVMVFTEAFGLSADGGVPPILLLLLILLLHVEALEKVHSCGSVTGASVLLMVIVKDGSVRRPKE >Sspon.02G0057860-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2D:66329374:66329577:-1 gene:Sspon.02G0057860-1D transcript:Sspon.02G0057860-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAVDLVETAWGIANSGCPFLWVLWLGLVRGAPPTQQPPLPDGFDAKTRGRGTVVSWVPHEEVLAHPA >Sspon.06G0010760-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:58442521:58444685:1 gene:Sspon.06G0010760-1A transcript:Sspon.06G0010760-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTDYYNVLKVNRNATEEDLKKSYRRLAMKWHPDKNPGDVKKEAEAKFKKISEAYEVLSDPQKRAIYDQYGEEGLKASADGGGSTSMNGAARQRFNPRNAEDVFAEFFGSSKPFENMGRAKSMRFQTEGAGTFGGFGGNENKFRSYNESVGTSSSQARKPPPVETKLPCTLEELYAGSTRKMKISRNVVKPNGQLGTESEILTIDIKPGWKKGTKITFPDKGNEQSNQLPADLVFVIDEKPHDLYTRESNDLLVHRKIDLVDALAGTTVNLKTLDGRDLVIKVTDVVTPGYELVIAKEGMPIVKENGRRGNLRIKFDVNFPKRLSSEQRHSIRKVLGGQPQQHGIIHFTATTIRV >Sspon.04G0019750-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4A:69679699:69679896:1 gene:Sspon.04G0019750-1A transcript:Sspon.04G0019750-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AGAGADDDLKTSWPELVGSPGHYAHDMIHKDRPDVQIPVLVVGTQVPPDYDDKRVRLFVYNDYNLK >Sspon.01G0032270-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:110304248:110305907:-1 gene:Sspon.01G0032270-3D transcript:Sspon.01G0032270-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCFSDHYYFAIQARGLKKHLKRLNAPKHWMLDKLGGAFAPKPSSGPHKSRECLPLILIIRNRLKYALTYREVISILMQRHVLVDGKVRTDKTYPAGFMDVISIPKTNENYRLLYDTKGRFRLHPIRDEDAKFKLCKVRSVQFGQKGIPYLNTNDGRTIRYPDPLIKANDTIKIDLETNKIVDFIKFDVGNVVMVTGGRNTGRVGVIKNREKHKGSFETIHVEDSLGHQFATRMGNVFTIGKGNKPWVSLPKGKGIKLSIIEEQRKRDAAAQAAAA >Sspon.03G0029200-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:16765706:16768178:1 gene:Sspon.03G0029200-1P transcript:Sspon.03G0029200-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQPQQRVYQAWKGDNYISLIRLQPHLFKWITGVSVALIVIPVAVFCVFVAHHLRHQFHAYDAGYAILVIAIVFTIYVLLLLFTAAARDPGIVPRASHPPEEDIHYDNLSLTDTPGMLQFPRVKEVIVNGMPVKVKYCETCMVFCPPRCSHCSICNNCVERFDHHCPWVGQCIGKRNYRYFFLFVVSSSLLCIYVFAISALYIKFLMDGDYPTVWKALKHSPASLALMMYCFISLWFVGGLTGFHTYLVSTNQWFIYLSTL >Sspon.07G0018610-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:74602237:74605016:-1 gene:Sspon.07G0018610-3D transcript:Sspon.07G0018610-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MERARRHASRALLRRLLAAAAASRTTASPPTSSSRGISTLSPAAPAGRQQNQQQQRRAPRPPPHQHAQGRPVSVSALQPSDTFPRRHNSATPAEQAAMASVCGFGGLDALIDATVPAAIRAPPMRFSGSVDSTGKPALRMAMQTREQHIRRDKATSNICTAQALLANMAAMYAVYHGPAGLKAIADRVHGLAGTFAHGLKKLGTVTVQDLPFFDTVKVTCSDARAVAKEAVKNEMNLRVVDANTITVAFDETSTLEDVDKLFKVFNNGKSASFTAESLAPEVPSSIPSSLARDSPYLTHPIFNMYHTEHELLRYLHKLQSKDLSLCHSMIPLGSCTMKLNATVEMMPVTYPNFANLHPFAPTDQAAGYHEMFDDLGNLLCTITGFDSFSLQPNAGAAGEYAGLMVIRAYHNSRGDHHRDVCIIPVSAHGTNPASAAMVGMKIVAVGTDSRELRKAAEANKDNLAALM >Sspon.04G0027570-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:62511835:62514201:1 gene:Sspon.04G0027570-2C transcript:Sspon.04G0027570-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPPSLLLAGHPTGSSGGGEGEDCVRGAWGGGAGEPPDLGDAGARSHRFFLVPAVQELWNTWEIHCLILASLFLQVFLFVFAGLRRRSASPVLHTVLWLAYLSADSVAIFVLGHLAVHASEPGRQLISFWAPFVLVHLGGQDTITALSRQDNELWKRHLLGLVSQVVVAGYVVAKASWPDGRLKAAMVLMFFSGCFKCAERTLCLYLASPAKLRSGALAHQSQRLRDLLDRKGLIGLVEFQEMTDILDEISRGSSRGHWLPHESLRDILLVDAPLNVKYGVPFARDHLPDILKRFQSISGRCKIYEHVGALLIDFYQDLYTKNPIRKSFLSQTYDLIIDTLSEHQKPCKCIPLRAIRTLFVCFNPDSPSALLGHRKGGKDQQYASRADVIVSYSYVLLSGAIVLDVSSTTISIFSNTIQHDEEARRHARHYGHNGVHTPVDRTMFGAWGVELLEVTHTHIKEFILDNLLGLGTRKKWDIASSHGRHALEEWMDKHRGPDSGRLRKALVETTIGSGVDFPASHIATDICYYFRDNDDTSTNDSDDMNKKHKQMSRELSNCIMYLVFKSGVMLTTNSQLVHDEAHGEIRRTLSGQDQQGQPQVALDEKAVAMKLFQANKKKKQQDHQSVVDTQNHQEAANQQILLERKRAEDYDNAGADIHLQKVVQSACCPVHAWWRGSSLDEAERWGLVANVWAEMLYYVAPRCGCAFHYEHLN >Sspon.05G0012920-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:40690529:40696717:-1 gene:Sspon.05G0012920-1A transcript:Sspon.05G0012920-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIVRSHRQVAAAAAAAGGGGAGALPTYKAAPQLEVRLEEFELFAIDRLRGAASCLLPLPIPRRYFLDLADVLKGISDGLSRGKRPEEMEKLVSELWKTHMRHLDPAETLNKDIISHFVLRLVYCRTEELRKWFLSMETTLFRYRFRLESPESQRMLMTEFQLPYKALPHSEFEAVKDKLSQVTRSIGQSANEVPDLVASRRVFLSKGYAYVAMSQVVSLVVTQFRCNISKALALTNRKWTATIKEQEKDRLTPIVEALSNAYFGPDYSQPKDAVDISLKDIDRLAKSSFPLCMRHMLDKLRENHHLKHGGRMQFGLFLKGAGLKLEDALAFWRAEFSQKIGSERFDKEYAYTIRHNYGKEGKRTVSPSSMCNLKLHQNYYLLSHMLLQDYTPYSCQKIISATPGVGDHHGCPFRHFGEENLRAALNKMGIGGHALEEIMDKVKNRHYQLACTLTFETTHGVSCDSGINHPNQYFSESQKVLRAKVSTEAKFILDANFAPWCFQIPAAGLA >Sspon.02G0033620-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:7749558:7754375:-1 gene:Sspon.02G0033620-1B transcript:Sspon.02G0033620-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAEEDAAATAAADGGSGGGSSGAGSSEGGARRRFDDKGLVARTSLILWHTHQNDVGAVRKLLEEDAALVNARDYDSRTPLHVAALHGWHDVAECLIANGADVNAQDRWQNTPLADAEGAKRQSMIELLKEHGGLTYGKTGSHFEPKTIPPPLTNKADWEINPLELDFTKAQVIGKGSFGEILKANWRGTPIAVKRILPSLSDDRLVIQDFKHEVNLLIKLRHPNIVQFLGAVTETKPLMLITEFLRGGDLHQYLKDKGALNPLTAVSFALDIARGMAYLHNEPNVVIHRDLKPRNILLVNSAANHLKVGDFGLSKIIKAQHANDVYKMTGETGSYRYMAPEVFKHRKYDKKVDIFSFAMILYEMMEGDPPFSNYEPYEAAKYVADGHRPVFRKSHTNELKDLVELCWSGDISLRPSFLEILKRLEKLKEHYSHENHWHLFHHAADYTQTITCGTGD >Sspon.08G0012510-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:53658721:53659915:-1 gene:Sspon.08G0012510-1P transcript:Sspon.08G0012510-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTYLKKPSPYTVSLIVLLPLTLIICFTFLLPLSAYLRNPLAATAVATTTTSACIDTTADRVVRAAPAATVDDGDGVGNNQRPADELMSVLVGVHTMPGKHSRRHLIRMAYALQQQATPALRAAAARVDVRFVLCARPMPPEHRAFVALEARAYGDVLVLDCAENAEEGKTYTYFASLPAMLGSGSGGGGRPYDYVMKVDDDTFLRLDALVDTLRSAPREDMYCGVGLPFHDREFPPFMLGMGYLLSWDLVEWIATSDMVRREAKGVEDLTTGKWLNMGHKAKNRVNIFPRMYDYKSAKAEDFLENTIGVHQLKQDLRWAHTLEHFNLTRLEPSRKLHNF >Sspon.01G0041600-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:51396854:51397905:1 gene:Sspon.01G0041600-1T transcript:Sspon.01G0041600-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSANKQWLAELEKDDELGELELIDPLSMQQLAESLANELRSLQPPPQEKRPTTGTGFSFVATGVGGNNVLMSFTDDGGSSSSSTMVGTGKNCCPPLTTPPPLTEKKAATVSGRRPSSIVKEHVLAERKRREKMHHHFATLASIVPDITKTDKVSVLGSTIEYVHHLKDRLKTLQKEHHHFGGSTTADSDAQCCTTGTGSKGEAVNKSDDESPKIEVDLRGKTILLRVVCREKKGVLITVLTELENHGLSIINTNVVPFAESSLNITITAQ >Sspon.03G0045400-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3D:18029513:18029773:-1 gene:Sspon.03G0045400-1D transcript:Sspon.03G0045400-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLIDLDTWLISTSNRLATSSYDCSVAQRQMGMMAQAAAQSARFTLRSTGPRRASPRRVAISLALPLRQQRPLDLKYAMPFSPLSL >Sspon.06G0005640-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:19047388:19052381:-1 gene:Sspon.06G0005640-1A transcript:Sspon.06G0005640-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAWAAADAVHGDPLANGGGDGIKATFSDSLGVGYVACVVLTNTVRRTRALEISVKHNFNGFTELLGQQVYVAKPGPLHSIGPRRAAARPCASCTNTYPVLWGPPRLRALHAGCVRGLFRDHTATRVFGAAMVVPAPPGGRSACPEEERSISAPAFQIWKLLSVLTHIFAYKGGSGQQYGAGLLMPKLNATAGFLPLAKLHTLEQRIRIAPTIPRFILIFTRNQKHQYLIKS >Sspon.05G0001520-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:3804277:3806405:-1 gene:Sspon.05G0001520-2D transcript:Sspon.05G0001520-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAQAASASPTHHRASPGTSPPQPPYPSAARIADSACFPQYTASLKCLEANQDKSKCQQQFDDYKECKKKEREARLERNKTRSLFG >Sspon.07G0018230-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:70306825:70312225:-1 gene:Sspon.07G0018230-2B transcript:Sspon.07G0018230-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding QVISSKKAAAASMAKCIATVLAVAAVLTSMQPSVAASNAPDADALRFPGRPGSRPRNPVFPGYPRARPSSSPVVPSPMPGVPSSSGPAPVVPQLPGFPGLGGSVGGGGSGGSAPSSPTDCVTPLAGLMTESETPTPQSECCAGLGAFLNSSSSGAAEGDDHTLRCLCPVILGDVNKMLPKPVDPVRMMYLPIACGVVLPPQVLYICFSESSSSLARSSICLSSSMVVACMTRSMDEHYLGSKARHSSAAFLTPPSSCPLGLRPKTPRLLRWLLLPPGPHLTAAIASLLLLAPMLTDGRPQGVGSREVFAMAAIVAVAGSQCMVRSKRGRSLGLIAFP >Sspon.01G0017600-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:69508972:69514231:1 gene:Sspon.01G0017600-2B transcript:Sspon.01G0017600-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADGMEVSSGGGGGGGNKQPQISMVGLFLACMVAGGVQYGWALQLSLLTPYVQVIVIGFSSDIGYALGDTTEDCKVYTGKRLHAALFFVMGFWLLDFSNNTVQGPARALMADLAGSHGPSTANAIFVSWMAIGNILGYSSGSTDKWHTWFPFLQTKACCEACANLKAAFLVSVVFLGLSTVVTMIFANEVPLDPAAAKQGEEGEPSGPFAVFKGMKNLPPGMPQVFIVTGLTWLSWFPFILFDTDWMGREMYHGRPDGSPTEVANYQEGVRQGAFGLLLNSIVLGVSSFLIEPMCRKLTAKVVWVMSSFLVCVAMAMVTVLSSWALGDIGGNVQDAAAVDKGLKSTALAIFVFLGFPFAVLCSVPFAVTAQLAASKGGGQGLCTGVLNISIVVPQMIIAVGSGPWDELFGKGNIPAFGVAAVFAFTAAIAGIIMLPKQPKTSF >Sspon.01G0013760-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:37950355:37951296:1 gene:Sspon.01G0013760-1A transcript:Sspon.01G0013760-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GRGVPSDAHHAGVVQVGGAVVPSRLRAAEEAVVRAGAVHQPPRAPSPARGGAARLGAGAAGVGRRAGADGARVGRRRRRARGPRPVGARGPAGPPAGPRRAAAAPLDGAAAGRPPPPGRPPRVLPRVARGAQAAPRRDARRAAPARLRRPPRRRAARAAAVGAGGVPPRLRRAGPLPPRGARRRVRRGHARRRLRGRHGRRGRCLVRGLRRRVGRLRRVGGQRRAVAEDADALLPRADPRQPDVAGHRPAPAAADRVVRGLVHRGGGGAEGGHGQGARTRGVRRRRRGWLRGGVPRARERQSLLAQPAHAHILI >Sspon.06G0013460-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:70255753:70257788:-1 gene:Sspon.06G0013460-1A transcript:Sspon.06G0013460-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLHLGAVPTLIVSSPSAAQAVLRTQDHIFASRATSPVTDILFYGSTDVVFSPYGEHWRQVKKIVSAHLLATKKIRSYSHAREHEVRLVVAKIREAATAGTAVDLSELLVSFANDVLCHAVFGNFFGEKGRNKLFQELVEANSSLLSGFNVEDYFPVLVRLDIIKRMVCAKAHKVKNMWDDLLNTLIDDYESKPPSKRDGDENYLIDVLLSLQQENKLTRDHIKAQLEVMFEAGTDTSFILLEYAMAQLMLNPRLMNKLQAEVRNAIAKGKEMVTEDELDSLAYLKAVIKETLRLHMPAPLLVPHFSMADCNIEGYTIPSGTRAIVNSWALARDPTNWEKAEEFMPERFMEGGSATAIDNKGNDFQYLPFGAGRRMCPGANFAIANIEVMLANLVYHFNWELPTELAKTGIDMTESFGVIVHRTKKLLLVPIVPQD >Sspon.01G0008360-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1C:26382367:26382906:1 gene:Sspon.01G0008360-2C transcript:Sspon.01G0008360-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVPAEVLVEAAALGVVPVEVSAVVKAEEAGLVVVLVEAVVLVAEEEPGLVVVTAEEQVRVVALAVGMAEVLVQVVALEAAMEVVLVQEAVTVEELVGALVVDTVEVQAQEVAPEAATVEELVVATAEVLVVVVVLAVVVVLAVVQVEATVVVLALGVALALAAAVVMAVVPEVEVASA >Sspon.02G0054410-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2D:97936616:97938855:-1 gene:Sspon.02G0054410-2D transcript:Sspon.02G0054410-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEEVIKEAVTSTPASTEPKCEDLLINCPVVMDGTSMTPDVKRKEKPVPHYLRASTSSCHDNCKFGIKHSSEPKKYWPVSRKQLRRASTGNMERDRVQIILPQKNRPRKEDQKLEISHVKGGSGTAPAKPEFITLKAQLEKIPDHSESIPHVEDSSAEAPELVAGTPSIDAECFVVSHDDVTDCGDGESLDGAESIELEMPLAIQDIDESDEHIEVTILPADNVCGVEEQSPVGPVPDQSANECASSDIRTQTVIASEKHEQALLGTKSESLPKESVKPKAKAALNMTRDKGSSQKSVRTSHLTSTRTTVDSSSGPKTARKPADVTATTKFSNPERKIRPTVTSAVQKVKEIKVPSASNAKDSSAKPSRLAKLKASTTKTAPSPSLASGKQTDRKMTGNNVGKNAQILPKKREDKVKTGPLKLSRSINMSGKSLSGVKLRTVRKEKIAPPINSSKKVSGTENSSTDAKEAKQRILKTASPKARKLETNNKEIGPRKEKIDTARTATARRPKPAPATASSTVVPAQPPRKLTFRRGKVLNPDESRSGTTPRRLRFRPAIAAADATARSRGGRIASRRIGGGGGAAARDAGAEVVVLRRRQDGKETKKQEPGLFNNVIEETASRLVAEARKSKVKALVGAFETVISLQETSKAAAPAMAAGAVP >Sspon.07G0017750-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:64135662:64136339:-1 gene:Sspon.07G0017750-1T transcript:Sspon.07G0017750-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding IAMAKVHPNAAAVVAEPATPTPISTQAAADQEPKPPTVLTVWRKSLLFNCDGFTVYDARGDLAFRVDSYAASRRRHAEVVLMDAAGAPLLTVRRKRLSLAERWVIYDGADAAGTTPPPRPLLSVQRHVGLRASSGKTLAHVVAASSSAGDGEAFVVEGSYGRRACAVRDARGDVVAEVRRKEAVGDDVFRLVVSPGFGAPLAMGLVIALDEMFGSRGSARSWLRGR >Sspon.02G0001460-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:8145223:8146979:-1 gene:Sspon.02G0001460-2B transcript:Sspon.02G0001460-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHQEELPQAWWFDSHNLARPSPWLNSTLSELDDKTKQMLKLIDQDADSFAQRAEMYYKKRPVLVDMLGDLYRTHRSLAEQYDLLKHGSGTRHTVFGLSSCTQSRSQASSTNGKTTPRSSCSASVYDSESEVDDPEQEEDEAETKTEQPSSPEQQQQRELVEQQMRAEIESLKAQNAALQKAAEDVAALRAELAGKDEEKREVIRQLASSMDVMRQENLTLREHIARGSSSKHSSSAPRAAAFDLRKVARGLFSARLFTAHCRPTGPIVAL >Sspon.08G0019620-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:14168786:14172481:-1 gene:Sspon.08G0019620-1P transcript:Sspon.08G0019620-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAAAAATTASTSTLRPALSQGAPMDTPVRFTILQPIRARSLRFTTRASSNPGAKVSIPKQWYNLIADLPVKPPPPLHPQTHQPLNPSDLSPLFPDELIRQEVTDERFVDIPEEVIDVYKLWRPTPLIRARRLEKLLGTPAKIYYKYEGTSPAGSHKPNTAVPQAWYNAAAGVKNVVTETGAGQWGSALSFASSLFGLNCEVWQVRASFDQKPYRRLMMETWGAKVHPSPSTATEAGKKILEADPSSPGSLGIAISEAVEVAATNADTKYCLGSVLNHVLLHQTVIGEECLEQLAALGETPDVVIGCTGGGSNFGGLAFPFLREKLRGNMSPAFRAVEPAACPTLTKGVYAYDFGDTAGLTPLMKMHTLGHGFVPDPIHAGGLRYHGMAPLVSHIYELGFMDAIAIQQIECFQAALQFARTEGIIPAPEPTHAIAAAIREALECKRTGEEKVILMAMCGHGHFDLAAYEKYLRGDMVDLSHPAEKLEASLAAVPKV >Sspon.07G0004510-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:10442131:10444456:1 gene:Sspon.07G0004510-2B transcript:Sspon.07G0004510-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MERTTVEDMDIAVRAHLKGWKFLFLNDVECQCELPESYEAYRKQQHRWHSGPMQLFRLCFMDIIKSKIGFWKKFNLIFLFFLLRKLILPFYSFTLFCVILPMTMFVPEAELPAWVVCYIPATMSILNILPAPKSFPFIVPYLLFENTMSVTKFNAMISGLFQLGSAYEWVVTKKSGRSSEGDLLALVEKHSKQQRVGSAPNLEALTKEESNPKKDTKKKKHNRIYRKELALSFLLLTAAARSLLSAQGIHFYFLLFQGVSFLVVGLDLIGEQTLPSNEDNTCVHRVFDQSINYHDIIEFWLLVGT >Sspon.01G0000490-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:793926:798164:-1 gene:Sspon.01G0000490-2B transcript:Sspon.01G0000490-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSRYVIEEDPYDIDRVYEEMAMRARFESARPVVAMRGGPVAEEDGGDGGADEEEEEDEDEEDEYEEEEEREGVQLVAGDCEPPPRARVSGVAAAAAVETANTSTCPVCMEPWTSQGPHRISCIPCGHVYGRSCLDKWLTRHGNQSAKCPQCAKRFKQKDIINLYAQEVAIPNSELEKEISYLREEIGSLKKKVVRHDKMFEEMTKRQNDMEQGIIDGVSSKRQKVAEHSYEAANLEPSIPATISFSLQNELPVDGARVISIDASNQKILVSGRATAIGAEYVLTKINMSNHEVRKIHLPPDTKAVRDMCILPGGSAIFTSLGKKLSLFSMTTDSVALNWDLPAPGWSCAVGPSGSHHIYAGLQNGNVLVFDIRQTARPLHSMAGLSTNPVHTLHSVIDNGGSRKVLSASAIGPCMWDADNNQSRPHLLTGMGDERVCISLACAAPSCDLMVASFRPKVNPSGDEAVTSQVYLSQTPTRSGSGKSGYHALIRRMDNTSFTEGIACYANVSELRMSKSAIIPYGDNQHLFAYGDESLRGVRTWQLPSFRTHADLCTHREPILDLRYAETESPGGGRYLGCLSEEKLQVFRVY >Sspon.03G0037120-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3D:58012635:58015579:-1 gene:Sspon.03G0037120-2D transcript:Sspon.03G0037120-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRRTRRPPLTSRQLPSSPRPTPSTLLSTRRRVRCISDPRQVGPLYLRPPTLTSVLRLHCPLDHSPRHSGKRAGAGRWVRSGELPMASPAAVKKAEEDCALLCRAAQVLVPITAGSEPVEAFVPIAVLRSAGADVTVAAAGAGAGAGAGLRVHAMYGVTVVADASVADCADASYDLVALPGGVPGADNLGGCAALESIVRRHALSGGPYAAICAAPPLALARWGLLNGVKVIRQPASSSALRLHTLHATAHPAFVDKFPAEVSAVDANVVVNGRVVTGRGPAPAMEFALALVEQLYGKDKVDEIAKPMMVRYEPGYAFKELNQVQWRCSGTPKVLIPVANGSDEMEVLVTVDVLRRANADVVVASAEGGAEVVVTCHGTRIVADALLDDAVAASQQFDLIVGGMPGAKTLASKEALVALLKAHAAAGRAYGAIGAATAQVLEPHGLLEGKKATTCASMADPSECGSRVVVDGNLVTGSGPGTAMEFALAVVEKLLGAEAAREVAEALLFV >Sspon.01G0034590-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:5660987:5665137:1 gene:Sspon.01G0034590-2C transcript:Sspon.01G0034590-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCCGSSLRAGTHPEKKPPGRAAGALPPHRSSYSLNQNQAPAPSAARAGAGGRQVPPLKEFSLAELRAATGGFAAENIVSESGEKAPNFVYKGRLEASRRAIAVKKFTKMAWPDPKQFATIEWAMRLRVACYIAEALEYCSTEGRPLYHDLNAYRVLFDENGDPRLSCFGLMKNSRDGKSYSTNLAYTPPEYLRNGRVTSESVIFSFGTILLDLLSGKRIPPSRALDMIKGNNIQVLMDSHLEGNYSTDEATTLVDLASQCLQYEPRDRPNTKKLVSVLEPLQIKSEVPSYEMLGIPKYEEEAPPPPQPQHPLSPMGEACSRMDLTAIHQILVNTHYRDDEGSNELSFQEWTQQMRDMLEARKRGDFAFRDKDFKAAIDCYTQFVDVGTMVSPTVYARRSLCHLMCDQPDAALRDAMQAQCVYPDWPTAFYMQAVALSKLNMQSDATDMLNEASQLEEKRQKNTKP >Sspon.01G0056260-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1C:87411437:87411616:-1 gene:Sspon.01G0056260-1C transcript:Sspon.01G0056260-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFRAAQNVTSIKKRRTRRQTPAGAGRRRHRDEAAESEDGDATHDLLLKHPDATFTTYV >Sspon.05G0013010-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:41113974:41119181:1 gene:Sspon.05G0013010-1A transcript:Sspon.05G0013010-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SNF1-related protein kinase regulatory subunit gamma-1 [Source:Projected from Arabidopsis thaliana (AT3G48530) UniProtKB/Swiss-Prot;Acc:Q8LBB2] MESPRSPEAEIGHRVEDLWEVAEPQLSPSEKLNSCFEDIPVASFPRTHPSQVIEIPSDASLAETVEILSKNKILSAPIRNVEAPEDASWMDKYIGIVEFAGIAMWLLSQSDAVVNGTVGSGAGSPVSNLVSRLGSFTFRRTSSGRVETATDSESDEAASVGGSFFETLTSSEFYKNTKVGDISGSFRWAPFLALQTSDTFLTMLLLLSKYRMKSLPVVEVGGDKIENIITQSSVVHMLAECVGLSWFESWGTKKLSELGLPIMKPSKLVKVNEDQPVLKAFQLMREKGVGGLPVMDTSGAKAIGNISIRDVQYLLTAPKIYKEHRSITTKDFLSAVRHHLQEQREASPLLHDVITCKRDDTIKDIIMKLDSEKIHRIYVVDDKGNTEGVITLRDIISKLVHEPRHYFGDFFDGVVPLPANSTV >Sspon.03G0023800-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3A:72719476:72719884:1 gene:Sspon.03G0023800-1A transcript:Sspon.03G0023800-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding EHSAPTTSPQAQSEPPAPVYAPSPQGSPPRTHRSSPSPSPSPSPSPYPSKNTSRSPTSCRTMASPAHPAPHRPRLRLRRPLRAQPPPPRLPTPSFYSRRPSAPQVKSQIPRHLLPPLGRVLLVIVAFLAFVEMLA >Sspon.03G0002590-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:6338090:6340408:-1 gene:Sspon.03G0002590-1A transcript:Sspon.03G0002590-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SMGRRNNLATTWLLALVVLALSLFAGPCAAAQIKTTDTRWSFHLPLPSGLRGAESLAFDGKGEGPYAGVSDGRILKWGGTTVGWTTFAHSANYRKIPLCTAGVVPSEETESMCGRPLGLQFHGKTGDLYIADAYLGLMRVGPGGGEAEVLATGADGVPFNFVNGLDVDQATGDVYFTDSSTTYPRRFDTEIMMNADATGRLLRYDAQTKSVTVLKAGLPYPNGVAVSRDGAQVVVAHTVPCQAFRYFVRGARAGQYDLLADLPGYPDNVRRDNKGGYWVALNQEKQRLDATPTTAPVKHLVGVRLDADGVEVEELTAAKGVTLSDVAEMKGQLWLGSVELSYRYMDTFCNQRGNLHFFKR >Sspon.03G0026110-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3C:2585925:2586127:1 gene:Sspon.03G0026110-2C transcript:Sspon.03G0026110-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQAMSGAGAGGPGQFGDTTFTKVFVGGLAWETHKEGMRAYFQQFGDILEAVVITDKNTGRSKGYGF >Sspon.02G0014240-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2A:37924865:37925191:-1 gene:Sspon.02G0014240-1A transcript:Sspon.02G0014240-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDPASHLAAALSLTQPAAGFRPPPTTAPSTWQAQNPPELGSAGLKPRTAAPMGRHHVSAALLPVVLPHRRWPLSAPSAARSPPPVSRACTGCRLKPALGSVWIRLGP >Sspon.08G0007020-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:21809577:21810718:1 gene:Sspon.08G0007020-1A transcript:Sspon.08G0007020-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVPVIKMDQLHGEKRSETLSLLHNACAQWGFFWLENHGVNEDLMNKMKDLVNKHYEQDMEKNFYSSEKAKILDYEKASSNVDWECSFMYRHQPKSNSDDIPELLRATVFEYAEEVIKLAEQLAAVMSENLGLDKDYIEKAFSKPSVGIKVAKYPRCSHPELVMGLREHTDAGGIILLFQDELVPGLEFLKDGKWIAIPPTEGNRIFVNLGDQIEVMTNGIYKSICHRVLPNKNGSRLSIATFYNPSADAIIYPAPKLTYPSQYRFQDYLNFYSTTKFTDKVSRFQTTKAIL >Sspon.02G0017530-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:51052836:51061521:-1 gene:Sspon.02G0017530-1P transcript:Sspon.02G0017530-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRGGSHGELGQRIDYVFKVVLIGDSAVGKSQLLARFARNEFNLDSKATIGVEFQTRTLNIDARTVKAQIWDTAGQERYRAVTSAYYRGAVGAMLVYDITKRQSFDHVARWLEELRGHADKNIVIMLIGNKSDLGTLRAVPTEDAKEFAERENLFFMETSALESINVENAFMTVLTEIYRIVSKKNLVANEESDSSGNSSLLKGTKIVVPGQEPPPTSKATCCMSS >Sspon.06G0027950-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:237736:243367:1 gene:Sspon.06G0027950-1C transcript:Sspon.06G0027950-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQDDGWGGGEDGSSLFEGMVLFAPEPTAAEESVPAPVPASAPAPAPVPELPPDADAASSAPPPLDEDLFSDLTLLAPQEPLSLEQPPPPPLPPQGEDRSHAALAPAALSRQPSSSSLRKKKRAVRIGYGRSSQPAPPSPPATALASAAAAAISASSIAFLDATRTLDQLPDRQVDVHVNGYEAHTEAVSVDTNSPRVEEAAKEEDDDGKEDEDAGVAALGIEERLALLRSQISGKLDTIQQRAAAVAAKRRLLAGRRRKVAEEAASVASRHKDLERELEEACEAEDFERAERISDSLAVLEKEKDRLLTALRDAELDYDSVDSELQEVLESRIAAEEEAAALLEQFAKDANEHANSESKQAKELSSKEIEGWQTSMELLETKKMEMEVETQLVLEARSGLEGSIEHLVEEDKLEKDTLSIKGEILTKELAELLELVRLKEAEIAENNARIHEVQERISAVVSRFHGSQSDIDLKLNSLKEAQKQKDSELREIIDACSSEAKTCQQSVEIRRKLASSILKSREDRIGLLKMEEEILQDIQMLRQKITDARTTLQEVSSRRTSIQQEMDSFKQKLSFIDKRGPELEAEKKVAAAARNFKEAGRIAAEAKALNSKRTNCMLEKAATDLEIIEKDIVATTDKIQECEGLIVLKEKESALTSYKRLRLDCAAARAELTAAIETDDTDEVEILRKEAEAAESKAMELKTCYDLQVEDDEFIVSVGRKKDPAMEEHLESERMASTAKGKVCVTGASGFIASWLVKRLLESGYHVLGTVRDPGNDKKVGHLWDLEGAKERLQLVRADLLEEGSFDDAVMACDGVFHTASPVITKSDSKACQTPILTTALSLETEASESLATEIYRCHFLQEEMLDSAINGTLNVLRSCKKNPSLKRVVLTSSSATVRIKDETDLPPNVLLDETSWSSIEYCESLQILAEKAAWEFAKEHRIDLVTVLPTFVIGPNLSPELSPTASDVLGLFQGRFVACKVYERVTNETHAAAVVAAGETEKFTVYGRMGYVHIDDVARCHILVYESAGAHGRYICNAAVLDCGDLVALLARRFPAYPIPRSLPSVYGEQSYGFDTPGALGLAELKGVEEMFDDAVDSLRGHGHLPAADDASQHA >Sspon.07G0031990-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7C:41814305:41815875:1 gene:Sspon.07G0031990-1C transcript:Sspon.07G0031990-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEMVSSAVIQESFSQILSGLVKKYEDKEEINGIRNIERLEMAHIRLEAALETSNKWQITDTSMLRWQKKLKRAAQECDEKLHKYWTCYQSFVFSIFNRNNDELNPSVVKRFEWYADGASEFLRFIELGGTPLCHIMPFGSLIKNLFAGKVLHHKIVRGSQQPLCQLWLIPCSTAEQGREVALIFIKKDGTTEGNIYFSMIVQLSESTDIVGIAVRSLQLFAPHVKFIVENITNELTQLPTQDFSWAPFAYSYHREHWYNLHNIASQWFRPNPLCCKQQQQHELSHYSNLNMAGLSDVSLEPVIEFNLQWQVTHSVYSKQKTSLSEGTMSLQNSPYLKAGIAFAPHGSSADMLPLNKSSETVEIVGGQQHVLHTDISLEQLEEIMLAKAVDYFCHNDEASVYQMSWRSKHGAARIHVEKPSINTWRISMRAQRTSGGATNRKLLRGQDHKIRNFLGVLTHFLDLWGAHVPIRLQSSVMDWMQKEKETQLPERKAKPVRKI >Sspon.02G0010980-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:24118491:24119628:1 gene:Sspon.02G0010980-3D transcript:Sspon.02G0010980-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSMAASPLLTLPFLFLLCATVACRGAYGASGGYGLGVTTGVADDIPRAAVRGAAPRGGGRVGPRSTTPTRACSALAGTRWPVSIMVPNEIITGPRRLSGRGGPVGRENLVPYYPRDAGQVPSRGERRSSPTLHRHSTWPRLVPRWRTSTEPPQEASAALNRQRSPWTRSPTAPSRDPTSAAAFAPPHRRGSRAPAVALPERTNSYYFVDAYPYFVWADNNLTVSLDYALFQGGRLRYVDPGTGLTYTQPARRNARRVVIDGQARVQAREAGHRGDRVAQRLRLRPDWANVTTPPSTTGTSRRGWSRTRARR >Sspon.01G0028060-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:64396248:64400210:1 gene:Sspon.01G0028060-2B transcript:Sspon.01G0028060-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSGVKLVPRDQIQSAQKRRGDRGSDSDSSDGKRPSKHRKRGRDKKEKRQTSRRQRRRSKRYSSEESSVSDTDDSIGEEEEEDVSRSKRRGKDRRRRRNFSDDDESESSESDRGRAPRSGKQRRDDDDEEEDNEGEGLRASEVVRREMGLEWMLKPASSSRTEGNAVGKADNIEKDEAVHEEIMRRYPKELNPYLKDNGTGYPEESTPSNAGSQLLASSVVGDGGASWRLKALKRAKEQAAREGRKLEEVVEERWGSLGDLAVSVSASRAAHSHSHLHAIRGRKSGNADNSEERVKGNPEGQPDGSSGRREYLRDVSSQHHAMRKPRPDSVPWKKRRQNISSEDQALISSAVASLNKFSDDGSFMEKISNLESKNTNVSTASASASASADEQRDNEQKSLRESSNKVPLVSSQKLNANQMAAKILQLRMKGKHQEAEQLSREMEALLENQDTAHEKPGHGKERSSIRRREEDADLHLANKIMHNKQYNMSKSIEDEYDFGDAPTKKDKRKNKEAHDERGSTNRHMLTQKERCLYCFENPSRPKHLVVAIGNFSYLMLPQFEPVVPGHCIILPLQHESGTRTVDQNVWGEIRNFKKCLLKMFAQQDKDVVFMETVISLAKQRRHCMIECIPVPCEVSSNAPMYFKKAIDEAEEEWTQHEMKKVIPTSASRNLRQVIPENFAYFHVEFGLDRGFVHVIDDESKFSAGFGLNVIRGMLQLPEEDMHRRRRHESMDNQRQAVASFMKGWEPFDWTKQLD >Sspon.08G0006560-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:9369532:9375464:-1 gene:Sspon.08G0006560-3C transcript:Sspon.08G0006560-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRVAVVVGGSVAGLACAHAVAGAGWELVVLEKAAAPAAGNGTGAGLGLDPQSMETLSRWIPGWGTDAATLPLAVDLNRATDSETKAVRTLARDEDLNFRAAHWGDLHRRLHEELPPAVTVLWGHQFLSFEAPDDTRGVVATARVLRTGETVEVTGDLLIAADGSTSSVRRSFLPDLKLRFSFLRIWFDDLVQSYPFLTHRGRGRYSGYCAWRGVFDFSGKESCDTMTSIRRAYPELGNCLYFDLAYKTHAVLYELPRSRLNWLWYINGPEPELTGSSVTMEATDAMVARVRDEAERAWCPELARLIKETAAPFVNVIYDADPLPRLSWAGGRPTPARALAEYEAVRLPVVAQQVLHARRLGRLKQGLPVDSEAEEGFDVTTAKAEVLQLRQRTMPFFDGAPAGVIYGA >Sspon.08G0005550-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:13884105:13888320:-1 gene:Sspon.08G0005550-1T transcript:Sspon.08G0005550-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGGLGFLVAGSRREFVVLNVDDFSKQGSSQGCPDYICQICGDDIDILQEENEYFVACNDCAFPVCRTCYEYERQEGTQACPRCKTRYKRHKGSPRVHGDEEEEGSDDIESEFASSIAGRSNIVHPYRVSVAESSINSWDIDSVSITNSGTSVHFYEEHVGTPTNHHALVVHPNTGEIMRYNPLQTRPINPNRDLALYGYGSVAWKNRVEWKRKQQHKMQKVSSDGEGSDLNDFDSDRDIPRCAESKQQLSRKLPIPSSKINPYRIVISLRLAILALFFHYRILNPVHDAHWLWLTSVICEIWFAFSWILDQFPKWHPIQRETYLDRLSFRYEKEGKPPELAPIDVFVSTVDPMKEHPLIIANTVLSILAVDYPVDKVCCYVSDDGAAMLTFEALTETCLFARKWVPFCKKHKIEPRAPEWYFAQKIDYLREKVHPEFVRERRAMKREYEEFKVRINAVVANSRKVPEGGWSLPEGAPWHGNNVRDHAGMVQVITGHDCVLDDAGNKLPWLVYVSREKRPGYDHHKKAGALNALLRTSAILSNAPFVLNVDCDHYMNNSKALREAMCFLMDPVLGEKICYVQFPQRFDGIDQHDRYSNHNVVFFDINMKGLDGIQGPIYVGTGCVFRRHALYGYDAPTATKPPSRHVTAGRSHAACVVDRKENVPKLRKSKNTRRK >Sspon.01G0011890-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:23922339:23926168:1 gene:Sspon.01G0011890-2B transcript:Sspon.01G0011890-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding FEGVDVVFHTAAADPSKNNLPLHYKVNVEGTKSVIDACKICKVKRLIHTSSSSVVFDGVRGLFNVNESLPYPDKVASQFKLIAQKIFPDAYAQTKAEAEKLVINANDINGLLTCCIRPGSIFGPGGTMISTLDQSGKTHFIIGDGKNCDDFVYVENVVHGHICADTTLSTIEGAKTSGGKAYFITNMEPINFWDFLHMVQEELGHKRLFMIRIPLPLIKLVSYLVEWAYMVLHRYGICQPRMVTSARIKYVTLNRTFSCNNAVEELGYKPTVTLMDGLKIAVNSYIQSRNMNLS >Sspon.02G0007310-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:24181431:24183617:1 gene:Sspon.02G0007310-3C transcript:Sspon.02G0007310-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleoside diphosphate kinase [Source:Projected from Arabidopsis thaliana (AT4G09320) UniProtKB/TrEMBL;Acc:A0A178UXQ6] MEQTFIMIKPDGVQRGLIGDIISRFEKKGFYLKGMKFMNVERSFAQQHYADLSDKPFFPGLVEYIISGPVVAMVWEGKDVVLTGRRIIGATRPWEASPGTIRGDYAVEVGRNVIHGSDSVENGKKEIALWFPEGVAEWKSNLHPWIYEA >Sspon.05G0020080-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:81592498:81599148:1 gene:Sspon.05G0020080-4D transcript:Sspon.05G0020080-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRHASTVSHRCLELERIIVDRARSGSLGVDDALKLFDELLPHARPASVRAFNNLLNVVSRARCSSTSELTVSLFKRMARISSNKVAPDLCTYSILIGCFGRMGHLEYCFAAFGHILKTGWRVNEIVINQLLNGLCDVKRVDEAMDILLRRMPEFGCTANVVSYNTVLKGLCNEKRAEEALELLHMMADDGHGSCTPNVVTYTTMIDGLCKAQAVDKAEGVLQQMIDKGIKPNVQTYTCLILGYLSTGQWKEVVRMVEEMYARGLYPNVVTYAVLLDYLCKNGKCSEARKIFDSMIRKGIKPDVTIYGILIHGYAAKGALADLSDLLDLMVANGISPDHYIFNIVFCAYARKAMIDEAMHILSKMRQQGLSPDVVTYGTLIDALCKLGRVDDAVLKFNQMINEGVTPNIVVFSSLVYGLCTVDRWEKAEELFFEMLDQGIRPDIVFFNTIMSNLCKEGRVMEAQSVIDWMERVGLTPDVISYTTLIGGHCLAGRTDEAAKLLDGMVSAGLKPNIRSYNTLLHGYCKAGRIDNAYCLFREMLRKGVTPGAVTYNTILQGLFQTGRFSEGKELYLNMIKSGKQWDIFTYNIILNGLCKNNCVDEAFKMFQSLCSKDLRPDIITFTIMIDALFKGGRRKDAMDLFTAIRGHGLVPDVVTYGLMMENLIKEGLLDEFENLFLAMEKSGCLPNSHMLNAIVRWLLGGGEIVRAGAYLSKIDEMNFSLEASTTSLLISFFSREEYKNHAKSLPGKYHFLEETLA >Sspon.03G0040150-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:26708369:26710721:-1 gene:Sspon.03G0040150-1C transcript:Sspon.03G0040150-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSRRIPSSPMPVNQRLAAASPVTSRLVSPPQENSICSTISAPAPLPSAPAAEPARPDPDQELRDGRELPPEWDPEVAGCGSGGSANLFCREMSNNNLEGSGISNNLPPNLQSLADVNGYSAQSVF >Sspon.03G0023710-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3C:90870507:90874259:-1 gene:Sspon.03G0023710-2C transcript:Sspon.03G0023710-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSYVSFLLVFLMASLVLVSVALLPHKLRLSASDVAALEAVAPPRPPSPDQPTIFFEVDRPHRPPPGSFGPCSTLLLSHSFAYTYAKPPATAAYSPPPCLAAAGGRAPVISLAVLEWRATCRGVQYDRVFGVWLGGAELLRGCTAEPPIQSAGVEWTVSKDVTKYASLLAARDSTTLAVYLGNIVDQQYTGVFHANVTLHLYFRHPPPPPQPGLGPADAVVPISRSLPLNDGLWFEIENDFDVATASVTVPTNTYRAVLEVYLSYHSDDEFWYENSAKTGPFREVAVQIDGDLVGVVWPFPVVYTGGINPLLWRPITGIGSFSLPSYDIELTAFLGKLLDGEKHEVAFTVTNAMDTWFVDANLHLWLDPRGTAKAAGMISYDAPPLDTATATLPEGPDNGLYYTTAFRHVSASGWVQTPSYGKFTATWTQRLGYENTIRSRDSFFPPEVSQTTDAYSAAHVTDHAGVLYAQEAQQSFALYKFVGVVNQTDTDSFTLATKVRLGFLEERVAAGRSGFWSRSLSNSQECARDVDFEDGEAVRESWGARQTYRYEASDACYFRNVTSRGYDVVSDHSDEACVKGSPAAASELFVKHNALIRRPFVRTLLFMGYIVSPNDYLLSAAEVGPHSSQRLAGAEAAPAHLVLAKAGGGRSPTPTAPLPPPWRPHPPGASNVRGSGDPLPTTGEIHGGRGGVAGEWAALPHPGAAPSPPSATPSLTLATKLPLPQWPESTSQPSLPYVAHPPIGPLHQLAPSDGKKATRNFSCSLVSPDASLSAEPGLQARTRRRCSAAAPPPSCLLTPFLPIAPRAARRAAAQGGRPAARLGGLAARSGQRHGCAGRASDDAARRGRPPSPLPLSHTRVQRWQALGAATTTT >Sspon.02G0052340-2D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2D:80363109:80364167:-1 gene:Sspon.02G0052340-2D transcript:Sspon.02G0052340-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPSELIDDAIAEILLRLPPDDPACLVRASLVCKLWRRILSDSTFPHRYREFHRTPPLLGFLGSTFSCASKASRFDPITTRIPFLEPAYGCRALDCRHGRVLFDMVGGTRGSLVVWDPITGDHKVLSDPDPDSYCHSGTVLCAAASCNHRCGCHGGGPFQVVFVSTPYNDDDTNVAARAWVYSSETAAWSATASVQLPEDSHLYTTKRGALVGDQIYFLLTDTRILKYDLGKHCLTTICLPGMYTNCHPDILMAMDDGSSLGFVVIKDSTLDLWARKVNPDGSMGWVQDRVVLLNNLIPIIPRTGYTSINVIGFAEGVDFLLLGDDASGFMFELKSGRFKRLSNPEYNHYD >Sspon.07G0009560-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7C:23677123:23679822:-1 gene:Sspon.07G0009560-2C transcript:Sspon.07G0009560-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTAPVAVPPRTCMDSLIAFLHHHLRALLADPAALHATRRRCLALLTPPLRHRCRNNLSGGQDEEENDDDDEAVLAALHGSIDALLRPSVVDGAAAAAVCLAGVEEALQAPALLPEHGETAGLDNRRVAACAYFYLALVRCAQGDAWQMAMDLLQAVAVCPAAVAATAREDGGAHRAPGLAPRALWEGLFDEGVLARAGGAGEDDAARRAARRYKDWLMYYKVVAADGAQNGGFQVGRSGSPVISRWLNSSEDRTNHFVDREGISTVSASKFGVHDGFAELKDFLSIADQDFQEDTKGSSDSRCLHEMLQESQSDSRVSFYSHLDSSEESDSEAAPYDKGRSAKIMPIDADFLAAKLHE >Sspon.01G0034910-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:6174536:6175188:1 gene:Sspon.01G0034910-1B transcript:Sspon.01G0034910-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSKTDALLKPAQPQLLRPIRPMRSHGVHAADDARVPGINGEPPGGPEVNGCFARSDPCNCRGGARRTTRAAPASTVSCWRAARAPIPASQPSRGVTAPALHASVGPSPPVARSASVRRRRLLSPPWTRLTLGGLQPGNQEPTKVLPPSAPFTDRRLSSRARTEVRSFPKPVVPFLSCVPLLVSRTAATAAILLFAEDRGRCFFSAAKNSSGEFTV >Sspon.05G0033320-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:30516293:30524009:1 gene:Sspon.05G0033320-1C transcript:Sspon.05G0033320-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGALVQSAIVPTVYRSSSGRLRARARARTNATMVRNMPTRTLTLGGFQGLRQTNFLDTRSVIKRDFGSIVASQIARPRGSASRGVVRAMFERFTEKAIKVIMLAQEEARRLGHNFVGTEQILLGLIGEGTGIAAKVLKSMGINLKDARVEVEKIIGRGSGFVAVEIPFTPRAKRVLELSLEEARQLGHNYIGSEHLLLGLLREGEGVAARVLESLGADPNNIRTDVIRMVGESTEAVGAGVGGGSSGQKMPTLEEYGTNLTKLAEEGKLDPVVGRRDQIERVTQILGRRTKNNPCLIGEPGVGKTAIAEGLAQRIANGDVPETIEGKKVITLDMGLLVAGTKYRGEFEERLKKLMEEIKQNEDIILFIDEVHTLIGAGAAEGAIDAANILKPALARGELQCIGATTLDEYRKHIEKDPALERRFQPVKVPEPTVDETIQILRGLRERYELHHKLRYTDDALIAAAQLSYQYISDRFLPDKAIDLIDEAGSRVRLRHAQAGELRDQEMELKAQITAIIDKSKEMIKAETESGEVGPLVTEVDIQHIVSSWTGIPVEKVSSDESDRLLKMEETLHTRIIGQDEAVKAISRAIRRARVGLKNPNRPIASFIFSGPTGVGKSELAKALAAYYFGSEEAMIRLDMSEFMERHTVSKLIGSPPGYVGYTEGGQLTEAVRRRPYTVVLFDEIEKAHPDVFNMMLQILEDGRLTDSKGRTVDFKNTLLIMTSNVGSSVIEKGGRKIGFDLDYDEKDTSYNRIKSLVTEELKQYFRPEFLNRLDEMIVFRQLTKLEVKEIADIMLKEVFDRLKAKDINLQVTEKFRDRVVDEGYNPSYGARPLRRAIMRLLEDSLAEKMLAGEVKEGDSAIVDVDSDGKVIVLNGGSGVAEPLEPALST >Sspon.07G0020460-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:70688323:70690450:1 gene:Sspon.07G0020460-3C transcript:Sspon.07G0020460-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ILPRDLRDNLQNEPRKDQLLEVILDLGRRPEARFLGDSGGQYLRESEISQKELEDAQKAVGEFGGDNRAGIEGTLHRISAIRSRKGMVVGLTCRVGRAVTGHVDMVRDLLNYKESILFLGRPGVGKTTVMREIARVLADEFQKRVVIVDTSNEIGGDGDVPHAAIGGARRMQVPEPSMQHRVMIEAVENHMPEVVIVDEIGTEAEAQACRSIAERGVMLIGTAHGERLANIIKNPTLSDLIGGVETVTLGDDEARARRSQKSILERKAPPTFPFLIEMRERHYWVTHR >Sspon.01G0010710-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:36467733:36471701:1 gene:Sspon.01G0010710-2B transcript:Sspon.01G0010710-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GPGDPNNKAAVAAPTATRTVTRRWHHRARRAATGGARSPRRRARRRPPPPPEQQQADGAPAHLPHLLRGGRRSIRLRAGGPRSGPALHAPRLPRLPLRVGRPGIARHGRALRRAPGQRRLRPVGRPRLRPAGRLAARHVEVPQLRHQHRRVPGARRRLPGPRHPRRGRWHGRQDAHGHGGRHDRVPVLRQLHRPEHRRVGRRRAGARVPGAVRAHDRDSGAQDAAAAVDGAGGGEQGLAALLQHALLEPQLLGQRQHHGRRGGAAGKHVSPGAGGGGRLDRGRRGAVVRRHVRGAAEQRRVPAAGHGGAGPAPGRVREPRHPVRHPVGGHRRLDGRDAGRLLPQLRRRRGHGQLPLQPGHASGVRGVPLAARQAARPEAPLPRAAVVAARAGGHVRGAVRVPGVRVRGGRVEGVRARRRAHGARRRTARRHEAVQVQEVAQVQDRRGRRGGRSPAPRGCRRFCWRPSLN >Sspon.02G0037120-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2C:35077844:35078356:1 gene:Sspon.02G0037120-2C transcript:Sspon.02G0037120-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQPHALAEAEAEAGAGAGVEAIGDAYIIRPLELADLSKGFCDLLAQLSPSPSLSEEAFRARFAELAALGADHLILVAEDAATGHLAAAGAVLVERKFIRRCGLVGHLEDVVVDASARGRGLGERLVQRLVEHARGRGCYKVILNCTTELKGFYAKCGFVEKNVQMGLYF >Sspon.07G0021490-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:3282893:3283461:1 gene:Sspon.07G0021490-1B transcript:Sspon.07G0021490-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEPRQEGSPPRRCANCDTTSTPLWRNGPRGPKVCRHDPTFFFLFAWRAVRPVGLSCQYAIVMHASRAGLVSLAVQRLRDPVQEGGAARRGGRGASAATHDSGVRPYECGGYARPPPPQQQQQWGCYGPAAGKSAASYGMDGGDGVVDADGPCLSWMLNVVPSSPAFAVRERHTLFQYY >Sspon.05G0004500-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:16397375:16398544:-1 gene:Sspon.05G0004500-2C transcript:Sspon.05G0004500-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDALPPPAPPAAGDPFAATLPPSLPSPPPPSFNLSPSLLIIAALLAFVVFASVSIHLLLRCLARSSSSHRGPSPLPTRARRASDEDAEVGSTRRSAAAVAPEEAQPAEEVVDDEKERLIASSNNSRSFRVEIGSVSNRRSSAAGDDRRTYSLGSFDYRVDEEVEAVVARITRPAAAVSAAAAKSATHQAAPATPGEALAEAAGSRGWLRDYVDRLASSASSLSGRWSARWSQGHHSARWSQGQGHHSRSQSQGHRQDDSWRWDPEAVTGAAMHRAPDEEEPAFVALYRWIVGV >Sspon.05G0012650-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:32426714:32430741:-1 gene:Sspon.05G0012650-2C transcript:Sspon.05G0012650-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSSSSPVVVVAPCRFLSPIATIASRDLLSFATAATRRRLLLSTTTTTIAAAMAASASSCKVIDSHLHVWATPQQAKEEYPYFPGQEPTLRGDDDFLLECMSEAGVDGALIVQPINHMFDHSLVTSTLKKYPSKFIGCCLANPADDGSGIKQLEHLIVQEKYRAVRFNPNLWPAGQKMTNEVGRSLFSKAGELGAPVAILTMKGIGPYIQEIEELCRDYPATTVILDHMSFCKPPMNDEEEKALSSFLNLSRFPQVYVKYSALFRITREAYPYEDTAQLLSRAISHYGASRIMWGSDFPYVVPECGYKGGREAISLVAARYLFPRQIWNGSWGKQ >Sspon.01G0017150-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:67731135:67732569:-1 gene:Sspon.01G0017150-2B transcript:Sspon.01G0017150-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADESWRVPTPVQELAAGVVEPPSQFVLQEQDRPESLLLATNLPEPIPVIDLSRLAAAEEASKLRSALQTWGLFLVTNHGIEASLMDHVMAASRDFFHQPLHEKQKFSNLIGGKRFQMEGYGNDMVTSQDQILDWQDRLQLRVEPEDERNLAYWPKHPDSFRDLLHEYASKTKKVRDNVLRAMGKILELGEDYFISQIGEKSPAIARFNYYPPCPRPELVFGIKPHSDGGAVTILLVDNDVGGLQVQKDGIWYTVPSKPHTLVINLGDSMEIMNNGIFKSPVHRVVTNAEKERLSLAMFYGVEGQRVLEPAAGLLGEERPARYRKIKAADYIVGLRQGIAKGQRFIDTLKI >Sspon.01G0018270-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:68342215:68343353:-1 gene:Sspon.01G0018270-1T transcript:Sspon.01G0018270-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTKLAALCFIVLLSIGVANAARVARYVSAGGGGGGGGGGGGGGQDGGSGYGSGSGSGYGEAGGYGSNGGAYAQGGGQGGGGGGGQYGGSGSGYGSGSGYGQAGGYGSNGGAYAQGGGQGGGGGGGQYGGSGSGSGSGSGYGQAGGYGPYGGGYAQGGGQGGGGAEDRMEGQDKVPVLALGMGRLADMVLMVVDTLKLVAKVVGVEEVVQVAVDMEVAQGTTVHQTEYKTRNKEMRLKSLSYSFFDSQYEDNWRCIQTLEIS >Sspon.02G0004760-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:14761722:14768334:1 gene:Sspon.02G0004760-2B transcript:Sspon.02G0004760-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LQAICETGFHYRDKSPPINYVVNISSNMQIFPPEDWLIASSVPSKFSPDAIQNILNELTPETVRIFWESKNFEGQTNLTEPWYGTSYSVEAVPPSIIQKWVEKAPEEDLHLPKQNIFIPRDLSLKSVEEMVSFPAMLRKTPFSRLWYKPDTMFFTPKAYIKMDFHCPLSQSSPESAVLTDVFTRLLMDYLNDYAYDAQVAGLYYAVKPNDTGFQVTMVGFNDKMRTLLETVIGKIAEFEVKVDRFSVIKEAMTKEYENFKFRQPYQQVLYYCSLILEDQVWPWDEEYSALSHLEASDLDFFLPQLLSKTFIECYFAGNIEPNEAKNIIHHVEDVLFNAPISVCKPLSPSQHLAKRITHQDNVKQNVLLQLLALVGKQPAFHQLRSVEQLGYIALLRQRNDSGVRGLQFIIQSTVKDPANLDARVENFLKMFEGTLYQMCDAEFKSNVSALIDMKLEKYKNIREESAFFWGEISEGTLKFDRKQEEVAALRELKKEELIDFFNDHVKVNAPQKKILSIQVYGGLHSAEYETIVQNAPPPQSCEITDIYSFRRSRPLYGSFRGGVGQMKL >Sspon.05G0017610-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:73534962:73549648:-1 gene:Sspon.05G0017610-1A transcript:Sspon.05G0017610-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAHPLQELGFDYLRDNLSRNKEQLVMRWPRPFHFAIVDEVDSVLIDEGRNPLLISGEDNRDAARYPVAAKVAELLMEGVHYTIELKGNNIDLTEEGVAHAEIILGTDDLWDENDPWARFVMNALKAKVFYRRDVQYIVRDGKAIIINELTGRVEPKRRWSDGIHQAVEAKEGLQIQADSVIVAQITYQSLFKLYPKLSGMTGTAKTEEKEFLKMFKMPVIEVPTNLPNIRVDLPIQAFATARGKWQYVRAEVESMFQLGRPVLVGTTSTSGANETEKYKSVESSEYLSELLKVRKIPHNVLNARPKYAAREAEIIAQAGRKHAITISTNMAGRGTDIILGGNPKMLAKEIVEDNILPFLTHEPPDIDMEGESTSHKGLSNIELGPSSVGLLAKAAIMSKYIHKSEGNEWSLSKAKSTIAEYIEIGQMIGMEKLQERMTEESEMYPLCDAIGLAYLSVLRDCEIHCSAEGAAVKRLGGLHVVGTSLHESRRIDNQKFNLDTEWAVRLISRITNDEDIVIESNVVVKQLLGLQINAEKYYFGIRKSLVEFDEVLEVQRKHVYNLRQVILSGDSESCSEQIFQYMQAVADEIVLLNIDPQKPPKAWNLVKLLDEFVGLGGKLLSEAFKDIQEQNLQSALEEMQGWGPVKADSFALPNMPMPPDSLRGIRKKTSSIMRWFAICVDDTSKKGRYTNTANLLRKYFGDFLIATYLNAVQESRYDDGYISGIEREVLLKTLDTLWKDHLVNMNKLSSAVNVRSFGHRNPLEEYKIDGCRFFISMLSATRRLTVESLLHYWSSPVESEEIFNTEEQ >Sspon.08G0014450-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:59148639:59151407:-1 gene:Sspon.08G0014450-1P transcript:Sspon.08G0014450-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATATLRWVLQLHRDVPRAARFYSEGLDFSVNVCTLRWAELQSGPLKLALMHTNDSNLASQRVYSSMLSFTVPDINSTVSKLMALGAELDGPIKYEIHGKVLSRYSHNFHLQLYFQDLRIIYMMMSALSLFIHYSSQLHKVQPSRAPKSKGIISKH >Sspon.02G0045320-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:105377500:105382587:-1 gene:Sspon.02G0045320-2C transcript:Sspon.02G0045320-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protease Do-like 5, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G18370) UniProtKB/Swiss-Prot;Acc:Q9SEL7] MALRPLLRLLLPSPPHRNPPPPPSSPSVASTSRRAAASTVLLLAAAASATPPRPVRAEPDGEDVDEARVVRLFQEASPSVVFIKDLVVAGPQGRGGGGVEEYDEEEEGGAAKVEGTGSGFVWDSAGHIVTNYHVVAKLAGDGSASHRCKVFLEDSGGKSYSKEGRLIGCDPAYDLAVPKIWNLFVAQIDADSDQLRPALIGTSWSLRVGQSCFAIGNPYGYEHTLTTGIPSPNGRAIRGAIQTDAAINAGNSGGPLIDSYGHVIGVNTATFTRKGSGISSGVNFAIPIDTVVQSVPNLIVSPEPDQCSLEEDHLSL >Sspon.04G0013690-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4A:49705787:49707816:-1 gene:Sspon.04G0013690-1A transcript:Sspon.04G0013690-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AASLSALGPSSPPLSGLLRRPSLLGLLPVRYRPIVGPCSGHPFSACIVAMEDSYRESIWRKKGRPRELYPDVSCKDAPVPPEEPIPNCDCGHPAHVGQSRHPDTAARCFYTCYSCSPYFRCFFFQWIDGPDKFDPRILLFYPDIDHCKHEVFTRWVPPPPNPPPMTEEEKAVASARRLEDPPKCLCGEQAVINPRNEQEFICPLRHEDHDFPKCRFKEWIYGPKSHWPEPEKNEVVPDWKKKRRSIAPPVMCKCGVEASYGLVPSGLGIGHFCGHMIDYDESTQKCNWESYDDVYNFKNEYKTMVALRNTRGYPASYVTDFVKNHKKKMLRRAQDLRVRNPESIAWKKWYEQKKDVEEYQARKAEEDARKATEEAARAEMQGLNDTIDSLCAKIGCTGGWQADMARAWYTENRTVKRDVGVGATASRPRVVEEEAEPEEDDDTGRIGELIRLAEELSYPQDVEVEEGQAAYHSHKTPLFDAWGPTDMTEEEAELYSQAADEAEAAYYRREASQANTVEASKGKEVVVEDSESEDELLTQWCTQFD >Sspon.04G0017650-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:67880316:67884037:-1 gene:Sspon.04G0017650-2B transcript:Sspon.04G0017650-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGVVVRRVIPSDNSCLFNAVGYVMEHNRNKASELRQVIAATVASDPEKYNEAFLGKPNEAYCAWILDPEKWGGAIELSILSEYYGREIAAYDIQTSRCDLYGQEKNYSERAMLIYDGLHYDALAMSPAEGAPEEFDQTIFPVNHNRSIGPAEGLALNLVKEAQRKRSYTDTANFTLRCGVCQIGVIGLHHC >Sspon.02G0020940-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:68497461:68505469:1 gene:Sspon.02G0020940-2B transcript:Sspon.02G0020940-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGDKAKESAPDCASGAAEPTKKQEKRKRAYAELDVVDKESASAEWQREIDALYEYYKEVSGRQLNPEELSCTTNDSVIACLLEESSLSCAKLTDEIYKRMKLQDGVTESSVRTSVLNIGKRSSFGISAMDVDDLEDESDSSLWCWETQDLTLLPFHLHSSLIIRRKARKLIHKRILILSGKLAAKDASNARSNQNSLMENAGEVLDLDEIRSIVESKHKNDADITKMHSKTEAQELQAISKAVKKQQTEQKKKERELRHINEKTEREAKRIERENKRLKKRQEEEERAKKKKEKEEAELKRKASIQKQANLMERFLKRKANSNTESSGSHHLERTKCSKSSGNIEELAVAATSGMDCTLSKESHLSMEELRMIHVVKWRKLYQHNRLCHWGVRRCPKIQLFPELRLQKSSAAITSDSMSTPTKEQSSQKSTGSLDITKLLDELEKPSRSQNSISSSVLLVKKLLQFDKSSRPAYYGTWRKKSSTVRARQPFQRDEELNYDVESDEEWEEDDPDDPGERLSDFEEDDEKTMNEHDSMIDAEEEADNSFVVPNDYLSDDEGMQCEPVCVIFDEISTMLSIPGVTVEELNALLQRQKALHIITEHALKIDRPLVISNLDHRKLDLLNAEDITGMLKMEKICLQALCMKKYPGSPIIDVPVVNMTIEDGFRRSNRKSPRTPVSSKAISESDMPEFAKLVASCPQGIVKLVELLHETFPYVSKAQLKNKVREIAEFTNNRWQIKMKAQNVQHCAPPNSASHPMNRHI >Sspon.02G0019350-3C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:65521721:65523131:1 gene:Sspon.02G0019350-3C transcript:Sspon.02G0019350-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRGEPYYVEAAPPVDVNKNTEWFMYPGVWTTYILLLFFGWLLVLSVSGCSPGAAWTVVNLAHFAITYHFFHWKKGTPFAADDQGIYSRLTWWEQIDNGQQLTRNRKFLTVVPVVL >Sspon.05G0033820-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:43350727:43354598:1 gene:Sspon.05G0033820-1C transcript:Sspon.05G0033820-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSEAQRVRAASPDDVRNTTGIGPKEKAIIRQLEELSENGVVKLMNQHRLDAILTPNSDATPLIGYIGLPGIVVPAGGLKGYELRLIEMAYAFEQATKIMVLADRILNSESMARLQLLLLTAVLGLTAGTCHSFEFQEATLDAIQLGFKNGSLTSTALVQHYLGQISRLNPLLHAVIEVNPDALRQAAQADAERRSSSGDGRIAGGLHGVPVLLKDNIATRGGLNTTAGSLALLGSVVRRDAGVVARLRRAGAVVLGKANMDEWANFRSAIGTGGWSARGGQGKNYYHSQTLALNSQNPYVLSSPPCGSSTGPAIAAAASMAAVTLGTETDGSILCPSSLNSVVGIKPTVGLTSRAGVIPISPRQDTVGPICRTVADAVHVLDAIVGYDGLDAVATRAASKYIPDGGYAQFLKIDGLQGKRIGVPNAFFDFPDGSVRQKVYKQHLDTLRRNGAVVIEDLSIANLDVILNATVSGELAALAAEFKIALNAYLSSDLSRSAVASLAEVIAFNNAHPDEIELLTDRGSSYGWQEMLKQFGQLIFLVSENTTGIGTAEKAAIQQLDGLTANGVEKVMRQHHLDAIVAPDSSSATVLAIGGLPGIAVPAGYDEQGAPFGVTFSGLKGYEPRLIEIAYAFEQATKARKPPMFKN >Sspon.03G0015150-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:48491591:48493592:-1 gene:Sspon.03G0015150-1A transcript:Sspon.03G0015150-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LIAVDGSMRGGLDTATLLDSEDYDMICEQRTLQDILLTIDGVQSRVHLLQDRLTKAHSEGENLAFSGGNTHVRVARKRQRTQKHSFSYTKSRHTKPQKKKNLNILLKDDDGQALAGGSALPDRETDAHIIGTGRSSEERSGECNHLRDKAITLDLLLGTGNSITNSDIGDLYKVNTDDILIDNQGANEACEQFYKAKQPSGSSSKDQNISTPAEMKNNSPPVEVKNTCAAVKVESICAPVEVDSTSAPALEQESFLEKSPSRKPVSPGNKQELEPKKRQKKKVSFYTKKQRKEASKTPDAKEKTEGMSSAAKNQRSTSSDVAKEKTKSTLSAATGPGGKKRKSGNEPADAKMHRSLNSSLASKEQKTGKPSSAVKKQKTEKTAAAAKAPKAENAGSATKKQETESSSSTEKKLETASASLKLQVEKAVLVVNSRRSQRV >Sspon.02G0045090-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2B:107156078:107156252:1 gene:Sspon.02G0045090-1B transcript:Sspon.02G0045090-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RPHRVSSPYRRSRLMPLPDRWSRRRMLPYRRRLLLRSRVGIARGRRRALTYTGRRWC >Sspon.02G0001580-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:5321289:5322695:-1 gene:Sspon.02G0001580-1A transcript:Sspon.02G0001580-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGGDDNVVVPVSPVAACQKAAWLPLDIIIAEIAARSGPATLVPCASTCRDARRRIAEDPDLRGRLRLRDTERFVLPILRGHMTIITTYTRGAFMSKSKTDVYLVDAAATTAADSTRLVKATFASGAEAETLSNVVPMDSRRGLFLVHATTTTRTNPYQYQRQLFVWNPATRRRLVLPPEPAFPEDDPDAGRSTDYVLLVDDSDGEGAGAGADAAVGRPFQVLKSKLVLSEKYRSHRRLLVQTFSSEHAAWSPCTEISTPNLHGSDSSPSPLQRRPLVIGDVVHWLCLTDSGSYMLMLHVRAARVNVTALPASFLRDAKNNHRYDQRHQYHYHPYDERHQYLLATATAGGNPVVLVADADRISAWEQSKHTKMWKPRPHVVIENETMLRFMRNKCVPRLELFGEKSGALLLRIHGCRLLWLDLHTKKILRCFSLDRLSYAEVYCLYEMDLSSWVPTFNSAVISFD >Sspon.04G0005190-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:15656637:15670518:-1 gene:Sspon.04G0005190-2D transcript:Sspon.04G0005190-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGGDVAAAEAGSPRSPDLYDLSDDSDYAAAAAAAAAASDHTAMRTDPADRGSEETARVDVVYEKERVTIRPSQYGSGRISGKLRLFLQQGSLFLSWEPNEGADSLSTSSVGVEIEKYRNLYTIKALPLSDVRFIRRYTPTFGLDYIIIVLSSGLAFPPFYFYNDGIRELFATLKQHVFIIRSDDDPNVFLVNDFQDPLQKSLSSLELPGVASVANAMSRQNSLSFTGHGATSSMSQYSSKQKHKSNDPGRDLSIQVLEKFSLVTKFARDTTSSLFRDNSGAHAYGRQQHEYILDNKATDKHKNQYMTPEKASTPLATIESDPLPLVWGKQRDNPLSVEEWTAFLDPEGRVMDSKALRKKVFYGGVDHALRKEVWKFLLGYHEYDSTYAEREYLAAMKRAEYEAVKSQWKSISATQAKRFTKFRERKGLIDKDVVRTDRSIPYYEGDDNQNVVVLRDILLTYSFYNFDLGYCQGMSDFLAPILYVMEDESESFWCFASLMERLGANFNRDQNGMHAQLLALSKLVELLDPPLHNYFRQNDCLNYFFCFRWVLIQFKREFSFDQIMLLWEVLWTHYLSEHFHLYLCVAILKKYRQRIIGEQMDFDTLLKFINELSGQLNLDRAIQDAEALCTIAGENGPPAFHLEPHLQCPLRPMVACMCKKTRSCEMSAVTLENNCTEASQVFIL >Sspon.05G0022290-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:7041172:7041564:-1 gene:Sspon.05G0022290-3D transcript:Sspon.05G0022290-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRRQATAAATLAFLLLSVSAAPSSSSPPALLTTAASRKVLHWKLGCPWDAVKFGACVGVLGAVGLQAGAQLGSKCCDVAQGLAAAEAAACFCTTVKETVLGIPTEWDVGVGVLASACKTELPDGFKCV >Sspon.06G0005150-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6C:16143859:16145373:1 gene:Sspon.06G0005150-2C transcript:Sspon.06G0005150-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MELSPLLVTSLAMVLAIVILRRLKGTSRHVYRLPPGPRPWPVIGNFNLIGALPHRSIHELSKKYGELMHLRFGSYSVVVASSAEMAKLFLKTHDLLFLDRPRTAAGKHTTYNYADITWSPYGAYWRHARRICATQLFSPSRLASFEHIRTDEVRSLVRGLFAASASGRAVRLNKDHLSTLSMNVITRMVLGKRLFDGGEKENVAEGPVSSLADFKWMMDELLLVNGVLNIGDWIPWLDWLDLQGYVRRMKRIGKRFSEFIEYILDEHGERRRREGESFVARDMVDVLMQLADDPTFEVQIGRVGVKAFTQDLIVGGSESTAVTVEWAISELLRKPSIFAMATEELDRVVGRGRWVTEKDIAHLPYIEAIVKETMRLHPIVPLLIPRVTREDASIAGYDIPKGTCVLINVWTIGKDPALWDAPEEFRPERFVGSKIDVKGQDFELLPFGSGRRMCPGYNLGLKEIQLSLANLLHGFAWRLPESVAKEDLSMDEVFGLSTTRKFPLE >Sspon.04G0018460-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:75621338:75631090:1 gene:Sspon.04G0018460-3D transcript:Sspon.04G0018460-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPENPSPAAPAEPSQPPPPAAAAKGKGKKKDEKKDDDLSEEDLALKEQLELYVVRAQDADPGDDDLPIDALMELVQQIVSFHMKHNAEPEAVDLLMEVEDLDLLVEHVDATNYKRACMYLTSSSHFLPAPDDSLALDIAYTIYMKFGDLASALRTSLKLEKSPQYVKQVYTATDDLLLKKQFSYLIARHGLVMEIDDEIAADDNDKEVLQEIVNNTKLSEGYLTLARDIEVMEPKSPEDIYKVHLIDGRGASSSLDSARQNLAATFVNAFVNAGFGQDKLMTAPSDSSSSGSSGNWLFKNKEHGKASAAASLGMILLWDTDSGLAQLDKYLHSNDTHVVAGALLGIGIVTCGVKSDCDPAFAILMEYIGKDDSNIRIGAILGLGIAYAGSQKEELKMHLSAVLGDSQSPLEVLVFSAIALGLVFVGSCNEEIAQSIIFALMERSEAELAEPIIRLLPVALGLLYLGKQDSVEATAEVSKTFDEKIGKYCDVTLMSLAYAGTGNVLKVQKLLGICSQHLEKGETHQGPAVLGIALIAMAEELGAEMAVRSLERLLQYGEQNIRRAVPLALGILCISNPKVNVMDTLSRLSHDADADVSMAAIISLGLIGAGTNNARIAGMLRNLSSYYYKEAAHLFCVRIAQGLVHLGKGLLTLSPYHSDRFLLSPMALGGLVTVLHACLDMKSTILGKYHYILYIIVLAMQPRMLLTVDEDLKPLSVPVRVGQAVDVVGQAGRPKTITGFQTHSTPVLLAAGERAELATEKYIPLTPVLEGFVILKKNPEYHEE >Sspon.05G0010850-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:30821850:30825436:-1 gene:Sspon.05G0010850-1A transcript:Sspon.05G0010850-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVEMSCAAAPEVVVSMDWRGRPCRPRRHGGMRAAVFVLGIQAFEIMAIAAVGNNLITYVFGEMHFPLSQAANVVTNFVGTIFLLALLGGFLSDSYLGCFWTMLIFGFVELSGFILLSLQAHLPQLKPPPCNMASTSTVGGGGDCEKASGFKSTVFFLALYLVALGSGCLKPNMIAHGADQFDGATPGGARRLPTYFNSAYFSFCAGELVALTALVWVQTHSGMDVGFGVSAVAMAAGLVCLVFVAAFTKRKQVCPSNSNSVNAGACEPARLGNFRHSNKFSAAGAEHEAGERVAAVHGGPGAAGEDAARRTPIFACTIVFNTVLAQLQTFSVQQGSAMDTALPPGSGSFRIPPASLQAIPYAMLLALVPAYQLLLVPLMKRLTGTRSGITPLQRIGVGLGTVAFSMVAAADVERRRRDAAAEGRQMSVLWIVPQFLVFGVSEMFTAVGLIEFFYKQACAGGMQAFLTALTYCSYAFGFYLSSVLVSLVNRVTARHGGGGWLGDNDLNKDRLDLFYWMLAALSVLNFFCYLLCARWYNAGAD >Sspon.03G0006290-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:22457842:22459634:-1 gene:Sspon.03G0006290-1P transcript:Sspon.03G0006290-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAPAASPWLRPSPTVRLRETAFLACSISSAPTRLCIGRRCSDRTSVGIQTAGSNLRRCMIAHVKSGEADGYPKTEDLVDEETLQSNLDRAIKEEDYARAAKIRDDLRILHEDAKASLLAANARFYNAFKNGDIAAMYSIWAKGDHVYVIHPAAGRISGYDVVMQSWEMVCNADYEFPLNIDLKNIEVHIHGSLGYVTCLEVVKTKGRTWGKQIATNLFEKVDGTWLMCVHHASHIEE >Sspon.06G0010680-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:46586798:46587844:1 gene:Sspon.06G0010680-3C transcript:Sspon.06G0010680-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDESEEYSNGNGGGGHQQQHHHHGYEWKFPAALSANTTSVHVTALDGVVNVNSLFTVAVFVGLSLATPGQLRSLANDPRCDAGPGVALSLLVLEVVAFSSFLFSSLVAQGLKLALNLINSKDPHDALRAHIDARVLRLGMLASAVGSVVGCVFLMTSMVMVVQIRLGTLGCPTDRAAAKAAAGLVGLVTTALAVYVGTVFYTFTH >Sspon.01G0041670-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:52239947:52243071:1 gene:Sspon.01G0041670-1B transcript:Sspon.01G0041670-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAQDEERPLIHHLPPQDETSEFTSDGTVDISNLPARKQRTGNWTACFFILGAEFTECVAFFAISKNLVTYLTGVLHESNVSAATTVSTWIGTAFFTPLIGAFLADTFWGRYWTIVIFISVYVVGMMILTGSALLPLLMGLSYNRGIHRLTAYLGLYLVALGNGGIKPCVCALGADQFDAADPVERVTKGSFFNWYYFSINIGSLLSATVVVWVQDNIGWGVGFAIPTALMLSGLAVFVAGRKVYRYQRVEGSPLTRVSQVVVAAVRNYHLVLPQDSSSLHQLPSPAEGNHCKIQHTSQFRFLDKAAIVAPSSGDGEKGPISPWRLCTVSQVEELKTVLRMFPVWVSMVLFFAATAQMSSTFIEQGHTMDNHVGQFTVPPASLAIFDIISVMFCIPIYDRVLVPLAQRATGKERGLSQLQRLGVGLALSVAGMVYAALVEARRLSLAHAAPDRQPSMSIMWQAPAFAVLGAGEVFAAIGILEFFYDQSPDGMKSLGTALAQLAVAAGNYFNSAVLAAVEAVTTRNGKPGWIPDDLNKGHLDYFFWLMAVLGVVNLLHFLHCSIRYRSGNNNTCS >Sspon.01G0000660-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:1531761:1536223:-1 gene:Sspon.01G0000660-3C transcript:Sspon.01G0000660-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDNGDDGADSLVELLLTYQELGNDLKVDNGFASGCVPQTVDDSDDDDILDNWDDEDAGERSTRVANSVDDSGDEDFLHEMSHKDDKIDSLVKMGFPEDEAALAITRCGLDASISVLVDSIYASQTAGDGYCGNLSDYEDNSYGGINKGRFMDGNKKKRKRYGGQAQGSRGPLDGSADEPMPLPNPMVGFSLPDQWPRPVNRDLPSQAMGPPYFYYENVALAPKGVWTIISRFLYDIQPEFVDSKYFCAAARKRGYIHNLPLQNRSPLLPKPPRTISAAFPRTKRWWPSWDPRQQFNCLQTCVSSAKLLEKIRVTLTNSSDPPPPRVQKLVLEECRKWNLAWVGLNKVAPLEPDEMEFLLGFPKDHTRGISRTERYRSLGNSFQVDTVAYHLSVLKDMYPQGMNVLSLFSGIGGAEVALHRLGIHMKTVISVEKSEVNRTILRSWWDQTQTGTLIEINDVQTLTSERIETYIRRIGGFDLVIGGSPCNNLAGSNRHHRNGLEGEHSSLFFQYVRILESVKSIQRL >Sspon.04G0037220-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:62968139:62969605:1 gene:Sspon.04G0037220-1D transcript:Sspon.04G0037220-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding FIEKSLRLLGKNQYTFNVESGFTKIEIKHWVELFFGVKPSTTQKRVEEWDLFWDIQCITDRNHYTRHRGGGHKRLHRKIDFRRNQKDISGRIVTIEYDPNRNAYICLIHYGDGTAIHNIEITRGRGGQSARAAGVVAKLIAEEGKLATLRLPSGRSIWYPKTA >Sspon.02G0046440-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:3420910:3424532:1 gene:Sspon.02G0046440-1C transcript:Sspon.02G0046440-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKVSMSEIKHRLLVSAMGRKDFMRMMPMNLEEMVHDAFGFDEYSDWQLKRLKQLLVDMKTPLHPSCNESYTRLIGTLKLLQLKAAHHWTDKSFRELLETLIDMFSEGNQMPRTTYEAKKIVCPMGLKFEKIDACKNDCILFRGKDYEKLNACPKCGTSRYKQTTDEGDDGEATRRRAPRKVAWYFPIIPRLKRLFATSKDAQLLSWHSDERKVDGYIRHPADGIQLRFFDFMYKSFSDEPRNLRLALSTDGMNPFGNMSSSHSVWPVLLTIYNLPPWLCNKRRYAKSRVHPEGSMAQCYSTEEVVDWCLSYIDPTNPIGISKSCHEGRLSGRGCVGEKQISPDPDDFE >Sspon.01G0027930-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1A:97848791:97850146:1 gene:Sspon.01G0027930-1A transcript:Sspon.01G0027930-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDSDGEGGGGGVARSHPSNLPLPAPQSDPNLQFSGGTDDESSNRNSSSSATGGASPGYYSDYPSSFSGECSPYNMSPWNQTMASPWSHHSEASAAGLGGGPPTMAPGTSLISSLVREEGHIYSLAAKGDTLYTGSDSKNIRVWRKQKDSGGFKSSSGLVKAIVISGERIFTGHQDGKIRVWKVSPKNGMHKRVGSLPRLRDFLRGSLNPSNYVEVRKNRSALWIRHSDAVSCLSPTDPAQGFLYSGSWDRTFKVWRISDSKCLESVVAHDDNVNSIVAAFDGLVFTGSADGTVKVWRREQQGKGTKHTPVQTLLKQEHAVNALAVSAVAPVLYCGSSDGLVNFWEGERHLVHGGVLRGHKKAVFCLAAAGALLLSGSADNTIFVWRRDGGVHACLSVLTGHTEPIRCIAVVEDNGENGSESTAGGASAAGGGSSSASRWIVYTGSLDKSIK >Sspon.02G0017700-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:57181595:57184226:1 gene:Sspon.02G0017700-2C transcript:Sspon.02G0017700-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding WNSWNHFACNINEQTIRQIGKVTLQSHNQFQLRHKMQQIEKESFVCVADAMVDTGLAKLGYEYINIDDCWAAYNRDSQGNLVPKPSTFPSGMKALSDYVHGKGLKLGIYSDAGSRTCSQQMPGSLGHEEQDAKTFASWGIDYLKYDNCNYQGLSPQPRYSTMSRALLNSGRNIFFSICEWGVGNPATWASGLGNSWRTAGDIKDNWGSMTSNADSNDYWAKYAGPGGWNDPDMLEVGNGGMTTEEYRSHFSIWALAKAPLLTGCDIRSMSKDTKDILGNQNVIAVNQDELGVQGHKVQKNGDQEVWDGPLSGGRVAVVLWNRGPTQASITASWSSIGLSTSAVVNAHDLWTGEVISSVQGELKATVETHACKMYVLTPK >Sspon.06G0015060-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:82451117:82456447:1 gene:Sspon.06G0015060-1A transcript:Sspon.06G0015060-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPTRSEEEAGEKNRKPQLTRADAFSSAARRSCCRRAAPLFPVKKSLRLSSAFTMSSRPRVANTFPPAAIFPTKRSRLPRPFIELQHPSLKQDSSNLQSSLHVFNNIEDWVDHGNKGSQLSDICNDY >Sspon.03G0023640-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:72437052:72437652:-1 gene:Sspon.03G0023640-1A transcript:Sspon.03G0023640-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RRKEEGGQCISKEEKERLLVKENVLQQVRDTRLFMIVHDLQCASIQCGNRSPSSEDPVTRIAASVCCQGAAMLSRNLASSDGGDGPKTAISDFVVSEGNEPGVDMLLPRSHHSWSSYNSSTRDEIIKYPSSADVLTVLLLALHPGTWSGIIDERLKAEFQTLVSTDDLPDVLKR >Sspon.07G0014550-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7A:52469917:52470895:1 gene:Sspon.07G0014550-1A transcript:Sspon.07G0014550-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding APDLRIESHSPAPTSLPDPPAPLPPTRRHEAPDASRPQCRRPRRTAPDAQPPPHRRRPRRPAPPFLPILGTQRSFSMVGVVACPCRGPSRSAPHGHGRAPHARGSQDPLGVAKVELTMVAAAAPGALIFFLMA >Sspon.02G0055080-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2C:125889785:125889946:1 gene:Sspon.02G0055080-1C transcript:Sspon.02G0055080-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding QEVADNNCVYRNVVHHSAGEFTQVLQDVAGDPTLPRTKSVRCASCGHGEAVFFQ >Sspon.03G0036800-3D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3D:55935454:55936627:1 gene:Sspon.03G0036800-3D transcript:Sspon.03G0036800-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MESRRVCGAGIRGSGSGYREPSPVPASPDGDQVPAIL >Sspon.07G0011820-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:47680021:47682688:1 gene:Sspon.07G0011820-3C transcript:Sspon.07G0011820-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPVAAEEGEDPRWRRRNTDCVSFLASRFACTKGANCEFRHCEGARFNQSCWYWFRGNCVNPSCTFRHPTLESLNRTKPLADPLLSYASASIKASSPCYFYYNSYCKKGDNCPFLHEPITRKDVVGISSEALTSNLAKNSNPAGNQMIESSKDALANPCQGSPDLTKDHQSGLPASSSPKHNGLILNAPQTTVDTVGYMKSSTLSDQSSGDSAIEHAEQDISRDSSPGFDVLVDDGLSNMIDLEHQSTQERDTEVLHVKHRVGDSIVYGLDYHDAEYNEQGLHGFEHGSCLDYFEGVQGHDCLTTSGHMLHNRLNLVNPSCEEHVPRFFNPRCLMGSHASSDHQNSQIGHISKRPPERRGAKGNNGRNKRCRIHEARNGSEEIDTRPTHDMQNSLIGDCSPPLACATFRGQKKKSKRKQRHVRSARPSKYSTAKVKHLDFEDFMGPKTLAQIKEEKCRSKSSASHPTVHMPHGRSSSNDFQGPKSLSELLKVKGRTSVDRESCCS >Sspon.04G0006830-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:19439723:19443565:1 gene:Sspon.04G0006830-1A transcript:Sspon.04G0006830-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAAADLEARQLRILGRIADLELAAQQHRLGALSISAAPSENGEADAGATEARLSALLAARGVRDFAFRRVPADYYDRSLEERRGLLRADSVAQLCKSIVMVNTQAAADVVNCSNPKNSKYYVVVVQYNARLNAENIKNFLYELNEKQIPKKRFNMRLAPEEESHKLTGFVHNAVTCIGMETNIPVIIDEAITKLDEDFFWLGGGEVDLKLGMRTSQFLSAFSPFVVKCS >Sspon.01G0007300-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1C:20057109:20057335:-1 gene:Sspon.01G0007300-2C transcript:Sspon.01G0007300-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSSGTAAGTGGRVVLSEEGATAMAECIGLLFGRWTALQLAVQNRWGGLDSQAKADRLASSVLSWFTRAAAR >Sspon.01G0023750-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:82821290:82823872:1 gene:Sspon.01G0023750-2P transcript:Sspon.01G0023750-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQGDGTEAQVTWEDQQNINRFGRLNNRLHELQDEIKLAKETNENLDDAGNELILSDEDVVRFQIGEVFAHMPRDDVETRLEQMKEDAAKKLERLEEEKESILAQMAELKKILYGKFKDAINLEED >Sspon.04G0014710-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:55106116:55111506:-1 gene:Sspon.04G0014710-1A transcript:Sspon.04G0014710-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGEGVVDQLSGAAGGEAEAKMETEEEREGPVLYLDLTSYQLHDLSEVEIPPTLEEIDLTANRLSSVDPRIGQLPRLRKLSFRQNLLEDDAVVPLSSWETIAGLQELVLRDNKLTRIPDAGIFKGLLVFDVSFNEITSLTGLSKVSSKLKELYVSKNEVAKMEELEHFHALEILELGSNRLRVMENLETLTNLQEMWLGRNRIRAVNLCGLKLIKKISLQSNRLTSMDGFQECTALEELYLSHNGIQKMEGISTLQNLRILDVSSNKLTTIENIETLTRLEDLWLNDNQIPSLDGIETALAGSREKLTTIYLERNPCAKTPDYSSTLKKIFPKLEQIDSDIIA >Sspon.06G0007970-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:32424769:32428237:-1 gene:Sspon.06G0007970-2B transcript:Sspon.06G0007970-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPLAAALRPHLSRLGQTLSSRFLRLFTSHCPSDQTDFEPDPDHLIPSVPDNDDGELASFLHRLSSAASSTSSPKEALSLLLSLSTGPSPASPALLVRALWELRRDPDAAALALRYGDECSAVDGADGAGLPPPADAWHLAVWAAGKARRFDLAWAVVRRMRNRGVLTRRAMVILMERYAAANEVNKAVKTFDAMENFKVESDQSVFYSLLRALCKSKNIEDAEELLLLRKKFFPLTAEGFNIILDGWCNVITDVAEAKRVWREMSNHCITPDGMSYTLMISCFSKVGNLFDTLRVYDEMKKRGWIPGIGVYNSLVYVLTRENCVKDAHNIFSKLTDEGLQPDVETYNNMIVPLCESCKLDEARMVMESMILKGIVPTISTYHAFLKQEGIDESLKLLQKMKEDGCGPKSDTFLMLIDKFFLLNESGNALRVWNEMRKYEISPVRSHYMTVVEGLVKHGCIPRALEYYDEMKEKGFASDTQLDKEFKTFLLNNRDHWRGAGTYNIIPQRGYSSQFAYKE >Sspon.02G0027090-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:108508867:108510441:-1 gene:Sspon.02G0027090-4D transcript:Sspon.02G0027090-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVKDAAKPRLPPGFRFRPTDEELIVHYLRRRALASPLPPAVDIPDVRILAHDPSDLLPPGFSEQERYFFTCKEAKYVKGRRANRATGAGYWKATGKEKPVAVAIPAAARGGQGQAVLVGMKRSLVFYRGKPPTGSKTDWVMHEYRLAGAGLSPCRRAAAQDGDADAAVSRPAEGWVLCRVFRKKKGSAASAAASPGEDRSDGESERAGAGGPGFIDFFARADAAGRRRRAASPVVSSSCLTDASPERQQGREQETTSRGA >Sspon.01G0032870-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:113777507:113781029:-1 gene:Sspon.01G0032870-2D transcript:Sspon.01G0032870-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPPRLPVTVAVLLLFLCLPATPPAAADPTPTPWPPQFHATLVMDYHGNMSVADLWYDWPGGRNLHVIRYQLAADAPFYDNEWNNGTFLLLHPGAPHLPLRRRRGRIAYVMSFEVGAVLEDAAWQAPEYCFSKDGGLADAETTTKISDGHHGSSFIPRSVL >Sspon.07G0002640-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:4768069:4772212:-1 gene:Sspon.07G0002640-3C transcript:Sspon.07G0002640-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:At3g09740 [Source:Projected from Arabidopsis thaliana (AT3G09740) UniProtKB/TrEMBL;Acc:Q2HIU8] MTVIDILTRVDAICQKYDKYDADKLNGANVAGDDPFARLYASVDADINQCVEKAETAKQEKNRAAVVALNAEIRRTKAKLLEEDLPKLQRLAVKKVKGLTREEIATRSDLVAALPDRIQSIPDGSSTATKKNGTWGASGSRTGGAIKFDSTADGNFDDEYFKGTEESNQFRREYEMRRMKQELDRQVPLMDEMDDKVDRANADLKNTNVRLKETVLQLRSSRNFCIDIILLCVILGIAAYLYNVLKK >Sspon.03G0002690-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:17178799:17182584:-1 gene:Sspon.03G0002690-1P transcript:Sspon.03G0002690-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGSTTGACEEEAEEEKAASEALTADSADEEGHRGSSSSASSEAASSTVSYTYSPPDEWQNKVAIKTCVSVVSADVAVAGAGGADDSKEEMPPRGGVDAADRHRAPEMEMMKERFSKLLLGEDMSGSGKGVCTALAISNAITNLCATIFGQLWRLEPLLPEKKAMWRREMDWLLCVSDHIVELVPTWQTFPDGTRLEIMTSRPRSDLYINLPALRKLDNMLLEILEAFRDAEFWYVDQGICAPDCDGSASYRRTFHRRDDKWWLPVPRVPHGGLCEATRRQVEHRRDCANQILKAAMAINSNALAEMDVPDSYLDSLPKNGRATLGDVIYRYITSDHFSPDCLLDCLDLSSEYQALEIANRVEASVYVWRRRGSGGGAAKPASRAGAKSSWGIVKDMIMDTEKRDLLAERAEGLLISLKQRFPGLTQTSLDMSKIQYNKDVGKSILESYSRVLESLASNIIARIDDLLDVDELSKQSDSIPSAGTATDAKIACKSNSSKQATVVPASGTPYATAYTTPSFSPAQLSSPSKIGRALLVDRRSHHVKGPAGAKRTAASAADRAGVAEVVKGMVVGSAVFDIPTTAVAAE >Sspon.08G0006170-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:19111183:19115475:1 gene:Sspon.08G0006170-2C transcript:Sspon.08G0006170-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWLNQNVQPYLPQTRIVGITVGNEVLGGQDQSLYQPLVDAVKNVYDGLKRLHLESKIELFTPHSEAVFATSYPPSACVFKEELMAYMKPLLDFFAMIGSPFYVNAYPFLAYISDPEHIDINYALFKPNKGIVDPNTSLHYDNMFDAQIDAAYAALHAAGYDDMEVRVAETGWASSGDQNEAGASSENARTYNFNLRKGYF >Sspon.02G0025620-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2B:90446948:90448700:1 gene:Sspon.02G0025620-2B transcript:Sspon.02G0025620-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQAMECHRAGAEVFTGDATCRKKSVELLEELGLPKGLLPLEDIQEFGYNRDSGFIWLVQVKKVEHTFKKIMQKVSYDAEVTAFIENGKLRKITGIKTNAMMLWIRMRCSSQRPCRRRSPSSPATASPGPSMPLPLRLGNEGSPNEAENYGHIKSSAEIAKECDGGQEQGGSATWQPRSSHGGRAKICTAMCIPKVDDDGMNGGNTPIGRQRIES >Sspon.08G0002220-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:3623238:3624631:-1 gene:Sspon.08G0002220-2B transcript:Sspon.08G0002220-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTHERHRVAHRRCHPPRALRRLRRPPTRARAEAGPPPLHLHLCSALLKTYAVSGRIAAARQLFDETPRRDVPLWNALVSAYARSGHPRDAIAAASAMARDVEGFRPNSLLSACAQLRSSVLGRELHGYATRNVPVLDLPVLNALVNMYGKCGRLRDARMVFAGMRVGMRSAVSWTSMINACCENGRPAEALEVFEQMRIARVKVDEVTLLAVISACTKLDCTSGLGDWVEACAVESGFLQNTRVANALIHMHGKMGRVKRSCGIFDSMGAVKTVVSWTAMVQALAMNGRGVAALVRFTQMLREGFLPDEVVFLSVINACGHSRLVSEGHRLFKSMIEEYHITPWMEHYGSMVDLLCRAGSLDEAFEFVLAMPVTPDPVIWRVLAGACRDHGNASLARRVMDHVIGMEPDHEGNYVLASNLYAADEDWKRVIDVRLDMGARKVTSRAAAAAMSSVEVNGE >Sspon.01G0008310-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:23212961:23222164:1 gene:Sspon.01G0008310-2C transcript:Sspon.01G0008310-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSLDAAAAVAVSTNDNNVDDVEDLYADLDEQVAAALAAAGESGGSNARDSDPVTDGEGEVPEPDANEAVDLGDGTEGYSSSDEESDDGLRIVLNEDTSAPLPPLPLGRGEGCVDEDEEGGDTGNRVKGSSVNDGGWATVGGLHCKGLIEKTPLPSMGQIDRGRQHVFQRDYNFTLPRNGSFSADLNTVLCYFSTIFDIGIEAFQQKPWRQQGVDLTDYFNFSLDEEGWRKYWCSMFLSCQMIIELSTTLLFVQKQLRLGARSLVNETSGLEQESYKLKSVKAMSKVANDSGSEGRNGLGKPKGRAIHVEGSACERVPSADLWRPIQRDSDVVIQVNMTLSPSNQSTSDDSSKLNHNGVATERHLRGSCFLVDRVVDKEVHDGGSSEGVGSKLGRRDSYFARDQSRSPDYFDTLSGESKENLYFKRSNRHSDVRDFFEDTKLHAEHVKSDFNCYSSKSGRGNSESCSRGYTPSVDDRKVASKLLWRGEAPFAGRGKSGDLFVGCNSDRDLKSQHKTRKGQRRQSLDDGRNAIFVEKEKPTDSYPSRYGREYGKRRSSSSSLRTNYHNSVCNQSYEQRYSPLERIALKNDEHYFSDESNYHHRQSLSCDISEGEDDECFSSANEWQRDHDHIYHSMVNTDMPDADDGRIYRERYSQEKRRAIHDRSVDVEFSRYTDYRFCERQSPEVRGRYRDKGRFAKSNDGHFRHANHLELYPGLNNSEGDRPATGFPSMSSRNRCINNKKVRNAKMAQNNCHGYHQKNKQHDSSFCIGNIPRSALQTDTYAETGHFVLPIKRKLHSDLGPVDQKTLADLPLLKGRRLMHGQSIVSDRRIYALKLHKSTEKISTEAICSSSDMRNSNTVSNIFVGRRHELDNADNIHLNDRKIKVNFEEKKINKIVQNGSLYHILAHVLAIKSTGFKFLNFERQGNEFRRVIENNQKGPHPVDKDLHASRHKHVHQKPWKQNMGHRHSGNQNLDKSADQKWLNEDVEVEEGELIEEDHHDIISKSKLKPRNAVLKSVIETSSAEQLQVNNTTSKDAACNNRTTRECDEKHILEVMEKMQKRRERFKEAIAPKKEDGDKEDLSALACSTDYIQNQRPARKRQWG >Sspon.05G0039010-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:59360209:59367093:1 gene:Sspon.05G0039010-1D transcript:Sspon.05G0039010-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MYPRTALRKMGTMRRMILVSSTWVMLQRVQAPVFPNGRPAIHISIPRITNKAQTTKPRYQPLLFSTHTMKTSPTKPPVEMAKVYQLKKLDSCLCSTGSDLNPFTSGPLPKGLPPRLHPDPPPPPKAPLPYACIAAPGALPDTRAASLGGRPRSQRRRPQGPPRRQRRLPGPSSKPAPPPPGAPRRSRRRPQDLPHARAATSKGLQTPASSAPPGASIGSCPRGLSGVPPPPPSSKPASTPPLVIRLSEDNLMYFDWAKDEDSVEALDVGVSKEGSWDGEHLQRGKEVARHRRGPRHIHVHLLAQVAYKIQYVGNVGCVAEQHQRCKEDRGARTGKNCEQDGSSLVHVERKRLIEKPIAKINHGDVNVQ >Sspon.01G0001660-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1B:3659399:3660196:1 gene:Sspon.01G0001660-2B transcript:Sspon.01G0001660-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGEIELPEFNPRERVKQQISVPFLWEVKPGAPKRDWVISKPVPVAFACPSPTPTKLVVSVPFQWEEKPGKPLQEASPFHVLPSDHGGFSASSRSLNPFVVESEEEYSLGFQLEAFGFPDSNDTSGAAAWADGSSGRRDGWFSFSESEDYSRSSGDTSAQDQEFQFQFPRAPSEKSWEVANDEDELNKNNPWSPPRSAATTLEELMVLSRRLRCGQALPVDVGKKSLSSVVILYYHSRSYFFTSASVNLKQAALHLIVRDRLANP >Sspon.03G0017820-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3A:55290754:55292212:1 gene:Sspon.03G0017820-1A transcript:Sspon.03G0017820-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDYGRLALAVAVVAAVAGTSGGAGAWGWQQPLAPCMYVFGDSLVDNGNNNDILSLARANYRPYGIDFHEGPPGRFTNGRTMVDFLSDMLRLRPPLLPPYATARREDLPRGVNFASGASGVLPETGNNLGGHYPLSEQVDHFRAAVRDMGSTSEFRGNATKVAAHLGRCIFFVGMGSNDYLNNYFMPDSYDTARRYSPRDYAALLLQGYSAQLTQLYGLGARKFVVAGVGLIGCIPYELARMNDDDRPPSGPSNQSAVASEDIDISIGIGGGDGGGGIGIGIGGGGGGGGGRGGGRSPSMPFPFTDSGSGNANPTPGAAGGCNETINSAIDIYNKGLLAMVKRFNNRGGPQQLRGAKFVFLDTVQSSKDLTANAAAHGFTVLDRGCCGVGRNNGQITCLPLQRPCDDRSKYMYWDAFHPTEAVHRIYAAKAFGSNSTAEVYPINVSQLAAI >Sspon.07G0021250-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:1881899:1905178:-1 gene:Sspon.07G0021250-2C transcript:Sspon.07G0021250-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVSLGFLNLIVDNGVQGGKSLRCIDLRRHKLFNTRTPVLPPPKGNRSESERPPQDDRKNYRQAAASSITGKIREIQLPQPTMNFRCSIVDCYWYMKCLPLAGRKLLCVDPSYRTVLFDVNTSQVETIPYFHVPKHEPLPCFVPLPSSADAAAGNGSFYIIEGRPYQEELQGDDEQLSNQYEAFVYNCESKSWQRHFLPPPPFVHDPKHYEDSKHPNITSYAVVERGGSHVIFVSAEDGGGTYCFDTVTHTWSRVGDWVLPFTGKVEYVPELKLCLGSGRFCITRFFFHALPNPLAFLLNPIAFYDYYDDPVEEEYFTVLTGIDVVPRVHDVHGTSIDSKGKVELQMIKHNSRRHMSYGSDAKSLCCMDLNLRRHSLFDTATRAPKNKTTTRAPKIRLPRPSLSMRTSDSDLKDQRIHFFQAADRRVFCLDQLGRGFLLEADTPRMVMMPCLHRPKLEPIALYVPGAEPDFDDLDGGGGGDLFIMERRVAKPKPGGCGGFQSQFEALVYRKPSVSCSRFVPKTWRRERLPPPPPYIHGAADHSWLEISSYAVVGSQVCISVDGDGTYCLAVVSDTVMDTYSWSEVGKWTLPFQGKAHYAPELKLWFGFTDKDQNLAAADLSAMDSHSQPQLLDSWKELEPPKGWQQVQDPQLVSLGSRRFCIARFFRTGAAMDDCQNVTVLTGVEVVRGVNVFPGKVGDLRMVKHKSLCHKSRCGEDTITALFNTTIPVLQLKANRSESELPQDATAWADDHKNKIGTSPLPAPSINLRAMGFSTETCVLKPRETLVSSPESSASDANDRKNYNQAAASKPRKIRAIQLPQAIMNFGCSMDESSNWYLDCLPLAGHKVLCVDPSAGTVLFDVGMCQLETIPCFDVRKRQPLPCWVPLPSSADAAAAAGNGSFYIFESSPYQEELQGDDGARQQLSHQFVAFVYHSQSKSWQRQLLPPYVGDWVLPFEGKVEYVPELKLWFGICTEGRQLGAADLSTMDSQPQIVGTWKELEAPGHWRETKPPQLVNLGSGRFCITRFFCALLNPRSSVNPMVLAGYDAVEDFTVLTGIDVVPCVHDAHGTANDTISSGNGSKGKVELQMIKHNSRRHMSDGSDAMGFSRRFLNLIVDSRTIPGVKSLCCMDLNLRRHSLFDTATPPARKKTTTRTRAPKGNALAASNKIRLPSPSFNVRASDSDLTDQRIDFFPAAADQRVFCLDQAGRGFLLEADTPRMVMMPRLHRPKPEPISLYVPGAEPDFDDLDGGGGGNLFIMDRRVAKPEPEVVSGGGFQFEALVYRKPSYSGFLSKTWHRELVPPPPPYIHGGGAGDSCLEISSYAVVKAGSQICVSVDGNGTYCLDAASDTGTHCIVTDTATYCKDDAWSEVGKWTLPFRGEVHYVPELKLWFGFAAEDQNLLAAADLSAMDSQSQPQNVTVLTGVEVVRGVNILVKHKSLCHKSRCGADTITAVF >Sspon.02G0001780-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:5959480:5961300:1 gene:Sspon.02G0001780-1P transcript:Sspon.02G0001780-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVTYIGDSHAEVIDPPKNEEMLDVTELVGDHIQHSPKPNVASYGNVRELLECPVCLSAMYPPIHQCSNGHTLCSGCKPRVHNRCPTCRHELGNIRCLALEKVAASLELPCKYQNFGCLGIYPYYCKLKHESQCQYRPYTCPYAGSECTVAGDIPYLVNHLKDDHKVDMHNGSTFNHRYVKSNPHEVENATWMLTVFSCFGQYFCLHFEAFQLGMAPVYIAFLRFMGDDAEAKNYSYSLEVGGSGRKMTWQGVPRSIRDSHRKVRDSYDGLIIQRNMALFFSGGDRKELKLRVTGRIWKE >Sspon.03G0007860-3P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:7214097:7215596:1 gene:Sspon.03G0007860-3P transcript:Sspon.03G0007860-3P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSADAATPLGAWAWIRGYFTPATLFLVVNLVIGTIALTSRATQQRRRREHYYHDDAHAHGHHLQEEPLHAQMEQPGYGHYYHPEQTLYAAPPPPAPAPLARTSSVLDRLRSLGLYRFRSGDFPPEYGAAAAAPNYAQDVSAPAEEEETTATAHYARSRSEPAPAAGREDERRPAPPRVKKSGSEVRKSQVARAVPRTVEAVAENDDSVEEAVSGFRRGPSPLQQEYHYQEEYVPPPARARAPAPAPLQRTSSVLDRLRSFGLYGFLAPDQPAAAPVPGSDGFATPADEKRHAHYDRSRSEPAPEQGKKEKKQEAKSRMAKSGSETRKTPAPRPVDAGGECVDARAEAFIDSFKQQQQVVHHYQEEEYVPPPAPLSRTSSVLDRLRSFGLYRLRSGDLGPDLPAAAETDEKEKQEAAHYGRSRSEPAREQGKKKQEARMSKSSSSSAVEEEEAAETEQCVNARADDFINKFRQQLQLQRLNSLLNYKEMLNRGGGGGKQ >Sspon.08G0014780-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8D:57114342:57115462:-1 gene:Sspon.08G0014780-2D transcript:Sspon.08G0014780-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHYQQGRRQQVDEYGNPVPVSHGGIQGQQQGQAGGYGVAGTGSYDAGGYGGGQQAGYGPTGTATHDAGGYGGSGYPGYGATGTGVHDAGGPGGYGGATGTRAHGTHGVTGIQDGAGGVGHTGGLHGATGMGTHGTAGTGRHGEHGATGMHDTGVLGGGGHTGTGMPGHGTAGHGATGITGTGGGALPHGAEHKTGGILRRSGSSSSSSSSEDDGMGGRRKKGLKEKIKEKMPGGHRDNQGQATATGAYGGTGYTGAGPTTGTGTTGGGAYAPTTTGGTHEKKGVMEKIKEKIPGGHKDYDQHQHTTAGTGGGYGGTTDTYGTTTAEGTHEKKGFMEKIKEKLPGQH >Sspon.06G0001690-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6B:3751602:3755764:-1 gene:Sspon.06G0001690-2B transcript:Sspon.06G0001690-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGMCGSPFCSKDASASCGWEEIFNSSTCTNHILAIGIAALIVIVLAIQLLIRIAKSRQYARQLVALTSPLQLAAVVFNGCLGLIYLGLALWMLGTNFSQHASVYLPHRWLVNLSQGLSLILISFAFSIRTQFLGAAFYRVWSVLLTTFAAFVCCTSVVYMIADKVLGMKACLDVLSLPGALLLLVYGIWHVREDGNGGVESALYKPLNTETYDDMADSQSHVTPFAKAGIFSVMTFWWLNPMMKMGYEKPLEDKDMPLLGPSDRAYSQYLMFLENLNRKKQLQAYGNPSVFWTIVYCHKSAILVSGFFALLKVVTLSSGPVILKAFINVSLGKGSFKYEAYTLAAAMFVIKCFESLSQRQWYFRTRRLGLQVRSFLSAAIYKKQQKLSSSSKLKHSSGEIINYVTVDAYRIGEFPYWFHQTWTTSVQLCIALGILYNAVGLAMISSLVVIILTVICNAPLAKLQHKFQSKLMEAQDVRLKAMSESLIHMKVLKLYAWETHFKKVIEGLRETEIKWLSAFQLRKSYNSFLFWTSPVLVSSATFLTCYLLKIPLDASNVFTFVATLRLVQDPIRQIPDVIGVVIQAKVAFTRITKFLDAPELNGQVRKKYCVGNEYPIVMNSCSFSWDENPSKPTLKNINLVVKAGEKVAICGEVGWGKSTLLAAVLGEVPKTEGMIQVCGKIAYVSQNAWIQTGTVQDNILFGSSMDRQRYQETLERCSLVKDLEMLPYGDNTQIGERGVNLSGGQKQRVQLARALYQNADIYLLDDPFSAVDAHTATSLFNEYVMGALSEKTVLLVTHQVDFLPVFDSVLLMSDGKIIRSAPYQDLLAYCQEFQNLVNAHKDTIGVSDLNKVGPHKANEILIKGSIDIRGTLYKESLKPSPADQLIKTEEREMGDTGLKPYILYLRQNKGFFNASLGVLCHIIFLSGQISQNSWMAANVQNPDVSTLKLISVYIAIGISTVFFLLFRSLALVVLGVQTSRSLFSQLLNSLFRAPMSFFDSTPLGRVLSRVSSDLSIVDLDVPFGLMFAAGASLNAYSNLGVLAVVTWQVLFVIVPMMVLALRLQGTGGAPPISGDINQEHLL >Sspon.07G0035050-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:85106707:85108623:-1 gene:Sspon.07G0035050-1C transcript:Sspon.07G0035050-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding HAGGRAVRAASRGDRRGYRHRRSALHQRPRLQVATQGPATATFFHHPKKRVTL >Sspon.04G0015480-2D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4D:66830016:66831571:1 gene:Sspon.04G0015480-2D transcript:Sspon.04G0015480-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DEAD-box ATP-dependent RNA helicase 41 [Source:Projected from Arabidopsis thaliana (AT3G02065) UniProtKB/Swiss-Prot;Acc:Q3EBD3] ADLPVKERCFEQREALPGEPRCVVCGRYGEYICDQTDDDICSVECKTILLVQITAKTKPAVKAAQRVNLPLGDESFCIKDINFPNIPTLADSRISSLRSKLDICVKGDAVPDPIMCFSACGLPEKLVHNLETAGYCMPTPVQMQVIPASMSNRSLLVSAETGSGKTASFLIPIIAHCSRVRSQECTSNQGPLAIVLAPTRELCLQVEEQAKVLGKGLPFKTALVVGGDPLPQQIYRIENGIELIVGTPGRLIDLLMKHNVDLTYVSVFVLDEVDCLLERGFRDQAMQIFQSLSHPQVMMFSATLHSEVEKMSNSLAKNVISISCGNPNRPTKSVKQVVIWVESKKKKQKIFEIMKSKQHFKPPAVVFVSSRVGADLLSEAITVAIGLEVVSIHGEKTMKERRENLRRFLTGEVSVIVSTGVLGRGMDLLKVRQVILFDIPNSIDEYIHQVGRASRMGEEGMAVVFVNEEDRRIFKELVQVLKTAGAPIPRELANSRYTAGVYVGSERKRKLSSRSRS >Sspon.03G0026500-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:8021282:8022070:1 gene:Sspon.03G0026500-2C transcript:Sspon.03G0026500-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MANKLATSLLATCFAAAVLLALAAPAVLAGDPDMLQDICVADYKSLKGRKPENVTANDFFSNVLATPGNTGNPVGSAVTSANVERVPGLNTLGVSVSRIDYAPWGVNPPHVHPRATEVIFVLQGSLDVGFVTTANRLYARTVCAGEVFVFPRGLVHYQRNNGGGPAAVLSAFDSQLPGTQPVAETLFGASPPVPTDVLARSFQAIKSKFPPK >Sspon.07G0000060-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:19943782:19954171:1 gene:Sspon.07G0000060-2B transcript:Sspon.07G0000060-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAHLCRRRLTIPHSPILAAAASGKNPIHSNHARALLSQSYSSSSAVEAAPDRKPCPTTVSYLVSCGVSPAVAAARKIRIRDTARADAVRALLREYGFSDADITRTVRIEPLLLTFDPDRTIRPKLDFFLSLGIQPRLLRTEPHILARSLDRHIVPCVEFLRTILGSDDNIRIAVSRVPRALMADLDSTMRPAVEAFLSQGLSKEAIAKLFMIHMGMIKTSTERIREAFHDLKALGFRVTDTGFLYAFRVICSLRRETWARKVALFQSLGVSEADLLRAFKTQPTILLVGEETMKKKFRFFLDVLKVEIDDVMAQPLALALSLEKNIMPKCAVLSVLMREGKIERTQNLITHCFPILRSSRRVSNKGCSLQLTQFHLQDHHRQEFLYQFK >Sspon.02G0022590-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2B:75330705:75331577:1 gene:Sspon.02G0022590-2B transcript:Sspon.02G0022590-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ethylene-responsive transcription factor RAP2-11 [Source:Projected from Arabidopsis thaliana (AT5G19790) UniProtKB/Swiss-Prot;Acc:Q6J9S1] MELQFQQPQSHPQHQQQYSSYQPPAATKETKPRTRTKCAGGSGGGGSSKFVGVRQRPSGRWVAEIKDTTQKIRMWLGTFETAEEAARAYDEAACLLRGANTRTNFAAAGAHSSPLAARIRGILNHKRMKKNAASQSQQHTVNFFPAAAAYQHAAGNIARAAPAAAAASTTSTSTITTTTSSVSPSSSPSSSIVSFAMSSHGIRASILPAQSMAEEAYRPYLVSRGGEEFQLAAQQYERSWTLNTTSIPPSDGCDMANENACLMVTEVDKVKLEKKSSASPHGGMDRVQDKD >Sspon.07G0034420-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7C:72469609:72470624:1 gene:Sspon.07G0034420-1C transcript:Sspon.07G0034420-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKRNPPETYHVSVNKMRTLTRPMSISPLQGICSLQQPMPPLALRTAPLVVMVEVDPFELILTLALPTTAPPPNLEPAGRGPRGVALADAVEIQQPVSDSSHLHQQDSTMLAGTHHYSSTMTRFAQLAD >Sspon.02G0027990-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:120184769:120188260:-1 gene:Sspon.02G0027990-3C transcript:Sspon.02G0027990-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALRTAEAELTVYVHPSNAKRVRHAVNRQLSALLFTYDDRFDGVLLMHEVAFHLGNDKEGKPNKDENGEPKDESGKPKSCVKGKIKDGGGEPKDESGKPKSCVKGKIKEGGGEPKDKSGEHKTCVKGKIMNGLVPYFGVQVLANLLLFSPQPDMILEGKVEMLGKESIHAIVLGVFSVAIMSEDIREKFKFKRKSDGGKFVSRTDKKHVIKRGTMIRFSVKRYGLILVDTEMNCHITGSLIPPHTGCMRWLSVHDTEYASELKSDESRSRDTSINVEQNEEEHRILKSEDGMVKSERPYKSRKRRHIEE >Sspon.01G0019960-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1C:75409435:75411214:-1 gene:Sspon.01G0019960-2C transcript:Sspon.01G0019960-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding KYYVPSGGLKSSVKTRGVSRCHFSSSPHMGKLRSQNTGPPAAAASLRFAALLQSCGRTGDIRRGRALHARLLLTGAAATSTFLANHLITMYSHCADAASAVRVFGVVPRPNLVSWTTLVSGLVQNSMHHDALAAFAAMRRAHVAPTQFALSSAARAAAALSAPRPGTQLHCIGIRLGFDTELFVASNLADMYSKCGLLVEACRVFDQMPHKDAVTWTTMIDGYAKNGSLEAAILAFRDMKCEGLVGADQHVFCSALSASGGLKDGWFGRSLHCCIIKAGFELETVVRNALLDMYAKSGDLESASRVVKIDPGGWNVVSGTSLIDGYIEADHIEEALETYTELGRQGVEPNEFTFASMIKGCAMQDLLEQGAQLHAQVIKTSLISDSFVGSTLVYMYGKCGLISLSLQLFNEIGYHTEVAWNAVINVYAQHGHGWGAIQAFDRMISSGIRPNHITFVCLLTACSHAGLVDEGLEYFYSMKDAHGIEPKEEHYSCIIDMYGRAGRLDEAEKFISEMPVKPNEYGWCSLLGACRMRGNKELGEVAAQNLMKLEPNNTGIHVSLSGIYASLGQWEDVKAVRKLMKDSSIKKLPGFS >Sspon.01G0005900-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1A:15313244:15314399:-1 gene:Sspon.01G0005900-1A transcript:Sspon.01G0005900-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding EARRRRLFTASAFVGPSSVPGTTVLAASPRLLQSLSDTPTTGGEARNLRLAVAAGHRLTGHRPPAATAEADEMHTHPHTQHFRVFNHRSREQLKELASISSARSSAGGARCTPKLSCSIGRRHHTSLLHPQSTPLHSANGELPRGLEQDRAQAAEHSTLDWSLSSLNPPTRRHVTMVMRQ >Sspon.02G0033320-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:6956503:6962853:-1 gene:Sspon.02G0033320-2C transcript:Sspon.02G0033320-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSFSSCDATGVPFTLLGALITAGPAAWPACVGGGRAFLRDYARRGTNALLWAGLLAVTWVLLLRVAALLRLWALGSRIPGPRALLADPGLAAVLRDGSDITGFLSKLHGRYGPVVRLWVGPSQLLVSVIDPTLVKEVLTKAEDKLPLTGRTYNLACGKLGLFVSLFQKVKSTRDSLKIFLDEKLTVGAGQSSFKIIDAVLNRINTIMSKDFMDARSFSQHMAFNIIGATLLGDAFFDWSDAAAYEELLMLVAKDGCFWASYAIPPFWRPSYRRYRTLCAKLKILTESVIRKSRQNSSLNHFDQRSCLKSEGTDPNTHVLDNIMASHCLHGAAGGPLNSEEEIFGNIMGLMLHGISASANLIGNILTRLVLFPELQDQLHAEIVSVCNESSKLEVDDLLRMQVLLATVCESARLLPAGPLLQRCSLKHDLKLGSGITVPARSILVVPLHLVQMDASVWGDDAYQFNPHRFLKKDVDLGEILGAPKESNRINIFSECAKTESFLPFGSGSRECVGQKFVVLAISMLIASLLRSYEVQPHPSLSKEMDTAVDSSHLHLPNPKIILTKRMI >Sspon.07G0004650-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7A:12026574:12026978:1 gene:Sspon.07G0004650-1A transcript:Sspon.07G0004650-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPSGKKKLEKYRFYKNTSPRSRSHASMAVFQPPLLTPPPLCCCSHACLYPRFLKLPPKFSNGACSTAARPSDRSELLVGKSGALAWRAAGRQRRRRLGLGVTGAGRGPFFGGGGRRMDKGTTRVVGNLAFAAS >Sspon.05G0001730-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:4142643:4143993:1 gene:Sspon.05G0001730-3D transcript:Sspon.05G0001730-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Naringenin,2-oxoglutarate 3-dioxygenase [Source:Projected from Arabidopsis thaliana (AT3G51240) UniProtKB/Swiss-Prot;Acc:Q9S818] MAPVSISAVPFLPTAASRESTLRASFVREEDERPKVPHDRFSDEVPVVSLQGIDGARRAEIRDRVAAACEDWGIFQVVDHGVDAALVADMARLARDFFALPAEDKLRFDMSGGKKGGFIVSSHLQGEVVQDWREIVTYFSYPVKARDYSRWPDKPAAWRAVVERYSEQLMGLACKLLGVLSEAMGLDTDALANACVDMDQKVVVNFYPRCPQPNLTLGLKRHTDPGTITLLLQDLVGGLQATRDGGRTWITVQPVEGAFVVNLGDHGHFLSNGRFKNADHQAVVNSERSRLSIATFQNPAPDATVYPLAVREGEAPILDEPITFAEMYRRKMARDIELAKLKKQAKAEKQLQKSAKEFAAPNVKEFTVLTAKEFAVPNAKPLDNILA >Sspon.06G0019390-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6B:1643740:1647935:1 gene:Sspon.06G0019390-1B transcript:Sspon.06G0019390-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLPRDKYGRIAPPPTVDRHALRPMASSDVGGPARQSLQLQLRGAVRTELRMHMTYTQLTAPLVPSRGAGSMSMRSCGPLGWPGKACGHGRAACYQPVSSLLERKAGPPPGARRPGVFSHGPLGWAGNAGSRAACHYPSVSSPATSDTHQMAILERSHSWYSTCHETNGLRGQKKFPRFALCSSAGAPGPTLPRGTRIPSHEGTRRENPRNPTGPTSSCLPCPAFAAGLLLSPPMPSANAVANGPAESPEAVAAAAPKTKPFPSPSPAAAATKGRGLRRWRRIRRDHERPRDSTGGGGEGDAQLHKRWLPLAAAGAPKGKHDASAASESSTASVESRLIPPPPGSGPGLGFSVGAGNSEGGSSRSSSAPRVPLPRCDHVSVVLSPRERDRPRPRAAASISTAADFSRSSVESDRRSSNAVKARQQQGAAGLNGVRRIFSGCRGHGDEEQLSQEVRSTGRCRGNGSSVVGRSVRSSAGEESVGNGGNGRMYWGADPCNESILVLQRAQEALENETEKITAIGKEPSDEFDVSDDEWSGSVHLEEPNENLTVKIKHLESRLEEASALIKEKASRIYELEAIRGQMQPGKTTIESTNLLLSQCELDQLYQEKMESEIQCIILTRAYQASATLAEDQMTLYQTQKSLSEDYKLLGLKLRHTESRAMVLEEMAEKLQLKCKELSNSSEVLQLRYKASRVSLFCFVQLLLLCIAMGTYLMRLAPSSTKVVPT >Sspon.02G0045190-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2B:108402755:108403075:1 gene:Sspon.02G0045190-1B transcript:Sspon.02G0045190-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRLRELFLLSTSPCSQQQCQPASPPLQSNPSSAAAAAAAAASSSPFPRSSSQIRLKNPLPEPRTVAANARHRPPHRPESSAAHPRQATTPQSSNLSPKNRRKAPK >Sspon.03G0030560-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:21485132:21485737:1 gene:Sspon.03G0030560-1B transcript:Sspon.03G0030560-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MANHHAAAALLLIASLFVADAISRADARPTVRHDAHGQGYLADTVDTAAPTMSELEPTCNNCGESEPPEPKPEPPTCSTCDEPKPEPPTCSTCEEPKPEPKSELTCNKVHGVKEGETCYCLAQGVGLTLDNFLSFNPNICCDNLFIGQWVCLEATSGCHD >Sspon.01G0002020-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:5879578:5882146:-1 gene:Sspon.01G0002020-1A transcript:Sspon.01G0002020-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPLPPDPIEPCAPAPAMDAALPAAVVATILSRLDVRSLLLAAAACRGLRACASHALAFLPSFHLLEVALTHELLRPLLPLNPSLRSLRLDCARLEDAAIACLARPGLHELLLLNCDNISGRLLCELGTTCRDLRVLSLSSLGARRGLVVNFSDLQELLNGCSQLESLRLALDFSTFDDPNFGHVWASASESLSSLEIGYIPMTMLLELLAAVTEAQQCMDYVKAPVFFPSLQKLCLAVDFITDHLIGSISVALPSLTHLDLQDAPIVEPNSSSDLTNAGLQQINPHGKLKHISLMRSQEFLVTSFRRVNDLGILLMADRCSNLESVCLGGFSRVTDTGFRAIIHSCSGLHKLRVSHGSHLTDLVFHDIIATSLCLTHVSLRWCKLLTNVGIERLSCNKDLNVLDLRDCRSLGDEAVRALSCLPKLQTLTLDGTDISDQSLKYLGLGTCPLTSLSLRGCRKLTNDCITLLFAGPVKQSLQVLDLSRIPSITDDGIMLLARSRTPLIELRMRENPKIGDASVMALASMQLDGGTYGSSLQLLDLFDCGGITPLATRWFKKPYFPRLRWLGISGSLNRVMVDALSRSRPFLHMACRGEELGTMLWDTSDWYRHNDDDLDELEQWLLEGEPVSDDDTIMEQ >Sspon.02G0009770-3C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2C:30176482:30178002:-1 gene:Sspon.02G0009770-3C transcript:Sspon.02G0009770-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RPD1 [Source:Projected from Arabidopsis thaliana (AT4G33495) UniProtKB/TrEMBL;Acc:A0A178V474] KLKTPPLRKRPRTKCGLYQLSPAKGLTQPNTSSTLTGEVSHLPLAESHPSLDAPPHSSPSAAAAGGRTGGGDCDALFLQVHVHPSEAAARPRPCLRRHHGDPEARPPLPRPLLPPPLRRRPHRTVPRRRKRRRVRPLHPLGALARRQLRLAPLDAGRFLLRHAHAFHLFLHPVHRMLHIRLTPRAAAALGAEADAVAAALPGASVLRLRKLLLLAPPHRRLRLEHIHLLRRDLGLPDDFAESVIKSNPSLFRLTPDGFVEFLPSPTDPPDLTIAAVERARERHYREHRAPGSAEEDARFAFPIRFPPGFKIGKYFRIAVWKWQRLPYASPYADVTGHDLRSLEAKRRMEKRAVAAVHELLSLTVEKRTTLERLALFRDALGVPKKIKEFLLKYQGIFYISTRGNQGKLHTVFLREAYYKGELVEPNAIHAARRKLEELLMISPQRANLDRMFTSMGRGWDELGGGRRGGSELRDEFLGDGGGRKKNADADGAESGDDSGVESLYIE >Sspon.02G0043180-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:89631613:89645044:1 gene:Sspon.02G0043180-1B transcript:Sspon.02G0043180-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPATVASSSSSSPWGVTASTSWSGISSRRSSGSYLYTYSSESATWSNRLSVGFIRYPARMPTVRVRNALYFGFAFSNTILKYDLQSGQISRMKLRTRCVYHKPKVFTATEGGELGIATIRDYKLYIWSREDTRYSTSRWMQTRVIKLQRLLPVDAFLGSPEPYLAGFADDIGVFFLWANNVVYTVHVKTCEVKKLYKGHIYTIYNEHVEEILLRFSPQHPAFLFRAALVCKRWCRLISSPGFRRRYREFHRTPPLLALLVNGEVDSDGSSVSRFVPGAAFCLPLFATRSSRVLDARHGRVLLRHVGQEAAAEAAGVDLLVCDPITKERRMVSIPSPRVLCLAAAVLCSATPDAGACDHLDCHRGPFLVVFVGCLRLDRRTFIYTYSSETATWNGPISTQLSISSTPRMTSKCVGNALYFGFPMSDTILKYGLQSGQISRIEFPTECSFNQSNVFITTEGGGLGIATIHHYALHMWSRDDAVGWMQTRAIEIQRLLPVDALLGSAEPHVAGFADRFGIVFLLVNNWLYAVHLTTYKAMKLFRGVGISINSVVPYMSFCTPGMPPPPATLMEELIELILLRLPPDEPASLFRACLVCKPWCRLISGPRFRRRYREHRTPPMLGFLCGRLSSDGARTRFVPTSAFCSPLAERRCRLLDARHGRVHLERYPDGILVVWDPITDEQREIPCPS >Sspon.05G0012050-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:35146451:35147838:-1 gene:Sspon.05G0012050-1A transcript:Sspon.05G0012050-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGGGGVEQPMQMVLRVKHPSSLGSSAGDEDEGEGSSRSALSVFKAKEEQIERRKMEVREKVFAHLGRVEEESKRLAFIRQELEGMADPTRKEVESIRKRIDTVNRQLKPLSKSCVKKEKEYKEVLEAYNEKSKEKALLVNRLIELVSESERMRMKKLEELNKTVDSLY >Sspon.06G0006940-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:23927186:23932417:-1 gene:Sspon.06G0006940-4D transcript:Sspon.06G0006940-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hydroxyproline O-galactosyltransferase HPGT1 [Source:Projected from Arabidopsis thaliana (AT5G53340) UniProtKB/Swiss-Prot;Acc:Q94F27] MQIREGPARRQAPSAAGALRSPMSAMMLAMFATMASFYVAGRLWQDAQNRVYLIKELDRRTGQGQSAISVDDTLKVVACRQQGKRLASLEMELAAAKHEGFVGKYNPETNGTRSGKKPLIVIGIMTSFGRKNYRDAVRKSWLPTGSMLKKLEEEKGIVVRFVVGRSANRGDTFDREIDEENRSTKDFLILDDHVESDEELPKKTKSFFANAADTFDAAFYAKVNDDIYINVDTLSAMLETHWDKPRVYIGCMKSGEVFSDSTHKWYEPDWWKFGDGKSYFRHAAGEMFVISRAIAQFISINKSVLRTYAHDDVSVGSWMIGLAVKHVNEAKLCCSSWPSGAMCSAL >Sspon.02G0015180-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:40027872:40038542:-1 gene:Sspon.02G0015180-2B transcript:Sspon.02G0015180-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRGRARRPVPGSVDIRAGALLLLLLLLLASAVLQAAASSSEAVDYGAALSKSLLYFEAQRSGRLPHNQRVPWRGHSGLTDGLQQGVDLVGGYYDAGDHVKFGLPMAFTVTMLAWGAIDFADDVAAAGEWGHTLEAIKWGTDYFVKAHTEPFVYWAEVGDGDTDHYCWQRPEDMTTSRQAYRIDKDNPGSDLAGETAAALAAASVVFRRSNPHYSHLLLHHAQQLFEFGDRYRGTYDSSIAEVRSYYASVSGYQDELLWAALWLHRATGRDDYLRYAVDKADSFGGVGWAMTEFSWDVKYAGVQVLAAKLLLEGDPGALKHRSVLEQYKAKAEHYLCACLGRNGGNGSDNVERSPGGMLYVRQWNNLQYVSSAAFLLTAYSRYLSDSDASSGGGLLRCPAGGAPAAPSDLLALARSQADYILGRNPLRLSYMVGYGRRYPVRVHHRGASIVAHKANSRFIGCMQGFDDWFGRGRPNPNVLAGAIVGGPNCRDEFRDDRGNYMQTEACTYNTAPMVGVFARLHRLATAEGCRRRGTADEAECR >Sspon.01G0062790-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1D:107348918:107349244:-1 gene:Sspon.01G0062790-1D transcript:Sspon.01G0062790-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding QVVHHPDAEGEDRHLAEPHLGVQQRADEVADHSEVAGQALDKEEACDPRREEEVDTDGVVELASDHLEVEDDLEEVDEGDKRHELGGDPPERVVHTAGSRAGTPSTAQ >Sspon.02G0007370-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:24249378:24254478:1 gene:Sspon.02G0007370-2C transcript:Sspon.02G0007370-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MELTPSSRSVLSSCNRMAARAPCMKAFAGARRKEKGVVCILSGSDEKDVVQRRIRRRAAFSLLLASPALSVAFSAYGKSKTMNPYDERRLLQQNKKIQEANRAPDDFPNFIREGFQVKVVTTDNYITRDSGLMYEDIKVGTGDSPKDGQQIIFHYVGYNEAGRRIDSTYIQGSPAKIRLGNGTLVPGFEEGIRDMKPGGKRRIIIPPELGPPVGPSTFFSAKQFEVFDVELLAVQDCQRRTIAFYSDVVCS >Sspon.04G0023570-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:13813305:13817167:1 gene:Sspon.04G0023570-1B transcript:Sspon.04G0023570-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial import inner membrane translocase subunit TIM13 [Source:Projected from Arabidopsis thaliana (AT1G61570) UniProtKB/Swiss-Prot;Acc:Q9XH48] MDSFSSSLPSSGPGANPDAVMEQIKAQLAQAYAQEFLETVGNKCFDKCVTKPGSSLSGSESSCISRCVDRYIEATGIVSRALFSSQR >Sspon.05G0020120-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:61433652:61437204:-1 gene:Sspon.05G0020120-2C transcript:Sspon.05G0020120-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GPPRRALPRGDAPQRRLRNLRRRRRLRGRAGGGLRRSQDLGDEQPRGKVRRHTSFGAEASRRMI >Sspon.08G0021250-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:35436018:35438763:-1 gene:Sspon.08G0021250-2D transcript:Sspon.08G0021250-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVTYNIRNVGLIPGVFGGYVIAELQVTKRSNRAELFRLISGDMIRQWFETELDYPLSTLERDCDPNDLLHPVLSFHDLPPGCYLSDARPFLYTIRCICVSENMLPYVGTTRDCHPDGCLGEKKVVMWTWIPDPSGGHDEDAIEWKWHKSYETDFKDIWNDGSYQATRLPALVPQIVLVSPRNHNVVYFFLNKIFFGVDVPSHRVVHFVKDCNKLEAPVPRLCVLPWDLPSWIHGLLNPDISTASLKVSWRILFLFQNKADHHQHLKGLVTDLRGMKQGAKVIS >Sspon.05G0025340-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5B:23239937:23240318:-1 gene:Sspon.05G0025340-1B transcript:Sspon.05G0025340-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSPSRPHGRAAPPRTQLVELGSVERRGNTVSDLKKDGSAAYGNCPCTAAISSPCRFRPSDD >Sspon.01G0034970-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:6574801:6580273:1 gene:Sspon.01G0034970-1B transcript:Sspon.01G0034970-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIVVAPEVAAALVRRGAVVALESTIICHGMPYPKNLQTAMEVEAIVRDNGAIPATIAILDGVPHVGQFSATSRFAVASGGNGATTVSATMFFAHKETQGVTVAAYKTNEFPAFFTEISGCQVPCRVDSPEECAKIIHANKNLHLGSGILIAAPIPKQHAASGKIIESAIQTALKEAEDKRIIGNAITPFMLERVKELTGGSSLEANIALVKNNALIGANIAFDLSNLQKREINRMGRSAL >Sspon.03G0042760-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:39136840:39143484:1 gene:Sspon.03G0042760-2D transcript:Sspon.03G0042760-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MANHPPYPCSHDPRLEHVQAQTQDTIKHGAMPPSLVAPPGHVPMPQSCRVVSQTRRLLPFASADLTRRGSTPICSRRRIRRETQHDIFNAKGAMYAVVLFIGITNTTSVQPVISIERFVSYKERAAGMHSALPFAFSLIFTKVLHHFRLASPKVGYSRIPLITANSQMHLAYHSSKIQIFEKLVQGWIQHGC >Sspon.01G0017320-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:63443684:63447480:-1 gene:Sspon.01G0017320-1A transcript:Sspon.01G0017320-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LAVGNIVRRVLHIIKEEDISSTAVGIEGLSVTVDSDDEYDSEHDDRPALSAAVLAAHARNALRAPSLQTLLEDIPVSPEISRTASSAGDSDGKTGDKSSTRKLKHDVIAAIGDLIDEIDSCYEPISEQAVELIHQKYDLALAKIHHEVILTLGRSRTVKEFLYAAKEKKRSFRVFVAEGAPRYQGHVLAKELVEKGVQTTVITDSAVFAMISRVNMVIVGAHAIMANGGVIAPVGMNMVALAAQRHAVPFVVVAGSHKLCPLYPHNPEVLLNELKSPSDLLDFGEFSDCMNFSTQDGSPLLNVVNPTFDFVPPKLVSLFITDTGGHSPSYMYRLIAEYYSADDLVVRRKATS >Sspon.02G0037170-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:30216040:30223063:-1 gene:Sspon.02G0037170-1B transcript:Sspon.02G0037170-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTPLLLPLLVTLQLFTASSPAVASSHISVVISQSGLDFAKDLLVSRAVATLTPLSVPDIERTMSTVVGTVRMAASGIVLDGLAVTNSTVAVGDTGVVVAASLASANLTMEWNYSYSAWIVTISDSGNASIQSRVHDAAKLSGAEGTSALQMVYEYLVEGMEVGVSMVMKNQNGSIKLSVTECSCYMEDLDITLNGGASWFYQVFIDSFSNHIRSSVENTIKNKVMEGALKLDSFLGNLPKKIDLDSIAAMNVTFVNDPLFKSSSVEFDIDGLFIPSDEAASRDMLLGDTEFALPLGSSSRMLWISLDEDVFNSVSALYFKAGLLQRMVDKVPEQFLLNTASWRFLVPRLYREYPDDDILLNISAVSPPSVRINVGRIDAMVDLDVTVNVLDFGEIVPVACISVSVAVSGAAAVSENNLVGRVELDYFSFTLKWSKVGKLHTSLVQTVLRILLKNLFVPYVNSYLEQGFQLPIIKGFSVIDAYVLTSYSRMIVSCNVAFTEPEVLSPVQESKTNEDLSHEVSLLPKFWLGHYFDWAVLAPNQSESGPASRYPPAELWSSPKVQNPEPPRRRRSPSATRHRSSRLPNSQPGNDDRLSLFSLPSLRVLSRTSARGSRFQTLAARKPVESSSTATSGSRRDGGKGGGLLSVLDRALADEEEYRRARAQVQRKGVEVEGYAIEGISVGGHETCVTVPSLNVAFDIGRGPQFAVSQDYLFITHAHLDHIGGLPMYIATRGLYNLKPPTVFVPPCIRDDVEDLLQVHRRMSQIELKVELVALDLGETYEIRNDLVARPFQTYHAVPSQGYVIYSIRRKLKKQYAHLKGSQIMKLKQSGTEITDTILYPEVAFTGDTKSDFILDPRNADALRAKVLITEATFLDDHVDVEHAREHGHMHLSE >Sspon.06G0001200-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6B:2011332:2011583:-1 gene:Sspon.06G0001200-2B transcript:Sspon.06G0001200-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEADAEVHEEQRWKRLKKAADDDAKEAATVNVNQFKGKNFLEDEKKSIFGTDKGGCATIEESIRRRAFYSQGGRDAEGNAFRR >Sspon.07G0004880-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:7757902:7762214:1 gene:Sspon.07G0004880-3C transcript:Sspon.07G0004880-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVDVGTRWPSEAVNAHHRVSARPEEQVPTTTERAALQVDEAPPSTFIAFLGLADAPVQQARDSNSVGVHPALLAPRRLTGDRGPRAVCSRLALHCFSRGGDVTTDQVVDAAAPALGAALEDGARRGQAGPQATWCTPAAAIGGAGRDRDGAGRLRFTASGSAQAQLPVRPTADHTSALRFASSKREGVLSLAPAANGRFGSDCGRRQVALARLYKSRPRVVAANSAAQQRTLPSSFPASSPSFTWFMASRAALLALLLSAFAASAAVAQLDEKFYSQSCPSEMVRALSVAPSLAGPLLRMHFHDCFVRGCDGSVLLDSTANNTAEKDAKPNLTLRGFSFIERVKAAVEKACPDTVSCADLLALMARDAVWLSKGPFWAVPLGRRDGRVSISNETKQLPPPTGNFTKLTQLFAAKNLDTKDLVVLSAGHTIGTSHCFSFSDRLYNFTGLDNARDIDPTLDLAYMARLRGKCPSLDDNTTLVEMDPGSFKTFDLGYFANVAKRRGLFHSDGALLTDPTTRAYVLRHATGGYKEEFFADFAASMLKMGAVDVLTGSQGEIRKKCSVVN >Sspon.05G0011710-4D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5D:35956343:35957130:1 gene:Sspon.05G0011710-4D transcript:Sspon.05G0011710-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKEKHHRDWILRRCCGAVAACILTLFAVVGFIVLVIYLALHPSKPSFYLQDVQLRSIDLSDPALTLDLQVTIASRNPNDRVGVYYKTLDAFTTYRDEPVTVPVSLPSIYQGHKDVSVWSPVMSGDAVPVSQYVADAMKQDIAAGYVLLHVKVEGRVKWKVGSWVSGGYHLFVTCPALLATSGSAFAGGAFAASAASGVGVPAGVNTTVSLKFTHPADCTVEV >Sspon.04G0004930-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:15224013:15226107:-1 gene:Sspon.04G0004930-3D transcript:Sspon.04G0004930-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribose-phosphate pyrophosphokinase 4 [Source:Projected from Arabidopsis thaliana (AT2G42910) UniProtKB/Swiss-Prot;Acc:Q680A5] MEVVGAAKQKAKKHEKHIHLFYCSECEELALKVAASSDAIELQSINWRSFDDGFPNLFINKAHDIRGQHVAFLASFSSPAVIFEQISVIFALPKLFIASFTLVLPFFPTGSFERVEEEGDVATAFTLARILSMIPKSRGGPTSVVIYDIHALQERFYFGDDVLPCFETGIPLLLQRLRQLPDAENITIAFPDDGAWKRFHKLLQHFPMIVCNKVREGDKRIVRIKEGNPEGRHVVIVDDLVQSGGTLRECQRLFAASLVVVVVVVVVAAAAAVAVGGVGKVLASHGAAKVSAYVTHAVFPERSYERFMSSNSAGPGDQFAYFWITDSCPHTVKAIGQRPPFEVLSLAGSIADALQI >Sspon.03G0012710-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3A:35154503:35159117:-1 gene:Sspon.03G0012710-1A transcript:Sspon.03G0012710-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GEIQEMRQINPLHTSHVFALSQACPGNLSGVILTPLDLCDGPSNSDALSIGYPGSHTSGLALPNNNCSVGPTLPTSNMNVRLPGSPGMVLGSNSPLPLNAPSRDAQRYGVPRPTSIQGDEQSRIHYNQMVNGRNLQQPGVPVPGVLPSGVDRGARMMPPTHGVGIMNGLNRGTPVTRPGFPRLGSPGNMSPNNGQGLKNTVNVHPGAIPGPGSTMLRPRDPMQMLRPGQNPEEHRQMMMPEFQLQVSQGNNHAVHFSGPPYSNTGASSPVQSFPVQQSQPHQMPQQSHMYGNTHVPRTQGTNQPNPQQQQAYAMRLAKERHIQQMMPQQRPLSGASAVSAVQNGSQMQQQSQGSATGVIPATQPQHKQQHPAQNAQGNPMLPHQPSATTSHKQKKQQGQQQPRQNQQQRNQGSQQAKLMKSLGRGNTVHQSPVDVTQASGISTSCKNQVPDKNVMQQGQGHFVGSKGSIPSIPQPGNQPKAYTSQMPLSPMQTPDISNQGAVKGSSNHTLLTSQQGSLHSPSQLAQQQQQQQLRYMNPSQNNIQRLIMQQNRHVNTDGRIELPVDQVLHNQVMSSASLARSTDSGSSGISSMSQRKQESAHDPSAITSSSQLASSPQDTFVGSDKLLPSSSQSMLQRQMSGGVPIPGHGIGGQVQLQQSRQQLQSQQQQRPVVQGGVYAHPSNSGPG >Sspon.08G0006380-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8B:16933232:16933464:1 gene:Sspon.08G0006380-2B transcript:Sspon.08G0006380-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRCSQCRRLPPRWHPRESLPLRTARFHSEPPARVVAVVFRPRTTGVSEKDPQAFVCHFYNVYFTHTAGGRIIGEK >Sspon.05G0008480-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:27497752:27502408:-1 gene:Sspon.05G0008480-4D transcript:Sspon.05G0008480-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEEGAMSPTRMLAEGHLRVATGGGTPADGGIAVRHLPHHHSTKKDGVGGKTEQDNHEGVDSLPSQELKKLANGNSKVRYTLHDVAFIYLLIWYAYLTMLCINANADSWYINDYRKLVVPIIEEYFSTGDVELASSELKGLGSDQFQHYFVKKLISMAMDRHDKEKEMASILLSSLYADLLSSYTISEGFMMLLESTEDLSVDIPDATDVLAVFIARAIVDEILPPVFLTRARALLPEFSKGIQVLQVVEKSYLSAPHHAEVVERKWGGSTHFTVEEAKRRIQNILREYIESGDIDEAFRCIRELSLPFFHHEVVKRALTFGMENISSQPLILKLLKEAAAGCLISPNQISKGFSRLAEGVDDLSLDIPSAKALFDKLVSTAISEGWLDASFGKSAAPDEEMQNTSAVKVKRFKEESGHIIHEYFLSDDVPELIRSLEELSAPEFNPIFLKKLVTLAMDRKSREKEMASVLLSSLRLELFSTEDIMKGFVMLLQSAEDTALDIVDAPSELALFLARAVIDEVLIPLNLDEISIKLRPNSSGSQTVQMARALLSARHSGERILRCWGGGTGWAVEDAKDKITKLLEEYNTGGDLGEACRCIRDLGMPFFNHEVVKKALVMAMEKHSDTSILALLQECFGEGLITINQMTKGFARVKEGLDDLILDIPNAQEKFGEYVELATERGWLLPTFASIT >Sspon.05G0002050-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:6253726:6255208:1 gene:Sspon.05G0002050-2C transcript:Sspon.05G0002050-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGNLRTITYFDYATLKKATRDFNQKNQLGRGGFGPGKLDDGRKVAVKQLSVGKSGQGESEFFVEVNMITSIQHKNLVRLVGCCSEGSQRLLVYEFMKNKSLDKILFGKKRLMLGLASPELLTVNNFAGGDGSPFLNWKTRHQIIIGIARGLQYLHEESNLRIVHRDIKASNILLDDKFQPKIGDFGLARFFPEDQTYLSTAGELTVKADTYSFGVLVLEIVSSRKNTDLSLPNEMQYLPEHQSKILELVDPKVQADGLDEKEVQQVCQIALLCVQPYPNLRPAMSDVVLMLTMKGDQSVPAPMKPAFLDRKSLKDKNVTSDTAMEMRSASYWMNTPSPMVDKPYDMSCGI >Sspon.01G0040140-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1C:74264910:74267571:1 gene:Sspon.01G0040140-2C transcript:Sspon.01G0040140-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCIGGSSSTASNKRKGKEIAVEVPPVAEEKQQLSKGKETVPESDGYESEWESDFESYSDPEEDEQEEEEEEELEEQQEKKKKPAWLETLLRTKFWDPCKEHGSKNRADQCMFCRKCSKVTCPRCTHSKPGHRRLKIRRYVYRSVVHASDMQQLGIDISRIQ >Sspon.08G0005060-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8B:12292613:12293577:-1 gene:Sspon.08G0005060-2B transcript:Sspon.08G0005060-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRWESISGISRKLKITDCNELFLGRLLLLLKSSLQELEIDSIKSIDDFMPGRYMDKWESISGTLRKLKITNCNQQFLDQLLLLFKSSLQELEIDGIKSIDDLRSNLHEMTRLEKITISSLDLQEAKGSNIIRKRLYVSGIPYVNTRQVALFTVRPKRGGWVGSSPIYIGQTEEEEEEHRLHFAAGSNTSCSSNFSLLYQYLDNEIQSLMIQNLEHIETVEEPSECSELAQYRQLRSLILKWSLLWTLLGSRGESRGERQRS >Sspon.02G0017490-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:50789975:50794814:1 gene:Sspon.02G0017490-1A transcript:Sspon.02G0017490-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMVTASMLPNGNSSSPKRQEQGGQQRSVAAPADPVVPLPNNNLAKDNRNSLTKVCMHLSLSLSLSLSLSLSLSEQNKMPNLQKEETSSGKGAASAGGVQERVKDPKTLRRLAQNREAARKSRLRKKAYIQQLETSRIRLSQLEQQVQVARVQGVFLGTGDQPGFPSAPSPVFDVEYGRWVEEHSKLMFQLRAALSEHLGDEQLQSFVNGGMAQHEELLHLKGAMARADVFHLLSGVWVSPAERCFLWLGGFRPSEVIKVMLKHVEPLSEGQILGIYQLQQLVQEREEALNHNMEATQQNISDIVAAPDVAPPPSWAICPSP >Sspon.07G0015070-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:54057394:54064965:-1 gene:Sspon.07G0015070-1A transcript:Sspon.07G0015070-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPPSPLYVALAAALILFSSLHTPAAAAAAADGDTLAAGQALAAGDKIVSLNGKFALGFFQFRQTLPGGGKGGGATTNSTVSSPGWYLGVWFNKIPVCTPVWIANRDRPITESELKVAQLRISTDGNKLIVTNNTSTDESPIWSSAVTNSTTNASSVHAVLMNTGNLALLPQTETMSSSGAPSSYLWQSFDYPTDVGLPGAKIGWNKLAGGNYFSRQFISKKSLVDPSPGSYAINIDANGFMQLTTRNSPFVRYWSWTSGRLEELVSALTALINMNPRTKGLLVPGYKDTTDQVYFTYSITNESASVFVPIDITGQLKLMLWSEAKSSWETIYSQPSDFCVTSAVCGPFTVCNGNSGPSPSSFCGCMETFSLTSPRDWELWDLTGGCARRTPLDCKTASNRSSTSSGSTDVFHPIAQVALPYNPRGIEGATTQSDCAEACLGDCNCTAYSYSNGKCSVWHGDLLNVDQADSNAISSQDVLYLRLAKSDFQSLNKTNKKTPTVVIAASVAASGLVVLMLVFMIWRNRFRWCNAPLHGIQDSGGGIIAFKYTDLCRATKNFSDRLGGGGFGSVFKGVLGDSTAIAVKRLDDARQGEKQFRAEVSSIGMIQHINLVKLIGFCCEGDKRLLVYEHVVNGSLDAHLFQSNATVLNWTSRYKIAIGVARGLCYLHQSCRECIIHCDIKPENILLDASFAPKIADFGMAAFVGRDFSRVLTTFRGTAGYLAPEWLSGIPITPKVDVYSFGMVVLEIISGKRNTPEVFSKSSYHVAYFPVQAIRKLHEGDLQSLVDPQLQEDLNLEEAERLCKVAFWCIQDNESTAKSDTLVAGEALTMGEKLISSNGKFALGFFQFQHQVPAAGSISKSPSTVTTNTSSPGWYLGIWFNKIPVLTTVWVANRERPITDTEVKLAQLKISRDGNLVIILNSATSTKSSIVLSITGNIVVNSTSNTSVILMSTGNLALIPNTTPSNPAVAPLWQSFDYPTDVGLPGAKVGRNKVTGFSRQFISKKSLIDPGLGSYSVEIDADGMLLLRSRKPPFVVYWTWTSGKLGELVSALNALLEMDPRTRGLLKPTFVDNDEEVSFTYTLLDESSSIFVPISITGQLQLNVWSQATESWQTVYAQPSDFCTPYAVCGPFTVCNGNASPFCGCMEGFSQRSPHDWELDDRTGGCVRNTPLDCIGSNTSTARSTDVFHPIAHVTLPYDPRRLQDATTQSICAEACLRDCSCTAYAYNNSICSMWHGDLLNVNQDDGNGIISQDVLYIRLAAKDYQSLMKTNRGMSRLVIAASTVGFGLIMLMLLLMIWRNRSKWCNASLHDIHVKRLDGARQGEKQFRAEVSSIGLIQHINLVKLIGFCCGGDKRLLVYEHMPNGSLDGHLFNSNSPILNWNTRYQIAIGVARGLLYLHKSCRECIIHCDIKPENILLDASFVPKIADFGMAACVGRDYSRVLTTFRGTAGYLAPEWLSGVAITPKVDVYSFGMVLMEIISGTRNSPQVHTSNSCHVSYFPVQAINKLHEGDLQSLVDPELQGDFNLQEVERVCRVACWCIQDNDFYRPAMDEVVRVLDGLQEFDIPPMPRLLAAITHNADASS >Sspon.03G0024880-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:60203114:60204301:1 gene:Sspon.03G0024880-4D transcript:Sspon.03G0024880-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aquaporin TIP4-1 [Source:Projected from Arabidopsis thaliana (AT2G25810) UniProtKB/Swiss-Prot;Acc:O82316] MLNLEDDIKNQPLRRLRLSPIRLPTYISASSAFALGHHREASDAGCVRAVLAELILTFLFVFAGVGSAMATAGSSSLWSKILRRERDHEYMQASWPAVALAHTLVVAVMVSAGLHVSGGHINPAVTLGLAATGRITLFRSALYVAAQLLGSALACLLLAFLTAGGAPVPVHALGAGVGALRGVLMEVVLTFSLLFAVYATVVDPRRAVGSMGPLLVGLVVGANVLAGGPFSGASMNPARSFGPALVAGVWADHWVYWLGWSMMASSWLRADTSRFLGMTTTSSLVGKICALP >Sspon.02G0021340-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2A:71780493:71780812:-1 gene:Sspon.02G0021340-1A transcript:Sspon.02G0021340-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ATTVNDERMYAHAKEVAEGMLGKAKVKIAPQTMGGQDFAFYAQRAAGAFFLIGVGNETTMERVRPVHSPYFVMDEDALPIGAAFHAAVAIEPDSLKLRSSFWSVRS >Sspon.01G0005210-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:12173119:12174275:1 gene:Sspon.01G0005210-2B transcript:Sspon.01G0005210-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:EDTS5 [Source:Projected from Arabidopsis thaliana (AT2G13810) UniProtKB/TrEMBL;Acc:A0A178VQ02] MGIKESEVFISDGAQCDIARLQQTLFGPNVTIAVQDPTFPVRAVSLRSGSAAGYVDNGVIVGQTGSADEAGKYAGIAYMRCAPENHFFPDLSRVPRTDVIFFCSPNNPTGHAASAAQLRELVDFARRNGSIIVFDTAYAWYVSEGQGKPRSIYEVPGAREVAIEISSFSKFAGFTGVRLGWAVVPDELRYADGSPVARDFDRIVCTCFNGASSVAQAGGLACLSTEEGRDAVRRVVGVYKDNARVLVDTFASLGKEVYGGTDSPYVWVRFPGRRSWDVFAEILEKTHVITVPGSGFGPGGEGFIRVSAFNSRDRVLEAAARLKKFLA >Sspon.02G0022840-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:87717402:87721511:-1 gene:Sspon.02G0022840-1T transcript:Sspon.02G0022840-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTSASPQPPASPSHPNPNPSTDADLRSDRPMPDADDGGSGAASPAPSPEKREEEEEEAPGKAAKRAEAEAEEEQPTPRKTRLPRACNNKPKPAPPPPPPERPRRRAAAAAAAGGADETPQCRVVTPLVSEPEAPAELPRWQLRCMWELGSVLNFLHVFRPLLNITAEFTAEELEAALITPNEILDDSIPPVTRMAMGRGTWVTVLCRKLKDWWHWVAEGDLPIIASHGTEIETYKTLEPAIRLVILKAICDIRVEQEDIRNFIDSSLKHGHDLSTFRKERIGGDSLGISYWYEDDEILGHRLYREIRRVEQVKKEPGKRSRGKGGSSAISVVSYQWETVASTFDEFDDVAEKLFSSRNRTEVSLGKKLKIEYLPEIEKIHKKKERLLKKQQREALLLDSYLTSDGLTTGRSLRDRKPVTYTFGKLKY >Sspon.05G0011020-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:22955995:22959833:1 gene:Sspon.05G0011020-3C transcript:Sspon.05G0011020-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEILSPSPPPSPCPLLRYGGRREQHHGEAWVHVAVGRSPEKTLGLLRWALRRFGCGRIALLHVHQPSPVIPTLLGKIPAAQATEELVRSHRKSEKEEMNKMLHTYLAFCHRAQVQTRLLVTENDQIHNGILSLVDQYRITKLIMGSAPDNCFKLKYGKESLIASNAPAFCQIWFVWRGRHIWTREASAATDNATPVQYQDDVMTTKRIRFSSYTNNTGPILDEGYHAREAVDLDQGVVSDYDRSIDYEAFGAHEANHFNRMSMSDWEDDTEAALNSTFWSDSSVHVDTLQLYSKEVLARNVKQVMMEADRSRKEAFAELMKRKETESKAANSDSAKKHEMEMREELEVVLVATRKQHEDLIKNKERAVSGLDSSMRRLAILDAHAEKIKLRIDEFSAELEVIQSSIESLYQKKLKMQKLENRHIDLDKGCTYSHDTLSNCVSNAFGDDLYSFREFTMSDMQSATCKFSESFKIWSQGRGCVYKGEIMNRTVMIYKLHCHSIESVRQFQQEVYILSKVRHPHLVKLVGACPEALCLVYEYLPNGSLHDLFSRSNSRPLPWKIRVRIVAEISDALAFLHSCKPQMIVHGNLKLENILLDTECHCKIADFGISRLFTDDMKDYPSGGSTSELKGSFPYADPEYKRSKVLTPKSDVYYFGIVILQLLTGKQEPAGLAGEVRRAMSCGKLSTILDPSAGQWPMEVAGRLAELGLRCSEDSSRDRPDLTPEIVRGLEQLHLMREERAPSSFLCPIMQASTIILHHCYLGNGYGEVMHDPQVCADGVTYEGWAIREQMETGQGTAPLNNLKLEHLNLTPNHALRFAIQDWL >Sspon.04G0001270-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:4797647:4800220:-1 gene:Sspon.04G0001270-1A transcript:Sspon.04G0001270-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSCASSTLPWTSASSSQPSAERRLTASRRAPSLVIVAQGKVKKYRQVILTDDIEAVGKKGDTMKVRAGFYRNFLLPKGKATLLTPEVLKEMQLEQERIEAEKKRVKEDAQQLARVFETIGAFKIPRKGGKGKQIFGSVTAQDVVDIIKSQLNRDVDKKLVTVPEIREIGEYVAEIKLHPDVTARVRLNVYAK >Sspon.08G0006650-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:20621795:20624230:1 gene:Sspon.08G0006650-1A transcript:Sspon.08G0006650-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIFTVTKLSEGPVRPSADTPSETLPLAWVDRYPTHRGLVESTHIYCSDDVAKTTLLPPAPAAGAAEADDALAPTKKEVTTKQKSPAAVVRGALADALVHYYPFAGRIVEDVPGRPAVLCSAEGVYFVEAAANCTLADVNFLERPLLLAKEQLVPCPTPDLWPVEPHNSLAMIQVTTFTCGGFVVGLRTNHAVADGTGAAQFLNAVGDLARGLPEPRVKPIWGRDRFPDPDIKPGPLPALPVLALEYIAFDFPTAYLDKLKSQYAASTGGKICSGFDIVIAKLWQCRTRAIDAASAGAGDVRLCFFASVRHVLKLEPGYYGNAIFPVKVQAPAEKVAGSSVVELVGMVREAKRRMAEECLSWAQGRTGGRDPFQMTFDYESVYVSDWSKLGFADVDYGYGAPMSAGPLVNCDLIASVIVMRAPAPLAGTRLLASCVTKKHADDFARRMREDLV >Sspon.03G0023660-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:56901210:56905071:-1 gene:Sspon.03G0023660-1P transcript:Sspon.03G0023660-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDARRWLPHGSGLQLLFFFLVVLLQAQASRGVASINGEGLALLELKVRVEADPHGVFQDWDPMDSSPCSWNLTGRELAGTLAPEIGSLQGLKFLLLPKNNFRGRIPREFGGLSALEVLDLSSNKLDGTIPEELGAMPLLKQLSLHDNQFQEGVQAIADDQAGCLSRKLGCWSLLYKSDFKDWISLSGLREKYNTNVPNFSEAHVMKNLQSFASAMRRRLLSETDNLPALLGNDAKSSDPENPKEIQRPVDVIPLGSGSFPAFPGIYGNALTPLLPEDIDATAVQQLSTEVAQSTDVDTSGTKYSKWAYIITIPAVILLIGLIVLIILVLRKRGRASVAPWKTGLSGPIQKALVTGAQKLNRLELEAACEDFSNIINTFPTCTVFKGILSSGVEIGVVSTVISSSKDWSRSAETCFKKKIDTLSRVNHKNFINLLGYCLENEPFTRMMVFEFAPHGSLSQHLHVKEFEHLDWAARMRVIMGIAYCLQYMHHELSPPVAIHDVRSDTTFISDDYAAKIADVGVWNELAAKAKAGKEDGSSRSEAPPDLPSNVYCLGALMIEIISGRVPDPDDHKPICSWASEYLTDKNYNKLVDASLKEHKDNELEAVCEVIQDCIDADPTRRPSMRDVVEKLQPPLGISPEAAAPRLSPLWWAELELLSVKST >Sspon.04G0011390-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:34069110:34072374:-1 gene:Sspon.04G0011390-3C transcript:Sspon.04G0011390-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRVAGRRLSSALAWRPAAAGTRGPFAGTLPGRDDDDTRDRRARFAIDSPLFAAARGFSAETLVPRNQDVGLAELPPTVAAVKNPSGKIVYDEYNHERYPPGDPSKRAFAYFVLSGGRFIYASLLRLLILKFVLSMSASKDVLALASLEVDLSSIEPGTTVTVKWRGKPVFIRRRTEDDIKLANSVDVASLRHPEQDAERVKNPEWLVVIGVCTHLGCIPLPNAGDFGGWFCPCHGSHYDISGRIRKGPAPFNLEVPTYSFLEENKLLIG >Sspon.06G0004390-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:10956978:10960360:1 gene:Sspon.06G0004390-2B transcript:Sspon.06G0004390-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMRTALTSLPARLRDPSSAAATGGLRLLSDGKGRVLSEEERAKESVYIQKMEKERLEKLKKRLEQEKSGADQGKPADADKKAEGSN >Sspon.03G0013080-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3A:36524945:36525310:1 gene:Sspon.03G0013080-1A transcript:Sspon.03G0013080-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKPLPEFLKEYDLPIGLFPQDATNYELNEDTKFLTVYIASPCEVGYKDSSVLRFSTNVSGYLEKGKMTHIEGLKTKILIWTKVTEVRTEATKVHFAAGMNKTRNRDAYEVVRDGVAVDKF >Sspon.02G0022300-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:75919342:75924710:-1 gene:Sspon.02G0022300-2C transcript:Sspon.02G0022300-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHCCPPSLLGVGPRLRSFLRDYDALQSLALALIYLQIVCALIGSLGALFNGVLVINLVIGLFAVVAIESSSQRLGRTYAVLLFFAVVLDVAWFILFSHAIWTITPDEKYGQLFVFSLRLALWMQIIGFSVRLGASSTTPTYFETNHEARNSFLSPRSDSVRRSSMADDILGGSIYDPSYYSSLFEDVRNNACNHQGDKQSGSNDSGSTSAGQSPRLKSFACRSLLANDVESGLRRPLNS >Sspon.08G0008500-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:31871275:31879980:1 gene:Sspon.08G0008500-3C transcript:Sspon.08G0008500-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMETKTHIRILPRDQHTPRCVSLSEEVVQVVGDGNCVKKAVAIITDRLKESLHRDRGPFRGRMNSPEPRISQEDEYLGGVQQMPAYEESLGRPDQIRNNISMEPPGYEFDSNGGKVIEHPDILYDDIVFRILCPNDKADGLVATRDGILEMLQADVGVDVRLSDIIAGSDERVVIITSREVNQMCI >Sspon.05G0015030-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5B:56538303:56539803:-1 gene:Sspon.05G0015030-2B transcript:Sspon.05G0015030-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding EIINFTLHYIADLDIPIKTGTFIEIRNGMINVSPIGRNCSQEERDEFEKYDKVHNIRPKMVSVLRERFTHLNLTFSIGGQISFDVFPQGWDKTYCLRYLEEFQEIHFFGDKTYKVGGNDYEIFVSDRTVGHTVTSPDDTLQQCRDLFMSK >Sspon.01G0011180-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:38068825:38070137:-1 gene:Sspon.01G0011180-1P transcript:Sspon.01G0011180-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTPAEELAPGLPTNPSEEPAPAPRLPTATPSEKPTPGLPPPKGPVDQPRRRRRPCVLLSFAAARDRFLRGRFLSAGLRPFSVRLPSPAGTSTVVHLWAPPRPARRPVLLLHGFGASATWQWAPYLRSLLAAGLDPIVPDLLFFGASSSTVPDRSDTFQARTVKAAMDGMGVRRFAVVGVSYGGFVGYRMAAMYPDAVERVVLVSSGVCLEEGDLAAGLFPVADVGEAAELLVPRRPAEVRRLVKLTFVRPPPIMPSCFLKDYINVMGSDHLEEKTELLHALINDRKLSDLPKINQPTLIIWGEQDQVFPMELAHRLESRLGENSRLVVVKNAGHAANLEKSKE >Sspon.08G0006130-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:16397731:16403721:1 gene:Sspon.08G0006130-2B transcript:Sspon.08G0006130-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKPAARSASTVSEAAAAAAESARRVTPMKLLVRVVEARGLPAVHLNGSSDPFVKLKLGKRRAKTAVVKRSLAPAWDEEFSFLVGDVAEELVVSVLNEDKYFSNDLLGLVRVPLSQVMETDDLSLGTQWYQLQPKSKKSKKKCRGEVCLHVSLSTRTHVSDESQSVPRPASDDLASSSDSPIERKTLSTTCSCIDLSAVSSIEPRASHSSFERFPDNILDLPARSSVEEAASEPGPAAADTDATPPNPSSVVEVLSRYFFRKPENAAPTVQHPTASDTDQPVDHQFQEPNVSSSEDREIPEKGTVVPESSLDELLKVMESKDQGSEMPANLPGGVLVDESYVAAPTELNSLLFSPNSDFWPAVAELQGTSGFQIEPWKLDSNESCVQRTLTYTKAASKLVKAVKATEEQKYLKAAANSYAVFSVVSTPDVPCGNCFKVEILYCITPGPHLSSEEQTSHLTVSWRVNFVQSTMIKGMIENGAKQGMAEGYAQFSEVLNQKLKVAELDDANSNKEKILASLHAQKETGWRLIVRFLGNFTFIFSVAIALYVIAHLHLSKPDVTHGLEYFGLDLPDSIGEVVVCAVLILQGQSIVKVTRRFLSAWKQRGSDHGVKAHGDGWLLTVALIEGTGITATGSSDLFDIYVVFTCNAKRKTSSIKFQTSDPKWNEIFEFDAMDDPPSRMDVAIHDSSGQCVIGHTEVNFLKNNLSELTDIWLPLNGKCDQASNPKLHLRIFLNNSRGTEVVMNYLAKMGKEVGKKIFEFDAMDDPPSRMDVAIHDSSGQCVIGHTEVNF >Sspon.02G0001870-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:6380025:6389757:-1 gene:Sspon.02G0001870-1A transcript:Sspon.02G0001870-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MACIKSAQRAALTALAPDAPYLAAGTMTGAVDLSFSNSANIEIFRLDFQSDSPDLPLLASAPSPDRFNRLSWSRPGAVEGDSFALGLLAGGLSDGSVAVWNPLSMISSEGKAEDAMVARLEKHTGPVCGLEFSELTPNRLASGAEQGELCIWDLKNPVEPIVYPPLKSVGSHAQAEISCLSWNPKFQHIVASTSSNGMTVVWDLRNQKPLTSFSDSNRRKCSVLQWNPDMSTQLIVASDDDNSPSLRVWDVRKTISPVREFVGHSKGVIAMSWCPYDSSFLLTCSKDNRTICWDTVSGEIISELPASANWNFDLHWYRKIPGVIAASSFDGKIGIYNLEFSGLYAAGDAVGAPARPRAPAPKWLKCPTGASFGFGGKLVSFHPVAPTQGAQASTSEVHVHNLVIEQSLVSRSTEFEAAIQNGDKSSLRALCEKKSQESLSDEERETWGFLRVMFEDGDFARTKLLTHLGFEPPQAPPASSTDELSQTLADTLNLDHATVTDNSDAQFLIDNGDDFFNNPQPSEASLAEEPVSTNGQQIEQEMSGDVVLSDPSIDKSIQHALVVGDYIGAVNQCLAANRMADALVIAHAGGSALWESTRNRYLKNSISPYLKVVSAMVGNDLMSFVSTWPLSSWKETLALLCTFARKEEWHILCDTLASRLLNVGDTLAATLCYICAGNIDKAVEIWSRTLKSEDGGKTYVDLLQDLMEKTITLALATGHKRFSASLSKLVENYAELLASQGLLKTAMEYLKLLGSDEHSQELAILRDRIACSTEENDNARSSVSEITGTPSPYFTNQPYTTPEHSQNVYQVPQPYNVPSNPYSEAYPQPPTGAYGYNDAYQPQPANMFVPPSTPVNSQQQPGPSPVPVPQHTVKTFTPANPAGLKNPGQYQQPNTLGSQLYTGAANQQYSSGPSAPYPSGPPTTFHQPVSPVQYQPAAPPVSSFGPSAPVPGTVPNQMFPHPAASNSTSRFMPSNNQSFAPRPGLSPAQPSSPTQVQAQPAPPAPPPTVQTADTTKVSAELRPVIGTLTRLFDETSKALGGSQATQAKKREIEDNSRKIGALFSKLNSGDISPNVSSKLIQLCSAIDASDFATAMHLQVLLTTSDWDECNFWLAALKRMIKTRQNFRM >Sspon.02G0029030-2D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2D:99687070:99687623:-1 gene:Sspon.02G0029030-2D transcript:Sspon.02G0029030-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHDGRSGALVDHGGRRVAHDGERSAGFKGTRRPAATSDGGSPGFVLPAIEVAVLQVATSHGDRGVVRSYAVTEETKAATDRMRKGAGSTINVFRCNVSYWVIAGSRRSQDQRGPTWRRR >Sspon.06G0020470-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:9267804:9268820:-1 gene:Sspon.06G0020470-3D transcript:Sspon.06G0020470-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDITDAAAAATAADVSGPPTLTKETNLFMQIVINPDGTVTRPEVPLVPASEVAGGGVISRDVPLDASAGTYLRLYLPSPSPAPAASASAKLPVVLYFHGGGFVILSLATVFYHSHCEAMAAAVPAIVASLEYRLAPEHRLPAAYEDAAAAVAWLRDGAPGDPWVAAHGDLSRCFLMGSSSGGNMAFFAALRTGGLDLGPATVRGVLLHQPYLGGVDRTPSEARSVDDAMLPLEANDRLWSLALPLGADRDHEFCNPVKALAPEALAGLPPRCLVTGNLDDPLIDRQREFARWLQDRGGAEVVVKTDVAGFHASELFVPEIAEVLFAAMREFVFTGDA >Sspon.06G0035710-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6D:81948024:81949102:1 gene:Sspon.06G0035710-1D transcript:Sspon.06G0035710-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SPRLDGLPDGTEATSDVPAGKLDLFYKAFDCLAAPFSAFLDAACAADDSKVDLLMVDSFHYWAAAAAAEHKVPCVLSLIFSATTLAQFGVPRVSLPPVAADAMPPLSILQRFALTFEKCKFVACRSCVELEPESMPLLSGIFGKPVIPFGLLPPSLPVPPEGHRGDTAALPLRWLDSQKPKSVVFVALGLGSEPPVTVDQLHELALGLEFAGAPFLWALKSPTGVSEADDILPAGFAERTRGHGLVAMGWVPQLDVLAHGAVGVFLTHCGWSSVVEGLVFGQPMIMLPFLDEQAINARLIEGKQVGVQVPRVGEDKSFSREGVLQGRSVPSCPGKKVGCEEDAKDCWWRREVPREVYR >Sspon.01G0054660-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1C:64217372:64217510:-1 gene:Sspon.01G0054660-1C transcript:Sspon.01G0054660-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding WTGSCMRGADTLFALIAWEIWKERNARCFRGANTEVQALMAGIKY >Sspon.08G0010170-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:44613367:44617215:-1 gene:Sspon.08G0010170-1A transcript:Sspon.08G0010170-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATPPGPPEEQDDGRQPHPRVPNGYVMIVVPNDGHELPASSSCNEDAGAADADAPEEEEEERPRPPGARATSSLWRAVVAVLALAALAVAGYAGGDAAGAAWRLLETREEDDGGGDEGPAGRGSFLLPLYLKPRHGIPQNSTGNLFPEGMYYTTVSLGNPPRPYFLDVDTGSHATWIQCDTPCTSCAKGAHPLYRPARSNLVAASDPLCEGVQRDNPNQCDYDISYVDGSSSTGVHVRDNMQFVSDDGERENADIVFGCGYDQQGILLNRLENTDGILGLSNQALSLPTQLASRGIISNAFGHCMTRDPSGGGYLFLGDDYIPRWGMTWVPIRDGPADFSDIRRAQVQQVNHGDQQLNVQGKLTQVIFDSGSTYTYFPNEALIKLISSDKGNVCLGVLDGTTIGYDSVIIVGDVSLRGKLIAYDNDANEVGWIDSDCTNPRRQSRIPSFLRRTLHNQLL >Sspon.03G0043550-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:81876539:81887141:1 gene:Sspon.03G0043550-1C transcript:Sspon.03G0043550-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHEGQMCVRCLMELLGLEWRASQDCRSCSFSNDRQELEDDFSSAITLLNEPLVAGSERFSFRLICMRSCKGTARLIAIPCIAPSIALSPPPHPSANRPALICSLGRRWGGRTSLKHRVPGTRYVVSCTSFATAGSDPSAVPPRFVPEASVTSARRRGLPPAARSRRERDFIHRSELKVVVSLTHAFGSCRGTSESSAALAWSWDGMIVVALVLRIQEDSSFLYCK >Sspon.01G0036010-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:16798848:16802754:-1 gene:Sspon.01G0036010-1B transcript:Sspon.01G0036010-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRLPTVDAGLLAAGAWKPRVATVRSRQPPAQRLCGAAGIGSCRLPWRGIGAGPSAGAARGRRALDGAAGPRAPRQRAGSAKEEEEDKGPARYIPTKVYFLSTRKVNHTVGPKYAVKYHTAAENALSHCYRSFLELLIKNGLESRRQLLLLCAFICSTQNVLEDFRVNRASDIWALAD >Sspon.01G0050970-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:10452691:10458701:1 gene:Sspon.01G0050970-1C transcript:Sspon.01G0050970-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FH protein interacting protein FIP2 [Source:Projected from Arabidopsis thaliana (AT5G55000) UniProtKB/Swiss-Prot;Acc:Q9SE95] MESPEPSSSSPVLLNIGGKKYATTVETLTQREPDSMLAAMFSGRHTLPQHPTTGKGMVFVDRDGKHFRHVLNWLRDGAIPVLSESDYQQLLREAEYYQLLGLADYINERLGWKKADSLEAELTRKDVIKSIQAQRVRFRGVNLSGLDLSKLDLSEVDFSYACIKNTDFSCANLYKAKFGVEALSSSFQNANLRECEFIGANLQGSILDGANLRSANLQDACLTRCSFIETDLHSAHLQTANLTQANLRGANLEAANLKGAKLSGTNLQDANLQRAYLREVDLRDTLEESVLLHLHPLPCPPYPAPFTRLWRTLDRSVNDLVADFELGLKTTAVGNYSRKLVEFCSLQALQIIASHDIGEKISDGSLSRFTFDMMLAWETPTPSDQQESIAKEREDRKEPLGENEAVMGDETSLFYSDIMPLLVNEEPTVGEEAYVWFGSVFPLACDVVNARFTFEALTATTANRLHYPAYDRFLKEMDKSFKFFQDLPTPTGVEFAEDEFILHMEGTAGTQRVVRHIGTTSWP >Sspon.02G0001410-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:5560060:5561363:1 gene:Sspon.02G0001410-2C transcript:Sspon.02G0001410-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVAAVLFVAAAAAGVGAAEVVDEFGGGASFIFGDSLVDAGNNNYIPTLSRANMTPNGIDFAATGGTPTGRFTNGRTIADIIGEMLGQADYSPPFLAPNTSGGAILNGVNYASGGGGILNATGKVFVNRIGMDLQVDYFNITRQQLDALLGRDKAREFLRKKAIFSITVGSNDFLNNYLMPVLSTGTRIRESPDAFLDDLIFHLRDQLTRLHTLDARKFVVANVGPLGCIPYQKTINRVGEDECVKLPNQLAAQYNARLRDLIIELNGNLPGARFCLANVYDLVMELITNYPNYGFETASVACCGNGGSYDGLVPCGPTTSLCDDRDKHVFWDPYHPSEAANVLLAKYIVDGDTKYISPINLRKLYSL >Sspon.07G0031850-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7C:34427297:34429975:-1 gene:Sspon.07G0031850-1C transcript:Sspon.07G0031850-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RARDRRRRQLLAVAQFLRTHVPAFHATTQGQLPVGLYSALNGMSINNNSGGCSDWFLDMGAKAHMASNSGILSSAPSTPVHRHIIVGNGQISIPRWRSSDVIPQEISIPSRVPRHHLQQLRRTLQQLSSPPPTARSGMRVLVTPIPTGTRMSRRRAPTPPRGEQAGDPPLPGHAATPSRQHPVPSNDPPPSPTPSPSSSTPAPMAPSPPPARQHPMITRARDEIRLPNPKYANVATTDAITPPPSSVRAALHDPEWLAAMQAEFDALQANGTWTLVPRPPHANVISGKWLFKNKFNPDGTLERRKACTHLRGMGFAAIGSDSSLLVYSHGAQAAYLLVYVDDIILTASTPSLLHDVINKLHQAFAIKDLGALHFFLGVQVRRDDDGFLLNKSQYTEDILEQAGMANCKPASTPVEAKPSAADGKPAEDSSFYRSITGALQY >Sspon.03G0026690-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:2218200:2222958:-1 gene:Sspon.03G0026690-1P transcript:Sspon.03G0026690-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMHNHLSRLLTRRLLLPVTLPAASSPYAAVLSTPPRRGYARRAKPPPPDFAAAASHAAAADSEAKATWQTEKLPAELPRPPTIPFQPRVANAVHLVGTVCAPVHVQQLPDGRFSAVSVLVHDHGINLPKFWVPIVFKDDLAQVAASHLKENDLVLANSLRFVDSKAVETDAILDEDEGFIEIVEAEKKVEAKKPTSKFPPRTVSGYRNKTDKLNKLWNDVISRPQDWIDNRPQKENGSRSAKYPDFKSKVSDEALWLDSAPTSVREKLDDLVFGNGYAAAGRKDKPFGTSTNWMKPRKSPDASFASKQKLEEDLWRDLVDNPANWWDNRTDKPTPKHPDFKNKNSGQGLWIGTKSPQWARDALPSLKFKGGSKGTRKETLLS >Sspon.06G0008140-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:42507047:42507771:-1 gene:Sspon.06G0008140-1A transcript:Sspon.06G0008140-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVAGLLTSALVKIVGDKLGSTIGQQANLVWNFSRDLEDMKDTLESMAAVLKDAERRSIREDSVRLWSALVKIVGDKLGSTIGQQANLVWNFSRDLEDMKDTLESMAAVLKDAERRSIREDSVRLWLKRLKNAALDISDIQLSPRLDLGSHRGLGDICAGRPRQIAVQ >Sspon.01G0050910-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:9651891:9655250:1 gene:Sspon.01G0050910-1C transcript:Sspon.01G0050910-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRVHGTGGVVRSSTRYVPHLVMILAQLCFVLMSFITEAAMDMELNSYVYVTYRHLLVAVLIWPFAYYFEKSPSLFEYTSPTFVTSMVNTVPSITFVILIILRMEIVDAKSLRGMVKIARTVVSLAGATTMTLYKGEAITSHWKTPIHIPGSGVVCHSWWRGPILALASCLCVLNQAVPNPLFANGMDVHDWRDLVSRVRGVDAAQAPRLDDRFSWPQVLCVFVTMFDPLAAIMAAMLAHFMFGENLYIGRYGIICIYD >Sspon.06G0024660-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:52397312:52398659:-1 gene:Sspon.06G0024660-1P transcript:Sspon.06G0024660-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLTSFDKLIERTCSSIRNVRLHCDADLKLSGGAGTSGMAPDAAEIQLKSPNWLFGQRKHTERMPTARVALAENVNEGNKDESNVSLCGELNWLSSSVESCEEDIFRRYLAFTTADVENGWYGGTLIYDRDENSGAYKHYSELCQGAVMDPFEHDPEKERHYAEALSVDLDVSNDAQVEAEMQAALDDYQIIGSDLSIIPSCGALAEDPSQLTRWIIGDEKLLVGAAQ >Sspon.07G0028130-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:64375234:64389170:1 gene:Sspon.07G0028130-1B transcript:Sspon.07G0028130-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AAMDRTTQRKDDPARLACGTPGTEASAPNPNPATRRARGRVLRFVGRPCFREWAVSLAGWPASAQTSTPEGRTLASSHFETLPAATELAGATDLVARGPDPEPVRPDSGPRRLDQTSSCAHTGGAPTPSSSKSSSAFIGETPDSPPSCLATVPRVAPAQEHSGKAQDRQRRQIRHGRSQGIKDAGVDDAVENHASSMARFGWERHHAAHKSMEQGRKKHRREGLYCSTHLVYLEEASVSHHDRGKLAHLQDDDSEEESPQNTHPGTYSTRETLQKRKEGDGKGPAHLPSSPANSPGRKGRGPVAGSEGERFRHEKEWSNNRMASQSSTNFWKCRLSPFKSEEANPIKSNLIRGCREWGQSKGCSSWTSPALPLLPLGAGLGSKEHAKAKVGIVQLRAGGGVGQQNVVDAADFYGRPPARLSHRVAAQPLSGLRLGRGLATRIASLQRIYQILYRMDQHCVVGQRKSFPNPWRGRCSPLQIEQDDDDGFQFILALLLLSVSAEAGDAATADHGEFIYDGFSDSNLTVDGSATTFFSLLNLNALLTQDKGHAFYPTLSISHMFQMAPHWHLSPQRLSSPSWGLTLTLVSQYLGLLNIENNGNAMNHLLAIEIDTIKNKEFNDIDNNHIGIDVNSLISVASRTAGYYTSDGEFHPLSLISAKPMQVWVDYDSKQTMLNVTIAPCCPSSKPSMPLLSIVYDLSPVLPTTPVFVGFSSATGSLDSKHYILGWSFKLHGQAAPLNYSALSLNTLQILARRVRDEPAAQIPSHPRSNKKILLATILPTLGIAIVVSSIIFMVHMKRQLEARTAEQEWEKQYGSPSFTYKDLFAATNGFKDKMLLGKGGYTDNGEPLALADWVLAAWRGGSVIGAADPRLDGDYMEEEMELVLKLGLLCSHPLPNARPRMRLVMQFLERDASLPADLQLDILLSSDLCQQDCQDENHYQVAMSCPVTAITDLSKFRLYHVLFRHAAAERLESILTSTQGDKMSRELCNCNSNVNTVNTWRGMGTVILIGKREGNSS >Sspon.02G0013420-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:35744842:35747505:1 gene:Sspon.02G0013420-1A transcript:Sspon.02G0013420-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHMVHEDSTKSKAVVAVLFSSKAGKPSKLLGDLKPYFERLAGKQNATEEVKGTVDPSAWIDKDSGYYRYEGSLTTPPCTEGVLWTIMSKVADASKEEIDSIDRVEKEPQKRLRPRQRQDQPEETVAQLLTSREIRMEDL >Sspon.06G0009480-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:50681065:50684358:1 gene:Sspon.06G0009480-1A transcript:Sspon.06G0009480-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPKVAKRWVPVNRPGEASSSSRGHSGGGGGGGKVNPAADRLSALPDALLHHVMSFMKAWDAARTCVLSRRWRDLWASAPCVDVRVGRYRDPPQDFAKFVYRLLLAREALAPIDTLRLRSPGEEDEDFDNSDVKMWIRHAIRRNARVIQLTGHPNPNLLAELDPMDLVSRHLKILKLTYTKVSDSFTRQLSSRCPCLEELELKNCLVEGREFTSVSLKRLTMVKCTFANFFSVDAPNLMFVQCIAPESWVPVFKNFGVLVTGSVMLDDSLLSKEFKKEFKKYQEDDDEYPRTSDDDDDNNCAFALKYVPDSDDSDQILSDDEFLDDFYDEHYLPDDIKDNYDYGSDINSDSDTYEYSEIANGYEDKQFGNRDNRLDRTRGSKDHGCSAKHIINDYKKFGGQNVLNSLSNAQYLELLGHSGEVIMRRESLNCPTFSNLKTLALGEWCISTAADFDILLLLLQHSPSLEKLYLQLEMNCDIQKALRRGIEPKGGSFACKHLSMVKIRCTKDDPRVHMLAQLFRSNGLPLEKIYVRRSGSFCEYLVSVYLRNLKLERSITHDELREYES >Sspon.03G0044590-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:1064763:1066043:1 gene:Sspon.03G0044590-1D transcript:Sspon.03G0044590-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGTSLLRGVASRLGGAVVGVPRRLLPRSAAVSRSRSQSQQLTEDKLPQEVRMLIDNLVGDTAKVAVLLMMEQHLGHESGWESYIKSLPCKDEMHNMVGIFSGI >Sspon.08G0012480-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:53565893:53568568:-1 gene:Sspon.08G0012480-1A transcript:Sspon.08G0012480-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable pectinesterase/pectinesterase inhibitor 12 [Source:Projected from Arabidopsis thaliana (AT2G26440) UniProtKB/Swiss-Prot;Acc:O48711] MPPVTLSPPLLLAILLLTTAGTTQCHHGHKSQRHHTGGTRMHKKIAAAGASSPSADTVHHAICHTTPHPVSCLAAVASHLDASAAGAKVAEASAVTVQLLPPNVLSVLLASLRGAESALSSLSPALSALSAPPAGSPAGASLRRGAAQDCQELHAATLSSLSRSASLLAAPGEGQGLPAVRAHLAAALTNKATCLDGLAGASGPRIGGLLASLDDAYEHVSNSLALVARRGGGGGVPAAGFVNAVAKTVHNRRLLQDDDDSDGDDDANNSSADDDDDSNGDDSGGNTGQPAATVITVAKDGTGNFRTVGEAVRAAPNNSETRTVIHVKAGTYEENVDVPPYKTNVALVGEGRDTTVITGSRSAADGWTTFRTATFGVSGEGFLARDITFRNTAGAGRGQAVALRVNADLAALYRCGVEADQDALYAHSFRQFYRECAVSGTVDVVFGDAAAVLQGCALLARAPLPGQSVVLTAQGRADPNEDTGIALHHCTVSAAGAGLPAGTRVFLGRPWGTYARAVVMDSYLGQIVDREGWLEWPGAEPGRGDTVYFGEYGNDGPGADTEGRVDWAGVRQMEYDEAAQFAVENFIYGDEWLGATSFPYDDD >Sspon.06G0033730-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6D:20135560:20136523:1 gene:Sspon.06G0033730-1D transcript:Sspon.06G0033730-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALEYLTWWLSPSVAWFLLLNAWFLLLNALVAAIVVTSRSRAQQGEGGRAASTTRRRLCRSASSMVLDRLRSFSSAMFSTHPSVEPVADPAGFWLCCYYVSPEAEEPKQVSGAGAAPDRQLAVLEPASSTATISMTSEDVEDETAAGAVKSMSSDGDGTCALGQEGGHAQEPMPSPAPPPPAAPAAVTVVTAETARVAALVRGPPKEEPATVADFVERKHCCRDRVYAVEGKAELNARAEQFIRQFRQELRLQRLDSMLSRHSHTLSTGSGAPTG >Sspon.01G0058600-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:30538413:30539402:1 gene:Sspon.01G0058600-1D transcript:Sspon.01G0058600-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMLMPRCDYFDDVDISDVVSGTDLLPLPATDLHYIDMHRRCQADSSTTMSNALRVFLLVHERKSDTGKAHKDALKHAKKDYGIPLEYGLNADTQPWPSPASSVHVFLSSTVLQASKPKL >Sspon.06G0022480-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:32481824:32486457:1 gene:Sspon.06G0022480-1B transcript:Sspon.06G0022480-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGEATNAGGGGFRARMEHYLYSGEKKHVLAGIAIFAAVFGVPWYFMTRGAKHQSHQDYMEKANKARSERLSSGQPSALKE >Sspon.08G0018570-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8C:8015231:8017946:1 gene:Sspon.08G0018570-2C transcript:Sspon.08G0018570-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIAMKGIFRGLKIIAQIFTVQREHEHEIEIGYPTDVRHVSHVGLGASDSCPSWMSEFRGVEEVTVGGRGASILSSAAQSRHTSWASLGKYLSPRTSRPRFTLLWFSHFEQPATGVEPLPTEAACADSSSSGQDGAARGGGSVTTKKAARPRKASRAASPGGAGSSSWRSTASFATACDGHSCELHVPAGLQAA >Sspon.07G0024180-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:21172119:21180843:-1 gene:Sspon.07G0024180-3D transcript:Sspon.07G0024180-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD kinase 1 [Source:Projected from Arabidopsis thaliana (AT3G21070) UniProtKB/TrEMBL;Acc:A0A1I9LRY1] MSANELTAADEVCDERINFNVATSNQAENGDITTVSLVESERAAYAFIPQTPIRSTDAHLEEFSEALRTVAKMLRRVAEGKAAAQAEAAEWKRKYELEMASKEHKGHNVIKGCSNFGKDKLEQPTSQLALEAAPIDQTSCCGNHGICSHQILQDECPGPKRKPDEKIIGRKAPFRLLWGCDGDKNGKHKRDFVSFEKGDIKTAERSNKQILLKWESPPQTVLFVTKPNSNSVLALCAEMVRWLKEHNNMNVFVEPRVRKELLAEDSYFSFIQTCNNDQEAKTLHTKIDLIVTLGGDGTVLWAASLFKGPVPPVVAFSLGSLGFMTPFPSEQYRECLGNVLKQPFSITLRSRLQCHVIRDAAKEQVETEEPILVLNEVTIDRGMSSYLTYLECYCDSSYVTRVQGDGLIISTTSGSTAYSLAAGGSMVHPQVPGILFTPICPHSLSFRPLILPEYVTLRVQVPFNSRGQAWASFDGKGRIQLGPGDALICSISPWPVPTACLVDSTTDFLRSIHEGLHWNLRKSQSFDGPSA >Sspon.07G0008240-4D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7D:19201986:19203506:1 gene:Sspon.07G0008240-4D transcript:Sspon.07G0008240-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPSLSSLTSSFFACSNIEALETGKQVHSLAVKAGCQFNSYVCNALITLYAKCRNIGFVRQIFDRMTVKDTVSYNSFMTALVQNNLLDEARDIFDNMPSRDVVSWTTIISAYAQADQGNEAVEIFRSMLHERELPNPPILTIVLGLGGSLGAPKLGQQIHTVAIKLGMDSGLVVANALVSMYFKCGSADSLKVFDSMEERDIFTWNTVITGYAQHGIGREAIRMYQLMVSAGVLPNEVTFVGLLHACSHSGLVNEGRQFFKSMSIDYGLTPLLEHYACMADLLGRAGDVHGAEQFIYDMPIEPDSVIWSALLGACKIHKNVEIGTRAAEKLFSIDPSNAGNYVMLSNIYSSQGMWDEVAKVRKLMKEQGVNKDPGCSWMQIKNKMHSFVTGDEEHEQIQDIYATLWELYTSLKATGYVPDTDFVLHDIDEEQKESSLLYHSEKLAVAYGLLATPKGMPIQIMKNLRICGDCHTFIKFVSYVTKREIDVRDGNRFHHFRNGSCACGDF >Sspon.07G0003520-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7B:8088437:8089864:1 gene:Sspon.07G0003520-2B transcript:Sspon.07G0003520-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAPSSSSSRTLPLPPAVLYLLLLLLSMQVAEAAAAMDPAEREILLRVMESVSADRDWREAAGDDPCASPWPGLECRPGTTTPGANYGGGAARMHVARLDFGVPPNPTCKDTATFPAAAFALPELRALFLVGCFKNPDAVAAFALPPASNLSSSRLQQLSVRANPSLSGTLPPQLASLRSLQVLTVSQNALVRGAVPRGIGALADLVHLDLSYNSLTGPIPSALGDLRGLVGLDLSYNSFSGPIPSRLGDLAQLQKLDLSANNLTGGVPAALTRLRALTFLALSNNGLRGRLPAGLSGLRDLQYLIMENNPMGVPLPPELGNIVRLQELRLANSGYSGSIPDTFGLLSSLTTLSLENNNLTGRIPAGLSRLKRMYHLNLSKNGLDGVVPFDGAFLRQLGRNLDLSGNPGLCVADRAVVPDVGVGVCGDDVACETSAAAESLVVGRVVRGEVARGRWPAGLLRPAAVALCSCLLL >Sspon.02G0016500-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:45774116:45779128:1 gene:Sspon.02G0016500-1A transcript:Sspon.02G0016500-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding CPFDIRTKLEIDRSKLLMEHASCDDVHEHVISVAHGETASTSTSHQDMYSDSDEPHQEDRPSTSTQTPSSESSPSISPTAYSSRNLSFPRRDSIYGHGRSPWNSGLWIWFEIVLYIAQVVAAIVILVFSRHEHPHAPLFAWIIGYTVACIASLPLIYWRYVHRNRHLDQEPQQPPTTYPTLTPSQSSEGRNHRTSGVVLRLGCIAVSCPRLSVLAYHFKTAVDCFFAVWFVVGNVWIFGGRSISSDAQDAPNMYRLCLAFLVLSCVGYAIPFIMCAAICCCFPCLISVLRLQEDLGQNRGATQELIDALPTYKFKPKRNKNWGIDHASSSEHLDEGGILGPGTKKERVVSAEDAVCCICLTKYGDDDELRELPCTHIFHVQCVDKWLKINAVCPLCKTEIGGVVRSFFGLPFGRRRVDRMAGRGVASSRFNIMKSTWFLYVT >Sspon.01G0005250-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:12295651:12299343:1 gene:Sspon.01G0005250-3D transcript:Sspon.01G0005250-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRTVSDGGEDDHGADVASRTSSHRRMEHGHGYKVGVPPKKNLLTEFSDAVKETFFADDPLRQYKDLPKSKKIWLGLQHIFPVLDWSRHYSLGKFKGDFIAGLTIASLCIPQDIGYSKLANLPAEVGLYTSFVPPLIYAVMGSSRDIAIGPVAVVSLLLGTLLQNEIDPKTHPLEYRRLAFTATFFAGVTQAALGFFRLGFIIEFLSHAAIVGFMAGAAITIALQQLKGFLGIANFTKKSDIVSVMKSVWGNVHHGWNWQTILIGASFLAFLLVAKYIGKRNKKLFWVSAIAPLTSVIISTFFVYITHADKHGVAIVKNIRKGINPPSSSLIYFTGPYLATGFKIGVVAGMIGLTEAIAIGRTFAALKDYQIDGNKEMVALGTMNIVGSLTSCYIATGSFSRSAVNYMAGCKTAVSNVVMSTVVMLTLLLITPLFKYTPNAILSSIIISAVLGLIDYESAYLIWKVDKLDFLACMGAFFGVIFSSVEYGLLIAVAISLAKILLQVTRPRTVLLGNLPRTTIYRNVEQYPDATKVPGVLIVRVDSALYFTNSNYVKERILRWLRDEEEQQQDQKLPKTEFLIVDLSPVIDIDTSGIHALEELLKALEKRKIQLVLANPGPAVIQKLRSAKFTDMIGEDKIFLTVGDAVKKFAPKVADN >Sspon.02G0015670-3C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:43814428:43816494:-1 gene:Sspon.02G0015670-3C transcript:Sspon.02G0015670-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHKKARAVEDPARLICDDALTEVFRRLPARALAACRLVCKSWMSVLTDPHFIHEHLSRGQQKLLLFANDRANDRSLAMVLADDNKSMYQLSRPTASRSIFVHNSCNGLLCLGDSTGAVEVLNPTTGESLMLPMPMYTAGSSQFSSCNWHCLGFCPQKREHKVVHFYPGAHIDSFKVQCEIYTVGAGVWRQVGSFHGAPTDRGVHVNGMVYYLTKFRYIASSRINCLNLESEKFDVLMLPPRKSYGGHCSLTELEGKLCLLIVDGALEGPPRTMDILMLNSHDKQDWTPRYHFSLPWLMPSLLKENTFVVWVVFGDKVAKKLEKGISEPQLQKVSDYPLIHISRCCYH >Sspon.01G0052390-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:20088893:20091026:1 gene:Sspon.01G0052390-2D transcript:Sspon.01G0052390-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Arogenate dehydratase/prephenate dehydratase 2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G07630) UniProtKB/Swiss-Prot;Acc:Q9SSE7] MAPTACLRLPFLPARARSANATPFSSPRRLSLKCSATGGDNPNPNSISISPASPPGLTVSDGVESVDVNGLRRPPAPVSAPTVPGARDPHWLPRPLTSADVMEADGKGLKVAYQGCAGAYSEAAAKKAYPNCETVPCEHFDTAFQAVENWVADRAVLPLENSLGGSIHRNYDLLLRHNLHIVGEVRLAVRHCLLANPGVKIENLKSAMSHPQALAQCEHTLTGLGIEHREAVDDTAGAAKIVAEHMLQDTGAIASSLAAKLYGLDVLAENIQDDKDNVTRFMMLAREPIIPRTDKPFK >Sspon.05G0010650-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:30075655:30080809:-1 gene:Sspon.05G0010650-1A transcript:Sspon.05G0010650-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWRMLRRKDFHTGLVNLAFRKDHGVKRNFSSGTIGNLAQVYHGDRPKRSSSCTPSDHFTIRKIMFLITRVHCVMLLAQELGVNTRRMHTGEGTNEPRPAVVTVMGHVDHGKTSLLDALRQTSVAAKEAGGITQHIGAFVVEMPSGASITFLDTPGHAAFSAMRARGAAVTDIVVLVVAADDGVMPQTLEAMSHAKAANVPIVVAINKCDKSGADPERVRIQLGSEGLLLEDMGGDVQVVEISAVAKSGLDKLEEALLLQAEMMDLKARIDGPAQAFVVEARVDRGRGPLATAIVKYGTLVSGQHIVVGAEWGRIRSLRDTAGNITQSAKPAMPIEIEGLRGLPMAGDDVVVVDSEERARMLSHGRKKKQEKDRLRKIDEGMAEELEIKEETPERVEMPIIVKADVQGSVQAVTDALRSLNSAQVFVNVVHVGVGPISQHDIDLAQACGAYIVGFNIRSPPSTITQAAARANIKVLLHKVIYHLLEEMGRAIVEKAPGTAETQVSGEAEVLNIFELKGRSKSKGPDIKIAGCRITDGHFSKSGTMRLLRSGDVVFEGPCASLKREKQDAETIEKGNDCGLVIQDYDDFQVGDIIQCLEQVIRKPKFISTQSGSVRIEC >Sspon.03G0001400-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:15471011:15474327:1 gene:Sspon.03G0001400-3C transcript:Sspon.03G0001400-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGNIGKIPIIGDITGSNKNAHLKGNVVLVRKTVLGLDVTSIAGSIIDGVGEFLGRSVTCQLISSTVVDPNNGNRGKVGAEASLEQWLLNPPPLLSGDNQFRVTFDWEVEKQGIPGAIIVKNNHASEFFLKTITIDNVPGHGTIVFVANSWIYPQSKYRYNRVFFANDTYLPSQMPAALKPYRDDELRNLRGDDQQGPYQEHDRVYRYDVYNDLGLPDSGNPRPVLGGTTELPYPRRCRTGRKPTKTDPSSESRLTLVDGDVYVPRDERFGHIKKSDFYGYAIKALVNAVIPAIRTYVDLSPGEFDSFKDIMKLYEGGIQLPKIPALEDLRKQFPLELVKDVLPVGGDYLLKLPMPQIIKGRSSLRANDAELVFNLVVKYGYQFHLHYSGYAEDKTGWMTDEEFGREILAGVNPMIVKRLTEFPPRSSLDPSKYGDHTSTVTEAHLENKLEGLTVQQALDGNRLYILDHHDNFMPFLVRINSLEGNFIYATRTLLFLRGDGTLVPVAIELSLPELRDGLTTAKSTVYTPTSTTGAEAWVWHLAKAYANVNDYCWHQLISHWLNTHAVMEPFVIATNRQLSVTHPVHKLLLPHYRDTMNINSNARQMLVNAGGIFETTVFPRQYAFEMSAVIYKNWNFTEQALPDDLIKRGMAVADPSSPYKVRLLVEDYPYASDGLAIWHAIEQWVTEYLGIYYPNDGVLQADVELQAWWKEAREVGHADLKDAAWWPEMQTVAELVKACTTIIWIASALHAAVNFGQYPYAGYLPNRPSVSRKPMPVPGSDEYAELGKNPEKVFVRTITSQFQALVGISLLEILSSHSSDEVYLGQRDTPEWTSDAKAQEAFRRFGTRLTEIESRVMTLNADPRLKNRNGPAKFPYTLLYPNTSDKKGDAAGITAKGIPNSISI >Sspon.07G0016430-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:54686797:54687009:1 gene:Sspon.07G0016430-2P transcript:Sspon.07G0016430-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDWGPVVIGLVLFVLLSPGLLVQLPGRHHFTSAISILVHSIIYFALITLFVIVIGVHITTGN >Sspon.08G0023810-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:58867709:58870087:1 gene:Sspon.08G0023810-1B transcript:Sspon.08G0023810-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIFQVTTMILQPRLLTCFFSTLLLTQLMMAPTAAAETTVKVSTTPIFREIPLGQARKDFQVLLRVDAPTAAVRPEARVPIDIVAVLDVSGSMNDPAAVPPERRTPTSRLDLLKTAAKFMVAKLEDGDRLSIVAFNDRPFKELSSGLLYMSADGRRNAMKSVDQLEARGGTALLPAFEEAVKVLDGRVGDGRNRLGFIVLLTDGEDTSGFMLSERRREVIRGALGRYPVHTFGLGRAHDPEVLLYLAQESHGTYSFVDDNNIGEVAGALAVCLGGLSTVGAVDTSVVLKADELNGVRIDRVDSGGHDSSVSCGGASCEVSVGVLYAGEAKHFVVHLHVPAAAPSSSSSSTEGGYYCDGLGACDRYYHHRHEQRLLAVGYSYRDHPSARVITVEGHGVFVQRSPSPAVLDGGRQSPVPVPSPVVLQHIVRLELLEVVAGVVHGELITDDRARAADVLQLKWEEFRACHQFWGGGLDLMSGLEKEVDDMVSSLRAGVAAYVYAWVSSHQMQRATSIGSPEKAVVEFLTPAMRLVLEEARKLPWWQAGTTAATTNVQHVGSGVDEFEMVEWRLEVWSKVKQQLMSFQEQPAVDDEQLHLAAVFQEASLEAIDRAMHRDIYLAAVYASKLRRCHSSGAKKQEVKD >Sspon.01G0053440-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1C:36076260:36077810:1 gene:Sspon.01G0053440-1C transcript:Sspon.01G0053440-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGDGHRLRAVVVSPPDVAVVVLNESTTTLTTCKDHPPPPLLADRPSLTRGVANEAASILRLSLPMIMTGLILYVRPMISMLFLGRLGELALAGGSLAIGFANITGYSVLSGLAMGMEPVCGQAVGAKNLPLVGATMQRMVLLLLVLSVPVAFLWAHMEPLLLLCGQDAAISAAAQRYILLCLPDLLFQSFLHPLRIYLRTQSVNFPLTACAVLAVALHLPINYLLVSVLGLGVEGVALASALANLNLVLLLLAYIYFSGVHRATGGFTLSEKLFKDVTGWIRLARLAVESCASVCLEWWWYEIMILLCGLLADPKATVASMGVLIQTTSLLYIFPSSLSFGVSTRVSNELGANRPGAARAAARAGLALSSLQGLASFLFAVSVRDVWARMFTSDASILALTASVLPILGLCELGNCPQTTGCGVLRGSARPKDAAHINLGAFYGVGTPVAVALAFWAGQGFKGLWLGLLAAQAACIAVMLVVISRTDWAKQAELAQVLAGVVAPGGHAVVNGDDGD >Sspon.07G0017180-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:83134873:83136798:1 gene:Sspon.07G0017180-2P transcript:Sspon.07G0017180-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATTTTVSTNGGAATRSPAAALSPPAEEKQLEFIEELTTNVDAVQERVLAEILARNGDSEYLAVRYGLAGATDRATFRAKDLKPYVMRVAKGDRSPILTGSGHPISEFFLSSGTSGGEPKLIPVVEDEFDRGKLMQSLLTAVMSRRHVSGLDKGSGLYFYFVRSDTLTPGGLPARPALTSVFKRKFSEELPYDPWRSYTSPPAAILCEDVFQSMYAQMLCGLRHRHAVLRIGTTFASGVVRAISFLQLNWQQLADDIEAGTLTQRVTDPAVREAVAGVLLRPDPELAQFVRAECAKGDWAGIVTRIWPNTKYLDTTVTGSMAPYVPALSYYSGGLPIASTTYASSECCFGINLRPMCDPSEVSYTIMPNMAYFEFLPADDATASELVELAAVEAGREYEVVVTTYAGLSRCRVGDVLRVAGFHNAAPQFQFVGRKSVLLSVDADKTDEAELQHAVERASALLRPHGVAVLDYTSRACTASIPGHYVVYWELLDTQTQGGSTHRQMSVDADVMDRCCLEMEEALNYIYRMFRVADGIIGPLEIRVLRPGTFEELADHAVSHGGVSMGQYKVPRCVAVPAIIQLLDSRVVSSHFSPALPHWAPGRP >Sspon.07G0021610-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:4041850:4045265:1 gene:Sspon.07G0021610-2D transcript:Sspon.07G0021610-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MELGLALRFVAPPPPLLPPCLSHRALALPPDFISPCVLQGRRVRASRLKHGAGAVCNAIMTYSGVEEDEMVEEEVEEEEEAEPAVSTRPRLELIEKPDRSLALLDEYESEELGTSLCANHRSGYVAVLGKPNVGKSTLINQMVGQKLSIVTDKPQTTRHRILGICSEPEYQIILYDTPGVIKKEMHKLDSMMMKNVRSAIGSADCVLVVADACKAPEKIDEMLEEGVGNKDIGLPVLLVLNKKDLIKPGEIAKKLEWYQKFTNVDDVIPISAKFGNGVDDIKEWILSKLPLGPAYYPKDIASEHPERFFVGEIVREKIFVQYRQEIPYSCQVNVVSYKSRPSAKDFIQVEILVEKESQRSIILGKDGKAIKMLATASRLDIEDFLQKKVYLEIEVKVKENWRQDERLLKRYGYGGEIQAL >Sspon.03G0010420-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:43581035:43587476:1 gene:Sspon.03G0010420-1P transcript:Sspon.03G0010420-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVLRSTQSLQAEVDELRAALLAGGGGGHGEAAGGGWRRSAGHADAKRAPRGDAAARAVCVTGGISFVGFAVVDRLLRHGYTVRLALETQEDMDKLREMEMFGEDGRDGVWTVMANVMDPESLHRAFDGCAGVFHTSAFVDPGACPATRLVAHITAGSGLLNRRARKHMATLEAQAAERVIEACVRTESVRKCVFTSSLLACVWRQNYPHDRRCPTIIDESCWSDESFCRDNKLWFALGKTAAEKAAWRAARGRDLKLVTICPALVTGPGFRRRNSTASIAYLKGARAMLADGLLATANVETVAEAHVHAYEAMGDNTAGGRYICYDHVVKRPEEFAELERQLGLPGGAAAAQGSDEDRPVRFELCKRKLSRLMSSRRRCTYDTYYSRRV >Sspon.01G0058050-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:20277941:20281386:1 gene:Sspon.01G0058050-1D transcript:Sspon.01G0058050-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSPVTRLPEVNSLPDGFVPDVESSGTEAASPSSAPIADDALDSDIPAATNPGGEETLSDPSLPASTAEDASSTAAAAEALGTLSLVAAAEPERALGEHGPTGAARGEESLKENCASEQVGAPTAQKGSGEPKRKVMKRSKLEKDRELFELAQQYHRVVAERDAAIAVKERLESLCREFQRQNKMLKEECGRVSTEGQNMRMELSEKFDNAIKLKEKTLELELADLRLQQHQEKAAQEHTQMQLYAEQVSQLMTTEKNLRLQLASDGERFQHFQDALSKSNEVFETYKQEMEKMISVIKNLKKENEFLKGKCENSDIALVKLIEERELTKKQIEKLKNQKEKLESLCRSLQAERKQGPSASIPDAPSSQEDVSATSQES >Sspon.01G0055630-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:80449616:80452958:1 gene:Sspon.01G0055630-2D transcript:Sspon.01G0055630-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDEGYGPTWDSDDEYDNFIRKMNPPRIVIDNESSAEATIVRVDSANEYGILLEVIQVMIDLNLVISKAYITSDGGWFMDVFNVTDKEGKKIKDEATLTQIEDYIRKSLGADSRYIPSRRRSVDVAAAADHNVIELTGTDRPGLLSEVSAVLTSLKCNVVSAEIWTHNTRAAAVMRVTDEDTGLAITDAERLERIREKLSYLLRGGISRGAVMAVSSGTATTHTERRLHQMMLDDCDYEQLQQQAPGQSQRPNVTVRNWNDKDYSVVTIRCKDRPKLLFDTVCTLTDLQYVVFHANIDAKDSQAYQGVKLELCTNDKVGLLSEVTRIFRENSLTVTRAEVTTRGRMAVNTFYVLGSAGEAVDQKAIDSIRQAIGRHSLQVKGQPEPQEAQKKESPTWFLFANLFRPRSLYSFGFMR >Sspon.06G0004810-4D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6D:12923411:12924685:-1 gene:Sspon.06G0004810-4D transcript:Sspon.06G0004810-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPPTSAAKQREAERFMSIAEKLLTARDLEGCKQFVAQALSFDPHTPGADDLLAAADALLADKRRRLPSGALDPYAVLGLDSAVPASREPDVVHSQYRRLSFLLNRSHPDCPCSLAFADAARLVADAWAFLSDPLRKASLDSDLDAAAAATNAAAAAKAAAAAAAARVPTAPPPEKQHQPQSQSQPPKPPLPPASQPPQTVSGTPPPKRGRPPRAAKTPATPPAPQPPQTVSGTPPPKRGRPPRAAKTPPETERNQEGEAVQAPAFWTVCPSCCRLHQYDRSYESQTLLCPSCRRPFVATAMSTPPPIVPGTDMYYCSWGFFPMGFPGGPAFAGPLNLPQQKAPDALGFYPMGPYLPLPGPSGIEEGEGNKAVDAGTGIPVTPTVAAPAPAPSPAPTAATPVKSSHVKVGAKKRGRPKGSKNKKV >Sspon.04G0019860-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:78459994:78463768:-1 gene:Sspon.04G0019860-1P transcript:Sspon.04G0019860-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAKDAAVALSSGHRMPAVGLGVWRMDKPDVRGLIHAALRVGYRHLDCAADYQNEAEVGDALAEAFQTGLVKREDLFITTKLWNSDHGHVLEACKDSLKKLQLDYLDLYLIHFPVATRHTGVGTTSSALGDDGVLDIDTTISLETTWHTMEELVSMGLVRSIGISNYDIFLTRDCLAYAKIKPAVNQIETHPYFQRDSLVKFCQKHGICVTAHTPLGGSTANTEWFGTVSCLDDPVIKSLAEKYGKTPAQLVLRWGLQRNTVVIPKTSKLERLQENFEVFDFDISGEDMEKMKAVDRNYGTNQPAKFWGIDLFA >Sspon.02G0031810-1P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2D:89181463:89181907:1 gene:Sspon.02G0031810-1P transcript:Sspon.02G0031810-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LKKTDYEPDKRSRNRCRKYGPVKRGARAHVSAAPDRITKPWTPPPTREPAVGFGAPRFPLAPPVRNRRWWPPHRQRPPAPSSPLFTCPVGSARWQEKEQPTVLLALPRPVTPPPATAYSRLLPRPFSLPPPPPTLCAALACLLRLLHS >Sspon.03G0044780-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3D:3507642:3508127:1 gene:Sspon.03G0044780-1D transcript:Sspon.03G0044780-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAWRPRCPAPRCCRPKSTKLRAWEALVAHHELRCAAGRRGEAVEMADHERRHDSLSYGDRRSGENFDVPVCLPVRWTRWQAHEQEQATTMQEREIKNINSGCTELPTVAELTPNGGDPRLKTTTHPRSRAVATALGGANDSRDDGRANGEMERVKVELRG >Sspon.01G0010590-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:8302151:8303278:-1 gene:Sspon.01G0010590-1P transcript:Sspon.01G0010590-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPTGDDPSAAAGISFPDADGGGGDSEDGDFADAHLLDPTDPGLPNPTTSSATGLPHAIPAAGSGGGPVTSGNGGERRPLFQRLWTEEDEIVILRGFAEFTAARGTAFASHQYDTDPFYEDMRRRLQLDFSKSQLVEKLRRLKRKYRNCVSRLRESGATFTFRSPHEQAIFEIARNIWRPANKHGRDPSADSDDEDAAAATQVPANTSPNGEVKSPSSARQRRRRRVTEFNPATGAAPVTNMLQPPQPVQLSVSVPVKMDDSLPAQPQTPMPVMVTMDGSEPLRLPVVSPQSGISDAEKTCLTPLFKEMIRAAINVGANPFGAKLPEPPLGLPMEGEKWRKQRILELEVYLKRIELLQDQAKATLEELKSSTPGT >Sspon.07G0024440-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7C:23482335:23482594:1 gene:Sspon.07G0024440-2C transcript:Sspon.07G0024440-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGGLLRGLVSPAGGAAAWLPCHELFASWQHWLPSLSRLRPPASDGFKLLFVLLLFSAALAEVRYIASSSMAPTLRPGDRAVAEK >Sspon.01G0006690-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:15983930:15984175:-1 gene:Sspon.01G0006690-2C transcript:Sspon.01G0006690-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWSDSENAAFERALATYDRDTPRRWELVAAAVGGGKTAEDARRHYAYLVNDVGDIESGGYGNPNPNPNGGGARNGNNGNND >Sspon.02G0006610-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:19952960:19966056:1 gene:Sspon.02G0006610-1A transcript:Sspon.02G0006610-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRREPAASPFRDLSNLRTPRPNPNAVPPSPQFFTASKAPLQAPTPTPLRRRRPGNGAPTPTPLGRRLRALEVDQSRSARRAESGRERALRAFAASASSWLSLLLRDPSACGCSPAVTDSAAAAQPCAAGKRGALDGERARGGRSPKRRRGAGDRGGERRKEMTPAMVAALRESLREACSLEDVTERMENYMSKGACEEVLFMMFQICKNIDEGRLKMKAHCPLVTDLRLKEKATRVFMSYNPYWLRIGLHIILGGDSLLQSGQGKWDKEVHFLKLILEKHMFSQMMTAKSSGHKKVVEEHRVQGYSKVLGNIILKRIFLLVIALDRAKIESALPLKAGIDGLDGGSPLLFSHQGQIKSSRQIIQGNIAESIAFYYCFFAPCKCYIELPFYYLTDYLILESLRETMHGEGDILIHLTTMGYKLNYQQPALSEYDFTMRSLFEDLQDGIILCRVVQLLLADASIISKVIAPSDTNKKRLSNCSTAIQYIKQAQVPLSDSDGVTISAEDIAAGDKELILSLLWNMFIHMQLPLLASTASLARELTRLNVHVMEQQMSENKPHMGLLYNWVQAICSKYGMTVESSSQFDRRALNCLINYYLNIDMLPPKETLTGCRKELFTCHQLDTITDITSCPSSKMGKVLSDVLHAVDIPASDILADGVLFDEKSTILLLAFLSSHLTNDERLGHLKNMINMRLDYKCAETKISARRTSRGKIDTKYHTSQTDKKDGACTNQVEHCEDVDTLRASVAPHILCFDGMDSLDSTTPLQLSGSQSNSITSTTQLWKSGHESIPPPNSPLDIFESNWRSCVVETSNAVSVSKLASVDDMECSSNISSQEFLKPVSTCIYFPVCKELVAAQRIQNAYRRFLNNRNRITAAIKIQSQWRCYSVRKCFTKQVQAIVGIQTSIRVFLCLQALQRHRLAAVLIQRVVRGWLARKRLLDWVRWWRKVLQSRKRAVIVIQTHFRGWIARQDAIRTRNSISNIQRWWRKVLFNKLRKQAVLVIQAHFRGWIARQVASRTRKSITTIQSYVKAYLVRKASKQEVAQIRSRLQKSSSQVDGSMRLINRLVSALSQLHHCRSIHSIRQTCATLSTATEYSKKCCETLVTAGAVDILLKQIHLLNRGIADQEVLKQVFLTLRNIARCANLRQVLASTPESAEIIFQELLRNKADVFFIASDILKSLCESKEGHETVQALSHHIKRLRNLVQDLEKKVELDKRNGRTGAAKANNLRRLQEAATLYHLLT >Sspon.01G0000250-3P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:95456502:95456693:1 gene:Sspon.01G0000250-3P transcript:Sspon.01G0000250-3P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLRWMPRTLALMAVQRQTAASRSTRPSSSGQQGSVGGSPTLALMRPSTRAGSMFSKGLGQT >Sspon.05G0031120-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:3247472:3248092:-1 gene:Sspon.05G0031120-1C transcript:Sspon.05G0031120-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVAELHHTIKTNSLRAPQNHPKISPDRPQHKEAAQMEQSGAKKSNKITEIVRMQQMLKKWRKLSVTPKEPSSPTAAAAGGGNAGESKAKKFLKRTLSFTDGPPSGSPPPPPKGHLAVCVGPAMQRFVIPMEYLKHRAFAALLREAEEEFGFQQEGVLRIPCEVPVFESILKAVEKNKKDAAFCYCSVEYAADEVGLGTPNNPLCR >Sspon.02G0009300-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:26386576:26390943:1 gene:Sspon.02G0009300-1A transcript:Sspon.02G0009300-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANNSDPIQDQLGEGGAGVKHEAEEETSSSAAQATATTMLPRSSSRPQLDLSGAAIHGTLEDRNPTILLPNQSDDISHLALDIGGSLIKLVYFSRHAELSTEDKRKISTKRRLGMFNGGRRSYPILGGRLHFVKFETGKLNECLDFISSKQLHRGGIDSPSWRSGAQSDDIVIKATGGGAYKYADVFKERLGVSLEKEDEMDCLVAGANFLLKAIRHEAFTHMDGQKQYVQIDQNDLFPFLLVNVGSGVSIIKVDGHGKFQRVSGTNVGGGTYWGLGRLMTKCKSFDELLELSQRGDNSTMDMLVGDIYGGLDYSKIGLSASTIASSFGKIISENKELSDYRPEDISLSLLRMISYNIGQISYLNALRYGLKRIFFGGFFIRGHAYTMDTISFAVHFCVLPMFSVLVKVKRRAQAMFLRHEGFLGALGAFMSYEKHGLDDLRAHHLVERFPMGAPYVGGKIHGPPLGDLNEKARTSSPDHG >Sspon.04G0004310-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:12935434:12938980:1 gene:Sspon.04G0004310-1A transcript:Sspon.04G0004310-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DGAASSPGGGAAAAAAPRGGHHHAPSLGPALQGQDHHRLHLHRRRPPPPPPEPVMGYPAATQVRRRPRRVLSTRRVAAAALVLGALAVAAYYCFYSDVAVQFLGMEQEEAQKERNETRSFLLPLYPKARQGRALREFGDVKLAARRVDDGGRKARNKMEVAKAAAAGNNSTALLPIKGNVFPDSQYYTSIFVGNPPRPYFLDVDTGSDLTWIQCDAPCTNCAQGPHPLYKPTKEKIVPPRDLLCQELQGNQNYCETCKQCDYEIEYADQSSSMGVLARDDMHLIATNGGREKLDFVFRCAYDQQGQLLSSPAKTDGILGLSSAAISLPSQLASHGIISNIFGHCITREQGGGGYMFLGDDYVPRWGITWTSIRSGPSNLYHTEAYHVKYGDQQLQMREQAGNAVQIIFDSGSSYTYLPNEIYENLVAQIKYASPGFVQDSSDRTLPLCWKADFPVRYLEDVKQFFKPLNLHFGKKWLFMSKTFTISPEDYLLIQDKGNVCLGLLNGTEINHGSTIIVGGINDHPSILLHFNIDVSLRGKLVVYDNQRRQIGWANSDCTKPQSQKGFPFFL >Sspon.01G0029890-4D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:99867494:99870504:-1 gene:Sspon.01G0029890-4D transcript:Sspon.01G0029890-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCADRNAHWSTQRYVTTGDARIPKSAEGLKKRSGHREKHPKPAEMLARGAKTAEIRGVAALARLPSVGSRLVPGCRPRRPQRDTDTGMARPRRPDLTIAFRVSFYATADSLTHTRSGKLVCAAAAAHTDTSHRPRLPACALALPPPTTPRLTSLSFRRLGWLALALLPPPLSLFLSLLYIQSGGKQHTQKKGSHHPPPFSLAYYSILSLSSPPNKSSSKRGLEAEEEGSATRTTEQQRRRRRRRQSNPQGAEAARAGMGAPVHGALVLFLLLLAGAGAAAEETAPQEPTLPAAGSAGAAAVGVNSNSVLVALLDSHYTELAELVEKALLLQTLEDAVGKHNVTIFAPRNEALERDLDPEFKRFLLEPRNLKSLQALLLYHVLPSRLPSDAWPAASHPTLSGEEVELAAVGTGMRVGHAAAHAPGRRAQARREAEAEEGSATRTTEQQRRRRRRRQSNPQGAEAARAGMGAPVHGALVLFLLLLAGAGGGRGDGAAGTHIARRRLCRRGGGRGQLQLRAGGAAGLALHGAGGAGGEGAAPADAGGRRRQAQRHHLRAAERGAGADLESMYNAVRRFGKVRYDTLRLPHKVVAREADGSVKFGQGEGSAYLFDPDIYTDGRISVQGIDAVLFAPDDTKATQTADPNRKPPAIVTHKKKIKLRRVLANV >Sspon.02G0045130-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2C:106261064:106261766:1 gene:Sspon.02G0045130-2C transcript:Sspon.02G0045130-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding WPCSPSPPAPCPPPQPSSARSRVHPTSTSTSTASSSPNPSPPPLPPHSRRRLPLPTPPRRRTRTPRRSSSAQRRTGSRPPPSPRSAAARPRSSRPPRRTSTATSAGSSPTPPPLTTRRSSARRSRTSRPCGCATSGSARRSSTWSGTSTGGTWWSPSRRASSSRAPRRRPSSTWCVPWRASPTGGGPTSAPGAAPSPWLWRGSSPPQGGCSPRMSARWPSTSPGSTSRGTGCR >Sspon.04G0000480-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:1028028:1036780:-1 gene:Sspon.04G0000480-2B transcript:Sspon.04G0000480-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADHRHVSVKIEVGKTMRGSQRFCRRTELTGPRRGDRGGALLGSEEDSGAVGATRRLQRSSWRDQRGPAEQRWRRETEEEENSTTTCSVYIGRCKRPQRDVWPIKKALKQELAAFEARRRRREVAAVIFKKMESRAKQKQASPRGVVHARTRTRLLPSCFPDAKEHTNEFRKAEESSTSTAPHRQPPPPIQPKSAPPTPEILAQPNPTLPYQIDRSIDRSVARHQPSSATMSLSPLDSSSSPPAAAEVPLAPGFRFHPTDEELVSYYLRRRVLGRPLRVNAIAEVDLYRLEPWDLPSLSRIRSRDAQWYFFARLDRKVAGAGAGGRGGPGNRTNRATPRGYWKTTGKDREVHHRGKPVGMKKTLVFHAGRAPKGERTNWVMHEYRLLDADGPQVYGAPYMEEEWEEEDDAIENGPTSGASAQMAAITCAVDEESNEDDENAYCETNRPARLKLNSCYCWHHPTRWPHFQQRLRSVALAEEWRLEFACVFRSSCWSEDATGLAPSPWCFEGNNEVIMDVLPTMSFLLPSPIFFLMKGGMASHRMRRRWLSAHAHVLPLHQATIGRPNAPQQPHVLVRLHDRPHSLGTEAMLTPYAWDTSNATTTSCPIG >Sspon.03G0029040-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:11780242:11784476:-1 gene:Sspon.03G0029040-3D transcript:Sspon.03G0029040-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADTASSSSAAGPSAVDGASLAAVAEAAPPEEMTLVVKWRGQEQTVRMVGDDTLGELKLRICEVTGVLPKRQTLLYPKLILKDIDDSTLLSSIPFKPNGKISMIGTIEEEIFVGQEDDPELLDDFDFEQNEATAIKDKDVYKQKLKRRASQYKIKLLNPCRKGKKLLVLDIDYTLFDHKSTAENPMELMRPYLHQFLTAAYSKYDIMIWSATSMKWVELKMEQLGVLSNPDYKITALMDHLAMITVQSENQSRKKTFDCKPLGVIWAQFPEVSILFLCFLYYQFNVKLCWVLIQFFAHLLYLLHMQYYNEKNTIMFDDLRRNFIMNPQNGLVIKPFRKAHSNRHDDHELVKLTHYLLSIGDLEDLSKLDHGKWESFVDESVKRRKPYARFRASVLNLCGQVVVLRQ >Sspon.03G0019640-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:61278802:61279599:1 gene:Sspon.03G0019640-1A transcript:Sspon.03G0019640-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNMTSPRLKLFGFHVSEELAGPKTEAEAEPEEAPACGNGGGGSDSSSSSTTTTTATATAAGDGRRYECQYCCREFANSQALGGHQNAHKKERQQLKRAQLQAAAAAAGRVAGGAAALYPRANPMVSAFVPPPHLLGGGGGGDAASTSWVYFSPRAAAVAGGPQGQQFHVSHNCVFPSSRATPAAVTASPAVFSYTAAPSTAGAASAPYVTDDHSGRRAHHVSPAAALGRYPGMAAGPGMAVVAEPVVAGPEDALGLDLQLSLAPAG >Sspon.01G0043270-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:72810460:72815889:1 gene:Sspon.01G0043270-1B transcript:Sspon.01G0043270-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAQSGQPAGSWHLAAAAAAHFRSTCVGKLSTSSIFGPVQDLPLVLILLIPQLKSGGVDDPHGFEDNILHLCTVLSAEKYSWLWVSHISPKNSKWLQENISDMDTKVKAMIKLINEDADSFARRAEMYYKKRPELMKLVEEFYRAYRALAERYDQATGALRQAHRTISEAFPNQMPSMSDESPSAFSQEMEPHTPDMSTFTRAAFDSDDLQKDGVGVSPQHFTSKRNGTHPEETSTFSSRKGLKLFNDLSSSGENAPRAGFDGKVRKGLTFESPEVKGKDDTSNEMANLQQEVARLLAESQNLKQQMLSESERANKAENEMQMLKATVLQLNADKDTSLMQYNQSSERISTLESELSKAQADLEKLTDEMAADVQKLINAETLNIAIQSEAEGLDQKMKMQQQELEQKLKELESFRSSFQEEHEKRMQAESALLSQGKELDRSHEEVQRLAIEIKTANEKLNELKQTKEVLEDTVCELKKDVEHLTEQNQSSEVLIQKLGDEINTLKDSKNELQSEIQSLKSIISQLNTEKNAAVLQHQQSVEQVSVLESQLSKLQSELDETEQKVQLLTQDLEKKKEEADNVHFKLQDECHRRMQIEATLLMTEGLHSQLQEEMRTLTQDFDGSKKKLSELENNKLDLESTLKELKNTILGLNSEKDLALLQQQQSLEKVSDLELELSKMQLEMEKSEQKILLLEQEIARKNESVDSLEISLKDECEKRLQAQTSLVSLEKMYSQSQEDVSRLQIEIEKQNGKLNELENLSSELNNTILLINTEKDATLHENQQSSARISDLESELVALKTELENVEGKVQMIEQELVYKKQEADNLQINLQDETKKRLEGEASLLMMTNLHSESQNEVRELALELEKLNGNLRQVENSKVDLENIVTKHTEEIHILREQNLSTELMIKDLHLELDALKDLNVKLQAEMGLHIGEKEALQREFTSQREEKENLEGIHHTLVDEMDTLKTTATMNQKLIEELQITNSKLKEVCARSEVEKALLSEKLQEVEKLSEEYSLLENSLSDANAEMDALREKIKALEASESSLKDIICCHVSEKAVLVSEIEILGKRLSDASEKNSILDISLSDMKMDLEDLRTKLKDSEESCQALLANNSALSGEMDALREKIKALEASESSLNDIISCHVSEKAVLVSEIEILVKDYLMLQRRILSWISHYLI >Sspon.01G0044940-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:86158296:86159571:1 gene:Sspon.01G0044940-1B transcript:Sspon.01G0044940-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Post-illumination chlorophyll fluorescence increase [Source:Projected from Arabidopsis thaliana (AT3G15840) UniProtKB/TrEMBL;Acc:Q9LVZ5] MATLSSCSRLSSGTGAAAIHHRQPTRAGVVVVTSRRSSSASVRAAAAATAAPAAVEQQDKGMSLPTWAEFELGRAPVFWKTANGLPPSPGEGLTLFYNPAASKMAPNDVFGVAFSGGFNQPIMCGGEPRQMTLQVRGKADPPIYTIRIRVPQHAISLIFSFTNGAEWDGPYTLKFRVPKPWQNKPLSFFNEVGAVWVMPGLADELTMEGACDRAIYPDENIAITSCAMDGYLEEGGDRCKLDIVSGCMDPGSDMFDPLAT >Sspon.03G0043190-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3C:76909245:76909978:1 gene:Sspon.03G0043190-1C transcript:Sspon.03G0043190-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LPRSTRCPSELPTAATPFLARSPPSSLLSPSASSRRSWRRFAARPRRGPRSASVVERARACGGGEPGGAASGVAFAGANVADFLLRAFAAEPPPAPRRAPPSAGLPSRRRPRVPAELFTAAACSASPPPIAALPCPAGGPTAARGRRRRWWRRGGRRSTAVACSQLRSSPSWWWWARRLEETQRDEERTQIWVPGWLRRKMALAFGYSVGGWFLPTKALCATHFGDGSRFGNAVGVSLTSEVL >Sspon.06G0012050-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:52664685:52667142:1 gene:Sspon.06G0012050-2B transcript:Sspon.06G0012050-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSQLVCSGCKRLLQYRRGATGVCCPTCSTFTTANPSGPEMSELVCGGCFTMLVHSRSATNIRCPHCGRLNSTRSGNQMGHLSCGQCRTTLAYPPGATTVGCPTCRNINPVRNNNAGGSARPAPSDARPQTVLVENPKTLDEKGKLVSNVAVGVTSWKR >Sspon.07G0037800-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7D:62694435:62701394:1 gene:Sspon.07G0037800-1D transcript:Sspon.07G0037800-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LFNTVRVPSRSPRPHCRSHQASSTPPPQLASSESVRRSSPLASKSRPRLVLGGCRASRQRQRQRQVVVRTFVLTRAPPLPPPHSRLVPTSASTLVLTRAPLPFLARAASRTRAGRRQSAAAAQRREEQGIRSLNLVLPDAAIRLSSSSSPSSSSPAAAAAAAPPPMAPAWSALRVREQFISFFESKAHTRWPSSPVVPVDDPTLLFANAGMNQYKPVFLGTAAPDSPLGRLRRACNTQKCIRAGGKHNDLDDVGKDTYHHTFFEMLGNWSFGDYFKEEAIGWAWELLTQVYKLPTDRIYATYFGGDEKSGLAADVESKNIWLKYLPKEKVLPFGCKDNFWEMGDTGPCGPCTEIHFDRIGNRDAASLVNNDDPTCIEIWNLVFIQFNRESDGTLRLLPAKHVDTGMGFERLTSILQNKMSNYDTDVFMPIFDAIHQLAGDGIQPYSGKVGSDDIGKVDMAYRVVADHIRTLSFAIADGSQPGNEGREYVLRRILRRAVHFGHQKLKAKQGFFSSLVNVFVQLMGDVFPELIDNEKKIKDIIRDEEESFENTLAKGYEKFKKAADAVKDNGGTVLSGQASKNALFSEDAFVLWDTYGYPIDLTEVMAIDYGLTVDKEGFNASMEEARQKARNARNKSGGNSIAMDANATAHLRKLGLASTDDSPKFLWPKGII >Sspon.05G0015850-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:63605124:63606580:-1 gene:Sspon.05G0015850-1A transcript:Sspon.05G0015850-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLKAPDVNNHRTVCRHDGGNATILGVGKAVPLHEFQQNSFSDYYFEISRSNHMVDLKAKFANICEKTMIEKRHFYLSNEMLRESPSITAYSSPSLTRRQELADVGGTQGHEDCELARLLGLRPSTKRFMLYQTGCHGGCTALRLSKDLAENNPGARVLVVCSEVCTLSLRGPSASHMGDLVGQAILGDAAGAVVVGCNPTAEEHAMFELVMACQETVPGTEEALVSKLREEGIVYTLDRDIPRHVSGSVERLVELLLQEATVPAPDLNEEVFWVVHPGGRGILDRIESKLGLGEKKLEASRAVMRQYGNTRCSSVILVLEEMRRMSEQLGLRTAGEGLDWGLLVGYGPGITVEAILLHALPNKLKKAIAY >Sspon.04G0008040-5P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:15823409:15829725:1 gene:Sspon.04G0008040-5P transcript:Sspon.04G0008040-5P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MECENGHVAAASGNGVCLATPRAADPLNWGKAAEDLTGSHLEAVKRMVEEYRRPLVKIEGASLTVAQVAAVAAAGEARVELDESARGRVKASSDWVMNSMMNGTDSYGVTTGFGATSHRRTKEGGALQRELIRFLNAGAFGTGDDGHVLPAATRAAMLVRINTLLQGYSGIRFEILETIAALLNANVTPCLPLRGTITASGDLVPLSYIAGLVTGRPNSTAVAPDGRKVDAAEAFKIAGIQHGFFELQPKEGLAMVNGTAVGSGLASIVLFEANVLAVLAEVMSAVFCEVMNGKPEYTDHLTHKLKHHPGQIESAAIMEHILDGSSYMMLAKKLGELDPLMKPKQDRYALRTSPQWLGPQIECENGHVAAASGNGVCLATPRAADPLNWGKAAEDLTGSHLEAVKRMVEEYRRPLVKIEGASLTLDESARGRVKASSDWVMNSMMNGTDSYGVTTGFGATSHRRTKEGGALQRELIRVRDRRRRPRAAGGGHARRDARPHQHPAAGLLRIRFEILETIAALLNANVTPCLPLRGTITSGDLVPLLHRGIGRPNSTAARRQEGGRRGVQDRRDPARLLRAAAQGRARHGERHGRRLRARVDRALRGEPRRPRRGHVRRVLRGDERQARVHDHLTHKLKHHPGQIESAAIMEHILDGSSYMMLAKKLGELDPLMKPKQDRYALRTSPQWLGPQIEVIRAATKSIEREINSVNDNPLIDVSRGKALHGGNFQGTPIGVSMDNTRLAIAAIGKLMFAQFSELVNDFYNNGLPSNLSGGRNPSLDYGFKGAEIAMASYCSELQFLANPVTNHVQSAEQHNQDVNSLGLISSRKTAEAVDVLKLMSSTFLIALCQAVDLRHLEENLKSAVKSCVMTVAKKTLSTSATGTLHNARFCEKDLLTAIDREAVFAYADDPCSANYPLMQKMRSVLVEHALPTATPSATRTPRHVRGGAPRGAAPGGGGGPRGRGERRAAIPNRIAECRSYPLYRFVREELGTEYLTGEKTRSPGEEVNKVFVAMNLGKHIDACSSASRSGTVSPCRSAEQEGRGRAAVPLIPRR >Sspon.02G0043220-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2B:90192483:90193145:-1 gene:Sspon.02G0043220-1B transcript:Sspon.02G0043220-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPHQPRRPPALMDELVEEILLRVPPDQPACLARAALVCKRRCCLVTDPSFRRRFRQFHRTPPMLGFILCNLGRRNATRFLSTSSFYPSSAGCHSWSPLDARHGRILFCYNPVRSLEGWSSTSGLRSLVRSTSCPSCGSLTLTVPVGTPRCSVEIRAASTWSAPPVAPSLWSWWAGPYSRASLTLTSTHRRPTSGARQPLFSNLGMSSNVALALPVPLS >Sspon.02G0033740-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:8736580:8737048:-1 gene:Sspon.02G0033740-1P transcript:Sspon.02G0033740-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAALKVMFRLDLACEMRMLSADELHLYDDMKSLLPRLEAEIGEVATAPSIALEDPSTCQLHAPSVALAGANEVPSPMHHSDQCRALSQDQKLRLQLSMLEPAVEDDEITEALYGHSVRRRRLPIFRDICPE >Sspon.02G0019370-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:62914587:62929581:1 gene:Sspon.02G0019370-1A transcript:Sspon.02G0019370-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LSAKTIQNAFLAQHPRTRHGTRYPSDFCSLNTRSSHSFQECRLTVADSSAKCLNTTSTLWTSFNKQAGISRNAAQGGTVAPSSEKVEFLKLQNGSDIRGVAVAGVEGEPVNLTEPVTEAIAAAFAAWLLNKKKADGLRRLRISVGHDSRISAHKLQNAVTHGITSAGHDILQFGLASTPAMFNSTLTEDERSHLPVDGAIMITASHLPYNRNGLKFFTSDGGLNKTDIKDILERASKIFEESAHGNLKEQGEASRGVVANVDYMSIYASDLVQAVRKSAGDKEKPLEGLHIIVDAGNGAGGFFVDKVLKPLGAVTTGSQFLEPDGLFPNHIPNPEDKTAMMSITQAVLDNKADLGIIFDTDVDRSAAVDSSGRELNRNRLIALMAAIVLEEHPGTTVVTDSVTSDGLTVFIENKLGGKHHRFKRGYKNVIDEAIRLNSIGEESHLAMETSGHGALKENHWLDDGAYLMVKLLNKLAAARTLGSSIGSKVLTDLVEGLEEAGVTAEIRLKIDQNHADLKGGSFRDYGESVLRHLENAISKIQISIKPPRTMRVRVSGYGGWFLLRLSLHDPVLPLNIEAIESHGMCAYYRHQVTMMRLNWGLRTGCDGTEQIRAAVNIGTLASFCEQLR >Sspon.03G0017310-4D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3D:41589284:41592175:-1 gene:Sspon.03G0017310-4D transcript:Sspon.03G0017310-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDPPVAEATRLGSIPSLGISRVWHRCFPLRELSSVWVIDSVAEEVERCKSTDDMVFIFGGLGPLHSDVSLAGVAKAFGVRLAPDEEFEDYLSQLMGNNYTGDRNEIKCRNVIALAATNMDELETEWDCLLDTQESGLMPAKPFVSKHLSTTLSDVQIAPVLAKLCLEFSDVYIGCHRISRAGPLVVNLTGKDNQRVDVAAEKLTSSFEGQFSQVDSCK >Sspon.04G0013660-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:56096278:56099077:-1 gene:Sspon.04G0013660-1T transcript:Sspon.04G0013660-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGSQNGGPRPRPVKAETIHGLARAGDLAGVQRKLRENPALLNDKNPVMCQTPLHVAAGYNNTEIVKFLLNQQGAETVDLEAKNMYGETPLHMAVKNSSCGSTNLLLEHGAHIEAKANNGMTPLHLAVWHALQAGDCSTVSVLLSYNADCFAKDDEGKMPLNHIPGGAGSEKLLKLLTHHMEEQRKKKALMSCLEGKAMSEFEEAISQIVGLQELKMQLRRWARGMLFDEKRRAMGLGIARRRAPHMAFLGNPGTGKTMVARILGKLLHMVGILPTDKVTEVQRTDLVGEFVGHTGPKTRRKIHDAEGGILFVDEAYRLIPMQQSDDKDYGLEALEEIMSVMDSGKIVVIFAGYCEPMKRVIASNDGFCRRVTKFFYFDDFSTT >Sspon.01G0002590-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:7884426:7887629:-1 gene:Sspon.01G0002590-4D transcript:Sspon.01G0002590-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGATPRLSTLHLFFPLSFSLALLCCVAVCNAAADEAAALLAIKASLVDPLGKLGGWNSASASSHCTWDGVRCNARGVVTGLNLAGMNLSGTIPDDILGLTGLTSIILQSNAFEHELPLVLLSIPTLQELDVSDNNFAGHFPAGVGALASLTSLNASGNNFAGPLPADIGNATALETLDFRGGYFSGTIPKSYGKLKKLKFLGLSGNNLGGALPAELFEMSALEQLIIGYNEFTGAIPAAIGNLANLQYLDLAIGKLEGPIPPELGRLSYLNTVYLYKNNIGGPIPKEIGNLTSLVMLDISDNALTGTIPVELGKLANLQLINLMCNRLKGGIPAAIGDLPKLEVLELWNNSLTGPLPPSLGSAQPLQWLDVSTNALSGPVPAGLCDSGNLTKLILFNNVFTGPIPAGLTTCSSLVRVRAHNNRLNGTVPEGLGRLPRLQRLELAGNELSGEIPDDLALSTSLSFIDLSHNQLRSALPSNILSIRTLQTFAASDNELTGGVPDEIGDCPSLSALDLSSNRLSGAIPASLASCQRLVSLNLRSNRFTGQIPGAIAMMSTLSVLDLSSNFFSGVIPSNFGSSPALEMLNLAYNNLTGPVPTTGLLRTINPDDLAGNPGLCGGVLPPCGATSLRASSSEASGLRRSHMKHIAAGWAIGISVLIAACGVVFLGKQVYQRWYVNGGCCDEAMEEDGSGAWPWRLTAFQRLSFTSAEVLACIKEDNIVGMGGTGVVYRADMPRHHAVVAVKKLWRAAGCPEETATVDGRQDVEAGGEFATEVKLLGRLRHRNVVRMLGYVSNNLDTMVLYEYMVNGSLWEALHGRGKGKMLVDWVSRYNVAAGVAAGLAYLHHDCRPPVIHRDVKSSNVLLDTDMDAKIADFGLARVMARAHETVSVVAGSYGYIAPEYGYTLKVDQKSDIYSFGVVLMELLTGRRPVEPEYGESQDIVGWIRERLRSNSGVEELLDASVGGRVDHVREEMLLVLRIAVLCTAKSPKDRPTMRDVVTMLGEAKPRRKSSSATVAATVVDKDKPVFTTSPDS >Sspon.02G0006350-3D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2D:12717282:12718601:1 gene:Sspon.02G0006350-3D transcript:Sspon.02G0006350-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLAVLVFLVVCATLASGAASVRVGLTRIHSDPDITAPEFVRDALRRDMHRQQSRVFGRELAESDGTTVSARTRKDLPNGGEYLMTLSIGTPPLSYPAIADTGSDLIWTQCAPCGAQCFAQPAPLYNPASSTTFGMLPCNSSLSMCAGALAGAAPPPGCACMYNQTYGTGWTAGVQGSETFTFGSAAADQARVPGIAFGCSNASSSDWNGSAGLVGLGRGDLSLVSQLGAGRFSYCLTPFQDTNSTSTLLLGPSAVLNGTGVRSTPFVASPAKAPMSTYYYLNLTGISLGKKALSISPNAFSLKADGTGGLIIDSGTTITSLVNAAYQQVRAAVQSLVTLPTIDGSDSTGLDLCYALPTPTSAPPAMPSMTLHFDGADMVLPADSYMISGSGVWCLAMRNQTDGAMSTFGNYQQQNMHILYDVRKEMLSFAPAKCSAL >Sspon.03G0022390-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3A:68180666:68188034:1 gene:Sspon.03G0022390-1A transcript:Sspon.03G0022390-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADWASIQTDILGIIIKKLPIPDYIRFRAVCTSWNNVCKDVSYHPRVDPWLMLPPDQNPLGAQFFCIPERKNQSIRLPNTASLFESVWAPVGSSNGWIIYFSQTYGTMQLVNPISGKHIQLPPIGRRTFSKAKLLEMNERNFIVAVLYADEKGYKVTRQGSSNWSSVESKFILDDIIKHRGRLYTCDMYGTVEMWAEPPHAWPDEEVTHRWRFRCLIETPAGDLIRVKRLCQNKFAVWILDKGTFSWVGTENIGDLALFVSHYNSFCFPANDHPNLKANCIYFIDMYNNLCAFNLEHGTKELVQTLTIGQGQGRNDYYRRPQRDQAVTWALGEARRRGSGIRRRGGARGGAEAARRRTCARARGKIGPARVRADSRVRATFARPRRSSSSPPAPDLLDPASPPSPLVAVGGKVLFPTGRRSRLRSSPPGSCSPPVVFPAWKHLPAGRRSPLPRLEAAPRLLLFPGHQGWRPLRKHPLRQQMQDKVRCKFCKKEMSGGIHRLKEHIAHDGKNCRKCPAKTPEALEARKKCKKALDDAKRKREEKTVRDIELRQEVEVSRVQQSQQSDEQQQLNKELWKERTNEVYKYISKWVYNHAIPFNACDNDDFTQMCEAIGQFGPGFVPPGQDLLRERLLDEEYARTKSLLQEREDEKIKNGCSLMTDAWSDRKRRSIMNVCTNCADGTTFIGSKEMSAVSHTSEVIFELVDQAIEDIGPENVVQVVTDNASNNMGAKKLLEEKRPNIFWTSCAAHTINLMLQGIGNMPQFKKVVDKAKAFTIFVYNHTRTLECMRHFTEGKEVVRPGVTRFASNFLTLNSMQEKKDQLRKMVVNSRWDTLREVKSKKGKEATTTILSAAFWRDVKLTIAAFEPLVKVLRLVDGDVKPSMGFVYGELLKAKREVKEALGNSEDRFKDVMAVIEKKMNGRLDSPLHLTAYLLNPHYSYSNPAIFEEPKITEGFIACVEKFYYYDEDKQHQAANIELKKFQNREGPFDKKLAKNFENFDYNPGRASWWRLYGTETPALQKMATRILSLCSSSSGCERNWSGFEGVHTKKRNRLTTVCLEKLVFIQFNSRLLNRRERIKSKKITDVLLSSDTSEAQGFLQEGGDDCALVVFRDEEDEDEMEGCSIVIPYFAMLHLKRYVRGTCIALQGAKSKRGFSFQLLELLPAISLPPATARAFTSSTTALPLLMDSATSAAAQEPPPSQGMQRAPAPRVRARCGCALYLVLFLAVTASFAGAAYRARHRPRDLAFVATAYYLLALLLCCVAKLELLRADPAAGDAQRRRARLAAWAVSVALSVMFASRVADIMPMLPLKLAVWAVTAVFLALGLYLLLCCHDADRPAEPE >Sspon.02G0047230-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:13258048:13260444:1 gene:Sspon.02G0047230-1C transcript:Sspon.02G0047230-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLFAAGWGSLMDALPSPRLMATHMHHSLLPASIAGNPDCCKIIYICRDPKWRMQPSLSFLDVFEPACDGSGLTGPIWDHVLGYWNAGKASPETVLDPPSQRSVNF >Sspon.04G0035770-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4D:16821737:16822129:1 gene:Sspon.04G0035770-1D transcript:Sspon.04G0035770-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTERVRSVQIRDCVSALTTGRGPCEDRTRWSEADTCHLRETPDRTRPGCDRRVRSRSSKVQRRPDASGHQRSDAVARPVWLQYAPCTIGRVRSPLTGASGHSVENSDFDRYERPARPVTSTGASGQPVFC >Sspon.06G0011810-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:51564660:51565302:1 gene:Sspon.06G0011810-2B transcript:Sspon.06G0011810-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVLLAVAATVVMVEVAAAATYTVGSPAGLWDMQTDYAEWVKTKTFHPGDNITFTYSPELHDVVEVTKAGYDACSSANNISAFRTGNDVVSLTAAGTRYFLCGLTGHCGNGMKIRVDVVANAASSAPGPAAAAGPASSSAAVPTSASRAAAGIAAGVAAASLLLLHQQQRHAVVS >Sspon.03G0015160-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:48561299:48563139:1 gene:Sspon.03G0015160-2P transcript:Sspon.03G0015160-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPAKPEVTEEAKMDLLEDDDEFEEFEIDQEWDDKEEGNEALQQWEDDWDDDDVNDDFSLQLRKELESNASKN >Sspon.08G0010610-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8A:46868903:46869983:1 gene:Sspon.08G0010610-1A transcript:Sspon.08G0010610-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVTNRDLLKPLSWPAAETEWQLIHFLGKDRHGYLHKDTLRGIYDGTVFPKLRDHKIDPHHSDA >Sspon.01G0033640-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1A:113154695:113159061:-1 gene:Sspon.01G0033640-1A transcript:Sspon.01G0033640-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSNTSNPFFGFQVTEKLSKANYALWKAQLLAAIRGASLEGHINGKVPVPDAELVEKKADGATTTKPNPAFEAWYARDQQILWLILSSAGKDVQGQIITAETAGQAWSAVEKMFSAQTRAKTMNVRLALTTTKKGTMSITDYVSKMKGFADELAAVGKALDDEELVAHICNGLDADYNSVVTSVTARLDPISIPDLYAQLLSFETRLELQDGGGSYAHVANRGRGHNNRGTGAPRGRGQPRGRGPPRGRGNGAPRQRNGGRPPRSDVASGSDDPPLCQICFKTGHRASRCWHRFDENYVPEERHVNAAMSMGAYNVDTNWYTDTGATDHVTSDLNKLSMREKYHGNDQIHTASGSGMEIKYVGHTTVPTQSRSLHLNNVLHVPKAAKNLVSVHRLVKDNSAFVEFHPDFFLIKDQATRNTILKGPCRRGLYPLPASSSVKQANAVDKPTVSRWHDRLGHPSFSIVTKVIDSNNLSCSSVSNKQTVCDACQQAKSHQLPYSRSVSSTHFPLQLVHSDVWGPAVESVGRKRYYVSFVDDFSRFTWIYFLKYKSEVFHKFHEFQTMVERQFDRKILAMQTDWGGEYQKLNSFFSQTGIVHHVSCPHAHQQNGVIERKHRHIVEVGLSLLAHASMPLKFWDEAFMAATFLINRLPSKVIENQTPFERLLKQKPEYLSLRTFGCACWPNLRPYNHHKLELRSKQCAFLGYSNLHKGYKCLDIQSGRVYISRDVIFDEGVFPFSTLHSNAGARLRAEIALLPPDLVPSLPRNSPGVPSVYFCRYCAGGSCTRRRAVVSSASIGRLYVSNSSWGDSGCCRVGPVLPACRRPGDTAAATEAAAPGDGSSEAAPAPEIGSSTAAGSSMGVTETPSRPHTRLRDGIRKPKVYTDGTVRYGCFTASGEPQSLDEALKNRDWKLAMDAEYDALVNNKTWHLVPPKKGINVIDCKWVYKVKQKSDGSLDRYKARLVAKGFKQRYGIDYEDTFSPVVKPTTIRTILSVAVSRGWSLRQLDVQNAFLHGYLEEDVYMKQPPGYEDKSKEKYICKLDKALYGLKQAPRAWYSRLSNKLCQLGFKASKADTSLFYYNKGTVIIYMLIYVDDIIVASSTQEATTCLLNDLRKEFALKDLGDLHFFLGIEVKKMNNGILLTQGKYAKDVLQRANMMECKPVNSPLSTSEKLSAHEGDLLGPQDATAYRSVVGGLQYLTLTRPDISFAVNKVCQYLHAPTTVHWATVKRILRYLKHTMAIGLKICKSSSLLVSAFSDADWAGDQDDRRSTGGYAVFLGSNLISWSARKQSTVSRSSTEAEYKAVANATAEVMWIQTLLYELGIQAPKKAKLWCDNIGAKYLSANPVFHARTKHIEVDYHFVRERVARRLLDIEYISTKDQVADGFTKPLAVRNLEMFKNNLNLGSCD >Sspon.04G0027110-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:55838539:55853096:1 gene:Sspon.04G0027110-1B transcript:Sspon.04G0027110-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLVPPEMPIERKGMPFSFLEKKIFKKLGKAERHDPTKFALFSEAVDMAANFTGKSAQLLWKIKKDHYMFCSINDFYELTKNIFRFLIIGETEKRVVAAIFDEINKSIQNSSLVTDFRMDQLPSLFRKFDRLAELLFINKQEHRYEVTILLQDIVDILIQDMIVDPQSILDVVNSSERLISDDYGAFNYYEPELFASVSSITSIRYPYSDQQKEQVKRLYLLLSTKEKAAEIPSNLEAQRRISFFATSLFMDMPAAPKVRSMLSFSVITPYFMEEVKFSDEELHSNQDEASILSYMQKIYPVRGMMYYRKALKLQAFLDRTNDQDLFQALMATEQGKNKRNVHKTLSAELEALADMKFSYVISCQKFGEQKIKGDPHAQDIIDLMMRYSALRVAYIEEKEVIVNNCPHKEIYRIKLPGPPIIGEGKPENQNHAIVFTRGEALQTIDMNQDNYLEEAYKMRNVLQEFVRRPRDQSPTILGLREHIFTGSVSSLAGFMSYQETSFVTIGQRFLAEPLRVRFHYGHPDIFDRIFHLTRGGISKASKTINLSEDVFAGYNSILRRGNIIYNEYIQVGKGRDVGLNQISKFEAKVANGNSEQTISRDIHRLGRRFDFFRMLSCYFTTVGFYFNSLISVVGVYVFLYGQLYLVLSGLQRALLLEAQTQNIKSLETALASQSFLQLGLLTGLPMVMELGLEKGFRAALSDFILMQLQLASVFFTFSLGTKAHYYGRTILHGGAKYRPTGRKFVVFHASFTENYQLYSRSHFVKGFELIFLLIVYHIFRRSHVSNVVHVMITYSTWFMAVAWLFTPFLFNPAGFAWQKIVDDWADWNRWMKNQGGIGVQPEKSWESWWNGENAHLRHSVLSSRILEVLLSLRFFIYQYGLVYHLNISQDNKNFLVYLLSWVVIIAIIGFVKLVNCASRRLSTKHQLIFRLIKLLTFLSVVTSLILLYCLCQLSIMDLIICCLAFLPTGWGLLLIVQVLRPKIEYYAIWEPIQVIAHAYDYGMGSLLFFPIAVLAWMPVISAIQT >Sspon.04G0020310-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:80109695:80111969:-1 gene:Sspon.04G0020310-4D transcript:Sspon.04G0020310-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Autophagy protein 5 [Source:Projected from Arabidopsis thaliana (AT5G17290) UniProtKB/Swiss-Prot;Acc:Q9FFI2] TLGPRIGYLPLLIPVIKAHFSSALPPGIDTVWFEYKGLPLKWYVPIGVLFDLLCADPERPWNLIVHFRGYPSEILSPCEGEDSVKWSYMNSLKEATFIITGNSKSVMNMSHADQVALWESVMKGNVDGYKNISTRLKLGPFEDDGLVRTASAERQRQQNSDEPESPGSSKPVPVRLYVRNVQEDLEYIEDAVPVSDWEGVSYINRPFEIRKVEGRSYFTLEHALQTLLPEFFSSKPAGALDSAADSSDATNSSRRGKQALASPREAGAAKKARVKLVRVQGIELDMDIPFLWVANNLKNPEYYLHICVYVGTRKQ >Sspon.02G0057460-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2D:58460906:58462254:1 gene:Sspon.02G0057460-1D transcript:Sspon.02G0057460-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRQSFGLSLCWTCDPRGEAAMRRGTDFTQARSDQEVNAHSLHAISSIPITAASKSGRQKSIPVTIVINSAITPRCQSRETARSPAHLHRDLTCTATSLALRTRMAPRPHLFVSGPHATFAIAHASADQRHRSITACPRPAPYQPRLMPRPVPIASPPCPLMPACAPAGIPCHDVELATSTHQVHHQDPMVSLVECLAPYLVSWSQNEIVWLVVDGSKPGSVRPTVQLEPGSQRTRMLVVWSQGLVSGRVTFRCICAQAEDDGALPPPRHRLAVVPPQLGRTRPALPRRRSQSQAPPLLWVSLASAAAKMDAGEMEDVRAAVATKVISCRGDSTGIMVGRGRVRGDGGVRVLRLGGTIRAEDALLVHLRARRDSSGAEKRDLRWESIAAIRAKQRSRDSSGAEKRDGLCSPCSEQTRAEQSACSAPPCFLY >Sspon.04G0029260-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:77332201:77336141:1 gene:Sspon.04G0029260-2D transcript:Sspon.04G0029260-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQALRRLFDSFFSTREMRVVMLGLDAAGKTTILYRLHMGEVLSTVPTVGFNVEKVQYKNVVFTVWDVGGQEKLRSLWKMYLSNSDALIYVVDSLDRERIRDARQEFQTIIKDPLMANSIILVFANKQDLRGAMSTDEVSEGLGLHDLRNRIWHIQGTCALRGEGLYDGLDWLASTLKQLQESGHATSVAGPSI >Sspon.04G0002330-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:7950036:7957957:-1 gene:Sspon.04G0002330-2C transcript:Sspon.04G0002330-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDKNDGSEGLKFNTSNLIQTTEEVARAFIAAASAATAQSARPSVVYSSKDESGSPMQKLQQQFSKIMKGFSSSPDLSGTYNPEVLTTQKRQWSRYQLKSLGDRCIREPSHLFESIVIVGLPPHADIQELENIALGRNDEDGKRSRNLFSNSHQVLFAYPPEKPLPLKYKDTLSFCLPGGVQVHAVERTPSFSELNEILLGQEQLKESNQSFRPSKLVSMLMNDKPVFPRRSRYVITTPRCYCILSRLPFFELHFGVLQSILMEERLEWLTDGVSMLTSLSLEESCENDICEGTEVTAQKQYLDSNTSDVDKSSESSMGVSSKELSDTDSSSGCRENQLDSVSKEQQQNSSCDKEQSDLKRAIVTCCDASEVSDHFVPEDTSSGQSGAKHHELDSASGIQDESGAKNCDDSPKGNVDDEELDLFITDTILPLMRSRLCEDCESSPSSQGSPSEGRNFDTQESDSEEPSSIGDGDLVRHNNILQWAKAKKYGSLQVVCQYYQLQCPARGSSLTFHPLEHLHPLSFHRPGETVLHIAGSTIELRSRDTSLEVAEMRNSLFAEEESTALSTWAVASICGCLRLEHVLPNDMMDFLDAPVPYIVGVQNKTSDLLNRLPNAVVVDANRNQ >Sspon.07G0015830-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7A:56191483:56193657:1 gene:Sspon.07G0015830-1A transcript:Sspon.07G0015830-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIESPFDDLYTITTSRQRQDGFSSSSSQRQLTLYDQRLCDPQFFSQSTNESNMQFSGAVTIPGMYNVSSNLRQNHLQIAAGVSPDYQRVRPNNALHHVSQILMEDIDERVDSHEGEAALQAVEKAFHGILEQVYPPSLEWSPLHHSGEAGDGPGEGSNSYHKRPRRSSFTTDISSHSMLQSFLAPMSPYSYGRMNLPYQPLASTGRASRFGFPALQIRREAKDAKGFDKMVIHLDGDKLSICRLTTAKAEKVPGKSKYAIVPYIQDLDTREGWSKNYTITCEINHNDKLDSVLLCYGPDCFNETARLRDMAAKEASKNSPKGESKATAQQKSRGTRQLKKEVVDLRTLLIHCAQAVAADDRLLASELIKKIRQHSSRDGECCQRLAFYFVNGLEARLAGTGSQLFHKMLAKRISEDDVLKVYNFYLAVCPFNRASYTFTNQTIMETSAGHSRVHIVDFGVCTGFQWPSLIQLFGEQGVPPRLRITGIEVPRPGFSPLENIERAGKLLADYANMYKVPFQYQGIYSRYEDIHIEDLHIEEDEVLIINCLYRMKNLGDETVAMDSARDRVLKIMRRMNPKVFIFGILNGSYSSPFFVTRFKELLFHYSSLFDMLDANVSRNNEARKLLEGGMLGREILNIIACEGADRIERPETYQQWQARCLKAGFEQLPLDPAIMKSALWMKKNIYHEDFVADEDNGWLLQGWKGRVLYALSKWKINESCADQ >Sspon.01G0036830-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:19738242:19747366:1 gene:Sspon.01G0036830-1B transcript:Sspon.01G0036830-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPPRGQPATAAAPAAPAATSPQLPAASHPLPRAFLATSSPRATAAAPAAPLFTGRPLNPNPPGHGSSVPHGILYPVLRSASTTNSAAAAVTTQLRRVPPMAVGYPRTHAVAVPIAQQQQPLVRELPRSFAAVPRALVAGVAARPEQPPRGVPIASQPKVNPVPPVAPSNEQSNPKDREKSREEPTVVVINDRKVNLLDSESGSLYTLCRSWVRNGIPHESQPSFGNGEPLLPRPLPASVVDSRISERDNNDAAGEDSDEEPQKNANGEYNASDLLKQHVKRAKRIRAGLQKDRSRRIERYKQRLALLL >Sspon.01G0011310-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1A:31014204:31016640:-1 gene:Sspon.01G0011310-1A transcript:Sspon.01G0011310-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGKTAATSWCRHCGMGLVAPPPGSSGSSVRCAFCHGVTRIEHRHQQRGGAVGDSAPMTMRTLAAAASLPRPPPVSAGLVEIPAGYPRRVSGGGSKRALLVGVSYTGTKYELRGTVNDVKEMRNLLCDRFGFPSACILELTEKESDPTRVPTRENLLRAMRWLVEGSRGGDSLVFHFSGHGVQKLDMNDDEVDGYNEALCPMDFERSGKILDDEINEIIVRPLGKGVKLHAIVDTCHSGTILDLPYLCRMSRTGYWQWENHSRPSGMTKRPNGGLAISISGCSDDQKSADASGFSDESSSIGAMTDSFIKAVEAEPGTTYGRLLSAMRTRIRDGQGSRRLPGRLGSFVRWMIPSSGVQVKTKHSLRLFQPKSALPAAPPLLA >Sspon.05G0022680-1T-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:5B:3813750:3814169:1 gene:Sspon.05G0022680-1T transcript:Sspon.05G0022680-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding QSAATTGRRCADAAGISAHLSCSLDRGAPDPLAAAAAWPCSTSSLDVSPRDPDLLAFGHRSGTPAATVPAKEKEATPDCIETRSGRLRSGTPAATVPAEEASPDGINTFAAATVPGGEEEASPDGCIGTHSGHLRSFLYR >Sspon.02G0039960-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:63300384:63302931:-1 gene:Sspon.02G0039960-1B transcript:Sspon.02G0039960-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRQPGQKKQFKSIKIEGAQHCGLITEEWAGMGGAGPILTGKHRFEEGAHQFVDAPIYKEVLHGRPNYNKVLQLDKKAASVALRRTNPSARGCRGVEEALHPDGLTVHSVG >Sspon.03G0028660-1P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3C:13861284:13867671:-1 gene:Sspon.03G0028660-1P transcript:Sspon.03G0028660-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFEFLKDLEKADGKFKVPLTSYHVTPILEHCKVGSTRKHIAVAYGQKGGKDNLAILENLVQLRHKFARLLGYTNYADFAIEPRMPRTSRKVLEFLEEMSEQLSDAANRELSILKDLKIKEEGNAQFGMEDLLYYIKRAEEFKVDLDIGEIKQYFPVSLVISGMLKMFQDLFALRFDEIKDVDVWHDTVRVFSVWDASSSDLLGYFFLDIFAREGKYAHTCVVTLQNGCLCSNGTRKVPAAVILSQCPKEFDGNSALLRFPEVVRLFHEFSHVVHHVSNRATFSRFSSLRLEGDFAEIPSLLLENWCYESISLKMMSGFHQDITKSITSEACQSLKRRRDLFAGLKMKQEILLCLVDQIIHSSENVDIDDLIKDLHPKVFAADLFVSKFKDDLLNQYAGLRFRNKVLALGGSKDPLEIITDYLGREPSLQPFIQSRTRNSL >Sspon.05G0030210-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:87696006:87700459:-1 gene:Sspon.05G0030210-1B transcript:Sspon.05G0030210-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPPPYAAWARAGTIGDCPVLLASSRRRRPGSRPAATLQRTPPGRLHRSQQPAPATRIPARHSPSRKVKDLRRLYWTHNNLTVMDVTPLWNHSSDALLYAHHPSHMVDLNKTQNPDGFSHCHELGRQAPHFLVNGVSWGNLDAKGSIPRKQVFQRDEGKSGCHYIIDLEKPATLDDEDADYANHNGGLSDNSQCVPLESSSVMRGHLCTDQSAPYVTSGSVGSSDTPDSHSPVRTKNTASGRMFIDLNVAQEDDFNFCPDPSKLVCSTSTSSATRQPGGFCNNSSKTFLKGSESSIGSSKGSSVTVATTITVPDSSREVLAAGVFRDFQSHKPFFMEMSNP >Sspon.02G0049620-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:41336763:41337196:1 gene:Sspon.02G0049620-1C transcript:Sspon.02G0049620-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHDIVSYRKIVKIKVGFGYEGPCARVKDRLEARDTMDCPGDEVQAEGFAPMDQSNGEEKTRLDDEDGGWMLVGIPDTEID >Sspon.02G0052780-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:85291560:85299014:1 gene:Sspon.02G0052780-2D transcript:Sspon.02G0052780-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPADSSSTTAATTAGQDEAVVKTTMPMPLPRAIQLVMTISSRWKSSTTTTASTKTIGELGEHGVDRVRVFLWIKLVFLPSMYIAAAAGMTYCILRDGGELISGAGGFGFRAVPVLLCVYMAVLEAAGGHLGLFAQRTPYAAWEAFHKGTFHEGMGFLVVSTSFGVWVLRLIDQACRCSLPGLTFSDYSLLPSLPCGSASFGPMATATAAKNDEATTAVRRLLTGLTGHLPFFPTESPTKSKPRMQMPAATSLRPCRHTRLRRRTHLNPRPCPRSSLLRYHGRYPAGCCSPSRSQLYLCSPRHAVAIAALELHHGVHSCSHGHNHLRRHHPRPRAARRAASLAGRGGSGHGAPSPGRGSSNRGRGAASRRVACSRSGRGARAASHSGAAEPGKKLAGALGRTRKKVVGSGGSAKFQTYVTEGQPGSDRRSELRINMAAPADSSSTTAATTAGQGAGRQQQHTQMHMPLPRAIQLVMAISSGSKSSTSTSSTKTIGEYGSDRVFLWIMLVVLPSICIATAASSTYAILRDDDAVEELISGAGGYSFLAVPILVYVYMAVLLAAVGHLGLFAPRTPYAAWDAFRKGYEWIGVLAMVISVGVCLLRVMLNQAWPLLVAWNYLLGLLVASTIAFWLRLLRTYGDGGGERRRENSSCGEAVIDGLAYLFGRLLYEFAVRFHGVADASGMQQLDAADVAV >Sspon.01G0004740-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:12730329:12732739:-1 gene:Sspon.01G0004740-1T transcript:Sspon.01G0004740-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRPGIAGLQNAVATRDQFRLVGENVAKVRTDVMKEQLATFRSQLEEFARKHKVVHSPSDIRKNPVFRQQFHEMCAKVGVDPLASNKGVWAELLGIGDFYYELGVQIVDICIATRSHNGGLIDLLDLRKLLGQKRKATLESLSEDDCLRAISKLKVLGSGFEVISVGRRKLVRSVPTELNKDHSGILGLAQAEGYVTVEHVEREFSWSTGRAIDALETLLKEGLAMIDDGHRDGKRRYWFPCVTVSSDTAAVEK >Sspon.05G0010770-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:32950864:32955616:1 gene:Sspon.05G0010770-4D transcript:Sspon.05G0010770-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LRGLQSVPPLLRVHLADKRACEASVLDDPEYAYDVATNRDNSSVVAAANSADPRLLLSVHLVAGLDALRGHDPSNQARGVDFSPNNHGIQVHCTVLCHGGFRALVHSPDAEGYRVRKANYAGVRLKFYNANETQLRVHLDRGCLCSTMPTTVRPAPFLTPPPTAASASSNAEDGVCSTLPIRCSTLNLYQTAPALAARSRTMPSPSSSSPQALVLLAVAVCFLARSSHVAAGGHPDYADALAKAILFFQGQRSGQLPPDQAVTWRSNSGLSDGSAANVDLTGGYYDGGDNAKFGFPMAFTTTMLSWGVLEHGGKMKARVHDARAAVRWGADYLLKAATQTPGTLYVGVGDPDADHRCWERPEDMDTPRTVYAVSASAPGSDDELLWGSAWLLWATKNSSYLGYLYSLGDNDSVDMFSWDNKLAGARVLLSRRALVNGDKRLEPFRQQAEDFFCRILRDSPSSTTQYTLGGLMHKSGYANLQYVTSASFLLTTYAKYMAVTKHTFSCQSLPVTARSLGALAKQQGMSYMVNFGARWPQRIHHRASSLPSVAAHPAHIGCQEGFQSYFYSSGANPNVHTGAVVGGPDEHDEFPDDRADYARSEPTTYTNAPLVGCLAYLAG >Sspon.01G0008230-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:34564203:34567823:-1 gene:Sspon.01G0008230-1P transcript:Sspon.01G0008230-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDIQKRRVRLLLLITGVLALSMTAEKFRELVGKEAASKSGQFTFMNCFDMGSGSLACTAKEGVKLYVNNIRSAHLEMVRQRAMEKALADAVTQGLTPSEAAKQAQKISAKATKVAARQANRILGPIISCGWDFFEAMYFGGSMTEGFLRGTGTLFGTYVGGFHGEERFGKLGYLAGSHLGSWGGGRIGLMIYDVISGLKYMFLSIQPEYESSSYASEDGSEYTESYTSRPEESTYYETSEEKQEESKWFGLF >Sspon.05G0028640-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:66229390:66230667:-1 gene:Sspon.05G0028640-3D transcript:Sspon.05G0028640-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVFKGKIWAAIGSLMDHAGTASTIKSSSASAAAVPDRALLADIEAAIERCTGSSSGGGANDDRHVHEILFLVSNAPGAITFLSRRITARLEAARAPAAALRSLLLVHRLLRAGDRYFEQDFRGLWASCELRVDAPRCSCSPLAAAAGVVHYGSAGGAAVVASGACAFVHGYSAYLEERMQWVINQAGNLEPARKPPPPPDHDGGKPPLSSSPSSSSTSSHDASAETLLFKLAMCQRLLDLAIQLLPDNNTSASAAARSAFGIVLRESFKVYDAFAEGVDVMLLLLSRSLAGLSKPSRVTAHEILKKACAQTPELKEFYHKCKRSNASSKSLEYPLVRVVTPAQAFAMEMEPVTMVPIPEEDGCLQEEKVEAKAGAEAIDSSGSPFAHKMETTISTVWVEFDDENQKLIAAAAADDHSLKAVQPS >Sspon.05G0010310-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:29443974:29444516:1 gene:Sspon.05G0010310-1A transcript:Sspon.05G0010310-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding NGGAAAGKRQWSCGPCGGGAPLRAPPCPRRGVGRVHRRRPWRVELQHQHLDQRQALQGWGRPSVQVRLDGAQRGGGERRRLQRLLRARGRQGVQVRQRPRHARPRHQLLHLQHPRPLPGRHEDRRHRRL >Sspon.07G0007130-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:14539350:14545738:1 gene:Sspon.07G0007130-2C transcript:Sspon.07G0007130-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPQRVYEAWKGNNKFFFGGRLIFGPDAKSLILSVSLIVVPVLVFCGFVARHLRHHFPDYNAGYAIPAVAVVFMIYVLVLLLITSAQDPGIVPRAAHPPEEEFSYGNALSGGTPGRLQFPRVKEVMVNGTPVKVKYCDTCMVYRPPRCSHCSICNNCVERFDHHCPWVGQCIGQRNYRYFFMFVSSSTLLCIYVFAMSALYIKFLMDEGYPTVWKAFKHSPASLGLLIYCFIALWFVGGLTGFHLYLISTNQTTYENFRYRSDSRPNIYSQGCLNNFLEVFCSKTKPSKHKFRAYAQEEVRPPTVSFGREVEDEPVGGPRSKVEDDLEIGSDLLKISQRRNYEDVGVEVGGPHRHSSEMEGIANAKFVACSESQIPAVGSDVRVRHSSWDRRSGNWDMSSDVLTRSASDVIERSVFATEAAHPSLAETHYK >Sspon.08G0014520-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:56592999:56599327:-1 gene:Sspon.08G0014520-2D transcript:Sspon.08G0014520-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-damage-repair/toleration protein DRT111, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G30480) UniProtKB/Swiss-Prot;Acc:P42698] MLGGLYGDLPPPSSAGDEDKTSTASVWSSATKMAPPTLRKPSTTFAPPPSILRNQHLRPPKAASTSVPAPPVVAAEPAPATSFQPAFVAVQSTVLEEYDPARPNDYEDYRKDKLRRAKEAELNKELERRRREEQEREREREQREREVREREEKDYQSRASSLNISGEEAWKRRAAMSGGGGGGGAAAQRTPSSPPHGDGFAIGSSSSAGLGVGAGGQMTAAQRMMAKMGWKEGQGLGKQEQGITAPLVAKKTDRRGGVIVDESSSRPPEKKPRSVNFDGQPTRVLLLRNMVGPGEVDDELEDEVASECAKYGTVCRVLIFEITQADFPADEAVRIFIQFERAEEATKAMVDLQGRFFGGRVVQATFFDEERFGRNELAPMPGEVPGFFD >Sspon.05G0001360-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:5225788:5230418:-1 gene:Sspon.05G0001360-2P transcript:Sspon.05G0001360-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MYETFGWWRQADYLKVHFAQSWNEFHHLLIMEELGGDTLWTDRFLARFMAFFYYFMTVAMYMVSPRMAYHFSECVEKHAYSTYDKFLKLHGEELKRLPAPEAALNYYLNEDLYLFDEFQSARIPCSRRPKIDNLYDVFVNIRDDEAEHCKTMKACQTEGNLRSPHSMPNCLEADSECVIPEDDCEGIVDVSKSPSQSK >Sspon.02G0022670-1P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:76566011:76567466:1 gene:Sspon.02G0022670-1P transcript:Sspon.02G0022670-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDTQEALHIVVFPWLAFGHMIPFLDLSKRLARRGHTVTFVSTPRNAARLGTVPPELAARLRVVKLDLLAVEGMPEGAESTADVPPEKVELLKKAFDGLAEPFERLVTEGCAAAAGEAAAFSRKPDWIILDFAQNWIWPIAEEHKIACAMLLIFPVGVLAFVGTKQQNEAQPRTTTEDFMVQPPWIPFPTTMSFRRHEAEWIAAAFRPNASGVSDADRFWQLHHTSCRLIVHRSCPEAEPRLFQHVTDLFAKPVVPAGLLMPEDDDDAARGGDDGDGSFSDSMRWLDEQPKRSVIYVALGSEAPVTADQVRELALGLELSGARFLWAVRRPVGHSGALLPDGFEARVAGRGVVSAGWVPQVRVLAHAAVGAFLTHCGWGSTVESLFRFGLPLVMLPFIVDQGLIARAMAASGVGVEVPRDDADGSFRRDDVAAAVRRVMAEDEGEALARNAREMQRV >Sspon.01G0004780-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:11329291:11332108:1 gene:Sspon.01G0004780-3D transcript:Sspon.01G0004780-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGKASSPPGAVVCCMCGDRGLLPELFRCSACSVRSQHTYCTDRYPKVESYGTCNWCLRADGGVASTSNSPRSTGKAAARSAPHGGDTTGSGSGRSPKVAARGDFASSNLSKPIKKQQPQQQHAQRLLLRRSASDLGSRVRTDHNAPPPSPGVARGRPRVRRYKLLEEVITS >Sspon.05G0001010-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:3324697:3327357:1 gene:Sspon.05G0001010-1A transcript:Sspon.05G0001010-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endoglucanase 5 [Source:Projected from Arabidopsis thaliana (AT1G48930) UniProtKB/Swiss-Prot;Acc:Q9M995] MARLMTTATKTPATLAVAASFLLLAAAAASASSAPFDYAGAFDKCLQFFEAQRSGKLPTDRRVHWRGDSALKDGYLQGVDLVGGYYDSGDHVKFGFPMAYAVTMLAWGVLEFEKEMVAANNHQRALDAIRWGTNYFVKAHTEPNVLWIDTNHPGSEVAAETAAALAAASKAFRPYDSMYADLLLLHAKQLFTFADTFRGRYDDSLLSAKKFYPSGSGYEDELLWAAAWLHDATGDEKYLQYVSQNAEDFGGIGMSMLEFSWDNKYAGLQVLLSKAVLAGGGGEYADTLRQYQAKAEFFLCACLQKNGGHNMKLTPAGLLHVDEWNNMQYVSSATFLLTVYADYLAASHGGALRCPDGEVKPGEMVRFARSQADYILGKNPRGMSYMVGYGSYFPTHVHHRGASIPSVYAMESPVGCMDGFDRYFNSKGADPNVLHGAVVGGPDANDGFVDDRCNYQQAEPTLAGNAPICGVFARLASEPADASGSPLEFVHTVSNSWTTNGVEYYQHVVTAKNTCGHPITYLKLHVKGLSGPIYGVSATAAKEKDMYELPAWLTSLGAGEQLTIVYIQGGSAAKFSV >Sspon.06G0012260-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:65295004:65297360:1 gene:Sspon.06G0012260-1A transcript:Sspon.06G0012260-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MWIISLNAESTPKPSYHRCKCGYCKSKDSASKYLIQRPPKTVNAFWVSKERGAGGEPTTEAKTAAVAKSELAKPSCRPTATASAVTVAECEDGIPPEPNSCFASHRFSLYLHGARAADADPVRERAQIRALTEPAWRERGGGVPGGEDLDGLRDGEGEHGGDERAVGEHGQVGERGRARRRGGCGGGGGDDGFRHGSLT >Sspon.06G0004120-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:11216312:11217782:1 gene:Sspon.06G0004120-2C transcript:Sspon.06G0004120-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAVALAAVLMLATALALLAAAPAPAAAVEFGAEDLASEEALWALYERWRGRHAVARDLGDKARRFNVFKENVRLIHDFNQRDEPYKLRLNRFGDMTADEFRRHYAGSRVGHHRMFRGDRQGGASFMYAGARDLPTSVDWRQKGAVTDVKDQGQCGSCWAFSTIAAVEGINAIKTKNLTSLSEQQLVDCDTKANAGCDGGLMDYAFQYIAKHGGVAAEDAYPYKARQASCKKSPAPVVTIDGYEDVPANDESALKKAVAHQPVSVAIEASGSHFQFYSEGVFAGRCGTELDHGVTAVGYGVTADGTKYWVVKNSWGPEWGEKGYIRMARDVAAKEGHCGIAMEASYPVKTSPNPKVRAVVGGEDGDSHDEL >Sspon.07G0005880-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:33883153:33889368:-1 gene:Sspon.07G0005880-2C transcript:Sspon.07G0005880-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Translation initiation factor IF-2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G17220) UniProtKB/Swiss-Prot;Acc:Q9SHI1] MASPASVTNLGSNGRSGPLPAAAAAAAARRAHLVTRISFTGFDGIRRWQYEPGRLCRCMVITNLIEEKGVQFSSRGSVSVKADDDNDLLLKPPQKPVQPNGPPESMKTASLPDQKSAGATLDDREKVRESLDAVLEKAEKLEASSFGNADGGNLSSRQNNVSSNGPGPTLVEEGGNSRKTKTLKSVWRKGNPVPTVRKVIREQPRTESRSQSIPVAKPSVSSPSKPAPLLLSKPSVAPLPRRPVKSDTSKEKKGPILIDKFASKRAAVDPIVPEELLNPLKPVRGPPAKVRVDRRKKPDTQAGSRRRMPNDDGLVDEDTADVPISGVAVRKGRRWSKAKRRAARLEAMQAEEPVRVEILEVGEEGMLIEDLAYDLAVSESEILRFLSVRGVMLDNVQTLDKDLVKMVCMEYDVEVLESGPVKVEEMAKKKEFLDEEDLDKLEVRPPIVTIMGHVDHGKTTLLDYIRKSKVVASEAGGITQGIGAYQVLVPVDGNPQACIFLDTPGHEAFGAMRARGARVTDICIVVVAADDGVQPQTSEAIAHARAAGVPIIIAINKIDKEGANAERVMQELSQIGLMPEMWGGDTPMIQISALTGDNVDELLETIMLVAELQELKANPHRNAKGTVIEACLDKAKGPLATLVVQNGTLNKADIIVCGEAFGKIRALYDDRGMLVDKAGPSNAVQVIGLNNVPLAGDEFEVVDNLDVARERANERAEALRLERISAKAGEGKVTLSSIAASVSSAKQTGIDTHELNVILKVDFQGTIEAIRQAIQALPQENVSLRFLLQAPGDVSVSDVDLAVASEGIIFGFNVRAPGSVKNYAKKKSVEIRLYKVIYDLIDDLRNAMEGLLEPAEEEVPIGSAKVRAVFSSGSGKVAGCMITTGKVVQDCNVRVLRKGKEVYVGSLDSLRRVKEAVKEVGAGLECGIGVDDFDEWQEGDIVEAFNTVKKARTLEEASATVTAALKDAGVPL >Sspon.03G0003610-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:15021089:15023900:-1 gene:Sspon.03G0003610-2P transcript:Sspon.03G0003610-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSTPGSFGGTLANSGPVALSFPTTSFANFLGGGGSASSGADNGGVGLSKFKAMTPPSLPLSHPPASPSSYLHAFSGILDSPILLTPSLFPSPTTGAIPSEPFNWMGTSESLGGSVKTEQRQYTDFTFQTAASAPATSTMTGAAHTASFLPQSSVLMAPLGRAGDPYNGGEMQQQQPWTYQEPTAQFEAPPDNMLGNGGYSGAPGPVVSGFREQSQSNRPSSDDGYNWRKYGQKQMKGSENPRSYYKCSFPGCPTKKKVERSPDGQVTEIVYKGTHNHPKPLSTRGRSASSASAPVAAASYVLQSASDAVPEHSFGALSGTPENSSGSFGGDDEINGVSSRLAGNFGTDDLDDDEPDYKRWRKDGADGDAAGVSVAGSNRTVREPRVVVQTMSDIDVLDDGYRWRKYGQKVVKGNPNPSRSYYKCTTAGCPVRKHVERACHDTRAVVTTYEGKHNHDVPPARGSASLYRAALAAQQAGGYQQGGGLIRTADGFGFGAGGHGAPMQAAESGFALSRFGDAAGTAAYSYTSHHQHQQQTTNEEMCYAKDEPRDDMSFFEQPHLF >Sspon.04G0020120-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:70832944:70839411:-1 gene:Sspon.04G0020120-1P transcript:Sspon.04G0020120-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKKGGHIGSSLTSSTSIRHTGSSASPAQATMPAVASLPAPAAAAQRRRFSPVASASLRRVASGGGSSWRSERRLMSELERTVTAGAAERVIRSYVGTKSERAALAALSRLLMDSDPLAIPFYEAVTQARWFKWSSIHAAAVAALLEANGSTGESRSLISDSIFQHLQSTSEVALFYCDLMAAFSSCGLKDRAMDFYKELRSMPLSGRKTYMAMIKSLCLMGLPTEAEETLREMISLGYQPEAFQFGSVAKCYGKSGSLVDMERVIASMADAGIRFGTGAADTVLSCYSSCRDHSKMLAWLKKMRKLRIAPTTKGYNFVLNSCSSLALVVQELGPSLPLSTTGLVKRLRSVSTPAAEVELVQELLASSSVLDKAMEWSETEVKLNLHGFSTVSAYVLMLQWVDVVKGRELPLEVSVVCGIGKHSDVRGEPKVRELAQEVLSRMGSPLQLSTRNKGRLVAKRDRVKQWLASFLVPEEGTDQSPDATNQQPFLLDADGTDKLCWSIVEGKSRKLGGSLGRVKQVPEEVFAAITAAAGDGGAPYLSIEPATTAACTLHFLQPCRKKALGYLIQSLNTFSCWVLTSQPGDLFSEKKSNASFDPALMRKMDFDSDSATLPALNLVDIWSLRSEKYPICWVMLIVEPWRNDHLDFSGQTYSGIKKTRASRSEVGSAHVGCCSCHRRVPRHLTAAVGSSGRHERQLSVLTQEVRADEAVAEPAGRPAAGEVDAVVREVGSGDAPRQVVVVPHVGSGVAEDVHRRDLRRRGAACRHCHRQKKHPMQRSSVHSHGWPRPTTGVGIEMLSLDLSVVYPNACVGLNIIYYYLLEHHDTEEVKINDFRMSRTREFSSMLHIPETQPKRRNSVRPSNSSKRASPRPNKAHRSFHGPKPQHYTSRAQHSE >Sspon.05G0017950-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:72104512:72110853:1 gene:Sspon.05G0017950-3D transcript:Sspon.05G0017950-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPQQQQSIQLAAGASPAGSSAKATPPLQCDHERLVVGNMCRSLYLAVYKGRMAEVTALLLQQHAAAAIDCRGTVIVMHGQCDILELTAERNTVVHLAAEQGHHELIRELYLRFRDQGLLARQNSALDTPMHCAARAGHARAVAVLVELARDRGENILGCKNEAGDTALHLAARHGHGAAVEVLVSAAAQPAAELNNAGVSPLYLAVISGSVSAVRAIITKCKDASSMGPSAQNALHAAVFQSSEMVHLLLEWRPALADQVESSGSSPLHFASSDGDRTIVNAILRASPPSTVYRKDSSGLSPLHVAARMGHHRVVEDMLGICPDAAELRDGNGGTFVHAAAREKRSSVVSLATKNPMLRGLLDAQDREGNTPLHLAVAAGSTGIVEDMLHNGKVRANVLNNDGHTAFDLAAGSTTSFFKMVSQVVALVAYGAQIRPQRQDQLKQWGGRDMVRKGIQNTSDSLAVVAGLIVAAAFAAGFNLPGGYGDDGKANLKDETVFKSFLLMNTGAVATSVVAVILLVYGKASHSAGSWKSFAAALHLLWLSLVFMMLAFQAALFSVATTRALNYGFVAVYTCIFVLQVCIAEWLGPATRLRTIWRFHWNGRHVDVKRQYPFAKATVLNLQLFTATSFLVSLGFEVIFFLSRRSMSTSIAPSPSALY >Sspon.07G0018980-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:74247899:74250721:1 gene:Sspon.07G0018980-1T transcript:Sspon.07G0018980-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding NANSQFYYQDTDGLHKALEASILRNEGSWSGSSHSSAAERTLPFQVKGGEWEIDKRLLKMGGIIASGSCGDLYHGTYLGEDVAVKVLRAEHLNKNVWNEFTQEVYILREVHHANVVRFIGACTKPPQFCIITEYMSGGSLYDFVHKQHNVLNLTTLLKFAVDVCRGMCYLHERGIIHRDLKTANLLMDKDHVVKVADFGVARFQDQGGIMTAETGTYRWMAPEVINHQPYDNKADVFSFAIVLWELITSKIPYDTMTPLQAAVGVRQGLRPGLPKKTHPKLLDLMQRCWEADPSNRPAFSDILDELEDLLAHVQGTSGKTVQDPSNNSNTKD >Sspon.03G0001920-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:18037491:18039789:-1 gene:Sspon.03G0001920-2B transcript:Sspon.03G0001920-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEGKKSMCKIPYSPAVVTHTDTATPSRQQPINCRHRPHTHSLHQLANHEPSSPASEFYAESSRALRSTSNSHYYPAAAMEVTSVELGHTAASKCYDDDGELARPGNKQPAVHATSACLPAHIITAVIGSGVLSLAWAIAQLGWVAGPAVMLLFSFVTYYTSALLADCYRSGDACTGKRNYTYMDAVNANLTGGIKVQLCGFLQYANIVGVAIGYTIAASISMLAIKRANCFHVEGHGDPCNISSTPYMIIFGVAEIFFSQIPDFDQISWLSILAAVMSFTYSTIGLGLGIVQVVANKGVQGSLTGISVGTVTPLDKVWRSLQAFGDIAFAYSYSLILIEIQDTIRAPPPSESKVMRRATIVSVAVTTLFYMLCGCMGYAAFGDNAPGNLLTGFGFYEPFWLLDVANAAIVVHLVGAYQVYCQPLFAFVEKWAQQRWPKSRYVTGEVDVPLSLAGAAGRCYKLNLFRLTWRTAFVVATTVVSMLLPFFNDVVGFLGALGFWPLTVYFPVEMYIVQKKVPRWSTRWVCLQLLSLSCLTITVASAAGSVAGIISDLKVYKPFVTTY >Sspon.08G0014070-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8B:53663553:53671625:-1 gene:Sspon.08G0014070-2B transcript:Sspon.08G0014070-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to PIN1-like auxin transport protein [Source: Projected from Oryza sativa (Os05g0576900)] MVLAYGSVRWWGVLTPDQCSGIHRFVAVFAVPLLSFHCISTSDPYVMNLRFIAADTLQKVLVLAALAVWSYLPTARGCGGTNKREPFDWSITLFSLSTLPNTLIMGIPLLVAMYGQYSGDLLVQVVVLQCIVWYTLLLVLFEFRAARVLIAGQFPAGTAAACIADVRVDPDVVSLAGSQAEAQAEVAPDGRMRLVVRRSTSASRRSLAGAAAATPRPSNLTGVEIYSVSSSRNATPRGSSGIAHADIGWAAAAPPHGASSLRMSSFGAADLFSLHPTPRPSSFDEQGVRARSAATVAPVGIDLHQLAQRLTGPLDRVLIGDAQPNDPAGGPPSRSTIKRRPIERSAVGDGKLHRFSPTPDLTATTTSSSSTTDREECCRRREAPPLLTDAGPHCHYNFFELDDPTAPSNDPRDVHMIEWSSGASATSEVRGLPVFHGGDLHRGMDSRRLVPSEGPPRAMRPGERVVTAFPADAGQDALAKLESGSTEEERKDAGGAGGQQTAAPAGVMMRLIVTMIFHVAMPALVKNSISILSDAGLGMAMFSLGLFMAVQPKIIACGNKVAALTMAIRFLFGPAVMAATSAAVGLRGMLLRVAIVQAALPQGIVPFVFAKEYNLHAAVLCTGVIFGMLIALPIALVYYIILGLL >Sspon.02G0000440-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:1912219:1914031:1 gene:Sspon.02G0000440-1A transcript:Sspon.02G0000440-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPEAARILKPEGHLVVLTSSAADAYSLRSIQALLPSLRLVRSREIDATQDGDSSTLRELVFQKNPHATGTSSVNNCSVGDHRRQLLAHAEPLIQEEPLKPWITLKRNINNIKYLPALADISFKRRYVYVDVGARSYGSSIGSWFRKQYPKQNHTFQVFAIEADPAFHSEYAAKKGVTLLPYAAWVKNETLRFEINGDPGKEDEAKANGRGMGRIRPATGKKMSGEVRSVPAFDFAEWLKQTVTEQDYVVMKMDVEGTEFDLIPRLFDTGAICLVDELFLECHYNRWQKCCPGERSPKYENTYEECLDLFSSLRESGVLEPCAAIC >Sspon.06G0001850-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:3416661:3419530:-1 gene:Sspon.06G0001850-2D transcript:Sspon.06G0001850-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELAADQHVRYIVTVEKKKDSFESLVMEHIRLNGAYWGLTTLDLLHKLHAVDAAEVVDWIMSCYHPASGGFGGNVGHDPHVLYTLSAVQVLCLFDRLDVLDVDKVADYVAGLQNKDGSFSGDIWGEVDTRFSYIALCTLSLLHCLHKIDVQKAVDFVVSCKNLDGGFGAMPGGESHAGQIFCCVGALAITGSLHHIDRDLLGWWLCERQCKDGGLNGRPEKLADVCYSWWVLSSLVMIDREHWIDKEKLTKFILNCQDKENGGISDRPDNAVDIYHTYFGIAGLSLMEYPGVKPLDPAYALPLDVVNRIFLKK >Sspon.02G0003700-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:10781925:10789061:1 gene:Sspon.02G0003700-2B transcript:Sspon.02G0003700-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGPVQLRRIENKINRQVTFSKRRNGLLKKAHEISVLCDAEVALIVFSTKGKLYEYSSHSSMEGILERYQRYSFEERAVLDPSIEDQADWGDEYVRLKSKLDALQKSQRHLLGEQLDSLTIKELQQLEQQLDSSLKHIRSRKNQLMFDSISELQKKVSYTLDSLVPRILHVLHESLVKIIVTSTPLEFGE >Sspon.02G0001000-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:3147203:3151677:-1 gene:Sspon.02G0001000-4D transcript:Sspon.02G0001000-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPEFGVGTAPRKREPWRTTLLLAYQSLGVVYGDLSISPLYVYKSTFAEDITHSKGNEEIYGALSFVFWTLTLIPLLKYVTIVLRADDNGEGGTFALYSLICRHANVSLLPNRQVADEELSTYRLERPPEAAGRSGVKAWLEKHTKLHTALLVMVMIGTCMVIGDGVLTPAISVFSAVSGLELSLSKDQHEYAVIPITCAILVFLFALQHYGTHRVGFLFAPIILAWLLCMSTIGLYNIIRWNPQVYTALNPSYMIRFLRKTKKSGWMSLGGILLCMTVYPSLILGYMGQAAYLSQHHNLDASYQIGFYIAVPVLAILASVVGSQAIISGTFSIISQSQSLSCFPRVKVVHTSDKVHGQIYIPEVNWILMVLCIAVTVGFRNTKHMGNASGLAVITVMLVTTCLMSLVIMLCWDRSPWLALAFFLFFGSIEALYFSASLIKFLEGAWLPILLALILLAIMFVWHHTTIKKYEYDMHNKVTLEWLLALGDKLGMVRVPGIGLVYTDLTSGVPANFSRFVTNLPAFHRVLVFVCVKSVTVPHVLPAERYLVGRVGPPGHRSYRCIVRYGYRDVHQDVDSFETELVESLATFIKLDALFRCSDAGGEQRDSSYYERENALTVIGSNPLRRHMGLGYDDSHDGASSGSDRVDGIELAAAPAVVKKQVRFAVPPPRSPGVDESVLEELHELCEAREAGTAFILGHSHVKTKPGSSLLKRLAIGVGYNFLRRNCRGPDVSLRVPPASLLE >Sspon.03G0042320-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3C:59884137:59891417:1 gene:Sspon.03G0042320-1C transcript:Sspon.03G0042320-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRAASNAYSWWWASHIRSTQSKWLDNNLQEMETRVKSMIKLIEINADTFAKKAELYFKNRPELVNLVEETYRSYQALADRCDRISGELHKSNHTIATAFPEQVQLSLQNDNGDGFPRGITGINISKGTSPAPKRTQTHKRITSQMSKEKAQEEIERLQKGILVLQTEKEFFKSSYESSLDKYLDIERRATEMQEEVWSLQETFNTSAVIEDNEARALMAARALICCEDTLINLQDQQKRSSQEAKTEFQRVIDAKMKLKALKSECGHPDNQKELSDQQYVVTSSAHPSTENNDSIPQDCRLQLQEVCQKIKLQFESCSEASVVHLAGMVDEFVDKVIALEIAATSQNAQINRMRAEADELHKRLNSLEEEKASLVGDSSKLSERLRQVEESTDFVEKVDAPLSEETVDSSEGSKGIASEEDADKPGTFSDPFQADSGTAGKSMDEDSLDSFDISSEAQEEDADGTLGWQQVVLNGLEGKDKILLRDYTSILRNYKDTKKQLSEIEKKNQEYHLEAMSEMKELKSANVTKDDEIRSLRRMLSSLQSKINTYPIQCAEKSEESSKANNDPCLEDKEIGEIEEYMKHCRNEEPHVSSLEEKFREEISKVLEESLDFWLRFSTSYHYIQKFQKAFDKAKTELDRLTDAKAQEGLDTGSAGQSVRKQESAALEKKLRDLSTDLQVWLEKNVLLQGELESRFSLLCSIQEEISKVTTLDRTDEAHFTPFQAAKFQGEVSNMKQENNKVTKELQAGLDHVRGLQVEIGRTVLKLRDNIELSIGRSNRAQKNFRSLSAKAGDCWDLTVANEDKACLQSSSCFRVKLSRIETEGCSPKKHVFVELQGDPATFERTAPCTSTSSSGLQKNLPTTSYTRTPPSQTPPKAQTQTTVGAKPRGFPRPKAMFRQSSSSPSPSSYSDALMHHHAASFSAAALSVTVPAQIPTAGGYLDGGGNVGAFSSPPSSCYSSSLPSSYYNYNSIQRSISSHSLPMHLQLADVSLGGLTTLPPLSSSPSSSSGEFFEFTSSCPVRRVFSTGDLQGMNGSSPTRPVPSGDGCGQEGGGPFSQKVGRYSAEERKGRIERYRVKRHQRNFNKKITYACRKTLADSRPRVKGRFARNGETDAEADEREASDNSYEYGCSHNELSSNGNTYSAGSCYDGHYSNRLNGGGGGGSNGAGDNGEWWWRAPGAAAADDEVQRQRQVVGFDEEDELWATLGDMLSVNLAS >Sspon.02G0028800-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:3904618:3908224:1 gene:Sspon.02G0028800-3C transcript:Sspon.02G0028800-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKKIREYDSKRLLREHLKRLAGIDLQILSAQVTQSTDFTELANQEPWLSSMKLVVKPDMLFGKRGKSGLVALNLDLAQVRQFVKERLGVEVEMGGCKAPITTFIVEPFVPHDQEYYLSIVSERLGSTISFSECGGIEIEENWDKVKTIFLPTEKQMTPDACAPLIATLPLEVRTKIGAFIRGVFSVFQDLDFSFLEMNPFTLVNGEPYPLDMRGELDDTAAFKNFNKWGNIDFPLPFGRVLSPAESFIHELDEKTSASLKFTVLNPKGRIWTMVAGGGASVIYADTVGDLGYASELGNYAEYSGAPKEEEVLQYARVVLDCATADPDGRKRALLIGGGIANFTDVAATFNGIIQALREKESKLKAARMNIYVRRGGPNYQTGLAKMRTLGAELGVPIEVYGPEATMTGICKEAIDCIMAA >Sspon.02G0019030-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:57740284:57743158:1 gene:Sspon.02G0019030-3D transcript:Sspon.02G0019030-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LCACARSVGMRQEGPMLSVRHDAAGSMAGAIFMSNTLTREQCFQASIFGLPLEYRSFVSHVSKGMPLFLFDHTLRKLYGVFEAASDGGFNINSAAFRSIHRSYPAQVRINIIWKCKPLSEDEFFPAIEDNYYQPRKFYFDLSYEQVVRLYELFDDKRVGRPIHDYPQNECLQTNCSSKGIPDKENLTPDPPHSCNQLPLLVPNISEVIRCSTPTRMHTDLPLNVEAHRDMPMPLGAEISGAQIAPTCSSHHDQIEPFSQREFFPAASVTDAVSTQVSAPCSETTRHYQLVGQSHPLPHNYPQNILPAGFTAQGSIEGSKFVANQSYPLCRGHMHNGLLTSGYGTQNPTYKGMNHLNSTFPPYDQLYPRLPVLNPQSNSDYQGQCDICFNQRRPDTHNGIHAYERQCLSEGEVLTMEELNQQDIPTCPQVIGCDGKTVSAIHQQKMSSTDYIQIPDCDEDFENDQMKHGTHGNASDSSDVENGIVDPRYTQHTVGAENDTKDQCSLPIKGVFSRLSVRKQLTSQEATGPTLKQLVSSLSRKTEQWSHKNRPIEDGLIIPLVGEQAVDCSHASLNQLSQLDLEEDVSIEPQLPFLNFKRRSEAGKAYANLGKEISGKGKRRKLVRPSFEENNASSNVGEQLKGNCTQDRNQNHQGSEKHFDIDLNIPAAPIDSNPVEVNRIAVCPSVIIKVQTEKPYEIDTNKANSSNLMETTEEHDPSSAPAQKVDTDFDIANLNTMDESKLRTILDHTSSLLQALAKLRNGKSDNCEQATSSICLEDKK >Sspon.05G0019450-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:81008414:81009245:1 gene:Sspon.05G0019450-3C transcript:Sspon.05G0019450-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSVESLVVGRVIGEVLDFFSPCVNMVVTYNSNKLVFNGHEIYPSAVVSKPRVEVQGGDLRSFFTLVMTDPDVPGPSDPYLREHLHWIVTDIPGTTDASFGREVISYESPRPSIGIHRFIFVLFKQKRRQTVAVPSSRDHFITRQFAEENDLGLPVAAVYFNAQRETAARRR >Sspon.06G0003770-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:11543166:11544615:-1 gene:Sspon.06G0003770-1A transcript:Sspon.06G0003770-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRGNREQRVAFSPMKEAAAAVPKEEVWEVRPGGMLVQKRSPDAEPPPGGAPVPTIRVKVKFNGVYHEIYINSQASFGELKKLLSEKTGLHPDDQKVVYKDKERDSKAFLDMAGVKDRSKMLMLEDPAAKAKRLLEERRTSKADRAAKAIARVALDVDKLATKVSALEAIVSKGGKVVDADVVTLTEALMTELVKLDSIAAVDAEVKVARRAQEKRVQKYVETLDAVRAKNKAAAAKASSNNNKTRPPHLPPRPPPAHQNQHQQRRQFQPPAPTTATAPVPQTQTASWETFDLLSSVPSTSAAPVTSMAPATTTTPSPRFEWELF >Sspon.02G0049960-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:46717129:46718071:-1 gene:Sspon.02G0049960-1C transcript:Sspon.02G0049960-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYQQYGAALVAVMLCLFLGEFLGALYLAAGHPVADQNPAADESTIARSGPAGSASGSLPVGGGHTPFPSPASASSPSSTDPAYSNRSSATSSTSGEETVPTEEDPGGPPGSPPQRPAVPLADNVEGPLLTFVLAVFGYDVAAAVGILTEFEHIVGTWSTAAEVVYGVLTVVGIALLAVGLMAAGWHARAGNSNKLSVSAFCAKLGAILFTSLLVVAFSCRLGRWARVAAVPSAAVVACIMGAVWIWGDEHAVANGVVSTCWGRILGQRREREPAAAGDDMV >Sspon.03G0005790-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:31728234:31733015:-1 gene:Sspon.03G0005790-2C transcript:Sspon.03G0005790-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Isopentenyl phosphate kinase [Source:Projected from Arabidopsis thaliana (AT1G26640) UniProtKB/Swiss-Prot;Acc:Q8H1F7] MAEEVAQEQPRPTAPRPVRCIVKLGGAAITNKGELESINEENLRSACAQLRHAMSESDGDGATEKVLGMDWSRKPGDPADPAVDAEWIAGMAGLGLDTNFIVVHGAGSFGHFQASRSGVHKGGLHSTLVKAGFVATRISVTSLNQEIVRALAREGIPSVGMSPFACGWFTQQRKLASANASQIIQSLHAGFVPVLHGDAVLDELLDCTILSGDVIIRHLAQLLSPKYVVFLTDVHGVYDRPPTDPNAVLLREIEVDDNGGWSIVKPALLQGNTKGVEISVAAHDTTGGMETKILEAAVIARLGIDVYITKAGTEHSLRALKGDVSTDSEDWLGTIIRSSK >Sspon.07G0000730-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7A:1676596:1680640:-1 gene:Sspon.07G0000730-1A transcript:Sspon.07G0000730-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPADPDFYRIGYARMMRAYGIEFLEGPDGMGVYASRDVEPLRRARVIMEIPLELMLTITQKKPWMFFPDIIPLGHPIFDIIESTDPETDWDLRLACLLLYAFDIEDNFWQLYSDFLPSADECTSLLLAPKDKPIPLKLKRLARDHERFLWALSIVQSRSVNLKLRMGAFIQDANVLAPYADMLNHSPNANCFLHWRFKDRMLEVMIKAGHAIKKGDENPWELINFSSPATIHMDSFLSVFNIAGLHDELYHNSALPSVETDFVDGAVVAAARALPTWSDGDVPAIPSVERKSAQALQEECRQMLDSFSTTIEQDQQILVIHIWACMWIMKHTAFQQ >Sspon.02G0022320-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:75966000:75967636:1 gene:Sspon.02G0022320-2C transcript:Sspon.02G0022320-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKSSNLGASASSSASTKMWHRRPRRRAAAPPRSQQYEDGVTVPHGRRQRCRTTLEYCSHAGRGEQSSGVNVIVIASNGQRQWAAAAPATGLQPPPVGAAASNAAAAALAQPAARAATGVSPPLVLAHAGAGDQLVPLPLQFVGYHPTCRASAVGLPAPAVGIPLAAALDKIENGALGRMLSALMPVCNPPLRQHQSKSGPPPPWWPTGFEDWWATELLAHLDTVAALMGAPAPVPFAPSYSLKKEQKVAVLVAIVKHLAPDFARVAAAVRHSGKLSVSETDLWHSALNNELAKCMRPMFIVLPQQQGGVAVGGGSATTDPSAAGSVVVHSGNAASDGCELPVANVNLPAGASVSAPADGSRGEVIAAAELQVEKEQRSDSDGVGVVSATDADAPAGDGRDQPVNFPAAGGDDGSTHKQGAAVAAAPTTGHVRQVPAGGPEMTMQPEQDGGVPGDDVAAAAEDEQQVHPVVAPNPNAVAAIEEAPEDRHWWCDNDELNRMFAEFESFGDD >Sspon.04G0019730-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:69647000:69647251:1 gene:Sspon.04G0019730-1A transcript:Sspon.04G0019730-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RMGKVHTQAAAAAARSDDEGLKTSWPEVVGWVTLNAAFQINSDRPDVSTAFYSDTTPLPPDYNPKRVIIIFGVGNVVVKTPVVG >Sspon.06G0016560-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:71502465:71505169:-1 gene:Sspon.06G0016560-3D transcript:Sspon.06G0016560-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQEQRSSRPRLAGVRVGRSPAAASPSRRRRRKSGSTAAVRPAPQPLGSSRSRTRTRREGKRRVLARSASEPALWLGDARVHAAPTHGLELECPPSPPPPPLERPHTCFDVFAPEESVFGRSPSAASLTKLGSRDREGAKVVVSVTVEGSVGPVKAMVRLGASVGEAIAAVVERYAREGRSPRLDLAAAESFQLHHSHFSLQSLNKNDKIGDVGGRNFYLHKNDSSNGLYLQGQEPDANSSRSDISQNSSLGQPSGGATNQYQVLTIVISKLDKIGRRTRRIWRFITCNNCT >Sspon.01G0029430-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:91917801:91920194:1 gene:Sspon.01G0029430-2C transcript:Sspon.01G0029430-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Actin-depolymerizing factor 6 [Source:Projected from Arabidopsis thaliana (AT2G31200) UniProtKB/Swiss-Prot;Acc:Q9ZSK2] GMLRHWSRALKAQSNASSGMGVAPNIRETFVELQMKKAFRYVIFKIEEKQKQVVVEKTGATTESYDDFLASLPENDCRYALYDFDFVTGENVQKSKIFFIAGPHRHPASVLRCCTPPQRTASSTSSTGSTMRSRRPTHQRWTLRFSGSGLT >Sspon.01G0014450-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:40964238:40969793:1 gene:Sspon.01G0014450-1A transcript:Sspon.01G0014450-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGAGAGAASPGDYVYFKSVVPLHKISVILNCLRMQVHIYGTSLGGFLAQIFAQHRPRRVKSLVLSNTFLETHKFAAAMPWSPVVNWTPSFLLKRYLLTGIRDGPHEPFIADSVDFVVGQVETLSRDDLSSRLMLNVDVASVGSLMLPDSLITIMDTNDYSAVPQQLKQQLNERYPGARRAVLKTGGDFPFLSRPDEVNLYLQLHLRRVGVEPRSELVQGFTRNGSAGSSKDQNDGGDSFDNSGEDNGQHGSGGSDHDRQHCGSESHNSDEPIPTSTMLANTVLELVM >Sspon.04G0016660-4P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:13016825:13029641:1 gene:Sspon.04G0016660-4P transcript:Sspon.04G0016660-4P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGNDNWINSYLDAILDAGKGAAAAGASAGAAARGRGGGGGDRPSLLLRERGHFSPARYFVEEVITGYDETDLYKTWLRANAMRSPQEKNTRLENMTWRIWNLARKKKEFEKEEANRLSKRRLETEKPRNDATAEMSEDLFEGVKGEDAGDPSVAYGDSTTGNTPRISSFDKLYIVLISLHGLIRGENMELGRDSDTGGQVKYVVELAKALSSCPGVYRVDLLTRQILAPNFDRGYGELDEMLASTSFKNLKCERGENSGAHIIRIPFGPKDKHLAKENIWPFIQEFVDGALGHIVRMSKTIGEETGSVCPVWPAVIHGHYASAGVAAALLSGALNVPMVFTGHFLGKDKLEGLLKQGRQTREQINVTYKIMRRIEAEELSLDASEIVIASTRQEIEEQWNLYDGFEVMLARKLRALVKRGANCYGRYMPRMVIIPPGVEFGQLIHDFDIYGDEDNPSPASEDPSIWFEIMRFFTNPRKPMILAIARPYAEKNIATLVKAFGECHPLRELANLTLIMGNREAISKMNKISAAVLTSVLTLIDEYDLYGQVAYPKHHKHSEVPDIYRLAARTKGAFVNVAYFEQFGVTLIEAAMHGLPVIATKHGAPVEIHQVLENGLLVDPHDQHAIADALYKMLSEKQFWSRCRDNGLKNIHQFSWPEHCKNYLSRILTLGPRHPAFACKEDQKVPVKCRKHIFVIAVDSVNKEDLIQIIRNSVEATRTGTMSGSTGFVLSTSLTIAELQSVIVRTGMLPTDFDAFICNSGSDIYYPSQSSDVPSNSRVTFALDHNYRSHIEYRWGGEGLRKYLVKWASSVVERRGRTEKQVIFEDSEHSSTYCLAFKVVNPNHLPPLKELQKLMRIQSLRCHALYNHGATRLSVIPIHASRSQALRYLSIRWGIELPDAVVIVGETGDSDYEELFGGLHKTVILKGGFNTPANRIHTVRRYPLQDVVALDSSNIIGIEGFSTGDIRSAMQQQLGIPTQ >Sspon.03G0023400-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3C:89795409:89800575:1 gene:Sspon.03G0023400-2C transcript:Sspon.03G0023400-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCVCGRPSAFDDGQCRATPPPAAKLSAAVRREEEARKQQLQLQQHAREGSGREEALERRRAMMAMAAACQVRSPVPRAVEAEQVAVGWPPWLVAVAPEAVRGWVPRRAESFEKLDKIGQGTYSNVYRARDLEKEKIVALKKVRFDNLEPESVKFMAREILILRRLDHPNVIKLEGLVTSRMSCSLYLVFEYMEHDLAGLASFPGVKFTESQNMLTISTTVVQVKCYMQQLLRGLEHCHSRHILHRDIKGSNLLIDNRGILKIADFGLASFFDPEQRHPLTSRVVTLWYRPPELLLGATNYGVSVDLWSAGCILAELYAGKPIMPGRTEVEQLHKIFKLCGSPSEDYWRKSKLPHATIFKPQHPYARRVPETFKEFPAPALALVDVLLSVDPADRGTASSALQSEFFTTKPYACNPSSLPRYPPSKEFDAKRREEEARRQGVTGGKQHKHDPERRTRESRAVPAPDANAELVSSLQKRQAQANTRSRSEMFNPCKEDSASGFRIEPPQPTPVTESSEDPQRAYPTRIFHSGPLVNQSQTSKAGGGKNGELQVPGVANHPVVVSTRSGLRTDDSSRTMVAQAEAFAHGRRLSESINEHFSNSGKYDQVFPKKDDRNIRADGAIGYGSKGNKIHHSGPLTCPSGNVVDEMLKENDRQIQAVFRRTRVEKSRARRDHGHHQGGIRPGDFGAIPVFPSSRSSYQAMQQ >Sspon.06G0022540-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:33334850:33335203:-1 gene:Sspon.06G0022540-1B transcript:Sspon.06G0022540-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LGLSPFPRAAASATSPTSQCPPHRPRRRPATPRSRSRYASSLPPQPRLFPPFLPRQQASAFPPYAGRLWHGVEIRAGLYVPLPAIFASLAGSDWDRMALLVRFVSACGILPFFDLSAG >Sspon.03G0008180-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:36908830:36911284:1 gene:Sspon.03G0008180-1P transcript:Sspon.03G0008180-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLVSMFASPLAVMGLVIRTECVEFMPFYLSVSTFLMSASFAMYGLLLRDFFIYFPNGLGVILGAMQLVLYAYYSRRWKNSDSSAPLLA >Sspon.01G0040950-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:38290794:38296991:1 gene:Sspon.01G0040950-1P transcript:Sspon.01G0040950-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPTSVALLSYLATLFILPHCLVHSLANIDFIYSGFQQAANLSLDGSASVLRGGALQLTNDSNSVIGHAFFDSPVQVVRGNSVVSFSTTFVLDIVTADNHGGGHGLAFVMSASKVLPGASDGIYLGLLGDSNNGNSSNHVFAVEFDTMQVRRLNETNGNHVGVDLNSLVSDVSEPAAYFDDDGKNISVVLESARPIQAWVDYDGGAEVLNVTIAPTSVTSRPHKPLISTVVDLLPIFKQDMYVGFSPSTGEKLASSHYILAWSFRTDGAAKPIDLSRLPKITALSCMFTLTVIVAVIALALWIRRRTAMAETLEDWELDHPHRLPYKELYTATKGFEASELLGAGGFGQVYRGVLRRSGDVVAIKRISSSGTQGLRTFVAEVASLGRMRHRNLVDLRGWCKRGQDLLLVYEFMPNGSLDEHLFGRAGTIMPPKPLLTWVQRFRILRGVASGLVYLHEEWEQVVVHRDVKASNVLLGADMSPRLGDFGLARLYEHGADPATTRVVGTLGYMAPELAVTAKASRATDVFAFGVLLLEVACGRRPIDPVTGDSLLRRVRDHGVGGDLVRAVDERLDGCYDKEEAKLVLWLGLMCSHMRQVCQYLDGELEMQEEAVLVFPDVDSVDDGSLASMTWSSCSCNTMSGGSLLTGRSAEQQHFLLETTRTPSRRESTNPSHSEIQARAQLPRVIIRRSFLWYAVQRGPPVVAAPRNAGALLGLRARRDTAVTICWQLGAAPAPLHCLRVAPAAMRSSSPLLTTILARTPSPSRDTTVTPPPLAPETEEAAVHVGDGTQRRCLVCGGPRTYWPWRMMIARHDPAGGLIRQLTFGIENEAGSPPPQNPQQFCVNLVKWKWKKLGDGSAANEHRCRMHRHSGQPQDVLSSAIHFGPSLSLIWFPSSTHPSITWRAICTIGRNATGAAPAAGPTRLTTARSCNAYERTPVARRKVAQCVPRCLYYVSQN >Sspon.08G0021390-1T-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8B:38409847:38410485:1 gene:Sspon.08G0021390-1T transcript:Sspon.08G0021390-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLPNCLFRMGGAAVLLSTSRARARFRLARVVRTLTGAQDSAYRCVFQEEDGEGHRGINLSKDLMTIAGDALKANITAIGPLVLPASEQLLFALSFIARRVLNRRVKPYLPDFRTAFEHFCIHAGGRAVIDELQRSLGLSDQDVEASRMALHRFGNTSSSSVWYELAYIEAKGRMRRGDRVWMIGFGSGFKCNSAAWECIAPARTAEGPWAES >Sspon.08G0007130-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:67354610:67357167:1 gene:Sspon.08G0007130-3C transcript:Sspon.08G0007130-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKPHVSLAVQLLFCCALMPVSAQSVPAAAAPSPSSPAPVTRNFKWDVEYIMWAPDCQQSVMIGINGRFPGPTITANAGDLIRVEVTNSLHTEGVVIHWHGIRQIGTPWADGTASISQCPINSGETFTYEFLADKPGTFFYHGHFGMQRAAGLYGSLIVNGTEQQPEPFAAEYDGELNMLLSDWYHENVYAQAAGLDGKDKHWQWVGEPQTLLINGRGQFGCSLGITRDRRACDRRKRDALCEEGDKSERCELIRRSECGPFCERSQCEPVVFDVDPGKTYRLRIASTTSLSALNVQVQGHKLTVVEADGNFVAPFDVGDIDIYSGESYSVLLKTDQRASSYWISVGVRGRRPKTPPALAILNYTNSKPGSWPAGVPPETPAWNNVTRSKEFTYRIKARDGSPRPPPAAVDRRITMLNTQNWVQGQVKWAINHVTLSLPATPYLGAYFYGIEDIAFDSSAESPDNYDRGYDIQKPPGAQAPEARSPTTVSDRVFRITHGAVVDVVLQNANALEKDVSESHPWHLHGHDFWVLGYGDGVYDHARDNKTLNMVNPPLRNTVVLFPHGWTVLRFVADNPGVWAFHCHIEPHLHLGMGVIFAEGMEKLRELNVPREAITCGEAKTAALPLAPAVAPSPP >Sspon.03G0046800-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3D:47666086:47668965:1 gene:Sspon.03G0046800-1D transcript:Sspon.03G0046800-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MECNRDEAARAKALAERKMMDKDFVGAKKMIIKAQQLLKEVDDVDIPKMLTVCDVHCAAGAKVNSEIDWYGILQVPVNADDALIKKQYRKLALLLHPDKNKFGGAEAAFKLVGEANVTLTDRSKRSVHDMKRNTFRSIITRPNHQPPKRPAPARSNSTPVNLYNMHQQHQHQASNPTGPQTTFWTICPSCGMRYQYYLSILKKALRCQNCLKPFIAHDLKDQAIPSGANQRSAGVWKNAGAPQNSAGPQTNVTGQKGWSATSGVHVNIGSHHANVNTKRETDGNAGGLKNNMKNKMKSARATRNPSKASTAGLKRGRRAVFESSESSISETCSDSEEEILKHGPENSAGPGQQTRRSSRQKQEVKYNENSDDDDDDAEDDDNTVEDDFVDSPALKRLRKNGLFHGNQSNKTEKLNEDIAGHNGPINGVSNCSNMKDTKNDGMPCGEKTFNGVEQMKRETMHARENSHGKEKVFHSVSTNGPVLNDDDASEDHKYTFPDPEFFDFDQLRDVRQFRANQIWAVYDDQGCMPRFCWSLILQSGDRKGSMNVGQGQHTKSVNAGIPTKAPKEEKIKHSTHLPEVTDVDDDDDNICQTEYVCAESEFYDFSEIRLLQRFSPGQIWALYSDVDKFPNYYAFIQKVDLRNDKVQVRWLDVCPQGEVEKRLSQEERTIGIGTFRLSNVHEMTTYTGTDAFSHRVEARYTGRKGEYEILPRLGEIWAVYKNWGAGWTAQDFEKCEYELVEILGHTDSSIQVQLLRTEYVCAESEFYDFSEIRLLQRFSPGQIWALYSDVDKFPNYYAFIQKVDLRNDKVQVRWLDVCPQGEVEKRLSQEERTIGIGTFRLSNVHEMTTYTGTDAFSHRVEASILAEKANMKYFLVLVRYGRFTKTGELDGLHRILKNVNMNW >Sspon.07G0003210-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:7467503:7469816:-1 gene:Sspon.07G0003210-1P transcript:Sspon.07G0003210-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNSSMEATEKRQLILRSVVLHFSEQKYDIIALIGHSKGGNAVLLYASKYHDVPIIVNISGRFALERGIEGRLGKNFMRRINEDGYIDVKNRKGEVEYRVARASLEDRLSTDTLFSSRAISKDCRVLTIHGAKDEIVPVEDALQFAANIRNHELRIIAEANHRYTGHEEELASLVLDFLRSQGTSRSRPKL >Sspon.02G0020520-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:66917380:66920390:-1 gene:Sspon.02G0020520-1A transcript:Sspon.02G0020520-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKRTTHTYSSEDALPEGPESDLFVYYCKHCASHVLITDTQLQKMPKRKTDRAHVLDKAKHLSRLNVKESGKVMLKRGEGKLEKQFRMSCVGCDLFVCYRSEEDLEVAPFIYVVDGALSSVAAETNPHDAPVPPCITQLEGGLVQVAIEVEDRAQRSAITRVNADDVRVTVAAPAARGEANSELLEFMGKVEDLSARQVYEKLLEAVQP >Sspon.03G0022410-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:68188468:68192408:1 gene:Sspon.03G0022410-1A transcript:Sspon.03G0022410-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHTRNSNFPRSFETILSNSYLQEVPDVDDKRSGHGLDIHPADFAVLVLVLHLQAPDAVLREHGEHAGVGVRDEAHGALRLRAVRVVVAHHELPIGAGRVLQRLGAEAEPLGEEPQQGARDGGGAGLPLEHLGAAGGRDPVGEVGAAVGAQQDLDGVAPAGRGGVVQVDVGGVVDPACSAAVVRRALGGGELVEGAHRREGARRVVVGGGRGRGEGVVVVDEVEEAPGAGGRRGGGGGEVGREGEVHDGDGGAGWGQRDGAVGVRVAEELRDGGDARLHEAPHAQVSAVENWRGKDTGNVKLGHNGATRLCTFCEISSACSELPAACWLLPIKGRGLLWSSHLPVQCMQQGNTCDRNTPSVNVTPRPQVAAAARSLKIPSPPCMEPVFTVEHGNEPPVVPPAPHHGPGQMEGQGRGLRVGGGGGARPVVALILFLGVTVGFAFGVYHARHSGRDLAFAIVTYYLFVVLACCVAKLRQLRRDPAATAAERRRVRIGAWCISVAMGTTVTSRVADAMPGLALKLVVWGVSVVLHGLGLYFLFLRKGADRCDAEELRCGQADAGRPPATALHGGLSPEEKV >Sspon.02G0020140-3C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:67372738:67378348:1 gene:Sspon.02G0020140-3C transcript:Sspon.02G0020140-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIIFVATEVHPWCKTGGLGDVVGGLPPALAAMGHRVMTIAPRYDQYKDAWDTSVLVEVNIGDTVETVRFFHCHKRGVDRVFVDHPMFLEKVWGKTGAKLYGPTTGSDYRDNQLRFCLLCLKRLAFNVFSYNHCS >Sspon.08G0021360-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:37610931:37615654:1 gene:Sspon.08G0021360-1B transcript:Sspon.08G0021360-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRSEAQARKASLAESVLAAISELMSSAASAVDAEHENFMDVGSHVHHGALPAMEVQKAQGSPTNTLHVMEYLAANVDLAKDLVTRCSAIAQQLMDDDLLGITEDLDKVIKNISNELNRIPASTFDSSRFAEPAVRDGHLQVVRNRHGYSEGDMPMVVAVERPRRRTLHNSDMPRLVDFLQGMYQESHELGGQTFSSLPEVAEYVEPLYDSFFCPLTNMVMVDPVTAESGVTYDRKAIEDYFDKFADGSEPVICPVTKMAMQSKTLRSNLSLKSTIAEWIARNEATRVRIARTALSMATTEAMVLEAIHELKVLARLRKKNRDQMHKIGITKFLPRLLDHKDAFIRCDSLDLLCLLVEDDAGKEIIAKTRAISRTIKLLSSSSTDERHAAISFLLELSKSELLLENIGSTAGSILILTTMKFNSSSDPIAAEKAGEVLENLEKCPKNIKYMAESGYLDPLQRHLVEGSEDVQMEMVSYLGELVQKQEMTINIAGSASEILVKMVCSGNTAIRKAALDVLVQISFHHPNAKTLVDAGAVPVMVQELFIRKIDDEPVGSKTEAAAVLANIVESGLDPEAITVNKEGHVITSKYSVYNFAHMLKCSMPDTLNLSIVRVLLALTTLPKPLATVVSVMKEQDSCQTVIELMGSLTESLVIAAMKLLIALSPKMGHTIAEKLCKAPGQPGKLVKSIGLHGRIMERHAMSAALLAKLPYQHMALNLALINEGAVVTVLAKIEEMQRGETRASRHAKAYMEGLVGVLVRLTTTLYDPDVLLAAMDHNLTSVLADLVRSAGSDEVQRLAAVGLENLSSQSPNLSQPPTEERRPKKKNILRRLREAHAGRVHDNNRRPPAHSRVCPVHRGVCSPSTTFCLVEAGAVEGLLGVLESSENGRVVEAALGALCTLMDDDVDVTSGVAVLAEHDAARHVLRALRQRRDDGRRGGAGAGAGGGETVTRRCFWAVERFLAHGGERCVRDVTSDRALPSLLVSAFHTGDAATKQVAESVLRCLHRMPDYSATYESVEL >Sspon.01G0022390-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:82006471:82007388:1 gene:Sspon.01G0022390-1A transcript:Sspon.01G0022390-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKKVTLQWIANDATRRATFKKRRKGLMKKASELATLCDVDACVVVYGEGETQPEVWPDVAKAAEVLARFRAMPELDQCKKMMDMEGFLKQRIDKLKEQLHKARRENHEREVTLLLHDAIVGRRPGLAGLSVEDIAGLGWMVENRLVGVKESLERHHLAAAGAGKQQAGQQQDNVIAPPPTLQLQMPPQVSLQPLVPAYSIGGGPAGQTDGVVHHQAPPNLNPQPQPHPQVSWLMEVARAGGDLGALVYSGFGGGRGSFGGSAGAGTSTSGAGAADMLPHLGNFGAGFGWPDPAGAGPSSFPPM >Sspon.06G0000860-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:1095715:1096422:-1 gene:Sspon.06G0000860-2C transcript:Sspon.06G0000860-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPAKNEAHVVEIPVAVDGGESSGGEEAFLDKSTAAGEGHPLGEIAASAGHLLLLKLWQREEDRLGRRACALEARMDAARRDAFYLCAAFLAFHGLSLALLFAASVAAAAASPSPSAACRRWWAPSSLSLAASLALAAAVQLRVCAYWRAAARLRRDRGDARALARAVQELRMKGAAFDLSKEPQYGVTRAKCASVEGAGAWAPLRWCRQNVVTVCLLAVAAATLPSGKFILCA >Sspon.06G0021110-3D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6D:13135006:13136526:1 gene:Sspon.06G0021110-3D transcript:Sspon.06G0021110-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GARVCCCGPRHQRRLRPDPEPGGGGAAGTQPRRRVHAPVVHATGIREHRHRAEHRWRRRARDHSRHHPRLPRGEAAGVRRARREARGLLRRDRRDEHGRAGDRHAHGAEQGRPPAVCRQGHQRLLPQALPQDLPTQQQRRSSGAVQEAVGPQVRRQVPPLPRPGAPRRDEGQPGASEHRHPDLRHQALAAHRLLQIRCTSM >Sspon.02G0039070-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2C:51432571:51437151:-1 gene:Sspon.02G0039070-2C transcript:Sspon.02G0039070-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MELGVEAARWMIGKALGPVSGGVLEAWAASTELGGNIQALRMELLYAEGMLNNARGHHGHGPGIKNPALSELLHKLRDLAYRADDALDEVDYFRIQDELEGTYHAAEEHEGGCLRNHALNARHAARAIAKMLGFSKCSACFAKATHDEPHEDTTSTRVPPCGGHWPCTGVKTSDDDEEEEDPRKGGVCWPCPCGTDQLFNNNCMGRITSAACSTIRTVGKHLSLPCYSSVSSAQSATNSNATSTGRRFLCCAQTNKAPQRERVLQTPELKFDRVEMSRKMKDIIEQLKPLCAKVSTILNLELLAANLNNNNGQYMAMGRPITTSESIEPEFYGRKDVTSTLIHEITEGKYRHMDNLAVLPIVGPGGIGKTTFTQHIYKKLHDHFEVKLWVCVSVNFSVSRLLQDIADKIKKEGNASPENRIEEGLKSKRFLLVLDDMWSCSNEDEWNRFLVPFKKAQTKGSVILVTTRFPALAQMVKTTDHWIDLKGIDEKAFKELFFAYVFGNKQPPEGHSELLGIGDEIIKKLKGSPLAAKTVGRLLRNHLDLVHWTRILESREWESQSGDHDIMPALKLSFDYLPFHLQQCFTYCSLFPEDYKFSEEEIIHFWIGLDVLHSRGENKKIEDIGLSYLVELINHGFFKKEEDDDDNGRTYYVIHDLMHELGLKVSADECLSLYSSNVRSIQILPSIRHLSINIDDSSVNDRKAFDTCIKDFSILGERLRVENLHSLMLFGKCQGSFAKTLHGLFSKAKSLRVILISGGFYSMEDLLHNFSELIHLRYLRVDDNWLYGERNKLVASDIVSRFYHMRVLDLQGCQDNHNLERCMSNLVKLRHFPGGVHSKISEVGKLKSLQELRRFVVGRESHGFELSQIGHLFDLCGSLSIDNLENVGGREGADEAKLVHKKNLNELILNWKARRSNKDPQREEQVLEGLKPHSNLRKLSITGHGGGTCPSWLGLNLSVQNLESLGLYSVDWETFPPIGGELQLVNMGDEKKLSNSPGQHFMNLKRIELADLKRLKRWVAIVGSSDQMLSHLEVLIIKHCPELVELAFSDSQQDRKIWPPNLRELVIQDCPKMLSLPPVPWRSTPCSVNISRVGLGFELRYGKHFFGSTSLGLEITGRVTLDSSASFWRCLDFDNLREVKKFKMDFESGRHAGYQFAAESMKISSPRAPSGKELTQVLSYMPKLSVLIIQGCDKITGLGVVEQQQEETTASSSSRSREEEEIEAAEEGLLLLPPQLHELSIGSCPELRRLRLQTLCSLRTLSISNRLASSSSSFLFPSSLQYLYLGGVETLPPLSNLSSLATLFISNCGGDVRGLSSGLQVPTHGCLQNLIIQRTTNFFSICPDSIISSLSLLETYDVAGALAAPICSLLSSSLTKLFLCSNKEMERFTDEQDEALQLLTSLQDLEFFDCEKLQSLPAGLHRLTSLKTLEIGSCPSIRLRLLPKDGLPNSLQNLTIFNCSAIRALPKDGLPNSLQELHIIDCPSIRALPKAGLPTSLKLLEVRDGSEDLKKQCRNLIGTIPIVKLD >Sspon.06G0004100-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6C:11019423:11022255:1 gene:Sspon.06G0004100-2C transcript:Sspon.06G0004100-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding FCFVFLKVRFTCAERSWPSFATFSHQAVDFILATLKNILSSEREKELVGEIIDGLVTRLMKRMCTVP >Sspon.07G0000150-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7A:504073:504322:-1 gene:Sspon.07G0000150-1A transcript:Sspon.07G0000150-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GLSGNTKERPTRRNYIEILTCSPIFYHLEDSRSHGIEREAERARDQGARPGPWGARPRSATNQDESRGLCPTDL >Sspon.01G0023200-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:71769423:71772286:1 gene:Sspon.01G0023200-3C transcript:Sspon.01G0023200-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAVRVLPKAVTFVTGNTKKLEEVRAILGSSIPFQSLKLDLPELQGEPEDISKEKAWMAASQGKIVPARGPNDFGWDLVFQPDGFEQTYAEMPKSVKNEISHRGKALDLVKEHFASASYTVQSDDSA >Sspon.08G0029460-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:37325768:37331141:-1 gene:Sspon.08G0029460-1D transcript:Sspon.08G0029460-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MENTSNDRGDPVRLLLGLRAAAGGRAAAVRWTRSARRGAARPREVVLAEKGASISVLTASAASRLFRSLASSPPLGGLRSGGTAPSTRELQVRICPGRELQVRQMHLPMNWARCGDVATAACGSPKAVLDGSRGDDDGVGEDGLHGGGAVGGVQSTAAALVRISGGGWWGWKTATARHNMAKDLHFGVPHLRPRQLRGGWCRTAQVRQGKVALWWGSGTRVEPAANGGGSVAKGGWREREERRLAAQEKREGDRGIDYFFA >Sspon.03G0020280-1P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3C:93683106:93683835:1 gene:Sspon.03G0020280-1P transcript:Sspon.03G0020280-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MENGEVPFPPVCSGGGGGSGANAVTRPEQERKKRVRPWTEEEHKLFLLGLKKYGRGDWRNISRNFIQTRTPTQVACHAQKYFIRLNSGGKDKKRSSIRDTTTVNLTDDQPPSPSQSSLITDQFSTQALAPDVNQNGRAN >Sspon.02G0018550-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:55613763:55615576:-1 gene:Sspon.02G0018550-4D transcript:Sspon.02G0018550-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VDEIMDEESTEMNDSDQLVTEDETLTEQGTEESASEEMENFFEEGADQSDEIQSFRNITEKAEKIMEEIERLQKKLKQLQGSGEEHNHGERSSPRQMAASLKRKSLSEPRYPELQIPENIEQFSMSQIEKATDNFHSRNFIGEGGYGPVYKGKLGGTSVAIKLLKPRGRQGFSEYQQEVVVLSELEHPHIVRLIGVCPESCGLVYEHLPNGTLMDRLSKGLLWKERVRILAELRSALAYLHSRRPHAIIHADLKLTNILLDAGNASRLGDFGTARAVHVKPLEEETISRRTNPMGTTGYMDPVFFMTGELTTESDVYAFGMVILQMLTGLLDLNIAEQAREAVKMDAVHSVLDASAGPWPEVQAEKLMKLALRCCSLERKRRPVITSDAEWRSLDILRAMATPSSKSWKWNSHAS >Sspon.01G0019230-1P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1A:72219789:72224744:-1 gene:Sspon.01G0019230-1P transcript:Sspon.01G0019230-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVLGAFVPDTAVRWRGLVTGEVARRMGVAPEAKTLVARLERVGAAVPDAEARAACGDDGAARWLANVRAAAYEADGAVDRCRVAARRRRAHDQHQQQSHHHQARSPLRFIWRATITALLQGRLQLKVILKEKHRLQLRSSLGDHHSAPVRTAHRLRKPERTRTSDADIVGSRIEDDAAGLVRQLTETDGRTGCTIIAIIGPDGIGKTTLAKKVYGSERIRHAFGARSWVRIPREYNEAALLSQVIDSFGGDTTGGESFANLERTLVRLVEKKRSLLVLDDVRYAGVWEDVLRRPLERAGRGSKVVITARHGSIAREMGAGHVHRVKKLGIDDGWLLLCTAASIVDEATAGELKGVGEGIADKCGGVQLAIKAVAGVLRTRDATTQEWGEVLASPAWLVKGLAEDAMKPLYLCYDDLPCHLKQCFLYCSLFPSDLAMDRRVLVQLWIAEGFVQIRADASVEEVAEGYYDELITRHLLQPAEEDEHGGAAWCTMHDMLRALAQLLAHSEELTGDSYRLLVDSGAAFAPRRVSLPGRNLAAIPEKILKLERVRTLLLQKNPLTIEGSIFTRLQHLKVLDLSETAVELIPENLGNLVYLRFLNLSHTRIQAIPESVGNLWSLKFLLLRGCKTLHALPKGIEHLRGLRDLDLAGTVINDAAFRVGHLRSITSLRCFTVTSKEARAAHDRSGWPLDELKNLSQLRTLHIQKLEKAANRWEATEMLLDAKKGLRELELSCSSTVRPLQTPSWFPRWLSVTFLPNLHDLDIIGCNFCQSFPPLGRLPELRSLYIADSLALKDIGAEFTGTEHPHQVPFPKLENLHLQGLQKLQTWTDIEPGAFPSLRQLQLESCPNLQNLPVGLRHVTSLTKLHIADMASLEAVDDIATLRELSVWNTPNLKRISNLPSLEDINMCHCPMLESVENVDGLQTVHIFDHDLRDMPRWIEAHASKLRSLNFTSTVELLKRCLVDGPDWPVIKDIKEVHGYSTGSNYIYYNRSPYIFESNVNDEDNLCVRENEADPDNVDDISVSSSGTGYLEIRGFFDSKVLKEGTTRAEEILSGRSVGRTMLGFTHRHLHKLAPEDDEVEDRTDSAMLLPSNPTRATPSAAKVGCVMTEYDNDPGSLPKATSYESQAITNDEIYHDNIVTSAFPRQSMSKTAKDVSSKPSTDGYATLTKSAAPIGHNLVRQGSQAINSTEIGQGSNFSSIQRKEHTSKKGEGINADVTVAKGISLVHSRQVMTSNNGKDDFADNAAATICSSDIVSQKHIKGQTATSANGSINATPMPENPSDKGPEKSAGVTGSSFIHEASHTVFVNETTRDLASSLIHSKQQMSDKEVEVSDALGPANAVDNNGNQMEDGNISSSVKLNHKESKALGASTTNCDTGPCKLLASLACSNQQTMKTLQAASADQNDGMESKITETARSRCAVESVKYSSGETAKNISRSLAKTADPTSHPIDITEAAMRKAKATTVRKHSMNEAVNDDRTDKDAPCSIDAKADDSQQAPKVHTAVWVDTDTDALRARLVDSMRHLRRMASRRRHRQRKRGSNNRWSIGPALVVILLLVSVVQLLFILWLYRRLLNQN >Sspon.04G0021860-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:5730028:5732135:-1 gene:Sspon.04G0021860-1P transcript:Sspon.04G0021860-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGRRRGDRSRRVLENSLSTFSMEDLPEPLLAEIIKRVTRTTDLNSLSLVSKRLYAVDAEERGTICVGCGLHPATESFASLCSRFPNLWKVEINYSGWTSVQAQGKQLDNQGLSVLSSHCSSLTDLSLSFCSDIDDNGLGSLKLCTKLKALRLSFIPAITSNGLFLVAVGCKSLSTFHLVDCIKVDSVEWLEYFGRAGSVVELVVKDCKGISQFDLLKFGPGWMKLEKFEFEINGNYWLSGPPPDPAFDAHYPYKYDICCENLKDLRLAHIITMHTDNEDEDEMIALFQNCSNLRSLSLRLMPLRQLDWDFRTPLTDESLKALGLSCPMLEVVELTFTCCSSMYPTEIGFTQKGIVALIQTCPIRAFMLNGANMFYDSGLEGISSAPFLERLELLDCKRITDAGMSLIARAPRLSSLSLRKCKNVTDNGIAELAHSAKLESLTV >Sspon.04G0021580-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:622021:626143:1 gene:Sspon.04G0021580-1B transcript:Sspon.04G0021580-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFKYPNLPEDEFAVKCPYCRKNCNCKACLRMRGVEEPPKKEISKENEIRYASHIVSLLLPWMRELRQEQMEEKEVEANIRGMVLWFLGYSYRLVVVSGIQLQTGLVLWTGVSMNEIKVEQAEVDLDDRVYCDRCRTSIVDFHRSCKHCFYDLCLNCCKELRKGEIPGGEEVEYVPAEPKGGSYSFGKIPLSKDANRSKNSSNGQSYNGLPAVGNPITSDGSIPCPPKEVGGCGNTLLDLKCLFPEKMLAEIEDRADNFLRSETLAKAMVSRSDRCPCFDHSGKIRTESISVREAASRKDSSDNFLYCPVATDIQDDDIVHFQMHWAKGEPVVVSDVLQLTSGLSWEPMVMWRALRERSKDKAEDEQFAVWAIDCLDWCEVEINIHKFFSGYTTGRTHARTHWPVMLKLKDWPPSSSFDKRLPRHGAEFISALPFREYTDPRYGPLNLATKLPAGVLKPDLGPKSYIAYGFYKELGRGDSVTKLHCDISDAVNILTHTAEVTCQTDIRQIEKIQKDMRAQDLQELYGGLKSCTELKLSPAPTECRNESVDERLKTSYSLDINALPLDDDEDDANDKELSHGSESQSELGQCADHSNGVNTTDGMHNGGLYISHNRKSTDMIQLKKVGIKPQEEKSEKIDCSGIDAYLKGSSEDNLEMPVVESSEQQSTGGALWDIFRRQDSDKLQEYLRKHCSEFRHIYCNPVKKVFHPIHDQSFYLTEEHKRKLKEEYGIEPWTFEQKLGEAVFIPAGCPHQVRNLKSCIKVALDFVSPENVGECVKLTGEFRRLPSFHRAKEDKLEIKKMALHALNEAVNFLDPCSSEGLKIGVGENICVDGFVDEKPLPKRQGSRRRDEKPKRRGIKDRKSKSEAADEKPKRRGRPVGSQNCGD >Sspon.03G0032890-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:42921172:42925954:1 gene:Sspon.03G0032890-1B transcript:Sspon.03G0032890-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPAQSCLRFLPPPPPTTNAAQPPDAAAVVVPLPGSSEDRISQLPEDIQRTIVSKLPVKDGGATVVLSSRWRSIWSSIPLVLDDAQLRPAGVEDLVDDDEDTEAIEDLSRDMVWDKVTAILRSHPGPFRSVRLTSSPASSLRTWLRIMAAKGVEELVVLNCEWPTAMMVLSVELLRCESLKRLHLALSQMPHLTVPGRAGRPAHRRAVHFPNLEELVLCHAFVPETDIGDFLAGCPVLKMLAFIEGCGVPPYIDVLCPRLKCLLLWRFKPLKLTVDAPRIERIILWRTNQFFLPPRTRILIRRAPSLRILGYLDPKLHVLESGDTIIKAGTRPKPDVMLPSVEILAIKIRFGVRDDERTLLAYLRGFPNIRTLHIQSAPALPRPANEHGPDFWNNDVFSLIPCITKIKKFSFYDFHGMDNNSELSFIKSIAETCNLLEHMRITISRGIMELPNLLKARLLNSMSEVEWASEESTLDIITGNHKEWKYRTASDLSLSQSGPQQHRVIKPTEKEDVVEEDDAEKKRIKGLKAVLSFNDY >Sspon.05G0016930-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:66183336:66187443:1 gene:Sspon.05G0016930-3D transcript:Sspon.05G0016930-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTEEIRFILLQNRQGKTRLAKYYVPLEDSEKHKVEYEVHRLVVNRDPKFTNFVEVYLILDEFILAGELQETSKKAIIERMGELEKLE >Sspon.07G0032700-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7C:53857022:53858168:-1 gene:Sspon.07G0032700-1C transcript:Sspon.07G0032700-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARCTVDIASTACIPQWATTRTKGMVASHHLGGLRRFLLQGAVRRVLRDIHGHRIPPRQSSGGRPSSPRSVPPSVSRPMHGGIAGDVADAIIATEALMSLLPTTFTAPWNGGGGSIASSRGAPSLPNSGGTARWSSGFTYDLDIVLPPLPPPIRPCSYVDVVASGHQDQRRTALPHDNALGASDSLCGRSSPGMGGVRPAGQPPWPEYGLRPQSA >Sspon.01G0027070-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:93878625:93878894:1 gene:Sspon.01G0027070-2C transcript:Sspon.01G0027070-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGAQGAQPKGAFTATTYTSAPATTGGGVAQGQERRQTPRTELRSGEDERGLPVRKLQDTVEDAAGKGGPVFGAGTEDGKPDLGVTGTG >Sspon.01G0035630-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:12734563:12736486:1 gene:Sspon.01G0035630-1B transcript:Sspon.01G0035630-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ESGEQSSLEVERGDVYNFEQGSILYIQSYPNATRQRLRIYAIFTSEGINADDPSVPKVEAYSSVSNLVKGFEADVLRQGFGVKPEVVEAIKSAETPPQIIAYNPEEKGDEKPNWTEDIVDALLGLRDPEEFLNKKKKKDKHKSKDKDKKSKSKAFNFYSGKPDVENCYGWSRTMTNKDLDALHGSNIGMFMVNLTTVSMMGPHWNPKATEIAIVTDGSGIVQTVCPSSTPSGETRRGCRHHHGHKRRGGPGGRGDEDEGEGGGARWRCRNSVFRVKEGDVFVVPRFHSMAQMSFNNDSFVFVGFSTHMGQNHPQFLAGKGSVLQAIGKKVLSLALGQPNSTAVDKLLSAQRDSTILSCISCAEELEEKAAKEEKKRREQEEQRREREEKERKEREEEEKREREEKERKEQEEEEEERARKEQEKQRRREEREKARREQEEEERARMKEEEREREEEERRREEEEGGSGRGDEAEREEEREGEEEREREEEERRREEEEGGSGRGDEAEREEEREGGDEPPYHLSKKLKKRYRAG >Sspon.02G0027810-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:100950067:100951854:-1 gene:Sspon.02G0027810-2B transcript:Sspon.02G0027810-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPSDAVAAAVSARLLELAADDDSAALGDVLAAHPSLADEPAPWYSPARGAEPMTPLMVAAAYGSVACIDVLLSPPHLADPNRASPSSLSTALHLAAGGGASTAPAAVSRLLAAGADPTLLDHLHRRPSDLVALPPNSLPLKNHLLSLLGGRKEWPPDPSLPDIKNGAYASDDFRMYSFKVRACSRAYSHDWTECPFVHPGENARRRDPRKYHYSCVPCPEFKKGAGCRRGDMCEYAHGVFESWLHPAQYRTRLCKDGVGCARRVCFFAHTPEELRPLYVSSAGSRSALEMAAAMGMGLPSPGASFTPPLSPSGGGSGVAGAWPQPNLPALCLPGSAGNLHLSRLRTSLSARSMAVDELLASADYDGLVASPASVRSARGKTLMPSNLDDLFSAEMAGSAASHSPRYADQGGSAFSPTRMAAMLNQFQQQQSLLSPRATVIPEPVSPMSSRLLAALAQREKMQQQTLRSMSSRDLGSGASVLVGSPVISSWSKWGMPSSTPDWGADDEELGRLKRSSSFELRSGANGDEPDLSWVNTLVKEPAPEKPSINRTTAKESIASLSQAASHEDIGGEDDTAGVIGGWLEQLQLDEMVV >Sspon.03G0009610-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:26002850:26005218:1 gene:Sspon.03G0009610-1A transcript:Sspon.03G0009610-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPNNSVSAALSETTPVFQLRVWQLIAIAVGIVMAILLVIALCLSFRRKKKTVKGFDNTSLAEIPIVSKEINVDRVDAQSLRDSEAPFLPVQDKYTQTKGAGQLGESRSVDVDTFSQCSSVYNVEKAGSSVYSEEYSYSSSGPARKGSSPYAYSASPLVGLPELSHLGWGHWFTLRDLECATNRFAKSNVLGEGGYGVVYKGRLVNGTEIAVKKILNNVGQAEKEFRVEVEAIGHVRHKNLVRLLGYCVEGIHRMLVYEYVNNGNLEQWLHGMNQHGVLSWENRMKILLGTAKAYVAPEYANSGMLNEKSDIYSFGVVLLECVTARDPVDYTKPADEVNLIEWLKMMVTSKRAEEVVDPNLEVKPPKRALKRAILVGFKCVDPDADKRPKMSHVVQMLEAVQNAYHQDQRKLSQLGSMDIESQQSLEETSNSADA >Sspon.05G0019450-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:83462298:83463134:1 gene:Sspon.05G0019450-1A transcript:Sspon.05G0019450-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative phosphatidylethanolamine-binding protein, Rice TFL1/CEN homolog, Control of inflorescence architecture, Repression of flowerin [Source: Projected from Oryza sativa (Os11g0152500)] MSRSVEALVVGRVIGEVLDFFSPCVNMIVTYNSNKLIFNGHEIYPSAVVSKPRVEVQGGDLRSFFTLVMTDPDVPGPSDPYLREHLHWIVTDIPGTTDASFGREVITYESPRPSIGIHRFIFVLFKQKRRQTVAVPSSRDHFITRQFAEENDLGLPVAAVYFNAQRETAARRR >Sspon.05G0014220-3P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:54293956:54295428:-1 gene:Sspon.05G0014220-3P transcript:Sspon.05G0014220-3P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTSATCTTSSPSAARRGGGAHVLLLPYPGAQGHTNPLLEFGRRLAYHGFRPTLVTSRYVLSTTPPPGEPFRVAAISDGFDDGGATACPDLNEYWRQLEVVGSETLAELIRSEAAEGRPVRVLVYDPHLSWALRVAKAAGVAAAAFLSQPCAVDVIYGEVWAGRLPLPVVDGKELFARGLLGVELGPDDVPPFAAKPDWCPVFLEASVRQFEGLEDADDVLVNSFHEIEPKEADYMALAWHAKTIGPTLPSFYLDDDRLPLNKTYGFNLFNSSESCLAWLDKQLPCSVVLVSYGTVSDYDEAQLEELGNGLCNSGKPFIWVVRSNEEHKLSNQLRDKCKEHGLIVSWCPQLEVLAHKATGCFFTHCGWNSTLEAIVNGVPM >Sspon.06G0004500-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:14193059:14196891:-1 gene:Sspon.06G0004500-1A transcript:Sspon.06G0004500-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPGATARRLLALAPARSRRAFWSASWAGADPAAPSASSTSPGNSSSSRKKKAPSGSAHHRLAAVMDAVNERKLPPELRGRGNAVRSETDIVNVVEQRICHSMEEGHFENLPGKGKPLNLTSNPHADPAEDTLYRILSRNGCAPEWVELNKEIRGLIMGWRSALRKAWANRSEDDDSNWNDDCRVFRYNLIVPFGRQMFGLNWDKEVDKLKGNARSRQVNTEELKQCKKQARPGQLSG >Sspon.05G0019900-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:85320724:85321191:-1 gene:Sspon.05G0019900-1A transcript:Sspon.05G0019900-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGAGSSSGDVSSTPATEEGPRADPELPPQTDDVYVIAVTRNLAPVAPSRVRVQLGRNNMEPTVIFLESHDSDADADAGADDNAHGGFGFSLGAVPVPASGVAIACLPETTVGEGETRERGECAVCLEEYEAGDALRTMPCAHGFHERCIFGWLP >Sspon.03G0020800-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3C:83395285:83395765:1 gene:Sspon.03G0020800-2C transcript:Sspon.03G0020800-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGCVGNLYATVDKLDSTYVQTGAARDALLCPTVLSPAASTNSSLLRLPAAPSSGQPKSYFKCTYGCYIVTDASGTICSGCGNRIQAAVAFVAPTSGQQAQNASTGGAKGFVQGIVTYTVMDNLTVTPMSAISSITLLSTFAVRDIGDLQGKTVQLGYNE >Sspon.05G0019030-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:80728155:80729168:1 gene:Sspon.05G0019030-4D transcript:Sspon.05G0019030-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMISPANRTQIPAKRKLLPRHGPFSSLRALHHRTGNQPAMGKDCGNHADDDFRRSCRRLLLILLTLALLAGVIALIIYLVLRPTHPRFYLQDASLRQLDLTNGTAPLLSTTAQVTLASRNPNARVGIFYDRLDVSASYKYQQITLGSRLQPAPLYQGHGDVDVWSPVLAGPNVPFAPFLADALRKDIAAGYLILQVRIDGRVRWKVGSWVSGHYHIFVTCPAYFINAGAGSGYGGTVPDRHVLPRRGLATSTNAMLCFLRFQTAT >Sspon.06G0017190-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:75243123:75258209:1 gene:Sspon.06G0017190-3D transcript:Sspon.06G0017190-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQQMPMLPAPASAAAPPAAGITTEQIQKYLDENKQLILAILENQNLGKLAECAQYQAQLQKNLLYLAAIADAQPQPPQNPAGRPQMMQPGIVPGAGHYMSQVPMFPPRTPLTPQQMQEQQQQQLQQQQAQALTFPGQMVMRPATINGMQQPMQADPARAAELQQPPPVPADGRVSKQQDTTAGVSSEPSANESHKTTTGADSEAGGDLKQLLMPPQSAANVLGAALQDVALSSESVTDNGNDPEGAGGLVKDAECILAELRRGGLTGIAPADEVGSAAGAPDHEVHPGGVLLVPEEPGAGGRLRPRGGGGGGEGVVAGGEVADHGGGRGVGGTAREGGVDGDIGGGFIGGGVDGDIGGGFIGWGVDGDIGRGFIGGGVDGDIGGGFIRRGVDGDIGGGFVGGLAEAEAEAEAGVDRVSASAIVEKVNVTTFLPSLRSRDASSSDVGLSLSFLDRHFPLISRRGGGDDELPFLAAIRVRAPEEVGLAIAVQMSTTFSLDLSTPWNLPKRTYHGELLKLPSCCCTTTMSTAPPNGWNGPETEYTLREMRLPTFPTAYILRAEPTNDEPVPDSTDRQAMGSLTPQQGH >Sspon.02G0032120-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:88984368:88985734:1 gene:Sspon.02G0032120-1P transcript:Sspon.02G0032120-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAGSTNTPSTTALTMKLLVESSPLRQRVVFAEAAKDTVDFLFSLLAMPAGTAVKLLGKESMAGCMGNLYGSAERLDDSYVDPGPPGIKDDVLCTTPLCPAAAGPNSCLLLRVPSPAPAPAPKSFYVCPYTYNHSNCGGYVTEVKGARCPSCGSQMSKDTQFVGSPPVPPAEPARRGFVKGAVTYTVTDDLVISPMSNVSSIALLNACAVRDLGALQERTVHISYKEGLEILRASLQSKTVLTDVFLGKKPPSMNNNGGNRSLGSGRRHECLTWRA >Sspon.01G0023760-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:85386734:85392474:-1 gene:Sspon.01G0023760-1P transcript:Sspon.01G0023760-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPGPDKSPSPPPDEVVSQQAPAPLPAAPDADGEARADDDDDDDVVKAAVGAAREDHGERRRATTASARGRKRRRRGGGGPSYYSASSSSPAAAAAGTRGVVMVKRDLLARCMTCPLCRRLLRDATTISECLHTFCRKCIYQKFDNEEVECCPVCEIDLGCTPTEKLRADHSLQDVRSKLFPFKRKKIKTEEVPSSISLPTKIKERSISSLVVDTPKVKPTGLTGRRTRAVARKAAAAAAAAALRELGPIIEDPVKKEIDSCDSHSHNSNLPANSSKAPQTRRQISPNAEASNHSSNKDTEGDSKDELADKSELWRPLNCLVEAANRTKSFRSSSQSPVVKGEQINGSTSGTFASKAKARDNLEKSKTEDDKKDVPTPPVLPKRRAQGTARKRKDLQAPTDVKPDVAAAHSAKKFSSIWFSLIASFDQQGDPPLPQIPSHYLRIKDGNVPASSIQKYLMQKLSLPSESEVEIKCCEQPVNPSQPLCNLVELWLKGRVTQTTQTMTGSSAKEFVMVLTYGRPKAPAMLLVAD >Sspon.04G0021470-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:1187109:1192384:-1 gene:Sspon.04G0021470-1P transcript:Sspon.04G0021470-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHARARPRQHIRVLVAAAMLLLSSALFTTARFPLAVSARLPNPTTTTRLLLFAGLPSSSPLRAFCPRARPSPATCAAFSSTMAATDNPLLVADFDFPPFDRVEPSHVRPGIRELLTRLEGELEELEKGVEPTWGKLVEPLERITDRLEVIWGMVDHLKAVKDSADLRAAVEEVQPDKVKFQLRLGQSKPIYEAFKAIRNSSDWDSLSDARKRIVEAQIKEAVLSGVALEDEQREKFNQIEQELEKLTQKFSENVLDATKKFEKLITDKKEIEGLPATALGLAAQTAVSKGHENATAENGPWVITLDAPSYIPVMQHAQNRELREEVYRAYLTRASSGELDNTNIISQILKLRLEKAKLLGYKNYAEVSMAQKMATVERVEELLEKLRAASWDHAVKDSGSPEANDLTHWDLTFWSERLRESKYDINEEELRPYFALPKVMDGLFTLAHKLFGVTVEPADGLAPVWHMMSNFIVSKTLPIALPSEKRGGAWMNVVFSRSRVLARNGLAARLPVAHMVCNQTPPVGVKPSLMTFREVETVFHEFGHALQHMLTKQDEGFVAGIRGVEWDAVELPSQFMENWCYHKNTLLSIAKHYETGETLPEEIYAKLVAAKNFRAGTFSLRQIRFASVDMELHTTYDPDGSLSIYDVDRRVAERTQVLAPLPEDRFLCSFSHIFAGGYAAGYYSYKWAEVLSADAFSAFEDAGLDNEKAIEETGRRFRDTVLALGGGKSPLEVFVSFRGREPSPEPLLRHNGLLPVAA >Sspon.01G0038210-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:28964991:28968474:1 gene:Sspon.01G0038210-1B transcript:Sspon.01G0038210-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRFFPFRSFTSSAENGKAARANDTMNENKVDEAGTSGAHRSPGARSFRSRSRHGASRNEESSHPQLRRSFSLTSSAMDHSLDEQMMSYSRDILCSKSNDSDAPGHFGKVEPAKLTNLVNKNEVLDLYIDGEQEVARLNEKQNQKFPVRSTAPYLGRERPPRPPSTAPSSPKVCKEIIENPSNIDTDVIWHSHLAYEGTKGAFKVASVCHEGGHDARCLEASSEYLSNFEECRSQSMTTVDDIYEDLQDVQPPSPFFYSTSMDPISSVTSRYFTADIHCHDQSHGVHDFNLEQDTDEKLLQRAKEVDACLMVPLVENSKLNALRDKRSNSTEIWQFIEGLIEDRKKLAAEVSSQIKARLTERFAAKEQYKRSKLELETRTRRLEKEKIDLQSNLERELDRRSNDCSVKLERFQSEEQRLQERVRELAEQNVSFQREITLLESYKVDATSRIKSLELQNKHLNNELQEVKDDRDNLHSSVELQDNLIMAIVERDMIRESLKDKDEDKKVLHKIIARLQRTSNEQEKTITSLRKGFGAEIEKRAAGNSDIINRMQMELLRLTGVEQNLRREIQSCTIEMESLRQENVAIFNRLQRSEDGANFSTVRLDQELQARVESLQTQGLSLLDDSSQLCAKLLELIKSKKSENSSDVDALVAIEYTLKYQSMIGGIENVKQSLRMIKSLLTEKQNEEETEQRAEGYLSGQEKLSRDDIEIKLREEAMISRVLKEKLLSKELDIEQLQSDLAASVRVQEVLQSEIQRVQDELCCLTHKYKHLEVQ >Sspon.04G0022320-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:9208507:9211074:-1 gene:Sspon.04G0022320-2D transcript:Sspon.04G0022320-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTRLHLAVLLLLGIASDRARGGRVATELVTPDFTASYLLFQDSYGAFLASPSGAFHAVVYNPGDQLERFYLAVLHAPSKTCVWVANRAAPITDRTAPLQLTAKGISIEDPNGTTIWSTPPFGTPMAALRLDDHGNLALLDARNATLWQSFDRPTDSIVSSQRLPAGAFLASAASDSDFSEGDYRLNVTAADVLLTWMGSTYWRLSNDASSTVDRSGTVAYMAVNGTGLYLLAADGGVVIQVSLPAAELRVVRLGYDGKLQIESFASANSSNSPMDGGFVAPSDGCALPLSCGALGLCTPKGCTCPPLFAASRDGGCTPSDGSAPLSVSSCGSTGGGGNNSLPVSYLSLGNGVEYFANKLAPPTVSGDNVSTCQTLCTSNCSCLGYFYDDSALSCYLVQHQLGSFMNADPTKASDKLGYVKVQSSQLSRPSSNSSSKSTLIAILLPTMVALVLIVVVSATVIRAWRKEVGRSSRSRDQQLRRQRSPSDSAHLVRDIDDDDDDIVIPGLPTRFTHDEIEDMTNSFRIKIGAGGFGAVYKGELPDGSEVAVKRIEGVGMQGKREFCTEIAVIGNIHHINLVRLRGFCTQGQRRLLVYEYMNRGSLDRSLFRPTGPLLEWKERMDVAVGAARGLAYLHFGCDQRIIHCDVKPENILLADGGQVKIADFGLAKFLTPEQSGLFTTMRGTRGYLAPEWLSNTAITDRTDVYSFGMVLLELVRGRKNRSEHVSDGGGEGSNSSNGTTGSSSRGAKSDYFPLAALEAHETGQYAELADPRLQGRVVSEEVERLVKVALCCLHEDPHLRPSMAVVVGMLEGSIALWEPRVQSLGFLRLYGRGFSVPSDGGGSDMNLKHMASPMD >Sspon.01G0030640-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:104884888:104885961:1 gene:Sspon.01G0030640-1P transcript:Sspon.01G0030640-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMSRGASMDAISLDDWELLLPDHRSSFHMGSECSNGSHGIVGGKDQLLLGAELVVIDMDHFGPTSSRPPAYDYCILDEEADKKPLLLLPSEDAYGGDPTADYKDIDVVAAEPEREEFVSEVTEILVYEAEEQEEMIKSVKEADQDDDDVLVEAAAPDGVSQCAREEEEEEGVSKTGFGVGSLRVNGVGALCSFGVAAATFCILLLGGKQQQQLHKRQGHKIQLQMYADDEQVVQQASRLNQTMSSVMGGASSARASISFGGYYQGF >Sspon.03G0020920-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3B:86784588:86785166:1 gene:Sspon.03G0020920-2B transcript:Sspon.03G0020920-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSDAAPHVVEDFFGVVRLFSDGSVVRGDESVLMPEGPFPDVPGVQWKDVAYDAARGLKVRVYRSSTAREKLPVLVYFHGGGYCIGAYDQPMFHSCCQRFAAELPAVVLSVQYRLAPEHRLPAAIDDGVTFFSWLRRQAAGGAQGTEPWLEESADFPQTFVSGVSAGANLAHHVVVQIASGKLAVHPARIAGY >Sspon.07G0017280-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:62456460:62459747:-1 gene:Sspon.07G0017280-1P transcript:Sspon.07G0017280-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSDMSSLKKSDKKAALDFAAWSFNVSTSVGIIMVNKALMATHGFTFATTLTGLHFATTTLMTIVFRWLGLSQPSQLPVADLIKFVIFSNLSIVGMNVSLMWNSVGFYQIAKLCMIPASCLLEVVFDHVHYSRDTKLSIMVVLIGVAVCTVTDVSVNARGLIAAVIAVWSTALQQYYVHFLQRKYSLNSFNLLGHTAPAQAGSLLLVGPFVDYLLTGQRVDHFGFSSLALFFLTLSCFIAIGVNLSQFICIGRFSAVSFQVLGHMKTVLVLSLGFLFFGKEGLNIQVVIGMVLAVLGMIWYGNASAKPGGKERRSVLPVRSASLKGSSEEKDSVEK >Sspon.03G0022130-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:86505416:86510216:1 gene:Sspon.03G0022130-2C transcript:Sspon.03G0022130-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPTRPAQASFRDRTNEFRAAVESARRQSSAPAAASSSSTGPLDGLIVATSARSEFNNRASKIGLGIHQTSQKLSRLAKLAKRTSVFDDPTVEIQELTAVIKKDITALNTAVVDLQAICNSQNESGSLSKDTTNHSTTIVDNLKNRLMSATKEFKEVLTMRTENLKIHENRRQMFSSSAAKDASNPFIRQRPLVARDPSESSVPPAPWASDSASTPLFQRKKTNGDHGASSSSSQPFAQQQQLAVQQDSYMQSRAEALQNVESTIHELSNIFTQLAAMVNQQGELAIRYDICPVYDKDR >Sspon.03G0005210-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:30420714:30424023:1 gene:Sspon.03G0005210-2C transcript:Sspon.03G0005210-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRPGSRRARRPPPPASPEPRAAAATPPRPGSASRRRARRVRVQSPSLAAVRRGAAPPHPPPDTPPVRWPLGAGARSASRPGAGAAALSVREIAAALWRMQPPQAPPPGPGPGTARRSRAQSSSKRPHTPDHCQHYKAVIQGRTGNSTVSNVPHEMEAHSAVRQIETEMATKWNHQFMKASRGADYDYIEHNQRDADGEIYSLREELMTAQDRIHELEAECRSTKKQLDHLAKNIAEEKASLKSREHDKFHHILDAVKEELNRERKQRQRAEMMNSKLHNDLSEMKFAAKRYLQDYEKERKARVLMEEVCDELAKEIAEDKAEVEAMRSESMKIRDELEEEKKMLQMAEVWREERVQMKLVDAKLILENKYSQLSKLQNELEDLLLSQPGCNMEKGTVREAERLKEAICSSMINGIKEFSYKPPPPSEDIFAVFEELKQREDTAEKVIVQCNGNRPKGRASRAHTASPETDMFLENQPSRYCNQPRTRNEVAEDDSGWETVSEVEENGSSNSPGGSEPSVNGFCGENDVSVSGTDWDENCDNDQAHSEISEVCSTTAGRSRNKRSFVGLWRSSNTVDQKKMGSDTLNGRSSNARMSNVTESSDLKNSEVCDSPHITGQWRPDLLNPDIVRAIKGCMDWPRGVQKHSLKSKILEARIDGSKVQLRQALKQKI >Sspon.06G0016860-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:73458011:73469406:1 gene:Sspon.06G0016860-3D transcript:Sspon.06G0016860-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DDDGFGATWNVATIAPEAQSLRETSKKLGAPQNFELVWVHRNLITQHCKANNFTAALYRQPLELWPKFISMSRRQHKDRQTCSTIPGQNDHMLEFGQIKRFSWRELQIATNNFSEQNVLGKGGFGKVYKGVLPGPNSIKIAVKRLLNVNSREGEMAFLREVELISIAVHKNILRLIGFCTTTTERLLVYPFMENLSVASRLRDIKLNEPALDWSTRMRIALGAARGLEYLHEHCNPKIIHRDVKAANVLLDGNFEAVVGDFGLAKMMDIGRNTVTTGLRGTMGHIAPEYIKTGRPSVKTDIFGYGVMLLEIVTGDRAIAFHPDRIEEAGEIMLIDQVKLWMEEGRLLDLVDRNLGGLYNLEELEKVTQIALLCTHMDPDQRPTMSEVVQMLEGEIVPAERWEEWQLAELQRRQQHEMRQQGKLFNFSEESLNIQEAIEFSKARSKLPPLQCPSISVVYVFVFGASPC >Sspon.03G0017460-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:74330423:74338004:1 gene:Sspon.03G0017460-1T transcript:Sspon.03G0017460-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEAAAEQLVCVTGAGGFIGSWLVKELLQRGYADLVPVAIEGTKNVMNAAADMGVQRVVFTSSCGAVHMNPNTNRNPDRTVDESCWSDLDFCKQTQNWYCYAKTVAEKTAMEEASKRGIQLLIVVPSVTKGRMLQPTLNLTLSAVATYMKGTKKAYSNTVGAYVDVQDVALAHILVYEDLSTHGRYLCIGDMLHQSEFLQMMRELFPQYPITTKCKDENKPMIKPYKFSTQRLGALGMKFTPLQESLYNTVEISSSDSHGGQVPSESRESKKLMSLGRIRAAGLRMPNRCQIRSFLSDFNS >Sspon.05G0014590-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:60632170:60634352:1 gene:Sspon.05G0014590-1P transcript:Sspon.05G0014590-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LRPRPRPLPTRVQDSGGSEDFVDLDWIKNGGGGLMRRRVVPPTGGEPPQVLWQDGGQPVQVPFPDAAEVEVVDELSGEVPFPLPLRSLSFNLSSSLQYHDVNLFAGCLTKIECGNQSGVSIDDKQADALKMFIREDRQHRQFPYMHCWKILKDQTKWADRRKKMETQKTISKKQKVVANSSPASAPPLLPAATVDENQHSNSALQRPPGQKKQKLRQHSSIKALDYLLAKKKEADVEKELKKEERCKKAFSLQEERIRLEKEKLELQRDQFEFNKKLEEERIMNVDT >Sspon.04G0035790-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4D:16863873:16864279:-1 gene:Sspon.04G0035790-1D transcript:Sspon.04G0035790-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGDAMERGDRAPLLPEQVIRHDVAVILTSDATLLRELGSWDEDTRRSWWPSSSTLHAIII >Sspon.06G0010560-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:46362685:46366464:-1 gene:Sspon.06G0010560-2C transcript:Sspon.06G0010560-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELVTSMVIGPLVSMVKDKVSSYLLDEYKVMEGMEEQREILERQLPAILDIIDDAEEKGAYRAGVRAWLKVLRKVSYEANDVFDEFKYEALAREAKKRGHHNMLGMDAVSLFPAYNHFVFRRRMGKKLQKIVQDIEVLVAEMNAFGFSHRQQAPSSKPHRQTDPVMIESEKDIVSRSRIEEKKKIVMTLLDHASNADVLPDHAIKVDLLILPIVGLGGLGKTTFVQLVYNDPEVGKHFQFRKWCCVSEDFDVGNIARSICNSTEKDGEKALKDLQKELSGKRCLLVLDDVWNKDVNMWEKMKTCLQYAGTGSAILTTTRDKTVAQIMSGGKGEAYNLANLEDVFLKEILVRRAFILQKPEFANLEEVVDAIVKRCAGSPLAAKAIGSMLSTKTSKEEWMAVLKRSSICNEETGILPILKLSYDNLPLHMKQCFAFCAVFPKDYEINVDNLIQLWMANDYVPLEDGVPLEATGRQTFNELAWRSFFQDALEDQNWSHFRSIKTCKIHDLMHDIALSVLGEECATITNRSDQKKLLSKHCRHLFTSYNATGAHLIPFLEKQAPTLQTLLLSPYADWTLHMPKYNSLRAIRPPSSSEFKIRSRQLQHLRYLDLSENWRIKQLPEEISIMYNLQTLNVSGCEQLCQLPRNMKYMASLRHLYTDGCTSLKCMPSNLGELTSLCILTYFVAGASSGCSTVGELQNLDLGGKLMLSRLENVTEAQARAATVGNKEKLRHLSLEWSSECQEEPVSDCHKKVLDALKPHEGLENLWILGYKSTSLPTWMKDLSLLQKHLTELHLAGFTACEEFPQFGHFEALQILCLEELEKLQSLCSKEASSTFPKLKKLTLRRLKNMERWVAAEGREGGEVVFPQLENLFIMDCPKLVTLPETPNLKVFVLDEGKAQLSLLIARCGYMSLLSTLQLCVRDKEAALELDGENVESPLIELSLNGCDFFFPRSPRQPTFMIWRWFGKLVHLSITGCDALIYWPEDVFQSLVSLKRLYVSDCQNLVGPAQVKGEPAPTTSQVLPHLNTLSVDHCQKLTELFGLPPSITSLEVWSCEKLKFTWEDTESKSVHVEQLGTSTSMENCASTSVPKQSAAPAQTNHSLPCLEYLYIGEQDNLVTLPNLPLALKTLLISSCQKLCSVSGQLDVLECLNIYNCNKLQSLDSLGHLPSLQSLTLDRCKSLTSVPGAVGSYSALQRLTIKYCPAIDTKPLYKRHQQRLDNLEERDLSHAHSSDPREGTFQAIVLQYAAYLFSTLPCF >Sspon.06G0005070-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:14965731:14966099:1 gene:Sspon.06G0005070-2B transcript:Sspon.06G0005070-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKAEKKPTAKKPAEEEPAAAEKTPAGKKPKAEKRLPAGKSAGKEGDGEGKRGRKKGKKSVGTYKIYIFKVLKQVHPDIGISSKAMSIMNSFINDIFEKLAAEAAKLARYNKKPTITSREI >Sspon.04G0012620-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:42335100:42338001:1 gene:Sspon.04G0012620-1A transcript:Sspon.04G0012620-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLWVFGYGSLIWNPGFDFDDKILGFIKGYKRTFNLACIDHRGTPEHPARTCTLETDDEAICWGIAYCVKGGPEKERKAMQYLERRECEYDQKISIDFYKEGDPLKPAVTGILVFVSTPDPIGNKYYLGPAPLQDMARQIATANGPTGYNRDYLFSMEKALASISHEDDSIIELADEVRKVLNRTKETKITGANASLKSHVPLVHLSALPEGTVVDSR >Sspon.07G0019880-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7A:73555348:73555690:1 gene:Sspon.07G0019880-1A transcript:Sspon.07G0019880-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RRDTLVGGSHHVRRVEELDNRLEGQIRAGRWQSEEHHRLGCHELLPLGHPSVRRLDACSKYGMAATVSRSLAVGEGDEEDVGIGSGNEGRGGRQARLQRRKPGVVEASEGRGT >Sspon.01G0048210-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:106392498:106393583:-1 gene:Sspon.01G0048210-2D transcript:Sspon.01G0048210-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MANHACRAVLPVSTNRVMNYFALVCVTATSHDPSNTRRARTHQQVHHHSSPIADAAGRKNVNVGVGVTVTDRVPAQDRPDPQPYISTTTSVSPSLPRRGKQLANLSPHARRRHQIEIRLCRTDGDAGGVRSMVERWKMDGAPARARLLLRALAWLFSLLALVVMASNQHGGSQDFRKYPEYNYCLGISIVAWLYATAQVLRDVHRLSSGRDLIAARKASAVVDFAGDQVGFVRCAGIATIRSNHRCPTWQRRVANRR >Sspon.04G0001590-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:5385807:5389263:1 gene:Sspon.04G0001590-1A transcript:Sspon.04G0001590-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding WMRYPVDIDTFSGCPVTQLPRLDPRLAEALQRMGIESFFPVQEAAWLETIGPGAFERDICINSPTGSGKTLAYALPIVQMLCKRKVRCLRALVVLPTRDLALQVKEVFDAIAPVVGLSVGSAVGQSSIADEVSRLVRKPKQEFYPTIDEEYVQMEPQTKIDILVATPGRLMDHINMTNGFSLEHLQY >Sspon.01G0004390-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:10408555:10414549:1 gene:Sspon.01G0004390-4D transcript:Sspon.01G0004390-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPCRELTGLRRGPAAARPPVPGRRGANELCLAPFHHRAPLQQQRQRRAGLKVVAAISEDLPRLAAPGTGTGKGAAEGRRPEKVLVRAALTVRRKHKEDLKEALAGHLDALWDMVGRSVALELISTKIHARTKKPLQSGQASIKDWCQKRGVKGEHVVYTAEFMVDSDFGEPSAITVANRHHREFFLESIVVEGGLPCGPVHFACNSWVQSTRELPGKRVFFSNKPYLPSETPPGLRELRDKELKDLRGDGTGVRKLSDRIYDYATYNDLGNPDRGKEFTRPILGGDKIPYPRRCRTGRPPTDTNMLAESRVEKPHRIYVPRDEAFEELKQGAFSSGRLRAVLHTLIPSMIATISAETHSFQGFHHVDNLYKEGLRLKLGLQEHLFQKIPLVQKIQESSEGMLRYDTPRILSKDKFAWLRDDEFARQTVAGINPVSITRLTVFPPVSKLDPAIYGSPESSITEAHIAGQLNGLTVQQAVDEAKLFILDHHDVYLPFLDRINAIEGRKAYATRTILFLTKAGTLKPIAIELSLPPSKAGEPRPSKVLTPPSDATSNWLWMLAKAHVSSNDAGVHQLVNHWLRTHAIMEPFILAAHRRMSAMHPVFKLLHPHMRYTLEINALARQSLISADGVIESCFTPGPVSFEISAAYYRNHWRFDLEGLPSDLVRRHACRCPPPFANQKALHLSWPLTSIRPCFVISWGVAVEDASQPHGIRLLIEDYPYANDGLLLWSAIRNWVESYVQLYYQDAGTVQSDDELQGWYHETVHVGHADIRHAPWWPSLSTPADLASILTTLIWLASAQHAALNFGQYPLGGYVPNRPPLMRRLLPDPERDAAEYAAFLADPHRFFLNAMPGVLEATKFMAVVDTLSTHSPDEEYLGEGRDEPWTGDAAAVAAHAMFEADVRCAEEAIERRNADQRRKNRCGAGVLPYELLAPSSPPGVTCRGVPNSISI >Sspon.07G0023780-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7B:19432342:19433878:1 gene:Sspon.07G0023780-1B transcript:Sspon.07G0023780-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRCLWSTLPGLATGHDGLLIGRGFGQKYESHFNIRPDTTRNEASCSQHVPIEPSNNNIQRGEDLADTGNMIVEYASNDIFGDFQ >Sspon.01G0001530-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:4508881:4512585:1 gene:Sspon.01G0001530-1A transcript:Sspon.01G0001530-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DUF21 domain-containing protein At5g52790 [Source:Projected from Arabidopsis thaliana (AT5G52790) UniProtKB/Swiss-Prot;Acc:Q9LTD8] MEALPIFLDTLVPPYVAILISVTLILAFGEIIPQAICTRYGLSVGAKAAPVVRVLLILFFPVAYPISKLLDWLLGKGHFALMRRAELKTLVDMHGNEAGKGGELTHDETTIITGALELTQKIAKDAMTPISKTFSLDINAKLDLHTMGMIMTRGHSRVPIYSGIPSNIIGLILVKNLITCRAEDEVPIRNVTIRKIPRVADDLPLYDILNEFQKGHSHMAVVVKRTKETGASTEKQKSTTADYKINPKDAHADGSSPSSASTAGSRRINIEKHGDGRSYNKKSERKRENILDFNTDPLPSYSMDEEAIGIITMEDVMEELLQEEIYDETDEYVDVHNKIRINMLPPGKSLSPTISPGGGPLSQGLRRTPMASPFSPYHHGSSVLRSPASNHGQSPGILPTILSPGRSPTAQTPGQSSPSGSQVSINSNGQHKKDGES >Sspon.07G0008630-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:23720849:23721037:1 gene:Sspon.07G0008630-1T transcript:Sspon.07G0008630-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MACLPTAGFQTTSRSTTLEAPVKLIPSQHALVDASNTLDSLFGLLKASMEAWRYLGRIFPSI >Sspon.02G0034190-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:13457677:13460364:-1 gene:Sspon.02G0034190-2C transcript:Sspon.02G0034190-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSSRPPSPRFPFSSDASRRPALRWSVTGRGRIESLEIARSAPAVARLRRDTSAATPCYSSCSRASVPSSLTRSLLDDDDDPSHSSRFGAGPRTAPSLTSRYATSPSSVTVMPPGKRPGCRCGVTGRQPVTHASTSYLGKGFVVGMAALVVFPVDKAAFFHGPPSGHSSIPTRIASSPCFQWSKPTQAAVVFSSRPVFLYISPKFHHHQPPSPSSSPTTAPRRRLLFHEGQLIHPSVRCSAMAQLAVRSLLLSLLLVSPAVASPGIAKLNSSSPLFGIEFPAFNTAVAVTGCDSKLAAAEEAVGEQTQPASPSPSLKLHMNRRAAAAEGGRTRKESVLDLADKDAVRIETMHRRAARSGGDRTPASSSSSPRRALSERMVATVESGVAVGSGEYLMDVYVGTPPRRFRMIMDTGSDLNWLQCAPCLDCYEQLGPVFDPAASSSYRNVTCGDQRCGLVAPPPEAAPRACRRPGEDSCPYYYWYGDQSNTTGDLALESFTVNLTAPGASRRVDGVVFGCGHWNRGLFHGAAGLLGLGRGPLSFASQLRAVYGHTFSYCLVDHGSDVASKVVFGEDDALSLAAAHPQLNYTAFAPASSPADTFYYVKLKGVLVGGELLNISSDTWDVASEGGSGGTIIDSGTTLSYFVEPAYQVIRQAFIDRMGRSYPLIPDFPVLSPCYNVSGVERPEVPELSLLFADGAVWDFPAENYFIRLEDGIMCLAVLGTPRTGMSIIGNFQQQNFHVVYDLKNNQLGFAPRRCAEV >Sspon.01G0028980-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1A:100882975:100907828:1 gene:Sspon.01G0028980-1A transcript:Sspon.01G0028980-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSKTKIQDKETQLKKDYRAIKTILKRSGISWNNDASMINTTTDIWDEIIEEDAKLRRYEKKSFPLFESLDLLYEGQLAEGKLCFTSSKPQTTPCKSSEAGLSEKTHIDFTQKNPWTVPINVGSHSYSSDDERHSPLRFDETGPHGWDNESVGTQGMEKQSTHHPKKRSSEHMLKIFDRQDNDDDEQEQQSEDRTSSRSGTIGPAKQRPKRRTDEKVVPRIEETMSDFMRLKREQADVRGCKYVKILKGCGLVRLGAAVVATDFVFNGFAGANITVDDSALVTPDGVLVLTNGTYLMKGHGVYPAPLHFRSSAGGGVLSFSTTFVFAILSEYAELSTYGMAFFIAPTKSFPDTLPSQFMGLFNTSDVGNATNHVFAVELDTLLDVEFGDMDSNHVGIDINGLRSVKAASAAYYDDAPQRVPKPKKPLLSHAVDLSTVITDTSYVGFASSLGSMSSRHCILGWSFSLNGPSAPPLDYSKLPMPPVAGGGGGRSNTVLEVALSIGVVAFVLAAVTSVFVFGWRRAKYAELREEWEDEFGPRRFSYKDLFHATGGFDDRHLLGAGGFGKVYKGVLPASKLQVAVKRVSHDSRQGIKEFVAEITSIGRLQHRNLVRLLGYCRRRGELFLVYEYMPNGSLDKFLYDHGREPALDWCKRFRVIKDVALGLFYLHNNCEQVIVHRDVKASNVLLDDVMAGHCENWWNVVPFQDHGYVLYRQRKSPSAVASSAKEELVLRRKLSSNRHLGDFGLARLHDHGGDPRTTRVVGTIGYLAPELARTSKATPLTDVFAFGVFLLEVTCGRRPIEEDARGEGDRVLLVDWVLGRWSEGRISDCVDARLQGEYDAGEASLALKLGLLCTQASPGARPSMPEVVRYLDGSLGLPEPSPTELDFGAMASLQSNGFDSYAMWYPTSSAASDESHGTVSDLSGGR >Sspon.03G0016530-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:72440564:72442189:1 gene:Sspon.03G0016530-1T transcript:Sspon.03G0016530-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTLATAATAAWTREEDKAFENAVAAAAAPPADGPPDDGWFTELVASVPARTAEEVRRHYEALVEDVAAIEAGRIPLPRYAGEESSAATPEGSGAAASAPKDGGGGGGSGHRREERKSGGGGVDAGKSCSKAEQERRKGVPWTEEEHRLFLLGLDKFGKGDWRSISRNFVISRTPTQVASHAQKYFIRLNSMNRDRRRSSIHDITSVTAGDVAAAGAPITAGQPPRGRCRWGLRA >Sspon.05G0036980-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:7155264:7156073:-1 gene:Sspon.05G0036980-1T transcript:Sspon.05G0036980-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGQNQRLNVVPTVTMLGAMKARLVGATRGHALLKKKSDALTVQFRAILKKIVAAKESMGETMRASSFSLAEAKYVAGDGVRHVVLQSVRAASVRVRSHQENVAGVKLPKFTHLVDPAAASGGPSNASPSLTGLARGGQQVAACRAAHVKAIEVLVELASLQTSFLTLDEAIKTTNRRVNALENVVKPRIENTISYIKGELDELEREDFFRLKKIQGYKKREIERQRAAAKLFAEEQLAEDLALKRGISVGAAANLLVAGGEKDDDIIF >Sspon.03G0003640-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:9454642:9455933:-1 gene:Sspon.03G0003640-1T transcript:Sspon.03G0003640-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMRALPLTPSKSSSFGAHQIRQISGSPRSVRAYAKGNEEDNKQSLLGSITEALDFSQVRSEKDAELLYEARESTKGGGRMTREQYGALRRKIGGTYQDFFKSYVDVDGQYVEEGWVDKTCKICKKDTRGEPRQVDKLGRYAHVACLENPKPANFFAKIFAR >Sspon.02G0052970-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2C:98800878:98801076:-1 gene:Sspon.02G0052970-1C transcript:Sspon.02G0052970-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding WTAGACRGPWHGVGGRLRVVGSERPARGSSDRPRHREPREAAEPRAPAMAKQDPRMATWRGCIYKV >Sspon.01G0060680-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1D:82087848:82088336:1 gene:Sspon.01G0060680-1D transcript:Sspon.01G0060680-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVERPALPGGTAAERGRLDEVSPRRGVMRSGRRCQACPRRDTAVGARRVLGGEQPERRGVPDLHLVAGDGGEGAATKSKQAIGGRPVLAPARGGGPAARRRRRVGPASNAVHLDACNAIAMRLALHLLSSSSPFGSGRARARAGSPAPARAMRSLLRSPHTF >Sspon.02G0029190-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:114144614:114151642:1 gene:Sspon.02G0029190-2C transcript:Sspon.02G0029190-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGELVLLNSQNSSEETSGAGTRGGMERVKHENFCRAVAEDNTALLLSAVGNFRKEAMCRIRKGSDASRVLDQEMSVRLLHLACKHDAVECARLLLEGGSGITAAPIDARDQLTRTPLHVAAETHSARCIELLLSKNARTDLRVVDGRPLLPLEIALMSRRVQTKWSVDNPIEDLLSFLKQRDLNAVRLLAEKTRVVGELAYRYAMEGSVPALAMLILVVEERISSQVSVVIEGVRTKRSIYNSIVDEALSMGDASARDGNERRKALLCEIQLLNQFGSASWRDHNDRRTLPPLLRAAKVGDMNVIKMLLMGNVDVNEADSEGNTALHWCLSGASCTKEPRIVWLLLKNGARVFQGNKLGLTPVHSAAAKGNYKALQSLLLHAQDCVDIPTKTKETPLFFAVKNGSVDCAKLLLRFGADTKARNLRCVSLQRTVKKQRPIDVATSQDMRFILSSANVLPCKLHTDICFHFFLVVYLISAAKCVLASGNHSSPQKNRVMRKEIYKELLGDEFDDLDKDYCDESYTGLKTSVGQRDFQSSNRSAQGPKSKSQFVPKQTQGSKFVPRNNHWPKHDYTRKIFVGGLPPSVDSEYLIEFFNAEFGPVEEAVVIGIPIGNRVQSRGFGFVKFEREEDMISAKEAHHVYMLGKRVEVKDAVARAYLPLEDQRYTSLRQFVKERPKVHRVLDGELTEEHSLQKRRPLPEKCLPSWFFIFRKWLPGFLEDETARLGGERYPLSSLKGDFRATCRMELDHTALGYPKLSDFMRSLPGICRMCVVPVGSGPATHMVLFPPLSRPKYVPLLEPCSFDHDELAESVSDHHSPRSPLNANIADDSPHNTDSQQGDDACSETNVQIQQVDDACSETCVQSQHGHESSRSSAESQQDGSSSDNGSLLDDIPVSTTKLDLIESVTSVKPALIESVPPRKPDLIESVPPRPSLIESGFLPQKNESRPTPKPNLLEFGPSRKLELIESKPSACFIDRPFERPAVTPSSCEGEMRFSFFQSQWDKYLVRAACLQTYNVYYVGYAQNNVVLILLFVLQTSYPRSDCCIICRSCEAGMQLVPCLHKICVACMMRCNVRACMVCGTSVSGVKSSPAVDATYRYMLDWSDQLMNLQGLTERALDQRCQLMVVCRGAEAIVRCSPCMHTIACRGCFLASVTLLKSCTTCGFMVEHFKI >Sspon.05G0003770-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:15125715:15127942:-1 gene:Sspon.05G0003770-2B transcript:Sspon.05G0003770-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSEAAKVAVPESVLRKQKREEQWAAEKKEKALAEKKKSIESRKLIFTRAKQYAEEYDAQEKELVQLKREARLKGGFYVSPEAKLLFVVRIRGINAMHPKTRKILQLLRLRQIFNGVFLKVNKATINMLRRVEPYVAYGYPNLKSVRELIYKRGYGKLNKQRIPLSNNQVIEEGLGKHNIICIEDLVHEIMTVGPHFKEANNFLWPFKLKAPLGGLKKKRNHYVEGGDAGNRENYINELIKRMN >Sspon.01G0047590-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:104283061:104286064:1 gene:Sspon.01G0047590-1B transcript:Sspon.01G0047590-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPLHLLLALAAIVTATPPPGVAASSSASTAEHILGVNYGTLGDNLPPPQRGLELARSAGASAVRFYDANATMLAAAAASGLEFVPSVPNELIPSLAASQRAADAWVTATLLPFRGNRRLRYLFVGNEVLSDPTATSRWPQLVPAMANVHRALRRLGMGRVKVSTTFSMHELEGQNVFPPSASAFRPDIAGAVVGPLLAFLDRTGSPLFVDAYTYFTWSANHTVVPLPYALLEPPAPGAAGYVYRDPGTGLSYTNLLDQMLDAVVAAMCRAGHCGVGLALAETGWPTAGDLDQFGANVRNAATYNRNLARHLASGAGTPRRPRARVAPAMVFALFNEDLKWGPGTERHWGLFYPNGSAVYEVDLTGRRSLASYPPLPPASNDRPYPGPLWCVVATDKGPVNETAVRAQVAAACADVPGLCDPVRPGGACFRPDTVSAHTSYVFSAHWNRFSEDYGGCYFAGFAVETTVDPKFNNVLPPRRSQGRLGRNTAGPAVAPPSPLRQPRRRLSEPPGIRVAARTAAAGPFPLPLFLSCAFSLSSANVEAPSMVAGAAVPASGRADPRASWPDPVISGRIWWLPSSPAHSSSGGWDAVVAGRGCLGALLQSPLLLWLRLGRGGGGTWWCGGRAGGVLGRIWCSCGGSDYRRWCSAGHRRRWIGCLVVRLGGVFGVWAAIQRWRCGSFGDAVVAWPAVAVAGLRCRGGAAGIAGAGGSHTSLLGLADACARAGAGGSGGVPSLSARPLLLLDGGHFVAVVGFHAGVGGRPVFAVSPPHVPGCRRGAVAARTEASASSGVLVWGLAPDPGSSGHGTVPKVDVHGGGRLVLRHVVRSAGVLAQLCGCLRGRRVDPLGVASAGGLAATPGDGDTCGCRSPVEGVASTALSTGENPVRPWTSDGGAWTSLPF >Sspon.08G0012930-3C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8C:25015930:25017189:1 gene:Sspon.08G0012930-3C transcript:Sspon.08G0012930-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSPMMMSPREAHEDVEHDHNKVVVEDCSTSRSSDDKNDHITRSLDKKNDNATSDASNDSTSCTLDGEDDGYESDAQQALQYHHMVTLSESSSFGSNDQSHITNPCDVGKKHVSTSCDDLLDMPCSSQLNVCSTSMSCETNLLKENNELKSEVKNLSNKLERCYNSKVTFEHIMKTQRNLGDKSGLGFKKKLTRGERKQEKRMKRLLQKKLSHSMCYRCHEAGHLANGCPNIEKLKKMKEEERLKYVKCFKCRTWGHLTSMCPTKQLVKQQVKPQPKPLVEQEKTLQEQIKINHGDDGDLIKKKKKTRRGGKQGIQCKFKMPR >Sspon.01G0009230-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:26307267:26311132:1 gene:Sspon.01G0009230-1P transcript:Sspon.01G0009230-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAATASASWLLQRSISSAFLARRAGPSILRTTLCYSSPASACPKPTRSLPPPDTSTNDGGGGMRWESARKKRVVLRIGYVGTDYRVIGIKDGYSPEEMQEHLSEFNSILKGFEGNHPFHNYTARAKYRKVLAGRHRRVKGASSMLKSISSEMGMAENSSEESTSSDHDDDLNISSIIDTGAPEDNCVNDILKLSENRVQIQARWLHEPDESDRLNASHFRDIITCSCGELQTSSGIQFVELTICGVSFMLHQIRKMVGTAVAVKRGLLPKDIIELSLAKFSRIVLPIAPSEVLILKDNSFCTKSKEGRIVRPGIQSMNKSEAIRKGVEGFYKAALLPEVAKFLDPSVPPWKEWLENLDRFAGIPDSQLDEVREAYRAWKADYDRVKMARKSASSV >Sspon.03G0045210-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:11419604:11429114:1 gene:Sspon.03G0045210-1D transcript:Sspon.03G0045210-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATTSAARSLLLCLLVAFLHRLQFHIPPVAAATSTSHNKTESRQDGRGKTLSFTLYQHETINKTGYIVVDGVAGAGVSQTTTPFGTIYVFRDDLTVRADRASPVAGVAEGSSITTSLDGLQSLSLAKITVHHRGHRGSVSVLGGTYNTKPSDYPVLGGTGDFAYALGYVRSSPVDLRGRTVTYKMELHLYWPPYAHYAPLQLHQFSPVSAATTMAGKIEIFTVYQHDRLNETGYVVVTGDEGAPSSDTTRPFGSIYVFRDDLTLHNDSDSPVAGVMEGTATTTSFDGLHNLLAAKISLHHRGYRGSVSVLGGSHNTKPSVYPVVGGTGDFLYTEGYVQSSPVDSDGPRVMYRLEIHLYWPPYTKFAPFHIPPVAAATSTSHNKTESRQDGRGKTLSFTLYQHETINKTGYIVVDGVAGAGVSQTTTPFGTIYVFRDDLTVRADRASPVAGVAEGSSITTSLDGLQSLSLAKITVHHRGHRGSVSVLGGTYNTKPSDYPVLGGTGDFAYALGYVRSSPVDLRGRTVTYKMELHLYWPPYAHYAPVPHKA >Sspon.02G0010340-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:32713033:32717002:1 gene:Sspon.02G0010340-3C transcript:Sspon.02G0010340-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRINYGDKEITNGTGLRASAVLNAPHVEIEGHDQTNLYTLVMVDPDAPSPSKPEYREYLHWLVTDIPEARDIRFGNEIVPYESPRPPAGIHRIVFVLFKQQARQTVYAPGWRQNFNIRDFSAIYNLGAPVAALYFNCQKESGVGGR >Sspon.05G0001120-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:2534392:2536827:-1 gene:Sspon.05G0001120-1P transcript:Sspon.05G0001120-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MREVADWYFGENGWPSSKIFETPPSTDSDKDKLVDIIQDWKTERYKEIIKSGTVKPRPGVLRLMDEVKDAGIKLAVCSAATKSSVIMCLENLIGLERFNGLDCFLAGDDVKLKKPDPTIYITASEKLGVGSKNCLVVEDSVIGLLAAKGAGMSCIITYTPSTASQDFKDAIATYPDLSNV >Sspon.07G0034350-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7C:72431529:72432218:1 gene:Sspon.07G0034350-1C transcript:Sspon.07G0034350-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GDLGQTGWTASTLKHVAAADYDMLLLPGDLSYADFLQSRWDSYGRLVEPLASARPWMVTQGNHEVEKLPLVEPKPFKAYNARWRMPYDAGAAPSSDNLYYSFDVAGGAVHVLMLGSYTDYGAGSAQLRWLRADLAALNRRSGRPAFVLALVHAPWYNSNEAHQGEGDAMRDAMEALLYGARVDAVFAGHVHAYERFKRVYAGKEDPCAPVYVTIGDGGNREGLAGLNRM >Sspon.02G0019590-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2C:66025327:66026250:1 gene:Sspon.02G0019590-2C transcript:Sspon.02G0019590-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ASPRDGPAPYPGSPAGPGPSSSAAAPSSPVGAAPGPSSPASGTGGSCRSPTPDPVPAPAPAPPSRFAAPVRVYQRRPRPPPPLAPPSPPGTPTPPPQSPRARGASPVYHPPLLHRHPRHVHPMVTRHAAGTLPPRVLEASTGAAVISPVPSSVRDALLDPHWRRAMEEEYAALLANQTWSWCPGRRAPMSSPASGFGPTSAGPTALSSATRLGGPPGFTQRPGVDYDETFSPVVKPATVRTVLSLALARSWPVHQLDVKNAFLHGLLTETVYCSQPAGFVDTTRPDMVCRLNRSLYGLKQAPRAWNH >Sspon.05G0034880-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:66679257:66686115:-1 gene:Sspon.05G0034880-2D transcript:Sspon.05G0034880-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPIPGCFAVHATIPCRVSIHLTHCGRGGRGGLHLRKVIVDGRVVNKAGTQVSDKSVIEIKAEIPKYVAEKIRTHERVSVIERTNLRYLSQLPEPVDLVTLDLSFISILLVMPAVIKVMKADSTLITLIKPQFEARRSQVGGGGIVRDPLVHKEVLDRIISGVEEFGFCNKGWIESPIKGAEGNKEFLACFHRIPISESQPEAKEPTT >Sspon.01G0046090-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:87066769:87070888:1 gene:Sspon.01G0046090-3D transcript:Sspon.01G0046090-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphomethylpyrimidine synthase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G29630) UniProtKB/Swiss-Prot;Acc:O82392] MAALQPPFSTAITLKSSCSALKFPKTALLPGFPRLQDVQGRNASFACLIPKVASVTDQSIAEPSKPKQNRHTVDPTAPEFLPLPSFEECFPRSTKESRHDESGHVLKVPFRRVHLTGDQKHFDTYDTSGPQNISPRIGLPKIRKEWIDRREKLSSPRYTQMYYAKQGIITEEMLYCASRENLSPEFVRTEVARGRAIIPSNKRHLELEPMIVGRNFLVKVNANIGNSAVVSSIEEEVHKLQWATMWGADTVMDLSTGRHIHETREWIIRNSPVPIGTVPIYQALEKVNGIAENLSWEIFRDTLIEQAEQGVDYFTIHAGVLLRYIPLTAKRMTGIVSRGGSIHAKWCLTYHKENFAYEHWDDILDICNQYDVALSIGDGLRPGSIYDANDSAQFAELLTQGELTRRAWAKDVQVMNEGPGHIPMHKIPENMEKQLEWCNEAPFYTLGPLTTDIAPGYDHITSAIGAANIGALGTALLCYVTPKEHLGLPNRDDVKTGVISYKISAHAADLAKGHPYAQAWDDALSKARFEFRWLDQFALSLDPVTAMAFHDETLPSEGAKVAHFCSMCGPKFCSMKITEDIRKYADENGYGTVEEAVIQGMNAMSAEFLAARKTISGEQHGEAGGEIYEPINLLVEIE >Sspon.01G0057470-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:7850546:7855961:-1 gene:Sspon.01G0057470-1D transcript:Sspon.01G0057470-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKQEQERQRQLQVLTTLDAAKTQWYHFTAIVVAGMGFFTDAYDLFCISLLFFGWLGDRLGRKSVYGMTLLLMVVCSLASGLSFGSTPAGVMATLCFFRFWLGFGIGGDYPLSATIMSEYANKKTRGGFIAAVFAMQGFGILAGGIVTLALSAVFRRAFPAPAYQIDAVASTVPQADYVWRAILMLGAAPAVLTYYWRTKMPETARYTALVAGNAKQAASDMSRVLQVEIEAEAEKLDEITRGSDYGLFSSRFARRHGSNLFQKDIFASIHWIPKARTMSALEEVFRISRAQTLIALCGTVPGYWFTVFLIDVLGRFAIQLLGFAMMTVFMLGLAIPYHHWTTPGNHIGFAVMYGFTFFFANFGPNATTFIVPAEIFPARLRSTCHGISAAAGKAGAIIGAFGFLYAAQSQDKAHVDVGYNPGIGVRNALFVLAACNLLGFFFTFLVPESKGKSLEEMSGEVDGDQTSGNGANAVQPSGVEIV >Sspon.02G0048460-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:16743179:16743589:1 gene:Sspon.02G0048460-1P transcript:Sspon.02G0048460-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AVHGAGGLRGLRRQLPAASTAVGLLVHVILRCRRGLLVARQHAPGRLRRVAAPAPRGEVAPDAGADLAPPPAARRPDDDVLRRRRRRRPLGLQRHHDVLHQHLHHQPLRPQHELRRSRGRRRRRRREPPLEPSPHVS >Sspon.01G0023910-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:92411540:92421672:1 gene:Sspon.01G0023910-2B transcript:Sspon.01G0023910-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPPPTKAMGAVDKLSYEIFSLLESKFLFGAGAGCLSSVPGTPARALLDGGRVRVLAIDGCGGAGAENALLAAAALARLEAGLRDHTGDPDARVADFFDLAAGAGAGGVLAAMLFLRGADGRPRYTADDALAFVAGSVGGKKGCWGGRRGPRWARLFRGARAGDDRSFRRVFGDATLRDTVAPLLVPCYDLGTAAPFVFSRADAVESDSFDFRLRDVCAATCAAGGALATVTSVDGATAIAAACGGVAAMGNPAAAAITHVLHNKHEFPLATGVEDLLVLSIGAGASTTAVCDNGSSTPMPTRSPSPRELARVTAEGVADMVDESVAMAFGYACACNYVRIQAGKAPAPLHAATASAAAGAMLAQRNVESVLFRGRRLSQRTNAEKVDALGAELVKEQERRRRSPLPNVAIKQRHLEFVEIMEDYVKDELRNLRREELRGAEEVKRCRATPLEIGQFMEMVDADHGIVAPTTTGGSFYVRVLSTIGRELLKPSASVALHRHSHALVDVLPPEADSSISLLGSSEKPNVTYSDIGGCDIQKQEIREAVELPLTHHELYKQIGIDPPRGVLLYGPPGTGKTMLAKAVAHHTTAAFIRVVGSEFVQKYLGEGPRMVRDVFRLAKENAPAIIFIDEVDAIATARFDAQTGADREVQRILMELLNQMDGFDQTVNVKVIMATNRADTLDPALLRPGRLDRKIEFPLPDRRQKRLVFQVCTAKMNLSDEVDLEDYVGRPDKISAADIAAICQEAGMHAVRKNRYVILPKDFEKGYRTNVKKPETDFDFYK >Sspon.01G0048460-4P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:70151950:70155705:-1 gene:Sspon.01G0048460-4P transcript:Sspon.01G0048460-4P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQQSLIYAFVARGTVVLAEYTEFTGNFTTIAAQCLQKLPASNNKFTYNCDGHTFNYLVEDGFTYCVVAVESVGRQIPIAFLDRVKEDFTKKYGGGKAATAAANSLNREFGSKLKEHMQYCVDHPEEVSKLAKVKAQVSEVKGVMMENIEKVLDRGEKIELLVDKTENLRSQAQDFRQQGTKVRRKMWLQNMKIKLIVLGIIIALILIIILSVCHGFKCGSK >Sspon.03G0042100-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3C:50602848:50604280:-1 gene:Sspon.03G0042100-1C transcript:Sspon.03G0042100-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARALPLVFIRRDSLPQQLVRSERGTSAVSLSAVCFGTLGLNRVVDLVAHGGPTNPSTNLHPNHPEQASSSTRQPNNHGPVAILITLAKTQNSFSNNSSNQLAIYPHLLFTGLARGRIRARKGLAIPISGPLRGRRSLPDGLGGAPGRPRRRSGTASGLEPRGGASRSEEDRRQCCCSSPVRGGGVVAAGEAPAMSAGRRCDEKS >Sspon.02G0010940-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:29483884:29489050:1 gene:Sspon.02G0010940-1A transcript:Sspon.02G0010940-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAASARDRRRRSRAPAGGAAHAVGIDDGEEQHLNPFLDAAPSASSRIQFRNMASRARWVKETGAAEVVESRGNLWLTTGVTRAGKLYYNVEEIGFLAERGALILLNDKDETIGIEDIYEKLAGGNYGCSWDTFQAYKHLKSLGYIVGRFGVPWTMKHSGTCDTVAPRMSIVESDQSLNRVDGASNGITKLLKEMQIDGISPSFEVYLPNSKFKKSSPGSPSFLLCLLRGKPPSRVELEAVENNFRGIPLKYCHVDNGRVSFLSFDERKYNNRLGGDENRVGRLAACENWEHGVEDLREAGAEQKLQVPPHLRA >Sspon.02G0011780-3C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6C:3388583:3390570:1 gene:Sspon.02G0011780-3C transcript:Sspon.02G0011780-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:WIP2 [Source:Projected from Arabidopsis thaliana (AT3G57670) UniProtKB/TrEMBL;Acc:A0A178VLW5] MPSCCDDGDGDPMDLEAAAPTDDDAAVALRIGLPPAPVNGGCGGAEADLLSGFSGRGACGGMEPEEDEDECKVDTGDGEEVVPLGFASTPIGRLNKGQYWIPTPAQILIGPTQFSCPVCYKTFNRYNNMQMHMWGHGSQYRKGPESLRGVQPTAMLRLPCYCCAPGCRNNIDHPRARPLKDFRTLQTHYKRKHGLKPFLCRKCGKAFA >Sspon.01G0030600-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:108063305:108066441:-1 gene:Sspon.01G0030600-2B transcript:Sspon.01G0030600-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding REERRIRKWRKMIGVGGSDWKHYVRRNPHVVKRRIRKGIPDCLRGLVWQLISGSRDLLLMNPGVYETLVIYETSASELEIIRDISRTFPSHIFFQQRHGPGQRSLYNILKAYSVYDRDVGYVQGMGFIAGLLLLYMSEEDAFWLLVALLKGAVHAPMEGLYQAGLPLVQQYLSQFEKLVMELMPKLGQHFVEEMINPSMYRLVLRSFRRGIRIQGQAPVNLQVASGCSPSYRKR >Sspon.02G0036290-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:24047062:24051291:1 gene:Sspon.02G0036290-1B transcript:Sspon.02G0036290-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALFLKPKHHLSATGRIVFLRHLCEGAPRPPAQTDTEPSPPPLTRDDTKLLDALHAALLDHRRANPTAELPVSPPFDPLPSLSSTISNLLPSPPPPHLPLHLLRRLLALRRGVPLREAVAFFHHIAPSLPADSLPDLYASMIDLLAKHHHFPLARHLLDGMRERAVPISSRLILALIRRYVRAKMPSEAADLFRRMEEYGAGAPEPATLASLLAALSKKRLASEAQALFDSCRTVFTPDVVLYTAVVHAWCRAGRLDEAERMFAEMQQSGIMPNVYTYTAVIDAMYRAGQVPRAQELLCQMIDSGCPPNTATFNAIMRAHVKAGRSEQVLQVHNQMQKLRCEPDIITYNFLIEMHCGKGQGNLDAALKVLDKMTAKKCIPDCHTFNPMFRLILVLGNIGAARKLYEKMRELQCKPNVVTYNCLLRLFNQEKSMDMVLRMKKEMDAQGIEPNMHTYAALIEAFCGRGNWKRAHTTLKEMIEEKSFKPSKQVRDMVLVLLRKAGQLKKHEELVELMVDRGPQPTQRGMQYGPNKSSAVDCFPNPEHSPSRRLTLPETSLAALHSSDPNPDASRR >Sspon.03G0004250-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:20357100:20367805:-1 gene:Sspon.03G0004250-2B transcript:Sspon.03G0004250-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEGARNTRQFLGASSSGAGGSGSSSASYRSGGIGAAARTTNDVNTGILDENVLALVFRSLNFDPKALCTVCCVSRRLRAVAERVLWRELCISRAPRMVTSLTGAAGGAPPGRVVGGWPALAKLLLFCCGAAAAAVRGHFTGVSRFSKTSGRSFLSRRCRGDLLYVSDPCEHAVPGADDDVGAYRGVFRGFMRSRTRACLVGRQAPLETRVRCPYCGARVWSMVAAGMAPRSACRRLGAYEGRLEYYVPLDLFKNI >Sspon.04G0008740-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:26347814:26355470:1 gene:Sspon.04G0008740-3D transcript:Sspon.04G0008740-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding TMARILLVALVAMLLVVCPCHARPAPEQTAKSPAVKEKAVVDGITAIYNFGDSLSDTGNLLREGATGMLQHTTGLPYGSAIGGATGRCSDGYLMIDYLAKDLGLPLLNPYLDEGADFSHGVNFAVAGATALDAAALARRGVSVPHTNSSLGVQLQRFKDFMSANTRSPQEIREKLAHSLVMVGEIGGNDYNYAFSANKPAAGGARNIYNLGRMATGVAEAMALVPDVVRSVTSAARELLDMGATRVVIPGNFPLGCVPSYMAAVNETDPAAYDANGCLAALNLFAQMHNVLLQQGIGELRRSYPSATISYADYFYAYVRMLRDAGKTGFDEGARTKACCGAGGGAYNFDMDRMCGAPGASVCARPDERISWDGVHLTQHANSVMTDLLYHKGFASPMARLLLRRVLVVAFLFLLVACSSSSSAGAAAAETTVDGITAIYNFGDSITDTGNLIREGPTGLLRYIGKLPYGIDLHGPTGRCSNGYVMIDFLGTHLGLPLLNPYLDKAADFTHGVNFAVAIPVIRSMRIEIRRKLASSLVLLEIGGNDFNYAFQQQQTRPSDGGYGLGNVTRIVETLQQAGALVPPVVQSISNAAQVRLEMGAVRVVIAGNFPIGCVPVYLAGANVTEPAAYDAGGCLAVLNAFAELYNARLRGAVAALQRAHPRAVVAYADYFAAYARVLGEARARGFDPARTRTACCGAGDAAYGLDESRFCGAPGTAVCADRDRYVSWDGVHPTQHAYGDMAELLYRGGLAYPPPIKWQAGQTVP >Sspon.03G0014650-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3B:68864494:68865187:-1 gene:Sspon.03G0014650-2B transcript:Sspon.03G0014650-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIPKVDLRGIEPGVPGWEEARAAVTASMVAHGCVVVAYNDALGPELRQVLFGHDLPELFALPLETKQRNVSAKAHFRGYIATAEPVYESVSFDGATDEGSIRDFTNLFWRQGNLEFSDMMLRFGNNLLKLQQIVSKMTLEGLGVPEEKITSHPGSLTHTLRLCHYGVQSDTGSGLSMRVHRDFNISTLVVQHE >Sspon.05G0021710-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:83689849:83692792:-1 gene:Sspon.05G0021710-2P transcript:Sspon.05G0021710-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPFKQEFNFDERLQESAAMIAKYPARIPVVVERFSRSNLPQMEKRKYLVPCDMPVGQFVFILRSRLHLSPGTALFVFVNNTLPQTASLMGSVYDSYKDKDGFLYMCYSSEKTFG >Sspon.02G0013240-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:35482647:35485369:1 gene:Sspon.02G0013240-1A transcript:Sspon.02G0013240-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CYP707A4 [Source:Projected from Arabidopsis thaliana (AT3G19270) UniProtKB/TrEMBL;Acc:A0A178VA28] MAFFFILVCTVIFLAIASYVQYARWHKGKGRFGGGHEKASSSSSSLKLPPGSMGWPYLGETLQLYSQDPNIFFASKQKRYGEIFKTHLLGCPCVMLASPEAARFVLVTQAHLFKPTYPRSKERMIGPSALFFHQGDYHLRLRKLVQGALGPDALRALVPEVEAAVRSTLASWDSHARSTFHAMKTLSFDVGIVMIFGGGRLDERRKAELRKNYSIVEKGYNSFPNSLPGTLYYKAMQARRRLHDVLSDIMRERRERGEPGTDLLGCLMQSSQQGDDGAPLLSDEQVADNIIGVLFAAQDTTASVLTWIVKYLHDHPKLLEAVRAEQAAVREATDGGRLPLTWAHTRSMPLTHRVILESLRMASIISFTFREAVADVEYKGFLIPKGWKVMPLFRSIHHSPDYFQDPHKFDPSRFQVAPRPNTFLPFGNGVHACPGNELAKLEMLVLIHHLVTAYRWEIIGSSDEVEYSPFPVPKHGLPVKLWREENSTVDRKGRRETDDDVEDII >Sspon.05G0008100-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5A:24811358:24814139:-1 gene:Sspon.05G0008100-1A transcript:Sspon.05G0008100-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding PSHAELKMKEMIMELNHREELMWNQRARIQWLSAGDKNTHLFHLSASPRRKRNMIVKLKTTNGQVKEDKKEMDQMTTSFYKLLYTFEGTENMEAVLGTVPVKVTAEMNDKLIAPFSEMEIKEAIFQMFSTKAPRSDGFPAHFFQRHWELCGAEVTALVQRILKGEDDPSIINNTFFGFDTEAEGLSCLLKSRNQSSVLKGIKVAPSAPMVSHLLFADDSLLFFKANIESAQEILNSYKLGLVMRLPRFGGQFGWQRCLRPRFDPVYWYGRGNGYLDYELAPARGLLRPMMSVQANLSQKVSELIDSTTMSRDMQKLGSSSGGMSSMPKCTEGAMDPSASGLHENKCRCCHIEELEQGISFGSGKGLLGHVCWGFGCGD >Sspon.08G0030370-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:58995091:58998137:-1 gene:Sspon.08G0030370-1D transcript:Sspon.08G0030370-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPWQITGLTNNSYFDGAHDVRHCVRKHPDLGRIVLEVAKNRLDQMLYVGLTEVHEESASLFAHMVGAQVLSQSGTLNLDLKEDLPSETGNTHCNLVALTYYFFDNTKMINEDLISTVHRLTPIHGGASTRMKKQMNICDEHGKGNMTIGKLMEAYETCTAELRKVESSRRKISLKKVEARFPKEARKLVPEAILKQIISLNSLDMELYDHAKKFFTQEHLLMLKAQKSMVGQHRQLGEQKVCSNSKENYSRGLDQHNLERRDLLSGWSSLV >Sspon.08G0015580-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:48580061:48583166:1 gene:Sspon.08G0015580-2D transcript:Sspon.08G0015580-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PRMT10 [Source:Projected from Arabidopsis thaliana (AT1G04870) UniProtKB/TrEMBL;Acc:A0A178WKU5] MASLPNGGAAASGSSGARPVDKEVDFANYFCTYAYLYHQKEMLCDRVRMDAYHSAVFRNAPHFQGKVGNFLKPLKNSIFSSNFGGPVWLIRWMWICQVVLDVGTGSGILAIWSAQAGARKVYAVEATNMAEHARELARANGVADIVEVIQGTVEDVELPEKVDVIISEWMGYFLLRESMFDSVICARDRWLKPDGVMYPSHARMWLAPIRTGLGDKKREDFDIAMDDWSLFVQDTQTYYGVNMNALTKAYRAEHEKYYLKSSIWNNLHPNQVIGQPAAIKEIDCLTATVDEIREVRAQVTMSVRMEARLSALAGWFDVHFRGSAQNPGVEEIELTTAPDEHGGTHWGQQVFLLTPPLGVTKGDNVNVSFSMVRSKENHRLMDMEFTYELHELSGRKHPAVTTKMYLE >Sspon.05G0034230-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:52009378:52012270:1 gene:Sspon.05G0034230-1C transcript:Sspon.05G0034230-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTRLILQSCLLLAAAAMASRATPEANSTSGCPGSCGSISIRYPFGIGAGCFRNGFEIICDHVTGTPMLAGTTRPVPVNLLSIRTAEARVMLPVAWKCFNSSKTVYSSSNGDVHFNRDDVYRISNTHNQVVIIGCNTLGYTQSQQSEGNGYYPYAYYTGCMSFCNSSNSAVDGACAGVGCCHVDIPPGLTNNNMAFEHYNHTAVLDFSPCDYAFLVEKDNYTFHTTDLNMDKNTMMPVWLDWAIRDNLTCNEAKKAQSYACVSTNSECRDSSNGPGYVCNCSNGYQGNPYIAGGCTGAIGGLFIIAIVVFIFLLGKEKRKMKEFFRKNGGPLIEKVNKIKLFKKEELEPILKTSNRIGQGGFGEVYMGYLRDEIRPVAVKKPKIDVKLANQFANEVIIQSRVLHKNIVNLIGCCLEVDVPILVYEYVPNGSLDKILHESNGMPLDLDLRLQIAAQSAKGLAYMHSEITTPILHGDVKPANILLDEKYVPKISDFGTSRMITIEENYTSTIIGNWGYMDPEYVQTGLYTSKSDVYSFGVVLLELITRKKVLDPEINNLLGNSLDTYTKKKDLAEIIVQCLNIDVDLRPEMADVAERLQFLLK >Sspon.06G0032960-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:6294336:6296284:-1 gene:Sspon.06G0032960-1D transcript:Sspon.06G0032960-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMLLAAAAIRPQLPSPSLSPHREFVRILLWLRPAFHPLPHSSEVTRQSSRASYGYDPAFHPLPIELFVGGALVLALAVSFASLGKMEGEPPQKQERRKRGRVELRRIEDRTSRQVRFSKRRRGLFKKAHELSVLCDAQVALVVFSPAGRLHEFASADSSIEKTFCRYWDLANTTIDLNIEARDDSRVNYNIQ >Sspon.02G0011460-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:30584006:30586629:1 gene:Sspon.02G0011460-1A transcript:Sspon.02G0011460-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LMHGDWLSASGDPKNAHLLQLDGTSESLLLFKADVLDRDALAAAVAGCEGVFHVASPVPADQSEVLSPAVKGTLNVLQACSANNVQKVVVVSSTAAVHYNPSWPQGRIKDESCWSDKNFCIKNQNWYTAAKTIAEETALEYGEKNELLVVTVCPCIVLGPLLQPLINTTSEFLIYIITGDVAAIAVGSALTTPLEPCSIGPGPLVLQSSGVGGEGGGESATRWTWMVTRYVKVPSETPWMLLKPRVASASETSVVASATNAVTASLRPQSGGPRAMKNLPWNIVDVRDVADALLLVYEKVESSGRYICAPDRISTNDIVNLLKKSYPNYNYVNW >Sspon.01G0061300-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:92824658:92824963:-1 gene:Sspon.01G0061300-2P transcript:Sspon.01G0061300-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARYVEMLDMGVRIAARFHSHCPQTARMYYKPPQTQTTSSSSSSSSADDAKSTSSSFDAPSVLRRPFALAAAAEFHAGDRSGHQLHDFGFDTAQVVVYEVV >Sspon.06G0009350-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:41987466:41989415:-1 gene:Sspon.06G0009350-3C transcript:Sspon.06G0009350-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Equilibrative nucleotide transporter 1 [Source:Projected from Arabidopsis thaliana (AT1G70330) UniProtKB/Swiss-Prot;Acc:Q8VXY7] MAGGDLETAAAPLLPPPASSLADDPSPAGPAPDDRLGFAYLIFFTLGAGFLLPWNAFITAVDYFAFLYPGAPVDRVFSISYMVSAFLPLLVIVLFFPKSSAPIRINTGLTLFTLALLLVPAMDAVYVKGTPGLYGAFDVTVAATALCGVADALVQGGVIGFAGELPERYMQAVVAGTAASGVLVSAMRVFTKALYPQDAQGLRQSAIIYFIVGIVLMIICIVCYNVADRLPVVVYYKNIKRRAQKAEVGGGMTGPAWRSTLWSIVGTVKWYGIGVALIYAVTLSIFPGYITEDVHSEALKDWYPIILISAYNVFDLVGKALPAVYLLQNGNVAVAGSFARLLFYPLFYGCLHGPSFFRAEIPVTVLTCLLGLTNGYLTSILMILAPKAVPIHHSETAGIVIVLFLVVGLVIGSFVAWFW >Sspon.02G0011280-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:24400839:24402205:1 gene:Sspon.02G0011280-2D transcript:Sspon.02G0011280-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSPPLLVLLAAVWTCGAALARADPMLERFEQWMGRHGRLYADAGEKQRRLEVYRRNVELVETFNSMSNSGYKLADNKFADLTNEEFRAKMLGFGRPPHGRTGHTTTPSTVACIGSGLGRGYSELPKSVDWREKGAVAPVKNQGECGSCWAFSAVAAIEGINQIKNGKLVSLSEQELVDCDTKAVGCAGGYMSWAFEFVMKNRGLTTERNYPYQGMNGACQTPKLKESAVSISGYVNVTASSEPDLLRAAAAQPVSVAVDAGSFVWQLYGGGVFTGPCTADLNHGVTVVGYGETQADTDGDGSGVPGQKYWIVKNSWGAEWGDAGYILMQREASVASGLCGIALLPSYPV >Sspon.04G0022010-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:6885202:6891396:1 gene:Sspon.04G0022010-1P transcript:Sspon.04G0022010-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AGPGCSSVAYGASEEIGPFRIKPNGTGLFLNKYSWNREANLLFLESPAGVGFSYTNTTSDLKTTGDERTAQDALQFLISWMSRFPQYRHRDFYIAGESYAGHYVPQLARKIVEYNEASPNPFINLKGILVGNAVTDNYYDNIGTVTYWWTHAMISDRTYKAILKSCNFSSSNISRFCNRAMNYAMNQEFGDIDQYSIYTPSCVAARSNATVLRFKNTLIRRRSFGYDPCTETYAEKYYNRLDVQKAIHANTTGIPYRWTACSDVLIKTWQDSEFSMLPTYKKLMKAGLRIWVFSGDTDSVVPVTATRFAISHLGLKIKTRWYPWYSAGQVGGWSEVYEGLTFASVRGAGHEVPLFQPSRAFRMFRSFLAGEPLPNLKIQSSKSDSYIMAQDYQSSPIARYLEIRSGVRLRLMDYMESELVAKKEALEADLGSSGLDVGLHLLLELGVGEGPVPAASAADDGVVLREREVAEREPLRCLARRHPVAHAAVRVAADGPRHVAQVDQQRARLAGSRRDVAPRAVVEHLQAADGVLVDDGEHGAVRVGLHPEVPGLGASRVAVHPQEPVALREVGRRLARAEAQRRGERVEERPRHPRHVRAVLRREVE >Sspon.01G0043730-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:76863012:76868380:1 gene:Sspon.01G0043730-1B transcript:Sspon.01G0043730-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLTSNWSASISRLSTELDYETRLLMMEEGSDYYVVRKGDVVAVYKTLSDCQAQICSSVSGPAASAYKGHSWSRGKEEYLSSRGLSGATYVINAAELREDVLGPLVPCSFQDILGSSPNQLAPNNIAFHNVIASQTGAQYVDLNHEARSSSFGHISPANFNHTGAVDAQPISKQYMVGILHFDGASKGNPGKAGAGAVLITEDGRVISRLREGLGVATNNVAEYRGLILGLKYAIRHGFKRIKVHGDSQLVCNQVNGVWQVKQPNMIELCKEVRRLKENFHSFEIIHVRREWNAEADRQANIGITLASGAVSEERGDI >Sspon.02G0009900-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:27358609:27361051:-1 gene:Sspon.02G0009900-2B transcript:Sspon.02G0009900-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding QMAPAAAVLKRAHLAGVHSLARRRRQPGADRVSVLLSPAIPPPRVSSEQGSGVVAKRRLWATASGSFEKDNLGEDEVLPSQVVEESKVDLFKILKSANSIIPHIVLGSTILALVYPPSFTWFTTRYYAPALGFLMFAVGVNSTVKDFVEAIKRPDAIAAGYIGQFVIKPLLGFLFGTLAVAVLNLPTALGAGIMLVSCVSGAQLSNYATFLTDPHMAPLSIVMTSLSTATAVFVTPTLSYFLIGQKLPVDVKGMMSSIVQIVVAPIAAGLLLNRYSFH >Sspon.04G0024150-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:14332540:14333642:1 gene:Sspon.04G0024150-1P transcript:Sspon.04G0024150-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFTGTQDKCTACDKTVHFIDLLTADGVTYHKTCFKCSHCKGILSMCSYSSMDGVLYCKTHFEQLFKETGSFSKKFTPGCKSSEKGELLTLEGESYHKSCFKCSHGGCILTTSSYAALNGVLYCKIHFAQLFMEKGSYNHMKKKSTSQEVLPDVAADERPPEPAPPQDEKGEDN >Sspon.08G0006150-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:16474091:16476490:-1 gene:Sspon.08G0006150-4D transcript:Sspon.08G0006150-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEISDEERMEMSTMEREHLPPSWQGNGDADVEVEEEEDHLWPTKDGPLPIFLKKLSNMCNHVLNPKTPGKTQFENVEYRVKMTLNNPSQLRERHEGRSGQQLQAHPQGIAGSVDPGEILALMGPSGSGKTTLLKILGGRLDGGIKGQITYNDTPYSPCLKRRIGFVTQDDVLFPQLTVEETLVFAAFLRLPACMSKQQKRDRVDAIITELNLERCRHTKIGGAFVRGVSGGERKRTSIGNEILVDPSLLLLDEPTSGLDSTSASKLILVLQRLAKVAASTPHTFARRNATYDDDDHTRRTIITTIHQPSSRMFHMFDKLLLISDGHAIYHGKARDCMHHFSSLGFVPEIPMNPAEFLLDLATGNLDDISVPEALRGSPDPQEFRSQVVRHLQLKYRAGGEPPAGRRRTPTEQLRLAVRARKDHRRSIGWLQQFAVLSRRTFRERTSDYLDKMRLAQAVGVALLLGLLWWKSQTGTEAQLRDQVGLIFYICIFWTSSSLFGSVYVFPFEKLYLVKERKADMYRLSAYYASSTLCDAVPHVVYPVLFMVILYFMAGLRRTVPCFFLTLLATLLIVFTSQGTGELLGAAILSVKRAGVMASLVLMLFLLTGGYYVQHIPKFIRWLKYVSFMHYGFNLLLKAQYHGHLTYNCASRGGCQRLQSSPSFGTVDLDGGMREVWILLAMALAYRLLAYFCLLKRISLTPL >Sspon.07G0002460-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:6121651:6124368:1 gene:Sspon.07G0002460-1A transcript:Sspon.07G0002460-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPSANPSHQPLLVLPLLLLLLIPAAAVAAAADASVSTFSYSHHCPDLPSALDLPGGQVSALGPDAPVPVPEVSTGYFDGGDRIFGSDTSSQPRSFSLLPSSVARTTNASLLHVSATLTVSSGRRWLRDRGGRNLFEYDGRARHFRPRLPRFTGQRGSITFGLEGYYSIASGDLCMVGTGSGRAGDGTPVHFLPVVLRLGFPSPANVTRPFVTGRLENVDTTNPIEPISLVAYAQEGYAYGESASCPPPPAGRLDALQVFENRNFSCAHLSSMLKSPFRLDYPNGSESTASSLGIHQSYMYVNRMHCNDDGAVRAYVAFTNQTEVSRYYFMLGEKAVVVDGFWDQKSSRLCLKGCHVVKSGPSRADLAVGECGIGMSFWFPAVWSLQHRSFSAGLVWNASLESGEAIAAGSSAITPNYRDNLSGLKYNYTKVVEALKHYEKSGLNKNRKGKFPDSNSYRDLVFRFFVKKGGGSGYASPVTIGSMLFDGNSLVVQDPFSHHVTAEMKQRLLNVSYDIYYVGNWSLESFNRRHISAEGVYDTKTGSLCMIACRELNVSSDCEILVTAQFSSLDAKVAQHVKGTIKSLRKKTDPLFFETLDIASYGMYVEQVDESIWRMDLESTMALISMTLACIFIAVQLFHVKKVPEALPAMSITMLVILALGYMIPLVLNFDALFKNSNKQTVPLSGGGWLEVNEVMVRIITMITFLLQLRLLQLAWSVRSVDVSKAESWAAEKKVLWICLPLYIIGGVITWVVHMRSNHSRRMLRQVVHLKPIEHAFWEDLVSYCGLILDGFLLPQVILNVFSDSKVRALSPGFYIGSTLIRALPHVYDVFRRKHFVPSLTPSYMYANPHDDLFSLAWDIVIPCGALLLSVLLFFQQWRGGAFFLCSKNRKTREYEMVSTVSS >Sspon.03G0016610-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:52558782:52561185:1 gene:Sspon.03G0016610-1A transcript:Sspon.03G0016610-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAPQVLHPSVFPFVQVTARCCSVRYLPSTRRSHPPPPWMPFSPLVFLFTSANPVLCVFNPPGNGQPAKELRKNISSYSAFIAEWFTHELTRVPGKTNSELQLKDSKLYAKYCRICVPITHELHFAGQVAVAQTKIE >Sspon.04G0008990-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:26673672:26675982:-1 gene:Sspon.04G0008990-4D transcript:Sspon.04G0008990-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQCPMDTAASGTSPVTQFHSNADESTSHSSPLPTLIERSQRHCYGDGIPGEFPLAVSPSIVLHSGGNKPANFAPNFALSLPELAAFDMCQKRTMFKLMKQEDQECLKQRCGGSWKHVLKYILVREKNGSRVIAGPGHSIVITSNGDVYSFGANCSGQLGLGDSEDRFRPCIIRSLQGIRITQAAVGSRWTMLVSDTGSVYAFGKDNFRGAELVDDAPIQTTTPKVVESLQGVFVVQAAIGGYFSAVLSREGRVYTFSWGKAERLGHSSDPSDVKPRLLSGLEDVPVAYISAGNCYLLMLAYQPNGMSVYSVGCGLGGKLGHGCKTNKGMPKLIEQFQSLSFKPVSIAAGTWHAAALGSDGRVCTWGWGHTGCLGHGDEEYKAVPTVVEGLRNVKAVHLSTGEYTTFVVAENGDVYSFGSGESLVFQEDDEAEEGPDFSTPSIVSSLKALNKKVVQISPTNASYWLNSEMGHPHTFAVMDSGDLCAFGGGIRGQLGVKLLEGVEKVSIPMHVPIGLN >Sspon.04G0012700-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:54880160:54884773:-1 gene:Sspon.04G0012700-2D transcript:Sspon.04G0012700-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NADPH:adrenodoxin oxidoreductase, mitochondrial [Source:Projected from Arabidopsis thaliana (AT4G32360) UniProtKB/Swiss-Prot;Acc:Q8W3L1] MARGLWLLSRAVGRLRPLLVQGPRRGFSASAPEQLHVCVVGSGPAGFYTADRMLKGHEGAQVDIIDRLPTPFGLVRSGVAPDHPETKIVVNQFSRVAANGRCSFFGNVTLGRDISLSELCKTYHAVVLAYGAESDRSLGIPGEDLKGIHSAREFVWWYNGHPDMCDLCPDLKNTESAVVLGQGNVALDVARILLRCKAELATTDITDYALDALRGSTIRKVYLVGRRGPVQAACTAKELREILGLKNVRICIKEADLVTTPSDEEEMRNSRIQRRVYELLSKAASAHGGNNYNDQKELHFVFFRRPTRFIPAENGSTIGAVQLEKTILKGDEVTGKQVAVGTGEFEDLKCGLVLKSIGYKSLPVQGLPFDKNRGVVPNLSGRVLSSESETATVERGLYVVGWLKRGPTGIVATNLHCAEETVASILEDDKKGVLRTPSDSKKHGRTGLLEILEQKNVRFVPFSGWEKIDSMEKMAGQLRNKPREKITTWDGLQEAANE >Sspon.07G0038060-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:68966014:68969267:1 gene:Sspon.07G0038060-1D transcript:Sspon.07G0038060-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKKQLAAAVTPAESEEAKAKSMAALMSLLRATMSEEKAGAGAAAIGGTGEEEKVEWLRSQLIGKDAEFDTPFGRRALTYADQTASGRSLRYIEDYLVTEVLPFYGNTHTEDSHVGSKTTRLVHKATRYVKRCMGAGPGDALLFCGSGTTAAIKRLQEVMGVAVPSVELRARVAAQLRAEERWVVFVGPYEHHSNLLSWRRSLAEVVEIGVDADGLLDVAALRRALASPELADRPMLGSFSACSNVTGVVTDTRQIARVLHEHGAFACFDFAASGPYVKIDMKSGEIDGYDVVFLSPHKFVGGPGTPGILVMNKALYRLNAQPPSTCGGGTVAYVNGFNEEDTLYYNDIEEPVATADEPGSKRLPLHGRFVTRLLNDLFGIQARGGCACAGPYGHTLLNIKNDLSLRIRSAILEGYSGLKPGWTRLSFSYYLSKVEFNFILASIEFIALYGHRFLPLYKFDWITGDWTFRKQAIKYHIMKEELTPATSLHLLAENGQPKISDKLAKKPGVNQDKFESYLEHAEKIALSLPDISQQTVSIPRGVDADLVLFHI >Sspon.03G0012420-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:34235288:34246061:-1 gene:Sspon.03G0012420-1A transcript:Sspon.03G0012420-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding KRKPNRKTCSIFILSCVCERAPPSPISRSQFDPLACSPDPTRPPRPGNSAAAARIRADPPSDSRSPAPPNPRSAAAARPTAAAAMFWRMTGLSAASPVDTILDKENFTLEELLDEDEIIQECKALNSRLINFLRDKAQVEQLLRYVVEEVPEDAERKRSFKFPFIACEIFTCEIDVILRTLVEDEELMDLLFSFVKPDHPHSTLLSGYFSKVVICLMLRKTAPLMSYVQRHPEIVVQLVDLIGITSIMEVLIRLIGADEAIYSNYGDTLQWLENTDVLEMIADKFSSSDSPEVHANAAEILCAVTRCAPPSLAAKICSPSFVGRLFHHALEESRPKSVLVHSLSVCISLLDPKRLASASYQAFRSNLSHGALVTASPETVDGMLESLGNLLKLLDTSAAESVLPTTYGCLRPPLGKHRLKIVEFISVLLTIGSETAEKELIRQSAIKRSIDLFFEYPYNNFLHHHVESIIVSCLEGKRPEVVEHVLHECDIVGKILVSERLSSLSTESNGPTVPSEGKTPPRIGNVGHMTRIANKLSQLGNSNNTIQTHLQENNEWVEWQTNVLVKRNEVENVYHWACGRPTSLHDRGRDSDDDDFRDRDYDVAALANNLSQAFRYGIYSNDDIEEAQGSLERDDEDVYFDDESAEVVISSLRLGDDQDGSLFTNSNWFTFDGERGIDDRTSASVPSSSPNSEEISLEIEEADDGKVVGTEDEMETVYLGNGSAEEVKDVAECTEQPNCCTEDERLKNTDGMERHPDASNNDTDMCTNEAASAAAESSAPSVEKVAEKTVDEPLEAERTVDEPAESSLDSSVSVALPAPVNGSEPANSEASSEQVAHDTDVQQPVKEAPAEDVDAKKTDAAKASE >Sspon.08G0017880-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:5153409:5154540:1 gene:Sspon.08G0017880-1P transcript:Sspon.08G0017880-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEMLPPTPTPTAAAEVLSILRGADPAHLLPASGIAPTPELLQHLRPALPTLPDSAIPALARWAGSATAVSLLASRRLFAAAWRFLLLPPAAASPPPPLAAFAPLLRRYARLGRTDSAARAFRFLQRHPDCYAVESDDGAPSAEAAVSPLILAVDALCKEGHPRAAARLVAQVRREDDGWTPDVRVYNVLLNGWSRARRLDKVEKLWAAMRDEGVRPTVVTYGTFIDAYCVMRRPDQAMALLDQMREEGIQANLLTCNPIVYALAQAGRFGDAHKVLEKFPLYGVSPNISTFNSLIFGYCKHGDLAGASGVLKAMLGRGISPTARTYNYFFMVFARNRSIELGMNLYAKMTGSLTTSWSRCCVRRTGLN >Sspon.05G0020540-5P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:79583706:79584602:-1 gene:Sspon.05G0020540-5P transcript:Sspon.05G0020540-5P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPPWCECDKTGFKKGPWTPEEDLTLVSYIHEHGAGRWRLVPVNTGLMRCSKSCRLRWTNYLRPGIRRGNFTPREERVIVHLQSLLGNRWAAIASHLPQRTDNDIKNYWNTHLKKKLEEEKEKQQAIFVPPPPAAGHVDDRRHRHDVIASSPLSKDHGYGYARPACSSSTANEVTQLLIARRHSSSSSSSYPSSVDNISKLLKGFMKSSPPAAAQDEDAAVDSMPPSAAVHIRPFLSTFDRMSGRALSPTSDVPPTTTSPPPQQVFTGRQGHGGFFHESQQQLSSIENWLFDEPKQQR >Sspon.01G0007100-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1C:16599128:16603526:1 gene:Sspon.01G0007100-2C transcript:Sspon.01G0007100-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxisomal nicotinamide adenine dinucleotide carrier [Source:Projected from Arabidopsis thaliana (AT2G39970) UniProtKB/Swiss-Prot;Acc:O04200] MSDALINGLAGAGGGIIAQLLTYPLQTVRGLGASLPTFALDFSVAEILRFSSAQVNARQQTERDPSKPAFKDGAARQLYLVVKNEGWERLYGGLMPSLVGTAASQGVYYYFYQIFRNRAEAKALERSRRGLGDGSVGMLQSLTVAALSGCVNVLLTNPIWVVVTRMQTHRKANKQQNPQGLTFALDKPLEAATTENTPYKTVDVELYKEAGVLGFWKGVIPALIMVSNPAIQFMLYETLLKKLKKRRSSNLKGADGLTALEIFLLGAVAKLGATVVTYPLLVVKARLQVKQMINDDKRHRYKGTFDAFTKMVQYEGLTGMYKGMGTKIVQSVFASALLFMIKEELVKGARLLVTGNTSLVKKLPSKPSR >Sspon.08G0011960-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:51399865:51414180:1 gene:Sspon.08G0011960-1A transcript:Sspon.08G0011960-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEVIVQQEEQPVEIVLDQSRTNSIVLPGCREDLWPSLSWLLGARGRFVLTSERPNKKRKLLGADAGLEQLVLLPSPEVEAGSICDVCCLGESDMMSNRMLHCKNCEISVHQKCYGVHVVPDRFWFCVWCSRNIGMPRRLTRSDACRTVSMPCVLCPKEKGALKPFKRDPGPSTDGGNQEFVHLFCSLWRPEFHVKDMESMEPVTNIVDTQENQSKLVCSLCKVMHGACVHCSHGACRTSFHPICAREFKLQIEIWGKFGHDNVEMRAFCAKHSAVKSISSIQNDKSVSELDSAQVEPHDGKPLTGNEQQVRFTRSNKDKFVNSTITTSSCILNKAQTTEVATVPSTLGSSQETQSAEAQTTEVATVPSTLGSTQETQSADMAVDQPSADGNIMSNSGDVSGILRKTAQLVDQGKVSLADIESELSLCSESVEAALQPDETTAYSPGLKLKMVKWLQNSERAPSVQVKSFKEGSLAQGTLLRSESKNLTTATLQSGQEEAISSIYHHFPENDSASRGDLVQNGFHDDPDANQISGRHLLNMDGHCCYIHPVIEKRLQGLWSNNMEQTIQKNGYHEELSCSLHDDLGGSSTKLGQLADKAALDQVCKAKSSDTLKHSPDDEIEGEIVYLQSRLLDGVVSMKQRYEDLMLKVVQNISYELDSFNKRKWDHIIVNQFLRDLREAKKRGNSERRHKEALAILAATAPSVVPTSRNATVRKETENNVASARRENMTRSNAGSSRIGQLSSSPQVKDLSFSNSKVSEETNFGIFDLAKFSKKSALPCDICMRCDTVLNRIFVCSSCKAAVHLDCYQSLKYPTGPWKCELCHEMPRDFVVSGELSDQNGAKACLVQCGLCHGTSGAFRKTVKGQWVHAFCAEWLLETTFRRGQHNPVDGMERLHHKDKDACSICHRYVGACLKCSTVDCQITFHPSCARDAGLYMNTKRLGIMLQHKAYCCRHSIEQRKAYRQQYGPEEVKSMKQMRVELELLRFLCERIVKREKVKKDLVGCAHDILAARRMTAVSSSWTSCYASGPGASSESATTSVNNKSYGGTIQRSDDVTVRSDDVTVDSTVTKKHTVRFSLHNRDTDRNTADSSTSTISYKRKLDDGESFAFKSLPGTPATALLESRDVEKKPIDKKRREIYQKELVMATSHQALLKNKSPPERYVYTRRSSMSKRKQCSQHVVEGPGG >Sspon.02G0048060-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:20864013:20865720:-1 gene:Sspon.02G0048060-1C transcript:Sspon.02G0048060-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPMEAAVGGKAVDAYRKALATAASAAAYAVMARSMARELLPEELRAAARWAASALGARVGWGQRDRRTLVVRSQPSSTGAGGEDNLLFEAARTYLASRLDPRAMRRLGVTLARAKDDAGRASWRRLLFLEPGGSTVDDFEGVRFTWTCVEPTSSGGGTKKKAKKGGELSAGDDRDFVLELSFDAQHTDVAMDRYVPFVIDAAEEVQQRERALKICMNEGRMWYRMSHHHPATFDTLAMDPALKRSIVADLDLFKSRRDHYRRVGKAWKRGYLLYGPPGTGKSSLVAAMANHLRYNLFDLDLSHVQLNTSLQWLLLGMSDKSILVIEDIDCCCDAMSRTDDKAPPVRTGGRTTGDDGGHDDIDDDPTSDSGAPPPRTAAPNKSNSNQVTLSGLLNFIDGLWSTSGEERIIVFTTNYKDRLDPALLRPGRMDMHIYMGYCGWEAFKTLAHNYFLVDDHPLFPEIQELLSQVEVTPATVTEMLLRSNNADVALRGLVEFLEDKKKKQAVPTEEKQSEEAAGKEEASREPS >Sspon.01G0009440-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:29598317:29600100:1 gene:Sspon.01G0009440-4D transcript:Sspon.01G0009440-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to 60S ribosomal protein L22-2 [Source: Projected from Oryza sativa (Os03g0343500)] MARGVAAGSKGGAAGGGKKKGSVTFTIDCTKPVEDKIMEIATLEKFLQERIKVAGGKAGNLGEGVTVTRDKTKVTVTSDGPFSKRYLKYLTKKYLKKHNVRDWLRVIASNKDRSVYELRYFNIAENEGEEED >Sspon.05G0003460-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:619636:619848:-1 gene:Sspon.05G0003460-2C transcript:Sspon.05G0003460-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKNSHLLQLQALGPLEVLRADLDDEGSFDDAVASCDHAFLVAAPVNLTSENPEVRWTFGEPFACAALCF >Sspon.01G0042700-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1B:67864061:67864612:1 gene:Sspon.01G0042700-1B transcript:Sspon.01G0042700-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAGFSGRRRQFDVACGVLSRCVKKAEDATAGKTMAAAPAAAPTTAPPTTMLLMPGADVREEEPSEATTQLAPLTIMYGDRVVVFDDFPSYRVAELLVLVAERERPDLPGAGGTTTDIPVARKVSLQRFMEKRRDRLVARAPYAARPASSESNKERRNLQAGEQDAGSSWLGLGVPGGGCAC >Sspon.02G0022280-4D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2D:67948084:67948698:-1 gene:Sspon.02G0022280-4D transcript:Sspon.02G0022280-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGSVVFSSFGKSFSFGHPSIDDVVNRFLNSVTPDGPASSGANHDNSLAVTGTVQGLNMEYLELQQSLDSQKKKKERLQEATKKEMGERMMQWLNANILELSLDELQEFQKLLEAIDGVVKEKENNIVVEARETEGSATQPPMEIASALQYQFGEHISANSMAFTAPSSSNGFIDGFEVNDPLLSGGLQDVCGLGNFPYNQNHG >Sspon.08G0004100-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:11964552:11967762:1 gene:Sspon.08G0004100-1A transcript:Sspon.08G0004100-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGAKVILASHLGRPKGVTPKYSLKPLVPRLSELLGVNVVMANDCIGEEVQKLAASLPDGGVLLLENVRFYKEEEKNDPEFAKKLASVADLYVNDAFGTAHRAHASTEGVTKYLKPAVAGFLMQKELDYLVGAVANPKKPFAAIVGGSKVSTKIGVIESLLAKVDILILGGGMIFTFYKAQGYAVGKSLVEEDKLELATSLIEKAKSKGVSLLLPTDVVVADKFAADADSKLFSHSAHADTCRHLQTVPASSIPDGWMGLDIGPDSIKTFSETLETTKTVIWNGPMGVFEFEKFAAGTDAIAKKLAEITAKGVTTIIGGGDSVAAVEKAGLADKMSHISTGGGASLELLEGKTLPGVLALDDA >Sspon.03G0043890-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:85807776:85809431:1 gene:Sspon.03G0043890-1C transcript:Sspon.03G0043890-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATPAGEGPLLYFFFSLEPLVLHGVDAAVHVLLALAVAGRFLFRRCGLSVAKDGEEARGRGSGGGGRFRCHGVAACATWALAAAEVLLAAYSCYLGATSGSGWSRDAVVGLVDAAARAVAWLLLAAYLQFDFGRRREERFPAPLRLWWAFFLLLSIVAVADHVATSLDGLLVPALAWVFDAVSVAAAVVLLCAGFVGRREGGSAAEEPLLNGAHETADGNSRSDAEASKFTGAGFFSVLTFSWLGPLLAVGHKKTLGLDDVPGLDPGDSVSSLLPTFKANLEELAGGVSGSGRKAVTAFKLTKALLRTVWWHVAVTAFYALVYNVATYVGPYLIDSLVQYLNGDERYASKGQLLVLAFIVAKVFECLSQRHWFFRLQQAGIRARSALVAVVYQKGLALSSQSRQSRTSGEMINIISVDADRVGIFSWYMHDLWLVPLQVGMALFILYSTLGLASLAALGATVVVMLANVPPGRMQEKFQQKLMDCKDVRMKATSEILRNMRILKLQGWEMKFLSKIIELRKTETNWLKKYLYTSTLVTFVFWGPRLSLLW >Sspon.05G0006530-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:21853041:21856006:-1 gene:Sspon.05G0006530-4D transcript:Sspon.05G0006530-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Syntaxin-81 [Source:Projected from Arabidopsis thaliana (AT1G51740) UniProtKB/Swiss-Prot;Acc:P59277] MSRVRDRTEDFKEAVRVAALSHGYTEAQLAALMSSFIIRKPSPKSAFTNAAIKTLESIRELERFIVKHRRDYVDLHRTTEQERDNIEHEIGVFVKACKEQIDILKNRIHEKEKNGSGKTWLGTRDESSRLDLIAHQHGVVLILSERLHSVTAQFDRLRSMRFQDAINRAMPRKKIQKRPEIKPAEPSRSNLVLKSDVSKIVDQEVSTAPMRVQEQLLDDETKALQVELTSLLDAVQETETKMIEMSALNHLMSTHVLQQAQQIQYLYDQAVEATNNVERGNKELSQAIQRNSSSRTFLLLFFFVLTFSVLFLD >Sspon.06G0000650-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:1245020:1245478:1 gene:Sspon.06G0000650-2B transcript:Sspon.06G0000650-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKAEKKPAAKKPAEEEPATEKAEKAPAGKKPKAEKRLPAGKSAGKEGGEKKGKKKAKKSVETYKIYIFKVLKQVHPDIGISSKAMSIMNSFINDIFEKLAAEAAKLARYNKKPTITSREIQTSVRLVLPGELAKHAVSEGTKAVTKFTSS >Sspon.02G0047020-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:10350121:10352934:-1 gene:Sspon.02G0047020-1C transcript:Sspon.02G0047020-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MANGGERSALLLHLKSWPSSATDHPLSSSPQKILCRRLSLSFLRRGIHSSAPTSADAATDATLLGRLTRLLLLHRFSAAARLLSSSGPLTPALLHAALRLIRLDADAALHLFRLAPSRPSLLAHAQLLHILARARRSADARAILASLLSARPPAPPLFPHLVEVYKEFSFSAASFDLLLRAHVNAGQLDAALHVFDEMRTLGCRPSMRSCNSMLNRLAQTGDLSSAVAVFEQMQRAGTLPDEFTVAIMAKAYCRVKGVGHALEFVEEMRKMGVEVNLVAYHAVMNGYCEVGQTNDARRMLESLPGRGLSPNIVTYTLLVKGYCNEKNMEEAEGVVRVIGKNKQLVVDEAVYGAVINGYCQMGRMEDAARLLNEMMDAKLQVNLFVYNTMINGYGKLGRMAEAHNILHEMEGVGVRPDTYSYNSLVDGYCRKGLMNKAFETYNTMLRNGFTVTTLTYNALLKGFCSLGSIDDALRLWFFMLKKGVAPNEISCSTLLDGFFKAGTDMIPDISAPRLEMGKVANAIDTVAGGNHQSAKIMWNIVIFGLCKLGRIEDAKNLFADLKSKGFVADNFTYSSLIHGCSASGFVDVAFDLRDTMLSVGLTPNIVTYNSLIYGLCKSGELSRAVNLFKKLRTKGICPNAITYNTLIDKHCKDGYITEAFKLKQRMIEEGYMEEAIKLLDQMIENNVDPNYVTYWTLIQGYVRCGNMIEISKIYDKMHIRGLLLTNVSED >Sspon.04G0017080-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:66110693:66118054:-1 gene:Sspon.04G0017080-2B transcript:Sspon.04G0017080-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:4-coumarate:coenzyme A ligase, Lignin biosynthesis, Defense against woundin [Source: Projected from Oryza sativa (Os02g0177600)] MGSVDTAVAVPVPVADPAAEEKAVVFRSKLPDIEINNSQSLHAYCFGKMSEVADRACLIDGQTGASYTYAEVESLSRRAASGLRAMGVGKGDVVMNLLRNCPEFAFTFFGAARLGAATTTANPFYTPHEIHRQAEAAGAKLIVTEACAVEKVREFAAGRGVPVVTVDGRFDGCVEFAEVIAAEELEADADVHPDDVVALPYSSGTTGLPKGVMLTHRSLITSVAQQVDGENPNLYFSKDDVLLCLLPLFHIYSLNSVLLAGLRAGSTIVIMRKFDLGALVDLVRKHAITIAPFVPPIVVEIAKSPRVTAADLASIRMVMSGAAPMGKELQDAFMTKIPNAVLGQGYGMTEAGPVLAMCLAFAKEPFQVKSGSCGTVVRNAELKIVDPDTGAALGRNQPGEICIRGEQIMKGYLNDPESTNNTIDKGGWLHTGDIGYVDDDDEIFIVDRLKEIIKYKGFQVPPAELEALLITHPEIKDAAVVSMKDDLAGEIPVAFIVRTEGSEVTEDEIKQFVAKEVVFYKKVHKVFFTESIPKNPSGKILRKDLRARLAAGLWGDHHLLEHHATAKDVKCQLHLVFVAKKATDTNIFEMGVL >Sspon.05G0038640-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:50753478:50754217:-1 gene:Sspon.05G0038640-1D transcript:Sspon.05G0038640-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding TSNKEYVKKYLAQTVFTPSINWVPPRKYISNQPKIIACKELLTAGSPRAMKILVCVVLMLVLISNCTAEMPPIMAAEKSSRDEVAGRAKAYAATFKKIGNNNKVYDGYRCNGCHEDPTPHHPTIGRP >Sspon.01G0001880-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:5496907:5498106:-1 gene:Sspon.01G0001880-1A transcript:Sspon.01G0001880-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Signal recognition particle 43 kDa protein, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G47450) UniProtKB/Swiss-Prot;Acc:O22265] MEAVLRHPSLSRFKPPNPSPPRTPSPSLAPPSLLRIRARRLIAAALFQDQKPKEPARKGGDDEEAYGEVDRIVSSRTVSIPVFAEDGSASSAVATEYLVEWKDGHEPSWVPAEAIAADVVAEYETPWWTAAKKADAEALAALLADETLRRDPDAEDAQGRTAAHFAAGLGSEECLRALAAAGADLGHRERAGGGLTPLHIAVGYGRAGAVRALLELGADPELPDGQGRTPLELVQEVLARTRPKGNPAAFQLRQGLEAAQKELEKAVYEWAEVEKVIDGRGEGKWREYLVEWRDGGEREWVKAAWVAEDLVSDFEAGLEYAVAEAVVDKRQAATATAEGEDRWEYLVKWVDIEEATWEPAENVDAELVQEFEQRQSGSAGGEVVADGGSTAPPPSEAIA >Sspon.05G0004670-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:13950477:13954417:1 gene:Sspon.05G0004670-1A transcript:Sspon.05G0004670-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGRMERQTASSSASCSPSAAASSSACGGKKRPDILNMIRNAACLNSSSTDTGKGRSKLSTNKVTHGFHLVEGKSGHDMEDYHVAEYKYVKNHELGLFAIFDGHLGDKVPSYLKANLFSNIMKEPLFWSSPQEAIKNAYCSTNKYILENAKQLGPGGSTAVTAIVVDGKDMWIANVGDSRAVVCERGAANQLTVDHEPHTTNERQRIEKHGGFVTTFPGDVPRVNGQLAVARAFGDQSLKAHLSSEPDIRHVPINSNIEFVILASDGLWKVMKNQEAVDLVKSIKDPQAAAKRLTTEALARKSKDDISCIVIRFRC >Sspon.08G0020150-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:17894301:17896202:-1 gene:Sspon.08G0020150-1B transcript:Sspon.08G0020150-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLPLAAASASAAPRTCISGGLVPVPFLGTRMRLRIHSPPRGVACALRRRPSKYKTKIQSEEDVVAAEDVMDDDDDEDGALEALFKQLEEDLENDDLSVDDDDDGISEEDMARFEKELAEAIEDVSGIDESAGGSLLSSGDYGIDEQIDGSERPELKTWQLRRLARALKIGRRKISIKNLAGELGLDRGLVIEMLRNPPPKLLLMSDSLPDEAPAKSEVKELEPLVQPLLTRLIPLKSNRSWSFQCML >Sspon.04G0029520-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:72600342:72601980:-1 gene:Sspon.04G0029520-1B transcript:Sspon.04G0029520-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone-lysine N-methyltransferase ATXR6 [Source:Projected from Arabidopsis thaliana (AT5G24330) UniProtKB/Swiss-Prot;Acc:Q9FNE9] MNGPTLESHHKGPSTLPPTLVTQAGSGSGSGSGRAGLPKLYYLARADLRSREAETPPLPPPPLLFTHHLPIRTPPHLPLRCRRPTRTPLHSTTTSLLVPPAINLGSRERRQMGAAGQLRRRTRARTPPGPRLEAAAAAGDDDDDVRCEACGSGEAAPELMLCDGCDRGFHIFCLRPILPRVPAGDWYCPSCRGPASSKSQSAAAAAHTVVAKKPKRDDLLCPVAEFPLVQTKIVDFFKIQRSPTPADASEELKKRKRKGGGTLVASKKKSRRLLPFIPSSDPAQRLRQMASLATALTATGAAFSNELTYQPGMAPRSANRAVLEAGGMQVLNREDAETLARCQRMMARGEWPPLVVAYDPVEGFTVEADRCIRDLTIITEYVGDVDFLRNREHDDGDSMMTLLSAASPARSLVICPDRRSNIARFINGINNHTPEGRKKQNVKCVRFDVGGECRVLLVANRDISKGERLYYDYNGSEHEYPTHHFVPPLPQLQL >Sspon.05G0005510-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:12247310:12263105:1 gene:Sspon.05G0005510-2B transcript:Sspon.05G0005510-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDREEVTEFLGQVPLLQCLPGSSIRRIAEAVQVRNYEPGDYVAREGEPVDGLYIILDGQAEVSAPANAEEANRPDYVLNKYDYFGYGSNSSVHQVNVVALSKLTCFILPNQYGHLLQPKTIWNAEETPEHSLLEQILHLEPLEVDIFRGFTLPEAPTFRQALAAASKTVDCLKMVHSLHAIFLVAGDNNLPIIYQVHRARDGSSFATRKVEAKQKGLVVFTLIASFQKEEVGFEHQAAIMPDLLNLEEIRERRLTDPRFPSQYRNLAAKRKFTPWPIEMRFCEDSASQHKPSLNYWFRARGKLSDDQALHRCVVAYASDLLYSGVSLNPHREKGLKTYSLSLDHSIWFHKPVKADEWLLYVIESPSAHGGRGFVTGRMFNRQGEGFQSCTYLAEKHEVVQSNMPLVLAPLSFWSRIRGYIRMTEFLGQVPLLQCLPGSSIRRIAEAVQVKNYVSAPANAEEANHPDYLTCFILSNQYGHLLQPKTIWNSEETPEHSLLEQILHLEPLEVDIFRGFTLPEAPAFGPALAAASKTVDCLKMVHSLHAIFHVAGDNNIPIIYQVHRARDGSSFATRKVEAKQKGLVVFTLIASFQKEEVGFEHQAAIMPDVPPPEQARISLFPLTSVEEKNRYLIRNQMQLLNLEELRERRLTDPRFPPQYRNLAANKKFTPWPIEMRLCDDSASQHKPSLNYWFRARGKLSDDQALHRCVVAYASDLLFSPVSRHPHLAKCLEIHVLSLNHSIWFHKPVKADEWLLYVIESPSAHGGRGFVTGRMFNRQGELIMSLTQEALIRREKTRGPNPRPKI >Sspon.02G0057410-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2D:58231381:58236995:-1 gene:Sspon.02G0057410-1D transcript:Sspon.02G0057410-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding IKLDKHFRFRVHERPL >Sspon.04G0003300-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:47174579:47177493:1 gene:Sspon.04G0003300-2P transcript:Sspon.04G0003300-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGKEPIEVKFRLFDGTDIGPSKYDPNTTVAALKELVLARWPQDKEIVPKTVNDVKLINAGRILENSKTLAESRVPVGEVPGSVITMHVVVRPPQSNKSDKQQSNSPKPNRCGCTIL >Sspon.04G0007250-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:21025385:21028651:1 gene:Sspon.04G0007250-2P transcript:Sspon.04G0007250-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQQHQGGGGGGGSQFGGPPPDMGPFSPTAAATGGPMPLSSRPPSAQPQPQQPRTSYDELAVVSGAGGGADDEMMGSGGAGGGSSGASSNRWPREETQALIRIRSEMDATFRDATLKGPLWEDVSRKLADLGYKRSAKKCKEKFENVHKYYKRTKEGRAGRQDGKSYRFFDELEALHAAAPQPLPPQMQQQPQQLPPASTTPPLHAFAAPVSALPLVSSMPPPTGPMQPAPISSAAPAVVHQVQAPVELPPGAHQPLNLQGFSFSSMSDSESDDESEDDDMTAETGGSQDRLGKRKRGDGGSASASGRKKMMTFFEGLMQQVVDRQEEMQRRFLETMEKREAERTAREEAWRRQEVARLNREQEQLAQERAAAASRDAAIIAFLQRIGGQSVQPATAVVVPVPAPVPVHTPPPPKPPSRQQQPPPPPSPQATPQSKPISAAPLQQQPPQQQPKDTSQQDAGTPRRAPPTSGASLELVPVAQHHVDSGLGGGDGGAASSSRWPKTEVHALIQLRMDLDMRYQETSPKGPLWEDISSGMRRLGYNRSSKRCKEKWENINKYYKKVKESNKKRPEDSKTCPYFQQLEAIYSRKHLRSGAAAAAASSNATAAAPPPPAYPDQLNPCRQEIEGKNINDDKRNNGGSGGGTQMPASNGEKTAPTTTPAAFDADTGMKKVTTPCAPTCFFVPFVVSCSIERRRLTGYVVAVKPEDIVRELNEQPPREFTTEDETDSDDMGDEYTDDGEDGEDDGKMQYRIQFQRPNPGGTNTAPAPAATTAAPAVPTSAPTSTFLAMVQ >Sspon.03G0010560-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3A:28796186:28797622:-1 gene:Sspon.03G0010560-1A transcript:Sspon.03G0010560-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLEEHVAAQEASQLRPLQAQRKTKDMGEVVAPRQATEGGASVKGPVVVTGALGFLGSWLVMKLLQAGYTVRATVRDPANAVKTKPLLDLPGATERLSLWKADLAEVGSFDDAIRGCTGVFHVATPMDFESKDPEVDKRVSISARVNVTAFARTTWLPLQNEVIKPTVEGMMSIMRACKEAGTVRRIVFTSSAGTVNIEERQRPVYDQDNWSDVDFCQRVKMTGWMYFVSKSLAEKAAMAYAAEHGLDFISIIPTLVVGPFLSAGMPPSLITALALVTGNEPHYSILKQVQFVHLDDLCDAHLFLFEHPAAAGRYVCSSHDATIHCLAAMLRDRYPEYDIPERFPGIEDDLQPVHFSSKKLLDHGFIFKYTVEDMFDAAIRTCREKGLIPLATAGAEGSAS >Sspon.07G0010050-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:25962689:25965329:-1 gene:Sspon.07G0010050-1P transcript:Sspon.07G0010050-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSDDEVREEKELDLSSNDVVTKYKAAAEILNNALKLVVSECKPKAKIVDLCEKGDSFIREQTGNVYKNAKRKIERGIAFPTCVSVNNTVCHFSPLATDDAVLEENDMVKIDMGCHIDGFIAVVAHTHVIANGPVTGRAADVLAAANTAAEVAMRLVRPGKKNKDVTEAIQKVAAAYDCKIVEGVLSHQLKQFVIDGNKVVLSVSNADTKVDDADFEENEVYAIDIVTSTGEGKPKLLDEKQTTIYKRAVDKNYHLKMKASRALEEKRARLGLVECMNHELLQPYPVLHEKPGDLVAHIKFTVLLMPNGSDKITSHPLQELKPTKSIEDNAEIKAWLALGTKSKKKGGGKKKKGKKGDAAEADPMEATNGASQE >Sspon.02G0029700-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:108255895:108261705:-1 gene:Sspon.02G0029700-1A transcript:Sspon.02G0029700-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRVARGI >Sspon.02G0059800-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:101124113:101125493:-1 gene:Sspon.02G0059800-1D transcript:Sspon.02G0059800-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRGHPTTPLLPRRHRHAIWCRAPAHAIRWCPPLHGVAGVARSYSMDIVCIILPRSSHPPSATRTRSAATVRPPTHTDSSSPPRAGAAARGRGDASSPQSTYLRCPSPPPRVHLSIKDGDNIGGAPRPETRQEAQGHGRRSSLQRGARPGSRLPGRGSAVAGSAVAGSQGARTRDPRGKRHYELRRYRRCPIPPRASAARRLAIGEPRHAVRAEEVACGANGKRTMSTSKQWRRSTGAPLLDLHARSF >Sspon.07G0002930-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:6775733:6796155:-1 gene:Sspon.07G0002930-2B transcript:Sspon.07G0002930-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding KVTPWNGADIHPGATHYRDNNSMYKLQAVPAKRRSIAKMLPASRRSICQPGRDPNCEFESKVVYRHLQDGDIVLVNRQPTLHKPSMMAHFVRVLPGEKTLRMHYANCSTYNADFDGDEMNVHFPQDEISRAEAMNIVDANKQYIGPRSGDAVRGLIQDHIVGAVLLTKRDTFLTREEYSQLVFGSCVPSSNRGSRHPGKKVSALEDYGTLELVHPAIWKPKPLWTGKQVITTILNHLTKGRPPFTVEQKGKIPKEYLKEEDPSAQEKKEDSLAQKEKEDPPAQKEKGDPSAQKEKEDSLARKEDPSAQKEKQEDPSARKETQEDPSAQKEKDPSVKKEKEKQMRIQKQKQKQKQDDRSELVLYIHDNELIKGIVDKAQFGKYGIIHTVHELYGADIAGILLSTFSRLFTLFLQLHGFTCGIDDLLLLQKADKLRSDILSGSEKCSEEVHLKFTGAGEDLKGDPLKLHMEVEKAVRSNGESDTTTLDGLMKNALSAITTEVNKNLFPDGLQKPFPGNCLSLMTTTGAKGGPVNMNQISSLLGQQELEGKRVPRMVSGKTLPCFPPWDISSRAGGFISDRFLTGLRPQEYYFHCMAGREGLVDTAVKTSRSGYLQRCLMKCLESLKVSYDHTVRDVDGSIVQFQYGEDGVDVLKTSFLKEFKELADNRKAVLAKLGGHSMKPTYNEYITELPEKLNRQAEEFLERSKEFQRCRYNIEAKELKELLDVKYLSSLVDPGEAVGVVAAQSIGEPSTQMTLNTFHLAGRGDLNVTLGIPRLKEILMTASANIRTPVMKDDAKMVAAKLTRVRVADIVEKIEVCTVPFYNCNGHVSTLYKLQMKLYPEDQLESGLTVDECQATLRTVFVDAMEHAIEKHLDLLHKINEIRAVKKQDQITKRRKKLKRTIHVESNDLDFEIHYAFLDEPHILLAQIAKKIARTIFVKACNNIDHCKIVRPTDDHARIETAGVNFEVVWNLNEYISMCDITSNDIHAILKTYGVEAARKTIINEVSGVFKPYGIDVDMRHLSMIADFMTLDGGYRPMNRMGIGQFSTSPFGKMTFETATKFIVEAATHGEADFLECPSASVCLGKPPKII >Sspon.05G0011970-3C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5C:26358163:26360726:1 gene:Sspon.05G0011970-3C transcript:Sspon.05G0011970-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRREVAASLHRSLARFFVHDDPEKFHSLVAAKASHHRALGSADLACALQACRGRGNRWPLVLEIHATSVVRGLGADRLIGNLLIDLYAKNGIVWQARQVFKELSARDHVSWVAMLSGYAQNGLAKEAFRLYCQMHWSAVVPTPYVLSSVLSACTKGKLFVQGRMIHAQVYKQAFGSETFVGNALIALYLGNGSFKLAERVFFDMLFCDRVTFNTLISGHAQCGHGERALQIFDEMQLSGLRPDCVTVASLLAACASVGDLQKGKQLHSYLLKADMYSKYGYLDKARKILEMLDKKDVVSWTSMIAGYVQHDFCEEALATFKEMQDYGVWPDNIGLASAASACAGIKAMRQGLQIHARVYVSGYAADISIWNTLVNLYARCGRSEEAFSLFRAIEHKDEITWNGLISGFGQSRLYEQALMVFMQMGQAGAKYNVFTFVSSISALANLADIKQGKQVHGRAVKTGHTSETEVANALISLYGKCGSIEDAKMIFSQMSLRNEVSWNTIITSCSQHGRGLEALNLFDQMKQEGLKPNDATFIGVLAACSHVGLVEEGLSYFKSMSNVYGLNPTPDHYACVVDILGRAGQLDRARRFVDEMPITADAMVWRTLLSACKVHKNIEIGEIAAKHLLELEPHDSASYVLLSNAYAVTGKWANRDQVRKMMKDRGIRKEPGQSWIEVKNAVHAFFVGDRLHPLSDQIYKFLSELNDRLAKIGYKQEKPNLFHEKEQEQKDPTAFVHSE >Sspon.07G0014430-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:57437325:57438720:-1 gene:Sspon.07G0014430-2B transcript:Sspon.07G0014430-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MYPYRMSNVMIGYLNLATLLASIPIIGAGLWLAKGSTTTCSSILQTPLLVIGFVVLIISLAGFVGACFHVAWALWLYLVAIILLIAFLLGLTAFGFAVTAGGGGTQVYGRPYREYHITDYSSWLQKHMQDIKYWRPALACVVGSKACPKIENWTPMDYLQHDLTPIQDEDCYRWNNAPDILCYQCNSCKAGVMEQIRQDWHKIAVLNVIVLAALICICACGCCAFRNARRSLSEREQLY >Sspon.08G0020010-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:16927874:16928749:1 gene:Sspon.08G0020010-2D transcript:Sspon.08G0020010-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GAAIKEEVPRRQAAPLGLLGLRDQAPAAVRHRGAGPRAGRAGRAWRLLLRGARRRHHHHQPVADPQRQAPQVLQDAVAVAHLPPPRPGEVPHWRLAEARGRARRLQLGHDRPAQQRRAGHGGGGVLRRGAGAQRRRRGGHDSHIHVHVVHGHDWLASACHDGRRGEDCAADDRGAAGQLALAWDAPGCSRQPRHLK >Sspon.01G0039070-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:20490782:20492974:1 gene:Sspon.01G0039070-2C transcript:Sspon.01G0039070-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTLPVSPKEALPSPLTSASEPPPLFDGTTRLYVAYHCPFAQRAWIARNYKGLQDKIKIVAIDLADRPAWYKEKVYPENKVPSLEHNNQVKGESLDLVKYFDSNFEGPSLLPEDPAKKQVAEELLAYTDAFNKALYSSLLSKEDVSEETVAALDKIEDALGKFNDGPFFLGQFSLVDIAYVPFIERFQIFYSNIKNYDITKGRPNLQKFIEEVNKIDAYTQTKLDPQFLLEQTKKRLGIA >Sspon.01G0022160-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:84340682:84347353:-1 gene:Sspon.01G0022160-1P transcript:Sspon.01G0022160-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGGDGEGGTSSSSHELSGQLEGILADREAPWARRASKAAMIELRLLAPIAAPAVVVYVLNNVLSISTQIFSGHLGNLELAASSLGNNGIQVFAYGLMLGMGSAVETLCGQAYGAHRYEMLGIYLQRSTILLVAVGVPLSVIYAFSEPILVFLGESPEIAKAAAVFVYGLIPQVFAYAANFPIQKFLQAQSIVAPSAYISAATLALHLLLGWLVVYRLGMGLLGASLVLSLSWWIIVAAQFLYIVTSERCRRTWTGLSCRAFSGLPEFLKLSTASAVMLCLETWYFQILILIAGLLDNPQLALDSLTVCMTLAGWVFMISIGFNAAASVRVGNELGAGHPRAAAFSVVVVTALSFVITLAMAVVFLVFRDYLSYIFTEGETVARAVSDLCPLLATTLILNGIQPVLSGVAVGCGWQKLVAYINVGCYYFVGIPLGILLGFKFHLGAKGIWTGMLGGTCMQTLILFWITFRTDWNKEVEEARKRLNQWEDNKQPLLLVPSD >Sspon.02G0001970-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:5718911:5722705:1 gene:Sspon.02G0001970-2B transcript:Sspon.02G0001970-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAENHAPLRQEAAPGRGAAPAPAPAPEAVEKVVVMDQAPPPQAAARLQAQRPLAPLQVTTQAPPPPMSVASGSVEPPPQVAAYQPVMQPPQQGPLPSLNSRKYTNGITLCLFLLHLAAAAFAMGFFVFKTAQEISQHPRSHNARRERSLLRDWLLPVEGAVALSIVLAFAWQKAVRAWPRAMVRVILWSSFGVTLAVGALLMCFSMLATVGLGVAMVVFSIGTGLYACWVTRRVGFTARVFERAVQPVDKFRGLNGPAYLMVAAGFAWISVWCVAVIGAVNFRFPGLTIFGLVVSLAWTAEVMRNVANLTASRVIALYYLRGMQSSVQFCFQRALSYNLGSACLGSLFVPTIEALRILARGLNLLEGEDEFMFSCAHCCLHVMNAIFSFGNSWAFVHIAAYGRGFVQASRSTWGQFEALPGMAALVDSDITSSVCFLTGVTSGALCVALAGSWAFATHRHYTATVSLLAFYVGYLMTRIGMALPQACVGCYYVCYAENPTSRLFDGTIPDRLSKMREGRDPLVPTPRFPHQHGGGA >Sspon.04G0003710-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:12231719:12237320:-1 gene:Sspon.04G0003710-2D transcript:Sspon.04G0003710-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDPSSASNKRKRKRGRKPKASPPSPDRSSPSPAAAPAPAGRRGRKPRRNEAPADADAARPPSPPRRGEPKPVANGGDAVAVAVAEAGPASWDEVVRVVPCMDAVVKVFCVHTEPNFSLPWQRKRQYSSSSSGFIISGRRVLTNAHSVEHYTQVKLKKRGSDTKYLATVLAIGTECDIALLTVNDDEFWEGVSPVEFGTLPALQDAVTVVGYPIGGDTISVTSGVVSRIEILSYVHGSTELLGLQIDAAINSGNSGGPAFNDRGKCVGIAFQSLRHEDAENIGYVIPTPVITHFIEDYKKSGEYTGFPILGVEWQKMENPDLRKAMGMKPDQKGVRVRRVEPTAPESGCLQPSDIILSFDGVDIANDGTVPFRHGERIGFSYLVSQKYTGEKALVKVLRDSKVYEFKIRLATHKRLVAAHVKGRPPSYYIVAGFVFAAVSVPYLRSEQGRSRGNDIAFPSAMGCRGGGTSRGGGTSSSSEGMPNGGGTSSMRGLAEETKRRRGQGKTKRRGISCLFFTEEVSYGKDYEYDAPVKLLVKHLHAMAESPDEQLVVVSQVLVSDINIGYEEIVNTQVLAFNGQPVKNLKNLATMVENCKDEFLKFDLEYDQIVVLETKTAKAATQDILTTHCIPSAMSDDLKA >Sspon.01G0030760-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:74853257:74856117:1 gene:Sspon.01G0030760-1P transcript:Sspon.01G0030760-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARARGGAAWVLLAAAWVLWAAAAAAEARSPSGRRVHRHLKRLNKPAVKSIESPDGDIIDCVHISHQPAFDHPLLKNHTIQFRPAYHPEGLYDDAKSSVGSNNAGEKPMLQMWHRNGRCPEGTVPIRRTKKDDLLRASSMRRYGRKRHTAPNPLSVDPNMLSEGGHQHAIAYVEGDKYYGAKATINVWEPKIQQVNEFSLSQLWILGGSFGEDLNSIEAGWQVSPDLYGDNNTRLFTYWTSDAYQATGCYNMLCSGFIQINSEIAMGASIFPISNYAGSQYDISILIWKDPKEGNWWMQFGKEYVLGYWPSFLFSYLADSASMIEWGGEVVNSEPDGTHTSTQMGSGHFPEEGFGKASYFKNIQVVDSSNQLSAPKGVGTFTEQSNCYDVQNGNNGDWGTYFYYGGPGKNSNCP >Sspon.01G0055900-1P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1C:85939708:85940453:1 gene:Sspon.01G0055900-1P transcript:Sspon.01G0055900-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DTPRRSARLSEKVKATESPEGEKTPKRGRSSSKRGKRQEKEDAEDANEAGEGGASEEGKGTDVEMKDAENAEDEKKEAPSADAAEKTEEGEDKKEEAPAVDAAEKTDEKEVAPAVYAAEKTEQSTEGQAQPNNVAAPESEIKGDGKPAESESAPPAIVDEEKKEEKIENGQAAEPAVPSLASSEGEKKENGGATEPAPLVAETKADAPPPAEAEKGAENPGQVNTAPQEPTAANCDNKGQIQPGASAV >Sspon.04G0007400-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4A:21044315:21044578:-1 gene:Sspon.04G0007400-1A transcript:Sspon.04G0007400-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQRVGARCFARVVANKPAFVQLNINGNFISDEGINEVKEILKAGKKSLDVLGSLDENEPDGEPDDEEEDEDVVDNEDELDSKLQSVK >Sspon.02G0036830-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:31708365:31714002:-1 gene:Sspon.02G0036830-2C transcript:Sspon.02G0036830-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEGGGASGSEEVVQLEDAVELLVEHLVAPVLPRGQVDREEALSLETQEAVARQVHATVLLYNYYHRKQFPQLEFASPDRFCLSASLTVSNKNLLMYLNQAQNCLGNGVGAELSVTNKAIIEACDIAEALDPMKDSPEITMWPISKVAVLLLNRTKKTPGVDPVISDHALKSQNEKVTEKSGGITGNMNVQKYATLQLLQKMRDDTLREHFVLGDQSAEYEMDIQTILTETEMTPKVTSS >Sspon.01G0013110-1P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1A:93132259:93132851:1 gene:Sspon.01G0013110-1P transcript:Sspon.01G0013110-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPAEADAAAAAAAAAAVSHRRPTAAERRRMYRDLALSLRCGLRDAAAGFSFLRLRGLRALLRALRSAADADARGLFRDSQSIRDLQGKSLVPTGLGFAVIPVLFEHNLRKAAATGAGDAVLTVAQVLGMEPAAARLRNPATDSEVVLALRVLEGCCLLCPACAAAAHRYNAVK >Sspon.06G0001170-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:3922035:3924048:1 gene:Sspon.06G0001170-1P transcript:Sspon.06G0001170-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASSETSKTILQSEALYKYVIDTSVLPREPDCMRDLRLVTDKHERFYMQSSPDEARLLQMLIKLTGARRTLEVGVFTGYSLLATALALPDDGKVIAVDVSREYYDIGRPFIERAGVAGKVDFREGPALEHLDALLADEGNVGAFDFAFVDADKPNYVRYHEQLLRLVRVGGVILYDDTLWAGMVALPPDAPLSEFDRRTSAVMRDLNAMLVADERVDVCQLTIGDGVTICRRLV >Sspon.01G0000150-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:1484556:1487576:1 gene:Sspon.01G0000150-3D transcript:Sspon.01G0000150-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MESFLRKFFPDVYHQMKGDKDVSNYCRFDSELLTVFTSSLYVAGLVATLFASSVTRRFGRRTSILVGGTVFVLGSVFGGAAVNVYMLLLNRILLGIGLGFTNQSIPLYLSEMAPPQYRGAINNGFELCISIGILIANLINYGVEKITGGWGWRLSLSLAAVPAAFLTVGAIFLPETPSFIIQRGGGVASGNINEARLLLQRLRGTTRVQKELDDLVSAASEASRTTTTRRPFRNILRSKYRPQLVIALLVPFFNQVTGINVINFYAPVMFRTIGLKESASLMSAVVTRVCATAANVVAMVVVDRFGRRKLFLVGGVQMILSQAMVGAVLAAKFKDHGGMDKEYAYLVLVIMCVFVAGFAWSWGPLTYLVPTEICPLEIRSAGQSIVIAVIFLVTFLIGQTFLAMLCHLKFGTFFLFGGWVCVMTLFVYFFLPETKQLPMEQMEQVWRSHWFWKRIVDADAGEEEEQGQRRPRRGEAAAAAAAGTIALSAT >Sspon.04G0022290-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:9571366:9574898:1 gene:Sspon.04G0022290-2C transcript:Sspon.04G0022290-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSRSQYNRRGSDGDEDEIVAVSSDSEESESEANRGAEADDDEYVGESSDAGGGDEAEEAGSSDCGEGGDVDGDDHDGHGRRPLRGLRRGAAAPDKERKSQNVDALVRGNLVVRRQPLIPRILSVSDAAAIARKPFKPPCQNGYSDNNEQLARRLSARKRFVPWGSTQTFAVIHHLPQSPVVASDSSSEKEEPLPTGIEPLILWQRDECEKENCNSASIEVDHLLVRYLRPHQREGVQFMFDCVSGSLSADGFDDKPMVKRAVIVTPTSLVSNWESEIIKWLKGRVQLLALCESTRADVLSGIESFLKPLSHLQVLIISYETFRMHSSKFERPGSCDLLICDEAHRLKNDQTLTNKNDLEEFFSMVNFTNPGVLGDASYFRRYYEAPIICGREPTASAEEKKLGSERSAELSAK >Sspon.02G0028200-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:102358029:102362051:-1 gene:Sspon.02G0028200-1A transcript:Sspon.02G0028200-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAIISAIIGDVVSRAVSLLIGRFSNNQESTEDKLQRLRLLLIRIHSVVEEAKGRQISNHGTLQWLSELIDGEYQGCYLLDSVGCSGGQQEVDRDDDDKVIPQVSTLSLFNPARRIRVAAGYTIRLHHDDIDISVEEIERVLQRLQGMSHDLREFILLLQDCKPVRRPLATSIFRDGQMFGRHVEKERIINFLLHEDDDQATAELSVLPIVGGSGVGKTTLVQYACDDARVRSHFPVIMLYSFSSTYDVKKNEGTCSDDPLELLNGNTFCDKHKRYLVIFEDVDMHRKQMLEEFLRRSSCSKVGIIRMIITTNNRRVANIIGTVEPIMLKPLPCPEYWFFFKAHAFAGGDVEDNPRLISAGKAIARKLNGSFFGAKIIGGVLRDHPDPKLWCKVLRSSIGGMSPLGDGISYISDLADSLLPGHVDMCHVTLSKDPSFPSQRTQQLAMFKDLHGAVPHDSMLACWADDIRK >Sspon.08G0003980-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:11665079:11671537:-1 gene:Sspon.08G0003980-1T transcript:Sspon.08G0003980-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRRAPWLAGGLVAVAVQLLMVAVRGRFVVEKSSVRVLAPEHIRGHHDAAIGNFGVPDYGGTLTGVVIYPDKKATGCDEFDAKFKAKSRRPVILLLDRGECYFALKAWNAQRAGAAAVLIADSVDEQLLTMDSPEASAGTEYVDKINIPSALVNREFGESLKKMAQKVASGDGAGEEVIVKLDWRESMPHPDERVEYELWTNSNDECGPRCDEQMAFVRGFRGHAQLLERGGYARFTPHYITWYCPEAFRLTQQCRSQCINHGRYCAPDPEGDFGAGYEGKDVVVENLRQLCVHRVANDTGRPWAWWDYVMDYKIRCSMKEKKYTKTCAEDVVTALGLDLKKVLECMGDPEADADNAVLSKEQEDQIGSGSRGDVTILPTLVINNVQYRGKLERTAVLRAVCAGFKEGTEPRVCLSHDIETNECLHRNGGCWRDEATNVTACQDTYRGRVCECPVVNGVRYEGDGYTDCKPIGPGRCALNNGGCWSETRGHQIFSACTETTLTGCRCPSGFQGDGHKCEDLDECREKLACTCPGCQCKNTWGNYECKCKGNQLYIRGEDVCIANNMSKLGWFITIAAVACVVGIGVAGYVFYKYRLRSYMDSEIMSIMSQYMPLDSQNNENQPLRQHDSEALRH >Sspon.08G0009590-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:41256764:41260743:-1 gene:Sspon.08G0009590-2C transcript:Sspon.08G0009590-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGALCDFCGEQRSMVYCRSDAASLCLSCDRNVHSANALSRRHTRTLLCDRCASQPAMVRCLAENASLCQNCDWNGHIAGSSAAGHKRQTINCYSGCPSSAELSRIWSFVSDIPNVAPEPNCEQGISMMSISDSGVSNQDNAAGDMLDIASATLISDLGTCDKPLVGSSSGAGVNLLPLATDQTAGSVDSTPDKVPYTPDKDMFSKDSIYEDFCVDDVDLAFENYEELFGTSHIQTEQLFDDAGIDSYFEVKEAPAGNSTE >Sspon.08G0010880-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:47883500:47884612:-1 gene:Sspon.08G0010880-1A transcript:Sspon.08G0010880-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTTSPLLLLLAAVAVAMLVVTPVSSADLPSGFASITSKIPNPWSAFQNLTGCHFGEQQQGLAKVKDYLSHFGYLPESSGFNDIFDADLEEAIKVYQRNFGLDVTGVMDASTVAQMMAPRCGVADIINGTSTMGGAASGASSSHVHGRNLFTYFPGSPAWPRSQKSLTYAITQTSLTSIDRATLSQVFARAFARWSAATTLNFTETASGKDADITIGFYAGDHGDGEAFDGPLGTLAHAFSPTDGRFHLDAAEAWVATGDVSRASSDVAVDLESVAVHEIGHLLGLGHSAEPSAIMYPTITSRTRKVDLAEDDVAGIQNLYGGNPNFKGVAPPATSSRDMDSGAGASSRAWSALVGAVAMAAGLVVVAL >Sspon.02G0054290-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:111868700:111869859:1 gene:Sspon.02G0054290-1C transcript:Sspon.02G0054290-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding FLGYSPPS >Sspon.07G0003680-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:8480100:8481398:1 gene:Sspon.07G0003680-3D transcript:Sspon.07G0003680-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKTVVLYPGLAASHFIPMMQLADVLLEEGYDVVVALIDAVMEHNIAFAAAVDRVASSKLAAVTFHTLPRIHDPPTVTNDVNLLLGYFEMIRRYNEHLREFLCSIPPRSIHAVIVDSWSDAALDVTDELGIPAYSFFASNASALAVCLQLPWARRAEGQPSFKELGDATVNFHGVPPMPASHLIHEVLEDPNTEIYKAVVNSLAKNLEAGGILVNTFASLEARAVAALKDPHFLTESGLTVPPVYCVGPLVEDAAETKEKHESLKWLNEQPEHSVVFLCFGSLPEGFLERTRGRGLVVKLWAPQVEVLRHKATGAFITHCGWNSVLEAIMAGVPMLCWPLYAEQKMNKVLMVEEIGIGVDLAGWQHGLVKAEELEAKVRLVMESEEGERLRARVTVHKEAAAMVWKDGGSSHMAFGQFLSDVGRVEPDLILG >Sspon.01G0036400-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:18732583:18735683:1 gene:Sspon.01G0036400-2P transcript:Sspon.01G0036400-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAAGVGFQLIGAAAATFLAAVLVAAVLGRRRRPRPRPQAPPVEGKPAPEAGCAVGDGGTDVIIVGAGVAGSALAYTLGKDGRRVHVIERDLTEPDRIVGELLQPGGYLKLIELGLEDCVEEIDAQRVLGYALFKDGRNTKLAYPLEKFHSDVAGRSFHNGRFIQRMRQKAASLPNVQLEQGTVTSLLEENGTVKGVQYKTKSGEELKAYAPLTIVCDGCFSNLRRALCSPKVDVPSCFVGLVLENCELPHPNHGHVILANPSPILFYPISSTEVRCLVDVPGQ >Sspon.07G0006080-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:15738552:15742625:-1 gene:Sspon.07G0006080-1A transcript:Sspon.07G0006080-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCCRSPAAAAREDVKSSHFPASAGGGKKKPHQARNGAGEKKRLSVLGEEGCDVGAGIEEKYALDRELGRGEFGVTYLCMDRGTRELLACKSISKRKLRTPVDVEDVRREPENFLFANKKENSPLKAIDFGLSIFFKPGEKFSEIVGSPYYMAPEVLKRNYGPEIDIWSAGVILYILLCGVPPFWAETEQGVAQAILRGNIDFKREPWPNVSDNAKDLVRQMLQPDPKLRLTAKQVLERSCPFSVSVITEHPWLQNAKKAPNVPLGDIVKSRLKQFSRMNRFKRRALRVIADHLSAEEVEDIKEMFKVMDTDNDGIVSYEELKSGIAKFGSHLAESEVQMLIEAVDTNGRGALDYGEFLAVSLHLQRMANDEHLRRAFLFFDKDGNGFIEPEELQEALMEDGGADTMDVVNDILQEVDTDKDGKISYEEFVAMMKTGTDWRKASRHYSRGRFNSLSIKLIKDGSVKLGSE >Sspon.07G0005350-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:12515187:12520560:-1 gene:Sspon.07G0005350-2B transcript:Sspon.07G0005350-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAFLHRLKRLDAYPKVNEDFYKRTLSGGIVTLVAAVVMLLLFISETRSYFYSATETKLVVDTSRGERLRVNFDITFPSIPCTLLSVDTTDISGEQHQDIRHDIEKRRLDSHGNVIEARKEGIGGAKIERPLQKHGGRLDKGEQYCGTCYGAEESDEQCCNSCEEVREAYKKKGWALTNPDLIDQCAREDFVERVKTQQDEGCNVHGFLDVSKVAGNFHFAPGKGFYESNIDVPELSVLEGGFNITHKINKLSFGTEFPGVVNPLDGAQWTQPASDGTYQYFIKVVPTIYTDIRGHNIHSNQFSVTEHFTDGNVRPKPQPGVFFIYDFSPIKVIFTEENRSLLHYLTNLCAIVGGVFTVSGIIDSFIYHGQKALKKKMELGKYR >Sspon.01G0021920-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:83661931:83665196:1 gene:Sspon.01G0021920-2B transcript:Sspon.01G0021920-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVDAKAAGASTSTSTVAAAPAAAADHLFVVVLDGVETPIHEGTTLHGSAGGTVTVTGPGQLSADGLRSSVLVRGSGGGEGGTGTVRFTLCADAAAEGVGAASFERCGAARVEGAREVSVSRCRAAEVERAGRVTLDRCRDARLRGGGALRAARCRRADVESFGGVRLARCRAARADWCGTVEVELCRAVDVTRCGAVTGERCRVVNAAGCGSVDVARAVINLVEEEQPYPAQPAPRRRLASASRRHHRHRAVAMPPLAAGDDFLILEFIAGNRRIPQAVFDSLLACLSSPSASPRTSQRLRQALVLRALDAALHTEGASCSSSLLLCKARKVLADPDAAACFPHQISVTDNEENDEARTAAAVADLKRLLDLEWANLPPSTLERAADRIAGDGAHQTWAAADHTKRAKLRLLVGESTEREILSKLVQDGSASHQPIVTEVAANAGNANEADGARRDDEAHSCNENSEADRGQEGMAGHQNASWDSSDDDQPVRKRKLHPNERKSYSSPTCPHKIRKKWSEIEEKTLLEGVEKYGKGNWKDIKLAYPDVFEERSTVDLKDKFRNLERHHHESA >Sspon.01G0034700-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:68847302:68848884:-1 gene:Sspon.01G0034700-3D transcript:Sspon.01G0034700-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RMPAGHGLRSRTRDLFARPFRKKGYIPLTTYLRTYKIGDYVDVKVNGAVHKGMPHKFYHGRTGRVWNVTKRAIGVEINKQVNGRIIRKRIHVRVEHVQPSRCTEEFRLRKAKNDQLKADAKARGEVISTKRQPLGPKPGFMVEGATIETVTPIPYDVVNDLKGGY >Sspon.06G0000500-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6B:895210:895496:1 gene:Sspon.06G0000500-2B transcript:Sspon.06G0000500-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AAYGVTAWLRITKDPKAADVGDFVPKRSACLVAKSKFRATKPDDQARKVLLKKLGLEEVETEKPDEASFEVFQQTFTTPRSLGTREAMEALFPGR >Sspon.02G0032760-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:98851413:98863194:1 gene:Sspon.02G0032760-2B transcript:Sspon.02G0032760-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIASERAVVVFTLSSCCMCHTVTRLMADLGVNALVHELDSDPRGKDMERALHKMLGGRGPAVPAVFIGGKLVGGTNRVMSLHLACELVPMLKSAGALCSTKKKAMAERVSRLSTEKAVVIFTTSQCPMCHTVSSLFYELGVCAAVHELDKDPRGREMERELARRLGRAPPVPAVFIGGKLVGSTDKIMSLHLAGKLVPMLKGAGSCYSVVLRDNHQCVENSMRQNCPICYEYLFDSLQGTRVLNCGHTMHLTCFEEMVEHNKYTCPICSKTALDLTRHWEILDQEIEATIMPPVYRYKIWVLCNDCNKVSEVNFHVIGHKCSHCSSYNTRSTSRPADSSGSSSPSTDSSDNNM >Sspon.05G0014280-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:50676064:50680896:-1 gene:Sspon.05G0014280-4D transcript:Sspon.05G0014280-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLPSDPGPGARAMAKQFKVLLPRSFHTLRISDELAGCFNADDGGGEGAREPTALVVSPFGKVWRVEVGRDGDGAFLGRGWAEFLAAHGVDLGWFVVLRHEGGGALTVKVFDTSMCIKEFGAPAAAEFEILPARFVKDYIREECLNSRTAIILSPLGKFWRIELENDQSGIFFTDGWSQFLDFHGISNGDVLLFRYEGNMVFKFKAFGLSGCQKDFRNQNAGIQLRARSTAARPVLDPGQSTPVFKTDGSIPPGRGPGTARSGLNEQRLNTEKQQETPSPIRKRRSNDEKLSSEGNKRPKSSGTFPSLKEPYQIGTSSWIRKKINTYALERLLSLSKKFCNWIGFKMTCTITLKTKMDSTRSWQVHGAAYKNYRYIVGEGWKRFCQENRLKTGDLCTFNIIETTLWHVTITRSTLADTFKQKKSPYISSRDHQTKKGCLSSDGTKRPKSSMTSLSKVPSSTNSVYDIGPPSWIRKEMNTYSIRKHLVRMPLAPTFCNLIGLPKHCTITLKTSINSNNSWWVGGRKDSSYIIGQGWKKFCRENKVKVGDVCTFNVIEPKLWHVVITRLEASIGASGPGGGRVGTRAPASGAARLRLAEPLAAGHWVVSGALVLGRRPLGTGSKEPGARSQAPGAESLAPG >Sspon.02G0016160-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:44310127:44310954:-1 gene:Sspon.02G0016160-1A transcript:Sspon.02G0016160-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GLDYLHKGCNLPIVHRDVKTNNILLGQNLQAKIADFGLSKTYLSDKQTHISATAAGTAGYMDPEYYHTGRLTESSDVYSFGVVLLEVATGEPPLVPGHGHIIRCVKQKIATGDIGSIADLRPRNAYDISSMWKVIDTAMMCSADSAAQRPTMATVVIQLKESLALEETREDSSIRASRGSDIEAM >Sspon.05G0007110-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:5A:22211366:22213627:1 gene:Sspon.05G0007110-1A transcript:Sspon.05G0007110-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MYAKCGEVNDARRVFDGIACPDTICWASMIAGYHRVGRYQQALALFSRMEKMGSVPDQVTCVTIISTLASMGRQDDARTLLKRIQMPSTVAWNAVISSYSQSGLESEVFGLYKDMKRQGLMPTRSTFASMLSAAANMTAFDEGQQMHAAAVNHGLDANVFVGSSLINLYVKHGCISDAKKVFDFSTEKNIVMWNAMLYGFVQNELQEETIQMFQYMRRADLEADDFTFVSVLGACINMDSLDLGRQVHCITIKNSMDADLFVANAMLDMYSKFGAIDVAKALFSLIPGKDSVSWNALIVGLAHNEEEEEAIYMLKSMKFYGIAPDEVSFATAISACSNIWATETGKQIHCASIKYNVCSNHAVGSSLIDLYSKFGYVESSRKVLAHVDASSIVPINALITGLVQNNREDEAIELFQQVLKDGFKPSNYTFASILSGCTGPVSSVIGKQVHCYTLKFALLNQDTSLGISLVGIYLKCKLLEDANKLLAEVPDHKNLVEWTATISGYAQNGYSDQSLVMFWRMRSYDVRSDEATFASVLKACSEIAALTDGKEIHGLIIKSGFVSYETAASALMDMYSKCGDVISSFEIFKELKNKQNIMPWNSMIVGFAKNGYANEALLLFQKMQESQLKPDEVTLLGVLIACSHAGLISEGRDFFDSMSQVYGIVPRVDHYACLIDLLGRGGHLQEAQEVIDQLPFRADGVIWATYLAACQMHKDEERGKVAAKKLVEMEPQSSSTYVFLSSLHAAAGNWVEAK >Sspon.07G0033850-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:66517086:66517838:1 gene:Sspon.07G0033850-1C transcript:Sspon.07G0033850-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding HGGARRRRRHAARGRGRGVHVPAVPGDVRVHLPRRLLHRLPWLEPAAAPRRGRQLLHLALPRHARRAARPPRRAAVPLRRRQRRRHHHPQPPPRAPGGGAQRGRRGPGARLQHGLLRRGPRALPPAAAGRGPVHRAPPGHALRPRHLPPRRRRGRGRAAAAGGARGGHERRAERVDARRHAPARLAAGRQALRPALAAVLRRVHGRQGRPRAGLVRQDGRRLLRVLRRRRRRPRTRVGVGVVDCRHRRRDS >Sspon.08G0030610-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8D:63249446:63250697:-1 gene:Sspon.08G0030610-1D transcript:Sspon.08G0030610-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding PVRCPPRVRRVDLHLLPLDASELPSHDRDPFIVRWEALRRSAHLLGPLIAGAAPRVSAVITDVTLTSYVIHIAKELGVPCHVLFISCATMLSLNAYFPLHLDKNEKAEQHEQGLAGSVGDVDIPGVRRIPRSCLPQPLLDLNKLFTKQFIDNGREIVNADGFLVNTFDALEPAALAALRDGKVVPGFPPVYAIGPLSSQQHNNSAAAKVEKEEDSSPVAWLDKQPARSVVYVAFGNRSAVSHAQIREIAAGLEASGCRFLWVLKTTKVDRDDSAELTDVLGEGFLDRLQQGQHGLVTKAWVDQEALLKHPSVGLYLSHSGWNSVTEAAAAGVPLLAWPRGGDHRVNAMVAVSGGVGVWMEHWSWDGEDWLVTAEEIGKKVKEVMSNVAVRARATRTGEEAAKAVAEGGTSYRSMQQF >Sspon.05G0019030-3P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:76658099:76658737:1 gene:Sspon.05G0019030-3P transcript:Sspon.05G0019030-3P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKDCGNHGDDDLRRSCRRLLAILLVLVLLVAIIALIVYLVLRPTHPRFFLQDASLRQLDLSNSTSPTASVLSTTLQVTVASRNPNDKVGVYYDRLDVYASYKYQQITVAASLPAVYQGHGDVDVWSPVLSGPDVPFAPYLADALRQDCQAGYLILQVKIDGRVRWKVGSWISGHYHLFVTCPAFLVTTGGNGAPGASGFKFQTTTYCHVEV >Sspon.08G0019490-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:12887001:12887771:-1 gene:Sspon.08G0019490-2D transcript:Sspon.08G0019490-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAVGELLASVVLKEVFGKLASLTFNTTWNEIARQLNFSEDLKSITDRLSRIQSVLKEAERQSSKLGTDVRDRDTVKRLKAAAYDLEDMLLLFESWTTAHHESEGLRNTKNYNIM >Sspon.07G0005080-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:12119679:12120109:1 gene:Sspon.07G0005080-1P transcript:Sspon.07G0005080-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAHNGRRVGMVGDVRDAPAGHENDLEAIELARFAVAEHNSKTNAMLEFERLVKVRHQVVAGTMHHFTVQVKEAGGGKKLYEAKVWEKVWENFKQLQSFQPVGDAAAA >Sspon.05G0011690-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:25714891:25720789:1 gene:Sspon.05G0011690-3C transcript:Sspon.05G0011690-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLTTESNNNGGDGGFLANCREQLCSALYRLRCTVVGFVGKLAKIARDDPRRVAHSLKVGLALTLVSVLYYVTPLFNGWGDSVIWAVITVVVVMGFTVGGTLSRGLNRIFATLVAVFLAVGAHMAANLCGENGEPILLSVFVFLVGTVGSDVLAVHPGAEGQVRLRRDDFHTDLRHGGCVELPRGRAPGVRARAGHTIAVGVAICLFTTVFIFPIWAGEDLHKLAAGSLDKLAEFLEGMESECFRENSPCENLEGKAFLQVHPQFEGQSGKLISLWIVSSLSLLLSTNLLPCKTKSKNLFQLYHPNGSALLPSGSLFTENSVPPTRGANIKKLGTLCRQCASSMEALASCVVVLKKSQYPEANPDLCLKIRATCGAMSLHSAKALRGLSLAVRTLSDMSTAAKAASDFRTQLSEDAALLQVMHAAVVASLLSDVRYITTVESYGYA >Sspon.05G0021380-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:60523007:60525664:-1 gene:Sspon.05G0021380-1P transcript:Sspon.05G0021380-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Double-stranded RNA-binding protein 1 [Source:Projected from Arabidopsis thaliana (AT1G09700) UniProtKB/Swiss-Prot;Acc:O04492] MDGGGVASPDAAICIAPGPGPIVAVAPAGIRVENCYVFKSRLQEYAQKAGLPTPEYHTLKEGPSHEPVFKSTVLVNNTTYESLPGFFSRKAAEQSAAEVALMEIAMSAPGAETRSIPAVQETGLCKNLLQEYAQKMNYAIPSYICTKQASGVAPFICTVEIGGIQYIGAAARTKKEAEIKAARTALLAIQGQSEGCANGAKKYIVVPGQRPVKETDKKPIETPKPLKVKKRGSRRKWNKRKIMGMADQIVDAEKHVEEGLGMLLHYDYEEARRIESELSRDTAMVQFNKEVIMLQPGEGDRAVQPESPRDPAKVQHNNEARSVEQDPLSNAKVVMPNNEAITIEHESVSAYGALQSNGDSTDVKLAPSNASLMQGEELETTMQEAPHTGERVQPN >Sspon.06G0004010-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:10643821:10645930:-1 gene:Sspon.06G0004010-3C transcript:Sspon.06G0004010-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVTSGGRSEAADELLEEALLAAGNGSGSGGDGDGEEDLEEIRSVGSFLRHAADENRKLWYLAGPAIITSITQYSLVAITQVFAGHLTTLELDAISTANNVIAGLAFGIMLGMGSALETLCGQAYGAKQLHMLGVYLQRSWVILNAMAVLMLPLYLFGTPILRLFHQDAEIADLAGRLALYMIPQLFAYAFNFPIQKFLQAQSKVMAMAVVSAVALVLHIALSWVLVGPMRMGLVGLAVALNASWWLVVLGQLAYILMGYCPGAWNGFDWLAFSDLFGFARLSLGSAVMLCLEFWFYMFLIVIVGNLENAQVAVAAVSICTNLFGWQIMVFFGFNAAISVRVSNELGAGRPRAAKLAILVVLMSSVAIGLAFFILVLAFRDVYGAPFTDSPEVVRAVASLGVVFAFSLLLNSVQPVLSGVAVGAGWQWLVAYINLGCYYLVGIPIGYLIAFPLRGGVQGMWGGMLTGVGLQTLILVAITLLTNWDKEASEAHSRIQKWGGSAAAKVSHD >Sspon.04G0037810-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4D:78390209:78390535:-1 gene:Sspon.04G0037810-1D transcript:Sspon.04G0037810-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKDVAPAVNGHSHRVVAVPAAVNRHSRRMMPTVTLSSGHRMPAVGLGVWRMEKTAVRRLIHAAIRNGYRHFDCAGMPACLMIDSYSQEGTIYSLVWHDFSTGFRAI >Sspon.01G0013750-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:38423006:38424366:-1 gene:Sspon.01G0013750-2C transcript:Sspon.01G0013750-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVTRGAASLPLVLLLLLVVFLAAPSPADGCDRCARRSKATYQASSLALHAGSCGYGALAASFNGGFLAAVGPALYRGGVGCGACFQVPCSEGRSCGRRRQRQLMPTAIALFSRCANLDSKHGLALQVRCRDSELCSAAGAKVVVTDQARTTTSNRTGLVLSAAAYAAMATSAGKAARLRDRRVVDVEYKRIPCEYAKDRNLSIRVEEKSRHPSDLSIRFLYQGGQTDIVAVDVATVGSSNWRFMTRDHGPAWSTAQAPAGPLQLRLVVTGGYDGKWVWAESEVLPRRWEAGRVYDTGVQVSDVAQEGCYPCDTHEWQ >Sspon.07G0013880-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:49935312:49937463:-1 gene:Sspon.07G0013880-4D transcript:Sspon.07G0013880-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLIEQRSAYLQEQINQPAASPEEYSRANKEFRKLESTMELIKELRSKQEEIEGLKSLVTNAREEKDMREMAAEELLEAVEEEKRLQHELFRSLLPKDEADERDCILEVRAGTGGEEASLFAMDIFRMYEKYAQKNGWKFDVIDIMESAVKGYKEASGTISGPGVFGKLKFESGIHRVQRVPVTEKSGRVHTSAVSVAVLPQADEVDVQLRNEDLRIDTYRSGGSGGQSVNTTDSAVRITHIPTGTVVAIQDERSQHMNKAKALKVLRARLYELERHRLHMDRSKLRSEQIGSGDRSERIRTYNFPQGRVTDHRVGITHHSIADVMEGESLDVFIDALLLQEEMDAIASFGA >Sspon.04G0013640-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:54140970:54145578:1 gene:Sspon.04G0013640-3C transcript:Sspon.04G0013640-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plastidic type i signal peptidase 1 [Source:Projected from Arabidopsis thaliana (AT3G24590) UniProtKB/TrEMBL;Acc:A0A1I9LMR3] MSSVHFFPASSQAPVPARLLKTSPKPPLPCTRTLPTTAFQSVGGNCNAWRCPYLRLRRRVRTPAAPADAPPVGPDGGSGGGGAGGGSGGEDEEEEGEKKEKGLLPEWMNVTTEDAKTVLAAVAISLAFRTFVAEPRFIPSLSMFPTFDVGDRIVAEKVTYYFRKPCVNDIVIFKSPPVLQEVGYTDNDVFIKRVVAREGDVVEVHEGKLVVNGEARNEEFILEPPSYDMNPVQVPENSVFVMGDNRNNSYDSHVWGPLPAKNILGRSIFRYWPPGRIGGTTKGCFNPELNPETKPGSLIDVKLTKIV >Sspon.05G0010920-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:33605790:33609114:-1 gene:Sspon.05G0010920-1T transcript:Sspon.05G0010920-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MYAAFVDVSPEELQQKNLQSSLETVDVKHDSTDSESKEDTEEKVPTNGATFKPNEDAARGPTESNSSTDSVIGNSGPMLSIETIEKMMEDPAVQKMVYPYLPEEMRNPDSFKWMLQNPMYRQQLEDMLNNMGASPDQWDNRMVDHLKNFDLSSPEVRQQFAQVGMTPEEVVSKIMANPDVAVAFQNPKIQQPSWT >Sspon.07G0005920-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:15499526:15504327:1 gene:Sspon.07G0005920-1A transcript:Sspon.07G0005920-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVVVVTGSSDHRWRRKGSSGHVVAQLLESPLPTPRRSCCGRSADTPAARRGCADASPQRGHVPFKWESSPGVPKSAAAAGGGKAEREVPLPKPPPGRCGTCHAARARARAYHHHHSNTTDSSSDQQDDDDTFSDALDRISSSDRLAALSARLSAIDGAVFGSRRSPSFIMDRFLPAANAIATTSADKHPRRPSPRRSSKSQSKRDREAEAEAAARARFVTHIRRRALAFEQPKHPPPPQCQREDAAAQAQLPPCANEEAQGEQMTPRACGFMFFVPWSAKPVLLGFQRSPARSRTPRGDVASVTTASSPPRRSVTLGDVLEKDRNLRDGGLSHWYDEKSGSGKEWANPGWGMALLGTSKRYCADARKALSRLTRSGMDSGDSPRIGRERSSSKHKQAASMLRSTSVKMPPLSPPSESWLSNARRSNAGNGRAAESGQPEDDQQKVTQQAQQMKL >Sspon.08G0018170-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:6362594:6365916:1 gene:Sspon.08G0018170-2D transcript:Sspon.08G0018170-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPLVSTSGLWTVLGQASNVAQLVGVDALGLVSMVVQAALAARRHRDACRRLAQHVDVVGGLLRELELAELMRREATRRPLEQLHDTLRRCYALVTACQDCGYIRSLFLGARMAEELRAVEQEIDMFIRLVPLIALVDTTHERRVTAAEAVPVLIMNGSSHHHVRFPRSVEDFTRIQVQGAPKIYNVAKQSFAGAMDLQGQKILDTGELLELCTHTEESCSGFRKFEFSQILNATDTFSEDRNVGWGGFAKVYKGELPDGLMIAVKRLNEHAAVYDFSNEFLLARLEHINLVRLLGWCIHGKERILVYELMHKGGLHQFIFDKVKGPLLDWSKRLNIIKGLAEGLVYLHKHSKFCIVHRDLKPENVLLDHGMNPKISDFGSAVTLSSDASEGHTSRVVGTCFGVLALVVISGRKNIILEHQGDTVGNLVRDAWQLWHDGRLHELVDPILGDGFELAEVKQYAQVALLCTQEDPADRPTMSDVTALLNFESISLLADPKQPSELNNGGATGDKLSTYVNQSSRTINITITSSAPVSTKVCIIVEPET >Sspon.06G0007200-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:42889676:42892526:-1 gene:Sspon.06G0007200-1P transcript:Sspon.06G0007200-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SMPGRTPSSTPPPAAAANPSHNPRRKRKPRPKTAPPSALNPNWAQLQSKLPHRPAATHLGKRKHDGGPPAPAEPSPPPAVQEVKLEPTSDDTSLTKAVAIDCEMVGVGSDGSKSALGRVTQVNSFGNVVYDEYVRTVERIVDYRTRISGIRPKHMNTAKEFWAVQKEVAELIKGRILVGHALHNDLQVLLLSHPKKDIRDTSEYEVFRRERKRRSLKDLAAEVLGAKIQQNEHCQIEDARAAMFIYNKHKKAWEKNVKEQFRFKKKLKKRGKKKTTESNGNDPNVPTVLL >Sspon.02G0041160-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2C:76498865:76501690:-1 gene:Sspon.02G0041160-2C transcript:Sspon.02G0041160-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLLRIRRLLQLLLLTSAAAATSAAIYLPGHGHDHDDERALAAFKAKISGHPGVLDSWNQSTSYCSWEGVTCGKRHPWRVVALNLTSQGLAGTISPAIGNLTFLRLLDLSYNTLQGEIPPSIGSLRRLQSLYLGDNTLTGVIPSNISRCISLREIVIQDNKGLQGSIPAEIGNLPALSVLALDNNSITGTIPSSLGNLSRLAVLSLPRNFLEGPIPAGIGNNPYHNWLQLSANNLSGLLPPSLYNLSSLYLFAAAVNQLHGRLPSDLGKSLPSIQQLEIEDNQFTGALPPSLTNLSRLQSLDAGFNSFIGIVPSRLGRLQNLEEFTLDGNMLEANNEEEWEFIGSLANCSRLQYLAFGWNRFSAKLPGTLVNLSTNLQFLQIPNNSISGAISSDIGNLAGLETLDFGNNLLTGVIPESIGKLTRLQQLGLNSNYLSGHLPSCIGNLSSLLQLDAGSNSLEGPIPPSIGNLSKLLALLLSNNNLTGLIPNKIMELPSISMFLDLSKNMLEGPLPLEVGSLVNLEQLSLSGNNLSGEIPDTIGNCRVMENLLLNGNSFQGIMPATFKNMAGLTVLNLTDNKLDGSIPSNLATLTNLQELYLGHNNLSGTIPELLGNSTLLLRLDLSYNNLQGEVPKGGVFKNLTGLSIVGNNALCGGIPKLHLPKCPSFRARKNSKGIPKFLLITIPTIGSLILLFLVWAVFHHRKSKTASKKDLPPQFAEIQLPIVPYNDILKGTDGFSEANVLGKGRYGTVYKGTLENQAIVVAVKVFNVQQSGSYTSFQAECEALRRVRHRCLLKIITCCSSINHQGQDFRALVFEFMANGSLDRWIHSNLEGQNGQGALSLSQRLDIAVDIVDALDYLHNGCQPSIIHCDLKPSNILLNQDMRARVGDFGIARVLDEAPSKHPVNSSSTTGIRGSIGYIAPGNSSFFLFHASNNIIYPY >Sspon.06G0032500-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:86561073:86566528:-1 gene:Sspon.06G0032500-1C transcript:Sspon.06G0032500-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPAPARWRRGGRSLPRLLQRALLVAAFSAAALIVLILLQHRHGPNPPNPSAASRARDSSDELLDDSPPAERDPEAGGSGVAADGSTCATVERMGEEAAGAGRGSPEQASLRVREMIRRHFELRGAERVRTLPAHEFCKQGFVLGKASEAGFGNEMYKILTAGALSVMKHRCARTYGRDLNIRVDIFENPAETNVLCSDWNNWKDPIIWFDGATDAVGIQFFLKNVHPRMKASASALFGLPDSLYARPNTFGELMRAIISPSSKVQEAVDWALKGANPDIVLHTRMMANRPVRARKAAVLCIKRALQISHIKGTPLVALVSDTPAFVKEIKSDISEFAEVLYFDYKLFAKTSGLEIAGNDKPLDFRSRDWGSAPRWVAFVDFFVAAQARYAVVTGAHRRVGTTYAQLIAALAAANRHGREPSGTNFTFLSSVHSNLLVEGLSTQVGWGHIWNRYAGPLSCRHQPHQCALTPLLPPAWWDGQWQSPIPRDVRRLLEYGVQLSNTGEVDERRLVSYCRSRKDHVKRYHVLPPYKNPARS >Sspon.02G0036410-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2B:24736125:24736643:-1 gene:Sspon.02G0036410-1B transcript:Sspon.02G0036410-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding IFLATKTVAAIKTLDPEGARRRRRGERKRRGASDPEEGARGGSFPTYLVRAHGSTTGSPDGPWIRHSGRPHAAVEVEEDAPGWRRPPRRWICASSHGSTTGRIELPWIHHAPPSIGRMEDAEAKEEGKGGGSGGRREREQARLAGCRRVLLPTGVATDSGAMEPSRRAGARL >Sspon.02G0051690-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2D:71713802:71715610:-1 gene:Sspon.02G0051690-2D transcript:Sspon.02G0051690-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMSLLNRYRKKWRSHGLSIWVLRDMDTQECVFKHKSLLSFLSVLTSRVDGLTLTLATRCSLSAGRKGAYIASSKKVIDNAHCHRLNRYRKKWRSHEPSIWVLRDMGTQECVFKHK >Sspon.02G0018980-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:11238935:11241648:-1 gene:Sspon.02G0018980-1P transcript:Sspon.02G0018980-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATMSLRSLAMAMADTALSPAHKLLPTVSLPLLSSSTHAAPLLLRARRRQPLAPLVASSDAVEAGVEWADEEEEEEGGEVFDEEVGEEVLASGDEGEGEYAAVEPPEEAKVYVGNLPYDIDSEGLAQLFDQAGVVEVAEVIYNRETGQSRGFGFVTMSTIEEADKAIEMFSRYDISGRLLNVNRASPRGTRMERPPRQFAPAFRAYVGNLPWQVDDSRLTQLFSEHGEVVNATVVYDRESGRSRGFGFVTMVSKEELDDAISALDGQELDGRPLRVNVAAERPQRGF >Sspon.05G0005850-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:20335224:20335943:-1 gene:Sspon.05G0005850-4D transcript:Sspon.05G0005850-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GMNDRGSGGGGEAERWPWWAAASAAQAAAGVAWFRHGRGGTAVAMPFKAFAIASLFVGAGATAAAAGVLAAGVGQVEEMKGVGASIRRWMGAPPRRAGGSD >Sspon.01G0047040-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:93313203:93314570:1 gene:Sspon.01G0047040-2C transcript:Sspon.01G0047040-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRLDVLLGRTTKQTARLKSLLGLAVTRLGVVRGHRQVRCGQARGDVEQLLRLGHTDRALARAEHVVREQNALDVLAELEAYCTLIVERAALVDAHRECPEELREAAAGLVYAAARCGDLPELQEVRSILAAKFGREFVSAASNLRSGCGINAKIVQKLSTKQPSLESRQLVLKEIAAEKGIAVRIYEPPCDDSGRSNHNHRKTKHDDDERIRRTPPVDDRDEDISGDSAQRYKDVEAAAQAAFESAASAAAAAKAAMELSRGEPRGPGDRRRTGRMQIDPEIEKQDEVLDDGKKSEKIGHDRSYSSEVEIMPEDEANHGNVAVTELKQREPARGKPASVRTKWGF >Sspon.08G0012640-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:54030129:54034487:1 gene:Sspon.08G0012640-1A transcript:Sspon.08G0012640-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGRLAPQLAVQLLELHLQQLEVLGQDLVLLCLFHSQTEDLIKNSFDNSRRSVFWREDTIMSSKGREKTGFPQNLDTKHPLNLESKRRNARKLVGGKSGKSCRSYNEKLLRIGVPQKDGFKAFVNVSHPYFFCKDNATLPSTTKQVTGYVIDVFETAMEKLQHPPCYDFCVFDGSYDELVGNNLDGAAGDVTITANRIGQVEFTVPYTQSGVSLLVLSESDLEPIQWTFLAPLTKELWFATVGFFFFTGFVVWVIERPRNPEYQGSSLRQFSSALYFIFSTLTFSHERLHPTVTSLDQLLFNGDYVGYQHNSFVYSMLRDRGFSKHRLIPYSREDEYADALRKGSMNGGVSAIMDEVPYLTSFLFSDARYQNEFQIVGHIYKTPGLGFIEEKWFGTAATLPAVFNTPSTPLTLQSFSGLFLTSGFIYSLMLLIRIMRLVHARWTELRHGDADRMDNTPGDEECRKLQDGASNIPMLDHPYGLTLILKPVTGITNKLVAEVVMTKSRAFRDPS >Sspon.04G0023420-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:16203209:16204231:-1 gene:Sspon.04G0023420-2C transcript:Sspon.04G0023420-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSPSEFSKEAARQSLIAISQSIPETPSPQTVKTPISSAENGKLGDGADKFRSKLMSITDLSSDAQPAQCPPKDLLGKTRVSRQFWPRVLPNANHAEPRPHHASGGGGGAETLRSDSSSSEVRAGHRHKQLRIAVIHPHLRIGNVSPSLLAIAHFRSARSDGRDLPTRVI >Sspon.01G0031470-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:107956827:107960520:-1 gene:Sspon.01G0031470-1A transcript:Sspon.01G0031470-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dolichol-phosphate mannosyltransferase subunit 1 [Source:Projected from Arabidopsis thaliana (AT1G20575) UniProtKB/Swiss-Prot;Acc:Q9LM93] MEAAAGRKRAYSIIVPTYNERLNVALIVYLIFKHLPDVNFEIIIVDDGSPDGTQDIVKQLQQVYGEDFVLLRARPRKLGLGTAYLHGLKHASGEFVVIMDADLSHHPKYLPSFIRKQKETGADVVTGTRYVKNGGVHGWNLMRKLTSRGANVLAQTLLQPGASDLTGSFRLYKRDVLEDLISSCVSKGYVFQMEMIVRATRKGYHIEEVPITFVDRVFGISKLGGSEIVEYLKGLVYLLLTT >Sspon.04G0028940-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:69170773:69173104:1 gene:Sspon.04G0028940-1B transcript:Sspon.04G0028940-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleus-encoded chloroplast protein, Chloroplast development, Biogenesis of chloroplast ATP synthas [Source: Projected from Oryza sativa (Os02g0152900)] MPTMAAPAVSAPSSLRISHQGMIGGGQGWGGRCRYGSRPQRAIRGATVSARASMNITCCANQTQTAQRKSYSGPTSPPSGSVKEKVKPRLDDGGVGFPPFRFGGGGGGGGGGGSSSSGGFILFVIVLLLDYLKEFERNLQNGPRRGSDYDDGFAPQ >Sspon.02G0024850-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:85545394:85550564:1 gene:Sspon.02G0024850-1A transcript:Sspon.02G0024850-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRWPCMMLNFLLSISGSMLLWMRFDFSGKGNGEKDEETDEKKRLHVVSKLHAILRPFLL >Sspon.03G0022880-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:92501508:92509791:-1 gene:Sspon.03G0022880-1P transcript:Sspon.03G0022880-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARDDGGALVPAPAPAPAPAPGQVYLPAWRRTYDRLVKMLRQAYRQAEELSVEREHLITEMEFLQGSRREREEIYQVRIQKIYKDQELCKRVADAETAVSLGGKELQIHCYQNLAELAEDDLEDFKSCISNLAAENTELKEKLKKLESQVETSTENSDHQKSGKDVREEIRKLKKAYKILRSEKDKEISELQAENKFVWNQLKTMEKDYSGTLKSKNVEVKQATEAAQKKDDEIVRLQVEVTNAKERMSILEDELQKLRCLVKGKDLETDKNEDGQPETSRLSKKDTNKANRKSKSTRTSQVTPDISRTSQVTPDRREVKTTTRMRASETNQKRKRSSFKSSLSCGNQRCYTRPLQVKAGVSPMLLPPNFTVPRLKTPTHP >Sspon.03G0006690-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:18533959:18538822:1 gene:Sspon.03G0006690-1P transcript:Sspon.03G0006690-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVGLGWIRTVQFHPACFTRPTLPQLAIAEDTSKHAVRLRLLQPKPRSQIRRVAEDGDDAMSRALLSHVLHCPPHFAYTWSGVGARGGLLASGIHPLRRLNCSAVEAVPGPTEEASAPPARKKRVVSGVQPTGLVHLGNYLGAIKNWVALQDLYETFFFIVDLHAITLPYEAPLLSKATRSTAAIYLACGVDSSKSHVRAHVELMWLLSSSTPIGWLNRMIQFKEKSRKAGDENVGVALLTYPVLMASDILLYQSDLVPVGEDQTQHLELTREIAERVNNLYGGRKWKKLGGRGGLLFKVPEALIPPAGARVMSLTDGLSKMSKSAPSDQSRINLLDPKDVIANKIKRCKTDSFPGMEFDNPERPECRNLLSIYQIITGKTKEEVVSECQHMNWGTFKTTLTEALIDHLQPIQVRYEEIMSDPAYLDNVLLEGAGKAAEIADITLNNVYQAMGFLRR >Sspon.01G0040200-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1C:31858477:31859413:1 gene:Sspon.01G0040200-2C transcript:Sspon.01G0040200-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGTCKYSQAAALVKIGTWGSDDHGSAYDITVAPQRLESISLRWGKIIDCISFSYRDRDGKLHTAGPWGGTGGVSEDTITLGASEYVTEVAGSVGPIGELTHTITSLKFVTNRATYGPFGRGDGTAFNVPVLNNGSVVGMFARADQYLDAIGFYILPF >Sspon.08G0005970-1P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8D:16051901:16052095:1 gene:Sspon.08G0005970-1P transcript:Sspon.08G0005970-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGEIKLHGLGSGVHESVHGWLAEVTRLAPKERSGRSRDKLMAPAAPVLDEIVTVDDVAVAATAV >Sspon.02G0054740-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:118826903:118828756:1 gene:Sspon.02G0054740-1C transcript:Sspon.02G0054740-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LAVIYTIYNNTHRGDRSWAQLLAASVVMLVAGTLRYVERAMALQKANLDSMQEDASSSSSKDDFEMLKCRIRRTKRQGRSLRDGEALLLAQDLFPIWRHALVDSSVDPASISPRQAASEMILSEWDWESMCKVAEMELSLIYEFLYTKAILARTWHYYLIRFLSPLCTAAAAFLFCLWHQQQQQGVRVSFVRITYALLAITFLMDVAWLLRALGSTWAYAYFQAAGRRWWCSIHRVVVRLDPLQLFCRDPVSHRRWSGTIGRYNLLDECTATPRSRPEWWPVSMPGDDRPKEMRYLSKLPQCVKKVLFERVTEILQQATNTEEKQVGQEKEKNMYYTRMDMRTRWGHKAFSRAPDEVKNKFKETNVDPFGEEFEQDVLLWHIATCMVLVLPDIRHGQKGRRRILAWAIEVMSEYMMFLVAVRRQMLPGLVLHSQLQVTRKKLVEIWDGGKRTEILPLNEKSNMNNKEKLAMILRRLRTEEPVASEGQLIYQIEGNEGTRLLARAVDLYFSLSGDKRPAVESRAAPWSPPLLPDAMLEIIFNVWVDKLVYAAVRCSREAHAEQLSAGGDLTTVLWMLIQHAGPFCIGEAENIYFVRPAPLPPPPKEKPKKEEPAPPPAK >Sspon.08G0010070-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:38761344:38762482:-1 gene:Sspon.08G0010070-2B transcript:Sspon.08G0010070-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ATLKALILAILGFAFCCAALAARDLNNNSAMVARHEQWMVQYNRVYKDTTEKAQRFKVFKANVKFIESFNAGGNRKFWLGVNQFADLTNDEFRATKTNKGFKPSPVKVPTGFRYENVSVDALPATIDWRTKGAVTPIKDQGQCGSCWAFSAVAATEGIVKISTGKLISLSEQELVDCDVHGEDQGCEGGLMDDAFKFIIKNGGLTTESSYPYSATDGKCKSGSNSAATVKGYQDVPANNEAALMKAVANQPVSVAVDGGDMTFQFYSGGVMTGSCGTDLDHGIAAIGYGQTSDGTKYWLMKNSWGTTWGENGYLRMEKDISDKRGMCGLAMEPSYPTE >Sspon.02G0009850-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:27463726:27467588:1 gene:Sspon.02G0009850-1A transcript:Sspon.02G0009850-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAVVDAGDAPEPTVRNLLDQESLKWVFVGGKGGVGKTTCSSILSVLLAGVRQSVLVISTDPAHNLSDAFQQRFTKFPTLVRGFTNLYAMEIDPKVENDDLSNEGMEGFLSELTNAIPGVDEAMSFAEMLKLVQTMDYSVVVFDTAPTGHTLRLLQFPATLEKGLEKMMELKNRFGGLLNQASRLFGLGDELNEDAMLGKLEGMKDVIEQVNRQFKDPDLTTFVCVCIPEFLSLYETERLVQELAKFEIDSHNIIINQVIFDEEAVESKLLKARIKMQQKYIDQFHMLYDDFNITKLPLLSEEVCGVQALQNFSQHFLTPYESALKRGTVEELEERITLLKSALQEAEAELDRVRKGKQSA >Sspon.05G0032520-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:18520830:18522549:1 gene:Sspon.05G0032520-1C transcript:Sspon.05G0032520-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPTAAPSSGGTPADADATAPTNTTVTLPPLTLRDVPRLPSALASASASPSVPNPISRHPYFHPPATFYISPGDVTLRHAFFDLASASPSPLVAYRRAGPRGDLAVDPAAARAALVTCGGLCPGLNTVLRELVVGLHELYGVRHVFGVAAGYRGFYGADEDHVRLDPAAVDDWHKKGGTVLKTTRGGFDLGKIVDGIVARGYTQVIWFPNCVEIAQQAIDAAHVEAVSAVNGVGLVKLMGRSTGHIALHATLSSRDVDCCLIPEVDFHLEGKGGLFEFLYERIKKKGHAVIVVAEGAGQELIPRTDDQKREQDESGNIVFLDVGPWLKSELGRWWKREHPNELFTVKYIDPTYMIRAVPANATDNLYCTLLAHSAIHGVMAGFTGFVPGPVNGTYSYIPLEDVAVAKNPVDVNDHKWAW >Sspon.06G0028660-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:9474440:9474625:-1 gene:Sspon.06G0028660-1C transcript:Sspon.06G0028660-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding YAHFCNGTGRPFLPSLFYSSVRKWLLSDPSRVLLAAIARQIGRQRRQVVVRACEASYLRWKL >Sspon.04G0027450-2D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4D:64513081:64513386:-1 gene:Sspon.04G0027450-2D transcript:Sspon.04G0027450-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHTAYYTPTRGSQRQPYVVTSLHPTTATEVYYIGPALGLGGCEIPLAEGEGPCADYALLGLFSVAALLTGLFHSLASCVLTAESDSTGLEKLPRGSVGCRH >Sspon.02G0013230-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:28942906:28943391:-1 gene:Sspon.02G0013230-2D transcript:Sspon.02G0013230-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHEFGCNKASANAPLGYSADSDGICDSGRHCSSEYQPDEIVFGPGVQLEETNKRPKNLMGEVSDRVTRMEIDGAAQASDFSPGSGDGSDVDDPAAKHGTDLEVKDVLEAEAAAVALEDKDHEDSDEYAAFSAGMFKAAMHSIL >Sspon.02G0028190-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:98583890:98586538:1 gene:Sspon.02G0028190-2B transcript:Sspon.02G0028190-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAACRATAAVVRLRRRCRNDSSSLALVYLAAILLAAATAAALSDAEASDIARRQLLSLREGDGDLPDDFEFDIHVDVTFANNHLRRAYVALQAWRRAMYSDPKNFTGGWVGADVCSYFGVTCVPALDDARTTVVAGVDLNGGDIAGYLPAELGMLTDIAFFHINSNRFCGIIPKSFSRLALLHELDVSNNRFVGGFPNVVLQIPVLKYLDLRFNDFDGTLPPHLFDKDLDAIFVNSNRFVGFIPENFGNSTATVVVLANNAFVGCIPRSVGRMADTLDQLMLLNNRLDGCIPPELAELINSTVVDVSGNALIGTLPEGLVNMTRLEQLDVSRNQLAGAVAERVCKLPALANFSFAHNFFSVEAEACMPSEDSPVALDDRGNCLDGGRPEQKPSLECAPVLAHPVDCRTNVCSKGPAPPAKKVVPRPADVSPQPLPPAPSPEKQPPKKSPPPRPVSPPPPPAPISSPPPPVKSPPPPAPISSPPPPIKSPPPPVPVSSPPPSPSPPTPVKSPPPPTPVNAPPPPVKSPPPPPVPVRSPPPPVKSPPPPTPISSPPPPVNSPPPPAPVSSPPPPMKSPPPPAPISSPPPLVKSPPPPAPVSSPPPPVKSPPPPAPVSSPPLPVKSPPPPTPVSSPPPPVKSPPPPAPVNSPPPPVKLPPPPAPVISPPPPMKSPPPPAPVSSPPPPTKSPPPPAPVISPPPPVKTPPPPAPVSSPPPPTKSPPPPAPVISPPPPVKTPPPPAPVSSPPPPVKSPPPPVPVSSPPPPVKSSPPPPTLVRSLPPPIKSPPPPAPISSPPPPPVTSPPPPTPVSSPPPSVKSPPPPAPISSPPPPAPVSSPPPPAVPSPPPPAPSLTPPPAPEEFILPPIMAQQYASPPPPQFQGY >Sspon.01G0001230-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:3692925:3701074:-1 gene:Sspon.01G0001230-3D transcript:Sspon.01G0001230-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dicer-like protein, miRNA biogenesis, Reguration of developmen [Source: Projected from Oryza sativa (Os03g0121800)] MVDVLVMTAQILLNILRHSIIKMDAIHLLILDECHHAVKKHPYSLVMSEFYHTTPKDKRPAVFGMTASPVNLKGMALLSVSFTAIWIGVTSQEDCAIKIRNLESKLDCVVCTIKDRKELEKHVPMPFEVIVHYDKAATLLSFHEQIKQMEAAVEEAALSSSKRTKWQFMGARDAGSRDELRLVYGVSERTESDGAANLIQKLRAINYALGELGQWCAYKVAHSFLTALQNDERANYQVDVKFQESYLKKLTEGAAMKSDSNDVEMHNSENPKPNELEEGELPDSHGEHVDEVIGAAVADGKVTPRVQALIKILLKYQHTEDFRAIIFVERVVTALVLPKVTLLVATSVAEEGLDIRQCNVVIRFDLAKTVLAYIQSRGRARKPGSDYILMLERGNLSHEAFLRNARNSEETLRKEAIERTDLSHLDGTSMLSPVGTSPDSMYQVESTGAIVSLNSAVGLIHFYCSQLPSDRYSILRPEFIMQKHEKPGGSTEYSCKLQLPCNAPFEKLEGPICSSIRLAQQAVCLAACKKLHEMGAFTDMLLPDRGSGEGEKTEQNDEGDPLPGTARHREFFPEGVAEILRELDAEVLSTTMDLFVARTIITKASLVFRGPIEITESQLILLKSFHVRLMSIVLDVDVDPSTTPWDPAKAYLFVPVGAEKCMDLLREIDWTLVNSIVNSDAWNNPLQRARPDVYLGTNERTLGGDRREYGFGKLRHGTAFGQKAHPTYGIRGAIAEFDVVKASGLVPDRGRGHFNDYQNQGKLFMADSCWDAKDLAGMVVTAAHSGKRFYVDSICYNMNAENSFPRKEGYLGPLEYSSFADYYKQKYGVELIYKKQPLIRARGVSYCKNLLSPRFEHSEAGNGEFSENLDKTYYVYLPPELCLVHPLPGSLVRGAQRLPSIMRRVESMLLAIQLKDIIGYPVPANKILEALTAASCQETFCYERAELLGDAYLKWVVSRFLFLKYPQKHEGQLTRMRQQMVSNMILYQYALNKNLQSYIQADRFAPSRWAAPGVLPVFDEETRDSEPSIFDEEFNPSSELQKNSYDDYEDSMQEDGEIEGDSSCYRVLSSKTLADVVEALIGVYYVAGGKIAANHLMKWIGINAELDPQEIPSSKPYSIPESIMKSINFDALEGALGIKFQNKGLLVEAITHASRPSSGVSCYQRLEFVGDAVLDHLITKHLFFTYTDLPPGRLTDLRAAAVNNENFARVAVKHKLHIREFVKDVQVELLKPGFNSFGLGDCKAPKVLGDIFESIAGAIFLDSGCDTSIVWKVFQPLLDPLVTPDTLPMHPVRELQERCQQQAEGLEYKASRTGNVATVEVFVDGIQIGVAQNPQKKMAQKLAARNALVVLKEKETAAKKDTEKDGEKKNGSQFTRQTLNDICLRRQWPMPQYRCINEGGPAHAKRFVYAVRVNTSDRGWTDECIGEPMPSVKKAKDSAAVLLLELLNRNYRDKPDGK >Sspon.04G0011940-1T-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4A:37835185:37838363:1 gene:Sspon.04G0011940-1T transcript:Sspon.04G0011940-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQLMVAVGLALCLIIISMYAFQLIADACRRLPPGPLPLPVIGNLMAVGRGNPQRSLARLAERYGPRLGVVPAVVVSSADAVREILQKHNAELADRPVLDAWHAHGHRSNSVISLPPHVRWRALRKLCATELFAPSRLKALQPLRQHKVEELVRYVSERAALGEPVAVREPLFTASMNIVSRTMFSVDLDSAGLRDTVQEAAVLAAKPNVSDFFPAIAAADLQGVRRRMEPLVAHSHQLLDEVFAQRLLEREAGEPPKNDMLDAVLDKEHEWQQKGAASIINRSTIKGLFTDMLVAGSDTSSTTVEWAMASLLENPEVMEKVKRELTRVVGTRAEVQESDIAQLPYIQAVVKEVLRLYPVVAMTYYRAEATVGVQGYTIPKGATIILNIWAVHRNADVWPDPHKFMPERFMDDGNNITADFSSKDCKLIPFGGGRRICLGMPLAYRTVHLILASLLHHFDWTLPEEARQNGIDMTEKFGMVISMATPLKAIAKKRIQIVLDAKVERMIEYDAPESKSTDADSPKTYQS >Sspon.07G0010930-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:36484209:36489537:1 gene:Sspon.07G0010930-1A transcript:Sspon.07G0010930-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MCKGQLLLLLLLCAAGATTASANTNQQDEAALRSLMKRWKNVPASWGKSNSPCSMKWDGILCDGNGRVTSLNLFGMSMSGTLSDDIGSLTELRILDLSSNRDLGGPLPAAIGNLVKLEYLALIGCSFSGPVPSELGNLSQLKFFALNSNKLTGSIPPSLGKLSSVTWLDLADNQLTGPLPTSRDNGTGLDQLLNAEHFHFNRNMLEGSIPDSLFNSSMHLRHILFDRNRFTGPIPASIGVIPSLEVLRLNNNGFMGPVPVLNNLTKLHVLMLSNNKLSGPIPNLTRMGWLENVDISNNSFDPSNVPSWFSDLGSIMTLTMQSVGLYGQLPQKLFSFPQLQHLVLSDNELNGTLDMGNNISTHLDVVDIQNNKITSVTVYNSFNKNLMLEGNPLCNDSLLSDTNPCTGLQTEAPPQPLLFDVQCAYPFTETIVFRAPSFANVLEYLPVLEKNLSRKLSSCTPNRLGLIPYSNEDAYLNVDIKACPVNQKKFNYSQVLNCFNLTLQTYKPPEEFGPYYVKAHHYPFHDKTSRAVLIGIVTGSVLLVVGLTLVAFYAVRQKKRAQRLVSINDPFASWGSMGEDIGEAPKLKSAKFFTLEELKLCTNDFREINAIGAGGYGTVYRGKLPDGQLVAIKRSKEGSMQGGLEFKTEIELLSRVHHKNLVGLVGFCFEKGEKMLGYLDPEYYMTQQLTAKSDVYSFGVVLLELIVGKPPIHNNKYIVREVKMALDKEDGMHCGLKDVMDPVLQKMGGLPGFPRFLKLALQCVDEVATGRPSMNSIVREIEAIMQDNGLTVGSMSTSSSFSIESRTMKVGPKLPYSSASTSTSTFDIDSRAFEYSGGFPSSHGSLKP >Sspon.04G0018780-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:70478499:70483132:-1 gene:Sspon.04G0018780-2B transcript:Sspon.04G0018780-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGGEDVRKVSRQDIQLHVSPHGKTVLQVQNLIERCLQLYMNQKEVVNTLSFQAKIEPSFTELVNQNVPFLPDTIPSTAMQDNLLRNGGSSSIVNGAPSNDQFIYAGKVVHGLPGGMDASSSLLAAHNSTVGQLNGHNGTTIKIESGYSSNSDFGFGNENVFLEQSVGDVSGGSFSSSELNGQPLGDPILDMDSSSYGFLSQIPRNFSFSDLTEDFSHSAVACVQKLAIGKELHPVCSRVGNTQNSPPKKGSLVLGDVLADDLGRRDAEEVPGLSWHGALDHEHPEVVVDLDDLELPDLGLGSSHPPGHLLPLVHTPRRRPGSDGTQLPVALGTVRHQPALEVVPLDATCRSMPQPTARERIKNRLNMVG >Sspon.01G0045830-3D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:81245363:81249214:-1 gene:Sspon.01G0045830-3D transcript:Sspon.01G0045830-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKAMLHATEAFEEARAMGVMMYDRPDCPHQQYEVESSSHTGAQSTHKVTASFQTAFQVDMEVSAAVKKAFVQLANSPDSAKREEFKELLWKISQNPDLTETGENSEDKQQLVDCSNEDTSVLKLNKENISSSCGSSDFNTTKVQESIDVVNIMLERLKALHEEELASLAVIVATSGLNAALQNERSKYHETGAENNIGAGSLRSQARRYSTAASFIGVQGPNKEVTSELPSLDKFLVKHLSKLEREVQEAREASRKSTSVKSVTQGAHSQFTGSNAKAPESTSDLSSILVKHVSKLEKEILEAKKINERIHQVEGSCKDVKSNDKQLEFNKIQPEAENNCDLKGSCESKGSCKDSNHIKDNYDCVQEDKENKNWYSRQLPPSGAKGKQGGKRLTRVEAARLEALKSFCTIDGNTLDAGLDKIFIKPIHRLEKEKREAREGQIDVQKHPQKLGQSATVTEGLDDILVKHVSRLEREKIDYQKKDALGEGWTNVQKHPQKLGQSANVTEGLDDILVKHVSRLERDKIDYQKRNALGEGWTNVPHDQRTNDNNCKSSDSLDQVLVNHFRSDLSETRLQT >Sspon.08G0005390-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:16781441:16783905:-1 gene:Sspon.08G0005390-2C transcript:Sspon.08G0005390-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LSSSVHRARRKYAAALLSPSSLHHLSVPGQFAAQLGGEEGVATGDDRAVVVLLVSPFGKVWRAELRLAGGGGAGSSWQLGGAWAEFAAAHGIGAGWSVVFRLERRGVATVKAFDAAGCLTRFCTPHADKNNIHHSNVAICATLATVSFVCPFFQKIPDKFVQEHLTGSCSSTQKAMVFSPLGKFWHVELDRSQSGVLLGDGWAQFLTAHNLSEGNILLFRYEDNMVFTVEAILHNGYSKEHGAAAADMIDDMIVIGPSTVLQQGDKELGVSPVKKKKKTRNESTRVDVYHGKPNLSPISAKKAVSQKKLVCTMPCHSLTKRVTGFDLTRLFSLKGSFCSSVGLLEACEITLKTSVGNTRSWSVCFKNAINYGYLSGPGLKRFCSENNLKEGDCCTFRVIETTVWHVTIVSS >Sspon.01G0022720-3C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1C:53668008:53669516:1 gene:Sspon.01G0022720-3C transcript:Sspon.01G0022720-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTVAPAMMPTEDLEAAIAELPAKKGALREAFDSLAANSPYPLPFTWEDLDAHVSSVESSISRRFSQLRALEAARPARTSENNEVGEEEDVEEEEEEVVEEEEEEEGEEEEEEEEEEVEEEEEEVDMQMQEADGTIGNQAKKYKEGGEDNKRDAGEENTMRDADEEAVTTKVSAGQGKEAEGEEELDGYAWWDGEEQDTEEEEMVEKMTKKQRRGRRGLPAGGIKEFAEACGRMDAGTLVEFVICVSVNKKLLFALHLAPDPAALVLQVVKLLLSSKDFKCSKVWGKCIRLFRWLSMNSVKHSADTTEQAKLVAKDWKEMIGRPERCGELDSLARGLLQFLISYNIVSEFNIHEIISIFAMVPRKGQQKKKNNTDNVKLCEDLGLADRATATR >Sspon.05G0015750-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:62610732:62615941:-1 gene:Sspon.05G0015750-1T transcript:Sspon.05G0015750-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATTLALLVTLAALHGAAVVLAGDPPFSCGPSSAEASEGLAFCDVTLAPAQRAADLVSRLTPAEKIAQLGDQAAGVPRLGVPGYKWWNEALHGLATSGKGLHFDAVGGVRAATSFPQVLLTAAAFDDDLWFRIGQAIGREARALFNVGQAEGLTIWSPNVNIFRDPRWGRGQETPGEDPAVASSYAVAFVRGIQGNSSSSLLQTSACCKHATAYDLEDWNGVARYSFVARVTAQDLEDTFNPPFRSCVIEGKASCIMCAYTAINGVPACANTDLLTGTVRGDWGLDGYIASDCDAVAIMRDAQRYAPTPEDAVAVSLKAGLDIDCGSYIQQHATAAIQQGKLTEQDIDKALTNLFAVRMRLGHFDGDPRKNMYGALGAADICTPEHRNLALEAAQDGIVLLKNDGGILPLDRSTVTSAAVIGPNANDGMALIANYFGPPCESTTPLKGLQSYVNNVRFLAGCNSAACDVAATDQAVALAGSEDYVFLFMGLSQQQESEGKDRTSLLLPGMQQSLITAVADASKRPVILVLLSGGPVDITFAQSNPKIGAILWAGYPGQAGGLAIAKVLFGDHNPSGRLPVTWYPEEFTKVPMTDMRMRADPTSGYPGRSYRFYQGKTVYKFGYGLSYSTFSRRLVYGTSVPALSSTVLSSLRETMTEDGDRSYHVDDIGTDGCEQLKFPAMVEVQNHGPMDGKHSALMFLRWPNTKGGRPASQLIGFRSQHLKAGETANLRFDISPCEHFSRVRADGRKVVDIGSHFLMVDNHEMEIRFEA >Sspon.01G0020150-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:80413396:80414289:-1 gene:Sspon.01G0020150-2B transcript:Sspon.01G0020150-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTGVRPGRRFTVGRSEDATHPDTIRAAISEFIATAIFVFAAEGSVLSLGKMYHDTSTAGGLVAVALAHALALSVAVAVAVNISGGHVNPAITFGALIGGRISLVRAAFYWVAQLLGAVAATLLLRLATGGARPPGFALASGVGDWHAVLLEAAMTFGLMYAYYATVIDPKRGHVGTIAPLAVGFLLGANVLAGGPFDGAGMNPARVFGPALVGWRWRHHWVYWLGPFLGAGLAGLVYEYLVIPSADAAAPHSTHHQPLAPEDY >Sspon.02G0035740-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:21434564:21438521:-1 gene:Sspon.02G0035740-1B transcript:Sspon.02G0035740-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSSPMYIKLFPLQLPGAADLATKGLLERKISMGSYANGSTRADDDPPSVEKLKEPAFPLKTMPLHANGWLNDMKISSPTAIRVNIGNNVAFDPIYRAWTKKYPSALNAFEKIVAYGKGKKIALFLDYDGTLSPIVDEPDHAVMSDQMREVVRSAALHLPTAIISGRSCDKVFDFVKLTELYYAGSHGMDIMGPNKEMKIFQAASEFLPMIDEVFRLLVDKVRGIDGAKVENNKFCVSVHYRNVNEKDWPLVARCTDDVLKAYPRLRLSHGRKVLEVRPVIDWNKGKALEFLLDSLGLADSDKVLPIYIGDDRTDEDAFKVRTVLREDKRGFGILVSSVPKESHALYSLVDPPEYSESKEAYISYRAVMRKAHLSLIWRHRLRFISEMIKPS >Sspon.01G0059410-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:51198100:51199843:1 gene:Sspon.01G0059410-1D transcript:Sspon.01G0059410-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AGSSNTIDGIMNGVIKEKLKIVPKDLKWVQISNAVYYALVNDFMKPRIDEASELPRLFSIGMNSVEGDSGIDELLSYGVNVTVYNGQFSVLMNVVHVFTYLKLDVICSTDGAEAWVQKLKWDGLKTFLSLPRQSLYCGTSKGTKAFVRSYKNLHFYWILGAGHFVPADQPCIALSMIGSITQSPA >Sspon.06G0025510-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:79873975:79878448:-1 gene:Sspon.06G0025510-2C transcript:Sspon.06G0025510-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Long chain acyl-CoA synthetase 2 [Source:Projected from Arabidopsis thaliana (AT1G49430) UniProtKB/Swiss-Prot;Acc:Q9XIA9] MSVPETFTVKVGEATPAAGGRPSTGPVYRSIYAKDGLMELPQDIQSPWDFFSGAVKKYPTNRMLGRRQVTEGKAGEYVWQTYEEVHQKVMRIGSAIRSLGVEPGAHCGIYGSNCPEWVMAMQACNNQGICYVPLYDTLGANAVEFIMDHAEISIAFVQESKIKAILAAVPKCTAHLRAIVSFGDFTSEMKTEAEKLGVLCFSWEEFSSTGKQDCKLPNKCKEDICTIMYTSGTAGDPKGVIITNRAIIAGVMTTEHLLKETHKVITEEDSYFSYLPLAHIFDQVIENYCISKGASIGFWLGDIRYLMEDVQVMKPTIFCGVPRVYDRIYTGINMKIQSGGMIAKHLFQAYNYKLANMRKGLKQHEASPFFDKIVFSKIKEGLGGRIRLMIAGAAPLPGQIEEFLRVTSCSVVVQGYGLTESCAGCFTSIANVFSMIGTVGPPVTTIEARLESVPEMGYDALSDIPRGEICLRGHTMFSGYYKRPGLTEEVFSDGWFHTGDIGEWQPNGTMKIIDRKKNIFKLSQGEYVAVEVLERAYMQSPLVALVWVYGNSFESFLVAVVVPERQALEEWAAANNKAGDFAELCIDPKARSYIQDELNQTGKKLGLRGFEMLKAIYLEPMPFSIEKDLITPTFKLKRPQLLKYYKDRIDQMYKDAKEGRTAP >Sspon.01G0032960-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1A:111641506:111642778:1 gene:Sspon.01G0032960-1A transcript:Sspon.01G0032960-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASATAARPLAGAAVVQLPLSARPRTARVALLPLAPVPGQRLALGRTGQDRLPARGRSVRCLAALSPEMRATLDKVVGSSKVVLFMKGTKDFPQCGFSHTVVQILRSLDVPFDTLDVLSNEALRQGLKEYSSWPTFPQLYIDGEFFGGCDITVGLEVSLKAMENANA >Sspon.07G0001900-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:3578986:3580180:-1 gene:Sspon.07G0001900-3D transcript:Sspon.07G0001900-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMALSSSLRALVALMPPALPSARPNGAAAAPAPRARGRPGRGAGVVALAAALPSDAQWLERLPEKKKPLYTHSLPCIEAWLRSLGFTQSREDPAVWVAEKPLWHARLSLDVTDLHIRYLKSGPGNLEKDVERRFSYALSREDIENAILGGP >Sspon.01G0051250-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:12649210:12650350:-1 gene:Sspon.01G0051250-1C transcript:Sspon.01G0051250-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RRHGTCGNGADDHELPLFHPSPCPHYYVQSPSAASHTLSHPASDSMALILSPSRTSTTTRTPPLQRRPRPGPGPRDHEEASRLTLSRYSSSRGSNSSFPVGDKKPGRRRQVLRVVSGRSSGHHDDGDDDDADSEAQRSGAWRYVKLDPEAPCCCIAFQVAWRVAASAALALLVFVLATRPRHPRCPSGSARAGRLGRGDQLPQLQLSVDMVIDNYSKVFTLRVAPPQLEMSFGRFVFAISQAHGWSHDVVPRGTSTVRLFVAAQDKPMYAAGRGPARVQPRPATHHQVVGSLVRLTYRHDSECVVLLRRTPKRSNGISAAAGRATTTCSALS >Sspon.05G0007000-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:15799842:15802253:1 gene:Sspon.05G0007000-2B transcript:Sspon.05G0007000-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADENQAGKKEEEEFSTGPLSVLMMSVKNNTQVLINCRNNKKLLGRVRAFDRHCNMVLENVREMWTEVPKTGKGKKKALPVNKDRFISKMFLRGDSVIIVLRNPN >Sspon.05G0019140-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:67504859:67507849:-1 gene:Sspon.05G0019140-1P transcript:Sspon.05G0019140-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYVFSNAAVARSFTAYLGTAVGVDAPSQWRITVRGLPGGFNQVDLVAVAVILLVTVCICYRCAAVISHLVMSGSIMCHRSTQSFPALLPSMAASTKESSVVNMVLTAVHVAFILFIIGMGFRHGDARNLTRPADPSRSPGGFFPHGAVGVFNGAAMVYLSYIGYDAVSTMAEEVQRPARDIPVGVSGSVVLVTVLYCLMAASMSMLLPYDAIDPEAPFSGAFKGRERCAWVSNVIGAGASLGILTSLMVAMLGQARYLCVIGRSGVMPAWLARVNPRTATPVNASAFLGVFTAALALFTELDILLNLVCIGTLFVFYMVANAVVYRRYVGSASEPGGGARWPTLAFLLVFSLAALAFTLAWKLAPPEPRGVRAGLLVACAALAVAAVAAFQALVPQARVPELWGVPGMPWVPAASVFLNVFLLGSLDRPSYVRFAIFSAAALLVYVLYSVHASYDAEESGRLGVDGGGGKVLDEACTVV >Sspon.02G0002300-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:7040027:7043318:1 gene:Sspon.02G0002300-3C transcript:Sspon.02G0002300-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDSSNQAGAAAKPKPKPPPLPLSVRLQLAGLTAAIDAVERRDGTVNRCLYGVIDRLLSARANPRPDASGVRSFDFTMDASRGIWARVFAPVTADRPLPVVVYYHGGGFALFSPAIGPFNGVCRRLCAALGAVVVSVNYRLAPEHRWPAAYDDGVDALRFLDARGGVPGLDDDVPVDLGSCFLAGESAGGNIVHHVANSWAAAWQASARTLRVAGVFPVQPYFGGSSSSASTSPAASRCLGAVVVSVDYRLAPEHRCPAAYDDGVDVLRHLENAGLPDGVAVPVDLTRCFLAGDSAGANIVHHVAQRWTTAGVASSSSPPRSSPVRLAGIVLVQPYLGGEERTDAEVRLDGKVPVVTVRGSDWMWRAFLPEGADRNHPAAHVTDANADLTDGFPPAMVVIGGLDPLQDWQRRYADVLRRKGKAVRVVEFPDAIHTFFFFFPELPDCAWLVEAMKASLRGPGGSNVDLLGPIDPKNACRVEVTVNLYFSTVHGKKVYNRGRDVGWVVDSEKYSVINLEKDIASHFTWDNNQQANFWVVNGMHWTCKLNSDGQLRSLLRASQLVKFVMIVGNRVEGDEPPAVNMDGEGMPNEVPVVEEKMEFEGFEWADVPKYGETIAGPPMPEEEEKEHFMTIGLEVQKRKRARSVLDFDSECVHDDESTMIDDYITPFTTHDKENPVINEGNIFGDKDEFIMTLRTYAIKNEFETRVEHSDKERYRA >Sspon.08G0015140-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:60730050:60745401:-1 gene:Sspon.08G0015140-1A transcript:Sspon.08G0015140-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RLYLLLPKASRRKCSLDLCPKKTNNIYRRFSPRSEENYVETNQVGELHEDCAYANNSDEYEYVLVRKAPPVQQPHQSSSSAFVQPNTFPAASFMQRPHSSPIPTTIVVQPPQSSHIPTTTIVQPPQSSHIPTDPLKVCKPKEASCKTKKASHGTAAAAAATSGSIAPAISLTEKALKHLNKMRAERNEDLCLRIGVKQGGCSGMSYTMEFESRANASPGDSVVEYDGFAIVCDPKSLLFMFGMELDYSDALIGGGFSFQNPNATKTCGCGKSFATGKETEAAATACNN >Sspon.03G0019260-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:59751281:59753372:-1 gene:Sspon.03G0019260-1A transcript:Sspon.03G0019260-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPEAAESSRTSQKAEPEPEPQRKWVALLSAPVILDDDDDDRDMMLELDDPPRPSRLLLRNRCAHDPRRRRDTDEQPSAYILAVAADRSAHVLVQFGEGGIIYLCDTDAKARTVTRLPPVPSSLRKKSLDISPDRSIALIAHPHSPAHFVVAQLHPGRHLRRPRNRLLYYSTFTRRWADRELAQPQGRTRDPSAEHGVVAHNGRLWWLDLAYGLFCSDPLGIEPLRFLPLPDDCEMADDVAFNLGTRTLIEQRRCASASEGKLRFVEIRGLPYDVRAADDVPQADPSVKMWTLVDPESPDPWKVEYDASFAEIWEAESYTDAGLPQHKVPHVAFVDPDDHGVVYFLHGSKLFGLDVRKKQVLAYQEGYGDREPMFQRPVVNALKLSPRTSTPMEHAFPRGTYLRVRVSEYEGRNGLVQAEEDGKGVIVSARGGTPHSVWMVDYLKWDGRIWMLLQNTAYGRYLAREEGMEPTAALIVDYQHPKQEELLWEIDMKLGIGGQGFLVNRKYATWDVSSQPCIFEAILATPTPPRLPTPPPEEHVLRLIVHFRANDAGNFNKGYGDSFHFYGRSVTKLRTDTQSGLPQRLLWTHSGLRQPDSKDVLCVWPGSCGRLIPLITNLPRSVQPMDIVVLAKGSPAAEALRYSSLDEA >Sspon.08G0018520-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:7899236:7906396:1 gene:Sspon.08G0018520-2C transcript:Sspon.08G0018520-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSSQHDSISASGSLECADGGRSGINKWTKITTTNSWRWCLGLIYIVAVAGIWIAASYIVQSVVDAGVSPFLITYICNSLFVVYIPIVEAARYFEDSIGDFWTKLKFKDAESLQQSSDLESVNLLQSGGHEINVASDQAQTRPSEDTSVPDTSFPAQTELGVVACSKGLDAKGRWTRARVAKVSMLISPFWFLAQLTFNLSLRYTTVTSNTILSSTSSLFTFLVALVFLGETFTWLKLISVLLCMGGTIIVSLADSSSSVNAIATNPLLGDFLSIVSAGLYAVYITLIRKKLPDEKEGQGQVSMAQFLGFLGLFNMLFFLPVALVLNFAKLEPFHKLTWEQVGLVVGKSNTILSSTSSLFTFLVALVFLGETFTWLKLISVLLCMGGTIIVSLADSSSSVNAIATNPLLGDFLSIVSAGLYAVYITLIRKKLPDEKEGQGQVSMAQFLGFLGLFNMLFFLPVALVLNFAKLEPFHKLTWEQVGLVVGKGLIDNVLSDYLWAKAILLTTTTVATAGLTIQVPIAAIVDTLTGHAPHLLDYIGAAAVLVGFAGINIPVGESPQVVQQEQETPIVSMVDDPIHLPSSTNATDVVS >Sspon.05G0023360-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:7093510:7096895:1 gene:Sspon.05G0023360-1B transcript:Sspon.05G0023360-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEHMGRRTVGGLLFTKGGSILLFREDGSRPKAKNCCSRHGCSGQHSTDKAKGKEVHRVAAPSESTPATPGRSQIFRKPNRKPPHESSASGSISRDAGGSCSETGSRSRDTPGRDLLARLKERVNTSRKRSLNRENSPQSPSGFSASSPSNSRSVTRPSHRAASRIRKADEGANAGAADGMHRNGAGDARRSSERSDDDLLLVEQVTRNHVPSEGFLSGFMARYRSGLQGELSSLDDSMEDSNGYLRFDVGGIEEEEYLAGEEVGKMACKHYYHLSCIQHWLRQKNWCPICKSVALKIN >Sspon.07G0000590-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:148398:150582:-1 gene:Sspon.07G0000590-3D transcript:Sspon.07G0000590-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSRLLLFGVQLLVLVAAVAGTRWQDFLRLPSESASEDDDAAAVGTRWAVLIAGSNGYYNYRHQADVCHAYQIMKKGGLKDENIIVFMYDDIADSPDNPRPGVIINHPSGGDVYAGVPKDYTGKDVNVNNFLAVLLGNKSAVTGGGSGKVVASGPDDHVFVYYSDHGGPGVLGMPSDDYLYAKDLVDALKKKHAAGGYRSLAFYLESCESGSIFQGLLPKNISVYATTAANAEESSWGTYCPGDDPGPPPEFDTCLGDLYSVAWMEDSDTHNRRAESLRQQYETVKDRTSVHGTYNPGSHVMEYGDVQGLAAQSLYTFMGTDPANDDDSLLRRSTGGAAVNQRDADLVYFWQRYRRAAEGTPGKAEARRRLLQVMSRRSRVDSSMELIGGLLFGSEEGPRVLGAVRPAGQPLADDWDCLKSMVRAYERHCGPLAQYGMKHMRAFANICNARVGEDAMAK >Sspon.01G0023050-2D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1D:80893624:80893759:-1 gene:Sspon.01G0023050-2D transcript:Sspon.01G0023050-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding STCAAPSVLAEATAFLMSSMMPPLTLHPRSELDCSPPAGWMCSG >Sspon.04G0009610-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:28537113:28551698:1 gene:Sspon.04G0009610-1A transcript:Sspon.04G0009610-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRPRPQTELHSPSASTRRRERWLVVLGVALHAVYMLSIFDIYFKSPIVHGMDPVPPRLSAAPAKRLVLLVADGLRADKFFEPDERGRYRAPFLRGVIEEKGRWGVSHARPPTESRPGHVSLIAGFYEDPSAVTKGWKANPVEFDSVFNQSRHTISFGSPDIVPIFCSNLPHSTWGTYPHEYEDFATETLIADASFLDHWSFDQFQGLINRSFDDVKLRQLLLQDKLVIFLHLLGCDTNGHAHRPYSSIYLNNVKVVDQIAESMYNLMENYFNDNQTAYVFTADHGMSDKGSHGDGHPSNTDTPLVAWGAGIRSPKFLAYTEKPDDGFRFVDDHKHDTPTPKDWALEGFERVDVNQADIAPLMATLVGLPCPMNSVGSLPTPYLKLSKADEVEAVLANTKQILNQFLRKSQLKESSSLYFKPFKPLANFSLVLSQIEDLISGRDYETAMEQSEELRRLALAGLHYFQTYDWFMLMTAITLGYVGWMVNLIIHVLQSYTSFPAILLKRAQLYPKNTSMKVYIGGCFFMGLSSIILLLEKSPLLYHAYVFMTIFLWTRIVQNFEFLKAVWRELANMPKYIFNLLTGSVVALLVLEFLVMSFFDRKIYTWCFLVLGILGSTYVALFIQASPALAIYIWLACWFLSVFTLMPAEIPENNNLVIFSGGLIILIGLASRWIKSNSSSFWLYLTRANKRDPQSFKLYFIQVILVAISSIMVWLSTSHRSQNRELHSLHQLINWSVAGVAMVLPLFSPPSVLSRLTSIFLGFAPPFLLLSIGYEAVFYSAFAMVLIGWIFVESANLYCSEESGLAHRRSLVDDSVFGYEERHLRLSDLRIPLLFVILFNVAFFGTGNFASIASFEISSVYRFITVFSPFLMAGLLIFKLFIPFMLVICTFSAITKVVRIPRLGCYFLVILLSDVMTIHFFFLVRNTGSWMEIGNSISHFGIVSAQVVFVLLLFALTNIYTRDILVSSRQLTARKVM >Sspon.04G0024150-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:11871419:11872520:1 gene:Sspon.04G0024150-2P transcript:Sspon.04G0024150-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFTGTQDKCTACDKTVHFIDLLTADGVTYHKTCFKCSHCKGILSMCSYSSMDGVLYCKTHFEQLFKETGSFSKKFTPGSSRAPSKLSSAFSGTQDKCAACQKTVYPLEKLTLEGESYHKSCFKCSHGGCILTTSSYAALNGVLYCKIHFAQLFMEKGSYNHMKKKSTSQEVLPDVAADERPPEPAPPQDEKGEDN >Sspon.01G0019180-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1A:71873648:71877034:-1 gene:Sspon.01G0019180-1A transcript:Sspon.01G0019180-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARHQERTTSMLRRRPAAGCTSRATDTSRPRRALLLLLGHLLLLSSSARPAFSAQGEAEALLRWKDSLPPPRPTDALASWSLNGSTAAAAPAPCAWRGVSCDSLGRVVGVDVAGAGLAGTLAALDLRSLPSLGSLNLSFNALTGRFFPPNVSAPLLSVWSIDLSYNNLSGPVPATLPAYMPNLEHLNLSSNQFTGEIPDTLDKLTMLQSLVLHSNLLSGGIPPVLGNVSGLRDLELSSNPLGGTIPATLGKLLSLERINVSLARLESTIPTELSLCTNLTVIGLAGNKLTGGLPPSLAKLTRVREFNVSKNMLTGEIPLDYFTSWTHLRVFQADGNRFTGRIPVEVATATRLEFLHLATNNLSGTIPAVIGRMTSLKVLDLSENGFSGAIPRTIGNLTSLDTLRLYDNQLTGRLPDEFGNMTALQRLSINTNMLEGELPAGLTRLANLLAIVAFDNLFSGTIPPDFGRNLSILSMSNNNFSGGLPPGLCSTPRLRYLSLDDNDISGTVPACYSNFTKLVRFRLARNRLSGDVSEILRSHPDLYYIDLSGNSFGGELPAHWSQFKSLSYLHLEGNMITGTIPASYGAMTALQDLSLSSNRLAGTIPPELGALALLKLDLSHNMLFGQIPLTLGNATGMLRLDLSGNHLDGGVPVELTNLAHMWHLNLSRNSLTGEVPALLGKMASLQELDLSGNPGLCGDIAGLSSCRSEPSRGGSSSKRYRARLVLVVTLVSAAALVLSVAALLCVLVARRRRRAGQDSPDTTASGAGGAMALTASVWGKDAAFSFGDILAATEHFNEAYCIGKGSFGSVYRADLPGPGGQSLAVKRLDASETGDACRRGISEKSFENEVRALTRVRHRNIVRLHGFSAMGGHMYLAYELVERGSLGKVLYGPGRGCELFDWPARARAIGGLAQALAYLHHDCSPPMIHRDVTVNNVLLDPDYEPRVSDFGTARFLAPGRSDCTSVAGSYGYMAPGNNVKKKLHFTVAASVVCDDVSLSAALTLPWLVLLLSWPHAELAYLRVTTKCDVYSFGVVALEILMGRHPGSLISSLHSRLPDTNGSLRLLKDALDQRLDPPEGQVGAQVVFAFLVALSCVREDPEARPTMRSVAQELSARTRSVLDRPFTAIK >Sspon.04G0015400-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:61708583:61709017:1 gene:Sspon.04G0015400-2B transcript:Sspon.04G0015400-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLQRSALTFRRSGSSGLVWDERFLTEAAAGPHQPELRHSKSVGSVAMLRRGGEADRDDKKRKLLVLAKPNHKDHHQKQEELLVPVPGTGTPFRTRDVAPAAEPPSPRVPAGCCAACAIFRDAGAGAGGSSSSLPRRAKNKKR >Sspon.07G0002800-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:5171604:5174534:1 gene:Sspon.07G0002800-2C transcript:Sspon.07G0002800-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTDDPIEENDCCILNQAFILLHHRYTTPPEMPQAAKVKFTPFQFDSLIRRKKVGGNGLSCYRTDFHQIRYALNREKRVASIPSSCWSSSHQKLKTGQCLAVG >Sspon.01G0022780-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:53700595:53701191:1 gene:Sspon.01G0022780-3C transcript:Sspon.01G0022780-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKELAQLARRPQDDALHLIMGVQSSEGQVMFSSIALLQQRFRELERIREKREERLLHVLAPRPAATTSPREMPVKWFFHPELLYPCRPLRDTTVAAASLFPAVPTTVCECKSFQLHGDSKPVAVELWPSNKTYNYKHVSGEVDVDTSLHL >Sspon.04G0009940-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:29645419:29661768:-1 gene:Sspon.04G0009940-1A transcript:Sspon.04G0009940-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSSTPAAAAAPSRRKVALYLALLTLQYGAQPLISKRFVRQDTIVTSLVLATEAAKVICAIILLIAEGSLKKQFSNWTLTGSLTASGLPAAIYALQNSLLQVSYKNLDSLTFSILNQTKLLWTAFFTFLILGQKQSSRQILALALLIGAAVLLSVGESSSKGSKGGGSDYILLYGIIPVTVASMLSGLASSLCQWASQVKKHTSYMMTIEMSFIGSMCLLASTYRSPDGEAIRKYGFFHEWTFWTVVPVLMNAVGGILVGLVTTYAGGGFVIVSALLVTALLQFVFDGKPPSLYCLMALPLVATSIFIYQKYPYVDRKKKD >Sspon.03G0001420-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:3491747:3496076:-1 gene:Sspon.03G0001420-1A transcript:Sspon.03G0001420-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding METRGKRLLSFLLVLIAAAAAPSAMSRDEREVVEVSGAPDGVVWVAQLSDLHFSVHHPERAYDFRRYVGPALAMVNPDLVLITGDLTDGKSKDLLTMKQNKVEWVEYERTMKEIIESSKLPRRIFYDLRGNHDSFGVPASGDDYDFYNKYSINAKLRQQGRVQSITLENSGRKHLFVGFDNTMEIGLRGPTNLFGHPTDKQVIELDQALSQWDTDFDKVPVTKIAFGHFPLSFSALTESGKSIKDVFLKQSLAAYLCGHLHTRFGKNLKRYYHRAVQEPSLSEHYYQLNMHQGDAMQSNMENCSEEAAHIEEFWEWEMGDWRKSRSMRILAVDDGYVSYTDIDFRLGSKSIIILPTFPLDSRFMQRASAFRDFKCHVMGASSFDTVRALVFSKHEIVSVSVKIYDSRPGTLEVVFDSEMKRVDSNETRGNMYLIPWNWRAFEDSSPSRYWLQIEVMDITGDTSVSQLRPFSVNGSSARVNWTWKEFFVIGIQWASIYHPALWCAFSLIFSLLLVPQVLAVVFKDQFTYKSLCTYGRQRTLLKSLVGGFICSFVELARMILVWLLLLLYAIYLVFIPWLFGHPITEDGSLTYMTYKGWILKGPNSSNELVHAGIPDVMVIVLPHLCFVLLPTIVILAAMAAERAAYREHYLSRSGKKKDDYYQKSRRQIEHENFWNGRWIRKFLCFLCVVVLWKHWKLCRALVKAYAMNPLLHAPVLFFFIPLLMVFAIYKTWSSEGMLHWTVLQMVLQAVKTELNTNSQQKSIWKYAATEDGGAGMQSRGD >Sspon.04G0032830-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:34531374:34531988:1 gene:Sspon.04G0032830-1C transcript:Sspon.04G0032830-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding TMRHYRGVRRRPWGKWAAEIRDPTKAARVWLGTFDTAEAAAAAYDDAALRFKGAKAKLNFPERVRGRTGQGAFLVSPGIPQPLAPPVTAPPFLPLPPSPVPFPDLMQYAQLLHSAGNVVARSTGDLAAPASSSSQASSSVQILDFSTRQLLRGSPPPATFGRPSILTSAPMSSTTASSSTSMSATPHFEARDSGVGEETGTAPPD >Sspon.04G0007910-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:18922575:18925996:-1 gene:Sspon.04G0007910-2B transcript:Sspon.04G0007910-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAFAGSAAEPPLADSYYALLRRNDEAGAYATSTAPSDDVPVAECELPMIDVGCLTSDDGCSSESQRAACTAAIARAAEEWGFFQVRNHGVSQALLDAMRREQARLFRLPFEAKATAGLLNDSYRWGTPTATSPQQLSWSEAFHVPLAGVSGSAAGTCCDFGELTTLRDVTREVAGAMSKLAGTLARVLAEAALGRPAEEGERFPEGCDETTCFLRLNRYPPCPISADAFGLVPHTDSDFLTVLCQDQKVGGLQLMKGARWVAVKPIPGALIVNIGDLFQAWSNNRYKSVEHKVMTNAKTERYSVAYFLCPSYDSPIGTCEEPSLYRTFTFGEYRRKVQEDVKRTGKKVGLPNFLA >Sspon.08G0013220-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:56006681:56008924:-1 gene:Sspon.08G0013220-1A transcript:Sspon.08G0013220-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQDVVAHVYDVATAGSDTTVLHINRFFKDAIGLGGIFHTAIQVYGDEEWSFGYCDRGTGVFSCPPCKNPMYTYRESIVLGKTNCCILKVNQILRELSWEWPGQSYELLSRNCNHFCNTFCEKLEVPKLPGWVNRFANAGDAALEVAETTAVKLKQAKKEIVTACKAASTFLTGTSSSTSSNAEDTGGSTSSGNSLFEGAWIRSIVGMTMKPSKSLVCRDSSDSDSSESESESDGDRPNSDENAEQQAKDATQEQGKKNENNGPQGHS >Sspon.07G0004610-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:11980717:11985390:1 gene:Sspon.07G0004610-1A transcript:Sspon.07G0004610-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAIGGAELHCFRGAAAQLPRSRMLGRLIRVGPPAAATPGGGGGASAGSIRAVSAPAKKDASEVKRSKVEIIKEKSNFLRYPLNEELVSEAPNINESAVQLIKFHGSYQQTDRDVRGQKNYSFMLRTKNPCGKVPNQLYLAMDTLADEFGIGTLRLTTRQTFQLHGVLKKNLKTVLSTVIKNMGSTLGACGDLNRNVLAPAAPYVKKDILFAQQTAENIAALLTPQSGAYYDLWVDGEKIMSAEEPPEVTKARNDNSHGTNFPDSPEPIYGTQYLPRKFKIAVTVAGDNSVDILTNDIGVVVVSDDAGEPIGFNIYVGGGMGRTHRVETTFPRLADPLGYVPKEDILYAIKAIVVTQRENGRRDDRKYSRMKYLIDRWGIDKFRAEVEKYYGKKFESFRPLPEWQFNSYLGWQEQGDGKLFYGVHVDNGRVGGQAKKTLREIIEKYNLDVSITPNQNLILCGIDQAWREPITTALAQAGLLEPQDVDPLNLTSMACPALPLCPLAQTEAERGILPILKRIRTVFNKVGIKDSESVVVRITGCPNGCARPYMAELGFVGDGPKSYQIWLGGTPNQSTLAESFMDKVKLDDIEKVLEPLFSYWNSTRQEGESFGSFTNRTGFDKLKEVVNKWAESPSAA >Sspon.05G0009280-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5A:26824575:26827207:1 gene:Sspon.05G0009280-1A transcript:Sspon.05G0009280-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RSFSAIERWVMTTRESTYDDKYRICKTATLKMLSNNTEWSITGVYDPQSDNEKGDVFFYKGFRVESHWINWPGFSETVKEVWDKPVNTQDAILRFHWKHKERAWNELDLPCDGRDRDLFAASTVVKVGNGKTASFWTSSWLQGQTPKKPGPQPFQKDKKEESISP >Sspon.08G0011320-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:49301011:49303467:1 gene:Sspon.08G0011320-1A transcript:Sspon.08G0011320-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPMQLPSDGNSGHTPKKSSESALILIRHGESLWNEKNLFTGCVDVPLTPKGVEEAIEADDRNACHDAASAQEVIMHNESEQAHRWSQIYSEETRKQSIPVITAWQLNERMYGELQGLNKQETADRFGKEQVHEWRRSYDIPPPNGESLEMCAERAVAYFKDQIVPQLVAGKHVMVAAHGNSLRSIIMHLDKLTSQEVISLELSTGIPMLYIFKEGKFIRRGSPVGPSEASVYAYTRLSSPDREARPI >Sspon.05G0010500-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:21453878:21456004:1 gene:Sspon.05G0010500-3C transcript:Sspon.05G0010500-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSTANTNAAESHLHCSTFASRYVRTALPRFKMPEQSIPKEAAFQIINDELMLDGNPRLNLASFVTTWMEPECDKLIQSSINKNYVDMDEYPVTTELQNRCVNMIAHLFNAPIGDDETAVGVGTVGSSEAIMLAGLAFKRKWQNKMKAAGKPCDKPNIVTGANVQVCWEKFARYFEVELKEVKLKEGYYVMDPEKAAEMVDENTICVAAILGSTLNGEFEDVKMLNDLLTAKNAETGWDTPIHVDAASGGFIAPFIYPELEWDFRLPLVKSINVSGHKYGLVYAGVGWVIWRSKEDLPDELIFHINYLGADQPTFTSTSPKYYQLIRLGFEGYKDVMQNCRDNATVLREGIEKMGYFDVVSKDSGVPLVAFSLKDSSKYTVFEVAESLRRFGWIVPAYTMPADAEHVAVMRVVIREDFSRGLAERLIADLGKTMADMDAHAGRKAPEQPKKSVHDIEKEVTTFWRRLVANNKKKSSMVC >Sspon.04G0013670-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4A:49339662:49342484:-1 gene:Sspon.04G0013670-1A transcript:Sspon.04G0013670-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAHKLSPDHRPPPPLRSEYFALVIRQGAAQPPLAARDGASRRTAPGGTGDLLVAVPFSEDQANGQNRKQCGGSGAMKLGWMWICVGKHAFNYLLYPKKSEIVRMFHPPVRQSEEAIATIVPRYTHSVRVLDERFIRILKIFKWGPDAEKALEVLMLRVDHWLVREVMKTDIGVNVKMQFFRWAAKRRNYEHDTSTYMALIRCLEVVEQYGEMWKMIQEMVRNPICVVTPTELSEVVRMLGNAKMVSKAIAIFYQIKTRKCQPTAQAYNSMIIMLMHEGQYEKEMGTLRCTPSVVTYNTIIKALFESKSRASEVPSWFERMKESGISPSSFTYSILIDGFCKTNRMEKAMMLLEEMDEKGFPPCPAAYCSLIDALGKAKRYDLACELFQELKENCGSSSARVYAVMIKHLGKAGRLDDAINMFDEMNKLGCAPDVYAYNALMSGLARTGMLDEALSTMRRMQEHGCIPDINSYNIILNGLAKTGGPHRAMEMLSNMKQSKVRPDVVSYNTVLGALSHAGMFEEAAKLMKEMNTLGFEYDLITYSSILEAIGKVDHEYTGQGC >Sspon.05G0002660-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:7917078:7923679:1 gene:Sspon.05G0002660-1A transcript:Sspon.05G0002660-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Control of lateral leaf growth, panicle numbe [Source: Projected from Oryza sativa (Os04g0615000)] MQPHLQTNTAVHTSQGRNSIAAVRLISDPPLVWSSRCACAFTAEAHRREQQRGRWTEEVAGCSAALQQGCKAGGFCPPGVAINTSVDRGSTAAEAARPPAIAPVKLGSLFSKMRPSDDRVQLSGFAQSDESTLDVEGHCYHQQSFPCSPSMQPIVSGCTHTENSAAYFLWPTSNLQHCAAEGRANYFANLSKGLLPKSGKLPKGQQANSLLDLMTIRAFHSKILRCFSLGTAVGFRIRKGILTDIPAILCFVARKVHKKWLNPTQCLPAIVEGPGGIWCDVDVVEFSYYGAPAQTPKEQMFTELVDKLCGSDECIGSGSQVASQDTFGTLGAIVKRRTGNKQIGFLTNRHVAVDLDYPNQKMYHPLPPNLGPGVYLGAVERATSFITDDVWYGIYAGTNPETFVRADGAFIPFAHDFDISTVTTTVRGVGDIGDVKFIDLQCPLNSLIGRQVCKIGRSSGHTTGTVMAYALEYNDEKGISFFTDLLVVGENRQTFDLEGDSGSLIILTGQDSEKPRPIGIIWGGTANRGRLKLRCDHGPENWTSGVDLGRLLDRLELDLIITSEGLKDAVQQQRLALVAAANSAVGESSTAAVPVPEEKVEEIFEPLGIKIEQLPRHDVSASGTEGEEAAVINVEERQFISNFVGMSPVRDDQDAPRQIANLNNPSEEELAMSLHLGDREPKRLRTDTESDLDLEK >Sspon.03G0019360-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:81982522:81983875:1 gene:Sspon.03G0019360-2B transcript:Sspon.03G0019360-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYPQQQQKRVAQDGEHANGAKRSRALAIPNGEVKEEQRGQRGEEGRQGGQGEGALVAVVQAMEEPQINIRMTVSHLHCHACVLPLKPPTFECAAGHVVCRGCRGSHVQACAGAGTYVPCAKLDGIVRDAKVPCAYEEYGCTSWVVYYEAPDHHRSCRFAPCFCPAPGCGHFNSPARLAEHFFSHHAWPVTEVDYAKPCKLAVPGPEDKLVLVGKADGSVFLVSPCAFGPATAAVSLVCVRACGDAAAGTPQYTCNLWAEVAGNALLLTSVVASSDLAGGFPATDKVMFLPLPPLLYGESGEPPALMARIDKVGASTIRSRSPSATPPSSQPRRMPVMRIAN >Sspon.03G0039710-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:12909032:12911428:1 gene:Sspon.03G0039710-2D transcript:Sspon.03G0039710-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGSPPPAVATTAAVHKIQLKSADMKEEMRQEAFEIAGVAFEKHSMEKNIAEYIKEFDKNHGPT >Sspon.03G0020580-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3A:63926184:63926690:1 gene:Sspon.03G0020580-1A transcript:Sspon.03G0020580-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALPLATAEACDANAALIINGDLRALQPIFQIYGRRQIFAGPIVTLKIFEDNVLLREFLEEKGHGRVLVVDAGGSTRCAVLGGNLAQLAQNNGWAGVVVNGCIRDVDEINGCDVGVRTLSSHPIKSNKKGVGEKHAPVTFAGTRICDGEWLYADSDGILISRSELTV >Sspon.04G0036840-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4D:52398460:52399330:1 gene:Sspon.04G0036840-1D transcript:Sspon.04G0036840-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGAVGHVGEGRDAKAGDIGGSRGVTAAWWCWLTTSPPLLPRPFIEQCDKLRRCLFELDPLTLMPGLDTPHARRDIMNDPMLEEFIASLVASRAMRGPSLGRLRLTLRFLDEVSRSVGNRSTLSPEQGQSQVTPPWVAQPVPSGVNASTEAAKPLKDDGLLSVLPREDHLTVVSDTSVCDTSRALPSKVLQKVDSPLIRQPPKQLSTGATFAEQASGSLEPLSSDSAYGYNLADLIGRSPQACRLDG >Sspon.06G0011510-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:62783973:62787294:-1 gene:Sspon.06G0011510-1A transcript:Sspon.06G0011510-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTAGVAAAADGTRTANACKQCKQRSEEWTRERAYELKGKVRQMFVGGTTMSTADMLRLVDTLERLGIDKHFHEEIAVALSRIHSSSEEQDSSNDLHVVALKFCLLRQHGFWVSTDVFDKFRDDTGNFSKDLATDPKGLLSLYNAAHMAVPGEAALDEIATFARRHLELAKPKLRSPMVEQVSRALEIPRPRFMRRLEAMYYVTEYEQEEGHNTAILELAKLDFNILRSLHLKELQEISLWWSDLYNDVKLTYARNRIVETYLYTCGVFHEEENSRARLMLSKGFALLSLLDDTYDTHATFEECQILTEAIQRHDCFNCFISRYSQYIWDESCAPILPEYMRMFYVKMLSNLSEFEDNLEPWEKYRMPYIKEMFKLQSKKYLEEAEWFSKNYTPSFKEHVDVSLISTGLPMLFLVALMGAGKLATKEAFDWALHIPDMVHGCAETGRFLNDISSYFKPRSSKMDAASSFECYMKEHSMTPSDAVAAFATMVEHAWRRINQGCMELDRGILPAAQLAVNMTRMNEVLYLHGRDAYTSSDFLRQTAASLFLKDFP >Sspon.04G0002750-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:8413375:8415909:1 gene:Sspon.04G0002750-1A transcript:Sspon.04G0002750-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTVTAANSHGSVLRTRTAPSKPLAGWSSRRMLAMPAHHARSPRARVVAVRAAGTQRPTWLPGLDPPPHLDGTLPGDFGFDPLGLGEDPASLKWYVQAELVHCRFAMAGVAGILFTDLLRVSGNSDLPVWFEAGAAKFEFANTTALFFVQLLLMGFAETKRYMDFKNPGSQAEEGTFIGLEAALAGQQPGYPGGPLFNPLGLAKDIENAHEEKLKEIKNGREVGNGSHAWLHGASIRNSCRPHRQSLDTPFGPVQQKYHSRILLFLSTRPNSNSQKLCFHCPVLCHKKVIMFANRKWPLLALLFAKFSVMKTE >Sspon.03G0025730-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:4741516:4742625:-1 gene:Sspon.03G0025730-2C transcript:Sspon.03G0025730-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMKPVVIVAALAVLCVGLLSASSCLARDFSIVGYSEEDLSSHESLAELFERWLSRHRKAYASLEEKLRRFQVFKDNLHHIDETNRKVSSYWLGLNEFADLTHDEFKATYLGLRPSGGDDDDEEEEEGSPSLAAGVDAASLPKYVDWRSKGAVTGVKNQGQCGSCWAFSTVAAVEGINQIVTGNLTALSEQELIDCDTDGNNGCNGGLMDYAFSYIAHNGGLHTEEAYPYLMEEGTCQRSSEKKKHKQSDAAVVTISGYEDVPRNNEQALLKALAHQPVSVAIEASGRNFQFYSGGVFDGPCGTQLDHGVAAVGYGTAGKDDSGHDYIVVKNSWGASWGEKGYIRMRRGTGKRQGLCGINKMASYPTKN >Sspon.01G0005720-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:13578175:13579655:1 gene:Sspon.01G0005720-2B transcript:Sspon.01G0005720-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAAAAAASTSAPDTPTSILICREDGSDLLADADDGADADTDIVVARDERLLVVDPDEEYVALLLSEESASGGGGGGGAQAEEMEEWMKVARSGCVSWIIKTTATFRCGGKTAYVAVTYLDRFLTQRRVNRGQEWALQLLAVACLSLAIKMEGQHAPRLSEFRVDAYEFDSASIQRMELLVLSTLEWRMIAVTPFSYISCFAARFREDERRAILVRAVQCVFAAIKAMSSVEHRPSTIAVASILIARGKEETPAGNLDALKAILGSSCPHLDTEDEKSPTRSTSTGVASSGVSVAHAGSGSPGPGASVSVGANNAAGTATPAAAPDNHNNKRRRLRSPQR >Sspon.02G0013900-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:30342082:30349458:1 gene:Sspon.02G0013900-3D transcript:Sspon.02G0013900-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAFAKKEHQFLAELGLAQRNPGAFACGAWGGSGPAVTSTSPTNNQVIAEVVEASVQDYEEGMRACFDAAKTWMAIPAPKRGEIVRQIGDALRAKLHHLGRLVSLEMGKILPEGIGEVQEIIDMCDYAVGLSRQLNGSIIPSERPNHMMMEVWNPLGVVGVITAFNFPCAVLGWNACIALVCGNSVVWEAVTLCNVVILTGKAGLMVQQQVNARFGKCLLELSGNNAIIVMDDADIQLAVRSVLFAAVGTAGQRCTTCRRLILHESIYQTFLDQLVEVYKQVRIGDPLEKGTLLGPLHTPASKENFLKGVQTIKSQGGKILFGGSAIESEGNFVQPTIVEITPSAPVVKEELFGPVLYVMKFQTLKEAIEINNSVPQGLSSSIFTKRPDIIFKWLGPHGSDCGIVNVNIPTNGAEIGGAFGGEKATGGGREAGSDSWKQYMRRATCTINYGSELPLAQGINFG >Sspon.07G0007950-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:21467911:21470153:-1 gene:Sspon.07G0007950-1A transcript:Sspon.07G0007950-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTFVGNSTSIQEMFRRVSQQFTAMFRRKAFLHWYTCEGMDEMEFTEAESNMNDLVSEYQLYQDATADEEADFEDEGAAAELFIEVVPALDARAAAQGAA >Sspon.03G0023690-3C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3C:90853498:90855294:-1 gene:Sspon.03G0023690-3C transcript:Sspon.03G0023690-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSYVPVLLVFVMASLVPVSIASLPHKLRLSASDVAALEAVTPRPPAPDQPTVFFEVDRPHRPPAGSFGPCSTLLLSHSFAYAYAKPPATAAYSPPPCLAAVGGRASAILLAVLEWRATCRGDQCGRVFGVWLGGAELLRGCTAESPIKSAGGVEWTVSKDVTKYASLLAARDSTTLAVYLGDIVDHQSTGVLNANVTLHLYFRHPPPPPPQPGLGPADAVVPISRSLPLNDGLWFEILNDLYDATASVTVPTNTYRAVLEVYLSYQSHDEFWYGNAEYGPFREVVVQIDGDLVGVVWPFPVIYTGGINPMLWRPITGIGSFNLPSYDVELTAFLGKLLDGEKHEFRFTVTNAIDTWFVDANLHLWLDPRGTATAAGMVSYDAPPLDTATATLPDGSGYTTAFRHVSASGWVQTPSYGKFTATWTQRLGYENTMLLRDSYSETEVNQTTDAYSAAHVVDRAGVLYSQEAQQSFTLYKFVDVGHADFDSYTRVTKVRLGFREERVAADRSGFWSRSVSNSQECAGVVDVEYGETVRESWDAHQTYRYESSDACYFRNVTSHGNDVVSDHSDEACVKGSPAGGIAEREVAAGAPQLSSS >Sspon.05G0016320-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:61142760:61143659:1 gene:Sspon.05G0016320-1T transcript:Sspon.05G0016320-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPTWISSLEAEGDRTGRTGIAASSLLPPPVQASRVLGSRLAAPPRAAMPPSKGKKKNPSASPRPSPRTPPSRSSAADSRTGDGERSVDLPSIAAAAAAQFPALVPRGGDGCFAGTVAEVAPRDGSRGGRLGRLWLSEAAMVGAGMRPGCLVSRVHAELMF >Sspon.06G0019860-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:5171177:5177625:1 gene:Sspon.06G0019860-2C transcript:Sspon.06G0019860-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPAAPAPAPGTTSGGAGGEGGGGTKVNQELWYACAGPLVTLPPAGSLVVYFPQGHSEQVAASMRKDADAKIPSYPNLPSKLICILRCVTMLADPDTDEVYARMTLQPVSNVMDCDKETLLASEFALKQTRPQTEFFCKTLTASDTSTHGGFSVPRRAAERIFPHLDFSMQPPAQELQARDLHDAIWTFRQPKRHLLTTGWSLFVSGKRLLAGDSVLFIRDGRQQLLLGIRRANRQPVNLSSSVLSSDSMHIGILAAAAHAAANNSQFTVFYNPRASPSEFVIPFAKYQKAVYSNQLSLGMRFRMMFETEESATRRYMGTITGISDMDPVRWKNSQWRNIQVAWDEAAPSERRTRVSLWEVEPVIAPFFIYPSPLFTAKRPRQPGITEMDNLFKRTMPWFGEEIGKKDLSTQNSLVPGLSLVQWMNMQQNSSLANTVMQPELLNSLAGKPVQTLAAADLSRQISFQPQFLQQNNIQFNTSLLPPQNQQTEQLANVIATPNQLGSVIVQQKVVQNCSPEQKQNPVTQQLQVSQPMISMAQPQLVHTQVQQPQVILQAQPQHPQVIVQAQLQQQQPLVQNHTTIQGGLQQIQLLQQQHPHLQEQQVQQSVQEQQQIKIQPFQVPNDANMVTQLSDQTKIQLLKALQPQQTLAMEQQKMILDLQQQAVNSQSTAQQCSQVATQVVGLHSSSTIQYPTQQKTQPHKPIQEFPGNAVSIVKSEIVTSMGARYLHVPGGVQSMKTDNVPSSSKSPSTNNNPVILPSTPSSSKNQCLPTAAKAPQSSVVLGSTLEQGMKPFESTQHTMVIPKMAEERPATGQDYMNSTQMDYLDTSSSATSVCLSQADGSLQQNFPPSSFNQHQPLRETVPDSEFEVTDAGNNFLFGANIDGHMEPLNEDALLGTTFETEKYMDQMPGNGISNYISSKDSQQELSSSMISHSFGVADIAFNSIDSSINDIPFLNRNSQAPGPAHQRIRTYTKVHKRGAVGRSIDINRYSGYDELKHDIARMFGIEGQLSDQNRVGWKLVYEDHEKDVLLIGDDPWEDFVNCVRCIRILSPQEERQMRLASDYGDSFLGNQACSSSDGGHPWRVTRD >Sspon.02G0053570-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2C:106523250:106527728:-1 gene:Sspon.02G0053570-1C transcript:Sspon.02G0053570-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDHTPVRITKGMMKSAVAPHQPQREPALDGYQVARSASFWVAHNALASLESNLLKDWAATNNIALNVNSLELELISVKAILESTLGKVIHNSALQRSLMMLQELVYDAEDVVDEMEYFRIQDIAGQHAGAHNLDLNVLPPVSDEPPEMVFDRVDASNRMKHIVEKMQEVQQRISGIMTYLGSNWSTFPNIAESRPISTSESIEPKLYGRELMMKSIIDDITKGKHSGEVLTVIPIVGPGGIGKTTLAQHIYHSGEVQEHFDVRVWTCVSLNFNVNKLIEEVQRCIPRVDGESTNATASELIGKRLKNRRLLLVLDDIWDCSDEDEWRRLLVPFRKSQVQGNIIIVTTRFPAQAQIMVRKYNQSVCLQGMENKELEELFMEIVFGDDDQSRKDHVFLLEIGFKIVDKLKGSPLAAKTVGRLLKTKLDLDHWKRVLESKEWEHSDGKNDIMPALKLSFDYLPPQLQQCFSYCALFPQDYKFEREELINFWIGLEVLHSSHAENKRAEDIGLSHLTELVNCGLLEKIERKDGRNCYIIHDLLHELAREVSSLECLSIDSSQSQVSSLEVLPSIRHLSINIDNTTAKDMLTLRNCVEDFNTFGTRLKVEKLRTLIIFGEHHGCYVKAFGDLFRKAKALRLVFLSGASYDVKDLLHNFYYLIHLRYLRIERNYRYEAGFLNKISGFYHMKVLDAKNCAIIEYLLPRDISNLVKLRHLLVRNDTIHSRIVDVGKLKSLQELRSFRVKQGDQGFELRQIGHLRELCESLRIDYLENVQVPEEANEAKLMLKGRLHELILCWNWSTKNDSALEEHVLERLKPSSNLQELSIIGHGGGTCPSWLGMNLSLSCLKSLCLDGVDWKTFPPIGDLWLKNMPREEISINIPAKRFGNLRKLELLGLCELKIWAVHAPCQLFPYLEVLIIKDCPELVELSFSHSANCCQQGKEANGNLFSRLSKLEIETCLQLSLFPPIPWSQALCFIHIETSYLDLYCERENSSEYYLSIEGNDDTNDSTFWNVLAFHNLTGMKELYMSGCPFLPLCYLQMLSSLRTLEMSCSSNVFPFVEADSHVKYQFPVESLTIMKWNASGKELTQLLSYFLKLSDLKLKNCHKVTGLGVNVRGQQATETPRPSSSANKVEQQQDDVMVTTKRRNSHLLLLPAQLQVLDIFGCPELSLLCSNPHDDSLLCLTSLRNLVVNCCPKLLSYSSSSFCYSFPFPNSLEHLDITGPMGMDTLVPLSNLTSLTSLSISRCGDSRGEGLLSLLAQGHLTKLSVRETPNFFVDSEQPWVHEQEIPSCSSKLQELEIGDVAGFTAASIRRRLIFSSLTKLEIFGDHKVEHFIEQQWTLLFVNSLEEVRFNFCYNLQSLPKRLHGLRNLKRLRIWSCRAIHMVPKDVLPSSLENLDISDCPEIRSLLTVCFPISLQQLYVTYCPKIQSVPKDCLPDSLQTLVIRNCPAIRSLPEVDDLPSSLREIHVCDSGSEELRRQCRKLINIIPIVSA >Sspon.03G0028000-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:7526218:7530345:1 gene:Sspon.03G0028000-1B transcript:Sspon.03G0028000-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to Histidine kinase [Source: Projected from Oryza sativa (Os01g0923700)] MVNVYDTTNEKPISMYGSNDTGSGMCQVSTLNFGDPTRKHEMHCRFIQSPPWPWLAITTSIGIFVIGLLVGYIIYATAKRIAKVEDDFQEMSVLKKRAEDADVAKSQFLATVSHEIRTPMNGVLGESERYDVSDFAGMLQMLMDTDLDTTQQDYVRTAQASGKALVSLINEVLDQAKIESGKLELEAVPFDIRTVCDDILSLFCGKAQEKELEFTEKGHIYLTVHVVEEIMHCLEVETGTQYANTLSGYPVADRKRSWENFRLFSRELNSSEMPFAPVASDSINLIISVEDTGVGIPFDAQSRVFTPFMQVGPSIARIHGGTGIGLSISKCLVGLMKGEIGFASKPQVGSTFTFTAVLTRAHSSVNENNLSEFKEINALVVDHRPVRAKIAKLVLIDKETWVKESHSMPLLVTKLRNKDQSDSTKLFLLENPNSSDKSNSHIFREYNLNVIMKPLRASMLQVSLQRALGGIDKLHCRNGVVGNSTLGSLLHKKQIIVVDDNIVNLKVAAGALKKYGAEVTCADSGKKALTLLKPPHNFDACFMDIQMPEMDGFEATRRIRVMERDLNEQIDRGEAPPECAGVRQWRTPILAMTADVIQATHEQCLKSEMDGYVSKPFEGEQLYREAFLGIFVCIIFGLYGSKL >Sspon.07G0000040-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:19765592:19768750:-1 gene:Sspon.07G0000040-1P transcript:Sspon.07G0000040-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RMAATAAATAAAATTSTTSSPLLRRAAAAPTTRHHYQLRCSTKPSQFELRHRAARLAPARALLPDRVTPFSIDADGDDHPREECGVFGVIGDPDASSLCYLGLQKLQHRGEEGAGIVASDADGKLNSVTDLGLVGDVFRDPARLAKLPGNAAIGHVRYSTAGASSRRNVQPFLAAYRFGQLAVAHNGNLVNYQALRNKLEAQGSIFNTSSDTEVILHLISTSLSRPLLSRICDACERLAGAYSLLFLTADKLFAVRDPFGFRPLVMGRRPNGALVFSSETCALDLIDATYEREVEPGEVVVVDRRDMSVSYACLVPHRPRKSCVFEHIYFALPNSVVFGHAVHERRSAYGRALAEESPAPTADVVIPVPDSGFYAALGFAQASGLEFQQGLIRWHYSGRSFIQPSQAIRDLAVKLKLAPVRGVITGKSVVVVDDSLVRGTTSSKIVRLLRDAGAREVHMRISSPPVVGSCLYGIDTPSEGELISNKMDLEGVRRTIGCDSLAFLSLDKLHSIYGNEAHEFCDACFSRNYPVLPTVPEPVPEQDASFEWLGETQQSSSG >Sspon.04G0016400-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4A:60378815:60379372:-1 gene:Sspon.04G0016400-1A transcript:Sspon.04G0016400-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VSKVNKSGYSDDMLMDEAQQMYKNKYGKRFALEHWWKKLRKEPKWCASAAQLEKEKSKTVDVDATDDGEEACPIGREAAKARHKGKRKVEEVKDGISMLGDSINKVAEITQERKKEREKVTEAQLEISRNNLQTAIQQNEAKLLEAYTSLLVQDTSQMTQEAKEGRVKALALMERKLFGNQQEAT >Sspon.06G0025380-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:66520413:66523013:1 gene:Sspon.06G0025380-1B transcript:Sspon.06G0025380-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKKAAGACGEDRISDLPDGVLHHVLSLLPADQAVQTSVLARRWRHLWKGMPALRLVGPKTRFATAQDFDRFVNSLIAARGDLPLVSCEVEAYLTWDDYAGEPEEPEPNLYFDSWIQYALACKVQVLKVVGDVVGCESELIVPLISQHLVNLDVHHICMEKDSVDFSSCPVLEELKMKECGLWVRSMSFPWLKRLFLTECNFPVDRRVSISAPGIVSLRLLQCGGKTPLLESMPLLQTASIDLSRYECKDNCGGCTDESCEGCHGYPVGSYRSVLLNVLSNAINLELKDQPEVYIYKRDLECCPIFGRLKTLLLDMWCRHVDMHALVRILQHTPILEKLTLQLRSDKNLLCAGRGERKHVRIEQSFSCAHLKEVSIECEEKLRVKDKVRQIVKVLNRNGILKEQITFKKLPRPEGLYRLVAVSPRAFDDNWSGGE >Sspon.02G0001530-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:5684450:5688214:1 gene:Sspon.02G0001530-3C transcript:Sspon.02G0001530-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSKDDCLDGAKVAEVGVGGYKEFLVMALPKDDGLDGAKVAEAIGVRLPDFGGAVRTILESREAREFASGALAGAMSKAILAPLETLRTRMVVGVGSRHIFGSLVEIIEQSGWRGLWAGNTINMLRVIPTQAVELGTFECVKRSVTEAQEKWKEDGYPKIQLGNMKIELPLHFLSPVAIGGAAAGIAATLACHPLEVIKDRLTINRELYPSISLAFRRIYQTDGIGGFYAGLCPTLIGMIPYTTCYFFMYDTIKTSYCRLHKKPSLSRPELLLIGALSGLTASTISFPLEVARKRLMVGALQGKCPPNMIAALSEVIQGEGFRGLYRGWRASCLKVMPHSGITWVLYEAWKDVLLADRNKPRGVAN >Sspon.02G0028270-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2A:103205940:103206299:1 gene:Sspon.02G0028270-1A transcript:Sspon.02G0028270-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCLVLFLGHWITNPTYKTNTLNTCELSPSSAAHGLTPSHASLLDRISPFLRSLLRLPHLMLLLLPVAASSWLKPPVASPGALPLPFNNNSGMVQRPPSTATARDLQRCGATTAGAVQL >Sspon.03G0004180-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:10510324:10511725:-1 gene:Sspon.03G0004180-1A transcript:Sspon.03G0004180-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLPLLSPYLQSIGSDYRHGANFATLASTVLLPNTSVFVTRTSPFSLGIQLNQMKEFRNRVLASKGNNGQLPRPDVFGNALYTIDIGQNDFTSNLGSLGVESVKRSLPSIVNQISWTIQDLYNIGARNFMVFNMAPIGCCPAFLTELPHDSNDLDEFGCMKSYNSGVTYYNELLNNSLAEVRKKLQDASIVYVDKHTVTLELFQHPIAHGLKYGTRACCGYGGGTYNFNQDVYCGNSKVVNGKTATAGACGDPQNYVSWDGIHATEAANNKIAYAVISGSYSHPLLDLSKLCSP >Sspon.03G0015990-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3A:51051034:51051243:-1 gene:Sspon.03G0015990-1A transcript:Sspon.03G0015990-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIATLEMQLCELSVGAVVLADKERKEIVAILAPHKAAEEHVALLGTRLARPGGAAVLAREARARGGGA >Sspon.01G0015790-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:47485365:47492625:1 gene:Sspon.01G0015790-2C transcript:Sspon.01G0015790-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMNVVAGVLLLVLAGLNNPSSVSADFCDNLKQVAATLPKNTSSSPLHFATTTFGQAPDVVYALALCRGDVLNDTACGDCVASTFDMVTPPPPQTCYQAAYYYGGICRLVYSGDNILAPSNTTAGNGDDTPFTRWNANNITAGGGDADDTRLIVSRVHELVVETVQLAARTAPRRFATGAVDSGAIFPKVYSLAQCTPDLSADDCLACLQRLLDMVNSTMALRMGAQIHVIRCYFRYEAYAFYDSTPMLQLGPSAPAPAPSPVKHKRRTSKLWVIPVVVVPVAAVALLCFIFYSPWFRRYRRGKAMRLQAGSRRTQDLHGEEQLVWDGKNSEFSVLEATNNFSEENKLGQGGFGAVYKGQFADGLQIAVKRLASHSGQGFTEFKNEVQLIAKLQHRNLVRLLGCCSQEEEKILVYEYLPNKSLDFFIFDENRRGMLDWSKLLVIIEGIAHGLLYLHKHSRLRVIHRDLKPSNILLDSEMNPKISDFGLAKIFSSNNTERNTTQRVAWQLWDEERWIDIVDASLVNKSQSSEMMRCINIALLCVQENAADRPTMADVVSMLSTETTTILAEPKKPPYFHVRVGNEEAPITATESCTMAGVPLVVLSCFLLVLPSATAIGKVCGNAGNYTANGTYQSNLAFLAATLPSNTSSSPQLFATATAGQAPGDVVYALALCRGDLTSNLTGCSACVNGSFQYAQRMCPNAKAASVYDDDCLVGYSSDNILVPAYNVTRDMSTLFNYWNNAATTPGGNATQVAADVKDLLTQTAQQAAARPSRFVTAYMDASSTAIRTLYSLAQCTPDLSAGDCMACFQQILGTLNATTPVAGRVLLLRCNFRVENIMFFDGAQMTQITPSSGAPAPPLPAPTTNKGSGVKPWVIAVSVAPPVALVAFCFILYCRWQRRRYRKGGSRLRQKHTRNNLKGDELGWEMEAELSEFSVFDFRQILEATNNFSEENKLGEGGFGPVYKGQFPDGTEIAVKRLASHSGQGFVEFKNEVQLIAKLQHSNLVRLFGCCSQGEEKILVYEYLPNKSLDFFIFDENRKSLLDWNKRLAIIEGIAEGLLYLHKHSRLRVIHRDLKPSNILLDSEMNPKISDFGLAKIFSSNNNEGSTTRRVVGTYGYMAPEYASEGLFSIKSDVFSFGVLILEILSGKRNSGSHDCGDFINILGYAWQLYEEGRWRELIDSSLVPMHHSTEIMRCMNIGLLCVQENAADRPTMLDVVTMLSNKAKILAKPNHPAYFNVRVGNEEDSTTGTVSRSMNEMTISVTTGR >Sspon.08G0012810-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:52555307:52560251:1 gene:Sspon.08G0012810-3C transcript:Sspon.08G0012810-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding METLNPDAAGKAGAGAAVGSSEGEARRPEKADAGGIDPELSVARIYLGRIGAGLENLGNTCYLNSVLQCLTYTEPFAAYLQSGKHKSSCRTSGFCALCALQNHVKTALESTGKIVTPFRIVKNLRCISRTFRNSRQEDAHELMVNLLESMHKCCLPSGVPSESQSAYDKSLVHKIFGGRLRSQVKCMRCSHCSNKFDPFLDLSLDIAKATTLVRALENFTEDELLDGGQKQYQCEHCRQKVVAKKRFTIDKAPNVLTIHLKRFSPFNPREKIDKKVDFQPSLDLKPFVSDSKGTDFKYSLYGVLVHTGWNTQSGHYYCFVRTSSGMWHNLDDNKTLDFPHTDSYGINC >Sspon.07G0015460-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:62608857:62611579:1 gene:Sspon.07G0015460-2B transcript:Sspon.07G0015460-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEMKEWMFVGTWNVGGRAPHAGLDFSDWLTDDGPDSSSPHIYVLGFQEIVPLNAGNVLGAEDKTPARKWLDLIRRALNGPASDVATSSSSSSSSRSHRSPSDTDLLQKASRVSFSDLLAAAEDDNRSRPSTASSEPDDDDDDEDAGSEPSTSSSSPESSSEEEPAARRRGGRHRYRLAASKQMVGILLCVWVRADLLPCVAGVRASCVGRGVMGYMGNKGSVSVSLSLRGGGGGASLCFVCTHLASGDRDGDGARRNGDVAEILRRTRFARRDSPSPCRAASPVTTILEHDKVIWLGDLNYRLLEREGGGTRELVERHEWAALLERDQLRAEQKAGRVFAGWEEGRIGFPPTYKYVAGSDAYAMMSLADSSAAAAADSGGSRSRERKKRTPAWCDRILWRGEGMEQRWYARGESRFSDHRPVAALFSARVGGDKPVPAHSSRF >Sspon.08G0016600-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:65382994:65386917:-1 gene:Sspon.08G0016600-1A transcript:Sspon.08G0016600-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRVKDVARRSTKKYVEEALYRRLFRKGSTPQAVREEVDGFLDSRKRAFKWEVGVCVRRMRRNALYRPALKLSEVMARRGMNPTVSDQAIRLDLVAKSRGIAAAEKYFLDLPETSKTHLTYGTLLNSYCKELMTEKAESLMEKMKELNFAFTAMSFNSLMTMYTKVNQPEKVPSIIQDMKADDVLPDVFTYNVWMRALAALKDIPGVERVIEEMKRDGRVAPDWTTYSNLASIYVVAGLFEKAEAALKELEKRNTSNDVEAYQFLITLYGRTQNLVEVHRVWRSLKRNNPRMANMSYLNMIQVLANLKDLPAAEACFKEWEAQRIHPPKTNAKDPTEDKTSTADPESSSNLPNNQSGKAAQDLQPKHPKYDIRVANAMIKAYITEGMLDKAVAVKKRAKMHGGRLNAKTWEIFMEHYLGTGDLKMAHWCADRAMKKGHSSGRIWVPPRAVTDTLMSYFEENKDVDGAEQYVEALKKVQKDLGAPVFEPLVRTYAAAGKKFPGMRQRLKIENVEVGEETAQLLDSICTDQ >Sspon.02G0036300-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:24121570:24121998:-1 gene:Sspon.02G0036300-1B transcript:Sspon.02G0036300-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVACASLAGGWEIGPGLALGALFTEAELAVADQLVQLSGSGGGDEAESESPRSVNTCAGAAAWEEEREVVVARAGLGLGTMELDRRARKRYRLLSDVYAATRPVTGADADAGSARKRKRGHEPEPEPEARTTIRYDEDQCF >Sspon.05G0006840-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:15025827:15027505:-1 gene:Sspon.05G0006840-2B transcript:Sspon.05G0006840-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLKLKCRKRASKQIWFAVCSTCRQLCFESEERAKKLLNNVSSLLKPGGYFFGMTPDSSTIWTKYQKNVEASHNKGLKTVPNSIRSENYTITFEVEEEKYELKLFPFFGKKYQLKFANDTVFENQCLVHFPSLLRLAREAGLEYVEFLNLTEFYDDNRPLFAPMLGGYGASFLDGRGKLVARSYDILGGLYSTFVFQKPDPDAIPPVVTPDLHDPDNAHEEEWLQPQQASVEDERRSHADVLPIDPAKGILGPGPADMRL >Sspon.08G0019220-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:13426905:13433214:-1 gene:Sspon.08G0019220-2C transcript:Sspon.08G0019220-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVLRLRPSLLSAARPGAARPRDHFLPPCCSIQRNGEGRICFSSLRTQGPTLYHHQKFFDWKSSYCRISRQSLNTSVNASGQQLQSEPEAHDSTTIWRAISSSLDAFYRFSRPHTVIGTALSIVSVSLLAVQSLSDISPLFLTGLLEAVAAALFMNIYIVGLNQLFDIEIDKVNKPTLPLASGEYTPATGVAIVSVFAAMSFGLGWAVGSQPLFWALFISFILGTAYSINLPYLRWKRFAVVAALCILAVRATFVFRRPAVFSRPLIFATGFMTFFSVVIALFKDIPDIEGDRIFGIRSFSVRLGQKKVFWICVGLLEMAYSVAILMGATSSSLWSKTVTIAGHSILAAILWSCARSVDLTSKAAITSFYMFIWKLFYAEYLLIPLVR >Sspon.05G0009200-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:18273587:18276077:1 gene:Sspon.05G0009200-3C transcript:Sspon.05G0009200-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FT-interacting protein 1 [Source:Projected from Arabidopsis thaliana (AT5G06850) UniProtKB/Swiss-Prot;Acc:Q9FL59] MAYAYPYVFRAQAPPARMEDHKAKDAAPAPQVKEQWPAGGGSRSASPHGAGARWPDGLGSGESQRLASAYDLVETMHYLYVRVVKARGLPASAVTGGCSPYVEVRVGNYRAATRHCVAKASPEWNLVFAFSRDRVQATVLEVFVRDRDALGRDDCVGRVAFDIAEAPVRVPPDSPLAPQWYRLEGTDGGGKMAANGEVMLAVWVGTQADEAFSDAWHADAASVRGGDGAAAVHNTRSKVYVTPKLWYFRVSVLEAQDVVPPGAGPGAGATADKGRHTEVFAKVQVGGMVLRTRPCTTRGPTNLAWNEELVFAVAEPFDDPAVLIIEARVHPGKDEIVGRALLPLTIFEKRLDCRPVQSQWFSLEPFGRPVRPSEAVFAGRVHLRACLEGAYHVMEEPTMYASDTRPTARQLWRPPIGVLEVGVLGAQGLTPMKTYGQKWVRTRTVVDSCSPRWNEQYTWEVYDPCTVLTLAVFDNCHLGTAAAGNGAVIRDQRIGKVRIRLSTLEMDKVRTSAHPLAVLHPSGLRKNGELCLAVRLTCLSLGSVVRLYGQPLLPKVHYIQPLTVLQLDSLRRQAMSIVAARLSRAEPPLRREVVEYMLDADSHVWSIRRSKANFFRVTALLSGAASTVRWLADVCRWKNPATTVLVHVLFVTLMCFPELILPTMLSCAEATHPDELDEELDTFPTSRPNAVVRLRYDRLRSVGGRIQTVVGDVATQGERIRSLLAWRDPRATALFTAFCLVAAAVLYVTPIRVVSLVVGLYVLRHPRFRGRMPSAASNFFKRLPSRADTML >Sspon.01G0017900-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:66738389:66742765:-1 gene:Sspon.01G0017900-1A transcript:Sspon.01G0017900-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exocyst complex component [Source:Projected from Arabidopsis thaliana (AT3G56640) UniProtKB/TrEMBL;Acc:A0A178V8H8] MTAQTKKRGATENGDGGVGLGLAAFIANNEDVGPIVRHAFESGKPEALLHSLQNIVKMKEVEIEEICRLHYEEFILAVDELCGVLVDADELKGTLSDENLRLQEVASALLLKLDELLEIYSVNSNVGEALATLKICLQVTSLCKICNRDIAEAKLLSALKTLELIEKDYLQNIPLKLLKKVIHKQVPMVKLYIEKKVCSEFNEWLVYIRRTAKEIGQVAIDQTSLTRQKDEGMCARQMEAEESSRVGFDVNAYTLDLELMAEEAMLEFDLAPVYRAHHIHIGLGLGEKFREYYYNNRLMQLNLDLQISTSQPFVESYQPFLAQVAGFFIIEDRVLRTADELLSESQVDTMLETAISKVTLILEEQFSRIDAANHLLLIKGYVSLFGATLKKYGYRSMSLVEILDKTRDKYLELLLSDCRKKLNYVFSKDSYERMVIKKENEYDTNIAAFQLEPVDGVPNLPYVAPFSSSVPNACRIVRSFIEELVSYLSHSGSTNIYDVVKSYLDKFLIETLNDGFLNLIHGGSLEFPQVVQIAGNIAILEQSCDMFLWHAARLCGVPRCLLEKPHSGLTARAVLKASKNAAYNGLITLVNSKIDEFMLQLTSINWTLEEAPEHANDYMNEVVIYLHDVVSSGQQIVPRDALFKVVSGALSHISDSITTNYGALDHKKVAIICDKFKDAPDSLFGSLSSRATVQSARKKSLDVLKRSAIDLIAPMQARAPMIENKIATAAPSIFTAHCNPGLSGIVQVLRSAIAGSTKSRGVNPTAPQTDTKSPKKGIAAETSVITAMYADVFSVQSLESGRLRHTWISSYVNIRSDGHLNFWQHGVSKGERYRAQRVESSEDLSCDSIDPPERMDVRELGKEQLQCTEHKDELLQRHCAVVGGVVVLVHGLEAAAGCDLDCNVVAYRCHLLEERDIPVAPRT >Sspon.02G0057110-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:43147223:43147441:1 gene:Sspon.02G0057110-1D transcript:Sspon.02G0057110-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFHHSHAEPLNLQDRTYTYSGSSSMMSCPLSEMIVSVNEIKLFVADKNNKLHSGSEICPLRLDRRGKRNIS >Sspon.04G0004250-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:13001043:13002391:1 gene:Sspon.04G0004250-2C transcript:Sspon.04G0004250-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATTAYSTALLGGARLPAAGAAAPPSALLLPRRNLLSPLPLRLQDAAAPRLSLLRVKASDDSSAASGDELIADLKAKWDAVENKSTVLTYAGGAVVALWLTSVIVGAINSVPLLPKIMELVGLGYTGWFVYRYLLFKESRKELADDVESLKKKIAGTE >Sspon.06G0023830-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:48072405:48078115:-1 gene:Sspon.06G0023830-2C transcript:Sspon.06G0023830-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding KEKHSFSLPEASADEEPFSFFGLLCYADRVDWLLMALGIIGSVIHGMAFPVGYLLLGKALDAFGTNINDPEAMVHALYKVVPFVWYMAGATLPAGMVEISCWIYSSERQLARMRLAFLRSVLNQEVGAFDTDLTTATIITGVTNYMSVIQDAIGEKLGHFIASFSTFFAGIIIAFISCWQVAMLSFLVIPLILVIGAAYTKKLNVLSLSRNAIVSEAISVVEQTLLHIKTVFSFVGESWAMKSFVQCMENQFNLSKKEALIKGIGLGMFQAVTFCSWALMVWIGAVAVTKNKATGGGTIAAIMSILFGAISITYAAPDLQTFNQAKAAGKEVFKVIKRKPSISYGKSGLVLDKIHGEIKFHRVHFAYPSRQDKPILQGFSLSIPAGKVIALVGSSGCGKSTVISLLQRFYDPTSELDLKSLRRNIASVSQEPSLFSGNIKDNLKIGKMDASDEEITEAATTANVHSFISKLPNEYLTEVGERGVQLSGGQKQRIAIARAMLKDPPILLLDEATSALDSESEKLVQDALERAMRGRTVILIAHRMSTIVNADTIVVVENGRVAQTGTHHELLDKSTFYSNVCSMQNIDKEAENKVASASDNMEEQIGEAHIKQSSTNQGPKKKLERLESKQPRNENVKETHPFFRLWYGLRKEDIMKILFGSAAAAISGISKPLFGYFIMTIGVAYYDPDAEKKVTKYSLIFFTAGMVTMVSNILQHYIYGIIGERAMKNLREALFSAVLRNELGWFEKPNNGVGFLTSRIVSDTSTVKTIISDRMAVIVQCISSILIATIVSMKVNWRMALVSWAVMPCHFIGGLIQAKSAKGFYGDSAIAHRELVSLASEAASNIRTVASFVYEDEIIKKAELSLQEPLKITKIESMKYGVIQGISLCLWNIAHAVALWYTTVLVQRKQASFKDSIRSYQIFSLTVPSITELWTLIPMVMSAISILNPVFDTLDRETQIVPDKPENPGKGWLIGRTEFQDVSFNYPSRPEVTILDGFNLVIEPGQRVALVGPSGAGKSSVLALILRFYDPSRGRVLIDNKNIKDYNLRCLRKQIGLVQQEPILFNTSIRDNISYGSESSSETEIIQAAMEANIHEFISGLPEGYSTVVGDKGSQLSGGQKQRIAIARTILKRPAILLLDEATSALDGESERVVMNSLGAKVWKDKDEQASMITSITVAHRLSTVINADTIVVMEKGKVVELGNHQALISAEDGVYSRLFYLQSNMKD >Sspon.05G0007600-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:17684779:17691954:1 gene:Sspon.05G0007600-2B transcript:Sspon.05G0007600-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSACSRKRGQLVQEDDLYSARFSKSGSFKWLLYTLPRSNSADVQRRTQGPAPGRCPSLVELCVAKVCKDINTYSDFSLLPRDLSQQIFNELVECGCLTEASLGAFRDCALQDICLGDYPGVTDAWMEVVASQGQSLLSVDLSCSDVTDSGFNLLKDCSSMQSLACDYCDKISEHGLKTLSGFSNLTSLSIKKCAAVTAEGAKAFANLVNLVNLDLERCPKIHGGLVHLKGLKKLEKLNMRYCNCITDSDMKYLSDLTNLRELQLSSCKISDFGVSYLRGLHKLGHLNLEGCSVTAACLKVISELASLVLLNLSRCGICDEGCENLEGLTKLKALNLGFNHITDACLIHLKDLISLECLNLDSCKIGDEGLFHLKGLIQLKSLELSDTEVGSNGLRHLSGLRNLQSINLSFTLVTDIGLKKISGLSSLKSLNIDNRQITDTGLASLTSLTGLTHLDLFGARITDSGMNCFRFFKNLQSLEVCGGLITDAGVKNIKDLKALTLLNLSQNGNLTDKTLELISGLTTLVSLNVSNSRVSNSGLHHLKPLQNLRSLSLESCRVTASEIDKLRLVALPNLISVRPE >Sspon.07G0004540-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:22010689:22018299:1 gene:Sspon.07G0004540-3C transcript:Sspon.07G0004540-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSARSWFQKFQPRDKSKSPAVAASHGKDPGKPPIDDAPSSATKQRVAAAKQYIENHYKTQMKSLQDRKERRWMLERKLKDAEVPVEEQNNILKHLEKKETEYMRLQRHKMGVEDFELLTIIGRGAFGEVRLCREKTSKNVYAMKKLKKSEMLRRGQVEHVKAERNLLAEVDSAYIVKLYYSFQDEEFLYLIMEYLPGGDMMTLLMRKDTLTEDEARFYIVETVLAIESIHKHNYIHRDIKPDNLLLDRIGHLKLSDFGLCKPLDSSNFPNLNEPDYTSGKVTKPLPDSTRLSNPSAPRRTQQEQLSHWQKNRRMWSLGAIMYEMLVGYPPFYSEDPMSTCRKIVNWRSHLKFPEEAKLSPEAKDLISKLLCNVEQRLGTKGAHEIKVHPWFRGVQWEKLYQMKAAFIPEVNGELDTQNFEKFEETGTQIQSSSKSGPWRKMLPSKDANFVGYTYKNFEIVNDDEVAGIAELKKKSSKPKRPTIKTLFESMDEEEPVQGSFLGMLPPKEGQPSSHSSIPPEKYQPRRK >Sspon.04G0034150-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4C:71629797:71630606:1 gene:Sspon.04G0034150-1C transcript:Sspon.04G0034150-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VAPASSSKFPLSKFEERRRQPFVTGFDIAGSSRRKADAKWLEGSMKIAVDNESSDTPQGESEGFTTEQVPYPGGSHFTGHSGVSAHTVKSLVQTASLSFRTHTQKHKEVNMGTPGASSSSLTNGSTIPRSSTMGLRPAYGHVSGGQIRGLKNLGCTSVPDAQPSGCPSESVISRRFEFMRKRAFDQESSSRSRNLSLGHSPPTDIRSTGHRIRMNEQSLSQQIPRRSSRNHQESAVSVRTRRPSPHATRMSVPDEREDGMLSLHESSTRN >Sspon.06G0010550-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:41697916:41702269:1 gene:Sspon.06G0010550-3D transcript:Sspon.06G0010550-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABC transporter G family member 14 [Source:Projected from Arabidopsis thaliana (AT1G31770) UniProtKB/Swiss-Prot;Acc:Q9C6W5] MPPQLEHHEQQQTAGGCGHRAAPAPPPPNSMPTFSSSSSSSSGTPSPTSVSATTATNPSGAVVHPTTSSPPSSATSARPAANSFPLVLKFEEVVYKVKLGKPTAGWCDKLSAFGGGDGTSKNKKATASAAGSSSSRAREKTIVSGMSGVVRPGEMLAMLGPSGSGKTTLLTALGGRHGGRAVLSGKITYNGQPFSGAVKRRTGFVTQHDVLYPHLTVSETLWYTAALRLPRSLSAGEKRAQAEAVARELGLGKVAGSMVGGVRGVRGLSGGERKRVSIGLEMLVDPSLLLLDEPTSGLDSTTAARIVGTLRRMAAQGGRTVVAVPHVRQGAAAVRRRLPLYYGRAADALDYFASVGFASPLSLNPADLMLDLANGIVPQTTSGGGADRMAALTAGSESEHKEVRAKLAAAYERHIAPAVKLDICAWETTALPAGSAGGHASSKSSSETWTTGWWTQFLVLFQRGLKERRHESFNKLRVFQVLSVATLAGLLWWRTPASHLQDRTALIFFFSVFWGFFPLYNAVFTFPLERPMLVKERSSGMYRLSSYLASRAATDLPMELGLPTAFVLILYWMGGLDPRPGPFLLSLAVVLYSVLVAQSLGLAIGAVLMDVKQGTTLASVITMVFLIAGGYYVQHIPPFVAWLRWLNYSFYCYRLLLGIQFPNGGGYYDCDGHGKLCPVAEFPAIKAVGLNNHWVDVCVMALLLVGYRIVAYIALDRLKPR >Sspon.06G0000670-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:1087720:1092540:-1 gene:Sspon.06G0000670-2B transcript:Sspon.06G0000670-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFRTMIDNMIKEGKIVPSEVTIKLLKEAMIKSENDKFLIDGFPRNEENRAAFENVTKISPAFVLFFDCAEEEMERRLLGRNQGRVDDNIETIRKRFKVFVESSLLVIEYYSSKDKVKKIDVAKPIPEVFEDVKAIFAPYSPKAEAE >Sspon.03G0018620-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:43925786:43929297:-1 gene:Sspon.03G0018620-4D transcript:Sspon.03G0018620-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHHHHTNPSHPPAARKLLSWRRVVTACAAVAALVLLLAAAPATEDPTRWRSYLMGSLPGGTRKETVAAAVVAGSFAGPAASMSSPAEAPLSSSGEINFSARIAPAAAPSMFLVPSSSPTENFDDGSMEEPEHPEIKAPLWSTAADEELIYAKKEITNAPLTSDDPDLYAPLFRNVSIFKRSYELMERLLKGPYTTKLHDELRKNTIKALCNADLSEGIFIRGKDVSLPETFLRSPRRPLRDIGGRPAAQRTILAFFAGQMHGRVRPVLLKYWGDKDSDMRIYSRLPHRITRKRNYVQHMKSSKYCICPMGYEVNSPRIVEAIYYECVPVIIADNFVLPFDAALNWSAFSVVVPESDVPKLKEILLAIPESRYITLHSNVKRVQKHFLWHPNPVKYDIFHMILHSVWFSRVNQIR >Sspon.03G0008430-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:29795961:29803811:1 gene:Sspon.03G0008430-2B transcript:Sspon.03G0008430-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFGADGTRLPQPRGDAAEAPPPPPPGDHGEIASPRFDSSRALRLLRELGRNVTEDLVVLMPNLLSFLKHDDPVVVKQSIASGTNLFAAVLEKMTLQVNKCGKLETWLEDMWAWMKQFKDAVCGVMNESKDILVRRLRVLSPGEATEQNIRQAEKMSRNIERASRAIKDESTSWEMPYGDINRKKPAARSSDILTTSDGIAKRARFDMSATSNLPVLGSSDYSDMQADDGSSVGHSSDPAILNNDVSPVEKMIEMIGALLAEGERGAESLGILVSTVEADVMADISTENAYSEDADVLQDPRRLDPRRIVSPSAVNSIQVKMETNSVHQTDNLSNTLYSNSGKAENYSDYSGDLQKNEDEQHSASQPNQTIAKDKLELLDVATEPEPTSEVEAPVDVKIHSSDVDEDMVKPMSSEVISLDESDSMDLEVDPFLPAPEASTPEDTNHDLPVITSQLELSEKGKISINKLAIGRILDDYKKNSLNARFSLLAHLIAQSADDDNIMDLIQRHIIFHSHDQKDPF >Sspon.01G0032950-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:111636754:111641204:-1 gene:Sspon.01G0032950-1A transcript:Sspon.01G0032950-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAVLRNAGSRRLFSYPTLRATAISGPAALPDAPAAAAPAHPPPMAGTLWARSMATFTRTKPHVNVGTIGHVDHGKTTLTAAITKVLAEAGKAKAVAFDEIDKAPEEKARGITIATAHVEYETAKRHYAHVDCPGHADYVKNMITGAAQMDGGILVVSAPDGPMPQTKEHILLARQVGVPSLVCFLNKVDAVDDPELLELVEMELRELLTFYKFPGDEIPIIRGSALSALQGNNDEIGKNAILKLMDAVDEYIPDPVRQLDKPFLMPIEDVFSIQGRGTVVTGRVEQGTIKTGEDVEILGLTQSGPLKTTVTGVEMFKKILDHGEAGDNVGLLLRGLKRGDVERDQVVCKPGSLKTYKKFEAEIYVLTKDEGGRHTHFMTNYSPQFYFRTADVTGKVELIGETKMVLPGDNVTANFELISPVPLEPGQRFALREGGRTVGAGVVSKVIS >Sspon.08G0015770-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:63029581:63031252:1 gene:Sspon.08G0015770-1A transcript:Sspon.08G0015770-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGVVAVEGGQDRHYGGRITAFVVLSCMTAGMGGVIFGYDIGIAGGVSSMEPFLRKFFPDVYRRMRGDTRVSNYCKFDSQLLTAFTSSLYVAGLLTTFLASRVTAGSGRRASMVLGGAAFLAGAAVGGASVNIYMVILGRVLLGVGLGFANQAVPLYLSEMAPARLRGAFSNGFQLSVGVGALAANVINFGTEKISGGWGWRVSLALAAVPAGLLTLGALFLPETPNSLVQQGRDRRDVARLLQKVRGAGVDVGDELDDIVAAAAAAAGEGGAGGDGLRRLLMERRYRPQLVMAVAIPFFQQVTGINAIAFYAPVLLRTIGMGESASLLSAVVTGVVGVASTFASMLAVDRFGRRTLFLAGGAQMLASQVLIGAIMATELRDSGGVGKGWAGVLILLIAVYVAGFGWSWGPLGWLVPSEIFPLEVRAAGQSVTVAVSFAFTVFVAQAFLSMLCHMKAGIFFFFAAWLAVMTAFVYLLLPETKGVPIEQVARVWRAHWFWSRVVGPDPDADEVRAGGKLERGTI >Sspon.07G0012370-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:50594212:50596026:1 gene:Sspon.07G0012370-3C transcript:Sspon.07G0012370-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLCCQSIARRRRAFLPFLMTENTLLDIPLHAMESSSLCQLARILFFYQKKKLTEAFADTPIHSGESLGTPHLSPFMKPLGSNYTHGVNFAMAGSTATPGATTFSLDVQVDQFVFFKERCLDLIERGEAAPIEEKAFPDAIYTMDIGHNDINGVLHLPYHTMLEKLPPVIAEIKKAIEVNVTQRSDRLHKNGARKFWIHGTGALGCMPQKLSMPRDDDRDLDEHGCIATINNVCKKFNSLLSEALDELRLTLKSSTIVFVDMFAIKYDLVANHTKYGIEKPLMTCCGHGGPPYNYDPKESCMTSDKYLCKLGEKFISWDGVHFTDAANGIVASKVLSGEYNIPRVKLTSLLPKAKSDD >Sspon.04G0011900-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:36668939:36672136:1 gene:Sspon.04G0011900-3C transcript:Sspon.04G0011900-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYLPPHKRHPSTTASTSTPAPNPPPPSLSSSLRSLSLSSPRGRGRGAGGRHPRASTKIIHAAGCISRWSPLPPFSPGGDGEEHTLRLEPFPCAPIEHRTGAKPLALVVASTPGQGSSGSTAAAVTAIAERFLPDLLVAARGPRRKARLRRSCGGSPVSLDTLRQAAKAGEDGSKSQLRKSFYTNVPSECLDDMERSMMKRMGLDFDSSKEHYHVKVFDKNQSDSTISCKCTVEEDGSLAIHKSEVENAVKSLVSAAVIDPNVKGGIRWPLGKESIGERFSIVGIRSPEFTGEVSDEVTFKLTAISRKLEEEGDPVESSVKEMLESAVQMVWDNALNYQIVP >Sspon.06G0034380-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6D:44561684:44566596:1 gene:Sspon.06G0034380-1D transcript:Sspon.06G0034380-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASDVEMGRENEGHGRLKVSPWRSSCSRRGRAGCDKCMHAGMGEGKASCSVDLDAEEVGSRLMIDLGKPPVPGYGTCGAWARRPVLETRRAGRGQAVGASDWKPFSFPFSSSHPSPPIAAAAGGLAPPTASQPSAQIEPPPSPNRVLAVVGLAPPHRPTPGAEAFAQIEPPPFQIGFWLGSRRRPTPGAEAFADLFAGEERPAGAEAFADLFAGEERPAVSLLANRVQAPEAQMSDEYNMDEALKAKNVAETKFHARDIRGARKYALKAQNLCPTLDGILQMVSTLEVHLASESKIDGESDWYRILSLGAFADEEEVKKQYRKLALVLHPDKNKSVGAEEAFKLISEAWSVLSDTSRKVVYDEKRRNHSVVNVTNGIYTYDKKANKRARKNAAAAAAAAAAAAAAAEATTRPVGVDTFWTSCNRCRMQYEYLRWRLGSLATEPVRHSTNAYSTQALEKQRRKHDESYSYIPRAAGLALHAASLGRWVVPGLPGRSASVELRFGLLSKLRWTWIEQRASLLTATLRRCSRARRKLGSHPHPRRPTPGAEAFAQIEPPPSQIGFELGSRRRPTPGAEAFADLFAGEERPAG >Sspon.02G0058050-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:69062565:69063325:1 gene:Sspon.02G0058050-1D transcript:Sspon.02G0058050-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVAIGLQYVHHEYEPTVLHRDIKTSNIMIDSTFLGRLGDFGLACTVDIRKNSYIKQGDLRGTQGFTAYEYLNSGRATRKIDIYAFGVLILESVTGKPPLRRYGDHITDWGKLLDAVDPVVTREQFDSSDARLVQTHQNGPDVRAQVITKLLPPPYVPLEKPRFMWPPEDESSSSLHHRPMAMIGEHSQEQEDVSVYHTTRL >Sspon.07G0031310-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:22526640:22532618:1 gene:Sspon.07G0031310-2D transcript:Sspon.07G0031310-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKEEGEGQQQREQPTPAEGGAGGSGGGGRGGRCSGGCCGAVRPQCAAALLLGAAVALSALFLLPPFVGRGGRAAARDPDAAFAGQPALRDLARLASIFRRRFIKLKEYYYIKRFNRASGPHECLIHRENYLCSRIHALFAADIVASFMLQKTVSELSESTSKLEFDIYEEVGIPNSTVRHNEVHYLYSSCHTQCVQFSIMVTINFLQPLGASNWTYVIFAIVPYPVHSTISPTWLSILRSSFMSLVVEQSTLHLTESLFGTSSNFEVFKFPGGITIIPPQAAFLLQKPYASFNFTLNFPIYKVQEKTNELKDQMKSGLLLSCTLQNLYIKLTNSKGSTVAPPTIVQASIVLEVRNHQPSLPRMKQLAQTIANSSSGNLGLNHTVFGRVKQISLSSYLRHSLHSEGGTDTPSPAPMPYQDHPQHYHHHHHHHHHHHHEHHHHNKSHEEKKHFAPSPAPVHSPIEQPKYRSPSPSCPYGYTTKPKNKAPVAPAAEPVASNHHYASPATIPHAVPPPSISPSPFVHHSPNNPRRDNSAPTPSPALAKPHLHGVPLVHGHHHAQMPAVAPGPHSCAVISKQYSFVKIPGAKIPNICHPKALVPLGPRTPDVYVDGSALT >Sspon.08G0007010-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:19392707:19398131:-1 gene:Sspon.08G0007010-3D transcript:Sspon.08G0007010-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAASVAERAYEVATRSALVALERNLIPDAVTRRLTRLLLAQRLRQGYLPSAPLQLQQLLQFVRSLEEMPIAIETDKAKAQHYELPTAFFKLVLGRNLKYRENELSNVEIIVADISKFEMERSFDRIISIEMFEHMKNYKSLLKKISRWMKEDGLLFVHFFCHKTFPYHFEDKNDDDWITRYFFSGGTMPSANLLLYFQEDVSVVNHWLVSGTHYARTSEEWLKRMDKGIASIRPIFEKTYGKESTIKWIAYWRTFFISVAELFGYNNGDEWMVAHYLFQKK >Sspon.01G0018840-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:38714346:38716281:1 gene:Sspon.01G0018840-3D transcript:Sspon.01G0018840-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LRRCHDGATPLHLPPPSRRRRAPPLRLRSSPSPTALSLSRRRRARLQSARLPHAPRIRRAAPPLLPSALSRARAARLPLLPVTRALAASALLRHGRLPDALAHFSLLPDSDPTAPLPAPLCNSLLAALASSTGSLVHAGKVLDRMLAGAVELDTVGFGVFVKAAGRKDNGLAEVLRMVDVVGCQGRQVNRSVVVAMVVDGMCREGRIEDAWRALEEMRLRGWKPDFVAYRIVAEAFRVAGRVEEEGRILKQKRKLGVAPRKDEYRECLLVLVSNRLVTEAKEMAEAVVLGDFPIDDDVLNILVGSVSEIDAEGAAMFCKFMMGKGRLPSTEMLGHLCESLCKSGKGDEMWEIFRMLLDKGYCRNARDYHLVVSFLGKAGKVREAYDVLKEVKKKRLEPDISSYNSLMEALCRNDLLRPAKKLWDEMFTSGCTPNLQTYNILITKFTEMGESEEVQQLFDHMFHKGVAPDGATYMSFINMLCQENKYERALEIFKKSWMQDVGVASSVLSSFILALCEQGNFKAALNVMCNVPSNVENLNSHIILLKYLTDVGEVEMAIEHLKWIRSNCSSNFENVMNELMASLSTSASLQHVTKLIQYLHSQRLVDDADPWMRLMGN >Sspon.08G0009630-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:42380211:42382935:-1 gene:Sspon.08G0009630-1A transcript:Sspon.08G0009630-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MANNATNPDARGQQTTDNSDTTGTGRRNDATTGSSNLGEGVADALDAKSSKANVAAEKVLSVSANLAKLLPSGAVLVFQTLSASFTNQGACNRLSRRLPHRRLHLPHLHRQHRAQGQDLLRRGAPAPPQRARAAQGARGELKERRLKTLDWVHAFFTAIVFISIAMGDVGLQKCFVPDLDSDHMKNVKELLRNAPLGLALLSSFVFMIFPTRRRGIGFDNGGRGDTPPSEEDKKKQQQSKDNNASNNEEGSNPDWCGVCERAGVGKESLRCQYRGRWWGDFEVFVVLPPDSPAFPCLRRPMWHLQIRSYNGGARMVKEMEGPMLGEGLPEEKLQEPCYNDGCPGCPYIEFGARDDTKRRFSRPKDQEPKGGPS >Sspon.06G0013810-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:72106266:72123766:1 gene:Sspon.06G0013810-1A transcript:Sspon.06G0013810-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYYLELMMEIVYLELVQDLLKLEAIQAELTEYNGGQPTFSQWATAAGVDAKTLRKRLNYGIYCKNRMVTSNVRLVISIAREFEGPGMDLYDLIQEGMQGLIRGAEKFDASKGFRFSTYSHWWIKQAMRKSVSEQSQIFRLPAHMVEASYRVKECTKRLRHKLRRRPTNEEIALDTGMPIKRVEAAVNLPKYSVSLDSKIGSTDMTYQEVTADPSAETAEEMLNRMSMKKDVHKALDTLTTREKQVVGLRFGLEDDRIRTLQEIGNIMGVSRERIRQIESGAFRKLRSKKRVKALKDYLVPVGN >Sspon.06G0029420-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:22983160:22989103:-1 gene:Sspon.06G0029420-2D transcript:Sspon.06G0029420-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRFGLGTSFTEARRTLTTVRSHGGLRRPLGGGEEGNAAHLGSNRGGGCRGGGTGELRRPVVSSEKKGEWRLGFSLLQTRGKEEEEMDGCPSCARQLAKAPGHAAAFFCRGATQAEPDSKAKTLPPIIKAFTWRLIRPARYSNHIDKHCSTCGAIEDDAHLFFHCHLPQAPPMATNNSSNSTSTELQLTTEYAASTLIGTIAEQTHDGRRHQSGTAPDWDNGRTDPSQKMAKERGHVARFTPGHFSKMPSNFSEINPQIAMASQFAQPDRASPVPTSDSARQCKRQVRGPTEQNLLDHYYTGGGGLQTA >Sspon.02G0046730-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:6383851:6391784:1 gene:Sspon.02G0046730-1C transcript:Sspon.02G0046730-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding METSSDLVIAIGRRGSVHLSDLSDYSGSGSEYDSPSPPVAQVVHRSSPVSAATPPARAPEVELPVETEAPAETEAVRGGSPVSATASPVRAPAVEQPTEAPVSTDAPRVKRKRRRRKRPRQRQQVETPYLTSDGCSDDNRPARIPVHLRLGNRAGEPHGPTSSSGRAAAGTESAAVRTTAQLRLGAQPPRRRRRISPPDAEGWREVLTRQEAEPQRQRPAPRPVRQAPRSRGIPDDMRDRCLNCLSFSHRIANCHRPLRCRLCLGFWHLARDCTLSMAGGGVAVDGQRRIPRVSPRPGSSCTPRGSVVGSTPPRSDPPVHADGDAAPDGQRRILLAMSRPWSPDTPMGSAAGSTPPGSPPAVQPATSSDRREHHPQGHPDARPSVACCFIQRSTAINNAEDALRFSLTALVAVSTRQILPSEAVRAVGGIRGIEEGSFSIVPFFPENFIIHCRSQETRDRILGSGTVPVPGTFLVLRPWTRLARASGGELRTKVNIELEGVPPHVWSEDTAAKILAPSCWIQSIEPASEGKSDLSAFRLAAWTDNPGSIPRAVRLFVAENERRWPRRTPGAACPLRPHCNGRGVADGGPSNHRLGEDRVREADIHNHEVLQAPVQQHHTDHPGAVVLGSAAMEGDQCWEPLDLSCDGVRGDTGGQPASDPMVLEAGLHRVRTPRLAPTDESLVAGEDAPATVEPEERGDAGGQPASDPMVLEAGLHRIQTMGVAPTSFVEAPVPGESEKLPTSSPIAAVDGVEMVVEALAEAAGAPIVEPLLTPALEASPELQLTQHVPSDVDPGADAGAPAVAPENSEDLPVAHATATVGSAPCPAMGVVDAVSMPPSVDDFISSISTNIETPILTSRPKLRVSQEPDYSIVPRRSLRLADKPKASNPEVQATRVMLKKFGKYDPPPSSDESGARRFKETFGGALSSSTMEAMRGYSLMGYIKNIPILKGDNYIKWKRKLDLAFILGEVDRIVTTSCSTKPEAPDPIEPVVTHEEEQQQPQAEDVPNVKAPRRSQRARRSVILDDYEVYDTKEFHIEDDPTSYEEAMRSAHSSK >Sspon.03G0036910-1T-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3C:90683299:90683556:1 gene:Sspon.03G0036910-1T transcript:Sspon.03G0036910-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LAGVQLFCSPRQGFPRSFWSAIIRSEANGNGVASPDMVSKHSKEELIAFFRDIQTSIAESSPKASKRTRKQPPDPLKEVHRREQS >Sspon.01G0020700-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:76772710:76775303:1 gene:Sspon.01G0020700-1A transcript:Sspon.01G0020700-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADKEKACVGYQHGPPWVALYQLHLVKASTARAFVPRDLRLVEAFGYTLGGMFLARYHDSPAGEFDEIRFDDRPLPFCAPAARGDRRHCVESADLLRVSSPSLAPVHCICICICIALHLLWAARVLVNSIEACRHGRKEVGLPSHVATFSKVLRYKPIATFRKYDCWLGLKNTEAASLGDKPLVKPNSFLNMLGIGSTTSKQGNGREIEISETKGSSTRHLCNISLPLTGSHKNHKWMGPAIRMSLPSFSGRTEDNPDLLKYSCQVECRVRPVKPSRIWSPRTTEPQEKSDRKINSSGSNVIANLDAQSQSISVLLSRPIFALEFNSLRMHVDAPKIVVPHCKKKK >Sspon.03G0015260-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:72304826:72305678:1 gene:Sspon.03G0015260-2B transcript:Sspon.03G0015260-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MERVASSCVSLLAQRRVYSVAAAMAKGAARRADEKKAAAAAVTKRVMGKKEVNTAAAAAEEKKAWVPDPVTGYYRPAGSAAKEVDAAELRAKLLPEASAN >Sspon.08G0014700-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:56851053:56856061:-1 gene:Sspon.08G0014700-1P transcript:Sspon.08G0014700-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDAGAGGGGQPPPAQQQPAAGAGMMENIQATLSHGGRFIQYNIFGNVFEVTAKYKPPILPIGKGAYGIVCSALNSETAEQVAIKKIANAFDNKIDAKRTLREIKLLRHMDHENIVAIRDIIPPAQREAFNDVYIAYELMDTDLHQIIRSNQALSEEHCQYFLYQILRGLKYIHSANVLHRDLKPSNLLLNANCDLKICDFGLARTTSETDFMTEYVVTRWYRAPELLLNSSEYTAAIDVWSVGCIFMELMDRKPLFPGRDHVHQLRLLMELIGTPNEADLDFVNENARRYIRQLPRHARQSLPEKFPHVQPLAIDLVEKMLTFDPRQRITVEGALAHPYLASLHDISDEPVCSMPFSFDFEQHALSEEQMKDLIYQEALAFNPDYQ >Sspon.06G0011280-3C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6C:48100876:48103407:1 gene:Sspon.06G0011280-3C transcript:Sspon.06G0011280-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ATAPLQSASRRCLQPPNPQLVRSPPAFLFPEPVEDAAKGKRKRSFRFRPFRLQTGSLAPDYARTPAFCQRHFRYAAVRADSANIWRSKSKASEGASQSVTRSASSSSSLSTEVSSDGGYTSGFEEEFGLNPDSDSDGQSGGFELDERGKEIASISKKLPYDGVMLLLFETPSGFALFSFPGVYCYLPDSVDNLWAEFGDPLKATWIVYPKGFLSLEDKSSAITDAGVDKQLVDMINKWHRPGMNLCVGKTEYKRIIESVLEIPCMCCQTVMEIMWGIQQQMSVLVPREKSKLTKEDRLPMSQGLKKFLSHYGYDVKPEMVNEKIVMTACSLFHYDVDDEKNLGLLRDAGLNIRDISGIACEDWDILKLAIAVKVICCPEEELRGFDRVLAEDVVSKLKGDARKYEGIPIRVCWLRAYKAAVSNRQQRIAKEELLWSLVKKAKEAYEVRRTPRLRASLVAKYFAK >Sspon.06G0008270-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:34042274:34042801:-1 gene:Sspon.06G0008270-2B transcript:Sspon.06G0008270-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPAPSVSRPTSTSTASPAAPPPPAAAALPASPWHSPVPYLFGGLAAMLGLITLALLILACSYWKLNNYLGTGRDATTSSSSSGPGVTDGDDGSKSPAAASPATFADLVAVVMAGEKMPTFLAAPIVCRAHGNSAAATGTGEGSPETEEEQESRGKAGEGESGVVADAEREWQLDQ >Sspon.02G0020210-1P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7A:56955471:56955797:1 gene:Sspon.02G0020210-1P transcript:Sspon.02G0020210-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDDGSLGIRNWGFYDTVKGNLGLQLMSSVPPDRDTKSLLPNGAFLQHHGHHNAPHQLHMQHPQHSRGPAGGRASVGMPAESQPVHMDFSRNEAWLHQLHHQRPPEQK >Sspon.05G0020700-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:87792242:87794499:1 gene:Sspon.05G0020700-1A transcript:Sspon.05G0020700-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAWEATKVVFDRVRALDPENASKMMGLLLIQDNSDKELIRLAFGPEHLLHAFVATARAELAGKPASPPSPVLGPLQTGPPWGLPSPGAADHQHSPFAADQLGYDGGADAFYADDYDVWSPAGAAAHRRSFSLSDAEAAAASWRPCMYYARGYCKNGSSCRFLHGVPEDDAAEREMAVMRAKALAAAPPQLMASAYPFSPSPKGGVSLSFLLQQHQQQSETQRCHFYPAAPPKGRRRRRRGMLLGGEDMHRFPVRSPRMGMDRGDLIASPAARQIYLTFPADSTFSEEDVSNYFSSMFGPVQDVRIPYQQKRMFGFVTFVYAETVKVILSKGNPHFVCDARVLVKPYKEKGKVPDRFRKLQHAHHGAEFAGCTSPTGLLDSRDPYDLQQPQIDCIAGVLFNLTCWFWGCLGPRMMYGNIANHEAFLRRKLEEQQQAAELQQAIELEGRRFMGLQLLDLKSRGHHLGLGSPVGSGSPMSLGQADGKGSGNNGNGNAFHLEDVTGIQDKKMSSTSSLAMSAPAAASATDAEGKHEEQQGEGGDGSPKQAVNPGEEEKVESGPVTATPNAACGFQE >Sspon.06G0013190-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:56546730:56550010:-1 gene:Sspon.06G0013190-1P transcript:Sspon.06G0013190-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTMALGNDTTCILSGSGRPTATPCAASSRLRRVLGRIDGFGTGGSLVYNSSMPGACARKKSCPCDIISGSGALCGTGDAEDGEELAVCQACPLRLNASRILIANGRDPETTAGRRRPRPWPSSRRLCRDVEAMVMPAPLVSPQRPARPLGCEEFTLRDLSRITDGFSVEKKIGSGSFGSVYRAKLPDGREVAIKRAERSGSGSGGRRRRRFDAERAFRAELRLLSRVNHRNLVQLLGFCEERGERILVFEFMPHGALHDHLHGGSSSSSSSGYSPLFASWEARLRVALDAARGVEYLHCYAVPAIIHRDVKPSNILLDGEWTAKVSDFGLSLASGSTAAAAAASSSATAGTELTERSDVYSFGVVLLELVTGRKAIRRTSQHGSGSPRNVIEFAVPAVETGNITRILDERVPPPRGHEVEAVARVAKIASECVRPRGRARPIMSEVVAELEWAVTLFEESVVAGAAAAAGGQNSSRHGGSDLSRSRSRSESDDPSPFHTRELGLGFGFGLGSSRPITHGREAY >Sspon.02G0003400-3C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:11241206:11246052:1 gene:Sspon.02G0003400-3C transcript:Sspon.02G0003400-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRFAADKARRAVAASLRGAASRSAAPSPHPPAPRHSASPVGAAAMAAAMARAMSTAAAGAPPVSLDTINPKVPLVLKCEYAVRGEIVTHAQNLQQELQKNPESLPFDEVLSLCDHPVLLDKSETHALYSSDAIERAWQILEKIPGRATGAYSHSQGVKGLRDEIAAGIAARDGFHASGDNIFLTDGASPAVHMMMQLLISSEKDGILCPIPQYPLYSASIALHGGSLVPYFLDEETGWGLEVDELKKQLDEARSKGITVRALVVINPGNPTGQVLAEENQKKIVEFCKNEGLVLLADEVYQENIYVEDKQFHSFKKIARSLGYTDDDLPLVSFQSVSKGYYGECGKRGGYMEITGFSPEVREQIYKVASVNLCSNVSGQILASLVMNPPKAGDESFESFMLERDGILSSLARRAKALEEAFNSLEGITCNKAEGAMYLFPRLHLPQKAIGAAQTAGTAPDAYYAKRLLEATGIVVVPGSGFGQVPGTWHFRCTILPQEDKIPAIISRFKEFHEKFMDEFRD >Sspon.05G0009970-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5D:31003750:31006006:1 gene:Sspon.05G0009970-2D transcript:Sspon.05G0009970-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tyrosine--tRNA ligase [Source:Projected from Arabidopsis thaliana (AT3G02660) UniProtKB/TrEMBL;Acc:A0A178VAQ8] MAAAVAMAAAASSRAFLRPHRCVLLSHTHPLRRRLSTNATASAPASTAASAGVVDVLMKRGLVEATTSEALAAARSGELKVYCGFDPTAESLHLGNLLGLVALSWFRRCGHTAVALIGGATGRVGDPSGKSSERPELDVAAVEANSDAIKSLVAQILGRVPEPAHHSHRGQKEQPLANSADSSQRMGSFVILDNYDWWKDITLLDFLREVGRFARVGTMIAKESVKKRLASEDGMSYTEFTYQLLQGYDFLYMFKNMGVNVQIGGSDQWGNITAGTELIRKILQVEGAHGLTFPLLLKSDGTKFGKTEDGAIWLSSKMLSPYKFYQYFFAVPDIDVIRFMKILTFLSLDEIQELENSMKKPGYVPNTVQKRLAEEVTRFVHGEEGLEEALKATEALRPGAQTQLDAQTIEGIADDVPSCSLAYDQVLESPLVDLAVSTGLLTSKSAVKRLIKQGGLYLNNIRIDSEDKLVEEGDIVDGKVLLLSAGKKNKMVVRRRCKSACSKRRCAEAPARVGNTSSGFGTGTGTYRRETTSGAGEWPSVKGTRCKLSRKRASSIHLNREWVGEL >Sspon.01G0047700-1P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:104898147:104901189:-1 gene:Sspon.01G0047700-1P transcript:Sspon.01G0047700-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKFTAEELRAIMDKKNNIRKSTLTDSLVAAAGIIAQEVAGDVRMTDTRADEAERGITIKSTGISLYYEMTDESLKNYKGERDGNQYLINLIDSPGHVDFSSEVTAALRITDGALVVVDCIEGVCVQTETVLRQALGERIRPVLTVNKMDRCFLELQVEGEEAYQTFSRVIENANVIMATYEDKLLGDVQVYPEKGTVAFSAGLHGWAFTLTNFAKMYASKFGVDEAKMMERLWGENFFDPATKKWTTKNTGSPTCKRGFVQFCYEPIKQIINTCMNDQKEKLWPMLQKLNVTMKADEKELIGKALMKRVMQTWLPASTALLEMMIFHLPSPAKAQKYRVENLYEGPLDDVYATAIRNCDPEGPLMLYVSKMIPASDKGRFFAFGRVFSGKVATGMKVRIMGPNYVPGQKKDLYVKSVQRTVIWMGKKQESVEDVPCGNTVAMVGLDQFITKNATLTNEKEVDACPIRAMKFSVSPVVRVAVQCKVASDLPKLVEGLKRLAKSDPMVLCTMEESGEHIIAGAGELHLEICLKDLQEDFMGGAEIIVSPPVVSFRETVLEKSCRTVMSKSPNKHNRLYMEARPLEEGLAEAIDEGRIGPRDDPKVRSQILSQEFGWDKDLAKKIWCFGPETTGPNMVVDMCKGVQYLNEIKDSVVAGFQWASKEGALAEENMRGICFEVCDVVLHADAIHRGGGQVIPTARRVIYASQLTAKPRLLEPVYLVEIQAPENALGGIYGVLNQKRGHVFEEMQRPGTPLYNIKAYLPVIESFGFSSQLRAATSGQAFPQCVFDHWDMMGSDPLEAGSQAAQLVLDIRKRKGLKEQMTPLSEFEDKL >Sspon.01G0045130-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:87212376:87214186:-1 gene:Sspon.01G0045130-1B transcript:Sspon.01G0045130-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MYMGRRLSLARVSAVATTTMVVILSLSSSLCLSAAARAGAWTTGAVAAEQQRHPVVLIPGTGGNQLEARLTEDYKPSSLVCRVWPLVRGRGGWFRLWFDPSVLVAPLTRCFAQRMTLSYDADADDYRNAPGVETRVSDFGSTSTLRYLDPNLKLLTGYMNTLASTLEDKAGYEEGRDLFGAPYDFRYGLAGPGHPSQVGSAYLQRLRLLVESACAANGGRPAILVAHSLGGLFALQLLARSTPPWRAAHVQRLVTLSTPWGGSVQEMLTFASGNTLGVPFVDASLIRDEQRTAESNLWLLPTPKVFGNTTLVVSRSHNRSYSAKNMTQFLRDIGFEEGVEPYRARIRPLVEALPEPGVPLTCLVGTGVDTVESLVYGDGGFDDDPEEVVYGDGDGTVNLASLVGPIKAWSDSPAQVVEVVELPKVSHSGMLNDKSALEQIIRIVDSINFNATGYHQSS >Sspon.01G0008970-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1A:25028907:25037768:1 gene:Sspon.01G0008970-1A transcript:Sspon.01G0008970-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPADGGGEQPHKAHRQHKSGAKARKKKGKGKGDGGDDAGGQQKNPKAFAFQSAAKAKRLQARSAEIEQRRLHVPIMDRSIGEPPPFVVVVQGPPQVGKSLLIKCLVKHYTKQNLPEVRGPITVVSGKSRRVQFVECPNDINGMIDAAKIADLALLLIDGSYGFEMDTFEFLNIMQVHGFPKVMGVLTHLDKFKDVKKLRKTKQRLKHRFWAEIKEGAKLFYLSGLIHGKYTKREVHNLARFISVIKPIPLSWRMAHPYLLVDRFEDVTPTESVRLNRKCDRTITLYGYLRGCNMKRGIKVHITGAGDFSLSGVTGLADPCPLPSSAKKRGLRDKEKLFYAPMSGLGDLLYDKDAVYININDHLVQFSKSDENDAPKKQGKGKDVGVALVKTLQNTRYSLDEKLEQSFINFFGGRPAAQSKNSDAEGNVISASQDDQGDTNLQQVDNGNNSNAVTMESNEHSEGSSDSEEDNDDIQLRDRDVDLREEVEICNGRLRRKAVSANFLDDVDDEGTDEDGGDDEDSGDDQLSGDSASADDSGEASDSEDEFENTSKWKESLLARTLSRRSANLMQLVYGLPSTKLGGVALEENDDSEANSSDDEFFIPKGQKQAKNESPSFDDIDAEDCSKFFKAELRDWSNEDLIKSIRDRFVTGNWSKAALRGQDTDENGEDGEEIYGDFEDLETGELSDDEVDDVKKKSRQEQSNGGGYFDKLKEEMELRKQMNMSELNDLDEETRVDIEGFRTGTYVRLEVHGVPFELVEHFNPCHPILVGGIGLGEENTGFMQVPFRITATGWVQEFNNTARIVKKIKLTGTPCKIFKKTALIKGMFTSDLEVARFEGAAIRTAAKIEPGDMLKRKGENTEGIARCTFEDRILMSDIVFLRAWVNVEVPTYCNPVTTALQPREQTWQGMRTTAELRREKNIPTPHNKDSVYKPIERKPRKFNPVEIPAKLQQLLPFKSKPKDTPKQKKVPVENRVPVIMQPSEKKTHAAIQQLRLIKQEKAKKKKIKEQQKKKAYEAEKAKTELLTKKRQREERRERYREEDKQKKRARR >Sspon.04G0028560-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:68685972:68690194:-1 gene:Sspon.04G0028560-2C transcript:Sspon.04G0028560-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQVWGRSSKDMTAMPPPRHRGAAKKPMWIIVLLSLVCIALMGAYVYPPRRYSACYFFASSVCTPFKDWLPAVAREKTDEEIISSVVIRDLLSMPMAVSKNPKIAFMFLTPGTLPFERLWEKFLQGHEGRYSIYIHASREKPVHSSSLFVGREIHSEKVVWGRISMVDAEKRLLANALEDVDNQFFVLLSDSCVPLHTFDYIYNYLMGTNFYLTSLTEMKISSHVYSDLVNVISFLDPGPHGTGRYSMEMLPEIEQRDFRKGAQWFAITRRHALLILADNLYYNKFKLYCKPAEGRNCIADEHYLPTLFNMVDPGGIANWSVTHVDWSEGKWHPRSYRAADVTYQLLKNITSVNENFHITSDDKKVMTRTPCMWNGTKRPCYLFARKFYPESLNNLLKLFS >Sspon.05G0027280-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:43500708:43508050:1 gene:Sspon.05G0027280-2C transcript:Sspon.05G0027280-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding PTHPSLDSPKKRRNPLIFFLVSTSLLSSIHSSAPDAVGRGQSSKRRRAPPVPSSPPIDADRGRLLAGDADRGPGGAASAKREHLASSLSIGGPLSIDRRRHEGTSSLPSHNLNIDLNINQADQFGSDDVDLVHGNGQSRANTVTAHRGSFITERILHHGVSSDAIGSSSRNAESFDGAAGQDSHPAFKRKYLDGCDAESSSNGSSRNRHQNNSTLLPPPTTRETDSTNLLSASGSRSNQQNSGSSSSSMLRPAVNVGAQQVPGFNVSQPSTTLRGSSDVSRRSLISAGVSLSRTSSIALQHRGTSSTSQEIRSHQPGSSSRAHQQQSLRPGHSSIDRQSSGYLDLQSFMQTIASREGGRPMSEIRNVFDQIRQGRNARLEELLALGERIGYEDYVEGEDLGRLDCGHDFHTACIKQWLPDTGTSAGGLNI >Sspon.01G0037770-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:22021238:22022104:1 gene:Sspon.01G0037770-2C transcript:Sspon.01G0037770-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYGNASTGTTAAPQQYNYCRSVSPPSRVSSCSPPPPPPPAVQVVGNVPPMVVMSPCAACKILRRRCADGCVLAPYFPPTEPAKFTTAHRVFGASNIIKLLQDLPESSRADAVSSMVYEAEARLRDPVYGCAGAVCRLQKQANELKVQLARAQADLLNAQAQHANLLALFCVEMANRRGSQQQQHPSSPLTVDGGGGGSGFGAAYQQTFYDSDMDSATWPDHEAQLWT >Sspon.03G0046550-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3D:40001623:40008857:-1 gene:Sspon.03G0046550-1D transcript:Sspon.03G0046550-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NEDD8-activating enzyme E1 catalytic subunit [Source:Projected from Arabidopsis thaliana (AT5G19180) UniProtKB/Swiss-Prot;Acc:O65041] MASPDAEQPAPTEPERWRDLDMLLSRPGNLVEASFDPSPGLRDLLGSLVEVLVVGAGGLGCELLKDLALSGFKKLHVIDMDTIDVSNLNRQFLFRVQDVGKSKAEVAAKRVMERVNGVNIVPHFCRIEDKEIEFYSQFHIIVLGLDSIEARSYINSVACGFLEYDSNDNPLEETVKPMVDGGTEGFKGHARVIIPGKTPCFECNIWLFPPQVKFPLCTLAETPRTAAHCIEYAHLIKWDEVHPGKPFDADDAEHMQWIYSEALKRAELFGISGVTYSLTQGVVKNIIPAIASTNAIISAACALEAFKLISGCSKSVSNYLTYNGLEGTHIKVTEFVRDKDCLVCGPGTLVELDTSSTLSDFIKMLEEHPTLRMSKASVTHEGNNLYMQSPEVLEQMTRPNLSVPMFELLKEVPYTTVHATGMAENNGKKVSSLRKLRVSFKGIEEASKMDTTVSS >Sspon.07G0007990-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:19077632:19078609:1 gene:Sspon.07G0007990-2B transcript:Sspon.07G0007990-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding EGGGAQLVRARRRRGAAAPAPGRADAAHADAHHARSRRRLRHRHARRRRGGWWWRGGGRGRRARHQRRWRVVGRGLQRRRGRRRRRRAGADAQAAAPRVDAAAAQALRRRRGAPRHQERRAQDHNAADERRRPHARERRVPPPEVPPLPQAHAGARRRRRGRGRGRRRRRGGKPLIRFRHRRRHGAPLRHGARPVPPAGPRPPRARRHLPALLPHGRRRAPPPPAPRPADRSLPPPRRRAPTRPPLRWRRRWRRFRPRRLPEPRRCAARRRPARDAPPPHGRRRQRDGDDGARLLRRRDGPRIPRRWRQRRPPRAHAVPDVRGPLR >Sspon.02G0049000-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:31937011:31939833:-1 gene:Sspon.02G0049000-1C transcript:Sspon.02G0049000-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALPRAAPPTPAALLPLPRAAPPLLLAGRAAAARRSRLRARGPSAAARRSWVVASSSSRAVLGGVARREAPAAPQKPTQQRTKNTKCRVDKQLRVMERQVTAVVAADLNHILSERGACGVGFIANLKNVPSFNIVHDALLALGCMEHRGGCGADNDSGDGAGLMSAIPWDLFDDWANKQGLAPFDRRNTGVGMVFLPQDEKSMEEAKAGRNAKETMPNIQQIFVKVAKEDNADDIERELYISRKLIERAAKSFSWADELYFCSLSNRTIVYKGMLRSEGNLNWMRSRETTLQSPVWRGREHEIRPFGDPKASDSANLDSTAEVRNRALLLKL >Sspon.07G0011240-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7B:44440840:44443914:-1 gene:Sspon.07G0011240-2B transcript:Sspon.07G0011240-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRTGLQFMGLYHNEWAEALGIEISSPRAKDGTMVVNRTSLSSGKLMPSLSFKLWEAEAVVASMDHDRSRPSECLIRDDSDSEVVFMATPTSPRVSSSPKCELDAAAVKLQKVYKSYRTRRNLADCAVVIEELWWKALDFASLKHSSVSFFNGGKPETAASRWARARTRVAKLGKGLSKNGKAQKLALQHWLEAIDPRHRYGHNLHIYYDVWSKSESTEPFFYWLDIGEGKEVNLEKCPRSKLQSQCIKYLGPKERQEYEVVVERGKLVYKKNGAVVHTLDDSKWIFVLSTTKALYVGQKKKGSFQHSSFLAGGAITSAGRLVVKEGILKAIWPYSGHYLPTEENFKDFIRYLEENGVDLTHVKKCPLDKDDLYPLLSKPEAQPNAAVSNGTGGAEHSTTSAATDEHTSDSDAADGDVHRATGDGNMSEAEEDDTDTHAHTDIDTEEGAQQHELPLPPSSAAAAAELGRKNHLTCRWSTGTGPRIRCVRDYPQDLQSRALEHVNLSPRLAGSPSRKRDPVPSPRPSPAMILSPRLASVGFQPQTAVSLTLPDFKRS >Sspon.02G0039350-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:42337168:42340459:1 gene:Sspon.02G0039350-2D transcript:Sspon.02G0039350-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MANSNLPRRIIKETQRLLSEPAPGISASPSEENMRYFNVMILGPSQSPYEGGVFKLELFLPEEYPMAPPKVRFLTKIYHPNIDKLGRICLDILKDKWSPALQIRTVLLSIQALLSAPNPDDPLADNVAKHWKANEAEAVETAKEWTRVYASGA >Sspon.03G0015080-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:68672972:68677682:-1 gene:Sspon.03G0015080-2C transcript:Sspon.03G0015080-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEETPPGQRPQPPPAHASPPFAPAPFTSPPRVFSPGLAAARGTPSPGPATAHLSTPPGPPVFSSPLRPAAVPFRATPASPHPVPFAASGGYSSSSSATAATTASLPTSSAPHFLNGAATPHGDHAPAPSPLLGDGLDNPYVQFSANKVLKQKKLLNAPSLGFGALVSPGKEVSPGPEVVERDPRRCLNCGAYVNLYCDVQVGSGQWQCVICKKLNGSEGEFVVSSKQDLLHWPELASTTVDYVQVGNRRPGFVPVSDTRVSGPIFILIDECLDEAHLQHLQGSLHAFVDSLPPTAKIGIITYGRTVSVYDFSEGAAVSADVLPGNKSPTHESLKALIYGTGVYLSPIHASLPVAHTIFSSLRPYQLSVPEVSRDRCVGAAVEVALGIIQGPSVELSRGIIKRSGGNCRILVCAGGPSTFGPGSVPHSVKHPNYAYLEKTAMKWMESLGHEAQRHSTVVDIFCAGQCPVRVPVLQPLAKCSGGVLLVHDDFGEAFGVNLQRASTRAAGSHGLFEIRCSDNMLVTQVIGPGEEASPDSHETFKHDSSFCIQMHSVEENQSFSVSMETKGDIKSDFVFFQFAVHYSNIYQTEITRVITMRLQTVDGLSAYLASVQEDVASVIIGKRTVLRARTASDAIDMRLSIDERVKDIALKFGTQAPKSKLYRLPKELASLPECLFHLKRGPLLGSIVGHEDERSVLRNLFLNVSFDLSLRMLAPRCIMHREGGTFEELPAYDLVMQSNAAVVLDHGTDIFIWLGAELAAQEGQSAAALAACRTLAEELSEQRFPAPRILSFKQGSSQARYFVSRLIPAHKDPTYEQESRFPQLRTLTPEQRARLKSSFIQFDDHSFCEWMRSLKLVPPEPS >Sspon.04G0030090-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:75657207:75659087:1 gene:Sspon.04G0030090-1B transcript:Sspon.04G0030090-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding NALVNYFLGKGFPMVIHPVGSTRWLWEHVWNQLPKEVVTDVSRRVVSLASFNGYVRSFACTGLLIKWHGSKAKHTIILTSASLVRDRCKEDSIDNNLTIEVFLPPNQHAGGTLEFYNLDYNIAIVSLKKNFNAICPDNIFIKSAQNSSKKVVAIGRDAKFGILMATSGEVMPVNKSCELDCKDVQLSSCKIKKAGIGGPLINLDGSFVGMNFYDGSGVTPFLPRHKIVKVLSGLNSLPSECGYNHPMPVNVVGGTKKGIQKNSYKLAERRLRHEYCAIGANQLVPAMGISCAFLALVLISESLLRLYIEAKGQ >Sspon.08G0010180-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:48127954:48134431:1 gene:Sspon.08G0010180-3C transcript:Sspon.08G0010180-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:H3K4-specific demethylase, JmjC domain-containing protein, Control of stem elongation, Control of transposon activity, Panicle developmen [Source: Projected from Oryza sativa (Os05g0196500)] MMGTEGVAATVIEDPEPSIPPGFGPFTAPASWGIQNNVKPADAHSSSVLASQSIDDDVEILEYLSSSVDRQSDAGCSISRSNTCRKSLRNRPPIDYSRFGQIAYEDSDAEVADKGVSSAKHRQQFPKGVLRGCPECADCQKVIARWNPSGARRPVLDEAPVYYPTEEEFQDTLKYIESIRPTAEPYGICRIVPPASWKPPCLLKEKNIWECSKFSTRVQKVDKLQNRKSSKKSRRGGMMKKRRKISETEEINQNQIGMQQNQERFGFEPGPEFTLQMFQKYADDFSDQYFMKDKCRDSPPSVEDIEGEYWRIVERPTEEIEVIYGADLETGTFGSGFPKLCPEMKSDVEDKYAQSGWNLNNLPRLQGSVLSFEGGDISGVLVPWVYVGMCFSSFCWHVEDHHLYSLNYMHWGAPKMWYGVPGKDAVNLEASMRKHLPELFEEQPDLLHNLVTQFSPSLLKSEGVPVYRCVQHEGEFVLTFPLAYHAGFNCGFNCAEAVNVAPIDWLPVGQNAVELYREQARKITISHDKLLLGAAREAIRAQWEVLFLKRNSADNLRWKSICGPDSTICKSLKARIEMELAQRQSISSPCQSRKMDTEFDSTDRECALCYYDLHLSASGCPCSPEKYACLVHAKQLCSCDWNKRFFLFRYDVNELNILADALGGKLSAIHRWGVSDLGLSLSSCVKREKVQDSKSVRRLIDGPRRYYMSQASTVSLVPSSVSTEQKNNENKTLDLGCPGTNLLKISSEANNACPLTKQIKSENVSQLKEPCVKKELSCPTSNGTSRQHNGGIGGHKVAAPSLMVPSGQSFPANAVTRPLITSGESVRNTHVLAVFKESRETSCQTGDCTSSLTLREYHNRPLSMIDNGANMKPDLENLDNSHRLMASPDFNATLCHSYKDQTFLTPETNTSVNTEKDSSQARTASQQFVNTASRTQNVSQEPLCSVTAPKQLIDPQVVKNSYGVFGSGSVHLAHPTVGNQQLNERWHQRQSDTLSSVEVRARGHSAMIVQPALENHSRNGVAQKGPRIANVVHRFKCSVESIEIGVVLSGKLWSSSQAIFPKGFKSRVKYFSVVDPVQMTYYISEILDAGQQGPLFMVTVENCPGEIFINISPTKCWNMVRERLNMEIRRQINMGRANLPTLQPPGSVDGHEMFGLLTPAIVQAIEARDRDHVCTEYWRSRSHVTTENRDNQNMPPQDPLLIALRGLFQRANFIASGINKHEATAASPARKSK >Sspon.05G0004480-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:5A:13427234:13427713:-1 gene:Sspon.05G0004480-1A transcript:Sspon.05G0004480-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNASVLQDACKSFAAKNPGTGYAYCIKFFQADKGSGSADKRGLAAIAVKLTGAAAKSTAKHIAALRASEKDKKRLAGLKDCSEVYTQAVDQTGVAAKGIASGTPRGRADAVTALSAVEDAPDTCEQGFKDLVVPSPLASEDAEFRKEASIALSVTAAL >Sspon.05G0003710-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:25649590:25655240:1 gene:Sspon.05G0003710-3D transcript:Sspon.05G0003710-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGASARGRLSPASGGGGDSEPRAARGRTRSVSATRGRKPSPRPGRDVAAAAGATEEKKPAAVPTLLPSLSAPAGMRRQELLLRSGLSLDASCSSDASTDSFCSRASTGRIGRPAFGARKKKTLSQADYKPVSMLEREGVLASQTDVAGAKRRCAWVTANTDPCYAAFHDEEWGVPVHDDKKLFELLVLSGALAELTWPAILNKRDIFREVFMDFDPVFVSKLSEKKIIAPGSPSSSLLSEQKLRGVIENARQILKIIEEFGSFDKYCWSFVNHKPILSRFRYSRQVPVKTSKADAISKDLVRRGFRSVGPTVVYTFMQVSGMTNDHLISCYRFAECAASSAGAAKLTDGSLANLSDSNHATAEKKMNGTNGLDSDIELSRTIDELSIS >Sspon.06G0008480-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:38708740:38714378:-1 gene:Sspon.06G0008480-3C transcript:Sspon.06G0008480-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGRRQSDCLVETHARMASLRIQSPAGPPELRRRNLASNPVHVGLDLVGFSSWMLPMGDHRCAGSAVVTSHGVGYVGAGRKSCPGAFLKNGVVCSFDDSGVRVSTLCSSLPSETVSFGSKMSLVNSMGFAQKKKGGKLWRRLQGGKKLVRHRAPKHGLGKDRHGHKSAVKDDDIDAVLSGISKESSIEQCNSALIRLEKLSDEKALNFFDWMKVNGKLKRNAHAYHLALQAIAWKEDWKMAELLLREMVADSDCALDARAFNGLIYVCAKRRLDAWATKWFHMMLEREVQPNLSTIGMLMGLYQKTGKLSEAEFTFEKMRNCNIKCVNAYSAMITLYTRLGLFAKSEDTINLMNNDGLVPNMENWLVRLNVYCQQGKMEEAELVLQSMVDEGFTLNVVAYNTLITGYGKSSDMQKANKVFDSLGSAGLAPDETTYRSMVEGFGRANIYEEAILYYRKLKGAGFRPNASNFYTMINLLARHDDNETAAEILEDMRAAGCQCSSIVTFLVRAYGAVGRMHKVLPILQACFNKKILLDATSCSILVTSFVQNSLLEEALYILREKKWKDSAFEENLYHILICSCKEGGSYIDAVRIYNQMPKSETHPNPRISCTMIDVFSMMGRFADAETIYLELKASASVLDMIAYSVIVRMYIKARRLQDACSILAEMEKQKEIIPDKYLFLDMLRTYQKCGLLEKLADTYYWIRKSQVECDEAMYNCIINCCGRAIPVDELSRIFDEMIQQGHLANTVTLNVLLDIYGKAGLFNRAEKVFIMARKQGLADIISYNTIIAAYAKGGNFLSMNYFVQMMQDAGFPVSPEAYNCMLDAYGKAGRLEEFASVLQKMKRAKCKFDHYTYNIMINIYGRRGWIEDVSNVLAELKDRGVEPDLYSYNTLIKAYGIARMPEDAVKLMQEMRIKGISPDRVTYANLINALQRNENFLEAVKWSLWMKQTGVVLTERMAQEQAAQIRIDAVHDCSID >Sspon.05G0007690-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:25846098:25847079:1 gene:Sspon.05G0007690-1P transcript:Sspon.05G0007690-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIQCDACEGAAATVVCCADEAALCARCDVEIHAANKLASKHQRLPLEALSAAKLPRCDVCQEKAAFIFCVEDRALFCRDCDEPIHVPGTLSGNHQRYLATGIRVGFASASACSDGACDAHDSDHHAPPKATVEPPPQAAVPAAAQQVPSPPQFLPQGWALHKESPLGFKELEWFADIDLFHEQAPKAGRTLAEVPELFGSQAANDAAYYRPAKAAAGAGVRQSKKARIEVTDDEDYFIVPDL >Sspon.08G0020650-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:24355936:24358210:-1 gene:Sspon.08G0020650-1B transcript:Sspon.08G0020650-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDEDGSAALSSEQDAENSVNPTASHTTHTVHRLRHQRRRQSKPVKSRSARALQRFRQAAAAATAFSCARLRLHTPLASSVSSSSLAMASSSPARLYYLLLVVVLLLLATPTLSSPSVRASSERRRRRVEDKPAALPPAVVVPGRARQEFHVALVPTTGPISRERRRSRARGGGTGAWTFSAMLPRGFVPPSGSSTCHNDMPDTAADAQFFACSGAGTP >Sspon.07G0018740-2D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7D:74260341:74261611:-1 gene:Sspon.07G0018740-2D transcript:Sspon.07G0018740-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSQAAGGSTWKCRRTLGPGSCWASLPEDLIRMVASRLLDSDFLDYVRFRAVCTSWRSSTTSPSGRGVTDPRFHPRRWMLLPEGHYLYPGHPNLHGYVRFLNLDTGTLVRVQILLLGDHCAIDSVDGLLLLLRDSDQEGTVRLLHPFTGDITELPPLGTLLPQPQVDSCIPDGPTPYRIRQLARFVCASVSFKAGAMTIMLALHGVWRVAYATSQDRQWTLSSWLFPMLYAPLSFQGKLYIVGTPRIWESMHQVFQIDPPGQDGAGADPQLQPPKLIATIPTGKLIHPHGLVLCGTEILVLGQNDLLVSQILVCKLADLVLQRFIPVDNIGDNTLFLGERNLSVSSKILPTFNGDSVVYKCSREPYLAQYHLSSGSLTPAIDDCSLYGLAPGPSSLVRYIFSCCTRDLWYVSVFSLFSFCTV >Sspon.06G0031170-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:61851975:61853857:1 gene:Sspon.06G0031170-1C transcript:Sspon.06G0031170-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVACLIDLASTEGKDRIKELLRKQNKHKETALHEAVYVGNNYIVNLLMEEDSELASFPEDDGTSPMYLAIMQKWDDIVKTLYDKSSDGKLSFSGPNRQNALHAAALRYRAQDLTKLLKWNETHLYPQNKDITTQRDENGSTPLHFAATIKTVTYKYVAHSELPVL >Sspon.05G0025170-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:21477883:21486161:-1 gene:Sspon.05G0025170-1B transcript:Sspon.05G0025170-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKEVAPIIPKYWETAEFPYHLIPKLGSLGFTGGIIKGYGCPGLSAIAYAMCILEMARVDASIASFCLVQSCLAMLSIGKVIAFGYKYEGYLYRIGLDKAQLGSEAQKKKYLPSLSKMHKVCVYALTEPDHGSDASTLSTVADKVPGGWVLNGQKRWPANSSFADVFVVLARNASTNQINGPGLKISKIENKMSLRVVQNCNIQLDNVFVPDDDRFPGANCFQDLVDALSVARIMVAWVSIGIAIGVYDACLRYLQERKQFGAPLAAFQLNQEKLVRMLGNIQAMSVLGWRLCKLHDTGKITSGQASLGKARETAALGRELLGGNGIVTDFHVGKAFCDMESVYSYEGSYDVNALIAAREITGISSIRPSSRL >Sspon.07G0027600-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7B:60934203:60934418:1 gene:Sspon.07G0027600-1B transcript:Sspon.07G0027600-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEFIVTLNESMPESERFILYRLDDTHMYVLPHAAAMIRREIAEFSKRNCSNLRPKRQSKIATVKSNFQDA >Sspon.05G0001380-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:3383991:3387230:-1 gene:Sspon.05G0001380-2D transcript:Sspon.05G0001380-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAVPPARAARPTSPSRPPKAIRSTKPRGLDEDTAAPPAFPKAKSPTSSGAEASLLLHHHADVPMDARVWAGLPDDLLVEVLARVPPFLLFRLRPVCRRWEAILHDPAFLAAHAAVPSHGPCLLTVSRGGGGGGASHAPPQCTVLSVPLHARYKLPFAFLPAWDLWLVGSSGGLVCFSGFDGAAFRTVVCNPLTQAWRVLPDMHCNQQRQLVLTVDKSRRSFKVIAASDVYGDKTLPTEVYDSKENKWSVHQMMPAANLCSSKMAFCDSRLYLETLSPLGLMMYKVDAGRWEHIPAKFPRSLLDGYLVAGARTRLFLVGRIGLYSTLQSMRIWELDHGRTVWVEISRMPPRYFRALLRLSAERFECFGQDNMICFTSWNQGKGLLYDVDKKAWSWIAGCASQLCNSQLAPGNLVLIFLC >Sspon.01G0058660-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1D:31187683:31187985:-1 gene:Sspon.01G0058660-1D transcript:Sspon.01G0058660-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPDLAGSTHGDQTAGGHEQHCEKAQVLNARAPRSARTEEDGVQSGGLGSGSSTSGKRERRFGASKLLEGLMEKLLEHVFGLGFLNIAIGSWIAHLLEML >Sspon.05G0010490-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:29830971:29834683:-1 gene:Sspon.05G0010490-1A transcript:Sspon.05G0010490-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPFDKFAAYTICTAPATLDKHRQTHKQQAMSSPAARSITCYSKIPEFLVGNIGQPIPAVGLGTASHPFVAEDVRTAVLTALELGYRHIDTAALYASERVVGEAMAEAVRRGVVVSREELFVTSKVWCTQCHPELVLPSLKESLMNLQMEYVDLYLIHWPMAVKPSKPHFPMKREDIVPMDLSGVWQAVEECHRLGLAKIIGVSNFTTKKLQELLAIAKIPPAVNQVELNPTWQQKKLIKFCNDKGIHVAAYSPLGGQRISKMNPVRQSDILEEIGKARGKSVAQISLRWIYEQGASMVAKSLKRERLKENLEIFDWELSDEDRFKIGQIAQRKLVTVQNLLCPEEHKCSRHQ >Sspon.03G0033950-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3B:66838942:66839124:1 gene:Sspon.03G0033950-1B transcript:Sspon.03G0033950-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNINNTATFIATRLQSLVGALPLQVPLPCLRWNLEKGQTAAKKWHHHFGGKERSGIITSAK >Sspon.04G0007520-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:45163740:45164426:1 gene:Sspon.04G0007520-2C transcript:Sspon.04G0007520-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKIIVILLVLAAASPAALAAFDVIQMLADKPRYATFSKLLQQTKVAGEANQLRAATLLVVPDKLVKSLASLPDDKLRPAVANHVLLSYFDPIKLDEMKTRTAILPTLLSNTDKKLGVLNYSRADDGQMYFGAPGASCVAKLVKVVAARPYSVSIMEISEPIVPAGFMTSTVAAPGRRGKGGKGKIKPSAAGIEDSKMVVRKPGEGVSGKVSKDTGSESAGPSPAPY >Sspon.03G0022290-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:67920364:67921220:-1 gene:Sspon.03G0022290-1A transcript:Sspon.03G0022290-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPPPGRAVMALGHGGQHMTSSSTGGAAGMAVSSSSTPTITFAFQPSPPPTSALALAHHGVLGYGSSLLLDHHPTTTTSSASSSHAASSTTLHHHLHGHAAAAAPHASLSPPTARASPPPPPHPWSTTTACEEGPAAPHDRQAGQGGRPPRGKGAAVISEGSAALGVGAVRMKKAGGGGKARRKVREPRFCFKTMSDVDVLDDGYKWRKYGQKVVKNTQHPRYIP >Sspon.08G0011660-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:48491346:48494537:1 gene:Sspon.08G0011660-2C transcript:Sspon.08G0011660-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger protein ZOP1 [Source:Projected from Arabidopsis thaliana (AT1G49590) UniProtKB/Swiss-Prot;Acc:Q7XA66] MTEYWVSQGNKWCDFCKIYIANNSFSIRTHELGKRHKDNVTKRLSTMQKESEAKEKEQQQAARALQQIEAKAKKSYQKDLENSQRNVDGDTSSAPGDGWIFDSASGYYHDKSTGLYYDSNSGFYYSDSLGKWVTQEEAYKSVQTSKTDVGQSSTSQTKAPAAETAVPTIKGGPAPGRVVTKPLNPMRPIKGTPAPSAVAVNKRKREDGKPKVISKEEEAALKAREAARKRVEDREKPLMGLYKTY >Sspon.07G0037730-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:62278249:62280750:-1 gene:Sspon.07G0037730-2P transcript:Sspon.07G0037730-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTLAVAAALQLITLGLLLPVPPAGAARGDILTRDGAIAVEDHATDFLVSPDGTFACGFYNVSSTVFTVSVWFARAADRTVVWTAAPGRPVHSRGARLALDRRGGALVLTDYDGAVVWNSTAAAGAQTQASRARLHDTGNLVLEDADGNTLWQSFDSPTDTLLPAQRFTAARHLVSRGGRGRLLAAGYYSLGFSDYAMLSLFYDNHNVSSIYWPNPYNNYVANKRKIYNFTRDAALDALGRFLSSDDASFVAADLGAAAGVTRRLTLDADGNLRLYSLDVATGAWAVSWAAFGNPCTIHGVCGANAVCLYAPAPACVCAPGHERADPGDWTRGCRPVFRRDCSRPTKLVALPHTDFWGYDLNDGEIIPFHACARRCRGTCACVAFQHKQNMECYLKSVLFNGRTFPGLPGTVYLKVPADFHVPELHVHQWQSHDGGLAIQEDIARCDDDGASAGTEFFLNVSTYSSTSDAGKPVWPYLYGFLSALLVVEAVIIGLGCWLFSRRGLFRPSRVWAIEEGYKLITSNFQRYTYSEIKRATGDFTAVIGSGGSGVVYKGILEDDRVVAVKVLKNVSQSEQEFQSELSVIGRIYHMNLVRMWGCCSQGKHRILVSEYIENGSLAEMLFHREGEASESDAVLGWDQRFRIALGVAKGLAYLHTECLEWIIHCDMKPENILLDKELEPKITDFGLAKLLNRDGSHADLSQIRGTRGYMAPEWVSSLPITEKVDVYSYGVVLLELVKGVRISEWVIHGVRLAVTDTRMVVKVVQEKMEIHGHESCVEDLIDHRLNGEFNHVQAMAMIKVAVSCLEEDRGKRPNMSSVLHALMSVEDEATR >Sspon.02G0015170-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:41321471:41326575:-1 gene:Sspon.02G0015170-1A transcript:Sspon.02G0015170-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTPLAAALLILPASPAARLPPPRPGPSRRARPPVVRAAALRALPRRLELWPPRLAAVESNPPPPSLPPPAPHEESAGSGGGSAAAFWIVGCSRCWAGGGDGGDDGGGGSDLGWLRAFPHVLTASMANFLFGYHIGVMNGPIEDIATELGFQGNPFLQGLVVSIFIVGAFFGSLGSSALVDKFGCKRTLQIDSIPLIIGAFLRWRTMLYAACVPGVLIVAGMQFAVESPRWLAKVGRFDDARKVVESLWEPSEVEKSMEEIKAVVVNDDSRGSWSDLLVEPHNRVALIGGSLFFLQQFAGINGVLYFSSLTFRDVGITSGALASLYVGITNFGGALVASNLMDKQGRKKLLIGSYLGMAFAMFLIVYGISFPLDEGVAHSLSVAGTLLYIFTFALGAGPVTGIIIPELSSARTRSKVMGFSFTVHWICNFLVGLYFLELVNKFGVGAVYAGFGGVSLLTALFAYNFIVETKGRSLEEIEMSLSTATPGKQE >Sspon.01G0010420-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:29360837:29369898:-1 gene:Sspon.01G0010420-3C transcript:Sspon.01G0010420-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAAAATPPGLFFADPAVAAAAAATATAGLLQADAAAFPRVHGHGAGFSFFPVAPPPLGIDAAPPPAAIAAATLYGSKAQSDALRPGPLRPANIIRNKFPTYKNGSNGIVIKLADGPEIPPLKEIVAKETADLLDRRQRLSVRELAMKFEKGLNTATLLSNEVKWRQVALLERDILLKNLKSVLESLRGQVTGKTKDEIEESISMVEILAVQLSKREAELLQQKEEVTKLAKSLKQASEDAKRIVEEERANAHTEIETAKSAVQRVQQAVQEHEKMSQNTGKQDMEELKKEVREARRIKMLHQPSKAMDLENEIQILRNTFAEKFKDCVNLLKELEMHKRLKENGTIPSFDLEGLQCLGSMLRIVGLSGTHMDLSNISIQWFRIHPKESNKEIISGGIMLCLRLGATRPVYALEPHDVGRYLQAEIDVGTAGPVDPDAGLVDYVETLVRKPETEFNVVVLQLNGVDQPKESVHVLNVGRLRMRLTKGKSVVAKEFYSSSMQLCGVRGGGEAASQAMFWRPRNDLSLVLAFESTRERNTAIMLARRFAIDCNIILAGPGDKTPW >Sspon.06G0000460-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6A:2244623:2253104:1 gene:Sspon.06G0000460-1A transcript:Sspon.06G0000460-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Copper-transporting ATPase PAA1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G33520) UniProtKB/Swiss-Prot;Acc:Q9SZC9] SGTVLVRWTGRPSAVGTLVHSCCTRRPPAPNPNPPPSAHGGTTTRPLHLRRRLPSPSPSDSRTLNNKQDATHPRREQRQCSGRYTLQPPPPLARPGRLLPSPMMMDPAAPVLALSKAFVSSRTKPFLLAPLRRRSPFAACASGPASASAPCGLAVPGDLLLISLARLALRGPGPPAAPRRWFASVSAANPLASGGPPRGGGGGGPGNGDGGGGGGDGWKRPRASQGAGVVEEAAAQGADVIVLDVGGMSCGGCAASVKRILENEPQVQSATVNLATEMAVVWAVPEDRDVRDWKEQLGEKLANQLTTCGYKSNLRDSSKVGSQTVFERKMQDKLEQMKQSGKGWKTFFEEPVMLIAFVLLGKNLEQRAKLKATSDMTGLLSILPSKARLMVDNDTEKSSLIEVPCDTLSVGDYVVVLPGDRIPADGIVKAGRSTVDESSLTGEPMPVTKIAGAEVSAGSINLNGKLTVEVRRPGGETVMSDILHLVEQAQTRAAPVQRLADKVAGNFTYGVMALSAATYMFWSILGSQLVPAAIQHGGAMSLALQLSCSVLVIACPCALGLATPTAVLVSFCQVGTSLGATRGLLLRGGDVLEKFSDVDAIVFDKTGTLTIGRPVVTKIISKTFLLTNHIPVIRDLGAHQWTEAEILSFAAGVESNTNHPLGKAIMDAAGAANCIVMKANDGSFMEEPGSGAVATIGEKQDKLREDSRQVIDTLSKQGISVYMLSGDKESAAMNVASVVGIQADKVLAEVKPHEKKKFISELQKEHRLVAMVGDGINDAAALASADVGVAMGGGVGAASDVSSVVLLGNRLSQLVDALELSKETMKTVKQNLWWAFLYNIVGLPIAAGALLPVTGTILTPSIAGALMGFSSVGVMTNSLLLRVRLSSKQASQADTRREPQKAISDGPSETTDEAEKNYSSKWST >Sspon.05G0034380-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:45360618:45378235:-1 gene:Sspon.05G0034380-2D transcript:Sspon.05G0034380-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sister chromatid cohesion protein SCC4 [Source:Projected from Arabidopsis thaliana (AT5G51340) UniProtKB/Swiss-Prot;Acc:Q9FGN7] MSVAAVSADGLLALADEAERRYDFAAAAACLESALRPPHAAALLPLTEARARLRLASLLLAPRGSSRAPRAGAPAPAAAKAHLERALLILSPLPSAPPRLKLLAHSHLAGAYAVLGAIASQKHVLRRGLGLLDSASASGLLQREPALLWTCNFQAQLASALTIDGDAASALSTLSVGASAAAELGSPQLELFFAASELHVHLLCWEDSVAVENAVTRTSLLWDALAAEQMEHWVGLFFYTQLLQTFYLLRICDYKAASQRVERLDTAVKSEMQRGRQIKELANDLSAVERTLGQSGLKERERSALSHKQRQLKAQLRALCGYDSLNNVLDYGDKLLLAPPLMHGEWLPRTAVFVLVDLMVVMVGRPKGIFKECGKRINSGLRLIHDELVKLGIVDGVMEANLEHSTIWTAGLYLILLLQFLENKVAVELTRSEFVEAQEALAQMKNWFTRFPTILQGCESTIENLRGQYAHSVGCFDEAAFHFLEAKKLTESKSMQSMCEAYAAVSYICKGDAESSSQALELVGPAYRTMDSFVGVREKTCIIFVYGLLLMRQHNPQEARNRLASGLRIAHQQLGNIQLVSQYLTILGTLALQLHDAGQAREILKSSLTLAKTLFDIPTQIWILSVFTELYRELEEKENEMENSDYERKKEDDLQRRLSEAYSHAFHQELVEKSRIQPLHMSSKQPAMAVPTANGDLDIPESVGLSAPQPFLKRLVESEPVRRSTRKRQ >Sspon.05G0022170-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:2398145:2399580:-1 gene:Sspon.05G0022170-1P transcript:Sspon.05G0022170-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLPLLRPLWPCLSPAAGPADAAPEPAKPSLPATWLLLHALFCATSMAVGFRFSRLIVYLLFLPTPPINPAAHLVSLMSPPAVVLAGANATAMATITTTTTTTTTVTTTTTVAAEIGAHPQQQPHHHHHGPVFVGRHPIRAHRILAAVQNAQRSTKRRGVGPARPVIAVTPTTTSALQVPSLTSLAHTLRLVDAQLVWIVVEPGHRTDAVAAVLSRSNLDFLHITGPGESTASLRMHALREIRTKRMDGIVVFADENSILRTELFDEAQKVTTVGAVPVGILGEDDGTSERRSCDAEGNLVGYRVSEETVLPANRSDMLMSSRLEWAGFVVNARTLWEDTKERPVWVRDLSAIDDADARAASPLALVTDAGRVEPLASCAQAALAWSLRSDSLHEVKFPHE >Sspon.05G0015830-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:59232314:59236466:-1 gene:Sspon.05G0015830-2D transcript:Sspon.05G0015830-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable glutathione peroxidase 2 [Source:Projected from Arabidopsis thaliana (AT2G31570) UniProtKB/Swiss-Prot;Acc:O04922] MIEATSGLRAPRLLLSVAVLVLAIALVFRSLTPSVPQMADDLRTSIYDITVKDIRGDDIKLSEYAGKVLLIVNVASKCGLTSSNYKELNVLYEKYREKGLEILAFPCNQFAGQEPGSNEDIQETVCSRFKAEFPIFYKIDVNGKDAAPLYKYLKSQKGGFLGDGIKWNFTKFIVDKDGNVVERYAPTTSPLKIE >Sspon.08G0020010-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:16849791:16850640:1 gene:Sspon.08G0020010-1B transcript:Sspon.08G0020010-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding HGAAIKEEVPRRQAAPLGLLGLRDQAPAAERHRGAGPRAGRAWRLLLRGARRRRRRRHHHHHQPVADPQRQAPQVLQDAVAVAHLPPPRPGEVPHWRLAEARGRARRLQLGHDRPAQQGRAGRRGVLRRGAGAQRRRGGHDAHIHVHVVHGHDWLASACHDGRRGEDCAADDRGAAGQLALAWDAPGCSRQPRHL >Sspon.06G0029920-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:33233747:33238314:-1 gene:Sspon.06G0029920-2D transcript:Sspon.06G0029920-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SMSAALKRPGTRTASMCVATETARVTHSFNIVGNGLHKNFGVGRCIRSAPFSVGGHNWCIHYYPDGNTEDDKDYASVFLELMRKNTEARSLLEFRLVNQTTGISTSVFTCQTVHNATITTWGRKKFMKKDDLETLGYLKDECLEIECDLTVIKWDDIDLPPSDLQDNLGKLLESGEGMDVTFKVKNELFRAHKIVLKMRSPVFNAELTRDKRKRIIIVEDIEPPVFKALLRFIYTDSLPSMGDLDGNENDEIVRHLLVAANRYGLVRMKLMCESILCNRLAVQDVAATLAAADQCRCYKLKDACIQFINSYNRMDDVATSQGFEDLKKACPALIAEIVYPRKDYSGKNHDVLKKVQQVAIEDSSRRKAAHKSHKMETPRYDA >Sspon.08G0017950-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:5390914:5391579:-1 gene:Sspon.08G0017950-2C transcript:Sspon.08G0017950-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVDAVLNIVKTLEINFDTWSKAYGDSTQSSLLMMNIHWHFFKHLKGTKLGELLGDPWLREHEQYKDYYLAMFLRESWGTLAPLLSREGLIMFSKGRATARDLVKQRLKSFNASFDEMFQKQSKWVISDRDLQQKTCHLVVQAIVPVYRSFMQNYGPLVEQDVSASRYVKYSADDLDKKLNTLFLAKPGRPMRAGSFQIKNSDDKITSAMTGLYRSASTLK >Sspon.02G0052600-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:94528466:94533482:1 gene:Sspon.02G0052600-1C transcript:Sspon.02G0052600-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFDANCLLISSAFSHLLHDNPLPLDEVSALELMVSFSHGHPESSQAPMVGLKLSMAEVDRGLVTLVASDHPRFEVCNPNGYWYLADVGSSPDLSSRASLMFRLMPRANAILYSAYWVKSLSDEHSQLLPSCMDPSMDKLFDQWIKPNLMSLLPGTG >Sspon.08G0001180-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:1696350:1699614:1 gene:Sspon.08G0001180-2D transcript:Sspon.08G0001180-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRSRGGGVVTEAASKADAAAMRVVSRRGAARLVLVSAIAWAMLGLVALAFHLRPCSSPVAFLSALCKRDSKVVSVLDSMGLSSKPLHRCPIPVADDPNAVAIPKRTPNTIVKKLSYITVDKQDKDPSPLFGGRQNWKQREESFKLNSTMKVHCGFMKNSGADMDIIDVKYIQKCKFVVASGIFDGYDIPHQPSNISRRSQKLFCFLMVVDEVSLDFIQKNTTIKIDSAGGKWVGIWRLITVHRLPFDEPRRNGKIPKILTHRLFPQAWYSIWIDGKMELIVDPLLILERYLWRGKYTFAVAVHKHHRSIYEEGDAIKRRKRYARPLVDLQMKMYYYEGMEPWSPKKKMPSDVPEGAVLIREHTTMTDLFSCLWFNEVNLFTPRDQISFGYVVHRLGDALKFFMFPNCEYNSLFILHRHTREHSSKVEWAKTIDEIVKKGLKESRGGLGLWTPYPADLSSVELPAVKRTSPAG >Sspon.02G0026540-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:94158760:94166214:-1 gene:Sspon.02G0026540-1A transcript:Sspon.02G0026540-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGLMICAAGFISIDCGYGEGLSYQDSSSSLTYVSDAGFVDAGEGHNTAVRPPYVDRGLAARYLNVRYFPTVTGGGATRSCYTLRPVAQGSRNLVRASFYYGNYDGRSALPVAFDLHLGVNRWATVNVTSAEGVYIFEAVAVSPVDFMQVCLVNIGLGTPFISGLELRPLSATIFDFNRYQFNPDYSVPPFRYPDDSYDRLWQRYGRNAAWTNINTTKEVDVSNATSSFDKPSEILQNAATPVNGTTQMDISWSSDPSLEDAHGNSTYLLFLYFAELQRVPSNGLRQFDILVDNATGNDGTSQGFTPKYLSTEAVKRTVQGPGQHSVSLVATPAATLPPILNAFEIYSVKPMTEMATNGVDGTLGYLDPEYYNTSQLSEKSDVYSFVVLLELITGQPPAVPVSDTESIHVALWVRQKLSEGDIASIADPRMGGMYDVNSLWKVAELALKCKEQPSRERPAMTNIVAELKESLELEVSYAMGYYSSVQAVQEIRVQQ >Sspon.06G0007120-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6A:26759848:26761165:-1 gene:Sspon.06G0007120-1A transcript:Sspon.06G0007120-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MELKASSPLGHVNESTSPLGSLSIVVMSANMDFRWANVAIIMELVMIMIIAP >Sspon.02G0058950-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2D:85453640:85453813:1 gene:Sspon.02G0058950-1D transcript:Sspon.02G0058950-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding TRELAVVGGTGELRGASGYVLWTTAKKWSDVHLVLELDVHASVPLPDDDDEDSSSDE >Sspon.05G0001410-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:4580372:4582866:-1 gene:Sspon.05G0001410-1P transcript:Sspon.05G0001410-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIKPSTQPVIVVLPLCHSDDTESARASRKQYKETLYSVLFDMNVPAVCAVDQAVLSLYASKRTSGIVVHIGFNTTSVVPIFQGRVVYEIGVETVGQGALKLTGFLKELMQRRNISCESLYTVRAIKEKLCYVAADYEAELRKDTQASCKVDGEGCFTLSEERFKTPEILFQPHMGGMRAMGLHKAVALCIDHCYTSGTVGDDSWYKTVILAGGSSCLPGLPERLEKELHQLLPPYISEGIRVLPPSFGTDSAWFSAKMISNVSTFTEAWCVKKKQFRQKTRRNGPLFMNSW >Sspon.01G0052340-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:21574698:21586789:1 gene:Sspon.01G0052340-1C transcript:Sspon.01G0052340-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSGDQLFVSVKLESPRLAELDLAPHLFGSHPVAGSWDPCKALPLERAATAVWELSCVLPSQHESLDFKFVLKRKDDSSQYIIEEGPNRPLGCQSNEFEMRTAVFKLNEGKEVLECKVQVETEMLSPFDLAASWRAHQEYFQPSRVRGTHDVTINPGLEGRAKNGFASGLELDLEKYSAIGGHAPSLEEQRAMFVDRGVGSPNFARPAKETFSMSNFKLDSESKVVHYSLQGMPAAEGAVAAAAVADQMYGPKEDRKLAIVLPKSGSGKVSCVCVEYHGKPVREGSGGAERIHDQEGWRGGDHVGLPARGKTFTAAKLTRYLRWLGHETKHFNVGKYRRLKHGTNQTADFFRGDNREGVEARNEVAALAMEDMLSWMQEGGQVGIFDATNSTRIRRNMLMKMAEGKCKFKTSEDSVRSFGRIFVLTDFEAGVQDFKERLTYYEKVYEPVEEGSYIKMIDMVSGKGGQLKINDISGYLPGRIVFFLIWTSTLQRTILTAHPIIGFPKIQWRALDEINAGICDGMTYDEIKKRKPEEYESRRKDKLRYRYPRGESYLDVIQRLEPVIIELERQRAPVVVIAHQIPLHTIIEIQMGVAGVQEKRYKLMDAIHPTAGL >Sspon.06G0001240-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6C:1890102:1893115:1 gene:Sspon.06G0001240-2C transcript:Sspon.06G0001240-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSRIARISVTWRGRQLDADADPSCTVKEFGQLLQDLTSVKPETLKLIVPQSTNKGSKLITPFSDPHSRLTLNEADISEGKPIRMMGVYDDEIEEVSDNGKRPDQRIIGFEEEEQRLRQRSSGRPQISLKLPQGQYIFCDFRTLHLPGIELNPPPSEALKRMHMLACDPGIIAIMKKNMGEEISLRLRTDDLKGFRKYESIKKTLLHELAHMVHSEHDANFFALNKQLNEEAASMDWTKSTGHMLSGRKIFDSYEEEFVLEPEITVAGHRLGGESSSLASARALSGAAAYQRFLDASAKADHDAPGTKIEYNPDKDFVHETLKLEPDPDDAMHQSSDRCLQPDLDDSSNANNLNRFDGRHHSEPDPDDGTNEVVPESGNKMEVDSELANSIAVLKYESDPADSSNAIVNRELFIDGKHGEEPDPDDTACQDVLKSGNGTERITEQSGNSTVLKSEPDPDDHVVDSNSNELQRIEEPVAALCSRLQKSIETLRLQATPAEAEFAIQTLFKIIKNVIEHPNDIKYKRLRKVLELIGFCEDVISDEIGHAETYLVLKRNDPGLLWLAKSSLEVSLA >Sspon.07G0031920-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:39397280:39399294:-1 gene:Sspon.07G0031920-1C transcript:Sspon.07G0031920-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVCPSRARPHPDRGGSASPRPLRTPASRSAAPSATTPGHDGSGATVKIAYSEVPVAFHDSVAAARAHLYLRCLDLKFYKPQVEASLSSCEINQEWCCPPWGRPKAVACVI >Sspon.02G0020700-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:62813439:62814714:-1 gene:Sspon.02G0020700-3D transcript:Sspon.02G0020700-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATLTSALSSMEVMLDALMQRGIGKPEEPKPKEDEEPPALPTRPTGRGRLPALQRPGGTAAAPWIHRPSPVPPPTQEEDEEKCLVNLELERRAAKAEEEVKQKEEEMRQKEELISTLQRQVEHYESRLSECEVRMKSVEEELQRQITSLQVLTACKALPIFSSFTNSSMHMAQTAGGRRDGSTKHGQGSSSRGSLPPSQPSSARHQQRSSEPAAVAIAVAVTAATDERTLAEPVNQLAREFQTEREAFEHNARAVVEVRPPSPSSAKSVEELKTLKRQFASWKKEYEARLKKTKAELKRLVHAEKKSNGGGGGGNSGDGHAHQRRCGWWRFKAPKCRAPKCCSFKLPSPKSCCCCFRR >Sspon.02G0040400-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:68510295:68511005:1 gene:Sspon.02G0040400-1B transcript:Sspon.02G0040400-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEQHHQQQDQEATAGAGPLHLVVFPWLAFGHLIPFLELSKRLAVRGHAVTFVSTPRNVARLPPVPAALSARVRTVALPLPPVDGLPEGAESTADVPPDKVGLLKTAFDGLAAPFADFLAAACAGTGGRWRGGEQQVAAFARRPDWIIVDFAHYWLCPIAEQHKVACAMFQIATATMVAYFGPRQENADHPRVTVEDFMPMPRWFP >Sspon.08G0009100-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:40161829:40166062:-1 gene:Sspon.08G0009100-1T transcript:Sspon.08G0009100-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRGTRMHSLLGVLLLLALAYLNWLPGRGPSSAGGPGGFKLPVPWLQPPMSFVGRAGTHFVNAVTGAPIYVNGWNSYWLLSARSPALSAEMLRRGRRMGLTVCRTWAFSDGGPGALQISPGRFSEPVFQMLDYVIYEARRNHVRLILCLVNNLDNFGGKAQYVQWAQAAGANVTNSTDSFFSHPTIKGYYMEYVKAILTRRNSYSGIKYCDEPAIFAWELMNEPRCVSNSSGPHIQAWIEEMAAYIKSLDTKHLITVGIEGFYGPGRGERLGVNPGDWAASLCSDFIQNSAVKDIDFASVHAYPDSWLPKASMEEKVKYLSVWVDSHLNDSEYVLRKPVLFSEVGYLQHAEANSTVDGDTLLKVVYDKLYNSAKKLQAGGGALIWQLMVEGMQMYHDNFSMVAWDRPSTYNLIKEQSCRLQSLYGKEGDPSWQCSLPP >Sspon.01G0012700-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1A:34300710:34303358:-1 gene:Sspon.01G0012700-1A transcript:Sspon.01G0012700-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSCTSKSALEHRRPARYYTRGRRVRSRRSIMPEAPQSQQLSDPRGRMTGFSMSEIVHVETANRGKSEHSKTFHLTQMEWHHSQRDSKGCSNEDAWFDSVSILEDDSDDEFKSVNGDSSDEDEEQKKQYESASSFADALSRIGDMCRGVPMTLSVEQYLKRDNGDDPGRRSQSMSVRTSKCVPSSFSLKGLKDKNDTDDDNKESSTPSRLRQLLHSISFNDRMQQLTGGSPAKKKSTVIRLSYKTTSCDDYEDSGECGVDLFACPKKVHHIAQHLDLPQIKTHPKLPSLLIVNIQRFFENETEKVKGFTSESTISYRDRLKIMAGLVNPDDLQLGSTERKLVQAYNEKPVLSRPQHNFYEGENYFEVDLDIHRFSYIARKGLDSFRERLKNGILDLGLTIQAQKQSELPEQVLCCVRLNKIDFTNEGVPTIVATDDK >Sspon.08G0010820-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:42921403:42924110:1 gene:Sspon.08G0010820-2B transcript:Sspon.08G0010820-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha-mannosidase 2 [Source:Projected from Arabidopsis thaliana (AT5G14950) UniProtKB/Swiss-Prot;Acc:Q9LFR0] MERKVARQALNRTIELGWLHGALPTNKAQGKELMMEGNMWLNDTIGVIPKNSWSIDPFGYSSTMAYLLRRMGFHNMLIQRTHYEVKKELAMKKNLEYLWRQNWDIEETTDIFVHMMPFYSYDIPHTCGPEPAICCQFDFARMRGFSYESCPWRFDPVETNPDNVQERATKLLDQYRKKSTLYRTNTLLIPLGDDFRYVSMEEAEVQFRNYEKLFDYINSNPHLNAEVKFGTLEDYFSTLRDEAEKINYTRSGELGSAELQGFPTLSGDFFTYADRNQDYWSGYYVSRPFFKAVDRVLEQTLRASEILGSFVLGYCQKFQCAKLPISFSHKLTAARRNLALFQHHDGVTGTAKDHVVVDYGTRMHTSLQDLQLFMSRAVEVLLGDFHDRSDPTSLSHFEPVQERSKYDVQPVHRVLHPHEDKAQSVVFFNPLEQTRDEVVMVVVSTPDVSVLNSNGSCLPSQVSPEWQFVSDEKISTGRHRLYWRASVPPLGLETYYVVTGQDCEKAIPAVVKTYQEFPCPEPYHCSKLEGKSVEMKNSNYTLSFDTSHGLLQTVTRHKDGKQTVIGEEIGMYRSHGSGAYLFKPIGEARSIVEEGGHFILTEGPLVQEAHSLPKTEWHKSPLSHSTRMYNCGDSIQDMLIEKEYHVELVGHVFNDKELIVRYKTDIDNQRIFYSDLNGFQMSRRQTYDKIPLQGNYYPMPSLAFLQDSHGNRFSVHSKQSLGAASLKKWMAGDYVGS >Sspon.06G0002680-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:8482174:8485138:1 gene:Sspon.06G0002680-1A transcript:Sspon.06G0002680-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Moco containing protein (Moco containing protein(OsMCP)) [Source: Projected from Oryza sativa (Os08g0530400)] MPGLTAPSDYAEEPPRHPALKINAKEPFNAEPHRSALVASYITPVDFFYKRNHGPIPKVEDLSRYTVSISGLVNKSIQLSMGDIWALPKYNVTATLQCAGNRRTAMSNVRKVRGVGWDISALGTATWGGAKLSDVLELVGIPKLSAVTSLGGKHVEFVSVDKCKEEKGGPYTASIPLKQATDPDADVLLAYEMNGEVLNRDHGYPLRVVVPGVIGARSVKWLDSINIKEEECQSILNQGFFMQKDYKMFPPTVDWDNINWSTRRPQMDFPVQSAICTLEDVDVIKEGKARIAGYALSGGGRGIERVDISVDGGKTWVEARRYQKDNVAYVSDGPQSDKWAWVLFEATVDIPANAEIIAKAVDSAANVQPEK >Sspon.02G0049360-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:14753846:14754601:1 gene:Sspon.02G0049360-1P transcript:Sspon.02G0049360-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFDMLNSHPEAQLELMNAMLQLEQLTAFPLPDHGGAMMMVPSTPPSPGMAQAATHHHFSSVPHHMSSAGANGRGGVVYNDHQYSQQVTAASSCNGSARSECVAHAQDHAGGGGGNCNSGESGGTSAVGSSAMREMIFRVAALQPVNIDPEMVRPPKRRNVRISTDPQSVAARMRRERISERIRILQRLVPGGTKMDTASMLDEAIHYVKFLKTQVQSLERAAAASGRRPAADNGGGAAPYSAGRMNGGQW >Sspon.01G0011890-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:32305472:32319306:-1 gene:Sspon.01G0011890-1A transcript:Sspon.01G0011890-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSPRADDGRREAKAIKWCAVTGGRGYMARHLVAALLRSGEWHVRVTDLAPSLVLGPGETEDLLRDALGDGRAVYASVDVCNLEQLTTAFEGVDVVFHTAAADPSKNNLPLHYKVNVEGTKSVIDACKICKVKRLIHTSSSSVVFDGVRGLFNVNESLPYPDKFPDAYAQTKAEAEKLVINANDINGLLTCCIRPGSIFGPGGTMISTLDQSGKIHFIIGDGKNCDDFVYVENVVHGHICADTTLSTIEGAKTSGGKAYFITNMEPINFWDFLHMVQEELGHKRLFMIRIPLPLIKLVSYLVEWAYMVLHRYGICQPRMITSARIKYVTLNRTFSCNNAVEELGYKPTVTLMG >Sspon.01G0049760-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1B:114668583:114669146:1 gene:Sspon.01G0049760-1B transcript:Sspon.01G0049760-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAMRVESVEEAKQAAVILPAASQEQRRVFPAGMLKLFLGLMLLGVVVGLSAFGVFLARHAGEVAAVAPALFRPCLGAAAAEPEPEEGLERWTRPPARAQHAMTDEELLWLASYAPRARGGSGYPFRRVPKVAFMFLTHGPLPLAPLWERFFQGNEGRYSIYVHTMPLYRANFTADSVFYRRQIPSK >Sspon.01G0038500-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1B:30554468:30554737:1 gene:Sspon.01G0038500-1B transcript:Sspon.01G0038500-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTGRWPAQPTQVIQFAHQRCTGRQRSASMLMYQGGICGRCSTSVQRGGMESWWMAAAHRFGIPPHGEMYQRGGGRQGSYRFAFVAS >Sspon.04G0026990-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:54454281:54455978:-1 gene:Sspon.04G0026990-1B transcript:Sspon.04G0026990-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding YAMATETESFVTAPSTPEAAAAAAPMPPTVKPPAQPADRLEIVVERRLRQHGEGESSRMTIFRVPAHVRDASKELYEPRLVSVGPYYRGREALRAMEQHKWRYLRELMGRPPQPAASLGDYVRAVRDVEQEARRCYSEKTSIFDAAAAQSEPSGGEEIEEEQSRRHGDGDPGGPGPDGFAEMLMLDGCFILEFFVKWYKGEPDKLCDVAWGLPLLHSDLLLLENQIPFFVLEALFDVAFPTATKLDLLRLILHRLRFSFYELSTAEVLVQSEIQVQHLLHLFYEAIMPKADETASSVQDSTPPSRQYLVRMRQMSVRLKKAVSTRFVSIRDMPRVPHWMKTTLPATLLRKVGAWFSKLLAMIRRTPPAPAPTLVVPSVTQLREAGVRFEKKESPRHMFDIAFDRDSGVLEMPRMEVDYANVALLVNLVAFEQTRGLPGDGDASKRLSSYAALVGALVRTGKDVEHLQKRGIVENLLDGDDDAGTKFFQHLGDCSSLNYESHMFAGMFEDLRLFYHSSWRRHKAKFLRDHCSSPWAVLALVVAISAFCFALFKLSTTIFGLAHPHCH >Sspon.04G0033570-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4C:60881397:60885050:-1 gene:Sspon.04G0033570-1C transcript:Sspon.04G0033570-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAGGAGRGGGKRDGGKGEGSLARRAWRQYLLQLQQHPLRTKVFAFALVLGLCSFIRKQGGFLKWVGLVVCPQMITAGCLAGVSDSVAQKLSGYQKIEKRRLLLKILFGFAYGGPFGHFLHKILDYIFQGKKDTKTIAKKVLLEQVTSSPWNNILFLFYYGYVVERRPLKEVTTRVKKQYPSVQLSAWMGDIYEPSCEGHVSEAGVDGLEGRNSSIAPTRYQIK >Sspon.02G0043610-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2B:93655642:93657243:1 gene:Sspon.02G0043610-1B transcript:Sspon.02G0043610-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MWGRAARAAASGGGTLKPAAIAAGGGAASMPSGGGATGKKEKPAPWPCTRGKAAASACSRPAVAVAAAAAATAGSAKNRPGGVTTAAPAPQAARIPAPALAARAAAALGALGAAVVGSGEEGPALREEGRGRWWSAARSRPAVAVAAAAAATAGSAKNRPGGVATAAPAPQAARIPAPALAARAVHDRSRRLRPHRWLRYHILKRKSSAVSNESDGPIRRMHQRYNRTSPLLETRLGYRRYPVGYGSKLEGPEQLAQTQKRRCLDKVGDASLGSIEDRAHVNCFGQAPEQSAEMAAKILKQLDTLVPSLKENTPEIKQKLESAIYFEEDVSRQKKVQAERDLLEPSPSEVEYSLPDGITSNKSISQITLNKENPPAFSFRGNTPNLVLSDEIGRNKMSTPANGFTFPVPTVNNAHSQGPPTPTLASPPILTVEKQPSLSFSASVTSAESGPRLVPD >Sspon.04G0012950-2P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4B:59719508:59719778:-1 gene:Sspon.04G0012950-2P transcript:Sspon.04G0012950-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMGDAKPSVLIPMLSRDRDRDRDLLVPTAAVATHASPSARTVADSDDDESKPSSASAAAAAAQTGREVAALPSPPPPTSASPRMAVASQ >Sspon.05G0000340-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:1865960:1866889:1 gene:Sspon.05G0000340-1A transcript:Sspon.05G0000340-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSVEVGGAGGWRPKHLHQQYQQQPPLEIREVWADNVEREFKLIRAAIERFPYVSMDTEFPGVIHHPPPAVHHSTLTASQRYTLLKSNVDALHLIQVGLAFAPSPSSPPALAFQINLRDFDPRVHRHAPDSVRLLAASGVDLAAHRARGVSARAFAALLMSSGLVCNPDVAWVTFCSAYDFAYLVKVLMGRKLPRALPEFLRYVRVYFGAAVYDVKHMARVACDSYGEVALLGGLERVAGALRVRRAAGRGHQAASDSVLTWDTFREMARLYFPKEGSLDVCAGVLYGLELHDGGGDATTKPAKCALRC >Sspon.01G0006020-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:15296321:15301966:1 gene:Sspon.01G0006020-2B transcript:Sspon.01G0006020-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MELRLRLLLLALICLHAPRWASAQQPEEATVIVKGSSRIAATDDNYVCATIDWWPPEKCNYNQCPWGQASILNLDLGHPFLAQAIQAFDHLRIRLGGSLQDRVVYDVGTESPCSPFTNVSNGLFGFSVGCLGMDRWDKLNDLFQRTGAIVTFGVNALYGRYNVRRSIWTGKWNSTNAYDFIKYTISKGYPALVLSGNELSGHGIGAKVDAKLYGKDVIEFKSILRQLYKAPLSQPLLLAPGGFFDQQWYSQLLETSGHGVVNALSHHVYNLGGGNDVHLIRKILDPKYLDRAEDTYRDMQLTIQRHGTWASAWVSESGGVFNNGGLLVSNTFINSIWYLDQLGMASQYNTKVFCRQTLIVLYYGIGLWAMEFFQLISMHHVKYVLMLIITHSEHGCFKMQQGITLLLINLSNTTGYNVTLQNDINVSLGKRPDFEKRSSFTHRLKKAVSWLARKPPSNTKREEYHLTAKDGDLQSKTMLLNGVPLELGDAGSIPAMDPVLVAVDSPVHLAPTSIAFVVLPKFEAKACS >Sspon.01G0032200-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:110154964:110157796:1 gene:Sspon.01G0032200-2D transcript:Sspon.01G0032200-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AGRNPLTAHRCATQEPSTRAPLFSSPPLVASSPTTDAHPRRLRHALQAKRPSLLPRRCFAAAANRIRPLARAFCDTPASGVPRSQDHSHTEKVARVKAPPDVLDIAIVGGGMVGLAVACALCRKNSVIGNIPHACVRINVADIGAWEHVQQQRHAFFGKMQVWDYTGLGYTRYNARDVGKEYL >Sspon.01G0005910-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:14404106:14405436:1 gene:Sspon.01G0005910-1P transcript:Sspon.01G0005910-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGLRRCLPFGGGGCCCGEGVGRGGSVADGLVWDVALKAHASGDYSIAVAQANESLEDQAQVLAAPAATLVGVFDGHGGPEAARFVNRRLFSHIQGFAAENGGLSVEVLQKAFGATEEEFIGLVQKSWPSQPRIVSVGSCCLVGAIEGGTLYVANLGDSRAVLGRRGDRGNKRVVAERLSQDHNVADEDVRREVAEMHPDEPHIVLNSHGVWRIKGIIQVSRSIGDVYLKKPDICRNNPALQQSLCPFPLRRPVMSAVPSITTRELRPGDRFLIFASDGLWEQLSDEAAVGVVASSPRKGVAMRLVRAAQLEAARKKEVKYDKIRTIEKGQRRHFHDDITVVVLFLDKCRGAARSGPEDIDGTYAPFGRVLLQPRRRSRGPDEARP >Sspon.02G0029300-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:106761411:106762544:1 gene:Sspon.02G0029300-1A transcript:Sspon.02G0029300-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding EGAAAAMGGRHVAGRQRRGAPWQRRRWGAAAVEDRRREGGPRRRRCGARQGVPFGSWAQGQLQ >Sspon.08G0016710-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:60852648:60860415:-1 gene:Sspon.08G0016710-2B transcript:Sspon.08G0016710-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSIHQLEEHGDEEGENDDRHGSQITSPLRILTSLFPCSGKWDSYCSVRFISVLCPCHAVQPSSRRRRGSPDKEEREVGDDDDDSSPIEQVALTVPVGDDPATPVLTFRMWVLGTASCAALSFLNAFFGYRKEPLTITAVSAQIAVLPLGRLMAAALPEGAFFRGRPWEFTLNPGPFNMKEHVLITIFANAGAGMVFGMNLVTSVRVFYGQHMSFFVALLIILTSQVSEVNEELVFLVVFDNHEPFQTSGSLDELGWFGYLIVHQVLGFGWAGIFRRALHEKERRRKGRMTRNQFFLVAFICSFAYYVFPGYLFQMLTSLSWICWVFPSSVIAQQLGSGLHGLGIGAIGLDWSSISSYLGSPLASPWFATANIAAGFFIVMYIITPINYWFNVYKARNFPIYSDGLYTETGQKYNISSIMDSQFYFDTDAYEKNGPLYISTFFASSYGLGFACLTATVSHVLLFHGRFCYQLPLLVRSAKVHMKLKLQLSKSAFKDKKMDIHTKLMRRYSRSLSGVTMLTCEYYIEQLQLPWWGVLLACALAIFYTLPIGIIKATTNQMHDTYYNIYGPGAETQLTIQAPGLNVITEYIMGYLYPGRPIANMCFKVYGYVGPRQALEFLQDFKLGHYMKIPPRTMFMAQVVGTLIAGSVNLGTTWWLMDTIPNVCNRELLPADSPWTCPYDNLFYDASVLWGLIGPRRIFGDLGTYSAVNWFFLGGAIAPLLVWCTQKAFPGQKWIRHVNVPVLIGATSLMPPGTAVNYTTWIFIAFLSGYVVYRYRRNWWERHNYLLSGALDAGLAFMAVLLYLCLGLENKSLNWWGNDLDGCPVASCPTAKDVDTRSLVSFLSLAYLPLGDEPVTRFPQRPHNYLTDLFITRFEGYSGQLEFLFYMVENAPALEILTVDRLDIIVKQRRWEDEKKNITQFLVSKFDRNRNGVCCGRGVGPGLRVIVWPGVRPAGIGRRPGQ >Sspon.02G0001220-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:1013672:1017200:1 gene:Sspon.02G0001220-1P transcript:Sspon.02G0001220-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTAAAHSCRKRRHADAHEGASLPRRRRRRLTIVPNLFQAVRSFALRTIASAPHKRRHDDATSSSRLCRRRSRHRHLSPVPFPALRPLSTSPRRRRKLLVDDARHAVSTPCRRRSRSILLPRVRHFPVFGPFALHFLLTTGGLAPRRLRKPAEVDMGNRISQLRGKSTSDGVSEAHTKRLDGSPEVVDLTVEAELENIDVVGRRNGDWSVPALGSSRSLEKKAMFCKALQWSKKRGGRLHEKFRLAELPDPLDTTPKEDLSELFTPLSDKEEREVDTLLYNRNHSDKVIVIHEPSNIEITNEKLQCLRPRGWLNDEVINLYIELLKEREEREHNRFLKCHFFNTFFYKKLTCGIAGYDYQSVRRWTTFKRLGYGLVECEKIFVPVHRNVHWCLVVINMKDKTLQYLDSLGGLGHDVLKVLTRYIVDELKDKSNLEVDPSSWVVVSESLPLQQNGWDCGMFMLKYIDFHSRGIKPSFSQEHMMYFRNRTAKEIMTLRAD >Sspon.02G0049800-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:43685241:43689331:-1 gene:Sspon.02G0049800-1C transcript:Sspon.02G0049800-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPLPPADDEDDLPEADDFDPTEVFTMEDFLAEDEVVEEFVRKIGDELKADIEGGTSSVTRRRRQSDQGGTYQDNEKQVMQLVDGHSPLLKCTAAIRMLAYGSPADQLNEVLKIAASTSLEILGKFAVGIIECFGQEYLRLPTSEELEKIYKKMRLVVFRECWEASIVCIGHGRIVRKVGQNDINVLNKSPLFINVLKGKAPRVQYTVNGTQYDMGYYLADKIYPEWAVFVKTISAPQTDEDKLFVLKQGARKDVECAFGVLQSRFDIVRRPSRLWKQGDVINIMQACVILHNMIVEDEKELVRVSLDLNENASSTIVLPPEVHTSDSPNPSFVDTSGKEFPPRQDPRSPATAGPLAVAAAPRGEGQCGGLGRMGPAAWERRRTLLVVNLASIMECADEALLPAVYREIGAALHATPAGLGSLTLCRSIVQAACYPLAAYAAARHNRAHVIAVGAFLWAAATFFVGVSDTFLQIAISRGLNGIGLALVVPSIQSLVADSTDEATRGSAFGWLQLASSLGSISGGFVGLLLAQTTVLGVAGWRVAFHLVAAISVAVGALNWFLAVDPYFPPTSEGVDVPTVGKRPATARQVVAEMIEDAKLVVRIPTFQIFVAQGRPSWRKDGRRPRRIAVRYPDAGRIVLSQISAGSAVPLAAVLLLGLPADPSAGVAYGVVLFVMGVFISWNGPATNFPIMAEIVPEKSRTSIYALDGSLESVLASFAPPIVGLLAQRVFGYNPDKGKSVQRDRQNAASLAKALYTSTAIPFIVCTSIYSFLYCSYPRDRDRARMQSLVESELRQMEEKGSSCLEDGNGHRHGGQRAGVTCDSKELR >Sspon.02G0039070-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2B:48590946:48592427:1 gene:Sspon.02G0039070-1B transcript:Sspon.02G0039070-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MELGIEAARWMVGKALGPVSSGVLEAWAASTELDPNVEALRMELLYAEGMLNNARSHGRSGPGIQNPALSELLQKLRDLAYRADDVLDKLDYFRIQDEHDGTYHAAEEHDGGCLRNHALNARHTARAIAKMLGFSKCSGCFASHNGEPVEDTRTRVPCGGHWLCGLFGNVEEPLQRRRPTTATNKLAMAAWVGSPPVPVTPSILSVNTFYAALFQLSKMQLPTPASTGRPFFCCARPNKAPLRERVVQAPKLKFYRVELSQKMKDIVDQLKPLCAKVSAILNLELLAANLNNNNGQYKATSRPITTSESIEPKIYGREGVTSKIIDDITKGKYHDVDLTVLPIVGPGGIGKTTLTQHIYKELKNHFEVKQWACVPVNFNVSRLTQEIADKIKKEETASPIFPKEETASPIFPKKETASPESRIEEGLKSKRFLLVLDDMWSCSNEDEWKRFLVPFKKGQTKGSVILVTTRLLTLAQMVKTTGHRPERYRQGSI >Sspon.06G0018570-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:83139427:83142798:1 gene:Sspon.06G0018570-4D transcript:Sspon.06G0018570-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSATPPAASTLTLLLLTYCCHIASTGTGTNRSGSAGESAWFIATFATNHMTGDKSLISNLEPVNNQVVKAGVGEGMQVCGRGYVNTATVVLPDVWYVPGLTRNQVSVGQLTEDPDLIVEIGGGACCISKKSDESVLGKARLRSDHKQGPVSLYESDVASCTFVPPYCISKR >Sspon.03G0012130-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:50038116:50038316:1 gene:Sspon.03G0012130-3C transcript:Sspon.03G0012130-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALKAYVLLFTAFFFSGLMQLSMAAQEKPGTAVATARVVVDAKAIDQAVAYLLMFAALFVTYFAH >Sspon.02G0057790-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2D:64367203:64367494:1 gene:Sspon.02G0057790-1D transcript:Sspon.02G0057790-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVGEQGDVVWTQDRVIELQSLLPADALLTKPNVVGCVHGHGVILVRTRVGFFTIDPKLNQATKVGESMPSMKYDDVVIRFGRYSFAVVPYTSFFFP >Sspon.02G0022650-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:76569132:76575294:-1 gene:Sspon.02G0022650-1A transcript:Sspon.02G0022650-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKTEKAAISGSGKTLVPCTVFLIFPAALIAFVGPKELNDVHPRSAAEDFTVAPPWIPSPSRLAFRDHEAKWIAGAWQPNASGISDFSRFWETTQRCPLMVCRCSHEVDGPLCPLLGDLYGKPVIPSGLLAPYDAAVRAAAADGEGEHDDEESAGLIHWLDAQPARSVLYVAFGSEAPLTPAHVRALALGLELAGVRFLWALRKPVGGERPQLPDGFEGRVGSRGVVRVGWVRVLGHAAVGAFMTHAGWSSLMESFLFGHPLVMLPLFADQGLTARLMTKRRVGLEVPWDDCGSAFRGEDVARTVQRVMVEEEGIEFSCNAKGLQDVLWDTARQERYIDEL >Sspon.08G0001260-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:4473285:4477565:1 gene:Sspon.08G0001260-1A transcript:Sspon.08G0001260-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding APHGSGFPSATLRARPTRPRPDSSTPLRLRLRPLRLRPFSPSRRRNRRPSGGLDWPAPLLLVEVPLTAQRPPARPPSRSSNGRRGPGFLQPGHVPVPGARH >Sspon.05G0025630-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:25128831:25137904:-1 gene:Sspon.05G0025630-1B transcript:Sspon.05G0025630-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRHNTDLCLNLYGVSFQPNGQAPISSTDKLLKPQVRTNGIDIANFSTPRPLDTHEIPLVVNDFKIAARNAVEAGKCLFTTLSILSVKEHEVLKDQVNDRTDKSGGSLENRCRFALEVVQAVANEIGADKVGIRISPFANYHEALDSNPEALGLYMAKALNKFGILYLHMVEPRMITVAFQPNGQAPISCTDKPVKSQHVDKFTPPRRLETDEIPFIINNFRVAARNAIEAVYCNPSSDSIIKGINLNSSCVCVGFDGVEIHGAHGYLIDQFLKDHVNDRTDIYGGSLENRCRFAVEIVQAVVDEIGADKVGIRLSPFANYSGAVDSNPEALGLYMANALNNFGVLYCHVVVPRTVKNGENSETPSCIGLMRSAFKGTVIVAGGYSREDGNHAISSGYADLVTYGRLFLSNPDLPRRFEIDATLNKYNSETFYTPDPVIGYTDYPFLPSD >Sspon.05G0008010-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:16663023:16665081:-1 gene:Sspon.05G0008010-3C transcript:Sspon.05G0008010-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAVAAPPPRQLSLEDLKAVSVLGRGAKGVVFHVVHAPGGEPDGGAAAAAMALKAVSREAARHKKAASGDGDGHRRIWFERDVLLALRHPLLPSLRGILATDAVVGFAIDRCGGGDLNSLRRRQTEKMFSDSVIRFYAAELVLALEYLHSLGIVYRDLKPENVLIQDSGHIMLVDFDLSTRLPAPPQEPDAPATSPKPAPPVTAPAPSRGKARKPAGAALCFPFRTGSAAKPAAKPAADSPSPLSTSRTASSSSSSSTATTASSSAASAGVRTPVKSNSFVGTEDYVAPEIIAGRGHDFAVDWWGLGVVLYEMLYGRTPFRGQNRKETFYRVLAKQPELVGEQTPLRDLIARLLEKDPEKRIGARGVKAHPFFRGVDWDRILQVARPPFIPTPPPQDEGGDEALDVEKVVREVFASSEAEAAKAGEGEREGGKASPVADGGRVVGGDGDGRRDQSKDGDFSVFF >Sspon.02G0032190-1P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2C:102183240:102183749:1 gene:Sspon.02G0032190-1P transcript:Sspon.02G0032190-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSRRASPSAVLLLLLAAFAASARAATFTITNNCGSTVWPAATPVGGGTQLNPGQTWTVDVPAGTQSGRVWGRTGCSFNGGSGSCQTGDCGGVLSCTLSGQPPMTLAEFTIGGSQDFYDISVIDGYNLPMLFSCSTGVTLNCGGSSCPDAYLFPADNTKTHACNGNSNYQ >Sspon.08G0010040-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:43954424:43955665:1 gene:Sspon.08G0010040-1A transcript:Sspon.08G0010040-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKFHEIKLPYTTHCAIPAAVLLVTCLVILAFVILPDRKMLLPPSVTDTDGHGGGNLSSCNIFKGEWVPDPGAPRYTTETCPVIHGHYDCMRYGRPDLGFVRWRWRPAGCDLPRLDAGRFLRAMRGRSMAFVGDSLARNQMQSLVCLLAHAEQPAAPRINAQASYVFRFERHGFAVSLLWSPFLVRAVETDPDGPTRSGAGLWSLHLDEPDAGWAARAGEFDYVVVSVGSWFFRPSVFHVHGRVVGCNGCVAPNVTDLTLRYSLRMAFRTALRAAADAPPGTGRRRSRSRRTVVVRTLSPSHYENGTWNEDGDCARARPLTRGEWEMNAVEKDMYAIQAEEFGAARTASKGARMLLLDATEAMALRPDAHPSKYRLWQPDRFNVSRDCLHWCLPGAMDACNDMLLHMLLHYRN >Sspon.03G0007830-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:28320965:28321915:-1 gene:Sspon.03G0007830-2B transcript:Sspon.03G0007830-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GGGAGGAKSGDRGHGGAGADGVRHERGGGRAQGGGRRRGDRAGPAAASGPVREGARARPDLALPSGRRGAGRQRPRLRRRQPRVPRRPAVPLRPRGAVPRGQRRRRGGVRAARRRRLPHALRPLPPVPPGDPRRGGHPDPRHQRRRRGLRARVAHGGVPPPPSLRAPRSPRQECAPRPRGTRQRPGRSRRRRRRQWLRPRRPGRAPEGGRGGRRAGGARPIQPVSVGVRGGGRQREDLRRWLPRVRGLQPDTGPRPGGHHCDGGSRRRPRRGRGGAGGGGPGGDGQDLPRRRGPTRQLPRLQVQAVRCLM >Sspon.03G0018840-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:78406911:78410613:-1 gene:Sspon.03G0018840-3C transcript:Sspon.03G0018840-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MESPEACSSGPSSGEASPVSPAAAAAERPSEAAALRALVDRVRAGEVDAAREVRRLTRASARHRRKLAAAVDPLVAMLRSAAPEAGEAALLALLNLAVRDERNKTKIVDAGALEPLLGYLQSSDLNLQEYATAALLTLSASSTNKPIISASGAIPLLVKVLKEGNPQAKNDAVMALYNLSTITDNLQAILSVQPIPPLIELLKGGKRSSKTADKCCALLESLLAFDQCRVALTSEEGGVLAIVEVLEEGSLQGREHAVGALLTMCESDRSKYRDLILNEGAIPGLLELTVHGTPKSQMKAHVLLDLLRNSPYSRSKLQADTLENLVTNIASQIDGEDRGGKAKKMLAEMVKVSMEQSLRHLQRRASFA >Sspon.02G0028950-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:103479882:103483261:-1 gene:Sspon.02G0028950-2B transcript:Sspon.02G0028950-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable inactive shikimate kinase like 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G26900) UniProtKB/Swiss-Prot;Acc:Q9LW20] MAMRAATAAATGVFSPSSVSPRRFSSATPPASLSIGRCIQRHRLRAFPSSEIPLEELNPSVDLLRKTGEAVGDFRKTPIYIVGTDCTAKRNIAKLLANSIIYRYLCSEELLEDVLGGKDALRAFKESDEKAYLEVETEGLKQLTSMGSLVLCCGDGAVMNSTNLGLLRHGVSIWIDVPLEMAANDMLKSTGTQATTDPDSFSQAMSKLRQRYDELKERYGASDITVSVQSVASQRGYSSVDSVTLEDMVLEIVRQIEKLIRAKEMMEAAGRPF >Sspon.02G0005590-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:17145467:17147430:1 gene:Sspon.02G0005590-1P transcript:Sspon.02G0005590-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQTLQNHLKRYPILSKLLWLALGSFGHATAWLSHQKTGTCSVLMLQWPVQACISFHAK >Sspon.04G0000500-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:2718136:2718360:-1 gene:Sspon.04G0000500-1A transcript:Sspon.04G0000500-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSRAPRFPRSASERRVAAPADVNATLTLTDLGPPRFPWSGVTVPWCPQEYHPNSPLCKRFPESLFFRKFPASY >Sspon.07G0006680-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:7480906:7483762:-1 gene:Sspon.07G0006680-2B transcript:Sspon.07G0006680-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGGEHSSMDHPDAEDLERGELRRDAPEFADGDDGDEIETQYFSDAEDRSWASHSRQDSTAAYEDCISPCASARASSVDADADGEAAREHRRKSSCVSEGSLDDVDLEAGLSEIIKASPEKAEQNCRICHLGLESTAAESGAGITLGCSCKGDLSYAHKQCADTWFKIRGNKICEICSSTASNVVVLGDPEFSDQWSETNNVAAVQAPPAETRRFWQGHRFLNFLLACMVFAFVISWLFHFNVPG >Sspon.03G0011050-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:34727421:34731812:-1 gene:Sspon.03G0011050-4D transcript:Sspon.03G0011050-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNRASRYDRHAAEQQPASSTPPKPQTQPPPPPPTQTQTQQQYQQAPPQPQPKPAAGPGAGAGARAAGRVLGRPMEDVRATYTFGRELGRGQFGVTYLVTHRETGQRFACKSIATRKLVHRDDIEDVRREVQIMHHLTGHRNIVELRGAYEDRHSVNLVMELCEGGELFDRIIAKGHYTERAAAALCREIVAVVHSCHSMGVFHRDLKPENFLFLNNKEDSPLKATDFGLSVFFKHGETFKDLVGSAYYVAPEVLKRHYGAEADIWSAGVILYILLSGVPPFWAENEDGIFDAVLRGHIDFSSDPWPSISNGAKDLVKKMLRPDPKERLTAAEILNHPWIREDGEAPDKPLDITVIGRMKQFRAMNKLKKVALKVVAENLSDEEIMGLKEMFRSLDTDNSGTITLEELRSGLPKLGTKISESEIKQLMEAADVDGNGTIDYAEFISATMHLNRLEKEDHILKAFEYFDKDHSGHITVDELEEALKKYEMGDDKTIKEIIAEVDTDHDGRINYQEFVAMMRNNSPEIVPNRRRMF >Sspon.06G0000260-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:105965353:105967600:1 gene:Sspon.06G0000260-4D transcript:Sspon.06G0000260-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLTMQPIGPTPAPAAQEDQRRGENPPTADGTDLSDIEPGWVILKNSDIVSADLAAAAISGGQRLGSSTIPSWARWVVGGVVYTVVPFYNRVRHLEDETVGFVENAVEVVEHVAEVTEKLAANVAKQLPEDGSLQKAVEEVEHIAEVVDDDAEKFEAVAEKIDKVSDEIDAAVEPVIEELEKELDQSTTSDDGVNTNN >Sspon.01G0058260-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:24083939:24087678:1 gene:Sspon.01G0058260-1D transcript:Sspon.01G0058260-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MIDTARPRNERLGRADRRGRLLSSVESGATSRTGPSTKRGPTTAPLRTGCKSGSPPFTRAWPATTHRLSLHQPDQPPATGSRRRAAPAPMAPTVEISHLSFTYPGIDGRPPPGAPPLIEDVCFSLDAGQRCLLLGSNGAGKTTILKILGGKHMVDPSMVRVLGRSAFHDTALTSSGDLCYLGGEILDIDLAWRMHKASDGQRRRVQICMGLLKAFKVLLLDEITVDLDVLARSNLLTYLKKECEERGATIIYATHIFDGLDDWPTHIVYIAHGKLQLALPLEKVKEMSQLSLMRTVESWLRKERDEDRRRRKERKEKGLPEFDKVFEGSRVIGDPAKNAARVVNNGWAAGRLTSTIAGEENFVFSSNSVLRQ >Sspon.02G0020370-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:66564941:66571263:1 gene:Sspon.02G0020370-1A transcript:Sspon.02G0020370-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSNTMLWLLLLAVFASLAAAAGAGRGDDRRRSSPQVYVVYMGAVPPRTSPDLLLETHLRLLGTVLKRGPRADSVVVHQYKHGFSGFAARLSKDEAAALRRKPGVVSVFADPVYQLHTTRSWDFLQQTTTTAAVKIDDSAGPARRRSSNKNKGSKAAAAPADDPPSSSPATDTIIGLLDSGIWPESPSFNDAGFGRVPSRWKGVCMTGDDFNSSNCNNKLIGARYYDLSSVRGPSPSDGGSPRDDVGHGTHTSSTAAGNAVTGASYYGLAPGTAKGGSAGSRVAMYRVCAESGCAGSAILAGFDDAIADGVDVVSVSLGASPFFRPDFSADPIAIGAFHAVANGVMVVCSAGNSGPDAATVVNVAPWILTVAATTIDRDFESDVVLGGNNSAVKGVAINFSNLDRSPRYPLITGAAAKSGSVSDTDAASHCEPGTLDSSKIRGKIVLCHHSQSDTSKLEKADELQSDGAAGCILVNDAERSVATAYLDFPVTEVTSAAATAIHKYIASARAPSNAISTTTKSDSLASYDRNVSRNVSSQPVATITPATTVTEYKPAPVVAYFSSRGPSSQTGNILKPDIAAPGVNILASWIPPSSLPPGQKQASQFNLVSGTSMACPHVAGAAATVKAWNPTWSPAAIRSAIMTTATTLNNERAPMTTDSGSAATPYDLGAGQVHPTGALDPGLVYDAGEDDYLRFLCNYGYNASTVRLIAGSTLPGGFSCAANASKDLISDLNYPSIAVSGLLGNKGSRTVTVTRAVTNVGAQEAATYTVAVSAPAGLNVKVTPSKLEFTRSVKKLAFQVTFSHSGNDDGDDDAAAKGALSGSITWSDGKHLVRSPGLHPLPLQLRLQRVHRSTIRLIASALPGGFGYAANAITNVGAQVAATYTVAVSTPAALDVKVTASKLEFTRSVRKLAFQVTFSRSRNDDDDGDGKGAPCRAP >Sspon.02G0016550-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:49042155:49045218:-1 gene:Sspon.02G0016550-3C transcript:Sspon.02G0016550-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDATRCLLAFLLLLLEAIAGASSDTKHLIAARFALRDPTGALAGWAAATNRSSPCRWAHVSCANDSATAVAGIDLFNLTLGGAFPAALCSLRSLEHLDLSANQLQGPLPACVAVLPALIYLNLAGNNFSGQVPRSWGAGFRSLAMLNLVQNMLSGEFPAFLANLTGLQQLQLAYNPFAPSPLPEKLFDLAGLRVLFIANCSLNGTIPSSVGKLKNLVNLDLSSNNLSGEIPSSIGNLSSLEQIELFSNQLSGSIPVGIGRLEKLHSLDISMNRLTGEIPEDMFTAPRLASVHLYQNNLSGPLPVTLGTAAPSLSDLRIFSNQFSGPLPPEFGKNCPIGFLDMSDNRLSGPIPTTLCAFGKLNQLMLLDNEFEGPIPVELGQCRTLVRVRLQSNRLSGPVPPKFWGLPNVYLLELRQNALSGTVDPAIAGAKNLSTLLLQDNRFTGTLPAELGTLDSLQEFKASNNGFTGPIPRSIAKLSLLYNLDLSNNSLSGEIPGDIGKLKKLAQLDLSDNHLTGNVPPELGEIVEINTLDLSNNELSGQLPVQLGNLKLARFNISYNKLSGPLPSFFNGIQYQDSFLGNPGLCYGFCQSNDDSDARRGKIIKTVVPIIGVGGFILLIGIAWFGYKCRMYKMSAAELDDGKSSWVLTSFHRVDFSERAIVNSLDESNVIGQGGAGKVYKVVVGPQGEAMAVKKLWPSGVASKRIDSFEAEVATLSKVRHRNIVKLACSITNSVSRLLVYEYMTNGSLGDMLHSAKRIILDWPMRYKIAVNAAEGLSYLHHDCKPPVVHRDVKSNNILLDAEYGAKVADFGVAKAIGDGPATMSIIAGSCGYIAPEYAYTLHVTEKSDIYSFGVVILELVTGKKPMAAEIGEMDLVAWVSANIERNGLESVLDQNLAEQFKDEMCKVLKIALLCVSKLPIQRPPMRSVVTMLLEIKEENKPKTKAAATLPI >Sspon.02G0045200-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:108503161:108504805:1 gene:Sspon.02G0045200-1B transcript:Sspon.02G0045200-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PID [Source:Projected from Arabidopsis thaliana (AT2G34650) UniProtKB/TrEMBL;Acc:A0A178VTE5] MVAAVRVAPGLGNKPGPGLAMLEVVAPPGPAAGEERLSDADTTASSTAAPNSSLSSASSAASLPRCSSLSRLSFDCSPSAALSLAAAASSSPAPARPHRSGDAAWAAIRAASTSAAAPLGPRDFRLLRRIGGGDIGTVYLCRLRHAEAGRQPSGGCLYAMKVVDRRVVAKKKKLERAAAEKRILRVLDHPFLPTLFADFDAAPHFSCVVTEFCPGGDLHSLRHRMPNRRFPLPSARFYAAEVLLALEYLHMMGIVYRDLKPENVLIRADGHIMLTDFDLSLQSTSTPSLESSASDDDSATSVSCFPDHLFRFTLRRGRSSRRALLRRAASARQHQQPLVVAEPVEARSCSFVGTHEYVAPEVARGGPHGAAVDWWALGVFLYELLHGRTPFAGADNEATLRNIARRPLTFPSPAAGSGSGAGDADARDLIARLLAKDPRQRLGSRRGAADVKAHPFFRGLNFALLRSSRPPVVPDAPRSPPLHRSQSCSAATASATVTAARRRASKPPPPDARFDLF >Sspon.04G0015220-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:61038673:61039699:1 gene:Sspon.04G0015220-2P transcript:Sspon.04G0015220-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDLFTSASFKKYADLKHQVVLDDLESGGGIEAEAAACLDRFFEDVEGVKEDLRGLEALHRRLQSAHEESKTAHDARAVKALRARMDADVDQVLRRAKAVKARLEALDRANAASRRLPGCGAGSSTDRTRTSVVTGLGKKLKDLMDDFQGLRTRMAAEYKETVARRYYTVTGEEAAEGTVEALIASGQSETFLQKAIQEQAAGRGQVLDTVSEIQERHDAVVELERSLRELHQVFLDMAALVEAQGHQLNDIESHVARASSFVLRGAVELEAAREYQRSGRKWACVAVVAGVVLVAVVVLPVLVNLHLLSLR >Sspon.08G0003210-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:9438811:9442285:1 gene:Sspon.08G0003210-2B transcript:Sspon.08G0003210-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKSLLPQSQLRRSSAAAVRSSGGGAAGADGAMADGGGAGPRAPASSTFWFLLHALCCLISLFLGFRFSRLLFFMLFSTTALYHSTTSSSSAAVLRAITTTTTTTTTTTTTTNTFTLSFAAANTPPSGPANRTALEAADNKGAASSGNPQSHVVVGRHGIRIRPWPHPDPVEVMRAHRIMERVQEEQRRWYGVKEPRQVLVVTPTYSRAFQALHLTGLLHSLRNVPYPLTWIVVEAGGTTNATASMLARSGLTFVHIPFPDRMPHEWADRHATENRMRLHALRTYGKKVADEGSVSLRSVIRERKMDGVVVFADDSNVHSMELFDEVQKVQWMGAVSVGILAHTGTADQLRLSEEDKQNMPLPVQGPACNSSGHLAGWHTFNSLPFSGKTATVVGEAAPVLPRGLEWAGFVLNSRMLWKEADGKPDWVKDLDAVGENGEEIENPLTLLNDPSSVEPLGNCGKKVLLWWLRVEARADSKFPQGWVIEPPLEVVVPAKRTPWPETTTELPSELLDDKQEQEDRRLSRANKLSRPRGTTKRKGDPQGQDN >Sspon.02G0027180-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:98683408:98686732:-1 gene:Sspon.02G0027180-1A transcript:Sspon.02G0027180-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lysine histidine transporter-like 8 [Source:Projected from Arabidopsis thaliana (AT1G47670) UniProtKB/Swiss-Prot;Acc:Q9SX98] MAAMAADGAASEPELVSIPATPHGLSTPEGAATPTGAGGGGGGRSKGSGTPGRRVVEGLRGYLEDVGHLTRLDPRDAWLPVTESRGGNARYAAFHSLNAGLGFQALLLPLAFPGLGWSWGIISLTIAYFWQLYTLWILVKLHEAVPGRRYNRYVELAQAAFGEKLGMWLALFPTIYLSAGTATALILVGGETMKLFFQIVCGPLCSPNPITTIEWYLVFTSLAVILSQLPNLNSIAGLSLIGGATAIMYCTMSWVLSVSQQRPPTVSYDPVTSNSFGISLFSTLNALGIIAFAFRGHNLALEIQATMPSTFKHPAHVPMWRGAKVAYLLIAIVALPFLSSLAGLLGGLTLPVTFAYPCFMWIRVKKPERFSFSWYLNWGLGLLGTAFSLAFSLGGVWSIVNNGMKLKFFKPN >Sspon.05G0003400-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:9828072:9833041:1 gene:Sspon.05G0003400-2P transcript:Sspon.05G0003400-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALLYPRLGLRGLFEREFGGKISDKPDSAHMLPSSMDIIDLSSDSEAGIIDLSSDSEDNTLFLPYREEDVDYVILPFCLSFFPHNTAPDWNNMEEFDDWRVVAKKEYDDWFSSNASSSYSPVEGISTTEVNNKLPQSFTYGSFIPQSFVPSHSSLGDNWVKEEPSMTFSGFQRCTASGSEMPSSTVPIDDIFVYGGPRPHRIFPPPMPSSVNDTKVENDVEQRLFGSDERAVYEEALKHITQETKEEDLPKGKIALAWMLSKENSSHCPGGILADDQGLGKTISTIALIQKEMVKQSRFMTAGSYSTKFVPNSDYDNDDDVVIVMAKKEPKDEPLNELDDSARLHKLCDSKSNAATHKAEPYDNDDVDEPLNELDDSARLHIASSPKLCDSKSNTATDTAEPKKTRVRYSASNLRSKTRPAAGTLVVCPASVLRQWANELSVKVMEDNKLSVLVYHGSSRTKDPNELATYDVVVTTYMTVANEVPKENSNDEQKDSELDGICPDVSIGSKRKKQSKPKKKNKPINLEGGPLARVRWFRVVLDEAQTIKNYRTQVSRACCGLRAERRWCLSGTPIQNKIDDLYSYFCFLKYEPYSKFSNFKYMIKHQITRDSVRGYKKLQAILRIILLRRTKETLIDGEPILKLPPKTIQLSKIDFTQEERAFYLALEEGSRQKFKAYDAAGTIRENYASILVLLLRLRQACDHPLLLNGQESDLIDVNSIERAKQLPKETVINLLEKLERGPAICSICNDPPEDAVVTTCGHVFCYQCVHESLTSDGHVCPYALCGKKLSFRSVFTPAVLKLCTSPKLEFDEKPSCSTAADKPSSICESSYISSKIRAAVEILNSIIKTPALKAGILLNQFSAWHSLLRQ >Sspon.06G0027090-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:67786508:67786925:-1 gene:Sspon.06G0027090-2C transcript:Sspon.06G0027090-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQCSNNILYPKEDRANKVLLYACRNCEHQEVADSNCVYRNVVDHDAGEFTQVLFEDVASDPTLPRTKSARCAACGHGEAVFFQVRATTRGEDGMTLFFVCCNPSCGHRWRD >Sspon.03G0013210-3C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3C:53515782:53518870:1 gene:Sspon.03G0013210-3C transcript:Sspon.03G0013210-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding QESRSNWVGITRALQLIVDVNDTAKRSIEELLKLLPGPHLDLKRGGLTINSSPEVHPGLGAQQSIDRVGHRSLVLVVFIGGVTFAEIAALRFLSAQEGMGYDFLVATTKVVNGNTILRPIIANSKEGMM >Sspon.02G0010990-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:33853992:33855386:-1 gene:Sspon.02G0010990-3C transcript:Sspon.02G0010990-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPSGTMRAVQYDRYGGGAQGLKHVEVPIPSPKKGEVLIRMEATSINVVDCRFKNGIARPFLPRRFPFISGFDLAGEVVALGAGVSNFKPGDKVIAINFPNGGGLAEYAVASASRTVARPPEVSAVEGVCVPMAAVTALLALQRAGVRLDPAHASTTKPKNVLVTAASGAVGHFAVQLAKMGGHNVTATCGARNLDLVRSLGADEALDYKTPEGAKLRSPSGREYDAVVHCAAGFPWSVFRPVLAAKGTVVDITPGFVSGVTAILQVVTFSKKRLVPLFATPKKEDMELLLGMLKQGRIKTVIDSRHPLGSAHEGWAKSMSGHATGKVVIEIGAAKPE >Sspon.03G0037690-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:100301518:100302251:1 gene:Sspon.03G0037690-1B transcript:Sspon.03G0037690-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding HGREPQARGAAAAAAEPVHVRHPSHHRRVGAQRRHRPRLHHRPRAAASRAFPPHFLPHRQLRHRLLRPLLPPCRRRRDRLRHRGLQPPPLLELSQPAARRGVGPPCVGTHSPSHGASLSGNLFRPKKRQAGHHGDLHHHPNSDAVLLRARDPRGKKSRTRRPGGAPQQRG >Sspon.01G0009790-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1A:27818689:27823020:1 gene:Sspon.01G0009790-1A transcript:Sspon.01G0009790-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSAVLRTILVTGGAGYIGSHTVLQLLQQGFRVVVVDNLDNASEVALVRVAELAGHNGANLVFHKVDLRDRHALEEIFSSHRFEAVIHFAGLKAVGESVHKPLLYYDNNLIGTITLLEVMAANGCKKLVIEDICRDVHRSDPDWKIILLRYFNPVGAHPSGYIGEDPCGVPNNLMPYVQQVAVGRLPHLTVYGTDYNTKDGTGVRDYIHVVDLADGHIAALRKLYEDSDKIGCEVYNLGTGKGTSVLEMVAAFEKVSGKKIPLVFAGRRPGDAEIVYAATAKAEKELKWKAKYGIEEMCRDLWNWASKNPYGYAGSRDNGK >Sspon.01G0029840-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:103713423:103717127:-1 gene:Sspon.01G0029840-1A transcript:Sspon.01G0029840-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVKKYGEVVNSSRPRPISSIRSPRRNPERRLRSTSLINLMAIAESCVDAVVMEMVAVYCGGLYAAKPEPAARRIEAIGFQVGHQLSERYTMERPRFSDHLEAIKFICKDFWSELFKKQIDNLKTNHRGTFVLQDNHFRWLTRVSLDPYTENTDSTENDSAALGDTAA >Sspon.03G0017350-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:75721112:75726664:-1 gene:Sspon.03G0017350-3C transcript:Sspon.03G0017350-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPPHAEEAAAAAGAGGGGEGGGGSPGTGLEGPMLRLGLDGGGGGGDGELGAGEEADARLPERPGEADCGYYLRTGACGFGERCRYNHPRDRGGTEFGGGAKNGAAQDFPERQGQPVCEYYLKTGTCKFGSNCKYHHPKQDGSVQSVILNNNGFPLRPGEKECSYYMKTGQCKFGSTCKFHHPEFGGIPVAPGIYPPLQSASVPSPHTYAPNWQMGRSPAVPGSYIPGSYTPMMLSSGMVPLQGWSPYTASVNPVASGGAQQTVQAGPLYGMGHHGSSTAIAYGGAYLPYSSSTGQSSNNHQEHGFPERPGQPECQYFMRTGDCKFGTTCKYNHPRDWSTPKSNYMFSHLCLPLRPGAQPCAYYAQNGYCRYGVACKYDHPMGTLGYSSSALPLSDMPIAPYPIGFSVATLAPSSSSPEYISTKDPSINQVASPVAAPEHVGAILPKGVFPSDTIMRTQTSTSVGSSSPGGGR >Sspon.03G0000780-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3B:9732035:9734038:-1 gene:Sspon.03G0000780-2B transcript:Sspon.03G0000780-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHADDFRQDRYGVVSGLRDGGYSDDMTLAAIAGQHKRLISSPPVAVFPHPLASDLSFSRYWNYLRKQTFVLESYVSNVFIAVLVDNFLYPISAFRSHFSQSINWSGIRYYLRDGKISKIERENSSKYTDLGGKHLYGKRTYPSNKSLLGYLSRTLVQWHQPKKYDV >Sspon.07G0007070-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:16638446:16641346:-1 gene:Sspon.07G0007070-2B transcript:Sspon.07G0007070-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHAAEAQRTDLMTITRHVLNEQSRHPESRGDFTILLSHIVLGCKFVASAVNKAGLAKLIGLAGETNGEEQKKLDVLSNEVFVKALVSSGRTCVLVSEENEEAIFVDPALRGKYCVCFDPLDGSSNIDCGVSIGTIFGIYMIKDKDNVTLEDVLQPGTNMLAAGYCMYGSSCTLVLSTGNGVNGFTLDPSLGEFILTHPDIKIPKKGKIYSVNEGNAKNWDGPTAKFVEKCKYPQDGSPPKSLRYIGSMVADVHRTLLYGGIFLYPADQKSPNGKLRILYEVFPMSFLMEQAGGQSFTGKQRALELAPTKLHDRSPIFLGSYDDVEEIKALYASESNNA >Sspon.04G0001470-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:2377170:2380921:-1 gene:Sspon.04G0001470-2P transcript:Sspon.04G0001470-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aspartate aminotransferase [Source:Projected from Arabidopsis thaliana (AT4G31990) UniProtKB/TrEMBL;Acc:B9DG21] MASAAFAVSSPAASAVAAGSKAGPAPSPTVIQFLPICDWFQWAGVLGRGVNQGRSRTGCRVGITRKNFGRVMMALAVDVSRFEGVPMAPPDPILGVSEAFKADKNDLKLNLGVGAYRTEELQPYVLNVVKKAEKLMLEKGDNKEYLPIEGLAAFNKATAELLLGADNPVIKQGLSLSGTGSLRLAAAFIQRYFPEAKVIISSPTWGNHKNIFNDARVPWSEYRYYDPKTVGLDFEGMIADIEAAPEGSFVLLHGCAHNPTGIDPTPEQWEKIADVIQEKKHMPFFDVAYQGFASGSLDEDAFSVRLFVKRGMEVFVAQSYSKNLGLYSERIGAINVVCSAPDVADRVKSQLKRLARPMYSNPPIHGARIVANVVGDPTMFGEWKQEMEEMAGRIKNLSAKDQSGKDWSFILRQIGMFSYTGLNKAQSDNMTDKWHVYMTKDGRISLAGLSLAKCDYLADAIIDSFHNVN >Sspon.01G0018130-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:42535083:42542718:-1 gene:Sspon.01G0018130-1T transcript:Sspon.01G0018130-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGLTRAAKRAGEMAFNAGGGAVNWFPGHMAAASRAIRDRLKLADLVIEVRDARIPLSSANEDLQPVLSAKRRILALNKKDLANPNIMNRWLNHFESCKQDCISVNAHSCSSVNQLLGFAELKLKEAISKEPTLLIMLVGVPNVGKSALINSIHRIATSRFPVKDKNKRATVGPLPGVTQDIAGYKIATQPSIYVLDTPGVLVPSIPDMETGLKLALTGAVKDSVVGEERIAKYLLSLLNIRKTPLHWERLLHREEELCEEICSSNKKDNSLRRRRLNNSDAVYVQDLVTEVQRTLCRTFLNFTGNTEEESELETLIDMQLVALRKVFRIPHKPFDETQGPTSKKLLTLFRSGKLGPFILDDLPDGSEN >Sspon.06G0005440-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:18261801:18267318:1 gene:Sspon.06G0005440-1A transcript:Sspon.06G0005440-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASRALLPSPQASASPANARRARVAAALPSSLTLAARGARLHRVRCAVLSSPAPVAPSESKPAHRISQSGSDGALRPKPTVLVAEKLSEAGLAVLRQFADVECAYGMSPAELLAKVSQFDALIVRSGTKVTREVLEAGRGRLRVVGRAGVGIDNVDLQAATEVGCLVVNAPTANTVAAAEHGIALLASMARNVSQADAALKAGKWQRNKYVGVSLVGKTLSIMGFGKVGSEVARRAKGLGMHVIAHDPYAPADRARALGVELVSFDEAIGRADFISLHMPLIPTTSKIFNDESFAKMKTGVRIINVARGGVIDEDALVRALDSGKVAQAALDVFTVEPPPKDSKLVLHENVTVTPHLGASTVEAQEGVAIEIAEAVVGALRGELAATAVNAPMVPAEIMSELAPYVSLAEKLGRLAVQLVAGESGIKGVKVVYTTARGPDDLDTRLLRAMVTKGLVEPVSSTFVNLVNADYTAKQRGLRITEERVAHDSPAAEAPLESVQVRLSNVQSKFAGAISDGGDIVLEGRVKYGVPHLTLVGPYEVDVSLEGNLILCRQIDQPGMIGKVGNILGQRNVNISFMSVGRKFRGKQAIMAIGVDEEPDKETLETIGAIPAIEEFVFLEL >Sspon.01G0030570-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:105972953:105976405:-1 gene:Sspon.01G0030570-1A transcript:Sspon.01G0030570-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDYTIQISTKLIDQLARDDEKVKRKARKPKPKKKPTVQPHEEPRNSQANPRRAALLLLLGGLCSLPMFLPVTPAPPPPLAPMPEVEAMRSILKESEAVLEKLEKQEAGARQELSRRAKELHDKEFKLPYQDPMPCTQERAGCLECYKTNAKDPLKCAEAVKRFEACALMAVKAAAAKADYVAVYHAVKNEEFEANEEMGWPYVAIAHVYCIKLCFPRKNTFPVCKIAVSKN >Sspon.06G0018210-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:98343262:98346805:-1 gene:Sspon.06G0018210-1A transcript:Sspon.06G0018210-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Magnesium/proton exchanger [Source:Projected from Arabidopsis thaliana (AT2G47600) UniProtKB/Swiss-Prot;Acc:O22252] MVRAAVPPPSSSCDSDTYLLFHGETLLSSGVRASLYTVALAYCFIGLSAITARFFKSMEQIMKHSREVVVSVDPHTKAPVVKHEKVWNYAVADIALLAFGTSFPQISLATIDAIRNLGQLTAGGLGPGTLVGSAAFDLFPIHAVCVVMPRAGSKKKISDLGVWLVELFWSFWAYIWLYVILEVWAPKVITLWEALLTVLQYGLLLLHAYAQDKRWPFVSIPFMRGERPEDWVPPEDVPVDYHNCDDINETLPISADRNDGIVDVFSAHSYHNAEYSRVPEKDMEGSSTMDQVVKNTQEDMSWLSIWWQQFVDASMLESPESRKMDSVFLRSTTIFWNLIIAPWKFLFAFVPPYHIAHGWIAFMCSLIFISGIAYGVTKLTDQISCVTGVSPYVIAFTALAAGTSWPDLVASKIAAERQVTADSAIANITCSNSVNIYVGIGVPWLIDTVYNFFVYQEPLYIDNAAGLSFSLLVFFATSFGCITVLVLRRIILGAELGGPRLWAWVTSVYFMILWVVFVVFSSLRVSG >Sspon.06G0000710-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:672391:675166:1 gene:Sspon.06G0000710-4D transcript:Sspon.06G0000710-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPAESQQVELSHLEGRRRNPSSRPGTNGGSARTDDDDSAEKEEVDDCPIEEVRLTVPITDDPALPALTFRTWFLGLLSCALLAFSNQFFGYRQNPLYISSLSVQIVVLPLGKFMAACLPSKTVQIMGWSFSLNPGPFNLKEHVLITIFANTGSNSVYAVGIITIVKAFYHREIHPLAAMLLTQTTQLMGYGWAGLFRKFLVDSPYMWWPANLVQVSLFRALHEKEKRPRGGTTRLQFFLTVLAASFAYYIVPNYLFPTISTISVVCLIWKNSVTAQQIGSGVYGLGVGAFGLDWATVAGFLGTPLSTPAFAIVNIMAGFFLIVYVIVPLAYWSDAYGARRFPIISSHVFMANGSRYDVNRVLDPNTFQFSQAGYDGAGQIHLSIFFAFTYGFSFATLAATLSHVALYHGRSIWEQTKATVRAAGGDVHARLMRRNYAAVPQWWFQVMLVVVLGLSLFTCEGFGRQLQLPYWGVLLAAGIAFFFTLPIGIITATTNQQPGLNVVTELIIGYLYPGRPLANVAFKTYGYISMSQAIMFLQDFKLGHYMKIPPRSMFAVQLVGTVLASSVYFATSWWLLESMPNICDPTKLPEGSPWTCPGDDVFFNASIIWGVVGPLRMFGRLGLYSKMNYFFLAGALAPVPFWALARAFPDSAWAPWLRLINMPVLLGATGMMPPARSVNYLMWGAVGLAFNYVVYRRYKAWWARHNYVLSAGLDAGVAFMGIVSYAVLQSRGINGVDWWGLQVDDHCALARCPTAPGVSAPGCPVH >Sspon.01G0033250-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:112108423:112108805:-1 gene:Sspon.01G0033250-1A transcript:Sspon.01G0033250-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSAAAPSSVPPAGKRPASSGREGDQMVITPLGAGSEVGRSCVHMTFKGRTVLFDCGIHPAYSGMAALPYFDEIDPSTIDVLLITQ >Sspon.04G0016540-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4A:60855377:60859785:-1 gene:Sspon.04G0016540-1A transcript:Sspon.04G0016540-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSSATSPSGTSASMGTLPAPAIFVAPYATISVKNHIPVTLELTQPNYNQWEPFFTSLCGKFGLLLHVDGTAEARPTDPAWAIADSCVRSWLLGSVGPDVLGLAMAPNQTARELWVAIKRLFEANKAPRAIFLSHEFHSMTQGDSSINDYCKRMKATADALRDVGRTITDSELVLNLLRGLNPRFAGTADNIADSHPLPDFATTREKLVLKELRLANDGTVAAQTAFHATCGTACRSSSSNTGAGSPASRQGSHGGGSSGGGHSSGYGGSGQGGGGGRWKKKGKGSHFGGGPRAPAPPAGPWYCYAPWTGGAQQQQQWRPPAPNSAWRGPGVLGAFPPAQAHTAFAPVQVSDGAAPNPSHQQHGNWDQAGLIAALNQMSLQGSSPWVLDTGATTHMSPSDGILLSRLPPPTSGITVGNGTTIPITCRGTSTLSSPSTTFHLNNVLVAPALVRNLLSVRQFTRDNHCSIEFDAFGFSVKDQQTGRVTLRCNSAGDLYTFPSTPTHHCSLATTSSLWHHRLGHPGPSSLATLQSMSVISYNKSSPCLCHACQLGKHGYRCLDMSTRRIIISRHVVFDESVFPFSAAPSAASMPSSLDFLMQGLSSPTPPASTTPLPVDTTEDMSPELLDPAILQLGPQASPAGGLQPSGQRFGVVYSRRPRPTATPTAEVVAPAAAPVASAVPPAAPAPPPAPQAATTAPPAAPRAATANRPVTRSQTGTLRTVQRYGFSATVASPIPANYRSALADLNWRAAMAEEHKALIDNGTWRLVPRPPGANIVTGKWLFKHKFHSDGSLARHKARWVVRGFSQQAGVDYDETFSPVVKPATIRTVLSIAASRDWPIRQLDVKNAFLHGNLEETVYCEQPSGFIDPAAPNSVCLLQKSLYGLKQAPRAWNQRFSTFICSIGFTTSKSDASLFVYKAGAELAYLLLYVDDIVVTASSPALLQHIITRLHSEFAMTDLGDLHHFLGISVTRDSSGLFLSQRQYAVDLLQRAGMSECHPTATPVDARTKLSASEGAPVANPSEYRSLAGALQYLTLTRPDLAYAVQQVCLFMHDPRESHLALIKRILRYVKGSLSAGLHLGSGAIDHLTAYSDADWAGCPDTRRSTSGFCVYLGDNLVSWSSKRQTTVSRSSAEAEYRAVAHVVAECCWLRQLLQELHISIPLATVVYCDNVSAVYMAANPVHHRRTKHIEIDIYFVREKVALGQFRVLHVPSAHQFADIMTKGLPVQLFTDFRTSLCVRDASATTAGGY >Sspon.01G0054290-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1C:53367034:53367216:1 gene:Sspon.01G0054290-1C transcript:Sspon.01G0054290-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTAAESESWRRRNGGSGEGEEEEEAAAARMSTLLRNMAVYSSSGFGCSASARGACSVPL >Sspon.01G0006530-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:20510361:20511831:1 gene:Sspon.01G0006530-2B transcript:Sspon.01G0006530-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding NMGTLVPQQAAVLLAALLAVSFGATAWKKAAAAAPPPVVVGSIKCLDGSPNKVAIKCKSGAAADESYETKALGPLDDTGVFRIPLAAELLRDDGSMDRDCFAQLHSSLGTSCVGQAPPRIAPTSSQLDGGDSSSTTYLAAAIDGTVFAPVACACGKKKKHYMFGPPPPPPRPTPTPTPNPPTPTYGPPTPTPTPTPVPEPRPPAPEEPEPFFKKKPKMKFMHKKKPCPPLVDDDHTTRPAAAGGQGQEKLPKKLN >Sspon.04G0003730-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:11480725:11482601:-1 gene:Sspon.04G0003730-1A transcript:Sspon.04G0003730-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding PLFISDEELRLLGGDTAAVAERADAAIRELRRQVDTVRAEADAAAIAAEQTCALLEQRYASLSAEFDRSQAEAAELTAAAERHAADLASSQAEIHQLRIQAVAKDGEVERLKIEILELHKSKCQSLELIEQRDAEIKEKDGIIQSYYDKIVNLAETSAGKEARIQEVEAKFTHCQAICNRITQVKELLEKHNLWLDEELKAKVKNLAELRKTNMDEEARMSARIAEVEREISESSSSLRRSKERISELEQRVSYMEKVLCSTKDTAAANEQRLGAELSTVW >Sspon.04G0007280-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:20806091:20809859:1 gene:Sspon.04G0007280-1A transcript:Sspon.04G0007280-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aldehyde dehydrogenase [Source:Projected from Arabidopsis thaliana (AT4G36250) UniProtKB/TrEMBL;Acc:A4FVT2] MGSVAEEKAALGFDGLVSDLREVYESGRTQDLKWRQSQLRGLIRLLEEKEEEIFDALHEDLGKHRGEAFRDEVGVLKKSVVDKLQNLKNWTAPEKAHAPLVAFPATALVVPEPLGVVLIFSCWNLPIGLALEPLSGALTAGNAAVVKPSELAPATSAFLAANIPKYLDSKAVKVVEGGPEVGDKLMDHPWDKVLFTGSSRVGRLIMTKAAKHLTPVALELGSKCPCIVDWLDSNRDSQVAVNRIIGAKWSTCSGQACIAIDYLLVEEEFAPILVVPNIHPPICMQSHTIMTSSALIEMLKSTLERFFTRPEYMARILNEKHFQRLSGFLGDRRVASSVVHGGHFNPKTLSIEPTLLLNPPLDSDIMTEEIFGPLLPIITVKKIEDSIKFLKSKPKPLAIYAFTRNEKLKQRIIDETSSGSVTFNDAIVQYGLDSIPFGGVGFGQYHGKYSFEMFSHKKAVLKRSFLVEFMFRYPPWDEIKIGMLRRVYRFDYVSLFLAIIGLRR >Sspon.02G0021230-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:96904245:96906803:-1 gene:Sspon.02G0021230-1P transcript:Sspon.02G0021230-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNGAPEASGSGPASPLRPRRRAGSGDPVLVVCRCFGVVTAAAALLCAAANVLSAVYSFRGAADIIGGVFRCYAVVFSVFVAVLETEWAPIIKLWKIFDYLPARGMLQIFVAIMTKAYPNVERNELILLQEIATYMLLACGALYIIAHKATSQEQAVKDLESLCAIKSGRAMCRIDEAKK >Sspon.06G0004560-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:11702830:11706702:-1 gene:Sspon.06G0004560-2B transcript:Sspon.06G0004560-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:BAHD acyltransferase DCR [Source:Projected from Arabidopsis thaliana (AT5G23940) UniProtKB/Swiss-Prot;Acc:Q9FF86] MGVENGSSPCLAVDAAVTVTGARTVAPAKNRCTLATFDLPYITFYYNQKLLLYRATPAGPDFPDAVARMTAALADALRVFYPLAGRIRQLDDGALAVEGDEGAEVFEAEALGVTVDDLAGGDCSDEAEKVMQLLVPYTGVMNLEGLRRPLLAVQFTKLKDGLAVGCAFNHAVLDGTSTWHFMSSWAELCRGAPVSLQPIHDRSLARSVRVRLELPASAEAHEKTDPNGPKKPLVARVFSFPAPTVARIKAAANAALPPGAASAKPFSTFQALGAHIWRAVSRARGLGPADITAFAVFADCRARLDPPLPPAYFGNLIQAVFTGVPAGMLLGGPPELAAGLLQKAIGEHDAAAVARRLEEYEAAPKLFHYSDAGPNCVAVGSSPRFKVYDVDFGFGRPERVRSGGNNKFDGMVYLYPGRSGDGGIDVELALQPEPMQRLEKDDDFFLRQAAA >Sspon.01G0014970-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:44192562:44194118:1 gene:Sspon.01G0014970-3C transcript:Sspon.01G0014970-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATNSGSALTKVAPLEAILFDIDGTLCDSDPIHFCAFRDLLQQVGFNDGVPITEEFYSATISGGHNDDLARALFPDMDHQKAMQFMDDKEALFRKLAPGQLKALDGLPELCRWIEGRNLKRAAVTNAPRANAELMLSLLGLTDFFPVLVIGSECDRAKPFPDPYLKALQLIDASPEHTFIFEDSASGVRAGVAAGVPVVGLTTRNPGKVLKDAGASLLAKDFQDPELLSVLQEIEPAAANAQG >Sspon.01G0022440-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1A:82043825:82044262:1 gene:Sspon.01G0022440-1A transcript:Sspon.01G0022440-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLMGLRPLGQGPAFHPLGLSSSCTPHLPPAPCSTFPTGTQPSCQRATRRIRNRILHHVRRPRAPRRRGDVPTLRILVNLQGRRGLLRSLPYAPRRHLRAASCAGRRARHHLRSSWREPGLARRVLDRRNGAQERRPLQLAHQAGP >Sspon.02G0009150-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2D:51285862:51287036:-1 gene:Sspon.02G0009150-2D transcript:Sspon.02G0009150-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCLLRCAGAVVSATTFTLTNSCGYTVWPGLLSSAGSPPLPTTGFALAPGESRAVDAPAAWSGRIWGRTLCAADDQGSGRFACATGECGSGTVECAGGGAAPPTTLAEFTLDGAGGNDFYDVSLVDGSNLPMVVVPQGGGAGAGATCGATGCLVDLNGPCPADLKVVGSDGAGIACKSECGAYGRPQDCCSGDYGTPATCQPSASSQFFKNACPRAYSYAYDDATSTFTCTSGTASYLITFCPSMSSLKSSVSSSSGSTNPAGLPLVNDTVTFAGRGDGYSYPYAYASAPPRSAPCPLVALAAAAFTWLCVTPHRRLR >Sspon.02G0012620-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:34066836:34069637:-1 gene:Sspon.02G0012620-2P transcript:Sspon.02G0012620-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSVGTNRPPLRSVAAAATRRSALLRPPRHLGLGNRFAETKLGIASGCEGGGYFGKAGVSIFGTVQRFDALRNTTSKVQSGKAGRSVTKEMGHTSSGSEVPLKYSSGKAFPLGVSQVDDGLNFAIFSQHASSVTLCLKLPERGTQDDVDIVEFALDREKNKTGDIWHVSVEGLPASGVLYGYRINGPQGWQQGHRFDDSIILLDPYAKLVSGRKHFAVEKEKPSQLLEHMILIAHLLTGVTIIGFLIWLRY >Sspon.03G0024690-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:98506689:98509235:1 gene:Sspon.03G0024690-2B transcript:Sspon.03G0024690-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:2-methoxy-6-polyprenyl-1,4-benzoquinol methylase, mitochondrial [Source:Projected from Arabidopsis thaliana (AT5G57300) UniProtKB/TrEMBL;Acc:A0A178U865] MQAARRLACWSLQRRLLLPFQAQAPSIPTAAAFLNSHATSFGYKQVREEEKSKLVGNVFSSVASSYDLMNDLMSVGLHRLWKDRLISKLNPFPGMKHLDVAGGTGDVAFRVLERIKSVGHRAMQGTLTETEEDTHIYVCDINPNMLNVGKKRAAERGYSEEHCLSWIQGDAEALSFEDGSMDGYTIAFGIRNVTHIEKALSEAYRVLKRGGRFLCLELSHVDVPVFKEIYDVYSFSVIPTIGELVAGDRQSYQYLVESIRRFPNQEKFAQMIEEAGFQRVEYENLVGGVVAIHSGLK >Sspon.02G0001770-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:5939431:5946746:1 gene:Sspon.02G0001770-2P transcript:Sspon.02G0001770-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPFVFPSSLRDLERDTDGGGDEEPSLRPQNPVAIATLRAADLEEFVKGASFDLSDKELFCIEEQEVFDAIYSIVRDFNCLPPALKFNIVETLRSNLSVLLPNIDSLSRASMSSPSDTTPINDRIASHRNAFKIYSFFLISIVLTEESAAESGTGAKVTAHGRKKNRVYAWNWEAQRGRIMSLVANSLEADLSLLFGPGGTDERYLSFVSKCTFVLCENQSVLKDEDTRNGLCRIIGAIATKHQRISQISASVSHLIHKFDFTVPHLAEAVASAEKKFGDGSLAISLVREIGRADPKEYARDSVGADNAGRFLVELADRLPKLMSTNIGVLIPHFGGESYKIRNALVGVLGKLVAKAFKDVEGDSNARLRSKQAMLEILIERCRDVSAYTRSRVLQVWSELCEENSISIGLWNEVASVASGRLEDKSAIVRKSALQLLITMLQHNPFGPQLRTATFEATLEKYKEKLQGMEPSNPDKDEVVNDCSPGEVIVGQNESISDSCLASSQDQNDRDATIVDITNLEQIRALVASLEAGLRFSKCITLLMPILVQLLASSSATDVENTILLLMRCRQFQIEGSEAALRKMLPLVFSQDKSIYEAVESAFITLYTRNSPTETVKRLLDLAIDCSIGDLAALESLISSLVSKAEISSSTVSALWDYFCFNINGVRPVQSRGALSILCMAAKSSPSILGTHLQDIIDIGFGRWAKEEPLLARTACLALQRLSDEDKGKLINTNSRVFAALQGLVTSFSIPEKIWYGAADKAISTIYTLHPAPEIFATETAKKSLSSVFSVLGTEDVSNGDETENDASLSSVSPSKLGRFLFVISHIALNHLVYIENSVRKIQKQIRKNEKSQSTTEDLQSDVSKSSEAQGINAELGLGATIDIAIESLAERAEKEIVCCSSEKNLIGHCGPFLSKLCRNLTLLQKFPDLQASAMLALCRLMIIDAEFCEANLQILFTVAESAPSEIVRSNCTIALGDLAVRFPNLLEPWTEYIYARLRDPSVSVRKNAVLVISHLILNDMMKVKGYINEMTVRIADEDERISSLAKLFFHELSKKGSNPIYNLLPDILGRLCNQHLKEETFCNIMQFLINSIKKDKQMEALVDKLCNRFAGVNDVRQWEYISYCLSQLTFTEKGVKKLIDNFKMFEHALSENSVMNHFRSVISKCKKFAKPELKVCIEEFEEKLSKVHKEKKEQEETTKNAEAHRQRIGSLDEFLATEEVSQNSGNSAEEETSEVVDPSVDSGTEHMENTPECSDHTSTEHFQTSPPVTESGGAGEIESTQPVRKGVSRSRAKKTRDPVSDPLVDRSTEYKENMPEHSADTSMDNSQTSAPLTESGDAGDIQSTQPVGKGVSRSRAKKTGDPIADPLVDSSTEYKENTPEHSANTSTENSQTSPPLTGSEGGGEEIQSTQPVRKGMSRSRPKKTRDPVVEDSADSGPVRRATRSTR >Sspon.05G0004370-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:8028168:8031450:1 gene:Sspon.05G0004370-2B transcript:Sspon.05G0004370-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVVDVAAETPRSYRIPYSFDDYHEAWHHLRGGFCLLADGFDLNGQMCLVVNVGFYPVSRKKTQFWVMKPPCELEGKEQEDNKLYWDLRYSFCFDDPFALTMPRGAWIDHAQTLYYRFGKFVYKYDTRGYSSSSNIDSLSFDERLDLPDDPRYLSLSSDRLEFCRWSICGGYRPSLHSPLIFALPPSQDEKGKKRPQFEHTLLRALKMTCS >Sspon.06G0027830-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:89451904:89456885:-1 gene:Sspon.06G0027830-1B transcript:Sspon.06G0027830-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAAAFSSTSVAAPVSTSTASSFLLGGSNKKLTVSIRKKKQQQQVVNKYQPQGGKGLIVRAMAINKEVDETKQTEQDRWRGLAYDTSDDQQDITRGKGRVDPLFQAPMGDGTHVAVLSSYDYISQGLRQYSFDNTMDGYYIAPAFMDKLVVPLILGIWGGKGQGKSFQCELVFAKMGINPIVMSAGELESGNAGEPAKLIRQRYREAADLISKGKMSCLFINDLDAGAGRMGGTTQYTVNNQMVNATLMNIADNPTNVQLPGMYNKVDNPRVPIIVTGNDFSTLYAPLIRDGRMEKFYWAPTREDRIGVCKGIFRSDGVPDEHVVQLVDAFPGQSIDFFGALRARVYDDEVRRWVAETGVENIARKLVNSKEGPPTFEQPRMTLDKLMEYGRMLVEEQENVKRVQLADKYLTEAALGDANDDAITRGDLYGKAAQQASTPSRSSFLGKKLNKQPVSAAAVNYHGKSSSSAASRFKVMAAKEVDETKQTDGDRWKGLAFDISDDQQDITRGKGMIDSLFQAPMGDGTTAFMDKLVVHLSKNFMTLPNI >Sspon.07G0017250-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:82924414:82927979:-1 gene:Sspon.07G0017250-2C transcript:Sspon.07G0017250-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMQNWKKRNVHESDIDRSEDITQIDPLTQDEARWLTKEETKDNATKVQEVKYEQLRNEAPIQEDTKEKPCADSKDLSENVVDQSKEVDKLKQAEAEGCQVLMEKDMENTSDAFVLEQTEDGHRKSLTETGINSHNEITLVVQLDGQSEGLQRTGIEEIHGETIEAQEKEFYKDVAEDSNNSTNDKDMVEDSNNLINAEMPCSSATVQLKEDKMEVFHEGTIEEPCVQDVELINQREPSSDATAMEIEVVSNHKIFLNMHEAVALEQDNRIIYNNMEATIVEGSHTLDSGLNSDLANVDETHAAWEIKSHEILDVDMVSHTLDSGLNSDLANVDETHAAWEIKNQEILDVDMQVAMDERQDLQAVTGNGKMNMLEDTGTSVCGEYQINSTGTEDVKSTKGLQNQECLDQKEVNGSGVSYEEACKLDGDGVNTSGVAVDLQAREDKQHHGVGHANEKRILEDTSMINTGELKSDLTVMEASMAGLREGTLNQCAAKAVQEKHDQGVVDENTNRGSADINALECEGVNPDVAMKMFHETILTTEAVNVPGSKISSENKQKEAPIEEHSITEVEDSESNESARKEPEGALPQEPGNLVKIKQESLENGTEMSIFRENDKASGKHQTSAEFIIAPSNMDERAKGCERLTSDSINTVRSIKFGKPSSEEVKRTQNTRSMYLKDMNESLGRIRAEPSNRVHTTSVGYHSRHAAQDPISSCKEIKVPFARDFGRDRELELVVTSPQEETPRWRQEQYALQILEDVQNSRIAEKSRMEMEIRVLKAQVSSMERQGMSLDHSSE >Sspon.03G0042670-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:71561848:71563794:1 gene:Sspon.03G0042670-1C transcript:Sspon.03G0042670-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTAGGSVHELTALFSALRRAQLQHPAHAAQLHARLLVSAGARPHPHPVLLTQLVSLYAAAGRLADALRAFRAHLPSANLHTYAVLVSALARPRPDLAFSLFSSARRQLRPSPHVISAVLAACAGLQPPCGRQVHACAAKVVPPGDVFVYTGMVDAYAKGGDMESSRKVFDEMPSRGAASWNALLVGYARNKMCLEALSVFRELAAQGREVSLDQVSVSSVLSACTVAGALDFGRQVHACAAKVGLDLSAQHGHGMQAIDVFENMLENGIPPDHITFVSVLSSCSHSGLVEQGRKYFDSMTQVHKITPWNEHYACMVDMFGRAGLLTEAKRFIHQMRPKPDASVLGALLSACMNCRDLEMGKEVAKKLFVIEPGNTGNYVLLANIYASHGRLEEAKEVRRWMMFQELRKEKGCSLINN >Sspon.05G0025430-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:19852912:19856231:1 gene:Sspon.05G0025430-2C transcript:Sspon.05G0025430-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAESCVPRPLFGGAISTTFPARFQDVSNIREVPDHQEVLFDPSRDESLVFELLDLKGEVDDASSALWFLRDIANEQDAGDNLVVEHSGTLELAALRLGEAPVVAATAVGQMAVSKGRQGREAQNIVRLYLANIRLKNAATDVLITAYEPLLINPLSESTVAVAAGPVIPAEQAGCLPMSEIFKLAVMNFNVHDDWNLFNGGP >Sspon.03G0016900-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:77464521:77470980:-1 gene:Sspon.03G0016900-2B transcript:Sspon.03G0016900-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGGVSGFQNAPVTRAVVLASGLLSVVFSAQRRARALGISYQDTITNFRLWKIFPSVFAFQSTPELMFGLYLLYYFRVFERQIGSNKYSVFCLFTITASSLLEILSLVILKDTNYISTLASGPYGLIFASFVPFFLDIPVTSRFRIFGMNFSDKSFIYLAGLQLLLSSWKRSLIPGIFGLVAGSLYRLNVLGIRKMKLPQVISSFFARYFAPSPGSTPRPSRSLVGNTPSQTGRAVQNQPSTGFAPIVEPPESSVAMLVSMGFDGNNARQALVRARNDINVATNILLEAQSH >Sspon.04G0024700-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:24971880:24973186:-1 gene:Sspon.04G0024700-3D transcript:Sspon.04G0024700-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPWCLSAPAAAPPVAAAPGALGVSASASALARVPLPAGRRRRWNALVVCAAPDEEKITKRSPLDFPIEWERPKPGRRPDIFPKFSPMKTPLPHPLPADDPLDDDEEEEEEEQPPPQEEPQEDDPDKEEPEEDDPDKPTE >Sspon.01G0025990-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:90655832:90670647:1 gene:Sspon.01G0025990-1A transcript:Sspon.01G0025990-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding EVGNTLPNGDIYVGNFAGLVPHGFGKYMWTDGTLYYGEWDTSKMTGRGVIQWPSGASYDGDLRGGFIDGTGTFKGVDGSVYKGSWRMNKKHGMGTMVYANGDTYEGLWNEGLPDGFGKYTWVDGNIYIGGWKSGKMNGRGVMQWTNGDTLDCNWLNGLAHGKGFCKYALGACYIGTWDRGLKDGHGMFYQPGSKMPSNLEVSECVTDHDISSSSSSGNENINSGLLFLFQKLCNAWRLRSLFHWPRHISNGTTPVFYDNSGNNLSQDSSTEPLATDNCVQDSGVDKVLVYEREYVQGVLISEKPKCHDSGMLDSGKTQEHTWQKQSGGPTETIFKGHRSYYLMLNLQLGIRYTVGKITPVPLREVRSNDFGPRARIRMYFPTEGSQYTPPHHSVNFFWKDYCPMVFRNLREMFHIDAADYMMSICGDDSLKELSSPGKSGSIFYLSQDERFVIKTLRKAELKILLKMLPKYYSHVRAYDNTLITKIFGVHRITLKGGRKVRFVVMGNMFCTELRIHRKYDLKDSELLQYEEKSWKGFLLVAHEPGTTVGGSHIRGSMVRASEAGYEEVDLVLPGTGRFRVQLGVNMPARARKVHQDMNTELENPNTIEEYDVVLYLGIIDILQEYNMSKRVEHAVKSLKFDPLSISAVDPNSYSKRFVKFLESVFPEQD >Sspon.02G0024600-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:81252348:81254650:-1 gene:Sspon.02G0024600-2D transcript:Sspon.02G0024600-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SAKLMAGVNSTTICFDDASLQQCSSNIRCSHESVEAFHKTMGATLWQVNMLVLTDAVLAVVLVAIGAFAQRYRHHPFTRFIFLGATTLLLPITSYVVSTISTDTNDYVNNKNHATLAASCRGGFHYFTAVSWAFLIQITMINTSIIVAVDDREEGRNKGPPIQLLFQGLWTIYLGVYAMGPITTDIWQLYLELMLFAIICAKIVLKCYAFVKARKSFAFGRNPRLISGYMEQLKEAIHPNGPLVREDHPPPLLNKIIMWICVLMGIVNAIGLVTIDKVWQLNDEVFQSMQQLKDLCLSFSFFKLLRCRFARYEHANDISVGTPNFFWNFLLRDGNHERVFQVIADELSFIQDYYFSSLPVSYSKYWLPMLSVSISLLSITYCILATRFIMMGLLSNWNTKYSHQLRCHFWCNERHLISNSQEKNFGSFLFDDISLFLLIALVVIAEVRYIESYIFSNWTKVALICHYINHAALQHSVCMRKCFGFLLRCKWKLMKHGDEKMGQSSVLVVPPEEPHLFFSGVSCVSRTLIEVSIFDTLRCYRQHNWHRLGNGTESLRRSPVRNRFLLFCSRNSTSDTILTWHIATCILELYQHGQGQGFQANFNHKVTATHLSRYCTYLMAWSPDLLPDDDEWSKSLYEAVKENAKRALVGFNALAPESEYEQVVRLLSDNSLKNEVLRNGVRLAEQLVELTEGEAWMMLAEFWSEMILYITPSENVRGHLGAIARGGELITFLWALLTHAGIRRWPADVAGTAIA >Sspon.04G0026560-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:48857079:48859355:1 gene:Sspon.04G0026560-2D transcript:Sspon.04G0026560-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLYKKERDQYAEEVKVKLPELLAFVNMEEDALNKLQLKLLDVLKIDGADA >Sspon.01G0016180-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:51371372:51374495:-1 gene:Sspon.01G0016180-3D transcript:Sspon.01G0016180-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHKVRRVVFGNSVPQNPSPLALLPRGRAFSFPLPPNPIASPPRPSEQSTTSGGLSLSLRRILLHPPPQRRSCPSQAERGIGLVASFLSFPPSHVAAFDGSSPASAIVAVDTNLDTSTPDTYRAPPAPLPYDVVLAVPNNPEPDTKSKTDDQQESINDQESLKVDESCKKGVTEDKADEED >Sspon.03G0012060-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:33414178:33415848:-1 gene:Sspon.03G0012060-1A transcript:Sspon.03G0012060-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AKRDPALNHRFSAAASLGPSLPPSLRWLPGTGSEEDSLPPSLRWSTGTGSEEASLPPSLRWPPGTGSEEASLPPSLRWPPGTGSEDVLWGHGVGPVADRVADRCLYYLSLGVAMALLVGTRVPRLTLLYLFDFATLTPRTPTGWCAIASFLLAAVAGAGFMLYVIERAKKCLDFAATLYIIHLFICIVYGGWPASITWWVVNITGLAIMALL >Sspon.01G0011210-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:92912785:92914430:-1 gene:Sspon.01G0011210-2B transcript:Sspon.01G0011210-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGDRRAAALQRHSWPWSVSERWRAHAGMVLVMLAYSGYHVLTKSVLNVGMNQVVFCVYRDLLAFAVLAPVAFLRERRVRPPVTPQLLASFALLGFTGLYGNPLLFLVGLQYTNASYAAAFQPSIPVLTFLLAAIVGVEAINIFTKDGILKIIGTVVCVSGAILMALYRGPSLIGLTRSLPNAWTSTPYPAPNWFTSALLEYGVETWHLGVLCLIGNCLLVAVYLVIQGIVASCLSYSIMTWANKILGPSLVALYNPLQPAFSTILSTIFLGDPVYIG >Sspon.07G0001990-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7A:4933831:4937035:-1 gene:Sspon.07G0001990-1A transcript:Sspon.07G0001990-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding NSPEMEFFSEYGDANRYKIQEIIGKGSYGVVCSAIDRHTGEKVAIKKIQNIFEHLSDAARILREIKLLRLLRHPDIVEIKHVMLPPSRRDYRDIYVVFELMDTDLHQVIKANDDLTKEHHQFFLYQMLRALKYIHTANVYHRDLKPKNILANANCKLKICDFGLARVAFNDSPTTVFWTDYVATRWYRAPELCGSFFSKYSPAIDMWSIGCIFAEILTGKPLFPGKNVVHQLDLMTDLLGTPSADTISQIRNEKARRYLSSMRRKQPIPFSEKFPNADPSALKLLQRLLAFDPKDRPTAEENYIVTTIIVLYDQALADSYFKGIAKVEREPSCQPISKMEFEFEQKFTKEDVKELIFQEILQYHPQLLKDYKNGSEKTSFLYPSAVDRFRRQFASLEENGGRNATLDRKHESLPRTTTVHSTPIPAKEGPAATSQVAQRIPAARPGRVVGPVLPFESVSVTDQHIARRVARNQAVPPATNTSSVYCYHLKSDSSDRQEHQLEREKDRRQYRTGQHLMDAKVAPEMARDIRPSQYYVSRGMPKADLTDRATLHRSTLHGVAPFNGIAAVAGGYSQAGVLHYGVTSLY >Sspon.02G0010980-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:33867895:33869498:1 gene:Sspon.02G0010980-2C transcript:Sspon.02G0010980-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSMAASPLLTLPFLFLLCATVACRGAYGASGGYGLGVNYGRVADDIPSPRRSVELLRAAGAGSVKIYDANPGVLRALAGTRWPVSIMVPNEIIPDLAASAAAADRWVAENLFLLVGNEILSDHSIANSTWPRLVPAMENIHRSLRKRGISSVKIGTTLAMDALADGAFPRPPSAAAFRADIAEAVVRPLLHFLNGTNSYYFVDAYPYFVWADNNLTVSLDYALFQGGRLRYVDPGTGLTYTNLLDEMLDAVVIAMAKLGYGHVKLAIAETGWPNGCDYDQIGGNVHNAAIYNRNLAARMVKNPGTPVRPGAKMPVFVFSLYNEDLKPGPGTERHWGLYYANGTAVYEIDLTGRRPLWSYPPLPAPENNTPYKGPIWCVLSATASNKLNETAVGNALSYACGQGNGTCDAIQPGKKCYMPNTTVAHASYAFNSYWQQFRKIGATCYFNNLAEQTIKDP >Sspon.01G0003620-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:7664797:7666737:-1 gene:Sspon.01G0003620-2B transcript:Sspon.01G0003620-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSAEANGGAGAARVPVPPARPFLDTFRGNLKETFFPDDPFRGVVRERGAGRRTVAALRYFFPFLEWAPAYRLGTFKSDLIAGITIASLAIPQGISYAKLANLPPILGLYSSFVPPLVYALMGSSKDLAVGTVAVASLLIGSMLGREVSPTENPALYLHLAFTATFFAGVFQASLGLLRLGFIVDFLSHATIVGFMAGAATVVCLQQLKGMLGLEHFTTSTDVVSVMDSVFTQTHQWRWESVLLGCGFLFFLLLTRFIVSN >Sspon.06G0032700-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:91761019:91767481:1 gene:Sspon.06G0032700-1C transcript:Sspon.06G0032700-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSAAAAAQAAGGGGGGGGGGGEGDAAAAAARGGGGGTEDGMYTELWNLCAGPLVTVPRVGDKVYYFPQGHIEQVEASTNQVAEQHMQLYNLPWKILCEVMNVELKAEPDNDEVYAQLTLLPESKPEENGSSEEMPAAPPAALARPRVHSFCKTLTASDTSTHGGFSVLRRHADECLPPLDMSRQPPTQELVAKDLHGVEWRFRHIFRGQPRRHLLQSGWSVFVSAKRLVAGDAFIFLRGDNGELRVGVRRAMRQQANVPSSVISSHSMHLGVLATAWHAVNTGTMFTVYYKPRTSPAEFVVPCDRYMDSLKRNYPIGMRFKMRFEGEEAPEQRFTGTIVGNVDPEQAGWAESKWRYLKVRWDEASSIPRPERVSPWQIEPAVSPPPINPLPVHRPKRPRSNAVTLETQQHALQRPLQTQDTGTPKSGFGDAAHKSAQRPSGFDLEKSTVGIQRKLGSDSWMHMNRPEGYNEMLSGYQKPNKDVQNPQGFCSLPDQIAAGRPNFWHTVNAHYQDQQGNHNLFPGSWSMMPSSTGFGLNRQGYPMIQEAGALSHQSSTNTKFGNGVYAALPGHGIDQYSSGWFGHMIPGARMDDAQPRVIKPQPLVLAHGEAQKMKGNSCKLFGIHLDSPAKSEPLKSPPSVAYDGMPQTPAAAEWRMVDAIDPLKMPKQLDATQVDPVAEKCPQVSRGTQCKSQGGSTRSCKKVHKQGIALGRSVDLTKFNGYTELIAELDEMFDFNGELKSSNKEWMVVYTDNEGDMMLVGDDPWNEFCNIVHKIFVYTREEVQRMNPGTLNSRSEDSLANSMERGSAARETPGSLSASSLNSENC >Sspon.02G0009920-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:22010257:22011051:-1 gene:Sspon.02G0009920-3D transcript:Sspon.02G0009920-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSGSWSWSSSKKHPSLVVVNLKRQLQKTQPPHVSVAIRRKRLRLRRLRAGAEAMEILNLKLYLENRCIIAENERLREKATALRRENLALLQNLSKTAAVPEAGAGAGTA >Sspon.03G0018630-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:43917919:43919531:1 gene:Sspon.03G0018630-3D transcript:Sspon.03G0018630-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASCWCSVARLAILKVPSIGLKDVILSTIPHLGGAELGFLYSVPEQSFLVLFDRLNLPE >Sspon.05G0015910-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:63913064:63915473:1 gene:Sspon.05G0015910-1A transcript:Sspon.05G0015910-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box protein SKIP16 [Source:Projected from Arabidopsis thaliana (AT1G06110) UniProtKB/Swiss-Prot;Acc:Q9LND7] MAIEAVAAEPEPEQANKVAVEEDLLPEFTMADEAPERSLAAGMGQYEYEFVERKASVVEGGLSGEALLAAGLACSDAHWRRCSLAVGEQNCEFMRKKGRAMGLDNTHRGEATRLYGPVAYKVWLESFGMYPLPMVKRVKQFWTSMKTWLSENFPEAYRTLCKGVSEAQLKSAEDDLGFKLPMPTKLLYRFCNAQLPFSEDHDANKSISTHGLIGGYAFYDHWVNVHLSPLEQIVEETKDFYQEFPDVFHGRKFIVVATSWFRPKTFLLDCSNGELYVGTYNLPIGGMLPCVPKALIKPAENDLALDGLLLWLEEHLRRLQNGMIKTRMLMTSRYISLYPEAPPSCSSAVTNGIKLSSRHWTIRSCDRVVSDVSGGGVIGQYPVLLPGEDEFVYESCTPLPKVPGSVEGSFSFVPGKLIRPEGKPFEVMVAPFPLEVPEYIF >Sspon.02G0020240-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:94582433:94585800:-1 gene:Sspon.02G0020240-3C transcript:Sspon.02G0020240-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEAAAKRARESGEDAAAAAGAAGAGEQAGISAVIPGWFSEISPMWPGEAHSLKVEKVLFQGKSDYQNVMVFQSSTYGKVLVLDGVIQVTERDECAYQEMITHLPLCSIKDPKKVLVIGGGDGGVLREVSRHSSVEQIDICEIDKMVVDVSKQFFPHLAVGFEDPRVAFLKNAPEGTYDAVIVDSSDPIGPAQELFEKPFFQSVARALRPGGVVCTQAESIWLHMHIIEDIVVNCRQVFKGSVNYAWTTVPTYPSGVIGFMLCSTEGPAVDFQHPVFNIEEDEHSTKAKGPLKFYNSEIHTASFCLPSFAKRVIESKAN >Sspon.08G0023390-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8B:55222700:55225163:-1 gene:Sspon.08G0023390-1B transcript:Sspon.08G0023390-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVPCDASCHGSVDNWLFLVHNSGRCSLMNPLSMAKLQLPNLTTIWRHEMREIRYSDAYSGNSLLYKLVVPSSVSLTPDSLFAAFTTDNFFHGTISVCQPPIATDTVSNKDIKSIVDIVFFDGKLYALNMYANLFVLEIGEGHKGKPKISTIRCIVDSIEASRRSKRTYDVRCTYVNFNYLVESDGKLLHVRRHVAILFPLTDEHVARARTIWFDVFEADLTANSCRKWKIVNTLGGQALFVGRCSKSFPAADCGAQEDCIYFISDYLKSVLHVDPLFDSGVFNMRNGKITPLLPETVVLARQCFGTVPWSIAADVCARAQHEIGARTGSAPPVPLECASRRGGFHGFILLPSSGAFPRRTATALASQKNHPARLLLPGEHGGGVSSRFHRPGPSPRRAPMFRMGVGTWEVNKARPVSKTSCIATCFLPSIFERAWLAFGRPDRCARPDAHAAYPRPPRNAPHAHSTLLVVPAAPYQRHRSPLSRTSGTRELE >Sspon.01G0007610-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:95231758:95233988:-1 gene:Sspon.01G0007610-3D transcript:Sspon.01G0007610-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AMTRSTAATAVACLVLAFLLLPSAALAAEYVKYKDAKQPINERVQDLLSRMTLEEKIGQMSQIERANATAEVIEKYFAGSVLSGGGSVPSEKASASVWQKMVTKMQKAALKTRLGIPIIYGIDAVHGNNDVYNATIFPHNVGLGATRDPHLVKRIGEATAHETRATGIPYTFAPCVAVRYYCYFCLSELISRTMNECAIPIDRSARTQVCRDPRWGRCYESFSEDTRLVQLMTSNMVTGLQGDVPAKHPKGVPFVGGSKKVAGCAKHFVGDGGTTRGINENNTALSFHDLMRIHMPPYDNAVIKGISSVMISYSSWNGVKMHENKFLITDTLKNKMDFQGFVITDWQAVDRITNPPHQHYYHSIKETIHAGIDMVMIPYDYPEFVADLIKQVKQGQIMLDRINDAVSRILRVKFTMGLFEDPLPDPRLTKELGAQEHRALAREAVRKSLVLLKNSKKGQTKPMLPLDKKAKKILVAGSHAHDLGSQCGGWTITWQGESGNNLTGVGTTILEAIKKAVDKKTTVDYVERPDKDDLSKSANDYEYAVVAVGEPPYAETAGDNKNLTIPSPGPEVIKDVCGLVKCVVLVVSGRPLVLQPYVDYMDALVAAWLPGTEAQGITDVLFGDYGFTGKLPRTWFKSVDQLPMNYGDKRYDALFPFGFGLTTKAAGHN >Sspon.01G0046760-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:100266303:100270237:1 gene:Sspon.01G0046760-1B transcript:Sspon.01G0046760-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGYERHTVTHDAAARVDGGVEVVGPARQVRHVERHVELRRPNREIREGMRSNNGKRGERRRWRTVSVRWSRFTEAKRRRSSQQNRRIVVMLPSFLASLCSGRRQAGPARRGGGGALSGFVTLPSLVGLRPVGARSGGFLEASVVKGRGGAEPASPTPLESASDLPFRHPTPSTRPQRQPQHESLKAMDSRAVDDGAGGGPDASSPADPPSPERDPAAGAMDARLPADLLRAVLQRLPPIDLARSACVCRAWRAVASDRAVLEAAFCAPWGVRRVVGEPVTGAFWRAASLGRFALSHAVRRGDTVPGVALKYSVQVTDIKRFNNMMSDHGIYSRERLLIPISNPEILMGSTCYIEMDHNAKREVAIFYPEGRPGGKTESVASVVAAERRSKRILESVRRSLQVDDGTAAYYLSVTEGDPRAAMMEYSEDLRWEQQRTGR >Sspon.02G0018870-1P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6D:10431514:10432464:1 gene:Sspon.02G0018870-1P transcript:Sspon.02G0018870-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRELSCFGDGSVSVAAAAASVSGRGALDRALQAATTSVYRAVLSSGKEMLVRVTWTRSAAGAPGVAVAFDDGGANSSDAPKPSPPPERTRPVLLLHKRRGSRTLVTGAGTAVGVHWDTTEAKYASGSSSPEPERDYCLAVVADAELALLLGTGGAARELSRRLGLAPGARAAPALLVSRREQLRCAAAAHVTRCRFREGGDEHEVAVHACRGGDEGELRVTIDGEKVAEVRRVGWGFRGNRAAVLADGEVVDVMWDVHDWWFGGRGGGGSSSAGSGAQFMVKARAEKEGRLWMADDTAARGQSPGGFFLHVQCYRR >Sspon.01G0006840-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1B:31983949:31985433:1 gene:Sspon.01G0006840-2B transcript:Sspon.01G0006840-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKKLQMGGPKQAKGATDDGKGDVAGAGGSAVTASAIAASYDDQIRPLLDAVDRLRHLKVTQEGIQLPTIVVVGDQSSGKSSVLESLAGISLPRGQGICTRVPLVMRLQDDPSADSPKLQLEYSNGRVVTTTEATVADAINAATAEIAGSGKGISDAPITLVVRKRGVPDLTLVDLPGITRVPVQGQPEDIYDQVAKIIKEYIAPKESIILNVLSATVDFPTCESIRMSQQVDRTGERTLAVVTKVDKAPEGLLEKVTMDDVHIGLGYVCVRNRIGDETYEQARVEEERLFKYHPLLSKIDKSMVGIPVLAQRLMQIQAAIIAKCLPDIVKQINERLSRSSAELDQMPQDLNNVADAVRVFFHIVKQVCNSLEKVLVRGDFAEYPDEREFHGTARIAEMLSGYAKSLPAQCPTNGNEPFLMEEVRILEETKGINLPNFLPRSAFLVLLKKKVETIDQVPQDLVNGVWEYVEELVMKVLLKHSDNFPQMQSPCRRA >Sspon.08G0014500-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:59270349:59277680:-1 gene:Sspon.08G0014500-1A transcript:Sspon.08G0014500-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAALRLGASALALLVLVSVAVLPLVSSDDGYKHCEGVVRGWADSSTGREKDGDKLSLKDLLFFLHIPRTGGRTYFHCFLKKLYTNAQECPRSYDKLRFDPSHPDCKLVVSHDDYSLTSKLPRERTSVVTILRNPVDRVFSTYEFSVEVAARFLVHPNLTSAKLMTTRVLTKSRAVSTLDIWPWKYLVPWMREDLFARRDARGGDKVHSSKKVNAYDVEDMVMPLHQYINDPVAHEIIHNGATFQITGLTNNSYFDGAREVRHCVRKHPDLGRIVLEVAKNRLDQMLYVGLTEDHEESARLFAHMVGAQVLSQSGTLNLDLKEDAPSETDSHPSMVEPEDEETNDHLNSTHGWQNNEALNSTNDEHGKGNMTVGKLMEAYETCIAKLRKSQSSRRKISLKKVQEANFSKEARKLVPEAILKQIISLNSLDMELYDHAKKIFTQEHLMLKAQQSMVGQYRQLAEQKVYSNSKNTTYDVHFRGLDQHNMQQRDLLSLDGGLAWPRDHHDHSFGHICCNDEKKNV >Sspon.07G0024040-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:21575540:21579565:-1 gene:Sspon.07G0024040-1B transcript:Sspon.07G0024040-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVETMLEDDVFFAELSKRISLLITDDDEGGADFAAAAAQFVPAAAAAAPLPGFASSRAHVPSQQQDWGASLLAPPAYALYHHGASYGGAAAAAWQQCGSKGTGVFIPRSTPGSAHPKKKGKNRGAAAAAKAARAAQQAAGAGVPTKKRV >Sspon.07G0003130-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:7696264:7701046:-1 gene:Sspon.07G0003130-1A transcript:Sspon.07G0003130-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MACAAYSIRGPLKLTARHGLDVLTSNNPCNGFKSSVSYPVAQWWSNNCSSITMRHVASHHHLIIKASAMWHSKASATDAATASDERITVLVIGGGGREHALCYALNHSPSCAAVLCAPGNAGIAQSGDATCISDLDISSSDDVISFCRKRGVGMVVVGPEAPLVAGLANDLVKVGIPTFGPSSEAAALEGSKDFMKKLCDKYNIPTAKVLPVHLCDKTVCNSKNSLAIMFKLKYCVALEKNECKYRTFTDAVEAKKYVKTEGAPIVVKADGLAAGKGVVVAMTSDEALEAIDSMLVEGSFGSAGSRVIIEEFLEGEEASFFALVDGENALPLESAQDHKRVGDGDVGPNTGGMGAYSPAPIVTDELKRIVMESIIIPTVKGMAAEGCKFVGVLYAGLMIEKKSGLPKLIEYNVRFGDPECQVLMMRLESDLAQVLLSACQGELGNVSLTWSPEMAMVVVMASQGYPGSYNKGTVIKNVDKAEQVSPAVKIFHAGTALDEDGNLVAVGGRVLGVTAKGKDIEEARARAYGAVDTVEWPEGFFRRDIGWRALRQKQVAN >Sspon.01G0000050-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:1037403:1042740:-1 gene:Sspon.01G0000050-1P transcript:Sspon.01G0000050-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMQQQQEQEDPAPPPAVADPDPPPPPDRGDAAATQTLPSRNASSKYDFVKVKVWLGENADHYYVLSRFLLSRMLTVTKIPNHVAIKIALELKKLLVDNSLLDVSQSDLEANLFKLMEKRGYGEDYINCYKMMTRFHHQRVPLVILVCGTACTGKSTIATQLAQRLNLPNVLQTDMVYELLRTSTDAPLTSVPVWARDFNSSEELITEFCRECRVVRKGLAGDLKKAMKDGKPIIIEGIHLDPSIYLMDEEKRDGNSRMEKTVMDSENPGISVERKTEHQSKNGLAENRMNPMEENKNFVKIKESMLEEGRTNEGLSYAESHETKIHDSARSQEKNPKDESDGHKDLDQQKNNTTKKDKPAAEPIVVPIVLRMSDFDHKALLEEWIATRAFRDNCIPQDHRKLINNLKLIQDYLCSFESQAMCNFKPLLKNLVQGLTIVDISANSFPQTLDWLHSYLLQCIERGLLAACSESCNQGGN >Sspon.01G0051410-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:17781261:17784301:-1 gene:Sspon.01G0051410-2D transcript:Sspon.01G0051410-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLTDSRAVALPQYFVPAAVGNSSSKQPAAAEKRSEPSPRELGMAGEEDAAAAASPAAVRKPPTEEEEDDDELDNVPLAISRAKKSGNASASKVKKEEDDEEDNMPISRSRGKKGNEKQKGTTNSNMKASKVKKEEADSDDDGDFMPISQKKSAGAAGSNAKTSKVKKLKDEDLEDLKEVKKRKKRVGVKEEANLAIVKAEKVKKERKVYELPGQKHDPPAERDPLRIFYESLYEQVPTSDMAATWLMEWGLLPLDVATKVFEKKQGQKLKSPVKTPVAKRKPSSPTPTKALPSSAKKSVASAKSAGKLTSQKKRKASDDTDDDDDFMAPKTKTKRHKA >Sspon.01G0009730-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:29908176:29912195:1 gene:Sspon.01G0009730-3D transcript:Sspon.01G0009730-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding KSEEWTTERMNQLKEKISGLFEACTTTVEQLNLVDTLQHLSIDHHFNNQILSVLTSIHGAEFNSACLHDVALRFRLLRQEGFWVSPDEFNRFKDGNGNFDVGITNDARGLLSLYNAAYLFTHGEAELEEAILFARQHLESMRNNLEYRLAQQVNRALHLPLPRTFRRVEALHYISEYKGSPTHSPSILEFAQLDFDLLQRLHLKELKALSRWWKDLYNEGELTYSRDRVVECYLWSYTAYFEKEHTRARMILAKIIALIILTDDTYDARATLEECRKFNEAIQRWEESAISLLPDYLKKFYLKLMNVFKEFEDELEPHEKYRVAFSRKAFQILSSNYLQEAEWFHGGYKPTFKDQVKISTVCSGAPFASVGLLVGMGDDVATKEALEWAISCTDAVKAFAEVTRFMNDLASFKRGKNKNDVDSSVECYISEHGVTTEVAFAKINSLIEDAWKTINRARFENNELLPAVQRVANITASMPLMYGDNKDAFTFSDGLKGIIKR >Sspon.05G0011100-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:26313573:26315231:1 gene:Sspon.05G0011100-2B transcript:Sspon.05G0011100-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutathione S-transferase TCHQD [Source:Projected from Arabidopsis thaliana (AT1G77290) UniProtKB/Swiss-Prot;Acc:O80662] MQLYHHPYSLDSQKVRMALEEKGIDYTSYHANPLTGKNMNVDFFRMNPSAKLPVFQNGAHVIYRAIDIIQRVLIARMADAPDLASMYHVKLREAYETEDKVKDPDIMKQSEEELSKLLDEVESQLSRTKYLAGDEFSPADSMLVPILARITLLDLDDEYINCRPKILEYYNLVKLRPSYKIAIG >Sspon.05G0001080-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:2457383:2460592:-1 gene:Sspon.05G0001080-3D transcript:Sspon.05G0001080-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPEREQRPLLEIWAGSYTQDYYGIEHTIILSERWLRNTILYKSDAFAHLQSNPSHPYRKIMKPHVGAVGSGLFLRVIHLSISVAGVEPLRKATRPQGNLILPFPLPW >Sspon.03G0034900-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3B:77389423:77391029:-1 gene:Sspon.03G0034900-1B transcript:Sspon.03G0034900-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKMGSTTDKREQYRLMRDAMEKRFIRVAKGSLVGGVRLGMFTATFFGIQNLLIDTRGVHDVFNIAAAGSATAAAFGLICWVQLKLAEKANLEIANSKPSADLTEGKGNQTRVGAAIERLEGSLKK >Sspon.08G0005210-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8B:12508829:12516417:1 gene:Sspon.08G0005210-2B transcript:Sspon.08G0005210-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPDLASPAARSGGAAVHHGRWREEEEPVVVDPASPATRRRAATVVDRSGLPGHAAAGSGLPRATGDGSEGVEEERHAVGGREPTVVDRSGLPGHAAAGSGLPRAAGDGSGGVEEEHHAVGGREPAACAVGEGGILSPRAIFGKPVKDSANTNIFEHAGRLFAIAENHLPYEININNLDTLGSYNINGDWDRPFTSHPKKVPGSGELVIMGVNPEKPHYVVGVISSILTSEAPKLHEHILIRRVVVRGCRTLGSVIPGAPHTVDKSKWYRRVFLPPDKNSEDFDPSLDGILFSRPYEWRLNLGSGIASEGYITSEDVAMDFPVINENFTGIINKYGYAQVVDSVATSKT >Sspon.01G0023340-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:84273433:84275885:1 gene:Sspon.01G0023340-1A transcript:Sspon.01G0023340-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding HGHRRHPRLHRAPDPLRAAPRRRALHPLPSRLRQQRRLLPSLPPRRAQPPRRRRGRRHARPARRHPRRRRRARRGRGPPRARRAGGAARARRRVRRRRPARRLRRRRLRRRVAQAQAGLRGGRRGKVQGRHPLRRFPEKAAEAAGQVNSWVEKITSGLIKEILPPGSVDHTTRLVLGNALYFKGAWTEKFDASKTKDSEFHLLDGSSVQAPFMSSTEKQYIVSYNNLKVLKLPYQQGGDKRQFSMYILLPDAKDGIWSLAEKLSSEPEFLEKHIPMQKVPVGQFKVPKFKISFGFEASKLLKGLGLQLPFSAQADLSELVDSPEGRNLSVSSIFHKSFVEVNEEGTEAAAASAATVVLRSFTMPQDFIADHPFLFLIREDLTGVVLFVGHVVNPLLAP >Sspon.02G0042910-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:90822563:90827361:-1 gene:Sspon.02G0042910-2C transcript:Sspon.02G0042910-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLPIWMPASARAVLVQPNPTVVMGPNGYRFWSSPTQRNSDERAMAPPRPPPPLIDDVMVEIFLRLPPDEPEHLFRAALVCKPWLRILCDPGFLRRYRAFHGAPPLLGLLHRLQVLEGRPPPRFASTTSMPDFPHPEVLDCHHRRVLDCRHGRVLALNYMKDANRLDYLVWDPVTGDRHAVPVPEMEDWLIESAAVLCATHGCDHLDCHGGPFRVVFMVTHDYKPTIFACVYSSETGAMEWTRLPGRIASCASCLAPKDATDVPAPAHPNPRLATPVVPRSICLSTVGDLELRVDVELRVNKPSLWCNK >Sspon.01G0015290-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:46044382:46045646:-1 gene:Sspon.01G0015290-4D transcript:Sspon.01G0015290-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAWNNGQLTRINMVDFELLGNRRGHEWRVQTNIYGNGSTSRGREERYLLPFDPTLWPHAYAVAWTPTAILFYVDGTPIREVVRVPAMGGDFLSKPMSVYATIWDGSAWATAGGRYKVDYAFVPFAADFSSLVLSGCPVAADDLVAAVECQVAVMTADCAVMTPAKRAAMRRFRRWYLLYTVCHDMYRYNGTIFPECDADGSERDDFHKWGESKRVSPSSHGYKQQRADKAVAVAVAVAAPGRPDTWPVIGTLRVD >Sspon.07G0006300-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:11785302:11785967:-1 gene:Sspon.07G0006300-2C transcript:Sspon.07G0006300-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dolichol-phosphate mannose synthase subunit 2 [Source:Projected from Arabidopsis thaliana (AT1G74340) UniProtKB/Swiss-Prot;Acc:Q9CA79] MELGDKVVGFLLTLTSLSIFTYYTFWVIILPFVDSDHFVHKYFLPQEYAILIPVLAGVVLLSFLSVFVGLVMLKSKKKKKTT >Sspon.02G0024850-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:90749320:90754502:-1 gene:Sspon.02G0024850-3C transcript:Sspon.02G0024850-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent DNA helicase DDM1 [Source:Projected from Arabidopsis thaliana (AT5G66750) UniProtKB/Swiss-Prot;Acc:Q9XFH4] MGTLSMSDASPAKNWDASPVKFEANSGDARDASPIKFEANCGDTLPILKEEERLLEPVDEPADGILDSLPIDLEAKNGDASLITEAIKKEEEQLEDTRLKAEEEEEARKREEAARLAFDPETRYNKLDELLSQTQLYSEFLLENMDRIAEWCCLVVKQESVETQAEEPQVEEKKKGRGRKRKAKPVYNDKKAKTAVAAMLTRSREDRLAEDCTLSEEERWEKEQANLVPLLTGGKLKSYQIKGVKWLISLWQNGLNGILADQMGLGKTIQTIGFLAHLKGKGMHGPYLIIAPLSTLSNWVNEISRFTPSLASIIYHGDKAARAEIRRKFMPKNAGPDFPIVVTSYEMAMYDAKFLAVHRWKYVVVDEGHRLKNSKCLLLRELKRIPMDNKLLLTGTPLQNNLAELWSLLNFILPDIFSSHQEFESWFDFSGKGNGEKDEETDEKKRLHVVSKLHAILRPFLLRRMKEDVEQLLPRKKEIIIYANMTEHQKQIQRHLVEKTFDNYLNEESDIILKRPGIKARLHNLMIQLRKNCNHPDLLEAQVDSTGLYPPVEKILEQCGKFQLLDRLLNYLIAQKHKVLVFSQWTKVLDIIEYYLDSKGHDVCRIDGSVRLEERRRQIAEFNDLNSSMRFFLLSTRAGGLGINLTSADTCILYDSDWNPQMDLQAMDRCHWIGQTRPVHVYRLATSHSVEGRIIKKAFGKLKLEHVVIGKGQFEQDRAKPNVLNEGELLALLKDEQDEEDRMIQTDISDEDLLKLMDRSDLSGPPGGANAAPLVPLKGPGWEVVVPTKSGGGMLSSLTS >Sspon.03G0033450-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3B:50530670:50531158:1 gene:Sspon.03G0033450-1B transcript:Sspon.03G0033450-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRGKTVKGAVQQPAGGSGVIESLLDGLLEHILGFLPSAEAVRTSVLARRWRHLWKSATGLCVGCCDPDQQVSVEDLRSLVNHLLILRRGAPLEKCNFTFDAELISDDDVSHVNLWFRHVVTCKVHVLSFFMFGRSSGEPRLELDNLPLISRHLRRLELTAVQ >Sspon.06G0026950-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:68854573:68856060:-1 gene:Sspon.06G0026950-2C transcript:Sspon.06G0026950-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTMDSEVQVVESSFIVPNEPTPREGLWLSPLDLIVANIGHTPTVYLYSYNNVATTDDFFDVARLKEAMAKALVAFYPLAGRLGVDNEGRAEITCNGEGALFVVARADFTVDDIKDGFKPSPELRRLFVPRIEPASVILAIQVTFLKCGGVVLGTAIHHIAIDASSAFHFFQTWSAISKYGDRATMELPCHDRTLLRARSPPTVHPDAQTRFYPKLTFSDPSGPLAYQVFGISKDQVASLKSLCGGTSTFCAMSALVWQCTLIARQLPRDSETCLTFSANARRRVRPPLPNRYFGNAVVRLGVTGAVRDIASEALASVAGRIKVAIDRMDDELVRSAVDYCEMAEVDSQPAKGTLLETDLHIISWLGMPMYDADFGWGEPGVMSRAESIRGGYVYLMSDGPADDAASGGVRVLMCMEAANMKELERLIYEKL >Sspon.05G0039930-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5D:82481264:82481588:-1 gene:Sspon.05G0039930-1D transcript:Sspon.05G0039930-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVKEEPASRSGPDGDGDSQYKRGGEKRNKRKRTEEDLLGKIWCWERLVMPPDSSVKWSDYRRYLEAYYEPYLA >Sspon.04G0023980-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:43151322:43153686:-1 gene:Sspon.04G0023980-1P transcript:Sspon.04G0023980-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKKKRAAIDLSLEAERSDEDHSSCGGGKGDRRRDKDGGEVDKKEEQFKDQGEAPKEETVEEKVVVEVVVDQGGDGTKEIKYRTQQGEEMEDDKQSAADAHGDGESDGGETRAQDKHVVEAAGNGDGDDSYSTMVQDEVSAMQEEMEKMKEENRMLRRAVDRTVRDYHELQKKVEACYQQQQADEPKEPEVFLSLGATAAGTGGGFQEPKHKERAARRPSVGSDDTDDDGKEDLGLSLSLRASSYDDEKLEAGHDLDGASLVGADGNKAKGYALLESSKLGAPAAGGGDLADAGITSQSVNPANRKTRVSVRVRCQGPSMNDGCQWRKYGQKVAKGNPCPRAYYRCTVAPGCPVRKQVQRCLEDMSILVTTYEGTHNHPLPVGATAMASTTSAAATFMLLSSTSSSSSISETGGGSAAPPYLSPPYLLNSTSHHSAVSPLMLSTPPSAMPSAPAGAASGVQHLNMFGHSSSMLAQQAPHFSSKYPWSLDPSQGMGGGGLPAVSKRPFWSTGRDEKTATLPDNVGTVMADPSKFSMAIAAAIDSYMGKDGQVAGSKEGRVAAARVVT >Sspon.05G0008170-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:24945765:24948457:-1 gene:Sspon.05G0008170-1A transcript:Sspon.05G0008170-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFQGKRLVFVTNNSTKSRKQYGKKFETLGMSIDEEEIFASSFAAAAYLQSIDFPKDKKVTITIQIMYEK >Sspon.08G0000220-1P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8A:1260059:1269720:-1 gene:Sspon.08G0000220-1P transcript:Sspon.08G0000220-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGAGEDGSGRLVPCSLWGAAFGECGGSGAHGRFAGCRSSACIGAGVRGWLRQAWGWCPYRSSHNGVDAESLPHLKGEIERLFINERRRRVELWINGIVKSSPMLPRINPNFIGSEEVKGHYISYAQLAEDWVSKSEHILQMPFLERSYATALEEAKQFLWSDHAMDSVRNITVRLTEAMNWALGVRKCISKIEDFLKDGCSEKVNYVEIEELVAMKCAPCYEPSLTKLQAYAEEGKMLINEVNNALSSCLTSWLKKARDCLEQNKLGIIDIDVLNKLKSEELEGFLLAADGAKFSIPELNVLKQRYSDCCSWVNRAKNILGKLYARSDYHNVVEELTGILKEAELLGLLSYAVDELPIVEKELKRSLCRKQASEALATVMPMEVVEEVLKEASMLTIEEEQPFDDLSRMLKEATAWEEKARLILEQSASLSEFEDHMRCSEGIRVILPSKLHVKAEIDTAKLWIDKCQAYLRPSCNKLTFGDFLKVEDIKDLINQPANLKVILDTSALNSVLNNVEKWERGSLSLLNNFRTLLHLNGIGSTVDTLQRKLEELQGKMNTEIEIGLSLGFEFKVLRELKDSLLMLRWILDALSLCCMIPLLQDVDRLIEEEVHLPACLSDCSLVTLLMRALSCLRKALTLLPGSGTSAKSKLEDVESILAEFKEVDVPYPMMTAKLEDAVNKHNLWTEQCNTFFMLSDGQSWASLRSLRDNGQSSAFDCPEMDKVVAEVKKVEEWLNQCHCTLLLDGNNSSLLSILLKSEDQLENQIPVKMFEGNRPALTALNELISSAKGLYTGIEEINLLKEIVEKAHNLNAYLMQILDDSDSYHGEDLTVIFKSLLVALKGSHLEICDEDFFKLEISKIKETSLQWLAKAEKVACDSGELALDLVYGLIAEGENLSVHFEKELKLLRDRSVLYCICCKPYDNRAMIACDQCDEWYHFDCINLLGPPPETFFCPACHPNNDQALEPELTLPQRLVIDWTWWWRLTSVRDNRRNPRPG >Sspon.08G0005750-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8A:18018701:18019636:-1 gene:Sspon.08G0005750-1A transcript:Sspon.08G0005750-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKASSSLSPCIRLMEESLLLPTQDVGLFAKTFLLIFAHTFIFIAVAVHFAHPLATSILADIKAHKITTDTASSNHSKELSLLAIYLTYLASKLATQLVTSLATATTYSGERLTRKVVKERIGGLLGTAALAGVLELSFTALLVAFLVATWTYADSGIKSLCGYLMFLVALLLYIYLATVIPVSVAVSAVDRGCHSVWALRRAWRLMRARRKEAAVLVFVVNLLPAFIYPAPVYAFSSVYPADEYSLYYGQDLADRFSLHNSWLRSQGVWLTGVVSGSGLPSVGAQLFSMVVATVFCCLSMETSDGGARTS >Sspon.06G0029120-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6C:15737384:15738301:-1 gene:Sspon.06G0029120-1C transcript:Sspon.06G0029120-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTFLGHVLPGLAFAILGVWHTLNTVKGYKLKGAFGFRSATWFPFPSSPLPGLRHLELYLLLSFSVLAIADQLVDLQPDSLEHATMYLHLAVYASVALTADVVSSRRRDASATAAPGGGGVGDVVTALAASVFGQELFLLRFHSADHAGLEGHYHWLLQLVVAASLATTAASAVLPRSFAVAVVRSSSVLLQGVWFVVTGFALWVPALVPVGCRGMEGSAGAAMRSAVACATEEAARRAVVMANLQFSWALAAVWVVTAYLCLRVDYCRCLDYMQLQTPPSGGVVAGDGDAPPPQKHVFPVEEHV >Sspon.02G0004370-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:23177113:23179994:-1 gene:Sspon.02G0004370-1P transcript:Sspon.02G0004370-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALQLQLLRPLAAARPSILLPSPAHGASASATAFHARAGGVAFSLQTNVRLLKPNRRVRRSRDPYYDLDEDDEEEAEFDEDDDNEGYESDDDMSGLEYPGVLYSNSPRASSKRPGLQTPLVKENWEGRQPKTRDKYGSPGKSKSLHPRSKVGRSSADLTNMDSEVELKNASISRSLFQKLQEEYDFDDKWLPLIDYLCTFGLKESHFTYIYERHMACFQISQASAEERLDFLLNAGVKSKDMKRILVRQPQILEYTLGNLKSHVDFLVSIGVPNTRIGQIISSAPSMFSYSVEQSLKPTVRYLIEEVGIEESDVGKVVQLSPQILVQKIDSAWKSRSLFLSKELGAPKDSIVKMVTKHPQLLHYSIEDGILPRINFLRSIGMRNCDILKVLTSLTQVLLLHSCHHSPFFLFLLSVYNYVHCTMYMCLMVYVPLHIPCQVLSLSLEDNLKPKYLYLVNDLKNEVQSLTKYPMYLSLSLDQRIRPRHRFLVSLKKAPKGPFPLSSFVPTDERFCQRWAGTSLETYHTFRQRLLLTGFAEKSGRKTLVSRR >Sspon.02G0031190-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:114108124:114110692:1 gene:Sspon.02G0031190-1A transcript:Sspon.02G0031190-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHQQLLLALSRPDPPLRHLLVQDDTIHSSITEVGKLKSLQELRRFVVEQDDQGFELRQIGHLEELYGSLCIDSLENVEAPEEADEAKLMLKSHLHELILRWNIHWSTNDFALEEHVLERLKPSRNLQKLSIIGHRGGTCPSWLADSHAFPVESLQIDKWNASGKDLTQLFTYLPKLSDLLLCECEKVTGLAVNVKGQRATGTPGPSSSANEVEQQQDTRAEDDGLLLLPPQLQELDIKYEGMR >Sspon.04G0036160-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:47150536:47152652:-1 gene:Sspon.04G0036160-1P transcript:Sspon.04G0036160-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAHAPGTEPLIDGGGDGGCCSNARELFWDILPAVLGALAALVFAVPLLYWPYQMSFDNGKYPEYSVAVAGFSGLDVADTTAAAGRVPATPALLDPTFNLTVRIKEPRRWSTACVPRGTTAVVSYRRVRLASGPVPGFCGQNENATEVGNVMAWGTVVPVPQFARDSLAEELGRGEADVDVKLMGPARYCTTCYQLVIECKARLGRGEASPPCTVRYDTPTLPDDPARERQQQARKQLRTPQDSSAHCGETGVLGTGTGTQWGTEVRGMWTQFYSVLFRSISIRVAGADEFGKGSGVRTHLTHQMSEH >Sspon.05G0001360-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:5261583:5263461:-1 gene:Sspon.05G0001360-1P transcript:Sspon.05G0001360-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVASTSPLSATAPSPPAPAFGFRALRYRRGRATAWRRLRVDAIRTQREKQGTEESVPAPLDGVGADDPMVPSSDESDWVVRLEQSFNIFATDSVIMVLKGVYRDRYYARFFALETIARVPYFAFISVLHLYSTFGWWRRADYIKVHFAQSWNEFHHLLIMEELGGNSLWIDCFLARFMAFFYYFVTVAMYMLSPRMAYHFSECVERHAYSTYDEYLKLHEEELKRLPAPEAALNYYLNEDLYLFDEFQASRSPGSRRPKI >Sspon.02G0034420-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:12538985:12543341:-1 gene:Sspon.02G0034420-1B transcript:Sspon.02G0034420-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AMGTGKKSKARGGGDDLLDSSDADSVGSSSTALSDLSISYATEHVNSQEFVLDKYIDALYENRGSTREAALVKLVDAFESFMLHGLVENRPIAFLSTLLEADDRAVRMAAGEALALCFELNLLDVSSCEDGDVNTGGTGGSKNKLFLDMQALKAKISGLASNLSAEAGGKGADKKNLTDQRDLFQRILDFIQYGDCPEESIKIAGKRDVLRVSSWSELIQLNFLKRFLGKGFLKHVQENGLLQEIFDIKVDKAETLSSTDQVRKNAVMLDE >Sspon.05G0015310-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:58865599:58869331:1 gene:Sspon.05G0015310-1A transcript:Sspon.05G0015310-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNNVVATEVSNAVTKLNDHLATALTGSENTTTAPRATTIITLAGDNSGATMDAAATGDVEDLVVVGNVDAEEHDEEEEGEENVVITAYANSNYQAVNNSVLVAGSCAVNDPGVHVVVVEHVDEIRDYDEDIDGQDTTMASNNVVATEVSNAVTKLNDHLATALTGSENTTTGTGGATTIITLAGDNSGATMDAAATGDVEDLVVVGNVDAEEHDEEEEGEENVVITAYANSNYQAVNNSVLVAGSCAVNDPGVHVVVVEHVDEIRDYDEDIDGQ >Sspon.02G0058710-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2D:82168771:82169316:1 gene:Sspon.02G0058710-1D transcript:Sspon.02G0058710-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MIEHSCCCIWDFVMSGLNQIQFGFENSLKFGFEKFEKEKEKGDFPLRAETLPQPRARPAAAAHPFFPPRSPPDLLGRAQAMACWPSSRAPASPTEPLTAADLLGPPVSDRLPFPFFLLRLSTAFLSLFDSVIRIRAKSSPFPCIPMPTGYKTRPPHYSAPIPSLWSCRSRLVAPPQAPPPP >Sspon.07G0013300-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7A:48003089:48007025:1 gene:Sspon.07G0013300-1A transcript:Sspon.07G0013300-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMGGAVSMEEDLEMERKLAKKLKVKKGKLGGPDDGMDELFADLGFGGDFGSDDETKAYDWNVADDTNLDKKKGKKKNKKAKKDDTEMEEQDDIGEENGRKKKKKKMKKDGMETEELVDVGEENGRKRKKKKMKDDSEMDRPDDEGVDMDEENDGAVLESEDGEPNVVELPTESKGKYVPPSLRNASNSESEEIAQMRRRSVPRSVGSQIIGDEVLASCSRGPRGNEQYAAVFAAFVAGMACLVGIDFSAKILASIAKSFEDEYSKEDGLSLRNLTLLFCYLCIFGVISSDLVYDLLSILSKRLTELDVSTVLTILQCCGMKLRGDDPGAMKDFVLSIQNSVNQLKLHSGVREDGKTDIRSRRLKAEDVLLRGLTWSRLLDPDKKGQWWLSGDGPSTVANIEDVAAVISKDVAETQKLLQLAAAQRMNTDIRRAIFCIIMSAEDYVDAFEKLLRLGLSGKQACINDREIIRVIVDCCLHEKMFNKYYTVLASKLCNHEKNHKFSLQYCIWDHFKELDNMESSRSMNLAKLVAEMLSNFTLSLATLKVVNLANPVEMTPARISHFQMLFETLLQKDDARVWNVFTRVAGLPELEILRDGIVLFIKQHVITEETGKDLASKFKIAKKALDNTAGVLM >Sspon.02G0014010-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:39713754:39714545:-1 gene:Sspon.02G0014010-3C transcript:Sspon.02G0014010-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMLEAAHENDIELEGLSCLHVRHDVNFYNKLEDPVDEENDMLDLAFGLTDTSRLGCQVVASPELDGIRLKLPAATVHFKVD >Sspon.01G0017750-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:67366350:67369448:-1 gene:Sspon.01G0017750-1P transcript:Sspon.01G0017750-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSISYPPDDYLPAVEDNMGRLFIRSLSFDDMEAAAESPLSSPSTSPSALPLAFGSGKLIIEGSLSFKRREADSIQMQNVLSIRSPKPPDREACNIISAGAATSGSSRFGPIGDRPPDYDYPMVGMDSPKHQAAAVRLQKVYKSFRTRRQLADCAVLVEQRWWKLLDFALLKRNSVSFFDIEKPETAVSKWSRARMRAAKIDPRHRYGHNLHFYYHRWLHCQSKQPFFYWLDVGEGKDVNLEEHCPRSKLHKQCIKYLGPKERENYEVIVEDKRLMYKLSRQIVDTTGSAKGTKWIFVLSTCKTLYIGQKQKGVFQHSSFLAGGATSAAGRLVVEDGILKAVWPHSGHYRPTEQNFQEFMNFLKERSVDLTNVMLSPSEEGEEDGDFSLRGSHSQLDLTQLCQQEESQEQEAQSAQHHGKDEDEAETCSHEPTLPSTETCSTGDDDQEDLRPPRLLISSNNIAPLPPTTHSSNARPSPGVKDVDPDSAMLGECLDFCKRNLFAEDGYEDHYLDDLAEVPEALILSRINSKRAMHSYQLGKQLHFHWSTGAGPRIGCVRDYPSELQFRALEEVSLSPRGGGGRPARFPSPRPGALTPNSIPAAKCGSLMAEGDGVHASLKPRQRSATWTAF >Sspon.07G0004600-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:57825425:57827074:1 gene:Sspon.07G0004600-2B transcript:Sspon.07G0004600-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGEHEAEESSSQRRERLLALRSAANASPAGDPPPAPAGSLLPDPDLPGDQAASVCPPPPQRFDYYTNPAAAFTSSYSGGATNPTWSHKRKSPPACYAPPPPAYVRMQTILGVWFHY >Sspon.04G0018500-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:6132044:6142061:-1 gene:Sspon.04G0018500-1P transcript:Sspon.04G0018500-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAGGAGADHSPPPRSLVFAFYLTGHGFGHATRAIEVVRHLIAAGHEVHVATAVPEFVLTAEVRSPRLRIRRAILDCGAVQADALTVDPLATLEKYREAAVVPRESILRAEAEWLSSIKADLVVSDVVPIVCRVASDLGVCSVCIGNFSWDFIYAEYIMEAGHHHRSIVWQIAEDYSYCDILLRLPGYGPMPAFRNVIDVPLVVRGLRKSRSEVRKELGIEENAKVLVFNFGGQPAGWKLKQEWLPDGWICLVCGASDSQDVPPNFIKLAKDAYTPDVMAASDCMLGKIGYGTASEVLACKLPLVFVRRDYFNEEPFLQNLLEYYQNSIEMIRSDFLAGHWKPYLLRALTLQPCYNGPINGGESSGARRLQDAMVSGYVLQRAPGRDAGIPDWYSLSETETGAGPTSKNVATRESAASCIEDFEILHGDLQGLTDTIDFLKSLSELSGNDLMSPKKQRLERTAASVLFDWETEIYVARAPGRLDVMGGIADYSGSLVLQMPLREACHVAVQRNHPSKQKLWEHTEARQLENAGLVPVVQIVSFGSDLSNRAPTFNMDLSDFMDGGKPISYEKARELFCQDPSQKWAAYVAGTILVLMTELGAQFTDSISILVSSAVPEGKGVSSSASVEVATMSAIAAAYGLNIIPRDLALLCQKVENHVVGAPCGVMDQMASACGEANKLLAMVCQPAEVKELVSIPTHIRSGTLLVLLEKLELIAELISLELVGGGDYGSVRVGTYMGRKMIKCAASDLVSESLTSAPLVQSDCYKENGMGVLKSEAALEYLCNLPPHRYEAVYAKDIPEMISGEAFSEKYGDHNDTVTVIDPKRSYSVKAPTRHPIYENFRVECHNSYSACGLGSDGTDRLVNLVREMQHRKTSEGGSPSLFGAKITGGGSGGTVCVIGKNCTRSSEEIAEIQHRYKAETGYLPILFDGSSPGAGNLVFAFYLTGHGFGHATRAIEVVRHLIAAGHEVHVATAVPEFVFTAEVRSPRLRIRRAILDCGAVQADALTVDPLATLEKVSSPSRPMIPPRSRVVMAAA >Sspon.07G0036250-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:28686902:28695195:-1 gene:Sspon.07G0036250-1D transcript:Sspon.07G0036250-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPVKLDEIRKKRKAERMAQLKELKAELKKLKEERSLPGAKVTDGAPNKLSKIEKLRPFLAEVAKKQRAALREAYSNREPPILRLNSQEDPCCTAASPPGLSLKMERERRHKNYFSMRKYNKGLGQDCDAMPSINLPDSGLRRKNKLINVQDMVEKGKQPEAPKIKVPKEINLLPPRPNETLTGVKANKFGDVTCAKYKSITPRVAIDGARVAPEQVKVVLPKEKKKRAPPVTQENEEKRQQMTLMLLNDKIKTLTEYIHTLQHRIDREHIHHKNLEYELTIVHHYVVNKDKYQD >Sspon.04G0005420-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:15704077:15712694:-1 gene:Sspon.04G0005420-1A transcript:Sspon.04G0005420-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARERDGEGRRAHLTMVGVQLVYAGYHVIAKQALNVGVNRVVFCVFRDLLALSVLAPLAFFQHRVSPAQARPPPLTWRLVGSFFLLGLTGVFGNQLLFLLGLSYTNPTYAAAVQPSIPAFTFILALIMGTETVSLVSNEGRAKIGGTVVCVLGAVLMVLYRGPAVFGSSELEFDVHSHGVIADMSQPEPDGPLISLFMAFGLEKFHIGVLCLIGNCLCMATYLALQAPILVKYPSSLSLTAYSYFFGVVLMVISGVFATNDKRDWSLTQSEGVMASALNYVLLTWSNKILGPAMVALYNPLQPVVSALLSMVFLGSPIYLGSIIGGLLIISGLYLVTWARHREKLAGIGVSYVKCASESLDGPSHVAKNVPFISLSRLWDVPHES >Sspon.06G0014640-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:80940112:80943283:-1 gene:Sspon.06G0014640-1A transcript:Sspon.06G0014640-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMPTPVSSFSSRPLLALVLVLVAAALCRPGSCDGAAAAADRIRRLPGQPEVSFGQYSGYVGVDDGGKRALFYYFVEADVDPASKPLVLWLNGGPGCSSLGVGAFSENGPFRPSGQVLVKNEYSWNKEANVIYLETPAGVGYSYSADAAYYQGVDDKMTAMDNMVFLQRWLQKFPQYKGRDLYIAGESYAGHYIPQLAEAMVEFNKKDRIFNLRGVALGNPVLEFTTDFNSRAEYFWSHGLISDATYRVFTSVCNYSRYVTEYYGGSLSPLCARVMNQVTRETSRFVDKYDVTLDVCLSSVLSQSKILSPHEQVGQRIDVCVEDETVRYLNRRDVQAALHARLVGVDKWAVCSSVLEYELLNLQIPTINIVGSLVKSGIRVLVYSGDQDSVIPLTGSRTLVQNLAHDMGLKTTTPYRVWFEGQQVGGWTQVYGGGALSFATIRGASHEAPFSQPGRSLVLFRAFLQGQPLPETFS >Sspon.08G0000790-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:2663933:2667404:-1 gene:Sspon.08G0000790-1A transcript:Sspon.08G0000790-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMELAKQLLTVLGSYTPPLWATIIAGVFVIISFSLSLYLLFNHLSAYKNPEEQKFLVGVILMVPCYAVESYFSLVYPSISVDIEIMRDGYEAFAMYCFGRYLVACLGGEDRTIEFLNREGGSGSAAPLLGQASEQRYVNHPFPMNYILKPWPLGEWFYLVIKFGLVQYMIIKSICAILAVILEAFGVYCEGEFKLNCGYSYTAVVLNFSQSWALYCLVQFYAVIKDELAHIKPLAKFLTFKSIVFLTWWQGIAIALLFNWGLLRGPIAQELQFKSSIQDFIICIEMGVAAVVHLYVFPAKPYELIGDRFVGDVSVLGDYASVDCPLDPDEVKDSERPTKFRLPQPDDRVRCSTAIKESVRDVVLGGGEYIVNDLKFTVNHAVEPINEKLHMISENIKKREKGKKKTNDDSCISSPTSLTRVISGIDDPLLNGSLSDNSGPKKARRQRRKSGYASAESGGESSDHGLGGFEIRGNRWITRE >Sspon.05G0000270-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:1677514:1678134:1 gene:Sspon.05G0000270-1A transcript:Sspon.05G0000270-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSASRAVSCLCCPCKCLACGLFSCLCSILVSLAVALGIIVLVLYLIFKPHMIAATVDSASLAQFSLSSTSTLTYDLNVTMTVRNPNTRVGLYYDDVQSLAYYKGQRFGYVALDAFYQATKASTKLSPDFNGTQLLQGDVTAAEFRQEQSAGNFAVNVDLDAKLRIKVWAFKVRGPKAKITCELSVPAPGAANASPFQPTDCKVRF >Sspon.05G0029330-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:77893039:77900034:-1 gene:Sspon.05G0029330-1B transcript:Sspon.05G0029330-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAWRFGFDSSLFPPAYKFDPTDTDIVVHYLLPRALGVRNPYEHAVIDADPCSCPPWEFMRRNGHGDSDHAFFFAPPRARGKRAVRVVSPAPVEEDGSGVGGRWDAQKSTETSIVLVRGGPGGGAEVPIKYKRCNLSYYHGDEPSTSGWVMHEYQITVPPRLSRTVLSRVKVTDRGKQRLKDAGGPQQVVVPDPDQPGPSNNDDFVHGHDHDDDDGNALGLSGGEQSEVVYLGDGNGSVSGEMAAYFVDDGNSYLNDGSGYYHQYQDDVSGGDYGFTVGDNNVNHEYLDRINSPERFYSSVSFEVTQIKAPEYYIFQGNVVPDSDM >Sspon.07G0031050-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:16024340:16024554:1 gene:Sspon.07G0031050-1C transcript:Sspon.07G0031050-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHIDSLAALVLATQVLLDEWWQHRLCPNNSKLAHMRIVHLFDASAGLFGKWFFKLLPSN >Sspon.04G0034260-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4D:75777884:75778387:-1 gene:Sspon.04G0034260-2D transcript:Sspon.04G0034260-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPAARRIVGAEVPIPGSDKLRWIDLTIPSSSAAPASPSDPFVLVPQRAASGCHIIPSGDSQYYLAWRIH >Sspon.05G0016030-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:64611078:64611978:-1 gene:Sspon.05G0016030-1A transcript:Sspon.05G0016030-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLVDWFYDLFVSLGLWQKEAKILFLGLDNAGKTTLLHMLKDEVDAVVYMVDAADGTRFGESKTELGALLSDDALAGVPFLVLGNKIDIPQAAPEHALAYYLGLTGCTTGKGTVDLAGTGARPIEVFMCSVVRKMGYGEGFKWMSQYIK >Sspon.03G0030590-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:21543891:21549602:-1 gene:Sspon.03G0030590-1P transcript:Sspon.03G0030590-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRYDRNPFEEDDVNPFAGGSVPPASNSRMPPLPHEPVGFYNDRGATVDIPLDSTKDLSKKEKELQAREAELNKRERELKRKEEAAARAGIVIEDKNWPPFMPIIHHDIANEIPIHLQRMQYLAFSSLLGLTACLFWNIIATTAAWIKSEGVMIWLLAIIYFISGVPGAYVLWYRPLYNAMRTESALKFGWFFLFYLTKKDFHSLLMIFTPSSSIYYSVSGQLWLLHFHSKENLWRNGILPAIDIIGRSAIVGIFYFIGFGMFCLESLLSIIVIQQVYMYFRGSGKAAEMRREAARGAMRNAF >Sspon.01G0052290-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:21363775:21365958:1 gene:Sspon.01G0052290-1C transcript:Sspon.01G0052290-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCTVAIPSSPVFSPSRRPLSCKAVSASPEPAVAVAVSASSPAPAPAAAAGSPLRPFALRALLREEVSPSPPQPPSAAAVASAPTGAVLKRRRPAPLVVPASGAAAAAAAAAAVAAVEEDPRNEVEEEGEEFAAYCRRGKGRRRVEMEDRHVAKVALGGDPQVALFGVFDGHGGKNAAEFAAENMPKFIAEEFKKVNGGEIEGAVKRGYLRTDEEFLKRDESGGACCVTAVLQKGGLVVSNAGDCRAVLSRGGKAEALTSDHRASREDEKERIENLGGFVVNYRGTWRVQGSLAVSRGIGDGHLKQWVVADPDTRTLLIDQQCEFLILASDGLWDKIDNQEAVDLARPLCISNDKTSRMAACRMLTETSISRGSTDDISVVIVQLQKFSSS >Sspon.02G0017950-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:55536387:55540361:1 gene:Sspon.02G0017950-1A transcript:Sspon.02G0017950-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGPKRPLGVVTSWVRRQPPKVKAFLAVVTGMAALVFIRFIVHDHDNLFVAAEAAHALGIGVLIYKLTKEKTCAGLSLKSQDLTALFLAVRLYCSFVMEYDIHTILDTATLVATLFVIYMIRFKLRSTYMVDKDNFALYYVIVEPFTAHYVFALGVARFLSCAHWVLQVLDTRGRLLTALGYGLWPSMVLLSEIVQTFILADFCYYYVKRTSHVKIVSKAIRPCSRDIGIISRGWSSWIEGGSQLPHAFFLAAHTSSACDSSVEAEYCRRKIFANCLFWRWLLRLQRNAGCGVQTPELTSWNASASPAKDNAATQESRLASQVESVD >Sspon.02G0056160-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2D:18611306:18611882:1 gene:Sspon.02G0056160-1D transcript:Sspon.02G0056160-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding IH >Sspon.05G0011210-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:31992944:31998274:-1 gene:Sspon.05G0011210-1A transcript:Sspon.05G0011210-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLALQARPAAVLWLAGFFQAARLHRVVSFCASSRALSIRIAQCFLLNGLIFLGSLLTLKSVVIPTLLWILPEQCNQTGAHLCEHTAAISIYSFLRSGLVEIFYVFWFYPLYVFSFILSTIWYNDIAKHALDVVKSKRLVLTQALDDHNTTETEEQPEGFDRVALGIGEQVYSILLLTIFFVEVSVIGYIPYFGKAMNFLLLSLMYKWNFFAVSLHERLDFFESNWAFFAGFGAPCVLPIFFFSPLTSYGFLAILYPLFVLTAAGTQAEQVIDGLKPAHEGKLQRIPVFFVAKRLTTKILQLFPVAQKEE >Sspon.06G0022190-3D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3D:29700479:29700845:-1 gene:Sspon.06G0022190-3D transcript:Sspon.06G0022190-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding TGIKSLTYDEEKSTLTVVGDVDVVVIVEHLRKAKHPAEVVSVTDEKKEAEEKKKKEEEEKKKKEEEEKKKKEEEEKKRRCCPKPPCTPCPPPPCPPPYPYPYPKPCYIPIEDEYPGPCTIV >Sspon.06G0011590-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:63294710:63296422:1 gene:Sspon.06G0011590-1A transcript:Sspon.06G0011590-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVPPPRRRSEVVLPPLETQLQQPPAGFRAAQQPVSVSLPASPGSLGVLTPTASTADSGDLRRQAMANAARGPHRLAAQDTGSNSVRFTQPDRGREAMMFHSQPIPRPPPASTAGRGSRAGAAMNWHADRRYDSFKTWSGKLERQITHLAGGGPDDDLEDDVGDVIGSHSHRSHATSVPEVDRFYAALEGPELDQLKPSEDLVLPSDKTWPFLLRFPISAFGIPMGVSSQAILWKVITLSVPTTFLHVTSKVNLVLWCVSAALMAAVSATYACKVALYFEAVRREYYHPIRVNFFFAPWVTCLYLAIGVPHAVTWAARLPHWLWYVLMAPLLCLGLKIYGQWMSGGQRRLSKVANPSNHLSLLGNFVGAQLGATMGLREGPIFFFAVGLAHYVVLFVTLYQRLPTNETLPKELHPVFFLFVAAPSVSSVAWSKITGEFGMLSKVAFFVGMFLYASLGVRINFFRGFRFSLAWWAYTSPMAGAAAAAIRYSTEVDNAFTKALCVALSAVATLTVAALLATTVVHAFVLRNLFPNDICIAITERKVKPIMELQESDGHDDIEASDATRATAA >Sspon.01G0024910-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:88591888:88593095:1 gene:Sspon.01G0024910-1A transcript:Sspon.01G0024910-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRALTAVLVLCLLSASPFAAVSAQTEPQAPPADGACGGPAVGGKCHSVASALRLKLIAIPSILLASVLGVCLPLFSRSVPALRPDGNLFVVVKAFASGVILGTGYMHVLPDSFNDLTSPCLPRRPWAEFPFTAFVAMLAAVFTLMVDSLMLSFHGRGRGRGKGSAAIAHHGHAHSPPPQVHCHGQGVSEATPEAAADKADDDNVEAGKAQLLRNRVIVQVLEMGIVVHSVVIGLGMGASQNVCTIRPLVAALCFHQLFEGMGLGGCILQAEYGARMKSVLVFFFSTTTPFGIALGLALTRVYSDTSPTALIVVGLLNAASAGLLHYMALVDLLAADFMGPKLQSSVRLQLVSFLAVLLGAGGMSVMAKWA >Sspon.03G0005590-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:15962551:15962922:-1 gene:Sspon.03G0005590-1A transcript:Sspon.03G0005590-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLVGFTRMKRSNMMNIFRYWEILSSQSQKHSNEASVDGPLLDKVSTDTLLPTDEKVSLIFSLLLRDIRFTEETFADGNFSSSAFSSSGMTSK >Sspon.01G0053870-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:44538854:44541999:1 gene:Sspon.01G0053870-2D transcript:Sspon.01G0053870-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding METKDVSPLPATAAAAAAATPVPAPASQPPPPPAAPSPMAPPPPQQQQHQHQHQHQQPPPFAQQAAPASSPAASMPGGMRLSFDQMSGKAPGEQHHHSAPMLYAASPQSAAGAGAAGAPGANVLGMGELMRKKRGRPRKYAPDGSMALALAPISSASAGGAAAPGQQQHGGFSISSPPSDPNAKRRGRPPGSGKKKQFEALGSWGIAFTPHILTVKAGEDVASKIMTFSQQGPRTVCILSANGAISNVTLRQPATSGGLVTYEGRFEIISLSGSFLLAEDGDTRSRTGGLSVALAGSDGRVLGGCVAGMLMAATPVQVVVASFIAEGKKSKPAEARKVEPMAAPPPQMATFVPAPVAISPPSEGTSSASSDDSGSPINHSAMPFNHSSQHQHPHQHQHMPPAYASGGWSLSVHQQNRHDSDMKMMSN >Sspon.01G0025190-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1C:87592802:87593391:1 gene:Sspon.01G0025190-2C transcript:Sspon.01G0025190-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VDDNGYEGGGGSSDEEVQETADLGGLPVPETGSPSGEDKELKNRLLNKYSGYLSSLWRELSRKKKKGKLPRDARQKLLHWWQLHYRWPYPSELEKAALAESTGLDAKQINNWFINQRKRHWKPAQPTMALTTADYRLGPHGGGGGSSSSASAGLRVEGQYFTGGSSYPRGP >Sspon.01G0012150-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:40014347:40015125:1 gene:Sspon.01G0012150-2B transcript:Sspon.01G0012150-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADDATAGKLFGREKITDATAALFTESANKIPDERFIRTKEVQTAGAVVGEEEMLELPVVDMASLVDPDSSASETAKLGSACREWGFFQLINHGVEEAAIHQMKESAAQFFSSPLESKNTVGVRDGFQGFGHHFNGGSSEKLDWAECLLLITRPVQDRNMDLWPETRGFYLCTLKKDVTLLRTPQKLVVTYMPSLELFFSLTPFRQHLLLT >Sspon.02G0007460-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:21492556:21496731:1 gene:Sspon.02G0007460-2B transcript:Sspon.02G0007460-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMILSEFTKQVIISLGNLASNEIAKVLCVRNEISKLSRKLESMRAIITDAEQTVVQNETTRDWLKRSREVIYEAENIIDRCRIEKERFQTSQPQEFPTSRNNTWKVETTDECNPSSVFKCCRDVGIDYIVTSDIHELNQKLDSIIEEGERLHVEPAIEDQIRLDQTRSDLDFAPDLEPDIRGGNDCENLIELLIKRDDIPTRPNRPLLAIIGTIGVGKTTLARKVYHKTETFFEPRVWVHVSKDLQHMTMWSGERFSKGDTARLQAELRTWLQGNKFLLVIDDVWGENVWDGLLEIQAQHGSPGSRVLITTRNERVARRMGAVHLHHVKGLNEDDGWWLLRTRAFLDESTGNMQDIGRRIVQKCNGLPMAIRRIGCHLREVDLKADDWERIYSSDFCGLSSRIRNAINTSYLELPYYLKRCFLYCSLYPEGSVIDRQCITQQWIAEGFIVTQQNTAVEEEAKKCYEELLGRGLLLPENQTCGAERSKMPHLFRSFALLQSQDEYFIGNPQDIGDTLRPCRLTITTGGVEAIRNGIRKLKTLRTIILCGSPLNDTILGDIFQKCTHLRVLDLGDTQIECVARSLGSMMHLRYLSFANTQVREIPSSIENLRMLQFLILKNCTCLNALPESLGRLTNLRTLDISGSGLNQVKFGFSMMKELKCLQGFLVSSRGSENRNGWSFQELGSLYKLTSLKILRLERTLTMEDAVQSALEAKHHLKELELCCSTDDGKTEISRAAKIKDVFEALKPGPSIVSLKLENYYGHGFPSWLDPFHLRELKQLTLDGCLHCQYLPSLGQMKNLKFLSINGSNLLTYIGHEIRGTPDDVVAFPKLEQLVISKMSNLKSWQGLEKRDMPSLMNFMIIGCPKLDSLPSWLKHCMALRSLHIDHADNLEAIENIPALKELEVCENSKLKVISNLGRLEDLKVVACLLLDVVQDVPSLRTVHSNEKNSTKLPQWLQPEKPFMLRRLEIVGTEELLDSCSSATAPYWPIIQNADHVYANLPDGSFYFSYAKSSSYFHKSARNLARSSLLSSASFIVPILPQAEEVVSTDEIRNISEPTGQSSSQSWMRILFTVLLFVAAHIFSLSSEY >Sspon.02G0048930-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:31285632:31286619:-1 gene:Sspon.02G0048930-1C transcript:Sspon.02G0048930-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDLCRNLTIPEIHKSSYTVDDSRAGVNRKLELWRHTLESKGFRLSRTKTEYMRCDFSATRHEEGDVSLDGQVVAKKDTFRYLGSMLQKDGDIDEDVRHRISAGWLKWRQASGVLCDKRVPQKLK >Sspon.07G0007590-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:15874988:15875751:1 gene:Sspon.07G0007590-3C transcript:Sspon.07G0007590-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MELTDLLAPDPSFPSEFPALDNQYMQYPLAQYNGHYDVPTLSVLCCYYNSLSTLDVGDVIDVIPGPMKSSAALLNQADQGA >Sspon.01G0023310-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1A:84218210:84227929:1 gene:Sspon.01G0023310-1A transcript:Sspon.01G0023310-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LQDATIRSCDFATEQTLVLHSPEKKTDHVSIDTEVHLALTPLEPIVFFGFHKRMSVTAYAEGLIRAYNIQTYAVHYTLQLAVDSTIKLMGAGAFGFHPTLEWIFVGDRGGTLLAWDVSTERPSMIGITQAGSQPITSVSWLPTLRLLVTISKDGALQDMSGTEAAKNKAAYTREGRRQLFALLQGARGSTGRDAAFLGPDDNQYAILEEDRTSLNLFSLKAVATKEALENNAAVLEENTFADNAANSTERQESTLLYVISGKHIGLAKLLQGYRLSTDNGLSITTKTDGKKFIKLKPNETVLQAHWQTTLRGPVVGILTNQRVLIASADLDILSSSSTKFDRALIFSSATAISMLGWDNKVRSILSTSFPRSVLLGALNDRLLLVNPTDINPRQKKGVEIRGCLVGLLEPLLIGFATMQQYFEQKLDLSEVLYQITSRFDSLRITPRSLDILTKGPPVCGDLAVSLSQAGPQFTQIMRCNYAIKALRFSTALSILKDEFLRSRDYPQCPPTSHLFQRFRELGYACIKYGQFDSAKETFEVITDHESMLDLFICHLNPSALRRLAQKLEESGTDSELRRYLERILRVRSTGWTQGVFANFAAESMVPKGPEWAGGNWEIKTPTNIKNIPHWELAGEVMPYMKTTEAGIPSVVADHIGVYLGVMKGRGNVVEVSERSLVKAIAAASSENAQAVSSASAEKNKAIAGGDSVGDTLARQLGVQIASADEQAKAAEDFKKTLYGVVDDGSSDEDESTSKTKKIHIRIRDKPAAPTVDVNKLKEATKQIGLGPPPLSRTRSLSGTPQDFNQAPTQPGGPAAAVSPAMPNAAIDLFGTNALVQPQAASSATGPVIAGMGVTAGPIPEDFFQNTIPSHQLAAQLPPPGIVLSRMAQPAPGMDQGRPVPNQMMANVGLPDGGVPPQAPPQQSQFPPQQSQFPQQPGIPMDSIGLPDGGVPPQSQPLPSQGQALPSQAQGFRPGISAPSQPIDLSALEGPGAPKQAARPPAPTAVRPGQVPRGAPAAECYKMALAHLEQNQLTDALSCLDEAFLALAKDQSREADIKAQATICAQYKIAVALLQEIARLQRVQGAGALSAKEEMARLSRHLASLPIQAKHRINCIRTAIKRNMEVQNYAYAKQMLDLLYSKAPPTKQDELKSLIDMCAQRGLTNKSIDPFEDPSQFCSVTLSRLSTIGHDVCDLCGAKFSALSAPGCVICGMGSIKRSDALAGGPGPVPSPFG >Sspon.01G0027550-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1B:99326756:99327027:-1 gene:Sspon.01G0027550-2B transcript:Sspon.01G0027550-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VVKYFLDPRTIEKVKYIYPKDEESIKVIHKYIDPEVLPMEFGGKSSVVYNHEEYSELMAKDDIKRSSIWGADAQADHVNHVIDGLYKVS >Sspon.06G0011480-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:62687411:62688454:1 gene:Sspon.06G0011480-1A transcript:Sspon.06G0011480-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADHTTVSGEEQRQVAISMCSTPSERSLAVAASSASTPRSSKLVPVPLDSLQKLMLKSPAQADVVENNEQTRVPAEVPLAKKMAAEFIGTFILMFAVVSTIVADAQHGGAEGLVGVAASAGLAVVAVVLAVVHVSGSHLNPAVSLAMGVFGHLPRAHVLPYAAAQTLGSVAATFLAKGVYRPADPAVMATVPRVGAAEAFVLELSKELVAIAIAAAIMMNALIGGPSTGPSMNPARTIGAALATGKYKDIWVYLLAPPLGAIAGAATYTLIKP >Sspon.02G0008900-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:24051625:24054069:1 gene:Sspon.02G0008900-2B transcript:Sspon.02G0008900-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAAAELVAPRPPSAKRRSTTLKFLFELEKPDGLLPAGTAKLPPPSPEPEADSLIDKIASCNRVFTFADDASEREEERDAKRERLVEVLGAVRSSGSGGNKQQPLDHRVMVALVKMVGANVFRAMPPSAYPSLLPPDGVDEEMNVMVLAPSWPHLQVVYDILLSVVTVSDAKTLRHHVDRAFLSSLLALFRSEDPRERDRLKTVYHQLYSKLTCERAFMRRSMAAAFLRFIYDAPAAERHCGAAELLEICGSIINGFAVPLKEEHRAFLARVLLPLHRTRWVHTYHRQLAYCVLQFVHKEPGLADAVVTDILRHWPVTNCQKEVLLIEELEEILQVLEPKHFQKLAVPICSRIARCVSSCSSQVAERALYVWNNERFVELATASPGVMEKILPAFVASVESNLELHWSKCVQQVTASVRSLLQQVAPDLYARCADDLATRRSEAEVAAAVRDARWRKLETAAAAASGTTK >Sspon.08G0017710-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:2600729:2601067:1 gene:Sspon.08G0017710-1B transcript:Sspon.08G0017710-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGKGGSGGGGKGGGGGGGKGGGGGGGGGKSGGGGGGGKGGGSYSGGGGGGGKSGGGGGGYAGHGGAGKSGGSGGDGMMKAPGGDGAYISRSGFESNPQVYFQGLNQGGGNK >Sspon.07G0034800-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:81453419:81455696:-1 gene:Sspon.07G0034800-1C transcript:Sspon.07G0034800-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MELPLRRPPAAAAADAKAAKVVEDSTTPLRQPSASRIELQLPGSVICSKLNLKYLAEFKSGAEMMDSAESTMNAYKVAQVPTS >Sspon.01G0023070-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:83700593:83704706:-1 gene:Sspon.01G0023070-1A transcript:Sspon.01G0023070-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVIQSSCSHTWDAFQLAHAAFQLYCVRNNYIQSVKLGPRLLGDAPKTNVILAGNEVNKEEGCSKGFPAIRIYDEDVNMKFLVCGVPCNLDACLLGALEDGLNALLNIEICASKLQNRASAPPLQAENLPHGVVTMRCAITTCSSAHVSFLVSGSAQTCFDDQLLESHIKNEIIEKRQLVRALLNSEDNKPSSYEPLPSMCVACGASTFEVWITLPKWAAQVLKHLAPEISYRSLVALGIAWVNGTPVSSFDRQDADRLLFFCSNQCKDQAIQNVSYAHLSSWSASLTKDRTTGSIESKQMSFGAKGVGGDNKMSPSLKPRHLEKCQKHFWIEVSETFLDVLRHLGITCIQKNFHPLSITPDMVS >Sspon.07G0004940-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:11118963:11123605:-1 gene:Sspon.07G0004940-2D transcript:Sspon.07G0004940-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAQEEAHAVGLPLGYSFSPPAARDELRADEQGLAETRRGLPGELLARSPGEEPAAAQAHRGSSCRRSGRSGCREGICSGARSGAPSSWEPAPPSGGAGSARYHRVAAAAALRQMPMSSPDLSPETSTQQVCPLLLFPFRWGARGQARCRRHVGSGRGANATNRVDRAARRVQRAGTGCRQWRSYRVVPLVHRPLCRDPDPSYTYLSMRLHAPIRIPTIPPNDSDSDNGEPAAGAEQQAAAHPLAPDSSCILVKSYPALASNRVRSPPPPGKREDLGIWRGSIASRLESARFVVVVVVSFLALGFGDLEGFDRFSPRIGSQLGFRGNFYAVRSFGGIFMPCGVLPVLDGK >Sspon.01G0063420-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:115078121:115081675:-1 gene:Sspon.01G0063420-1D transcript:Sspon.01G0063420-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRPRPVSDSYEAPSTGGLDRVPTRTGLDRPGRSDSREPGSRRSTPSVSTYHGTKRIRTYPFGLDPTSTPSTTDTAQQGRKDGMARRSGSFQHLTFYDITLGLDTLSRKLVTPTQAPRCKEIQTSLTPAGRRAFFCPNQDKPPTPRVRTPTVGAPGRGSLRANVAFPPFSWMADPERPIPLATVIWFGSLEFMSLGYGYDMVLLPPRHPTDEDYELSQPGGAIRRYRRSRRARAARRRRARRNHHLHLAEGDGVPRSGTSQPGVGPDFLVEGLGRISLAEDATPTENPAAPPPGATPLPRASLPAWAATSPSPFPFGLVSAAQGDSQRLYIPPSYYYFGAPDSDSADDTYDPTRECFNVDVESTSDSEEEELTGGGHTPPHVEHLADRDEAQLLADQGMQLEQIRELQERLDEERENLRLLQETLERERAERAPGGRARERARDVNRRIDEDRAVDPPVFARASQNVVAAAMLLRNMPEPSNPMPAGLEMRSENSSRPLPCSRPKALPRGDASPPRSGSQSPLGKREKPRFIPNLLDGFGWLPPLSPLLTTMDLETPAATSSSAARASTVTVWLEVTMCTGAGAMTARKIVALHPSLRALGSLVRLSVKPYSRHVGGATNDRVIIRQLPLFLSDTARAWLEDLPPQQIHDWDDLVRVFEGNFKGTYMRPGNSWDLRSCKQKPGESLRDYIRRFSRQRTELPNVTDSDVIMAFLSGTTCKELVRELGRHTPLTANVLMDIVTNFAAGEEAVGAIFGGEQDTGKQKTDDPASSARGSKRNNRRKKKGQQGKLEAPADELVAATERKKPRGPPGGGIFDKMLKEPCPYHKGPINHNLENCHMLRRYFEGAGSKNTDKKEDPKGDDKDEGFPEIHDCFMIYGDPRPASQRASASESGERFSPCKRRSLASLIGLRWPSLSTVMITRTTSPIR >Sspon.01G0026300-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:108953934:108955175:-1 gene:Sspon.01G0026300-2B transcript:Sspon.01G0026300-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVRLSVCLCQHPEKPVALGSSQDFHISRQQQQQLASNLLASSSTIQLAHHPHAKQMDPITRPVASSFHRSAAKHLHRAVTSAQRNGVSRRCLLTLLTSTAAIPGGNESRKALLQEYLKKSEDNKEKNDKERLDDYYKRNYKDYFGLIEGPAREKKEEERTESEKRILEWLDKNK >Sspon.02G0024470-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2B:85218315:85219685:-1 gene:Sspon.02G0024470-2B transcript:Sspon.02G0024470-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding KEAETLRVKDDELHQLLQDIRARNSTINETADKLQETAEAAETAASAALSIDEERGFLRQNLN >Sspon.03G0036780-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:55904670:55911898:1 gene:Sspon.03G0036780-3D transcript:Sspon.03G0036780-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTTTLQLLPWPPEQARAAVLLLLPPLLLAAVVVCLWALLSRRLFSRERPAGGSKEQQAAPARLPPGSFGWPLVGETLDFVSCAYSSRPEAFVDKRRLLGLLCTCLLCCTHARERGVPDRSHLFGSATVVTSDAEVSRFVLQSDARAFVPWYPRSLTELMGKSSILLINGSLQRRVHGLVGAFFKSPQLKAQVTADMQRRLAPALAGWKTLGAAASPLRIQDHAKTQIVFEILVKGLIGLEAGPEMQQLKHQFQEFIVGLMSLPIKLPGTRLYRSLQAKKRMARLIQGIIQEKRRRRRAILDGGGEAGPPRDAIDVLISGGSDELTDELISDNMIDLMIPAEDSVPVLITLAVKYLSECPLALQQLEEENMQLKRRKTDMGETLQWTDYMSLSFTQHVITETLRMGNIINGIMRKAVRDVEVKGHLIPKGWCVFVYFRSVHLDDKRYDEPYRFNPWRWKEKDTSTSSFTPFGGGQRLCPGLDLARLEASIFLHHLVTSFRWVAEEDHIVNFPTVRLKRGMPIRLTSKD >Sspon.02G0006910-3C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:21937527:21951191:-1 gene:Sspon.02G0006910-3C transcript:Sspon.02G0006910-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding APCPEAMSESPPADEMSGSPPAQEEKDESPQSLEFFTAPTQEDKDESPQSFEFFTATDQSVKFLTTDFDTAMTLAADGGAASTPKMQTRPPSPPIKVAPAILTCDSSSVNTGARTQKTEANMRRAGPIAASTGAKRGVIKCGRDLGTGKAASAGAEIAQENQAVKRQKLDDGRARQILNVKTKVLPHKGRADLAGSNEVRRSREDMCSVKEATPYVSAAELVKKFESGTRKLSITHSRSRSHEDAALQGRPKLMLTRPKEPEFQTSHRVRAVKVKSSAELEEEMLAKIPKFRARPFNKKEFHLKTMERATRYADTCSEVSCADTIQSQNKPLKLTQPKPPQLHTAMRVRPPSVKSSQELELEELEKAPKFKARPLNKKILESKGDIGVLAYPKSQVTAPKEFHFSTDVRLGPPSVADLFDKERGHLKERQLEAQLLQKKMEEEKARVHKANPYPYTTDYPMMPPKPEPKPCTRPEGFQLESLVRHELEQQRLMEERQKMEREEAQRRIVKAQPVLKEDPIPLPEKERKPLTEVQQFVLHVDERAVQRSEFDSMIKEKEKTYKRLREENEFAQKIEEEKALKQLRRTLVPHARPLPKFDRPFRPQKSTKQVTRPKSPQLQEKETEIPRTDPLLVALVLVLLAGGEKGVGRRLDFRGRQKLSARRAQGAQQWLARKSFTSFFPPPLPSPVFWPPPPPGAPPPPGGQPPAAMSCWPPLSPPHPSSTSDPTPLPAVQDFVAPFARDERLPPRLPLQPLLPPANSPPAAPSPAGRAFPPGARAADGARPCEWGRSAVLHPHPLAGPHPLHQSPPTGPHSWAPVAPAADPPLLQAPTFFPGCAPPAAGRNLPTPPPAAGRAAGGLPARAGRVPAAGRHLGGGSDLSKELDKVRKPPVASQWQPPPAAQQQHHHPQRQPVILFAAAPPPCATAALAAGAGAVAAGAGPLGAAADPNLAAPARVRLAETEQRLHHPPCATAALAAGAGAVAAGAGPLGAAADPNLAAPARVRLAETEQRLHLPASQSSLPPQSELRPPAAMVTGAYPGFHPTSLWDTPPGASPGLVGRDPAALAYSFSTTTSPNWIADSGATFHTTPDASLLSSLRPPHPSCPSSIMVANGTCIPVSSVGTASSHGSFHIPDVLVAPGMVHNLLSIRRFTTDNSCSVEFDPSGLTVRDLASRVPLLRCNSTGPLYTLQFPASVSPSAPSSASASPSLPCSAAFATTPSSTTWHRRLGHPGRDALLQLSRSADIRCSRAHDDPLCHACQLGRHVRLPFQSSSSHATRVFDLIHCDLWTSPVLSMSGYKYYLVVVDDFSHYSWTFPLRAKSEAFPTLSHLFAWVSTQFGLTIKAVQCDNGREFDNSTSRAFFLSHGVQLRMSCPYTSSQNGKAERMIRTTNDIMRTLLLQASLPARFWAECLHTSTYLLNRLPSTACPAPTPHHALFGTPPRYDHLRVFGCACYPNTAATAHHKLAPRSTLCVFLGYSPDHKGYRCFDLTSRRVLISRHVVFDESAFPYSTSTPTPPADPAEASFFPTDPAILPPFSLYPAGTAPARSPGGPASPLPDSHPDLPPVPATAEAAPELPPSLPVASLPPVVPDAAVPIAGPRTPIPPPPGRFGLVYQRRREPSPPSPPPGRFGIVYERRREPAPSLSQPAPSSPVHAPPASPRSRADPPVYHPPLLHRDPRHTHPMVTRQASRPQALAAAACEPGISPVPSSVRAALADPHWRRAMDEEYAALLANQTWDLVPRPPGSNIVTGKWIWTHKRRADGSLERYKARWVLRGFTQRPGIDYAETFSPVVKPATVRTVLSLALERSWPVHQLDVKNAFLHGTLTETVYCSQPAGFVDSSRPDFVCRLNKSLYGLKQAPRAWYSRFATFLQTLGFTEAKSDTSLFIYHYGGETAYLLLYVDDIVLTASSESLLHRVVASLQKEFAMKDLGVLHHFLGVTPVLLYPGDTQGKLSEAGGPMLGPADSTAYRSLAGALQYLTFTRPDITYAVQQLCLYMHAPREPHLAAMKRLLRYLRGTLGYGLLLGRSSTAELVVYTDADWAGCPDTRRSTSGYAVFLGGNLVSWSSKRQPVVSRSSAEAEYRAVANGVAEAAWLRQLLAELHRPSPGARSSTATTSARSTSPPTPCSTRGPSMWRSICTSSATVWPSATSGYFTSRPPPNLRTSSPKASLPRPSPSFVPASTSPVASCGCGGVKDAFSSSGPETGKGKTKLSGKHVKHGYHLVKGKSNHPMEDYLVAEYRQVGEHDLGLFAIFDGHLGHTVPDFLRSHLFNNILSE >Sspon.03G0009650-4D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3D:30934367:30934633:-1 gene:Sspon.03G0009650-4D transcript:Sspon.03G0009650-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MWLHGGAGSRTVSAVPPGNFYGFQGQSQQGGFRQTHQPSQYGGLGYPSFYQSQASLPQEHPQNPTEGGLNNPQGVPSQPSHQLWQHSY >Sspon.01G0013500-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:4208894:4211908:-1 gene:Sspon.01G0013500-2P transcript:Sspon.01G0013500-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKTKLPLPLPLVHSERLWARPWRWAKTAFFIVAMLASLLLVCAPPLLVVLLDLLLPPALLSNFLRAQAHAPPAASASAGVSFAAALAGQARAFRFGSSLVDLPAVSAARSLLILCAYTAGGGGGAAYRWVAVACSAASLGYVLAKAVAVFGVAGAGLQWQGKGQLVAVEAMFLMSLALAVAHLAMAYRASCRERRRLLVYRIDVEAVRLKGGQTPKALKQCLV >Sspon.01G0050630-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:3376495:3378464:1 gene:Sspon.01G0050630-1P transcript:Sspon.01G0050630-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding PILLEDYHLVEKLANFDRERIPERVVHARGASAKGFFEVTHDITHLSCADFLRAPGVQTPVIVRFSTVIHERGSPETLRDPRGFAVKFYTREGNWDLVGNNFPVFFIRDGMKFPDMVHALKPNPKTHIQENWRILDFFSHHPESLHMFSFLFDDVGIPADYRHMDGSGVHTYTLINRAGKVTYVKFHWRPTCGVRSLLDDEAVAVGGANHSHATKDLTDAIAAGNFPEWTLYIQTMDPEHEDRFDFDPLDVTKTWPEDVLPLQPVGRMVLNRNIDNFFAENEQLAFCPGLIVPGIYYSDDKLLQTRIFSYSDTQRHRLGPNYLLLPANAPKCAHHNNHYDGFMNFMHRDEEVDYFPSRYDPAKNTPRYPIPTVHITGRRDKTVIAKENNFKQPGERYRAMDPARQERFIKRWVDALSDPRLTHEIRSIWLSNWSQADRSLGQKLASRLSAKPSM >Sspon.05G0020760-3C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7C:81959982:81962885:1 gene:Sspon.05G0020760-3C transcript:Sspon.05G0020760-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein SCARECROW [Source:Projected from Arabidopsis thaliana (AT3G54220) UniProtKB/Swiss-Prot;Acc:Q9M384] MVRKRPAPDVDLPPPRRHVTGDLSDVTAGAAAAGAGAPPPLPPSSASAQLPALPTQLHQLPPAYQFQAHAHHHQAADVDVPAAHPHAHAHAQAADVAAAAPSTTAWVDGIIRDIIGSSGGAGVSVAQLIHNVREIIHPCSPGLASLLELRLRSLIAPADPAPLHPPAPHVAAALPPAPPPLPLHSADKQCPQQHEQEPNNPPAPSQSPKAPTAEETAAAAAAAAKERKEEQRRRQRDEEGLHLLTLLLQCAEAVNADNLDDAHRWLLEIAELATPFGTSTQRVAAYFAEAMSARLVSSCLGIYAPLPPGNPVAARLHGGRVAAAFQVFNGISPFVKFSHFTANQAIQEAFEREDRVHIIDLDIMQGLQWPGLFHILASRPGGQPRVRLTGLGASMDALQATGKRLSDFADTLGLPFEFCAVAEKAGNVDPDKLGVTRREAVAVHWLHHSLYDVTGSDSNTLWLIQRLAPKVVTMVEQDLSQSGSFLARFVEAIHYYSALFDSLDASYGEDSPERHVVEQQLLSREIRNVLAVGGPARTGDVKFVGSWREKLVQSGFRAASLAGGAAAQASLLLGMFPSDGYTLVEENGALKLGWKDLCLLTASAWRPIHQAPCR >Sspon.06G0032260-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:81821802:81824139:-1 gene:Sspon.06G0032260-1P transcript:Sspon.06G0032260-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSERAGAPAPAAAAIAGTYVCLGVLTEPPAAGWLIGDQRCRAVASAPDHMDEQPARGRYHPFEEIAETVQLDDDGEPAHLTDAESARTIVEVNNKATVMISTLVGDGVQERIILPEFPYLTDENGDIYFEVDNEDALLESVMGEDKIATATKNNIDWLDRPPASLVVEGQLRPAFAEESTMVAKHISSIAAAIKINLPKVKIEEYQKAKPDIIAHSAPNVISRLRAGGDKITQALKSLCWRCKAIQVEVQFLQIDCKNRSRISTLHIMEEKFTISQGLVADTLPGHEAAVIGVDCLGFDLRVCSGTQVQTLRFAFPTRATSEFAAEKQIHELLFPRNTHQEGQSPQAQEKS >Sspon.02G0013810-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:29901701:29905118:-1 gene:Sspon.02G0013810-4D transcript:Sspon.02G0013810-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGAGVADLVEGVSKLSHLSKLARDNNTASRTVEADRLHTMLLAMADARAVLIKLADRLHNMRTLEALPLVKQQRFAKETMEIFVPLANRLGIASWKDQLENLCFKYLNPEEHKELSSKLTESFDEELITFAVDKLDKGLRDAGVSYHNLSGRHKSLYSIHSKMLKKNLTMEEIHDIHGLRLVVEKEEDCYKALCVVHRLWPRVTGRFKDYISRPKLNGYRSLHTVVMSEGVHPFEVQIRTKEMHLQAEYGFAAHWRYKEGTSRHSFVLQMVEWARWVLTWQCEAMNKERTASLGNGDAVRPPCPFPLHSEECPYSYTRQCNHDGPLFVILLEHDKMSVQEFPANSTVMDLMDRVGANSSRWSPYSIPMKEDLRPRVNHEPICDPNRKLSMGDVVELTPALPRKSLSGYREEIQRMYDRGGFALSTRGGGSRRC >Sspon.05G0017860-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:71881738:71884898:1 gene:Sspon.05G0017860-2D transcript:Sspon.05G0017860-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPTAVGQCLLVLIIASCTHVVICSSNGNNTNKLSLLEFKKAISLDPHQALMSWNGSTHVCSWEGVLCSVKNPSRITSLNLTNRGLVGQISPSLGNLTFLKVLVLSANSFSGDIPISLGHMNRLQILSLENNMLQGRIPALANCSKLTELWLANNQLTGQIHADLPQSLENLDLTTNNLTGTIPDSVANLTRLQFFSCAINEIEGNIPNEFANLLGLQILRVSINQMSGQFPQAVLNLSNLAELSLAVNNFSGVVPSGIGNSLPDLEAIFLAGNFFHGHIPSSLTNSSKLSIIDIPRNNFTGLVPSSFGKLSKLSTLNLELNNLQAQNKQDWRFMDSLANCTELNAFSVGYNYLTGKVPNSVGNLSSQLQGLYLGGNQLSGDFPSGIANLRNLVTVSLFENKFTSVLPEWLGTLDSLQVVQLSNNFFTGPIPSSFSNLSQLLSLDLESNQLNGQIPPSLGNIQVLQALLISFNNLHGTIPKEIFAIPTIVRISLSFNSLHGPLHADIGNAKQLTYLEISSNNLSGEIPGTLGNCESLEVIELGHNFFSGSIPPLLGNISNLNFLNLSHNNLTGSIPVALSGLQFLEQLDLSFNHLKGEVPTKGIFKNVTALWIDGDQGLCGGPLGLHLLACPTMQSNSAKHKVSVVRKIAIPAAIVLNVLRMVKLRLQQMSTASESPTDEMFKDGMNIAKLAEINLSDNVLQIVDPQLLQEMSHSEDIPTAIRDSGPQILQSVLSIGLCCTKASPNERISMEEVAVKLHGIQDAYIRGNWRSSPSTS >Sspon.06G0001730-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6C:4320294:4320574:-1 gene:Sspon.06G0001730-2C transcript:Sspon.06G0001730-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding IMALELGVYGIRVNSIAPGLFKSEITAPLFQKKWLSTVASKIVPLKEHGSTDPALTSLVRFLIHEASSYVTGNIFIVDSGATIPGVPIFSSL >Sspon.06G0015830-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:86565217:86569471:1 gene:Sspon.06G0015830-1A transcript:Sspon.06G0015830-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MECNKEDALHAKEIALRKLKTKDFLGAKRIALKAQRLYPKLENLSQLLTICEVHCAAEVKVNGYMDWYGILQVEATADETIIRKGYEKLALLLHPGKNSLPSAQAAFNLISEAHTILCDHVKRSRYDIKRQCGPREISKETIWLSDETCANKSDAVKHIPPSDCVKVFWTICPHCRKRFVYHHRNLVIRCEDCSKNFFAFNLHEEAVPSRFLAAPNNSHVPSEIFSSQKHGVHNPQVRYSKLYATGEIEPVMHARQRVNMWSGIADPPDPHFVATQNLSREDASTVLNDAGSDSLERSGKRKQDGSANNSNSRDSITRGQSAEHLLSIVDSQGDENATHEGNANYSDDKMFNDNIAGIDSQSAEHCPSKVDSQGDRNATHERNINHSDDKMFNGSVASADNLSTEHLHNKVGSQGDGNAMLVCNVNSGDDEICNGNVASSNNESAEYLPREMDSQGDGNTIYDGTANCGDIKMFNDSLASAVNQSIEYLSSKVDIQGDENAAYEGNANCGRGKMSNDIVVGSNNQSSEHHNREVDSQRDGNVTQKCNANSDTVSDQGNINSEATDTVGEKSCYSRCLSMPVPNIFDFEKFRDVTWFEVGQIWAVYDNLDGMPRFYARILQLDESDFKVHLTWLEHNAANKKEEKWTDEELPVACGKFCLRKTRDISPDKSMFSHIVPWTEGKERNSYVIYPIKGEVWALYKGWSMEWSLDADNHRSYEYEVVEVLSNISADGGATVIPLVRIKGFVSLFATARDKLSFTIPSSELLKFSHRIPFYRTIGNEKVGVPGGFLELDTAALPTDLNAAFPSVTLESYMSLGSMSADLRTDSTSGRMVPGTEHIALEKNHPEAHLPMGNHNDISFEQDTSLQKDSHGATEFGDGSSQHNSISPIMFTCPESAFHDFEELRSCAKFECGQIWALYSDVDKFPKFYGWVSEVEMDPFRVYLTWLEACPKEKQEEQWLEQGAATSCGTFQVHNSNLRTMYDTTDVFSHVVNVRKTSKKWQFEIRPKLGEVWAIYLNWSPDCSPSSSDHAEYAIGEIKRCTKSSTMFEFLTKVDGYVAVFKHDDQKRALKIPVTENLRFSHQIPSFRLTEENGGELRGFYELDPAAVPEPRREQGSQAHSPRGQPIRSWYLIGSSNDPLVTGEQIALPPLTTIDAFDLTGTTNSRK >Sspon.05G0002240-1P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:5D:6192040:6192429:1 gene:Sspon.05G0002240-1P transcript:Sspon.05G0002240-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MYPQYRCSPPVTASTKAVLTLNSFEKGKDGGGPSECDNAYHSDEEKVVALSTGWFSNMARCGHRIKISANDNSVYAKVVDECDSVHGCDDEHNFEPPCDNNIVDASPAVWDALGLDQNLGMVDITWSQE >Sspon.03G0000890-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:10509870:10512796:1 gene:Sspon.03G0000890-2B transcript:Sspon.03G0000890-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDGGADDASSPPPPGGFSYLAVFHNYPLVAALLGFAIAQSIKFFVTWYKENRWDPKQLIGSGGMPSSHSATVTALAVAIGFQDGFNCSLFATATIFASVVMYDASGIRLHAGKQAEVLNQIVCELPSEHPLSETRPLRELLGHTPTQVIAGALLGCTIATAGQLFV >Sspon.04G0010500-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:31862276:31865434:-1 gene:Sspon.04G0010500-1A transcript:Sspon.04G0010500-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDTELSQNTLVFGLRMWVLVGIGVGAAFVLLLVLISVLCLLAFRRRRRQRPPNPAQQLPTTAPPKSPANVKALKDIQEVPSHAATAAAAPAKTPLAQVLQLPTPPVSEQIVVGKEHHVTHRSDGPSPRGNGESRCGRGGAEDHAPPAVPEVSHLGWGHSYALKELETATGMFADGNVIGEGGYGIVYRGVLENGTQVAVKNLLNNRGQAEKEFKVEVEAIGRVQHKNLVRLLGYCAEGNQRMLVYEYVDNGNLEQWLHGDVGPVSPLTWDDRMKIILGIAKGLMYLHEGLEPKVVHRDVKSSNILLDKHWNAKLSDFGLAKLLGSERSYVTTRVMGTFGYVAPEYAGTGMLNETSDIYSFGILIMEIISGRVPVDYNRPPGEVNLVDWLKTMVSTRNSDGVVDPKIPKKPSSRALKKALLVALRCVDPDALKRPRIGHVIHMLEVDDFPYRDVEISMYDKPVPFKSVIKPSHT >Sspon.02G0022110-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:74782695:74785097:1 gene:Sspon.02G0022110-3C transcript:Sspon.02G0022110-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amino acid transporter ANT1 [Source:Projected from Arabidopsis thaliana (AT3G11900) UniProtKB/Swiss-Prot;Acc:Q9SF09] MLLLVDCRDKLEEEETEECSHGHYTYGDLGDRCFGTIGRCLTEILVLVSQAGGSVAYLIFIGQNLHSTFSQLMSPAGFIFAILLPLQIALSFIRSLSSLSPFSIFADVCNVLAMAIVIKEDLQLFDHPFSNRSAFNGLWAVPFTFGVAVFCFEGFSMTLALEASMAERRKFRWVLSQAVAAIITVYVCFGVCGYLAYGEATKDIITLNLPNNWSSAAVKVGLCIALAFTFPVMMHPIHEIVETRFRSNGCFQKLCHNVGGAEWIGLHSSRILVVAALTVVASFIPAFGSFISFVGSTMCALLSFVLPALFHLSIVGSSIPLWRRVLDYAILLFGLAFAGYGLVTALSPH >Sspon.07G0017910-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:64806061:64807996:1 gene:Sspon.07G0017910-1A transcript:Sspon.07G0017910-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTKLRLWQTLAKIRPLTSVGRAHNCLKLERNLQVKVRICPIQQYIHPALRKENWLNKDHDSASSSCYTSFKTINEPKLGLWQTLASKAKEFLTRMAWRLFEN >Sspon.04G0030700-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:2181716:2187543:1 gene:Sspon.04G0030700-1C transcript:Sspon.04G0030700-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAIHQFAECITCHAWSPDQSMIAFCPNNHEVHIYKFFTDKWEKLHVLSKHDQIVSGIDWSKSSNKIVTVSHDRNSYVWTQEGSDWVPTLVILKLNRAALCVQWSPKENKFAVGSGAKSVCVCYYEQENNWWISKVIRKRHESSVTSLAWHPNNIYLATTSTDGKCRVFSTFIKGVDKRGSQSSTSTDSKFGEQIAQLDLSSTWVFGVRWSPSGKTLAYAGHNSMVYFIDEVESSPAAQNLALRDLPLRDVLFVSERTLIGVGFDCNPMIFAADDTGLWSFIRFLDERKAAPSASKASQLSEALGKLYGQSKQGTSSDTVEPSKPRGGAHENCITCIVPLTKGSDGIIKRFSTSGLDGKIVVWDVENHVSIAK >Sspon.01G0028500-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1B:112371658:112372580:1 gene:Sspon.01G0028500-2B transcript:Sspon.01G0028500-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding WDSSMGRPPSRPRGLRIFSSSPCRASLLHAVPALLARQSAVAMSASSSPSATSTVLSSAYGP >Sspon.05G0034630-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:61088248:61093921:1 gene:Sspon.05G0034630-1P transcript:Sspon.05G0034630-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding PMPPPPPPSPPKNGNTRGRPPLVSTKLHDEGGGSAVGVGDMEAAALRRGSPRASRHELSGSSRHELSGSGRHELSGSGCQELLGSGRYELSGSGRHELSGSGCRLEGKALSRSLVGYPSRDKPIAEQRGLPRRHSRVVARLGLAGLGTVAAVDDRPYACANCSMTFGRETTLCGHMRSHKRDHLSSDSEEDARTPKRRKKRKSKLRTVTPLETTWGNHASRNGTPRDAPTKVKVSRSDISLIVIDDDEDDANPIIAVTGSHGTPGPAPDAAAALSMAPASTVAVPTPTPAPHAVVASVNTEGAHVATSSVDVTIPPAGAPRSAILALPASMAPVHQPHQGTMAMAGNPVTSHFSAASTSTGWSLLGTTSNMLPTTPLHNEEHNLPEQIAAVALGNHGHRNISPALFSFSRRQEIAVVPGNAIASSSSTPRPGIATAIDAPANTLGRRLPFFLAGRSDAQELLVSWPVHHPTQGMAMEGNPLTGHFPAAMSATGWSFPTTTNSVFPSIPLQNQVFNNNVAAIDALANTMRHLPFFLTGRSAIHAPPVSMPVQQPTQGMTAMSGNPVTSHFPAVMAGDWFSFPATISSVLPAIPLQTQENPPQGRVVPATELADPSQNQAENPPEQTVPSNNGGHTIRLFGTNIAAGTN >Sspon.06G0009120-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:48975519:48976788:-1 gene:Sspon.06G0009120-1A transcript:Sspon.06G0009120-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEATAYEEQRRRQVEANKRKLEELQLHHLSAAVREAAAAAKPSPVKKRKARLPLDAADAVMEPLRRSGRVANLPDKPVYREEVPALARKIRSGRTYGRKDLANRVYATDEARSYTISKAEDLVQELDSRFPIFIKPMTQSHVTGGFWLGLPNDFCRKHLPKRDETITLVDEDDYESDTLYLAMKKGLSAGWRGFAIQHKLVDGDCLVFQLIERTRFKVYIIRASSYYESED >Sspon.03G0010710-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3B:36014557:36016890:-1 gene:Sspon.03G0010710-2B transcript:Sspon.03G0010710-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSASRARASATAASSSRGCPWLLLLPHEVYVVSRESTENDKLPRDKYPKPLIFHDGRLAFLPTPAAMLAFFLFLPLGVILSVIRINIGIVLPYKINFALGAVFGVRFRVSGRRAPPPADEDGKQQRRGVLYVCTHRTLVDPIMLSTALQKPVPALTYSLSRLSELIAPIRTVRLTRDRARDAETMSRLLKQGDLAVCPEGTTCREPYLLRFSPLFAELADDMEPVALDAQVTTLYGTTASGHKWLDPVAFFANPAPSYRVEFLGAMPHEWTRAGGRTGVEVANWVQRRLGEALEFECTGLTRRDKYMMLAGNDGVVA >Sspon.03G0015190-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:48555296:48557428:-1 gene:Sspon.03G0015190-1A transcript:Sspon.03G0015190-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVPGVAALVAGALAWTGQRAAPGTGGSTRPRCNGTGPGAAAVQQRKRPVARRSRSREPSAQGPRSRPDPVSLCSFRFSRFLRDCGFRAVLTAFLFSRWFACAPGAGSGKGKLQRSSASAAFRRDAAELRWGELLVVVASVRIRLGVGDRECRGRSGCEARRGCASWRTALLAPCCWRVPVARQACERAVPKVISVDPKVIFATAAKWLHLRVKQEHTFSIDDDTTYVTKIEIENIQT >Sspon.01G0027800-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:95272346:95281794:-1 gene:Sspon.01G0027800-2C transcript:Sspon.01G0027800-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:cyclin H;1 [Source:Projected from Arabidopsis thaliana (AT5G27620) TAIR;Acc:AT5G27620] MERWAAANQRAAQTLAQYGTTRLSVDLLDGSVSYPEPAPDHVEGSSGVKPLSYEEEQLTRVFYEQKIQEVCAAFKFPHKIQATAIIYFKRFYLQWSVMEHHPKHIMLTCVYASCKVEENHVSAEELGKGIQQDHQIILNNEMILLKTLDFDLIVYAPYRSIEGFIDDLEDFCRAGNGPFQRLKELRQAAISRVDKMMLTDAPLLYTPGQLALAALHKSNDLLRVINFERYLETIFSRQHSDCPVEQFVQSINAINYLVDQLNIPTVKDMRHVDRKLKHCWDPSSHDEHKKKEKKSKHKSKRTSADAQL >Sspon.04G0003490-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:10077876:10080134:-1 gene:Sspon.04G0003490-1P transcript:Sspon.04G0003490-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEIRSDDIEKQDEVMLPGFRFHPTDEELVRFYLKRKIQKKSLPIELIRQLDIYKYDPWDLPKLASTGEKEWYFYCPRDRKYRNSTRPNRVTGAGFWKATGTDRPIYSSDGSKCIGLKKSLVFYKGRAAKGVKTDWMMHEFRLPSLTDPSLPQKKPLEKTIPPNDSWAICRIFKKTNSTAQRALSHSWVSPPLSSTNENYIRPSSQATQRSHHSSENTSSTMTDIISSIQFTGSSYMPSIVSSCRNPASIIDSSSRLAASLVLPSAGAEHHTMSVLSAIPLDLPAGMDIASMVLNASPTTLQNLDRIPPNIEFGQPHHPSNSNSMANRCTVDLPDIGNSVTAAPRSINFPFNLQGALPDDWRMTLPWDSLPCTTEVSTNYQSTKCYT >Sspon.03G0043160-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3C:76292225:76293673:1 gene:Sspon.03G0043160-1C transcript:Sspon.03G0043160-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADWGEQLLCVSVCVWCVGLTEATRPGIGPDLDLDHTNRQAKPTRPWVVRDLGLVRIVAHIWLRITFVDSASTRMSRRTPVPAPVPMLYFVYRPIPRGVCAPSDPTRAFVLSVQLLAPSPAVVAVGSHSMADPEFEWSLGRPQRGISRTLAVNHQLRKRMNTDDDEDDVLVLNVDFVK >Sspon.07G0009950-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:29071351:29073794:-1 gene:Sspon.07G0009950-1A transcript:Sspon.07G0009950-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADLLAALALALTLLTLSAAAAAAVTAAHDTLPRKFSLVVEEYETQILRSSPDGTFSCGFYEIYDGAFTFSIWYTNSSDKAATVWSANRGSPVHSWGAAVTLRKDGSMVLTDYDGTVVWQTEGRFPDAQYAQLLDTGNLVIKNSSGDIVWQSFDSPTDTFLPTQRITETSKLVSTTQLQVPGHYTFRFSDQSMLSLIYDDTNVTSVYWPDPDFQYYENSRNLDNSTRIASLGDSGEIFSSDFANSHVLAASDRGTGIQRRLKLDHDGNLRLYSLNNSDRTWSVSWIAESQPCKTHGFCGPYGICHYSPVPGVEVITSMAAEEGYKMMTNHFRMYSYRELVKATEKFAHELGWGGTGDAYKGILDDDRVVVVKKLGNIRHSREEFHDELHVIARINHMNLADVYSYGVVLLELVSGTRVFDLVKGEDERVHVMLNKFIKMLSYKLDKDEPFWIAEFVDFRLGGEFDYSQVKGLIKLAISCLEEERKKRPTMESVVESLLSVNLAGIQ >Sspon.05G0005400-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:11516800:11517808:-1 gene:Sspon.05G0005400-2P transcript:Sspon.05G0005400-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAANGKEDAKRTGLEGTGLRLQGGSHGNLRSAGSDQQLRQMLDSLKSSKSPAVINYGASWCRVCSQILPSFCKFSDKFKNLTFIYADIDECPETTQNIRYTPTFHFYRDGERVDEMLGTGEERLHDRL >Sspon.01G0044460-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1C:78749067:78750488:1 gene:Sspon.01G0044460-2C transcript:Sspon.01G0044460-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GGIESGTTIFGIGLGAPIEKKL >Sspon.04G0023610-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:17362320:17364315:1 gene:Sspon.04G0023610-2C transcript:Sspon.04G0023610-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G74900) TAIR;Acc:AT1G74900] MPPPPPPPPPPTSAAPVPPASPNKPIPSPRQIAALVLNHPSSTLTAASVRSLSASLLAAGPASALPIPAPVANAVIKLLWHHAPRALLFFHALLRLPERSRDLSPCTVDLALDLAARLRHPRQLTSSILALFPRHGLAFTPRTFPILFERFAVSHRRPDLAVRLFLSLHRSHGVAQDLPLFNSLLDALVKSRHAGKAASLVRALERRFPPDAVTYNTLADGWCRVKDTSRALDILRQMVESGIAPTKATYNIILKGFFRSGQLQHAWDFFLQMKKRGSNDENCKPDVVSYTTVLHELGVAGQLDKARKVTCKKGNVEDAVAVFDDMIKKGYVPNVVTYTVLIRGLCHAGKIDQAMKLLDRMKREGCEPDVQTHNVLIRYSFEEGEIEKALDLFETMSKGEECLPNQDTYNIIISAMFVRKRAEDMAVAARMVVEMLHYGQLHYGLVYFYVQPPDQTLPKI >Sspon.07G0012940-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:46221282:46223561:-1 gene:Sspon.07G0012940-4D transcript:Sspon.07G0012940-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQIIDGKAIAADIRREVAADVPGLAVVIVGSRKDSQTYVSMKRKACAEVGICSIDVDLPEDISEPALVAEVHRLNADPAVHGILVQLPLPKHINEEKILSEICIEKDVDGFHPLNIGKLAMKGREPLFVPCTPKGCMELLSRSGVTVKGKRAVVVGRSNIVGLPVSLLLLKADATVSIVHSRTPDPESIVREADIVIAAAGQAMMIKGDWIKPGAAVIDVGQTLLMILPGTVSKVAGYLTPVPGGVGPMTVAMLLKNTVDGAKRGIVE >Sspon.03G0039860-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:22438355:22443547:-1 gene:Sspon.03G0039860-1C transcript:Sspon.03G0039860-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein HESO1 [Source:Projected from Arabidopsis thaliana (AT2G39740) UniProtKB/Swiss-Prot;Acc:Q5XET5] MIGYVHNYDLLKACIEDILSTIKPVEDGQKKRLCAIRELADSIYSVGPLRGAAVKPFGSFISKLHAKSGDLDVSVDLCNGSRLPISKKKKQNALRELMRALQIRGIARYMEFIPTARVPILQYMSNHFGISCDVSINHYPGQIKSRILYWICTMDERFGDMVLLVKEWAKAQNINDPKNGTLNSYSLCLLVIFHFQVITYLLPSLHYIYQTCEPAILPPLKEIFDGKAAEELVFYDENRVDDICMANIERYLNILSTISTTQVEDPFERADNASRAVGAEGLERIGQAFNYVSYRFISGAFGDRDELLSLLCTPPVESILAAVRTNHYTKTPPSHQLYSPVAASLYDDQHHQRTGGSAGSISSSQGYAVGRQMAQPDQYKQPQAYNAERMTVGQYQSINRPQVYTTGLETAVPLHHNHTSLQRTGRRAVGHLNQLRRWEYSPYQHSGTT >Sspon.06G0012210-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:53199616:53201390:-1 gene:Sspon.06G0012210-2B transcript:Sspon.06G0012210-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASKPLPLRLAAPLALALLLALALVANFLWASSSRRVSPLSASSRTVMRKRAKAVGHLNATYADLPAPHWDWEEMPAAPVPRLDGASVQIGDLLYVFAGYGNIDHVHSHVDVYNFTSNTWAQRFDMPKEMAHSHLGMASDGRYIYAVSGQYGPQCRASINRNFAFDTETREWHELAPLPLPRYAPATQLWRGRLHVMGGGKEDRHEPGLEHWSLAVKDGKALEKSGKLKYPYHVVDLI >Sspon.08G0021200-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:33543712:33546681:-1 gene:Sspon.08G0021200-3D transcript:Sspon.08G0021200-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Diacylglycerol O-acyltransferase 2 [Source:Projected from Arabidopsis thaliana (AT3G51520) UniProtKB/Swiss-Prot;Acc:Q9ASU1] MGADGGLNRAAEKPRDGEGGEARVFRCTDYSLPRTTLALTLWLGGIHFNVLLVLASLFLLSRRAAAIVVAFQLFFMFAPVNDRDKWGRSIARFICRHAMGYFPISLHVEDYKSFDPSRAYVFGYEPHSVLPIGLSALADLVGFMPLTKIKILASSAVFYTPFLRQIWTWLGLVPATRKNFYHYLGAGYSCIIVPGGVREMLHMNNDSEVAFLKSRKGFVKIAMQSGCPLVPVFCFGQSHAYKWWRPGGKLFIKIARAVKFTPIIFWAHHSPSQDPCMWSIEVNKNPQPTIDEYKGKAGYPALHLRVL >Sspon.03G0005310-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:15282278:15299598:1 gene:Sspon.03G0005310-1A transcript:Sspon.03G0005310-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGSSGSQGHHVSGEKKQVHHVSRPILNNGPKHRPLTPMRRCRGVACVAIILSTAFLLIVYLAPITTFVVRLFSVHYSRKATSFLFGMWLSLWPFLFEKINKTKVIFSGENVPPKRRVLLFANHRTEVDWMYLWDLALRKGHLGYIKYILKSSLMKLPIFSWAFHIFEFIPVERKWEIDEAIIQNKLSKFKNPRDPIWLAVFPEGTDYTEKKCIKSQEYASEHGLPKLEHVLLPKTKGFICCLQELRSSLDEVYDVTIAYKHRLPDFLDNVYGVDPSEVHIHIRTVQLHQIPTSEDKITEWMIERFWQKDQLLSEFFVKGHFPDEGTEGDLSTPKCLANFLTVVGLTVICLYLTLFSSVWFKVYVVTSCAYLSFVTNYSILPPQLIGSLEGDVKAKKAV >Sspon.05G0005680-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:12532516:12533270:1 gene:Sspon.05G0005680-2B transcript:Sspon.05G0005680-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVRDDELDEYGVVNNAIYASYLHSGRDVVLEKLGISVDYWTSTGNAMALSELNLKYFAPLRSGDRFVVKVKPVQIKGVRMIVEHKIEALPDRKLVLEGRATVVCLNKDFRPTRVFPELSARAKEVFSCKVA >Sspon.01G0007960-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:58935106:58936379:-1 gene:Sspon.01G0007960-4D transcript:Sspon.01G0007960-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRSLLFVNFVCLAVLFVFAGNIISFMTYLAPLPTFYRIYKSKSTQGFQSVPYVVALFSAMLWIYYALLKSNEFLLITINSAGCVIETLYIVMYLLYAPKKAKLFTAKILLLLNVGVFGLILLLTLLLSAGQHRVVVLGWVCVAFSVSVFVAPLSIIRQVVRTRSVEFMPFSLSLSLTVSAVVWFLYGLLIKDKYVALPNVLGFSFGVVQMGLYALYRNATPRMPAKEVADDDAKEATMSIDDSTLKVPGEHVVTIAKLTAAPAGALQPPEEAKDKAKPAENGTAASPGRNADQ >Sspon.03G0006950-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:18952025:18952645:1 gene:Sspon.03G0006950-1A transcript:Sspon.03G0006950-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GMATEIQSYYDDGTVVVSFDADHIDTTVTNFGSVVEWWLGETYRLHGRGGHIAGLDVEWRPARVPGPVPPVAVLQICVDHRCLLFQILHADYVPNALSRFLADRRFTFVGVGIGDDVAKLRAGYGLWVASAVDLRALAADTLGRPDLRRAGLPALVWVVMGVQMEKPHHVRVSAWDAPTLSDDQLKYACADAFASFEVGRRLYDGDY >Sspon.05G0000330-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:1844405:1844659:1 gene:Sspon.05G0000330-1A transcript:Sspon.05G0000330-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGSAAESPASSCVSSDAEDEVMAQPKPMVVVGCPQCLMYVMLSGEEQQPKCPRCKSPVLLHFLRAGAGADNDNGKQPAGRQ >Sspon.05G0017010-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:66156321:66161642:1 gene:Sspon.05G0017010-3C transcript:Sspon.05G0017010-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding WQLLLRFPCLVLDLRQGGFAVQSGSAVRFGSGVGMEVDRRKNLQIGSKGKEVQSKNPRREEPLASSEVDMDEPEAAESGDMIVDGNNGSSKESPLLPMDSKNSKGCAAKKRRSVSADFVGELDLDLGNGEESGAQQERKLSRQDRVELCRSFQHAVSSHDWESAEGLVGMADAQGLNDVLCVAVDAIWFLSDKDELLAIVGLIRRIVSEGAKDFTRAALRTSFLASCVSACRGRSTSLADAVSFMGQKLHERLQESQGDEVLKAEASAKVHRFTEWALKCIGLHSRVRENRGKGNHDTVIEVQLQLSAFKIFLDLADNELTGKDFTEAFDAACFPLTLFSTTFDQGWASGISAAAVQGLLELLVEGGADNIAQRNSLDIDVDLALGFAAHYGKIETMGCLVEEGNAVGFLGPLMRAAERGCLQVVKWFVNHGCREMELCLALTAATSSSQIAVAAYLLPLVPQHVLAPLSIEIIKAAGERSTGSLHGVNFLLCSDFLNDPAATYAVADSIARCTDEAVDAKLRSFMNEHWSEAAFSAGFESAQQHFVNFMRIMERGESPIRLGDLPLELVIAMAYLPLYKECMNSGGRLLPQRLRGQLVEAASRLEDRQVERDSQSRELLAILEHHIPRFMTQT >Sspon.02G0023050-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:77876863:77877747:1 gene:Sspon.02G0023050-1A transcript:Sspon.02G0023050-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVYVVTAWSRWPSVRHRVLRGNVAAGNAKTTPPSVAAAAPAAETVGGHHPPPAPATPPWPEQYHAVVITNQTARGGRLQQIDIYYDWPRGRALNIIRDQLDSGGEPLWDVQWANGTSFVFDSASCTTFQFAVGLLPPDWKKARGGAAYLGRDRVDGFDCHVWSNFDAATGRPVSWTVVNGTGMQRHVLSFEVGGVPQDSTKWQAPPYCFNGSRADGGAASP >Sspon.01G0010250-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:29066902:29071332:1 gene:Sspon.01G0010250-2C transcript:Sspon.01G0010250-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFFFRMASRLRPSTPEEVVRSIKDSFLALHTRTHAKALEEVEKNISSLRLLIFGDGEVEPNQEQVLQITLEICKEDVISLIVQNLPSLGWGVRKDLVLCWCILLRQKVDETYCCVQYIENHLELLDFLVGCYKNLDIALNCGNMLRECIKYPTLAKYILESGSFELFFEYVELPNFDIASDALNTFKDLLTKHEAVVAEFLSSHYEQFFELYSRLLSSTNYVTRRQAIKFLSEFLLEAPNSQIMKRYIVEVRFLNIMINLLKDSSKNIRICAFHVFKVFVANPNKPRCIIVALLDNRREVLKLLHNLPTSKGDDELDEEKDIIIQEIQKLA >Sspon.08G0026660-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8C:30441733:30442203:1 gene:Sspon.08G0026660-1C transcript:Sspon.08G0026660-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGSGASVDGSVDLPTISRGGAGEAIATSPRSINYNKQRSFQEFNAKDLHGMEWKLRHIYRGAPMEFVYID >Sspon.03G0001400-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:15384064:15387504:1 gene:Sspon.03G0001400-1T transcript:Sspon.03G0001400-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGNIGKIPVIGDLTGSNKNAHLKGNVVLMRKTVLGFDVTSMASAVIDGVGEFLGRGVTCQLISSTVVDPNNGNRGKVGAEASLEQWLLQPPPLLSGENQFRVTFDWEVEKHGVPGAIIVKNNHASEFFLKTITLDDVPGHGTIVFVANSWIYPQYKYRYSRVFFSNDTYLPSQMPAALKPYRDDELRNLRGDDQQGPYQEHDRVYRYDVYNDLGNPDGGNPRPVLGGSKDHPYPRRGRTGRKPTQTDPSSESRLTLTDGDVYVPRDERFGHIKNSDFYGYTIKAFADGLVPILQGYFLGLEFNSFKDILQLYEGGIKLPDIPALEEFRKQFPLQMVKDLMPAGGDYVLKLPMPKIIKEDKKAWMSDEEFARETLAGVNPLIIRRLTEFPPKSTLDPSKYGDQTSTITEAHIAGSLEGLTVQQALDSNRLYILDHHDHYMPFLIEVNSLDDNFIYATRTLLFLRSTGTLAPVAIEMSLPELRDGITAAKSTVYTPVPVTAGAEAWVWRLAKAYVNVNDYCWHQGISHWLNTHAVMEPFVIATNRQLSVTHPVHRLLLPHYRDTMNINALARQKLINAGGIFEMTVFPRKYAIEISSKVYGSWNFAEQALPDDLIKRGMAVPDPSSPYKVRLLIEDYPYASDGLAVWHAIEQWVTEYLAIYYPNDAVLQSDVELQAWWKEAREVGHADLKDEPWWPKMQTVAELIKACTTIIWIASALHAAVNFGQYPYCGYHPNRPSVSRRPMPVPGTDAYKELEKNPEKFFVRSITTQFQAVVGISLLEILSSHSSDEVYLGQRDTKEWTSDAKAQEAFKRFGARLIEIEKRVEAMNKDPRYKNRYSEAQFPYTLLFPNTSDNKGDNAG >Sspon.08G0018080-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:4930417:4930972:1 gene:Sspon.08G0018080-1B transcript:Sspon.08G0018080-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQLSKLQKFWINDCAELDLMKPEEALSGLCCLRSLQLVGLPKLVGFPDSFKSVASSLGYIFIGDCKGLEKLPSFIQDFTSLKKIVIHDCPALSGRCDASSGEDFLLIRHVPEIDIDGIILESNKKVLL >Sspon.06G0025430-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6D:65993806:65994526:-1 gene:Sspon.06G0025430-2D transcript:Sspon.06G0025430-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LFSAAIAAAAVVVAAAAIAAAAAVVAARRSRLVSSRLRSARRIRCAARPSPKLRRASIHPSTRRMTGSMDLPTKGGFDFDLCRRNNMLEKNGLKVPVFLKTGTTIVGLVFQDGVVLGADTRATEGPIVADKNCEKIHFMAPNIYCCGAGTAADTEAVTDMVSSQLQLHRYATGRIKVMSVFESKFKEGLTGEEGIELVSDAIRAGIFNDLGSGSNVDVCVITK >Sspon.03G0034820-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:73857964:73864856:-1 gene:Sspon.03G0034820-2C transcript:Sspon.03G0034820-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RKATMMAKGGAPEVIVVGAGPSGLAASACLSMRGVPSLVLERDDCVGSLWRKRTYDRLSLHLPKYASALPHAPHPADAPNYLPRDDFVRYLDGYAERFGVRTRLRRDVRAARFDAARRRWDVEAVDLQTGEAERYAPRFLVVATGSYDEKFVPEVPGLEGFPGKVMHASEYRSAEGMMGKTVLVVGCGNSGMEIALDLAQAGAITSIVVHILTKWILNLGVTLGFYLPVWMIDKVVLLLCYLVFGDTSKHGIPRPAIGPFARTQQTSVLPVIDVGTYNKIKSGEIQVISAGLKSVHGNVVEFADGRQYPFDAIVFATGYRSAIKRWLQDGGDLISDNGILKQRSPKAENGLYYAGLSGRGIFGSAMDAEFIAGDISKQLFPQAQGKPDH >Sspon.02G0038910-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:39904989:39908211:1 gene:Sspon.02G0038910-3D transcript:Sspon.02G0038910-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDSSRQEPWVKLQQADGWLRRPALGLNSLMQIPDKIQNSLKVHFGRFLNKDGHGRRMSAEISSEKAKQSCSAAAAAASAEIRLDRQLQAWWDNPSWTDEPPEIKVTVPEGSLCNLNLRFKTGLPPDAVYNIIIDPENKRVFKNIKEVISRKVLLDEGSRQIVEVEQAAIWKFLWWSGILSVHVFVDQNRKNHTVKFRQGRTGFMRKFEGCWKIEPLFVDKELCLPLDPHTLEEYESCTGGRGRVGSAITLDQLIEPALLPPPPISWYLRGITTRTTEMLVNDLIAETARLRGISNNAVTKQDTEEMCGASPSDPTRECGDIKERWRQRRKGGRHGSSLRLTSQLL >Sspon.08G0030140-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8D:56235800:56241726:-1 gene:Sspon.08G0030140-1D transcript:Sspon.08G0030140-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSFPDRTHVWLWTREYEAYLYAREDGEGIALSQNRGTLQMAWAVHRLVRDGTDYVLFHSASYGRYLAQIEDEDNESYYLVQCTYDSPEQVNVLFQARRAEDGSDDIIISNRRFGNWCHDYEGTPMHWVVEAIPPRQLPPELPVPPHPIPTQVVPVPPGCQRVQIQPPQVELRRTILYVRADDQGNFNPLQWRMLEFEGQSVFNLRRDLAAALGEANNVLGITLCAWAGSNGRLTPLVIDLPSDEKTMNIVVLTTGSPEVEEYENKGFCIGVRLFRKEKGETKWWGSHLDDDTGSPPPLLSSADLVTMTSSSHWLSHGGNLLPMLVVEEIEGKKQITRWHPATDLRLRLLQAPPQQSNSPARLVAQTNQETHSLAAVRLRPSRAPAMEFFPDGMHLRLRNRKHGTYLHADEDGVRVSLTLHRASLNTAWQVHRVLRNGGVYVLLHSAAYGRYLALSPDQVSLVYVGHHAIQGVYESPEQDDVLWEAIWVDDEGGDVFIVHVSNRLLRATPWNPLLNSRVFVDIDNAGTMMHWVVEAIPLRPEPPPLPA >Sspon.01G0039140-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:20672215:20677936:-1 gene:Sspon.01G0039140-1P transcript:Sspon.01G0039140-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGAADYQEMAASVLPSLKAITLTHVRYRRGDPLGLFLAWVSLVPVFISLGGFVSHFLFRRELQGLCFAAGLLVSQVLNELIKHSVAQSRPAYCELLEACDSHGWPSSHSQYMFFFATYLSLLSLRRSRARQVIAAVPWPLAFLTMLSRVYLGYHTVAQVFAGAVVGLVFGAIWYWIVNTMLVDYFPMIEESAIGRWLYIKDTSHIPDVLKFEYDNARAARKKASEISTSSWHQRYIDKHTKRKLTLRRHRKWGILIKGHPFDQRNTHVLSVKI >Sspon.04G0034380-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4C:73608913:73609094:1 gene:Sspon.04G0034380-1C transcript:Sspon.04G0034380-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding EAPPPPLHCATVSTASTLFIDRRCLAQIVAAKTMTTPGSSSSSAPVLPNTTGLCYYSSF >Sspon.03G0014620-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:66324066:66325836:-1 gene:Sspon.03G0014620-3C transcript:Sspon.03G0014620-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSADRSKIDGIVVAEKGARSCVECRATTTPMWRSGPTGPRSLCNACGIRYRKKRRQELGLDHKQQQQQNNGEAKTEVKDSSSNSSSSGSSNLQVVQKRRLLMGVEEAAFLLMTLSSSPASTLLHG >Sspon.08G0018990-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:9866621:9869622:1 gene:Sspon.08G0018990-1B transcript:Sspon.08G0018990-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding TLLNFEQHSHLCAAVSQERVVITNNHGERLVGLLHHTGSNKIVVLCHGFIASKNDSLILDLAAALTKKGISVFRFDFSGNGESEGQFEYGNYRKEADDLHSVVLYLYQKSYDIAAVVGHSKGGDVVILYASVYNDVSTIVNLPGRFDLKKGIEERIGEGSIDRINKEGYLDVKDKSENVNYRVTKESLMERLNTDMRAASVSISKECRFLTVHGSADKTIPVEDAHEFAKHIPNHKLHVIEGANHNYTAHRKEVADAVVDFLTSNGFATIASQAIAKNL >Sspon.03G0036240-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:88857591:88862011:-1 gene:Sspon.03G0036240-1B transcript:Sspon.03G0036240-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSAALHLGTAVEGSFQGAGAMVPSARLLTPNGGAAAGPARSRREETTTANGGGPGSGPGPGPDLAALRPTNRPRGKAQAGKPRKRAPIWNLQAAVFVSVVLNVGLLLQHYVNSTAPSHHHHQEHLQACPMHLDAGVDSRNRRGRQEEPQLSMPKAGAPSTGKPAVTPDSVINLDHGDPTMFEEFWRGTGSAAEIIIPGWQTMSYFSDVGNVCWFLEPGLDHEVRRLHRLVGNAAVDGYHVLVGTGSTQLFMAALYALSPPATAGAAPMSVVSTAPYYSSYPAVTDFLQSGLFRWAGDASTFKGNTYIELVCSPNNPDGAIREAVLSSESGIAVHDLAYYWPQYTAITKRADHDIMLFTVSKSTGHAGTRIGWALVKDRDVAKRMTKFIELNTIGVSKDSQLRAAKVLRAVSDAYELPETKEAHRLFDYGRRKMVERWSMLREAAAASGVFSLPEETSGFCNFTKEMAVTNPAFAWLRCDREDVEDCASFLRGHKILTRSGSQFGADPRYVR >Sspon.07G0003010-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:7123270:7125585:1 gene:Sspon.07G0003010-4D transcript:Sspon.07G0003010-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVRLPLVLAAVAAALLLLLCHCGGGAEARVLLTLDDFGAVGDGIANDTQALLDAWTAACTSSEEAVLAVPVGKAYRIWPVQLSGPCKKKLKLLISGAIVAPSSPDEWAGRDPMKWLYIYGVDGLSVSGGGTIDGMGQQWWASTCKRKKTQPCYSGPRPKAVHFEECRGVSVQGVTLQNAQQFQLTFTRCSCVKASFLRVIAPADSPNTDGIHLNDTSHVHITDNLISTGDDCVSMVGNCSDVHVKDISCGPGHGISIGSLGKNRTTDMVENVRVDTCLLTNTTNGVRIKSWQVPDSVTILHVLPSLDRSNLVRSTPAFQLVECFQGGMGFARDLRFDNIVMKNVSNPIIIDQYYCDQPTPCANQARPPATPHHSSFSLNFSTQAVEVHKVEFANIRGTSATAQAISIACSDTVPCRELELENVNLTVEGGGRATALCYRASGKSVGTVVPPSCLA >Sspon.02G0029680-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:112226310:112230176:1 gene:Sspon.02G0029680-3C transcript:Sspon.02G0029680-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPAGGAGDAASAPARAVEEARALLPASAPVPDYDDDDEDLEERAYEAAEKVIVSISDGPDPDDGDDAALCSSSGPASATPPFSWRKLWLFTGPGFLMSIAFLDPGNLEGDLQAGAVAGDTLLWLLMWATAMGLLVQLLAARLGVATGRHLAELCRDEYPDWARRALWLMAEVAMVGADIQEVIGSAIAIKILSRGYLPLWAGVVITALDCFIFLSLENYGVRKLEAVFAFLIATMAVSFAWMFTDTKPNGKDLLIGILVPKLSSRTIRQAVGVVGCVIMPHNVFLHSALVQSRKIDQNKEYQVREALRYYSIESTIALAVSFMINLFVTTEAGNIGLENAGQYLQEKFGGGFFPILYIWGIGLLAAGQSSTITGTYAGQFIMGGFLNLRLKKWVRALITRSFAIAPTIIVALFFDTSDSALDVLNEWLNVLQSIQIPFALVPLITLVSKEQVMGVFKIGPNTQAVTWTVATLLITINGYLLMDFFSSEIRGPLSGSLLCVAVLIYASFVLYLILRGTELSEKIVKAIHNSFSLQ >Sspon.08G0018730-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:8176853:8179477:1 gene:Sspon.08G0018730-1P transcript:Sspon.08G0018730-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLGLARAASYCARRTGPSRSSLACMSGACDAITSANRLIGQHLRAGRVDAVREVFDRMPQRDVVSWNSLMSAYARSGVHDSAVTAFLEMRREGFCVDHTSFSTVLSACAGMETLALGRCIHGLATKTRSSLNVFVGSSLVTMYANCGVFSCLERIVDDVDSPSVALWNALISGLVMNHRVEDARRVFDQMPERNVVSWTVMIKGHFIVHEVGWALELFNLMPAKNSVSWCVMIGGLVNHKRFREVIELFNNLMSSRDAVTNAILVKIVNAYAGLKSIGGGRCVHGFAVKSGFIHDHIIEASLVVMYCNSLDIDEAQLEFYKMERKHVGSWNAIISGCIHAGKIDEATKIFYSMDGRDKISWNLMVNGYVKYGKIPDAIELYSKMPEKNLEASTTLMSCFIDNGMLDKAWDVFYSMPQVDVISCTTLLFGYVKGGYIYDALDLFCRMHKRTVVTYNVMIAGLLHQGKVTEAYKLFDDSPTRDLATWSCFFNGLAQNGLNNDALKLYKKMLLSNIRPSMLEQAEELVKSVPFEPDYAIWTSLLSSCRLNGNDRLAEHAASQLISINPTTKMPYLHLISVNASTKRWSVMDNLRSQIRNPAAEEECLGDELMTNKNTRRLPKMLDEVRAEAG >Sspon.07G0009670-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:26146541:26147689:-1 gene:Sspon.07G0009670-2B transcript:Sspon.07G0009670-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTVGSGSLSPPAAASAPAVEDTSNHWAPHGPVLTACVVGINVLMILLIFFFFWRYFSGKRGPSASSGAHDGDDDDDDDASSSASLPVASPWAWASRPRRSSKDHGPQPVDDVASALPVDYYDFGGR >Sspon.03G0017060-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:74774636:74777760:1 gene:Sspon.03G0017060-2C transcript:Sspon.03G0017060-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRRVETCDSWRPPRKNHAGAPPLLRAGPRLPAFASLSVRDGGDAAAAVAKAVEEVVVVRADEVKEQKAAAVAEEQRTKSTDRRLPPAAQLVQHPLALLALVPNSAALFAAGAAAGTIAKTVTAPLDRVKILMQARHPPRGSTNSLLTHSVRVAGESARKGVGFLEAMADIGKKDGLKGYWKGNLPQKIFRRKDGELSVFGRLAAGACAGMTSTLVTYPLDVLRLRLAVQSGHSTLPQVALNMLREEGLASFYGGLGPSLIAIAPYIAVNFCVFDLMKKSVPEKYKNRPETSLATALLSATFATLMCYPLDTIRRQMQMKGTPYNTVFDAIPGIVERDGLTGLYRGFVPNALKNLPNSSIKMTVFDTVKTLIATGQKEMDKLIQENEEETS >Sspon.03G0005440-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:30806800:30807874:-1 gene:Sspon.03G0005440-3C transcript:Sspon.03G0005440-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MIALFVSNSLDFLSAIFNFVLEGNALKAIELTEEMAPNLLENDMDLHFDLLSLHFIELVRSRKCTEALEFGQKKLTSFGKVRKYVEKLEDFMALLAYEEPEKSPMFHLLSPEHRQNVADSLNRAVLAHANLPAYSSLERVVQQATVVRQYLQQEVGKDSYPPFSLKAFLSK >Sspon.06G0023170-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:41524426:41525553:-1 gene:Sspon.06G0023170-1B transcript:Sspon.06G0023170-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTAGTDRLTQLKAFDDTKAGVKGLVDAGVTTVPPIFHHPPDPHDDASNAPAAAAASLTTIPVIDLAAFSATNDHVHDAHHQQLVAQVKVAAETVGFFQVVNHGVPAELLARMLASVRTFNEEPADARRPYYTRDPARRVRYQSNFDLFHSPAANWRDTIFIESAAAPQEMPPAFRDVVPEYARQVRALGAHLLALLSEALGLPHAGYLQRDAGCLDGPALGCHYYPPCPEPRLTLGTTRHSDPSFLTVLLQDDAVGGLQALVGGGWVDVPPLPGALVVNIGDFLQLMSNDRFKSVEHRVVAVPGTAAARVSAACFFRPTGAAASSRAYGPLPDLVKPPEAPRYQSVTAVEFLGYYRQKGLDGRSALDHFRLQL >Sspon.03G0033560-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:55821672:55822054:1 gene:Sspon.03G0033560-2C transcript:Sspon.03G0033560-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAFASHARRLLLSGAGAPARSFHAQPYQVGSLPGAARVGVVKFLNGVGKRVEKHAAKPDDAVGSDIQRLIEAGKLRRKNLHIP >Sspon.05G0005730-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:12450000:12450395:1 gene:Sspon.05G0005730-2B transcript:Sspon.05G0005730-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKASVALFLAVNLVVFAMASACGGDCPTPTPSTPSTPTPTPASFGKCPRDALKLGVCANVLGLIKAKVGVPPTEPCCPLLEGLVDLEAALCLCTAIKGSILGINLNLPVDLSLILNHCGKTVPTGFKCL >Sspon.02G0029920-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:108564597:108569258:-1 gene:Sspon.02G0029920-1A transcript:Sspon.02G0029920-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYMKLGSKPDVFQTEGNSIRFVTTELATDIVITVGDVKFYLHKFPLLSKSSRLQTLVASTSEESNDEVDISNIPGGPAAFEICAKFCYGMVVTLNAYNVVAARCAAEYLEMFETVEKGNLVYKIDVFLSASIFRTWKDSIIVLQSTKPLQRWTENLKVVNHCVDAIATRASMDPSEVDWSYTYNRRKLPSENGGDAHSWNGVRKQQAVPRDWWVEDLCDLEVCLYRKVILAIKAKGRTGSDVVGEALRAYACRRLFASLDHAVSNGLDCTKHRAVLEAVADLLPAEKGSVSCGLLLKLLRASCLLESGEAYRGELIRRIGAQLDRASVSDLLIPADSGNDATYNVHMVSAILEEFLLQHKISGSEAKMQEDDDNMDVAADNVITSSKLAAVAKLVDEYLSEIAKDPCLPLDKFIALAESMPPLSRPVHDALYRAIDVYLKEHPGMSKGEKKRLCALMDCRKLTVDASAHAVQNERLPLRVVVQVLFFEQVRQSAAACSAAAPARSLVLPRDDGASYGGSSRSATTTATEDEQWGGGAVGGAPTTSASGGDGSSLRSVSQLSANKSGRSGGGGDRKKAKGGAVAPAPAPARRVLGKLWSGKASSGENSGSDDTSESPAGSLNLEETKSTPSRITRHSVS >Sspon.03G0047240-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3D:56187202:56187528:-1 gene:Sspon.03G0047240-1D transcript:Sspon.03G0047240-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding TRSVVFHRVVFRYGNAPSVLTGRTHLTLRAPAPPASHHAPLHLAPHTFLKKTSRRVRPCASRLVSCAPAPHAVHGRTRPASRRVHPRLMPRVAHPARASRCAPPRRVN >Sspon.04G0002230-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:6858711:6866272:-1 gene:Sspon.04G0002230-1A transcript:Sspon.04G0002230-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGVLQIKGASGREKKAPKELESVLNRYFGYSEFRGKQLEAIEAVLSGRDCFCLMPTGGGKSMCYHIPALENQVASLKNKGIPAEFLSSTQASHTKQRIHEDLDTGNPSLKLLYVTPELVATSGFTAKLKKLYNRGLLGLVAIDEAHCISTWGHDFRPSYRKLSSLRKQFPDIPLLALTATAVPKVQKDVISSLCLQNPVILRASFNRPNIFYEVRYKDLLDDVYSDISNLLKSSGNVCSIIYCLERAACDDLSMHLSQQGVSSAAYHAGLNSKVRSSVLDDWLSSRTQVVVATVAFGYCFSSVLFTPFQSFGIDRQDVRIVCHFNLPKSMESFYQESGRAGRDQRPSRSVLYYGLDDRRRMEFILRNPKTNKSQPSSSSNELSEKALADFSQIVDYCESSSCRRKKIIESFGEKGGGLADKKSISQTLRDACRKRLLDALGQAKLRLGNIPCNDEASATHLETECFKKYEKVGKTFYNSQIAATVRWLSSATSSQMHDRLHALIDQTKDDGASGSPDIVPESPPAYPKIVGTRPGETSSYEADDKPQHICELEKRGHSDESAKCAVASTGNMELPAIPTGNMVLPAIPTFREFLSQKGRDRAKSYSNSQPSGIRRKSSGQ >Sspon.07G0010330-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:27726303:27730305:-1 gene:Sspon.07G0010330-2C transcript:Sspon.07G0010330-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MANSWRIAAAGWVITVFGWYLSPIISLLLNKILSNIGFDASRKLRELEIHTIAEMKQVLRDIEEQRMQRKARKERSAVSTLDLLAKDVKSALYQGEDILDLIDYYQIEKNIIGDSDSELQCSSWLDWLFQCLSKAIRACVTCCKGSWLGRFLRTTLAALQFLAQSLYPTVRCMQATLHRSAVLLPVSRVPSVSFMRRLHCWYKSILTWSTNVIEIACLYRDWSYDVIVGITCYQEDGIAVDSFMPTIARWHLRKRIEELENVVTDARKCPLLKQESSSGWNDIGNMNRRSITSSTTRKVFGRDRERRMIQNMLREEPDDHAPGTSSSKCYSVICIHGIAGSGKTTLAQYVCDHEKENKEKYFNPIMLIHVSETFRVNDILHDMLEAITEDRHSDISGCKGLQAKLVDKLSGKRFLLVLDDLWVNDENHQDLEEILSPFNVGKRGSRILVTARNKEAALGANNLISISDLGEEQYFSMFMHYALDSTIFDDRKYIPIGRKIAKKLHRSPIAAVTVAGQLWRNPDISFWETAAKLDLLNKTKGALWWSYQQLDVDVRRCFEYCNIFPRRYELERDTLVHMWIAQGFVKAINAREQEDVEDVGQDYFHDLHSCAFLQLKRKTSSDIDSGEYFTVHDLLHDLAESVAGSDCVRINRGVIRQIPKYVRHLCIESYNEEAFPEQILELRNLSTLIMCYSVKKISQDDFKCVLMRLKKLRVVQLDVQWLGRIPACIGELKHLRYLGISTPPPHSMTLPTEVTKLYHLQVLSVPPNTLLHCSSEDKMSNLINLRHMLTWYGLNFPNIGRLTSLQSLYHFYVKNEKGYEIQQLEHLNNLRGKLFIECLENVRSKEEALQARLADKVHLTELTLQWGGIDEISRRKQSAIEEMRKVFFSPVTPVNVSELRTHRNQRCPPELQEEVLEALHPPSQITSLCIRNYDEIPTLVVGEQDTRDLNSTDVSHLQYLMFWDCNGSCVPPKIGKFFVHIFRLTVTGCSWTSLPDNLDHLESLKELNIQECPNIESLPQLPRFLKTLVLSQCSRSLTDSCRTKGHPNFQKIRHISEKIIS >Sspon.06G0004420-3C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6C:11797691:11803260:-1 gene:Sspon.06G0004420-3C transcript:Sspon.06G0004420-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDGKGGVLVRGLEEEIVTNASEIFSLLERGSAKRRTAETLLNKQSSRSHSLFSITIHIKEATPEGEELIKCGKLNLVDLAGSENISRSGAKEGRAREAGEINKSLLTLGRVITALVEHLGHVPYRDSKLTRLLRDSLGGRTKTCIIATVSPSVHCLEETLSTLDYAHRAKSIKNRPEVNQKMMKSTLIKDLYGEIDRLKAEVYAAREKVGVYIPKDRYQQEENERKAMADQIEQMNASLEANQKLISDLQQKYDSELQHSADLSKKLEVTEATSELKKKILASKSLYMSHMEAFQNVVLLHKASANATLEDISSLSAASCCSLDQSFRISLDRTKEMSTYIIGLFDKYVEETSKLQSHSNNTHEAQMKSIEDFQMAYEEQSKSEEQKLLADISSLVSKHITRQRELVGVRLSSLGDSARGNKAFLDEHTSAMEFVTKDAKRKWETFAEQAESDCKAGSSFSAAKHCRMETMLQEWTAIENNEQHEVEVASSRAVAEEDASNSSKDIAQGIESTFLALDLFVLVSTYSSLSDLGVLIHHFASDLLEEARNSSSRVVSTVEAHFAELQKLQESHSSQAAGINMHADKAFQNSYKDYEPTGETPVRSEPSVPSKGSIDSLRAMPMETLMNEFRENHPYESESSKESKLTQIPRLPLATIN >Sspon.04G0021470-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:675918:678132:1 gene:Sspon.04G0021470-2D transcript:Sspon.04G0021470-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVVFSRSRVLARNGLAARLPVAHMVCNQTPPVGVKPSLMTFREVETVFHEFGHALQHMLTKQDEGFVAGIRGVEWDAVELPSQFMENWCYHKNTLLSIAKHYETGETLPEEIYAKLVAAKNFRAGTFSLRQIRFASVDMELHTTYDPNGSLSIYDVDRRVAERTQVLAPLPEDRFLCSFSHIFAGGYAAGYYSYKWAEVLSADAFSAFEDAGLDNEKAIEETGRRFRDTVLALGGGKSPLEVFVSFRGREPSPEPLLRHNGLLPVAA >Sspon.07G0028680-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:68110965:68113458:1 gene:Sspon.07G0028680-1B transcript:Sspon.07G0028680-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDAIVKRLKDQLFLARAHYPSVAKLKHQERFTRELKQNIQEHERMLSDTITDADLPPFFAKKLEKMERTIERAKSCEVGCSNVERKLRQLLDITEDEAYFHTRQSAFLYHLGVQTTPKTHHCLNMRLTVEYFKSRSTHMDQLNEQKLESPTFHHYVIFSRNVLAASTTIHSAVMNSQNSDHIVFHLFTDAQNFYAMKHWFDRNSYLEATVHVTNIEDNQKLSKDIDSLEMQQLWPAEEFRVTIRNHSEPSQRQMKTEYISIFGHSHFLLPDLLPSLNRVVVLDDDLIVQKDLSSLWNLDMGGKVIGAVQFCEVRLGQLKPYMADHNVNANSCVWLSGLNVIELDKWRDMGITSSYDQSFQKLRKDSLKSQRLQALPASLLAFQDLVYPLEDSWVQSGLGHDYGISHVDIEKAATLHYNGVMKPWLDLGIHDYKSYWRKYMTNGEKFMTECNIH >Sspon.03G0007890-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:21336030:21338963:-1 gene:Sspon.03G0007890-1A transcript:Sspon.03G0007890-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MESTSGEDAPKRNPLPAALVSNLQSVLAARRPPGAEVSTAAVAGEAEAEAPEAEASDVPAGDGAPARPIVLLTCAGGIRSAGLAALVDALVAGGRCDVHVCAPESDKPACGHSITIRETITATSVDFTGAKAFEISGTPVDCVSLALSGRLFTWSSPALVISGINTGPNCGYEMFHSSAIAAAREALVYGVPSISISLNWKKDETKDSDFKDAAQACLPLINAALDDIVKGTFLRGCLLNIGVPSAPSANKGFKLTKQSGYSPAQSWQAVSASRPSSATHFMGMHQSLGIQLAQLGKDASAAGAARRVSAQRKTVEVESVAAAGKQEIREVVKKLFRAEFVEKQHEGLDEDIDLRALENGFISVTPLNVHGQVEPEMGAPASDWLSAAVSLDKEKDASPAAADQQDVAAEEKEAP >Sspon.02G0023570-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:80431297:80432433:1 gene:Sspon.02G0023570-1A transcript:Sspon.02G0023570-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat extensin-like protein 6 [Source:Projected from Arabidopsis thaliana (AT3G22800) UniProtKB/Swiss-Prot;Acc:Q9LUI1] MKKAAASPAPAAAATVVLAVAALLLSSVVAAVSGQQQSKQTADNNPRLQKAYVALQALKHAITEDPKNLTQNWCGPDVCAYFGVYCTTAPDDPHSQTVAGVDLNHGDLAGTFPEELGLLTDLALLHLNSNRFGGGLPESLPKLRLLHELDLSNNRLTGGFPQHILCLPNIKYVDLRFNSLCGPVPAALFDKQLDAIFLNDNHFDFELPDNFGNSPASVVVLANLRLRGCIPPSVGRMAGTLNELVVLNAGLRSCIPQEVGWLRELTVLDLSFNELQGMLPESLAGLHSLQQLDVAHNELWGHIPEGVCALPSLRNFTYSYNYFCTEPQRCLDIRRVDDRQNCIAGRPDQRPTDQCLAFLHRPPVHCNEHGCFGPPTHY >Sspon.08G0004640-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8A:13465927:13467850:-1 gene:Sspon.08G0004640-1A transcript:Sspon.08G0004640-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MANLGRTKWQAVTTFIVLCILSSICICKAQFTPADSYLVDCGSSKSTIVGRRTFAADGTSPVKVSTSQDVLAGTSANGVASFDNSVLYQTARIFTVPSSYTFPIQKQGRHFVRFYFFPFTYQSYDLSLAKFTVSTQDVLLLSDFQQPDKTAPLFKEYSLNITRDTLVISFKPSNGIAFVNAIEVVSVPDDLIADNAQMVNPVQQYTGLSTQPLETVYRVNMGGPKVTPDNDTLSRTWVTDGKYLLNPTVTKNVSYGKDVNYKKGGATQLTAPDVVYGTARELATANTSNAVFNMTWQFDVDAGFSYLVRFHFCDIVSKALNQLYFNAYIGGFSAQSNLDLSTMSDSQLATPIYIDVVLSSNDASSKLGVSIGPSTLSNVLPDGILNGLEVMKISTGGSAFTVGSSSGNKNWGVILGAALGGVGLFIIVVVLVLLCRRKKTLEKQHSKTWMPFSINGLTSLSTGSRTSYGTTLTSGLNGSYGYRFAFNVLQEATNNFDENWVIGVGGFGKVYKGVMRDETKVAVKRGNPKSQQGLNEFRTEIELLSRLRHRHLVSLIGYCDERNEMILVYEYMEKGTLKSHLYGSDNPSLNWKQRLEVCIGAARGLHYLHTGSAKAIIHRDVKSANILLDENLLAKVADFGY >Sspon.05G0014600-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5B:53070172:53073050:-1 gene:Sspon.05G0014600-2B transcript:Sspon.05G0014600-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to Ethylene receptor-like protein 1 [Source: Projected from Oryza sativa (Os04g0169100)] MREKLAEQHRDLLRAKHEAMRAGEARNSFQTAIARLVMDAIAKTSSVASTLMNDVMQTSTVNCEHLSLVRRPFNLHSFIKEAVGAVRCLTGCKGVEFEFQVDNSLPERIIGDEKRVFHIVLHMVGTLINRCNAGCISLYVNGHNEVEERHNHDWMLRRANFSGGYACVKFEIRVRKSKDNLLSSSSSQISQGSKPSNSEMGLSFNMCKKIVQMMNGNIWSVSDSKSIGETIMLVLQFQLQPVTSVSGASSDLYRSSAIPNFNGLRVLLADSDDTNRAVTHRLLEKLGCRVLSVASGVQCMSSFAAESSFQLVILDLAMQTMDGFE >Sspon.06G0001820-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:5913517:5920546:-1 gene:Sspon.06G0001820-1A transcript:Sspon.06G0001820-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTRTLTRNRPVSMATASTTPLLSLSLALLAFAWAASPSPSPDAEAISRFQEYLRIDTAQPAPDYAAAVAFLRNQASEAGLEARTLELVPGKPLLLLLRWPGRRPSLPSILLNSHTDVVPSEPSKWDHPPFSAALDEASGRIYARGSQDMKCVGMQYLEAIRRLRSAGFIPDRTIHIIFVPDEEIGGHDGVELFVSSKEFKDMNVGLVLDEGLASPGEEYRVFYAERSPWWLTIKAKGAPGHGAKLYDGSAMENLMKSVEAIRRFRTSQFDLVKSGEKAEGDVVSVNFAYLKAGTPTPTGFVMNLQPSEAEVGVDIRMPPDVHTEALERRLIEEWAPSSRNMSFEFKQRGSVLDNFGKPAFTPADSSNPWWPVFEEAVKRAGGKLAKPEVFPASTDARYFRKIGLPAFGFSPMANTPVLLHDHNEFLSKDEYIKGIGIYESIIRALATHNDEARDEESMAEL >Sspon.03G0032610-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3B:38715788:38716207:-1 gene:Sspon.03G0032610-1B transcript:Sspon.03G0032610-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAADREPGGHGHRIDTLLLPLKKRASNYSSGLGRTASGDPTQLEPRRLQFHANGAPCQLGLHGNPQRNSQRQQQLHRSPQRKATFGIVAPSLSCLLKIGGSESPVRIHMQSSLTTSRV >Sspon.01G0010160-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:28474656:28477740:1 gene:Sspon.01G0010160-1A transcript:Sspon.01G0010160-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LRGARVSGWGQHVRHCREEEVRERRFWRTKTTTRGRAATVRVEDAGDGRGLPPPSPATGPYAGGRSRGPRRQGETIAKGHKNYELMLNLQLGIRHAVGKQGPITLDLKSSAFDPKEKVWTRFPPEGSKYTPPHSSCDFKWKDYCPQVFRTLRKLFKVDAADYMLSLCGDQALRELSSPGKSGSFFYLTSNDQYMIKTMKKSEVKIFLKMLRAYYNHVRSFENTLVTKFFGLHCVKLAGANQKKVRFVIMGNLFCSDYSIHRRFDLKGSSLGRTTDKPQTEIDEYTTLKDLDLNFIFRLQKHWYQEFQRQVDRDCEFLEQENIMDYSLLVGVHFRDTRDRLLTGGSFDSDSSRGSSPHLSRGDTDPNRLAKIKLGSNMPTRAERTIRKSDCEVQIMGEPTGEFYDVILYFGIIDILQDYDI >Sspon.01G0010060-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:30790334:30792987:-1 gene:Sspon.01G0010060-4D transcript:Sspon.01G0010060-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTAYIDDSCSEVIDPPKTEVLDVAELPGDYTQHPPKPNVVVSSSVRELLECPVCLSAMYPPIHQCSNGHTLCSGCKPRVHNRCPTCRHELGNIRCLALEKVAASLELPCKYQSFGCSGIYPYYSKLKHESQCQYRPYSCPYAGSECTVAGDIPYLVNHLKDDHKVDMHNGCTFNHRYVKPNPHEVENATWMLTVFSCFGQYFCLHFEAFQLGMSPVYIAFLRFMGDDAEAKNYSYSLEVGGTGRKMIWQGVPRSIRDSHRKVRDSYDGLIIQRNMALFFSGGDRKELKLRVTGRIWKEQ >Sspon.03G0019700-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:61407359:61409599:1 gene:Sspon.03G0019700-1A transcript:Sspon.03G0019700-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPNGSTSLLPLLLLLLLPPLHSFANAGSLDADVAALSDFRLVADPSGAALATWNLSANPAPCAAGAWRGVTCAGGRVTRLVLEGLGLSGAAALPALARLDGLRVLSLKGNGFSGGIPDLSPLAGLKLLFLAGNALSGPIPPSLGALYRLYRLDLSSNNLSGVVPPELGRLDRLLTLRLDSNRLSGGIDAIALPRLQELNVSNNLMSGRIPAAVASFPAAAFGGNVGLCSAPLPPCKDEAQQPNASAAVNASAAGDCPPASAMVAASSPSGNPAGAEAAGGGGKGKMSRAAVVAIVAGDFAVVGLVAGLLFCYFWPRLSGRRSGRRLQQGEKIVYSSSPYGAAGVVAAAGGGGPTFERGKMVFLEDVSCSNGAHEAVRAGGAAARVRGDAGEGRVRHGVQGRNRGPGRTPLEWAARLRIAAGAARGLAYIHHSGRRGSGTPKLAHGNIKSTNILLDRFGVARLADCGLAQLTPAAAAARSAGYRAPEAPPPPRPWASHKGDVYALGVVLLELLTGRYPGSELPNGGVVVELPRWVQSVVREEWTSEVFDLELMKDKGIEEEMVAMLQLALSCAAAAPEQRPKIGYVVKMIDEVRACGVEASPSHESSLDESSGVSDSPAVSEGGGGGALS >Sspon.03G0004250-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:12035175:12035681:1 gene:Sspon.03G0004250-1P transcript:Sspon.03G0004250-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRESALTMRRLSGTSNGGSGGGGGKAGGSSGAAAGHWEGGGNAAARVRGINAGIMDEKVLQLVFRALNWDPQSLCVVARVSRRLRAVAERVLWRELCVSRAPRMVAALTGGAAASAPAAGRIGGGWPALAKLLLFCCGAAGVPGHFAPVSRFSKTSGRSFLSRRCAGTC >Sspon.02G0021200-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:70719147:70719860:-1 gene:Sspon.02G0021200-1A transcript:Sspon.02G0021200-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMFAYEAIPDPSVSPDTPLAAMRAPANRVDSISLLPDALPRSVVPHLPAKDAKRSAALTTRWRGLWHSVPLALINTHILPCWISTGRRYPEAKTSCPGPSPSRRPASWMCTGPGPFRCMRSSLPLPHELVFINRPWPIDHPLPRALFGCTSITRLHLGLCRVPSSARLPRATRFPHMSLSSARSSSRTTYDLHFLIDRSTVLEVLTVITSKAETRLWL >Sspon.06G0013660-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:54363119:54367174:-1 gene:Sspon.06G0013660-4D transcript:Sspon.06G0013660-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKAVVGDDAHLKAFEETLASSSSPPPQAQVGSLPSLRCVLAAPSLSSIHPSDLVSSSVNSQVGLVVGKLSASSNRALVYALLPTPLTDAAAPACSLRAAPQVQAFQGRRQRLFLLLLGRLSRLRCRMDRRARAAGTVSRMLLGGMSVIGAYVWASEASFKATSPLVLSQVIRAISQACYGSASGERLLIHISYSPRRWACRICEVASASLRPCDFKYSKLLSSLQTFRCTYNFEIRLTSVQAEPFKKVILKAISHLTEEVQNARALVDGHLFSEDMNISTEGPHQVDFLVPFNNAAPVEECSLEGVAGLIRFVGSVSALAYLGPKESISEAISDLKADIITSLKSRLDIILDEADDGPATDEVEKSPSQKATQVIFHELREPYSFSFPRRVLIPWMSGTYVCDYLQQSETAEDAMDRCKEVIALETVVESSSILESESAAPCSTLESFWDMVPGSRSGGQGGSSKPKDSHSSVQNDDSSKRQGGGNFNIVAALIVLLVALIAGLVFTLSSGSNT >Sspon.05G0031130-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:14030649:14033118:1 gene:Sspon.05G0031130-2D transcript:Sspon.05G0031130-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:High-affinity K+ transporter (HKT) family protein, Na+ exclusion from leaf blade upon salt stres [Source: Projected from Oryza sativa (Os04g0607600)] MAGAHKLAGFLQHLRRCSAAVLDSAMSLVCSVSKAYAHHQVTDRVARSRRTLRCSAGQAWRRAVDVAGGLSSLLVHVVYFVAISCVGWGLLEAIKVRASGRRPRGIDMFFTAVSAATVSSMSAVEMEVFSNGQLLVLTALMFGGGEVFLSLVGLASKWSKMRKQIINRSRRIESHDDHVELEAAGAETDDADADADADSRSITTTVTEENDDIPVEAKILRRNAARLLFYIVLAILVMVHAVGAVAVAAYVYAAPGARQTLRRKTLNVWTFSVFTTVSTFSSCGFMPTNENMMVFNRDVPLQLLLVPQAHATSTCYLRSAASENTLWRSNSQANPAWWYLPPYTTWFPFEEISKRGHHSTESQGIRLLKSTVLSQLSYLTIFVIAICITERRKLKEDPLNFNVLSIVVEVVSAYGNVGFSMGYSCSRQINPDGLCTDRWTGFAGRWSDSGKLILIVVMFFGRLKKFSMKGGKAWKLS >Sspon.05G0023630-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:8034199:8035841:-1 gene:Sspon.05G0023630-1B transcript:Sspon.05G0023630-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDSAGEAGSQSQVHACSSYPLSFPSQPPDIKNWFSSYVYDSPEVPELVADHDGGNGSETQDPFEEGTIEHRKVLVDCDRISSAETQESNPADQEVESKTSANCDHACLADIGEVFEEDVINHIELPVSFNSTILAGTEKKIPDGVDNSTSLVSRNSLSLADTEGNSPSGETGNEENSPLGGTDRCKLSLDSKRRQEMVASDGFIAIKRKEKQPEEHKTNKILRHPTGKEKENGNLKENVIIPNQKVLVQEQTRHPLADRTNFSEVNASPVPEPTRKWKCPRKGKPYVGRPMKQLRLEQWVRRVN >Sspon.04G0030750-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:2589191:2593807:1 gene:Sspon.04G0030750-1C transcript:Sspon.04G0030750-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWAHPAVAMEEVLGLVRGFVDVLVLAGGRTSSGAAATWSADEVKKALRWALFFEEIFKDLRDSGQYEDSARELDAALLELTSSPEFPKVLLQSLYGGCVDSGDIARSDVLLHVQGLAVLRSEMLSMARVLVMRHFLKAKPMSKTLDSTLCACLAERGLETLLNSVKRNSLDDDSNMPCAPAILKTSRMIDEFLIWKQWRAKCLSYLLDERTIRVLSGASLIFKAPKGQWMKVFEPLKGLAKSCHSGLVETMELCLLGSITGRWNTLIEGFISHTFCFIPISKKYADLHQCLHRTSQDKFQDEHLNLEEKDILDYARQSLESKPSLLWLLPPVLTAAAIPPRSSLFQIYLAQIDKQFHDATPADRKCKCRGDEIDQHRNCEITERIQCLYAFHIQQLHLMVPR >Sspon.05G0021660-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:90827298:90827848:-1 gene:Sspon.05G0021660-1A transcript:Sspon.05G0021660-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding TKNWQTTKLSYKNTKMRRHLILIKLLLWCSVLLALLGHALLPHLPHQHRIFPWLHRNTMCPLCRHQLPTTDDEGSGERRRRRRRRRRRGRRRRRR >Sspon.05G0012540-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:5A:38988007:38989141:-1 gene:Sspon.05G0012540-1A transcript:Sspon.05G0012540-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GEMPWSCLLCHPYQIWHHPHTALP >Sspon.01G0050640-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:5692652:5693706:-1 gene:Sspon.01G0050640-1C transcript:Sspon.01G0050640-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTLRKTLPLRVTATGFATHVVEVRDFSKLRKKHRGDTGYVCSETFTVDGLDWMVVCRPGGEGDEYGYMGACVELVTEGVAVWAHVSLGLVDWATGCAADAFFSNEDPVLFDAGNDDCCNWGTDKLASWRKDLRGSRRYLRRDCLRIECVIDICHFRLAFRDPPAPKFTPRDVADIGTFGSEVGADVFIHVGAADPIPAHSSVLEAYAPRFLKKHNLGRRRRPKTTTAAAADIHTATPMRVNIDDMPRLAVEALLQLPTTAACPSYGMRRLSAICERVLCRCVDVDTAAATLAMAHRHGFQKLKEACFQFASEPVTYGFVRKSKGYAEL >Sspon.06G0003540-1P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6B:8704355:8708081:1 gene:Sspon.06G0003540-1P transcript:Sspon.06G0003540-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQDDNPESSATGSSTSSAPAARLNAAAPEFTPRSAAQHHNHGNNPHRRGSHHHHYHHHQSNQHYQPRHQHHQAEDEGSAAATAEDREGSAGAGQAQHRLPEPEARKLVKQVPFLCPRSITPLPAVLLYLSMLIPSNVPISVVASFRKIREIVYDRSLLVAALRTSSELVVSEDGKHVKRSQPFNAEEVQSRIVVAENLPEDQKHQTLMKIFSAVGSVKSIRTCYPQDVTAAAANKTSRIEMIFANKLHAFVEYSTVEDAEKAVAEFGGGGNWRDGIRVRSLLGCLKHGLGQGRKGGDEEYAADEDGPDTTDHQQDYGTDVAAQNSEAHFDHQAEDGTHDKGGMRQQGRGRGRGGRGRGRGQYYGHNSRDAHHPVGTPPSEHPVVPKPPPGPRMPDGTRGFTMGRGKPLNPTTDAAANPTTDAA >Sspon.01G0062800-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:107351750:107354323:1 gene:Sspon.01G0062800-1D transcript:Sspon.01G0062800-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSAYYEAGEDPFVAPSIVGSTHSPRNPYSRRRNPHHHRISSRAVDLVEEAARRVRRRRAVRDAGKWPPRGPTVCSVWRWLRFGPRREREKGMGGWDRDTDGAEPAASTGGVGAVVSPVETIMENGMMLQLPAEMTDKILSHISDPASLARLASTCKFWRNIIKERTFLDRLMRRCHDHGFTPSLLLGFFYQDSTESPPQLSQHNKGKWRSLAPSFMPMSELSKSIGSKVSCNALTPAKLCTFIRGLGCDLNLYEPIASQDSLLALRRQGTSDQAQSDKLCVCNPLTGEIFHIPSTLVTSADMYTLLVTEDVDHGKCMSQSFQL >Sspon.04G0017180-3P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:10592001:10593691:1 gene:Sspon.04G0017180-3P transcript:Sspon.04G0017180-3P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFVERVTTFEDSEKESEYGYVRKVSGPVVVADGMGGAAMYELVRVGHDNLIGEIIRLEGDSATIQVYEETAGLMVNDPVLRTRKPLSVELGPGILGNIFDGIQRPLKTIAIKSGDVYIPRGVSVPALDKDQLWEFQPKKLGVGDAITGGDLYATVFENTLMQHHVALPPGSMGKISFIAPAGQYSL >Sspon.03G0044320-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:92385064:92385410:-1 gene:Sspon.03G0044320-1C transcript:Sspon.03G0044320-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFTCTAPGRKKGLHVPVNSQSQTKIIVHPYIRIIFAYCALWLHIYNNCFFIASGFLLIQLGGCAALELRMCIICAGIFGLDHLQTN >Sspon.02G0026660-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2B:81512978:81514725:-1 gene:Sspon.02G0026660-2B transcript:Sspon.02G0026660-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GCFAFALAFDRARFFLLSVSGGGDLRRERRAGGAPRGGRGPRRHPPRVPPHPHPLHRAQARLRRRHARHPDLLPPSPALFRFVVPRFELDDPWPISAGGCGACVVLLSAYDAASGAVSHAAASSCLTLVHGLHHRAVTTTEGLGAGRAGGLHAVHERLAGFHASQCGFCTPGVCMSLAAALAGAEARAKAQAGRRPDDPPEGFAARLTAAEAERAVAGNLCRCTGYRPIADACKSFAADVDLEDLGLNSFWRKGDAHASKLPRYDEGTIGVFPEFLKAEIRASSGVDDLYTPPALVGSASTWHRPRSVEEYYKLVGSELFGESRTKVVVGNTASGVYRETEVYDRYIDLRCIPELNSVSKEANGVHIGAAVSISQAIEILRVEAGGCHDVIFCKIADHMEKVASPFVRNTASLGGNLIMAQRDQFASDIATILLAAGSSICIQASSRRLTATLEDFLQMPPCDHKTLLLSIYIPRWTPIGSLSDGKTMDGTVSKIGTSVLFETYRAAPRPLGNAVAYLNSAFLAQVSSDETSSSLILRDLCLAFGAYGSQHAIRARNVEKLLVGKPITTSVLLEACRLVKET >Sspon.02G0054480-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:114109889:114110569:-1 gene:Sspon.02G0054480-1C transcript:Sspon.02G0054480-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKPPHLLVDCPPSRHDGGGGGRQRRAWRNDPACGEKACAVAVLVLLAAAVITGLTFLGIFLDRQFPSKDPVFTVAITGVTGLDPERDVDPPRQAPMPALSPVFNLTFQIDNTRNADYKACFPGLARADVSYGDAFLAGGSVPPFCAGEKRTSGPVAARAWGENVAVPRFLREQLAAELAAGDASVDVKVTMPTYCGKAWCSGAVLSCKPKIGGGTSPPCRLDFI >Sspon.01G0037690-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:24889558:24894777:1 gene:Sspon.01G0037690-1B transcript:Sspon.01G0037690-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LCEDGKKYGTENTCTSGSTLSKAALSFAKARSMMEKERGNLLKAFGTQVAEPLRAMVMGAPLEDARHLAQRYDRMRQEAEAQAIEVSKRQMKLREASGNSDMVARLEAAESKLQELKSNMGVLGKEAVAAMTAVEAQQQRLTLQRLIALVESERNYHQKVLQILDQLEREMVSERQRIEGAPPPVVESSMPPPPAYEEVNGIFMRNTVAELVETVEYFLAEAIQSYQAESDTELNLSAGDYIVVRKVSNNGWAEGECRGKAGWFPYDYIEKRERVLASKVAQVRSLEMAKICQDNALQSYNVDEILRILGSSLNASSLHLLSAYSPASAASRRATMAAAVTLLRLPLARLSYHLRAVPSSRLPHPRLRFFTSHRLFSSLDHGSASAAASAAVAEVISVPDAEESVEEESAAEAEAEAEAPRSFVLPRLPRPKLSVKERKELASYAHGLGKKLKSQQVGKSGVTPNLVSAFSDNLESNELLKLKIHGNCPGELPDVILKLEESTGSIAVDQIGRSVILYRPSTSKMKKKQQVAENARRFSRPEEGNARRFVKSEESFEERPRNSSGRRFVGSGSTFRGQQKRRPMASKGSSYGRG >Sspon.05G0017780-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:76005473:76051288:1 gene:Sspon.05G0017780-2B transcript:Sspon.05G0017780-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GHFPEPTHDPVIQVEFIIHIANLVTHQGEGQPFVRNVMTLKSCSPIVGVDVMSFDTERDILLAWRDFIREVDPDIIIGYNICKFDMPYLIEAMQRDYKLSSYSLNSVSAHFLGEQDAYLPQRLLDKLMYIYNYVEMARVTGVPISFLLSRGQSIKVLSQLLRKAKQKNLVIPNIKGQGSGQDTFEGATVLEARAGFYEKPIATLDFASLYPSIMMAYNLCYCTLVPPEDARKLNLPPESLNKTPSGEIFVKPELQKEAKDPLERAVLDGRQLALKVLPYLHPLFHQNIDSNLSYLNNDIVELLMFQISANSVYGFTGATVGQLPCLEISSSVTSYGRQMIEHTKKLVEDKFTTVGGYEHNAEVIYGDTDSVMVQFGVSTVEDAMKLGREAADYISGTFTKPIKLEFEKVYFPYLLISKKRYAGLYWTNPEKFDKMDAKGIETVRRDNCLLVKNLVTECLHKILVDRDVPGAVQYVKNTISDLLMNRVDLSLLVITKGLTKTGEDYAVKAAHVELAERMRKWCQSDTLLALQGKRSRVILQNSSKWYVLYTLKCIYLWKHYASELTTDRSNFDQFLTWRCSLGGYGHNARSAKDLCTRTFSAQVGIVQFSIGEGKRRRIWPKLDCSLTGGTSELGAKSEVGAERIHCNDNKNDDIEQIKLGLTTIQHYLASIDKHLKTIVDFHVLIDNRLTTMMGISGFASPLATRQGPSTAAVVTGIPANEEAVKRMKGLLGSMCSIADKLPVFCGPVLLWLLSNSRQNSDNPWMQVIIPGSLMMCFISFILLAFSSKFKERESIDGVLFKISIWLLLPVFLALWPGLLSFWFLSFYKEHQKILEELKGGCVPENVVLPENPESEAASAQGLHQVSASSVYGFAGLAEGQPVNLFIEFFMWPGGKARRVIGPTSIWDEDLRSMPLELPAATMLTGRRSSGSSKKTEVLQASTCSRPTYMDASCGGHAASEKYDEGLLGSYYTMVEKLFVISGGIFLSYAYFLAQKPQGSVEGLWMAIFIGIFISSLSNFILLINASYLKERGSIDGCEPGILKWLLLLVLAFLYALFCILLRAFANASDLVLWLIGIIGLCSIVLGWVWFFYRKWPKILEEIKADRCVGEIGMPETAGSASKGGSD >Sspon.02G0038170-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:39767995:39773233:-1 gene:Sspon.02G0038170-1B transcript:Sspon.02G0038170-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMSLAAAAGSSSSGKQFEFEEATVDAIHLGFKNGSLTSTALVRFYLDQIARLNPLLHAVIEVNPDALAQAARADAERSASRGRCAVGLHGIPVLLKDNIATRDRLNTTAGSLALLGSVVRRDAGVVTRLRRAGAVILGKANPSEWSNFRPVNSGWSARGGQTLYYFSRSALCGKLQNPYVLSADPCGSSAGPGVAAAANVAALTLGSETDGSILCPSSRNSVVGIKPTVGLTSRSGVIPIMPSQDTIGPCGSSVGPGVAAAANMAAVTLGSETDGSILCPSSANSVVGIKPTVGLTSWSGLDAEATGAASTYIPHGGYTQFLRIQGLRGKRIGVPNILFQGYDDTQLTVCEKHLNTMRQQGAVMVMDLDIATNFTDLVYQERLLMNVEFKLSINDYLSDLLYSPVRSLAQVIAFNEAHPIEERLKDFGQSNLIAAEETNGLGIRERAASQRLKEISTNGLDKMMKEHQLDAIVGANYLTFHVLAIGGYPGIAVPA >Sspon.02G0001270-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:2526264:2528650:1 gene:Sspon.02G0001270-1P transcript:Sspon.02G0001270-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DGGGAAQPQPQPQPPAPASGGEGGGGGAAAGDGESHEAEVPGLRPGGGGAGRGVPRGALRPRQGPRRPAPPRRRRRRVHRQGRRRPRLRPLRRPAPRRPRLRRPQGGRHGARGGQAPPGRAEGGVGACLRGGAGRAGGGARAGGGGAAVGREGRGPRGARQGGARGAGRVRPRGARGEGPVRAVRARGGAPGRLRLALAQRPAGVPARRADRRAHRRALGREVQPGGRRRGRAGLHGGQVPPCHPHRAHRQGVLVVAGGRAAGRVPV >Sspon.01G0039990-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1B:37913528:37913887:1 gene:Sspon.01G0039990-1B transcript:Sspon.01G0039990-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLKLWQNKLGPPSETSIHRRHPGLFPRPRSHAEFGPGPNYSGLWKSLGEAERDEAHESRVTTSAATSVASAIVTSIVVAVRRPPTRSNTSSLSAVTSSLSMYSFPSLFQMLHLISGSN >Sspon.02G0029290-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:97912952:97917709:1 gene:Sspon.02G0029290-1P transcript:Sspon.02G0029290-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGIALVLSSDRLVIVPVPLRRLAHRRSSTPARYRKGVSDELKEALRRRGPDSLGYESRRLLADGTVVGGWLSCVAVGDGCNDGEGKVGVSDSGVADLFFIGATLHLTGAKLVSQPLVAPTGSVLVYNDPTVNDFVSDHDISNHTKASYWEELPCGIYSIHMKDIGKYGARMKEGWIVEVNTHKWMASSLNELIKWERKLTVPTMENNSVHRGNHQLSQSFISSREAEENMNNGITKADLLSDSSLCEANCITQLGYTEFFHVDRLAPDRISAIAGVKELQRISPCRRWRLIEIDTALTDLKGESEHVMSLIHPSNTYMDLNIGIALWLAAGGDGWVDGSTCRMQDGSRYKYRSRSRVLIVGSGADEQCAGYGRHRTKYRLGGWNALDQEMRLDVQRIWKRNMGRDDRCISDHGKEARFPFLDENVIKTLLDIPLWEIAKLDEPVGKGDKKILREVARLLGLQEAALQPKRAIQFGSRIARESNRKNFGSNRAANQASAGSVQIHQHMQ >Sspon.05G0001690-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:5491356:5496035:-1 gene:Sspon.05G0001690-1A transcript:Sspon.05G0001690-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GTP cyclohydrolase 1 [Source:Projected from Arabidopsis thaliana (AT3G07270) UniProtKB/Swiss-Prot;Acc:Q9SFV7] MGALEEAYLVAAACADEESDDLGLLAGDAAAAGDAMEPAVRALLLGLGEDDRREGLRRTPKRVAKAFRDGTRGYRQKVKDIVQGALFPEVGVDKRTGSAGGTGGQVVVRDIELFSYCESCLLPFSIQCHVGYVPSGGRVVGLSKLSRVSDVFAKRLQNPQRLANEVCGALHASVQPAGVAVALQCWHIPLPENLECKALQGWIRTSHSSRSGVFEGDNSTFWSDFLALLKLRGIDMEVEDHSVSIPWCPLRSHEAALSNGLCKKSSTNGMVSAVTSMLLSLGEEPLRKELLGTPQRYVQWLMKFRACNLLDVKLNGFRLSNVSLYERPGGGTTDHGAIRSELHLPFCAQCEHHLLPFYGVVHIGYFENGSGEGIDRSHFQALVHFYGCKLQVQERMTRQIAEAVYSVSHNGAMVVVEANHICMISRGIEKIRSNTATIAVLGQFLTDPSAKACILQNVLDTAGSAI >Sspon.03G0047300-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3D:57846438:57847361:1 gene:Sspon.03G0047300-1D transcript:Sspon.03G0047300-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLRLRRHHLAFPLCWPPMRLLHNLRRMQGSIRRGGDTKSQPAGLPGRCSSGTAVRKGRVDVSKDFGDEDGGLALRFYAVDPQQRCGRGRGRSEAREQERARMWRRTGRSEPRLQREASGAFVCVDEVRCVRTP >Sspon.01G0042900-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:68888262:68892899:-1 gene:Sspon.01G0042900-1B transcript:Sspon.01G0042900-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MENRKEEQQGAAVGWMTVPAFGEWDVKNGAVPDYSMDFSKIREMRKQNKRELSRASLGGDEDLLQQQQQQQSSKAQPPKSSAAVADDDHRRPLHDDSPTVISSSEHVDVRINGGCE >Sspon.01G0021470-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:82848062:82851277:1 gene:Sspon.01G0021470-2B transcript:Sspon.01G0021470-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGALFPWLAWLLVSLAGIYLLGHLVHGRRRRGLPPGPHPLPIIGSLHLLGNQPHRSLARLAKVHGPLMSLRLGSVTTVVASSPAAAREILQRHDAVFSNRSVPDAPGAHAKNSSVWLPNAPRWRALRKIMGAELFAPHRLDALQHLRREKVQELVDHVGRLARGGEAVNVGRVAFTTSLNLVSRTIFSRDLTSLDDDGGSREFQEVVTDIMEAVGSPNVAGEPKKNDFLDLLLDAAEDDDNTAGLDRDTLRSLFTDLFSAGSDTSSSTVEWAMTELLRSPASMAKVCDELATVIGPRESIEESDIGRLPYLQAVVKETFRLHPPAPLLLPRHAQVDVKIIGYTIPKGSRVFINVWAMGRDKETWPEPEKFMPERFLGKTVDLRGGDFDLIPFGGGRRICPGMPLAIRMVHLLLASLLNQFTWRLPAEVERDGVDMAENFGLTLTKAVPLCAIATTI >Sspon.08G0014240-3D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8D:56194192:56199576:1 gene:Sspon.08G0014240-3D transcript:Sspon.08G0014240-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAAEVDHLAAERAAARFDVEEMKVAWAGSRHAVDVADRMARLVASDPVFRKDNRTMLSRKDLFIEPNKHPTFGYQHKILHQRGEAGMLRLYVDQPGYVDLHWGMFVPAIKGQGTEEQQKKWLPMAYKFQIIGCYAQTELGHGSNVQGLETTATFDPKTDEFVIHSPTLTSSKWWPGGLGKASTHAVVYARLITEGKDYGIHGFIVQLRSLEDHSPLPGVTLGDIGGKFGSGAYNSMDNGVLQFDHVRIPRDQMLMRLSQVTREGKYVNSDVPKQLLYGTMVFVRQTIVADASKALSRAVCIAVRYSAIRKQFGSQDGGPETKVLDYKTQQSRLFPLLASAYAFRFVGDWLKWLYMDVTQKLEAKDYSTLQETHACTAGLKAVTTSATADAIEECRKLCGGHGYLNSSGLPELFAVYVPACTYEGDNVVLLLQVARILMKTVSQLASGKQPVGTMAYMGNVQYLMQCKCAVNTAEDWLNPVAIQEAFEARALRMAVNCAQNIGQAASQEEGFYERSPDLLEAAVAHIQLVIVTKFIAKVQQDIPGHGVQEQLQNLCNVYALYNLHKHLGDFLATGCITPKQGALANEQLGKLYAQVRPNAVALVDAFNYTDHYLGSVLGRYDGNVYPALYEEAWKDPLNETVVPEGYHEYLRPLLKQQLKLSRL >Sspon.03G0022550-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3B:91334743:91335192:1 gene:Sspon.03G0022550-2B transcript:Sspon.03G0022550-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAKEVERVGEAGGDRRRKKTLGRQKIEMKPIQCPEARHVCFCKRRVGLLNKATELCALTGAQLAIVVFSPAPAGKPYSFAHPSVNAVIDRYCDPSVAAAAASTEATTRPTTLCGGFDSECERLRKAIAAEAGRPRRCGAPGRRVNQQR >Sspon.08G0021240-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:35337559:35341537:-1 gene:Sspon.08G0021240-2D transcript:Sspon.08G0021240-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GMIIDSGTIVTELPETAYNALEAALRKAFAAYPMVPSEDFDTCYNFTGYSNVTVPRVALTFSGGATIDLDVPNGILVKDCLAFQESGPDDGLGIIGNVNQRTLEVLYDAGPEEPEQNGSIVYVPLVHRHGPCAPSLSTDTPSFAEMFRRSHARLSYIVSGVTRGMESWRHTETGSSAVPCASDACKKLTADAYGSGCTNGKPCGFAISYADGTSTVGTYSKDKLTLAPGAIVKDFYFGCGHSKSSVRGLFDGLLGLVRLSESLGAQYGGGGGGFSYCLPAVNSKPGFLALGAGRNPSGFVFTPMGRVPGQPTFSTVTLAGITVGGKKLELRPSAFSGGMIVDSGTVVTGLQSTAYRALRSAFRQAMKAYRLVPHGDLDTCYNLTGYKNVVVPKIALTFSGGATINLDVPNGILVNGCLAFAESGQDGSTGVLGNVNQRNF >Sspon.05G0035480-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5C:74069059:74073141:-1 gene:Sspon.05G0035480-1C transcript:Sspon.05G0035480-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VISASSISEAAGQILAELKDEAAGTATRSSRPSNVIYFDGWNGLGASAVLREVGRLLTPATSVTEEKPALPIWERAIPPPPPAVAIAASLKFSQIFHIDSSKWESRRAMQRAIVEQLQLPAPLIQMLDAQDEEDDYKGVGRESRAEIPQVAEAIYQHIQKLTMNRRFLVIFHNGSSEEIDLDSFGFPLSGYSGSKVLWSFQGRFRLYPRTKVDRALESTRTRTDVRVSANWPHDNLSNTLLRAEAADVLLPRGMGSFHNYSDWWDACDQLTQCFQYMMKLSRMSSHLVDYDLITHGCNCWKCDSSEQLQLQHLLQHQDVGTDGGGGGDEGDGDNDDGGGGGGGGDGVDRLWLSCDSLYREIRLDEDYYQNPYLLSLDPEVLGLSGISYWTSPTYGFMLIPGLEGCIPKDMFQQFDKLCVLKLSACAFSFTSPPFLCCHSLRFLWLDHCKEWRTEDTEGDDDDEGVDDDVRRCLRKLWVLDVRYSSSQFLSAEMMDFMTQLRELNVMGQEFPYMDGDLQGRLYNVRKLRVTKCMDFGSIGLFEGKGSMGLKLEGKDKMEHLELSANDAIGMLSVDSSCSSLETVIIDGSTHLQNMSFKGCAKLKNLLLSGLFLHLYSLDITGTAVKTLDLRAVEAPKLDELILLGCGKLYAILWPPEDKRKRYLGKLRMDTAQQECSDTTTGTAAIKGGSPVEFDWYISVRDARILGSLAPVKDYFSLNDAHVVISTTPSAADAAGACINRQAMEMIMMLGRSCVHVLHLHTHPHTQNYLSIPTPPPYPEPSFPPSSAHPIPPPYPEPSSPPSSAHPIPPPYPEWHIMSHDCYMHIEDEMMRTTLQTAGGITVPGFICDGTKALHVHDSLSISSISATPLGSRWNKLEWCRVERCPKLECVCRGELFGEGTSSNADAFNRLRTIWASHLPNARYVLEGSGSPFMQIRAFKDLTLLHLYCCPRLAYACPLPNMPRLETLEIMWCGDLTVVFDTPPLLPPPVLPPPPPPPPPPEEELLLRQQMHYLPGSFPYLKHIHLHELPKLHDICHGFTMFTPSLETLKIRGCWSLRTLPIVDANNAVECDCEKEWWDRLQWDFWVQPGHYKPTHSRYYKKRTLRGSPLRYGKALINHSWPALGVAKAARRLLLVSPHLSPSAGSCVRFRLLGLCVLV >Sspon.04G0006150-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:13649382:13649831:-1 gene:Sspon.04G0006150-2B transcript:Sspon.04G0006150-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GGLLLVPQRAGGAGGRVRGAGGGHRLAVGLRVPVHVHIEAVRGLVVGARRRRQLLLRLGPGPLRDVRAHDAAALQAGPVQVLRRQVAVLRLARRRGLPGGPAQAGGPEAPQAVAELRRRPRRASRAPPLAAEALHQARVRRQEAGGRREK >Sspon.03G0030830-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:22914693:22916888:-1 gene:Sspon.03G0030830-1B transcript:Sspon.03G0030830-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding YHYNVADARLHQHIEKGNEDGLFISSVASSANLWALIMDAGTGFTSQVYELSPIFLHKDWIMEQWENNYYISAIAGATNGSSLVVMSKGTPYTQQSYKVSESFPYKWINKKWKEGFHVTSMTTAGSRWGVVMSRNSGYSEQVVELDFLYPSEGKLVDESPHNGQSRSSRKNREEGGKQAANKQTRRM >Sspon.02G0018560-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:62945666:62951264:-1 gene:Sspon.02G0018560-3C transcript:Sspon.02G0018560-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFMRGGGGSGRSTGTSGSLRSASLRDIDEEVAVVEADDGGGGKLYVAVGKDLKDGKSNLSAAQSLGLVGGDLKLVLLHVHQPADRIMNGLGCKVLASQLEEKELKAYRQIEQAEMDTLLDTYVDHCRSYLKVQAETLVTEKNNVANGIVELINQHLITKLVMGMSSFSTDANLDSTKADSPRSSSASTLSDETELPSRSVSLPPGHPGYRGSPDEPFLPRRSHSVSYPSSGLMGNNVERMSPIAQQSVHVKTTNWFPNSSLPSNEGSPSSSLKDSDSLDGSPVPASIISYEEQQMSMEENGMHNEVFEHLQQARAELERSRKEACEGRQKAERDLFEASMKSKARENSLRKEKKEVEEKLTKEKSILEKEKLQIYNELQKANEQRAQLENKLLQTNSVLEELQRLQGKLQREKEDALREVEEMRKLYSNRNFASAGEVSLTEFSYSEIEEATNNFDGSREIGQGGCASVYRGFLRQTTVAIKKFNREGAVGEKEFNDEVEILCRMRHPNLVTLIGVCRDPKVLVYEFMPNGSLEDRLQCKLHTDPLPWRMRVRIAADICTALIFLHSNKPKSIAHGDLKPDNVLLDANFVGKLGDFGISRSLDLTNTTVTPYHRTDQIKGTLGYMDPGYMLSGELTAQYDVYSFGVVLLRLLTGKSPLGLPSEVESCMSSGVLHEILDASAGEWPLEHAEELARLALKCCRLNRKDRPDLAKEAWGILQAMMNEPPPSSTHPPEADAPSYFVCPMTQEIMRDPHIAADGFTYEGDTIKDWIQRGHTMSPMTYLNLTHHELIPNNALRFAIQEWQMGQ >Sspon.05G0027920-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5B:57721387:57725952:-1 gene:Sspon.05G0027920-1B transcript:Sspon.05G0027920-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWSRISVKLTGRGVESGHGGPDASGHGGSLLDSNRTPGVTRPVSSAARPVADLLERCSAASGQQRDQRVRSSFARPVYATSASDHDGDAFLAGAGRLLAAPDALTVEAIACQQALQAASDIGISSIQWSSIESRCKVRNALSMEPPVHPKEDLSCTCPIRHRREERVGAAGVEGRRESAAAAEASPQSGSIAPPTSRICHAAHELDLPYLPDLSVGEEREPDLGPRGRRAPRRASTEIHTADATVVEREGHQDPPRVPPPPAQVATAGLPPLAEGGGGEGEGAGQGVRGGT >Sspon.02G0019010-4D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2D:57711443:57716185:-1 gene:Sspon.02G0019010-4D transcript:Sspon.02G0019010-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAILPRTVRRAAEAALSLHSVPPRPACHFARYLGGVSSACSYPCTASVEFLPWHNGGGILHRAASVDPTALVEPGAVVHSGAVLGREVVVGSGAVVGPSVSIGQSTRIGYNAVLSNCSVGDFCIIHNGASIGQDGFGFFVDEAGQVKKKPQMLYARIGDHVEIGTNTCIDRGSWRETMIGDHTKIDNLVQIGHNVVIGKCCMICGQVGIAGSATLGDYVTLGGRVAIRDHVSIVSKVRLAANSSVTKDIQEPGDYGGFPAESSASVVDYFLPLSALHASFRDSALCLDSLRHVIASRVCAQRPESRPGHARGTSAVLNVQSPDQVTPVARVPARTRTQTPQADRGQGNRFIQNFKLFFSSIYFFRPPPPNYR >Sspon.04G0017270-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:66630350:66633856:1 gene:Sspon.04G0017270-2B transcript:Sspon.04G0017270-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVYSGAVDRIIGRPPPKSGDVVLVADGTETIGWGLYNSVSMFCVRLMQLEEEARRDPTCALNMERLLEARISSAVDLRCSLGLPSADTNAYRLINSEGDRLSGLIVDIFAGVAVIASSAAWVEKYRQEIQFLVSKVMENGIVYLVSLEGQKTGFYADQRENRSFISLLSKDQRVLDLCCYSGGFALNAAKGGADNVIGIDSSGLALDLANENIVLNELNHGKISFVKGDATTFMKGAISENELWDLVILDPPKLAPRKKVLQSASGMYRSLNALAMQVVKPGGLLMTCSCSGAMTQSGMFLKTIQGAASMAGRKVTVLRQAGAACDHPIDPAYPEGQYLSNYLLRVA >Sspon.03G0046270-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3D:34550495:34550815:1 gene:Sspon.03G0046270-1D transcript:Sspon.03G0046270-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAGGIIVLASIRSSSDGTRLAAHSYCPHAAGVCDAPPDRPHLGERERAAEHGCNFVLHAHGYNFVLRVCCRIRLASPRAHKDRCAQGDHLCLRPLAVPADGTLAT >Sspon.03G0026320-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3B:2444239:2444391:-1 gene:Sspon.03G0026320-1B transcript:Sspon.03G0026320-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSDIIKEDEKHSNHSPVIMLLCLPYTREGDYIRTNEAVTIADHPTIRHIR >Sspon.05G0014300-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:52553131:52570964:1 gene:Sspon.05G0014300-1P transcript:Sspon.05G0014300-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRNQGSAPRALVVEDIKVDCVILMHMLHKLNCEATAVENGKEAVDLFLEGKTFDIVFSDKDMPIMSGPEAVAKIRAMGATEVKIVGVSADFGGREAFMQAGADVFVPKPVKLETLDIFMASRNQGSAPRALVVEDIKVDCVILMHMLHKLNCKATAVENGKEAVDLFVEGKTFDIVFLDKDMPIMSGPEVCIFMASRNQGSAPRALVVEDIKVDCVILMHMLHKLNCEATAVENGKEAVDLFLEGKTFDIVFSDKDMPIMSGPEAVAKIRAMGATEVKIVGVSADFGGREAFMQAGADVFVPKPVKLETLESMLKV >Sspon.04G0006320-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:18828212:18831672:-1 gene:Sspon.04G0006320-4D transcript:Sspon.04G0006320-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAATTNGSAEPALKFLIYGRTGWIGGLLGGLCAARGIPFAYGAGRLESRASLEADIDAAAPTHVFNAAGVTGRPNVDWCETHRAETIRANVVGTLTLADVCRGRGLVLINYATGCIFEYDAGHPLGSGVGFKEEDTPNFVGSFYSKTKAMVEELLKNYENVCTLRVRMPISSDLSNPRNFITKITRYDKVVNIPNSMTILDELLPISIEMAKRNLTGIWNFTNPGVVSHNEILEMYRDYIDPSFSWKNFNLEEQAKVIVAPRSNNELDQTKLKREFPELLSIKESLIKYVFEPNCKTSKA >Sspon.02G0057190-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2D:49319492:49322278:1 gene:Sspon.02G0057190-1D transcript:Sspon.02G0057190-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MISFLSAFPVYFLGTSRSWEIWAGNPQQRTGCGVSLAAAARHARAVDLCRLHCSSFMVLSSRHQPPLALFSSSLGRGAPEPVGFASGSQCPRMCRLLLRGIWMLRYKKIDSMRKVRIFFCDPDATDSSDDEDDQNSKKEKKIIREVLVPVRKYRTSRPLKAIMPCRIKDLKSPERKVPLSRYRGVRLRDSGRWQVEIRNPLTKRREYSLHDIEEEAAATYQAKWFQFHAEMLAMKAQEPVSEHAALSSLSLVSCASSSVLCKQNVQEARQNRGVFMEISEDELLHHEPMDESLLNSSTPMETSVDVRLNWKDELPFSDFVSPTDEPPLDDFTRLEAMFPVSDFIDATYEPLDDEYIGLADISHLPLQFKDPEFNLDAELDWSGFDFVSMESELELL >Sspon.03G0025630-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:325139:326022:-1 gene:Sspon.03G0025630-1B transcript:Sspon.03G0025630-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aquaporin TIP1-3 [Source:Projected from Arabidopsis thaliana (AT4G01470) UniProtKB/Swiss-Prot;Acc:O82598] MPVSRIAVGAPGELSHPDTAKAAVAEFISMLIFVFAGSGSGMAFSEYNYYLLMLTDGGATTPSGLIAASVAHALALFVAVSVGANISGGHVNPAVTLGALVGGNISLLKAVVYWVAQLLGSVVACLLLKIATGGAAVGAFSLSAGVGAWNAVVLEIVMTFGLVYTVYATAVDPKKGDLGVIAPIAIGFIVGANILAGGAFDGASMNPAVSFGPAVVSGVWENHWVYWLGPFVGAAIAALVYDIIFIGQRPHHEQLPTADY >Sspon.05G0015010-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:57509013:57510963:-1 gene:Sspon.05G0015010-1A transcript:Sspon.05G0015010-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLITLYALSLATVVVLIWFHSKAGIVRAGDKSKHEKRRRLPPGPWTLPIIGSIHHVVRGLGHRTMMELSRRHGPLMFLRLGEVPTLVVSSAEAAELVMKTHDLAFCSRPTTSVTIDIVGCKGKGLGFAPYGDRLIQMKKIVVVELLCAAQVKRIESIMADEVGRLLRSVAAAGGAGTGGVVNISDEVKALAPDLVARAMFGGNCAEKSDFIRRYNEVSELVSGFFLVDLFPSSRLVRWLSIGERRLLRSYGGIQRIIATIIESRKAASTSKCSLDHEDLLGVLLRLQKEGSLAFPLTSEIIGAVMFDIFGGATTTLGSTLEWAMSELIKKPETMKKAQQEIRSVLGQSRRGVITNTDLVGLSYLRMVIKEVLRLHPPNPLLVPRESREDCEVMGYHIPKGTKVLVNAFAISRDARYWNNPEDFSPERFENNNVDYKGTDFEFTPFGAGRRRCPAIMFATSTLEIALANLLYHFDWALPDGVSPEMVDMSEQYGMGVTKKLDLHLRAIPYVPPSTVA >Sspon.02G0028910-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:105112748:105116529:1 gene:Sspon.02G0028910-1A transcript:Sspon.02G0028910-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLPLEQAALVAVLNNVMGRLFAALGSVQAYKMLRDLEAEGDSLKQDLRMLAAAVDDELTGARGTTRRTAVARAYSREMRALTHDVDDCIERFVHRIAGSGLEGASWLRRAAHRVCTLRTCYRFAAEIRKLKRRVEEARARVLKPPEDQISGPRRRAADHAARHPVGIDRPMEEVLALLDLDQVEGQPRVVAVVGFGGVGKTTLARAVYHAAPVADAFPCRAWVVVCLPEDGGAAGILENIHQQLLPGQRYSESSLTKYLKDKRYLIVIDDVDDIEEEQWDTITSAFEGNREGSRIVVTTTFRPTANRRSDANGCVYKMRTLGMTDSMTIALRGRCTAELVQGSETLLKKCGGLPLALVSVARQLSSEDEPTGQFCSELCSKLGSYLEREDGEPNFARLRDVLMDSYTSLSDLNVRTCLLYLSIFPNDRPLRKNVIIRRWLAEGYALSEDNTLSEQNVANGNFKTLIDRNIVLPVKTRKNAEIKTCKTHGIMHEFLLHRAMHEKFIMCSHAPSDQIIRHLFVNGHVCDDTKSTKTMKMDLSRVRSLTVSGNAGGAISDLGKYKLMRVLDLEECTDMNDSHVRKICKLWNLRYLSLSCNITNLPKEIAKLKLLETLVLSKTVVNVLPVEVIGLPCLVNLIGKFKLLDRYSMLSCKMERLSKNEGLEDLFRKSKIETLAGFVDDGSQKQGFLQLMLHMKNLKKVKIWCESTADVGDNNHLKAELVKAIGQYIKTPMGAGDARSLSINFQGVPGGSLNALQELCSHSTSLQETYYLSSLKLHDNLSTYPEFIGILSGLTELCLSSAIISRNLLAVLSEMPLLLYLKLIADEIDGFVIKGGTFQSLRRLCFLVRHQNPVLPIVEEGALPELVSLQLLCKHLTGPLGIEIRHLRMLQEIELHPEVSDPLRQEWEAAASNHPSRPIVLPFITCNDPVGNEPKNPFASPEESGHEEVVIQGQLAHEAPRPYVQHRPLSTCDCSGLSSEIDDSTYHEPMESTIGTEEAALEILIDEQLLIEEPLEHTPVQTTHENSM >Sspon.01G0036000-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:14277238:14285181:-1 gene:Sspon.01G0036000-2D transcript:Sspon.01G0036000-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein RIK [Source:Projected from Arabidopsis thaliana (AT3G29390) UniProtKB/Swiss-Prot;Acc:Q9LIA4] MTEDRAHKVADEPAAAGRQRPERKKRKWDQPAEDLVSAAVKAAAVAGMPVMNFGALPGVALPGVTAYGAATLPSVVPVPYSLPPHIAPLVLQNAAVAVQKSSQAKIPDEVIAREIVINDADPSVRYKLTKRQTQEEIQRCTNTVIITRGKYHPPNEHPDGEKPLYLHISAGSQLKDTAERIKAVDHAASMIELKDTAERIKAVDRAASMIEEILKQGTISESISVPFSSSGGQDQYINHIMRETGVTVVLRGEGSENLGSCHGEASQQPLHLYLASMHLKNLEAAKVLAENLLDTIAAEFGASRISSSNVYGAVPPPQQLLAGTDTSGARSDVHYTLGPNVLSGAPHSFASTAVIAPAVAVQSGAPTYSGVPSPSNMAYPIPPVAFTLKHASSSAAQAVPVTSTPTSMATKENSTLDVEMDKRSRRKFQELPVSKGPTESQVFSLTLSEAHRNLMDECSCFLSSFQNSQQGPKFVKTGLDSLGNIGSSSIAPPKKVHPGSNGMLPPEEADMSSHLSISTKMPPPPLKSMLPPPPKNMPPPPPPPKSMPLPPPPKNMLPPPSKSMPPPPPKFPLDKLLSRKENKTFALKELTAPPRPLDARSVLPSQRQPKEPEEQTKGTPVSDTLLKLIDYGDDDDDDDIDVTDNIPKGNPTPGSEQKPFWAKAEEFVCWLQPSTMLSLPRTAAAVTVYALVALIAGAGAAAAGGGEQPLSRIAIHRATAAPQPGAFVDASPALLGLQ >Sspon.04G0021420-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:543230:545269:-1 gene:Sspon.04G0021420-2D transcript:Sspon.04G0021420-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LLHHHAWCGAGGHRRGDRQHAAGMGQRHHRGRRPLHQARLPPRVPARRRGPPRRHLPHRGHPHHHLLRARLRRRRPPPHAHRLLAALLPRAPRRRLRHRTRRHARPRLHLRDGAARDPRPPQHAPAVHGIRRHVPRLLHGLLHDAGAATGLAPHARRPLTPLPPLPAPHRLLPPGVAALARQQGQDEGGQGRAPDAQGTGRRRGGDGAPRRRPGNRRRHGHRGVHRRAPGPRRRECQLDSPVRARARHVVGGTAVAARRAGQHAGKRHRPGFAPGQHAGPPQGPRRPAPRQRARPQAPRRGRRHEHPRQHALLQPRQRAQLPRQQAGAPGLGRGDRRRPVGRRCQRAAAGRPRAEQHDGRRRHGHHHGHRRRLAAGVEVHGRAGGRREEDVPARGGERGRRGRRARGGAGEPVGAVPARRRECTRTGVRAPGGGVGGDRRRGAVARAPGAWRAARAGVRRHHAGAAAVLGHQRRTLLHAADPGPGRRQRAAGEPGAERRLHVHPHQRAHHAADAAHHRRGDAAHGRVGAAEPAAVDDPGADRVAGGAGGGERGADGGDGARGGGHGERHGVPVLLRHGVRAHPQHPVRRDLPDAGAGALHRHLLARLLARRHRRHLQPAGDAQMGGAHGGVRLTPSSAAWRSPSWRCGCRRPRACPSRSSSSSSTLEQEGCQPWQPMQSR >Sspon.08G0030850-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8D:66283589:66285016:-1 gene:Sspon.08G0030850-1D transcript:Sspon.08G0030850-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VQILVENKKSFDLRRALTLLAGVQPIEIETNVIDEVDEGINCEIVRSVLMERANCPYLAAQTATEMEAFSRTDTFPKVVEAYSRPTRIIRGKEIESVLEVDPSVFEKDEEKVLWSAYLEVADKIHPGVDIKTFADASLLLIQPLEDFFNSVFVMAEDERVRNNRLALLRKIESLPKGIADLSVLPGF >Sspon.07G0009960-3C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7C:25555442:25557315:-1 gene:Sspon.07G0009960-3C transcript:Sspon.07G0009960-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding METSKSPPLYSPPREPSAATVMLCPCLAGALLLLPPRSRSLTGSPLHATPACGAYDVFWGFAAGRFLSTSAASDEDGDSSEQAAAAASNPEVVGRVSAAIADVIAAGADANLEAALSALSPPLCEAAVLAVLHRFKHAHRPSYRFFRWAAASGGFTHTTITYCKMLHILGKTRQFELMVAIIHEMGKDGALSMDAFKVAIKSFAAAGEIKNAVGVFELMRKNGFDDGVESFNCLLVALANEGLGREARQVFDKMHGQYSPDLRSYTALMLAWCNARNLVEAGRVWNEMLEKGMKPDVVVHNTMIEGLLRGQRRPEALKMFELMKAKGPPPNVWTYTMLIRDHCKRGKMDMAMECFEEMQEARCQPDVATYTCLLVGYGNAKRMDRVTAVLEEMTQKGCPPDARTYNALIKLLTNRKMPDDAARIYKKMIKKGLEPTIHTYNMMMKSYFLGDRNYAMGCAVWEEMHQSGICPDVNSYTVFINGHIRHGRPEEAYKYIEEMINKGMKAPQIDYNKFAADFSKAGKPDILYELAQKVKFAGKSDVSNVFFQWADRMKSRVKRTVPNQIGNRMF >Sspon.04G0021500-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:1102296:1108105:1 gene:Sspon.04G0021500-1P transcript:Sspon.04G0021500-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGGGMGNPVNVGIAVQADRENREFISNISLNVRRLFDFLLRFEATTKSKLATLNEKLDILERKLEVLEVQLQLPVQDLSLRGRSFISKPSNKVMVMHHQCPAAAASSSSPAAAAARGLQAAHPSRRRPRALVAVRAAGGSDDASGGESSSSGGGEEDDDGDKQKYRSNKEGGGGLSRDDLERLVGTDDDAKFNGLDLANLIRKKYGRSYDVTLITLIKKEFMGRNLLAMNVMWKYREQRSFPLSEEEYLLRLDDVANTLKCWGAVAHVRNTLEKLKERPRIGKAVSIFIDMDQTGGRSNEWIYKYPSLLTRHHLLVRLLSTQTQLATPPTPTTPADLSRLKSSIRDAATSPDALATLFLSGLPHPAFLADHLLFALSVHRLASASRRDLVASVLSSSLTALPSPHPSEGFLLRFISLYSAAGMPDHSLTVFRLAFNTLPAELGIKPGLVSHNVLLKALVASGDVATARTVFDEMPDTAGVQPDIISCNEILKGYLNAGDDAAFDQLVKEITGPKRRLKPNVGTYNLRMALMCSKGKSFEAEELLDAMGANGVPPNRVSFNTVIKGLCNEGEVGAAMALFKRMPEVPRQNGKGVSPNFETYIMLLEALVNKNLFDPALEVCKECLRNKWAPPFQAVK >Sspon.01G0001670-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:4200270:4201764:-1 gene:Sspon.01G0001670-3C transcript:Sspon.01G0001670-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MISTTDFAHHTMEQVEAIRNLFAALFLASIGMLIHVKFLWNHVDILLAAVILVIIVKSIVVTIVVKAFGYSIRTAFVVGLSLAQIGEFAFVLLSRASHLHLVGGKMYLLLLGTTALSLVTTPLIFKLIPVVMHLGILMRWFPSENSMQSEDKAVMLEAHNRSL >Sspon.07G0011900-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:47182636:47186108:1 gene:Sspon.07G0011900-2B transcript:Sspon.07G0011900-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAHATTTISARAGPAPGAGASARGERLGFRRLSSVASRGLRSPLPARRPSSAAVSRRQRVVRAAAADTLEGKAATGELLEKSVNTIRFLAIDAVEKANSGHPGLPMGCAPVGHVLYDEVMRYNPKNPYWFNRHRFVLSAGHGCMLQYALLHLAGYDSVKLIAFYDDNHISIDGDTEIAFTEDVTTRFEALGWHTIWVKSGNTGYDDIREAIKEAKAVTDKPTLIKVTTTIGFGSPNKANSYSVHGSALGAKEVEATRQNLGWPYEPFFVPEDVKSHWSRHTPQGAALEADWNAKFAEYEKKYAEDAATLKSLITGEFPNGWVDALPKYTPESPADATRNLSQQCLNALANVVPGLIGGSADLASSNMTLLKMFGDFQKGTPEERNVRFGVREHGMGAIANGIALHSPGFVPYCATFFVFTDYMRGAMRISALSEAGVIYVMTHDSIGLGEDGPTHQPIEHLVSFRAMPNILMLRPADGNETAGAYKVAVLNRKRPSILALSRQKLPHLPGTSIEGVEKGGYTISDNSTGNKPDLIVLSTGSELEIAAKAADELRKEGKTVRVVSFVSWELFEEQSDEYKESVLPEAVTARISIEAGSTLGWQKYVGAQGKAIGIDKFGASAPAGKIYKEYGITVEGDSAVEAPIRTRKTDAAKAVPLLDAPRLG >Sspon.03G0015420-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:73525652:73529737:-1 gene:Sspon.03G0015420-1P transcript:Sspon.03G0015420-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MERRRKAMWLYPKVVGFNPPERWGHSACFFEGVVYVFGVCIFNQPAAVLFHFMFILLIPGCCGGLHFSDVLTLNVETMAWSSLATTGQRPGTRDSHGAALVGHRMLVFGGTNGGKKVNDLHVLDLRTREWTRPQCKGAPPSPRESHTVTVVGGDRLVVFGGSGEGEGNYLNDVHVLDVPTMTWSTPEVKAADRAPPAPRDSHSAVAVGARLFVFGGDCGDRYHGEVDVLDVDTMAWSRFPVKGASPGVRAGHAAMSVGSKVYIIGGVGDKQYYSDVWVLDVTNRSWSQLEVCGQQPQGRFSHTAVVMNTDIAIYGGCGEDERPLNELLILQLGSEHPNGRYNISMCKVLSNHWSQEKRKFLRSETKDAGVSNGEMVQKPREAEIDQRNRFLRGLENGRAKRRKTGEVRPNEPESEQEEHSLSLSQHSSPSQSDQEQNGAHKLSASPNTSISALQPFVRLKANGALRAPGPGGISSRPLKTDQFLRTIAPQHRQEVQFLSSDHKPQPRPPGPPLIGAEVHGTIDGAFDSGYLMTAVVNGQLFRGVLFAPGPGVTAPRPTVHHQILTSSAVPPQQQRPLLAHAIPVHARPVPQATGFVLPDCAHHARQGFPAKAVKSEPERGSSDLHDVVLTLGGPGGGK >Sspon.02G0029030-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:105207662:105208069:1 gene:Sspon.02G0029030-1A transcript:Sspon.02G0029030-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ICVAEWGH >Sspon.01G0048680-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:100522831:100523934:1 gene:Sspon.01G0048680-2D transcript:Sspon.01G0048680-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAACHHAAARGLALAIVAAGVLAWQCAAQQAPSSGPGCMPELVSLNPCMDYMSGNETAPDGPCCSAVSGMLRSSPGCLCMVVGGTAASLGVAVDGDRALRLPAACKVQAPPASQCNAVGVPLPSPAAGTATPGAPAVAPSDASVTPAGSGSKATPASTLPYSDGNDSKPGTFIVFAAAALALLHRL >Sspon.08G0006950-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8D:19316611:19320761:-1 gene:Sspon.08G0006950-2D transcript:Sspon.08G0006950-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNESLASLLLLTLIHLLSFVSAYDFLSAGSSLSVEHSSDVLHSPDGTFTCGFYNISPNASTFSIWFSRSSERTIVWSANPLHPVYTWGSKVELDVDGSMVLKDYNGQIVWTNNVSASDAGHVQARLLGTGNLIVKGKDGAILWQSFDSPTDTLLPTQIITAPTKLVSTNRLLVPGHYSFHFDDQYLLSLFDDEKNISFIYWPNPSRTIWEKLRVPFNSSTSGAFDTWGHFLGSDNATFTAADWGPGIIRRLTLDYDGNLRLYSLNMEDRTWLVTWMAFPQLCKVRGLCGENGICVYTPVPACVCAPGFEVIDPSERSKGCRPKTNISCDAQMVKFAKLPHTDFFGYDMTAHHLVSLDFCKNKCLHDCNCKGFAYWEGTGDCYPKSVLLGGVTLHNLGSTGTMYIKIPKGLEVLETSIPQSQPFGPKYGPDCTTTDKYVIADFLDVLKRQKSESKFLYFYGFLSAIFLAEIMFVVLGWFILRRERMELGGVWPAEPGYEMVTNHFRRYTYRELMSATRKFKDELGRGASGIVYKGVLEDNRAVAVKKMAEINQSAEEFQHELAVISRIYHMNLVRVWGFCSDGPHRILISEYFENGSLDKFLSDTKSSEILLGWKQRFDIALGMARGLAYLHHECSEWVIHCDVKPENILLDENLMPKITDFGLAKLLNRGGSNINVSKIQGTRGYLAPEWVSSLPITAKVDVYSFGVVLLELLKGVRVSDMENNEDEEVEMVLGRIVRMLNENLQLDGTEQSWIPGFIDTRLNGDFNYLQARIMMMLVVSCLEEDRSRRPTMEDVAQMLVSVDECSEWVIHCDVKPENILLDENLVPKIADFGLAKLLNRGGSNINVSKIQGTRGYLAQQRLMSTALEWCYWNYYWEHVSQTWKMRMWKRRRSLEGYQLSRMVKEKLQLDGIEPSWIADFVDARLNGEFDNLQATTMLRLAISCLEEDRDTRPTMENVVQILVLVEDVSGTSLMNRLWLTIVNNLKENLTAASCSRPAVSGGPALYEARWRCTLHGIGSRWAFGLDNSEPSRWAATASMAHTA >Sspon.04G0015520-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:64052223:64055722:-1 gene:Sspon.04G0015520-3C transcript:Sspon.04G0015520-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHWPEQPVNVIINWLKSHNASWTVADFGCGNAAVAKSVKNKVFSIDLVSDDPSVIACDMAHTPLEPSSIDVAIFCLSLMGINYPSYLEEANRVLKPSGWLVIAEVRSRLDPNNGGADPEKFSKAIIQLGFSLVSKDVKNKMFILFYFRKKEKCKVAKSIDWPQLKPCLYKRR >Sspon.06G0022760-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:33468328:33469482:1 gene:Sspon.06G0022760-3D transcript:Sspon.06G0022760-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVDHGAAGNGNHVQQSRRTTAELSSSGPPRKPPLVDDDGRPLRTGTLWTASAHIITAVIGSGVLSLAWGVAQLGWVGGPAAMVLFGAVICYTSTLLAECYRSGDPMFGPRNRTYIDAVRATLGDSKERLCGAIQLSNLFGIGIGVSIAASVSMQAIRRAGCFHYRGHEDPCHASTSPYIAIFGVMQIFFSQIPDLDKVWWLSTVSAIMSFSYSTIGICLGVAQIVVHRGPRGSLAGVIGAGAGVTVMQKVWRSLQAFGNIAFAYGFSLILLEIQDTIKSPPPSEAKVMKKATAVSVAVTTVIYLLCGCVGYAAFGGKAPDNLLT >Sspon.05G0007750-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:25807649:25809488:1 gene:Sspon.05G0007750-4D transcript:Sspon.05G0007750-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MANLLHQFMRELMAPRAWFLLLLPLLLLLVRYSVTTNRARKRQQLDEDVDNHLPLPPSPPALPLFGHLHLIGSLPHVSLRNLATKHGSDLMLLRLGAMPVVVVSSPRAAEAVLRTHDHVFASRPHSVVAEVVLYGSSDIGFAPYGDHWRKARKLVTTHLLTVRRVQSFRHAREEEVSMVMTRIAEAAAAGAAVDVGELLGSFTNDLACRAVMGKSSGRIRSKLLRELIADTSPLLGGFNVEEFFPFLARFGVLSKVVRAKSERLRKRWDELLDGLIDDHESMYEMMAAAAAAPASELKDEDEDFIHILLSVRQEYGLTRESMKAILLVSSSDLMFSHDVFFGGIDTAASLLEYTIIELIQRPHVMKKLQAEVRSSLPQHQQGRQEIVREDDLHNMAYLRAVIKESLRLRTVTPLLAPHLSMTQCTIDGLVVPAGVHVLINVWAIARDARFWEDAEEFVPERFLDGGSAADVGFRGTDFQFLPFSAGRRQCPGINFGMAAVEVMLANLVHRFDWEMPAGKAARDIDMSEEFGLVVHRKEKLLLEPKLCA >Sspon.05G0022650-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:3775891:3777468:-1 gene:Sspon.05G0022650-1B transcript:Sspon.05G0022650-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKKRKADPAESAAAAAEAAAGNHRQEPASLEPKPRGTIYFPITDDPPEPTAADEDEDEGAGDDEDDAEDIAKLLEPLSREQLVALLRTAAEASPATMAAVRRAAEADPASRKLFVHGLGWGAGAEDLRSAFSRFGELEDCRVISDKQSGRSKGYGFVLFRSRRSALRALSRPQLQIGGRLAFCHLAASGPAPPASQSQNPSSNANTNANSSSNTTTNASGSSSSQPDNMQRKIFVGNVHSDVDVDRLYEYFAQFGEIEEGPLGFDKNTGKPKGFALFVYKSADSARRALEEPMKNFDGKTLNVQKAIDGRTKGSSGTNTNANSNPTTASVAAAAAQMTAPASAAISPYDASAYGATAVPDMSFAQQAAMLGLGAQQQAFAQPNAMLAMIAAMQNPAALGMTPAMLAAMNPAFAAAALGAGGQQAHTAGLTGFGAQGFGAQAFGAGGAAFPNAAGVQAAAAAYQGAGAPPGFQGPPGFQVGQATTQTSTAAAAAAAAASAAGYQAGAAGQGQAQIGGTGFQGGY >Sspon.03G0020230-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:81497236:81499650:-1 gene:Sspon.03G0020230-3C transcript:Sspon.03G0020230-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSFGGRIVPRPTDKSLCYLGGETRIVAVDRHASLADVHARLSPVAAGRPPLHAQLPNEDLDSLISVSTDEDLDNLVDEYDRIAATSSGGGSSRTSRIRLFLFPAKPESSSSLGSLLDDSSKSENWFVDALNSAISGSLDGIPRGISTDSASVNCLLGLEDDSSVHSRSGVTNSAPTEDQRASQPKLPAGATAGAGRHPHDVQSVPDSPMLDKNSSFGSTSSAPSLSNLPPIRVRPEDRPSDPRIMQPTAVEDHFAQMGISEQQLPPYIQPQQQVPIPAMTGMSPSEASSRVFSDDDKSDHGGGGRKQQPLKQEVPPVVDPTNRAVYYNDRSPPADLKRDMPVGTEAASYRLPVSAPDAAAAAGPTQVPPGYVLTQMHAPQPPQQHPPQQQQQQQQQQPQQPAPQQIVSAGNQHFIHNPATGAFIPIQSYYHHPVPQQAPQTVPRPQQGPTFDPNTGMYYLPMQQNAHQPYSMPPGAQVTLPPPTLVDTTPKPTVPIPQMAVRPEMQQPGVYRTTAAATPAPAPNAAPGYAGMAYHHVIQSHHHPSPQPVANMGGNFGYEYADPTRPQVYYSHAAAPPTLPPQYQPIVSPDAGQAEKH >Sspon.05G0014300-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:51924574:51934948:-1 gene:Sspon.05G0014300-1A transcript:Sspon.05G0014300-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQSSSSSSSEVPQARVRYVQAAAASAGVQFDEEMRWLCRVREALEHASAESLGAFPKVFDVPRALRDTKPDAYAPQHFALGPYHRSRPDLKDMERYKLAAAKRAERLFAGDHKIPDLVYRFLTLQGEIRGPYHRILELSNETLAWMMAVDTCFLLDFLLGRYQQQDAAATDVVVSSATNWIDATLRDAMMLENQLPLVLFARNLELRYGSEQAAADVLRAVLDRFIKDVCPIKTYASTAVPDFTKQAHLLELLYHFLVPPTAVFDDNSGQDIPPPALEHDQADDGGDLEKQIPAEYDKVKRACLQVSRMRFVKENLISRPKNLSGRLIRKMPPALSGLLPVVGKMIASVDMEARLKDVNMGTHVVDSPLAQEIKIPSVTQLAGCGVRFLPSPEGIAGVAFDEKTATLSLPVIVLDSNTEVVLRNLMAYEAVAVRGPLVLARYTELMNGIVDTAKDVKILQQSGQGRYSRCSPRISKRHAPPKPQTTYSENNIDDTLSIFMASGNQGSAPRALVVEDIKVDCVILLRMLHKLNCQVTAVENGKEAINLFVEGKTFDIVFLDKDMPIMSGPEAVAKIRAIGATEVKIIGVSADFGAREAFMQAGADVFVPKPMKLETLEAMLE >Sspon.01G0001360-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:12485633:12489784:-1 gene:Sspon.01G0001360-2C transcript:Sspon.01G0001360-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHHLTPTPPSPMENSAAGPSSKPPTPASTPNSRLASAPSSRHSTTPTHASAPASAPTPASRTVYSDRFIPSRTGSNLALFDLAPSPSAASSSHEGGPAASSGSAPAASPYCTLLRAALFGPDTPDRVASSATACSSSSSPGPSPVGTPATGNIFRFKTEVRRSAKRALFSGEEEEDALFPGIFTTRGAGPRKVPRSPYKVLDAPALQDDFYLNLVDWSSHNVLAVGLGNCVYLWNACSSKVTKLCDLGVDDNVCSVGWAQRGTHLAVGTNQGKVQIWDATRCKRIRTMESHRMRVGALAWSSSLLSSGSRDKSILHHDIRAQEDYVSKLTGHKSEVCGLKWSYDNRQLASGGNDNRLFVWNPHSVQPVLKYTEHTAAVKAIAWSPHLHGLLASGGGTADRCIRFWNTTTNTHLSCVDTGSQVCNLVWSKNVNELVSTHGYSQNQIIVWRYPTMSKLATLTGHTYRVLYLAISPDGQTIVTGAGDETLRFWNVFPSPKSQSSDSLSCIGGTSFVRSYIR >Sspon.01G0036870-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:19851240:19853172:1 gene:Sspon.01G0036870-1B transcript:Sspon.01G0036870-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGSTATVLAVLAAAALPRLEHPAKNDGSLSLLVVGDWGRKGACNQSRVAEQMGRVGEKLDIDFVVSTGDNFYDTGLTGVDDDAFEQSFTDIYTAKSLQKPWYLVLGNHDYKGDALAQFSPALQKIDSRFICMRSFIVNTGANLYFSQTRDLDEALKKSTGKWKIAVGHHTMRSVSHHGDTEELLQLLLPILKINDVDFYINGHDHCLEHISSRDSPIQYFTSGGGSRAWRGFFQPNEDKLKFFYDGQGFMSLQLYQDQAEFTFYDADGNILYQYSQWSLRETYLRPSYVAKE >Sspon.03G0022560-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:91349736:91352962:-1 gene:Sspon.03G0022560-2B transcript:Sspon.03G0022560-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGTPVGVDNTSRRKFDKEEYLERARQREQREKDEARKGKERGPPVQRQPLKHRDYEVDLDSRLGKTQVVTPIAPLNQQVQKRFEALKKRKDPSAFTEQDLDERIMKQQQEEEERKRQRKEKKKEKKREQAAQHEPEDVDPDIAAMMGFGGFGSSKK >Sspon.01G0030600-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:106007533:106018020:-1 gene:Sspon.01G0030600-1A transcript:Sspon.01G0030600-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCRPVRVRLRGKKNPESLPRPGRDRRRTRPKKTKSHLLSPPPHPLPELRARRAARQSVHVVRALHQLMVSRNKWQDIGFNIWTRRLKILSEMDKKKAAGDTEPGPAPSRAVDRFGFIKPEQSNSPDGIPKGKSIHEREREERRIRKWRKMIGVGGSDWKHYVRRNPHVVKRRIRKGIPDCLRGLVWQLISGSRDLLLMNPGVYETLVIYETSASELEIIRDISRTFPSHIFFQQRHGPGQRSLYNILKAYSVYDRDVGYVQGMGFIAGLLLLYMSEEDAFWLLVALLKGAVHAPMEGLYQAGLPLVQQYLSQFEKLVMELMPKLGQHFVEEMINPSMYASQWFITVFTYSFPFHLTLRVWDVFLYEGIKVVFQVGLALLRFCHDDLVKLPFEKLLHSLRNFPEEATDPDVLLPLAFSFKVSTRLEELQKEYQNPGAGTSETSSSKRLQPLISKTMSRVGSRVVSNLTAVSNLTADRK >Sspon.01G0050330-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:465280:467587:-1 gene:Sspon.01G0050330-1C transcript:Sspon.01G0050330-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSCISKNEWGCISKNEQISGRRKGTEEEIEEDVQVVVQIDAPARLTLRLSTLSVRSVELDGKPMNKELVGPILLHLCDLMSSWILLKVEV >Sspon.02G0027060-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:97863071:97867984:1 gene:Sspon.02G0027060-1A transcript:Sspon.02G0027060-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDAANPTPPPSTSTAASAAAAAAQHQQLQRQLFLMQQAQSHPQQLSQQAMSRFPSNIDAHLRPLGPLRFQQPQQPQPQPQPSHSQGPSQSPSQATQQASPPAAAAGSGGGGGGGGRRRRPRRRGPEMEMALQDAMRVCNPDVKTPFQSIEDAVNRLLPYHVVADYEAEEDDRILDSDTTGQIPSRLQQWDHNILVKIAEFTTTFDKQVLAYNIMTKKRAIGEFRSEERLMLEQALLQEEKQAMLGLRAEMESREKAGREAAEVKMRMAMEHARAEAQAHSEMMNHGPIRASAVASQGDDGPSHDIVQEHGEDEWGNSQRDDEDPSEDFLNDENEPENGNSDGQEDWRRSGELDLNSSPQHVPFSTRKKENSRIHFVYIHDLSIHGP >Sspon.01G0028930-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:100121206:100122460:-1 gene:Sspon.01G0028930-1P transcript:Sspon.01G0028930-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAPCCDKATVKKGPWSPEEDAKLKSYIEQNGTGGNWIALPQKIGLKRCGKSCRLRWLNYLRPNIKHGGFSEEEDRIILSLYISIGSRWSIIAAQLPGRTDNDIKNYWNTRLKKKLFGKQSRKDQRQHQFMRQQAAAANDGMMKQEAATAGDAAGSSSMAVASYNWHHQAMAAVPVQPIPGSIMEGHRAGDEVDESIRKLLYKLGGAGPFTALSVPQCVPPMYEGSPGLMPPPASCPAADAGTSLDEGGVQGSGVLPALELDQGFHFNQVKLDGLESFFGMGTAQSMRWSEVSPLICPNNTVAASSSQGMQQYCLVVDEPGNLGM >Sspon.02G0004780-2P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2B:14575576:14581449:-1 gene:Sspon.02G0004780-2P transcript:Sspon.02G0004780-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKQSRELASDGARQDKKFSIDLMAPPPGKLSDDIDGSSDLDADKKGLDSEMDMLGRGNSEKKDGERIRRGLDIDLEDQKVQRIPADELVPKKFTLQLDLEKPSLGDEKSPSERRQQQPQPQQLQQQKPSKAEIKHEKSAMPAVTPPMPIPVGGWLGNFPPFGYLSPVPGLSAAGLHHPMDVKPGSSSGLQHAALLPPPTRSKRCATHCYIAQFIQHQQRVAKMNSFWPPAAAAAAAAAANRSGPFFGARPFGMGVVPPTDAASMLVNPMQGSYAVRAHTPMQEAKAPSMATSPFQGSLSKDKALGNAAGAESSQRKQPPAHETQQSTPIPNMLQAPAFIFPFNQQHAMVAAANAANRAGDAKSSGASNAMPPSASAHTSAANSGAAAMNLSFANLQPDAQFLAILQNGYPFQVAAHPGGPPYRGMAPPGPAVPFFNGHVYSSPMLHPSQQQGMQPQGHQKTQMPNLPSSSQKHQPQQSQGLLGYAPNANAAAAAASNLQNYSSGNQRPVLLPGLTHRQESDKTGQDGPSSDDKPSHSQKSGYEHNFAVPVHLPNFAMMPAAQPAGSQSEKKSSDHHHHQQQQQPQVSRGQGVRIDLASSQPFVMPFGSIGPGSTPTGLDFSALAQNPAVFQSHQEAARHGYPQLNFAAAQSMQSTQHKPQHGETKSVAGDSSSTPIAGDSERKKSTSTKYSGDSQQHSLSFTRTESKNYVTPFLSGSTNESSSRTLSLIGTESSNAFMGSKPTNSTTPASTPAAASSSISQQQQQQHQHFLQMQVQQKHQQHQQLIQHHHMNRPRSAAPSTQNSTGRYPDGLSMASFQNMMYPSSAAQGGVQSPQLKASSGRGTPSSTATTPPAAPPPNLIVMKNSSLHQQQAKVPMQALSTPGHQPPSSLSMNSSKMGPSVTNLSTGGGDLSRSSNAPVASGSPSNSVSKSTGGSPPATGNAKGVQQPVQLPSPQQQSAKNSASTSGSKSTPTNHFSMAMPSILGQQPNASPGSNPGSKQQSHMPPSSMKQPPFQQGHFFISNTYAPQAPGAGGAATLGLYQKRPGDKTQQQAPHQQNALSAATQSAGGVPHTHMSAAQLTFGTMPMPLKPSSDQKPAAGT >Sspon.01G0017430-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1A:63932467:63936869:-1 gene:Sspon.01G0017430-1A transcript:Sspon.01G0017430-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFPSVPPSGGAQIPRCPVIF >Sspon.05G0031470-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:2423500:2424070:1 gene:Sspon.05G0031470-2D transcript:Sspon.05G0031470-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding HGGGVRPGSGCLRAPPPPPPACSGRGRRRRRCQPGGGGVAGESCPCTVPRELHSRLRARVLGAVRAVVAAEPVPEGVRILLRPLPLRAAGHRREPRRLPLLRCHDHPRRPPQVPL >Sspon.01G0051860-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:18550191:18554228:-1 gene:Sspon.01G0051860-1C transcript:Sspon.01G0051860-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQAVTVLEELQEAKGSVKRLAGGCNTKLLNHSASSTARHGTLTSGDMSLPDKVTDRSSKHTLESPATRVISTTTRVLTTVLRTTTTTSAPTMELWVNL >Sspon.04G0019450-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4D:77225484:77232129:1 gene:Sspon.04G0019450-2D transcript:Sspon.04G0019450-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGACFSSASAAPAGAADERRLSKDDDGKRGRRAARASPEGAAAAPVRVEFGYERDFEARYEVGRLLGHGQFGYTFAATDRASGDRVAVKRIDKAKMTHPVAVEDVKREVKILKALKGHQNIVHFYNAFEDDSYVYIVMELCEGGELLDRILAKKNSRYSEKDAAVVVRQMLKVAAECHLRGLVHRDMKPENFLFKSNKEDSPLKATDFGLSDFIKPGKKFHDIVGSAYYVAPEVLKRRSGPESDVWSIGVITYILLCGRRPFWDKTEDGIFKEVLRNKPDFRKRPWSSISPGAKDFVKRLLVKNPRARLTAAQALSHPWVREGGEASDIPVDISVLSNMRQFVKYSRFKQFALRALASTLNEEELADLKDQFDAIDIDKSGSISIEEMRHALAKDLPWRLKGPRVLEIIQAVQHTGLKGSIEPLLEEADIDKDGKISLSEFRKLLRTASMSNVPSPRGVPNPQAL >Sspon.08G0009050-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:39774715:39775830:1 gene:Sspon.08G0009050-1A transcript:Sspon.08G0009050-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADRRSNGGSPPPRWSFASQSSHLAATMRGSYAPQSLWRPSAVGGAALSGPTPPRAAVVASAPAEKNKKNFAADFLLGGVSSSVSKTVAAPIERVKMLLQNQDELIRTGRLSEPYRGIGDCFGRTVRDEGVLSLWRGNTTNVIRYFPTQALNFAFKDYFKALFNFSRDRDGYWRWFAGNIASGSAAGATSLLFVYSLDYARTRLTNDYKAAAAKGGGGGERQFTGLVDVYRKTLRSDGVAGLYRGFNVSVVGIVVYRGLYFGMYDSFKPVLLTGKLQDNFFASLALGWMITNGANLASYPLDTVRRRMMMTSGEAVKYKSSMDAFAQIVRNEGPKSLFKGAGANVLRAIAGAGALAGYDQLQLIFFGKKYGS >Sspon.06G0010320-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:40862301:40868899:1 gene:Sspon.06G0010320-4D transcript:Sspon.06G0010320-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Light-mediated development protein DET1 [Source:Projected from Arabidopsis thaliana (AT4G10180) UniProtKB/Swiss-Prot;Acc:P48732] MARFFRSGNLASRVFDRQLLSPRPGAAVNTIRQFYENLVPSYTIYDIDCPDYSFRKFTDDGKYLVAFSRNHQDLIVYRPIWLTYSCNEDCDSHDLPAKSKKFDSFFKQLYSIPLASSNEYICKDFFLYMECNQFGLFATSTAQSNDSTATEGAIHGVPSIEKITFYLVRLEDGVILDEKAFCNDFINLAHSIGAYLYEDLLCIVSLRYQTVHILQIRDSGNLVEVRRIGAFCREDDELFLHSHAQRVQHLKKKFYFHFQDYVDLIIWKFLDRHHLFIKFGSVDGGVSRSTDQNLAFFAVYNMETTDIVSLYENSSEELYSLFEQFYDHFHANPQDSSHGKFISSHSNDVHALDQLRTIKNKASSSSQFVKKMMASLPYTCQSQSPSPYFDLSLFRYDEKLISAIDRHRHCTEHPIKFISVRQPNVVKFKIKPGSDSGASDSRAKRISSFLFHPFFPLALSIQQTYMQPTVVNIHFRR >Sspon.01G0013980-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:38572295:38574495:-1 gene:Sspon.01G0013980-1A transcript:Sspon.01G0013980-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRDSSSSSFSVSDGSSEAGERGGGCCSSPSTRSLLDAAAGNLSRTVSDVSTSAFSEQYSSSVEVDHSGPFEPTAAVAKLIGGRSPASAAESLRRLSIKPRADVLDRRSTDDEMKLVKERFSKLLLGEDMSGGGKGVCTAVAISNAITNLYGKHLSKQGNGAKTRNISCTGLLACIFIDQYYTTVFGSCHKLEPLPAGKKAMWTREMDCLLSVCDYIVEFYPSTQTLPDGTKVEVMATRPRSDIYINLPALEKLDAMLIDILDSFQKAEFWYADAGTRSFGSVTSSSSSRTMSSSFRRSAQRNEDKWWLPVPCVPDAGLTDKARKDLQQRRDCANQIHKAAVAINSGVLSDMEVPESFMAVLPKSGRASVGDSVYRVMLGADKFSPELLLDISSEHDALAMADRVEAAMYVWRRKASGSHGKLPWSKVKELAADGDDKNVTLANRAESLLLCIKHRFPGLSQTTLDTSKIQFNKDVGQAILESYSRVLESLAFNIVSWIDDVLFVDRSIRKLNDNLKP >Sspon.02G0004510-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:17177559:17180818:1 gene:Sspon.02G0004510-2C transcript:Sspon.02G0004510-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-conjugating enzyme COP10 [Source:Projected from Arabidopsis thaliana (AT3G13550) UniProtKB/TrEMBL;Acc:Q0WNL1] MSSSSSFPNSRFPFASGAGAPGRSGGGGGSSVRPWGSSGGTSVSSSGKRIQKELLDLNASDCSAGPKGDNLYHWLSTIIGPQGSPYEGGVFFLDIVFPPDYPFKPPMVTFKTRIYHCNIDSTGKVHLDILKDGWSPAFTISKVLLAIKDIISNPDPYTPLVMSISRQYLTDRAKHDEIAAEWTMRFAR >Sspon.01G0000230-3P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:42069493:42075335:-1 gene:Sspon.01G0000230-3P transcript:Sspon.01G0000230-3P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVLPDTASDGKALTDAWDYKGRPASRATTGGWACAAMILGAELFERMTTLGIAVNLVPYMTGTMHLGNASAANTVTNFIGASFMLCLLGGFVADTYLGRYLTIAIFTAVQATGVMILTISTAAPGLRPPPCADAKGASADCVRANGTQLGVLYLGLYLTALGTGGLKSSVSGFGSDQFDESHAGERRKMLRFFNWFYFFVSIGALLAVTALVYVQDNVGRRWGYGVCAVGILCGLGVFLLGTRRYRFKKLVGSPLTQVAAVAFAAWSKRALALPSDPDMLYDVDHAAAAGTDVP >Sspon.08G0017900-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:3632961:3633915:1 gene:Sspon.08G0017900-1B transcript:Sspon.08G0017900-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SASTQLCLPSLPLPASTPHCPRPLHSAASPNPNPNPPERSDPLSPVPRPGMPPPLGGGRGPLIRPPGSRRRSSLECPLEWSGDPAGSGGSNHLWCRKWFCGADVGER >Sspon.01G0033700-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:113253392:113256736:-1 gene:Sspon.01G0033700-1A transcript:Sspon.01G0033700-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA polymerase sigma factor sigF, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G36990) UniProtKB/Swiss-Prot;Acc:Q9LD95] MNSSRSLLSSLLFASSSPNFWSSASVSSSPSPSRTTAVPMIHDNTSRASTACHYSPSLVAEEQLHGSKDTLTLKGEKALLELLLGMALDQHVDGSKLTSQEAEDTDFESYLREATSRVLYQPAFTEEDDSTSESSSASTAKTPGSLDLGKLTKQVELPAEESGTSDTQLDVPQPYRSTRLLERRSKKRNAHRALSNDVPCSGVSSKRKEKSKKFGRVLDPDEPFRLFLRDRETTEFLTAKEEKQMFKEAQRKLQAQCGREPTIAEWAQAVGMSCRELQSCVRTGRRCREKMALSNFRLVIHVARKYEGHGLDIQDLVQDGCCGLMKTFEKFNPSKGCRFPTYAYWWIRQSIKKSIFKNSRLIRLPESVFALLRKVGKARMECIMDGEQPTNENVARRAGITIEKLAKLRVKTRKPRSMQDRVWSDDGVTYQEVTEDPNIEPPEVSVDRLMMRQQVRSFLAGMLSPREKEIIEHRFGIHDGQPKTLHVIGDMYGLSKERIRQVQNKALDKLKNSISAQGFHVYFDLLT >Sspon.06G0035190-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:68972834:68980063:1 gene:Sspon.06G0035190-1D transcript:Sspon.06G0035190-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RMGSNIANTQRKTDDKCGTMELETSSDALRKQMTPAINHGKGFHPQSPVREEKRKEENNASMKEAASADVTVEVYALTPSNLSNEVCRSPLQRMSVIRKGAAILDSIVGSCARKLQHVISEEAILILGVKEELKELKRTVNQIQCFLKDAEQRSIEESAVNNWLSELKDAMYEADDIIDLARVEGSKLLAEYPASMSSSSSSLRKSTVWSDISVFSCLPNIRRRHEIAVRIKIFGVQLDKISKLGERFLKLQSLQPNVEVSAVRQVKTWQLLEPNLVGKEILHSCRKLTELIQENKERTIYKIGIVGTGGVGKTTLAQKLYNEDKIKGLFSKQVWICVSQQYSATDVLKQVLRNLDVKYQQDETQGELSGKLAIAIQNRSFFLVLDDIWQHEVWTNLLRTPFCTAATGIVVVTTRNDIVARAIGVEHMHRVDLMSPEVGWELLCTELLEDIAEEYYKELIYRNLLQPDPTYADYSRCKMHDLLRQLAQHLAGEEFFCGNLESLMTKPLSKLRHISIVTGNEFLITPSIQKEKIGVRTLTIKCKALKVENAILKRFPKMRVLDLSDSMIVSIPNCIGKLIHLRSLVLDGTDISYLPESICCLINLQILNLERCVFLYTLPSGITQLCNLRRLGLAYTPIIQVPKGIGRLEFLSDLEGFPVGCGCDNSTRMQNGWNLEELGPLQQLKKLDMVKLERAASCTTDSLLTGKRYLKILNLHCTRTNEPYSEDDVINIEKTFEKLIPPQSVETLGIYQFFGQKYPSWLGATTNLSSLKYLQLTGCKSIVRLPPLGQLPSLKFLKIKEAIAVTKIGSEFLGNGVTNPRSAEAVSFPKLEMLILSDMPKLRSLPWELGHNATRLKELHLRNVTSIKLVEDLQFLFGCLLIADCDSLERVSNIPHVQELSVGGCPSLMCAEKLDNLQLLRLHENMQEVSSLWLPGLQQQCRQLHGDDLQVLNLQHCGDLHNLPLAVTRLFSLRSLGLNETPINQVPKGIGGLKFLNNLGGFPIGGGNASRARMQDGWNLEELGPLMQLRNLELINLERAGPCSTDSLLINKMYLKRLSLRCTESTDEPYSGDVVINIEKTFDLLIPAHHLEVLRFVNFFGRRFPIWLGTATHLPSLTYLNLIDCKSCLHLPAIGQLPNLKYLIIDGATAVTKIGPEFVGYGVGNLRSIEAVAFPKLETLVIWDMPNWEEWSLVAEEEQEGTTAGTERAEDEADANQKGDAPPPRIQLLPRLKKLHLNRCPKLRALPRQLGQETTSLKELQLRYLHSLKVVENLCILSEALLIVDCEGLERVSNLAQVRELRVHQCTNLRCVERLNNLRQLFLTEDTQDISSQWLPGLQEQHRQLHGEDMDVYT >Sspon.01G0007570-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:38199426:38205350:1 gene:Sspon.01G0007570-2C transcript:Sspon.01G0007570-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRPRDASDGDEDPGDPSSSAVTGAGEEEEEEEERRQAARTPGKDGPVDALWRWRSQGLSEIVLSWSVDQILDKDLLRDKVSKIPETFSSMEQYMTSYFGPLLEEVRDDMCSSMEDISNAPYADLLSVNSMRKGNGSYEISLGRWRGTSHGCGIDNYKPKSADVLLISETKPANQSDILKQSKSCVIVWVSKVNGNKMTVKASRLMETGAQGDERRPIGANKYDKLDAEGMDKSWEMLDREAMASKCRNSSVRRNVWKESHKVQKCSNLDGRNEKETGESKRWSFYAMYLTNMVTYDRVWVVLRRGLSMDSKIIHSMLGRNNYAFGHCKYCINKSHDEIKGDLCNFRLNDSQLDAVASCILASECSHRSSVRLVWGPPGTGKTTTVAVMLQMLLMKEQRTLACAPTNMAVLQVASRLLELIGDFSLRHHYSLGDIILFGNKDRLQIGKLLSEIYLDDRVQKLLSSFNRQHGWKHCVDSVVTFLVNCISRYRMSVDIQQGSGDARDLTFKKYFTSRFSTLANELVRCIDTFFDHLPRSSLGKNFDKMMSAKSLVDKLQQSLSADDVSDELLFTIFNPADEVPDSSGSHDDLIDDADDFHDCNISLDSPLEIKSRCIKTLTVLSKMRLPCEDNEVSIRDLCLKHAKLIFCTASSSFELFRLQSVRPISILVIDEAAQLKECESLVPLLLQGIEHVLLIGDENQLSSLVKSKIAKDADFGRSLYQRLCTMGYSKHLLEVQYRMHPSISKFPNSNFYDNRISDGPIVKQEDYAKSYLPGPIYGAYSFIHIDNDMEMLDSLGQSSKNMAEVAVAANIVERLAKDCTEKRQRTSVGVISPYTAQVIALQDRLGRKFEKHDFLSVTVKSIDGFQGGEEDIILISTVRSNKDGKVGFLSDSGRINVALTRAKYCLWILGNGTTLLASNSIWADLVRDSKRRGCFFDAFKDKDLAEVVRSATKPEQWNRREQPRKWSAILVINTRCGGCQEQPTKKME >Sspon.04G0006310-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4A:17863735:17864263:-1 gene:Sspon.04G0006310-1A transcript:Sspon.04G0006310-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPATAKPPVWTPTRVPRTCVCLKTSSELVSITAELDVVTGNWQTVRTRPPSWHARARPSSLGKGTSLLAPGCCTRPPQRGQRHNGRGRVEQRKQIAHPALALSVGVSLP >Sspon.07G0014820-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:53323318:53325539:-1 gene:Sspon.07G0014820-1A transcript:Sspon.07G0014820-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTAKPAAVVAHRNIAARDRLLLLLLGAAGVRVVVRVHVTGETMGGGKDKHDESDKGLFSNMMHGVAGGHGYPHQGYPPQGYRHHQEHTRLPQGRILLRQGHTHLHLGRTHHNMGTLSRVATHRKVDILLQPTPAHLTRSNVESHFMLMALVISGYGSSHGGSHMGMGTVLAGGAAAAAAAYGAHKLSHGHSGHGGHGAFGGYGHGGYGHGYGGHGKFKHGHGHHGKFKHGHGKFKHGKHGHGMFGGKFKKWKVFKPSAPGGKNERQH >Sspon.08G0008710-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:14086568:14092630:1 gene:Sspon.08G0008710-3C transcript:Sspon.08G0008710-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGGGAGGGGGGGAGLGLDLSAVIQAAVVGLVLFSAAVVAVRRAASRYFVVDAAGFAASYDDHHHSAPHYPMGNPQEQGTAAADLTGPCAACGGVASKKCSRCKRVRSQDCQTKHWQIEHKFKCKQMKSLDPADKLSCGGEANSKKSSGFGRISLVPACKKISKGQVLFPYDEFLKLYNWKDLDVVPCGLMNCGNSCFANVVLQCLSYTRPLVAYLLGKDHSRECSMRHEDWCFLCELQCHIHRASGSLHPFAPTNILSHLPNIGGNLGFGRQEDAHEFMRFAIDKMQSACLDEYGGEKAVDLSTQETTIIQHIFGGRLQSQVQCTACGMVSNRYDNMMDLTVEIQGDAESLEKCLDQFTAIEWLDGDNKYKCDGCNDYVKARKHLSVHQAPNILTITLKRFQSGRFGKLNKRVTFPMELDLTPYTSYNDGSDLYDLYAVVVHLDMLNASFFGHYICYIKGYQGRWYKIDDCKVMVVDEEEVHAQGAYMLLYSRRTARPRPLVTVDESVKQQQHCKLLPSNGQSHMILADATLNCESSLKTTEDQLQQDCESNNESLHEMDQESDLDLRTSIEDDKFISNENLHIPGSLVSHVLEDARAPDSFAEQCEVQVGPPLEGGPITISSVQFGNSTSKASSEHSFAEQCEVPASCIDSVDYMDVDTEAGTEVERWDEQRLFLDDSVGRPNNSTAIPTANGMSGEPKPSFSSGFFDKPSRKRSSFAEEDSAGSPRKLNGYCNGHLSSLEQGVLANSGSEKCNGDTLVTSSNGNYYAVNGDVQSSSDSLHADKRDVPFVSHGFEPRPYKVLSDSNNNCSRTSNGKPKLAKGTCHFYLGASLEGLVLEEFQSKQIMACHLVMVHQRPLGMEKVACLRFPYKTSQGIHGPRHKL >Sspon.01G0043160-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:72531871:72534516:1 gene:Sspon.01G0043160-2C transcript:Sspon.01G0043160-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MERFFSNPSNAPRRDTDTDTGITLLSGPPGKTSLLFQFAVNRAAESGRGVVFICSKGRLESNPPFLSQGVDPSMSLLQRIQVKYIEDVDDIRKYFAAFHLLDDFPAAVIVDDFADFFSERSCQQRYGTTRARDLAMVRVLALCHNAIGHANAKLGTLGSCNLLLSDVHQGDTPRSLFIYQRWINSIYTIQGDGKGSYILQNIGSSETGSKKARKAKYSIALQYLVK >Sspon.04G0005220-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:14450009:14453810:-1 gene:Sspon.04G0005220-3C transcript:Sspon.04G0005220-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP synthase subunit O, mitochondrial [Source:Projected from Arabidopsis thaliana (AT5G13450) UniProtKB/Swiss-Prot;Acc:Q96251] MAAARHLRSGLPLLRAHLASSESTAVAQVSRGFASQPAKPTGKEIKVPEALYGGTGNYASALFLTAAKANSLDKVESEIKTVVEASKKSPLFSQFIKDLSVPKETRVKAVTEIFADAGFSDVTKNFLAVLADNGRLKYIERIAERFVDLTMAHKGEVKVVVRTVIPLPEKEEKELKDTLQDILGKNKTILVEQKIDYSIMGGLVIEFGQKVFDMSIRTRAKQMEAFLRQPLEF >Sspon.03G0011580-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:31463873:31468682:-1 gene:Sspon.03G0011580-1A transcript:Sspon.03G0011580-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSDSSSWARALVQISPYTFSAIGIAVSIGVSVLGAAWGIFITGSSLIGAAIKAPRITSKNLISVIFCEAVAIYGVIVAIILQTKLESVPTSQMYDPESLRAGYAIFASGLIVGFANLVCGVCVGIIGSSCALSDAQNSSLFVKILVIEIFGSALGLFGVIVGIIMSSQATWPAKA >Sspon.01G0016940-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:66560914:66564658:-1 gene:Sspon.01G0016940-2B transcript:Sspon.01G0016940-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLRACLLLAAPLCALALLAAAPASASRDLRPLRAAFVVRGRVWCDNCRAGFETPASTYIAGAKVRVDCKSKTTGAKTCSFEGHTDRTGTYNILVTDEHEHELCESVLVSSPDKGCANVVAGRERAPVFLTSNNGVASNVRLANALGFQKDVALPRCAQILKMYQDEDD >Sspon.03G0011320-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3B:38294518:38295940:1 gene:Sspon.03G0011320-2B transcript:Sspon.03G0011320-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAAAAAAAAPTVVKTAPELVAPAGPTPGGTLPLSSIDKTAAVRVSVDFIQVFPPAAGAGGDQDAAVAAMRDGFARALVPYYPVAGRIADASPGEPVVDCTGQGIWFVEAAASCALTDVNYLERPLLIPKEELLPRPPPEEKLEDLVLMAQVTKFTCGGFAVGICFSHLVFDGQGAAQFLKAAGEMARGLPAPSIAPVWDRDAIPDPPKLPRGPPPSFTAFSFVTQVIEISPESIARIKDEFKGATGQTCSTFDAVTAVVFKCRALAMALPDDAEVRLGFAASTRHLLHGVLPSVDGYYGNCVYPVGITRTSKAIREASLPEVVGVMREAKEALTTRFTDWMRGGAKDDHYNVPLDYGTVTVSDWSRVGFNEVDYGFGEPGYVFTLNDDVNIVASVIYLKPPAPKRGIRLMLRCVEEPHAAAFADELAKFA >Sspon.03G0024380-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:97693984:97694895:1 gene:Sspon.03G0024380-2B transcript:Sspon.03G0024380-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRKQLVPPCAIWLLVVLVLSPSAPVPASSTTLRVRRRYDSIFSLGDSYADTGNSPVVFGWHAIASPVMRPPYGSTFFGRPTGRNCDGRLVIDFIAESLGLPLVPPFLQARRRARSFRRGANFAVGGATALDARFFHRWDPPGGSVFPLNVSLGVQLQWFESLKPSLCATPKGMRISLFFVGAFGANDYLLAMAAMSLEQVRSLVPGVVRTISMAVEVTRTPCPLKIDLQERHW >Sspon.06G0025810-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6B:72361926:72362276:-1 gene:Sspon.06G0025810-1B transcript:Sspon.06G0025810-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDWRTRGAHLRDGRRDLAGSRRIRHCLRPAGRDLASGNGCATQRHAGTLQQHEPMEICGRGPGPATAETGTSGCKLLCGSKLLGGIVVAGQWSSSRERQPPAPGLLWIGIYSKI >Sspon.05G0016850-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:69789605:69790111:-1 gene:Sspon.05G0016850-1A transcript:Sspon.05G0016850-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARNHHLLLALFLAAAAAGTTTTTATSSSGNSTTTPTAYEMLERYDFPRGILPEGVQRYELRPADGSFEVFLSDCSGSCEFRVGDRYLLRYDCRIAGTAREGSIRALQGVSVKVLFVWLPITEVDRAGDQLSFLVGPLAASFPLENFAESPRCQCGFDCAAAAAAAAS >Sspon.04G0014470-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:25942730:25955882:-1 gene:Sspon.04G0014470-1P transcript:Sspon.04G0014470-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to Shaggy-related protein kinase eta (EC 2.7.1.-) (ASK-eta) (BRASSINOSTEROID-INSENSITIVE 2) (ULTRACURVATA1) [Source: Projected from Oryza sativa (Os06g0547900)] MPRSDKTKQKKKAEQGALLFPTGRRKKTTRNKKEAWWWAVGCAHPWPPPHSHASSSDPEAASPITEERERPAAARPPHMATALGGGPHPTADAMEVDPPRASADEKHVATIMGGSDAVTGHIISTTIGGKNGEPKRTISYMAERVVGTGSFGVVFQAKCIETGETVAIKKVLQDKRYKNRELQIMRSIDHCNVITLKHCFFSTTSRDELFLNLVMEFVPESLYRVLKHYKDMKQRMPLIYVKLYMYQILRGLAYIHTVPGVCHRDIKPQNILVDPLSHQVKVCDFGSAKILIKGEANISYICSRYYRAPELIFGATEYTTSIDIWSAGCVLAELLLGQPLFPGENAVDQLVEIIKVLGTPTREEIRCMNPNYTEFKFPQIKACPWHKIFHKRMPPEAIDLVSRLLQYSPNLRCSALEACAHSFFDELREPHARLPNGRPFPPLFDFKQE >Sspon.02G0054660-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:116835654:116838678:-1 gene:Sspon.02G0054660-1C transcript:Sspon.02G0054660-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLGLWLGAVFQQGDARVSIRPASSPQIGIFLCTRDASLEILRLSALFLLRVLIVEVPCWIHGTLVVLSTDRLLRCAAVVAVALALAVAVAVAVLGLARRAEAAEPQCARRGPAAPPRPHSVTITEFGAVGDGVTLNTVPFQNAVFYLRSFADKGGAQLYVPKGRWLTGSFNLTSHLTLFLESGAVIVGTQEVSQWPVVEPLPSYGRGMDLPGPRHRSLINGQNLVDVVITGNNGTIDGQGSTWWNWFRSNTLNYSRPHLVEFVDSEQIVISNITFLNSPAWSIHPVYCSNVVVHSITIQTSLDAPLNHGIVPDSCSNMCIEDSSIRVSHDAISLKSGWDKYGIAFGRPTSDIHIGRLDLQSSLGAALAFGSEMSGGISDVHADHLVIHGSSKGIFFKTALGRGGYIRDTVISDVQMEDVDVAIAFTGDWSSHPDDHFDPAALPVISHITLKNMTGTKISVAGVLSGIAGDPFTDICLSNINFSLADSASSTSSWSCSNISGYSELVFPEPCLDLQTPSSNASICSTLPSFHAVAAA >Sspon.05G0000970-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:2302318:2304146:-1 gene:Sspon.05G0000970-3D transcript:Sspon.05G0000970-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chaperone protein dnaJ C76, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G23240) UniProtKB/Swiss-Prot;Acc:Q9FMX6] MPALLVNTVSVSPAAPRLRSSRPATARRARARHSHRCRAQASGSGTNRPGGVRASWVSDYDLYELLGVERSSPQSEIKAAYRSLQKRCHPDVAGAAGGHDMAVVLNEVYALLSDPAARLAYDQEQARRSEFAGYTGRPLYSSWLGPESERRAVFVDEVRCVGCLKCALHASRTFAIESVYGRARAVAQWADDEDRIVDAINTCPVDCISMVERSDLAALEFLMSKQPRGRVRVSEGNAVGARAPNIFNEVAKFQKRFEEMKQKTATRESQESEAARQSRTSAVHTIRSMSNWWYWRPFGFGASAPATIVRASRLLPPPPQQPAAAPADPVTERLQEAAAARRKTGGAVTAPSRRDDYWTPQLDLPSSASPPSIHQRGRDTPTPQGHGRRRGAASEAAAGAGRKGISIDLTAPLLLGIVAAGFVGYNGEGVAGGGSGIQEHVGGAIALGVVNSFEMKVMLAGVTWFIIGAAIAGVIQVLGRREQNIWK >Sspon.06G0006960-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:26240403:26241110:-1 gene:Sspon.06G0006960-1A transcript:Sspon.06G0006960-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAVESGGRARLASELGRVQDLVRQLELQLCAPADAASVDLCRRLVRQVVALTDRSIGMLHSSPDLAHSPPLSASPISSDAASDHHHNHPFRPASASPKKRKATARWTSQQVRVSAAGGGTEGPADDGHSWRKYGQKDILGAKHPRAYYRCTHRNSQNCPATKQVQRTDDHPALFDVVYHGEHTCRPGGAKRAQQQHNPHAQAALQGLAARLTVATTTTTTDAAAIGAAARSRP >Sspon.07G0011030-1P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7A:36115656:36116806:-1 gene:Sspon.07G0011030-1P transcript:Sspon.07G0011030-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAKQQPQQAAATTGVWKTVKPFVNGGASGMLATCVIQPIDMVKVRIQLGEGSAGQVTRNMLANEGVRSFYK >Sspon.02G0028370-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:97839824:97842135:1 gene:Sspon.02G0028370-2B transcript:Sspon.02G0028370-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RHGGGSSSVCACGGDGSAAAGKDDDGGRGRRQRPQLLRAAVGAAALLPAGAPAAAVPPRRRHRQAIRRLRRRPRRLRRRGCAAVRGGGPQEDRPARHRQSQSPLRAGERRCVRGGGGGRSERALRGGREAPRRDARRRQPWLRSHHQGCSWKCERLLRPSRALHRDDSKEAQAAQA >Sspon.05G0008190-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:5A:24987549:24989418:-1 gene:Sspon.05G0008190-1A transcript:Sspon.05G0008190-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GHRPAVVKGREQQLLGQRPGHPPFAMEEPKRMPACWLWA >Sspon.01G0006630-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:20942246:20945603:1 gene:Sspon.01G0006630-1P transcript:Sspon.01G0006630-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGLQLFSDIANDGTPRLNAASGEELVRVDRAVAVALGPRSPESPGTLFVTTRRVIWLSEAEKGKGYAVDFLDITLHAVSRDLEAYPSPCIYTQIEAEVGTDEEAGESNPEANELELSRVSEMRLILADPGQSSVDALFDVFCHCAELNPDPNAERNGENGWFHAEDMSDGGWVHDDEDMVDENGPQFFNANPIGQNGGYDLSRSVFELQINDQRFEDAEEEQESDENGH >Sspon.02G0000990-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:3202251:3202985:-1 gene:Sspon.02G0000990-2D transcript:Sspon.02G0000990-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLCCVECKPCNGHCLPGPPGALFKNRSPAQQLRRALGKMKVGGTRRRPRRPGSFSSVRAVFWPLMSMRSEADARNEDARLPTDSPDDTVSSSGARAPPPSVQDDVVGALGGASSATASRVLPLQARLGGEGEAPRAPPPQLLLTTAAAAVDEARAIVRHGDIGGDVEAACRSFERHLMEMLVEERKVMDLTDVEELLCCWEKLRCPAFVRLVGRFYGELCMDLFSSAQDADDVWSSQSEDLSV >Sspon.08G0014480-2D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8D:56556896:56557979:1 gene:Sspon.08G0014480-2D transcript:Sspon.08G0014480-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMTTPGVEATMMMTLTVMATLKQTVMTLTVMATLKQTVTMTLKRMGMTLEMKTTTVTMYQVVLKAAGRSTYLQMEVAQGQLT >Sspon.02G0016040-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:42428152:42429650:-1 gene:Sspon.02G0016040-2B transcript:Sspon.02G0016040-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VDQSTVAIKENFGKFSEVLEPGCHFLPWCIGQQIAGYLSLRVRQLDVRCETKTKDNVFVTVVASVQYRALADKASDAFYKLSNTRKQIQSATVPKLDLDDAFEQKNDIAKAVEEELEKAMSMYGYEIVQTLIVDIEPDDRVKRAMNEINAGKMRVAASEKAEAEKILQIKKAEGEAESKYLAGVGIARQRQAIVDGLRDSVLAFSENVPGTTAKDIMDMVLEIGASSKSSSVFIPHGPGAVKDVAAQIRDGLLQAKLQ >Sspon.02G0047960-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2C:20526719:20527174:-1 gene:Sspon.02G0047960-1C transcript:Sspon.02G0047960-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPGIPWTQVTVTVYRLHSAPSDRLSLGALGCSMIGRLFGNRRSRACTPPFGSRLHPSRRGLVDVPQATGGSLIPYRRSSIDLASCEQRRSGGAASSRVPSVSIAMPTTAPAPLFPCRPTTGLPRCTHGNTADSGSLRRLRFLIHAGAVKT >Sspon.01G0019140-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:68987159:68993236:1 gene:Sspon.01G0019140-3D transcript:Sspon.01G0019140-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASLLGLYTSSSSQGGTVHGRSGGRSAAAVKQLLSRLRRRVARPRRTAVRFGYDLHSYYQNFDDSIAASGHHRL >Sspon.07G0023410-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:16533532:16534905:1 gene:Sspon.07G0023410-1B transcript:Sspon.07G0023410-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GGHRRAAGEAPDPAAGATRDGRGAADARGVPVPDLAGGYAVAGQPPHRRHVRPRVHTALARLRPPHLPSHAAAAGVHRPSPQPAAAPTHPPARCHAAALPVARGGAVAARCRGRGRGARGRGEGRALAGGQDRAGEREAGVRRVRRVRRSRLRGAGAPLLRQGRGGRRRARGRREGPGDRGPGAGLLPYGGWHRHGEARQGEYGGGGPGRRLVRGRDRGRGQGGPRPCSCRRGSGPHRDDAARRRSERRRGPRGDPDVARGGRGRQDRHRRQAGAIPGPRADPQGRRVAGGHPVHGRGGAGPRPPRARVDGAGRGHPVAGAGRGGRAHGRRGVRAAAAGGGGALRRREGGHRGRRGRGGGRRDGADDPGGAGGAGGRRGGAVAVLLRGRRGPEDAGGRGVRAGGGGEAAGGDAGGLRPDHLADGRRAAAGGADGAGEEGRGRRLRQPHHPCHAVLIDG >Sspon.01G0045550-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:90351120:90354440:-1 gene:Sspon.01G0045550-1B transcript:Sspon.01G0045550-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGLRSRILRTLQSFPNAAAQSSNLLLALPPGASHSPQPPAAPWPCGRLQEPAPQEVLVDEAGAAPEVPGGGGDDDDDDKENVSPGVTPRKAKKMKLSSDHHGEDPADGATRYRRPDLASATLFDPDLLAAFRRAVDAYALALEEAKRRDDDIDDGEDGDGGEGGPGVADPLEAFELRCPPGGERAVVLYTTSIRGVRKTFEDCARVRRLLEGLRVAFLERDVSMHATYREELRALMRCGQGQEEGGGGGGGAFPLPPRLFVDGRYLGGAEEVVALHERSQLRPVLRRAPRRGAGEGPCAVCGGAWFVVCVGCSGSHWLHDAGGAVAAASRVPCSACNENGLMPCNHQLSFKEDSPWRLEPTPIEICLSVTARTTCFTYVDRS >Sspon.05G0009700-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:27838245:27840408:-1 gene:Sspon.05G0009700-1A transcript:Sspon.05G0009700-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSDAASPASAATARDAKKKRGNRSAAKLKQSKRETRRGQFLSKGQGNDGKVATSPTGAGSNAGSPILASPHPPLPRRRVDSRSRGSDPEDREEAGAAGLEVDGSGLDSPGSDKSQGGDCPQRKGFSGNGGGPASAVEAVYGPAQGV >Sspon.02G0003730-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:10824814:10828219:-1 gene:Sspon.02G0003730-1P transcript:Sspon.02G0003730-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLDIMLAAQWSVLPIGDTVDDTCSLTMQELKNKLLHEKTNLHMGRGQKEMYRQIMAPGWTVGWTWAKREVIWSMVGAQATEQGDCSRFKANIPHCCKRTPTVVDLLPGVPYNQQIANCCRGGVISAYGQDPAAAVAAFQVSVGQAGTTNRTVKVPKNFTLLGPGPGYTCGPAKIVPSTVFLTPDRRRKTQALSAFPFAFMTWNVTCTYSQHLASKYPSCCVSFSSFYNDTIVPCAKGDSKRLAVTGKHEHAHAAAARGHRDKEAPLLQCTTHMCPVRVHWHVKLNYKEYWRAKIAITNFNYHMNYTQWTLVAQHPNLDNITEVFSFDYKPVVAYGSISNSLPSLSVLDHRRLSSPYMCQIVDRYLRNALNLGIKN >Sspon.01G0025550-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:90543578:90544257:-1 gene:Sspon.01G0025550-2C transcript:Sspon.01G0025550-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYSKALASLFTMLVLAPLPLLVKATDPDPLQDFCVADLSGKLSVNGFPCQPSSSAGDEFLFSDKIATGGDPLANPNGSNVTELDVSEWPGVNTLGVSMNRVDFAPGAPTRRTSTRAPPSLDSGNRYYSKVVRAGETFVIPRGLMHFQFNVGKEDATMVVSFNSQNPGIIFVPLTLFGSSPPIPTPVLSKALRVDASVVDLIKSKFAGGY >Sspon.02G0032320-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:119849049:119857330:-1 gene:Sspon.02G0032320-1A transcript:Sspon.02G0032320-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATAGATPFKRSSLACLLASHLASGEAVQQKFDKFRDRLCFQTGRFSGGFFSKYAMPASRPCLLRPSRTQQADLITDEDFGSDDLPDDDKSASPRLPSEQKTK >Sspon.01G0008150-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:22763902:22767012:1 gene:Sspon.01G0008150-1A transcript:Sspon.01G0008150-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGCDGAKSSASMEEEACAEKKYGGIAPKKPLISKDHERAYFDSADWVLGKQAANSSTRAAVESLKPKLKRTPHHQLPPRKPTCASG >Sspon.05G0018560-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:74476430:74486615:1 gene:Sspon.05G0018560-3D transcript:Sspon.05G0018560-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGDWCSLEELGALNQLMRLDIHGLENVSSSSFAIKARLGEKVCLSYMYLRCTSRSGGAHRLVKQEEQQQIEKVFDELCPPPCLENLIIEGYFSQQLPRWMTSTAIVPLECLRILTMEDLPYCTELPGGLWQLPSLEFLKIKSTPTMKRVGPQFLLPHHHEHPGSMDNLWSDLKIQVIRCQGLETISNLPNLQNLVICSCPKLKVLEGLPALQKLWLEDYDMKTLPGYLEIVNPRDLILDCDISLLASIAKGESGPEWDKFNHIKQVKAYADDDDNSIERKWYVKYTRDPFSFNTNISPSADASAMAVVLDALASYLQDMLLEMAKNEVHLLLGVPDEIKKMGIKLGDLKRFLTDADKRNITDESVQSWVRELRNAMYDATNIVDLCQLKAMEQGPTRDMGCLNPLLFCMRNPLHAHDIGNRIKNLNKRLDDIEKRSKTFSFNLASYEDDTKKVESCLHARRETTGEDELGVVGEKIEEDTRNLMDLLTKEENIHQHKKVMVYAIVGVGGIGKTTLAKKIFNHDFIKQEFQKRIWLSVNQEFSDVDLLERAITEAQGNHQAPRNTKAALERTLKESLKDCKTLLVMDDVWDQHAWEGVLKTPLFSVLARGSCVLVTTRHDMVAQGMMAEVPYHHVNKLEQEDAWCLLKKQVVGNENNDEQKVDTLKDIGMSIIAKCDGLPLAVKVIGGLLRQKKTRRSDWTKVVKDFTWSVTQMPEELNYAVYLSYQDLHPELKSCFLHYALLPKSTVFWYDRIVAMWISEGFVHGNSQDLEVLGREYYDQLIARNLIEPDQGYMDHAVCNMHDVVRSFAQYLLRDEALIAHRSEVGLTNKTSPQNVIRLSLKTKESESNKAAWSSLQAHISLRTLISVGETKINPGDSLSYFPCLRTLHIEDGNFDALSKSLVQLKHLRYLSLHGTNTSRLPEKIAKMKFLQCIDLCNSRSLVKLPHGIGKLRQLRYLSLHGSGINIIPRGFGSLTNLRILKGFPAHMEGDWCSLEELGSLNQLMHLRILGLENVSSYSFAIKARLGEKVRLSQMSLHCASRHGDAHWLVKQEEQQQIEKVFNELCPPPCLENLQIVGYFSQLLPKWMMPTEIVSLGSLRILVMEDMPSCTELPDGLSQLPSLEFLKIKNAPCIKAVGPDFLLPHQHEDPSRMENFGSGLKILVFRCLGLERIGNLPKMQNLVIIQCPELTMLEGLPALQRLYLQDYDMETLPVYLLDINTRLLDLDCDVSLLASIAKGEYGPEWDKFGHIKQVKAYADDDNNSIERKWYVKYTRDPFSFKTNISHSADPS >Sspon.07G0017060-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:80967454:80975665:-1 gene:Sspon.07G0017060-3D transcript:Sspon.07G0017060-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLLSSPAKPFRSTPAPKATRLPSPVLSSGHVLSAARCLRLRAVSARASQHPPPQPPPQRGWQRRPEYVPNRIDDPNYVRIFDTTLRDGEQSPGATMTSAQKLVVARQLARLGVDIIEAGFPASSPDDLDAVRSIAIEVGNPTAPAAGRGGRRTRAGHLRPLADIDAAWEAVRHARRPRIHTFIATSDIHMQHKLRKTPEQVVAIAREMVAYARSLGCTDVEFSPEDAGRSNREFLYHILGEVIKTGATTLNIPDTVGYNLPYEFGKLIADIKANTPGIEKAIISTHCQNDLGLATANTLAGARAGARQLEVTINGIGERAGNASLEEVVMAIKCRRELLNGLYTGIDSRHITLTSKMVQEHSGLIVQPHKAIVGANAFAHESGIHQDGMLKIKELTKLYRLMILRSGWFLYKPI >Sspon.03G0002650-3C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7C:12574470:12578765:1 gene:Sspon.03G0002650-3C transcript:Sspon.03G0002650-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding PPLLVSLDLSSSSPSPPSTCLQNRQPPARLLPRRHSPPLLTPVETPPEELTAFAAPPRRGAGPKLARLLRVAPADSSRSFLASGRQGRVPTDPAPADAVARGVMCGGSEHGRDRVWRKVTTEVSVELQLLRDKGGLLLAGLIFQECTICIDLDHCSRTWDLWPFQFIKFLAWFMAIIQSLLIIASRKHYSVDVVVAWYTVNLVVFFVDNKLPEMPDRTSGLPLLPLSSKEKEGRLKEEKDSKLKDEFHKLLNGNHVDPTDRRQRAQMNGRHDEDINHALSEATANGT >Sspon.07G0018100-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7B:69881245:69887449:-1 gene:Sspon.07G0018100-2B transcript:Sspon.07G0018100-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALRHLAAGPTYHHHGVAAATASLQLHRLPLPSCRPLRSRSRSLTRVYALSSNDIRVGTNVEVDGAPWKVLEFLHVKPGKGAAFVRTKMRNYVTGNTVEKTFRAGSTLQEPSLSKETKQFTYKDGAQFVFMDLTTFEESRLNEADVGDKQKWLKEGMDCNLLYWNGKIIDFELPITVRLTVTDTDPGASDSAQGGTKPATLETGAVVTVPSFVNTPVFPEIHELQLPDLEFWTICPCCLNPFWLGHRSGKKAGMLLLLKCLSNGLHFS >Sspon.02G0002100-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:6854982:6863625:-1 gene:Sspon.02G0002100-1A transcript:Sspon.02G0002100-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFFFRAATRQRPSPQEIARSIKDSLIALDTKTGAKALEDAEKNILTLRHTLAGDGEVEPNQEQVLQIALEICKEGVLSLFVQNLPSLGWECSFRYILESSSFELFFQYVELPNFDIASDALNTFKDLLTKHEDQVSEFLSSHYEQGRADETDGLKELCPPIPSGFPPASGEMSFFFRAATRQRPSPQEIARSIKDSLIALDTKTGAKALEDAEKNILTLRHTLAGDGEVEPNQEQVLQIALEICKEGVLSLFVQNLPSLGWECSFRYILESSSFELFFQYVELPNFDIASDALNTFKDLLTKHEDQVSEFLSSHYEQDSSKNIRICSFHIFKVFVANPNKPREIIQVLVDNHRELLKLLHNLPTSKGEDEQLDEERDLIIKEIEKLVRLSV >Sspon.05G0008300-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:25198959:25206707:-1 gene:Sspon.05G0008300-1A transcript:Sspon.05G0008300-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAAAARFVNYPLVAALLAFAVAQSSKFFTTWYKDGRWDARQFIASGGMPSSHSATVIALAVAVGIQEGFRSATFATALVFACVVLNQIVYELPEEHPLSETKPLREILGHTVPQVVAGCILGILMAVVMHLALGSS >Sspon.07G0010340-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7A:31595545:31596115:1 gene:Sspon.07G0010340-1A transcript:Sspon.07G0010340-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding QALVLFTLTASLNVLRPAACQPGAAGPCEQASTGQMAALYAAVFMLCVSAGGARFNQATLGASQFDAAADRDVLFNWYFIFFYASSVIGSTVIVYVQDNVSWGLGYAISGAASLAGLLMLLVGTPYYRRPGARGSPFTELARVAVAAARKWKVNLDTSEELRFYHGTRSSDRDGNVISDTIGLATPSDSF >Sspon.02G0018460-3C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:62423863:62425796:-1 gene:Sspon.02G0018460-3C transcript:Sspon.02G0018460-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGCSSVIAAAQDLATQTQGTSTGRELHKDTSCSTDSTPAKATIPTNDKQPGNAGSFSSSRSGRSGTLESLIRAEALGRRGATAKRTTAKRILEEDDDKEAVQSLATKLNPANLLIRLVACGSTMSARQHFPACGLMRTTHKPRYLTQHVEFLPSSPVLSPLGTLIMRPRNADGARGDSDSGDCSHCRGRLLQTADNRCESGKVMSTIVRPSSYCENNSVSEKEVSIANLDNLEDRSKLTPQKIRVAPFQQPTSGTVVTITTDVGGEQESKTLSRSTSKKMIDPSSRTSRVVSFRDEREKVIKIEERLQSIDITNY >Sspon.02G0019390-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:62975372:62978878:-1 gene:Sspon.02G0019390-1A transcript:Sspon.02G0019390-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RSAAVDSSGRELNRNRLIALMAAIVLEENSIGEESHLAMETSGHGALKENHWLDDGAYLMVKLLNKLAAARTLGSSIGSKVLTDLVEGLEEAGVTAEIRLKIDQNHADLKGGSFRDYGESVLRHLENAISQDPNLHKAPKNYEGVRVSGYGGWFLLRLSLHDPVLPLNIEVVLFPSSLNPNNEGN >Sspon.01G0020610-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1A:76517907:76522903:1 gene:Sspon.01G0020610-1A transcript:Sspon.01G0020610-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVDGGSGAGDAEVVGSVGGGGGGGAGAGGGAQQASPATVFRIRLKQSPASLRHKMRVPELCRNFSSNSSPPFWIPIHILNPERPTECSVFNVKADSPRDFVQFIEWSPRSCPRALLVANFHGRITIWTQPTKGPVNLVRDASSWQCEHEWRQDLSVVTKWLSGISPYRWLPTNSTSSNLKTFEEKFLTQQPQSSGSVQLHWSQWPSQNSAHPRWFSTSKGLLGAGPSGIMAADAIVTESGALHVAGVPLVNPSTVVVWEVMPGHGNGIQATAKINATSSVPPSLNPPSWPGFAPLAAYLFSLQDYLVSEGAQTKRLTDNETTEAASIHCCPVSNFSAYVSPEAAAQSATTTTWGSGVTSVAFDPTRGGSVITVVIVEGENTTPFRR >Sspon.02G0030030-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:96503311:96505185:1 gene:Sspon.02G0030030-3D transcript:Sspon.02G0030030-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSCCSAFRRAPAPSRSPARRATLRSFSAAAANAAQWNAAIRHHLDSGLPAEAVSAFAAMLRAGARPDAFTLPLLNRAAALLPGRGLAVGAAHSVGLRAGLGGDAYFCNTLLQAYARRGAVARARQLFDEMRSRDVVSWTSLVSAYAGARDAQAVSRLVSTMRADGCEPSAATLAVLLRACMAERDAAAGGQLHCYAVKSGWSGDVVVLNSILTHLSRITGVDVAVRLFEQSPRSDAVSWNIIISEYSSEGRVSKVVDMYERMRREEVCPSCETLTSVVAAFAKCRHLRQGQKLHSFALKSGLIDTILVASFVDFYAKCGELPSSVQLFEEFRGKSNCIWSAMLAAFIHHGHFLDAIHLFGRMMDSSLVPSADVLRALVICYTELGDLRLGKVVHGYIIRNDYAAESQSCALETSIVKLYARCGNIHLAERCFSSILHKDIVSWSSMIETYTIHGDGRKALALFRQMLGEGARPNGVTFLSLLSACGHSGLVSEARELFDCMTRRFGIAPELGHYTCMVDVLGRSGNLEEAVQVISDMTVKPDGRIWGVLLASCKTHSNSKLANLASQKLMELEPNNVGYHVVFSNVQAGGSRWGEVEDIRSSMVGKDMQKSPAWSRVSDIGGV >Sspon.01G0036600-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:19240456:19243518:1 gene:Sspon.01G0036600-1B transcript:Sspon.01G0036600-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFRHMLYPAYKSNRTPTPDTVVQGMQYLKASIKAMSIKVIEVPGVEADDVIGTLAVNSVSAGYKVNTGAIGHFQMVSFGVEDFVKRYGALKPSQFVDVVALSGDKADNIPGVEGIGDINAIKLITKFGSLENLLKSVDEALISHSEQAILCKSLATLRSDLPSYMVPFKTADLVYKKPQDDGVKFIKLLRALEAYAEGSSADTIIRRATYLWNKLK >Sspon.01G0026590-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1A:93148015:93148420:-1 gene:Sspon.01G0026590-1A transcript:Sspon.01G0026590-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLKASGLLHAAGIATSLINTGQQWDFPNGWAPLQHLIAEGLLHSGSEAKILAEDIATRWVRTNYAAYKSTGAMHEKYNVTACGETGGGGEYKPQVW >Sspon.01G0043930-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:78478941:78483878:1 gene:Sspon.01G0043930-1B transcript:Sspon.01G0043930-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKDPMRVLVTGAAGQIGYALVPMIARGVMLGADQPVILHMLDIPPAAEALNGVKMELVDAAFPLLKGVVATTDVVEACTGVNVAVMVGGFPRKEGMERKDVMSKNVSIYKAQASALEAHAAPNCKVLVVANPANTNALILKEFAPSIPEKNVTCLTRLDHNRALGQISERLSVQVSDVKNVIIWGNHSSTQYPDVNHATVKTSTGEKPVRELVADDEWLEVGTNGFTLCFDRLNGEFITTVQQRGAAIIKARKLSSALSAASSACDHIRDWVLGTPEGTFVSMGVYSDGSYGVPSGLIYSFPVTCSGGEWKIVQGLPIDEFSRKKLDATAQELSEEKSLAYSCLE >Sspon.06G0015880-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:71351319:71357294:-1 gene:Sspon.06G0015880-2B transcript:Sspon.06G0015880-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAANPKAKPTPMPPPAAPTVAAQKPQAEPTPSVNGEASRSPLIPAPHPHAPHPQQHPPPGASPLLSAPPPSRPLLTVAAVESVMAAIPPPPRYGLEDLDRRTVALSDGTVRTYFALPHEPPPQLRQPPPPIPAHLLAPPPPPLPLLRPPIERWAPPPPMPALLPAAGLLPVPLPKRKWEDQANGGVPGESSGRKQPQKSEARAAKQVKVEETGVDPKVLKTAFLKMVKLMNENEADKKNYRANGRLSQLKCPVCQRDSVDLHALLNHAYYAKNQEHRADHLGFHKALCVLMGWNYSVAPVHKTAYQALSTIDAEANQGDLILWPPTVVIENTYKSKHDGQKDGMSDKDMESKLREMGFAGVDVQPLPGKDGQRSMQAKFPASLDGLNNASRLVELFERQGHGRAAWARIRSNVPTSDGGNNNPMLVKVDGKGERTWVLYGYLATAWDLDILDPESKQNATVKSRKELDLD >Sspon.02G0013050-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:37716400:37721213:1 gene:Sspon.02G0013050-3C transcript:Sspon.02G0013050-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRALCHKIPCCGRTGPQILSTPWSPAIWPGSWAASAQDEWIAKHGDVARLFIAGDSAGANIVHDMLMRASGSGDAGGPRIEGAILLHPWFGGNAPIEGEPPGAAAATAGLWTYACPGAVGRADDPRMNPLAPGAPALEKLGCARMLVCAGKQDALYVRDRAYYEAVDASAWPGDVAWLESEGEEHVFFLPKPECENAKQLMDRVVAMEPDADEVVFDAPGYFCMYKSGKVVRVSQPLVAAGVDDTSGVSSKDILPAAYDDCWAALQWAASAQDDWIAEHGDTDRVFVAGDSAGGNIVHNVLMKASSSADNGGAPRIEGAVFLHAFFGGSTAIDGEPERAVEIAEKVWTFACRDAADGADDPWINPTAPGAPALERLGCERVLVCAAEKDWLLARDRAYYEALAGSAWSGSAEWLESSGEENVFFVMKPECDNAKQLMDRVVAFIAGA >Sspon.02G0034750-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:13988156:13988677:1 gene:Sspon.02G0034750-1B transcript:Sspon.02G0034750-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPCKPLFVTPVVEDEEGRTITRTMRRSDRLKDLTDFYYAMVPTVPRGEGAFLFRGKRVEGGRTPADCEMEDGDRVHFFLAMKPSAFIAVTLQDLKGHRFTRTMRRTDAVRDVMDFYYTMVPAAGESHFVDSCTGKRADGKQTPADLEMEDGDVFDVIPEIPHTPRKNGPNM >Sspon.01G0047360-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:115293780:115303440:-1 gene:Sspon.01G0047360-2D transcript:Sspon.01G0047360-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRWGSSGQAADSFYQVRPDCSQNVPNTKFKVKTLSVRKWHAAFTRDGCLDIASVLSRIQRGGVHPAIRGEVWEFLLGCFDPGSTFDERDQIRERRRMQYARWKEECKEMDSHVGSGKIITAPIITEDGFPIKDPLVLLEATSDTQGTSTTTTGSGGNVIDVDNSMDRVLDKQIIDWKLTLHQIGLDVLRTDRTMVFYENKDNLSKLWDILAVYAWIDKEVGYCQGMSDLCSPMIVLLNDEADAFWCFERLMRRLRGNFRCTQQSVGVENQLQHLASIIQVLDPKLHGHLETLGGGDYLFAFRMFMVLFRRELSFGDSLYLWEMMWALEYDPDICSTYEETGAAVHKIEGFKPKVKSIRQFGKYERENMKNRANGGDGPVPISVFLVASVLKENSPKLLQEARGIDDIIRILNSVNGNLDAKRACVVALKLHRKYHKKLQEKKS >Sspon.04G0037750-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:76950388:76951306:1 gene:Sspon.04G0037750-1D transcript:Sspon.04G0037750-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding PMRFHSAMCNRSNCVDLRAGILESLGQAEAYLHTCTMDVPQLTAADWALKSETPCLTLGRDSNAASMEKLHGNESLPCGKENIGTDHQPKPDLEHVDNRMGVARLGWTLTQ >Sspon.02G0023660-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:80678472:80687793:1 gene:Sspon.02G0023660-1A transcript:Sspon.02G0023660-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPGDEYGASRTPRRRGWESFCTVTPIVAKAALEAMKPMPWSIPWTTMCMKADYTTPVDSVTTSEQTGGETEATSEQTGGEAEEPAIVAPANEYTVQEAAPQQKCAKIHDFCLGIPFGGFLFSMGLIGFLFWRSPASLTFGVAPGLAILALAVLSLKVWRSGKSSLPFILAQAGIAAAVAWKHCQAYTTTRKLLPWGFYVALSAAMICFYSYVVLAGGNPPPKKAKAAA >Sspon.03G0040950-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:24755230:24757814:-1 gene:Sspon.03G0040950-2D transcript:Sspon.03G0040950-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLLSKPLLADTGTKFHAASTPVSCSGSPQRYAIAGLAGAGRRDQDWRRRTRGRTNLRVKAVAAESRSSEGGIAEDYYAVLGVMPDATPKQIKKAYYNCMKSCHPDLSGNDPDMTNFCMFINEVYTVLTDPIQRAVYDEIHGYAATATNPFLDDSAPLDHVFVDEFSCIGCKNCANVCSKVFQIEEDFGRARVYDQSGSTELIQEAIDSCPVDCIHWTSAAQLSLLEDEMRRVERVNVGLMLAGMGGSVDVFRMASSRWEKRQAKVLEKVRRRMSQDDSSKGGSWSDIWGAPTRYEKNEEEAKERAKRAAAAARRWREYSRKGADKPPTFKLPEAVPNKE >Sspon.01G0037290-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:17769832:17773684:1 gene:Sspon.01G0037290-1P transcript:Sspon.01G0037290-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGGFLSRVLLLAFGYAYPAYECYKTVELNKPEIEQLIFWCQYWLPFYSEAKLMFFVYLWYPKTKHENEIDRNLLELRARATDMVVLYFQKAASVGQNTFFDVLKYVAAQSPSQKSRQRPHQESQQPQQQQPQVQVQLQQPQPQKQAAPVMRRAASIAARQAAMAQQSQETKPIPSSPKIKRQTSGKSGSVASTKPAAAASTPKPGGSPKKGEVKPAADPVHTPATSANSPKSEPSAPPLLEAEGVDKMAIDEVSGDSAEGAEELDPALEETPMEETIRVTRAKLRRRTATEDPAGN >Sspon.04G0015760-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:64633236:64637469:-1 gene:Sspon.04G0015760-2C transcript:Sspon.04G0015760-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:3-oxoacyl-[acyl-carrier-protein] synthase [Source:Projected from Arabidopsis thaliana (AT2G04540) UniProtKB/TrEMBL;Acc:A0A178VUN0] MSCLRRAPRLLRGLPFSSAAEAEALPPPRPSAGRRVVVTGLGAVTPLARGVGATWDRLVAGRCAVRSLAAEDLRLPEEAAGRTLEQLPSRVVAAVPRGKGEDEFDEDAWTKGVSIGGGIGSISDILDASQMIIENVCILAHAFQFCFCFFFGQRLRRLSPYFIPKILINMASGHVSMRYGFQGPNHAAVTACATGAHSIGDATRMIQFGDADVMVAGGTESSIDALSIAGFSRQLLSTVADRYVDFLLISVTSQYLIPCFLFCRLRALSTKYNSSPLSASRPFDCGRDGFVYVMPLLDCVIGEGCGVMVLEALDHAKERGAKIYAEIRGYGMSDYEAQFLPGDAHHITQPQNGGRGAILAMKRALDQSGLHADEIDYVNAHATSTPLGDAVEANAIKSVFGDHAASGGLALSSTKGAIGHLLGAAGSVEAIFTVLAIHHGVAPPTLNLEQPDPLFEGVFTPLAAPKKGPIRAAISNSFGFGGTNTSLLFSCPP >Sspon.08G0015500-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:48917770:48921122:-1 gene:Sspon.08G0015500-2D transcript:Sspon.08G0015500-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDDTSASSGSCIRIYGPKSFEDNFRIFKKQDEIRPVLTKIEGIHDEVKLDNGSCFGLFDPATNILVNSVISNSEGAPPPPPPPPRRAYAGRRRRQGWEEDDDDDSRARADRAQRSFDGLIAFLTGLFPYLPDAEALAYLDAAGLDPLVAALLIISRRGIGDDFDLCSPITEAAAEVALRCAAAAAKHPDPRWLVQAWKKLLPPVVEALGGPAPPSRETQGDAVLRALDAVAPDVLQLKGSWDLAKGRLAAASSKIYAAPPKELPPVRAAMKRMLLATIHGLYVQALGRLPTAELCSRYHRSLLLGGYCYGPLDPVSNIIVNTIWYEQNFPTGKQFSVSMVSTQMLWRVAARSLYGLISFLCTRHQVSSHDRAYLQAADSNLSARLSATGEKPLDVSDSTVFPNSPAVEESTRVASLVEAYTAAATAAFPSSLDSVPLAHPEFLAHQEFGSVSEVLHARDGGPLSSEDISLLGRILQSCPSPTSKSHQQHDIAPAKDGRLFELHIFGFNEFVSGPMHSKEKVGDYNPWTPDKYCHTHINFLAICKDCPYDPPTIFFAECGKDGADTCWYVPIIPQKPKAEQPRCVYCEHEANRIVHPTVESFYGRDEFDMLFYESDRKFYTNNEVIKHRRLYIDWVHGVEDGAVYLNYYPDVT >Sspon.05G0031860-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:10524853:10526622:-1 gene:Sspon.05G0031860-1P transcript:Sspon.05G0031860-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAKRLLAHYKIDQERNRLLMVACNAEDMLLPRSNFTFYEFDADFALVQTREFVVPDHLMIHDWTFTDSHYVLLGNRIRLDIPGSLLALTGTHPMIAALAVDPSRQSTPVYLLPRSPEAEAEATGRDWSVPIEAPTQMWSMHVGNAFEERNARGGINIQLHMSGCSYQWFNFHRMFGYNWQNKKLDPSFMNIAKGREWLPRLVQVSIDLDKRGACRGCSVRRLSDQWTRPADFPAINPGFANRRNRFIYAGGASGSRRFLPYFPFDSVVKVDVSDGSARSWSAAGRKFVGEPVFVPTGSCEDDGYVLLVEYAVSDHRCYLVVLDARKIGGRDAVVAKLEVPKHLTFPMGFHGFWADE >Sspon.03G0003740-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:9678545:9682090:1 gene:Sspon.03G0003740-1A transcript:Sspon.03G0003740-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GQEYLTMAARNSPDSIKDITTTFRALGKLNWPSEYEDYPVGGFLNFMLTGSTSALRFGIVLGLALLALGISSLRSQREGGRWPRLLIKGHAAIASVIFFREFSVLFKADDKSITIRGTEIY >Sspon.06G0033020-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:7177028:7177281:1 gene:Sspon.06G0033020-1D transcript:Sspon.06G0033020-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTSAEGYSTPTRVHRRLVVSRRDWDNLQRETGDLLASVPFLSQVKQATYYSNITLTGQEIRTRSNLLISH >Sspon.08G0001560-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:2974106:2977779:-1 gene:Sspon.08G0001560-3C transcript:Sspon.08G0001560-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKIKIGINGFGRIGRLVARVALQSEDVELVAVNDPFITTDYMYDTVHGHWKHSDITLKDSKTLLFGQKPVTVFGIRNPEEIPWGEAGADYVVESTGVFTDKDKAAAHLKVIHDNFGIIEGLMTTVHAITATQKTVDGPSAKDWRGGRAASFNIIPSSTGAAKAVGKVLPDLNGKLTGMSFRVPTVDVSVVDLTVRIEKGTSYEDIKKAIKAASEGPLKGIMGYVEEDLVSTDFVGDSRSSIFDAKAGIALNDNFIKLVSWYDNEWGYSNRVVDLIRHMFKTQ >Sspon.02G0016090-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:44165966:44166736:-1 gene:Sspon.02G0016090-1A transcript:Sspon.02G0016090-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEDRSTKAAGCKKNVTRLHRSFLHLSRALGKLHGRRGHHANANGETSLSSSSSAATSFLSGCMHPRTHSFASGRRHRHKHDDGGGGSGDALAVNFRSLRVSLAASAAPVAGNEGGSSSAQDYCYGDGGSEADEPKAVVRGAGVAVATLSAAPYEDFRRSMREMVDAAAGSGAAAAAVDWDFMEELLFCYLRLNDRAVHKDILRAFTDTVAAIRRRRRRAAKSRRTRRRTRRQPGAGGDGHGDCDGAEAVTSISS >Sspon.04G0010860-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:29273218:29275915:-1 gene:Sspon.04G0010860-2B transcript:Sspon.04G0010860-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSPLCSASPRPLRAPGQPPRDVRPPRTVVVAAGTRVSGAEARASLVLALASQALSASQRRFADLAGEAAKYAFPSRRFEPRSLEEALMSVPDLETVPFRVLKREAEYEIREVESYFIAETTMPGRSGFDFNGSSQSFNVLASYLFGKNTTSEQMEMTTPVFTRKGESNSETMDMTTPVITKKLAGKNKWKMSFVMPAKYGSNLPRPKDPSVTIKEVPSKIVAVAAFSGLVTDDDISQRESKLREFLHKDTEFRVKDDSVVEVAQYNPPFTLPFTRRNEIALEVERNDIAL >Sspon.01G0001880-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:5487977:5489173:-1 gene:Sspon.01G0001880-3C transcript:Sspon.01G0001880-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Signal recognition particle 43 kDa protein, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G47450) UniProtKB/Swiss-Prot;Acc:O22265] MEAILRHPSLSRLKPPNPNLPRTPSPSLPPPSLLRIRARRLIAAALFQDQKPKEPARKGGDDEEAYGEVDRIVSSRTVSIPVFAEDGSASSAVATEYLVEWKDGHEPSWVPAEAIAADVVAEYETPWWTAAKKADAEALAALLADETLRRDPDAEDAQGRTAAHFAAGLGSEECLRALAAAGADLGHRERAGGGLTPLHIAVGYGRAGAVRALLELGADPELPDGQGRTPLELVQEVLARTPKGNPAAFQLRQGLEAAQKELEKAVYEWAEVEKVIDGRGEGKWREYLVEWRDGGEREWVKAAWVAEDLVSDFEAGLEYAVAEAVVDKRQAAAATAEGEDRWEYLVKWVDIEEATWEPAENVDAELVQEFEQRQSGSAGGEVVADGGSTAPPPSEAIA >Sspon.01G0039150-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:21929163:21932541:-1 gene:Sspon.01G0039150-1P transcript:Sspon.01G0039150-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MESHHGGGGKARDMFSVYQNPSLTRALDSRSARPSVTVLLVLAVLPVASASSLLALSSREEQLTKLAGRAGISVLAAVFVFRLVEAALGVVALFTLLGFFRALMLYNGKKALAKDDKVVLSERQLGLLGLKMAGSEGQGGGKGEQTKRPPKNKPSTPSEPIVPIRRSSFSYTPSRPSGQSRIGSSHLSPGETDHGIANVTLHTVAEACLFAFNSMVKEKLSSAKGIQTEAMLDQFLAGLDVNIDKITDPETTTTTPPATITSFGVATPVSITTSTTPSGAARSTPLRPVRMSPGSHQKYSTPPKKGEGELPSPMSLEQAVEAFENLGVYPEIEQWRDNLRQWFSSVVMNPLVQKIKTSHTQVKQTTTTVGASVTVSQVGSDLPSTTPPVALSPLGGTKDWQPTVTVDEDGILNQLRSALLHSRDAPVAQTFGSPQQPQQNPLLPAIQACIDAITEHQRLNILMKGELIKGLLPQSSVRADYTVQRVQELAEGTCLKNYDYMGHGNGYGKSEKKWTTELPTDSHLLLYLFAAFLEHPKWMLHVDPTSYSGAQSSKNPLFLGVLPPKERFPEKYVALISGVPAIIHPGALILAVSKQSPPIFALYWDKKQQFSLQGRTALWDAILLLCHQINVGYGGVVRGIHIGSSALNLQSVIDSDSES >Sspon.03G0021980-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:66954444:66960629:-1 gene:Sspon.03G0021980-1A transcript:Sspon.03G0021980-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MESRESELMYVVCRSLPVYDILPENLCVAIAKKLAELTTTKGVTTIIGSVFQCLHLDLTQSMFALLVFRSVAIVTSFQKMSLSPVPMGILKSTLSLLIGTSCGIYIAQNYDVPNIKEFMWSLMGRAKEFEES >Sspon.07G0015160-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:52648540:52655389:1 gene:Sspon.07G0015160-4D transcript:Sspon.07G0015160-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-hexosaminidase [Source:Projected from Arabidopsis thaliana (AT3G55260) UniProtKB/TrEMBL;Acc:A0A178VFV1] MANRVPSNGNLVCLNTICLFYNDRMVRYLRDLYEKRSSCLATYINLIPAILSRIQITENHHSVSTLKFYPTFPKCPQPPRYLLSTLLLLLAGAAAGARRHASPAANATSSGEPVYLWPLPKSVSSGSRTLTVDPDLALDPQGPGGRSPAVAEAFQRYRGLVFAPWAHAARARGARYDVTRLTVVVNSANDTLALGVDESYAIYVGAAGGVNSIVGGAIIEANTIYGAIRGLETFSQLCVFNYDTKNVEVRNAPWHIQDEPRFAFRGLLLDTSRHYLPVDVIKQVIDSMSFAKLNVLHWHIIDEESFPLEVPTYPNLWKGSYSKWERYTVEDAHDIVNYAKKRGINVMAEIDVPGHAESWGNGYPKLWPSPNCTEPLDVSSNFTFEVISGILSDMRKIFPFGLFHLGGDEVYTGCWNTTPHVRQWLNERNMTTKDAYKYFVLKAQQLAIKLNWIPFEGKRMSFNFDLCLQGRNLQFIRRKPQSSYSGAQLAGPGVCPKVVARGFRCIMSNQGVWYLDHLDVPWEDVYSGEPLTGSVTKTSKS >Sspon.01G0039060-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:19921400:19922951:-1 gene:Sspon.01G0039060-2D transcript:Sspon.01G0039060-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GIAGLAITYGLNLNMLQAWVVRGMCTLENKIISVERILQYISIPAEPPLVMSQDKLAHNWPSNGEIQLHNLHVKYAPQLPFVLKGLTVTFPGGMKTGIVGRTGSGKSTLIQALFRIVDPTIGQILIDDVDICTIGLHDLRSRLSIIPQEPTMFEGTVRSNLDPLGEYSDDQIWEALDCCQLGDEVRKKELKLDSPVIENGENWSVGQRQLVCLGRVILKRSKILVLDEATASVDTATDNLIQKTLRQQFSETTVITIAHRITSVLDSDMVLLLDNGVAVEHDRPTKLLEDKSSLFSKLVAEYTMRSVHT >Sspon.08G0018980-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:51511754:51517433:1 gene:Sspon.08G0018980-2C transcript:Sspon.08G0018980-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAAPAGLAAVVVAVAVLAAAVSAAVTYDRKAVVVNGQRRILMSGSIHYPRSVPEMWPDLIQKAKDGGLDVVQTYVFWNGHEPSRGQYYFEGRYDLVHFIKLVKQAGLYVHLRIGPYVCAEWNFGGFPVWLKYVPGISFRTDNEPFKAEMQKFTTKIVDMMKSEGLFEWQGGPIILTQIENEFGPLEWDQGEPAKAYASWAANMAVALNTSVPWVMCKEDDAPDPIINTCNGFYCDWFSPNKPHKPTMWTEAWTSWYTGFGIPVPHRPVEDLAYGVAKFIQKGGSFVNYYMYHGGTNFGRTAGGPFIATSYDYDAPIDEYGLLREPKWGHLKELHKSIKLCEPALVAGDPIVTSLGNAQQASVFRSSTDACVAFLENKDKVSYARVSFNGMHYNLPPWSISILPDCKTTVYNTARVGSQISQMKMEWAGGFTWQSYNEDINSLGDESFATVGLLEQINVTRDNTDYLCIDVAQDEQFLSNGKNPMLTVMSAGHALHIFVNGQLTGTVYGSVDDPRLTYRGNVKLWPGSNTISCLSIAVGLPNVGEHFETWNAGILGPVTLDGLNEGRRDLTWQKWTYKVGLKGEDLSLHSLSGSSSVEWGEPMQKQPLTWYKAFFNAPDGDEPLALDMSSMGKGQIWINGQGIGRYWPGYKASGTCGICDYRGEYDEKKCQTSCGDSSQRWYHVPRSWLNPTGNLLVIFEEWGGDPTGISMVKRTTGSICADVSEWQPSMTNWRTKDYEKAKVHLQCDHGRKITDIKFASFGTPQGSCGSYSEGGCHAHKSYDIFWKNCIGQERCGVSVVPGVFGGDPCPGTMKRAVVEAICG >Sspon.02G0038400-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:43913377:43917630:1 gene:Sspon.02G0038400-1P transcript:Sspon.02G0038400-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPTEPSRGTKRPLAATAGDDDDAGRERKPRFPKGKKPKYRDAGAEGGPSGNAVDLDSMLNPELAAERRARQRHGQLKEGDDAKGGAADVKGFEVRYNDGVNFVDDGIRIEPFNLEREREEGYFDENGNFVEYARDNEIKDAWLDSVEVDPMYAAKVQNKGKEKVEEFEDLSSDDIGRLKRQIANLLEPGETIMQALKRLKGTSTDKRGKMAEGTKRIFDELTEAAMKLMENGDYTGYERLARARLGLPEVDGVSEDPKYNQTPSSVLEIDQPSSVLEMELGPSTANISTATAAIDDDDSNLDMFGDDDNDDANTVGSGVNPGTSDVKKADNESVDSDYVYDPSSGYYYSSSTGYYYDPNSGYYGSASTGTWYSYDEQTGTYKEIQNEQTGTAKEELGDAIKE >Sspon.05G0024190-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:13008887:13010086:-1 gene:Sspon.05G0024190-1B transcript:Sspon.05G0024190-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKVRLKWVKNRGLDHLIERTTSIRASCLLLDHLSRLPDSSPVPARSLARLQKPLGLTVPVLRFLRRHPTLFSEQPHPRFPTLPSFSLTPASHTLLARLADASAHDAHLRLARLLLLTRSRSLPLASVLPLRFDLGLPFNFATAFPASHPGVFAVANNRISLRSASGLPEDIAVSSLQRRHAAAIDSATYRALSRPPSSSSAPLAFPMRFPRGYGGMKKVKAWMDEFHRLPYISPYDDASGIDPESDIYEKRNIGLLHELLGLTVHKMVRRNAIRLLREELALPHRFTRLFTRYPGVFYLSMKCKTTTVVLREGYERGKLVEQHPLAAVRDKVHYVMRTGVLYRGKGLSKLVLDEDGTEEEGALNGEEFEGEGMDEDADVECFGMEIVDDDGPVDDVDDE >Sspon.02G0037150-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2B:29729864:29730336:1 gene:Sspon.02G0037150-1B transcript:Sspon.02G0037150-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEQNIQKAVKSAMDAAEAALSGNKPFCVTHVDVGLDTTAVREAVFKVMDQKGLPIMLFSTDEASNKAVIYAGVPPNAPSSFKVLDWLTPSIAPLKGRGGGGKNGIAQGQ >Sspon.08G0001140-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:1269497:1272369:1 gene:Sspon.08G0001140-2B transcript:Sspon.08G0001140-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATAPPPAAVSTTLSALIRCQKIRSSSPRAFYARCVSSNASAEAAEPSESRKGGHGGMRLEEAVPVGEGRSRIDAWISARLGGGGVSRARVQASIRAGLVAVNGRPVSKVSHTVKGGDLVSCTVSELQPLRAVAEDIPLDIVYEDDHVLVVNKPAHMVVHPAPGNANGTLVNAILHHCKISTFTCLAHSSTGDECLDSSDDDIDVFNVDQFATEDASSEVRNALVRPGIVHRLDKGTSGLLVVAKDEHSHAQLAEQFKLHTIRRVYISLTCGVPNPNSGRIEASIARDPNNRIRMIATAGSGHRYARHAASRYKVREVFAGGGSALVEWRLETGRTHQIRAHAKYIGIPLLGDETYGGTKSMALSLLRPRTPSKYHSDLSNLISKVDRPCLHAALLGFKHPHSGKILEFSCPPPDDFSEVLDELRKVTSSDAQNGDGVV >Sspon.04G0023980-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:8991060:8993415:1 gene:Sspon.04G0023980-3D transcript:Sspon.04G0023980-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:WRKY9 [Source:Projected from Arabidopsis thaliana (AT1G68150) UniProtKB/TrEMBL;Acc:A0A178WJS2] MSSKKKRAAIDLSLEAERSDEDHSSCGGGKGDRRRDKDGGEVDKKEEQFKDQGEAPKEETVEEKVVVEVVVDQGGDGTKEIKYRTQQGEEMEDDKQSAADAHGDGESDGGETRAQDKHVVVEAAGNGDGDDSYSTMVQDEVSAMQEEMEKMKEENRMLRRAVDRTVRDYHELQKKVEACYQQQQADEPKEPEVFLSLGATVARTGGGFREPKRKERAARRPSVGSDDTDDDDKEDLGLSLSLRASSYDDEKLDAGHDLDDASLVGADGNKAKGYALLESSKLGAPAAAGGDLAAAGITSQSVNPANRKTRVSVRVRCQGPTMNDGCQWRKYGQKVAKGNPCPRAYYRCTVAPGCPVRKQVQRCLEDMSILVTTYEGTHNHPLPVGATAMASTTSAAATFMLLSSTSSSSSISETGGGSAAPPYLSPPYLLNSNSHHSAVSPLMLTTLPSAMPSAPAGAASGVQHLNIFGHSSSMLAQQAPHFSSKYPWSSDPSQGMGGGGLPAGSKRPFWSTGGDEKTATLPDNVGTVMADPSKFSVAIAAAINSYMGKDGQVAGGKEGESSSSKSSN >Sspon.02G0010680-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:23645816:23648194:1 gene:Sspon.02G0010680-4D transcript:Sspon.02G0010680-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKRGGRAPVPAKKKTDKVVNPLFEKRPKQFGIGGALPPKKDLHRFVKWPKVVRIQRQRRILKQRLKVPPALNQFTRTLDKNLATNLFKMLLKYRPEDKAAKKERLLKRAQAENEGKTVEAKKPIVVKYGLNHVTYLIEQNKAQLVVIAHDVDPIELVVWLPALCRKMEVPYCIVKGKARLGSIVHKKTASVLCLTTVKNEDKLEFSKILEAIKANFNDKFDEVRKKWGGGIMGSKSQAKTKAREKLLAKEAAQRMT >Sspon.07G0008230-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:22559827:22566636:1 gene:Sspon.07G0008230-1P transcript:Sspon.07G0008230-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDRRGGTRQWPAVTIDVNVWSDAGHAVNHLYDLLYMMGRDDIPVGVGGDGGISDSGTIYPNVGGYLPLIDQGMTTVGGCRYRQAIPLEGGGRLDVDTNFGIRRSFLPQGHRRYIPLQQPTAQQVLIDTISAGPTTVFLIGSHTNFAIFLMTYPHLKRNVEHIYIMGGGVRSKNPTGCCPKNATGCTPQQCGDHGNMFTSYSTNPNAEFNIFGDPFAAYQLTYEPHQVFHSGIPITLVPLDATNTIPINKEFFYEFERHQSTYEAQYCFKSLKMARDTWFNDEFYTSYFMWDSFTSGVAISSMRNDKNGKYGNDFAQLEYMNITVVTSNKPYGVHDGSNPLFDGHTTPKFGLQKVGVHSGHVQTGITDSFCRVKGSNKGRCEDGYTKEVSSPEAAHIRVATKAKPNVDKNSPLDREFFLSFLQALNLQENSGRFDIKAQFPFYREVLNKPSLKSKKTGKPVIIDMDMSPGDFVSLIYLLKAPPEVIDLKGILVSGNGWANVASIDIIYDILHMMGHDDIPVGRGNTTALGTPSLGCEYVSIIPQGSGGLIDSDTLYGLARSLPRSPRRYTAENSVKHGAPRNTDHPELRQPLAFEVWQAIKEQLDPSEKITILTNGPLTNLANIMLSDRNSSSVIEKVYAVGGHIRDENDSKGNLFTVPSNRYAEFNMFLDPLAAKTVLESSLDITLIPLSSQRKAASFQCILQALKHTDHTPESSFVHRLLLSLHDLQQKHGLYHHMDIFLGEILGAVYLVEGLNIKPSLQLKPISIVANNTTDMDGQIFIDMQSANSVKVLSDFSSEDYYSRIANSLGNKEQSAVIGSFEEQTAVWSRPL >Sspon.08G0026940-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:36556761:36562584:-1 gene:Sspon.08G0026940-1C transcript:Sspon.08G0026940-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cryptochrome 2, blue light photoreceptor, Promotion of flowering tim [Source: Projected from Oryza sativa (Os02g0625000)] MVGSEKTVVWFRRDLRIDDNPALAAAAKEGSVLPLFIWCPADYGQYYPGRCSRWWLKQSLAHLGKSLELLGCPLVLIRAEDSTLAALLECVHSISATRVVYNRLYDPISLVLDDKIKNELSAHGISVQSFNGDLLYEPWDVYDENGQAFTTFNKYWEKCMNLPIEISQYLAPRRLVAAPGLANVRYCSIDDLGLESSKDVESSNALLSRAWSPGWRNAENMLDEFVSCGLLEYSKHGMKVGGTTTSLLSPYLHFGELSVRKVYQLVTMHHVKWQNEGKYEAEESVRLFLRSIGFREYSRYLCFNFPFTHERSFLGNLKHYPWLLDEGRFKSWRQGMTGYPLVDAGMRELWATGWTHNRIRVIVSSFAVKFLQIPWIWGMKYFWDVLLDADLESDILGWQYISGSLPDGHELSRLDNPEGQKYDPDGEYVRTWIPELARMPTEWIHCPWGAPNSILQVAGVELGFNYPKPIVELHMARECLDDAISTMWQLDTAAKLAELDGEVVDDNLNNIKNFDIPKVVLKKKLSPSTSSMDQRVLSTNGKNKKSQPTEVKAPYKQIIRDDMINASNMDDTGSTANLQVTRKRSSSDSAFNVPSSSSSLVMESRIHDNDNCSVRLQQTADRDGTDKKQQFHRKVHMNCHIVQLSKRILFRGM >Sspon.04G0036090-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4D:22389504:22390163:1 gene:Sspon.04G0036090-1D transcript:Sspon.04G0036090-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding PDPNLSHPKKNRETKGTRSSLGDSTQKPRRCSHLDTAALFVAVRSPLHTPDGRHVRIQKHPETTTLSIASLILSGLPADPSKHIRLGPTPRSLRLSLLLPRLRAPPRRRLRPLPTTALLAGRRDTPPRLRAAATPHRQSAPRPRPPPAARRRSQATAAPRRSNAAGPRHGPATASARLQPSPSHRFVLAHSCCSDDRARHRRRRDTPSVLRRRSGRWAQQ >Sspon.02G0038580-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2B:43494195:43494888:-1 gene:Sspon.02G0038580-1B transcript:Sspon.02G0038580-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLVLFAAFLVLSAPPVHVVGQQKDFLSIDCGLDANYSGYTDKDTRILYVSDGSYTDAGENHRVAPELESSFGAVDRTLRSFPSGQRNCYALPTVAGTRYLVRATFAYGNYDGNDSSALEFDLHLGSNYWDTAKPPSAGTIAYEAIFVAWAEWAPWCLVNTGHGTPFVSVLELRPLGAALYPLVTPGL >Sspon.03G0002950-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:22953389:22953700:1 gene:Sspon.03G0002950-2C transcript:Sspon.03G0002950-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTGGVSNDSFYTPNGTNGKDTTSPLSFKTAASFLSLGSVDGLLTSVRSFDSSPPYQKRSKRMATIKSVEKQLDFSADGLDTSGSEMMNSPCHNSQGTNSNRT >Sspon.01G0059670-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:64264113:64266078:-1 gene:Sspon.01G0059670-1D transcript:Sspon.01G0059670-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVSASSVGHSGEPPQASSSSITAAAATGTHQLKIDSYSLTKSLPRGAHIKSSNFQAAGYSWYINYFPNGYGRLIKLARGYLRLQLVLDVAVAATAGAVMAQFTLSVINSAGLLERTTARNSPVHNLAHGGVAGWWFFKYMSKADLESKFLDLKDDSFTLHCQGLKEKCFEFLKSTGLNALIATDGFNHLSTSCPAVLEELTSKLTLH >Sspon.08G0011120-4D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8D:45930675:45934536:-1 gene:Sspon.08G0011120-4D transcript:Sspon.08G0011120-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAAVSSASSSFFLALASASPGGRRRARVGSPPFHTGAGSNFAFWRAPPPARAPRDAALVRAEAEAGGKDAPPERSGDAAAASRLPRARRKAVSKRRDPLQPVGRYGSGTGNTARSGAASSPNAALAAVEIKSIVAAPPTSIVKFPAPGYRVILPSGDVAPETVVLPAPEPLHESPGANSVLPASIPNPDTDGDVEPAEKKPAQVDGDSNGVAAPPPAEPVVQEATWDFKKYIGFDEPVEAKDDSRVAADGAGSFEHYENNDSGPLAGENVMNVIMVAAECGLGDVVGALPKALARRGHRVMVVVPRYGDYVEAFDMGIRKYYKAAGQDLEVNYFHAFIDGVDFVFIDAPLFRHRQDDIYGGSRQEILKRMILFCKVAVEGRGPVAEFPYMDLPEHYLQHFELYDPVGGEHANIFAAGLKMADRVVTVSRGYLWELKTVEGGWGLHDIIRSNDWKINGIVNGIDHQEWNPRVDVHLRSDGYTNYSLQTLDAGKRQCKAALQRELGLEVRDDVPLLGFIGRLDGQKGVDIIGDAMPWIAGQDVQLVMLGTGRADLERMLQHLEREHPNKVRGWVGFSVPMAHRITAGADVLVMPSRFEPCGLNQLYAMAYGTVPVVHAVGGLRDTVAPFDPFGDAGLGWTFDRAEANKLIEALRHCLDTYRNYGESWRSIQARGMSQDLSWDHAAELYEDVLVKAKYQ >Sspon.05G0009260-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:22137848:22138165:-1 gene:Sspon.05G0009260-2B transcript:Sspon.05G0009260-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding WTSTASGSSYWSWSPENQQSSQCPTTTPSTSSSGCGGGPTYLTPASPARRRRRGCRPLSSSPCAARRPWTRLPGRCRHYVSAFIHRRRCSVK >Sspon.06G0032710-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:91663463:91669636:1 gene:Sspon.06G0032710-1C transcript:Sspon.06G0032710-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHDAFKYWLWVEQTGLSNDTGSWWLSHQSSTNTKFGNGVYAALPGHGIDQYSSGWFGHMIPGARMDDAQPRVIKPQPLVLAHGEAQKMKGNSCKLFGIHLDSPAKSEPLKSPPSVAYDGMPQTPAAAEWRMVDAIDPLKTPKQLDATQVDPVAEKCPQVSRGTQCKSQGGSTRSCKKVHKQGIALGRSVDLTKFNGYTELIAELDEMFDFNGELKSSNKEWMVVYTDNEGDMMLVGDDPWNEFCNIVHKIFVYTREEVQRMNPGTLNSRSEDSLANSMERALQLGRHLAAYPPRPLTPRTANAFAAGRLK >Sspon.01G0029640-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1A:102995825:102996025:-1 gene:Sspon.01G0029640-1A transcript:Sspon.01G0029640-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GTKATAVTYVYALGPPTCAPPGYRPETVDFNADHPFAFFIIMEEVSDAVIFAGCVLDRSSDTFHLS >Sspon.08G0005420-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:16546117:16549199:-1 gene:Sspon.08G0005420-3C transcript:Sspon.08G0005420-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIGDGSSNGNQQSVHKEIQDETTPLLPVKVEEDEGFHEFNGASFSGAVFNLSTTIVGAGIMALPASIKMLGIIPGILLIIIVALLTEASIDMLVRCSHQGKITSYGWLMGEVFGQWGRIALQGSVIINNVGVLIVYMIIIGDVLSGTTSGDVHHRGILEGWFGAHLWNSRPIVLLATDLLVFAPLVSFKRLDSLRYTSALSVALAVVFVVITAGIAIIKLFNGTVAMPKLFPELDGLNSIWNLFTAVPVLVTAYICHYNVHSIDNELEDRTQIKPIVRTSLFLCSSVYITTSFFAYLLFGEGTLDDVLANFDANLGIPFSSVFDDIVRVSYAAHVMLVFPIVFFALRLNLDGLLFPTSRHISRDNKRFAIVTISLLTVIYLAAILIPSIWDAFQFTGATAAVLIGFIFPAMVILRDSYGIATKRDKILAVTMIVLAVLSNSVALYSDAMNIF >Sspon.01G0014360-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:103120805:103128118:-1 gene:Sspon.01G0014360-2B transcript:Sspon.01G0014360-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFTEAFKQTGPCSFSPDSRFLAIAVDYRLVIRDVVSLKVVQLFSCVDKISSVEWAPDSEYILCGLYKRPMVQAWSLSQPDWTCKIDEGPAGIAYARWSPDSRHILTTSEFQLRLTVWSLVNTACVHVQWPKHGSRGVSFTKDGKFAAICTRRDCKDYINLLSCHSWEIMTVFAIDTVDLAGVEWSPDDSAIVVWDSLLEYKVLIYSPDGRCLFKYLAYESGLGVKTVAWSPCGQFLAVGSYDQAVRTLNHLTWKTFAEFSHAAYIRSPCNAAIYKEVDDPWQLDMSELCLSEGFSRNMQDNGAENGTEGGGSRVKYALMDVPITLPSMKPATDKPNPKQGIGEFLMPFATPADACCK >Sspon.01G0000850-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:2771105:2771745:1 gene:Sspon.01G0000850-3D transcript:Sspon.01G0000850-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRARAASASVLLLLLLLVSGCAGAVYKVGDLDAWGVPPPSKPDVYKRWAKSIHFALGDSIWFLYPPSQDSVLQLPPEAFASCDLSRPVARLADGNSFFNLTAPGRAYYASGAPGHCRKGQKLWVDVPMPTAPTSSPPPPTSPRSRPPPPPTRPRGSRPRPRPRRRAPAPRPRHAPPPDPSSPSSASRSRSSSD >Sspon.01G0010630-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:29865154:29866350:1 gene:Sspon.01G0010630-3C transcript:Sspon.01G0010630-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFALLLVVLLVLAILWLWNYLIVHLIWRPYIIAKKLREQGIHGPPYKFFKGCNENIKRMKEKADGLVLDVHDHNYLPRIAPHYLRWRNQYGGSSQILPNKSGHFFKNDSLLGKGLVLVEGTDGVRHRSVINPAFSMDNIKVTMLFHDPGLRQHLRQNYDVRLTSANTSAQASLTPLASTPTLPPPNIFFRRAFTIILTHCYDLRASVNTFDINTYDDLPPSPRSRGYINSFDTKVY >Sspon.02G0003590-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:6552880:6556410:-1 gene:Sspon.02G0003590-2P transcript:Sspon.02G0003590-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTRKSKTTSAWRSFLGGCLGGGGEGRSAGDRQRKVRPGGSGGGRLSFTDLSGAADQDLSVSLVGSNLHVFSVAELREATRGFVSGNFLGEGGFGPVYKGFVDDGVKKGLKPQAIAVKLWDPEGAQGHKEWLVQIPAVLPWSTRLNIAVGAAKGLAFLHDAEKPVIYRDFKASNILLDSDYKAKLSDFGLAKDGPEGDDTHVSTRVMGTHGYAAPEYIMTGHLTAKSDVYSFGVVLLEILTGRRSVDKTRPSREQNLVDYARPCLKDPLRLVRIMDPAMEGQYSARAAQSAAMVAYRCLSSSPKNRPDMSAVVQALEPLLDLNDDVPVGPVGPVGPVVLFVAAADEKKERAPRKDVRRRRPMSPKASPRKRPGAGPKEEFW >Sspon.08G0007820-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:26846123:26846659:1 gene:Sspon.08G0007820-1A transcript:Sspon.08G0007820-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRQSAISTQGNGANAGAAVQEWLYPGGWQPEQAAVVDGAFLMELLEDAPDVDRLSRVIRSLEAEISGGGGPPPSAAVANGGGTADHVPGDVHNGGLEDYLLPDLDSIPGPCFWTEVPPAVGHDMGGWYVDGDGVMVWYEFREQCYYGYRESPHAEQVYGPLWE >Sspon.07G0019180-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:69760242:69763426:1 gene:Sspon.07G0019180-1A transcript:Sspon.07G0019180-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor MYB98 [Source:Projected from Arabidopsis thaliana (AT4G18770) UniProtKB/Swiss-Prot;Acc:Q9S7L2] MDFSCFQPHTGICSYLPFDSYMQQNGRYELHPVDRHPFEVIGDYTSAAFNDLGHQFFVDREIKKPIFNHASPISHRVVSQLPLLTPKTEVSHLMDSGLGSYKAYEMNGRFLPRKKTSLKKTNVVKGQWTPEEDRKLVKLVEQFGLRKWSYIAQLLPGRVGKQCRERWHNHLRPNIKKDIWNDEEDMVLIQAHKEVGNKWAEIAKRLPGRTENSIKNHWNATKRRQFARRRSRSSSKGPKSGTLLQNYIKGLGIGASNKNVVAAPLPEPTPSPSSSPETPGAKPAKTGEIKLENILDSSQGILLPSMDEYNCSESQSCEDLLAPICDGFSVEMCDSLFDVNEGTFQVCTVDDDDDIDMNYIFNHTDHAIKVDPEIDMEMMMMWDDDALGCAEPAGPAAHVETVHVKEEMDLIEMVAATQNCGEAENH >Sspon.04G0034030-2D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4D:72206307:72207008:1 gene:Sspon.04G0034030-2D transcript:Sspon.04G0034030-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MERACDDDELVDRCDGCGGVLEACDDESFVIYDCFHALHAGCAHGRDACPRCHTPRVHDDDDDQPEQIEAAPASTPDNKDEDSALASDGMGNDDRPRGGETGEPRPSRCDGDGDGDFIKPGDGECHASMSGSECNHASRLGCCACFSRRVAELEDRLEEKSAALTETTNVLEMELRRAQSRLCRLDKMYDKLEEEFMRLRNGKRAREIEDAEEEEAAERRKERFKVQVSPRPH >Sspon.06G0028910-2P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6D:11849599:11855394:-1 gene:Sspon.06G0028910-2P transcript:Sspon.06G0028910-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DVHPPGRSRSSPPRRSPYRPIPSHPRSLSLGMTAMPRAPRRPRICPCGLPLAPASTPIRTTRGVRSAAADKRVLAEFHEASLRVPDLSLPAEALPLPIGAGIGIRWDLSHALVSGNANAVLWYVTWPCRCVSLDRCDRCKVSLLYTVELHTPM >Sspon.04G0007380-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:21010998:21016556:-1 gene:Sspon.04G0007380-1A transcript:Sspon.04G0007380-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTSSGANFHQQPPPTQGMLPPRHGPRPSGLQTSLSLASSEQVGSPDMQEPGSNSDPGHDSATESASSKDTWPAEPNQSNGGVAASGVVSKAAEKEKEVVNGVSKLQVIRGPSSRVGGMLLREVARERVDLVAEKMKVMPEEHLEEVKNELRSILEGTGGSHHIKEFLYLQKLVQDRDDLTPSMLSVAHHVHLEILVAIKTGIQAFLHPSVTIPHNRLVEVFLYKRCRNIACQSALPAEECRCNVCASRNGFCNLCMCVICNKFDFEVNTCRWIGCDFCSHWTHTDCAIHNGQIGMGQSVKSSIGHAEMLFRCRACQRTSELLGWVKDVFQQCAPGWDRDALLRELEFVCKIFRLSEDAKGRVLFRKCLDLIERLRNAPADSINPRVILQALQDGLPKMSENEDVGHLITPQEACNRIAEVVQEAVRKMELVAEEKMRLYKKARLAVEACDRELDEKVREAQELKAERLRKKQQVEELESIVRLKQAEAEMFQLKANEARQEAERLQSIALTKSKTAEQDYASMYLKRRLEEAEAEKQFLFEKIKLQENQRPAVGVGSSSGAGGDSAQTMMLSKIQDLLKNVRSMPAKSEGH >Sspon.05G0037420-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:5D:13218970:13219085:1 gene:Sspon.05G0037420-1D transcript:Sspon.05G0037420-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding EGGGALGRNPRVRGPIGVSSDPCPVEPPLLEESLVHL >Sspon.02G0029140-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2A:105891435:105891851:1 gene:Sspon.02G0029140-1A transcript:Sspon.02G0029140-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSYLPLASALLSTAIVAILAATSAVPVAAAASAADKYSGRMVIIRAPGATVLDVSAGGALNKWQQQQRRLVEDEVAPEFGGLLGAGNSGGSVSDGALNKDRGNCLPTGQCTKAGGSYTRGCTFKDGCAHTPTAVHV >Sspon.03G0007080-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:19234616:19247666:-1 gene:Sspon.03G0007080-1A transcript:Sspon.03G0007080-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MILPPMVDWSALLQQASLMGPLAPGLLQQVPPLESLLDQSGENDGGDAGSSSSSKEKTTKGGGGAAGGRSGKKKASSPRFAFQTRSVNDILDDGYRWRKYGQKAVKNSEHPRSYYRCTHHTCNVKKQVQRLAKDTSIVVTTYEGKERRRRAPVQRVDHSMENGQQLQGDESSSSHALPSFPYFAVPSPPYAPPPSLPLPPSDDQHSTLITALQQQPSSASNNDDLPLQLGPDQLAAAAAAAAVAPMILPPMVDWSALLQQASLMGPLAPGLLQQVPPLESLLDQSGENDGGDAGSSSSSKEKTTKGGGGAAGGRSGKKKASSPRFAFQTRSVNDILDDGYRWRKYGQKAVKNSEHPRSYYRCTHHTCNVKKQVQRLAKDTSIVVTTYEGVHNHPCEKLMEALSPILKQLQFLS >Sspon.02G0029680-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:105313212:105316833:-1 gene:Sspon.02G0029680-2B transcript:Sspon.02G0029680-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPAGGDGDAASAAAAARAVEEARALLPASAPALDHDDDDEDLEERAYEAAEKVIVSISDGPDPEDGDGGDDDAAFCSSSGSATPPFSWRKLWLFTGPGFLMSIAFLDPGNLEGDLQAGAVAGDTLLWLLMWATAMGLLVQLLAARLGVATGRHLAELCRDEYPDWARRALWLMAEVAMVGADIQEVIGSAIAIKILSRGYLPLWAGVVITALDCFIFLSLENYGVRKLEAVFAFLIATMAVSFAWMFTDTKPNGKDLLIGILVPKLSSRTIRQAVGVVGCVIMPHNVFLHSALVQSRKIDQNKEYQVREALRYYSIESTIALAVSFMINLFVTTVFAKGFYGSKEAGNIGLENAGQYLQEKFGGGFFPILYIWGIGLLAAGQSSTITGTYAGQFIMGGFLNLRLKKWVRALITRSFAIVPTIIVALFFDTSDSALDVLNEWLNVLQSIQIPFALIPLITLVSKEQVMGVFKIGPNTQAVTWTVATLLITINGYLLMDFFSSEIRGPLSGSLLCVAVLIYASFVLYLILRGTELSEKIVKAIHNSF >Sspon.02G0052340-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2C:90858014:90859087:-1 gene:Sspon.02G0052340-1C transcript:Sspon.02G0052340-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSPSELIDDAIAEILLRLPPDDPACLVRASLVCQLWRRILSDSTFPHRYREFHRTPPLLGLLGSTFSCASKASRFDPITTRIPFLEPAYGCRALDCRHGRVLFDMVGGTRGSLVVWDPITGDHKVLSDPDPDSYCHSGTVLCAAASCNHRCGCHGDGPFQVVFVSTPYNDDDTNVAARAWVYSSETAAWSASASVQLPEDSHLYTTKRGALVGDQIYFLLTDTRILKYDLGKHCLTTICLPGMYTNCHPDILMTMDDGSSLGFVVIKDSTLDLWARKVNPDGSMGWVQDRVVLLNNLIPIIPRTGYTSMNVIGFAEGVDFLLLGDDASGFMFELKSGRFKRLSNPEYNHYDVLPY >Sspon.01G0023990-4D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:83042547:83046387:-1 gene:Sspon.01G0023990-4D transcript:Sspon.01G0023990-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDATAILERMANANQSALPPGILTYNRQTKFDNDALAPESECLLPVREKECDNAKSSESGSLAALRILLSRKLLRSTLLLWFVFYANSFAYYGLVLLTSQLSDANKSCASGVNVGLHQKVYPTVVRSTGAGIATAVGRIGGVVCPLVAVAMLRSCHQMEALIVFEVILCLAAVACIFFPVETKGRGMD >Sspon.03G0026860-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:3973587:3974347:1 gene:Sspon.03G0026860-1B transcript:Sspon.03G0026860-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRISVGVFYGLAADPDVDIRYVVVGNEVPGAASVLQAMQNVHAALASAGLAGRVRVSTAVKMDAIENSSPPSSGVFKDPAAMSPIVQFLAGNGAPLLANVYPYFAYEYSDGIDLDYALFQPSSTTVTDPANGLVYSNLFDAMVDAVRAALEKAGGGGGVNVVVSESGWPSADRKGATVDNARTYNQNLINHAGKGTPRKPGAMEVYVFAMFNEDQKDGDPTENKFGLFNPDKTTVYPINFAGI >Sspon.07G0006790-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:21750826:21754716:-1 gene:Sspon.07G0006790-3C transcript:Sspon.07G0006790-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Coronatine-insensitive protein 1 [Source:Projected from Arabidopsis thaliana (AT2G39940) UniProtKB/Swiss-Prot;Acc:O04197] MGGEVPEPRRLSRALSFGCGAVPEEALHLVFGYVDDPRDREAASLVCRRWHRIDALSRKHVTVGFCYAVEPARLLARFPRLESLALKGRPRAAMYGLIPEDFGAYAAPWVAQLAAPLDCLKALHLRRITVTDEDIAVLVRARGHMLQVLKLDKCSGFSTNALRLVARSCRSLRTLFLEECTIADEGSEWLHELAVNNSVLVSLNFYMTELKVEPADLELLAKNCKSLISLKMSECDLSDLIGFLQTSEALQEFAGGAFFEVGEYTKYEKVKFPPRLCFLGGLTFMSKNEMPVIFPYSAMLKKLDLQYTFLTTEDHCQLIVKCPNLLVLEVRNVIGDRGLEVVAATCKKLRRLRIERGDDDPGQEEQGGVSQIGLTAVAVGCRELEYIAAYVSDITNGALESIGTFCKNLYDFRLVLLDKQNKIADLPLDNGVRALLRNCTKLRRFAFYLRPGGLSDVGLGYIGLYSGNIQYMLLGNVGESDNGLIQFAMGCTNLRKLELRSCCFSERALAVAVLQMPSLRYIWVQGYRASQTGQDLMLMARPYWNIEFAPPCPESAYRMMADGQPCVDTHAQVLAYYSLAGRRPDCPQWLVTLHPA >Sspon.03G0006460-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:17711974:17713583:-1 gene:Sspon.03G0006460-1A transcript:Sspon.03G0006460-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRATMRWLPPALLLVVAARLATVAVVVVRGEPQVPCYFVFGDSLVDNGNNNDIASLARANYPPYGIDFAGGATGRFSNGLTTVDAISRLLGFDDYIPAYAGASGDQLLTGVNFASAAAGIRDETGQQLAPTSIALERSVSLLTHTYGPWPQGQRISFGGQLQNYQAAVQQLVSILGDEDSAANHLSQCIFTVGMGSNDYLNNYFMPAVYSTSQQYTPAQYADVLIDQYSQQLRTLYSYGARKVALMGVGQVGSIDINVYGIFEDILRAPGSHGLTVTNRGCCGVGRNNGQVTCLPFQTPCANRNEYLFWDAFHPTEAANVLVGRRAYSAAQPSDVHPVDLRTLAQL >Sspon.06G0011170-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:48180355:48181397:1 gene:Sspon.06G0011170-2B transcript:Sspon.06G0011170-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKLNEKIDELKSQMEELRADLSKAKKGKPLGYDKEGKQKRNLAPEAIEKKIAMLEGKIDKMEMDKMIKEDLKTVALGTSKINYLDPRITVAWCKRHEVPIEKIFNKSLVAKFGWAMDVDPDFRF >Sspon.01G0047550-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:103862733:103865729:1 gene:Sspon.01G0047550-1B transcript:Sspon.01G0047550-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VSRGGQTVTLVGTTLVLFGGEDAKRCLLNDLHILDLETMTWDDVDAIGTPPSPRSDHAAACHADRYLLIFGGMEWSRPKQQGLTPSPRAGHAGATVGENWYIVGGGNNKSGVSETLVLNMSTLTWSVVSTVEGRVPLASEGMTLVHSNYSGYDYLISFGGYNGRYSNEVYTLKLSLKSDSQSTVKEETVSDTTSRVIEPEAEISQDGKIREIAMDSADSDLNNRNDEASEQLIADLKAQKEELEATLSREQLQTVQLKEDIAQAETRNAELTKANQAFVVLNVYMGYSLLNDVNIMNFKLSVANLLLSNPDVSNLRSMLRNFDKNFRVWMRWKRRSNCFGDRKPLLSKQRWTQSRGKVLVACGVGLLEAHHQQFSSYRP >Sspon.02G0007090-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:21904979:21910928:-1 gene:Sspon.02G0007090-2C transcript:Sspon.02G0007090-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSIRHLFLFVLLASLRCGAAAAGYGGGAEGFCSAEPSNECSGGQPLYWKVTHPTLAPTHLQDLPGFTRSVFKRDHALITPESHVFGPLPDWINTLGAYLISPAIGAHFTMYLANMQDGSKSALPPKDVERYSAIEDSHPDLIVGSTDKQPLLETPGEVFQLRKLLPTSLSYDFNIHIMDFQPGEYLNVKEVHYNQHGLLLLEGQGIYRLGESWYVYRTTINAGFALA >Sspon.03G0016680-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3A:52777298:52780412:1 gene:Sspon.03G0016680-1A transcript:Sspon.03G0016680-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSNVSQAGAPPPPPPTYASTPAPFEIAAAPPPKPHEEEATEEKVDYLNLPCPVPYEEIQREAFMALKPDLFEGMRFDFTKMITPYFALSHSVYMGSTEIPAQGSDVIKVPTSNYEFGANFINQRTMLMGRVSHDGRENIRVKHDITDNLSLKINAQLTSEPHYSQGMLNFDYKGKDFRSQIQFGNNGFYGANYIQSVTKNLSLGTEAFWLGQQRKSGVGVVARYDTKKM >Sspon.08G0011550-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:48308557:48310171:1 gene:Sspon.08G0011550-3C transcript:Sspon.08G0011550-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQQAAKEVIPLMTPYKMGQFQLSHRVVLAPLGRCRSYGNVPQPHAAVYYSQRATKGGLLIAEATGVSATAQGYPETPGIWTQEQVDAWKPIVDAVHRKGAIFFCQICHVGRVSTNEFQPDGQAPISSTDKQISPDAESGMVYSKPRQLRTEEIPGIIDEFRRAARNAIEAGFDGVEIHGAHGYLLEQFMKDSCNDRTDEYGGSLENRCRFAVEVIDAIVREVGAHRVGIRLSPFVDFVDCVDSDPVALGHYMIQQLNKHEGFLYCHMVEPRMAIVDGRRQIPHRLLPFRKAFNGTFIAAGGYDREEGNKVVAEGYTDLVAYGRLFLANPDLPKRFELNAPLNKYDRSTFYTQDPVVGYTDYPFLEDGSDNDESSSQA >Sspon.03G0045580-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:20898945:20899306:1 gene:Sspon.03G0045580-1D transcript:Sspon.03G0045580-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MWLFIYLLTRNGSRAGLKKDLRVSRVGPGGSLNPFFFLPSELFPKDLPWAMIYFTGEVSGSSPGWPSCAREKNRRSI >Sspon.02G0008070-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:23376147:23377931:-1 gene:Sspon.02G0008070-1A transcript:Sspon.02G0008070-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLALMVAAAVAAVLASWAFNALVHLAWRPYAVTRRLRAQGVRGPAYRFLTGNLGEMKRLRAEGAAVTLDVGDHDFIPMVQPHHRKWISLYGRTFVYWNGATPNVCLADVNVVRQVLFDRTGLYPKNLMNPHVTRLLGKGLVLTDGDDWKRHRKVVHPAFNMDKLKMMTATMSDCALSMMSEWEAQLAKGGGDAEAEVELSSRFEELTADVISHTAFGSSYEEGKRVFLAQRELQFLAFSTFFNVQIPVFRYLPTEKNRRTWKLDKQVRGMLMDIIKARLASKDTAGYGNDLLGLMLEACAPEHGETPVLSMDEIIDECKTFFFAGHDTTSHLLTWAAFLLSTHPEWQDRLREEVRRECGDEVPTGDGLNKLALVNMFLLETLRLYGPVSLIQRKAGSDLDLGGIRVPEGAIFTIPIATIHRDKEVWGEDAGEFKPERFQNGVTRAAKHPNALLSFSVGPRSCIGQNFAMIEAKAVVAMILQRFALKLSPKYVHAPMDVITLRPRHGLPMLLRRL >Sspon.01G0040240-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:39321957:39323117:-1 gene:Sspon.01G0040240-1B transcript:Sspon.01G0040240-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MELPITPTILYLQYCIPIPSSLGSFSSAAAGGDLHKHRSDSDDRITARADDANNIISPHLFSSLSSCRQSSVVKLGTWGGDGGSACDLTVAPQRLESITIRWGKVIDWISFSYRDRSGKPHTAGPWGGNGKGEGTETITLEASEYVTGVAWSVGPFTLKNVESCITSIKVVSNLRSYGPFGHGVDSTHHYLPVLNGSVVGMFARAGDFLDAIGFYILPAALPAIKPTDLDQEEKEDYSQEENQEEKEKDRNQDEKEEKSDQEGKREGL >Sspon.01G0052530-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1C:23772172:23772843:1 gene:Sspon.01G0052530-1C transcript:Sspon.01G0052530-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSYDEPPQVTSSPMTPDQLTTAITDLARSIAAIQSYLGILPLQPASWLLPQSAVVSLPPVFPYGIPGYGMTLLPFQDMQPTAATRGLRARRRLQEMRQKMKEAVLVAVRLQAAARGLLARRQAREMRGLHWCLCPVPLCFATRLRFVTWRVPISSAVSWRSGVASPPRVANSLSTAPAFGDVGVWPPIGGP >Sspon.05G0014080-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:51149053:51152598:-1 gene:Sspon.05G0014080-1A transcript:Sspon.05G0014080-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDITLLVSADATLAAARSRSRPSTSAFQRQIVPGRRRRASASSCRPVRARSAAAAAPAAGGISGHSNGVYTVGDFMTRRDNLHVVQPTTPVDQGNELADTNTNMFPDVDSTWKTFREIQRLLSKTNGKVIGDVMTSSPLAVRENTNLDAAARLLLETKYRRLPVVDSMGKLVGMITRGNVVSAALKIKKKTEEGA >Sspon.01G0000950-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:3172198:3179283:-1 gene:Sspon.01G0000950-2B transcript:Sspon.01G0000950-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MWVTSMPQVWDEEAAAKGGGAAVTPAPAAAMLGSLAGRSRPRPGCAAPRGGPPVTAPRLRLRDGRHLAYCDSGVPRDQARFKVVFSHGFTGSREDSVRASQEVAEELGVYMVGFDRAGYGQSDPNPNRSVKSAALDVEELADALGLGPKFYVIGISLGCHAVWGALKYIPDRIAGAAMMAPVVNYWWPGFPAELAAEVYAKQEVGDQWALRVSHHAPGILHWWMEQSWLPTSTVVAGTTPLPNARDAEIRRNMQADGTFQQKREQATQQGIHESYYRDMTVMFGKWEFDPMALPEPPCPVHLWQGDEDGLVPVVLQRYLASKLAWVNYHELPGTGHFLSAVPGLGDTVLRTVFGQKQ >Sspon.07G0000910-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:10967154:10969194:-1 gene:Sspon.07G0000910-1T transcript:Sspon.07G0000910-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASEEAAATTPVAPAEGTVIAIHSLDEWSIQIEEANSTKKLVVIDFTATWCPPCRMIAPVFADMAKKHPNVVFLKVDVDEMKQTIAEQFSVEAMPTFLFMREGDVKDRVVGAAKEELAKKLELHMAQ >Sspon.04G0026230-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:40083100:40101794:-1 gene:Sspon.04G0026230-1B transcript:Sspon.04G0026230-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVDEAVRALGAGFDLTSDFRLRFAKASEGRRLVELGEETRDVPLPGGGGATLRGVPRDVGVDKGDRIRFRSDVLEFNRMSELLNQKSSVQGKVPSGYFNALFELSGAWLTDAKETKHLAFDGYFISLYNLHLKASPLVLRDEVKKAVPSKWDPVALSWFIKTYGTHIIVEMGIGGQDVICVKQSHSSTVSTADLKLHLEDLGDFLFSDGKNHSPIHRKTKDGKSKVPDVFVRIVQHSNNLHLSSYSEASTKDGLTITCSKRGGEAYLPNHSKWLQTVAKKPDAIMFKFVPITSLLTGIPGSGYLSHAINLYLRYKPDLQDLQYFLEFQVPLQWAPMFNELVLGPQKRKGSYPSMQFRLLGPKLHVSTSQVSSSQKPVVGLRLYLEGRKCNRLAIHVQHLSSVPSMIGDSVASSMSEWRESEDSDPGYIEAIQWKNYSCVCTSAVKYNPEWHKRSPGGVFIVTGAQLVTKGTWSKKVLHLRLLYTHIPNCTIQRTEWTNAPAASQKGSFLTTISTTLSSPFTQRDTHQQAKHEPVQLNSGVYPDGPPVPLRSRKLLKFVDISEVVKGPHDVPGHWLVIAAKLVKEGGKIGIHVKFALLGYEGQSQAVMEVVDEAVRALGAGFDLTSDFRLRFAKASEGRRLVELGEETRDVPLPGGGGATLRGVPRDVGVDKGDRIRFRSDVLEFNRMSELLNQKSSVQGKVPSGYFNALFELSGAWLTDAKETKHLAFDGYFISLYNLHLKASPLVLRDEVKKAVPSKWDPVALSWFIKTYGTHIIVEMGIGGQDVICVKQSHSSTVSTADLKLHLEDLGDFLFSDGKNHSPIHARQRMARAKYKYISVPDVFVRIVQHSNNLHLSSYSEASTKDGLTITCSKRGGEAYLPNHSKWLQTVAKKPDAIMFKFVPITSLLTGIPGSGYLSHAINLYLRYKPDLQDLQYFLEFQVPLQWAPMFNELVLGPQKRKGSYPSMQFRLLGPKLHVSTSQKPVVGLRLYLEGRKCNRLAIHVQHLSSVPSMIGDSVASSMSEWRESEDSDPGYIEAIQWKNYSCVCTSAVKYNPEWHKRSPGGVFIVTGAQLVTKGTWSKKVLHLRLLYTHIPNCTIQRTEWTNAPAASQKGSFLTTISTTLSSPFTQRDTHQQAKHEPVQLNSGVYPDGPPVPLRSRKLLKFVDISEVVKGPHDVPGHWLVIAAKLVKEGGKIGIHVKFALLGYEGQSSQGDSFMS >Sspon.08G0008750-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:83520422:83522712:1 gene:Sspon.08G0008750-2B transcript:Sspon.08G0008750-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSWTDMQNKLFEKALAKYDKDKLDYWQNVARAVGDGKTVDDVKRQFKELVRDVDHIESTGGQNSQYDGGRSSGSGIEQRSEVQDGATSSDKPIMQGGYFLHSPSTYLHYDMMAVMVALHQIIKLTPTQPKSET >Sspon.01G0024680-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:87908912:87918996:-1 gene:Sspon.01G0024680-1A transcript:Sspon.01G0024680-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPEQTFLRVHARLSGTLSQLLTPRIRLALEYLYLAGAVALFCLLVVMHTNFVQQPGCSSEFSGIEFGEAQLVQIKIISGGLWSSRGASYIMDLQNLGRSAEKILEVNGDKFNILASKFWSTWVGPGARRSKLMFRNWKGDKEFEPQSENTADTTVTTTIPGLPDLKAAGDGSVHHPLSAKESFKAAVTYLFRKWYHRAVSFWKNIKQLSENTLQLMVRSNWNDFLHIFKDLQLPSMDHLISTIVQWFERRSKAFEPTYLYGVEKGYFLLSEGAKIRHGVRTINITISARNPCFGNRWQQLLINSIVGYDTILTNSLVNSPGHGYLYNFQTKELYDLSYGHEPPEGPTRFGDYFVTKCGVLLMSLFVFFTTTMSVSFTLRETQSRMLRFTVQLQHHARHHLPTFQLIFVHVIESLVFVPVMGNILWRFEIFEVCDENIMIGILFFLFEFYDDQLLAFLVLTLVWLCELFTMISVRTSISMQFFPRFFLLYFLVFHIYFFSYTYGFSYLAFSATAAFMQHLILYFWNRFEVPALQRFMRSRAHIHQQTGVQISSTIYTSTLHIARVNVRDPGTINDGLGAAREADGLLVQDESTRNQQEGQQHGISEPAANNALQYQEQNPQQAGSTPAGSGSLNPFGSLLLWLLGGGASDGIVSFFSMFRDVRDHGQDYTDPPRNENDQVRMKLLKLEQF >Sspon.02G0030780-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:112883099:112890371:-1 gene:Sspon.02G0030780-1A transcript:Sspon.02G0030780-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDTHRSAAPAAAAPGGNVSTGGGKDDTGRGKRLAPSASALPGNKRRKAGVKELEPALWAKMLSQYSQVTACLRSTASVLDFCIVRFLLWACHKSTLACNCSLIVSLNASASLKDLQDAESLDKISAFGVNEPTPHVLLSVSEISVGRGHKCHIVLDDQTVDTNLCLLRLRHLPTASIENNQGIEKTGKFTTKSNFRSPNPSATLSASGWQAFKDWLKQGILSPKDIHVTLDNFPYYLSDSTKEILLLSAFVHMDKEFNKCSQKVSSLNQRILLSGPSGSELYQETLIKALAKHFDARLLILDSLILCGMSSKLQESLKDVRSDDAPTFSSGADIVGTSRKNTFREGDRVEYIGDGSLKLTPSSYVYRGEVVLAFEKNGSSKVGVLFDDPIDAGNDLGGLCDRNRGLFCYAAELRLDSSGGEVDSLALGKFIEVISEESKSSNLFVLLKDVEKSFTKCRESLINDLPPGVLIIGSHTQAQSYKDQEAIGSKPEGSRSATESTKHLNNLFPNKISIDLPQNGAQISNLKKRLEQDTETLKDKANISNIHMFLTSREIECIGLEELSINDRLLTNEDVDKIVGYAISHHLQNFGRPKCAKMELPIESLKYGLSVVQRTHSENKSPKHVLKGVLTENVFEEKILLNVISPNDPGVTFVDIGVLDDVKETLKKLVMLPLHRPELFNEGQLRKPVKGILLFGPPGTGKTMLAKAVATEAGANIINLSVSSITSKWLGEAEKYVKAIFSLASKLSPAIIFVDEVDSFLGKIERPGEHEAMSKFKNEFLINWDGLHTKEQEHVTVLGATNRPFDLGDAVIRRLMVSVPDVSSREKILKVILSKEMLAPDVDLKLIASMAGGYLWTDLKNLCVTAAFRPLDEIMEKEKKEKSLAIAEGRPEPPLYGTKDIRPLQMDDFKFALGQ >Sspon.03G0045930-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:28178053:28179291:-1 gene:Sspon.03G0045930-1P transcript:Sspon.03G0045930-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGQSPGPRPPPLALLLLAAAVASRGDDDTYAISACRSRPYLCGGVNISYPFYLATDTNAVPDHDDGESYCGYPGLAVSCDGGDNKPVLKLGDDNYTISDIDYANLIVSLADADTAGNTGCPVVDHNVTVPQDVRLSLILHSVDYLFFFVGCSFGPEAEPAPKPPKPPTIKPITCGDMDKPPASMSSCSRAVPPGDWSSACRQIFEVPVLKSSVPSKAVAEDPVWRNDGYGKALRAGFQLSWDRSSVGPCGQYEQSSGKCGYSPAGEFLGCLCADGRVHDGGCSKISADSSALSWPGT >Sspon.02G0044660-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2B:103578264:103579235:1 gene:Sspon.02G0044660-1B transcript:Sspon.02G0044660-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPDSRMDGQKHAGPARPARRSHFSPVPPIQAFCTCCGCGYARRHSKASLALLPPPPLLTMGSAAAASPPPPSGNLTQDELKRVAAHRAVEFVEPGMTLGLGTGSTAAHALDRLGDLIRAGALPGVAGVPTSLKTEVHATRVGIPLLPLADAASAPGAGGIRLSIDGADEVDPDLNLVKGRGGSLLREKMVEGAGERFVVIVDESKLVPRLGCTGAVPVEVIPFGAPHTLVLIRKVFDGVPGFHARLRTVPAAKGECSDAPFLTDNGNYIVEMFFEDGIRGDLRDISDRLLRITGVVEHGMFLGMATTLIVANKDGTVTVINKK >Sspon.05G0001800-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:11187:14775:-1 gene:Sspon.05G0001800-3D transcript:Sspon.05G0001800-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVVKAAQLSGRTLERVVVHPLVLLSIVDHYNRVARDTRKRVVGVLLGTSSRGSVDVTNSYAVPFEEDDKDPRIWFLDHNYHESMFSMFKRINAKEHVVGWYSTGPKLRENDLDVHALFNSYVPNPVLVIIDVQPKELGIPTKAYYAVEEVKENATQKSQKVFVHVPSEIAAHEVEEIGVEHLLRDVKDTTISTLATEVTSKLAALKGLDARLREIRSYLDLVIDGKLPLNHEILYHLQDVFNLLPNLNVNELIKAFAVKTNDMMLVIYLSSLIRSVIALHNLINNKMLNKEHEKAEDSKPTAIPTAAGS >Sspon.03G0012340-1P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3C:50589898:50590526:1 gene:Sspon.03G0012340-1P transcript:Sspon.03G0012340-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASCKPKGAAKGGVAAAPEACGGVADIDPKVEWHDGANSYIIRLNLPGFKKEDFKVQVDSGGRLTVRGERPAGYVRFHKAFQLPQTANLEGVAGRFDGTVLSLTVPKQPVSGTDMVLARLIEAKECAAARAEATTWAEALGGRGQMVAAAVAGFALGAFLAHRLLSATNN >Sspon.05G0034950-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:67174185:67180657:1 gene:Sspon.05G0034950-1C transcript:Sspon.05G0034950-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLVSVPLIAKHLETLQLWSVLLDGCSMDFSRCERLEDLMMDDCRISAHRMVSKSLRRLRVCYFSHDTRTQISVPISVPGLVSLQLDRNRGLTPFLERMPLLVTALVKLGPTMALKDLDGSQTGSLYWHRNIHVLGCPV >Sspon.04G0015800-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:58660709:58666110:-1 gene:Sspon.04G0015800-1A transcript:Sspon.04G0015800-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc transporter ZTP29 [Source:Projected from Arabidopsis thaliana (AT3G20870) UniProtKB/Swiss-Prot;Acc:Q940Q3] QSLPLAQPASHRLAEAHAKLACRSPPPSPRILLDPQSPNPSTGSCVGRMELQVFYRALGLSLVGGLSTALGALFVVLNPAPNLKVLGLLQGFAAGLMLSISFLDLAHNALNSIGFLKGNLWVSHNFFAGVLFFGFIIKLIPEPNFSPPADPSEKKADDGGTGKDMMRKHRRQVLFSGIITAVGIILHNIPEGMAVFLGSVKGLHVGLNLAVAIALHNIPEAFVVAAGSGLAEPLGVIAVAFLFPSSLNPDILEGLLGSVGGVMAFLTLHEMLPLAFDYCGQKKAVKAVFVGMACMSASLYFLEVSLPKEISL >Sspon.01G0044220-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:81093904:81095308:-1 gene:Sspon.01G0044220-1B transcript:Sspon.01G0044220-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSLFRRANKAPAVASLPAPIPMPMLSFPGKKALRFAGDTSAAERDSELAVLMHARNHVVLAMDSAGAATAAETAAALRAYLRIIYASELMSGSKQQKLRFAWRDSSDAGTGTGTAKKKDKAAAAAAQVQEHTSLEAERAVAMFALAAELARAAAAVDRRGPEGTRRACLALSDAAGALKAAARAHGAADQLCHMTEPCLATFERLMLAQALECFFERAVAGGKQSALCSKIARQVSLDYQEVSVKLGSLQQQQQPIDKSWMPQVQAKAAYFHATASLHYARSLKEEGPGSFGEAVGRLRFAVSVLDAAGGKTGPLSKKSPCAAAVRDAAARLRKEVEAELADAEKDNCQVYFERVPAADVLTALPALASPLVQPTAVESVLREADGESALANGGAPTIRH >Sspon.05G0021510-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5A:90363946:90364501:-1 gene:Sspon.05G0021510-1A transcript:Sspon.05G0021510-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRNLNPAHCSGVADIFGRRFGRVKLPHNPEKSYAGSIAMFLAGFIASVMFMSYFNIFGFVEKSWTMVAAFGVISLVAAVVESLPISTRLDDNLTVPVASVLVGALVFYSIGARNLCCMSSEDRRSISETVGMVFAGSSSS >Sspon.03G0021940-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:85712507:85716917:-1 gene:Sspon.03G0021940-3C transcript:Sspon.03G0021940-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVEEITEGVKNLAVAGDAAAVSGGEGQRRGGGGSSNRIQVSNTKKPLFFYVNLAKRYMQQHGDVELSALGMAIATVVTVAEILKNNGFAVEKKIRTSTVEINDESRGRPFQKAKIEIILGKSDKFDELMAAAAEERGEVEDGEEQA >Sspon.07G0036900-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:46021400:46025352:1 gene:Sspon.07G0036900-1D transcript:Sspon.07G0036900-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RINFFLRSLVSRVISLPDRFLPLRPPPLSRPPQPLLPLPRRSPLPLPRRTPPSSPVSPVGSRLLSIERASTEHDSSRPTPDSSRRTPARARGSTPPPSAGSFARTQASDPATPVSTAAPHASRRSSSIVAVLAEPIPDAPKLQGSEDGTVRIWDLRTGTCQREYESRAAVNTVVLHPNQKELISGDQNGNIRVWDLAANSCSCELVPEVDTAVRSLTVMWDGSMVVAANNRGTCYVWRLLKGTQTITCFEPLHKLQAHDGYILKCLLSPEFCDPNRYLATASSDHTVKIWNVDGFKLERTLVGHQGWVWDCVFSVDGAYLITASSDTKARLWTMSTGEAIRVYQGHHKATVCCALHDGAESAPS >Sspon.02G0016180-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2D:37945504:37946722:-1 gene:Sspon.02G0016180-2D transcript:Sspon.02G0016180-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDVFTCSYHLVDSLNDVAMAWQYRSLAGGCLQQNNSEAIDITALGEPTSVVVPEETAGKSFFFLEHDFTCHCIKKKKAEERRVQRLQTTETVVGNPTMTTKQNNKKMGDKTLHQTTLRSQLKRCGRGGEETTEKATEAAAEAHTAKHLRGGITHSAATARGGAKRDVGDRGRSSNDDANNNGNTNIRIGRTKATTEQQLTKARLQRGTTADSARERVQQRSLQKGDDALGRQQR >Sspon.02G0039330-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:46158732:46161491:-1 gene:Sspon.02G0039330-2P transcript:Sspon.02G0039330-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSSYTFLSPHIAGSLLFQRSLVVSPPPVPPPPVTKEALPLLSLLPSSSHDYNQHGDNNDKAGQPWNKNENEAMEDTETIKLRIGPPSPNCDFPLDLATVAGGAGDSKAAEEGKEELGSQAGGTATGNDGCSEYLIIGEKKLTNGKYWIPTPAQILFGPMLFACPVCCKTFSRYNNLQMHMWGHGSQYRRGPDSLRGTQPAAMLRLPCFCCAPGCRSHVDHPRARPLKDFRTLQTHYRRRHCARPFLCRRCGKALAVRGDWRTHEKNCGRRWRCACGSDFKHKRSLKDHVRAFGRGHVEEHPAVIVGQTH >Sspon.02G0011090-3P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:5261980:5275719:1 gene:Sspon.02G0011090-3P transcript:Sspon.02G0011090-3P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPDDEPSAQRKAVDLHLPRDTEPASAPAFNRRAAPRVFSYGDDPVASASPSAQRCASFPQRHGHGHGEAALAPAASFAAWVDGGPAAAALEQAMSQYGGAGAALPEFVGAGGGEGIFRVPLRAAMHPARPPALEVRPHPLRETQVGAFLRTLACDPRRRLLWAGAESGVRVWDLHEAFRGWRPGSGPRRRGDEEAAPFRESVPVPPVLCAAVDGANGLVWTGHRDGRIRAWRMDHAAPSPVGGGPAGGAPMFMEALSWQAYSRTPVLAIVVTSYGEIWSGSEGGVIKAWPWDAIAKSLSFTSGENHMAASLVEKSYIDLRNNATVGNMDAKTRELLKVFGIDGQVDLARPEAPVMPEQFIEEEIKKSRNALMGAADAVRRVATKGTLVEDNRRTEAVAQAMNGTIWSGCTDGSIIVWDGNGNRLQEFHYHSSSVQCIKTLGERVWVGYASGTIQVMDVEGNLLAGWIGHSCPVINMAIGGSYIFSLAHHGGIRGWPLSSPSPLDDILRYELASRELSYTRLENLKILVGTWNVAQEKASPEALRSWLGGAFFDVGLVVVGLQEVEMGAGVLAMAAAKESFNPEMSTSPAIVGLEGSANGQWWIDSIGKTLDEGASNDLKPHVGDVDAAAVPCGFGRAIGNKGGVGLRIRVFDRRICFVNNHFAAHQENVSRRNADFDHIYRTMTFNKPHGSTGYENQADEDRPELAEADMVVFLGDFNYRLNGITYDEARDMVSQRSFDWLRERDQLRAEMKAGNVFQGMREGPIKFPPTYKFQRHQLGLSGYDSGEKKRIPAWCDRILYRDSRSVSIAECSLECPVVAAITAYEACMDVTDSDHKPVRCTFSVDIARVDELIRRQEFGKIIESNKKVQPSIGLIEPGETMEVAVHHEDFFTQEEFVDGVQQNWWCEATRDMEVVLSVNVTGSSSTEAVTHRITVRHCCQDAKTRELLKVFGIDGQVDLARPEAPVMPEQFIEEEIKKSRNALMGAADAVRRVATKGTLVEDNRRTEAVAQAMNGTIWSGCTDGSIIVWDGNGNRLQEFHYHSSSVQCIKTLGERVWVGYASGTIQVMDVEGLQEVEMGAGVLAMAAAKESFNPEMSTSPAIVGLEGSANGQWWIDSIGKTLDEGVSFHRVGSRQLAGLLIATWASNDLKPHVGDVDAAAVPCGFGRAIGNKGGVGLRIRVFDRRICFVNNHFAAHQENVSRRNADFDHIYRTMTFNKPHGSTASATSVQLHKAVSAYENQADEDRPELAEADMVVFLGDFNYRLNGITYDEARDMVSQRSFDWLRERDQLRAEMKAGNVFQGMREGPIKFPPTYKFQRHQLGLSGYDSGEKKRIPAWCDRILYRDSRSVSIAECSLECPVVAAITAYEACMDVTDSDHKPVRCTFSVDIARVDELIRRQEFGKIIESNKKVQPSIGLIEPGETMEVAVHHEDFFTQEEFVDGVQQNWWCEATRDMEVVLSVNVTGSSSTEAVTHRITVRHCCPVPSAPPTVNPRSITDSPSDAESGSKNNQLNHLLRSDFAKFGSSEVKLNKYYWLSVQNVGNLKSTIYDVCYTCQVASSWMMLCSYSTHLSCDTAQRELQLLL >Sspon.02G0010750-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:29143258:29144925:-1 gene:Sspon.02G0010750-1A transcript:Sspon.02G0010750-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLGASMALMLCGSVTFMLGFFLMPWVVGLGFLFLFVGFVTNLSGIWRAILLWSSASGSPKEASSPWHIFSKPSFMSM >Sspon.08G0001970-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8A:5865537:5865879:-1 gene:Sspon.08G0001970-1A transcript:Sspon.08G0001970-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGGLAAASEVAVVFLIRPVLAIAFVFTLILLGWYVAWTTVLVHVPLVQEIAGLRPKKPSKPKPDNRGRVAKFYRNQAEAAQR >Sspon.02G0041430-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2B:75328552:75329164:1 gene:Sspon.02G0041430-1B transcript:Sspon.02G0041430-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LTAAITDLARSVAAIQSYLGIPPPQPASWLLPQSAVVSLPPVFPYEMPGYGTMLLPFQDVQPMVQLTLQQIEQAMDITTEPAGKMLTCEVSAAVRLQAAARGLLARRLLQEMRQPMHEATLAMVDLSSAERDLALWDGHQQLRRPAAVFRREHGDFPAGSDLQLCGGGGRGVAPLLVSGGDALPSATAFHHRPLRGRLRWSLS >Sspon.01G0012770-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:12168919:12170717:1 gene:Sspon.01G0012770-1P transcript:Sspon.01G0012770-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKERKQGFFATLKEEVVRGLSPARSRGKSPAPPRSASPARMLIPRRRKAPAAPPPPPPEKVLQQYLGEQLVARSGSLRPGGEALAPLIEGPDAERLAAGDPDAEDSGRREGFGHWVRGHLTRTPSMASSAAAGGGGPGGSSGSFRRSDLRLLLGVMGAPLAPISSKPAEPVPLLSIKGTYPLYESSSAQYILHQYTAASGGYRLLQSVRNAYAMGKVRMVASEFETATRVVKNRGPSGRGAAAVEQGGFVLWQMAPDMWYVELAVGGSKVHAGSNGRLVWRHTPWLGAHAAKGPVRPLRRVLQVPAAHLRSLSLRLSEQITLRYDVLLFPFRLAMAMAMAMAMQGLDPLTTAGLFAEARCVGEKKVNGEDCFILKLSADPQTLKLRSEGPAEIIRHVLFGYFSQRTGLIVHIEDSHLTRIQPHAGGDAVYWETTISSALEDYRAVEGIMIAHSGRSAVTLFRFGEAAMSHTKTRMEEAWSIEEVAFNVPGLSVDCFIPPADIRSGSVGEACELPSQSHGDRAKTGAVHPSRVAAVERAHAGAGAASRGEKIMWRVEV >Sspon.01G0039130-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:20719164:20731244:-1 gene:Sspon.01G0039130-1P transcript:Sspon.01G0039130-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSANVLLNLFPSAMAATAGETPVGDEAPPPGALYSFGTPWPELNQGLTYTDTFRCAGSPTYLLPSGLLFGTCSDYLWIKRIRNGQVRKLTLFCRDGSKLVYHRFPWQEPFAPYLLEVLYEDDDMITYAVQVIEMIYRDKSEFSEEPKISKFYRALVTGILDDDEVVVTQPIGLVHYPGVAEGLYAACSSEVMRDLCNLFPETAGITYMHIGWFFATQQPIREKGEHRCGTRAMEGSPVTRLPEVNSLPDGFVPDVESSGTDAASPSSAPIADDALDSDIPAATNPGGEETLSDPSLPASTAEDASSTAAAAEALGTLSLGEHGPAGAARGEESLKENCASEQVGAPTAQKGSGEPKRKVMKRSKLEKDRELFELAQQYHRVVAERDAAIAVKERLESLCREFQRQNKMLKEECGRVSTEGQNMRMELSEKFDNAIKLKEKTLELELADLRLQQHQEKAAQEHTQMQLYAEQVSQLMTTEKNLRLQLASDGERFQHFQDALSKSNEVFETYKQEMEKMISVIKNLKKENEFLKGKCENSDIALVKLIEERELTKKQIEKLKNQKVKLESLSRSLQAERKQGPSASIPDAPSSQEDVSATSQES >Sspon.01G0052750-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:26014664:26015946:1 gene:Sspon.01G0052750-1C transcript:Sspon.01G0052750-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVNEVDLMDTQVKLAVVVKVMGRTGSRGQVTQVRVKFLDDQNRLIMRNVKGPVREGDILTLLESEREARRLR >Sspon.04G0004890-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:14082531:14088279:-1 gene:Sspon.04G0004890-3C transcript:Sspon.04G0004890-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial import inner membrane translocase subunit Tim17/Tim22/Tim23 family protein [Source:Projected from Arabidopsis thaliana (AT2G42210) TAIR;Acc:AT2G42210] MEEDSPLTKTVKGAVTGLAAGTIWGTVVATWYDVPRVERHVALPGLIRTLKMCGTYGATFATIGGLYIGVEQLVQSQRKKRDFVNGAVGAFVAGASVCGYRGKSIQSALIGGSCLAFTSAVLDIGGNTTRVDNGKEYYAYTTEKKPAN >Sspon.02G0054490-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:45952702:45956522:-1 gene:Sspon.02G0054490-2D transcript:Sspon.02G0054490-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ADDRGESDPLEALTSKIDFKMNLLNDVMAEHREVKQVISGVMKEKESLQQEKARLQQEKERLLHEHLGHGEALARMKEDLLSSKKTLVEARDALVSSSEVISQKDKYVEFLKKKLQESEAKNNQAEQQGGTKPIEPGGVQTGSMQKRKRPSEGPLDYDSGTNESTSQLDDRSLSPLESLGNPNVQTRSIKMKRRLSQRTLGNDADNLEAIKEGPRQEVANNPSAGQTSGVQLCDEDDLEAIIEELIKVQTGSMQKRKRPSEGPLDYDSGTNESTSQLDDRSLSPLESLGNPNVQTRSIKMKRRLSQRTLGNDADNLEAIKEGPRQEVANNPSAGQTSGVQLCDEDDLEAIIEELIKVFLEIDYGRRKLGIKEMGELSEK >Sspon.08G0001310-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:2315016:2318154:-1 gene:Sspon.08G0001310-2P transcript:Sspon.08G0001310-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding KSMEQPAAPDRSDVPAGECEWREELRQQQSQVDALRERLVEVKVGMRCSEGDSRRELDHLCRRVKTIATLLAYLKSKARIMAIPHLAHTSCGIRQQEGVGFVDRHGVPLADWPKGSAEPAPCGGGSGDGTTAEGGAAAPVHGDAAGGDVDVDDILKSIRVVTDVMESLVKRVIVAESETANEKEKVRMGLEEIRRKTLQVETMSAKVEEMEKFAVGTNGMLNEMRQRVEDMVLETTRQRQRAAENEQELSRVKQDFESLRTYVGTLVSVRETLLSSEKQFETMEKLLNRLVAKTNQLESEKAQKEAEVHKVMEENVRLRAMLDKKEAQLQAMSEQCKFMALNHH >Sspon.01G0000510-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:1132228:1134950:-1 gene:Sspon.01G0000510-1P transcript:Sspon.01G0000510-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVEKLKKMAGAVRTGGKGSMRRKKKAVHKTTTTDDKRLQSTLKRIGVNTIPGIEEVNIFKDDVVIQFQNPKGLQDLLPSIINQLGPDNLDNLKRLAEHFQKQVPGAEASAGAAAQDDDDVPELVPGETFEEAAEEKVPEPEPEEKKES >Sspon.04G0031940-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:19725907:19734165:-1 gene:Sspon.04G0031940-1C transcript:Sspon.04G0031940-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPEYDYLFKLLLIGDSGVGKSCLLLRFADDSYLDSYISTIGVDFKIRTVEQDGKTIKLQIWDTAGQERFRTITSSYYRGAHGIIIVYDVTDQESFNNVKQWLNEIDRYASDNVNKLLVGNKSDLTANKVVATETAKAFADEMGIPFMETSAKNAINVEQAFMAMAASIKDRMASQPAAANARPATVQIRGQPVNQKTDYLFKLLLIGDSGVGKSCLLLRFADDSYLDSYISTIGVDFKIRTVEQDGKTIKLQIWDTAGQERFRTITSSYYRGAHGIIIVYDVTDQESFNNVKQWLNEIDRYASDNVNKLLVGNKSDLTANKVVATETAKAFADEMGIPFMETSAKNATNVEQAFMAMAASIKDRMASQPAAANARPATVQIRGQPVNQKTSCCSS >Sspon.07G0011560-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:41118329:41120714:-1 gene:Sspon.07G0011560-1A transcript:Sspon.07G0011560-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMSFFLPTTTPSASASFLSIHRQRANGPSLSFPLKPHRFRVHLKPPRSSRIPSLSTSSLKTPTESVLSSIVSTSRTLLFVLVAGLVSLSGVRSLPALACAPAPAQRLQEIEEQDEQQGSKGSKQQVEVDETKEGVLQQLDEGEEEDEEVRMYSAILSRNPGDVDALKCALYAKMRRADWGGALQYARRLRDAEPSEVEWCLMGAQLHELKGDLAEAERQFRELLAEEPLLVRALHGLALCMQKKLEGPSVFEMLENALQLATSEKRVPEERNIKLLIAQMHVVMGQLDVASEKLQNLINEDPRDFRSHLCQGIVYALLDRKEDANKQFDTYRSLVPDEFPDKSFINDVILAARMESHDRLQKEFESEFQMKK >Sspon.03G0023750-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:72618466:72622409:-1 gene:Sspon.03G0023750-1A transcript:Sspon.03G0023750-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVAEGSASAAANEVEYQAGVQKLVDLLSKLNPAAKEFVPSTAASPPKKALSADAPVFDYHSIGGGDGATDAAFYVGFGNQHRRRGNGYINQGRRKTNDRVRRAEREDSIRRTVYVSELDHTVTEERLADIFATCGQVVDCRICGDPHSVLRFAFIEFSDEEGARTALNLGGTIFGFYPVRVLPSKTAILPVNPKFLPRTEDEKEMVMRTVYCTNIDKMVTQLDVKKFFEELCGEVSRLRLLGDNVHSTRIAFVEFVLAEGAIMALNCSGMILGTLPVRVSPSKTPVKPRVNRVGSN >Sspon.02G0038320-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2B:40847143:40847655:-1 gene:Sspon.02G0038320-1B transcript:Sspon.02G0038320-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALIPPIIVYKCRGYLAEWRGSGSLVRMANLGGRLTPPGLMYHLRSNTLVLSSMVVDSVFPQPWHGLVTWHPVGSGWATHDKVGQPPPGGPWWPCHITLAPSVRFDEICPFIPAFKQFSKYKWNHII >Sspon.02G0045070-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2C:107196531:107197643:1 gene:Sspon.02G0045070-2C transcript:Sspon.02G0045070-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAADLPVDLVEEIFLRLDDAADLVRASAACAAFRRVVSDGRFHHRFRSLHRRPVLGLLSRGFRFHPAEPPRRSAQAGRAVAGAAGFSSSFLPDQAEHCGHVHDARDGRVLLSPGDATTCLLSFSYLVIYDPLHRKHVQIPPIPKDIVACTCSLQQGCSCECEFDPFLVPADDDEDDWSFRVMCNVLSTDLEYDSLLETFVYSSVTGKWRGVASLSDTDYEPLYDLSSMDRHYVHGCFYWVASYSEKDMLVLDMNEMKFSVVRLPPGTKHKAKVVVKAAAEDRIGLLVLCRSKMKLHLYTMAFGDPANDCWQWRHDAETTLLDSYLWLFCGAADQGYALLQGVPRDEYLAGLEQLSRGDDEAKNECTLFH >Sspon.02G0013810-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:34413117:34416221:1 gene:Sspon.02G0013810-2B transcript:Sspon.02G0013810-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLPAISLYTSPPGAVYSSEFDPTSRGSSPSTTAAPPPPAASHRLPSGGGGLSCLFSSPAAAAAPPRAPAHDDLGALWHDRSDDLSVAGGYSYSHSHSSPPLKRRDLHHHHHSPVSVFQGPSSSSPSRSPPASWLAARDRDRLFAGFVRNALGSCVDYAPPTSPRPEVGAGELAFELDENLAEASPACEPYARELLAGAQDRHRIFHEELVVKAFLEAEKAHRGQTRASGDPYLLHCVETAVLLAKIGANATVVSAGLLHDTIDDSFIDYDHIFHMFGAGVADLVEGVSKLSHLSKLARDNNTASRTVEADRLHTMLLAMADARAVLIKLADRLHNMRTLEALPLVKQQRFAKETMEIFVPLANRLGIASWKDQLENLCFKYLNPEEHKELSSKLTESFDEELITSAVDKLDKGLRDAGVSYHNLSGRHKSLYSIHSKMLKYVITILFAMIGSKAFFYASLLTQFGKIIRKNLTMEEIHDIHGLRLVVEKEEDCYKALCVVHRLWPRVTGRFKDYISRPKLNGYRSLHTVVMSEGVHPFEVQIRTKEMHLQAEYGFAAHWRYKEGTSRHSFVLQMVEWARWVLTWQCEAMNKDRTASLGNGDAVRPPCPFPLHSEECPYSYTHQCNHDGPLFVILLEHDKMSVQEFPANSTVMDLMDRVGANSSRWSPYSIPMKEDLRPRVNHEPICDPNRKLSMGDVVELTPALPRKSLSGYREEIQRMYDRGGFALSTRGGGSRRC >Sspon.05G0019490-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:66665251:66671846:1 gene:Sspon.05G0019490-1A transcript:Sspon.05G0019490-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPPPVLHLIVGLVLYLVAEAMTVPALVDKVTAVLCTAADGSRTCPEAIYLTGLESSDFYSRFFTFGLWKSTDFYKPYYYLKSVFGFNKDQFSEILMVSLILPFISHKIGDKGIALLYGVAWAWWLTSFQRKPPSIAKATSLCFAWTLNPKSKDKYQEVPNPNDPDEEELRAPLLS >Sspon.03G0005900-2D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3D:20939137:20939481:-1 gene:Sspon.03G0005900-2D transcript:Sspon.03G0005900-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKGKLGELVWEHRVQAAVAVAVVAAAAVSISAVGPSLSAVVSFFWPLLLSTAFFLVAVSVLLWISPPPAGDADESGKELIDFVAGCRPDHLAPPDAAPAAAAVPVSAVEAPPE >Sspon.02G0039250-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:53201136:53207307:-1 gene:Sspon.02G0039250-2C transcript:Sspon.02G0039250-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Embryo defective 1381 [Source:Projected from Arabidopsis thaliana (AT2G31340) UniProtKB/TrEMBL;Acc:F4IQS9] MAAGGRPWRVIPRPVLETVLHNHALRPRVPQPLLFHGPRGVGKSTLLLHRLLPRWSDPPHATAFVDFLHPTPSSPAAAPWSLLPADPASPPSLHDLRLRLESALEGLARAAVLCGAVGSKDVLAALSRNHGLNTALSRFSGVPATRSSATSVPARRSSATSVPALWSRAVLTAVRRDDTALRIGEGETTNCSMEERAYMQEAMAALRVAKEVLGMQEGWRKEAIREMNRTGRFSRSLANSATDWPCLLLDVLSGAAEEEFFQPKLVLNNVDVLRKAICEDETMMPAAMYHDSFIWRVIALGANEQCLPVIMSTSDGYYSSQAFVDFGFPNIFISRETFGWTAQEAKLHMVSEFFSEQEWKVVDEVLGPNPRQLSEIYMLKQKANSPEVLHDRNIEEIIDTYLAHLQVSVVNPAMEKALKMLQKFASDVREGKVPENRLSFGAPWRHPPRDDNPDLSYKWAKIQLMDFIQSFVNTEFGVNYLADDSLEILDDPAAVAMMEACSTEVTIEHSRNASILLATFDTGAKLPPLDERSWLQVKR >Sspon.07G0000040-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:118886:120520:-1 gene:Sspon.07G0000040-1A transcript:Sspon.07G0000040-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIITAAAAAATCTSRLLHYHAAAGNDRHHRHQLRYSASPFPLSLRCGSGRREAAAGALLPDRVTPFSYGVDEDADDHPREECGLVGVVGDADAASLCYLGLQKLQHRGEEGAGIVAVGGDGKLKSVTGLGLVADVFGDPSRLASLPGPAAIGHVRYSTAGAAASLRNVQPFLAGYRFGQVAVAHNGNLVNYQALRNKLEARGSIFNTSSDTEVILHLIATSLSRPLLARVCDACERLAGAYSLLFLTADKLFAVRDPHGFRPLVMGRRGNGAVVFASETCALDLIDATYEREVEPGEVVVVDRRDMSVASACLVPHRPRRSCVFEHIYFSLPNSVVFSHAVHERRTAFGQALAEESPAPGADVVIPVPDSGFYAALGFARASGLEFQQGLIRWHYSGRSFIQPTQAIRDLAVKLKLAPVRGVITGKSVVVVDDSLVRGTTSSKIVRLLRDAGAREVHMRIASPPVVGSCLYGIDTPSEGELISNRMDLDGVRREIGSDSLAFLSLGKLHSIYGEESGDYCDACFSRKYPVLPTLADPAAEPEE >Sspon.07G0020940-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:83136157:83141868:-1 gene:Sspon.07G0020940-2C transcript:Sspon.07G0020940-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPLLFYDLSLLPSSFSSSGDGGDGNSNSSSSSRLQLLAATARALELGYAAVALDHPHRGILADSHRCRTEPFAPLSSLPLPSSAALHRRRLASPASEPFRQYTRITLSLDSAAATASALAPSASRLLRTYDLVAARPLTQAAFDHLCQAPLSAQHLDLISIDFSSHGKLPFRIKPPMLKLALQKGLHFEIAYSPLISTDVNAKRNLIAEVKLLVDWTKGKNLIISSAAHTASQIRGPYDVINLSAYLLGIPIDRAKAAMSTNCRSLALKAMRKKHFYKETIRVDRLLPNEELTSTKFKLADWIGWNPVLFEVGANQLEPSSNFDELPGSPICGVIEGSHEKPHNPDMSVFAKLSEQPSDQDQIPSETQEETLQVDRTEVLTDCGQSILPASFNYQNAILEKAENNEVFVNPFVQPGTGCFADPKINAKHVEFVQDAMEVDTIESCRPKLVVGDNIPSTSDTSTKLACSALPHGIELSGTSLEDQGPSHSSEILANDKSYMKYHTDCTSGVSEVHEISSGADVWPEDKDSDRSNGMQADNVACRGTSEPLECPPCGVDDKAPSHLSFYSSHELCRDVIIQPKVMEGKVEQSRDENIVQTVENEAESVDTKTRTSISVEPAPHGQEISSTIHTRSTGASCESDELKDQNSKDINASLDKSIAKTHGKAGMSTVRSEKRRHKLRPPHPAYLPFLGFLRSVCFKKKICKVPGDGDCTLGGSPGLVEQGQPLVQE >Sspon.03G0008030-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:21873418:21874130:-1 gene:Sspon.03G0008030-1A transcript:Sspon.03G0008030-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADDKARAPTSAYDSAMPPSPSPSPVVNNGASPPPSSSPGDNALPPSAYNNATPPLLPLVPPPPPLPFVIVEGTIYCKSCKGKGYNTGIDASPLQGATAMMVCYGRKVVNATGTVTDANGYFLIMFYDMKNFNARMCKIYLLSSPSPQCSKPYYPPNQWIGLSLVRETRTIPPAGLQGIYTPTSVLFYAPAAKGQCPY >Sspon.03G0044230-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:56939097:56940893:1 gene:Sspon.03G0044230-2D transcript:Sspon.03G0044230-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSFVHLAILLALIPATVAASPRRLRLDAGTPPSSPSDASRPTTFFEVDRPLRPPPGSSGRCSTLLLSSSFGFTFTKPPATAAYSPPPCLVAAGGSASAISLAVLEWRATCQGVQFDRIFGVWLGGAELLRSCTAEPRPNGIVWSVSKDITKYASLLAAGNSTLAVYLGNLVNSQYTGVYYANVTLHLYFRRAPTRTTPPPATAPADLIVPLSRGLPLNDGLWYQIQNATDVQSTSVTLPPNTYRAVLEVYASFHGDDEFWYTNTPVANGPFREVTVRVDGVLAGAAWPFPVIYTGGIYPLLWRPITAIGSFNLPTYDIELTPLLGKLLNGKAHEFGFAVTNALDMWYVDANLHLWLDPGSAATTAGLIAYVAPELVVNTTTSVQSGGDTTYHTTASRQISATGWVRSSYGNLTTNATRTFTFENTNAISDGTAETVNQTTVTHDGVFATDPRTGVLYYAVRARQDFPLYLDSETDRVTVTHGLHETTVSAGRWSSVPRYRSLRTTQSSVVEEVERRGGNGVSWGTRQTYKYEAADGCYFRNVTSSGYSIASDQSNEVCAKGGAPAGVGGVTAGLRAASAADEAGLVRN >Sspon.08G0027400-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8C:47449099:47449985:1 gene:Sspon.08G0027400-1C transcript:Sspon.08G0027400-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAWVWDIFENTTSEVVNDERDELWTCNLGFEIPIRNNHDVRQDITIPGPRSLTKQIGFPPPPPSSPPSSQIDPPRTAAPFGGGLLRRRSASGGSAPSSGGPLRRRSASGGSTPPRWPPQATECLRWQSPSGGPCSFPTGGRGFSFPVLFTNISGNTGDTSCVLPRHLQNR >Sspon.06G0000810-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6A:2894242:2894601:1 gene:Sspon.06G0000810-1A transcript:Sspon.06G0000810-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding FQQQHLPRKRAFSSTLTRDEIAEDFAAAPPSASSRAHMARPDPRVPIFFRSMDRVSSHVTFLLSGCFVLLQDFALTDVAHFEEYEILLIIFDRDLPLLEDVLTMDFTGMLNDQMRGFYR >Sspon.01G0008780-3P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:26496647:26502262:1 gene:Sspon.01G0008780-3P transcript:Sspon.01G0008780-3P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSQKSRVLGAMSSSLPILPNPFKGSFPRPCNPQHIPMLRQLPDDSMPLRNDIHQSASLHPRAGVIGAPYSGYSASPLDSVSNHDSQSMVAPYISQSSSFEAFPSLSDNTPGTHTEAAWFTSSMDVSPLYTDNIAAPDDNRIQSIRPAMTSDETAKQNDWWADIMNDDWKDILDATATDSHSKAMIQTSNSATSLPAVNQSASSHSMEICPVASPPNSSNASVAKQRMRWTPELHECFVDAVNQLGGSEKATPKGVLKLMKVDGLTIYHVKSHLQKYRTARYKPDLSEGLSRLDSSQPIFFVLWITFCTSEKRTAAEELVLDLKTSMDLTEALRLQMEVQKRLHEQLEIQRKLQLRIEEQGKYLQMMFEKQSQSNTEKVQDPSSRDTAAKPSLNQSANKDSGATMDPNRTGDSAKTAELGERSSGLGVKQKLVEIESDNEGATDDGSKISLEKRRKLQD >Sspon.08G0027800-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:1555822:1564240:-1 gene:Sspon.08G0027800-1D transcript:Sspon.08G0027800-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AKVASLANGKDSSCQQDGSPQGRKRRRYFGPDLPEDIWRHIHFLMPLQDAARAACVSHAFLSSWRCRPDITISWKTLGLDKNFHGKGEIAKDFNSIVDQILKNRSGIGLKTLKIDFCDYKADTYSYLNNWLEIAITPELEELSLDLFPRKEKYSFPCSLLSNGRGNSIQHLKLVWCAFSNTVRLDCLKNLTSLHLRDVHITGNELGCLFSSSSALERLELHGCHRIVCLEIPCHLQHLRYLGVFVCERLKVIESKAPNISSFRLSEIQGKFSLGESSLKLKDMMLSMNCTISFARAKLPFIVPNLKSLSLASDYEVPNSPLVSKTFLHLKYLSITLSEGAFSPYYDCFSAVSFLDAAPSLETLLLGVTQLRMKHEPFVGEPSPQNQIMGTRHSNLKSVKITGFCSAKSLVELTCYILEYATSLDCLTLDTTWGFFPRCSDHEISKCPPLTKNIIRDSENALLVIRAWIEGKVPPSVKFNVLAHCSKCHNADED >Sspon.01G0003910-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:10915796:10917356:-1 gene:Sspon.01G0003910-1A transcript:Sspon.01G0003910-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSCSPPRGAGSGGSTPLRSSSSTSRSRKDFVLSSPSPRSSLTTTCALKTPSYGGSREKVNPRDLFTFSYKFSTDIPMSETQGVGCKCVRIGRLGVFALHISDDLIPWSLSLSLQASIDEYLQNSPRIVGAVFPDQRKRRKINDEEWSVQLLPIQFLFLSASPVIVMRFVSKSGGKEYPPNVPVHATSLLLMEVTDYKLNGLDSNAMPSHLALTVRGLMYPQRQREGRKSLRGHVEMTVGFDLPPVLALVPESIIRGVGETVLRQMAEQMKQDLDTGLAADFKKYRREKLTERRTSP >Sspon.07G0020060-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7D:69172283:69175719:-1 gene:Sspon.07G0020060-2D transcript:Sspon.07G0020060-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTTCISIVLLLALSAPVASSSPIPINSNGNGNGSDTDLAALLAFKAQLSDPLGILSGNWTTAVSFCNWVNRLAGAVPAAIFNMSTLQILGLTYNHGLTGPVLGNASFSLPMLQAFFIGSNSFTGQIPLGLTACRFLERVDMTENLLEGVLPTWLGSLTRLTFLSLGGNSFAGPIPAELGNLTMLSSLDLSVCNLTGSIPVELGRMSQLSLLLISANQLSGSIPASLGNLSEFGYMVLDINQLVGTIPSALCNMNSLFFISVLGNRLQGDFSFLSALSNCQQLSYLDISMNRFVGSLTANHIGNLSSDLQTFRAIGNKIVGELPAAISNLTGLFRLELSDTQLRSAIPESMAMLQGLQWLGLQRNNMFGPIPSNLAMLKNMIILYLHSNEFSGSVPRDIGNLTVLEDFSLANNRLSWTIPPSLFHIDSLILLNLSQNLLEGELPVDIGYMKQINAMDLSSNLLVGSLPDSIAQLQMMAYLNLSHNSFSGSIPMSFINLTSLQFLDLSYNDLSGTIPNYLANFSILTSLNLSFNKLQGQIPEGGVFSNITLQSLIGNTGLCGAPRLGFSQCPSPRGSRRNNGHMLKVILPTTIIVVTGVVAFCIYVIIRKRSQKQQGMTTVSTGSVDMISHQLVSYHELVRATDNFSESNLLGSGSFGKVYKGQLRSGLIVAIKVLDMQQEQAIRSFDAECSALRMARHRNLIRILNTCSNLDFRALVLPYMPNGSLETLLHCSQGTTHQLGFLERLGVMLDVALAMEYLHYEHCNVVLHCDLKPSNVLFDQDMTAHVADFGIARLLVGDDSSTISVSMPGTIGYIAPEYGAQGKASRESDVYSFGVMLLEVFTRKRPTDAVFAGNLTLRQWVFEAFPTELVRVVDDQLLPWLSSCNLEAFLVPVFELGLLCSSDSPDQRMAMSDVVTRLKKILAECNKSVAAALKTEAQ >Sspon.02G0007050-2P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2D:15261035:15262231:1 gene:Sspon.02G0007050-2P transcript:Sspon.02G0007050-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSAPATVCEMRRAQRADGPAAVLGIGTANPPTCLAQEDYPDYYFRVTNSEHLTDLKGKLTRICNKSGIKQRFIHLDEQLLAANPDFTDRTLPSLDARVEIASAAVPELAASAAAKAIAEWGRPATDITHLIFSTYSGARAPSADRRLASLLGLSPTVSRTILNLHGCYGGGRSLQLAKELAENNRGARVLVACSEITLIAFYGPEGGCPDNILGQALFGDGAGAVIVGADPSARGAPALRDGLRLADHHTETEDAISMQINKGGMEYHISNQVPRLLGCNVERCLVDAFGALGIHNADWNDLFWAIHPGGRAILDHIEGVLGLDDGKLAASRHVLSEFGNMSGTTVIFVLDELRRRRGQAASLQEGHAPEWGVMMAFGPGITIETMVLHAPATLEGN >Sspon.06G0016310-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:70810583:70816325:-1 gene:Sspon.06G0016310-4D transcript:Sspon.06G0016310-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPACHLRHPPRLRLLLPLSTSAPYPWLSAWSHPRQRSRLRAPPSALDLRPEPSPSSDSDDSDAVGTSRASSRSTMALILSRLRRAGYSPAEDPRAAAASPHPPRGSVEDVFRADDGVLPNARGGFDADEEERALGDARFPWERPMPPPEAAAPRATRSPTWMAELTLPAAELRRLRHAAIRIKSRTKVGGAGVTREIVEKIKEKWKTEEVVRVKVSGTPALNMRLFHEILERKTGGLVIWRSGTSVSLYRGVDYDEPETTKGSKKNSQSLAMKSPIKGSPSASSLPTEKANSVQDSNGPLVSNAAKEEIVVQAPEIKYEDEIDKLLDELGPRYIDWPGSDPLPVDADLLPATVPGYKPPFRVLPYGVRPSLSRMDTTNLRRLARGLPPHFALGRSRQLQGLANAMVKLWEKSSIAKVALKRGVQLTTSERMAEDIKKLTGGVMLSRNNEFIVFYRGKDFLSSELAEVLLERERLAKSLQDEEEARRTAASYFSSSAETYVQPTVAGTLGETLEANSKYGTKFDENHADKMTRTVEAARHAELVRKLEWKLSLAQKKMEKAERVLGKVETALRPTEDSRPETITDEERFMFRKLGLRMKAFLLLGRRGVFDGTIENMHLHWKYRELVKILVKAKSFADVKRIALSLEAESGGILVSVDKVSKGYAIVVFRGKNYRRPSSLRPRNLLSKRKALARSIELQRHQALSRHFAKLNRKVEQLKAELVQMEDVKDQGDEELYAKLDAAYSSDEEDMEDEDDEAYLKRFHDEVAGATTEDGNDSVDDEADHLDSDDEAGDYSDEDDDGEDDEAFDYDNEDEAAAGVSDADFRAGEVGFSSSDRGDHGLDEGAGSCGKCNEATRDSRQQSHTEQPPELASSRTGSAS >Sspon.04G0008040-6P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:22841945:22844089:1 gene:Sspon.04G0008040-6P transcript:Sspon.04G0008040-6P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MECENGRVAATNGDSLCMATPRADPLNWGKAAEELMGSHLDEVKRMVAEYRQPLVKIEGASLRIAQVAAVATGAGEARVELDESARGRVKASSDWVMNSMMNGTDSYGVTTGFGATSHRRTKEGGALQRELIRFLNAGAFGTGTDGHVLPAEATRAAMLVRINTLLQGYSGIRFEILEAIVKLLNANVTPCLPLRGTVTASGDLVPLSYIAGLVTGRENSVAVAPDGSKVNAAEAFKIAGIQGGFFELQPKEGLAMVNGTAVGSGLASTVLFEANILAILAEVLSAVFCEVMNGKPEYTDHLTHKLKHHPGQIEAAAIMEHILEGSSYMKLAKKLGELDPLMKPKQDRYALRTSPQWLGPQIEVIRAATKSIEREINSVNDNPLIDVARSKALHGGNFQGTPIGVSMDNTRLAIAAIGKLMFAQFSELVNDYYNNGLPSNLSGGRNPSLDYGFKGAEIAMASYCSELQFLGNPVTNHVQSAEQHNQDVNSLGLISSRKTAEAIEILKLMSSTFLIALCQAVDLRHIEENVKSAVKSCVMTVAKKTLSTNSTGGLHVARFCEKDLLQEIEREAVFAYADDPCSANYPLMKKLRNVLVERALANGAAEFDAETSVFAKVAQFEEELRAALPKAVEAARAAVENGTAAIPNRITECRSYPLYRFVREEVGTEYLTGEKTRSPGEELNKVLVAINQGKHIDPLLECLKEWNGEPLPIC >Sspon.05G0003400-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:10417033:10422249:1 gene:Sspon.05G0003400-1P transcript:Sspon.05G0003400-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIIELSSESEGEGFVDLCSDGEDRTVLCSDSTVSCSSDREDDGYGDRSTRFRPPIHSLSLSTTSDENSDEEPIMMEVDRFLSDTRQASSIYRPAENRPATGKISKLTKKDLLRQPITSVLIDVQADIGSEVPEKNLFSVDEKVVYEDALQHIQQEKREEDLPEGVLSVSLLKHQRIALAWMVSRENNAHCSGGILADDQVISCFIPFFPVYYNHLSNSLVIGTYFTVMQGLGKTISTIALIQKERLQQSRFMSDDSECGISVGDDNEAVLDMDKKELKAHASRSRAVKAQPKKARVSSAASSLRSTSSPAAGTLVVCPASVLKQWANELSTKVTRSAELSVLVYHGGSRTRYPTELADYDVVVTTYSIVAREVPKENTNDEVSPGNKRKLKKNAKGKAKKINKPGPLAKVRWIVLLRRTKEKLLDGEPIIKIPPKTIQLSTIDFTKEERAFYLRLEENSRQTLKGRSKDFIQKNYVHILALLSQLRQACNHPFLLRGEESCAHSLRLAKQLPVVTAVNLLKVLESGAAKCTKCGDPPKDTVAPPCAHVFCSECVHLKILENGKIIEKVCPAPHCGREISAENLLFTDVLKFCLWPNLESEAPTSHPIDSTPAKAIVFTQWTGMLDLLERSLNSNHIEFRRLDGSMPLNVRERAVKEFNTEPEVRVIIMSLKAGNLGLNMVAACHVLMLDPWWNPSAEDQAVDRAHRIGQTRPVIVSRLTVKDTVEDRILSLQEGKRKMIEAALGEDPSGDSPATRLTVEDLKYLFKM >Sspon.03G0030520-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:18220619:18230956:-1 gene:Sspon.03G0030520-3D transcript:Sspon.03G0030520-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKARTLSLLLLLLAAAASFTPSTATDTIYRNTSLTGNETLVSAGGIYALGFFSPAGADGRTDPVVNLPAALQLSAGGRLVILDGNNDTVWSSAAPTVGNVTAAQLLDTGNLVLSADGSGSGASVAWQSFDYPTDTLLPGMKLGVDTRAGITRNITAWRSPSDPSPGDVTFKLVIGGLPQFFLLRGSTRVYTSGPWNGDILTGVPYLKAQAFTFKVVYSPDETYYSYFIREPSLLSRLVVDGAATQLKRFSLNNGAWNSFWYYPTDQCDYYAKCGPFGYCDTDRSPPCSCLPGFVPRSPDQWSQREWSGGCVRSTNLSCVPRQLQLRGVRRREQQRRVGVGCVIWTVDLLDMRQYPIVVQDVYIRLAQSDIDALEAAAAGMDAVERRRSMELLDEALGGSFHHSRVLRCIQVALLCVEAQPRNRPLMSSVVTMLASDNAVLPEPNEPGARSSMRACALPLVLLATAAAFFPLSTSTDTIGPSESITTSDNQTLVSAGGVFQLGFFSPDGGAGTYLGIWYYNITEHTVVWVANRQSPVRSTPGVLRISADGRLVILDGQNGTVWSSAAPTRNVTPGATARLLDNGNFILSADGSGSDSDQSVAWQSFDYPAATLLPGMKLGVDVKAGITRNITAWRSASDPSPGDVTFKLVTGGLPQFFLLRGEVRLYTSGPWNGEILTGVPYLKSNDFTFRVVSSPDETYYSYSIGVDALLSRLVVDEAAGQVQRFVLLNGGWSNFWYYPNDPCDSYAKCGPFGYCDNTGQSQACVCLPGFQPRSPQQWNLRDGKAGCVRTTSLSCGGANASSDGFWVVKRMKLPEATNATVYPGMTLDQCRQACLSNCSCRAYAAANVSGGVSRGCVIWAVDLLDMRLYPTDVEDVYIRLAQSEIDALNAAARHRAPSKRVVIAIVATVAGVLLLLSVGCCYVWRRRRKRHGETDSSAPSGGDDVLPFRARKQQALGEDWKSAEKDVDDLPLFDLAVILAATDSFSASNKIGEGGFGPVYMAWMMWKEGRSVDLVDKVMDGSGVNYSEVLRCIQVALLCVEVQPRNRPLMSSVVMMLASENATVPEPNEPGVNIGNKNTSDTDSSHGLTANSVTITAIDA >Sspon.01G0009520-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:27054452:27057588:1 gene:Sspon.01G0009520-1A transcript:Sspon.01G0009520-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AHSYLLLLFLLLFITFNSSLQQSMAQTDAGELATLLTIKKDWGNPSALSSWSSQNASSSCRWAGVQRCVNGQVSALSFQNLNIINPVPASICNLKNLSYLDLSYNNLTGQFPTALYGCSALQFLDLSNNHFSGALPADIDKKLSSSAMEHLNLSSNGFTGSVPLAIAGFPKLKSLVLDTNSFNGSYPGAAIGNLTQLETLTLASNPLAPGPIPDEFGKLKKLKMLWMSGMNLTGGIPDKLSSLTELTLLALSDNNLDGEIPGWIWKLQKLEILYLFSNSFTGAIGPNITAVSLQEIDVSANWLTGPIPESIGKLSNLWLLYLYFNNLTGPIPSSVGRLPNLADIRLFSNRLSGPLPPELGKHSPLGNFEVSNNFLSGELPDTLCFNKKLYDIVVFNNSFSGAFPAILGDCVTVNNIMAYNNHFTGEFPEKVWSAFPDLTTVMIQNNSFTGTMPSVISSNITRIEMGNNQFSGAVPTSAPGLKTFMAENNQFSGPLPENMSGLANLIELNLAGNRISGSIPDSIRSLEHLNYLNFSSNQISGAIPSGIGLLTVLTLLDLSNNELTGEIPEEFNNLHLNSLNLSSNQLTGELPVAAERGIRPVVPRNRGLCAAVNPNINFPACRYRRHNQMSTGLVILFSVLAGAILIGAVGCFIVRRKKQQGRDVTSWKMMPFRKLDFSECDVLTNLREEDVIGSGGSGKVYRVHLPGRERGRGGGCGGTVVAVKKLWSRGKAEEKLDREFDTEVKILGDIRHNNIVSLLCYISSDDTKLLVYEYMENGSLDRWLHPKDNATATAALDWPTRLGIAIDAARGLSYMHDECAQPIMHRDVKSSNILLDPEFRAKIADFGLARILLKSGEPESVSAVGGTFGYMAPGTFLTSRPFWSFGVVLLELATGRVANDSSKDAAECCLVEWAWRRYKAGGPLHDVVDESIQDRAVYAEDAVAVFVLGVMCTGDDALVPAVDEASAAAARPVRPHRQRCRRVPGRPRRRPGTSAQGKAGSSPSCQEIVGHRSVLGWRRGERQLRGSSCLN >Sspon.08G0005650-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:17220548:17228405:-1 gene:Sspon.08G0005650-1A transcript:Sspon.08G0005650-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SSSEPDAEGALGGSSPSARVLARALDKIIKHSSWRRHAALVAASKSALDLLSSSPAPDDEPDASSPSPVPGLPAPAADAALAALLLALDPASPKVAEPALECVAGLLSLRLLLGDVDAADPSPVSKLFAAVLSCVSLGGGGDEALELAVLRVLVAFARCPGVSVSGECLGQVVKACYNVYLGSASGGNQLCAKLALAQVLVIVFARVEADAMDVRVRTVSAADMMDLSDRSLNDSSVVQAAQVFINEAMEGSDVPEEAPPVDAASVEAEGTGEDGGISKIREDGLALFKNLCKLSMKFGTPDSPEDPMLLRGKVLSLELVRMVIDNAGPFWKTNEKYLEAVKQYLCLSLLKNSALSAMSVFQLLCSIFMSLISRFRSGLKEEIGMFFPMLILRVLENVLQPSFLQKMTVLNFLEKISKEPQVIIDIFVNFDCDVDAPNIFERYINGLLKTALGVPTGSTTTLTVAQDQTFRIESVKCLATVVKSMGAWMDQQLRIGEFSPGSSETLSSAENHNIHNGEEGSGIDYELQLDTSSSDITDSSSLEQRRAYKIELQVGITLFNKKPSKGIDFLIRSKKIGNSPEDVASFLRNTAGLNATMIGDYLGERDDFPLKVMHAYVDALNFEGMDFGQAIRFFLQGFRLPGEAQKIDRIMEKFAQCYCKCNPNAFTSADTAYVLAYSVILLNTDAHNPTVKNKVSKADFMRNNRGIDDGKDLPEDYLSTLYDQIVNNEIKMTADSSVAQTKQSNSVGRLLGLDNIINFVNWRSAEDKAVGANDLLIKHIQEKFKAKRGKSEYTFYVVADATILRFMMESCWAPMMAAFSVLLDQCDDKAATSQCLKGLRFSVHITSVMCMQTQRDAFLTSIAKFTSLHSAADMKQKNIDSMKVMISIAIEDGNYLQEAWEHVLTCLSRFEHLHLLGEGVPTDASFLTVPLIESEEKTKKSTSVLSSKKTNALQNPAVMAAVRGGTYDSTVANGSASALVTPEQINNFLSSINLLDQIGIVELNHIFAHSQRLNSDAIVAFVKALCKVSMTELQSPTDPRIFCLTKIVEIAYYNMNRIRLVWSRIWKVLSDFFVSVGLLENLSVAIFVMDSLRQLAMKFLEREELANYNFQNEFLRPFVIVMQRSNAPEVRELIVRCVSQMVLSRVNNIKSGWKGVFMVFTSAAADDTRSTVLLAFETVEKIVRDYFHHITETETTTFTDCVTCLIAFTSSQFNSDANLNAIAFLRFCAVKLAEEGFACQDRAFEQPRNSAMVCGGNATVQKDGHISLWVPLLAGIAKLTTDPRLTIKKGAVGVLFDILKDHGNLFSLTFWTDIFEHVVYPLFSNERSTPSDQISSSNSAEYNLPDLETQTLAVKCLVGLFVNFFDVIRPELGRTASIVTFFIRSPYKHCATLGVSAIMRLAEGVGNKLSKEEWKEILILFRESVTHTFIMFSKIVRMMQDIDIPDRIDSYSETEQYLDHEMYGNDEEEANMETTSYAIVKLKNHMALLLMVIQVIIKLYEEHSKYLHAEHISILLEMMSAIATHSSEVSSESSLQMKFHKACSLLEVSEPAIVHFENESYQSYLKLLQALQHDYPSLSEEMNIESQVLDTCEQILRTYLKCTGQKPYDETSQRNPSLHCAVPLSAAKKEELAARTPLVLQVMKLLGDLERDSFSRILPCFFPLLVDLIRCEHSSGEVQYALYNIFQSAILPMIRV >Sspon.02G0008910-4P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:28110946:28112063:1 gene:Sspon.02G0008910-4P transcript:Sspon.02G0008910-4P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTDTTSTDSQLHPAPAFSAAGARAGVCSLAARGAESLARGLVTCVFATVGTVLGAITGGLIGLATETGVLRGTGVGGITGALVSMEVVDSSLALWRSDEPAIWSVVYVLDVIWSLLSGRLVREKVDPVVLNAVESQMSAAEAPVLHGDHADIFGTGGTNGMARVAIDALPVVRFTERSNVDASGELIACSVCLQEFEAGDSARSLPVCRHTFHLPCIDGWLLRHASCPLCRRAV >Sspon.03G0011220-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:37576313:37583916:1 gene:Sspon.03G0011220-2B transcript:Sspon.03G0011220-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent zinc metalloprotease FTSH 11, chloroplastic/mitochondrial [Source:Projected from Arabidopsis thaliana (AT5G53170) UniProtKB/Swiss-Prot;Acc:Q9FGM0] MSALQASLLLRPLPSPLPPRRRLSLPSASASASFPRGAHAPRRAPLRLRALAEPEPEAEAVAEATTAAAAAQPAAMSGKEELEDLVDKARAWAMAVAAAVVAAARRFVDWLASRDWMTWWPFWRPDRRLQQLIDEADANPNDAAKQSALLHELNKFSPEDVIKRFEQRSHAVDSRGAAEYLRALILTNTIADYLPDEQSGRSASLPALLQELKQRVSGNEDKPFMNPGISEKQPLHVVMVDPKSTGRSTRFAQEIFSTILFTIAVGVMWVMGAAALQKYIGSLGGIGASGVGSSSSYSPKEINKDIMPEKNVKTFKDVKGCDDAKKELEEVVEYLKNPSKFTRLGGKLPKGILLTGAPGTGKTLLAKAIAGEAGVPFFYRAGSEFEEMFVGVGARRVRSLFQAAKKKAPCIVFIDEIDAVGSTRKQWEGHTKKTLHQLLVEMDGFEQNEGIIVMAATNLPDILDPALTRPGRFDRHIVVPSPDVRGRQEILELYLQDKPVANDVDINAIARSTPGFNGADLANLVNIAAIKAAVEGADKLTATQLEFAKDRIIMGTERKSMFISDESRKASTKGFQNLTAYHESGHAIVALNTQGAHPIHKATILPRGSALGMVTQLPSQDETSISKKQLLARLDVCMGGRVAEELIFGEDNVTTGARNDLHTATELAQYMVSNCGMSEAIGPVHVKERPSVEMQSRIDAEVVKLLREAYGRVKRLLKKHEKQLHALANALLEHETLTADEINKVVNPYQEEPQFTFQDEEFALT >Sspon.03G0025450-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3A:77602109:77602354:1 gene:Sspon.03G0025450-1A transcript:Sspon.03G0025450-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLRPRRAAPCLALAACAVSVWRVASLLSQRLHRARPRNPGWVRGGAAAGIGHSSQLPVAGSGRVWRHCRWDNVDGIKFG >Sspon.05G0033470-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:5C:33368733:33369122:-1 gene:Sspon.05G0033470-1C transcript:Sspon.05G0033470-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MENWLLMQTFYHGLTNSTRETMDAAAGGAFLSLTINQATTLVEKMALNQGWNEESTQTRKRGGGMHQLKEADMLFAKMDLLMKRLDERAGEKKEVMHIHDSRMTCEECGDTRHSGSNCLELQEDVVDSR >Sspon.05G0004720-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:14094497:14102942:-1 gene:Sspon.05G0004720-1A transcript:Sspon.05G0004720-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLLLLMCLAVKRIPDTFVSFESYLDSFAGPLIEEVHADIFSSLDGYAQANFTQVIKVEKLDGKKFENPVFSFQIAEPVKDEKSRETYEPTECDIIVLSPQKPRHVSDLTQNKSSYVLGLVLKSGEEDDFPPNCCIVQLSSDTPIEVDEEKKTPKGPLFAVYIMNMTTYNRMWKFVCGNAFIWEKMTLILLGFGTKRVLSLSIRCGNTTQRSTDGLGLEKFNLNVSQLNAVADCVSSMDDHSSSIKPLWGPPGTGKTKTISTILWVMLMKGQKTLACVPTNTAVLEVAARIVEFVGKPADGSLCFLNDIILFGNRNNMKMDDDSDLSSISESASFGRSVFQRLSSLGFSKHLLNVQYRMHPEISNFPVATFYDGKVSDGPNVSHKDYTKRHCLWIVGNGTTLSSNNSVWQKMIKNAHDRGLFFDVNDDKDLSNAVAKAVIELDDAENSVNMESLHISRSRFQTAEIPSMRDLELPSMCGKHNSLLELDEHVSPNP >Sspon.08G0020860-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:27280828:27281443:-1 gene:Sspon.08G0020860-1B transcript:Sspon.08G0020860-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIYRYFFCSITYKQLLSLYLNHYTSIVKRAVALGRYLQNPLAMIATLCGPRKEILSWKPHMLEQFLTPDEKYEVVEEVMICSILILLLAMSGIFQCCNLLLVWVRKASALKKDLVREGSIFSRKDLVKPLGRKVFMNASGFLRVRRSGAAAASAQIIDMLEDTRIHPESYALAKKLAKDIDIFSDDETDDDEQEFGD >Sspon.01G0034320-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:2549567:2550835:1 gene:Sspon.01G0034320-1B transcript:Sspon.01G0034320-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPLPRLVRAPLRHVPPRAAFSGRRSVPHGSDADDSSDAAASDAIVRLVAAGGGGLESDLDRLFLAALSHGLVSSALRALTGRGVPAERFFAWASSVSRGFSPGPRAHNLLVENAGRLGDSGAMSRALALMSERRLPLTDRAFAFLAPSSGSSRSGSVEDAARAVLLTLDGVGGPCRASGVFSLVKALASIGEFDAAVSMIEETARKVRYYNVLVAAKCKAGDFVGAREVFDEMRRSGSDPDANTWNYLLGCLLKNGRLAEGCGLVEAMERFKCNEIPNSLTYEILTYHACKAGKMDSAMQILDQMFSENLTPRITIHSAFIKGYFYAGRIEDACKYVSDMSTRDRHSVNRNYSLLAKLLRKSGRTVDAGRVLYELMEKGLRPDHSAYVKVAKDLHKMGRGDLASELKMMFQRFSVQADMGR >Sspon.01G0045450-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:89789353:89791807:1 gene:Sspon.01G0045450-1B transcript:Sspon.01G0045450-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRLELKGRLIKRGMEGEMAWGWLITSHASLGLQSVEVVPSLCIIFPWVLYLPGLMIHVSGCKGDLLELHSASDSTNFMAEGGLALELKQTLALLVLIKAHRQGRVDHTWKSRVDETFPMKITVWSSRLEGT >Sspon.06G0019020-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:88816956:88821549:-1 gene:Sspon.06G0019020-2B transcript:Sspon.06G0019020-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPLLLLLAAFHGLATAAAQGLPSLPIGVNYGANADNLPTPAAVASFLATKTTIDRVKLFDANPAFLDAFAANAPSISLAVSIPNALLPTFADKSAGLDAARGWVRDNLSPHVAAGANVTLLLAGNEVLGPTVVPDLVVALLPAMRRLAQALQLENLPGVRVTTPHYLGILAPSDGIPSHARFRPGLDTKVLAPMLKFHNDTGSPFMVNAYPYFSYNAATLNYAVFRPNAGVYDPGTKLNYTSMFDAQMDAIYTAMKRLGYGAGVEIAVGEAGWPTKAEAGQVGVGPEEARDFNAGMIRVCSGGKGTPMMPGRKFETYVFSLFDENQKPGPLAERNFGIFNTDFTPKYDLGLLRQGSSGSPNPSPNPSPKPSPNPSPNPSPSGGGKWCVAKSGASATDLQNNINYACGYIDCKPIQSGGACFDPNNVQSHASYVMNAYYQANGLHDYDCDFKGTGAVTSTDPSYGSCKYVS >Sspon.03G0024530-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:92972795:92974193:1 gene:Sspon.03G0024530-2B transcript:Sspon.03G0024530-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MESFSFLKYLRGGVVAGAQRAPAAAAATTTIAASASASACEDGGGGDGNDDDASFFDLEFAEPGDESAASDAEEERVEFNFAVAAAGGEDVVAPGAAEAVAVDAPPADAEAETEAAVVPPPATLLRPATRFRVLLLKLRKPKAPATADATGASAALAPRQQAASRFLIKFRVEDAPLASLFTRDTSSRTSDAGVDRPATAAAAEPQQEVAASASITAEERRFAKEVVHRYLSKFKPLYVKVSRRYGERLRFGGTASEGEETDVEPDPSPAPSPSPSPSQAPSSSSATAAAMTPAPAPQPVVVACGVRAPRASVPAGLKQVCKRLGKSRSASSAVAAAPSPGAHAPAAAGTGTGPQPPQRRDDSLLQVQDGIQSAIAHCKRSFNASKGSESPLLRSMTMTTTTGGEARAAGAGEGA >Sspon.03G0018290-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:77607068:77611628:-1 gene:Sspon.03G0018290-3C transcript:Sspon.03G0018290-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMHRDPCKGSDEECPRSLCVRPEWARPTEGDHMGAEAGHPQQQPPSSLRPGREAAAAAAPPAVLGLQLSALIDHVARVDWSLLDRVPGDRGGSQQVSIEELNHILDEVNAHILPSRNDLTPVTTIAGGSVANTIRGLSAGFGISTGIIGACGDDNQGLLFVNNMSFSGVDLTRLRAKKGHTAQCVCLVDASGNRTMRPCLSTAVKIQANEFRKEDFKGSKWLIVRYAQQNMEQIIEAIRIAKQEGLSVSLDLASFEMVRDSRSKLINLLETGNIDLCFANEDEAREVIGGGLESDPEEALAFLGKYCKWAVVTLASKGCMAKHGKQVVQVPAIGESNAVDTTGAGDLFASGFLYGLVKGLPLEECCKVGACSGGSVTRALGGEVRPENWQWMYKQMHARGLLLPELKN >Sspon.02G0031670-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2A:115736903:115737376:1 gene:Sspon.02G0031670-1A transcript:Sspon.02G0031670-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRDTVLIAASVAALLVFSAATFLCSKRRRRGRRAQSPSQRRDAAGDDLELGLGHGGTAAPGLDDAALAAYPTLLYSSSSEKEKDEEEATARDGDGDGGETAAAAGGSASGSGSDAGRCAVCLADYADGDELRRLPGCRHVFHRGCVDQWLRRRPTCP >Sspon.06G0013640-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:50333516:50333974:1 gene:Sspon.06G0013640-1P transcript:Sspon.06G0013640-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYSSSRLAEASLLLLVVILLAAVGVSASAEAEMSAKTVAAVAAPARRKDDVVTTTTSSGSSSPSSSPSPAAGGGSAEKKESKDDNGNKEKEKEKPKGGGTSTKKPSPPAKCVTSKDCHLKRLVCAKKCTMAAHKKCAAKCSHSCNALPICT >Sspon.02G0039920-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2B:63153601:63154184:-1 gene:Sspon.02G0039920-1B transcript:Sspon.02G0039920-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAATRWSLVVGAAVVVAVAVAVALSSPCFTATATARPLPYAGRSSPTPTSTSLDCATVTSLLAGCKAFVRRGAVASASPSVPAPGAACCEGVAELYAVASDSADNWRSVCGCMAALVRRYPSNASAIALLPVLCAVLPPAGRAAGDTLTYCTSPS >Sspon.02G0028760-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:104606001:104607839:-1 gene:Sspon.02G0028760-1A transcript:Sspon.02G0028760-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATQQSRSRSSAAAAKAAACSEVVDEEHGRRRQGGGAQEEAENKPELRRGPWTVDEDLTLVNYIADNGEGRWNNLARAAGLKRTGKSCRLRWLNYLRPDVKRGNFSADEQLLILDLHTRWGNRWSKIAQHLPGRTDNEIKNYWRTRVQKHAKQLNCDANSKRFKDAMRYLWMPHLVDIDIAAAAADDHHHLSLLHHQQQQQVAVAGAGNGDLAAAGYAATNDVQLHALSFGMAAMTTTTSSSDSLASESYEDGGGLFANVRAGEMLMNGGDWAAQEANNQGLWPSSDHDQSMQVQVQAAAGGGQFQDPELSGWVQGFSESITDNFWALEEIWKMQ >Sspon.01G0015630-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:45353423:45354596:1 gene:Sspon.01G0015630-1A transcript:Sspon.01G0015630-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVVRVRWATAWLLVPVAAAIVLSICVSTTRRAPQLPPVVVVPGYATNELDARLTELHRPSSPRCRARKGKGRFRLYLNYTALEDAADVRCFAEQMAAVYDAVSDDYRNAPGVETRVPFFGSIRGFRYPDPDRRNFSYMDKFVSRLERLGYGDGETLFGAPYDFRYAVAPPGHPSRVGDAFFGRLKRLVERASRVNGGRPVTIVAHSYGGTLAHQFLLRRPLPWRRRFVRRFVPVAAPWRGVVLGMLTLVAGNNRACRVLPLFRELPSPRVPVACVVGAGVDTPEMLAYPGDDFHVTPRMVMGDGDGLVNLASLIAVDPAWRRPAAYFRMLEVRNVSHTGLFVDDAALAVIISATLHPQLIIHSGIRAMQTCSGTSPKIQSHYNYYN >Sspon.02G0039230-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:52760405:52763803:1 gene:Sspon.02G0039230-2C transcript:Sspon.02G0039230-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chlorophyll a-b binding protein 7, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G76570) UniProtKB/Swiss-Prot;Acc:Q9C9K1] MLPPPSLLLLRSGAPPSALPLQRRRRWSPTPVRASWQELAGVLVFSAIPFTAVKALANSPLGARLRRRLEDRKAAAAAEADALRAAARGARNNSFWYGGDRPRWLGPVPYDYPEHLTGEYPGDYGFDIAGLGRDPVAFANYFNFEILHCRWAMLAALGVVIPELLDLFGLVHFVEPVWWKVGYAKLQGDTLDYLGIPGFRIAGGQGVIVIAICQALLMVGPEYARYCGIEALEPLGIYLPGDINYPGGALFDPLGLSKDPVAFEDLKVKEIKNGRLAMVAWLGFYIQAAVTGKGPVENLVEHLSDPLHNNILSSFH >Sspon.02G0022670-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:76578161:76579690:-1 gene:Sspon.02G0022670-1A transcript:Sspon.02G0022670-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPFITDQGLIARAMAVRGVGVEVPRDDADGSFRRDDVAAAVRRVMAEDEGEALARNAREMQKVVGDRAKQEQYVDEHIGHPTPATPLRTRGVMCTTTLPNCRTHRSPKLIKKTKSTPGRLASLRFAPHTPSAQRAPLSHASMLLCSLSRLTTPTRALPNPGSSGGPLPRPGKIHGAPVRCGGIAGDWAALPDPGAAPSLTSAAMALLAPPSPTVSAEASPVLVVLVTVVLTRSRSPPSPTSTTGLDRPNLPLPYVAYSGGVGEWGAVSRWPADVARGTLGAGGRGHDGPGVRLQGWDEWMGLGWVRRRGGVCQACGRAYG >Sspon.06G0028310-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6C:5186014:5187144:1 gene:Sspon.06G0028310-1C transcript:Sspon.06G0028310-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSLLLPPCRALPPAPSPSPSSTHRLAPSSTRQVSTRAQTPPRRPAAVPRLRAAAPDAMDAAALMVARAEAGDFAEARSLWAQLLHSSAAPCLPAAAPRLLPAYARLGRFDEILLAVRELSARDRGAARALYPLAVSCLGAAGELARMEDAVLEMGRLGLRVDAATGDAFVRAYAAAGTIPQMEAAYRRHKKTGLLITRGVIRAVASAYISQQKYYRLGAFVADAGLRRRDAGNLLWNLYLLSFAANFKMKSLQRAFLEMVAAGFRPDLTTFNIRAAAFSKMCMFWDLHLSADHMRRDGVAPDLVTHGCFVDAYLERRLARNLTFAFDRLDGNAEPVVATDAIVFEAFGKGGFHASSEVLLEATAGKRRWTYYKLLG >Sspon.06G0005350-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:16898473:16905004:-1 gene:Sspon.06G0005350-2C transcript:Sspon.06G0005350-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VDMRRANNCEIMLTKIKMPLPDMINAILALDTSVLDNDQVENLIKFCPTKEEIEMLKGYNGNKEMLGKCEQFFLELMKVPRVEAKLRVFAFRITFSTQVDELRTNLTTINDATKEVKESLKLRQIMQTILTLGNALNQGTARGSAVGFRLDSLLKLSDTRARNNKMTLMHYLCKLLAEKMPELLDFDKDLIYLEAASKIQLKLLAEEMQAINKGLEKVEQELAASESDGAISVGFRKALKSFLDAAEAEVRSLISLYAEVGRNADSLAQYFGEDPARCPFEQVTSILVIFVNMFKKSRDENARNAEAEKKKLEKEKEKEKASVSAK >Sspon.07G0014400-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:52140020:52145705:-1 gene:Sspon.07G0014400-1A transcript:Sspon.07G0014400-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPPDRRDYLYREGRRHDGGGDPLLPPAPTPPRWRDSPYHPPPPPPLRDHSRPSPRRAPPSASSGRPAPRESSDLRPPPPLRPPPAPLISSNRSAISEGYYRQGGGAYDRSYPDDPPPVYTPSRSDRYWAEDEGGGYKGFGGRYGGGGRRDGRDMRGSYRRSPYRGGYGSDFSRNHPEQPPPPPPRRSPLRSVAVPICYDPPGNRVDRGDRDNLPRVTPWRRRESRSEAADAVGQTTRLSASGKEASAQPLAVAGPHGTEDEAPRKKARLGWGQGLAKYEKQKVQGPADPAEAVADGSPADGEQKTTSLVPLPAPSAAPVPEPAPAHAAASPAPPAAPVLLSAPVRCSSPVTAPPYCSPAPEDKSCEMTPNTVTNSTKDVMEADDKTFNDEFSIKLDELGDDPVNSLANMLVELIQHDDSCSGDSNGLTSTRKLLLLKESISKEIEKTELEIDSLEGELKSVNTEAATTLEGSPTGVTHTENLSPSSGTSKVPVSVEICDASDMIKEPGELIGSPKVHVVQDADVKGADMMEIEADPVCNAKTVPSEESAVSPGVAEGEACAAADLSSLKASEEAGSQNDIDNDRLETSSCHANADSMKIEVSDDLPVMQWAYNDHKSDLLGSVTSANIDIAKEMNEVLFKSLPADTPGLEMLASSHLLSQRKSDLIVKERLGVRKTRLRLKEQILTMKFKAYRHLWKEDVRLLSAKKQRSKSNKRIDQSNRTSLIGSQRQRSSNRSRLTMPAGNLSTFSTPEMSDVARKLFSEFHIKRCRNYLKMPALIIDEKEKESLRFLSKNGLVEDPVLVEKERVMINPWTQEEKEIFMEMLAKFGKDFSKISSFITHKTTADCVEFYYKHHKADSFREVKKLLDLRQQQPTSNYLGAKSGQKWNPESNAASLDMLGAASVVAAHGLEYANRVEKFSAKSLIRTSYGPNVPFVAKRSSDKECIDNVPLHERESVAADVLAGICGTLSPDGMGSCITSSADPGQKISMKRVEHVLSQENDKIVDEEDTLSDQECEVDPVDWNDDEKSIFIEAMNNYGKDFARISSCVKSKSYEQCKVFFSKARKSLGLDLIHQGATDVSMPASDTNGGRSDTDEACAVEIDSAICSTQSCSKNVIDVCPTEGAIGGPNSVIKISKQAEGDISNGCDVDVKIEEDEKEADKKCSIVDDKRSSDGTHQAGPIDINCPESTDKLQGTDDVDHQVNMHSSSAISSSTKHAMATHLEVRSSLHSIEVLQTDKAEGTGTDPSWVEECSHHALDNTPMKTGNSGASAFIASDNGIKDSVHISNMTGASTDSPAFTSSYQHSVPGDMPLLKPKPLVTPLPRRI >Sspon.02G0027070-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2A:98075459:98075803:-1 gene:Sspon.02G0027070-1A transcript:Sspon.02G0027070-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDGCAGAEDIVIYQGHASSLPGGVPAYKVDVINQCMGDLDGGDCAIAGIHVRCGWFSSVSLVDPRKFRRLGHDDCLLNDGRPLLGGETISFEYANSFPYELSVRVATCVDPTTT >Sspon.03G0022530-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:68642062:68648117:-1 gene:Sspon.03G0022530-1P transcript:Sspon.03G0022530-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTGGDGDKPGPALPLEALLALGLDQRTAENALVNNKVTANLAAVIAEAGIKECDKSVGNLLYAVTTKYPTNALVHRPVLISYVLSTKIKNPAQLDAALSFLTNTGPDSLDVGKFEEACGVGVVVSIEEIKSTVTDVLEENMEAIKEQRYHINVGMLCGLVRKRHPWGDAKAIKEEIDKRLVEILGPKTEADNIKPVKKKKEKPAKVEEKKVAVATAAPPTEEELNPYTIFPQPEENFKVHTEIFYSDGNIWRAHNTKEILEKHLKATGGKVMTRFPPEPNGYLHIGHAKAMFIDFGLAKERNGHCYLRFDDTNPEAEKKEYIDHIQEIVHWMGWEPYKVTYTSDYFQALYEHAVELIRKGLAYVDHQTAEEIKEYREKKMNSPWRDRPIEESLKLFEDMRRGLIAEGAATLRMKQDMQNENKNMSDLIAYRIKFTPHPHAGDKWCIYPSYDYAHCMVDSLENITHSLCTLEFDIRRPSYYWLLVALGLYQPYVWEYSRLNISNTVMSKRKWVDGWDDPRLLTLAGLRRRGVSSTAINSFIRGIGITRSDNSLIRVDRLEYHIREELNKTAPRTMVVLRPLKVVITNLEEGKVLDLDGKMWPDASDTDASSHYKVPFSRTVYIEKTDFRLKDSKDYYGLAPGKSVMLRYAFPIKCTDVIYGDSPDDVVEIRAEYDPLKTSKLKGVLHWVSEPAPGVEPLKVEVRLFEKLFMSENPAELEDWLEVIKDAYAVPSLATAVLGDKFQFERLGYFAVDTDSTPEKLVFNRTVTLRDSFGKAGPK >Sspon.03G0007830-3D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3D:26211443:26211928:1 gene:Sspon.03G0007830-3D transcript:Sspon.03G0007830-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LEFRGVPLCHSVHAEQFLVANAAAAGESALRAVAVSHMPCGHCRQFLQEIRGAAGIQILVTSDADEGCAPEWRTVASLLLRPFGPHDLLDKNVPLVLEAHDNALGDPVVAAVANGFAPGDLDARLREAAEAAARAAHAPYSQCPSGFAVADGDGRIYAGGCL >Sspon.02G0013130-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:35200350:35204349:-1 gene:Sspon.02G0013130-1A transcript:Sspon.02G0013130-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAPALSPGTVPLGVLLRREVTKERMERPDVLCGEANRSRKGEDFTLLRADAGQRIPGDPSTSFSVFALFDGHNGSGAAIYARENLLNNVLRAIPTCFCREEWLAVLPRALVAAFVKTDKDLQAVAETSGTTVTFVIIDEWVVTVASVGDSRCILESADGSIYFLSADHRFDSNPDEVKRVTACGSEVGKMDIVGGPEVGPLRCWPGGLCLSRSIGDLDVGECIIAVPHVKQVKLSNAGGRIIIASDGVWDDLTCEMALDCSRGFSSDVAAHRIVNEATRPRGIRDDTTCIVIDILPPENIAPSPPKRSGRIAFNNMFRRRSLDAPSKTNRSEYAEPDVVEEIFEDGSPMLSKRLTIGYTLEKIFAPSSCAVCLVRLKSGEGISVHANPLQHEKLQGWQGPFLCLSCHEKKEAMEGKRRPRGSSTNVFGHMC >Sspon.01G0019070-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:72164502:72182167:1 gene:Sspon.01G0019070-2C transcript:Sspon.01G0019070-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plastid transcriptionally active 3 [Source:Projected from Arabidopsis thaliana (AT3G04260) UniProtKB/TrEMBL;Acc:F4J3M2] MATPSTSAPAPSPSSAFPLTTTARFPRSCACPVRASALAERRRTRRRRAPEGGGAGGDRSAAAGAVEKGLRLAFLEQLAERARAADAAGVADTIYDMVAAGLSPGPRSFHGLVAAHVLAGNAEGAMQSLRRELSSGVRPLHETFVALVRVFAKKGLSTRGMEILAAMERYKYDIRKAWLILVEELVKNHYLEDANTVFLKGAKGGLRGTDEIYDLLIEEDCKSGDHSNALTATCGIPEVAFATFENMEYGGEDYMKPDTESYNWVIQAFTRATSYDRAADVAELLGMMVEDHKRIQPNARTYALLVECFTKYCMVNESIRHFRALQRIPGGTKVLYNEGNCGDPLSLYLRSLCLDGRPVELLEALEAMVNDNQSIAPRAMILNRKYRTLVSSWIEPLQEEADVGFEIDYVARYIEEGGLTGERKRWVPRRGKTPLDPDEFGFAYSNPIETSFKQRCFEELKLYHRKLLITLRNEGPGILGDVSEEDVRRVIERLKKLVVGPKKNVVKPKAASKMVVSELKTELEAQGLPTDGTRQVLYQRVQKARRINRSRGIPLWVPPVEDEEEVDEGLDELISRIKPEDGNTEFWKRQNQVGDETKDKPAKGPNHHLQMIGVQLLKDMEKTPVSSKKLKRMPEIDDDEDWFPEDPIEAFKVMREERMFDVSDMYTTADAWGWTWEREIKNKMPRKWSQEWEVELAIKIMHKVIELGGTPTIGDCAIILRAAMRAPLPSAFIPILQTTHSLGYKFGSPLYDEVVLLCLDLEEIDAAIAVVAEMETCGIKVPDETLDKDLDSNVKIG >Sspon.08G0014620-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:54489222:54490262:1 gene:Sspon.08G0014620-2B transcript:Sspon.08G0014620-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPSIVLFGDSITEEAFGEGGWGASLANHYSRSADVVLRGYSGYNTRWAARVATRAVASIAGPVSAVAVFFGANDAALPDRASALQHVPLAEYKDNLRAICALLKKRWPSVVVILITPPPVDEDGRLRYPYAHDFSGLPERTNTAAGLYAQACLEVARQCGLRAIDIWSRMQRFPGWEKSFLRDGLHLTPRGNRVLFEEVVLALKDANLSLEALPADLPLFGDMDPDNPAKSFEDH >Sspon.02G0020390-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:66516058:66519337:-1 gene:Sspon.02G0020390-2B transcript:Sspon.02G0020390-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHASPVNGDLSLIHVKLEQSWLSVQAFIAEHVTPKDIDLDWSKEVVVGLDGFRYVGCNDLRDVALNSLHMFFKTAVDILSCQGYTEDAVVNAVVDSALCYQFDGPITKIAEHARTLLQSGNHLVDHSYSENVDTVLHMLGLYFLCNASSLLKKYCPFFTLGDALWCILLCNMDISIACAAFAPMSGYGNGQSEGYAHSQSDLCEGRESVNELSEEYSCSATESPALFEPPQSEALEMTWSNFLTNYIVSFQKFGGKNQDAPSTQDESSPSVARAVNKKETKGKRSKTNSMKSQKDSGKDLVVFKNIPLVKGIISKTSLRMLKENKTLTAFLASAHSTLAGTSEVASEKDSQTSVLVPTKPRSGPCSVKRGYSPAVVSIGSLSYPPSCSSNSSSSAMGKAEPRQRMEPDVHFSFPNTPAEGFEFHFSREGLQTTWVPKDRKEELALKLVQRLGELKLEVQVWTDWANERVMQSTNRLVNERTVLFSLKKDKADFEEPNVFTRKRLEETQRAIDSTSCELDRVNSLVQELTDKISLCRREKKAVQLQGEQFDASLASILSKKTEAMNRLKSMETEKIRLQEEIAAERNKLSKLLQSLEQARRHEDVLTKRCQEGEKMIDALMKQVNFERTELQRIETSGRAKSSHLLLKACNDQEWLQTSIKNLTQQIAEMSSRNKPLSITNFMGRPGFVIDSVQREQECAMCLEEEVSVVFLPCGHQVVCAGCNQRHWDGGMTECPSCRSPIKRRICARFPDS >Sspon.01G0034020-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:590999:599251:1 gene:Sspon.01G0034020-1B transcript:Sspon.01G0034020-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-galactosidase 8 [Source:Projected from Arabidopsis thaliana (AT2G28470) UniProtKB/Swiss-Prot;Acc:Q9SCV4] MAATARLLPAFAAAAAALLLLLLCLAGGARATNVTYDHRALVIDGVRRVLVSGSIHYPRSTPDMWPGIIQKAKDGGLDVIETYVFWDIHEPYDFEGRKDLAAFVKTVADAGLYVHLRIGPYVCAEWNYGGFPLWLHFIPGIKFRTDNEPFKAEMQRFTSKVVDTMKGAGLYASQGGPIILSQIENEYGNIDSAYGAPGKAYMRWAAGMAVSLDTGVPWVMCQQTDAPDPLINTCNGFYCDQFTPNSAAKPKMWTENWSGWFLSFGGAVPYRPVEDLAFAVARFYQRGGTFQNYYMYHGGTNLDRSSGGPFIATSYDYDAPIDEYGLVRQPKWGHLRDVHKAIKLCEPALIATDPSYTSLGPNAEAAVYKTGSVCAAFLANIDGQSDKTVTFNGRMYRLPAWSVSILPDCKNVVLNTAQINSQVTSSEMRYLESSNVASDGSFTTPELAVSGWSYAIEPVGITKDNALTKAGLMEQINTTADASDFLWYSTSITVKGDEPYLNGSQSNLVVNSLGHVLQVYINGKIAGSAQGSASSSLISWQKPIEIVPGKNKIDLLSATVGLSNYGAFFDLVGAGITGPVKLSGTNGALDLSSAEWTYQVGLRGEDLHLYDPSEASPEWVSANAYPINQPLIWYKTKFTPPAGDDPVAIDFTGMGKGEAWVNGQSIGRYWPTNLAPQSGCANSCNYRGSYNSNKCLKKCGQPSQTLYHVPRSFLQPGSNDLVLFEQFGGDPSKISFVIRQTGSVCAQVSEAHPAQIDSWNSSQQTMQRYGPALRLECPKDGQVISSIKFASFGTPSGTCGSYSHGECSSTQALSVVQEACIGVSSCSVPVSSTYFGNPCTGVTKSLAVEAAYVNSSIMTKKIMGSINAKMADQMTHALTIRNRLQDRHAAKIYHQSTKETRNVSRILQLKAPLFQIANKMIKRNSQSMAAKNTPRGKGATNAPNILVRIVSSTSCSSKGNPDNKSSLVLLKENWKGGFTHNTIK >Sspon.02G0019080-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:61463226:61470860:-1 gene:Sspon.02G0019080-1A transcript:Sspon.02G0019080-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHCWDIMIYVMNAKYVITIFKRSTVPTFKSYGILQARISDCASETFSAIRTVRSFGGEKRQISLFDNLARAYQNSGTKLGVLKSANESLTRVVVYVSLMALYILGGRKVNAGKLSVGTMVSFIGYTFTLTFAVQGAVNTLSDLRATLASVERINSVLSAKDIDDSLAYGLAKELDGKELDDSNGVYENGSVNKHFMSELKLSSSCSNLAWSGDIHLKEVHFSYPLRSDVEILNGLDLTIECGKVTALVGPSGAGKSTVVQLLARYYEPTQGSITVAGEDIRVFDKREWSRVVSLVNQDPVLFSVSVGENIAYGLPDDVVSKDEIIKAAKAANAHEFIISLPQGYDTLVGERGGLLSGGQRQRIAIARALLKNAPILILDEATSALDATSERLVQEALNHLMKGRTSLVIAHRLSTVQNAHRIAVCSEGRITELGTHGELVAKGGSYASLVGTQRLAFE >Sspon.01G0058640-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1D:31104477:31105112:-1 gene:Sspon.01G0058640-1D transcript:Sspon.01G0058640-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIRASVSPLSPVQPPPLLVAAAAGQEAMAGAPPPHPSFSCSSPFTWAQQPPSPTASSSEAWAQQPPSPAPEPAASRWCAAAAGQQPPAMAGRLPSPSPPSSTHGAEARPSPPAMAPQPSQPLPSWSARGGGGPPWRARMVAQMASLLVSSPCSPRSSSQRPRASNRLLGSAWPRRMEPRSHLLEPARSLHLGPAFPLLELQPPRRDHPLQP >Sspon.01G0011660-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:31784535:31787532:1 gene:Sspon.01G0011660-1A transcript:Sspon.01G0011660-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GSAPVLLRAGRRLVSGGLGGRVLAGILAAPPSVQVRRPPPSTTPRSRSPPPRPPPARSTPRSTSSPSTPTADQLWAPILGPQHPHAPISSASGNRNHKLGHVEDAAVLPFLFDEQYNTFHRFGYAADPSGLHIVGDAQPQAPEPDTVYNLAPSEHKRRRLLAKEDNQEEPAPPEAKNPASEEWILHNKQSPWAGKKEAPPAELTDEQRQYAEAHAAKKAEKEARGEGKTERTEVVVKTTFHGKEEKDYQGRSWITPPKDAKATNDHCYIPKRCVHEWVGHTKGVSAIRFFPKYGHLLLSASMDCKIKIWDVLGSRTCMRTYMGHSKAVRDISFSNDGTKFLSAGYDRNIQYWDTETGQVISTFSTGKVPYVVKLNPDEDKQHILLAGMSDKKIVQWDMKSGQITQEYDQHLGAVNTITFVDNNRRFVTSSDDKSLRVWEFGIPVVIKYISEPHMHSMPSIALHPNSNWLAAQSLDNQILIYSTKERFQLNKKKRFAGHIVAGYACQVNFSPDGRFVMSGDGEGSCWFWDWKSCRRFKTLKCHNGVCIGCEWHPLETSKVATCGWDGVIKYWD >Sspon.08G0008740-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:37349263:37368349:1 gene:Sspon.08G0008740-1A transcript:Sspon.08G0008740-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVLCIGTADTKLEELLFLAAQLRSALAATGSDSKVQVSIVDVSTTEKTTANDFKDITFIPRNTILSCHLGVDQHNLPDDRGEAIALMSKALQSFLKKRYDSGILVGAVAFAGMVHGILLASNESDETSTKPTIGITMFGVTTPCVNAVKDRLNKEGYETLVFHATGVGGKAMEDLVRGGFIQGVLDVTTTEVADHIVGGIMACDETRFDAIIENRIPLVLSVGALDMVNFGARDTIPLTFAERNIHVHNEQVSLMRTTVEENKKFARFIADKINKSSSKVTICLPQKGISAIDAPGMPFYDPEATSTLLGELNALIKSTDIREVKLLPYHINDPEFANALVDAFLSMDVKASSSAQPKQDLNIKRSCSGQKISDKTLRKTRSILHKLKEQISEGTPVIGAGAGTGISAKFEEAGGVDLIVLYNSGRFRMAGRGSLAGLLPFADANAIVLEMANEVLPVVKGVPVLAGVCATDPFRRMEYFLRQLETIGFCGVQNFPTVGLFDGNFRQNLEETGMGYSLEVEMISVAHRMGFLTTPYAFNPDEAAAMAKAGAHIIVAHMGLTTAGSIGAMTAATLDDSVLRVQAIADVALGVNPDIIVLCHGGPISGPQEAEFILKNTKRVHGFYGASSMERLPVEQAITNTKCYMSTG >Sspon.08G0028490-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:12408389:12415521:-1 gene:Sspon.08G0028490-1D transcript:Sspon.08G0028490-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPDFSRASGGPSFEFAFNSVNFSDRVLRIEIVAGDDALGAKGAAGEGCSSLADWARHRKRRREELRRGKESGKYMPDPGICKVEAEECDAYDEGNEPVAMIEESPPDIEPDGEDGESSDSSWSMECTQVLRVKSIYISSAILAAKSPFFYKLFSNGMKESDQRHATLRITASVDTNQPTALLDILMVADKFESLPMTTESALLYLDLPSSISMAAAVQPLTDTAKEFLANKYKDLTKFQDEAMNIPLAGIEAILWSNDLQVASEDAIYDFVIKWARAQYPKLEERREILGTHLLPLVRFCHMTCRKLRKVLACSDLDHEQATKCVTEALLYKADAPHRQRALAADVMTCRKYAERAYKYRPLKVVEFDRPYPQCIAYLDLKREECSRLFPSGRIYSQAFHLAGQGFFLSAHCNMDQQSAFYCFGLFLGMQEKGSTSVTVDYEFAARTRPSGEFVSKYKGYYTFTGGKAVGYRNLFAIPWPSFMADDSLFFIDGVLHLRAELTIKQP >Sspon.02G0021540-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:72284822:72287866:-1 gene:Sspon.02G0021540-1A transcript:Sspon.02G0021540-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHIQHIQLFTQIIKNGINFSFKAIDSDSSNFAQIFQVLLVFATIVARNQWATVWGMYCEDLTASVERPHSASITDFGAVGDGVTLNTKAFQNALFYLNSFAKKGGAQLFVPAGRWLTGSFSLISHLTLSLDKDAVILGSPDSSDWPVIDALPSYGRGRELPGKRHQSLIFGSNLTDVIITGANGTIDGQGAVWWDWFHNHTLNYTRPPLVELMTNQLETHPDSSTNVCIEDCYIRNGDDIVVIKSGWDEYGISFAHPSSNISIRNITGQTRNSAGLAFGSEMSGGISDVRAEGIRIVNSVHGIRIKTAPGRGGYVKNVYVAGVSFDNVSIAIRITGNYGDHPDDSYNKSALPTISNITIKDVVGVNIGVAGMLQGIPGDNFSNICLSNVSLSVRSTDPWNCSLVEGFSNSVSPEICEQLRITPGPGQICYGGNYPAAAAQPQPPQKSSASRLFQAVLDCKQNSFIRDCCATLSLLPMLPAASCNGVDKHR >Sspon.01G0012240-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:31791883:31794061:1 gene:Sspon.01G0012240-2B transcript:Sspon.01G0012240-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTVVMRVDLECEKCYKKIRKVLCKVQDKVSIRTISYDEKNSTVTVSGPFDAEEVADRLTSDAGKVITDIHVVGGFGVALGGGKQKHGAAAAPRQGAQAGKANGNGHGQGQGHGHGGHGGGGHGGHGGGGHGGHGGGGGKPEKKHVKFDDMDDDDDDFDLDDMDEPAGRHAHSGHGHGHGNGGGTPKIIRTNTPIAARLEAPRTGPAMSMAAAAPRMPMPMPMAQQQQAQGMGVPSIWPAAPEWGYSTQPYGSYSGRLPEGTTAAAGPLPRRTAPPGTGRTGYGRNPYAQQQYYEEEPSAGCSVM >Sspon.03G0016740-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:73530814:73535466:1 gene:Sspon.03G0016740-3C transcript:Sspon.03G0016740-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALPFSPRRPFSSPCFILCFLLGFVAGLFPFAHRHLHLDLHHLPLPEPPPPAPTKAAPPPPLPTTTTLIVVTPTRARPLQAYYLHRLAHTLRLVPQPLLWLVVDRGAATRETADLLRGCGLMYRHLPSSHRDAPDARRRPPEHAAERGLRRQRNAALDHIEHHRIHGLVYFAEEDNVYSLDLFHQLRGIRSFGTWPVAMLGVGKSKTLLEGPVCDNSQVVGWHTDERDKRQRRFHVNTSGFAFNSSMLWDADKRAHQAWNYIRLLDTVRDGFQATTFIEQLVEDETHMEGIPTGCSKIMNVNLHLEDKHLVYPKGWQMTENLDVLIPL >Sspon.06G0017860-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:96938164:96939980:1 gene:Sspon.06G0017860-1A transcript:Sspon.06G0017860-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEGKAINLSLSALGDVIAALRRKRSHVPYRNSKLTQILSDSLGDGSKVLMVVHISLSEDDVGETVCSLNFAKRARSIESNREIPVDLKMLKQKRLIELDKEICNAEEELKYLNEQIRRAEISLEEKKKLTSSVCQALSDEKGSPRSTLVVGHIDVTESPHATEKAKSRLSHGSAPHFMSSTMCSRQRHSAGSHFVSKPRLTKSVNRYPAEQSGSQSFSYSSCKNAAKARSVAFSSSVPKMKCLPVKSDQINISNNSIDSTAASAPRRRESFGSRPVQRAPLHQHRRRMSSLT >Sspon.01G0002690-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:7242751:7247426:1 gene:Sspon.01G0002690-1A transcript:Sspon.01G0002690-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDADAGPERYGCVHYRRKCKIRAPCCGEVFDCRHCHNQAKDSLEVSIHDRHVVPRHDIKLVICSLCNKEQDVQQDCSNCGACLGKYFCAKCNFFDDDVSSVLKTGGAENFYHCDKCGCCYTSLLKDSHCCVDRAMHHNCPVCIEYLFDSTKAISVLHCGHTIHLECLYEMRAHQQFSCPVCLRSACNMSDIWQKLDQEVAASPMPAIYQKKMIWILCNDCGMTSNVQFHILAHKCPRCSSYNTRQTRGEPAACSRV >Sspon.08G0001330-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:2050829:2054729:-1 gene:Sspon.08G0001330-2D transcript:Sspon.08G0001330-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEEAVSDNTKGLALAVASSAFIGASFILKKIGLLRAAKCGARAGGGGYTYLSEPLWWAGMTTMLLGEVANFIAYIFAPAVLVTPLGALSIIVRYAVLRSSLRDIEFFLELGSSVLAHFVLKERLEKLGVLGCVSCIVGSVVVVMHAPEEHMPNSVKEIWNLATQPGESLHPVMRITFSQNVSILGCRSLAGFLAYALTTLLLVGTLVLFFEPRYGQTNILIYMGICSSMGSLTHQSHWCCIKLTLDGVNQAAYPYTWLFLMVAIVCGVSQINYLNKDWAGQSFSSIASELCGLITILSGTILLHTAEEGANNSAGIVSCSFVNKIYIFMMTRLELTKTFLLISALLPWPLDKGSISWCISLSSDNLLKNVEEDYFAALQSSPAPRHELAVLTHRRLVMVIQRRELAVLTHRRLVMVIQRHELALLTHRLELRLLLVKHCA >Sspon.05G0008640-2T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:25855359:25863627:1 gene:Sspon.05G0008640-2T transcript:Sspon.05G0008640-2T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASTSPLLLLALLLLPALTAAASLPALPLSTASRWIVGADGRRVKLACANWASHLEPAAAEGLARRGVSDIAARVAAMGFNCIRLTWPTYLATNATLASLPLRWSLERLGMLESVAGVRVNNPALLDLPLVDVFRSGSRVKLACVNWPSHLEPMLAEGLGKRPVGAIAGDVAAMGFNCVRFTWPTFLVTNASYSNLTVAQSFQRLNLTEWLAGIRVNNPGVVDLKLIDAFKASLCLFSDDTTRHAVVSSLGEHNVMVILDNHLSKPGWCCSNTDGNGFFGDALFDPDVWVDGLTKMATMFAGVPNVRGAEAVHAANPRVLVILSGLQFDNDLAFLNSRPVNLSFTGKVAFEVHWYSFSNGPEWSSGNANQACARITASITRRAFYLLDKGWPVILSEFGVDNRGVNTNDNRYYGCAAATAADLDLDWALWALQGSYYLREGVQGLDEVYGVLDWAWSRPRNATALRRVQALQRPLRGPGLAEAAPYTVLFHPVSGMCVVVRRRSPTLTQPLELGLGPCNETEAWEYSAQQQRLALRDIALLCLRAEGAGRPATLGVSCGDAMAKWSLVSDSKLHVAVNATSSAGSDGMLCLDVGADGRSVVTNPCRCLSADNSCDPQGQWFKLVSSTRSLVTRQTMLAQLPLKLKNGKI >Sspon.02G0009460-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:26554661:26557233:1 gene:Sspon.02G0009460-1A transcript:Sspon.02G0009460-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGSDGLTRCRRVRLGAASFSFDERGRAGAREGCELLLLDWIQSSCPSHVKTAAKAAPVFPGLDPARRTRAAGGVRVRRVRGRAWAAPRRPCRWDASRRKQTTERRSSSSPCRWIGWSSLSPHRLNSGRAPAPQTTPLGCEHAGFNPSQSAHDLSTPPRAQTTSSQPSVERGREREIRPAGGSRCQSFTFTLPGTAHGTAAYGFGRMAGSFPLGGGGHSREAPASSVPPVHPSDAASFLYAARAGAGLQLWQQHEQQQQHPFYTSNIIRFSDDPSPGAAPSLTGAASSSSSRGTRSGGGGGGGVSCQDCGNQAKKDCVHQRCRTCCKSRGFTCGTHVKSTWVPAAKRRERQQQLAALAASATATTTAGAGPSRDATKRPRARLSVATPTTSSGDQQMVTVAERFPREVSSEAVFRCVRLGPVDQAEAEVAYQTTVSIGGHVFKGILHDVGPHGLPAAGGGGGGGAIEYHFRHAGDGSPPSTTAAGEAGGGGGVGNVIVSSAVVMDPYPTPGPYAAFPAGAPFFHGHPRQ >Sspon.02G0019720-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:64255074:64264201:1 gene:Sspon.02G0019720-2B transcript:Sspon.02G0019720-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKQKQRAGEEMSWISRKLFLYNVTIGLYAMDWLDLEEEPEEHNTGAPESERLALALRNKEELTPAEEMAGEMSWVGKKIHLYNSRHIGADPALVHLLQWLPLRYRRLRKVTDNTRNEEAKHDQANTTCRTEPEQNWRTATEKLH >Sspon.06G0020470-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:9755104:9756108:-1 gene:Sspon.06G0020470-1B transcript:Sspon.06G0020470-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDITDAAAAAAADASGPTMLTNLFMQIVINPDGTVTRPEVPLVPASEAAGGGVISRDVPLDASAGTYLRLYLPSPSPAPAASASAKLPVVLYFHGGGFVILSPATVFYHSHCEATAAAVPAIVASLEYRLAPEHRLPAAYEDAAAAVAWLRDGAPGDPWVAAHGDLSRCFLMGSSSGGNMAFFAALRTGGLDLGPATVRGVLLHQPYLGGVDRTPSEARSVDDAILPLEANDRLWSLALPLGADRDHEFCNPVKALAPEALAGLPPRCLVTGNLDDPLIDRQREFARWLQDRGGAEVVVKTDVAGYHASELFVPEIAEVLFAAMREFVFTGDA >Sspon.05G0016910-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:70101797:70103978:1 gene:Sspon.05G0016910-1A transcript:Sspon.05G0016910-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALAPSKILGTQLNFTGSSRYATAAPTAGAQKIVSLFSKKPAPKPKPAVTSSSPDISDELAKWYGPDRRIFLPDGLLDRSEVPEYLTGEVPGDYGYDPFGLGKKPEDFAKYQAYELIHARWAMLGAAGAVIPEACNKFGANCGPEAVWFKTGALLLDGNTLSYFGNSIPINLVVAVVAEVVLVGGAEYYRIINGLDLEDKLHPGGPFDPLGLASDPDQAAILKVKEIKNGRLAMFSMLAFFIQAYVTGEGPVENLAKHLSDPFGNNLLTVISGAAERTPSL >Sspon.05G0026560-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:71620633:71622901:1 gene:Sspon.05G0026560-1P transcript:Sspon.05G0026560-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVQALASESSEKSAFQTFMVVMVKGKMGVAQTIKSSLVQSAEYCNMRQANAVIRALGNENIIRESYSSGVDVLLSLRDLQLGAIGDLKVIVPGRRRQFILGEQEASLYCYKAILLDAKKQTETFVYHCGVFIVPKARAQEWLFSSEEGQWHVVESARAARLIMVFLDSRHTNIDMDIIKVKDLSPLVKDLEPGNPEEEAPI >Sspon.08G0024480-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:66476255:66495585:-1 gene:Sspon.08G0024480-2D transcript:Sspon.08G0024480-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MANTRSRGGGDGPPPSSAPAAASATATRKLANGRETRSTAAAAASAQTPNLRRSTRENKGKHKSRQLPATPSSHRSAKTPAKDATAIATPKSTSSPNNPKDSTKKPTRVSRNTIVSPSPSKQDSNGTSTSASTKRKTQDDAQPASTPSKKQKRLMHAKSYVALFNTGQEEPESQVLAPLREDEEKASEVQPEGDGTVLAHEESNAPEQPNQEPSNVSASEVLGGHSSDLHETEVILEGDGLKIGSHQSDVVLEANKSVEDGDSIGIQGACTSRNIEAIQCDETGAENSKQYFVKYKNLAHVHNQWVSESDIVDSTPQGSDLISKFSRRIHKEKTIRWKQEWAEPHRLLKRRLLMPPKEAEIFFNSLGDKFVYCNVEWLVKWKDLGYEHATWELESSSFLCTPEAEELKRSYEDRFKAARKASDPSKVDKVATSFDKKVKGGIFQQLQRLPDGCPPGLDDDHLRSLNQLREFWHNSRGAVFIDDQLRFIVNGMTTLLAEASIITLERVIKTILFVASILPHICRPLLIVSTTTSLSLWETKFNRLAASINVVVYNGEKDVRKSIQDLEFYEDGSVMLQVLLSHPDAILEDIEAIERINWEAVIVDDCQNSRVSKCLEQLKRLPTNFRMVLLSSSQKESIAEHINLLSFLNPEENGTLSVSNGVSFDTAGTLAVLKAKLARYVAFERKADSSKLLEYWVPARLSPVQLEMYCYILLSNSPALRSHSKTDSVGALRNILISLRKCCDHPYLVDQSLQSTLTKDRPITDILDIGVRSCGKLLLLDRMLQQIKIQGLRVLILSQSGGGSGNPMGDILDDFVRQRFGYESYERVERGLLLQKKQTAMNMFNDKTKGRFIFLIDSRACGPSIKLSSVDAIIIYGSDWNPMNDLRALQKVSMESQSEPVPIFRLYSSCTVEEKALILAKHDHILDSNILNITPSLSHCLLSWGASFLFNRLEELQNPSYSNVSGDELFMDNVALEFLSKLLSKVELSTEPGNAAISQAYLRGSFYSRAIVVAGESEGISSVDGDLPKFCAYWLSLLNGRSPHWQHISEPAQRSRRKIHNVEQQLKNTEEQLKIPTEETDEARIKRRRIGEIMDSSPKDPPGKNKDTAILPENNTPSSSHQISVEDTWQELASGVMGSACHLHCWRAASLSKHKISHTESLTLAVKNLNYECKEELAEFVYAKLKILKRKFARRAGEVSKQNSMAPVSNISTCEQDTSVRLRNDELIPSQVTLTDDNFENGSHHEVTGDFWTEEMVSGEKELLSDPVTHGGERLSRDELLSKIMDKRIKLVDKVFSLRGRSIQDKHSNEVSFLDMHRQKEVAKLKGACSLVVEHLRSSQNHTAQEDGDGKIKLIIEWFTMLLYAFLEHMKCQRNRLDMQQSASRIKESQLKEETLQAAKCGQLDQNFDQHIPLPDFEFAMEEFRHFREVAGSCHVHAAALASESLDDNSAMEITLVRSTNASEVIEEEALNRPAEVLVQGPAPEVAGPSVNGICNYSDGINSQGDASLAVPSSEPSSDDLRSTDHVEENTVGSPVQGDTSEHLGDAAMEVETGNRNTALADSPHFDTPALTAPSRQATLPVSREIEMQSNLVDQCAQQSLVSSQPLRGEPEQADLSRAASAQPLQSERQQSIPLSNNLLERAQLDQSQPNYQTDVAPGSVQSAELFPVTSMMFNHPPIDAEPLKNELHRLRLHMDALNKVHEMKVQQKSIPLQFVTHLRLRHK >Sspon.07G0000750-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:1691523:1692725:-1 gene:Sspon.07G0000750-1A transcript:Sspon.07G0000750-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VYAMQEPVMKTSWRPWPWIKLMYLPPGSSRPLPYDGSGSMSGQLDVSCSKDTCRLGLARWQGMAATKKKKKKKKKKKKENGRTWVARMTAPRARKSVMWEVRLKGPVSHTPGGTYSSVPPRAPRRRSMRATARANAPVLSACPSPRPPKLATDIHAPRRGAGPDQHVSCAPADDSSSSAAATSRRSAGGIPLSWRRRASGLACCGGGEAGRKEATSRRVQGAKAAASLLPSAD >Sspon.01G0025820-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:90337838:90341513:-1 gene:Sspon.01G0025820-1A transcript:Sspon.01G0025820-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AKTTQPTAGARDPLLPTSAAAACPPPYLDPHPADSYTVLLVPVGLRRRLPRGGRCCVGPCLTALVLLLALAGFLLWPADPDVSLARLRLAHVSVVARPAVAVTISAALKVRVRNPDLFALDYNRLDVAIGYRGAPLGRVTSGGGRVRARAVSYVDANLQLDGIRVVEDAIYLVEDLARGSVPFDTIAEVEGHLRFFFLSIPVKVRISCVVHVNPHNETIVHQDCYPEVSNYKLVYPHKG >Sspon.03G0041110-1P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3C:36519442:36519630:-1 gene:Sspon.03G0041110-1P transcript:Sspon.03G0041110-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVGEKTAKDDGGGGGNQPKPPSSGTARFERLLSGLGAGPLVDVEPDKVKDDIRRWAKKVAAL >Sspon.06G0019200-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:763174:771699:1 gene:Sspon.06G0019200-2D transcript:Sspon.06G0019200-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMASGWRGILGFDYGIVQAPLGPDISGPDLVAAVANAGAIGLLRLPDWPAPDHVRELIRKTRSLTSKPFGAAIVLAFPHEENLRVVLEEKLAVLQVYWGDFPNHRVQQAHRAGVKVLHQVGSIEEAAKAKEAGVDGIIVQGREAGGHVIGQEGLFPLLPRVVDLVSDSGIPVIAAGGIVDGRGYVAALALGAQGVCLGTRFVATEESFAHPIYKQKLIEVSHTDYTNIFGRARWPDAPQRVLETPFYAEWKNTFPDEETEENQPIIGHSIIHGVHKDIRRFAGTVPNATATGNIDIMAMYAGQGVGLITEIIPASEVVKSLVAEAKEVIREKLSGYQTPQKDQGRAARTACHRAPWTAFVAAQLAVVAWGATAPTAPRHQLWSSPSPTTRVAAASNHRAASYPHAADLQRHQSQRTGSSQLWRGILGFDYGIVQAPLAPDISGPELAAAVANAGAIGLIRLPDFPAPDYVRELIRKTRSLTSRPFGAAIVLPFPYEENLRVVLEEKLAVLQVGSLEEATKAKEAGVDGIIVQGREAGGHVIGQLLLPGGIVGGRGYVAALALGVQGVCLGTRFLATEESYAHPICKEKHKDTHRFAGIAPNPTATGDIGSMVMYAGQSVGLITEIIPAVEVVRRLVAEAKDVIRERLSDFHSNPGQADQSPCNILHMVCRKAIDVDAIGRLFHPPAACSSSGPLAN >Sspon.05G0007410-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:23142697:23145201:1 gene:Sspon.05G0007410-1A transcript:Sspon.05G0007410-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIFALLETPDLVRAGCVCCSWNSAYTSTCGFRRYKWPQTPCLIYTSESAGDNVAFIYSLEEKRAYKLTLPEPPIHRRYLIGSSNGWLVTADERSEMHLVNPITSEQISLPSVVTIEQVTPVFDDNGSYIVVLIHNPLGQLSFARLGDEKWTWLPPHSNFEDCIYKDGLLYAVTLLGQIITFDISGTVVTTKIIMDRKDSYGVERVYIVQDPLGDLLLVRRPEVWIKEASTMHGHGHSHAIFENINWRIAIYKLNYRVAQFECN >Sspon.04G0001980-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:3547090:3550168:-1 gene:Sspon.04G0001980-2B transcript:Sspon.04G0001980-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAYAATPAVATLPSAAPPLTPDAAAVLSRAAADASRRRHAHTTPLHAAAALLSGPAPLLRDACAAGLASPHPLRCRALDLCFSVALDRLPTSTELQHHHDGCGGAFHAAAAPPLSNALAAALKRAYAHHRRIGSGGAEADDHRVGVPHLVLAILDDPSVARVMREASFSSTAVKAAMLRSLSDPAAPDAGAYVGARVMHRLTSHGREEEVAKVVEVLKRGKKRNPVLVGDTADVDAVVQEVITLIQRQRLGNARVISFPKEFGDPVDMDRAQLTAKITELGEAVRSASSSAGVVVNLGNLQWLVEERCAAHQGEQQEKRRDVVLDTARAAVDEMARVLNLSGEGEHRVWVIGTATCATYMKCQVYHPALESEWDLQAVPITPRPPPPPPPPLGLSPSVGANRGILSSSVEVLSTAMTSPMQRAPSLCSACIEGYERERAEMASTERAPCPAEQPMSLWLQIGTPSSGRPADRAQEKAREADELRRRWRDRCAQLHSHGRPPLVTCSEWNGATILANMQAPPPVVRPSVQHRVTVDTDLALGLAAARPACETDDMLLVRRLTEAVRWQPEAAAAVASTIAKARSREARRRGKADLDAWVVFAGPDVAGKRSMAEALSKSVFGTGAVTVRLGYPQAGDDGGESVVSCRGQTALDRMAEAIRANPFRVVVLDGVDHADSVVRGSILRAIESGRLSDSQGRDVALGTNMFVVMSQWSPPLPGHLRSLQEAEPFLPDLPWNPECGMITGGKKRRPEQQLEGDRRAKARKHSAREPLPLDLNLSMSDDHTDALDDSGGEGSRNSSSDLTVEHEQEYGQPASARCSLAPPTVSELIKAVDGVVVFKPPVNLEPLMKRSVSDLVVPAAKFGDITVGGWSVHVDDGLLGRLAAGAARAAGATAATPMEAWTGEVLCPSSLRQFKRSLSTNDVDGATVEGGGRRKDGEMFSMPVTVDGN >Sspon.08G0005390-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:16362975:16364913:-1 gene:Sspon.08G0005390-1A transcript:Sspon.08G0005390-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSVPGQFAAQLGGEEGVATGDDRAVVVLLVSPFGKVWRAELRLAGGGGAGSSWQLGGAWAEFAAAHGIGAGWSVKIPDKFVQEHLTGSCSSTQKAMVFSPLGKFWHVELDRIGVLLGDGWAQFLTAHNLSEGNILLFRYEDNMVFTVEAILHNGYSKEHGAAAADMIDDMIVIGPSTVLQQGDEELGVSPVKKKKKTRNESTRVDVYHGKPNLSPISAKKAVSQKKLVCTMPCHSLTKRVTGFDLTRLFSLKGSFCSSVGLLEACEITLKTLVGNTRFWSVCFKNAINYGYLSGPGLKRFCSENNLKEGDCCTFRVIETTVWH >Sspon.02G0009620-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:21566148:21567174:-1 gene:Sspon.02G0009620-4D transcript:Sspon.02G0009620-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDKEDSVPHSVAEKDTEALLLRDVLLNGILAIGTLGHHVDSFCPEACIEEDDLLIMGDEKVIEEEKDDEEPRNDQVKEDVALETEPCEPVLPIFEPAKMHSLSMKEGNFTCFVTEEILIHEVEDGGAANIQERPLLMVEKVEKVRTTLADLFAAEEFSSSAPGENSCQDIINVAGASTSKHTSCMKKMHQKKPRKPTPKPLKATRKLSRVMRKMLGKKIHPEQLNG >Sspon.02G0050060-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:39144514:39148421:-1 gene:Sspon.02G0050060-1P transcript:Sspon.02G0050060-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGTMFTGGDLRWWMLTILFLFCRLWSPSSPSLIAAAEERVVTHLPGFQGPLPFQLRTGYVEVDKHSGVRLFYYFTPSEGSPADDPVMLWLSGGPGCTSFTGLVYQNGPLSFDIDSYMGGLPRLLYRPETWTKVSNIIFLDSPVGAGFSYSVKEQGYNSSDTKAVNHILIFLKKWFDEHPEFLSNPLYIGGDSYAGMIVPTVTSEIAKGQNSPINFACNIQGYLVGNPFTDFSNFDEPSKIPFAHRMGLISDQMYKAYKKSCRAGGSTHQNIQDISEFHVLEPNCAYASPHQYNVLKLKTSSGVQKMQQLLDSTTEGLHLSEISTQCRTMLYTLSRLWANNVTVREALGIHKGTVPLWLRCNKGITYLKDIQSSVKYHLDVTTKGYRSLVYSGDHDMAVPYIGTQSWIRSLNFSVVDDWRPWYVDGQVAGYTTLYSNNLTFATVKGAGHTAPEYMPRQCLAMLSRWLAGFPL >Sspon.01G0028590-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:99679744:99682208:-1 gene:Sspon.01G0028590-1A transcript:Sspon.01G0028590-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRAVRGAAATGRRRRAADGMLWQTELRPHAAGEFSMAARRPTWPWRTRRRCWPPRPPRSSASTTDGGADASRFLRSRLFPHVQRAFDCCLLVSAFSPRSTPTTRRSWCTPEGRGGSIGDFYLKKPEYSLDPLFRQVGAPIPLKRPALSAEPSVQVRKLKPNDLFLIFASDGLWEHLSDDDAVQIVFKNPRTGIANRLVKAALKEATRKREVRYRDLRTIDRGVRRHFHDDISVVVVYLDRHRERRHTRVIDSSSNCTSAPVDIYSSNTHQSAEPLHAYKS >Sspon.08G0015990-3D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8D:60471079:60480045:1 gene:Sspon.08G0015990-3D transcript:Sspon.08G0015990-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSGVRNGWGPRMSAKPDRQGFSATPKTAAGKQRLSSSAAAAGGAYRRTSSGPLPSRAASDGGGFVWLARALPRRSGRAEWDPDLDFGSAGVWVVSSRVRVAVRLRPRNAEELAADADFGDCVELQPELKRLKLRKNNWESETYEFDEVLTEFSSQKRVYEVVAKPVVESVMEGYNGTVMAYGQTGTGKTFTLGRLGEEDTAARGIMVRAMEDILADITPGTDSISVSYLQLYMEMIQDLLDPVNDNIAIVEDPRTGDVSLPGATVVEVRDQKSFVDLLRVGEAHRVAANTKLNTESSRSHAILMVNVRRSVKGRTEMDVSISGENGHSSSMIGSLRPPIVRKSKLVVVDLAGSERIDKSGSEGHTLEEAKSINLSLSALGKCINALAESSPHVPVRDSKLTRLLKDSFGGQPGVKSHRYCKNIIGCDNWPFTKTSGGNYQYNNVWPKGTSSAYILMAMKVENMVKLKEEFDYKSLCRRLDIELDKLIAENERQRKYFDDEVERLRAEAQCRIAEAERECKITLENEKMKYHQEYLDSIRVMEEKWKLHQQSPKKQNKEAESTSNDTGEVHNLLQNEKMLRQSAEDEASDLKNQVSHWKKLEATATAEVVKLRKMLDAEASQKEKLEEEIDVLRSQLLQMSMEADETRRSLDKGDGPGKIFPGLDSLVSQTRGSQPREQSNGPKQPIAKLFEQVGLKKILSLLESEEPDVRVHAVKVVANLAAEEANQEKIVEAGGLTSLLTLLRSSEDETIRRVAAGAIANLAMNETNQDLIMDQGGVTLLSMTASDAEDPQTLRMVAGAIANLCGNGVGTLMSLLKLHVALQILQNVNLEQLLKEIRWENHY >Sspon.03G0033570-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:55833693:55841389:1 gene:Sspon.03G0033570-2C transcript:Sspon.03G0033570-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLVSCWTITGERQAARIRALYLKAILRQDIAFFDMEMSTGQVIERMAGDTFLIQDAIGEKVSISNMAKIFSPEMSFKKVGKSIQLLSTFVGGFIIAFVRGWLLALVMLSSIPPTAIAGAIVSKVMTRLSTRMQAKYGDAGNVVEQMLGAIRTVVSFNGEKQAIRTYNKFIRKAYESALQEGAVNGLGLGSVMAILFCSYGLAVWYGSRLIVERGYNGGMVISIIMAVMIGAMSLGQATPSVTAFAEGQGAAYRMFKTIERKPDIDIYDTTGVILEDVKGDVELKDVYFSYPTRSEHLVFDGFSLRVPNGTTMALVGESGSGKSTVISLVERFYDPQAGEVLIDGVDIRRMNLGWIRGKIGLVSQEPVLFSTTIRENIAYGMENLTLEEIKGATELANAAKFIDKLPNGLDTLVGERGTQLSGGQKQRIAIARAIVKNPRILLLDEATSALDMESERVVQEALNRVMLERTTIVVAHRLSTVKNADVISVLQHGKMVEQGSHVELMKIPEGAYSQLIHLQETRQGAEFSSVDPYIIVTNGFGSRSTNSKPRSQSISRQRSTSKGSSSFGHSGRHSFLAPLSLPDPMELNGSPDVEETTDKMTRAPKKAPIGRLFYLNKPEALVLALGSITAAMHGVIFPIYGTLISTAIKVFYEPPAELLKDSKFWASMFVVLGACAFVLIPIEYFLFGLAGGKLVERVRSLTFESVMRQEISWFDKPEHSSGTIGARLSIDAMNVRRLVGDNLALNVQTVSTVISGFTIAVVANWKLALIITVVVPFVGFQGYAQMKFLKGLNKNAKLKYEEASQVATDAIGGIRTIASFSAEKKVMDAYEKKCESPIKQGIREGIVGGLGFGFSFLAFYFTYALCFYVGAKFVQQGTATFPEVFRVFFVLVLATSGISRTSAIGADSTKANDAAASVFEILDRESKIDYSCEDGITITSVRGEIDFKNVCFKYPSRPNVQIFKDLSLSIPSGKTVALVGESGSGKSTAIALLERFYDPDSGKILFDDVELQALKVSWLRQQVGLVSQEPVLFNDTIRSNIAYGKHGAASEEEIVVAAEAANAHQFISALPDGYNTIVGERGIQLSGGQKQRVAIARAIIKDPKVLLLDEATSALDAESERVVQEALDQVMVGRTTVVVAHRLSTIIGADIIAVLKNGAVTEKGRHEELMQIKDGTYASLVQLSSSSS >Sspon.04G0027240-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4B:56977932:56978486:-1 gene:Sspon.04G0027240-1B transcript:Sspon.04G0027240-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFRGRVYDFESALRRLAGGWPNYGFSCSSHALAEHDRSSSPRRSRWGLAPLALDQSESLVDLSQLLPPPCGAWASQRSPSGGGTCYDGLELVALRHRDSEHSVLFFEGDVQEVPRLADLPIGLRVRRVRDVVQMAGSDRHVVHRVREARRAWSPRVIVDVPLDAACGCVRCWLAGTPSPPAST >Sspon.01G0003700-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:8629254:8629451:-1 gene:Sspon.01G0003700-3D transcript:Sspon.01G0003700-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMRAVLVAVLLMQCCNVFVAARPLLEAPAVATTADSGWLGMIMQVLQGPGGNNNNCQAPNGSCP >Sspon.02G0033210-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2B:5487244:5488878:-1 gene:Sspon.02G0033210-1B transcript:Sspon.02G0033210-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLITGDDEDHISHVKQQLSVEFQMSDLGPLSYFLGIEVKQSSEGYYISQSKYIHDLIARSGITDNRTAATPMDLHLQLRPTDGVPLKDPSRYRHIVGSLVYLTVTRPDIAHAVHILSQFVCAPTSVHFGHLLRVLRYLRGTSSQSLFYAHDSPLQLHAYSDSTWASDPTDRQSITGYCILLGSSPIAWKSKKQVAVSRSSAEAELRALATTTAEIVWLRWLLADFGISCDAATPLLCDNTGAIQIAHDPVKHELTKHIGVDAFFTRSHCNQKTIALKYVPSELQLADFFTKPQTREQHRLHLIKLNASNPPLPP >Sspon.01G0038140-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:27774378:27776487:-1 gene:Sspon.01G0038140-3D transcript:Sspon.01G0038140-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPSLLVILLLLLSRCSAAAPRHGEGSWEEGEGEWRPAEEEEKGKGKERGLFVLDRLEKVIESEGGQVRVVRGQPWPPAAFACREGLMHIGFITMEPKTLFVPQYLDSSITLFVHRGEVEVGYIHKDELVERKLKMGDVLHIDAGSTFYLVNTGKGQRLQIICSIDASDSLGFGPPYQAFYLGGAGHPASVIAGFGPKTLTHAFNATYDELASILLPRTGGPIVYYTGDAEPESGGGKEERGQGNGHDDVLDRGARCEGGGAWRPVGRGDEGDECGSDDARRPTWSWRKLVNRFIGGAAGGGVTAEANKKGKKKGDAPEPYNLYDSEPGFRNAYGWTVSVDKHQYEPLKHPDIGVYLVNLTAGSMLAPHVNPRATEYGVVLGGEGTIQVVFPNGSLAMSEAVRPGDVFWIPRYFPFCQVASRGGPFEFFGFTTSARRNRPQFLVGAASVLRTMLGPEIAAAFGAHEKEFSKLVHAQREALIMPSFPASGKGEEEKHGKKKGWEEKHGKGEGRREREKPLPLVVEQ >Sspon.06G0009910-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2B:68897505:68899027:-1 gene:Sspon.06G0009910-2B transcript:Sspon.06G0009910-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKGVPKKPKGALALHSYQVVRLRECMANKSRLRELGLPCHEYDRILAEDVAGHSVNNRSEDLHSDKYQSGDSESEYDPLQDDNGEGGLIDDDNAKQCSKEKSRKKTNNQTTSLHPGEVKFRSRKRVFANQTSPRVSTRSKKNIAQQDASLTPSDICVPPPSRANESHARELVGNLDDHTQAAVEG >Sspon.08G0008440-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8A:31277022:31279105:1 gene:Sspon.08G0008440-1A transcript:Sspon.08G0008440-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDKLIQLFDSVFAMPPSTSAFSAPVLLIKKHDGSWRFCVDYRVLNHCKGRFFTKLDLRSGYHQVRMHEADIEKTAFRTHEVHFEFLVMPFGLTNMLVTFQSLMNLVLWPFLPPPQVHQVLLRDIVRGVPGPCDLGQRCRHGSREGCRHDELAATMLGAGLRGFLGLVGYYRRIIKDYIAIAARSPRCSRRTGFGVVLHQGASALVFFNRPFAAHHLKLVVYGRELIGLVQVVHHWRSYLWSHHFVIRTDHYALKFMLNQHLSTVPQHYLNTIADALSQREDEDVPLSALTCPSFKLFEDLRHELQENANHRALCDSVVADRGAPWRRVNGLILRGSHVYVPATSVALLVAHFLALSHPYTAATVTKAFFNDIVRLHGFPTSIVSDQTRCSWGTSG >Sspon.02G0054210-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:110874828:110875480:1 gene:Sspon.02G0054210-1C transcript:Sspon.02G0054210-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPPCAEASSSYSRLEDGPFDRVLIGDAQPNDSAGGPPSRSSIKRRWGRGSHCEVRRHSNHTTSNPRPIERGAASDRKSTAGVTAPPLRHRTSLPLQLHQARRSKVPAGSTPR >Sspon.01G0014170-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:42898645:42900930:-1 gene:Sspon.01G0014170-2D transcript:Sspon.01G0014170-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSPSSLPAAAAALVLLALLAGGGHCREAQLDATDAAGAGAENFNTSDAAVYWGPWQKARATWYGQPNGAGPDDNDLLSSPQASRPACLLSWCLVVAMWLTKRLVLGGACGFKHTNQYPFLSMGSCGNQPLFKDGKGCGSCYKIRCTKDKSCSGKTETVIITDMNYYPVSKYHFDLSGTAFGRLAKPGLNDKLRHSGIIDIEFTRVPCEFPGLKIGFHVEEYSNPVYFAVLVEYEDGDGDVVQVDLMESKTAHGPPTGRWTPMRESWGSIWRMDTNHRMQAPFSIRIRNESGKTLVANNVIPANWRPNTFYRSFVQYQ >Sspon.01G0000870-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:2385057:2386577:1 gene:Sspon.01G0000870-3C transcript:Sspon.01G0000870-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSPRRPVLALVLLLLLALLCSHVALCSSAEPGKPKSKAKASGGRKALLADDDGEEVPATKPAKTATAAAAAGKTKKKLLAGDAASKNQTKVAKAKKPESAAAAKGAAKKPAGKAAAGGDAAIAKVSKVPKADKAKVPKPDKAAAAAAGAKAKGADSTKPAKVSKTGAKAVKPPKTGAKSEVAAAAGKAKKPAAANSTADAGAKPAKSGKKAAQVVAGAKAKAKAKANATVVSKEESAATETAEVEVEEDVVFAKEAEEGTDDLISEFRDLPSRLQETLMPDLARLSHHSKAYLSAANAGIADGVRPILGGRWAAVAASAASVAVLLLPLFMLTALVRRMGPYLPLLHRALLLAQAYLAIYFATLALAAAATGLEPLRFFHAASPAAYAWTQAAQSLGFMGYLVLQMVDLVAVFSGAASPEDDGNGDAAKALGLAQMVVGLAVGLHYYAAVFHRAAAGEAPRANWRVYAVYAACFAIVCACARAERRKKAYLAGTDGAAEEWKKS >Sspon.06G0001680-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:3842745:3844043:1 gene:Sspon.06G0001680-2B transcript:Sspon.06G0001680-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDLSRFLPPSPSSSPPKNLGRRKQPPTQAQAQTRAKRGKGVPWQERRRQERERKQREEDARTMAGLAEAIPESNVGFRMLKQMGYDPESRGAAAEPVGIEIRRSRAGLGAEEPALAPETALAPVEKSREVVERERRQQEEMAVELRARKSTQWKGRRVVWDYRKAERALAQLENREVEPPAPEGEVEKKGAEEEEEVITEEEEINMPGFVL >Sspon.03G0020760-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:64534047:64535293:-1 gene:Sspon.03G0020760-1P transcript:Sspon.03G0020760-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTVTAALSLKLLIDRKAQRVLFAEASKEVVDFLFSLLALPVATAIKLVGKEAMVGCVGNLYVSVDKLDSTYVQTGAAKDALLCPTVLSPAATTNSSLLRLPEKSSVQPQTFYRCAANSGYGNCRAYFTDEHGKACPQCRCSMTAIANYLPSEGPSGSGPVAAQSEVKGFVQGIVTYTVMDNLTVTPMSAISSITLLNTFGVRDIGDLEEKTVQLGYNEGLAILRASLKSKSVLTDVFLYGNKNAPGAGGRA >Sspon.07G0003200-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:7532632:7543735:1 gene:Sspon.07G0003200-1P transcript:Sspon.07G0003200-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAPWRAGAAPKGGAARRRLGAPSFGAVAGRRAGAPSPGAAAAEARRDPVPAPWSPAAAAVAPAPAPESGVLGSPVRTRARYQNATRTGRLAAQGGRGGRSRGGREAGNRGPLLRNGEGREEKRPAPGGAETGAARSWGRNAGEAAWCWTAQQARSWRYEIVNGVVEVEGVTEESAAETPAEQKSGDETSAEQKEEKGVPAFWLNAMKNHEILAEEIQERDEEALKYLKDIKWYRISEPKGFKLEFHFDTNPFFKNSVLTKTYHMIDEDEPILEKAIGTEIEWYPGKCLTQKVLKKKPRKGSKNTKPITKTEDCESFFNFFSPPQVPDDDEEIDEDTAEQLQNQMEQDYDIGSTIRDKIIPHAVSWFTGEAAQDEDFEVMDGEDDDDEDDDEEDEDDEDEDDDDDYDTKKTKGTAGGEGQQGERPAECKQQ >Sspon.06G0010590-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:46419446:46430014:1 gene:Sspon.06G0010590-3C transcript:Sspon.06G0010590-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNNERREGRKKGKKHKDKGRGGDCEDKAVMSNKEEKDCQITKEEKGRKKGKKHKDKDRGGDCDSSIHSGDGNHSIEMEQADVSATMAENPCLEHAEGVMSKSSVKKHRKKKKKDKEVEINGQTQVLDADENVGSEDAERNNGEREHDRKSKKRKRKHQDDETSSDGSGNRIVIGKDKRRKKECLVTLDLEEGNKSDMSKIGKKTESKKKMRKERNNIGIDLRQNAPAGEGKNGKGNKEKKVCENKNDGDDREKENMAKRKDKGRRVSFTDDVEVFNIGGSDDVESDGSGETELVHGRRFTSEEDAMLMEAMVKYAEMKQLGEKGLEMIGDCIKNPELRGCWVEIAKSLPHRPAKAVYKRARILLQRSAERKWTEEEYEIVRRFVEKNGRNWRVLAKELGKNEIHVKDTWRRIKPKNLKRGPWTQDEYQNLFDLVNLDLHVKAHQNFDPGHRQIRENISWEAISEKLTTRSNHDCCKKWYQQLASPLVKEGIWADTDDYLLVEALQNVDAVCVEDVDWENLLEHRSGELCRQRWNQMVRLIGGHRDKPFIEQVEVLARRYCTEMLPYRKSKAVWGSVEMLAAYMVLKLQTFSAHCHGVSTVTSTNSGIVEAY >Sspon.01G0029410-3D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1D:98548611:98549240:1 gene:Sspon.01G0029410-3D transcript:Sspon.01G0029410-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSGALIVTESPQQHPLSQIAESGTHRLLLKQWVKEEDLLARRVALREARLDGARKEIAFLYCAFFAFHAASVLLLFLSSSASSGSAASTAACRRSWIPCLVSLLSSLAMLWALRYKSDTEAVLERLLAREREDALLLARCVSELKRKGVHFDLLKEVDALRRAKSLRVEAKGGADRPRRWQARDLAIFALFAAACGVLVLTRFLLCN >Sspon.04G0014120-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:54764211:54765375:-1 gene:Sspon.04G0014120-2B transcript:Sspon.04G0014120-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQILVQPILCGLKEMETSQTPNSGIHQKLGPEISQNPMENHVNPEKETDETPVSEVNQEPVETCQGAVVETDIIPEKQAVPEAGLVVNEEPMETCHEMAAESDVNPEKRTSDPGVIYRCRKCRRMLATQEFVVTHEVGAGGKSFRAGKQSNVQEDGEKPECPCIFVEPMKWMQTVEEGYVANKLFCMGCKARLGQFNWAGMQCSCGAWVIPAFQLTKSKIDKCSM >Sspon.02G0015260-1P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2D:35654990:35656359:1 gene:Sspon.02G0015260-1P transcript:Sspon.02G0015260-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKQQPKQEEMNVVGASGGLSLPPGFRFHPSDNEIVSIYLTNKVRNRDLTSTVITEVDLNKTEPWDLPREAKLGEKEWYFFYQKDRKYQTGLRANRATKGGYWKATGKDKEVYNTTQGVVLLVGMKKTLVFYKGRAPRGDKTNWVMHEYRLEGSGRLPDPASASSSVANATAMKASVSASKDEWVVCRVFDKTTRIEKMTTPAYKVSMAGAEIGQNQDNIPAIPIPMPLQPPLLVPMPMESPILSDFATDPMATYFPNTGTGMTPMMPSMAGIGGTSRLQIDDALFGNLIATPPQTNFYHQMGTGAATGHMGMGAAGHMDMGAAGTNGFDVDPPRPSSMVSQKDEQANAAEIWSMMSVAGPESATPTIEMDAIW >Sspon.07G0010240-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:31046205:31047940:1 gene:Sspon.07G0010240-1A transcript:Sspon.07G0010240-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTGSLEHGGFTFTPPPFITSFTELLSGAGDVLGAGGADQERSPRGLFHHGARGGGGVGVPKFKSAQPPSLPISPPPMSPSSYFAIPAGLSPAELLDSPVLLHSSANILASPTTGAIPAQRFDWKQAADLIASRQDDSRSAAIGGFNDFSFHTATSNAMPAQTTSFPSFKQEQQQQQQQVEAAATNKSAVVASSNKQASSGGGNSNTKLEDGYNWRKYGQKQVKGSENPRSYYKCTYHSCSMKKKVERSLADGRITQIVYKGAHNHPKPLSTRRNSSGGVAAAEEQQAANNSLSAAAAGCGPEHSGATAENSSVTFGDDEAENGSHRSDGDEPDAKRWKQEDGENEGSSGGAGGKPVREPRLVVQTLSDIDILDDGFRWRKYGQKVVKGNPNPRSYYKCTTVGCPVRKHVERASHDTRAVITTYEGKHNHDVPVGRGASSRAAAAPMGSGAALMAAGGGQLGHQQQQPYTLDMLSGGAYGGGYAAKDEPRDDLFVDSLLC >Sspon.01G0042650-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1B:67630222:67636366:1 gene:Sspon.01G0042650-1B transcript:Sspon.01G0042650-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding QD >Sspon.03G0014910-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:67624822:67627191:1 gene:Sspon.03G0014910-2P transcript:Sspon.03G0014910-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVAVVLVAVSTTVSGQGDDDPAPAAVCARRPVVFAFGDSNTDTGGVAAGLGYYYPLPEGRVFFRRSTGRLCDGRLVIDYLCESLNMSYLSPYLEAVGSDFTGGANFAISGSTTLPRNVPFALHVQVQQFLHFKQRSLDLIAHGGGGTAPVDADGFRNALYLIDIGQNDLSAAFGSGAPYDDIVHQRIPAIVSEIKDAIMTLYYNGAKNFWVHGTGPLGCLPQKLAAPRPDDSDLDDSGCLKTLNDGAYEFNTQLCAACDGLRSQLRGATIVYTDVLLIKYDLIANHIAYGFEEPLMACCGYGGPPYNYNANVSCLGPGF >Sspon.01G0012960-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:34979075:34983882:1 gene:Sspon.01G0012960-1A transcript:Sspon.01G0012960-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SAT32 [Source:Projected from Arabidopsis thaliana (AT1G27760) UniProtKB/TrEMBL;Acc:A0A178WAC4] MGKSKRNKGGRGGGGADDQLDGGSDADSVTSMSTGLSDLQLAQATENVSSQEFVLDKYIDALYEKRGSTREEALGALTDAFESFVLLGLVENKYVTLLSQFTNSIKKGSTKEVCLACRCIGLLAITLGAGSSSHEIMDESHPQLLRVLQTWPDAPKMISALDCLAVVTFVGATDLAETQLSLKAIWDVIHPKSGSNVGIVRKPKPPLLAAAVSAWAFLLTTVGSSRRNTDSWKDLLEAEDRAVRIAAGEALALCFELKLLDVSSSDEADVDSDTRETSGSKHQLFLNMQALKAKISGLVYSLSMEAGGRGADKKNLNDQRDLFQRISDFIKNGECPEESLRISGKNGILRVTSWRELIQLNYMRRFLGRGFLKHSQDNDLLHDIFDIKIDRTENMSTTKKKMFRSEEEKGRALKLNKERRLAQYFLCMRSKGDLEFIVK >Sspon.06G0002370-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6B:6267757:6270987:-1 gene:Sspon.06G0002370-2B transcript:Sspon.06G0002370-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LDFSQTKNLAAGEFISSEDISVGGQVWRINCYPPGSRREKYGDHLSIYLHLVSKPSKSVKAIFQVFVLDRDGEPSFNHTRRFMEVYPLPKGMQEWGWHRFATGGDLKLLYMVDGVVTLACGVTVAAAGKYETLAVPPPDLVSHLGGLLDCATGSDVSFVVDGKVFPAHRAVLAARSPVFKAQLFGFLADARMSSITLHDIGPAAFKVMLRFMYTDCLPGDNELGDAPAPSAMLQDLLAMADRYALDRLKLFCAKKLWEYVSTDTGIAKGDVIRLQRRRGQRPEQPLHTPGHSNAREKMFDTRFLEFKLDFSQTKNLAAGEFISSEDISVGGQVWRINCYPPGSRREKYGDHLSIYLHLVSKPSKSVKAIFQVFVLDRDGEPSFNHTRRFMEVYPLPKGMQEWGWHRFATGGDLKLLYMVDGVVTLACGVTVAAAGKYETLAVPPPDLVSHLGGLLDCATGSDVSFVVDGKVFPALFSRSCRGTYFIKQNRVLLQRLGLEALGEERPTCTHPWAVPAHRAVLAARSPVFKAQLFGFLADARMSSITLHDIGPAAFKVMLRFMYTDCLPGDNELGDAPAPSAMLQDLLAMADRYALDRLKLFCAKKLWEYVSTDTVGVILHCAEMYNCPELKRNCIVFVAEEDNVKKTLLTDGFLQLVQTIPSIVAELRKKLGV >Sspon.01G0018900-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:76444759:76449787:-1 gene:Sspon.01G0018900-2B transcript:Sspon.01G0018900-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAPTTITVQVKFAGRTIPVEVPAAASGAELKRLLQPLTNVLPRGQRLICKGTDPQTLDGPITKNSSSSATTVRRPSNVKENQTQKPEAVFTKSRSERWKLTGVVALNDCDLKVVPEEVWDCGPSIRILDISNNSIKEIPHKISALKSLNKLLLTANDIADDSICWDGLSCLQKLLNLSLSENRLVSLPSTLGSLTSLRELRVANNRLDNLPIEIGLLKHLQILIASNNRITSLPSSIGDCESLTEVDLSSNLLTELPDAFGNLCNLKVLHIRNNGLTSLPATLFKKCSQLITLDLHGTEITNDVLRQVEGWEEFDERRRQKHQKQLDFHVGSSGVFDEGADDDNRRR >Sspon.07G0019370-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7B:75773434:75779794:1 gene:Sspon.07G0019370-2B transcript:Sspon.07G0019370-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLAGAGAAAARKPASWRRPGGGRGVARRRAAAAALLLALVYAAGLLVFLLGPGPAAVTVASSLRPRPRPADAKPPHAQPGSVYRSHLLFERLWPAMRDDATLAASASSLSASASWRRSMLPPSNGYLMIEANGGLNQQRLSICDAVAVASLLNATLVIPTFHLNSVWRDPSKFGDIFDEDHFIETLKEHVRVVKKLPEDVSLRFNHNISSIPNMRTKAYSSPNHYVQNVLPKLLELGVVRIAPFSNRLAQSVPLNIQNLRCLVGMMLRGMGFDNTTSLYVASGKIYNSEKYMAPLRQMFPLLTTKDSLASPEELAQFKGHSSQLAALDYTVCVQSEVFVTTQGGNFPHFLMGHRRYLFGGNAKTIKPDKRKLVLSFDDPNIRWDQFKQNMQEILHYSDMKSIAFRKPNDSDLGKGIAGDAVRATICTQFEPKCTRKREFKQEPEGGGATSRKKTPPAIPKAMEMRKYAGTFHMYRLHEASLVMATIRHTVLRLPRRSAAILARASRYMNVRPRTEVLPWARVI >Sspon.07G0003490-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:8092505:8093022:-1 gene:Sspon.07G0003490-3D transcript:Sspon.07G0003490-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding HDEQPAPAPADGELQHRRAGRGVVQVVQVPRLLRAHGRLPTPRREGVPAVQARAAGGDGGGAVGAGRLLPDPDVAAGVGVHEPAVPGVRAAVARARLRGARLPGRTQGAAAQVPGVRQARQRPAGVVLRRQPPLPPQVPRQVLL >Sspon.02G0024370-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:83408045:83408772:-1 gene:Sspon.02G0024370-1T transcript:Sspon.02G0024370-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSRQVLLLAAVACLTSLASGTQWMVGDGGGWRAKFNETGWTDGKTFVVGDSLQFVYPKEKHTVIMVGKDAFAACDLSANLQLGNWTSGNDVVQLDKPGKVWFICNKPGHCINGMKLVIDVVDGTVAPSPLPLPLPFPFPFPFPFPGTAPAPSPLFRWPLFPWGSAPAPVSPAAAPPSAAVRNPVDGTVAAVAAAVVVAALAF >Sspon.04G0008950-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4A:25976303:25981852:1 gene:Sspon.04G0008950-1A transcript:Sspon.04G0008950-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGPGKPHSWQPVSCELIGPRERAEQRATPTREVLHLARVRSQGAPRVGHPRCSRIGVHVNPPERRKRNLGGMIGSAPDSARRKPSHDSANDEMRDGDTVVKNTKRSADAFTEKRKKKEHLCKANHGKDRDIRNGDQRIKKSRVPAALSEKGREKDDLNKTNIKKKIMGVDLEKKKTMISNVSKVEGKKKVNTASLEKERKKKLPNASNGKNMQRDDDKEGRIMSNSDTKVKSKKVSTTLSEKEKNKEKLNKTQREKKMQAADSKMRNYDSGVNKGKVSTTFCDKEKKRKRPNNTNSEKGTAPITSAVKEKKMRTTESMEIKMRHDRQNRRNVPLDVSSEKMDTSSDSNYKTGKGKLPHTQLKKQKRMQCNDSDKKIHGAKVKEMKIFSCGKEKVIPLTVAPRLEYLTNHLVFLKDSEGKCSVVMVSKVAGSLAFDQGWDIFVSNHLIKWGEFLLIEYIAESTFSVRVFGTDSSERLYFNAESTTKGERKKQTWSNMSPDDLVFNDGSSENIDNGNYVSGENPRTKVPQTVHVTYNTKKDPKPVEHVVGSGLVAQDNNGNLIDPQCKTKGTSPLCSKGKTLIMLIDSEDSEPLEHENGDTMKLATSGADSDTSLVAVNTNEDPIRAQSGIGNGPSVVLADEKGSSPEIECGTKSISTTCSEGKTRSQIIITATALLDLHDSDEDLGRKQRTNAIPLDSITPVVDYHNHSKTNIIQNFYRKYEAPGGFRCLEKWRKDVVNNQASLDCTVPIKPENPQKNDSMLVDGYGSIELNPVDEYICSEGNHECVQPIFTMPIKELSSANRVTNCGHNGTEIDYSINEKDGGASVLLEAKRERLEPMGSIVHSQSNNVPLCANPVVPGTTVQFEIQMDQVEPVRSSVRSKSCNIVLRANESEHRSSKQEGRMSSNTEVPEPLLPMKDEILKLDYHSPPEINSQLCIPDTTQKWLDLSKSLSSAVRQRRHHWSVIMLKDPMKRLWPIIYHDNPIFVGFTAGWKHFVAANNLQTGDVCELIKESDDDEPVYSVRMRGKI >Sspon.02G0032020-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2B:111709100:111712347:1 gene:Sspon.02G0032020-2B transcript:Sspon.02G0032020-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGALDYTVLVGYGTPEQQFPVFLGTSSSGMSLLRCKPCASGSDNCDLAFNTSRSSTFTQVPCGSPDCPTKCSGSVCPLVGTQVYPFPWWSMVAAKESLGNNKLPVVHRQSPCSPLHGLPSLTAADVLRRDTSRIRRRFSSQDSTVAAAPAPALASSPAATIIPVDGSFDPWTEALDYTVLVGYGTPEQQFPVFLGTSSSGMLLLRCKPCASGSNNCDPAFNTSRSSTFTQVPCGSPDCPTKCSGSVCPLVGTHSTKPIGTFSRDVLTLTPSTAIHDFRFVCMDVKHHPDVLPQVGILDLSRDRNSLPSRLSSSPALAFSYCLPQSPTSQGFLSLSHDGTVRDDNRTAVHAPLLSHEGNAELESMYFIELVGMSLGGEDLPIPADAFGNSGTNLDVGTDFTLLLPAAYTPLRDAFRKEMSQYNRSVPEFAGFGTCYNFTGLPSLWIPAVQLNFGNGESLLIDGNRMLYYDDPPPPRRSPWPASPSSRWTQGTTARPSPSLGHIRWRRR >Sspon.01G0007080-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1A:19209605:19210488:1 gene:Sspon.01G0007080-1A transcript:Sspon.01G0007080-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding PYRAELEKMFMGMPAVFTGMLQGEELSQAYASADVFAMPSESETLGQVVLESMASGVPVVAARAGGIPDIIPKDKEGKTSFLFTPGDLDECVRKIEQLLNSKDLRETIGKAAREEMEKCDWRAASKKIRNEHYSTAISYWRKKMGKTN >Sspon.06G0006710-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:23116187:23119936:1 gene:Sspon.06G0006710-2D transcript:Sspon.06G0006710-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSYAATARLDVARQPRRPVPGPAAGRVGLPPAPPRGCGGDTRRAGGLREHGVVGAAAASGENAAAIAAGSVGDAAAGVAAAVVVREETGGSEVGSKRCLPPAAAHPPPKRRAVSARRRFPPHCGRNVAAPLATTNAGSRFGDAGADVCSAVLDLEKAAAAPPPFAGGSDGALLGAVSPVAAATSLVKKLCAVDGDAPMADGGRHGQQPGAVKSSEALRRSGGTASDGLLDAGSRGVAVVSVEDWGKGAWSGELGRKELVPDAHLQANPRMSLDERSFPLGYGKDAVLSLLLAGGSSKVPLKSRPTDELGVLEEVVVATHGCISSVQGHYMKIVSNDGTVQDYELEDGEISPELVVQESQVSTGVALHESTDGPSVPEINAMETFAMQPSNEKTGGDTLQCGEKRSSYLVANDVEVVNKSIGSSVNVVAASLAEDLSKQNMMGKRVSESAMMSRVSSHVTAGVCGNGTTMRCVTLHDSTACRYGDSVPEISAVKTSVMQSSNEKTGGNILQCGDKKSSCLVTKDVEAMNKSIRISCTAVAGSLAEDSSKHNLMGKRVSESARMNRASSDVAAAASGNSIMMRSKVMFTPRKVVKRTKVIQKSTLDTRHRPCPEDKKETELSRRGINRIEDTDKLTKDRVLQAPMTQDKEAATTRGYFGPRKRVKVKVPAHLQMKIASTCALGSKVKLDDEVASSLEDDDILKALVVHEGNLELFLNSYSGLTSARCQTKHGSQNADARSKFKMLCRRFEFVCRALVQAVEQNSLKIRRIDLQADKVIRKLPGFTKSGPIVGQVPGVQVGDEFLYRVQLAIVGLHLAYQGGIDTTVYRNGERIAISIVASGGYPDELSSSGELIYSGSGGKPAGKKDHEDQKLERGNLALKNCIKTKTPVRVIYGFKAQNTRVGSHSRAREVSTFTYDGLYQVLDFWLDGQPGSRVFKYKLKKIPGQPELPMHIAEGMRKSKTRPGQCEIDISQGKEAIPICVINTVDAERPAPFRYTTRIRYPFELIKKRHQGCDCTNGCSDSASCACAVKNGGEIPFNLNGAIVNEKPLIFECGPSCKCPPSCQNKVSQHGLKIPLEVFRTIKTGWGVRSLRSISSGSFICEYVGELLYGNEADERRNSDFLFDIGLNHGDENFFNGLLSNVSDMKSSSSSSQILGDVGFTIDAAECGNIGRFINHSCSPNLYAQNVLWDHDDLRIPHIMFFAAETIPPLQELTYDYNYEIDHVEDMNGRIKFKVCQCGSPRCSGRLY >Sspon.08G0001170-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:1698792:1703153:-1 gene:Sspon.08G0001170-2D transcript:Sspon.08G0001170-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIQRPRVFLRPLGGDFELHRGFHEKSPFSSPLLSCCFCLLLDLEASIHPSTPASSRSAVGEERMEAAWTGAVNRATDMADSAKRFLLSFRRPPRRRVREPTPFSVFSQFKAHAVEVGLCPVRVAGDDDAGGKNDSLFAELSTDHRCMAQENDQIETPFALSKLMYLRVYTEFGQISYQFPDDMKLTLSAALHGPSVIPRKRKPTAGGCVDLELEIDEDTRFGAWIEFNKKSNSRLLRWAVTLSDTPQGDLGWGLSFRRGTEAKPQLFQVEGFLNLHLGKTAAVQPGIVFNMDGGSTSSSEDQPKDEHTASVV >Sspon.01G0012970-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1A:35085141:35085766:1 gene:Sspon.01G0012970-1A transcript:Sspon.01G0012970-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GSFQEECLRRRRPHRRPHRRDPVAAAGQIDLPLQVRFLALVRPNHPLRAPQEDPQTLSGFFYPGYRLNREENDIVIFSDFVDITGREELPFSDPSLPFLTGYRLIIPKICCNGLLFCLCWKVSPR >Sspon.02G0028380-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:117309301:117313887:-1 gene:Sspon.02G0028380-3C transcript:Sspon.02G0028380-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding PIHIFLSPLPSLSLCSTPLSPLTGRAPHSPYLPAPSPRRPACPTARGLSTTPPPPPPPPLGRIDRDRDRAAAAAALRTRGVLLLPCSSAAPLPDCAGPPNRASRSPTTTPSSPSSPSSSSPPKSTSSPRNSARISPSSLAAAAGSERIAASSSAACRMSREAQKLDSAAGDGAVGIGVLSLDLLGQVLDRLREPRDRKACRLVSRAFERAEAAHRRALRVLRREPLPRLLRAFPALERLDLSACASLDDASLAAAVAGAGGGLAGLRSVCLARANGVGWRGLEALVAACPKLEAVDLSHCVSAGDREVAAVAAAAGLRELSLDKCLAVTDMGLAKVAVGCPRLEKLSLKWCREISDIGIDLLAKKCPELRSLNISYLKVGNGSLRSISSLDRLEELAMVCCSCIDDEGLELLSKGSDLLQSVDVSRCDHVTSEGLASLIDGRNFLQKLYAADCLHEIGQRFLSKLATLKATLTMLKLDGLEVSDSLLQAIGESCNKLVEIGLSKCSGVTDEGISSLVAQCSDLRTIDLTCCNLITNNALDSIADNCKMLECLRLESCSLINEKGLKQIATCCPNLKEIDLTDCGVDDAALEHLAKCSELRILKLGLCSSISDKGIAFISSNCEKLVELDLYRCNSITDDGLAALVNGCKRIKLLNLCYCNKITDTGLGHLGSLEELTNLELRCLVRITGIGISSVAIGCKSLIELDLKRCYSVDDAGLWALARYALNLRQLTISYCQVTGLGLCHLLSSLRCLQDIKMVHLSWVSIEGFEMALRAACGRLKKLKMLCGLKTVLSPELLQMLQACGCRIRWVNKPLVYKD >Sspon.06G0004480-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:11447035:11449122:1 gene:Sspon.06G0004480-4D transcript:Sspon.06G0004480-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIVDMANAQLQQAAGAGGQHEMEEAAGDTNTMGSMSCKRSEQLESSYDYGACLMKGVRHLSDSGITRLPDGYVLPASDRPGVLAASSTAAGVGRVKLPVVDLAGLRDPSQRAAALATLDAACREYGFFQVVNHGFGSDVSGGMLDVARRFFELPLPERARHMSADVRAPVRYGTSFNQAKDAVLCWRDFLKLVCQPLREVAPYWPQQPADLRDVATRYATASHALFMEVMAAALEALGIPQQTAGGGVLGELAAASSHMMTVNCYPACPQPELTLGMPPHSDYGLFTFVLQDHVEGLQVMHDGRWLTVDPIYSNGRYKSVLHRVRVNSTRPRISVASFHSLPAERVIGPAPELVDEQAGNPRRYMDTDFATFLAYLASADGKNKTFLQSRKLPTPAAAAAACL >Sspon.07G0007210-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:12255558:12259513:1 gene:Sspon.07G0007210-3D transcript:Sspon.07G0007210-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVQTICHRSCLRLAPALALSLVVVARTIPNSIPVIWFLEAEKMVLKTELCRFSGQKIYPGKGIRFIRADSQVFLFANSKCKRYFHNRLKPAKLTWTAMYRKQHKKPYSRSIVGASLEVIQKKRAEKPEVRDAAREAALREIKERIKKTKDEKKAKKAEVTKSQKAQTKGTVQKGSKGPKLGGGGGKR >Sspon.02G0032400-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:120328989:120332583:1 gene:Sspon.02G0032400-1A transcript:Sspon.02G0032400-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTERPEPTKRGPYSPQNVGRGSKRIVSGNKTAMATRCLAGSLPLISASTAATAAAAAARAQPLPSAAAPRRVSTRLSAATSGEQQLITAQDPAHEPDYGVVSLHHVGILCENLERSMAFYKDLLGLEVNPARPNDKLPYRGAWLWVGPEMIHLMELPNPDPLTGRPEHGGRDRHTCIAIKDVLKLKEIFDKAAALKYIPLIPFLKQTKNH >Sspon.06G0011990-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:52492895:52494024:-1 gene:Sspon.06G0011990-2B transcript:Sspon.06G0011990-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450 hydroxylase, Anther cuticle and pollen exine developmen [Source: Projected from Oryza sativa (Os08g0131100)] DMIAAATDTSSVTNEWVMAEVIKNPRVLRRVQEELDAVIGRDRMVAESDLAHLPYLRCVVRESFRMHPAGPFLIPHESLKPTTIMGYHVPARTRVFINTHALGRNPRVWDDVDEFRPERHLPAEEGGRVEISHLPDFKILPFSAGKRKCPGAPLGVALVLMALARLFHCFDWSPPDGLRPEDVDTQEVYGMTMPKATPLVAVATPRLPPHLYGGSAPSRGGKQIKSMVIRASLVVAMANRVTEKSASTHPASRAGLFVRLAGLFVS >Sspon.06G0008350-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:37979285:37988078:1 gene:Sspon.06G0008350-2C transcript:Sspon.06G0008350-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSPSLLSSRLPSPATLFTKKPASLRSVSSATARHGVRVVVAAASAAAAAPVSAARVRPSAAEVARTVVELAASGTLSVVGPDGWPLGVGARFVTDAAGAPALCLAAAGVAAPDAPSSFHVEFRQSGARTPQCTMLGALIKPSDESVLKKLSIRWQKKFGEEIDQDLLYLISVDRILHMEDFNEDGMWVVPSEYTSAEPDPLRNFAENIVEEFNSKNAEDVHRIYSIYVESDLQVADVKMIWVDRLGFDFHVHSGEGVFAVRIPFSREVSDEKGVKSSFNMMSHHAWEVEKSYASPEFEKVQFLKKHNAA >Sspon.08G0016210-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:60973093:60977170:-1 gene:Sspon.08G0016210-3D transcript:Sspon.08G0016210-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMATKAAAATVSLDLAAGPRRRHRRPSSAARPFARPAAIRGLWARGRREGAAVVPLAAAAAPAVQAGAEEIVLQPIKEISGTVKLPGSKSLSNRILLLAALSEGTTVVDNLLNSEDVHYMLGALNTLGLSVEADKVAKRAVVVGCGGKFPVEDAKEEVQLFLGNAGTAMRPLTAAVTAAGGNATYVLDGVPRMRERPIGDLVVGLKQLGADVDCFLGTDCPPVRINGIGGLPGGKVKLSGSISSQYLSALLMAAPLALGDVEIEIIDKLISIPYVEMTLRLMERFGVKAEHSDSWDRFYIKGGQKYKSPGNAYVEGDASSASYFLAGAAITGGTVTVEGCGTTSLQGDVKFAEVLEMMGAKVTWTETSVTVTGPPRQPFGRKHLKAIDVNMNKMPDVAMTLAVVALFADGPTAIRDVASWRVKETERMVAIRTELTKLGASVEEGPDYCIITPPEKLNVTAMTLTTTTGWPWPSLLPPAPTSPSRSGTLGAPGRPSPITSMC >Sspon.02G0005400-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:15775079:15778935:-1 gene:Sspon.02G0005400-2B transcript:Sspon.02G0005400-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMDVAGAEAPVKGKFLGILVCWLLGNGSLFAWNSMLTIEDYYSHLFPNYHPTRVLTLSYQPFAFGITLILTFYEAKMNTRLRNLAGFSLFFLGSFALIILDVATKGHGGLGVFIGVCIISAIFGIADANCQGALVGDLSLMCPEFIQSFMAGLAASGVLTSALRLITKAAFESSKDGLRIGALLFFSITCLFELVCLLLYTFVFAKLPIVKYYRAKAAAEGSKTVASDLAAAGIITEQQGQIEEDPQKYKRLTTKELLMQNIDYAIDIYLIYVLTLSIFPGFLSEDTGEHNLGSWYALVLIAMYNVWDLIGRYVPLIPCLKLTSRKGMMVAVLARFLFIPAFYFTANYGDQGYMIFLTSFLGLTNGYLTVCVLMEAPNGYNGPEQNALGNVLVVCLLGGLFSGVVLDWLWLIGKG >Sspon.01G0015820-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:46988811:46991531:-1 gene:Sspon.01G0015820-1T transcript:Sspon.01G0015820-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEECHRRGLARAIGVSNFSCKKLEYLLSFAKIPPAANQVEVHPHCRQNKLRAFCRVKGIQLCAFSPLGAKGTVWANNSVMECPVLKQIAHQKGKTVAQVCIRWVSEQGDCVIVKSFNEKRMRENLDIFGWELTEDECRKISCLPESRGTFDFFVHESGPFKTAEEFWDGEIVAGQSTNQIGVRLDPTNLQ >Sspon.01G0011390-1P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2C:10557917:10558171:1 gene:Sspon.01G0011390-1P transcript:Sspon.01G0011390-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LPSQEVRVQNPSKEKSAVRTAAEVIPDKSAETPAAHDETTVAVKETSETPEVKEPSEKPEAEDSSSAEESSEVAEEAADEIPEIK >Sspon.02G0025860-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:89821900:89823298:-1 gene:Sspon.02G0025860-1A transcript:Sspon.02G0025860-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VGKLADLVLWKPSFFGAKPELVIKGGAIAWANMGDPNASIPTPEPVVMRPMFGAFGKAGSSNSIAFVSKAAKEAGVAMEYKLEKRVEAVGGVRHLTKLDMKLNDALPKIEVDPETYTVTADGEALTCQPAPTVPLSRNYFLF >Sspon.06G0021490-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:16750648:16755775:1 gene:Sspon.06G0021490-3D transcript:Sspon.06G0021490-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SPPMEIPTAVIHRLQSSLREATFAPSVEDAPAPPFPSVADAVAAFDSGAASVSAELRCVRCGAAGGLLRGARSALCAYCGCPRRGEEAEGGGSGGIAFRDGAAYRWLLGSLGLDGSVSLPLIQEFVEFDTDTTASNKSKDAPPPNSGMIISDLLDLKITCLPENKETSASSITKEQSSSVDTLNLSAANLDSFFVERKEEMTSASLLQTHNVEQEKKRTDSKNHESRLEVHAASKSLISSQRTNQIEATPAFASWDADFQSASSESAAKNFKQPDLFKSSSEAKSFSFPASETATKPVVGTENKTNTRSAILEHHSEDLASESGTLFGDDLSNQKVTQPIFESNSAPEFTESSLHMNFAKSDQLHGRDDTGVNDDEAFDEWQEFTASGNQGSLSNAGEHMEEPLKRDSSEKDVIDPLPVGNKESTNNVIEDSSEWQAFASVSGQGRDFVTSVEQSTSGHGVGKVEAQNVAEMVKETNDSFDNWQDFTTTSQVQDTSLNQTGDMMEVPKASHKETDMDSWFIGNFREPANTGTVNENNMLDDWQGFTGSDQAQLNSSSTGGEMISVPFEQHDGSVSVQSWANASSNDAAKTSSTNIESDTFDVWQDIAKSGHLQENMSSLGRELSSVSPEPAKENDSLDLWLTSDFKESKSSDVVGKTNASSDGWQDFASFDQAQRSTKIPVEGHLVKDPSGAETMDLWVSSHANENNLEQANENNDLFDDWQDFQNSRPQQTTLQVSSDASLFDIASASRPDAFGELEFGSVLQLASSENLKDKKEASNEAKAFPSDD >Sspon.01G0020310-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:79544450:79564173:1 gene:Sspon.01G0020310-2B transcript:Sspon.01G0020310-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMFLLVALSASQMASSLRPSAGLGVCRPSGYLPGWSGHCEKSNDPDCCEDGKKYPQYRCSPPVTASTKAVLTLNSFEKGKDGGGPSECDNAYHSDKEKVVALSTGWFSNMARCGHRIKITANGNSVYAKVVDECDSVEGCDEDHNFEPPCDNNIVDASPAVWDALGLDQNVGMVDITWSEDGYLPGRSGNCEKSNDPDCCEDGKKYPQYRCSPPVTASTKAVLTLNSFEKGKDGGGPSECDNAYHSDKEKVVALSTGWFSNMARCGHRIKITANGNSVYAKVVDECDSVEGCDEDHNFEPPCDNDIVDASPAVWDALGLDQNVGMVDITWSEDGYLPGRSGNCEKSNDPDCCEDGKKYPQYRCSPPVTASTKAVLTLNSFEKGKDGGGPSECDNAYHSDKEKVVALSTGWFSNMARCGHRIKITANGNSVYAKVVDECDSVEGCDEDHNFEPPCDNNIVDASPAVWDALGLDQNVGMYRCSPPVTASTKAVLTLNSFEKGKDGGGPSECDNAYHSDKEKVVALSTGWFSNMARCGHRIKITANGNSVYAKVVDECDSVEGCDEDHNFEPPCDNNIVDASPAVWDALGLDQNVGMVDITCGWLNIDPNEAKTETTLQHGEEHFAIM >Sspon.04G0013260-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:54208593:54209659:1 gene:Sspon.04G0013260-1T transcript:Sspon.04G0013260-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIWKSKVLPKIKLVFVKSSGKKAAAAAELVKSFDESKEGINGEFQEKKADLQPKVVEIYESAPAPLKVLIKERSKVSGIKKNSAAITKFFEELTKIEFPGAKQVSDGISKVGPALLSGPIFATFEKVSTLLPVAAEEAPAAAKEEAAVEEKKEEAAVEKKEEAEEEKKEETSAPADETAAAAETAPPADAAAAEPTAEAAPAEAAPEAEAAPAEAEPAGRGGDTQGLAS >Sspon.05G0004350-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:8040047:8040977:1 gene:Sspon.05G0004350-2C transcript:Sspon.05G0004350-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLDLGTAATRYVHQLHHLHPDLQLQHNYAKQPEPSEDDPNGSGGGGNSNNGGPYGEHDGGSSSSGPGAGDAPGGSGGNGEMVARRPRGRPPGSKNKPKPPVIITRESANTLRAHILEVGSGCDVASPRTRAAAARRLRAERQRRGHQRDAAAAVGAHGRRRDAAREVRDPVALGFLPPAAGSPRRHQPHHLPRGGQGQVVGGNVVGALYAAGPVIVIAASFANVAYERLPLEEEEAQAAPPGLQMQPSGGGADGAGGMGGGPFPPDPSAAGLPFFNLPLNNMAGGGSQLPPGADGHGWAGARPPF >Sspon.05G0028990-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:69226106:69231265:1 gene:Sspon.05G0028990-3D transcript:Sspon.05G0028990-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQFRQQYGGGFSDSRGHHHQALPDWHRPHHASAKPSSRIRRPGKPAPRRRSPAAAAAVAAALLLLAAVFLLSRRISRSPAEISQDSSAGEALPEWNQSKSWKELKFGHGGGGRSARDSRYWDRDDRRRDEDYTEDEKEKISGGSGTSADAGGSGDKGTTSEAGGEDKGLTLETGSGAKDVLEASEGGKGGTLYNEGGRKELEQYEAAAMGATGAGVREVDPDDEYDDGIDAQDDLEDVHLHSSDGGRKLGGGSLESAEKKDEVATERHTEAGGGIADSHDISSPDKKKVSGTGDKKHVSKKKPKRKKSGSTCEMKFLNSTAQLVEPARNEKFSNFNLEYVEVEERPVESEYWEPRFAGHQSLQEREESYKAHDQQLKCAFVKGPNGTSTGFDISDDDRKYMSKCHIAVSSCIFGNSDRLRTPFGKTITSLSKKTVCFAMFLDEVTLQTLESEGQKMDSMGFIGIWKIILIKNMPYNDMRRVGKIPKFLAHRLFPSSRFSIWLDSKLRLQTDPILILEYFLWRHGYEYAISNHYDRHCVWEEVAQNKKLNKFNHTIIDQQFEFYKADGLTKFNPSDPNKLLPSYVPEGSFIVREHTPMSNLFSCLWYNEVDRFTPRDQLSFAYTYLKLRRINPDKPFRLNMFKDCERRSIAKLFHHRSEERHSGAQLTR >Sspon.01G0025570-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:90092575:90093844:-1 gene:Sspon.01G0025570-1A transcript:Sspon.01G0025570-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAISMYRGSLHIGGYDSGAPAPRRWEAPRPALDAKRFRRLLRNRSRAVARLAGTPPRKVSQSSSDLNGGRGAADPEREAPDEEEANDGGEEEQGQLQQDQDQEHQQHQEEQGNGEAEQQQQEQQQEEEEQEEGAVEDADMEDAGEVVVEGEGNGDAEEGQGESEGVDPNLEEVSYPDGIDEKKRKLNEKLDILNKKKHGLVQMLKQVSICAIIYIS >Sspon.07G0016590-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:63998348:64002614:1 gene:Sspon.07G0016590-2B transcript:Sspon.07G0016590-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAAGDGDGDHLAALLRRQASLHSDADLAYRLQVAEAIQASLRARPSRDAAASSSSSPSSSQAAARPCWSGASVPHYRILFKGMTSKEVLGPMDRDPGVAVAVLAAAVVGPLGDVLFMTQKPVEGFVGGRKTIEVMALMEGLHAALRLGIRNATILTDYMLLHNHMLGIWRPKKKLADMIDQVMSPRRQFFDRCEITLVEHSEVSYVLKLARDYIDAQITKALAERRERCTICLEATEVSKIHAVEGCAHRFCLSCMKEHVRIKLLHGTLPSCPRDGCTSKLTVEGSKVFLSPQLLEIMEQCIREEQIPPTQKIYCPYPKCSALMSLNELMKPMQGTCSNYTVADVVTLRKCVKCRGSFCFRCKVPWHDRMTCNDYKRRYPHVGPEDAKLQEKVLACDTGTSSSSSSSSQAAARPCRSSASVPHYRILFKGMTSMEVLGPRDQDPGVAVAVLAAAVVGPLGDVLFRVQKPVQGFVGGRKTIEVMALMEGLHAALRLGIRSATILTDYMLLHNHMLGFWCPKKKLADMIDQVMSLRRQFDRCEITLVEHSQVSYVFKLARDYIDAQIAKALAERSERCNICLEDTEVSKIHAVEGCAHRFCLSCMKEHVRIKLLHGTLPSCPQDGCTSKLTVEGSKVFLSPQLLEIMVQRISEEQIPPTQKIYCPYPKCSALMSLSELMKPMQGTCSKYTVADVATLRKCVKCRGSFCFRCKAPWHDRMTCNEYKRRYPHGCPEDARLQNLAQQRLWRQCVRCRHMIEFAEGAAMNSATHVGVNGRRRKQLAPAHCGRNAISSGMIMRMMTTRTRTRTRITTMKKMLTMEEDEAFAITWFTSLFMEGLFTRTSPHPTPPPIFQNLCNAGL >Sspon.03G0023300-2D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3D:56223733:56224155:-1 gene:Sspon.03G0023300-2D transcript:Sspon.03G0023300-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding APARDAAHGARQGQRRVEPRGGVEVPALGLVGAQRSRHAAAAAVCWVLLLPPHPLLHRLRAQPAQPVAVEGRADVADVRRRAVLAHAAARAAHRLHLLVGAGGHRLGCGRGGARGHDVGAWIAAAAAADDDEFLQSACDF >Sspon.08G0024190-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8B:62595663:62601271:1 gene:Sspon.08G0024190-1B transcript:Sspon.08G0024190-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding KNSPYKKLRDEWPEFVRYKTSEEGEERVRRNQHNAQKKASACGAFVPNREKDELSVRKMFTEKHHYGNWEVPLSIVQYPWCLQQGPENNLCGYYVCEFLMEYSWRTPEEILEELENRHFISPKSPESRARTLGGRERGPPNPLYRSNSNAVANVLTPPSDHHHVHKQQLSGVVAAVLNIGRRLGRAVGDVFGRLRIDDTFYSIGGMPKQRRRNAGEKNGGSGRSVAGASAAVSKDVESSVVVKDDMWRAEASHSSNNMERHIAARWQQGHGASHNSMETAATAWSVAQQFGDTAEGHHLPAAGCATARAAIRTGRATSPPRVAMGTEESRIAARGIRARAALSPIHEQKGTPSPPCRLQIWLAWSHYDHRHLAATATGEAAAAKRK >Sspon.08G0022590-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:52145746:52149127:-1 gene:Sspon.08G0022590-3D transcript:Sspon.08G0022590-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKGCLKRLQKEYHALCKEPPPQIVARPLPNDILEWLHPESWNPMWSVASILTGLLSFMMDDALTTGSIKT >Sspon.03G0022070-5P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3D:37440794:37441864:1 gene:Sspon.03G0022070-5P transcript:Sspon.03G0022070-5P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSSSQSQSPKTPSPRGGMGGGGGAAEHARSASEPWLVAAAAASASDDSCVNDVDNFARTVAAVKSKAASCARPDMLASVLSHYAAKWLPDVAPPLASSPASSVSGRFLPPESPTATWLKKRLLLESLVAALPPDPPAAGAGGGCCAAAAAVATDDGITCDFLLKLLRAGSMVGADAALLRDLEARAARRLDQATLGAVMIPAFGHAGREHANSALLLDVPLVLRLVRGFLKEGAAAGASKASSGAAIIGGGGAAAARVARLVDAYLAEAALEAGLRPAEFEELARAVPAHARPADDALYRAVDTYLKVHMRSLSIPGSSSIALMTRWHTMRACVPILLAEKATATNNIAASACQC >Sspon.02G0011500-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:30681012:30681475:1 gene:Sspon.02G0011500-1A transcript:Sspon.02G0011500-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GGPDQMRNKLWHIVDVRDTADALLLVYETPEASGRHICAPHFISARDLLDLLKTMYPDDYPFISKESIYDMDHPSPMTSDKLKKLGWKVRPLKETIAETVEFCQQAGFLADLEGTPCRFPPLYNII >Sspon.02G0023770-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:83965461:83967391:-1 gene:Sspon.02G0023770-2C transcript:Sspon.02G0023770-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALASQVAANQPPLLSSPARRLPRASSSNALLLLQPAAAVLGGRNLMLAPTASARRAQLDAVVVRASSEAKAAAETKSSGGGGEGAEEEERPYEEYEVTIQKPYGLKFTKGRDGGTYIEAILPGGVADVTGQFEVGDKVLATSAVFGEEIWPAKGYGQTMYSIRQRVGLLYLKMERRFGKVDGDGDLTEKEIIRFERNSGVVSGRVREIQLQNYTRKMEQKMQREEDLRMGLRLYKDGKYEEALEKFESVLGSKPEPSEASIASYNVACSYSKLGRIEAGLSALEEALKAGYEDFKRVRTDPDLANLRKSEEFEPLLKNYDESFINENAINAIKSLFGLIKN >Sspon.04G0029510-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:74910056:74910259:1 gene:Sspon.04G0029510-2C transcript:Sspon.04G0029510-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding NEQREGVVAGGGGVAGGPGGDADQQRQARRGHRGGPGRHQRGARVQRPPCPRLLRHQRSRPLHARRWL >Sspon.01G0007940-1T-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1D:21426838:21427641:1 gene:Sspon.01G0007940-1T transcript:Sspon.01G0007940-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTHARVLVSIHYTPHPAISVAEAARQFPKATASSGAGRGSDRRAIAYAAVAAGGSWLPHEVRERRRRRARTRRRSWTRRRRRSPPPGSRQSSATASWCCGCTRRSTPATRAGRRSCWRPTSSGGSMGPPTRQHMMRLLTGADKNRGGDGGGGGGFVFSPRSVDAFGSTVIAEGADETRQLYWVHAWTVGPDGVITQLREYFNTDLTVTLLSGTASSAKKADIAGAPPKQQDAASASSSSSSSPTAAAGPKCLWQSRRADSAHKSLPGL >Sspon.05G0013230-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:37361031:37361722:1 gene:Sspon.05G0013230-2B transcript:Sspon.05G0013230-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable cysteine protease RD19C [Source:Projected from Arabidopsis thaliana (AT4G16190) UniProtKB/Swiss-Prot;Acc:Q9SUL1] CDPSEPRSCDSGCNGGLMTTAFSYLQKVGGLEREKDYPYNGRDDTCKFDKSKVVAQVHNFSVVSVNEDQIAANLVKHGPLAIGINAVFMQTYIGGVSCPYICGRHLDHGVLLVGYGSAGYAPIHFKEKPYWIIKNSWGENWGENGFYKICRGPHVQNKCGVDSMVSTVTAIHSSKKE >Sspon.01G0012370-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:33297508:33301009:-1 gene:Sspon.01G0012370-1P transcript:Sspon.01G0012370-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLRLPLLRHLAAATALLAGFSSLPPLAEAYDPLDPNGNITIKWDIMQWTSDGYVAVVSIYNYHKYRHIQAPGWNLGWVWAKKEIIWTMVGGQTTEQGDCSQFKGNIPHCCKRDPAVVDLLPGTPYNMQVANCCKGGVLNSWIQDPVSAVASFQISVGRSGTTNYTVKAPLNFTLKAPGPGYSCGVAHVVKPPTKFISQDGRRTTQAHVTWNVTCTYSQFVAQRAPTCCVSLSSFYNETIVNCPKCSCGCRNTRPGSCVEGNSPYLASVVNGLGKSSMGPLFNYKEYWRVKITVTNWNYRMNYSQWNLVVQHPNFDKVTTIFSFNYKSLNPYGNALAKYRYFAADDTGMLWGIKYYNDLLMVAGPDGNVQSELLFRKDPSTFTFEKGWAFPRRIYFNGDSCVMPPPDAYPWLPNSSPVLLLKSSSLVLPIAIWMAMLFLRLRKDDSGVLIGMLNCAPASKLAPSEC >Sspon.07G0033790-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:61583660:61589247:-1 gene:Sspon.07G0033790-2D transcript:Sspon.07G0033790-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATIQSVKARQIFDSRGNPTVEFVVRGELEVDVCCSDGTFARAAVPSGASTGVYEALELRDGGSDYLGKGVSKAVNNVNSIIGPALIGKDPTAQTEIDNFMVQQLDGTKNEWGWCKQKLGANAILAVSLAVCKAGASIKKIPLYQHIANLAGNKQLVLPVPAFNVINGGSHAGNKLAMQANFELNLIPYLVIFVCNEFMILPTGAASFKEAMKMGVEVYHHLKASVIKKKYGQDATNVGDEGGFAPNIQENKEGLELLKTAIEKAGYTGKVVIGMDVAASEFYNDKDKTYDLNFKEENNDGSQKISGDSLKNVYKSFVSEYPIVSIEDPFDQDDWVHYAKMTEEIGDQVQIVGDDLLVTNPTVNQIGSVTESIEAVKMSKHAGWGVMTSHRRARSRQGLPVDQNVLLSTTRQLLRIEEELGAAAVYAGAKFRAPVEPY >Sspon.02G0027760-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:100767621:100768196:1 gene:Sspon.02G0027760-1A transcript:Sspon.02G0027760-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AAAMAMALALGSDAAERKMKVAEVALRALLCGLGALAAALVATDTQTRTFFSLQKKASYTDMKAMVFLVAATAAAAGYSLLQLAARCCVALLPSSSSRGGGGMVPGRPLAWCVFSCDQALAYVLMAAVAAALQASVVAKRGQPEMQWMGICALYGAFCRQAGAGVASAVAAGLAAVLLAFLSAFNLFRLYGT >Sspon.01G0042580-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:67240591:67255292:-1 gene:Sspon.01G0042580-1B transcript:Sspon.01G0042580-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADRGRMKGVQGAGGGAGDEEEGNEEAREELELALSLGRRGWHLPPRQEPAPRSLNWTAVLPEWNPDAAGSSQGAERALGGQSISSLGIRDMLGGILDGPHAGGSVEVGWDNLDEEDEDRDLQNKRLRVRRFGEESPLHSGASATPFGSDSSFLPISDECVHLKLSRFPEHELEFGLSLFPNDGSESPRDANNEQVDNAENSGPRNSEDVGIRMDLSDDLLHLLLIFAVVTRVHLKTLTMGKGQLGEAFFLALAECPLLTALTVTDASLGSGIQEVTVNHDGLRELHILKCRALRISVRFADLNLRSPVLSYIKVSRCSALHRVSITSTTLQSLSIVELNSSSLACLSLAGCRSMTFLRLSCPNLQHVLKLSACKYLSDSSLDALYREGALPLLVELDLSYSSIGQNAIEDLLACCTNLVNVNLNGCTNFQELVCGSDDSSSVDMPVDFCPPSSSPIKSEEISERSGRLLEVLSCTGCPNIKKVVIPSIANFLHLSKINLNLSTNLKEVDLTCSNLFMLNLSNCSSLEVLKLDCPRLTNLQLLACTMLQEEELESAISLCSALEILNVHSCPKINALDFGRLRLVCPSLKRIQSSLIS >Sspon.01G0046470-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:98385548:98389403:-1 gene:Sspon.01G0046470-1P transcript:Sspon.01G0046470-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAECRYELAQAAYIKLALHALKYPATAVNGLLVGRLVEPSSSPAVVSVIDAVPLSHHPHHLPLLPTLELALTLVEDHFATQGEGLAVVGYYHANPRCDDTDLPPVAKRVGDHIFPILPPLRCVVDNKKLEEAVKGKSRDPVVQLYTRDSSKSWRQAGSDGSSQLVLKEPSTNVVLADHVTTKKWEKTVDFDDHLDDISKDWLNPGLLD >Sspon.02G0013840-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:36658677:36661028:-1 gene:Sspon.02G0013840-1A transcript:Sspon.02G0013840-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRNLWPVLLILICFLVCPVRIHGFLWNIFSSSSAGTDGNHAPVMELDGAVADFAMDGANDPRGLKLLENAQNKLAGPRNCWQEAYRKLFASCGEIMADKERQSRLAWHLSSCFQEDSGRPPFPSCVEGSKMVHCRKRLSESEGKVFLEFFLETNTLCHQLQAEAFKHNTERLVNDLTRTSKSAEEKLEVIEKRSDQIMKESSKVKDTLSSIEMQADKLVEKSKHVGEQINDVLVHSKSIFQQSKEIATAQKELSKGQSEMREKIEAGMARVEEKYEKLGNGMDKLTEETGNMQREIKNVSESMSSKMKDLQNTADDIGSATGKSLENQRQLLNGQNQAMDGLNKLHSSQAQALEESRQTMQKLAQFGQRQQEELLSRQEEIRQAHEHLILNSHSILEAQEEFRAKQANIFAALDKLYILHNAILAESRFIKAFFFYCCIVFLIYMLTSAKQTFSIRGQLYFGLCITLMLEIGLIKVGADDFDKQFWVMSKVFLVRMAFLGAATVQILHSIFTYRDYEVLNHGLLQTLVEKVRALEENAGGRALSYSSESEESLRDYSWVFDELVDEVDSKMDPTYVLPPERSPPTRRRNQIVVAEEIGENSITASVSRKYNLRPRK >Sspon.05G0021010-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:84009455:84013433:1 gene:Sspon.05G0021010-3D transcript:Sspon.05G0021010-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFFSIRASLLRLLLVLFFATAATSPAAAQSQPPSPARILDAALQDYAYRAFARPRTGIVYNATLPGNLTGIAVSAVRLRSGSLRRKGFAGYFQFGVPTGVLVQPYVERVVLVYHDLGNWSDYYYPLPGYTYLAPVLGLLVYDAANLSAVGLQELNVVASGGPISVSFGGNVRTVPAGSAAPRCVVFDLDGMPQFRDLVATDVCSTYRQGHVSIVVNSSGIAPSPPPPGAIAPSIPTPGGNKKGSSKAWKIACSVIGAAIALGLLAALLLCLVRYRRDKKLQVMERNAEVGETLRMAQVGRTQAPVALGTRTQPGSGLGGNPQLAAAV >Sspon.01G0007810-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:18062725:18068247:-1 gene:Sspon.01G0007810-2C transcript:Sspon.01G0007810-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHKWKVAEGGGCAGGIAGGGGGDQRRRCVVASLSTLIAATLAFLAYVAFFPDDGAHGLYRLWRCQDCAAGDLGEFPGDDAAADGPSAARVARSPTTLSHVVFGIGASARTWDQRRGYAELWWRPDQMRGHVWLEEEPVSPWPAATCPPYRVSADDASRFGDRASASRMARIVADSFLAVAAELGNGTAARDRDEVRWFVMGDDDTVFFPDNLVAVLRKYDHEEMYYVGAPSESVEQDLMHSYGTAFGGGGFAVSYPAAAALAEAIDGCLDRYRFFFGSDERVKACLSELGVPLTREPGFHQVDIRGDAYGMLAAHPLAPLVSLHHLDHVEPITPRGKTALEAVRPLVGASRFDPARVLQQSFCYQRGPGYVWSVSVAWGYTVQVYPWAVAPHELEVPLRTFRTWRSWADGPFVFNTRPLSPHDACARPAMFFLSRVRNETARATVTEYARHAAAPSSEKGECDKASFRAASTVHTVRVIAPRMSESDWRR >Sspon.08G0008340-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:28061813:28066325:1 gene:Sspon.08G0008340-2B transcript:Sspon.08G0008340-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFGQDSAMPTQISTRQSLRFALPSDYARPISTAVRMTCFAKKQPIAAVPLSSSLEDKRYEPVVKMCGITSAIDAEMAVKAGAKLIGMILWPNSKRSVPLSEAKEISRVAKSYGAESVGVFVDDDNSTILRSSDSCNLDLIQLHGDSSRELLPLLWKNNRIIYVLNADEDGKLINAPPSEEYVLDWFLVDSAKGGSGKGFNWEKFQMPTVKSKNGWLLAGGLHADNVHQAASALKPNGLDVSSGICYPDGDPGYLWSALAEQAAAIESRGEPPCRDAVRLVLVEAQAASFEVPDGVGRVRVRRRVEATEVELPVPGDDVAEVVVDGEANLDRLESVDVGYRSSAVCE >Sspon.01G0028440-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:112386709:112387798:1 gene:Sspon.01G0028440-2B transcript:Sspon.01G0028440-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CB5-A [Source:Projected from Arabidopsis thaliana (AT1G26340) UniProtKB/TrEMBL;Acc:A0A178WMK3] MPTLTKLYSMEEAALHNTPEDCWVVVDGKIYDVTKYLEDHPGGADVLLEATGKDATEEFDDAGHSKSAKELMQDYFIGELDPTPEIPEMEVFRKEQDTGFASKVMDKVVQYWAIPAAVIGISAVVAILYARRK >Sspon.06G0015320-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:80935037:80942296:1 gene:Sspon.06G0015320-2C transcript:Sspon.06G0015320-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKIARTAGRMTGRAVGRLIVARRQLDEILGQSAATQVHKELKEAMTQLDSIRYEVQNLSRLTPGQFMMKQHNTGAGMAEAGKSDSSDGSATKDMKMANSGLTNLHSQAMTYARLSEAPGLKMGSSSSGNYPEQFKESNGLLNVLPISAESAGLLPSRPYGPTGSDLVLEAVLEAEVAENAKLLMINLRSEERNIVRITIEHVLVLLDQAKLGADGVLTK >Sspon.01G0028840-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:100409830:100417157:-1 gene:Sspon.01G0028840-1A transcript:Sspon.01G0028840-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSTTLRPLVPAAASPSPAHLTTCSSPAAGRRAPAAAVAVRAVRNYDSIPKREPFSSSRSILDEFLRLDKPLVQRTKDQITDYCTTTEGDECCSCWDAYFELNKLEQELPKEEISRMVKDSEGDVRYLIESIHHRSDLRKKMAEKARNSVPSSSQGQTAKPRPFPVPDGIPKTQEELAEEEEALMPESPYTRLLRRMGRYPDWYTPRPDHETD >Sspon.02G0022230-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:74232976:74244344:1 gene:Sspon.02G0022230-1A transcript:Sspon.02G0022230-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGLYSQGFSPARTLSPQIRSNPDADSQYLAELLAEYQKLGPFMQVLPVCSRLLNQEIMRVSSMVHDHGFSDLDRRRFRSPSPMSSPIVRPNLHGNGFGPWNGMHQERFGLPPPGTSMDWQGAPPSPGSYIVKKIVRLEVPVDSYPNFNFVGRILGPRGNSLKRVETSTGCRVFIRGKGSIKDTEKEEKLKGKPGYEHLNEPLHILIEAELPANVIDARLRQAQEVMEELLKPVEESQDFYKRQQLRELAMLNSTLREDSPHPGSVSPFSNGGMKRAKPS >Sspon.05G0027040-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:49104208:49105374:-1 gene:Sspon.05G0027040-1B transcript:Sspon.05G0027040-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDLAALTDDVLADILLRVPSPRDLARASASCSSFRRVISNPHFLRRFGAVHAPPPLGVFCCYPAWGGGVGGSQFHAALPTHPSAVLARALASSADFCFNFLPPAASDWLVRDCRDGRFLLDRVRDGSTAFTEVAICDPLFRRYRLLPPIPNDLAASVENPYVQRGGDGQLQSRSSEIFLVSRSDDSVSEKDTAFTVIWMACCRGKLVAFFYSSESQQWCALSPPEHYALSTRRVMGVQLGQRNHAHGCFYWMVALTHRWLVLDTGKMEFSIVDISPVLTGRAMMFSNQITTLESSNGLTTVVVSDVFRPDRRCILYFYTFLYFSDRWQLLNRITLPEEWGYRFRGIIGAAEGYLFIKLDDPKENLNDQIERHVEYFSLDVKTMQLGSF >Sspon.05G0017200-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:67115894:67117356:-1 gene:Sspon.05G0017200-2C transcript:Sspon.05G0017200-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLVTALVRLGPTTALKELDGSQTCVLLENLSNATDLELVAESRVTIFKQDLKWCPAFNKLKTLILSDWVLGHDVHALKVILQRAPILEKLSSVLQFSRSSFFNFL >Sspon.01G0028860-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:100476197:100479955:1 gene:Sspon.01G0028860-1A transcript:Sspon.01G0028860-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFHLHKFPLMSRSKKLHDLITNKESREASRRGRGAEQEEDAGEIREEEVDVVLEEDEEADVHRIRLPEFPGGAEAFELAAKFCYGVKLDLTPATAAPLRCAAERLGMSDDHSDDNLVSRADRFISQTVLRNPRDAIRALKSCEGLLALADDLGLVSHCVDAVAAKAATSTPTALFGWPIADDARAGDRQRRKTGAAAGATWFDDLAGLSLATFTRVIAAMKEHGVGPEVIEGALIAYAKRSIPGLSRTGRHVGGGGGAAVAPPSSDGEQKALLETVIANLPEETIKSSAHTGTAVGATTARVLFGLLRTANILHASEASRDMLERRIAARLPDAAVDDLLIPSYSYLVETLYDVDCVERIVRYFLEGRDVADEGNQEEGSEAETPGREASRRAMLAVGRLVDAYLGEIATDANLKPDKFCDLAWALPEGARVYDDGLYRAVDIYLKAHPGLSEEEKEKVSGVVDGRKLTLEACTHAAQNERLPLRTVVQVLFFEQLQLRRAIARTIMANEGGAAGSGEEGGESDGGGTWRVAARGNQMLRLDMDSMRNRVQELERECTSMRKAIDKMDRRGGAPVDRGAPSVPADGRWGAIVTRRFGCKFPAQVCQSQQRTEQAPSLKWWKRLLSLTTISRPVTLAMSLTALWQSPQMRRFFMTRSGVPGGVLTRPNAMARRSLWYMSARALASSSMSWSTWRVSGTYPAARICAWSSRTFAYASRRLAWTAASSSLARGRLVGRSSRTRRASPRAPSLRYSVAILCRTGAGIAEPGLDESRRWARLSEASDRVSVQAWRSAACSSGSSCSALPRWSIWSSKLAAASGLGSRRSCPSSSLSNRESMGSGELVVVTEAEGTGYAKRSAGRLRSRW >Sspon.04G0022450-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:10370406:10371978:-1 gene:Sspon.04G0022450-2C transcript:Sspon.04G0022450-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATMSFAAASPLTSTPRGIAAPAPRTAFLSLRLGGVTAMRFAGLAAASQPVERRAAAAVAMAKREQELEEIRAMTTEQLEEEVVDLKGELFLLRLKRSARQEFKNSEFGRMRKRIARMLTVKREREIEQGINKRLSRKLDRKWKQSIVVRPPPSLRENKEE >Sspon.04G0000870-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:13409890:13415031:1 gene:Sspon.04G0000870-2B transcript:Sspon.04G0000870-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPTQGQLLRIGGGTSFLVVVFVYGVRFDLAWEVHGWPVRAAAVAYEPNKPLVIEDVQVAPPQAGEVRVKILFTALCHTDHYTWSGKDPEGLFPCILGHEAAGVVESVGEGVTEVQPGDHVIPCYQAECKECKFCKSGKTNLCGKVRGATGVGVMMNDMKSRFSVNGKPIYHFMGTSTFSQYTVVHDVSVAKINPQAPLDKVCLLGCGVPTGLGAVWNTAKVEAGSIVAVFGLGTVGLAVAEGAKAAGASRIIGIDIDNKKFDVAKNFGVTEFVNPKDHDKPIQQVLVDLTDGGVDYSFECIGNVSVMRAALECCHKGWGTSVIVGVAASGQEIATRPFQLVTGRVWKGTAFGGFKSRTQVPWLVDKYMNKEIKVDEYITHNMNLADINDAFHLLHEVYDEGRSTMIQDKNKSNSRWRLCMKC >Sspon.02G0002770-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:8741527:8742447:-1 gene:Sspon.02G0002770-2C transcript:Sspon.02G0002770-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ras-related protein RABA5a [Source:Projected from Arabidopsis thaliana (AT5G47520) UniProtKB/Swiss-Prot;Acc:Q9FGK5] MAYDGDDGQSQDYLFKIVLLGDSSVGKSNLLARFARNEFYPNSKSTIGVEFQTQKLVIDGKEIKAQIWDTAGQERFRAVTSAYYRGAVGALLVYDISRRQTFDSVGRWLNELHTHSDMNVVTILVGNKTDLKHAREVSTAEGQALAEAQGLFFMETSALDSSNVAAAFQTVVKEIYSILSRKVFQSLEQKKSELQSLSNGKAVVLQGEANQTSSGGRWCCSS >Sspon.07G0018770-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:76946076:76946837:-1 gene:Sspon.07G0018770-1P transcript:Sspon.07G0018770-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSTRARRGGSLQFPVGRSWRHVAVVDAGCGCRPRRATTRLLSQLPSFLRPSPKPPQQPPRSSSRSSSGFFPSSASTASSAASAATFATTHSSYSNYSAYSYNNPIPTAVTKHPAAKTAVATSSRRRQQQGGHHGHQGRRKKRYEKMAAASAAEAAAGEDGDGDVGVAVETESSDPRADFRESMVQMVVEMGLCGWDDLRCMLRRLLALNQPRHHAAILAAFAEVCAQLTAPPPPPQPQPAYHAYDYHYHY >Sspon.01G0028420-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:95661395:95662282:-1 gene:Sspon.01G0028420-2D transcript:Sspon.01G0028420-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to Isoform 2 of MADS-box transcription factor 14 [Source: Projected from Oryza sativa (Os03g0752800)] GNWCHEYRKLKAKIETIQKCQKHLMGEDLETLNLKELQQLEQQLENSLKHIRTRKSQLMLESISQLQRKEKSLQEENKVLQKELAEKQKALRQQVHRDQTQQQTSSSSSSFMIREAAPTTNIR >Sspon.03G0010650-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3A:29092279:29095283:-1 gene:Sspon.03G0010650-1A transcript:Sspon.03G0010650-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding PDSTMGSGDLSSEMERKVLGLTLWVWIAIGVVALLVAILLMICIWVASRRRTKRTMDTLRQTQIPIYSKEIPVDRVGGRSLAQTMHEREQPSLPPPDKYANREPAGATLGHLALSKSSDHDNMSQGSSVCNVDRAGSVHSGEDGSSGPRRKPNSPAAFVSASPLVGLPEFSHLGWGHWFTQRDLELATNRFSKENVLGEGGYGVVYRGRLVNGTEVAIKKIFNNMGQAEKEFRVEVEAIGHVRHKNLVRLLGYCVEGVNRMLVYEFVNNGNLEQWLHGAMHQRGVFSWENRMKVVTGTAKAYVAPEYANTGMLNEKSDVYSFGVLLLETVTGRNPVDYSRSSNEVNLVEWLKTMVANRRAEEVADPGLEVRPSIRALKRALLVALRCVDPDSEKRPKMGQVVRMLESEEVPYREDRRNRRSRTGSMDIESIAEGSNSAEFGKKVERTGSSTSDRSQP >Sspon.02G0030440-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:110808431:110814054:-1 gene:Sspon.02G0030440-1A transcript:Sspon.02G0030440-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPIAAAATALPAAHLARVVAASGSGGATPPRAAPRLGRRGKPGFSRRSAIKKSFHQEQAAHRTGSMLMQGMHGLGGRMATRFVERGEQLVFDHAAQFFTASDERFQRLVDEWLDRGLVREWSGLVGELEAGGRFRPIPSLAPRYIGVNGMRPLADAMLLETDMIKVLRPCWISKLEPFNGLWRLFENGKPHGEYDAIVIAHNGKCANRLLSTSGLPLLTKQMKRLELSSVWALLAAFEDPLPVPRIDSHGAFEGAFVTDVDSLSWMGNNTRKLFPMQIGTPECWTFFSTAAYGKRNKVPQVLFAEIFQFLQYHFVAPAENIPKVTAEKVKEDMLGGVEHALGLSKGSLQQPIYTRVQLWGAALPMNTPGVSCIFDPLGRAGICGDWLTGSSIEAAVLSGMSLANHIADYFVSNGDRPEEFAIGLHEDLNAVEGHHIGQFPGLDTQTQAANDTVLLPRNPRRRGGRGGGGDGRAQDQLVVVIVVVPEDELVVVLVRLAQDELVVVRVAAEVEVVRVAETQPVVLAEVVVVRPQRQLRVLPVPKDQLVARHRRLSPRQETECLT >Sspon.04G0033870-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4C:66869999:66875994:1 gene:Sspon.04G0033870-1C transcript:Sspon.04G0033870-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPRCAKGGVRLAVATSPPLPPAAAAPQAVGRAEFKKVKHHREEARTAPALPPVQPLKLLSPDHAAAAPLTRGDTGREEGQEVLHVQDVQVLVPKGQRFGTDFEQIRGLDHTAALQIGREAVRVRGGVAGHIPWSAAGALLCGEWQAKEVSGAIERRPARARHGYLSGTGKGWGPGLQAVPGHLEDLLEHALETCSAARQIPAQSPRMAPWRRRCLPPDVTACLNFFALALAGPVPCRRTPGRPLPAPRRRPGADGAPTTEPWRPGPCCCIGLAPSLPCGAPDEGREDAPSRRRIRPKHPTANPNSGLRHRLTVTDPIPRKGKMSTPGTSSAAGSQVQAADDVRELLLSTTADASDPSTPLSAPDLRLLIDRLRLRSDRLHASALSFAASNRGALANALLRAASAADSSASFKSSLDSALAPLASSPDLSDLRVLADRLLAARRELAERQEHLAAASTIASLAARLGEARAAASPLDRAAAVAELKTLLIDPDRSGSGQDDPVVFGLLRSDWEQLVDE >Sspon.03G0025560-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:6843727:6850137:1 gene:Sspon.03G0025560-2C transcript:Sspon.03G0025560-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVDDPSPRVGGGRRGPAPHPAAGGIGGEPHRGGEVIQRPSSAVKELVENSLDAGASTVSVTVKDGGLKLIQVSDDGHGIRFEDLPILCERHTTSKLSAYEDLQTIKSMGFRGEALASMTYVGHVTVTTITEGQLHGYRVCYKDGVMENEPKPCAAVKGTQVMVENLFYNMVARRKTLQNSNDDYPKIVDFISRFAVHHINVNFSCRKHGANRADVHSSSTSSRLDAIRNIYGASVVRDLIEIEVSDEDAGDAVFKMDGYISNANYVAKKITMILFINDRLVDCTALKRAIEFVYSATLPQASKPFIYMSINLPSEHVDVNIHPTKKEVSFLNQERIIETIKNTIEEKLRNSNTTRIFQTQAVNSSALTQVCTQKDKGTDVKMASGMKSQKTPVSQMVRTDPRNPSGRLHTYWHGQSSNLEKKSDLVSVRNVVRSRRNPKDAGDLSSRHELLMEIDSHCHPGLLEVIKNCTYVGLADEVFALIQHNTHLYLVNVVNVSKELMYQQALCRFGNFNAIQLSEPAPLQELLLMALKDDELIGDENDEEKLEIAEVNSKILKENSEMINEYFSIHVDQDGNLTRLPVVTWDDEKECFRTAAAAIGNFYALHPPILPNPSGSGVQLYKKNKDCMASGEHVDSTDEDDIDHELLVEAETAWSLREWTIQHVLFASMRLFLKPPKSMATDGTFVQVASLEKLYKIFERC >Sspon.04G0003380-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:10799554:10801510:-1 gene:Sspon.04G0003380-2C transcript:Sspon.04G0003380-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PDE332 [Source:Projected from Arabidopsis thaliana (AT4G09650) UniProtKB/TrEMBL;Acc:A0A178V1L3] MAALRLASFTLRPAAAAASASSGATPAVPRSASFARAARGLPSLRLVPPRRRGDLTRPRAAEAAAESYASALSEVAVENGTLEQTVSDLEKLEKIFADETVAEFFDNPTVPRGEKTQLIDEIAKSYELQPHVVNFLNVVVDNFRATIVPQIVVEFENVYNSLTGTEVATVTSVVQLESQDLAQIAQHVQKMTGAKNVRLKTQLDPELIAGFTVQYGRDGSNLIDMSVRKQIDEITSEFELPDVPLELVLSEGMA >Sspon.05G0000020-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:79817:92960:-1 gene:Sspon.05G0000020-1A transcript:Sspon.05G0000020-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DDB1- and CUL4-associated factor homolog 1 [Source:Projected from Arabidopsis thaliana (AT4G31160) UniProtKB/Swiss-Prot;Acc:Q9M086] MASEEGIPNLRRLLAGCAAFALPLPSIATRVGSWDSLSLLVSSSPNLLLLSIDSTPFDSNSRSPTTRTNAASYPRQRQTLTLILIRCATNGGVGEGGHHATATAAAAAAELGSGPPHEDEDALLARAHTVISRILDRELDPNPRLLHTLATMCELHEARYIELCAADPTFNNTNTRSTSTIGKLANLLRDNDEFYELVFCKFLSDTSYSVAVRAAAARLLLSCHSAWTVSLSSMHLCRVLFLLSALNLFHPFQTVLSFSFCLPFLQPPYPHAFEETIIDNIKKWVTEDPEASNECEWKYLGKNKPTDAEMLRTYAIGLLAMALCSGGQLVEDVLTLGVSAKLMRFLRIRVLGDASSSQRDANHPQDTKYPRGRDDSRGKSRLAQDGSRLDGTRVGYGILLTNPTAEKDNEPGVGMRQAYGERSLDDTVASLDNSDAPEADWTNDRCNDTSGCDAKSKYGEKNSVPKLLKDEEISENGELLKRKLGRAPSRLRVKGKAGDSLPECEMTPLSPTSGLRIGGRSTRDRNVVRIDDPKKATDVNNRSAGLESFSAISEEEYEDRFRDCIIGLKDISDIVLKAVRAAEAEARSANAPDEAVKAAGDAAAELVKSAALEVWKSENNSDAVVEAAEKAASAVVEAAVSTSVSRSANQVGEQRAVEEAVQTSEDQDLENFVISDKAQLLQLREKYSIQCLQILGEYVEALGPVLHEKGVDVCLMLLQRSMKDQEGHDHFAQLPDVLKLICALAAHRKFAALFVDRGGIQKILSIPRITPTYMGLSACLFTFGSLQSTMERVCALSSDTLDSVVELALQLLECPQDLARKNVAIFFAAAFVFKAVLDLFDARDGMQKLLDILCGCASGRSGHNSGGAGSSNVNQGNDRPPAEVLTASEKQVAYHTCVALRQYFRAHLLQLVDSIRPSKSIVVLPGTLLVQELATNLLTLAMKLWMLSFIQRDRKLGLALVRARWPVLDKFVASNGHMTMLELCKFQAHGDRYLRDLTQYAIGVLHIITLMPQPHVRKSIVQGTLSNNRAGMAVLLDTVKSLDYIDHEVICPALNVLVNLVCPPPSISNKPLSTANQQPAAAQALASESRDKNFEKSISDRNLLANQGESRERSGDGNPAERNNTLHQGTSTPVVPSGVVGDRRIMLGVGGGGPGLAAQLEQGYRQAREVVRANNGIKILLQLLGSRMVTHPVAIDSIRALACRVLLGLARDDTIAHILTKLQVGKKLSELIRDTSAQTSGGDSGRWQAELTQVAIELIAVLTNSGKETTLAATDAAAPALRRIERAGIAAATPISYHSRELMQLIHEHLLGSGFTATAAMLQKEAGLAPLPLTAAVLPAHQVAALEASSVQQQWPSGRVQGFLSDKINMSADQSSQKTDSILPSSKKKALTFSSSFSQRALSPHPISGSRASNILKSPVPIAADTGDAEMLNKTPLSLPLKRKLVDVKDNNSASASKRLATTDQMYQPSAFQTPAPTRKSLSVAVDSPTSFHCGRTNFNNVSTDNLDNSQGTPGALTTTALPGVNDQQSGNLERMTLDSLVVQYLKHQHRQCPAPVTTLPPLSLLHPHVCPEPSRSLSAPANIAARVGSREISRQFSGIQIPRRDRHFIYSRFKQCRVCRDEASLLTCMTFLGDASRVAAGNHTGELRIFDCNTANLLETQTCHQHLVTMMESAYSGGNELILTSSLNEVKIWDAFSVSAGPLHTFDDCKAARFNHSGTSFAALSTDTVQRE >Sspon.01G0016690-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:28147265:28154741:1 gene:Sspon.01G0016690-3C transcript:Sspon.01G0016690-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DGS1 [Source:Projected from Arabidopsis thaliana (AT5G12290) UniProtKB/TrEMBL;Acc:A0A178UP20] MATSPPQNPSSGDPSDASSPAAAALEAPARVWRSLVARFPPLPDSSSFLAAVSDLQRRYFGIRRPRRRRRSALPLPLRPAAAHSARIAGEMPKAFVILDDVVQHTLTNLHSIHKSLLFWQAKAEGTNSQKVYFMIFERGPRAFVDTTYQTLTRLGSNGRPVQYILHSASDMVSTKLAALTSMQHCLAAFLAEIHSEVDKCREGLTENSDKSLHTLFIVLNTTFSKLEVSLRNAGEGQDELFTHDGNSYELFGKLPEVDVESSEWTEALATDGTSLVYQNLQKLDSFLSSQLSSHKRPNRFTIYWLPYTCGAVGLSVCSLWLLRHSSLMGSPDIDNWVRDAKESVAGFWDEHVEKPIISIRDELFETFKQRDKGVMEQQEVQLTEDSLRRMLVAFCEQTEGRKLPEDLSVQAMLETLTKRYEKELVHPIQNLFSGELARAMLIQIQKLKLDLESGLLEMDQILRANAINFAVLAALPAFGLSLLLLVLLRTWIQRDHGAEGRGNIARCQRRLLLVDVERRLMEFQHYRDNGMEEEARCKFGLVLYTLDRLCKAVESHAKETGEWLSLREDIFDLAKLDMGTPDKMIVVSRLKWMYNCLLPFSSSRLPRL >Sspon.06G0034150-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:39956594:39958543:-1 gene:Sspon.06G0034150-1D transcript:Sspon.06G0034150-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGPMASVCATSSPLVSAPSACPSKKTIAGARATGGGGRGDNDGLLWLPRRDVMLNGLSGVAAGLAWYPGLADAADCTRADKCTDTEGVLPCPLVSATAPVVDFTPEAKVTRVRQPVHLLSRENQEKYKEALRGAGGHPPAYCDSYYSYHRSSGSAAAKDDPAFDVHFSWIFAPWHRMYIYFYERALGQLIGDDTFALPFWNWDAPAGMVVPPLFSEGSTASNPLYDANRNPANLDALIDLDYLNDKDKEPIPFKGPKDEEYKKLVNKNLSTVYTQYVFSLHFDLLACMQQVRKGAESFLGEKYCTDLGSSTSSMGSLERMAHTAVHVWVGKAGPTPATATCSEASGGVPNHSKPGEYSCNNDMGFLGSAGRDPLFYSHHSNVDRMWHLWSTRLGGGQGITDTDWLDASFVFYDDVESPRKVRIRFRDVLDTRDLGYTYDAEADKDLPWLRPKITSLVPHGTDSGAAARSAAAAPVFPLALTRGQVVEVPAVAVPAREAGKEQLLVIDGIEFDPQANNKFDVAINVPADKALQVGPQYKEYAGSFAVVPGSGAGGTRKGKVSLCITDVLYDLDAEDDSSVDVVIVPRTAAKVTLNVRPTIKNRN >Sspon.02G0044720-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:103810150:103819976:1 gene:Sspon.02G0044720-1B transcript:Sspon.02G0044720-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RIGCSESCPFYTDARFAGTMAESKRFDTKSTGCLEGLFNFLALNQRLQMPKMIAYRKHSEGSNNTLGVKVPKPKTSSEKDENIQLQKETNSDSQTGKSHMFIWRTLMFKKKTPGKDKKKSSSPASSPSSSRLLRSRSIHHSKCFDYEVPDELAAHYHAMNNSGSNEMDSSHSEPPPLSHEIPQHPNVQEPSRACGSMDGKDSIDLEAPCETAPGNLTGESEAPSTQKTRDAATHHSKEFLDFLELFNAHRELFLKILHDPSLLVPPEQQGQEASSSGSVPLNKLESFPRPGGSSGKRNPIFDRSDSEKSRRSEIQRSPSRPTADLEAAKVISTRIPTGVDSSAVSLAESRSLKKAGTASNRFKAISRKIKDVVRENRKELARITKDGVFHKLPYGQKMSGLTRSSSTEKFVQEEKKMRRSYSIAESVDKYSTLYESISRDSRISPEILNITMEGGASLNDNKMPMGLKRITSLPEMRLCSSHQEALPEVSASRIGSKTYNVESDHFSSHGTDAFSICTEGNFYPDDITEQSENIHIELNCVGFLEEDFRRILRSPSLSSLGRSFSHHRINSLPSFDRSFFQDRTRSFTECSIVDSEQPFENLQLEDEDWLVKPPLSAGAYAANFNDEEWLVTPLKHSGVMNGIDREDEEWLVKATQLSGAKDVDLEDEEWLVKSGQPITNDALDSDFRFIHEFSEQGAAEPLHIYVSDKNEADFQYVKDILKKSGFSCGDVDWYASNQPVSPVVFDEAECSCQELSMASDEPHSIVRRMLLFDLINEVLLDIYDSSLVIGPWHSRFDLRTRPIPMGYHVLEEVWAKVSCYLSLHWKEGQTVEDIVAHDLMRKDSWMNLVYDAECTALDMEDLMVEDLLDDVVIQIKMYPDLAEKSAAAAPATVLGVAPEKGHLGPGSRRPWSPARPAMAAAAAPGASATLATADGEKAICVIACTLVVVRSSPCMCTCM >Sspon.05G0030330-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:84359875:84363065:1 gene:Sspon.05G0030330-2C transcript:Sspon.05G0030330-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALDANNILMHIKRILRSSVRLAYQSASDYPVALGIGMLLLFLHRLCPSLFAFFLSSSPVFLLTALLLGALLSYGEPSAPLIREEALEGQKKSSPISKISITECSVVEEVQNVAVTHMSRSFESPVVCIEETSSDNVFHDTHRDEDSIVTSVSADTVCCAEASELAKNEVIVEREEHVKEICDKVELQQFHSSAAEGVAIEEAENVAVKVNVGTRFKCPVMYTGDKTSEGVLLIDTQCDQGNVTSMSTDSVLSAESSGFGKNGIIAEREEEEHVKEICKQVAPPQQSESTVTERCHYEYEVNSQYQFGELMSSCWQPVTRQDPCSDSESDLTESSSDASITDIIPMLDELNPHVDLGTSHPSSAFKDNLNAGSLDEDEDEDDSEEEDNNLSSDEDAEGEEEEKKDDGNSWKGFVDPNSLDAEKDGNLESLMARRRAKNVLKFELDRRLMDMQAADAIQKMEEASRFRVQVPSISTPRPDPSNDSEDTVELPQIPDSAPSVLLPWRKPFDVPFDQTVDRDSRLQETWTPRSGFSSAQGRKRDSLYVRPSTYPQHHNGIKPEKSEFSEKDAVDNHSESDSEEPLDNNGKLFGSLEPHIGDEIKILSAAISDVCVLEANHGINEGSTDSINGTNSFYVQKSMSSTSDVNDSISAGNEQSVLCSLSEEHNTEEHTVEVEEEDSMSEVNSLFKCRMEEVLVQSISESGIGQPLTVKLEHELSDNFLHAEPGIPLIEARSVEDLNSQFAQLKGEALLALSASIPSEALPVENGDTEDGHSSDRSLDDSPVAVKVVEGEGGPKELLPEDGGLPVLKASSVEEMSSLFRHLEEAAAGPALMRAGSLESEHMSVGQHTGETETDDCGVLAPDAKPAWDDTNPTYVQLSIGGGDKIKIPEDGEVIVDNSAKLNSDAGTSGSDDTKVFEVKESLESA >Sspon.01G0058160-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:23987956:23999713:1 gene:Sspon.01G0058160-1P transcript:Sspon.01G0058160-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPREVIVYMDECRSRSLLKFISDAGIVPSLEDELRRERVVRELGKIVMDWAKRVAYEQGKQHWIASATVLTFGSYALGAYGPESDIDVLCIGPYIATLQHHFFVVLRQMLEGRPEVSELHSIEGAKVPLMRFKFNGILVDFPYVQLPVINAAEAMHAFDPHVLENVDGPSWRCLSGVRANRQIIRLVPNMKKFQYLLRCLKLWARRRGLHCHLLGFFAGIHLAILAAYVCRRHPNASINTLLSLFFDIFVHWPWPLPVSLLDPPVLCRGPDGCSLMPILLPCNPPEFCSSSTTESTFSKIKEELRRGYALTKDTRSTDFDWSWLFASFPYGARYKCFLRIVLSAPLDEELRDWVGWVKSRFRNLLLKLESLGVYCDPDPSEQVDHTITEPNVVFFWGLVYRRNIQICTSSLKEDFMKSVCNNIYGKEKCAHSDITMSIVGPPQLPKSIFDLSVYSEKLPQHMMGHQLMKQRYNAVMLLLGTDAEDDMEEVVNGNYTVQ >Sspon.03G0042680-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:71607817:71611078:1 gene:Sspon.03G0042680-1C transcript:Sspon.03G0042680-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAHIGSVDGPPAAASNHTVGCPMASTPAQPAATLSAGEPSLGRHLARRLVQVGVNDVFAVPGDFNLTLLDHLIAEPGLRLVGCCNELNAGYAADGYARARGVGACAVTFTVGGLSVLNAIAGAYSENLPVICIAGGPNSNDYGTNRILHHTIGLPDFSQELRCFQTITCHQAVVTNLDDAHEQIDTAIATALRESKPVYLSISCNLPGLPHPTFSRDPVPFFLTPRMSNRMGLEAAVEATVEFLNKAVKPVLVGGPKLRVTAQDVSTMLRCEQNSIIFLINNGGYTIEVEIHDGPYNVIKNWNYTGLVDAIHNGEGKCWTSKVKCEEELTAAIETALGEKKDCLCFIEVIAHKDDTSKELLEWGSRVSAANSRPPNPQ >Sspon.01G0036210-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:17779820:17787128:1 gene:Sspon.01G0036210-1B transcript:Sspon.01G0036210-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding WRRQRRGGGEFPVGMKVLVVDDDPTCLLVLKRMLLDCRYDVTTCPQATRALTMLRENRRGFDVIISDVHMPDMDGFRLLELVGLEMDLPVIMMSADSRTDIVMKGIKHGACDYLIKPVRMEELKNIWQHVVRKKFNENKDHEHSGSLDDTDRNRPTNNDNEYASSANDGGDGSWKSQKKKREKEDDETDLENGDPSSTSKKPRVVWSVELHQQFVNAVNHLGIDKAVPKKILELMNVPGLTRENVASHLQKFRLYLKRIAQHHAGIPHSFVAPASSAKVAPLGGLEFQALAASGQIPPQALAALQDELLGRPTSSLALPGRDQSSLRLAAIKGNKPHGEREIAFGQPIYKCQNNTYGAFPQSSPAVGGLPSFAAWPNNKLGMTDSPSTLGNVGNSQNSDMLLHELQQQPDTLLSGTLHNIDVKPSGVVMPSQSLNFSRLGISPNQNPLIIPSQSPSFLASIPPSMKHEPLLGSPSPSTSLLGGLDMVNQASTSQPLISSHGANLPGLMNRSSNAMPSPGIRVSSRPPGVLKTESTDSLSRSYGYIGGNASVVSGLLSSQSKNPQYGLLQSQNDVSGSWLPSQDFDSFGNSLGQGHPGSTSSNFQSSALGKLPDQGRGRNHGFVGKGTCIPSRFAVDEVESPTNNLSHSIGNNGDIVNPDIFGFSGQM >Sspon.04G0029880-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:80398112:80401657:-1 gene:Sspon.04G0029880-3D transcript:Sspon.04G0029880-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDTTKVRHKGTAGEPCRIRTQRRSPFHLDPTARLAAALSSNHQSSCRPVHTPPGLSKIRFPPLRSSHMAGELRHRRVPPEDGDGDEGVAASFQRLDSASSSAADGNGKAGTLSGGGGGGGEGVEPRSGKRDALGWLEWGRGWMAVVGEFLFQRIAASHLANPLELPPLDGVSIVVTGATSGIGLEIARQLALAGAHVVMAVRRPKVAQELIQKWQNENSETGRPLNAEVMELDLLSLDSVVKFADAWNARMAPLHVLINNAGIFAIGEPQRFSKDGYEEHMQVNHLAPALLAMLLIPSLLRGSPSRIVNVNSIMHAVGFVDAEDMNLRKHKYRSWLGYSNSKLAQVKFSSMLHKRIPAEAGISVVCASPGIVDTNVARDLPKIVVAAYHLIPYFIFDAQEGCRSALFAASDPQVPEYCEMLKSEDWPVCACINYDCNPMNASEEAHNLETSQLIWEKTLEMIGLSPDALEKLIAGETVQCRYGQQEAE >Sspon.06G0015180-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:56542831:56547052:-1 gene:Sspon.06G0015180-1P transcript:Sspon.06G0015180-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALTLLRGMRTPVSSSSNAGLFFTVLRPRLCRFTARAESAQATEPKAAPRSIQLATKEAAEQKTQGFEAVIGIETHVQLSTVTKAFCSCPYNYGAQPNSTVCPTCMGHPGTLPVLNEKVVECAVKLGLALNCEISMTSKFDRKQYFYPDLPKGYQISQFDIPIAKKGYVDLDLPVEFGGGHRKFGITRVHMEEDAGKLLHSESSSYSQVDLNRAGVPLLEIVSEPDMRTGIEAAEYGAELQRIVRYLGVSNGNMQEGSLRCDVNVSVRPVGQSEFGTKVEIKNMNSFSAINRAIDYEISRQILLHKEGQADQIVQETRLWDESSQKTFTMRKKEGLADYRYFPEPDLPEVVLTSGYINEISKSMPELPEAKRRRYENMGLSMQDVLFLANDDNIGHFFDSTLEHGADAKLAANWIMGDIAAYLKDEKVSIDEIKLTPRELSELIASIKNGTISGKIGKEILAELISKGGTVKAVIEEKDLVQIADPAAIEAMVDKVIADNPKQLEQYRAGKTKLQGFFAGQVMKASKGKANPVLLNKILGEKLNAN >Sspon.07G0018410-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:66620748:66622292:-1 gene:Sspon.07G0018410-1A transcript:Sspon.07G0018410-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding PPRSTATHVIYRQSLLSHNSHTHFPLLLSRLPRGADLLPVLSSCPLANSRRQEEEGRSRRGAMAAAADAALPWAAQCAGMVLFASSLCLVALALVLMLLRRWPWCSCHVCRAYLTGSWARDFTNLGDWYAHLLRESPTGTVHVHVLGCTVTANPANVEYMLKTNFDNFPKGKTFAALLGDLLGGGIFNVDGHAWRHQRKMASLELGSVAVRSYAFGTIAQEVEARLMPLLAAAADAGAVVDLQDVFRRFAFDTICKISFGLDPGCLGLDMPVSKLADAFDTATRLCAMRGAAASPLLWRAKRLLNVGSERELREAIKIVDELAAAMIRERRKLGVANSHDLLSRFMASAGAGDAHHDVDDKYLRDIVVSFLLAGRDTVSSALTTLFMLLSKNPAVAAAMRAEAGDDGGDSEAPLTYEQLKRLHYTHAVLYENMRLFPPVQFDSKFCAGPDVLPDGTYVSCGTRVMYHPYAMGRMPRIWGADHGAFRPDRWLTGAGGSFVPESLYKYPVFQAGLRV >Sspon.06G0014830-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:64052839:64054527:-1 gene:Sspon.06G0014830-1P transcript:Sspon.06G0014830-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGATVTVEEVRKAQRATGPATVLAIGTATPANCVHQADYPDYYFRITKSEHMTDLKEKFKRMCDKSQIRKRYMHLTEEYLAENPNMCAYMAPSLDARQDIVVVEVPKLGKAAAQKAIKEWGQPKSKITHLVFCTTSGVDMPGADYQLTKMLGLRPSVNRLMMYQQGCFAGGTVLRVAKDLAENNRGARVLVVCSEITAVTFRGPSESHLDSMVGQALFGDGAAAVIVGADPDERVERPLFQLVSAAQTILPDSEGAIDGHLREVGLTFHLLKDVPGLISKNVERALEEAFKPLGISDYNSIFWVAHPGGPAILDQVEAKVGLDKERMRATRHVLSEYGNMSSACVLFILDEMRKRSAEDGQATTGEGFDWGVLFGFGPGLTVETVVLHSVPITTGAAAITA >Sspon.06G0017930-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:79716573:79719185:-1 gene:Sspon.06G0017930-3D transcript:Sspon.06G0017930-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAGRERWSLAGATALVTGGSKGIGHAIVEELAAFGARVHTCSRNAAELDECRRRWVEEKGLQVTVSVCDVAVRADREALMDTIKDVFAGKLDILVNNAAQVIIKPAVECTAEDYSRLMATNLESCFHLSQLAHPLLRKSAVAGGMNQLTRSLAAEWARDKIRVNCVAPGWS >Sspon.05G0025700-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5D:33860653:33861700:-1 gene:Sspon.05G0025700-2D transcript:Sspon.05G0025700-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRAFRVAGRSDGGDATATPHWTRGSRASGFAQGRARASLNSGSDVIYISGYHTHNHINMDFTFPATSTTAAATMTTPDPPAVVAGPLPFPWLAAAASPSWPPPCRSSPPAPPPAATAAPPVTTRSQALTLTAMAAAAQQGPTPGAGGDAAAVAEVEDRMDLLWEDFNEELARDLWSSGSSDAESSSEPAAARGCAPVLRPSSRAGGAVRHCRRRAGTWVLLMRIFRRLFVIEKTT >Sspon.01G0045890-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:95201363:95228027:-1 gene:Sspon.01G0045890-1P transcript:Sspon.01G0045890-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding PHHGCIRATGHGNRALTAARVGPRCIGRLHHPDPLVLHCGRREVHDVFTAAPATGDEDAATWVFDVHNVVFSFRSLKAGDEDDDEFLPLEIRDHDENGDFLVTDIPPPPVRRSCYSTSRMDARWRQAARRSAAGHDTQTVDIVGRPRQLRQRHLSVRQADVLDVLLEDPHHGCIRATGHGNRALTAARVGPRCIGRLHHPDPLVLHCGRREVHDVFTAAPATGDEDAATWVFDVHNVVFSFRSLKAGDEDDDEFLPLEIRDHDENGDFLVTDIPPPPVATLLEVLPNRLIADVLEQLRLSRAGFTSRSDFVEMKPAVEVEADERAAEIKKAEAAKKAAEEVEVEEVVDGEEEEAVDGEDDGDEVDDGEGGEEDDEEEVEGEEKEAAGVVEISDEDDDDDDGEADDDDGDDDDDDDDDDDDDEEEEVDGEYEQEEELGTEYLVQPLGRAEDEEHSSDFEPEENDGPDPCAGRRIHIRRLPAIFNTHLLLYCATAFPLADPDSKSVPACASLANHGLGPRTHNGTRSWYRTDARLLEPFFHRRLLEHDCLVPRPAQADAVFLPYYAALDALPYVLHPDLLNSSALHGLPLARFLARHQPRVLARRHGHDHFFLLAGTAWDYSQPHDADPRWYGTTSLLRLPELANFTVLTLESRAWPWQEHAIPHPTSFHPSSLPRLRSWIARARRSRRTALMLYAGGVSRPSRPNIRGAILAECANRTSAVCTVVDCSAAACGLNPVAYMRPMLKANFCLQPPGDSPSRRSTFDAIVAGCIPVFFEHAAARAHYGWYLPRGRYDQFSVTIPKESVVMGDVRIADVLAAVPEDKVARMRERVLEMAPRVVYRRHGSAADLREATKDAVDLAVEGVLRRIRRRVSALEDGVFVEVLVRLFQQMVIVPLVSVRLRALQLLPPGGVEHVLPPLLHSAGVPAALLRQPLVVLELAVLHGVRVPDGPSHGVQFRVERPHVFHHLLLRRELDPARQSAGDPAGLQLEHRERDHLEGQADEGEVGSQLRHQHVLVQRVDAVVIHGDDGGAWGIVALVHPRRRRQEEGEAVLVAGAVHDDVHSDGAAVSEHDGGSIRVRALHHGAEPEVLNADDSANMIELHSHLMKPEYSSSGQGKCSESSNTESSDDVGQQELDELLDDDDYHFYDTRQSFSDSAATSCLKMKGSNSGKDTCMYGDKFVKLKAGMGNSECLSPFFKRRTKLPDPVEKEKGVSLWSTIKDNVGRDLTRICLPVYFNEPLSSLQKCFEDLEYSYLLDRAYECGRKGDSLMRILNVAAFAVSGYASSDGRPCKPFNPLLGEIYEADYPENGIRFFSEKVSHHPMVMACHCEGKGWKFWGDSNVKSKFWGQTIQLDPVGVLTLEFDDGETFRWSKVTTTINNLIIGRVYCHHHGTMNISGNRGYSCKLIFKQQSLLERNPRQVQGFVKDVNGSKVATLMGKWDESMYCTIANDAPRVNCSASGQNTDAALLWEKNGPSANPTRYNLSSFATTLNELTPELKERLPPTDSRLRPDQRHVENGEYGKANAEKLRLETRQRMSRKMQDSGWKPRWFRRDGSDGTFRYVGAESSRQIVTSVELRSQEEVELADVGEGLPGADEEELRHEQEDGGRGAGEGGRPAGALGERGGDHGEDGEEEADGDALQRGEALRVGGEAAGEGHDEAVVDGDGEEDGADEEDDLNLNSVSFMRYNTFKALVESEVLGKIVAGSVWEVIAETTNLAAHFAMAQQQIVGTNGYTLRGKLCVVVPVPVGALSVRHQNFFASRREARSSFEHHLVSTLASVLL >Sspon.05G0004930-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:8645383:8649542:-1 gene:Sspon.05G0004930-3C transcript:Sspon.05G0004930-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNFGKKLMADQVEEWKGYYINYKLMKKMLKQYVQQTQHGGKDREQVLKDFSRILDDQIERIVLFLLQQQGHLASRIEELGEKRIVLLEEYDISQVYQLHDAYREVGLDLIKLLRFVDVNATGIRKILKKFDKRFGYKFTDYYVTTRANHPYSQLQQVFKQVGIVAVVGALSRNLEYLQHHEGSFVSIYDRPAVTLKDPIIDQVNHAVQKLTHATNFMQFLGQHSLIVQEDAQSGSEDLVDDQNYHFMSLMLNLVNTFLYMVNTYIIVPTADDYAVSLGAAATVCGVIIGSMAVAQVFSSVYFSAWSNKSYFKPLVFSSIMLFLGNMLYALAYDLNSLIVLLIGRLLCGLGSARAVNRRYISDCVPLKMRLQASAGFVSASALGMACGPALAGFLQIKFKIYSLTFNQTTLPGWVMCIAWFIYLLWLWLTFKEPEHFTKTLVNEQPSESGRQGNPNLEEGLAQPLLLGIEQRQEENSEDNDDTEVASESSHEPATSIASAYRLLTPSVKAQLLIYFMLKYAMEILLSESSVVTTYYFSWSTSVVAIFLAILGLTVLPVNAIVGSYITNLFEDRQILLASEVMVLIGIIMSFRFTRHYSIPQYVISALITFVFAEVLEGVNLSLLSRVMSSRLSRGTYNGGLLSTEAGTLARVVADATITAAGYLGTDLLLNVTLLPSLVICIVSIAATLYTYNNLY >Sspon.06G0026060-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:75335876:75336936:-1 gene:Sspon.06G0026060-1B transcript:Sspon.06G0026060-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAYLCLDVDADHFHGVYAKASLQVNFAGINPSFFVVLGEESAFQEFQKLRNLLLDDCDLSDDFRTLRFFHQGSPNLEKVTLRHCKFPGDSEDKEGTRKLDKTSSSGCCCGLDFLRDENIELEIIHRDGDACPSANELVRDLPDLKGSTDAVPDTATAAASEDSMPHSTGVGPRRGARHKMTSVRISGPEWERSM >Sspon.04G0022190-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:9684780:9688211:-1 gene:Sspon.04G0022190-3D transcript:Sspon.04G0022190-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MELCVSTTASSVRATAAPISFAPPRRGASASTALPLHRRIPTRGWRCASAAVPDPVSSEEPASASASYTVVVTDKPDTPADDKVEVVSAAPSGSAEAPVAELVSSEASPSPSPDDGGLDEILSKLNIEVTPTLILTGSAAFVALWILSSVVAAIDSVPLELVTQFGSSHDISFSRKAEMTCLQSLKISYRGLFDLSSIFCHRFSKTADGCKLWIPERVHHTEYLMPRPLQDYSELLKKCWGFRNNNTRSR >Sspon.04G0005550-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4A:15885803:15892097:-1 gene:Sspon.04G0005550-1A transcript:Sspon.04G0005550-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHAALLHCSQSSTSLTACRRGSHYYCAPSHVPRRSRPRRRGVVSLRPMASSTDQAPAPAPPGLKEGIAALYDECSGPWERLLSDHIHHGFYDTGETASMADHRRVQIRMIEEALAFAGIPGPDDPEKTPKYIVDVGCGIGGSSRYLAKKYGAQCKGITLSPVQAERGNALAAAQGLSDQVTLRVADALEQPFPDGQFDLVWSMESGEHMPDKRKGLSGKRAWPRRPLFLAVRSGAWTAWIVRASVVCSDVPREWPAIPGHHGDVEDETVKRLRVLCRVGLSSLLTDGRSGLGRGRVEDGWIRPNPRDRGVNKVGRAVRPGVVRVGDFPIVEMPCRTSLARVGTRSRRPVEGASYELERSRGVPSRVPRTSRNIVETSRRAGLVRVRTQSRRPVEGASYESEHSRDVPSSWPRTSRNAVEFVSELARVAAPGGTIIIVTCCHRNLEPFETSLKPDELSLLKRICDAHYLPDWCSPSDYVNIAKSLSLEDIKTADWSENVAPFWPAVIKSALTWKGLTSILTSGWKTIRGAMLLPLMIQGYKKGLIKFTIITCRKPGTT >Sspon.04G0037450-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4D:72016196:72017129:1 gene:Sspon.04G0037450-1D transcript:Sspon.04G0037450-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLRCTTAHHSLLGSPTCLARPRRRACPVVRAAVAVEAGAEAKVSLIRIGTRGSPLA >Sspon.03G0020720-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:83069934:83070585:1 gene:Sspon.03G0020720-3C transcript:Sspon.03G0020720-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEAKTEPAAPAAAAAAASLGLASGEPQQKKTVVVVAVDDSDHSYYALEWTVRHVVGGGGMAGGAADLVIVHAKPSPSSVVSFGGPGAGEAIRYVEADLRKMAEAVVDRARRVCVANSVHALIEVVEGEPRSVLCGAAEKHRADLLVVGSHGYGAIKRALLGSVSDYCAHHAHCSVMIVKQPKSK >Sspon.08G0002130-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:6582186:6595710:1 gene:Sspon.08G0002130-1A transcript:Sspon.08G0002130-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQGTKTNGIPKLTCRGGAESTTTNSDEPFDSRGPGARPELPNFLLASRSSAIGEFLPLLVLTCQPTMGWGNTITRRLKVFSMALFIYFDYKAVQKRVQWVSTGKKSAIWKKTHERNARRVLNLMIELEGLWVKMGQYLSTRADVLPEPYINVLKQLQDSLPPRPFEEVRGTIEKELGEPMSDLFADFVVDSLATASIAQVHRATLADGREVVVKIQHDGVKEIILEDLKNAKSLVEWIAWAEPQYDFNPMIDEWCKEAPKELDFNHEAENTRAVSRNLSRKTDCGSGSVSSAVDVLIPEVIQSTEKVLILEYMDGIRLNDNDSLEAYGVDKQKLVEEITRAYAHQIYIDGFFNGDPHPGNFLVSKEPPHKPILLDFGLTKRISKSMTQALAKMFLSCAEGDHVALLSAFSEMGLKLRVDMPQQAMDIATIFFRQSTTASEAKENIKALNDQRERNKKALQEKMKLNNKEVQRFNPVDAFPGDAIIFMRVLNLLRGLSASLNVRIVYLDIMRPFAESTLLGSLTHGQIPNSQWIFDSPANSDVESKLRNYLLELGSNKILGIQVCAYKDGKVIIDTAAGMLGKYDPRPVQPDSLFPVFSVTKGITAGMVHWLVDKGKLKYEETVANIWPNFGTNGKELIKVHHLLNHTSGLHNALGDVVKNDPLLVCDWEETLNQITKCTPETEPGSTQIYHYLSFGWLCGGVIEHASGKKFQEVLEEAIVRPLHIEGELYVGIPPGVESRLAALTVDTEELQKLSGIRAGADVPPALLNNIAQMASGLPALFNTLNVRRAIIPAANGHCSARALARYYAALATGGSVPPPHSSDSKPPLGSYVHTPKFPTAPLKKKKGTGKKKGSGSSTGNLQDVSSTDKNGYSQLRTSDADSEAAVVLGSGGSSSRMFSSDKILDAFMGVSEYKGMVHQDGKFGLGFRRYYDASSGKLRCFGHSGMGGSTGFCDVENNFAIAVMVNKLSLGSVTRGVIRLVLEELGLPVPDEYSATGEKGPDMVLNLTPPEQLR >Sspon.05G0032380-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5C:16519678:16522790:-1 gene:Sspon.05G0032380-1C transcript:Sspon.05G0032380-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRQYGGRREGPHALLRPRVPLRRHRQVRARHRRMASRWGCHVLAVLPNLPPGSPSRAAVEAATAIVASAVFAADVRLGLGGGVAVSVALVRRNTTGPSKRAQHNPRTTCKSERESQSKSERERSREEERVRGAKDRRQPTSAIGWPSPAAPCSSFFFAVVAVVVCVVFVYVCILMVKACWQSPRMRLFRLGGVTTLRRKLNYTCSLCQDSMEAGEKVRTLSCNHAFHCGGSVKCQNSIDQWLRTGPRTSCPICREVPHPVLPWKRPPPSSPPPSSTASASTSTLDLEEALLPAHWFDETLPEASYRRHRCCRGRRRQIWRTVGRSGTGSVLGEVLEGFFRHFCLCILGTDRG >Sspon.07G0010860-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7B:40615008:40617299:-1 gene:Sspon.07G0010860-2B transcript:Sspon.07G0010860-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWPTRLKIALGAAKGLAYLHEDCHPKIIHRDIKASNILLDFKFEAKVADFGLAKFTTDNNTHVSTRVMGTFGYLAPEYASSGKLTEKSDVFSFGIMLLELITGRRPVDATQTYMDDSLVDWARPLLMRALEDGEYDALVDPRLGKDFNPNEMARMIACAAACVRHSARRRPRMSQVVRALEGDVSLEDLNEGVRPGHSRFFGSYSSSDYDSGQYNEDMQKFRKMAFNNYTSSQYSAPTSEYGQIPSASSSEGHQTQEMESGAMKKGGYSGYSSGYSGAS >Sspon.03G0011010-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3A:30004165:30004367:1 gene:Sspon.03G0011010-1A transcript:Sspon.03G0011010-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding QSSAVKISDVRYTDIQGTSASKVAVKFDCSASNPCSGIGLKDIKLTLDGGKPAEATCQHADGRASGE >Sspon.02G0033650-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:8080956:8081519:-1 gene:Sspon.02G0033650-1B transcript:Sspon.02G0033650-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFNNAGIGGDMAPPPLGGIDLGDFDRVMAVNAQGVLAGVKHAARVMVPRRAGSIICAASTAAVLGSVAPPAYSASKAAVLGLVRAVVAELARSGVRVNAISPHGIPTPLAMAAAAQWFPDKSVEERRRIVERDMNEMVGPVLEAEDIARVALYLASDETNYVNGQNLVVDGGYTMSKAPRPAPAARR >Sspon.03G0012640-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:51679301:51683497:1 gene:Sspon.03G0012640-2C transcript:Sspon.03G0012640-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPPPSPQPPPHGLCFFAPWPSSWRIDGMEGGEGGVGPAGLRFLLQLDPGNPGSFDRTLLGFSLSALFSRLVGWSKDGQRRGGGGSTAIAVEGGDDDSGNAAVSAAALAAAASLCLAAMYASDQRPRRRRLPAAAARAAPSSAPRPRALPAPHDGLRILSSNPDDESPDNVLHGASIGAGDDEPDIVARVEMDAMPAPVVAVATNANDETETEPEHPEEEEEEERQELQRLRELWLSLLEREQRLELRLRELEGLRSQEAEATVRELESRVAAADAETRLLRLKVSTLQEDNGRLTAQVEELDTARAELARAKEKLRAVKARVEGEQEEAAALRARVVELESGGEERAAALAAEVAELRKANAALEEDNMELALRLQDAEQAASASVNLVPEEGMEEMTYLRESNERLTRQIEQLRNDHCAHVEELVYLKWVNACLRHELLRNHDGGHPTAEQQGHSADNRRVGSRDDLSSALELSKSMSFRSSERAKQLMLRYGHPSLDGFDPPLFSQLHESVDGDGYERSPRSGTGTAMAASPAAAPGKKTGPRKLKLLGNIKKLLPGGKRSHSSSHVHGHGHGHDHAGRYGRKAPAPRDEYLEKAMQWLSTHDVLDGDHSYESTPLSSCERTPRSSVTTNTTVDSRARVGGVGGGHSERGETARSEAEPILARSKSDAGGAYGREGRRYHALRPDHPASEADEPDGFRAPEKRESRRRSEELRSPAVA >Sspon.02G0031570-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:105673783:105675957:-1 gene:Sspon.02G0031570-3C transcript:Sspon.02G0031570-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DETOXIFICATION [Source:Projected from Arabidopsis thaliana (AT3G59030) UniProtKB/TrEMBL;Acc:A0A178VKA2] MGAGSNVIAPLLDIDESSAASEVLLRQEPVPWGVLARLAAWEAGNLWRISWASILTTLLSYMLSLVTQMFVGHLGELELAGASITNIGIQGLAYGVMIGMASAVQTVCGQAYGARRYAAMGVVCQRALVLQLTTAIPIAFLYWYADPFLRLIGQEADVAAAGQLYARGLLPQLIAFTLFCPMQRFLQAQNIVNPVAYITLAVLIFHTLVSWVAVFVLGLGLLGAALILSFSWWVLVVLTWGYIVWSPACKETWTGLSLLAFRGLWGYAKLAFASAIMLALEIWYVQGFVLLTGFLPNSEIALDSLSICINYWNFDFNIMLGLSYAASIRVGNELGAGHPKVVRFSVIVVVIVSVAFSFLATITVLILRYSLSTLYTSSATVIEAVISLMPLMAISIFLNGIQPILSGVAIGSGWQATVAYVNVGAYYLIGLPIGCVLGYKTSLGAAGIWWGLIIGVAVQTIALIILTVRTNWDKEVEKAMKRLKQTGVVPVNDIIA >Sspon.03G0000870-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3A:2458127:2460857:1 gene:Sspon.03G0000870-1A transcript:Sspon.03G0000870-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ERNGAAAAAAAVLGGHVYPSPLAKSHTPDPSSALFRPPAMPLALAQLQDLRDRISDRLRPWSRSAQFWVRAADIYTSYKACQLRAGFVKDEDEREAMWEQQHELGAQKMYSLCSELGGLFLKAAQILGKPDLAPMAWVKRLVTLCDQAPATPFDVVRDVVEKQFGKNFDDIFEFFDVEPVGSASIAQVHRARLKLSKTDVAVKKYDINFDLFSATKEMEKQICYEFDFVREARAMERIREFLRVTNKKPPVMVPRVIPGMVSRKLDLYAVC >Sspon.08G0009440-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:62683752:62691690:1 gene:Sspon.08G0009440-2B transcript:Sspon.08G0009440-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAATEPCCISHAFERAARQEPGRLAVIHAAASGGDGEERRFTCGDLLAAVGSLSRRIAAALGGTPTDTRHDREQGPGFRAAGAVVPRIVGVYASPSVEYVAAVLAVLRCGAAFLPLDPWWPEERVASAVSASNAALVVSSVGSRGATLFESSACPVLRLDGGIRRWFQDEYGGDGGEELAWPCEREWRRKFCYVMFTSGSTGKPKGVCGTEKGLLNRFLWMQRRNPLCSDDVLLFKTSVSFVDHLQEFLSAVLTCTTLVIPPPSEWRANPASVANLIKVYRISRMTLVPSLMEIILPTLAKKLSDGCNPLKILIFSGEVLSVSLWKRVYEVLPEATIINLYGTTEVSGDCAFFDCKDLPTILEREKLNSVPIGFPITNCEVSLVTDNGLADEGEISVSGACLFTGYLADPLTSNCPEGSEILAYYRTGDFARRLKTGELIFLGRKDRIVKIYGQRFSLEEVESTLREHPDVSDAAVTFQSNGSLDFKAYLVLKSNDQFPKCTQGYSLNSSKDVIAPLRNLLITKLPLAMVPRLFIPMKSLPLTLSGKIDYVKLSSLDCALEPCEIEPESSPVDPHMHVIKKAFSDALLLDEVSEYDDFFALGGNSITAAHVAHKLEIDMRLLYIYTTPSKLFNALLGERSHVVPPTPEFHNRKRLRKSASISDSFDPVSAYKDNNFHGQGQISKEGAYNQFAGTHVNETDGQLNKSMTYDAYRAKNLCPDTCSNDEISSGSPWILNFDLQKKWSIGRCNRFMHGYERMLQLEDMFSYVPFNKKGFLMKLWSILLDSCVDASPLLVISNGMMSIFIGSHSHLFLCIDGYSGSVRWSVKLEGRIECSATITGDFSEVTETLELHPKENIITNFLNTLAASSHIYYIQVVVGCYKGKIYFLDMSTGKLSWTFQTDGEVKMQPVVDKMRNLIWCGSYDHYLYALNYKDRCCTYKISCGGSIYGSPAIYMAQNIIYVASTSGLVTAVSFKEPSFRVLWQYEAGAPIFGSLAIDHQSGKVNGLVMALNSQGSIVWKATVGGPIFAGACLSPTLPHQVLIPSRDGNLYSFDTVSGALLWIYKAGDPITASAFVDELLTLESSRPSERFACICTSSGKVHVIRIRADAKYNQEQTGEGVKSEELVQGVASIDLPGDIFSSPLMVGGRIFVGCRDDQLHCLTITT >Sspon.08G0022950-2D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8D:53704422:53705039:1 gene:Sspon.08G0022950-2D transcript:Sspon.08G0022950-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGADGSTHAHDANGARVPHPAALDRGDHDPLGVRARQRGHPVLPDLRRPVHHRVRRQDGDLHLPVVTLDSNTEVMLRNLVAYEASAASGPLVLARYTELMNGIIDTDEDVALLRRRRV >Sspon.01G0002070-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:5938593:5940973:1 gene:Sspon.01G0002070-3D transcript:Sspon.01G0002070-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At4g35130, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G35130) UniProtKB/Swiss-Prot;Acc:O49619] MATPLLLPSRAAHAASATTCASQHLTAATSKEPPPRTRPKHGEGGAPRPVPSSGSRPKSLVLSHVAAGRMDEAADAFAAVSRPDTFLHNVMIRGFADAGLPLDALAAYRAMLDAGARPDRFTFPVVVKCCARAGALGQGRAAHAAVIKLGLGADVYTANSLVALYAKLGLVGDAELVFDGMPARDIVSWNTMVDGYVSNGMGALALACFREMNDALLVGHDSVGVIAALAACCLESALAQGREIHGYAIRHGLEQDVKVGTSLVDMYCKCGNVFFAENVFAKMPLRTVVTWNCMIGGYALNERPVDAFDCFMQMRAEGFQVEVVTAINLLTACPQTESSLYGRSVHAYVVRRHFLPHVVLETALLEMYGKVGKVESSEKIFGQITDKTLVSWNNMITAYMYVEMYQEAIALFLELLNQPLYPDYFTMTTVVPAFVLLGSLRQCRQMHSYIVKLGYGDSTLIMNAVMHMYARCGDTVASREIFDRMPGKDVISWNTIIIGYAIHGQGKTALEMFNEMKCNGLEPNESTFVSVLTACSVSGLEAEGWKEFNSMQKEYGMIPQIEHYGCMTDLLGRAGDLREVLRFIENMPIAPTSRIWGSLLTASRNKNDIDIAEYAAERIFQLEHNNTGCYVVLSSMYADAGRWEDVERIRSLMKEKGLRRTEARSLVELNDKECSFVNGDMSHPQSEKIMNCLISYQEILEKIWIAQVISAIQILLHQGKQYSPISIVLAVAFGLISSEAGAPVLVKKNVRVCNHCHHALKLISKYSGRKIVVGDTKIYHIFSDGSCCCGDY >Sspon.04G0030010-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4C:79122188:79124928:1 gene:Sspon.04G0030010-2C transcript:Sspon.04G0030010-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding FLVHGDSTQSERDPLSSLRETRRRLHTTGAFPKETPTDGCVPPTLTPRRRRTCASQPSAREYPAQIPRSDGPASLSPDASPRESVKGLLPNYPGGKGLLSQKHPSRPDRASGPSGPRIGRMFLRGHKCAAAARGPEPSLAVPPPPASSPQASELGFREPPAPCDELLSDSFPYKELENGVLWEVEGKWVTQGPVDVDIGANPSAEGGEDEGVDDSAVKVVDIVDTFRLQEQPPFDKKSFVAYIKKYIKNLTAVLEPEKADEFKKGVEGATKFLLSKLKDLQFFVGESMKDDATVVFAYYKDGATNPTFLYFSHGLKEIKC >Sspon.01G0031670-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:108317103:108321990:-1 gene:Sspon.01G0031670-1A transcript:Sspon.01G0031670-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cation/H(+) antiporter 19 [Source:Projected from Arabidopsis thaliana (AT3G17630) UniProtKB/Swiss-Prot;Acc:Q9LUN4] MAGGGDEASAALCAPMKATSEGLWQGDNPLHFSLPLIILQVCLVLVLTRGLALALRPLRQPRVIAEIIGGILLGPSALGRNKAFLNHVFPTESLTVLDTLANIGLLLFLFLVGLELDPASLRRTGSRALAIAVAGISLPFALGVGSSLALRAAIAPDAPRGPLIVFMGVALSITAFPVLARILAELKLLTTDLGRMAMSAAAVNDVTAWILLALAIALSGSGSPFVSVYVLLCGVGFVAAATFLVRPVLVYMARLSPAGEPVKESFVCATLGIVLAAGFVTDAIGIHALFGAFVIGVLIPKEGAYAGALTEKMEDLVSSLFLPLYFVSSGLKTNVATISGAKSWGFLVLVITTACAGKIGGTVLASLLMRVPPREALTLGLLMNTKGLVELIVLNIGRDRKVLNDEAFAILVLMALTTTFMTTPAVTAVYKPARRGASSYKHRTVERGGGGEADSELRVLACFHASRGIPTLINLVEASRGTRRSKLTMYAMHLVELSERSSAISMVQRARRNGLPFSGRRGRDGGGEVVVAFEAFRRLSAVAVKPMTAISDLGTIHEDIVASAVNKRAALVVLPFHKMLCHDGTMEPVDRAYHHANVRVLQSAPCSVAVLVDRVLGGAAQVSAPDVSYAVLVLFFGGPDDREALAYAARMGEHPGIELTVARFITAAAPKPDAASGDLELELAKDEEALQRYVTRALKSGDGSVRYEEVTAAAEREELTPAIRTLGRGKNLVVAGRLAPAPPLVEKSDCPELGPVGSYLATPEFSTTASVLVVQRYNPRSDPSRERPEVEGDVEEAVVPFPSSSRLAESESESRHS >Sspon.01G0012450-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1A:33758869:33759901:1 gene:Sspon.01G0012450-1A transcript:Sspon.01G0012450-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding TLGFTEAKSDTSLFIYHYGGETAYLLLYVDDIVLTASSESLLHRIIASLQKEFAMKDLGVLHHFLGVTVEPRPSGLFLHQRQFTCDILERAGMTDCKSCSTPVDTQGKLSEAGGPMLGPADSTTYRSLAGALQYLTFTRPDITYAVQQLCLYMHAPREPHLAAMKRLLRYLRGTLGYGMLLGRSSTAALVVYTDADWAGCPDTRRSTSGYAVFLGGNLVSWSSKRQPVVSRSSAEAEYRVVANGVAEAAWLRQLLAELHHPLARSTLVYCDNVSAVYLSTNPVQHQRTKHVEIDLHFVRDRVAIGNVRVLHVPTTSQFADIFTKGLPSSTFTEFRSSLTVTSG >Sspon.02G0020170-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:65767224:65768924:-1 gene:Sspon.02G0020170-2B transcript:Sspon.02G0020170-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNSSQLLIFSAPAANSTAGLSHWSSQETGMGSFLQSNLGTILACILSFLAAAVSSAGGVGGGSLYVPILNIVAGLSLKTATALSTFMVTGGTLSNVLYTLFLRGSGSGSGHGQGQQQPLIDYDIAVVSQPCLLLGVSVGVVCNVVFPEWLITALFSLFLAFATFKTYGAGVRRWRAETAELGRMPPDAVGGAAAEEALLGRNASGGGRRCQWVDLVVLVTVWLCFFVMHLFIGGEGAKGVFDIEPCGIVYWLITMAQIPVAVAFTACIGHQKRKSQAQQYGQVIPAKRKLDALPPYVFPVAALLTGVMSGLFGIGGGLLLNPVLLQIGVPPTTASATTMFMVLFCASMSMVQFIILGVDGIASALLYAATCFVASIVGLVGIQGAIRRSGRASLIVFMVAGILALSALVIACSGAVRVWEDYMSGQYMGFKMPC >Sspon.02G0002640-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:8605674:8606909:-1 gene:Sspon.02G0002640-1A transcript:Sspon.02G0002640-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAISSRKSSKVAAALVMLLALLAAGAGPAAGATSTRPGCFVGWSPLRIVSSLFCLLRGPNPFLGPKPAPPGQALSVGYYSKSGTSYYCPRAEQLVREAVVNATSGQNRGLGAGLIRLHFHDAFTATGNNDTEKTGPPNQNSLRGFEVIDEAKKAVEDACPGVVSCADILAFAARDASKILSNGKIDYEVPAGRYDGRESFADETDQLPGPGSTVQQLKDSFAAQGLSLTDMVTLSGAHTIGVARCMFFTSRLSSMDPGYAKNLNDTCNAGGPSTRVNQDYNTPVDLDNQYYKNIDKFVLFASDAALRSNETIAQVTANAGDYSNWEKDFGEAMVKMGKIGVITTPGYGAEIRKVCSQIN >Sspon.07G0021380-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7B:2271424:2271696:-1 gene:Sspon.07G0021380-1B transcript:Sspon.07G0021380-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASVSWRQHSTGGRTGVHAGAHDLLPYDMLPPLAPPEVLEQKLVASPRVRRDGDAEPGYGGDADMTRLRPPADGGPDKVRWNCVWTRD >Sspon.02G0007110-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:23337593:23340424:-1 gene:Sspon.02G0007110-2C transcript:Sspon.02G0007110-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRHAARRLVSRTTVASTARRALATAEVPAEAAEDSTFVEAWKKVAPNIEPPTTPLSLMQPRPPTPATIPTKLTVNFVLPYKSEIANKEVDMVIVPATTGQMGVLPGHVATIAELKPGVLSVHEGNDVTKYFVSSGFAFVHANSIADIVAVEAVPVDQIDPALVQKGLADFTAKLGSASTDLEKAEAQIGVDVHSALNAALTG >Sspon.05G0006130-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:19730137:19733514:-1 gene:Sspon.05G0006130-1A transcript:Sspon.05G0006130-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLIKGLVHVAIDAVEDAVRERGHGLGGDDDVEAPRRAAPQSADPDADGEEERDERSRSTWAEVVSEQKGSDPDERRDHRNSGRDKRHERREDEGSKRVDGRNQPQHPAGRQNQCEGEERWGGGSRRPQQRQQAQGYGDQQQEEGRMNDGGWQTVGEKKHHGRPQQSEAWNGYRKPPSEQKYSEDVGQIHQGLNVEPTREELNSLSKACSRLWELDMNRLVPGKDYRIDCGEGKKVYQKGDMASESLFSWLGDDVLRKPTYSRFCALLDNYNPHQGYKEVVTQQDKHEEVAFIEEIARTAPIKYLHRYLVQKGAVPQDYEDFKRVLTSLWFDLYGRGGTSSCSSAFEHVFVGEIKGRGQGENEFYLEEAKGNVDYQGYIFPRRRGESPDSETQLLTVQFEWYGVLKSVSSTLLGVSPEFEVALYTLCFFVGGEDNRVDIGPYSVNIKCYRLGNNKIGSAFPIAEN >Sspon.08G0014970-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:55123742:55129993:-1 gene:Sspon.08G0014970-2B transcript:Sspon.08G0014970-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGAGRRGIPSLLKSPVPAETEATSLQQEHIASDITQLVGWTPLIELKRIAEKDNVNARIIGKLEFYQPLCSVKDRSALRMIEDAEEKGLISPGITTLVEPTSGNMGIGLAYIALTRGYRFVAVMPAEYSLDKQILLRYLGANLVLTDPTLAFQGQLDKVEHLKKEIPNVHVLDQFANAANPEAHFKWTGPEIWKDTAGKVDIFVAGSGTGGTVSGVGKYLKMKNPAVKVICVEPSESPVISGGKPCRHKIQGVGPGFVPKNLDTSITDEIITVTAEDAMANARRLAREEGLLVGISSGANLAACLKVASRQENKRKMIVTVVHPSLSAYSLLQLQRSESKKQQECRAGAAAMDGEEVGRRGIPSLLKLSSSSIDGGAGEQEHIASDITQLIGWTPLIELKRITSRVGIDARIVGKIEAYQPLCSIKDRSALRMIEDAEERGLISPGVTTLVEPTSGNMGLGLVLFAIQKGYRLIAVMPAKYSLDKQILLRFMGAELHLTDPALGFPGMFDKVEQLRKQLPNVHVLNQVTNKANSEAHFRLTGPEIWKDTAGKVDIFVAGSGTGGTVSGVGKYLKMQKPGVKIICVEPAESPVISGFIPEVLDTSVIDETVTVTTEEAMANARRLAKEEGLLVGISSGANLAACLKVASREENRGKMIVTVFPSGGERYMNSDLFADVREECIAMTF >Sspon.03G0004920-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:13964592:13966086:-1 gene:Sspon.03G0004920-1A transcript:Sspon.03G0004920-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSHVSSVSLAIFLLILPTFSASSCLTKLPCDSTIEIRPPFFVGTPGIDPACRKSINVSCGELGPELGLGTPSKLLLKEISYGTGTIRVQDVELSILKNLSCGLMFSFTPPVSDFLSSYLDLARWFSLINCGDSNQTLFQFHSMFGDDKAVHQSTEPDDGHSLVASCHASPQFEWMLSFSVGDGGRSKKYGTRLLMAAFISATSGMLLACSFAMLKPLWKKSFLFQRKNREAEANIELMLSRYG >Sspon.02G0034300-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2C:14857738:14858808:1 gene:Sspon.02G0034300-2C transcript:Sspon.02G0034300-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATEATLNNSGGSESAMPLLEQLAEVFGKLKSHTEASLQLQNGMQWEDIKGHFLSLDKSYRSKFDELVEKQKALEEKKAEARRLIAEKEANVSTKERASLNQLQELRDAAVSSLAEVRQKYKVELAEILDASGSKDKKVSTSINDNNASRASEENTPASGSGEASEASPVETKPRPVLKQLCEQMDTKGLLKFLSENSRKLASLRDELSVALKCATDPARFVLNSLEGFFPPDQTNSPGSKHNALEVQRKSCIVLMEAIAPALGTTEPGGNDPWSSEIKEQAKAIAEEWKSKLAEVDLDASNGYSLEAQAFLQLLTTFNVDSVLDEDELCKIVVAVSRRKQTAVCCRSLGLNEKMPG >Sspon.04G0004210-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:7901611:7903308:1 gene:Sspon.04G0004210-2B transcript:Sspon.04G0004210-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALAVVFAIATVLAHSVVSAAVAAADDASSTAARNFTIASPPSPTTNGPVTYVFGDSMSDVGNNNYFPMSLAKSNYPWYGIDYPNREATGRFTNGKTIGDYMAEKFGVPPPPPFLSLRMIGKDVLGGVNFASGGAGILNETGVYFVQYLSFDEQISCFEIVKKAMIAKIGKEAAEAARLYGLGARKVAFNGLAPLGCIPSQRVRSTDGKCLSHVNDYALEFNAAAKKLLDGLNAKLPGAQMGLADCYSVVMELIEHPEKNGFTTAHTSCCNVDTEVGGLCLPNTRPCSDRSAFVFWDAYHTSDAANKVIADRLWADMTSAGQGGASAPPRVGASSPAAAPSPS >Sspon.03G0009550-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3A:25959515:25963196:1 gene:Sspon.03G0009550-1A transcript:Sspon.03G0009550-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPALRMKRMFDGDGFANEFNTRNVKSAKVSHFHVSGLEQSAVLNLSDKAPQDESDPTIQLADQDIRVTEASGLHDPIGGKSMLKDLTSDEVIDSPNSEADSSANYDENKSVLYAVNHVGKEFADDGADSSAQNLCVVSDHEASWGSNQCCRLLDIYSPDDDFPYLFDNPPDLLPSYVGLCDEFVSIDALMNMSSRCGAFPLIESATEASVDNKPCSTEVDLCFNTSEVLEWLNPHLSEEDLPDLVDFAELNSNAAPAKKEQWTRKVTLVLDLDETLVHSTMEHCDDADFTFPVFYDMKEHVVYVKKRPHVHMFLQRMVEMFEVVIFTASQSVYADQLLDMLDPEKKLFSKRFFRESCLFTDNGYTKDLTVVGVDLAKVAIIDNTPQVFQLQVNNGIPIESWYSNPLDEALPQLIPFLETLAVADDVRPIIAKKFGNIIDSC >Sspon.02G0043720-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:95948016:95955011:-1 gene:Sspon.02G0043720-1B transcript:Sspon.02G0043720-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADGQMPEREKKQAAVDGVAREVIRMEREAVIPILKPKLVMRLAYLIEHEADRNEFLKLCKKVEYTIRAWYLLQFEDLMASPTVLTVDPVSGEKRLEQQNLTSEEIETLEFNFMTYLFQVMEKSNFKLLSDEEFDIAQSGKYLLNLPIKVDESKLDKKLLTKYFKEHPHDNLPEFSDKYIIFRRGIGIDRTTDYFFIEKVDVMISRAWRSLLRVTRIDRLFSKKQHLKPKNDTKKTDEINEDEEDPELFVERIRLEKIELSLKNLMSKMTIQEPTFDRMIVVYRFQQNMTIYQNLITKSMYDKQLDSGKGTLLHLCDDVIQQEVKEVIICYYILMEQGKATIQDLDLHCEELIKEEFGAECNFDVHDAIKKLEKLSIVHRDSIGRILCVPLKRANEIIGTTTEELVMRAQQNPAS >Sspon.08G0018010-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:6043365:6044858:1 gene:Sspon.08G0018010-3D transcript:Sspon.08G0018010-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSASALLLAVSLAALHWCCRHGQGADAGSGNGITAIYSLGDSITDTGNLVKEAPPGMFETIKHLPYGVTFGYPTGRCSDGLLMIDFLAQDLGLPFLNPYLGKNKSFDHGVNFAVAGATAVDPADQYNLTVPVPVAFNSLKVQLRWFKNFLKYTFGTDQGKQPLQIRRRLQTSLVLVGEIGGNDYNYAFFQDKPVAEVEKLIPGVVKTIVDAAKEVLDMGASRVIVPGNFPIGCVPGYLAMNAAKSEPADYDSAGCLRELNDFAAKHNSRLRRAVADLQASYPDAAVAYADYFDSFLTLLHNASTLGFDAASTRKACCGGGGGEYNFDWWRMCGFDGVAACAEPSTYLSWDGIHMTQAAYRAMSRLIYHGKYLHPQILSFPEKYGQT >Sspon.04G0000160-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:899484:909760:1 gene:Sspon.04G0000160-2P transcript:Sspon.04G0000160-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPHATKDLVAAPATAATAAAPPSRSLPDALAAARPFLRGEEADVDPALPGLAAVLRAAGAGECWHKHGTFLAHLLDVHRILRLWGAPDAVARCGLYHSAYSNSYVNLAIFQPDTGRAHVAAVVGADAERLVHLFCVVPRQQLVHDDLLFHYSDQDLVDDLASSEASLREARRGVFDDAQPWRRKIQRLLPPDGITVKHIRTGEDVALSRRIAATFLLMTIADFSDQLFDWQDRLFDNTNGRLEFSGNTWTSLWPGTGKPGLWVTSSSRMGALYTLIIREEEIYITQRAHATGQQGSNGSAGRDEDIDLVTPPVFNGCTEVLNADDQKVARDLYWEAVCSGDDASDWLKVEELLQQSIAKNPFVGEPHLVLAQVYLNMERYGDAQMQAEEGLKLLLEWGSSWDKRMPWEGWVSWGRAMLTKASEKDWPHTSFGMINLGLHVYPTRFCSPDLKTHRKNLQSLLAVAQPFLRDELEKIDPELPSFLSILRSAGAGERHHKNGAFLAHLLNFHRIVRLWGAPFDIARCGLFHSSYANSYVNVSIFESSTTREDVQRLIGAPVERLAFLFCAVPRHKLIHEELHFQYTDAELRDHLAASDLSIKTARETGTFDASEPWRKKICKLLPPKGIEARHFKTGEPISLSRRIIALFILMTIADICDQYIDYQDKLYDNENGRLEFRGDNWGALWPGTCKPGLWMNAASRLGVVYNLILREEELYTQERNKMGETIRLDRDEEIALVIPPVFNYCTKVLDPKEQIAARDLYWEAICSDDRKERDWEKVEKVLLESIQKNPFVGEPHLVTAQLYLNMERYTEAKKEAEEGLKLLLEWGVSWDKRMTWESWVSWGRVMLDKAKENEWPRTAAGITNLGL >Sspon.02G0042860-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2D:80759350:80762907:-1 gene:Sspon.02G0042860-2D transcript:Sspon.02G0042860-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding TSQAVAYDGFPQAVHKQPPV >Sspon.02G0009980-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:27959048:27963311:1 gene:Sspon.02G0009980-1A transcript:Sspon.02G0009980-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGRSVRLVLDASLLLNPSSTREAAAVALRPGVEELLRRLRYSNLSVAICYAEGMPTNESGFLEKVASSHLFGSILLLAKSGNLSPTELMLEWSRTSFCFYVTSRVDKGLISELQNQNWRVLSVGNECNIDVPGVLNVQRLQELLLTLASLIKREICGSSVLVIGYIMKKSREEDFAKASFLYSRGAFPIYPSKDSLIFVPLSFELPLSLQLQEVDMVLHKITDEIVKIDPNCSINFPKGISFSAGMFEIIRFVEEHPDFCIMDPFKNVYPLLDRLQIQKILVRLQELGAEGKPKLRAPYSLKVDNFHDGELDKHLAEANLSFPLIVKPQVACGVADAHNMALVFQIEEFSNLSVPLPAVLQVSVTHQLKNILQEYVDHGSKIFKFYVIGDKVFYAVRDSMPNARFLKSSSGGEALTFNSLKTLPVATKEQQLQTGVQDSKLLDANLVEEAAKFLKGLLGLTIFGFDVVVQEGTGDHVIVDLNYLPSFKEVPDSEAVPAFWDAVRQAYESRRGNAQG >Sspon.02G0035450-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2B:19357046:19358224:1 gene:Sspon.02G0035450-1B transcript:Sspon.02G0035450-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSVLVPVSSSANAILMIVDKFSKFAHFIALKHPFTAKTVAQLFLDNVYRLHGLPKSIISDRDKIFTSLFWQSLFKAAGTSLRLSSSYHPQTDGQIGDRQTKVALNVFSVGDKVFLKLQPYVQSSLTRHANHKLSFRFFGPYKIVERLGSVAYKLELPPSSSIHPVFHVSQLKLSPGDQQVSSALPSNLQAFQVPLRILQRRWTSRDHPMEQGLIQWSHTPPELATREPLITLRQQFPRAPAWGHAGSEDPGTVSSATLAPEEAATTSSSLPARRRLSQRESRSQARGLLAQTRSTRR >Sspon.07G0027450-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7B:60251721:60257308:-1 gene:Sspon.07G0027450-1B transcript:Sspon.07G0027450-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQLSLNDHQNQRFTVTATGDAFGPPGNTYASGMLASYLQDSPVPSGVMVAWLTPGAVVAVSEHGNGNGTLQPVLQVVDMWMVKNVENPSAECFRMVLSNGVYTMQSMLATAENTRVRDGSIQKGSIIHLQEFTCSTIQNRRFIIVSKLYVLQSECNIMGNPKPYEMRNQPNEQVTNLLANAAQANTGITPVSALNPYQTIWKIKARVTAKTGLRHYNSSRGPGNVFSFELLDGQGGEIRATCFNAQADQFFDLIEVDKVYLISKGSVKPAQKKLNSLNHEYEITLDFKTSIEVCIDDDSNIPRQQYNFRRISEIENIEGGAIVDLVGIVTSVGPSAMIIRKDGSEAQKRTLQLKDMSVRSMEIILWGKFCDAEGHQLQLLCDSGSNPILSLKGGRVSDFSGRSVVTISSTQLKVNPDFPVAERLKQWYITEGKNTACISLSRGISSMSRNHVLKTIAQIKDENLGRSDKPDFIIVRAVLSHVGADKFCYQACSLELNGKRCYKKVMRNGDGTWYCDKCNQRTENCEYSFLCRLRFSPAMASAREVLPLASVAAGEKFSAFILGKFGKSVASPPSSRAAFSLLAAFGRCRFRLDETFVARSLSAILGGPADSFQVCLIEDRIFLFSVSCKEVGFEIYKIRRFVCADFVLTFNLLNDSGLSFARSFTSNLPVFPWKEVQRKSSYAEIASKPAEVLTGANKIPVRQQLNVRRMFSANNRRKSVFDLIVFPRVSVFERLSWKAPSSGKQPPLSEEQVKEQSDGVNLDLFLGSLNFNGKEAINPAPSAPVRCRRCLSSSHSRYACNAPIKCNACLGWGHVAASCRENWKRLQSSVKGRPVDNFARNFEGHPNHSSWFKTACMTAGPSSPPRFLDFADFLGGSSAPRTIHWGAPCGGSTVHPEILNPQPPQSLELSHYDPTMAYLRADSTPFIPEGLNRMDVQARKPMERVVLMRPRAKNHDLAIVSIHPMPEQQVTFQEIRAVVTDFLNNEQHVVFTDMQPTHLGQAFVRFRNAFDRDRLINLGPFLFGNVTITFTEHNKGRNWRAINFNRECWLLLLGYPPDHREDEYVVNTICPFGRVISWVDDGRHLSRILVRARVVDLESVPQFLVLTEGEGFQGESWTVQCEVLQGHLLGGLPQDEDPAPGLDDFPPGGPFDLFGFGQNGPGPAFAPQPNQQAGPNLFQGGPVGQNVQPNDGEWAGWPDEVQVFPNFDLNEDPDNQQELNLNMPPDMQEMVIDPVPHGPQP >Sspon.03G0037530-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:99406047:99406940:-1 gene:Sspon.03G0037530-1B transcript:Sspon.03G0037530-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPVLDSKPPPAPVPALKKKKKKKKKKKEQEQPAAAQPQQEQKPTMTAPPVVPAATDGDVAGKKAPPPLLGDLPDISSSKPAPPELAGRRVVKDNPFLMRDRESKGDGTTAPPRWKRRDPFEGCPERRPPGATGGGVVLYTTTLRGVRRTFEDCERAREAVEACAAAAGVAVVDERDVSLHGEYLRELRELAGEGAPPPRLFVMGRYVGGAEECERLAESGKLREMMRWAKARGEACAAKDGRGCEGCGGASFVPCWECGGSCKVVAAAVADGGTATTTERCGKCNENGLMMCPICH >Sspon.07G0026430-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:46927243:46929157:1 gene:Sspon.07G0026430-2D transcript:Sspon.07G0026430-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFQSITQATAVFLKTTLHDWGDDECVKILKNCMQAISPRDAGGKVIIMDMVIGHDEKSNIKHLET >Sspon.07G0003590-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7A:8661754:8662475:1 gene:Sspon.07G0003590-1A transcript:Sspon.07G0003590-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding QC >Sspon.03G0033480-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3B:50554222:50555183:1 gene:Sspon.03G0033480-1B transcript:Sspon.03G0033480-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPEAQQPGTRPNFLARPEPGLARGSSGSGWPGTRQQAVPRPPPRSAAAPACTVVPHGLAVLHGAISLGGGAWPCGGSSAPPHLQHASSTPSARWPWRSGMECLRPCGSDGELVGAKCGGGGSPPGSCLGERLEVAPGDTTQRLPLRLCRYGRHLSFLRRRRRRGAPSWASGRHGTRGLNVLLGPAQLEKQPNRPCLGRWSGTKPSSARPGRHDVSCRPDPYRAVLGMGLCQA >Sspon.05G0016840-2T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:65704591:65706309:-1 gene:Sspon.05G0016840-2T transcript:Sspon.05G0016840-2T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGMATTITKEWSNDLMSWVFLASLAVVLLQLRQWSKLRLPPGPKPLPIIGNLMMMGQLTHRGLAALAERYGGLMHLRLGRRHVFVVSTAEYAREVLQAQDVAFANRPATTAIAYLSYGHADMAFAHYGPFWRQARKLSVTKLFSRRRAETWLAVRDESTALVRALARRSGEEVNLGELVFSLSTNVIFRAAFGTRGCEGLGEYIGVLKDFSQNISTFNIGDFMPWLAWIDPNRRLRETRDGLDRFIDKIIDDHIQRGRSSTDAQADIVDEMLACIPGDAVDDRHGSLRLTRSNIKAVILDIMFGGTETVASSIEWAMKELLRNPDDLRRLQQELADVVGMDRNVTESDLSELPFLTCVVKETLRMHPPIPMLYHATAKDCDVGGYSVPRGSQVTVNVWAIGRDRETWKDPDVFRPSRFAAQEGGDASGLDLNGSCFEFLPFGSGRRSCPGMALGLHALELAVALLAHGFDWALPSGMKPSDIDVADVFGLSAPSAERLYAVPTPRLTSPLY >Sspon.06G0000710-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:746572:748992:-1 gene:Sspon.06G0000710-3C transcript:Sspon.06G0000710-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPAESQQVELSHLEGRRRNPSSRPGTNGGSARTDDDDSAEKEEVDDCPIEEVRLTVPITDDPALPALTFRTWFLGLLSCALLAFSNQFFGYRQNPLYISSLSVQIVVLPLGKFMAACLPSKTVRIMGWSFSLNPGPFNLKEHVLITIFANTGSNSVYAVGIITIVKAFYHREIHPLAAMLLTQTTQLMGYGWAGLFRKFLVDSPYMWWPANLVQVSLFRALHEKEKRPRGGTTRLQFFLTVLAASFAYYIVPNYLFPTISTISVVCFIWKNSVTAQQIGSGVYGLGVGAFGLDWATVAGFLGTPLSTPAFAIVNIMAGFFLIVYVIVPLAYWSDAYGARRFPIISSHVFMANGSRYDVNRVLDPTTFQFSQAGYDGAGQIHLSIFFAFTYGLSFATLAATLSHVALYHGRSIWEQTKATVRAAGGDVHARLMRRNYAAVPQWWFQVMLVIVLGLSLFTCEGFGRQLQLPYWGVLLAAGIAFFFTLPIGIITATTNQQPGLNVVTELIIGYLYPGRPLANVAFKTYGYISMSQAIMFLQDFKLGHYMKIPPRSMFAVQLVGTVLASSVYFATSWWLLESVPNICDPTKLPEGSPWTCPGDDVFFNASIIWGVVGPLRMFGRLGLYSKMNYFFLAGALAPVPFWALARAFPDSAWAPWLRLINMPVLLGATGMMPPARSVNYLMWGAIGLAFNYVVYRRYKAWWARHNYVLSAGLDAGVAFMGIVSYAVLQSRGINGVDWWGLQVDDHCALARCPTAPGVSAPGCPVH >Sspon.03G0023550-1T-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3A:72119767:72120105:1 gene:Sspon.03G0023550-1T transcript:Sspon.03G0023550-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPSSLRRTAAAFVVVALLLLASPPSSMLQLQAARTTPTDGHQGRRVQQQEEMSAPTTTTSSSGSGSTPSSASGQERPPPLALLLLMPPPLPTTIEEASAPRSRMLGSVPSPG >Sspon.07G0015590-4D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7D:53402085:53405714:1 gene:Sspon.07G0015590-4D transcript:Sspon.07G0015590-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAALASGVLKVAGDKLVSLLATEFAAITGVKRDLSELQDIHAEITSWLSAAHDRAIQSEAQSRWVVKLKDVAYDIDDILQEVQLEAEKQKMERDDDKSTIAGCFCAKPKAFAFRYKMAHKIKAIKVRFAAIVKQRSDVNTLVPRDQHVGTSYRTVGKMYWLSEVPESKIPRRDQEKDAIISKLVECNAGENSMIVSIVGLGGSGKTTLAKHICHDVKIKAHFGDGIFWVHVSQEFDFEKLIGKLFQTIVGDNSDRHPPQHMAQKISEKLSNKKFLLILDDAWHEDRHDWEEFMVQLKCGAPETRIMLTTRDRKVAEAVESRYIFDLAFLLESESWNLFLKGSGLAEQDLSSDHVQVGKEIIKGCGGVPLAIQTLGAVLRDKMQISTWRAIRDNNLWNVQSINDRVFASLKLSYIHLADELKQCFTFCSIFPKGYRIQKDRLIAQWIVHGFINAMNGEQPEDIGRDYLDSLVKVRFLQEPYGSSNTDMYNMHDLIHDLTRQILKDELVTCVPIHTTEEFTHRYRYLSLTSLTENVDKGLFDKVRALYISDSKPSFDTTVKNSCCMRSVVLDYAIDTPFSLFILKFEYLGYLEIHNVSCTTVPEAISRCWNLQSLHFVNCKGFVTLPESVGKLRQLRTLELHRITDLESLPQSIGDLYVLRSLQLYNCSKLREIPSSLGRIGNLCVLDIERCSSLQQLPSDIVGEFKNLRTINFGGCTGLQDLPSTLSCHTLHTLNLSGTKVTMLPQWVTSIDTLECIDLEGCAELRELPKGIANLKRLAVLNIVRCSELCCLPSGLGQLTRLRKLGLFVVGCGADDARISELENLDMIGGHLEITNLKYLKNPSDAEKACLKRKSNIQSLVLNWSLSDTEEELVLDMEHDWGVLNALEPPSQIERLIIYGYRGPCLPGWMMKQNDSSYCEGGIMLKQTIASHFLCLTWLRLARLPNLRHMRGFVELPSLKTLELREMPNLEELWTTSSGFETGEKELTAQYLFPVLSSLEISGCLKLNVSPYFPPSLERMFLSRSNGQLLSTGRFSHQLPSMHALVSRLKTLVLTEVTGSSSGWELLQHLTKLKHLSISRCNDLTQLPESMRNLTSLERLRIGECPAVGTLPDWLGELHSLRHLELSMSDLKQFPGAIQHLTSLEHLNLLSGRALTVLPEWIGQLSALRELYIQNSPALQYLPQSIRRLTALEKLRINGCPGLAERYKRG >Sspon.02G0016460-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:45443037:45445187:-1 gene:Sspon.02G0016460-1A transcript:Sspon.02G0016460-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQVHAPHVVMLTSPGVGHVAPVAELAARLAAHHGLTSTIVTYTNLSSPTNSSVLASLPPGVSTTALPEVPIDDLPADAHIVTRILIVVQRTLPHLRALLRSLLDSPAGITVFLTDLLCPAALAVAQDLGVPRYVFYPSSVMSLSMLLHAPELARTTTCEFRDLPDPVIIPGCLPLHGADLVEPLQDRANPVYDLLVDLCLDYLRGDGFIVHTLDAMEHETLEALKDLSDKGVYPPAYAVGPFLRPYTDKSAEHHCMRWLDGQPDGSVLYVCFGSGGTLSSTQTAELAAGLEASGQRFLWVVRLPSDKDSCGAYFGPGDHVDDPLSYLPEGFTERTRGTGLVVPQWAPQVEILEHRAVGGFLSHCGWNSSLETVSSGVPVLAWPLFAEQRMNAVKLVHVGLALRVSAREDGVVPREEVAAVTRELMVGEKGAMARKKARQLQAEASKASVPGGPAHQALAAVVDMWKCAPSSPAVAAGLRLDTVPSHPVQASITAPCVHACLARTVEPRPAPILRSPTPHADAPTRESRWRLNSSSRQAAA >Sspon.08G0004320-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8A:12520010:12520420:-1 gene:Sspon.08G0004320-1A transcript:Sspon.08G0004320-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTPSLGEFSDQPDASVELTRRAAPRPIGLRRPRGLPDSTATARSSNGHTRQRSNATTERAASQTKRASAHTTHARNDMTGRAPRNDRTRQCQRPVVVQRGSRELLSRPDAPRVRLALRHALLLWVPDRTRRSRE >Sspon.03G0026120-3D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3D:2307849:2314944:-1 gene:Sspon.03G0026120-3D transcript:Sspon.03G0026120-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVAATRRRAVWTRPRRRKARTGSASCHHTSRSRSDSPATREARTGSGSHRHTSRSRTDSPATTGGAHWQCTRLVKHATAPGQRGLHHGRTLPSLAATASLAMSSHCPASQGRRPQARPATGVSACRRACHYRDASCLRAHAKAATATATRQPAAYALLPPRRGRGWAAGWGHELAGRRVRAENRHRAAPGRYSAAAAEPHHTCEDAGSGGVDGGSGPVEAGSAPLAIRTSRRRGRGGAATGAVGEAEDKPENKQSADPRWRSTDLAISYAHLLVARAAAPPLAMGARSHGGARGKKEQRRRMGGKDVIYLKQQHSSTLQAADVQKRLKEMSDRRFFDANGQSDMGFKDTYGNNKSDIREQQLRFVQSSPLNSYSSTEDLVMMPKRRGGRDKEFISHSEWLNTVQAEPDVISMSFIPITSLLNGVPGSGFLNHAINLYLRHKPPIEELHQFLEFQLPRQWAPVYSDLALGPQRKRQSTQKPPPRPADVNINSAVYPGGPPVPVQTPKLLKFVDPTEMMRGPQDSPGYWVVSGAKLNLERGKISLRVKYSLLTAMMPDDESLDDDEF >Sspon.03G0014310-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:66933103:66939076:-1 gene:Sspon.03G0014310-2B transcript:Sspon.03G0014310-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VSALSDSRLQLDSRQPCRIPRSKGKQQLHCTNPNHHQKHMRFVGEDSGNARSSILRLKLLGGFKVFSYSRPETEENLASVVQKNMADTEAAMDTGSSRRSQDGTATLIPHSGNLGESSRKGVKTTRFKDDDEVVEITIVQRDSVAIEDVRAVDDGGSGHGGGFDGLSLVSPSSSRSGKLSSKIRQVKNGLKMKSSSNKAPQTQLGKNMRKRLDRSKSGAAVALKGLQFVTAKVGHDGWAAVEKRFNQLQVDGVLLRSRFGKCIGNFSGSIEYVSPYSYLNNANTSIALDCAGMDGSDEFAVQVFDSLARKRGITKQLLTKDELKDFWEQLSDQGFDNRLQTFFDMVDKNADGRITAEEVKEIITLSASANKLSKLKERADEYTALIMEELDRDNLGYIELEDLEALLLQSPSQAAARSTTQSSKLSKALSMKLASNKNTGPFYHYWQEFMYFLEENWKRIWVIAAGVAVGVALHAGAHLTCDFPRLLHASDAAYEPMKPFFGDKKPPNYWWFVKGTEGWTGVVMVVLMTIAFVLAQPWFRRNRLKDSNPLKKMTGFNAFWFTHHLFVIVYALLVVAVYPGNVLALYMSKPPGFRYRSGQYIFINCRAVSPYEWHPFSITSAPGDDYLSVHIRTRGDWTSRLRTVFSEACRPPTDGESGLLRADLSKGITESNARHRPEGSGKAKKRPFMTKRAYFYWVTREEGSFEWFRGVMNEVAEKDKDGVIELHNHCSSVYEEGDARSALIVMLQELQHAKKGVDILSGTSVKTHFARPNWRSVFKHVAVNHENQRVGVFYCGEPVLVPQLRQFSADFTHKTNTKFEFHKENF >Sspon.07G0028390-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:66312614:66316899:-1 gene:Sspon.07G0028390-1B transcript:Sspon.07G0028390-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRASVGRGRIARHRGRAGRLLGRAARLRAHNDLQARTSSRPTPSLPVRLNGGNAKFSAETVGFGCLATAAANTEFHRPSAADTEFQRSRSSGRPTFQKSFPSLMGRNIKKAKKAKSKKSKKKTEASSSSNPAVASGPAKVWQPGVDTLEDGEELQFDPEAYNYLRGFGIGWSCLSFDVVRDQLGLVRSEFPHTFYGVAGTQAEKASWNYIGVFKLSNIIGKKREPIPASAVDGDTDVDSDSSSDEDEEINEDTKPILHLKKVAHAGCVNRIRSMTQKPHICATWGDTGHVQVWDLSSFLNSLAESGTAAPKDDDIIHKHLPVKVFSGHKDEGYAIDWSPLVTGRLVSGDCNKCIHLWEPTSNNWNIDANPFVGHSASWSPTEADIFASCSVDGTILVWDIRTGKKPCISVKAHKADVNVISWNRLASCMIASGCDDGSFSVRDLRSIQEDSLVAHFEYHKKAITSIEWSPHEASSLAVTSEDHQLTIWDLSLERDAEEEAEFRTKMKEQANAPEDLPPQLLFAHQGQRDLKELHWHPQIPSMIISTAIDGFNVLMPSNIDTTIPGSTD >Sspon.08G0016220-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:63955842:63959859:1 gene:Sspon.08G0016220-1A transcript:Sspon.08G0016220-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAHSVAAAHATIAARAAPSAGASAPAERLGFRLSSLAGRGLRSPLPARRAPSAAASRRQRVVRAAAVETLEGKAATGELLEKSVNTIRFLAIDAVEKANSGHPGLPMGCAPMGHILYDEVMRYNPKNPYWFNRDRFVLSAGHGCMLQYALLHLAGYDSVKEEDLKQFRQWGSKTPGHPENFETPGVEVTTGPLGQGIANAVGLALAEKHLAARFNKPDISCILYRYAILGDGCQMEGIANEACSLAGHWGLGKLIAFYDDNHISIDGDTEIAFTEDVSTRFEALGWHTIWVKNGNNGYDDIRAAIKEAKAVTDKPTLIKVTTTIGFGSPNKANSYSVHGSALGAKEVEATRQNLGWPYEPFFVPEDVKSHWSRHTPEGAALEADWNAKFAEYEKKYADDAATLKSIITGEFPTGWADALPKYTPESPGDATRNLSQQCLNALANVVPGLIGGSADLASSNMTLLKMFGDFQKDTPEERNVRFGVREHGMGAICNGIALHSPGIVPYCATFFVFTDYMRGAMRISALSEAGVIYVMTHDSIGLGEDGPTHQPIEHLVSFRAMPNILMLRPADGKETAGAYKVAVLNRKRPSILALSRQKLPHLPGTSIEGVEKGGYTISDNSTGNKPDLIVLGTGSELEIAEKAADELRKEGKTVRVVSFVSWELFDEQSDEYKESVLPSAVTARISIEAGSTLGWQKYIGAQGKAIGIDKFGASAPAGTIYKEYGITVESVIAAAKSF >Sspon.02G0045340-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:105344204:105345729:-1 gene:Sspon.02G0045340-2C transcript:Sspon.02G0045340-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQHMLPLLNNMEAPPGQSSKQQQLMRTVSISVLVMSLPVLYVSFLHVPPAALFRDTTFWFLMSNSIIIVIAADSGMFFFRSSSSSASPDDDDGGLSFAAVSGAEPAVTVSVVVKDGRHAPSMGVSDEAEAEAIKDQQALVVREHGDLAAAIAESDRAYALVDRVAATAPETRDIIIVTPSATNVDAGAIVVPATTTTTTAPRQLTASRSLAEREERRATRRRHQRVGHRPSHSQALVPVQDKSVVVSEESRHLRRAATTDRRPPPPPSAEEETIEEKESEYSRLSDEELNRRVEEFIARSRAEMDQGSCSANQLKITSEQYHYSTISELLTATRSQTCDLRQ >Sspon.05G0015280-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:52935619:52937501:-1 gene:Sspon.05G0015280-3C transcript:Sspon.05G0015280-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKYSDRAYRDRSDDFAVPVVLDWAVRNVGNCTAGRRNVTDYACRSALSECLDSINGPGYRCSCSSGYEGNPYLDGGCKDIDECQRRDQYPCYGVCTNTPGNYTCQCPRGTSGDASRKNGCRPKDKFTLALRVVTGVSAGLFLLGFMSFWLYLGFQKRKLIRAKQKFFEQNGGVLLQQQMRSYDRAGAGAAGFKIFSKEELQKATNGFAADRVLGRGGHGIVYREALAYMHSSASPPLLHGDVKTANILLDDNLTAKVSDFGTSKLAPNDEAEIATLVQGTWGYLDPEYLMTCHLTDKSDVYSFGVVLLELLTRKKALYFEGQEEDRSLVSCFITAVKAGRMEELLDSQVRNEISACEILQEIVDLVMQCVSISGEERPPMKEVAERLVRLRRYQQHPWTHDDGNPEETQALLFVSEQRNGHLQSGHQQHAFNIEDGTRYFTL >Sspon.05G0002450-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:8264277:8266140:1 gene:Sspon.05G0002450-3D transcript:Sspon.05G0002450-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hexosyltransferase (Fragment) [Source:Projected from Arabidopsis thaliana (AT2G20810) UniProtKB/TrEMBL;Acc:W8PV18] MRRRPFLDQRRPSFKRRWQQRPWWVRLVLSLLLALACVLLLAVLLGSPDPGASPSTSAASSGSETTSSPLLRQRSYLEGITDALNMTDEMLSARSFSRQLMDQIYLAKTYVVVAKEANNLQFVAELGAQVRRAQSILAHAAAHGGTVMEQEAEKAIRDMSVLLFQAQQLRYDSGITIMKLKGQIQSLEEKSKAEAEKSTKYGQIAAEELPKGLYCLGVRLTMEWFKSPELQRKFSDRSPAVQSNLRDNSLDHFCVFSDNILAVSVVVNSTAINSRHPDKVVFHLVTDELNYAPMKAWFAMNDYRGVTVEIQKVEDFTWLNASYVPVLKQLQNAATQKFYFSGSGNRGTPIKFRNPKYLSMLNHLRFYIPEIYPELQKVVFLDDDIVVQKDLSELFTLNLNGNVMGAVETCMETFHRFHKYLNHSHPLIRAHFDPDACGWAFGMNVLDLVEWRNKNVTGIYHYWQERNADHTLWKLGSLPPGLLAFYGLVEALDPKWHVLGLGYTTVDPATIKEGAVLHYNGNMKPWLKIGMEKYKSFWDNYVDYSHPLIQQCFM >Sspon.04G0003810-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:45364805:45365077:1 gene:Sspon.04G0003810-2P transcript:Sspon.04G0003810-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRNNSFGTSWADQWDYGGDPSPRAPRDHAHGGKAAGGGVGEKTKAAAATGIRKVKEGTAQGFQWIKDKCQRKNGGGGGKKQQGSEVPGY >Sspon.07G0001260-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:2106323:2113407:-1 gene:Sspon.07G0001260-2D transcript:Sspon.07G0001260-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVRKSIIAMLPHPKECDLYYVNRDTLFSYHKESEIFLQRMMALYVASHYKNSPNDLQLMADAPAHHLFVLLGPVDESKNQLPDILCVIQVCLEGQISRKSAMKSLSEGRSPSGDQIPWKFCEQFQDNVFPSLSGARIVRIAVHPSALRLGYGSAAVDLLTRYYEGQMIKLDDDEEETEEPEVNITEAAEKASLLEENIKPRANLPPLLVHLHNRRREKLHYLGVSFGLTQELFRFWRKHNFYPFYVGQIPSAVTGEHTCMVLRPLNSDDIEVSESNKCGFLDPFYQDFRQRFRRLLGTSFRHLNFKLAMSVLASKIDYSNHEPSDYDNNSTSKLLGDMLSPHDMKRLEAYSNNLVDYHLILDLVPILAHQYFSERLPVSLHGAQAAVLFCMGLQDKDIGTVKEELGIEREQVLSNFIKTMKKLYGYLHNIAGKEIEATLPRLKEIEMPPLSKSMDEDLAEAAKEVEEQRRAANEAPVDPKILQKYAIGDDNEIEKALQNSKVSASGIISVKSNKTKADKKEKHKESGKSKRKGADGGRSESKKKRT >Sspon.07G0015860-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7A:56206261:56208531:-1 gene:Sspon.07G0015860-1A transcript:Sspon.07G0015860-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATISHRRNSIPQLLNEQGLWVQDHEGKVGLLWNSFRKRMGVTTNPVMIFDLQSLMTMVPGLDELVLPFEHEEIDSVVKRMPIDKASGPDSFNGMFMKRFWQIIKQDFYSLCDEFYNGTVNLECINSSYITLVPKTSNPEKVGSLPFTYLGLSMGTTKLCIEDLTPIMDRVERILSACSTWLSYSGRLQMVNSAITPIVIYTLCTIKVPKGFIENIDRAHSIMSAQYPRLHSYARNKSISVKELMMQEDLDDIFVLPLSAQAYEEMIDLQNHLVALEYDDSTADSWTMLWGPQYSSRRFYNHVFSGMASNPYFKNSINFSWDISLPLAERLLRANEVHALDFFVEASLIAAWEL >Sspon.07G0007860-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7A:21140921:21144762:1 gene:Sspon.07G0007860-1A transcript:Sspon.07G0007860-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALTTRGGAAGGDPAKAPSASDPSLGFLTKRDTEVKLPRATRVKNKTPAPIQITAEQILREARERQEPEIRPPKQKITDSHELSDYRLRKRKEFEDVIRRVRWSVSAWVKYARWEEQQRDFARARSVYERALDVAHRDHTLWLKYAEFEMRNRFVNHARNVWDRAVSLLPRVDQLWYKYIHMEELLGAVANARQVFERWMSWRPDTAGWNSYIKFELRYGEVERARAIYERFVAEHPRPDTFIRYAKFEMKRGEVERARRVYERAADLLVDDEDAEVLFVAFAEFEERCREVERARAIYKYALDRVPKGRAEELYRKFLAFEKQFGDREGIEDAIVGKRRFQYEDEVRKNPLNYDSWFDYIRLEESVGNKDRIREVYERAIANVPPAEEKRYWQRYIYLWINYALYEELDAQDIERTREVCKECLRLIPHKKFTFAKMWLMAAQFEIRQINLMAARKILGNAIGMAPKGKIFKKYIEIELYLGNFDRCRTLYEKYIEWSPANCYAWRKYAELEKNLSETDRARSIYELAIAQPALDTPEVLWKEYLQFEIDENEFERTRQLYERLLDRTKHLKVWISYAEFEASAGLGGEDSESEEKKNEVGYQEQQMERVQKCRAIFERAFDYFRTSAPELKEERAMLLEEWINKEVSFGDLGDVSLVQKKAPRKVKRKRPIPTEDGSTIAYEEYIDYVFPDEVALAPNLKILEAAYKWKKQKTGDDDE >Sspon.04G0008300-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:24513048:24516317:1 gene:Sspon.04G0008300-4D transcript:Sspon.04G0008300-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Two-component response regulator-like APRR1 [Source:Projected from Arabidopsis thaliana (AT5G61380) UniProtKB/Swiss-Prot;Acc:Q9LKL2] MVGGGEGDRVGGAGGGGLGVGGGQQFVDRSQVRILLCDGDATSSREVLRLLCNCSYHVTCAKSPRQVINILNYEGGEIDIILAEVDLPVSKCFKMLKYIARNKDLRHIPIIMMSNRDEVSVVVKCLRLGAAEYLVKPLRTNELLNLWTHVWRRRRMLGLPEKNFFNDNFELVISEPSDANTNSTTLLSDETDDRPKENTNQETGTSNQLEYESNPSVAEPDQRDKMEGLPGSVLDASQASSPGRMFSRPIKTNLRIAGSSAFLAYVKTSTPTTSSFDSELQRGGSRLDSLDNQGNCSCATDRSDTGTDVNIRNKEAFEMPVQYPMVCFSSSNIHMERSNEGHNDTSGTPPVYHFPFYYPGMVEHNMAVSSVQNFQANINNAQAHTPPMMLRSTMFIPNAMLYL >Sspon.06G0032090-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:78985378:78986597:1 gene:Sspon.06G0032090-1C transcript:Sspon.06G0032090-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAVVAIASASPLDPLAVGSSYHASVSLSAEQEGRVQNRDGSRRHGFEFKAVITKVAWGRITADPALRNTPPHPPRLAAVQSFHFYTHFSIRVSEPCFRSNTQSSEVYSR >Sspon.02G0003210-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:10446330:10448740:1 gene:Sspon.02G0003210-1A transcript:Sspon.02G0003210-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RABH1e [Source:Projected from Arabidopsis thaliana (AT5G10260) UniProtKB/TrEMBL;Acc:A0A178UPG4] MAPAVVSALAKYKLVFLGDQSVGKTSIITRFMYDKFDTTYQATIGIDFLSKTMYLEDRTVRLQLWDTAGQERFRSLIPSYIRDSSVAVVVYDVSNRQSFLNTSKWIDEVRTERGGDVIIVLVGNKTDLVDKRQVSTEEGQSKAKELNVMFIETSAKAGFNIKPLFRKIAAALPGMETMSAKSEDMVDVNLKPTSSQSNSQQQAGSGCAC >Sspon.04G0005560-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:12098270:12099366:-1 gene:Sspon.04G0005560-2B transcript:Sspon.04G0005560-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding METETTEQQNEKIVTKGPSKRGGGNKASSSLAMIPSDDEDDDFTLEEVSEVQAQKKGRGKKPAAAVKPKAPATRKRVPAQGKAVQKKIDEMLKPTEDNNTSPENKVRKMRPSPFNKKSGSILQRGSTAASANSETTAEASPLSGSSAEPVGAAQPRRTARATKKPVYVTDSDPEDEVVELTDDSDFDVDVGEWRAIRLQVSILALWLLLVVVMRHERGEHVGEQAQRSKCHGDDRLS >Sspon.07G0017170-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:61794873:61797360:-1 gene:Sspon.07G0017170-1A transcript:Sspon.07G0017170-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPSKPAAVSWERTCNLKIVWMRYAVTLFVRSGHRRAEVGRDASEADAAQVRAAAVLRHELGEVPDPLHEPLPRLRQWLPAVEHPDEAPPKATHVSSTFSRGADRISASFTPNSAELTTCALRIEERHGLRGMAVAANVEVLSAFQRRDPRLDTRACYACIGMLAATLAPTPALASREGPRCSGSHLDLRSHGFSRMSPVPPPHPRACRQLAFLDPVEVSWKVKKWLNVGTERRLKKVIADVHGFVMGVASASVDHTTGTTSLLSWFVASAKHSDDAALRDLVLSFLIAGRETTSSALTWFFWFLSSRPVLAACVLAEVRAARASTGMCPGQPLGFDVLRSMHYLHAALTESMCCTRRRRWTEQSCVADDALPDGTRVGKGWSVTYSSAYAMGRLTAILGEDCVEFRPERWLGDDAAMCLAKKMAYVQMKSVVGSVLEEFVVDVRKEVAGGDVPEQMKRGLPVQVRTREVAGSAE >Sspon.03G0012920-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:35972340:35973521:1 gene:Sspon.03G0012920-1A transcript:Sspon.03G0012920-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MREDFEGYRKQKREERDKVLVGAQRVANVYKELIKSSTEECSAIKEKVKRYIAERNAREAIARSGLGDADAPPTPATEISVEEGTGTPSEDAAQDGFDLAILEERKARRERIKRDCRDPSTSGLKDPKEEPAKEMTLDLENRRYNFYLRPIGGRV >Sspon.03G0027930-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:7154258:7155856:1 gene:Sspon.03G0027930-1B transcript:Sspon.03G0027930-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAVAALLVVLVAVAVLLFRGLRRHTGHRNHCPYPNPVLGNVVPFVRNFHRFLDWATDQLAAAPASTIEVRGALGLGNGVATADPGVVDHLLRAGFPNYVKGARFAGPFADLLGSGIFLADGRLWSLQRKLASYSFSSRSLRRFSGRVLSAHLHRRLLPLLAAAADSGEAVDLQDVLKRFAFDNICGVAFGVEASTLLELGEEDGVGRGRRRRHDAFFKAFDDAVEISFARMLHPTAVVWKAMRLAGVGSERRLREAIRVVDEHVAAIMESEERSRGRGDDQHLLSRFAAAMEEEEGSELGTMFQSPEAKRRFLRDIVVSFVLAGKDSTSSALTWFFWLLAANPRCERRVYEEAAASLDENGYDDHSGYDELRGMHYLHAAITEAMRLYPPVPINSRVAAAGDVLPDGTTVRAGWFSDYCAYAMGRMPRLWGDDCREFRPERWLDGGGEFVAVDAARYPVFHAGPRACLGKEMAYVQMKTVVDAVVRRFAVEPVRAARMEAPPPYEMAVTLRMKGGLPVRIRRRESDAGRH >Sspon.04G0007750-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:21587957:21592219:-1 gene:Sspon.04G0007750-2C transcript:Sspon.04G0007750-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDSQYSFSLTTFSPSGKLVQIEHALTAVGSGQTSLGIKAANGVVIATEKKLPSILVDETSVQKIQALTPNIGVVYSGMGPDFRVLVRKSRKQAQQYYRLYKEHIPVTQLVRETAAVMQEFTQSGGVRPFGVSLLIAGYDDNGPQLYQVDPSGSYFSWKASAMGKNVSNAKTFLEKRYTEDMELDDAIHTAILTLKEGYEGQISSNNIEIGIIRADREFKVLSPAEIKDFLEEVE >Sspon.01G0040220-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1C:31849894:31852778:-1 gene:Sspon.01G0040220-2C transcript:Sspon.01G0040220-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPFPEHRHEGTGCLARRRDAAHRGPRTAGAETLGQAAHARARWREEGARRWDLRERILGSPGSAREVQGREWTREGREESRLARLWAPLREMAESEQVEEKKTLTDSLRALFLSSDSIHTLSLPRAPPSPQRPLPPPRSLSLSASLTSPSLLWQHPPDTHPCDGSSLYPTADTRLPLLFISPRSSTGGASFYLKLVRRTGRPDPSPALVDLAVTGSTSAEPAPARADLAATSSNTVDLAPVPVDLALARADPGPGGFGGGGLHHGECSPGAD >Sspon.01G0061530-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:94488903:94490030:1 gene:Sspon.01G0061530-1D transcript:Sspon.01G0061530-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNSTNLRFWRTYHNSGKFDLTDLTHPHIWYPKAREKKRNVFLHVGPTNSGKTYSALKRLEASASGVYCGPLRLLAREIAQRLNKVNVPCNLITGQERDEIEGAKHSSVTVEMADVTTDYQCAVIDEIQMVGCKSRGYSFTRALLGLCSDELHVCGDPAAVPLIQRILEATGDVVT >Sspon.01G0015670-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:51722497:51723972:-1 gene:Sspon.01G0015670-2B transcript:Sspon.01G0015670-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRFAAVKRELQMEEDDEATDRALIYSVASSAVVTADDDEGCNSRKKLRLSKEQSALLEDHFKEHSTLNPKQKAALARQLNLRPRQVEVWFQNRRARTKLKQTEVDCELLKRCCETLTEENRRLHRELQQLRALSHPHPAAFFMPAATALSICPSCERLATGVSAATATATATATTGADGRKAGGPGRAPHLFSPFTKSAAC >Sspon.03G0025300-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:76527097:76531076:1 gene:Sspon.03G0025300-1A transcript:Sspon.03G0025300-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGADYYKVLGVDRGAGDDDLKKAYRKLAMRWHPDKNSTNKKEAETKFKEISVAYEVLSDPKKRAIYDQLGEEGLKGQPPPGAGAGGPGAFPFFPGGAHSTAHHFNPRSADDIFKEFFGFPGMGGMGGMRAEPGFQRSMFGNDIFSSRFGAEGSTSMRQPSHKPPAIENRLPVSLADLYKGVTKKMKISRETIDASGRISNAEDILTIEVKPGWKKGTKITFPDKGNEAPNMKPADIVFIIDEKPHDVFTRDGNDLVMTEKISLVEALTGYTARVTTLDGRSLSLPINSIIHPNYEEVIPREGMPIPKDPTKKGNLRIKFNIMFPSRLTSDQKAGIRRLLGS >Sspon.04G0003220-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:5952871:5956447:1 gene:Sspon.04G0003220-2B transcript:Sspon.04G0003220-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAENTHGSGRRALGDLTNVLHKRPATSDLEKSTGGIKIRRIEKDTGTGKGFDENAKTSSRGKGIVFGHLFDGVAKENFERPSIFQNTRVQHMAAEAAGLLSKEASDLKNHHASIDSFDLSDKEQDSSLESEGDYDDEDNDEMNGEPPRHFCSSELANKTSTNDGECLTQEEIVGSSGNQKPLSSLEATAGGDMPSSSVQHASMRTGGLEEAVATKSCACSFCLKVLKKNIKFARLLEEKSRGSEYAANVAGYNSKRAVGMEFELSQQQRSLFLYTENALVRESTQLHSTFVKLKELRENCKTNLETISKSSMRK >Sspon.02G0031540-1P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:115194516:115199786:-1 gene:Sspon.02G0031540-1P transcript:Sspon.02G0031540-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDEGSGGGRSPGPDAHGDRATAVALAPFASPRRAKTVRMSEAREFIIPCAADQGRSSGFDVAKRSPAGSAGAARALGPASGGGDGDGDGSSSLPGGVPSEGEMQDTPDYIRRSAARHRVAPLELFSASVSPPPPAGLGAAAEGVASSEKCRAGGGLSESEAVGGGRTDSESLQPEEIEEDKSDCCGLLKQQYGVLLREKEECRRLLEDLMGENELKTRQCREAQESLHDLQMELMRKSMHVGSLVNQYVSLECEFKDLKEKFNEEAKERKDLYNKIIELKGNIRVFCRCRPLNAEEIAAGASSAIDFDSAKDGELIVRGHVSSKKVYKFDSVFSPEEDQEKVFEKTAPYAISVLDGFNVCIFAYGQTGTGKTFTMEGIEGARGVNYRTLEELFRIIKEREGTFQYEITVSVLEVYNEQIHDLLLTGSQPGATTKRLEVRQVAEGVHHVPGLVEARVTNMNEAWEVLQTGSKARVVGSTNANEHSGDSKALMFVQISPNENDVGETLCSLNFASRVRGIELGQAKKQVDVGELSRYKLMVGRAKQDSKNKDAQIKNMEERIQTLEAKNKTKDLLTLNLQEKIKELESQLLVERKIARQHVDNKIAQDHLQKQHGMKEENSYLRSPMAERNLNSTAEKAATPKDFGISKQMFSDSNIDTYSFKQLMSLGDEKENNPKAVQLPPTMKARRLSLCNGGAYQQPLNQASHRKSLLPLPRRSSLQPMPTAKPAAAAVPSPLDKITENLSSPPLCSPPVVSVDKGSRSKRINSILRRSMQKKVVIRPSLAAQAGKKAGAAAAQGTDNARRVARRVPVGGGGGQKVQQNKDKERGWNTGTTLRNNF >Sspon.06G0030800-2D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2D:82196648:82197988:-1 gene:Sspon.06G0030800-2D transcript:Sspon.06G0030800-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVMGEMFVSTLVQETVSKSVSFVLGKREEKASQGHLMERLQMAVSELEFALERAQELPIRHLSLLGRRKQIKSAYNEAMELLDKHKQQQAVPAGQELQTGRGVKHKRWNFWANNMSLKPFVGLSTDAVRRFEWYADHAGRFVRDVESGCSLHHYTFCNPIVRHLLEGKTLVYYHLEQGNLLRGFYIWPVYSDERGLEAVLAYRYGNSIINEKYFFLLLFLRLSESTDIVGVAIKGLQLLTSEFKLATEFAMGELTLLSNLQDIGHSYGPPCVGFQEHYINDMQLFRPDPACCKGSNGLCGNNNVSSELSDMFPEQVIFGGFECTSFDMVGRSKSRGGGKPPLSLSADFWPHAATETQDSYALERMGDVQEFRDTSTQQVAEGLKLDAINRLLCQPKLTEYRIDWYSKHGAAWFTVKKASTERADVPKTSRRYNTRGSTRRSSRRC >Sspon.01G0009800-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3B:78547531:78548339:-1 gene:Sspon.01G0009800-2B transcript:Sspon.01G0009800-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEVTRDIQGDIPWYMLFADDVVLVDDSKAGVNRKLELWRHTLESKGFRLSRTKTEYMRCDFSATRHEEGDVSLDGQVVAKKDTFRYLGSMLQKDGDIDEDVRHRISAGWLKWRQASGVLCDKRVPQKLKAEMRMLRWCCGHTRRDRVRNDDIRDRVGVAPIEEKLIQHRLRWFGHVQRRPPEAPVRSGVLKRADNVKSGRGRPRLTWDESVKRDLKEWNIYKDLAMDRSAWRLAINVPEP >Sspon.02G0013700-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2A:36482336:36483295:1 gene:Sspon.02G0013700-1A transcript:Sspon.02G0013700-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTLVGHVAPGAGFLLIGLWQLFSHIRLFLLRPSSYSAPVWFPAPGVRHLELILIIIGSVMSILMELVIGPAKHQPFDDDGTIPSDHLHNFEHASISLALLVFAAVTIHMDRVRAPMRDAVSQLVAAAAFAQQLLIFHLHSADHMGVEGQFHWLLQTVIAVTLATTLLGIPYPRSLVVSLVRSASLVFQGVWFIVMGVMLWTPALIPKGCFLNFEEGHDVVRCRTDEALDRAKSLVNLQFSWYLTGTVVFVVLFYLQMAKLYPEEPQYLPLVKGGGGGGDNDSRFSIGDDDDDTEDDVEAAKRGFGHVVSGTKPVEIER >Sspon.05G0008560-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:19338666:19349654:1 gene:Sspon.05G0008560-2B transcript:Sspon.05G0008560-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SRT1 [Source:Projected from Arabidopsis thaliana (AT5G55760) UniProtKB/TrEMBL;Acc:A0A178UR49] MSLGYAEKLSYREDVGTVGMPEIFEPPELVQNKIEELAAMVQKSKHLVVFTGAGISTSSGIPDFRGPKGVWTLQRAGKGVPNASLPFHRAVPSLTHMALVELERAGLLKFVISQNVDSLHLRSGFPREKLAELHGNSFKEICPCCKTEYLRDFEIETIGLKDTPRRCSDKNCGARLKDTVLDWDDALPPEEMNSAKEHCRSADLVLCLGTSLQITPACNMPLMSIKNGGKVAIVNLQATPKDKKASLVIHGLVDKVIAGVMSKLSLRIPPYIRADFVQLTLKHSVKKKCVRWTLRVTSIHGLRAPLPFLQSVKVSFPERPDMKSVVLKEQPFSLQRETSMNKPFFMLLTLNFSDGCSCLSSSIGWPVDF >Sspon.05G0023150-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:10682377:10698336:-1 gene:Sspon.05G0023150-2D transcript:Sspon.05G0023150-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATDSTSAAAHGQSLSQTSSRCVTGSVTASHNFEVTNFPLLDGMGIGRFVSSSTFSVGGCDWRIDFYPDGIETKNKGAYVSAFLYFVRGTAGVSAMVTLSLLGNNSDQATTQLTTSTKTFESVGDDWGFSKLIKKSILLRELLRLKPKLHQDLTDMLKSGEGADVTFSVGGQLFPAHRCILAAWSMVFKAELFGAMKEKDAQRIEIDDMEPAVFEALLHFVYTDSLPDDADNNVAMQHLLVAADRYGLDRLRLLCEAKLCDDIDVPTVATTLALAEQHHCPHLRAGKEMLESIGLHDSTKHIVREICHTNWNLDNDLLDASLQAAYVPHDMVESTEAF >Sspon.04G0016690-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:61271554:61277553:1 gene:Sspon.04G0016690-1A transcript:Sspon.04G0016690-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein S-acyltransferase 24 [Source:Projected from Arabidopsis thaliana (AT5G20350) UniProtKB/Swiss-Prot;Acc:Q52T38] MASSEIEDAAPAATTGGAGPAVAEGAGQEGEVEALKDDVYTGAAYGDPEKLHRLVEREGRSVTVPDALGYHALQWAALNNRVAAAQYILEHGANVNAMDHTGQTALHWSAVRGHVQVAELLLKEGAKVNAADLYGYQTTHVAAQYGQTAFLCHIVTKWNADHDVPDNDGRSPLHWAAYKGFADTIRLLLFLGAYRARQDKEGCTPLHWAAIRGNLESCTVLVQAGKKEDLTVQDNTGLTPAQLAADKNHRQVAFFLGNARRVHERGCVGNGYFGKLLKIGLAPLLWCIIIALIFVYIHSIILGDYNTKMTIFLGLFSWLGVLLATAGLVMFYRCSRKDPGYISKNIRDSQNQRDDEPLLKMGLNNPELLDGNWSQLCITCKIVRPVRSKHCSTCDCCVEQFDHHCPWVSNCIGKRNKWEFFMFLILEVSAMIITGVTAIIRSIADPASPASFGGWLGYTAINHSWVVSFVIMDLLLFFGVITLTVIQASQISRNITTNEMANAMRYSYLRGPSGRFRNPYDHGVRKNCSEFLLKGYHEDIENTVQTLQSDEEMGPIQMRSPVSQNGESIPPHVNGTDYGSTNSHAYSKSNRQSPSKCCNQSKKSERTPSGLGLGLWA >Sspon.06G0009850-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:52478017:52483911:-1 gene:Sspon.06G0009850-1P transcript:Sspon.06G0009850-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPARRMPAMIGRNGMAFGSSSALSLSQADLLDSHHLQQAFQQQLFDQIPATAVDSSDNIIHGRSDTLVDEFESKSCSENPDGTSGDDGQEDPNQRPNKKKRYHRHTQHQIQEMEAFFKECPHPDDKQRKELSRELGLEPLQNQHERQENAQLRAENDKLRAENMRYKEAFGTASCPSCGGPAALGEMSFDEHHLRLENARLRDEIDRISGIAAKHVGKPMVSFPVLSSPLAAAAARSPLDLAGAYGVQPAGLGADHLFGVGAGAGDLLRSVSTGQLDADKPMIVELAVAAMDELLRMARLDAPLWGGGVAGVQLDEEEYGRMFPGGLGPRQYGLRPEASRDNAVVIMTRDSLVEILMDTNRFAAVFSSIVSRASTHEVLSTGVAGSYNGALQVMSMEFQVPSPLVPTRESYFVRYCKNNPDGTWAVVDVSLDSLRPSPVMKCRRRPSGCLIQEMPNGYSKVIWVEHVEVDDRSVHNLYRPLVNSGLAFGAKRWVGTLDRQCERFASAMASNIPNGDLGVITSIEGRKSMLKLAERMVASFCGGVTASAAHQWTTLSGSGAEDVRVMTRKSVDDPGRPPGIVLNAATSFWLPVPPKRVFDFLRDETSRSEWDILSNGGAVQEMAHIANGRDHGNCVSLLRVNSANSNQSNMLILQESCTDASGSYVVYAPVDVVAMNVVLNGGDPDYVALLPSGFAILPDGPPGMAPHGEGAALEAGGGSLLTVAFQILVDSVPTAKLSLGSVATVNSLIACTVERIKAAVCAEGNPQ >Sspon.03G0001060-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:8995595:8997386:-1 gene:Sspon.03G0001060-1P transcript:Sspon.03G0001060-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSSQCVDLGIGIQKPQAHGSRSYFFAIAMENNYACPPPSKGNLITVLSIDGGVVKGIIPATFLAFLESKLQELDGSNARIANYFDVIAGTSTGGPITAMLATPSLSNAKQPCYEAKDIVPFYLKHGPRIFPCRTGIFGWFFKILQIIKMIIGPKYDGKYLHKMTSDLLGDTRVKEALTNVCAKPTIFSTFKVLFLNLVHGIASIDFFRVN >Sspon.03G0001980-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3A:4801803:4802090:-1 gene:Sspon.03G0001980-1A transcript:Sspon.03G0001980-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLGCLDEWRPRHCRAQRQRGAQRRHMELAGALAEVLHHPGRALGEASSTLGKHGGLGEHLREAVNTLASSAAYSMSTASRASTAGREIFLKRRG >Sspon.04G0023120-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4B:10588025:10588291:1 gene:Sspon.04G0023120-1B transcript:Sspon.04G0023120-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAVARREIEEELLRSKAGLLCTYASGHMLVAIRRCRSNVGESLFIIRCSDDVSRVHVQADQCVDWKRAHVRSCFLHRGCSLETNGRR >Sspon.01G0040370-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:32740279:32741220:1 gene:Sspon.01G0040370-1T transcript:Sspon.01G0040370-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAGTRAAAAALLFSFSAVVFLFLLLHAPPPGLGLTADLLVSALDRFLHGRGLLELATRRNMILLCYAILLLILRDAGVLGTLACRRSRSPPGEATAAPARPHATRSGVVALASCSAAPARPHATRSAVVALTACSAAPDYTTRSTVVWRRRRPRNRAVVAPVHEHDAAGRVVVGCRPAVEAVATQTLPVLLTTGPAAQAEEAAAVATKQIVVVESSRNDDDCRAAVVEPLEHRTAIAADGERDDCDDRRIIAVADDDTAREQQTAGMEMEMELADDRTFEEFIKSQRRKMWQESLQLVSSGYHYQAKATCY >Sspon.03G0008910-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3A:24329442:24329655:-1 gene:Sspon.03G0008910-1A transcript:Sspon.03G0008910-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQVGPSHKPLSSGITPQATDIGVPDREPSHRACPPPCSVQQASSAIETVLAVECCAMSEKKENRKRAEKN >Sspon.01G0046900-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:89350065:89350755:-1 gene:Sspon.01G0046900-3D transcript:Sspon.01G0046900-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKHYRPAGKKKEGNAAKYITRTKAVNYLQVSLAIFRKLCILKGVFPRQPKKKVEGNHKTYYHTKDIAFLAHDPLIEKFR >Sspon.04G0031850-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:19620726:19622835:1 gene:Sspon.04G0031850-2D transcript:Sspon.04G0031850-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding PMAAASPPPSPPHAKRPKMSSSSDPEADAEPTSASAAVEGADPAQRRPRYKRRKVAILLGYCGAGYQGMQKNPGARTIEGDLEEALYQAGAVPEADRAAPRRYDWARAARTDKGVSAAAQVVSGRFYVDPPGFIDRLNAQLAPQIRAYGYVRVTNSFNAKKFCDRRRYLYLLPVFALDPSAHPDREAVMASMGSGSELSKCLECSERGRKVPGVMGREGKLPSPGQNGVDAPGEGSVASHDESGTLGDAKCDHTSSDGGNPCAHGEVGSNSKEKLDLPVSGDGTEVANAEMGSNGGGDVVPSDIYIGNEEKKLEPAATEQKAQGMDSEKSNGEEKPPSKSAFSYTDEVKERFNRILKYYVGTHNFHNFTTGTKAEDPAAKRFIISFGADRVVSLDGIDFVRCEVVGQSFMLHQIRKMIGLAVAVMRNCAPESIYDVAFRRDVNLNVPTAPEVGLYLDECMFTSYNKKWKDSHEAVSMELYHEEAEEFKVKYIFTHIAAMEHKEGAVALWLHSLNHRNYPDFHYMETAEPKVGAEVESIDAGAEAKVGAEVENIEEAQMPSGNLSE >Sspon.05G0005950-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:20513323:20519999:-1 gene:Sspon.05G0005950-3D transcript:Sspon.05G0005950-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFQLLQMIDSGEGIDISGISDKPLVKRLKKLFRSLRLKESASGAYLLPPKNVPTLGIVGSLLLESSRLSDNKSGKSVSPNREEPPQANFDVQNKDKDDIISEEPKVIDVEEEPPKRRIIGPAMPSRELLAAAAEMTEALRCRDAELEADDDLLIGPPPPAVVAEAASANEAERFEEVTRILAADTNSPYDVLGVNWKMSTDNMKKRYWKLSLLVHPDKCPHPSAQEAFVKLNNAFKDLQDPDKRGAIDEKIKRKRRWNNLRLSLKQCVRLRNGDGYKDKYDVILFLLAGVSLAGDDELLAGPKESQGPKRDEWMTTLPPERKAGVPMHSTKSFSMNGKEGRGDTSVWTDTPLDRAQKAQQSYLEAYNKTKAIAEGDDVKKQKPRCFNCR >Sspon.07G0021260-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:2310094:2318701:1 gene:Sspon.07G0021260-2D transcript:Sspon.07G0021260-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIQILFMKKRDKKKETKVNQETRPRPFQKSIYRGYRAGSDRSGRDSTGGFKGPVKKEPELHAPLNSSALDVKTSDTTETFSATVSIFQGNLAVNVAQADNTTSQPPSQVQHGWGGIPGRPSMAEIVKMGRPQAKVGSRSVASSTAMPAIGDSVISNIPNLAPKEYNRTVFASEVGHGAADKLPNGAVEVDSVPKEASSLDMLPSAEGTDVEAPSMSANAQGSSTPDANEDIEKDANLEEGNTENLTTPGQVSASGKDIQSEYTEVVSHLDEGSIEKTDDFQVNGLSFEHNQNLNGDMSTTTTQFDHLTLHEGPKLSDDNPAVIIPDHLQVSNADCAHLTFGSFVSGTLDASLTTKPLDSHGDVATVPDDDSIDQSDGSSYKPFIFRIHDDESKVTLAPPANEYAPPANEYVTSAANSNVENLDITSVQKSERASFLDVTNNTEYNLSSTPDYATSGPALQDSASQSYLQENRQFQNISPLSSFMQIQNGLLPPAMPPLREFDPAFSLLLTNPPMMPGAMSSSMSNATVSTQPQENANPVGLPNPQLTQSQPSTSIASGPPLPQHLLHPYAQATLPLGYASMIGYPSLPPSYAYLPPAAFQQPYMNSGLFHQAAAAVPNSSVKYPLPQYKSNIALASLPQPGSLLSSYVGGFGTANNMPGNFPLNQSTTSATTTLGFDGTVPSHFKDGNQFISLQQNENPAMWMHGAGSRGMPPLAASTMYGYQGQSHQAGLRQGQLPSQFSSALGQSQPGLGPEHRNPSDSNLSGAAQANQMWPNSY >Sspon.03G0031830-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3C:38713915:38714075:1 gene:Sspon.03G0031830-2C transcript:Sspon.03G0031830-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding EEKRAERDGEHGQRLGVTSWDCQMRLQKGAIRWRCGHQPWVEEEEGRRNEGSP >Sspon.04G0005160-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:14605942:14608247:1 gene:Sspon.04G0005160-1T transcript:Sspon.04G0005160-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLRRARSILLLLHYVAASHLLPCAPIHRAAGDTLPDPAPLDPALIFPSATPVQPAAAVPGGTIPAFPEQSDSLAGSSSTCPLAPSPALLPAVRSSCGAADGGALPPRLRCCPALAAWLFAAYAPAALAERPPARSPAAAAVDMPLPPDDSEACAGATDRALRAAGAALPRPPGGNGTCDVAFCYCGVRLRRLTCGPPPAQGGLWSPADAAARKLEKDCAQPGVPGCSKCLLALTTIKASNPGGGAAAEAAGKKQQTAARSSESDRDCELMGLMWLLQRNATRYGAAVTAVIQALMAVDEASAAGVVAVAADAGPAAACSLPVDDMPLPAEYAQVGRASDAPRVCCFHLVVLLAILSFRFVNSL >Sspon.01G0006510-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:20539702:20540187:1 gene:Sspon.01G0006510-2B transcript:Sspon.01G0006510-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SMISPRPALSPSFLAFRPGSPAASPPPSPRLHHAPPPLSASFSTVAATAAPGHAAASSFYDVLGLRPGASAREIKAAYRRLALAVHPDAAAPQHPASSSAAEDFIRVHAAYSTLSDPNKRADYDRRLLLSAAATGRRAVALGRSPSFPAHRSRRTWETDQCW >Sspon.01G0030900-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:108576330:108580962:1 gene:Sspon.01G0030900-2B transcript:Sspon.01G0030900-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCCATPLTEGSGKNRPKKQKANLYNVAYNRGAAPTSARPGLVVLRDPTGRELGAQYELGGELGRGEFGITYLCTESATGARYACKSISKRKLRTPVDVEDVRREVDIMRHMPAHPNIVSLRAAYEDEDAVHLVMELCEGGELFDRIVARGHYTERAAAAVTRTIVEVVQMCHRHGVMHRDLKPENFLYANKKESERFTEIVGSPYYMAPEVLKRNYGPEVDVWSAGVILYILLCGVPPFWAETEQGVAQAIIRSVVDFKREPWPRVSEPAKDLVRRMLDPNPLTRFTAAQSIHGYMTLKRCQTSLSVIAEHLSVEEVADIKQMFDKMDVNKNGKLTFEEFKAGLHKLGNQMPDSDLQILMDAADVDKNGTLDYGEFVTVSVHVRKIGNDEHIEKAFTYFDRNKSGYIEIEELREALSDELEGNDEDIINGIIRDVDTDKDGKISYDEFAAMMKAGTDWRKASRQYSRQRFSNLSLKLQKDGSLGAETR >Sspon.08G0008790-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:33723839:33729115:-1 gene:Sspon.08G0008790-1P transcript:Sspon.08G0008790-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKAGVDESLLVAVQPGENLGVCDEVKKQLWLAGPLIAGALLQNVIQMVSVMYLGMASALDTLCGQAYGGRRYNLLGIYKQRAMLLLTLVSVPLAIIWFYTGEILLLFGQDADIAAEAGTYARWMIPALFGYGLLQCHVRFLQTQNIVQPVMASAGAAAACHLLVCWLLVYALGMGSKGAALSNAISYWVNVAVLAVYVRVSRACKETWTGFSTEAFRDALSFFRLAIPSALMVCLEMWSFELVVLLSGLLPNPKLETSVLSISLNTSAFVWMIPFGLSSAISTRVSNELGAGRPRAARLAVRVVLLLAVSEGLMVGLILVCVRYIWGHAYSDVEEVVSYVARMMLVIAVTIFFDGIMTVLSGVARGCGWQKIGACINLGAYYIVGIPSAYLLGFVLSLGGMGLWMGINCGLLVQLLLLMIVTLCANWDREVAKTKNRVFGSSIPSDSLT >Sspon.04G0021960-3P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:52870888:52871530:-1 gene:Sspon.04G0021960-3P transcript:Sspon.04G0021960-3P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding PMARPQQRYRGVRQRHWGSWVSEIRHPLLQQQAASSFLSPALVAKLHRFNLASLQAAQAARGKADAASAAAASAPAALPRIAAMPAGNVAMGIDPSPSAAAAGDWSGGFLEEQYVDQMIEELLDSNFSMEISY >Sspon.08G0003740-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:10417699:10417875:1 gene:Sspon.08G0003740-2C transcript:Sspon.08G0003740-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAFRYTKEAIGLCFKLLEINPEAYTAWNYRKLAFQHNVKELSDPEAIKSAVDDELRV >Sspon.05G0011250-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:26677668:26682851:1 gene:Sspon.05G0011250-2B transcript:Sspon.05G0011250-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to Serine/threonine-protein kinase SAPK7 [Source: Projected from Oryza sativa (Os04g0432000)] MQNKETKELVAMKYIPRGQKIDENVAREIINHRSLRHPNIIRFKEARYFFQQLICGVSYCHFMQICHRDLKLENTLLDGSPAPRLKICDFGYSKSSLLHSKPKSTVGTPAYIAPETADVWSCGVTLYVMLVGGYPFEDPDDPKNFRKTIGRIMSIQYKIPEYVHVSQDCKELLSRIFVANSAKRITIREIRNHPWFLKNLPRELTEAAQAMYYKKDNSAPTYSVQSVEEIMKIVEKARTPPPSSTPVAGFGWAAEEDEQEDSKKPDENNEEEEEGEDEYDKQVKQVHASGEFHIS >Sspon.06G0021210-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:14175546:14178608:1 gene:Sspon.06G0021210-2C transcript:Sspon.06G0021210-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAVCGVTARPHLPSAVPAAARKLFFRCRAASTMNEASASSPDAEEKKTTTVFVAGSTGRTGKRVVEKLLAKGFGVVAGTTDVSRARGSLPQDPNLQLVRADVTEGVDKLVEAVRGVDAVVCATGFRRSFDPFAPWKVDNFGTVNLVEACRKAGVTRFILISSILVNGAAMGQLLNPAYIVLNLLGLTLVAKLQAENHIRKSGINYTIVRPGGLTDQPPTGNIIMEPEDTLYSGSISRSQVAEVAVEALLCPESSYKVVEIIAQADAPNRPLKDMYAAIKQN >Sspon.05G0001440-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:3512983:3517366:-1 gene:Sspon.05G0001440-2D transcript:Sspon.05G0001440-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNLSRTVAQEQLVSQDLHLSPTPVINLGHLSLDSVTRSGVIDQTAKACHDLGHFQVINHGISQSVMDCAVEAASDFFKLPSETKEEFASEDLRQPVRYDTSSKDSISMSRAFLKHYAHPLSDWIQYWPEKPPIYREYMGKYAVEVRRVALQLMEAILEGLGLGKEYLNEKFQEGSQLLSASCYPKAPQDAMTIGLAPHSDYGFITILLTSCQGLEVVDRSSNSWKTVQQLPHALHVHVGDHMEVLSNGQIKTAMHRAVLNPEESRISIASIHGFELHEKVTCAKELVDEQNPPKYKESSFSDFLDHLTANMNNKHRNFLESLRMITGVMTRQNTVAEKLTKLQILIAAAPNKPRFTGHGTEMTISWLDWMQNLQN >Sspon.06G0000090-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6A:377098:377292:1 gene:Sspon.06G0000090-1A transcript:Sspon.06G0000090-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRKLAMAPLHWEPDNFEDFMTRLSKAEDFGPQGFQEVPPRGVRRSHRHLGPHGGRLVNPRHDD >Sspon.06G0018840-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:103431615:103435622:-1 gene:Sspon.06G0018840-1A transcript:Sspon.06G0018840-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTPSPLPRWAPTPSPSRPLWRPWGGGGTPEAHHHPAGGVGTGWWSLASGVFAWAAAGVTEARRPAAVAADAGAGGDPRAFLTWEDVGLTVAGGPRGAPDVRILDGISGHARPGEVLAIMGPSGGGKTTLLDTLAAKTNWKFHAVPLMNGEDNKTVIPSKNST >Sspon.01G0030060-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1A:104382040:104385388:1 gene:Sspon.01G0030060-1A transcript:Sspon.01G0030060-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DHAAEVRRWAEQGSAVPVWSSWFMDMRNLRDGLLAVHRCNDQLHTLLGAEQPAGLYNQTGIDSREGDEDPEARAGGDWGPQNQRGTCPLVHTHLPAAARHGQHNAAGHVHDEPRRLWPRPYLALDLGLPMGWSDEDGPSFCPHFAIALCLTHQLTWPHSCSPALDREKDQILLQSSLVGRRQQPNGSGETLVNVRGSGPVHDGHAQRSRKIRNRAFPSRRRAAASIRLAVVTVACHPIVQQVVAGYGVSEAQRRPARPSLDGLI >Sspon.04G0011600-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:36071740:36072392:-1 gene:Sspon.04G0011600-1A transcript:Sspon.04G0011600-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AMEAEQAEAARRAKEAVEHYTLGPRDITFLTNRAAAYFRTGQYEECVRDCDEAVKRGRELGSDSELIAKALSRKASALLELACCAADYTPAIRALKLSLAENYSEETLEKLNEAESVRKEVEEQERLDQEAANQYREKGLDVARLQDY >Sspon.06G0003960-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:10412050:10414511:-1 gene:Sspon.06G0003960-3C transcript:Sspon.06G0003960-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIAGSSALSFARPVKAINTNSLAFSTVRKGNAFLRLQPMTMRSVSCAAKKDTVDKVCEIVKKQLALPDHTEVCGESKFSELGADSLDTVEIVMGLEEHFDISVEESSAQTIATVEDAADLIDKLVAGKA >Sspon.07G0021520-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:3382235:3389962:1 gene:Sspon.07G0021520-2C transcript:Sspon.07G0021520-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEYMGRKGVPVYIAEISPQNMRGALGSVNQAKMNMMDDCETSLQVLRGFDADITAEVNDIKVSRTVTWTHLHLELFRHISSAGMTLSLLVVAIVFYIKDNVSHDSDLYNILSMVSLVGVVAYVIAFSFGMGAIPWIIMSEILPVSIKSLAGSFATLANWLTSFGITMTANLLISWSAGGKEKRLCWLLLDLDEVKTNDVAAFQTKNSEAFQLCEKISWQLIQRRGRVLENQQCVQSLKLTSALELCHGITGHR >Sspon.01G0000720-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:2527717:2531488:-1 gene:Sspon.01G0000720-4D transcript:Sspon.01G0000720-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTLTPTQRYAAGALLALALRQAQIHQSAPLGSADPGPDDEERASSASGSSSSTTSGSDTASGAGLWTHDSRGLLRPVFRCRVADSPPWPLASLTHLRSVPPVSCFRFLEIEPMAWAGMEETAASPDAKHHIGAFLRILFEEDGESSSDRLEQELALAKAVDVMVMSLGSDNVPDEKIKEESKDSTACTSATQESLEAGSSENLLGIDKLSLEDVPANDHRKMALLYVLLSACVADKPVSQEEQDRKSSHFRKGYDARHRVALRLIAAWLDVKWIKMEAMEVMVACSAMAAAKEQEQERENASPKSKWEKWKRGGIIGLAAPAIAAGFGALAPTLGTLVPFIGASGFAAMAAAAGSVAGSVAVAASFGAAGAGLTGTKMARRIGKVKEFEFKPIGDNHNQGRLAVGILVSGFAFDEEDFWKPWEGWKDNLEKYILQWESKHIIAVSTAIQDWLTSRLAMELMKQGAMRTVLSGLLAAFAWPATLLAATDFIDSKWSVAIDRSDKAGKMLAEVLLKGLQGNRPVTLIGFSLGARVIFKCLQELALSNDNEGLVERVVLLGAPVSVKGERWEPARKMVAGRFVNVYSRDDWILGVTFRASLLTQGLAGIQAIDVPGVENVDVTELVDGHSSYLSAAQQILEHLELNTYYPVFVPLPEARK >Sspon.08G0001970-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:3759003:3761264:1 gene:Sspon.08G0001970-2D transcript:Sspon.08G0001970-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGRLAAASEVAVVFLIRPVLAIAFVVTLILLGWYVAWTTVLVHVPLVQEIAGLRPKKPSKPKPDNRGRVAKFYRNKAEAAQRNSKSEGTS >Sspon.08G0005820-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:15466590:15469022:1 gene:Sspon.08G0005820-3D transcript:Sspon.08G0005820-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FT-interacting protein 1 [Source:Projected from Arabidopsis thaliana (AT5G06850) UniProtKB/Swiss-Prot;Acc:Q9FL59] MAQAGHGGDPHHEDFQLKDTNPLLGEQWPKGAAGPARPAGGGGGGLAGWLGVDKPSSTYDLVEQMFFLYVRVVKAKDLPPNPLTGAPMDPYVEVRLGNYKGKTRHFDRRANPEWDQVFAFSKSRVQSNVLEVFLKDREMLGRDDYVGKVTFDLAEVPTRVPPDSPLAPQWYRLEERRGERGKVRGELMLAVWIGTQADEAFPEAWHSDAAAVRGEGVASVRSKAYVSPKLWYLRVNVIEAQDVQPQERGRAPEVFVKAQVGNQILKTSVAAAPTLNPRWNEDLVFVVAEPFEEQLVLTVEDRVSPRKDNLLGRVVLPLTLFEKRLDHRPFVQSRWFDLEKFGVGPAIEGETRRELRFASRVHVRACLEGAYHVMDESTMYISDTRPTARQLWKPPVGVLEVGMLGAAGLQPMKTRDGRGTTDAYCVAKYGQKWVRTRTMIGTFAPTWNEQYTWEVFDPCTVITIGVFDNCHLGVNSGNGGQPARDARIGKIRIRLSTLETDRVYTHAYPLIALQRSGVKKMGELRLAVRFTCLSLINMVHLYTQPLLPRMHYLHPFTVTQLDALRYQAMGIVAARLGRAEPPLHREVVEYMLDVESHMWSMRRSKANFFRAVSLFSGFAAAARWFSDVCHWKNVATTALVHVLLLILVWYPELILPTVFLYMFLIGLWNYRRRPRHPPHMDTKMSWAEAVHPDELDEEFDTFPTSRPQDVVYMRYDRLRSVAGRIQTVVGDMATQGERLQSLLSWRDPRATCLFVIFCLLAAVVLYVTPFRIVALVAGLYVLRHPRFRSRLPSVPSNFFRRLPSRADTML >Sspon.06G0008310-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:32502668:32505960:1 gene:Sspon.06G0008310-3D transcript:Sspon.06G0008310-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPSSWWGSEEQRGTPVVVKMDNPYSLVEIDGPGMPPSDKARGKNAKQFTWVLLLRAHRAVGCVAWLAGGFWGVLGAVNRRVRRSRDADDEPDAEASGRGRVMLRFLRAFLLLSLAMLAFETVAHLKGWQFPQHLPGNLQELEEQLQHLPEHLRHLPENLRQLPDHLRVPERQEIQGWLHRAYVAWLEFRVDYIAWAIQKMSSFCILLFMVQSVDRIVQCLACFWIKIRGIKPRIPASSGGKPRGTARKSADVENNGDADGYFPMVLIQMPMCNEKEVYETSISHVCQMDWPRDRLLIQVLDDSDDEVCQMLIKAEVTKWSQRGVNVIYRHRLSRTGYKAGNLKSAMACDYVKDYEFVAIFDADFQPNPDFLKLTVPHFKEDPELGLVQARWSFVNKDENLLTRLQNINLCFHFEVEQQVNGVYLNFFGFNGTAGVWRIKALEDSGGWMERTTVEDMDIAVRAHLNGWKFIFLNDVKLPESYQAYRKQQHRWHSGPMQLFRLCIPAIFRSKIPFWKKTNLVMLFFLLRKLVLPFYSFTLFCVILPLTMFVPEAELPIWVICYIPVLMSLLNIMPAPKSFPFIIPYLLFENTMSVTKFNAMVSGLFQLGSSYEWIVTKKAGRTSSASDILALAEADAHAPLPAKLTRRVSEGGLEEWSRLREQEAAEWANKEDAAAALAAAAAAPATPKKSSKAKKPNRIFKKELALACLLLTAATRSLLSKQGLHFYFLLFQGVTFLAVGLDLIGEQVS >Sspon.02G0033040-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:4037687:4043364:1 gene:Sspon.02G0033040-1B transcript:Sspon.02G0033040-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLAEPPQWRRKATDFFSTSSVKLKQAGQSAGDNIADVAGKVGSVVKSRWAVFQEARQQQQRPPHETVQERIITAAASTGLLFRKGISETKEKVAVGKVKVEEAAKKTADKSKSILNNIERWQKGVASTDVFGVPIEATVQREQSGKAVPLVLVRCADYLVISGLNNEYLFKSEGDKKVLQQLVSLYNEDSGASLPEGVNPIDVGALVKCYLASIPEPLTTFSLYDELRAARVSIPDLRNILKKLPNVNYMTLEFVTALLLRVSRKSSLNKMDSRSLAVEFAPLIMWRQGDAGTDLRNHLKFTLKPPPKIVDTTSNTSTWDLLDEDDEDASSQIPLDDASPPDYSSIEVIQCLIEHHNAIFTDANETVWR >Sspon.08G0020820-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:26890471:26896383:1 gene:Sspon.08G0020820-1B transcript:Sspon.08G0020820-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MERKEGGRCGGGIFGGGCGFRMPLHYPRYKKADYEAMPEWRVDCLLREYGLPADGDLDSKRRFAMGAFLWPDHYAFQMPLHYPRYKKADYESMPEWRVDCLLREYGLPVDGDLDSKRKFAMGAFLWPD >Sspon.03G0029410-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:14177220:14180305:-1 gene:Sspon.03G0029410-2D transcript:Sspon.03G0029410-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIVATRSPACCAAVSFSHSYRPKACRPPTTFYGESVRVHTARPISARQSKAASRAALNTRCEIGDSLEEFLTKATPDKNLIRLLICMGEAMRTIAFKVRTASCGGTACVNSFGDEQLAVDMLANKLLFEALEYSHVCNIVDTNFTVGTIFGVWPGDKLTGVTGGDQVAAAMGIYGPRTTYIVALKDCPGTHEFLLLDEGKWQHVKDTTTIGEGKMFSPGNLRATFDNPEYDKLINYYVKEKYTLRYTGGMVPDVNQ >Sspon.03G0009640-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3A:26012391:26021070:-1 gene:Sspon.03G0009640-1A transcript:Sspon.03G0009640-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carboxyl-terminal-processing peptidase 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G46390) UniProtKB/Swiss-Prot;Acc:F4KHG6] MRPLSYLPPAPAPQLRANPGPGPGRGPRPQPCGLPFPDALRAAAAAAAVSLSLISGNAVGAVFAASAAQPTEVCRNGGAAMPEEVRAEAVTNEQLVEEAWEVVNESFLPDAASRPWSPEMWMQRKQDVLQGTIKSRARAHDIIQKMLASLGDPYTRFLSPSEFSKMSKYDMTGIGLNLREIPDDNGSFKLMVLGLLLDGPAYSAGVRQGDELLSVNGVDVRGKSAFDASSMLQGPKETFVTIKVKHGDCGPVESMKVQRQLVARTPVFYRLEKRENDDSSVGYIHITEFNAVAKKDLAGIEIAKLFLNKGDTVIYTAGRDHLVQNTIVAESGPMVDTPLMLLVNNRTASASEIGLIQSVFELHDGSGIVVTVGKYVTPNHKDINGNGIEPDYNRLPVSRYQYGLNYDCMQRSLVTVNLANLRGGAWNGSTRARRTDTTESLARYGGRLDAHKGGRMGTGQAGDGRQVSTNLELEFGSSASFFFPMRLSSFPLGSLARSLQQFVDATKTRPYKGRGSLGRSILAIRVGADDERRLTSTTATNAVGTGLGSAAAMGDGGLGVVGDRLFLVSLKTRTMKRFHGREFGYRIHQIFFRRWEEERELHLIPFWKRPLHPRRRPRLTSHGRPGSLAVVSSAPVTTTAPTPDPSASASSKIPFAALVCEAIVHCTLACSERSVRWLGPASDFRKGLPPALALGFVAGAAS >Sspon.04G0008640-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:25588323:25591988:1 gene:Sspon.04G0008640-3C transcript:Sspon.04G0008640-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHGVPAPMLEELAREATLADVSILVDGNGAELHGNGAELHGNGAVLKGVEVPQDLGGISPKEVPLHEGKEVILVDDNDSGQEDGGEGKVDENAPRVGLRFKTYDDALKYYKQYAEDSGFSAIILKSSYLKSGVCRRLVIGCSRAGRGRANACYLSRESTKINCPARISLKLRQDRWLHIDDAKLEHNHPLNQSSTSLINCYKKLTDAKNGGSASRLKGRRNIPAEKEQGNFTEIGRLKFGEGDDEYIQKFFGNMQNKNPYFFYLVDLDNQGRLRNLFWSDARSRAANDYFGHDVVYFDTSYLTEKYDLPLVFFTGMNNHGQPVLFGTGLLSDLSADSYAWLFRAFLSCMKGLCPKAIITEHYNAILDAVQEVLPEVRHRLCLYRIMKDVAENLKEHAEFKTIKKALKKVTYGSLKIPEFETDWKKIIEEHGLGENGCLSSLYEHCQLWAPAYLRDKFWAGMSISQSGESISSYYDGFVYPKTSLKQFFSKYEMILENKYKKEWQADEESSHRSPLTVTKFYMEEQLAKAYTINMFRKFQDELKATMYCDGMPIKVDGRLVTFEVKECSYMEDGKDTESRTYEVYFCKEEPKVEIECECGFVQFTGILCRHALSVLKLQEIFEIPKDYVLDRWRRDYKKLYSNAKKPNEMPLSDIVERSDYLFTQCSQLLNLGFVSESRYLVALKLLREAERSLLDDGLPARDRQPMLLSFEADAPENGQGLFNPQFSEGVKNSQSAHAKRRGRPPKKVTESNDDTVTQPNKEQDFLRSSFVTDSTNMIQGPSSASHLEGPHMGVQGGIDLMEGIPNLSFGNHFGMDINHQHQVPSHQRMQQNSFIQVQAEPHGFGNQWVYHPMLQDNPVLRTPTRRAG >Sspon.06G0017380-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:76194922:76195707:1 gene:Sspon.06G0017380-2D transcript:Sspon.06G0017380-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGSKKSVAYFAHDPAHRLLPAAGNNNDGGDEFTCGGCLVAGAGPRYRCAHPGCGFTIHEACARRFPRTLRSAVHPRHRLMRRREAAAAAAAGADGDGVGGSGCEVCGEGVKGACYACAACGVAVHPLCARMPGSASGPAHPGGGHEAWLVRVASSSQATEPELDGDGEQKQGKQDSAAAAGCEACGRRLGAWRYRCVTCTVELHPRCLVPAVDQCRREGEGDGGHAESAARSCCCGLVHDLTRCMATLGTAVNYRGYYNG >Sspon.02G0012630-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:31640932:31646615:-1 gene:Sspon.02G0012630-2B transcript:Sspon.02G0012630-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MENLHLAVAHRPPLPVPAAGHLRRRHLHLQHLPAPLSLPSTSLDLSFPHHRRLSPALRRHLRLPLRVSQTPDANPEPEPEPEPTGAKLVPLVVSIAVGLAVRFLAPRPVEVSPQAWQLLSIFLSTIAGLVLGPLPVGAWAFLGLTAAVATRTLPFTAAFSAFTNEVIWLIVISFFFARGFVKTGLGDRIATYFVKWLGSSTLGLSYGLTISEACIAPAMPSTTARAGGVFLPIIKSLSLSADSKPNHPSSRKLGSYLVMTQFQASGNSSALFLTAAAQNLLCLKLAEELGVIIANPWVSWFKAASLPALVSLLATPYLLYKIFPPETKDTPDAPALAEEKLKRMGPVTKSEWVMIATMILAVSLWVFGDAIGVSSVVAAMLGLSILLLLGVLDWDDCLNEKSAWDTLAWFAVLVGMAAQLTNLGIVSWMSSCVAKLLQSFSLSWPVAFCVLEASYFLIHYLFASQTGHVGALYSAFLAMHIAAGVPRALSALALAFNTNLFGAITHYSSGQAAVYFGAGYIELPDVFRLGFITALINTLTWGVVGTIWWKFLGLY >Sspon.01G0015160-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:43682285:43684797:-1 gene:Sspon.01G0015160-1A transcript:Sspon.01G0015160-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAQQQRLTTTLVLVLLLAALSTEAHEATPGLHPVVLLPGYSCGQLDARLTDEYKPPTPGCGVPKQGRRWFRLWDNYTALQEDPALLPCYEDRLRLVYDRASGDYRNLPGVETRVVSFGTTRSFFFDDPAKKNTCMERLVEALQGVGYREGANLFGAPYDFRYAPAAPGVASRAFADFRSSLRLLVERASVRNGDKPVILVTHSFGGLFTTEFLNRSPLPWRRRYVKHLVMLCLGVGGSPLIMQVLASSTTSPSPTLLDSVLAFGNRSFASTLSLLPSPKVYGDTPLVITRARNYSAHDMPEFLAAVGFSDNEVERYRTRALPVTLNFRAPLVPMTSINGVGVPTIHSLIYWDGNLSAKPQVVNGDGDGIVSLDTVLALQTFVGDDPDQRYFKSVLIPNMTHTGIISDHVALGRVQQQQQQE >Sspon.07G0009670-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7A:27737605:27738171:-1 gene:Sspon.07G0009670-1A transcript:Sspon.07G0009670-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding PPEVEGHWAPHGAALTACVVGINVLVILLIFGFFWRFFSGKGGPPSTSDDSADNEDEDSLPVASPWAASRYRHEDRHGAQSSTPVEDVASSLPVSVYSSPGSGAEEGGGKAPECAVCIVELRDGDSVRVLPRCGHRFHADCVGAWLRLHATCPLCRGRVVAPAAAAVADESRNAKDDDDDVAADDCPV >Sspon.02G0005050-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2A:15791968:15792186:-1 gene:Sspon.02G0005050-1A transcript:Sspon.02G0005050-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRPPPLPPFSPSNISRLPTAPSSHGSATTRIRWGGGHRQRIRRRGVDREAWLQIWRQGVRRGDDMRPRFRAG >Sspon.05G0008760-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5A:26055927:26059355:1 gene:Sspon.05G0008760-1A transcript:Sspon.05G0008760-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding HRSAVVAAWQVAWTLVSAYCPLKSGDLAWAATAAVARFASAGSRPFYDCWWIQPGELLKWHFPGRIIPGALVSQITSGAQNVSPVWQLVHDFGPDWMAPRDAAPRLRLHQKLSDQFSHHRFGDWWCENPSFISSPASRRTQALSSPPPVLPLGSTPSGPSIVGGHLLHAPCEVGLLHAPCAILLGSCVARRHYIKIMCVTSKCNVAKRDRSALDHVRCNNMSSDHGWPMNWSHTATGRPPRLYPTGAVIAHSPMEFLVVEYHTSAAASYFL >Sspon.08G0020330-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8B:20398507:20399724:-1 gene:Sspon.08G0020330-1B transcript:Sspon.08G0020330-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMVSDKPAFKWVHQIMKETGTDIACIKLGGVHVIPITCPKIALEVLKNQDANFASRPLTLASKTFSRGYRDAVMSPYGDQWKKMRRVFASEITCPSRHRWLHDKRADEADNLTRYVYNLTKAAGSGVVDVRHIARHYCGNVVRRLIFNTRYFGKPQPDSGPGPLEVQHVDAVFASLGLLYSFCVSDYLPWLLGLDLDGHEKMVKEANDRVTRLHDAIIHERWRLWKSGERREPEDFLDVLIMLKDADDGRPVLSIEEIKAQLMDITFASMDNPSNAVEWALAEMVNSPEMLKKAVDEIDSVVGRERLVQESDIPRLNYVKACIREAFRLHPVAPFNVPHVALADTTVAGYHIPKGSHVILSRTGLGRNPVVWDDPLRFNPERHITVSPEVEVSLVEHDLRFISFST >Sspon.01G0025730-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:88168679:88173741:-1 gene:Sspon.01G0025730-4D transcript:Sspon.01G0025730-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNRDRECKSPNFPPSILPRTNFPQLRALAQLPRARAGLAMDDATVASRQRQQQPASPATTKDSRAMMAELDAPLHALGFEMEELSPSRLTGRLPVTRICCQPFKVLHGGVSALVAEALASMGAHMASGYSRVAGVQLSINHFRSAALGDTVLAQAVPVHVGRSTQVWEVKLWKMDPSTGEKGPQIAESRVTLLSNLPLPEEHKRAGDALKKYASKL >Sspon.01G0043590-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:70435975:70443799:-1 gene:Sspon.01G0043590-2C transcript:Sspon.01G0043590-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQEAVAANGTTAGSGGRARPHAVVMPYPLQGHVIPAVHLALRLAEHGLAVTFVNTESVHHQIGAGGDIFAAVRRAGATTTTTTTEDHQHQELDVRYELVSDGFPLGFDRSLNHDQFMEGVLHVLPAHVEELLRRVVVDPATTCLVVDTFFVWPATLARKLGVPYVSFWTEPALIFNLYYHMDLLTKHGHFKSKARYARTTYEMAQGAAGVVETTRPHAVVVAYPLQGHIIPVVHLALRLAARGFAVTFVSTEAVHHQTARALGVDPDGHDPFAAARAQEEGLLLGDVSYELVSDGLPVGFDRSLHHDDFMGALFHVLPAHVEQLLRRVVVDPGATFLVADTFFVWPATLARRLGIAYVSFWTEPALIFNLYYHIDLLTQNGHFRCSEPRKDTITYIPGVASIEPSELMSYLQETDTTSVVHRIIFKAFDEARGADYVLCNTVEELEPSTIAALRADRPFYPVGPIFPAGFARSAVATSMWAESDCSRWLDAQPPGSVLYISFGSYAHVTKQELHEIAGGVLASGARFLWVMRPDIVSSDDPDPLPEGFADAAAGRGLVVQWCCQVEVLSHAAVGGFLTHCGWNSVLESAWAGVPMLCFPLLTDQITNRRLVVREWRAGVSIGDRGAVRADEVKARIEGVMGGEDGAKLREQVKKLRGTLEAAVAPGGSSRRSFDEFVDELKRRCGGSH >Sspon.02G0042320-3D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2D:77174873:77176819:1 gene:Sspon.02G0042320-3D transcript:Sspon.02G0042320-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATHAGENGSGGHEEWRFARAGKEAVAGDKMSIRAARFKISANVDARDPRPVLPLAHGDPSVFPAFRTAAEAEDAVAAALRTGKFNCYPAGVGLPDARRALAEHLSSDLPYKLSTDDIFLTAGGTQAIEVVVSVLAQPGTNILLPRPGYPNYEARAGLHNLEVRRFDLIPERGWEIDIDSLETIADKNTTAMVIINPNNPCREVARKLGILVIADEVYGNLVFGDTPFVPMGVFGHIAPVLSIGSLSKRWIVPGWRLGWVAVCDPNKILQKTK >Sspon.01G0044770-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:9887220:9890533:-1 gene:Sspon.01G0044770-1P transcript:Sspon.01G0044770-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHAMAWWGGGEAEPFPSVDKCDASRLGRGSTVVADLDGALLRSPDAFPYYALVAYETGGAARLALLLLLSPLAAALRRAVSEAAGTRVLVFAATAGARVADVESAARAVLPRFYAADVHPDAWRVFSACGERRLVLTAAPRVMAEPFLRGYLGADAVAGTELAAWRGRATGWVDARRGGVLVGESKAQTLREMLGAGEMPDVGLGHRRSDYAFMSICKEAYLVPRTPVAAVRADRLPKRVVFHDGRLVQRPTPLVALLTVLWFPVGLLLSLVRVAAGVLVPMRWLHVAFHALGVRVVVRGTPPPPPRADGDAARTGVLFACCHRTLLDAIFLSVALGRPVAAVTYSLSRLSEFLSPIRTVRLTRDRAADAATIRRVLAEEGDLAICPEGTTCREPFLLRFSALFAELTDDVVPVAVECRMSMFHGTTARGWKGMDPFYFFMNPSPVYTVTFLDRLPPELTCGGGGKSSHWVANYVQKLIASTLSYVCTGLTRKDKYRELADNDGVVPVNTDDGGKKRMA >Sspon.03G0030170-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:28253081:28256509:1 gene:Sspon.03G0030170-2C transcript:Sspon.03G0030170-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSIGTGYDLSVTTFSPDGRVFQVEYATKAVDNSGTVVGIKCKDGIVLGVEKLVTSKMILEGSNRRIHSVYHHSGLAVAGLAADGRQIVSRAKSEAASYEKVYGEPISVKDLADRVASYVHLCTLYWWLRPFGCGVILGGYDRDGPQLYVIEPSGVSYKYFGAALGKGRQAAKTEIEKLKLSELTCREGIIEVAKIIYGVHDEAKGKAFELELSWICDESKRQHQKVPNELLEQAKAAAQAALEEMDAD >Sspon.01G0023130-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:83868998:83871134:-1 gene:Sspon.01G0023130-1P transcript:Sspon.01G0023130-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPRPKRGERRIDAAIDHLAQYGFAKPQIRKIINNLLQLYGKDGWVFLEEGSYRVVLDKLLEEQEQQDQKQEAAAAEEASPQNGMEFSRVHGEAPNESQSALELQASPNSSPPLECVLPLPPAKGPPRARPPCYGWISEDSETESEPEDREILSDAPKKDIPNPVETLAAKRKRPSRWDVHPN >Sspon.02G0013630-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:33902309:33904432:1 gene:Sspon.02G0013630-2B transcript:Sspon.02G0013630-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEREVQLMEGSQGWPLHMMGMAATGTTTNNCFDDYSSSSSSGGGGDCFVLGWEQLAAPAPFGCFGLLAADVHDLFPLCTYESTSSAVAGRPFFARTIGDPRRFRFAVAAGMEPPALPAPPPSAHDVAAAIPGELDDLLLSFWDASCHDGDVGGEPLKAQQQQQQAAFNSSCVTHEQGYCTPTTDSFLHYDDGGDDPLSSIFSAGPVPALAAERALVFQQQAPAAEAEPLPSSSSSNSRRDPPGAGGVDLQLQLEGQGQGAAWARTPPLPRRTSTSAPSLKRATREESSSEQAAAAAECSSQQSDGSNKRRKAAAAAGVVCPFALLKPDGLDGGATLADINARILMRPARPVRHPVGEFACAPRVSADQPGISGKA >Sspon.01G0008820-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:25789816:25792845:1 gene:Sspon.01G0008820-1T transcript:Sspon.01G0008820-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPTEPRWRINSSFSPPTSRRWDCRYSSDGLPHRVHDAPHDHPPYVSSLSSHSKGSRSAFGSDQYLNHHHSVSDGALSYFGSPADSLQAPRWTPSLQRFDLGEFSTPAGGSRPETSDYPQSSERPLTATSSFSSASPFSESSQLASSSKQPAPYLHRNHMGRRSFMSKPVYPLVFRNPVSESEACRMLEVTNAGRATPSDDSQASPLWRRSLASPELKFHNALNELGKMEASPEPNTSSRREGFRWSNGSSYDFGYDGDAIDISDHISIESQRSPTSSVRFLKCGLCERYLRQKSPWTSNRIVRNADMPVAAVLPCRHTFHADCLEESTPKTEAHDPPCPLCTRATEDEGHVSFSEPLHVALRSARSRNLSLGGGAGGSSSSTNLPCSDRGLKRNHSAVVPRRGGSSLFCNRFKKQFPFKARIGKELFGGRVFNRVGSSSSSGQRDDHRQQEPKHDRPMK >Sspon.05G0025020-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:19927164:19929531:1 gene:Sspon.05G0025020-1B transcript:Sspon.05G0025020-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein MHF2 homolog [Source:Projected from Arabidopsis thaliana (AT1G78790) UniProtKB/Swiss-Prot;Acc:Q8L7N3] MDEDATTSNSSAAETFDPDLIHAIFKLVWRRRAGKGGGGNEDINVEPAPETSRRNRSTTANANALKVSCELLRIFVTEAIQRSAFIAEAEDGTVIEPTHLERVLPQLLLDF >Sspon.02G0012870-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:28070661:28070942:1 gene:Sspon.02G0012870-3D transcript:Sspon.02G0012870-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQILVRQHTHRAGTESLCSVQSIPASTYNTVHCLETNTGRSSSRSRLQVAEAAQRFLQVRHRAVVVDEAHKPELVAVHRHQLDELLRGLQLVG >Sspon.07G0001140-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7A:2624463:2625209:1 gene:Sspon.07G0001140-1A transcript:Sspon.07G0001140-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SDAVDAEEYDDEGSFFELDLSVRASSSSAGSASSGSSDDSDDASLMDLDFVISLHRSRSASPSFHLPAPPLPLLKPGSGGLRTLSFAGSTASTRNSALYGGRRSSFALSSAGSAPSLRLFMESPASPAGADEDPEPEPRRAPSRDVIRRYLTKISTRLRRSVRPRRGGEGRLRKSRSASAAVTTMTTTAPAAAPSRRDDSLAEKQDGIASAIAHCKESLHRASLPLSLPDCGSPLPLSRSDPGNCQAP >Sspon.03G0030230-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3C:28364135:28791886:1 gene:Sspon.03G0030230-2C transcript:Sspon.03G0030230-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAEAAPAPAPEASDFLRRRRRPLHRPEAGAMVELDEWRFVRDGLFSLPAAALRRIAAWRSRGSLPIPVDVTAAFVEIRLRDPFFRYDVCTEFEPVPSCGVWMVLYMPKLFVLPSRNGLAGDDALESEEMLAMLYSMAIMRLVNGFVENPYKKTGLSISELAEAVGIPRVLVDIRHESSHRSLPSLRLLHLASIKANSIPDAQLELRLRLHEIAGFLKENNSKESKSGSKRKRSEKLISKAMKYARRLYYACPSEVVSVLLDLMELDAADSESIDMEETDSLAVNHSSDIQLSNSDMKTIILKLSEKEPRLLLSVLKSVIEMIDAKEELTDKELMCHELHNALVPLKVSFGHGQTTSTDVGQAIEEKLVQHRLRWFGHVQRKPLEAPVHCGVLSRDINVRRGRGRPKLTWG >Sspon.05G0033180-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:27166837:27173483:1 gene:Sspon.05G0033180-1C transcript:Sspon.05G0033180-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aldehyde dehydrogenase [Source:Projected from Arabidopsis thaliana (AT4G36250) UniProtKB/TrEMBL;Acc:A4FVT2] MGTEAADGAAEAGGLLGLGDTVRELRAAYEGGRTRSVAWRQAQLRGLLRLLQEKEAEAFQALRTDLGKHHAEAYRDEVTSLAGIQPSLLHVWVPLIAFPATAQVVPEPLGVVLVFSCWNVPLGLSLEPLIGAIAAGNAVALKPSELSPCTSRFLADNIGSTLSIAPRTGSPRIARAVMASASRHLTPVALELGGKCPCIFDAMGSARDLQISVNRIIAGKWSSCAGQACIAVDYVLVEERFAPILIKVLKSTLKRFFQETDHMARIVNERHFERLSNLLKDRSVAPSVLHGGSMDAKNLYIEPTILLNPPLDSAVMTEEIFGPLLPIITVKKIEDSIAFVKAMPKPLAIYAFTRDAVLRQRIVEETSSGSITFNDAVVQYAIDGLPFGGVGQSGFGQYHGKYSFEMFSHKKAVMKRGYLVELTLRYPPWDESKVTLMRYLYRFNYFAF >Sspon.05G0006010-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:19075763:19076543:-1 gene:Sspon.05G0006010-1A transcript:Sspon.05G0006010-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQARVALALCALLLVPGVARRAEAVSYNVGNSAGWDPSADLPSWADGKTFYVGDVLVFQYSSYHTLDEVDEAGFNNCSAANALLSRSDGNTTVPLAAPGDRYFICGNQLHCLGGMKLHVLVNQSAGGAPASAPPQSPPQTGSGASLGPSTDDEGIPFLVLGGSHRATVGPLLVTWLFVAAALLV >Sspon.02G0014730-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:42337453:42340467:1 gene:Sspon.02G0014730-3C transcript:Sspon.02G0014730-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LVEQSALQYLGFKAVEATIDEKAEILFFGQLSVTVVVLGVIFGITNTFRPFPDDVFRYDINEPFKLQNGWLLWAGVGLFGAIISIALAGAAMTYLNGETPERETDSLVLLLPLIGSSTTSTAFLVGITGVLAPLLEETLFRGFLMVSLTKWFPTPFCVLVSAAVFALAHLTPGQFPQLFILGVALGFSYAQTRNLLTPITIHAFWNSGVILLLTFLQLQGYDLKELLGAS >Sspon.03G0015410-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:72993647:72995709:-1 gene:Sspon.03G0015410-2B transcript:Sspon.03G0015410-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRSRLGRCIQLLRILWYLSDLTHPPRSLTRASRHVVPFKARLFTQCSLEGRSVDQEIVIAMGSNVGDRVSTFNRALQLMKSSGVTITRHACLYETAPAYVTDQPRFLNSAIRGTTRLGPHELLKMLKEIEKDIGRTGGIRYGPRPIDLDILLYGNSQINSETLIVPHERIHERSFVLAPLVDLLGASGDDGIETSWHSLSKCSGGFFELWNKLGGESIIGTESIKRVLPVGDRLLDWCERTLVMGVLNLTPDSFSDGGKFQQVEAAISQAKLLISEGADIIDIGAQSTRPFAKRLSPNEELERLVPVLDEIIKIPEMEGKLLSVDTFYAEVASEAVKRGAHMINDVSGGQLDPRILKVAAELGVPYVAMHMRGDPSTMQSEQNLQYDDVCKEVALELYTQVREAELSGIPLWRLVLDPGIGFSKKSGHNLEVIMGLESIRREMGKMSIGASHVPILLGPSRKRFLGEICNRANPVERDVATVAAVTAGILNGANIVRVHNAGYGADAAKVCDALRKGRSCKN >Sspon.06G0029300-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6C:19235686:19241401:1 gene:Sspon.06G0029300-1C transcript:Sspon.06G0029300-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LGTHAQERGQEGGEADADAAALVLMRKAGAKEGSIGSKGAVDMNGSPVSTAIAGRPSNLMDLMVSLANLQIKFCTCAGRLSSSSPHNLENTDISMTCKGCTGESTADTGGPSCSGKLNNMGLELPRPLDLELGVSILGRRFSDPMENVPIKKRRFHMDCSPSPPPTPLLVDPYEKILGSSSKAVRSYEKHCKLKMQGIEYKEENKGRFGADDFSGIDILASAACESEMGGEILNGECSKLAHPPEERKLENTTGSSKLSLLHNMEDKLNIPGTSHCIYDRPLGSSNSAPDIKSLFVATPTISENLVESAYAPKLLESGSVGNDALTETMDLPDQQNSRFASVMDSDIRSDPEPAIIMEHFASAANVEKTDGSHPQPVHSEGLSNMSSMDVHVGSNSLQTSELGTTLKPLASRLVSEDSTNLPKAVMDSHIRSDPEPALIMEHFASAANVDKIDGSYPQPVHSESLSDMSSMNVHVGSNSLQTSELGTTVKPLASRLVSEESTNLPTVGTFLKKVTDFGWSDNKVEEASEQSISESKNQELLDVDSGTSKKGEHETDIFYANKRAKDAEDLTHPEDNHGSSDCDMAHAHEEDGAVAMINSKDSLITCANSSTLETYCISDAAPQVPGPSSECHKPEFITDAGSTVDSKAAAHSYQNGCKNELGKEDDSQYEDGELRESDDCYWVGDGYEEVKHANWHYQVSEDYKNEEATPGLAPLHTDSIAKNVVIPVANYNGTQSRKEDVAVSPVSSKCSWLTNCLDGGPIADGKAQSIHSRGDTKMYGSNTGRVAARSATTVSQSERCNDALGDDMLNIRMKNTGWDMMPEDQKHSQHDARDVTDSSNRCGLSSDAARDDESLRKKGLSNRDLQRVERQKSFDRPQRNELSRSDDGYGSGSKSERTMDSHRSHGMYGPRNAAEAAVAKMESSGFVVAHDGTLVRAVDAANAGQMPRRMRNTLSSSGRLISGRGSPIDRDGACGMSRGPVHAREASPERHFGANSNRSSRYGPEMEKDHTTDGNLSSVRCSLSSRQRGTPASRASLNLSHAHRRSPSGSRSRSPHDWAPRNRSKIMANGGSTLRRHSRSPPNHMAKGRIVRMTSPQRQPGYDDRAMRYSPLSRNNTYSQHASTWVDGRNGSAVNISDDHNKRYSRRSPPLRIASRNDRFDVMDSQGRPRSGEFYRPTQGRLLYGYDRENKHGRNGEDEREYTNRYVNHSVKPYDRSGAVKQFRNNTGDKFRTRISAPRSPELQRRVSPRRFDRSFER >Sspon.02G0037580-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:34780464:34782341:-1 gene:Sspon.02G0037580-1B transcript:Sspon.02G0037580-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSAAEELEAAERVVMRWDSTASSAGGDDQMLFDGAADRAEAERFLRAVDDLRRLAPPPSPAPSVGSPRRASSASGGAGACTALQVAMARLEDEFRHVLSARALDLSSLSMSSSDRRNSDATEAPPAAGDDDDSSVSSSVGRRSSYRSLQSIREIDLFPADAIADLHAIASRMAAAGYGRECVQVYASVRKPAVDSALRRLGVEKLSIGDVQRLEWDALEAKIRRWIRAARAAVRGVFASERHLCFHIFHDLPLCTSTATAADDAPFAEAVKGAALQLFGFAEAISIGRRSPEKLFKIIDLHDALSDMLPDISDISAASKAAESIYVQAAEIRSRLADAVRGILLEFENAVLRDPSKTPVPGGTIHPLTRYVMNYSTLISDYKATLSELIISRPSASSRTAAEGNEATLAFPDLDPADPDSQLPLATHLVWIIVVLEHNLESKASLYKDAALSHLFLMNNVHYIVHKVKDSAELRGLIGDEYLKRLTGKFRQAATSYQRTAWLKILNCLRDEGLHVSGGFSSGISKSALRERFKAFNAAFEEAHRVQSAWYVPDTQLREELRISISEKLLPAYRSFLGRFRHHIENSRHPELYIKYTVEDLEIAMADFFEGSPPPPHNRRRSHG >Sspon.08G0001180-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:4300606:4304722:-1 gene:Sspon.08G0001180-1A transcript:Sspon.08G0001180-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVVSRRGAARLVLVSAITWAMLGLVALAFHLRPCSSPVAFLSALCKRDSKVLSVLDSMGLSSKPLHRCPIPVADDPNAVAIPKRTLNTIVKKLSYITVDKQDKDPSPLFGGRQNWKKREESFKLNSTMKVHCGFMKNSGADMDIIDVKYIQKCKFVVASGIFDGYDIPHQPSNISRRSQKLFCFLMVVDEVSLDFIQKNTTIKIDSAGGKWVGIWRLITVHRLPFDEPRRNGKIPKILTHRLFPQAWYSIWIDGKMELIVDPLLILERYLWRGKYTFAVAVHKHHRSIYEEGDAIKRRKRYARPLVDLQMKMYYYEGMEPWSPKKKMPSDVPEGAVLIREHTTMTDLFSCLWFNEVNLFTPRDQISFGYVVHRLGDALKFFMFPNCEYNSLFILHRHTREHSSKVEWAKTIDEIVKKGLKESRGGLGLWTPYPADLSSVELPACQRIMTSRRITFLPPDTTPSAFSNLPEVPTPESQGPTQVEYRTRSAPAHIHVELKRLLFGLSASSQAHAPSWFIISRKLDAKVKGYQIYNYKL >Sspon.05G0004710-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:8901437:8910192:1 gene:Sspon.05G0004710-2B transcript:Sspon.05G0004710-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQRLFKEAVSKGSKVSVGVVSPYNAQVRAIQEKLGKSYTMYDGFSVNVKSVDGFQGAEEDINVISTVKEQWSWHCLWIVGNGTTLSSNNSVWQKMIKNAHDRGLFFDVNDDKDLSNAVAKAVIELDDAENSVNMESLHISRSRFQVKRIPETFASSKSYFGSFSYPLIEEVHADLFSSLDGYAHQNFIQVTRMKKLHVADDKIFLCFKVARPAEDKRSRETYAPSEDDILVLSSRKPKQVSDLTRNVKSYILAKIVKGGEDNDDLPPDCFIARLSSELTVEADPVTRIPEEQLFAVVLVNMKTYNRIWTCLDMGKNRQKKVPPATNENQNVSHVTDTVDIVWQYKSKAVKWDTSKSSQSSWCFPERSIDDLGLENFMLNMSQLNAVADCIPVTGKFSSSVKLIWGPPGTGKTKTIGSLLWAMLISRRRTLACAPTNTAVLEVASRIVNLVHEFAASRDILLSDIVLFGNKKRMKIDEDHDLSTVFLSSRTKRLSKCFAKKPWSLYLSSLLHFLEKSVAEQHQLYTERILTEMKEIERKNHEKNRSENHSVTSCNEGKDQEKDISDTVEIEHVDEEDYDNKEESDDEDDCSQSEGVESDDVDDGCDPEPAEQTLVILPLKEYVRATFNELAEDLFNCMEVLQMDFPRNPTMGQSFQCMTDVAELLNILHTYINSDDDDVLLDGLLEEQIKQDSDRAKWPDLLASVHTEECLKSKFRKARSLCIQELQYLSEHLELPSWANCFYCRYHDHKRDIRMYLLQRAICILCTVSSSFSLYNVPVDGDTSLLQMLIVDEAAQLKECETLIPMLLPGIRQAVFIGDECQLPALVKSKISENAYFGRSVFERLSSLGYNKHLLSVQYRMHPEISKFPVGNFYDGKVSDGPNVVCKNYERKFLPGKMFGSYSFINVEGGHETTEKHGQSLKNTVEVAAVLWIVKQLFEGVYTEKVRINIHTITKDLNCLYLESVFTGTKLSVGIVSPYNAQVRAIQEKLGKSCDMYEGFSVKVKSVDGFQGAEEDIIIMSTVRSNGAGSVGFLTNLQRTNVALTRAKHCLWIVGNVTTLAQSRSVWQKIVKDAMARGCLFDASDNKDLSNALVNAIIELDDYDNLARMDSLHIRMPMYQVLQNSSFRNHVVILGLNGDTVDVRFPHSHASCFCHQDTTEGKKIVDQTDFNINKIEFRLENASSVESKHEANE >Sspon.05G0035130-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:69369122:69372095:-1 gene:Sspon.05G0035130-1C transcript:Sspon.05G0035130-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRTECAARVDWTALGTSYSEDTALPCPSMPSPIFATSYCSPRTAHQFLPLAWPGVRSKELAQTGNLSLTFLMSTDQEYLQLKIIGLTFISNKNR >Sspon.04G0030270-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:68801064:68805106:1 gene:Sspon.04G0030270-2D transcript:Sspon.04G0030270-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHQLDKGSVKNKNGGEVWLVKLAYPKYHINREEDKNMAEAAVVLALSKLVTSFGVASLQAFIKKEVTLSQDLPRTAKCIERELDMIHHFLSQVGSKIYSNTVLEGWIVRVRKVAYCVEDIIDEYCYNIDLLQEEGFFKRVIRTTYYANAFRVIASEMKDIEEEIKHLSQLKRDYREMFNELLDNTSNNTKIQSLTYNENPHAIKVDDIVGMKEYLELLQKWLDPKELKRIGYGETPADLGIMHCDKLGDALRGAVSNKRYFIVLDDVWDTRAFNELSDLLVDNHKGSRIIITTRNNDAASLAQEMYKMKLNPLGADDAFELFCRRTFQNSNMECPCHLKELSKQIVNKCGGLPLAINAIGNVLAVQEPDEITWRRMDNQFKCELEDNPSLDKVRSALSISFAYLPRHLKNCFLYCSMFPQDYIFTHEQIVKLWIAEGFVSHRGQSTLEEVANGYFTELIHRSMLQLVENDETGRVVKCRMHDIVRELTLSFSRKERFGMADITNLENKGDVRRLLLSNPDQVNQLITSRMDFPHLRTFIATREVASDQLLCLLISKYKYLSVLELRESPITKIPENIGNLFNLHYLGLRRTRVKSLPRSIKRLLNLETLDLKSTCIATLPKEVSRLKKLRHLFAEKLADEKKQHLRYFQGVKFPDGIFDLVQLQALKTVEATKRSVELLKRLPELRLLCVENVCRADCGILFASISNMQHLYSLLISAKDLEEPLNFATFDPRHTQLEKLTIRGCWDNETFRGPVFCEYGINIKYLTLSFCENDADPLSSISLSMPNLIFLSIRRGCWADDIIVRAGWFPQLKTLYLENLDRLKRLFIEEGALVRLEVLLMLSLTSLKEVPKGLELVSSLKKLNVSMQPPEFKLEWERDNWRMKLHHVQEICV >Sspon.01G0010440-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:35747834:35751103:-1 gene:Sspon.01G0010440-2B transcript:Sspon.01G0010440-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLAALCTDPVVVSCAFLCLLLHVALRSLLHPSSSSSSSRSGHLPPGPTGLPILGALPLVGPAPHAGLAALARKYGPIMYLKMGTTGVVVASSPGAARTFLKALDAKYANRPAVASAADITYGCQNMVFANYGPKWKLMRKLASVHLLGARALADWAHVRRDEAGHLLRGVAEAAAAGRPVVVPEVLVCALANIVGQITMSKRVFDAQGDESNRYKDMIVSLLTGAGLFNISDFVPALARLDLQGVQAKLRRVHHQFDGLITKLLVEHAATAADRARDGRQDFVDRLRAAIDAGADDDDESGETITEVNIKGLIFDNNY >Sspon.01G0023230-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:88771320:88773340:1 gene:Sspon.01G0023230-2B transcript:Sspon.01G0023230-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLRPQNSRALHSPQAAGASRHPPKRSEIFKRKKIPNLSLALPPRRVAAKTRTDFQIEAAAIETGNPKHPRLRGGLPSNLSSPKSFQSKNNGGLLSHPFPPPRPLLNRSPTTPAPPLHPAPPSASSGQPEQEQRRPPLPSPMADKENSAAAAPPRLTRAAAKRAAAVTAVAVAAKRKRVALTQLPTHPNAVHDDGDDKPARKQQHLLPAANPKPKAAPAPAAAVAAASDDEEEDPQLCKPYASDIYSYLRSMESQAKRRPATDYIAAVQFDVSPNMRAILVDWLVEVAEEYKLVSDTLYLTVSYIDRFLSANALNRQRLQLLGVSAMLVASKYEEISPPNVEDFCYITDNTYTKQEIVKMESDILNVLKFEVGNPTPKTFLRMFIRSAQEDNNKYPSLQLEFLGSYLCELSLLDYSLLRFLPSLVAASVVFVARLTLDPHTHPWSKKMQTLTGYKPSELKDCVAAIHHLQLNRKYSSMMAIREKYKQHK >Sspon.05G0017000-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:71043334:71044476:-1 gene:Sspon.05G0017000-2B transcript:Sspon.05G0017000-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSPRGGGVGCYRGGAGAQEHVDLIPGIPDDVAVDCLARVPHASHRAMRRVCRGWRSAAATPAFASARAQAGANEDLVYLMQFGNPCAADDGPKDDDGPANTPAYGVAVYNVTTGEWRRERGAPPVVPVFAQCAAVGTRLAVLGGWDPRTFEPVADVHVLDAATGRWRRGAPMRSARSFFACTEAGGKIYVAGGHDKHKNALKTAEAYDPEADAWDPLPDMSEERDECDGMATVAGDRFLAVSGYRTARQGGFERDAEWFDPAAGAWRRLERVRAPPSAAHVVVKGRVWCIEGNAVMEWMGMRRGWREVGPYPPGLKAGTARAVCVGGGEKVVVTGALDGEGGGGRHALWVFDVKTKSWTVVRPPPEFAGFVFSVASVRI >Sspon.01G0000780-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:2634028:2634228:1 gene:Sspon.01G0000780-1A transcript:Sspon.01G0000780-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLQRREHKHKLPRLMRSQILMMMTIFHHLKQTRTGIDHMNCILIQTVVLMLKLVHIVALHSCSNL >Sspon.07G0016250-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7C:63351892:63354861:1 gene:Sspon.07G0016250-2C transcript:Sspon.07G0016250-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGIEYDYLFKLLLIGDSSVGKSCLLLRFAKIRTVDLDGKTVKLQIIVYDVTDMESFNNIKQWLSEIDRYASDNVCKLLVGNKCDLVDSKVVDTEKAKAFADSLGIPFIETSAKESLNVEEAFLTMSSEIKKRMATQPTVERRPTVHVHMKGQPIQQKSSCCSS >Sspon.01G0015090-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:44310074:44314818:-1 gene:Sspon.01G0015090-2C transcript:Sspon.01G0015090-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DGREIRVGDCALFRAVDVPPFIGLIRWIEKKEEGYPKLRVSWLYRPTDVKLNKGIQLNAAPNEIFYSFHQDEASAVSLLHPCKVAFLRKGVELPAGISSFVCWRVYDIDNKCLWWLTDQDYINERQEEVNRLLYRTRLEMRAALQSGGRSPKRLNGPSASQQLKTASDGTQNGGLSKGKKRDRSEQGVDPAKRDRDRLLKVDDSEPGSFNLDDIKSEIAKITEKGGLPNAEAVEKLVHLMQLDRTEQKIDLGGRVILADVIAATESPDCLGRFVQSRGLPVLDSWLQEAHKGKSGDGSSPKEADKPIDELLLALLRALAKLPINLSALQSCSIGKSVNHLRSHKNLEIQKKAKCLVENWKKRVDAEMKSNDVKPLVSGQSVSWSGKTGFQEISNAGTKRGGSNAAAKLNPGVSASSNLQHVQPTNVTTNLKDQPCKSTGGAGCPELPTVKEEKSSSSSQSPNNSQSISSEPSKDARSSTAASGGASKTSGSSSRSHRRANNGIVSGNLKEASVGRSVSLDRSLLQDKSSQTGTASEKGVDMSSDHGNNHRLIVRFPNPGRSPARSASAGSFDDPSVTGGRASSPVVADRHDQTERRVKVKTENSRPHLASDANAESWHSNDIKGATGSEEGDKSPCAILDDDNSRTPDDSVKDAHASRAACSSYVNEKGVSETKVGTSFSPMNALIEIKYSEASHSLQAGDDAAMNLLASVAGEISKSELVSPASSPRSSSVKKLVRESDNTGKVKVESDMGPSHPGQADAKKVAMGKEVKNDACLVAKEEQRQTTPSPELADSKAVVSSAKIEIHEGRANKCNSQHASIDSKGEHDQNFNLCHSANTKVVNMSNMYSSAGENRDACTAHGKVEDGSTDKDGAMESALGSQCSLVSSRNSRLVLAGESSLSAADKQAQGLLKSTNNKQLLSVSDNPGAFDRRDSMAGKLDLMAAEVEKADAVGSSSIVQNEAEKKEHTFSSLTDVPKLVVAAASPVGVANVNKEMKESKDSSSESNSHVKSEGVNSQQSGQSAKQSSKKSSDGVCGKEDGKEDHVLSDEGSSLAAHTKSNATAKLDFDLNEGIPADDGHQSEPTISPVVCSSAIHITGLLPFTSPITSGLQPAPITVAAPAKGPFVPPENLLRAKPEIGWKGSAATSAFRPAEPRKILEMPAATRDIPVSQAAGKQSRPTLGFDLNVADDQALEEDIPQSSAQTTCSESGNTRSRDGSSRSAGIELDLNRADEVADNGQFVPNALHRVEVPLLSTRSLPGVFSNAGKNSSRDFDLNSGPGLDDVGTEPAPKSLPSKNTSSIQFLQQVPVRMNSAAMSNISPWLTTASPGAPVAIQSFLPSREQMYPIEAVPGAQRIIAPTADGGQFGGDPSRPPVISTSAMVFHPPAYQYAGFPFPPSVHLQTPAFSIGPATFNNSASAGSPYFLSPSLVGPAGVLPSQHSRQYAINLAEGSSSSGRDSNRKWESQGLDLNSGPGSIDLEGKDERVPLPVRQNLIPPPHGFAEDQGRIYQMPVVGTKRKEPDGSWDSE >Sspon.08G0014100-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:57985055:57989643:1 gene:Sspon.08G0014100-1A transcript:Sspon.08G0014100-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEADEPAEEARCAKEAGNDAYRKSFLETAVEHYTRGALLDPRDISFLTNRAAAYFSLGKYKECARDCDEAVKRGRDLSADNKLVAKALSRKASALLELATCSGDYTPAIRALEQSLTEHYSEETHEMLNRAVVVKKELEEQERLDQEMADQHREKGNELFKQKQYHEAAIHYTRAMKMNPKDPKAFSNRAQCHIYLGALPQGLEDAQKCVELDPTFLKGYVRKAKVQFLMENYENAMTTYLEGLRCDPNSLEVLDGLRRCAACIKSANGGDVELEDLKEMLGNFQSENDLLKFRKATEEATILKKEASDERLKRIESERMARTMEEYLSGVQQESERLKKQYDEVMEKLLKANMDNEHLQGQLSESRGQYERILSEHDRLLHERNHAVREVQELRQKRGQMLSVLVTAMHCEFSSSELEHATDNFSSSLKIGEGGFGCVYKGTLRNMMVAIKVLKPDGLQGQSQFEQEVAILSRVRHPHLVTLLGACSEISTLVYEFLPNGSLEDFLMCAEKRQTLPWQIRVRIISEICSALTFLHKNKPHPVVHGDLKPANILLDVNLVSKLSDFGISRHLVQSSSNNTTMYRTMHPMGTLQYMDPEFFATGELTCQSDIYSFGIVVLRLLTGKPPDGIKKIVEDAMEKGDLNSVVDTLAGDWPFVHVQQLALLALSCTELSRKRRPDLSAVVWAVVEAMRDAATIPSASSSRSVSDENSTPSYFICPISQDVMDDPHMAADGFTYEAEAIRNWLDSGHDTSPMTNMRLEHDELIPNRALRSAIQEWLQQQNMAL >Sspon.08G0015090-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:60360104:60391049:-1 gene:Sspon.08G0015090-1A transcript:Sspon.08G0015090-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPESLSWSDLPPELLGLVLRRLPSLADRVRVRAVCHPWRSIAQLQSLPPPLPWLTLPDGTFLSIPDGEIIRMAVPDDARCYGSIDNWLLLMQRDGGCSLMNPFSKATLDLPKLATVWREDWFNALSQFNPLFYKVVVPSPLDTSPESLVAVLILDDGNYSRVCICQPPVATDMTRTSRGGIMEPSMDLDDVAFFNGKLYGVAFGDRLLMFEIGYDLGNKPKISSTEFIINSMDAYLRDLPHSLSREEAHTIKEYVVECCDRLLMVKRFIHNVRPISTGPFFEHHRTVGFAVFEADLSTNPGQWRRVNKLGGQALFIGRHCSKSFAVEEFNGIQEDCIYFMCDYARPDPGDPLRDSGVYNIRNGTITPLLSQNTPTTQSSSWPDLQPEFLGLVLKRLPILADRVRLRAVCWPWRSNACLQALPPLNPCLILLDGSFLSIPDGEIIRMPEQYDGCCYGFIDNWLFLVKSDGGCSLVNPFSKATVDLPKIAMDIKPFNKCSTCNPCRYKLVVSSPLDSSPDSPVAVMMLDDGGYTTIGVCQPPIAFDFYRGNFLDPFHQLIDVTFFYGKFYGLDFGDKLLSFEVSYGLGSKPKISSVKNVINSMDNIPDLPKPKYLVECCGRLLMVTRWLQIPRRLDHVCTVSFEVFEADLSTKPNQWRRVKNLGGQALFVCRRCSKSFPAGEGNGIQEDCIYFMSDYFPLNFAADSLRDSAVYNITNGMITPLLSETATVPRHQRGQWSLTPELLGLVLKRLPSLADRVRLRAVCRTWRCNARLEPLPPPLPWVVLFDGTFLSIPSGEIHRMPVPCDASCHGSVDNWLFLVHNSGRCSLMNPLSMAKLQLPNLTTIWRHEMREIRYSDAYSGNSLLYKLVVPSSVSLTPDSLFAAFTTDNFFHGTISVCQPPIATDTVSNKDIKSIVDIVFFDGKLYALNMYANLFVLEIGEGHKGKPKISAIRCIVDSIEASRRSKRTYDVRCTYVYFNYLVESDGKLLHVRRHVAILFPLTDEHVARARTVWFDVFDADLTADSCRKWKIVNTLGGQALFVGRCSKSFPAANCGAQEDCIYFISDYLKSVLHVDPLFDSGVFNMRNGKITPLLPETVVVQTQGGRTDLPPELLGLVLRRLPSLADRVRVRAVCHPWRANARMQSLPPPFPWLTLPDGTFLSIPDGEIIRMAVPDDARCYGSIDNWLFLMKRHGGCSLMNPFSKATLDLPRLATVWREDWYNQDPRRNPLFYKVVVPSPLDTSPESLVAVLILDDGNGSRVCICQPPVATDMSRGGSMEPCRYLHDVVFFNGKLYGVAFCDNLLMFEIGYDLGNKPKISFTECIINSMDAYLRDLPHSLSREKVYMIREYVVECCDRLLRVIRFIHNDCPPSRSLFFEHHLTVGFAVFEADLSTNPGQWRRVNKLGGQALFVGRHFSKSFAVEEYDGIQEDCIYFMCDYPWPDYAGDPLRDSGVYNMRNGMITPLLSENAPLQSLCHGQTSLQSSWALSLGASPPYLIVFEDGGCSLMNPFSKATLDLPKLATVWREDWFNALSQFNPLFYKVVVPSPLDTSPESLVAVLILDDGNYSRVCICQPPVATDMTRTSRGGIMEPSTYLDDVVFFNRKLYGVAFCDRLLMFEIGYDLGNKPKISSTECMINSMDSHLRDLPHSLSREKACTIREYVVECCDRLLRVKRFIHTVCPIFTRPFFEHCRTVGFAVFEADLSTNPGQWRRVNKLGGQALFIGRHCSKSFAVEEFNGIQEDCIYFMCDYARPDPRDPLCDSGVYNIRNEMITPLLSQNAPVLQHNSGQWHLQPELLGLVLKRFPSLADCVRLRAVCRTWCCNARLEPLPPPLPWVALFDGTFHSIPSGEIHRMPVPCDASCHGSVDNWLFLVHNSGRCSLMNPFSMAKLAS >Sspon.01G0037100-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:20143649:20146018:-1 gene:Sspon.01G0037100-1B transcript:Sspon.01G0037100-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Subtilisin-like protease SBT1.6 [Source:Projected from Arabidopsis thaliana (AT4G34980) UniProtKB/Swiss-Prot;Acc:O49607] MATPRSPFLLLLGLALVALTAPALLRADGGGEEARKTYIFRVDHRAKPSVFPTHAHWYASATFASAAPGAAPLQPLHVYGTVFHGFSASVPASRAEELRHHPAVLAAFEDRARPLHTTRSPQFMGLRARLGLWSLADYGSDVIVGVLDTGVWPERRSLSDRNLPPVPARWRGGCDAGPGFPASSCNRKLVGARFFSQGHGAHFGTAAVASNGSVEFMSPRDADGHGTHTATTAAGSVAYDASMEGYASGVAKGVAPKARVAAYKVCWKGAGCMDSDILAGFDRAVADGVDVISVSIGGGSGVTAPFYLDPIAIGAYGAVSKGVFVATSAGNEGPTSMSVTNLAPWLATVGAGTIDRNFPAEIVLGDGRRLSGVSLYSGKPLANSSLPLYYPGRTGGLSASLCMENSIDPSLVKGKIVVCDRGSSPRVAKGMVVKEAGGAAMVLTNGEANGEGLVGDAHVLPACAVGEKEGDAVKAYAANASNPTATISFGGTVVGVKPAPVVASFSARGPNGLVPEILKPDFIAPGVNILAAWTGATGPTGLEGDTRRTEFNILSGTSMACPHASGAAALLRSAHPGWSPAAIRSALMTTAIVTDNGGGPVGDEAEPGRGATPFDYGAGHITLGKALDPGLVYDAGEDDYVAFMCSIGYEPNAIEVVTHKPVTCPAATSRANGGSPSGSDLNYPSISVVLRGGNQSRTVTRTVTNVGAQASATYTARVQMASTGAGVTVSVKPQKLVFSPSAKKQSFAVTVTAPSAPPTAAPVYGFLVWSDGGGHDVRSPIVVTWLQPM >Sspon.02G0015240-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:35948763:35954326:-1 gene:Sspon.02G0015240-4D transcript:Sspon.02G0015240-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPPRRRLPAARLLFALLLSMSAVLPRGAGAVELGLKLPFSPGDVLPVLPRQVAWPVMNTLHSAVDLLPSFVAAVAPGAPAPAAWSGACFAENEAAIELTAGDRNGTDVGGAVLRLKTASAHSWTCMDLYVFATPYRITWDYYFAAREHTLEIKSWEEEAELEYVKEHGISVFLMPSGMLGTLLSLIDVLPLFSNTAWGQHSNLAFLEKHMGASFEKRSQPWVANIRKEDIQSGDFLALSKIRGRWGGFETLEKWVTGAFAGHTSVCLKDEKGDLWVAESGYENEKGEEIIAIVPWDEWWAMALKDESNPQIALLPLHPDVRARFNESAAWEYARSMVGKPYGYHNMIFSWIDTIGDNYPPPLDANLVMAVMSMWTRLQPVYAANMWNEALNKRLGTEGLDLQGIIMETERRGMSFDQLLTIPEQDEWIYSDGKSTTCVAFILAMYKEAGIFSPFSESIQVTEFTIRDAYMLKIFEGNPARLPSWCNTDTDKLPFCQILGEYRMELPEYNTIEPYAKMNENCPSLPPTYKRPARC >Sspon.07G0020330-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:75709588:75713885:-1 gene:Sspon.07G0020330-1A transcript:Sspon.07G0020330-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MACFSSSTTKVSLKFGAKAFARNCLPGYLHSVVSFPVSRTLDGGLCSPIRVENENRAYGSNLTFGALKGRHLNTTLSSTNGHVEVPEERVTVLVIGGGGREHALCYALERSPSCDAVFCAPGNSGIAQSGDATCIPDLDITNSENVISFCRNWGVGLVIVGPEAPLVAGLANDLVKAGIPTFGPSSEAAALEGSKDFMKKLCDKYNIPTAKYQTFTNPTDAKQYVKDQGAPIVVKADGLAAGKGVVVAMTLDEAFEAIDTMLIDGSFGSAGSLVIIEEFLEGEEASFFALVDGETALPLESAQDHKRVGDGDVGPNTGGMGAYSPAPIVTEELKKKVMESIILPTVKGMAAEGCKFVGVLYAGLMIEKKSGLPKLIEYNVRFGDPECQVLMMRLESDLAQVLLAACRGELGKVSLTWSPELATVVVMASEGYPGPYKKGTVIKNIDEAEQVSPAVKIFHAGTAFDTDGNFVAAGGRVLGVTAKGKDIEEARAKAYDALDVVDWPEGFYRHDIGWRDSKIGI >Sspon.04G0033660-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:63460882:63465319:-1 gene:Sspon.04G0033660-1C transcript:Sspon.04G0033660-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDEVNSVYVGGLPYEANEEMLRDAFGYYGTIVSVKVINDHSVKGKCYGFVTFTHPRAAEQAIAGMDGKKLGNRIVRVNEVRTRGPRDFGRDGFRRDPRRYGRDPYWDRRDRERSYDRERDPYHDRDSDRSREHDRERDYDHGGFNREIDYPMDRDDEVDERRPREHDRAAEMHNMDSDNDKDREHGTRKSRPKGRDSRDLSSSSDDLQNDGKHQLDKTIQMREDLENEACHFLKDLVNQIKDKISAKEQHIADLQKKAQKLEDELAAARKVSSERQLAVTDLYKHFLQLQDYNDRVKTAEQRLQSLVDAAMVELDMAEDATTRDGSMYENGVV >Sspon.01G0005220-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:14068334:14079137:-1 gene:Sspon.01G0005220-1A transcript:Sspon.01G0005220-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MWDFGQCDVKRCTGRKLSRFGLLKELRVTNGFGGVVLSPVGTQCVSKEDHPIVQRKGLAVVDCSWARLSDVPFVKLRCGAPRLLPWLVAANPVNYGRPCELSCVEALSAALIICGEEDTAHLLLGKFKWGHAFLSLNRDLLKAYSQCENGSEIINVQNSWLSSNSSVPKPPVNGTEEARQSTEEGSEGDSNDDLPPLEKNLNHLNLDEDEESEEESESE >Sspon.04G0026420-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:55508866:55512414:-1 gene:Sspon.04G0026420-2D transcript:Sspon.04G0026420-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRISLRLLRSSAAAAAASTSSSLRGLAVPRGGWPARPALQPPVRTAAPADLTRWPPQRGYSQFASGFTPLKPKPLGSILDIERAKGLSPEHLVAAWDDYHLGRGHIGASMKAKLYHLLEQRSDSCRHFVIPLWKGSGYTTMFMQVQMPYMIFTGLEDYKARGTQASPYYTVTHYTDFAETKDTVLIRGDVVFTSKLTDSEAKTLLETAHSFYLNDVRYRLVERFNKETHDFEFGDVLQVL >Sspon.02G0019200-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:62247355:62249874:1 gene:Sspon.02G0019200-1A transcript:Sspon.02G0019200-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQPALPFLLLLLLPAAAGGLPGGRTLVFILAGQSNMSGRGGATNGTWDGIVPPECAPSERILRLSPALRWEEAREPLHAGIDVGNVVGIGPGMPFAHAVLAGSQGAESVVGLVPCAQGGTPIANWSRGTELYERMVTRARAAVAAGSGRGELAGMLWFQGEADTMRREDAELYRRRMETLMHDVRGDLGRPDLLVIQVGIATAQYNGKFLDLVREAQKAVTLPNVKYVDAMGLPIASDHTHLTTEAQIQLGNKLAKSYLETL >Sspon.07G0011990-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:40547193:40548348:-1 gene:Sspon.07G0011990-2D transcript:Sspon.07G0011990-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGAGAGAGALSGLAVCATLACNVASVVFFLRGHVDGQRPRRCAARLGAGGVAAQRVEEGEDGEALDRDVALAPDAVLNLGPCVPARPFIFSPRRVAGSRQSGDPTMYEAFWREVGDRGATVIRGWQVMSYDSDAAALCWFLEPELEREVRRLHRVVGNAVVDGYHLVVGTGATQLYQGAMYALSSPARGDQPVPVVSPAPYYSSYPPQTDLLRSGFYRWAGDANTFTGDECIELVCSPNNPDGAIREAVVRSAGAKAIHDLVYYWPQYTPITGRVAHDIMVFTLSKVTGHAGTRLGYVRNVKDARFPERQQQQQRLGAFVTTTAMSDGSSGGRW >Sspon.01G0023830-4P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:108229305:108230603:1 gene:Sspon.01G0023830-4P transcript:Sspon.01G0023830-4P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSKQTKGSNKKSKSLLGKYELGSLLGRGTFAKVYLARPVAGGEAVAVKVLDKAEVMGTAGMAPRVLREVTAMRRLRHPNVLHLHEVLATRAKIYLVMELAPGGDLLSRLAALPRRRLPEHAARRVFVQLVAALSYCHARGVAHRDVKPQNVLLDGDGNLKVSDFGLSALPDSLRDDCRLHTVCGTPAYAAPEVLRRKAYDGAKADAWSCGVILFVLLAGHLPFDDSNIADMCRKAHRREFEFPEWVSQPARRLVSRLLDPNPATRVAVETLTTHPWFKRSLSVDSQLGGLLNGQQERALAFQAPAMNAFDIISMSSGLDLSGLFDQRNRERRFMTTASPERTLEELGRAGGKLGYVVVGKKGVDCLPLGGLPGLAAMTVEMSEVAPQLMLVELRLEVVDGDGDGEGQGFGWEELKHELGDVVRAWHSCQDF >Sspon.03G0009020-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:31423158:31424043:1 gene:Sspon.03G0009020-2B transcript:Sspon.03G0009020-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTLKRSSPDKGHKSSAMDAILHMESKNYHHHHDSPLTSNGAATAGEKLGVERFELPRIYISLSRKEKEDDFLAMKGTKLPQRPKKRAKNVDKTLQVREKKCVKKV >Sspon.03G0000330-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3A:927732:930333:1 gene:Sspon.03G0000330-1A transcript:Sspon.03G0000330-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLNKLPLDLDEDFLDAFCLDYVTGNPTFCTQVPAIPEIPQPNDEHARIEDRNESSTVNPVVADNELNGARNEVAEEEEDVWSTPKMPHNGLSFASLDEAKEYYNSYAKRTGFSIRTNTSRRSAITREMQKVHFVCNKEGFGKKRRVAAQLVDAITCYSDNDEAEEEDCAQEEEDNQGEKRKKLDRCKKRKREKMLYTNCKARMVVKMIGSRWQVIYFLAEHNHDLVVKPLLKKFLRSHRGIPKPEKDFIVLLHGCNLSTGRIMQLMSEFYGSAQLVPYEGKQVSNFCSTIHKTEKFKDMQETLDYFRALKEEDPDFFYKIKLDDNHRVENLFWVDSAARRAYKEAYSDCVSFDATYMTNIYEMPFTPFIGINRHCQTFQLGCAFIRNEKAATYEWLFVTFLEAMDGKAPLNIITDQDAAMRVAICTVFPNMTQRNCRWHIMDKFLGTIGPILAKNKELNEEFVDCLNHTISPEDFETKWAAMVEKHELEGNEHFQHLYHIRQSFVPAYYMHSFFPFLQSTQRSEGFNALLKKYVNPNLSMLQFVRQYQKIQEKCLVAQDGQDFRTDENERRRWSRHPLEKHASTVYTKNMFYKFSQEFEKTVEYDVKPVGQFQYWVEPNNNFVYGYGKRNYLVTAIEEEESYCCECSKFDRDGIICCHIMRVMVRMGVKLIPECYILKRWTQQAITSDTDQVQNVQAPVELVARGMPLTGQKTLRFTNASTAFAALAVEGCTSDENYVVLEKHIKEMRSEFEEIKKRKMANRQNTGATEGGATEGAQDPGATLVPQNTGPGASTPTGPSLQTAGLKTRPCVLLYFILVHLYLIT >Sspon.06G0022300-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6B:27709038:27710216:-1 gene:Sspon.06G0022300-1B transcript:Sspon.06G0022300-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAMLLMEQCLSARSGGCCLTLPSLAWLWGDGRSMAAAAQGASPAGYVQLGAEDVSESVFEAVMGPARHILSERKSRKIAAFLLINTAYMFVEFGSGFMSDSLGLISDACHMLFDCAALAIGLYASYIARLPANGMYNFGRGRFEVLSGHVVITMEMTAIAMITMAIVIARAFITISWRTPARRSIAIAIAIAMAMAMSIITMNIRNIAIRAEIMIIRIATVLILSNSFLTFLLAIYLLMTQKVTLQKQD >Sspon.06G0009520-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:50852456:50854342:1 gene:Sspon.06G0009520-1A transcript:Sspon.06G0009520-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RLATLEHSSFVSCHLKILKLSYALLDDNILRQLSCHCPSLEELDLKDCVITGHEISSASLKILTMFKCQINANLSIAAMNLVLLRCISPITQAPSFQNMGSLVAGTVILDDYAFIDDFEDFSKDELAETTDEDDDNHKYKTGYGFGDPGFGLGYKDDYDYGSDIDSDDNTYEYSDIANDSGEYSFDGDGHSSSTDGNHLVLGENSGCNENKIKGGYNILQIFSNATSLELLANAGEVILTRELKRCPSFSNLKTLSLGEWCIDAEFDALVFLLQHSPNLEKLFLELKLYLGTCLITLMVFCKKNLNTRKPLVSGVKPKGRSFACKHLRKVKLKCSKDDVRVHKLAHLFRANGVPDENIFVRRTGST >Sspon.04G0033330-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:57014779:57016338:1 gene:Sspon.04G0033330-2D transcript:Sspon.04G0033330-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQLLFAVLVAEASLAAALLFKTPLRKLAVLVVDRLKRGRHAPIVVKTVAGVVLALLASTLYSMAQISGSASDSDSGGGPTPTDQVLFSRHLLEACLMGYSLFLALVIDRLHQYIRDLRVFKKDLEAVRKHNKMLEEAKHGNSEEAKKYQEEIATLNKEMKKLKLQVQEKIEEVHVAEDKALTIQKQSEGLLIEYDRLLEDNQHLRDQLVSIDLRLSSSS >Sspon.02G0053140-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:86789843:86790549:-1 gene:Sspon.02G0053140-2D transcript:Sspon.02G0053140-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGLRSDETKPRRFDLTMSRRTRKPAAATLATSCHEQDHHHAVDVEGLGFHQVVVQPDRQDTDGLFCLSDHLQSRQPELVAHQEHETSEQRSEDTTQEQASPPQTCRLVEKVDDSEAQQQVEEECQDNSRRFSLQELIEDEAVDDGVKNAATGGAGTEENAAAAAGGGVREVAEGAAAAGGGKEGDRNDAPLREACVAGEERHACMLTADVTTLNYTAR >Sspon.02G0018200-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:16550748:16551912:-1 gene:Sspon.02G0018200-1P transcript:Sspon.02G0018200-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRLKISEGGGPWMQTVSDFHGRQVWEFDPDAGTDEERAKVEELRRQFTENRFRRRESQDLLMRMQFTGQKHLHADMPAAAKLEDGDEVTEEILLQSLTRALDWMSALQAEDGHWPGDYSGIMYLLPFWIFALHITRSIDAVLSKEHIREICRHIYNHQNEDGGWGFNVLDESAMFGTCLNYATLRLLGEVENDGLAKGRAWILSHGTATAAPQWAKILLSVCLLMLL >Sspon.04G0007280-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:21468209:21472103:1 gene:Sspon.04G0007280-4D transcript:Sspon.04G0007280-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aldehyde dehydrogenase [Source:Projected from Arabidopsis thaliana (AT4G36250) UniProtKB/TrEMBL;Acc:A4FVT2] MGSVAEEKVALGFDGLVSDLREVYESGRTQDLEWRQSQLRGLIRLLEEKEEEIFDALHDDLGKHRSEAFRDEVGVLKKSVVDKLQNLKNWTAPEKAHTPLVAFPATALVVPEPLGVVLIFSCWNLPIGLALEPLSGALAAGNAAVVKPSELAPATSAFLAANIPKYLDSKAVKVVEGGPEVGDKLMDHPWDKVLFTGSSRVGRLIMTKAAKHLTPVALELGSKCPCIVDWLDSNRDSQVAVNRIIGAKWSTCSGQACIAIDYLLVEEEFAPILIEMLKSTLERFFTRPEYMARILNEKHFQRLSGFLGDRRVASSVVHGGHFNPKTLSIEPTLLLNPPLDSDIMTEEIFGPLLPIITVKKIEDSIKFLKSKPKPLAIYAFTRNEKLKQRIIDETSSGSVTFNDAIVQYGLDSIPFGGVGFGQYHGKYSFELFSHKKAVLKRSFLVEFMFRYPPWDETKIGMLRHVYRFDYVSLFLAIIGLRR >Sspon.07G0016830-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:85046962:85050457:-1 gene:Sspon.07G0016830-3C transcript:Sspon.07G0016830-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNILRCLTGGDNHGDDHYRPHYHPTSEPGIILQSGWGSPPRFQPDHHQLGPHGGVASLVQDLLNFESTSMVPEALRNNVTSSKKAQVKWYRNILEAYRNCSPPPKTPAEAARLVAAALSRIQRADLEGFLAFYNLPIPSFSSASTSSDHQPSSLPEGIQFVLNTLPVHNKCIGDGDGFTAYVDTADPRESANVPLEVHEMVIERTQARIDRDYQTADALLRSLNEAGYKIITILGEEILAKKYRIRMRGIDAPELKMASGKESRNALVKLIGGKRVTIYVYGQDQFGRYVGDIYCDNVFIQEQMLKSGHVWHFKTYDKRPEFAQWEREARAARRGLFASENPEKPWDWRRDQRNANIPVY >Sspon.01G0011330-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:31297954:31305347:1 gene:Sspon.01G0011330-1P transcript:Sspon.01G0011330-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAGNGRREAALGALAVLPDEVLCAVVDLLPPADIGRLACVSSVMYILCNEEPLWMIKCLSIGGPLEYKGSWKETALCRLGLCSENKEIWQKPRQFDGFNSLYLYRRWYRCFTTLSSFSFDDGHVERKDDLLLDQFRSQYDGKGPVLLTKLAETWPARTKWTLQQLTKDFGEVPFRISQRSPQKITMKLKDYVSYMELQHDEDPLYIFDDNFGESAPTLLEDYSVPHLFQEDFFDILEYDQRPAFRWLIIGPERSGASWHVDPGLTSAWNTLLCGRKRWALYPPGRVPGGVTVHVNDEDGDVDIETPTSLQWWLDIYPHLPEQEKPLECTQLPGETIFVPSGWWHCVLNLETTIAVTQNFVNQSNFQHVCLDMAPGHRHKGVCRAGLLAVPGKFIKDNENHPSVTMSGWNHPDMSRKEKRLKGSEPLRTSNSINHCSAFEFSGVQESLENQDFSYDIDFLSQFLEKERDHYSSLWSPTNLIGQREAREWLRRLWVLKPELRELIWKGACLAINVDKWYSCLEEICACHSLPSPTEDEKLPVGTGSNPVFIVSGNVIKIYAEGGLVYAVHGLGTELEFYDLLQKSGSPLINHIPEIIASGFLEYKDDIYRTVPWNGKGIPEILVKHYPLEVSYANSCFPLGLWSKQQFGMSSSADVSDRPIWPYMVTRKCKGDIFARIRDMLSKTDVLHLASSLGVQMRNIHLLSLPPVEHVSESGNNDVKEVVGTCDDATVLPEWQQLVYTLNRRKQNVKKHLANWGNSVPQVLVEKAEEYLPPNMGFLFKFVKDDGDLVYPCPSWIHSDIMDDNILIEGITKLNSSGERERVYDADQEKMNAIHIIDFSDLSIGDPICDIILLHLDIFRGDTDLLKEFLRIYQLPLLRGESITDVYKSVQNSKFSRASYRAMCYCILHEDNVLGAIFSLWKELRAATSWEDVENFVWGELNRYQESCAL >Sspon.01G0002270-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:6175470:6189675:-1 gene:Sspon.01G0002270-3C transcript:Sspon.01G0002270-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LYDTKKNKIDAHACSWPPGLSYSSAMIGRPHSLARLDKISLFLTKNSGSRIKMSRKIHGENDSERITSEGTAARTRPLSIKDIMLRREKKAASESKKAKEGLENGKGKSTHLEQGREHKSRKDPRDMPVEGSRKEKSSDATREGSKKENPRHIPRENPKKEDMRYAPKEVSKKDNSRDRPNTGAKMDDLKDAPKVPEKEGLRDAPKKASKKLPSGDDNHSVRKDKGIHHSQKLITNMSGRADESKDRNLSEIRERKGDVMRSEYQKEPGKRWNDEAVGDDEIKFKSEKLRNETKRKDRSFDNERSSEVERPMLKKHDSARFQDSKHSDRNAGRNEYAKPYHGEPGFKRRRSRSRDHDRERYGRSISPPLREERYNYRGRDFGNYPPYYSMEKSRRKYAEVDKQRSSGSGGYIGGSHQKYESRLGGYSPRKKKTSLQAEQATTKIPSKVIQSPEKKSATWDQPPVKANQSNFPTTFLPTVGQMAPTPFSFSAIKKDPSTTVGTVLAGNSLTADSVQLTQATRPLRRLHIENLPDSATEDKLIDCLNDFLLPTGIKPQRSKPCLSCTINREKRQAFVEFLTPEDATAALSFDGRSLNGSALRIRRPKEYVEMVNVTPKKPAEETALISDIVADSPHKIFIAGIAGVISSEMLMEIVSAFGPLAAYRFLFNSELGGPCAFLEYADRSITSKACAGLNGMVLGGCVLTAVHVFPNPPVEAANEASPFYGIPENAKSLLKEPTKVVQLKNVFEREEYMMLSKSELEETLEDVRVECTRFGAVKSVNVVEFPAAGGSAAEDNIVELKIECTEFADAENTAKAVSEYSVPINQSIDVLNHSEASELKIQDQKDKHFPSNAALCESKAPVADEDEELDETQSRAVLPTSQHAEAGHTEAAVDENKHTVGEVTATVMDDDAVEKSHQDPRTSETCSPAEPTDKVEKPGGYSEQGADDVTENRPEKVPAVETSDTGFVFEPGSVLVEFMRKEAACIAAHSLHGRRFGNRTVHAGYAPFDLYLQKYP >Sspon.07G0007950-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:18936775:18939591:-1 gene:Sspon.07G0007950-2B transcript:Sspon.07G0007950-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MREILHIQGGQCGNQIGSKFWEVVCDEHGIDPTGRYVGTSDVQLERVNFYYNEASCGRFVPRAVLMDLEPGTMDAVRSGPYGRIFRPDNFVFGQSGAGNNWAKGHYTEGAELIDSVLDVVRKEAENCDCLQGFQVCHSLGGGTGSGMGTLLISKIREEYPDRMMLTFSVFPSPKVSGTVVEPYNATLSIHQLVENADECMVLDNEALYDICFRTLKLTTPNFGDLNHLISATMSGVTCCLRFPGQLNSDLRKLAVNLIPFPRLHFFMVGFAPLTSRGSQQYRSLTVPELTQQMWESKNMMCAADPRHGRYLTASAMFRGKMSTKEVDEQMLNVQNKSSSYFVEWIPNNVKSSVCDIPPRGLSMSSTFVGNSTSIQEMFRRVSQQFSAMFRRKAFLHWYTCEGMDEMEFTEAESNMNDLVSEYQQYQDATADEEADFEDEGAAAE >Sspon.04G0030970-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:37972627:37977162:-1 gene:Sspon.04G0030970-2P transcript:Sspon.04G0030970-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKTNMYRPGIDVTQAELVPHLNWRRQERSEAIGHWKAKVYDMQNVLVTVKSRRVPGAMTDEELFAMDGEEKNGRGTELDAELDEVLTAEERKQLDSALRMGNNEEESEERGDQGDAAADHMDANGVAKDKKGWFGWGGKKGAKGDDKPSKVGSKDEASDPGKQKEKGTGKKKKGGSSAESLKHESEYKKGLRPVLWLTPDFPLKTDELIPLLDVLANKVKAVRRLRELLTTKLPTGTFPVKIAIPIVPTIRVIVTFTKFEELQPVDEFATPPSSPTQFQDAKAKESEGSGSWYSWVKGGRGTQSSDSGDSRNWKDEVDPFHIPSDYTWVDATEKKRRMKAKKAKSRRGTARKQSSKNTSEGGHHHPMMDGFEE >Sspon.04G0003760-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:43612930:43619463:1 gene:Sspon.04G0003760-2B transcript:Sspon.04G0003760-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSRFGSFKSEKGDSASAAAGAAGGAAQRRRDPYEVLGVGRTATDQEIKSAFRRMALKYHPDKNGDDPVASDKFQEVTFSYNILSDPDKRRQYDTSGFDAIESDSQELELDLSSLNTVNTVFAALFSKLGVPIKTTVSATVLEEALNGSVMVSQLQLGNSVQRKLLYFELEENGGLSLALQEDSVKVGKVTAAGMYFLGFPVYRFEQNNLAAAAKDSDGAFFKRLDSFQPCDIHELKAGTHFFAVYGDNFFKSASYTIEIVCGESFPAEKEMLRNVEAKILTKRAELSKFESEYREVLAKFTEMTSKYTQEMQAIDDLLKERNEIHASYTNNPPLKRSSSRNKGKSPSKVAKTDTEKHPRKEKKVKDHCMEGYGSDSDNSSEKKSKERFPRKKWLNIPFKLDRRKTC >Sspon.01G0037780-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:20771370:20776341:-1 gene:Sspon.01G0037780-2P transcript:Sspon.01G0037780-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RVRCVAGGDDAARREEGRGPGPACSSSAVTGWFSQDFSNGTAPASASTARPPEVDVHGFAFNSSVLWDPERWGRYPTSEPDKSQDSMKFVQQVVLEDLSKVKGIPSECSEVMVSWDIFFPSHLVADTVAICRSMLPALSSAVTASPPDYRDCPARIAELCIDPTSMQEDCKGIRLIMASGNLATMDSSK >Sspon.02G0050710-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:66243191:66244604:-1 gene:Sspon.02G0050710-1C transcript:Sspon.02G0050710-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTKMKKGILKPFRYISNMMDGKEPEMQIGFPTDVKHVAHIGWDGPGSNNNNNNNNNNAGGAPSWMKDYHSAPLDSSSFRSESGGTAAANPWASQGGADIGGGDSPPSPGTRRSRRHRSRGSATSSMDVTGAEGAEEKKKEKGKKGTRKNRKKDKSAGDDASATCQDLPAVPKKSNRRKNKGSSEGNGGAAAKDATTAAPEEGAAAATTPPAVDDD >Sspon.08G0013240-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:63588446:63590331:1 gene:Sspon.08G0013240-1P transcript:Sspon.08G0013240-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTILASETMDIPEGVTVTVAAKLVTVEGPRGKLTRNFKHLNLDFQLQEGGRKLKVDAWFGTRRTMAAIRTAISHVQNLIKGVTKGYRYKMRFVYAHFPINASITNSNTAIEIRNFLGEKKVRKVDMLEGVTILRSEKVKDELILDGNDIELVSRSAALINQKCHVKKKDIRKFLDGIYVSDKGVIEEEQ >Sspon.03G0018500-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:85446333:85449006:1 gene:Sspon.03G0018500-2C transcript:Sspon.03G0018500-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoenolpyruvate/phosphate translocator 2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G01550) UniProtKB/Swiss-Prot;Acc:Q8H0T6] MMQGAAAAGTTSVSGASSWTRATRGRASVLASRHVGVVASSSSSFFGPRGATAAAAQPLPLLCVRGGGCRLRPLSLLSGSGKNGEVAKAAAAAAAAAASVPADDASAAAVTRDGGPGGGIAATAQLGAMIVAWYLLNIYFNIYNKQVLGALPLPLPYTITAFQLAFGSLLIFLMWATRLHPAPRLSAAQLGKIAPLAVGHMLGTVFTNMSLGKVAVSFTHTIKASEPFFTVVLSALFLGEVPSLPVLGSLVPIVGGVALASFTEVSFNWTGFWSAMASNLTNQSRNVLSKKLLAGDKDVMDDINLFSVITVLSFLLSCPLMFFAEGIKFTPGYLQSTGLNLQELCVRAALAGLCFHGYQKLSYLILSRVSPVTHSVANCVKRVVVIVSSVLFFSTPISPVNALGTGAALAGVFLYSRLTRTKKPKDA >Sspon.02G0022190-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2B:72289046:72291107:-1 gene:Sspon.02G0022190-2B transcript:Sspon.02G0022190-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLYPATVVRFMLLCMSACDEDATILCAALHCTSKATQRHCSASDQSTTEQRKQRASMAGTWVKMEQKGAGPGARSSHAITLVGHTAYAFGGEFTPRVPVDNTMYAFDLTTQTWSALDDATGDVPPPRVGVTMAAVGGTVYTFGGRDLEHKELNELYAFDTATRAWTLLSSGADDGPPHRSYHSMVADAECGRVYVFGGCGDAGRLNDLWAYDVAAGTWEELPSPGEACPPRGGPGLAVAGGKVWVVYGFSGEELDDVHCYDPATRAWTVVETTGDKPSPRSVFCAAGIGRHVVLFGGEVDPSDLGHLGAGKFSAEAFALDTETRAWTRLVDDDKAEAEAEQHHPGPRGWCAFAAGEKDGRQGMLVYGGNSPTNDRLDDIYFFAPVLG >Sspon.01G0017290-3C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1C:64770365:64770904:-1 gene:Sspon.01G0017290-3C transcript:Sspon.01G0017290-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSPMAEAGCSGRRRFAVACSVLSQCVRAETAAAHSRPVVQPQAAASPMLLMPGADVVSDETVPTPTPAPPSTKLTIVYGGRVLVFDDVRADRAAEVMRVAARQDMPPGGLAADLQVARKATLQRVMEKRRDRLRTRAPYAPARASPAAPAVPKEQREKDADKWLRLGGFNGDDDGPR >Sspon.01G0034680-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:16009399:16021852:-1 gene:Sspon.01G0034680-2D transcript:Sspon.01G0034680-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGVASAAGLGIWSRRRDEITFDRLQKFWNDLPPQARQELLKLDKQTLIEQARKNFYCSRCNGLLLDSFAQIVIYGKSLQQEASDIGCLRTATESRITQGEQDGAQDPSVHPWGGLSTTKDGVLTLLDCFIKAKSLRVLQNVFDNARAREREREMLYPDACGEGGRGWISQGMANYRGHGIREMCALHTAHLSCDTLVDFWPALGEETRSSLLRMKEEDFIEKLMYRFDSKRFCRECRRNVIREFKELKELKRMRREPRCTSWFCVADTAFQCEVFEDAVVVDWRQCLSEPDESYDHFEWAIGTDEGESDIFGFENVGMNAQVHRNGIDLDQFEDYFITLRAWRLDGQCTELCVKAHALKGQSCVHHRLVVGDGFVTMTKGESIRNFFEHAEEAEEEDEDDAMDRDGNDFDGDGAHPQKHAKSPELAREFLLDAAAVIFKEQVEKAFREGTAQQNAHSVFVSLALKLLEQRVHVACKEIITLEKQNKLLEEEEKEKREEQERRMKRRTREREKKNRRKERLKEKENNKGKRLVEPKSPDDISSSAPSNSSTSINDYSTNTLDSRDSAMEEEDSAEVVNLCSPDSCVNQSSCTEINGENSVQCNAVTEFSPMDSSDLCTSDQSKSSRQSPRSREDFPRDQSCWYDDCQDESGRIGESQWKSRERTRSIDRSCNTASTSNNRTREKHSYNSCSCGHPEDYGVMDSCFLPTARSGREMKIARKSGVEKPRVQDHRCYTLDSFIVSKGNRAGCTQKNVIPKQVWEPMDARRKTNLHNTVHDSGSINNVDPLKHVVFYNSGSQKFGVGCESQPQASESSRDVCNSDQPCANGGRNQTTSCASTLLVNKQNCYSENEEGSRHDEELMTNSAGSDSSSSCMSEGDRESSSISTTSSSAQNPESSSESEESPERINSKVVTPSSRAASRSLLEACAGNGFREYQPKATRPAHNDMFGYNVSPVQDQLLHYQSMHAPQHPPITMGFHDRSWAAPTNGNFQYARPSHLYSSPLAFGIPGNHFIDYPYVAPGFSHIPQEPIHKATASFRAMPLSPHIQNGHRQVAGHTQRDMNIERHPSKLTMPTGKNPQEDKNKSGLKDLPEDKNKPWDADASFSLFQFNLPIASPVTPSKDKSEELAARTPLVQVQAQLCSREQADVKEYNLFSSKDNGIFSFM >Sspon.05G0018590-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:80105334:80107558:1 gene:Sspon.05G0018590-2B transcript:Sspon.05G0018590-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:LAX3 [Source:Projected from Arabidopsis thaliana (AT1G77690) UniProtKB/TrEMBL;Acc:A0A178W1L1] MASEKVETIVAGNYMEMERDVGGGGGGDHGDDQPGGEVAASGASAGKKLALSSLFWHGGSVYDAWFSCASNQVAQVLLTLPYSFSQLGMASGGGLFYGLMGSWTAYLISVLYVEYRTRKEREKVDFRNHVIQWFEVLDGLLGKHWRNVGLFFNCTFLLFGSVIQLIACASNIYYINDKYDKRTWTYIFGACCATTVFIPSFHNYRIWSFLGLLMTTYTAWYLTIAAIAHGQVEGVTHSGPSKMVLYFTGATNILYTFGGHAVTVEIMHAMWKPQKFKLIYLVATLYVLTLTLPSASAVYWAFGDMLLDHSNAFSLLPRSGFRDAAVILMLIHQFITFGFACTPLYFVWEKLIGVHETGSVALRAAVRLPIVVPIWFLAIIFPFFGPINSTVGSLLVSFTVYIIPALAHMATFAPPAARENAVERPPRGLGSWAGMYAANCFVVAWVLVVGFGFGGWASTVNFVRQVDTFGLFTRCYQCPPKH >Sspon.05G0039750-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:77665254:77670911:1 gene:Sspon.05G0039750-1D transcript:Sspon.05G0039750-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPLLPTPCVAVILPKPLAKPSRADSDERWDARKTKSACTESSALSSPRSAGSVTSSAGRGSAPGLCEPRKNATSPPKPGRADSAERWDAHKKAASPASSSSSSGKWTISRALSAERWDVHKKRCLPQAEALDDGESSSTGSNDMDTEEEILWKPRAMYAGPGFVVAAPEPSMLPMPTAFLPRKNATSPPKPGRADSAERWDAHKKAARPASSSSSSGKWTISRASSAELWDVHKKRCLRQAEALDDGESSSTGSNDMDTEEILWKPRAMYAGPGFVVAAPEPSMLPMPTAFLVPVA >Sspon.03G0029620-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3B:17569370:17571827:1 gene:Sspon.03G0029620-1B transcript:Sspon.03G0029620-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MILRRWPKCTAECFRRRKLFPSEVPSCPYSTIATSVQFDDSRGDEKLNFAPDNEPIQKSHRSLTSDSVVQTLRCLRRKPAVAFAYFKDTHSLGFHHDFSTYSEIIQILSHSFQGKMLVSLFCEILSGTGSGGPEILPLIDHLRKTCATSHVLSYAVNCLIKAYTTCHDAQETVEMFCHLCRLGFVPTLWACNFLLKFVSQSSDSHMVVAAYDRMKCFQLTLDAQSLNIVTRSLFQANKADEALQVWVGMIEMGVKLDVHGYSSFIIGLCDCGKYDLAYNMVRRYAVLQEISQERVPIEAFAYNMVIDGLCKEMKLEEAEKVLEIKIRHGSPPDLYGYSYLIHSHCKMGNLEKAWYHIEDMVSHGIEINCYIVGSLLQCLRKLGMISEVIVHFQKFRDLGLHLDGVLYNVAMDAYCKLGNMNEAVKLLNEMMAGGLVPDKIHYTCLINGYCLKGETENAWQVFEQMLKANIKPDVVTYNILSSGYGRNGLVMKVFDLLEHMMDQGLEPNSLTYGIAIAGFCRGGNLSEAEVLFNIVEEKGIDNIDVLYSSMVCGYLHSGWTDHAYTLFLRVAKQGNMVNHLSCSKLINGLCRDEKVQEASTVCSMMLEKNIVPDVISYSKLISAYCQSRDMHNAHLWFHDMVERGLSDVIVYTILMNGYCKVGRLQEACELFVQMINLGIKPDVVAYTVLLDGHLKETLQQGWQGIAKERRTFFLRTKHKALLSSMKDMEIEPDVTCYTVLIDGQCKAEYLEEARGLFDEMLAKGLTPDVYTYTALINGYCSQGEIAKAEDLFQEMIDKGMKPDVLSFSVLHQRTLRHQK >Sspon.01G0014290-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:40275152:40276012:-1 gene:Sspon.01G0014290-1A transcript:Sspon.01G0014290-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQELQETCSSSTSSCTTSCCSSTVTDSSSSPPSPAAANAAPAPRKRQAVVEAETETEAEAGGEEEEEGCAGKTAPAKKRKRSSEGKHPTYRGVRMRAWGKWVSEIREPRKKSRIWLGTFPTAEMAARAHDVAALAIKGRAGAAHLNFPDLAGVLPRAASAAPKDVQAAAALAAAFTSPLSSSPSSEEPDAAGAPCDAREEPAAAKNGDAPEEEAAAEAPVLPPPVSQPGTPSSSGVEEERQLFDLPDLLLDIRDGFGCFPPMWAPLTDVEEVNAELRLEEPLLWE >Sspon.06G0008010-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:33252624:33254141:1 gene:Sspon.06G0008010-2B transcript:Sspon.06G0008010-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGVEEEGEVAALREALRQEAQAVEELRAELEEERQAAASGANEAMAMIVRLQAEKAAERMEADQFRRVAEERIQHDEDTLAFLKAVVFHQEMEISSLNRRLLAVHATGDDDPLSPAVDLPWLRKLAKNGTVTSRRNASLPAANLEELCSELDVVVDTDAGKKSTVGDRRPARTVSDIGEVIGREKEWATRPNLAAPTPRLHRSASHCLLRRAPSYSAQAQCGMRSAGRASAEIIAEEDEKSCKSNAALEADIEQIKATVQCLQTELTKLRESTLSVGDAHSQILAEIHAKLDGVTPRRQRSFEGPSPELLKKKATRGGGGSSRSSSSKEQSYQPQAERELLMNHFIEVRGLTFSGSTIVRPLTKAAASMSLLRCLLVLVLAVAIRKDVR >Sspon.06G0007730-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6B:27740840:27742891:1 gene:Sspon.06G0007730-2B transcript:Sspon.06G0007730-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAALPRWRPLLLVALLHVLVGGAAAAAAPVRARDLLPLLPRRLAWQLMGATAHSAVDLLPSFVAAVAPGGPAAAWRGACFAENQAVLSLTTHGSGGAPGRNGTAGGLNSTTSGLGGAVLRLKHYRSVFPSNPNTQRVYQVKQHGIAIFLMPSGMLGALLSLIDVIPLFSNTGWGQDANLAFLQKHMGTSFQKRSEPWSANIRREDVHSGDFLALSKIRGRWGGFQTLEKWVTGAFAGHTAVFLKDENGTLWVAESGYENKK >Sspon.01G0026330-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:65241475:65242530:-1 gene:Sspon.01G0026330-2B transcript:Sspon.01G0026330-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MCMDRAAVPVKRVWLGIAARLGLRRKTGLRKLRNEVRTCEYHDVHVMWQMLRATEGPVPLAEKEAAAAAAVAAAAGARKRKNAWRRFIYYCCAF >Sspon.05G0022650-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:11192902:11194482:-1 gene:Sspon.05G0022650-3D transcript:Sspon.05G0022650-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKKRKADPAESAAAAEAAAGNHRQDPASLEPKPRGTIYFPITDDPPEPTAADEDEDEGAGDDEDDAEDIAKLLEPLSREQLVALLRTAAEASPATMAAVRRAAEADPASRKLFVHGLGWGAGAEDLRSAFSRFGELEDCRVISDKQSGRSKGYGFVLFRSRRSALRALSRPQLQIGGRLAFCHLAASGPAPPASQSQNPSSNANTNANSSSNTTTNASGSSSSQPDNMQRKIFVGNVHSDVDVDRLYEYFAQFGEIEEGPLGFDKNTGKPKGFALFVYKSADSARRALEEPMKNFDGKTLNVQKAIDGRTKGSSGTNTNANSNPTTASVAAAAAAAQMTAPASAAISPYDASAYGATAVPDMSFAQQAAMLGLGAQQQAFAQPNAMLAMIAAMQNPAALGMTPAMLAAMNPAFAAAALGAGGQQAHTAGLTGFGAQGFGAQAFGAGGAAFPNAAGVQAAAAAYQGAGAPPGFQGPPGFQVGQATTQTSTAAAAAAAAASAAGYQAGAAGQGQAQIGGTGFQGGY >Sspon.03G0007120-2T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:19391742:19394358:-1 gene:Sspon.03G0007120-2T transcript:Sspon.03G0007120-2T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPWSESEGKRAHDPIFKCSSQNHHFKEMRADAAVALSERCTCIRVSCPIIVGAGPSGLAVAACLKEKGISSLILERSSCIASLWQLKTYDRLSLHLPRKFCELPLMPFPANYPIYPSKQQFVAYLESYAASFGISPTYNRTVVCAEYDEQLLLWRVRTQTSVTTGQEVEYLSRWLIVATGENAEAVQPDIRGLQEFPGTIMHTSAYKSGSAFTGKRVLVVGCGNSGMEVCLDLCNHNAEPHIVVRDAVRTRLAQGDAWSLHLWSVNVAAQVAPSPRCGPCSTVHSMGHAWGYCSAWAKAAGLGPLELKSLSGKTPVLDVGTFAKIKSGDIKVRPAVRQISGRVVEFADGGLEEFDAIVLATGYKSNVPFWLKDRELFSEKDGLPRKAFPNGWKGENGLYSVGFTRRGLMGTSVEARSIAHDIEQQWKAKGMHPDGSSSSRRCCLP >Sspon.07G0008860-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:21118483:21132271:1 gene:Sspon.07G0008860-2D transcript:Sspon.07G0008860-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPRPTSLSSPAALIHLRLQPLPSIPPLHPTTLPFPRSLPLHLPSLRLNGPHLPPLPLASSGSGSGITGTGGEDGLPPLPLASSGSGSGITGTGGEDDLPPSGGGGGGGGGDGEGEGEGDGSDDASVNRREALFVLAQLGRKLESLPADLAAAVEGGRIPAEIVRRFVDLEASPVFRWLLQFGGFKERLLADDLFLTKVAIECGVGIFTKTAAEYEKRRENFVKELDFVIADVVALSGTSYSLLQRVGAILRNGAKLFAVGTSASLVGTGVTNALIKARQAASKDFDGEVENLPILSTSVAYGVYMAVSSNLRYQVLAGVIEQRMLEPLLHQHKLALSAASFAVRTGNTFLGSLLWIDYARWVGVQ >Sspon.01G0015060-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:49241935:49243782:-1 gene:Sspon.01G0015060-2B transcript:Sspon.01G0015060-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTMTLPPGFRFHPTDDELVGYYLKRKVDNLKIELEVIPVIDLYKSEPWELPEKSFLPKRDLEWFFFCPRDRKYPNGSRTNRATTTGYWKATGKDRRIACDGGVYGIRKTLVFYRGRAPGGERTDWVMHEYRLCQDIAHGACNFIGAYALCRVIKRHEAGLLQGEPAAGRAKAAAGSSARGQMSKVSSSSSLVSSEQLSASFTPTNSTSPPPTLDVGSRGIMCTVSESGNPFQPRWIRRDGDGHHQHRGLPSSPLPPPLLLSSPHDTFFIGDDFPAAAAEESRSHAHLFGGDIMGMGMGMGGVSDQELKWDSFACPTTFSSGADTWNAAAAVASATMLCRQASDGIEDLAAIFFSDDNRIVF >Sspon.07G0006600-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:16996291:17007240:1 gene:Sspon.07G0006600-1A transcript:Sspon.07G0006600-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATADRTRTFMKDVKRVIIKVGTAVVTRHDGRLALGRLGALCEQVKELNALGYEVIIVTSGAVGVGKQRLKYRKLVNSSFADLQKPQMELDGKACAAVGQSGLMALYDMLFTQLDVSSSQLLVTDSDFENPNFRERLRETVESLLDLKVVPIFNENDAISTRKAPYEDSSGIFWDNDSLAGLLAIELKADLLVLLSDVDGLYNGPPSEPQSKIIHTYIKEKHHNEITFGDKSRVGRGGMTAKVKAAFVASNSGTPVVITSGFASQSILRVLQGEKIGTLFHKDASLWEPSKDVSACEMAVSARECSRRLQNLSSDERKKILLDVADALEENEDLIKTENEADVAAAQDAGYEKSLIARLTLKPGKLASLAKSIRTLAHMEDPINQILKRTEVVITGAIPSNVGEKLIGLVTSRDEIADLLKLDDVIDLVIPRGSNKLVSQIKASTKIPVLGHADGICHVYIDKSADMNMAKRIVMDAKIDYPAACNAMVGISTGRIHARGPVGVEGLLTTRWIMRGSGQVVNGDKDIAYTHKNLPLQ >Sspon.01G0044760-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:85050922:85052819:1 gene:Sspon.01G0044760-1B transcript:Sspon.01G0044760-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRPPCSSATISGGLLLCIAFFAELVNGGAIPPALYVLGDSQADVGNNNYLVTPARADFPHNGVDYPGHVATGRFSNGYNFVDFLAAGLGVASPPAYRSIFNATGGSSSSMFLKGVNFASGGAGVLALTNMASIHLAESVFAIAIGGNDIIDRVLLDPAGPLNSTQFIDVMAQSLKRQLQRMYGLGMRRLFFVGAAPLGCIPLMREQRSLGVDNSQGCNAEANSLSVEYNTAVASLLRDLSAQHSDFQYSFFNTSTALLLYIQELEANGTDDRSRLMN >Sspon.08G0027080-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:29331935:29333974:-1 gene:Sspon.08G0027080-2D transcript:Sspon.08G0027080-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFVRLLLIVFLGLHLQASDTVADDDQFVYSGFRGSGLTVDGTAAVTSDGLLQLTNGTAYLKGHAFHPTPLRLRESPNGSVQSFSVAFVFGIVSVYSDFSAHGMAFLIAPGKDFSSALPAKYLGLTNVQNDGNASNHLLAVELDTIQSVEFKDINANHVGIDVNSLQSLRSYNAGYYDDESGEFQNLKLISRQAMKVWVDYGGDKKQINVTLAPLRVATRPVRPLLSVSYDLSTVLTDLVYLGFSSATGRVNSRHCVLGWSFGKNRPAPAIDVSKLPDLPRAGPKPRSKVLEIVLPIVTATLVLGFGGAAVLVARRRFRYAELREDWEVEFGPHRFSYKDLYHATDGFKDKHLLGEGGFGRVYKGVLGPSMLEVAVKRVSHESRQGMKQFVAEIASIGRIRHRNLVQLLSYCRRKGELLLVYEYMPNGSLDKYLYSSSSSSSSQGEISSKLSWAQRFHIIKGVASGLLYLHERWEKVVVHRDIKASNVLLDRDMNGQLGDFGLARLYDHGTDSQTTHVVGTVGYLSPELLRTGRASPLTDVFAFGIFLMEVTCGQKPVMANAHGGGHVALVDWVVQHWRNGSLMATVDAGLRGEYAVDEAGLVLKLGIMCSHPFANARPGMGQVMRYLDGVTPLPELTPTDSSFDVLAMMQSKDFDMFTISHPDLVASFGTISDLSGGR >Sspon.03G0015710-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:70538235:70596731:-1 gene:Sspon.03G0015710-3C transcript:Sspon.03G0015710-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSVGLLSSGYEASTSGTTDRLPDEMNGMSIRDEKEVEAVVVNGNGMEVGHTIMTTVGGRNSQSRQTISYMAERIVGQGSFGVVFQARCLETSERVAIKKVLQDVRYKNRELQTMQVLDHPNVVCLKHYFCSTTDKEELYLNLVLEYVPETVHRVIKHYNKMNQRMPLIYVKLYMYQICRALAYLHNCVGVCHRDIKPQNILVNPHTHQLKLCDFGSAKVLVQGEPNISYICSRYYRAPELIFGATEYTTAIDVWSAGCVLAELLLGQPVFPGDSGVDQLVEIIKVLGTPTRDEIKCMNPNYTEFKFPQIKAHPWHKIFHKRMPSEAVDLVSRLLQYSPNLRCTALEALVHPFFDELRDPNSRLPNGRSLPHLFDFKPNGLRGLNAFIRWMLKLSLPFCDLNSNMPCGLGKIAKLINAGKIDSSELITMKTLKETGAIGKQIKDGIRLMGRGAEEIKWPIHLEVSRATARAKAAVEAAGGTVRLVYYNKLGFRALLKPEWFEKKGRLLPKAARPPPKQRDKVDSIGRLPAPTKPLPFTPEELEFAAKRDAARVSRATARAKAAVEAAGGTVRLVYYNKLGFRALLKPEWFEKKGRLLPKAARPPPKQRDKVDSIGRLPAPTKPLPFTPEELEFAAKRDAARRPRSRVYHLSYIIRSAPAMEFTARTAIALLLSLAVSSSFLCGGVQGGRHHHHHTRHTKHNSALPPSADAPGPASGRRHAPPPHARPVSPPAPPPSSSGSGGYPTPGAAAPEPAPAPAVGSVYDVVKDFGAVGDGVTDDTDAIKTAWDTACEDDGDSVVLAAAGYSFLVHSTIFTGPCQGSVTIQLDGTMVAPSDPDKWVGNKRNWLMFYQAHGMSLQGAGLIDGKGQKWWDLPCKSQKGHGGSSGHGGSCDSPVALRFFMTNHVTVQGLKVQNSSEFHIRFDNCHGVVASGLSIRSPALSPNTDGIHVENSTDVLITNTAVSNGDDCVSIGAGTLNMHVENVTCGPGGHGISIGSLGKKDSRACVANVTVRNAVIRHSDNGVRIKTWQGGSGAVSSVSFENVRMDAVRNPIIIDQYYCLSKSCENATSAVFVNGVSYAGIRGTYDPRTPPIHFGCSDAVPCTNITLSDVELLPATGETIDDPFCWNVYGTAATPMPKSPRADRGQRMPLAAMASASSSSRSRYPPILVALLCAIAAISSSAAASPVGSGGAGGLGPISTNGKNYTKVCDPARFAALGLDMSRFRYCDASLPYAERVRDLVGRLVLEEKVRNLGDQAEGAPRVGLPPYKWWGEALHGVSDVGPGGTWFGDVVPGATSFPLVINSAAAFNESLWRAIGGVVSTEIRAMYNLGHAELTYWSPNINVVRDPRWGRASETPGEDPFVVGRYAVNFVRGMQDVVDRPYSDPLSRPIKVSSCCKHFAAYDVDAWFKADRLTFDAQVEERDMVETFERPFEMCIRDGDASCVMCSYNRINGIPACADARLLSETVRGQWQLHGYIVSDCDSVRVMVRDAKWLNYTGVEATAAAMKAGLDLDCGMFWEGARDFFTTYGVAAVRQGKIKEADVDNALSNVYTTLMRLGFFDGMPEFESLGATDVCTGDHKELAADAARQGMVLLKNDARRLPLDPSKINSVSLVGLLEHINATDVMLGDYRGKPCRIVTPYNAIRKMVNATYVHACDSGACNTAEGMGRASRTAKIADATIVIAGLNMSVERESNDREDLLLPWNQTSWINAVAEASPTPIVLVIMSAGGVDVSFAQNNTKIGAIVWAGYPGEEGGTAIADVLFGKYNPGGRLPLTWFKNEYVDQIPMTSMALRPDAAHGYPGRTYKFYGGPAVLYPFGHGLSYTTFTYASGTTGATVTIPIGAWEHCKMLTYKSGAAPSPSPACPALNVASHRCSEAVSFSVTVANTGSVGGDHVVPVYTAPPPEVGDAPLKQLVAFRRVFVPAGAAVDVPFALNVCETFAIVEETAYTVVPSGVSTVIVGDDALALSFAVTINLAV >Sspon.01G0012350-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:33276721:33285841:1 gene:Sspon.01G0012350-3C transcript:Sspon.01G0012350-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSPDLNRQPCPDRILEDVGNAFGMGTVGGSLFHFVKGMRNSPNGHRLAGGATAVRMNAPRIGGNFAVWGALFSTFDCAMVYARKKEDPWNSIVAGAATGGLLQLRKGILATGRGAVFGGAILALIEGAGIMLNRCMVNTAPPPEDLTMQQQYPAGQDPAAQYVPPGFLGVPPAAPIVVEEVPVVPDSGRAGWFGGLFGRKQQDKVASGFPPPLEGRRLGEEMTTPDTGREPCPDRILDDVGGAFGMGAVGGSLFHFVKGLYNSPNGHRLAGGATSARMLAPRLGGSFAVWGGLFSTFDCALVYARAKEDPWNSIAAGAATGGLLAVRQGLLASGRSALFGGALLALIEGAGIMLNRIGMAPPPPPPPEDLLQYPGQDPGKYAPPPGFLGVPPPPPIDVQEVPVTESGGPAGWFGGLFGKKQQDTVAAGDRNKSEVLEMDLPRTAVPSFDYNLRVQVCA >Sspon.04G0035940-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4D:21247171:21248144:-1 gene:Sspon.04G0035940-1D transcript:Sspon.04G0035940-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQRSRDGALKICALVRLEVKEHISGTDVLGFHHSMKSTKISSSHSDTKVVADMRRGGSRHGTGWAAPSRCSLLRWKPVFFS >Sspon.07G0020620-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8B:5310959:5312452:1 gene:Sspon.07G0020620-2B transcript:Sspon.07G0020620-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAYGLDVECPHIFDGTHFARWRNWMTCNFKFISPQLWWIVDVGFSFAIDKKNATQAQSKCLHLDSQATNVFYRSMDSSILYEIIDFESAHEIWVFLNEKYGAISNDDEPKEKAHEDVEHDHNKVVVEDCSTSRSSDDKNDHITRSLDKNDDNATSDASNDSTSCTLDGEDDGYESDASTSSSISSHGDTKVSIGGFVVDCDGPNFELLYKLSKALRNEMAKTSKLKNENSFLKTTCEQQKHLLYVTTCSHEELKLAHEELSVAHDNLAQEHALLTNKLSNEKLKTSESSSFGSNDQSHITNPCDVGKKHVSTSCDDLLYMPCSSQLNACSTSMSCETNLLKENNELKSEVKNLSNKLERCYNSKVTFEHIMKTQRNLGDKSGLGFKKKLTRGERKQEKRMKRLLQKKLSHSMCYRCHEAGHLANGCPNIEKLKKMKEEERLKHVKCFKCRTWGHLTSMCPTKQLVKQQVKPQPKPLVEQDKHPKSKSRSIMKMLVT >Sspon.01G0013180-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:70388376:70389009:1 gene:Sspon.01G0013180-2D transcript:Sspon.01G0013180-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIVLAFLLVVAAACQPAASASPSAPASSLALPAPAPVSRRAGQAEAEFVRGCCARTLYPRLCYAGLAPYAASVHSSHARLALASANLTLAALDALAARISPPSPGSGSVSSGALSDCADAVARRTRRRARLGGVEQAVGPELLWRVDDALTWLSATMTYEETCADGLGHRESAPAPVRAELRARVRRAKQYTGIALALVNILVSNPRS >Sspon.04G0002410-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:7360835:7364583:1 gene:Sspon.04G0002410-1A transcript:Sspon.04G0002410-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Replication factor C subunit 5 [Source:Projected from Arabidopsis thaliana (AT1G77470) UniProtKB/Swiss-Prot;Acc:Q9CAQ8] MAGTTAAAAPMDIDAAAPPAAKGKAPLSATGRAAPWVEKYRPQSLADVAAHRDIVDTIDRLTNENRLPHLLLYGPPGTGKTSTILAVARKLYGSQYSNMILELNASDERGIDVVRQQIQDFAGARSLSFGARPSVKLVLLDEADAMTKDAQFALRRVIEKYTRSTRFALICNHVNKIIPALQSRCTRFRFAPLDGSHVRERLQHIIKSEGLSVDEGGLTALVRLSNGDMRKALNILQATHMASQHMTEEAVYLCTGNPMPNDIERIAFWLLNEPFSTSFKYISDMKMRKGLALVDIIREVTMFVFKIQMPSDVRVKLINDLADIEYRLSFACNDKLQLGALISTFTDARKAMVAAAS >Sspon.06G0011820-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:51592711:51596400:-1 gene:Sspon.06G0011820-2B transcript:Sspon.06G0011820-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPSSHGIPLRALLLALPLLSILIVFQLLHRPAPLPPPLLRTHADAAASSHRAEAEPSSPPLTSNVPPPPAPKPEDSSSEPTSLRHVVFGIASSKRTLPIRLPLLRLWLRAPARAFLFLDAPAPDADARDLPPGLALRVSADASRFPYKHPRGLPSAVRVARIAGELVSALKQQQQQEEEDVRWLVLADDDTAFVLPNLLHTLRRYDHREPWYLGARSESAAQNAWHGFAMAYGGGGIAVSWPLARRLARVVDSCVLRYPHLYGSDARIYACLAELGVELTHEPGFHQIDLHGDISGLLRAHPLSPLVSLHHLDHVYPLYPGMDRTRAMQHFFRAANADPARILQQTVCYDKKKSLTVSVSWGYSVQVFKGNVLLPDLLAVQKTFVPWKRGRNVTDVYMFDTKHYPRDECKRGALFFLKSITSGEGKTETTYNRQPPRKCPPDLIPLKNLRLIKVTSERLQLAPGKALRRHCCDIASSSSDTNIDINIRKCEDDELIAMHS >Sspon.02G0028690-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:100558039:100561073:1 gene:Sspon.02G0028690-3D transcript:Sspon.02G0028690-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MANAASCVLLLVLGGLALFPATANVFCDNLKQVAAILPKNTASSPAHFATTVFGQAPGVVYALAFCRGDVVNDTTCGECVANTFDLFNSSLSSQQQCYKAAYYYGGSGSCSLVYSADNILTSSNTTDGNNGDDPPFTRWSLNSWGNWGNWNTTNITGDADDVALTVGLLNELLVKTVQTAASTTPERFTTGVMDSPTIVFYSMAQCTPDLSDSKCLACLTRLLGTLNFTMTLRMGGQLHVIRCFFRYEAYPFYDGKPMLHLGRPPVTAPTPVTAPVPVKHKRSRRTRDLKAQEELVWQGKSSSEFSVFEFEQLLEATNNFSEENKLGQGGFGAVYKGKFPQGLEIAVKRLSSHSGQGFIEFKNEVQLIAKLQHRNLVRLLGCCSQEEEKILVYEYLPNKSLDFFIFDENRRALLDWNKRIAITEGIAHGLLYLHKHSRLRVIHRDLKPSNILLDGEMNPKISDFGLAKIFTSNNTEESTTRRVAWKLFEEERWSELIDASLDTISHSEEMMRCMNIALLCVQEKAADRPTISDVVAMLGNKSIILVKPKHPAYFNLSSVGNEEASTAMRPSSINDVTISVTTTGR >Sspon.04G0013680-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:54083349:54086526:1 gene:Sspon.04G0013680-2C transcript:Sspon.04G0013680-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRYYCDYCDTYLTHDSPSVRKQHNAGYKHKANVRTYYQQFEEQQTQSLIDQRIKEHLGQAAAFQAGAPFNQHMLAFPGAVARPRLPILPTPGMPHGFPQAPGAPLMPGVRPPILPAPGYPGAPPTMPQPGAPPGSMPQPGAPPGSMPQPGAPPGSMPMQMAPLPRPPMLPPPTSGVPGAPIPNSAAPPVIYQANPTAPAGPTSGAPPAPPTAPQPTFSYAQPSEGNH >Sspon.05G0015610-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:5A:60528362:60529639:1 gene:Sspon.05G0015610-1A transcript:Sspon.05G0015610-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSVTRSLPFLVNPAEATPAGDLPLTAMDRALASLPMAALFVFENPIDQPAESIRRALSRALVPYYPIAGRLTVTGDQLKIACTGEGAAFVGASASCTLQEARLSGPRPVVTVEDLTMNYAGQYSTDPPLLLVQVTEFSCGGFVVGVTWNHVVTDGAGMAQFLQAVGEFARGVPSSPSVLPVRVDPTLPELPPSITTMTKALVGSEHSDFPSSYITVLLSFINRVKDEFRRRCSGGGGGQEVAPASCTAFDVFTAAIWKCRARATIASAASQDAPTALTFTVNVRKPAKAKDGYYGNVFAFGLAASTLREVADGDILDLVRLVKDAKARVPYTFADGAAYIADEMAGRLKGLDGYDTLYVTSWWNLGLDGVDFGSGGAERVIGNMERKVVPACILCGRKDKSEGVAAMALCVKQEHAEAFHAQLR >Sspon.02G0007960-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:25532326:25538189:-1 gene:Sspon.02G0007960-2C transcript:Sspon.02G0007960-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAYDPKDDVDRLFACFKCGVSPPKSAFRERPLPQAKKSRGSPVSVISSSGGGSSSDASTQDSTASCDSTPDAAADKHAAPSSSASKFTGRKQMSPVVFYGTPQGVQVKQPQSFLKLLHVIRIDLKKQTDFIPRDVVWATFPRQDGAIRFSKAHTHTNVFSYQDHRSGTRRFLVSTYEEFWKRYNDMDTNIRHHYEVIQEGSPCHIYFDLEFDTRLNKKRDTDEMVDILIAVVFSALRDKYSIEGHEEWITELDSSTEEKFSRHLIIRIPKIAFKDNSHVGAFVCEVCSQIAAQRAANPDLDKLYITKESSSGPVDQLFMDTAVYSRNRCFRLVFSSKSGKKSFLVPTKRFKCQEMNDKDVFMESLICRLDDNCEKLLICKLDLECKKTLHFDSEISMPQVSVCNLDHSITFSC >Sspon.07G0008360-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:22873715:22875150:-1 gene:Sspon.07G0008360-1P transcript:Sspon.07G0008360-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding METLLSPSTLFTPSLRGSRRPSLAASVSSRSTVVSCALRRPQAGAVVAASSHGDGGVVGRSWMSFLHHGLAAAALSLAISLAPAPAPAVASEFDVLNDGPPVDSYVVDDAGVLSRVTKSDVKRLARDLEARKNIRLNFITVRKLTSKADAFEYADQVLEKWYPTIEEGNNKGIVVLVTSQKEGAVTGGPAFIQAVGDQILDATVSENLPVLATDEKYNEAIFSTARRLAAAIDGLPDTGGPSFKENKRESNFKTKEETEEKRGQFTLVVGGLLVIAFVVPMAQYYAYVSKK >Sspon.03G0007580-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:18995309:18997714:-1 gene:Sspon.03G0007580-3C transcript:Sspon.03G0007580-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALGSKLAQLQSKACEATRIVAKHGCSYHKTLMEKNKHYVVDPPTIEKCQELSKQLFYTRLASLPGRYESFWKELDGAKLLWKNRSNLKVEDAGVAAMFGIELYAWFCLGEIVGRGFTVTGYHV >Sspon.02G0003860-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:12116757:12125713:-1 gene:Sspon.02G0003860-1A transcript:Sspon.02G0003860-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPAPAPATAAPRVGLLYDDRMCAHATPDGEEHPENPERLRAIWRKLNAAGVASRCVALKAKEAEDKYIASVHSMSHIKLMKEISSKKYDASRNKIARKFNSIYFNKGSSESAVLAAGSVIEVAEKVAAGELSSAIALVRPPGHHAEHDEAMGFCLFNNVAVAANYLLNERPDLGIKKILIVDWDVHHGNGTQKMFYNDPRVLFFSVHRFDYGSFYPAEGDASHCFIGEEAGKGYNINVPWEHGKCGDADYIAAWDHVLLPVTEVFDPDIILVSAGFDAGIFDYGSFYPAEGDASHCFIGEEAGKGYNINVPWEHGKCGDADYIAAWDHVLLPVTEVFDPDIILVSAGFDAALGDPLGGCCITPNGYALLLTKLLGFAQGRIVMALEGGYNLRSIANSVCACAKVLLGDKFTFNAPEMQPFESTWRVIQAVRNELKTCWPVLSSNLPENVSLRIRPSPSESESDSEDVAELLGTVASINVIEVAGDAISEHLSKMKIDDDNLAVKTTSSCSAAQQHPVDSVKVQNNTSVVLTKRISGLSLAWRSDLSKTDVWYASFGSNMWRPRFLCYVQGGKAEGMSIACCGSRDTSSPKGTMWKTVPHRLLFGRSSTPCWGTGGVAFLNPEINYNEKSYICMYKITLEQFNDILFQENRLVLEDGKDGNVVYPDSPLIGSSEIKFISTNKDIHLEPIKDSWYSNVLYLGNEDELPILTMTCPASDIARFKSGELPLAPPSETYAATLIRGLVEGKQLDADGAANYINAAAAAKGL >Sspon.03G0023010-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:55496058:55498943:-1 gene:Sspon.03G0023010-4D transcript:Sspon.03G0023010-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding TTLAPSPAVTDSPANQGQDSSPPQPAFAHGPVTVPTGQATPSASPSPETAAVSPAAPTEPQHAPSPVTPPKEYNAPPPIEVTPPDPTDEVPPPVAPPRAAVENPTPILPGTPALLPSVQAPAPSVVLKANPPVASPPSVVLKTNPPVVSPPSANNQPRRPVGSVPPYRPPALPPPANDVPSFPPSGSFPAIPPSTSGNTVPPANTYPPTNLRSIMFHMHPLQRNPLAKLSQVTNHQLQVISARSAPATSPLPQNTSMPSILKNASSVSHAQPPPPSVAPELAPTGRSHARGWKSSNPNNGTNTSSAPSYPPPRAQGPKVSRAPRQTGTKSHTHHAPPPIPEGHRISPTLPPIPPLPEPKAPAAHPIWTLPPPPPNSDCNSLSCPEPLTDPPAGAPCACVLPIRVGIRLSVDLYSFFPLVSDFAEEVASGVNMAQRQVRVMGANVAGDQPDKTMVLVDLVPMQVKFDNATAFSAFESLWSKKVSLKPSVFGNYEILYVVYPGLPPSPPSGSEGVGDGAFGNNRNARAMKPLGV >Sspon.01G0047630-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1B:104386186:104386774:1 gene:Sspon.01G0047630-1B transcript:Sspon.01G0047630-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding W >Sspon.03G0037220-2D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3D:58242966:58243376:-1 gene:Sspon.03G0037220-2D transcript:Sspon.03G0037220-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding PDPGERGALGQGDAGGGVCPHPAEAGRTSRVTMPWETRITDAEGSPTLLAEELAKKAVHAGSRDNVSVGLVVFRDFWAAGCTKAASKCVSTLNPQASLNDEGPSAIQGPVAETATEEAAGASQEKVRRSTRQTRPCG >Sspon.08G0016080-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8B:58271095:58274327:1 gene:Sspon.08G0016080-2B transcript:Sspon.08G0016080-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDSMPNKWPKDWGDQRTPPKGRVMEAQSSTGFAQVVEPKLWYGDRTRLTPKQEEAALGLSSTCGSGIPAYICTMKKSNVVKRQMAFSRQFSKRYIFARLGTYGCETKIFAGRDLFGSKLNFSMVHGELRLLGGWPLFVKNRRIEAGHVCAFMFEEEEEGDLSLRVHVLGTVPLLTI >Sspon.05G0023530-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:16258390:16259786:1 gene:Sspon.05G0023530-2D transcript:Sspon.05G0023530-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGRAPCCAKVGLNKGSWTPEEDMRLIAYIRKYGHANWRALPKQAGLLRCGKSCRLRWINYLRPDLKRGNFTAEEEETIIKLHAMLGNKYVRWSKIASCLPGRTDNEIKNVWNTHLKKRVSPAGGEDRGAAGAKKKKKKAAGGGAEEPLPSPSPSSSTTTTTNCSSGDDSGEQQSNTSKEEPEDDELDLENLEMMPMLDDPTFGFDMLVDPVPPPPYGPAVSVSVSAPASPCASSTSPPAPACAPPGVDDHLLVLPEIDIDHELWSIIDGDGHGASACTVAAEAPAPAPCCQPSNASQPPNGGANTSSHGAEEGKEWWLEDLERELGLWGPVEDYKYPMAPHGLVADHPDPLPATVDDPVSCYFQAGPASAMLQEPGYSATAVTSSNQMGL >Sspon.05G0010750-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:30327184:30331721:-1 gene:Sspon.05G0010750-1A transcript:Sspon.05G0010750-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMLPLAHPAGHRHLHLHLPPAALQRAPAPGQAYLPSRRGARTLARARPPSPSAGAAGTETTSTTSGSVLSFLCPLLKLLGGGDPSQQRNDVVEVTTSSISSLARLPWGSKVATSSGENIDSAISTPTLQLYEFEACPFCRRVREAMTELDLSAEVYPCPKGSLRHRDVVKKIGGKEQFPLLVDASTGISMYESEDIVKYLFRHYGQGKNPSPGLLESTIFTGWVPTLLRAGRGMTLWNKAGAVPAEKLELFSYENNSYARIVREALCELELPYVLQNVGEGSSKTDLLLRKSGSKQKIRTIEMEGKTIKLQI >Sspon.05G0015780-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:62705520:62707333:-1 gene:Sspon.05G0015780-1A transcript:Sspon.05G0015780-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTQHLSESSDNTGCNSILYKAVNKETAPSFRRRSIEPNETSGLVSCIKEQLCSTTNCSKAEASSRHKEP >Sspon.03G0032580-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3B:38515917:38516384:1 gene:Sspon.03G0032580-1B transcript:Sspon.03G0032580-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MESCEFRGAYQELRKHARVEHPAARPMEVDPERQRDWRRMEQQRDLGDLMSMLRSGFSSNIEDDSGGLGAIEEGEEDAERTPTAITMVFIMPSRGSIMQYLTERSRAIILVSRRRASSGSGDAEATAPDSEEGDDPMPSAEASAGSQHASEEEEAD >Sspon.03G0032720-3D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3D:36694806:36697230:1 gene:Sspon.03G0032720-3D transcript:Sspon.03G0032720-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMDENSTDLHHGTSSPHEDRLGFRRFGRRPSVSRAPTTQQRDESSLMNQGNITSPRRNKWNWAVGPVSMRGEFPHHRPPHAPPSMPSLLSKVSSAAAACARRVSRATRRLPRTARRLLQRVRRPRRDFRQLVPTDDDHQQEASDGDAGEEEGGGEEEEGGLWRRTVLMGERCKPLDFPGAIHYDSSGRLLPAAPSPRSSGKAAGALLCRSACDVDEAATARSKARLDKAKHV >Sspon.03G0025850-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:940080:940703:1 gene:Sspon.03G0025850-1B transcript:Sspon.03G0025850-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALACTAARLVHPCMGMVSKHPRTPPPPSSCCLHLHILHHRPVASSHFLRHSVDVSKDDKPLETPTATTTQTESPQQATAALQEEAEDDDGGPKLDPRRFEEKFAVLNTGIHECRSCGYRYDQAAGDPSYPVPPGLPFAQLPDDWRCPTCGAAQSFFESKSVEIAGFAQNQQFGLGGNSLTSGQKGLLIYGSLLVGFLFFISGYFLQ >Sspon.06G0004800-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:15321660:15324342:-1 gene:Sspon.06G0004800-1A transcript:Sspon.06G0004800-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVFGGDSWARDAQQRKRRLDDLLLPTTASSSPSTPDSFRKLPSGKLACLVCPHRPVLDTPLMLSMHNKGARHIAAESRLREKELSKKHEINKRLALSSDASHSKFCNPCTGVRPTSIKEKPLIKETQRAILEAQSSSFNDFKGKKVSHDSKWTTNASSFDSNVAPSDVPMELSTGNTGSGSREWNCKGESFAGNQTQDKLFSDRQTEVRKRQEQELRFTASGWKRDGHGRWYRDENVEFDSDEDDPNICLS >Sspon.02G0015340-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:36022152:36025572:1 gene:Sspon.02G0015340-4D transcript:Sspon.02G0015340-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDDAYLLCAEDAAAAFVFGASGSTLCAAAGDGGDDDDDDGCCSAVVEEESAASIAELIGGESQYSPRPDYPDRLRSRSIDPAVRAESVAWILKVQEYYGFVPLTAYLAVNYMDRFLSLHRLPQEDGWAMQLLAVTCLSLAAKMEETLVPSLLDLQARQLGHTSACHACHHHYGYTFNPIALQVEGTSRYDFDPGTVGRMELIVLTALNWRLRSVTPFTFIDFFACKVDPGGRHTRCLIARATQVILAAMHDIEFLDHCPSSMAAAAVLCATGETPSLESVSPGAAVSWCIGLAEEGISSCYTLMRQLVTGNVQTRVASTTIAAVNLCCSDEVLSSHSSSTSSPPPAKRRKRSPTTAT >Sspon.06G0015400-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:68619723:68626018:-1 gene:Sspon.06G0015400-2B transcript:Sspon.06G0015400-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAASGSARKRRGRPRGSKSGRGRSRSLRWSKPPKRPRPSSPSPSGSPDGPHSPRPAVDLSAPLPPGSEVEVRVDDDGFHGSWFEATVLDFTPARGYRHPARYTVKYAHLLADDDEGVLAEPFAPSHIRPRPPPPPPSDPPRFHTHDIVEAFHNEGWWSGIVVSAPDPDAPAGVTVAFPITREVIEFAPGLVRPRRDYVDGDWIPSTVAMAVRPKRAVKVYEVGDKVEVVRQRNAYGESWFPATVRVVVDDLSYVVEYFDQEEEGEGGPEKATEYLHWQFIRPAVEHTPRESEFKLQPGAAVEAYCDGAWSPGVVRRVLGEGEYEIGIIVAKKSEMLVTKVVPLLKPQYKWNGKQWRIATPKKRANLRRRSVSGNSLWSPVEVSSSDEHSLGKNTLAKGSGHASVSEMDVPLSALCKSPESTHSPNSFVSEKNSLEGSHGIVNSVPMNGLLCASPGYSTPVDNQEILSDMVVTAVSGRSVDGHDMLSITELRKKMASARRNSAVIRKQENLVKSVRVKKCVSNVKVGKTHPIQGLQGKIQLKGNMNCSTPDIVLALSVSGTGRTILSPDRLVSIGTKRGSSTKVLALANRRGSKELCSPNSSLDVTRTVQQRGSKEVADPMEECPLALECPKSGTQEQLDRTLENTQNITELSNQDLLPMVPPGFKSMDNGKGTNIHGIQFDEEPTSTTNSLIELKGNGDMCTDHAATKLAESNHVMETAILSLDCPAQQARGKVDERSVLQNAGSSQCIIDSSPLRSCSAFESLLPSPQPLSQVSNHQALFVKNSPMWHLVEAMHVFKELPQQPHFLPLQEELPCLREGLALGMMLSFVDLVKITMGASIDNSMEWFEDKIRTISHLEANGFSVQFMQSAMTDLVKIKSELTSYHGEIGKLDSKFVEKTASSSRVGALLDEKDIAAAELEQELGRIRQESQKIAKEKEKIDAEVASIKTARSGYEDLCNGAERYLCFTVGFRSMCCGYVVQVI >Sspon.07G0028810-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:77590712:77591949:1 gene:Sspon.07G0028810-1P transcript:Sspon.07G0028810-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSSFLLAAVAMAALFAVGSCGTELTLTIGKDSSSTKLSLITNVAISEVSVKPKGATDFSDDLKESPANTFTLDSKEPIKGPISFRFAAKAGGYRVVDDVIPADFKAGAVYNTGEQKKTGQVTACENHHKQSIRTMPTFI >Sspon.02G0003080-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:9257115:9258218:1 gene:Sspon.02G0003080-2C transcript:Sspon.02G0003080-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTASSLLKSSFAGARLPAATRTPSSSAVVRGAAGPIRASIPSSNPPYEYDLTSFRFSPIKESVVSREMTRRYMTDMITYADTDVVIVGAGSAGLSCAYELSKDPTVSIAIVEQSVSPGGGAWLGGQLFSAMVVRKPAHLFLDELGVAYDEAEDYVVIKHAALFTSTVMSRLLARPNVKLFNAVAVEDLIVKQGRVGGVVTNWALVSMNHDTQSCMDPNVMEAKVVVSSCGHDGPFGATGVKRLQDIGMISDVPGMKALDMNTAEDEIVRLTREVVPGMIVTGMEVAEIDGAPRMGPTFGAMMISGQKAAHLALQVLGRPNAVDGTIKVVSPALRQEFVIASKDDE >Sspon.04G0012000-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:40063770:40069259:-1 gene:Sspon.04G0012000-4D transcript:Sspon.04G0012000-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVSAAPMPPRLALRAHPSSSASPLPFLRSRLRSLTTAYAVKQDAALWTPAPVAAVSAATADGSISHFVVDLSDAADLVDSYTTPGQYLKIRVPSAAEELKPAFMAIASPPGAGPRFEFVVKTVPGTTAERLCTLRDGDVVELGAVTGDGFALDMINPPDVAQTVLMFATGTGISTIRSLIEFGFAANERADVRLYYGARSLQSMAYQERFKNWESTGVKIIPVLSRPDDSWKGERGHVQDAFYRNKNIVNPSSTGAVLCGQNEMQEELTSALVADGVSRDKILTNY >Sspon.07G0015510-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:61058507:61062041:-1 gene:Sspon.07G0015510-3C transcript:Sspon.07G0015510-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:E3 ubiquitin ligase, XA21-mediated disease resistanc [Source: Projected from Oryza sativa (Os05g0112000)] MPWARSSPADPSLARRATLYDRLSALHIAAANGRLEVLSMILDHGVPPDAVNRHKQTPLMLAAMHGKIDCVLKLLQAGANILMFDSLHGRSCLHHASYFGHVDCLQAILTAARTTPVADSWGFARFVNVRDDHGATPLHLAARQGRPGCVQVLLENGAIVSALTGSYGFPGSTSLHLAARSGNLDCIRKLLAWGADRLQRDSAGRIPYAVALKRSHEACAALLNPSSAEPMVWPSPLKFISELDPEAKALLEAALMEANREREKKILKGTKYSPPSPSPCEHENDADAIDDASSEASDTELCCICFDQACTIEVQDCGHQMCAPCTLALCCHSKPNPTTLTLPSPACPFCRGSISRLLVARASTASSDDADKAASAAASSPQLVRRRSRRSHNLSDGGSSSFKGLPSAVAGSFSKIGRGSSRMADSDGMDKPEHDL >Sspon.01G0057250-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1D:2565064:2565233:1 gene:Sspon.01G0057250-1D transcript:Sspon.01G0057250-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNGGREARVQSFLQRLAGRKARRRSPSHPHWPLPPTAADWNGFFSVRSSDFGGGT >Sspon.01G0004520-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:11342521:11347103:1 gene:Sspon.01G0004520-2C transcript:Sspon.01G0004520-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLTPGILLKVLKNINSDVKVCGEYRSILLQVISIVPAITGSELWPDHGFFIKVSDSSHSTYVSLSKEDNELILSNKLQLGQFIYVEKVQSSIPVPVLVGVRPVPGRNPCIGNPKDLMQMSTPSGVMEALDHQRKTSKSADLSESEKENLQRKVVIKEQKTVVASRYMLGVSSNNGKITNLNSSIDSDKSNGGSTTSESNQKSVAPKVRQEAKPQERPNNTSPSNAKFVSTKQEISKDTRKNSGTSPSPNGSAVVKKQMPKESKKESATERKSPPKLYRSSPTPARTSPTKLSPPAKQNGNSGPVPSAGTVKRRVTETISWDSLPTSLIKSGKAVVRRKNIALIVAAEAQREAAAAAYLVKGLGIFAEIRESSEVDPHAAITKFFQLHRLIVQQSAVWKAYSPEPSKESRPEKEKPSRKASASHHQNKAGTCNTAKNPEDAQGSEKTEWAREDGFKEICRSWIALKKESRSWFLSFLEDALESGFMFESQTKNTRERVRGQPKGGGDGRIAVRLSQLKETSNWLDQLQDEAADSSPDGGLAETVEQLKQKVYKCLLGTVETAASALEGR >Sspon.04G0023520-3D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2D:17503372:17506798:-1 gene:Sspon.04G0023520-3D transcript:Sspon.04G0023520-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTPSMLRWAAALAVLLAVAAPAAGFYLPGVAPNDFAKGDPLPVKVNKLTSIKTQLPYTYYSLPFCKPDTIVDSTENLGEVLRGDRIENSPYVFKMGEPKMCQIVCKAKIGEKEAKEFKEKIEDEYRVNMILDNLPLVVPVTRQDKNSIAYQGGYHVGLKGLYSGIKDEKYFIHNHLSFTVKYHRDDNSELSRIVGFEESEIKWASRWDTYLLMTDDQIHWFSIVNSLMIVLFLSGMVAMIMLRTLYRDISRYNQLESQEEAQEETGWKLVHGDVFRPPTYSDLLCVYVGTGVQFFGMLLVTMIFAVLGFLSPSNRGGLMTAMLLVWVLMGLLAGYSSSRLYKMFKGSEWKKITLQTAFLFPGVAFVIFFILNALIWGEKSSGAVPFTTMFALVLLWFGISVPLVFVGSYLGFKKPAMEAPVKTNKIPRQIPEQAWYMNPLFTILIGGVLPFGAVFIELFFILTSIWLHQFYYIFGFLFLVFVILIITCAEITIVLCYFQLCSEDYMWWWRSYLTSGSSALYLFLYAAFYFFTKLQITKLVSGILYFGYMLLASYAFFVLTGTIGFCACFWFTRLIYSSVKID >Sspon.02G0009920-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:27633602:27634385:1 gene:Sspon.02G0009920-1A transcript:Sspon.02G0009920-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding QHVLRLLVLEQQQEAPVSCCGQPQAAAAEDAAASCLSCHQEKQVEAEEVESRSRGHGDTEPEALPGEPLHYRGEREAPGKGHRTPPREPRAAPEPVQEGGRARGRSGSWNC >Sspon.02G0017690-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:51945128:51962380:-1 gene:Sspon.02G0017690-1A transcript:Sspon.02G0017690-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein NEDD1 [Source:Projected from Arabidopsis thaliana (AT5G05970) UniProtKB/Swiss-Prot;Acc:B3H5K9] MGFVDPAAPLLATCGGDTVKLFDVTVETGDPCVLAYTPLPAHPVNAVKWNHTNLIVASSGDDKRISLWHKKGQNVGQLPTSTVDRGDDIEECICSISFSNKGSRYLCSGGSGHIVRIWDLQRKRCIKWLSGHTDTITGVMYNCKDEHLASISMKGDLILHNLASGARAAELSDPNGQVLRVLDYSRNSRHLLVTAGDDGSVHLWDTTAKSPKVSWLKQHSAPTSGVCISPSSDKTIATVGLDKKLYMLDSGSRRPTQTIPHEAPFSSLAYNDDGTILAAGTNSGRVVFYDVRGKPKPLTILRAYNTSEAVTGLCWQRSKPVVVNENSSSEVALLGGTSEESVLMPDPLPSATPTSLGSGVATTSLRSSLTANTSGYLSASNSYTTEETPYRTRPLSGGPLSKLLAPRSNYNLKDDMDVFSPLVDVQPFTPSSGNWWDEHGSDDAKKDDKPGEKKFSATRKYSYMEGNDEPHPIADWRSTANSRQDSISSVTTTSMPSWKSELSVSSPETATVNALPDRLTQRQQISRFGSSAFPTGGLAFTALQDSASAPSHSLKGSLTSNILMNLQNKGILSNAHSSLDASSPNLQNGNVRRAEVGHGKGGRPDKRSAAIKKGEPTAPAAASLICREVSLVSQGRSQTGSGLLLLTETMALVGSSKRDGGGMEEFEGLMEGLKLLEEENLWGCLEDVGG >Sspon.05G0021870-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:1178251:1181202:1 gene:Sspon.05G0021870-1P transcript:Sspon.05G0021870-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRYAWLAGIALSVVHAHAVLCRARFTAPPHRLRRRPRLSSAVVATSAASGDHAHLCYTALGSPARAAPPRWMAMATSSSYPPPPPFYRLYKNFEQDPSSAPEPPPPIDGPFKALGVDYTGDVVIPSLESHNVRQLYPKGPNIDFKKELRTLNRELQLHILELADILVERPSQYARRVEDITLIFKNLHHLLNSLRPHQARATLIHMLESQIQHRKEAIEDIKQRREEAQKLLGESLVILDGSQTN >Sspon.01G0062910-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:108374567:108379501:-1 gene:Sspon.01G0062910-1P transcript:Sspon.01G0062910-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PTAC2 [Source:Projected from Arabidopsis thaliana (AT1G74850) UniProtKB/TrEMBL;Acc:A0A178WNJ2] MALVSTATVASSSYHCDILLFPGARRSWRGPRWSRGGAGAGARLTVLQRAGGGLAVLERAGAAAAAAARREELVPAGNDRNSYEVESLIDRLSNLPPRGSIARCLETARHRLTLQDFAAVYREFSRRGDWQRSLRLFKYMQRQSWCRPDEHIHAIVIGVLGRQGPALLDKCLEVFHDLPAESRTALSYTSLIAAYARNALHEEACALLDQMKAAGVAPTAATYNTVLAACARATDPPVPFDMLLGLFAEMRHDASPSVRPDLTTYNTLLAAAAVRSLADQSEMLLRTMLEAGVSPDTVSYRYIVDAFASAGNLPRVAELFSEMAAMGNTADASAYLGLMEAHTCVGATAEAVAVLRQMQADGCAPTAATYRVLLDLYGRQGRFDGVRELFREMRTAVPPDTATYNVLFRVYGDGGFFKEVVELFHDMLETGVEPDMVTCENVMAACGRGGLHEDAREVLEYMTREGMVPTADAYTGLVEALGHAAMYEEAYVAFNMMTEIGSLPTLETYNTLAFAYARGGLFQEAEAIFSRMSNNAGIQKNKDSFDALIEAYCQGAQLDDAVKAYMEMRKSRFNPDERSLEGVLNAYCIAGVIDESKEQFEELQSSVTLPSIIAYCMMLSLYARNDRWTDAYDLLEEMKTNRASSTHQVIASLIKGEYDDSSNWQMVEYALENSTMEGCDYSLRFFNALLDVLWWFGQKGRAARVLDQAVQFGLFPELYRDTKLVWSLDVHRMSVGGALVAVSVWLNKLYDRLVEDEDLPQLASVVVLRGEMEKSTVTRGLPTAKVVYSFLNDTLSASFHFPKWNKGRIICLKSQLKKLRSAVDSSNGTPAAGFVPMTNSHLPSPGSKIYTREDQLDNGTGHLPDELLAEEKESELLAL >Sspon.01G0023340-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:82732438:82736476:-1 gene:Sspon.01G0023340-3C transcript:Sspon.01G0023340-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHRGSWVLGSWHLAAKPATHGPCPDACCLAWKQSLLRLELVAVQQNTRKTPCKTWKSQYPGQRSAPRFFLVESQVSQAQVDLPTPTMATADIRVSIGHQTRFALRLAAALSSPSPSPAGPASNVAFSPLSLHVALSLLAAGAGGATRGQLAATLGGGDAPAAAEGLHALAEQVVQLVLADGSGAGGPRVAFADGVFVDASLKLKPAFEEVAVGKYRADTHSVDFQKKAAEAAGQVNSWVEKITSGLIKEILPPGSVDHTTRLVLGNALYFKGAWNEKFDASKTKDSEFHLLDGSSVQAPFMSSTEKQYIVSYNNLKVLKLPYQQGGDKRQFSMYILLPDAKDGIWSLAEKLSSEPEFLEKHIPMQKVPVGQFKVPKFKISFGFEASKLLKGLGLQLPFSVQADLSELVDSPEGRNLSVSSIFHKSFVEVNEEGTEAAAASAATVVLRSFTMPQDFIADHPFLFLIREDLTGVVLFVGHVVNPLLAP >Sspon.04G0019960-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:70319499:70319840:1 gene:Sspon.04G0019960-1A transcript:Sspon.04G0019960-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFYIWRFTGSDGEFKLPLRKDIRGLPSLHDMSHLNIGGETLLYKAKEFSSKHLASAIRYLEPSFAEYVRQPLDHPYHLSLMQYKARHHLTYLQSLPIRDTAVEKLAVGEFQLN >Sspon.07G0015200-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:58636859:58642364:-1 gene:Sspon.07G0015200-2B transcript:Sspon.07G0015200-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAAAPGLLPVAADRDRDKDKDDRRRWAARCGFAVLGIMSTLLVYGVLQEKIMRVPYGVEEEFFRYSLFLVFCNRITTSMVSAMVLLASKKSLDPVAPLHKYGVVSISNILTTTCQYEALKYVSFPVQTLAKCAKMIPVMIWGTIIMRKRYGGKDYFFAVIVTLGCALFILYPASMDVSPFNKGRESTIWGVSLMLGYLGFDGFTSTFQDKLFKGYDMEIHNQIFYTTVCSCLLSLSGLILQNHMIPAVDFMFRHPDCFSDVLILSSVATASQFFISYTIRTFGALTFATIMTTRQLVSILLSCIWFVHPLSWMQWVGAAIVFGALYTKSFLRSKPQKPAVASPPRGSSPNPVNNS >Sspon.03G0018670-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:78101794:78110293:-1 gene:Sspon.03G0018670-3C transcript:Sspon.03G0018670-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFRLALQFVDTEEFQRLRDLKQLGLTYLVYPGAVHTRFEHSLGVYSLAGEAINNLKTYQGEELGIDHVDMQTVKLAGLLHDIGHGPFSHLFEHEVLPRVDPGSSWSHEDMSVLLLDSIVDKHAIDIENDYLQMVKDMITASSKHASTTSANEKHFLYDIVANGRNGIDVDKFDYIGRDCRACGLGCNFQYWRLMEGMRVMGDEICYPAKDYLSIHKLFSTRADLHRTVYTHAKVKAVELMLVDALIEANDYLGISLHAHDPEEFWKLDDTIIKTIETAPNNELKKAKEIIQRIRRRELYKFCNQYSVPKDKLEHFKNITAQDIKSSEVLLKEEDVAVSNVKIDLTRGKDNPLESIKFFKDFGCDEKFPITDDRVSHLLPAYNEDRIVRVYAKKPELVDVVSEAFENLQMRMYGEKTQVHETPKKKRIRSN >Sspon.08G0007700-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:23932233:23934581:-1 gene:Sspon.08G0007700-2B transcript:Sspon.08G0007700-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:MEE62 [Source:Projected from Arabidopsis thaliana (AT5G45800) UniProtKB/TrEMBL;Acc:A0A178UKF2] MPLHVHPLLLLLLLLAATSLPARAISSNSSCAGRDDAAIVAAAFRYVRNFRAPRAKACQPVRELRLPSRNLTGAVSWAALANLSALATLDLSGNVLQGAIPGRFWRAPSLRAVDVSRNQLGGALRVQEPNPRLRSLNVSGNRFTGVDGVEALSGLVALDVSANRIRAVPRGLRRLARVERLDLSSNAMQGRFPGDLPPLGGVRSLNVSYNRFSGVVDTGAVTKFGRSAFVHAGNASSLVFSDNSTTAPRRPRPSPPSPSPLGKSKKKGGSGTTTTTTTTTESKATRRSKKRHLSIVAVAVICGVASLATLLFLVGCVACGALRCRRRQKGGKDDEEKKKKQQPQWGEKGDEEEDVVVAAARGASSAAPVVLFERPLMQLTLGDLAAATSGFGRESQLAERGGRSGAAYRAVLPGDLHVVVRVVEGAVAGLREDDDHPAAAATAFRELARLRHPNILPLLGYCIAGKEKLLLYEYMEKGDLHRWLHELPAGRPDMDDTGSGDIWEAAEAKRSISDWPTRHRIALGVARGLAFLHQGWAGGGGSAVVHGHLVPTNVLLCDDLEPRISDFFGHNHNGNATPEGDVYGFGVLVLELMTGQAGWDEASVSWARGIIRDGKGLDIVDPRVRDEAAAGAEAEAAEREMVECLRVGYLCTAHSPDKRPTMQQVVG >Sspon.01G0017790-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:65855785:65856916:-1 gene:Sspon.01G0017790-1A transcript:Sspon.01G0017790-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSPVQVVSRRTVKPPPRPRERIPLTTWDLSLLSADYIQKGLLFAPPPCFSTFTHLVDHLQTALADAIATYYPVAGRLAIDQHHDDEGHVVGCSVSVDCAGQGVEVLEAVADGVAVADVVPPDADVPSVVRSFFPLNEAINYDGHERQLFVVQVTQLADGVFVGFVYNHALSDGTAFWYFINAWAEIARARLYSPRFDMYGCDFGWGKALAVRGGKANKYDGKVSLFPGREGGGSIDAEVVLAPEHM >Sspon.03G0046820-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:48120101:48141903:1 gene:Sspon.03G0046820-1D transcript:Sspon.03G0046820-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSRHGSRRCRCHCRRRQTNCGDGGIGYKVRCYSNRSLYLEYSQSDISMQILSIFYDNHSLHILLHRYWHPYFNRSSHERCRIPAADTSPVLAPMLSVSPVNQNLIFYNCTKPPLQGAGLVETHCPPVLCGNVTISFPFGLILDGAVQTNCGAGGIGSKVRCYSNRSLYLEYSQSDISMQILNIFYDNRSLHITEHRHQHPYFDTSSHEGCQIPAADTSPVLAPPLSSVSPVSHKLIFYDCTKLPQPSVDLVEMVCHNNTFVRAANGRSDESGGYFLEVCTATMVPVLGVSGKVNATNYEQLLQACSYHVYMCWQKPRFFLCKKTSSTIEENIEALILAHGSLAPKRYKVTKITSSLNNKLGEGGYGMVFKGRLDDGRLVAVKFLHDSKGDGEEFVNEVMSISRTSHINIVSLFGFCLEGSKRALMHEYMPNGSLDKYIYSENPKAVLGWDKLYTIAIGIARGLEYLHHSCNTRIVHFDIKPQNILLDQNFHPKIADFGLAKLCRTKDSKLSMTGARGTPGFIAPELHSRTFGVVSTKSDVYSYGMMLLEMVGGRKNVKSVAQESSEKYFPYWIYDHFGQDDGLQACEVTRENEGIARKISVIGLWCIQILPMHRPTIGKVLEMFERGLDELDMPPRQNFSQIFEDPVNSLNEESTGMISGTKRQVSSEVLKMKEISVVWCGNDGRVASLKGSSINVLSINYTTNSLVASHARVAGADGVCQTNFNILFAISAQNRALCFHYDCNGTEPSEPEYTNATSNCSAPIYAYLGGAYYWDKPPAIATGGCKYAYMPVLGTEAAIMTAANYSRFLKDGFVLDWEVAGVGDCQACSASGGQCRYDSAAAEFWCLCPDGRRARSTCADTCALIIYLPSPITDSESLTTCDAMLPWLWLSLVSSLWTSWAWWSVPVMLAMAAPTTAADRQGGELCSPTLCGGVNISFPFGIVTETRCGVIGFQVRCFNNTPYFRLSLYSPRILDIFYDNASLLVADDHKLEDFQSSSVSKRCLSPTNNSSSKVVPPFSISPVNQNMIFYDCVEPPAQAVRKSRGLVDTVCGNKTLVGVTKGPDVPGSYFLEGCSAAVVPMLARPGEVNPANYKEFISGGFLLTWQLLPSPSPAGVNGNATKIALIVSISVAASLLLPCIYVLVWHRQKLRFFLCKKISNTIEENIEALILAHGSLAPKRYKYSKVTKITSSLNNKLGEGGYGMVFKGRLDDGRLVAVKFLHDSKGDGEEFVNEVMSIGRTSHINIVSLFGFCLEGSKRALIYEYMPNGSLDKYIYSKNPKEVLGWDNLYTIAIGIARGLEYLHHSCNTRIVHFDIKPQNILLDQKLHPKIADFGLAKLCRTKESKLSLTGARGTPGFIAPEVHSRTFGVVSTKSDVYSYGMMLLEMVGGRKNVKSAAQESSQKYFPHWIYDHFGQDDGLQACEVTSENEGIAKKMSVISLWCIQILPMHRPTIRKVLEMFERGLDELDMPPRQNFSQIFNDPAYSLNAES >Sspon.04G0030730-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:2892638:2894351:-1 gene:Sspon.04G0030730-2D transcript:Sspon.04G0030730-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLRNLKIKTSTCKRIVKELRSYEKEVEKEAAKTADMKEKGADPYDLKQQENVLAESRMMVPDCHKRLETALADLKATLAELKESNEQGAEIAEAESTIAEVEAVVKPTED >Sspon.02G0013740-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:34275284:34276439:1 gene:Sspon.02G0013740-2B transcript:Sspon.02G0013740-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAKLMKELERQRDLKETYKARLESTQEYLRFCLEVAQEHGFLHLISDAARPPPPQQSPHCDTEAEPATTVDADDADEDDDPAEAPPCDDPYLAATRDLVVQHGWSVVPDEIELHEVIGRGTTADIHRATWRGLDVAVKWVRPEFFRSNPGGDAFFAQEADVLSRQRHPHVLLLRLLGACLSPPDSCFLVTELLSGATLGEWLHGGRERRPRQRASPPPPVVDRVSRALEIALAMRHLHAQTPRVVHRDLKPSNVLLDADLRARVTDFGHARFLPDGKEALTGETEMRRLQLRHHAE >Sspon.01G0003840-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:9062607:9065739:1 gene:Sspon.01G0003840-4D transcript:Sspon.01G0003840-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSRVALEPLAEEPGGGEEEAARRRSGLHAALHRWARLLSGGAAGDDARPAADLRVLLSVLACPLSPVPLLPRLPRHVASSAQYIIEQFRATTGCGKLEDGAVKSMYASGRVRLSMLQEPGGGGSGVIGGGGRGHEGSFVLWQLAPSMWLVEMSSVAAGSDGRVAWRRTPWLGAHAARGGSRPLRRALQGLDPVTIASIFSTAEHAGEKEVDGEDCFVLRLDVGPSVLSSWSDGTAEVIRHGLTGFFSQRSGLLARLEDSQLTRIQSPGAAAMYWETTIASAMSDYRAVDGGVAVAHAGTSTVHLARFGVGVRAARVVTRMEESWTIDDVAFNVPGLGPDAFIPPEEVRRSRSYGAAIAGGK >Sspon.04G0017830-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:64787322:64789016:-1 gene:Sspon.04G0017830-1A transcript:Sspon.04G0017830-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPPLAAAADALAQILYALLPSVLLAAASVRALHSRWHALQTTLLALQSSLVSAPASAPSHPLFADLVGALLPALRSLDALSVRCQEPGLPRGRLRLQSDLDMVASSLSLLLHDLSLLLRSGLLSVDSAASTPSDIVLQVPASAASHADKSLFVRDAFTRLQIRALDLKLKALVSLLDLLGNDPTAEAAQVIATEGDVSALLRLLDASSHSALRDRAVMVVAHLATACAASRRVVFDEGGLGPLLRVIDSGSPPATRERSAAAIVAITGDAGSAWALSAYGGLSVLINACRPGSGSPALQAFAVAALKNVSSIDDVRSTLVDESGLPVLVDLLASGTAETQKSAALCLWSLASMGDHETQNQIVQAGALSPLLQALHVATDQDLQHAVLRAIHALTSAPAATRILCSSPLFFARLRDLICCYGSILLQQISADIIADLAPTVSDDTKRCMAPCIGTLGKIMEMAKPATIQESAGRALLALFSLKSNRKGFVRDEKSLTRFVRMLDPQFQEIDKKYLVSILLVLAMGGGNGTRRRLADASSCQHLQKLADADVPGAKKALQKISSN >Sspon.01G0039430-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:34858315:34861257:1 gene:Sspon.01G0039430-1B transcript:Sspon.01G0039430-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding KKNPNPSPESTDPRPRRGPRRHRRRAPAAAGHRTTRRRPSRPGAAAGAQLLSLQNDCSLVSTL >Sspon.05G0016610-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:63428810:63430254:-1 gene:Sspon.05G0016610-3C transcript:Sspon.05G0016610-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKEGKRGVPLLGRSILRGSSSQQAGVSTCGNSHRRMLLQPPTKCSQSSSSLDKGVVVPCFSYKLQPGQPAVSSIGPALHLLVLVVPSGLCRARLVAIAHRRGAALIDRLDTSAMSSTTYTAPAPGTKQAPLQVAAGGEQTPVSTTQTPEDADGTTSTSSCVSTACSEEVIIKLAGQMVGNSTTDWPFPCVCGSRAPEQ >Sspon.05G0006010-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:56384944:56385684:-1 gene:Sspon.05G0006010-1P transcript:Sspon.05G0006010-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ARVALALCALLLVSGVARRAEAVSYNVGNSAGWDPSADLPSWATAKTFYVGDVLGTNHSSYHTLDEVDEAGFNNCSAANALLSRSDGNTTVPLAAPGDRYFICGNQLHCLGGMSCTCSSTSRREELRRAPAAVPAADGLRGLPWAHHRRRGHPLSRARRIPPSYGRPPA >Sspon.02G0013870-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:36764685:36773284:1 gene:Sspon.02G0013870-1A transcript:Sspon.02G0013870-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MELPPWASFLGVVLATVMLLKAILGRRSRRVYNLPPGPKPWPIIGNLNLVGALPHRSIHELSRKYGPLMQLRFGSFPVVVGSSVDMAKFFLKTHDVVFTDRPKTAAGKYTTYNYRDITWSPYGAYWRQARKMCLTELFSAKRLESYEYIRAAEVRALLRDLHAASGSGRAVMLKDYLSTVSLNVITRMVLGKKYLDKEEAAAGSVTMTTPEEFKWMLDELFLLNGVLNIGDSIPWLDWMDLQGYIKRMKKLSKMFDRFLEHVVEEHDQRRLREGKDFVAKDMVDVLLQIADDPTLEVELDRESVKAFTQDLIAGGTESSAVTVEWAISELLKKPEVIAKATEELDRVIGRGRWVTEKDMPSLPYVDAIVKETMRLHPVAPLLDTTVAGYDIPAGTRVLVSVWSIGRDPELWDAPEEFMPERFLGSKLDVKGQDYELLPFGSGRRMCPGYSLGLKVIQVSLANLLHGFTWSLPGGMTKEELNMEEIFGLSTPRKFPLEAVVEPKLPAHLYSEA >Sspon.06G0017820-3C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6C:72076778:72077173:-1 gene:Sspon.06G0017820-3C transcript:Sspon.06G0017820-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHDVLTGSAPTAVQVLNGPRGHMGDTIVIDDVLTATASQSSSMVGRAQGHYIWASTGNPELLVTMNVVLTSGPYAGSSVTVVGRDDIGAPVRELSVVGGTGQFRMARGYVLWKTVSLDHPNAVLELDVYVM >Sspon.02G0022010-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:73597887:73604027:-1 gene:Sspon.02G0022010-1A transcript:Sspon.02G0022010-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTPARKRLMRDFKRLQQDPPAGISGAPHDNNIMLWNAVIFGPDDTPWDGATYVIYADGSICLDILQNQWSPIYDVAAILTSIQSLLCDPNPNSPANSEAARLFSENKREYNRKVREIVEQSWTAD >Sspon.02G0019720-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:66221399:66229764:1 gene:Sspon.02G0019720-3C transcript:Sspon.02G0019720-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKQKQRAGEEMSWISRKLFLYNVTIGLYAMDWLDLEEEPEEHNTGAPESERLALALRNKEELTPAEEMAGEMSWVGKKIHLYNVTMGLYMLDWWERCLFRKFWVQTNLAVETNSPVINLSMDVIALIHVPKPRLTEQL >Sspon.04G0003790-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:7321900:7328130:-1 gene:Sspon.04G0003790-2B transcript:Sspon.04G0003790-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMLLRRRLPLLRLLRQLHTESAASTSSSSTPLPSLQKPHATAVGPGSRRLRFLNATPVESVRGASKSSSAGAYLAIGAAAALASLPVAYADSNEQGAVDTAVSADPAEGEDLARKERKRILELVQSRGMQRGSYPQFDVAVKGQKVVVKFNMPSACNVSHLIVDLVTHIGLEAEQLGGGSEVLVRAWDSPAARQITLNPPKKTSTGDHNEDGLCVLIFEPLIGSDYSEIEFIKRGSFSLKELEALISALKIAGEKNVKGSSGKNTPRKGNGQRSKHVPSLEKIVSDLEAMGVRVYGFDETSSVPMDGTSPEVYDDIARGTRCKFETNRPRAVLFEGPPGTGKTSSARVIAKQAGVPLLYVPLEVVMSKYYGESERLLGSVFSLANDLPEGGIIFLDEVDSFAIARDSEMHEATRRILSVILRQIDGFEQDRRVVVIAATNRKEDLDPALISRFDSIICFGLPDQQTRVEIAAQYAKHLTRSELVQFSLATQEMSGRDIRDVCQQAERHWASKLIRGQVPKDEKGEPSLPPIDEYLSCAEQRRKSLPDRTRRASRSPALKLDIKMIGVDLSRK >Sspon.06G0008150-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:58505266:58508678:-1 gene:Sspon.06G0008150-2P transcript:Sspon.06G0008150-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTEATERRPRCRLAVTAPSGQRGQPGTAWSGRDAGRRSPRERAERPAGHRMERAEMQHATHHATERAGDARRTTTTAAAAELPAWQTTERKKSLESLLDAAGDPRGRRQQHHHHHHHRGGGGGGGGGHVPERPAPVPGEKVINFPGQGLEFKELSYSVIKKQKKDGVKVKKEVYLLNDISGQALRGQVTAILGPSGAGKSTFLDALAGRIAKGSLEGSVSIDGRSVTTSYMKQISSYVMQDDQLFPMLTVLETLRFAAEVRLPPSLSRAEKLNRVWELIEQLGLQTTAHTYIGDEGIRGVSGGERRRVSIGTDIIHKPSLLFLDEPTSGLDSTSAYSVVDKVKEIAKGGSIVLMTIHQPSFRIQMLLDRIVILARGRLIYLGSPITLPAHLAGFGRPVPDGENSIEYLLDVIKEYDESTLGLEPLVAYQRDGSKPDEAAKTPIPKTPRTPHQKSVQFRQMQLKSNQFSVTTGTPHANTFSNFESYNIDDDEEEFDNSLERKSHTPLHTGTSTYHPRLASQFYKDFSVWVYHGVTGTPHPYMEYSCSNASKDPNVKLPAAQPCRYATPCTSSSIPHEPVFKPEEPSYHEYQLELEPPLDAPEDGPKFANPWLREVAVLSWRTALNVVRTPELFLSREIVLTVMALILSTLFHRLSDSNFTTINRLLNFYIFAVCLVFFSSNDAVPTFIQERFIFIRERSHNAYRASSYVISSLIVYLPFFAIQGFTFAVITKYMLHLRSNLVNFWIILFASLITTNAYVMLVSALVPSYITGYAVVIATTALFFITCGFFLKRTMIPMAWRWLHYISAIKYPFEALLVNEFKGDHCYVGTQNQLSPGPLGQVNNLNATSPTCPLVGQDVLSTMDIQIDNIWIDVAILLAWGVLYRLIFYVVLRFYSKNERK >Sspon.06G0004410-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:13933554:13936370:1 gene:Sspon.06G0004410-1A transcript:Sspon.06G0004410-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vesicle-associated membrane protein 727 [Source:Projected from Arabidopsis thaliana (AT3G54300) UniProtKB/Swiss-Prot;Acc:Q9M376] PVFLPKTRLYFPTPQKLVRSISSSTTTHRGNQGRRRSKGLSQGFRQRLLWRGAMNSSKQALIYSFVAKGSVVLAEHTAFSGNFSTVAVQCLQKLPSNSTRSTYSCDGHTFNFLVDRGFVFLVVADEAAGRSVPFVFLERVREDFMQRYGSSIDEEGQHPLADDADEDDFLFEDRFSIAYNLDREFGPRLKDHMQYCVNHPEEINKLSKVKAHLSDVKGIMMDNIEKILDRGEKIELLVGKTETLQSQADSFHRHGRELRRKMWLQNLRFKLMVGGGIAALILILWLMVCRGFKC >Sspon.07G0033690-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:62799547:62804282:-1 gene:Sspon.07G0033690-1C transcript:Sspon.07G0033690-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTLPGAQTARRRRLQDLPQALRVWPPKDWAEVTKEIRTGSLHKDLIKMIECSDWASAGAGAAGGVP >Sspon.05G0029270-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:72380367:72382106:1 gene:Sspon.05G0029270-2D transcript:Sspon.05G0029270-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEVLSISNNSLHGSIPKELFNIPTLMQISFSFNNLDGPLDPDIGNAKQLTFLHLSRNNLSGEIPRTLGNCESLIDMKLGHNSFNGSIPTSLGNISSLQVLNLSHNNLTGSIPVSLGSLQLLKLLDLSFNHLEGEVPTNGIFSNVTAVQIDGNSGLCGGEPKMHLLACPIKPLDSRKHKHSIVQKLVIILASMMSLAIIISIVLLWRGKQKRKSLSLPSINNQFPKVSYNDLARATDGFSTSKLIGKGRYSSVYQGELFPDRIVVAVKVFSLDTRGAQKSFIAECNALRNVRHRNLVPILTACSSIDSRRNDFKALVYKFMQRGDLHAFFGIVLLEIFIRKRPTDDMFKDGVNIARFVEMNFPDRIAQIVDPELLEEHDDVLQQTSSVMTQKRLECLISVLNNGLRCANPSPNERMDMQEVAARLHGIKDAYLNGN >Sspon.02G0035970-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:22264356:22266365:-1 gene:Sspon.02G0035970-1B transcript:Sspon.02G0035970-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTSAAPAAPAVAAPLSMTTPSSELRPAPSAGRGMLHRTPLRRTSVAGARCRPTAVSGPPRSSAAPAPSLQPDVTYAADPRRFSSSPGVATLREAHSAASSTRFAYQAGPAAPSHGDAAPSSRRTPLAAMPSAASQSVRTNLITASLPAQLRRVSC >Sspon.08G0005200-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:12450554:12454986:-1 gene:Sspon.08G0005200-2B transcript:Sspon.08G0005200-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATAVASRKRGTAAAFLDDPFSFPAADLPLLHTKRGRCSSSIVAADLGLSFPLEFDPVEALHLIFPGEDPQVLQNHLQASGNVLDAAIRAYKDYLAERSTESASARNQVPSDNEEGDSILSECEVDLTMEAIPTNCSGWAELIVKEMSSASDLTDAKNRAFKILNLLEKSAARTSSPDEKRKVNKEHKIVKQMLGSLLHQNGVLKRAFLIQHNRLKEYQEMVQERSQFNQILEKYQKQIKALELRTKMVKERTCPCARRRNHGHKPPPGPWQLPLIGSLHHLVGALPHRAMRDLARRHGPLMLLRPGELRVVVASSPDTAREVMRTHDAAFATRPRTATIRELTRDGLGVAFAPHGEHWRQLRKLCVTELLSARRVRSLRGGREAEAASLVVSSSSSSKPVNVSALLATYVTDAVVRAVVSGQIRDRDAFLEKLDEGVRVAAGFSLADVFPSSRLARAFSGAARDAEAHHREMTRLMDGVIEEHQEKRAAGAGNDEEDLLDVLLRIQKDGGLQVPLDMGTIRAVINVSSALSPNVFDEITSPFAIGGMAELMRHPAALRKAQAEVRRVLAGQNRVPEDALPKRHYLQLVIKETLRLHGAVPLLLPRECQEETRGVLGYDVPRGAMVLVNAWAIGRDAASWGPDAEEFRPERFEDGDARAEVDFRGTDFEFVPFGAGRRICPGIALGLAVMELGLASLLFHFDWALPGGAAPEELDMAEGLGITARRKNDLWLQAPYAFLFLMCRFF >Sspon.01G0054140-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:47927439:47927950:1 gene:Sspon.01G0054140-1C transcript:Sspon.01G0054140-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding CLSFFCPCIPFGQVAEVLDKGVTSCGLAGLIYCLLLHAGVVLVPCHCLYTCTYRRKLRATYGLPPEPCGDCCVHFWCGPCALSQMYRELKNRGADPADGKSHPSSLLCSPLHY >Sspon.08G0004240-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:10059932:10060946:1 gene:Sspon.08G0004240-1P transcript:Sspon.08G0004240-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLSKLRLITVDVTGTLIAYKGHLGDYYCMAAKSAGMPCPDYNRMHEGFKLAYTEMARQYPCFGFAAKMPNIEWWRTCVKNSFVKAGYDYDEETFEKIFRRIYSAFGSSAPYSAFPDAQPFMRWAREKGLTVGVVSNAEYRYKDVILPALGLNQGSEWDFGVFSGMVGVEKPDPRIYKIALEMAGNVAPEEAFHIGDSMRKDYTPARSIGMHALLLDRFKTADAESWRQSAATVLPDLVATQEWLTKNQNEEQEGTVAARVLNR >Sspon.01G0036950-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:19893043:19894191:-1 gene:Sspon.01G0036950-1B transcript:Sspon.01G0036950-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding NSNSNSGGGAQADVAVAAREPSRVFTCNYCQRKFFSSQALGGHQNAHRRERTLARRALRLDAAGPYGHYADVASLPLYGSGLYPIGIQAHASTAAHPDQQRHEDGAAAGLRRPARGLLSPMPFLVADEEMSFGWPGSFRPAAAAVADAPAGSAALNSAGGVAVLAREEPDLTLRLFFLLFRACKIFCTAVGCARARDISLFNDLAMLLLYCMFVRVQTVKHNVPWLQEMDTPPASARQPPSLPGVRKPQRRAACERLVLVRAQQRTWPYDSSTGSNSNKSCPCNLRAGVATCAGCRVSTGPVVTPAVRSRFEGFDSLQEWLWPTEEANLRGIPIH >Sspon.01G0004170-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:10630138:10633244:-1 gene:Sspon.01G0004170-2C transcript:Sspon.01G0004170-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Adenylosuccinate synthetase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G57610) UniProtKB/Swiss-Prot;Acc:Q96529] MSLSTLSHPTAAATAAAGSGKSLFPAAPAAQSVRFPRARAPVPAAVSAATAAVHADSAEDRVSSLSQVSGVLGSQWGDEGKGKLVDGGANAGHTIYNAEGKKFALHLVPSGILHEGTLCVVGNGAVIHVPGFFGEIDGLESNGVRCDGRILVSDRAHLLFDLHQVVDGLREAELENSFIGTTKRGIGPCYSSKVTRNGLRVCDLRHMDTFGDKLDVLFKDAASRFLGFQYSKSMLKEEVERYKKFADRLEPFIADTVHKILVEGGQATMLDIDFGTYPFVTSSSPSAGGICTGLGIAPRVIGDLIGVVKAYTSRVGSGPFPTELFGEEGDRLRKAGMEFGTTTGRPRRCGWLDIVALKYCCQINGFSSLNLTKLDVLSGLSEIKVGVSYSQPDGQKLQSFPGDLDTLEQVQVNYEVLPGWQSDISSVRSYNELPQAARLYVERIEELVGVPCTTLVLDLAEMLSYTINLLNALKLPKNCYGVK >Sspon.02G0015140-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:39927743:39938463:-1 gene:Sspon.02G0015140-2B transcript:Sspon.02G0015140-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGENTKITRSRLVTSKLLHRQYLHGHGSVSEVSSLVDETLGKRPLNGQKDTLVYSVFASTWNVGGITPSDDLDLEDWLDTRANAYDIYVLGFQEIVPLNARNVLGPRKSCVSAKWNSLIGEALNKKYREEGAKLNQESTNSSAKEGSMQGEGFRCIRSKQMVGIFTSVWVRSNLRPLIHHLDVSCIGSGIMGCLGNKGSVSIRFVLHETSFCFVCCHLASGGKQGDVLLRNLDVADILTRTWFPGLATQELPEKILDHDQVVLLGDLNYRISLEEAETRSLVRAKNWAILLENDQCLSRHTIAAIVRVLEGRHFEGWQEGLITFSPTYKYQPNSDQYYWCFDSAQSEKKRAPAWCDRILWRGKGLKQVQYETCSYRLSDHRPVRAVFHAECDVLSEGMQK >Sspon.05G0008510-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:18818628:18819432:1 gene:Sspon.05G0008510-2D transcript:Sspon.05G0008510-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHALRIEMSFFCRRRFEVRFISSDLWLWLCVLLLVSRVSNGCSTEERTALLEIRLSLLGTTDSIAGLPLSWWSESAATSAWHDCCSWKGVECSNDTGRVFQLQLSSLGGGPPQNGLCRAGFNSTPFSAFPELQFLDFSMNYATFQSWDGLSKLRHLDLSFNCLNANDSESLGKLFSLEVLHLEFNAMVGTLPASGDLLQLIMH >Sspon.02G0012630-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:33538763:33544360:1 gene:Sspon.02G0012630-1A transcript:Sspon.02G0012630-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MENLHLAVAHRPPLPVPAAGHLRRRRLHLQHLPAPLSLPSTPLDLSSPHRHRLSPTLRRHLRVPLRASQTPDASPESEPEPEPTGAKLVPLVVSIAVGLAVRFLAPRPVEVSPQAWQLLSIFLSTIAGLVLGPLPVGAWAFLGLTAAVATRTLPFTAAFSAFTNEVIWLIVISFFFARGFVKTGLGDRIATYFVKWLGSSTLGLSYGLTISEACIAPAMPSTTARAGGVFLPIIKSLSLSADSKPNHPSSRKLGSYLVMTQFQASGNSSALFLTAAAQNLLCLKLAEELGVIIANPWVSWFKAASLPALVSLLATPYLLYKIFPPETKDTPDAPALAEEKLKRMGPVTKSEWVMIATMILAVSLWVFGDAIGVSSVVAAMLGLSILLLLGVLDWEDCLNEKSAWDTLAWFAVLVGMAAQLTNLGIVSWMSSCVAKLLQSFSLSWPVAFCVLEASYFLIHYLFASQTGHVGALYSAFLAMHIAAGVPRAMSALALAFNTNLFGAITHYSSGQAAVYFGAGYIELPDVFRLGFITALINTLTWGVVGTIWWKFLGLY >Sspon.01G0043690-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:76599539:76605394:1 gene:Sspon.01G0043690-1B transcript:Sspon.01G0043690-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPSTSAATAAQAELEQTAARERTAATCDRAAAMEKAALDDTAVVAFHVATDAHKRVRTALAALEQEHCTTAALEREASMARARAALPTMWPGLVTGGQQPHQPHQCGSQGVRFGSAPSPALQQAFLAAPHSTACAWLSPPLITTSITVPDTASATVLGAASTAVPAATPLAGAAAATSMAGPHPPPSPSSFHLFPPLPSTSWAAADLRLVRAPLLFPGDLTGADAVLGAATPCDPARRGSACSPWRGGRGSLLSAQVLATAPAPGAASLGALPGAVGHLHPGAALATGATSFPAATSSGARLPPPAILPPGAAPNPSASLPTTGAGGSGAGLPAVGGSGAVLPVVGAQGDGAAADAAGGHQQPPGADAPRGSSLPAPKADARGPGSPSRHGLLDSCPPPRPRPTPAALTPPAPAALPPAAGDTPTGPLHAPGAAASQALLPAMGAATTGAALGPGWATSASAPLIHAAWAHMSGYKYYLVVVDDFSHYSWTFPLRAKSEAFPTLSHFFAWVSTQFGLTIKAVQCDNGREFDNSTSRAFFLSSGVHLRMSCPYTSSQNGKAERMIRTTNDIMRTLLLQASLPARFWAECLHTSTYLLNRLSSTACPAPTPHHALFGTPPRYDHLRVFGCACYPNTTATASHKLAPRSTLCVFLGYSPDHKGYRCFDLTSRRVLISRHVVFDESAFPYSTSPPTPPADPGEASFFPTDPVILPPFSLSPAGTPGAPASPLPDSHQDLPPVPDTAEAAPELPPSLPVASLPPVVPDAAVPIAGPSAPTPPPPGRFGLVYQRRREPSPLSPPPGRFGIVYERRRRRLARRPSLPLPVSWKISPVPSSVRAALADPHWRRAMDEEYAALLANQTWDLVPRPPGSNIVTGKWIWTHKRWADGSLERYKARWVLRGFTQRPGIDYAETFSPVVKPATVHTVLSLALERSWPVHQLDVKNAFLHGTLIETVYCSQPAGFMDSSRPDFVCRLNKSLYGLKQAPRAWYSRFATFLQTFGFTEAKSDTSLFIYHYLGESLLHRIIASLQQEFAMKDLGMLHHFLGVTVEPRPSGLFLHQRQFTCDILERAGMTACQSCSTPVDTQGKLSEAGGPMLGPADSTAYRSLAGALQYLTFTRPDITYAVQQLCLYMHAPREPHLAAMKRLLRYLRGTLGYGLLLGRSSTAELVVYSDADWAGCPDTRRSTSGYAVFLGATLSPGRPSASRSTLVYCDNVSAVYLSTNPVQHQRTKHVEIDLHFVRDRVAIGDVRVLHVPTTSQFADIFTKGLPSSTFSEFRSSLNVSSG >Sspon.06G0001470-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:4729175:4733884:1 gene:Sspon.06G0001470-1T transcript:Sspon.06G0001470-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSRCHGGGRERGGGAGGVAPAAAFAAPLLGCVVMALVCGAAAQGSRLLSEYKTLSGAAPLVIAKGGFSGVFPDSCQDAYSFAKEASVPGTAMWCDVQLTKDGVGVCLRDINMNNCTTVSQAYPARKRTYVIDGVHKNGWFVSDFTIAELRSAVYLTQAIWSRTERFDDIYPIVSVTDLPYLVKSSPVWLNVQHPIFYKQHGLDMSRYILSIRKLVSMDYISSPELGFLKNISARVGRKTKLVYSFLDKNLLDHSINQTYGSLLTNLTFIKSIASGIMVPKDYIWPVTNDNYLQPSTSIVTDAHKAGLEIYASDFANDRIIPYNYSYDPLAEYLNFISDGGFSVDGVLSDYPLTASEAIGKPLVISHNGDSGDYPDCTDLAYHSAIDDGADVIDCPVQVTSDGVLMCMSSINLLDTTNVQRTPFATPPSLVPEIQSTPGIFTFNLTWANINSSALKPKISSPVSDYYLVRNPRYANQGKFLKLSDFLAIVMDSDLSGAMIIIENAAYIAKSLGIDIVDSVTTALSAAGFDNQTAKEVLIQSKDSAVLVKLKQQKTKYKPVYTLPSSIGDTSNSSLKDIKKFAHAVVVDRRSVFTLSYAFIIRQNRLVQDLQSAGLAVYAQVFRNEFVAHPLDFFGDATVEINYYVQSFNLSGIITDFPKTVRRYKKNTCTVLGKDMPDYMQRVEVGGLVQKLRSFQTQPPSVAPMPALNSSSVEEPPLPPAAPRNVPDVGPSGGATPTPGGTPPSDAHQTATASTGMLLVMVSAALLI >Sspon.02G0023150-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:78343326:78345509:-1 gene:Sspon.02G0023150-1A transcript:Sspon.02G0023150-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MIAVNADVVGEGFDHGHGIVRMCDGMPLAVACMFSALAKERERQEQQRLWLCIETRDLQDRIEEQVTRNGFQNTPGFEPLVETLELDYNHLPHHMLKTCLLYCSIYPKLHCFRRDELVRQWIAQGFVCKDEVGKDYFDELASRGFINKRGFLHPMMPNYLRCKLREHNFITCSSDIPSFWVGRLCIDYWPASSDAVDTLSGIDDWHHIRSLVVFKEAERVPYKHLEHLRVLEVQGYHGALALENQHLKDMCGLLRLRHLLLSGDLLGRLGITEMPADIVRLQCLETLEVSGTKITKLPAEIGDLKQLKTLDVSWNEELAELPREMGKLQHLETLLIRKSNIREQAWEIIWTLKKLKTLDLSYNFWLEWIPRDIGKLLHLEKLNLRNTEITELPREIGNLQRLQILDLTQVRRITKLPQDIGRLQHLEELHLSKTDVRKIPREIGELKKLKNLCVEIGILPFEAGQLPKLVGLPKCVHRAWKNSELVSSLAGDILSFEARSSIGDGGLVVGTKHMHIPQWIKEHFNIIHSLDIRICKLEERDLKILREMPYLGSLTLRFEAVPRKTIVISSGGFAKLLALTIDSRVPRITFQEGAMPGLEELYFEFQFYGGPPNTDAPMGIKHLVRLEHVVFQCNEWYGGDSQCIRAIIDVVRNEARQHANTIEFKVSVGGLSEIAESSHGSNEASSSEASEDEFTLSVGGLSEIAESSLGSNEASSSEASEVEEIQA >Sspon.05G0016050-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:65049863:65057709:-1 gene:Sspon.05G0016050-1A transcript:Sspon.05G0016050-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAVVGALISKLGTALAKEAATYGASLLYKEASAIKGLFGEIRRAERELESMKAYLRESEKFMDTDETTGIFIKNIRELSFRIEDVVDEFMYRLEGDKHGGFATRMKKRIKHVKIWRRLGLELRDINVELEDTVKRRDRYIIPGMERHAGSGDHHTRSTNQALCFAREEELVGIEDNAAKLKGWLVDDLEERNTKITTVWGMGGVGKTTLVHHVYKIVKVDFDAAAWVTVSKSYRVEDLLKKIATEFGMPIDSSIVDTRRLVEVIREHLEGKKYILVLDDVWEQDVWINNIMPVFPANCTSRFVLTSRLSEVASLASSNCAIKLEPLKHNHSYMLFCKLAFWNNDDKRCPAELWDLATKFLQKCEGLPIAIACIGRLLSCKPPTYTEWKSLYEKLELQSVKNTIPGVDSILKISLEDLPYELKNCFLHCALFPEDYEMKRRRLIRHWITSGFIKEKENKTLEQVAEGYLNDLVNRSLLQVVMNNEFGRVKCCRMHDVIRHLAIDKAEKECFGKVYEGHEMILVHRTRRLSIQTTNIEMLNLSKAIGRLANLEVLDTSCTCLLTLPNDVAKLKNLRYLYATVKVIEGPFWHHCGVEVPRGIKNLTGLHALQNIKASSETLCDVAALTELRTFSVDDVTSEHLLNLRNAVRKMTNLISLSITMSKENEVLPLEELCLPETLCKLGLTGQLEKKRMHHILTSWLHLNNITQLYLMSSKLDENSFPSLVVLRSLYLITFYKAYDGKKLCLCSQSFPRLRELRIWCAPQLNQVEIEEDAVGNLVKLWFVECPELNCLPQGIEYLTILDELRFENTAVELREIANQCDEELMKISRIRKLIAVVGVLIGKLGAALAKEAAPYGASLLCREASSLKGLFREIRKAERELESMKAYLHESETFKDIDETTGIFIKNIRELSFRIEDVIDEFLYKHEDEKYGGFAAKIKKRIKHDKVWCRLAHNLHDINIELEAAKNRRDCYVTPAGTGRHVRSSDRHARSNGQTMCFARDEDLVGIEDNAEKLKLWLLGDLEENNKKIATVWGMGGVGKTTLVNHVYKIVKLDFDAAAWVTVSMNYQIDDLLKKIAREFGIPFDANNMEMTNLVLAIRSYLDGKRYILVLDDIWEMNVWISIMDVFPSNCISRFIFTTRKYEVASFATEKYVIKLEPLGENNSWKLFCMLAFRNNGDYMCPLELKDLSIKFLQKCKGLPIAIAWIARLLSYKPPTTSVWKNVHDELVLQSTKNVIPGIDVILKVSLEDLTYELKNCFLHCTIFPEDYLLKRKRLIRHWITAGFIKEVENKTLEEVAEGCLNELVNRSLLEVVKKNEFGRVKHCRMHDIVYLVALDKAKEECFGNVYKGQETFSLDSTRRLSIQSTGTKTSHESFSNSVTKYQSSATHLRAVYAFTSHVDIDMLRPVIASSHLLSTLDLEGCQIKKLPNEVFDLFNLRFLGLRYSGIEILPEAVGRLQNLEVLDALFTPLSSFPKGVGKLKRLWFLYACTLHKGPNLLWYGGIKVPRTITNLTGLHNLETIEASLETLSDVASLTELRAFSVCNVKREHASNLCKAVKNMRHLVHLTIATSDNENQILPLEALDLPGTLSKLVLQGCLENERMPQIISSWLQLKSLTKLSLISSKLDEVSFSSLMELRDLCYLELVQAYDGKKLYFSESSFPRLQRLGIFSATQLNQVQIEEGALENLEELRFNNCPNLECIPQGIEYLTAIEELYLENIAEELVEKLIKQESGVDEFNEELARISHIKLIFVMSTEKKYRKRIVPSRVKELAG >Sspon.03G0000370-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:11586196:11588190:-1 gene:Sspon.03G0000370-1P transcript:Sspon.03G0000370-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPPPPPDGQEKVIAAAQHIVKSLASSKNAADDMIRILSGFDNRLSLMSDLFPPPPSAAAVDSILETDEGTSQGEGDDPDPDPDEDDAVARAEAEWDAAADVIERWESPAAGDALVFDSREDAEEYLAAAACLAGAPGPRADAALQAAMARLEDEFRHLLVRGAPPLAAEDLQASLLRRLSLTVPSFNSSAVDLDCPSFAQHHAAAAAEGADEQQGGRSSASDDEISPYLIAPDTVGALRDIADVMLRAGYAPELCQVYGEVRRDTLMECLAVLGVDKMSLEEVQRVEWSVLDGKMKKWIQALKVVVRGLLAEERRICSQILAADPNAEEECFTEAAKGCVLQMLNFGDAIAIGKRSTEKLFRILGMYEALAEVLPDLEGLFSGEAKDFIKEEAEGILARLGDAVRGTIEEFANAIQGETSRRPLPGGEIHPLTRYVMNYVRLLADYNASLNMLLECWDTELTGDDNPNMTPLGHCVLMLITHLQCKIDEKSRLYEDEALQNIFLMNNLLYIVQKVKDSELKTLLGDNWIRKRRGQIRQYSTGYLRSSWTRVLACLRDDGLPHTMGSSSQLKAALKDRFKNFNLAFEELYRTQTSWRVVDPQLREELKISISEKVLPAYRSFVGRFRGQLEGGRGSARYIKYNPDDLENQVSDFFEGRKPNA >Sspon.08G0024460-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:63994340:63997317:-1 gene:Sspon.08G0024460-1B transcript:Sspon.08G0024460-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALLSTPRAAEGGQHSPDHCGVHLAHLWRSSDVPSPLRRGIFGIVGRSRRCASGHPKISDGSVGGPAGLALACDDTLLLDRRGRVSGRVNGRPTPLLLDKICIFSQLKNLRLLLLIDTRSTPNILSLASFLRASPFIEELEVHYYLYLPPPSADR >Sspon.02G0030160-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:110751489:110761810:1 gene:Sspon.02G0030160-2C transcript:Sspon.02G0030160-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKTVVLYPGVGVGHLAPMLELAKAFLRHGGDQVGVAIVVFEPPVYANGFAATVARAKASNTSVALHAIVLDMFCADAVDVAADLGLPAYFFFPSGAAGLACFLGLPAMRASVGTSFAALGESAVLSFPGVPPLRVADLAQGLANDGEACKGIIGVAARMPEARGILINSFESLEPRAMRALRDGLCVPDRPTPPVYCVGPLVSPGGDTDHDCLRWLDAQPDRSVVFLCFGSLGTFLKKQLEEIAVGLERSGQRFLWVVQSPPGEAPADDVGALLPAGFQERHEDRGLVVKNWAPQVDVLRHRAAGAFVTHCGWNSTLEGVVAGLPLLCWPLYAEQKMNKVWIVEEMKLGVEMRRRDDDDVVTAEEVEAKVRWVMEDSDGARALWERAAAARDRAAEALAEGGPSRAAFLEFVVDLRAMEKKTVVLYPGVGVGHLVPMLELAKAFLGHGGAQVDVTIVVFEPPVYAKGFAATVARAKASNASVALHVLPPPASDGGGGDDDEMLRFLRATNAPLRDFLHALSASRRVQAIVLDMFCADALDVAAELGLPAYFFFPSGAAGLACFLGLPAMWANVGTSFAALGESAVLSFPGVPPLRVADLAQGLADDGEACKGMIGVAARMPEARGILINSFESLEPRAMRALRDGLCVPDRPTPPVYCVGPLVSPGGDTDHDCLRWLDAQPDRSVVFLCFGSMGVFPKKQLEEIAVGLERSGQRFLWVVRSPPGGPPADDVGELLPAGFQERTEDRGLVVMNWAAQVDVLRHRAAGAFVTHCGWNSTLEGVVAGLPLLCWPLYAEQKMNKVWIVEEMKLGVEMRRDDDDVVTAEEVEAKVRWVMEDSDGARALRERAAAARDRAAEALAEGGPSRAAFLEFVVDLLASEGM >Sspon.02G0013100-3C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6C:13876880:13877908:-1 gene:Sspon.02G0013100-3C transcript:Sspon.02G0013100-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSMDPDAEVDFDFSPFLIRYKSGRVRRLIGTSRVDAGADAASGVTSRDVAIEVAGAVAGLAARLYIPTDVLGTPEKKLPVLVYFHGGAFAVHSAFSGAHSRFLNALVAAARVVAVSVDYRLAPEHPLPAAYDDAWAALGWAVASCGAGPSSSGSRPDTADPWLAGHGDAARLFVAGDSAGANIAHNVTLRAGGNGARIEGMVLLHPYFRGGELLPSEGTDPKFLQRAERAWGFVSGGRYGIDHPFINPLALPTEEWAKLGCRRALVTVAELDMLRDRGRRYVDALRGSAWAGEEAVLYETQGEGHVYFIDKVGGDGEKAKMETAAVVSFIQQSSYSVCVKK >Sspon.01G0047880-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:106088395:106089102:1 gene:Sspon.01G0047880-1B transcript:Sspon.01G0047880-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALSVRTTTTTSLVAAVLSLCVVVSLSLRGADAARGTPGDSPIVATCLTGPYPELCVGELGQRLLDVQTVIASAAPNQGAAKIAGAPGQVDVKALVSVALEAATEAGTILVSIFEGKLPGFNTGVPDFKKCLGNCTVTMKSAMQKLHGAKAALHAGDKQVAKTLALRSVADVSSCTISCRELNGDVRLIVTQSLTEFTKMLQIAIGFISKMKSGPSEPKPPSEPQPPPTWTTP >Sspon.01G0019340-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:81828406:81831490:1 gene:Sspon.01G0019340-2B transcript:Sspon.01G0019340-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSARSWFTKLQTREKSIGKKKELPPNGKESGDDAPSSATKQRVAAAKQYIEKHYKEQMKHLQDRKERRCSLEKKLADADVSEEEVHNILKQFEKKETEYMRLQRHKMSVEDFDLLTMIGKGAFGEVRVCREKTTGNVYAMKKLKKSEMLRRGQVEHVRAERNLLAEVDHHCIVKLYCSFQDSEYLYLIMEYLPGGDMMTLLMRKDTLTEDEARFYVGETVLAIEAIHRHNYIHRDIKPDNLLLDKYGHLRLSDFGLCKPLDYSNFPDLNEKDVTPTKSSSMHGDGKQQSMPKRSQQEQLEHWQKNRRTLAYSTVGTPDYIAPEVLLKKGYGMECDWWSLGAIMYEMLVGYPPFYSDEPMTTCRKVTHFKLLAMDTFMVFPVIGARSSSKSTDFLVQSPCLYVQKQIVNWRTHLKFPEEARLTADAKDLISKLLCNVDQRLGTKGAEEIKEHPWFSGLEWDKLYEIEAAYLPQVTDELDTQNFEKFEESSDNVQCSAKTGPWRKMLSSKDLNFVGYTYKNFELVNDHDVPGMAELKKKEKAKRPSVKSLFGIYV >Sspon.07G0012570-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:53551933:53555800:1 gene:Sspon.07G0012570-1P transcript:Sspon.07G0012570-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYQYISDFFIALAYFSIPLELIYFVQKSAFFPYRWVLIQFGAFIVLCGATHLINMWTFTTHTRTIAVVLTVAKVATAVVSCITALMLVHIIPDLLSVKLRERFLKAKAEELDREMGIIRTQEETGRHVHMLTHEIRSTLDRHTILRTTLVEMGRTLGLAECAVWMPSRSGTTLQLSHALHSSAPLGSVVPINLPIIATIFNSNRAERIPHTSPLASMKTQKSKYVPPEVVAVRVPLLQLTNFQINDWPELSAKAFAVMVLMLPPDSARKWRPHELELVEVVADQVAVALSHAAILEESMRARDLLMEQNIALDAARREAEMAICARNDFLAVMNHEMRTPMRAIISLSSLLLETKLTAEQRVMIETILKSSDLLETLSNDVLDISKLGDGSLELEIAPFNLHATFTDVVDLIKPVAAVKRLSIMVHLAPELPTCAIGDRKRLMQIILNVAGNSVKFTKEGHISISASIARTYAPDLHPVLSDGSFYLAVQVKDTGCGISPQDMPHTFTKFAHPQNATNKVQNGNGLGLALSRRFVALMQGNIWLESEGVGKGCTATFFVKLGLSDKPNANLRRIVPPVQPKQGTADPDASSIINGDMAILPHRYQSMI >Sspon.04G0034350-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:73170519:73189072:1 gene:Sspon.04G0034350-1C transcript:Sspon.04G0034350-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VQPRKPNTEPLNPLTPSRGHARVPPDSAAASLTGGGGFLRPPPCPATHVEAPPGDRQAGYPCRSRRSQARDLRRHPRRRRSQARDPRATTAAVAPKPAPRRHHRRRPSASKRGTLAAAPKLSTLKSPSYRRRAAAGPETAVTQASLLRLKQAAASKKTNLPSSLPLAHAHALDEDDPPEALTKALMSVLDAPDEAECATSLEAPAEDSEDDGEVATSNKILDFEWFQTQPSRDPLMHWRREVAREKKKHYIFKNVESRRYTKLMRMCANRLGTESTIEFFGKLGRETGVKEFNSMIKLCLDKAKACRDIDSAVEYIYRAYRLFETMKDKGLTIEKDIYGPFLLYLIDVGMFEEFEMFSAFFKEANPKSFSRIAYYEMLLCIRVQDEEKIQELCHSVEDYNEEAHYDLAESYMLAFAESGRKEDLVALLDLLDLSKVSGSKYIYNIFKSLGRLELENYAEKLLQGMRSKGCADHNISSLILDYTANIPNIMVEDMLVAFHKWHEKFEVAPSIAAYDKIVSTIGLALDVADRMCKSSSDVPIESFHPIIHACEQRCELHMARPIYDLIRHHNLKLKSETFRSMISLFVKLKDFEGAYNILTDAEESGEISTVSLYNAIMLGYYREKNYNGAQMVMSQMQIAGVKPDSETFSYLIANCESEENISKIGLALDVADRMCKSSSDVPIESFHPIIHACEQRCELHMARPIYDLIRHHNLKLKSETFRSMISLFVKLKDFEGAYNILTDAEESGEISTVSLYNAIMLGYYREKNYNGAQMVMSQMQIAGVKPDSETFSYLIANCESEENISKYRDQLRQDLIPMTRHIYVALIVAYARLGNFDMAKQVLLDKEIPRKFLSDIKSALVGALASNGQVLDALRLHDEIKESGGSLEPKAAITLIEHIRTEGELDRMHQLLDGLSDSNSWFEGVAIDLLKQLKEKDEMSTYMVVDQVFYQIWDMEITNLDLGMVFLDAVKELGLNVSRTSLDFLLSACVKAKNLQRAQQIWSEYESAGLPHNVLTSLRMYQAFLSSGGRKAAKKLLKAIPKEDDHVRYITDACHTTYYSEDSKPSATVRLSSKKRARSKQKATNKGNHTSMGLQKDHETTSHMSRA >Sspon.03G0016980-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:77689444:77691601:1 gene:Sspon.03G0016980-2B transcript:Sspon.03G0016980-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKNGIQYAVVSNIALSGRERFSYQLAGYLTSSPWPSQVDAFTAEPFKGNPAAVCLLEDAAKAADERWMQSVAAEFNLSETAFLLRDSSASGAAPRFQLRWFTPAAEVELCGHATLASSHFLFTSVLAEHEALIEFSTKSGILTAKKVAAPESTGVSGEGKLFIELDFPMIDLVDCHPSELPSIPETLNGASIVSVHKSTTAGDLIVELSSGKEVADIIPNIHEIKMCSGRGIIVTGPAPAGSGYDFFTRFFCPKFGVPEDPVCGSAHCVLAPYWGGKLGKHKLTAFQASPRSGILYLELEAAGRRVQIQGEAVTVMTGTLLA >Sspon.01G0003050-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:8280364:8283570:-1 gene:Sspon.01G0003050-1A transcript:Sspon.01G0003050-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGRAGGCGRSGWPGFPQGNALEAYNGRDGRPAGWLYVVTIDGAVHKKHLIAISEGTKIDGVMCIPDLVEPLDAQSDTRKTRLRIVVHEGRNHEVRELVQNAGLQVYALKRVRIGRFRLPADLGIGKFVELKQADIKALEGNK >Sspon.02G0003170-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:9307115:9311164:1 gene:Sspon.02G0003170-2B transcript:Sspon.02G0003170-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endoplasmic reticulum (ER) membrane protein, Early tapetum development and meiosi [Source: Projected from Oryza sativa (Os07g0622900)] MSRRARAYAQSQSQRDQQRQQSHGSRRNEPRPGGREGKPRQAAAAAAAAAQSARASRFVSPAEGKDEGGEAQLRGLYGRGVRLAAEGPGAAGGMGRDELLRRSLVALAAAVVLTGLATASLRKAAATYGFGILAIAGVLLPDWEFFDRDYSQWLTPMPASRRTAAAAAADREHDVWKFKPYPLRVAMLTTIYGFGLYKWWMYVSS >Sspon.02G0023040-4D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2D:71396518:71397237:-1 gene:Sspon.02G0023040-4D transcript:Sspon.02G0023040-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMDLLASLAAEKWWLLPAFLAMYAAIYCIGQLVVFRRWAPRQRLEGASCLISLFHGTPAMLAAAGAILALPVVARSFAAPNARLQDHILDYSIAYFTMDLLHYLVFLPEDILIIAHHLTTLFVLVTCRYLICDGAYALLVLLFLTEVTSLLHNVWTLAGSWQDQSPAAARVYSVVSPPFYTLYMLVRGVAGPLFLLKMTAFYLSGQVVDVIPWWVQISWILVVGTGIVVSNMWIWNWI >Sspon.08G0005130-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:12916255:12917056:1 gene:Sspon.08G0005130-2B transcript:Sspon.08G0005130-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLAPSPAMAGQSRFLPPPGGAGVDAVAGGGHQGAGADVHDKDQSFPIAALDATAETSAPIGATTTTAKKVSSSAIKRKESGVKQRKEQPAKHKAKRAPLQPVTEMQRRALPRPSPRAGQPRPLPPPEGAEDGIAATAEASVAAKVFSLATKRKESGVKQRKEQPAKRFKSPEGPVSH >Sspon.06G0016490-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:46586259:46587896:1 gene:Sspon.06G0016490-2B transcript:Sspon.06G0016490-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding PSPPTPTTPILPHTVHAVHSSTPLLTISALPPMSELQDDGAGRNGQDEAAAAFASPAKRLRRSPAPEPTSVLYNRSPSPPTSSSLASSSAPEPPPISAEDWEAVLSGGDMTAPPAAARSHDTSFLRWIMEADAQVDAFDPFLPPPPCQETAAVEPFLHPQPQLQLPVAEEDLGPGAAVDELLEAARRADAGDSTGAREILARLNHRLPSPPGHPHPPLLRAAAHLRDALLRLVVTPAPGTLPPGSVSTPLDVALKVAAHRALADASPTVQFASFTSTQALLDALGGARRVHVVDLDVGFGGRWAPLMQELALQWRRAPFSPLPLPLPCLKVTALVSPGSAHPLELRLTHEGLTRFAAELGISFEFNAVVFDPLDTFPPTGLSVAPGDAVAVHLPIGSGAPSPALPTTLRVVKQLRPAIVVCVDHGCHRGDLPLSHHALNVVRSSAAFLESLDAAGAPADAVARLEQYVLRPRVERLLLGDRMPPWQTMLASAGFALVQLSNAAEAQAECLVGRTPTPGFHVEKRQAALALRWQESELVTVSAWRC >Sspon.05G0035550-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:74026842:74034108:1 gene:Sspon.05G0035550-2D transcript:Sspon.05G0035550-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding QKPKLSIMAGVLDALASYIQNMLMQMAAEEVHMLLGVSGEIDNMGIKLRDLKSFLADADRRNITDHCVQAFVRELRDAMYDATDILDLCQLKAKERGPRPDAGCLNPLLFCIRNPLHAHNIGSRIKKLNKKLDGIKARSESFNFINLGTYEDHGRKKVSSSLGAHQTSWGLDETGLVGEMIEEDTQNLVELLTKKDQTHRLYNKIMVFAIVGVGGIGKTTLARKIFKNDIVQQQFSKKIWLSVNQDFNELDILRRAITEAGGDYHVAGDTKATLERILTQALMGHKTLIIMDDVWDYRAWEDVLRTPLVNVAAADNGSRVLVTTRHNAIARGMMAEEPHHRIRKLEPEDAWLLLKKQVVVNINDEPQVEMLKEIGMQIIAKCDCLPLAVKVIGGLLRQKATRRGDWEKVLHDSFWSVSQMPEELNNAIYLSYEDLHPSLKPCFLYYCLLPRSTVFYRNDIIGLWISEGFVHGNSCDLEEKGREYFDELIQRNLIEPHVQYIDQLVCNMHDIIRSFAQYVATDEALVCHNSNIFIADKLKSEKFIRLSMEKEGSKTHDFEWCSLQTQKSLRTLISVGHIKIKPGDSLLPFSSLRTLYLVSVNFDELGESLHQLKHLRYLSIQNSETSMLPENIGKLKFLQYISLFGCKSLVKLPSSIGMLQHLRQLDIRGTSINTIPKGFCGSTCLTNLYAFPAHMNGDWCSLEELGPLSQLMTIIILFLENVASASFAKLARLGEKNRLRKLDMICTSRIGNDGSLVNVEGGISEEEQRRIEEVFDELCPPPCLENIDIEGYFGQRLPRWMMPAAVMPLGSLRILTMDDLACCTELPSGLSQLPCLELLQIRWAPVIKHIGLEFLQPNNQVGVGFPRLQKLVFSGMVEWEEWEWEEQVKGMPILEKLRLDTCKLRRVPPGLAFHTRALKELFIYYVKNLSSLENFTSVVRLDVFRSTDLVRISNLPKLQKLFIIECPKMKVLEAMPALQSLTLEDYDMETVPRYLQDVNPRHLLLDCSLSLLIAIAAGKSGPEWVKLSHTRQVKAYADDKGSPRKWYVLYTSYPFRFETNISRSAIAEACNRRMCLAYSQTCPIEDEWPVERQTYAEKRVPLCVRFRCNAYRHLVRWFDEACLHCREADDIASPSDQWTEAA >Sspon.05G0027300-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:51553817:51554848:1 gene:Sspon.05G0027300-1P transcript:Sspon.05G0027300-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSRKMQPVVILLLLLIVATDMAPAVQARECEKDSERFVGICTNQENCATVCRSEGFMGGRCSTFRSRCICIKPC >Sspon.04G0012750-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4B:59612409:59613318:1 gene:Sspon.04G0012750-2B transcript:Sspon.04G0012750-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAAYARAALALALAVATAAAAVEAQTEWLRAHATFYGGPDASGTMGGACGYGNLFAQGYGTRTTALSTALFSGGAACGQCYKLVCDRKTDARWCKPGVSVTVTATNFCPPNSALPDGGWCNAVRAHFDMAQPAWEKIGVFGGGIIPVIYRRVSCVRKGGVRFTVNGHDYFNLVLLTNVAGPGSIRAMDVKSSQGWMHMARNWGANWHSLTYLTGQGLSFRVTVTDGQTIVFADVVPPKWRFGQSFASNLQFKL >Sspon.08G0018360-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8B:5816760:5816975:1 gene:Sspon.08G0018360-1B transcript:Sspon.08G0018360-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDEYSWEGIRLGGSATEGGRLTERHRLPRQSRAKSKEEMVGESGARIEVGGGAVQSEWERISRSSVGLTG >Sspon.01G0056240-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:87188514:87188846:-1 gene:Sspon.01G0056240-1C transcript:Sspon.01G0056240-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding CARCAAPVTSTSAGSGASTGCSRRRAPGGPAWAARRRRSSAASAAGTPTRTCGTSCAPCRPGAPRRRRPLLEAVGIRRTPPAARRLLSRCAGPRRCRGSMRMRSSCTRLAD >Sspon.07G0006880-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7A:17893523:17896159:1 gene:Sspon.07G0006880-1A transcript:Sspon.07G0006880-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At2g27610 [Source:Projected from Arabidopsis thaliana (AT2G27610) UniProtKB/Swiss-Prot;Acc:Q9ZUW3] MLSLSVRTHQLRHWCLAQLRGKDAGALAPEQAPKSQSTLASSVPLDNRANLNGATCARQAFDEIPHRDTPDHALFDHARRGSVHQALDHFLDVHSRHGGRVGSGALLGVLKVCGSVPDRVLGKQLHGLCVRCGHDRGDVSVGTSLVDMYMKWHSVVDGRKVFEGMPERNVVTWTSLLTGYIQAGALLDVMELFFRMRAEGVWPNPFTFASVLSVVASQGMVDLGRRVHAQSVKFGCCSTVFVCNSLMNMYAKCGLVEEARVVFCGMETRDMVSWNTLMAGLVLNGRDLEALQLFHDSRSSITMLTQSTYSTVIKLCANLKHLGLARQLHSSVLKRGFHSYGNVMTALMDAYSKAGQLHDALDIFLLMSGSQNVVSWTAMINGCIQNSDIPLAAALFSRMREDGVAPNDVTYSTILTASVASLPPQIHAQVIKTNYECTPTVGTALLASYSKLRSTEEALSIFKMIDQKDVVSWSAMLTCYAQAGDCDGATNIFIKMTMHGLKPNEFTISSVIDACASPTAGVDLGRQFHAISIKHRCHDALCVSSALVSMYARKGSIESAQCVFERQTDRDLVSWNSMLSGYAQHGYSQKALDVFRQMETEGIEMDGVTFLSVIMGCTHAGLVEEGQQYFGSMVRDYGITPTMQHYACMVDLYSRAGKLDETMSLIEGMPFPAGPMVWRTLLGACKVHKNVELGKLAAEKLLSLEPLDSATYVLLSNIYSAAGKWKEKDEVRKLMDTKKVKKEAGCSWIQIKNKVHSFIASDKSHPLSEQIYAKLRAMTAKLKQEGYCPDTSFALHEVAEEQKEAMLVMHSERLALAFGLIATPPGAPLHIFKNLRVCGDCHTVIKMVSEIEDREIVMRDCSRFHHFNSGVCSCGDFW >Sspon.06G0011650-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:50599248:50601465:-1 gene:Sspon.06G0011650-3C transcript:Sspon.06G0011650-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLWGSAASAPPAAEGDAARAPSGSAGGGGGGGVKVIRSLLPTRRRLRLDPPAKLYFPYEPGKQVRSAVRIKNISKSHVAFKFQTTAPKSCFMRPPGGVLAPGESIIATVFKFVEHPENNEKPLDKCKVKFKIVSLKVKGPVEYVPELFDEQKDQVAVEQILRVVFLDAERPSPQMDKLKRQLAEAEAALEARKKPPEDNGPRIVGEGLVIDEWKERRERYLARQQIEGVDSV >Sspon.03G0000200-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:459638:466748:-1 gene:Sspon.03G0000200-1A transcript:Sspon.03G0000200-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKSRERKEFAKKQSYDLSLGWASSSLPLLSPAPRPHHRNTAAKKASPKKHTELPAPAPAPTQPGHPPSPSPAPRIAGDLDALARSQNPSSGSPPLCRLAPFARIAPRKPLPLLSLSPETLAHSPARSIGSREATMAERKLDRPSALGKGGLSLGIEEDRAAAAAMGFVDDSKDQLHLDNSIPLSPQWLYTKPADGKISLPHGSSLEPAEKEARMLEGTVDRKERRRNVFDADTGLRWLEEERETSLLGRRERKKDVDRDVDNRKTDRRSDNVSARDNTDPRAAPTSERWNDGSTRSLGNEGRRDGKWSSRWGPDDKEKDSRSDKKVDAEKDETHADKQTFTGRLLSESDSRDKWRPRHRQESHSVGTATYRAAPGFGSEKGRVKDSDIGFAAGRGRGNSNSVASFNRPSSAGSIGAPSVHGKSAKAAVSFRYPRGKLLDIYRQKNMMSSFDDADMKLEEIPSITLSTAAKPLAFVAPDKVEEALLEDIRKGKVVSSEGINGTGNKKERAKDLEEPASGIDDDKDKVSFAIAGLGQEVSSDLISEKDAFYVEGTLPTGISTSPPKKSLEENASSNQYGITDIREGLKTDEIKSSADHNLGTKLPDDSNTLFDVSSFEHPSEPPMQYQSSDMDIKVGGHANYPEELTLYYLDPQGGVQGPFLGADIISWYEDGYFGLELPVRLSQAPDDVPFRPLVEVMPHLGKKLQSHIPQPSDGSAESLELSQSKFESTVPTVSSGKSDQVSNWNSESNAVDPKRGDHEASVPSRSGWLSSPETGKDIANTSNRQQHIPESMNQDAEEVLYTGRPNSSMGQSLRDLENDRADFQLAPHDPHSVVGEANLPQHDIPRESDLSPLGLLWSELEGHPKQPLSSNVLGVNERRNPKPTTPKDIPPVNMRHGPLSRMNEVSSVRDEWPANFGRLDNMNDANISGRIPQVEAEHHLNFEGQMLLQQIRREQQQLQQEQLMARNNLEFSGAFPGQQPHHLQRQHDAAIEQLIQAKFGHGLHREHHNDMLDVLSRPNQRQMLPLEQQILLGLQHEQQLQSQQLANALRQHSGREEERHLSGVWPMDDPAQFIRSGTSPNQRHGRFDLLENLQRSSSFDHHEHLDRSLSLHERLHRGGQGIHSLERSGSLPGGGPGPNPDVINALARHHGLGQLETHGDIYPLGQMPMLPSGVHPQQHRLQEQLPGSHIGRLERHWSDANGQLQNSLMESSRINQLQIEAEKQRRNVEMNLAVDNPHAWAALMNKERNAEQDLSDMIHKKLVLQSQQSLGFPDVPVPASFGRKDHFAQPVAENPLRSVDMLSFEESLAERSLYAKSGQLAQEGSANLGTLPNSIENTGKFNLRSGSGSMLEQKHFLGIDDIQRDFPDTTGGRTSANQLVGSVNELTRVKKQGSSASLAGDDTDFAEEAVSKWSDSGMSKGSSHSLLKRSTNQHTTSQSVPADLSSAIRLKKAGLVSSDENKMESGVASVAQGMEGSVPSNKEAGVYSMPSATNNPDASGQSFSEALKSSRKPPLQYDASESADGGPGGKGAKKKAKKGKQIDPSLLGFKVHSNRIMMGEIVRDD >Sspon.04G0019680-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:77706471:77706683:-1 gene:Sspon.04G0019680-3D transcript:Sspon.04G0019680-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVPIPGKSSWPELLGVNATLAATAIAHDRPDVAVEVLPPGSPVIPDHNPLRVRVFIDNNNLVSQVPVIG >Sspon.08G0015420-3D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8D:58771009:58772145:-1 gene:Sspon.08G0015420-3D transcript:Sspon.08G0015420-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALACLFTMQLLRKTHKKEKDEYFRQNGGLKLYDEMQSRKVDTIRILTEKEIKGAADNYNEDKVLGCGGHGMVYRGTLDDHTEVAIKQSKVINDDCREEFVNEIIILSQINHRIIVRLLGCCLDVDVPMLVYEFVSNGTLSDFHHGSGHRPPIPLDLRLKIATQSTEALAYLHSSTSRTILHGDVKSANILLDDEHNAKVADFGASALKSMDESKEVAVVLQKMTKLDMHCLSPSGEDRPTMKEVAECLQILRRLHMHATSAHRDSYYTQNHEGLSSLAVPLDSMTYRSMDTSRLVWE >Sspon.01G0061470-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:93856348:93868606:1 gene:Sspon.01G0061470-1D transcript:Sspon.01G0061470-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGAGTTGGGEGKKRGASRSWILLDAAGEERVLDADKYAIMHRVDINARDLRILDPLLSYPSTILGRERAIVLNLEVLLRDPSDENVIPVVEELRRRLAPSNATQHDGKENLNGQHDVEGAEEDESPFEFRALEVTLEAICSFLDARTTELETDAYPALDELTSKVRDELEQLLDDDDDMADLYLSRKLAGASSPVSGSGGPNWFPASPTIGSKISRASRASAATVHGNENDVEELEMLLEAYFMQIDGTLNKLTTLREYIDDTEDYINIQLDNHRNQLIQLELFLSSGTVCLSLYSLVAGVFGMNIPYTWNDGHGYIFKWVVLVSGLFCAFMFVSIVAYARHKGLVGS >Sspon.01G0050650-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:5934598:5937316:1 gene:Sspon.01G0050650-2D transcript:Sspon.01G0050650-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMGQEEDDIDHYEVLCLPSGEEGASLTIEQIEKAYRTQSRLRHPDKRPDDPNATADFQRLSSSYKLLRDESLRLQFDARLRGRREAAARAAAAGVKRRKAVSDLEERERAAAAGHPADPEELAKREAKRMAADIERELAAFRAAKQASASGTASTSAHGDKKGGTPQDGVKTDKGKILKVSWDGGADLYNAAKLEELFQKFGKVEDIVIKTRKSRSKGSAIVVMGSKEAALLAIQNHFSLFPLNVAPVQESGGIPARSTQTYESRASNVDGTGFSDLEASVFRKLQEAQKRKQCG >Sspon.06G0028730-1P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6C:11065016:11065221:-1 gene:Sspon.06G0028730-1P transcript:Sspon.06G0028730-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ERRRAGGGGSRGGRRPELLRRAPAPPHPPWSAPPPPATSRPSSRSNFAAPARAGPRLVRRRVRSRAI >Sspon.06G0010370-4P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:62244125:62248092:1 gene:Sspon.06G0010370-4P transcript:Sspon.06G0010370-4P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANLEDVPSLDLMHELLRRMKCSSKPDKRLILIGPPGSGKGTQSPIIKDEYCLCHLATGDMLRAAVAAKTPLGIKAKEAMNKGELVSDDLVVGIIDEAMKKPSCQKGFILDGFPRTVTQAQKLDEMLAKQGANVDKVLNFAIDDAILEERITGRWIHSASGRTYHTKYAPPKSPGVDDVTGEPLIQRKDDTAEVLKSRLEAFHRQTEPVIDYYSKKGLVVNLPAEKPPKEVTAEVQKALS >Sspon.01G0030900-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:103541420:103545135:1 gene:Sspon.01G0030900-3D transcript:Sspon.01G0030900-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCCATPLTEGSGKNRPKKQKANPYNVAYNRGAAPTSARPGLVVLRDPTGRELGAQYELGGELGRGEFGITYLCTESATGARYACKSISKRKLQTPVDVEDVRREVDIMRHMPAHPNIVSLRAAYEDDDAVHLVMELCEGGELFDRIVARGHYTERAAAAVTRTIVEVVQMCHRHGVMHRDLKPENFLYANKESSPLKAIDFGLSVFFRPGERFTEIVGSPYYMAPEVLKRNYGPEVDVWSAGVILYILLCGVPPFWAETEQGVAQAIIRSVVDFKREPWPRVSEPAKDLVRRMLDPNPLTRFTAAQVLEHPWLHDSKKMPDISLGDTVRARLQQFAAMNKLKKKALRVIAEHLSVEEVADIKQMFDKMDVNKNGKLTFEEFKAGLRKLGNQMPDSDLQILMDAILYTSAKLSWTNSLNATFNALQADVDKNGTLDYGEFVTVSVHVRKIGNDEHIEKAFTYFDRNKSGYIEIEELREALSDELEGNDEDIINGIIRDVDTDKDGKISYDEFAAMMKAGTDWRKASRQYSRQRFSNLSLKLQKDGSVGAETR >Sspon.08G0019010-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:12500980:12510136:-1 gene:Sspon.08G0019010-2C transcript:Sspon.08G0019010-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding PTRAGGGGLGGGGLAWTLDRKRQVVLPIGRTLSLRGEFKNQGVEDQGRQEREGEVEETEREGGQEASSPHSPIPALAEPAARKEASSRRLRPSADLLLLQLPILERS >Sspon.07G0011010-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:36875431:36889031:1 gene:Sspon.07G0011010-1A transcript:Sspon.07G0011010-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:alpha-mannosidase 3 [Source:Projected from Arabidopsis thaliana (AT1G30000) TAIR;Acc:AT1G30000] MSGTSGPLPYSMRDVGAGGAYNNAKFRHRSRLKMVLQSLSTNSSKYRCGKFTVGKFLSLLMVSGLLYLFLHKGSEDIVSSELHGKEVQNKNARRAPNIRTFWRKPPRLPPRLPPNEIYKNNSLLQQSPSEWTSRQKKVKEAFEYAWSGYQKYAMGYDELMPLSHRGTDGLGGLGATIVDSLDTAIIMGADDVVSEASKWIEDNLMKRISEKGQVNLFETTIRVLGGLLSAYHLSGGDHPGAGDSGVPVTYKKANPERLLEVSKDLADRLLLAFTSSPTAIPLSDVILRDHTAHAAPDGLSSTSEASTLQLEFSYLSKVSGDPKYDRAAMKVLEHMHTLPKVEGLVPIYINPSSGQFSGENIRLGSRGDSYYEYLLKVWVQQEEYRDSSLKYLFEMYTEAMRGVKHLLVRKTVPNGLVFVGELPSGRNGGFSPKMDHLVCFLPGTLALGATKGITKRKALESNLLTDEDKENLQLAEDLAKTCVEMYFVTSTGLAPEIAYFHIEGDTEGGPDGGNKSSKYINDIIIKPLDRHNLLRPETVESLFVLYRITEDPKYRDWGWQIFQAFEKYTKVDSGGYTSLDDVTSLPPSTRDKMETFFLGETLKYLYLLFDENNTLPLDKYVFNTEAHPLPVMRSTERDSHSRRI >Sspon.03G0047320-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3D:57994645:57997568:-1 gene:Sspon.03G0047320-1D transcript:Sspon.03G0047320-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMGSVPFADAGLLDAFYGGGHGHNDYGLVSQLGLGASSTSPVVLDGGGLLDAAASTEEAPKRKGDHRREDKAAMALKSHSEAERRRRERINAHLATLRTMVPCSDKMDKAALLAEVITHVKKLKATAARIRDHCAVPADADDVAVELVQGAPPSSTGGVLVRATLSCDDGADVFADVKHALRPLRLCVVGSEVTTLGGRVRFTFLIMSSSSSSSCGNGNGGDVGAVVDSVHQALQSVLDRANSALEFAPRASLLNKRRRVSTFESSSSSS >Sspon.04G0011540-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:35417272:35422227:-1 gene:Sspon.04G0011540-3C transcript:Sspon.04G0011540-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VPDAPLALVDLSPEYLIGAPDSVRERVALRGLEEHATFADADEGGAAVAPAPSKILRVDAVRSCEDLLVELTEQVGSSGIRDIILPFRQDIQNFICIKKPTLPESSLELLREVDPEIQSMAAPSSVEQNGIKKHDNHQSLCNVHHLNSNIDTPRPPIASTELQPGNLTNLVNNLEKGYFKQCPIESTVDLDKPLETDRRFYNQPREYAINATSTRASEKDPSNVDSNMSGSSTSCNATLQGNIAEPLSKKSMADETTVVQAQPCKGKSPNPPDYNNVIKRPNDDGISYQSSKDPRHEIKTLQATMTPAFDRTNAPEASDLPEPIATEDTTMVQQLHSCTTHLNHLQHDSGQKVNQDLDGTFNIQPVEKYSIHEESTLRAVSCNGAMHEDKSKTNHPSGNSTEHPAIFEEQNCDKSQLEVSCADKNKHALHDDANMLGNNKVICGGLNVQGAPESHSCNLTMHDKVLEAHCLSDQNIGKSTNDIQKRSCNISVSISCQDGYRKTAKQDSNKQTIGKTVAETSHAHSSDDSISGFAAACLLSMSGKIPLCSQDQEANDSLRVSPEQDLCRKCGKGGQLLQCSSCLLSAHDSCFGSSLTFEDHGQLYCPVCICAKATEEYKKAKKTYIEARKNLAAFLGAEQLLKQHEQQTRLLPRAVYSEGQLNGHNNSSKKQTSVSETTIDDLAHQGEESNRLWKKQKINVTSDACNEVVMERASSAGNSDVAPMNASVLQNKSNQLQDAEQDHVENTEAHEGSSSQNRCSPAANLVVETDEEDGPTHSHHQSKDSDEIEFTSSSDSGKPSSPPWRTIKHHRARLQEREATVSSNSTKAFGQKDQHEPLPSRKRNYAYPPKRYSNPAVPTGRRSKLCWTVKEEAALREAMKIFTPRDNGPIPWVQILEHGRDVFHRTRLPSDLRVKWRNMKNRGDV >Sspon.02G0029770-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2C:112127327:112128197:1 gene:Sspon.02G0029770-2C transcript:Sspon.02G0029770-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LLLQIKSAWGDPPVLDGWNTSAVGWHCSWPHVGCDAAGRVVKLTIASASVKGLFPDAVGGLSALSYLDLDVSNNSMSGAFPTTVYRFASLQYLDLSQNNFSGELPTDIGHRLALNLTTLFLDINQFSGAIPADIGTLTRLQYLWLAEDSFHAGELPASFKNLSNLVSLWASQCNLVGDFPSHVLEMSELEQLGLSNNALTGSIPPGIWRLKKLQLLFVDRTNITGEIVVHEFAAKSLIYISISENYNLSGSIPEVFGHLENLSSLLFFNNNFSGEIPTSIGRLPSLYTLD >Sspon.04G0005450-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:16260243:16263629:1 gene:Sspon.04G0005450-4D transcript:Sspon.04G0005450-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFADLEAGALRAPLGRKARGGSNDARALVFQITTAVASYRRLLNSLGTPKDTLTLRDNLNKTSQNILQLAKDAKNKLQKAAEADKSTDTSADKRIADMKLAKDFAATMEEFRKLQSLAIQRETAYKPVAPQNAQSKVTYKIYTTDDRSSEPGNMPEQRALLAAKRQEVLQLDNEIVFNEAIIEEREQAIQEIQQQIGEEIDMNTDKSEVVTKEAKKEVANAAKTQKSNSSLLGPKRTGPDDSRMW >Sspon.02G0042460-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:82948165:82953585:-1 gene:Sspon.02G0042460-1B transcript:Sspon.02G0042460-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSGLWAMDLVPLPVLGSGALLRMEVRMALVESWRRRRLWPGGVGCGGASGQRWRAASKWPAAGCGGSSQVQAANRVLPNLPYVTALGWGRRRQPPLACHRRGRRLCSRVSFSNAESRSRSSGTPGAASSAGKSNLLSRFARDEFSLETWSTIGVEFATKTVQVDDKLVKAQIFNSTTLLVILLSELLKHVKSKKRERSETSKDHDPSWSRESLACHDLVKDPSIYSILAGDFLELDQKGPSVSYCLSCAFNWQIAPTATVILQCDRAAHGVQRISDGVNGDWGIRPWVAVAPLLFL >Sspon.05G0001670-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:11065371:11072222:-1 gene:Sspon.05G0001670-2C transcript:Sspon.05G0001670-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPKSKRGGAAAARKAPGARGRMGRAPAAAEEAPAVEEMKEAPAEEVKIAEEAPKVMEEPKRQPSPLLPQQPVVEEKGSDAAANGANQGEDGGVAKETYEEEDKGERLEFEDEPEYEEEAAVDYDEKDLEHYEEQYEDGDEEVEYTEDVVEVETDMVDEELDEGGDDREGEGYENSDEEHHVDVDDEEHNEMVKEHRKRKEFEVFVGGLDKDATENDLRKVFGEVGEITEVRLMMNPVTKKNKGFAFLRYATVEQARRAVSELKNPLVRGKQCGVAPSHDNDTLFVGNICKTWTKEHLKDKLKSYGVESFDDLLLVEDTNNPGMNRGYALLEFSTRPEAMDAFRILQKRDVVFGVDRSAKVSFADSYPQVDDEIMAQVRTVFLDGLPPSWDEDRVKKYLKKYGAIEKVELARNMPAAKRKDFGFVTFDTHDNAVACAEGISNSEIGEGDHKAKVRARLSRPLQRPPRMKHGLRGNFRVGHGAPRGGRLPYARAPPPRRPPPRLVRPAVSRLPPLRSHPLKRPIDIRDRRPVMSMPDRARRLPPPERAYDRRPPAPVYPKRSPRREYGRRDELPPSRSRATIDYSSRVPVDRRPSLRDDYSPRGSGYSDLGPRSAPRLSDRRAYADDSYGGKFDRPLPAYREGRGRDYDTISGSKRPYADLDDVPRYQEIGVRQSKARLDYDIGSSARYGDAYSERPGRPHAGYSSSRSIPVHDSAYGSSRHGMSYGGSASSADTGGMYPPSYSGSYGSRGSDVGGSSYSSLYSGRNLGSSSGGYYGGSGSSSYF >Sspon.02G0024330-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:83217095:83220734:-1 gene:Sspon.02G0024330-1A transcript:Sspon.02G0024330-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLCCGGGGAGGGSSSGGLAAGTTSSRYSTAIGALLPPLGARGGWRPRLRLRRFIVSPYDPRYLAWENSLVALVAYCAWVAPFEFGFVPDPHGALAIADNAVNAAFAVDIALTFFVAYADGRTYLLQDDPRRIAWRYARTWLALDVASTVPIEVYRRILPRQARGYNFFGMLRLWRLHRVGTFFTRLEKDRKLSYFWVRCIRLICVTLFAVHCAGCFYYLLADRYHDPAHTWLSSSMPDFKQQSVWKRYAASMYWSITTLTTVGYGDMHAVNTGEMVFTTLYMLFNLGLTAYLIGNMTNLVVQGTSRTRKYRDAIKAATSFAVRHQLPARLQEQMVSHLSLKFRTDSEGLQQQETLDALPKAIRSGISHHLFFALVQGVYLFQGVSNDLIFQLVSEMNAEYFAPREDVVLQNEAPSDFYILVTGSVLAGMARAGDVVGEIGMLCYKPQLFTVRTRTLCQLLRMERTAFLRIVQANYLKEKRDSCAVAGVAEEIEYMLARGQLELPVTLCYAASKGDDFLMHQLLKRGVDPNESDNYWHTALHISASSGHEQCVKLLLEHGADPNATDAQGRVPLWEALSRRHRAAARLLVDAGADLAAGDAGLYVRAAVEADDVALLEDVASHGGDVTVACWDDGVTALHRAVLQGNVGMVRVLLEHGADTDREDGGGRTPRAMADQLGHRDMQQLFGSSSSHREGAVESPKRQQQQGSGTDHGSRLAVAAHQPAVTRFKSAPAPRVPVPQHDSAGSSPSSSRHSTPRRMVSFQNSLFGVLSSSHVNRHDGGGGGGGGPSRHERHTHSSRSRVRVTISCPEQGVSARKLVFMPETMVQLVELAGCQFGFAPTRVVTMDGAQVDDAGLVRDGDHLLVVTHQWVPDTKVVHTNQ >Sspon.02G0050980-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:70363511:70367669:1 gene:Sspon.02G0050980-1C transcript:Sspon.02G0050980-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPLPTPSSPPPPPPPRSQALVDKAGRHEATLQTPPVRPCSLTPGAEGLCRRTPRARSYVRSSSGTQQLFINLYGIGVMVFGKECTWSATLMAKHMNGMDLCHQQSIRDKLQISANLSAFKKT >Sspon.01G0016990-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:57664582:57666617:-1 gene:Sspon.01G0016990-3D transcript:Sspon.01G0016990-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MYTARKKIQKDKGLEPSEFEDSVAQAFFDLENGNQELKSDLRDLYINSAFQMDVDGARKAVVIHVPYRLRKAFRKIHVRLVRELEKKFSGKDVVIVATRRIVRPPKKGSAVVRPRTRTLTAVHDGILEDVVYPAEIVGKRVRYRLDGSKIVKIFLDPKERNNTEYKLETFTAVYHRLCGKDVVYEYPLAETNLS >Sspon.06G0007940-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:34531773:34535471:1 gene:Sspon.06G0007940-3C transcript:Sspon.06G0007940-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSAWAVALAALCIVALAPPATGFYLPGVAPNDFEKKDPLPVKVNKLTSIKTQLPYSYYSLPFCKPDTIVDSAENLGEVLRGDRIENSPYTFEMREPQMCQIVCKISVGEKEAKLLKEKIEDEYRVNMILDNLPLVVPIQRVDQEGAYFYQHGFHVGAKGKYSGSKDEKYFIHNHLSFTVKYHRDEQRDVSRIVAFEVKPYSVKHEYEGQWNDKKTHLTTCDPHAQRIITSSESPQEVEVGKDIIFTYDVDFKESDIKWASRWDSYLLMTDDQIHWFSIVNSLMIVLFLSGMVAMIMLRTLYRDISKYNQLETQEEAQEETGWKLVHGDVFRPPSNSDWLCVYVGTGVQFFGMLLVTMVFAVLGFLSPSNRGGLMTAMLLLWVFMGLLAGYSSSRLYKLFKGSEWKNIALRTAFTFPGSVFAIFFFLNALIWGQKSSGAVPFTTMFALVLLWFGISVPLVFVGSFLGFKKPTIEDPVKTNKIPRQIPEQAWYMNPIFSILIGGILPFGAVFIELFFILTSIWLHQFYYIFGFLFLVFLILIVTCAEISIVLCYFQLCSEDYLWWWRSYLTSGSSALYLFLYATFYFFTKLEITKFVSAVLYFGYMLIASYAFFALTGTIGFYACFLFTRLIYSSVKIE >Sspon.01G0002420-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1A:6553524:6556892:1 gene:Sspon.01G0002420-1A transcript:Sspon.01G0002420-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-amylase [Source:Projected from Arabidopsis thaliana (AT3G23920) UniProtKB/TrEMBL;Acc:A0A178VNG4] MSCSGGDTRRASSRPISTLDRDVARRAVPAKTRRRPPTTLRGRLTRPREPRPAPPAAHPPNSLAPHLPSPPHVPLTGAPRATSRGAPTGAAAAAVTVAPTAPRSAVVAAAATVAAPSVSAGAAAMQTMTVDAAPAQAPDAVKPDLAMACQALVESAPDEAEHADVAAELRSKAGVPVFVMMPLDTVRKDGNSLNRRKAVEASLAALKSAGVEGIMVDVWWGIAEADGPGQYNFNGYMELMEMARKTGLKVQAVMSFHQCGGNVGDSVTIPLPRWVVEEMDKDQDLAYTDRSGRRNYEYVSLGCDALPVLKGRTPIQCYADFMRAFRDRFATFMGNTIVEIQVGMGPAGELRYPSYPESNGTWSFPGIGEFQCYDRYMLSSLKAAAEAVGKPEWGNAGPGDSGGYKDWPEDTGFFRREGGWSTEYGQFFMSWYSQMLLEHGERILSAATGVYTGSPGVKISVKVAGIHWHYGTRSHAAELTAGYYNTRQHDGYAPIARMLARHGAVLNFTCVEMRDHEQPQDAQCRPEALVQQVAAAAREAGVGLAGENALPRYDETAHDQVVATAADRAAEDRMVAFTYLRMGPDLFQPDNWRRFAAFVKRMSQPGARDACREQVEREAEGVAHATQPLVHEAAVALTN >Sspon.01G0035430-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:11009945:11012494:1 gene:Sspon.01G0035430-1P transcript:Sspon.01G0035430-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRGGRAAASSGSEDEEEEVGFSRSYFLAKEKEPSSGKKRARAAAGKLSDLNLVDEQVLRASLAEIPLKHKEEVEALTRSYKDQYRNWLFELRCGFGLLMYGFGSKKQLLEDFASTTLTDFTVVVINGYLPSVNLKQVIATIAEMFWDQTKAKRKRQPGTRSQLSQQFPSQSTEDIISFLMRQTSDDVDDRVCLLIHNVDGPALRDAESQQCLAQISCCPQVHVVASIDHVNAPLLWDKKMVLKQFKWSWYHVPTFAPYKVEGVFYPLILASGGHAQTTKTALVVLQSLTPNAQSVFRVLAEYLLANEKEEGMPVSSLYTKCRERFLVSSQVTLNSHLTEFKDHDLVKIRKHSDGQDCLRIPLVSDALEKLLQELA >Sspon.06G0003300-1P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6B:8420710:8421534:1 gene:Sspon.06G0003300-1P transcript:Sspon.06G0003300-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDETKSSKAATVVLYPGAGGVGHVTPMAELAKAFITHGYPVTMVLIDPSGSTATSAVARRLAASYPCISVHVLPPVPPSDSDAASKITNALLLLPRRYNGALERFLRTTPVPVRCLVLDAFCVDAIDVATRLGVPAYTFVATCASALAALIQVPALLAGRKTGLKELGDAPLQFLGVPPVPASHLVRSLLEHPDNERCRAMADVWARCTATGGVLVNTFESLEHPAVQALRDLRCVPGRALPPVYCVGPLLNGEDGGAETHECLAWLCYVFRIV >Sspon.01G0009340-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:26646713:26647796:-1 gene:Sspon.01G0009340-1A transcript:Sspon.01G0009340-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding NGAEEPCPRRSAHRRRRLRRPAAPRCGGVGSEPQHQHQLRRLRHRAMRHRELHQPGHGPVVPRRPGAARVRQQRGGQHDDGQHRGLPLQPKKCQQGSGHSRSGQPVQGGRGHPAGRVRQVPGRSHRDAVGAAEAPGHHHGQRQRQRPWRPRQPHRWDCRSRWADHRRPHWRHPQPRHPALLIRL >Sspon.04G0036990-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4D:57576332:57577057:-1 gene:Sspon.04G0036990-1D transcript:Sspon.04G0036990-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTKNLKLQHQQLPMAAGGMAMAAPPWPVRTKLLLCAALGFTLGVVATASLMTSSYATSSAALRGGRGALGLFSPPSATRNDVHDRRPPPAARSQPKQAGAVPPAIRRARTSDPPAPPTSITRAAAAPPPPGIGGEKGPAAPSAASNASRGGNGSIVGDDDDDEELMARAASAPREVPAGTVPRVAFLFLTRWDLPMAPLWEKFFEGHRGLYNVYVHSDPAFDGPEPPETSAFYRRRIPSK >Sspon.05G0032260-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:15849448:15854280:1 gene:Sspon.05G0032260-1C transcript:Sspon.05G0032260-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding CRSLRAPTLASAPSPLWRGRRPSASVSSTGASSSQSSRLLQLRAPTTMSSTSKSQASRAS >Sspon.01G0029420-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:111957730:111959140:-1 gene:Sspon.01G0029420-2B transcript:Sspon.01G0029420-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSASVKRGHIPVLVGEGEELKRVLVHRKVLQHPYFTGLLELAAMEFEHDQKGVLRIPCDIRCFQAIVQLIRSRTRRRRKRLEWLNTCCPLWLGWRHTDTGAVWWRCALWH >Sspon.04G0002150-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:7747703:7753597:1 gene:Sspon.04G0002150-3C transcript:Sspon.04G0002150-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHERGAAASTHAAAPTSEEDALFIDLLHEAPLSGHREPRSIVGGTLYCILLVGFAAVAISAPWIFLFAPDMISPLLCSSNAILLVLTGIFQQYWVHQVRKVRLQGYYDFSQKLKSIARLPFATIACGTALMLLIMVWQPLLQILSVSLLLRIAIVVEVTCAGCFMGLYIWHIHKYNSLDGQPDILRSLYSALQPSSTLEDRRYHDARLSDQQMALLQYQRENIHYLSEEVLRLQECLSKYQRTAGTTPQVDLAHLLATRDQELRALTAEMDQVHSELQLARGLIDEKDSEIQRIRLSNNQYVEENDRLRAILGEWSARAAKLERALEAERVSNIELRKNIAKFKGHLYKEQEA >Sspon.04G0019690-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:69563737:69563988:1 gene:Sspon.04G0019690-1A transcript:Sspon.04G0019690-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding KMTAAEPPPPPVGNAHPIGEKSQWPEVLDWMATPAVQTIAHDRPDVAVEVLPQGTRIEPDGYNAARVRVHIDNKGRVSEIPEIG >Sspon.08G0022980-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:53059528:53061432:-1 gene:Sspon.08G0022980-2C transcript:Sspon.08G0022980-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAADSNIGHLMSGGVLANRVVASQYQTNTEDIDESSAEELHDATSYAKDNAMLIQVPVSGTATKTFWRLSDEATRISRKLALILRNHHSVCKCLTAPLQVSNVWVGSTGNVKLRGSRFTTKGFSIERVRDDYKNLSRVLKQLISISGGYINKLPPDYREFLLLLMKDNLTTEDEFLIVNNAALLPLKNRTEVFLMLYDRIVKYLGRKNRAQRNRILSNLPYENDWLDTATANTEINQWVVKSDVQTKEYKRTALDLLRLNRNVRSHLHEYGHDDDVEEILYCEWPMLLIAMENQLHLEGELKDTDIENKFG >Sspon.06G0027840-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:89507490:89508436:1 gene:Sspon.06G0027840-1B transcript:Sspon.06G0027840-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATGSGSGRRQNEEARRRWSLAGKTALVTGGTKGIGLAIVEELASLGARVHTCSRTAGDLDACRRRWADKGLLHAGDDVITTSVCDVSSERDRESLVATVRDLFRGSLHILVNNAGGSLYRPAAATTPDDYARVMATNLDSCFHLSRLAHPLLRRAEADGGAVVVHMSSVAAFVAYPALSAYSVSKGALQPLTRSLAAEWAPHGVRVNCVAPGVIDSTGISSTTLGDAGKARRLAEMEMSRVPMRRFGTPQEVAALVAFLCMPAASYITGQVICIDGGRTVAAK >Sspon.03G0016630-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:52671273:52675545:1 gene:Sspon.03G0016630-1A transcript:Sspon.03G0016630-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPAAAPTHAPVSSSSACRAGIFSTRTSFPNFRSAAASAPGWRRREPYPAVSVVASSAQSAPGAVAVDTERNLFLSYYLRTKLVGGLEFHALSHSGGNPFPALSALVDTLLDSVKWDNKGLAVAIAQNVDTGAILMQGFANKEALAKTISTRKATFFSRSRSSLWTKGETSMNFINVHDIFLDCDRDSIIYLGKPDGPTCHTGAETCYYTSVYDALQSSKPNEGRQVMTTLYSLEDTISRRQEEIVTEGGGKPSWTKKLLLDNQLLCSKIREEAGELIQTLLENEDQSRAASEMADLLYHAMVLLRVKDVKMEEVLEVLRKRFSQSGIEEKASRNKS >Sspon.05G0023960-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:18824192:18829747:1 gene:Sspon.05G0023960-2D transcript:Sspon.05G0023960-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSAPNNRSSGCTSWRDALLKLKSDQQSNLYSNLQSYLNLSCAAGVICSGILLAVASLALACCSCAAGDGAVRELVFDVVAHGARGDGSTDDTKAFEAAWNAACGARGPSAVMVVPAQRSFLVGPVSFQGPCASGRVTVKIQGMIMAPPSTVASAWSNGTSLYWLMFNRACVESAPTALKLVRCNNLELSQFSSRNSPQMHIAIIESSGVNVWGLNITAPGNSPNTDGVHVERSQGVQITNSTIGTGDDCVSMSSGSRFVTVDGIQCGPGHGVSIGSLGKDGEIAAVEYIDVKNVYFTNTANGARIKTWEGAQGYAKSISFTDIEFDNVDHPVIIDQFYRDSTLELAVAISNVTYTNLKGTSSLPTAVAFDCSGGGSCTDIHVNSVMITRSGGQQTFARCRNAQVATSGLVYPEIPCH >Sspon.04G0014900-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:62007877:62022484:1 gene:Sspon.04G0014900-3C transcript:Sspon.04G0014900-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRMKHLLRKLHLAGGPAGGGGAAPDHHRPRHRRSGHPTPPPLPPGAAAVVVAAGAPEPPQPAVTPAAAAVSVAPAAAEEPRGLGAEAATTRLEEDYQVRLALAISASDHAGLVDADSVQIRAAELISLGAAAGCGPHDRSRAAEALSARYWNHSVVNYDEHLPDGFYDVCGAQLHPGFQAKFPSLDYLRAVPLGRDVAFLAILVDREHDPALKRLEDRAAQIAAQTRARHGGAASAELAQKIVGLIVNAMGGLVEDADGMNREWSIKSRELSLQLNSVVLPLGSLRVGLSRHRSLLFKVLADRVNLPCKLVKGICYTGTDEGAVNLVKDSNNSQLSSDAIEESVAELCLALEQINGGYENKNTIGGCSSGHSSNLALTSSHLGDLSQTEFKQNVVSEKKNEGDISEHVKVDDVSKYIVPEVVDPQFAQNLHDLLLESGTLLPSDLLSDQNSHNIHEKESTGWLLISQTTQNLPNAFVAKDSSSPDEDAQHPVENTEEVIRDLDLHGHTASAISNEDQRAAEGSSVNMSGSSNGNLDKLSWSSAKTISSVIDDVAEYEIPWEDLDIGERIGLGSYGEVYHADWNGTEVAVKKFLDQDLSGVSLEQFKCEVRIMSRLRHPNVVLFLGYVTQPPNLSILTEYLPRGSLYRLLHRQNSRIDEVRRLKMALDVAKGMNYLHSSHPTIVHRDLKSPNLLVDKNWVVKVSDFGMSRLKHHTFLSSKSTAGTPEWMAPEVLRNEPSNEKCDVYSFGVILWELATMRVPWSGLNPMQVVGAVGFQNRRLDIPKDVDPRVASIISSCWDNDPSKRPAFSQLLSPLKQLQRLKAADIRFLRQISGHIYSQVLKLCHRAQISILKLVENLCGIDVFGRRFYTSPCEKCESLKLCKGAAGESV >Sspon.01G0015000-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:44112616:44113326:1 gene:Sspon.01G0015000-2D transcript:Sspon.01G0015000-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKQQQQQAVCMVFLMVFIVLSAMHSVPVEAGRALREASSSIPKFDPQDPTSVPSVPPGEPYTPGCTNVYKCHGAP >Sspon.01G0035260-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:9989093:9992600:-1 gene:Sspon.01G0035260-2C transcript:Sspon.01G0035260-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAKDAAVPAEAQPLGRWPVLSYGVGHMLNDITSACWFTYLLLFLQQIGLAPRDAAVVMLSGQLWHIGGSVLVGFSFSSVFGGCLLCTILGTDSYLVRTVGYSFFAAVFNIGWAATQVSHMSMVNCMTLNPTSRVALASCRNAFTMVANLGLYGIALAVFGAIKAKECSDIVIQYRWIAYLSIFIGCCFLVVFHVGTKEPTYVDTILLSRMEYLKSETNCKKKARISWGYWFKKTLYYQVALLYMLARLITNVSQSLIAFYVTRDLRMNEYSKAIPSYSAAASSCPSSCRSKSNTCTSKNSYKLFPLLTHLWNCLPATQEIKWNSRRLKSLLTVGATLWVISGVAVFVLPSQMHNLMYPLALVIGAANALVMVTTVGLESALVGEDLNGCAFVYGSLSFLDKISCGIALFVLESYEDTMSCGETRGLNTVSRYGTGLIPSCFAVLSLVVASTLRLQDATPSAAALEAPLLV >Sspon.01G0032220-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:110210733:110212015:1 gene:Sspon.01G0032220-3D transcript:Sspon.01G0032220-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALDESFKRPGTVPFKWEVQPGIPKQQHTAAAPAPGLPPATAPRLALPPAARVGPAAVAVAPAVHVRALRHVAGADALALHAAGTGGGGGGGRAGAAEFCLLYDENKREFSA >Sspon.07G0023210-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:13926993:13931875:1 gene:Sspon.07G0023210-1B transcript:Sspon.07G0023210-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPGFDDPQLPPLRLRASCGGAIASPRSCSSSDTFVSMRSTPSGMLNPCGGLWSPPRAPSEASSSEMEFGTARQYDTTDPFFTDNWLYDNHLFHSKPENDGSEGEDKFIVGPDVSLQRSEMRELGDGCGRGYVHRGRSADTDGCAEGNACSSPPCGCCYNEKLVRDSCSPVYGRYQIMEDHTEGLDECVAEAFRFRLNAFVDAGDLLVDFKKGEDDGLGLNALEKELQMLTPYLADADALENSGLENDLIGNDDLDVCMVTNEENADGKEFLKDSYCIHPFPGSAVPFDVYGVEDFKTADTDIQNSTTHKFQEDPKVDPVLSKFQQEYEVFDLKIFHRKNRTGFEENKEFPIVMDSVIAGRYRVTEYLGSAAFSKVVRAHDLRTGVDVCLKIITNDKDFFDQSLDEIKLLKFVNKYDPDDDHHILRLYDYFYYQEHLFIVTELLRANLYEFQKYNQESGDEVYFSLPRIQAIARQCLEALVYLHHLNIVHCDLKPENILLKSYSRCEIKVIDLGSSCFLSDNLNLYVQSRSYRSPEVILKIDIWSLGCILAELYTGEVLDKIGDITIDIYRWKCKTYAHQAITANTRMHCENRKDVRKFGNHGDGDVGPGDLSNQRDGQGRCHSLCLQTMAPSNVTQQES >Sspon.05G0030020-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:86173366:86178483:1 gene:Sspon.05G0030020-1B transcript:Sspon.05G0030020-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAVFSGEVVEVPAELVAAGSRTPSPKTRASELVARFLGTCPAAVSVRLAADLGHLAYSNANQALLRPRSFAAKDEVFCLFQGVLDNLGRLSQQYGLSKGANEVLLVIEAYKTLRDRAPYPASFMLSQLAGTYAFVLFDKSTNSLLVASDPEGKVPLFWGITADGCVAFSDDIDMLKGSCGKSLAPFPQGCFYSNALGGLKCYENPKNKVTAVPANEEEICGATFQVNKCGRIYRPHSAALVDAGRVIRSPSVSGRGRGQCNKTLYMVV >Sspon.08G0008870-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:34019852:34028114:1 gene:Sspon.08G0008870-1T transcript:Sspon.08G0008870-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGPYALRLSPPPRLHAMLFPQLRPHLRCRVSARVAAGGTSWSPAAGDSEDGVGGWWFPEYEKPMKQGRRRIGFGRAVVVGLGASVAIALAGLAWRFPSSRKRIQQLIVAPLHYVQEKMSTMESTETIKEDASDREYDEIEVSSAAHDKKAEAITDDSRQNCRTATASHFPFGVPTDPVHEEAFSILKKLQEKDAKDCSYYLSRFDLVNWKVLVEHPFALEIDQKMLSQNVCILDLRACPDVSPSMLIELMAGENSIISRVFGNTRRLQPDKPVTKAQAAAALTSGRMKEAIQEELNRIEAENQAHLSVIAEIMEELISRGDIQQQWEEKMKKEQERALEVDNNLQHVLHELANERTDREEKLADLLKERADLERQNQELINLRSEVDGMYDRLATENEEIMADQQTLENQLSDMTSKHQSVNEAKSYLEAEKEALTMLRTWVEDEAARVHERAETLEKALRRWRIPED >Sspon.03G0002690-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:6484257:6487324:1 gene:Sspon.03G0002690-1A transcript:Sspon.03G0002690-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSAGEEDREARSEAAFTDSASADGSSSSSDAASTDEWPPAARNSDTDVARQQHKHKRRAPSEMEMMEERFAKLLLGEDMSGSGKGVCTALAISNAITNLCATIFGQLWRLEPLPPEKKAMWRREMDWLLCVSDHIVELVPTWQSFPDGTRLEIMTTRPRSDLYINLPALQKLDHMLLEILESFRDPEFWYVEQGIAAPDCDGSASFRTAFHRRDEKWWLPVPRVPPGGLHNKTRKQLQHKRDCANQILKAAMAINSNALAEMEVPESYLDSLPKNGRTTLGDIIYRYITSDQFSPECLLDCLDLSTEYQALEIANRVEASVYVWRRRVAAKPVNGLGRSSSARSSWGMVKDMMVDSEKRELLAERAEGLLICLKQRFPGLTQTSLDMSKIQYNKDVGKSILESYSRVLESLASNIVTRIDDLLNIDELNRHAEHFTATGDADCKIACRQTAVPSFPVPASGTPFMTAYATPSFSPAQLASPPKKERTSLTPGRRSQHSRGAGTKKGLMDHVSTEIKGMIISSSMMIDVSTTTEL >Sspon.01G0037630-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1B:24175613:24176171:1 gene:Sspon.01G0037630-1B transcript:Sspon.01G0037630-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding CTRGPPDCSLALSSRPRFLPLLLRYSALRAIHSARIISQLRGRKVLIRPA >Sspon.04G0034540-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:79166640:79173595:1 gene:Sspon.04G0034540-2D transcript:Sspon.04G0034540-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTVSRHYFGGSASERHHDLRVDIIENIEEDYGMFVWPCSVILAEYVWQQRSRFSASRVVELGAGTSLPGLVAAKVGADVTLTDIAQNTEVSGLTWGDWDETLFDLRPDIILGADVLYDSANFDDLFATVTFLLENSSGAVFITTYHNRSGHHLIEFLMVKWGLKCLKLLDGFSFLPPCKAASLQGNIQLVEIAKESWFSFADPPRPGHSVTAYGYRCSGGDIRALRENMIVTESGRLVIHTDVIDSFHMIALKNCRHVVAVVVLLVAEEVDVADVVADDGECFAKRKHAPD >Sspon.01G0031220-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:107120156:107123966:1 gene:Sspon.01G0031220-2B transcript:Sspon.01G0031220-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGVVAEPKTKYDRQLRIWGDQGQAALEKASICLLNCGPTGTEALKNLVLGGIGSVTVVDGSKLNDAVKAKFVEEFPVHLIDSNPSFFSQFTVVIATQLPESSLLKLDDICRKADIVLIAARSYGLTGLVRVSVKEHCVIESKPDHFLDDLRLHNPWTELKQFAKSIDINDKDPVVHKHTPYIVILVRLAEKWADAHDGNMPSTRQEKKEFK >Sspon.07G0028960-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:75253820:75269494:1 gene:Sspon.07G0028960-3D transcript:Sspon.07G0028960-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLPGDLSYADTQQPLWDSFGRLVQPLASARPWMVTEGNHEAEALPLPVLEELISPFVAYNARWRMPFDHEDSGGGSTSNLYYSFDAAGGAAHGVMLGSYAAFGEGSEQHRWLARDLARVDRRRTPWLLVLLHAPWYNTNQAHQGEGERMRAAMERLLYEARVDVVFAGHVHAYERFTRIYDNEADSRGPMYITIGDGGNREGLALKFIKDHKSAHLSEFREASFGHGRLRIVNETTAVWTWHRNDDAFATVRDESKDDLQCSPTSTPSRKTNRPSRPYINMGTPKPATPIAHARSVWRRCKLTSTPTPRVAMAVADTSCSVHPEGGKGVVETVARIGSKLAKEEGGETVVVESLRELQGVHITFEALEATKIGRAVHALRKSAPSEEARQLAAALYKGWKALANERFRSSRAPPQQPGIEKGPSTPPPPPVNAKQSARPEDELETIAPTSVVVEAAPEAKLHLHEGFDSAATILRKPKNLRLINTNAPGKTEHRRVMVVRRTAAAPAPAPASNAARRNGGGSKSNQQAYCPATASNLGTNAAAKRVSQTGAAAAPLTTAKPPTAPPKPVGSSGACKRKAEAPAVFDEARLARARIRLHEGYKEASAVKEKRKIKEVKVIAAPGKARQRPAHNAGIGREDHLQDAFHAELLSCETRLKVAAAMGIAEIIMETDAAIVKEAMEGDEYRLSAMGGVITDLKQSLVTEFAGFSVRGVPWSC >Sspon.02G0031010-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:113492800:113494519:-1 gene:Sspon.02G0031010-1A transcript:Sspon.02G0031010-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AAMASPPPDVDLGKLSYEIFSLLESKFLFGAGSVPGTPGRAAPAPSQGNGEDRGRVRVLAIDGCGPGPGDALLAAAALARLEAALRARAGDPDARVADFFDAAAGAGAGGVLAAMLFLKGDDGRARYTAADALAFVAASLGRHGGGWGGSGGAGNGAGVGERLRSRWAALFRRGERSSRSSAPLRRVLGDATLRDTVAPLLVPCYDLATAAPFLFSRADAVESDSFDFRLRDVCAATCAAGSAAAAVRSVDGRTAIAAASGGVAAMGNPTAAAITHVLHNKHEFPLAAGVDDLLVVSIGSGSSAGAAAAASATPSAGGWRTPIPPLSPSPAEMVRLTAEGVAVQVDQAVAMAFGHTCGRNYVRIQVGGQRELPHTLLFLTFYRHDIDLLVALHVNNATAAATPMIQAASPAACSGKALRSLDAKKAVAAADGMLTQRNVEAELFRGRRLSEKSNREKLDAFAAELVKEQERRARSPGLPTVVIKQAPTATPRPSSATTASSATATGRTASTMPSPASQGSYQ >Sspon.05G0025250-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:22419039:22419900:1 gene:Sspon.05G0025250-1B transcript:Sspon.05G0025250-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQKIVIKVSMPCERSRSKAMTLAARADGMVVTHQCSANSGALREMCAGVISMAITGDAREKLEVVGDGVDPVRLVSCLRRKVGHAEILQVEEVKDKKPEEKKKPEEPKPPQPVAVHPLPHCYPGGYHYYRHPPPPVVVCEEPSGCPIILYVKHARAGALWHDPIRDRIVIGSSLAGTVKKED >Sspon.01G0013030-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:35163665:35175064:-1 gene:Sspon.01G0013030-3C transcript:Sspon.01G0013030-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLKLNGSNEIKWYRPLKLKQLLHLKSCYLDAKLIIGNSEVGVETKFKNAQYKVMISVTHIPELNTLDVKEDGIHIGSAVRLAQLQNFLKKVIAERDLPETSSCQAIVRQLKWFAGTQIRNVASVGGNICTASPISDLNPLWIAVGAKFQIIDVNSNVRTTLAKDFFLGYRKVDIKPEEILLSIILPWTRPFEYIKEFKQAHRREDDIALVNAGMRVYLQESEGNWIISDVSIVFGGVAAVPVSASRTENFLNGKKWDSGLLDDTFNLLKEDISLSENAPGGMIEFRRSLTLSFFFKFFLSVTHEMKVKGLLEDGLHADHLSAVQPYSRPVTVGTQSYELVRQGTSVGQPMVHMSAMLQVTGEAEYTDDTPTPPNTLHAALVLSKKAHARILSIDDSLAKSSPGFAGLFLSKDIPGVNHTGPVIHDEEFFASDIVTCVGQIIGIVVADTHDNAKTAANKVHIEYCELPAILSIEEAVKNGSFHPNTKKCLVKGDVEQCFLSGACDRIVSGQVHVGGQEHFYMEPQCTLVWPVDSGNEIHMVSSTQAPQKHQKYVASILGLPQSKVVCKTKRIGGGFGGKETRSAIFAAAASVPSFCLRRPVKLVLDRDVDMISTGQRHSFLGKYKVGFTNEGKILALDLEIYNNGGHSLDLSLAVLERAMFHSENVYDIANIRINGQVCLTNFPSNTAFRGFGGPQGLLIAENWIHHIATELQRSPEEIKELNFHNDGVVLHYGQLLQNCTIGPVWDQLKASCNFVEARKAVNSFNSNNRWRKRGIAMIPTQFGISFTTKFMNQFYNTEDKETTKLLDVAYSRKRRSSWDCRLIEELI >Sspon.02G0046640-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:1771448:1771672:1 gene:Sspon.02G0046640-2D transcript:Sspon.02G0046640-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMPGIVTVAAVVGGAVSAYFLWPTAAVPAAAAATMKAPGAMGFLISRAAFLANPQLYFQILRTAGAAAAAAAFA >Sspon.02G0036030-3D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2D:17474136:17475137:-1 gene:Sspon.02G0036030-3D transcript:Sspon.02G0036030-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGLPDADAAPLAAAPPQKRDEWSESGIMRLLEAYEAKWLLRNRAKLKWSDWVDIAREVSVHCADDAAAAGKPPGGGGGNSAKTPNQCKNKIESMKKRYRAESAAVARAGPAAAGPSWRFFARMDGLLKGPPVCSAQVQQPELINSIDLRAPAKPEAAEVEADFATQLQAAVPGAFSDLMNIDTNGAVPDKAEKVDNSMQKEVRPADSDANVSSPRSKVANEDVEEVNNVWDRTKKRKSTDFDIAKSIELLASSFLKIEHARLEMYRETERMRVEAEIKKGEMELKRTEIMAKTHLQIARLFAKKLKQSSGKNGGSSSVTAEVDTLTKKGENG >Sspon.07G0006670-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:12559825:12562217:1 gene:Sspon.07G0006670-3C transcript:Sspon.07G0006670-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTECSDLGEEFWLPEEFLDDDFFSEEEKAAVAARSESDEEDSLAGLSRRLEGLLGDDGGRKPPTAAKQAEVTVGSPQSTLCGLPKSGQESPNGGASKGTSPPSSPLEQKPADPWELLYEAAGQVARMRAASSMPVQANNAYGLNAQSGFAPPARKPSPPPIAPPSAKVPAAGAHYHPFAHLVSQRQMQAAQFHLLKQQQLLKLQRERQQLAAAAAWSARQGACAKPVGCGVGRGDAPLGLNPAAWPPLQKPQQHAPAHPASGMRAVFLTPPGAKRERNGTGVFLPRPAGAPAEPKRKTGCSTVLVPARVVQALNLNLEDLGAQPRYPGGFVLDHDALISRSNAMLASQKRRAPAPVAAPALCHSS >Sspon.03G0019900-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:61871609:61875981:-1 gene:Sspon.03G0019900-1A transcript:Sspon.03G0019900-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADASPRTETSTDDTDDNHGLEPGPGALGVASDSSDRSKDKHEDQKTLRRLAQNREAARKSRLRKKAYVQQLENSRLKLTQLEQELQRARQQGIFISSSVDQSHSMSGNGALAFDMEYARWLEEHNRQISELRAGVSAHASDTDLRSVVDKIMSHYDEIFRLKGNAAKADVFHVLSGMWKTPAERCFLWLGGFRPSEVLKLLSTQLEPLTEQQLSGIGNLQQSSQQAEDALSQGMEALQQSLAETLAGSLSSSGSTGNVANYMGQMAMAMGKLGTLENFLRQADNLRLQTLQQMQRILTTRQSARALLVISDYSSRLRALSSLWLARPKE >Sspon.07G0000510-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:723813:724732:-1 gene:Sspon.07G0000510-1T transcript:Sspon.07G0000510-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHAVPSRCSNCGSYGHGSIACRIATADHQRGSEAAAAGLRLFGVQLHAASTSGGGAAAPPAPASQLHRSYSVDCCLSLQGGWPLPVSPSPSGQLLSIDECSAQRAGDGGCYLSDDGGRGSAALRERKKGVPWSEEEHRLFLVGLEKLGRGDWRGISRGFVTTRTPTQVASHAQKFFLRQNSAGAAKKSSKRRSSLFDMVQDCESGSRHVVLVVSNPSGCRAAGPSDSLSPKASVSEMDKEQAHGQYHCSPLNLELGMSLSSSSTPSIET >Sspon.02G0017510-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:50808355:50809873:-1 gene:Sspon.02G0017510-1A transcript:Sspon.02G0017510-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Meiotic nuclear division protein 1 homolog [Source:Projected from Arabidopsis thaliana (AT4G29170) UniProtKB/Swiss-Prot;Acc:Q8GYD2] LKELEKMGPKKGVISQSVKDVVQSLVDDDLVLKDKIGTSLRNTYNKLESDLSNSRKRYMELVEHRDNLKRGREDSEEREAALEELKAVELHHKKLKRMLLMLLIQQLTGGQ >Sspon.06G0008220-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:43278256:43279418:1 gene:Sspon.06G0008220-1A transcript:Sspon.06G0008220-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAPCCDKATVKKGPWSPEEDAMLKNYIEEQGTGGNWIALPHKIGLKRCGKSCRLRWLNYLRPNIKHGDFTPEEDSIICSLYISIGSRWSIIAAQLPGRTDNDVKNYWNTKLKKRLLGRRKDHHRQSAAVATEASTSTGDNVNDGEPAALSASAMERIQLCMQLQNPLLGAHHNPLMWPGCSSRASTQSNNSFNSNNSSVTIAEQGQSSSSLREQHLMMNAQLEGAATIDNGLASPSSAENSNMISMEAELEELLYGADDNRQGNTAGMVDGGVQQVDMDWWSYDQAAGKSPVGCWDFTPETNTVFLDYASVYDI >Sspon.01G0020090-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:74529793:74534115:1 gene:Sspon.01G0020090-1A transcript:Sspon.01G0020090-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIGSSNIGFQLLKKSGWKEGTGLGAQEQGRLEPVETRVKNNKRGLEPKPKPKVEDDVETNPQKPKDVPSKKRAKLAAKRIRKMQEEEKRLKEKEFEMAFFREFWPDNVLALSTATKPGRSESQ >Sspon.07G0037580-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:56474212:56478636:1 gene:Sspon.07G0037580-1D transcript:Sspon.07G0037580-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKANMGTKARPPSADAEKGEIGEIDTRAPFESVKAAVSLFGEVRFSSDKSAARKPKAPQAERVLAKETELHLAQKELNKYKEQLSNAETTRVQALSELEKAKRTVEELTTKLDVINKSKQLAIQATEDAKTRTKQLEGGSTNEGLGTDGPLKQELESAREQYAVALADLDAAKQELRKLKKDFETSLDMRLSAAQQEEESLHTTEANKEKANQLRSEIAEIQESLMHVKAATVQAHEEEAQILAEKDVARTTYKQALEETQKKLSSLRNDFDPAAYDSLKEKLEQTNSEIASMQKKIEDARARDLESVAVVSTELDDAKEMLQKVAEEESSLRSLVESLKVELEAVKQEHNQLKEKDTETESIVGDLHVKLQKCKSELEAAVAAESKATSASDDLMLALQQLSSESKNALQEAEMMQKSAAELREEAEKARVELAEAEQKLQLALKEAEEAKAAEASALDQIKQLSDRASAARASTSESGANITISKEEFESISRKVEESEKLSEMKVAAAMAQVEAIRASENEAIKKLEAARKEMEEMELATEEALKRAEMAEAAKKAVEGELKRWREKEQKKTAEAQPSAGAEELGSASPPVPQTSAGKASEKNDGQHQRNSRTLLRRSFMLPNITSMFHKKKSHPGSSSPSYLPGEKSV >Sspon.03G0025870-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:972315:973126:-1 gene:Sspon.03G0025870-1B transcript:Sspon.03G0025870-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLSLEALGRRNVAGLLLLLNLALYVSMMGFASWALNSFVDDIGDQEYYPPADDACMCTGTLAGAAPRSAGDEATLHFIQFALLAAVLGSAAKAAAAFHARASWRPQGLAAAAALGTVAWAATALALGLACKEMRATAAAARGWQMRALEAITAVLAVTQLAYVLMLHRAAAADDSDAYTAVGDQCEPGCSTATEGDDDDDCQHAQHQQQQHQQHGRHHHHRQGGGPACSVM >Sspon.07G0006230-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:16439586:16440836:1 gene:Sspon.07G0006230-1A transcript:Sspon.07G0006230-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGAKVPKKAAAGRRGGGGPKKKPVSRSVKAGLQFPVGRIGRYLKQGRYAQRIGTGAPVYLAAVLEYLAAEVLELAGNAARDNKKNRIIPRHVLLAIRNDEELGKLLAGVTIAHGGVLPNINPVLLPKKTAAAAAKEGKEKKSPKKAAAKSPKKAAAA >Sspon.01G0033570-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:111023332:111026735:-1 gene:Sspon.01G0033570-1P transcript:Sspon.01G0033570-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LLSEEIFDFSRGEMTQQKIKELKSSLNSEFRLIHELCLYVLSATQRPELIRATLATLHAFLSWIPVGFIFESPLLETLLKFFPMAAYRNLTLQCLTEVAALQFGDFYNVQYVKMYTFFMIQLQAILPPEKIPDAYANGSTEEQAFIQNLALFFTSFFKNHMRILEITQENAAALLMGLEYLIGISYVDDTEVFKVCLDYWNVFVLELFEAHNQMEPAATAQMVPGMVDGTGTAVQQRRQLYSGPLSKLRMLMICRMAKPEEVLIVEDENGNIVRETMKDNDVLVQYKIMRETLIYLSHLDHEDTEQQMLKKLSKQLNGEDWSWNNLNTLCWAIGSISGSMVEEQENRFLVMVIRDLLNLCEITKGKDNKAVIASNIMYVVGQYPRFLRAHWKFLKTVVNKLFEFMHEMHPGVQ >Sspon.08G0026180-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:17670508:17673931:1 gene:Sspon.08G0026180-1C transcript:Sspon.08G0026180-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDSALAYIKGQFSWKKDQEKDLERLDTILTEILTIVDVIEKREIKDGNQRRLLSKLKDAIYSAVDVLDSFQYLVLKSKVDSQSAVSRVTSSCIYLGKRLVGTDKFRWKLADILEKLDEVKTTADTLLKVVNFDNATAKLLPVTRLRVTSPLKENHHIYGRREELDKLREMLFEISDSNTPGPNVSHWKKVLESDLSDDVMKVLRLSYQHLPVQLKLCFSFCSLFPKNWRFDPKRLTEMWIAQGFVQKEDSYDSDLNIEDVAKGYFDELVQRSFFERSLLDLPTEYVMHDLINDLARNVSKDEYIRLENEKQKEIPPNIRHLSTSANLLGNMKKAELRNLRTLIVWRKTWPCLELSLPDDVFKKSKSIRVLDLSGCCLEKLPTSVKVLKHLRYFAFRVPERPWQTSLLRLYHLEVLVTRGHSCRYAECVNLPANMKRNLLKLRKAFLFNVGGGTISGFGGQTLLHGHGEFHVRKESGYRLGELKEIKNIRGQFKIRSLENVEHQQEAVNACLDCKEHIEYLELEWSILARALTSDLDYDVISALRPHPDLERLKIIGYRGTRSPSWQIGQEFYGNGDIKGFPMLEDIIFDGMLSWEGWSGIEDGSLLPCLERLHIEKCPKLQQMPAISATPRVEVEIASRPPPIPCLIDSLIATTSQLIFLVSSYSFLSDLNGEQLSHVAELRLKYCLDPMPAGGFQQLSSLEVFRISDCLTLFSSNCTEDQDTNFLPPSLCQLEIAKSNVQSSLLQRYLQGLTCLSTLVLDSCNSMISLSFDYGPHLLPALETINIRYCDDLASLDGFRNLGALRELIVANCYNFCSLPADLNTVGSLNKLVICQCPLMRFLPQDGLPASMQTILLSNCSPDLDSELQRKEGAEWEKIVHIPVKKLE >Sspon.07G0031630-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:25226993:25235231:-1 gene:Sspon.07G0031630-1C transcript:Sspon.07G0031630-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPNEAANITTPSEPESVGGSEMSSEDTTAARPLLSSSSPSPSAASAAPVLESIEELDRRYAPYARRDAYGPMGLGPVSAAEAARLAFAAVVLVPLRVVAGVLVLVVYYLVCRVCTLRVEEEREGGEGDGYARLDGWRRAGVVRCGRALARAMLFVFGFYWIREYDCRFPNAEDDDVDQSKEIERPGAIVSNHVSYVDILYHMSASFPSFVAKRSVARLPLVGLISGSLKHQISKVFQARHVFLLLCQFVNYLEVVHLPVYYPSEQEKDDPKLYANNVRKLMAVEGNLILSDLGLAEKRVYHDALNGNIEWFACNCVMCIIMIERIVVSKLFWIKFGNESC >Sspon.06G0008290-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:32390749:32398567:-1 gene:Sspon.06G0008290-4D transcript:Sspon.06G0008290-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRAAERRAKWRALGHGEYTEIPEKEFFAAAKASERLVCHFYRDNWPCKVVDKHLSILAKQHVETRFVKVHAEKAPFLTEKLRIVVLPTLAIVKNAKVEDYVVGFDELGGKDDFSTEDLEERLAKSQVIFLDGEGSAYAAKQAAATKRSVRQSDTGNSSDSE >Sspon.02G0011890-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:3069730:3073946:1 gene:Sspon.02G0011890-2P transcript:Sspon.02G0011890-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVSHAEDIEISLCDGNSEDERRRRKIGSLRRKAIHALKKRGRRRVDFRFPPAAISIEDVRDAEEERAVASFRDRLAAHGLLPEKHDDYHMMLRFLKARKFEAEKAMQMWSEMLKWRKEFGTDTILEDFVFEELDDVLRYYPQGYHGVDREGRPVYIERLGKVDPNKLMQITSVDRYIKYHVQEFERAFRERFPACTLAAKRHIDSTTTILDVQGVGFKNFSKTARELVHRMQKIDSDYYPETLHQMFVVNAGSGFKWIWNSVKGFLDPKTSSKIHVLGSNYQSRLLELIHNLEAGCVREIKPVSEGDERSSSSFRLEQMKWQGMLSDTSNAESGSDVDDFGPSFVHKVSDYGCLTPVHEEVKGTDCATYLSCDDQSHPDVAPESYHRVRRITEMVQKPMADFRQYSTNRRPRDLALIKLFSFIRLFISRAERRLENVNLPAPPATPAAEKPKPRVVSDEEVCACLQRLDNLESLYNHLATKPPQIPEDKELILLSSFERIRSVEADLERTKRTLNATVAKQKALVETLESVQESSRVKKRMFCS >Sspon.03G0023540-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:72083011:72086324:-1 gene:Sspon.03G0023540-1A transcript:Sspon.03G0023540-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RVAAEALLAVPQPEASTAAGPRRRGAAAGAQAQAEAAVGGGGGGAAVAAPRGGLPPWARPLVGAARRLPRRRQDRRRAVRARPPARRQVLSGSDLKKVALFGCPTVERRTVCSSCKLRSSCKFVNQEVARHNKVILSDTMR >Sspon.02G0041540-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:76847416:76848553:1 gene:Sspon.02G0041540-1B transcript:Sspon.02G0041540-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPFLLLLPLLLATATATATAKTTAVSSVTAAAESTRDDGGGGPPAPSSPVPPVTPPWPEQFHAVVITNLSARGGGLQLIDLYYDWPRGRDLNIVRDQLSSEPQWNVEWTNGTAFLFDAASCATFQFAVGLLPPDWKAHGAAYLGRDRVDGFDCHVWSNFVFARYYEDVATGRPVSWTFNGMQRHVLSFEAGAVLQDDSKWQAPAYCFTDTNGSSASAAASSS >Sspon.07G0011480-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:40251401:40258978:-1 gene:Sspon.07G0011480-1A transcript:Sspon.07G0011480-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRLDGSARLVLVSDLDQTMIDHQDRENLSLLRFEALWEAEFSQDSLLVFSTGRTPISYKGLRKDNKPLITPDITIMSVGTVIAYGEEMIRDVGWEEYLNNNWDRTIVVEETAGFPQLKPQACPPERNQGPHKVSFFVDKQGAQEVMDYLPQKLEKRGLDVKIVYSSGEALDVLPQGAGKGQALMYLLNKLNSYEKPPKNTLVCGDSGNDAELFSVPSVHGVMVCNAQEELLQWYEENARDNPKIIHATERCAAGIMQAIGHFKLGPNISARDLEFPYLKADTAKPADVVVKFYVLYEKWRRGDLPNSSSVMQYLKSITHLNGTIIHPSGSERSLHASIDALSSCYGDKQGNKFRPETPEGLELIHIHKTWVEAHSAGSEHTFILEPNSRNTQHSLPAILARASMEKLDASARLMIVSDLDQTMVDHDDPEDLSLLRFEALWEAEFSHDSLLIFSTGRSPISYNDLRKNKPLITPDITIMSVGTVIAYGADMVRDADWEEHLSSNWDRDIIVEEAAKFPQLKPEADAELNPLYGYSQRRIRVPISEQIHVKIIFSYGVLIDVVPQSAGKGQALQYLLNKFTSQGRAPSNILVCGDSGNDAELFSVPSVHGVMVSNAQEELLQWRKENAMYNPKIIHSTKRCAAGIMQAIGNFKLGPNVSARDLELPHPKLGIIKPADVVVKFYIIYEKWRRGELQKSSSHLNGTIIRPCGLEHSLHASIDALRSCYGDKKGKKFRAWVDRLVTSPMAMGTSNWLIKFDYWEMEGDARYCCRTTLLLNLKRGTIQGVIQKTT >Sspon.08G0000410-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:216771:224291:1 gene:Sspon.08G0000410-3C transcript:Sspon.08G0000410-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCALEVIDARLQSTSHIQCSRSFDQNIGRRFSKGHLIQKPVAVCPENSDTSSATPPLGHDSDWKQAPFLSDTQEVNKVISLSSGALGTECLELLSAQPRILCCLGPPNERNMHDQVDSSKPVSNGNTHGGSTLRESRQRKNVRNSSMAVPPCHVVAKYSRPVATTLSLRSDSDILHNDDKLSKRSSRKRGKQCKRTIRKRLNLASETTFEESTFGASPVEVVPTNLLVDKLSETTPSASSLVKNDGQYNKDYVECGIMLNLATLGTDEMDGSGCAGSSYNVAGGRLSSSCAPYLNDESNVIDSSEFDGSTFTEHGLGEESNSYQKLTCAHVYNPSHATTDSIFRWNNDNSGNYSVDVEATIKEENGHDHSKPGASTGLSNMRAECQLIGSHLSATHAEDTNDHLGIRSYSKDVTDSCSNTERVQCSSQACSSKASLQFSSGRRNIKSSKTPSYIDLTVSNRVRGSNRHKNNGKDSSAVWQKVERNDKMISKAGHLSNSPIHDKGAREVGKKGVLEDPTRILVKCNQNRKMCKQDSSNGTVELEPTKEEDALNSSHTFSGPVYKKQTPFLRQHRSSSSKQGSQSLKNYYAPRIGIPKAPKDYLQQEELPMLVLVHAKNTSDRSTSYSSSADEVGLTGVGSDYPTEANEGSQPGIEIAASVSCNLVPDLAPQAPSDDSHVSDPHSLCPENKGVSTSRSSKNLCIDPFAAETKEARCVKLLTENNSQECCKWYSGAGHLSQKWVPVGKKETCSGIYLDVSANGEDSNLPSERTYKLNSSEHVDLKFQADNASKTDYSKMKEAISYVYTAQQQVEDIQLRIGRPIADLENFVYSASPIVHCSPCPAGCKSYLQECVKDGLCLHQTPDITLRTVCQWYEEPSCYGLDVKAQDIRRSKGMWNSHCQFTTYFVPYLSAVQLFSQPKRISDGSIDKESIHRDVTCETSPDLNLPPIFAKLFPKQSNPVNKSSTPCTEDDQQPADGELVFEFFESEQPYSRQQLFDKVNELIAGVKPSKCQISGDPKNLEVSLHDLHPASWFCVAWYPIYRIPDGKFQAAFLTYHSLGHWIHQSSSADGAAVLPVIGLQSYNAKAEWWFEMSKSDSEGAESAEPQSSEASQILKERLRTLNESAVLMSRASVLKNGQMSRNSHPDFEFFLSRS >Sspon.02G0036490-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:25146333:25149568:-1 gene:Sspon.02G0036490-1B transcript:Sspon.02G0036490-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTICDVCESAPAVLFCAADEAALCRPCDEKVHMCNKLASRHVRVGLADPNKLARCDICENSPAFFYCEIDGTSLCLSCDMTVHVGGKRTHGRYLLLRQRVEFPGDKPGHMDDVPMEIKDPENQRDQKTVPKEQMANHHNGDDPASDGNCDDQGNIDSKMIDLNMRPVRTHGQGSNSQTQGVDLSVNHHDSPGVVPTSNSERDAN >Sspon.03G0024390-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:74215325:74220278:1 gene:Sspon.03G0024390-1A transcript:Sspon.03G0024390-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding EMLRSTFSNRGSGWSWWRCLCTASDASVKPDDAQSEVVRMLEGVMDIQVPPVPRSLQNYVGMEDSTSANFYFSQFYLFVADVYSYGIMLLEIISGRRNSEKIKTGKFTYFPSYVAVKLNEGDDVTCLLDSNLERNADAEQLEREKHILHWASTRRRVSPKMVDTSSLRFLYSSSFALLLPYLISLAFPCCKLTYALDTLLPGQFLNANQSLISKNGAFKLGYDCSIPQVDYCGLGIWFAKPSSCNREYFPLWQPDRYGYYPIGYKPASFILSVSENGVLNYTIGGYDISFTPDTRTTSISAIAMLLDNGNLVIRDRVNSSMVIWESFDYPTNVLLSGGHLGFNKINGNNITLISSSISFGVWFTFTLSLDATRRRGFFISQDPNGWMFAGTFPEWMDIHKDGDYALTFNYPNTYIRLDESGFLRFGNQGGCESILWSYRENLCELDSYCGPYGLCKLGGHCICPFGFDPPSTNEWIAEGCLRMTPLDCGNAEVSFYPIDGIHRYPREAFTLEVTSISECESACLRDCSCTAFAYNGSCLLWLWELRNTIVLDSVNGNRLYITIATKQQSGSRTVPWNGSSKQRTVPWKRVVLESMIGVIAVIVISLILLLRCRRKLFKARTMGGSGSLMVFSFVQIKNSTKKFSEKLGEGGFGCVFKGMLPSCTVVAIKKLKGLGQEDKQFRAEVQTIGMIQHINIVRLLGFCAEDSGRFLVYEYMANGSLSNHLFSKSSSKLIWELRYSIALGIARGLAYLHEGCKDCIVHCDVKPDNVLLDAEFCPKIADFGMAKLLGRDFSRVLTTMRGTIGYLAPEWISGVPITHKADVYSYGMILLEIISGRRNSEKIKEGRFTYFPIYAAVKVNEGDVMCLLDSGLEGNADAEQLERACRVACWCIQDAEDHRPMMGQVVHMLEGVMDVQVPPVPRSLQNYVGMEDSASVDFHISDEV >Sspon.07G0034010-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:68110224:68111868:-1 gene:Sspon.07G0034010-1C transcript:Sspon.07G0034010-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SMGTHDFDGSTLDNKTTSLCDQFSTQLSLSSSNDGEEIATSSCPDKECPLAVLSLSLKSFKQDATEEDAVKWSLEENSFPFILSDSKWGDSSYASSLSKQSSTISTPSTPFTVQSDSQSEDLDRTDIWVSSLDLEAEDSALLPDKEQFQDALGFDFPSPSFNAIRSLQFGPSSYSTGILQRKETKDSDEPIFWPFERTCYNSPEFDKFLSVSPRRNTMDLGYAEVRHLNPVLQRLRKSTLSSVKKCIEPCQGTRNSGAQGSMASSQEKIQKTPAVPSRLSRTTKAPAPSGHHQKRRPPHLKLGGLRKVSSPQLQADHSTKTNEASDVQKLADKKSRIEELIGLDEFDGHEGMGSDSVIDSDGNNPGKLALP >Sspon.02G0002420-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:7709860:7711693:-1 gene:Sspon.02G0002420-3C transcript:Sspon.02G0002420-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G59440) UniProtKB/TrEMBL;Acc:F4KJ62] MRVIVKSLGVTVLACNLCYRVGKAAAAAAPPSPLGLLAQRRRAFQGVRMETAGSKGGRGALVVLEGLDRSGKSSQCARLLSFLKGKGYDAEGWRFPDRATSVGQMISAYLANESQLDDRTIHLLFSANRWEKRALMESKLLSGTTLIVDRYSYSGVAFSAAKGLDIEWCKAPENGLIAPDLVIYLDVQPEKAAERGGYGGERYEKIEFQKKVAEHYHSLRDSTWKVVDGSLPMETVEEKLRDLATSCIQECQGNPLTNLAW >Sspon.08G0009100-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:35015352:35019589:1 gene:Sspon.08G0009100-1P transcript:Sspon.08G0009100-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRGTRMHSLLGVLLLLALAYLNWLPGRGPSSAGGPGGFKLPVPWLQPTMSFVGRAGTHFVDAVTGAPIYVNGWNSYWLLSARSPALSAEMLRRGRRMGLTRRWPGALQISPGRFSEPVFQMLDYVIYEARRNHVRLILCLVNNLDNFGGKAQYVQWAQAAGANVTNSTDSFFSHPTIKGYYMEYVKAILTRRNSYSGIKYCDEPAIFAWELMNEPRCVSNSSGPHIQAWIEEMAAYIKSLDTKHLITVGIEGFYGPGRGERLGVNPGDWAASLCSDFIQNSAVKDIDFASVHAYPDSWLPKASMEEKVKYLSVWVDSHLNDSEYVLRKPVLFSEVGYLQHAEANSTVDGDTLLKVIYDKLYNSAKKLQAGGGALIWQLMVEGMQMYHDNFSMVAWDRPSTYNLIKEQSCRLQSLYGKEGDPSWQCSLPP >Sspon.03G0028690-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:13903961:13907361:-1 gene:Sspon.03G0028690-2C transcript:Sspon.03G0028690-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPRPPAGIDPRSGFCAATRTFHSLRTSAELPPDSLPATAAAYAFSILSSPLPDRPALVDAATGIAVSYPSFLAAVRSLAGGLWSVLGLRPGDVALVVSPSRIDVPVIDFALMSIGALVSPANPASTAEEYAHMVALSRPVVALAAPEVAAKLPRNLRCVVIGSDDYKGLSSAGGAAPPPPVAVKQSDTAAVLYSSGTTGRVKAAAVSHRNLITVLCAHRDNRAIVDKEAAEAGEEPLPPTVTLFPLPLFHVFGFMMLLRSVAMGETAVLMDRFDFVAALRAIERYRVTLLPAAPPVLVAMIKSEEARRRDLSSLIVIGIGGAPLGREVAERFTAIFPNIELVQGYGLTETSGSVASTVGPDECKAYGSVGKLASHLQAKIVDPSTGEALGPGQRGELWVRGPVVMKGKRAFLILKQHGYVGDYEATAATVDSEGWLKTGDLCYFNEDGFLYIVDRLKELIKYKGYQVPPAELEHILNSHPDIIDAAVIPYPDEDVGQVPMAFIVRKPGSNLTEQQVMDFVAKQVAPYKKVRRVAFVSAIPKSPAGKILRRELVEQAVSMVTSKL >Sspon.02G0023180-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:81225217:81226300:-1 gene:Sspon.02G0023180-2C transcript:Sspon.02G0023180-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding METEVGGDKLKEATIPGRGKKRPPSPASSPSDDDCWEFGDDDFWEFSDEEQEEEEENDDEDVQALMRGDNTADTATSRAKKSESPVLKAMNKYMSDIAKIQARRNEYFKQRLAAKQQKEDAKREKIRIVQQLARECGVEETNRQMWFAVNQICNEENSMEFFIKTSTPKARLAIIEHYAK >Sspon.05G0032580-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5C:19857076:19858714:-1 gene:Sspon.05G0032580-1C transcript:Sspon.05G0032580-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSMLSATTVPLQLHRISEASDGFVMCPAGAAGLSEFSGLRSSASLPMRRNATSDDFISAVSFRTHAVGTSGGGSRRAPTEAKLKVAINGFGRIGRNFLRCWHGRGDASPLEVIAINDTGGVKQASHLLKYDSTLGIFDADVKPVGDNAISVDGKVIKVVSDRNPSNLPWGELGIDLVIEGTGVFVDREGAGKHIQAGAKKVLITAPGKGDIPTYVVGVNADQYNPDEPIISNASCTTNCLAPFVKVLDQKFGIIKGTMTTTHSYTGDQRLLDASHRDLRRARAAALNIVPTSTGAAKAVSLVLPNLKGKLNGIALRVPTPNVSVVDLVVQVSKKTLAEEVNQAFRDAAANELSGILEVCDVPLVSVDFRCSDVSSTIDASLTMVMGDDMVKVISWYDNEWGYSQRVVDLADICAIKWK >Sspon.02G0041530-1P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5B:37513302:37514709:1 gene:Sspon.02G0041530-1P transcript:Sspon.02G0041530-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding EPTPAAILKLKAGQGRGQGTAGHGKGVPAALAARFGSVAAAAVAPTDGSSQAAGEPTAVAAAASPPLGSLQASGLPAWWTSSSPLGSTERVCLLKQLKRSMLITG >Sspon.03G0016500-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3A:52204422:52205588:-1 gene:Sspon.03G0016500-1A transcript:Sspon.03G0016500-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCSKNEGAVAALPDDPLVEILSRVPAKSVCRFKCVSKAWRDLIADPHHRKKLPQAMQGLFFMVPEDLIRVSFSFIDLTARSVPLDIDPAFSFLTERPGFQNLGLLDSCNGLILFKNYEEPPYSDTLGYVVCNPTTKRWQAVPTCGSLDLTSCAYLAFDQAISSHFHLVQFQLSVPDEMLVSLHVYSSETGTWSRNQIDSQENQGPLAEWHLRAMLSRRDPECAFVNGFLHFIVGDSDQHQIVVVDVQGKARRVITVPGVADGRHRYCYLGQSQGCLHCMTQEMFDDHEDRYKLSIWVLQDYDTQWVLKDTVSSMEIFGHLTSNILHFIVGIHRDRNVVFFQSLRSNLIAYDMDHKEVSVIATFEVLKKPLRFAHYVPCFSESPALTN >Sspon.03G0012170-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:43841282:43845694:-1 gene:Sspon.03G0012170-2B transcript:Sspon.03G0012170-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:At4g32660 [Source:Projected from Arabidopsis thaliana (AT4G32660) UniProtKB/TrEMBL;Acc:A1L4Y9] MESSRSRKRTRQAYDCDAAPPPEREVICGVSGCADADLATPCTQVARGGVSPPWREDDRDGHYVFDLGENLTRRYKILSKMGEGLGFLQSVVSSSAGYLRYYSNEEDCELDFRSIRKYRDAAMIEIDVLNRLAENEKYRSLSLRSLDQAYMHELRLIHTDLKPENILLVSSEYIKVPSTKKNTQDEMHFKCLPKSSAIKLIDFGSTAFDNQDHNSIVSTRHYRAPEIILGGSIVSDTRESGTPSNDGEGFGTSTRAYDTESKCYLQNSIVISYENRMIELTNPEPYEPQLQDLVSRNADHSKAALVDLLYSLLRFEPSERLTAQEALDHPFFRNPT >Sspon.04G0020620-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4A:72520152:72521287:-1 gene:Sspon.04G0020620-1A transcript:Sspon.04G0020620-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNLGSLCGSRPNYDQFTVHHGARHHKLAVQITRGIRFIYGTIILGHGCLSVCARETNNQISLRKLVSPLRARPSQLHGAVRPSQSAVPLPPSRAAAHCGPPPPPSPAERRNPPREMHVSSVSSAFQTYVASVASGCFKSRSSVASSSSLSTASPRFLPLLPAPARHPPPLPLFSMLVAFRAVQTPRGH >Sspon.05G0005740-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:19871226:19872926:1 gene:Sspon.05G0005740-3D transcript:Sspon.05G0005740-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSPSPPKPSRPPGQPPEYKHFCRVCNKGFTCGSALGGHMRAHGASDVDGFGADDDSLGDEASARFLGADQWDTVGTSATHAYALRANPNRLIRSCQLCKNCGKEFTSWELFLQHGKCNSQDEGEEEVDGSGSLGSSSPPSDADGEEDPAVVAAWSKGKRSCRVRAEEPSTMVPPERRTLGEEEDLANCLVMLSSSKSNNEQATVTATDHQVPASVSTKEDDGEPQLQPQPISFFTQAQESVVALPSPVATVSQYISPASRGVFECKACKKVFTSHQALGGHRASHKKVKGCFAAKFESNTSEPARHSVAIDTYNGKAAAVDEGNAGASADATRVFATTGVETNVGTSTEATSSLSMALVPIGHNPSAATTTTLAIAAQCKKNAKMHECSVCHRLFTSGQALGGHKRCHWLTSNTADPCNAVAAGMIPPLTEDLVGVVKHQLSLRPMAAAPEPVLDLTIAANPVALAASTARPEDSSSSFRLDVAPVHLQPLVVAVPGNVSHPKKAAAMSCHATDAVAEEDEADSTTAKRAKISDLKDVVSMDGEPTEPWLQVGIGSSSAAGDDS >Sspon.07G0025950-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:49973764:49977664:-1 gene:Sspon.07G0025950-2C transcript:Sspon.07G0025950-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDASYRRAGAGSGSAPRSVDDIYKDYRARRSAILRALTHGTPAPAAPALPATHACEGSSSNPSPSSRFADVEEFYALCDPEKENLCLYGYANEAWEVALPAEEVPTELPEPALGINFARDGMNRRDWLALVAVHSDSWLVSVAFYFAARANRNDRKRLFGMMNDLPTVFEVVSGGVKQSKERDRSSTDNSGRNKLSAKQTSEPRLENNAREPDEGYDEDDGNHSETLCGTCGGIYSADEFWIGCDVCEKWYHGKCVKITPAKAESIKQYKCPSCCNTKRPRPL >Sspon.02G0056640-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2D:30274479:30275009:1 gene:Sspon.02G0056640-1D transcript:Sspon.02G0056640-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLGRVDEIVAEERAEAASATMVARHVQRAGGWPLPCQAHPPGRTASSLEPLRAGARSPHGAQDPQWHRVSGARRQRLTHSSEHAPKSDHHSPRHRGKHNVCDSDLTHSSLQHSAAATEGSASPARRKREDSGAARRKRVESGAARRRGPCPTHRLRHRAWEEDESERIGEKSSGR >Sspon.07G0010700-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:37485214:37488970:1 gene:Sspon.07G0010700-2B transcript:Sspon.07G0010700-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTVIYKHDDMSQERTVLFGDAVNMGGSNVEILTRIELDIAFASEKLLNLEMLVMEIARQATDFEPATLEDESISSETAENAFELDILYGILDAEVKELHNLISSLQADIKSIEHQDYEEESGGKVKARIDAAKLSLKQMQELIADIRNESAKFEKVIAFSHDKEGTIEAVGCDNGHLSYQTGTQTEDQHRNVLHMLEKSIASELDLEKKLSDSISVIEDLRLKLHHQEEEIYFLKESTETVSGRLFEAENASELLFGTSKELINRLNSMQFHVSALKGTEDDLKSKLEQSLTKLSFLENSPDKVEKESDKVGAGSPSLQDKIQELEKQLNESNLQLQFALASAETRQEEQNALQSELITMENTIKNIKDDVSRAESRAQNAEVRCMQLTVANIELNGELDALKSEKSDKANLLEKKLTESNTQLEHAKAAVDAIVEQQGMLKCTMSDMEHIIEDLKGKVSKAETRAESAESKCTLLTDTNLELSEELAFLRGRVESLENSLHEANHAKVSTAKDIGVRTKVITDLVRKLALERERFHIQIATLTKKNKMLVKKCKEDINGSIQMSKKATSNCTEFQSTQKAEEICPDSLPSQTVVEKPSDPIDNDEVKTQSPSQDVSTSEDDSTSDDIHETVRTTEPSLLNRKYTFVALLVLLAAVVVFLLHEDGSPA >Sspon.07G0002530-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:5295720:5296768:-1 gene:Sspon.07G0002530-4D transcript:Sspon.07G0002530-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable purine permease 4 [Source:Projected from Arabidopsis thaliana (AT1G30840) UniProtKB/Swiss-Prot;Acc:Q9SY29] MAISSARRRRIALLAANYVALLVGSVAASLLSRYYFAHGGQNRWIVTLVQSVGFPLLVPAVFTSGRPAAAPRPFLWFSRRSPRPRCSSRSARGTPARPPRGGGRSRYVLGYVVTLGAAGLFAAYLPVMELLYRRAVSGGFVLAVEVQVAMQAMATAVAAVGLVAAGGACDDVARWEGSTALYWVVVLTLVLTWQACFMGTAGVIYLTSSLHSGVCMAAVLTANVLGGVVVFGDPFGAEKAVATALCVWGLASYLYGEYTKKKEDDASPSAPEYESVGKSGGDVPA >Sspon.02G0004540-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:13774424:13776928:1 gene:Sspon.02G0004540-2B transcript:Sspon.02G0004540-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTAALPIEDHFVPPARQPSVPASVVEVKMTEKQRKDVDYHTSTLLTGGTTVSVSEDGGFAKGSIDLGGLEVRQVTAFAKVWSTTQGGQDGLGATFFKPSPVPDGFSVLGHYAQPNNRPLFGHVLVGRDTSGTGAVLAAPVDYALVWSSPDGAGHFWLPTAPEGYKAVGVVVTAAADKPSSDEVRCVRADFTDTSEIEDSVLSSDKDGFSAATLRPAVRGIDARGVHAGTFLAQSSATPAGASTTLACLKNNSASYTSSMPDLAQVNSLLAAYAPHVYLHPNESYFPSSVTWFFENGALLYQKGSQTPTPVAADGSNLPQGGGNDGGYWLDLPVDGNQRENVKKGDLAGAKVYVQAKPMLGGTVTDLAVWIFYPFNGPARAKVSLIPSIPLGKIGEHVGDWEHVTLRVSNFSGELLRMYFSQHSAGTWVDASRLEYLDGDGGNRPVAYASQHGHAFYPNAGTVLQGNSSLGIGIRNDCARGSRLDTGAGRCEVVSAEYLGVKEPAWLGFEREWGPREEYDIGREINRAARILPRSVRERLAKLVEKVLVGEGPTGPKMHGNWRNDEKEA >Sspon.01G0046650-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:92539454:92543685:1 gene:Sspon.01G0046650-2D transcript:Sspon.01G0046650-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMNPATVANGAAAGAGGGTKRRGGRLWYAAAGALLVALLAVAVSYRSFSGIPSSSPGSCGCPLMRFGLLRWRCGPFQAARKYTGMVEDCCCDYETVDAINEEVLHPILQELVRLPFFRYFKVKLWCDCPFWPDDGMCNLRDCSVCECPENEFPEPFRKPYSGLSPDSMMCQEGKPQAAVDKTLDSKVFKGWVETDNPWTSDDETDNNEMTYVNLQLNPERYTGYTGDSARRIWDAIYKENCPKYPSEELCHEKKALYKLISGLHSSISVHIAYDYLLDESTNSAADYLEQAEYNTGNPTDDLKTESLVKQLLYNSKLRSACPLPFDEAKLWQGENGPELKQEIQKQFRNISAIMDCVGCEKCRLWGKLQVLGLGTALKILFSVDGDSHLNQPLQLQRNEVIALFNLLNRLSESVKFVHEKGSSIEEVIKEQSPSTFQKGASKPNLKLDFL >Sspon.07G0011080-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7B:43140818:43141408:-1 gene:Sspon.07G0011080-2B transcript:Sspon.07G0011080-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding NPAVRAQSAALPPPPSPGRRTLFLDLDETLIHSQTDPPPSRFDFTVRPVIGGQAVTFYVVKRPGVEEFLRAAAAIFEVVVFTAGLQEYASLVLDRLDPDGEVFAHRLYRGACRDAGDGRLVKDLAATGRALDRAVIVDDNPNAYALQPENAVPVAPFVDDDNDQELQRVMAFLDVASGYEDTREAIRYYKDLVTAN >Sspon.02G0004530-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:17116384:17117940:-1 gene:Sspon.02G0004530-3C transcript:Sspon.02G0004530-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSRRASQLLGSVASRLLHARGFAAAAAAAPSPAVFVDKSTRVICQGITGKNGTFHTEQAIEYGTNMVGGVTPKKGGTEHLGLPVFNSVAEAKAETKANASVIYVPPPFAAAAIMEAMEAELDLVVCITEGIPQHDMVITISVL >Sspon.06G0009500-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:40783458:40817641:-1 gene:Sspon.06G0009500-2B transcript:Sspon.06G0009500-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MYFKCAQENCDANKLVVCSGSADGHQVLETVLSGCHSHPRQQDCDLPVPKVSEAETSMPGSGEGDDEQLSSSSDSDEDDDDQARVEEEEEKVAGDDSVIERHVPHPAERITAQTGAANSHRQKRRSKSKVWEEFSAVLRGGKIQSAECKHCKRCLSGTSTGRTTHLRQHLKICPARPATGRMQQQRSSPHLGSTVENIWKFDQGRSLELLIKVLVSNLFSSPLTSSTTFRQLWAGICPTNDMVSQAAIEEKFLSIFQNEKLKLKEEIALTPGGVFLTAESSSLETKNFIFLTVHFIDKEWNLNRKTIRCCFTRCEDFDAEYFVSMFPTLQSYNNFTNGNVRAAEEEIVKEVVQNWRLEWKLLGISSPRSLGDAAVPALEKNLTEQNYLLAKCKLLNLPCIIDALNDLFGWEIKEYVLSANQMWFQYMTCTPLRRDKYKEIVSRLQISRPSFGSQRWYLTFHSLEAALQFNNEMPNPQLIDSRSYPAKPSFAQLKAAENFCDLVRSIYHAVKVVSRPRNGTLNSQFHAIWNLKMALLRSSTKENINLVFNIEQMKLKFDQLWRKWYLWLSLAVVLDPRYKIRFLVVCFKEAFRSHAKRYISEVRGKLYELFLQYSCHVDQQNDESFDQRTNDLQLDTHGSIPVHDASQNYIEQAAHEELGEVIRYLEGELSPQNANFNILKWWKANASIYPTLARLARDVLAIPGSAVSAESAFDETDERTSLFNRKLSPELVEALICTQDWIKSSGVVITINVTTIIILILVTKPESRVTKSISSFVLLIVIIFISGFSKFILAEVFKIINEGIVIKVSEFDKPRPGIVENCLNFWELMENQSAHPQHSMADQGFRPFTTTPLSSLVNAAPNMMPVWATIQRPTLPSNSADDGYIWTNLGLQENFLDSDRFICYKCSEANCMVKKSVRLSADDQILEIVYKGCHNHHRRDAPTGYIPAADSQYYVPSEMYVAGTSIPDTEEGGEQEQLGSSSDSEEEDDGEQRADGHVASASVTERPKSKVWEEFTKAFRDGKLEAAVCKHCERSLSAKPTGGTSHLRRHLKTCPARPATGRVQLQRPSSHPSSSVEKNPTFDQDKSLELLTKALVSNLCSFSLTSSTNYRQFLAGICPTYDVVSQSAMQEKFLSIFQNEKLKLREQISFASGRVFLTVAKWILESKFFICVTAHFIDKEWNMIRRIIRCRYAGSKVHSACNYISTFPDFQSYHNVRVWDGKKGSEEEDVEPDKWYLDFYSLEAALQFNKAFPNPEQIDSKSHPSKPSDKELQDTEDFCHIARLIYHAIQVASSCNATLNSNFHTIWNLKIALTRSSEKAHELFYNRSIKEEFDDLWEKWYLWLSLAVVLDPRYKIRFVDHSLKEAFGSDAKRYTLEVRAKIYELSFRYSFHANQQSGECSNDSNTEDVPVHEGLGQLNRYLEEECVPENVPFDILKWWKGNASTYPALALLAHDILRIPACVVSAESAFDETDER >Sspon.04G0003480-3D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4D:11259346:11259906:1 gene:Sspon.04G0003480-3D transcript:Sspon.04G0003480-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GVLSKSFTITNNCRYTVWPGILSGTGSPSLETTGFELPPGASQTLQAPAGWSGRLWGRTLCTTDGTNNKFACATGDCGSGAVSCGGGNAAPPATLAEFTLDGSSGMDFYDVSLVDGYNLPLLVAPSGAAPGAVGTNCAPTGCLVDLNAACPADLRVVVTSSSAAADVNADDVVACKSACLAFGSPHT >Sspon.01G0035850-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:14885878:14895575:1 gene:Sspon.01G0035850-1P transcript:Sspon.01G0035850-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MESKENSAHSAPPLNRPRGKRKALAELPTSTGPNTNHAPRPSKPRTRSAARTEAEAEEARKRREAEDAARGADVGRPLDPRPPGAEAAKAAVAPYVGDIDRYLRSLEYEEIESSKMKVNRYTDITDDTYTKQQIHTSILYATQVVKMEADLLKSLRFEIGGPTVTTFLRKFIASCRGGNSINRGKLESMCSYLAELSLLDYDCISYLPSAVAAACLFVARFTIHPKTRPWNLTLEQNMGYKVFDLQKSIYVIHELQLTIRCPDQEAIREKYKDPKFGCVSTMASPREIPTSFLEDCHKLKIILPVESEILDKRYDQGSGSATNSPNWFADSADT >Sspon.01G0021750-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:80093080:80100815:1 gene:Sspon.01G0021750-1A transcript:Sspon.01G0021750-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VIGAIETNHNSEVINDLLDPTGQNLRVREDAQGTYVEGIKEEVVLSPGHALSFIAAGEEHRHVGSNNFNLFSSRSHTIFTLNLIDLAGSESSKTETTGLRRREGSYINKSLLTLGTVIGKLSEGRATHIPYRDSKLTRLLQSSLSGHGHVSLICTITPASSNMEETHNTLKFASRAKRVEIYASRNRIIDEKSLIKKYQKEISSLKQELDQLRRGMIGGASHEEIMNLRQQLEEGQVKMQSRLEEEEEAKAALMSRIQRLTKLILVSTKNNIPALTDGHQRHNSVSEQDKLSTSQDSSTLVQNEGTTKDPLPDSLDEINQLRSGSGEHSSVTGSAADSMQAGFTASDHMDLLIEQIKMLAGEVAFGTSSLKRLIEQSIDDPEGTKDQTITKLTAQCGEKAFELELKSADNRVLQEQLQQKVLSPHFYFQFKFFKMTKNAEINDLQEKFFRLEQQLSAKVDIFPEQETDCAQQEAIDLKSKLQSKEAEIEKLKFEHLKITEEHCDLINQNHKLSEEAAYAKELASSAAVELKNLAEEVTKLSVLNAKQAKELLVAQEMAHSRVHGRKGRTTSRGRDEVGTWSLDLEDMKMELQARRQREAALEAALAEKEFLEEEYKKKFDEAKKKELSLENDLAGMWVLVAKLKKGALGISDLNVDDRTVNLADITNGTKENKGEKNFALVEKQISDDSVKSLSTEGHRSPEFEPLLVRLKAKIQEMKEKDTDPLSDKDGNSHVCKVCFESATA >Sspon.06G0011330-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:48752072:48754272:1 gene:Sspon.06G0011330-2B transcript:Sspon.06G0011330-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEEYNMDEALKAKNVAETKFHARDIRGARKYALKAQNLCPTLEGILQMVSTLEVHLASESKIDGESDWYRILSLGAFADEEEVKKQYRKLALLLHPDKNKSVGAEEAFKLISEAWSVLSDTSRKVVMMRREEIILLSMVYLNHNLLCPNCHHAFLAVETGFPCNGTSSSFSWSTKQQPQQNHNSTKHSYGSASRTSSIPGTGHGGYQQDNTYDSYNNQSFQWNQYSKTTPAAGTNAYSTQALEKQRRKHDESYSYNYSESGNTYVHEKTASRRGRFSKRRRHNYDAYTTVDYGADNKETVAASTEPTAVFTDVGRVNGTSVERLRSAVSGRRANILGEITQIDTRSLLLEKAKATVCEKLHELNITSSSRFAERRGSEGKLYPCDNNIKVNGVLSDKPGKGVKLCNSRSTEIQVPATDDKNPEQRRVPVSIDVPDPDFHDFDKDRTEKAFDSDQVWATYDSEDGMPRLYVMVQKVLSMRPFRIRMSFLNSKSNIELAPINWVVSGFQKTCGDFRVGRYQVSETVNIFSHKVSWTKGPRGIIRIVPQKGDTWALYRNWSPDWNELTPDDVIYKYEIVEVIDDFTEEQGLTVIPLLKVAGFKAVFHRHMDPKEVRRIPKEELFRFSHRVPSRLLTGEEGNNAPKGCHELDPAATPVDLLKVITELKEDTVAQTAK >Sspon.03G0018080-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:55612193:55613339:1 gene:Sspon.03G0018080-1A transcript:Sspon.03G0018080-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYDTAGNSAVGDIKPFDHPHVLYATAIVSASSTSCSTALDFSVLLKWPKCKLLPVPKHSSTAAAASSHRKIQEEDEEEERRKREARNRSKMQDWAPVFISLVLFILLSPGLLFQIPGKCRVIEFGNFQTSAISILVHAILFFALAAIFLIAVGVHMYLGS >Sspon.05G0031550-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:6409593:6411138:-1 gene:Sspon.05G0031550-1C transcript:Sspon.05G0031550-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLLLLQVLLFTVVARSPIAAHAWRKEGHYMVCKIAENFLTSEASAAVAKLLPEWAGGELAATCSWADDERRKYPWSGALHFADTQGDCQFIYDRDCHNMKGEKDMCVVGGVNNYTAVLMNSSAPSVDPTVSLMFLAHFLGDVHQPLHCGSVQDYGGNTIAVSWYNRTMTNLHRVWDLDIIEKAMKDYYGNDLSIMTSVIMLNITENWSDEEEQWEACPSKTKTCADKYAMESAQLACDVAYAGVQQGAILGDEYFFSALPVVRKRIAQGGVRLAAILNRIFGENNSRLQSS >Sspon.02G0028560-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:103889295:103894250:-1 gene:Sspon.02G0028560-1A transcript:Sspon.02G0028560-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKLPLPLLLLQPPAGAASPLRSLLPPARRLTAMSAATSTAPSRGEYPSPVSPPYPAASKDVELRRAMTASARSAAFASADVVFEDQWLAVVDKPAGVYCDALLTSFPCSAVSEDPATKPNLHLANRLDRDTSGLMVITKCNKVAGKLVKAFTDHKVKKTYLALCIGCPPTWEKIKICSGHGRSKHGAWRVYAMSDVGRSLPGGSVVRDMSTQFEVLGVNGKGQFREPNNFYTDDIESITVQEKAADQTCSGDVNNSAILVRAYPQSGRTHQIRLHCQYLGFPIRGDVKYGGVIEWNGVECDGHALHAESLSFVHPITGLPITFRSPLPSWAKDCISTME >Sspon.07G0034570-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:75617701:75618325:1 gene:Sspon.07G0034570-1C transcript:Sspon.07G0034570-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VMLSDELGRSALCAAILGGGVVDKLVILGGADSPTEMRHLAMAVPLTTAAGDGVRRETAARRHEDGGPLDDGGRRWSPARDDWPRMAAPLTTAAGDGVRRETAAGDGVQRETAGRWTRAMDGQGELTSRIGGRRATTCARARDRGVCVRATSRGWRG >Sspon.01G0004320-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:10899366:10901149:1 gene:Sspon.01G0004320-2C transcript:Sspon.01G0004320-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPNADPAQQHHASTPPLLLAVRHLPFPGVHRTRALPGPDVLAPLARRLEELASAAAAHPLLKPLFAAHSHLSSFSQGRRRLVVARRATLLSGEHCFAAVLGDSVAGMVVSNGINNFLNLYNTVLVVRLVLTWFPNTPPAIVAPLSTICDPYLNIFRGIIPPLGGTLDLSPILAFLVLNAFTSTAAALPAELPSSAAAQHHQRTAAPSSSAPLEATSNQRKWMRRMRSQKSQGEGGDH >Sspon.05G0018730-3P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:75841145:75843202:-1 gene:Sspon.05G0018730-3P transcript:Sspon.05G0018730-3P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSRSQAPYKSSSFSPATTRDDGGSRTREVDRNFSLGAVRDKRDVPHGGSREQSIKEEDEQEEGDHGARGGGGGEGPGSGAGGGGEPDLAALSAEVDAFLAGKDEDALVSVTEATLERFASAVELLVARSEGVEDKWAAEAGGEPSALLAAITRIAALSSALGKSPEGGGKHAAAVNRVTAVLHRAMAFLEEEFHGLLEDPRVPKQAGGEQGGAHEPDRCVLAPPPASDGGAGKESAPPYPAETVDRLRAMADAMVAAGYVTECSQMFLVARRNAFDATLQGLGYEKSNIDDVVKMTWEALEAVIVTWTKAFRHAINVGLSTEHDLCTRVFAGRHAAVGRGIFADLSRCVMLHMLSFTEAVAMTKRAAEKLFKVLDMYEAVRDASPVIEAFLSADEPAAAEHSHSHHSGLAELKSEIAAVRSRLGESAAAIFRELESSIRADAGKQPVPGGAVHPLTRYVMNYLKYACEYNSTLEQVFREHHHGNGDDSNPFAAQLMEVMELLHGNLEAKSRLYKDPSLSNIFLMNNGRYMLQKIRGSPETNAMLGEAWARKQSTNLRQYHKNYQREAWSRVLGVLRDDGVLTVKGHVQKPVLKERFKQFNAAMDEIHRTQGAWVVSDEQLQSELRVSIAAVVVPAYRSFLGRFAQHFSAGRQTEKYVKLSAEDVETIIDELFDGNATSMTRRRT >Sspon.04G0002450-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:7134905:7137383:-1 gene:Sspon.04G0002450-1P transcript:Sspon.04G0002450-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding PMRIRRRPPGQPIAYLLPSDPYPAPPSSPPPASRDHQERPPPPPGPGDKQQGEGELHLHPATNDSAADLGDARSSSSPLRRPALPPQDGAVERSSGLLGAQQQQQGPADGRSSLENNGHHPHVPEPVTIQAGELLSNNGVGAAAVVTTTGNNGREGDEAEAASDREYQRRREEGAAEPKQADGGVAVQPEERARVAVQPADAEGLRPLPVPPRQGPYEEREHRDRRARPRPARPHRARQENFPCCRGPGHRRRDRRARPWPARPHRARQENLRYCRGPGHRRCAQGGRAAAAAQRAAL >Sspon.03G0023270-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3C:50046219:50046416:1 gene:Sspon.03G0023270-2C transcript:Sspon.03G0023270-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVKAYVLLFTAFFFSGLMQLSMAAQEKPATVAVTARGIDAKGIDQAIAYLLMFAALFVTYLAR >Sspon.04G0019880-3D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4D:78659160:78665830:1 gene:Sspon.04G0019880-3D transcript:Sspon.04G0019880-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AADGRGQDARSASKDVFDFSQEDEQSVREGNRFCSRLPPIQKNKYGKLPLNALKSPGRAGKRNPISVDKMYSSQPSSTSLSAKRTHSIDPEVSDHEKCQKVESSLPRRFSKRRKEQLQNSSSVYSRKVQDVVLLDDEDMKPEKEVNCEMSDRRDNRESVELTRSDIKCLDPGVYLSSPVINFYIQYIKRNRLCTEDFRDKFYIFNTYFYGKLEEALHCPDEFSKLRRWWKGVNILNKAYIILPIHGTYLEKEWRQLSSILGTTWEDLKSNIHKESVEVPRQNNEYDCGIFMLYYIERFIKEAPERFTIDKLDMFGRSWFKPEEASDLRQRIRELLLEEFESAKLDDALSGADASDPDDSTKDGELKADAPSDSSEMVVEVVGGLGSTVKSNEGIKVVASEEASGESGDAGKSTEGIVAASEEKSIEGVNVAEPEEASEESRDASKSIGGINVVESDEASREFGETGKTNKGTKVAVSEGASVSGYTDNSMEDISDSEVAVLDKAPTSRYKRKRKTTSGESVDAGKSIEGINDAEPEEASEVYRDAGKSIGGINFAESDEASVEFGEAGKINKSTKVAVSEGASAESGHADKIVEDISDSEVSVLDKAPTISYKREKKATACVLSEAASFSDSVKDEEGTVKADCGSSKAEKEGDLIVIASPERSEGNDEIIGSSRIPDVVCDSCDSDTDAT >Sspon.03G0009870-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3A:26494050:26494628:1 gene:Sspon.03G0009870-1A transcript:Sspon.03G0009870-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MYMDYYGAHQCKWLPLAVKVVGGDHLKQSGAMLLRSSVGRTKLTLQEKREPTLKHGRRKRHRVAASGEPTRGSSSSHLASSPWTSETAPSIVIANYSLPLLC >Sspon.04G0004560-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4A:13521108:13526584:1 gene:Sspon.04G0004560-1A transcript:Sspon.04G0004560-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding FCVFEKNQQLDSQVSSSRNVKSMASVVFAFIITSTTTKRFAQVDKEMTSKLQLRSEFTVKLPDKITWLDRTPPGHHPEQTHANGKRAEPVGQSHSDTRGHLSAGPTKSGADHQQSLPRGPAHCPSPHVIPGTALFMYPGQPQPPFPRRRRARLCSLTRHRLRLAGNTNPAASSGEPVLYELRLSLRPPLSFLLPSSSPPSRSTAGWGPPQGTVQYPGAKTGTAAAFEMEAAAMRKASSQASLADPDDFDLTRLLNHKPRINVERQRSFDDRSLNELSLAGAGTASRGGWGYYGGGIMESYESMYSPGGGLRSYCGTPASSTRLSFEPHPLIGEAWDALRRSIVSFRGQPIGTIAAVDHSAADEVLNYDQVFVRDFVPSALAFLMNGEPEIVRNFLLKTLLLQGWEKRIDRFKLGEGAMPASFKVLKDPKRGVDKLVADFGESAIGRVAPVDSGFWWIIILRAYTKSTGDMTLAETPMCQKGIRLIMNQCLAEGFDTFPTLLCADGCCMIDRRMGVYGYPIEIQALFFMALRCALLMLKPDAEGKEMMERIVTRLTALSYHMRSYFWLDFQQLNDIYRFKTEEYSHTAVNKFNVNPESIPDWLFDFMPTRGGYFVGNVSPARMDFRWFALGNCVAILASLATPDQAAAIMDLIEERWEDLVGEMPVKICYPAIEGHEWQIVTGCDPKNTRWSYHNGGSWPVLLWLLTAACIKTGRLKIARRAIELAEARLARDGWPEYYDGKLGRYIGKQARKFQTWSIAGYLVAKMMLEDPSHLGMISLEEEKPTKPVLRRSASWTV >Sspon.08G0015970-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:63492587:63492967:-1 gene:Sspon.08G0015970-1A transcript:Sspon.08G0015970-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIKKGGLAASGLKQILRRCSSLGRRQQQQQQHDGDEDYNEEEDEATGLPSDVPRGHFAVYVGERRRRFVVPIALLDRPEFRSLLRRAEEEFGFAGAGAGGILVLPCEEVAFRSLTSALACAGGAR >Sspon.01G0007730-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:21401631:21405037:1 gene:Sspon.01G0007730-1A transcript:Sspon.01G0007730-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AMSFWPPPHPSHRNPRRFPSACYLLVLTLALLVVSTAAKSSRRPIQDNEIRQKKEACYTDVEKQWNASDAMLLSSDGGFSLPCRSGLWGWVCRSSPTEKENCVLRCLSPECYDLIYGGDPVSGGIRMWGFSSCDVLDLLIILLGCLVQLEEGELDYVRGQEYKYCMQRSAVRNV >Sspon.07G0013670-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:49116347:49118999:-1 gene:Sspon.07G0013670-1A transcript:Sspon.07G0013670-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASATTEQRDSLIVDGEEDEQQQQHQQQQQQQLDNLVGRAAVVAREVSKRVSRLAVEGGGGDTAARPQQGVLNIVGGGVDRPARNGHNHEADAGAPRFRRSFMADARTLPPPHAWLAIDDTRKQQKQKQKQKQEQEHDDSDEQWARLFRGGGTQHQQQQMQRRSSFSVVRRERAAREAWLDRAWEMKRSWHQRNGGAPDADTPVVVVVGTSPRASSSSDSQQHQASGGGGVAMDVEEVRACWDLGLELPSDCTVEIQCYGLSGGSSPTHTTTPGSGADSPCALSSPPGGGADPMDVKARLKVWAQAVALASTTHLTS >Sspon.02G0005870-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:18325736:18337138:1 gene:Sspon.02G0005870-1A transcript:Sspon.02G0005870-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein PGR [Source:Projected from Arabidopsis thaliana (AT5G19930) UniProtKB/Swiss-Prot;Acc:Q0WP96] MAIPSAFASPLAVRSAVGAALAAAIAARAVRRRSLDASGGTAGFVVMAVHIACGYRYGALLLAFFFSSSKVTKIGEDRKRRVEEDFKEGGQRNWIQVLANSTIATVLVVIFALMTGGQDQCLDSNDSKLITGIIGGIIGHYCCCNGDTWSSEIGVLSNEQPRLITTLKLITVLLYKTVRKGTNGGVTIQGLLAATAGGLIIGLTFVVVGLLTAECSSDMALWQLLVLPISAAAGLLGSLIDSLLGATLQFSGYCSIRKKVVSKRGPTVTKISGMTILDNDAVNAVSVLLTTVLTAY >Sspon.05G0014420-3P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:5C:47410781:47411125:-1 gene:Sspon.05G0014420-3P transcript:Sspon.05G0014420-3P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVILPPERAARVTCFLKPYLLRMHFTNKYVSAQVIHTPTSTVACSASSQEKLLRPNMESTRDVAAAAKIGKLLGERLLLKGIPAVSIHMKREQKYHGKVKAIIDSVREAGVKLL >Sspon.01G0032300-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:110612044:110613630:1 gene:Sspon.01G0032300-2P transcript:Sspon.01G0032300-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GHRHPTAPLPAPRRQRAAAVADGPPGPPPCAAGVLPPHQQEQEQERQRRRGAAAAAGPRAAADPGQPAPAGPAAAQEPPRAGAAVRPRHAAPAGHGADGRGVQRGGGPRGAQGARRRLLQPPGVAGAQAPLLRPQERRLRALRRVLARDAQALRARAPQHAPRQGRVLRARAGDGQARRRPRPRRRVQGPRRPQRPRLRPHRRHHRNRRVREHLRLQAVRAQGALPARAGRRHGHDGQLLRRGLLPQRRRPPRRPPHGLPRPPRAHLQRARRLLREGHRPAHGPGAPRARQRRRPRRRPHQPVQGARRHSPLHQGPRQGHRPRHLHRRHRHQLGHHPVGHVGADAQAAGAEEGAGRGAGRRGRQQAARRLRRRRQDPVPEDGGQGDAAAAPAGDAAGAPGDDAGHHHLRLRRAGQHARVRQRVGHRQGPGELAGARGVQPGPLRGERRRLLRLALRAHTVRGRPPDLPGPRHGRDQRHLHPGQPALLLRLGAAGGDEAGGRQHGGDRRAHVPPEDAAGGGAHQIPAPRS >Sspon.04G0017550-4D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4D:72260842:72263617:-1 gene:Sspon.04G0017550-4D transcript:Sspon.04G0017550-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQDDPVVSAQWLHEHLGMPDVKVLDASWYMPVENRDPWEEYQLPHMLPSEQAFAAAISELGIENQDKVIVYDGKGFFSAPRVWWMFRVFGHKKVWVLDGGLPQWRASGFNVENASPDDAVLKSNAANKAVERVYNGEQVAHNVTAKTYQQIDARAKGRCSMVHQAFSLQMSCVKSFSKQGLYRIGRHDVPVYDGSWTEWEAQSDSDYPKITAPAC >Sspon.03G0033810-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:62114181:62139140:1 gene:Sspon.03G0033810-1B transcript:Sspon.03G0033810-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ALKNVVPDGKKRGRGRKWLQALKNVVPDASLLDWSLVSLINMIIFFAIRFAVPRRGMVCGSFLVGEAGGLSKLSAAGLALVEVFGGRLQDSCWLNFSFEVEQIGYHLRVACCLLLPAAQLIVSISHPSWISLPFFVFSCIGLVDWSLTSNFLGLFRWWRLLEIYSVFSILLLYLYQLPVKFPYIALAFADFIGLFKISSKSEWPELSSGISLLVYYFMCSDLRMRIPYENLPSCDRLLDICEGIYAARAEGELEVEEVLYWTLVNIYRSPHMLLEYTKPD >Sspon.04G0018610-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4A:66840410:66841321:1 gene:Sspon.04G0018610-1A transcript:Sspon.04G0018610-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSFGMDWNQKASVLWDWELPIGANGSENPRMAAAPQGEAKFAGLEATRHESVHSSCGTFSSSSEMGYGSSKSSVSALTDSSPKAKGNSMELNFAPAKAPDKNTDSGKADDARTSPLSVIAISSGEPVLSLKLGKRTYFEDVCGGQSVKSLPSDTSAVTPPASAKKAKVAPNTQKSYCQVEGCKVDLSSAKEYHRKHRVCEPHSKAPKVVVAGLERRFCQQCSRFHGLSEFDQKKKSCRRRLNDHNARRRKPQPEA >Sspon.02G0004680-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:9593110:9606106:1 gene:Sspon.02G0004680-4D transcript:Sspon.02G0004680-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:EMB2654 [Source:Projected from Arabidopsis thaliana (AT2G41720) UniProtKB/TrEMBL;Acc:A0A178VXZ9] EITISGSLKHAVHVFRWMKNQENYCARNDIYGMMIRLHARHNQVDQARGLFFEMQEWRCKPDTDTYNSLIHAHARAGQWRWAINIMDDMQRAAIPPSRTTYNNVINACGAAGNWKKALELCKKMTENGVGPDLITHNIVLSAFKNGAQYSKAIAYFEIMKSSKVAPDTCTMNIVIHCLVKVGLYGEAIELFNSMRERRTICPPDVVTYTSIMYSYSVCGQAENCKAVFDMMVAEGVRPNIVSFNALLGAYASHGMHTEALETFKLLKQNGLRPDIVSYTSLLNAYGRSAQPEKARDVFNEMRKNACKPNKVSYNALIDAYGSAGMLKEAISLLHEMEQDGIQPDVISISTLLTACGRCRQLTKIGIILAAAKSRGIQLNTVAYNSGIGSYLSLGDYKKALELYTSMRAGYVKPDAVTYNILISGSCKLGRYVESLKFFEDMMDLNIHLTKEVYSSGKLTEAASTFNSMKESGCFPDVLTYTTMIKAYSDDGSWRRAWDLFKEMESNDIQPDAIVCSSLMEALSKGGQPERVLQLMEFMKQKQIQLNQKAYFEIISSCTMLRDWKTASQIIEHLDSSLSSISCGTLNHILNFLGKCGRTESMMKLFYKMVTSCSTVGLSTYTILLRNLLVVGKWRKYVEVLQWMEDAGICPTLYMYQSVLPYIWRDNSMDYVTLMQEKINALREKVT >Sspon.02G0041130-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2B:74051957:74052521:-1 gene:Sspon.02G0041130-1B transcript:Sspon.02G0041130-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGGEAVQKALQSVAQSTGWTYSLLWRLYPRQGALVWAEGHYNGAIRTRKTTVHQPGGEGAGEEGEETATAAGAGRAALRRSRQLKELYDSLAGEAAADGGGGAGGGRGGAQQQQQVVVVPAPRRPTAALAPEDLTETEWFYLMCASYCFPPAVG >Sspon.04G0004900-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:14219449:14226730:1 gene:Sspon.04G0004900-1A transcript:Sspon.04G0004900-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein NRT1/ PTR FAMILY 7.1 [Source:Projected from Arabidopsis thaliana (AT5G19640) UniProtKB/Swiss-Prot;Acc:Q3E9B5] MTGGLAGVVEVEVLGPLSFLTCLSSPSPEKLLRGRIGGFIKEGSENSLNKLMDPITVDSKWTSPITEDGSMDRRGNPAVKTTTGRWRSAILLLANYGLATCAFFGVGVNLVVFLRRVLHQGNAEAANNISKWTGTVYIFSLIGAFLSDSYWGRYVTCAIFQIVYVTGLVILSLASWFLLVKPSGCGGVKAHCDEPSAPGVALFYLSTYMIAFGNGGYQPSIATFGSDQFDETDPKEARSKVAFFSYFYLALNVGSLFSNTVLVYYEDSGRWVMGFWVSAAAAALALVLFLLGTPNYRHFKPSGNPLTRVAQLRFDFFIFGMA >Sspon.07G0011220-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:36285186:36287672:-1 gene:Sspon.07G0011220-4D transcript:Sspon.07G0011220-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALGGLRRHVGQFLTSSNELMAASLSAVSCADEVQEEAEGAGCRDDGAALRLKEVAMAAILVAGVLGVGLPLAGRKRRALRTDSAAFLAAKAFAAGVILATGFVHMLHDAEQALSSPCLPATPWRRFPVPGFVAMAAALATLVLDFLVTRFYEAKHRDEAARVKAAAAAALVATSSASDEDITVVTVDAEDERKAPLLQTHCHGHSHGHGHSHTHGHELVQAEGSEGEVSAHVRSIVVSQILEMGIVSHSVIIGLSLGVSRSPCTIRPLVAALAFHQFFEGFALGGCIAQAQFKNLSAILMASFFAITTPAGIAAGAGLTTFYDPNSPRALVVEGILDSVSAGILIYMSLVDLIAADFLGGKMTGSLRQQVMAYIALFLGALSMSSLAIWA >Sspon.08G0006400-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:17092864:17094380:1 gene:Sspon.08G0006400-3D transcript:Sspon.08G0006400-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding TSTRHHIEGAPTEADFEVKEETVRWAPDSGEVLVRNLYLSIDPYQLNRMKRSSASHLAVDSILPGQRIAAYAAGEVVASACEEYKAGDVVAGVLGWEDYTLFKPSPAVLMSKVADAAGLPLSHHISVLGTSGMTAYGGLFEVGKPVKGEKVFVSAASGSVGSLVGQFAKLAGCYVVGCAGTKAKVDLLKDKLGFDDAFNYKEEPDLKSALKRYFPDGIDVYFENVGGEMLEAALANMNTYGRVALSGVIAEYTGGGRRAVPDLLDVIYKRITIRGFFAWDFLPKFAEFNAIIAGWIREGKVKVVEDVSDGLESVPSAFAALFRGQNVGKKLVKLA >Sspon.03G0042450-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3C:67632789:67633594:1 gene:Sspon.03G0042450-1C transcript:Sspon.03G0042450-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GRRLLFGPRPDAARATPARAPASPPHRPGPAGPLLSLLPARARARWRPGRACPPRGGRMPATPRVAVRGPRARTPLQINRAPGLHPAQPSLAPSLPPSSALHRGAPLATAHRLHLRLVPASVDRLLSIACAQSSAPSPSSPNEPRRLLVWIGKAQSSFPRRATASVPPALSSVHRLARSLSVFPILLLKFASSWRLLCTHSVVGTWPAMAGWPQPPPRRRARGRRRPRSVPLGELGRASGPRPERAGSTVPRAREAGRERPRASCFST >Sspon.02G0016960-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:79367106:79370212:-1 gene:Sspon.02G0016960-1P transcript:Sspon.02G0016960-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to Heat shock transcription factor 29 (Fragment) [Source: Projected from Oryza sativa (Os07g0178600)] MVNPVKEESHGEGGDLLAGTEEAGDGPSSAVAAAPRPMEGLHDPGPPPFLTKTYDMVDDPSTDPIVSWSATNNSFVVWDPHAFSTVLLPRHFKHNNFSSFVRQLNTYGFRKVDPDRWEFANEGFLRGQRHLLKDIRRRKPPAQNATNQQSLGPYLEVGHFGYDAEIDRLKRDKQLLMAEVVKLRQEQQNTKANLKAMEDRLQGTEQKQQQMMAFLARVMRNPEFLKHLISQNEMRKELQDAISKKRRRRIDQGPEVDDLGAGSSLEQGSPVLFNPQDSVEFLVDGIPTDLESPAFDGQGLIEPQDLDIGTAGHAQEDLNDNFWEQLMNEGLGEENDSPVIEDDMNVLSEKMGFLNSDGPTSS >Sspon.01G0026430-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1C:75887015:75892393:1 gene:Sspon.01G0026430-2C transcript:Sspon.01G0026430-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPWLASSPRRSGSSPPRGPGRWAHSSASPGRRASHTAVLWHDPADPLPESPSYARWRDLVLLTLRRYALDDHVLVDTLVAVQTPSWLRLDSIVLFWILGTISLDLHDLVRTSPDARRAWLALEGQFLGNAEARALRLDASFRTFVQGDLSVGEFCRRM >Sspon.03G0003480-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:25518441:25522136:1 gene:Sspon.03G0003480-3C transcript:Sspon.03G0003480-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMASNRARVLPMLLAVAVLLLEQIALSSADFPLGGQATVQLPPAPCQPGFAARAVVLDAQGHRQPAFVAAVTVSAAEAGAGRCTCSLVVLLGGVKVWASDHLEKFVPDALCRLELTEDGQLRLTDGAGKVGWLSGTAGQGVKALHLDRKTGNLVLLDAQNCTRWQSLDDPTDTFLRGQQRRLPVYLIAPTTKVASSSVFYSFELDGDKIAAYVNFGETRYSYWELGPPADRTIASARLNGSGLRLLDLQGLTVAQITPPVKKPPVSFLALGDDGNLVMYYYDTQHQKFRASYKALGFCELPLSCSVHEFCSSAGKCKDFAEYADLPPARAGNASSNPCEGAGEKACMVHLRGVTTVVRTASPLTNVTLRECVVQCARNLSCNAALYVKDDAGVVAAAEHDVCSHYTLTAGAREVTDGSRRYSYWVKFPAAAGGGDEDEDDDSSPGKLSTSTILMICGAIDVVCALVFVVLIALYFRRLRKLAAAVDRVVELQEGEPEGTGEQNDTDNN >Sspon.08G0005910-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:15523021:15525673:-1 gene:Sspon.08G0005910-2B transcript:Sspon.08G0005910-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDPYTNFLRGYYSHFPPSNATPASSYLHHPPPPPSPPIREALPLLSNLTPASSATNHHHGSDVRDHKDCKHATSCSDDQEAADQAAAGEVTVALHIGLPSPSPSESAADGGESQKPAAAEGRSQLQQQGVDHEVGGGEEDEGGEEDAAMAVGCASIGIGRLTKGQYWIPTPSQILIGPTQFSCPVCYKTFNRYNNMQVWSARFSYRSICMLFLFLMHMWGHGSQYRKGPESLRGTQPTAMLRLPCYCCAAGCRNNIDHPRARPLKDFRTLQTHYRRKHGIKPFMCRKCGKAFAVRGDWRTHEKNCGKLWYCACGSDFKHKRSLKDHIRAFGHGHAACGIDCFDDLDDDDRDPSSEVDHAANNTTASTMTTSAAAANAHHHHNSWKPPPPPPPMSSSSR >Sspon.02G0018270-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:60494736:60509268:-1 gene:Sspon.02G0018270-2B transcript:Sspon.02G0018270-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAEDAEGDRVIEIVSAGALYHRGGDWERKYWSCSRGKDRYPYPVGYHAVRHFTGISYAMEIQQGPKGPIFLVTSTEGDSATGQTPDIAWKNFQKKTGAKLFGFKNASIQRLLRELIVDSTGAVELNLPCAVTSEAGVHNKDASDVSEAEDLSVCLGMESGTPKRSIEPSQVENTLIVHCTDMLTSVDKCNGDAVGRALLQDVSDSRCALPLLEEVPNNAQSTSLDDNLGEPSLVSSQQVGLSSGSYSSPEKSNIELAEKEVAKSMMSILLPQAIPLLMKAYKRKKSKHKNKETSTVSAKTVSAYNPSDSCCQGVTVPTIMGEGTNENSCGMCDHAGSHHDMAKNGIANDDCRNDDSVVKLDEMSGYVVADSFEDDAQILGNNTKKSMGNHHHYPEDVCSRGPNEKLKLLNGKSEVFEYQASVHNGKNAPDVVYNHENGQYILSDSLVACLEDEFGGEDSSNPANYNQFNGDVKQSEQQFKELTNVIKNDSSVSVDVSYHKNTGNGSIDVCAQAFVRHGSAVSRNEECLANILPAPVHSNAHNDVVKWDKHDVSSTLILPPASEAKSSLLVMRDEQHHTEVPAIDQKENRFHSVSYKCTKSDDNTSFHSENVEFVDKHVAFESSDKGIHSSDGSQRVSTTKGWPVGDGVKADKGNSLGKVEECQIGCKNGNKNTMLSVCGEGNVCEHIPPKGENDVFHHQPDNALSMTNCTHGLVSAYTRTQARRSDHHLELVGCYLHPMPVLSVMLNAKNRNSFYIYVLCGLLESCQRFLYVYTITPKDQKDAPPCFVGYTPLVLSTLDHSSTGNFFSPWVMQHCTKFLALLFFSVSVWKIWFAFYTGWPVSVSCILIFEPNYIVATEDSRNLHIWEMVDGWSEISEQYVIPSLGNMGPVLELRRMPKSTSLIIGHDGEAPGNTVFQILPVGFCSLQEDIIHAPVDDIDKKLQEITVSDLSRKNDRENFMTPPREDIAVWVLISSASVAEYQHDLQAKENNARWRLALLAKKRVFMGNVLDT >Sspon.02G0005960-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2A:18399424:18399783:-1 gene:Sspon.02G0005960-1A transcript:Sspon.02G0005960-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RVRAAPLRPAWATWGECGEQRSVATTMRQPPPLLDRRSQDDGDGVTASREQSGCRLWSLLGSLGWRLAGGRRCSAVVRGNGELADGDAEAESIASFIRRRASLLPLLTCSTLHYWAFFV >Sspon.06G0033840-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6D:24325705:24326324:1 gene:Sspon.06G0033840-1D transcript:Sspon.06G0033840-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAWVYEAYGDASVLKLDEAAAVPAVADDQVLVKVVARCLSMPSAGPASSRPPTPLSRSDDQLSSSRLTVPGYDVAGVVVKVGSQVKNLKEGDEVYGMISEKPLDGPKQSGSLAEYTAVEEKLLALKPKGLDFAQAACLPLAVQTAQRGPGDRGTVRRQVRPRPRWRRRCRLPCNP >Sspon.01G0055180-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1C:74118669:74122994:-1 gene:Sspon.01G0055180-1C transcript:Sspon.01G0055180-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKELAEMKKQLEELRNKGFIRPSSSPWGCPTIFVKKKDGTLRMCVDYRPLNAVTIKNKYPLPRIDALFDQLAGAKVFSKIDLRSRYHQIKIRPQDIPKTAFSTRYGLYEYLVMSFGLTNAPAFFMYLMNSVFMPELDKFVVVFIDDILIYSKNEEEHARHLRTVLTRLREHKLYAKFSKCEFWLDQVQFLGHVLTPEGVSVDPSKVQDVLDWRSPASVHQIRQFLGLAGYYRCFIPDFSKIAQPMTKLLQKDAKFVWSLACEEAFQALKKFLTSAPVLAQPDIEKPFDVYCDASRTGLGCVLMQEGRVIAYASRQLKKHEVNYPTHDLELAAVVHALKIWRHYLLGNKVHIFTDHKSLRYIFTQPELNLRQRRWLELIKDYNLEVHYHPGKANVVADALSRKSHVPEEESVPLSHSTVLAQIALVSELLEQIIAEQRYDVLEIPHIKKLMAEGCGPHFSIDGQGVVKYKNRLVVPGNEELRRKILEEAHQSKLSIHPGSNKMYHDLRQLYWWSYMKQDITKYIAECDICGRVKADHLRRPGFLQPLPIPVWKWEDISMDFITGLPRTAKGHDSIWVIVDRLTKTAHFLPVGTRYTASQYAKLYFDRIVTLHGVPLTIVSDRGSVFMSRFWIQLQECLGTRLLRSSAYHPQTDGQTERVNQVLEDMLRACVISFPEKWDECLKLAEFSYNNSYQESIQMAPFEALYGKKCRTPLNWVEVGDRGYFGPDFVLEAREKVGIIRSHLKATQNRQKTYADNRRRPLEFEVGDYVYLKVSPMKGVHRFGVRGKLAPRYIGPYKVLARRGSVTYRIQLPDILSAVYDVFHVSQLKKCLRVPTEAVEIEGLSLQPDLSYEEHPVVLVAGVSCSSETVVEVRFVSSLAIFDLRKQCDELAFATLFFVVLLGASVKSQPYGKSRVVGAWPTHCIAGGIPPRLAVTGRSTPLTSRLGQLQLLRLPPWPVAPCAPLSLAAAVALLRGLSRTSRCLLGRVSTLRPVALGRLRLVRPALRQRLQPAGLLPFRALSPYRGPAASRATAERRRALGSHAGGLASLPLSFHRLGGGVVGRYCCLPTLLFCPADPRLTGTSSSALQFGSCRGEAALIRPRSFNYRPSSRLVHLVSIGLLDR >Sspon.04G0035000-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:444855:452658:1 gene:Sspon.04G0035000-1D transcript:Sspon.04G0035000-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPLDQQDQLFTKAIDFPVKKTQAWNEKIKRLRLLLTVKESAMDVPTNLDARRRISFFANSLFMSMPDAPKVRQMLPFSKGMMYYRQALVLQAFLDMARDDDLMEGFRAADLLSESDESQLLTHCKAIADMKFTYVVSCQQYGIQKRSGDPHAQDILRLMTTYPSLRVAYIDEVEEPSKDRNKKIEKVYYSALVKAAVTKPDDPGQKLDQDIYRIKLPGNAMLGEGKPENQNHAIIFTRGEGLQTIDMNQEHYMEETLKMRNLLQEFLKKHDGVRYPSILGVREHIFTGSVSSLAWFMSNQETSFVTIGQRITVWTVYVFLYGRLYLVLSGLDEALATGKRFIHNEPLQVALASQSFVQLGFLMALPMMMEIGLERGFRTALSDFVLMQLQLASVFFTFSLGTKTHYYGTTLLHGGAEYRATGRGFVVFHAKFAENYRLYSRSHFVKGIELMILLIVYEIFGQSYRGAIAYIFITFSMWFMVVTWLFAPFLFNPSGFEWQKIVDDWTDWNKWISNRGGIGVPPEKSWESWWEKEQEPLRHSGKRGTVLEIVLALRFFIYQYGLVYHLNITTHTKSVLVYCISWVIIFVILLVMKTVSVGRRKFSAEFQLVFRLIKGLIFITFISIIIILIAIPHMTVQDIFVCILAFMPTGWGLLLIAQTMRSAISHMGLWGSVKALARGYEIIMGLLLFTPIAFLAWFPFVSEFQTRMLFNQAFSRGLQISRILGGHKKDRAARNKE >Sspon.07G0008900-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7A:24537806:24538470:-1 gene:Sspon.07G0008900-1A transcript:Sspon.07G0008900-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKFLDLLQDRYTKFVETRHAVFLEDEMMRGSMIAQKIDLEEKRVCVPTPMIQEPFFELPVLVAPTVRDTIVPIPVVSSPVVTVNDDEELVP >Sspon.05G0018510-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:74707352:74713953:-1 gene:Sspon.05G0018510-4D transcript:Sspon.05G0018510-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAAIPEQSVRVTEPGLSPAERVQEAVASIPADLEPAAALADGASFRRWTVRDFHRAYSSGQTTPVMVARRFLAAVEECSGPDLNMGLFISCDPGDVLRQAEDSTRRYQQGAPLSAMDGVLVAVKDELDCLPYPTTGGTRWLGTARRCVVDAACVAQLRACGAVMAGKANMHELGAGTSGINPHHGSTRNPYNVGRVSGGSSGGSAAAVCAGLCPVALGADGGGSVRMPAALCGVVGFKPTAGRLSNSGLLPLNWTVGMPGILAATVEDTLIAYAAIADQSKPSPLQQPELNLPLLTCTRSMPNIRLGKYAKWFDDSSEDIRSLCGKALQMLRTHYGWESVDVTVPEIEEMRLAHYVTMGSECTASLAKYLDNMDRSEIGWDVRIALSAYGSFSSRDYLNSQRLRCRQMYFHEKIFETADAIVTPMTGYAMQKRLQSPASLLHGIDMTWLLLHMLCRVTAYALQDDAFSTGELDYINGAALVRYSIAGNFLGLPAITVPVGYDRGGLPVGLQFIGRPWSEATLLHLAYAMQEACGKEQRKKPKVHYDLLTKQ >Sspon.05G0010810-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:30584769:30591585:-1 gene:Sspon.05G0010810-1A transcript:Sspon.05G0010810-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPAAVTRHPSPTVLASGPRYCRRGHPPSKVGFRSLAPRLKVNALFGWPKGDTTTRQPIPPAESYTLSGSASEVGAKPREVSISVASSIMDIPAADWDACACDPDDPENFNPFLTYAFLSSLEESGSAVKETGWLPFHVVARDENGHIIGVVPLYLKSHSRGEFVFDQSWAEAYYNYGLEYYPKLQSCVPFTPVTGQRILLRNTSYRDQVFDALVKGLMSLTTKMNVSSLHITFPSQGEFSKLKDSGLLQRIGLQYHWRNRNYKSFDEFLMDLKQPKRKNIRQERKKIPAQNLQMKRLRGDEIKSSHWDAFYKFYRNTTDNQFGFILLTFWGRPYLTRDFFHLLGEKMGENVMLIVAEKDDKVVAGALNLIGGDTLFGRLWGCLPDAYFPNLHFEACYYQAIEAAIELNLSKVEAGAQGEHKIQRGYLPVTTYSCHYFSNPGFAAAIGNFLTHETAQVKRAIKVLHDSGPYKEDILKEFAAQQGIDL >Sspon.07G0025050-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7C:37275738:37280073:-1 gene:Sspon.07G0025050-2C transcript:Sspon.07G0025050-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LLQAPLAALLEYSGVVQSGPALAAHHPSAGPSSPLSASEVDGLLSAAAAVDGEVSIRIQGGPGDTEATGGPAGGTSLEDLIETTAGSEVDQASAAGRGAGATDAEANGGGAGTSGNGGGDRAYQRYDVHHVARWIEQILPFSLLLLVVFIRQHLQGLLHISRSKDPLPRPVCLSHLSLSRTRPPPPLPAPAPAAACACRRRRLRPPPPAQACASARRHPQLLLPHRRPPPDAPPPPPAPPTSCARLGVRQPP >Sspon.04G0006970-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4B:16228983:16229960:1 gene:Sspon.04G0006970-2B transcript:Sspon.04G0006970-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVAPSPTETSHVSRPPIPTGLVPVAVLLAAAVGLLALLPSLAQAVWEVPHLFLLGAVISFGVFAQRNNDGSGNNAASAKDSSLAWNARYHPDDPLVVIADHAVPSDDDDGLLEGARERPLSLPVRRLKPAPAPAAQESETGGDASDGFGEETDSCASLSGFWAGARAVPSPPSVLDADLDFSPCSQPQSERPFFVQHSANKSRGASNAATETPPTVPGGFVQGHHPSVPGDQPLSDDGEVTDWDEDAADDGSDDMTPVSSQRSVRGDDFAACASDHNDGDDTSVDEELLKLAAKAGPDGEEEVDRKADEFIAKFREQIRLQRH >Sspon.01G0015960-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:47796725:47800457:1 gene:Sspon.01G0015960-1A transcript:Sspon.01G0015960-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSFKARRSEPQLVSPARPTPCETKPLSDIDDQHLLRYYETVVGFFRNCPAGRTDRPADLKGTFKAALAEALVYYYPVAGRLREEAGGKLVVDCTAEGVVFVEADADVRLQDFGEPLLPPYPCLDQLLYDPGDVKAVIGRPLLFMQVTELKCGGFVVGFHMCHNIADGFGMIQLMTSVTELMVKASPSLLPVWKRELLTTAHNTTPIPYPNPAYKPLLNSLDSTSDDVMLSIPPNRMVAQYFVFGQREVGALRSHIPGHLADSTTSFELLTAVMWRCRTIALGYEPSQRVRLMVTMNARGRWNRHTLIPWGYYGNAHVSPIVELTVDELCRQPLADTVELVRETKLSVTKECLASMVDTTAYIRQWPPLTMDRTYEVSDTRWIAAGKGLQLGWAEYVGGGIPLAGDLTSKLGSHHMRCQNEDGEDSTVVSLLLPRPAMERFKKEMAVWLNKHDKNL >Sspon.06G0023880-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:44761580:44762894:-1 gene:Sspon.06G0023880-3D transcript:Sspon.06G0023880-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTYLLIMRALRPPATLATRIFSSDGRNITTGAYGPFWRLLLRNLTGKILHPSSVRRYAAARRSAAEGLVAGITMQMLRGEGEGEAAGIVVLDDLLHRAVFHVLISMCFGVGLDDGVVASIASLQKEFLSTAVGFQVFGAHPSALTKLLFRGRYEKLLSIRRRHEELFAPLIRARRARHAAADNDDVACYVDSLLDITVSEDVDGGGRRNQLTETEMLVHRVLVRRRGLHHHRATVTTANVVARPEIQAKLRAEIRHVIASAGADDDNGVQLDDDEHLPQIPYLRAVVLEALRRHPPGRFMVPRAAAEEGGATLHGFRVPRHVSVNFTLGDMAMDEAVWPDPQRFRPERLLPGGEGKNVDLTGSREINMMPFGVGWRMCPGVDVSLLHLEWFVANMVREFKWKEVPGQPVDFAEKLVLTMVMARSLRAMVVPCS >Sspon.02G0009300-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:29265173:29274170:-1 gene:Sspon.02G0009300-2C transcript:Sspon.02G0009300-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding REYGPKGIRGPSQILTFPQRLRFTESHKPASNIRARSTAFLSTQWATTGAHRRFPGLRFRLACLVLSISLRRQPAALYAPREDFGWWIVGGGSIPSHCTGPIQMAANNSDPIQDQLGEGGAGVKHEAEEETSSSAPQATATTMLHRSSSRPQLDLSGAAIHGTLEDRNPTILLPNQSDDISHLALDIGGSLIKLVYFSRHAELSTEDKRKISTKRRLGMFNGGRRSYPILGGRLHFVKFETGKLNECLDFISSKQLHRGGIDSPSWRSGAQSDDIVIKATGGGAYKYADVFKERLGVSLEKEDEMDCLVAGANFLLKAIRHEAFTHMDGQKQYVQIDQNDLFPFLLVNVGSGVSIIKVDGHGKFQRVSGTNVGGGTYWGLGRLMTKCKSFDELLELSQRGDNSTMDMLVGDIYGGLDYSKIGLSASTIASSFGKIISENKELSDYRPEDISLSLLRMISYNIGQISYLNALRYGLKRIFFGGFFIRGHAYTMDTISFAVHFWSKGEAQAMFLRHEGFLGALGAFMSYEKHGLDDLRAHHLVERFPMGAPYVGGKIHGPPLGDLNEKARTSSPDHDIMDGEVCAEGYSDYSPCTYGSSSYNRYEPNTIDLDQDEFKYWFKILSDHLPDLVDKAVASEGGTDDAKKRGDAFAHAFSAHLARENEASLAVLPDLLMELDSMNEIDDFDMFKKRMLTDRKDQPYKRALLFVDNSGADVVLGMLPLARELLRHGTEVVLVANSLPALNDVTANELPEIIAEASKHCGILRKAAEAGGLIVDAMAGIQDDLKDEPVSVPLMVVENGCGSPCIDFRQVSSELAAAAKDADLLILEGMGRSLHTNLNARFKCDALKQLAEAT >Sspon.08G0024890-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:3474238:3475591:1 gene:Sspon.08G0024890-1C transcript:Sspon.08G0024890-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMASPVPAFTVRRGDPVLVAPSAPTPRETKPLSDIDDAEGMRFYSSGIHLYRANPAKAGQDPARVIREALAEALVPYYPLAGRLREVEGRKLVVDCAGQGVLFAEADADLTADDFGDVQSPPFPCFEQFILESTTPVVDRPLLYVQVTRLKCGGFIFGQRFCHCIVDAPGGMQFEKAVCEMACGAAAPSVEPAWGREMFMARNPPRPAYPHLEYREPAGGVGVDRMLITPAADMARVPFFFGAREIAGLRQRAPPGMRCSRFELVAACIWRSRTAALGYAPDEEVRLSFIVNARGRPDIPLPEGFYGNAFAYSVAATTAGELCGGDLGFALELVKKAKSRGDLRLPAVGRRPDGAHGAAALRVVQDVHRVRPTPGLRASTSAGVRPSTAGRPRAAKGRSPASPTTLSRAKNGKGEEGTVVPICLPKDAMEKFQLEVEGLTAEL >Sspon.06G0031040-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:58909415:58911095:1 gene:Sspon.06G0031040-1C transcript:Sspon.06G0031040-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding TVPEFLPRFSFPLSYPILPSPSPICAVICPSQSSRLLFFPAPDRSYPCSSAIWARPVSFLLLKSSLHFFSRLKGDKSARNSEHRALNPGGKTFAHLPCRKASSLRVKVGSFSQIRFEIPEQVDDRGVHKTFFEDLDHHKGFGEFLVIVPFLLDMSFRSIVRDVRESFGSLSRRSFEVRISGLPGLSGHHRGKSVGSLSDRPVVVDQSRWVGLPPELLRDVMKRLEEGESNWPSRKNVVACAAVCGTWREICKDIVLSPEFCGKLTFPVSLKQVTIEFCYQGWKVADLCY >Sspon.03G0005420-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:19001341:19003937:-1 gene:Sspon.03G0005420-3D transcript:Sspon.03G0005420-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSHKGAGGGGGGGEVRRINVVYFLSRGGRTDHPHLFRVNHLNRAGGVRLRDVKRWLSELRGKDMPDNYSWSYKRKYKAGYVWQDLKDDDLITPVSDNEYVLKGCDVRGTPPPCVQAPRRTSSIGEKKRKEEEEEVTPCNDDQNRPVEVVLTPDSDESSPKPPPPADQDSPGGCESARRSTVPFKVEKPQGLDEEKQQEQVVIKIEVSRSQNHQRQKQQEEKEDDEEGEAATEKADTKAVEEEQPQGEGAAGGGPRAHAVGKQARRMRVARALHNMLTCARADADDAALRPLAARLQGGGGDDWPPPPTPTCPGMEGCGLRVSRKATRPRKGGSKDKQRKRDVEKKHDANKPATLPRCSQCGKEFKPQELHSHMQSCRGFKERMRSSTSARPSADRRRNWPTAGHYSSEPSAVFLLTES >Sspon.03G0022370-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:90991789:90996061:-1 gene:Sspon.03G0022370-2B transcript:Sspon.03G0022370-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAWSPPPSLRHLLLLRPRLPLGPTCPAGSFSRRHIHSRCRLFSSAASSSTLTHGDAASTHGDADGVVDVNPPRGTRDFPPEDMRLRTWLFDQFREVSRLMAFEEVDFPVLESEALFIRKAGEEITQQLYNFEDKGGRRVVLRPEITPSLARLVIKQGKSVSLPLKWFTIGQCWRYERMTRGRRREHYQWNMDIFGVPKVRAEAELLHAIVLLFQRLGITSSDVGIRVSSRKVLQAVLTMYSIPEHLFTEVCVIVDKLGKMTREEIEKELISTGLSSEAVQGIIDVLSLKSLSKLEGNVAKLENVLPKRILIKEVLGSGVEAVADLKKLFSFAEQYGYADWICFDASVVRGLAYYTGIVFEAFDREGKLRAICGGGRYDRLLSTFGSEDIPACGFGFGDAVIVELLKEKGLLPDMSRQIDDIVFPLDEELEGAASSIASSLRKKGRSVDLVEDKRLKWVFKHAERINASRLILVGNSEWERGMVRVKILSTREEFEVKAGELE >Sspon.05G0010720-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:25091064:25098161:1 gene:Sspon.05G0010720-2B transcript:Sspon.05G0010720-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPPLTLHRHPMCAEIIEAFQKCHVNHPVKKFFGECTDLKIKLDQCFRQEKALKRKANFEESKKFKERLQAYKREITVLAPLTRARSYGNLPQSHAILYYSQRATEGGLLIAEATGVSSDAQGMSLIPHTPGIWTKAQVEAWKPVVDAVHAKGGIFFCQIWHVGRASDMEKEPISSTDKPVEKNGDDHMDFPIPRRLTIEEIPNVINHFRIAARNAVDAGFDGVEIHGAHGFLLEQFMKDSVNDRTDKYGGSIQNRCRFALEVVDAVVAEIGSDRVGIRLSPYANYLGCHDSDPDRLGVYMAQELNRYNILYCSAVEPEMIMVDGKMKIPHRLHEMRKAFKGTFMVGGGYDREEGNRVVADGYADMVVYGRLFLANPDLPRRFHLNAPLN >Sspon.03G0020770-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:50919508:50921025:1 gene:Sspon.03G0020770-2D transcript:Sspon.03G0020770-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding HGRAAMRGDTEGALARDGGGSGACVEGRGASPSDCKMVLFLLSPLPRRSLPAAVDRGGARPCPCGAEDGNHHSHRRAEHEAPHRPEGAAGSRGLPLLPPRAARRHGGQALC >Sspon.04G0012660-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4A:43623967:43625424:-1 gene:Sspon.04G0012660-1A transcript:Sspon.04G0012660-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPGTSAPVAGVDSPPHFVLVPMMAAGHAGPMLDLARALSRRGALVTFVTTPLNLPRLGRAPSDDALPIHFLALRFPCAEAGLPEGCESLDALPGLGLLGNFNDACAMLRGPLLARLRDDREGSTTPPASCVVSDACHPWTGGVARELGVPRLSFDGFGAFSSLCMRQMNVHRIFDGVDDDRRPVRVPGFPIDVEISRARSPGNFTGPGMKEFGEEIMAESARADGLVVNSFAELEPMFVDAYEAAIGKKIWTIGPLFLTPTTMPSTGTTTEDANVVRCTSWLESKKPRSVVFVNFGSLVRSSLPQLVEIGHGVEATKRQFIWVVKPGNPGEFEQWLSEDGFESRVGETGLVIRGWAPQKAILSHPATGAFVTHCGWNSVLECVAAGLPMVTWPHFAEKFMNEKLVVDVLRVGVPVGVKDVAQWGVETEGVVATRQDVERAVAEVMDCGEEGSARRARVAVLGRKAREAVAHGGSSYRNVALLIQH >Sspon.02G0014200-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:37896160:37897562:1 gene:Sspon.02G0014200-1P transcript:Sspon.02G0014200-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAPCCDKATVKKGPWAPEEDAVLKAYIDEHGAGGNWIQLPHKIGLNRCGKSCRLRWLNYLRPNIRHGGFTEEEDRLICSLYISIGSRWATIAAQLPGRTDNDVKNHWNTKLKRRLLGGGRRPRAEARLQLLTSPTTWQHHNSFASSALERMQASMRLHRGHQARLDNPAAAAFTLHNYGSLGAPLWPSLSSSSSPSPAASESSEMLPRQPPGGGATSTGYCAGLWTHMPGSFGYTGAGVQENIDGTCTPPLSTSIGETMTTAVGVESSSSTPTASSASATFGSSMDDEIDMLLRQIQCFGENNAHHIGDEAAVDGIDHYFRASMDHHETADGCVGSWSSCCSTPGVDSVFHDYVQGYNQC >Sspon.01G0014900-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:43813828:43815662:-1 gene:Sspon.01G0014900-3D transcript:Sspon.01G0014900-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVQTHYHHHHHHRESPFLVSGGGGTPESSRLAAAMELHQAQQEAMAPQQAPPLFLDFSHGDCGGGRKRQREAESVSPQFFSLQQPPQAQAPKLINLSQLHKRPAMGLRLDFDEGSEHVSCTSSASASCLLSEELAAQRDQHKNEMDRLIQEHAERLRRALADTRRRHYRSLVGAAEAAAAQRMREKEAEASEAARRGADLEDRVARLRAEAAAWQAKALADQSTAAALHAQLQQAAAAAQARGKAEEEEDNAGGAAVADDAGSCFVDPDRVVEIAPPRPPPARPCRTCRQRSASVVLLPCRHLCVCAECEPAVPAGAVAAACPMCRGAVTGTVQVFFS >Sspon.05G0012960-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:35031672:35032630:-1 gene:Sspon.05G0012960-2B transcript:Sspon.05G0012960-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding HVGDLAGGGAEVRPGPRVGTAADGDAGRRDGFAPRHRAPAGGLHHGVLGVGPRPAHRPRVPRRHHHLPPRHLPPRLPAAALQARRRPLARRVPGDLPRQQAVPGVRGAGHDGGSVPAAGARAGRVRARRRRGGAVGDAAPVPAVVPDPDGERGGVAGRLLAAGQGAGAAALHRAPRLRHRRLGLRRVGQQGPHAGRHRAGGRLDVVRQVPRRRQPALLLRQPLRLPHPEVPAPRLREVLPHARRGVRQDGRGQARGRRPTVVVIAGAGGGAAGRCCRCGEAGRVQEGGL >Sspon.08G0001410-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:4849293:4849906:1 gene:Sspon.08G0001410-1T transcript:Sspon.08G0001410-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAVSLSLSLPLHHHAHAHAHGALPLPLPAPHHHHNHCARHHSSSSANAPSSKAHAHAQAPPAARLSLAVAARPHSSSSPALAPAAAAAPAPAPPAARSSSRAATGYAAALADACARAGTLRRAARHARALLHSGPGRRLEADAAAAVAGQQLDARVAALVRMLVAKGKPGMVAEALAEFAAICDHLLPHQPPRARHAY >Sspon.03G0001580-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:10037310:10038845:1 gene:Sspon.03G0001580-2D transcript:Sspon.03G0001580-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding PMDSSSRRSLSDLLGTGDDDLEEGEYVPGHYQSSSDTDDDLFDQSSRREDDDEVHPQHGGRMRRLEDIVAAGRVGAGSPTPSSESEGTISDHRSASSAGGVGARKFACHVCGKGFSSRKAVDGHMRVHGSGSGRHVVATAAAAFSGGWAATGRRGSTGGKGKASAAAASLNSESTTGHSTAIVAVQVQQPLEPVPMAFAVTNLSPMPSSTRTNLSGEDDEGSSASATAAALPMHNDEPVAVAAAAVVTGANPSSTGAGAGARARAGPVDHQLAAADQQAPAPQVHRQAVSVPSPAAQKAQFAQQPLVPPPAAAAAVGQLVPLPRPAQPPREYSCKVCGKTYSTHQGLGGHAAGHRNRQREQEAMAAAAGMMMMPHGGGGEFLAALRRGRKAEEPHACQKCHKVFATGVALGGHMRMHYTGPPIVHKSNKRCVALVAAVQPPPPVVSEADLRLALSAAITEEPSSSPAPAVAGTGRLRLFGIDIGPLGQQGPSEQQGSGTTEGSSSAGEQQHK >Sspon.01G0003770-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:10640208:10642345:-1 gene:Sspon.01G0003770-1A transcript:Sspon.01G0003770-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATFFSTSTNQRDLAGGGSDMSFHHYTYSDSPAGGLMPFPATIVSEGHVAHGGDDGRDEPGAFVNVNARDGPTSGGEMGLQTQLLMVNASSAVQHQGLSLSLGTQGVPVSLYQYRQAQAGMAAAASLLSPNQASTTASRNAQSIYIQNSRYLKAARELLDEVVNVRDAIKRKGDKNQQGKDSGGEGKDAETSDDKADEHEGNSSAAELTPSERQDLQNKVSALMALLDQVDRKYRHYHHQMQIVMSSFDAVVGAGAARPYTALALQTISRHFRSLRDAVGAQVQSLRRSLGEKDTSAQGGGLSRLRYIDQQLRQQRAMQQFGMMQQPQHAWRPQRGLPESAVSVLRAGSSSISSTHSEKLMLARQTGLSRGQVSNWFINARVRLWKPMIEEMYKEEFGAEMDSHSSSENAAGNKGKADEAISSEDHEEFQSPSSAANAKHGAAAAGHHLSAFKSEAIGGMDAAGVGLSSLDGAIGPYATTSLNLGAAVGNGAGGLQEAFAHHHGGGDARFVQAYGDMAGLGGYDGGSVSLTLGLQHCNDAGAVAAEQQAGLLYGTAGDFEFINGSAEDRQRFGSSQLLHDFVA >Sspon.02G0028600-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:100667086:100671345:1 gene:Sspon.02G0028600-4D transcript:Sspon.02G0028600-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:OS9 [Source:Projected from Arabidopsis thaliana (AT5G35080) UniProtKB/TrEMBL;Acc:A0A178UMY2] GLRMRRLCLLLIPGVAVTSQIILGSVHARTDLWTPGLNFTVNTLTLREVVKVGISKGGAKTHLPSLMGFAGRVSALLFLLVAGAVANDQIFTVEETKTMKSMLPQNATNVIVESERRIKPKEPDELLEVLKDQCLAQHEGWWSYEFCYHGKIRQVHVDLLKVIQEFVLGEFDDDATAAYHENSTSELADDNHQVKDICFRYHVHLYKNGTVCDLTDIPRVLQVRFVCSEPTVLISSIKEISSCKYVVTIQSPMLCACRLFQQEKRTLSIHCNELPAKAESSAEDDALPKEAQISIIPDQDDLHGFPAY >Sspon.05G0021260-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:92105977:92109092:-1 gene:Sspon.05G0021260-2B transcript:Sspon.05G0021260-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEADIDVEMTKAQVQQEVVQSSERPSNISQVRESSWRRACQSLKPLIFQVIYSNDNTAGHLGRQKKSWPNYTLNNLLFACTMLFSAYMNLSSSTSTTIKILLVVDIVVFIIINAVLLIKLPNWGNALACISWFLLELAMCLLILPFNRCYALAILTVLFLIVLVALLQPKFQHSVKKYVLPSFTTDAGDAQGDICDQENDYLNHLFNISNGIASCGGLVTGILGYYSYMVGPVTAAGFFFFCTVLLGLYLMMVIAMRAVSPPHAACLAMLLKVLLLLTIITASISFVHHSVTNPQVVN >Sspon.08G0007370-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:23811930:23815263:1 gene:Sspon.08G0007370-1A transcript:Sspon.08G0007370-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGPTTWCRSFPLLFVLLLFLLAGEGRSQPAAGAGSSDRDTLLAVKKEWGSAPQLKSWDPTAAPNHCNWTGVTCATGGGGVVTGLILSSLKLTGSVPASVCALESLTYLDLSYNKLTGAFPGAVLYACAGLTFLDLSNNQFSGPLPRDIDLLSPAMEHLNLSTNSFAGEVPPAVAGFPALRSLLLNTNGFTGAYPAAEISNITGLEKLTLADNAFAPALLPAEFAKLTNLTYLCMDKMSLTGEIPEAFSSLTELTTLSLAWNELSGSIPAWVWQHQKLQYIYLYNNGLSGELTRSVTALNLVQIDLSSNQLTGEIPEDFGNLKNLTFLFLYKNQLTGTIPASIGLLPQVRDIRLFQNQLSGELPPELGKHSPLGNLEVAVNNLSGPLRESLCANGKLYDIVAFDNSFSGELPAELGDCSTLNDLQLYNNNFSGDFPEMIWSFPKLTLVKIQNNSFTGTLPAQISPNISRIEMGNNMFSGSFPASAPGLMVLHAENNRLGGELPSDMSKLAYLTYLYVPGNRISGSIPTSIKLLQKLNSLNMRGNRLSGAIPPGSIGLLPALTMLDLSDNELTGSIPSDVSNVFNLLNLSSNQLTGEVPAQLQIAAYDQSFLGNRLCARADSGTNLPTCRGGGRGSHDELSKGLIILFALLGAIVLVGSIGIAWLLFGRRKESQEVTVWKMTAFTQLNFSESDVLSNIREENVIGSGGSGKVYRIHLGNGNASHDEERGIGGNGRMVAVKRIWNSRKVDEKLDKEFESEVKVLGNIRHNNIVKLLCCISSQEAKLLVYEYMENGSLDRWLHHRDREGAPAPLDWPTRLAIAVDAAKGLSYMHNDCAPLIVHRDVKSSNILLDPDFQAKIADFGLARILVKSGEPQSVSAIGGTFGYMAPEYGYRPKVNEKVDVYSFGVVLLELTTGKVANDRSADLCLAEWAWQRYQKGAPFDDIVDEAIREPAYMQDILSVFTVGVICTGENPLTRPSMKEVMHQLIRCEQVAAEAEALQVS >Sspon.03G0026710-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:25641079:25644790:1 gene:Sspon.03G0026710-2C transcript:Sspon.03G0026710-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAAVDVEDLLVRVKTGAEDDLAAVAREVAALAGDGRLGDDDDEDGLLVPALLARLAAAGTADARVSVLAALRRLAGCVAGESKERLASIEALSSIVRSLSRDVDERTEAIAVLLDLSDIPQVRQRIGRIKGCIVMLVTLRNAHESGTVDDAEKLLSILSSNPQNVLLMAEAGYFLPLIQYLKQGSDMNKVLMATAISKMFLSEHMKSSLGEDGAIEPLVDMFKYGNLEAKHSALGALRNLSSSLQNAEILINSGVTGPLLQLLFSVTSVLMTLREPASAILAAIAQSERILFHKDVAPQMLSLLNLSSPVIQLHLLRALNRFPGTRMLKEPEGKLGKMEGCNCFYLS >Sspon.01G0018940-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1A:70889923:70890202:-1 gene:Sspon.01G0018940-1A transcript:Sspon.01G0018940-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GCHLLDGLVACDSVEARVKIVRGSGRRICEGDCALPVGIAKSNSSKARGLEDPHLESGCAAPAEGLTLDSQLARNPSSGCIAITETSLVATK >Sspon.07G0010440-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:29996684:29997413:1 gene:Sspon.07G0010440-1P transcript:Sspon.07G0010440-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNSWFYKLRDMKKPRPASKRNSETTRTSKRSSHYYHGSITPKPLPLSPHRSYSYYLNTKHNMSLDEKLRPSTLHLHPKASDIQFPRDHHHHHHHCLESTMVIEAKHEFQDLQLRPIRTRAVLTGSTSGTCPSSPRLRSRRLPAVINGGSISTTSAIGGQRSAARRSFAVVKASTDPPRDFKESMVEMIVENDMNAPEDMQELLECYLSLNSREYHGVIMEVFREIWLEIVQDIAED >Sspon.01G0056610-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1C:91079562:91081708:1 gene:Sspon.01G0056610-1C transcript:Sspon.01G0056610-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFWHGVADRLTGKNKEAWNEGKIRGTVKLVKKEVLDVGDFNASLLDGLHSILGRDDGGVAFQLVSATAADPSKRAPCLTPPLPLSERPPPPGPLPVAARSLAGRGRNVRNGGRGKVGKAAHLEEAVVSLKSKTDGETVFRVNFEWDESQGIPGAVLVRNLQHAEFFLKTLTLEGVPGRGTVVFVANSWIYPHRLYSQERIFFANDVSILSYDICVVLRFALGSLDQGSRCVLGFGFAGPVVGPVADASRSCLKKKLRWPV >Sspon.01G0060430-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:78965272:78966531:1 gene:Sspon.01G0060430-1D transcript:Sspon.01G0060430-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKERDFRDRYLGITLANVLVINKSQNGNQRSQQQNGNQNQRQSTPNNTPM >Sspon.08G0030720-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8D:64836450:64838483:-1 gene:Sspon.08G0030720-1D transcript:Sspon.08G0030720-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSCHPSMSPLQLLLFSLLLLVGLVTALPPQAAMNHERWQVDRVNQRGPSLGLVMSYIDEATTLEASSYFTPSRVLPFVDLYEYNLTYWDVSGRRFHIGSIRGVNIIYALTGQRRLNAAVTVQILIDVFSVSGIVHYGTAGSSNDSISFGDVSVPKLVAFTGAWTWKQIHPLNMISGILIIQKFKSPKESSVELNFGKYNFPNGGENLLESVEFRNEELYSVGKPMEEVFWLPVNSAWFRIAEKLKVKLERCNDTFCLPTTPKVVYGLKGSTSDIFVDNAEYRKFLFKEFGVSTIDEESAAVVMTTTSPGIPVIVFRGVSDLAGGEPKRTSTSLLNLASINALKVAVEFIATVGK >Sspon.02G0020830-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2B:67926395:67926888:1 gene:Sspon.02G0020830-2B transcript:Sspon.02G0020830-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVSSSKLAWFVLAFNVVAAAAAGRVSAQNTAQDYVNLHNSSRADVGVGSVTWNTTVAAYAQSYANQRAGDCAGCWAASDAVGSWVAEKQYYNHATSTCSAPSGLSCGHNTQVVWRASTAIGCARVVCSNNAGVFIICNYYPPGN >Sspon.01G0023410-1T-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4B:27280911:27281279:-1 gene:Sspon.01G0023410-1T transcript:Sspon.01G0023410-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRLVGGAAALRSPAAAAFRRLLHNGGGGGGCSSGEPESVAYRMSMLRRPSSVRKKGLTWNSCSLVGRLDAPVRPFDRSSDEYPMVYTFLSVTPSSPASSSSSSNLSVAPRRLRPPRARPS >Sspon.08G0020640-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:24215962:24217535:-1 gene:Sspon.08G0020640-1B transcript:Sspon.08G0020640-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VMVGYSDSGKDAGRLSAAWQLYVAQEEMAKVAKKYGVKLTLFHGRGGTVGRGGGPTHLAILSQPPDTINGSIRVTVQGEVIEFMFGEDHLCFQSLQRFTAATLEHGMHPPVSPKPEWRKLMEEMAVVATEEYRSVVVKEPRFVEYFRQATPETEYGKMNIGSRPAKRKPGGGITTLRAIPWIFSWTQTRFHLPVWLGVGAAFKWAIDKDIKNFQKLKEMYNEWPFFRVTLDLLEMVFAKGDPGIAGLYDLLLVADDLKPFGKQLRDKYMETEKLLLQIAGHKDILEGDPYLKQGLRLRNPYITTLNVLQAYTLKRIRDPSFKVTPQPPLSKEFADENKPAGLVKLNPASEYPPGLEDTLILTMKGIAAGMQNTG >Sspon.06G0012740-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:54805245:54808647:1 gene:Sspon.06G0012740-2C transcript:Sspon.06G0012740-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRFLHQLLAVVLALFVSPARSGDWLPATATFYGGANGSDTMVLQAHLGSIGMKRGLIALLDVEGVPVYLGEITVENLMASWQSVQDILVRHYSRQILHELYKVLGSAGVIGNPMGFARNVGFGLKDFISASRKGKLQSPVELLNGIAQGSKTLIGSTVYAVSSATSHFSKTAYKGLVAFTYDEQAASKMEERERLLGLHGEGVLNGFLEGLTGLLQSPIRGAEKHGLPGVISGLAMGTAGLVARPMASILEATGRTAQSIRNRSNPHESNYLRVRFPRPVARDHPLFPYSWEEAIGVSLLAQADGGRLKEETFVMCKTLKGAGKFLVLTEKLLLLVSSPHLVDLGSPQFVGVPPDPQWSIDTEMHLKSVVHLDRSQEVVNIVGSNGQTSPRDKRGGTRNRVMSSAFVPLFHLSIELPNDEDAEGTVQVLQALIEKGKARRWDKNILHRSNIS >Sspon.06G0004310-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:11330769:11335971:1 gene:Sspon.06G0004310-2C transcript:Sspon.06G0004310-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-prolyl cis-trans isomerase CYP71 [Source:Projected from Arabidopsis thaliana (AT3G44600) UniProtKB/Swiss-Prot;Acc:Q8W4D0] MASASETTEQSTLTSTTVDDGGAHESKREHLNGSATTPTVGQEEDEMIGPGPAPAKQRQKRPLQFEQAFLDALPSAAMCALSTLLAIWSQDLVAPVAESWYEKSYMHRDVVTHVAVSPADFFITGSADGHLKFWKKKPVGIEFAKHFRSHLSPIEGLAVSVDGLLCCTISNDRSVKIYDVVNYDMMFMMRLSFVPGAIEWVHREGDVKPKLAVSDRNTPFVHIFDTHSGSNDPIMSKEIHGGPVKVMKYNHIHDVVISADAKGLLEYWSPSTLKFPENEVRFRLKSDTNLFEIAKCKTSVSAIEVSNDGTQFAVTSPDRRIRVFWFKTGKLRRVYDESLEIVNLHTNKVSCILGKVENNERFLRIALYQGDRGNKKVRKIPSVAANVNDSKEPLSDPTLLCCAFKKHRIYLFSRREPEEPEDATKGRDVFNEKPPPEELLSVSDLGKTATTSLPDNLVLHTSMGDIHLRLYPEECPKTVENFTTHCRNGYYDNLIFHRVIKGFMIQTGDPLGDGTGGQSIWGTEFEDEFHKSLRHDRPFTLSMANAGPNTNGSQFFITTVATPWLDNKHTVFGRVVKGMDVVQQIEKVKTDKNDKPYQDVKILNGSEIVPALLGHIANLWKTMCWNLRDQLYAVVN >Sspon.03G0022230-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:54139241:54141105:-1 gene:Sspon.03G0022230-4D transcript:Sspon.03G0022230-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRMVPDPAPDSSGSGGGGDARPRVGVGSGAALFAVPRLFVGFAAKRAPPDAESSRSPTSPLDPKALLLRSPRMTWGAPGLVDALAADAAAANCLLSPRLRLIRPHSSPPKDCSGPGGGGGGGHSQPELGKTMSCPAPDTTADAAADAAAANCLLSPRLRLIRPHSSPPKDCGGPGGGGGGGHSQPELGKTMSCPAPDTTADAAAAGGGGISVPCSRFQFRHGDLKSGPEATRSVSDAGAHLVSNGKHHSFDLGKLPGPGSLPASSRRFVGSVSASEIEQSEDYTCIIARGPNPKTTHIFGDCILEPRTVGGGGDEAAAMESEEGAAGCCYFVVKSATEAAAGADDFLSSCFACKKKLEGNDIYIYRGEKAFCSANCRDQEIQLEEEAVNNTGSASPRSSCSSFHDDIFMAGMVVST >Sspon.03G0038290-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:5294074:5295195:1 gene:Sspon.03G0038290-2P transcript:Sspon.03G0038290-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRAFVLVLAALLALCAAAVSVAAQQRPLPPKYRIINPGKYKRDQQMTCDDPKDNKPKCLAKCDKRCPDQCIVLCPGCKTFCMCDFYPGVSCGDPRFTGGDGNTFYFHGKKDQDFCIVTDAGLHINAHFIGKRNPAMRRDFTWIQALGIRFADHRLYMGAEKTAKWDNDVDRLELAFDGAPIDVRTETGAVWQSAAVPGLTVTRTAATNGVRVQLEGVFDVVANAVPITQEDSRVHNYGVTEDDSLAHLDLGFRFFGLADDVHGVLGQTYRSDYVNRLSVSSNIPVMDGAPKYVSSDIFATDCAVARFGAAAGISMVTARAY >Sspon.01G0001540-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:4051875:4056565:1 gene:Sspon.01G0001540-3C transcript:Sspon.01G0001540-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LCIKLLAWTALSSCGASSCPVSSIPRQNPEGIPHCAHGRARGHQWRPASLKLASPKPLASAPSALLGGRRAQARPLPARRLPPPPPRRRAAHRRAAHRLLRQGALPSPLSGCTSSSGYGGGGRFISLAMVVVVVTPGAGGADWRDRLLGGGRGDDAAAAAGREGRGAHLRLPRPPQGQGRDLRRGVVRETRLISLPAARDGLDYFCGPLIGFLSPTLFQIVGLAKAMLSCCVRVDGLDDAVDIVGTGGDGADTVNISTGSTILAAAAGAKVAKQGSRASSSACGSADVLEALGVNIELGPEGIKRCVNEVGVGFMMSANYHPAMKIVRPVRKKLKIKTVFNILGPLLNPARVPHAVIGVYHENIVTKMAKAAQKFGMKRALVVHSKGLDEISPLGPGYILDVTPGKIEKMLFDPLDFGIPRCTLEDLKGGDPAFNAKVLQDVLAGQRGPLQMPLF >Sspon.01G0056980-2D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1D:91054731:91055435:1 gene:Sspon.01G0056980-2D transcript:Sspon.01G0056980-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEPTGEGQAAAAAAAALPDDMLLEVFKRLPPPRDVIRCAAVCRRWRRVVSGAGAACLPRPPTHFGFFRNYGPSPQPPFVPTAGLSLDIGFLPVPPACGAVLVDARNRRLLLRELGPGYPRELRLLVCSPLEKTYVRLPPLFIAGHRVACCVLVPGEGVAFRVVVVLFGTDPNHFEVLVYSSVSSAWESATGPVHRNLVPRQGPSVVVGDVMYKLQGEEKYIMVIDAVQMTLSA >Sspon.04G0008000-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:22519276:22521836:1 gene:Sspon.04G0008000-1A transcript:Sspon.04G0008000-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative cyclic nucleotide-gated ion channel 15 [Source:Projected from Arabidopsis thaliana (AT2G28260) UniProtKB/Swiss-Prot;Acc:Q9SL29] MYASLRNFRFQNEIEVQSFRTSPLQQNLSSRKHGRAHDPRKCRLGFRGGCLEKACRNPTLKDRVLSRAFSEELESLMHAAGSSHLFFDPRGHLIHLWSKIFLSACLLSLFVDPLFLYLTGTQRNNNVCIEFKYSLALTLSMIRSLLDLFYAAHILFRFRTAFIAPSSRVFGRGELVIQPYKIARRYLGRTFWFDLVTALPLPQFVIWIVILKLNESPTTNRKSILRFSIIFQYLPRLFQIFPLTSQIIMATGVMAETAWACAAYNLILYMLASHVLGALWYLFSVQRQEACWREACLLESPTCQTMFFDCKALSSNRTIWYELSNITSLCTPGNGFYAFGIYEEALHAKLTSSSFTQKYFYCFWWGLKNLSCLGQNLSTSLSIGEITFAIVIGVLGLVLFGLLIGNMQSYLQTTMVRLEEWRTKRTDMERWMHHRQIPQPLKQCVRRYHQYQWVATRGVDEEALLQDLPMDIRRDIKRHLCLDLVRRVPLFDEMDERMLEAICERLRPALYTRGTRLVRELDPVDSMLFIIRGYLDSFTTQGGRSGFFNSCRIGAGEFCGEELLTWALDPRPSAKLPLSTRTVRAVSEVEAFALVADDLRFVASQFRRLHSARIRHRFRFYSHQWRTWAACSIQAAWRRHKRRRASVELRVREGGDVRTAGSFRRSCRHSIDGEASIKKPMEPDFTVEEED >Sspon.01G0052590-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1C:24470697:24471154:1 gene:Sspon.01G0052590-1C transcript:Sspon.01G0052590-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding HVKEMIEMELVADYTANPDYMKIWEAIMEGQKKFMDSVENKSKPSKITLECFGEVDGTVDRVLKSIVLRLVNGLALHVLCGVKRLVENDLEDELANELLGNNMAGVERMLSPPPSTRTKLDRLKKSIVLLQQSKE >Sspon.04G0016970-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:61931220:61936097:-1 gene:Sspon.04G0016970-1A transcript:Sspon.04G0016970-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to RAD23 protein, isoform II [Source: Projected from Oryza sativa (Os02g0179300)] MSLHDLEVRLLETRIEHRCFWDGWIRQAGAIGRGIEKYWLKIMAVKKNIEEIQGKDSYPWGQQLLIFNGKVLKDESTLDENKVNEDGFLVVMLSKGKTSGSSGTSSSQVEAPNTCDNAASNLLSGSNVDTMINQLMEMGGGSWDKDKVQRALRAAYNNPERAVEYLYSGIPVTAEVAVPIGGQGANTTDRAPTREAGLSGIPNTAPLNLFPQFQAVREMVHTNPEILQPMLVELSKQNPQILRLIEENHDEFLQLLNEPFEGGEGDFLDQPEEDEMPHAISVTPEEQEAIGRLESMGFDRARVIEAFFACDRNEELAANYLLEHAGEED >Sspon.01G0044290-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:81397002:81407693:-1 gene:Sspon.01G0044290-1B transcript:Sspon.01G0044290-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAEGEVRRDMWGQEYRTSSADCAAALDAYYAAFMSFGRGRVAAVLRAAAADPTCALAAAHAAHAVASRDPAGAAAFLAAAKDNLGKATEYERAVFGTLSALMGEGWDVEVAIERHFELLKQFPRDILSLKRAQLICFYLGKPDLSLKFVQQLCHVFQQECRFREATEFMESCSPSWEACTSFLLTHNWWHIAVCYLEAESPLCKVLEIYDHNIMKELEKSDCEAAEVYLNALGLLLRLYIRGHIDSAKERLTTLLDALKNEVLTPRNIFEVHSMDRNRQQVMQKAIKLAEAAYEYGKGDHMKVFDTLGPDFDALDYKMIGASDEQVDVFNEVWYTVLINAGETSKAIEILGKQIRKREGAPFLWRLLEKGYSLDGRSADASVASKKANALQSAYNH >Sspon.06G0013820-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:59743160:59746720:-1 gene:Sspon.06G0013820-2B transcript:Sspon.06G0013820-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALRPRAAVASTGLRPAVRRGAGRSSGAAFRYYTCWQLQGNILNWRSISAAKKLWSTVASAKPDDSKFESVDAPLEPQTWEGSFLCGLLKNLPHIFLAAAAKQLQELSYQREDTLNRWEHSIGSKEDCLHRLIEVPMVPNLSKLISNRRLQLWPPRETDLESIHGPEVLELIREHLTSIIRWVHRNGPKINRSTLRIKRLQFGRIYSASIMYGYFLKSVSIRHRLELTLIHSEELPLPIQFLNAQFTNKQEQEEAIGDSREVSSSSRPNSVVNPHDLRGYMMGFDPKTLQLCAKLRSFEASNLIERHSWALFGGNMELSQENDEAVILDPSSLKRLLLEAIAFGSFLWDVEDYVDEIYKLSDS >Sspon.03G0021290-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:87845155:87849135:-1 gene:Sspon.03G0021290-1P transcript:Sspon.03G0021290-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHNALIGSIPSQLGMLHQLESLDLSSNELSGEIPWELASLDFLSMLNLSYNQLQGRIPESSHFLTFSDLSFLGNIGLCGFQVSKACNNMTPDMVLHQSKKVDIVLFLFAGLGFGVGFAVAIILTWGISRSSSLPSQSTHVEDNVFLH >Sspon.05G0015090-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:57981737:57982985:1 gene:Sspon.05G0015090-1A transcript:Sspon.05G0015090-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTAGSSKPSGSASAIVADAASGYHILKVSGYSRTKGTPTEELIKSHPFTVGGHRWCIEYYPNGDSSESADYISLFLYLDEGVTKAIRFIDDVEDQTQQLTAEPLLSFESRRGWGYTRFIEREKLEKSKHLKDDSFVVRCDIAIANELRTEELALAEAPTTTFVSVPPSDLHGHLGALLETQKGADVVFEVSGETFKAHRCVLAARSPVFSAELFGQMKESDGAAGVVRIDDMEAHVFKALLLCSGIASLYVY >Sspon.02G0044550-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2B:102476218:102481066:-1 gene:Sspon.02G0044550-1B transcript:Sspon.02G0044550-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQFYLFEESNDIKLERSYRKITNKRIAFLNLSPDEVIRSLFYNKNNDSLITVSVYASDNFSTLKCRTTPIEYIRRNQLDAGFPLFESESLKWPGFVEFDDVNGKVLTYSAQDGIYKVFDLKNYSFLYSIPDENVQEIKISPGIMLLIYDRTPSYVPLKILSIEDGKPLKFFKHLLHRNKKIDFIEQFNEKLLVKQEDENFQILDVWPNDFMVCVSKVRSSELIEVSVSKFMTPSAFIFLYENNLFLTFRNRTVAVWNFRGELVTSFEDHLLWHHDCSTNNIYITSDQDLIISYCKSEAVAEDGTVIPVGSINMSEIMTGKCIAKITANDPALSVAPRRNGRNKLWSTVPEALEDVTALFYDEDRNEIYTGNSHGLVHVDASSKAKKLMKMWSEQDPFPEKVLALPKALQKCTLYFFFSRSPRLCDDYGDCGRPMTSCQRSSSGPLLETSTHPSHQVGSIEMTVCLWPCVGAAVAVDGAGHRKVGLFRSNPRTPTEVVQHVRDLVTYVLDNKDGCCGSKRDAKLEHTRGFNLTFMCD >Sspon.01G0050410-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:992612:993004:1 gene:Sspon.01G0050410-1C transcript:Sspon.01G0050410-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASPEFFKPAGAPAFSPACAAQPPLVFAGDDDDDYYYCKTPTGSRISYLREPTTCPPAPRKPKPPPPCRKRLFQPQPQAQGDQPAAAESSSVPVISLRLDELERLFRPHPPTDKRRRSSSASANKHCAA >Sspon.01G0015650-2T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:50744103:50744468:-1 gene:Sspon.01G0015650-2T transcript:Sspon.01G0015650-2T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCGSNANAGKEEGASGGQPGNGKPPHWRRREYATTTVYVVHPTQFRTVVQQLTGAASPPPLSSHQHAGGSGGNGAGTRTIAVAQADHGGAEQSGGTGSRRGRTLGQMYQDCLAWANADDS >Sspon.03G0027930-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:7885775:7887391:-1 gene:Sspon.03G0027930-2C transcript:Sspon.03G0027930-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAVAALIVLVVAVAVLLFLGLRRRAGAGHRNHCPYPNPVLGNVVPFVRNFHRFLDWATDQLAAAPASTIEVRGALGLGNGVATADPGVVDHLLRAGFPNYVKGARFAEPFADLLGSGIFLADGRLWSLQRKLAPYSFSSRSLRRFSGRVLSAHLHRRLLPLLAAAANSGEAVDLQDVLKRFAFDNICGVAFGVEASTLLELGEEDGGGRGRRRRHDAFFKAFDDAVEISFARMLHPTAVVWKAMRLAGVGSERRLREAIRVVDEHVAAIMESEERSRGRGDGGGDEQHLLSRFAAAMEEDEGSELGAMFQSPEAKRRFLRDIVVSFVLAGKDSTSSALTWFFWLLAANPRCERRVHEEAAASLDENGYDDHSGYDELRGMHYLHAAITEAMRLYPPVPINSRVAAAGDVLPDGTTVRAGWFSDYCAYAMGRMPRLWGDDCREFRPERWLDGGGEFVAVDAARYPVFHAGPRACLGKEMAYVQMKTVVAAVVRRFAVEPVRAARMEAPPPYEMAVTLRMKGGLPVRIRRRESDAGRH >Sspon.08G0007350-3D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8D:21348521:21349003:1 gene:Sspon.08G0007350-3D transcript:Sspon.08G0007350-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSEGGFSRLLATDPRNQRADNTSFQHMPNFTPNTFNHTQFPTPPTPFNHSHYLQHSQPTPTHAMHNLNHFGGVGNLQQYAQFSPGYQGFQPVPNFGFPSGMFVGAAGGASSHGSDSATPQSQIREPEKDEEKEDSSASSPDEGRRTVRINYSEDENLRL >Sspon.03G0016680-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3B:76652830:76659191:1 gene:Sspon.03G0016680-2B transcript:Sspon.03G0016680-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSNVSQAGAPPPPPPTYASTPAPFEIAAAPPPKPHEEEATEEKVDYLNLPCPVPYEEIQREAFMALKPDLFEGMRFDFTKMITPYFALSHSVYMGSTEIPAQGSDVIKVPTSNYEFGANFINQRTMLMGRVSHDGRENIRVKHDITDNLSLKINAQLTSEPHYSQGMLNFDYKGKDFRSQIQFGNNGFYGANYIQSVTKNLSLGTEAFWLGQQRKSGVGVVARYDTKKMVATAQIATTGMVSLSYVQKVSEKVSLASDFMYNQMTKDVTASFGYDYMLRQCRLRGKLDTNGVISALLEERLTPGVTFQLSAELDHWKKDYKFGFGMALGE >Sspon.02G0015770-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:41383976:41385313:-1 gene:Sspon.02G0015770-2B transcript:Sspon.02G0015770-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGNSGSLQSSSGGGDDEFDSRGGGGVDSSPLSALLRPSPSPSAAAFSLHGSYFGLQEFTSAPPPQPQAGTWSSGSFPSASGLSSSSSPRVGQPDSGAGGRQQQAAADTTTVAAAQGAAMGGAPAPAAQPPRGSRKRTRASRRAPTTVLTTDTSNFRAMVQEFTGIPSPPFGAGVGVGGPAASLRTRFDHIFPTPSSSLRSAAADATASLPPYLLRPFPHKLPTSVPSSSFPPFTTTTSSSSSSTPSSSNIGVANANAATGTAATAAAAPSNPVAPTAAGDTFQQLTSSALLRLQQDPSSYLSFQNLLDSQPSSQSIFGAAAGGFGQAASRLHDPAPSPSDFLASGSLGGLTHGGLLAGSEGLHLHHSRSDDVHGHGGDELSGVVAAGASSGSCKLNYSSHAGAATSSSAGAASADKPPDGGAGGAAGRPGRGEGLDPWICTSE >Sspon.08G0025910-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:15225700:15231051:1 gene:Sspon.08G0025910-1P transcript:Sspon.08G0025910-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYEKGQVCIFGFGIYRRDSYHQWLKYPHVTIGALASSAPVLQFDYITPWSSFYDVVSQDYKSESLNCFSVIKAAWDVLEERGSNDKGLLELSKLFRACTTLKHARSIQTWLRTAFIQTAMLDYPTPANFLENLPAYPVKEMCKIIDGFPANADILEKVFAAASLYYNYTGDQTCNHIGGEDIPRSLDLSYWLWQACTEMIMPMSSSNESMFPPSTFSYEDKSSTCFHFFGVRPRLHWITTEYGGYKIDKVLKRFGSNIIFSNGMRDPWSRGGVLKNISSSIVALVTEKGAHHLDLRSATKDDPDWVIEQRRQEVEIIQGWIDQYHQDMAQVS >Sspon.06G0032100-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:79077563:79084102:-1 gene:Sspon.06G0032100-1C transcript:Sspon.06G0032100-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPQPRALTIHHHRLRRHLVLIVFTVAAAATCTLAAASTHTTAAPAPRAASESPSPPASASFLRARCATTLYPALCYDSLLPYASEVQESPARLARVAADVAAARLRALSARVKDILRHRGTDPAEGGGGRPSGTAALRDCASTVSAAASLAGQSSAELTKLEQDAAGTTSSSAAGAGSGMSSSRQARWEVSNAKTWLSAAMANEGTCADGLVEAGAAASSAGKEVTAGVATVKQYTGNALALVNGIPVGSTHTTAAPAPRAAAAESPSPASVWFLRARCATMLYLALCYDSLLPYASEVQENPARLARVAADVAAARLRALSARFKGILWHGDGGAEPSA >Sspon.01G0039160-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:25824812:25825594:-1 gene:Sspon.01G0039160-1B transcript:Sspon.01G0039160-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MIEVLKALKELNVSWKKNGHYNMKCRWLPGFPEVHDMLDASNSFLGDSTIMDNDDANGRLPAVIKFEFQLYKTKDDKYLLDMQRVTGPQLLFLDFCAAFLTKLRVL >Sspon.01G0033480-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:112740170:112744613:1 gene:Sspon.01G0033480-1A transcript:Sspon.01G0033480-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAKPRNLGGSLPVPNVQDLAARPADELTTPVLHRYIRDDVDAADADANGDAAASVPVVDLARLLDPSHREHEAAKLKAACEDWGFFQVLNHGVPDAVIADVKADLQAFFRLPLAEKRAVAQEPGGIEGYGQAFVVSDDQKLDWADMLFLSTQPPEYRAPRFWPARPATFGDSLARYSAEVQRVATRLLAAMAANLGVADAGKVTRLADAQAVRINYYPPCPAAGGAHARVLGLSPHSDATGLTLLLQVSAVPGLQIRRRGAWVSVDPIPGALVANVGDVVQVLTNGRYKSIEHRAVVSPTHDRVSIAAFHSAKFGDTYAPLEETMVGTGSGGHGGPPRYKTIGVEDYNLGGSLPVPNVQDLAARPADELTPPVLHRYIRDDADADANGDAAASVPVVDLARLLDPSHGEQEAAKLKAACEDWGFFQVLNHGVPDAVIADVKADLQAFFGLPLAEKRAVAQEPGSIEGYGQHFVISADQKLDWADVLFLFTQPPEYRAPRFWPARPATFGDSLDRYSAEVQRVATSLLAAMAANLGVADARKLTRLADSQSMRINYYPPCPGGAHGRVLGLSPHSDAVGLTLLLQVSAVPGLQIRRHGEWVSVDPIPRALVANVGDLVEVLTNGRYKSIEHRAVVSPTHDRVSIAAFHSAKFGGTYAPLEETMVGTGSGCHGEPPRYKTIGVEDYVRLLLSCKLEGKNIMDAMKINP >Sspon.05G0028250-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5B:64321812:64324982:-1 gene:Sspon.05G0028250-1B transcript:Sspon.05G0028250-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding TFHRDLGPHGIPQINSIITCRIARDIMIRCAGMIDEERGEEVVREIGIEAWNAVGSEELVKGRNRRGGRNQRHHQTGKPTLYTIVGENPPLCPNPYRRSRAQPRSRARSLIRSSPHNWAQAQRTPRERTPPRPSPRLTSSFSASPEPSQDSDTATPRERSPPHPSPRLTSPFSVSPEGRAGTPRAHALARQAPTRTPNRQTRCSTHTRSYSGPQESVHPRHVAPVPGKAKGHGHHPDPKPDCELRLEMIHHHRKGEAAAEDPLPLPAAESGGVRMKELRGRLASYACQHRKHGHDALLRMLAGFAVVYILPHSAASAQPRLRHHDHGRAAPAMEEGAARRRARLLPCADVANGTVCCDRTALRTDVYVLREDVRSQTADHRRGPVFQPAHHRSLHPAHQRSRMGLK >Sspon.01G0049740-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:114566586:114568554:1 gene:Sspon.01G0049740-1B transcript:Sspon.01G0049740-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding FRRHPRRRRPPPTPSAMSQPVTPRRATRSSAADPASPTSPSGSRPKSTPRRQPVPTAAAKEEEGEH >Sspon.01G0045310-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:89146494:89152051:-1 gene:Sspon.01G0045310-1B transcript:Sspon.01G0045310-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA polymerase kappa [Source:Projected from Arabidopsis thaliana (AT1G49980) UniProtKB/Swiss-Prot;Acc:Q6JDV7] MSAAAGTPPEGGGNRPWQSYHTAYTNAKAGMEGVDKEKVQKVAEKKMLELEASRDLSKIWLHTDMDAFYAAVEILENPSLKGKPLAVGSMSMIATASYEARKFGVRAAMPGFIGCKLCPGLVFVPPNFERYTHYSELTRKELLIEALVVLVFQRYDPNFIATSLDEAYLNITNVCIERGTTGEEVATELRSAIHQETGLTCSAGVAPNRMIAKVCSDINKPNGQFILPNDREAVLTFVSTLPIRKIGGIGKVTEQMLRQVLGISTCQEMLQKAAFLCALFSEGSAGLYVNYTCLLFFLSFFKAMLSKQDLRIQVEILYFSDFFLSVGLGLGGTETPEQRQRKSISCERTFAATSDSSLLFEKLASLAENLTDDLQKEGLKGKTLTLKLKTADFEVRTRAVTTRNYINSKEDILVYATKLLKAEMPLSLRLMGYLTTKEEYLVPDAGTGVSTDQQDFFLHDESCFIPEQRSLGNYNNEAVLSNPLGGTNLDDVSSSAKVAPTEKLNEPDNLISPKVVASSSKPDQQSWIDGYICSICGFELPPGFEEERLEHSDFHLAETLQQEEAVDGTRHISNERLAERPCSTTPTPKKKLKSSKEGKHIPIDAFFTKCNKNL >Sspon.02G0036350-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:20747637:20751856:1 gene:Sspon.02G0036350-3D transcript:Sspon.02G0036350-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-(apurinic or apyrimidinic site) lyase 2 [Source:Projected from Arabidopsis thaliana (AT4G36050) UniProtKB/Swiss-Prot;Acc:F4JNY0] MVKIVTYNVNGLRPRVAQHGSLRRLLDALDADIICFQDMRPLFHATALQKVVEHTLEVALPVAAEEGFTGLQDYAKNSETVGDFIIAMPVEEEGLGEITREDLLRVDNEGRCIITDHGHFVLFNIYGPAVEEDDKERVRFKLLFYKILQKRWEHLLALGKRVFVVGDLNIAPASIDRCDAPPGFEKQMFREWLRSMLREHGGPFFDAFRSKHPERIGAYTCFNQKIGAEEYNYGSRIDHILISGSCLHHCDSVEDHSIFCCHVEECEIMNHFKRGNSENLSKWKGGRSSKLEGSDHIPVYILLKEIPELPVHNIPPSAARYLPEVRGRQQSIVSFLGKGKIYELQNAANLIQSEDTVVGSYCSDGLENTIIAKEGLTTGITEFAKGRNLPSLMCKGTNLDQWTNEGLIGVSHSSQKASPSSAKFVPKKKIKRNLSSQPTIKSFFEQPGSKTVNVSTSTLVTPAETLDLTNQTCVSNDDSLPENMQCTTSAAKDQDNTNVSSCSLSTDKSNAAALEWQRIQQKMKMTLPRCKGHSEPCIPRSVKKGPNIGRLFYVCARAQGPASNPEANCGHFQWAPGKSKEKSSKEK >Sspon.07G0012130-3C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7C:49525114:49531970:1 gene:Sspon.07G0012130-3C transcript:Sspon.07G0012130-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding NLACLCFYSIILIEDSMVRIRTRTRYIPMLESRYKTVLGA >Sspon.04G0013880-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:57027481:57044062:-1 gene:Sspon.04G0013880-1P transcript:Sspon.04G0013880-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGATWHETLSVLPIVGPGGIGKTTFTQHLYNDKRTEEHFTVRAWVCVSTNFDVLKLSKEILGCLPATENEGGNETNNLDQLQKSIEKRLRSKRFLIVLDDIWQCSEDEWANLLAPFKMREDGTGSMIIVTTRFPYIAQMVKTTTLVNLEGLEPADFWNFFKACVFGEISVEHDKEELIEVARQIADKLKCSPLAAKTVGRLLKKRFSREHWVQILENKEWLNQTHDDDIMPALKISYDYLPFHLKKCFSYCALYPEDYKFESLEIGCFWTSIGITDSGGQNDKIEDIGSKYLDELFDYGFVMKGDENNYVMHDLMHELAQIVSSKECAHINCSGFRAENIPSSIRHLSILMQNKYIEDFGGEMDKLRRRIDIGNLRSLMIFGEYRRASLVNILKDTFKEIKDLRVLFIFMNSLDSLPHNFSKLIHLRYLKLKSPYYSKVCLPSTVSRFYHLKFLDLRDWGSGCDLPKGISRLVNLCHFLSNVEFHSNVPEVGKMKLLQELRRFHVKKESVGFELRELGQFEKIGGRLQIYGLENVRTREEANEAKLMAKRNLTELALVWSGEQPSVEDDILDGLKPHSNLTALSIVNHGGVTGPTWLCSNTHLRNLETLHLEGVSWSALPPFGLMHHLGTLELENIVGIRQFGPDFIGGITEKSFTQLKKVKFADMPELVEWVGGADTQLFSRLERIRCINCPKLIALPFSGFPNLCDLCTDKSTSVKKYKVQRCDEAFLRALESGIVLQSVQSLQLEKFRVTGKSLTSLFRCFPSLSNLDLTASDEDYDEELAQNCTVAGYKNLVLPVEDGGAFQGLLSLESVSIVNCGKLFSGWSTAGADCSSINPFPPCVKDLRFGVNLALCHGSALKSDISYPNCKNVTVDGFNPLITCKLEHLSLNWKEDGETEPYSISVAGDLLAEVSRTKTMPAGSFQLVSLEVDCISAVLVAPICTRLSATLRTLWFICDWRAESFTEEQCQALQLLTSLEMEAAVGAANWLLGKVLSKLSDDLVAGYVASRELGLNYDKITDELNHTLGLLHAAQGRDVGNNPGLQRLLDGLCKKADEAEDALDELHYFMIQDELDGTREATPEPVDGLGAQALHARHAARNTAGSMPNSLQRPAIGSTIRQDKLYGRSAKSISKRLRSKRFLIVFDDIWQCSEDKWANLLAPFKMKEAGTGSMIIVTTRFPYIAQMVKTTTLVNLEGLEPAEFWIFFQACVFGEVTVEHDKEELIEVARQIADKLKCSPLAAKTVGRLLKKRFSGEHWVEILENKEWLNQTDDDDIMPALKISYDYLPFHLKKCFSYCALYPEDYKFQSVEIGRFWISIGITDSGDQNDKIEDIGRKYLDELFDYGFLMEGDENYYVMHDLMHELAQMVSSKECALISCSSFRAENISSSIRHLSILMQDKYIEDFGGEMDKLRRRIDIGNLRSLMIFGEYRRSSLVNILKDTFKEIKDLRVLFIFMNSLDSLPHNFSKLIHLRYLKLKSPGYSKVCLPTTVPRFYHLKFLDLQDWGSSYDLPKGINRLVNLCHFLSNVKFHCNVPEVGKMKLLQELRRFHVKKESAGFELRELGQLEKIGGRLHLYGLENVRTKEEANEAKLMAKRNLTKLALVWSGEQPSVEDDILDGLKPHSNLRSLSIVNHGGVTGPTWLGSNTHLKNLGTLHLEGVSWSVLPPFGLMHHLGTLRLRNIVGILQFGPDFIGGITEKSFTQLKEVEFADMPELVEWVGGVNSQLFSRLEKIRCTNCPKLIALPFSGFPNLCNLCTYKCQKLCLPPLPHTSKLSSFQTDYLDYRRSRLSINKMPCELALHNLGEVENLTINDASLISFTDLQKLHPLICIEVQRCDEAFLRALDGGTVLQSVQSLQLEKFRVTRKSLASLFRCFPSLSGLILKASDEDHDDEEVIPQFPPSSSLRHVRLDGCHNLILPVQDGCGFRGLLSLESVLIVNCGKLFSGWSTAGADCSSINPFPPCVKDLRLLNEPSTLSMALLSNLTSLTYLELRNCKNVTVDGFNPLITCKLEHLWVWNRKEDGETEPYSISVAGDLLAEVSRTKTMPAGSFQLVSLEVDCISAVLVAPICTCLSATLRTLCFFYDWRAESFTEEQCQALQLLTSLGSLYIRDCRALQSLPQGLHRLSSLEYFGIFESHRIRSLAKEGFPDSLRGLTISNCCPELYEECQQLRGTRPDID >Sspon.04G0005030-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:6334469:6337829:1 gene:Sspon.04G0005030-3D transcript:Sspon.04G0005030-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVIELDTDFGSTFSGILTDEQGRVQALWASFSTQLKYGCSSSEDHQFVRGIPIYAISQVLEKIISGTQGPFRLINGIKRPMPFVRLLEVELYPTLLSKARSYGLSDNWVQALAKKDPVRRQVLRVKGCLAGSKAENLLEQGDMILAINKEPITCFLDIEKACQELDQSIGSDGVLNMTIFRQGKEIDLIVGTDVRDGNGTTRMVNWCGCIIQDPHSAVRALGFLPEEGHGVYVARWCHGSPVHRYGLYALQWIIEVNGQPTTDLETFIQVVKGLEDGEFVRVRTVHLNGKPRVLTLKQDLHYWPTWELSFEPETATWRRRIIKALQSIKV >Sspon.02G0009720-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:27099670:27100069:1 gene:Sspon.02G0009720-1A transcript:Sspon.02G0009720-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLQSSHLRPSCSHPVERRPCLRRRHAAATPPMQRCRGSALSASASRRAPPLPTTPPVALPMTAATKLPLRPRRLRFTTRSAFPAAARSTPSLFMAPGSRRCAALPRQPCALRHPSGAAQAAALARPPAGAHP >Sspon.03G0019540-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:60869730:60871497:1 gene:Sspon.03G0019540-1A transcript:Sspon.03G0019540-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VFIFLTAEYENAKNSLNQVSLWDHIILDKDLAKLQLEVKSKYPLIDQGSSLRGKTVQFVLHWHVMPNAGAMIRDRMALSEFTLPDSYTS >Sspon.07G0030340-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:5927033:5927923:-1 gene:Sspon.07G0030340-1C transcript:Sspon.07G0030340-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNGQAYRLRLQQLCRSVNRRSNTNCKIQCGRYFGPVWVVYCIHALTVALGVEIGELRHWV >Sspon.08G0009300-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:33674215:33683117:-1 gene:Sspon.08G0009300-1T transcript:Sspon.08G0009300-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMGLLPLLAACCSLLLTVAAPARDIASVCASQISDFPHQNSSGLHLTLHHPQSPCSPAPLPSDLPFSTVLTHDDARVAHLASLAASSTPPRRPTSLRKKAAGGKLDDSLASVPLTPGTSVGVGNYVTELGLGTPSTSYAMVVDTGSSLTWLQCSPCVISCHRQVGPLYDPRASSTYASVPCSASQCDELQAATLNPSACSVRNVCIYQASYGDSSFSVGYLSKDTVSFGSSSYPNFYYGCGQDNEGLFGRSAGLIGLARNKLSLLYQLAPSLGYSFSYCLPTSASTGYLSIGPSNSGQYSYTPMASSSLDASLYFVTLSRMSVGGSPLAVSTSEYSSLPTIIDSGTVITRLPTAVYTALSKAVAAAMAGVQSAPAFSILDTCFEGQASQLRVPAVAMTFAGGASLKLATRNVLIDVDDSTTCLAFAPSDSTAIIGNTQQQTFSVIYDVAQSRIGFAAGGCS >Sspon.01G0026280-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:97953603:97957301:-1 gene:Sspon.01G0026280-2B transcript:Sspon.01G0026280-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSRGSCAVAARFTSRPLERARRNLRLGTRRLERNVRRRRRRDQADGAPPKSCAVVLTPTRMSSDAESEETISAGFTRIRSCCWLLPTFVDGRRLPVQRAALTMAETVGFTSGDAAAWRAALAAYDRRLAALDKPDLVAVDSFYRHDLPALLRCRDPDPFLAKPELVRLLHGGQSVCLSSTIMLLLASSALQLLDPKAWLMDFVKGLDDAVVESASRKAFAALPDLRTAITELTVLKGVGPATASAVLAAYAPDVAPFMSDEVSLGVLDVSACGDCDVVL >Sspon.02G0029020-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:105202575:105204721:1 gene:Sspon.02G0029020-1A transcript:Sspon.02G0029020-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRASSDITPRGHVVTCKSSRSVCASLPPPGVGYFFSTSVTGSPPCGTRTRHVGTLPPKIDRGPGVTVADRAEAEAEAQPSRGRHRQTTSTMSLQNGGWAVEAERGEKQPAARRGRPGAVLLLLLRVGALCASTAAAALAASTAATLRRAPFRFLLAADAIVAVYSAFEAAAAAWEVARGATLLPEAMQLWFDFAHDQAFGYMALAAAAAAARDAAGCGGGGRDEGWTTSGSGAAACVRADVAVGLGFAGFALLAIAALVTGFRVACFLATGSRFPPPPPASY >Sspon.06G0018480-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:82736617:82739790:-1 gene:Sspon.06G0018480-1P transcript:Sspon.06G0018480-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWAARFLTAVSFLAAGVLFAPDALGVGVGGAGAAAAARLVHVLAFATAWGAGLWVTFIGGIVMFKYLPRHQFGSLQGKMFPAYFMLISACTAISVAAFAYLHPWKTASTIERYQLGFLISALGCNLSNLLVFTPMTVEMMMKRHKMEKDLGIGTEVGYSKNAETAKRSPALAAMNRKFGMIHGLSSLANIMAFGSLAMHSWYLSSKLDL >Sspon.01G0039640-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:31887380:31887952:1 gene:Sspon.01G0039640-3D transcript:Sspon.01G0039640-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTRSMSVADRALRGVADLIKLLPSGTVFLFQFLSPLVTNNGHCATFNKVLSGTLVALCGAFCAFSSFTDSYVGSDGRVYYGVVTRRGLRTFTPDPDAAGRDLSAYRLRAGDFVHAALSLLVFATIALLDADTVACLYPALELSERTMMAVLPPVVGGVAGYVFMVFPNNRHGVGYQPTTATEDFQHKY >Sspon.06G0031810-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:76683092:76687177:-1 gene:Sspon.06G0031810-2D transcript:Sspon.06G0031810-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein SPIRAL1-like 4 [Source:Projected from Arabidopsis thaliana (AT5G15600) UniProtKB/Swiss-Prot;Acc:Q9LF22] AMRRGATADARRAAINRATAASGDPCWHCKQSSFLSSASGELVERGASRRRRRMGCGRGVSYGGGQSSLSYLFGGGGGDEPAAAPAKPAAAVEQRAQQPVAAAAAAAPASADGEKQKGIPAGVRGSQTNNYFRAQGQNCGNFLQDRPSTKVHAAPGGGSSLGYLFGGGPPGSRIKRTYRIDQLLGKASEAHAVPKR >Sspon.07G0025480-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:42564260:42569483:1 gene:Sspon.07G0025480-1B transcript:Sspon.07G0025480-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEVPPVISKALEDVHEKMLLFSTFKFLASAHGCLCNTILRLLVSLLYHTYAHVIITVLQSHIWCNRVYNINKYMVSWMYSCTGQFSKVSLLNHDATAVVLILLHDIRCMNPNYIEFGFECIKGPRLAQAMARGALVMVTTSTEAESGGIVRAAVDSARGAPPMFTDSTGA >Sspon.08G0007260-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:23659365:23675891:-1 gene:Sspon.08G0007260-3C transcript:Sspon.08G0007260-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPCRRSNHPGSSSPLPFLLVCGLLLAAAVAFSPAAAAEAAGRGGAGHEGRAGKPEVEAEAEARGDGVAVAEAGGEVVAQGNATEAKEGSLADMIDRALEKEFPESEGEQGGGETDPGSFNNTVAEKQGVLETVARRVTKKNETKDNKSFPFKEVFLDRSEQEDVPTLIDRKDNVFIISNPKSKYPVLQLDLRLISDLVVVIVSATCGGIAFACLGQPVETVAQFGVIFLLFALGLEFSTAKLRVVCAVAVLGGLLQIMLFMFLCGIFATLCGGKTKEGVFVGVLLSMSSTAVVLKFLMERNSINALHGQVTVGTLILQDCAVGLLFALLPILSGTSGLLHGVASMTKSLVVLITFLTILSILSRTGVPWFLKLMISLSSQTNELYQLAAVAFCLLFAWCSDKLGLSLELGSFAAGVMISTTDLAQHTLEQIEPIRNFFAALFLASIGMLINVHFLWNHVDILLAAVILVITVKTFIVAIVVKGFGYSNKTSLLVGMSLAQIGEFAFVLLSRASSIHLIEGKLYLLLLGTTALSLLGLKNDVLRMDSGKRINLI >Sspon.01G0062140-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:101033781:101043222:1 gene:Sspon.01G0062140-1P transcript:Sspon.01G0062140-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGARTGIWTQGRNFQTAFIPFITAGDPDLATTSKALKILNSCGSDVIEVGVPYSDPLADGPVIQASATRALKKGTTLDSVIEMLKGVTPELSCPIVLFTYYNPILKRGVGNFMATIRQAGIHGLVVPDLPLEETALLRSEAIMHNIELVLLTTPTTPTDRMKEIAKASEGFLYLVSAVGVTGARSNVNLRVEHLLREIKKVTDKPVAVGFGVSTPEHVKQIAGWGADGVIIGSAIVRQLCEAATPEDGLKRLEEYTRSIKAAMP >Sspon.02G0035660-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:14848088:14861807:-1 gene:Sspon.02G0035660-3D transcript:Sspon.02G0035660-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADIMNVSKTRLCGKILKKLMDHKGGWLFHKPVDPVLYGIPDYFDVIRNPMDLGTVKKKLTNKQYVTASEFAADVRLTFSNAMKYNPPGNDVHAVAEQLNRIFDSEWRSVVRKWSGRNPIQEQPPMKAVKAQAAINLKSAIPRGSVTCSNSLAKEPSINAMSSKVKIRFFVRGSDNTPLKAASQERSLDNSLSCTKEDKISIIQSNENSNLSNGNESQSCNSTSSPISSEQGEESYSHIEPLSPSKALRIAMLKRRFAGTIVKAQQNALLDHGKEIDLAKLQLEKERLEKRQQEEKARIEAQVKAAEAAAQLKLEEEMRRKREQERKAARLALHMMKKTVDIDNSDFLKDLENLCQKWQLNPPSKLIVDFVHGIELPQGLGSPLEALGLFMKKDIEEEVEHEMEDSVSTSQNADVEEGEISCCQ >Sspon.07G0023040-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7B:12394583:12394900:-1 gene:Sspon.07G0023040-1B transcript:Sspon.07G0023040-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKGKGGLPVPDRNLLFTLLTCRNRVGIRESFALPRENRQSIHSKRKLLRLQVESRVARVSNMAEKLTPAQVDECKEIFDLFDADEDGKSPSHPTPSDPPRAPIA >Sspon.06G0017540-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6A:95308442:95312310:1 gene:Sspon.06G0017540-1A transcript:Sspon.06G0017540-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADQNDVESGKARMKNPSPMEYQLKKYLLLLATLVATVTYAAGLNPPGASWLEDNTDTSSGGGRHLAGDSILRETNYIRYIVFYCFNAISFAASLIVSLLLLLLHRGDQGWLLKLTRAVMVVDLLGLMGAYAAGGSHDRFTTVCAAGLVGATFSCIIFVVPCMIIKQDTAAAPSQKDDHDHDNDHEQSKHEILLVLAIFVATIAYVAGLNPPGGFWRSTEEGHHTAGDPVLQGFHPIRYKFFFFSNTAAFVTSLLAITLTAHEKVDLKAVKVPLCGLIITAILGLGGAYAAGSCRDSKHTGYVLALIVPVLVCIFLQRFFAFKLQHHFSFGSGSTVSGEHNDLEKPREVIQLLATLAATVAYQAGVDPPGGVWADTGNGHIAGDPILLTTHPGRYRVFFYFNSSAFVASLVIMVMLQSERLVRGHALEAAMILDLFGLIGAYAAGSSRDTSTSIYTLAIAGGVLVYVVIHIVFFTLDHHHPSNKKGGEAEAKRKEEDMMEKKREVLLLLAILAATLTYQAGLTPPGGLWENGHRAGFPVLLDKYPRRYNAFFYCNAASFMASVALIVLLLNPTLYGPGIKCYALFVCMVAGMFGLIGAYAAGSSLHLGTSIVDLALVIAVFAIVVYVAIIRHGQQSREPKGQVAEDTKKTQGQSSDDRTPEQKAADLKKEQEAADSKKGQEAADLKKEQEAADLKKKKTEKEEFDMMMAKYLMLVGILAASVTYLTGLKPPGGLWREDGAGHDAGNPVLYDVDRHRYNAFFYSNSTSFMASITVIALLLSRMILGSKSNRPLWPMHTAMLLDMLALLGAYAAGSARDWCTSKDVALLLFP >Sspon.01G0044260-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:100060842:100063688:-1 gene:Sspon.01G0044260-1P transcript:Sspon.01G0044260-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLALLLLPLLAAGATTASAEPATSPASSLTPSPSPPSPSLTLAELLPKYGLPPGIFPSSVTAFSLASNGSLTVDLAGPCYVHFEYLTYFEAHITGVLRYGSLSDLQGVQVRRFLIWYNVVRIKVDLPPPPRYVYIDIGWITRKLPADDFQSLHACEDSRRCRLSSALAVAAKWFQGMGKAYDLTTALDEFPAFDALKGLYEP >Sspon.01G0044390-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1C:76957221:76958348:-1 gene:Sspon.01G0044390-2C transcript:Sspon.01G0044390-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPKVSVELVREVLFRIVVSVDSVNRERYPKLAYKFFIWAGQQEGYQHGTSMYNLVMKVFAECGEVKAMWRLFEEMTEKGLPVSSRTFHLLICASGKVGLRQRLVERFIKSSTFNYRPFRNAFNAILHTLLTIEQYSLIEWVHEKMILEGYSPDVLTYNVVMRAKYMLGKLDQFHQLLDEMGKNGLTPDLHTYNLLLHVLGKGDKPLAALNLLNYMSDVGCVPNVLHFTNLIDSLGRAGNLEACKYFFDEMVKKGCDPDVVCYTVMISSYVEAGEFEEAQRFFDDMLVRGQLPNVYTYNSMIRGLCTVGEFDKAFAMLKDMDSHGCTPNFSVYSSLVSRLRSAGKDTQANNVIKYMTDKGHYLHLLSRFGGFRRC >Sspon.01G0029600-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:462737:464253:1 gene:Sspon.01G0029600-2B transcript:Sspon.01G0029600-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAAAASRVAVSAPVFGSDCGARSSGMKGNNNVSFGNKSWVGGTLAWESSSVRPRHANKVLCMSIQQASKSKVSVAPLDLESAKEPPLNTYKPKEPFTATIVSVERLVGPKAPGETCHIIIDHGGNVPYWEGQSYGVIPPGENPKKPGAPQNVRLYSIASTRYGDYFDGRTGSLCVRRAVYYDPETGKEEPSKNGVCSNFLCNSKPGDKIQLT >Sspon.03G0028650-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:14131794:14134421:1 gene:Sspon.03G0028650-2C transcript:Sspon.03G0028650-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSVGVKIYSVFFKLFLRHRLQSLAAAGDLDNAAFGVSCRPDEATAPPNPAFSAAADGVASKDLHIDPNSSLSVRIFLPTPPPPSPHAHLLAHAPSRRASDPTPTPPAPAAGAPYRGYLPHAVASPRAAVSARRRLPIVVQFHGGGFVTGSNTAAANDAFCRRVAKLCDAIVVAVGYRLAPESRYPAAFEDGVKVLKWIAKQANLAMMTKVGGGVDTFGASTVEPWIAAHGDPARCVLLGASCGANIADYVTRKVVEDGKPFDPVKVVAQVLMYPFFIGSVPTHSEIRLANSYFYDKSTCLLSWRLFLSEKEFNLDHPAANPLAPGRGGPPLKCMPPTLTVIAEHDWMRDRAIAYSEELRKVNVDSPVLDYKDTVHEFATLDVFLKTPQAQACAEDIAIWMKKYISLRGHEFSY >Sspon.02G0012440-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:2227123:2232647:1 gene:Sspon.02G0012440-2P transcript:Sspon.02G0012440-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding METELLPVVDIRALSQPDLDALAVASAHALAPRTCPDADPLPPLKIDRAVFNESAGSRKQTFSRLRFGAAAASSSSSARHTSSARSSAWPEYDPGSEIVAYHLRRLFVPDDHSLPPPSELQTLALLQQPSFPPPPPPDPDRETTNAKGVSVDLLRLAGMVDPYDAELHRRTAGMASEADLQGFIDSLAGKFVSPRQRRKNVDASFFGDHLPRGWKLQLGLKRKGGLVWVCCFRYVRFASFALPCPALSLFLYASVLGFQDQIGSIMDKTNVHSVSSLAFSSYSSDPNDVDERNADKVNAYECQKCNLTFGDRTSYVRHHLSYHEMSAKRRRTGKFGEPVVGKDGKFECPICCKTFEEESRYFGHVGSHARYGGLTPEAFLDKATSRRATNDSSAEISFSLQELTESHGQNNKVSYGEAGFQHHNHSNEHGDNNSTVTEFFSTNYSDNFIRPSRPEVGPSFNDAPSVCRYTNFTGHADVTVPERALISNNQSVSNISSFSGVAMFSDQPGSNHVVRPTAFGTASHYQDQIIDRGRAAPRHDDNNTVKARDVNLNSCVNTISFPIANANNETSAALNEANRSSSTAKCFSGSFNNNDGASNASSCCGLTNKISSSFGTASKTQAVGARCIGARYEPYGENFGALKDNPFASKNNTAVYQSNLGTQPVYAVATRADCFASGSMQTKNSDKELASSFTEKGFAQFSNSFTHTKPNSSGHCSLSAPKTPTNGSDINCIKGSSVSRGDVSFTKGSFVNRPINNNEPNVARHEVMGKLNNEMQNHYNDHAPGFDPHAAASASRNANGLVSVQTNFGRMSSTVQSVADVSVTSTSQDQCDLQLGFGAQKQQIFSSHGQLRMATAGSPPPGSISKKSSAPTESSQFGSMDAPKSFPTGTSQFGGFARPTLVPAAPSQFGSMAQPNHVHSAQSSQCSSLVQPNSIPPAESSQFGSKAQSSSVPPAKTSQFRGMAGPNSVPPQSSQFGSMTRPNSVLPESSQSFGSTAQPNSVTPESSQFGSMARPNSVPPVSSQFVNMPSQNFVSASEPTLVLGYAPQIGSGPPPQVQLGWDLSLPRMVTGGSMVTCLCIWCNSQFHHFGPFDGQQPSSFGFICPTCKDRMSGHNNMPNNGSWQP >Sspon.03G0022770-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:55003404:55024884:-1 gene:Sspon.03G0022770-4D transcript:Sspon.03G0022770-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ELFGFIASELAKFVNDEEKCTNISNGKKRELGFTFSFPVKQHSVASGTLVKWTKAFSINDAVGEDVVAELQTAMGKQGLDMHVAALINDAVGTLAGARYYDKDVVAGVIFGTGTNAAYVEKANAIPKWKGELPNSGDMVINMEWGNFCSVHLPITEYDQELDKESLNPGEQARMYLGEIVRRVLLKISLQSAIFGNIDHTKLETPFLLRCTPYVKIRKKIVKGFDYMLPLVVHHVLCNYVLIEQIIVECSEILSKFNSSFETPHISAMHHDETPDLKIVAEKLEESLEITGASLEARKLVVEICDIVATRAAQLAAAGLAGILMKLGRDCSVKDQRSVIAIDGGLFEHYTKFRQCLETTLGELLGDEVSKAVAVKHADDGSGIGAALIAASQSQYKNDLVAVKHADDKHADDGSRVKHEDADDKHEDDDKGVKHADDDIAARDPEPIVTNGSMRFFSDILEYETINAQKTWDIPDIPKKLDRQL >Sspon.02G0011880-3D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2D:26067000:26067566:-1 gene:Sspon.02G0011880-3D transcript:Sspon.02G0011880-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAPVAYPPAAYPPGAAAGAAAYAPQLYAPPAAAAVQQAAAAQQQQLQMFWAEQYREIEATTDFKNHNLPLARIKKIMKADEDVRMIAAEAPVVFARACEMFILELTHRGWAHAEENKRRTLQKSDIAAAIARTEVFDFLVDIVPRDDAKDADAAAAAAAAAAAAGIPRPAAGVPATDPLAYYYVPQQ >Sspon.02G0048280-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:24019322:24027320:1 gene:Sspon.02G0048280-1P transcript:Sspon.02G0048280-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVARRFVIRHGPAAGGSVEGEEEEEEHEVEYDTEHGLDVLCLQIFSLTAVPPDLQKIVVEADGSVVDDGTDLEAVSERLLLLAIGEEGEDDGAAARAQEKSDEEFARMLQAEEEALLLQQYSIQNDGGDMFRQRVEPYMHQVLMYEDPVGQEAARKTVPICELEEKALVSLAK >Sspon.02G0029230-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:114045496:114047466:1 gene:Sspon.02G0029230-2C transcript:Sspon.02G0029230-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMEDDIDDTFFYDYPDSPALLQAQQSFLDVISDDASSPTTTTTTHSSASVNHHTSSSSSSDAFDASASAPLTPAAVDSYAPAPAPAAQFDGFDLDPAAFFSNGANSDLMSSAFLKGMEEANKFLPTQDKLVIDLDPPDDPKRFVLPTPAADKPAPGLNAAAPTVPAAVAVKEEEEVVLAAPGSGGAAVGGRGRRNRFDDDDDDLELQRRSSKQSALQGDGDERDVFEKYILTSHETCVEEMQKLRIAMQEEAAKKEAAGNGKAKGRRGGRDVVDLRTLLVHCAQAVASDDRRGATELLRQIKQHASPQGDATQRLAHCFAEGLQARLAGTGSMVYQSLMAKRTSAADILQAYQLYMTAICFKRVVFLFSNRTIYNAALGKKKIHIVEYGIQYGFQWPCFLRSIADREGGPPEVRITGIDLPQPGFRPTQRIEETGRRLSKYAQQFGVPFKYQAIAASKMESIRAEDLNLDPEEVLIVNCLYQFKNLMDESVVIESPRDIVLNNIRKMRPHTFIHAIVNGSFSAPFFVTRFREALFFYSALFDALDATTPRDSNQRMLIEENLFGRAALNVIACEGTDRVERPETYKQWQVRNQRAGLKQQPLNPDVVQVVRNKVKDYFHKDFVIDIDHHWLLQEWKGRILYAISTWVANDAPSYF >Sspon.03G0014230-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:66492033:66496904:-1 gene:Sspon.03G0014230-2B transcript:Sspon.03G0014230-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIVLLALLLFPFADAQWQVCGNTGNYTAASTYQANLGQLSASLPKKASSKTTLFATGTAGAVPDVVYALALCRGDINASACKDCVTTGFQDAQQLCAFSKEATVYYDSCLLSFSNVNFRSTTTNGGNVVLLMNTQNFTESDDSIRLLLFTLLNDTAESAVNSSRRFTTARMDISSLPTMYCAVQCTPDLTADECAACLQDFPQLTLQYLDGRRGGRVLGVRCNMRYEIYPFYQGDPTLRIISLAPAVPAIGNTTPGTNVTVYPQPPPPLAAPPAAIIPSVPAQEQRGRKSKLWIIGIAIPLLAILLCATFTFLWMRRRKKGMLSSQNRAGANRIEENALVWRIEEKSSEFTLFDLSQLLEATENFAEENKLGQGGFGPVYKGQLSDGQEIAVKRLASHSGQGFTEFRNEVELIAKLQHTNLVRLLGCCIQGEEKSLVYEYLPNKSLDFFIFDESQSSLLNWYKRRAIIEGVAQGLLYLHKHSRLRVVHRDLKASNILLDQDMNPKISDFGLARIFSSNDTEGSTERVVGTYGYMSPEYASEGIYSVKSDVFSFGVLLLEILSAKRNSGFHRYGEFLNLLGYTWHLWEEGRWLDLVEASISEEMHEEEARRYINIALMCVQENADDRPTMSDVVAALNSESVVLPEPKHPAYFTLRVSKSMESTIVVETCSLNDVTITQDPQGR >Sspon.04G0004670-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:14652963:14654899:-1 gene:Sspon.04G0004670-1P transcript:Sspon.04G0004670-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ILRVSTLLENASLLNQSGLEHGSPLTTGGLYSNGAATDMNGWTSAFQSESSPAYSWLGGSQGSSSGLIVKKTMKVDIPVDKYPTYNFVGRILGPRGNSLKRVEANTDCRVLIRGRGSIKDPAREEMMRGKPGYEHLNEPLHILVEAELPVEIIDARLMQAREILEDLLKPVDESQDFFKKQQLRELAMLNGTLREDGMQRSGSASPFHNSLGMKRAKTRG >Sspon.03G0023770-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:72685231:72686677:1 gene:Sspon.03G0023770-1A transcript:Sspon.03G0023770-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RMEPSLCTPHATVTAANAAPLLSSVCHRGAHRARGAVRAHRHHQGAAEREPGDTPQHQQAVPAKGRGPPALRNSALRVGAGVALALALGGVSWTARGGGSGAGPVTQPAMVCALNAVTDAALRSSAEQRGSATMKTSVDALSDSLFRREDSPRDRATLMDLVFEQVTKQPLAGSNVVEFARAQHITDRGKLTSLLQKEFSASRDSERKLDLGLLLTDVLINQVTCHIFLDRTLSSTCVAQRDWQRAKEVCQQITGRYQRDSRPYLHQAVINMMIAVEAMLSPDTATTDDIEKMTKTAMDAWKEFKTKSELAKGSADSTA >Sspon.06G0009190-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:13150441:13155111:1 gene:Sspon.06G0009190-2P transcript:Sspon.06G0009190-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFEQEQEEVAPGAVHGHRLSTVVPSSVTGEVDYALADADLAFKLHYLRGVYYYPPGDVARGLATKVLKDPMFPWLDDYFPVAGRVRRAEQEADGAPRRPYIKCNDCGVRIVEAKCDRDMDEWLRDDAPDRLRQLCYDKVLGPELFFSPLLYVQITNFKCGGLALGFSWAHLIGDVPSAVTCFNKWAQILSGKKPEATVLTPLNKPLQGQSPAAAPRSVKQVGPIEDHWLVPAGRDMACYSFHVRDAVLKKLQQQGRHNAAAGTFELVSALVWQTVAKIRGGDVDSVTVVRTGAAARSGKSLANEMTVGYVASAGSSPAKTDVAELAALLAKNVVDETAAVAAFQGDVLVYGGAHLTLVDMEQVDVYALEIKGLRPVHVEYGMDGVGEEGAVLVQPDADGRGRLVTAVLPRDEIDSLRAALGSALQVA >Sspon.04G0030730-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:2961990:2963704:1 gene:Sspon.04G0030730-1P transcript:Sspon.04G0030730-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLRNLKIKTSTCKRIVKELRSYEKEVEKEAAKTADMKEKGADPYDLKQQENVLAESRMMVPDCHKRLETALADLKATLAELKESNEQGAEIAEAESTIAEVEAVVKPTED >Sspon.04G0006060-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:17193899:17196325:-1 gene:Sspon.04G0006060-1A transcript:Sspon.04G0006060-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MWSWLTKIASACLGPVRRCARTRKDEDGGSDNGRGVADDLLWSRDLGRHAAGEFSFAVVQANEALEDHSQVETGSAATFVGVYDGHGGAEASRFISDHLFAHLIRLAQESGTISEDVVRNAVSATEEGFLTLVRRTCFIKPLIAAVGSCCLVGVIWRGTLYVANLGDSRAVIGCLGRSNKIVAEPLTRDHNASMEEVRQELISRHPDDSQIVVLKHGVWRIKGIIQVSRTIGDAYLKRREFALDPSITRFRLSEPLRRPVLTAEPSICTRVLNPQDKFIIFASDGLWEQLTNQQAVEIVHSNPRRGIAKRLVRAALKQAARKREMRYDDLRKVEKGVRRFFHDDITVVVVYIEHGLLQERDTSVPELSVRGFVDAVGPSSLSGITAIS >Sspon.06G0020430-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:9561605:9567999:1 gene:Sspon.06G0020430-1B transcript:Sspon.06G0020430-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MIEYYDISGCYILRPWAMEIWELLKEFFDAEIKKLKLKPYYFPLFVTENVLQKEKDHIEGFAPEVAWVTKSGKSELEAPIAIRPTSETVMYPYFSKWIRSHRDLPLRCNQWCNVVRWEFSNPTPFIRSREFLWQEGHTAFATKEEADEEVLQILELYRRIYEEFLAVPVSKGRKSEMEKFAGGLYTTSVEAFIPNTGRGIQGATSHCLGQNFAKMFDITFENEKGVREMVWQNSWAYTTRSIGVMVMTHGDDKGLVLPPKVAPIQVIVIAVPYKDADTTAIKGACESTVYTLNQSGIRADQDTRENYSPGWKYSHWEMKGVPLRIEIGPKDLANKQVRIVRRDNGAKVDIPVTNLVEEVKVLLDEIQKNLFKTAQEKRDACVQVIKTWDEFTTALNNKRLILAPWCDEEEVEKDVKARTKGELGAAKTLCTPFEQPELPEGTLCFASGKPAKKWSFWGRSY >Sspon.03G0001970-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:15890632:15897710:1 gene:Sspon.03G0001970-2P transcript:Sspon.03G0001970-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHTKVAGAELIGGTDLGSGFSSTREGGASRSQDVSGVCVFASDELNGLWIRLPSPVVSGVSLLEEWLLSFDAVATCPVILVTIPVATCLFLRFDWRRGPRPWKRESWRNSGLERHFVRRADLDHAYSAAFPHAVMGDGVNVSDVTGVSSVPMGERRQMPVSDSDDVAGWPGPWPSTGVSGESEMPRMKWEMGWYAHAAHWRLSQGRVSAKNGPRQNIMKGAGCWKEATLLTAPAHHVGTPAGALEALYPWPGLASHQREMPSSLQLFDHQEEVDGGFLRGAVQHDTPQLPLCKVATKREEGSREHLHRRMARLTSLDRPRATPRGVDPCPVTWLALYTRTRTRADGFRMYGLPFVSPDNILVSTINGAGAAIEIVYVVIFLVFASSQRTRLRMLGLASAVAAVFAAVALVSMLALHHGQARKLMCGLAATVCSICMYASPLSIMRLVVKTKSVEYMPFLLSLAVFLCGTSWFVYGLLGRDPFPRVRLVRVINFDRDDVYIARHVKSAASSCNLLGSRFVVSASVARSGCPELAVQWQYGNWQARAQSAATCTLRVATCVVESNGQCPSGEATPMRALPPPSNCARLCEIHIPNGCGSFLGAVQLVLYAIYRNSGGNKAGAGAGKQQAGDDVEMASDAKSSKKVADDVGGDGKEDRLQQGPGGSTPAGWWAVATDRRIPAEPLSTEARVQQRTGPSDIGNSEARSAPAAGGAAKGSERARGAAPQQRAKAPGAVMVGQGCGRSAGEGWVGLGFSEEFWLLPANLAHGGPIHDVARQGPRVMGANVPANARKWDWDTAKQNEMKHATLR >Sspon.07G0013930-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7A:50166197:50166451:-1 gene:Sspon.07G0013930-1A transcript:Sspon.07G0013930-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRAASPYASLTRVVHPYTSRLAQSHQTQLAPRAPHASCHAPHPHASRRPRFMPHASAHASRRAPPAPCGLHSPAPRQLAFIGR >Sspon.03G0005380-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:22241220:22245706:1 gene:Sspon.03G0005380-2P transcript:Sspon.03G0005380-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPPEVAVFAVAALLLVAAAAAAESAAPLPAALRLERALPHKGVALEELRRRDAARHRASRRRLLGAVAGVVDFPVEGSANPYMVGLYFTRVKLGNPAKEFFVQIDTGSDILWVTCSPCSGCPTSSGLNIQLESFSPDSSSTSSRITCSDDRCTAALQTGEAICQTSDSPSSPCGYTFTYGDGSGTSGYYVSDTMFFETVMGNEQTANSSASIVFGCSNSQSGDLTKADRAVDGIFGFGQHQLSVVSQLNSLGVSPKVFSHCLKGSDNGGGILVLGEIVEPGLVYTPLVPSQPHYNLNLESIAVNGQKLSIDSSLFTTSNTQGTIVDSGTTLAYLADGAYDPFVSAIAAAVSPSVRSLVSKGSQCFITSSSVDSSFPTVTLYFMGGVAMSVKPENYLLQQASVDNNVLWCIGWQRNQGQEITILGDLVLKDKIFVYDLANMRMGWADYDCSMSVNVTTSSGKNQYVNTGQFDVNGSARRASYKSLIPAGIVTMLVHMLIFGSGSRR >Sspon.02G0008060-1P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2B:33940061:33940345:1 gene:Sspon.02G0008060-1P transcript:Sspon.02G0008060-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPEMQAALMKVGVFVLVQALVYLILSQSSTVFSRTKSLGLRPARSLSARRMLVLLLSDLPLAAGEPSPVAAFARTRSSASSPMLAATAHRKQD >Sspon.01G0063150-1P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1D:114187966:114190848:1 gene:Sspon.01G0063150-1P transcript:Sspon.01G0063150-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNRKNFRRRADDDEDANGDGGSHSKLSTATATKTKSLTVPKPKSPPRRQGASRLSFADDEDEDDAEEGPFAQRRRPPTASVRPARTASPAAGALHRLTPARDRIRSSPAPAVSAVSAPKPSNLQSHAGEYTPERLRELQKNARPLPGSLLRSQPQTPATEPRSQKLAGTPASSTPATTTAAATETVVILKGLVKPMSEASIGPRIPKHDMEEDKSEEEEEGDEEDEGPVIPDRATIEAIRAKRQQRQQPRHAAPDYISLDGGGVLSSRGGGGESSDEDDNETRDRIAMYTDKPSDGPRSTKSVFGGISNRGPATSLGALSDGSRKVDDDRDDDDDDEEERRWEEEQFRKGLGRRMDDASTQRSANGVPAAMQVQPQPFGYPVGPHYQPSLSGVVPVASVFASGTADFLSIAQQADVANKALQDNIRKLRETHKTTVSALVKTDTHLNEALSEISSLESGLQDAEKRFVYMQELRDYISVMCDFLNDKAFLIEELEEHIQKLHENRALAISERRAADLADESGVIEAAVNAAVSILSKGSSSAYLSAASNAAQAAAAAAARESSNLPPELDEFGRDINMQKRMDLKRREENRRQRKTQSETKRLASAVKNKDIEKIEGELSTDESDSESTAYVSSRDEFLKAADHVFSDAKEEYSSLRTVKDKFEGWKSQYPSAYRDAHVALSAPSVFTPFVRLELLKWDPLHETTDFFDMDWHKVLFDYGMQDNESPSGSNDSDVVPVLVEKVALPILHHRIKHCWDVLSTQRTRNAVDASKMVIGYLPTSSKDLHQLLASVRSRLTEAIADLSVPAWGSMVTRTVPGASQYAAYRFGVAVRLLKNVCLWKDILAEHVVEKLALDELLKGKILPHMKSIILDVHDAITRAERIAASLSEVWPKQSQKLQPFVDLVVELGNKLERRHTSGISEEETRGLARRLKNILVSLNEYDKARAILKTFQLREAL >Sspon.06G0028000-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6C:775952:776668:-1 gene:Sspon.06G0028000-1C transcript:Sspon.06G0028000-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQRLLRPRNCADNFCNRAAGTMEAIGGFGTSKRCLGPGHRWCHHNAGYHGVPAPVGSFGDYSAQRCRAGRPPLNWSRDQQYSSHRRMGRCSSFHQAFGRQGNLENERATKGKVFFWLVLHKQCWTAARRKKHGLQDDDSCSLCDQEPETLDHLLTQCVFSKVWTHVLSKVGLLNLLNQHDVQFVIWWLGSRKMMPKQARKGFDSIVTLIGWSIWKERNARTFRRMSDASYAAGAVHL >Sspon.03G0039970-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:24146581:24148058:1 gene:Sspon.03G0039970-1C transcript:Sspon.03G0039970-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAISGRAAALVVPSTAATTPLPSTVSVNQSAARLWMKATMKVATAPHGGGGAVLERPPAFDQSQLDMLPVTQEGVDPGRLRDGRRSGSGDSYKVLLVDDVRHTEKHVEKALPQVVPSITSEAARQLFHESRLRGVATVIVAVRSTPSSTRR >Sspon.04G0023450-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:17450115:17454175:1 gene:Sspon.04G0023450-3D transcript:Sspon.04G0023450-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHYISRFLAGFAIGFSQVWQISLVTLAIVPLIAIAGGTYAYVTIGLMARVRKSYVKAGEIAEEVIGNVRTVQAFVGEEKAVRSYREALLRTYKYGKRGGLAKGLGLGSMHSVLFLSWALLIWFTSVVVHKRISNGGESFTTMLNVVIAGLSLGQAAPNISTFLRARTAAYPIFQMIERSTVNKASSKTGRTLPAVDGHIQFRNVHFSYPSRPDVVILDRFSLDFPAGKIVALVGGSGSGKSTVVSLIERFYEPLSGSILLDGHDIKELDVKWLRRQIGLVNQEPALFATSIRENILYGKGDATMEEINHAAKLSEAITFINHLPDRYETQVGERGIQLSGGQKQRIAISRAILKNPSILLLDEATSALDAESEKSVQEALDRVMVGRTTVVIAHRLSTIRNADTIAVVDGGRIVETGTHEQLMANPCSAYSSLIQLQEAAQLQHKPSLSDSASITRPLSFKYSRELSGRTSMGASFRSDKDSVSRYGAGEAHDEVRKGKPVSMKKLYSMVRPDWFFGVSGTISAFVAGSQMPLFALGVTQALVSYYMGWETTKLEVRKIAVLFCCGAVLTVVFHVIEHLSFGIMGERLTLRVREKMFSAILRNEIGWFDDTSNTSAMLSSRLEADATLVRTIVVDRSTILLQNIGMIVTSLIIAFILNWRITLVVLATYPLMVSGHISEKMFMKGYGGNLSKSYLKANMLAAEAVSNIRTVAAFCSEEKVIKLYADELKEPSKRSFRRGQGAGLFYGVSQFFLFSSYALALWYGSVLMSKELASFKSVMKSFMVLIVTALAMGETLAMAPDIIKGNQMASSVFEILDRKTDVLIDTGEDIKRVEGLIELRGVEFRYPARPDVTVFKGLDLLMKAGKSMALVGMSGSGKSTVLSLILRFYDPIAGRVLIDGKDVKKLKLKSLRKHIGLVQQEPALFATTIYDNILYGKDGATEAEVIEAAKLANAHSFISSLPEGYKTKVGERGVQLSGGQKQRIAIARAIVKDPAILLLDEATSALDVESERVVQQALDRVMKNRTTVMVAHRLSTIKNADVISVLQDGKIIEQGAHQHLIENKNGAYHKLVNLQQQQQMQAQQSS >Sspon.04G0027320-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:57809623:57810319:1 gene:Sspon.04G0027320-1B transcript:Sspon.04G0027320-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSRRLAAVFLLAACCLPAAAAAPQGKQYRVGGEGGWRVPPPEGKDKYYDNWASNITFYVDDSLEFVYKNDSVLRVSKAGYYHCNETAGDAAPRDGRTVFLLDAPGYAYFASADLQHCGTGERLAVSVLAATAGLPAPAPSPSSPWSSSAPGPWSWVLSPSSSAPSPSGEHSAAAALLVASSSAHAVVLVVAVALALGMAAGFV >Sspon.07G0015500-3C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7C:60905945:60907342:-1 gene:Sspon.07G0015500-3C transcript:Sspon.07G0015500-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPACLPGEPDDDAGVSTPSLLLRRRSPRLPCYRFGEMGRGGGAAISPYRDLPRRREATDRNTSAPASVHGLPNRVGHQRKFRPATNNIASMHKPHTMNPQLLKAVSIGDADLLAQILSTSTIAEENRCTCLEGITAAEGSSALHIAARHGYLKLVEMICDLDVSLIKARNNLLDTPLICAARSGHVDVVDYLIKRASAQRETEYVLRAWNSGGATAVHEAVRNGHASVLGKLISREASLAAVVDGQGVSPLYMAVVSNRADMVDILISESREGTVKSPASYAGPDGLTALHAAVFARN >Sspon.03G0022360-1T-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3D:54254956:54261626:-1 gene:Sspon.03G0022360-1T transcript:Sspon.03G0022360-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Orthologue of the Arabidopsis GIGANTEA, Regulation of circadian rhythm and flowering time, Photoperiodic control of flowering, Osmotic stress respons [Source: Projected from Oryza sativa (Os01g0182600)] MDELTGNFNTVLITGALIGYWINALELPSMSDSNLKWIDGLQFSSLYWPPPQDVEQKKAQILAYVEYFGQFTADSEQFPEDIAQLIQSSYPSKESRLVDEVLATFVLHHPEHGHAVVHPILSRIIDGTLCYDRHGPPFSSFISLFSHTSEQEYSEQWALACGEILRVLTHYNRPIFKVERQHSEAECSSTSDQATSSDSIDKKSNNSPGNESDRKPLRPLTPWITDILLAAPLGIRSDYFRWCGGVMGKYAAGGELKPPTTGRRGSGKHPQLMPSTPRWAVANGAGVILSVCDEEVARYETANLTAAAVPALLLPPPTTPLDEHLVAGLPPLEPYARLFHRYYAIATPSATQRLLFGLLEAPPSWAPDALDAAVQLVELLRAAEDYASGMRLPKNWMHLHFLRAIGTAMSMRAGIAADTAAALLFRILSQPTLLFPPLRHAEGVEVHHEPLGGYVSSYKKQLEVPASEATIDATAQGIASLLCAHGPDVEWRICTIWEAAYGLLPLSSSAVDLPEIVVAAPLQPPTLSWSLYLPLLKVFEYLPRGSPSEACLMRIFVATVEAILRRAFPSETSEQSRKPRSQSKNLAVAELHTMIHSLFVESYASMDLASRLLFVVLTVCVSHQALPGGSKRPTGSDNHSHEEATEHSRLTNGRSRCKKRQGPVATFDSYVLAAVVALSCELDLFPCISKNGSHSNLKDSMKIIIPGKNNGINNEPRSSISSAILHTRRILGILEALFSLKPSSVGTSWSYSSNEIVAAAMVAAHVSELFRRFRPCLTSLSAMMRCKRDPEISTRASSLYHLIDLHGKTVSSIVNKAEPLEAHLTLTPVKKDNQHHCEENNTSSSDSVKLENKNGSTSHKKNGFSRPLLKCAEEVLLNGDVASTSGKSIASLQVEASDLANFLTMDRNGGYRGSQTLLRSVLSEKQELCFSVVSLLWQKLIASPEMQMSAESTSAHQGWRKVVDALCDVVSASPTKASTAIVLQAEKDLQPWIARDDEQGQKMWRVNQRIVKLIAELMRNHDSPEALVILASASDLLLRATDGMLVDGEACTLPQLECRLSTTIRCLSHPSAHVRALSMSVLRDILNNGSMNPNKIIQGEQQRNGIQNPSYRCLAAGIINWQADVERCIEWEAHSRRATGLTLAFLSAAAKELGCPLPC >Sspon.03G0021360-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:84041124:84045563:1 gene:Sspon.03G0021360-2C transcript:Sspon.03G0021360-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription initiation factor TFIID subunit 15b [Source:Projected from Arabidopsis thaliana (AT5G58470) UniProtKB/Swiss-Prot;Acc:Q94KD0] MSGSYGSDDYRGGGGYGGRGRAAAAAAAVGAEAVEEGMEVAAREEDMEVVVVVEATAVAVEAAEAVAAEGSVAEDEVAVVEEVAEAVAGAGVDAKATGCGNVNFARRTECNKCGAPCPSGGGGGGGGGYNRSGGGGGGYNNRGSGDYGSGGGGGFDRDGGDYNSGGRGGGGGGRGGYNRSGGSDRGFDDHRGGRGGSYGGRDQENQRGSEGGYNAGGYGQAPPQGPPSYGGPVGDYAAPPSSYGGNNAYGSDSAVPPPNSYSGGPGSYPPSYGAPPSHQYGGAPGGQGGLPPTYDGGYGGRSMPGGGGSGGAPPPYHGGGGGGYTGSADPEPAGKVKQCDENCDETCDNARIYISNLPPDVTVEELQELFGGIGQVGRIKQKRGYKDQWPWNIKIYTDDSGKAKGDACLAYEDPSAAHSAGGFYNNYDMRGYKISVVMAEKSAPRAAPSYGHGGGRGGGYGGGGRRDNYRDGGGHGPNRNQGGGSRSRPY >Sspon.03G0036490-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:90322333:90326791:-1 gene:Sspon.03G0036490-1B transcript:Sspon.03G0036490-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MWKQFIGKLSWKAMKSSSAGGGAGSPPAKPPPPLSSPRENGATAKPNVSPPSPAAAAGAGAEVRSREDAFVQKVNICCAVYDFSDRGKDSPEKERKRQMLMSLVDCIGAAEEPLTETMIAACVRMFAANLFRVFPPKVRSGTSASETEEDEPFFDPSWYHLQVVYEFLLRFVTSPLVDAKVARKYVDSPFVSKLLDLFDSDDPRERDCLKTILHRIYGKFMGNRPFIRKAVSNIFYRFVFETDHHNGIAELLEVFGSVISGFAKPLKEEHKLFLWKALIPLHKPKSVGVYLPQLTYCITQFIEKEPKLSGTVIRGLLKYWPVTNSQKEIMFLGELEEVLELTDMAEFQKCMVPLFRKIASCLNSSHFQVAERALFLWNNEHLFDMISQNRQVILPIIYPALERNTRWHWNQSVLNVTMNVQKMFCEMDERLLLACQNNFQEEEEKRAATEERRRLMWEQLERSAACGYHQPVIAADASFPAPPSSSRLVAPTVT >Sspon.02G0023650-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:83487114:83487819:-1 gene:Sspon.02G0023650-3C transcript:Sspon.02G0023650-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GADEPDDDQATGGGRAGDDGAAGAADGVRAAAGGVVHGVAAHQLHPVLQLPDQQQQQWVSADAGMLPVAGGAGERQHGLRVPRPHGRRGAAGAGRPRQPHARRVAAQGLRLLVRPAAVPRYVVGSEPGSRPRRRHTLHARVDAGDARSSGAAYRGSHGDGTGEPGADEADGAAQLRPENNQRPRRRGAGAGAAARRCGRASVI >Sspon.05G0017150-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:66753334:66756736:1 gene:Sspon.05G0017150-1P transcript:Sspon.05G0017150-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQRDVEYSRFTQVDETGLSKSNMERGTIDVSLENSISYLSDDCLLSIFNKLESESERSAFGLTCKNWFKVRNLGRKSLTFHCSFNPAIDKEHAKCIPKILAHSPCLNRISLAGLTELPDSALSTLRMSGSSLKSLSFYCCSGITDDGLAQVATGCPNLVVVELQSCFNITDVGLESLSKGCRALKSVNIGSCMSISDQGVSAVFSNCSNICTLIITGCRRLSGVGFRGCSSSFCYLEAESCMLSPDGLLDVVTGSGLKYLNLHKLGSSTGLDGLGNLAFAKSLCILNLRMCRYLTDDSVTAIASGCPLLEEWNLAVCHGVHLPGWSAIGLYCNKLRVLHVNRCRHICDQSLLALGNGCPRLEVLHINGCAKITNNGLALFTISRPHVNLRVDEVLSIGPSIENLFQLH >Sspon.08G0011240-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:48978050:48978829:1 gene:Sspon.08G0011240-1A transcript:Sspon.08G0011240-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRRSRASVSEEEINELISRLQTLLPSARRRGGSQASTTKLLKETCSYIKSLHREVDDLSERLSDLMATMDHNSPGAEIIRSLLR >Sspon.01G0011530-3C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1C:31161561:31167772:-1 gene:Sspon.01G0011530-3C transcript:Sspon.01G0011530-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding WSPDGKYAASSTYRAFFAGSTSLLGARELWMTKAPPKMLVPVAGDHDLVEWWLGRQELLQADVKPVFDSLVLLVAWTVWKECNARVFRGSNGSTTSVRKALFQEAKLWCEARSYDHSLPLNEKRAYKRPIEKKKAHELAKWLSTNRGQERQRRQGQARRRKRTGSEIDPRSRRTNSMMMVQPQTTQLLLHGVIEARILEADLSVTTDGQLQPSKKTLMKKKVFSWIRKLTFCKGQVFLILDSLFMQQLENAIGLGTDGKLYATVDIDKARVGRTRMVPPVHSPKWDESFHIYCAHDASNIIFTVKADNAIGATLIGRAYLPTEGVVAGQKVDLWLPIRDEKRQPLEGGDQIRVQLQFTNVAADPTAGWGTGVGSAAYGGVPYTFFKQRRGCRVRLYEDAHVAGDFAPRIRLADRSFYEPRRCWVDVFDAINRARRMVYIAGWSVNTDVVLVRDPREPSASSENLGELLIRKANEGLTVLMLVWDDRTSVGLGPIKRDGLMATHDQDTASYFRDTRVQCVLCPRNPDKDRSYVQDIETATMFTHHQKTVIVDGGGRTAPESPPGLVSFLGGIDLCDGRYDTQDHPLFRTLGTTHSKDFHQPNFPGASIRKGGPREPWHDIHCRVEGPAAWDVLENFEQRWKKQGKGDNMLVFRSIDGGAAAGFPEGSADAPREAAALGLVSGKDHVIERSIQDAYIHAIRRARDFIYIENQYFLGSSYAWRQNDGVTVEDINALHLIPKELSLKIVSKIEAGERFAVYVVVPLWPEGVPESGSVQAILDWQRRTMEMMYRDVLLAIRAKGLQADPRDYLTFFCLGNREAPSPGEYVPPEHPDPNTDYERAQQARRFMIYVHAKTMIVDDEYIIVGSANINQRSMDGGRDTEIAMGAYQPGYLATRNRPARGQVHGFRVSLWQEHLGQAAAAAAAGGDLLRPSSLACVRRMNQVAKQHWDMFASDTFQGDLPGHLMAYPVSVLDDGSVVATTESFPDTDAKVLGNKSDILPPILTT >Sspon.05G0014500-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5A:53824974:53827919:-1 gene:Sspon.05G0014500-1A transcript:Sspon.05G0014500-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGFGVELEARELPFGSPPKKVQDPSLSLEPAMNNYQHVSKLLRCSKPSRWRQPPRKTRNPQPQRSSSATRCNHSSKYTWNHSQSYDDDESIMEMSDSKVLELEITDRTHQINIDLMRPSVRSSLCSVARSQ >Sspon.08G0017570-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:2350413:2355394:-1 gene:Sspon.08G0017570-1B transcript:Sspon.08G0017570-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRSSAATCLWLALAAATLALAQVTAKTFFDIQIDGKPAGRIVLGLFGDTVPKTTENFRALCTGDKGIAKSGKPLWYKGSTFHRIIPGFMIQGGDFTNGNGTGAESIYGSTIFPDENFKLNHTEAGTLSMANYGPDSNGSQFFITTKLDGKHVVFGKVVEGMDVVRKIEAEGQATGVPKAKVVINSLDLIGGGGGACGSEMAPSGWRRSAAARAAWRPATVCLWLALAAAALTLAQAKKDLTEVTHKVYFDIEIDGKPAGRIVMGLFGKTVPKTAENFRALCTGEKGVGKSGKALHYKGSTFHRIIPSFMLQGGDFTLGDGRGGESIYGLKFADENFKIKHTGPGLLSMANAGRDTNGSQFFITTVTTSWLDGKHVVFGKVLSGMDVVYKVEAEGRQSGQPKSKVVIADSGELPM >Sspon.04G0024440-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:23240606:23255253:1 gene:Sspon.04G0024440-2C transcript:Sspon.04G0024440-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGDRSRAGACVQRHLPHAPNPAVICLFFVFQAQQLFQKDSNIITPEALATVKAAIANSEKDKKVEATKKGVPRKAAGQSWEDPTLADWPENDFRLFCGDLGNEVNDDVLAKAFSKFPSFNMARVIRDKWTGKTKGYGFVSFANASDLTSALKEMNDSTAEENQTAEKKCSSQVKLKNRLFIVCPTGAQLISASAHSRNNGSAQMPGPRPANLSLLLPSTTRTRQTLPEHSTPFGLTRFIDTSLSRNTPNRNLTPHGRTDEHLNPTPPSSAVASAVLRQRSGLSRVQTRLRPPRPTPTPPHVDADHFAGLRGRAVHLPRCGGGRGHGPVLLPRALPPPERAVRRMASGDSSRAGACVQRHLPHAPNPAVICLFFVFQAQQLFQKDSNIITPEALATVKAAIANSEKDKKVEATKKGVPRKAAGQSWEDPTLADWPENDFRLFCGDLGNEVNDDVLAKAFSKFPSFNMARVIRDKWTGKTKGYGFVSFANASDLTSALKEMNGMNTRSFDASFGYQRLNCRRKSNRRKEVFFTSETEKIRLFIVCQYHIWKSCLKF >Sspon.06G0010770-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:42277175:42279023:1 gene:Sspon.06G0010770-2D transcript:Sspon.06G0010770-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPAEDAAVPEATATAMPPAAAAQTVWYDPMSSPQAPAPHQQPAIEAVAETSPSVSSDARPLRVYADGIYDLFHFGHARALEQAKKSFPNTYLLVGCCSDEITHMYKGKTVMTEDERYESLRHCKWVDEVIPDAPWVINQEFIDKHNIDYVAHDALPYADTSGAANDVYEFVKAIGKFKETKRTEGISTSDIIMRILKDYNQYIMRNLTRGYSRKDLGVSYVKEKQLRVNMGISKLREKVKEHQEKVIKLLCNYFETDLHMIRKTLISNALHTQFHSAAKIAGTNPVEWMENADRWIVGFLEKFEEGCHMMETAIKDRIQEGLKRQGRSESNLSGEDSD >Sspon.04G0016600-3P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4C:80104833:80106019:1 gene:Sspon.04G0016600-3P transcript:Sspon.04G0016600-3P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLTYYLCLFLLALLPLVLLKLKRRDNNGLRLPPGPWQLPVIGSLHHLLGSPLPHHAMADIARELKAPLIYLKLGEVPVIVASSQDAAREVMKTHDINFATRPWSPTIKIFLADGEGLVFAPYGPLWRQLRKISILELLSARRVQSFRSVREEEVGRLVAVIAASPPGEAVNLSRRIAELTNDTAVRSMIGDRFERREEFLENMAEGVKITTGFSLGDLFPSSRLASLIGGTARRAAINHRKMFELMDCAIKQHDERRAAMATSTEGEAIVKEDLVDVLLRIQKEGGLEVPLSMGMIKAVILDLFGAGSETSASTLQWAMSELVRNPKVMERAQAEVREKLQGKPTVTEDDLVEL >Sspon.04G0013070-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:45342380:45344032:-1 gene:Sspon.04G0013070-1A transcript:Sspon.04G0013070-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLHGIPSDEAPEAAATAAPLAPSLPRTRAARRSPNLAARWRRRAVPLALASGLPQHHLLAAMEEEDLGVGDGCGEQTRGATASAGGHGLGRAVPSVECLQPEAETEKLNELMQNLKKAYRSVKDLLAESGFGWDNERMMVTAPESVWATFIARKNNKDALHWQDRSFPYYDALSSLYDGRHAEGRTRQGMDHYASKAKNVLVPSTQTTQVPDTYNSPSPTLNAPGESLQFQFDDETEEANLDFSQLSSNHDHQPQAAPTSTHMHTDATESRRGSKKQKSKVARSDDGFHERYLKLKKEEIDRFAAIEEKKLEDPYSINKCVNIVERLHGLQMGDILVAADIFKCKENRE >Sspon.04G0000960-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:3901709:3904959:-1 gene:Sspon.04G0000960-1A transcript:Sspon.04G0000960-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVNQWTCKRCEPEWNVTFVFTISDGATELFIKLLDSDGGTDDDFVGEATIPLEAVYIEGSIPTTVYSVVKDEEYRGEIKVGLTFTLEDSRDQGF >Sspon.01G0041150-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:28122213:28124452:-1 gene:Sspon.01G0041150-3D transcript:Sspon.01G0041150-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding EQMLTEWREGKEKFERGHVGEDQLLRGTRDSVPCFSVLFLLVVSSCSADDVDFIYQGFQHANLTLDGSASVLHGGALQLTNGSNRLVGHAPPSSFSTAFVLDIVTVGSGGGHGLAFVVAPSTVLPGAAPEVYLGVLGPTTNGNPANHVFAVEFDTVFDLEMNDTNGNHVGVDVNSLVSNVSEPVAYYTADAGGNTKAVPVTLESAQPIQAWIDYDGGSGVLNVTVAPVSVADRPRRPLISTKLDLRPVFREEMYVGFSSATGKLASSHYILAWSFRTNGLAQSINLRRLPKVPNTSTALSKLVIVKFAAVACAGTLVLIAAAMAIVLWLRKRAKLADTLEDWELEHPHRFPYKDLYRATKGFKETELLGAGGFGQVYKGVLRQRSGGGEVAIKRISAGTRQGMKEFVAEIASLGRMRHRNLVELRGWCKHGQDLILVYEFMPNGSLDAHLFGADRKAPLLAWAQRFAVLAGVARGLLYLHEEWEHVVVHRDVKANNVLLGADMGARLGDFGLARLYEHGANPATTRLTVTSRATTATDVFSFGALLLEPPSEATDDSDVILVRWVRDCGLDGDLLRAVDPRLEGCYDEGEAKLVLWLGLMCSQARPEARPSMRQVCRYLSGEEALRGDAVLVFTGADSVEFIGSSLSITWSSSGGTMSAGSLHGGR >Sspon.08G0018450-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:6166704:6169436:1 gene:Sspon.08G0018450-1B transcript:Sspon.08G0018450-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNRICKKRAHKSRKKTRKLWLYNDFEEEFGSLSHTSQGTLSNKLSKEVVSNLCLASFNGDRIYAVCTAITLVFELHISIMTCSLGLSSFRALLQNRQIEGLVWDSANDPCRVLRKHLMISMCKIDMEMTPFLPMDKIYICLVHAGILWVEINHGGDNTIERSPCEIQECLMPNVEGISCGERDKNQELFVSSTSYSEDLKVSHVERGTRIRSFPYLLQVIQKILRRNSGTSLILIPCQLMISHGFVRNDLKSRSYPMPIRLEGDTWSKLSKKVASGISRSVVSLASFKGEERFFACTGIFIDFNESTSRVLTSASLIADNLRIEVYLPNKQVAEGTLQHYNLSYNIAVVSVMGFRCLRTAEVHNQRQIEPHMEVVVVGRISLNQAN >Sspon.05G0029640-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:89474982:89485395:1 gene:Sspon.05G0029640-2C transcript:Sspon.05G0029640-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPSKRGADDLTRFSADNKRTCSGEEATELSVSDIWSELSEEVASKLSRSVVSIALSNGQSVLYASSGIVIERQCNFTKFVTSASLVRALHDSETNGHDKLEIEVRYEGNVAIGFLEEYDLDLEIAVVKVMSILDVYCMPLNHQVQIDPHGRKAMQGGALFDFYGNFFGMNLFWDMERPIFLPRSIILERLGHFHTSLKKSVFLNLVKPVRDKRRGRRIDVKLFSHPGGSIKIFGDIYPNGVWDELKEGVARHICGNLVALASFNGESKLFACTGFFIDYYDDKCPAILTSASLVRNHDGTIIEGLTIKVLLPNNERCEGKLERYSLHYNVALVSVKNYNVDCPVNLKHQMGDYTKVVAVGRYFEPDLVMATGGQWTRWSGNLDCNDLCYAACTITKAGIGGPLVDVNGNFIGMNYYGRSMGTPYLRFDLLCGILNYFKTGRTNYEKIKRHSLLPYPVAHIVEDGKKQPPNRWMGAGGSLDDTLMDQDEDTSRRERAAIAKPNRYWFRNGAFSVYK >Sspon.04G0009970-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:29750751:29751399:1 gene:Sspon.04G0009970-1A transcript:Sspon.04G0009970-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ASPGSDDGVVSAAAVVCCMCGDHGLPHELFRCNLCRLRTQHRYACVRYCSDLYPRVAGPYRSCNWCLRQGGAAGGGDSPSPVKAAAAAGTRRRINDSRGDDRDNDESACDYGCSRSAFSADPGKPIKKPKKGRDRERAVQRPEPVVTTTTVSKKRRREVQPGKPRFKVKVRRYKLLTEVIC >Sspon.03G0017740-3C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3C:76372218:76372980:1 gene:Sspon.03G0017740-3C transcript:Sspon.03G0017740-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Expansin [Source:Projected from Arabidopsis thaliana (AT4G01630) UniProtKB/TrEMBL;Acc:A0A178UWZ2] MLLLLLSLCASRLDGAAAQQYWTPATATFYGGSDASGTMGGSCGYGDLYSAGYGTQTTALSTALYGDGASCGACYLVTCDASRTQYCKPGSPSVTVTATNFCPPNYGDPNGWCNSPRQHFDMSQPAWETIGVYQAGIVPVNYRRVSCQRSGGIRFGISGHDYFELVTITNVGGAGAVAAAWIMGTGTDWLTMSRNWGENWQSGAYLTGKALSFKVQTDDGK >Sspon.04G0004890-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:14179409:14183407:-1 gene:Sspon.04G0004890-1A transcript:Sspon.04G0004890-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial import inner membrane translocase subunit Tim17/Tim22/Tim23 family protein [Source:Projected from Arabidopsis thaliana (AT2G42210) TAIR;Acc:AT2G42210] EAMEEDSPLTKTVKGAVTGLAAGTIWGTVVATWYDVPRVERHVALPGLIRTLKMCGTYGATFATIGGLYIGVEQLVQSQRKKRDFVNGAVGAFVAGASVCGLAGKSIQSALIGGSCLAFTSAVLDIGGNTTRVDNGCSKQPEWTTAKSEFEADVQIQLKPR >Sspon.02G0004430-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:13508047:13522333:-1 gene:Sspon.02G0004430-1P transcript:Sspon.02G0004430-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNSRTESLPKSEKYRLYRELRSCLDLTGPRDYSSPEEMVQRLTSASTALRRVLDNPALQDCQENNGFGDMMDEDVMNNVSVRLKLSLTVWDFTLPVTPSLPAFWCILAIFLFTIYLKLSLKIDSVWSMALKDGIVHWIITLGGSSNIELMGDSMRILAYTCPWPADHPKANEYYSDPRLAAYAVPYAPILSCTDAAKNSLRREVEILKSKPHWSKAYFYLWDEPLNVEQYDMICNISNELRSYAPDVRILTTYYCGPSGSELAPSTFEAFVKVPNVLRPHTQIFCTSEWVLGTREDLVKDIVAELRPDLGEEWWTYVCMGPSDPQPNWHIGMRGTQHRAVMWRVWKEGGTGFLYWGTNCYEKAMIPSAEICFRRGLPPGDGVLFYPGEVFSSSHEPVASTRLERILSGMQDIEYLKLYSSRYGREEGLALMEKTGMYLGPDRYTLDHGPVDVMRGEVYRTCRS >Sspon.01G0019810-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:75467943:75483274:-1 gene:Sspon.01G0019810-3C transcript:Sspon.01G0019810-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pre-mRNA-processing protein 40C [Source:Projected from Arabidopsis thaliana (AT3G19840) UniProtKB/Swiss-Prot;Acc:Q9LT25] MATPASAVSDVGDPQVEEPSQAKAAAVAEPAAAAAELAGASNPSTVAAAAPGDALSGPASATTSDSAAAPPPASPATPAAPPPASPALPAAPGPPRPQFAGSLSYMAPGAPSPSPTPSPAFSYNVLPRAPPAPQVGSGAASLQPCSSPALSVAPMPASALQPPAPGQYFGNRPSFSYNVVSHANARLPTGQQFQLDTGANLAGQISRFVPPGSLQPPTPGHITRPSTAFPGSMAPNPPGSVQLPFSVPRPSIIPCSAQQGNSDTNNLKSDGPSASEVTPHAMQLSTGMSSNSPSIPIQTLTNSSVPPRPEVFGAARPSAPGQPSAIVSNPTSLLGRPLVPSAAPLPQTTPPIATQGVTPQNSQQPFYSSYPSGPAIVPPQPLWPHPHPQPTGFQQPPFQSYPAGPVGSLGRPIVGASAATTAFANVQPPGVSTGGDRKIQASTNPGSEQPTHASAEPDSTGNVFTGFDIWSCFFILTILLIMFNAAGHGGQVNEQLEDNRNTGVQDSDAWSAHKTETGVVYYYNALTGESTYQKPTGFKGELEKVATQPVPVSWDKLAGTDWSIVTTSDGKKYYYDNKQKVSSWQLPPEVCEILKNAESGSLKEGSTSVQDAATIENKGVISIDASTPAIQTGGRDSLPLRQTVAPASPSALDLIKKKLQDAGASSAPSALATSSAASELNGSRTADAALKGQQVANNGEKSKDNNGDVNMSDSSSDSDDEEHGPSKEDCICQFKMEMLKERGVAPFSKWEKELPKIVFDPRFKAIPSHSTRRAIFDHYVRTRAEEERKERRAALKASVEAYKELLEEASEDINQKTDYQEFKRKWGADPRFEALDRKEREVLFNEKLFKKKSNQCAKLSLLISNQCFEKVKENFRSDPRYKAMKHEERETIFNEYIVELKSAEQEAEQAAKAKVDEQAKLKERERETRKRKEREEQEMERVKMKIRRKEAVSSYQALLVEMIKDPKASWTESKPKLEKDPQGRARNPDLGRGDAEKLFRDHVKDLYERCVRDFRALLSEVITPEVAARTTDEGKTAINSWSEAKGFLRSDLRYNKLASKDRESIWRRYADDLTRKLRQSDTKEKDKSDADGKQPRSSDPLRRRMRSTCSVLL >Sspon.01G0037730-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:25378963:25379241:-1 gene:Sspon.01G0037730-1B transcript:Sspon.01G0037730-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFVVQVLLRVMMRRSIRRLQEVVDMAVEIGTAVFVAVRVSGLVFRRRLRTTPSSPSSSSSVSGGTATTTTTYYYSTGASSPLIGMSRIDRH >Sspon.07G0008260-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:22634711:22648714:-1 gene:Sspon.07G0008260-1A transcript:Sspon.07G0008260-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASVSGATICLQKPGSKGRRARDATSFARRSVAAPRSPHAAKASVIRSDAGAGRGQHCSPLRAVVDAAPIATKKRVFYFGKGKSEGDKSMKELLGGKGANLAEMSSIGLSVPPGFTVSTEACKQYQDAGSILPAGLWAEILDGLQFVEEYMGATLGDPQRPLLLSVRSGAAVSMPGMMDTVLNLGLNDEVAAGLAAKSGERFAYDSFRRFLDMFGNVVMDIPRSLFEEKLEHMKESKGVKNDTDLTAADLKELVGQYKEVYLTAKGEPFPSDPKKQLELAVRAVFNSWESPRAKKYRSINQITGLVGTAVNVQSMVFGNMGNTSGTGVLFTRNPNTGEKKLYGEFLINAQGEDVVAGIRTPEDLDAMKDVMPQAYEELVENCNILESHYKEMQDIEFTVQENRLWMLQCRTGKRTGAGAVKIAVDMVSEGLVERRQAIKMVEPGHLDQLLHPQFENPAAYKDQVIATGLPASPGAAVGQIVFTAEDAEAWHAQGKAAILVRAETSPEDVGGMHAAAGILTERGGMTSHAAVVARGWGKCCVSGCSAIRVNDAEKTVAIGDHVLSEGEWISLNGSTGEVILGKQPLSPPALSGDLGTFMSWVDEVRKLKVLANADTPEDALAARNNGAQGIGLCRTEHMFFASDERIKAVRQMIMAPTVELRQQALDRLLPYQRSDFEGIFRAMDGLSVTIRLLDPPLHEFLPEGNVEEIVRELCAETGANEEEALERVEKLAEVNPMLGFRGCRLGISYPELTEMQARAIFEAAIAMSNQGVEVFPEIMVPLVGTPQACIFFIFELGHQVNVIKQVAEKVFTSMGKTIGYKIGTMIEIPRAALVADQIAEQAEFFSFGTNDLTQMTFGYSRDDVGKFIPIYLAQGILQHDPFEVLDQRGVGELVKFATERGRKTRPNLKVGICGEHGGEPSSVAFFAKAGLDYVSCSPFRVPIARLAAAQVLV >Sspon.08G0015990-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:63540642:63549944:1 gene:Sspon.08G0015990-1A transcript:Sspon.08G0015990-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPKIYSSLVLAVSSRVRVAVRLRPRNAEELAADADFGDCVELQPELKRLKLRKNNWESETYEFDEVLTEFSSQKRVYEVVAKPVVESVMEGYNGTVMAYGQTGTGKTFTLGRLGEEDTAARGIMVRAMEDILADITPGTDSISVSYLQLYMEMIQDLLDPVNDNIAIVEDPRTGDVSLPGATVVEVRDQKSFVDLLRVGEAHRVAANTKLNTESSRSHAILMVNVRRSVKGRTEMDVSISGENGHSSSMIGSLRPPIVRKSKLVVVDLAGSERIDKSGSEGHTLEEAKSINLSLSALGKCINALAESSPHVPVRDSKLTRLLKDSFGGTARTSLVVTIGPSPRHRGETTSTIMFGQRAMKVENMVKLKEEFDYKSLCRRLDIELDKLIAENERQRKYFDDEVERLRAEAQCRIAEAERECKITLENKEAESTSNDTGEVHNLLQNEKMLRQSAEDEASDLKNQVSHWKKLEATATAEVVKLRKMLEAEASQKEKLEEEIDVLRSQLLQMSMEADETRRSLDKGDGPGKIFPGLDSLVSQTRGSQPREQSNGPKQPIAKLFEQVGLKKILSLLESEEPDVRVHAVKVVANLAAEEANQEKIVEAGGLTSLLTLLRSSEDETIRRVAAGAIANLAMNETNQDLIMDQGGVTLLSMTASDAEDPQTLRMVAGAIANLCGNDKLQTRLRGEGGIKALLGMVRCGHPDVLAQVARGIANFAKCESRAATQGSKVGKSLLIDDGSLPWIVKNANNEAAPIRRHIELALYKEIGISDSDIQPHSSSRDKETGNKNI >Sspon.07G0027660-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7B:60973700:60973848:-1 gene:Sspon.07G0027660-1B transcript:Sspon.07G0027660-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTVDSIRPDASNAQRPRVRRHTKRGGPKPLDRATDASIKWASNALHVC >Sspon.08G0011970-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:51428545:51437536:-1 gene:Sspon.08G0011970-1A transcript:Sspon.08G0011970-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding TLLRSESKNLTTATLQSGQEEAISSIDHHFPENDSANRGDLVQNGFHDDPDANQISGRHLLNMDGHCCYIHPVIEKRLQGLWSNNMEQTIQKNGYHEELSCSLHDDLGGSSTKLGQLADKAALDQVCKAKSSDTLKHSPDDEIEGEIVYLQSRLLDGVVSMKQRYEDLMLKVVQNISYELDSFNKRKWDHIIVNQFLRDLREAKKRGNSERRHKEALAILAATAPSVVPTSRNATVRKETENNVASARRENMTRSNAGSSRIGQLSSSPQAKDLSFSNSKVSEETNFGIFDLAKFSKKSALPCDICMRCDTVLNRIFVCSSCKAAVHLDCYQSLKYPTGPWKCELCHEMPRDFVVSGELSDQNGAKACLVQCGLCHGTSGAFRKTVKGQWVHAFCAEWLLETTFRRGQHNPVDGMERLHHKDKDACSICHRYVGACLKCSTVDCQITFHPSCARDAGLYMNTKRLGIMLQHKAYCCRHSIEQRKAYRQQYGPDEVKSMKQMRVELELLRFLCERIVKREKVKKDLVGCAHDILAARRMTAVSSSWTSCYASGPGASSESATTSVNNKSYGGTIQRDTDRNTADSSTSTISYKRKLDDGESFAFKSLPGTPATALLESRDVEKKPIDKKRREIYQKELVMATSHQALLKNKSPPERYVYTRRSSMSKRKQCSQHVVEGPGG >Sspon.05G0012730-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:41779526:41783408:-1 gene:Sspon.05G0012730-2D transcript:Sspon.05G0012730-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPAETSPPAPPPRATHPVSTPLLLQDPTTQATPPWREQLTLRGIAVAAVLGALLCVVIHRLNLTVGVIPALNVASGLLAFFLAAAWRAAAGRLGFGRGPPFTRQENTIIQTCAIACAGLAFSGCSASYIFAMDRKTYELVGPDYPGNRAEDVRDPSLAWMISFLFLIALVGPFSIVLLRKVPFFMQSPILSLQVMVIDYKLTFPGGTATALMINSLHGKTEGDLAGKKVHCLVKYMGISFVWSFFKWFFSGVGDSCGFDNFPTFGIEAFKNTFYFDFSPSYVGFGLISPHIVNCSVFLGSVISWGFLWPFISAQAGHWYPDNLGNSDFRGLYGYKVFIAISIILGDGLYNLVKIFVIIAREFCGMQSKKRDLPVQALEAEDDGSCEQLAEEELQTEVFLKDSISPWFAASGYIILAAISIATVPTIFPQLKWYLVLLCYFLAPAVAFCNSYGMGLTNLNLAPTYGKIGLFAFASLVGSDGGGVIAGLAACGIIMSIACSTADLMQDFKSAYLTLSSPRSMLIAQLIGIALGCVIAPLTLWLFWTAFDIGNPDGEYKAPFAIMFREMAILGIEGFSALPLHCLDICYAAFFLALAISLLKDVTPANVSRFIPIPIAMAAPFYIGAYFGVDMFIGTVILYVWQKLNREQADGYAVAVASGLICGDGIWSIPSALLSILGVVPPICMSFKPSSAP >Sspon.08G0020880-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:26853536:26856830:-1 gene:Sspon.08G0020880-3D transcript:Sspon.08G0020880-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSASCLAPPAPRFRVRLPPLSAARPSLGFGPRCAAPAKGWALWHVSCFRNDQDGPTTSDEGDGFKYIAQSQSSGGVEVKEEEIKENLLGRIVRFQTERWTVPWTGQTIAQVMILWIATFWFVGSWIVPFLAHAAGFSKETLTHRGQALYSLLTDITEGLAGIAILHQCLGRFQPLPPGWFEFNLKGKWHLDVAFGCLLFPLVNLLSHINISLVPMSPGPVVGVSSVEQSIVARDPVAMALYAVVVTVCAPIWEEIVFRGFLLPSLTRYMPLPWSILASAAAFALAHFNAQRVMPLVFLGVVMGGVFARSRNLLASMVLHSLWNGFVFLDLMK >Sspon.05G0021120-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:84460800:84462257:-1 gene:Sspon.05G0021120-3D transcript:Sspon.05G0021120-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AMEADSMTMAIVAAFLVSLPVLYRLLFASAGDKKTSRKDLPPGSFGLPVVGHTLSLLRALRANTAEDWLRRRAAAYGPVSRLSLFRYRTAFLVGPSANKFLFTSPALTTMNSEAFSRMVGRRTVRDVVGDEHARVRAMMVQFLRLDAVKRHVAGMDAEVRCHLDAHWRGRGSVAVMPSMKTLTFDVMSTVLFGLVGKDAAAVRRELSAEFQQLVQGIWAVPLNLPFTRFSRCLAASRRGRRAVAGVIDERRAKLERGETSPADDIITHMLSKGLPDEEITDNVMFLMVAAHDTTAALITFLLRHLDGNKDAYAKVLQEQEEIARRKAPGEALSWDDLCRMRYTWAAAMETLRMVPPAFSMLRKALQDVEYGGYVIPKGWQVMYATNMTHWSPAIFPDPGRFDPARFQDPSAIPPYGFVPFGGGARICPGNEFAKVETLVAVHHIVTRFRWKLAAGCDGSFSRSPMPYPSQGLLIDIEPIHQGNTSR >Sspon.02G0006200-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:12336712:12346873:1 gene:Sspon.02G0006200-2P transcript:Sspon.02G0006200-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAARGRGASPRLAAGHLLFLALASLLLLLSPRATAQAQPWKVCGNTGNYTANSTYQSNLASLATALSRNASSSRALFAKGSVGVLPDIAYALALCRGDANATACGSCVTTAFQSAQQLCAFDKDATVYYDGCYLRFSNMDFLDDTTSNDNEMILMNTQNVSSPVKVFDAAVRVLLNATGDYAAANSTRLFATGEEAFDATDPTIYGLTQCTPDMTPADCRRCLGDILGIMPQYLSGRKGGRVLGVRCNFRYEVYPFFTGGPTLRLPAPSLLSPSPAPAPTPVPVNVTPTATPPGRTRNKTGIVLAIALPIVAAVLSISTVCLCFFWRRRPAREQTPSDSTNVGDIESIDSLLLDISTLRAATGNFDESNRLGEGGFGAVYKGVLPDGQEIAVKRLSQSSGQGIQELKNELVLVAKLQHKNLVRLVGVCLQEHEKLLVYEYMPNRSIDTILFDPKKNKELDWAKRVKIINGIARGLQYLHEDSQLKIIHRDLKASNVLLDSDYTPKISDFGLARLFGGDQSRDVTSRVVGTYGYMAPEYAMRGHYSVKSDVFSFGILILEILTGRRSSGSFNIEESVDLLSLVWEHWTMGTIVEVMDPSLRGKAPAQQMLKYVHIGLLCVQDNPVHRPMMSTVNVMLSGSIFSLQAPLKPVFFIPKSGYYSTVYSESNPTASQSTANVTSGAISPNEGSVLGEASGKTSAPRSGDKLLSPGTRLSGPACMTGEVEASIANVREEAWSSESQEQQRSVIRTDGLDLGMEKLELPLRHLRPPAPKLAEKESVQ >Sspon.04G0006130-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4A:17304241:17313780:-1 gene:Sspon.04G0006130-1A transcript:Sspon.04G0006130-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASSSKTNGQAYRTLSSPPSDVLSVLSQTEERESKDRKGRNLPGFPRRRRYRRRAGRAHTATARESELSLAGSGPDRQGAAPPALHSVLARSLHKSAGELPSQRLRTASERGAAAVRLFLACARAASEGRHRGAARRCRVQPWLRACAGEWCRSGTVILFPSASSSRRRDSLRRRAAGGSRKTEAGEMIESKGKGAGLIRQRYRRSAVALLWQLSVKGGGKESKWRVLTFPAQAQVAAWARGRGRMRLGLQNKEASERLGLCSWAAAVRWAEATATGAGAFGRLDGPSATGPRHQTKNFMPLFLKGEKEMSWVLVTQKMKSAILQKEDHYGNSYENFNVPKGNIKGENVGIDLHQLAQRLTGPLDRVLIGDAQPNDPAGGPPSRSTIKRRWGQRLATRGLLERLPLLLKPCQTPPNITALAKEPGGAGLPAPKAGVSPSDGGGRSSIRRDVWRGRKRVHEVSLPTMAGLLVLDLGITWMVDVDTPGSACPQDGALGNRWNRAAASSQVASGG >Sspon.02G0036860-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:27463044:27466353:-1 gene:Sspon.02G0036860-1B transcript:Sspon.02G0036860-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSFLFDPDSSDDEAAGAPARGGPAQSPWEFSSYAESVAAEHARRRTTSIDEKISHLRQGRGKPVLSDDSESDASGSGEDDSDEEEIEGESGDEEDELEESEDENGVDGGDDEEEEVEGSGDEEASGDREGDEDGSDEEGGGELEEEDGHEEEDTAEQNDTSGPVDPSNFFASSEGASFHANSFLELNLSRPLVRACEALGYQKPTPIQAACIPLALTGRDICGSAITGSGKNIK >Sspon.06G0028390-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6C:5782425:5782794:-1 gene:Sspon.06G0028390-1C transcript:Sspon.06G0028390-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding TQQEMLKNPRGNPRLTRASRPVPISGQVTTQPPPRDPEEASILNERVSLIKLQKGAWPSHAPKYKSRNHRTGDIPRKPWEALLPPRKSRRHANLPVATRLPGHESAGASMEAAPVQGRLRWHP >Sspon.01G0055990-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:85831589:85840685:1 gene:Sspon.01G0055990-1P transcript:Sspon.01G0055990-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding QVMEPKGETKLDGVTMAMGALDCPICYEPLVPPIRQCGVGHLICNSCCIRHRLHKCPLCPRSAFERCFGMERVVKSIEVPCCFAENGCTKKIAYFNKKKHEKACRHGPCFCPEPGCGFSGPAATLPDHFTDCHKWPNTAFKYYAQFGLRLKPGPHVLRAQDGTAFLMNVVAAEPFGHAISLVCVQPEATESPFGCSVVFSCFTGHHQISTLAAVRCSSLADGLPKDAFCIVPKAFIGQTLAEELVDQQLRIRGWQRNPLGTPSPSSVSGRPRAGLLPVRVLFGVFVLHRPPPDLDAGVLDPVRCSMLADGLPKDVFCIVPKASGGVHDVVLKTTIDEARRYDIELGDEDDEDESYDEEDDEEEDDSDDDDDEEEEEDDSDGLQVMEPKGETTMMEGVRMTMGALDCPTCHKPLGPRIPQCAMGHLLCRSCCRIKRKKCPSPLCPRTVFTRCFGMERIVEAIEVPCCFAKNGCTEKMPYFNRGKHEKACQHGPCFCPELGCGFMGPAAALRDHFTGHHKWPSMAFEY >Sspon.02G0030680-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:107932809:107938621:1 gene:Sspon.02G0030680-3C transcript:Sspon.02G0030680-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEVGAPNLPMPEPVGSEPDDARLSPPSPSPAPAPPPPPPPPPPPPAPAPAPAPAPAAEAAAPTASAPVSPPAPAPAPAEANGNSDRKRRRKAEDGDGCKTCSCKKSSYCVCFASGSRCTESCGCEPCENKQPLQVAPRIAPVLPLKPVQTSEAGQDIVEQVIRSPMDLIRRKCTCKKSGCLKKYCDCYQGGAGCSINCKCDDCRNPFGRKVGVILDGKSSVAAPILHERHGTDVDSSDDEDDFYMNRQLSPIPPSPVSRESSFQQETLVGVEVQTMNGHLYPKPLAQVRPEPSSWQPSRRPVEEPRGEIWRFSRRPSEDGTSDAMEAHAIAPRESKKPEIHGDNRFSIPRCIEVMSAMAELSPVEKSLAPDVFLDVNNREIFLSLSVDIRPIWLRRKMKSLSSARFMEPHTATLLEDDASVDVHSCP >Sspon.06G0027430-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:85310596:85311408:1 gene:Sspon.06G0027430-1B transcript:Sspon.06G0027430-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding HADTWATLPCDLLVEILRRLGPTSTTVIRSAAVCRPWRRAIIDNTWCLRVRPDRFLPHLLLTFVDRTWRSEDVIALKGVGVDVGLYNTPLSSRDGFLLLAGDTVNSLCLCNPFAGTCRCLPAAAFGVSKNKCTYVLATDDEHDDPEVRILAVEGEDIKDGMPYQVFSSTSGAWGPVTRSGKFELHVDLAQVYMFPEPKHVVVCRDSVYWIVIATTTDRGAWMCMFAIDMRTGETWTTELPESCGMAHCSPCDLVLAASADSRQLSMVALKG >Sspon.01G0004240-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:10294748:10299024:1 gene:Sspon.01G0004240-1T transcript:Sspon.01G0004240-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMNNGWLGFSLSPSAGRWGYGGGGGASASGDGDGSCSSPAGDASPLPLVQPNGSLHYTSAPDWRHAAAEAKGPKLEDFMNVTCSSNKSSNSSSLYDSCGHAEQANKYHEVHDLQPLSCGSYYHGSSGGCGVGLGINMNAPPCSGGGGFPDHHHHHQFVSSHHGQYFLGAPVASAGPPGAVPMYNAGGGGGVGGSVSISGIKSWLREAMYVPPERPPAAALSLAVTDDVPAEPPQLLPAAPTPVHRKPAQTFGQRTSQFRGVTSLLIDHPDACVRVRKSIDLQAQMDRELSHYEKELEEMKHMSRQEFIAHLRRYCMIAVLIYTVPLLICTQEEAAEAYDIAAIKFRGLNAVTNFDISKYDVKRICASTHLIGGGDACKRSPTRPPDALPALATDAAGADQSSDAPGSGSGGDQAASDNSDTSDGHRGAHLLHGLQYSHPMKLEAGEGSSWMAAAAAARPVPGVHQLPVFALWND >Sspon.07G0017190-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:80723796:80733312:-1 gene:Sspon.07G0017190-4D transcript:Sspon.07G0017190-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein NAP1 [Source:Projected from Arabidopsis thaliana (AT2G35110) UniProtKB/Swiss-Prot;Acc:Q5S2C4] LLYRFFFADYCLSIHRLVQFVDSYDPPIKGLHEDLNFVSPRIGEVVLEAVGPIIFLSTDTKKLRNEGFLSPFHPRYPDILTNSAHPMRAQDLANATSYREWVLLGYLVCPDELLRVTSIDVAMRMAKSGRTKQKEADLEYNVAKQVERMLTEVHEQALVSCDAMHRERRILLKQEIGRMVLFFTDQPSLLAPNIQVQCQMVFSALALSQCEVIWYFQHVGVAASKSTRVKTVDIDATDPTIGFLLDGIGKLCCLVRKYIAAIKGYALSYLSSSAGRIRFLLGTPGMVALDLDATLKGLFQQVLHCLENIPKPQGESVPAMTADLTDLRKHWLSILMIVTSSRSSINIKHLEKATVSTGKEGLVSEGNAAYNWSRCVDELESQLSKHGSLKKLYFYHQHLTTVFRNTMFGPEGRPQHCCAWLGAACSFPECASAIIPEEVNKIGRDSISYVESLIESIMGGLEGLINILDSEGGFGSLEMQLSPEQAALRLNNTTRAKGFSSLLTPGHESYPDNSSSIKMLEAAMQRLTSLCSVLNDMEPICVLNHVFVLREYMRDCIVGNFRRRFHSMIRTENCIQRPSIIESLLRRHLGIIHLAEQHISMDLTEGIREVLLAESYTGPFPNLQMFETPVGTQGGGSAVEMICNWYIENVVKDASRIGVAFDAIQNCFRSSQPIGGGCLAEAFTDKRELKSLVRLFGGYGIDKMDKMLREHTSALLNCIDSALRSNRDALEGLAGSVNSGDRIERDANLKQIIDLETLADLCIQAGQAITFRRLLVESVGAILEEKVPLIYSLLKGLALQLPDEVPDKNEIIRLRKVASSVGVGDKHDAEWVHSILAEAGVANDNSWILLPYLCAAFMVSNIWNGAVYDVNIGGFNNNLHCLARCVSAVVGGSEYTRVEREQRINSLSNGHTDELQEAELPSRVSAEANIKSSMQIYVKLSAGIVLDSWNDTSRPHIVPKLIFLDQLCELSPYLPRSTLEVHIPYTILRSIYHQLYGASLMASEPMEQSPRQSPLISLAHASPSARQNRPETTPRSHTFEPSYYSSLGSQHDDGYDVDKRTRLLRSMRRSGPLDFSVSRKAKFVEGSSSGSSHGAGSLQRFAVSRSGPLSYK >Sspon.07G0029680-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:77597891:77602110:-1 gene:Sspon.07G0029680-1B transcript:Sspon.07G0029680-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPVFCGVRALGSSLGRGGSQARGVVRATTSSRGQPRATGVRGERLRPTASDGPTILHPPPPGSSALRLHHSKPLPSSAPLHGASSSCVWPGSTGRRHWVPAAGTSTGAEQECRSRETEQGAAPWRNDWDLTTAALRWSTGQSNRQSRARLAFYNMVLVQFSKATVKDFKSSN >Sspon.03G0022110-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:53441708:53443313:-1 gene:Sspon.03G0022110-4D transcript:Sspon.03G0022110-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFHIVLERNMQLHPRHFGPHLRDKLVSKLIKDVEGTCSGRHGFVVAITGVEDIGKGLIREGTGYVTFPVKYQCVVFRPFKGEILEAVVTMVNKMGFFAEAGPVQIFVSNHLIPDDMEFQSGDVPNYTTSDGSVKIQKESEVRLKIIGTRVDATEI >Sspon.01G0007640-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:21177400:21181540:1 gene:Sspon.01G0007640-1A transcript:Sspon.01G0007640-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Superoxide dismutase [Cu-Zn] 3 [Source:Projected from Arabidopsis thaliana (AT5G18100) UniProtKB/Swiss-Prot;Acc:Q9FK60] MAGKAGGLKGVALIGGGANSTVAGALHFFEDPSTSSRSLELWYTEVRGKVTGLTPGRHGFHIHVFGDTTNGCNSTGPHFNPHNKPHGAPFDEERHVGDLGNIIANEDGVAEVFIRDLQISLSGPHSILGRAVVVHADPDDLGRGGHELSKSTGNAGARIGCAFLLHRNQMPGMRQLMTSKHQPATHPCDVRLQSQDTEHYSFAREKQTRTREQATSIRLKGR >Sspon.01G0047950-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:115634885:115637251:1 gene:Sspon.01G0047950-2D transcript:Sspon.01G0047950-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSDGDGEEGIARVLALSFGDDARSLARSIGRGLHGLGSCGPTATWVTWAPSAPRALLHLALGRVGSARPSRRFSNHLRGPSPARIESSREVMADSAASRPTVLVTGAGGRTGQIVYNKLKERSEKFVARGLVRTEESKQKIGGASDVYIADIRDADRLAPAVQGVDALIILTSAVPKMKPGFDPSKGGRPEFYYEDGMYPEQVDWIGQKNQIDAAKAAGVKHIVLVGSMGGTNPNHPLNSLGNGNILVWKRKAEQYLADSGVPYTIIRPGGLQDKDGGVRELIVGKDDELLQTDTKSIPRADVAEVCVQLCSMKRPSSRHLIWPQSLKVWARQQRTSGHCSLRSLLGFE >Sspon.01G0025410-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:90377293:90393933:1 gene:Sspon.01G0025410-3C transcript:Sspon.01G0025410-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSGVDDDVPDGIGMARLAWTRLPTADAGPSTSAAADGEFLSAAAIESLDYEVIENYAYREEQAQRSKFWVPYYVMLKWLFSLLIGVGTGLAAIFINLAVENFSGWKYTATFAIIKHSYFVGFLVYTVFNLALVFSSVYIVTNFAPAAAGSGIPEIKGVDTHGILLFRTLVGKIFGSIGSVGGGLALGKEGPLVHTGACIASLLGQGGSAKYHLSSRWVRIFESDRDRRDLVTCGCAAGVAAAFRAPVGGVLFALEEVTSWWRSHLMWRVFFTSAVVAVVVRSAMNWCNSGKCGHFGAGGFIIWDISGGQEDYSYQELFPMAIIGVIGGLLGALFNQLTLYITKWRRTYLHKKGKRVQIFEACLISLVTSTISFVLPLLRKCSPCPQLDTNSGIECPHPPGTDGNFVNFYCSKDREYNDLATIFFNTQDDAIRNLFSAKTFHEYSAQSLITFLVMFYSLAVVTFGTAVPAGQFVPGIMIGSTYGRLVGMFVVKFYKKLNIEEGTYALLGAASFLGGSMRMTVSLCVIMVEITNNLKLLPLIMLVLLVSKAVGDFFNEGLYEEQARLRGIPLLDSRPKQVMRNMNARDACKNQKVVDRGQNGESLVIGLILRRHNFSDFVKPASSKGKSIDDIHLTEDELGLYLDLAPFLNPSPYIVPEDMSLAKVYNLFRQLGLRHIFVVPRPSRVVGLITRKDLLLEEDSNNNVMAELQSTSVRSLLNGKMLGGNVHLERPLLDNLVIE >Sspon.08G0004390-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:12592535:12596600:-1 gene:Sspon.08G0004390-1A transcript:Sspon.08G0004390-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cryptochrome DASH, chloroplastic/mitochondrial [Source:Projected from Arabidopsis thaliana (AT5G24850) UniProtKB/Swiss-Prot;Acc:Q84KJ5] MLHYISSSPLRPRFLLLPSPPSNLRFLAMSAAPSSSSSRSVRGAAVPVPSLGADEACAVADEAFQRYTSPSLRRGGVGVAVVWFRNDLRVLDNEALLRAWAASEAVLPVYCVDPRVFAGSTHYFGLPKTGALRAQFLIECLGDLKQSLRKKGLDLLVRHGKPEEILPSIAKAVSAHTIYAHKETCSEELFVERLVSKGLEQVQIAQGGASVPKKPLNPRLQLIWGATMYHIDDLPFPVSNLPDVYTQFRKSEKGMHFIGGENAALGRVHEYFWKKDQLKDYKVTRNGMLGPDYSTKFSPWLASGSLSPRYICEEVKRYEKKRVANDSTYWVLFELIWRDYFRFLSAKYGNAIFHLGGPRKVVSKWSQDQALFESWRDGRTGYPLIDANMRELSATGFMSNRGRQIVCSFLVRDMGIDWRMGAEWFETCLLDYDPASNYGNWTYGAGVGNDPREDRYFSIPKQAKSYDPEGEYVAYWLPELRSLAKERRNFPGASYIRQIVPLKFDGGNQKKDQQFNRQRRPNNMYRRQVQ >Sspon.04G0021870-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:2171888:2173468:-1 gene:Sspon.04G0021870-1B transcript:Sspon.04G0021870-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGAAVAPSASAGKRQDYPGSLTLFVFMACMVAATGGLIFGYDIGISGGVTSMDPFLSRFFPSVYRKQAESADGGNQYCKFDSQLLTLFTSSLYVAALIASLFAASVTRAAGRKWSMFAGGVTFLAGCALNGAAVNVAMLILGRVLLGVGVGFANQSVPVYLSEMAPGRMRGMLNNGFQLMITLGILIANLINYGTAKIAGGWGWRLSLGLAAVPAAIITVGSLFLPDTPNSLLERGRPEEAKRMLRRVRGTDDVAAEYDDLVAAGEASRAVTHPWRDIRQRRYRPQLVMAVAIPLFQQLTGINVIMFYAPVLFKTLGFGGTASLMSAVITGLVNLVSTLVSVFTVDRVGRRALFLEGGAQMLAAQVAVGALIGAKFGWSGVATVPAGYAAATVVVMCVYVAGFAWSWGPLGWLVPSEVMPLEVRPAGQSITVAINMLMTFAVAQAFLPMLCRLKFVLFFFFAACVVVMTLFIALFLPETKGVPIEDMAGVWKTHWYWKRFVNDGDDTDGHGIDIEMGCVGEAKK >Sspon.02G0012260-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:32387869:32390004:-1 gene:Sspon.02G0012260-1A transcript:Sspon.02G0012260-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKPAGPTSRTRTRGGLAASAPSSRRLSSISFTAAPNQSKKVPDPPKAVRPTRATPAKKRPQVDQAQKRREEIAALQEQLSGLQRKLHEKDEALRSAENLIGRITAANEAVDGLRSQLSEKELLIESTGSELHGAKIMLAEKQAALEKLEWEANVSSTKVEELQVDVASMDAEVSALMKLFRKITENDRAPPPRDRTDDLSLECEPVQLDDTVDDIDVEKMEQEMSAYASALAAAKENPTEEFMREVTEARLRLQAVVL >Sspon.07G0024490-1P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7C:23771172:23772266:-1 gene:Sspon.07G0024490-1P transcript:Sspon.07G0024490-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGNKDEALRSVKLAKSAFASGDRQRAEKLVKIAQRLDPSLPLDDLLSPAEKVGILNSDTCKDKTGRGQARVDPKTPKESVGPLNVDQAYTEENIRVVQDIRKKKDYYAVLGVERRCSVEEIRKAYRRLSLKVHPDKNKAPGAEDAFKLVSKAFKCLSNDQSRRTYDQTGTIEDHEFSEQYPNVMRRGAARRRRPARSGFYNYEEDFDPDEIFRSFFYGTHDNLFHAQNAYRARGTGRQQQQRREHSVQGGSDINVTVLIHLAVVLLIVSIAFIPARRSEYSLQKTYYFPISKVTQNQEVEYFVSKQDFDQRFPHGSQSRENLEQHVLKDYKSLLGRYCHVELQRREWAKDYPTPYCDRLRSLSE >Sspon.04G0016920-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:70605073:70609519:1 gene:Sspon.04G0016920-4D transcript:Sspon.04G0016920-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVYLSTPKTDKLSENGENDRLKFGLSSMQGWRATMEDAHSALLDLDSETALFGVFDGHGGRVVAKFCAKYLHGQVLKSEAYSAGDLGAAVHRAFFRMDEMMRGQRGWRELSALGDKINKFSGMIEGLIWSPRGSDSNSQQDDWASEEGPHSDFAGPTCGSTACVALIRNSQLVVANAGDSRCVISRGGKAYNLSRDHKPELAVERERIMKAGGFIHMGRVNGSLNLRCGIETEQIPASREANCDANPDINVVELCDDDDFVVVACDGIWDCMSSQQLVDFIHERINMESSLSAVCERVLDRCLAPSTIAGDGCDNMTMILVQFKKPVDRNKKAEVAGQSANNADEVKSRVAEE >Sspon.07G0030840-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7C:13757134:13758235:1 gene:Sspon.07G0030840-1C transcript:Sspon.07G0030840-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSVLIVLISPFRLVYRMEGVYRLLLHERKVMQGGTSDAKFHAPIQYERDVITALGTTKWQLEQFEREVNAAAFSDKSKSRENAILKFRQFTRAIADQISQVEESLESLRLGSSRTPKHSYSSEYDGDGLASFLSGSSKDDHAYHSTGTDEIVELKLDNVPLVNGYHSTQEYTTYEHRNSDKDVEGAGSACYVFLA >Sspon.01G0052350-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:21570700:21573671:1 gene:Sspon.01G0052350-1P transcript:Sspon.01G0052350-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMREGDACVTLLRSKLHGLVERNRALEEENKQLRHQVSRLKGQISSLEGQDTDKRMLWKKLENYATSISYSKEKQFVQSNDVAKEAVDLNSSLYHSRQQFSRVNLVRSRAPRVPNPPPNPACTQPKTTTRKEGCMAPPPPPPPPPPPLLSKLQRSTKAIQRVPEVVELYRSLVRREGKNDAKSGPVGIPAATNSREMIGEIENRSAYVLAIKSDVENQGNFVNFLASEVQNAAYKEIADVEEFVKWLDGELSYLVDERAVLKHFPNWPEKKADAMREAAFNYRDLKNLESEASSFHDDRRVATPMALKRMQALQDKIEQGIHNTERARDSASGRYKDLKIPWEWMLDSGVISQLKKASLKLAKEYMNRIVNTLKSDPFANDEELLLQGVRFAFRIHQLAGGFDEGCRKAFQELKTYASKSE >Sspon.07G0037460-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7D:54149687:54153918:1 gene:Sspon.07G0037460-1D transcript:Sspon.07G0037460-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MWDAEHVAGCGRGSCAGRSDIMAEVEAEAGSSSLEPKAPTWAESLAMEKRLDALGQQIAHLNKIVYPHRDWRSASAAAEYFDLSGL >Sspon.01G0043200-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:72214457:72218910:1 gene:Sspon.01G0043200-1B transcript:Sspon.01G0043200-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding KPDLEKSAETEQGVAQAILRGNIDFKREPWPNVSENAKDLVRRMLEPDPKLRLTAKQVIADHLSAEEVEDIKEMFKTMDTDNDGIVSYEELKTGIAKLGSHLAESEVQMLIEAYPRPCPSRGTLRPVSPATMSTSAMHVEHDERAAVVPRQELPQRVPDQRQAHRESKARCHRGRRQGMANDEHLRRAFLFFDKDGNGFIEPEELREALVDDGAADSMEVVNDILQEVDTDKDGKISYDEFVAMMKTGTDWRKASRHYSRGRFNSLSMKLIKDGSFVDLPCCPVAKFITCFFYWETPNNVFGSGVLHAKIEDMMQCSPRP >Sspon.02G0003530-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:11313140:11314809:-1 gene:Sspon.02G0003530-1A transcript:Sspon.02G0003530-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LPGASCFFGTNISSIGEEVVTMATGLLAAAVLVAVASLAAHVALNCPVQPVPSTPRPPTPPPNNLLQVNESSRKILAARQFVVAVSCACVTLTRAVQRLEKLGEGALDAPEDVYVDAAAGGTLYTATRDGWLQRMHPNNGSWERWRFVGGTGLLGVAPSADGTMLVCDADKGLLRVGEEGVTLLARRSTAPPSESHATGRLLRYDPRNGETSVVLDRLSCANGIALPRDETFVVVCETWRFSCIKVWLKGEKAGKAETFVDLPGAPDNIRLGSDGHFWIALHVSLLATGSRLLVSSIPNLLTASPDSFEFLVSKMQLSSPWLDFITRWTFTKRVVASFPVLLKWSKATIKGAMVAQVSDDGNVVRVLDDSEGKVINSVTSVTEFNGDIFLGSLSTNFVGKLSLAQ >Sspon.02G0059650-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:97465548:97472762:1 gene:Sspon.02G0059650-1D transcript:Sspon.02G0059650-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEIVESCMVPPGEATPKHRLWLSVFDLVLGRMYSPVIFLYRPCPGSAAFSPDVLKAALSKALVPFYPMAGRLGSDSSGRPEIHCTSDGVLFVTARTDATLDKLENPVPSEELRQMLVPLETEAGVLAMFQVTPLAAACNGEALYSLPVTFFKCGGVCLGTAIHHTVCDGRAGGDFMQMWAAIARGDSEATTSLQPCLDRTLLRGRSPPAVRFEHAEYPRHGSGAPSKTTNVPFDTAVFPISKNQVEALKGAAGASAGKKVSTYSAVVAHVWQCSCKAKGLSGTADSRMYGAACVRSRMCPPLPGVYFGNAIARTSTTVTKVKDIVSSPLNAVADMVSFSATRLSDEYIRSLVDYLELAMNDATGLYLGQWTVTNNDLLVVSWIGLPLNDVDFGWGRPSFVGRAIHNKSNHLNLVPSPDGDGGLNATLSMEPQALSRFKELFYEGLDHYSLPTQIDGAQEQD >Sspon.02G0050320-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:55157950:55169507:-1 gene:Sspon.02G0050320-1C transcript:Sspon.02G0050320-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Meiotic nuclear division protein 1 homolog [Source:Projected from Arabidopsis thaliana (AT4G29170) UniProtKB/Swiss-Prot;Acc:Q8GYD2] MAGNVVGVLDDEPVGKQKVFVYEMPHKYNKMLLDKDSRCLHHMFAAEIFMHRFLLSRAARTLDPVAGTTFSPASFLARLASTRQSVRYTTVARTSATHAVCAERRPYQRPWNPVQAVPRSMPQPIICGQLPSSRSPTTGITADASRTTPTRRGLAGRPGAARCPGQEPGHPARHGLWRMEVACGEGTRQRLPCDLGLSRDMLKELEKMGPKKGVISQSVKDVVQSLVDDDLVLKDKIGTSLRNTYNKLESDLSNSRKRYMELVEHRDNLKRGREDSEEREAALEELKAVELHHKKLKEELAAYADSDPAAVEAMKDAIDVAHSAANRWTDNIFTLQQWCSTTFPEAKEQLEHMYRE >Sspon.02G0016740-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:42399632:42403886:1 gene:Sspon.02G0016740-4D transcript:Sspon.02G0016740-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MERLSSIDAQLRMLVPGKVSEDDKLIEYDALLLDRFLDILQDLHGDDLKEMVQECYEVAAEYETKHDLQKLDELGKMITSLDPGDSIVIAKSFSHMLNLANLAEEVQIAYRRRIKLKKGDFADENSAITESDIEETLKRLVVDLKKSPAEVFDALKSQTVDLVLTAHPTQSVRRSLLQKHSRIRNCLVQLYSKDITPDDKQELDEALQREIQAAFRTDEIRRTQPTPQDEMRAGMSYFHETIWKGVPKFLRRVDTALKNIGINERVPYNAPLIQFSSWMGGDRDGNPRVTPEVTRDVCLLARMMASNLYCSQIEDLMFELSMWRCSDELRMRADELHRSTKKDAKHYIEFWKKVPPNEPYRVILSDVRDKLYNTRERSRELLSSGHSDIPEEATLTTVEQLLEPLELCYRSLCACGDRVIADGSLLDFLRQVSTFGLSLVRLDIRQESDRHTDVLNAITTYLGIGSYREWPEERRQEWLLSELNGKRPLFGPDLPKTEEIADVLDTFYVIAELPADNFGAYIISMATAPSDVLPLSSSNLADLEAAPAALARLFSIDWYRHRINGKQEVMIGYSDSGKDAGRLSAAWQLYKAQEELIKVAKDFGVKLTMFHGRGGTVGRGGGPSHLAILSQPPDTIHGSLRVTVQGEVIEQSFGEEHLCFRTLQRFTAATLEHGMRPPNAPKPEWRAFLMRWQLWQLRNIGPLSSKSHASSSNT >Sspon.01G0006950-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:24637075:24637806:-1 gene:Sspon.01G0006950-2B transcript:Sspon.01G0006950-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKNPGSNGVLTATAFSDNKLARPESGVVGKAAAARPYKGVRMRSWGSWVSEIRAPNQKRRIWLGSYATPEAAARAYDAALLCLKGSDAVLNFPTSSPSSSASSSHRRRADRDDDPAAGGGMSPRSIQRAAAAAAAAIDADAGISADDRCSSSACVTTPTSASLSSTQGSTDHVHQEQHATTTSSAAASTGSPPEGEELWTDLEAFASPKFMDLVDTGAAAPFSSTWEEPEDDGELMRLWSFC >Sspon.01G0041240-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:90210739:90212307:1 gene:Sspon.01G0041240-2D transcript:Sspon.01G0041240-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAPILLKFLSSSGKDVVSCIDLHPSSVNANSAMVEISWSHHWVSKRKYHFIIPAVVDWDQPIRADALLGHTDHLLHGLIHSNGFGHLVTLHGRDGGSTFLSGCQIMDIWDQLCAALRVRAVSVVDLTQKHSVDLRLLLGVAQGKTWFTRWGYCLAKGCFSVSRSTYVAALEALATLPVDCLRSRHVRRVVTIYRRLSNKPLATVREFLLCLLDWKHLEAPLSPPPMKTSPRLMFLLPKSCMVKRLRQPCQRFEDVVDLLECRWSKKRLLSAAEVVVEKLREHADGTRITRQAVRDAARGAIGDTGLLDFVIKSLNDTVVGNHIVRRVPDPENRVLHFSLEEYAEPEPQPQADHELEPVEVDAEHSPPAVRWPNTAEAERDLRAVCRAMVEARSEAAQAVLDCKHWVKWWGLRDESDDQLGSLLSGDHSHGRLLNLQGQCRQGISWWYPCIHP >Sspon.03G0002290-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:20784993:20786708:1 gene:Sspon.03G0002290-3C transcript:Sspon.03G0002290-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Basic-leucine zipper (bZIP) transcription factor family protein [Source:Projected from Arabidopsis thaliana (AT2G36270) TAIR;Acc:AT2G36270] MQAGYKDRVPPAADKNRRTHQQSDKRSLQIERDMASEMNVKALDEQEVTSQQRDQGARARTSAAAAEEQQVVDPLARQSSIMSLTLEELQNSLCEPGRNFGSMNMDEFMANIWNAEEFQAATGTGGCSKEGTEREPMMMPVATAGTGENGAGGGSGLVRQGSFALPPPLSRKTVEEVWAEINQDPADSQANAAPQAVVQPQMGSGGGGVAASGRQVTLGEMTLEDFLVKAGVVRGAFAGHGPQAVGMVPAGPMGMQHAAPVMYQVAAPVPPNAVYPVMGDGMGYHNGYHGGMAVVPPPPPSQCVAAAAVSPGSSDGMSAMTRAEMMNCIGNGGMVRNGGGGARKRDSPEDGCTEKTVERRQRRMIKNRESAARSRARKQAYTVELEAELNHLKEENERLRAEEKTILLSKKKMLVEKMMEQARENVSAKKGGRGLRRWGSAMW >Sspon.03G0034150-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:68595127:68597853:1 gene:Sspon.03G0034150-1B transcript:Sspon.03G0034150-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAKLKGCDGSVLLTVNPGGGQTERDAVPNNPSLRGFDVIDAAKAAVEQSCPRTVSCADIVAFAARDSISLTGSVSYQVPAGRRDGLVSNASDTVDLPAPTSSAQTLIDKFKAKDLTLEDMVVLSGAHTVGRSFCVSFFQRVWNTSTNPPTAIVDAGLSPSYAALLRALCPSNTTQTTPITTAMDPGTPNVLDNNYYKLLPRGMGLFFSDNQLRVNTQMAALVSSFAANETLWKEKFAAAMVKMGRIQVQTGTCGEVRLNCGVVNPSSYSSSPASTVEMGSSAPAVDEEGYATS >Sspon.01G0017460-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:65968824:65970996:1 gene:Sspon.01G0017460-2C transcript:Sspon.01G0017460-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRNQKTFRPKKNAPSGNKVNILYGTLMEFCTPATCPTMSAGPKFEYRWADGVQIKKPIEVSAPKYVEYLMDWIEAQLDDESIFPQKLGTPFPLNFKEVVKTIFKRLFRVYAHIYHSHFQKIVSLKEEAHLNTCFKHFTLFTWEFKLIDKAELAPLIDLIESIVSVC >Sspon.01G0026130-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:92057277:92061701:1 gene:Sspon.01G0026130-1P transcript:Sspon.01G0026130-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRAGRSALHLLALAFLLILAACPCLQARVDHRKQKLRGRIGVRKKMEVMQQDDEALVKLENAGIERSKAVDSAVLGKYSIWRRENENEKADSRVRLMRDQMIMARIYSVLAKSRDKLDLYQELLARLKESQRSLGEATADAELPKSASDRIKAMGQVLSKSRDLLYDCKEITERLRAMLQSADEQVRSLKKQSTFLSQLAAKTIPNGIHCLSMRLTIDYYLLSPEKRKFPNSENLENPDLYHYALFSDNVLAASVVVNSTIMNAKEPEKHVFHLVTDKLNFGAMNMWFLLNPPGDATIHVENVDDFKWLNSSYCPVLRQLESAAMREYYFKAGPKTLSAGSSNLKYRNPKYLSMLNHLRFYLPQVYPKLNKILFLDDDIVVQRDLTGLWEVDLNGNVNGAVETCGESFHRFDKYLNFSNPNISQNFDPNACGWAYGMNMFDLEEWKKKDITGIYHKWQNMNENRLLWKLGTLPPGLLTFYKLTHPLDKSWHVLGLGYNPTIERSEIDNAAVIHYNGNMKPWLEIAMTKYRPYWTKYINYEHPYIHGCKISQ >Sspon.03G0028370-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:59639917:59640858:1 gene:Sspon.03G0028370-1P transcript:Sspon.03G0028370-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRGKAGRTSATHAHLAKRKETLRNKAGELVTRCNVDVAVVCTGPGGVGDLDCWPSKAAVDAVVRRYNALEPAERARLKEDLADHVASEVAKEREKLTRTRESGLANAFGSYDGSLQGMSEEKLRELLASIEAALVAARGRVLKLRAPPGGAADRATLSPGLVHDHEAAEEDSASATNNVVPPPTEPPNAVPVDAGEEEVVAENIVTGEDPGDEVQILRPPGDADADDAEWMRSLVDDLKKKPQPHNSAANAAGIEYINVGNSWMERDAYDFIRFDLGMPPPCVAPNYLNDDDDDGEPLELWSWDNTMPPPPK >Sspon.03G0004290-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:12756751:12759279:1 gene:Sspon.03G0004290-1P transcript:Sspon.03G0004290-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAAPPTSLSLAARAATRAATAAAAAPLRRGGLAAACQPARSLAFAAGDARLAVHVASRCRQASSARGTRAMATMAKKSVGDLTEADLEGKRVFVRADLNVPLDENQNITDDTRIRAAVPTIQYLLSKGAKVILSSHLGRPKGFTPKFSLGPIVGRLSELLGIQVQKADDVIGPEVEKLVSALPNGGVLLLENVRFYKEEEKNDQNLYVNDAFGTAHRAHASTEGVTKFLKPSVAGFLLQKELDYLVGAVSSPKRPFAAIVGGSKVSSKIGVIESLLEKCDILLLGGGMIFTFYKAQGLSVGASLVEEDKLDLATSLLAKAKEKGVSLLLPADVVIADKFAPDANSQIVPASAIPDGWMGLDIGPDAVTSFNAALDTCQTVIWNGPMGVFEFDKFAVGTEAVAKKLAELSTKGVTTIIGGGDSVAAVEKVGVADVMSHISTGGGASLELLEGKELPGVVALDEAVPVA >Sspon.07G0011290-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:39157622:39159579:-1 gene:Sspon.07G0011290-1A transcript:Sspon.07G0011290-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLLAAVVLAVLAVLAAGTTGMPSEIDDGMPLIHMLRPLLHSGGHLGRRGGVPCDSWRFAVETNTLRDWETVPARCEKYVGNYMLGGHYRSDSRAVVDEAIAYAEGLKLSGEGKEVWVFDVDETTLSNLPYYAQHGFGSEPYNSTAFGAYAKEADAPALPETLRLYKRLQELGIKPVILTGRREDKRESTAKNLAAAGYTGYEKLLLKPQDVRVTSVEFKSGERKKLVDAGYVIVGNIGDQWTDLLGEPEGDRTFKLPDPMYYVG >Sspon.04G0007900-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:47810430:47814421:-1 gene:Sspon.04G0007900-3D transcript:Sspon.04G0007900-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVQKYDLDPAAKAAAASVLASKLGEETGLKFHVGEEPKLDAAVARSNDVEIVPSDGLRNRKQPNARGSRTGSPAAHTPAQGTESLPASAGLETAPAPMVVEHHQGSGASDGGGWIGKIAALLVGEDPSQSYALICGNCHMHNGLARKEDYPHVTYYCPHCHALNTSKQSMGQYSGSNSGRSTPVVLADGLSTSSSVQETELSNLTTLQELPEEGNAEKQEVEAS >Sspon.08G0019960-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8D:16313645:16315546:-1 gene:Sspon.08G0019960-2D transcript:Sspon.08G0019960-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RYDKEAQRVAAKE >Sspon.04G0029780-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:76492717:76496350:1 gene:Sspon.04G0029780-1P transcript:Sspon.04G0029780-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKKGTKSKKKEVDDVPDQQENKLPDYLELQRTRVVCNDDAPIYTQGFQSSGSFAASGVDNSVSAEKFCKNFKIEINRLTEDDMEFDMIGIDASIANAFRRILIAEVPTMAIEKVFMVDNTSVIADEVLSHRLGLIPLDADPRLFDYISENDVPNERNTIVYKLHVSCPKGSQRITVKSSELEWLPEGSQLNMSAPAQSGDKQKTFTSFSQSQKEILEKPLGVKFKDITIARLGPGQAIELEAHAVKGVGKVHAKWSPVATAWYRMLPEVVILKEIEGAQAEKLVKKCPVNVFDIEDLGNGGKRAVVAKPRACTLCRECIRPEEPTEGMSEAQESSAYWNLVELRRVRDHFIFTIESTGSLPPEVLFTEAVKILEEKCETVISELS >Sspon.03G0035570-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:82617531:82624616:-1 gene:Sspon.03G0035570-1B transcript:Sspon.03G0035570-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAHFATDQQPVPPEEQNPKDLTLLSCFSYANWQLTDWCLPHRSTSCTNDTMINSDKHTRQICTCSQSSAPLSDIPESQADVQTEQDQMTDTDAGHQQTDVDSTADGLSMSDGDNSCIAKDSETGTSVSSIPKLGPQDNATSRKKFRKVFLKKDKRTKKRDDSFERALLIANTCTKVDVVWQDGTKECGVAATSLIPIHSPNDHDFFPEQYVVDKVTNDDDSSEPRRVGLVRSVNAKDRTVTVSWFKPSLRLEEPMEIGCNEVVSAYELDGHPDYDYCYGDIVVRLPPVSPVIESTNNKDQMELDKTVDSSEGFAASNDAPPDASVDEQLSQKESCSQFTSLSWAGNIVGFQDGEIEVIWGDGSISKVGPHEIYVVGREDDGASLDDGTASDGASWETFDDNEMDVLDDSAKDGSQNVPENSIERENGSFSSQDGSSVTTGPLSVAFGFVTRLASDLFARGRRHLDGSSNSDAMDEFESHRSNEASETGDDIDKINENLVESPEHAAVTENDSSAEKSVDVVMADNPVDPECKQCSGRVRVEESGSKRVFEDRMDLLRAVIVGASGTPYQDGLFFFDFHLPPEYPEVPPHFEDFVKSHFRKRGHYILKACEAYLQGNVVGTLTDDACPTERSKEHSSSVGFKLALAKILPRLITALKDAGANCDQYEHLGKTETKDGDSITRLFSYKWCLNTRVSLAAILEPGQEIPETGK >Sspon.01G0056830-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:93513272:93514381:1 gene:Sspon.01G0056830-1C transcript:Sspon.01G0056830-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVRKNKNWMCPHCVEEKGIKKFWICNSKGARIRVSCAPSHGQAEATSFRTTTGFGMFTSTANSDDDDIEICGVTKMTPDS >Sspon.01G0045180-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:85755303:85757300:-1 gene:Sspon.01G0045180-1T transcript:Sspon.01G0045180-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSSQPGAIERGGGERNQREEEEAAGTAAAEAGNGSQLVMPEDGYEWKKYGQKFIKNIQKIRSYFRCRHRLCGAKKKVEWHPRDPSGDLRIVYEGAHQHGAPAPPGGQPQGGGGASDSNRYELGAQYFGGARSQ >Sspon.02G0039330-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:50922229:50923396:-1 gene:Sspon.02G0039330-2C transcript:Sspon.02G0039330-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPYTHPYQHHTAAVAPTKHGHHGHGHGEEDDDQSMLLLSLWPPGHHNGVAATSSACLSPPICAATTTTTSMAAAASSYPWLTHQSHYNGGCGGGSSSSSHSSFLFHEHQQQEPDVSISLSIAPPCSNNAASCGAGGFAAPAAPSTVTTVTPGGSQVPSQYWIPSAAEILVGSTQFSCAVCNKTFNRFNNMQMHMWGHGSQYRKGSESLRGAITVGTAPPASLMRLPCYCCAEGCRNNIEHPRARPLKDFRTLQTHYRRKHGARPYACRRCGKRFAVRGDWRTHEKNCGKLWFCVCGSDFKHKRSLKDHVRSFGGGHAPHIVESVAIEDDEEDDDDHDDADLHTFDDSVNGGAGGEPSDMVV >Sspon.01G0028080-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:64323363:64325861:1 gene:Sspon.01G0028080-2B transcript:Sspon.01G0028080-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTAFQDYTGAAVGAGAGAPFGGGAPGVHGQVVDALGRMGGDGQREQSMAMAEDGEEEQQPQRQQPAGAAAVESSRGFRHMMRERQRREKLSQSYADLHALVASRSRGDKNSIVQAAAIYIHELRGAREQLQRRNEELKARILGHDARQQCVKVQFEVDEPASAIDSMIGALRRLKGMDVRARGIRSNLSGRRLCTEMNVETTIAAGEVEKAVEEALMQEVERKQQLGAGAGSAAAAPGFAGPPASSHVQNVF >Sspon.06G0001430-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6C:3226952:3227329:1 gene:Sspon.06G0001430-2C transcript:Sspon.06G0001430-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVEILDGSTVRSFVEDEGAFNSSVDGRFAALDADHDGLLTYAEMAGELMSLRVLEKHFGVDEATVDPDELAGLYHGLFARFDRDGSGKVDMHEFRAEMKEVMLAVANGLGFLPVQMVVEEGSFLK >Sspon.02G0030770-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:109131698:109140980:-1 gene:Sspon.02G0030770-1P transcript:Sspon.02G0030770-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPSSSTRRLQWSGTDDHAAGGAGGELSLPRRRWQSAGYENEEEDDGGVVWIQTDHGRRVHGHVPPVFGSMLQRVVSEEVEKAMFRQFSAPAAPPRLLVDRNQHPRYQLMFLNGLKPVYTLTKLESDDGTALKVAIVERLENVTQTSYGPLSSARVEVVVLHGNFNARNEESGAPRFKKHIVSGREKSAQLLTGNLALKLNGGEAVLENATFTDNSSFTSTKMFRLGLRLVNSSGERVLEGVTKPFRVKERRVEGFEKHYPPMLDDEVWRLKKIGKIGAYNQALSDNGINSVQEFLRAYMKDEQKLIKIFNKMPQSTWKSIIQHAMTCKLATDKDAGLFFDEIYQLVGAKFGDRYKPIDQLNEIEKNLVESLKQVAYQNIDGLQPNYKMVNNYPVLCSFPAQGTSLFSALHPNQQILNYDMGESSTGVGFGTRPSRETFNTSLGASNVPVDISRFVQGQTSNYMTLRHEQTINRVLPYDSSKGALLPRPRLTQLQIPNSERTFFGPDASPPAVIPNNILVGQGAALSEESYSGLPVDSLSSTDVIMSLMQSSFQLPRNSDSFSNHSEHNAMLQQFVTGFQPSRTNSFDLNSCDELIQNFISKISNSEGASTPLSPRKWVKIRAALKLASVGGSPGPQGGVRIATHQGQGFEMRKAWITLSLRD >Sspon.02G0038510-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2B:42445981:42450338:-1 gene:Sspon.02G0038510-1B transcript:Sspon.02G0038510-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AISRGLFPVLSPSLNATDLLDLFSKIVAVSSLNDQPSYDFLGTTQYGLGVNVWYNSTYNDNNAYSFIATLRVPRLVNAVSNAYLKFIKGTGIEMLLEYVKDMPKVGTSFQLDLSSLLSVLFFTWIIELLFPIALAFFVASFFLSVKMATVIGYMYVFGSGLLGAFLFRFFVEDQRCLQKKHAPSLHEPSNVQQDSKVILDMEKSDVAVERKLVEQLLIDPNANQAIICDNLRKVYHGRDGNPDKLAVRGLSLVLQKGQCFGMLGPNGAGKTSFINMVCLLWETLTGKEHLFFYGRLKNLKGAALVKAVDHSLKSVNLSHGNVGDKQVKKYSGGMKRRLSVAISLIGDPKVVFMDEPSTGLDPASRNNLWNVVKEAKKNRAIILTTHSMEEAEVLCDRLGIFVDGDFQCLGNPKELKARYGGTYIFTVTTPPEQEMEVEHLVRQFSPSANKIYHLSGTQKFELPKQEVKIAHVFDVVEKAKRRLTIHAWGLVDTTLEDVFIKVARGAQVFNEFA >Sspon.05G0010780-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:32959996:32963525:-1 gene:Sspon.05G0010780-4D transcript:Sspon.05G0010780-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein FAR1-RELATED SEQUENCE 11 [Source:Projected from Arabidopsis thaliana (AT1G10240) UniProtKB/Swiss-Prot;Acc:Q9SY66] MTPADAVPASLLECDARGADDSPEETRLSLETAAPSAEAGEGDPDAAPYVGQRFPTHDAAYEFYSGFARRCGFSIRRHRTEGKDGVGRGLTRRYIVCHRAGSAPAKPLAGAPRPQRNRSSSRCGCQAYMRIGKGLDAGGPLPQWRVMAFSNHHNHALLGQSKVRLLPAYRFISGADRDRILMFAKSGITVQQMMRIMELEKCIEPGSLPFTEKDVRNLIQSRKVEHEDDERVDLLRMCKNFKEKVPNFKCEFTKDANNHVENIAWTYVDSVQSYELFGDAVVLDTTPREENQISFAWALQVFLNFMNRKSPLTILTDQNVCLKEAIEKELPSTKHILCKWLIAARFQLLLPTDQAGEQEMIQQNLQNIRLKTASPIEGHTAAVFTPYAFSKLQDELVASAQYASFHLEGSIFLVRHHTKEAGILCRHALRVLTALNYFQIPDLYLPVRWHRNQPPPSNSLNGAPHHPQGVASERVAALQSMVSALVSEATKSHERMDLATQEVYLLLSRIKQQPVSMHVSVVDANYTSRLPVELQVSTANRDA >Sspon.04G0013150-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:54915373:54918055:1 gene:Sspon.04G0013150-3D transcript:Sspon.04G0013150-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGESRSPFSSHGFSLSFLFRTMVSRRHPAPALPRRFGGADADKHARRERKTVSVLLAGCRCSSFRRPLASPNGQLLVPHAGCSPPLAGGWAPAPAGRSPLRWAAGHLTPAPTGRSPLAEQLLMQELGTGRTSILHQVKASKATKVIHIYELL >Sspon.05G0000580-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:2350341:2354117:-1 gene:Sspon.05G0000580-1A transcript:Sspon.05G0000580-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SMAATGKLTVLLPVTLSSRSSHRTRGFMQNPRRQPPQRLTAAATETSSYNLPSRSSPETPEARQIRLETESALEWGGVCERLAHFAATAAGRAACVGGRVPFGRSREESETLIEQTAAAVLLPTPLDFSGVEDVSALVAAAAAGRALAVRELCAVGRSIRSVKAVFDQLKRLADEMPDGRYSSLVDILQGCDFLTELVQRIEFCLDSTLSLVLDRASKKLEIIRRERRRNIEMLESLLKDTAAKIFQAGGIDSPLVTKRRSRTCVGVKASHKHLVPGGIVLSSSGSGATYFMEPRGAVELNNREVKLSGDERAEELSILSLLTSMVADSQLKIRNLMEKVLELDLACARGSYARWTNGVKPAFSDNYTISQSDQCTDYSVYIEGIRHPLLLEQSLMAEESTTEASEMPVPLDMWVKKDARIVVISGPNTGGKTATMKTLGLSSLMSKAGMFFPAKGSPRIPWFDQVLADIGDHKSLEHSLSTFSGHISRLRKIVEIVSKDSLVLIDEIGSGTDPSEGVALSTSILKYLANKVNLAIVTTHYADLSRLQSVDIRFENAAMEFCVETLQPTYRILWGSTGNSNALSIAKSIGFDQKVLDRAQEWVEKLLPDKQKERQGLLYDSLLDEKKVLESQANEAAFVLSQVEGLYNKIRLEADDLESRVATLRTRETQKVQQELKVVKSQMDTIIKNFEAQLKNSKLEQYNSLLRKAEAATASVVAAHQPSEITFDDDEKQSLFVPQIGDKVYIQGLGGGTMATVVETFGEDESCMVQYGKIKVRVKRSKIKLVQRGTNNEATTSSSVKAQGRTPKQRSATTAEAADRNQDGSVSFGPVVQTSKNTVDLRGKRVAEAAYELRMAIDACRPYQVIFVVHGMGTGAVKDCAIDILRNHPRVAK >Sspon.02G0034630-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2C:17172776:17174035:1 gene:Sspon.02G0034630-2C transcript:Sspon.02G0034630-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GAFVGITIGNDMSNMPPATDIVSILKTKKIQHVRLLDSDHQMLTALANTGIEVMVGVPNDQLLRVGQSRSTAADWINKNVAAYIPATNITYIAVGNEVLTTIPNAALVLVPALQFLQSALLAANLNTQVKISSPHSMDVISKAFPPSAATFNSTWSSIMSQYLQFLKNTGSSFMLNAQPYYGFVGGQGVFPLEYALFRSLNPNSQISDPNTNLFYTNMFDAIIDATYNSIQAMNFTGIPVLVTASGWPWRGGPSEKAASVDNALAYNTNLIHHVLNNSGTPSQPNNQSSTYIFELFNEDNRSGPVSEQNWGIMFPNATTIYSLSFEDVATTIPESPALRGVFCVANSSAPHSALKHSLDWACGPGSANCSAIQPGQPCYASDDIVAVSSYAFNDYYHRTQSSGGTCNFNGTAMITSTDP >Sspon.07G0014800-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:59110976:59112794:1 gene:Sspon.07G0014800-2P transcript:Sspon.07G0014800-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MERHAGMAFLDLVAKQVDPGAPGFWRDFLLGMLKPLAATAVVAMAVALSFSQRLGLEGEMLYAIARAFLQLSVIGFVLQFIFTQKNALWILLAYLFMVTVAGYTAGQRAKQVPRGKYIACVSILVGTAITMFLLVLLNVFPFTPRYIIPVAGMMVGNAMTVTGVTMKKLREDVKIQRNLVETALALGATPRQATLQQVKRSLVIALSPVIDNAKTVGLIALPGAMTGLIMGGASPLEAIQLQIVVMNMLMGASTVSSILSTYLCWPAFFTKAFQLDDKVFAD >Sspon.08G0000540-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:542568:557218:1 gene:Sspon.08G0000540-3C transcript:Sspon.08G0000540-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPGRRTADVSSSSPAPSSSPAAPSTGRRLLRTQTVGNLGESIFDSEVVPSSLVEIAPILRVANEVEATNPRVAYLCRFYAFEKAHRLDPTSSGRGVRQFKTALLQRLERENDPTLKGRVHQSDAREMQRFYREYYKKYIQALQNAADKADRALLTKAYQTAAVLFEVLKAVNVSQSVEVDQAILDTHNKVEEKKKLFLPYNILPLDPESTGQAIMLYPEIQAAVYALRNTRGLPWPKDQDKKPDDKNTGKDLLDWLQAMFGFQKDNVSNQREHLILLLANVHIRKIPKADQQPKLDDKALDDVMKKLFKNYKKWCKYLGRKSSLWLPTIQQEVQQRKLLYMGLYLLIWGEAANLRFMPECICYIYHHMAFELYGMLAGNVSPMTGENVKPAYGGDEEAFLMKVVTPIHKVIEKEAERSKTMKSKHSHWRNYDDLNEYFWSVDCFRLGWPMRADADFFKTPKVAYPNLLNGEDRSAGNVHWMGKVNFVEIRSFWHIFRSFDRMWIFLILSLQAMIIIAWNGGTPSDIFDAGVFKKVLSIFITAAILKLGQAILDLVFGWKARRSMSFAVKLRYVLKLISAAAWVVILPVTYAYTWENPTGLARTIKSWLGDGQNQPSLYILAVVIYMAPNILASMLFLFPFMRRFLESSNVKVITIMMWWSQPRLFVGRGMHEGAFSLFKYTMFWIILLAMKLIVSFYIEIKPLVQPTKDIMREPIRTFQWHEFFPHGTNNIGVVISLWAPIILVYFMDTQIWYALFSTLIGGIYGAYRRLGEIRTLGMLRSRFESLPEAFNERLIPSDANKSKGLRAAFLSRPKASGDEREREKRAARFAQMWNVIITSFREEDLIDNREMDLLLVPYCKDRELDIFQWPPFLLASKIPIALDMAADSGGKDRDLTKRIKSDPYFSFAIRECYASFKNIINTLVFGQREKHVLAQIFAVVDQHIQEETLIKDLNMRNLPALSKKFVELLELLQKNKEEDLGQVVILFQDMLEVVTRDIMEEQELGPLLDSVHGPHSRKHEGITPLDQQDQLFAKAIKFPVEESNAWTEKIKRLHLLLTVKESAMDVPTNLDARRRISFFANSLFMDMPNAPKVRNMLPFSILTPYYKEDVLFSLQNLEEPNEDGVSILFYLQKIYPDEWKNFLERVGCKNEEELREDEELEEKLRLWASYRGQTLTRTVRGMMYYRKALELQAFLDMAKDDDLMEGYRATEGMPEDSQLMTQCKAIADMKFTYVVSCQQYGIQKRSNEPCAHDILRLMTEYPSLRVAYIDEVEAPSQDRNKKIEKVYYSVLVKASVTKPNEPGQSLDQVIYKIKLPGNAILGEGKPENQNHAIIFTRGECLQTIDMNQEHYMEEALKMRNLLQEFEKKHDGVRYPSILGVREHIFTGSVSSLAWFMSNQETSFVTIGQRVLANPLRVRFHYGHPDVFDRLFHVTRGGVSKASKIINLSEDIFAGFNSTLREGNVTHHEYMQVGKGRDVGLNQISLFEAKIANGNGEQTLSRDIYRLGHRFDFFRMLSCYYTTIGFYFSTMITVWTVYVFLYGRLYLVLSGLDEALATGRRFVHNAPLQVALASESFVQLGFLMALPMMMEIGLERGFRTALSDFILMQLQLASVFFTFSLGTKTHYYGRTLLHGGAEYRATGRGFVVFHAKFADNYRLYSRSHFVKGIELMILLVVYEIFGQSYRGAITYIFITVSMWFMVGTWLFAPFLFNPSGFEWQKIVDDWTDWHKWISNRGGIGVAPEKSWESWWEKEQEPLRYSGKRGTIVEILLALRFFIYQYGLVYHLNITKKITKDNQSVLVYCFSWVVIFVILLVMKTVSVGRRRFSAEFQLVFRLIKGLIFITFTAIVVILIAIPGMTVLDIFVCILAFMPTGWGLLLIAQASRPVIQKIGLWGSIKALARGYEILMGLLLFTPIAFLAWFPFVSEFQTRMLFNQAFSRGLQISRILGGHKKDRGTRNKE >Sspon.02G0026970-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:96560253:96563524:1 gene:Sspon.02G0026970-1A transcript:Sspon.02G0026970-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MENMVASAFVQETVSRVTSYLFSKLDDSKEMASRGHYVERLEMAHTELELALERSARMPITDVSLLRPRKLLERAFEDCEYLLHRCNKKHTIFLKNNKRQTTDILEMEQPATNFFTKWIAQVTQSSISSYFAGFCKDSTGCSDVRRFEWLTECANRFVKDVESGCSPQRCMFSNPLVRKLLQGKTLQYNKVQESILRRLHIWPMCVEGRGVEAALEFLYEDCKMPTRSFAFALMLRLSESTDIVGTAITCLQSFTSSMKHMAEAIRGELTRLPLQDISHSTTFAPCFSMQDLCHRDTKFWRQDALCCKPNGCAGYNTPSELSCRFPEQVILVHVECYVLASECTNLNSTSAEGASRNAVGDWPPLKLGVGFAPHFCNECIQGKTGVEVIGGKAEPISESLHQMDEMVRLKAIDCYICQPDLSDYRMRLYAGHGVAYFIVQKPSPGTASAPKYNASTFRKASQIKRSQGVTNRDWDHHIKLDCPAPYPFPNAAVGVSHSPRPQVQAVELHNGGSELTILRRGEDHVWFLLWRRIDH >Sspon.03G0004060-3C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3C:28966126:28968746:1 gene:Sspon.03G0004060-3C transcript:Sspon.03G0004060-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RLPFSSSTSHAARIF >Sspon.05G0003240-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:935876:945370:1 gene:Sspon.05G0003240-2C transcript:Sspon.05G0003240-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABC transporter I family member 1 [Source:Projected from Arabidopsis thaliana (AT1G63270) UniProtKB/Swiss-Prot;Acc:Q9C8T1] MPPLRPRRRGSYSTTSHGAPRHQPQRPRRHGPRPDGANGSGKTTLLRMLAGFSRPSAGEILWNGHDITSPGVFQQYKLQLNWMSLKDAVKEKLTVLENVQWFELLEGKDGSRSGPAIELMGLGRLMNEKARMLSMGQRKRLQLARLLAIDRPIWLLDEPSVALDAEGTRLLEYIIAEHRKKGGIVFVATHLPIQIEDSMSLRLPQRFPRRKTLGPLEVLSDPILEVAGPLFKTSTTLVQAGPLEVLSDPILEVAGPLFKTRTCRKYLLRELESKERQLWVVGKEKDLGSMARAALNKRNRKKKGRSQALINNTPPETN >Sspon.06G0009200-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:49424129:49426678:1 gene:Sspon.06G0009200-1A transcript:Sspon.06G0009200-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFVFILKILFVESIFAPAYHSTNFLHLQERKSFDEKFVRIDTEKLCKLASAALGLQLRPLVDLTCGALARIIGGKTPDEVRDIFHLPDDLTEEEKLEPLKNINDDPTIRLLNRLYAKKRKELQERQKLKDVQTQEEQKDERSVDELLCFINGDGDSRGGKASKNKKKNKRRKDQAKNPAKANSEPVNKEGASCVGPCKADSGTISRHPCQTPNLQDDIEYPFDNAFDNADLDDGLDPAMREEIDREVADFAMKLNLAWSERMILGQDQRMESHVDTRW >Sspon.07G0002830-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:5207292:5208944:-1 gene:Sspon.07G0002830-3C transcript:Sspon.07G0002830-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SAGDVLEDNPVGRLKVYVYDLPSKYNKKLLKKDPRCLNHMFAAEIFMHRFLLSSAVRTFNPEEADWFYTPVYTTCDLTPKGLPLPFKSPRMMRSAIQLIATNWPYWNRSEGADHFFVTPHDFGACFHYQEEKAIGRGILPLLQRATLVQTFGQKNHVCLKDGSITIPPYAPPQKMQTHLIPADTPRSIFVYFRGLFYDTGNDPEGGYYARGARASVWENFKNNPLFDISTDHPPTYYEDMQRSVFCLCPLGWAPWSPRLVEAVVFGCIPVIIADDIVLPFADAIPWEEIGVFVAEEDVPQLDSILTSIPTDVVLRKQRLLANPSMKQAMLFPQPAQPGDAFHQILNGLARKLPHGSNVFLKPGERVLNWTAGPPGDLKPW >Sspon.04G0026860-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4B:51335897:51337310:1 gene:Sspon.04G0026860-1B transcript:Sspon.04G0026860-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding TCRAGVATSSPSTTSIRRTSRPPIIAKLRKQEALQKRCGPGTAAHKKAVRRLDSATAASEIACSPLRRPSSMRCSSSASSSSTVARTQTRSSASPSRGRHGCYRDPAAGSPPPLRRLQGYEGGSKESLGDMLQNGGITMSADGNVSWSAPRPPPYLYLHLSGAYGFHDKLFFCGAHQRLLGEVPWLFMWTDNYIVPGLFLTPAFSGELEAMFPEKEAVFYHLGRYLFHPTNRVWHAIKSYYHSNLAGVGQRVGVQIRVFQKKQPPRAVLEQLLSCLHDEKLLPETDAATEGGNGTTSRAVLVTSLSSWYYDRIRDKYGGRIAGGVHQPSHEGRQRWRDAAHDMRALSEMYLLSMCDVLITSGYSTFGYVAQGLAGLRPWVMARAPMWAADWREGLEPRDPPCRRAVSVEPCFHAPSAFDCSAGRDVDLDKVSPYIRRCVDVKYGIKLVNESSGQ >Sspon.01G0000860-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:2370565:2374837:-1 gene:Sspon.01G0000860-1T transcript:Sspon.01G0000860-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bifunctional fucokinase/fucose pyrophosphorylase [Source:Projected from Arabidopsis thaliana (AT1G01220) UniProtKB/Swiss-Prot;Acc:Q9LNJ9] MTGDVLPCFDASNLYLPDDAACIVTVPTTLDVAANHGVVVASKDGGIDQETYSLCLVDDLLQKPTVSELIEGHAILDDGRALLDTGIIAARGKAWQDLVALALSSSDALSLYEDLVAAWVPAKHEWLRKRPLGKELISALGKQRIFSFCSYDFSFLHFGTSVEVLDHLAGSYSGLVGRRHMCSLPETTACDIAATAIILSTKISSGISIGEDSLVYDSVLCGRIRIGSQCIVVAVNISEFHGYNPQILNGSTCFTLPDRHCLWEVPLVNSAGRVLVYCGLHDNPKVSIKRDGTFCGKPWINVLEDLRIQDSDLWDSTSQDKCLWTAKLFPVMSLPEMLNVGMWFMGSACDPDGKIASLWRKSQRISLEELHHAIDYRQLCTESSKHQANLAADIAKACMNYGLLGRNLFQICEEMLQKDTRLAVYEELLSFFPSHRDQYPGVLPQSREYQVKMDLLRASGDLSTACVVEEKVWASIASETASAIKYGSKEPSSGNMSSNHGNLHPRKAVVELPVRVDFVGGWSDTPPWSLERPGCVLNMAISLQGSLPVGAMIETTEDHLGVRIEDDAGRNVYIENLASISSPFKESDPFRLVKSALIVTGILGHKILSKSGLNIRTWANVPRGSGLGTSSILAAAVVKGLFQVMEDDESDDNVARAVLVVEQIMGTGGGWQDQIGGLYPGIKCTQSFPGQPLRLQVVPLLTTPQLTQELEERLLVVFTGQVRLAHQVLQKVVTRYLRRDNILISSIKRLAELAKIGREALMNGELDELGGILLEAWRLHQELDPFCSNKLVDELFAFADPYCCGYKLVGAGGGGFALLLAKNPSCAKELRRALEESTTFDVKVYDWNIAPR >Sspon.01G0005830-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:15211723:15213330:-1 gene:Sspon.01G0005830-1A transcript:Sspon.01G0005830-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDDDEEGRRAVVIREYNPKTDRDGTDAVDRECEVGPAGGMSLHADLLGDPVARIRHSPHYLMLVAETSGPDGRCIVGLVRGTVKSVASGKSRPGAPAFAEVGYILGLRVAPSHRRMGIALQLVKQLEQWFELMGAEYAYMATDKSNEASLRLFTGRCGYSKFRTPSLLVHPVHSHRLKQPRRATVVRLGARDAERLYRSRFAHVEFFPADIGDVLDNTLSHGTFLAIVGNDDGYEWGGLDRFLASPPSSWAVASAWDCGGVFRLEVRGASRLRRGAAAATRALDRVAKWLRVPSVPDFFRPFAGWFVYGLAGSGRDAALAAEAVFASIVNMARGRAAAVAVEVAAMDPLCGRIPHWRRLSCTEDLWCMKRLDAAGGGGGGGGHADAWDWARSAPGRSIFVDPRE >Sspon.02G0026630-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:94530567:94534216:-1 gene:Sspon.02G0026630-1A transcript:Sspon.02G0026630-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDMRAPSSGKKSEISHGVEKCGVSDQACHGKCQSCVIDVKPFCAGVDGVSSLTGGHTDVKASKENCGACSLNNDRADSLEEEVKGSTARIGHVESADPDGCVDVKKESFMAVDDLPQEFEGEQAGATLEDLFFFNGEEEDDSDWEPASRLVENRWFCFNCTMPIVDEITHCMNCRELKGSVVDGYDVFKKQIAQTALLSADTELLPVSTAIGFDERMLLHSELEVKPNPHPERPDRLRAIAASLAAAGIFPSKCALVPPREITKEELLMPILAFLCENHSWANNTICSYFTSDTYANGHSACAAKLAAGLCADLASLMVSGRVRNGFALARPPGHHAGVKQAMGFCLHNNAAVAALAAKRAGAKKVLIVDWDVHHGNGTQEIFEGDKTVSR >Sspon.05G0021750-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:66468161:66471877:1 gene:Sspon.05G0021750-2D transcript:Sspon.05G0021750-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQDGNAATPSALALFASRLSYRRFGDDDLRVLEAALSAGADVPALLATRSAARSLLQASAAEAFAFTATGSVRDAGTSLAVADFFARAFALVGDVESCLAMRYEALVLRDAKYCNDLHLQVSRQEWLTFAKDSLDNGFYSIASKAFANALGHIHPSHPGHLDSANSIEEKDKINDITGLQNLAKSLSAQHSGPSW >Sspon.08G0005960-3D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8D:15800326:15801670:1 gene:Sspon.08G0005960-3D transcript:Sspon.08G0005960-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GRDGHDEDAHRAPARPVLAAGRQAREGGVPHGDPRADQRRAEYIHRRVSETTGRVSRRKHSAPVVLQPGTPSSSTPSSSSSLSSSATSSTNLPATSGSALNTGNYVVPIRLGTPAARFTVVFDTGSDTTWVQCQPCVAYCYRQKEPLFTPTKSTTYANISCTSSYCSDLDSSGCSGGHCLYAVQYGDGSYTVGFYAQDTLTLGYDTVKAFRFGCGEKNRGLFGKSAGLMGLGRGSTSLPVQAYDKYSGVFAYCLPATSAGTGFLDFGPGAPAAANARLTPMLVDNGPTFYYVGMTGIKVGGHLLSIPGSVFSDAGALVDSGTVITRLPPSAYEPLRSAFAKGMKGLGYKTAPAFSILDTCYDLTGYQGSIALPAVSLVFQGGACLDVDASGILYVADVSQACLAFAANDDDTDVAIVGNTQQKTYS >Sspon.01G0002540-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:6984633:6985469:1 gene:Sspon.01G0002540-3D transcript:Sspon.01G0002540-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSFFPFPSAPLAVLLIVTFHASAIHAQIPASATTGAAVAATNPQPGGSGGGVVGAGGPDAALELYMHDILGGSSPTARPITGLLGNIYNGQVPFARPIGFSAPRNGVAIPNANGQVPTYNGNTGIPLDTGLSGAGFLQPGNGAGGAAAAPAQVQLGPDGLSLGFGTITVIDDVLTGGPDLGAQPLGRAQGVYVASSADGSSQMMAFTAMMEGGEYGDTINFFGVYKVGTPLCRLSITGGTGKFKGACGFAEVRPLIASGQHVTDGAETLLRITVHLA >Sspon.02G0011190-3C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:34284858:34287627:1 gene:Sspon.02G0011190-3C transcript:Sspon.02G0011190-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDTKGPRYLSWIVVHLYMVSYLLVWMQSEVCPLLQLACVNKHFASIFQGEYMWQTAIARNWPSAGLRKRWPGPIPRGSARRRFQALYVSENLVPSDGEIDELVGHTYLYLKEQLERPTMPPSSILHGTIIDQFIACGNTGEKAHDLASKIWLAVIDSLEENQQTFMLLKRIAQEGEFFLPFPYSRSYKVLWRVFDKLFTDFRDCFSGAEYHDALSTAKSRFQPVPSTWLGH >Sspon.04G0003960-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:7727021:7732051:1 gene:Sspon.04G0003960-2B transcript:Sspon.04G0003960-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLPFHFIGGGATLESVPDLVKAMYLNIESFPCVRLLNLSGEIGCSNPGSEKVIAPIVRFRKGSDQLIQPSTILLPLDQMSDFFLRVSNDPELHQKVAGVLIESNGVNNDLQELSPDRKFPQDDFAPYSNHSHDWNPAVSNRVDYTGATLSRIKLAASCNGSGIMWNRYNFPVFLLSEESTKTVQKISEKNGKTGNGYRANVAEFDLIMQGSVWASLPPLKNGSTEHQKPLILAIASQDSASFFRDRSLGSDSPISGLIALLTAVDALSHIHDLSNLKKQLTHGMVHLMWFFISLSLKLCVIGSSSNIFFLTFSPFFIQLVFAVFNGEAWGYLGSRKFLQELDEGAASVNGISSLMIDQVVEIGSVGKAILEEYPSFYVHAEGNSSASKKILDALQSASKSLGSDNVKVKQAASSNPGVPPSSLMSFIRKNTSTSGVVLEDFDSHFSNRFYHSYLDNPVNINSSSIAAAAALVARSLYILASDDSVVDLITLNTIKVNVSLVEELIGCLLTCNPGLSCGLVKRFISPSNSCPSHYVGVFLDDPSGTQFPSYADDTSRFVWNFLADRTSNLPGNKSSCTGKCGDEGEVCVGAEVEGGGRCVVSTTRYVPAYSTRVKFEDNAWHVLPANSSDPMGAADPVWTESYWTTIGLRVYAVQDSAYDWLILLAGLIITAASYCAVHFGRTYISKVVKRD >Sspon.01G0018690-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1A:69512778:69514964:-1 gene:Sspon.01G0018690-1A transcript:Sspon.01G0018690-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MERRGEVNGAYLDFGFFMEILQPSSCVKRHPEPHRPRRRPRRPGGEMVRQAAVGHELVDEQQLHPPLVVLGAVADELDQVGVVHHPEHVHLRHPLLVPLQALAAELLDGDVQAPARLQRAPDGAAVDAAEAALAEHERAAEPAGGRLELLEGEDAQRVGRPLRRQRQLPAPALQGARAAELRRVQGALGRRPPHVAVRRRGAAVGPRGQRTPRRRRRRRCRRPAPLVGLPLPAREPEAVH >Sspon.01G0017520-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:60467064:60474863:-1 gene:Sspon.01G0017520-3D transcript:Sspon.01G0017520-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At2g22410, mitochondrial [Source:Projected from Arabidopsis thaliana (AT2G22410) UniProtKB/Swiss-Prot;Acc:Q9SJZ3] PPPSRLLALLRAGAVDPPPFRVLLKLHAHLLVSGLLSSHSTSPFSERLVAAFALSDPASPRPLLHALAILASLPSPPDSASPYNAAFRAFSLCPHLHLVDRHCVPLYRALLRSGSARPDHLTFPFLLKACARLQYRSYGAAVLGHVQKLGFSYDVFVVNAALHFWSVCGSMVLARRLFDESLARDVVSWNTLIGGYVRSGLPREALEFFWRLAEDDKAVRPDEVTMIGAVSGCAQMGDLELGKRLHDFVDSKGVRCTVRLMNAVMDMYVKCGSLELAKSVFERIDNRTVVSWTTMIVGHARLGLMEDARMLFDEMPERDVFPWNALMAGYVQNKQGKEAIALFHEMQESKVDPNEITMVNLLSACSQLGALEMGMWVHHYIDRHQLYLSVALGTSLVDMYAKCGNIKKAICVFREIPDKNALTWTAMICGLANHGHADEAIEYFQRMIDLGLQPDEITFIGVLSACCHAGLVKEGRQFFSLMHEKYHLERKMKHYSCMIDLLGRAGHLDEAEQLVNTMPMDPDAVVWGALFFACRMHGNITLGEKAAMKLVELDPSDSGIYVLLANMYAEANMRKKADKVRVMMRHLGVEKVPGCSCIELNGDKPLTAINHVMKFLSVTPKGIPEIRRYAPFEVPGDAARPEASLEPSVCCMNGILAPRSISRGLVDVPLQRLLQQRELQEQVISLADAGCAPTDLNNGRNSAQERKPSVGLGWFLPGTKV >Sspon.05G0018050-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:75941739:75946048:-1 gene:Sspon.05G0018050-1A transcript:Sspon.05G0018050-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DAQPKGKKGATNGIGKETARVLALRGAKVIIPARTLESGLKVKESLAEQVPSSKLHVMEMDLSSLSSVRDFARSFDSSHEHLNLLMYVSAAISETLQIYIQNSACRCRTRSHGIMACPYQLSKDGIELQFATNHVGHFLLTNLLLDKMKSTARETGVQGRIINVSSIAHKRSDGTCFELNKLNDKARYQLFMSYAHSKLANILHANELSRRFQLTNTNVCLTDALISVLSPVANLVVKSIPQGAATTCYLALHPNVKDVSGKYFADCNEATATAVARDSELAKRLWSFSEELVGINADISQTTQASEEEDVQVEDVFQAK >Sspon.02G0031910-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:103307146:103309361:-1 gene:Sspon.02G0031910-3C transcript:Sspon.02G0031910-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSLGMDGYAELEASMMDPTDKKPAFRGLKLYVKELDSKTLPPFLARLCAPDKPSSYSEEEILCIFETAAEVHGRTIVPHIGQIVSAVVRIMASDSRPLHSAGCSKVVCTLSRYCIDPLGREEEKSEIMSSLCRPLSDCLMNSNKSICSSSALCITALVQSNNWQFASNELVNDVCLKVSGALEEAHCQTVVHVGLVVALLKHNPLTLEPYGRSLIRSGLQILDHSTKANNSQMIMSSIQMIHSIMRSLNVKIISSEISSIIHALEHCQDGFVPDICTAAFQATETAKLLGRQEGRGARKNVTPLGSCSGGNSRKGSNSPIDHADIRDSGSSGSPRELQSVRCFSDFNSQPPVGECTGILGTTRARRRLWSYGTDFSHGMSSDELFHTSARDYHENLGIITQSDSADLIKSSRRRSDVLTRIGDPCPTCLTPRATNQACRRQALSTPRKQLQSLTYCSDSERDGHRLPPRSVSRLMQRPDHLLFQKNFQGGERKGYCNSTQQRNQLHTQTTDSLTEDLKFPTSSRLSDSAQAPPCEERQCDAAAEHQKVTGMEKRETNCRRSNRLTLFICVMVFAVLLLALWKQQDPSNELYFVPT >Sspon.06G0025150-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:59923384:59924574:-1 gene:Sspon.06G0025150-2D transcript:Sspon.06G0025150-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQFAAATAVLGCLLALATLASCNTEGDILYEQRQIWKDPNDVLVSWDPTLVNPCTWLHITCNNDNSVIRVDLANAGLSGYLIPDLGGLKNLQYLTLYGNNLTGPIPESLGNLTSLEGLELQKNALSGAIPSSLGNIKTLQFLKLNANMLTGTVPLEVLSLVIAGNLTELNIANNDLAGTVRSSGLR >Sspon.01G0056320-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1C:88343427:88344977:1 gene:Sspon.01G0056320-1C transcript:Sspon.01G0056320-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWQTQQRAYLLLAVVILLVAAGAAPYQANAQPASPADPTAADTCADPAVDGACRNVTKALRLKLIAIPTILVASVIGVCLPLFSRSVPALRPDRNLFVIVKAFASGVILATGYMHVLPDSFSNLTSPCLPRKPWADFSFTTFVAMLAALFTLMVDSLMLTFYNRKKGGNTSGRRTGAAVADHESPAHDEHHWHSHGHGHGHGGIVVADKPEDVEEASKVQLRRNRVVVQQPKRAAAALGHGARAGTPPLRPALFPRRAAGGASSPVAAQPLPSGGVRKRAADGRTGERAGSAPRRAGGGSSAGGLGSASTSPPAASTPAMEGRGRPCSLRRRVELGQRARGGWSSRRPDLGGAPPSPSPTSAGALPPPFPAAMHLLLLPVPRGERREDARAPRAW >Sspon.01G0001020-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:3131864:3134890:-1 gene:Sspon.01G0001020-4D transcript:Sspon.01G0001020-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDPNPIVASKGIEKLQGAGINVRVGVEEALCHKLNEAYIHRMLTGKAFATLRATLSMNGIVTNHIGKGADQSGGYYSQLMKEYDGVIISSDLAKMSSLPLSREAGANQPLYIIVAQGENSRLHIPSLSEEHASKVIVLADSPVTVEPAGVEVAVLRQIDLESILQLLAQRGLCSVLVDFREAGESFASLLNDFQEDKLVQKVVVEVLPFWLASEGLSNLAFGGSQSFPLKNLEHREVNGSLLLEGYV >Sspon.05G0003910-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:7110308:7113664:-1 gene:Sspon.05G0003910-2B transcript:Sspon.05G0003910-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRRQAWQFAAALVFFHGSEYVLAAAFHGRQNVTATSLLISKQYVLAMGFAMLEHLTEILIFPEVKEYWFVSNTGLLMVIIGEIIRKLAVVTAGRSFTHVIRTYYEDQHQLITHGLYRFMRHPGYSGFLIWAVGTQVMLCNPLSTVAFTLVLWRFFSKRIPYEEFFLRQFFGSEYDEYARRVCRSKTPNIMKSRDNKERSHLMDCIQYKT >Sspon.08G0010420-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:40909430:40923577:-1 gene:Sspon.08G0010420-2B transcript:Sspon.08G0010420-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTAGPVAFIGADELSVELAASFLRSGARVRSFVPEAERSPSAALAELNGLLRCASPVEAARDAALVVVLSDAGGVDELFFGVEGIAKGLCAGSIVLIRSTLLPSQLEKLEQKLTDEKKDVFLLDGYIFSGLSDELKQQIVIVASGRQDIAERARKFFHSLYKIIYFAEGELCTSSKLRVVNDLLEGIHFVASIEAMYLGVRAGIHPSIIYDIISNAAGSSRIFVELVPKLLNEDPLLIDFLNSARKNASHVMYMAKSVTFPLPLLGVAYQQLIHGSSAVTADGSASPLKVWEASFGVNIVDAASQQIYDASKLADQLVMESKAAKRIGFIGLGAMGFGMASHLLKSGFCVVAYDVYKPTMARFADLGGSTKGSPEQVAKDVEILIIMVANESQADSVLFGNAGAVPVLSAGTSVMLSSTVSPGFVIHLNRRLEAECRQIKLVDAPVSGGVKRAADGTLTIMASGTDEALHCTGSVLSALSEKLYVIKGGCGAASSVKMVNQLLAGVHIASAAEAMSFAARLDLRTRRVFEIMQHARGYSWMFGNRVPHMLDNDYTPYSAVDIFVKDLGIVSSESSNSRIPVHVSTIAHQLFISGSASGWGRYDDAAVVKVYETLTGVKVEGTAPMLSKEDVLGSLPAEWPEDPIDNLVSIASHSSKKILVVLDDDPTGTQTVHDIEVLTEWPVEALVEQFLKLPTCFFILTNSRSMTADKAMLLVQTICRNLEAAAKKVPGVSYTVVLRGDSTLRGHFPEEADAAVSVLGEMDAWIICPFFLQGGRYTINDVHYVADSDRLIPAGETEFAKDAAFGYKSSNLRQWVEEKTRGRVSEDQVSTISITLLRKQGPTAVCEHLCSLEKGSVCIVNAASDRDMAVFASGMIQAELKGKRFLCRTAASFVSARIGIKPKPPICPNDLGLKRALTGGLIIVGSYVPKTTKQVDELRSQCGQSLRVIEVSVEMVSMKSVEDRDQEISRIVELGNAYIQSRKDTLVLTSRQLITGKTPEESLEINYKVSSALVEIVRSIDSKPRYIIAKGGITSSDIATKALEAKRAKVMGQALAGVPLWQLGPESRFPGVPYIVFPGNVGNNSALAEVVKSWASPSKSSTKELLLNAEKGGYAVGAFNVYNLEGIEAVVAAAEAEKSPAILQIHPSALKQGGAPLVACCIAAAEQSSVPISVHYDLGISKSDLLQALEAGFDSVMVDGSHLTLRENILYTKSISSLAHAKGLLVEAELGRLSGSEDGLTVEEYEARFTDVAQAEGFIDETSIDALAVCIGNVHGKYPPSGPNLRFDLLKDLRALTLKKGVSLVLHGASGLPHELVKV >Sspon.04G0006060-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:13492431:13494835:1 gene:Sspon.04G0006060-2B transcript:Sspon.04G0006060-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MWSWLTKIASACLGPVRRCARTRKDEDGGSDNGRGVADDLLWSRDLGRHAAGEFSFAVVQANEALEDHSQVETGSAATFVGVYDGHGGAEASRFISDHLFAHLIRLAQESGTISEDVVRSAVSATEEGFLTLVRRTCFIKPLIAAVGSCCLVGVIWRGTLYVANLGDSRAVIGCLGRSNKIVAEPLTRDHNASMEEVRQELISRHPDDSQIVVLKHGVWRIKGIIQVSRTIGDAYLKRREFALDPSITRFRLSEPLRRPVLTAEPSICTRVLNPQDKFIIFASDGLWEQLTNQQAVEIVHSNPRRGIAKRLVRAALKQAARKREMRYDDLRKVEKGVRRFFHDDITVVVVYIEHGLLQERDTSVPELSVRGFVDAVGPS >Sspon.05G0026110-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:31168561:31172439:1 gene:Sspon.05G0026110-1B transcript:Sspon.05G0026110-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLRSVARIIINFIAFAVCYSNRLLFAILYFFSFVLDGVDGWFARKFDQGLALLVCWPFSHSVTGKTSHKDVKNTGNWLLKLYYEYRPFMAFCCVSCEVLYIILFLFADEKSTSLLSACRGVLKQGPLTVLVFISTLVGWAVKQVTNIIQMKTAADTCVEFDPKRSK >Sspon.01G0056090-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1C:86393614:86394655:-1 gene:Sspon.01G0056090-1C transcript:Sspon.01G0056090-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRECASTQRRARGTAAVPGRARLGTGSGGVCCLVAFVIRVNQPAEAEKLPEVKKLEAPQSELYKVWSAKSRSNDSAVC >Sspon.03G0009200-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:25236379:25240498:1 gene:Sspon.03G0009200-1A transcript:Sspon.03G0009200-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein CONSERVED ONLY IN THE GREEN LINEAGE 160, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G31040) UniProtKB/Swiss-Prot;Acc:O82279] MSLLAVASSTRAAAVRPLCASAASGEAAPAPAATEAAGRRPVKVILPKKKPQKWSTGMAPGEYGGGPATVKPRKYWWGKEDRDPVGNTDDFIWNKDFLPYMERVIANGGADAEPTIARLAPVDEEESGFLSINRAMSLDSVDVDLSQELLAPTRPILQTQVEAAWRGRAIGAEAVNGASTPRWRLVPTRREQAKWDRAAKAATGGSDVILRESKSRVQQGDPKVLAARSREDYLKLKQRLQWLTLGIGGIGVISAYISYSPEVAASFGAGLIGSLVYLRMLGTSVDSLAGGTGETIKSAAAQPRLLIPVVLVMMYNRWNGILVPDYGFMQLELIPMLVGFFTYKIAMFAQAIQDSIPAVGNREG >Sspon.05G0014590-4D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:5D:51907937:51908386:-1 gene:Sspon.05G0014590-4D transcript:Sspon.05G0014590-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding METQKTISKKQKVVANSSPASAPPLLPAATVDENQHSNSALQRPPGQKKQKLRQHSSIKALDYLLAKKKEADVEKELKKEERCKKAFSLQEERIRLEKEKLELQRDQFEFNKKLEEERIMNVDTSHMCPNQHQYYEGLKNDILARRLNN >Sspon.05G0007170-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:14148332:14156860:1 gene:Sspon.05G0007170-2P transcript:Sspon.05G0007170-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPCAQPRRPPPPGTAHGAAATLVLLHAPSCSRRKGRATGGLLRCCAAPAARRPRLRRAPTAAVTGHDVAARTLPGGRCFIALNSSKGARPMAQVALNFHRKRRQPRKNVTIEPAEPSTLRRPTREEILHDSPKRVTSREDFTYFAELCFKMFGDRLKHWVTFNEPNIMVKFAYFVGVFPPNHCSEPYGKCDSGNSSTEPYMAAHNMILAHAKTVNIYRKNYQAKQGGSIGITLHMRWYEPLRNIKEDHLAVSRALSFDTARFLDPLFFGDYPHQMRQILGPNLPKFTEGEKQLLRNKIDFIGINHYDTFYVKDCIYSLCDLDLYTVEALVSESAERNGIPIGKQTPANSYVVPSSMEKLIMYLEQRYKSIPLYITETGYAQIGNSSTTAEELINDTERSSYIRDYLTYLSFAIRKGADVRGYFVWSLMDTFEWNSGYTVKYGLYHVDFKSLKRTPKLSAKWYSKFIKGYEQIEMASEESPKHKDRVAQYLELLHLLLLLGLPLPDDAEHLAHHRLDPLRERLREGLPKEEGVEDGLALVVICATTPARAQNKAQARIGLPFCSSPHVGEVTWFRLRARAPAAAATPDRESGEPSEGRGGRATRG >Sspon.01G0016770-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:24720345:24722453:1 gene:Sspon.01G0016770-2P transcript:Sspon.01G0016770-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTRSNGDGHGHGHGGAGSWYPQRRPHYGYGGGSASFRGCCCCLFLLLTFLALLALAVALVVVLVVKPRKPQFDLNQVSVQYLLVASPTSPAAASPAGVPPAAPGAAYLSLNITLLFTAENPNKVGIRYGATAFDVMYHGVPLGVAAVPGFEQPAHSTRLLQTRVIVDRFNVLQTDAQDLIRDAAINDRVELRITGDVGAKILVLGFSSPKVQVSVDCAIAISPRSQSLKYKQCGVDGLSV >Sspon.01G0011320-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:92901053:92902451:-1 gene:Sspon.01G0011320-2B transcript:Sspon.01G0011320-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASARPPQAQAQRTTWCGGCGAYLSVAPGARSVRCGLCHAVTRVERRPHGLHHATLGFIKGLINAFTSAPPQQPASGSLQELPASFPRVRGCKKRALLVGISYAATKYELRGAVNDVNCMSYLLRERFSFPAECILVLTQEDKDPGRVPTRANLLRALRWLVDGTSAGDSLVFHFSGHGVQKLDRDGDEADGYDEALCPVDFEDPRGGGVILDDEINAAIVRPLGKGVKLHAIVDTCHSGTILDLPYLCRLSRTGYWQWENQQTRLSSGETKGTSGGLAISISGCGDSQTSQDSTAFSGSASTGAMTYSFIKAVESEPGTTYGRLLTAMRATIRDNGGEFGIPGPIGTFFRRVITFSCAQEPQLCASEMFDIYRKPFLL >Sspon.01G0006030-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:15537866:15540633:-1 gene:Sspon.01G0006030-2B transcript:Sspon.01G0006030-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLWSGCSTSCGSFGQELPPRGKRGGGDGTRFRPQSGGRIRGDAHQAQALSAAMVTVGACVCRAAPCLLDSEVDGKEDVEVGFWGVDGEPHADGHDGGKRRGPRRRPTRPTVFQKEVGARSAPPAMVSAPDRKSEHGASRLHFLEERDEETLSRRLIKLSQNNKVTSATELFDSMRASGLQPSAHACNSLLACYVRRSPLADAMRMFELMKGKGMATGHTYTLILKAVASNQGYVSALEMFNKIQEEADSKKIVDIIVYNTMISVCGRAKEWMLVERLWRRLEENSLSGTLLTYDLLVSIFVQCGQSELAIAAYQEMLQKGLDPTEDIMKAIIASCTKEGKWELALSTFSRMLSAGLKPSLILFNSIINSLGKAGQDELAFRMYHLLKKSGLKPDQYTWSALLSGLYRSGRCWDCLELFQGIKAKHPALLNYHLYNIALMSCEKLGQWEHGLQLLWMMEKSGLEISVVSYNHVIGACEVASKPKVALKVYQRMINQRFVPSNLCCSLGAFKSSSHGSFMDALLSDLIILLNPLQEVAPDSSIYNAVIHGLCLRGKIGLANRVYTKMRSIGLVPDGKTRAFMLQHIATD >Sspon.08G0010970-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:48138886:48146094:-1 gene:Sspon.08G0010970-1A transcript:Sspon.08G0010970-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSHGHASGGKRKGKRGRKPKPPVPASPDNNHSHNHNHHHAAPSSSPLSTAAVAASDSPDPEPASSSPAPRRRGRKSRRIRNGPPASEVDAASSPSPPPRARGGPKAGAPNGEMVVEVPAAAVEPLRWDQVVKVMPSMDAVVKVFCVHTEPNFSLPWQRKRQYSSSSSGFIIGGRRVLTNAHSVEHYTQVKLKKRGSDTKYLATVLAIGTECDIAMLTVEDDEFWKGVSPVEFGSLPALQDAVTVVGYPIGGDTISVTSGVVSRIEILSYVHGSTELLGLQIDAAINSGNSGGPAFNEKGKCVGIAFQSLKHEDAENIGYVIPTPVINHFIEDYEKSGEYTGFPILGIEWQKMENPDLRKAMGMKANQKGVRIRRIEPTAPESGCLQPSDIVLSFDGIDITNDGTVPFRHGERIGFSYLVSQKYTGEKARVKVLRNSKIHEFNIKLATHKRLIPAHIKGRPPSYYIVAGFVFMVVSVPYLRSEYGKDYEYDTPVKLLDKHLHAMAQSSDEQLVVVSQVLVADINIGYEDIVNIQVLAFNGTPVKNLKHLVTMVEECDEAFLKFDLDYDQLVVLETKTAKAATQDILTTHCIPSAVSEDLKS >Sspon.02G0025670-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:89000132:89001284:-1 gene:Sspon.02G0025670-1A transcript:Sspon.02G0025670-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSRCWSKLQSPYSSHPSIAVCAVCVWNAASLAASIPWRKKSQPSSPAASLDGFASVLVSSYLISLTVRVELSSPVVACVKRLLLKARVHLPSASSGAHLGLGGGRKGDQFVDPSMGWLTTPYAAVSL >Sspon.02G0016910-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:47779323:47787434:1 gene:Sspon.02G0016910-1A transcript:Sspon.02G0016910-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEQRRDGGGTTGPRNDDGRWRRMAATGGARAEDAWQTDGRDGRRACAAADGQAGDGQEKKFWISVTEDFSCPFCYVRCGSFKISEEYQVVNVSLKADAWRTEGHLKMPRQDLRTTVCKGRMSATPAKTAVLPFSQSTERAAQEEERRPPLEPREDEGAAGGLQQAQTAPRWAPWASSAAGRVEPRAAVEGPPAAGAPPASGTLLPARRGKATRCELSIVVGRGKRSCGAGSD >Sspon.08G0009150-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:40218447:40223891:1 gene:Sspon.08G0009150-1A transcript:Sspon.08G0009150-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein-tyrosine-phosphatase IBR5 [Source:Projected from Arabidopsis thaliana (AT2G04550) UniProtKB/Swiss-Prot;Acc:Q84JU4] MRKRERENPCGICGHYHKYEEGEVCGVCGHRPPAASPAGARQQDSAFPSEILKEFLFLGSYDNASRSELLKTIGVSHILNTVPLCQNLYRNSFTYHCLQEDKTLQFDDANQFLEQCEREKARVLVHCMSGKSRSAAFVIAFLMKSRGWRLAQSFQWVKERRPQVQLSDAAQQQLIDYETKLFGSNNVSIPAQPFAPVDSFPSLDLVSQNQQVTSKCLPLTSRLQHQSLSALPRTTSLVISHSELKELMR >Sspon.05G0030140-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:84164826:84165919:1 gene:Sspon.05G0030140-2C transcript:Sspon.05G0030140-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RMAAQVFQPGYRLPTMSIEEAGLREMKMMDKWQERTAKMIEEANSAWHKDGTSSAQEDEDAEEEKAWAWDGWKDDNPRGAGNKKLRMDSPFVPSVLKSYHM >Sspon.04G0008450-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:25321142:25326491:1 gene:Sspon.04G0008450-3D transcript:Sspon.04G0008450-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVYPAAETPRRRLWNSGPDLVVPRFHTPSVYFFRRRDADGNDLTAPDGSFFDGARMRRALAEALVPFYPMAGRLARDEDGRVEIDCNAAGVLFQEADAPDATIDYFGDFAPTMELKRLIPTVDFSDDTAFPLLVLQVTHFKCGGVAIGVGMQHHVADGFSGLHFINSWADLCRGAPIAVMPFIDRSLLRARDPPTPAYPHIEYQPAPAMLSSEPPQAALTAKPATPPAAVAIFKLSRAELGRLRSQLPAREGAPRFSTYAVLAAHVWRCASLARGLPADQPTKLYCATDGRQRLQPPLPEGYFGNVIFTATPLANAGTVTAGVAEGAGVIQAALDRMDDGYCRSALDYLELQPDLSALVRGAHTFRCPNLGLTSWVRLPIHDADFGWGRPVFMGPGGIAYEGLAFVLPSANRDGSLSVAISLQAEHME >Sspon.05G0015420-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:59250309:59253797:1 gene:Sspon.05G0015420-1A transcript:Sspon.05G0015420-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:E3 ubiquitin-protein ligase WAV3 [Source:Projected from Arabidopsis thaliana (AT5G49665) UniProtKB/Swiss-Prot;Acc:Q9LTA6] MGTGWRRALCTSVQRDDDRDRDAKNKKRRPQHDAPSPRAASGGGFFSAVKSAATGGSGSSNPSTPTLRCRTRPLQQPADAAPVTPPPSAPAPARKHRVPLFQALSAPASPRSPSRFALLKASLLPSKSRCGVCSRGVKSGGSSAVFTAECSHAFHFPCIATHARSSSANGVLSCPVCASPWRQAPFLASLRLHCSFHDDAQSKHRGSGDGRKTPPPASAGGGAKLYDDDEPLLAPKAAANGGGFNPIPEADEDGDEEQGAAEFRGFFPRPRTTSGLAVTVAPEAALVSSGRRHGKYVVAVKVKAPGLRSSSAAPRRAPIDLVTVLDVSQGMMGEKLQMLKRGMRLVVASLGPADRLSIVAFSGAAKRLLPLRRMTRTGQRSARQIVDRLVVCAAAATTATATQGQEQGQQSACVGDALRKATKVLEDRRDRNPVATVMLLSDTQQQQQAAPPENSTRKQFGRPAVAPATRFTHVEIPIGPGDAPPLVSFESEEKQLAEPPVEHAFAKCLGGLVSVVMQEVHLELAFPTGEITAVYSCGPGQQAVAVSGGGGAGVSVRLGEMYAEEERELLVEVRAPLGSHPHSLSVRCSYRDPASQETVRGAEQPLLLPPLQGERASSSSSRRLHDLFVATRAVAESRRLAELQDLATAIHLLSSARALVLQSPPTQQQQELVGNLDTELSDMRWRRANQHQYQQQEPLTPTSRSGRRRGDGDTTTPVGTPRGSAGPPGGAGDPLTPTSAWRAAEQLAKVAIMRKSMNRVSDLHGFENARF >Sspon.04G0011460-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4A:35489209:35490135:1 gene:Sspon.04G0011460-1A transcript:Sspon.04G0011460-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding QEEVKQTKGNVMTPRAKPEFNTHSAPILAGNLPDPAERFWQMKPSSAKHSYKLPMPVDDKDPRSVGAHRSHHSQQLESKPRVSPNPWHSSPLMKDFRPGGHVKMPSSTEGISTFSQSVSDYKKMKRESWSGPIPSRPGLGKPFSLNDHRSPMAQHLVMPGNLQGHSRQPSSVSPKVSPKMLPHPTKSPKISELHELPRPPANMESLRPSGLVGYSGPLVSKRQTQILAAPAPTASQTPSPLPLPPATLTRSYSIPSNSQRIPIITVDRLLEARNSRDGSDISSPPLTPLSLSDLSSSKEQKQLQVAQG >Sspon.05G0020160-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:80641045:80646237:-1 gene:Sspon.05G0020160-2P transcript:Sspon.05G0020160-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEESSSSPLLEKKYHPGCPGCAYDQKKDLQEGMPYKEFLYVWIICLSTALPVSSLFPFLYFMIRDLHVAKRTEDIGFYAGFVGASFMLGRCLTSTVWGIAADRIGRKPVVMVGILSVVIFNTLFGLSTSYWMAIATRFLLGALNGLLGPIKAYAIEICRPEHEALGLSLVSTAWGIGLIIGPALGGYLALPAEKFPNIFSPDSFFGRFPYFLPCLCTSLFATVVLASCIWMPETLHKHKVHENENKIVEALEAHLIDCKEKVEKKSSLDDKKSLFKNWPLMSSIIMYCVFSFHDMAYTEILCIPLLFAYPYMTYLSGIGLSVVLNIASVLKNNLGVSIITIVTSTFILQNNAVVCAYKFQSISIPFCGMYPTSMILTDLCAIIQPQDQRGAANGLSMTVMSLFKAVAPAGAGIV >Sspon.02G0005160-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:15645819:15650323:1 gene:Sspon.02G0005160-2B transcript:Sspon.02G0005160-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRYDNNPFEEEDVNPFSEQARGKAGGQSNFGGGAFYMPNPRNVPPASNSRLSPLPPEPADFSATVDIPLDSSKDLKKREKELQAREAELNKREKELKRREEAAARAGIVIEEKNWPPFLPLIHHDITNEIPSHLQRMQYVAFASFLGLVCCLFWNVIAVTTAWIKGEGVKIWLLAIIYFISGVPGAYVLWYRPLYNAMRTDSALRFGLFFLLYLFHILFCVFSAVAPPVVFEGKSLAGILPAIDLISVNALVGIFYFVGFGLFCLESLLSIWVIQQVYMYFRGSGKAAEMKRDATRGAMRAAF >Sspon.01G0035600-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:17569959:17579457:1 gene:Sspon.01G0035600-2C transcript:Sspon.01G0035600-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHGGGGDNGVSPGNVPVCYYGAAGRVPASLERRVRAAELFMRCAACGLAVLAAALLGADRQTRTIFAVEKTARFTDMQSLVFLVIANGMAACYSLLQGARCLVVSSSTGPWHGLSSLVIRQVMAYFTISAVAVAMEAAMIGKYGSQQFQWMKTCHLYKRFCAQAGGAVACAVAASLSMVGISLVSAFNLFRLYGSGKGRK >Sspon.03G0015440-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:49267823:49268583:1 gene:Sspon.03G0015440-1A transcript:Sspon.03G0015440-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding WSLIAGHLPGRTDNEIKNYWNSHLSRRAADFRDGVVVNVDLSKLPGGGKRRGGRASRGIVAAAKSAAAKENKAKGKKGKDDMGKNNVAAQAEQQQQLKARRRRTTPTPQSDCATAAQSEEQAQASASGVTSDGPEEEDTLALSEEMVSALLAAPGSPKLEAGPDGSCMDGDSVARPGTVSVGRWAFWRRGPGAAGPGRQCHHGLGLDGARTSRPPMTCGTRCCGTTTTKRWSRNRKEEGRGTSSSRTR >Sspon.03G0032970-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3B:43708077:43708256:1 gene:Sspon.03G0032970-1B transcript:Sspon.03G0032970-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVATAKACILLFTAFFFSGLMQLSMAAQEKQATAVATARVVDAKAIDQAVAYLLMFAALF >Sspon.03G0000730-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:2170039:2173893:-1 gene:Sspon.03G0000730-1A transcript:Sspon.03G0000730-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MCEAPRLGYRAGAGDAAAAADVDVVTTGGRRRIPAHSSVLASASPVLGSILKRRLHKDRESGKPGRSVVRIRGVTDDAAAAFVRLLYAGSAKDHVRARRLTNTATKNHLGRFGDGGEEEEDDMERHAVQVLVLAHAYQVPWLKRACEGAIGARLTADSVVDVLQLADLCDAPRLHLRCARLLAKEFAAVERTEAWRFLQENDPWQELHVLQRLHEADMRRRKWRRKRAEQRVYVELSEAMDCLDHICTEGCTEVGPAGRAPAPAPCARYATCRGLQLLIRHFSQCHRKSCARCQRMWQLLRLHSALCDRPDRCNTPLCMRFKQKEQEKAAAKAGDDGDKWGLLVKKVKAARVFSSLANRKQMNTSTQC >Sspon.02G0015770-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:43610310:43611662:1 gene:Sspon.02G0015770-3C transcript:Sspon.02G0015770-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGNSGSLQSSSGGDDEFDSRGGGGVDSSPLSALLRPSPSPSAAAFSLHGSYFGLQEFTSAPPPQPQAGTWSSGSFPGASGLSSSSSPRVGQPDSGAGGRQQQAAADTTTVAAAQGAAMGGAPAPAAQPPRGSRKRTRASRRAPTTVLTTDTSNFRAMVQEFTGIPSPPFGAGVGVGGPAASLRTRFDHIFPPPSSSLRSAAADATASLPPYLLRPFPHKLPTSVPSSSFPPFRTTTSSSSSSTPSSSNIGVANANAATGTAATAAAAPSNPVAPTAAGDTFQQLTSSALLRLQQDPSSYLSFQNLLDSQPSSQSIFGAAAGGFGQAASRLHDPAPSPSDFLASVGGSGSGSFGGLTHGGLLAGSEGLHLHHSRSDDVHGHGGDELSGVVAAGASSGSCKLNYSSHAGAATSSSAGAASADKPPDGGAGGAAGRPGRGEGLDPWICTSE >Sspon.07G0005780-3D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7D:13004948:13006645:-1 gene:Sspon.07G0005780-3D transcript:Sspon.07G0005780-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSPSSAHWLSLVGSIWLQTINGPNTDFPVYSSQLKEIKGISQVRLNFLAFASDAGKLFGWFAGVAALHLPLWVVALTGATFGLVGYGVQFLFLDRAGLAYWHLFVLTSLAGNGICWINTVCYLLCIRNFPSHSRVAVSLATSYLGLSAKFYTTMAETIPRAARARYSTTEVYLLLNAVVPMVVTLVVVPSLRVVDVKDKDKDRSKRTEAPFLAMFVITLATGACAIVGSIDAKSIGLSSREHMVSLYVMLALPLLIPVWLRVWESTAKIRETMWPENRVHDHDSDGPETTAVSASVSVVEIEAEEVEQSRSSQEEVGGLRLLRQLDFWLFFFSYMFSGSLGLVFLNNLGQIADSRGLTDASTLVSLSSSFGFFGRLLPAFLDYYTAKSGYSLSRTASMAWLMAPMPGAFLLLLHPKDMFLYASTAVVGTCTGAITSVAASTTNELFGTKHFGVNHNVVVVNIPLGSLCFGYLAAFVYQRGAHGGNRCLGAACYRDSFLLWGATCALGTALCTVLYARSRRSAAGRLPR >Sspon.08G0001710-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:3314827:3319218:1 gene:Sspon.08G0001710-3C transcript:Sspon.08G0001710-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding TMVHFKNRYMVVEVFIDAGRGEQDPVILTQFNITKVIRDSIQLNFGECGLAGSLGSLPVKYVNPVTKLCIIRVSREDHQKVWAAITMVRCIGKIPVSFNLLNMIGSIRACKKAALECDEAKFEQYKVAAGDRITADIIKSVESCFEKIRGLES >Sspon.06G0028910-1P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6D:11772291:11776233:1 gene:Sspon.06G0028910-1P transcript:Sspon.06G0028910-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSTAYSPAGSGLVPAPLGAGAGAGAARRRSAQVRRPRLATVRCSVDAAKQVQDGVATAVAAEAPASRKECFGVFCNIYDLKAEDKTKSWKKLVSIAVSGAAGMISNHLLFKLASGEVFGQDQPIALKLLGSERSFQALEGVAMELEDSLYPLLREVSIGIDPYEVFQDVDWALLIGAKPRGPGMERAALLDINGQIFADQGKALNAVASRNVKVLVVGNPCNTKYGHCMFHQVPDFLNAKIDGRPVKEVIQDTKWLEEEFTMTVQKRGGALIQKWGRSSAASTAVSIVDAIKSLVTPTPEGDWFSTGGDGDYELATDVSMDDFLWERIKKSEAELLAEKKCVAHLTGEGDAFCDLPEDTMLPGEK >Sspon.05G0022380-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:7289437:7294188:-1 gene:Sspon.05G0022380-2D transcript:Sspon.05G0022380-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPLQAHRLLISHRRLPTPARRRFTAVSSLQSAPATTLAPGPATSSILSIRESLLSGERTAADITSEYLSRLRRTEPSLRSFIHVADAAAEREAEELDRRIASGEKDAVGPLAGVLVGVKDNLCTANMPSTGGSRILDGYRPAYDATAVRRLREAGAIVVGKTNLDEFGMGSTTEGSAFQVTTNPWDDSRVPGGSSGGSASAVSARQCVVSLGSDTGGSVRQPASFCGVVGLKPTYGRVSRFGLMAYASSLDVVGCFGSSVFDTATILSVVAGHDKMDSTSSSQVVPDYASELVSPDLLESKPLAGVRIGIIQETFGEGVANGVISSIKGAASHLEQLGSVVEEVSLPSFSLGLPAYYILASSEASSNLSRYDGIRYGRQVSADDLNELYGESRANGLGHEVKMRILMGTYALSAGYYDAYYKRAQQVRTLVKESFKDALERYDILISPAAPSAAYKIGEKINDPLAMYAGDIMTVNVNLAGLPALVVPCGFVEGGPAGLPVGLQMIGSPFCEGNLLRVGHIFEQTLQNLSFVPPLLAES >Sspon.03G0019070-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:59177457:59179443:-1 gene:Sspon.03G0019070-1A transcript:Sspon.03G0019070-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSLVQGHLNVGLVAGVLFVLLTYLVVSQQTAMSRPNVVTTVAQWFSNTPNKQQFVGPGETVVTAKEQQIADKQMIQGPSETEKANNKVVCSTEERLSDYCEIDGDVRINGKAWSVDIVPSGWSSSERREWKIRPYSRRSASNVDKLNVTQLQDPAVAPPCTVTHHVPGVVFALGGYSGNAFHDHADVLLPLFLASLRYDREVQFLVINRAQPWWLGKYRLALRRLSKYDVVNLDGDAHVRCFPHLTVGLRLHKDFGVVPEWVPGAGERRVSMPDFTRFLREAYALPRGVPTSPARGKPRLMLIQRQRTRRFLNGEEMVRAAEAAGFEVVVTDLVIDAAVDEQARVVNSFDVMVGIHGAGMTNEVFLPPGGVLIQVVPFGKLDLIARIEYGEPAADMGLKYLCYNVTLEESSLLELLGRDHPAIKDPDSIHRKGWAAMFDIYMTKQDVRLDITRFARTLAEAMDHLRSL >Sspon.04G0024260-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:21213909:21215050:1 gene:Sspon.04G0024260-2C transcript:Sspon.04G0024260-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPSTRHIFPFAYDQAPAASTAAVASASTAGSPRLLPLLQYSSVFQQPPPPPPQQPLQHQQVISFGSSEQPHQQFGAASAAAPLFPPQLLAPEVQQQMLLRYWSEALNLSPRGFRGGAGHVGGSGRTSASTAASCSSSSSSAPPTPDENQTKQAQQHDGELPCNSEAKPLLPETEQAKGSEPEPNPQLQSADQQGGDGNTVMFQPSVAPGGVWGPADEAWFSAWGPGSSVWDYDMDNAHGLFLQSRFASEATSMDYVSSTPEAPAAPAAGTAVASAASLSPPPPPPPRSPTYMWRD >Sspon.03G0022500-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3A:68263728:68270464:-1 gene:Sspon.03G0022500-1A transcript:Sspon.03G0022500-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RGFVQWIA >Sspon.01G0011510-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1C:31177965:31178343:1 gene:Sspon.01G0011510-2C transcript:Sspon.01G0011510-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVCAAAYSHFFGLAFSSRARTHIAQRPPLVRPSVLVRCACVACACICVLVLVFKKWVVRPYVASISVLRVPPPDMHACGGGGSTRADRCA >Sspon.03G0011590-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:31482802:31500423:-1 gene:Sspon.03G0011590-1A transcript:Sspon.03G0011590-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANSAEKARALEQCERDLDVAIERLVNLRLDAAEHGAHHDDVHPTTAAAAEEEEEQRTVNVHVPSDGSDDRTDQWVERLMEAMASAENWGDARARAARLLEDFDASVATACRAERDVALWQNGLLKKAVRVQHRLYKEKEAANRELQRQLAGCQERVRSLETDNYALSMYLRNAQPQGGAITGRFHHPEDEENDEEALRWAALERLPTFDRVRRGILALHGADGDGDGEKVVAEVDVARLGARESRALIERLVRAAADDDHERFLLKLRERMAAWTASASTTPPSRSATRTCTSRRSVFGVSPLQSIGNALHILPSRKRPMTILHDVSGIVKPRRMTLLLGPPGSGKTTLLLALAGKLDKDLRVSGKVTYNGHEMNEFVPERTAAYISQHDLHIGEMTTAGWVMPSFGLVLSFVVCLVLEMLTELARREKAANIKPDHDIDVYMKASAMGGQESSIVTDYILKILGLEVCADTVVGNEMMRGISGGQRKRVTTGEMLVGPARALFMDEISTGLDSSTTYQIVNSLRQTIHILGGTAVISLLQPAPETYNLFDDIILLSDGHVVYQGPREHVLEFFEFMGFRCPARKGVADFLQEVTSRKDQGQYWYRQDRPYRFVPVKKFADAFSTFHVGRSIQNDLSEPFDRTRSHPAALATSKFGVSRKELLKATIDRELLLMKRNAFMYIFKSVNLTVMSFIVMTTFFRTNMKREESYGGIYMGALFFALDTIMFNGFAELAMTVMKLPVFFKQRDLLFFPAWAYTIPSWILQIPITFLEVGVYVFTTYYVIGFDPSVIRFFKQYVLLLALNQMSSALFRFIAGIGRDMVVSHTFGPLALLAFQTLGGFILARPDVKKWWIWGYWISPLSYAQNAISTNEFLGHSWSKIQNGTTMGIVVLRNRGVFTEAKWYWIGLGALVGYTLLFNLLYTVALAVLSPFTDSHGSMSEDELKEKHANLTGEVIESHKEKKSRRQELELSHSVGQNSVHSSEDSSQNRKGMALPFVPLSLTFNDIRYSVDMPEAMKAQGVTEDRLLLLKGVSGSFRPGVLTALMGVSGAGKTTLMDVLAGRKTGGYIEGDITISGYPKKQETFARISGYCEQNDIHSPHVTVYESLLFSAWLRLPSDVNLETRKMFIEEVMDLVELTSLRGALVGLPGVSGLSTEQRKRLTIAVELVANPSIVFMDEPTSGLDARAAAIVMRTVRNTVNTGRTVVCTIHQPSIDIFEAFDELFLMKRGGEEIYVGPVGQNSSKLIEYFEGIEGIGKIKDGYNPATWMLEVTSSSQEEILGVDFSEIYRQSELYQRNKALIEELSTPPPGSSDLNFPTQYSRSFFTQCLACFWKQKKSYWRNPSYTAVRLLFTIVIALMFGTMFWDLGRKTKKQQDLFNAMGSMYAAVIYIGVQNSGSVQPVVVVERTVFYRERAAGMYSAFPYAFGQVAIEFPYIFVQTLLYGVLVYSMIGFEWTVAKFLWYLFFMYFTLLYFTFYGMMAVGLTPNESIAAIISSAFYNIWNLFSGYLIPRPKLPVWWRWYSWVCPVAWTLYGLVASQFGDITHTLEDSVTGQTVAQFVADYFGFHHDF >Sspon.01G0054250-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:51973039:51973611:-1 gene:Sspon.01G0054250-2D transcript:Sspon.01G0054250-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GAAQEQPEPQGVPGPHHRRRESWPRRHRAVRRQGAQDGGELPSAVHRRARRGPVVGQAAALQGRAVPPRGARVHVPGRRHHGGQRHGRRVRAGRRRPVLPRRGAGCRPALWPRRGVHGQRRAQHQRVPVLHHLRRRAVAGRPPRRHGRRARHRKGRVHERQDREACRHRRLRRAQGPRRRHVLI >Sspon.01G0031190-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:107351825:107357594:-1 gene:Sspon.01G0031190-1A transcript:Sspon.01G0031190-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine aminopeptidase [Source:Projected from Arabidopsis thaliana (AT5G13520) UniProtKB/Swiss-Prot;Acc:Q9FY49] MAPVDPHSYTDGAHPVVSRAALAFYLDFAASTIHASALLTLSAPHSGDLLLDTRALTVHSASTDADTPEPIPFSLAAAPDPVLGTALTLTLPADTTSFRLTFSTSPAASALQWLAPPQTASGQPFVFSQCQSIHARSIFPCHDTPAARITFSLLLNVPEQLSAVAAARHVARRDPLPSDHRGACDDELWCAPGRIVEEFQMEQSVPPYLFAFAAGGIGSRDLGPRTRVYAEGGDKVLDEAAREFAGVEEMVKVGEGLFGPYEWERFDLLVLPPSFPYGGMENPRMVFLTPTVIKGDAAGAQVVAHELAHSWTGNLITNKTNEDFWLNEGFTTYAERRIVEVVQGEERAALNMGIGWRGLNRMMERFKDNMEFTKLKPKMAGIDPDDVYSKVPYEKGFQFLWRIEREIGRPAFDEFLKKYIATFKFQSIDTETFLEFLKTNVPGIENQIDLLLWVEGTGIPPDAMEPDSATYKKICSLAAEFKSGKLPSEDEVANWSGQEWELYLENLPTDVEASQVTALDERYKLSESRDYEVKVAFLQLAIPTGCKCYFNEVEKCLKQVGRMKYLRPLYSSLAKCPSEEKMLAQRIFSEAQEFYHPIARSVAEAILSKHS >Sspon.02G0010410-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:23217716:23221013:-1 gene:Sspon.02G0010410-1P transcript:Sspon.02G0010410-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAATALPFTAAAAFPAAAGRVRAARCARPRTRRSVVAKLDGGLGKGVPTTNYVVPLDKATGMTRPLVEILRDLNKRVPDKIIDPDTDTVHWYHANRMLSFYAPGWCGEVRDVIYSENGTVTVVYRVILKGTDGEAYRDATGTAQVHEGRREDAVAARRKLHSAKPAHGSVLASTCTTRMILITTTTSIEAQSAPELVISCNVRRICPWRTSCPESIFALCVA >Sspon.06G0014230-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:74631242:74634563:1 gene:Sspon.06G0014230-1A transcript:Sspon.06G0014230-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABC transporter I family member 11, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G14100) UniProtKB/Swiss-Prot;Acc:Q8LEF6] MLAAAATAVAVPPAASYRFPANTDSFGLIFGRSGSGKTTLLQLLAGLSEPTSGSICIQKYDDTGNPIGLPELLTSQRVGIVFQFPERYFLADTVLEEVTFGWPRQKADLLLKEQLALNLQNAFNSVGLTTISLDEDPQALSGGFKRRLALAIQLVQTPDLLLLDEPLAGLDWKARADVVNLLKDLKKHHTILVVSHDLRELYPLVDYSWRMEMGGSLKEEALPV >Sspon.08G0006570-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8B:18081945:18083534:1 gene:Sspon.08G0006570-2B transcript:Sspon.08G0006570-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At1g31430 [Source:Projected from Arabidopsis thaliana (AT1G31430) UniProtKB/Swiss-Prot;Acc:Q9C866] MATACHDAMPLRDCNVLIRTLARRGSFSRVMAVYYDLRARGLVADSYTYPFVLRAIGVMKLSVEGRKVHAAAVKTGFRWDAYTASSLMEMYTMLGRADFARRVFDEMPQRFLVLWNMMMRCYIRCGRFTAAVALAEEMERSGVTPDRVTLVTAVTACSRAGDMSLGRRIRSYMDGVFGFSLPVANALLDMYTKNGYLEEAVKMFEQMPERNIISWTILVSGYAVAGQLDKARVLFYQCTEKDLILWTAMINACVQHGSFEEALTLFRDMQLQRVEPDKFTVVTLLTCCANIGALDQGEWIHQYAEGRNMKIDAVLGTALIEMYSKCGHVDKSLQIFGQMQGRDAAAWTAIICGLATNGQASKALELFEEMQRSKTKPDGITFIGVLSACCHGGLVDEGRRHFQAMKEVYHIEPRIEHYSCLVNLLGHAGLLYEAEKLIGDMPVNNDTMLLFGALLTACKACGNVEMSERLTKRIAKEDCSQNPDVNVLMSNVYATASRWDEAIRVRSKMTHPAVKKTAGCSMIEVKEYC >Sspon.03G0001700-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:12553554:12558386:-1 gene:Sspon.03G0001700-2B transcript:Sspon.03G0001700-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein root UVB sensitive 6 [Source:Projected from Arabidopsis thaliana (AT5G49820) UniProtKB/Swiss-Prot;Acc:Q93YU2] MAPAVGLKRSATQTITLPPPETRLAVRDVMRSTIPSQPAEAPAASERPAPAAALQGFLCLEEVDGRRWSYVVDGGAAKGKGGGGAAVPAGASVRAVPLQSPLPPAEEIMAFIRSYVVPEGFPDSVTPSYVPYMTWRALKHFFGGAMGVFTTRTLLSSVGVSQSKVTPGAIAINWILKDGAGRVGKMLFARQGKKFDYDLKQLRYTSDLLLEIGAGIELTTAAFPQFFLPMACVANVVKNVAAVTSTSTRTPIYKAYARGENIGDVTAKGESVGNIADLVRSVVLNTLNRARFTVAVDSFIKTGHIPSLKEGNSQETIFNPPWRHEPVAIGSRFGEAFQEPASFLAIRPLFEDERYMVTYNPTKDKVYALLKDQAKSDDIIKAAFHAHVLLHFINASHARRLKQKQKQANPDRSEHLYSRNMDFLAHIAESCKIVSSSYGTFKKKAREQGWIITRRESVNLQSEAAKFQEEQEFYDLWERHVLSSAPSPSV >Sspon.04G0010450-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:27504058:27507008:-1 gene:Sspon.04G0010450-2B transcript:Sspon.04G0010450-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQYNHRSRLPPPPLGRGGYPRGHKQPYYAPPPPPPFPPAPPPPPLERKYEVLMEAGRLAAEYLVAQGVLPPDALQRGAGVSGAWPAHPLPPPPQLPPQEGPGFYGRRRYEDEYNNNPSGRPRRANGTTSSISSRDDCSRGSYNGRGKRKYGEYRRGYLDSGRDREKERGRPFPNGRRYEDGDEDGAQGFRRERRGNRGSDEVRTSVTEAVREGTPLTAKALAGLCMEDTTSKIMNSVEDTSKDATDAPKVPEETEEGEVEDDSETQNSETEVIKQGIDTNVNHASCRVVTEADANQLPDGKIQDEILDEEAEYDKKVMDEATLDHNTSDGEVTNMEVEMHVGKKSLIDYCNFTRTPTRPRSVRAHRNAASIPGETAVVETVDLVSSGQASQMVIGESAERSLTNSALENREDKICQENTSSGDVCAPPKESMLLQKNGIPAVTENMAEEKVDAQPHVVVQQYKEETNLSPLTDALEKSSMQETTLSPFAASHKDKLAQEDGLMQEADLSPLTANNRDSLIEETELPPSTASHKDSLIEETNLTQTISSYENNLKLQFKEGTQICDIDMLAQDVHLIELPDQRKIIDRDVGAEAVIEMEEGKLDQSSSLNLSDLDLVGSTEVAAIHNNPVLVQPSAAGSSAQSNNKQQEDPETFTGANASPTDDLCQLPLDNKDVQVIDIECGIPVEVGGFDLSKSIHNNPVLVQPSAAGSSAESNNKQQEDPETFTGANASPSDDLCQLPLDNKDVQVIDIECGTPVDVSGFDLSKSKNEMVCSSMDSIMDPGNGIHTDVLPGLQDGYSLAFSDFLSSDIPCYPSMQSDLHAGIGANDPEGITAMDDPIYGSLTDIG >Sspon.05G0012030-3D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5D:37027757:37029474:-1 gene:Sspon.05G0012030-3D transcript:Sspon.05G0012030-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAYSRAGRHDEVLRLFRSLPFPPTAPLFTPLISSLAASGSKSAALDAFSLLASGLGPTTSAFTALLKSIDDAPSESVYRAFFGTMAAMGCAPDAATYNCLIWMLCDSQRLDEAWGVLDSMLEEGVCPTVRSYTAILHGYCKQGRVLEAERLVDTMIQVGCAPDVISYSVLIQGLCRVGEFGKVEQILGESEAKGWTPNAVTYSIYMSALCRMGLLDEAFRQVDVMLSRGVSMTVETVNILFDCLCRDSMFSEAVSFLEYSEELNWNVDVFCYNTLMSRLCDAGDFARVLKLLVDLVKKGIGPDMFSFTIAIRSLCRAGKFKVAKCLLDNKGIEYDAVAFNTLIHALCMAGDLHEMLQTYMDMTSRNVSPNNFTIGMVRSGYHEPLYVAQRFKQSKQDYCDEP >Sspon.01G0042860-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:68719066:68719682:1 gene:Sspon.01G0042860-1B transcript:Sspon.01G0042860-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ARLAVGSILAAATPFLHSRWASFLRIQSEVEMVKDTAEAVAEVVEKAATVAEKASSEVAEQLPENGRLRAAAVLLEHASKEVGEEAHLAHDILHKVDEIEDDVKAIMDHSKHAHAKAR >Sspon.03G0042410-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:65838277:65842445:1 gene:Sspon.03G0042410-1C transcript:Sspon.03G0042410-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPKVGYIAIDLLTDTIEAATKPSVAAIDGLAFGGGLEVAMACHARIATPTAQLGLPELQLGIIPGFGGTQRLPRLVGLTTSLEMMLLSKPIKGGEAHQLGLVDALVSPNDLVNTARQWALDIYECRRPWIKSLYKNDKLEPLGEAREILKFARAQAQKQAANLHHPLVCIDVIEEGIVAGPRAGLWKEATSFQELLFSDTCKRLVHVFFSRRATSKIPGATDLGLMPRKITKVAILGGGLMGSGIATAMILSNYPVVLKEVNEKFLNAGIDRIKANLQSQVMKGKMTEERYEKAMSLVTGVLDYERFKDVDLAVIENVKLKQQIFADLEKYCPSHCILATNTSTIDLNLIGEKTRSQDRIAGAHFFSPAHVMPLLEIVRTQHTSPQVVVDLLDVGKKIKKTPIVVGNCTGFAVNRMFFPYTQSALFYVDLGMDVYKIDRACTKFGMPMGPFRLADLVGFGVAMATGMQYLENFPERVYKSMLLPLMMEYNRAGEAAQKGFYKYEGKRKATPDPEIIKYIEKFRSMAGVTPDPEIIKYIEKSRSMAGVTPDPELLKLSEKDIVEMVFFPVINEACRVLDEGIAVKASDLDIASVFGMGFPPYRGGVMYWADSIGAKYIHGKLEEWTKRYGGFFKPCSYLAERAAKGIPLSAPAKKVQARL >Sspon.03G0025310-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:99899763:99904585:-1 gene:Sspon.03G0025310-2B transcript:Sspon.03G0025310-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSALGTLTGGAAGVSGLLRLRRRAAPAPAPAIAAPSHLPAGTAKCAALPDAAPIVWGRQLRPALLLPAALLPSSQPARKHNLRPAAAAAESAGEAKGFLEKYPALVTGFFFFMWYFLNVIFNILNKKIYNYFPYPYFVSLIHLVVGVAYCLVGWSVGLPKRAPINANLLKLLFPVALCHGIGHVTSNVSFAAVAVSFAHTIKALEPFFSAAATQFILGQQVPFSLWLSLAPVVIGVSMASLTELSFNWTGFINAMISNISFTYRSIYSKKAMTDMDSTNVYAYISIIALIVCIPPAIIFEGPQLMSHGFSDAIAKVGLTKFVSDLVLVGLFYHLYNQIATNTLERVAPLTHAVGNVLKRVFVIGFSIVVFGNKISTQTGIGTSIAIAGVALYSYIKAKIEEEKR >Sspon.05G0006320-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:11639490:11642319:1 gene:Sspon.05G0006320-3C transcript:Sspon.05G0006320-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:histidine triad nucleotide-binding 4 [Source:Projected from Arabidopsis thaliana (AT4G16566) TAIR;Acc:AT4G16566] MRKTFCFGGSRLAKTMSERAPMVDGCVFCDIARRAPTSTTALLYSDDKVVAFRDINPSAFRHYLVIPIDHIPTVNSLHKTKDDHQLVSHMVKVGKDLLNQDAPNSEEHRFGFHQPPFNSVDHLHLHCLALPFMPSKIDTLNQSFNFHLKLFGPLHMTVGGKSRYNNMVAL >Sspon.04G0002660-3P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:4096388:4100685:1 gene:Sspon.04G0002660-3P transcript:Sspon.04G0002660-3P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSDRSRESLLPRFLYSSSARSFAATGAAARLPVSSPAPAAAGAGGGVPFSIQAPNEKIEMYSPAFYAACTAGGIASCGLTHTAVTPLDLVKCNMQIDPAKYKSISSGFGVLLKEQGARGLFRGWVPTLLGYSAQGACKFGFYEFFKKYYSDIAGPEYAQKYKTLIYLAGSASAEVIADVALCPFEAVKVRVQTQPGFARGLSDGLPKFVRSEGALGLYKGIVPLWGRQIPYTMMKFASFETIVELIYKHAVPVPKSECSKTTQLGISFAGGYIAGVFCAVVSHPADNLVSFLNNAKGATVGDAVKKLGLWGLFTRGLPLRIVMIGTLTGAQWGIYDAFKVMVGLPTTGGVTPAPAVEAVSAAEAESKAIA >Sspon.06G0012380-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:53835221:53837750:1 gene:Sspon.06G0012380-2B transcript:Sspon.06G0012380-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMSAKERKLSRLGSCKVTVAAGSGGGGGGSPAARGHRSPSAAAAPQRRVFAALFAFLCAGVVVLGGVHVIGASFRPVLRTAWPSATLNAISSDARAQQAGSSADAVLPSVQIQHAVAFPDRVLLILKDGLSLPAPQRFECLYSPANSSELRRPPLLAASLLDGPSLVHCPAEPSGVDVSLSLSLSPPVVPLQWDRLVYTAVVDSRDNSTIVFAKGMNLRPGRLGVASRYQCVFGRDLSKPKHVLTSPVISAAQEIFRCVTPVRIRRYLRMTTDPNGNGNGDSDDKPMLVSIRTKGQRDSTLPSIAEPEPLPRYNRHRRQKAHSMCVCTMLRNQARFLREWIIYHSHIGVERWFIYDNNSDDDIEQVLSTMDPSRYNVTRHLWPWMKSQEAGFAHCALRARESCEWVGFIDIDEFLHFPGNQTLPDILRNYSNRPRIGELRTACHSFGPSGRTKIPKKGVTTGYTCRLAAPERHKSIVRPDALNPSLFNVVHHFHLKEGVRYVNIGQGTMLINHYKYQVWEVFKDKFSGRVATYVADWQDEENVGSRDRAPGLGTKPVEPEDWPRRFCEVFDTGLKDFVHKAFTDPATGSLP >Sspon.04G0021860-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:5679150:5681012:-1 gene:Sspon.04G0021860-2P transcript:Sspon.04G0021860-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding PCLINSLSTFSMEDLPEPLLAEIIKRVTRTTDLNSLSLVSKRLYAVDAEERGTICVGCGLHPATESFASLCSRFPNLWKVEINYSGWTSVQAQGKQLDNQGLSVLSSHCSSLTDLSLSFCSDIDDNGLGSLKLCTKLKALRLSFIPAITSNGLFLVAVGCKSLSTFHLVDCIKVDSVEWLEYFGRAGSVVELVVKDCKGISQFDLLKFGPGWMKLEKFEFEINGNYWLSGPPPDPAFDAHYPYKYDICCENLKDLRLAHIITMHTDNEDEDEMIALFQNCSNLRSLSLRLMPLRQLDWDFRTPLTDESLKALGLSCPMLEVVELTFTCCSSMYPTEIGFTQKGIVALIQTCPIRAFMLNGANMFYDSGLEGISSAPFLERLELLDCKRITDAGMSLIARAPRLSSLSLRKCKNVTDNGIAELAHSAKLESLTV >Sspon.07G0014070-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:50438633:50446673:-1 gene:Sspon.07G0014070-1P transcript:Sspon.07G0014070-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVKVEDHAEDGGTDADARGLGEAALDPLPGSVHAGLKEEVMCSSTEGQPSSSSGKLRSQFIGMGFSSKLVDKVLQRHGDDDSNTILESLLSYPDHQQSGSESSGSFGSLFDSDNEENNSSLESKKGIKDIKPELDSFSEKWSFLLGTMNFSQQEVDLAFKQLGDEAPLEQLVDFIVNAQSGVSYGGPENGDATNEGKTELLYGVMEKTLSLLQMGFTEEEVSSAIDNFDQRATIQELADSILARRIANSIEQKEAEPDYSSYQPSYSAASYYDDDSNNTRVKRAKHIFIDDIGASSSHPGNPWSMGHCAGISDMPVKVELEAMTPGCRANVQGDLAKPPFFLYGNVVDIPKDTWHQLKQFLYNVEPEFVNSQSFSALTRKEGYIHNLPVEKRRVVVPKSPMTIEEALPFTRQWWPSWDTRKHINVVTTEVAGIEQTCERLGSMRVKRSAFRSKTGADYTPVQGFKPYLGCRDKLGPLEPRQVERILGYPHNHTNLFELNQPDRFGAMKFAFQTDTLSYFLSVLKGMYPDGIRVLSIYSGIGGAEVTLHRLGIPLKCVISVEESEAASGIWKLKIHVIEDLVKEFGGFDLIIGGNYTSCKGGNNTVNTTMGMDSNRFYEYARVVKRDARSTNE >Sspon.06G0026150-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:76853362:76873684:-1 gene:Sspon.06G0026150-1B transcript:Sspon.06G0026150-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEITVHSSKSVKPDYGDRSNSGAVAASAEVVQLSVFDKVSYDEYITGVCAFHPPAPPNDVLEAGLATALAQYREWAGRLGVDGTGNRAIMLNDAGARYVEATADAELSSSVVLELTTGANLLSLYPSCEDGAKELLLAQATATRGVGIDPIVPVQRHDRSSLFAPRDPPLVEFDHRGNEFKPHGGEASGSSDIAHAAGDEVVIHRVHFSKEWIMDLKSQAASEGAAAARPYTTLQCVAAHLWRCITAARGLDGDVVTRMRIAVNGRSRMKNPRVPVGYTGNAVLWAWPTTTARELVQQPIRETVELISREVARINDAYFRSFIDFAGSGAVEREQLVPTADSLELTLSPNVEVDSLLGIPFYEMDFGGARPLFFVPGYSTVPVDGFIYVLQSFEGDGSVDAYVPLFNRTIDAFKKMIILKFPMEITVHSSKPVKPDYGGGNSASAAVVPLSVFDKVNYDEYIFYVYAFHPPAPPNAVLEAALAKTLAEYREWAGRLGVDASGNPAILLNDAGARFGEATADVEFGGSTVVLLTTGPKVLSLYPSCDGVEELLLVQATRFRCGSLVLGFTMHHKVADGPAMWNFMVAWGQATRGVAIDPVPVHDRTSLFARRRDSPPPSRIKFEHRGAEFKPRGERPGSEGGVVVRADDEVVVHRVHFSREWITELKSRASHGGAPRPYTTLQCVAAHVWRCITEARGLEGREATRIRIAVNGRARMVRPRVPEGYTGSVVLWARPVTTARELLGRPQRYAVELISREVARIDDAYFRSFIDFASSGAVEKEQLVPTADAAEVPLCTDIDVDCLLAIPFHKLDFGCGSPFFFRPGYGHAPVDGAIYILRSFQGDGSVDAYVPLFSRHMDAFMRIPEHLLVLCAAWRFFLSSWFGNYFEKNSWKSPAVTPGEIYCCDMPYPDLSFSEVTYAVVRQVMLQSKYYLEEAKWCNEKYMPNFKDQIELSSLSSTIPVLILAALMAVGNEATKEAFEWASGMPEMVHACGEIGRLLNDISAFKKGRKNANDVASSLECYMMEHGTTGMEATSALSAMVEHAWRRINKAFMEIDRALLPAVTLAVLNLARINEVVYYGGNDAYTFAGDLE >Sspon.05G0002470-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:8172717:8176610:-1 gene:Sspon.05G0002470-3D transcript:Sspon.05G0002470-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSDPWMKEYNEASRLADDISSMIADRGSLPQSGPEIMRHTSAIRRKITILGTRLDSLESLLGRIPPKSITDKEMHKRQDMLSSLKSKAKQMATSFNMSNFANREDLLGQSKKADDMSRVAGLDNQGIVGLQRQIMKEQDEGLEKLEETVLSTKHIALAVNEELTLHTRLIDDLEDHVDITNSRLQRVQKRLAILNKRTKGGCSCMCLLLSVVAIVILAVIVWLLVKYL >Sspon.05G0021020-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:69535020:69538258:1 gene:Sspon.05G0021020-2P transcript:Sspon.05G0021020-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Root phototropism protein 2 [Source:Projected from Arabidopsis thaliana (AT2G30520) UniProtKB/Swiss-Prot;Acc:Q682S0] VMVPKCGYIRRAVAAAAAASSKGDDDDDGDPTATVVELDLSALPGGADAFEKAARYCYGANFEITPANAAALRCAAAFLDMRRPSADLGRRVDEFLARLGLRTLPGAVAVLRSCEAPDDDLLRAAAEELGVARRAADAVALGVCREALFPMTTASSSRPPGWWKAELAALSPRSFGKVVKALRCRRADPAVLAAAAGSYAELVLDEVLAAPRDCADQRALLESVVDVLPSSADAPGIPAAFLCRLLHAAVATEASAKACRDLELRVAAVLDQATAEDLFGVALDAAGERVKNADTVRRVVTAFVERQRQAAAPESERRRSRRASMSGTTAATELGAGTAGALEKVARTVDEVAAEIATEEALPISKFVGAAGAVPKDARPSHDCLYRAVDIYLKTHPALDEIQREKVCSVMDPLKLSYQARLHASQNKRLPLQAVLSALYYDQLKLRSADAAAATSMGDVVADTQSSSAAGRVRAQAKADAALARENEALRLELARMRAYMSGMQHSKGCESSTPSSPSRTAKKSTFLRSELARMRVYMSGMHHSKGSGTTPPSSSVLESVSRSLSRLNPFRGEWTTNHTGSIAVGGRQCKTMHPHHVVTPKRRSSKTASSSALFPLGSLLRSSLFI >Sspon.02G0024130-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:84478396:84481925:-1 gene:Sspon.02G0024130-2B transcript:Sspon.02G0024130-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DEAD-box ATP-dependent RNA helicase 58, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G19210) UniProtKB/Swiss-Prot;Acc:Q3E9C3] MAVAAAFPSGALLPTTPGTHLAPFTLRFPFHLRPRCAVLAAATLREVCAGRVPDHVLQRAEEVGYVVPTEVQEQSLPLLLSGQDCILHAQTGSGKTLAYLLSVFSTIDFSRSSVQALVVVPTRELGMQVTKVARLLAAKACTVMALLDGGMLKRQKSWVKAEPPAIIVATVASLCQMVERRAFSLQSMRVLVIDEICTKKERLHVLLSLLERDAPKSGIIFVAEQSERSKKAGNPPSTTVVAEFLRNAYKGSLDVLLLEEDMNFNARAASFSEVKGRGFLLVSTDIASRGFDLPQTSHIYNFDLPKTATDYLHRAGRTGREPFSRLECGVTTLITEEEHFVLQRFQNELKFHCEELPLESMFTFS >Sspon.05G0009150-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:26541691:26542766:1 gene:Sspon.05G0009150-1A transcript:Sspon.05G0009150-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKVDTFTPHNDGHLWRKYGEKKINNTNFPRYYYRCTYKDDMNCPATKQVQQKDHSDPPLYAVTYYNEHSCDSAFLPLSPSEFQLQTSSGKAVSICFDSSSGAAAPQEPPAAEATSASGGSPSSSAAAAARRGTPPEISNPPVLRRSETYPWGAGAGAVEQKPASCSTECHDAFSGAAGAVLEEVK >Sspon.07G0009860-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7B:27300779:27302863:1 gene:Sspon.07G0009860-2B transcript:Sspon.07G0009860-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SDHTLVNPDHHGEQGSYRGAPARAAARAHGHGRSQAAVEPGGPLVASCILQNVVQLVSVMFVGHLGELPLAGASLASSLANVTGFSLLAGMASALDTLCGQAFGARQYGLLGVYKQRAMMVLALACVPIAVVWANAGQILVFIGQDRDIAAEAGAYSRWLILGLVPYVPLACHIRFLQTQSIVVPVMVSSGVAALGHVLVCWALVFKAGMGSKGAALSSAISYSFNLAMLALYVRISSACKRTWTGFSTEAFRDLLRFTELAIPSAMMVCLNTGALLFMVPFGLCTAISTRVSNELGAGEPQAAKLATRVVMCIALSTGLLLGSTMILLRNYWGYMYSNEPEVITYIARMIPILAISFFTDGLHGSLSGVVTGCGEQKIGARVNLGAYYLAGIPMAVLLAFVLHLNGMGLWLGIVCGSLTKLVLLLWITLRINWEKEAIKAKETVFSSSLPIA >Sspon.03G0014370-3C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3C:64802458:64802805:-1 gene:Sspon.03G0014370-3C transcript:Sspon.03G0014370-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPARALPLPLLMLLALAALARASSGDVPAAAASLGWDLGAVGAGEDEEFGFLPGAGDSVARRVLQGGGYLSYGALRRDNVPCSVRGASYYNCRPGGQANPYSRGCSAITRCRG >Sspon.08G0023850-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:59456491:59457758:1 gene:Sspon.08G0023850-1B transcript:Sspon.08G0023850-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLEVPLPDAMVICIKELKRNRECRPRLTRPKRVMAPPRTVCKRTPTQVPLRPSPCLCLFPTPARHDVAASERETKSASYAYGRPYKRRLPPVACVSSPTKTNRPNSNRLITVAAAMEKLVAMMAFCEAPLDGTLAPSGTAGGYGASATGGSNVVALLDAAAKEWPKMEKLVASMAFCEAPLDGTFDGTSAPSSGASGYGSSATGGGDVVAFLAAAAKKWPVERLVATMAFCEAPFDGTFECTTSAPSSGAAGGNGASVTNVPEARGGSGGEDAAALRPAWLADAKKEWPLREHRLRCEKAGNVVDGLAIFETVALE >Sspon.06G0010520-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:45232971:45235676:1 gene:Sspon.06G0010520-2B transcript:Sspon.06G0010520-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding TMALSELQARARFVQSSAASAGLHFDEDRWLSRVRQSLEREAADALGAAAKVFDVPRLLRATRPDAYLPQHFALGPYHCGRPELRDMERYKLAAAKRAEKLFAAGRKFDHLVQQLLQDQDKIRAPYHRFLELSDQTLAWMMAIDTCFLLDFLESYHRDEVTDMVSSATNWINATVRDAMMLENQIPLFLFSQALALRHPKGEQAAADALHAVLDRFIKEVSPIKTTAELVAAEVARHAHMLELLYHFLVPDATVFDGGDGDREPPPMVPEEFTIDMLDPSQQLPDYDKVKQACLQVSSLDVAPVRFIRKNLISRPMSMASSLPGKIMRKVPLLSAVAPLVTKLMASTDVEARLKGVNLGGIISSPLAQEIMIPSVATLARWGVRFVPTPEGIAGISFDAATATLSLPIITLDGNTEVVLRNLVAYEAVAVRGPLVLARYTELMNGIIDTPKDVKILRQSGVVVNHLKSDKEAADMWNGMCRATRLGKVPRLDAAIREVNAHRSRRAAARAQKLLKKYVFRSWRILTLLAAVVLLLMTAMQTFCTVYPCSRWFGSVFQMPQVGGTQ >Sspon.03G0011370-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:37863985:37873884:1 gene:Sspon.03G0011370-2B transcript:Sspon.03G0011370-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGGLEDGVDGRPGNFSKERSPIQRAECKAHGSTSARKVQKADREKMRRDKLNEQFQDLGNALDPDRPRNDKATILGDTIQMLKDLTTQVNKLKAEYTSLSEEARELTQEKNELRDEKALLKSEVDNLNNQYQQRMRVLYPWAGMEPSVVMGPAPAYPYPVPVPIPSGAVPMHPQLQTYPFFHSQPSGTIPNACIPYMAYTQPSHPPTDQPSNQLNTPVALSSSHRSNSPAQDCRSKSSTLQQPSCGVRSSDVGDIATDLELKTPGSSCQSHAEIANNDSSSDLKTKKHCIKQINGCTLTESSSSSLCSSSGPPDVSNSAVDE >Sspon.01G0061550-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1D:94702520:94702927:-1 gene:Sspon.01G0061550-1D transcript:Sspon.01G0061550-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQYIFAVRRVSGVRPRVSTTFLAGRRLFCDSVCTSNSHFHRFTTDSRSRERKEKRTTRAIARVPDPSDALFSPEAPMQMQMQMQMQMPSGSARRIRVQSITGDEKRKMQVGREGKGIPFHGWEHRAGLRPSSVR >Sspon.03G0034480-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:74334397:74335615:-1 gene:Sspon.03G0034480-1B transcript:Sspon.03G0034480-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLSAAVLCALVAVQAAVLLATLPSAQAKELEVGYYSKKCKGVENVVKWHVVRALKANRRTGAALVRLLFHDCFVRGCDGSVLLDASSDNPHPEKEARVNIGLAAFDLLEEIKAAVEKRCPGVVSCSDILIYAARDAASVLSNGHVHFAVPAGRLDGFVSKAEEAQAELPDSTHDVQQLIDNFAKKNFSVEELVILTGAHSIGQGHCSSFRGRLSEPSSQINPAYRDLLNYKCSQGSDPPVDNNVRDEDYGVVARFTPGFTSRVRKVPDFLDNSFYHNNLAKIVTFHSDWTLLTHKEAFGHVVEYRDNGTLWDEDFSDSLLKLSKLPMPAGSKGEIRKKCSVVNHRLY >Sspon.05G0002170-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:2166142:2177050:-1 gene:Sspon.05G0002170-2B transcript:Sspon.05G0002170-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTRPSSTPASRFPQLLLVVVIACAVPGVRCSDRRFQHLDRVRELHRREGSSSAEQEAAARGLLARLLPSHSTSFEFRVISTVRVLCCLGIVGSEQCGGKACFVINNHPLFDGEGTPEILILGVSGVEISAGFHWYLKHYCAAHISWYKTGGAQLSSIPHPGSLPRVPDGGVLIQRPIDWSYYQNAVTSSYSFAWWDWYRWEKEIDWMALQGINLPLAFTGQESIWQRVFQRYNISKSDLDDFFGGPAFLAWSRMANMHGWGGPLPQTWLDDQLALQKKILSRMYAFGMFPVLPAFSGNIPAALKSKFPSAEVTHLGNWFTVDSNPRWCCTYLLDASDPLFIEIGKFDTFDENTPPLSDPNYISSLGAATFRGMQSGDNEAIWLMQALLHSVPVGKMIVLDLYAEVKPVWINSDQFYGVPYIWKVLFSLSANSMHYYPPFIFIHKKLVCMLHNFAADFEMYGVLDALASGPIDARLSDNSTMVGVGMSMEGIEQNPIVYDLMSEMAFHHRQVDLQVWVETYPTRRYGKPVKGLQDAWWILYQTLYNCTDGKNTPGLYVNTRQMYSTMPSNYIGKDASSDAYDHPHLWYDTNAVIHALELFLEHGDEVSDSNTFRYDLVDLTRQVLAKYANDIFLKIIESYKSNNMNQVTILCQHFLNLVNDLDTLLSSHEGFLLGPWLESAKGLARNSEQEIQYEWNARTQITMWFDNMETKASLLRDYANKYWSGLLRDYYGPRAAIYFKHLLLSMEKNAPFALKEWRREWISLTNKWQSDRKVFSTTPTGDSLNISRSLYIKYLNNADPLELEGTGSPRKSASL >Sspon.03G0045860-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:27236047:27236464:-1 gene:Sspon.03G0045860-1D transcript:Sspon.03G0045860-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSGKRPPLRRAASSARDPPGANSRKSWYSPPAAAREPRHGTMCGEERPCRTRPSRCSCAAAPPAAHADFTASSSPEASSEASHTTAPDAPRPSVRTRLSCFVVVITMVGIRKKKRMGFMMV >Sspon.04G0020330-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:71524756:71527628:-1 gene:Sspon.04G0020330-1A transcript:Sspon.04G0020330-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-prolyl cis-trans isomerase FKBP18, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G20810) UniProtKB/Swiss-Prot;Acc:Q9LM71] MASSALPSRTFHRRALPSSAPSHPSRETFAPCCLPGAVSRRRAAVQLLSAGFLTSVAPPLPSLAARRGRIVVPPKDYATAPDGLKYYDLVEGKGPIAEKGSTVQVHFDCIYRGITAVSSRESKLLAGNRSIAQPYEFTVGSLPGKERKRDFADNANGLYSAQAAPKPPAAMYTITEGMKVGGKRRVIVPPELGYGKRGMSEIPSLTFLNSGPSSIEGFDGFVLAELRVTKPSTK >Sspon.08G0006300-3C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8C:19482562:19484411:1 gene:Sspon.08G0006300-3C transcript:Sspon.08G0006300-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVLVVATTSDPASIGPAAAFLAMPGWSPGPPIAEGMESFTNGNVRLLKHERSIIAEDDLDQRWQEATGESVSEVIFLSKHTAVSKRPALTVHPIGPFFSHM >Sspon.02G0008330-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:24502484:24515143:-1 gene:Sspon.02G0008330-1A transcript:Sspon.02G0008330-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVGLPPGFRFHPTDEELVNYYLKRKIHGLKIELDIIPEVDLYKCEPWELADKSFLPSRDPEWYFFGPRDRKYPNGFRTNRATRAGYWKSTGKDRRVLHHGGRPIGMKKTLVYYRGRAPQGVRTDWVMHEYRLDDKDAEDTLPIQDTYALCRVFKKNAICTEVDGLQAQCSMALLEGACRQLLTSGSQEYQTPSPDVPVGSTSGGADDDADKDESWMQFISDDAWCSSTADGAEESTSSSWEAMAPADLPPGFRFHPTDEELVNYYLKRKVHGLSIELDIIPEVDLYKCEPWELAGNLKSKDRKYPNGCRTNRATQAGYWKSTGKDRRINYQNRSIGMKKTLVYYKGRAPQGLRTNWVMHEYRIEESECENTMGIQYSRSSSLISHRRAEDRERETYTHVVTMAPVGLPPGFRFHPTDEELVNYYLKRKIHGLKIELDIIPEVDLYKCEPWELADKSFLPSRDPEWYFFGPRDRKYPNGFRTNRATRAGYWKSTGKDRRVLHHGGRPIGMKKTLVYYRAARRRGSAPTGSCTIFKKNAICTEVDGLQAQCSMALLEGACRQLLTSGSQEYQTPSPDVPVGSTSGGADDDADKDESWMQFISDDAWCSSTADGAEESTSSSWEAMAPADLPPGFRFHPTDEELVNYYLKRKVHGLSIELDIIPEVDLYKCEPWELAGNLKS >Sspon.04G0006290-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:18709179:18712132:-1 gene:Sspon.04G0006290-4D transcript:Sspon.04G0006290-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rho GTPase-activating protein 3 [Source:Projected from Arabidopsis thaliana (AT2G46710) UniProtKB/Swiss-Prot;Acc:Q8GYY5] MALSSEIRFGHQTPLSHSDTDSYEEEEEEEEEEEEEEEFEGEEEEEMDEVTVSSPLMLRATEARGGVSVVEMVTGALRRSLMLCSSSAGAGVREPEELEEDGGGATPPGIQIGGPTDVRHVSHVTFDRFVGFLGLPADLEPDVPHPVPSARSVFQHLCSPHYLICAEGIFRINADNSQEQYVRDQLNRGVVPDGVDLHCLAGLIKAWFRELPSGVLDSLTPEQVMHCNTEEECSHLASTLPPVEAALLEWAINLMADVVENESYNKMNARNIAMVFAPNMTKMADPLTALIHAVQVMNFLKTLILKTVNEREEAAKVNRAFPSSSGSPSDKDEPQTLEHLDMPFVCSSQQNVDYPIIDEAKLDQFLFRVEEALHHETQGSTDGPKNHDSSRGDQKSNSEITPLDTDLTSQTEFSNSNEEGLFDKFKFRKGVGRLCRHPVFQFSRSMKKSDEAEQACV >Sspon.01G0003460-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:8316502:8316696:-1 gene:Sspon.01G0003460-2B transcript:Sspon.01G0003460-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAVLVAVVLMQCCNVMVATRPLLMEAPAVATADGGWLGLIMQVLGGPGGNNHNCQAPNGSCP >Sspon.02G0018270-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:54461168:54461663:-1 gene:Sspon.02G0018270-4D transcript:Sspon.02G0018270-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTYKRKKSKHKNKETSTVSAKTVSAYNPSDSCCQGVTVPTIMGEGTNENSCGMCDHAGSHHDMAKNGIANDDCRMMTQSLN >Sspon.02G0007860-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:22176130:22181344:-1 gene:Sspon.02G0007860-2B transcript:Sspon.02G0007860-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKAASFLSSLLLGGGGGGGGGGGGSPEPAATVQSITVYPIKSCRGISVPQATITATWFRWGQQWMVVNAKGRAVTQRMEPSLALVEVDMPPEAFADAEDRQPDPDSNSHMMVVRAPGMDPMKIPLAAQHDTIDDVTVWEWSGSAYDGAGAAAWFSAYFGKPSRLVRFKEGSASLDALNEILKEPVPMNRFRPDILVDGCHPYSEDLWKTVEINKLTFMGVSPLINVIKGAPFTLKATTYEIPFLSFCTGCFRFLTLIKKKAIPGTEPNETLRTFRSGEVLRPSHKNKRQVYFGQNLVCKESLSAEGKGKTIKVGDPDWFIETQLSSPTTAMLPMNVDAAVSSSSQQAGLRNKPRLAEFGKHLSPMLLGSPTYLMDWDAIAAIALVGIVFIPIGLACMAASNKVVEVVDQYETACIPEKMYDNKVAYIQDPSTDKSCLRFLKVHAHMKAPIYVYYKLDKFYQNHRRYARSRSISQLGSPKMAKDTKTCSPEATAKGGGPIVPCGLVAWSLFNDTYYFARGNETLAVNRQGISWRSDRGHLFGNRVYPRNFQIGALVGGGTLDPNKSLSEQEDLMVWMRTAALPAFRKLYGRIEVDLYAGDQIAVLVQNNYNTYSFGGKKALVLSTAGVLGGKSSFLGRAYLVGGIACLALALLLTLLFLLPARAPAS >Sspon.03G0015630-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:73654722:73668794:-1 gene:Sspon.03G0015630-2B transcript:Sspon.03G0015630-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MYTTPKPHRKSPLPKEKKKPILTPQGIGPQRSKRASSGLAKSRKASDSKLDYSDITVELCTVDGLVKESTQCAPNGYYFIPVYDKGSFMVRVKGPKGWSWKPETVPVIVDHNGCNGNEDINFQFTGFMISGKVVGAVGGKSCSKGGGPAGVKVELMTDSDELIASASTSSSGEYSFTNIIPGRYRLRASHPDYEIELRGSPEVDLRFGNVVADDVFFVSGYDIYGTVVAQGNPIVGVHLYLYSNDLTEVPCPQGFSDAPREGALCHAISGADGKFMFRSLPCGSYELLPYYKGENTVFDISPSSLRVSVEHSHLTIPQKFQVTGFSVGGRVIDGYGAGVESANVVVDGQLRAITDSLGYYRLDQVTSKKYTITAEKDHYKFNRLENFMILPNLASIDDIRSVRYDVCGIVRTVTPNSKAMVTLTHGPENVKPQRKLVGENGQFCFEVPAGEYQLSALPVDSERSSSLMFSPGSISINVNSPLLDLEFSQSQVNVHGKVSCKEQCNQNILVSLVRLAGGIEQEKKTTTLEQDNVSFVFKKVFPGKYRVEVKNSLPEGSAKDDWCWDQSTLNIDVGTDDVRDIVFVQKGYWIELVSTHDTKAYIQQPDSSRLDLSIKKGSQRICVETSGQHEIHLTNPCISFGSSSVLFDTANSVPIHISAKKYLVKGEIHVDMSSLQENIDSKDIVVDVLKSDGSFIEKISPSLVLGKDNQNDFTAFEYSIWADLGEDFIFYSVSVNGCQDTVPLITAKTGLYLEGSVLPATSDVDIKILAAGKSNYAHLNKGDVATETKTDSEGSFFAGPLYDDIAYKDGYHLKQTGPYTFSCQKLGQISVRIYGENSELLPSVLLSLSGEEGYRNNSISSSGGTFTFDNLFPGSFYLRPLLKEYKFNPSAVAIDLNSGESREAEFRATRVAYSAMGSVTLLTGHPKEGVFVEARSESTGFYEEATTDSFGRFRLRGLVPGSTYSIRVVAKDNLRFAAVERASPEYLSVNVGREDITGIDFVVFERPEVTILSGHVEGDGIDTLQPHLSVEIRSAADPSRVESVLPVPLSYYFEVRDLPRGKHLVQLRSGLPSHTHRFESELVEVDLEKEPQIHVGPLKYKTEERHQKQELTPAPVFPLIVGVSVVALVISMPRLNDLYQSAVGMTSLGSGMAPIKKEPRKNIIRKRV >Sspon.05G0004140-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:12553487:12557937:1 gene:Sspon.05G0004140-1A transcript:Sspon.05G0004140-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTRKRDTAKSSSPTRPPSPLVRCRPRFGARSLALGGREIESTAGPHPFSTDCWRSWEATPGRRPRTRSGGPDLRRCDSSIQGFSSRPSRPANRRPPNRLDIKDPEHPYSLEQLNVVTEDSIELNDESNHVRVTFTPTVEHCSMATIIGLCIRVKLVRSLPPRYKVDIRVAPGSHATEAAVNKQLNDKERVAAALENPNLLDMVEECLSPTFD >Sspon.03G0023280-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3C:50062648:50062833:1 gene:Sspon.03G0023280-2C transcript:Sspon.03G0023280-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVKAYLLLFTAFFFSGLMQLSMAQDKQPAAVRVIDAKGVDQAIAYLLMFAALFVTYFAH >Sspon.08G0009110-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:40173081:40177964:1 gene:Sspon.08G0009110-1A transcript:Sspon.08G0009110-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MACLCPLQLLLLLTAAAAASASGADEAHVSVAVAEKGLAFAKDVLIGEAVRSLTPLRLPGVEKAVRVPFLGGVRVAASNITLFHLDVGDNSTIFPGDSGLSSSPPASPPTSVCTGATATIREIADSGTASILVQGMEVGITMVIKNNNGSLALSSSQCGCYVKDLVISLDDHIKAAVEKAIPENIIEGAGKLDSFLQGLPRTISLDDVAAFNMTFINDPHYGNSSIEFDINGLVTSAVAKTTNLQKHPQLSLACGGASKMLLLSLDEDVLNSALEVHFKAGSMHWVVDKVPDQSLLNTANWKFIIPRLYWNYPNDDMLLNISMASSPVIRITSEKIEATINADMVIDVVDGKETVPVACISVIVSASGVVDASGNKVYGRVGLDNFSLALKWSKIGNFHMSLIQGVIRVFLNTVCMPYLNSRLGNGFILPVVHGFTLQDVYVLTSAEQLTLCSDVTFNASSLASLSLM >Sspon.01G0031980-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:108909335:108915512:1 gene:Sspon.01G0031980-1A transcript:Sspon.01G0031980-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSRRGGFGGGGGGGVKVTPNLAWDREGTRMLNLSVLRRLDPAVADILITAAHVVAYSFDEHEREWTRKPVEGSLFVVKRNTQPRFQLVIMNRINTENLVEDLLTDFEVEVQVPYLMYRNAADEIIGIWFYDPQECQEVAHLFSSVFEEPEAASGPYALPVVEDTLEQPTSPTMDDDDVEEFLLTPSKAATCVHTIGGTGTVQPNQSFRAIPSPSHGSHNSTAPHSSSLHSLLPSQTSSVTLRAFDAHRPNSSTTIQPASLLDSKPPLLAPMASTHSTVANAASSLPTVPPLHPPFADWQPKSAPLLHPFPLPTVPPVPPYGMPLLQPFPPPNPLPLLTPSASYSQVVTREQVGAALLRLAQNDNFIDMVYREMAKRPLTRSEGTGMFPGIQLAACAVGSCTQHLSILKEADSWSRVEKDACCFEKFTD >Sspon.07G0036370-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7D:32903535:32911424:1 gene:Sspon.07G0036370-1D transcript:Sspon.07G0036370-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to Rac-like GTP-binding protein 1 [Source: Projected from Oryza sativa (Os01g0229400)] MIQLQHINSEGTPPRADRRREPTEPSESWNLQRPKEAERTKEAPATRVPLTNPNGASTKQKNATRIVARQAQSRGANREAYKSLDLTIEGPKKNGFACPKPQRQNKTCQQFGEQIKMRFATPTPLSLPQVVYNKEKKLLLAAFTQAHCCRQPRLVGRTGVVNQVLLARAAADGLAAGRAMSAAAASSVTKFIKCVTVGDGAVGKTCMLICYTCNKFPTDYIPTVFDNFSANVSVDGSIVNLGLWDTAGQEDYSRLRPLSYRGADVFILSFSLVSRASYENVLKKWMPELRRFSPSVPVVLVGTKLGEDRSSQFKLSVTADLREDRSYLADHSAASIISTEQGEELRKQIGAVAYIECSSKTQRNIKAVFDTAIKVVLQPPRRREVTRKKMKTSSNQSVSYWCNSTVYGVIISVRQTYARKRKRPRKIAAAEDIAEEDNSDNDERDEAQGQQSESKVGMDEDEDSSATIAATRGAFQLNDDWLN >Sspon.07G0025960-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7C:50161216:50161689:-1 gene:Sspon.07G0025960-2C transcript:Sspon.07G0025960-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VGVKKLAPVDPLLPLLLLAPTFPSPLSIPVGLLLHRAKSGSNFFLPTLDSTAASIGAAPNPASAPAIPGVAGADTGDLPNAVAKLDASDPARRQWLPSPARPTRRGGSPPGAAAVPNADSQVRCGFLGASPRHDDSPSLRRGWPSSSMDYEIGKRMI >Sspon.01G0014190-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:39754556:39757829:-1 gene:Sspon.01G0014190-1A transcript:Sspon.01G0014190-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPSAAAVTAAEVGAPASLPPPVAEEADSAAMEEASAAAKRWPGWPGDSVFRLVVPVLKVGSIIGRKGELIKRLVEETKARVRILEGPVGATERIVLVSGKEDPGLELPSAMDALMRVFKRVIGITDGAAEGTQATATPGVCAARLLVPGAQAINLIGKQGATIKAIQESTGATIRVISVDERERPFYVTDDERIVDIQGDTEKVLKALQAVSNHLRKFLVDHSVLPLFEKTNTTVSQDRNGDGWSDMSHPSIGSAQVNQPPSVVDEYILPVKRDSLYLEREPLVDHNIHRSGVSLYGRDPALSTLRPSGIHGAGPLLTQITQTMQIPLTYAEDIIGVKGANIAYIRANSGAVVTIQESLGSPDDITVEIKGTSSQVQAAQQLIQDSLAAHREPVRSSYVGAGLDSVYRSSYSQYGSSTYPSSSLPSYSSIDDVSRYPSSGLGGYGSSYRY >Sspon.01G0003310-4D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:64726269:64729800:-1 gene:Sspon.01G0003310-4D transcript:Sspon.01G0003310-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding METEFQDGKQEVIEAWYMDDSQEDQRLPHHRDPKEFIPLEKLSELGIISWRLNPDNWENDENLKKIREARGYSYMDICDVCPEKLPNYETKIKNFFEEHLHTDEEIRYCLEGSGYFDVRDENDQWIRVAVKKGGMIVLPAGMYHRFTLDNDNYIKAMRLFVGEPVWTPYNRPHDHLPARKEYLDKLLKPEGQAVEAR >Sspon.04G0001040-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:4162231:4165457:1 gene:Sspon.04G0001040-1A transcript:Sspon.04G0001040-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MESRWRKAKMSLGLNLCVYVPRTLEGDGASSPNTASSTAALVSPVASSSSAATSTNTTPTAEQSSANGKVNGAGAGALMPTTPTPTSAGLRLSKSGSKSFKKTCAICLTTMKPGQGHALFTAECSHTFHFHCISANVKHGSSSCPVCRIKWKELPFRGPLPAELPQGNVRINPVNGYQNGGHMNILRPLPRARSSGRLHHLASFLPDTDPSTFNDDEPLDLLCEDDTQQGCLRTVEIKTYPEFTEVPENSSERNFTVLIHLKAPLAQHLQSSSNLGDGNGLSTTRAPVDLITVLDWKYGGTKLALLKRAMGFVIQNLGSSDRLSVIAFSSSARRLFPLRRMTESGRQQSLLAVNSLTSNGGTNIAEGLRKGSKVIEERQAKNPVCSIILLSDGQDTYTVSPTAGVHKGAPEYCALLPSTNGNQQVPVHVFGFGADHDSVSLHSISQTSGGTFSFIETEAAIQDAFAQCIGGLLSVVAQGGEPPPDVHFGSIRSGSYSSRVSDDKRNGSIDVGDLYAEEERDFLVSVNVPPGYGETALLKVGCVYKDPLMKETVNMADVQVRSPGQHSSQYKACQSRWTARRTVFMQPR >Sspon.02G0022380-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:68457983:68460369:-1 gene:Sspon.02G0022380-4D transcript:Sspon.02G0022380-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSAAAAPKATAFASGRLSASSPARPRGPSSTSLPSTFVGAFPRAVAARRRRRALPAPAPARAGVTVRAEAKKSVLIVNTNGGGHAVIGFYFAKELLAAGHAVTVLTVGDEGSDKMKKPPFSRFSELTSAGGKTVWGDPADVGAAVGGASFDVVLDNNGKDLDAVKPVADWAKSAGVGQFLFISSAGIYKPTEEPPHVEGDAVKESAGHVGVEKYIAEQFGSWASFRPQYMIGSGNNKDCEEWFFDRIVRNRPVPIPGNGMQLTNIAHVRDLSRMLSLAVEKPGAASGKIFNCVSDRAVTLSGMAKLCAAAAGVAAVDIVLYDPAAAGVDAKKAFPFRNMHFYSEPRAAKTALGWRSSTNLPEDLKERYAEYAASGRGEKAMAFDLDDKILAAVGKAPASVAV >Sspon.07G0016140-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7A:57558537:57560823:1 gene:Sspon.07G0016140-1A transcript:Sspon.07G0016140-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding QVKSKVNIKGHLHTYRFCDNVWTFILTEASFKNEETTEQVGKVKIVACDSKLLGQ >Sspon.03G0030930-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:23626825:23629314:-1 gene:Sspon.03G0030930-1B transcript:Sspon.03G0030930-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLTPRLVVPIDVKKQPWEQKVPLHNRWHPDIPPVADVTEGELFRVEMVDWSGGRVKDDNSADDMKFMDFTIAHYLSGPLRIVDSEGIPASPGDLLAVEICNLGPLPGDEWGYTAILERENGGGFLTDHFPSARKAIWYFEGIYAYSPQIPGVRFPGITHPGVVGTAPSVELLNIWNEREKRLSETRPETIKLCEVQEGTAEWHKIANEAARTIAGRENGGNCDIKNLSRGSKVYLPVFVEGANLSTGDMHFSQGDGEISLCGAIEMSGFLELKCEIIRGGMKEYLTPVGPTPLHVSPIFEIGPVEPRFSEWLVFEGISVDESGKQHFLDASVAYKRAVLNAIEYLSKFGYSKEQVYLLLSCCPCEGRISGIVDSPNAVTTLAIPTAIFDQVCCQESSPTQ >Sspon.06G0007470-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:29247271:29249844:-1 gene:Sspon.06G0007470-1A transcript:Sspon.06G0007470-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVVRRVVAQETIQQIVHNLEPLIRRVVREEIQNMFSDHQDHMPLRSLPLSIQEADVLPSPLKLVFAKQLKLPIFTNNKLVDIANNPIEIQLRDTRTNYMIAPPDTHLGSSSVKLEVLVLDGDFQCDDRDVWTADQFNAAIVKAREGKRPLLVGTLSVPMNNHGVGVVDDVSFTDNSSWIRCRKFRIGVRIMPGGHLGSRVKEAVSGSFTVKDHRGELYKKHYPPLLTDNIWRLKNIGKDGPIDKRLESEGITNVQDLKLNTTDPEKLKALVGMSDRPWNATLNHAKTCDMGRKCYLFRTVGCDITFNPIGEVLGARIGDQTFALQELHPRQLFHVKQLATQAYQMWDQLEEVANEMPLAANKSFVPTSNSGREPSESQGSMISSGSQNAKYLDCTGTATSSAAAMSTNSSSTSDSTAAAPANDAMFWSPSIAPECDFSWQDSAGCWDQVD >Sspon.08G0004530-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:11014586:11018461:-1 gene:Sspon.08G0004530-2B transcript:Sspon.08G0004530-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAIPARLHHLLLLLVFVFILLLVPSLTAAQSSAFGGPPPAYARYLVDAAATPAVELYDYIVVGGGTAGCPLAATLAGPGGGRVLLLERGGAPSEFPALSTAGGFVRTLAMADPAPESDAPAQGFTSEDGVPNVRARVLGGGTAINAGFYSRAHLEWFRGHAEDAEVTNWDMPLVNASYEWVERLMTFQPAVHGWQAAVRAALLEANVTPWNGFTVDHVTGTKVGATTFDASGRRRSAADLLAFARPSRLRVAVRATVTRIITSPIDLAARRGRSPQPTIAAIGVVYQDRLLDQHQALLRPGGEVILSAGALGSPQLLLLSGIGPATDLSNLGIPVSADIPDVGKHMYDNPRNGISFIPSVPIDHSLIQVVGIPSANGTASYLEAASYIVPLAPELRSSSPFLGSSSPLYVTVATIMEKVPGPLSEGSLWLSSTNPLESPALRFNYLSRPEDLARCILGVRHVAEVLEGRALDGFCSAVGLTNRRGGSVRRDFRIVGTALPVDWRTNDRALANYCQHTVATLWHYHGGCVAGKVVDRNFRVIGARAIRVVDASTFSETPGTNPQATILMMGRYVGLKMIEERHSRRPLPQDMSFRMF >Sspon.04G0003810-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:43327135:43327407:-1 gene:Sspon.04G0003810-2B transcript:Sspon.04G0003810-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRNNSFGTSWADQWDYGGDPSPRAPRDHAHGGKAAAGGVGEKTKAAAATGIRKVKEGTAQGFQWIKDKCQRKNGGGGGKKQQGSEVPGY >Sspon.06G0025140-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:62347908:62352522:1 gene:Sspon.06G0025140-1B transcript:Sspon.06G0025140-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTLNCVDTTSGAKLQQQQAAPTSPTASVSESNIVVPSSADIDANDALASLQALRFDGDIDTNGRLGGDFLMFSPRRDFTATGSPRRDFMVSSPKRDYMVSSPKRDYMMSSPRRDFMVSSPKREYMVSSPRRDSSPRRSPFSANLFSTGGGHQQGYAHGLDQGSGAAGQQLYGGLVANHGKGKSQSPLHKVYINSHSNSGKQSTGPSSLSCSSSYGHGENLSLPSMESSFLDDTRYQQMLGKQAVAGIMQNGGSSATVTTVAPPSSSQLPTLSECLSMPEPAYVGAEEAVAAAAAAAGLQMGVGLPSDLYYAAQFGGGGNGLTTLQHQMAKSDQWAAAADSSLHSMLGSVIQAEAEQPASPRKTTFMASRLPGQRRGERGAAGEEAPRWAWHGGESRRVTVHQSLEAKCHGARAKNGVRQDERGGEIVGAGLERGDQPSALRHLHLLRRVASPLGDSMQRVASYFADALAARLTLSSNPSSSSSGGGHATPRGSAGAGVAPYTFPPSPDTLKIYQILYQACPYVKFAHFTANQAIFEAFHGEDRVHVVDLDILQGYQWPAFLQALAARPGGPPTLRLTGVGHPSAAVRETGRHLASLAASLRARAPPPGALQRRVGEALAVNAVNRLHRVPGVHLGPLLSMIRDQAPKIMTLVEQEAGHNGPTSWAGKFLEALHYYSAIFDSLDATFPADSAPRMKVEQCLLAPEIRNVRVARHERLDRWRRLMEGRGFEPVPLSPAAVGQSQVLLGLYGAGDGYRLTEDKGCLLLGWQDRAIIAASAWRARRSSYIGAASAES >Sspon.08G0022100-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8C:48111764:48113161:-1 gene:Sspon.08G0022100-2C transcript:Sspon.08G0022100-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLGRLLALMEDEAAAPRRECWVSKVDADAVKLKVAMPGLGKEHVKVWADQDELAIEGEGDKDTEYDDDEEAPAWYGHRIEFPADTFKTRTEPRRGKTGLWPLLSSEKFLQLVAADSGIQWSASYSIITLRHCFKMDQIKAEMKHGVLKVTVPKIKLEEREDVFVVK >Sspon.01G0011570-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:74563117:74563449:1 gene:Sspon.01G0011570-2C transcript:Sspon.01G0011570-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALNKNTNTGTSALLLLSLVIFSHLFLASQGRPLPTGSYITAAVHGRSLLSHGSGSVPKGMLEGTVSPSSEIHGDNGSMVGADDVRPSNPGHSPGIGHAFINENGTGRKL >Sspon.06G0006620-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:24043910:24046583:1 gene:Sspon.06G0006620-1A transcript:Sspon.06G0006620-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCRSQAATAVCVPGDARSMVVARRADRTVVAGDDDARALHDVRYARLGSAGDDGGSQRRSTSRRFAAPRAAPPPTATASATCKPRVERQRRASRGPVAVTLPMVTKSPSKEAPPKDLAAAAKRVQAAAAVAAAPGDQLLQVVVMKVAIHCQGCAGKVRKHISKME >Sspon.07G0019020-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:69191717:69196782:-1 gene:Sspon.07G0019020-1A transcript:Sspon.07G0019020-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQILLRRLSAHSHAVRGQGCRRLSCAVYGGGGRRRAGAATAPPQDDESSRAVRVSVWWDFENCNIPNGVNVYRVAPRVSAALRAAGIRGPLSITAFGDVLQLARSSQEALAATGVSISHVPSSGKNSSDRSFMADLVYWIAQNPPPVHFFLISGDKDFANILHRLRMSNYNVLLACPSHATSVLCSAATIMWPWDGLVRGEDLSPKRFNHPPDGLHGSWYGHYRGALDDPSLEKESKEPIKVASDPKHFSVSSDTKPIPVPKYVTNAILEVLRSYPEGMRISDLRVQLIKNRIDLGTDFFGHMKFSCLLESMPDVVKLVGPPNGENEPYAIPVNKRLLQPGDRALDGPFLEKESEEPIKVPSESQHSVPSDTKPSSVPKYVTNAILEALQSYPEGINLSILRGELKKKKICLGSDFFGHKKFSCLLQSMPDIVELVGLQTGDVYAIAVNGRLLQPGDGCTKTLSSAQCNVRENNPTGTAHTNKKHTLSSSQSIDRSRSFTETLSEHPPTFSVLTSPSNGLSEDQNECPMADLSGSTESPAKHREVDEMTTPGTPSSSGMENAANKDGFFKRIWTMWNGPENVKSEVSQNCESASAEVIDDLEIPLEECNADRCIKLLRRIHKTSSKNDRSDGTESMAAVSDNLSISLGDDHSEKIKRDPSIPENPEPCRKPVAVSMSKSGKKDDISEMNWGLFNWASRWWTFGKSDVDSSVTNINAADELKTDSIEEFESSNAPTYGSAQQVVHEIFTKPDLWAVLEQQLSEPLGSEVILKAKTREELAHGLRKLNCWPLKGLLEKDLHHLVHLLISEKKWIEETSSRIFPFRLTLPHKRKCVPSSSSKSNGLSFIFSSGKPQKGKYVDDKSRKSKSFTREEILSDCHKLLKELLSESEYGFHIGIFKRRFTQKYGYELDHRKLGYPDLESLLQNIPDARGYAFRTWERPGGSKGNGNRSNGDDLIWEELGPVSSTTETAAAGVNKEMCYCPPTPSDDEFSDSDSVKDQQPRRNAEQQSSLLQIIGSWNSSKGDGSSKKPQDIDGLVDCSRRSPGNLDSMASGKAQTSSKLSHKQYSFVSESGEDSEPDKLVESVLGSLQKARGSKLHN >Sspon.01G0059510-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:58715136:58730750:-1 gene:Sspon.01G0059510-1D transcript:Sspon.01G0059510-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWPQPNFPPPVNWDALDALVLDFARSDRLVAPPPAAPAPASPSPPSSPSSSTTTVTSSAPSSSSSSSSSSYRSRLLIRCARRALELGDVDAALALLRAHAPAALADHRLLFYLHKQVRAIPSVSRYLRRLWFKLRHDSICVAVQRFVELVRRGTEADRDAALDCLRTALAPCALDAYPEAYEEFKHIMLVLIYDKDDQSSPVVNEWSIKKRFELAGLLSSILRAHLEAYDPILSLTLRYLISIHKAFCKRQGISSPISDLTEQLLFEDRDPPVVDVQALAHAVELTRQGAVDSLKFAKGNLYQAFQNELCRMKLDLTLLDKLVHEYCVYRGIVEGSSHVLSGTADLKCKQNNDVNNETQLECEMTNSQNGHCSTSSITRDDSWSRRLHRVRNSMSGQRRRKRWRGRLDDLDYACDVLLDSSKHDSLFPTLDMDEDTMVEKQDLVVNFNLSDNIHMEDQKYEIILEMRDLTRKGLASKVVEEISSIDPDFFQQNPILLFQLKQVEFLKLVAAGDHVSALKVASTHLGPLAANNEALLKPLKETLVTLIQPNEDVLMNALSLPVLASSLQVAMSRRFGIEEPQLMKLVRTTIHTHTEWFKLQMCKDRFEHFLKIDSLKEVDPSLGSRSMSKVFTDECGNGSSQITTCSSGKVPDEGSSPQVSSEVSCDENAILKVMEFLALPRADAIQLLMQYNGNAETTESSSAK >Sspon.06G0028750-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6C:11214289:11214753:1 gene:Sspon.06G0028750-1C transcript:Sspon.06G0028750-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MCAPAFTVQGAGLRRGSPVASRDRDRKQRHCVAPLGLGHAMYPDKKLRFPAVSVTLQSAESEAAGVFILSFAATTVYCGRREAWKSQDVFAMSSGSGGFTAQDGPAAVSPPSCLISLWEKTERYPSLCGGAPCPVKQNAKASTSSGAWKEPSTE >Sspon.03G0029030-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:20124303:20126785:1 gene:Sspon.03G0029030-2C transcript:Sspon.03G0029030-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNPPELYHKILNIPKDTSPQEIRAAYKNLVKKWHPDKHPPSSKPEAEARFKAISEAYEALLDQQENRAVFGLCNDGRAGERAGGGGGLGAGVGQRMERTRSDDFCTRSAPGTPAREFKKVYSSGNPGGRRAFAEFSSSIMRKAPPLERKLECTLEELCRGCKKEVKFTRDVVTKNGSIVKKEVTQMVLVKPGWKKGKQIVFEGMGDERPGCLPADAIFTVSEKKHPTFKRVGNDLVLKAEVPLVSALTGWSFSFRLLSGQKVSCAFHDEIICPGYEKVIGGEGMPIPEHKGARGDLKVKFEIVFPKELTDEQRAGLTEILRGSC >Sspon.05G0019600-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:85758562:85765764:-1 gene:Sspon.05G0019600-2B transcript:Sspon.05G0019600-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQLAPTPRPSPAAAARRRPRPSTSACICCSSVHELERSPSPRPRPGASLREAKRVVLVRHGQSTWNAEGRIQGSSDLSVLTPNGESQAETSRQMLLSDSFDACFTSPLARSRRTAEIIWQGRDDDLIPDSDLREIDLYSFQGLLKHEGKERYGLLYRQWQKNAANFSIDGHYPVQELWGRAQSCWERILAHQGKSVLVVAHNAVNQALVATSLGLGAEYFRILLQSNCGVSVLDFSPRTGGGPPSVCLNRLNQTPNSPVAGGSSAGRRTSKRIILACQGATQSSSEISLGGMGYAPLNMLGTIQSQKTAELLLDLKVNSIICSPQVAAVDTATVICEIQEAADCLGADCVPRYVEMKKLIELEIEDAYQAKQKSFGEIVQSGWVGGMEYKLLERLWAQSKDAWKALLNELADDTSERVLVAVGHPAIHLALICRCLDLPMEYMSSFHLDDGSISVIDFPDGRWAKRKRYHQMHKLHSPSGKMLGKQKSSMSCLHPVEVQILATHSRV >Sspon.02G0027540-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2B:102078142:102080352:-1 gene:Sspon.02G0027540-2B transcript:Sspon.02G0027540-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNAJ protein JJJ1 homolog [Source:Projected from Arabidopsis thaliana (AT1G74250) UniProtKB/Swiss-Prot;Acc:Q9C911] MASAAAGAPKRCYYEVLGLPRDCSPTDIKLAFRRLALSLHPDKQPPGSDLAAATAAFQELQHAHSVLSDPQERAYYDSHRSQILFSDPASAGAKSASPVPDLFAFFSSSAFSGFSDTGRGFYKVYGDVFDRVFAQELAYARRMGVPEPAAPPVIGNLDSPYAQVTAFYNYWLGFGSVMDFGWAAEWDAARGENRRVRRLMEEDNKKAMHKARREYNDAVRGLAAFCKKRDKRVVDMALKKKAEEEKRKAEEKERKKEEEKRKKERAMAYQEPEWARAQEEEEGLNDEDEEEELRAKKKEELYCVACNKKFKSDKQWKNHEQSKKHRDKIAELRMAFKEEEESLKEAEEEGEGDWNEVDVGFDFKPTQESDDESVFSDAAEELAEEFEEGLEVHDKEDGDKVFDSAEQEVGSYDEASVLEAMLSGRKNRKGGYVAPEEALSGAAEDDDDHRSSDVNNAKRKGRRRRAAKEEKDEGNYADNEHHGRSEVQPEGSGPGNDVDDMMEGPSFSNDNSGSVSKGDKQNGENTNPKKNKKNKKGTEKKTTVSTDQKSTSKADQKSTSKGKKQKASGWTVVSG >Sspon.08G0010680-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:15318663:15320859:-1 gene:Sspon.08G0010680-1P transcript:Sspon.08G0010680-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAHLPAFKEKNPHLEVVTELFRGQHPNLKGIYKNHNERVVCVRNLPPEEILLQATRLRNSLGRKVVKLRTRHVTKRPSVQGTWTTELKM >Sspon.07G0038620-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7D:82875175:82876758:-1 gene:Sspon.07G0038620-1D transcript:Sspon.07G0038620-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLCMPAALPRSMLPYPIRLQPYLFSLLLPLLPVTRPTRASRSLLPQSHPRGWAHRLPLLALVVPSHASGQSPPSQGHPRSAAHAAGARALLLGADSHFEAGINLALTSPSPYVANRVMGHDPIAGGRGVVSRVPADRARGAPRVLWTGRVHPHLGSRSCLCEEREEGVKGKEQRAQGGARRMGAGYACRARRGGRGRTRVCSSERHGGRRWLAGDRSRGHAMAFFDGPFCRFGGIMPRLPIPSDVREPAILITK >Sspon.04G0030120-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4B:75738711:75739714:1 gene:Sspon.04G0030120-1B transcript:Sspon.04G0030120-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSNFFLECPHASEALIQSIDEEAKVCRASQRKLSSAGLPGWCGVALAPSLQRPPPSTNASHGLSTVPIPTPPACICLHGLPSRRRVPPSCSRKRLAIDAFGRRLTATATPRTDMRHARCWPWAVAHPDPRSAKQTPLGYHAAQPTQSAVRLPTAHHKHIAVWLHSCIVKSYAGGSSQDRPAKAATLVCRCLN >Sspon.04G0012880-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:44866322:44867632:-1 gene:Sspon.04G0012880-1A transcript:Sspon.04G0012880-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHQTAGEKSGIKKRFFHINEEILGAHPDFNDREKPSLEARIEMTATEVPKLAACAAANAIAEWGRPATDITHIVFSTYSGARTPSADLRLASLLGLRPSVCRTTLSLHGCSGGVRALHLAKDIAENNHGARVLVVCSEISLISYRGPTDGCVDSVLGPGLFGDGAGAVILGAGPVAGTERPLFETDAITTQFARGGMDYHIGKQVPTIVEQTIKQCLLDVTGSLGIDVVTWNDLFWAVHPGGRAILDSVEAALRLETEKLAASRHVLSEYGNMSSATVVFVLKELHRRLTAKDDGAEETAEWGAMIAFGPGITVEIMWPGLEGGSARIRLLGSGGTCNP >Sspon.03G0014190-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:44407961:44425937:-1 gene:Sspon.03G0014190-1A transcript:Sspon.03G0014190-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCVFGRAAASSPAAPRKKRGKERSSPQPEAGSPSAVAAADGDGRPRRRLGGRRAAGPRQGCVPAAAAAEQLAAGWPPWLVAVAGEALRGWAPRRADTFEKLNKIGSGTYSNVYRARDTVSGRIVALKKVRFDNLEPESVKFMAREILILRTLDHPNVIKLEGLVTSRMSCSLYLVFEYMDHDLAGLAASPDVKFTLPQIKCYMQQLLSGLEHCHDNNVLHRDIKGSNLLLDNNGILKIADFGLATFYDPRHKRPMTSRVVTLWYRPPELLLGATDYSVGVDLWSAGCILAELLYGKPIMPGRTEVEQLHKIFKLCGSPSEEYWKKSKLPHATIFKPQQPYKRCIRETFKDFPTSALPLVETLLAIDPAERQTATAALHSDFFSTEPYACDPSSLPTYPPSKEMDAKLRDEEARRLRAAAKAKGEAKRTRARDRSRRAGPAPEANAEIQANLDRCATGYGLRLRPGGRVVPCGAEEEEGQGEVVPAARSGVALRSRRRRRGREAAAAARGPQGAGPRQGCVPAAAAAEQLAAGWPPWLVAVAGEALRGWAPRRADTFEKLNKIGSGTYSNVYRARDTVSGRIVALKKVRFDNLEPESVKEILILRTLDHPNVIKLEGLVTSRMSCSLYLVFEYMDHDLAGLAASPDVKFTLPQIKCYMQQLLSGLEHCHDNNVLHRDIKGSNLLLDNNGILKIADFGLATFYDPRHKRPMTSRVVTLWYRPPELLLGATDYSVGVDLWSAGCILAELLYGKPIMPGRTEVEQLHKIFKLCGSPSEEYWKKSKLPHATIFKPQQPYKRCIRETFKDFPTSALPLVETLLAIDPAERQTATAALHSDFFSTEPYACDPSSLPTYPPSKEMDAKLRDEEARRLRAAAKAKGEAKRTRARDRSRRAGPAPEANAEIQANLDQRRRIITHANAKSKSEKFPPPHQDGGTGNPLGSCRHMEPMFEHQDASFSTVVPIEKGTSQTWSGPLFDPAALGQLRRKKQTTLDAKAAAYSKQLQKEKGGIRAR >Sspon.03G0016120-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:51359971:51364104:1 gene:Sspon.03G0016120-1A transcript:Sspon.03G0016120-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTSLSPQAGMRGPSQVQGAFTPLSQLTLGCHGYRSRVRVRVSRIWVASNPKTGEEYGLRCLLIDGEGVVMQAWAHAHPCDTKRLKHQLVEGKVYALSNFEVGKKLKFYMACSNGLVISMGEQTEVVEINDRAGSSIPLHSFEFVDFGDVPSRDKDTSLLTDVIGQIVSIEDEGRTWKWDGLRNISFRNIHLRDLGGKQLNVTLYGDLGRNFDAEQVFNQDRKVPIVAIFAGMLVERYKVRSTSASKYYLDLDVEVQKFRARYKLDAVMEDDTGSMNVMIFDDAAQKLVGVAAELIGGVTGDGISAVISSQQRRAFVVAFGNGCFLVKHVLNDDELQWLGSGFVHVEAGDHSDLSQDEGSYASDGSSLQMKKEKTVIKEEMEAAVGGEEPEVESSSASCSPSPLKNVKKHRRWPFRLRATEEAQLRLGLSSNL >Sspon.01G0025870-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:90437723:90439817:1 gene:Sspon.01G0025870-1A transcript:Sspon.01G0025870-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGALCLLYPSTPPPSPCGARAPAPSPFFSCAATAAATRPQLYGRSQRRAGVARVGGGGGAKGEGGKSGAAAFFNEDGVVDDMDGYLNYLSLEYDSVWDTKPAWCQPWTILFTGTVAVACSWAYTEMIAERRRKVASGAEDTYGMEKLQ >Sspon.07G0031960-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:33050650:33058914:-1 gene:Sspon.07G0031960-2D transcript:Sspon.07G0031960-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLPWRICGWVWGCAMVIAPAVRQQSLIEGLMSCEDCEDDILREAVETYKGKNWKKIGRTDVQCLHRWQKVLNPELVKGPWSKEEDEVIIQMVNKHGPKKWSTIAQALPGRIGKQCRERWHNHLNPGINKDAWTQDEEIRLIHAHQTYGNKWAELTKFLPGRTDNAIKNHWHSSVKKKVDSYRSSGLLAQFQGLTPVEYTPGGLNVDSSSAMTNQISEDSGFNVFREAEDSMELSQSSFAKGSCSQEEQTDVTLGSHLHVHESLCQDGFTNAENGASALPDMHHHLPTSDMDQDKHLQEGIDLDKHLQQEFSQGIDLHLDIDEVPNNFVIRDSQASNELAVQFQDTQIMNSSENDGVSLIPYAVTPCVPILPSVSGCEHNINVMSEVGIKNDNCFQSEKWQDISIQSGAYSSEAASNFSAPLYPLQTAEPATMMGGPVYYQSSVTSLPPGFISSDGASNAGVKFETSHNPVSRQDLEIKTCHNPSGDPDQNSYISSEDDRNRTSEPMDSIPESEKKQQVDVEQSCLEPAAYSGKEPLSSHVDTILSEKEDAGALCYEPPCFPSFEVPFVSCELVNSSDLPEYSPLGIRELMRTSLNFPTPVRLWGSPPRDGSPDAVLKNAAKRFVCTPSIMKKRPRDLSSPGPDIRNEKKSNTEKDCRSSGMSSTRVGKSCIDSPDDSADLVSPKERTAFQKKVKLSHDNKENLNEITDQGENEGNAKHSTGILTQSSVDNHNTPKHGPNFQSKGLNTSAQALSNSKDIIFSRSKPSELLVDKSAACIDADYEYVNILADTPGIKRGLESPSAWKSPFFTPFQDAYFMSPASRAFDALGLVKQINEQSAAAVEEAHEVLASGSPWKRHNKESSDKENIENTALKHEHVTSKPPSILMAEARVLDFNECSTPVRKKEDKKLEIALGGSTSSPVTSSYLRMN >Sspon.04G0029730-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:73675986:73676138:1 gene:Sspon.04G0029730-1B transcript:Sspon.04G0029730-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding KMEVGAEEQCNENPFPKCFTSCLRPGACDNCCKDRGYTRGTCVLLGCSSCK >Sspon.05G0008930-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:5A:26291151:26292725:1 gene:Sspon.05G0008930-1A transcript:Sspon.05G0008930-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPTSPAALAAAARASPTPAAALALFKSALSADRALCPLSVLPHLAASPSLPHLLLTASAAARPHATSLRLYAQLKSLAVPIPVASLHPLLSSLPSAPAFALFADIYRLRLPLCTTTFNIMLRHLCATGKTVRALELLRQMPRPNAVTYNTVIAGFCARGRVQAALEVMREMRERGGIAPDKYTYATLISGWCKIGRMEDAAKVFDEMLTKGEVAPSAVMYNALIGGYCDRGKLDVALQYREDMVQRGVAMTVATYNLLVHALFMDGRASDAYAVLEEMQRNGLYPDVFTYNILINGYCKEGNEKKALEVFEEMSRKGVRATAVTYTSLIYAFSRKGQVQETDRLFKVAVKKGIRPDVVMYNALINSHCTGGNMERAFEIMAEMEKKRIPPDDVTYNTMMRGFCLLGRLDEARRLIDEMTKRGIQPDLVTYNTLISGYSMKGDIKDALRVRDEMLDKGFNPTLLTYNALIQGLCKNGQGDDAENLMKEMVGKGITPDDSTYISLIEGLTTEDERMAAADAAKA >Sspon.04G0010720-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:28989450:28989989:-1 gene:Sspon.04G0010720-2B transcript:Sspon.04G0010720-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPWKTLLVATAALATLLAADATVETSCKAAAAVDVRIDYGFCVSELSKHRDSPSADTWGLAKVAANLGVNNAGGAVREADALLARPPGTGADDAKARAALGQCRRLYFDMELAFAGAHDEIDARQYAAAKEMAVEGIALARRCDAVFAEAGIPSLLTRRGEYAEQITVLCIAITDLIK >Sspon.05G0022660-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:8176876:8179267:1 gene:Sspon.05G0022660-1P transcript:Sspon.05G0022660-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LNAGNVVPLRCAAEHLAMTEDYGEGNLVEQAESFLSEVLAGWNDTVRALDACDDDAVLPAAEDLLIVPRCIDSLADKACADPTLSGWPMLEYFRARRLEETAIWNGIDATGRPRSPGADWWYEQASSFGLPVYKRLIAAVRSRGMSPGNVAGSLMHYARRHLSGLRRRGDNGDGSRRGGAGATLLEEIVALLPVEKGVAPTRFLLGLLRVATVLHAAAACRDALERRAGNQLEEAALEDLLIPNTGYSAETLYDVDSVQRMLEQFMVTTPPAFAADSWSRLRRPSSCHQLGCQASRRPSKFQTIAALVPDYARAIDDGLYRAIDIYLKAHPWLTDSEREQLSCRWRRARTRRKRAAAAAVVVQVLFFEQLRLRTTVSGWFFLRQLRAAAEARRRPGLRGWDGFATDDDGFAAARHEEPSSPAMSVAEIHRPGKPKSALSRLSSSRQQQPPPLPGASGKRRKSFDFGVKERKCLLRNGALPGLLHPLGSYLLCFSSM >Sspon.07G0030500-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:8726992:8727306:-1 gene:Sspon.07G0030500-1P transcript:Sspon.07G0030500-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARARPHGQVWRHWSLGSVLQLLDGYPAEEPDRLEMLRCIHIGLLCVQEDPQLRPRMASVLLMLRNRIVTMSPPTKPAFVVPVEKPMEPSTSINKVSVSDLEAR >Sspon.06G0031900-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:74616577:74626812:-1 gene:Sspon.06G0031900-1C transcript:Sspon.06G0031900-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MWGRHYWGGGRRPSWAPGGDGAGGVVVMFAWLSSQERHVRAYVELYAAHGWACLVCHSDFLTLFFPEKAAMLADRVIGELVKVLKITPAPVVFASFSGGPKGCTYKVLQLIERRCEGQLSLDEYQLVRDCLCGQIYDSSPVDFVSDLSTRFLLDPSVLKMSEPPRVLSWMTKGIASGLDILFINKFEEQRKDYWETLYSSVHFGPILILCSEDDQLATYSVVQNFGQHLLELGGDVNLIKWHSSPHVGMFSVFCHYKYHPEEYRAAVTELLKKASALYMSRKQLNGYDVGTSEHSDTPPSTSNLPRTAASSVGRLRRAPIDPTDQFFLPSSMEYHESSEGPQPELFNMPSLESLSLHGVLGQVLYDVCVPKNVEGWDLKPSASMNGRHIHTVAPRH >Sspon.01G0037470-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:21707667:21709933:-1 gene:Sspon.01G0037470-1B transcript:Sspon.01G0037470-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DETOXIFICATION 48 [Source:Projected from Arabidopsis thaliana (AT1G58340) UniProtKB/Swiss-Prot;Acc:Q9SLV0] MCNATGTDASLPAPAPPLGSFKAAAHQLLHPVDGDEGSGHALQLSKVAGEARAIGRVSVPMAVTGLVMYSRALISMLFLGRLGELALAGGSLALGFANITGYSVLSGLALGMEPICGQAFGARRGKLLALALHRTVLLLLAVALPISALWVTSTGCVLKLLGQDEGVADAAQTFAAYASADLAVLAVLHPLRVYLRSQNLTLPITACSLFSVLLHGPINYLLVVRLDMGVAGVALAVALTDLNLLLALLCFLAISGAHRDSWVGPTMDCLRGWPELLRLAVPTATAVCLEWWWYELMIVLSGLLANPRATVASMGILIQATSLVYVFPSSLGQGASTRVSHQLGAGRPAGARRAAGAALSIGVGVGLASAAFMVSVRGHWGRMFTSDADILRLTAVALPIAGLCELGNCPQTAGCGVLRGSARPASGARINLASFYLVGMPVGVALAFGARLGFAGLWLGLLAAQAACAVWMARAVAATDWDVEVGRAKELTKGSSNSHSECNTTTTASSASASDITTVIATSNSAAGCKKNNGYVPISESCSNDSELEKLEEGLMTSDDIPSASVSGDTDAVVRENRGSSSCNDSGAAGTAATEGNEQQRRGGSERGPLISVSDGEHDGDSRGGGDQ >Sspon.06G0006550-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6B:21704459:21705879:1 gene:Sspon.06G0006550-2B transcript:Sspon.06G0006550-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding QVAKIVA >Sspon.06G0003750-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:8911527:8913131:-1 gene:Sspon.06G0003750-3D transcript:Sspon.06G0003750-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MCHCSEPTQCHHQVLLPPTKACPALGDRPRPARRGDGGAAIEVIASILRLAVPMVGAGLLMYMRSLVSMLFLGRLGRLPLAGGSLALGFANITGYSVLSGLAAGMDPVCGQAFGAGRMSVLTAALRRTVALLLAASVPISLLWLAMHRVLVATGQDPDIAAAAYDFILCSLPDLVVQSFLHPLRVYLRAQSVTLPLTYAAAAALLLHIPVNCLLVQSLRLGIRGVALGAVCTNLNFLLLLVAYVYHTGLMHGDDGGNGKADALCATAAAAEDVDAVEWGCLLRLSLHSCMSVCLEWWWYEIMVLLCGVLADPKAAVAAMGILIQTTSLLYIFPHSLSCAVSTRVGHELGAGRPERARLVARVGLCCGAALGLVACAFAASVRGVWARVFTTDAAILRLASAALPILGAAELGNCPQTAGCGVLRGSARPGNAASINVSAFYGVGMPAALALAFWPARLDFAGMWVGMLAAQLVCAALMLHAVLRTDWAEQAVRASVLTGGRGGGVIVVADVKSGHADAAKVKMDNGMLVVTVLT >Sspon.01G0015310-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:44481678:44486319:-1 gene:Sspon.01G0015310-1P transcript:Sspon.01G0015310-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMNGKALGKCGSNINSLKRKRESPAAYDADVFRTSELHQHPVNDSAVRFHVDQDRKAKIVCHFNKQVLQSYKNFMSSAPPKRILLRKGAVWKDIPEKIVKLAQADFRAKKTITETGYQNHLFLLDFAHMTFIDTKTGLQRPIAWIDENGKRYFPESFMQDQKLFIKKDFGNGNHEYISVEPNGTLEMNDQLGTSESSAESSNFDSSTEDVSSPKRARAEKNSIVKNYCDMGEAIGENEPCTLLTTACNLLPHQANLGEVSRAQRTIEAVEKLLLQGMGSVIESKDIIGIFRTPLLDDHKQVRYHIHQKQVQVTGFHRGNANVRYAWLPCSKSTVHEMMLNGVLQVHKPPIKCAAYGEGTLLTPANRSDACVKYSDVDENGIVHMMLCRVIMGNVEIVHPGSNQHRPSSDYFDSGVDDLKNPQHYIVWDMNMNRHIYSEFVVIVKLPSKTKDSLASQEDCQNSSDLSLVLNSSSPDCISEEMSLEAPPALGGGCAAPMLGDSMEKAPSSPWMPFSMLFAAISTKVSPENMDMVISCYEEFKSKKISRGELVKKLRHVVGDRVLISTIMRLQDKLPPVERREAPDASAAKMVVKP >Sspon.05G0036600-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:88809892:88811369:1 gene:Sspon.05G0036600-1C transcript:Sspon.05G0036600-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDGIDAIEDVIYHTETYDVTTIRASTPMFLMSRKIKSLGVKMVISGEGSDELFGGYLYFHKAPDKEEFHRETCRKVKALHQYDCLRANKATSAWGLEARVPFLDKEFINQAMSIDPECKMVRPDLGRIEKWVVRKAFDDEEQPFLPKHILYRQKEQFSDGVGYSWIDGLKAHAASNVTDKMLSNAKFIFPHNTPSTKEAYYYRMIFERFFPQKSAILTVPGGPSVACSTAKAIEWDAQWPANLDPSGRAALGVHLAAYEHEHEHDPKHVPATIAARGSKKPRTISRVAAAAAPPGVAIEG >Sspon.02G0006090-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:18556602:18558037:1 gene:Sspon.02G0006090-1A transcript:Sspon.02G0006090-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEETLHCLHPARRAAGQHPRVGVRASLLVALLSWSYSTGQHPARGPPGRGTSRSPPSSQAPPPLASRVCRRPVGRTKRVGRRGHAEQGWRRYSAEREGRRGRALAVEVGAHRGNAERRGAPSMGGGGGGAAMSAGGGAERAVRRCHGAVVLHPRSRCLSGRQY >Sspon.05G0028740-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:72360781:72362308:-1 gene:Sspon.05G0028740-1B transcript:Sspon.05G0028740-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPGESSKRAVPAALSSGGSIDALPDGVLEHILGFLLAPEAVRTCMLARRWRHLWMSTPGLRVGCLGDDVHGPPPVEEYRELVDHLLLLRIGSPPDTCDIRLGMFKYDDVPRLNAWFWLWHIISCKVRLLTLRNHWAYYLVLHDLPLVSNHLTRLGCAVSFLDFSSCPALEYLELLTCGLLGKNILSDSLKHLIITDSQFHGDFRTCIYAPNLVSLRLDGLQYSSPMLDSMPSLVEAFVRITEDYENFCDNSSAPDILDCLCESCDSARGSTGNSCVLFKGLSEAKSLVLTCTAYDSVLFKWDLRCCPVFSKLKTLVLNEYWCVPDDFGPLVCILQLSPVLEELTLELFSEYEVDMKGSISPMERSATISEHLNIVEVKCQAVDERVLEILKLLCTFNI >Sspon.01G0048510-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:101607941:101608899:1 gene:Sspon.01G0048510-2D transcript:Sspon.01G0048510-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATDVAETPAPLAEAAAEAPAEAPAAPAAEAKPAKAKKAAAPRKKTNPTHPPYAEMISEAITSLKERTGSSQYAIAKFVEDKHKEKLPPNFRKLLLVQLKKLVAAGKLTKVKNSYKLPAARAPVAVKPKPKPKAAPKKPKTGAKKPKAAAKPKAKAPAKAKPAAKPKAPAKAKPAAKPKAAAAKPKAAAKPKAAAKPKAKPAAAKPKPKAAAAKPKPAAKKAGRPAKAAKTSAKDTPGKKAPVTKKPAAAAKKSPAKKAAPAKKSAAPARKVPARKAKK >Sspon.03G0002470-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3A:6111327:6117535:-1 gene:Sspon.03G0002470-1A transcript:Sspon.03G0002470-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MERGSGKPPFFDGTNYPYWKIRMSAHLQGIDWLVWEICKDATYVVLKPRARVTQDQKDRHNANSRARAVLFSSLSLPEFERVSTKTAREIWVRLQSYHEGTAQVKTRLYETYKREYENFTQLDGESIDAMFSRFQTIVNKMRANKAQLPYDDHERALKLLYALDRKVWDVKVTAIIKSSGYETLTVDELFSKLKSTEINYQTQARLKNPSAPTMALVSGNGSSSLANPSQVSFVLSSLVSVTEEQMESLGDDELALIISRFSRFHNNRLNRRRGGGPKEGCYGYGDPDHFVAHCPKKNKHSFDKYDSSKRKDKREYTSKHKSKGGFDKEALKKDDLDNDTDDDRSSYPSSDDESEKRREDKLTGLCLVAKSIHGGYCTMAVDEEVKPNKDVLPGDDDSTEDGGLENTWLIDSGCSRHMTGSAKWFSSLDPVQCKEYITFGDNSKGKVLSRGTIRVNESFVLKDVALVSNLHFNLLSVSQLLQDGFEVRFKTSLSRVLDSQGNLVYQIVPFGRVFRADFSHSFGSSRCLVAGSSSELWKWHRRLGHLSFDLLARLSSLDLIRGLPKLKFEKDLVCHPCRHGKMVAASHPPVSQVMTKGPGELLHMDTVGLARVRSEGGKWYVLVIVDDFSRYSWVFFMEGKDEAFSHARDLIVRLQTELPKNAIRAIRSDNGTEFKNSQFDNFCSSLGIEHQFSSPYVPQQNGVVERKNRTLVEMARTMLDEHRTPRRYWAEAINTACHVFNRIFLRAFMKKTSYELRFGRLPKVSHFRVFGCRCFILKQGDQEIGESIFVEENEEDADWGDAEPTSSAAPVESTTTTSAHGPDPSSSTTWGAHKPLPQPTPAAPEEAPIAVEGEATSSREAPRHIQRRHLPQTMIGDISQRVTRSRSYEISYFTHSAFVANFEPQNVGHTLSDPDWVNAMHEELQNFERNEVWVLVPPPPECHPIGTKWVFKNKQSEDGVVVRNKARLVAQGFCQKEGVDFEETFAPVARIEAIRMLLAYAASKGFKLYQMDVKNAFLNGYIEEEVYVRQPPGFENPKYPNHVYKLHKALYGLKQAPRAWYERSKAFLLAKGFKMGSVDKTLFLLKQGTDILLVQIYVDDIIFGGSSHALVAKFSETMSREFEMSMMGELTFFLGLQIKQTREGTFVHQGKYTKDLLRKFDMGEAKPLSTPMSTTTALDEDKEGEAVDQKEYRSMIGSLLYLTATRPDIQFAVCLCARFQSSPRISHRQAVKRIMRYLRFTPEFGLWFSASSSLSLCGYSDADYAGCRTERKSTSGTCQFPGSSLVSRSSRKQSSVAQSTTEAEYVAAAACCSQLLWMVATLRDYGLEFRRVPLFCDSTSAICVAKNPVLHSKTKHIEVRFHFFTEAVADGEVKVKEDVPCRWTEGVKDGREAWTEGPGGR >Sspon.01G0047730-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:109151436:109153513:1 gene:Sspon.01G0047730-2D transcript:Sspon.01G0047730-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLDTYGIRLLHGARKVGSHPIQRGFRRENIGRERCSARKASGGNAVWRVHPVRPFGPEGFRKRRDRRAPPCLEREWRWSLSGREGFGFLSPSRRACEAGFGAFWRSETPIFYCAESRKRNGGERRSLRAPDAAPDAAPDAVTVPPARPVRRTAVHEDHRTRCASGHRSPDVSGLPGSLLDSHRTRALSRPVRSSARPVGHGTGASGRDQRVRSLGRARPVNGNG >Sspon.06G0031460-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:67693809:67696792:-1 gene:Sspon.06G0031460-1C transcript:Sspon.06G0031460-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRTMKQIQCFLLDAEQRRTEELAVNNWLGDLKDAMYEADDIIDLARLEGSKLLADRPSSSKNFASCAGFSFLSCIPNIQRRHAIAVRIKNFNVELEKISNLGERYLRLQNMQPKEEISVVRQMKTCELVEPNLVGKETFLACTRLVELILAHKENKSYKIGIVGTGGVGKTTLAQKIYNHQKIKGTFDKQAWICVSKDYSEDGLLKEVLRNIGVDYKQDETAGELSRKLATAVESRSIFLVLDDIWKQEAWTSLLRTPLSTSSRTIILVTTRNDTVARAIGVQDVHRVELMSDDTGWELLWRSMNVNEETEVANLRGMGNEIVRMCGGLPLAIKRCPNLHRLPLAISKLCNLRCLGLDRTPINQVPKGISELKSLNDLGGFPIGGSNVNSDRMQDGWNLEELDPLWHLRKLDMIKLERAVPPSKDTLLANKKHLRELFLCCSEHTHEPYSEDAIINIEKTFDLLIPALNLEDLHFVNFFGRRFPTWLDTFTHLPSLTYLQLIDCKSCVHLPPIGQLPNLKCLKIKGATAVTKIGPEFVGSGVGNLRSQAVAFPKLETLTIADMPNWEEWSFVAEEEQEATRAGTEGVEDEAVANQKEDAPPPRMQLLPQLKKLELNSCPKLRALPPQLGEDATSLKELQLRDVHSLKVVENLCFLSEVLVISDCKGLERVSNLPQVTLLRVHLCPNLRCVETLDNLHQLFLTVDMEGASSSQWLHGLQEKHQQLHGEDMDVYTWI >Sspon.08G0005280-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:58929130:58934336:1 gene:Sspon.08G0005280-1P transcript:Sspon.08G0005280-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGYPEDNQHALNGYDEEEVDEEEGHPGRRGGRDGASGYGDAGGEDGRGTGGDSSGKIFVGGVAWETTEESFSKHFEKYGAITDSVIMKDKHTKMPRGFGFVTFSDPSVIDKVLEDDHVIDGRTVEVKRTVPREEMTTKDGPKTRKIFIGGLPPSLTEDELKDHFSSYGKVVEHQIMLDHSTGRSRGFGFVTFESEDSVERVISEGRMCDLGGKQVEIKKAEPKKHGSDHSSNGRSSHGGGGYRNSYRSGGGAGSASSGSSGGGGSYGYGGAYRSAAAGYGYDGGAGAGYGYGRGYGYGGNAGFGSGFGGGYGGSMYGGAYGAYGAYGGGAYGGGAYGGGAYGGGAYGGAPGGYGTGGYGSYGGAGGAAGGTGGGSTGARGSSRKCYT >Sspon.07G0008300-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7B:21068299:21068730:1 gene:Sspon.07G0008300-2B transcript:Sspon.07G0008300-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPHSRPGEEHAPRRGRPPLPRAPRESISKLVIIEMGVLMISLDRSICQIFEGLKEFGLGITEKGFLYGIRALCGMSRETWLHRVALYRSFGVSEGELPTILSFSDEIIKKKLRFFLDELKLELSEVMGQPVLIGYSLEKNIIP >Sspon.01G0056200-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:86165594:86166028:-1 gene:Sspon.01G0056200-2D transcript:Sspon.01G0056200-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGQCQTGDCGGTLACSKVGFSPATLAEYSLGTGRGGADYFDVSLIHGFNAPMSFLPSGGGGASRCVRGGPSCPVQEITFDCPFEQRAKAGCNNPCDGKGSCGPNNGTEYFKKACPQTITYPRDTTNTIYTCPAGTNYVITFCP >Sspon.03G0026480-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:2797957:2807658:1 gene:Sspon.03G0026480-1B transcript:Sspon.03G0026480-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDVSSDLGGIRAGPVERDIEQVRAANPSLGGSISPHPVHGSTAGATEMWMGRSSSTAYVLSARVARMDFPSPVPGSTAGATYHGQIIVHRLRALRPRGAEFQASSCSLCADVKWCLIPAEFMGVDAVAIFQRYPRPEKECQSFSLISHDRSLDIICKDKDEAEVWFAGLKTLISRCHQRKWRTESRSDILSSGATSPRTYTRRSSPLSSPFSSNDSIHKDGSDNYRLRTPYGSPPKNGLEKAFSDVMLYAVPPRGFFPSDSNAGSVHSMSSGHSDNTNGHPRGVPMDAFRVSYSSAVSSSSHGSGHDDGDALGDVFIWGEGTGEGILGGGGSRVGSSSGAKMDCLVPKPLEFAVRLDVQNISCGGRHAALVTKQGEIYSWGEESGGRLGHGVDCDVSQPKLIDALSHMNIELVACGEYHTCAVTLSGDLYTWGDGTFKFGLLGHGNDVSHWVPKHVNGPLEGVHVSSISCGPWHTALVTSAGQLFTFGDGSFGVLGHGDRESISVPREVESLKGLRTVRAACGVWHTAAVVEVMAGNSSSSNCSSGKIFTWGDGDKGRLGHGDKEPKLVPTCVAALVEPNFCQVACGHCLTVALTTSGHVYTMGSAVYGQLGNAQADGMLPVRVEGKLHKNFVEEISCGAYHVAVLTSRTEVYTWGKGANGRLGHGDTDDRNTPTLVEALKDKQVRSVVCGINFTAAICIHKWVSGVDQSMCSGCRQPFNLRRKRHNCYNCALVFCHSCSSKKSLKASLAPNTNKPYRVCDTCYSKLTKGLETDMHSSAKRAATVPGFSDTIEEDLETRSNAQLSRLSSMESFKHLDSRYSKKNKKLEFNSTRVSPVPNGSSHWSGLNISRSFNPVFGSSKKFFSASVPGSRIVSRATSPISRRASPPRSTTPTPTLGGLTSPRVVPNDGKPTNDALSQEVLNLRSQVESLTRKSQLLEVELDRTTKQLKEAISIAGEETAKCKAAKEVIKSLTAQLKGMAERLPGGAAKNTKLPPLPGISIPSDISSMATESVGSPSSSGEQIINGHNGLLASNGPSSVRNKTSHPEVGKNGSRPPDAESCHDAEWVEQDEPGVYITLTALTGGARDLKRVRFSRKRFSETQAEQWWQENRARVYQQYNVRVVDKSTASVDNDIAAN >Sspon.03G0011000-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:37117591:37119118:-1 gene:Sspon.03G0011000-1P transcript:Sspon.03G0011000-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARINAFSLLVLLLFCYVLSGAAEAAPYNVAGFGAKPDGRTDSSGAFASAWSAACRSREPATVYVPNGHFLLSRAAFTGPCSSRVTLQVDGTLVAPSGYTSGGDDGWIVFDHVDGLTVSGGTVDGRGEALWACKAAGHGGCPSGATSLKVLNSRDVVISGLTSVDSELYHVVIDGCEGVTVQDVQIVAPGSSPNTDGIHVQVSSQVTVTRTSIQTGDDCVSVGPGTTNLRVEHVSCGPGHGISIGSLGKESEESGVENVTVTGAAFVGTENGLRIKTWARAKVEGAYVRGVVFEHALMHDVRNPIIIDQSYCPNHGGGGAGCPHQSSAVKISDVRYTDIQGTSASKVAVKFDCSASNPCSGIGLKDIKLTLDGGKPAEATCQHADGRASGEVEPPSCL >Sspon.04G0001770-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:52095801:52097123:-1 gene:Sspon.04G0001770-1P transcript:Sspon.04G0001770-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVLEEDLYPSTPGKVKVERAGAMSRHLHRCFASTGTMFLWALFLVAMTATYLSVHSFVDTSSRYFAASWGGLHWERQIRASASPRRPPGSAEGAGLSVLVTGAAGFVGTHCSLALRKRGDGVVGIDNFNSYYDPSLKKARRALLGSHGVFVVEGDINDGRLLAKLFDVVPFTHVLHLAAQAGVRYAMENPASYVHSNIAGLVSLLEACKDADPQPAVVWASSSSVYGLNDRVPFSEAHRTDRPASLYAATKKAGEEITHTYNHIYGLSVTGLRFFTVYGPWGRPDMAYFSFTRNILQGKPITVYRGRDHVDLARDFTYIDDIVRGCLASLDTAGRSTGTGGKKRGPAPYRIFNLGNTSPVTVPTLVSILERYLRVKAKRNVVEMPGNGDVPYTHANISLAREQLGYKPTTSLEMGLKKFVRWYLSYYGYNRGTHTFRNS >Sspon.03G0023440-3D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3D:56338477:56338635:1 gene:Sspon.03G0023440-3D transcript:Sspon.03G0023440-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SLTGPGVTRQQAIVSDSSCCVWCVKYAAAVLLAKVFGVASSSSSSSSFDPSS >Sspon.04G0009320-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:27981530:27982292:-1 gene:Sspon.04G0009320-4D transcript:Sspon.04G0009320-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSAPSTPAAHTAVYVAAVPLRAPRGPAQLLMSAGYSLGMWDLQHFMVLLRPDPALAQALVFDFQPRDPEDDALAALAVLSRREIPGVVRRRTLRRVPDRRCWLVGHCCCDGDGDDAVAAAGRFSERWPTGLVVGEHDCRDYTNGLVEVLTGEKRVLESLRLRGNGTTSSSTSGAAPPWCECLSLSF >Sspon.01G0044940-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:79940093:79941780:1 gene:Sspon.01G0044940-2D transcript:Sspon.01G0044940-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Post-illumination chlorophyll fluorescence increase [Source:Projected from Arabidopsis thaliana (AT3G15840) UniProtKB/TrEMBL;Acc:Q9LVZ5] MATLSSCSRLSSGTGAAAIHHRQPTRAGVVVVTSRRSSSASVRAAAAATAAPAAVEQQDKGVSLPTWAEFELGRAPVFWKTANGLPPSPGEGLTLFYNPAATKMTPNDVFGVAFSGGFNQPIMCGGEPRQMTLQVRGKADPPIYTIRIRVPQHAISLIFSFTNGAEWDGPYTLKFRVPKPWQNKPLSFFNEGLADELNMEGACDRAIYPDENIAITSCAMDGYLEEGGDRCKLDIVSGCMDPGSDMFDPLATVDDGSCPLESDSEE >Sspon.03G0039480-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:15819070:15823461:1 gene:Sspon.03G0039480-1C transcript:Sspon.03G0039480-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQPHLSPQLSPSPVLSSHFSPPATGASPWRRRLHRGRAFQPTLSSLREPNKATLRKASPNVPFRLGGGGGGSGSPKDRRPAADDKEEEAEGDGGAGALTGTLIAGALLVGYGPAGYALFVLVYAGLEVLAIPAIPLTMSAGLLFGSVTGTIIVSVGGTLAAAVAFLIARYFARERILKLVEGNKKFLAIDKAIGENGFKVVTLLRLSPLLPFSLGNYLYGLTSVKFLPYVLGSWLGMLPGSWAYQDESEIGLGGNGQLWTLGVGLLFTAIAATYVTRLAKDAVKEIDD >Sspon.05G0029160-2D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2D:90311397:90311975:1 gene:Sspon.05G0029160-2D transcript:Sspon.05G0029160-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTKLVSLGLIVLMSMGLANAVRVARYSSADGTGTGQGGGGGYVNGGGSGSGSGTGSGDSGPYGAHASAGGGGGGGGASQYGGSGYGSGSGSGSGSSTYSQGVYSGYGESSNAGGTGGGGGGGQAGGAWNSNAQGSGSGTGSGSSYANRYWDGSSDAGASANGNGGGTGTSQNGGNGGGSGAGSGYGNAYP >Sspon.05G0015310-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:5B:5079452:5079820:1 gene:Sspon.05G0015310-2B transcript:Sspon.05G0015310-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNNVVATEVSNAVTKLNDHLATALTGSENTTTGTGGATTIITLAGDNSGATMDAAATGDVEDLVVVGNVDAEEHDEEEEGEENVVITAYANSNYQAVNNSVLVAGSCAVNDPGVHVVVVEH >Sspon.07G0018340-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:78641992:78642432:-1 gene:Sspon.07G0018340-3C transcript:Sspon.07G0018340-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding TMAAYMRVTHRDEEGKKVTEKMPIPETRRPDTVKYFERKLEEQGFHRFERHPANAPRGVGIGSPPPKSGRGGKFTWEGPGGVVEGQLDPAPPAIDPNDPNYEEEGGAEDEAAREVVVGEVEVAKVAESRDGVARVDVAPPLLQDQKQ >Sspon.08G0017470-3D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8D:2630147:2630971:-1 gene:Sspon.08G0017470-3D transcript:Sspon.08G0017470-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLPNKLPTVKPVSVFAHKPHVDDDIFSYDNGSSLFLMERVPQLEPISNEVRESKQFVGFINHYPSGPWGKKCFTCRLLPPPPFVRETWSWYNNTPEITAYGVVGGGSHVCISVHGVGTYCLDTADHTWSQVGKWTLPFHGKAEYVPELKLWFGLSAAGAQQNLAAADLSDVMDSQPCQLVGGPWKELDPPDEWKESKDPQFVSLGSGRFCIARFFQEAAGSAGDQVIQENVAVFTGLEVKVNSSGKGGTGKVELQMTPHRSRRVNSTSEALF >Sspon.03G0013900-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3B:52831181:52836270:1 gene:Sspon.03G0013900-2B transcript:Sspon.03G0013900-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFGDSFSVYCKHKGAGLGSNWPAVLVYWWVFSSLLESLLTSLHFLHLINSATVVNFTSLPFCAVICLCLVAIAMRTSEANQDELNQPLLTREDSGHSSRDRFSSSRWWSQLTFQWLNPVFEKGHQVRLEIEHIPAVPQSETADQSYALLQETMHKQKPEPMSLQKGIICAVWTPLIINAVFAGLNTLASYMGPFFITYLVKLLSDKNPDRGHGHGYMLASLFFVSKTVESLSQRQWYFGARRIGFRVRAALMVSIYKKSLLIKDSTAGTGRIVNFLDVDVEKIGEFFWYIHGIWLLPLQVSLAFVILYHSLGMVASLSAVFATVLIMVSNTPLAKSQKNLNMKIMEAKDSRIKATAEALKSMRILKLHAWETAYLDKLLKLRDVERGLLRRYLYTCSAIAFLFWASPTLVSVVTFGICILVDVPLSAGTVLSALATFRILQDPIYNLPELVSMVTQTKVSLDRIEEFIKEDHHTKPSSYGSRSSTEKQSVAGIVELGAGEYSWEATDNNLKNTKFTLKIDRKVDIMKGHKVAVCGPVGSGKSSLLCAIMGEIPRVSGAKTMVVGSRAYVPQSAWIQTGTIQDNLLFGKAMDKARYDEVLQGCALNKDVELWANGDMTVVGERGMNLSGGQKQRIQLSRALYSDADVYLLDDPFSAVDAHTGAHLFKECLMSQMSSKTVIYVTHQLEFLRDADLVLVMKDGRIVQSGKYDNLIADKDGEFSKQMGAHNKSLSQVNPAKVQGLGTNKYKKKQMELTEIEPDHTVLGRKSEEERESGRVKWGIYRKFVTSAYRGALIPVILACQVLFQALQICSNYWIAWASERKELSLTLIDDYSRITFHNAATIEWLCVRINFLFNLVFSVMLVILVSLPHDTIDPSLAGLAATYGLNLNVLQAWVIWNLCDVENKMISVERIMQFSNMPSESPLVVEDNRPMERWPWYGTIQIDGLQIKYDLDMPMVLKGTVRSNLDPLQQHTDAEIWELLKMEEIGVGGKGNLSTWPECY >Sspon.03G0021150-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:87773939:87778722:1 gene:Sspon.03G0021150-1P transcript:Sspon.03G0021150-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSADSSNLPLTCGAEPACSIKPRAERRRHTSFSPRRLARTPSNLHLPPASLASSGTPKPSRRTPLTFLHAAGMAGKRVTGGVGRDEDGAKVGLPSLDLSLAFRRPRRPPFSAVRFGLLPEERSIRKKVRSIVEKEIAPIMAEYWEKAEFPFHAIPKLASLGVAGGTIKGYGAQDFQLQPVLLLWQKWHGTALCGSEAQKQKYLPSLAQLTTVGCWAPGGWHIDGQKRWIGNSTFADVLVVLARNADTQQLNGFIVRKGAPGLKATKIENKIGLRMVQNGDIVFNKVFVPEEDRLPGITSFQDISKVLAISRIMVAWQPIGISMGVFDMCHRYLKERKQFGVPLASFQLNQEKLVRMLGNVQSMLLVGWRLCKLYESGKMTPGHASLGKAWNSRMAREVVSLGRELLGGNGILADFLVAKAFCDLEPIYSYEGTYDINSLVTGREITGIASFKPAALAKARL >Sspon.01G0012590-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:33716244:33720274:-1 gene:Sspon.01G0012590-1T transcript:Sspon.01G0012590-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPPLSSDVLSFLASAPAAAAAAPTPVVAAAWGAARAGAVRGKAALRTTTRGGRGGVLAPVVGGRPRRTPLSVRCNATSRDGRITQQEFTEMAWQSIVSSPEVAKESKHQIVETEHLMKSLLEQRNGLARRIFSKAGVDNTRLLDATEKFIQRQPKVLGEEPGSMLGRDLEALIQRARDFKKEYGDSYVSVEHLVLGFAEDKRFGKQLFKDFQVTVKTLKSAIESIRGKQNVIDQDPEGKYEALEKYGKDLTAMARQGKLDPVIGRDDEIRRCIQILSRRTKNNPVLIGEPGVGKTAIAEGLAQRIVQGDVPQALTNRRLIALDMGALIAGAKYRGEFEDRLKAVLKEVTDSDGQTILFIDEIHTVVGAGATNGAMDAGNLLKPMLGRGELRCIGATTLDEYRKYIEKDPALERRFQQVYVDQPSVEDTISILRGLRERYELHHGVRISDSALVAAAVLSDRYISGRFLPDKGGLFD >Sspon.08G0008880-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:34065053:34065752:-1 gene:Sspon.08G0008880-2B transcript:Sspon.08G0008880-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEDLGWILLYKRISSDLGGTVTVVRSGLFPSRTIYPPFIPSVPHDPLLVVAFGGWLQIRRRALLRKNAIVENGDEKTRGLYPVMQLPTPDLPTNDLLSQATAVNAAAATAWNISGDPCTRVDMDGTIIDDNPSFNTIIKCECSGQNNTVCHTTKLY >Sspon.02G0040520-2D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2D:65905257:65905793:-1 gene:Sspon.02G0040520-2D transcript:Sspon.02G0040520-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGDSHRPAARVALFPLPFQGHISPMLQLAGALHARGLAITVLHTTFNAPDPAHHPEFSFVAVPDAISDVVATTKDGIAKILALNAAVEVPALAECARDALASLLNKSEEEGEPRLACLILDCTLTGMQKVAVGLGIPTLVLQTCSAACFRMSRSYDMLYEKGYLPARGSYHAQHIN >Sspon.03G0001280-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3A:3185505:3190566:1 gene:Sspon.03G0001280-1A transcript:Sspon.03G0001280-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKGNWFTALKKAFTSSPKEKPTNVHQLVAQYPSSHGHGRDKKRWGGFGRSRPHAEPASPAAGALINIPLYREPSSIEKILGDAEMDQQRQYYAATRAQYQITPARPTTAVAASAAAPLPQPVVGTARERERERSREDKPASVVLPLPPPSPPPLIRRFDHDREQQQKLQQLQSRAETEWRQQQQQQPRRHRSARQRAAPPDRARAAAVAIQSAFRGYMASPSCRLALLFAFARRVDAGVMRGASVRRQTAQAMRCMQTLVRVQAQVRASRVEAMERRNRQHHSAMLRDGGRWRAGSQDGGIWEDSRLTREEVDARTKRKVEAVIKRERALAYAYSHQLLKATPMAAHAILADLQSGRSPWWWTPIERRHEPGDYRPVEPASSKPRPAFTIARRETTTTPARSVVSAYSKTRSTRPVTKVGAPAPPLSHAGSIRDDESLTSCPAFGGVPNYMTPTLSASAKARARAHLQQQQQKAAQEKPRFSFGLGQSIGSWAKSPFWKAGAGGLPSSRVATPAASVAGGRHRSTRSISGLSVDSTVSMPAGLGRRPFKLELPGCWLLCPQPQPSFGLVFEGPACKLGDYIEQPYTRSKIVDPHIFPHLHVRGAVVAPFSSRAVLSSRHFHLTVVGGSSPWKTRSSWEGGSSYRLARKPWKAAMGSRLPKTRSSRLSLRISKICNCLPLRQMRASRSRLRRQLDDDDYFAFAAAQIVQTFRMNRDLFLRIMNGVEAHDDYFVQKRDAAGVLGLSCFQKVIATLRMLTYGVLADATDEYVRIGESTTLESLHRFVAAVVEIFQAEYLRHPNETDIARLLALNEKKRFPWNVRMNMAIPSIQQLTKIESMASSELTANNIEKQPAISSYHPVICYSHRPRQPSSNPIHPPPPRPPSPPPQPAISSPNPAFPSPQMAKNENPRTMEIVDKQLEDWIHGLPETTPALMKIGHCTKNKLHPRRHFSSRETTAAPSGNTRAGGRKPRGRRMPSAA >Sspon.06G0031410-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:66846261:66850347:-1 gene:Sspon.06G0031410-1C transcript:Sspon.06G0031410-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding QMDMVAAWLTSQYHVVAFLPPLQRLPPVSISERGQGCSRLGKIILLAFLSVPFQTEMAIFDSSNALRCCSSKDKREFRFFPQRKLGWQQLFFLDRPHYLLRSHGQVPDFVLATNLMPALPVEPQPNPTDATSNSTDSSTSSGAIELPYDVEGDSQEVNNAAKRRDDQANDELNQTK >Sspon.01G0024010-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:83113867:83121550:1 gene:Sspon.01G0024010-3D transcript:Sspon.01G0024010-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNTSEMPQAKEKLKRSGSLGSNDTYVRADKIDLTSLDIQLEKQLTKTWGKANLKSQGPKEEWEIDLAKLEIRYVIAQGTYGTVYRGTYDGQDVAVKLLDWGEDGFATEAETAALRTSFKTEVAVWHKLSHPNVTKFVGASMGTTDLKIPANNSNGGARTNFPARACCVVVEYLAGGTLKQYLIKNSRRKLAYKVVNLRPDIPRCCPSAFANVMRKCWDANPDKRPDMDEVVQLLEALDTSKGGGMIPDGQSSGCLCFTKARGRERAQNCSHFTMYNLH >Sspon.02G0044780-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2B:104212380:104212957:-1 gene:Sspon.02G0044780-1B transcript:Sspon.02G0044780-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFVLHFVMSGLKREQGHVLFHQEEEVADLHDLQEEEVVLELHLETLLEVEAVEFLLVLQRVVEEEELLQFLHMEVVVVELLCILQEVAVAVELLHILREVAVVVEPLHILREVVVALELLHILQEVVVVVEALHVLQEVVAVVEPLRILFE >Sspon.02G0018300-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:58812757:58822602:-1 gene:Sspon.02G0018300-1A transcript:Sspon.02G0018300-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLGGFLVLLLLVVSPALAQLPSQDILALLAFKKGITHDPVGYITDSWNEESIDFNGCPASWNGVVCNGASVAGVVLDGHGISGVADLSVFANLTMLVKLSVANNNLSGSLPSNVGSLKSLKFMDVSNNRFSGPVPEGMVNLRSLQNLSLAGNNFSGPLPESIDGLMSLQSLDVSRNSLSGPLPAALKGLKSLVALNVSYNAFTKGIPSGLGLLVNLQSLDLSWNQLEGGVDWKFLIESAVAHVDFSGNLLTSTTPKELKFLADISETVLYLNLSNNKLTGSLIDGVELSTFGRLKVLDLSNNQLSGDLPGFNYVYDLEVLRLANNAFTGFVPSGLLKGDSLVLSELDLSANNLTGHINMITSTTLQVLNLSSNALFGDLPLLAGSCTVLDLSNNKFRGNLSVIAKWASDLEYVDLKQPNGTIPDVSSQFLRLNYLNLSRNALSETIPEAIVQYPKLTVLDLSSNQLRGPMPADLLTSSMLQELYIQDNMLSGVLSFPGSPSKNLSLQVLDISGNHFNGSLPDDIASLSSLRVLDVSTNNLSGPLPAAVSKLGALTDIDISTNQFTGPLPEDLPDNLLSFNASYNDLSGVVPENLRKFPESSFHPGNSKLEYPAGSSGSGNSPSGSGGGKSLSTGAKVAIVAASIVVLVILILIAIVCHYKRISRQFPSSEKVSDKSLHRATKDSAVMKGKDNKGGLVSADELVTPRKGSTSEALSQEEKSATGGFSPSKGSRFSWSPDSGEAYGQEGLARLDVRSPDRLAGELHFLDETITLTPEELSRAPAEVLGRSSHGTSYRATLENGVFLTVKWLREGVARPKKEFAKEAKKFANIRHPNVVGLRGYYWGPTPHEKLILSDYVAPGSLASFLYDSKIQSPTLLRWFSDCTDRPGRRGPPLTWAQRLKIAVDVARGLNYLHFDRAMPHGNLKATNILLDGLDLNARVADYCLHRLMTQAGVVEQILDLGVLGYRAPELAASKKPSPSFKSDVYAFGVVLLELLTGRCAGDVVSGSEGGVDLTDWVRLRVAEGRGSDCFDAAMASDSENPQAVNGMKEALGIALRCIRPVSERPGIKSVIFRQSILNLSSNALFGDLPLLAGSCTVLDLSNNKFRGNLSVIAKWASDLEYVDLSQNNLTGTIPDVSSQFLRLNYLNLSRNALSETIPEAIVQYPKLTVLDLSSNQLRGPMPADLLTSSMLQELYIQDNMLSGVLSFPGSPSKNLSLQVLDISGNHFNGSLPDDIASLSSLRVLDVSTNNLSGPLPAAVSKLGALTDIDISTNQFTGPLPEDLPDNLLSFNASYNDLSGVVPENLRKFPESSFHPGNSKLEYPAGSSGSGNSPSGSGGGKSLSTGAKVAIVAASIVVLVILILIAIVCHYKRISRQFPSSEKVSDKSLHRATKDSAVMKGKDNKGGLVSADELVTPRKGSTSEALSQEEKSATGGFSPSKGSRFSWSPDSGEAYGQEGLARLDVRSPDRLAGELHFLDETITLTPEELSRAPAEVLGRSSHGTSYRATLENGVFLTVKWLREGVARPKKEFAKEAKKFANIRHPNVVGLRGYYWGPTPHEKLILSDYVAPGSLASFLYDRPGRRGPPLTWAQRLKIAVDVARGLNYLHFDRAMPHGNLKATNILLDGLDLNARVADYCLHRLMTQAGVVEQILDLGVLGYRAPELAASKKPSPSFKSDVYAFGVVLLELLTGRCAGDVVSGSEGGVDLTDWVRLRVAEGRGSDCFDAAMASDSENPQAVNGMKEALGIALRCIRPVSERPGIKSVYEDLSSI >Sspon.07G0000090-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:8264958:8268956:1 gene:Sspon.07G0000090-3D transcript:Sspon.07G0000090-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ER lumen protein-retaining receptor A [Source:Projected from Arabidopsis thaliana (AT1G29330) UniProtKB/Swiss-Prot;Acc:P35402] MNAFRFLGDMTHLFSVLVLLLKIYATKSCSGVSRKTQELYMLVFVARYLDLFTDYVSLYNSVMKVVFIASSAAIVWCMRRHPQVRRTYDREQDTFRHAVLVAASLLLALMFHERFTFREICWAFSIYLEAVAILPQLVLLQRSRNVDNLTGQYVFFLGAYRAFYILNWIYRYFTEGYHSRWIPWLAGLVQTALYADFFYYYFLSWKNNVKLELPA >Sspon.01G0034990-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1C:8037296:8037874:-1 gene:Sspon.01G0034990-2C transcript:Sspon.01G0034990-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEFELLTDLFAATEELLISTLFPSPTPPPFTSFTALILDLSPSSMVPDSSSTIASRRLDLSASPLADPEAAAFASPRGGGRVTAGFVICFPNYSTFLSKPGLYVEDIFVRAPWRRRGLGRMMLSAVAGRAAELGMGRVEWCVLDWNKNAIDFYEGMGADVLPQWRICRLAGAALDTYKGSQEEAAGGKAAE >Sspon.03G0020260-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:81732237:81741898:1 gene:Sspon.03G0020260-3C transcript:Sspon.03G0020260-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein SUPPRESSOR OF K(+) TRANSPORT GROWTH DEFECT 1 [Source:Projected from Arabidopsis thaliana (AT2G27600) UniProtKB/Swiss-Prot;Acc:Q9ZNT0] MYSNFKEQAIEYVKQAVQEDNAGNYVKAFPLYMNALEYFKTHLKYEKNPKIKEAITAKFTEYLRRAEEIRAVLDEGGAGPGPNGGDAAVATRPKTKGKDGDGGNGGDDSEQSKLRAGLNSAIITEKPNVKWNDVAGLESAKQALQEAVILPVKFPQFFTGKRRPWRAFLLYGPPGTGKSYLAKAVATEADSTFFSISSSDLVSKWMGESEKLVANLFQMARENAPSIIFIDEIDSLCGQRGEGNESEASRRIKTELLVQMQGVGHNDDKVLVLAATNTPYALDQAVRRRFDKRIYIPLPDLKARQHMFKVHLGDTPHSLTESDFESLARRTDGFSGSDIAVCVKDVLFEPVRKTQDAMFFFKADGDMWMPCGPKQPGAVQTTMQELASKGLAAKILPPPISRTDFEKVLSRQRPTVSKKDLEVHERFTKEFGEEG >Sspon.06G0027580-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:86422041:86429753:1 gene:Sspon.06G0027580-3D transcript:Sspon.06G0027580-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLLCSSSHGHLGELKHFLLENTRLQNTNYAGELPSEIGKLQFLQTLDLLETSIKELPSSIVGLRRLMFLKLNWSIRLPNGLRNLTSLEVVWCAIVDSAHIVEELGHLTQLRILTVELKIDKEGGCDESESISKALVESLGKLHKIQKLAVFSEVVALNLDGSVESLGNLSFLRICKITLLPTWINPGLLLLLSFLDITLAQMRREDIQVLGMLQALRFLEVSVSGDNIPVLGRFMVALDAFPCARDCGFYGFQTVPSMFPRGAMPRLEHFRFTIRPQDFFQGEFTTDDLALDHLPSLRNVDVTIYGGGNEKIDEELWSSFAAHVNNGYTHYIGMWMKTSITCARVQEAATRCVGVHAAEQDKLCNTIQSDYLCPFPTNFNHGLIHHCCPHLHCSSITGGIVPAAEDNDGGMLGANQEVPLPETVSEETIVPCGS >Sspon.06G0024740-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:57527253:57527657:-1 gene:Sspon.06G0024740-1B transcript:Sspon.06G0024740-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIEMPRGLPFAVDTWTPASSLKRHRFLTHAHRDHLAGIAATSAVSASTSSPVYASRFTILIALRIFPQLDRAAFVELDVGDPPLRVPDPDGDFTVTALDANHCPGQRAAPPPPSTSSIRITDRAAHSAHPIPF >Sspon.01G0021530-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:83054529:83057484:1 gene:Sspon.01G0021530-2B transcript:Sspon.01G0021530-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMFYYLVFGALAAIVAALELGKSGKDRVAISPAFNSFKNNYILVYSLMIFPFVLADNGQIYTVIVQKGFDPQWLSITFSKAIFLGNGLVAIVAGLFANFLADNMGFGPVAPFDAAACFLAIGMAIILSSWGENYGDSSDSKDLITQFKGAAKAIASDEKIALLGAIQSLFEGSMYTFVFLWTPALSPKDEEIPHGFIFATFMLSSMLGSSIASRLLARKLKVEGYMQIVFSVSAFTLFLPVVTNVNAFPITVMFGMCSIFLFMAAILQRRLMVVSDLHRSTKAAEMTAEDEPLNP >Sspon.04G0020430-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4A:71811257:71813565:1 gene:Sspon.04G0020430-1A transcript:Sspon.04G0020430-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMNQGMNTQRVAGFLVALLVALAVVSCSGAGAGVGPVIRMQLSHTDAGRGLAPRELLQRMALRSKTRAARFLSGSSSSSSTVSALPGKGQPFADEYLVSFAIGTPPQPVKMTLDTGSDLIWTQCQPCVACYDQALPYFDMSNSSTFVPFPCNSSTCRQLPWPSCNRTCVYTYLYADMSVTNGLLAADTFTFDGGAAVDGLAFGCGFFNQGLLDFNSSGTGIAGFGRGAQALPSQLKVDNFSYCFTNITGSTPSAVLLGLPANLYGGGDGSATVQTTPLNMTSTFSYYLNLRSITVGSTTLQVPASTFALKDDGITGGTIIDSGTSITLLPPTVYSLLRDAFVSQLNMTPVAVTSLPDVLCFPAATTMPKLQLQFEGATLDLPQGNYVFPQDNNTCIAIESSGGDITIIGNYQQQNMHVLYDLAGNTLSFVPAQCDKVYNLAGNALSFAPAHQCNKGEAKLVHLSTNASTIVTYFFSTRLDLQDLQVVAFTEDEIAKIIQESPADRAPGPDGFTGSFG >Sspon.03G0017190-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:78220656:78223865:-1 gene:Sspon.03G0017190-2B transcript:Sspon.03G0017190-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPADRGTRLVRASRASSTGRVNELNIYCKMEVLRDMHLPPGFGFHPSDPELISHYLKRKILGQKIEYDLIPEVDIYKHEPWDLPAKCNLPIKDNKWHFFASRDRKYPTGSRSNRATLAGYWKSTGKDRAIKLNKRTLGTKKTLVFHEGRPPSGRRTEWIMHEYYIDENEYKVSPDMKDAFVLCRVTKRNDWALDNDNEVGNRNSHLEQLDDAATSAVSTVKPEDAAASVICPEESNHAATPVGSAELSNDGAQAAVTPDSTSPNGGNDLETWLEELLDPSPSFNLVADSGSADLSLTEQCAESSL >Sspon.03G0017080-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3C:74786071:74787258:-1 gene:Sspon.03G0017080-2C transcript:Sspon.03G0017080-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AAGARTFLLERSPAGAKPCGGAIPLCMLDEFAIPRDLVDRRVTRMRVVSPSNLAADFSRSLPPGAHIPMLRREVLDSFLRRRAAEAGAELVPGLVTSLSLPAGPADPYLVHYISSSSPAGAGRGVLEVDAIVGADGANSRVAREVGAGDYTTAIAFQERIRLPDAAMAYYDDLAEMYVGGDVSPDFYGWVFPKCDHVAVGTGTVAAKPEIKRLQSGIRARAGPKIAGGRVVKVEAHPIPEHPRPRRVVGRVALVGDAAGYVTRCSGEGIYFAAKSGRLCGQAMAEEWARTGAVTEAGLRRGYLRRWDDEFLLMFRFLDLLQRVFYGGNAGREALVEMCADEYVQRRTFESYLYKRMVPGEPWGDLRLLWRTVASMVRCGVIGREVERLRRLELQR >Sspon.05G0021740-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:5A:91081547:91083990:1 gene:Sspon.05G0021740-1A transcript:Sspon.05G0021740-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKKHFYKETIRVDRLLPNEELTSTKFKLADWIGWNPVLFEVGANQLEPSSNFDELPGSPICGVIEGSHEKPHNPDMSVFAKLSEQPSDQDQIPSETQEETLQVDRTEVLTDCGQSILPASFNYQNAILEKAKNNEVFVNPFVQPGTGCFADPKINAKHVEFVQDAMEVDTIESCRPKLVVGDNIPSTSDTSTKLACSALPHGIELSGTSLEDQGPSHSSEILANDKSYMKYHTDCTSGASEVHEISSGADVWPEDKDSDRSNGMQADNVACRGTSEPLECPPYGVDDKAPSDLSFYSSHELCRDVIIQPKVMEGKVEQSRDENIVQTVENEAESVDTKKRTSISVEPASHGQEISSTIHTRSIGASCESDELKDQNSKDINASLDKSIAKTHGKAGMSTVRS >Sspon.08G0016960-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:61031733:61038924:1 gene:Sspon.08G0016960-2B transcript:Sspon.08G0016960-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding NGTHPWTSMSGGACSNLGYARDMNGNVPISTTNSSGPSIGVSSLVTDANSSLSGGAQLQPSTSMNGDSLMRVPASPMSFSSNNISGSSVIDGSIMQQSPPQEQVQKRRSSSVTSQPVIDAGGALHAQKKSRIDVSPGDIMQQQLIQQLIHGQNSLHFQGQQNPQLQALIQQHKLAQLQQRQQQHLLQPFSQMQQPQVGIPRQPQLRPPLAQTGMQLSGPVRTPIESGICSRRILQYLFHKRHRPEVNPITYWRKLVEEYFAPRARERWCVSSYDNRGNSSAAAPQKALVTWRCDICNTHGGKGYGSTYEVLPRLCQIRFDHGVIDEYLYFDSPNEFRLPNGQMVLEHAKVVQKSVYEHLHVIHEGHLRIIFTPELKVNNLLQVAQKYQAVVNESGSAGISNNDAQTICNMFVTASRQLAKNLEHHTLNEHGLSKRYVRCLQVSEVVNHMKDLIEFSHKNNLGPKGSLNSYSKTMAKFQNMHDSRQLMAAANLASNQSNTKLMGVKQETSTSVNNQTPGVGTIGNNTLQNATPLNSYQNMLRNSSANPILLQQEASSVFKGPTAMHNGIQLEAARSFRGPNQVQFQHPASFQQPMLQQNNFQGFGVNPQYQQHVLNQLLQEVKNNNNRTKAQQPPPDAPNASGGLASGVAIPNVAATGEQGQHINNNNSNHNGTVKGAAPAGTGPSNVINNSTASIAPGRNNSFKSVSSSPAAAAATAGNAVNSMVDDSFLQLEDLDDTVTNALVLGAKK >Sspon.05G0022240-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:7167852:7168532:1 gene:Sspon.05G0022240-2C transcript:Sspon.05G0022240-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASRSPKVVRGAAAATCVVDDNTTFVQADPATFRALVQKLTGAAPAAGGTTSAAEKLPPKPQEDEAAAVTIAHAPPPPPPRRPKLQERRRAAPARLELSRPQVPASSTSSSPFYYHHHHAHAHGAHAHHHGLMHSPVSPMDAYVVLASPSLSSSLSMTPSPHSSSPSCDGAAVVMISREEEEREEKAIASKGFYLHASPRGDGDLPKLLPLFPVHSPRINELRRG >Sspon.02G0025060-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2C:91632606:91633715:-1 gene:Sspon.02G0025060-2C transcript:Sspon.02G0025060-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIRRVGVCDLDGLAVRLHHRASSLAEELVEEILLRVPPDEPGHLVRASLVCKAWHSILSDDTFRRRYRRFHGTPPLQGYFCNDFSDSTVRFVPTISVATASPVCALRLDDSCGQKPLALDCRHGRVLILIEANDNGRLIVWDPIAGSQRHLSLPTYMQYPCWYNHAGAVLCAKDGCDHLDCHGGPFLVVLVGTRLDYAYKEGGDVQTWASMYSSETDVWSSPTTPHDEANQYVDDRRPGLLIGDALYYTTIFGKYNIVKYDLRKHELSVMHLPVEFQSIRVAKKEFQSILIKGNDGALGVAAMSRNCIYMWSWQGENKGIGGWVKYRVMELDDMLLSKGDLICMAEGTNTVFIGVERTGVFTLDLRSRQ >Sspon.05G0024610-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:24248175:24251588:1 gene:Sspon.05G0024610-3D transcript:Sspon.05G0024610-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQASVSDPENVFLSISTPSLSHEAAAPSSSSSGGLPELTLQETRKTYGRFAEIVEPPREGYVLTLKLNFSGLTRPKGTFEELINASSLRFHQLVSLLQSVVLSSQLKNMLGNLGSSPGMMRLVYNQREPFFVSRTADETINAIFPMRFRDDTDLAIATSFFQELQDVGNSFARAPKCSWSPIPPPELRGENVHHLTTNGGFVSFVVLSRHVRGKRAAKTAWILLNFQSYVKYHIKVAQRPPTDPSWGSAPGATWQSRMRERLEALTEAVQNARLRGTNSDDKTRSSQVVKKRNKRRLISLSKASKKLHKGFRAVLDKMKRLRQRIRVKGLDRLQRQFRCRCFAVPRLPAPPRTRSRKERRYHKLA >Sspon.01G0044850-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:85800764:85807774:1 gene:Sspon.01G0044850-1B transcript:Sspon.01G0044850-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding KGLSLLGEVDRATPVDNQRGRGTAIVGFRAELFGSRIELCRDSTKSRVIRTFRKIGNPSSHQVVIKASDSVVLSLCPDQGLVAGDGEDTAPKNWSECISQDQLQSVVDNAQKEMTEAIAKAVTDVLIDLKLGNTLKRLDKRLSALTDRGGSATAWKCSHHPTKKFMMAATPVDSRMQYMTPMVWVVLNTSTATKFAMLAEKELQGRDLQGENKVKILCWNLRRVHPPSPQRDALLAFSATAAMDLGICSGSAQDQEQAVQKDGEVFGSEDMTAYMNIIVQRVLGAQEYEDIFPAEIPPGLPPMRGIEHQIDLIPGASLPNRVAYRTNPEETKEIQLDDMLDELCGSVIFTKIDLRSGYHQIRMNLGDEWKTAFKTKFGLYEWLVMPFGLTNAPSTFMRLMNEVLRAFIGRFVVVYFDDILIYNKYLDEHMDHLHAVFNALREAHLFGNLEKCIFCTDRVSFLGYVVTPQGIEVDETKIEAINSWSVPQSITQVRSFLGLAGFYHRVVKDFSTIAAPLHELTKKGVEAHGGGLMGHFGAKKTEDVLATHFFWPKMRRDVERFVARCATCQKAKSRLNPHEVVNRTLGAMLRAILKKNLKMWEECLPHVEFAYNRATHSTTKISPFQVVYGFNPRAPIDILPLPTSDRIHVDAKERADFILQMHETTKHNIEKMIEKYRIAGGKGRQEVKLEPGDLVWLHLRKDRFPDLRKSKLMPRADGPFKIVEKINDNVYKLELPPKFGVSPTFNISNLKPYLGDEDELVSRTTPIQEGEDDEDITPSHTHNDPLLDIQGPITRARARQLNLEVSSFLSNSLYDFENRLLPNDSIVLRNEGEVQETHGGGLGGMEVQLGRPNKDGGPNQVEFESASQSRSSQH >Sspon.02G0012420-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:31131839:31135221:-1 gene:Sspon.02G0012420-2B transcript:Sspon.02G0012420-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMNERGEKGMEGNASSGAAGIPVEWQTQFSAAAFSCAPPPPQQQQQQVPMMDSAFASAGLWASTSQAMALSDVGVMSAARGGGGFLAPVPSFLPQGLGHFPVDSGFIERAARASCFGGGGVMGATAGFGAADHQPMNNAFSGSSEALLDHQRKNGNDKGEPELGRNGHDGVLSSEAAGGDCSSKGTSDSKKRRRPNEVMGGDQVQSANLPADSANESVHSKDKGEESSPATTTGPGKSKGKGAKETSESQKEDYIHVRARRGQATNSHSLAERLRREKISERMKLLQDLVPGCSKVTGKAVMLDEIINYVQSLQRQVEFLSMKLSTVNPRLDLNIEGLLSKDLLRFPGVPSSSLGFSPEMMHPQLQLSQPGLIQGGAAGMANPDVFRRIMQAQLSAKDGSQMSHALNGGSFSDVAQMAYPSLGSQDLSIRPSQDGFQM >Sspon.07G0001640-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:2649086:2652693:-1 gene:Sspon.07G0001640-3C transcript:Sspon.07G0001640-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAGRVKAAMGFQRSPATPKPGAAASSTSSARKASAPCAPLQLPGSAAAGQPETPRRRSSGSPALPPGSGSKGGGGPFSRYFPRSSAQVQPARAAGPPEPPPPGPGPADLARLVEELRERESRLRTELLEHKILKETVAIVPFLETELAAKSSDLGRCRDALARLQTENARLRAELDDAVAAARTSQQRVVELEEEVAEMKRRRRRDPDPEPHHDDDCSSSVSSDNSDCSNAATKLVVPGLSIVPPPAPPPPPPPPMPAPHKSRSSYFSGSSRASTANSSSSSSSAPSTPTYSSDTAASRSRVPELSKLPPIPAPPPPPPPPPPPSMPARGRRSASSSPSTSSTSSSGGGAGPPAPPPPPPPAARRNSKASAPATSATAPAPAPCVRRVPEVVEFYHSLMRRDSRSRDGGAAGDAGAGGGAAAARDMIGEIENRSSHLLAVSVRSIYSIMIKSDVETQADFIRFLIKEVQGAAFVDIEDVVTFVKWLDVELSRLVDERAVLKHFDWPEGKADALREAAFGYRDLKKIESEASSFCDDPRQPCSSALKKMQALFE >Sspon.04G0007040-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:19719044:19720678:-1 gene:Sspon.04G0007040-2C transcript:Sspon.04G0007040-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIAAAVTAPTSAPVHVAPRHATPAVVQPRVAARREPSPLNPNTPAQALRSASPGRGSSAAAATDGGGARAHIANLDKVLGKPPQVPRPAATASNKQGQDGEQEPLNVRHGLLNALNLSFFVPMPGMRARTAADEHMSPRSLMHMQQLLSADSPRASPRCTIAPRWRSLHGEGGWEGLLDPLDSDLRRELLRYGDFVQAAYQAFHSLPTASARHRGLMLPDRSYRPTRSLFATSALSMPPWAKRPNTPEWLTQQSSWVGYVAVCESEREVTRMGRRDIAIVLRGTATCLEWAENLRASLVPLDGDTSDGADTAGAEEPKVARGFLSLYKTAGEKVKSLSEEVMDEVRRLMEKYKGEELSITIVGHSLGAALALLVADEVATSIPDAPPVAVVSFGGPKVGNAAFVDRLTSSGKVNVLRIVNAGDVVTKVPGVAPRLPHKKEQYQHVGAELRIDSKNSPCLRPDAGPACRHDLEAYLHLIDGFTGTGRPFRHDARRSVIRLLQLQRGNVKKEYMNRARELGVDPAAPADVGRSMAYGNCAIASPS >Sspon.08G0020480-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:22063482:22066436:-1 gene:Sspon.08G0020480-3D transcript:Sspon.08G0020480-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSKATAAVTLRTRKFMTNRLLSRKQFVLEVIHPGRANVSKAELKERLAKLYEVKDSNCIFVFKFRTHFGGGKSTGFGLIYDNLEAAKKFEPKYRLIRNGLATKVEKSRKQMKERKNRAKKIRGVKKTKAGDAKKK >Sspon.07G0026870-2P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7C:57205854:57207376:1 gene:Sspon.07G0026870-2P transcript:Sspon.07G0026870-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDDITVHVEWLARRLTQQQEDAAATEQHRIMMNTLRVSRVPDHVREHNRDDYTPRLVAIGPLHVSDSRLRAGYRLKMAYLHSLISRGHPDPGRHLAVIQSYVRLVAAREQEARAMYAAEDVDMSAEDLIQMLVLDGCFIIEHLVNVATGVEEASLHATPFGPVQLFVDLVLAENQIPFFVLVDLIKSTRLPEFDSTGYQPTDLLMKLGLPAANDVCHILHLLHEMVTAARTAWEPPPRTQVCTVKMARDAARLLQRLPLLLLVSVLYPTLPEESKWGARYGRVGVPSASDLKRMLLMQIKKARGGSGDTDKAPAGIVSVLGPVPLAVKLAHGERLRLPQLRIEYRTAPLLLNLMAFEQSAGNRAGDVSSYVWLMATMVQSAKDAGVLVAADVVQSSTSAGNETNVDVARFLRRVGAASEAAAELQKSYLGPSLETLRELTSDQLMTMRADVQRYYVNVPWRLVAAFVTIVTTVASILQTFTSFKQKP >Sspon.02G0037520-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:29948613:29950009:1 gene:Sspon.02G0037520-2D transcript:Sspon.02G0037520-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKLDDGSLPRRTSFHTLPFLLPPLCSYLFAFASLGFSSLDTFIINHAQLPQTPTQNPSRRRRRWLRTCIHRSETHRLCDTSGRKLLQHVLTSPSRRHGHGGEAIDRRNAPPPPRPAAEKDESSRDIRWERLDKARFFVVGAGLFSAVSAALYPAVVLKTRLQVAPAAAAAAGPAAPPPSAVAAATAILRQEGPLAFYRGFATSLAGTIPARALYMGALEATRSAIGPAALSLGAAEPAASAAAGAVAGLAAAVAAQVVWTPVDVISQRLMVQGNPCPASRYRGGLDAFRKIVASDGLRGLYRGFGMSILTYAPSNAVWWATYSLSQKIIWSGIGCYLCDYGVGVQEIDLGDGDSLLQPGCKTVMVVQGVSAAMAGGASAFVTMPLDTIKTRMQVMDGDSEPITVGRTVRRLIKEGGLAACYRGLGPRWASMSLSATTMITTYEFLKRLSDKGQESDLA >Sspon.01G0034670-1P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:4875581:4877441:1 gene:Sspon.01G0034670-1P transcript:Sspon.01G0034670-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASTSTSLSFGFSSHHRPTPRIRPQPQPQSQPPKPLRLSLTLTPATAKPRLAAAPDDVAVADIVEKDWSFLDSAGANLQSALAAGVLSPASRVLALTPTASFVSSLLASSPCELLVAAHESMYVLAGVKEAHDEVRCFHLEGGGGGRGGGVVEAVPERFDDFDVVFVCYFPGMGVSAAALLKSLAKRCSKGARVVMFLDQGRQNFEQQRREHPDVVTSDLPSKAFLEKAASGNKYEITEFVDEPSFYLAVLQFQG >Sspon.04G0005070-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4A:14644196:14648410:1 gene:Sspon.04G0005070-1A transcript:Sspon.04G0005070-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding QPYVIKAKVNGGHSGDRTLDRTRSLFDRTRPVSVQRLRVSQRFDRTRSASGHDRPDASGRLGSLLDSQPDAGTVASGQFSSASGRCFVVRCSGLTSASGQLRDQRVRSSPARPVRVTSASSRCFASSRCVIGASGQLDQRVRSAQLQLFQVSNGYIRRVGRCCHRSATLLRQACQDLHHTWRLGSSTYSETDADTACSRVVGSGFDDNQGLGLASVIRASCSSRYLEGCLGVDLPSRINLLHLLLVQELIVSLE >Sspon.05G0011070-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:33856166:33859120:1 gene:Sspon.05G0011070-4D transcript:Sspon.05G0011070-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPEAVRKSLEPTATAEKITGSTPARLHFYDPFVLSGVSIEAAEHGRLLCSFVVTPRLASPVGYLRSGVTATLADQLGSAVFFCSGLPSSGVSIEISVSFVDAAAVGEEIEVEGKLLRAGKSAGVVSVDFRKKKTGKLMAQARHTKYLVASSKFHDVGTGELFGSTIGNAS >Sspon.02G0014840-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2B:37905612:37907522:-1 gene:Sspon.02G0014840-2B transcript:Sspon.02G0014840-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSSPSLRLLFSRRRPIAPHHQHHLCHRHSLSASGPAAAAAIPPPSRNRAARLAAAVHGAVAAKNFPHAIRLTKSLVQVSSSFPGRSSAATAAGAGAAFAALSSTSTSPAPALGVLVIALSQMGLLDEALNVFRRLWTLPALPACNAVLDGLVKARRSGCTWELFDEMLRRGMVPSVVTYNTLINACRYQGDVAKAQEMWDQMVARQIDPNVVTYTTMICVFCEEGCIGDAERLFVAMKKAGMQPNQYTYNVLMSGHCQRDDVNSAFVLYQELLNSGLIPNAVVFTTLIDGFCKAKRFSEAKDMFLDMPRFGVARTVPVYNSLMDGAFRSGNAQEALSLYQEMTRLGLCPDEFTCSIVVRGLCDGGQIQVAARFLEGVREDGANLNAAAYNALIDEYCRNGNLDEALATCTRMAEVGIEPNVVSYSSLIDGHSKLGKMQIAMSIYTEMVAKGIEPNVVTYTALIHGHAKNGGIDAAFRLHKEMIENGISPNAITASVLVDGLCRENRVQEAVSFVMEYSGIKYSDIHSIFSNFTEEECLIPNSVIYMTLIYGLYLDGQHYEAGKLFSYMRKSGMMPDSFTYTLLIRGQCMLGCVLNAMMLYADMMKIGVKPMRYKIACPEMWSWAPLNDPQTVVS >Sspon.05G0024420-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:22124781:22126944:-1 gene:Sspon.05G0024420-3D transcript:Sspon.05G0024420-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial intermembrane space import and assembly protein 40 homolog [Source:Projected from Arabidopsis thaliana (AT5G23395) UniProtKB/Swiss-Prot;Acc:Q8GYJ4] MGQVESQATPPAEEPSPPAVEPSPPSPAPPPSSLEALAAEAMSFDEDDTAESIDVKVQKALDCPCVADLKNGPCGGQFVDAFSCFLRSTEEEKGSDCVISFITLQDCIKANPEAFSKEILEEEENDEEAEKSNLKVRAPSWSRESKPK >Sspon.04G0003300-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:10713888:10719890:1 gene:Sspon.04G0003300-4D transcript:Sspon.04G0003300-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGKEPIEVRFRLFDGTDIGPTKYDPSTTVSSLKEFILARWPQDKDIAPKTVNDLKLINAGRILENNRTLAESRVPVGEVPGGVITMHVVVRPPQADKNSVPSWDVVTTHSPESIRTELTYT >Sspon.03G0015960-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3A:51034309:51035967:-1 gene:Sspon.03G0015960-1A transcript:Sspon.03G0015960-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ISVGEAPPIWQSMKEQKLVAKDVFSFWLNRDPDASAGGELVFGGVDPKHYKGNHTYVPVTRKGYWQFDMGDILIGGHSTGYCAGGCAAIVDSGTSLLAGPTLCERLPSPNGESTVDCDQISKMPNLAFTIANKTFTLTPEQYIVKLEQAGQTICISGFMAYDVPPPRGPLWILGDVFMGAYHTVFDFGENRIGFAKSA >Sspon.01G0050010-2D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1D:71653124:71653378:1 gene:Sspon.01G0050010-2D transcript:Sspon.01G0050010-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPTSPPAFPASTATSSVSRLRPLRPSLPRLRFWPAPVAAAGAVPSPIALGPGCRPLPGIIRCRAAAGPSPPSSEPPPPSPHG >Sspon.07G0024880-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:31456012:31462603:1 gene:Sspon.07G0024880-1B transcript:Sspon.07G0024880-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRTLASKKALSFALGGAARPLAASARGVTTVTLPDLSYDFGALEPAISGEIMRLHHQKHHATYVANYNKALEQLDAAVAKGDASAVVQLQGAIKFNGGGHVNHSIFWKNLKPISEGGGEPPHGKLGWAIDEDFGSFEALVKKMNAEGAALQGSGWVWLALDKEAKKLSVETTANQDPLVTKGASLVPLLGIDVWEHAYYLQYKNVRPDYLNNIWKVINWKYAGEVYENVLA >Sspon.03G0025330-3C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3C:95460569:95462699:-1 gene:Sspon.03G0025330-3C transcript:Sspon.03G0025330-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MELVVETTASSSSSLKPHDGGSSSNNASSKKATSSKCPPHGYGHGRRWEWDMLTRFHAGYFRISLALSGQALLWRTLISDSAASSSSSSGHGPLLPLLPSVAFLLLWSLALLALLALAALYAARCLLRFPAVRAEFRHHVAMNYLFAPWISCLLLLQSAPFLFLRPSAPPYHLLWWAFSLPILALDVKIYGQWFTRGRKFLSMVANPASHITVIGNLVTARAAARMGWHEGAVAIFAVGAAHYLVLFVTLYQRFLGSDSLPAMLRPVFFLFFAAPSMASLAWDAISASFDTCCKMLFFLSLFLFASLVSRPTLFKRAMRRFSVAWWAYSFPLTLLALASVEYAREVRQAAANVLMLALAVLSVAVTLALMLFTALRTADLLPRDDPFDCPQLPHLL >Sspon.08G0011890-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:51193190:51197617:-1 gene:Sspon.08G0011890-1A transcript:Sspon.08G0011890-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPVDSEAAAAEKARRKKDKREKRKKHKDAKEDDCGAATAEEEAPKEKKQKQRKGGDEGGGQEKKKLKPTVSIAVAGSIIDNAQSLELATLHEALQRASLLSQLAGQIARAATVFRIDEIVVFDSTPAAESGGAGDGEESGARFLVRILEYLETPQYLRRRLFPMHKNLKFVGLLPPLDAPHHVRKHEWSEFREGVTLESDPSKGTLVDVGLSKNVLVEQTLEPGKRVTVAMGTNRDLTTEYDHIIGTSEHGQIVNSSELTLPTFRHLLIAFGGLAGLEESIEEDTNLKGKRADDVFTSYLNTCPNQGSRTIRTEGFRVAIVHKTRRFSALDTCSYASVTTP >Sspon.01G0016620-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:56783584:56787117:-1 gene:Sspon.01G0016620-1A transcript:Sspon.01G0016620-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ASPRSAAAPRTSGLSSARALPTRRLRCSASAAAAGASAEMADAPLDWAGRSLEELRSLPDHDTFCLMALSPLDGRYDRFVKELMPFFSEFGLIRYRVLIEIKWLLKLSQIPEITEVPQFSKEAQAFLNAIIDNFCIDDAKEVKKIEKVTNHDVKAVEYFLKQRCSSNPEIAKVSEFFHFGCTSEDINNLSHALALKEGVNRVMFPAMIDICRALCSLATQNSGYPMLARTHGQPASPTTVGKEMANFAARLSDIGKSFSEVKILGKFAGAVGNYNADVVAYPEVDWPKVAEEFVRSLGLQFNPYVTQIEPHDYISKLFNLFTQFNNVLTDFDRDMWSYISLGYFKQIPKAGEVGSSTMPHKINPIDFENSDGNLCQANSILSGISMKLPISRMQRDLTDSTVLRNLGMGLGHSLLAYKATIRGISKVQVNESRLAEDLDQTWEVLAEPIQTVMRRYGIPEPYEKLKELTRGQAVTKGSMQQFIDGLDIPQEVRAKLSKLTPHSYTGLAEDLARDIEKWVDLESGFQIK >Sspon.01G0058990-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1D:37941852:37942268:-1 gene:Sspon.01G0058990-1D transcript:Sspon.01G0058990-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPSIDTSIHPDDKMFILNTQQPYIWSTIKTYRTAYARFGLEWTGYVGEEKQFKVSEAIAGKEAVWAEIVRENELVETRLHDVADWWLIDVVVYEHGANWKLLESMNKSKEHGFLGFRGTVKSFNTWIDKMKAYKIVP >Sspon.02G0036500-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:21358592:21363966:1 gene:Sspon.02G0036500-3D transcript:Sspon.02G0036500-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEERILVSVRLRPVNAREAERGDGSDWECAGSTTLMFRGNIPERAMFPASYTYDRVFNPECSTRQVYEEGAKQVALSVLSGINSSIFAYGQTSSGKTYTMVGITERSMSDIYDYIDKHPEREYVLKFSAMEIYNEAVRDLLSPDATQLRLLDDPEKGTVVEKLTEETLRDKGHLLELLAVCEAQRQIGETAMNETSSRSHQILRLTIESSAKQFMGRGNSSTLLACVNFVDLAGSERASQTQSAGVRLKEGSHINRSLLTLGKVIRQLSKGRNGHIPYRDSKLTRILQSSLGGNARTAIICTMSPAHCHIEQSRNTLLFANCAKNVVTDARVNVVMSDKVLVKHLQREIARLENELKFPGSASCSNHAEALREKDELIKQLEEQLKELMEQKDTVQSQLDNFRRVASDGNFNDHATRQWDQRNRSSESLPRNVSEDALSSSDTYDAVYEEQDDPGSKALDASHVCNGHHHDPELPKTTTEPYQQTVDEQPMSSLHQPRNHISDNIEIYQQNREVSSEVSEEHCKEVQCIEKNELRRSQLFFPADGSHAGTNIDEEKHGENITDTSDIAIQLYTCDSDPSSDTEKTNTDESLALKRCVISSRDSVLTRSRSCRASFMVIPNSWFDGSVDMRMTPPGDIFKYAHRRPEKVRRSLYPENGHCQNDPTLDCLVVSRTVASDTVIDKNTCNEEDEDAINNVSCITKVKEKSEECCTSQPESNQDDFTEEISDMKHAKDVDRDKSVTTVDSPSRWTINFEKKQKEIIDLWHECNVSIVHRTYFFLLFKGDKADNIYLEVEHRRLSFIKSSFSAGCEPNATVTSSLRNLRHERDMLYKQMLRRLNLPERESLYSKWGIDLNSKQRRLQLSRRIWTQTDMEHVRESAALVTKLVEHLEKGQAIKEMFGLSFTLNPRGDRRTFSWVSAHS >Sspon.04G0028100-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:66277238:66281823:1 gene:Sspon.04G0028100-2C transcript:Sspon.04G0028100-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKGRNLTKSNNENVLDATKKFEKLITDKKEIEGLPATALGLAAQTAVSKGHENATAENGPWVITLDAPSYIPVMQHAQNRELREEVYRAYLTRASSGELDNTNIISQILKLRLEKAKLLGYKNYAEVSMAQKMATVERVEELLEKLRAASWDHAVKDMEDLKIFAKDSGSPEANDLTHWDLTFWSERLRESKYDINEEELRPYFALPKVMDGLFTLAHKLFGVTVEPADGLAPTPPVGEKPSLMTFREHYETGETLPEEIYAKLVAAKNFRAGTFSLRQIRFASVDMELHTTYDPNVSLSIYDVDRRVAERTQVLPKSCRTDTSGYAAGYYSYKWAEVLSADAFSAFEDAGLDNEKAIEETGRWFRDTVLALGGGKSPLELALQRITIS >Sspon.05G0009400-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:22326850:22327410:1 gene:Sspon.05G0009400-2B transcript:Sspon.05G0009400-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNYSSPHGGDPNANTNSTAARIAADPDTHQDFEPKSRSSDMSLHDIVAQDIKDNPVLIYMKGFPESPMCGFSALAVKVLQQYGVPICGRDILGDLKLKESVKAH >Sspon.04G0020360-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4B:74277791:74289124:-1 gene:Sspon.04G0020360-2B transcript:Sspon.04G0020360-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPRAAIHRSGALLLLAAILAAAVTSASAIGDKCAACKAVAAELEIEISSEKPRNHLDLRNRLNSKGQREGKVIDYRVSELRVVELLDGLCDKMQDYTLKKKIRQQHERIRKICPPSVEVDELTSFTYYTGYWRKPRMSAFEDLNIFFPLNEDRNILCSSFLLYGLPVTSSNAPIIPKDPPYSQSVISFPLTAPVSLLPSAPDFDFDLLAHPQFFLLPSRAAGLTAGDGTRRPGLPPPSAVLLHSFVAPRQNLALPRLHRLGLSLAPLVVNVNPNVNVVLTACLTVYVGCYRSVKPTPPSETMSKEHAMRFPLVGSAMLLSLFLLFKFLSKDLVNAVLTAYFFILGIVALSATLLPSIKHFLPKEWNDNLIVWRAPFLHSLSVEFTKSQIVASIPGFFFCLWYASKKHWLANNVLGLAFCIQGIEMLSLGSFKTGAILLGGLFVYDIFWVFFTPVMVSVAKSFDAPIKLLFPTADAARPFSMLGLGDIVIPGIFVALALRFDVSRGIKNRYFNSAFLGYAVGMTVTIIVMNWFQAAQPALLYLVPGVVGFVAVPCLWYGEVKQLLEFDESKVDAEEGGAEEEQDGDSSKGNKKVD >Sspon.08G0004540-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:66059871:66061724:1 gene:Sspon.08G0004540-1P transcript:Sspon.08G0004540-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGQPPPSSVRTERLLRAACAAMAAAGALLLGFSAQTKTVLFVQKKAVPKDVQALWVLIVAAAAAAAYHAAQLARCLCMDRLASSGGGGGAGCRRLGRAIACASFLLDKGCAYMVFATTVAALQACFVGLLGVEALQWSKLCNIYTRFCEQAAAGMVCSLLAAAGMAVLSTFSARDLFRRRPCSPCVQVQQL >Sspon.04G0030660-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:2110960:2114948:-1 gene:Sspon.04G0030660-1C transcript:Sspon.04G0030660-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKAIHRQRVLLAHLLPSASPSAQPQLAASACAAGDSAAYQRTSSFGDDVVVVAAYRTPICKAKRGGFKDTYPEDLLTVVLKCSSGLQAVADVAAAIKAGYYDIGIGAGLESMSINSMGWEGQLNPKITALQKAQDCLLPMGITSENVAHRYGVTRQEQDQAAAESHRRAAAATASGKFKDEIVPVPTKIVDPKTGEEKKVVISVDDGIRPGTTASGLAKLKPVFKKDGTTTAGNSSQVSDGAGAVLLMKRSVALKKGLPILGVFRSFAAVGVDPAVMGVGPAVAIPAAVKSAGLEIGDIDLFELNEAFASQFVYCCNKLGLDRSKVNVNGGAIALGHPLGATGARCVATLLNEMKRRGRDCRFGVVTMCIGSGMGAAAVFERGDAVDGLSNVRDTQTHNFLSRDAK >Sspon.05G0029080-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:75286931:75296654:-1 gene:Sspon.05G0029080-1B transcript:Sspon.05G0029080-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGGANALNPAMHGGGEQPWDVAATPGHTPPCMVAGYAYPATHHARGIPRRPSWRGTAEIKAAPRHARSGIRRAPEPRPSAAGAATAARAPPRPPPARAAPPPRQRRPCRAPPPPLPRRPQQPAAPPPPLSSPPRRRCRGRRAAAAAVRAAAPSPAPRPPRPRRRVPGRRFRAAPPAADRRTPAQPRHAAARPTSPRRRPSRPVPAPEEEEAAEEEMIGDDVSTDSDDSGNEEGGPHAMRAPVEAMPSGGAPAMCTPVRGMPSGVPLEVLHGMQTQGTLATGYPDDGVEYEGWSRVRETQQYVAPPSYTSAELTQLREAGLPFTGVFPPPASYAWWSGTYGVTQGFAILTEAISLAGGLQSAANIRCNKYVSCISVDGKYCRPVVLVDGTFLTGKYRGTLMMAAAVDPENQIVPMAFALAEGENNDSWSWFMRLLRVHVLGPSRTICLISDHHIGILNAVGEHIDGHPPLVHRWCMRHFSTNFWRRQRKKEVADKLKELCNKRTEREFNETMVELEKMLNQAGKAWLEQQMKNKAKWALAFDEGGFRYGIMTTNSSESFNRVFKGVRSLPVSDLKKVGKGRRKKKRLKGDMDNMKGYGADMYGGGDFDEEPSLRRTTTKTTGPRPCRSSRGRCVRFVWDERYTTYICRAGFLEIVRVYNNHEVEVYAKVWLWHFLGAFLFPDSSGDSISWIFLRILMQPLENIATFSWGTAVLAWTYRQLCTACRRQSANANLGGCSYLLQVWIWERFPVGRPTKPPHLPHEDVLPTALFVWKDAKVVRGELNRRYRQYTDAIDLEDYLSPRCEEEGDEWLYEGPLIFFHVGLQTVREVAGNSTAVLHQRSAAWASDHAKGLGAPPGKMDGVPAMAAAEYEDSSHGPVHQHACRCGGEDDIADAFDEAQRAQQLSNYSEEASRQLFRTRDDKTNPLRSFIKKLSCADTTLDVLYNPTWSRSQTMSTAAASASNTRAASSSVRTPHDRGKGPAVADDVDEELQYDTTSGDDDDDDEDVPQWEYTGHEEMGHEEMGPSQLYGAPIGTQGVDYTQQENYTPAEQQGTSSMQQFDPTGPHRCGLEKQRGPYESEEAAETARPLHPWRLGHPANGGVPQTRPQPWRGAPCTEKLH >Sspon.07G0023450-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:16812272:16815159:-1 gene:Sspon.07G0023450-1B transcript:Sspon.07G0023450-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPAAMSRSPCSIISPASLSTAPLLAARLAVRPRRRAPAFTAPSGRPLLVARRAAAGDKVEAETPIEKRFPPFLTVMDINQIRDILPHRFPFLLVDRVIDYKPGEYAVAIKNVTINDNFFPGHFPERPIMPGVLMVEAMAQVGGIVMLQPEVGGSRENFFFVGIDKVRFRKPVIAGDTLIMRMTLIKLQKRFGIAKMEGKAYVGADLVCEGEFLMATGSE >Sspon.07G0035500-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7D:8351730:8353293:1 gene:Sspon.07G0035500-1D transcript:Sspon.07G0035500-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKGKIFKLAKGFRGRAKNCIRIARERVEKALQYSYRDRRNKKRDMRSLWIERINAGTRLHGVNYGNFMHGLMKENIQLNRKVLSELSMHEPYSFKALVDASRNAFPGNRPVPAKEGLASILNDRTVINLRQKALAVCSVSITWDQEALSELRV >Sspon.05G0030020-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:79196022:79200737:1 gene:Sspon.05G0030020-2C transcript:Sspon.05G0030020-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GCWRCSAAGWWRCRRSWWRRAAGRRRPRPGRPSSSAASWGASEPAVSVQLGDLGHLAYSHTNQALLRPSPSIPYLLCVHPPPWTTTFHGWRIEVFCLFEGVLGNLGRLSQQHGLSTKGANEVLLVIEAYKTLRDRAPYPASFMLAQLTGSYAFVLFDKSTNSLLVAKVPLFWGITADGCVAFSDDIDMLKGSCGKSLAPFPQAANSASMLPDGRRTNATPPAIRVPESSRASSPPPRAYTPPLRMDRNTEGRNRIPTH >Sspon.04G0007400-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:21919888:21922648:-1 gene:Sspon.04G0007400-2D transcript:Sspon.04G0007400-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LWPPSESTRLILVERMTKNLSRESIFSSKYGLLGKHEAHENAKRIEELCFASADEHFKRKPDAICKGNEQDDVGSSEKGPRIAVELEAPAADTPLVPADTVLDISGGKRAFIEADEAKDLLSQSPNQEIHIKRICFSNRSFGIGAANVAGPILESVKNQLTEVDISDFVAGRPEDEALDVMRIFSKALEGSVLRYLNISDNALGEKGVRAFSELLKSQESLEELYVMNDGISEEAAKALSELIPATEKLKVLHFHNNMTGDEGAMYIAEMVKRSPNVESFRCSATRIGSDGGVALSEALGTCTRMKKLDLKYNLFGVDAGLALSKTLPKLPDLVELYLSDLNLENKGTKAFANALKQSAPQLEVLEMAGNEINAKAAPHLAECLAAMQSLKKLTLAENEIKDDGAVIIAKSLEDGHTDLKELDVSTNMLQGVGARCFARAVANKPAFVQLNINGNFISDEGIDEVKEILKADENEHDGEPDDEEEDEDAEDNEDELDSKLQSVKRLVQKLPARLYSFFRIQSLESIVSNAYANPQQCPWPAPPSIRRHPCGAVRHGEQLHVRGAKAPGLADGTLPAAPGTLSIQARSTTTSSPTSSSSPCREA >Sspon.01G0027930-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1B:64222179:64223492:1 gene:Sspon.01G0027930-2B transcript:Sspon.01G0027930-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDSDGEGGGGGVARSHPSNSTACSTVRPEPPVLGGTDDESSNRTAAPLPPAARAPGTTRLPSSFSGECSPYNMSPWNRPWRPLVAPQRGVRRRARRRPATMAPGTSLISSLVREEGHILLARRQGRHALHRVGQQEHPVWRKQKDSGGFKSSSGLVKAIVISGERIFTGHQDGKIRVWKVSPRTACTSAWAACPAARLPTRLAEPSNYVEVRKNRSALWNPAQRRRVVPEPDGPGAGVPLLRVLGPHLQGMAHQRLQVPRVGGGARRQRELHRGGVRRAGVHGLGGRHRQGVAARAAGEGHKHTPVQTLLKQEHAVNALAVSAVAPVLYCGSSDGLVNFWEGERHLVHGGVLRGHKKAVFCLAAAGALLLSGSADNTIFVWRRDGGVHACLSVSRATPSPSGASPSSRTTARTAANPPPVAPPPRRGSSSASRWIV >Sspon.05G0010330-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:31906858:31911336:1 gene:Sspon.05G0010330-4D transcript:Sspon.05G0010330-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MESVKGWVAANYAEAMASMQHSLRVAYVVFSFCAAFFLGGIKAMVVGPVAAALMIVGNVGVILVLFPAHVWWTIYSLIKTDRVNAGLKLAVLFALPVLFGLWLGLGIFGSALVALGYGFFTPWISTFEAFRQESEAKKFVHGIVDGTWGTIKGSCTVVRDFADICFHSYPVYLKELRESCQNREPHSIRLLDVPSCIVVALLGLVVDIPLYTVIALIKSPYMLFKGWQRLLHDLISREGPFLETVCVPIAGLAILFWPLVVVGSVLLAIVSSIFVGLYGAVIVFQEKSFQRGVSYVVAMVAEFDEYTNDWLYLREGTILPKPSYRKRKSSNPTEFSVRTNASVKGTGPSEAPAMLVPNLAPARSVREAIQEVKMVQIWENMMKSCEQKGRDLLNLNVITTADLTEWLRAKESSHETISLGLPSYSLLCTVLQSIKAGSGGLVLGNFEVNQHNRPQDRLLDWFFHPVLVLKEQIQALKMTEEEVRFLEKLTVFVGNAASAGGWDNGAEMPQDPVRLAQIQAISRRRRYRHVVKLLIAYSIERESSSASGHSASYFEITQLDV >Sspon.03G0013990-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3A:42877909:42878874:1 gene:Sspon.03G0013990-1A transcript:Sspon.03G0013990-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding TFSPVVKPATVRTVLSMALARSWPVHQLDVKNAFLHGLLTEIVQSLYGLKQAPRAWNHRFAAFLLTLGFVEAKSDTSLFIYHYGADTAYLLLYVDDIVLTASIEPLLHRIIAALQQEFTMKDLGELHHFLGVTVEHHPAGLLLHQRQYTRNILERARMTNCNPCSTPVDTQGKLSEVEGPPVSNPTAYRSLAGALQYLTFTRPDITYAVQQVCLHMHDPREPHLTALKRLLRYLRGTLDYGLLLHRVSSVDLVYTDADWAGCPDTRRSTSGYTVFLGGNLVSWSSKRQPVVSRSSAEAEYRA >Sspon.01G0029620-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:102981714:102982676:1 gene:Sspon.01G0029620-1P transcript:Sspon.01G0029620-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLCSFYASTSVPVVKPQQSSKPSTAAASVATNVPMRSAATATVTTAVPTATPALSLHLPELPSQVKDKILSLELMGVDYGRALELNPALRDAAPESIHAVVSFLQSRGLQFKDLGRVFGMCPSVLTASVRADLRPVFAFLSEDLGVPESAHRRVVIKCPRVLACSVRDQLRPALIYLRRLGFRDSRALALQDPILLVSSVERTLAPKLEYLAGLGMSRDDAVAMVLRCPALFTFSIERNYRPKFEYLVDAMGGGVEDVKAFPQYFAFSLEKRIAPRHRAAEDAGVALPLPDMLKATDEEFREMLDKERELLQEQTATTD >Sspon.06G0016580-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:71550592:71551498:1 gene:Sspon.06G0016580-1T transcript:Sspon.06G0016580-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLRLAVVAALALCCCLIHAPSTTGAATDASFPPGVEVVQQDTRVAPPSSSCGADDQAVVAGEAEAAGGRTRMDLELEDYPGSGANDRHSPWAQQRRN >Sspon.05G0015390-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:53386047:53391000:-1 gene:Sspon.05G0015390-3C transcript:Sspon.05G0015390-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVRHAQGVHNVEGDTDHSAYMKPEFFDARVTPLGWNQVDRLREHVKKSGLGEKIELTAVGVFGGESYSNGVSVPPLMVENAADSGRPAVSSLNCPPFLAVEACRERLIESDEDVLWVPDVRETFESLAERGMKFIDWLWTREEREIAIVTHSGLLCHTLRMYSKECHPTVRQEVSKYFSNCELRSLVLVDRSMLGSDCPSYNYPGKIPAGLDLPSDDVAADKKHVRPGAPSA >Sspon.02G0025830-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:95378454:95389413:1 gene:Sspon.02G0025830-3C transcript:Sspon.02G0025830-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein LEO1 homolog [Source:Projected from Arabidopsis thaliana (AT5G61150) UniProtKB/Swiss-Prot;Acc:Q9FNQ0] MAGGDRERDPEEETRNQMMQNLFGDQSEDEDEADDDDDIDVVEVVDEDDGHQQQLLSPPQHHQELEDDADDDEEDDARSHAHALHGGYHSEEVDGEAENGGEGEGESEGQVGMEEESEGEAHRADLDQGESDGDKVQSSPERELDDQRMEPDARGMDSEDEGYQQRTVSSRRRGVVASESEGSEDNYYADGAQEDEELRQTRKQSSPMEEERDHEVVRDVFGESDEDEPAPYRAHHDIDEESHRSPMEDEGQYEKDMQPDDVVADEDMRYESDDNHELKPKEKPVGPPLDLVVPFKQPPAQPDKMNVIKVSNIMGIDPKPFDPKTYVEEDVFVTDESGTKKRIRLEDNIVRWRTVRNADGTTSRESNARFVKWKDGSMQLLIGNEVLDISVHEAHHDQSHLFLRHGKGILQSQGRLLHKMRFMPSSLSSKSHRLLTALVDSQNKKTVKMQKWFETKDPEKAKMERERDEEPDYGSRRMAGRRRFEDELEAEALAERRIINAKKSNMSRNVPRKPPYPPARPPRRQADEYSESEREESEYETDGEDIEHSPPRGREDELDEEEEYEEDVEEEAPLSDEEME >Sspon.02G0002240-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:7229974:7233799:1 gene:Sspon.02G0002240-1A transcript:Sspon.02G0002240-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding EEMMNQDQSSKEDWCGRLWNGMDLLKDVTENKHVLPTGLEGIDTLLGGGLRQGQLTEVTGPSSSGKTQVCLHSASHAAVKHMGVVMYLDTSNSFSPSRIATLIDGTNDLSDQRGFDLPHKERLKTMMRSIICESVFDIFGMFEVLHQLEVSLLNDKVKSGGRKICLLIVDSISSLLAPIIGGKYPQGRSMMISVAMILKKLAYEHNLSVLVTNHMVSAGNGAVKPALGESWKAVPHVRLV >Sspon.05G0021020-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:20717695:20720092:-1 gene:Sspon.05G0021020-1P transcript:Sspon.05G0021020-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Root phototropism protein 2 [Source:Projected from Arabidopsis thaliana (AT2G30520) UniProtKB/Swiss-Prot;Acc:Q682S0] MAASSMDRITQWVSSQEVVPADLTVRIADSVFPLHKVRRWWCVDGVQVRLHPPGRGGGSPGAAVELDLSALPGGADAFEKAARYCYGGSLDITASDAAPLRCAAAFLDAPDLARRAEDFLAQAALRSLPGAVAVLRSCECDALLPAADELGVARRAADAVALGVCNEALFPTARPGEPPGWWAAELAALSPASFRRVVTALRCRRAGPEVVAAAAVAYAESVLAGILAPAAAPGPGRRDVVVVRADAEQRALLEAVVDALPPAADAPLPAAFLCRLLHAAVTAEASAKTCRDMELRVAAVLDQATAADLLGVALDGAGERVRNADTVRRVVAAFVERQQRQPQTQEGRRPSLAGGPADELGALEKVAKTVDEVAAEMATEESLPISKFVGVAGAVPRDARPSHDCLYRAVDIYLKTHPGLDEIEREKVCSVMDPLRLSYQARLHASQNKRLPLQAVLSALYYDQLKLRSADGGGAGVEGGGWETQSAAGKARAQARADASLARENEALRSELARMRAYVSGMQQHSKGSGSRTSSSLVPAAAGKKASFLGSVSRTLSRLNPFKGGWAKTRPASPTDVTGAPCMWSSPRGGGSPLAKF >Sspon.01G0048660-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:100526170:100526723:1 gene:Sspon.01G0048660-2D transcript:Sspon.01G0048660-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQEAPAQPGSVPAVTLRRFELGDVDAMMEWASDPEVTAFMTWDAYTSREALLAFLRDAVLPHPWFRAVCLGLGGGDGHGHEPPRPVGAVSVTPTDDAAVKRAVAAAFGELPGVERVEAIVDVDHAAARRVLEKAGFQREAVLRSYCVVKGRLRDMVVYSFISTDPLV >Sspon.04G0016680-5P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:12979957:12985167:-1 gene:Sspon.04G0016680-5P transcript:Sspon.04G0016680-5P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSAFLPELGTQVVVPVAAVVGIAFAVLQWVLVSKVKLTPEPRRADGSAGKSGGAGASEYLIEEEEGLNEHNVVVKCAEIQNAISEGATSFLFTEYKYVGLFMSIFAVLIFLFLGSVESFSTKSQPCHYSKGKMCKPALANAIFSTIAFVLGAVTSLVSGFLGMKIATYANARTTLEARKGVGKAFITAFRSGAVMGFLLAASGLFVLYIAINLFGIYYGDDWEGLYEAITGYGLGGSSMALFGRVGGGIYTKAADVGADLVGKVERNIPEDDPRNPAVIADNVGDNVGDIAGMGSDLFGSYAESSCAALVVASISSFGINHEFTPMLYPLLISSVGIIACLITTLFATDFFEIKAVDEIEPALKKQLIISTAVMTVGIALVSWLGLPYTFTIYNFGVQKTVYNWQLFLCVAVGLWAGLVIGFVTEYYTSNAYSPVQDVADSCRTGAATNVIFGLALGYKSVIIPIFAIAFSIFLSFSLAAMYGVAVAALGMLSTIATGLAIDAYGPISDNAGGIAEMAGMSHRIRERTDALDAAGNTTAAIGKGFAIGSAALVSLALFGAFVSRAAISTVDVLSPKVFIGLIVGAMLPYWFSAMTMKSVGSAALKMVEEVRRQFNTIPGIMEGTTKPDYATCVKISTDASIKEMIPPGALVMLTPLIVGILFGVETLSGVLAGALVSGVQIAISASNTGGAWDNAKKYIEAGASEHARTLGPKGSDPHKAAVIGDTIGDPLKDTSGPSLNILIKLMAVESLVFAPFFSTHGGILFKWL >Sspon.01G0016340-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:51428568:51429591:-1 gene:Sspon.01G0016340-1A transcript:Sspon.01G0016340-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPLPRCLLAGICAAFLLAAVVAHGAEHETASMVVGLAKCADCSRKNMKAEAVFNGLKVAVKCKNADGVFVTKALGEVDKSGAFSIPLAADLLREDGELKQDCFAQLHSAANQPCPGQDPSWIVGPTSDSQYDDDKMKKTFVAVAGKVHYPSKECASAFLCYHFFKKHLLHKKPIVIIPHIHKKPVPEYKPPTTTTPVPVYHSPVPEYKPPHPTPTPIYHPTAEDKTQNPETDPEKFKKLLPSSRRTPSSFPSSPSSLRGRRRSRRRKMGERRNVLRSSASTVM >Sspon.08G0003520-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:76558071:76561241:1 gene:Sspon.08G0003520-4D transcript:Sspon.08G0003520-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSSQSRSRLCCWLPLLLVLHFSRRAAGGGGSGGGGGGGKVPALIVFGHSTVDAGNNNFIPTVATGNFPPYGRDFDRGVATGRFSNGRLVTDFLSEAFGLPSSVPAYLDPGYTIDQLATGVSFASGGTGLDDLTAEIASVIPLSQQLEYFREYKARLQLAKGESAANDIIAEAVYIFSIGTNDFIVNYFTVPLRQAQYTPPEYAAYLIGLAEAAVRDAYGLGARKMDFTGLAPFGCIPAARTLNHDEPGKCNEEYNRLAEMFNAGLQEVVRRLDGELAGARVVFAETYSVMSDIIANPSDYGFEHVEQGCCGTGLMETSVMCGLDEPFTCQDSDKYFTRRSRRTGY >Sspon.03G0043870-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3D:52849586:52854937:-1 gene:Sspon.03G0043870-2D transcript:Sspon.03G0043870-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding WRGRRRDAPRARRRRRRRRRCKPGRRTTGGRSTSRPFWCSPTPRSSPSSLPSSRVCSSEREASLLSSPPCICVTSKRVDAFLEGERDYTKIEGDTGPLVYPAGFLYVYSAIKFLTGGHVFPAQILFGVLYIVNL >Sspon.01G0005730-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:13186533:13188614:-1 gene:Sspon.01G0005730-3D transcript:Sspon.01G0005730-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRSTHSPSGSSSAAPASSSSDAAMVGGGGAAAAAGSGGAPSGAKLLQILNVRVVGNGDRFVVLSHGFGTDQSAWSRVLPYLTRDHRVVLYDLVCAGSVNPEHFDFRRYDTLDSYVDDLLAILDALRIPRCAFVGHSVSAMIGILAFLNDNDYHGGFELPEIQKVFDAMAANYSAWAVGYAPLAVGADVPAAVQEFSRTLFNMRPDISLHVCRTVFNTDLRGVLGMVRSPCVVVQTTRDVSVPASVAAYLRAHLGGRTTVEFLQTEGHLPHLSAPGLLAQVLRRALARY >Sspon.02G0042690-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2B:85805972:85806960:-1 gene:Sspon.02G0042690-1B transcript:Sspon.02G0042690-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GWI >Sspon.01G0025980-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:91266899:91270376:1 gene:Sspon.01G0025980-3C transcript:Sspon.01G0025980-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATATEKTAEDIRRELQELQRQHREITERLRDPRGLRRGAPGPGPGPGGPRPLRGFVRPPVGAESGDQPPQKRRLLSAVVKVDGAENNEEGTKDADAEGHDAPSGVTEGSDRRGFNNGGFRRDGNLRMQRRVDYNSLPEPAPRELPRNEDPNLVRRNKRMLGQLLVGTLEKFQQEDKKLSNSEAFLRRSETQRKAEQKVREESERLRQQEREQIAEKRKRDMTLRARVAAKAEEKRLELLYIQWTEHHKRLSNFLRTKAEPPIYYMPTKPIIDDPAIVEQNKEMAFEEWKSMRRAELTQFQKQVEEQYLSNVERQLERIQNARNARKASGPANMQEMDKELDTHRAEHGPKTRRVPEEGGNDDDEDAEDMAAEDELMDEVLGINDGINEDPSKPSEEATTEGGEPALEEAQ >Sspon.02G0042320-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2B:82216143:82219919:-1 gene:Sspon.02G0042320-1B transcript:Sspon.02G0042320-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATHAGENGSGGHEEWRFARAGKEAVAGDKMSIRAARFKISANVDARDPRPVLPLAHGDPSVFPAFRTAAEAEDAVAAALRTGNFNCYPAGVGLPDARRALAEHLSSDLPYKLSTDDIFLTAGGTQAIEVVVSVLAQPGTNILLPRPGYPNYEARAGLHNLEVRRFDLIPERGWEIDIDSLETIADKNTTAMVAEVARKLGILVIADEVYGNLVFGDTPFVPMGVFGHIAPVLSIGSLSKRWIVPGWRLGWVAVCDPNKILQKTKIIASITNFLNVSTDPATFIQGALPHILENTKEDFFKRIIGLLEETSEICYREIKDIKCITCPHKPEGSMFVMVKLNLYLLEGIHDDIDFCCKLAKEESVILCPGSVLGMENWIRITFAIDSSSLLDGLERIKSFCQRHKKKNLLNGH >Sspon.02G0006290-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:18819675:18822459:-1 gene:Sspon.02G0006290-1A transcript:Sspon.02G0006290-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLSTCLLFLLLQLLSAPPPHLAAAEYAEYTCNGTTGNFTSGTAFAANLDRLIAQLPGNASASPSLFASASIGSAADTAYGLALCRGDMTDARACSSCLADAFAQLRRLCGGDRDATFYADLCTARYSGGDFLRLTADGVDNSPVVNGMDANASTYPGWDAGNATSRSFFLSLVGTLFGEMSMYGAYNSSRRLATAVMFINAGLPTVYGLAQCTPDLAPAQCWHCFQGLGELNRQWYDGREGGRISGVRCGFRYEGYQFYQGTPDVRIGLHGGPSSDDNGTDASNHKKVLVIALVVSIAVVCGLLVAGILLIRARRKRAGKRRLPMQTRVQTHSRNSSKTEEALKLWRIEESGSEFTLYDFAELAAATGDFTDENLLGKGGFGPGKLADGAEIAVKRLAAHSGQGLEEFKNEIQLIAKLQHTNLVRLLGCCVQDEEKMLVYEYMPNRSLDGFIFDQQRGPLLDWEKRRRIIEGIAQGLLYLHKHSRVRIIHRDLKASNILLDKDLNPKISDFGMARIFGSNMTEANTNRVVGTYGYMAPEYASEGIFSVKSDVYSFGVLLLEIVSGKRNSGHHQYSDFINLLGYAWQLWREGRSFELIDPTLGECSEVVAIMRCVKVALLCVQDSATDRPTMADVTAMLASRDGGAAAASLPDPRRPPHFSLRVSSSDDGSSEVRTRSHGTASASCSANDLTITTIQERR >Sspon.02G0021530-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:72281640:72282953:1 gene:Sspon.02G0021530-1A transcript:Sspon.02G0021530-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEWNHTSGKWNGDAEDKGIQTSEDYRFYAISAEYPEFSNKDKILVLQFSVKHEQKLDCGGGYVKLLGGGVDQKKFGGDTPYSIMFGPDICGYSTKKVHTILTKDGKNHLIKKDVPCETDQLTHVYTLIIRPDATFSILIDNEEKQTGSIYEHWDILPPKQIKDPEAKKPEDWDDKEYIPDPEDKKPEGYDDIPKEIPDPDAKKPEDWDDEEDGEWTAPTIPNPEYKGPWKQKKIKNPNYQGKWKAPMIDNP >Sspon.02G0002070-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:6816553:6819828:1 gene:Sspon.02G0002070-1A transcript:Sspon.02G0002070-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MERWWQSSAGSLAVQICRSLPVELAAALDRVFFLRADDVLGVLVAGAMCPSANLVCISTLGMRSNLLEMNHSQGPRIVRGRGRNKRMWTADEDDELVKALCEVSADPRYKDHPRAKGLYGVPFVYFDTFDAIYGKDRSAGEGLEGSEDAIANMENENTNEVGDDEVEEDRMSTGISGRSLAATLSSKSQKKYTHDGKRNRTESNCPSLDKFKDVHVQFQNAIQHASTMAAAMELFKDVHDCFQSVVQHAGAMAAAMELFNDAHSRFQSVVQHVNTSTTVIEQFKDALDHFQSITQNGKVIAAVEYDTEMQEKSMCEEPQRKAKVTAIAEVLKLGFSGTEVVTTASIFAKEPNQMDMFLALPEIYKKDYILQMLSENQDFYPAVQVDNASNTQPWRWNNDDNRQPDMQYYCTSVEMEKHQAAKRMGLISYTYSYV >Sspon.02G0002830-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:9605150:9606133:1 gene:Sspon.02G0002830-1A transcript:Sspon.02G0002830-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYDGDDGQSQDYLFKIVLLGDSSVGKSNLLARFARNEFYPNSKSTIGVEFQTQKLVIDGKEIKAQIWDTAGQERFRAVTSAYYRGAVGALLVYDISRRQTFDSVGRWLNELHTHSDMNVVTILVGNKTDLKHAREVSTAEGQALAEAQGLFFMETSALDSSNVAAAFQTVVKEIYSILSRKVFQSLEQKKSELQSLSNGKAVVLQGEANQTSSGGRWCCSS >Sspon.02G0000570-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:2499487:2501860:1 gene:Sspon.02G0000570-2C transcript:Sspon.02G0000570-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding TLALSFPNPQFRVDTTTAAHCQAPPAARCHPAHRPPSPAARSRPRLAATLLAGRRHAPAQPIAHPLAASAWSDGGRRRRTWCRGRPRTANLSKFCPWFKMNWFCLSSQLLSEGVKKFRRRHVNSKAYSTELLSSFNIMCLYTGQGYRLPPKEIQEIVDVPPNPSYYISPRRDRIMFLKRRTMPSLSELAKPDKILAGIQIDPSSNTRSRM >Sspon.08G0006270-2P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4B:62213606:62215685:1 gene:Sspon.08G0006270-2P transcript:Sspon.08G0006270-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLYAYGDGGCLVSAPAELAGLFCRGAVQQRKRTLVAATAVAAAAAECVRAAKKQRQQLPLPLPSLDALPDECLFEILRRVPGGRGRGASACVSRRWLALLGSIRASELRQAAADTPSLPDLNEEFVMEEDKEESPADRRSVDRVLEGKEATDVRLAAMAVVAGSRGGLEKLAVRGSHPTRGVTDQGLSAVARGSPNLGSLALWDVPLITDAGLAEIAAGCPSLERLDISRCPLITDKGLAAVAQGCPNLVSLTIEACSGVANEGLRAIGRSCVKLQAVNIKNCPLVGDQGISSLVCSATASLAKIRLQGLNITDASLAVIGYYGKAVTDLTLTRLATVGERGFWVMANAAGLQNLRCMSVTSCPGVTDLALVSIAKFCPSLKQLYLRKCGHVSDAGLKAFTESAKVFENLQLEECNRVTLVGILAFLLNCSQKFRALSLVKCMGIKDICSAPAQLPLCRSLRFLTIKDCPGFTDASLAVVGMICPQLEQVDLSGLGEVTDNGLLPLIQSSEAGLIKVDLSGCKNITDVAVSSLVKGHGKSLKKVSLEGCSKITDASLFTMSESCTELAELDLSNCMVSDYGVAILASARHLKLRVLSLSGCSKVTQKSVPFLGNLGQSLEGLNLQFCNMIDNHNIASLEKKLW >Sspon.05G0013250-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:37435271:37439488:1 gene:Sspon.05G0013250-2B transcript:Sspon.05G0013250-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPTDEAAGSGTPGTTDPFLADWRERIIIPAVAAGMVGAAFGLLSRHRARLGAARAAVTYAANLAIVAGCYGGARELARDARATTPSDPMNSVVGGLASGAVLGRIQGGHFGAVKYAVTFAAAGTALDYAALKLAPEWHALKEHLSGKKDWFTLPEWSPIQVLDEEALAKKKAREEKLFAQRALGKLDKEDP >Sspon.02G0024370-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2B:81407318:81407895:-1 gene:Sspon.02G0024370-2B transcript:Sspon.02G0024370-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSRQVLLLAAVACLTSLASGTQWMVGDGGGWRAKFNETGWTDGKTFVVGDSLQFVYPKEKHTVIMVGKDAFAACDLSANLQLGNWTSGNDVVQLDKPGKVWFICNKPGHCVNGMKLVIEVVDGTVAPSPSPLPLPFPFPFPFPGTAPAPSPLF >Sspon.02G0055710-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2D:11423427:11425590:1 gene:Sspon.02G0055710-1D transcript:Sspon.02G0055710-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALMHWYPAQQVALRSLRAHAGASPVFLSARQRACARRGDLCVQQFGSAVVGQAAAGGRSRQGRTGRAARGVRWMATAAALERGGNATRQPLLRRRLSCAEATHPDELDEELDMFPTLSPNAVVRLPYDRLRSVDGREGASGSCRSSPPPIWAVWLAIGLYVLRHPRFRGRMPSDASNFSKRLPSRSDTNDVAYYSSLPLCDCIGVQQHPALSLQRMQGQTKTKALVGNLKEVQWTGPWGRPSAFAVKHLVGADAVGAEAALLTLAHPKTRHADAHVHVKAAGFGQPAISATVTTNPCIWYAPEVWGGGQRAKCTEEAD >Sspon.02G0021010-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:68621704:68622137:1 gene:Sspon.02G0021010-1A transcript:Sspon.02G0021010-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGRIRVDAGGILEAFLLHLGEDEQKGELMIQDLDRWRTCQRPTLLWNLDGHPTSTTLSLLECPSFVFASTEKSSWCRANLVCGGGGRASISGGGGRVFMSG >Sspon.06G0010870-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:42652390:42654098:1 gene:Sspon.06G0010870-4D transcript:Sspon.06G0010870-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding EFFPDGGFVRLQSRQNRKYIHADGDWVGVTLRPLGRVPSLEAVWRVEHWVSNRGHVFVLLQNAAYGRYLSLSVHPARPRRLSRRVIQRDFDDPNLVDAFLWRWRAQRVDPDQDYVRLRQFNFHLRADGRYLTWNWKTRVTGDLVRRRTLTTMMQWTVHAVAATPLPLPLPVTPPEPQVIFLISVRNSGRIWYLNIPLSVQCVFRFRHVHSVFQLSLLSSHLISFPFLSTTSVPLQQIQGGRRGQLFWALWRRSGYGPGVYPQVPWEIRHVRANDEGEFDQNHYIWPSFISYDQTVFDLRIYLGQLQDDWNGDMLGFTLCIRPGSHGRLMPLVTHLPRSRDPLRIVVFRTGSPGDYSASFTAVCPALVTCCALTVSACDMFKVFRLKFSSV >Sspon.01G0004380-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:11766588:11767393:-1 gene:Sspon.01G0004380-1A transcript:Sspon.01G0004380-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAYTTIAPVVSKIFCSSSHAVLMVRRRPRTVNGGGLVVTNQDQRAAFSVDGCGIIGASGQLIVRNGDGSAILFIHKKEGVVQALSVNNWWRGYLSDYGEPSKLVFSLQDPKPVLCMKGDVRVTVEPNGRKRHWDYEVTGSFAQRSCAIKNRAGQVVAQIGAKGMMAGRDFYQVVVQPGYDQAFVVGIIAILDNMNGESTS >Sspon.08G0002250-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:5169524:5176571:1 gene:Sspon.08G0002250-3D transcript:Sspon.08G0002250-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aminodeoxychorismate synthase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G28880) UniProtKB/Swiss-Prot;Acc:Q8LPN3] MAALRLPAPPAARWSHLPSVSASAAARRVSPPRHLAARRAKGRTRRTRRYTYNIFQELSVVNGVPPVVVRNDEWTWRDVFNRVYKDRAFDNIVISPGPGSPACPADIGVCLRILLECGDIPILGALGFVHGAKIVHASEAIHGRLRQGLGFMLTKFTDEIEHDGCYLFNCVPSGRNSGFKVVRYHSLVIESGSLPDDLTSIAWTASPNLLSYLESDRSNVSTFLGSLDNNFMTNPLEYSNNGGELSNIGHSSESDDSRVIMGIRHSSRPHYGVQFHPESIATHHGRQIFRNFKKITGDFGLCSSWLQERKVHSIGKLEKSQVNSASHRDSIPKDFLHTERMELSEPVLGKRGVGKKCLRLRWKKIENFLCPTVGSEDIFAVLFGIKAVKTRFGWIAHQSTRARFSFMGGKGGSLWKQMTFHLSGQRANCGGTLITQDAYGYTAKNFIKEGFLEFLNMEIESIQYNEKDYEGLPFEFHGGFVGYLGYGLKVECDASSNKAKSSTPDACFIFADNTVVVDHSNGDVYILSLHDEFYSSNGDGICKNSTHTSWLVETEKKLLRLGGMPPGSPINGKAYARSSSVHKQSFAVEKSKDQYIRDVQSCLDYIRDGESYELCLTTQMKRRVDYMNALQLYLKLRKQNPAPYAAWLNFSSENLSICCSSPERFLRLDRGGVLEAKPIKGTIARGRTPEEDECLRLQLKYRQYFELSFFTNGLVEEVGKDNWINVLNANPVLRFSYATAIFSFLHMLNFTSEKDQAENLMIVDLLRNDLGKVCEPGSVHVPCLMDVESYRSVHTMVSTIRGTKKSNLSPVDCVKAAFPGGSMTGAPKVRSMEILDSLETSPRGVYSGSIGFFSYNHTFDLNIVIRTVILHDGEASVGAGGAIVALSNPEAEYNEMLLKAKAPTKVVEDFIQTVYSSDRSDSMQTTIS >Sspon.05G0012100-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:29824307:29826545:1 gene:Sspon.05G0012100-2B transcript:Sspon.05G0012100-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLAQPHGDKSSSSGGGRQRQGMAAAQAQQRSASFHGRGTEQQRHQQPQQKQRPKTLPDLLAGVRGASFRSGSPPPDGGDTGRSPRRTPSKVLVSVAVQQSMWPLHVMASAEWTVADLVAAAVALYVKEGRRPLLPSTDPAAFGLHYSQFSLESLNPKEKIMELGSRSFFLCPRSSAAGQDVSSSSGTANGVIRAASGKTPAWLIYMPFWPTM >Sspon.06G0028890-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6C:11803729:11804199:1 gene:Sspon.06G0028890-1C transcript:Sspon.06G0028890-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCVTATSRWKSLQVMTCGALLRSSSSLKGLRKQSRGDQRAADLVREATPTTRARERESENSAAARGTYLQRRRTCTFTAFSLSCRDDMAHATAKSGGGRWAAGVGGFEISKCLRPEGEERGAGGGEGEFEILGFAAATRCGAADLCGAAVGWAALQ >Sspon.01G0031750-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:108464090:108469810:-1 gene:Sspon.01G0031750-1A transcript:Sspon.01G0031750-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLPGSAPAEAAELVPTLSSLEPVYGAGAQLDEARLRFARLGDRFHAVYGARPALFSRSPGRVNLIGEHIDYEGYSVLPMAIRQDMIVAIRRADGGQVRVANVDDKYPLCVYPADPDKEIDIKNHKWGHYFMCGYKGVYEYCRSKGIDLGKPVALDVVVDGTVPQGSGLSSSAAFVCSATIAIMGILEKNFPKAISIMAKPGFAELIDFNPIHATDVQLPPGGTFVIAHCLAESKKAETAATNYNNRVAECRLAAIVLAIKLGMDTKKAVSSVTTLSDVEGLCVSFAGKEGSSDPAIAVKKLLHEDPYTAEEIEKITGESLTSVFQSSQTSLDVIKAAKHYKLFQRATHVYSEARRVYAFRDTVSSKLSEEDKLKKLGDLMNESHYSCSVLYECSCPELEELVKVCRDNGALGARLTGAGWGGCAVALVKEPIVPQFILNLKLP >Sspon.03G0005750-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:20900396:20908363:1 gene:Sspon.03G0005750-2D transcript:Sspon.03G0005750-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VCAARRRRADIQSDTYVLMEPGMDEEFVSREELEERLKRWLENWPGDALPPDLAKFDTVDAAVSYLVRSVCVLEIDGERLIWNDLFADFVLKKLEADSNAKLMISFSRKVHNMMAAELVKQFSNITLGEDNEICDVKQALKALRKKILSLDFDNSMHVHDPQDSFEYLEVLCKIKQLSEKLRTLDPGGEAKQLDELTVYAYDLSEMAMARLEEEFVYLLTHYKQPLEQEVLSFRSTEDGSAEDFSSSSFSEEQSEGKETPNDISGGPEYFVPDLIQPGALAAVKSIAKFMFLNGYDKECCQAYINSRQSAIDEYFGSLRLEKLSIEELMNTSWNKLNSLIKRWNRAMRVFIRVYLVSEKRLSKHVFGELTDSTADLCFSEISFNSVIQLLSFYVSVAIGPPKTEKLFRLLDMYDVLEDLLPEAESLFESGYDDMILNEYHEALLQLGESARKTFAEFKYAIQSYTSSSAVARGEVHPLTKYVMNYIRALTAYSKTLDSLLKDTDRRCMASDIQLMANSYPNFTATALHLQSVTAVLEANLEAGSRLYRDDRLQNIFMMNNIHYMVQKVKNSDLKSFLGDDWIRIHNRKFQQQAMRYERASWNNVLSYLSDDGLCAAGDAASRKTIREKIKNFNLSFEEVYRVQTAWSVPDDQLRDDVRISISLKVIQAYRTFVGRYSGFLDGRRHQDRYIKYRPEDLETLLLDLFEGTQKTLQYSFRVPSLILIFDVLLEVGRRQEVVRGEAVHIEIGLLHRPRLLQLPLVVDGSLAVTEERDVGVAAVRDVDAVDVAVVGDDGLHAGLPEDVLAAAVALAGLHAEQVRVLELDEQPRALAEVAPHRVVDDVERRSAPRPQRRGASLQLQDEALLVVEDLLAYAHRVREEDDDGWVAYDRGVADGAAESAIVRDGAGDERRPDDVEEQLELRGGGGSPVDGGAIEGLVLEPGAHVGAPGAAALVGAGGVDEHLIGDGHLQHGVQGVVAVQ >Sspon.02G0024140-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:84441952:84455287:-1 gene:Sspon.02G0024140-2B transcript:Sspon.02G0024140-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Outer arm dynein light chain 1 protein [Source:Projected from Arabidopsis thaliana (AT2G34680) TAIR;Acc:AT2G34680] MKPSPSLNAGSVPRRSSTGTAGKQLDNGSSAVAKKPSPMLSDGAKKTKLVSAPAVGSKPAAEKKTSAIERTGADLARKPGVKASPTSTLKKVQSKTESSNGSSGSTRRVASNASSVPSPRSVTSNATKKLGTQTSSAMSNRRKSSTADSRDSRFMMLPQVDLKASDEVRLDSRGHRVRSLKQLRLSHALEFVYLRDNLLSSLEGIEILKGVKVLDLSFNDFKLPGFEPLGNCIVLQQLYLAGNQITSLASLPELPNLEFLSIAQNRLKSLCMARQPRLQVLAASRNKISTLKGFPHLPSLEHLRVEENPLLEMPHLEAASILLIGPTLKKFNDRDLNPNEAEVAKQYPAHTAICIRDGWEFCSPELAADSTFSFLLEQWNNNLPQDYMVNKAYVDHPFEEDPCHCHFRFTNLGGEGELVLRYQWFLGGKTPTDFVAIPEASSEVYWPKREDVGRCLKVECTPILNGAELPPIFAVSLPVSPGTGCPKVINLAVSGELVEGNILSGVPEIAWCGGTPGKGVASWLRRRWNGNAVVIDGAEGMEYQLTINDINSSLVFMYAPVTDEGVKGEPQCTMTDFVKAATPSVSNVHVLGDIVEDNIIIGKGKYFGGREGLSKIRWFREKENGEFLLVLSDSMQYTLTKEDVGRHLKFVYTPVNLEGQEGESACAITDVVKKAPPKVFNLKIVGEAKEGSKISASATVKGGTEGSSRVQWYKASSSEFKNEHELEALTASKVSKTFRIPLGAVGYYIVAKFTPVAPDGEVGEPAYATSDGLVETLPPSLNFLTVTGEFSEGQILTASYGYIGGHEGNSLYSWHLHETEDDEGTPLSEATGLLQYCVTKEAVGKFVSFKCTPVRDDGIVGEARSFIGKDRVTPGMPTLVSLEVTGEAIEGTTMFASKRYWGGEEGD >Sspon.02G0026090-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:85400292:85404814:1 gene:Sspon.02G0026090-4D transcript:Sspon.02G0026090-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPQTLSAPPPAAAAAPNPGKRKRQPKGKKKKNKLARSDQDDSVHRRRNKPSAKFLKLLRKRARDYNSDDEEEEDDDQQQEHPPNPRRRRGHDDGDDDDDDEVLSHSEPEEDEDEEDEEEGASSSAVTRFEQGCRAFRVAFLKIMAKKLPDNPLGPIMSAHKTLVAAKLAEEVEEHKPKGEARKEKRVAAEKGHVIPKDHLDSKEKELIKVATKGVVRLFNAVSKAQKPRKNLNPSRTKDAKVLEKERKNTFLTELDMPSRQDKKNKASSNFSKHTGKDEDEPAWAPLRDTYMLGSKLKDWDKMKDSSAASEEKKVPLSDSSDEE >Sspon.04G0032450-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:26869488:26869939:1 gene:Sspon.04G0032450-1C transcript:Sspon.04G0032450-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKALFLLAILAAAAVLATAAAEQTHENNEKMANNDAGVKDWRSGGGGGGRSAGGYFHACEYGCQKCCPPPPGAGRPEEVKN >Sspon.03G0015220-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:72168332:72169569:1 gene:Sspon.03G0015220-1P transcript:Sspon.03G0015220-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAPAEAHDAAAAAAAGLRLDYDVIVVGAGIMGSCAAHAAASRGAHTLLLERFDLLHQLGSSHGDSRIIRDAYVKAQYPPWCASPAAFRVPRAHPCPAPLHGPARQRRAPRRRQERRRGGGGPKPDVGRRVPGTGGRRGGGVLNATKAVAMFQALAVKMGAVVRDNAEVVDISKGPGPEGGVVVTTSAGEVFRGAKCVVTVGAWTSKLLRSVAGVEIPIQPLHTLTLYWRIKPGRERGLTAKAGFPTFSSYGDTPVYGTPSLELPGLIKISCDGGPPCDPDNRDWASGDREITDRVARWIQEFMPGHVDSAGGPVIRQSCICSMTPDKDFVIDWLGGEFGEDVVVGAGFSGHGFKMGPAVGRILAEMAIDGEARTAAEAGVELGHFKINRFNGNKDN >Sspon.04G0005660-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:16184092:16188040:1 gene:Sspon.04G0005660-1A transcript:Sspon.04G0005660-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDNLMDKVSAFGERLKITGTEVSKKMTAGMSSMSFKMKELFQGQTPADKIVEDATSENLDGPDWNSNLEICDLINTEKVNSVELIRGIKKRIMLKDARVQYLSLVLLETIVKNCEKAFSEVAAERVLDEMVRLIDDPQTVVNNRNKALMLIEAWGESGDELRYLPVYEETYKSLKSRGVRFPGRDNESLAPIFTPHDQLPKLMLTQACPSRHSKMSIVELLSTVLSSSPEQDALQDDLTATLVQQCYQSQHTTQRIIETVGDNEAVLFEALSVNDEIQKVLSKYEEMKQPRASEHTEQRPVVIPIATEHEDSTTIGSEDALVRKPAAARARSGGDDDILDDLDEMIFGKKGGSSSSQEGPKKPDPKKDDLISF >Sspon.08G0017000-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:66421843:66424251:-1 gene:Sspon.08G0017000-1A transcript:Sspon.08G0017000-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRAGSTSRWSPGARAFSTAPATRTTVPLAHLAPLPTSLPESGYTVTPPVQPWPRRLTARSLSRLLLRADTPELAVLALRHALFHAAPPLPPSLPVFAAALARLSRADDAGAGAAARHLPHVLSLLRACRLPAFSDRPFLPLLRALRPLPSLRLFLSLPSFNSRPSTRSFNALLHSLVSARRLRLAAALFRAAPTKLYITPNLVSCNILLKGLVGIGDLDTALKVLDEMTGWGIVPDVVTYTTVLTAYCTKGDLEGARQLFDDIIAGGRRPDATMYTVLIDGYCHRGKLKDAARIMDEMEAAGVQPNVVTYSVVIEACCKEGKSIEACDLTREMLGAGYVPDTPLCAKVVDVLCQDGKAGEANEIWRQMVKKNVPPDNSVVSTLIYWLCKNGMVQEARRLFDELERGFVPSLLTYNSLIIGLCENGELQEAGRVWDDMVERRYEPNAMTYEALIKGFCKMGKSNEGYVLFKEMVAKGCTPSKFLYQALVDSLSQPSHDDTVCTIVEAAALSGRDFLDGQTMGSHVYTGQPSTTIPLAVGQEARGHPSKSLPHQFKLMFSKPRHK >Sspon.05G0028860-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:68243830:68247156:-1 gene:Sspon.05G0028860-2C transcript:Sspon.05G0028860-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPTKQHFESFVCRKGFKWRPIFRKLKILLLNEWCVADDFSLLIYFLQHSPILEKITLQLSKMPEPVVKANGCHSPREGSMVSKCLKAIEVKYQDDGVLDKLLTILNTYGVSSEMVTIQKKDSLSSEISSRRMKTDATDISTIFRPTKLVCAPPNSEYINRESHLPVAETGAIWCARGEQGAVRYGDVFPAVSGGLVEKPVPLQDAITMQSVENLVFGQTLRGGLAAAMLSAATTNERMGAVVYDQAMDATAVQGVTVSETCIPGGRVVAEFVAGQAIGQYLAWDDDAGATAGGGRAGVGARGASAAVVADTDMTKVTIGEALAAGDAPVERSDAAAIQAAEARATGLDANVPVGLAAQAQSAAADNAWAWRDEDKATLGDVLANATARLVADKPVESADALGVAGAENRNRDDAGDGEARRRGRVHGCGRTPQPRGGGLGVKQLARAHASCLGEETCPGPRILGFLCDQHAPRRSSGRLQSIVSSKN >Sspon.01G0023400-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1A:84395186:84396810:-1 gene:Sspon.01G0023400-1A transcript:Sspon.01G0023400-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIGKMKHLEGFYLYNNNLNGEVPQEIGNMTTLLQLDLRNNQLEGEIPATISLLRNLYYLALGTNKFTGIGVHSSLTVVDVSDNHFNATLPPTFCSYTSLLILDLSNNNLSGEVPSCWWNLQHLKFMDLSRNAFVGKLPTARNLISSLEWLNLAKNNFHGEFPSVIQKCKNLNTLDLEGNRYYSIIPSWLCVKNPRLRVLQLRSNMFYGNIPRKLSQLAYLRFLDLADNKLTGSIPTEFADLKSMWQRNTKLFVILVEDYTEYPYFGRVDVNWKGHYEIFQRTGCLVTGMDLSSNFLTGEIPTGLTNLQGLNFLNLSRNYLSGSIPKDIGDLKFLESIDFSWNQLTGTIPSSITNLTSLSSLNLSSNHLSGEIPKGNQLQTLDDPSIYVNNSGLCGFPLSIACPSDSSPVPSFDEKKGYHNDLEELWLSCWVLAGFIFGIWLWLGVLVFFKPWRMAIFDCVDKM >Sspon.06G0014750-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:61442340:61446502:-1 gene:Sspon.06G0014750-3D transcript:Sspon.06G0014750-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAETGGTRPATAAAEAMKKAKKDGVVKEVIRLERESVIPILKPKLVMKLAYLIERENDRAEFMKLCKRVEYTIRAWYLLQFDDLMQLFSLFDPVNGEKSLEQQGMTSNELDTLELNFLTYLFQIMEKSNFKLLSDDEYEVAQSGQYLLNLPIKVDESKVDSKLLTRYFREHPHDNLPAFANKYIIFRRGIGIDRTTDYFFMEKVDVIISRAWRSLLRVTRIDRLFSKKQVLSGKDTKKTDEINDDVEDLYVERVRLEKMELSIKNLLRKMTIQEPTFERIIVVYRRASSESKPDRGIFVKHFKHIPMADMELVLPEKKNPSLTPMDWVTFLISAVIGLVTLIGSLEMPKADIWVVTAILSGLVGYCAKIYFTFQANMVAYQNLITKSMYDKQLDSGRGTLLHLCDDVIQQEVCKPHYIPNRFNWLCASDVKEVIISYYILMEQGKATVQDLDLRCEELIKEEFGMECNFDVVDAVKKLEKLGIVSRDSIGRIQCVPLKRANEIIGTTTEEMVMRAQQAPAGS >Sspon.01G0003170-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:7410215:7411495:-1 gene:Sspon.01G0003170-2B transcript:Sspon.01G0003170-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAATTPHSLLLQRAASPAAPPRAAVAASSLRLPARAARISCAAVAAPSPATAAAADEAERGVYNFAAGPATLPLSVLKRAQAELVDYHGSGMSIMEMSHRGKEFDAAIKKAEADLRALLAVPNTHAVLFLQGGATTQFAAVPLNLCAGPTDAADFVVSGSWSDKAFKEAKKYSAASVAWSGKDGKYTALPPFDAIKQNPEARFLHICSNETIHGVEYKDYPEPRNKSGILVADMSSNFCSKPVDVSRFGVIYAGAQKNVGPSGVTIAIVRKDLIGAAQPITPVMLDFKTHADNASLYNTPPCFAIYICGLVFEDLLAQGGLAEVEKKNAHKAGILYDTIDASGGYYICPVDKSVRSHMNVPFTLAKGPDFEKQFVAEATKEGMVQLKGHRSVGGVRASIYNAMPLAGVEKLVAFMKDFQARNP >Sspon.05G0029910-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:75000215:75001404:1 gene:Sspon.05G0029910-1P transcript:Sspon.05G0029910-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding IMGNCLVIQDRKEMKIMSVDDGSSQFLRVPSPSRLMMKVHDDSLGEPLPAASVLQVKTPAGTVRVKLVISKRELKKMLDKEGMSLDDVVSLMRKEASDREQEEYCCGGWRPALESIPEGSDRIGNF >Sspon.07G0012260-4D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7D:41630105:41634458:1 gene:Sspon.07G0012260-4D transcript:Sspon.07G0012260-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFKIKYKQQLRDTVASGGGSSSSSGATPPTSPPREPAPFPRRSPSPRREPAPSPRRSPSPPDAESKIPRRKDHCNPYDHSGQHGSTTKLYVGNMSRYTRERDLEAAFGRYGRLLTVYLQGRNYGFVHKMTRYDTRYIHDDQNDRQDGNSKLFVSNISPRTQEHDIKDLFSKYGRVRKANLKENYGFVEFCDPQDADDARCELNGQEFNGNRIGVKFATGVPRGPVDSAQILCYNCGAEGHFSGDCKAGDWKDRCYRCGEKGHLKRNCRNSPKDTGEGEAIRGPSLLFMEWAKAGDTGVAVGVTGLLLNLV >Sspon.03G0014790-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:50325429:50331768:1 gene:Sspon.03G0014790-1P transcript:Sspon.03G0014790-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSTAAVSVSAPATASRGGARSEAARRPGRVRACGLRGEALACPSLRISQAPARLAVARAAAAATNGAVAGSGGGFDYDLVIIGAGVGGHGAALHAVEEGLKTAIIEGDVVGGTCVNRGCVPSKALLAVSGRMRELHDEHHMKSMGLQVSSPGYDRQGVADHANNLASKIRSNLTNSMKALGVDILTGVGTIVGKQKVRYGKAGSPDKEITARNIIIATGSVPFVPKGIEIDGETVFTSDHAPKLESVPDWIAIVGSGYIGLEFSDVYTALGSEVTFVEALDQLMPGFDPEIAKLAQRVLINPRKIDYHTGVFASKITPAKDGKPVLIELIDAKTKEHKETLEVDAALIATGRAPFTKGLGLENINVVTQRGFVPVDERMQVMDADGNVVPNLYCIGDANGKLMLAHAASAQGISVVEQISGKDHILNHLSIPAACFTHPEISMVGLTEPQAREKADKEGFEINVVKTSFKANTKALAENEGDGIAKVILFPTSSSCFLQNLHLFFRNFALTEFDNMTSSLLFTHTPHCPRSWTNSSKQQRYYTSINALISCCYHISWPHKSEQVQATLSVSNRLIPVFLIL >Sspon.01G0008450-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:24181414:24185312:-1 gene:Sspon.01G0008450-1P transcript:Sspon.01G0008450-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLAYDSGGEMANTSSDTLDSSTDQEKSVPQGHIPSEISSPVEKEESAVPRRHSNNEPSLCIAVIGATGELARTKVFPALFALYYSGFLPRNVGIFGYSRKKLTDEGLRSIIEANLTCRVDHHENCDGKLSEFLKRTYYVDAGHDNKEGMVRLNSRMAQIEGIGAANRIFYLAVPQEALLDVALPLADSAQTKQGWNRIIIEKPFGFTGLSSQRVTQSMLSRFEERQIYRIDHLLGKDLIENLTVLRFSNLVFEPLWSRTYIRNVQVIFSEETSNEIQGRYFGNYGIIRDIVHSHILQTIALFAMEPPVSLDGEDIRDEKVKVLRSIRKVDLEDVVLGQLKDTSGKVDRYTKSMTPTYFAAAMYIDNARWDGVPFFIRTGMGLMMNRAEIRIQFRHVPGNIYRERFGHDIDLDTNELVLRDQPEEAILDELAAAWNVLTPIIHEIDQNRVAPELYEAGDRGPINAYYLAAKHGVQWDDDW >Sspon.08G0009370-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:62642685:62647021:1 gene:Sspon.08G0009370-2B transcript:Sspon.08G0009370-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding PPATPSALPCHLTHPNLPYTHFFRLFSSTLMSLSAPIALFQLLPPMLRSQDTLLCPQIPVLSIDCRNKPPPSALDKFGFIGASFGHMIFSSNKTCFIFDVFTGIEVLPPPFPVVGNTKFWYGAALTGPLASPNSHLIVYTEYSNFFWRDSWSKCSPRNGSLTKFIVFKGQVIGMVHSDRSLFMVHLTPQIRLQEIPVSWGGKNSLTKWHLCNPRLVVCGDMLLMVGCRKDFHVIRSVFEAYRLDTSTELAKWVKVERLKKWAIFISDDSRVQPLPWMNPERWGGRSNRRSCFHLQVMSKLRDWAGLPDGLLHSIVELLGSFPDCLAFAATCPAWRSAFLSYPSKSTLYALFPPLLLDPNVSFCSPRPFPNVTRNTSVPKCPCYVVDLASQDTLLCSQIPLLSIDYRNNRLPSALDELDFDFGFKGASFGHMIFCSNKTCFLFDVFTGIEVSSPPLPVYENTRFCYGIDLMAPPPLPGLPLPVFGKFCYGAALTGPLASPNPHLIVYTESSNFFWPIGSNSWSKCSPRNGPLTKFVVFKDQVFGMGSDRRLFMVHLTPQIHLQEIPVSWGGRNSMTEWHPRFAWLVVCGDMLLMVGCRSDSSGTGPSFEAYHQDTSTEPAKWVKVERLEKWAIFISNYLGVEALSCMNPERWGGRSNCIYCYDPRSGHLVAFELGKPLLGDDATRLRAFIYVTRFYNDHMGMVQPTWVVPSMFS >Sspon.01G0012150-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:33206957:33213184:-1 gene:Sspon.01G0012150-1A transcript:Sspon.01G0012150-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRPRDDVCVPAHADGGIVRARVHRIHPTKPQNPGMCRGVRAWETWRGKARGEKPGEKPSFPHPIVGRHAEESTMADDATAGKLFGREKITDATAALFTESANKIPDERFIRTKEVQTAGAVVREEEMLELPVVDMASLVDPDSSASETAKLGSACREWGFFQEAAIHQMKESAAQFFSSPLESKNTVGVRDGFQGFGHHFNGGSSEKLDWAECLLLITRPVQDRNMDLWPETNPPTFRHALDRYSVEITSLARRLLGFMATDLGVSQEALLGAFFAGTGNENDKGQSMSMHHYPPCRHPDKVLGIAPHTDTLGLTILLHVDDTPGLQVRRGGTWFPVRPLPGALVVNVGDILDVLTNGAYVSVEHRVVPDAERGRTTVAMFQDACVQGLVTPLPELLLVGGDARAQARYRSIPKLDYRNGSITALAQGTRFADSLRM >Sspon.05G0014170-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5A:51377225:51378064:1 gene:Sspon.05G0014170-1A transcript:Sspon.05G0014170-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVTKVLINGGARFNIIFLETLKKMGIDFAGLITPTGIPFYRIVPGKIAMPLGQIILPVTFGTQANYQTEFIQFEVAEFEASYHAILGRPALAKFMAIPHYPYLLLKMPGPHGELALTNFLRDNKDVFAWRPANMPGVPRELAEHRIDVNEGSKPIKQRLRRFSPDKKEAIKKEITKLMAAGFIREILHPD >Sspon.01G0006670-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1A:17546426:17546725:-1 gene:Sspon.01G0006670-1A transcript:Sspon.01G0006670-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RSRTTLSVACYCSDRRVGGSFGVQRCRRASVKYGVAGILALSAADSLSSSSRDAGRMYSTSVKIGRSIEVQCDPEKWPDKSSCGMLMAELWSNLRTDRG >Sspon.03G0024870-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:93873297:93877216:1 gene:Sspon.03G0024870-3C transcript:Sspon.03G0024870-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GSVCRNKLASFVMEGAQGSSNVAKHNKRKSPVQRWRPVSTEAVPQKDVTANVVVEVTTNDASSSKNNLSFGYSSTKVVIEDNTEVSGFNKDLAGSNVSGTYSSSIEVDAPLIRFVKGKGGSTQKQIEEDTGVKIIFPSSREGTSVGIDTLMLLLEIMLDVISFNAESIRKASQMIANVLEEAVQSRQLDYSHFISLPLALHPDLVDKLNHFQSSILGEEDSDKDESRSEGSIDEMDVDRKQADGSSVCIKLQVQEEESTEAKMGSKGYQSDFGIDKSIFIKPKTFHLTVLMLKLWSKDRIAKASDVLQSVSTQVNEALENRPISIQLRGLTCMKGSPAKARVVYAPVLEVGGEGRLAQVITDAFVKSGLVFERDARELKLHATIMNVRHRKSTNKRNQWKDSFDARDIFRKFGKEEWGEYPIHEVHLSQRFKFDKSGYYYCCSSIPLPTEAHT >Sspon.02G0038190-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:40133397:40137390:1 gene:Sspon.02G0038190-1B transcript:Sspon.02G0038190-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAVELRIGPLDWAMDEEVEDESPGVGDEDGTDEDWVLDMERKGRRKRNRSSPRRRPRPKRRRSAAAVAPQPASPAQSPSESEPCPVTAEHSAEQGFNAAATATAAAAAAAAEGVKEEEDGLRKEEGENVAPSTSGRGGGGRKPRRSCHQCKTVRSPEETMMIRCQRCVKRIYCVRCVTNTYTMMSVDDVRQQCPFCRGLCTCTPCLNKDKQLRPESLRKCNSNVSSKREKRSTSAGVKSPQARSAAPCTEATGLSFVTTNGVNNVSAMSAEADTSDVRAEEVDPETKRKYASYLLHYLLPCLTQLNKDQMEEREAEAKIQGLQLSELIVEKAVSWNDERVFCNNCRTSIFDLHRSCSSCSYELCITCCKELRGNCLKINCQEGLVPKDKSRGVDYMHGGDPSDSENDKETGLSSYQSKSIKWEADPGGSICCPPSELGGCGNHVLELKQIFETDSLSKLEMEALRLRNQIEPSDIISIDICECSCSANHASSRKAATRENSTDNYIYCPISDNGKPDGLKHFQKHWVKGEPVIVQGVHKKMSDFCVQKNKMSKLSWKPEEMWAEVHGANTSSEMKNVKTVDCMSCCEVVICAKDFFNGYYHGRMYHNLWPEMLKLKDWPTSDHFENILPSHGKTYINSLPFQPYTNLKSGLLNVSALLPGDVLKLDMGPKSYIAYGYAQELIRGDSVTKLHCDLSDAVNVLMHIAKVEPSKEQKEGIRDLKIRHAEQDRKECSGNSSIDGNETSMEHAHISSVSCKDDKAGALWDIFRREDVGKLKEYLIKHSKEFRHMYCRPVEKIFNPVHEEKFYLTNKHKRELKKEYGIEPWTFVQRLGDAVFIPAGCPHQVRNLKSCTKIALDFVSPENIQQCLSLTEDFRRLPVGHRAKEDKLEVR >Sspon.03G0033430-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3B:49989003:49990121:1 gene:Sspon.03G0033430-1B transcript:Sspon.03G0033430-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGNKDDAAKCLRIGKGALDAGDRARAIKFLTKAKRLDPSLPIDDLLTSLLSPEDDSPASSSSSQPPPPPPETAAAGASEAAEADGLRERKHKGKKRGEEEEATPAAREYTAEQLEVVRQVKKHTRDYYQILGLEKDCSVEDVRKAYRKLSLKVHPDKNKAPGAEDAFKAVSKAFQCLSDAESRKRYDLVGSDEPVTHHRRASTARAYNGFYEDDFDPDEIFRNFFFGGMAPATTRQFGQFGTFHFRTGGMHAHAAQNSGGSTVRMLIQLLPVLLLLLNFLPSSEPVYSLSRSYPYEHKFQTPRGVAYYVKMSNFEEQYPHQSTERATLERHVERDYYSIITQNCRVELQRRQWGLSYQTPHCDMLKKFEATA >Sspon.02G0025180-2D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2D:83022730:83022921:1 gene:Sspon.02G0025180-2D transcript:Sspon.02G0025180-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVKQRSRAPPPRSSTRASSPSPSSSSPATTSSPSAPPGPGAPVIQASGSRISLPTSSSSSPVM >Sspon.01G0026560-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1A:92847360:92848632:1 gene:Sspon.01G0026560-1A transcript:Sspon.01G0026560-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDARKSLVLFQAPGAAAPASSRLSLFLSPTPNAAAIATMATPPPPKRLCGRSLLDLSDDIIPEILLRLPPHDPRRLVRCSAVCKPWRRLLTDPAFLRRYRAFHGVPPMLGLLFHLELPSSRFLARFVRTTSFRPRTLDHAGCYVRDARHGRILFSNATGEENDHDLFVWSPVMGERWGLHMPSPFQYWSVAVLCAAAAREGDCDCDHLDCHGGPFLVVFVDTDDDGRTYARVYSSETGAWSDATYAQHPNDLADMDMMDPVALVGNRIYFPAAESKTIVEYDLGRRKLAFVDPPLAHQGHGILMPAMGGGLGFASVRGSRLYLWSRETGSDTTAAAWTQSRVLELNTLPDRETRVPLNQPTALGFTEILMEEDVTAVGFAEGLGVIFVRTSAGIFAINLESGQVKKMSSRMPGVVIPYMSFYTP >Sspon.05G0002160-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:6763394:6764051:1 gene:Sspon.05G0002160-1A transcript:Sspon.05G0002160-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKVTVLKVDTSCAKCKRKVLQAVTGLHGVDKVEVDSEKSTMTVTGTVDPVDVIVQARKAGRRASVLTIGPPPKPAEEKKKPAEQDKKKTEEKKTTAADAEKKAPETPATVFVHHVPSWPACPRYQERVVYEQDPPPCSIIVQKKQFSLLKIRV >Sspon.05G0003530-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:9514261:9515826:-1 gene:Sspon.05G0003530-3D transcript:Sspon.05G0003530-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Xyloglucan endotransglucosylase/hydrolase (Fragment) [Source:Projected from Arabidopsis thaliana (AT4G03210) UniProtKB/TrEMBL;Acc:C0SVH2] MALWNGGGGGGGGAFPAAAVLVAAAVAALLGAGVATNKFDDVVQPSWANDHMVYDGDLLKLRLDANSGGGFVSRSKFLYGKASADLKLVPGDSAGVLSSAGDKHNEFDFEFLGNVTGEPYLVQTNLYIDGVGNREQRIDLWFDPTADFHTYAVLWNPSQVVFMVDDTPIRVYENRQNATMHGHHHRHGANATSNNAPPPFPGPQPMAVYSSIWNADDWATQGGRVKTDWSHAPFEVTFREVRVAGCAWAANATDGDAGEVRRCSETSWGKEGRYWWKEKEMSELSVHQSHQLVWARAHHLVYDYCVDTDRFPVQPPECAGR >Sspon.02G0032780-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:115144116:115147387:-1 gene:Sspon.02G0032780-2C transcript:Sspon.02G0032780-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding YPFDPYDRLWQAYGDIDAWTNITSSTPIDVSNISSFHTSSKILWSATTPVNGTQIDFTWSPDSSINNDNTSYLLLLYFVEVQSLPTNAVRWFDILVDNSTWNGSQRYTPKYLSAELVKRMVLGSRQHTVSLVATPDATLPPILNAFEIYSVLPMTELATNDADAKAMMAIRTKYALKKNWMGDPCAPKAFAWDGLNCSYFSSGPAWITALHLSSSGLSSGIDASLGDLKSLQYLDLSNNSLSGPVPDFLAQMPSLTFLDLSSNKLSGPVPAVLLQKHQNGSLVLRIGNNANMCDNGASTCEPKDKNGKRILAIEIVVPIAVATLLFVAALLILHRLKHKQVTWTANNSRLPSPQERSTAFGNRQFTYKELKLMTANFREEIGRGGFGAVFLGYLGNGSPVAIKMCSKTSQGDKEFSAEAQHLTRVHHRNLVSLIGYCKDKKHLALVYEYMQGGNLENRLRGQVSAITPLTWHQRLKIALDSAHEALNG >Sspon.07G0011390-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:39751759:39755567:1 gene:Sspon.07G0011390-1A transcript:Sspon.07G0011390-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Derlin-1 [Source:Projected from Arabidopsis thaliana (AT4G29330) UniProtKB/Swiss-Prot;Acc:Q8VZU9] MSSPSEYYKSLPPISKAYGTLCFISTVLVRLHIVDGNFLVLYYPWVLKKFEVWRLFTSFFFLGPFSINFGIRLLMIARYGVMLEKGAFDKRTADFLWMMIFGAISLLVVSVIPVFNTYALGIPMVSMLVYVWSRENPNAQINIYGLFQLRSFYLPWVMLLLDVIFGSSLKPGLLGIMVGHLYYFFSVLHPLATGKNYLKTPKWACKPTLLSGHQPMATPGLAPSEEEAIDSINRLTWRSTHLV >Sspon.06G0012390-3C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6C:53844345:53846877:-1 gene:Sspon.06G0012390-3C transcript:Sspon.06G0012390-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LSLRGLPLAPATNWKYHNAKVDAGDEDVGGEDLLRRFNWQVFRAGVMEEIRRRRRHRDARDKRKRKARSASRRYHRRRFKGPYPFNDDQGLKEQASDDEENDNWELPAGELPSYRKSSRATQHSRNVQGVPSGSSAASGYLWTHRPTELPSLLFTFWLYLMQLMNMVLLNTEARVQSLYQIQ >Sspon.01G0058650-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1D:31107533:31108009:1 gene:Sspon.01G0058650-1D transcript:Sspon.01G0058650-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQMLMQAILESLKDYEQSNAKNVQSTASDAAPKENDTVKDSNGVVAAALEQDASSVSFDAPGKHTNVCNSGEKVSEGQSTDCDALKNSASVSASGSSEPLSSTQMTNGKPASAESQKVTQNANGEDGTRATLVVQKSRTGGLIDGLTQKWGSFFKNND >Sspon.01G0056520-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1C:90175087:90175485:-1 gene:Sspon.01G0056520-1C transcript:Sspon.01G0056520-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRDLPKGRPTRIYKHPKQIEPLAIHWAFFASDRTRRSKLTGRASLRPVALRRPCVPRIQTWPLDFNGRHSVPTVIARPDALLNRPNAPIAQRRAPAKHRPDALHATTGRDSASVRSESSKLPSRPDASDRV >Sspon.04G0015350-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4A:57593671:57595652:-1 gene:Sspon.04G0015350-1A transcript:Sspon.04G0015350-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSARPGAGSFQTLAATLPNRANSKHRVNSKRDTVDRHGANPLFSLVPRRWLLAADQPSSLVLRSSIRTTPIGTWQGAAAYQPGIGLRHVDPAALHDRAAAALHHHAAPAFHHHPTAVPPLPISTTALVPQLSLPMTTGSSSSAVAVMTNEQLTAAVLDLGRMVAGIHGFLLGPQPTPPPPSQQPLLPSPPPPPASSTYGMPSDDTAATTLPASLMSPAGVPIQEIKFPHSPSPLPAWLTGESLPVYSSRRRVQPFRRRPTSPRVLAMGAFLRRAPSTAASTAPFSTAPVSGRTRCPAQRHRQPLQCLSARLPPRGVRRSCCPAAFGAAEFQPKSYKLDFTTYDGSVDPLNWLTHCEQFFWGQRTPVEQRTWMASYHLTGVAQTWYYALLLDEGMPSWERFKELCRLRFGPPIYGSRLAELGRLPFHSTVQEFADRFQAILAHSRDISTRQKAELFVGGLPEHIRVDVAMRAPPDLQSAMFLARAFESRATATAALQPAADSFVAPSLPRPGLPTPRHPVAGPSVTTGATLAVPTPLPAPAPSFRRLTPEELLERRRQGLCYNCDEPYVRGHQCKRLFYLESADFLDDDVPAAVATDAVFQHEPG >Sspon.05G0026560-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:36508749:36520879:-1 gene:Sspon.05G0026560-1B transcript:Sspon.05G0026560-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTTPPPAILGTLGDFTSRENWDNFFALRGTGDNFEWYAEWPNLRAPLLALLGDSGATAEAGSAQEILVPACGSSALSERLYDAGFRRITNVDFSRVVVADMLRRHARARPEMRWRVMDMTDMQFADGSFDVILDKGGLDALMEPGAGTKLGIKYLNEAKRVMKSGGKFVCLTLAESHVLALLLSEFRFGWDMSVQALASESSEKSAFQTFMVVMVKGKMGVAQTIKSSLVQSAEYCNMRQANAVIRALGNENIIRESYSSGVDVLLSLRDLQLGAIGDLKVIVPGRRRQFILGEQEASLYCYKAILLDAKKQTETFVYHCGVFIVPKARAQEWLFSSEEGQWHVVESARAARLIMVFLDSRHTNIDMDIIKKDLSPLVKDLEPGNPEEEAPIPPPASVLQASRSRGMEEVS >Sspon.06G0006440-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6B:21180872:21181264:-1 gene:Sspon.06G0006440-2B transcript:Sspon.06G0006440-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPRHLFLLLPLRLLFLLPLLAAAKPVLEDGYTVTTFADLNPLPASGPHPYAILPRPRAGDLLLLDSAGSALYTLSLSSSPGEPRRLAGGKRGSGFDDGDAAFDRPRSVAVDAADNVYVADQRHGAVRK >Sspon.05G0028420-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:67626063:67632521:-1 gene:Sspon.05G0028420-1B transcript:Sspon.05G0028420-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAALLALSKIGFYLAGEAATFVATKFSNLTELPNIVQRVRRQLLMMNLFIRKTGALYLSDELLKGWISEVRMLAYRVEDVMDNFSYHYLRYKNDQFLKRLSKGINYTAIFSGISDELIQIEKEIVHVSMLKDQWLRPVQELLPTQIHNSELQFPQYSVPQFMKDEDLVGIEKNSEQLTKWLRSDTQDLKVVSVCGMGGIGKTTLIANVYEKQRDNFKVLLWLTISQTYKSVEALLRKLLEMTRADSDNMEMGKRKSEGIDSMDILKVKTELRAVFGAKKYLVVLDDIWNPQVYESMRDVFEDSKNGSCIVITTRKEDVAALASKHYQLKLSPLGLKDALCLLCTKAFPYNDSESEDPSKVMELATDTANKCNGSSLVNCPAELRELATGVAKKFEALLLEQCPAELQELATYAIRKCEGLSMAKSSSELLELANDIAKKSVVLPVAKCPLEMQVLAVNIVKKCGGLPLAIVSIGSLLSTRKLILPVWKQIYDQLPSELEKYAQVRGILSLSYYDLPGELRNCFLYCSMFPEDYPLPREKLVRLWIAEGFVVKKGNSTLEEVAEGYLMELIHRNMLQVVDNDELGGVSTFRMHDILRELALTISKVEMFGIVNDFGAVIQMDRDVRRLSAFRWRKMKNDASKMKFPRLRTLMASETIVMSIPSILSESKYLTVLELQDSEVTTLPASIGHLFNLRYIGLRNTGINVLPESIKNLINLQTLDVKSTNIRNLPHGIVKLTKLRHILADRYADVKQSEFRYFVGVEAPIGLSNLEELQTLETVQSSIYLAEQLENMMQLRSVWIENITTAHCSKICKVLSMMPLLDSLLLSASNVNEPLSFEDLKPTSTNLHSTVKEITDGFSDARKLGEGAFGTEGILQDGEKIAVKKLSDNAPVAPEKQFTIGVGNLMAMQHPNIL >Sspon.04G0007300-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:17476931:17479417:-1 gene:Sspon.04G0007300-2B transcript:Sspon.04G0007300-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVQCDVCAAEAASVFCCADEAALCDACDRRVHRANKLAGKHRRFSLLNPAPLSSSGSSAQQAPPPLCDICQEKRGLLFCKEDRAILCRDCDVSVHTASELTMRHTRFLLTGVRLSAEPAACPAPPSEDENSSGSGSFCCSAGGGDAAAAPPSAAPATSSHGSGSDNGSSISEYLIKTLPGWHVEDFLVDEAAATNIGVSSADASYLQGGLARIGGLQDGYGYSAWMAQEQFFYEDSAAAAAGGGARGSREQWVPQMAMYSSTGLAGAGSKRSRATSAASSYSYW >Sspon.06G0006130-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:20856532:20858930:1 gene:Sspon.06G0006130-1A transcript:Sspon.06G0006130-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFRTAREEGSEEFSISGFRPAGDAFDGIKKQSSLPVISQHQQVRHSAVLAVAVLLLLRLRYGAGHRSSRQFGLSSSQVTAQQYPAAAHVGQRLQGMDYSTAALHQLPGGSRLVQPLSVRHPAPFNQANLMVRSQSFHNGAGIHSRNQQPFTMSNGFGGSTVGVYGARNPRNQTSTQLTIFYNGSVNVFDNVPVDKAKELMMLASRASIPSPPSASHKPDSPISAPAKVNVPEVFPARQIVIQKPEPSVPHLSSTSSPIPIVPQVVTLSRSTSHCTTEACGSKPAVQPPVAATISQATSSQPLATTSAAAVTPRAVPQARKASLARFLEKRKERVTSIEPYPTSKSPLESSDTIGSASAPTKSSSTDIAPVSNNGTEPVRLGQPSNISFSSEKWQTELGG >Sspon.06G0012440-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:54055235:54060954:1 gene:Sspon.06G0012440-2B transcript:Sspon.06G0012440-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDACSNAGTSKTILICDDSTHEIVLAIDERTATANERLKAQFSKVDTKIHRFPRGLHGIGGDSHRYIVPSVVAIGPYHHGSPHLQKMEEVKLAAAYHLCKHSGRSTSMEVYEKILSVVGDARGCYDADDPSVAGLSDADFATMMFLDGCFLLQYMVGGGDEPVLQNRTTLSTGPSIQRDIFLLENQIPWLVLEVLTEFMNVDVRRFVTGMQEKFHPGKGKDEKVRCWMHWRSTCPSRQGRGVNIGSGDGDHTPPHLLGLLWHTQVGSMPVKVKNYRGWPSSLKSISAVELAEIGVSLKPSTQPWFADMDVRRRRLFGEMSLSPVFLNDITACWLVNIAALEASTSGASRESDGYTVSSYLSVLAMLMDREEDVQKLRAKKLLYSTFSDKQALHFFKGLAQHLRFGDRYFSTLEAIYSYRRRCSVLIFVHRHLYNGYKAMTIATLFSIVGVLVGIFKTMLSSNKKPEGGRQARRRLLQGADQDPPVPRGPAGDRRRPLRRAERGGHRPLLTPEPHHHLQKMEEVKLAAAHHLSPLFLNDVTACWLVNMAALEASTSGSSAESDGYVVSSYLSLSVLAMLMDREEDVQQLRAKRLVYSTLSNTQALGFFKGLAQHLRFGDRYFVTLEEIEAYKRH >Sspon.04G0000650-3P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:11791780:11794562:-1 gene:Sspon.04G0000650-3P transcript:Sspon.04G0000650-3P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCKACQKPKVQYRKGLWSPEEDEKLRDYILRYGHGCWGALPLKAGLQRNGKSCRLRWINYLRPGLKHGMFSPEEEETVMSLHAKLGNKWSQIARHLPGRTDNEVKNYWNSYLKKRVEGGGAQGAADPATPARSDEHGSPDPARTTARGPSRPANSDSSEPAVESSSADDSSCLTVTEPAGAGAVRPHAPVLPKVMFADWLDMDYGTSLVALGPDAGVFDVGSRSPAQGLSHQGSVQVDGPCGAVDSLHGLGIGGMCWEFDAAADQLDVQGGGGFCDLLSMSEFLGIN >Sspon.03G0002450-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3A:6095633:6098895:-1 gene:Sspon.03G0002450-1A transcript:Sspon.03G0002450-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAIITPRAIPVNTFGSGKNSNTTYEFYNPSAVSRQLAFGQLPIKLCFADVIKPRETITTGTEWSRVVRLAPDADISNVDLSAWSPASFITESYKQWWQEWKEQLFSTSVHTYRHMIDPDYAIPDDAGVRAAAGSSSQLPNNKHHYLQPTFRATNGYIGKHTKHSSSPINKCRGPQPIVAETQAKRKALTDAEAQQKRQKSTPAPASAPAPIRPTTDDIVEDIPSATSADPSHDPLQAAPSRQAQEIALKQEQDSPDSLFSFAIDVSDDDGEEVSSSLALGIISADIYGKLEVLLNLLQQDTAQLIIDLDPTKTIFKTIRGQVPTDVEETIFPAIHLESRQLQYQRAAHRIADRAAQAQLKEEMLRLKQTADEKHKSISNLQTSGTDLKQKILDLSAKRAALLAELEEVEAALTQAKQEEDQLPDVIKTLQQERDVQARKALALKKKLKPVEGTADEDAKELKEADEIRLRAISAIQTLLLQQERDVQARKALP >Sspon.05G0003760-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5A:11289117:11290905:-1 gene:Sspon.05G0003760-1A transcript:Sspon.05G0003760-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MACMVLLREENYVDPGSIGRPMRPYGGLATRGRELNRALTGVREWWLLRMHLDRLSRVATQLMLGQRLGAREEDAALHVRLPIGQVALHPRLAMAIRGRSRRPGSLLCRWCSGHVAVHALVQVKAPSLPSLLHSISRRPVEIRRGGRALAVAHWSRSTVPVAHWSRCTVPVALALAVAAVVAERTRHHRPSIRWRPAANRRPDSAPRSPYARVAHTSPKGVHALLLQDKKYSSASVWLCIFGTALVVLGQGNSFFVMWIITVASRSAVARRCCSLTRASISTVIAIMCVAKQTATARAWHCR >Sspon.01G0012980-1T-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1C:34848703:34851745:-1 gene:Sspon.01G0012980-1T transcript:Sspon.01G0012980-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALKGLDCAELNTWLFFSSDKRIKAMRQMIMADSAEQRQKALDLLLPYQREDFEGIFRAMAGLPVTIRLLDPPLHEFLPEGNIEDIVHMLSCHTNSTHEEILARIEKISEVNPMLGFRGCRLGISYPELTAMQACAIFEAAIAVNDQGFQVFPEIMVPLVGTPQELEQQMSVIHQVAHKVFANSETTIRYKIGSMIEVPRAALIADEIAELAEFFSFGTNDLTQMTFGYSRDDVSKFLPTYISQGILQHDPFEVFDQKGVGELVKIATARGRRSRPDLEGPNCKVSCSTGSP >Sspon.08G0022020-2T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:46596082:46598377:1 gene:Sspon.08G0022020-2T transcript:Sspon.08G0022020-2T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTRKRPRNNSSSTTTPSSARRSSGGGGSTSSSSSSSAMRRTTSLSDLAPPPEIPGRPQTRAARGDAVVAGAGTVWGGAEMMRRHSGDFLPAMETAAFLKACGLCKRRLGPGRDTFIYMGEVAFCSQECRQQQMNLDELMEKKCSTPAGSSGGGSDQSGKSSTVAAA >Sspon.03G0011720-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:31974645:31979207:-1 gene:Sspon.03G0011720-1A transcript:Sspon.03G0011720-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amino-acid permease BAT1 [Source:Projected from Arabidopsis thaliana (AT2G01170) UniProtKB/Swiss-Prot;Acc:Q9ZU50] MTWNRSPAADGGGSSAGTTPAAVAVAVDHGGDDTGLARLRELGYKQELKRDLSVLSNFAFSFSIISVLTGITTLYNTGLNFGGPATMTFGWFVAGAFTMAVGTSMAEICSSFPTSGGLYYWSARLSGNRWAPFASWITGWFNVVGQWAVTTSVDYSLAQLIQVIILLATGGKNGGGYLASKYMVIAFHAAILLSHAVINSLPITVLSFFGQFAAAWNMLGVFVLMIAVPTVATERASAEFVFTHFNTDNGAGIRSNLYIFVLGLLMSQYTLTGYDASAHMTEETKNADKNGPIGIISAIGISILVGWGYILGITFAVKDIPYLLSPDNDAGGYAIAEVFYLAFKSRYGSGVGGIVCLGIVAVAIYFCGMSSVTSNSRMAYAFSRDGAMPFSSVWHKVNKQEVPINAVWLSAFIALCMALPSLGSLVAFQAMVSIATIGLYISYALPILFRVTLARKYFVPGPFNLGRYGVLVGWVAVLWVATITVLFSLPVTYPVTKDTLNYTPVAVGGLFFLVLASWVLSARHWFKGPVTNLDG >Sspon.08G0005260-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:15715217:15718940:1 gene:Sspon.08G0005260-1A transcript:Sspon.08G0005260-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIHGLILEVRVTGCRKLRDTEFFTRQDPYVVLEYATTKLRTRTCTDGGRNPTFDEKFHIPLIEGLRELNVIVWNSNTLTHDDFVGSGRVYLHKVLTNGYDDSSWPLQTRHMRSAGEVKLIMHVDVSAMKNKMGRSVAASSAHPVPALSMPVPTPAPAPAPAPAPAPAPAPALASAIPYTGVTPSYPPVSAYPAATAYPAYPTPSHAPYTTAEYPPPPQQPFQPPPAGYPPSYPPQPYEQSYPPQPYGQSYPPQPYGQQPYPPPPAAQSPYPPAPYPGTYPPRPY >Sspon.06G0015400-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:68426405:68431733:1 gene:Sspon.06G0015400-1P transcript:Sspon.06G0015400-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding FIRPAVEHTPRESEFKLQPGAAVEAYCDGAWSPGVVRRVLGEGEYEIGIIVAKKSEMLVTKVVPLLKPQYKWNGKQWRIATPKKRANLRRRSVSGNSLWSPVEVSSSDEHSLGKNTLAKGSGHASVSEMDVPLSALCKSPESTHSPNSFVSEKNSLEGSHGIVNSVPMNGLLCASPGYSTPVDNQEILSDMVVTAVSGRSVDGHDMLSITELRKKMASARRNSAVIRKQENLVKSVRVKKCVSNVKVGKTHPIQGLQGKIQLKGNMNCSTPDIVLALSVSGTGRTILSPDRLVSIGTKRGSSTKVLACKKLANRRGSKELCSPNSSLDVTRTVQQRGSKEVADPMEECPLALECPKSGTQEQLDRTLENTQNITELSNQDLLPMVPPGFKSMDNGKGTNIHGIQFDEEPTSTTNSLIELKGNGDMCTDHAATKLAESNHVMETAILSLDCPAQQARGKVDERSVLQNAGSSQCIIDSSPLRSCSAFESLLPSPQPLSQVSNHQALFVKNSPMWHLVEAMHVFKELPQQPHFLPLQEELPCLREGLALGMMLSFVDLVKITMGASIDNSMEWFEDKIRTISHLEANGFSVQFMQSAMTDLVKIKSELTSYHGEIGKLDSKFVEKTASSSRVGALLDEKDIAAAELEQELGRIRQESQKIAKEKEKIDAEVASIKTARSGYEDLCNGAERKFKDVLAGLRLRRLT >Sspon.02G0002750-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:8916182:8919665:1 gene:Sspon.02G0002750-2C transcript:Sspon.02G0002750-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SUB1 [Source:Projected from Arabidopsis thaliana (AT4G08810) UniProtKB/TrEMBL;Acc:A0A178UWI5] MSSSDTDDAHDAGEDSPLFRPSGGSSSVPVSQSLIKAASNVCFSLFVLAVLVVTVVAVTYQPPDPWLQSSAAITTSLSRVLPNSTFLVPDDSLLPTGEDFNSSSSASAVPAPARDEDDAAAAVSAASAAAAGNRTCDPDAPLNCSDPRVLAAVKAFNAKAFFRKSIVFLSYETPVPGPKPGQCDVAWRFRNRREKSWRRYRDYRRFSLASGDGCALDIVSVGKFRSGTNAARRPYPKGPKRPRVSPPPVDAEINDTIPVVGSEAEFKKGKYLYYMRGGDHCKSMNQFIWSFLCGLGEAKFLNRTFVMDLNICLSGAHTVDGKDVDGKDFRYYFDFEHLKESVPVVEEGDFLKDWKRWDKKKGPGRITVRKVPSYKVTPMQLKRDKSNIIWRQFNGQEPENYWYRVCEGRAAKVIQRPWYAIWKSKRLMNIVTEIAGRMDWDYDGLHVVRGWKAQNKQMYPNLDSDTSPDALVDKVTKLVKPWRNLYIATNEPFYNYFDKLRSHFHVHLLDDYKELWSNTSEWYNETTTLSQGKPVPFDAYMRVIVDTEVFYRSKAQVETFNNLTRDCKDGINTGWHVRTQWNSSRDFATEVDVI >Sspon.08G0002800-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:7994144:7996688:-1 gene:Sspon.08G0002800-1A transcript:Sspon.08G0002800-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALKNSSPRRRRTQPSATPPPRPVPRRAGPSYPAPVGSSLHPPPLDGSSGAEIHPSGRRSVGLVVCCWL >Sspon.02G0029150-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:106252293:106256509:1 gene:Sspon.02G0029150-1P transcript:Sspon.02G0029150-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLASEPVCSGKTMRRYSPPYRSPPRRGYGGRGRSPPPPPRRGYGGRNKEGSGSLLVRNIPLSVRAEELRVPFERFGPVRDVYIPKDYYSGEPRGFAFVEFVDPYDASEAQYHMNRQVFFGREIAVVLAAESRKRPEEMRSRARVTLVMKVADLHIMGGLVPVPALLVIGAVLGRDHTLLLQGGEMTTLPLQGERKHIEHLLPGARQRSMMRDKKHRSYSPASRDDADNGYKKRSPLPDSDGSPPHRRSPKEYSGSPPGSRSRSADESPVRSD >Sspon.06G0011150-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:60163807:60172261:-1 gene:Sspon.06G0011150-1A transcript:Sspon.06G0011150-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGLLPETNAAAETDVLLDAWDFKGRPAPRATTGRWGAAAMILVAELNERLTTLGIAVNLVTYLTGTMHLGNAESANVVTNFMGTSFMLCLLGGFVADSFLGRYLTIAIFTAVQASGVTILTISTAAPGLRPSPCSANANNGGECARATGAQLGVMYLALYLTALGTGGLKSSVSGFGSDQFDESDRGEKHQMMRFFNWFFFFISLGSLLAVTVLVYVQDNLGRRWGYGACACAIAAGLVVFLAGTRRYRFKKLAGSPLTQIAAVVVAAWRKRRLPLPADPAMLYDIDVGKAAAVEEGSTKKSKRKERLPHTDQFRFLDHAAINEEPAAEPSKWRLATLTDVEEVKTVVRMLPIWATTIMFWTVYAQMTTFSVSQATTMDRHIGSSFQIPAGSLTVFFVGSILLTVPVYDRIVVPVARRVSGNPHGLTPLQRIGVGLALSVVAMAGAALTEIRRLRVARDAAVPAGGVVPMSVFWLIPQFFLVGAGEAFTYIGQLDFFLRECPKGMKTMSTGLFLSTLSLGFFVSSALVAAVHRVTGDRHPWIADDLNKGRLDNFYWLLAVICLANLFVYLVAARWYKYKAGRPGADGSVNGVEMADEPMLH >Sspon.01G0023900-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:108149798:108151881:1 gene:Sspon.01G0023900-2P transcript:Sspon.01G0023900-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding METQSFVVVRAVSTPQPQPYARGGSKTVGRWLKDRKEKRKEETRAHNAQVHAAVSVAAVAAAVAAVAAATAAASSSGKDGRGARTDMAMASAATLVAAQCVEAAEALGADREHLAGAVGSAVNVRTPGDVATITAAAATALRGAATLRARALKEVMLKMKSKHVGGTITKKKKSVVVDVCRDVAAWPGRHLLEGGEQRRYFGLRTAELRVIEFECGSQREYEMWTKGVARLLAIVHARKR >Sspon.06G0004810-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:15330191:15331635:1 gene:Sspon.06G0004810-1A transcript:Sspon.06G0004810-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIAEKLLTARDLEGCKQFVAQALSFDPRTTGADDLLAAADALLADKRRRLPSGALDPYAVLGLDSAVPASREPDVVHSQYRRLSFLLNRSHPDCPCSLAFADAARLVADAWAFLSDPLRKASLDSDLDAAAAATNAAAAAKAAAKAAAARVPTAPPPEKQHQPQSQSQPPPPPLPPASQPPQTVSGTPPPKRGRPPRAAKTPATPPAPQPPQTVSGTPPPKRGRPPRAAKTPPETERNQEGEAVQAPAFWTVCPSCCRLHQYDRSYESQTLLCPSCRRPFVATAMSTPPPIVPGTDMYYCSWGFFPMGFPGGPAFAGPLNLPQQKAPDALGFYPMGPYLPLPGPSGIEEGEGNKAVDAGTGIPVTPTVAAPAPAPSPAPTAATPVKSSHVKVGAKKRGRPKGSKNKKVVIEMTWAL >Sspon.05G0011400-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:27291299:27294963:-1 gene:Sspon.05G0011400-1T transcript:Sspon.05G0011400-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVLTRVSAVVTNSPIMLNVDCDMFANNPQVALHAMCLLLGFDDELHSGFVQAPQKFYGGLKDDPFGNQMQVIYEKVGLGVAGLQGIFYGGTGCFHRRKVIYGVPPDSTTTIGIKGEISGENVSNFGAVENNDCSPVWCIVIQFHALNFVDHATVCTTSLADSPSYKELHKKFGSSKELIESARSIISGDMHMFKAPMVVAGADLTSRVQVAKEVSDCTYEIGTRWGQEVYSYEARFTTVLQFTASVVPWSSMHVLPLQVGWVYGSMTEDVLTGQRIHAAGWRTAFLNPDPPAFLGGAPTGGPGSLTQYKRWATGLLEILLSRHNPFLLAAFKRLDIRQCVAYLFINVWAIRAPFELCYALLGPYCIIANHSFLPKASEPGFVIPLALFLAYNAYNLGEYMDCRLSVRAWWNNHRMQRIVSSSAWLLAFLTVVLKTLGLSETVFEVTRKEQQSSSDGGADDDADPGRFTFDSSPVFVPPTALTMLSIVAVAFGAWRLLVAGAGEGVPTVGPGVGELVCCGWLVLCFWPFVRGLVAVGRGSYGIPWSVRLKAALLVATFVHLSTRM >Sspon.06G0017090-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:77184775:77186112:1 gene:Sspon.06G0017090-2B transcript:Sspon.06G0017090-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATPSILQFTPSCAYAPTQGNEFNFSGLYLYHTYVGPNSTQSQIIVKDGIGTLTVNNWVIRDGLSGSSKVIARARGLHIFAGDWHNSFSLVFEDERFKESTLQVMGVPVEGGEWAIVGGTGRFAMATGVIKKRVHQRTGEGNIIELTIQGFCPLLKGSRSVAATKIGPWGGNGGSAQDITEPPKRLESITISSGDVVDSIAFSYVDQAGQKHTAGPWGGPGGNPKTIQLSDSEFVKEVSGTFAVYAGVVTVIKSIKLVTNLKTYGPFGQETGTSFTVPVQGNNGVAGFFGRSGTFLDAIGVYVHPL >Sspon.03G0034870-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:73971620:73974368:-1 gene:Sspon.03G0034870-2C transcript:Sspon.03G0034870-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MERLDSKFHEKYTALKKRKLLDEGLERKREAELKELYDAMKDWVSDLNKDNAELNEKLVEKQDELEKTRQEFLEDIHNEKAEKSNSKATGLLGRTPESILENSTAMSPKRKTPLSHGKVKRVQLSENAPHSSPAEESQEGPFDP >Sspon.05G0004240-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:7563630:7564735:1 gene:Sspon.05G0004240-2B transcript:Sspon.05G0004240-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVIVTPANDYELPGSVLAALEPKDTKPSALQKAEDIVGTMLAKGFILGRDALDKAKALDEKHQLTSTATARVSSFDKRIGLSEKISVGTSVVNDKVKEMDQKYQVSEKTKSALAAAEQSVSTAGSAIMKNRYVLTGAAWVTGAFSKVTSAANDVGAKAKEKIAVEQEHKNAEAGPAQANISEIPATHRELGSEFTKIHVAETPEEIPISTVTVPAVTDEEPSEASPPADVPKKPEAAQGLIETSLKVEIYWDLKKFAICT >Sspon.01G0003590-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:8829782:8832037:-1 gene:Sspon.01G0003590-1P transcript:Sspon.01G0003590-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPRRALLTSLLRLRSFSSIAYPHPHPHPPAPLRRHQFVADPTTSTSRGVIGGIGGASGNPFDPTQLLRDDPVAITASLWVSSFRAASAASSSSSGSGSGSGSGSCTPAPPQSLTPFLSRLELWVLAYQKAYADETGSYLPRSSIPASTLAALLTLRNAVLDARFRFGNRLTPFLQSPRAANAPDPATLSKRKLRALLTTPGPPPFQDRVVQELLLLLLEPVYEARFSPKSFAFRPGRSPHAAIRTIRRSFAPYLWYIKGDLSPLLHSPDPALVVGALIRDVRDKKVVDLIRSALLTPVVTASDDAAAKKKKTKRKYQKKKVLPEGEPKPDPYWLQTFFGFAPEEAQTQPDWGHCGVLSPLLANVCLDELDKWIEEKIKEFYKSSKSDVVGGDDGIEQGNTSWPEFVPTSGPDKTRKVDYIRYGGHFLIGVRGPRADAAVLRKQLVEFCDQRFRIKLDNESLPIEHITKGVMFLDHVLCRRVVYPTLRYTATGGKIISEKGVGTLLSVTASLKQCIKQFRKLEFLKGDREPDPQPCFRMFHATQAHTNSQMNKLLLTMAEWYRYADNRKKVVNFCSYIIRGSLAKLYAAKYKLRSRAKVYKIASRNLSRPLKDKKGQSPEYHNLLRMGLVDSIDGLQYTRMSMVPDPDYTPLPSGWRPDHEKILLEYIKLTDQQTLEEQRNCIREEGLITPQDYISMLVWGYKKNAVLLPSKVSDAQGSTEDLGSDTDEMDEKELGNEGNQSFPKLAEMS >Sspon.03G0008370-3C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3C:37519414:37521296:-1 gene:Sspon.03G0008370-3C transcript:Sspon.03G0008370-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ETTKEFVDKLDLKPGHKVLDVGCGIGGGDFYMAEKYDAHVVGIDLSINMVSFAIERAIGRSCSVEFEVADCTTKTYPDNTFDVIYSRDTILHIHDKPSLFKSFFKWLKPGGKVLISDYCRSPGQPSEEFAAYIKQRGYDLHDVEAYGQMLKSAGFRDVIAEDRTDQFLGVLEKELAKFEKNKDDFLSDFTQEDYDDIVNGWKAKLQRSSAGQTHGKQPGDSLFPAEHRL >Sspon.07G0018540-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7B:72703339:72711474:-1 gene:Sspon.07G0018540-2B transcript:Sspon.07G0018540-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAANLLSRSLLPTPNPTQTSHPNRRSPAVVSFPRRHGRLFVRASVSTASPSPPPQPAAAAAGVPKHCFRRGADGYLYCEGVRVEDAMAAAERSPFYLYSKPQILRNFAAYRDALQGLRSIVGYAVKANNNLPVLRVLRELGCGAVLVSGNELRLALQAGFDPARCIFNGNGKTFEDLKLAAESGVFVNVDSEFDLENIVRAARATGKKVPVLLRINPDVDPQVHPYVATGNKTSKFGIRNEKLQWFLDSIKSYPDEIKLVGVHCHLGSTITKVDIFRDAAVLMVNYVDEIRAQGFKLEYLNIGGGLGIDYHHTDAVLPTPMDLINTVRELVLSQDLTLIIEPGRSLIANTCCFVNRVTGVKSNGTKNFIVVDGSMAELIRPSLYGAYQHIELVSPPTPGAEVATFDIVGPVCESADFLGKDRELPTPDEGAGLVVHDAGAYCMSMASTYNLKLRPPEYWASNVESLGLLRVMERLKLGLKFPAHHLPLIPHHAAQLAPVAPGPYLPAYLRCVPFAYPTMSQMGICPWTGAWFFSGLLIVVEKVEEDGSIVKIRHEEKLDHYMKFFDGLPA >Sspon.03G0001530-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3A:3727067:3727744:-1 gene:Sspon.03G0001530-1A transcript:Sspon.03G0001530-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATISRVKDDESFKPYMGASGSSANVIIISEDNKHSADIQGMKNIDTSGTILEVIARDDRSDKDACRVTSEVKYVKRDTSGQIVKGEQRSAMENQRGEIVASDEKKVSDDEEYTVNNILAKSRHRDGSIYRGMDTWWKRVYLIADHKENIIVYELCATFPQTFIALHSFSYEIIALEILTLG >Sspon.03G0045100-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:9362171:9365569:-1 gene:Sspon.03G0045100-1D transcript:Sspon.03G0045100-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGSHVPTPQNQDTSMSDYWKWKFPNIFFMTFTTVLGGLMLAELFVERTPCMHLKTAGRGDQKWSYIRESRGDQRQFYLSGQRRKRQKYSKTWEYTKSRPRTTEPQHSFHLGAKPKSHLKGSLFPLIPQGFVLAQENVQLGE >Sspon.01G0062240-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:102096809:102097171:-1 gene:Sspon.01G0062240-1D transcript:Sspon.01G0062240-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQQDEYDSEDDEAFNFTCNHSDNWESSNISLANLREADFRGMTRTGCELRFLQFKKKKKKKKKKKKKKKKKKKKKKKKKKKKDALFCAYAARRWNVDCPRE >Sspon.02G0042510-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:84297097:84298510:1 gene:Sspon.02G0042510-1B transcript:Sspon.02G0042510-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding HGTRPRPQRLAVAGICHGSHPCPQRLTAALPCGSDSESEPARQQVGKRQRLVQVQAASSEVRAARQATAGREEQEQSTRSPSFRCSHHYSHAFQCPVQHSQLLSTV >Sspon.01G0049850-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:116246130:116248283:-1 gene:Sspon.01G0049850-1B transcript:Sspon.01G0049850-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVSMIADEKQPQPQLLSKKAACNSHGRTRPTSWVGRSTRRTHTTPWPTPAASSRWASPRTSYPSTCWRRGWRPTRTRSASAAEAPPSSASSRSSRTTTACRPSRMVTFDPSNIVLTAGATSANEALMFCLADHGDAFLIPTPYYPGFDRDLKWRTGAEIVPVHCTSGNGFRVTRAALDDAYRRAQKQRLRVKGVLITNPSNPLGTTSPRADLEMLVDFVAAKGIHLVSDEIYSGTAFAEPGFRPRGGGRACDADGLLSERVHVVYSLSKDLGLPGFRVGAIYSSNAGVVSAATKMSSFGLVSSQTQHLLASLLGDRDFTRRYIAENTRRIKARRDQLAEGLAAIGGIECLGSNAGLFCWVNMRGLMRTPSFEGEMELWKKVVFEVGLNISPGSSCHCREPGWFRVCFANMSAKTLDVALQRLAAFAEATVAVEGRGRGPAARRVLGPARSMSLPIGFSWANRLTRPPPPTGRPSGSSWSITTCHTSYHTTACSASSRRQQHTTASLAVGD >Sspon.04G0026710-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4B:49415597:49416539:1 gene:Sspon.04G0026710-1B transcript:Sspon.04G0026710-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPEQTSRSSTPTIAALGVQRQDSLPFLINTVRGNSAPGGNELQYLAMGLDVSSQLAVRRIAKQTRCQATRFRVPYAYRHRKEGNTTPCPIRILDGIHLLDRYGSICQADSFSYQSQSLRPKRQLWTEGSAGVNYGKDSRVIQLGYDNTNFGRCINDVASQSCKASS >Sspon.05G0018830-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:78447154:78450225:-1 gene:Sspon.05G0018830-3C transcript:Sspon.05G0018830-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGEDIQPLVCDNGTGMVKAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQSKRGILTLKYPIEHGIVSNWDDMEKIWHHTFYNELRVAPEEHPVLLTEAPLNPKANREKMTQIMFETFNVPAMYVAIQAVLSLYASGRTTGIVLDSGDGVSHTVPIYEGYALPHAILRLDLAGPLMKILTERGYSFTTTAEREIVRDIKEKLAYVALDYEQELESAKSSSSVEKSYELPDGQVITIGAERFRCPEVLFQPSFIGMEAPGIHETTYNSIMKCDVDIRKDLYGNIVLSGGTTMFPGIADRMSKEITALAPSSMKIKVVAPPERKYSVWIGGSILASLSTFQQMWISKAEYDESGPAIVYPYLPDEKAGK >Sspon.01G0034260-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:2077076:2080488:1 gene:Sspon.01G0034260-1B transcript:Sspon.01G0034260-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGGEEQGRSLFGVSLTDRPRWQQFLICSSGFFFGYLVNGICEEYVYNRLQFSYGWYFTFVQGFVYLALIRLQGFTVKQMVNPWRTYVRLSAVLMGSNGLTKGSLAFLNYPAQIMFKSTKVLPVMVMGAFIPGLRRKYPFQEYVSAVMLVIGLILFTLADAQTSPNFSMIGVAMVSGALVMDAFLGNLQEAIFKMNPDTTQMEMLFCSTVVGLPFLAVPMVLTGELMTAWTSCSQHLYVYAVLVFEAMATFVGQVSVLSLIALFGAATTAMVTTARKAVTLLLSYLIFTKPLTEQHATGLLLITMGIVIKLLPENKEGRRRRLPKKTESSGDDDDKPRESREAEEEKASL >Sspon.03G0032720-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:48256867:48257313:1 gene:Sspon.03G0032720-2C transcript:Sspon.03G0032720-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SMPSLLSKVSSAAAACARRVSRATRRLPRTARRLLQRARRPRRDFRQLVPTDDDHQQEASDGDAGEEEGGGEEEEGGLWRRTVLMGERCKPLDFPGAIHYDSSGRLLPAAPSPRSSGKAAGALLCRSACDVDEAATARSKARLAKAKHV >Sspon.03G0008470-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:22818314:22819516:1 gene:Sspon.03G0008470-1A transcript:Sspon.03G0008470-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GMDSASSLVDDTSSGGASTDKLRALAVAAAASGPPLERMGSGASAVVDSAEPGAEADSGGSAGGAAGAVGVGGKLPSSRYKGVVPQPNGRWGAQIYERHQRVWLGTFAGEADAARAYDVAAQRFRGRDAVTNFRPLADTDPDAAAELWFLASRSKAEVVDMLRKHTYFDELAQNKRAFAAAAASAATATASSPTNTNSNHSSLASPSPATAREHLFDKTVTPSDVGKLNRLVIPKQHAEKHFPLQLPSAGGESKGVLLNMEDAAGKVWRFRYSYWNSSQSYVLTKGWSRFVKEKGLQAGDVVGFYRSSAAGAGADSKLFIDCKLRPNSVVTASTTTSPVGSSPPAPVAKAVRLFGVDLLTAPPTAAAAPAEAMAAGCKRARDLASPPRAAFKKQLVELALV >Sspon.07G0010950-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7B:42389558:42390988:1 gene:Sspon.07G0010950-2B transcript:Sspon.07G0010950-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGTGSCCRATFLQRLSSASASLRLRPLPSAAATIAIPLLFTEIPNGWIDGVARSSYQVPETASHTHHPRRKQIDQSLVVHWLSLMEPLMLLALTVLLLSYLVHGTGAAATPPRFNSIFSFGNSYADTGNFVLQCDGLPSVPFNQSPYGETFFRRPTGRPSDGRLIIDFIAEALQVPLLPPFLSRQPPHSQDLSRGANFAIVGGTALDVGFFLRRNAASVPPFRSSLRVQIGWFRRLKRSLCNTTAASAAECKDRLMARSLFVVGELGSNDYGYILAGGKSLQEAKSFVPEVVKAICRGIEFVVLVRHTVNSISARAWSQRLVEEGARYMVVSGTLPVGCLPMALTKYGKGNATEYDRRTGCLRRLNGLAQYHNWMLREAVGRMRRKYPTTKLVYADFYRPVARLLRRPAKF >Sspon.05G0016900-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:70095856:70100532:1 gene:Sspon.05G0016900-1A transcript:Sspon.05G0016900-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAKKQGGGGGDPKGLLWRLPKVTSKELGKIGPALGIGIGCGAGAGVGFFGGAGLGYGFPGLTLGFGVGAGCGVGIGFGYGLGKGIAYDENKRYSNVGKMFQETPHLPTDTVVGLFDELVINTKKFVTATSKGIEKWR >Sspon.05G0003440-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:9796537:9798291:1 gene:Sspon.05G0003440-3D transcript:Sspon.05G0003440-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding KMQASSCVQTVTASHQQTELTAACNPSKKYIVGEFSFSPLPGGRGSRKKTACVTGGNGYIASVLIKMLLEKGYAVKTTVRNPDDMAKNSHLKDLQAVGPVTVLRADLLEEGSFDEAVAGSDYVFLVAAPVNLHSKNPQKELIEPAVRGILNVMRSCAKAGTVKRVILTSSAAAVAGRPLQGGVHVLDEESWTDVEYLTANKSSHQGYGVSKVLAEKEACRFAEEHGISLVSVCPVLTVGAAPATKMDTSLHASLSLLSGDQAAFRVLRGIEMATGCMPLVHAADLCRAQMFVAEEDAAAGRYICCSVNTTIVELARFLADKYPQYTVKTDLSSSGGVLEKPRVSLSSARLLSEGFKFKYKTLDEIYDDVVEQGKAQGILPN >Sspon.02G0011690-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2B:29558103:29561303:1 gene:Sspon.02G0011690-2B transcript:Sspon.02G0011690-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding QGDSESEEEEEEVESEQGSDSDDDGGRGGAGRSSGGNRYLKNQEDDSDESDTGHRVIRSLRDKRNEEMRSIVDQMRNAMKINDWVSLQESFEKLNKHLEKVVRVNESTEVPKMYIKALVLLEDFLAEALANKEAKKKMSSSNAKALNAMKQKLKKNNKQYEEQIQKCREHPESFEDEAADDKDMDDDDDDDADESDAEIEDPDKIAMSESEEERDEDDDDKEGWEQKRSKKDKLMDKQFLKDPSEITWDIVDKKLKEIVASRGKKGTGRIERVEQLTFLTRVAKTPAQKLEILFHVISAQFDVNPSLLGHMPVNVWKKCVNNMLLVLDILQQYPNIVVDTSFEPDEKETQKGADYNGTIHVVGDLVAFLERLDSEFFKTLQCTDPYTKDYVQRLREEPLFLVVAQNVQDYLERVGNLKAAAKVALRRVELVYYKPQEVYDAMRKLAEQPEDTLDDDDAEAGDEHLAIDDNRGPSPFVVIPEVVPRKPTFPESGRTLMDGLMSLIYKYGDERTKARAMLCDIYHHAISDEFSVARDLLLMSHLQDGVQLMDISSQILFNRVMAQLGLCAFRAGLINEAHGCLTELYSTGRVKELLAQGVQQSRYHEKTPEQERLERRRQMPYHMHINLELLEATHLICAMLIEVPNMAASTYDKRRPMSKTFRRLLEVSERQTFVGPPENVRDHVMAATRALNKGDHQKAFSVVNSLEIWKLLRNRDHVLEMLKLKIKEEALRTYLFSYSSCYESLSLNQLTTMFDLSEQHAHSIVSKMMMHEELHASWDQPTKCIVFHSVDQTRLQGLLFQMADKLSVLVESNERAYEARTGGTLEGVPPRRRGEGQDSSNMGKWQENFVSSQGRQGGGNRFGYSGRGGGSGQSGGHQRDRGNQGSRGGYGGGSRFQDGRGRNQSGSSARGGDGGARMVNLNRVGRV >Sspon.05G0011350-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:34471982:34473885:-1 gene:Sspon.05G0011350-4D transcript:Sspon.05G0011350-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQQQQQPPHRHAKTDSEVTSSMAASSPPRAAYYVQSPSHDDGDNKTAASSFHSSPAASPPRSLGRASRDSSSSRFSASAKSAGAGASAAPGGVAVPGAGGRRRSSPWMKEAAIEEEGLLGMDDDDDDPDAHGGLSGIPKRVRYTLGFVGAFFGLFFFFALILWGASRNQRPVVTLRAVTFHRFVVQAGTDASLVPTEMASLNATVRLVFRNTGTFFGVHVSADPVTLYYTQLQLASGNIKYFYQSRKSQRSLTVAVVGDKVPLYGGGSGLSSTPTTLPPPKKRAPPVVVPPPPVPLQLTVRLRSRAFVLGRLVKPKFYSEARCSVTMDQTKLGKPVSLNNKACTYTH >Sspon.07G0022840-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:10945459:10951007:1 gene:Sspon.07G0022840-1B transcript:Sspon.07G0022840-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSAVTDDSAASTTGMRDDERSLSGESFSEWRSCERADSDTPSTSPPFWDSDGDDDDPGPKPSELFGRYTWRIENFSKEKKREMKSEPFEAGGFKWFWKKEHDWGWKKFMELSKIQDGFLVDDVLEIIAQVQVIREKVDRPFRCLDRPYRRELIRIYMTNVEQIYRRFVEERRSKLSKLIEDKMKWSSFRAFWSAIDPNTRHRMSREKTDTILKMLVKQFFVEKEVTSTLVMDSLYTSLKALEYQMKGKKAKQN >Sspon.02G0019980-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:65208199:65225949:1 gene:Sspon.02G0019980-1A transcript:Sspon.02G0019980-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sterol 3-beta-glucosyltransferase UGT80A2 [Source:Projected from Arabidopsis thaliana (AT3G07020) UniProtKB/Swiss-Prot;Acc:Q9M8Z7] MDNTECSETPSEVSGSERTRPEDSKKASSVLDKKISIKKKLKLFSRMATLKDDGTVVVDIPTNIEAASLDLPSGDHHNVAFGGEPLDSSDLQHRPPMQIAMLIVGTRGDVQPFIAIGKRLQDYGHRVRLATHANFKDFVMTTGLEFYPLGGDPKILAGYMVKNKGFLPATPSEIPIQRKQIRDIIFSLLPACKDPDIDTGVSFSADAIIANPAAYGHVHVAEALNIPIHIIFTMPWTPTCEFPHPFSHVKQPAGYRLSYQIVDSFVWLGIRDMINDLRKRKLKLRPVTYLSGTHAYSNDIPHAYIWSPYLVPKPKDWGPKIDVVGFCFLDLASNYEPPEPLLRWLGSGDSPIYIGFGSLPIPEPDKLTRIIVQALEITGQRGIINKGWGGLGNLEESKEFVYVLDNVPHDWLFLQCKAVVHHGGAGTTAAGLKAGCPTTIIPFFGDQFFWGSMVHARGLGAPPIPVEQLQLHSLIDAIKFMIDPKVKERAVELAKAIDSEDGVDGAVKSFLKHLPQKRDSETTPTAPQSTFMQPLLLPVK >Sspon.03G0028320-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:9070149:9070787:-1 gene:Sspon.03G0028320-1B transcript:Sspon.03G0028320-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALWTLVALSVTVAFATEGRVAATAAAEAANEVLRAHQLPGGLLPAGITAFRHDAATGRFEAQLEAPCTARFEVGLRYNATVTGVISPGQIAAISGVAAQDLFMWFPVHDIKVDIPSSGVIYFNVGVVKKHLPLAVFDAPPACTPDPLLLRTVPQYGSLVIFQRVLMSSSPAPQRLEDVGEDGLAMGAAAASRR >Sspon.02G0031950-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:116737693:116740062:1 gene:Sspon.02G0031950-1A transcript:Sspon.02G0031950-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NB-ARC domain containing protein [Source: Projected from Oryza sativa (Os08g0296600)] LHKNCEDVYKALFLDKLNGINGSTQNTSTDTREAVENFTEAKVFSREEKNEIVDLICHSASSDQELLVVPIIGDGGIGKTTLARLVYHDSVVKTKIDIMIWIYVSANFDEVKITQGILEQIPDCDFKNTKNLTVLQRGIKQYLTKRFLLVLDDVWEESEGRWDKLLAPLRCTQVKGNVILVTTRKLSVANVTGKTEAHINLSGMNEEVFWRFFKQCIFGEEKGGCHKKLMRIAEKIAAKLKGNPLAAKSVGTLLRRNIDEINWRKILDSDEWKFENGMDSIVPALMLSYNHLPYHLQLLFSYCALFPKGYIFDKDQLIRMWIALGFVMDGRKKLEDAGSDSFDDLVDRSFFQKDKQYFVVHDLIHDVAQEVSLREYLTVDGSDLQRVFPSTRHIGIWTELVYNEQNMERNITFEDKLDQIIPNKGILTSLESLMLVGVYDENFSTKFVKILEQLHYVRVLRLSAMPFNADILLSCLKRFIHLRYLELRFDSDMHKPLPDAICKLYHLQVLDVRHWKGLNDLPKRISNLVNLRCLFVPGSGLLHSKIARVGELKFLQELREFWVQDEDDFKISQLENLNEIRGSLSIFNLENATKKEEASRARIKDKKHLRTLSLSWGSADRNSAIQKEVMEVLKPHDYLAHLSVINYAGATPSWLGGNFSLSNLESLCLQDCVTMKTLPPFEEMPFLKTLSLVGMSSLKDVRIDFSCGSASTATASQSLEEDEDELELSEIKISRCSALASIRLHSCKALTKLSIKDCEKLASLEGLPSSDQLMHYVVQGCPHLPSGPVS >Sspon.08G0005790-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:18064394:18065772:1 gene:Sspon.08G0005790-1A transcript:Sspon.08G0005790-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RAAMDTEPQSRSSGDSSISSGGKKTPREEILMQPDVYIGSIEKRTQKLWVYEGAWMKHREVTYVPGLYKIFDEVLVYAADNKQHDPTMDHLIVEIDAAQCRISVFYDGDGDGIPIEVHSQEEGVYVPEMVFGHLSNCDPNVEEVSSGRSSYGIKLANIFSTEFVVEIADRRRERKYKQVFSENMGKKSEPQVTFSLHGANWTKFTFKPDIAKFNMTHLDDDATALLGKRVVDIAAILAPTTLQVSFNGKRLPRFPGFPTYVNMYLDAALLSPTWKPTERIPRIIEKFDDQVEVVVSLTEGEFDQVSFVNKAATFAGGPHVDYVLKQITEFVASSLDNKGWGANTEEQEIKRHLWIFINMLVENPTLGPPTKEALVGPLGGLESFKLSDLFYIAVRECLLSRLIPPWSAPERQDKPKRGLKKDEQKRGLKR >Sspon.08G0008360-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:31130220:31136297:-1 gene:Sspon.08G0008360-1A transcript:Sspon.08G0008360-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEKQQVEPPALVPEAGAEPRAPPQPLQQPKPAVQVQPSMPVLRPWPMEITLSMKPVVEMKSGTPTKKKKHCNCKNSQCLKLYCECFAAGDYCDGCNCKQCGNTVDNEKGRQDAINNTKLRNPNAFQPKIENGPIPPSVRKDAGALPSLPKHNKGCHCKKSGCLKKYCECFQANILCSKNCKCMDCKNYEGSEELRSTIQGDNSCDRNNIQQAANVALNGAIGSSGYRFSPVRRKRPPEDPHYQRLNVEGNTVSRGIDFVLDDCFDQSGADLLNFSRNISGLKIANNVDVSQITSSTGRGGSTGNLQGRSKLVYRSPLANTIHVTDVNDLANHLVIVCRKAAERFTTIVDNKAEMDIDREICTNTYQKFDENKEVQKAALSQLGKVTNIDQQIVDDSRLHCSDTQEDARPASPGTQALLCDEQDLTFGTAHRSSIPVALHDQDISELHAAQENAVLKEFRNYLRLIIARGKIN >Sspon.03G0012980-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:36368178:36370456:1 gene:Sspon.03G0012980-1A transcript:Sspon.03G0012980-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MANSCAAAAFLHPSASWQALLVFISVTAVRAADAGGQGPCPPFSCGDLHNISSPFRRPGDPPECGVQKYELACSRSKATIRINTGTYFVTSINYTDSTFLVVDANLDMHSSCPLPRSDQFPYFDFWGSSSRNGLHFHYDLGTDYRDNWACFINCSQSITNSSWYKPVNCLSANNSFVYVMVSYYPSGCTVSSLEPSCGYMAMTPFGNRHTIAYPDLENASYTDIIEYVRKGFSVLFPEDYYSGRNASWIFKTCRNNSTSYFHEQLFSTSILKWIRAFFWSESHFAQCVTSYDTPNHMFQRVVIAIVSAISVAKLLFGTWDTACLLSNHISYVLCRLVLPALVVFTFLAYKYWNTRITIDAVEKFLQMQQMLGPMRFAYTDITAITSHFRDKLGQGGYGSVYKGVLLPGNVYVAIKMLGNSNCNGDEFISEVSTIGRIHHINVVRLVGFCAEEMRRALVYEYMSNGSLDKYIFSAERKMAGGRRNADQNAANSSQAYYPAWVYDRLAAQEVGEISVASDMHELERKLCIVGLRCIQMKPQDRPTMSEVIEMLECGTDGLQMPSRPFFCDNDHIMPAADSYHFSSELTEISEEDE >Sspon.08G0012070-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:47871018:47875111:1 gene:Sspon.08G0012070-2B transcript:Sspon.08G0012070-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MESQTLACPSWALNGGLVVFPRTTLHPRAWQHEQSTYGTQDLSSVSLYAASVLIKSALIDGHGNNHNSPAMHANSGGEQEHYTVTDEVNF >Sspon.01G0017580-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:9730693:9733260:1 gene:Sspon.01G0017580-1P transcript:Sspon.01G0017580-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLEGKSYLVSRSLPSSCEPETEWAYLAHEVLSGKRPAPEDVEVEDLDEADGGGKRSKPPSPQPHTPDICEGHGSSRHASGCGEQQGTGSNPMNSIGRDLTINCLLRLSRSDYGSVASLSRDFRAMVRSGEIYRLRRQNGVAEHWVYFSCNVLEWDAYDPYRERWIQVPKMPPDECFMCSDKESLAVGTQLLVFGMARIVFRYSILTNSWTRADPMNSPRCLFGSTSVGEKAFVAGGTDSIGNILSSAEMYDSETHTWTPLPSMNRARKMCSGVFMDGKFYVIGGVANNNRVLTCGEEYDLKRRSWRIIENMSEGLNGVTGAPPLIAVVSNELYAADYSEKDLKKYDKKNNRWITLGKLPERSVSMNGWGLAFRACGDRLIVIGGPRTYTGGTIELNSWIPNERPPVWNLIARRPSGNFVYNCAVMGC >Sspon.01G0001550-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:1129520:1135073:-1 gene:Sspon.01G0001550-2D transcript:Sspon.01G0001550-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHSPAPSGGGGQQPLVVSLNCLDDPSLEQEVLAGVAAVEHVPLSAVASGRVEAASAVLLPSLAFLPRAAQRRLRPWQLLLCLGSADRAADAAAAADLGLRLVHVDANRAEEVADTVMALILGLLRRTHLLSRHASSAPAAGWLGSVQPMCRGMRRCRGLVLGIIGRSAAARCLATRSLAFRMSVLYFDPRYVKASGKTKRPSIVFPSAARRMDTLNDLLAASDLVSLHCGLTNETMHILNADCLQHIKPGAFIVNTGSCQLIDDCALKQLLIDGTIAGCALDGAEDYSEEVWMEIREKAITMLQSFFFDGVLPSSAISDEDEEISEARNEDDYLDPEAKDSQSQIFDAEIDESHLTLEYEKKRSISHHKAPQVSGKSVNIGSRPEGRRSRSGKKGKKRPAHRRPQQKSDDLSAAESGSNYSSRRDDDTAMSSRDQVVSSSSRFASPEDSKYKHKSLAESPMEMTSEKKVPVLLSRKYPDKLKDGFVVALRARDNSGYHAARQRAVGGGGWILDVVSNATNRDPAAQFLVTFKNKAYGHRSLLKFSCLLRQALTRHVPFRILSGSDPLLLVINRKTEFVFASHSFDVWESWMLDGSLLEGSKLINCRNPLAVLDVCIEILAAPSEEDGVT >Sspon.07G0017580-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:82335868:82337534:1 gene:Sspon.07G0017580-3C transcript:Sspon.07G0017580-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAVAATTSSLLLVSGPRRSPLTTLPSPSLLRPACKICCSFTTSTARQQQQQHLGHLACCLAAPRLSSKGSGRSISWRCSSSATGGSSTAVSTEKWILDPAGQVTAIGVTLATKLHGLDAVTVGRVADKADIVLPVATVSGTHARLEKKGGSLLVTDLDSTNGTYINERRLNPGFPVPIDPGSFLIFGDIHLAMFRVRKMKVEVPSTEAEGAEQETKTEVVSAAAVEDTAS >Sspon.07G0007750-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:20930465:20934481:1 gene:Sspon.07G0007750-1A transcript:Sspon.07G0007750-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLGFISLLLTVFQGMIQRTCIPEHWTFHMLPCEKPDEKAGEAATTEHFVAVGTLGRIGRRLLSEGAAGAEQCRNKGKVPLLSLEATHQLHIFIFVLAITHVIFSVTTMLLGGAQIHQWKQWENGIQKEKEAPGNGPKKVTHVHHDEFIKKRFKGIGKDSIILSWLHSFGKQFYRSVSKSDYTTMRLGFIMTHCPGNPKFDFHRYMVRVLEADFKKVVGISWYLWVFVVIFLLLNVNGWHTYFWIAFLPLFLLLAIGTKLEHVIAQLVHDVAEKHTAIEGDVIVKPSDDQFWFGKPKIILYLIHFILFPNAFEIAFFFWILSTYGFDSCIMGQVRFIVPRLVIGVVIQLLCSYSTLPLYAIVTQMGSCYKKEIFNEHVQQGVLGWAQKVKMRKGLKGAAAASKDESTINADSAGPSVKIEMAKAGEDVQVVGNAE >Sspon.07G0009430-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:23451171:23453260:-1 gene:Sspon.07G0009430-4D transcript:Sspon.07G0009430-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNISYLDAAFADEEIDIEAKVLRAGKAIGVAVVELKKKSGKIIAQARYSKYLGVASSKL >Sspon.02G0009420-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:26535154:26535873:1 gene:Sspon.02G0009420-1A transcript:Sspon.02G0009420-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHDNSPQRSEEVDGLPAALRHRTDDRAFTGSDRQLRTGGISMGSLRPRHVFPRAQAGPSGLRVEQAEEQVLVHAAAADVEGRTNALLRQLDDAGRRGVEVTVELEGLRTTVDALLDYLGVAPGTREDRLWSARYCVTAANESGVRRSIGVSLAMAELSVGADHTEVDGFLVEEPLCLHEDLVARYGPAKEAVAALVPAQQILAELPYDAAP >Sspon.05G0013600-3C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:5C:41261878:41262588:1 gene:Sspon.05G0013600-3C transcript:Sspon.05G0013600-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGGRLHRPEPPQTHLAMAAAAAAPIPAPNPADDWVDGSWTVDCSCGVTFDDGEEMVSCDECSVWVHTRCARYVRSVHTSFSCHKCRRSKRAPSSADEAEVAELLAELPTHRPPPLYRRWAEVPLPARVHVHGLPGGGDGALFRGAPAFSAALWRCTGYVPKRFGFRYCEFPSWADDNDGADALFALAREKPREMADDALIGIEPKKEKHYVRSLSCRGKKLDSDQQAMPLTKAKKR >Sspon.01G0007430-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:20365770:20371720:1 gene:Sspon.01G0007430-3C transcript:Sspon.01G0007430-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWLRSAVHRAVEASGGRSSLLTRTVRTSLDTVVSGVHHAGQAVAGGARLITGNRNYKSVKVAAKRLEEAALTYKGDERVQLLRRWLVALKETQRATTEVREPQLGDNTDQTAPLLVSIPPWLLAFPQIFGWHCAPDHLCLRALQDLYIDYESSAEPMNFFHVFLYSQALECVVLSLVSWVCGRAIWCCDGLVIREAPTEEEVSLISEVLGKMCLSGGKDVHNALLSSLKDLARLFSSYSDEVLAKRDELLEFAQTAVSGLKINADIARLDNEITQLQQQINSMDALRATSTGNQNKKSQTTTEGFKKAVSEVDKLKVLSESLANSSAKAEKRIMENRLQKEESLIFRVTKTNEVSGIEKVNTKLKIATVKLKKTREERDQFDEASNQIVLHLKTKEDELSRSIASCKVEASTVSAWISFLKDTWKLQSLFEELREKQAKSYRLKIFADMHSSYVDCSEELDRCGLCFAKLMKYHVSACMEELSTSIDCIKTFVDNLKIFGDSCITRSVSAEDGANGPSKQSNPRKYLEEEYLQTEKKDYYKTRRDDPEVKNLFTTIDKLRIEFESVPRPVLQIEIKEQTEKKKRSRSLIVSASPHSRSDSPIAAQLRTRLPSESESELAKFELEYKADEISGWEFDDLEDEPRPGFL >Sspon.05G0009360-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:22200417:22202852:1 gene:Sspon.05G0009360-2B transcript:Sspon.05G0009360-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAQLHGSAAATAAYRRTRASSVPSSCRWPQSLLAGSPKLSISTSGVCMKPFAFAAKLSTKCANENARVEELDLQSDQMKELQVEEHVIPQKRSAKIHDFCLGIPFGGLLFSMGLLGYIFSRSTISLVLGIVPGLATLLLGTLSLKFWRSGRSSFLLILAQAAISAFLAWKYSHAYFLTNRLLPWGFYASLSTAMGCFYAYVLLAGGNPPPKKLAAIPPQ >Sspon.03G0030020-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:19463907:19470134:1 gene:Sspon.03G0030020-1B transcript:Sspon.03G0030020-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VADSMSMKRSAASMLSGKKPVQAAAATKKSGPSKSTAAKKTDGGSQSKTSAAPEIEDVEPAEMSLEEIEERLRSVVKAETISQLKSSVWKERLEGVSERVADIKTRTFAMKCLTAFCEAVGPGFVFERLYKIMKEHKNPKVLSEGILWMVSAVEDFGISNLKLKDMIDFCKDIGLQSSTAVTRNATIKLIGMLHKFVGPDIKGFLSDVKPALLSALDTEYEKNPFEGAAAAPKRTVRVLDTASSASAGSSDGLPREDISAKITPTLLKNLGSPDWKVRLESIDAVTKIVEEANKRIQPTGTADLFSALRGRLYDSNKNLVMATLSTIGALASAMGPSVEKSSKGILADVLKCLGDNKKHMRECTLTALDSWVAAAQLDKMVPYIIAALGDQKTGSEGRKDLFDWLSKHVSKMGDPSEALPLLKPSASSLMDKSSEVRKAAESFMNEILRICGQEMMVTTSMSLPSKAGLKNNKHGPNDRGSNVGKPVSQRGLPARASVTMVSTKDPAQSQALFNIKDSNKEERERRVLVRKFKFEEPRREQIDELKVCILHKR >Sspon.04G0010990-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:29291577:29298249:1 gene:Sspon.04G0010990-3C transcript:Sspon.04G0010990-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVGFLGLGIMGKAMAANLLRHGFRVTVWNRTLAKCQELAALGATVGETPASVVSKCRYTIAMLSDPSAALSVVFDKDGVLEQIGSGKGYVDMSTVDAATSTKISEAVKTKGGAFLEAPVSGSKKPAEDGQLVILAAGDKALYDGVVPAFDVLGKKSFFLGEIGNGAKMKLVVNMIMGSMMNALSEGLCLADKSGLSPQTLLDVLDLGAIANPMFKLKGPTMMQGSYNPAFPLKHQQKDMRLALALGDENAVAMPVSAAANEAFKKARSLGLGDQDFSAVYEVVKGAGGSGSGQA >Sspon.06G0020660-2D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6D:9880080:9880685:1 gene:Sspon.06G0020660-2D transcript:Sspon.06G0020660-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MACHLRSTSMPSSPVFNKANIDEQLQSLKGAISSPSVAIETMVDGLNKIVSIYSCIDELTFLPNNQQRKAVEEELECSLVLLDLCNAMQENFAELKVRVQEMQLVLKRGDNASLQAKVQSYARLAKKAQKQFKKINSKAASDIEGCRVVRFLAEAREIAVSMLKSTSHLLSKKIAMPNASKWSLVSKAFDKKKVMCKEEQLQ >Sspon.07G0006090-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:13933071:13933835:-1 gene:Sspon.07G0006090-1P transcript:Sspon.07G0006090-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRAQLLLVGLPAFLFFSDLTHIFAPPPPHLRHPPHHHHPHPPHHHHPHPPHHPHPPHHHPHPPHQHQHQRPDPSAAIIQEPRVDGAGIGSTVELQFCASCSYK >Sspon.01G0001830-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:5198248:5200766:1 gene:Sspon.01G0001830-1A transcript:Sspon.01G0001830-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAAAPLLTSSRVTAARARRCGGRPRRCCSWRPPPLPVTRAAANVGGGGAAPDQQQGHGGAGAEVRRAAKALLFLAAVALPCLVLYHRAVVAPGDLLGAAAIPWRRGAPRDDDAAADLDSEDARLERVLTAAAMPNDTVILTTLNSAWSEPGSVLDVFLESFRSGESTRELLDHLVIVSLDMTAHARCRQVHRHCFALVTDGVDFSGQKNFMTDGYLKMMWRRIDFLREVLEKGFSFVFTDTDIVWFRNPLPHFYPDGDFQIACDHFTGDPSDLSNAPNGGFAYVRSNTETTEFYRFWYAARERHPGLHDQDVLNAIKRDPYVAELGVRIRFLSTELFGGLCEPSRNMSRVCTMHANCCIGLRRKISDLNTMLQDWRRFRALPREDKHSVSWTVPRNCRHQKSGAVHVMSPANN >Sspon.04G0000580-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:3045028:3067252:1 gene:Sspon.04G0000580-2D transcript:Sspon.04G0000580-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGLNLVPMVAIFSRYGGIRMHQSSAFYAAQASIAVGSQVWVEDPDVAWIDGEVVKVNGDTVTVKCSNEKTVTAKASNVHAKDPEEAPCGVDDMTKLAYLHEPGVLQNLKSRYDMNEIYTYTGNILIAVNPFRRLPHLYDTQMMQQYKGAEFGELSPHPFAVADVAYRLMRNEGISQSILVSGESGAGKTESTKMIMRYLAYMGGKAASEGRTVEKQVLQERERYKLGDPSTFHYLNQSNCIKLEGLDESKEYLETRKAMDIIGISSKEQEAIFRVVAAILHLGNVDCRALHAAQASIAVGSQVWVEDPDVAWIDGEVVKVNGDTVTVKCSNEKTVTAKASNVHAKDPEEAPCGVDDMTKLAYLHEPGVLQNLKSRYDMNEIYTYTGNILIAVNPFRRLPHLYDTQMMQQYKGAEFGELSPHPFAVADVAYRLMRNEGISQSILVSGESGAGKTESTKMIMRYLAYMGGKAASEGRTVEKQVLQERERYKLGDPSTFHYLNQSNCIKLEGLDESKEYLETRKAMDIIGISSKEQFAEGDDGDSSKPKDEKSLFHLRTAAELFMCDEKALKDSLCQRIIVTRDENIVKTLDPEAAKGSRDALAKTVYSRLVNTINNSIGQDPNSKCLIGVLDIYGFESFKTNSFEQFCINLTNEKLQQHFNQKPGGIIALLDEACMLPRSTHETFAQKLYQTFKNHKRFAKPKLSRSDFTICHYAGDVTYQTELFLDKNKDYVVAEHQALLSASKCAFVSGLFPLLSEDSSKSSKFSSIGSRFKQQLQSLLETLSSTEPHYIRCVKPNNLLKPAIFENQNVLQQLRCGGVMEAIRISCAGYPTRRTFYEFIDRFGILAPDVLSGSSDEVSAIRRLLDKVDLQGYQIGKTKVFLRAGQMAELDARRNEVLGRSASMIQRKVRSFLAQKSFIALRRAALQIQTVCRGELARRVYHNLRREAASLKIQTLYRMYTARKAYNELSASAVTIQSGLRGMCARKELHFRRQTRAAIIIQSRCRQFLARLHYSRTKKAAITTQCAWRGKVARKELRKLKMAARETGALQAAKNKLEKQVEELTWRLQLEKRMRADLEEAKSQENAKLQAALQEVQHQYKETKEILVQEREAAKKAAEIAPVIKEVPVIDTELMNKLRDENDKLKTLVSSLEKKIDDTEKKYQETSKISEDRLKQAMDAETKIVDLNMAMLRLQEKISTMESEEKVQRQALLGTPVKSMSEHLSIPIAPKNLENGYHEVEEHKEPQSAPPAIKEYGNGDPKMRKSCVDRQLENVDALIDCVGKNLGYCAGKPVAAITIYKCLLHWKSFEAEKTSVFDRLIQLIGSAIENEDDNDNLAYWLSNTSSLLFLLQRSLKAAGAPGSVSRKKPPQPTSLFGRMAQGLRSASFANMHVEATDVVRQVEAKYPALLFKQQLTAYVEKIYGIVRDNIKKELSSLISLCIQAPRTMKASMLRVSGRLSGQSQSQSNHWQKIIESLDKLLKILQDNHVPPVLAQKIFTQIFSYINVQLFNSLLLRRECCSFSNGEYVKAGLAELELWCAKATTEYAASSWDELKHIRQAVGFLVIFQKFRISYDEIVNDLCPILSVQQLYRICTQYWDDKYNTQSVSSDVLSNMRVLMTEDSNSAESGSFLLDDNSSIPFSVDDITNSMQEKDFTDIKPAVELLENPAFQFLQD >Sspon.06G0004410-3D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6D:11334051:11336364:-1 gene:Sspon.06G0004410-3D transcript:Sspon.06G0004410-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vesicle-associated membrane protein 727 [Source:Projected from Arabidopsis thaliana (AT3G54300) UniProtKB/Swiss-Prot;Acc:Q9M376] MNSSKQALIYSFVAKGSVVLAEHTAFSGNFSTVAVQCLQKLPSNSTRSTYSCDGHTFNFLVDRGFVFLVVADEAAGRSVPFVFLERVREDFMQRYGSSIDEEGQHPLADDADEDDFLFEDRFSIAYNLDREFGPRLKDHMQYCVNHPEEINKLSKVKAHLSDVKGIMMDNIEKILDRGEKIELLVGKTETLQSQADSFHRHGRELRRKMWLQNLRFKLMGIQMLEHTKLLAFSFQAFDVFAVSCAQNGQQVVFAGIAIM >Sspon.08G0000740-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:1017156:1019704:1 gene:Sspon.08G0000740-2B transcript:Sspon.08G0000740-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVALLANAKDSSCQQDGSPQGCKRRRYSGPDLPEDIWHHINFMMPLQDAARAACVSHAFLGSWRCRPDITISGETLGLNKNLHGKDEIARDFNSKVDQILKKHSGIGLKALKIDFCGYNADTYSYLNNWLEIAITPELEELTLHLLPNKAKYSFPCSLLSNGRRNSIQHLKLAWCTFRNTVGLDCLKKLTSLYLSNVSITGNELGCLLSNSTALELLDLSECYKIVRLKIPCLLQRLSCLRVSTCERLKMIENKAPNISSFHFSGLDGEFSLGESSLQLKDMMLSKCCTISFALAKLPFMVPNLEALFLYSYYEVPNLPMVSKTFLHLKHLSIKLCEGAFSPDYDCFSAHEPFVGEPSPQRQIMGTHHSNLKSVKITGFCSAKSLVELTCYILENATSLDCLTLDTTWGCFPRCSDHEFSKCHPLTKNIIRDSQNALLVIRAWIEGKVPPSVKFNVLGLCSKCHNA >Sspon.02G0008150-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:23898515:23901940:1 gene:Sspon.02G0008150-1A transcript:Sspon.02G0008150-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding METVSMDVSKPSALTAAGHEAATAKTRGGGDGLGKYYNQHIHDLLFAVQQRTNDLCRQEAQRNDLNSQVKLCREELHLLQEPGSHVGEVVKVMSKSKVLVKVHPEGKYIVDVDKSIDIAKLTPSTRVALRSGSYMLHVILPSKVDPLVNLMKVEKVPDSTYDMIGGLDQQIKEIKEVIELPIKHPELFESLGIAQPKGVILYGPPGTGKTLLARAVAHHTDCTFIRVSGSELVQKYIGEGSRMVRELFVMARGHAPSIIFMDEIDSIGSARMESSGSGDSEVQRTMLELLNQLDGFEATNKIKVLMATNRIDILDQALLRPGRIDRKIEFPNPSETSRFDILKIHSRRMNLMRGIDLKKIAAKMNGASGAELKAVCTEAGMFALRERRVHVTQEDFEMAVAKVMKKDTEKNMSLRKLWK >Sspon.03G0021930-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:66818262:66818822:1 gene:Sspon.03G0021930-1A transcript:Sspon.03G0021930-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTVRLLALAVLSLSFLHLSLPASSHPLPLQAAAAGEASEPDDAAAAALALARRACTYTVQIKTSCSSPRSSADAVSLAFGDAYRNEVYAARLTPRYGFERCATDTFRVSGPCGYGVCYLYLRRSGRAGWTPEWVRVYEPTTSSTPSTFYYGDPLPDGVWYGFDRCVAAGAGASSEPGAAAQAL >Sspon.03G0039430-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:9224359:9229595:1 gene:Sspon.03G0039430-1P transcript:Sspon.03G0039430-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AMGTRRGGGVLHGLLALSSLLLLASGEIIFEERFADGWETRWVESDWKRSEGKAGRFKHTAGRYSADPDDTGIQTTMDARHFAISAKFPQFSNKNRTLVVQYSIKFEQDIECGGGYIKLMSGYVNQKKFSGDTPYSLMFGPDICGTQTKKLHLILSYQGQNYPIKKDLECETDKLTHFYTFILRPDASYSLLVDNRERETGSMYTDWDILPPRKIKDVHAKQPKDWDDREYIEDPDEVKPQGYDSIPKQIPDPKAKQPDTWDEDEDGIWKPRMVSNPAYKGPWKRQRIKNPNYKGKWKTPWIDNPEFEDDPDLYVLKPLKYIGIEVWQVKAGSVFDNILICDDPEYARKVVEETWGANRQAEKEAFEEAEKERKAREDQVLNTYMHGTLTLLPFICLQHYRDHWDDYQARHPFAEFYHFWRQPYQQ >Sspon.05G0021320-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:89790597:89791974:1 gene:Sspon.05G0021320-1A transcript:Sspon.05G0021320-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MERMSSSLQSWVEEHKLSTIGAVWATAVGASVAYSRRRAPARATSLRLIHARMHAQALTLAVLGGAALAHHYYGNSGSSKKRQQEDLDYGFYSQLPPATDADGNENERWSW >Sspon.04G0011080-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:31108132:31110771:1 gene:Sspon.04G0011080-3D transcript:Sspon.04G0011080-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGLCSKEGVVEAPPAAPHPVAQLQKASSQSLKQLITLTAKEEDAAVVHAVISRTESNAKANGGIGAPAPAKEAVEKTAPPVVVITSLSKSYSTAGAPTHHRCATLDIGGNNNAAADCGGGAQAQVISSVPQGFSGEHVIAGWPSWLTSVAGEIVEGWLPRRADTFERLDKIGQGTYSNVYKARDLQSGKIVALKRVRFVNMDPESVRFMAREIHILRRLDHPNVIKLEGIVTSRLSHSLYLVFEYMEHDLAGLAALSGQRFTEPQVKCFMRQILEGLRHCHARGVLHRDIKGSNLLIGDNGVLRIADFGLATFFDPGKTQPMTSRVVTLWYRPPELLLGATEYGVAVDLWSTGCILAELLAGKPIMPGQTEIEQLHKIFKLCGSPSEDYWAKAKLPDVTLFKPQRPYRRKIAETFKDFPPTALALLDTLLAIEPSARGTVASALDSEFFKTKPLACDPASLPKYPPCKEYDAKLRGQEASRQNAAGIGGKGSVSVKPGRDDAKGAAPARDVAIADYQRRQARANQKSTSHHYSSLEDSVPGFRIEPPAMAVRGPATLQSGGGFGSTWYNRSDQRAVSRASSSVRASASHLTSQRSYAQSRGTDLHPSSSAATNANSRYNRLDVAEPANAVGRPGSSHHKDLGMRDASVVSANQSHSILTILITVDATAQAVLIAFANILFPQGFGGRNKRIHYSGPLMPPGGNMEDMLKEHEKQIQQAVRKARVEKEKTNRHYY >Sspon.04G0014390-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:56748803:56758253:1 gene:Sspon.04G0014390-2B transcript:Sspon.04G0014390-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAREEQVMGEVKGKGVLVDILEDDEERSINNNKLLDLNEGFDVESEEGEVGDDEEDEGEEGDDDGGSTTDVGGGSGSSSNNSSTNNNSESKKADGKGGSKSEVNGEQRVPSVRQYNRSKLPRLRWTPDLHMAFVHAVERLGGQENVQKQEARPRWSPEGSCFLRGDRRFHDMSFFQRAAALSSSRLERGSFFASRNCSAPELSRLYGLHHRPAPTQTFDFRNSSFSEEGEVGDDEEDEGEEGDDDGGSTTDVGGGSGSSSNNSSTNNNSESKKADGKGGSKSEVNGEQRVPSVRQYNRSKLPRLRWTPDLHMAFVHAVERLGGQENVQKQEARPRWSPEGSCFLRGDRRFHDMSFFQRAAALSSSRLERGSFFASRNCSAPELSRLYGLHHRPAPTQTFDFRNSSFRNHEWASSNQQEAITISRNHVTPPSTSPQTHTHSLASSAALRSDRRWWPFTDAGAAAAVAAGEHQAETGTNVKFDSCIGSSSRPLPLAMSPAAVSGDRRLPFRWRHGGSGRDAVGYPGPGSSSKITTRSSDPVVIDYGQQLERQKHVEPSASATPSEEACLKRRPSPVEAQDATPDLQLSLSPGPSSVLAKKRKTISSSSMDTTSCEFSISLSLSPPATAVSMQQQQRQQKTRRSSDSSGGGEGVLGQSTLDLTMSIRALE >Sspon.02G0020250-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2A:66348727:66348903:-1 gene:Sspon.02G0020250-1A transcript:Sspon.02G0020250-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVDVATPTAESGKQAPSPPPPAARRSSFFSGADLALRALLFAVTLAGLVVLVTAKQT >Sspon.07G0020750-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:68225218:68229395:-1 gene:Sspon.07G0020750-2C transcript:Sspon.07G0020750-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNMANIPMIMNLVTYLLGTMHMDVKDASTTSTNFFGAICFFSFLGAFISDSYVKRFYTILIFAPIEILGYMLLAYQAHFPSLHPPPCDMINNPSDCTPVSGRNRSLLTLGLYLIPIGESSLRTCAAALGGDQFDEDTPEELPGKISFFNWFEISISLGAMVGVVFLVWVQDNVGWDLGFTLAALMVLVGTLGVAVGLPFYRHQKPAGSPVTRILQVFVAAFRKRKLRVPENLMEMHNKVTDGTGTSVESMERTAGFMFLDKAAVDDGDTRAWSLCTVAQVEEAKIILRMVPIFLSSILAYIPFSLLLSLTVEQAGTMDTRLGGIDIPPASLTVIPVAVQVLILLVYDRAVVPWLRRATGYAGGVTHLQRAGSGSPPASWPSHGRPGRGPPPQEERRPAHVGVLADA >Sspon.05G0006450-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:11683719:11686280:1 gene:Sspon.05G0006450-3C transcript:Sspon.05G0006450-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEEAKKVEVEVTKDKEPEAAPAPAEAEPEAAKEDVAEEKAVIPATEPPADDSKALAIVESEYNLLCLINSASLCSGRLANERWCWRTDLALARVETEKRNSLIRAWEENEKTKAENKAAKKVSAILSWENTKKANIEAQLKKIEEQLEKKKAEYAEKMKNKVAMIHKEAEEKRAMVEAKRGEEVLKAEEMAAKYRATGHAPKKLIGCFGA >Sspon.06G0001060-3C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5C:4065865:4066841:-1 gene:Sspon.06G0001060-3C transcript:Sspon.06G0001060-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCTHERTNRATFLQVYRKKSTGGFSSVPYVVALFSSVLWIFYALVKTNSRPLLTINAFGCGVEAAYIVFYLAYAPRKERLRTLAYFFLLDVAAFALVVVVTLFVVREPHRVKFLGSVCLAFSMAVFVAPLSIIVKVIKTKSVEFLPISLSFCLTLSAVAWFCYGLFTKDPFVMYPNVGGFFFSCVQMGLYFWYRKPRTAKNNAVLPTTTDGAGAVQVQGQVIELASQHGGHPVGEPHPHRGRAQDRGGGAAAQGGRRGRRDPQDGRRQPGRRHAGGHRDCPRRRGV >Sspon.08G0016020-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:63628672:63646101:-1 gene:Sspon.08G0016020-1A transcript:Sspon.08G0016020-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASREHPSLPTYVLPLNRPTQPKSSPVLLRSATRAAGEAAAARRRVALPLPRRHLRTQLRLRTTIPNSLRALRSRQAPRGPRRPRGGWRRVHTPDPAAADDNVAVAKFVAVLSHSCIEISRLSDAASKGLYRQLLLFGHCTGGSGEALLEGEPQKMFAHSIPLLLELYEIVNGLIVILGNLLRQLDVICSVRDKNVRPLNSFRSFDLRTVFALLGEGLSVFLLLDEILRHNGNVRSHLSLFSRMMSKVKSEVDVFGMSVEDIDFLDQVVHNLQKIFDSGFFHRLVLVDSPLCSSIDLVRSNKKLLDAFYSCFAESSSEIILRVGSSKELPSDRKTILHLLALLLFFMSATDETPDKKSMKLLMEILQMVPVVYIEGGKCIVLSDLIRCYCPPALSLLPPIKEACEAFGIMKSNYLAHLNEVHSRDIEAINDSLSCWSVSFQSAVHPSSQMLTEEWVRHLQKQILQGVLLADRIHMLVQSMLDLHTHLKVPLKREKAKSLCQMIVALKSIGDLFNTRGPSIVRSLPHIINIIQSDIEQLILPLKDKLQTEIAKADQVSKTGFLSLLRRGGAELETKLLDSLSLVLISLQLLEGGGSSSRQLTLSITMDILHSLGHLDVELGRVRKLISKFRILSNCQSLIDERTNCSFLYWRKEMLSIWLSMVYGDACKLCWIQNIIDSFSDGTLLLELGNVGSVALRSYEEDIENALREEVVAPLCRDIETDLRLHVHSTHLKGAVDVNPTKTGVRNLSWYLRMRPLRLPVKFIDVKLLVENHLNSAFYTYSVMPNYDDKIYAEMHELGELKYGVELEDFRLTVETLDQGFDLRKTIEDLYSFCEKYSYSIIKQDLRRNPSDRKTILHLLALLLFFMSATDETPDKKSMKLLMEILQMVPVVYIEGGKCIVLSDLIRCYCPPALSLLPPIKEACEAFGIMKSNYLAHLNEVHSRDIEAINDSLSCWSVSFQSAVHPSSQMLTEEWVRHLQKQILQGVLLADRIHMLVQSMLDLHTHLKSIGDLFNTRGPSIVRSLPHIINIIQSDIEQLILPLKDKLQTEIAKADQVLISLQLLEGGGSSSRQLTLSITMDILHSLGHLDVELGRVRKLISKFRILSNCQSLIDERTNCSFLYWRKEMLSIWLSMVYGDACKLCWIQNIIDSFSDGTLLLELGNVGSVALRSYEEDIENALREEVVAPLCRDIETDLRLHVHSTHLKGAVDVNPTKTGVRNLSWYLRMRPLRLPVKFIDVKLLVENHLNSAFYTYSVMPNYDDKIYAEMHELGELKYGVELEDFRLTVETLDQDRMVLDLDVLLQSNADLHMKDLKQLENTRVSSAHPAIQGELKVTIGKHGIGDHTLGFLEQVQAVVYRIGNAVGLMRMLAAGCTRYSNSISRYARMSNYDLSYLTSCKIVGWDYDIAETGKILDMEARNKEALDKRIQTFLRLVTHIRQGVDKGKRYQQEIELIECTLRTLLLVKRDLDK >Sspon.02G0028540-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:103840452:103841630:1 gene:Sspon.02G0028540-1A transcript:Sspon.02G0028540-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAASASAARRIAMLSAARRISRSSIVTREVTGQHNLTISGYAATRKVPVDWTATSPSFDAAGYTWRINYDPNGNSWNDSMSLFLELVDADDDGAAGAGDGRGQQQQETEPVQFGFALLDQAGNPVPEYTRWFWEVGYFNGASRSKGFQRFISWRDLEESGCVKDDSFTVRCDITVVKKFAAEAESAVALAARVAVPPSDLHEDLGDLLWKKKQGMDVVIDVGGETFEAHGWLLAARSPVFEAEPKEKASGGVVRRRVEIGGMEPKVFKALLHFMYTDVLPSKMEDEKEEEETQGAAVAMAQGLLAAAHRYKLERLKLKCEEMLCQRIDLDTVAGTLAVAEQHGCGALKAACVEFISLPGNLKAAMETQGYEKIKANCPVMIELILKQLLV >Sspon.02G0025400-3C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2C:93285670:93286080:1 gene:Sspon.02G0025400-3C transcript:Sspon.02G0025400-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MYQGVSVSDTYRIRIRHLSEVSSHHRSVLCLCAIHQQKPSLRRPQAPPCSEPGQASAMPQPPTPLPDELVEEVLLRFPPENPAGLVCTRWCRLVSGPGFRRRFREFHRRAPMLGMLCNIYDQGFHFWKLKFIGGHR >Sspon.05G0013880-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:5B:50552526:50552936:1 gene:Sspon.05G0013880-2B transcript:Sspon.05G0013880-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGALHGQESTSAATVLVIDLGGGDRAAVVDAVRRATAEWGLFHVTGHGVPNEAMRAAVAAVRAFHEANGGDRSDKARLYSRETGKAVKYYCNFDLFQSPVANWRDTLYLPLYLAMQPEARWPGPRDQAVPGPPPRH >Sspon.02G0029940-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:48073790:48075159:1 gene:Sspon.02G0029940-3D transcript:Sspon.02G0029940-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGQHGLATMIAAAALLIGALASIPTGVHSIGVCYGTQGDGLPSAADVVQLYQSKGINAMRIYSPDATILQALRGSGIGVIVDETNLDALISDAAGWVQTNVQPYRGDVKFKYIAVGNEVEGSDTQKILPAMQSLAGALSAAGFGDIKVSTAVKMSVLAASSPPSSGAFADPSVMGPVVRFLAGSGAPLLANVYPYFAYRDAGGSIDLGFSLFQQSSTTVNDNGKVYTNLFDAMADAIYSAMEREGESGVPIVVSESGWPSDGGGLGASVDNARTYNQNLINHVGNGTPKRPGRSRPTYLPCSTRTEARGRDGEALWALQRADKSPVYPINFS >Sspon.03G0026150-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3B:1976551:1976813:-1 gene:Sspon.03G0026150-1B transcript:Sspon.03G0026150-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding CRVEPHLRLAGGGERLRDWEDDDEKERERDRERDETEPEETEWDGEREEEPEEERDAERPRRGFAIVPGGGGGRASVGALWCWVGF >Sspon.02G0036330-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:24461669:24462835:1 gene:Sspon.02G0036330-1B transcript:Sspon.02G0036330-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQWPGAYCEQTRAGCCKPTTGVSPARDFYITGLTVYNATTNAAVTECSNQAPYNPNLITGIGLEQYWSNIKCPSDNGQSSWKNAWKKAGACSGLDEKAYFEKALSFRSRINPLVRLKKNGIQDDFELYGLKAIKKVFKSGINAEPMIQCSKGPFDKYMLYQLYFCANGNGTFIDCPAPAQYTCTKTVLFHPYKKWMLKQKLNDESAEFYETADPFELPGLAMDK >Sspon.08G0002510-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8A:7347157:7348071:-1 gene:Sspon.08G0002510-1A transcript:Sspon.08G0002510-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNARGPPPSPRAHPPDGLPRQHVPRTAVPVDADGFTLVQSRRRGHRHAPPHPRRHRPVPPSLVGLCFNCLARDHIAARCTFPSRCLLCLSTKHRARNCKHGRPPHRISSDSERARAHPRGYDNGSGAQDALGAPSQQAASVLVGQILCPATSLSMVAGGGTLPTPLPLHGGSGRSTQGTVGGPYASRCRRRRRRCKPSREITIAATPVYTDIAATCSVAHYAPGTDPLLISLCMGIGPPAWVDPMLDELAASLVVTPSIGTSMLSPPASLEVQAPLASTHSPPQMGSADAEAPRVDDARLRMKT >Sspon.06G0028260-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6C:4984698:4985543:1 gene:Sspon.06G0028260-1C transcript:Sspon.06G0028260-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSRYQSRPKVLAVRCGKRRAASAACSSMRRRRRVTDSNAPIIRDVSSSWASLPEDLVDLIAWRFLAVDLRDYVRFRAVCAHWRSSTASPRGRGIVDRRFHPRRWMMLPEGHGLYPGHGKLRGFVRFFNLSTGAFVRVHLPLFRDHCVLDSIDGLLLLQRDHDTAARLLNPFTGDILDFPPLETLLPYVGTLPHGSKWIYIRHVSATSMNVSADGVVSLMIFGGCGWSKIAFATSREQRWRVSSYFVDQYYSPLSFQGKIYVLRCTDSYAKPDILEISPP >Sspon.05G0010420-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:29634052:29644102:-1 gene:Sspon.05G0010420-1A transcript:Sspon.05G0010420-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MERSEPSLKPEWLLRPTVPATSHKPVTSPRADDHSRGTSSRNRSSGRDRDRSSQQSSSRRGSNSSGSRRNDRDGTGKSRGYGNFGKHSKERIQEKDLDFRDREGRLVQPDDPLHDGFESFSSCRSEKDRLNRTRSKVAISNRAVGVSLDNGNVSKKDNGVISFEREFPHLGSEDKNGKQDIGRVPSPGISTPIQNIPLVVSDGWNSVLAEVPIVVDPNINSVSSSSSPAGSSKQIEVSNSGSALSMAETVMQSPLKISTTPQLSIDAQKIEERTMRQCILRPLTPSSNKISVNDHSRGTSSRNRSSGRDRDRSSQQSSSRRGSNSSGSRRNDRDGTGKSRGYGNFGKHSKERIQEKDLDFRDREGRLVQPDDPLHDGFESFSSCRSEKDRLNRTRSKVAISNRAVGVSLDNGNVSKKDNGVISFEREFPHLGSEDKNGKQDIGRVPSPGISTPIQNIPLVVSDGWNSVLAEVPIVVDPNINSVSSSSSPAGSSKQIEVSNSGSALSMAETVMQSPLKISTTPQLSIDAQKIEERTMRQCILRPLTPSSNKISASNSLDKLLKSKGARAGESNGPIKVAPQLSIQPSSSSVRTPAKTELIKQSQSGSLQVLSREQNGTVNTAAKDSTSNPVSPVLGRSSSMEPMRKSIVNPKLKVGTNGRSLHPLQGSFTDRKANAKDKYKFFELLRSKSVNGSSTAIESPSSLTDDQQNSSLDSPFKFIENGSSSCEEANSCEGSQQHLSDNEEIIPPSESRDVLDEGSLGIQVDDRDASSSPVLGDTEDIASKKLPQDNVDIVPIIPAYVNDGSVMPNSVDNEASFLLEEANPAQVLQHIGVREENPCPAQEFESIGAGGEEELNLLRSMGWDENEDVQPLQQEEIADCLRQNARLQQKLQECRG >Sspon.08G0009060-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:39776335:39780141:-1 gene:Sspon.08G0009060-1A transcript:Sspon.08G0009060-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sodium/hydrogen exchanger 4 [Source:Projected from Arabidopsis thaliana (AT5G55470) UniProtKB/Swiss-Prot;Acc:Q8S397] MAAAWWEELVVTAAAADGTGGSSTTVVSICVFTAVLCLCLVAGHLLEENKWVNESITALIIGCITGAIIFLLTKGKHSHILRFDKQLFFIYVKKKQFFHNFMTIMSFGVFGVFISGAIVSAGCYWLFPKVGFGELDAVDYLVLISCVIAALGAIFSSTDTVCTLQVSYTLHSEFLAHLPNTCSTSNCLIHGAETQVINQDETPRLYSLVFGEGVVNDATAVVLFNVIKNLDVSQLKGGVVLKLISDFLYLFATSTIIGISIGLATAYVLKALYFGRHSTDREVALMALMAYLSYMLAELLELSGILTVFFCGIVMSHYAWHNVTESSRITTKHIFATLSFIAETFIFLYVGMDALDIDKWKTSKESFKTSIGIFGIVILLILLGRVAFVFPISILSNTMSGSSERTPITFKHQFTFSGVTSDPVHATIITSTIVVVFFTTLVFGFLTRPLISAMLPRHSRALSRGHSTGSNSPKDDFTLPFLSADEGGSGSGIVLEQAKRSLSIMLERPVHTVHIYWRKFDDRFMRPIFGGTQSY >Sspon.03G0025780-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:3886979:3890610:-1 gene:Sspon.03G0025780-1P transcript:Sspon.03G0025780-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ALPQPSPSRRRCRATVAVSVTPMASTATSRRRPRRGSKGPNADLCRTLTDCTRRGDAAAAMAAFDAAVSEADAPPRLAAHQYNQLLHLLAAADRSSFPGPAAAAAARRVFAHMLQAGAPPSEATITSLARVTAADDDPGSSAADEAFELVATMKDKYGLSPRLRSYGPVLAAFRRAGEAAKAYAVEAHMTASGVAPEEPELAALLDVSSRAGDADKVYEYMHKLRQTVDCVSEDTAGVVEAWFRSDKAAMAGKSEWNAAQVKDAIVANGGGCHRLRWLGTGPWTVQRVRVGADDHCGGCRYRLACIDIDMEETQRFADSVAGLALERETKTNFSRFQVKYTFNKGKAVLVMPPSYSSEIQESEMGSWHIPMEEKSGDERGRIWLCIGRGGSCKEHGEVPATNGVVHETPPTEASNLVEQSLAENKDGSITGKRKDRD >Sspon.02G0050180-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:51346058:51347127:1 gene:Sspon.02G0050180-1C transcript:Sspon.02G0050180-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSIINSRTGEAFDNYDCQLEKDLVIRKCMVTIRKEGDYVPPKEKCRREVEHSDMTCICHILNHNDEKHVDPKKLVRLARECNKPVPVGYKCGSWTVHPPN >Sspon.07G0002150-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7A:5244100:5246134:1 gene:Sspon.07G0002150-1A transcript:Sspon.07G0002150-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLDYYKILGVDKGATDDDLKKAYRKLAMKWHPDKNPNNKKEAENRFKQISEAYEVLSDPQKRAVYDQYGEEGLKGQVPPPGAGGAGPGGATFFSTGGDGPTVFRFNPRNAEDIFAEFSSSIFGDDIFGSAFGSGPDGHGMHTGGRPVKAPAIERKLPCSLEELYKGTTKKMKISREIADASGKTIPVEEILTIDVKPGWKKGTKITFPEKGNETPNTIPADLVFIIDEKPHPVFTRDGNDLVVTQKIPLAEALTGYTAHVTTLDGRSLTVPISSVIHPGYEEVVRGEGMPIPKDPSRKGNLRIKFDIKFPARLTSDQKAGIKRLLG >Sspon.07G0014210-3C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7C:57365727:57366415:1 gene:Sspon.07G0014210-3C transcript:Sspon.07G0014210-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEAVPALATGQASTDAVTEQPVNVYIWDMDETLILLKSLLDGSYAGAFDGLKDREKSTEIGKRWENLILELCDEHFFYEEIENYNEPYLNALNEYDDGRDLTSYDFEADCFS >Sspon.05G0002100-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:6673756:6674244:-1 gene:Sspon.05G0002100-1A transcript:Sspon.05G0002100-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAFHFLRALARIHSTTPPPRRPRTIRRAAYSSMARAAGPRRAWTQALLRQARARRVVARSSRRAVLLRRRVSAAAPPLMLHASSPGETSSAPAPTPPAPAARGPPPRQAGEPARADVLRRLVPGGAEMEYCSLLDETADYVRCLRAQVQLMQSLVDLFSTQ >Sspon.01G0043770-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:77002924:77009164:1 gene:Sspon.01G0043770-1B transcript:Sspon.01G0043770-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCMQYLLLAPWVAHGVYLAATKGWKAADLGYIAIFPSLLLRMLHNQVWITVSRLQNARSRRQIVDRGIEFEQVDRERNWDDQILLSGILLYLGAVYLPGGQHLPLWRTDGAVLIALLHAGPVELIYYWLHRALHHHFLYTRYHSHHHASIVTEPITSVIHPFAELVAYELLFGIPLIVCALNGTASILAFEIYLVYIDFMNNMGHCNFELVPNWLFKWFPPLKYLMYTPSFHSLHHTQFRTNYSLFMPFYDYIYNTMDKSSDTLYEKSLKGKEETVDVVHLTHLTSLHSIYHMRPGFAEYASRPYASKWYVRMMWPMSWLSMVLTWTYGSSFTVERNVMKKLKMQSWAIPRYSFHYGLTWEKEAINSLVEKAICEADKKGAKVVSLGLLNQAHNLNGNGELYLQKYPKLGVRLVDGTSLAAAVVMNSVPQGTDQVVLAGNISKVARAVAAALCRKNIKVVMTNKQDYHFLKPNMAEDAAENLLFSKTATAKVWLIGEGLDASEQFKARKGTQFIPYSQFPPRMARKDTCTYSITPAMAVPKTLQNVHSCENWLPRRVMSAWRIAGMVHALEGWNEHECGDIVLDMEKVWSGALLHGFRPVAQQISELELAVLLGFSHKKEV >Sspon.03G0023080-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3B:94145586:94147307:-1 gene:Sspon.03G0023080-2B transcript:Sspon.03G0023080-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative pentatricopeptide repeat-containing protein At1g17630 [Source:Projected from Arabidopsis thaliana (AT1G17630) UniProtKB/Swiss-Prot;Acc:Q9LNP2] MRLLRASSTALRRSHRLISAFAFDQLIRCHPPIDSAVPWNKLLRDHLAGSRPGLALALYRLMRALCPALPNSYTLPLALRAAPSWRLASAVHAHALHLGLHAHPDVAGQVLAAYARLGRAAEARRVFDALPVRRITLSWNTLISACSVGCDPDAALAAFARMVAAGASPDAVTWTTLLSVHARCGRHPEALRLFGDMHRSGCEGNAEAVAVALSACPYAGGPALAKGRSIHAYGFVKGVVHGYLFVTNSLVCMYGKLGEMEEAEKVFWDAGAKKNAVTWNALITSYAAAGLCGEALGVLAQMEQCGGMVTPNIVSWSAVISGFASSGDMEQALQLFRQMQQQWLLPNVVTLATVLSACTELLALRLGQEVHGHTIKAALDRHSLVQNGLVNMYGKCGRVAAARKVFDRMKSRDLISWNSMIGSYGTHGLCDEALAMFQDLIGATVEPDSITFVAVLSACSHTGRVAEGRRLFNQMVREHMISPTMEHYTCMVDLLGRAGLLKDASELIETMPMRPDLCVWGALLNSCRLHGDASVAEAAIAKVLQTETVTTGNHTLITNLYAACGMWDDSNFPR >Sspon.01G0037150-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:15133458:15138925:-1 gene:Sspon.01G0037150-2C transcript:Sspon.01G0037150-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLEATMICIDNSEWMRNGDYAPSRFQAQADAVNLICGAKTQSNPENTVGVMTMAGKGVRVLVTPTSDLGKILACMHGLEVGAEANLAAAIQVAQLALKHRQNKRQQQRIIAFIGSPVKYDKKVLETIGKKLKKNNVALDIVDFGESDDEKPEKLEALIAAVNSSDSSHIVHVPPGDNALSDVLLSTPIFTGEEGGSGFAASAAAAAATGASGFEFGVDPNVDPELALALRLSMEEERARQEAIAKKAAEDTSNTENNNASSSNSDSVMAEAEPASTAAAGDKKEQPKDDDDLLQQALAMSMEGGASGSTAVTDSAMAEAGAVDPDLALALQMSVQDANMSSDTDMSKVFEDRTFVSSILNSLPGVDPNDPSVKDLLASLHSQGEAHKEIEDRSPLAAFTLGFVEFAYPHVTVL >Sspon.03G0041680-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3C:44511603:44512205:1 gene:Sspon.03G0041680-1C transcript:Sspon.03G0041680-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATITKELQNLNAKLDLILNLNDKVKRANLNATLTRIESAHPKEDAANDFINGWTRKFQEFFDKRNTSPSATNPILLETNTPQNSNTTAMIQARLNLDATTEHIMICLGKPEEPLPDVAKPLLLAALRSDQAANNILVRPFSNNFTTKLMDNDASLSNSDIHKVIDTGKKKPEEFFALQ >Sspon.05G0020150-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:85955076:85962095:1 gene:Sspon.05G0020150-1A transcript:Sspon.05G0020150-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEESVASPLLLQEEKVYHPGCPGCANDRRKELQEGLPYKEFFFTGVIIIPLLIRDLHVAKRTEDIGFYAGFVGASFMLGRCLTSTVWGIAADRFGRKPVAILGVFSVVIFNTLFGLSTSYWMAIATRFLLGALNGLLGPIKAYSIEVGTAWGIGLIIGPALGGYLALETLHKHKVHQNENKNVEALEAHQSDYKEKDEQISSLDDKKSLFKNWPFMSSLITYCVFSFHDMAYSEVFSLWTESDKKYGGLSLSSEAVGQVLSITAGLDRYKVKINFKILPQKLDRLFKVFPEPSYPFFPLSFTPYFFLFILYVYQYLQMAVFLALAFPGVSLLVYQLSVYPHTNKILGPIKTSRVAAILCILILFGYPYMTYLSGTGLSIILNIASILKINLAVSDQMVFFLLNVIEFLGLILTFEPFMAAPESRAEH >Sspon.04G0020400-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:77407972:77412153:1 gene:Sspon.04G0020400-3C transcript:Sspon.04G0020400-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPVAGTAGYRGPAEVRARAPGKIILAGEHAVVHGSAAVAAAIDLYTRSSLLLRPTGEGGGADSGAVELYLRDSGLTFSWPCSRLRGALGEEISANPRAPAPCSPDKLATIARLLEDQEIPEAKIWLSAGLSAFLFLYTSILGCRSGKAVVTSDLPMGAGLGSSAAFCVSMSGTLLTAAGAVSVGAHRGAEGWEVLEKGDLELVNQWAFQGEKIIHGKPSGIDNSVSTFGKMIKFKKGELTNLESRNPVKMLITDTRVGRNTKALVAGVSERASRHPDAMASVFHAVNSISEELSSIVELAAEDEIAITSKEDKLAELMEMNQGLLQCMGVSHSSIETVLRTTLKYSLVSKLTGAGGGGCVLTLIPTLSANIVLEKVTTELESHGYRCFKVEVGGRGLQICPSLLVKDRGRPDDPKARPKASGEVNVANNVQGAELLDEDISSEGEDLDDGTEENISDANKHDANEDVKEEDEASDEDGTGYDSDETDDELDDDDELDDDSDTDNISGEDEEELKESRNDSEDELSDQDGRQQCER >Sspon.08G0020670-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:25123667:25135070:1 gene:Sspon.08G0020670-1B transcript:Sspon.08G0020670-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSNMPRLQSNEHNLWKVKFPRWSKQMHNCKKSSNPNLDGNYRRKPGSVTDAIRTRLRGSDGTDRAVTTCRLPLNRGIPSYPKVPVRLSTTPKSGTERRRWGCSRTQGPPHDCLCLVSSWMGLDRVHGEDAGEGAISARLMLVGGEGGASWHRGVVRGSLAMCAGTLVVVHDSENQRADELYGTTTITTQNKREREAQHRGLATARQLQQPWRAAAVATARAADSDGDRRCKRCSEGRNNVAKLEAWAIGWKWHKRDEFSQRLEEHGGDRNEAVAMLPDLPAAACSRAETSRRVVAGQRSSCAAAAADMSTTVTVSPPPDPLGDAPAAPGTSSSNFTLLYIIVAVLAGVILYMAFRHGQSVLAEWRRLQAGGHAEAEALDDIAAQPTFTYRASRSKGRTPGRAVECVVCLQEMEDGDVVRVLPTCRHFFHGGCIDAWLRAHSTCPVCRAHPEPQRARLAEAAMSPPLPQLRWCGVSPERPTASRKQLLLLDKWIWVAKASLGVEDSVSGAAGVWQVTASGDVRNSGCPVQVAVADEVQHKQAVVGEERWNIGKHRGRWWFPVEVTVAVAGAPSSNGDTTKVVSGDELRFRAIVNRGAAP >Sspon.03G0016070-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:81996213:81998054:-1 gene:Sspon.03G0016070-2C transcript:Sspon.03G0016070-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAILSALKLGPSPPALAGAAPPATSTPAHSSVHFHLANAGAAALVAASLLSADPAVAFIGGGPYGKQVTRGQDLTGKDFSGLTLVKQDFKTSILRQANFKGANLLGASFFDADLTSADLSDADLRGADFSLANLTKILPMSRCETINGNTSAKLLTE >Sspon.02G0007790-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:22689837:22692326:-1 gene:Sspon.02G0007790-1A transcript:Sspon.02G0007790-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQEGSEASVASSPPPPPPSASSSTSSSAAAVASWWRDSMHPAAYGAWPPQPPAARWPPMPAPTSHHHHQHGGRTTTSSGGGAADDLSASNATMTSFTNTSTTNHSGLSMDSGGAEAAAAVAAESHLWNQVLMGAGGEVGRSMQAVHDAHDDSENFLELLNSRTLAPELFAEPPACDYLKKMEYGSSHGGGGGGGGGGGWPDHQFTTAALEKHLSSGYGGGALAHHHHAAPGGGAPERLTANLSDLVSNWSIAPPNPCLGDAHHRAGVAAAACDNNAAAVAALGHGAKAGLFLDSGGGVCKHEIGGHGHGTMMEEAASGSSGGQEFLRPAEYSSMLGLSSNRMYMDVPWGNNAGAARSLSDLISFGGAPLGKPEQPAATSTKAQAEYKKQGQEISSPVRSTVDNIMLRASGQRMEPALIDL >Sspon.01G0033450-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:112707289:112708451:1 gene:Sspon.01G0033450-1A transcript:Sspon.01G0033450-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding CRGARRRTTGSSRRWQSITRTTTLPGSGSSWPRRSAAARRRTTPGNTTRSCMGTSTTWTPANGRPAAATCTASSTSTSTAPTPTPTPTAATIGGGATAAKAGQSPPGMRRRTADPAGAGEMNHTIK >Sspon.08G0010860-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:44964795:44967008:-1 gene:Sspon.08G0010860-3C transcript:Sspon.08G0010860-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKLKFHEQKLLKRTNFLEYKREGGHREALVTQRYGLVERDDYKKWDSPARVTKHAMMRRLATVMVKLKFAEHLKEAVTYIEQGHVRVGPETVTDPAFLVTRNMEDFITWVDSSKIKRKVMEYNDALDDYDAMF >Sspon.04G0016950-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:65589310:65597896:-1 gene:Sspon.04G0016950-2B transcript:Sspon.04G0016950-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIAVDTTADATYTLVGPPEARQRHAAARATGAVAAAAAAEPAPTGEEFLDLMDACFNKPTAPAPAAAVGPGGKALTENFSPTFVSSGDPCLDFFFHVVPGTPAASVESLLGAAWAADPPTALRLVANLRGVRGSGKSDREGFYAAALWLHARHPRTLALNAAPVADFGYLKDLPELLHRIVHGGVSTRTPGKKARLAAEGGGFVAGRGRGRGRGFFGSRTPRTRAERATRVGSTEERVAASLEHDRGLAAAAAVARRTRRAEAAARAVEMYSNDPTYRFLHDRTADLFAGLIAEDMRKLAAGKLREFSLAAKWCPSLDSSYDRSTLLCEAVARRLFPKGSAPELSADLADEHYAYRARERLRRAALVPLRRALKLPEVFISARAWESVAYTRVASVAMKNYKELFLKHDADRFNAYLADVKSGKKRIAAGALLPHEIIASLGYDGGVAELQWQRMVDDMRALGKLSNCVAVCDVSGSMSGLPMDVCVALGLLVSELSDDPWRGRVITFSEKPELHKIAGETLYQKTSFVRTMHWGMNTNFQAVFDKILEVAVGARLAPERMVRRVFVFSDMEFDHASANPWETDYEAIVRKFTEAGYGAAVPEVVPRAVMEKAIARPEYDKLAVFD >Sspon.08G0006590-3C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8C:9418537:9421995:1 gene:Sspon.08G0006590-3C transcript:Sspon.08G0006590-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable protein phosphatase 2C 22 [Source:Projected from Arabidopsis thaliana (AT2G25620) UniProtKB/Swiss-Prot;Acc:Q9SLA1] MGWDVLSWIWEVREEGAGKEGSEAPVHPWCTRVLVLVSNGSSTEAGDIPECLWEVDTALAHSEHEFVTRVLVARVRSPANNTKPENERAFESIDTEFIPVVRSGGWADIGSRHTMEDVFICSDNFMQDFGFESSDEGPSAFYGVFDGHGGKHAADFVCSNLPRFIVEDEGFPREIVKAVSSAFLQVDAAFADACSLNCSLASGTTALATLVVGSRGGATLGNMGRPPQLLAGQPHYPIQFSLISMTAATAKLSDSAALLAYFCLWVLNRSLLVANAGDCRAVLCRRGKAIEMSRDHKPSCNREKMRIEALGGYVDDEYLNGQLNVARAIGDWHMEGMKACDGLGPLSAEPEVMTTDLTEEDEFLIMGCDGIWDVFRSQNAVDFARRKLQEHNDPATCCKELVDEAIKRKSGDNLSVVVVCFNSRPPPVLTTPRPR >Sspon.04G0032420-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:30450017:30450253:1 gene:Sspon.04G0032420-2D transcript:Sspon.04G0032420-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNHFIRMKREEQALREIGARVADIQIEDQGEHRASASASASVTSTARSTKEASATSTVIASSGSATQITLIAPTHSS >Sspon.06G0030000-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:39674296:39676942:-1 gene:Sspon.06G0030000-1C transcript:Sspon.06G0030000-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVGSDSRIEEVQVTREYIDNKEATFLLGVLPSEGKYIFQYMPPDFAMEFNEAIAVLLIGGFHGIRLVHTAYSFCILVVPQPQHQDAQHSASAYWSFHSHSIRE >Sspon.02G0006140-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:12264705:12268271:1 gene:Sspon.02G0006140-3D transcript:Sspon.02G0006140-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPEGTTWVFDCPLMDDLAVAADFAAAPAAGFFWAAPPSLQPQVVQAPVQSVVAASAPNPPCVEISSSVDCGQGKEQPTNKRPRSESTAEPSTKASREKIRRDKLNKRFLELGAILEPGETPKMDKSAILNDAIRVVGELRSEAKKLKDSNESLQEKIKELKAEKNELRDEKQRLKAEKESLEQQIKFLNARPSLVPHHSVIPASAFAAPHGPAAAGHKLMLPVLGYPGFPMWQFMPPSDVDTSDDPKSCPPVA >Sspon.03G0020470-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:77086129:77089045:-1 gene:Sspon.03G0020470-1P transcript:Sspon.03G0020470-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding INKDEIAKWVLSFQVHPEANDDICVGLFYGFCGSRSTQFPLPNVKDPCRDVSHLASTYSALAILKIIGYDLANIDCKALLLSLKKLQQPDGSFTPTHIGAETDLRFVYCAAAICSMLDDWTGMDKLKAKEYILDCQSYDGGFGMVPGSESHGGGTFCAVAALHLMGFIQVDLASNLRDSSSINICMLLEWCLQRQVTNGGFQGRRNKPSDTCYAFWVGGVLKILGAYHLIDRCALRGFLLTCQSPYGGFTKFPDDRIPDIYHSYYGLAALSLLEEEGLEPLCVELGILSAAL >Sspon.01G0008330-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:62058424:62064821:-1 gene:Sspon.01G0008330-2B transcript:Sspon.01G0008330-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to Phytochrome B [Source: Projected from Oryza sativa (Os03g0309200)] EMVRLIETATVPIFAVDTDGCINGWNAKIAELTGLSVEEAMGKSLVNDLIFKESEEIVEKLLSRALTGEEDKNVEIKLKTFGSEQSKGAIFVIVNACSSRDYTQNIVGVCFVGQDVTGQKVVMDKFINIQGDYKAIVHNPNPLIPPIFASDENTSCSEWNTAMEKLTGWSRGEVVGKFLIGEVFGNFCRLKGPDALTKFMVVIHNAIGGQDCEKLPFSFFDKNGKYVQALLTANTRSKMDGKSIGAFCFLQIASAEIQQAFEIQRQQEKKCYARMKELAYICQEIKILQRQFLETFSACEKQMSKIVKDASLQSIEDSSLVLEKSEFSLGDVMNAVVSQAMLLLRERDLQLIRDIPDEIKDASAYGDQFRIQQVLTDFLLSMVRSAPSENGWVEIQVRPNVKQNSDGTDTELFIFRFACPGEGLPADIVQDMFSNSQWSTQEGVGLSTCRKILKLMGGEVQYIRESERSFFLIVLELPQPRPAAGREIS >Sspon.05G0016910-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:70050886:70051879:1 gene:Sspon.05G0016910-2B transcript:Sspon.05G0016910-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQNLILPSTPCRYQAYELIHARWAMLGAAGAVIPEACNKFGANCGPEAVWFKTGALLLDGNTLSYFGNSIPINLVVAVVAEVVLVGGAEYYRIINGLDLEDKLHPGGPFDPLGLASDPDQAAILKVKEIKNGRLAMFSMLAFFIQAYVTGEGPVENLAKHLSDPFGNNLLTVISGAAERTPSL >Sspon.02G0032120-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:88962230:88963181:-1 gene:Sspon.02G0032120-2D transcript:Sspon.02G0032120-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKDTQFVGSPPVPPAEPARRGFVKGAVTYTVTDDLVISPMSNVSSIALLNACAVRDLGALQERTVHIGYKEGLEILRASLQSKTVLTDVFLGKKPPSMNNNGGNRSLGSGRRHECLTWRA >Sspon.06G0001010-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:1494183:1497404:-1 gene:Sspon.06G0001010-3C transcript:Sspon.06G0001010-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding KYSTLIVSRKFLYCCCIVSAKVGPLPVASLIAGTDNRCALMEDWMLPSPSPRTLMPSFFNEEFSSAPFSNIFGDDRSNKPLVEIEKSKSFIGSSVQETSQDMKDHPQTESNLFGANQKSTSPGGLAERMAARAGFGVLKIDTSRVSSSGAPIRSPVTIPPGVSPRELLESPVFLPNAISQPSPTTGKLPFLMPNNFKSTMPSVPEKSEDHSHEDSAFSFQPILRSKPSTLWTAEKGSSVVHQTQSLAKDRQELNLHANLTATKHETEENLVKPKTYDSMFDNDHPSPDVEPEQSEEIQNGEDSSAPVTGTAEDGYNWRKYGEKQVKNSQHPRSYYKCTHPNCPVKKMVERSLEGHITEIVYRGSHSHPLPLPNRRPSIPLSHFNDSEADGLENFSSKPGPGYNSATSQGIGPNGQFQDVRSGALETKLSGSLTTTKIGDTSVMESMDVSSTLSSNEKGDRAMNGGAIPSTNDMNEDETESKRRKMEVSVASNTTNTGIDMAAIASRTAREPRIVVQTTSEVDILDDGYRWRKYGQKVVKGNPNPRSYYKCTYAGCSVRKHVERASNDLKSVITTYEGRHNHEVPAARNSNGHPSSGSGAAPQGSSLHRRPEPPQFSMPQLNAAAATYGSLCLPPQLSAASGGFSFGMLPPAMAMPVPSLGNFMPAQMPGHGSPMQGCAGLMLPRGEEKVNPEQQSRLPVANENAVATYQQLTSRWPQGHQM >Sspon.01G0049630-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1B:113593862:113594799:-1 gene:Sspon.01G0049630-1B transcript:Sspon.01G0049630-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GPRWAGAYDPRADGREETSAVHRLGAPHVPRALNWTALGAPLLLAPSCIPSHHAFTHAAPLSSL >Sspon.05G0021570-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:59176766:59182075:-1 gene:Sspon.05G0021570-1P transcript:Sspon.05G0021570-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSYKYKYCMCFTRKFRSPDAQPPPDVSAAYHSFNSDVHALRRFLSQAQAEHPAEVDRIHALLTAASGGHGIARLVTRSPAPAMPTLEDFFAFLFSPELNPPMAHQVHQDMSAPFSHYFVFTGHNSYLTGNQLNSDSSDIPIIKALQRGVRVIELDMWPNSSKTNVDILHGGTLTAPVEMIRCLKSIKEYAFCASTYPLVITLEDHLTPDLQAKVAKMLTETFGDLLFIPSSDPMKEFPSPAALMKRIIISTKPPQEYKEFLKDELDEQDEEDSDEDDPKFQQDTAFFLEKEQDTACEYRRLDGKDELDEQDEEDSDEDDPKFQQDTAFFLEKEQDTACEYRRLITIQAGKPKGHLRDALKVDPEKVRRLSLSETQLAKATTSHGAEVIRFTQNNILRVYPKGMRVSSSNYDPMDAWTHGAQMVAFNMQGHDKALRLMQGFFRANGGCGYVKKPDFLLTTGPKGEVFNPKSSLPVKKTLKTLTTEFVQNVAIELVLHIAKSGVMSKQIGIAGVRADTVMKKTRVIEDQWVPVWDEEFTFPLRVPELALLRIEVQEYDMSEKLLILLLHLLVPT >Sspon.06G0033680-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6D:19121377:19121766:1 gene:Sspon.06G0033680-1D transcript:Sspon.06G0033680-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYTRARLFANPRSPRKGEVRRGYSHRPRTPSPPHRIIFPAPHDIRVPLARRARVSEAPAAHHALREGCRCGPNAVAVAPCHWLADHGLALASRYKTSPRCFALSTAASAVPPTTPFRRAQKASPAPGG >Sspon.05G0023130-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:10573227:10574881:-1 gene:Sspon.05G0023130-3D transcript:Sspon.05G0023130-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDARSVTGPPQLPTPPAPLPAGCFCLPKTSSTSLTKSFTAVHDFRVTDYSLLEGMGFGRYVSSSTFSVGGREWAVRFYPDGATAGLLGDVSAFLYYYSRDRDASGVRARFTLNLLEKDGKMPPVTNPYMKHTFSPASDNWGFTKFMEKSRLQQGSPYLDRDCLTIRCVLTVLIDSRTVANENSVLT >Sspon.06G0007000-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:23131742:23137924:1 gene:Sspon.06G0007000-2B transcript:Sspon.06G0007000-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAATAATAEIVTAVTATTSEMEATATAITTAAAAGPPPAIPEKYMHKNRLQSFSDRMYKKPPIYKVESEGEPHQPKFRCTVEVGGQQFSSAGSFDRKKEAEQDAAKVAYEILAAVGEDDIKEAFGLIDQDAVFCKSILNEFAVKTKTTWPSYSLDSLTKPLTLFAATVVFDGNRYTGESARNKKDAEQNAARAVIKSILAMHNTCMVGIVRSKKQLITAVRSSGSTPATFTPIQFTRPVSYAAYGGPDHVAPVLQNASSSLAVQGGINAVPAVGASANPSSANVSRSKSKKRKARV >Sspon.03G0031700-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:30208513:30215123:-1 gene:Sspon.03G0031700-1B transcript:Sspon.03G0031700-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIAAATEDKETHSFEIDPSQVENVKQRCLPNALNYPMLEEYDFRNDTVNPDLEMELKPQARPRPYQEKSLSKMFGNGRARSGIIVLPCGAGKSLVGVSAACRIKKSCLCLATNAVSVDQWAFQFKLWSTIKDENISRFTSDNKEKFTGMAGVVVTTYNMVAFGGKRSEDSEKIIEEIRNREWGLLLMDEVHVVPAHMFRKVISITKSHCKLGLTATLVREDERITDLNFLIGPKLYEANWLDLVKGGFIANVQCAEVWCPMTKEFFAEYLKKENSKKKQVLYVMNPNKFRACEFLIRFHEQQRGDKIIVFADNLFALTAYAMKLRKPMIFGATSHAERTRILYQFKNSPEVNTIFLSKVGDNSIDIPEANVIIQISSHAGSRRQEAQRLGRILRAKGKQQDRMAGGKEEYNAFFYSLVSTDTQEMYYSTKRQQFLIDQGYSFKVITSLPPPEEGPNLSFYTLDEQLELLSKVLNAGDDMIGVERLEEDSDGKALLRARRSAGSMSAFSGAGGMVYMEYSTGKGKGAPKKHKDPSKRHHLFKKRYA >Sspon.02G0028640-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:125755107:125763123:-1 gene:Sspon.02G0028640-3C transcript:Sspon.02G0028640-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSSGEELLKKIRELEVGQAQLKQEMSKLIPGAGERRRSQSVSPRRGVPVPPPPPAKGKAGAPGRRLSGGFEGGQRAWARGSASFPHSSPLQREGRAMGAGDASTSAKLPERQYSRVLQSLGQSVHILDLDGRIIYWNQSAENLFGYPASEALGQDALMLLVDSRDHNAVNDIFRRISMGESWMGKFPVKNRQGDRFSALATNTPFYDEDGSLVGIICVSSDSRHLEQIFCKPPTSSRPQSESSRTSCDGSCSNTIRRINLLNKSPFDPQLPLQSTLASKITNLATKVTNKVRSRVRTDENGIEREGGSGESHCSDRGAKEEPTSSGTNTPRGDAPHGPFATEENSPGKSTNPNSDESEGKVGLHKILSSKAEALLNKKGISWPWKGRENEGPDERNHVILPWLHGDQENGMNHQKVSDSSVAPDAQGAEHNQPNKNEASGSWSSFNNNSTSSASSTGSTNSSALYKVDHEADCLDYEILWEDLIIGEQIGQGSCGTVYHALWYGSDVAVKVFSKQEYSEEVILAFRQEVSLMKKLRHPNILLFMGAVTSPQRLCIVTEFLPRGSLFRLLQKSATKLDVRRRVHMALDIARGMNYLHHSSPPIIHRDLKSSNLLVDKNWTVKVADFGLSRLKRETFLTTKTGKGTPQWMAPEVLRNEPSDEKSDVYSYGVILWELVTQKIPWENLNSMQVIGAVGFMNQRLDIPSEVDPQWKSIILSCWESDPQQRPSFQELLERLRELQRHYAIQHRNTKNSIEE >Sspon.07G0015330-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7A:55062447:55062974:-1 gene:Sspon.07G0015330-1A transcript:Sspon.07G0015330-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSALRSIASRWRELHGKNSWKGLLDPLDADLRRSIIAYGELAQATYDGFNREKRSPHAGACLHGRADLLAASGASAAADYAVTKFVYATSALPLPNAFLFRPLPALEDAAWCRDSNWMGYVAVATDEGAARLGRRDVVVAWRGTIRSLEWVNDFDFTPTSAAPVLGTAAAAHPLAM >Sspon.05G0014350-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5A:52815146:52822392:1 gene:Sspon.05G0014350-1A transcript:Sspon.05G0014350-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTEKARSTVPWPTAWRGRLAPSSVVTWDGFDLLHL >Sspon.02G0036950-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2B:28544960:28545153:-1 gene:Sspon.02G0036950-1B transcript:Sspon.02G0036950-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DINRTVFLDPLGRWSIIRETEPDWLSGQGGRPREQGGRPASGPVRPPVRSRGFWSLLDDRKSVN >Sspon.05G0012910-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:34766804:34767368:1 gene:Sspon.05G0012910-2B transcript:Sspon.05G0012910-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPARPTSYSYLDSTWHALCAQTISKPAMDIGFYKRVRGIKHKATTMPLYPRKPAQVAPAAPAQHAVSKAKQPSTTTVWSMPAPPPSTVVAMEVVGGMVPGGGDVGSDADVDSRAALYISRVQERLRRERMAEDWRVYY >Sspon.01G0000030-5P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:42399233:42400949:-1 gene:Sspon.01G0000030-5P transcript:Sspon.01G0000030-5P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATFSSTVVALGALIFSLLATCSSCARPVSFNASDFTADPDWEAARATWYGAPTGAGPDDDGGACGFKNVNLPPFSAMTSCGNEPLFKDGKGCGSCYQIRCTNNDACSGNPETVIITDMNYYPVAKYHFDLSGTAFGAMAKPGRSDELRRAGIIDIQFKRVPCNYPGQKVTFHVEEGSNPVYFAVLVEFEDGDGDAVQVDLMEANSGSWTPMRESWGSIWRLDSGHRLTAPFSLRITNESGKTLVANQVIPANWVPNTYYRSIVQY >Sspon.05G0018840-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:80452621:80458316:1 gene:Sspon.05G0018840-1A transcript:Sspon.05G0018840-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Autophagy-related protein 13b [Source:Projected from Arabidopsis thaliana (AT3G18770) UniProtKB/Swiss-Prot;Acc:F4J8V5] MAASVGVSEPPMVEQVITEFFAKSLHIILESRSPYDSSRNFTRPSPPSSPLAGSQPRDRWFNLALRDCPAALENFDLWRQSNLEPLVIDIVLLQRDSTRTASVGASRIIERWVIKYEATRSGNVIKNGGKKARSSSSQDHSLYRRAYSGSTVLFRSLYLVVRLLPAYHLFQGLNSSGRICPLSLSHKISSFVEPFTRAEDAEMKHYAFAPIETLFGSLSLSVSYVPVLELAAVPEQPTPMPPELIKDYVGSPTTDFLRKLDSLPSDGIAPACFPMTRRHSWSTEHGVRPSALPFPMSSEPHGRLQPRMPTDNSPTAYSHPHNTSSSGKKRNTVNEENPSPPLSPSPSHLPSSYPRNPFFRYESAPLSIPTVMAGGGGSRLPPSPRRKDKQQCSSQNENLTHSPNDKSIVSMDLVKRSEFQNEKSLHKVLSFGNDDLVYFRGLKLTRTSSKLFIMDELDDRELVFAWEDKDTIIDQLRRIDISDREDQGPSQEVGGSLTRSPDAAIGILMRILQNAPGLRERLLLPGPSAPVPREPSLQRVVTEEHGSGASSSAGVPSTLLRSRTAADALEELNKYKEIRESILNRSKRHPCSTKLLEEKPADGDP >Sspon.03G0020390-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:50243760:50246853:-1 gene:Sspon.03G0020390-2D transcript:Sspon.03G0020390-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAGGGDEVGESGGPSKRHRVKRRDGGAKEGQSLGVESGSGKSKDPAMPKECLEVRCEDSQRIIKEIEDLKSELDEDLRQLGYCEENEKLRAELAVKVKEMHCLMKRIEELEAKNDLLKKRTEELEAINDGLPKRNENLQAKNGDLRKQNMELQAENEDLTKQNKELQARNAGLTKGNEEMQAKNDDDLHKNIMEILEIQTDARRKNLLQFLGLYSIMDFPYTQLKSSDEAPKAYLGEESYSNEKDFSQKKIEDGEKLEKVLRARATKAILEAISAEKETAEESLKVVQAELEANKSSLAQALSDRDSACQVTGKMKKTLLS >Sspon.02G0010570-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:28870305:28871512:1 gene:Sspon.02G0010570-1A transcript:Sspon.02G0010570-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNWASVRGRTAPRTLPTPLTLCAAPRLACRRCRSLQPARIGPDHRAPCLVRLSHGAASTAPVHRTVRSCCTKSATYVAAREHGTVSSSVPPPEATTIPMSLVSVQFNYHYFSNTTEEEEAAAAAENRCFQVESAGEILIVLIVFKLPHRIEVFKIGNNMVVQPVKSIGRRALFVGNCRCLSVDADKFAAVDANSIYYIEYMSYDVRVYSLSDGTELCS >Sspon.01G0023250-2D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1D:81580912:81581232:1 gene:Sspon.01G0023250-2D transcript:Sspon.01G0023250-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SEIGSDDEHHFFESLDLMTNDLDELMTKITSSGKHSSVKNDKEQKIDSVETDKEQKIGSAETTTTYRKQPMRKTKAAQNSKPDEIATMRRAEPVKHAKAQNINSAET >Sspon.06G0006630-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:24185128:24190300:-1 gene:Sspon.06G0006630-1A transcript:Sspon.06G0006630-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVASELVGASRERQSRKRKQFHTVELKVRMDCDGCELKVRNTLARMRGVESVEINRKQQKVTVKGFVEAQRVLRRAQSTGKRVELWPYVPYTNLYVAPPVYDKRAPPGHVRRVDAQIVAPAADQEEHLATLFSDDNPNACSL >Sspon.01G0007320-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:29825036:29827269:-1 gene:Sspon.01G0007320-1P transcript:Sspon.01G0007320-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVATVTELKQSISGKRTFRPSLISRHANECDLTVEVGTSSFALHKLFAQFPLVSRSGKIRRLVAEAKDAKLARLSLHGTPGGAPAFELAAKFCYGVHVDVTVANVAMLRCAAHYLQITDDFSDKSLELRAETFLRDAVLPSIASSVAVLRSCEVLLPAAEDVGLVPRLIAAIASNVCKEQLTSGLSKLDQCAAQLKPAAAFADLDSPGDWWGKSVAALGLDFFQRLLSAVKAKGLKQETVTRILINYAQNSLHGLMARDVHRCGAGAADADAVKKQRAVVEAISKKSPVPMAFLSGLLKTAMAVADLEKRIGMQLDQAILEDILIAAGAGVGGTTPAGHGQQHALYDTDVVVRIFSVFLNLDDDHNEEDAGFDYDSPRSPKQSMLVKAAKLLDSYLAEVSLDSNILPSKFISLAELLPDHARVVTDGLYRAVDIFLKARTVHPNIKEAERYRLCKAIDCQRLTPDACSHAAQNERLPVQMAVQVLYYEQLRLRSAIQSGGGSMGGHDAALFFGCAAAAASAQGSVNMRSGSGVGSGAMSPRDNYASVRRENRELKLEVARMRMRLTDLEKDHVSMKRELVRVGPANRLLRGFARSLSRLFRMRPAAEPGLQQLGAKATADAKVLFQRRRRHSIS >Sspon.05G0014420-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:55125488:55131949:1 gene:Sspon.05G0014420-1P transcript:Sspon.05G0014420-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEIGGYSVKRAVEIIIPYLEDTGRSAHKAIYFDGWDGLAASAVLRAIAEHPPPSLMNKFDRIIHIDCSRWKSRRALQRTIVDGLKLPQGQRVMAAFDRQDMEDDFSGVDDGARVEIAEVTREIYQTVKDLSCLVVFHNGKEARDIVKQIQHKQNIITPEIAANCITYILWLHEMAGSTMDYNWATHASNYWVCDGIIGQQGQLDDDESWEVSAALHKQMQRMELSSRPVRFNGDEKYKNVWKSVAYTSDSREKENLTALSRNLTSFFLSSKGGLYTTLLCHMFQQSERLHVLKLSGCRFNFNSPPFRCCQSLRFLGLHHCEDQGQEEEDKKERPIMEFFESLWVLDISHTDWEFNLWKDLSEQMSSNIREVHIKKGRIWHNHLPWRQLQNLRKLRVIEPTSSWETGRKDEFTDMEKLELLDLSGNSTIQVLPSLSSATRIRTLVLDGCVGLDHIGPEDLPPALKTFSLDARSEKLLKISLPGCANLESFLLRGELPRLEELNLSGTSVRRVDLSDKVVQVKGLKKVFLIGCKQLRAILWWQEKRQLEVLCIDTFETQDTCPHYSVSDPSHNQHMNYVVTADARFIQSLWIQEYETMITSSLYLDLHMPPTSSSNKSKGPSRDKVIPKPCYYTYSDVLLPGVSTCDNEMRWPAPLNYHMEVGEGISLTAVESDKGIKAIRYVTLKCIYSLHVHDNSCIPAVTPKFPGHGFGGSDSIYYLFELKWCRVERCPKLETVFASSSFRYSFEYLETIWVSDLTAAAYIWSKGSIVSSQSFEALQSIYLHKCPRLKFALPLRRYTYLPRLETLHITQCGDLRQVFPWDDDVDQQYREADPVKEFPNLKHVLLHDLFSLQEICEAKMSAPVLESVRIKGCWGLRRLPSIGHRNNSHRRPVVHCQEDWWTKLEWDGLQAGHDPSLYEPRYSSAYYKKRFLRGTAQGVPILSCIMCCHFQFHCKLMLLQYGPSSIPLSSRRSPSNFPIFAAAATSRVDSMFGLSSFSCELAEHATVDVGVWMT >Sspon.08G0019050-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8B:10139222:10139410:-1 gene:Sspon.08G0019050-1B transcript:Sspon.08G0019050-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGVCQGCASPDVRIAVRIALGSHALASTPPPDPAFHTPTWAPHRQVVRRDCSRPLYFSPLL >Sspon.02G0021990-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:84503351:84504547:1 gene:Sspon.02G0021990-2C transcript:Sspon.02G0021990-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQQQPAVRGGAKTSAAAGGETTATNDAANNVKRSLADKPPFTLADIKRAIPPHCFRRSVLRSSSYLLRDLSAVAALFYVALVAILSLPADGALRLAAWPLYWAAQGCALNSVWVIAHECGHHAFSEHAALDDAVGFALHTALLVPYFSWKHSHRRHHANSASLDRDEVYVPWKRSELPAFMRGLHGNAAVRLVLFVLVLVFGFPLYLTCNITGRPYPRLANHYDPYSPIFSGSRERAQVVLSDAGIVAFSLALYRLSSVVGFTTLALVYGVPLLVVNVWLVLITFLHHTDPVVPRYDSGEWDWLRGALATVDRDYGAFLNAAFHNIADTHVVHHLFPSMPHYHAVEATRAIRPVLGEYYRFDDTPIVQAAWRAAKECLYVEPDSSREGVFWFGSDKS >Sspon.08G0011150-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:45967157:45969575:1 gene:Sspon.08G0011150-4D transcript:Sspon.08G0011150-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPTTMSLTTTSHLPICRAQDVSKQAPVQKRAPPAAKVAPPSSSLSDTTGFSRRRLLQSAGLGLGLGLAARDPARARAETAPAPEEVTSNRMSYSRFLDYLNAGAVRKVDFFENGTVAIVELDDPALAASRVHRVRVQLPGLPAEAELVRKLRDRGVDFAAHPVEPNLGLMFLDLLLNLGFPLLFIASLIWRSVTMNNPGGGGGGPSLPFGLGKSKAKFQMEPNTGITFDDVAGVDEAKQDFQEIVQFLKSPEKFTAVGARIPKGVLLVGPPGTGKTLLAKAIAGEAGVPFFSLSGSEFIEMFVGVGASRVRDLFNKAKANAPCLVFIDEIDAVGRQRGTGIGGGNDEREQTLNQLLTEMDGFSGDSGVIVIAATNRPEILDAALLRPGRFDRQVSVGLPDVRGREEILRVHSSNKKLDPDVSLSVVAVRTPGFSGADLANLMNEAAILAGRRGKDRISVKEIDDSIDRIVAGLEGTTMTDGKSKMLVAYHEIGHAVCATLTPGHDAVQKVTLIPRGQARGLTWFLPGEDPTLVSKQQIFARIVGGLGGRAAEEVIFGEAEVTTGAAGDLQQVTQVARQMVTTFGMSEIGPWALMEPAAQSGDVVLRMLARNSMSEKLAADIDRAVKHIVDQAYEVAKEHVRRNRAAIDQLVDVLMEKETLTGDEFRAILSEHVDIGKEQRETAARTELVTA >Sspon.05G0023480-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:7431593:7434914:1 gene:Sspon.05G0023480-1B transcript:Sspon.05G0023480-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPPAPSPSPPAGDGAQPSERNRQRPRSALRGALGVAFPIAVSFLFSFLVGLAGLALGGLSSTASVSMPSTCRILSTGVDLRSSKVCELGLLNYRAKHIFYPSSKRRFRCHDDYYWASVLQVEYTEYFSGQVSYAVAEAPKEALPHNCRPDFGAAWSTTLKFKVNESYSCRYMLGSNKADIHSDKLFNCTAEEPSTTELLKRILILFSEMYVSEDFSSERMLGYVAAGVVLGMLSSMFITVLFRGFYGLLLAAARWAVRKHRIRAFTSRLKRACLLVAYVSAVGWITLQYSKFIGLKELLSDSELMERFF >Sspon.02G0058690-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2D:82134679:82135049:-1 gene:Sspon.02G0058690-1D transcript:Sspon.02G0058690-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GALGGDGDSDGKAPLAEPIYRPAMAVQRRRDPFMAVGIFSPFAHMLEFKWQCSVHCDSASLSMLGRGALDSAMAVATVEWAHSAACRGEQRERESGGVIKLLGDLELIHFGDGEEVAAGSMT >Sspon.03G0017190-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:75412017:75422831:1 gene:Sspon.03G0017190-3C transcript:Sspon.03G0017190-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRTPALSKRPSTASPAPYPSLPGCFVHLAQSSSPLPSALPAGAASPPATPPASPPSATTPSLDSFAGTERTPPRARRRASPGSSSPPRPAAPSWWFRGAPIYCKMEVLRDMHLPPGFGFHPSDPELISHYLKRKILGQKIEYDLIPEVDIYKHEPWDLPAKCNLPIKDNKWHFFASRDRKYPTGSRSNRATLAGYWKSTGKDRAIKLNKRTLGTKKTLVFHEGRPPSGRRTEWIMHEYYIDENEYKVSPDMKDAFVLCRVTKRNDWALDNDNEVGNRNSHLEQLDDAATSAVSTVKPEDAAASVICPEESNHAATPVGSAELSNDGAQAAVTPDSTSPNGGNDLETWLEELLDPSPSFNLVADSGSADLSLTEQCAESSNPGSVAPNIGPGHASPIQDGTDAADYLFIDDLPEDLYSMLYPGTDQFNDSIFLEQAGQEGIAFPTNQAYMMGTDSYALPNNFENGTANAELQLDQENNKMNLSNGNIDNGIIIRSRRATTSPANNSLAAGRLKMQVGIKKMVTSNSESINQTMKFTDNSGRCLDLRTDVEHQKKKTNNVISAKQSDAANPEGHSNHLKGFKRCSSAGFKAYIFVAFFVVGVAAAAVLHYHCSGANL >Sspon.08G0010570-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:41546065:41547677:-1 gene:Sspon.08G0010570-2B transcript:Sspon.08G0010570-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MYYFTSDQVGGKGFVPEKFEEIFKKYAHTRPDALTGKELQEMLQANREPKDLKGWLGGFTEWKVLYSLCKDKDGFLHKDTVRAVYDGSLFERLEQERNSKKESTTKICTRPMFQCYQLYPAIVGKVSGNLIRKKGIGKFKCEM >Sspon.03G0010620-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:28821806:28832435:-1 gene:Sspon.03G0010620-1A transcript:Sspon.03G0010620-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ADP-glucose pyrophosphorylase large subunit, Controll of starch biosynthesis in endosperm developmen [Source: Projected from Oryza sativa (Os01g0633100)] MRRMSSKALWRYLKPMSLSVSLSCMNVCLVVGGDMQFALALDANSGSHPIRSCEGGGIDRSERLSIGGSKQEKALRNRCFGGRVAATTQCILTSDACPETLHFQTQSSRKSYADANRVSAIILGGGAGSQLFPLTSTRATPAVPVGGCYRLIDIPMSNCFNSGINKIFVMSQFNSTSLNRHIHRTYLGGGINFADGSVQVLAATQMPEEPAGWFQGTADSIRKFIWILEDYYNHKAIEHIVILSGDQLHRMNYMELVQKHVEDNADITMSCAPVEESYPTQDAHGLICFPFFPLGSRASNNGLVKFDHTGRVLQFFEKPKGADLNSMRVDTNFLSYAIADAQKYPYIASMGIYVFKKDALLDLLKSKHAQLHDFESEILPRAVVDHSVQACIFMDYWEDVGTIKSFFDANLALTEQLSKFDFYDPKTPFFTAPRYLPPTQLDKCKIKDTSISDGCLLRECSIEHSVIGVCSRVSYGCELKDCVMMGADIYETEEETSKLLLAGKVPVGIGGNTKIRNCIIDMNARIGKNVVITNSKGIQEADHPEEGYYIRSGIVVILKNATIKDGSVI >Sspon.02G0018930-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:63992634:64000746:-1 gene:Sspon.02G0018930-3C transcript:Sspon.02G0018930-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTCIMSASKQNKRRQTNSEQCRPGKKTKLDSSHCLVSLKPHIGLKWDQYLRKVVPEKEQVGILWSDMAPFMESQKHCSGLADVTYVPPETFSLKSLRGVLSYEVWSTSLTEAERKFLIQFLPSEPDAEEIEKIITLEILSSAGKSSSLCYGDIHPDALLDKEKHIKKDEKAYHVNLLNYHSNMVETLKNWRKRWLSCGDTENLFRDNPGNQMQGVMQLKATKSGMPMKVAQRIDVSKFMSYIKVSRTQLNHIKRLKQSGDGIQAKHVSRVIGGLDKSHVKPYGALLEDEQRRLREHWSVILTLIIFLMRNYLHDRLKMSCNDLPASFEVLRERKVLMEKSRKPLTYKTKGLGQPGASENDGTPVFQNDQVECSPQSILQGGHDQSTLQDQDDEQSVYHIHCMNVEDHDLMVVRGIDITSQSEQNSEWPDQNRNGVSCVDKGIYCCANNPDKQSEVLMDIKLCKDGLVDNPGCKNMQLEDLDGVSYKGPSVHAYEQDKDLESIGHAVVNHNCHHSQNISSEMSHSKMTTVIDQDETENVMIPSCSSSHLPKSSVEQMHVEDFLDLNDQAAKVEKVRWQLAGPLQSHYCPLENITNNGSGDLQIKQPYLSSGRHNSSVYLNSVISSQQQPQLASSAFPVDNPASVIEPFSNLQSNGQLETAKDIGAVSYPFRHANSMKQSTALHCLVNKRLAQSAPFPRLLQEQHQLIDQSDNSLYAQLHKDYYTDVSFPTKVNLPISEQHSYAASDSMDHRYNWFPQGSQSHINNDNLLGLQSGNCLPQALPSGSSTDGTLFSAISQYKQPSVNMGHGESSSRQLIEPRNQFGPPQNFLSRSQDTNPTFPDMYGFTQNMASGTSSQVAPVGSLDSSHWTNFIQQNPGMPSDFTNRPFRGPWTR >Sspon.06G0026470-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:72551978:72555693:-1 gene:Sspon.06G0026470-2C transcript:Sspon.06G0026470-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAGPGHEDLRRVVDMLRLDRMEKQFRLLRASTLLAELRSAVSLVQTHDTVSSGSSSSLKLVFCVRSLAAPLCDLLEAVLQHTEVGARVRAAAAEEWRQVPAVLYRYLVFRSATIRDLRQFLRKFRSLVTYMQQEHHPPRLVRYGAGDHDGSSLSLYSTAALARNRDGLREPMVGRSELLEKMVGTLLSDRTGYRALLMMPIVGGPGVGKTRIAKAILDDARIKHRFPVRLVVPVAQDSCLERILMLMVSPDRRADVNYSLETMAKHIDRKLSGGSYLIVLDDVWSDNEGKWQEIGTVVNTMPSNGVLVLTTRTPDIASKLATMADIPYTIKPFYLHPLGQEFSSSFVDRWIATSHGDWHADELVRKIGTKVAAKCCGVPLLLDCARRVFCQPLDLQFWQGLFLQDDTGGDNRIIHPDMFQQELPLCIDLLPSDKFWQRFLAHYSRDEFFPDGNVLLQSASASYQHLPADLQSCFLYCSVFPSDYDFDVEELADLLAADGNIPPVVAKAQRKGFLQQLLDECFYPLHGHEYGDKHTFRMHKVLHIFAQNMDRRFSSILKVHHANQVTPKAEAQSTIRRSSLIVHPLTASFPRSLLACKDLRALILLQQGPMCPPEQLRCAITEIPQEFLQSFKSMHTLSLIATKIRMLPAKFIQPHHMKYLNLSQTDIENIPSAISRFPFLQTLILSYCDKLQKLHPNTTKLTLLQKLDLEGCCNLIELPRDMSKMKSLEYLNVTECSSLTQLPCGMGQLKSLQMLLGYIVSYTDGSSMQELQPLANLHRLSLQSLEKVSDLFDVRDAMLQNKTKLESFSIRWNMDGTNNTALAYAVLESLRPHRCLKTLEIVAYEGNYLPSWMTSTEPYLEFLVEVKLINLRSCETLLPPLGLLPYLKIAEISGAETVCSVSANIYGHRGAFRSLEKLTFSYMHNLEVWEQAQWSGMFPRLAELVIIQCPKMRALHMELPSLEKLILWMNNKVMYNQKGALQGVAKTLEHISISFGEELLASSGCEALQDLDELTKLEICGCDELTCLPQGLQRLSSIRSLTIDNCRKLEALPDWLENLPSLQIIHLSGCPLLHYIPRGLQQRPGVIIYVEDCSNLIQDLLPNFSAQSSGEPVGARVNKGKEIVQD >Sspon.02G0026640-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:94536147:94540447:-1 gene:Sspon.02G0026640-1A transcript:Sspon.02G0026640-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNLLTDSFELPRRDSSRDADIEMGMHQADASDNLKDFLKKVDAIESLIAKLTNLLNKLQTANEESKAVTKASSMKAIKQRMEKDIDEVGKIARQAKTKVDELEKDNLSNRQKPGCGKGSAVDRSREQTTGAVKKKLKERMDDFQTLREAIRQEYREVVERRVFTVTGNRPDEETIDDLIETGKSEQIFKDAIQHQGRGQILDTVAEIQERHDAVRDLERKLLELQQIFMDMAVLVEAQGDMINNIETHVSNATNHIQHGVTALQNAKKLQKNSRKWMCYAIILLLVIVVVIVVAVIQPWKKG >Sspon.04G0035050-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:1262111:1266875:-1 gene:Sspon.04G0035050-2P transcript:Sspon.04G0035050-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTTSLALDDGELSPACMADAFRCFGKAARAALCAIARNLRLRSDAFSHLLDDNPLPLDEVSASELMVSFSHGHPQSSQAPMVGLRSPMAEVDRGFVTLVASDHPGIEVCNPNGHWYPADALSSPDVLLLLTGRALSHVTAGLQLNSQYKITNNGNRASLMFRLMPRANAILGCSPISAAGHCIPQIHRPISASQFMDDLRAEENVYHHLEGPPVNAMVLSCGHSFGGLMLKKVLETARCSICNGEVDEASLFPNLGMSPCLFCDMNVTYSVCSLTVVSIKCYTALRAVATVVKMEDDRRLFHNAALRKRRKEVTEHMDAQRRNGSSKDSIELGLDAESPRAFKGVQYPFVAGERVVIMGNKRTPDKFVGKEAVVTSQCLNGWYLVKAVDSGESIRLQYRSLRKVGELKVQALLQSRQ >Sspon.06G0006120-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:20795229:20798314:1 gene:Sspon.06G0006120-1A transcript:Sspon.06G0006120-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALQAPAAAALSAVAAAASYVSPFCPVAPAPLASSAAGSPAPFASSAAVSPAPLASSAAVAPAPLAWSASVAPAMLASSASASLPPALQFVCRPRLVCCCRRRLPWLPSPAALCLPHILIQLKKVRSTQILWYSWLIGANVVLVIVS >Sspon.03G0036740-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3B:93789969:93790524:1 gene:Sspon.03G0036740-1B transcript:Sspon.03G0036740-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKVWDGGEDDATALLEDRGDGDGPEDGSSSSTGSDHGDGPEDGSSSSTGSDHGDGPEDVSSRIEIGPRAVQLLSIRANFCIRGINGFDWRSYMYIYRARKQEVQERRESREAAATDALQYPRCYSTRGYCSREREAEDRRLGL >Sspon.01G0000940-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:2560549:2564981:1 gene:Sspon.01G0000940-2C transcript:Sspon.01G0000940-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSARRSLATSLSHHFSRRLHPSISHLVPPHHDHSDPAPSPSPVPPPRPQSQQPAPPFPSALPWASRSRALAPFPLHLAAHRYSSASAPDTDVLSDAAAVPPVSELLSDGVASVPLPPAPYPGEVAAAAAESFPPVAALQHLLDAVQSFTGLNWWATIALTTVMIRLVTVPLLINQMKSTMKLNDMRPEIEAINEEMRNSTDPRSMEVGKQKLGELFLRHGVTPLTPLKGLFIQGPIFMSFFFAISNMVEKVPSMKGGGLYWFTDLTTPDNFFILPVLTSLTFLATVELNMQDGMEGNPMAKTMKKVSRFFGVMFVPFTIGFPKAIFFYWVTSNFFSLVYGAVIQNPAVRLSLNLPPLESHPTPAQVQPLNLLGRPESSPGVDSPISDKECEQSSSVLSTGVDSPIADKECEQSSSVLSDRIRDLEIRAKSRGGSQE >Sspon.06G0032490-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:86540043:86543899:-1 gene:Sspon.06G0032490-1T transcript:Sspon.06G0032490-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAQARPFLVVVLVATASLLPRLAGASTEAAAAARGVTLHVDPRQVIVDNGAVQVTLSAPEGRITGVSYSGEPNLLEYDAGEGDSGGYWDSVWNYPGSGLPGLYNTLDSTEFSVVSSSEDQVELSFRSTYNPSHQNSIRLNIDKRLVMLRGSSGFYCYAIFEHDRAYPALNITEARLVFKLNTANNHLSAAFVDCKPSFCLREICATRFNYMAITDDIQRYMPSAVDREEPRAVPLAYKEAVLLVDPEEPQFRGEVDDKYQYSLDNKDNVVHGWISGSDPNPIGFWVITPSNEFKSGGPLKRELTSHVGPTSLTMFMGTHYVGKYMVVNIDDGEEWNKVLGPVFIYLNSGPSRGDLWEDAKVQAEAEARNWPYGFLASPDFPKSAERGSVTGRLLVRDRYLSKKHDIPAGASAYVGLASPGQPGSWATESKAYQFWTRAASDGTFSIANVRAGVYDLYAFVPGVLGDYRRNDGSLLTVAPGRAVAVGDLVFEPPRSGPTLWEIGVPDRTAAEFYIPDPDPRYVNRLFVDDKDRYRQYGLWERYADLYPDKDLIFTVGESNESKDWFFAHVTRKVGQEAVPTTWQIRFSLDHVVADGTYTLRIALAASEMCRLQVQVNGDARRGGVFTTPDMGDDNAITRHGIHGLQRSLEFAIGGYLLRQGDNTIGIKLITQEGHEGPAMVAGVMYDYIRLEGPPSSGAAASRGVPTLPSLDRTLVEILFSLAFLDAHTY >Sspon.03G0044270-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:90924494:90931679:1 gene:Sspon.03G0044270-1C transcript:Sspon.03G0044270-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEKVLNLKKKETSKTITKKQLKENKKPEKNKSVSAFQEEDGANPSTPGVLEMDHNRRAQNAWDMLSDEQSQKHITTGSGDLNDILGGGIHCKEVTEIGGVPGVGKTQLGIQLAINVQIPVEYGGLGGKAVYIDTEGSFMVERVYQIAEGCIRDILEHFPHSHEKSSSGKKQLQPEHFLADIYYFRICSYTEQIAVINYLEKFLGEHKDVRIVIIDSVTFHFRQDFEDLALRTRVLSGLSLKLMKIAKTYNLAVVLLNQVTTKFTEGSFQLTLALGDSWSHSCTNRLILYWNGNERYAHLDKSPSLPVASAPYAVTGKGIRDAVSPNHKRARECCLLST >Sspon.01G0017990-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:66969071:66976989:-1 gene:Sspon.01G0017990-1A transcript:Sspon.01G0017990-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGREVFGGAPTTTTTQANKVAVMSRRVIPLLLLLAVVVFLAPRLSSKLPASLLLLKEKLGLSPAAVAVACWAAAAAAWAYAVSRPRPVYLVDLSGYVAGAPHEASRAKTIAHFGRCGRFSDESMAFQKRMLERSGLGERTHFPASLIRVPVDMCLRTAREESHAVIFGVVDDLLRRTRVAPSEVGVLIFNSSLLSPTPSFTSLIVNRYGMRHGVVSHNLSGMGCSAGIIAIDLAKRLLQVHRDTYALVVSTENITLNAYMGNNRPMLVTNTLFRVGGAAILLSNRGADRRRAKYQLIHTVRTHRGAHDQSFGCVTQEEDDAGCVGVSLSKELMVVAGEALRTNITTLGPLVLPMSEQLRFLATVVLNRVFRANVRAYLPDFKLAFDHFCIHAGGRGVLDELERSLKLSAWHMEPSRMTLCRFGNTSSSSLWYELAYCEANGRIRKGDRVWQIAFGSGFKCNSAVWKALRTVDGGEEGNPWTPEIGVLPIHVPKVSPIDETTYRFPDGATYK >Sspon.02G0016910-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2D:42740706:42753207:-1 gene:Sspon.02G0016910-2D transcript:Sspon.02G0016910-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCLMSRHSLTLPPLHPHLLLTLRRPPSFPLTRRFRHPSSTLQVLLRRTFPGGPASPLPDSHQDPPVPDTVEAAPELPPSLPVASLPPVVPDAAVPIAEPTTPTPPPPGRFGLVYQRRREPRPPSPPPGRFGIVYERRREPAPPISSPAPSSPVHAPPASQRPRADPPVYHPPLLHRDPRHTHPMV >Sspon.02G0041140-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:68653823:68658542:-1 gene:Sspon.02G0041140-3D transcript:Sspon.02G0041140-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSDEQPKPPEPPAAATVVAATAVAVPPQTHAEWAASLQAYYAAAGHHPYAWPAQHLMAAAAAGAPFGTPVPFPVYHPGAAAAYYAHASMAAGVPYPTSEAVPAVVPAAAVPEGKGKGKGGGASPEKGSSGAPSGEDASRSGDSGSDESSETRDDDTDHKDSSAPKKRKSGNTSAEGEPSQTAVVRYAAVESPYPTKGRSASKLPVSAPGRATLPSATPNLNIGMDIWNPSPALAVPAVQGEANPGLTLARRDGVTQLDERELKRERRKQSNRESARRSRLRKQQECEELARKVADLTTENSALRAELDNLKKACQDMEAENSRLLGGMAHSQGPSVTTTLGMSIDPPKVQHHDDEGQLHKKSSNNSNGNYAGGSHKPEANTR >Sspon.03G0008050-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:29337417:29338756:-1 gene:Sspon.03G0008050-2B transcript:Sspon.03G0008050-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MANAGMKPVAGLLLVLNFCMYVIVAAVGGWAINHAINYGFFIGAGLELPAHFSPIYFPIGNAATGFFAVFAVIAGVVGAASALAGFNHVRAWSAESLPAAASAGFIAWTLTLLAMGLAVKEIELQGRNARLICMESFTIILSATQLFYLLAINVGLT >Sspon.03G0027620-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:10224950:10226623:1 gene:Sspon.03G0027620-1P transcript:Sspon.03G0027620-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSRAMNRLFVESASAAAAAGTPSRCSARLGRAGSRSTPAAPTSSLARRPSCSTRAARKEAGPSQRGEDEDGGCWAVFGGSPPARADNPLVHDPHFLLNQRHPAADPTPLELGFFDHRSRTSYVHRPTYTSSNSSSSK >Sspon.04G0016000-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:62986134:62989638:-1 gene:Sspon.04G0016000-2B transcript:Sspon.04G0016000-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAITMAAMNTDMGGLGGRPTNPQANPFGTALHGAGPGLIRTGLEAYGGRFLDSSSEFMQSNITQYLSDPQYYFQVNSQYVRNKLKVILFPFLHRDFAYCDFVKSCNICLTFIVFLKGHWTRITEPVGGRLSYKPPVQDINAPDLYIPLMAFGTYIVVAGYALGVLGRFTPEALTLQFSKGILGCFLQVILIKGLLYSLGSGEAPLLDIVAYAGYGFAGTSLAMLARIFWSYLYYFIMPWFCICTGVFLVKTMKRVLLGGPRSYERHPSRNHYFLLFLAVVQFPMLFWLGNISG >Sspon.07G0022100-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:6632519:6633418:1 gene:Sspon.07G0022100-1B transcript:Sspon.07G0022100-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCYSAPIDTHNAGAEEAALPRRMPSAPEASSSGLGLQRMRGYVTLSLRTPDQEPAAASLSLSLNNTPPPEEDPATAAAVHERVQPRGGEKAMSEAYRAIADMAARLGIAAGARDRAVEVFLRMEERKGKAHHYYTKGAGRSGDALYAACLYVACRRAGAPRTFKELAAATRDGPAARKEIGKLIALIRKRLGDEAGGEAMMDIGVVRAADYVERFGSLLGMGEDEVRAVEEAARRMQDQLDVRRNPDSTAAAIIYMAMERRAGAGRSIRDVSAATGVAENTIKQAYRELYPHADMLFR >Sspon.02G0006130-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2A:18573443:18573706:-1 gene:Sspon.02G0006130-1A transcript:Sspon.02G0006130-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLLHDLLDPKAEREKGVRWNIPSVGTAGAVLELEPVAIPFVEKMICARAATVGEEMAVKSRDGVEALNTGGASRRSQRDPADRSGL >Sspon.03G0027200-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:5069383:5071695:1 gene:Sspon.03G0027200-1B transcript:Sspon.03G0027200-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVVAALMLLLSPLTMQSAVARSKALSPCSSSHAQDRSTGLYLISVRNYAINPLVLDLAGPLLWWPCMGQEEQEHAIPCSSGTCRVANRNHPPNCPYVDGGRPGSPELSCNCTSYPYNHVSGKCGSGGLTWEWLSANTTDGRRPLYPVSFRAVASCAPDELPFSSFPELFRNERHHLAVSVPRPGVAGLSPSPLSLPSQVAAGLKVSSKFALCLPHVATFGGGPVHIPGSADDVETVINHLSRTRLLLRNPRNSAYYIDVAGIAVNGARVALPDGALALSSEGQGGVALSTVTPYTALRPNIYRAVLAAFDAVTAGPPRTAEVPKPLERCFNLTVMNQMGTWTGALPVAVDLMLADRKNWTFTSLSATHEVVQQTLCFAFVEMALGTAAAYAVPDSPAVVVGGHQMENKLLEFDLKKGVRLHRPHVRQEDGRVQQLQLRKRARPLATVDHRSMIASAAADEELACTGAAEGPVSKAPFGIIPYSSDFFWLHGANETNTVPSNNSKFNRRKRKGKRR >Sspon.03G0005830-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3A:16411718:16414590:1 gene:Sspon.03G0005830-1A transcript:Sspon.03G0005830-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MCRRASSLTSWFTPGGGGSAPFSESWTPSPGSGSVHSGSSAGYGRSDPPEKQLTLLALRVAILEKAVSGLGKLDFVWATVVLLGGFVGSLNITDFWCITVILVDEGARVFSRSHDISEVFTSRNIGRLLNWLQVLSAFACVALSLMRLWKWKHGFGGSGNMRPALLLFYTLALLEALLFLLEKAYWAWKLSFCNLLRQVSKDCQLGAYGHVSLTRFFYDAYSRCVTGSIFDKHALQVVKKLVSTTGNTGKALRQGVAENVLVVSNLREILWYGQLHRELQKLAIDVLTGLAMDDSGKEAIMGTGGLVKLLLSIFVSVEERELSHGAGEALAMLAQESETGCAAILKRADVLQLISALQDGDDARRLNAARVLRSLCEYSGPEYWERLRVMTKALPVVLGVTMTKSDLVLEVCVGLTTQICRFIDGERFAAELRGARMEERAYVQRLATILENNEYPKVKIPRMRRFVVQQVIWLMMCSGGGGYMELKINFFALLGFGLISRPTAEAGLARLAPSPAPARALAPAHAALRPRPPRGRRSPPPAYGWRAVGSTGRATRLEAAPASSSPVTLAFSSSRPRASSSRSRSPPPRARRRSVRANTAVPAQRPHHFSIHLATGSATFSSSPNGVRYPLLADGEAQFLPFPLAPPSPATGLELRLRRPRSLLLPLLRAVVLATLAREPCVLGVSAQSRRSAVGRRRPPLRLRDVGATAPVAALCVRLLPSLVAGPPAWAEPPSRAAPPSVSRAAPSKFRPRASAAAWAGPFGNFKFRF >Sspon.01G0004740-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:11250559:11260355:-1 gene:Sspon.01G0004740-3D transcript:Sspon.01G0004740-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vacuolar protein sorting-associated protein [Source:Projected from Arabidopsis thaliana (AT4G27040) UniProtKB/TrEMBL;Acc:A0A178V291] MRRRPGIAGLQNAVATRDQFRLVGENVAKVRTDVMKEQLATFRSQLEEFARKHKSDIRKNPVFRQQFHEMCAKVGVDPLASNKGVWAELLGIGDFYYELGVQIVDICIATRSHNGGLIDLLDLRKLLGQKRKAILESLSEDDCLRAISKLKVLGSGFEVISVGRRKLVRSVPTELNKDHSGILGLAQAEGYVTVEHVEREFSWSTGRAIDALETLLKIILAFRSL >Sspon.01G0018520-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:68959465:68960192:1 gene:Sspon.01G0018520-1A transcript:Sspon.01G0018520-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAARRPRVLTEVDPHSEWVHGREFDALVVDATDRCLMDSGIHDHVIDSSSSSIHISGFSKDQLKVQVEPSGSLKVSGERAVDGGGGGGGGRQWCHFTKRVDLPAGFDVVNDAAAITVQLDKGMLYIQVPRRQSAAWWGTEQQPAAAAEVYEDALRGESDETSDDGHGHGHGGGWNIGRVAARRDDGEHHPLRRLARGVSRHRQVVLNVVLAVVLLWLVVFGKND >Sspon.06G0021820-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:20359964:20387974:1 gene:Sspon.06G0021820-1B transcript:Sspon.06G0021820-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASPDQFRGQARLPHFAAPLRYDLRLRPDLAACTFTGAAAIAVAVSAPTRFLVLNAAELDVDRASIRFQASTRGHLLSIESLLILLIIFFCLLLQDLAPTDVAQFEEDEILVIGFDRELPLGEGVLTMDFTGTLNDQMKGFYRSKYLYNGESRNMAVTQFEAADARRCFPCWDDPAFKAKFKLTLEVPSDLVALSNMPVAKETVSGSAKTVYYEESPLMSTYLVAIVVGIFDYIESSTSEGTKVRVYTQVGKTNQGKFALDVAVKSLDLYKDYFATPYPLPKLDMIAIPDFSAGAMENYGLVTYRDTALLYDELLSSASNKQQVAITVAHELAHQWFGNLVTMEWWTHLWLNEGFASWVSYLAVESLFPEWNNWTQFLDETTSGLKLDALAESHPIEVEVNHASEIDAIFDSISYDKGASVIRMLQSYLGAERFQKALASYIKKYAYSNAKTEDLWAVLEEESGEPVKDLMTTWTKQQGYPVIYAKINGHDLELEQAQFLSDGSSGPGMWIVPVTSCCGSYDAQKKFLLKDKTDKINIKEFAASQSADGEKNQNIWIKLNIDQTGFYRVKYDDELAAGLVNAIKAKKLSLMDKIGIVEDSYALSVACKQTLTSLLRLLNAYNDESDYTVLSHVTSVTAMAASPDQFRGQARLPHFAAPLRYDLRLRPDLAACTFTGAAAIAVAVSAPTRFLVSHAAELDDLAPTDVAQFEEDEILVIGFDRELPLGEGVLTMDFTGTLNDQMKGFYRSKYLYNGESRNMAVTQFEAADARRCFPCWDDPAFKAKFKLTLEVPSDLVALSNMPVAKETVSGSAKTVYYEESPLMSTYLVAIVVGIFDYIESSTSEGTKVRVYTQVGKTNQGKFALDVAVKSLDLYKDYFATPYPLPKLDMIAIPDFSAGAMENYGLVTYRDTALLYDELLSSASNKQQVAITVAHELAHQWFGNLVTMEWWTHLWLNEGFASWVSYLAVESLFPEWNNWTQFLDETTSGLKLDALAESHPIEVEVNHASEIDAIFDSISYDKGASVIRMLQSYLGAERFQKALASYIKKYAYSNAKTEDLWAVLEEESGEPVKDLMTTWTKQQGYPVIYAKINGHDLELEQAQFLSDGSSGPGMWIVPVTSCCGSYDAQKKFLLKDKTDKINIKEFAASQSADGEKNQNIWIKLNIDQTGFYRVKYDDELAAGLVNAIKAKKLSLMDKIGIVEDSYALSVACKQTLTSLLRLLNAYNDESDYTVLSHVCLSISKITVDATPDLNKDIKQLLINLLLPAAIKLGWDPKDGESHLDVMLRSLLLTALVRLGHNETVNEGVRRFRIFFEDRKTSLLPPDTRKAAYLAVMRTVSTSSRSGYDALLKIYREASEPQEKSRVLGSLSSSPDKDIVLEALNFMFTDEVRNQDSFYILGGISLEGREVAWTWLKENWDYVLKTWKSSSLISDFIESIVPPFTSEEKAAEVTEFFAGRIKPSFERALKQSLERVRISARWIESIRSEPKLGQTVQELLQADA >Sspon.07G0015710-3P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:61701113:61701337:1 gene:Sspon.07G0015710-3P transcript:Sspon.07G0015710-3P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTATAAPECDGPKTSWPEVVGLSVEEAKKAILKDKPDADIVVLPTGSPVTMDYRPNRVRIFVDTVAQTPHVG >Sspon.02G0004480-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:14133727:14133894:1 gene:Sspon.02G0004480-1A transcript:Sspon.02G0004480-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGDDFDDNTWAATDGDGLGGLDLNSQAPVADEFPGLQQYGDFIQGDGVELPPVRA >Sspon.07G0014960-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:52103656:52109143:-1 gene:Sspon.07G0014960-3D transcript:Sspon.07G0014960-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nudix hydrolase 9 [Source:Projected from Arabidopsis thaliana (AT3G46200) UniProtKB/Swiss-Prot;Acc:Q8VYR2] MAAHASAADPGTAFKLLVSCPTGLPRSRVSVKFHQSFDRIPHPDAALEESISEIWNQRLQQNPSLYSGTKFRNADFDHNHKFQYGGNALHYKDGANQEFHVSLHLGLTDYRTFVGTNLNPSWEKFLVPSEELDNMVSILAIVRMINLDQNNTSFNLMGLDGSVHCQHMSNPLGNGAIVETSDEKIIVLQRSYNVGEFPGYYVFPGGHSEPQEIGIMSHQTDEDLARLNDRVSQEMFDGIIREVVEETGVPASSLTDPVFIGVSRREMNVRPTAFFFTKCNIDSSGVNELYSKAQDGYESTKLYAVSVEELQGMTQRMPGCHN >Sspon.02G0027440-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:102329865:102338386:1 gene:Sspon.02G0027440-2B transcript:Sspon.02G0027440-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LEDPDSEETKEFVARQAELAETVLAGCPDRENLRREVTRLFDHPRHAAPFRRGNKYFHFHNSGLQAQSVLYMQDDLDAEEEVLLDPNTLSEDGTVALSTYSISKDGNYIAYGLSESGSDWVSIHVMSITNKQPMPDKLSWRPDLFGCALAHVGVMDMLRFHKFTIGHAWTTDYGCSDKEEEFQWLIKYSPLHNVRRPWEQSSGNHCQHPATMLLTADHDDRVVPLHSLKLLATLQHVLCTSTEDSPQTNPIIGRIDRKSGHGAGRPTQKLIDEAADRYSFMAKMLGASWTE >Sspon.07G0008390-1P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7A:23115390:23117501:1 gene:Sspon.07G0008390-1P transcript:Sspon.07G0008390-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSHCWESPPALNPAGGVGEVVDDFGGLKAYVAGSAESKASVILVSDVFGNYLPELNCKNRSLVLSKIRLQESPELFPFITGFEAPKLSKRHLKRQKPVIAALKEKGVATIGAAGYCWGEIKCPISILGAEIDKTSPPELLKEFQQILSANSGILAPT >Sspon.04G0001580-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4D:6636233:6637107:1 gene:Sspon.04G0001580-2D transcript:Sspon.04G0001580-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GDDCGNDDSAARCPSHPEFVLGLCYRCGATEEDAGGSASGATVVNIDEALVLPALAAATSIARASDMATLKRQRNGFTPDTEDELHMELFRLEYSDNVRMLVKLRPFVRGFLEQVSSKFEMHVYTLGGQDYAKAVIDLLDPEGVYFGGRVVSRKESTQWDMKSLDVIPGADPAAVVILDDTDSAWPGHRDNLILMDRYHYFSSTCRKFGYDIPSLAEQSRDEREQDCSLAVVLGVLERIHKAFFDGDRADVRDVIRDGDRAVVP >Sspon.05G0023670-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:16782980:16784971:1 gene:Sspon.05G0023670-2D transcript:Sspon.05G0023670-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAATAASLRPPLRRFPRVASSLGASHGDASLLPWRRRRSLACRADLQQDAPFAAAIGACVLASLVLPPPRPRGEAGEEVEEEGEFGFGATDTRMAVMGIISFLPYFNWLSWIFAWLDSGRRRYLVYAAVYLAPYLRTNLSLSPDESWLPIASIFICILHIQLEAGIRSGDIESFKFIERAWKLISPSAAKEKDGHHGNKRGSIGMGDRHNRRIPTAHESRERLRNSEIFKRKLDDPNDEKQKKSDWN >Sspon.08G0011580-3D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8D:48070771:48072686:1 gene:Sspon.08G0011580-3D transcript:Sspon.08G0011580-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAYGLDVECPHIFDGTHFARWRNWMTCNFKFISPQLWWIVDVGFSFAIDKKNATQAQSKCLHLDSQATNVFYRSMDSSILYEIIDFESAHEIWVFLNEKYGAISNDDEPKEKAHEDVEHDHNKVVVEDCSTSRSSDDKNDHITRSLDKNDDNATSDASNDSTSCTLDGEDDGYESDASTSSSISSHGDTKVSIGGFVVDCDGPNFELLYKLSKALRNEMAKTSKKHVSTSCDDLLDMPCSSQLNVCSTSMSCETNLLKENNELKSEVKNLSNKLERCYNSKVTFEHIMKTQRNLGDKSGLGFKKKLTRGERKQEKRMKRLLQKKLSHSMCYRCHEAGHLANGCPNIEKLKKMKEEERLKYVKCFKCRTWGHLTSMCPTKQLVKQQVKPQPKPLVEQEKTLQEQIKINHGDDGDLIKKKKKTRRGGKARHPMQIQDAKMMSKNQNEKRNLTHIKCFKCEDMGHFVSGCPTKLEKKAQATHKRQGIEKQHMSKEEKAHSKRSCYLCRERGHMAHSCPLGNDSKPISIDDNIVLRKDGNGTSMIAIAKHPAIHTKAMP >Sspon.01G0055460-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1C:79092560:79093273:1 gene:Sspon.01G0055460-1C transcript:Sspon.01G0055460-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVLNWMITRADEEGLLQPLSRRPLQHRISLYADDVALFLQPAAADITLTLRMLQLFGEASGLRTNVQKSNVIPIQCSEENLALIQSLLPCGIQEFPCKYLGLPLSAKKLTKDQIQPIIDKIADQLPRWKADLMTKAGRVVQVQHVLTAMLVYLAMAIELPPWAIKAIDKIGRAFVWRGRKEANGGHCLIAWPRVCRSKELGGLGIADLKSLGYALKARWPWLKKTEPNKPWASLPRQ >Sspon.07G0006540-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:16928744:16931181:1 gene:Sspon.07G0006540-1A transcript:Sspon.07G0006540-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESFVLNTGARIPSAGLGTWQIEPGAVSDAIYAAVKAGYRHIDSAVAYRNQKEVGLVLKKLFEDGVVKREDLFITSKLWPGNHAPEDVQEDICSALEDLRLDYVDLYLIHGPIRIKKGTMFIPENLIPTDIPATWGAMEKLYYAGKARAIGVSNFSCKKLQDLLAVAGVPPAVNQVECHPVWQQDKLRKLCQSTGIHLSAYSPLGSPGYSGPSVLSDPIVISVAEKLQKTPAQVALRWGLQMGQSVLPKSANERRIKENFDIFDWSIPHDLMAKFSAIKQA >Sspon.06G0018110-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:97953548:97956081:1 gene:Sspon.06G0018110-1A transcript:Sspon.06G0018110-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTAMPLCRSALQVVLLALVVLAAAAALGSARGELRDQAPPGSRPHSVTITEFGAVGDGRTLNTVPFQNAVFYVRSFADKGGAQLYVPRGRWLTGSFNLTSHLTLYLEEGAVIIGAKDPSQWPIVEPLPSYGQGTDLPGPRHRSLINGYNLTDVVITGNNGVIDGQGLVWWQWLRSHELNHSRPHILEFLYSEDIVISNLTFLNSPAWSIHPVYCSNVKVHNVTIETSLDAPLTDGIVPDSCSNLCIEDSTISVSHEAISLKSGWDKYGISFGRPTSDIRISRVDLLSSSGAALAFGSEMSGGISDIHVNHLRIHDSYKGISFKTSPGRGGYIEEVVISEVQMENVLVGIEFTGNCSTHPDDSFDLSDLPTIDQITMKNMVGTNISVAGVLSGIDSAPFTAICLSNLNFSMATDSGSSSWSCSNV >Sspon.02G0023340-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:78036648:78039614:-1 gene:Sspon.02G0023340-2B transcript:Sspon.02G0023340-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LGRAGGVCRPPSHRQRLATTSADGKRALAELEFDQVIHIDCSMWESRRALQRLVAEQLRLPSEVMELFDREDEEDDFRGVAHCSRAEVQQVLKEMQQHIQKLNHRFLVIFHNGSSEEIDLASLYGFPLSGFSTNKVLWTFQGRFRLIPRREVDKTMKSERTTTDAFISAVSGRSVEQLPPELWSYLVQKEASEVAEMCKINTDRPTQVEECFLYMLGLCCRGRHSVDYDWATHGANYWICDGIIQHQGQGDREADADGDNDGSWRAANALQSDMALDVDYHQYLPSSHLARFVESKPSWMSPNYGFNQIPDRTIPNGDIFQHYADKLSVLKLSRCTFDFQSPPFLCCHSLRFLWLDHCQGTRTSTDVAGKEEDIRQCFQRLWVLDVRYTDCDQILSAQMLDLMTQLRELNVIGAEGWDMGQLQGRLPNIRKLRVKNSEVSCSCPQNDLFSEMNQMEHLDFSRNSSLSRMSLFGPGVSSSNVWCLETVVIVDACGYDISGTAVKTLDLSTTRIRLDELYLLDCEKLCAILWPPKDKMKEGGLAKLCIDTTQSIPTAQSREEKSKRGTSTATTGTSVAPAATPHVSQPSSEFDWYISVRDARLLGSLKPVYSDSRKAYVEICSPPHPTAVGGGIKDGVFKSASSREQQVLVNLQRRPAPAVYTDISMDHLQQASEGGADTLGIMWIWPCPDVPDLSEKRCYIHIQDQIKTKLSRGGEETSTITVPRFLTECAKILHVHDSLSIASITSDEYDGSEWPDLEWCTIERCPKLDFVFNKVVDIDFTYQLRTFWASQLLKSRYISTSNGWRSFPDLTLLHLDFCPRLIHALPWTWKFWRNDEDSLRLLETLEIAWCGDLQQIFPFQSGIKPNSKDFPRLKRIHLHELPSLQHICGVRMSAPNLETVKIRGCWSLTRLPDIGNSNKVVECDCEKEWWDRLEWDDRSQADNYKPIHPRYYKKTLLKGSVL >Sspon.01G0010230-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:28647983:28654846:-1 gene:Sspon.01G0010230-2C transcript:Sspon.01G0010230-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLMYGAEDPSIAGMVLDSPFSNLVDLMMELVDTYKYPLPKFTVPSGATTEDRADRTEGLDSDHGPSSSSVSTATPPNGRNGRLLTPTSDDGEYVEYSFDSLSDMPYTVEDEDRMLMQAILESLKDYEQSNTKNVQSTASDAAPKENDTVKDSNGVVAAALEQDASSVSFDAPGKHTNVCNSGEKVSEGQSTDCDALKNSASVSASGSSEPLSSTQMTNGKPASAESQKVTQNANGEDGTRLTLVVQKSRTGGLIDGLTQKWGSFFKNND >Sspon.05G0012860-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:34617614:34634633:-1 gene:Sspon.05G0012860-2B transcript:Sspon.05G0012860-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQSFDINVLREEARTRWLKPSEVYYILQNHERFPITHEAPKKPPSGSLFLYNRRVNRYFRRDGHTWRRKKDGRTVGEAHERLKVGNVDSLSCYYAHGEQNPCFQRRAYEHIVLVQYREVAEGRYYSSQLSNGPPEPLSSLGYPNAICGNQYHSSTSGTSEGSESHQSYSNLSSVTEVSSYSGNIEYNKNDGGLLSIPEVGHTCLQQNRTDNGNLQNKSGLNMALKKIAEQLSLGEDDDDYIYSNQAHSVGGENQVKQIRQEGTQKGLSRNIAPSWEDVLHSSSGLPTSSIYQQSDVEYQKNSEYHPPERLDSSDLRIQLSAAKRFLLGPEATIDSPSLNSVLRNRVSCVTDTISAYDSRFESSLNPDWQTKTALTFQSNSQDSEIAELFDHGHFEPYSREDTTFALGQTNKFNIREISPEWAFSYEITKVIITGDFLCDPSNLCWAVMFGDSEVPVEIVQPGVLRCYTPLHSSGNLRICITSGNREVCSEFKDFEFRSKPTSSGFTDIAPSSRHVKSSEELLLLAKFARMLLFGNGSPEVPDGDPQSGQCPKLKMNEELWDRLIDELKVGCENPLNSVDWILEELLKSKLQQWLSVKLRGFNGMDSLSKHEQGIIHLISALGYEWALSSVLSAGVGLNFRDSNGWTALHWSAYFGREKMVAALLAAGASATAVTDPTAQDPVGKTAAFLASERGHTGLAGYLSEVSLTSYLASLTIEESDVSKGSAKVEAERAVESISQRSAQLHGGTEDELSMKDSLAAVRNAAQAAARIQNAFRAFSFRKRQQKTARLRDEYGMTQEDIDELAAASRLYHQAHASSGQFYDKAAVSIQKKYKGWKGRKHFLNMRRNAVKIQAHVRGHQVRKKYRTIVSTVSVLEKVILRWRRKGHGLRGFRAEQQPTVEAIEEDDEEDDDFDDDEAVKVFRRQKVDQAVKEALSRVMSMVDSTEARMQYRRMLEEFRQATAELEGSNEVTSIFDTDLELLGINNFM >Sspon.01G0032120-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:110133952:110135130:-1 gene:Sspon.01G0032120-1P transcript:Sspon.01G0032120-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVCNLGNNAMEMEAQQQREQSQAGGCTDDPAAVLTCLTFLEQKIGHLRGIIGAAPRPPRQMVSAELSCIAMQLVSISKSLAATGGSAAAEQDDGATRSPLPNDGDSDSSDHDPLHDEDEDDDGDGDGRLPPAGSYEVIELGKEEILAPHVHSCKVCGKGFKRDANLRMHMRGHGEEYKTAAALAKPASAAVPSSSAGRCFYSCPFVGCKRNREHRSFQPLKTAVCVKNHYRRSHCDKSYTCRRCNVKRFSVLADLRTHEKHCGRDRWICSCGTSFSRKDKLFGHVAAFDGHAPALPPDDDASVANGGLGSGSDRLMVDTEAVSRMASMEFFPDAMLDGLSCSDIKGFALMDGQGQCLEDDDGRGSLSPLPMGLDSCDFDGFDLFGAPAIDF >Sspon.06G0031490-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:68429049:68431318:1 gene:Sspon.06G0031490-1C transcript:Sspon.06G0031490-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLTHQHHPLPFHLPQSSFSTSRLPPKVQSKPRQERRKMALVGQVATVAQLVGVDAFSLITMIAEAAQTVRRNRAVCQQLARRVEMIGGLLRRLEDTQLMQQPETRTPVEELEETLRRAYLLVRSCQRRGYVYRCFMGARHADELREVQGEIGFYLQLFPLVSYVDATLNWVRHLNNKQASRDTSFQEECKVPGPQALMPAQPIQSLSLQQVRKDD >Sspon.03G0002830-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:14990272:14998199:1 gene:Sspon.03G0002830-3D transcript:Sspon.03G0002830-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSASAVAMRGEMGGGAARERKREDELEALLSSGAGGQRRRPADASERERERELSMFRSGSAPPTIEGSLNAISGLLRGDGEVAVTAAPIPVAEALNGHSGLLSEEELRADPAYLSYYYSHGNLNPRLPPPVLSKEDWRSTQRLKSGVVGGIGDKRKPIQEDASQGTGTAVGRSLFSQHPGFERDEEAMNDGGGAAEWVDVGGDGLIGLSLGRQRSFADILQDNIGRRTPTSEHPSRTASRNSFLDNQEPVNPAENQYAMHNDILDVHRPIGNMQNVSSLHSLNTSTSQTFASIMGSSVSRNATPDPHYAARVPSPGLPPVGVRITSNDKKLNSSSPFNTVSSKAVGTDDILSALSNMNLSKSGSQNDNNNISRSNFQRDISDQQKFSLDSQAAQVHNKQHSVMLETDDGYLGMSQSSNSSFADVNNSVAGLAEFRNSTNTRLDGHLEMQRSSNLSARSYQKSPSSSNESPGGSPAQHHSFDGINSAFLNYGLSGYPLSPGLPSMMPPLFESAAAASAIAALGADSRNLGNNSLSSPTLSLTDAHNLGRGGNQAPTGLQSPLSDPFYVQYLKATQYAAQGAGSYGDPSFERGYMGNSYANLNAVQKAYIEALLQQQKQFEMPLLGKSTASNHGYYGNLAFGMGMAYPGSPLSSPVASQSGPGSPLRLGERNLRFPSNLRNLGGWNSDPSGYMNENFPSSLLDEFKSNKARSFELAEIAGHVVEFSADQYGSRFIQQKLETATVEEKNMVFEEIMPHALSLMTDVFGNYVVQKFFEHGSAEQRRELADKLFGHVLALSLQMYGCRVIQKAIEVVDLDQKTKMVTELDGHIMKCVRDQNGNHVIQKCIECVPEDSIQFIISTFYGHVVPLSTHPYGCRVIQRVLEHCADPKTQQIVMDEILQSVCMLAQDQYGNYVVQHVLEHGKPHERSIIIEKLAGQIIQMSQQKFASNVVEKCLTFGGPTEREVLINEMLGTTDENEPLQAMMKDQFGNYVVQKVLETCDDQQRELILSRVKVHLNALKKYTYGKHIVARVEKLVAAGERRIALQSQNPS >Sspon.02G0016730-3C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:50124497:50128696:-1 gene:Sspon.02G0016730-3C transcript:Sspon.02G0016730-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GFVWFIITLKKKAYKYQFSQYAWTHMILLMVFAQSSFTVANIFDGIFWFLLPASLIAINDVAAYFFGFFFGKTPLIKLSPKKTWEGFIGASVTTLLSAFVLANFMGHFQWLTCPRKDLSTGWLHCDPGPMFTPESYDLPGWMPQWFPWREIAVMPVQWHALALGLFASIIAPFGGFFASGFKRAFKFKDFGDSIPGHGGFTDRMDCQMVMAVFAYIYYQSFVMVQDLSVETILEQCCGHTRRDRVRNDDIRDRVGVAPIEDKLIQHRLRWFGHVQRRPPEAPVRSGVLKRADNVKSGRGRPKLTWDESVKRDLKEWNISKDLAMDRSAWRLAINVPEP >Sspon.07G0002900-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:6535492:6541957:1 gene:Sspon.07G0002900-1P transcript:Sspon.07G0002900-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMQSWRKAYGAIKDTTTVSLANLNSDFKDLDVAIVKATNHVECPPKERHLRKVAAATSIARPRADVAYCIHALARRLAKTRNWIVALKTLVVIHRLLREGDPTFREELLNFTQRGRILQLSNFKDDSSPIAWDCSAWVRTYGLFLEERLECFRVLKYDVEAERLSKQGQGPEKGHSRTRELDSQDLLEQLPALQQLLYRLVGCRPEGAANNNYLVQYALALVLKESFKIYCAINDGIINLVDKPPQTFLATMEDYVKEAPRMVPVREPLELPERLLLTYKPEESEEIPEPAPVEEEKAPVEEPVLVPPITEVVSPPPKTEVADTGDLLGLDDPNPAVSAIEESNALALAIVPTDGASTTGNTAFQDKGFDPTGWELALVTAPSNTTSSSSVGQLGGGFDKLILDSLYDDGAYRQRQQQQLYGSAAPNPFMTNDPFAMSNQVAPPPSVQMAAMSQQHPQIPTMMQPNPFGPPMQPQMGMGPATNNPFLDSGFGAFPVANNSHQQHNPFGSAQLL >Sspon.08G0017090-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8B:61631895:61632275:1 gene:Sspon.08G0017090-2B transcript:Sspon.08G0017090-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGFGVKRLRLQGRIEGSIDKLRRTARRDARAKAKAKAKVRSAGHMPASLAALGSDDDASDGDSEDEEEVAARERAMKRELVDDDEDSDGSDESEEEEEEDEPLAAIVTAAKKRRARKLSDEFDRIA >Sspon.05G0028870-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:73542360:73565107:1 gene:Sspon.05G0028870-1B transcript:Sspon.05G0028870-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLVKAFVRINQACLDVCGKPNYLDCICLLCDGSDSRGSSVLLKGLSQAQSLVLISEPNKVYINFASFTLKLYMSFPYFLSYLNHYSTWVDASYKTYLLHIVFKSDLRWCPMFSNLKTLLLNDYWCTPDDFSALACILEHSPVLMKLTLELFSKGPKYKVEMKGRCNLMERSAKISENLNIVEVKCQVVDERVLKVLNVGARVMFDGMPPWKRRKEDEGVAAEFCGDRIGALPDALLHHILSLLPAEEAVRTSVLARRWRYLWKFATGLHLGCLDMDEPVSVNALRKFVDSLLLLRGGSPLQTFELRVGDFSDGDDEPRVNLWFRHALTCKVQVLKLHLHKNNYVDPWLLLEDLPLVSQHLTRLQLHGVRCLTGFLNFSGCPTLDCLEFKFCDLSLATKIMSESLKSLSITDSLFCENVRMQICAPNLIALCLDDFWNKTPVLESMPSLVDAFVLITIKCSDRCGKLWAAHQTCDCEYCDSSNNIAYGGSVLLKGLSEARNLALIGTLNTIIFKWDLRWCPTFIKLKTLVLNENWCVPDDLHPLASVFPTWSLQEPKHKLEINGSFSSMDRSTAISEQLKIVEIKCEVVDDRVLKFVAATAPVPPQAVAAPGCRAPAPRRAPPHNPTPLRPRPCEATPLVLQPPPSIIDARHLLDTMHSSKRSVPPALGSGGCIDALPDGVLGHILGFLPAQEAVRTCVLARRWRHLWRTATGLRVGSCSEDEGTPVKEQQEFVYHLLLLRGTSPLDICEFGFSELEDDDVPRVNLWFRHVVMCRARVLRLHVFWHSFLVLDDLPLVSQHLTKLDLCSVRVRNSFLNFSSCPALEHLVLVDCDLSYADKIASKSLKHLSFTDCFFEQVFDQSLRIRISTPSLVSLHLDFVGGTPILDSMPSLVKAFVLITESCVDVCGKPEDLDCTCLLCDGSDSRGSSVLLKGLSQAQSLVLISEPDKIVFKSDLRWCPMFSNLKTLLLNDYWCTPDDFSALACILEHSPVLMKLTLELFSKGPKYKVEMKGRCNLIERSAKISENLNIVEVKCQVVDERVLKVLKFLCTFNISFTF >Sspon.04G0034230-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4C:71945052:71951071:1 gene:Sspon.04G0034230-1C transcript:Sspon.04G0034230-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ITAYLEKRCYKELRNEQYGFVKVVVLIYRRLLVSCKEQMQDDMGIIGCETLFDFTVTQVDGTYQFNLEELIPRLCKLSQIIRDEEKANALRAAVLQSLSAMIWFMGELSHISSEFDNVVQVVLENYEPRKVQSDNSATENPGCQWVEEVLKTEGHASPSAFIFSVIPSWESIVSDYGGAQLLMDNAKDPYFWSRVCVHNMAKLSREATTFRRVMESLFRHFDNTNSWSSKNSLALCVLLDMQMFMENSGTNINLMISVLVKHLEHKAILEQPEMQLSIVEVIAALAEQSRAQASAATMVAISDLVRHMKKTLHLALGSKDLEVVKWNDKLRKAFDECIIQLSKKVGDAGPVLDMMSVMLENISRTPLVAIATTSAVYRTAQIIASIPNLSYQNKVFPEALFHQLLLAMVHPDHETRVGAHRIFSVVLVPSSVSPFPNLKSLDQCRKHDVQRTLSRAVSVFSSSAALFDKLRRDKNSFREYLHEGSMNRILHGIDDETATPSDLLGSQSLRQNLRFSSVSRKYSSASLKEGQSPLTESINETMDPFLHLVHENKLQVVKDYTEDPSTFYGSPEDNQNALKSLSAVELTNSCSRESMVFAIMNSITELPDLELENIRSQLLRDFSPDEMCPTSAHYLESPGKIARPSSDDDTDYQEVHGNFVQAELIDLRNDNITFAEVSATTLMDTAIPVPTTNLLSIDELLETVVIDASSQTGAQSMAGDIPFQEMTSHCEALSMGKHHKMSLLMSFKQNKQAAAVVPDNQVNRVEAAHASDKQNTNPFLLQSIGAAEAQQVAGDVHQTVLRLPASSPYDNFLKAAGC >Sspon.07G0023670-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7C:16357424:16361987:-1 gene:Sspon.07G0023670-2C transcript:Sspon.07G0023670-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGVMLSQDVVPMLLLTVHGHVCPISGSGCRGWRVPVAHRLARELHVSLGRGESKLRGSGVFYDTAGARQGGEMSPEVLISPETSLQGSENGRGDPLVIVPAATKGPSKGLYWHCRRERKETCAAVAAATAEGEKGDAGKGQIRGRCARRSSGRGHAGWRLHAKELWDAEAEQDLVEELRREELGATPAWAAMLWNVCVAMVRRRRLPPTTSHPCPADSCYDCTLGALDLLRVPRVRLLRRHGRVDNDDDRFIRTLQEWLVPHLSSLLADGPACIHSSSGPRAVAAELAGEGWCSPAGRREGGTGSHDLDDRISTTRGVREEGRSGPKAVAAELTGDGWCSPADRREGGAGSRLRGTMQERGGNGRMGAGALGQQEWGPGRWPTGMGSDERIWTPTPISELEQGPCRSTFFSLSPHI >Sspon.06G0032760-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6D:1170090:1170574:1 gene:Sspon.06G0032760-1D transcript:Sspon.06G0032760-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAWLAADKHSNQIVSTTEIFSGSGRYKRHRPGGGVRFSNESVIPSGLGYGSPRI >Sspon.07G0008080-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:17930823:17931911:-1 gene:Sspon.07G0008080-2C transcript:Sspon.07G0008080-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPAPATTLASFNSLLASLARSGRPVQALCTFRDMLAQGVRPDHFTLPPVLRSCTLTGAAGLASTSHALVVKLGAQENLFVASALVLCYAGLSNLPDARRLFDGMRERDAVLWTSMLSAYAQGGEPDAALRFFGSMVAAGIELDAVVMVSLLLACGQLGWRRHGRSVHACCFRRFLGMPLSLGNALVDMYVKCGDFAFAERVFAGMPRRDVISWSALILGHGLNGHSDVALRLFDRMAAEGIQPNSVTFLGALSACAHSGMVDKAYAIFKEMKLWGIEPELKHYSCMADTLGRAGHVVEAVKLIEEMPFEPDEAMLGGVLAACRVHGEMESAELVSKRLMDMSPGKSGYYMSLANIYSDAGSI >Sspon.03G0024780-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3A:75240492:75243351:1 gene:Sspon.03G0024780-1A transcript:Sspon.03G0024780-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTHAFSHGHTMPLTRGRAMHRPFGRHPDAFIRKEGSVWRRARQTSALCKPPAAVRSARSNKSGSSVVIRSELKKSTAIDATLRHGMGGGPAADPPAWMAAAARRWLENAGATAEDAPGRAFNALPLSGVRVSLAERGRALCSLRVPPQLTDAEGNWHTGAIAAAADDVCAAAIMSAEGIIKVSVHYDISYFAPAKLHEEVEMDGRVVEQKGRMTAVTVEIRKKESGQLVAIGRQWMTASRPKGSQSKI >Sspon.03G0026030-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:1760335:1761066:-1 gene:Sspon.03G0026030-1B transcript:Sspon.03G0026030-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCTTSHDAFAAAVTSSSSRARARRASAFASGGDPAALCRERVALIRAAADRRYALAAAHAAYFRSLAAVGDALRRFAASALAPATPGSSSPVLTLPPSPAKPVASSASVPPSPSSSSSTVSPLSHSLSDDDLHLHDLDDTRHGGGGGGSEEASTSTSTRYHHHFMRRSSTVPTVVYEDPDAQTVTVTPATSPVIAADKKSEDDTVAGGVLQKDASDRRVTTLERMTRSRRPNTRILGPEWAK >Sspon.08G0006240-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:19076521:19080082:-1 gene:Sspon.08G0006240-3C transcript:Sspon.08G0006240-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDASTEVTDAIGAMGIDNGAKGKLLPSDSVEGHAEEHDVLADGAHSGESEVINPLEEVEMEATSQSQDIKPRVPEGVQGHSPKVVTKSQRQSPRGGDKSQARKSSPSPSYPKAPIARVSDPDLVDSSSSNGDAGASKKKTEKSSFRPVAKESPSLEDSKEKKKTQKSSNQHSVKKDIEEESNESVKPQRVGSTPSYGFSFKCDERAEKRREFYSKLEEKIHAQELEKSNLQAKSKETEEAELKMLRKSLNFKATPMPSFYKEPPPPKVELKKIPTTRARSPKLGRSKNTSSGGTEGNSNPQARSARLSLDEWVSQNGVKKAPAVNAVKKPQRKSLPKLPSEQTTKVDIAASVPSAEELENKSSSTGLVREPIRAQITPDEPGFSAMLYSDEAAGRTLTFISQGILQYKY >Sspon.04G0019380-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:73866635:73871729:-1 gene:Sspon.04G0019380-3C transcript:Sspon.04G0019380-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GMRFITGGGGGGGGGGGSASRSYEPMATVDTTDLRYWLHWRVGLCVLWVLACMAVTGYLIWRHEGAGADRRPGGASSSSSALSGPASDGELQGSGKGRRPGVLYDDEAWRPCLRDIHPAWLLAYRLISFFVLLSLLVVIVISDGGSIFYYYTRWTFILVTIYFQLGTALSIYGCSKFTDENVAAVAADMELGTNYMPHGLAAKPTFDEHGGDREIAGFWGYLLQIIYQTNAGAVMLTDCVFWFIIFPFLTVKDYNLNQRFPWFRIAYFFLWTALYVIFQWIVHAATPIRYLAVAVLQLPCYVVFRLVIKLKHHLLAKWFPVSYVRG >Sspon.06G0017330-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:94061197:94064671:-1 gene:Sspon.06G0017330-1A transcript:Sspon.06G0017330-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVTGVLTGLVFKLGKLLVGEYNLQKGVKGEIMFLQPELQSMQSALKEISKSPSDQLDHQDKIWASEVRELSYDIEDSIDTFMVRCEGGQLAAPNGMRGFIDRSLDLLTRFRVRHQVAMEIKGIKRRVIEAKRLMGFMGAVFFHQGAMMRLKYLAFTLYAKDVREIVSSHGSCFDLGLGNLLSLKDVFVYLRSRGAGEMEVEEAKAALTHVLEIHPNHLNYDIWGITHLPEEVGKLQCLQTLDARRNEISSLPSTVNVLKHLMCLRIDSWTKAANEIGSFTSLEELSTLCIQDSTDIIEQLGNLTELRERRRGGEISSGVPAQAGKNTDIIYLNGW >Sspon.05G0012160-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:27975938:27978891:-1 gene:Sspon.05G0012160-3C transcript:Sspon.05G0012160-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSPLLVRPPALANSPLPAPRCAAAVAATPAVRTAPAAPFSRSGRPSYSDPWVDQVNWLAGDVFYARWDEVLIGATAVVSTLGGFGNEEQMKRINGEANIIAVNAAKEYGVPKFILISVHDYNLPSFLLTSGYFTGKRKAESEVLSKYPASGVVLRPGFIYGKRKVNGFEVPLDVVGQPLERLLSSVENFTKPLSSLPASDLILAPPVSVDDVAYAVINGVVDDSFFGVFTIEQIKEAAAKVRV >Sspon.08G0020100-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:17442044:17448404:-1 gene:Sspon.08G0020100-2D transcript:Sspon.08G0020100-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASYGSGPWTTVPVRSRRAEPLAPSPRGGSRGGGGGGDRVPGVGGLAEAVDGLEIGGDEERRLDKYDIPVEVSGEGAPAPADGFEAAGLAEAVLRNVARCGYDNPTPVQRYAMPIVMAGRDLMACAQTGSGKTAAFCLPVVSGLVAAPAGGGSGYAYGRRDRGSFDRVAKPRALVLAPTRELAAQINEEAKKFSFQTGLRVVVAYGGTPMYNQLRDLERGVDLLVATPGRLVDMVERSRISLEAIKYLVMDEADRMLDMGFEPQIRKIVDMMNMPKKSVRQTMLFSATFPPEIQRLASDFLYNYIFVTVGRVGSSTDLIEQKIEFVNDGEKRGFLIDLLQKQSVGVANSKQPLTLVFVETKREADSLRIVYRQKVSRLQQSMERESALKSFKSGATPIMVATDVASRGLDVPNVAHVINYDLPKSIEDYVHRIGRTGRAGKAGIATAFFTDAPPPRYYPSYPMGTADISASGWD >Sspon.03G0004260-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:10730410:10733403:-1 gene:Sspon.03G0004260-1A transcript:Sspon.03G0004260-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAARGESGRGGGGGQGLKAMGGAEALALSQPDGHANGAVVEEKLDALRRQLGKADGDPLRIVGVGAGAWGSVFCALLQDAYGHLRDKTQVRIWRRPGRAVDRATAEHLFDVINAREDVLRRLIRRCAYLKYVEARLGDRTLYADEILRDGFCLNMIDTPLCPLKVVTNLQEAVWDADIVINGVPSTDTREVFGEIGRYWKERITAPIIISLAKGIEASLDPLPRIITPTQMISNATGVPLENILYLGGPNIASEIYNKEYANARICGADKWRKPLSKFLRQPHFIVWDNSDLITHEVMGMVAALTNESATSKSVYFALCTSEMIYITHLLEEEPEKLAGPLLADTYVTLLKGRNAWYGHKLAKGELTLEMGDSIKGKGTIQGVSAVEAFYELLSQDSISVMHPEANRSVAPVEMCPILKALHRILITRDRPADSILQAIRDETMYDPRERIEMAQGHSLYRPSLLGQPKD >Sspon.06G0000200-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:865981:886916:1 gene:Sspon.06G0000200-1P transcript:Sspon.06G0000200-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRLISPAAACRGCGFVRPGFLAAFSSFHYRTLPSCSPKRTSSVKNCFSNTYNLRKEGSCWIRSEPPLSLNRAKFVGKRTSCSVATEPPPAATEEPDMDAPKEIFLKDYKAPDYYFDTVDLQFQLGEEKTIVTSKIVVSPGVEAGISAPLVLHGRDLKLLSIKVNGTELKDRPDVMAKYTCRIEADKTLYPVLLSNGNLIEQGDLEGGKHYALWEDPFKKPSYLFALVAGQLGCREDSFVTCSGRNVTLRIWTPAQDLPKTAHAMYSLKAAMKWDEEVAPVSLPITSHSFFSSNQIADSVLHATCQVFGLEYDLDLFNIVVVPDFNMGAMENKSLNIFQSRLVLASPETATDGDYAAILGVVGHEVHKFVDISALSFFSEFNYTYSSLLFQYFHNWTGNRVTCRDWFQLTLKEGLTVFRDQEFSSDLGCRTVKRIADVSKLRIYQFPQGAEVVRMYKTMFGASGFRKVKSNRSSEAYTFDHDSMARRALKNTCLAYLASLNEPDFIELALHEYKSATNMTEQFAALAALSQNPGQVRDDALLDFYNKWQDDYLVVSKWFALQATSEIPGNVANVQKLLSHPAFDMRNPNKGSRWIRSEPPLSLNRAKFVGKRTSCSVATEPPPAATEEPDMDAPKEIFLKDYKAPDYYFDTVDLQFQLGEEKTIVTSKIVVSPGVEAGISAPLVLHGRDLKLLSIKVNGTELKDRPDVMAKYTCRIEADKTLYPVLLSNGNLIEQGDLEGGKHYALWEDPFKKPSYLFALVAGQLGCREDSFVTCSGRNVTLRIWTPAQDLPKTAHAMYSLKAAMKWDEEVFGLEYDLDLFNIVVVPDFNMGAMENKSLNIFQSRLVLASPETATDGDYAAILGVVGHEVHKFVDISALSFFSEFNYTYSSLLFQYFHNWTGNRVTCRDWFQLTLKEGLTVFRDQEFSSDLGCRTVKRIADVSKLRIYQFPQGAEVVRMYKTMFGASGFRKVKSNRSSEAYTFDHDSMARRALKNTCLAYLASLNEPDFIELALHEYKSATNMTEQFAALAALSQNPGQVRDDALLDFYNKWQDDYLVVSKWFALQATSEIPGNVANVQKLLSHPAFDMRNPNKVYSLIGGFCGSPVNFHAKDGSGYKFLGEIVLQLDKINPQVASRMVSAFSRWRRYDKTRQDLAKAQLEMIVSANGLSENVYEIASKSLAD >Sspon.04G0033410-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4C:57019770:57021737:1 gene:Sspon.04G0033410-1C transcript:Sspon.04G0033410-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTPPGNADGGQEAAAGPAPAAASATPSAPPRSRWASEIKVYSRKHPRKNPKPPPPEPAPNPNPLSETLSSVRRSICCPEAGAAQRSDPAAQSSTQPPFLDPATPSFAPTPLPALAAPISSSPVPTPPPAPALPVEHTLASGDISSSPVPAPPPAPALPVEHTLASGDISSSPVPAPPPAPALPQEHTPALGDISPGLNRDGSAVPNGHGNGWAVVAAEKAQKRRARSELRRQLASELDQVRVLSKQLKAAADALAEGDSMALPQAMVLLPSQVVDAGSMRSQFSPRGPVTPIPARDMFAPVRSLLQRAPLTVSVVHTEAYEKDKRTPKANQLYQNSEFLLAKDKFPPADPHGRKKSKHHKKKRRTLESRGADFDAERRLYSHAFKKSSSLLSRLMKHKFAWVFNKPVDPAALGLHDYFAIIQHPMDLGTIRGRLSHGQYRNPKEFAEDVRLTFQNAMTYNPKGQDVHFMAEQLLGIFEAQWPEIEAEVDYLASCPPLPKKFPPPPIDLRFLERSNSVKRHVPLDSNSRPISHTPTYSARTPSMKKPRAKDPNKRNMTMDEKRKLSDNLQNLPPEKLDAVVQLIKNKNLSVMQHDDEIEVEIDSMDAETLWELDRFVANYKKNLSKKKRRAERALLARQDAELRARDSVQQIPV >Sspon.03G0021120-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:87741081:87743168:1 gene:Sspon.03G0021120-2B transcript:Sspon.03G0021120-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKHIEFTPGTPCPPCKEVVLVPCFGQHLGQERAMPCCKWRPFACENLCGNPLLCGNHYCTKSCHVLEVPLNQPEGDRIASISKANALAEPCEQCNLRCQRVREPPCSHPCPLPCHLSDCPSCKVLVKRPCHCGAMVHAFECVYFNNLNAKEQIKVRTCGGPCHRKLPNCPHLCSEVCHPGICPSVDQCMKKVNTRCACNTLKQEWLCQDVLKEYRKSGRDPKEVPKSQFGVGLLACGEDCKKKLKAPDSELHLRKSQENKSPAVEVVNVPKRRKRRDRGQEVKISKFQEVKTYARRVLLIILLSIIVAAGLYLLWKGIFWLSDWMNEMEEQRARQRHPRGAML >Sspon.03G0017710-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:42576091:42576777:1 gene:Sspon.03G0017710-3D transcript:Sspon.03G0017710-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFCCCWLLIILLQKIVLKADLIGAKCKSEILAIVSKNQGIKSMEIDTEKCTLTVVGTVDPVRIVQKLKKKCFEATIVSVEDDKPQEKKDPCKEACEKLCKEKCDKITCCKECKEKCEKDCKDKCEKACEAWLGKGCCSCSRCKPSPGCYYDPCAVPSYPYGYYNGCPSRYPYYGCYEEGSHEGACTIQ >Sspon.03G0010200-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:42497916:42501617:1 gene:Sspon.03G0010200-3C transcript:Sspon.03G0010200-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSAPGRGGRQLILRAGVAAAAVAVMLIVAAEPAAACYPRVFSFGDSLTDTGNFRFYYGNNSGEPALRPPYGETFFRRATGRFSNGRLVLDFIADTMGLPFVRPYLSGRRAEDFACGANFAVGGATALGPDFFRSRGFEIGDGRVHLDLEMKWFHDLLELLCRSGRSGCSDMMSQSLFIVGEIGGNDYNLPLLSRVPIEKIRSFTPSVVAKISSTITELIGLGAKTLVVPGNLPIGCVPKYLLIFKSDDKEDYEPETGCLRWMNEFSEYHNKLLLEELEKLRKLNPGVTIIYADYYGAAMEIFHSPERFGIEEPLVACCGGEGPYGVSLSTACGYGDYKVCDNPDKYGSWDGFHPSEAAYKAIAMGLLRGTYTQPSIASTTSSCPQLTELGSSVEYKVLYDL >Sspon.08G0002740-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:7932058:7942193:-1 gene:Sspon.08G0002740-1A transcript:Sspon.08G0002740-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMFDGEQCTPIGISGQNCVWNNKHRAIGLQFTDHRLTMTETPLQNLQTTLTLQNEFEEVASYILSCMEPASLVVVFLHADFQFQLVDPTLHSFQCKIEIKGSSSNPAFCDISIQQTDHAINHQRPFRSGDNILKKLIAVLGYLTEFGISVLWLSKNLPIDGHTQFNLCESSCHSIQATSTKFMSQDDNLRKRHPRRVGRRFFGKYAMAAILRWFLMFQQGSAFMKANAQLYRWFQDDGVLEEAMGGRRTRLAVRASSKISNEHSTASACFSNSNALSSGRRFNSQRRPAAAAAVQIRNMLEERMKA >Sspon.07G0019230-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:72158708:72160372:-1 gene:Sspon.07G0019230-4D transcript:Sspon.07G0019230-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SMAMADEGDKGQAQPPGLDPRTRRSAATRATGDMAGGGGEEESEGAPGYVLSLPAAWLPLPVAVSCLDATVRRKGRSRPRLRAQPSGWWAFKLPVVPAPEEAKMPVPPASAVNNPTEAARPQRLRVRQAPAPVPVPDPYTPAAAEERPVKRARMCLQCGAAVTPQWRSGPMGQGTLCNACGVRLKAAGALRRQVQHRRPTPATARTPARPPPPDSPVSESSPDSPIWESGSVPDVYLVRKKPLKRGRPPPRPRTQPAPAPAPAVYLVKKKKKKKAAASARKPWRPSKSAKQCMHCGSSSTPQWREGPLGRSTLCNACGVRYRQGRLLPEYRPIASPTFEPSEHANRHSQVLQLHRQRKSQSHQQQHSLPVEKHPPRAMDVLQFPPQRWHVKEEYPPTPLHQPLLHPVVDGNLAGGELRVGGMVDAAAGADAGHGGGGKGSDLNNAPSSLDSLLLEGPSAPLLVDGDEPLIA >Sspon.07G0010070-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:29789851:29790909:-1 gene:Sspon.07G0010070-1A transcript:Sspon.07G0010070-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GAERWRGRGGGRCRRRCLGGGVGFRREGERVVDAGGGRPAASRRHAARAEELDRHQRRDPRPVRQVVPPAVVQPAQPRRGAPRLHARGGRRDRGRARAVRQQVGHHRADAPRPHRQLRQEPLELHAAPAAQGGGRQRQRQRQRRRVRPPAAAARRGGHGGPRAAPPSAGSQGVGGGGSIRAVPSPGPQGGGGRRGHGRGGRRGRKQRGLGADAAAQEAAMRRPPASFSGREAQTREAAPAPARAQARAGRAAHVAHPQSAGRRRQRRRCPRRDPRTAERGGQHGGGCREHEGEAGAGPVVRARDAADDLRGGPAADAGDGRVLQLVGRGAAGGQEQLRRRRRRRRRERPGLIH >Sspon.06G0020680-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:10576482:10576937:-1 gene:Sspon.06G0020680-1B transcript:Sspon.06G0020680-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLTSNQVSLCQPKQRKAVEDELERSLLLLDLLSAMQESKLEHSGGAIDYQKGRHCSISILNLLCKEDADSCRRFKKINSKSTSANQESCRVVKLLGEAHIAVSILESSSHSCQSRLQQSSSKWSFIHKTFLKRRVLCEVDQLQA >Sspon.04G0023090-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:15576214:15578890:1 gene:Sspon.04G0023090-2D transcript:Sspon.04G0023090-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRHAEQGWNMMTTGGHGGRLEHAAPQRRDTSARATSRAAALGWTWFHLPRSHPTSPTAAAPCVVKKVRHVSCAIKCQPRQSFYQRNTDPEHTSRARPLLVVLAPVPLSSMAMRRAARFAMGLHVPRNAPAARSLHASAGSKKIVGVFYKGGEYADRNPNFVGCAEHALGIRGWLESQGHQYIVTDDKDGPNCGKRVSCALVLVHTLALVLTRCRADNLEKHIADAHVLITTPFHPAYVTADRIARAKNLELLLTAGIGSDHVDLPAAAAAGLTVAEVTGSNTVSVAEDQLMRVLVLMRNFLPGHHQAISGEWDVAGVAHRAYDLEGKTVGTVGAGRIGRLLLQRLKPFNCRLLYHDRLRIDPALEAETGAQFEAELDAMLPKCDVVVLNMPLTEKTSAHAASLLALLPSAWFSLTGRSLPLTRGMFDKERIARMKKGVIIVNNARGAIMDTQAVADACATGHIAGMYWLLCRYGGDVWHPQPAPRDHPWRYMPNNAMTPHISGTTIDGQLRYAAGVKDMLERYFKGQDFPLQNYIVKAGKLAGQYQ >Sspon.02G0024740-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:80561065:80562999:1 gene:Sspon.02G0024740-2D transcript:Sspon.02G0024740-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSCSFGSGCCEVDVPVDMGCFQSYFNPDYNATTGCGYTVVMEAKAFSYSTTYRNSTSFLDSYNGNVPVVMDWRIGSSSTCEDASKNLSSYACVSDKSQCVNSANGPGYRCKCLNGYQGNPYVRDGCTDIDECLHDNANNCTAIGATICQNTQGSYRCLCPQGKTMANDKCMARSLSPVRSVQDMTRQYSLEEEYLLSS >Sspon.04G0033580-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:62979393:62997044:1 gene:Sspon.04G0033580-2D transcript:Sspon.04G0033580-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEREPMSPDDRPESSAAAAAQQQPQPQPLEWRFAQVFGERAAGEDVQEVDIISAIEFDKSGNHLATGDRGGRVVLFERTDISDNASRRELERQDYPVARHPEFRYKTEFQSHEPEFDYLKSLEIEEKINKIKWCQTANNALFLLSTNDKTIKYWKVQEKKVKRVSVMNLDASQSSDNGSTSSPGTSSCRALLPNGGCSEKMYSPNNNISFPPGGCASLRLPVVVTGQEFNHVARCRRVYAHAHDYHINSISNNSDGETFISADDLRINLWNLEISNQSFNIVDVKPANMEDLTEVITCAEFHPTHCNTLAYSSSKGTIRLIDLRQSALCDNHAKLFEEHEAPGSRSFFTEIIASVSDIKFARDGRHILSRDYMTLKLWDLNMDSGPVATFQVHEYLRPKLCDLYENDSIFDKFECCLSGDGLRVATGSYSNLFRVFGCSPESTEASTLEASRNPMRRQVVNPARPARTLTSLTRAVRRGGENTSIDANGNSYDLSTKLLHLAWHPTENSIACAAANSLYMYYA >Sspon.04G0016780-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:61400714:61406220:-1 gene:Sspon.04G0016780-1A transcript:Sspon.04G0016780-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDAEADELVAAAAGIICSMRGADLAGWTPPWRKPEPEPAAREGELIWPAVARGKRSRRRSPSAGSSGGKARWGRASPASPLDYSGGSGSGSAASTSGGEDGGGFCSLAHRPVPATKVGAIERPQLITFPTPLPRPSGQRPRKKLRLPEIQQLVRCLAVENENLREEMRDLQRACKALSKENNKLETRLGQSNSQNEITSKEQKGKEQLDQQSVMQSARDSFVLPDLNLPPEVSADVSTVH >Sspon.06G0020330-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:8629860:8630943:-1 gene:Sspon.06G0020330-1B transcript:Sspon.06G0020330-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGENQEAQTGNVPAASASTPKPSASAAGAGKGLEGQSVVRRLQSELMALMMGGDPGVSAFPDPEGDSMLHWVGTIAGSAGTPYEGTSYRLALVFTAEYPYKPPQVRFDTPCFHPNVDAHGNICLDILQDKWSSAYDVRTVLLSIQSLLGEPNNDSPLNTQAAVLWANQEGTRFAISLRLSSGMKNPAIDPSEDSAEALQACRVEEDKH >Sspon.05G0021590-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:73107402:73109289:-1 gene:Sspon.05G0021590-1P transcript:Sspon.05G0021590-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATINDHCWPEPIVPVQILSNSGVLTVPQQYIKPPSERPCGSITSMNCPDLSIPIIDLACFSDTPEHHKVVLEAIGEACKNWGFFQVVNHGVGIDSVKRMRDAWREFFDLPMEEKKLYANSPVTYEGYGSRLGVEKGATLDWSDYYYLNLLPNDMKNLEKWPEMPRHLREVTEKYASELMNLSKVLLKAMSSTLGLDEDYLHMAFGGSKGISASMRVNYYPKCPEPELTLGLSSHSDPGGITLLLVDENVKGTQVRKGNTWVTVQPIPGAFVVNVGDQIQILSNGAYKSVEHRALASSGDDRLTIAFFCNPCGDLPIAPAAQLVGPESPAVYGQPAITFNEYRKYVRTKGARGRAQVESLSLSSSTTSITMN >Sspon.03G0000170-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:700483:702459:-1 gene:Sspon.03G0000170-3D transcript:Sspon.03G0000170-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVTTATAAASSSLLHRRSPAHCRRATLRAAAGHCRHSISRLACRAAEVSGAEPSAPAAEGAGGSGGASWVPVVPLAALPRGERRVIVQDGEEILLLWYKDQVFAIENRSPAEGAYTEGLLNAKLTQDGCIVCPSTDSTFDLRTGEIKEWYPKNPVLRALTPALRKLFTYRVRTDEANIYISISGSDSVGSAEIIFSGKAQPGVTASDVNVEEVRMIVDEDVGGFGFTSENELINGKAAIIGFLLLIDFELLTGKGLLKGTGFLDFIYAVSGAFN >Sspon.02G0022530-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:72764107:72764512:-1 gene:Sspon.02G0022530-4D transcript:Sspon.02G0022530-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWLHSLFSPLRRMWVRAHSERRNRRGMHILYKDVQSCQDEDVHVLWSILIDSHRHPAVLKLKL >Sspon.04G0007190-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4A:20392199:20393725:-1 gene:Sspon.04G0007190-1A transcript:Sspon.04G0007190-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RIVDTAAERTRPSTRCVSEKIEGTGGASRGGVRRRRRRRQRCLPTRLEHAGRVASDDVGRVPAHQRLGPRVPPRQRRRRISAPDADGWCDVLPCVTEAGNSIPKPAVVRSPCRRSKCIPEALRGKCFNCLSSSHRVATCKLPQRCLRCRGFQHIARDCKRRRTSAATGQLVDTAGGRAVSPSPCASILVGSLTCPLAPFPAGHGLLAAGQLGHQAALQCGGTLSSITLGQDLEAVVMPMADRPWDPMSCECGVLSMTVSSTVATQGGELHKCAVHPEPELAVDRCLEVPRWVFDPMLVEVEACLTDRPPQVGFTCQDVSEVAQAVDQCLSGPDECGSGQTSPRPSLLEPEQAGGSPPLVQVDFPGYQEDADGGGSAMLPVDGGAPVATATMSIEDFVATFKKPLTPPVLLSPPRLRRTRAARLRAGELDDSELVPKRSARLAAKSRHREPKPEAQARKVMMKRLGLEVETELPDEASFNEFQTAFTLPLSATTREAMEALFLGAKWQA >Sspon.07G0005690-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7A:14600530:14600749:1 gene:Sspon.07G0005690-1A transcript:Sspon.07G0005690-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DQGLPIVTAAPCVWWLGHASVIVALNCQGHAAGLRPRPRPHRRCQGGHEEPGRHQGRQGVGRRRSGDRRTKS >Sspon.03G0011180-3C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3C:46494540:46495261:1 gene:Sspon.03G0011180-3C transcript:Sspon.03G0011180-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding QVNNERGRDYTKGSVTPSSDQPSILGPQPIPNVGAAIPPAQGSVPSAATNSVMPSGDPSVAVPSNPSSEPLLQAPVVSSGGPAQYPSQAILQAPPGVAPGFPGYGALQFAQVSAQAQMVQPSGQGSQQMSSHVNYQLPPGSAQFMQYLGNGSHPLPNAPGPQTMPYPALGGQQLPPGPQMMQAPGFGGLPFSQGPGQPMPQFPMYGTQQFPPGMEPQMMRFPEQGGQQFTFAPRGPYGR >Sspon.04G0002010-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:7326845:7331913:1 gene:Sspon.04G0002010-3D transcript:Sspon.04G0002010-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCDLFAAWWSSSTRLVSRIFRGSAADAPGPSPMRPAIPLHQKQAGLAASKLGVGTSKKHRAFVASDEQWYNKIFDPSSDFILTWNRIFLFSCFVALFIDPLYFYVPKISYGSPKFCVGTDTRFAVGVTFFRSIADLLYVLHIIIKFRTAYINPSSTLRVFGRGDLVTNPKEIACKYIRSDLVVDVAAALPLPQIIVWFVIPAIKYSSAEHNNNILVLIVLAQYLPRLYLIFPLTYEIVKATGVVAKTAWEGAAYNMVLYLIASHVLGALWYLLSVDRQTFCWKTSCLSETDCHIKYLDCDTTLNATWASTTAVFSKCNASDDTISFDFGMFGPALSNQAPAQSFAMKYFYSLWWGLQNLSCYGQTLSVSTYLGETLYCIFLAVLGLVLFAHLIGNVQTYLQSITVRVEEWRLKQRDTEEWMRHRQLPCELRERVRRFIQYKWLATRGVNEESILQALPADLRRDIKRHLCLGLVRRVPFFAQMDDQLLDAICERLVSSLCTKGTYIVREGDPVTEMLFIIRGKLESSTTNGGRTGFFNSITLKPGDFCGEELLGWALVPRPTTNLPSSTRTVKALIEVEAFALQAEDLKFVASQFRRLHSKKLQHTFRYYSHHWRTWASCFIQAAWRWYKRRKMAKDLSMRESFNSVRLDEVDNEDDDSPPKNNLALKFIARTRKVPQNMKGLPKLTKPNEPDFSAEPED >Sspon.04G0009150-8P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:27518019:27520066:-1 gene:Sspon.04G0009150-8P transcript:Sspon.04G0009150-8P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVELMQLAGVFNVGDFVPALAWLDPQGVVGKMKRLHRRYDDMMNGIIRERKAAGEGKDLLSVLLASMREQQPLADGEDSRINETHIKALLLNLFTAGTDTTSSTVEWALAELIRHPDVLKKAQQELDAIVGRDRLVSESDLPRLTYLTAVIKETFRLHPSTPLSLPRVAAEECEVDGFRIPAGTTLLVNVWAIARDPEAWPEPLQFRPDRFLPGGSHAGVDVKGSDFELIPFGAGRRICAGLSWGLRMVTLMTATLVHALDWDLADGMTADKLDMEEAYGLTLQRAVPLMVRPAPRLQPSAYAAE >Sspon.02G0012070-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:32032400:32033347:1 gene:Sspon.02G0012070-1A transcript:Sspon.02G0012070-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSGPARGSSASAGESLRNSCNDFARTLARLPATIMEGLSRSIPRRAPRRSHPHHLQPPPPPQLPLPPFVPEELFFFSLFEQQYGGHHPFFYGCRFADALRAARREGKLVFVYLHDPGHPYTEPFCRRTLCADVVVEFLDANFVSWGAVTGSGEGPGMVASLQPGSFPFCAVVAPVSDESIAVLQQVEGPVSPSELVEILQRTIDEQGAAFRPSGPVEQAAAARSSRSAEEEERRRSAQRLRQEQDAAYMESLQKDQ >Sspon.02G0058140-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2D:69878159:69880450:1 gene:Sspon.02G0058140-1D transcript:Sspon.02G0058140-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTPRTVRGRRCRCGRRSAWASTTSRHALQTHRTTARLRSGRPRKTSARASSGSAATCVA >Sspon.03G0008800-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:28834178:28838337:-1 gene:Sspon.03G0008800-4D transcript:Sspon.03G0008800-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to ATP synthase beta chain, mitochondrial precursor (EC 3.6.3.14) [Source: Projected from Oryza sativa (Os01g0685800)] MATRRALSSILRSASRLRSASPSPCPRAPLHHRPSPTGFILNRAAAYASSAAAQAAPAPPPPSTGKKTGGGKITDEFTGAGAIGQVCQVIGAVVDVRFDEGLPPILTALEVLDNNIRLVLEVAQHLGESMVRTIAMDGTEGLVRGQRVLNTGSPITGNAWTHYECYGEPIDEKGDITTNHFLPIHREAPAFVEQATEQQILVTGIKVVDLLAPYQRGGKIGLFGGAGVGKTVLIMELINNVAKAHGGFSVFAGVGERTREGNDLYREMIESGVIKLGDKQSESKCALVYGQMNEPPGARARVGLTGLTVAEHFRDAEGQDVLLFIDNIFRFTQANSEVSALLGRIPSAVGYQPTLATDLGGLQERITTTKKGSITSVQAIYVPADDLTDPAPATTFAHLDATTVLSRQISELGIYPAVDPLDSTSRMLSPHVLGEEHYNTARGVQKVLQNYKNLQDIIAILGMDELSEDDKLTVARARKIQRFLSQPFHVAEVFTGAPGKYVELKESVKSFQGVLDGKYDDLPEQSFYMVGGIEEVIAKAEKIAKESA >Sspon.07G0007580-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:20257815:20262572:-1 gene:Sspon.07G0007580-1A transcript:Sspon.07G0007580-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKKLGKLPPAAAAHEEVGEELFASCSFADLGLHSTLCAHLQDKMSFQAPTRIQAQAIPVAISGQHMLVKAATGTGKTLAYLAPIVHLLQMREPRWIVFDEADSILELGFGKAVEDILEHLGSRNGAPGQIKNKGEHIRRQNLLLSATLNEKVNRLAKISLKNPVMIGLDDQNKPSGKPNNVGNSHTSLLSDEEEDEILGKQNDLVVVFFSTCDSVDFHHTVLSQLKWSSDPQLDIDKKQNFLSCKVFRLHGNMEQDDRKKSFLGFGSEKSAILVSTDIAARGLDFPKVKYIIQYDSPGEASEYVHRVGRTARIGEKGEALLFLQPIELDYLKDLELHGVSLTEYPFQKVLDSFPVNGQKPHTRKLISLDMHPWIMSLQRSLEAFVAAEDATKKLARDAFCSWVRAYTAHRGELKKIFMVKKLHLGHVARSFGLKEQPSLVGRSHQMQLKKRKKEQKREWPAKRRKLPSKK >Sspon.05G0011460-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5C:24170315:24174783:1 gene:Sspon.05G0011460-2C transcript:Sspon.05G0011460-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQEGANLPQEVEGSHDQDTTPHGNIPAGAGSPTATVMLAGSNPNSSSKLASAVNNAGLSERASLAVLSVVEDSNAISKIASPVVFQAGEDSSAASKNASLAVLLASEENKAEFKLASPAVLLAGEEKGNDATRSKLASPAMLHDCDNSKAGSHVGVDSNAARSKLARPAVLHAGDSNNGGSKIASPAVPQAIVGNTTGSKLDNPPAMLHPVLHASKDMDNSAGRPKFASPALLHAGEDNKARTKLAIQAVSHAGGNTESSRLTRSAAAVLHAAMDNASKLAIQVVPRPHVGKDNNAGSSKVATRPAAVDAGESNAKEGKNNVAGEQRAHEADVGGGSRKGNAAAVEDANPNLHIFTERERRKKTKNMFSTLHALLPQLPDQADKATIVGEAVTYIRTLEGTLQKLEKMKLERKRALAAQQQLLVGAGSSSRASSARHPAPPALPAPAPASSREANLADMVHSFAQQAAVAAANKALAMAASAAAAAAGAGGSSSSSSGAAAAAQLPRGAAYINLHCPREPGTLTKALFVLERHSIEVVTTTISAHDGFRMYGIHARVQAGGLGDSAADQHLRTEAANALMLMSSPMQQIALLHGLGS >Sspon.04G0013370-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:53604879:53607893:1 gene:Sspon.04G0013370-2D transcript:Sspon.04G0013370-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLGSSGAGSSVVVPRNFRLLEELERGEKGIGDGTVSYGMDDADDIYMRSWTGTIIGPHNTVHEGRIYQLKLFCDKDYPEKPPSVRFHSRINMTCVNHETGVVDPKKFGLLANWQRDYTMENILTQLKKEMAASQNRKLVQPPEGTLF >Sspon.05G0024940-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:26822104:26826391:1 gene:Sspon.05G0024940-3D transcript:Sspon.05G0024940-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLLRRGAAIATRSFRAAAAASASTTVHRLPAGGSLAGAGEFAPARLFVFENRRGFAKGKKSKDDRGDTVQSVPDIGPTVKSAATAQMDAAVIALSRELSKLRTGRATPGMLDHIMVENAGVKVALNRIAVVSVLDAHTLSVMPYDPTSMKSIEHAIISSPLGINPTPDGNRIIAVIPPLTKENIQALCKVVTKSAEDFKQSIRRARQKALDTIKKSASSMPKDDVKRIEKEIEELTKKFIKSADDMCKAKEKEISGS >Sspon.02G0008460-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:18320905:18325529:-1 gene:Sspon.02G0008460-3D transcript:Sspon.02G0008460-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKQQQAPPARRSPAMSAPPPPKRRKKKGRPSLLDLQKRSLRLEQQQQRKQPQGRRANRRSAGSADEDDDGPASGSGRREKKLRLVMGLHDGSAKGEKTRKATDGREEPSDSGPTTPLPNKKLLLFVLDRLQKKDTYGVFSEPVDPEELPDYHDIIKHPMDFSTIRKKLDKGAYSNLEQFEDDVFLISSNAMCYNSPDTIYYRQARGIQEIAKKDFENLRQDSDASEPEPEPEPKPEPEPEPEEPKPQPRRGRPPNKNNAKQKVGKPPAECATADFSGAALATVANIGRHAQADVDLSRRVMDKATIADVLRASFANRRNEHNWSGERKFERIEDYSG >Sspon.06G0011170-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:48054797:48059893:-1 gene:Sspon.06G0011170-1P transcript:Sspon.06G0011170-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVNPSNNPAMFDDDDDVPLSFKRTSNSLKSARPTPSKQEGSSGGAARPAPSKQEGSSGGAGNPVRSHKPVAPNPQKNGVTGASRPVHMKPPSSSPNHRPSGSGQPNSSAQHSSKGNSIVDKSKLKRPLVKDERSDDSDDEVPIGLRRKAEEKKLKRVDTGVQKADDSDDDDKPLSLKINSSKMSSNSASKPVPQRTVAPKVEQPDEDSDDDKPLASRLPNNAGPKSGGDVSEDSEDEKPLAARFSKVTGSGNLKTASSSKGLNSDTNGQRNLGKRPLDNSNQTSSAIKKAKPSNVSASASVKREIKTDDNDNTPLAQRLKMGESSKGKPSTKSIVKKSPASAKKDIKKLKGKGKLKKNMKNSQFSKTMKVPPGSGGGKKWTTLEHNGVIFPPPYKPHGVKMLYNGQPVDLTPEQEEEKKALKEEKLKQEEKYMWAVVNGVKEKVGNFRVEPPGLFRGRGEHPKMGKLKRRIRPSDITINIGKGAPVPECPIPGESWKEVKHDNTVTWLAFWNDPISQKDFKYVFLAASSSLKGQSDKEKYEKSRKLKGHIQRIRQNYTKDFKNKDVTRRQIAVATYLIDKLALRAGNEKDDDEADTVGCCTLKVDNVTCLPPNKIQFDFLGKDSIRYFNTVEVEELVYKAIEGFRAGKKPGEDLFDNIDTTRLNAHLKDLMPGLTAKVFRTYNASITLDGINGLSVYVLALILQLHEETEDGTLLEKIAVYQRANKEVAIICNHQRSVSKSHESQMIKLNEKIDELKVWFLLQVISSIPNEELRADLSKAKKGKPLGYDKEGKQKRNLAPEAIEKKIAMLEGKIDKMEMDKMIKEDLKTVALGTSKINYLDPRITVAWCKRHEVPIEKIFNKSLVAKFGWAMDVDPDFRF >Sspon.08G0006350-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:16745056:16748844:1 gene:Sspon.08G0006350-3D transcript:Sspon.08G0006350-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVVKVEEVAKADENPALVGENPGLDDEAEAGYVSPDDEDGDDEDYETDSDGDYEIPSGGGVPELKKGPWTPDEDKRLKSYVEAHGEGNWNKVQCNAGLNRCGKSCRLRWANHLRPDLKKGPFDAEEVDKIIRFHIMWGNKWAKMASHLPGRTDNEIKNYWNTRLKRNQRHGLPIYPEYMLSQVTHPHQDMNCETPGESHGNKQLNEYAKEKVVDMHDLIDEVMTFQHLDYDKDPVVPTKPLKRYASTGSLQIPDETEKTFCSTDFNYVLTKSQSVPLGSAIASGYPVELPSFQSSSYTLSNDWLLQCPSASMEQQIIQSPESISSQTTSLLGANVHNSDILDDPTKSGRSFEIPIPM >Sspon.01G0008380-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:26392713:26396150:-1 gene:Sspon.01G0008380-3C transcript:Sspon.01G0008380-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FAD/NAD(P)-binding oxidoreductase family protein [Source:Projected from Arabidopsis thaliana (AT1G24340) UniProtKB/TrEMBL;Acc:Q8GYJ7] MLSITGGGRGGLFSVHRGALWWFHRCHSPLFSSLAGGGDRGDAPHLPVLIVGAGPVGLVLSFLLTKFGIKCAVIEKNVECTRHPRAHFINNRTMEIFRKLDALAGDIERSQPPVDLWRKFVYCTSLSGSVLGSVDHMKQEGQFCKVISPISVAHFSQYKLVDLLLKKLEGIGFRTCFPGEIGNSTQDLTDEGILVGVSVNNGARIIERKLHCGLLVGTDGARSTVRELAGISMEGERDLQKLVSVHFLSRDLGRYLSSQRPGMLFFVFNPGAIGVLVAHDLENGEFVLQVLQPLRCSILSSSAMFEDFSAKVCEQIIVKLVGWEPADVHVLDIKPWAMHAEVAEKYICCNNRVILSGDAAHRFPPAGGFGMNTGVQDAHNLAWKLGLLLNGVASPSILESYESERRPVAIFNTKLSVENFKAAMSIPATLGLDSTIANSVHQVINRSLGSIIPRNVQKAVLEGLFSLGRAQVSDYILNEKNPLGSLRLSRLRSILDEGKSLQLQFPAEDLGFYYEEGALVPEASCEKTQEGGKLQHSKRASREYIPSAKVGSRLPHMLIRGLPASSEGVFSTLDLVSGDKLEFVLIIAPVKESYEIARATLKIADELKLSAKVCVMWPRGSTDAELEESRSELASWTNYIDVEEVPRVSGSAWWEMCGISRKNVLLVRPDEHIAWRAESERVRDAESEIRRVFSHILCLNRHRV >Sspon.03G0042730-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:72271501:72282083:1 gene:Sspon.03G0042730-1C transcript:Sspon.03G0042730-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGGESGPAAASAPLLRRRPQYYEGFPGCRLDEANETNTGVPYLNFFYIWVVCLTAALPIQSLFPYLYFMIRDLKVAKEEQDIGFYAGFVGATYFLGRTISAVPWGMFADKYGRKPCIVISILSVIVFNTLFGLSTTYWMAIVTRGLLGLLCGILGPIKAYASEVCRKEHQALGISLVTSSRAIALVIGPAIGAFLHRFPYFLPCFVISLLAAGSCIACIWLPAQVGRSESTKNLLKNWQLMSAIILYCIFSLHDTAYLEIFSLWAVSSRKFRGLSLTSQDVGTVLAFSGFGVLVYQLAIYPFLAKYFGPIKTFRPAAILSIILLTTYPFMANLHGLELKILINMASVLKNMFAATITIACNILQNTAVTQEQRGVANGISVTLMSMFKAVLMGSEAHKRTVLSRRSDLVPNAKHGVRDWSGADVQAIFLPTKFNEAFINLSYNFSSSKRFQPMVANGT >Sspon.01G0038770-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:22305125:22307243:-1 gene:Sspon.01G0038770-1P transcript:Sspon.01G0038770-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPPCCDKANVKKGPWTPEEDAKLLAYTSTHGTGNWTNVPQRAGLKRCGKSCRLRYTNYLRPNLKHENFTQEEEDLIVTLHAMLGSRWSLIANQLPGRTDNDVKNYWNTKLSKKLRQRGIDPITHRPIADLMHSIGALAIRPPQPAPSPNGGSTAYLPAPALPLVHDVAYHAAGMPPPMTPGQQQQVVIALVDADAPASPTTEHGQQQQLKWSDFLADDAAAAAAEAQQQQVVLGQYHHEAAAAGAGSGVAVHGAGSRSAAAAGGDDGGIIGVGGGGGDDGAAAFIDDAILDCDKETGVDQLIAELLADPAYYAGSSSSSSEMGWGMGLLNAD >Sspon.03G0039460-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3C:15652001:15652182:1 gene:Sspon.03G0039460-1C transcript:Sspon.03G0039460-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGKIEIKRIENTTSRQVTFCKRRNGLLKKAYELSILCDAEIALVIFSSRGRLYEYSSN >Sspon.03G0005300-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:30099258:30103602:-1 gene:Sspon.03G0005300-1P transcript:Sspon.03G0005300-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKEFYIPTYIFIPESPVEHASQIPTCPVVVFINTKSGGQLGRELIVTYRKLLNHAQVFDLLDEAPDKVLHRLYSNLERLKHGGDTLASEVYRRLRLIVAGGDGTAGWLLGVVSDLKLVRPPPVATVPLGTGNNLPYSFGWGKKNPGTDHDSVISFLQLVRESREMNIDSWHIVMRMEGPKDSPCDLIAPSDLPHSLHAFRRVPKTDPQDMEYSYTFRGGFWNYFSMGMDAQVSYAFHSERKLHPEKFKNQLSNQKTYLKLACTQGWFCTSLFHPMSRNIACLAKVKIMKKSGKWETMEIPQSIRSIVCLNLPSFCGGLNPWGTPSKRKQRKRDLVMPPLVDDGLLEIVGFKDAWHGLVLLSPKGHGTRLAQAHRVRFEFLRGATDHAYMRMDGEPWKQPLPTDDGKVVLEISHAGQVKMLATKDCIAKGIHESCPAISTVHPESSSSDDMNDDFEEERRNFGAALSFRYLGD >Sspon.07G0008680-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:23830478:23838321:1 gene:Sspon.07G0008680-1A transcript:Sspon.07G0008680-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMQLPQHHKPSAHSCCSSWIRRSPPPSPPHKKPGGGGGRSRYACRLVPLLVLTVYSVVTVLRIPSSSLVVSTADSERVDRREDLEALKTHLPSNQNSLEAREETRSVASLPCSAFISGELLCLAGDQLACYGRSLLLQRNPTESNRIAACAGEAGHGEGEGVLCCDRSHYRSDVCYLRGDVRTDPSTSSVLLYNAPRGSAPEKVRPYTRKFEDSIMSSIDEVTIQPVAAPYNASDGGTLRRRCDVRHPRGVPAVVFSTGGYTGNVYHEFSDGLIPLFVTAQRFAGEVVFVVLEYHYWWLGRYGAVLEQLTNYKVVDFRYDRRVHCFDEMIVGLRIHGELVVDPKLMPNGKSIKDFQALLHQGYSRTTTSSSASPPVPLTLAPPSRPCPRPAKPKLLIFIRKQNRVLLNLPHVVTACRRAGFAPHVMNLRRQTPLPVIHAALASADAMVAVHGAAVTHFLFMRPGSVLLQIVPVGLDWAADAFYGKPAQQLGLEYLEYKVAPEESSLAAEYGLNSTVVRTPWVISSRGWWEMKKVYMDRQNVTVNIKRFGELLRAARTHLKNTTACAKGAGAGSGSAAAL >Sspon.05G0023510-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:7514184:7514806:1 gene:Sspon.05G0023510-1B transcript:Sspon.05G0023510-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKNEAPTIGVKLFVDKEKRKVLFAESDKEFVDVLFGFLTMPRRLVRLLDKQSQMGVEDLSTDYFQTKGCKAMLLKPLKAASSYCCQLKINIDDTKPRAAYVCIDTSCCVSDDFAFSSVPDTVCRCGKVMECWDRPDNGAKTAAAGSDYGVFVKGRQKFITTDDLQVAPASTSLLLSLCKKFGVQDPTDLDKTISPAHF >Sspon.05G0037280-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:11366667:11367534:-1 gene:Sspon.05G0037280-1D transcript:Sspon.05G0037280-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVKVFGPAMSTNVARVLEVGAEYEVVNIDFQAMEHKSPEHLARNLEKQLIQCVLMCTDPLQPFGQIPAFQDGDVVLFESRAISKYIIRKYKSAEATDLLREGDLKEAAMVDVWTDVEAHQYNPALSPVVYECLIYPAMRGVPTNQTVVDESLEKLRKVLDVYEARLSNHAYLAGDFVSFADLNHFPFTFYFMATPHAPLFDSYPHVKVWWETRLVARPSMKKLGATMAAAAAGIKQA >Sspon.03G0018040-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3A:55556690:55557487:1 gene:Sspon.03G0018040-1A transcript:Sspon.03G0018040-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAYIVDELLPAALLGYHRVLLATTACYWWSGAVSGEDDDRADMRQSFLSFSDLERLVRMADFDARRLRRLYPDREMLGVADGLV >Sspon.06G0004060-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6A:12351273:12351866:-1 gene:Sspon.06G0004060-1A transcript:Sspon.06G0004060-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MCESLRRHGDIYNSLEEMTHLPSNQVCSSQQRKMLDAEIESSLELLDLCNTMQEIFVELKAIIQDLQVALRKGDDATVQAKIQSYSRLVKKAKQSFKKACKKATSDKIDCAMIRLLTKAREITVSLLGSTLQLLSKQIEMPKQSLVSKAFYKRKAVVCEEDQLQALEFSIGDLESGAGHLFRILVQSRVSLLNILSS >Sspon.01G0023380-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1A:84380882:84382153:-1 gene:Sspon.01G0023380-1A transcript:Sspon.01G0023380-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SVQPNPAQPLFPLLPSSPRTNDPNSWSALDRPEFRITHLPLSLSPRPPRSPPPAASRTAARASWRVEIPPAGHEVIPSSSSLGKNHARPRIQEEKEEESKEPPSRWRRGRTMSSSNSPCAACKLLRRKCTQGCVFAPYFPPDNPAKFSSVHRVFGASNVSKLLNELPQAQREDAVNSLAYEAEARLRDPVYGCVSYISVLQLRIKQVREELAAARKELAGYIGPAAFAPFVAAPQYHHHQYAAGGVPLAAATGMGLAVGVGVGVGPQHGQHPHHQQQIMVHQHQHLHHQHQMAAAEAQQQLAAAVEVAREQQDLMMRQAAVYAHAVPGSSGGGGATVAVVPPDAVPYEGGFLFQQQQPPPSQAQTA >Sspon.01G0008200-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:22305646:22308378:1 gene:Sspon.01G0008200-2D transcript:Sspon.01G0008200-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRFLTRSAAARLVSHLHRKTPGTTHRLPTHAAAALSSLLGPTDGLPHAAGSTLLRVPVRWFSSPSTEAVAEAPMTADGLTVHSIADKGWTILPEAESDWRSHAAAVAQSVRLIKKRLKWGWILERTKQLAVVLERPDLWEDPVFAGRVSREQGELMGKIKSVNQFEQELIEHIEMLRLAREENDNELEMESMRALADMRRSAKEKELNALLSGDNDSCPCFIEVQAGAGGTESMDWAAMVMNMYRSWAQRRGYTVTVVEEMPGEVAGIKRATIKVDGEYAFGYAKAEVGVHRLVRISPFDSGKRRHTSFAAVAVIPILGDAASRYQIKDSDLRIERFRSGGPGGQHANTTESAIRIVHIPTVLQSRLDQLEIARQAQMNAEHTQSLNEISWGNQIRSYVLHPYRMVKDLRTNYEVSDPDSVLEGDLDDFILNYLSSSLDEAD >Sspon.06G0006780-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:24759601:24761444:-1 gene:Sspon.06G0006780-1A transcript:Sspon.06G0006780-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRASSPTHARAAAALAAGVDEERYAAWMVKHPSALGMFDQVVAASKGKQIVVFLDYDGTLSPIVDDPDAAYMSDTMRRAVRSVAKHFPTAIVSGRCRDKVFEFVKLAELYYAGSHGMDIKGPAKGSRHTKAAKAKGVLFQPASQFLPMIEQVTLTDACLVLPMATCSLPAFRSAMLNFWCVHDSLVEKTKCIPGAKVENNKFCVSVHFRCVDEKSWSTLADMVKSVLKDYPKLKLTQGRMVFEVRPSIKWDKGKALEFLLESLGFADCTDVLPVYIGDDRTDEDAFKVLRKRGQGVGILVSKHPKDTSASYSLQAPAEASAVSVSVSISFSGPARGSVSSPGYLRRRPSASICRQPLARRDTDVMEFLLRLVEWERLSKARPRW >Sspon.02G0041370-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:77849592:77850501:-1 gene:Sspon.02G0041370-2C transcript:Sspon.02G0041370-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQCVVKAIDKTRAIVHLSSDKDLLSKSIIKDLKGLSIDHLIPGMMVNARVHSILENGVMLSFLTYFSGTVDIFNLLSSFPSGNWRDDYSKNKKVNACILFVDPSTRAVGLTLNKHLLHLEVPPINLKAGDIYDKSKVL >Sspon.03G0001470-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:3535308:3538440:1 gene:Sspon.03G0001470-1A transcript:Sspon.03G0001470-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MIDPSRGLTSYIHSRTPTGQQTAVLSARSRSCKPATACEQHRPYMATAEHTSSSSSLPFRQRRPAGCATVMAATFLALLAAFGRSPVAMAAADSSPEFIELTLLTGAQEKGAVCLDGSPPGYHFQRGFGSGSHSWIVYLQGGAWCTSNNTTETCSERKMTSYGSSKLMEAIPFDGIFSNQQTQNPDFYNWNKVFVRYCDGASFSGDAEGEAQDGTRLFFRGSRIWDAVVDEFMGKGMDTAKQALLAGCSAGGLATLVHCDNFRARFPQEVPVKCLPDGGFFLDVKDLSGERHMRSIFNGVVQLQNVSKVLPKDCLAKKDPTECFFPAELIKSISTPTFIVNSEYDAWQIANVVAPDGSYPGDTWSNCRANIRNCSSKQIDVLHGLAYFANLYCFETVLNSVTRNMPCAAAGFRRELIRELKVAEGERGWGLFIDSCFTHCQTQSSDSWHSPTSPRLGNQTVAEAVGDWYFGRRRVVKQIDCKYPCNPTCSSQ >Sspon.05G0023320-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:5B:6769496:6770917:-1 gene:Sspon.05G0023320-1B transcript:Sspon.05G0023320-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ALATAALSKRWASIPRGLDALSFRVNDILPPRYHQCIRIHREANFNAYGYLANFRALAANIRRYERRAMRSMSISINNLLDADDYHENDPDGRGLRSVSTLRVEFFATWPSSGCINRLIAKAVDTWGVQDLEVSAKATFFRQDSHTFPHHGLCNDPHNSRLRSLKLAACHLPPLQDFRTLTSLVLQGLPDSTPTAAYVAVFTLCPQLQAVHIKSCNIKQGDMAIHAPRSEIKQLIIEHCSFGRFKLHTLPMLESMAVIDNSVFYNLSSFPCLKHLNITRCYGVFKRRTIPIPSWDLNMFLGGSPGITDLVVRFTGYDRWFKTWSSALLLPKLRRLLIADVPSSWDVSWPRLLIEAAPCLESLHIHISPWEEDPCDDIVWQSPKFCHNQLKEFVITGFEGTERQIYFVSFVMKVATKLQSVSLLKNGLVQGRGHWDWDMVKQQHQWGNEERIKILNQIAKDVPCSTTPVQVVLE >Sspon.08G0008200-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:26685261:26691227:-1 gene:Sspon.08G0008200-2B transcript:Sspon.08G0008200-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGEAAAAAEAVARIRLVRCPKCDKFLPELPAYSIYVCGGCGATLQAKKKNSAQSSHDADNGNVKYLEVLEHVPEASARKHGASTTDRSETSKMADVHSKPVYGNHDSIQTGPSPSNLNTSIRDDGKEAKYRHIRYWENGEMGQSLRVRDMSPKSPANAYRDECHSKQRYNYSTKECPGERNLDGPSRVRGLEKDRAEILRMLDELRDQVQQSCDATSGPSGSAPTTTAAYSHISYGTSDRLSQLRHVAPQLHQNGSHHTPSLNVRSPSVPRVYAPLPAQQDCVGYAEPISHTRGSSYPASLYPWRNFDNYFFGQHDPDSLLSCHHDGFYHQAACSCLHCCHQEFLPIKGNHMGFNDQRAPYLLNSYGAYPVDSALVGQQRYSRRGINTTLQQNHMRVNVSKKPAQTCEPVACGAPFTICCNCYEVLQIPRKQSLSGNEYKLRCGSCSHAILVKLDGNRLNVSEIALSTHLSDGQENDMGTNGQTPDNRSIPAYRFSVGSPASQEKDLHSNLSESENTSQSRDLPPEDSAVSHVPNLPHRSHSGSSPSEHSGVGSRSTHSEPEKVILLTESSKQNSVKGTCVSNKMQSPNNEFDVPEYTDDTLNAQQDTDHPRATKASDSFLTNLIKRSFKINNGMRNGRARVYVNGFPISDRAVRKAEKLAGSICSGNYWYDYHAGFWGVMGRPCLGMIPVRASGMYFFHRFNLYCDDQSSNWICCLQPYIPEFNFPMPKNCAGGNTGVFVNGRELHQKDLDLLVTRGLSDSPGRSYVVENSGKVSDEVSGEELYGLGKLAPTVEKMGRGFGGMRVPRFIL >Sspon.05G0023040-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:8456286:8456561:-1 gene:Sspon.05G0023040-2D transcript:Sspon.05G0023040-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DELEVHEPGGRAGGAGGAGEHGEVGQGQGAVVVGVDGEERRQQAGAGRRVGGVGKGERRGGGQEEGGGGEAAARRAGAAHRHVPLCLGAQHL >Sspon.01G0031930-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1A:108877045:108877500:-1 gene:Sspon.01G0031930-1A transcript:Sspon.01G0031930-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSTFATSCTLLGNVRTQASQAAVKSPSSLSFFSQVMKVPSLKTSKKLDVSAMAVYKVKLVTPEGQEHEFDAPDDTYILDAAETAGVELPYSCRAGACSTCAGKIESGAVDQSDGSFLDDGQQEEGYVLTCVSYPKSDCVIHTHKEGDLY >Sspon.03G0009690-3P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:47756059:47756873:1 gene:Sspon.03G0009690-3P transcript:Sspon.03G0009690-3P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DAAGGGCAVRVRAALRRWLRRPVRGAGAGLLAAVPHAHGAACGAVLLLPRGGRRPGRLHAVARHAVHAPGRGRRRRPRERGRWRWRCRRLDGGAPPRRLAAPAVRQLRHHVDAALAERPAGTQVAVQRLRDPVQEGGAARRGGRGASAGDAGQRGPAVRVRRLRATAAAAAAAAVGMLRPGRGEVGGVLRDGRWRRRRRRGRALPVVDAERRALLAGVRRPGKAHSVPVLL >Sspon.06G0010710-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:58249071:58251990:-1 gene:Sspon.06G0010710-1P transcript:Sspon.06G0010710-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATLSSVAAPSLPKHGSIIRAQQQAPPSIISASTKTAFHGVSLVDRRWAAGHRRGGGGRRRLLQVNARTAAKNIEVEVDKPLGLALGQKPGGGVSVESGGNAARAGLKAGDQVLYTSSFFGDELWPADKLGFTKTAIQAKPDSVYFVVSRGAEVDVKRLPKRPAPPRATHICLDCGFIYFLPKPFEEQPDEYGCPQCNAPKKRFAKYDAATGKAIGGALPPIAVIISFVIGIAGVGALLVYGLQ >Sspon.06G0015430-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6B:68903040:68904578:1 gene:Sspon.06G0015430-2B transcript:Sspon.06G0015430-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHIKLEAALETSKKWNITTSMPLLRWQSKLKRAAQECDHTLRRCRQRLQEEEEMQDSVRNSSFPKQIAYAARSFVSSIFSRGSEDELRSSAAVQQFERFADGASEFLRYVELGGTPRMYMFFEPLIRHLLAGKAAKYCFVRGKQHLSFLLQPVTIPDHGMEGLLVLLLEDSHASENSFALALNLRLSESTDIVGIAVGCLQLFMPYLSSTVETVKTKLTQLPTQDLCWVSDVYSFYDCREHQNNLYTTFSKWFRPNPVCCQQEDHHYTKSFSATSSSSESLLCDIYLEPILQVYLLGHVALSFDNKRQRAVVNGESKTKRTRDFPYLKLGMHLWPHTSSEDLSPKVGGSATETINGEAVQHGSLYANISFDQLGKIMLPKAVDCLGGNVAATSYEMLWKSKHGSAYLKVEKTSCRLTTRKDMDGKCLERRQGRKVQGWAIANNEFMNSWIAHAPIQLQSSVVDSVQKEKRLPLPLLFKTNSCVHDCPIMLLSLQYQRSLARMIKSLSTFNA >Sspon.05G0037930-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:5D:28302341:28302739:1 gene:Sspon.05G0037930-1D transcript:Sspon.05G0037930-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVRCYGRGRVARMDARPCAGRRGSQGCVRRERPPGPRGCDRGGPPRFTCGSNRCRDREGMSVLVRRGTRRMGTAAERAAMGEAVGNAGGAEGHGEPGMGARTAGREHEPTGKKNEWMKSRVSPSFQLFCLS >Sspon.02G0003230-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:10463818:10465645:-1 gene:Sspon.02G0003230-1A transcript:Sspon.02G0003230-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPKPAASAPAAAAEEHAAYPRLSPEDIEPPPPPVVPPAGANPYVLSAPSPNPPAKGARENLREMFGMVGKKFNEAARKTEGIAGDVWQHRECFDFPHTDSNSEYYCYGVKTGPSITDTAMGRIAQISKVISEGGYDKIFQQTFECSPDEKLKKAYVCYLSTSHGPIMGVLYLSTVKIAFGSDSPVKYVTEDNKTESSFYKVVLPLPHLRSVTPTASQQNPAERYIQVVSVDNHEFWFMGFVNYDSAVKNLQEAVRSVHGA >Sspon.01G0011030-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:33002614:33007812:-1 gene:Sspon.01G0011030-4D transcript:Sspon.01G0011030-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHDLCDDLELVADCGYDDYLDDFHFHDGFPSPTTPQRQRRCVRPAACSSSAIIVCSKHLQLRNLLWPTSKHDVYLVQNYSVMHWSALLQRGREVLNVAGRLAPTQMLPAVQNVKGARPLSRVQISTMAVKDNLMVAGGFQGELICKYVDKPGVAFCTNLSGDNSTTNAVDIYQAPNGATRVMAANNDCVVRTFDAERYSLLTQFTFPWSVNSTSVSPDGKLLAVLGDSTDCLIADPQSGKAMATLRGHQDYSFASAWHPDGRVLATGNQDGTCRLWDARRLSEPFAVLGARIGAVRGLRFSPDGRFLAAAEAADFVHVYDAAAGYVGAEQEVALFGEVAGAAFSPDGEALFVSVADRTYGGLLEFRRRRADGYLDACFF >Sspon.08G0002400-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:5458207:5459883:-1 gene:Sspon.08G0002400-4D transcript:Sspon.08G0002400-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVVAAVGPGAAAAAAGAYGHGQPLCGGGGARKRKDVGVVQDQEADPAVRGSDGRAAAAPRRGAGLFVLETVEEDADAERSSIGVPSEDEEEDGEEVDSGGTSTAAGRKGGALASMDMDALDDALPIKRGLSNFFSGKSRSFANLQDAASAVTSARDLAKPENPFNKRRRVLRCCSIRRVASTSLTALPPTTDGSTGDDDDGAGGRSG >Sspon.06G0022170-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:23853438:23855183:1 gene:Sspon.06G0022170-1B transcript:Sspon.06G0022170-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding TPSPFPYTQKIERGPNQTENVNRIRLTLVWLAQRGLSELSGQPLTLGVFRFCQIYRDITSPPSQIPSPRPSGSPYDLGLRRPSRASGFHSHHHIEGNSSCSAIRTWSPYHGEGNAVILAQKTDRTRSSYWLVRPGSIHESLVQLSLGDTVEKCHAWSPPPSFLSLGPTRAGPSGVIKKWIKKGDFCYGLCHPLLMREVASVPSQAPTISTDGRPSNKKRKSGFKFPETTRLYSQREYAAPKIIPSAANVATKLFLWKAPTKIRNSPIKLLVPGKLILAKVKKKKMVEKFGMSLIFPRSTPLTPRTVQDSYHLSYGFLTPLLFLVVPLCRINGSLRDLVTSDIFDNTLPASVLSCASFSPGHTITPTPTLSSLSVNRNIVHRYSSMLRGRDEVTYYDHALKFCPSARHMEPLNCSLGIGPSPTPPFPRGGRAVFPQRPPSGGRKRKRVGSAQFAFYCKERAFHSLIEARVHAGKALRSCREH >Sspon.05G0011610-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:24901588:24906346:1 gene:Sspon.05G0011610-2C transcript:Sspon.05G0011610-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MWGVRQRHYGAAPRLLEKKEIDADLLASLGRPPGKERAKEAVVTSVHTLRPGHALAGSKKLVSANGKFALGFFQTGSSSSSSKNTLNSYLGIWFDKVPVLTPVWTANRDNPVSNSTSPELIISGDGNLVVSAQGNTIWSTRANTATNDTVAVLLGSGNLVLRSSSNSSLTFWESFDYPTDTMLPGAKIGRNKVTGLNRRLVSRKNSIDHASGVFSTGMDLDGIGRITWNFSVVYWSTGSGMVDFSMQNRRCLQVPLYPATHHLRIGRYKTNRRVHQKHSAQLCADDGNKQENGKWSRPVVDNDKGSVGIIAFKYADLHDATKKFSEKLGTGMLSDSTAIAVKRLDGADKERNNSGQNLLGREFSRVVTTMRGTVGYLAPEWISGTPITPKIDVYSYGMVLLEIVSGKRNCIEHSSSHSEGQGDYLPVQVAHKLLHGDILSIVDANLHGDVNIEEAERVCKVACWCIQDLESDRPTMIEVVQFLEGICELEMPPMPRLLSAIAGSSPHQTRVFLLFLYILQDCAATDTIASGQALVDSDKLVSSNGKYALGFFQTAGVLSDSTTIAVKRLDAAYQREKQFRAEVSSIGLTQHINLIKVIGFCSRSDSKLLVYEYMPHRSLDIDLFSGTITLGIARGLAYFHESCRDCIIHCDVKPQNILLDKSFISKIADFGMAKFLGREFSRALTTIRGTIGYLAPEWISGGAITPKVIYGMLLLEIVSGRKNSHRECTTTGGDDTYFPVQVASKLLVGDIGSLVDDKLHGDVHLNEAERVRIQDNEPNLPTMGEVVQVLEGLIELDIPPMPRLLQAIGVSHSA >Sspon.02G0008200-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:26815025:26832913:1 gene:Sspon.02G0008200-3C transcript:Sspon.02G0008200-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTASALQAERHLLLLCSGRHRPLLRMLPPRLPLPHRRRSWPRVVRVSPGDGGGAGGFASAVEKRSIPGIVGEEEHGARGEEELEGEVAGALELRWPPWKGLAERYKLIGATSLAFVICNMDKVNLSVAIIPMSHQYGWNSSTAGLVQSSFFWGYALSQLPGGWLAKLFGGRKVLEVGVVTWSLATAIIPVVAGFMPGLVLSRILVGIGEGVSPSAATDLIARSIPLQERSRAVAVVFGGLSFGSVLGLLFAPPIIQNLGWESVFYIFGLLGIVWCLGFESLKVQRFGDKEGLLNLGQSSAGSDGLISSAMSSESSNSSLEDLQNSLKDVPWGAFFKSKAVWAMIYAHFCGSWGHYTCLSWLPTFFSEELNLNLTEAAWVSVLPPLGSMIITSIAAPFADNLISNGVDTTKVRKICQTIGFVSPATFMMLSSVDLGLPPWEIVAFLTSGLALSSFALAGLYCTHQDISREYASILLGITNTVGAVPGIVGVALTGFLLDSTHSWSISLFVPSIFFYLTGTAVWLAFASSEPQDFSKSGPDS >Sspon.06G0008570-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6A:45186338:45186763:-1 gene:Sspon.06G0008570-1A transcript:Sspon.06G0008570-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSYWETELWVKKRDPTTKESKVESKFITRVSRATVEISMEDVAYNAFVYYHGLRSDKTLQGALQHFPCFLHDKGVWTVPLADDSSLTLQATVGLARELALHEVDLKDELLKEKELKEQAYKEIDQLRVELGRPKIYENLN >Sspon.08G0000880-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:1160194:1163950:-1 gene:Sspon.08G0000880-3C transcript:Sspon.08G0000880-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPSVSSSHLLITASLPKPKPSSLRPPRLPLAKPLPAAALLALAASPALAADAPAPAPTPAPAPAPELQAEAPTPTANPFSSSLLTAPKPSAAASDLPEGAQWRYSEFLSAVKRGKVERVRFTKDGGLLQLTAVDGRRATVVVPNDPDLIDILATNGVDISVSEGESAGPGGFVAFVGNLLFPFLAFAGLFFLFRRAQGGPGAGPGGLGGPMDFGRSKSKFQEVPETGVTFLDVAGADQAKLELQEVVDFLKNPDKYTALGAKIPKGCLLVGPPGTGKTLLARAVAGEAGVPFFSCAASEFVELFVGVGASRVRDLFEKAKAKAPCIVFIDEIDAVGRQRGAGLGGGNDEREQTINQLLTEMDGFAGNSGVIVLAATNRPDVLDSALLRPGRFDRQVTVDRPDVAGRVKILEVHSRGKALAKDVDFEKIARRTPGFTGADLQNLMNEAAILAARRDLKEISKDEISDALERIIAGPEKKNAVVSEEKKRLVAYHEAGHALVGALMPEYDPVAKISIIPRGQAGGLTFFAPSEERLESGLYSRSYLENQMAVALGGRVAEEVIFGQENVTTGASNDFMQVSRVARQMVERFGFSKKIGQVAIGGPGGNPFLGQQMSSQKDYSMATADVVDAEVRELVEKAYSRATQIITTHIDILHKLAQLLIEKETVDGEEFMSLFIDGQAELFVA >Sspon.07G0008230-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:22517951:22524755:1 gene:Sspon.07G0008230-1A transcript:Sspon.07G0008230-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDRRGGTRQWPAVTIDVNVWSDAGHAVNHLYDLLYMMGRDDIPVGVGGDGGISDSGTIYPNVGGYLPLIDQGMTTVGGCRYRQAIPLEGGGRLDVDTNFGIRRSFLPQGHRRYIPLQQPTAQQVLIDTISAGPTTVFLIGSHTNFAIFLMTYPHLKRNVEHIYIMGGGVRSKNPTGCCPKNATGCTPQQCGDHGNMFTSYSTNPNAEFNIFGDPFAAYQLTYEPHQVFHSGIPITLVPLDATNTIPINKEFFYEFERHQSTYEAQYCFKSLKMARDTWFNDEFYTSYFMWDSFTSGVAISSMRNDKNGKYGNDFAQLEYMNITVVTSNKPYGVHDGSNPLFDGHTTPKFGLQKVGVHSGHVQTGITDSFCRVKGSNKGRCEDGYTKEVSSPEAAHIRVATKAKPNVDKNSPLDREFFLSFLQALNLQENSGRFDIKAQFPFYREVLNKPSLKSKKTGKPVIIDMDMSPGDFVSLIYLLKAPPEVIDLKGILVSGNGWANVASIDIIYDILHMMGHDDIPVGRGNTTALGTPSLGCEYVSIIPQGSGGLIDSDTLYGLARSLPRSPRRYTAENSVKHGAPRNTDHPELRQPLAFEVWQAIKEQLDPSEKITILTNGPLTNLANIMLSDRNSSSVIEKVYAVGGHIRDENDSKGNLFTVPSNRYAEFNMFLDPLAAKTVLESSLDITLIPLSSQRKAASFQCILQALKHTDHTPESSFVHRLLLSLHDLQQKHGLYHHMDIFLGEILGAVYLVEGLNIKPSLQLKPISIVANNTTDMDGQIFIDMQSANSVKVLSDFSSEDYYSRIANSLGNKEQSAVIGSFEEQTAVWSRPL >Sspon.06G0014960-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:81999311:82006530:1 gene:Sspon.06G0014960-1A transcript:Sspon.06G0014960-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARRSRGWGWARGAAAFAAVALAVGAGRRYGWDGASAVAAFREARGALGPWAAPAYVAAHALTLALCPPYAIFFEGGAALIFGFLPGVACVFSAKVLGASLSFWIGRSRPRAPSFLFPASRLLLDRVDRTSRELVRSRAIFRYFTSAMEWLQRNKYFHVVVKGVERDGWKFVLLARFSPLPSYIINYALSATDVGFFRDFLLPTVIGCLPMILQNVSIVSLAGAAVASTTGSNKSHIYSYLFPAMAIVSSVLISWRIKQYSSALAVPEELQSSPTNGNDNGIYAPKALSDNPPLQDVCPMAPQGERKLFMNGFLCKHPSTILASDFKTLLLNHAGDLDNIVRSSVNMVTTTEFPGLNTLGLSMARTDIAPNGVVLPHSHPRASEMMFVHGGSVVVGFLDTKGRLFQKSLGEGEVFVFPRGLVHYIMNYGFSLATTFSVLNSQNPGVAGIVHAMFATDSDVVEGLMARMFKFGEMGVSDNITAGSNSLKDKASQDFKRLPCALALDYLYNGIKH >Sspon.02G0058370-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2D:72948665:72949105:1 gene:Sspon.02G0058370-1D transcript:Sspon.02G0058370-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MWPALLTESVVVVDPYITVDIARRDVEEDDSIASSNAYIEVKAYLSAACSREARALRAESAVEGDGFILSLRLRQELADEFRGAVLWWSCVEARDAPIGGPRTWGRAAVQLGAVSECTAGGSIGSGVRMHCWAPDDGTLVPEAIYT >Sspon.06G0000290-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:112385:116243:-1 gene:Sspon.06G0000290-2B transcript:Sspon.06G0000290-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MELPRTPERGADVAADAGEEDPEILRTIGSICLENTHRLRKFHISHAVGGSSENVIINGKANPSNAVQADAVALGTIAADMAPVVDGFSVDDDELDLDFPTEGFSSIPEAIEDIRQGKYVIVVDDEDRENEGDLIMAASKVTPEAMAFIVRHGTGIVCVSMKEEDLERLQLPLMVTTKENEEKLRTAFTVSVDAKEGTTTGVSANDRANTILALASPNSKPEDFNRPGHIFPLKYREGGVLKRAGHTEASVDLAMLAGLPPVAVLCEIVDDDDGSMALLPKLQQFAKRENLKIISIADLIRSLIDGMEHIAMVKGDVGDGQDILVRVHSECLTGDIFGSARCDCGNQLALAMTMIEKTGRGVVVYLRGHEGRGIGLGHKLRAYNLQDDGRDTVEANLELGLPADSREYGIGAQILRDLGVRTMRLMTNNPAKYTGLKGYGLSVLGRVPLLTPITNENRRYMETKRLKMGHVYGSHSSDHTSGSGSAGAGEREEQDQNDSAGVQDRTPEA >Sspon.01G0029850-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:100127626:100129959:-1 gene:Sspon.01G0029850-2D transcript:Sspon.01G0029850-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mannan endo-1,4-beta-mannosidase 6 [Source:Projected from Arabidopsis thaliana (AT5G01930) UniProtKB/Swiss-Prot;Acc:Q9LZV3] MVKTRGNQFVVGDRPFYVNGFNAYWLMILAVDPSTRGKVTEVFQQAAAVGLTVCRTWGFNDGGWRALQKSPSVYDEDARKHRIRLILPLVNNWDGYGGKAQYVKWARDGGLNITSDDDFFSDQTVKGYFKNHVKNMLTRVNTYTSVMYKDDPTILAWELMNEPRCASDPSGNTLQEWIQEMAFHVKSIDPDHLLEVGAEGFYGPSSPARLPANPNTYAGQVGTDFIRNHRVLGVDFASVHIYPDTWMSGATLEAQLKFVTSWMEAHIADAEGTLGGMPVVFTEFGVSTKARSAAFNATTRDQFIQAVYGQLLNSTRRGGAGAGALLWQVFPEGTDYMDDGYGVVLPRAAATARIMSTYSKEIAVFNS >Sspon.01G0019670-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:71460465:71464219:-1 gene:Sspon.01G0019670-4D transcript:Sspon.01G0019670-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MISILAQERLLGFALGSVSMGGFVLHQRRAIYRSIAEADGSPYFYQPGEIASGRSSTELAHVWNKAVDETLGRLVVYLSSRGW >Sspon.06G0007280-1T-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6A:26964114:26966979:-1 gene:Sspon.06G0007280-1T transcript:Sspon.06G0007280-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEGEDCSSGAEMDALVRRLRLHRPPPSPYDPEPAAAPVPATAGDGELFRPRRAAVLVCLFRGDGGELRVILTKRSSSLSTHSGEVSLPGGKTEEGDADDAATALRESKEEIGLDPNLITVVASLEHFLSKHLLVVVPVVGILLDRQAFKPALNIAEVDEIFDVPLEMFLKFY >Sspon.05G0012140-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:35642291:35642638:1 gene:Sspon.05G0012140-1A transcript:Sspon.05G0012140-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding WRPTTSTPWRRRAGSTATATTTTIAARRRPARRRHPRRCGGAGRPPRPPTATATATAPRPSACVRRPRTQAPSSAASTAAAPTATRRPLRPRPPPPRRRRPRPRPRPPPAPSRRSD >Sspon.01G0055140-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:70528129:70547296:-1 gene:Sspon.01G0055140-2D transcript:Sspon.01G0055140-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATMPPPLPPSPPPPPRTAFTPRCTALQNPSTYMYHCQLLNGAPQGYASPRHARARPPRERERDLAASYAREIGACVRERRWGAACEAFASMRTAGAAPDRFLLPQVLRACASLGAPRLASAAHALAAKGGAALAGDPVVGNAIVAMYAALGDVASARAAFASLPDRDVVAWTALISAHADAGELEEAFDLFEEMQESGVRPDMISWNTLVSGFARSGDLVAALLLFDEMRQRGVDPGVNSWNCIISGCVQNALYDEALEVFQEMCESERPDAVTVASILPACAGLQALGIGKQLHSYVLRCGININVYVGASLISLYSECGEFDDARVVFSTIEEKNVNVWNELVQSYIREGRMDKAWEAFDLMQEDGLEPDIVTYNSFIAAYAKVGQNEQAYELFSRMADVGLKPNVVSMNALICGLHRHGLYTDALEAFRYMQRSSDGKAKGWTFLDNCGPIQPTGTTITGVLSLLADLKLDRLGKEVHCYALKNGLTSNIYISSKLVDLYGKTGDITSAANVFQRIGNKNVVTWNSLMAAYKHNWKPEVTLKLLSEMLQFNLHPNLVTVHIALMSCGMTMALGYGRELHSYITKCWPGGYPATLASALINMYGKCGNIEDAMLVFRSTVPKDIAVWNAMMSCYLLHRMPRDIIDLFNYLEQSGIQPDHITFILLLSACKQEGLFEEAQSYFYNMEDVYGIKPSLKHYTCMVDIMGSAGLLAESLTLIQKMPLKPDACLWSTVLKACKLHSNLEIGEKAAKVLFELEPNNPSNYMVLSNIYADTGLLDATEAVRDAMTEQGLHVERQCSWLYNGTTVHSFEAGNLSHPAIDAILSTWKHLTIRMEQSGYSTEDIGPYYNVEVDPLSCHHTEKIAVCYGLISTYDHQPIRISKNFRMCMECHSSIKFISRDKNREIIVSDGCTYHHFKDVYFHKFARDESSSNNLTIKTGQDFDIQNTPNSAMLKGCTKIQVSPLLEKSPIESGLSILMHVIGLDEILAKSCSIPVHEPKLGSLRRVRSQSGEDKLNLGYVEFSPSVSLRQQRSIWVEFKTAW >Sspon.05G0024160-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:12785837:12786996:1 gene:Sspon.05G0024160-1B transcript:Sspon.05G0024160-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWRIPSECPPLRVQFAIPVRPILPSFAGWPRFLKTGPGSRGASSYVSIAVVCHVALASAAHHKNQTPLCSSHLHFSTSPLPSRSGPTAPPPPLRRQAGSRHGHGRHSGACLPDLPVPVLVLLLFLVAAAALAAAADFPHLPSSSSCPGGGAGNAKSPESSSSASASASDDASAASPPPPPPPPAAPQEDAEPNPDDALFDLPDLLLDLRCCGPSSWAVDDDVAGGGAFRLIEEPLLWEY >Sspon.08G0011200-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:48878750:48884275:1 gene:Sspon.08G0011200-1A transcript:Sspon.08G0011200-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKKPNGVAKATATSADAARPSKANPSTPGSVKGTKFKKLKVKAKANREKPVVTAAAVGEVALEGASTGDGDASASAVLPQPSNVAEASPVVQTQPSNVAAEASPVVQTQPSNVADASPVVQTPKSTTFAEASAVAQTQKPATDAEGSVPAPMPATAEASASSPKPKPKPKPAHANADAAAAISASKGKGEGKGADNSGGDGRMKSRRRGLGVARGRRLWRMEEKECYQNRLFGMPSGKIEMVKKIRPGVKLFLYDFDLKLLYGVYKAASHGGLNLVHEAFNGKFPAQVKFKIEKDCRPLPESSLKQAIKENYSARSKFDPELTARQVQRLLLLFKPVSAPQSVPNNHLEERRHYEERRKPYHHFEERLPIEEARQQRFDEERRPAVRHVPLEDPYRAPRFAPVQGDHHRYYQPPALAPEPRHIPLVLEPRYVPLALDHHHGPTVPELRHVPAAYYRTLAPSGDSYYRSVENLVPERYADRTVTDVTTRDPIIRDHTALPGEAYARADRLDDLYQTRGAHVEELYRPGEIAAHADRVGITTRADRVEELYHSDRLVNRAVDPLHSAYLTAGYETNPAYAETSIRPVSARVSGPGAPVSSHYSFTGGPVYR >Sspon.04G0001740-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:6584523:6590885:-1 gene:Sspon.04G0001740-3C transcript:Sspon.04G0001740-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKAGKAKPKAKGDKKKKEEKVLPTVLDVTVETPDYTHLTLKGISTDRILDIRKLLAVHVDTCHLTSYSLSHEVRGAQLKDTVEVASLKLCHLSIVEEDYTEELAVAHVRRLLDIVACTTAFGAKKPEQKPASPDAAAAESVKTGSPGKTAPGGGEEPMYPPPKLEQFYDFFTFSHLTPPLHYIRRSSRPFVDDKREDDFFQIDVRVCNGKPVTIVASQEGFYPAGKRALISRSLVGLLQQTSRAFDGAYKALMKAFVEHNKAPGMSSDELAQRNLLKGITAGESAIVHDTATLGVVIVKHCGYTAVVQVPVGTELITASVAQQEIHIEDQPEGGSNALNVNRPNAITTFPCISLRMLLHKSCAQVPGVQRLQTSDPQDNATTQTFVRKILTDSLQKLESESPIVTRPIRWELGACWVQHLQNPTSEKTETKKSDETKDVPTVKGLGKQFGQLKEIKKKTDDKGGKSTYVKENTSPNTDNGHTDNTASIKDDKDVVLQRLLPEAAFQRLKESETGLQAKVELSDKLPHIQSLCIHEMVVRAFKHIVRAVIAAVDDATIYQQKALDINERELGLDHPDTMKSYGDLAVFYYRLQHTELAL >Sspon.01G0004230-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:10088585:10092278:1 gene:Sspon.01G0004230-3D transcript:Sspon.01G0004230-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASASRALLLSRALQAGAASRRVPTLLRPLAAAASLLPAGVGAAATAPSAGVRCFATQPATSSLRDSSPNWSNRPPKETILLDGCDFEHWLVVMEPPPGDASNPDITRDEIIDSYIKTLAQVVGSEEEARQKIYSVSTRHYFAFGALVSEELSYKLKEMPKVRWVLPDSYLDVKNKDYGGEPFINGEAVPYDPKYHEEWVRNNARANERSRRNDRPRNFDRSRNFERRRENMQNYQNRDGPPAQGFNGPPPPPGQNQMPPHHGQGNMPPPPPHAGGGQPNYQHQMPNPQAGYNTGGAPHYQQGGAPGYQGGPPGYQGGNQGYQGNPGPAYQGGNPGYQGGPPGYPGGNQPPPYQGGNPNTPPYPGGGNPGYPGGGPGYQGQGGNSNFQ >Sspon.02G0047720-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:11797521:11797868:1 gene:Sspon.02G0047720-2D transcript:Sspon.02G0047720-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRFFLFCLVSSQLAATAVTARPFATVPLDGGATSSVAAYAPYSAPAVALHAHSLLEESRFAGSPLSSHHAGHRPFDRTFAGGKIIVAGLAAAVVVAIFCYIRITRKKNVVEPKV >Sspon.02G0015670-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:42801059:42803108:-1 gene:Sspon.02G0015670-1A transcript:Sspon.02G0015670-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHKKARAVEDPARLICDDALTEVFRRLPARALASCRLVCKSWMSVLTDPHFIHEHLSRGQQKLLLFANDRANDRSLAMVLADDNKSMYQLSRPSASRSIFVHNSCNGLLCLGDSTGAVEVLNPTTGESLMLPMPMYTAGSSQFSSCNWHCLGFCPQKREHKVVHFYPGAHIDSFKVQCEIYTVGAGVWRQVGSFHGAPTDRGVHVNGMVYYLTKFRYIASSRINCLNLESEKFDVLMLPPRKSYGGHCSLTELEGRLCLLIVDGALEGPPRTMDILMLNSHDKQDWTPRYHFSLPWLMPSLLKENTFVVWVVFGDKVAKKLEKGISEPQLHKVSDYPPIHISRCCFH >Sspon.08G0005280-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:20513685:20522116:-1 gene:Sspon.08G0005280-2B transcript:Sspon.08G0005280-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGYGEENQNAMNGYEEEEEEEEVEEVEEVYEEEEEGDGGGEADEGAAAAEAAAMAAETRSVVGGRGLAEAEAAGNVDAGGEDGRDADSGGGDASGKIFVGGVAWETTEETFTKHFQKYGAITDSVIMKDKHTRMPRGFGFVTFSDPSVLDRVLEDDHVIDGRTVEVKRTVPKEEMSSKDGPKTKKIFVGGIPPSLTEDKLKEHFSSYGKVVEHQIMLDHSTGRSRGFGFVTFESEDAVERVMSEGRMHDLGGKQVEIKKAEPKKPGGGDSSSNGRHSRGGGHVIHTVVVVVAVVFLATAVVVAMDTVVATDQLLQHIMAVQHMVPMAEGMDMVVLLLAMAQVMALHMVAPCMEVHMVPTGHMVVPMEVVHMVLQEGMVQEDMAATVGLEAWVVVAALVVGAPADTTLMENDHPVSLGPDNKSIPSLVSATEKMEHSIHLVESAAPEHFFPGAFHCTTKILVYSR >Sspon.04G0011580-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:36022313:36022858:1 gene:Sspon.04G0011580-1A transcript:Sspon.04G0011580-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding HASSSLPLRVHGHRRRAPPRRRRRSGQRDRARRRQDDEPGVELPGAAQRGAARGGGARAAVGRAPGGVRALVRGGAGRRLQAGALARARRLRGEPLPRERRRRVDPRGRGGRLGPGARALRQVHQLLPRRWRRVRALHPGRVARHQGRRVRDGALRPRRGTLHVRRVQLQPARQLRGDEALL >Sspon.08G0013680-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:52821906:52831314:-1 gene:Sspon.08G0013680-2B transcript:Sspon.08G0013680-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSGGGDGRKEALERELKKLRAEREELDGRIRLLESQLEAVPAGVSGAAAGKGVWDGACGGSAACQRRVGNGFAPDDGLPADMIYRYSRHLLLPDFGVEGQRKLSQSSILVVGAGGLGSPVALYLAACGVGCLGIVDGDDVELNNLHRQIIHKEAYVGQSKVKSAADACREINSSIKVVEHHHTLKPCNALEVVRKYDIVVDATDNLPTRYMISDCCVLLNKPLVSGAALGLEGQLTVYHHNGSPCYRCLFPSPPPVAACQRCSDSGVLGVVPGVIGCLQALEAIKVATGVGEPLCGRMLLFDALSARVRIVKLRGSSPDCTHCGKNSFFTEQDFQKFDYENFTQSPMSDKAAPSVNILPGSARITCRDYKKLVDNGEPHLLLDVRPAHHFQIASISPSLNIPLSMLEEKLPTLETSLKETGEASTLVVLCRRGNDSQRAVKLLHEKGFASVKDIIGGLQAWGRDVDPDFPVY >Sspon.08G0009550-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:41932770:41933525:1 gene:Sspon.08G0009550-1A transcript:Sspon.08G0009550-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding EMDVHHASDIQQLQRFPWSFHLLAVLGALYLGAVTFRLLAYLGLPNFLRRPTDLRRRYGAWAVVTGPTSGIGRSMAFELARRGLNVVLVGRDPDKLQDVSDTITKTHAGVQTKTVLFDLSFVSTAPGEEAMRRLRDAVDGLDVGLLVNNAGVAKPCAVYLHEFDVDAWVKMIRVNLWALTEVTAAVLPGMLARRRGAIVNIGSGSTEAIPSFPLYTIYAAT >Sspon.04G0010200-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:26216753:26219192:-1 gene:Sspon.04G0010200-1T transcript:Sspon.04G0010200-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAGVARGPGSAGDALFRELWHACAGPLVTVPRQGELVYYFPQGHMEQLEASTDQQLDQHLPLFNLPPKILCKVVNVELRAETDSDEVYAQIMLQPEAEQNEPTIPDPEPPEPERCNVHSFCKTLTASDTSTHGGFSVLRRHAEECFATTG >Sspon.08G0023480-1P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8D:58353435:58353755:-1 gene:Sspon.08G0023480-1P transcript:Sspon.08G0023480-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATEKQGPESSPGGSERHQVHQGADGRRHQRGDPGEHSREQSGEHPDRRPGPAPFPFSALDPAQEMEEQRNASIGASHERDGLRVVPRPVDGKRPVARQRGEAQRG >Sspon.04G0017780-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:64708308:64712496:-1 gene:Sspon.04G0017780-1A transcript:Sspon.04G0017780-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLGFVLLLVAAAALIKPSGVVGAEEEGWERFLLQWRQHTSLPAPLLNGDLVDGIWSICLPDMLGAAEILGNSLQFASDELLSQSSENALKAMLFLEFLSLLSPEKLSSTYDCIHANYFGLGIPQEFSLSLATYLESHQLLLGSNFYARRHLAENSIGDAPSMAPEFAPSMSSGDEVKSPQSVTETPYAPSSFHNNENPNQPHHSKPAQKHRGVPPPPVSLLEKHKDYVRLVLIVVLPTAAFSFIAAFLIFYCCRCNKNKVSVSEQRDDHPLLHMQLANVPGSSPDARVPASPLHKDNQNHSGVSMSQCFSCCFKRSVDATPPSEVIGGTPENNVTSDAPKPMPPPPPPPLPPLIKKAPPPPPGPPKGSKARLAQLSPVESSRSEGSSAGEQTSESSEAEVHAPRAKLRPFYWDKVLANPDQSMAWHDIKFGSFHVNEDMIEELFGYSGGNGNNLKDKELPSADPASQHISLLNVKKSCNLAVVFKAMNIRVQDIHDALIEGNELPRVLLETILRMKPTDEEEQKLRLYNGDFSQLGLAEQVMKALIDIPFAFKRVDTLRFMSSLQEDASSLRDSFHQLEAACGELKHRLFLKLLEAVLKTGNRLNDGTFRGGANAFKLDTLLKLSDVKGADGKTTLLHFVVQEIIRSEGVREARLAMESGLSPTRSTSDDNSNGSLQEDGDYYSNRGLSIVSGLSSEMDNVKRVAALEAEPLFATVVTLRQELLKSKEFLNEIATTEETSGFRRSLECFVEHADNETNFLMKEEKRLRSLVKKTIRYFHGNDSKDDGFRLFVIVRDFLVMLDKACREVGASQKKGTNKSRSSGNGNPTFPSILQEQQFPAVIDGHSDSSRSND >Sspon.07G0021180-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:1361933:1366652:1 gene:Sspon.07G0021180-2D transcript:Sspon.07G0021180-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MIMDKEQQGSHHGHLDSFAPLDGAAPEDQQHAGGAEMVDYMLGPHVHAPPAPAPPTQSQQAVSFDKLSFSDVLQFADFGPKLALNQPAASAGQEPALGGGVDDSDDVDDDDGYFFRFQSLPATLPQRHAANHEAAGSKTTADQDGGAGGGGGVSESTTLVQQGDDGRADKGAGDPQGKSGRRKRPRTVKTSEEVESQRMTHIAVERNRRRQMNDYLRILRSLMPGSYVQRGDQASIIGGAIEFIRELEQLIQCLESQKRRRLYGGSGDAPRPPPVVDAAVPGGAPITSTTQPQVPPPPPFFPPSHPFPVSSGGGDAKIILDLEAAGGAVVDAGGGLREEVAENKSCLADIEVRALGADAMIKILSRRRPGQLIKTIAALEDMQMSILHTNC >Sspon.05G0008710-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:27888180:27891133:-1 gene:Sspon.05G0008710-3D transcript:Sspon.05G0008710-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKKKKAKKTPPPLSPTADGAGGDDRISALDDDLCELILRLAHLNVRELARTSVLSKRWRSLWKRLPVLDFFGWPELRSAGDVPQYIATVNDVLEQRAGATSEARIDEVKIPLLLDAYSLRGERQQLLTPAMEAVEAWIRYAMHHQVKGLQLNLRLPPLVKKERWKLKKPVMDLDELLPSPPNHTKVRLPRTAVFTSLKDLTLEFVELAAGSGHLLARLSSAACCPSLQKVRMMRINFVEWTKELVLDAGELLELSMEGVNSELLELRTPKLLHLEINECDHLESLRVSAPGLKELTYIGNTAFIHDPLPCLRRLKIDLLSHMGVDDDDEEEDPNSSNICLLNRCSSSIRCLLVHLYVPRRQDEYYSEDANVDSSLTCNHSDNWKSSDISLANLRQAEFRGMTGTGFELRFLQFVLANATDLQSVTKKKKKKKKKKKKKKKTAGCVIFYIRCLEMERGLPARMRISISPISVFVLANATDLQSVTVSFNLYLIEEEEDSRMCYFLHTLLGDGTWTACEDEDFDKPYKCSYASACFSFLSLGGMIYDILLSVLRYLVKRESPNTRGSVY >Sspon.02G0036560-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:25723857:25730805:-1 gene:Sspon.02G0036560-1P transcript:Sspon.02G0036560-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRGSSSGRRRRRNEEDEDGLPSDDTSDTDFVADSGDEAEEDDDDSDEGFAPDEDAPPAPAVPETAVPPPVPAMVPPVPIRIKNQAPKRRRGKKARDHEPPLSWEEWEVANDSWLDALDAADGTGTGTVRPRKPHRRPCRPRTRPRRWCSRCCASRRSGSRGIRLAWRHPRRRDGDGEDHPGYLARPDCAPTSPPEHHSVASSSNSSVGRPKVGCTLVVCPVVAVIQWTEEIERHTESGTVRVLIYHGAKRGAQKFDFNSYDFVITTYSTIEVDYRKYIMPPKIRCQYCNKLFYPNKMKVHLKYHCGPDAIRTEAQAKQQSKKWDSSKGKARGKRKVHKKGDEANMDFQELADESGNQSRGQSPLHSVRWERIILDEAHFIKDRRCNTARAVFELESEYKWALSGTPLQNRVGELYSLIRFLQIFPYSNYFCKDCSCEILDTSMKKQCDCGHSSVRHFCWWNKYISTPIQYGLTTLEGKRAMTLLKEKVLKGIVLRRTKKGRAADLALPPKIVNAGTLMNNYAHIFDLLTRLRQAVDHPYLVAYSKTAEHPEGMKNEGNDTMESQCGICHNLAEDVVVTSCDHAFCKTCLIDYSAALGNVSCPSCSIPLTVDLTAQNSAGKLTRSVKGRKCSGILSRLPSLVDFKTSTKIDALREEIRNMIEHDGSAKGIVFSQFTSFLDLIQFSLEKSGIKCVQLNGAMNITEKGRAIDTFTQDPDCRIFLMSLKAGGVALNLTVASHVFLMDPWWNPAVESQAQDRIHRIGQFKPIKSTRFVIKDTVEERILQLQEKKHLVFEGTVGDSPDAMSKLTEEDLKFLFQI >Sspon.08G0005970-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:18604077:18607102:-1 gene:Sspon.08G0005970-3C transcript:Sspon.08G0005970-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:MLO-like protein 13 [Source:Projected from Arabidopsis thaliana (AT4G24250) UniProtKB/Swiss-Prot;Acc:Q94KB2] MKNVQQAKSEGQGTSSSIVVLHRDHQDEFVRERAKGFWMKLAVVSWITAFLKQFHDSVSKSDYEALRSAFIVMHYPQKPDFDFHKYMIRAIEHEFKRVVGISWYLWIFVIVFLLLNINEHHTHPTISCDSIYPFSVALLSKCRMAHILLLLLIVGAKLEHIIIRLAQEAAASLSNDTAEAPKIKPSKDHFWFHKPELVLHLIHFILFQNSLEIGFFFWVLVSEGFGSCMMERKPCAISRLVIGVIIEVICSYITLPLYSIVTHMTGEIKLHGLGSGVHESVHGWLAEVTRLAPKERSGRSRDKLMAPAAPVLDEIVTVDDVCGAAAVVGQRP >Sspon.04G0031470-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4C:13559537:13560228:-1 gene:Sspon.04G0031470-1C transcript:Sspon.04G0031470-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPATTSPFAPSRFLPARTYTRPRLHVGHRQPPRFFAPRSLLVTTAALPRCPGRMTSHTGNLAAGRDLAIGNTVAVRAYRHGRGLQHCFQSAQTDCLAHRKPGGRTRPRALEPGGRTHLPARTRTTALFFSISAPFSIFVEPPAAPSLHQFVLAASYLRSISRWQKAITYQHHRGLPYSLSESTDETDQPPPPCNCLGRQDERQRAAPPLPTDVAPCTCLHDGRVIAKARH >Sspon.08G0014870-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:57153334:57157221:1 gene:Sspon.08G0014870-3D transcript:Sspon.08G0014870-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSHRLPPAAAAVDPYYVYAPHPDPQRQGVLTLFVAGLPDDVKPREIHNLFSHRPAFDHCLLEYTGRGNQAVAFVTFFTHEAALSAMTSLNGTIFDPETGDRLHIELAKSTSRRPRGGGEVYRVIDKRANKTEGNADNENVGDEGDEEVWGEDEDGGNDDNGDGESDEPSGTENENSSDKNELPADQSDQPGHKKQNGQSLSNDGRDKSSNDIPPCSTLFIANLGHTCTEDELNEVLSKEPGFHVLKMRRHGGMPVAFADFMPEAGGCNMQDIESSTAAMNSLQGAVLASSDSDGLHI >Sspon.02G0005110-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:15598453:15602315:1 gene:Sspon.02G0005110-2B transcript:Sspon.02G0005110-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-prolyl cis-trans isomerase CYP37, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G15520) UniProtKB/Swiss-Prot;Acc:P82869] MTLAAARPASHAPGPAAVTYSGRAQLSFHHRGARARVIRASRRRPHHPSFAPEVGMSPSSRVCSVYCLTGTDEGVLEWLRGAAAALAIVAQISVSLPANAVLYSPDTKVPRTGELALRRAIPANPNMKAIQKSLEDISYLLRIPQRKPYGSMESDVKKSMKIAMDNKEAILGSIPAEHKEEGAKLYTSLLEEKGGFQTLLKYIKENDPDKLSIALASSLDIVAELELLQAPGLSFLLPQQYLEYPRLTGRGVVEFTVEKGDGSTFFPTGGGEPKSVATIQVIIDGYSAPLTAGNFAKMVLDGAYDGVTLKCASQAIIADNETGKNGYTVPLEVKPAGQFEPLYRTPLSIQDGELPVLPMSVYGAVAMAHSVDSDEYSSPTQFFFYLYDKRNSGLGGISFDEGQFSVFGYTTDGRDVLSQIKTGDKIRSAKLVQGRERLVLPSIAPEES >Sspon.05G0028270-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5B:65223863:65224725:-1 gene:Sspon.05G0028270-1B transcript:Sspon.05G0028270-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQSSAAAASLSYSMWHAQVLSALKGAQLTGFIKPTAKPALEYLDVALTGDKADKQVDPLLNPEHKKWVVKDQQVLSYLFSSLSKEIFSQGTSSMADYFMKMKGLTDEIASAGRRLEDEDLVSYILTALDLEFNPIFSAVTVRVEPISVAELYAQLISFEQCMEMPGGASQSSANMVAKGCRGGGNSNNSRGHDGAGSGGFGRGQKGGRGGGSGSRGFLSGVMCQLCSKEGHTVVHSFKRFDASFTGPP >Sspon.07G0012440-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7A:44240357:44240772:-1 gene:Sspon.07G0012440-1A transcript:Sspon.07G0012440-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVPSTTPVVVRQETPPPLPPPSHDGGIPTVDLSAPGGRGALSRQVARACAEHGFFRAVNHGVPPGPAARLDAAARTFFALPPHDKQRAGPPSPLGYGCRTIGFNGDAGELEYLLLHANPAAVAHRARSIDTDDPSRF >Sspon.04G0014470-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4A:54220389:54223301:1 gene:Sspon.04G0014470-1A transcript:Sspon.04G0014470-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AKCLETGETVAIKKVLQDKRYKNRELQIMRSMDHCNVVSLKHCFFSTTSRDELFLNLVMEFVPESLYRVLKHYSNMNQRMPLIYVKLYTYQIFRGLAYIHTVPGVCHRDVKPQNLLVDLLTHQVKICDFGSAKMLVKGEANISYICSRYYRAPELIFGATEYTTSIDIWSAGCVLAELLLGQPLFPGESAVDQLVEIIKVLGTPTREEIRCMNPNYTEFRFPQIKAHPWHKIFHKRMPPEAIDLASRLLQYSPNLRCTALEACAHPFFDELREPHARLPNGRPFPPLFNFKQELANATPELINRLIPDHARRHLGLTLLPTTGP >Sspon.02G0036630-3D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2D:14403138:14404079:-1 gene:Sspon.02G0036630-3D transcript:Sspon.02G0036630-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding PPPAPPPNPPPAPPPIPPPPPPPIPPPPPPPRPPPPPTPPPAPPPNPPPVPPPSPPPPPPPMPPPPPPPRPPPPPAPPPIPPPAPKPPPLPPPSPPPPPPPMPPPPPPPRPPPPPAPPPMPPPAPKPPPAPPPRPPPPPPPIPPPPPPPRPPPPPAPPPTPPPAPKPPPLPPPPPSPPPPPPPRPPPPPAPPPTPPPAPKPPPLPPPPPSPPPPPPPNPPPPPPPSPPPAPPPPPTPPPKPPPAPAPPPPPRPPPPPPPRPPPPPPPSPPPPPKPPPTPPPPPKPPPPPSPPPPPRQRPKRQSRPNGPRPKRGP >Sspon.02G0011180-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:30144836:30146207:-1 gene:Sspon.02G0011180-1A transcript:Sspon.02G0011180-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSPPLLVLLAAVWTCGAALARADPMLERFEQWMGRHGRLYADAGEKQRRLEVYRRNVELVETFNSMSNSGYKLADNKFADLTNEEFRAKMLGFDGRLTAEPGTPRRQAPWLIGSGLGRGYSELPKSVDWREKGAVAPVKNQGECGSCWAFSAVAAIEGINQIKNGKLVSLSEQELVDCDTKAVGCAGGYMSWAFEFVMKNRGLTTERNYPYQGMNGACQTPKLKESAVSISGYVNVTASSEPDLLRAAAAQPVSVAVDAGSFVWQLYGGGVFTGPCTADLNHGVTVVGYGETQADTDGDGSGVPGQKYWIVKNSWGAEWGDAGYILMQREASVASGLCGIALLPSYPVM >Sspon.02G0047390-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:8269149:8276860:-1 gene:Sspon.02G0047390-2D transcript:Sspon.02G0047390-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding TVCEEKGGRKGPHKQQSRDGDSETLTGTGRIRKRRETRPASSFTGRYHQPRAPPATALPAHHRITPRPLPCASRARARALVPSRPRSPSPRSVRTPGPARRRAGPPAVAFLRGCFSFGSAGRSRPKASPLPSPPRLTAAAAAADDGAKMKGLFKSKPRTPVDIVRQTREGLVQLDLHSGSRSGDAKREEKMAELSKNIRDMKSILYGNGESEPVTEACVQLTQEFFRENTLRLLIIHLPKLNLETRKDATQVVANLQRQQVSSKIVASEYLESNKDLLDILILGYENMDIALHYGAMLRECIRHQSIARYVLESEHMKKFFDYIQLPNFDIASDASATFKAIFFIYCGLELLTRHKATVAEFLSNNYDWLLGDMLLDRSNAAVMMRYVSSKDNLMILMNLLRLFAANKNKPPEVVNILVTNRSKLLRFLLDSRLTK >Sspon.08G0020420-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:21245900:21247686:-1 gene:Sspon.08G0020420-1B transcript:Sspon.08G0020420-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGAAAAATGGSSGGHRHMDSRIEEHGKYLSESSCCPQCGHKTDRKLDWVGLPAGVKFDPTDQELIEHLQAKVRAASAAAPSHPLIDEFIPTIEGEDGICYTHPERLPGLTKDGRSRHFFHRPSKAYTTGTRKRRKIHQPPAAEGSSSSAVPAQQQQQQRSETRWHKTGKTRPVVVAGQQRGCKKILVLYTNFGKNRKPDKTNWVMHQYHLGDKEEEREGELVVSKIFYQTQPRQCGGAAEPATAAASSDTVEEAAADPPVPEGMVAPPPDVAVAGAFHGAAGIDEFNFAQFRSSFEE >Sspon.05G0036900-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:5767686:5773318:-1 gene:Sspon.05G0036900-1P transcript:Sspon.05G0036900-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding METPQIEMGGFKVNSPQVPNGGLQPTVASSWKSRGTVERALKSIRIVVFTSKLNLLMPFGPASIILHFTTRRHGLVFLFSMLGITPLAERLGYATEQLAIYTGPTVGGLLNATFGNATEMIIAIYALKNGMIRVVQQSLLGSILSNMLLVMGCAFFAGGIVHRNKDQVFSKEEAPNEDTVEEDEEAEIGMWEAVTWLAVLTLWVSFLSEYLVNAIEGASDSLNLPVAFISVILLPIVGNAAEHASAIMFAMKDKLDITLGVAIGSSTQISMFVIPFCVVIGWVMGQKMDLNFQLFETATLFITVLVVAFMLQDGTANYLKGLMLILCYLIVAASFFVHVDPQSSDG >Sspon.02G0048510-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:25657009:25662194:1 gene:Sspon.02G0048510-1C transcript:Sspon.02G0048510-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLTLEFGGGLELLLENSTKVHKVEVTTPKDGQGKVVTPKDGQGKVTMKLLLSWVKDNLIKERPEMFVKGDSVRPGVLVLINDCDWELCGGLDAELEEKDVVVFISTLHGDGRMRPSA >Sspon.07G0032590-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7D:44067220:44067956:1 gene:Sspon.07G0032590-2D transcript:Sspon.07G0032590-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFNMSRDPLVVGHVVGDIVDPFITTASLRVFYNNKEMTNASKLKPSQVMNEPRVHISGRDMRTLYTLVMV >Sspon.05G0027900-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5B:57708353:57713478:-1 gene:Sspon.05G0027900-1B transcript:Sspon.05G0027900-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGNRQRKVNSIYQRTRPSSPDADEEPSERRRSRSSSRRSSMSPPATAREEEEEHVMPGTDEVAHGDGEGEAAAGSASSSSLSIYLRGPASLPPRPIPPHRRPLIRPEGEKGWTIVQSAGCHTRQVNGILGLLVREHFPDLVEFAGKTEPAYTFDHYTVAPDTEDLRGREFRNKAERVREELWDFFRCQVGFEDKAALVATKECKTLVKHMHYESRIQAIITYYGAYLGRKVTKKDAREMMLTKEQYMQSASHGGQECSDFMAYAMAHKGKATSDVSYNPEDPPLAYSNATVHSRLSQYIEVAKEVHGPEYDPTTANLDGEVVMRVGEGKKHGRYWIGDSTIDTAATPSLSQIRARSTRSSPAIRERPSTAQQRVDELQAQLQEERRLREEMETRMASEQQRIEAEREAERLRMEARMEAMMLYVQTLGAAVGRPPPPTLFAAPPPPHVTTPHQRGDRNREAAEMAPPSLERSSRSRTLIGASATLQRGLASVRGPRRRPHERCFTSDASSHQRDGHAEEKKARIASSDPRHSHTALLDLRFVHAPLASPPPSSSSLTREEAAPPSHLTRNASCPRQTLAEVGRHLVVWRSGKSCPRPRCTRRQQRQWCCPSHQDTTMAQANVTTPVGQPRPVRWLRRFSNKLVPLPRGASTRHRPLHLSPAPFFLSLARPELPVGPFFPPPLGESSSKEWVSKIHRDVASASPCLQTYVACHPSRDSGPLQLLGAAMGQPPWTSSCGCADPVNGRERGSFGGMWMQELRCAGREAGMRGVGR >Sspon.07G0016430-3C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7C:46314782:46314970:-1 gene:Sspon.07G0016430-3C transcript:Sspon.07G0016430-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDWGPVVIGLVLFVLLSPGLLVQLPGRHHFVEFGNLQTSAISILVHSIIYFALITLFVIVIG >Sspon.03G0026470-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:1448527:1453950:-1 gene:Sspon.03G0026470-1P transcript:Sspon.03G0026470-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MERVRRASHAGSWYTNARKLEEELNGWLEAAGLTKSPDVRAVIAPHAGYSYSGRCAAYAFGNIDPTSISRVFLLGPSHHYYTPKCALTRASVYCTPIGDLPVDQEGGHRGLSATGKFEFMDLSVDEAEHSMEMHLPYLAKVFQGHTVKVVPILVGALSSQSEAMYGQLLSKYVDDPKNFFSVSSDFCHWGSRFSYTYYEKKHGAIHKSIEALDRMGMEIIETGDPVAFKEYLQEYENTICGRHPISVFLHMLKHCSTKIKIGFVRYEQSSQCKNFRDSSVSYASAAAKVDASGEEEKQD >Sspon.01G0022840-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1A:83219905:83220153:-1 gene:Sspon.01G0022840-1A transcript:Sspon.01G0022840-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSTLPDEEQLSELELVRLGEEDSSVTLEETVDDVEEPTCGTAQGLDRLEISELNKKFDEFIRSRRIKWVKEEAYLLLCEV >Sspon.07G0031220-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:19272324:19280972:1 gene:Sspon.07G0031220-1C transcript:Sspon.07G0031220-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAGRARVVSLAAAVRVVAATARPASSAAAAAGVGAMALIVQGEDTAFGSLEWWAYAGISCFLVLFAGIMSGLTLGLMSLGLVELEILQRSGTDAEKAQAAAILPVVQKQHQLLVTLLLCNAAAMEALPIFLDRMFHPVVAVILSVTFVLAFGEVIPQAICTRYGLAVGANFVWLVRILMVICYPISYPIGKILDCALGHNESALFRRAQLKALVSIHSKEAGKGGELTHDETTIISGALDLTEKTAAEAMTPIESTFSLDVDSKLDWVPSDMPLYDILNEFQKGSSHMAAVVKAKPKNEPLPDKTEPDREAVGPAQLTVPLLSNAEESADNVVVDIERPHNRQVNGNPASNAVPRSSEDIEDGEVVGIITLEDVFEELLQEEIVDETDEYVDVHKRIRVAAAAAASSVARAPSVRRLTGQKAANLQGAQNRQGQQPPGILKKPTEGDSNPSKQVNLVEPLLEKRR >Sspon.08G0002440-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:7174629:7186788:-1 gene:Sspon.08G0002440-1A transcript:Sspon.08G0002440-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:E3 ubiquitin-protein ligase BRE1-like 1 [Source:Projected from Arabidopsis thaliana (AT2G44950) UniProtKB/Swiss-Prot;Acc:Q8RXD6] MSTCLHVQDPRNIAMSRPLDFAVLKYKNQKLAEQLEVHKFEFRALESRFNDLKEKQKTHNETLVLVKSYWERLVADLGIIPVCKSESSHSSCSTGNNNIRKDFLNGLLEAGATESSGSPNCQLGNDVSSEQSTTIDILQKLFLPSNGPWHVNNEFVSAALTKLPENEHSRQLHSATNGVLSKLHVVMCAVDNLHLKHRQLAGNYQKQRDLNAWNRAEQKRLKEELTSVVAKLEGSKQKLAVLKAQGDNKQATPILVPTLGNKNMTAEKVRDKQTELQDLEATHKELMQELISKRLEEIRRLHTERIEILNKLAAFQVEMDTFVWRERQFNQKVDLAEIPQKVSAYCVSRIADLEKDVQKLCNEKNMLVLKLEEASREPGRNQVISEFKALVSSLPREMGAVQSELSKHKDVSLQLHSLRAEVHSLSSIRTRKEQEIEETSCRSAHAGSDISQLQSLVRELRENTQELKLFVELYKHESTDSSKVIPSAGFLWLRDLVKQSDILKSKHEECEAYVVEIEVWTIFHLFMEGVKAKQSHDALHLEVCSLQRNLRHASTLMDLCNQKIIRLEDQLRGWSERLRRLSEDGMQQSISLGNSQRKLAGMHGEAPKLRQSMDVLQAKVGSNRLEVAELLIELEKERFSKKRIEDDLDLMSSKANSLREKTDNSAVLQKLLHEAKEYRGILKCGICHDRQKEVVIAKCYHLFCNQCIQKSLGSRQKRCPSCGLSFGVNDVKPIYI >Sspon.06G0001730-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:3036501:3037570:-1 gene:Sspon.06G0001730-3D transcript:Sspon.06G0001730-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSAGPPPPPWSRMEGQVVLVTGASSGIGRDFCLDLARAGCRVVAAARRADRLRSLCDEINASAAAEAPRAVAVELDVAAGGSVLEAAVQRAWDAFGRIDALINNAGIRGGVHSPLDWPEDEWDRVIKTNLTGSWLVAKHVCRRMRDAKLNGSVVNITSIAGLNRGHLPGSTGYASSKAAVHYATKIMALELGAHGIRVNSIAPGLFKSEITAPLFQKKWLSTVASKIVPLKEHGSTDPALTSLVRFLIHEASSYVTGNIFIVDS >Sspon.04G0006110-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:18208651:18214212:-1 gene:Sspon.04G0006110-1P transcript:Sspon.04G0006110-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MACAGAARKVSAVLYHYPCPDGAFAALAAHLYFSAAALPVRFFPNTVYDPIRSDSLPLEEIKDVYLLDFVGPPGFVEDISTKVERVTILDHHKTAFESLCGNATLGKNVTKVIDMQRSGATIAFDFFRNKLLTEVSTLWGKESGKDVAEVKYVPDNKVETVHKLFKFIEDADLWRWKIPNSKAFSSGLKDLDIEFNVNANSKLFDQLLELDPERVVSHGQATLLEKQRLIDDCLEKSYEIALGRGQFGNCLAVDADAISNLRSELGNQLASKSRDLNLRQVFSPPIDICIRTQVFSCIASYSIFTSTLFGLRYIIVSMMGIGAVVYKVPELKNEQMLKISLRSLEQEDTTCISQEYGGGGHRNASSFMLSVTEFDWWKVGAGASRPKGCRCARQRLRATADG >Sspon.01G0046740-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:89430080:89433827:1 gene:Sspon.01G0046740-3D transcript:Sspon.01G0046740-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLAPLSLDPAPFPLIRPAAGCGGRVLPVPGPAPRLCRPLRAAPVAPATTDEPSAAARGRLESLSQVAGVLGTQWGDEGKGKLVDILAQRFDVVARCQGGANAGHTIYNSEGKKFALHLVPSGILNENTQCVIGNGAVVHLPGFFKEIDGLESNGISCEGRLLVSDRAHLLFDLHQVVDGLREVELGNSLIGTTKRGIGPCYSNKVTRNGLRVSDLRHMDTFGAKLNNLLRDAALRFKDFEYDSKILKEEVEKYKRFAERLEPFITDTVHFINQSILQKKKILVEGGQATMLDIDFGTYPFVTSSSPSAGGICTGLGIAPRSLGDIIGVVKAYTTRVGSGPFPTELLGKTGDLLRASGMEFGTTTGRPRRCGWLDIVALKYCCQINGFSSLNLTKLDVLTGLKEIKLGTSYYTDDGNTVQSFPADLDLLEQIKVKYEALPGWEEDISSIRDYSDLPETARRYVERIEELVGIPVHYIGVGPGRDALIYK >Sspon.02G0023090-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:80616139:80623211:1 gene:Sspon.02G0023090-2C transcript:Sspon.02G0023090-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFAEVNNAGASLESLAKGAVCFSHKFTLPELQDRWYSLLYDSETSAQASARMAKFETELSASNPAKASKLFNPKAKCFSLYKRKIDSVKNHYYAMRKRIRHEPCLSADFCYSIAPCSCNPVGGGGCACGDHHLLHKVDPSGAVVGGCGSKRKHVHSNGSGQYSFHAEHSNSNGSMVIDGNTNIESPHVCSDVDKLFGCEDMQKNSQTSGSNVISTNNWSDVTDQFDRGAKGSKALLGIHQDGINLDQSSGNTTGRFLGPGAFKAISQKWCLREPRVPTWSKVLGVNSSDMLTDMHKIEGETLTLFYDKKMETNSIDALAFQENLDSVVCDSGLGSAMAPEGGFMHSHLKGFSQKEDLELLSSDLFLDCALETNKEDLGPRPPDAINCDDHIDPIQKKPYVADVSGVDSIPASSEVLYPEHDLKCMLNKEDSEIPINDGIPIPGQTSLEPTSTFDQDAQHDAHSVAILLNMENGQPSSPPPPVNLESAILKQNANMVPLKEGCAVGSELPPGLQGNFGGNNANMCISALHSVDGGEETTCGFIKHESCYDVQNLILDKSIQVSNQMNCKLLAHKPGIGCETAIQSCELASALPGTEFHDPVPTISSTGQAEGSDSEISVPNYFDLEALILDLDLIPWDLESDFIQPEVSRFQYPESRKDLIRLEKGSCSYMNRSIMSKGAFAILYGQHMKYYIREPEICHVQRGKEYPMGVFPVVKIEVSLGRETEEVHVDIDLSKEGKANKISRRQAVIKMDNDGSFYINNTGKCSIFVNGKEVPCSKRINIMSDSLIEIRNLKFIFHVNHEAARKYVVRKRRGSSQGENTAFDWSQNP >Sspon.02G0013460-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:35788523:35790712:1 gene:Sspon.02G0013460-1A transcript:Sspon.02G0013460-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GHVQRPTVVWRGRGLAAAAFERQAVVVEDPEPDDKKAQAHPKAPPHHFHPGGGGFGGARPPAARPRAPARLPAPAPPPPRRRRRGRPPPRPPRGVRGRRARRRRGGRAPAPRTPAPAPLPRLRLRPVVHRGRRRQAAEAGGQGRRRAARPRRARGRRVLQQWGLLRGRVPQGPLQRQRRLQLLRQGQVRGRLGRRQVRRLRHRELGAGQPLPRPVPPGPPPRPRRLPLLQRRLLRGRVGRRPEPRHRRADLLRRELLRRGIQVRRQARPRELPFQ >Sspon.07G0033280-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7C:59177048:59177980:-1 gene:Sspon.07G0033280-1C transcript:Sspon.07G0033280-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHNKDANIYHAPVGGNGYGGTVNMGPTAPAQAKPESPIVTQHAEQYTSSYTAYPAPHRRPPAPYMRPQKPPPYYGGGDGYWGEAAGAYGGGYYGYRPPQPPPYGGGGGGYGYCPPSEFGWGAGGGGYSYYDGGSGGGGYGGGDGYGGGGYGGGGRYGGGGGGGYGGGGYGGGDGYGGGGYGGGGYGGGGRYGGGSGGYGRPPPQVGTGWLAATGAAAYGVYQHHMRKHHQGQGQGQGHGHGHGGDGCSCGGGCSHGSCHGEFEHEHHIKFKHSTAQHDGKCSENKDGPQAPHVTVLAETKRATEVVQA >Sspon.05G0033880-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:50527310:50528919:-1 gene:Sspon.05G0033880-1P transcript:Sspon.05G0033880-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGASRRSGNGIHRLPPSPPGLPVIGHLHLLRSNPHLSLTDIAGRHGADDGFMLLRLGQVPNLVVSSPRAAEAVLRAHDHTFASRPPSAVSDIVFSYSDVALAPYGDYWRLVRRLVTTHVLSASKVQSLRRGRQEEVALVVAKIRDAAAARAAPVDMTEVFCVFTNDMVCRAVSGKFFRRLEGRHRAFQEIINSQVILIAGFNLDDCFPWLAKVGGVFARLLFAKAFTLKKQWDVLLDEIITEHAAKLEEERHDKVSDDAGQSQEEDADFVHVLLSLQQEYRLTRQQVKSILVDMFGAGTDTSSILLEYAMIELIRNPHIMAKVRDEITKNTPKGQEMVKEENLTNMSYLRAIIKETLRLYPPTPLLLPHLCMEDCEVNGYTIAAGTRVIVNAWALGRDASAWERADGFIPERFLDSGSSAATDFRGRDFKFVPFGAGRRMCPGINFGMAVVELMLANLLYCFRWELPAGMAPEDVDMSVKYGLTSRRKEKLLLVPRLP >Sspon.06G0006340-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6A:22552505:22553633:1 gene:Sspon.06G0006340-1A transcript:Sspon.06G0006340-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIAKVDLRGVVPGGAGWEAARAAVTASMVAHGCVVVAHDALGADLRRALFSRALPELFALPLEVKQRTVSDKGQFRGYISQVPGTAWESLRVGEPTDAASVRGFAEILWPEGNPEFCETIVSFAKNMMKLEETVETLVLEGLGARAESIGAHFGLLGHNFRLSHYGVPPDTESSMSLQPHYDSIVMTAIVQHEVEGLEVHVDGRWVAVPAETGTFTLVAGEQFRVVTNGRVPACLHRVRTPSNRERFSVLFARRQKDGIVVRALEDLVDAEHPLVYNPLRHEEFSDWRYSEEGLKFSDPLKAFCG >Sspon.04G0023360-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:12337585:12339125:1 gene:Sspon.04G0023360-1B transcript:Sspon.04G0023360-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGIFSRFSAGAHRRSKSVASGGIIDWLKPSVRSNPSEFVQLDLAVIKSCLIYGNSALILQEVVETLAPTVLRSDPAAVPAESPHGIEVGVEFKPVEHPVEPLNLDEPVKCPLPEPSILHDGRIWKEKMSSVSTKVRTDLPVVKEGSQLEPDSSSARSRSAVPRRAILPSVSAPEHNILALLEECDVSGSRGSAE >Sspon.05G0000530-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:1442514:1445155:1 gene:Sspon.05G0000530-2D transcript:Sspon.05G0000530-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDWQELGQAAAIGLLFAFLVAKLISTVIAFKEDNLRITRSPPSSPTAAPDTPFPAAPPLPAHDGSTDGGSGSDSDWEGVESTELDEEFSAASAFVATSAASGTSVPEEAQLRLYGLYKIATEGPCTAPQPSALKLKARAKWNAWHKLGAKPTEEAMQEYITIVQELFPNWDAGASAKRKDEDNIASASASKGPMGPVFSSLMYEEDEGNDSELGDIHVSAREGATDDIVKLLAAGVEVNVRDTEGRTPLHWAVDRGHLSAVEILAKANADLNAKDNEGQTALHYAAVCEREDIAELLVKHHADLEIKDEDGNTAQDLCPSSWSFMNQAN >Sspon.08G0027880-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:3518445:3519938:1 gene:Sspon.08G0027880-1D transcript:Sspon.08G0027880-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTAAGYGYGCCSAGLLTFALFPVILSTRRSPPRLLLEAQGAGTAVESFVPSKKACFLLGIPTSFSKP >Sspon.02G0019860-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:64836613:64841676:1 gene:Sspon.02G0019860-2B transcript:Sspon.02G0019860-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAKPSEEKGEQRTQRGKARKQGGTPRLGATDKSEEQRRRFLGEGEVRRAGTDAAMAGVDAARYAHSPAHHAVAARDHAALRRVLDALPRARRPEEIRMEADSVAEEARAEAVSAVIDRRDVPGRETPLHLAVRLCDATAVEMLMAAGADWSLQNEQGWSALQEAICAREEALARVIVRHYQPLAWAKWCRRLPRVVAAMRRMRDFYMEITFHFESSVIPFISRIAPSDTYRVWKRGANLRADMTLAGFDGFKIQRSDQTILFLGEGSEDGKVPPGSLCMINHKDKEIMNALEGAGAPASEAEVQQEVTAMSQTNIFRPGIDVTQAVLLPQLTWRRQERTEVVGPWKAKVYDMNHVVVSVKSRRVPGAMTDEEFFSSCNDNDTESEGFDDVLTEEEKKQLEAALKMDSPDAGGESNSFAGPRHSCVEPREREIPIEDVSVSGVGESKHDKKGWFSNWGKRSQVSKPEGVKKMAPPRSSLCVDEKVSDLLIESPSNVPTRPGRHSVDVVRADDNRRIRERDSRRHVASAENGHRRKEGSKESEYKKGLRPVLWLSPNFPLRTEELLPLLDILANKVKAIRRLRDLLTTKLPPGTFPVKVAIPVVPTIRVLVIFTKFEELQPLEEFTTPPSSPDNSKSPAVQPSSSSWIQWIKAPYRQNFSTAPGPSSRVEDIQDPFAIPSDYVWTTPEEKKKKTQENKNKSKKGRNGA >Sspon.04G0013580-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:48317094:48327647:-1 gene:Sspon.04G0013580-2B transcript:Sspon.04G0013580-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPPPAGPILSLSLFLFLFTAAAALSAAACEEEKFTEELLLRPLPDRKALAHFYFRSSAPPAASVGRHHHLFPKAISQLRVGAIFHPEQWGGFDPMSTNNAKPPGVELWAVFDLPLSEIDATWKKLTHALSGLFCASVNFLESSTAFSAPRWGFKLNEGNLRYGALPREAVCTENLTPWLKLLPCRDKAGIASLLYRPSIYKGYYHWQKLKLRSSKSLGIILDQTLTVVLQPNTVSGEQLHSNHGQLQPNWHETSFQQKGNALFLNPAEYSLRLIKALLTNPDKLIKGQNHIEIQSSFLYEYDASNYSEEKPLDVGITWKLPLIWTCTPSPFHASRFLMGSGNERGSIALSFMSTNLHKQISGSPTDCSIKAVIFQVVPWYVMVYYHSLEIFIDGSRKTVSEVVDKIHVTPSEDKLLPGTLEMLLRFPCSMQSDTLTLDFDKGFLHIDEYPPDANQGFDIPSALVSFPEFGSARSYPEIDPVLGFPLLENFQEDSVVKSYTEALAHFYFRSSAPPAASVGRHHHLFPKAISQLWGGFDPMSTNNAKPPGVELWAVFDLPLSEIDATWKKLTHALSGLFCASVNFLESSTAFSAPRWGFKLNEGNLRYGALPREAVCTENLTPWLKLLPCRDKAGIASLLYRPSIYKGYYHWQKLKLRSSKSLGIILDQTLTVVLQPNTVSGEQLHSNHGQLQPNWHETSFQQKGNALFLNPAEYSLRLIKALLTNPDKLIKGQNHIEIQSSFLYEYDASNYSEEKPLDVGITWKLPLIWTCTPSPFHASRFLMGSGNERGSIALSFMSTNLHKQISGSPTDCSIKAVIFQVVPWYVMVYYHSLEIFIDGSRKTVSEVVDKIHVTPSEDKLLPGTLEMLLRFPCSMQSDTLTLDFDKGFLHIDEYPPDANQGFDIPSALVSFPEFGSARSYPEIDPVLGFPLLENFQEDSVVKSYTEVLLVPLTTPDFSMPYNVITFTCTVLALYFGSLLNALRQRIGKEERELNKTDTTPAFFSRTTMPALRERIREKVVSSKFDVKKWNAASDVERIE >Sspon.08G0010200-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:44855556:44860529:-1 gene:Sspon.08G0010200-1A transcript:Sspon.08G0010200-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAKKKRVAIVGAGPSGLAACKHALAKGFCPEVFESGTAVGGVWNRTLASTRLQTPASAYRFSDFPWPASAADEGLFPRHDQVAEYMAAYARRFGVLERVRFGCRVLGASYVGATEQEVAAWERWSGNGEAFGDGTGEWHLTVRHGDGDGDGDAESEGGSTQTYRFDFLILCVGRYGVAKLPTFPDGRGPEVFRGRVLHSMEYSAMAHADAAELVRGKRVAVVGAGKSAMDIAAQCAEANGSRYPCTMVYRSAHWMMDPKLAITFTSARWTELMVHKPGEGFALSLLATALTPLRWLISKLAEVYYKRSIPMQEHGMVPDGSFSQGSLGWRIGIHPEGFYDRVDDGSVALRRCRGSVGFCADGLVVLDDDDDVVVGADVVILSTGFHIDRPLRDVFASPWFGEMVAPDDGGAAAVLPLYRHCVHPRVPQMAVVGYVESGSSIYPYEMMAKWVAHLLDGAVRLPPVRDMERDVAEWARWGRWARRACGGFFLKSCIASVSTWYHDQLCRDMGHRPRRKAGLLAEWLHPYGPTDYAGI >Sspon.02G0007750-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:22631118:22634795:-1 gene:Sspon.02G0007750-1A transcript:Sspon.02G0007750-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTALRLTALKEACAQTPSLPHISRPVHGEIGLTAAPMADSAPWHGGDRVVCTQQRRVQRLQQHKSEHIGHSYGMVVLGEGGMEGEMEHMTAVTNGLADGRIQKGLEDPPLRGSGAPPPLQKPPRLVFQTREKRERPEAEREAISSPGQPTDRPWTAVRRSPCPRGAPPTPRAGLLRANASRYDRRLIPLPHPPPLRSMKKAAAAAPMRASVCAIPSPVRFEPSPMRASISALPSPIRAEPIPMRASMTSALQPPRRVKLDLTAATRAAAAEKENFLPASPPPARAGFEKENLLPAGADAHDELVALNLAAIAAGTPAGGPLFVRGRLYDAYSARRNERLKRKQGFPYYCEEFAPAASVDAEAMAKDPCVAVELSKRRVAKKAYTASGAESVRRSAPAVDFAAGRGGGLGPRSSLRSSKEMKKASAASGAVSVAIKERRVTPRSSARRF >Sspon.02G0029280-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:98533507:98536544:1 gene:Sspon.02G0029280-1T transcript:Sspon.02G0029280-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRDEEMGHGDRSLLFIGDEDDDLVADRDGGSPPTSSDEGSFSDRSDDEARAGRGGRRGGGDERDDDTPDDGPKGAWPQSYRQSIDMMSAVPSPRVNTLMAASPSLTRFGSSFLKAGSSFFLRKGDGSGLPLTRPLLPPSLSQLSQSSLHPQQQPPVKQSTDGLAQPPRPPAGHEAELPERPSRACLKSDYIELPPPASKCSRSQSIINGFNVLCGVGILTTAYGIKEGGWLSLLLLPLLGGSSCYTGLLLKRCIDSSPNIETYPDIGQVAFGIFGRIFVSVVLYLELYASCVEYITLLGDSLSSVFPSAHLAFTSIDLNAHNLFAITMALAILPSVWLRNLSLLSYLSAGGVIATITVIVCLFWVGIGEGIGFHPSGALVNVTHLPVALGLYGYCYSGHSVFPNIYSSMKERSQFPFVLLFCFTVVTLVYAGVAVSGFLMFGESTMSQFTLNLPQQYIPSKIAIWMTVVNPYTKYALTMTPVALSIEEALPKKMQSYLVGMSVRTCLVFSTVAALILPCACYLSIKKDAVPLWEIILCIIIIMIGVVCACIGSYTSINRMISSR >Sspon.06G0032320-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:83250200:83260237:1 gene:Sspon.06G0032320-1C transcript:Sspon.06G0032320-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPARKARKGARKAAPASGSDRIGALPDETLHHVLSFLPAQQAVRTCVLARRWLHLWKSATGLRIVGADGEAPVPFEEVREFVDSLLLLRGSSPLETFELRVAGDDIDVRRVRLWVRYAVQCKVQVLRLSFLGNTHVGPVARLRPDDPPLASRHLTKLELRVRGLVFNDDFLDFSRCPELQDLHIQDCSLEHAERISSLSLRHLNIRGAFNPITFGRTPVLQKMPLLVKGTVGIRGLCEDLCSSPAPNYVSYVDCDKSCRGCIRDGSACVILRAVSQAEDLVLIASPDTFIFRRDLKGCPTFNRLKSLSLDENWCVPDEYPLACILEHSPVLEKLSFILYFKSIDARRLLDGMPPAPGQPAKRAVPPVAGGGGSIESLPDGVLEHILGFLRSAEAVQQTSELRSLVNHLLVLRQGSPLEACDFTIGDFSGGDDVRHVNLWFRQAVICRARRLRICILGDWLELDNLPLVSEHLTKLQLDGVRVHNSLLNFSRCPALEYLEIECCNLSLVTKILSDSIKYLRINDSVLNSDSRIHIYAPNLASLRLVYLRERTPMLYSMPSLVEAVVIIDEACTDHCNGANYETCDCEACDNSDNMADGSSNSILLKGVSEVKNLALISRSEMFIFKRDLRWCPVFQKLKTLVLNDYWCVPNDFRALACILEHSPVLEKLTLQLCSEVPQPKVEMKLCQFDKEISCNFRIP >Sspon.04G0002450-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:7428843:7431040:1 gene:Sspon.04G0002450-1A transcript:Sspon.04G0002450-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIRRRPPGQPIAYLLPSDPYPAPPSSPPPASRDHQERPPPPPGPGDKQQGEGELHLHPATNDSAADLGDARSSSSPLRRPALPPQDGAVERSSGLLGAQQQQQGPADGRSSLENNGLHPHVPEPVTIQAGELLSNNGVGAAAVVTTTTTTGAKATKQKQQVTENTSGGVKRAPPSPSKLMAGSRCSRKNGRGWRCSQPTLKGYALCQYHLGKGRMKNGSTATGGRGPGQLGRTEHAKKTSPAAVAPVTAAATGGRGPGQLGRTEHAKKTSATAVAPATAAAPKEDVPPPPPSVQHC >Sspon.08G0012900-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:52919237:52927776:-1 gene:Sspon.08G0012900-2C transcript:Sspon.08G0012900-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPPLSSAPSRLLPRRTVASATAATASSPSPALSPAGGHGHRPLRYAVLGAGFAGLSVAPRDSRVSVDIYDENGVGGGASGVSGGLLHPYSPKGPSSIVSTKPSESHWFRTPPMATQRMTWHPAYFISVMRLNSLLTTVKLLWRGAEFWKESMDLLRSAEQANRTMGSDTTNEDDNLIWRRQCIHYIFAATSCLESCSLQLLDSDAAQRLIPGLCTPFDFAVYMPLALNINPKKYLQVLHCFLLSVIVTCFAILCSLYLDKQTYFFLGFVQALFSACQNLADEASSLQSEQKEFKLYKQHVDNLHHLAGNYDSVIICLGAKAHSLPELANKLPLRTCRGVIAEFQLPSNTVEEYSSQSPSILSDAWLAFQGPRTVSIGSTWQWKSENDSSTVSDEESLTAMEELLPKASGVYPRINKWDFVRARAGIRAMPPLTANGSLPLLGCLDNLLGKKSNCTFWLVGGLGARGLLYHGLVGKLTAKAVISCDENIIPSEFTCWNVIKP >Sspon.01G0009280-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:94377363:94381372:-1 gene:Sspon.01G0009280-2B transcript:Sspon.01G0009280-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding TTDNYLAEQLAQGGTAVGTGLNTKKGFDVKIAAAVAEETNLPFVTAENKFEALAAHDAFVESSGAVNTISASLMKIANDIRLLGSGPQCGLGELILPENEPGSSIMPGKVNPTQCEALTMVCAQVMGNHVGVTVGGANGHFELNVFKPMIAAGLLRKIGYDNAAAVAKKAHKEGTTLKKAALDLGVLTEQEFHELVVPEKMIGPSD >Sspon.07G0000430-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:517070:532336:-1 gene:Sspon.07G0000430-3C transcript:Sspon.07G0000430-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTGEILRAELSSRTPPFGLRLWIVIGICIWVAILFILGFMCFWSIHRRKSSKSSFDSTNTIPVSQIPDVSKEIAVDEVREHAAVQSFHVHESRSLAVAVQEKHCEKDSGKMLGTWGQAEKEFRVEVEAIGHVRHKNLVRLLGYCVEGIHRMLVYEYVNNGNLEQWLHGAMRQHGVLTWEARMKVILGIAKALAYLHEAIEPKVVHRDIKSSNILIDEEFNGKLSDFGLAKLLGAGKSHITTRVMGTFGYVAPEYANTGLLNEKSDVYSFGVLLLEAVTGRDPVDYGRPANEVHLVEWLKMMVGTRRAEEVVDPDMELKPAIRALKRALLVALRCVDPDAEKRPTMGQVVRMLEAEDVPSREMSTTGEILRAELSSRTPPFGLRLWIVIGICIWVAILFILGFMCFWSIHRRKSSKSSFDSTNTIPVSQIPDVSKEIAVDEVREHAAVQSFHVHESRSLAVAVQEKHCEKDSGKMLGHLVRSKSSDADNLSQCSSAYQCERAASSYSGDEGNSGNAIARRQYSQYPTVSASPLVGLPEFSHLGWGHWFTLRDLEHATNRFSKENVIGEGGYGIVYRGRLINGTDVAIKKLLNNMMLVYEYVNNGNLEQWLHGAMRQHGVLTWEARMKVILGIAKAYVAPEYANTGLLNEKSDVYSFGVLLLEAVTGRDPVDYGRPANEVHLVEWLKMMVGTRRAEEVVDPDMELKPAIRALKRALLVALRCVDPDAEKRPTMGQVVRMLEAEDVPSRE >Sspon.05G0031710-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:8007606:8009911:-1 gene:Sspon.05G0031710-1C transcript:Sspon.05G0031710-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKRAGERETEEQEKAAAGTPDTKKRRSGSPTTDAAAVLCDDVVGNILARVPARTAVASMALSRRHRRLIRSPEFRSLHRCLARTSPTVRARPAGKDEVPASAFQGFHVAGAGGFNGNDPMPMRALAGPRFSDVGYVNTCNGIVLLAGDKYCARSRCILWNPAVADVVAEVSVPGADADASTHVKEYRALGLGYSQRKLPAYFSLVTYALADLGKQPTQIELPAVRGNVAISQHSLYMDGKIYLLLSHSKTNPAAILAFDVDDETVTSIDLPIERDPLGYTSGLMELSGRPCVVTDYGGGCALWLLSQRRCLIGEPRSGHRIYPDSIVGAWDCGGLLVLCYRDDLYNADRLFLHDLTTGKMFMANLESVVTLKWSESSNLAFCWGYRPTLEAPSSVVGKLKQDKDGCLECWTYIMKALKPVAGQDRKKGQEATLNTVCFMDFLVRIMQKLPEDMQQTKE >Sspon.02G0044270-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:105358964:105360818:1 gene:Sspon.02G0044270-3D transcript:Sspon.02G0044270-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQCPCFGGSVQAAEQERRAEADRRESQDARAKAAEAAQRRQAEYEKSAAGRAAKAQMKAMKESKTSNQGGEPVLKWQMGS >Sspon.02G0035010-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:18178944:18180945:-1 gene:Sspon.02G0035010-2C transcript:Sspon.02G0035010-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GHQSDAYEKEDPALAASQGKHWGIFICWLLGNGCLFGFNSLLTIEDYYTYLFPVRYACGVKYPPTRVVTLTYQPFVLATTAIFTYHEAKVNTRLRNLAGYTLFFLSSFAAIILDIATSGRGGIGPFVGICIIAAAFGVADGHVQGGMTGDLSLMCPEFIQSFFAGLAALGAITSALRLVTKAAFENSRDGLRKGAMLFSSISCFFELLCVLLYAFVFPKLPIVKFYRSKAASEGSLTVAADLAAGGVENSQNPMSKEDPACMERLSTKQLLLQNIDYALDMFLIYILTLSIFPGFLAEDTGSHSLGTWYALILIASYNVWDLIGRYIPLIEQIKLRSRKGLLIAVVSRLLLTAKYGDQGWMIMLTSFLGLSNGYLTVCVLTEAPKGYKGPEQNALGNLLVLCLLGGIFCGAVLDWVWLIGKGW >Sspon.03G0002490-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3A:6140996:6141952:1 gene:Sspon.03G0002490-1A transcript:Sspon.03G0002490-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAWRPVMLAPTAPCFWQQDTHSVFWRRGDAWTAEENKQFEKALAQIDRNAPDRWEKVAEMLPRKTADDVRNHYHDLENDVGFIEAGLVPFPHYSSSVPSSGFTLEDWDGGDGAFRRGYCLKRARGRTRSGRRVSPGRRRSTGDWRNISRKYVTTRTPTQVASHAQKYFIRLNSGGKDKRRSSIHDITTVNLPDEDRSNAPPSPPSAVTTAAATNPSVAQLVDVKPFMAPLPAGALIGVSHPYTNVKLEPKSSLVAGLGLGFDDS >Sspon.02G0003520-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:11307498:11308418:1 gene:Sspon.02G0003520-1A transcript:Sspon.02G0003520-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHLGWSLTSVGDEFLMDLVWTDRVVTLEFPPEPQFTGCLFCVRFCYSDHSLGNRSIVSVEVSKLDLSARAWAKVGTLDGRAFLLVHPQFGASLDPHEAGSGLKGDCIYYCMPDDDALHVYDIGRGTTSLRNPGPYIGDHCSTKGM >Sspon.05G0010660-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:30099063:30104267:-1 gene:Sspon.05G0010660-1A transcript:Sspon.05G0010660-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGSGSAAIFPNTRTPEDVFTDFRARRAGILKALTTDVEKFYKLCDPEKENLCLYGLSNETWEVTVPAEEVPPELPEPALGINFARDGMPEKDWLSLVAVHSDAWLIAVAFYFGARFGFDKDTRRRLYTMINNHPTVFEVVTGSGKKQPKAPSSNGKTKSSSKKPNSNSKPAKQPPPKQEQQIIKEDGGDKDQAYLYSNEEFWIGCDICEKWYHGDCVRITPAKADYIKQYKGAESEHGSPWPLADVVRILSNSTGEGWRFLLFRQHLGHHGQARSVHEVYVERLCAAKKQPNNACLQPVLTDTSNQTQGPLHAFALAVESLVPCCEPGSVPTDYVGTPDVVRSADVSCQQFRRLPLGRRLAG >Sspon.01G0022100-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:78779637:78780550:1 gene:Sspon.01G0022100-2D transcript:Sspon.01G0022100-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding HGGGAGGGRRAGHSGLAGAPGGRVLPEDGGRRRRPRQEEQQQGRCSQRRRWRRGQPLEQQQEARQEEEGCRSRQWVLLAEDVLVGGGRRGPRQRPEGAALQGPASGLPAQRFL >Sspon.07G0016160-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:54578078:54580685:-1 gene:Sspon.07G0016160-4D transcript:Sspon.07G0016160-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMVPLDPSSKPTSQRGIEEGDTVVVYERHDAMRAVAVRAGGVLQNRFGVFRHDDWIGRPFGSKVFSCGGGSNKGGGGGGKAKAGGGGFVHLLAPTPELWTLVLSHRTQILYIADISLVVAYLELVPGCVVLESGTGSGSLTTSLARAVAPHGHVHTFDFHEQRAASTREDFEKNGLSSLITVNVRDVQGEGFPEEHCGAADAVFLDLPQPWLAIPSVGLMLKKDGVLCSFSPCIEQVQRACEAMKTCFTDIRTFEILLRTYEVRDVSLKSVTSDEACVGPLPQKRRMLTTPGENTECPQRISSILVRPCSSAKGHTGYLTFARLCV >Sspon.01G0038660-2D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1D:34049652:34051661:1 gene:Sspon.01G0038660-2D transcript:Sspon.01G0038660-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSVAPHAAVLGLLLLAGLAAAQRGTTPTPAAAAAPAPDPGCNGIQLTYNFGDRTKIRPFVSDKNKQPYAFRANVTVVNGGTRPLKSWAVLVTFGYDEILVGVDGAVLTGGGDLPYNTTEDAGNATSFSGYPQTDLLTPIATAGDLSQIQASVGIVGTLFAGPGFDPLPTALSLDDPAYACPAATNLTSKVLSTCCILTPEAEANATVIDANATDPTKNFLPRGTGDLVITYDVLQAYPSSYLALVTLENNAKLGRLDNWRLSWEWRRGEFIYSMKGAHPSEVDTSGCIYGAPGQYYQSLDFSQVLNCDRKPVILDLPLSRYNDTQIGKIDNCCRNGTILPKSMDEAQSKSAFQMQVFKMPPDLNRTKLFPPANFKISGASSLNPDYTCGQPVPVSPTAFPDPSGLDSTTLAVATWQVVCNITTTKGAKPKCCVTFSAYYNDSVIPCNTCACGCPANRRGPTCSTTAQSMLLPPEALLMPFDNRSQKALAWAELKHYNVPRPMPCGDFCGVSINWHVSTDYNKGWSARVTLFNWEDVDMANWFAAIVMDKAYDGFEKAYSFNATAVGKNTIFMQGMEGLNYLVKQTNMSGIDYLVPGKQQSVLSFTKKLTPGINVVAGDGFPTKVFFNGDECAMPQRIPMSSGFGNRLSSGLALVLSLAASAFLLLQQ >Sspon.06G0004340-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:10361836:10364743:1 gene:Sspon.06G0004340-3D transcript:Sspon.06G0004340-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARGINQLLKRTLHNQSAGSSLLSSFRGKHEESSAGLRALALLGVGASGLLSFATIASADEAEHGLAAPDYPWPHAGIMSSYDHASIRRGHQVYTQVCASCHSMSLISYRDLVGVAYTEEETKAMAAEIEVVDGPNDEGEMFTRPGKLSDRFPQPYANEQAARFANGGAYPPDLSLITKARHSGQNYVFALLTGYRDPPAGVQIREGLHYNPYFPGGAIAMPKMLNDGAVEYEDGTPATEAQMGKDVVSFLSWAAEPEMEERKLMGVKWIFLLSLALLQAAYYRRMKWSVLKSRKLVLDVVN >Sspon.01G0056530-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:90628589:90630140:-1 gene:Sspon.01G0056530-1C transcript:Sspon.01G0056530-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAHVLVVPCPVQGHINPMVQFAKRLASKGVVTTLVTTRFIQRTSGVDAHPAMVEAISDGHDEGGFASAAGVGEYLEKQAVAASASLASLIEARASSADAFTCVVYDSYEDWVPPVARRMGLSAVPFSTQSCAVSAVYYHFSQGRLAVPPPPTPAADGGDGGATAARSEAFLGLPKMERSEFPSFVFDHGPYPMIAKQALKQFAHEGKDDWVLAGLTNYMKARAIGPCMPLPAPETTGATGRRITYGANLVNPEDACTKWLDAKPHRSVAYVSFGSFASLDAAQTEELACGLLAAGKPFLWVVRATDEHQVPRHLLDVATASGAAMVVPWCPQLDVLAHPAVGCFVTHCGWNSTLEALSFGVPMVAMALWTDQPTNARNVELAWRAGVRARRDAGAGMFLRGEVERCVRAVMDDGQEASAVRNAAGTWKDKAREAVAPGGSSDRNLDEFVQSAGAGFEGR >Sspon.05G0017410-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:72978257:72989169:-1 gene:Sspon.05G0017410-1A transcript:Sspon.05G0017410-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAVACAERATNDMLIGPDWAVNIELCDIINMDPGQAKDTLKLLKKRLGSKNSKVQILTLYVLETLSKNCGDIVHQQIIERDILSEMVKIVKKKPDLSVREKILSLIDTWQVAFGGPSGKYRQYHAAYQELRAAGVDFPPREENSVPLFTPPQTQPLRHPHLYPPPGQSYEDAAIQASLQSAPPAPPLSLSEIQSARGIVDVLDEMLNALDHRHPEGVREEVIVDLVGQCRSYQSRVMDLVNSTGDESLLFQALGLNDELQRVVQRHDDIAKGIPPGTGAPVPASANVNQGTAPPRSTAVSFSPLLNVHEDDEPEDEFSVLSRRSARDGAVAQNNLPSAPRNERPSYPSPLLPPPPASKRPVYTEASGIDYLSGDSYKSEKVSDDFINPTAPANISTSSNSKPEAYPPPSYGSSRPDSVSDDFINPTAPSFSAPSRPTNEEPIRSSVKQQESLPDDDFINPTALPGFSSSSATKESSEDLPKAPWESQAAAAGALPPPPARYGQRQQYFEQNVYSGGSNRGGYDGLLTQTENLSLNPRSSENEKSASRPTASRQAKPEDSLFKDLVDFAKTNPSSPSKPANSRRTR >Sspon.01G0004290-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:10802814:10805973:-1 gene:Sspon.01G0004290-1P transcript:Sspon.01G0004290-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:E3 ubiquitin-protein ligase SPL2 [Source:Projected from Arabidopsis thaliana (AT1G54150) UniProtKB/Swiss-Prot;Acc:Q9SYH3] MSARDRETAEALVRLAASLDGAVLGLGTAAVAVASWVKYLAVSGQLRLVASAATASVADARSLLPDDGDESRIAAVRGYVRTQGSFLRAPFSGEAGVVVKHTQMCLFTEWRGIFGWTFDLHALLFRSWKEQIVTSFRSVPFVLVSTELGNSTGVVHVNVDEADYQPLPLTTVFHKLIPLETTPYTLFQTIIGNGYPIALLDEEKILPIGKKITAIGLCRAKNAESVEITSCPEIPFFLSELTKDEMQAQLASRARILFWGSIVLGTLSVCLVGHAIYRGWKRIKLRREARQAQQMFEDAEDAIREDNSSGDDDDEVGDGQLCVVCLRKRRRAAFIPCGHLVCCCKCALRMEREVEPLCPMCRQDIRYMIRIYDS >Sspon.03G0003630-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:18689448:18695178:1 gene:Sspon.03G0003630-2B transcript:Sspon.03G0003630-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MESRSIRIRHLSCSALLFDTMDGRRTILMGRYEIGKQLGQGTFAKVFYARNLTTSQAVAIKMINKDKVMKVGLMEQIKREISIMRLVKHPNVLQLFEVMASKSKIYFVLEYAKGGELFNKIAKGGKLSEDAARKYFHQLISAVDYCHSRGVYHRDLKPENLLLDENENLKVSDFGLSALAESKRQDGLLHTTCGTPAYVAPEVLSRKGYDGAKADIWSCGVILFVLVAGYLPFHDTNLIEMYRKISRAEFRCPRIFSTELKDLLYKILDPDPSTRISISRIKRSAWYRKPVEVHAKKNEAETSENTCTGEGTTSGSTECSTSEGNQGPLSLPNLNAFDIISLSTGFNLSGFFEDKYGRREERFTTRQPVTTVFTKLKELSKRLKLKVKKKENGILKLAAPKEGKKGVLELDAEIFEVAPSLLLVELKKTNGDTMEYQKLVKEDIRPALKDIVWVWQDDQHQHSQPPHSLLSPPQPQDELQPSLPQQEGQDLLEAPLPSLPLDQLKSPTAPEQAEQLPQQEQGTSLLETYKAIQTYFTVLEHPPLPLLAGVEGKLHLVSVGHTSMDTEMEQPLQGSIEEEPHIGNGSIVENPASDLVKSESDRIKTFYQTVLSAIMVFITAALSSYKDMKSLYSTTNHSKARLSSLLVDEGLFIFMTFLCAVVLMMSEFFVYQYGRRGRVWCWALTILVAVTGVMLIVADTILVIVTNRSNMLLSVLLGPVVLLVGVAVSAGAWMREEPSSELGSRYDSTMKGTFDMATVGTIVSFTLQGGVAFSYLKTPGSNHQVKRDPPLDLTACYATSTLSLIAMMVCAMPLASLSGRHAEGSHQSRREAQECCAGLSVVSVEFLDGFVVLSFFPDAVAIVLYYVVKLFSACQPREESSPLDSTFRIVAAAGFTLMTGLYAAFMGTDHYGFYLKTAMFILLLAVLSSLSRLAIPLHMPEAGVGGVIEFGIAGVALAFPLLALLAAIPLVLKVFVDHYVNR >Sspon.05G0037730-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:20710289:20712590:1 gene:Sspon.05G0037730-1D transcript:Sspon.05G0037730-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAVVEVDAGQEAKRGKATDEEGQRTPPPPPQPVEVARKPRQPTPDHPPYCWMIGEAIDALAEDGGSSEDSISAFICARYPGVPPAHDRLLRHYLGKHVAEGIFVRTAAGRYERCPEENADEELPVEQAEAGSCKPASVEAKRGRGRPRRDGSSSTPPAGRKDGSAGARWMTPPAGSSLTLAAAAKDSVPMSLVAVADKDGSQAPSLKPKRRRRLRRQGMATTTDSSGEALVAGKKNGSEVPYTLDKEHEPPRELGLVILGDSSAASTMDKACTEVSPTTPPVGGDQPLDLALMTTTEVPVPEPAPTPTPTPAMDKDGGQPLDLALVTTTEVPVPVPEPAPTPTPAMDKDGDQPLDPALVTSTDVPVSEPAPTPMPDMDKDGGDASSVMDKNESIWTTPTAPEPGSQACKLALMAAAAAGFVPVLVANKKGGLKEAPSATYKDVLQPRKAGSAPTAGTKAGGKALSATPKGCRRQHKSAAVATGDRSALTPGKKLGCKVSFASPKLTPVIAGDCPTPASVADQGGMEASAALKQHGQPRKLMLKKKPRKLYPVTADEISDDPGIVLLALPSQTLAASN >Sspon.06G0014360-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:58136570:58137448:1 gene:Sspon.06G0014360-3D transcript:Sspon.06G0014360-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSHKLQPILTIVVFTIFVIGANGERCDTSSIQVDTINTGTIVPGGDTIFVVEVKNQCPCAIRSVRLDGRGFATTVDVDPAAFRADDGGVYLVNGGEPIPSMATVSFQYAWDHFFQMTPRSLEVDGPC >Sspon.08G0004240-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:10082215:10085083:1 gene:Sspon.08G0004240-2B transcript:Sspon.08G0004240-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLSKLRLITVDVTGTLIAYKGHLGDYYCMAAKSAGMPCPDYNRMHEGFKLAYTEMARQYPCFGFAAKMPNIEWWRTCVKNSFVKAGYDYDEETFEKIFRRIYSAFGSSAPYSAFPDAQPFMRWAREKGLTVGVVSNAEYRYKDVILPALGLNQGSEWDFGVFSGMVGVEKPDPRIYKIALEMAGNVAPEEAFHIGDSMRKDYTPARSIGMHALLLDRFKTADAESWRQSAATVLPDLVATQEWLTKNQNEEQEGTVAARVLNR >Sspon.08G0006120-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:19294291:19297309:1 gene:Sspon.08G0006120-1A transcript:Sspon.08G0006120-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RENLKNAKKNLEKTEEDLKSLQSVGQIIGEVLRPLDKERFIVKASSGPRYVVACRSKVDKEKLIAGTRVVLDMTTLTIMRTLPREVDPVVYNMLHEDPGNVSYSAVGGLSDQIRELRESIELPLMNPELFLRVGIKPPKGVLLYGPPGTGKTLLARAIASNIDANFLKVVSSAIIDKYIGESARLIREMFNYAREHQPCIIFMDEIDAIGGRRFSEGTSADREIQRTLMELLNQLDGFDELGKVKMIMATNRPDVLDPALLRPGRLDRKIEIPLPNEQGRMEVLKIHAAGIAKHGEIDYEAVVKLAEGFNGADLRNVCTEAGMAAIRAERDYVIHEDFMKAVRKLNDAKKLESSAHYSADFGKD >Sspon.07G0002160-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:4268280:4271745:1 gene:Sspon.07G0002160-3C transcript:Sspon.07G0002160-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSENWISRLAAAKRFYAVQLGHSDRTGMEELDMDEEVRPEFACPFCYEDHDVGSLCAHLEEEHPFEPQAALLQNRRRLRRFIIPGSQALSLLSRDLREAHLQVLLGGGHRSSNNNSTTNISADPLLSSFGLSFPTSDAEQTSKSTISIPDDVTMVKETPAQARWHSIDSSLTSEEREQKRKQASVRATFVQDLLLSTLF >Sspon.07G0025300-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7C:40232305:40233633:-1 gene:Sspon.07G0025300-2C transcript:Sspon.07G0025300-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCPDAVPGGVERAYQLEPSMDTYRAPNKAKPDHADADDSDELGVFAAERYFYGDDALWPWPCERSSSSLSSAFRTGTHEHDRSVPTPTAVTSSSEASWNSRFALLPNEPAEKLRAVAVAAATPIIEARPSDAEGQRAGRGSASSSNLRRWLLGVAACACACGASEESVSADEVETSERFPETEIPEVTAARPSVTPASGRWLVGGDKILAGMEAFSSPNPIQPAGDGHRRATNKGEVSTPILHPQAAATSDSDEWRRIKSLETTFRPVPGGDRGSALGSTMQSSAFTVVAGNTALGGDAPRAASGGGGSPGEDDAAPSELGCAYPPSEAGVVWSVVTADDAASGNFSSAASGYYYHYCFNDVDEGAMRRAAARNTHKRRSGIPSGSRLLMCMSEKAVDAVGPARSVHRQEVQPAAVATLGASGGSRNGHGVYKPQDVIRRR >Sspon.06G0023230-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:39888340:39889608:1 gene:Sspon.06G0023230-3D transcript:Sspon.06G0023230-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAMIKCTLPAYRMLSDGASTQICMVDKNSYDLNRDARPVMELLPGRVPRTVCAVGFDTSLSTTQIRSVLRSHLRLNELHSGRIITPENPDGTSTGKAFVCFSSHTDLVAALERDGLDLGDGRKLCVTKWLELLSFPWHYKEKGGGSVGGGSGSHVDAANWGTPST >Sspon.03G0039130-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3D:5498202:5501208:-1 gene:Sspon.03G0039130-2D transcript:Sspon.03G0039130-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDELEQLLKGIAMMKELTPRTSDYLVSFGECMSTRIFAAYLNKIGVKARQYDAFDTGFMTTDEFGNADILEATYPAVAKRLHGDWIQDPAIPVVTGFLGKVVLTSIVLKSNVTMLDIVSTRMLGQYGFLARELDNVVEELEKIAIVHLLQQRAIISLIGNVRQMSLILEKV >Sspon.03G0041640-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3C:44120850:44121230:1 gene:Sspon.03G0041640-1C transcript:Sspon.03G0041640-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCRGALAAAAAASGEEGRGHRWRGGQQPEGGGWCLEQGGEKGGRPRRDGMVAGGQGLAGGWVVAGGQGLAGGKRVAGGQVLAGGCEVAGGQRVAGGRKLRAWRGNHRGAGQDLGPGRRGEEGKKE >Sspon.06G0012070-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:52362995:52364826:1 gene:Sspon.06G0012070-2C transcript:Sspon.06G0012070-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLPLRSGRCRALVAPLPVRWKKKRMRRLKRKRRKMRQRSNCVEEHSAACHVVTHLKLGDVGYAARACVVPECPIQADVNCTASWTNQPKEMTIFPSKKKERKEIHNLRSISKPRAWTSEAHDRAFRLGPTDVSTVY >Sspon.02G0011210-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:34234874:34237525:1 gene:Sspon.02G0011210-3C transcript:Sspon.02G0011210-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASNGEGGHGHGQGRFDVIVVGAGIMGSCAAYAASSLGARVLLLERFDLLHHRGSSHGESRTIRATYPQAHYPPMVCLSRRLWDEAQADAGYAVLTPTPHLDLGPRDDPALVAAIRNGGATEVEVATAAGDEAAWAGVFRVPHGWTAATSKLGGVMKATKAVAMFQALAIKKGAVLRDRTEVVDVTNSKRGEGEAEGSIISVRTSSGEEFHGTKCIVTVGAWTSKLIKSVTGLELPVQPVHTLVCYWKVRPGHEQELTPEAGFPTFASYGDPYIYGTPSMEFPGLIKIAMHGGPPCDPDGRDWSTGVAGGGGLVEPVARWIDAVMPGHVDTAGGPVIRQCCMYSVTPDDDYVVDFLGGEFGKDVVVGAGFSGHGFKMGPAVGRILAEMAMDGVATTAAEAGVDLRPLRIGRFAENPKGNL >Sspon.05G0012200-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5A:36380779:36385947:1 gene:Sspon.05G0012200-1A transcript:Sspon.05G0012200-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRAAAQLAGTRLSINDKLHIKVAEQLGLLDQEQEQEYIRLKEKSEELRYYSYNTMGPPDSDSFRKMLNRSVVSQIIQKLSTKRYLLVVENLDEPINPIQLSALTEGLWLPPPYWASSFWLVSTTSQYVYDESKPDYDAVIESFTGDDILMLTLYSLKQAAKYISRAVGQHEGEEYWHRVAIQCFHYATMLLIPHSSKVDNNPPDQFDVPDDVINSDKLIRHWASQGILPVIRKQSIPDTADSKYNGDGDDIYQVGNVILEAFREYSLLHLPSSPAKQGDEATKTAAHFLAYHGLIAEHLKLDALCEDNNQLDLLECTQWISHVGDQGWCLSREWLRRQGSSGPTALIIKHCSQHSKLFMKLESDHFFAKLPCFRLLDLSYTPIKSLPPSICYLQELQYLSLRGCYNLTNPFGFPSTEITHLSEINSSKKNLNLLCFDLSYSNINMFHRDFFYSMPNLQELLLVNCSNLEELPPSVASLSSLEKLELTETQIKSFPGQMFEEMKNLRSLKLIENKNLLLRRLSLSGCRKLEYVDIREVGALEELDLSATAIKELPDSIPNIPQLRRLLLLGVPSLRRFPWHKLQRLPDVFCLDQCSNRTINHSDHPQGAQVCISDSRLFYSFNDATRDLVRAGKLLTTFYVRVTSCKSTSSKLKDEEDMVMINKVQMAPPAYTDVNRLYLTNGVSMVSMDDVPPCRETERHVEISAADRYPHGLKHLLQVTKSISMWDDTHVSCLSDLSDLDELEECKLRWCHKTVHVFEHGISNLKNAYVSHLKSLTHFHGEYYSGHFFALKHLALQYCPRLEGIVPGDCELPKLETLDIFFCYNLKAIFCASFPPSSGDYKLPCLRRIRLQELPLLEHLHVDNPMLTAPAWEELHVRGCWSLRRLPRFSQQPDKAVKLLKKVRDFIVYEPVMARHDSSLLVRRRPNPGSGTIGPICEQRPTPPNAPFLPTQQGCVSKPDGVVGELARTSSSQGEGRGR >Sspon.02G0022690-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:69792329:69805246:1 gene:Sspon.02G0022690-1P transcript:Sspon.02G0022690-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQPLVVKKDDDLDEEEYYSPFLGIEKGAVLQEARVFHDPQLDARRCCQVITKLLYLLNQGDTFTKVEATEVFFATTKLFQSKDAGLRRMVYLMIKELSPSADEVIIVTSSLMKDMNSKTDMYRANAIRVLCRIIDSTLLTQIERYLKQAIVDKNPVVASAALVSGIYLLQTSPEVVKRWSNEVQEAVQSRAALVQFHALALLHQIRQNDRLAVSKLVTSLTRGSVIRESGVNQGGDRPFFDFLESCLRNKAEMVILEAARAITELNGVTSRELTPAITVLQLFLSSSKPVLRFAAVRTLNKVASTHPLAVTNCNIDMESLISDQNRSIATLAITTLLKTGNESSVDRLMKQMTNFMSDIADEFKIVVVEAIRSLCLKFPLKYRSLMNFLSNILREEGGFEYKKAIVDSIIILIRDIPDAKESGLFHLCEFIEDCEFTYLSTQILHFLGNEGPKTSDPSKYIRYIYNRVILENATVRASAVSTLAKFGALVDSLKPRIFVLLRRCLFDGDDEVRDRATLYFKLLGGEATVGETEKDVNEFLFGSLDVPLVNLETSLRNYEPSDVPFDISSVSKETKSQPLAEKKSTGKKSAGPATAVSGPVSTADASYEKLLSSILEFADFGKLFKSSAPVELTEAETEYSVNVVKHIFDGHVVLQYNCTNTIPEQLLEQVVVFVDASEADEFLEVASKPLESLPYDSPGQTFVAFEKPEGVIATGKFSNILKFIVKEVDPSTGEAEDDGVEDEYQLEDLEIVSADYMLKVGVSNFRNAWESMDPESERVDEYGLGVRESLAEAVSAVISILGMQPCEGTDVVPSNSRSHTCLLSGVFIGNVKVLVRLSFGISAPKEVAMKLAVRSDDPEISDKIHEIVANG >Sspon.03G0016680-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:73073522:73074512:-1 gene:Sspon.03G0016680-3C transcript:Sspon.03G0016680-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSNVSQAGAPPPPPPTYASTPPPFEIAAAPSPKPHEEEATEEKVDYLNLPCPVPYEEIQREAFMALKPDLFEGMRFDFTKMITPYFALNHSVYMGSTEIPAQGSDVIKVPTSNYEFGANFINQRTMLMGRVSHDGRENIRVKHDITDNLSLKINAQLTSEPHYSQGMLNFDYK >Sspon.07G0019650-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7A:72494373:72495280:1 gene:Sspon.07G0019650-1A transcript:Sspon.07G0019650-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DDDGRPRRTGTFWTASSHIITAVIGSGVLSLAWAIAQLGWVAGPAAMLLFAFVTYYTATLLAECYRTGDPETGKRNYTYMDAVRSNLGGAKVVFCGVIQYANLVGVAIGYTIASSISMKAIRRAGCFHDNGHADPCNSSSTPYMILFGAVQILFSQIPDFDQIWWLSIVAAVMSFTYSSIGLSLGIAQTISNGGFKGSLTGISIGADVTSTQKVWHSLQAFGDIAFAYSFSNILIEIQLTKIFCFFQDTIKAPPPSESK >Sspon.05G0032710-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:21523336:21528128:1 gene:Sspon.05G0032710-1C transcript:Sspon.05G0032710-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVFRTKKRKRKRNVHKKHSQKREIQMSKEKLLSKATRQMLLCETSSKMDKSIPVVSKIFCSGTPTMLMIRRRPTVVNGGGFVVTDLSHNIVFVVDGCGILGSKGELMVKDGEGEPILFISKKMGMKELIGGKDFYHVEVQSGYDQAFIIGGDTQFPPFCGKG >Sspon.07G0020430-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:76193971:76196529:-1 gene:Sspon.07G0020430-1A transcript:Sspon.07G0020430-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Agamous-like MADS-box protein AGL12 [Source:Projected from Arabidopsis thaliana (AT1G71692) UniProtKB/Swiss-Prot;Acc:Q38841] MYSDLQGAFKWPKAMQPLLPKGHIPFKVDCYIKAGRVNACLFYLRGKQSQCLFATSAIAATSARPIKTVGAISEKTMVRGKVQMRRIENPVHRRVTFSKRREGLLKKARELSVLCGADVGVIIFSSTGKVHELATNGNMQSLVERYQSITARGNRALGFLVKGRDMSTAAWFKMKIMQQEIHFLKNKESILKSANENLQQKEGILKAANEVLQQKALVKTTQLHK >Sspon.04G0001010-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:4370967:4375618:1 gene:Sspon.04G0001010-2C transcript:Sspon.04G0001010-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKKAQPRAGVLQKASMECLEEPNKLEMCGLDPHKLHRPDRLQDTNKTHLVNILKGGIVYSNKVVLMSSTHSKDALIQGSRHGLQPTLTHISKLAYSLEKILVASYGLDGELWDPSKDIFLPRSTVARVYTVQVIIMEKLGSVVNSTLRALKGEIMGDKIAFVEAYDEALAHLIYAGSDIILCSSFQDPSLQTAMKAIKYGSVPVQINFPGDESRSWRGMIAPIQQCLSTSSQLMEICLFLKHLMT >Sspon.01G0005160-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:12030619:12034857:-1 gene:Sspon.01G0005160-1T transcript:Sspon.01G0005160-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAAPSIPGAIASLQAYSTALSAFTAAWRAVETHASSLDSTLAARLAGFSELELICSAMDSAGLLAYLTEHRDDLKEPARALNAALQVAPDPGLLVLSAAATFCRTPPEEAKNDGSVKASCRLLMALLDRLRAIGFKPSPEAREEARAVAADWKRGKRIGTEVMFKQETFAFLHLVGVFGLVEDVGGTGEVLDLVVSISGRERAVEAFAVLGLDLDQHMPILIQKMVNKSKQLEAVKFIQALNIAHKYPLLPIMRSYIDHAAVAGKMIRIRGDDLATQNAANAKERTLLGTLQKFIKEQKLEELPIFEEANKRMAHLDQQSAERKRTAAAAAVAAQKVSKNIEEQEKKIQELMQPAKRPRPENVVQSSLGQNVYSAGTSTQQFKPQQSILKVGVSNPYQAALTQNVLPAITKISQLVAGSHRPVGIQNQALAVPPQYGSGSLANYYGVASTGPYRSNTLAPGPGALNGPSAQASSRSKLYSGDPLAAVSRSSDKKGSSYKYSLSSMSTYDHK >Sspon.02G0047030-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:10371065:10378235:1 gene:Sspon.02G0047030-1C transcript:Sspon.02G0047030-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEIRKYAMSNQPPDIPQILLEAQTRWLRPTEICQILSNYKKFSIAPEPPNRPQSGSLFLFDRKILRYFRKDGHNWRKKKDGKTVKEAHEKLKVGSVDVLHCYYAHGEENENFQRRTYWLLEEGFMNIVLVHYLEIKGVKQSFNRAKEAEENAGLSNADSPACSNSFASQSQVASQSMDAESPISGQISEYEDAETDNSRASSRYHPFTEMQQPVDGIMMGNLFGASSPSYLGEMQPTGANLTNHFATRNDIASVFNETSSELGGGPKTSIDSVLLGEPFPEYPGGFMESTLYSSVATLGNSLEDGLQTFMSEALYTNNLTQKEVDALSAAGITSSKYLKNDAVGHGKFTYFHGLAENDGYSDQSVRYPLLKQSSSDLFKMEPDGLKKFDSFSRWMNNELPEVADLDIKSSSDAFWSTTETVNVADGSSIPINEQLDAFVVSPSLSQDQLFSIIDVSPSWAYNGTKTKVLITGTFLAKKEDVENCRWSCMFGDAEVSADVLVDGSLRCYTPVHCSGRVPFYVTCSNRVACSEVREFEFRDSETHYMDTSDQHTTGINEMHLHIRLDKLLSLEQEDYEKYVLSNGNKSELIDTINTLMLDDNLSNLALPSDEKELSTVRDQNVEKQVKEKLYYWLIHKIHDDGKGPNVLGKEGQGAIHLVAALGYDWAIKPIVAAGVNINFRDIRGWTALHWAASCGRERTVGALIANGAAPGPLTDPTQQYPSGRTPADLASENGHKGIAGFLAESALTSHLSALTLKESQGGNVEEICGLTATNLKILQNQLACVNSQEESLKDSLGAVRKSTQAAARIFQAFRVESFHRKKVIEYGDDDCGLSDERTLSLVSLRNPKPGHGDLHSAAVRIQNKFRGWKGRKEFMIIRQKIVKIQAHVRGHQVRKNYRKVVWSVGIVEKVILSFQPEKQLEGPSWQIQPAKAEAEDEYDFLKDGRKQAEGRLQRALARVRSMNQYPEARDQYRRLQACVNSLQESQAMQDRMLADSAGTDGGDFMAELEELCRDDGDASMSTIS >Sspon.04G0032070-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:20676911:20677492:-1 gene:Sspon.04G0032070-1C transcript:Sspon.04G0032070-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTRRHGGFRLGRKLLSAWRWALCHRRRRRGRGYLRLQPCQGGGSIKSPSPLADAAVCVKQQQQQQRDESGSPRMLTWGRSLARRMRLLRRRAGGKDHRLLEDAAAEATTPKGQVAVYVGGAEPGGESMRYVVPVVYFNHPLFGELLREAEEEFGFQHPGGITIPCAASRFERAAAVAAAGGGKKVPAAAGWW >Sspon.04G0026690-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:53194545:53195786:1 gene:Sspon.04G0026690-3D transcript:Sspon.04G0026690-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIERPPQPPPVAAAVAAAAAISTVLGDDDLLREILVRLGLPTSLLRAALVCRRWLRHASDPAFLRRFRDLHPPRLLGAYLSTSAGPAPLLRFLPIRPVPELAAAARRAGSFFDAFKGSSAAIYDSRGGRLLVTTFDDRHDSTHQVCRPLSPGGDTTVVPPPPPPPPIQLNNDEECLIYHYGEFLRDDGDGRSYFCVVMGFSELQTTVYLYELHDINWVVKASAAAQLALLPPKSRVMLFDSANFYMLSTTNRILVCDFPSSSISVMDLPNGVENKPGGCIMLSRGGSFGIFLIYVKESQLHIFHHRMGANDPGNWSLVDSVSLRQVCANLDMAKWPSVDGPGVKLCAVGDNAEFVLLEIFGTIVFLDITSRQAEKVYELTPEDKELVSVRPLMLIWPPVFPELKEAYYDQKE >Sspon.03G0044960-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:6188275:6189056:1 gene:Sspon.03G0044960-1D transcript:Sspon.03G0044960-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRTWSYVRPGTARSPALASRRPALRCTSPMRQRTARQDNRRGKKICIGSCDLRAERRRDAMDDDGRPAGAATAELAHLNEPPAEFARGPCAAAELASLQVAASASAHGAEHGGGGCEMLANLSSGHGSMRCLDA >Sspon.08G0005570-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:16860748:16866054:1 gene:Sspon.08G0005570-1A transcript:Sspon.08G0005570-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RISESSIPSSSPRPAVVLLSSLIDDTDQPLNSSSFPFPPPSPSYPACEAVQVMVSVASPSPSPMVRSEEDLGPPWLRPLLGTSFFVPCRLHPELSKNECNLFCLGCTGDALCAYCLPAHRDHHVVQIRRSSYHNVIRVSEVGKLIDISHVQTYVINSAKIVFLNGRPQARPGKGVTNTCEICCRSLPDSFRFCSLGCKLGGMQWDPSLTFAIRPKRGQGSGDDGSGSDDSFSPKKPRRMAGFDLGRFERPGIRWSDDEGSRSNNGPFTPPMNRCRPSRRKGIPHRAPFYG >Sspon.02G0007300-3D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2D:50586167:50587396:-1 gene:Sspon.02G0007300-3D transcript:Sspon.02G0007300-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSVATRVAALCDVIQEHRRTGTVISARRAAAISARVDDVAATAAEGSPRACRRKRRMANARGYKQEGRRVGEGERGVVVRARHRTTGQAVAVKSLHRRRGGSHAADVLREACFTAAGGGHPSLVAFRTVARAPGTTDYYIVMDHVGPSLRSVMADRGGWPFPEAEARRVMRQLLAGAEAIHRHGVVHRDIKPDNILVGDGGAGAVKICNYGVAKSVAEKDPPQAFAGTMAYMAPEVLVKNADHDTLADVWSLGCVMVEILTGKLPFAVAAKDEDDEAKQLFKIFDVLGVPCKRAWQALKPQVHDDKVQVWRARQLRDRHGSSRNRLRELVSEEILSGDGFQVLKGLLTCDPEKRLTAAAALRCPWFTDNVDDAVASERTTKVTMIAAVASKPWSLATSFVRRALGLLQ >Sspon.01G0000870-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:1721479:1722993:1 gene:Sspon.01G0000870-2B transcript:Sspon.01G0000870-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSPRRPVLALVLLLLLALLCSHVALCSSAEPGKPKPKAKASGGRKALPADDDGEEVPATKPAKTATAAAAAGKTKKKLLAGDAASKNQTKVAKAKKPESAAAAKGAAKKPAGKAAAGGDAAIAKVSKVPKADKAKVPKPDKAAAAAAGAKAKGADSTKPAKVSKTGAKAVKPPKTGAKSEVAAAAGKAKKPAAANSTADAGAKPAKSGKKAAQVVAGAKAKAKANATVVSKEESAATETAEVEVEEDVVFAKEAEDGTDDLISEFRDLPSRLQETLMPDLARLSHHSKAYLSAANAGIADGVRPILGGRWAAVAASAASVAVLLLPLFMLTALVRRMGPYLPLLHRALLLAQAYLAIYFATLALAAAATGLEPLRFFHAASPAAYAWTQAAQSLGFMGYLVLQMVDLVAVFSGAASPEDDGNGDAAKALGLAQMVVGLAVGLHYYAAVFHRAAAGEAPRANWRVYAVYAACFAIVCACARAERRKKAYLAGTDGAAEEWKKS >Sspon.02G0006810-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:21569449:21576822:-1 gene:Sspon.02G0006810-2C transcript:Sspon.02G0006810-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARCAAHASSQEQSSIKSKKRPPLRRGRVSPQLPVPGHIPRPSYVGSKGLPELCKGQLHDAQGITGMRAACKLAAHVLDFSGTLVKPSITTNEIDAAVHNMIIEAGAYPSPLGYRGFPKSICTSVNECVCHGMPDSTQLQVTDNEICLSSSLFSVNGDIINIDVNVFLNGYHGGTSRTFACGQVDDSIKHFLNAAEECLEKGISVCRDGVNYRKIGKKISQRKLRAYEPILTMEKTECVTWEDGWTTVTADGSWAAQFEHTILVTRNGPHMAKVFPM >Sspon.04G0015270-3C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4C:63065499:63070268:1 gene:Sspon.04G0015270-3C transcript:Sspon.04G0015270-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEQSLKALQIFEGGVADTLVSQYSGGMKRRLSVAISLIGDPKVVYLDEPSTGLDPASRSALWNAVKFAKKDKAIILTTHSMEEAEALCDRIGIAAYGRLRCTGTSKELKAKYGGTFVFTATAAAAGEDEAVERLVRSICPAAKRTYHIAGTQKFELPKQGVRIAEVFRAMEQAKRSLSIAAWGLVDTTLEDVFIKSEEGNDATLFLPRDGHQKRVQWLADTLPQPN >Sspon.01G0001310-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:2866410:2867606:-1 gene:Sspon.01G0001310-2B transcript:Sspon.01G0001310-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQLISTAEHDRLPDSYVRPETQRPRLHEVIPDAEIPVVDLADPDRAAVVARVAEACRTHGFFQVGTRSSLVVGSSNDRLRRNGNSIPSPRRRRSVHFHDDVLNHGVPEELTAAMTSVAYEFFRLPAEEKAKLYSDDPGKKMRLSTSFNVRKETVHNWRDYLRLHCHPLEQYVPDWPDNPPSFRNTEEPGRSVMTHQQKQQPGGVAWHRYTSRVHSSVCLKTKQ >Sspon.01G0034150-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:1092895:1096004:-1 gene:Sspon.01G0034150-1B transcript:Sspon.01G0034150-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPPVSRAAFASVLMGPRALGASLVAARCASSSPVAAAAAAVTAYDHASFVKEIAATDPPDHLNSLLNVLQARGNLTSLLRWPTAPTGMEMPVVEVRKHGLWLLAKNVKQYIHRILVEADINADTGDDLWATVGEAGNLYAKGDFKESQLPDLDVYLLKKVGLFPDVIERKTLRHLEKGDNVSALITGEFYSRDQFPGFGRPFVFNAEILKRVGRTSEAKDSARVALKSPWWTLGCAYEEAAELAGWEDEQLEFIREKVTEEGKREDLKKGKAPEQVVLDEAAFLMDLASVDGNWDEVVDRIAECYREAGLHDIANFIAYRE >Sspon.06G0033890-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:26264379:26269971:-1 gene:Sspon.06G0033890-1D transcript:Sspon.06G0033890-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVIGEGDATAVRMCSTKAANTDAADMSTTDEHGDNVGYGGTSGSCKNRADAIGVATIDGVAAGKAADVEDSGGLADEVARTKQDARIGGADINAGHAIAWCFSFTMAGANVTGGVITGRDAADGGGGDVVSGARAIGGGGGEVVVVATIVGGGEAVGIGDAASGEDVMAAGIADWVVAGGEEGGDAIVPRDGDNWIGGAGDESRAFIRGSDPKEQNIFVRIWTWAYGPDSMCLATKAQGRHYEALQKQQEPEGKPYQIFRIYQTLKQIRISEFKEEPVQLLYFHPGPVDPTWTVDALHALDTGEPEYISASDKELEVVEVDEKVPPSTSNEVLKADVAQSSPPGTSFSCRHPPRTRLQRLSDARHLFAKLSSLILRLHRRFADRGVPFPVRLGFADRGVLLTATFVGMAFTIQFVREFTHLGLHISVGSVLAFALACELSSVITAVIAAGRVGSAFATELGTMEVSEQADTCSVLGAHPDAEHRPCVHTFPAKERIHRSSAGVKVTISYIPKPYHSVLDFAYTELATTATEKPPSASAGFYINVAPSNIADFVPTKCSMYCRSGFTSMLTTALSNSTPTWVSAEVIHSFDKQPWPPPSQVQVLREQATALQELLTCLMALSVSMDGCALIQELVQWKCSGNYRCSLLEETGQKFRVAGGKAMGHWQAKQDLLQQSLSYDASCTEVLNLKWSIQSQLIGSARVPSLLTVATARWMAKGFLLPERRVVAVTQIGMKAFLDRILTTVPVLDKHLAASCLCRAGLSMNGYIELQPWPPPRQDCNNLLKDTIKLCCSLWSIFCLGWFSLQFVPPWSLHVLLEIKCDGVQLWPFFCSYAVLVLLNTSAFVPPRMSFGCIQIVDGSLVWSFIMGTNTSGTYTSGAAPLSITDDGSRFLQLTGPWSP >Sspon.04G0011050-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:29477931:29479493:1 gene:Sspon.04G0011050-3C transcript:Sspon.04G0011050-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VFRGQFLSTQDPSASRRRCSVRLRPTALCQTSAATAPLLPPPPAEAEIYHLRFPYLSLEMHPPLTLHRHPMCAEIIEEFQKCHLDHPVKKFFGECTDLKIKLDRCFRQEKALKRKANFEESKKFKEQLQAYKREIAEKNEE >Sspon.03G0027880-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:7042970:7046721:-1 gene:Sspon.03G0027880-1B transcript:Sspon.03G0027880-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNDRADRQGLKACEFPAKMDNDHADRQGSKACESPGKACELPGKMDNDHPVRQGLKASGLQLQPKMDATDDGICQGLKACGLATKMDTNDQVDHKGSKVSGVATKMDANASDQGDCQGFKVCGIEAKMDGNDHTDHLGSKVCGMPTKTDADDQCSNQGPFKAAERLDHLLNQPANRSCADCGAPDPKWVSLTFGVFICIKCSGAHRSLGVHISKVVSVKLDEWADEQVDILADSGGNAAVNMIYEAFVPENCTKPKQDCSAEERNDFIRRKYEAQQFLTNPQVSCPPRRNDKHNHHPHSTSSSRHGLGLSFRNSWRRKEHESKTAKKTIEVGMVEFVGLIKVDILRGTNLAIRDVMSSDPYVILNLGHQTMKTKVVKSSLNPVWNERLMLSIPDPIPLLKLQVYDKDTFTTDDRMGEAEINIQPLVAAAKAYETSAIADTAQLNKWMAKDGIWIPRDSAISIVNGKVKQVINVRLQNVERGQLEMELECVPLTQ >Sspon.01G0005970-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:15839858:15843432:1 gene:Sspon.01G0005970-2B transcript:Sspon.01G0005970-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSFEMNDLKKIGLGLTGFGIFFSFLGIVFFFDKGLIAMGNILFLSGLGLTIGLKSTMQFFTKPKNYKGTISFGAGFFLVLIGWPFFGMLLEAYGFIVLFSGFWPTLAVFLQRIPIIGWIFQQPFVTSFLDRYRGKRVPV >Sspon.04G0016350-2P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4B:64260138:64260518:1 gene:Sspon.04G0016350-2P transcript:Sspon.04G0016350-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding KEVEYYEEYQRRLRARVGPSRAAAIVRGTLHVVSIGTNDFLENYFLPLGTGRFAQFTVPEFEDFLVAGARQFLARIHRLGARRVTFAGLAAIGCLPLERTTNALRGGGCVEEYNDVARSFNAKLQAM >Sspon.06G0020770-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6C:11783573:11783917:1 gene:Sspon.06G0020770-2C transcript:Sspon.06G0020770-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLRLRERKNSRTALPHYGTRWGAIERKESATRRWRQEGGTTTHGGTGTGCCFCFAWAAGRVQCSAGYEIGEFGRRGAKLVAGNNESQVRVTPTPWRDPIVQSDKVPVWLPCK >Sspon.07G0034080-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7C:68686590:68686955:-1 gene:Sspon.07G0034080-1C transcript:Sspon.07G0034080-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKEEVGGAAQRMVEALRRRRRARRPMARPSSSTASVTATGAALTTVDEASLERSKSFVKALRSENGERRGAQRRVDKPVKVAAACPSSTSNAGARVWSLAHHALASLALGNGEEPPQPLR >Sspon.08G0006560-4D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8D:17774919:17776454:1 gene:Sspon.08G0006560-4D transcript:Sspon.08G0006560-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRVAVVVGGSVAGLACAHAVAGAGWELVVLEKAAAPAAGNGTGAGLGLDPQSMETLSRWIPGWGTDAATLPLAVDLNRATDSETKAVRTLARDEDLNFRAAHWGDLHRRLHEELPPAVTVLWGHQFLSFEAPDDTRGVVATARVLRTGEAVEVTGDLLIAADGSTSSVRRSFLPDLKLRFSFLRIWFDDLVQSYPFLTHRGRGRYSGYCAWRGVFDFSGKESCDTMTSIRRAYPELGNCLYFDLAYKTHAVLYELPRSRLNWLWYINGPEPELTGSSVTMEATDAMVARVRDEAERAWCPELARLIRETAAPFVNVIYDADPLPRLSWAGGRVVLVGDAAHPTTPHGLRSTNMSVVDACTLGQCLAKWNSEPTPARALAEYEAVRLPVVAQQVLHARRLGRLKQGLPVDSEAEEGFDVTTAKTEVLQLRQRTMPFFDGAPAGGGFPLVGRTR >Sspon.02G0053340-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2C:104089747:104089956:1 gene:Sspon.02G0053340-1C transcript:Sspon.02G0053340-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPARPRQSAAAGLPRHLHLPSSVAPPPLVSARLPGAPPPRTPKGEGKDRVRVRLAVVHTCVRPEGAWVY >Sspon.03G0010530-3D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3D:33629423:33632520:-1 gene:Sspon.03G0010530-3D transcript:Sspon.03G0010530-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNSLPPSSEWTQPQQKLFERALAVYDTDTPDRWHNVARYMGGGTSVEEVRRAYQRLVVDVARIESGGVPFHWYAAAPPPSTLHRERRCLDKRMPHPEMRVLHTIVCCRRYIHLRSASSLPPRPAPPSAPPLPSSPALHVVVATAPTPTLCATTAAPTRPLRHHRHPCHPHPTLCASLPISALSASSTPERETVDGNRECQAMSARWTRSGEGWMQRPRSRDQGPKDGLWMTST >Sspon.07G0007070-3C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7C:14320527:14320809:1 gene:Sspon.07G0007070-3C transcript:Sspon.07G0007070-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GPRGGGAADGPDDDHAARAERAEPPPGVPRRLHHPPLPHRPRLQVRRLRGQQGRPRQAHRPRRRDQRPGKQSKAKHHHTLPRAPITHPLRRAF >Sspon.06G0014590-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:63156134:63158263:-1 gene:Sspon.06G0014590-2B transcript:Sspon.06G0014590-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl carrier protein 4 [Source:Projected from Arabidopsis thaliana (AT4G25050) UniProtKB/TrEMBL;Acc:F4JRT7] MATLSAISAKAGYGYCRAPTLSWKGSRVSDRNVVSMATTRRGGLLISLRSPRFRVYAAKAETVSKVMDIVKQQLALAADVGLTAESKFANLGADSLDTVEIVMALEEEFKITVEEDNAQNITTIQEAADLIDKLVGQNPAAPAA >Sspon.01G0033440-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:114551569:114555254:1 gene:Sspon.01G0033440-2D transcript:Sspon.01G0033440-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKATLKGRYEGDKATAATTLAAPAGDLRLKASATEAAFANGPSLRGLTLTLEKPGAFLVDLKPHNQDVRFQFMNSALVLDKRVSLTYTHSTSFATAPAPPAAAPPSRTALDCSVTFDPANKVTLSHSLGSGGCRLKYTYAHGVDRLTTIEPLFDTNKNAWEFAVTKKFTGGDTVKGTYAASTKLFGLEWSRDSIAGGSFKVGTTFDLSDQSKAPKLIAESTWNYEI >Sspon.03G0004290-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:11937731:11940914:-1 gene:Sspon.03G0004290-2B transcript:Sspon.03G0004290-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAAPPTSLSLAARAATRAATAAAAAPLRRGGLAAACQPARSLAFAAGDARLAVHVASRCRQASSARGTRAMATMAKKSVGDLTEADLEGKRVFVRADLNVPLDENQNITDDTRIRAAVPTIQYLLSKGAKVILSSHLGRPKGFTPKFSLGPIVGRLSELLGIQVQKADDVIGPEVEKLVSALPNGGVLLLENVRFYKEEEKNDQNLYVNDAFGTAHRAHASTEGVTKFLKPSVAGFLLQKELDYLVGAVSSPKRPFAAIVGGSKVSSKIGVIESLLEKCDILLLGGGMIFTFYKAQGLSVGASLVEEDKLDLATSLLAKAKEKGVSLLLPADVVIADKFAPDANSQIVPASAIPDGWMGLDIGPDAVTSFNAALDTCQTVIWNGPMGVFEFDKFAVGTEAVAKKLAELSTKGVTTIIGGGDSVAAVEKVGVADVMSHISTGGGASLELLEGKELPGVVALDEAVPVAV >Sspon.01G0025090-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:118402964:118404256:-1 gene:Sspon.01G0025090-2B transcript:Sspon.01G0025090-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPCKLNMASVLLLLLLFSIHWPGGSYAFSLMNYLCNNGSSYALNSTYRSNVVALLGSLSANASSSVVGFATGTLGHAPDQTWGLALCRGDVNGSGCASCLALAPDIAFGDCRGVKDVSIYYDRCLLRYSDTDFLASPGDAAEPVQYGTNLEVNVTADPDRFVGLAADLVAALSSWAARNSTARYAAGVVTSAKGFTTTDSNLVHNIYGLVQCTPNLAPEACLACLGRLKDEMPAVFNGSTGGQFNAVWCNLRFEVFLFYDSSPVVKLVAPSLTPAPSGAAVHDDA >Sspon.02G0047660-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:18571806:18580369:1 gene:Sspon.02G0047660-1C transcript:Sspon.02G0047660-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTGSTWSDRHVVAISLDTRGFYVKLGIYCETFHSEEGGWRNCESCGRRVHCGCIVSIHKYQLRDAGGVDCAKCARSTRTAMAPPSPVWTAPIHSSQNVSDRRDIPVKSWRPPSGQISSQWRQTNLWSMSSVQSDLQQRLAFEFDRPSGSEKLLPGRTFIHAQERKFDDMHDRPTTPAGMNHIMRERDPNGHGQPTNMDPAYSYTLYHRDGSHPNNLHDPSHHGGENDSLSSRKVAMPEASTSADAGFKLDSHHPSNLKDDPPSLSVGLASNFASQNGQKDHIRIAPTQQQAQMASSLQKQFYSHTVTGYNEFQAQLRNGRPRMDAKARSQLLPRYWPRITDQELQHLSSDSNSVITPLFEKMLSASDAGRIGRLVLPKKCAEAYFPPISQPEGLPLKVQDASGKEWIFQFRFWPNNNSRIRIDPEGKLIMGFRKATNNSSEQEQITKPANGAPASSEANGKVSGPDSSPNAAVSRQNKVNTETKSSSPVEQATASKMDKDGLTQKEGPGTASSSPGSVKRKTTNLGQKNKRLRMDNEESMELKITWEEAQELLRPPPKAPSIVIVDGHEFEEYEEPPILGRKTYFAADKSGSSCEPAQEISMEELAELIPIKHDGLDTLANLAILGEGEALPSQPTTKHPRHRPGCSCIVCIQPPSGKGPKHKQTCTCNVCMTVRRRFRTLMLRREKKATTDTPRKKETGQSSEKVTQAVSVPPVASASATSSPRKADGIADGPEDMAVDHKVTSSPVKNHIDLNIQPDRDDEQSPKSGAAGLLSRDNPS >Sspon.07G0002030-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:4303092:4303479:-1 gene:Sspon.07G0002030-3D transcript:Sspon.07G0002030-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSISMRLAIPAPAAAAPPRLTVRAAAAGDGGSLPVARAAVRTDTGALRGCASLPLKPLGAGQPCRRRGAAAVCHSSAYLSAQTMQWISAGAS >Sspon.08G0008290-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:30448154:30472236:-1 gene:Sspon.08G0008290-1A transcript:Sspon.08G0008290-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGRSQSVTMEEGVRAHGRDAPWPLWSLHALLLTIEAAGLSYVSQVQGTLFLAMEILLLEENGYVDLRQGIGHLINAIVAVLGPELAPGSTFFSRCKAAMIDENIEENLFSMLDEETDAEIAMLVLATSIRRNTGEVLSRFGHNPPDSTSENDVYYGEDEDNMISSSKQDQVHWAGSVSSQFPPRNKHLRYRPGCVSHVPIAVGAEPAHFDLLLARSAISKETYLSNDWLVLKLQELVSLSYQISTGQFEGMQPIGVKLLCLIMDKFGKAVDPEFPGHILLEQFQAQLVSAVRMAISTASGPLLLEAGLELATRVMTSSIIGGDRVALSRLFSLITRPLSDIEGLFYPSFADWVVCKIKVRLLTAHAAVKCNTYQFLRMKENAPDEYQQLVPSLVNSSTLLGKYWIRALKDYVSISFGLHSKINYKPFLDGIQSLLVSSKVQRYLDEVWLLIFQATALDAAPVEFDENKPENLLEQTFISGHCMVKLDRSEFQFLWGLSILVLFHSCQSVKNNSLKINLDSRQDKKFGEFVVHRLDNEKPCDQALTYADCSSAPIIHLFTQIIRFCPDNFFEVEAFVSSALELLSRYLGVILQW >Sspon.03G0036100-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:84065707:84072889:1 gene:Sspon.03G0036100-2C transcript:Sspon.03G0036100-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSLSMRGSMRSRRDLPPPEKTIERLESMVAGGNFYEAQQMYKSTSARYITAQKYSEALDILQSGALVQLKHGQVTCGGELAVLFVDTLITGELAYSEQIFDRIRKIYEAFPRITVPHFLGDDYDDEGHQLSEAISAAKVRAESCSSFLKAAIRWSAEFGTSRNGSPELHVMLAEYIYSESPETVTCYNSLADKNDMTKVSSHFVHGNDPKKFASMLVNFMGKCYPGEDDTAIARGVLMYLSQGNLRDANLLMDEMKEQLKSANSDFPKTDLIQFIKYLLPTLERDAYPLFRTLRQKYKTSTDRDPVFQELLDEIAAKFYNIQRQNPLEGLFSEMFKI >Sspon.06G0003050-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6A:9610872:9611846:1 gene:Sspon.06G0003050-1A transcript:Sspon.06G0003050-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPSEDALRRALAERQAAADAQAEAVRALKAGGGASMADVDAAVEALKALKVEAGAAARRLQQALGAGAGGAAREELRQAVVNTLERKLFDLPSFKIYRGVAGLYELRAARVPRQGQRDFLLAPGDAGDLSLKELGAKIKEYGIVAPDTKNPLSDPYPFNLMFQTSIGPTGLSVG >Sspon.01G0006230-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:20273712:20275048:1 gene:Sspon.01G0006230-1P transcript:Sspon.01G0006230-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPPPPKNMPPPPPPPKSMPLPPPPKNMLPPPSKSMPPPPPKFPLDKLLSRKENKTFALKELTAPPRPLDARSVLPSQRQPKEPEEQTKGTPVSDTLLKLIDYGDDDDDDDIDVTDNIPKGNPTPGSEQKPFWAV >Sspon.06G0002170-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:4031933:4034132:1 gene:Sspon.06G0002170-2D transcript:Sspon.06G0002170-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding NMESKPENSMISPTKNSVSPPSGKRQKTLSDKDGDQCDDVSQQVVIYKNDKSDRVRDEQSASHLPIVPAKPSKKQKRALGKQIGAFAAQCAKCQKWRLISTKEKYEEIREQALEDPFVCEKACEWKPDVTCDDPSDVSQDNGMLWAIDKPNIARTPQGWERLVKIRGEGSTKFADRYYWSPTGTQLRSSKEVDRYLKEHPECAAQGVKLSHFSFQSPAPLQKDYVRKRSQTSQSGVTPIGSTKLVPPEAVQPISWAPPPADDNMENNMQLVLYNGDQTQVVQPSSEPPEPENLPPAPA >Sspon.04G0010880-1P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:5A:34932874:34933942:1 gene:Sspon.04G0010880-1P transcript:Sspon.04G0010880-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LHWIADGGVAWRRGAEALSIGINYGQIGDNLPSPSRVSYLLRSMQVSKVKLYDADPYVLSAFLDTDVEFVVGIGNENVSAMAADPAAARAWVQRHVQPYLLTGTRRITCITVGNEVLKGNDTALKASLLPAMQAVYGALAALGLQGRVNVTTAHSLDIMGTSYPPSAGAFAPDAVPYVQPLLGFLSMARSPFLINCYPYFAYKADPGNVPLEYVLFQPNAGVTDAITRLNYDNMLYAQVDSVYAAIQALGHTDIDVKISETGWPSRGDPDEAGATPEYAGTYIGNLLQRIEMKQGTPLRPSVPIDVYVFALFNENLKPGPASERNYGLFYPDGTPVYNIGLRGYLPPMDFSRGTRK >Sspon.06G0012970-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:51647749:51648436:1 gene:Sspon.06G0012970-3D transcript:Sspon.06G0012970-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIGEHFEGVKQHWASNFAFLDYFKKVYGRAEPLPKWSDADVNEFIASDPVYGPQLKALRESRKFALAGGLVGAAHLGGVALKFSKAPHGVLLATGFGAITGAVLGSEVAEHWYQLYKMDKQGANLRFIYWWEDKVS >Sspon.02G0003300-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:10731590:10734677:-1 gene:Sspon.02G0003300-1A transcript:Sspon.02G0003300-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFSGGGGGRSRPEPARWLEIAGKLLAARDLVGCKRLAERAVEADPDLPGADELLAVADVLLASQRLLPSGRPDPVAVLQLQPAPGLDPAAAKRSFHRLSQLYQRALVGRALRCQSAGCRRAFVATEIPNAPPIVPGTDMYYCAWGFFPMGFPKAADLSTNWRPFCPMFPGNSESPPQPAPAVTVNADVQNVENNGGADVQNAENNGGTINANSTPASVQPPDKSGDTGRAAGPSRGRMKKTTARKKVGALPKKQGSSSVGSGIGPSMLGADSLNGNAGSGQTVGTREININEVAKPTDSTTMLNFGGDEDIGFDLDVDATDAILGNLHNLPFLREDDNNRRMYLDQKLYPSVDCLH >Sspon.04G0015970-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:64793550:64796980:1 gene:Sspon.04G0015970-2C transcript:Sspon.04G0015970-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGERGESSGERQGTVERRMLRSRYHAVKNLISDERDEMARADSDRFTAIIQQVDCLHEFVQRPREQIADAEALLGIASTLVTSVRSQSSEGITPSDFITALLKKFGQQGTPDDESVSLRWGDLGHSVSHIFRPMPGCCTMLGPMDTEVKQRKVAAVGRKRTARPTENTCPEELADSSEEVKSDTDRNVLVIFDVLRRKKSTKLENLVLNRLSFAQTVENIFALSFLVKDGRVEINIDNNGHHIVRPRNAPAASAIASGEVSYSHFVFRFDFKDWKLMKEAVTEGEELLPHRTSQSALCNEENDQPNTEAHAQITPIRKLSRNRGLILQDHVVEETPEENQTSKRRRLFRDERSRLT >Sspon.05G0029630-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:73627392:73629828:-1 gene:Sspon.05G0029630-3D transcript:Sspon.05G0029630-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGAALVRSVAAKMARAPPRVPPCALDRHGRLPTSSGRLLLSRFSTSTGGSMPPPPPPANLRGPQTSNKKVPSGSEYLYSFVAGVNDAAPKAAFGLVIGGSAFIYFYVIPAMDRLNAQMDAACDQMHALNKEMQQRNKRLHAALNSMGSQIEARDEVGEFSKGQRIQASGQVKEHL >Sspon.02G0025900-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:89999451:90005654:1 gene:Sspon.02G0025900-1A transcript:Sspon.02G0025900-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPENPHLRFLLFLAVAAVAAGGAAAGTTLTASLSGDQIKIRWTGLPAPDGLDYVGIYSPPSSRDRDFLGYLFLNGSASWRGGSGELSLPRLPTLRAPYQFRLFRWPANEYSYHHIDHDRNPLPHGKHRVAVSADISVGDPARPEQVHLAFADGIDEMRVLFVCGDRGKRVVRYGLQKEDEKEWKEVGTDVSTYEQKHMCDWPANSSVAWRDPGFVFDGLMKGLEPGRRYFYKVGSDTGGWSEIYSFISRDSEANETNAFLFGDMGTYVPYNTYIRTQDESLSTVKWILRDIEALGDKPAFISHIGDISYARGYSWVWDHFFSQIEPIAANTPYHVCIGNHEYDWPSQPWKPLWATYGTDGGGECGIPYSVKFRMPGNSILPTGNGGPDTRNLYYSFDSGVVHFVYMSTETNFVQGSDQYNFLKADLEKVNRSRTPFVVFQGHRPMYTSSDETRDAALRQQMLQHLEPLLVTYSVTLALWGHVHRYERFCPMKNFQCVNTSSSFQYSGAPVHLVIGMGGQDWQPIWQPRPDHPDVPIFPQPERSMYRGGEFGYTRLVATREKLTLTYVGNHDGQIHDMVEIFSGLVSSNSSVAEPVDGTKLGTGVSTVRKISPLYLEIGGSVLFALLLGFSFGFLIRRKKEAAQWTPVKNEESKEKKSPGSPILPSITEAEATRPRTRLTRQGCPHTSVGTRVPFGFSYSNLYQSIGAEEIETRRRPDDDARRDRDVLVVVAGCRRLTPTPDATGTPCCRVWSTDFIRDALTKTNQPISDEQCVCRSTGGEAEMVSSLKCVVGTGVSGLASARELLREGHDVTVMEQSSGVGGQWLYDPSTDGGDPLSVAGVHSSMYASVRLISPRELACFSDFLFFPSNDGTGDARRYPGHAEFLRYITDLCDVFGLMDVVRLNTKVLHVGLAPPLAADDAVKRWTVRWSRRGDCEGDAITTEEVFDAVVVAVGQYTQPRLPTVSAATASIGMDKWSRRQLHSHSYRVPDSFHGEVVVIVGFHESGKDIALELSGVAREVHVSVKSMEGLTPAVSKAVARHHNLHLHLQIECLCEDGQVTFANGSCVVADSVIYCTGYDFSFPFLDTGGLVTVDENRVGPLYEHTFPPALAPSLSFVGVPRLVLVPRFHEAQVRWVAQVLSGRRPLPPEEEMLRSAEEYHRAREAAGVPRRLSHTIFFDMDYCDEFGAKHCGFPPLEGWKRDLLSSAVARLRDGDVESYRDSDLVLEGLRSEGWQLPS >Sspon.05G0026730-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:44753167:44758147:-1 gene:Sspon.05G0026730-1P transcript:Sspon.05G0026730-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHKRRATGGKQKAWRKKRNNKTVRRVRVRGGNVKWRALRLDTGNYSWGSEALTRKTRILDVVYNASNNELVRTQTLVKSAIVQVDAAPFKQWYLTHYGVDIGRKKKAPVAKKDAAEGQEGEDIAEETKKSNHVQRKLEKRRYILEGKEVEFYMKKLQRKKGKVRTGDERPN >Sspon.06G0012350-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:65943860:65949519:-1 gene:Sspon.06G0012350-1A transcript:Sspon.06G0012350-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLCRSAAAAAAAATRSVALRSRSSMKRPFLAATSPVAPPRIRRPLVAAALASLESLMPLHSAVASARLRSCIAAGSACWSCLSQDTAESKTQTERSSRQGNQIQCRRHATWKPTPMTEANKQTQNSYYTRSARVCSQARNNKKQLCQILANEPALEINDTQHCLEPEATESKHLVST >Sspon.08G0004330-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:10298864:10301395:1 gene:Sspon.08G0004330-2B transcript:Sspon.08G0004330-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding PPPRPTDPRTRDSHGPPDAGPSCRRPRARARASLPRQGRRGSESSRVTIHLTRRFIPQHPNKPFHVPPDFRPRPAPPSKAGRHASRRGDTTTPGREAQVRSASTVAAQPAGGMKKCASELQLEAFIRESGDDARAAAGRSNPGCGGPGEPGGSGVFSPGAGGALPGVGFADSNTMDESSWWYGSVRTPNPDMSRTASISASLGATTSANHALESESDSDSESLYEVEGVPYERGNKSIETKRIRRMVSNRESARRSRRRKQAQLSELESQVERLKGENETLFQQLSEANQQFSTAVTDNRILKSDVEALRVKVKMAEDMVARSAISCGLGDLGLAPYLNSRKMCEALNMLTTTGLDLLGSDAFRGPTAAPQVQNSPVQSTASLETLDNRKSNEVTSCAADIWP >Sspon.01G0031970-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:109780813:109784560:1 gene:Sspon.01G0031970-3D transcript:Sspon.01G0031970-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVLGAFAAKLAGILMGIAKEEVEMLLGVPGEITKLEKTLGDLSAIMADAEVARIRSNTVERWVRELKDAMYDVDDILDLCQIMEKGTGGGEEDPMAASSRTASSRCFNIFCFRNPVAAHEIGRKIKALNKRLRDIAERSSRFGFIVRELHSSILHSSNGAAAGYLLGSSDTIVRSGVVGDKIQRDVQDLVTLLLQDEVDADAHRTSSGNVIVSAAITGAGGIGKTTLARMVFNDGKVEQSFDERIWLSINKEVDQLSVLRSVIAALCGGGAAGDSRALLECALKRAVRQKKLLVVMDDVWSEDVWSGLLSAPLADAAAPGSRVLLTTRNDEVARKMKAHHVHRVGKLEGDDAWVLLKKQVVSDEIDEVEVDGLLKDIGMKIVEKCEGLPLAIKVLGGHLFHISKTRDAWACVCDHLAWSISGIDDDINKAVYLSYEELPSDLKQCFVYCSLFPNNEPIRREDIVNLWISEGYVNNKTTALSELFEDVGLKHYRELVSRNLLEPKKGSYGHSACTMHDVIRSFAQYITKHEGVLVAEGQDANIALAAAPKIRRLSISNKVVEPGILRKQVSLRTLMLFGSSVVNSKELWNNLSSCLRVLYLDNVNLDELPDSICHLKHLRCLSLCATSISTIPEVIGDLQFLQGIELVKCSNISQLPNSILKLRKLRLLNIRETKITSVPRGFGKLRDLVIMGGFPTHSEDGAEGWCSLEELGSLTKLRVLDVICLEKAPSGSVAARAKLCTKEHLKELNMKFTSQLGDNGELRSNISKEEQDQAEQVLGNLCPPTCIEELVIKGYYGLGLPQWARMMPALFRGLRRLVLEGYACCNQLPYGLGQLPFLDYLWVDQAPAIQCIGQSFVLTPSSDGQDDAAAGVATDLIMSRQLPVSLISRGAGFAFPKLTALGFEGMSGWTEWDWEQQIPAMPALEGLTIDGCKLHRLPPGLSRHATQLTLLDLRNVLNLVTVESFPSLTELKLWDNPRLESVSSCPRLHKITICSCPMLKLLENLPSLGTAAWMDLCAETLPTYFQEAELSKLNVHCRPSLFKLISLQYDTPEWGKVQHVQRMKVFAMEAPEDGLKGYMDYTKEPYTFYSHLF >Sspon.04G0010680-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:32682085:32685361:-1 gene:Sspon.04G0010680-1A transcript:Sspon.04G0010680-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKYTSIRERSLLCVLGLSILATSVSGGDEAALLAFKAELTMDGGALASWNGSTGFCSWEGVACTRGTKRNPPRVVGLNLPMRGLAGTLSPAIGNLTFLQALELGDNWLHGDVPDSLGRLRRLRYLDLGYNTFSGRFPANLSSCEAMEEMFLDANNLGGRVPAGFGDRLTRLQVLRLKNNSLTGPIPASLANMSSLRHLALANNQFDGQIPPGLANLTGLRVLDLAVNKLRGALPLSMYNLSSLRTFHVEGNRLHGSIPANVGSKFPAMEDFSLANNRFTGRIPSSLSNLTTLTSLQLSINKFTGLVPRDIGRLEHLQYLYLSYNLLEADDTEGWEFVASLANCSNLLQLSLSYNSFSGQLPRSVVNLSTTLQYLYLSDCGISGSIPQGISNLVGLTILDFANTSISGVIPDGIGKLANLVQLGLYSARLSGLIPSSLGNLTRLNEIIAYSNSLEGPIPASLGKLRNLYLLDLSENYLLNGSIPKEVFLSSLSVNLNLSHNSFSGPLPSEVGNLVNLNQLILSGNQLSGHIPDTIGNCLVLESLMLDDNMFEGNIPQSMQNVKGLRELNLTVNKLSGEIPDALGNIGALQGLYLAHNNLSGPIPASLQKLTSLLAFDASFNDLQGEVPNGGVFGNLTAISITGNSKLCGGIPQLRLAPCSTHPVRDSKKDRSKALIISLATTGAIIGISCSKQQPRQRMPIRDAAVEMHAIRDANLMFASSLVVEHEGYTLIDRTCTNY >Sspon.05G0017520-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:73123759:73123926:1 gene:Sspon.05G0017520-1A transcript:Sspon.05G0017520-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGVSLHHTVRLFLMFSLETDLNLDLSSFCLRLLVSLLVTLLTSSSVPDVLTGDRP >Sspon.07G0002420-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:6092531:6094944:-1 gene:Sspon.07G0002420-1P transcript:Sspon.07G0002420-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NINJA [Source:Projected from Arabidopsis thaliana (AT4G28910) UniProtKB/TrEMBL;Acc:A0A178UVV4] MDDDNGLELSLGLSLGGSSGKAKARDAPLEPKAEPQVEESSSKGGSQTPDAPFGKFYQKSIENQEQNSKQRHSPVAPQFGNFWGQPGCSSAPVADGSVEPVSHQPQLSSYQDGRMPNNSGNNSEEQKPVSSNRKLLSEEINFQKKHQAASDQPDAFSKSSDGGAKNAPISISTDDGSTGENEDVVESEAEGSNSWLVAQREDSAKGSVNKGSDRKRSADDAAVGFQGKKQPSFSGSESSSGKLTPGNPLSMQASNAVAVPYQVPPQVSGPPTITNAPNFHPVCTVQLRPPTNGGLAVQTMGNASQVAFGYPAVQLPTLETSSSWAFGAPPQAVSSVTAKDKAEQTGTKQADDGKKPQEAGASSSAHAEDEKKADRGLSLMGSAIRPGIAPNVKFGGSGSYPDLPWVSTVGAGPNGRTISGVTYKFGRNEVKIVCACHGTHMSPEEFMRHASADAPAQENSATLPAFPVGNQATSAEN >Sspon.06G0018160-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:98151475:98156810:-1 gene:Sspon.06G0018160-1A transcript:Sspon.06G0018160-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNVYGTTVACRLCYRDQYGMPAPAPRDFTCAAKKSEARRWANGYHLISRLCQWKPRGSKSDGSLLGHGGCDARCHSCGSHNSCECETEECNAAEEGGASPYRDFKQHSRGNSQLSDDQVPSKKSAYASQGLAEACKFVYNDAKFVNERAQSDILLLSRGITRLNERACQDAAVLGLGFLKLDARARMDTQKIDHTVKERAARLNHFARAFKERAQSDLKKAADKHWSDGALEADLRRADLVVKRRAMEDAFMALKFVQDIHDMMVNRLYEQLPKDGSSSRTNSTGFITLEKNGKTLELKALANALATAPSMWTLGNAGMGALQRLAQDPNYAVAKAASSAIDELKKQWELEEGDSLRFVMNQNLASEDTDGDNSAADDDT >Sspon.04G0015170-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:62829054:62830461:1 gene:Sspon.04G0015170-2C transcript:Sspon.04G0015170-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ETTKLRWTRPGSLLLVAAAFLASAAVSSANIGDFDEHWQKRKELAEASVRETYSRPRRAMREMPRKHKRSTGPCRATNPIDKCWRCRNDWATDRFRLARCARGFGHATTGGLGGPIYIVTDPSDGDVVNPRPGTLRWGVIQPGPLWIIFARSMIIQLSQELLVSSDKTIDGRGAQVHIANGAGITVQLSRNVIIHNLHVHDVVHSMGGLMRDSPTHVGSRTKADGDGISLFQATNVWIDHISMSNCEDGLIDVVQSSTGITISNCHFTNHNDVMLFGASDSYPQDQMMQITVAFNHFGRGLVQRMPRCRWGFFHVVNNDYTHWLMYAIGGGKAPTIISQGNRYIAPPNIAAKVVTIRFVSFLFISSLSTSPSTTITEQITKHYAEEGEWKNWVWHTEDDLFMNGAIFQPSGGAVPRKINGNE >Sspon.04G0031510-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:14015236:14018145:1 gene:Sspon.04G0031510-1C transcript:Sspon.04G0031510-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVYTQEHVYRHPWDRVTAAAWRKFTDPASRTALSHVADVHTLHRRLDSDTGRLHAARSLTVRSPPLPFILRRLLPSAAASPNGAALCHCVETSLVDAQRRAMDVVVRNVSLRGLIEVEERASYRPHPDRPDDWTQFRQETTIRCRPLAALAAVAEKVETRCAERFLQNSAKGREVVERICRYLEAESTGAAPSILCWLVDDGDTAATWN >Sspon.08G0012500-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:51577905:51578978:-1 gene:Sspon.08G0012500-3C transcript:Sspon.08G0012500-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPQTSSGSSSSASAAPTPPVLPSPSGIGGSDGMFPGSGGGSGQQQLAISNGVLLAAVIFLFMVVVFVFLLYLYAKRYLGTNPLLAPTSPSSRFLFVASSPLPQRGLPASFPVFPTNVLFWGTHDEVTNAGLAAPPPQPPSVASASAAAASASSSASGRRKENQLVIDIPTRPLALNTPPMNSPLPASRMPGGTADEMRSPVSARLRSLRRLLSRGKQAVVGTSSYSPSPRGAGAGDIEQGLAGAESARPPKTPKTPKTPPSSAN >Sspon.06G0006630-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:19994075:20001670:-1 gene:Sspon.06G0006630-3D transcript:Sspon.06G0006630-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heavy metal-associated isoprenylated plant protein 23 [Source:Projected from Arabidopsis thaliana (AT4G39700) UniProtKB/Swiss-Prot;Acc:O65657] MGGVASELVGASRERQSRKRKQFHTVELKVRMDCDGCELKVRNTLARMRGVESVEINRKQQKVTVNGFVDAQRVLRRAQSTGKRVELWPYVPYTNLYVAPPVYDKRAPPGHVRRVDAQIVAPAAGQEEHLATLFSDDNPNACSL >Sspon.05G0022560-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:7819905:7820818:1 gene:Sspon.05G0022560-1P transcript:Sspon.05G0022560-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASANSWTLEIASPVAAPRLFRAAVLDWHTLAPKLASHVVASAHPVEGDGGVGSVRQFNFTSVMPFSFTKERLDFLDLDKCECKQTLLEGGGIGVGIETATSHIKVEPAADGGSVVKVETTFKPLPGVEVKDEITKAKESLTAIFKGAEAYLVANPDAYN >Sspon.04G0026940-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:55547826:55550486:-1 gene:Sspon.04G0026940-1P transcript:Sspon.04G0026940-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding FDGNSGLVAELVTTNGYTAAFAVKDGAEGSRGWKDGSDGLPVTIGLAAATGLGVLAYTEIETLLQFLGSAAVVQLVVTKLLYAEDRQKTLKQIDEFFNKKVAPKELVDEIKCLPSGVEPANIVFHRKWFLNMSCQIFMVYKIPLFHLQEIGQALLPLPGDAKSQPATATPVAATPTAAPTEAAPAAATPTPLSPYTNYPDLKPPSPPGSTVTEGPAVVNSAPVADASTESSPPPTPRPQSPYPNYPDFKPPSSPSPSPP >Sspon.08G0019110-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8D:10538068:10538721:-1 gene:Sspon.08G0019110-2D transcript:Sspon.08G0019110-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPCPMHFAPSPQPPSAHDSSRLLSPEPRAPSDPCSLASSSLQPPLPALSNPLDPTDLAAAVDRASTPMLPASPDGRPPAQRAPQLRAECRQLTPRRRPHHTPTDLDQREPLVTLEGSRSPGAANAAAGYFFVEGALGDDFSIQVI >Sspon.06G0020090-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:7353849:7356931:1 gene:Sspon.06G0020090-2C transcript:Sspon.06G0020090-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAQAAEELAAQIEQQKLEEQKTEAEEVVVEDEDDDDEDDDDDDKDDDELDGQEGDASGKSKQSRSEKKSRKAMLKLGMKPITGVSRVTVKKSKNMLFVISKPDVFKSPNSDTYVIFGEAKIEDLSSQLQTQAAEQFKAPDLSQMISKPETSGLGQEDNEEEVDDTGVEAKDIELVMTQASVSRPKAVKALKASNGDIVTAIMELTN >Sspon.02G0034240-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:11246175:11247440:-1 gene:Sspon.02G0034240-1P transcript:Sspon.02G0034240-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFIRDKYLQIQKYGLGNEVSTHGDVYSYGILLLEMFTGKGPTDNEFGGAMGLRDLDEVEIN >Sspon.07G0016770-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:82708016:82715559:1 gene:Sspon.07G0016770-4D transcript:Sspon.07G0016770-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRRIAEELKLDHMIMAMFDKQDEMDDFHGVDPGSRDVIRSVAAEIDQTLRKSRYLMIFLNGSNGEIPLSRFGIPEYHDGVVIWTFNERFPKMYSSPRNMDLRYADVHLYCTWNYSIFTSSSQFSAVFREEAASVAARCPCIQGSIDPTKLVIDCCLYGLFLNRSFQSTTTGFCWAPHASNYWICDGIINIGGDRAREIAAELHAETSFDCEDFVVDEVLKEKIKLHSETPYLAVKHDDFGDVYEKRPYRWVSITPSDGWNKSKMKYWQQSTPKNNKTTWKDKKAQEDMQTILAGATTIFIAFESTSTPQELPNGLFEPCNNLAVLILYCCAFSFVSPPFLQCHTLRFLGLDHCMDDNSTSSQLEGEDRTSKWIFLHNLWVLDLRYTDWDDILSEKKLNLMANLTELNMEGVRCWQYMDRLQEMLPYLQRLRIMKTTPTYQEEMKNTAGHQEETSSMDMNTSLVGTSKLQLLDLTGNSNMEKLPTGLSKASCSLEVLVLDGCAGLQDVVLSNFLLLRTFRFDGCGPFLDNGTRLPPLHPMEEDVYGPATSNWTSATVSPTESYRLNSPPADADKEHHVKTSTICLEGCKQLENLFLRGLVNLVELDLSGCAIKILDFTRMVVNVPRLKRLFLLGCEHLCAIRFGSDITKFEVWEPGRQRPQLDLLCIDTRPAGVVLGRPSLAQHKSFQLQVFAVIADARLIWSLDPLLRTVNPRSDEIYLDISISSSTTVYGGVVKVEEATGSKERIQEGEPNGNEERQMYDDVFVKIAEAPIPMKVFPEAPAQKLDRHIEIGHGSGIMEGQIDFPRQIVFGGHAQSLHVHDVLTDACLPMDKWYSLRWCRVERCPNINAVFPQGSCEEHKLETIWTSDLRMARCIWSKGKIFLNEDYYSFARLRHLHLRSCPSLRFALPLWNQFNSLKTLHIIHCGDLRHVFEEDEKCASEKGMAFHGARFPSLTTIYLHDLPNLRQICDVKMLAPALETIRIRGCWGLRRMPCLGDRAGRRKPAVEVEKDVWDALKWDGVDTGHHPSLYEAPVHSRYYKRRMLRGRVLRYAHAHVKLIPNCCASRETRDVWLALDAATLPTDRQVRRWCLQRLQLPSAVLHQERHGEAPGDVHVQMAVHQPHARIIRHEPDDRPPVHRHAHRVPHRRIIQVELGGVRRRIEVAEPLGKDVEVVAVEVDGVVLGREHVGALEHQLHGGAILEPVHPGARHGLPQRAAHVLRRVVERHRRVGREVGGEHARRPAAGVVRLQDGGGGREHEGDVVYAGREPRVVGPAAGLSARAVEEADADGEEEALVDARRQLRLAQAAQARRKRRHCRGVVVRREGRHRGAAGGTGAVVEDGGGRGVVEGDALGAGVGARGEVVAAGGLVEGDEHVGGLARRDHEHRRGVRLGVGGVGAHHRHGVAGYGDEELRVECGVDETQQIRLAGLHREGCSPPTVPNQPDWRVQDHSLQDKLQVLLASKTNDKILRSVVPNRE >Sspon.06G0005410-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:18186499:18194336:-1 gene:Sspon.06G0005410-1A transcript:Sspon.06G0005410-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:cyclase associated protein 1 [Source:Projected from Arabidopsis thaliana (AT4G34490) TAIR;Acc:AT4G34490] MEEALIGRLEAAVSRLEALSGGARGGLSDTTASAQDPAIVAFDDLVASALGRVSAAAGKIGADVAEVTGLVEKAFLVGKDLLVRTNQTQKPTMESVTTFMGPLNETILEANALAEGTRSIYANHLKAAAGSLAALAWIGYTGKGCGMPLPIAHVEESWQMAEFYSNKVLVEYKNKDPDHVEWVKALKELYLPDLRDYVKRYYPLGPVWQPPGSANNKAPSVPSAPSTSLCISSASSSQPKSGMSAVFAEISSGKPVTQGLRKVTDDMKSKNRTDKTGVVAAEGKETRNAPSFSSTKGPAKLELQMGRKWVVEHHVGNKSLTIEDCDTKQSVYVYGCKDCVLQVKGKVNNITIDKCTKVGVLFKGVVAACEIVNCNSAEVQCEVDFLPNIYIEALPCSVIKISYLLASVDANIFLCFLMILQGSVPTISIDNTSGCQLYLSKESLETSITTAKSSEINALVPDANTDGDWAEHSLPQQYIHAFKDGQFTTSPLAYLASGLHKKQLKPGSFSYRKNSGPQGHQQDDPLENPDASFALRSFLKILPDGAFGIASTKKILCSLL >Sspon.06G0031990-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:75891151:75900587:-1 gene:Sspon.06G0031990-1C transcript:Sspon.06G0031990-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLVVGASNDAVKSLVSKLGSLLAQEYTLIGGVSDDIQYINDELASMQAFLNRLKQEATHDEQRQDWMKQVREVAYDIEDCVDKVRHRLGREPRGSGRLASLKHTWYLLTTLYARHCIATEIGNLKARAQHVSERRTRYGVENPARSDSGLDRTSAPVDRPAPPPKLIGTVAPVGIEAAKEELKKWYHILIDDVWSVSAWEYIRDSFPQSNKDSIIVVTTRFKSVAEACRRQQGVVHELRPLSDDNSYKLFHQIISSDPVVLVPHQSAKALLKKCGGLPLAIILVAGLVASKLRSGSSITHIEEHHLVQVDKDVGEELEKNKAQTGNDTSEQQLVMKILAQAKS >Sspon.01G0020670-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:76929259:76932504:1 gene:Sspon.01G0020670-2P transcript:Sspon.01G0020670-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSALRRSLPRRTSLRHSFPPHLPPAAAGAFRRSFQSGDGGDGETVEEFDERLLGSKGTEEGSLYAKLDRVENASRRYGMSSGMGGFAGFGDRSSSGSSMGGFPGFSDRSSSGLAMGGLGVFSNKSSSGSMGFFDSSNDSISQMLGNAARNFQRDDDDDDDDEWEEDDFEFRPDVTYRRGSTYSVRDLDLTRPAAAKNPPRPQFETTTAEVLRKADFRNVRFLSHFLTEAGIIIKRSQTRISAKAQRKIAREIKTARAFGLMPFTTMGRRPFIFGRSAEEHYSEEEYFGFGRQRDGEPVEDNRDEEPNVEAA >Sspon.01G0021900-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:79410686:79417111:-1 gene:Sspon.01G0021900-3C transcript:Sspon.01G0021900-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGGGVSFLAGAALNAAAQDVAMLIVGRILLGIGVSFAALCIPIYLSEMAPHRLRGTLNIGFQLMITVGIFSANLVNYGAAKIEGGWGWRLSLGLAAVPAAVITVGSLFLPDTPSSLIRRGYHEQARQVLSRIRGADVDVGDEYGDLVAAASEASGAVDVRRPWRDILGRRSYRPHLTMAVLVPFFQQFTGINVIMFYAPVLFKTIGLGGDASLMSAVITGLVNIVATFVSIATVDGLGRRKLFFQGGCQMLVCQIVIGTLIGVEFGASGDGDGAMPKNSAATVVAFICIYVAGFAWSWGPLGILVPSEIFPLEIRPAGQGISVAVSMLCNFAVAQSFLPMLCHLKFGLFYFFAGWVLVMTLFVAVFLPETKGVPVEKMGVVWRTHWFWGRLVADMDGGAGNCDSAFHKGNDIAYVLGFFAPDPDDTAGRAYLGIWFNGIPERTVVWVANRGSPVLGGVDAAQLRVLANGSLAIVVDDDTDTDQHGVVVWATPPPPTGTTTASSGGGGNATAYAQLLENGNLVLRVPGAGVVWQSFDYPTDTLLPGMKLGIDFRTGLDRRMTSWRAAGDPSPGEYSFHLDPRGSPELFLYRRSARTYGSGPWNGYQFTGVPNLKSNSLLTFRFVSNADEAYYSYGVVDSAAAPLTRFVLNSSGQIQRLMWIDMTRSWSVFWSYPLDECDGYRACGPYGVCSVERNPVCGCVPGFDPRFPAEWALRDGSGGCRRRTELNCTGDGFATLTNMKLPESANATVDMSLGLDECRRTCLRNCACRAYASANVSSPGATGCFMWTGDLLDMRQFGNGGQNLFVRLAASDL >Sspon.07G0010060-3D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7D:26006173:26009396:1 gene:Sspon.07G0010060-3D transcript:Sspon.07G0010060-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEHAGSDKSCVWHAADFADGELKEEMFAIRFGSVENCKKFKELVEEISESLTKNEGKESEDGSSTAGLLEKLTVSEHKSEESDKSESTDSGKVTETKADTAPAE >Sspon.05G0033630-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:36143630:36154659:-1 gene:Sspon.05G0033630-1C transcript:Sspon.05G0033630-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPFVLLVVVAALLWTPAVVAAPEEHLVTGLPGFHGAFPSKHYSGYVTVDERSERSLFYYLVLSERDPATDPVVIWLNGGPGCSSFDGFVYGNGPFNFEPGSSPGSLPKLQLNPYSWSKVSNIMYLDSPAGVGMSYSLNKSDYITGDLKTTADAHKFLLKWFELYPEFQLNPFYISGESYAGVYIPTITDEVVKGIERGVKPRINFKNLPKSVQGYLIGNPATDVDYDFNSFVPFAHGMGLISTDMYEDVKASCCGTFFGAVDNLCQEKIDRVRWELKDLNKYNILAPCYHHPEIQEIEFSNSSLPQSFRRLGETDRPFPVRKRMAGRSWPLRLALKDGHVPMWPGLGGRSLPCTKSLIGSWELYTARIDFTHDTGTMLTYHKKLTGLGYRVLIYSGDHDLCIPYPGTEAWVKSIGYQVVDRWRPWYFGDQVAGYTEGYGHNLTFLTIKGAGHAVPEYKPKEALAFYSRWLAGENHRHGGNVEMINTSKKTLGALLKMHK >Sspon.02G0014130-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:35582344:35583111:1 gene:Sspon.02G0014130-2B transcript:Sspon.02G0014130-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPRPSQRSIFHLGEEGVDDHRSADEHVKNTDATRILAGRRDHGRQRRKQDSAVDAVGVGLQILVQNRHHTRAPSPSPSHIVLKQVVVLPMVARHRRGRPAGSSFLSACSRCRRELSSKDVYMYRGDQGFCSEECRCQQILADEATEREAMIKKERMRRGLPHHLHHGPRSATGAIDGASRRLVAIAY >Sspon.01G0045420-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1B:89525921:89526250:-1 gene:Sspon.01G0045420-1B transcript:Sspon.01G0045420-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLSTKRYLCRQHKDVLSAKLTASVHRFPSQFFADRISLPTTTLSAKISFADSVCSPTAGSLWAVGKEFFADSGDRLSAKTSFADSPGPGCRQNVGLSAKFLSPVVRR >Sspon.06G0011250-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:31494129:31494782:1 gene:Sspon.06G0011250-2B transcript:Sspon.06G0011250-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLDERFDLLRGIGEECIQEDELRNLLEKKPVPICYDGFEPSGRMHIAQGIVKTINMNKMIRAGCKLNNKMGGDLKKIQTVGRYMIEIWKAAGMNLDGVEFLWSSEEINNRAHEYWPIVMDIARKNNVKRIMRCCQIMGRSESDDLTAAQIFYPCMQCADIFFLKADICQLGMDQRKVNMLAREYCDDIKRKNKPIILSHPFLFWI >Sspon.02G0044710-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:103836417:103840761:-1 gene:Sspon.02G0044710-1P transcript:Sspon.02G0044710-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFSSLPIFLDPPSWSQMHMQQQPPLQCLLGGGGGNDHHHLMPPPSGLAPLPGGPADTAASAPAGGGSSTSVQAAAGAGAGAAQPRPVVSMAERARLARVPLPEPGTLRCPRCDSANTKFCYFNNYSLSQPRHFCKACRRYWTRGGALRNVPVGGGCRRNTKRSSKKSSRGGQGGGAGATAATSSSSTTSTSTTATTTTATTTSAAMAAAEAIASMQAQLPHLGLPPAAAAAALEASLEGYHHYLPFQMQPQFLQQAGLYGYHFADDGTGVLADGFPRGVAASGLLAQLAAVKMEEHSSNGGGAVAAHHEQSYWPGSTGGGSGWPAEFLSGFSSSSSGNVLRSSDAKMDGFAYINNKN >Sspon.05G0039660-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:74629450:74640841:1 gene:Sspon.05G0039660-1D transcript:Sspon.05G0039660-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVVLDSLASYLQDMLLEMAKEERFLADADKRNIVDESVQSWVREIRNAMYDATNILDLCQLKAMEWGPRRDMGCFNPLLFCLRNPLYAHDIGNRIKNLNERLDDIEKRSKTFNFINLASYEDGMRKEESSRRARRETTGEDELGVVGEKIEVGTRNLVDLLTKNEKKLNEHKKVMVYAIVGVGGIGKTTLAKKIFNHDFIKLEFEKRIWLSVNQEYSDIDILERAITEAQGDYQAARNTKAALERTLKEALEGCKTLLVMDDVWDHHAWEGVLKTPLFSVLAQGSCVLVTTRHDMVAKGMKAEVPYHHVDKLEQEDAWALLKKQVVGNENNDELKVDTLKDIGMLIIAKCDGLPLAVKVIGGLLRQKKTRRSEWKKVLNDSSWSVSQMPEELNYAVYLSYQDLHPELKSCFLHYALLPKSTIFWDDKIIAMWISEGFVHGNSQDLEVLGKEYYGQLIARNLLEPDPKYVDQVVCNMHDVVRSFAQYLARDEAIIAYKSEISLTNTINPQNIIRLSLKTKESEAKELVWSSLQAHTSLRTLILVGRTKINPGDSLSSFPCLRTLHVQDGNFEALSKSLVQLKHLRYLSLDGTDTSRLPEKIAKMKFLQCIDLSNCESLVKLPGGFGKLRQLRYLNLFGSGINNIPRGFGGLTNLRILVGFPAHVEGDWCSLEELGSLTQLMCLLIHGLENVSSSSFAIKARLGEKVCLSYLDLRCTSRSGGAHRLVKQEEQQQNEKVLDELCPPPCLETLAIEGYFGQRLPKWMMTTAIASLGSLRILVMKDLPYCTELPDGLCQIPSLEFLKIESAPCIKSVGPEFLLPHHHEHPSSMENFGPDLEILVVKCPGLMWIRNLPKLQNLVIILCPKLKVLERLPALQRLILEDYDMKTLPVYLQDVNPRDLLLDCDISLLTFIAKGKSSPESEISGLCSLDCVCSHGLLLYSSWRIRIVEMK >Sspon.04G0024220-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:22524964:22525248:-1 gene:Sspon.04G0024220-2D transcript:Sspon.04G0024220-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VMESELPVLVQFWASWCGPCKMIDPIVGKISKEYEGKLKCYKLNTDENPDIATQLGIRSIPTMMIFKNGDKKDAVIGAVPESTLVTCIDKYVGGR >Sspon.05G0034580-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:60193914:60198245:-1 gene:Sspon.05G0034580-1C transcript:Sspon.05G0034580-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMTGPVFTMALPFIAVMITSAATTSTAPQLGMTSEAYSEKATIFTMHDLVHDLAISLLGNKLLDKSKQENTMGKSKDYQYALLGDCSMPLCLTSAAELIALRFYDCRSTELHGAAFATAMSLRVLDLSECAIRKLPDSIGQLKQLRYLNASGTRHETSAEGYNKSWSVSLNSDIRQVLDCNSVGQAETEIFIEAISGLSSLEYLNLGWNDCLHNIPESIGNLSKLHTLDLSHCVNLERLPAAISGIDNMKFVHVADCERLDKSTLPLYKNVAMLLPYFVVHAGDSESSSNLCQLAYENPTKLEMSELENVKSVGEAQRIKLVEKQRIRELRLVWTRDAKRFAVEDKDVLEQLVPPCTVREIRLQGYNSAGFPSWMMDIATYVTHLVDVTLEDIPNCSSLPPLGQLPKLKQLQIGRMDSIRQIGQDLYGDGGLEKRAFPRLMSFKVQEMKCLEEWNTSYSYPSAGGKDDSNKELAFPILRELVIADCPMLTFKSLSPRALGKDMTIIRSGQFIPSSWKCSAELNSSYSAATAWLCIERCEAPLHQWSLLRHLPHLTKFSINNCSDLTCSTTDLLSCLPSLKALSVRDCKSIAALPERLGDLTSLKTLEISSCPRVKALPESIQQLTCLQCLKIYGCPQLVRWCKSEENEMKLAHIKERESDGEVEETTLESSAALKSKSGDSVWWCGSRVAE >Sspon.01G0022570-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1A:82238623:82238894:-1 gene:Sspon.01G0022570-1A transcript:Sspon.01G0022570-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding KHVEAKDRREAKHPLLRSPNGFLGREVWEFDPAAGTPEERAEVERLRQEYTRNRFTQRECGDLLMRMQESTQYTCMPRPLYLLLRLDAP >Sspon.07G0020500-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:66998936:67003309:1 gene:Sspon.07G0020500-4D transcript:Sspon.07G0020500-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGAAFPGTPPVPRSPEDVFRDYRARQAGLIRALTTDVEKFYVMCDPEKENLCLYGLPNETWEVNLPAEEVPPELPEPALGINFARDGMNEKDWLSLVAVHSDSWLMSVAFYFGARFGFDKESSLMESPSGGLPCRKRLFTMINNLPSIYEVVTGTAKKEPKEKTPKSNNKTNKSGSKPSRQAEPNSRVPKMPPPKDEEESEGEEGEPQEDHETALCGACGLGYDDFWICCDLCETWFHGKCVKITPAKAEHIKQYKCPSCTGSKRAKA >Sspon.06G0013970-1P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7A:5432322:5433395:-1 gene:Sspon.06G0013970-1P transcript:Sspon.06G0013970-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAENGVQQHLLHDGDPSKMPPPGRARRFRRCQTAPSHNAEQGSILQRENMSRKGASGTLPSVRPNGLLTTARPRFWLVGILLLAYLLAGTAAFYLAMDDMSGDRSSNRALDALYFCVVTMTTVGYGDIVPSSDVAKLLACVFAFAGVALVGAFLSKAADYLVEKQEALVFRAVHLNHADDPKTLRDMEANKVRYKLYTATGLLAVVLASGMAFLVKVEGMRLVDAFYCVCATVTTLGYGDRSFSSTAGRAFAAAWITVSTLVVALFFLYAAELAAERRQRELAHWVLTRRTTSMDLEAADLDGDNRVSAAEFALYKLKELGKISQEEISEFLEEFDKLDVDHSGTLSSHDLAVAQPG >Sspon.03G0032490-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:37933436:37935821:1 gene:Sspon.03G0032490-1B transcript:Sspon.03G0032490-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEPSKELLDLPSDPRPPASFIESLLAGREQQQQQDKEGKLIGKVKDFLGEIARANQKLQLDAQNKPPVEYDIEALTGNEEEYIEMDLLLGVADLHSEQAVEAAEATINGSQPSEKPFACSSSESEDDSDDSDEDIGDKPIVSDKDNKCKGPDDAEMGPAKGKKPNKRQKIVVLN >Sspon.05G0012250-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:32977094:32981979:1 gene:Sspon.05G0012250-4D transcript:Sspon.05G0012250-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Golgi SNAP receptor complex member 1-1 [Source:Projected from Arabidopsis thaliana (AT1G15880) UniProtKB/Swiss-Prot;Acc:Q9LMP7] MEASSWDALRKQARRLEAQLDDQMIAYRKLVSMKSDGSENDIESDIERSLKQLQQEFYRLRSSLRAKQQHASLLDLRDFDRAKFDVEDPSDSADQALLREQAAIGRSTGQMDNVISQAQATLGSLMTQRSTFGGITTKISNVSGRLP >Sspon.06G0019700-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6B:4216047:4218147:1 gene:Sspon.06G0019700-1B transcript:Sspon.06G0019700-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTALDAAAFGSVDGVVGEIMRLHRSLPARPALEEVEAAEALAHAADREERARLDAVARLRRPPAVPDELFGVALEMHRALAAFHCREQKRDATRLLELDALHGLFDDLIQRASQCVPSSSSSTRAAPRVTASKAAVTTAAALSSSSAASSSAAAADSSADRYSSMGANGFSAPRMVTGVGRVSMDDSYVKKAKAAVWDDGVVAASSHMPRGAVAANSVATRVDGGYGDDNEKLTLIKLASMIEVAAKKGARDLNLQGKLMNQIEWLPDSIGKLTGLVTLDISENRILALPEAIGRLSSLAKLDVHSNRIAQLPESVGDLSNLIYLDVRGNQLASLPPSLGRLVKLEELDVSANHLTSLPDAIGSLTRLKKLIVETNNLDELPYTIGNCVSLVELRAGYNHLKALPEAVGKLESLEVLSVRYNNIRGLPTTMASLTKLKEVDASFNELESIPENFCFVTSLVKLNVGNNFADLQSLPRSIGNLEMLEELDISNNQIRVLPDSFGNLQHLRVLRAEENPLQVPPRDVALKGAQAAVQYMTEYVAKKATRSQPTKTKKTWAQFCFFSRPNKRKHDRIAS >Sspon.01G0003360-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:7585274:7585570:-1 gene:Sspon.01G0003360-2B transcript:Sspon.01G0003360-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVVECAKCECCGLVEDCTRDYILGVRAAFGGRWLCGLCSEAVRDEAARSTARGAAAAAAGLEEALRDHMAFCGKCRRSPAFRVADGMRQMLRRCSK >Sspon.02G0011890-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:32072045:32076313:-1 gene:Sspon.02G0011890-1P transcript:Sspon.02G0011890-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVSHADEHEISLCDPNSEDDRRRRKIGSLPRKAIHALRKKRARRRVTDFRFPAAISIEDVRDAEEERAVAAFRDRLAAHRLLPDKHDDYHMMLRFLKARKFDSEKAMQMWSEMLRWRKEFGADTILEVMRDFRFTVLFQKLSNLYESWFLPVMDNRDSFCQDFEFDELDDVLQYYPQGYHGVDREGRPVYIERLGKVDPNKLMQITTVDRYIKYHVQEFERAFRERFPACTLAAKRHIDSTTTILDVHGVGFKNFSKTARELVQRMQRIDSDYYPEVLGSNYQSRLIEAIDSSMEGGSTREIKQGMLSDISNAESESDVDDVGLTVVQKSTDHSLLTPVHEEVKGSDSSIFCSSGSKHLLDMTPQGSPQMEVPIQLTCQKYFPTFGLHGTSAGRTLENLVTGLIAVWIRISSFFHFFVYRQERLLENVHPHAATEQPKPQVVREEDMSACLQRLKKLESLCDHLMSKPPDMPKEKEFVLLQSFDRIKSLEAELDMTKKALQAAVEKQMELVETVEALQHRPSSV >Sspon.03G0018140-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3B:79793249:79797870:-1 gene:Sspon.03G0018140-2B transcript:Sspon.03G0018140-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:chromatin remodeling 8 [Source:Projected from Arabidopsis thaliana (AT2G18760) TAIR;Acc:AT2G18760] MDEEDDDQRLLHSLGVTSANIEDIEKKILSQANPSAFSSPSRVETEPKHYDEAGAAVDDPSRSNVAPESDAHAKLHQKLRSVQLEIDAVASTIKRAKNAAGKKVDSSDSADGQDKKKQKQADHTAQDEPHGGALQQALATERLKSLKKAKAQIQKEISQSDPYPSGSDNRKDKMLAMLVEDEPRRKKKSLLPAQGPKQMSTPRLKTMSYNDDEDFDAVLDGASAGFMETEREELIRKGLLTPFHKLKGFEKRVEKRVELPGPSHRQNDPSEQAEETIEASRIARVAQSMQQIAQSRPTTKLLDPESLPRLDAPTAPFQRLGRPLKRPVSPSSEEQERKRQRNKTKRPLPDKKWRKANSRKESLLETDDEDVGDFTASVSEEDDQAAEGFDGLSPVILEGGLRIPGTICEQLFDYQKVGVQWLWELHCQRAGGIIGDEMGLGKTVQVLSFLGSLHNSGMYKPSIVICPVTLLQQWQREASRWYPKFKVEILHDSANGSSKKSKAYSDSDSEGSWDSDREEVRRAKPAKKWDDLISRVVNSGSGLLLTTYEQLRILGEKLLDIEWGYAVLDEGHRIRNPNAEITLVCKQLQTVHRIIMTGAPIQNKLSELWSLFDFVFPGKLGVLPVFETEFSVPITVGGYANATPLQVSTAYRCAVVLRDLIMPYLLRRMKADVNAQLPKKTEHVLFCSLTPEQRSTYRAFLASSEVEQIFDGNRNSLYGIDVLRKICNHPDLLEREHAAQNPDYGNPERSGKMKVVEQVLKVWKDQGHRVLLFTQTQQMLDILENFLTACDYQYRRMDGLTPAKQRMALIDEFNNTDEIFVFILTTKVGGLGTNLTGANRIIIYDPDWNPSTDMQARERAWRIGQTRDVTVYRLITRGTIEEKVYHRQIYKHFLTNKVLKNPQQKRFFKARDMKDLFTLQDDEGNGSTETSNIFSQLSEDVNIGVPNDGQQDQAHIALALSSTSEAEPSNGGEGRVDVNSDQADEESNILKSLFDAQGIHSAINHDAIMNANDDQKLRLEAEASQVAQRAAEALRQSRMLRSRDSFAVPTWTGRSGAAGAPSSVRRKFGSTVNSQLTRSSQPSETSSSRSQSLPVGALNGKALSSAELLAKIRGTREGAASDALEHQLNVGSASNHISSPSGNGSRASNSSNRSMIVQPEVLIRQLCTFIQHSGGFASSTSITEHFKSRIQSKDMLLFKNLLKEIATLQRGADGSMWVLKPDYT >Sspon.01G0031350-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1A:107636955:107638814:1 gene:Sspon.01G0031350-1A transcript:Sspon.01G0031350-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTAAAPRLAAMRSAKCRRRRFSTAPAWRHPPSAARAAEQHCLRLLERSSAPSAVLQSLAFLLKSGLHANPLVLTRLFTSSASAAPALLEPLVATLLGPSVPMDAFLVNTLIRAHAASPLPSARLRATAFFPLMLRSAVLPNKFTFPFLLKACAALPGSPGVGLQAHAAALKFGFATDQYVSNTLIHMYSCFGGEFLGDARNVFDRMAKSSAVTWSAMIGGYVRGGLSSDAVGLFRKMQASGVRPDEVTVIGVLAAAADLGALELARWVGRFVEKEGIGKSVTLCNALIDALAKCGDVDGAVAVFQGMEERTVVSWTSVIDALAMEGRGKEAVAVFEEMKTAGVRPDDVAFIGVLTACSHAGMVDEGYGYFDSMKMEYGIDPKIEHYGCMVDMFGRAGMVERAMEFVHTMPMKPNPIIWRTLVAACRAHGRLELGESITRNLLHEYPAHEANYVMLSNVYALTQRWKEKSEIRREMSKRGIKKVPGCSLVELDGEVHEFIAGDESHPQWKEIYMMVEEMARELRRVGHISATSEVLLDLDEEDKEGALQWHSEKLAIAFVLLRTPPGTQVRVVKNLRVCSDCHAAIKCISLVYNREIIVRDRSRFHRFKNGSCSCNDFW >Sspon.08G0012220-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:48491534:48499915:-1 gene:Sspon.08G0012220-2B transcript:Sspon.08G0012220-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLSGHGGGRRLFTASQWQELEHQALIFKYMASGAPVPHDLVLPLRLATGVDTAPSLAFPPQHSPSLAYWGCYGAGAPFGRKAEDPEPGRCRRTDGKKWRCSREAHGESKYCEKHIHRGKSRSRKPVEVTSSATSPAAAAYRPSALSISPPRAADAPPPSLGHPQQHLRHGASSAAARAPAGGALQLHLDASLHAASPPPSYHRYAHSHAHYTTPTPTPSLFPGGGGGYGYDYGQSKELREAELRRRHFHTLGADLSLDKPLPLAATGSDAAAAEKPLRRFFDEWPRESGDTRPSWAGAEDATQLSISIPAVSPSDLAASAAARYHNGVSLLISLVVLAACNSFQN >Sspon.02G0052040-2D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2D:37735257:37735452:-1 gene:Sspon.02G0052040-2D transcript:Sspon.02G0052040-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding WCSAGVNHLRRRRRPRPQRRRPRAPSCAPRTTSASTGGTRRSSPRGSGRGTTAPTTGTSTAPASR >Sspon.08G0002770-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:6061469:6067158:1 gene:Sspon.08G0002770-4D transcript:Sspon.08G0002770-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWDSESDGAGSVGAGEEEQEEQEEEERGGHGGGGDAGGMFTFAIEGMLRASGPCGLVVTDALEPDCPIIYVNRGFEEATGYRAEEVLGRNWPCGVVVVDALEPDWPIIYVNRGFEEATGYRAEEPIPAVQRTICSKEAPLVDAAVVSEIRRCIDNGIEFRGDLLNFRKDGTPLMNRLHLTPIYGDDETITHYMGIQFFTDANVDLGPLPCSMTKEPVRSTRFAPDNSFRPISTGPEHSNFCREYSSLFQLTDEVLCQSILSRLSPRDIASVSSVCRRLYHLTRNEDLWRMVCQNAWGSETTRALETVPAARRLGWGRLARELTTLEAVAWRKLTVGGAVEPSRCNFSACAVGNRVVLFGGEGVNMQPMNDTFVLDLNASNPEWRHINVSAAPPGRWGHTLSCLNGSWLVVFGGCGRQGLLNDVFMLDLDAKQPTWREIPGVAPPVPRSWHSSCTLDGTKLVVSGGCADSGVLLSDTYLLDVTMDRPVWREVPASWKPPSRLGHSMSVYGGRKILMFGGLAKSGPLRLRSSDVYTMDLSEEEPCWRCLTGSGMPGAGNPAGAGPPPRLDHVAVSLPGGRILIFGGSVAGLHSASQLYLLDPTEEKPTWRILNVPGRPPRFAWGHSTCVVGGTKAIVLGGQTGEEWMLTEIHELSLASNSV >Sspon.07G0000660-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:1531852:1536462:1 gene:Sspon.07G0000660-1A transcript:Sspon.07G0000660-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGRPPPYARSPARRQAFTGDEHPPPALLGPPPTLVDASPCRGVCMMSTSWRDKQRPDLVNFIATFLATNLYRLNFLSLSPDFLFNNGGLSVAFIFETDWLPEREAAVFSRVSTLKRQFKYLYVVVVVRSPEQNESFSQSYFKYGMELGCPTFVPVCDPEMGFEKIVRIAHARGGKTSIASFTKFLTHAQVLNRELALVACLFSSVCKQKDIFAAMRTEREQTVQCMDAFLRVLTSIPGIDSHDANALAQAIGSIEAIAKASKESILENTDLSTEKAERIVRQF >Sspon.02G0024440-3D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2D:77014112:77014567:-1 gene:Sspon.02G0024440-3D transcript:Sspon.02G0024440-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIRGSPTRRTWAACSCRDGDSAGAGCVLLWPYFGGEPRMASEAACPGDVFLTLPLYDQMWRLALPAGATRDHPAANPFGPEAAAAGGGPGAELPPMLVAAGDRDMLIDRVREYVARVQAAAAENNNRRVDLVEFPGAGHGFAIFEPDGEAA >Sspon.08G0025710-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:12038375:12042359:-1 gene:Sspon.08G0025710-1C transcript:Sspon.08G0025710-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPLPPAGSPHRRDTPPSLLSPFSRSAFPAAADGDHDAAVSEQPSVMLLEWWLATVEGDEQKIAVAGTFRCKHPDSLKSSSRNESVFRKSSHLSNGTPRFEEHTCDGDIATNENAAASSEAGKGSESRTDGSKKRRTE >Sspon.02G0014770-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:39477328:39481898:1 gene:Sspon.02G0014770-1A transcript:Sspon.02G0014770-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGGGGGRAGVSAPAAANGSYGLVRTVVGYSTSPLFFWFLTVVLVAVIHIVSGSSKPSSSRADEKERERKEREKARRAEEREASGRGDDRVLEMMRSFSFMQATEEDYMEGMTAYDYNGVDAGGTAHGPAATEPFAPSPALTTAASLSFKFQHQMPEIPTEPAVVVSREFTAAREETAVVSREFTAAREEDEREREEEKESFVPLQSAEKQEHKHEAEEAEFVVEEQEVEEQSREVVQEAAPPKIVATTHNYQFLTERDFRGFVREPEAMTVRVQESFVPPSPPPAHHQPEERRVVDVSSRSGRFLTERDFRPANEPDVCESVASSGKRAPSLKPKPASSPSVASMGGGAVGARMSVASEFSGFGDSDSESSASDGYSVKDLVVDSDSDWFLSEKDFPATARDSGNLKSYKAKVLKAIEALEAASKLEPSYQDSATTVSPGSDMWSRSPSPDVEYKEDEEKATREAEARYDEDIEQRSTAEEEEGIDMSDDDEHSSGGKKVESAPAYDLAPVADDCMDHSEKEIITLNDHSGGEAASDAQRSLEAVSARQLAVVASDQDAGPVKRSPEPSEQEFMGMVDHSLEHSSDDRKETASESDQSYEIVFDDKRRPEPPEAGFVGTSGHSHELISDVWKEIVSRNDQPRLGAHADEQGLDTAEEEYVGTSDRSNSLVSYQKKVTFSTTNDQPHAAVSDEKSVPETPEQEFSLTDHRNGVVPDAKNISETREDEEQDSANDHLDNAARQAHISVTGKAKVYEEDGEDPEVKWKDLTDEEEDELESLWEHQDLIEQLKLELKKVRSIGLPTILEESETPKAPMEDLKPWRIDAKFLREDPMDELNKFYKSYRERMRKFDILCYQKMYAIDFLQLRGPQQSSNSLKSLSPTVASILSHNFRSSRRRAPEDPSERFLKELRYDLETVYVGQMCLSWEFLRWQYEQARDLPESDPYHSHQYNQVAGEFQQFQVVVQRFVEDESFKGPRLPNYINNRCVLRNLLQVPVIKEDSLKDRMEDQRKGNYVITSEELEDIMEEAMHIMWEFIKADRVETTTTSVLKGLSSTHVELQDPMDHDLMAHIHSTLQKKEKRLKDLLRTGNCIVKKFKKPKEDRSNQNLFFSQVDMKLVARVLRMPRITSEQLQWCKAKLDKIILVDHRKIHREASFLLFPC >Sspon.07G0016410-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:54676126:54678478:1 gene:Sspon.07G0016410-4D transcript:Sspon.07G0016410-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding PSRARRGSGPSIASKATPSPLLSLRGAGLPSQAVSFSGGLAASPSHSRALRNIRQRRAQDLQSKITNKVYFDISIGNPWGRMLGGLSSACMGMMFHKQQRTSVLFALVRGIAGLPSCLLCNLIREKGFGYKGSSFHRVISDFMIQGGDFDKGNGTGGKSIYGRTFKDENFKLVHTGPGVVSMANAGPNTNGSQFFICTVKTPWLDGRHVVFGQVLEGMDIVKLIESQETDRGDRPKKKVVISECGELPVV >Sspon.04G0027330-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:62249154:62252672:-1 gene:Sspon.04G0027330-3D transcript:Sspon.04G0027330-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Early nodulin [Source: Projected from Oryza sativa (Os02g0227200)] MAGRSFLIRSPKEEESNAAVRGRKRPPLSRVDSRFPPQAVLLGAKNAAIAGTVVAVPTLVGCRVLPWAKANLNYTAQALIISAACIAGFFITADKTILRNARQNTIGKLDKST >Sspon.03G0046320-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3D:35271033:35271715:1 gene:Sspon.03G0046320-1D transcript:Sspon.03G0046320-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNGLVFTPNSEDSTSGNWMGPNSATLSGIPVARKLRTINSPALGPNWLPSEATYTFWLRSLS >Sspon.01G0006350-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:17759409:17765243:-1 gene:Sspon.01G0006350-1P transcript:Sspon.01G0006350-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVEDKLKDYEIKKEGEAEILLHKKNAVFYNPVQVHNRDMSIAVLRTFVAKRKKEHEALVDKRNTTHEKNKQSETSSQNGEDASTGQQDEMDVVCEKELNKAEDQIDDLSKEATKPSWKVTRELKPPLVLEALAATGLRSLRYAREVEGLGKVVALDNDKASVEDCKRNIKFNGASAGSKVEAYLVDARIHMLMHPKEFDVVDLDPYGSPSIFLDSAVQAVADGGLLMCTATDMAVLCGTNGEVCYSKYGSFPTKGKYCHEMALRILLASIESHANRYKRYIVPVLSVFMDFYIRVFVRVFTSASEIKNTPLKLSYIYQCVGCDSFHLQCLARTVPKKNNGVKHAPAIGPVVPQECSDCGKKFNIGGPIWSAPIHDQDWELHDIPLFFSLHNIAGTVKCTSPSLVMFRSAVLNAGYQISSTHVNPLGVKSDAPWDVIWDIMRCWVKNHPIKEQPRDSPGTAILSKSPKLEANFSRAVAALSKAQAKKIKRFLPNPERHWGPKVRAGRKITSKHISLLGPDAINGTLNGGDCHEEGNGAAPDNTAPEPEGIKDVENEPSNKRQKTSDGEPASEP >Sspon.06G0007780-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6A:38433925:38434173:-1 gene:Sspon.06G0007780-1A transcript:Sspon.06G0007780-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLSYLALKQTDELLAQLGGESQGADGTTRVHDHRRGGRTPIHHPYYHHLNDHFCRDKRQKERQPSRHNSDQQLEVTIAMH >Sspon.01G0011180-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:25372088:25374120:-1 gene:Sspon.01G0011180-3C transcript:Sspon.01G0011180-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LGFLGTPSEEPAPAPRLPTATPSEKPTPGLPPPKGPVDQPRRRRPCVLLSFAAARDRFLRGRFLSAGLRPFSVRLPSPAGTSTVVHLWAPPRPVRRPVLLLHGFGASATWQWAPYLRSLLAAGLDPIVPDLLFFGASSSTVPDRSDTFQARTVKAAMDGMGVRRFAVVGVSYGGFVGYRMAAMYPDAVERVVLVSSGVCLEEGDLAAGLFPVADVGEAAELLVPRRPAEVRRLVKLTFVRPPPIMPSCFLKDYINVMGSDHLQEKTELLHALINDRKLSDLPKINQVAYISLFNCKQLLKPWITDGILFLAQLRMQPTLIIWGEQDQVFPMELAHRLERSVWCFIPSDYKWQGDVSSTHYLLLNFNYYILRHLGENSRLVVVKNAGHAANLEKSKEVCKSIIDFFQEPAPSASIGGKSYIKEKQ >Sspon.08G0030290-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8D:56699506:56700018:-1 gene:Sspon.08G0030290-1D transcript:Sspon.08G0030290-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSALAVHAQSADRELATPAVQVGRGALRDGGARSPPAVPVMAARSPCPVPTCRAATRHAAPRADRELATPVVDACSPGRAEEWMELPERYRYGGSVRRCRGTEERKGMRARSMDHAPSPSPFRSHSFSPLTKPSGGRASARRWWGEAASLIRLALLMGENPPRTQPNP >Sspon.04G0033150-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:47753547:47764309:-1 gene:Sspon.04G0033150-2P transcript:Sspon.04G0033150-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQLYSLGALDEEGLLTKLGRKMAEFPLDPPLSKMLLASVDLGCSDEILTIISMIQTGNIFYRPREKQAQADQKRAKFFQPEGDHLTLLAVYEAWKAKNFSGPWCFENFVQSRSLRRAQDVRKQLLTIMDRYKLDVVSAGKNFTKIRKAITAGFFFHAARKDPQEGYRTLVENQPVYIHPSSALFQRQPDWVIYHELVMTTKEYMREVTVIDPKWLVELAPRFYKGADPTKMSKRKRQERIEPLYDRYHEPNSWRLSKRRA >Sspon.01G0012840-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1A:34688006:34688683:-1 gene:Sspon.01G0012840-1A transcript:Sspon.01G0012840-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLVIDPTALSLALPAPAAPALSKEDYLAICLAALAGTRKFGLGGGREREQHQHHPTDKWCPTHAPAQQELRFRCAVCGKAFASYQALGGHKSSHRKPPTPEQYAAALATAAQTASGGDLDETASSGSAASGGPHRCTICRRGFATGQALGGHKRCHYWDGMPVSVSVSASASASVSASGGTGSSGVTARNFDLNLTPLPESNARIGRWAEEEEVQSPLPIKKRR >Sspon.07G0019280-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:70489726:70499427:1 gene:Sspon.07G0019280-1A transcript:Sspon.07G0019280-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MREGQDSGGCSKDILMRVVSQNISPELTLVEKVMTANPDCATLETTILDALQIMHDGKFLHIPVIDKGSESLDELVSSVRQRLSIADEKEAVQLLYEDDECDQVLLIKDADLAGAVLHAKSSGLKIRQMYHFSRTRLEEEDWRGYWLGEAKKEKQGGGCSDPFFHERRET >Sspon.01G0013840-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:38785850:38790626:1 gene:Sspon.01G0013840-3C transcript:Sspon.01G0013840-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSAAMDYLKSCWGPASPAGRPRKGSDATGRQDGLLWYKDGGQVVDGEFSMAVVQANNLLEDHSQVESGPLSTSEPGLQGTFVGVYDGHGGPETARYINDHLFNHLRRFASEHKGMSADVIRKAFRATEEGFISLVSDQWSVRPQLAAVGSCCLVGVVCSGTLYVANLGDSRAVLGRLVKGTGEVLAMQLSAEHNASYEEVRRELQALHPDDPHVVVLKHNVWRVKGIIQITRSIGDVYLKKPEFNREPLYSKFRLQETFRRPLLSSDPAITVHQIQPTDKFIIFASDGLWEHLSNQEAVDMVQSSPRNGIARKLVKSAMQEAAKKREMRYSDLKKIDRGVRRHFHDDITVIVVFFDSNAMTTAAWSRPSVSLRGGGVPIPSNTLAPFSVPTELNNSY >Sspon.05G0017360-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:68189531:68219325:-1 gene:Sspon.05G0017360-4D transcript:Sspon.05G0017360-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEGPAAKKQTSTAGAAAGDAFAALPDEVLQHILSFLPAQPAVRTCVLARRWRRLWEGAAGLRITAANAPEPIHLPEDLVSSGELREFVDHLLLLRGGAPLDSCEFMFDVRDDVDVPHVNLWIRHVVRCQVQRLELSITREEDLRGLHFHVDNLPLVSRHLRRLELNDTGLNDSFLDLSGCPALEDLYIDNGNFVHAKRISSKSVKHLTIIDSNFNKQIRTIIDVPSTVSLRLEDPWFRTPVLRSMPLLAVAVVRFGTDCMSSDQCYNSESGDCDDEHCQGCYGLEVDNFNGSTANVAKKNKSVLLNGLSEAKSLVLTDESQMFIFKRDVKWCPMFRMLKTLLLNEYWCVPPDFRELACLLEHSPVLEKLTLQIFSKGPEHKFEIIGSYSQMEGSAAISENLKIVEVKFEVADEGVLKVYNFLQTLNIDFNFDEEETLVEDIKKMVDDALVDGQTEIHEMRFGTQLPL >Sspon.05G0005320-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:17114246:17117343:1 gene:Sspon.05G0005320-1A transcript:Sspon.05G0005320-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFILFFVCICFSFVSAARAEGPYQFLDWEITYGNISPLGISQQAILINGQFPGPKIECQTNENVIINVRNNLSDPFLLSWSGLQQRKNSWQDGVSGTNCPIPPGQNFTYRMQAKDQIGSFYYFPSLAFHKAAGGFGAIRIYSRPVVPIPFPRRPTSTRCSSSLQDMLDSGKELPPPDGILINGKGSADGAAFAVEQGKTYRLRISNVGLQNTLNFRIQEHNMTLVEVEGTHTVQNAYSSLDVHVGQSLSVLFTADRPAKDYHIVVSTRFTNATLLSTAVVRYAGSSGPASGPLPAGPSDDIDFSVNQARSIRTNLTASGPRPNPQGSYHYGSINVTRTIRLASSAGLVGGKRRYAVNGVSFAEADTPLKLADYYNISGVFRLGGIPDAPPPATGTSAAAEEVQNETAVMDSDHRSFVEVVLENGEDSVQSWHLDGYSVFVVGMDVGTWSEKSRDGYNLVDAVSRCTVQVYPRGWTAVLIALDNVGMWNMRSEVWARRYLGQQFYLRVYTPSHSFRDELPIPDNALLCGRAAATNSSRRLPRPLRY >Sspon.02G0018050-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:76393220:76397943:1 gene:Sspon.02G0018050-2C transcript:Sspon.02G0018050-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dolichyl-diphosphooligosaccharide--protein glycosyltransferase 48 kDa subunit [Source:Projected from Arabidopsis thaliana (AT5G66680) UniProtKB/Swiss-Prot;Acc:Q944K2] MATRRHVLLLAAAAFASLLALAAAEGAEEGPRGRRLLVLLDDLAVRSSHSAFFGSLQARGFDLDFRLADDPKLSLHRYGQYLYDGLVLFAPSTPRNCSFPTSSLAEIRSVLLECSGDRFDGDRDDLGAESDCLSDLVRARLLVEWWGFGGSVDQNAVLEFIDAGHDMILAADSSASDLIRGIATECGVDFDEAKAMVIDHINYAVTDVEGDHTLIAGGDLIQSDVILGSKKIEAPVLFRGIGHTANPSNSLARNNARVLISGSLDLFSNRYERAGNEQFVTETSKWVFHERGHLKAVNVKHHKVGETNEPGMYRINDDLEYSVEIYEWSGTSWKPYVADDVQLQFFMMSPYVLKTMSTDNKGLYSTSFKVPDVYGVFQFKVEYQRLGYTGLSFTKQIPVRPYRHNEYERFITSAYPYYTASFSTMGAFFIFSLVYLYHK >Sspon.04G0018360-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4C:71686787:71689249:1 gene:Sspon.04G0018360-2C transcript:Sspon.04G0018360-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNIWEQESLGGFGTVAWIDVNEYEALQPDKIAMDGGEHNLKELNSMFSKPLKELLSTDGGEVDDVAVISMDSKGIDIRVRHGAQFNIQRIAFEVDHSVETLDEATEALRRIIKLKRRQKQRKSSKGGASARMFSPSSVSGRNSHQPTDNRYVGLGLGPTTEVVSLPTNWSPTEAYSFPHNRRGEHVLSSAPHPPTLPSRRPNMPL >Sspon.06G0016100-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:70015306:70018523:-1 gene:Sspon.06G0016100-3D transcript:Sspon.06G0016100-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASNAASVSSAAPRLLLLRRRGGASVHHSNARFRAAAAATSAGGGSGGGSYLDMWRKAVERERRSAELARRLQEAPPAAEADAPLAAGAPVEDVRRRTARFEEMLRVPPDERDRVQRRQVIDRAAAALAAARAVLKEPPPASPPPPSPPPTPPQVAETARVGSAAGGAASGSDRSSRPAARAQLSPSAEDSGGSSPSKQSSSKLGTPGPDFWSWLPPVQDSSKQKESSTGLKPSKKMDTFSSQPDLLMEKERSADSLSLPFETAFFKKKEDRSLPPFQSFAEPENVDSKADLAADKKDTFEEQFSKNAAEVARALSESAEKSSHGIHLDGSLWWKETGVEQRPDGVVCKWTVIRGVSADGAVEWEDKYWEASDRFDHKELGSEKSGRDAEGNVWREYWKESMWQDYTCGVMHMEKTADKWGQNGKGEQWQEQWFEHYDSTGKTEKWADKWCSLDPNTPLDVGHAHVWHERWGEKYDGYGGSTKYTDKWAERSEGDGWSKWGDKWDEHFDPNGHGIKQGETWWAGKYGDRWNRTWGEGHNGSGWVHKYGRSSSGEHWDTHIPQDTWYERFPHFGFYHCFENSAQLRSVKRQLPPRK >Sspon.07G0028160-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7B:64518586:64520041:-1 gene:Sspon.07G0028160-1B transcript:Sspon.07G0028160-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFPLPKEGVRYQQVIGQREVQNILPLLIPTTIPKPPVHPLETYISRTNMAVEVSSYKEFLADRHGTNHAIKIFPKLPFGALAKTYLRGIGTDHIQSRISNYQLDQDNPVALPPNNNDSILKAPIDQDAYTIP >Sspon.02G0035720-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2B:21269589:21270337:-1 gene:Sspon.02G0035720-1B transcript:Sspon.02G0035720-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GDVSAAALLFRRHAEHPPRAPAQEVQALGAHEVGWEEAVRVAAQVRATPSGSTPSSTAPGDPPSTISHSRGSTVTDASPQFWPSLRCIAASPPPPAPRRSDPSSAGSAKRETPGLDALLRPGTVVGVRTRTTMLKTGQVLVLWLKATVVSSTHGGYEVVYDGNRPSGDPKGTVRVARHHVRVMKTTPSLTTPPPSLPPPTASVAAAAQKKDTLPAARPTTAGKSVRLIRSLLPEMERQARARLSGIGY >Sspon.07G0006430-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:16779810:16782746:-1 gene:Sspon.07G0006430-1A transcript:Sspon.07G0006430-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RCSERDTFPYFMLLAFEASGLPRFVLLLALWPLLRLLELGAGPGRRRDLALRAAAFVATVGVPRAEVEAVSRAVLPKFMADDVDPAAWAAFGSCEGRRVVVTRMPRVMVERFAKEHLGAHAVVGCDLEYSRLRRSTGLLKGASHEAVATRVRALFAGDDRPDLGIGASDDYVLRSCMQEQLRPPFTAEHETKAPPFRPVIFHDGRLVCRPTAFMSLVILLWLPLGVLVAFVRIAVGLMVPIWTIPYIAPVFGGAVIIHGRAPPPVRVSDAATDDGGSPSGVLFVCTHRTLMDPVVLATVLGRRVAAVTYSISRLSEILSPIPTVRLTRDRDVDAARMRAELARGDVAVCPEGTTCREPFLLRFSKLFAELSDSIVPVAMNYRVGLFHPTTARGWKAMDPIFFFMNPRPVYEVTFLNQLPAEATCAAGKSPVDVANYVQRILAATLGFECTTLTRKDKYTVLAGNDGSVNAAKPAAAGKPAWQSR >Sspon.04G0005460-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4A:15796604:15796749:-1 gene:Sspon.04G0005460-1A transcript:Sspon.04G0005460-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding EAKKPDEMSFEEFQQIVAMTSLYVRREVMEALFPGRGRRRGPVMDVE >Sspon.02G0030840-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:113110188:113112337:-1 gene:Sspon.02G0030840-1A transcript:Sspon.02G0030840-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADQKSCRQAMAPRFLLLATAFLFVAAAGQDNNIMKPFNPSCSTTDDYTDGSQYKKNLEQFLAALPAAARDNGWFYKGSAGTGADEVFGLIMCFADRNATQCLDCLARAPEGITTVCPGSRNVSAAYDACVLRYSSAPIPTTADLGVAFLVYTNISGLPVEPEALREAWVPLMSSLSGGVASTPSRIFSSSTPYSGTGSKEMYGLAQCARDLNGSECSNCISNYSGTLGKQFINNAGGAIKGYSCYLVYLVAPIDITLPPAPAPAPAPPTSSPPTPEPSKTGIVIGVSVVSVSIMIILGIFSMWLLRRRLRRQARILEEAREQELGEGGFFDEDPEMEDDFERGTGPKRFRYGELAIATDNFSDTQKLGEGGFGSVYRGFLKEMGLHVAVKRVSKGSKQGRKEYASEVRIISRLRHRNLVQLIGWCHGGSELLLVYELMPNGSLDKHLYTTSDDTDTLLPWPLRHDIVLGLGSALLYLHEEWEQCVVHRDIKPSNVMLDASFHAKLGDFGLARLVDHGRGSHTTVLAGTMGYMDPECMITGRANAESDVYSFGIVLLEIACGRRPLVARREEEDVIHIVQWVWEYYSRGAILDAADTRLNGEFDDQEMETVMAVGLWCAHPDRSLRPSIRQAVNVLRLEAPLPSLPARMPVATYMPPPDALYYTSSVTTGGGSSSTDTGTTRSSTTET >Sspon.03G0005510-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:20062809:20064873:1 gene:Sspon.03G0005510-1P transcript:Sspon.03G0005510-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLCPASSCRSASLRRIAASPAAPSFPSSRHYGGASTAPLASPVASQPPRALSAASYDYGGDLLRPIDTQTIIIAAAVVSAVALSLVLGLKGDPVPCDRCAGNGGTKCVFCNDGKMKVENGVVECRVCRGAGLILCKKCAGSGYSKRL >Sspon.01G0005870-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:15302962:15306894:1 gene:Sspon.01G0005870-1A transcript:Sspon.01G0005870-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFASRPVVHPLEVAAPADPVQPAPGVLMKDLPGMPGTPGGLGLRVLQLLFAAISLAAMSSTVDFASVSAFSYLIATTILQCVWSLTVAIVDIYALLVKRCLRNRRAVALFAIGDGITWAVSFSGACMAAGVTVLIDTDLTCATRTILT >Sspon.04G0017380-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:67249556:67251959:-1 gene:Sspon.04G0017380-2B transcript:Sspon.04G0017380-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGKIEIKRIENSTNRQVTFSKRRGGLLKKANELAVLCDARVGVVIFSSTGKMFEYCSPACSLRELIEQYQHATNSHFQEINHDQQILLEMTRMKNEMDKLETGIRRYTGDDLSSLTLDDVSDLEQQLEYSVSKVRARKHQLLNQQLDNLRRKEQILEDQNTFLYRMINENQQAALTGEVKLGEMTAPLAMLPPPAAFAHSTYYGGESSSSGTALQLMSAAPQLQHADLGFRLQPTQPNLQDPAAACGGLHGHGLQL >Sspon.02G0051970-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:86686257:86686496:-1 gene:Sspon.02G0051970-1P transcript:Sspon.02G0051970-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAVVTAVIAIAAVVLGWITIEMACKPCLETGRRAMDRALDPNYDPDSPTNATAAAGASANEPLLADLSASTAPPAKAI >Sspon.06G0017870-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:96976072:96979183:1 gene:Sspon.06G0017870-1A transcript:Sspon.06G0017870-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVIQSCRCRSISDDDGVCVSLPENVVFDVLVRLPLKALCRFRCVSKAWRTLISDPGFVAAQRSHAGPLIVGVFGWGPDHELRVLDTHGDVLRVFEVKESAMLAPTRLDLICVDRMRLGAMIVDPAARRAFTVGRDVDRWSNFSFGRATPSGAYKVLHFYETPTTVCEVATITDDGAEPTWRQRPPPTTCFSSKHRATVNGVLYFMPYMTYATTPVWNRVAGFDLESEVWMETIKGPPLGPEVDHELGRIALTELKGALCMVHIDNIKYADYVGGLRLYANVLDVLEDGRILLLSSKLKGRSSSTDGGWGYVLGDESAVIKAGAGREDHLQSAFHSELLGCAVALRMVSSLGISNIIVETDASLVKFELESEEYRLSAIWAVSSLISSIC >Sspon.04G0014240-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:58768888:58771767:-1 gene:Sspon.04G0014240-1T transcript:Sspon.04G0014240-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKGRGKGRLRQESTLEECAGGASPPVAASSSESAPPLSRLRPARFVATAGDFLMHILLWMDKISQKREVEVARTTMANLTRVDDVSSLWTIQDTDSEFTMFDFRQIVESTDNFCNENKLGQGGFGAVYKVGQLPNGLEIAVKRLAAQSGQGFVEFKNEIHLIAKLQHTNLVRLLGCCVQGEEHILIYEYMQNKSLDFFIFDLIIADNTITSADVTRARLLTWAKRLNIIEGIAQGLLYLHKLSRLRIIHRDLKASNILLDSDMNPKISDFGLAKVFSSNAIQGNTNRVVGTYGYMAPEYASEGVFSVKTDVFSFGVLLLEIISGKRNAGFHQQGDFFNLLGYAWKLWKEGRWFELLDKSLADHHDQDQALEILKCINIALMCVQENGDDRPTMSD >Sspon.08G0017640-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:3945166:3945504:-1 gene:Sspon.08G0017640-2C transcript:Sspon.08G0017640-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGKAKPKKHTAKEIAAKIDAATTNRGGGKAGQADRLGQDKGGHAKLACPLCRTSAPDIKSMQIHHEARHPKLPFEPEKLVNLHSSAPAAAAAAAEATTSKPKPGIRGSLKK >Sspon.08G0026570-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:27805409:27809904:-1 gene:Sspon.08G0026570-1C transcript:Sspon.08G0026570-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPVPPAGSPDGRGKSPSLLSPSSSSAFPAAADGDHDAAVSEHACVTLQEWWLATAEADDQKIAVAGKFEWNQTLHEYSPAPIAKRHVPLLLETEDGTLLCIHGSHKVAQTYKNGYSTTVCSDFLNGFPDWWESCKPCNSGVDSTQFYLEKFEQGRNLISKFEDIFGSFSHNAAVFQKSSHLLNGTPRFEEYTCDGDIATNENAAASSEAATGDQRTPVVSLEVRGCRKGTQHMSLTEKAAVDDEMPASVYLDMQNSCLSNGTPILEEYTCDGDIPPNEDAAASNHDSERYTATSKEVNNMEMGLVTGSPSRERGHDDIATDVSLAPTVECTNNAVSESKKLIDLCLGKQPVGRPKKRISSHEKCRSATRSPGTRNPASYDGLIAAVIGLDSPAVPKWSESKTGRRKKRDSMSIFQ >Sspon.02G0029350-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:106811979:106814663:-1 gene:Sspon.02G0029350-1A transcript:Sspon.02G0029350-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPRDHTDYSSECDHGRDSRESSPDYTCGANYPVSPRDLSIRLHKVIQRKLEDRIKELESAIAHRQKQTQVQMMVTDQIFSERICSNSESGSSSGQGSPIFIQESSLAEPYCLNLSGDALEAYDEAYEEFMRIADSPCTTSTNGKPQATEDYLVDRGLIWGMEDSSRKLKEVPTWERALKSDQESDRDESGDDDDDDDDCKANLMALAESYANRLVDRSTHGMTSLPLNEAKQQVQIWVPPCWTGPPHQV >Sspon.01G0055630-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1C:82007248:82009721:1 gene:Sspon.01G0055630-1C transcript:Sspon.01G0055630-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVTDEDTGLAITDAERLERIREKLSYLLRGGNLSRGAAMAVSSGTATTHTERRLHQMMLDDCDYEQLQQQAPGQSQRPNVTVRNWNDKDYSVVTIRCKDRPKLLFDTVCTLTDLQYVVFHANIDAKDSQAYQEFYVRHVNGSPMNTETERLRVIQCLEAAIERRVSEGVKLELCTNDKVGLLSEVTRIFRENSLTVTRAEVTTRGRMAVNTFYVLGSAGEAVDQKAIDSIRQAIGRHSLQVKGQPEPQEAQKKESPTWFLFANLFRPRSLYSFGFMR >Sspon.01G0006980-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1C:16733608:16733941:-1 gene:Sspon.01G0006980-2C transcript:Sspon.01G0006980-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLSTPRSPTRRNVQRQSDRAGIPVLVTLVCLQLPPQQNELCFGGAWRASPGFVSRCVRVLWYSMMEATQLNKRIGERTRQRRFVIICISVRCTRVYGIRETRELLVLPH >Sspon.01G0054350-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1C:54725425:54725665:1 gene:Sspon.01G0054350-1C transcript:Sspon.01G0054350-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GKSLYDLFHEFRGVPAEAFAEKFRAAAGVPMTVPAAEASSAPQGQQAGAPSWEPNGVQVDGVTAGPLRPLRLDGETWWY >Sspon.01G0020600-2D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1D:74012852:74013196:-1 gene:Sspon.01G0020600-2D transcript:Sspon.01G0020600-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARRGEERRAGAVAVDHITSPRRTWRRVVSGGGEIGRQWAVLGSGAARRRVAAVAVQARSAVAMEHAAAAIQVWVHEWICEHNDSKRKARAKIFFKQMGASERMTVLGRSSGH >Sspon.04G0026320-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:41843357:41845750:-1 gene:Sspon.04G0026320-1B transcript:Sspon.04G0026320-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding QIFIRACNCTVALNVNLQCDSVEDVKRAIEEREGIPVEEQHLVICGKPLRQGAFLRDYDALGHQSTVDVSMRVRGGALYEMTLLDFVTSVTPTKPLIENITIPTKHGQQQSMTVMSKLGRRILHGVLSCVLNAHGAGLVYDGVSQMDDFRVYVYDDYEGRRTEFARVVYVRDAMLRPLPEQDFISRQNEVYQTLREVIGRLFPVPWMPLHVQSIHTLLDKMAEWMLAGPPEKRTQLLQAHAAMVSSSVGVATMCFNLKRFYDQLEPEKKFTFEQAMTKAKHRTANLDLEVDEQILFETVYHNDGKAKKANTSAAGRRTQQDQAPKTPYAGDTGIFDFARNWFTHVPGVFLLPERYPSRNGKDSEDSDHEDDDNGLSDIRWRVLRAKDDMNDLFEQNYKDMKRRVGRR >Sspon.08G0012930-1P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4B:40331889:40332488:1 gene:Sspon.08G0012930-1P transcript:Sspon.08G0012930-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCSSQLNACSTSMSCETNLLKENNELKSEVKNLSNKLERCYNSKVTFEHIMKTQRNLGDKSGLGFKKKLTRGKKARKEMKRLLQKKLSHSMCYRCHEAGHLANGCPNIEKLKKMKEEERLKHVKCFKCRTWGHLTSMCPTKQLVKQQVKPQPKPLVEQEKTPKSKSRSTMEMLDLIIKKRKQEGVVKQGIQCKFKMPR >Sspon.02G0014880-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:39933999:39951620:-1 gene:Sspon.02G0014880-1A transcript:Sspon.02G0014880-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription initiation factor TFIID subunit 2 [Source:Projected from Arabidopsis thaliana (AT1G73960) UniProtKB/Swiss-Prot;Acc:Q8LPF0] MAKARKQKGDGGGAGGGGGATVLHQKLCLSIDMENQLIYGYTEIKVLLAENDTFALHADNMTIRSIMVDGETVEFDYSPHWKNESDQPNWSSISCLKTAADAACSTYTSSLNREATPNLIVSSERSVKSMTEQQLDENSEKHEENSGRLEEHGGKPVQTSYDQIVNGCNGSAVEEAIENSAVEEGKEKEKEKEKEEENGNEKSKENGNEFENEKVKNIKLVHIDYILEKAETGIHFVGNVMHSSSQIRRAHCWFPCVDSSTQRCPFDLEFTVSTDFIAVSNGDLLYQVLSKEDPSKKTYVYKLNTPVSAQWISLVVGPLEVLPDQNDINVSHICLSPALAKLQNTVACFHDAYRCYEDYLAAPFPLGLYKQIFLPSEMTVLPASLGASMCIFSSDILHDKKVIDQIIGTRIKLAYALAKQWFGIYTSAEEPNDEWLLDGLAGFLTELFIKRYLGNNEARYRRFKVRLLPKAYVTLILVFHAGELCLCPIERENGERALYKATSQPHVEHLDQIVLEANCTVCESDVSGATALSSTAASSDLYGTQTIGSYGKVRSLKAVAVLQMLEKQMGPDSFRKVIVAPNRASRTLSTKEFRHLANKVGNLERPFLKEFFPRWVESCGCPIMRLGISYNKKRNMIELAVSRGCTAKATPDPDSHTNGDTREGDAGWPGMMSVRVHETDGAYDHPVLPMAGEALQVVEIQCHSRLAAKRVWKSKKNTKLDGSDDNIDTSTQENRTSVDSPLLWIRVDPEMEYLAEIHFHQPVQMWINQLEKDKDVISQSQAISVLEKLPQLSFAVINALNNFLNDTKAFWRVRIEAAYALAVTSSEKSKGNNADAEATDLAGLLHLVKFYKSRRFDADIGMPRPNDFHDVPEYFVLEAIPHAVALVRSSDKNSPKGAIEFILQLLKYNDNNGNIYSDVYWLSAMVQAIGELEFGQQGMGFLSSLLKRIDRLLQFDKSVELPNNFMPGYNGVLTVSCIRTLARIARRVSSSVCLDRICELIAPFRDMDKPWKVRIEASRVLIDLELHHKGLDAALLLFLKYVDEEKSLRGATKLAVHVLRICQASIVPYANDQINLTTLIGLLHLLAGTKAYNNVFLRHHVFCIMQVAAGRSPTLFGVPKVVTPPPVVKDICSDQHTKADSSIPQPSKPQEPSTSTPSVREVLPTSGPTKDADNISNCSERRNVVKIRVKLTASSSKASDTDHRGHSHGGRNENELGPCSSMSVDAPMVGAANEPLNVSNHNIEEQNSCHDRESRMSASVSNAKLMDRHEISKELQCTADSRLDALPKFSPAINPPEVLTKTGSQLEGVSTSYDGNQAPECVNGVETKERKKKDKKDKKRKRDEKRDKKDDPEYLEKKRLKKEKKKMEKELARKQLEGEGRATPEQRKTVKPSGSQEVLPARPPAPVLSAEPAPARSSEPQVSSKETTVDTARTAAKPRIKIRVKPL >Sspon.02G0004120-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:12611717:12614248:1 gene:Sspon.02G0004120-1A transcript:Sspon.02G0004120-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMRSQRKQAEPEEEDLEMKRSDFEAWVAREYRRRLLELRGTYSDVFGVIAARDCIDNIRNVIFSRTRDRCQTLTAEGSLLNLEDRYLVLSGPTCAVVWSDHVSIEVKLTVKGRTESEDKDLSFLAVPLLTGDTGYSNLFCCYKTSKLSTLEFTLGHIVRSVEATIFVRVIDVKFHVSGDGEIEMSRRVVSVENRGNLKVCIKSWEASECGKKVMKDELVFKPKEDKRSQAF >Sspon.07G0024050-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:20087128:20090153:-1 gene:Sspon.07G0024050-2D transcript:Sspon.07G0024050-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGASSSRRRRDDYYPPPHHYSSYPPPPPPPPPHHHHHHQHRPPPPPHHRPPPPPPPASYYYHPHPPPPHAYHGPWHPAPAPPPPQPPALTGPPPEFVEHQQAQKVKNYVNLHKDTIRLVPDDADPERRLVAFTFDAITDGSVTIYYFAKEGKDCSFSSIYPELQTPTKIPFEKGLAQRFIQPSGSGVDLGFFSLDELSSSSGEVFPLVVYAEAYPSPEEGGPSVNSTRAQITLAVLEKHNNDLQVKVVKQILWIDGVRYELQEIFGMVNSTESDVADADADDTGKECVICLTEPRDTAVMPCRHLCLCSECAKTLRFQSNKCPICRQPVEKLMEIKVRSAEP >Sspon.08G0014450-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:56491692:56494421:-1 gene:Sspon.08G0014450-2D transcript:Sspon.08G0014450-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding WGMAAATATLRWVLQLHRDVPRAARFYSEGLDFSVNVCTLRWAELQSGPLKLALMHTNCSNLASQRVYSSMLSFTVPDINSTVSKLMALGAELDGPIKYEIHAQYSSQLHKVQPSRAPKSKGIISK >Sspon.06G0010820-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:42351624:42358105:1 gene:Sspon.06G0010820-4D transcript:Sspon.06G0010820-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:MLG (mixed-linkage glucan) synthase, Biosynthesis of MLG (cell wall polysaccharide [Source: Projected from Oryza sativa (Os08g0160500)] MAPGGGDGRRNGEGQQQQANGGSNNAKAKHGCVCGFPVCACAGAAAVASAASSADMDRVAVAATEGQIGAVNDESWIAVDLSDDLSGDGADPGVALEDRPVFRTEKIKGILLHPYRVLIFVRLIAFTLFVIWRISHRNPDALWLWVTSIAGEFWFGFSWLLDQLPKLNPINRVPDLAVLRQRFDRVDGTSLLPGLDIFVTTADPFKEPILSTANSILSILAADYPVERNTCYLSDDSGMLLTYEAMAEAAKFATVWVPFCRKHGIEPRGPESYFELKSHPYMGRSQEDFVNDRRRVRKEYDEFKARINGLEHDIKQRSDAYNAARGLKDGEPRATWMADGNQWEGTWVEPSENHRKGDHAGIVYVLLNHPSHSRQLGPPASADNPLDLSMVDVRLPMLVYVSREKRPGFNHEKKAGAMNALTRCSAVISNSPFILNLDCDHYINNSQALRAGICFMLGRDSDTVAFVQFPQRFEGVDPTDLYANHNRIFFDGTLRALDGMQGPIYVGTGCMFRRITLYGFDPPRINVGGPCFPSLGGMFAKTKYEKPGLELTTKAAVAKGKHGFLPLPKKSYGKSDAFVDTIPRASHPSPFADADEAAAIVADEATITEAVAVCTAAYEKKTGWGSDIGWVYGTVTEDVVTGYRMHIKGWRSRYCSIYPHAFIGTAPINLTERLYQVLRWSTGSLEIFFSRNNPLFGSTFLHPLQRVAYINITTYPFTALFLIFYTTVPALSFVTGHFIVQRPTTMFYVYLAIVLGTLLILAVLEVKWAGVTVFEWFRNGQFWMTASCSAYLAAVCQVLVKVVFRRDISFKLTSKQPAGDEKKDPYADLYVVRWTWLMVTPIIIILVNIIGSAVAFAKVLDGEWTHWLKVAGGVFFNFWVLFHLYPFAKGLLGKHGKTPVVVLVWWAFTFVITAVLYINIPHIHGPGGKHGGAIGKHGAAHHGKKFVELYGWP >Sspon.01G0027730-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1C:95080519:95085885:-1 gene:Sspon.01G0027730-2C transcript:Sspon.01G0027730-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to Homeobox protein [Source: Projected from Oryza sativa (Os03g0727000)] SSEEDQEGSGGETELPEVDVHGVDQELKHHLLKKYSGYLSSLKQELSKKKKKGKLPKEARQQLLSWWDLHYKWPYPSETQKVALAESTGLDLKQINNWFINQRKRHWKPSEEMHHLMMDGYHTTNAFYMDGHFINDGGLYRLGMELPAPLSRAGGEAYTDARQDALAKAGPPPRRPPSFYSSVFAQIEEIGWEQVVSATGDDGVSCLTFRVVDEPGRIHLLEITLPLGYPESPPSISAHLKILQEFWSTMDEIDKVLWIVDPTKPSYAMCHRRISLGMQRKNSLRIWQPSWTMHCLHPLQSVVMPKTTSKLIVGYAMPSICQLWSLNLDRSSCFSDPPDDELGAHSGCATDYTCENPSCSRAFHSVCLRDWLRSITSTRQSFDVLFGNCPYCSDPVAVKITDH >Sspon.06G0012640-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:54609514:54622338:1 gene:Sspon.06G0012640-2B transcript:Sspon.06G0012640-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGERESLLLLDRSADAMERGGERHHPLSVFLRDARLAFRWDELGQEIMKIAVPGALALMADPVASLVDTAFIGHIGPVELGAVGVSIAVFNQVSRIAVFPLVSVTTSFVAEEDALSNGRDNDKIHQENESNVSLRASATTSISSFETDSCEVSVEQKRKNIPSVSTALLLGGVLGLLETLLLVLSAKPILGYMGVTPDSAMMKPALQYLVLRSLGAPAVLLSLATQGVFRGFKDTKTPLYATVAGDAINIVLDPIFIFVFQYGVSGAAIVHVISQYLIASILLWRLRLHVDLLPPSFKHLQFGQFLKNGFLLLARVIAATFCVTLSASMAARLGSTPMAAFQICLQTWLACSLLADGLAFAGQAILASAFARKDYPKATATASRILQLALVLGLILSILLGIGLRIGSRLFTDDQGVLHHIYIGIPFVCLTQPINALAFVLVAIVSIICILTLESYSGFIGIWIALVIYMSLRMFAGFWRIGTAQGPWAYLRS >Sspon.03G0006230-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:17151074:17153694:-1 gene:Sspon.03G0006230-1A transcript:Sspon.03G0006230-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNLAETLLRDIIRGSPDVKWESIKGLENAKRLLKEAVVMPIKYPKYFTGLLSPWKGILLFGPPGTGKTMLAKAVATECKTTFFNISASSIVSKWRGDSEKLVKVLFELARHHAPSTIFLDEIDAIISQRGEARSEHEASRRLKTELLIQMDGLTKTNDLVFVLAATNLPWELDAAMLRRLEKRILVPLPEAEARQAMFEELLPATTSKLEVPYDILVEKTEGYSGSDIRLVCKEAAMQPLRRLMSVLEASDELVPEEGWSSEPEDIELALRNTRPSAHLHAHRYEKFNQDYGSQVDVPFNHGTRA >Sspon.07G0025000-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:55071012:55077861:-1 gene:Sspon.07G0025000-2C transcript:Sspon.07G0025000-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VMIVDYRLLFPTGSVVAHLINSFHTQQGAYAAKFCPSSECKMEVSGSFYLPFWPCGNVRHLFRLQVAAIFKTFLGSLWFFAGGDNCGFQAFPMFGLELYKRNRFYFDFSASFVGLGMIVPHVVNFGLLFGAITSWGLLYPFLQSKRGQWYHTDSPTSLNGMNGYKIFIAVTMIITEGMFNFIKLLTVSSIDFYKKRQEKDSGKIKYRLTSPSLNYDDRKRLEVFLGHRIPLYVPVAGYIGCAIVCSVVIPWIFHHIKFYHMALLFIILPVFTFCNTYGTGLTDWSVAQSYGRFIQFVIAAWVAKPGAVIASLAACGVSVAALNVSSQAMQDLKTGYMTLTSPRAVVAGHIYGILIGSIINPCIFLAFEANAKSTAPIGSKDSEYSCPSAAVYRAIGLLGKGGVNELPDHCITLCLVTFFITLAIETIRLVSQRKNWKLQNFIPCMIAIALPFLTGPYFTIDMCLGSVLLIIWTKRNRQRAELLTSAVAAGLICGDGLWVLPSSILSIFNVYPPICMKFLSSGKQVDTVDSFINTLETHGRR >Sspon.04G0028410-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:65551932:65553923:-1 gene:Sspon.04G0028410-1B transcript:Sspon.04G0028410-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:TLP8 [Source:Projected from Arabidopsis thaliana (AT1G16070) UniProtKB/TrEMBL;Acc:A0A178WHW0] MATATTPNPKATTRARREPLRPRSNNAATSLAVVSARRGPAASAEKENQRPKSLAHGKEEEDKGKAAAAAATTTAELSKPAEPAPAVVAPPTPPQPLKPSSLQLRMKDESAEAAAAQAPPVFVVGPRGRELLLPPPSSNYEAWDLSDSESAPASSWATLPNRALLCWPLPQDVGRCTCVIVREAATGARGVALYSLYTNEGQGRQDRKLAVARHRRRRGRSEFIVAQNQDGIFCTSDNNFLGTVGANLVGSKYQIWGQGSRVDELKNQSKRLLGVVAFAPTITTLTGSFRSMRAWIPKNQSMQLKTNNFAQIQHVSGLPKDWQEKKNRADQLCSRAPFYNHMTKRYELDFRERAGRMGYKVQTSVKNFQMTLEENGRQTVLQLGRVGKSKYIMDFRYPLTGYQAFCICLASIDAKLCCTL >Sspon.01G0039410-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:30191312:30196966:1 gene:Sspon.01G0039410-2D transcript:Sspon.01G0039410-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKKEKAVNVSGKPRHSNDVNRPNDKKGAGGGAGGGSRSAATVRRLKMYKTRPKRDRSGKVLRNDFQSKELPNTRIEPDRRWFGNTRVVGQPQLEMFREELQSRLSDNFSVILKERKLPLSLLQDHQKQARAHLLDVEPFEHAFGPKGKRKRPKLTALDYESLLKRADDSQDAFEQKYASSKLPREEEEDGLRDLVRHTMFEKGQSKRIWGELYKVIDSSDVVVQVLDARDPMGTRCYHLEKHLKENCKHKHLVFLLNKCDLIPAWATKGWLRTLSKDYPTLAFHASINKSFGKGSLLSVLRQFARLKNDKQAISVGFVGYPNVGKSSVINTLRSKSVCKVAPIPGETKVWQYITLTKKIFLIDCPGVVYQNNDSETDIVLKGVVRVTNLEDAAEHIGEVLRRVRKEHLQRAYKIQEWSDDNDFLVQLCRTTGKLLKGGEPDLTTAAKMVLHDWQRGKIPFFVPPPQQSEDGASESAEPVGKTEEDGVSSDRTAAAMKAIAGIISSQQNMNVPCHKEHNADNEDTESADNEDIEGADKEDIDSADNDELAEQSE >Sspon.01G0060350-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:77298561:77301953:-1 gene:Sspon.01G0060350-1D transcript:Sspon.01G0060350-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MWPPGMDELSTLFDIEDLSLWHQPHTTLFTSDGLGLRETTELVGSVTHWRHARVHEELEDGKPAALRIAEARALFLLALVCAAQAGKDKESSSAPAEGGDAKASGPGGSFDITKLGASGDGKKDSTKAVQEAWTSACGGTGKQTILIPKGDYLVGPLNFTGPCKGDVTIQVDGNLLATTDLSQYKGNWIEILRVDNLVITGKGTLDGQGPAVWSKNSCAKKYDCKILPNSLVLDFVNNGEVSGITLLNSKFFHMNVFQCKDMLIKDVTVTAPGDSPNTDGIHMGDSSGVTIVNTVIGVGDDCISIGPGTSKVNITGVTCGPGHGISIGSLGRYKDEKDVTDINVKDCTLKKTSNGLRIKAYEDAASVLTASNIHYENIKMEDSANPIIIDMKYCPNKICTASGASKVTVKDVSFKNVTGTSSSPEAVSLLCSDKIPCTGVTMDNVKVEYSGTNNKTMAICKNAKGTATGCLKELACF >Sspon.07G0019410-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:73147708:73152157:-1 gene:Sspon.07G0019410-1P transcript:Sspon.07G0019410-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding QLIHIYLLSPSWETLAFALTLVHPFLSPWKTLGLFRRDPRQGWEARSDLTRFMMQVYGVMKTGGHLHTYRVCDDVWTFIITDARTFIITDATCKSADIQETLSKMDPNAMVAACYALPVLVSVLTVRFFYVLWHSDQPASKPRTTGLRCLIVLGSGGHTAEMMNIVTALQKDRFTPRYYVAALTDNMSLQKAQVYEQSLIQVESDGMKTAENAHFMQIYRSREVGQSYITSIATTLLATLHAMWLVIRIRPQVIFCNGPGTCFPLCISAFLLKVLGLGWSSIFYIESIARVKKLPLSGLLLYKLRIADQLFVQWPQHATLVELYLVGVLLEKGEAKQ >Sspon.02G0016970-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:43133929:43136989:1 gene:Sspon.02G0016970-2D transcript:Sspon.02G0016970-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWTTVETGDGAKLSVRLFKPPASGAEPEPGEGVAVVMVHPYTILGGVQGLLRGMAEGVARRGYTAVTFDMRGAASPRGGRRSRAPPRSGTSSPSADGSQTTSSRVAYSSSDPRLEVDPCKDVTLLLMKIPACLSKMQLVLEVLCLYMKQNSRLLDSSLQCAQFIYDELMSHRCLAIELQQFNILRRSMNEVIGKFLWDGLQPVETVYGSDLRLHYAQ >Sspon.02G0035210-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:17723205:17725550:-1 gene:Sspon.02G0035210-1B transcript:Sspon.02G0035210-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRTPAYDICPDPVYGYIGFVGDLFSWGLAGGSAVHFIKGFRASPSGARLAGAVNAVRQNAPRVAGRFGAYCIFFSVIENAASLALRRDDHLTGGTAAAATGGLHGMLRPGGSPAAARCALLAATGFLGLDLALDWALRVIANRLYPQKRQTVMDHQKNLAWNRDRNFK >Sspon.07G0012630-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7B:51132761:51142917:1 gene:Sspon.07G0012630-2B transcript:Sspon.07G0012630-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MWIAEGFISEEQAAAAGVGLFELGERYFIELINRNMIQAQERKHEGYVDACSVHDMVLDLIHQLSSEENFVTVLNGGEWQKLQGSVSHRLTLQCVEEHSIGQLANIAVEKVRSIFASRCNFGALCLRLPFLRVVEMVECSVSINCGEDVLDHLGSLLHLRYLRVGSIVITELPREVRYLRFLQTLDLRKSSVEELPEEVGLLTQLVCLRAGAFGIRVPAGLISKLTSLQELYIRCRGAEQFVKELGLLKELRVLKTRIFVWSESIEISLLESLGHLHNIQELKISYWRNEAPHEVNRDAGWVSCRHLRFLHFDYFRFSRLPAWIKSSLTPNLSYLDLLVVVVNDQDMETLAKLPELSCLRLHLESGTKSVVSIKIRPNEGVGCFRKLRFLKIDGASIWFDLHSSECNSSRVASSNTIMPSLESLEFNVHVRSLKDENPQLGFDKMLGFDNLGRSSLKRVTAEVNCRGASTWDVEEAEAALKHAAAVHPKHPTLVTRREESQDMMSRYQEVMRLLYKDNGMELLALCSNAVHKLWKWEHSDKNPRGELSKSVPPVLWQPENGILMTNDTTNGNNPEEATACTALSKNDSYLVSASGGKVTLFNMKTFKLCVWGLEDGQKMTSRYIQPPSNLSGALVGDTMVQFHYDETHLLVVHESQLAIYDWQLECFCSWFPRDALPAPISSAVYSLGCLLVYAGFRDGAIGIFKAESLTLQCRIAPSAYIPSLISSSGETVYPTVVTTHPWKPNQIAVGMSDGAVLVLEPLDTDD >Sspon.02G0012050-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2A:32026647:32027069:1 gene:Sspon.02G0012050-1A transcript:Sspon.02G0012050-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VAAGGEEEATRGSRPSRSHGRPPPGERKRDGSSRRAADGHYSQEDDGGLRRHHAANVEGSDGRPQNEDGDGCKIRAGRRSRLGRRGRSFWRQGRGRRQWRRDRPATAWCGSGTEGEERAGKREATPEMGPYSGGGRSCMR >Sspon.01G0053260-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:34503860:34508915:1 gene:Sspon.01G0053260-1C transcript:Sspon.01G0053260-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding EMRGGAGWRARRGGASVGEGDAAAVAPGRARSQVEDERVLVHSVEGDEKILIYEYLPNKSLDATLSDNSRNSGYMAPKYAMEDIFSIKSDAIAEVVTGTRRSSMNHIMGFPKLIAQAWTMWTEGNTKDLADSSIIDSCLLDEVLLCSHIALLCVQENLDDRPVMSSVVYALDNRSTTLPTPNRPAYFGRGSNEIDQLKDDIQNSICSFTLTNIEGRTTR >Sspon.04G0001810-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:6497281:6497799:1 gene:Sspon.04G0001810-2C transcript:Sspon.04G0001810-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAESKKFRHAILKNLLLGLRKGGAASSSSRGMSLHERRDAVRHAADAALADARGAAPRWSRALAAELSSQHGRRRPTSWADDAHLHLVDVPATSARPSGQCKSSPPYKTVAAGCKKMISRKRLRARPKSRATARAAGAVAKVMARRRIRALREMVPGGRGMVTSARCSVKP >Sspon.04G0017310-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4B:66583247:66589391:-1 gene:Sspon.04G0017310-2B transcript:Sspon.04G0017310-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPITKMIYNQSILTTTQYEDQSPGDKRHNIFVHPVFTCAPTSYVTGCVDQHLDGSPEDDSGQAAVGPPVSACAVPGDRARATPSARSACGGGGSSSPAGAALRSKRGSTCVVAGEHATTAVERKAGGRRSGEKGGREARRSVVSKHKRGAVTAGSTVQSPSARWWCGGQPAMAEAATGAVRVERVRGRSALTRCFARYPLKLIAPSKVGPSSCDAVWLYALTYGGGIVSVSPEDLPPLSVLSILARRAVAGYSRFGGGCGSVTGSWCRARARPCRGTPYRARSASATGAPRRSPRRPRPRPKLRHIQDTMQDEVKKLMSRQLRPPTSGGSLYTMRSKPPQRPQRPPLIASCSPFGRTLLETPSGRAGDIPWCFPLCRVMKLTDGLKKMLKGSLTFSLHGEAVGYTIDCVPWCSSQVPHVAVVLLRWPWRSWREEEETNGQKLRTIMEAWGWRNLKRAQVGWGRALPPFPLQLQELPQRQRLDHPRLLLPRPQPAPSTIIAALPAPSQKGPTSLSMPPFRSLFTLLAMRMEKPVGLAPGALQKS >Sspon.06G0008680-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:45891443:45896977:-1 gene:Sspon.06G0008680-1A transcript:Sspon.06G0008680-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIRENHPDYKTYYRHKSKGSWTLSTADNGWSVSDCTAEALKALLLLSKISPDLVGEPIEGQSLYDAVDCLLSYVNNDGTFSTYECKRTTPLLEVLNPSESFINIVVDYPSVECTSSVLQALIMFRDLDHGYRKEEIRNCIESASKFIEKEQREDGSWSLKFYCLFWGICFTYGSFFAIKGLAVSGRTYENSDTIRKACNFLLSKQLHTGGWGETYLSSETEEYVDASRPHAVNTAWAMLALVYGGQVERDPGPLYKAAKVLINMQLESGDFPQQEHVGCFNSSLYFNYGNYRNLFPIWALGEFRQRLLARKK >Sspon.01G0020930-3D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:74617189:74626626:1 gene:Sspon.01G0020930-3D transcript:Sspon.01G0020930-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MISPAMWRSAARRSAQVRRLLSSSAPPAPAGAAVPGPCIVHKRGNDILHDPWYNKDTAFPMTERDRLGLRGLLPPRVMSFEQQYERFINSFRSLEHNTRGEPDSIVALAKWRILNRLHDRNETLYYRVLIDNIKDFAPIIYTPTVGLVCENYSGLFRRPRGMYFSAKDKGEMMSMIYNWPAEKVDMIVVTDGSRILGLGDLGVQGIGIPIGKLDVYVAAAGINPQKVLPIMLDVGTNNQKLLDDKLFNLDLGLRQPRLEGEEYLAVVDEFMEAVHARWPKAVVQGTAGVALAGLLGAVRAQGRPLQDFTNQKIVVVGAGSAGIGVLSMAKQAMLRMPGIHRTGEGHNQFWVLDKDGLITKARKDLDSAVARFARGFGPDEIPDLHEGASLVEVVKKVKPHVLLGLSGVGGIFDEEVLKAMKESDSPRPAVFAMSNPTTKAECTPDDVFKHVGENAIFASGSPFSNVSLGARHISDGMLQAAAECLASYITDDEIRKGILFPSVSSIRHITARVGAAVVRAAVAEDLAEGCCDVGPRELGSMSESEAVDYVARKMWYPIYSPLVSDK >Sspon.01G0042570-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:67199432:67202292:1 gene:Sspon.01G0042570-1B transcript:Sspon.01G0042570-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMLPAPCISHQRRCRRFSSPAPVLLPHGHSTHLRPVRRKALSLTLCAATAASRREAAVARMPRLAHREVMLALAHQMQARLGPRLLPSEVPPDVAWFGDAAVPGAALGSVDVHRGAPGSSVRFIPIDFMLEAWFHRALPTGGAIDITSLVVFLNGTTDAPHFLVELIQGGPSSLVILLDLFPRRDLPVHPDYIDKYYGATGVDAHRVNIEKIPQVRPYVSPSLLVRSLWSPTAVVVDVQCGEGKEAVLEEIVRGQIARSAAELFDVWLNKCAGSVVEMEETEKESLVARDKMISTTSVKLNLSANLPKIFDKHVSDRVVAEIDKAFRGP >Sspon.01G0042120-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:63173220:63173849:1 gene:Sspon.01G0042120-1B transcript:Sspon.01G0042120-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GLLRERRVRTLLEGNRHRCRGSPGPWRRHRRPRHRLRRRLPSQGHRRRRRAPAVRARAGLPRRQLHHLLQPHGPRCRCGTPTCTGASTTSPWPWRCPSTAPASTSPPRRSRRSSTRRGRRATFRVTMGGAARPIKLSAGGVREFAAENVTGKFDVELKLDTVLQYKGRKAKCPLVVICPLKLQLVDPEVAATAYEKTKCTVLRAKKSGC >Sspon.01G0023160-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:84228847:84229795:1 gene:Sspon.01G0023160-1A transcript:Sspon.01G0023160-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAKPPLQTAAILLLLLLVAAASWLQTADAASGFCSGKCSVRCGRASARARGACMRSCGLCCEECNCVPTGPARGGNECPCYRDMLTAGPRKRPKCP >Sspon.02G0049580-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:40947222:40950963:-1 gene:Sspon.02G0049580-1C transcript:Sspon.02G0049580-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVRTAILLLLILVVLFLAASTSAARKAVGDMRGRGRKILLREKTTLLALKQRLTLPSSAAAALADWNESNGNVCGFTGVRCDWRREHVIGLSLANMGIRGAIPTVIGELSHLRILDVSNNSIVGQVPTSVGNLTRLESLFMNNNGISGVIPSIFGNLVRLRSLDVSYNRISGAIPLAIGSLGQLQSLNVSGNNISGTVPPSIGNLTLLEYFDMHDNIISGEIPLAICNLTSLKDLEMSVNQLTGQIPAELSNLVRLRSLDVSSNRITGAIPPALGSLGQLQILNVSGNNISGTIPPSIGNLTLLEFLCMQNNFISGEIPLAICNLTSLWVLEVYSNQLTGQIPAELSNLRNIGAIDLGSNQLHGGIPPSLSELTDMFYLGLRQNNLSGNIPPAIFLNCTGLGLVDVGNNSLSGEIPRAISSTTRCQFVVINLYSNKLEGTLPRWIANCTDLMTLDVEDNLLDDELPTSIISGKKDLMYLHLSNNRFRSHDGNNLEPFFVALSNCTSLQEVEASAVGMGGQLPSWLGSLLRSNIWHLNLDMNAIEGPIPKSVGEVINMTWMNLSSNLLNGTIPASLCRLKSLERLALSNNSLTGEIPACIGDAMHLGVLDLSGNALSGAIPSSIGSLARLGYLFLQGNKLTGAIPPSLGRCTSLLVIDLSSNSLTGVIPDEIAGIAKMTLNLSRNQLGGKLPTGLSNMQQVQKIDLSWNNFNGEIFSLEDCFELTVLDLSHNSLGGGLPSKLGNLKYLESLDVSNNHLSGEIPVSLTNCYMLKYLNLSYNDFWGVVPTTGPFVNFSCLSYLGNRRLSGPVLRRCGGRHRSWYQSRKFLVIMCVCSAVLAFALTILCAVSVRKIRERVAAMREDMFRGRRGGGSSPVMKYKFPRITYRELVEATEEFSEDRLVGTGSYGRVYRGTLRDGTMVAVKVLQLQTGNSTKSFNRECQVLKRIRHRNLMRIVTACSLPDFKALVLPFMANGSLERCLYAGPPAELSLVQRVNICSDIAEGMAYLHHHSPVKVIHCDLKPSNVLINDDMTALVSDFGISRLVMSIGGVANTADVGASTANMLCGSIGYIPPVARFLLLINPLLRLIVPESWVYGYGSNPTTKGDVYSFGVLVLEMVTKRKPTDDMFDAGLSLHKWVKAHYHGRADAVVDQALVRMVRRMSDVAIGELLELGILCTQEQASARPTMMDAADDLDRLKRYLGGDTTATFASTLGFSSTTLEDID >Sspon.07G0028530-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:67358400:67359863:1 gene:Sspon.07G0028530-1B transcript:Sspon.07G0028530-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMASTFAYTKPPLTINALSLVVIMCCATNLAAAAIGEDVEQDMAMRARYERWVAKHGRTYKDHAEKERRYEVFRSNAEFVDSYNAAAATAAARGKSSKSSPRLAINKFSDLTGDEFKAMYLKLKDDVGRIEGSIPGFMYGNLSESDVPASRNWTAMGAVTAVKNQAQCASCWAFSAVAAVEGIHAIRTGNLVSLSEQQLLDCSTGRNNRGCNEGDMEEAFLYIARKRECHPYCAFKHHGLSAESAYPYRAVQSSCSAFRKRPAAFIRGFQYVPANNETALRLAVSQQPVSVALDGACRTFQYYSSGVFGAAAVRCKNSSLNHALTAVGYGTDEHGTRYWLMKNSWGTDWGEGGYVRIARDLASDAAGVCGLAVQASYPVA >Sspon.08G0012230-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:52231483:52255000:1 gene:Sspon.08G0012230-1A transcript:Sspon.08G0012230-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVSNVQEVVETRKGSMALALAMVLSFSFKYNEEPTTFALGLYLHLAVSVVHEIKDALGIYCFRITRKEA >Sspon.03G0001100-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3A:2874787:2875092:-1 gene:Sspon.03G0001100-1A transcript:Sspon.03G0001100-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARQKEGRKATTTTVRRGSRCRNYSATTVPFSDHLITAAVVDSISVAHGLVSPENSSRLIYRTVHCGLWLLVQLFLPLPDLTTASCGVKCYWCLCCSVHYS >Sspon.01G0040990-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:38173000:38176736:-1 gene:Sspon.01G0040990-2C transcript:Sspon.01G0040990-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSCIMGNQCQNGTYGSNYNNYNHFQKDPLASSGSYAARTERTLTSISVLGRKTPSVTEHYTLGRQLGEGLTGTTYLCTEISTGCQYACKSILKTKFRNMQDIEDVRREIQIMHYLSGQKDTVTIKDVYEDEEAVHIVMELCEGGELYDRIKKGNYSEQKAADLIRIIVGIIENFHSLGVMHRDLKPENFLLQDKDDDLSIKVIDFGLSVFFKPGEVFTETVGSPYYIAPEVLQKHYGPEADVWTAGVILYVLLSGVPPFWADTHEGVLDKVRDGHFDFESDQWHRISDSAKDLIRKMLCPCPSERLKAHEVLKHPWICDNGVATHQTLDPTVLSRIDKLSAANKSKKLALQVFAKHLSEEEIGRLRGMFKAVDNGNSGAITLAELKEGLRKCGLVFKNIEISDIMEADDYDNNININWEEFIAATVPLSKIEHKEHLTEDFTYFDKDGSGYITVDELQKASMEHKMEDTFLEDIIYEVDQNNDGRANFGEFITMMQSDNSGLGWQTMESSMNVPLREAPEVC >Sspon.01G0024610-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:86425639:86428952:-1 gene:Sspon.01G0024610-3C transcript:Sspon.01G0024610-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLQLNHIARETSDVVRLAAFYEAVLGFERVPSPTYSGFQVAWLRLPSSPDVALHLIERDPAAAPVAVGPGAEGAPPSQLPRRHHLAFSVADYDGFVTGLKARGTEVFEKTQPDGRTRQVFFFDPDGNGLEVTSAGVGNDKQYLSKHCLQNAMETGDAPGSSFVP >Sspon.07G0005390-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:27489341:27493997:-1 gene:Sspon.07G0005390-2C transcript:Sspon.07G0005390-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSQTMASQARGGGGGVGGSGGAGATQHGQMQSLARQGSLYNLTLDEVQSHLGEPLHSMNLEELLKSVFPDGLDPDGGTTSQYEQSSGLLRQGSITMPPELSKRTVDEVWKGIQDAPKRNVGEGGRRRRERERQPTLGEMTLEDFLVKAGVVTEGYLKDLNDVGNVEQVGRAGAVGLTAGAQWLDHYQQRITAIEPHQHGQHSLPGAYMPGRLALQPLNVGPGAILESYSDGHITSPMMGALSDSPTPGRKRGSPGDVEDKLMERRQKRMIKNRESAARSRARKQAYTNELENKVSRLEEENEKLKKQKELEKILFSAPLPEPKYQLRRTGSATF >Sspon.02G0027820-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2B:100720849:100723554:-1 gene:Sspon.02G0027820-2B transcript:Sspon.02G0027820-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQGLILIVLQKITSTLGGAAVIALVSKVGEAASIILEAESIMKEIESEFEIMQAFISQVDQYTGSHQILESWLKHIRKVACDVEDIIDEYAFLLGKMANAKSFLKKAFHHSRNIKAWNNIASQLKHVKARLQHLTVMKKRYGIIISENGVGSSSHNISRQLYLSDSSYINDGDDDVIIIGNEDKMQKLAQCVNNNYVDRTVISICGMGGSGKTTLVRSLYREQELGTNFDCYAWITVSRNYQIEDLLSKVIEQLDDSYEHCVTDHNNDLVEKIKSYLIDKRYLIILDDMWSRDCWPCFDRAFVKNKYRSRVIITTRIEGVATLAQYDPIKIDLLSQQDSWELFNIKAFGTSTCPEGLKPWAEKILVKCQGLPLAIVAIGRLLSYREKEEQEWRLFYNQLNWQLTNNPELYRVSHVLLELSLRDLPSHLRNCFLYCTLFPEDYQIRRRWIIRLWVAEGFVEDRGTETTLEEVAEEYLRELAQRSLIQVTERNEFGRTKTFEVHDLVREMTLTISRKERFAHICNHPEVTDVGDVANRVSVHSGGQVYQPGISSQHLRSFLLFDRHVPVPWINTASSNFRLLRVLCLRYSLVEVIPDAITGLFNLHLLDLSCTGVKKVPKSVARLNKLQTLLLRFARVRDLPPEITLVTSLRHLSVSNGMYGTSIIGGNICGLKQLHTLREVKANKDLAKNLGYLTQLRSLGITGILQSYSADLWTSIMKMTALTKLAVGTSGEKGSLSLEKLRPLRNLEKFYLTGKLAEELSFPICDGFQKLKVLTMRWSGLKEDPLRLLSQMVNLVYLNLYCAYDGESLKFCSGWFPKLKQLYLGKLENLSTVEIMDRTMTNLAQLELCELQNLKAVPKGLRYLRSLQHLYAGNMPGEFVEMLEGNGQESVQHIANIKCV >Sspon.06G0017150-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:74565364:74574209:1 gene:Sspon.06G0017150-2C transcript:Sspon.06G0017150-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAPPPPAPLPPPRPPPSAAAAPPTWPSPPLTSSAVPVCSVCLFEEKWRAARQRLGGFYWTSHGPPSNGPTSGRQPARVLIKHSKITMGWTAVQSRFQFFPIRNEAQPRGWEASGPSPAAHIHGGPRPAPCPHRRTRFAVACFNFLAKQKRREEERGGRVVLDPATAVTGVADRMFLTRTEYDRGVNTFSPEGRLFQVEYAIEAIKLGSTAIGLKTKDGVVLAVEKRVTSPLLEPSSVEKIMEIDEHIGCAMSGLIADARTLVEHARVETQNHRFSYGEPMTVESSTQAICDLALRFGEGDEESMSRPFGVSLLIAGHDENGPSLYYTDPSGTFWQCNAKAIGSGSEGADSSLQEQYNKELTLQEAETIALSILKQVMEEKVTPNNVDIAKVAPKYHLYTPAEVEAVIARLHNVMNYGYSNKLG >Sspon.08G0002010-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:4544843:4545916:-1 gene:Sspon.08G0002010-2C transcript:Sspon.08G0002010-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAYDGNKSNCSEGVKQLPLAMFAVERNSKKRLLFDVSSRKIRGVSSYVFPDATCAFENRGWLLMIRHKPCHFQEQSAFLVHPSSGKQLNLPVFPCREQGYEGFFVFYVGSHGIPLVVARFETWSIVPTVHIACPGDTYWSAYKYGVEPPHMSRTMRRLLERTWIADLTLLGTQVICVDISGQILIFNITEMTWGRMAPCPKWSQEDHHFLVASHGEVVLVSRPGTMENAFKFFRLDIEAMEWSQLDDRELDDTSWFLCKGQSFRVKDAGRRRVYTFSGPKQWSVPMDSYQQTTNGMAMGSTACFTGTSGHDVGLKSITNVYAYDLDDGTVEMVIPASLVTEVCHWVQPSMFATTAK >Sspon.03G0047180-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:54664078:54667250:-1 gene:Sspon.03G0047180-1D transcript:Sspon.03G0047180-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANLTARLWPDLAPLGPSELDQLESQVDKTLKQIRSRKDHLRDARRCLLVAKKGARSKFVAGSSHGVVASNLLIGSH >Sspon.06G0024250-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:52067353:52070288:-1 gene:Sspon.06G0024250-1P transcript:Sspon.06G0024250-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPRHCQGHIAQCLGRILACRGDTTVAAAGPAGGRRLSGAEFVDGVRSLAAGLVDRGLRPGHVVAAVALNSVEYVQLFLAVTYAGAIIAPLNYRWSFEEAAQAVELVQPSAFVFDGAFTSWALRLMESGRFPSIGLYLLLGDPCSTSHAAADFESVAHIKRSLRGAPVTEPMSAPGDVALICFTSGTTGRPKGVAISHTSLIIQSLAKIAIVGYGESDVYLHTAPLCHIGGISSCMAILMAGGCHVLIPKFDAKSAFDAIQEQGVTSFITVPAIMADLLSYARKEMISDWGKTVTKILNGGGGLSLDQINGASQLFPHAAIFSAYGMTEACSSLTFMALNIPKLQEPKNQPGSHYGGVCVGKPAPHVEIRIGMDGNNTSSSPTGNILTRGLHTMAGYWTNNRVDSSDCVRNGWLDTGDTGWMDKAGNLWLMGRQKGRTKTGGENVYPEEVELVLSEHPGVARAVVVGVPDSRLGEKVIACVSIKDGWKWVDARTKHQGKGKEVSPRILHVHCRTKKLSRFKVPRSYYQWTQPFPVTTTGKIKREELKREILAAIQLRSNL >Sspon.03G0041190-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:37675114:37675953:-1 gene:Sspon.03G0041190-1C transcript:Sspon.03G0041190-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LLKQSLQAKKFSTLYLSPRIQSLSLVKMKSSCLPQRTARIGRAIFLLTSWKLLSRCCGAARLWTDHAVMALLLCMHILHAKTGTILV >Sspon.01G0024270-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:87141648:87144490:-1 gene:Sspon.01G0024270-1A transcript:Sspon.01G0024270-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDDEYEEGMEMGYGGHHQHGGGHAGYGAEEDDEMGYGGGGGDGGEMEEEADGDPEQQEEITQDDAWAVISAYFEEKGLVRQQLDSFDEFIQNTMQEIVDESADIEIRPESQHNPGRQAEFAETLHKISFGQIYLSKPMMTEADGETATLFPKSARLRNLTYSAPLYVDVSYRVMKKGHDCEEVTETAEYPKVFIGKVPIMLRSSYCTLYQQSEKDLTELGECPYDQGGYFIINGSEKVLIAQEKMSTNHVYVFKKRQPNKYSYVAEVRSMAENQNRPASSMFVRMLSRAGAKGGSSGQYIRATLPYIRADIPIIIVFRALGFVADKDILEHICYDFSDAQMMELLRPSLEEAFVIQNQQICKGNTSKGNVAS >Sspon.03G0010480-4D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3D:33562193:33572047:-1 gene:Sspon.03G0010480-4D transcript:Sspon.03G0010480-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDASLNSFAITTTAYDGELFNAFEGAWAAACNQGASTVLVPPELEFLVGPISFSGPYCKPNIVFQLEGTILAPTSAKSWGSGLLQWLEFTKLSGIVIQGSGIINGRGQQWWTYSDPEDEDDDDTYDVEFERMPQIKPTALRFYGSFNVVVAGITIVNSSQCHLKFDNCQGVMVHDVTISSPENSLNTDGIHLQNSKDVSIHHTNLACGDDCISIQTGCSNINIHNVNCGPGHGISIGGLGRDNTKACVSNVTVRDVNMFRTMNGVRIKTWQASFHLPIRPTPLPPHRPPALHPRGLRARPPARRRAAPSRGCPLGDSSVSSLRLPLFPLFPFFPKKKDSESSNRIAGSFFMASPSCTSPGAIPVPKCPVIFNGTNWGDFVFHMEVHMDGQLLWGYLTGDRVCPACRSPHILLMLMMPPSMLFWMLLRLRWRPINLILVSETWLREEKSSKAILLASMEIDLARSLRGLATSHLMWDHLCRSYEIRNEAMYLAVVEEAQSLRQLDSTVEDFHRKMTDFETIRAQLLTRRPRPSLTKAMPELRAEETRLRTGGVSRAQPQPSVLAASPSPVSPPPSQSPVVAGVPSGVQCGYCKLYGHEEKDCRKKLRNRYGRRDRRSSQGSGASSTPQGTRSVSAAEQEVLAMFRRLTTAVQASPHGTTAQGSSSTPPPPPSSGISSPWFLESGASFHMTPNTTHLSSLSSPDLPVVVRTADGTSLPVARRGVLSTSSFEVPSVSHVPQLTMQLLSVGQITDHGCRIILESNSCCVQDLRTGLLVGIGPRRRDSQRLWELDWLRLPSPALTPPSPGSSASASAASSTASFAEWHRRLGHLSGSRLSSLVGSGVLGPVSGDTAQHCTACKLGKQLQLPYPSSESKSQKPFDLVHSDVWGPAPIVSKGGRSYYVLFIDDYSRFTWIYLMSSRGQFLSIYQQFATMAEAVSTAVFLINRQPSTSLKGSTPYERLFGSPPSYSHLRCFGCVCYVLLPPRERTKLTAQSVECVFLGYSTEHKGYRCYDPVARRMRISRDVTFDESRPYYPRSPSGLSDRAKSLSFLTLPEWYLPLSSPSSPPPPTPSPSPLPSTSSTIPLPSPSPSPSPPHSQPPVSPSPPLRPSREITHYYTRRPRPAPPLDSSPSVLGPLPQPPRYDLRDRSSLHPPDRFGFTATILAEPATYREAASHPEWQHAMAEEIAALERNSTWDLVPTPPHVTPITCKWVYKEYGIDYEETFTPVAHMTTVRTLLVVASVRQWSISQLDVKNAFLNGELREEVYMQPPLGYSVPDGMVCRLRRSLYGLKQAPRAWFERFSSVLIDAGFQPSDHDPALFVHTSPRGRTLLLYVDDMIITGDDSQFIAFVKQRLSETFLMSDLGPLRYFLGLEVTSTSDGIFLSQEKYTQDLLSRAALTYHRTVDTPMELNVHLRPTDGAPLADPTHYRQIVGSLVYLGITRPDITHSVHILSQFVSAPTQLHYSHLLRVLRYLRGTITHRLFFPRSSSL >Sspon.03G0013360-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3A:37492741:37494284:1 gene:Sspon.03G0013360-1A transcript:Sspon.03G0013360-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VIVLQAGGACYSWRLPPPRRLGGLWLRRSSQEDCARLRRSFVRGIVLIPRGSRRATLVERVIELPHFMKGLFTPPLVRHLDPSVVPEDDGVGHAASRRGGGGGPSVLGGGRGEELLRLGDLAGQGVGRVALMLPHEGSRAHTSLPLRSGSSGSDESCGEGGVRTRAVERRGRCSWEQIRPAEGTPAAGVDRTSEAVRRLRMEARSSPCRARGQGMDAPRRQQTEASRTLGQGRVDPKRRQVQASSSRAHILPGFPHRGQHRSRCTGAWRICAREGGAWRRCADAWRRRAGEGVA >Sspon.05G0000750-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:2039926:2041413:1 gene:Sspon.05G0000750-2D transcript:Sspon.05G0000750-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATTRRNHHVVLFPFPAQGHLAGFLALGRLLRRELQDGVTITIVCTPRTVAALRSSAADADAGSSSSISFHALPFVPADHGLPTDCESTSSLSNRGDLMKLFEAFDTLEPAFDDFLSGLTGVDHKGEENEEPAAANVCVIADVFVAWTVDVARRRGLPHAFFLSCGAFGSAILHALRANMPALPFGPDGTLRLTEHPAVVLHRSQLSPIFTYGDERWTAYNRRHMPRGYLTNAVISNTVEEFEPTGLAMLRRTLGGVPVYPLGPLVRGVPASDKDDGGSDGTIISWLDTQRPSSVVYISFGSQNTIRANQMAELAAALESTGRPFVWVIRPPVGFEFNGAFRNEWLPGGFEARARTDGRGLVVRGWAPQLRILAHAATGAFLSHCGWNSVLESLTHGVPLLGWPLAAEQFYNVKMLAEEWGACVEVARGNMESSVVERSRVVEAVEKVMGDTAESEALRRRVAEARQVLSRAWAEDGGSSRAALHDFFKAMHLL >Sspon.05G0007530-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:23506204:23512029:1 gene:Sspon.05G0007530-1A transcript:Sspon.05G0007530-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQVAAAAVPNGSPGAVPAVVSPGAVGVGVGVAQPLPTTSLYVGDLEGSVSDSQLYELFSQAGQVVSVRVCRDVTSRRSLGYAYVNFSNPLDAARALEVLNFALLNNKPIRVMYSNRDPSSRRSGSANIFIKNLDKTIDNKTLHETFSSFGTILSCKVAMDEAGQSKGFGFVQYEKEEAAQNAIKSLNGMLINDKPVFVGPFLRKQERDHSFDKTKFNNVFVKNLSESTTKEDLLKIFGEYGSITSAVVMIGMDGKSRCFGFINFENPDAASHAVQELNGKKINDKEWYVGRAQKKSEREMELKRSFEQSLKDAADKYQGLNLYLKNLDDSIGDDQLRELFSNFGKITSYKVMRDQNGLSKGSGFVAFSTREEASQALTEMNGKMISGKPLYVAFAQRKEDRKAMLQAQFSQMRPAVPMTPTLAPRLPMYPPMAPQQLFYGQAPPAMIPPQPGFGFQQQLVPGMRPGGPHMPNYFVPVVQQGQQGPRPGMRRGAGAQGQQPVPPFQQQILPQGRMYRYPTGRNMPEAPAMPGVAGGMIQAYDMGGFPVRDAALSPAAQIGTLTSALANANPEQQRTILGENLYPLVEQLEPNQAAKVTGMLLEMDQTEVLHLLESPDALKSKVAEAMDVLRNVAHQQNPNTPTSQLAALSLTEGIIS >Sspon.01G0036570-3D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:15598597:15599210:1 gene:Sspon.01G0036570-3D transcript:Sspon.01G0036570-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDELNKWQSHPDYSEEELAYMYRQQEEEHAGMQQQHHFAERQPEQLQYYTPPTMAPPRSPSPFLHHHQHSSSFPGFGVPALPPRLPFGPVKTEPGQPSSSSNRILSFGGAGQTPSTLNFSSGGDWPEAGVEVVQQMPPERRSRTHWNTQEHVIAERKRREKMQQQFVALATIVPDLTK >Sspon.01G0000640-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:1408179:1412397:1 gene:Sspon.01G0000640-2B transcript:Sspon.01G0000640-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTTAAAQAQPGGLPPAPAEYEEVLGRLSSLITQRVRAHSGNRGNHWDLMAHYVKILELEEPIARMKVVHVAGTKGKGSTCTFTESILRSCGFHTGLFTSPHLMDIRERFRLDGVNISEEKFLKYFWWCWNKLKEKTDDDIPMPAYFRFLALLAFKIFSAEQVDVAVLEVGLGGKFDATNVVKEPVVCGISSLGYDHMEILGNTLGEIAGEKAGIFKKGVPAYTAPQPEEAMTALKQRASELGISLQVADPLEPHHLKDQHLGLHGEHQYINAGLAVALASSWLEKQGHKDRIPLNRTDPLPDHFIRGLSSASLQGRAQIVPDSQANSEEKDKDCSLVFYLDGAHSPESMEICAKWFSHATKDDRRLTSSMEQSQTSRSQKILLFNCMSVRDPMRLLPHLLDTSTQNGVHFDLALFVPNQSQYNKLGSNTSAPAEPEQIDLSWQLSLQTVWEKLLQDKGINTTKSSDSSQVFESLPLAIEWLRRNAQENQSTSFQVLVTGSLHLIGDVLRIIKK >Sspon.05G0001500-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:4892694:4893944:1 gene:Sspon.05G0001500-1A transcript:Sspon.05G0001500-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GRQGSGAEAGPARRQGQAEGAQGGLHSPRYRLRLRGHEGFQADGDRAGRPRRRRGQAAQGRLRRHRLRRASQGGEEGRQEERGRQEGGRQEAAAGRVLWPAPVVRRRAAVPLPPPVPAAVRRPQRRGGPQLLRHLLM >Sspon.02G0011120-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:24163236:24165321:1 gene:Sspon.02G0011120-2P transcript:Sspon.02G0011120-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDYVASLLMGSSAPALDFAALDTGFLDTLCGGAGLFGVPGIAAGAGCGGGGGGSPEGSSVSDPAWARARDDGNVRKRKAPPTGSAGGKEACLGKVRKRPVRSGFFRYRAAEVKGPDGKRCRVGASDSPVKPKVEEAAASDASVEVKGQKKGKGKSPKPAVEPPKDYVHVRARRGQATDSHSLAERVRREKISQRMKFLQDLVPGCNKVVGKALMLDEIINYVQSLQQQVEFLSMKLATVNPQLDFSNLSTLLHKDMYQPCGPSANSVFPLESAGAAFPFCDQADLFQNFGSGGMEDQCSLSLLDTALPHTANPQFAFQKQQRDFWEDGLQNALPTGSEQRQEDGLLVPNFDGQLQADQAKIEF >Sspon.06G0021740-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6B:19371945:19376773:-1 gene:Sspon.06G0021740-1B transcript:Sspon.06G0021740-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAVVRMPASARSSSPSSLQPLQPLPEGSQPLPSSLPGPPPRRARPMSARPSPGSASATRRPRPDPRSPRPSSSSPLPRARTPEPPPPPRRDAVSHTAVSGTTRPTRSWPSSTTRPGGSRTFASSFRSSSSRSLLPTPAGGIWCFSPFVRYALDDHVLVDASVAVQTPSWLRLDSIVLSWILGTISLDLHDLVRTSPDARRAWLALEGQFLGNAEARALRLDASFRTFVQGDLSVGEFCRRMKAMADSLGDLGWPVEDRILVLNVLRGSATATPTSGRGSPVRGLSPPSWRSVTISSWRSSRRASSRDPPPLRGPRPPRRLWLLLRRARLLHGRPLHRRRLSWVPLPPGRAEVGGRGGRRRRGGGRGGGRGGHTQAPAPGGPGGHHGPPSRTRGPGASPCGPTTPRADSRPPVAMLTGAPPAGPPPGAFPATAWTPPSGTLPGVAGWDQAALARSFSTMGLTPPVGPEWIADSGATYHTTPDPADNSCSVEFDSSGLTVKDLASRRPLLRCDSTGPLYTLRFPAAPSSSSPPVLSAAFAASTSSTTWHRRLGHPGRDALIQLSRSSGLPCTRAHDEQLCHACQLGRHVRLPFSSSSSHAARIFDLVHCDLWTSPVLSISGYKYYLVVVDDFSHYSWTFPLRAKSDTFTTLLHFFTWVSTQFGLTIKAVQCDNGREFDNSTSRAFFLSHGVQLRMSCPYTSSQNGKAERMIRTTNDTVRTLLFQASLPARFWAEGLHTSTYLLNRLPSAACPAPTPHHALFGTPPRYDHLRVFGCACYPNTAATSSHKLDPRSTLCVFLGYSPDHKGYRCFDLTSRRVLISRHVVFDESVFPFSSTTTLRFLTLPLFFPLTRWSSHLFRGLLQVPLRRAPCRPPVPDPSGSGPVVLWRGPVLSDWCRPGAFVPRFCPGGAVPVADAGSCPAPAPAPPSRFAAPVRVYQRRPRPPPLAVPSPPGTPTPPPQSPPTRGAPPVYHPPLLHRHPRHVHPMVTRHAAGTLPPRALAASTGDAVVSPVPSSVRDALLDPHWRRAMEEEYAALLANQTWELVPRPPGSNVVTGKWIWTHKRRADGSLERYKARWVLRGFTQRPGVDYDETFSPVVKPATVRTVLSLALARSWPVHQLDVKNAFLHGLLTETVYCSQPAGFVDSTRPDMVCRLNRSLYGLKQAPRAWNHRFAAFLLTLGFVEAKSDTSLFVYHHGADTAYLLLYVDDIVLTASSEPLLRRIIAALQQEFAMKDLGELHHFLGVTVEHRPAGLLLHQRQYTRDILERAGMTDCNPCSTPVDTQGKLSEVEGPPVADPTAYRSLTGALQYLTFTRPDITYAVQQVCLHMHDPREPRLTALKHLLRYLRGTLDYGLLLHRASSTDLVRQPIVSRSSAEAEYRAVANGMAEAAWLRQLLAELHTPPSRSTLIYCDNVSAVYLSTNPIQHQRTKHVEIDLHFVRDRVAMGEVRVLHVPTTSQFTDIFTKGLPSSTFAEFRSSLNITGG >Sspon.02G0020860-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:70918146:70918922:-1 gene:Sspon.02G0020860-3C transcript:Sspon.02G0020860-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding WRLWRRRLAVPREGSQRHPRAWNRRVEQSSRRWYQRRVHLNPILRVRVLEETNPTSKIHRLEQVEKKKMGSTESASSPTPFWVRSSLSSPPRMPPAPKSSPLGGAISGAPPLSTLTAVTFAPSMSSPASSPLIGAPAAAFASPQNTYRTTPPLWMLGSDPPPSTTSKRLTAGSAYFGALRCCNRHLRRHLPSGSRRAFASPLSADATSLTTSPRRFGSPSSRSSHFDGSAFQRIPFIALLLLLPSSSACCLAPSLASTV >Sspon.03G0005890-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:16501775:16506228:-1 gene:Sspon.03G0005890-1A transcript:Sspon.03G0005890-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRVAGSRLLPRISALSFCTASPATAAASSSSSTAAAAAAAAATASEASSTAGDPSSQPPQAARKPWGALKFAAFTAVSAAVGGTGYVSYAYSLDEVDQMTRELRKKSKQPIPEDASGFERFQAMAYSAAMKVPVAAIEVYLDVRSQIEDQIRGFSEPVSDKLLPDLLPQEQHVLTLVLDLNETLVYSDWKRERGWRTFKRPGVDAFLEHLGRFYEIVVYSDQLSMYVDPVVDRLDPKGNIRHRLSRVATKYENGKHYRDLSKLNRNPAQVLYISAHAKESSLQPENYVAMVRPSDIRAVLASYQSGDIATEFIERSKEHQ >Sspon.08G0021990-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8B:44982444:44982925:-1 gene:Sspon.08G0021990-1B transcript:Sspon.08G0021990-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MWYSLSGERARSSGPVTAYSCITHPMLRFPSPPLPLWKLKAFFHHAASLPPPPGMRSLWPSAHVFCPSHHPPPAQELPWSRAQRVVIEDEAFDGKRPALEARKEVVPHRHLIFGGKQNARREPGGCARRCCH >Sspon.04G0037520-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:73276096:73281050:1 gene:Sspon.04G0037520-1D transcript:Sspon.04G0037520-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPAARRLLLAGAARRRRFSTDAAASDSSRPSQQLPKGKRWDAVVIGGGHNGLVAAAYLARAGRSVAVLERRGVLGGAAVSESDLVPGFRFSRCSYLLSLLRPAILRDLELERHGLKLLPRSPSSFTPCLDGRYLLLGPDAELNCSEISKFSRKDAEAYPRYEEKLEQFCKLMDFVIDSAPPELRQEIHFSMVDRMKHRVEKSAFWGHLFRHVMQQGQANMVEFFDLLLSPASKILNTWFEVSISPILNASVDIDHMKQLLLIDFCLLQSEVLKATLATDAVIGAMAGVHTPGSGYVLLHHVMGETGGQRGVWAYVEGGMGSVSSAISKAALEAGVQIVTNAEVSEVMVNKTSGMVKGVALADGTVVHSPVVLSNATPYKTFVDLVPSSVLPEDFLCAIKAADYSSATTKINVAVDRLPQFQCCKDSNPEGGPEHMGTIHIGSESMEEIDLAYREAAGGISSKRPVIEMTIPSVLDKTISPPGQHVINLFVQYTPYKLSEGSWQDSNVRKAFAERCFSLIDEYAPGFSSSVVGYDMLTPPDLEREFGLTGGNIFHGAMGLDSLFLMRPAKGWSDYKTPVKGLYLCGSGAHPGGGVMGAPGRNAATIVLEDLMKAK >Sspon.01G0012880-4D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:38087044:38087879:-1 gene:Sspon.01G0012880-4D transcript:Sspon.01G0012880-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Allene oxide cyclase, Biosynthesis of jasmonic acid, Defence respons [Source: Projected from Oryza sativa (Os03g0438100)] MAAALRSPASVRVVSGPSSAAGLAKARQASRVAMGGAGQQQQPRGRGAAIRASLFSPKPAAAKDARPTKVQELYVYEINERDRESPAYLRLSAKQTENALGDLVPFTNKVYNGSLDKRLGITAGICVLIQHVPDRNGDRYEAIYSFYFGDYGHISVQGPYLTYEESYLSVTGGSGVFEGVYGQVKLNQIVFPFKIFYTFYLKGIPDLPRDLLCTPVPPSPTVEPTPAAKAAAPHASISNYTN >Sspon.01G0057820-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1D:16114899:16115480:1 gene:Sspon.01G0057820-1D transcript:Sspon.01G0057820-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSAAAATPARVPPLAYSCAFTEMAVGSTEATIVGPVRSTWWRWRVVGAAAARVRTPIAVAGRRRRQRAWPRMGAPGVLRYVAAGRANSEAWGGSATAERWRQIASSRPATMAAVPATSRKSEREKKGARAREWRQARAWLSLKACGAVALLVACAGDELHAPEPHRVLGRHPSAGVHAGGEAHGHVEQPRMP >Sspon.02G0056230-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2D:19631238:19633742:1 gene:Sspon.02G0056230-1D transcript:Sspon.02G0056230-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MANKSIREFSAPTTDNIRTGPAGEIDRNFELKPGLINMVQANQFCGKPHEDASAHLQHFLEICSTFTMAEVPRDAILLRLFPFSLLGRAKQWFYATKEKNTTWALCSTNFLAKFFPMGKTNALRGKITSFQQQHDESVPEAWERFQDYILECPHHGMESWLLMQTFYHGLINSARETMDAAAGGAFLSLTIPQATALVEKMASNQGWNEERTQTRKRGGGMHQLKEVDMLSAKLDLLMKKLDDRAGEKKEVMHVYDSHMTCEECGGTGHSGNHCPELLEDVNYINNNNNYYNRPQQNQGWNQQRPNYSGNYQGNNSFNNNNNYPPLRELVSNQGKLMDNLSKKLASNDKILENINNRMDNFSTAIKNQISFNKMIESQLNQIAAAVPATNPGIPSQPEGLESANLVDMFDAGDYWSNPIVEVSTDRLPVKRGDPGRPVIPISIGMRDFPEALCDFGSSVNIMPRVLYEKLFSQPLLETTMCLQLADRTLSFPRGILKNICVRVGSSYAPADFVVIETGSDERAPVILGRPFLNTAGAVIYASAAKISFYIKGRKETFSFKNKTAQIPEQPQYEPRKRTNRRNKSKKQVWTETAKMVTAVHKGQDRQLKSPFLPKKDDPGMPSIYCSINGSHFYKTLCDTGSGVNIMAKVTYELLFGTMPLNPTYIQLQMADQTFRQVEGTVTDVPVKIDDHFVHTDFQVIDMGEDEYDPPIILGRPFLSTVKAIIYIGTGEVHMHFPSEKVRLYFTDPNYVFEESKQVRTRRRRRNHNQKQQVIKDGWADYEGEVVRSEDIPLNQHCPEETKAPRQVWKEKTVVHEEEAPPEPPTTPSTKSQDD >Sspon.01G0016230-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:51130298:51134194:1 gene:Sspon.01G0016230-1A transcript:Sspon.01G0016230-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGGGGGGIADEKQAPAEAFGGHLQEAAEMMEEEEEQHSGGVKSRLSGLLWHGGSAYDAWFSCASNQVAQVLLTLPYSFAQLGMLSGILFQLFYGLLGSWTAYLISILYLEYRTRRERDKVDFRNHVIQWFEVLDGLLGRHWRNAGLAFNCTFLLFGSVIQLIGCASNIYYVNDRLDKRTWTYVFGACCATTVFIPSFHNYRVWSFLGLVMTTYTAWYIAVASLVHGQVEGVQHSGPTRIVLYFTGATNILYTFGGHAVTVEIMHAMWRPQKFKAIYLLATLYVMTLTLPSAAAAYWAFGDELLTHSNALALLPRTRFRDAAVVLMLIHQFITFGFACTPLYFVWEKLIGLHDCRSLCKRAAARLPVVVPIWFLAIIFPFFGPINSAVGSLLVSFTVYIIPALAHMVTFRSPQSRENAVERPPRFAGGWTGAYVINSFVVAWVLVVGFGFGGWASITNFVQQVNTFGLFAKCYQCPPHLTVPPAAPAFTPPPLAPPATSMPPATAFNATGFFPPVPAPAPAPSPMINFLLRHHHHRHHGRHG >Sspon.01G0004220-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1A:11529910:11530905:1 gene:Sspon.01G0004220-1A transcript:Sspon.01G0004220-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFGAVDMEEESGAAAAAAAAEEIRRLPAEVNWEMLDKSRFFVLGAALFSGVSAALYPAVVVKTHLQVAPPPQAATATAAAILRRDGLRGFYRGFGASLAGTVPARALYMAALEATKSSMGSAAVRLGVSEPAASAAASAAAGVSAAVAAQVVWTPVDVVSQRLMVQTPASCRYRGGADAFRKILVADGVRGLYRGFGLSILTYAPSNAVWWSTYAVAQRGLWRAVGPERSESCASLMAVQGASAAVAGAASALVTMPLDTVKTRLQVMEADAATRPTLASTVRGLLKEGGWAACYRGLGPRWGSMSLSAATMVTTYEFLKRLSAKEGSLG >Sspon.01G0042670-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:59697906:59699366:-1 gene:Sspon.01G0042670-3D transcript:Sspon.01G0042670-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATGSNNRFAVTCGLLRQYMLREQQRHQLVEAEAEETDSRTMQLFPVPTRAGTSSQPSHDDQPEAQANCKAPLTIFYEGRMLVFEDFPADKAKELMQLAGSGSEKPAATEPSAAVPSDLPISRKASLQRFLQKRKERIGTAMEPYPKPKVAASPAPEKDVPATGNSKQVMTALKEKAAASWLRL >Sspon.05G0028320-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:66218352:66231673:1 gene:Sspon.05G0028320-1B transcript:Sspon.05G0028320-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGHALALVANFINDQAERVGFFGSGRIQTLYARKLLGTNANGKEVAVKVLRDMAAIDDKEFMNEFQNLAKLDHQNIVQLVGYCNESEPVVVECDGRQVAAEKLHGLQWHIRYKIIKGICEGLNYLHTGLKEPVLHLDLKPDNILLDEEMVPKIADFGLSRLIGDERTKQTMNKFIGTHLGIIITKIMVGRERYHVIADMPPRKLVKLVHTCWKSRLQEIEGLRSRLEVYCQQVKTCIEVASKCLMEDRHQRPTIQDIVATLNETEVIHSIPTRQIEEIWDLEIQKRREYLQGHTDHVSVVYYQPDHQKLITGSLDGTIRIWDTTTYSIGNTKLAAMVLRSFIITRSMADKQKLNSTFHPSYAEINSATTIVGIENWRLSKCQSS >Sspon.05G0027610-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:55323927:55325128:1 gene:Sspon.05G0027610-1B transcript:Sspon.05G0027610-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPMKRRRVEKDLDDKVADALRERTRSVNSITMRLPRFKEGLRDIKDIFDHFLSKLQVQMSEKEMDNLHHYCDIDSRNGIQFQEFVVLLCLMYLLFGPDVTRRVSEFESAKLNYVFDELIDAFIFFDKDGDGKLKRKDVTHRMNEANGKVNLKEFLYSMIRWAGLKTEDDGSNEASP >Sspon.01G0014650-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:31797261:31800827:1 gene:Sspon.01G0014650-2B transcript:Sspon.01G0014650-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRIPSVSLRGGADEDSAAASPPPDPAALSRWVRAFCVIRFDLERGQLVEACFPPDALTAPGGGLDRLVAFSSFPDSMSHHLPRHRSSVHDSLFSFRVPDPSSPRRAFLYGFVFNRQRQDERLPRGGEQKSVVILSHAPYSSLFRLLLQILGPLCFDVGPSALAMVASHVAVWPAPAPGRPMELPIGSAALRVHLPPAADDPGPPPALLPANPSVPYGLFHDADLFAAFRGLLLHLWTLWELMVVGEPVLVVAPSPAQCSEAVAGLVSLVAPLLYSVDFRPYFTIHDPDFARLNALAEGEVFPPMVLGVTNLFFLKSLKSIPNVVSVGSPNPNSTRVLPVGGQSPGNGMNGTPGKLKLEKLAINKFSPTSLLNSIKLRREGPLSLMTEHKEALWSTYSPTTKPDTSVLNRLIDAGVSPRIEESMSVVNNEILRRHFLELTTNFLAPFGPYLRTTTPSEGSSPFVDPPLLPPFHADEFVNGLAARGPGKFLSKRMRSNWLDLYRRFLEGPNFMPWFRQRRAAAEQEQQRLWRQARMNVDIEKLMSNMSELERIDSFNAVERYLLREMENPGKGSADSIGACQKLKVDLQAAFNVLPKDMQQLLLSNPKRAVLLQGSQEKALGANGIVIQTSL >Sspon.06G0031710-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:71338903:71342190:1 gene:Sspon.06G0031710-1C transcript:Sspon.06G0031710-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding HPVAQGAGTATRVGQSVLYSDQPRQPWQEEHTCAVNSSEVDREVIEEALSLSDPPLPTPQTETDEESTDDDCASFPTTGQTEFFFNRPKGISLSMVLSTPLFITVPNVKDEGPKLYSDVDPEDVKRMVKEYLDARPCMNLRATSYNILAVEDPTLNARAAELGTESQLPNVITEQASVAAAHPQHSMVPTESRHEASAEEIIPTGMAWMEEEVMLCFKKLIDKSRYLAELEDYRLDELQHQCFNVESYDKVYHHYNFTVRIKMPEADWKVKLYFAEVKEVFRKKYYLCYPLDPNENGQCYACKNQGVEDLRHPAIDLYEIGSPDASCSLWYTDDESDEEAKATRFDSDNLDLSLLFGS >Sspon.07G0018230-1T-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7B:70306925:70310431:1 gene:Sspon.07G0018230-1T transcript:Sspon.07G0018230-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoglucan phosphatase LSF2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G10940) UniProtKB/Swiss-Prot;Acc:Q9SRK5] MAAMANTSRLPTPCGLPSVSIGAKSRRLAMAAVRCGPGGSRSHRRSLGVFLCRSSSTAGAQGGTRMEDYNTAMKRMMRNPYEYHHDLGMNYAVISENLIVGSQPQKPEDINHLKDEERVAYILCLQQDKDIEYWDIDFQSILNRCKELGIQHIRRPAVDFDPDSLRSQLPKAVSALEWAISQRKGRVYVHCTAGLGRAPAVAIAYMFWFENMDLNTAYKKLTSIRPCGPNKRAIRAATYDLAKNDPSKEPFESLPEHAFEGIADWERKLIQDRYMVYLYVYKEIAIPGIWEQAPL >Sspon.03G0006500-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:17801729:17803641:-1 gene:Sspon.03G0006500-1A transcript:Sspon.03G0006500-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DMGASQVWSSALAWLVIIVGLAAVVSSSEAHVFYAGGRDGWVLDPTQSYNHWAGRNRFQVNDTIGNCVISPLLILPSRRVLESCMTSSSWLAVFTHEKGVDSVLLVTEHDFDTCNTRNPVQQLKAAVGSSGSSVLRLDRSGPFFFISSDEDRCQKGQKLYIIVMAVRRPTTTPAPAPESAFPPAPSPVWASAPENAHAPPPTAGASRLVDGAIIGSVVGIIGKGLNQGIGWRYEMKLRATTRLT >Sspon.02G0026480-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:92203453:92204232:1 gene:Sspon.02G0026480-1A transcript:Sspon.02G0026480-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATYLNAPPAPTTTAAGYCHAFSGGSLRLLRPSRPALHVTCQASSSSSSYQQQPSPSRRSACVGLGLSFACAVLLRPRAVAAATDEEPANNGWWLTEFPLPTPKIVNKEINNAETGTRSFIKNGIYMADIGPSFAAHAYRLRSTAFDLLALEDLLGKDASNYVNKYLRLKSTFMYYDFDKLISAADDKPPFVDLANRLFDSFETLQEAVTAKDDDRISGRYAQTKLILQELMAKMA >Sspon.01G0029070-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:101114981:101117788:1 gene:Sspon.01G0029070-1A transcript:Sspon.01G0029070-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRECISVHIGQAGIQVGNACWELYCLEHGIQPDGQVPGDKTAGHHDDAFSTFFSQTGAGKHVPRAIFVDLEPTVIDEVRTGTYRQLFHPEQLISGKEDAANNFARGHYTIGKEIVDLCLDRIRKLADNCTGLQGFLVFNAVGGGTGSGLGSLLLERLSVEYGKKSKLGFTVYPSPQVSTSVVEPYNSVLSTHSLLEHTDVSILLDNEAIYDICRRSLDIERPNYSNLNRLVSQVISSLTASLRFDGALNVDVNEFQTNLVPYPRIHFMLSSYAPVISSAKAFHEQLSVAEITSSAFEPASMMVKCDPRHGKYMACCLMYRGDVVPKDVNAAVALIKTKRTIQFVDWCPTGFKCGINYQAPTVVPGADLAKVQRAVCMISNSTSVVEVFSRINSKFDLMYAKRAFVHWYVGEGMEEGEFSEAREDLAALEKDYEEVAAEGGGDDGEEDEEY >Sspon.07G0016930-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:81396970:81398586:-1 gene:Sspon.07G0016930-1P transcript:Sspon.07G0016930-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSFNGHVLPGTLFLAVGLWRVWSAMARFAADPPVFRVRAWCPLELPRAPRLLELYVVAGGAFLDMCLELGGGVLAGRGGGVAPESSLFYLEHAGMLLMFFLFGALALLSQKCTRYLPLTDGELYLVAATAFTSEFLLFSYHSATHAGLEGYYHHLLVILIGLCILTTILGALLPASFPVDVAAGTLIALQGLWFYQTALTLYGPMLPDGCDRNANGDQVDCRSRAAEERAEQLANFQLFGAVFLAFVYVLGCYAVAAARYGHPDLVAMHGEHVATLECGGVGGGARAEECVV >Sspon.03G0005420-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:15518150:15519890:1 gene:Sspon.03G0005420-1A transcript:Sspon.03G0005420-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSHKGAGGGGSGGEVRRINVVYFLSRGGRTDHPHLFRVNHLNRAGGVRLRDVKRWLSELRGKDMPDNYSWSYKRKYKAGYVWQDLKDDDLITPVSDNEYVLKGCDVRGTPPPCVQAPRRTSSIGEKKRKEEEEEVTPCNDDQNRPVEVVLTPDSDESSPKPPPPADQDSPGGCESARRSTVPFKVEKPQGLDEEKQQEQVVIKIEVSRSQNHQRQKQQEEKEDDEEGEAATEKADTKAVEEEQPQGEGAAGGVRGHAAHAVGKQARRMRVARALHNMLTCARADADDAALRPLAARLQGGGGDDWPPPPTPTCPGMEGCGLRVSRKATRPRKGGSKDKQRKRDVEKKHDANKPATLPRCS >Sspon.02G0004980-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2A:15740784:15741368:1 gene:Sspon.02G0004980-1A transcript:Sspon.02G0004980-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVILFESEEVRATPAESEHPELPSTPACLGVGHAEDPMVIEVAASLPVFQSADAPSMASPYDDTVAASDDIAVGALFINSLRLPLQKSLLHTPPRAARQEPASLVPRRSVRLAAKAPFRDPNPEKQAKRVLVNKWERRPDDAPIDAPDDRIAVLFHEAFKEPVDSPTREALRELMFPLPCERSSRRPGAAYSSN >Sspon.05G0008340-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:19520916:19524718:1 gene:Sspon.05G0008340-2B transcript:Sspon.05G0008340-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALNCSSRSLLVTDDCAGMMLGCGCRSEEASPLSSCGVNSLWWDELEMELELKLEEEVESDPVDLLPTDPFGMNLETTFTAAIASCIGDLTVMSGAGHFGSGDDDDFYANLSYYLNQAFVLTPEPWSGAYTGVFEDSFVSGGLSGAGGMDQFSRLPPSASCSEPIGVIEDLSSSCDTALPCCDMVDVAPVQEGNDAHEAMVFVLSYLGLRDILAVEMVCKSLRSAVRNEPFLWKCIHIDSHLGKKVSDADLLCLTQKSPGSVQCLSLMGCLSITDQGLKAVLESNLQLTKLGIFGAFRITHQGLIDNLRSFNMKADIGIKRLRVTNRVTASEAQYEELLSLMKIDKELALHKQEPRIFHADRLLPDLHGGYVPDSFVPDLHDEYALDIEKCPLCPNYKLVYDCPSKECKTRGPSTCRGCVVCISRCLRCGRCIDSEFQETFLLENLCRDCVKYEDSPPTEK >Sspon.07G0026550-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:54169575:54170741:1 gene:Sspon.07G0026550-1B transcript:Sspon.07G0026550-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSFITSHNTNNTYTNDTNEKHNDIVNELQARFPGKEKHQVVQLYVHLVVEMNTMRSNNQQVVVSNALVNGNFGMPMEDTNMDMFHGYILDDVEAMKMVEEPPHRLNVVPKKKRQTPVIAWSHDEHKNFLCGLEVYGRGSWKNISRYFVPTRTPIQICSHAQKYFQRKECTTRKQRFSINDVGLYDTEPWVQKNSSSLEALAFSHSAYNTNYYDFEGQHTVASRTRGQHIIGSSSIDPTMVQGNSLGWEALAFPSSANNTNYIEFDGQHDAMNKLACADQASNNQVATWTRGQQTTTSPSVAPMTLQNTSPSW >Sspon.02G0033490-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2C:8392782:8393009:-1 gene:Sspon.02G0033490-2C transcript:Sspon.02G0033490-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSCLTCEQQIHSSPALPRDVDGRAHALPHQEAGAIRITSLQDSIRARWPVAGGAAMPVAIRQSFRSPYVAVVAT >Sspon.01G0008950-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:27507637:27509836:1 gene:Sspon.01G0008950-1P transcript:Sspon.01G0008950-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding IGTIPANTLGRLVFLQVLSLRSNRLSGSLPYDVASLPSLQAIFLQHNELSGDLPPFLSPNLNTLDLSYNSFTGQIPSGLQNLTKLSVLNLAGNSLSGPIPDLKLPSLRQLNLSNNELNGSIPPFLQIFSNSSFLGNSGLCGPPLTECSFLSSPTQSQAPSPPKLPHHEKKAGNGLVIAAIAGSFVIFLLAAVMFTMCISKRKEKKDEVGYNGKVTDGLRIEKRKEDVSSGVQMAHKNKLVFLEGCSYNFDLEDLLRASAEVLGKGSYGTAYKAILEDSTSVVVKRLKDVVAGKKEFEQQMELIGRVGKHANIAPIRAYYYSKDEKLVVYEYIAIGSFSALLHGIKGVCEKTSLDWTTRMKIILGTARGIEHIHSEGGSKFAHGNIKSTNVLLDQDHNPYVSDFGLSSLMSLPINTSRVGTGYRAQETFESRKFTHKSDVYSFGVLLMEMLTGKAPLQSQGQDDVAIDLPRWVHSVVREEWTAEVFDVQLMKYPNIEDELVQMLHIAMACTAWSPDRRPTMVEVIRMMEELRQPASESRTPSIGNPNESSPL >Sspon.08G0017850-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8B:3145888:3146871:-1 gene:Sspon.08G0017850-1B transcript:Sspon.08G0017850-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSGNILKQPSLKEIIAQQTKTNDEVKQRLDTNESFLKDIHNKMDFLLTAFDEQNTLNKRVELKLAAVLPVATNLEQVKNITTRGGRSTRDPPHPREKQKTPAPVQPAMIEEERPVEAEDLLQPSRTGEMRKDFHDTNYLPFPRRNRGLQSDEQFGKFVEVIQKLYVNIPLLDAIQVPTYAKYIRDILNKKRPLPTTEVIKLTEECSAAILNQPLRKKKDPGCPTIDCSIGDQHFNNALCDLGASVSVMPASVYKKLEHTTLEPTSMCLQLADQSVRHPMGIAENIPVRIRDFLVPVDFVVLDMNPDSKVSIILGRPFLSTANAHIDV >Sspon.01G0019260-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:77207537:77216139:-1 gene:Sspon.01G0019260-2B transcript:Sspon.01G0019260-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSGHIFHCRKNSWPAEEYVGRTALQLLDFDGGAPPEQAWRRRLNSHANILKEFSVTFMEAMRMMSLGLRLWSYVREEASHGRVTSAVPMHDFVKTQMDPKTVLTGCNLLLCLQKAPIDPFTKERCKPSASQGVPLGGMGSGSISRGFRGEFKNWHIIPGLCESSPVMENQFSIFVSRDGGNKKYSSVLAPGHHEGLKKNIDSGISSWDWNLSGQHSTYHALFPRAWTVYDGEPDPDLKISCRQISPFIPHDYKDSSLPTSVFVYTNSIGGFSHNSGGHYNEPFITAKDNPPVTFAVAACETQNVNVTVLPVFDLSGENHVSAKEMWNTMLQNGHFDRENFSAGSSMPSSPGQKLCAAVSASTWVEPHGRCTVVFALAWSSPKVKFQKGCTYNRRYTQFYGTSEKSAVNLVHDALTKYKLWEEEIEKWQNPILKDERLPEWYKFTLFNELYFLVAGGTVWTDGQPPAIDEKNSPGSNQQKSSKRGTKDTKQGSVKDSHVNLTVEQVPHGGYMTNGDDRSVSEFAAVHGSQMQEQINGLKSEEPIPYLISKDGPEHVGKFLYLEGVEYIMWNTYDVHFYASFALLDLFPQIELSIQRDFANAVLYEDRRKVKFLADGTSGIRKVKGAVPHDLGTHDPWHEMNAYNIHDTSKWKDLNPKFVLQIYRDFAATGDMQFGRDVWPAVCAAMDYMDQFDRDGDGLIENDGFPDQTYDAWTVHGISAYCGCLWLAALQAAATMAHRLGDRHFAEKYKLKFTKAKAVYEAKLWNGSYFNYDSGTSSNSRSIQADQLAGQWYTASSGLPPLFDEHKIRTALQKIFEFNVMKVKGGRMGAVNGMTPKGKVDETCMQSREIWTGVTYAVAANMLLHGMEHQGFTTAEGIFIAGWSEEGYGYWFQTPEGWTTDGHYRSLVYMRPLAIWAIQYAVSPPKAILEAPKVNLMDRIHISPHMARAISEISIRKIAPDNRCFPSSAFNCEC >Sspon.04G0017260-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:68939522:68941889:-1 gene:Sspon.04G0017260-3C transcript:Sspon.04G0017260-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MESHDAGSSSGGSGDGAAQPRRNSRKPKYSKFTQQELPACKPILTPKWVSSIVISVFVLVGVIFVPIGIVSLRASQQVIEIVDRYDDACVPAGVTDKLAYIRNESIPKTCTRNLTITKDMKQPIFVYYQLDDFYQNHRRYVKSRNDAQLRDKSKASETTNCDPEAKTVDGKPIVPCGLIAWSLFNDTYNLIHNNVTLSVDKNDISWKSDRDHKFGSDVFPTNFQQGPLKGGKTLDPSIPLSKQEDLIVWMRTAALPTFRKLYGRIYVDLKENDTITVQLENNYNTYSFSGKKKLVLSTATWIGGKNDFLGLAYLTVGGICFFLAFAFTLLYLIKPRKMGDNSYLSWNRATLGR >Sspon.02G0015600-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:45967384:45972630:1 gene:Sspon.02G0015600-3C transcript:Sspon.02G0015600-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription initiation factor TFIID subunit 10 [Source:Projected from Arabidopsis thaliana (AT4G31720) UniProtKB/TrEMBL;Acc:A0A178V2H6] SPASPDVDRTPAPPDRQFALASSDPRRAPPLPAPCAPPFAVRSILPSSRRCRFDAVPRQHGSPPPRPNPSGAAVVSSCRPRAAVVVGDIYSSFQAAASGRSRAVGAVRRQDVRSRMMSSNSGGGAGGPGGGMGPGVGGGGDGRHDDEAALTEFLSSLMDYTPTVPDELVEHYLGRSGFHCPDLRLWRLVAVATQKFLSDIASDSLQCCKARVAAPIKDNKSKQPKVRRLVLTMDDLSKALCEVGVNLKHPEYFADSPSAGMAPTTREE >Sspon.07G0006200-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:14229941:14235893:1 gene:Sspon.07G0006200-2B transcript:Sspon.07G0006200-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatidate phosphatase PAH1 [Source:Projected from Arabidopsis thaliana (AT3G09560) UniProtKB/Swiss-Prot;Acc:Q9SF47] MNVVGRVGSVISQGVYSVATPFHPFGGAVDIIAVEQPDGSYRTTPWYVRFGKFQGVLKGAEKVVTITVNGVEANFHMQLDNSGQAYFMRELVPGGQDSGTSSEEEAVNEPEPPARSKSDGDLYIGPSDRLGSQELDVEHQEKQTRDEFESYDGYGRLEESEDLPTQADGGNSEVVLVSVDGHVLTAPISSTEEGMEDVQLSDPQFHLGPGQSSSGDFTRSGEVWDAGILDDLYISQEKVKFNSHQSEVLIENGEVPVEKDGSHHISVDKDEAHVSVNEDEVHAVSTNEDGAHAVSTNDDEAHAVSTNEDEVQDVSRSGNSDVVYQTMTSEGESYGILGDIDVGYQTLTREDDSPGVSGDNVVGYQPLTNEYKAHDILENNNEDQPPLTNEDESCDVPVLEKTKDCNSPTNKDEVCDLNNEDTELEDTGASFGKNDTFQSCLDLTSQIDDGDSGNELFSPGSDYQRDSELSLGNCSVAETDLVEGETKTAYCGQYGPLQEGVDVSTFTSEVDKIQNKENSSPEGGSHGRDKEIASEIEAAGSDGLQSSMATSGKDKLGSIPEHPEAEEEQNKEEHSQSQKGLGVEISLCGNMLRPGMGRESAKEAFQQHLVHEEDFKSSGSTIIKNANLIVKIDNNYFPWSKVSHVILGKAVFGSKFSIEPTDAIPVEHQETPNSREDSLRMSPSSRRWRLWLNPFRITRSLQRSNSDSSEDIFLDSESVLSPMDEQTLENNKSQPPRKQFVRTLIPTNEQVASLNLKEGQNLVTFSFSTRVLGKQQVDAHIYLWKWNAKIVISDVDGTITRSDVLGQVMPLVGRDWSHSGVARLFSAIKENGYQLLFLSARAIVQAYLTKNFLFNLKQDGKALPNGPVVISPDGLFPSLYREVIRRAPHEFKIACLEDIKALFPSDYNPFYAGFGNRDTDELSYKKMGIPKGKIFIINPKGEVAVNSSVDVKSYTSLHTLVNDMFPPTTLVEQEDYNNWNYWKVPLPDVDL >Sspon.03G0039430-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:14887963:14892521:1 gene:Sspon.03G0039430-1C transcript:Sspon.03G0039430-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTRRGGGVLHGLLALSSLLLLASGEIIFEERFEDGWETRWVESDWKRSEGKAGRFKHTAGRYSADPDDKGIQTTMDARHFAISAKFPQFSNKNRTLVVQYSIKFEQDIECGGGYIKLMSGYVNQKKFSGDTPYSLMFGPDICGTQTKKLHLILSYQGQNYPIKKDLECETDKLTHFYTFILRPDASYSLLVDNRERETGSMYTDWDILPPRKIKDVHAKRPKDWDDREYIEDPDEVKPEGYDSIPKQIPDPKAKKPDTWDEDEDGIWKPRMVSNPAYKGPWKRKRIKNPNYKGKWKTPWIDNPEFEDDPDLYVLKPLKYIGIEVWQVKAGSVFDNILICDDPEYARKVVEETWGANREAEKEAFEEAEKERKAREDREGQKAKDDGDRRRRRHKKHYRDHWDDYHDEL >Sspon.07G0013160-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:47734289:47737526:1 gene:Sspon.07G0013160-1A transcript:Sspon.07G0013160-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQSALRGPSDYSSEPPRHPSLRINAKEPFNAEPARRDLAASYITPVDLFFKRNHGPIPILDDIDSYYVTIGGLVGGPRRLSLDDIRKLPKYNVTATLQCAGNRRTEMSRNRKVRGVGWDVCALGNATWGGAKLSDVLQLVGVPYHTEITLSGGKHVEFISVDQCPEEKGGPYKASIPLGQATNPAADVLLAYEMNGEGFFMQKDYKMFPPSVDWDNIVWSTRKPQMDYPVQVTVAGYALSGGGRGIERVDISPDGGKSWIEAHRYQKHDVPYVTSDISCDKWAWVLFEAVVNVKGDTVIVSKAVDSSANVQPESVESIWNLRGILNTCWHRVHLLATPDLRSFM >Sspon.01G0040680-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:42409279:42412366:1 gene:Sspon.01G0040680-1B transcript:Sspon.01G0040680-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ERGRLLEGVLRPGGALDVAGRWRRLLERCARRPHQRGDRRPAPRRPQQGVQRIQQGGGSWPWSAADPAGGGAWPCTPAEGGRWAPAEGRPRAAAAGRVPAGSRWAAAEGEDATWAQDGEV >Sspon.06G0001280-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6A:4148112:4149009:-1 gene:Sspon.06G0001280-1A transcript:Sspon.06G0001280-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSSSWQHLLLCYCHLEEAALCFTSLCAIATVATVVATLLMLPPPAATTSTEPISGYCTRRCGNISIPYPFGIETGCYHADWFNLTCDHSDLTPKLLLGDGTVEVLDISVEHNAVRFYSPNAQLQYDGSSHNGPANGTWGLRLPETGPYVLSESTSMLEAIGCNGQVSIQGGLNNTL >Sspon.02G0053390-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:104771474:104775672:-1 gene:Sspon.02G0053390-1C transcript:Sspon.02G0053390-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGGGQASSSHPLVFGGDLVPPRTSTHSREHMHKGKVSVAIGEVDGGSYGRRGLAKGEEETILRCMGLRALRAAPASWSNQPIEEMAAAAPRHQDLPDVIIEDIFARMPAKSELRCRCLSRAWAATLSSDAFVDMHFDLAHRHGKVPRLCLLPRSAAAATVYAWSPELGKDVFTQLMAVPHNSRNGKLHAVTRTCRGVLLLRATDARMYYLCNPSMGQIAALPDGRMADRPYPSRDYASFGLGYDARSRSHKVVRLLYHDYRPAGCDIYDVGASSTGHWRPAAGGAVLPPERVRMNQMGVFVEGHVHWPTMGRHGEEEHIVSFSMADERFGYVTPPPGTAVKTFALAELAGCLCLLSAPHCPKSSLESLPPPQPEVGDDFMFNGVTPLALVDGGRRILFVSRESQVAAYCLATGTLEELVPGFRGHGARGAHHHLLVPYEESLVPAGRPFEDILWSSPPARALSVALGRLPARTLGRLKLVCRSWRAMLESDRFATLHNACSRATAATSPVSVAFLAPFGATTAPDVPVEVLELDLLTGTVVSLESCSGDPGADRWNPPLSTTRVVCRTPCHGLVLLSHLSGKRHVVCNPVTRAVRSFVFPDQGYGCAGLGYDPSREEHVVVHLSYTSQCLETRTYAMECRVWPARDLYPRTLDSQPPIPAAVDVPPVHVGGKMYWPREPRLGAAAAEFAILAFDVCAETFEVVPAPPALLGDVDSGDRMVLAELAGKLCAVNLSASMETMIVWGKNVEGWRREHVIELQQWPEFSPRTTELAVMPMAVDPTDGRILLDTGKALGYYDMQNGTLQTVYSLETHLEYRYHNHGDGVFSVFFIATVCEDSLLRPYDRKSRLW >Sspon.07G0007080-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:14224517:14225770:1 gene:Sspon.07G0007080-2P transcript:Sspon.07G0007080-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEADGHAGDDGASRPAPRLNERILSSLSRRSVAAHPWHDLDIGERSLPSRARGTSSSFHNQYHHAFCFQRCLAALSANSGGSSSSLYVRAGPDAPAAFNVVVEISKGSKVKYELDKKTGLIKVKSELFCQVDRVLYSSVVYPHNYGFIPRTLCEDNDPMDVLVLMQEPVLPGCFLRARAIGLMPMIDQGEKDDKIIAVCTDDPEYRHYSDLNELSPHRVQEIRRFFEDYKKNENKEVAVNEVQPASAARDAIQYS >Sspon.04G0009650-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:27795498:27796348:-1 gene:Sspon.04G0009650-3C transcript:Sspon.04G0009650-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLPFQEELAVRSEEIRRIAADNTLVLEDILGLRQELAVIEDEIHMLTKQTIPRLRLDNEMEYRDIIQGGMQLEEQMRALKPIKAEVLLLSSEKMELEALCKELSVKVQSLYRELEQIRSENKQIPAIREGLHDIQEEILRARMAYEHEKRAKIELLEQSQAIERDFINTKMEAQRLRIELEKRRPGVFKHHAFGSYYNR >Sspon.05G0027040-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:808967:811454:-1 gene:Sspon.05G0027040-3D transcript:Sspon.05G0027040-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDLAALTDDVLADILLRVPSPRDLARASASCSSFRRVISNPHFLRRFGAVHAPPPLGVFCCYPAWGGGVGGSQFHAALPTHPSAPPRPRARQFSRLLLQLPPRRPPATGWLVRDCRDGRFLLDRVGDGSTAFTEVAICDPLFRRYRLLPPIPNDLAASVENPYVQRGGDGQLQSRSSEIFLVSRSDDSVSEKDTAFTVIWMACCRGKLVAFFYSSESQQWCALSPPEHYALSTRRVMGVRLGQRNHAHGCFYWMVALTHRWLVLDTRKMEFSIVDISPVLTGRAMMFSNQITTLESSDGRTTVVVSDLDDPKENLNDQIERHVEYFSLDVKTMQLGSFCRTTLFTVTEAYLYCGTGAKVIFVAMRILWRAFHVGMG >Sspon.07G0002040-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:4305828:4306625:1 gene:Sspon.07G0002040-4D transcript:Sspon.07G0002040-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTMALSSTAFAGKAVNVPSSLFGEARVTMRKTAAKAKPAAASGSPWYGPDRVLYLGPLSGEPPSYLTGEFPGDYGWDTAGLSADPETFAKNRELEVIHSRWAMLGALGCVFPELLARNGVKFGEAVWFKAGSQIFSEGGLDYLGNPSLIHAQSILAIWAVQVVLMGAVEGYRIAGGPLGEVVDPLYPGGSFDPLGLADDPEAFAELKVKELKNGRLAMFSMFGFFVQAIVTGKGPLENLADHLADPVNNNAWAYATNFVPGK >Sspon.04G0035460-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:11010651:11033011:-1 gene:Sspon.04G0035460-1D transcript:Sspon.04G0035460-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SEC6 [Source:Projected from Arabidopsis thaliana (AT1G71820) UniProtKB/TrEMBL;Acc:F4IA34] MEDLGIEAKEAAVREVAKLLPLPELLSSIASIKSDYLARQQTNDAQLSTMVAEQVEQAHAGINALALSQETINKLRENFIDIDKLCQECQTLIENHDKIKLLSNARNNLNTTLKDMGGMMSISVEAAAARDSLSNDKELIHTYEVDFSSSLTYQSTPLSDALMRLTALDGKRRFALAAASSHKEEVGRLREYFEDVDRTWETFEKTLWGHITNFFKLSKERVVEMQEILDQQVAEEAAEAEGAGAMATITNQRRTAKKGAGATPRKGTQEKSKVQGKGYKDKCYESIRMAVEARFNKLLTELVFVEDLMEALEEAKAIGDELGDIYDYVAPCFPPRYEIFQLMVNLYTERFIQMLRLLSDRANDIQNINILKVTGWVVQYQENLIGLGVDESLAQVCSESGALDPLMNMYVERMQATTKKWYSNILEADKTQPPKSTDDGKLYTPAAVDLFRILTEQVQIVRDNSTDVMLYRIALAVIQINNNLRCYELSSELSSSTLEALPQNYAEQVNFEDTCKGFLEVAKEAVLQTVSVIFEDPDWLEGMVTEYLVATFADYFGDVKM >Sspon.07G0002430-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:6083285:6088701:1 gene:Sspon.07G0002430-1A transcript:Sspon.07G0002430-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKIRNFMSSIQRNKQCCIAAIDIYVQVLQADGDLNYLGDSAGGSDVTVDILAKMDKVTLLQINGLNLSKIADPTMDITQPLKCLTGCGWMAFVHRIAVHRNFLGLLELPREYVQVIRSCSRQLVIIRDTRYASMFKIVRNLEFADDKPDQVVFFFFFFFDLSITRPTKTLRNERRTTPASTGVVSHILK >Sspon.02G0014780-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:39485985:39488261:1 gene:Sspon.02G0014780-1A transcript:Sspon.02G0014780-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQRLTYRKRHSYATKSNQTRVVKTPGGKLVYQYTKKRASGPKCPVTGKKIQGIPHLRPAEYKRSRLSRNRRTVNRPYGGVLSGTAVRERIIRAFLVEEQKIVKKVLKLQKTKDKTSSNGKGYFAKI >Sspon.06G0024940-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:54319967:54323449:-1 gene:Sspon.06G0024940-3D transcript:Sspon.06G0024940-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABI3/VP1 transcription factor family protein, Regulation of iron-deficiency response and toleranc [Source: Projected from Oryza sativa (Os08g0101000)] MGQMGGPDGDGDGGPHHQYHYQALLAAVQNSSQGLHPFPLPFHLPLHAGAGAGAPAAGPGADADASSTHNVHAAPHSQPPRGFTDWSPSNSAFAAVAAQPAPATTNTPLHYNLSQPYTLWTHYMLNKNVSCSPYPTQHEENPNPLRHTHIPEENPHPLRHTHIPDKDSGCASSLGFDSFTTMSLGPNICAHMTPMEGSISAKEPENSEKFNSGEYQVILRKELTKSDVANSGRIVLPKKDAEAGLPPLVQGDPLILQMDDMVLPIIWKFKYRFWPNNKSRMYILEAAGEFVKTHGLQAGDALIIYKNSEPGKFIIRGEKSIQQTNP >Sspon.02G0013740-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:30004160:30005713:1 gene:Sspon.02G0013740-4D transcript:Sspon.02G0013740-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEELERQRDLKETYKARLESTQEYLRFCLEVAQEHGFLHLISDAARPPPPQQSPHCDTEAEPATTVDADDADEDGDPAEARPCDDPYLAATRDLAVQHGWSVVPDEQANHFVSDQCVLRPWMEQIELHEVIGRGTTADIHRATWRGLDVAVKWVRPEFFRSNPGGEAFFAQEADVLSRQRHPHVLRLLGACLSPPDSCFLVTELLSGATLGEWLHGGRERRPRQRASPPPPPLVDRKCDVYSFAIMLNELITAEHPYIETSYGPSRIALNVANGTLRPKLPERDAYPTALTDLICRTWDAEPSSRPSFTTITLALREIKQQIVQHREYNQHSNYA >Sspon.06G0001750-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:5613528:5616014:-1 gene:Sspon.06G0001750-1A transcript:Sspon.06G0001750-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYRAFDSSVTGDELPPTYQIKGPRVHFSGNGSSLAGALSQPRPHIKLDSDIHQIEQQAYTGVLRAFKMQSDALTWEKESLITELRRELKVSDVEHRMLLNMVNEEETVHRIRQSRQGGGMQYSLPRNSVVDHNHGPLKRQKKSHPIYSLPVDPHSPIMPLQAIVGNKTYRVGMEGRSGRYHANEYYASPNDMALLNFNHIDIPNTETLVKKVERVLSDPDVYTIERAKKLLIDQEQSLLDAIAKLDEASDCEN >Sspon.06G0007080-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:23409201:23413735:1 gene:Sspon.06G0007080-2B transcript:Sspon.06G0007080-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:LSF1 [Source:Projected from Arabidopsis thaliana (AT3G01510) UniProtKB/TrEMBL;Acc:A0A178V9M4] MAPHLLTPPALTITCAAAPGRLGGAGSADPRAPRPRAPSRTFFCSSPGRARRGMRRRKGLSVAAVAAEGAEPGGPAGPMRLNEYMVAVDRPLGARFALGVDGRVFVHSLRKGGNAEKSRIIMVGDTLKKAGGDGEGLVTIKDLGDTEIALRDKAGPCSLVLERPFAPFPIHQLHQNEDYHILFNRGRAAVASWNSAVLSTKLNGSFTGDGKSGFAVFSPRLLSSQGWALLSNEKGGLNQSSTNLANRVSEIVGLYSDEDDANAEWAHGSFPLEEYIKALDRAKGELYYNHSLGMQYSKITEQIFVGSCIQTEKDVKMLSETMGITAVLNFQSESERINWGINSETINSSCRENNILMVNYPIREVDSLDLRKKLPFCVGLLLRLIRKNYRIYVTCTTGYDRSPACVISYLHWVQDTPLHIAHKFITGLHSCRPDRAAIVWATWDLIALVENGRHDGSPTHSVCFIWNSGREGEDVELVGDFTSNWKDKIRCNHKGGSRYEAEVRLRHGKYYYKFIVGGQWRHSTSLPTETDEHGNVNNVIRVGDIARIRPAPSQLHIKDPTVVKVIERALTEDERFSLAFAARRMAFAICPIRLSPKQ >Sspon.08G0007130-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:20299750:20302001:-1 gene:Sspon.08G0007130-2B transcript:Sspon.08G0007130-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKPHVSLAMQLLFCCALMPVSAQSVPAAAAPSPSSPAPVTRNFKWDVEYIMWAPDCQQSVMIGINGRFPGPTITANAGDLIRVEVTNSLHTEGVVIHWHGIRQIGTPWADGTASISQCPINSGETFTYEFLADKPGTFFYHGHFGMQRAAGLYGSLIVNGTEQQPEPFAAEYDGELNMLLSDWYHENVYAQAAGLDGKDKHWEWVGEPQTLLINGRGQFGCSLGITRDRDRRACDRRKRDALCEEGDKSERCELIRRSECGPFCERSQCKPVVFDVDPGKTYRLRIASTTSLSALNVQVQGHKLTVVEADGNFVAPFDVGDIDIYSGESYSVLLKTDQRASSYWISVGVRGRRPKTPPALAILNYTNSKPGSWPAGVPPETPAWDNVTRSKEFTYRIKARDGSPRPPPAAVDRRITMLNTQNWVQGQVKWAINHVTLSLPATPYLGAYFYGIEDIAFDSSAESPDNYDRGYDIQKPPGAQAPEARSPTTVSDRVFRITHGAVVHVVLQNANALEKDVSESHPWHLHGHDFWVLGYGDGVYDHARDNKTLNMVNPPLRNTVVLFPHGWTVLRFVADNPGVWAFHCHIEPHLHLGMGVIFAEGMEKLRELNVPREAITCGEAKTAALPLAPAVAPSP >Sspon.02G0000190-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:1645695:1661476:-1 gene:Sspon.02G0000190-3D transcript:Sspon.02G0000190-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LSRIDESWAAARFDSLPHVVHILTSKDREGEIQFLKEQSDLIEDVVDEVVHAYHHGFNKAIQNYSQILRLFSESAESITGLKGEMEEAKKLLGRKNKHLGQLWYRSLTLRHVLSLLDQVEDVAKVPARIENLMAEKQLYAAVQLHVQSVLILEREGLQAVGALQDVRSDLTKLRGVLFYKILEELHGHLYNNGEYSISHIYLSIMMLALVTVFCTENLILISATLSMVDGEEVPTSTAAGRLVNSMQPLSRRTRSIKGDNHIGGPVDGFPKVSSVDGGSSFDGPDDNSLDMSESDGHTRKDSKSFSREIPIFLSCATPDEFLESMIKADAPLNVKYLRTLVQCLSMLGKVAAAGAVICQRVRPTIHDVITSKIKVYSEEASKSSIDKAAKASDVLHSNGPFPRFQMLKQKTKSGASVMAAQLVVSPISPAMAPTGDAQRAATQLLKSIFECLVEILENHIIVGELLEQKSTEVDNVNTPHIANGDASWNPDSESSQATGGFSVAFSLSVVQSECQQLLCEILRATPEAATADAAVQTARLANKDPVKEKRQQKHASVCFTFVCLRDGSEGLSFAFRITDAATPVPNEGQGWRRNSNVPQEGYGTASVIPDQGIFLAASVYRPVFEFMNKIGLMLPQKYSQLGSDGLLAFVNKFLKEHFLPAIFVDYRKCVQQAISSPAAFRPRVHATSAYSSSVELGRPVLQGLLAVDIIAKEVLGWVQLMPNYATELVEYVRTFLERAHERCRASYMEAVLEKQSYILLSRNDVESLMRLEPANISLHNSTSDHDNNVTDAEAVEVEIELSDLLLDMCPIKQENLIHDDQKLILLASLSDSLEYLADSVERLGESFISPPTTSESINHSYGRHARSSSAIPKGLASLANEYRRLAIDCVRVLRLEMQLEAIYHMQEMTKREYVEDQDAEDPDDFIISLTTQIARRDEEMAPYITESKRNYVFGGISSVAANASIKALAQMKSINLLGVQQICRNSIALEQ >Sspon.01G0019680-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:74865393:74874951:1 gene:Sspon.01G0019680-3C transcript:Sspon.01G0019680-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGADGSDPSTGLVPGTIPELPDREPPLLQAPSPAPQQEAVASQTEAPVPAVTIVISRPAEEVQTPDAKRGSPPCSPQAGDGKATATSVSLTASAAAKEAELARSDSFDDYEQCRAAKEEQEVAGSGGPPMSSGADGSDPSTGLDLGMIPESPGREPPVLQAPSPALQPEMVVLQAKVLVPAVTIVISQPGEEVQIPDAKGGSPACTLQASDGKAMATSASLTASAATKEAELARSDSFDDYEQCRVCQQKTEEPLVDLGCRCRGDLAKAHRTCIDVWFRTRGSNKCEICQQVAVNIPLQRRKQ >Sspon.07G0011300-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7A:39236266:39237452:1 gene:Sspon.07G0011300-1A transcript:Sspon.07G0011300-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMMGEGAHAPPWQQSPAAAISGGSMDADEAASPYALLSALQHYLPSNEVAAAAYDEDDEEAAALAAVDAYACDEFRMYEFKVRRCSRGRSHDWTDCPYAHPGEKARRRDPRRYHYSGAACPDFRKGGCKRGDACELAHGVFECWLHPSRYRTQPCKDGTGCRRRVCFFAHTPDQLRSYDGSPLRRQAFESYLTKSGMVMSSSPTSTLVSPPRSPPSESSPPMSPDALRRGSWPGVGGSPVNEVLASLRQLRLGGGGGSPRSAPSGGGSFLAGCGYPFGSPKSPAGLYSLPSTPTRPSPVTVTTASGATIMTVERLNLGLIGDEEPVMERVESGRALREKVFERLSKDATVPNDTAAASANVEGAAAPDVGW >Sspon.02G0012990-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2A:34776675:34776937:1 gene:Sspon.02G0012990-1A transcript:Sspon.02G0012990-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VANVIFLESPAGVGFSYSNTSSDYDKSGDKRTAVDSYNFLLHWLERFPEYKGRDFYIAGHYVPELAAVIVAIRLLTRENLTNLKGIF >Sspon.04G0011970-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:33348268:33371054:-1 gene:Sspon.04G0011970-2B transcript:Sspon.04G0011970-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MILAPPAAGGTAGKDSLPVDLPKPIENEKTVEVAHSNVKPFSVHPLPLAKTSDVLTKSSNGSDDSKEEKTQYYPGKEIKRRKRHRRKQYVDQEPCIMRGVYFKNMKWQAAIKVDKKQIHLGTVGTQDEAARLYDSITEKLLQWQAKTSDVLTKSSNGSDDSKEEKTQYYPGKEIKRRKRHRRKQYVDQEPCIMRGVYFKNMKWQAAIKVDKKQIHLGTVGTQDEAARLYDRAAFMCGREPNFELSEEEKQELRKYTWEGFLAVTRNTITSKKQRKVGLPRRSKADLFMGQSDGDTEMANGGGSSNSDNGDAETSAS >Sspon.01G0004490-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:10480521:10483435:1 gene:Sspon.01G0004490-2B transcript:Sspon.01G0004490-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRGRSVKDRSKKKRVHALEVATERWKVLSKVLAVVDALKKEEEHVTPLKRLEILRPQLGLVKPHKVAHFVRRSPHLFEVCRDSRGVMWAGLSPQAEALVEEEARLLEEHFPTAAQYVTRMLMMSVDRRLPVDKIAHFRRDMGLPHDFRTRWVHMFPEHFRLVRLEDGEYLELVSWNPSWAVTELEKKAAVSTGDANASPLGELSLPFPMKFPPDFTSYYRFRGKAHHYVKTGNTEQFQKVTYLSPYAEAKGLTPGSPEFDKRAVAVMHEILSFMLEKRLVTDHLTHFRREFVMPQKLMRLLLKHYGIFYVSERGKRLSVFLTEAYDGTELIEKCPLVQWREKLLQLTGYRGRLKNLGKFDVSSDSDDYLLGRDDDDDVLDVESENSDDMTYLASAFTGRFIEKIQHHLCCKHGHMAIGGAA >Sspon.01G0013290-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:43495668:43498813:-1 gene:Sspon.01G0013290-2B transcript:Sspon.01G0013290-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSYYLLLVSRRGQRGGGGNGKQPKRYAPVVGTVLHQLYHVRRLHDYHTDLFRQRKTFQLLVPAGRRQIYTCDPAVVEHILRTNFANYGKGTFNYENMSDLLGDGIFAVDGDKWRQQRKIASYDFSTRALRDFSGAVFKRNAARLAGIVSRNAASGQPMEFQGLALRATMDSIFTIAFGLDLDTLLGGGSGSGEGSRFAAAFDDASEFTLLRYVNAFWKAQRFLGVGPEAKLRRRVKVVDEFVYKCIRDRAQELSDSKATEDAVPDSRQDMLSRFIRTATNETGTVDHKYLRDIILNIVIAGKDTTAGALAWFLYMACKHPEIQEKICQEATKVTSASETATVDEFAQSLTDEALNKMHYLHAALTETLRLYPSVPLDNKQCFGDDVLPDGSSVSKGDIVFYVPYAMGRMEYLWGKDAEVFRPERWLDQNGEFQQESPFKFTAFQAGPRICLGKEFAYRQMKVFAAVLLRFFVFRLRDGEKATVNYRTMITLHIDEGLHLTATAR >Sspon.03G0004830-3C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3C:53399463:53402083:-1 gene:Sspon.03G0004830-3C transcript:Sspon.03G0004830-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AAVRPPISNLPPHRRRGLVLTFPVGSRSTASSVATGTDHGRYKSNPEAPSFLRFALAANRVFACVWLRWAAREDYEQEQEMEVEALQAILMDDIKEIDPSESGIATTARCFQILLSPQDDDFDESAYVPVQLALIFAHTEKYPDEPPLLNVKSVRGIKPHDLTSLKEKLEQEATENLGMAMVYTLVSSAKEWLSEKYGQNGGDEEPEETEAEEEEELGSAVASGDVELAVKGASTVADEDEEEEEDIEFDDDFEDDEEDMLEHYLAEQSGKSTA >Sspon.08G0016010-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:60511977:60520337:-1 gene:Sspon.08G0016010-2D transcript:Sspon.08G0016010-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent Clp protease proteolytic subunit-related protein 2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G12410) UniProtKB/Swiss-Prot;Acc:Q9XJ36] MAAPANSSCFHPRATASPPSSLSVGTKVFVGLRAQTKLGSSESSCPNVSAGFYTAVNRRISLGLSNKKATRARISMMPIGTPRVPYRTPGEGTWQWLDIWNALYRERIIFIGDNIDEEFSNQLTPCMALYDTMLSLKSPIGTHCLGFAFNLAGFILAAGEKGSRTGMPLCRISLQSPAGAARGQADDIENEANELIRIKNYLYGKLAEHTGHSVEKIHEDLSRVKRFDAEGALEYGIIDRIIRPSRIKKEGSTAQRRDMRNLGLG >Sspon.05G0009120-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:20388373:20392460:-1 gene:Sspon.05G0009120-2B transcript:Sspon.05G0009120-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHIFFLLLWLPLSCSYTLAQHNISLGSTLSPEGPNRSWLSPSGDFAFGFRPLETNSSQYLLSIWFNQINENIIVWYANGNTSVSSGSSLQFTLNGSLSLRNSTGAEIWSSQIAGGAYASMNDNGNFVFYGADGSPKWQSFTTPTDTILPSQELPSGTILQAKLMDTDYSNGRFILSLETDGNLTFYTVAVPTGFKYDGYWSTNTSGKGGKLVYDMNGMIYYALENSTQDPNVIMPAEMDSIDQYYHWARLDPDGVLRKYKYPKKEEVSGLPAVLTVVQAVPANICSIMYSNFGSGVCGYNSYCTFNLSQTECSCPQHYSFFDTERKYKGCKPDFAQQSCNSSETEVLELFQMIPMNYIDWPLRAYEQYYPITETACLSLCLIDCFCAAAVFNNSGDCWKKKLPLSNGNQGTHFLGARSWATRQKNHLRAWTRMMTRDFTYRELEQATNGFNEEVGRGASGIVYKGYLHGEFDTSIAVKKIIDRIPQETEKEFTMEVQTIGHTLHKNLVQLLGFCYEGAERLLVYPFMPNGSLANKVDVYSFGIVLLEIVCCRRNVDLQATDEEQVILAHWAYDCYRCSRLDLLVESDEEAIIKMKMVERFTRVALWCIQDEPERRPTMLKVTKMLDGAIEVPQPPIDTPTFIIRD >Sspon.04G0001380-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:4954040:4962929:1 gene:Sspon.04G0001380-1A transcript:Sspon.04G0001380-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNASSMLTQYDIEEVQEHCNYLFSQQEIVSLYERFCQLDRSAKGFISEDEFLSIPEFSLNPLSKRLLRMVDGLNFKDFVAFLSTFSAKASLRQKIELIFKVYDIDGKGKITFKDLLEVLRDLTGSFMSEEQREIIDHPGLKMEVEVPID >Sspon.02G0027950-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:120175363:120177687:1 gene:Sspon.02G0027950-2C transcript:Sspon.02G0027950-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rho GTPase-activating protein 2 [Source:Projected from Arabidopsis thaliana (AT4G03100) UniProtKB/Swiss-Prot;Acc:F4JI46] MPCRVPSASASVFGVSAESMQCTYDGKGNSVPTILLLMQERLYAQEGLKAEGIFRINPENDQEEHVRDQLNKGVVPEDIDVHCLASLIKAWFRELPEGVLDGLSPEQVLQCNSEGEFLELVTMLRPTQAALLNWAVELMSDVVEEEELNKMNARNIAMVFAPNMTQMSDPLTALMHAVQVMNFLKTLILRTLRERDDAATGEYTPYSSPASSSQHDDVECCYGSERDMDRSCELSDMHSQISKSGRHADYLVRYNTCFDSEQEVDDNLSEVEEGFLRRLERGLEADRPEESTREHCEIISEVMAVEDAELKAETKAVEKGIQNEEV >Sspon.05G0009590-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:22606776:22617515:1 gene:Sspon.05G0009590-2B transcript:Sspon.05G0009590-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLCPLSAAPTFPRATRPRAASFRSPASWSGLAATASNASACGCGLLPTGGYARLRSRLRSPVRARIDEAGKDTGAGLGLPPPRRRKLRLRLRPRLRVLWWRLRRLSPRELAADAGAALRRAVRRVPPAAAAPVVLALLLAAARLALPKNVAREVAYSDLVAGLREGAVAAVAFEEDSRRIYFSKKDGDDGGSDTSEAGESAAVAAPKWPYYARRVPHDEGFLLGLMREGGVDYRSAPRPAGKLLVDMLSTLLTLWVSLVPMMWFIQRQMSGGGGADKRRKPRKQMVGFDDVQGVDEAKEELVEIVSCLHGSLNYKKLGAKLPRGVLLVGPPGTGKTLLARAVAGEAGIPFFSVSASEFVEIFVGRGAARVRDLFKEAKEAAPSIIFIDELDAVGGSRGRSFNDERDQTLNQLLTEMDGFDSDMKVIVMAATNRPKALDAALCRPGRFSRKVVVGRPDVEGRKKILAVHLRDIPLEEDREIISDLVARLTPGLVGADLANIVNEAALLAARRVRNTVSREDIMDAIEREKYGVNGRQEIDDSERQGLTKLFPWLPKSGNKPSSPDDFRGLMVSRRSTGPD >Sspon.08G0003330-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8B:5700077:5704716:-1 gene:Sspon.08G0003330-2B transcript:Sspon.08G0003330-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGADEQPSPTRKRDREEEGEDLSDGGAAEKRPRAEGASLLGLATYEDEEEDEAARGHANGRRAVEPEVEEEEDDDDEEDDVRRAPERRPRQVELRRDCPYLDTVNRQVLDFDFEKFCSISLSNLNVYACLVCGKYFQGRGLKSHAYTHSLEAGHHVFINLQTEKAYCLPDGYEINDPSLEDIRHVLNPRFTKEQVLNLDKNKQWSRALDGSNYLPGMVGLNNIKETDFVNVTIQSLMRVTPLRNFFLIPENYQHSKSPLVHRFGELTRKIWHARNFKGQVSPHEFLQAVMKASDKRFQIGVQSDPVEFMSWLLNTLHAKLKSSKKKNRSIIHDCFQGELEVVKEVHRKHIMEKREDGDELNGEAGSVVGTADGIVTETSKVPFLMLGLDLPPPPLFKDAMEKNIIPQVPLFNILKKFDGETVTEVVRPSIARMRYRVTRLPKYLILHMRRFTKNNFFVEKNPTLVNFPVKNLELKDYIPLPKPKENHKLRSKYDLIANIVHDGKPGEGCYRVFVQRKSEEAWYEMQDLHVTETLPQMVALSETYMQIYEQHE >Sspon.07G0002900-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:6481243:6487482:1 gene:Sspon.07G0002900-2B transcript:Sspon.07G0002900-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMQSWRKAYGAIKDTTTVSLANLNSDFKDLDVAIVKATNHVECPPKERHLRKVAAATSIARPRADVAYCIHALARRLAKTRNWIVALKTLVVIHRLLREGDPTFREELLNFTQRGRILQLSNFKDDSSPIAWDCSAWVRTYGLFLEERLECFRVLKYDVEAERLSKQGQGPEKGHSRTRELDSQDLLEQLPALQQLLYRLVGCRPEGAANNNYLVQYALALVLKESFKIYCAINDGIINLVDKFFEMPRHEALKALEIYRRAGQQAGSLSDFYENCRGLELARNFQFPTLREPPQTFLATMEDYVKEAPRMVPVREPLELPERLLLTYKPEESEEIPEPAPVEEEKAPVEEPVLVPPITEVVSPPPKTEVADTGDLLGLDDPNPAVSAIEESNALALAIVPTDGASTTGNTAFQDKGFDPTGWELALVTAPSNTTSSSSVGQLGGGFDKLILDSLYDDGAYRQRQQQQLYGSAAPNPFMTNDPFAMSNQVAPPPSVQMAAMSQQHPQIPTMMQPNPFGPPMQPQMGMGPATNNPFLDSGFGAFPVANNGHQQHNPFGSAQLL >Sspon.03G0027030-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:36989:41258:1 gene:Sspon.03G0027030-1P transcript:Sspon.03G0027030-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RRMGKDAGEAQQPPDGAGGGAGGGAGGSRAGSARRFCCCGGGGAARVVRLQCVAALVLGVAVLLSALFWLPPFAGRGGGKEGPDPGDEFAAAIVASFRLHKTVPELSGNKSQLELDIYEEVGIPNIQVVVNMLHPLDGSNWTNIIFNIVPFPENVTISSTSLSILRSKFMSLVVRQSTIHLTEPLFGNSSSFEVIKFPGGITIIPPQTAFLLQKPHATFNFTLNYPIYKLQDRTNELKDQMKAGLLLNPLQNLYIKLTNSQGSTILPPTIVETSIVLEVGNRLSVPRMKQLARTITNSSSSSGNLGLNHTVFGRVKQISLSSYLRHSLHSGGGSDAPSPAPMHHHGHHGHHHHHHSHDDNRHPAPAPAPIHFPVPQPRYSAPPPSGCPYSKNKPKKRGPVTPAAEPAANDHRSPSIALPPHPSSPSPASRSRHGPSMQGGSPVPPPPALPEPPLPTVSFAHPHPPSERGTGASPAPSEEATRADPAGMSQVAPAPLAAYATRMQGDVPCRWVLFVLALCTLTSLL >Sspon.04G0005360-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:15640368:15644849:1 gene:Sspon.04G0005360-1A transcript:Sspon.04G0005360-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMRPLGPLLGFGASLFRFPVMHALHADLQQAIQIEAILVLEIRKLCHLGYKYAEIRDSELGRIVEMGEVAYKKDNEVRRDGKCYFRLQLQLCRFQFHFAIAAGWLVLCSCSCSSNLYQSEPLLFDLITHSMAGPGVLNERILSSMSQKHVAAHPWHDLEIGPGAPEVFNCVVEIPRGSKVKYELDKASGLIKVDRVLYSSVVYPHNYGFIPRTLCEDSDPMDVLILMQEQVVPGCFLRARAIGLMPMIDQGEKDDKIIAVCADDPEFRHYTDITDLPPHRLQEIRRFFEDYKKNENKEVAVNEFLPAKDAINAIKYSMDLYGSYVIESLRK >Sspon.06G0033830-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6D:24318607:24319781:1 gene:Sspon.06G0033830-1D transcript:Sspon.06G0033830-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSQGRGCMVFDGDEAFAVAARLLERVADHDDPGLLGVPLPRITTCSSRMSLCQLANCFPLLVPRLVTYPFLREWTKTRPSTPRAKRTPRGRSTATMYSLSRIGPAGPPGSAPSAAAAATLKPFLLLIPRRALPGEEQKKVRDGARGLGARGSADPAPPRRPGAAAHVMVRAGG >Sspon.03G0044850-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3D:4389680:4393707:-1 gene:Sspon.03G0044850-1D transcript:Sspon.03G0044850-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFAADKSERPIATETAGLEVTPSTEEAGKRLQLFTEEIVIKRRTPLLDPPKQNPTVRRQPSKPRSTRMAAQKLAHIPPSKRGEVLLQQKMGIPLPPPPITTASNKARDALITGSLDDVQIAAFDELFPAARYMTEADKNNARINRANLARFRRAVHTLELQDLHLHGRAFTWTNEREHPTLVRLDRVLVSLDWDNLHPNAHLHCLGSDVSDHSPLLLHTNLGSMSKSRFHFELFWPKFPDFEQTVQQGWRCRLTSGPILRLDYLLHGLVRVLQRWAATKVGAIKEQLLMARALVQWLDTAQDHRQLTAPESELRKRTKMTCLGLAALERTMARQRSRVRHLAEGDTNTAYFHLIARGRKRRQFIPSLSIAGHTISDHDGMEQGLFNHFAAVFGAATDSRTSINFNAIGITPLPLADLDANFTPEEVWNAVKELPADRAPGPDGFTGQFYKSAWHIVGADVMAAVHRFAAGDTFGLGKLNNAVIVLLPKKTGASCPGDFRPITMIHSFAKIISKVLALRLAPKLDLLVERNQNAFIRRRSIQDNFKYIQRASVLIRKKKIPMLLLKLDISKAFDTLSWPFLLELLRARGFGESWCSWIAALLSTSTSRIMLNGKQGPSIRHLRGVRQGDSLSPMLFIIAMDVLHRLFAKANRDGLLRPLQLPEIKFQCSIYADDVILFIRPSVHEASAVKHILHVFGEASGLKTNLSKCSVTTIFGGEEALPEIVNVLGCQVQNFPIRYLGLQLSTKKLPKAHMHSIVEAVARKLPPCHGTLMARSGRLVWIKSVLRAVPIYSMMADSLPPWALKEIDKICRRFLWAGGDSSIRGKAMVAWTTACRPKELGGLGISDLKLSGYALQTRWLWLRKTDSSRAWSHLPLNIEPQVMAFFRCSTFTNLGNGNTALFWEDRWLHQQAPSDLAPNLAQLVPRRIRDHLAVRQELAGRRWTSDIVGSMSQAAIAEFFDLWETTANIQLTEREDRTVWRWTPDGEYTAKSAYKMLHAGSVPFRGHSLIWKTWAPLKVKVFLWLAFRRRHWTADRRARHGLDSRAECFLCDQAAETIDHLLCKCPFSREVWFHVCQAIGLDLPASACSVLHWWRRLRRAASADKRRGMDSLFALVSWEIWKERNARCFGQAANLLPQFLQIIKAQADLWAQAGAVHLRSLSSGE >Sspon.06G0012450-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:49691885:49697577:1 gene:Sspon.06G0012450-4D transcript:Sspon.06G0012450-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSPRKDVGFLTQDQREKLRIAVQNAETQSLASPRSPSGGTTSALLQQYEQQMLEQKRAAAAAAAAAAAAAGGGRGGGGGGGGGGPRHVRRSHSGKTIKVKKDGAGGKGTWGKLIDTDAEACLDRNDPNYDSGEEPYELVEAPVSTPLEDYKKAVIPLIEEYFSNGDVKLAASDLKELGYDDFHRYFVKKLVSTAMDRHDKEKEMASVLLSYLYGNVVSSTQIRLGFVLLLEAVDDLAVDIPDVVDVLALFIARAVVDDILPPAFLSKAKVSLSGSSKGMQVVQIAEKSYLSAPHHAELIERRWGGSTHITVEEVKKRIADLLKEYIRNGDTAEACRCIRELAVPFFHHEVVKRALTLGMESPAAEALIVKLLKQASEECLISSSQMMKGFYRVAESLDDLILDIPSAKSEFQLLVSKAISEGWLDSSYVKSGVNGSVEDDEHEKLARYKREAVSIIHEYFLSDDTTEVIRSVKELGYPEYNPIFIKKLITTAMDRKNREKEMASVLLSSLSMELFSSEDIAKGFVMLLESAEDTALDILDASDELGLFLARAVIDDVLAPLNLDEISSKLPPNCSGAETLNMARSLASARHAGERLLRCWGGGTGWAVEDAKDRITKLLEEYESGGDLGEACNCIRELGMSFFNHEVVKKALVMAMEKKNERTLSLLQECFGEGIITINQMTKGFSRVRDGLDDLALDIPDAREKFLSYVGHAKKSGWLLPGFGVASSA >Sspon.02G0003110-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2A:10190758:10191270:-1 gene:Sspon.02G0003110-1A transcript:Sspon.02G0003110-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQIRNRLKNFDSQSRSGAAAKLKQNQRMTAIHGLRVQRRAKVKKTPVSEAIHANGFIGAKMIRAKLLHKFLWEYVSGLPNWCNLFDCVKEGQHDKKIDQSCQLFSITAAIEKMPLELFLQVVGSPKVDITITKCSGRTLSDIPISVYNQLMDAHAKGRLSRLINILDKLK >Sspon.02G0048830-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:29540592:29541505:1 gene:Sspon.02G0048830-1C transcript:Sspon.02G0048830-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGQRGELARWRRPCEMATAGRARNVRGESARVRASNGTSRRGVGRARRQRRGRAERSVRTGMDAPSLGLRDPRGCMLGAVVRVPAPILLPLLPGPTRPLLRRSRHCLPPETPMASATPRDGGTAKPDAAPAPAPTPLPLPPEKPLPGDCCGSGCVRCVWDIYFDELDAYDKALAAHAASSGSGGKDDSADTKPSDGAKS >Sspon.06G0013890-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:72787100:72798621:1 gene:Sspon.06G0013890-1A transcript:Sspon.06G0013890-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MELAWFIISSIISLAGFLSVVSASTTSQQQCGANGGPAGVRAGYWSPSSSRYSPVSSIDASLYTHLYYSSVSIDETSYAVAPQPTEEEGSLLAAFSGTVKSGSPSTKTMLSIGTNEYRVDASNAAFSRMVSDKDLRGVFINSSVELARANGFDGLDLSWIFPVTQMDMENLGVLLAEWRARIMAESATNSLSGPLLLTATLYFSNHLFDMPDGNLDYPIDGISNNLDWANILTFGFHGDSSVTTADAPLYDKSSHFSVSYGVISWLDAGVPPCKLVMGIPLFGRSWFLRNKAKNGLGSPTAAAGTKQRKSNQTGIIAYAETEEYLNSESTVVTYDNQSVAEYFYNGDLWVSFDGAQVVQQKLEFAARSQLLGYFLWTVGYDDSNSTISKKGLGESEEADTMDDGVFQEEWPLA >Sspon.06G0020760-1P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6B:10896959:10897144:-1 gene:Sspon.06G0020760-1P transcript:Sspon.06G0020760-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MERRQQQEAARRTLPRRGQIKARIFASLFRRVVPEAPARKGKEGGKRKDGSNNRRRVSPGG >Sspon.01G0023890-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:85724658:85726488:1 gene:Sspon.01G0023890-1A transcript:Sspon.01G0023890-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDVKLRSSSGIAKVAGVALCLAGVFTIAFYAGPSISPLNHHRAFGSDPAPAGSKPAVPRGVWIPWTFLMTAVLKDYPDKLVVTVTECLFSTVQTFVVAVVAERDFSKWKLRFNISMLAILYSMRGLMFFAAWTPLCFVFTVFCSSFFLGEIVHLGSILGGILLVGSLYTILWGKSKENKTDDVADDNEKDEHKKSSQSYPEELQHTTTEVKESTLTGSNTNPLFTLN >Sspon.06G0000910-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6D:860560:865255:-1 gene:Sspon.06G0000910-2D transcript:Sspon.06G0000910-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSANAVANGPAESPEAVAAAAPKTKPFPSPSPAAAATKGRGLRRWRRIRRDHERPRDSTGGGGEGDAQLHKRWLPLAAAGAPKGKHDASAASQSSTASVESRIIPPPPGSGPGLGFSVGAGNSEGGSSRSSSAPRVPLPRCDHASVVLSPRERDRPRPRAAASISTAADFSRSSVESDRRSSNAVKARQQLGAAGLNGVRRIFSGCRGHGDEEQLSQEVRSTGRCRGNGSSVVGRSVRSSAGEESVGNGGNGRMYWGADPCNESILVLQRAQEALENEIEKITAIGTEPSDEFDVSDDEWSGSVHLEEPNENLTVKIKHLESRLEEASALIKEKASRIYELEAIRGQMQPGKTTIESTNLLLSQCELDQLYQEKMESEIQCIILTRAYQASATLAEDQITLYQMQKSLSEDYKLLGLKLRHTESRAMVLEEMAEKLQLKCKELSNSSEVLQLRYKASRVSLFCFVQLLLLCIAMGTYLMRLAPSSTKVVPT >Sspon.01G0018650-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:75151259:75152582:-1 gene:Sspon.01G0018650-1P transcript:Sspon.01G0018650-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKVLLAVAVVVLVAVLSKLKSLLVTKPKLKLPPGPWTLPLIDSIHHLVSNPLPYRAMRDLAHKHGPLMMLWLGEVPTLVVSSPKAAQAITKTHDVAFADRHINSTVDILTFNGMDLVFGTYGAQWRQLRKLLRTGAAERIARAVVPAYPEEEVARFLRSLAASAAIGATVDLSKMISSFINDAFVRESIGSRCKYQEEYLDALDTGIRVSAELSVANIFPSSRLLQSLSTAPRKAMACCDEMARILGQIIRETREAMDRGDKTSNESILSAGLPIELTDDIVMALMFVSPVPITGPRYWEDAEEFKPERFENTNLDYKGTYYEYLPFGSGLANMELALASLLYHFDWKLPSGEEPKDVDVWEAAGLVGEKNTGLVLHPVSRFAPVNA >Sspon.01G0051210-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:12466327:12470905:-1 gene:Sspon.01G0051210-1C transcript:Sspon.01G0051210-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SKGNNNADDRSMETTATTTTERRCNSSSQQRAETPIPGANRKIPKLHHTLPTSTSTSSLSHRSHLSFLPAGRRAALHFTRLFFIFTTISGRRLASSSGHLLQLASSSLQRLASSPGRLFPLPRLTISFVSLLTNSPLNPSSSRWPWPPRPAPPPPARPQELASTNRAKKPSQRPSRAAPARPRARPIEEETRGPVIELGKSSDRRQGLRDIRASVGIYMQGGGGDQAGGSLSMDMGVGYAGGGGGECSSSTSAAAAAAAAAAAAAAAAAEAEERQLLKGEIAVHPLCEQLVAAHVGCLRLAQSSGLLHSYAAHHRPFLSPHDKHDLDSFLVSNSIELTGSSSSACMVVQAQYLMLLCSFREQLQQHAVMACREIEQSLQDLTALEQHRAHVDGGGARSPWDRRSRAPARTHRACRCRRAAVGNHALPMAAL >Sspon.01G0037650-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:24217950:24224017:1 gene:Sspon.01G0037650-1B transcript:Sspon.01G0037650-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGSSNGEPSTAPQANRWYDLRLGSSCRDPSPTAKFCTLRYEFKPASIDKTQAGSLQSTKDNRVTVEFHNNQPGKPKVTFEGSQEEYKDNDGVLFFDGETFRLERLHRAVKRLRHVRVPGESAAVNLAATTTGMGAESQSPPLPKVSKSQTVSKPAVHSVPVEVERIDIGEPEKPENPGPKYNRSTYQPVTIDPFARSPDPNEQENLDILGDDDGNASPNNLAAGQGASVHGFDINLPNQVDMDDEIADVDVNDEADEGLNAADALRAQVNAEGQQEEQDSSSSSGSSSSSSSSGSGSGSGSGSSSSDSDGSDADTASSGGDVDI >Sspon.01G0003820-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:8934908:8938210:1 gene:Sspon.01G0003820-3D transcript:Sspon.01G0003820-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWWGGWEAEPFPSVDKCDTSRLGRGSTVVADLDGALLRSPDSFPYYALVAFETGGAARLALLLLLSPLAAALRRAVSESAATRVLVFAATAGARVADVESAARAVLPRFYAADVHPDAWRVFSACGGRRLVLTAAPRVMAEPFLRGYLSADAVAGTELAAWRGRATGWVDARRGGVLVGESKAQALREMLGAGEMPDVGLGHRRSDYAFMSICKEAYLVPRTPVAAVRADRLPKRVVFHDGRLVQRPTPLVALLTVLWFPVGLLLSLVRVAAGVLVPMRWLHVAFHALGVRVVVRGTPPPPPRADGDAARTGVLFACCHRTLLDAIFLSVALGRPVAAVTYSLSRLSEFLSPIRTVRLTRDRAADAATIRRVLAEEGDLAICPEGTTCREPFLLRFSALFAELTDDVVPVAVECRMSMFHGTTATGWKGMDPFYFFMNPSPVYMVTFLDRLPPELTCGGGGKSSHWVANYVQKLIASTLSYECTGLTRKDKYRELADNDGVVPVNTDDGEKKRMAW >Sspon.03G0022470-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3B:91078276:91079764:-1 gene:Sspon.03G0022470-2B transcript:Sspon.03G0022470-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDSASYPNMTAFHNIMLLSCGAPLMPAGGSCINRLKSLINLFLAGVDIPRMSLHDTYTSTYVNASARYTFAVAVSSSFGSDYRRLKWASPPPNEAFSFLDWI >Sspon.02G0016560-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:49076882:49081634:1 gene:Sspon.02G0016560-1P transcript:Sspon.02G0016560-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMSPTSPGQEGQFVEFSVEDQVVGYIHKRSGCGRKVWLTNKGRGKIVIGNGSVGKLDGTEVLIFESHLYPVTSSYGMPVYFSLERAAAPYFGIKPYGISCKENIIKECEEEAGIPRSISTKYKRDVLFCYDLELPSDFVPNNEDGEVDSFRLIPIPHAANIIRRTEFFKPNCNLVIIDFLFRHGY >Sspon.05G0002770-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:6611992:6613077:-1 gene:Sspon.05G0002770-2B transcript:Sspon.05G0002770-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAVTSPDPFAFHCPMATAAPVEADVDEFEFHVVPAAAAALSAADELFSGGKLVPLHRPAPASAPCSPPPCLEVEPASEPTSPRAPRCAGRRWRDLLLLVSSSRKPKAASGSSGDASKCAAEGYLNARRETHFRPLLSRDSSSSSSASSVDSGKNARRPPPSSCSPLRTRSAPVANLLHLMSRTRSTGDKVGAAAADAPLRPKRQEPAAAGAHPLLTRASSSSSSSASSSDSCRNPRAGAGAGPWRPRGPSRPSSRPAVAAESPRVSASGRVVFRGLERCSSTPASAGIGPRRPRPRGMERSYSANVRVDPVINVFGFGHLFMPSSPAKEKKADRERDVAAGRRNRPEKLAMVLRDPQD >Sspon.08G0006390-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:19945590:19948961:1 gene:Sspon.08G0006390-1A transcript:Sspon.08G0006390-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPASLSAPNALSLLAPATATATARISSGRWNRLSVSVAARPVTGVVSVAQRRLVAAAAATEMAPAASGEEGSKPFVEEMRAVAMKLHTKDQAREGEKEPEAPPVSKWEPSVEGYLRFLVDSKLVFQTLEDIVERAAVPWYAEFRNTGLERSEALKKDLEWFRQQGHTIPEPSAPGTTYASLLEELSEKDPQAFICHFYNVYFAHTAGGRMIGKKVSEKILNKKELEFYKWEGNLSQLLQNVRNKLNEVASSWSREEKDHCLEETEKSFAYSGGLLRHIFT >Sspon.01G0061390-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:93072040:93072984:-1 gene:Sspon.01G0061390-1D transcript:Sspon.01G0061390-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding CPASPPSPANRLAQRLYSRASRSTLTVASLKRLPFFSHLVPRPRSLFHFPADSFHHRKTLALHRESGRGNPEIGAMNDLMTKSFMSYVDLKKAAMKDLEAGGDGIELPESGAGGVTDERLRGFFEEAEAVKAEMAAIRDALDRLHAANEEGKSLHQADALRAHRGRVNADIVAVLRRARDIRARLESLDRANAAQRRLSAGCREGTPLDRTRTAVTAGLRKKLKDLMLDFQALRQRMMSEYKETVERRYYTLTGEVPEEEVIERIISDGRGEELLGAAVAEHGKGAVLAAVHEIQDRHDAAREVERSLLELHQVF >Sspon.02G0025920-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:90016102:90033193:1 gene:Sspon.02G0025920-1A transcript:Sspon.02G0025920-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DLAFLRLLLLLLLLRLDLRDVLVARVTTSSRVAQLAHVSMVFSGCCSKVSIAVAQQAEGNRGRTVWGEASSVYFSSSVFSSVRVGGAGQGELVVRPDAPWEPGVRALAAPRLRTCVFHAGLPGMEHARAGTAMMTCRLLGPCPWAAPPRCHIAVGRQLHRCTQTPRRRTALLPAGVQDPAMGPGLSTIWPFIVVPRSENLQAAEDALDLAHVAMVGGTRPVVSTAMVAAYLYNRFEIMAEDSVVQRHDPKDFIVRFRRREDHDRILAAHPGGALLPLVWHPWRRTSMASVRTFQFRALVGMRRVLGTPISDGKLTDTTHPFTDVHATAEDVTATPTSSSLTGRTTAPSPSSGHHEWPPSLLLLLAATVRGEEDKRKGRGSHRRDLRRDHHLAVAGDLHCHCCRRSVAALRKKRKGKNRNEPRAQVAAWAWGQRGALRQVVLALSWAAKKRCARAAGPHRLGELGTAAWAVRVLLDRCSTLGPWADARARPRRWASALSAGPKSTVTGSLLPHPTYLPSPIERDACKQRKAHRHYSSFTDVHATAGDVTATPTSSSPTVPLHARSAATAQVILGPACAEVDVVHPSDVPNDDDREFFVTTWCVDPSFIPDQQEWPALPAPPADAGDGDDGHGNGGGADFHGPPPGTPEDDYSGGRDSDEDDFIHRVHPGFDWRRRCVDTPRSVIVGTLCCPVVAGRRRTLTVGVTGRVPEVTGHVNVHSGAWPCPGLASGGHDPPSGPRARDTAHRRCCCTAPPRPVKGPVVADGRQREDIGPSHDGRGADTVAMSSPTPSLVRSAASPIAPVLAMANTADAVTPGVVSRCLLAQLRAVVEEPVLQGPPRLRAPKRRRGNSALSTPRAAVEGWLPREPTELPTQRSLRAVSLRFDPPPRPVRPWLLCGDFNMIYRTVDKNNGLLDHRTMRRFRRFIGTVAMEELHLNGCRFTWSNEWGHYYMPIVLTDFFLMAFVSSSSAAAAGILPITFLPTNEKLTRANFPSWKAQVMSALRGLQLARCIQPDAQPPSLFLAPEKGKEDSKEPPQPNPEYEVWVVKDQTVLNYLLSNMSKEILGQVNSEVNAAGAWAAIEKLFSSQSRARVISTRMALATTSKGTSSISEYYGKMKTLADEMASAGRKLDDEELVSYILTGLDIDFDPVISAVTACVEPITVAELYTQLISHEQRMEIRSGSGNQSFANMAARGGRGQSNSANRGGGHCGGGRGGGGRGNKGGRGGGRNPFQPGVFCQVCTKEGHTAIRCFKRFDASYTPPQKSASSATASYGVNTNWYMDTGATDHITSELDKLTVRDWYHGGEQVHTASGSGMEIGHIGHGILRSPNSHLHLNNYFMFLLLEQSTRRVLLKGACEHGLYPVKPSNKEALGAIKPSESLWHHRLGHPASAIVQQVLHRHQLPFAKESNKSRVCDACQKGKSHQLPYPRSTSTSASPFDLVFSDVWGPAPTSIGRNNYYVSFIDDHSKFVWIYLLRQKSEVFQCFRDFQSIVERQFDKKICAMQTDWGGPNSVENGNKNGADSDSNMHYFMCSPDPNSSDPGVDCGGASSGAGKGSPLGSVLQGMSPAPSSAASTVPNAASHPDPSGAPGQLGSPTETDSGGGSSVAAESEAVLVPPQPQQPATRLQHGISKPKVYTDGTVRWCQLANTSTMEPATVSEALGDQRWVSAIDVEHQALIHNKTWHLVPRPKDKNIIGCKWVYKIKRKADGSVDRYKARLGHSLFVLVYVDDIIIASSSAAATDALLADLQKDFALKHLGDLHYFLGIEVKRGSSGDLTLTQERYANDILKRSGMFKSKPIDTPLSASEKLSIQDGDRLGPDDSTKYRSMVGALQYLTLTRPDIAFAVNKVCQFLHAPTTVHWSAVKRILRYIRGTVGYGLNIRSSKLMLVSAFSDADWAGCVDDRRSTGGFVVFLGDNLISWTARKQPTVFRSSTEAEYKALANATAEMLWRLTSTLFESELLKNYWRFGLFTLRIKWLMVLPKRYQLLNFGNSETISTLEVAEIEEGHPTLERIDRAFASLDWFDLHPNHHLRALSTDCSDHAPLLLHTDVVPWACKRFRFEAFWPKLPRFLDVVASAWEPTLLHADAFRIMDYKLRNVARALKSWSMETVGSVRLQLAVAREIILRLDTAQETRALSVDEVALRRSLKC >Sspon.06G0004430-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6B:10917494:10918514:1 gene:Sspon.06G0004430-2B transcript:Sspon.06G0004430-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQFATSHFAETYFYFGLFRYRVYLPELLNINSVIGHLLEIGLGGKTNCWFTNQRQESSVDQVAVTKAFAYTQQKKPSPSSTPGFPTRATGHLTRVTYAMGSASPPSSHRRWSWGSALAGAATTAAATALVLCRPRDPRFELISISLSAFHFRAPAALDIGLTLTVHATNPNVVPVRYGPSTVSILYGGAHLGTARLDAGEQPATSCRLLHLPARLDGVELAHHARAILSDVARRHMELDAAVEIAGEAAVLLWSRPFSVRIDSHITVDPVFLEVVEQENHSEMQLYLA >Sspon.05G0006470-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:14483991:14488409:-1 gene:Sspon.05G0006470-2B transcript:Sspon.05G0006470-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding METCRQLASKGLRVVLTARNEARGLEAVERIRCASGDAEVYFHQLDVTDPSSAARLAEFIRHQFGRLDILINNAGISGVDRDPVLFAAVKDKVDGMDVDQRVEWMRENSKETYDEAIQCMRTNYYGAKLVTEALLPLLQLSSSRRIVNVSSGFGLLRNFNSEDLMKEFDDIDNLTENRLEELLNKFLEDFKANLVEAHGWPTGGSSAYKVAKAALNAYTRILSKKYPTLHINCLTPGYVKTDICMHMGVLTLEEGARNPVKVALLPDDGPTGAYFDLNGEASFNKRKGYKILVDNDKTYAYSTSHQVDQRSRARGARQWREPSLVSQAQESSQLHDLLGLLWSLAETKVCRQLAGNGITVVLTARDETRGAAAAEELRELGLSDVIFHQLDITDASSIARLADFLKTRFGRVDVLINNAAFGGVEYARDPAADGGMDRDQRLEWLWRNTRETYDAAKKGLQTNYYGTKHVIEVLLPLLQASSDGRIVNVSSDFGLLRFFRNEELKQELYNVDKLTEERLDELLDMFLKDFEAGEVDAGGWPEAFSAYKVAKAAMNAYSRILATKQPELRVNCVHPGYIKTDITLHSGLLTPEEGAGNVVRVALLPEDGVTGAFFFEDSEEASFV >Sspon.08G0011270-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:44855872:44858107:1 gene:Sspon.08G0011270-2B transcript:Sspon.08G0011270-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGAGMAMQSHAAAAVGRRSAILGGREKGARAGSLRVGGPAGAGAKAMAMRTRGTKPVAPLWCVNSADESMHSSADEALLLKRKSEEVLFHLNGQCIYLVGMMGSGKSTVGKILAEVLGYSFFDSDKLVEQAVDMPSVAQIFKEHSEAFFRENESCVLRDLSSMRRLVVATGGGAVIRPINWNYMKKGLSVWLDVPLDALAKRIAQVGTASRPLLDQPCDDPYTAAFSKLSMLAEQRGEAYANADTRVSLEEIAAKQGHGDVSMLTPTNIAIEALLKIGSFVTEDPTANDHAGNLQADSQSRSIKAL >Sspon.03G0013170-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:36603457:36607490:1 gene:Sspon.03G0013170-1A transcript:Sspon.03G0013170-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVYYALLVGAELDGLTNLQPSRGCDDPNFPYYLKLKCENCGEVTAKSTYVTLSEQVDLPKGHGTAHLVQKCKLCGRDGTIVMIPGHGMPLTIEQSQKEEKTCLMVFDCRGYEPVEFSFGAGWKAESVHGTPFEIDCSEGEFSEYDEKGECPVELSKLQSTFKVVKKPEKGGKTKFV >Sspon.05G0031330-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:4528063:4530671:1 gene:Sspon.05G0031330-1C transcript:Sspon.05G0031330-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myb family transcription factor PHL11 [Source:Projected from Arabidopsis thaliana (AT5G45580) UniProtKB/Swiss-Prot;Acc:C0SVS4] MMQGSYGCGGAASRDPKPRLRWTPELHQRFVDAVTKLGGPDRLFSQGLSSTTAHPPGVPDEGKNTREIALSDALRYQIQVQRKLQEQIEVQKKLQMRIEAQGKYLKTILEKAQTNISFDTNASNGIESTRSQLMDFNLALSGFMDNATQVCKENREQLVKALSDDNDKDNLGFKLYHVGSQEAKEVKCTPKTEDSLLLDLNIKGGYDLSSRGTQACELELKINQQINVDTRMRELHQSRLK >Sspon.05G0013070-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:36228470:36229873:-1 gene:Sspon.05G0013070-2B transcript:Sspon.05G0013070-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MESVAVVAVPFPAQGHLNALLHLSLQLAARGVPVHYAAPVEHVRQARSRVHGWGDDTLRRVHFHELPISAYASPPPDPAAVSAFPSHLVPLWEAFVADAPAPLAALLSGVSASCRRVVILYDVANGFAAEEAARLPNGEGYGLVCTAVSSIVGGTDAGSRLVREHGLDFNPVHSFVTEEFLAFVGERARWEQSIPCSVGILANTSRALEGEFIDVFTQQLAAAGKKLFAVGPLNPLLLDANSAPQQAGSNKERHECLDWLDEQPPASVLYVSFGSMSSLRDEQVEELAAALRDSKQRFIWVLRDADRANIFADHGESRHAKFLPEFAGHTQGRGMVITGWAPQLEILAHGATAAFLSHCGWNSIMESMGHGKPILAWPMHSDQPWDAELVCKHLKAGILVRPMEKQREVISAAAIQEAIEKMMVSDEGHKIQQRAMALGKAIRASSAAVGGGPENKDLDKFISHISR >Sspon.06G0011280-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6A:61625412:61628382:1 gene:Sspon.06G0011280-1A transcript:Sspon.06G0011280-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GNATAPLQSASRRCLQPPNPQLVRSPPAFLFPEPVEDAAKGKRKRSFRFANGRRAFRFRPFRLQTGSLAPDYARTPAFCQRHFRYAAVRADSANIWRSKSKASEGASQSVTRSASSSSSLSTEVSSDGGYTSGFEEEFGLNPDSDSDGQSGGFELDERGKEIASISKKLPYDGVMLLLFETPSGFSLFSFPGVYCYLPDSVDNLWAEFGDPLKATWVVYPKGFLSLEDKSSAITDAGVDKQLVDMINKWHRPGMNLCVGKTEYKRIIESVLEIPCMCCQTVMEIMWGIQQQMSVLVPREKSKLTKEDRLPMSQGLKKFLSHYGYDVKPEMVNEKIVMTACSLFHYDVDDEKNLGLLRDAGLNIRDISGIACEDWDILKLAIAVKVICCPEEKLRGFDRVLAEDVVSKLKGDARKYEGIPIRVCWVRAYKAAVSNRHQRIAKEELLRSLVKKAKEAYEVRRSPRLNRKLYENSGEGSPHKRPRYLGTRGAMDE >Sspon.02G0054420-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:98321831:98324759:-1 gene:Sspon.02G0054420-2D transcript:Sspon.02G0054420-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAATRGRGGPRRPFAPVVVSPPSQAENRLAREDAPSSFAWREPRRFGAFGGKVAGPAVLGAGGKAAKKCGPGSSPAKKTPVAAKRAPGTATRRRLDSCTNKCSTPAVGAVAAYDAPCAPASGAAEDRDDKVRAKLSYPCSKADQERRGNEAERAMRVVAMEDAMAGLPEPGEGRVKYLVDTFERLLSLGGVGGGGGPMARNRGAARRRRKDEGTATATATVSLPATPRGAEEIDVSYPSIASSSEVSFPVIPGVACILDASDRTRSRIPHPSLHIRKVTRVTTQQPFRLRTEQRGKAKEGHFLERLRKMQREEERLRHPLAQGLPYTTDEPETELLVTTSVLRTLQSGAHAELLAQFIVVSVLEGSPFQFVTGQIGP >Sspon.02G0015750-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:42925295:42926244:-1 gene:Sspon.02G0015750-1A transcript:Sspon.02G0015750-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKYIASFQVIIAQGLTGSFPWSALLFTAMWLELVGFSHGETAALMTLFKVATSLGSLFGGRMGDALARRFKNSGRIVLSQISSGSAIPLSGVLLLALPNDPSSTVKHGAALFILGIMASWNSTATNSPILAEIVPPRAMTTVFALDRTFEAVLASFAPPVVGLLAERLYGYKLARSTTGDGVDERTAVDVEMERHNATSLARAIYTSIAVPMAMCCAIYSFLYCTYPRDREMARAEAARDRGDYGDEGSGSEDEGDGERKLLPQ >Sspon.02G0035630-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:92593660:92594038:1 gene:Sspon.02G0035630-2D transcript:Sspon.02G0035630-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASMKLSLTFVLLLSALVVSGEMGGVMAADCSTVRCIQGGYITCDNYPYRKLDGCACVCAPKNGRNCVLHLQSGSTYNCSDKKKKKE >Sspon.07G0008100-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:19396875:19407450:-1 gene:Sspon.07G0008100-2B transcript:Sspon.07G0008100-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDLKEVFRSLQELFPQVDHRILKAIAIEHRKDVDSAVVTVLDEVMPSMTGSAGALSTHQEVFPSMDDSVGNLFANCSTREVGSLSSAGHDIRINEVDVSVQSAQHTSSGEVKIGKQGNINNEYVGRLASIEGFSEQLNLHSDPIPNGGQHDLIPNLDVLSSNTNPEKKLANTDNEVGYGGLSSECFSQPLTGEDGGDIPQSHDQDPNKMIPVGDYFPQNTSMEFFSGYEDINFDDDLLPLGSNDQISSGILGTEKDSFAPVLDVPGRDKEESSAETSGFVEPKDTSNVADMLPDLNLNHFASTASTHSSHSVSIESLEDSVADARSNKNDLLPSLELVTKMIEDVELLEEKAKVAKHEASVAGTGILTKVEELREMLTHAKEANDMHAGEVFGEKAILTTEARELQSRLQRLSDERNKYLVIIEEIRQTLDERLAAAQQEIAAAEKEKIEKEAAAQALLDEQEKTMNSIVEESRKLQKEAEENLKLKEFLVDRGQIVDMLQGEMAVVFEDVSLLKRVVDERLSLSRLQRSAMSSLSSSLHSSHHKSWSSSDRTTEAIESPDRCTLVEPASPVCKDLDGDECAVEVSDGNDAGKGILKRVNSEEDGDGWELC >Sspon.02G0041920-2P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2B:79859711:79863290:1 gene:Sspon.02G0041920-2P transcript:Sspon.02G0041920-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSSLIRVVTQLTTSQASASHALAALHIQSKHHTPPAGMEKKRVVIVGAGVSGLTVCKHLLELGCQPTVFEADTVLGGVWARAPACTELQTPRPMYQYSDFPWPESVTEVFPNHRQVVAYLEAYARHFGVLGCIRFGHRVVGMEYHGVGEQAMAAWEEWAGNGQAFGSGAGEWRLSVADADGHVETHKADFVVLCMGRFSGVPNIPTFPAGKGPEAFDGQVIHSMDYAKMGNSKAKEMIRGKRVTVFGYLKSALDIAAECAEVNGTAHPCTMVVRTKHWILPDYFAWGFHISKLYLNRFSELLIHKPGEGLLLSILATLLTPLRWAFSKFAESYYSIPMKKHDMVPDHSLFEALAAATIAITPKDHYKRLEEGSVVLKKSKTFSFCKDGVLVEGEPSPVKSDVVIFGTGFRGDDKIKDMFTSEYFRSVAVGSESTTVPLYRECIHPKIPQLAVIGYSESIANMYTSDIRAKWLARFLDGGFRLPNIAAMQKDVLEWEKYMKRYSGRYFRRSSILILHTWYNDQLCHDMGCNPRRKKGFFSELFE >Sspon.07G0013100-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:46843448:46844470:1 gene:Sspon.07G0013100-1P transcript:Sspon.07G0013100-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LMRVLTISGTFSVADAASDDEAAVVYRLTAASRFLVSDEVSSATTLAPFMSVVLHPISISPHAMGICAWFRQEHRDPSAFGLAFRQTPTIWEHADDANAILNKGLAAQSRFLMPIVLRECGEVFRGIDSLVDVGGGHGGAATAIAAAFPHLKCSVLDLLHVVAGAPPSDSNVHFVAGDMFQSIPPATAQTTLHDWGDDECVKILKNCMQAISPRDAGGKVIIMDMVVGHDEKSNIKHLETQVMFDLFIMMVNGIEHDEQEWKKIFIEAGFKDYKILPIVGTLSVIEVYP >Sspon.02G0038230-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2B:40492571:40493346:-1 gene:Sspon.02G0038230-1B transcript:Sspon.02G0038230-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGMPRTGASTGIEEPQSRTGSNTGQYQSIPVIPGNSGRNACSAYFGTGPKPSDTSFSTGQAESACYAGQWNPRPVNRARGRGCEALGDWAAAAATRRRCGVSAASAVTWRLLQASFLRSPTSHGLAAAATADWSKNSTICLHCDKKIGGGGITRLKYHLAGKKGQVEACKKAPEDKKIGDRVE >Sspon.07G0019210-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:69865766:69867552:-1 gene:Sspon.07G0019210-1A transcript:Sspon.07G0019210-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLPPLLPAMASTFSPTFAARALLPGSTSRPLFLAAAAASSGRIQTSRKGLDFRRGRFTVCNVAAPTAAEQEATASAAAKGSQRPVYPFAAIVGQDEMKLCLLLNVIDPKIGGVMIMGDRGTGKSTTVRSLVDLLPDIRVVVGDPFNSDPDDPEVMGPEVRERVLRGDTSLPVTTAKITMVDLPLGATEDRVCGTIDIEKALTEGVKAFEPGLLAKANRGILYVDEVNLLDDHLVDVLLDSAASGWNTVEREGISISHPARFILIGSGNPEEGELRPQLLDRFGMHAQVGTVRDAELRVKIVEERARFDRDPKTFRESYNEEQEKLQQQISSARSYLGAVQIDHDLRVKISKVCSELNVDGLRGDIVTNRAAKALAALKGRDSVTVEDIATVIPNCLRHRLRKDPLESIDSGLLVIEKFYEVFS >Sspon.03G0043200-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3C:76916940:76918342:1 gene:Sspon.03G0043200-1C transcript:Sspon.03G0043200-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding APTLMAAEVVMVGEEKQQGHRQPREFHRFLAGVYDPLSQSQGGGGGGGEPAERARQHHPRRPRLGIVSRRGTRVIENQAAVPQLAASVGFDVDVLESGNAMAPPPPLSAVYAWVRAHDALVGVHGSDLTSFMFLRPGSGALAQITPLGVTQLSCNLFGTPAVRMGLHYEQYDVRGRESSLSREYSPEHVVVADPERAKRRQGSTDEGDWDWDFIGRVYLNGQNVSLESRTWPGSGRRWPGCTLDCCGNDRGRAPSRRGTLPQKLTSVVLSPIPNFKFQIVSHFVLDTSRAAHGTTALIWPASFYLLGVFFLQVSGQRLSTPPSSSPAPLLLSSVPRTCRYPPPCRGMLGFCPWSSHETLACLRPSPSFPPPPAGAMAMGAPARPSPATSKRRQDPKAARAVSTISRALGQARQ >Sspon.05G0005300-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:5016047:5019246:-1 gene:Sspon.05G0005300-3D transcript:Sspon.05G0005300-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding KMMNLSAAAANAACDEFPYVPSNAGAAPPSLLPIMEQESSIHREHHHQLGYNLEANSLALLPPSNAAAHHHATTIAGHSPHDILQFYPASASHYLASAGGGGNPYSHFSAGTGGSTFHQSSYYPPAAPEYYFPTLVSSAEENMASFAATQLGLNLGYRTYFPPRGGYTYGHHPPRCQAEGCKADLSSAKRYHRRHKVCEHHSKAPVVVTAGGLHQRFCQQCSRFHLLDEFDDAKKSCRKRLADHNRRRRKSKPSDADAGDKKRAHANKAAAAKAEAGSSSKSMDIGDGLGTQILGSALLSKEQDQAMDLGEVVKEAVDPKGKASMQQHHGIHQQHHGFPFHSSSAGSCFPQTQAVSSDTTSNIAQVQEPSLGFHHQHHQHSNILQLGQAMFDLDFD >Sspon.08G0010010-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:38484733:38486803:-1 gene:Sspon.08G0010010-3D transcript:Sspon.08G0010010-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSNSGIPIKAEQDSDGSAQSTADMTAFVQNLLIQMQTRFQAMSENIISKNILKSFLLLIYFLYVFKIFDTQSNWHSNFILASRNTKIINSVTAAFTIVCQFVIVCDNSAKVKSSEVWELQKED >Sspon.06G0033320-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6D:12525760:12528177:1 gene:Sspon.06G0033320-1D transcript:Sspon.06G0033320-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AGLRRRVRRLSGHGRLLPQPRRQDPQPRPQGAGRGQHGQRPHCSCTPRPPPQDHFQGI >Sspon.07G0002040-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:3569226:3570002:-1 gene:Sspon.07G0002040-2B transcript:Sspon.07G0002040-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding TMALSSTAFAGKAVNVPSSLFGEARVTMRKTAAKAKPAAASGSPWYGPDRVLYLGPLSGEPPSYLTGEFPGDYGWDTAGLSADPETFAKNRELEVIHSRWAMLGALGCVFPELLARNGVKFGEAVWFKAGSQIFSEGGLDYLGNPSLIHAQSILAIWAVQVVLMGAVEGYRIAGGPLGEVVDPLYPGGSFDPLGLADDPEAFAELKVKELKNGRLAMFSMFGFFVQAIVTGKGPLENLADHLADPVNNNAWAYATNFVP >Sspon.07G0005120-3C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7C:8570710:8571411:1 gene:Sspon.07G0005120-3C transcript:Sspon.07G0005120-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDALRQNLPCPVYAVGPCIPFMALQEHKASPDGDDYMAWLDAQPAGSVLYVSLGSFLSVSAAQFDEIAAGLAESKARFLWVLRDADACSRARGLIRDPDAGRIVPWTDQLRVLCHPSVGGFFTHCGMNSTLEAVYAGVPMLTLPIAFDQPTNSRLVAEVWKTGVGLRDMARADGVVGREEIAAAVERLIRPDAAEAEDMRKRSALLKDAARAASEEGGSSWKDVTSFINFVSN >Sspon.08G0021760-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:42727043:42731206:1 gene:Sspon.08G0021760-1B transcript:Sspon.08G0021760-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAVTSNGGAVAANGGPAPGRLASVYSEVQTNRLLHALPLPSVLRSNFSVVDGPASSAAGNPDEIAKLFPNVFGQPSVSLVPAAEPAATRPLKVGVVLSGGQAPGGHNVICGIFDYLQERAKGSTLYGFKGGPAGIMKCKYVELTSDFVYPYRNQGGFDMICSGRDKIETPEQFKQAEDTANKLDLDGLVVIGGDDSNTNACLLGEYFRSRNMKTRVIGCPKTIDGDLKCKEVPTSFGFDTACKIYSEMIGNVMTDARSTGKYYHFVRLMGRAASHITLECALQTHPNAALIGEEVAAKKQTLKNVTNYITDIICKRADLGYNYGVILIPEGLIDFIPEVQKLIAELNEILAHDVVDEAGAWKSKLQPESNELFEFLPKTIQEQLMLERDPHGNVQVKTGNI >Sspon.02G0017720-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:52675003:52680080:-1 gene:Sspon.02G0017720-1A transcript:Sspon.02G0017720-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein SMG7 [Source:Projected from Arabidopsis thaliana (AT5G19400) UniProtKB/Swiss-Prot;Acc:A9QM73] MDSASVAPSSVRDLAERLLTRNNELEDQLRKSAQSKVPSDPNIWLQMRDNFEKIILLDHDLSEQKEVEYLLWQLHYKRIEDFRRNISAASSVASSGKSNANPDRVKRIKSAFKSFLSEATGFYHDLMLKIKSNWGLPLSYFPEGLDNANNSVRDDKKTVQLKKGLISCHRCLIYLGDLARYKSLHGDGDSASREYAAASSYYKEAASIYPSSGNPHHQLAILASYSGNEVVAVYRYFRSLAADTPFTTARDNLIILFEKNRQIYGQLPDNNKVPSAKTLPPRSSGRGRGRGEVRFQPKDVTAETAEKERECNIHDTLKAFYIRFVRLNGILFTRTSLETFGELFSSVSNDLQILLSSGLEDELNFGCDAAENALAAVRLTVILIFTVHNVKKEPDSQSYAEIVQRRVLLQSAFTAAFDFVGRILRRCSELRDITSSFYLPAILVYIEWLASHPELAVDSEMEEKHANARSFFWNQFISFMNKLILTNLASMDDDDDDEACFSNMSIYEEGETGNRLALWEDLELRGFLPLVPAHTILDFSSKHTFGSVGNTKEKKARVQRIFAAGKSLLNFVQIDQLRIYFDPSSKKFVMAKNPPVSKANNPLHESPDALKTNGADMDHEAARRFDSVSSNMGTLQSNVHFGPDGDDDEEIVFKPTASEKFPKAPSDLSVNGYTYPLPMSAAGWPTNASLVSVQSTASMSATGWPPNGGSHSFLAAGNYNINQSLPRSSVGWAVDGEQNVIPSIAPRFELMQPVEEPASSWTSSGAQHVGPQHTGLTFQDVVSEPRVSASMVQRFSSPDYSKFLSEQEMLLMNGLKTVNITGNGYLEQRLQAGLSGVQSIGYSSTEPAGTTKNSMHNHVNITGETVPSTLDLVVPSIAPLGGMPMKFTEAPLTASKKNPVSRPSKPVGPPPGFNHVTPKRQDDSILVEKLQSPQVDDYSWLDGYQPSIDHVNNLRAVYPGVSDTSTAFSTPFPFPGKQQVSGIHAQGANEKTWQDFHLFEPSKQNMFQNYHQKNQQSGQIAEQEPANSIWSSSYHRNLVHL >Sspon.05G0024940-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:18834807:18837322:1 gene:Sspon.05G0024940-1B transcript:Sspon.05G0024940-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLLRRGAAITARSFRAAAAASASTTVHRLPAGGSLAGAGEFAPARLFVFENRRGFAKGKKSKDDRGDTVQSVPDIGPTVKSAATAQMDAAVIALSRELSKLRTGRATPGMLDHIMVENAGVKVALNRIAVVSVLDAHTLSVMPYDPTSMKSIEHAIISSPLGINTTPDGNRIIAAIPPLTKENIQALCKVVTKSAEDFKQSIRRARQKALDTIKKSASSMPKDDVKRIEKEIEELTKKFIKSADDMCKAKEKEISGS >Sspon.01G0045390-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1B:89476076:89476501:1 gene:Sspon.01G0045390-1B transcript:Sspon.01G0045390-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEPDALSPTCRCSRRAWQSGAGGGPSARSRWRRALLSARAPYADTELPPGTDVRWWRRSTGSWGETPRTAQGQRSGAPFELCYSSQALVTTRIGYQVPYIDRPDAGEREELDAGARQHLGGERGRHHGDTACFTSSRSWR >Sspon.08G0015260-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:61445918:61449564:1 gene:Sspon.08G0015260-1T transcript:Sspon.08G0015260-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LLSPQHVRPAHLPRRLPPLHLASHLDVPARRVLLRRAPPPCTAKFGKFDASDAPAEAEGAEAAADGGVAQPAEEDDRSGSLHPQLAELLIPQLEFLNEEGAQAELWALSKIFLDTLVQETGQKVTAIFPDAGAAALLKYQWKDAQFKCASLSDWKPVDPEDEVAVMIIPDHQMLEAVERIASQLSDDPIRPLVMWNPRLVSGDVGVGFNVRNLRRNFLSTFTTVYSMRPLPTGAVFRCFPGQWKVFYDDPNRPHRYLLARELTSRPDATDIERIFGGADEQSEEAPSLMNNVMGVFSSVSRFMRVISK >Sspon.03G0002240-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:13441178:13451595:1 gene:Sspon.03G0002240-3D transcript:Sspon.03G0002240-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MANRALAPFLYVGTLLVTLLATCHAGGIAVYWGQNDGEASLSDTCASGNYKFVILAFVYKFGKGQTPELDLASHCDPSSGGCTGLSDDIRSCQSSGIKVLLSIGGGDGSYGLSSQGDARDVAAYLWNNYLGGSSSSRPLGDAVLDGIDFDIELGGSKYWDSLARDLKNMGKNKVGGKGVLLSAAPQCPFPDEWDNGAINTGLFDYVWVQFYNNPPCQVNAGRGAFMDAWKQWESVPAGKIFLGLPASKDAAGSGFVPADDLKSNVLPLIKGSSKYGGVMLWSKYYDDRAGYSDAIKSHVHAGGIAVYWGQNTGEATLSATCASRKYQFVILAFVSQFGQGRAPQLDLSGHCDASSGGCSDLSDDIRSCQRRGVKVLLSIGGGVGKYGLSSAADARLVAAYLWNSYLGGTSSSRPLGDAVLDGIDFDIELGSAKFWDNLASSGRKAFLDAWKQWESVPAGQLYLGLPASKDAAGTGFVPAAQLTSQVLPLIKGSPKYGGVMLWSKFYDDRTGYSSAIKSHNSRNKMARSNIPSLPMLLATAFSLAGVAAAGARAGGIAIYWGQNGNEGTLAETCATGNYKFVNVAFLPTFGKGQTPVLNLAGHCDPASNGCTGVGADIKACQRRGIKVLLSIGGGVGSYGLSSPDDARSVAAYLWNNYLGGRSSSTRPLGDAVLDGIDFDIESGGSLYWDDLAKALKSYSRRRGRKPVYLSAAPQCPFPDASLGTALGTGLFDYVWVQFYNNPPCQYSASAGVGSLASAWAQWTSIRAGRVFLGLPAAPQAAGSGFVPATDLVSQVLPVVKNSTKYGGIMLWSRYYDGLTGYSDAVKSQVQQSLVRMATAAAATMYSVPAPSAIRCCRTFSPSIKTDAPRVASRARPPNLAWGADARVVTRSRFRASAAVHKVKLVGPDGSESELEVAEDTYILDAAEEAGLELPFSCHAGSCSACAGKLASGEVDQSEGSFLDEAQMAEGYVLTCVSYPRADCVIYTHKEEE >Sspon.04G0018690-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4C:51543676:51544042:-1 gene:Sspon.04G0018690-2C transcript:Sspon.04G0018690-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSHLFNMDLIKQFEMNWDPFDDSEGDDVEDSARSSMNIAKLLRSALQSLIVRHFGETIIDAWFTEFRRLVAEHLEKEKTKFTTIVMSLKKE >Sspon.08G0016400-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:59481520:59486870:1 gene:Sspon.08G0016400-2B transcript:Sspon.08G0016400-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RTALPPGPGCSRNPHRPPAPPHPHKKKTQREREREDKSESQREAEAAAAGQQLSRSLASPRPDPGPLPPLVLARRRRSAGDGRPVARARPSFGSFSAKEHRLLTWMMPQRQLKDHHSMNLLSLMNEKDSAIRERDHALAEKKAAIAERDMAFAQRDAAMAERNAAIVERDNALAALELARTNGFNMNNGNGFHQGPPLNGTKNIHHHDQISHVQTSALQLADSPYDHVREMHISEAYPITTAPGNIGKAKKPRKSNSQASPLKRPSGVLRKTKKQPVIGRMGDDSGDSARASVMKNEWKDQDLGLNQVAFDESTMPAPACSCTGELHQCYKWGNGGWQSSCCTTNMSMYHSQ >Sspon.07G0023190-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:13410377:13410796:-1 gene:Sspon.07G0023190-3D transcript:Sspon.07G0023190-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GGRPEAGVAPDRSARRAADAAVLVGGVGREEGHAAAARVVRRARGQAVAGAAGAGHRRRPRPTVDALGGGQHPAQRQGPPRGVLRQGGRRRRPTGARVRRLAGGHQRPEAAWVAWARAALPWPPHPTRISHNRLRPHTI >Sspon.02G0033440-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:8411828:8413424:1 gene:Sspon.02G0033440-2C transcript:Sspon.02G0033440-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASATMSLELGKERRPVGLNLDGGRHLGSQVPGGLLHDPLGETRSTTALAASSKSSRDLSWSANSWPSESPTRWWSTCPECTCATIRATAAPTMDAELHRVPHVVGEIQEMLLSVRTAGVDVPRRSVRSLSDLYHLLVSDDLHLPFRHLKRGLGDLQVSPESLHLRLRHSGRRSIQRLRQLRGHGQRQPPPVSATGGRSHPHHVVPRGPPTTGRVTGRAFTWIHSESGRRVPRQEGSKEIAGCYEELRRTDGLLRPPIPVFIMPCMAADRVPVAQLPTTPSASPEPHDVIKAPDPCNVSTGAPDPLSILLPCPRDMWSPLARREAMEALTVAPIPTDGTDMTVHSEQERRNRALIQHDSGHFTTMTSIPLQ >Sspon.06G0029680-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6C:26777396:26780795:-1 gene:Sspon.06G0029680-1C transcript:Sspon.06G0029680-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQKTLQEFSAPSLENILTGPRFEVEGVPEFELKSNLINLVQATQFSGKAHEDASAHLQNFLEIGSTISINGVDKDVILLRLFPFSLEGKARKWFYTNQANIKNWTNLSDAFLSKFFPIGKTAALRGNIVSFQQQKTETIPEAWERFQGYISDCPHHGMAKWLLMQTFYHGLTQKSRECLDASAEGSFLEFTIGKAETLLDKIAENQSWFQDKTQHCHQTEEIPEEVKALSTKMEDLLHWIDQRAKFKEDQRAIETVYKYQTTSSQPNSKGMNSGNILKQPSLKEIIAQQTKTNDEVKQRLDTNESFLKDIHNKMDFLLTAFDEQNTLNKRVELKLAAVLPVATNLEQVKNITTRGGRSTRDPPHPREKQKTPAPVQPAMIEEERPVEAEDLLQPSRTGEMRKDFHDTNYLPFPRRNRGLQSDEQFGKFVEVIQKLYVNIPLLDAIQVPTYAKYIRDILNKKRPLPTTEVIKLTEECSAAILNQPLRKKKDPGCPTIDCSIGDQHFNNALCDLGASVSVMPASVYKKLEHTTLEPTSMCLQLADQSVRHPMGIAENIPVRIRDFLVPVDFVVLDMNPDSKVSIILGRPFLSTANAHIDVRADPGPEEEAHLHYNDYNPRVLRDPWTRHVQPEEPPQETWPEGQYHQWENPPFTRRYSTDPYGASGSRPQPQFDTGRYSDASYSFSGDYYQETAAFYTCTDNTLLDIRTTQAEHGRLLEEQQKWNQEQATRVQAIREDTTTLNNNVTTMLRYFNIE >Sspon.02G0000840-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:2916526:2929527:1 gene:Sspon.02G0000840-1A transcript:Sspon.02G0000840-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MYHQQQLHNHNQHLSSRPGLPPEKQFLLQGGGDAGLVLSTDAKPRLKWTPELHERFVEAVHQLGGPDKATPKTIMRLMGIPGLTLYHLKSHLQPNFPCAAPARLSWAPPITSTFGPFTFSIDGAGSETPAAADRSAGQVPAVRAGEGAGGAGQAERPPRRRRDADAAAVGAHLESHGDEARPGPAGASPPSPASPRRDGSVDSCLTACEGSQCQRERERDQDLLFIGLSSAAPPHIPSRGYNNDRGGATASAICEEFLFLDEPGRRGGGGGGGGEEATPKTIMRLMGIPGLTLYHLKSHLQLRIEAQGKYLQSVLEKAQEALGKQSVHLDGGETPTQQLSELISRATATRRVQVQQEHLHHHQRPLGGDGSVDSCLTACEGSQCQRERERDQDLLFIGLSSAAPPHIPSRGYNNDRGGATASAICEEFLFLDEPGRRGGGGGGGGGGSSDDHDQQELDLSINSRSNPPRPRDSQRIDLN >Sspon.01G0027670-1P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1D:91458959:91459467:-1 gene:Sspon.01G0027670-1P transcript:Sspon.01G0027670-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LILSHQNQGPPPNAGSQCTPSPSHPQANRNIPDAAHLFRMDPSLPKPNQGGARDPPAVPGEPPELGLEEALGLLSRERVPHHDLPRGRRHHRLLRGRRRRHRLRVHLPLRPHAPARHHRGGPRPNSGETNPGEERRGESDRGRGRGQAGGGEIGNAGRGRGHGRSPKRL >Sspon.01G0039400-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:27970752:27973866:-1 gene:Sspon.01G0039400-2C transcript:Sspon.01G0039400-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLYIVGLGLGDERDITVRGLDAVRSCSKIYMEAYTSLLSLGLDPAALANLVRLPSLVRPPPVRANARVARSELLLLIGVFTLSYLCVQENLYGKEITVADREMVEERVDQVLSEAADTDVAFLVVGDPFGATTHTDLVVRAKKMGVEVKVIHNASVMNAIGVCGLQLYRYGETISIPFFTDEWRPDSFYEKIQNNRNLGLHTLCLLDIRVKEPTWESLARGKKVYEPPRFMTVNTAISQLFEVEEARGGSAYGRDTLCIGVARLGSDDQKIVAGPMEKLLDVDFGPPLHCLIIVGETHPLEEEMLEFYMINWRSAASEPKLWWPDDLRERDLTGVMGLLATTRGDRCATGGLVDLAKPMSLAMEGMGDMDGMGESRRSANGKQCSE >Sspon.02G0035460-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2B:19359254:19361099:-1 gene:Sspon.02G0035460-1B transcript:Sspon.02G0035460-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTRTHTHPYEHTYANSIPMSTSEGLSQQILRFTKSPLAPRCQRGRRLPLKVIFRGAYWMRFWAQLQREEETKDTLSDLSKKLEIIALELSNRGWKNIYRLQ >Sspon.02G0009470-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:25122739:25124244:-1 gene:Sspon.02G0009470-2B transcript:Sspon.02G0009470-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding TMAPSISSLSPTAPAYIPQRGAPWIPPPPVPGFTTYICMTPPPPPEFLPWPPLAPPPPPPPCWSVPQAPCVPGMQGPFHGGVVPHGAMPMMIPSRPAPSARSRCHLKGSAGMRRAVGPAPAATAASCGVKAAADAKDAAGTNQLSPRSVLAVAAPSPPISPTTSLPTSFPYQLVLPAPPTATAGVASAAAPYPAEASVAVRPPQRRGRRLRGPLRARPASTVQVRRTVKPRRLFDLTSERTSLMIRNIPNGFRRTRLMNILDEHCFIENEKIAPGGVRSEYDFLYLPIDFRSLANKGYAFVNMTSPEAARRLWEHLHGHTWAFKRSGKTCEVDYADRQGLDKLVEHFSGSRFDCHTEEYLPVRFEPPRDGTRPAEGAMHVVGRLAACPRPALEM >Sspon.06G0007630-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6C:27673141:27676677:1 gene:Sspon.06G0007630-2C transcript:Sspon.06G0007630-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:BR receptor kinase, Brassinosteroid (BR) perception in the roo [Source: Projected from Oryza sativa (Os08g0342300)] MGAARMAAPISMLLLLLHLARAVAAGTDDEAAALLAFKLASVTADPAGRLASWGEPDSTSGSGSPCEWTGVSCADGRVRALNLSGMSLVGRLHLDTLLALPALQSILLGGNAFHGNLTHRALPRRTAPPCVLVDVDLSSNAFNGTLPRAFLASCSNLQLLNLSRNTLTGGGFPFPPSLRTLDMSRNKLSDTGLLNYSLNACHGIQYLNLSANQLTGRLPEFPQCSQVSVLDLSGNLMSGALPGRLLTSAPANLTHLSIAGNNISGDISRYEFGGCANLAVLDFSYNRLSAMGLPPSLANCHHLKELDMSGNKVLSGRLPKFLGGFQALGRLALAGNNFTEEIPDKLSLLCGTLVELDLSSNHLIGGLPASFSRCRLLEVLDLSSNQLSGDFVVTVISKISSLRVLRLPFNNITGTNPLPTLAADCPLLEVIDLGSNMLEGEIMPELCSSLPSLRKLLLPNNYINGTVPPSLGSCSNLESLDLSFNLMVGQIPSEVMLLPKLVDLVMWANNLSGEIPDMLCSNSKTLETLVISYNNFTGVIPPSITTCVNLIWLSLAGNNMTGSVPSGFGNLQKLAILQLNKNSLSGPVPAEFGHCSNLIWLDLNNNNFSGAIPPQLAAQAGLITGGIVSGKQFAFLRNEAGNICPGAGVLFEFLDIRPERLAQFPAVHSCASTRIYTGMTVYTFNESGSMIFLDLSYNSLTGTIPASLGNMTYLDVLNLGHNDLTGAIPDAFTGLKAIGVLDLSHNHLTGVIPAGLGCLNFLADFDVSNNNLTGEIPTSGQLITFPASRFENNSGLCGIPLDPCMHNASTGGIAQNSRNGRMKFLEEFVLLAVSLSVLMMATLVVTVYKLRRPRESKTEEIQTGYSNGLSSSTSISWKLSGSREPLSINLAIFENPLRKLTYAHLHEATVGFSPEALIGTGGFGEVYKAQLKDGSVVAVKKLMHFTGQGDREFTAEMETIGKIKHRNLVPLLGYCKIGDERLLVYEYMKNGSLDVMLHEKGKTDASLDWATRKKIAIGSARGLAFLHHSCIPHIIHRDMKSSNVLLDDNLDAYVSDFGMARLVNALDSHLTVSKLLGTPGYVAPEYFQSIICTTKGDVYSYGVVLLELLSGKKPINPTEFGDSNLIDWAKQMVKEDRCNEIFDPVLTDIKSCESELYQYLTIACQCLDDQPNRRPTMIQ >Sspon.01G0016030-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:54032812:54047575:-1 gene:Sspon.01G0016030-2B transcript:Sspon.01G0016030-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSTKTSVREWNDILTKSNICTDDRNRILPILKLSYDDLPSHMKLCFAFCALFPKDYEIDVDTLIQLWMAQDEDHPETTGRETFMELTWRSFFQDVRQTSPMMDGERKQLRCRTICKIHDLIHDIALSVMGKECVTISDSDNQKKFSSSDARNFFSSSYQTKYSYDFLKKQSSTLWTLLCTYEFSRASVTHVSNYKSLRALQLHTLGEHLLRSSHLKHLRYLNFSGNEEIRELPEAVSILYNLQTLDLSHCKNLYQLPKGMKYIIGLQHLYNNGCTSLSCMPPDMGQLTSLQTLTYFVVVLVAALLKNLELGGELELRGLENISEGHAKAARLQNKEKLTHLSLEWTESQKEPVPDCQKKVLDALKPHGRLEMLRIASYKATSLVKWVTDLILLQHLTELHLVGCTGCEDFPQFCHLKALQVLYLKKLDRLENLCSDPSLLSFPALKELKLHYLHRLMRWVATEGKEVTFPVLETIDIKDCPKLTSLPEAPKLKALEVNESKAQLSLLIVNSRYMSLLSKLTLSAENTESTLDLDSGLTANNWDLEMFWKTCRSDNTKKFCPHPLTGGSVPKLGIIEDLVAGCTESLSILDCNKLQPLGSVGDRPSLVRLSLHSCKCLTTLPAMAELMIVPLVSLLKDKVSSYLLDQYKVMQGMEEQREILARRLPVILDFIEDAEKGTSRSRPGVGAWLDAIKKVSYEGIDVFDEFKYESLRRDAKKKGHYVKLGMDVVSLFPACNPIVFRYRMNKKLHKIVQKIEVLVKEMNDLNFTQRQHVPVPPSVQWRWRQTDSIMVDSDMDIVSRSRSEEKTKIVKILLEQDGHGSGGVPMVVPIIGMGGLGKTTFVQLIYNDPEIEKHFSLRRWCCVSEDFDIANIAIKSARDMRKIELRKELSGKRYLIVLDDVWNRDPDKWGKLPSGDELDVVVDKIVDRCVGSPLAAKAFGSMLSTKTNIQDWKDMLAKSNICNERTEILPILKLSYDDLPSDMKQCFAFCALFPKDYEIGVETLIQLWMAHDLIPTQAEDNPEMIGKEIFSQLAWRSFFQDVKQTPPPHYYNGKREQLRGGKICRMHDLMHDVALSIMGKECATIADRANVMSLSKPARHMFISYQRPGTHLDDFLKKHSSTLQTLLYSHPWIYYKSAPHLSKYNSLRAMQLCQLKKLPIKPRHMQHLRYLNLSSNWWIKELPQEISLLYNLLTMDVSHCKSLCRLPNDMKYMRSLRHLYTNGCTSLECMPPDLGQVTSLQTLTYFVVGSSSSCSTVGELQHLNLSGELDLNGLENATEEHVKAASLGTKEKLTHLSLKWNSEDDEELISDCHSKVLDALKPPGGMEMLRIVNYKGSNIPTWVKDLGSFQQKLTELHLIGCTMCEDFPEFSHMRVLQVLHLKKLYKLELCDLKSLERWVATEGKGDEVTFPVIENICIEDCPKLTSLPEAPKIKVIKIKEDKAQLSLSLISSRYMCSLSVLELRVRDTEAALELDQNHELSIAEMNINGCSFLFASSPSPHIAGIWKWFGQLQALEIRWCSSIIYWSEEEFLSLVSLTKLELGWCSNLTGRAQVNGVATQATDELLPQLKKLEIDECESLTELFVLPRSITHISIDGCRSFEFIWGKDDTEPMSLRVEHGNDLTAALEQLQGSTKSLPCLESLYIRGSDKLATLPNIPPSLRELSVYHCPELRSISGHLDALVDVDIGSCNKLESPEWGNMPALKDFGLLRCKRLTSIPGSLGSYSALTSVLVEYCPAINMKPLYEHLPQRLDSLEYKDLSHVRSIDPSEVQ >Sspon.08G0020410-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:21216714:21221640:-1 gene:Sspon.08G0020410-1B transcript:Sspon.08G0020410-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVIFRKQLRLSSQGRNNHSTGEIVNYIAVDAYRLGDAINWLHMGWSSPLQLVFAFATLFWALKLGALPGLVPLVIFGFLNVPFAKMLQGYQAKFMVAQDERLRSTSEILNSMKIIKLQSWEDKFRHMIESLRDREFKWLRETQMKKAYGAVIYWMSPTVVSAVMYTATAIMGSAPLNASTLFTVLATLRVMAEPVRFLPEILTMMIQYKVSLNRIEKFLLEDEIREEDRTLMSEFKSKMEISAGRQAELICQRNVNLRINRGEKVAVCGPVGSGKSSLLYALLGEIPRISGSVAVLGSVAYVSQTSWIQSGTVRDNILFGKPFNKELYDKAIKSCALDKDIENFDHGDLTEIGQRGLNMSGGQKQRIQLARAVYNDADVYLLDDPFSAVDAHTAAECVMTALAEKTVVLVTHQVEFLTETDRILVMEGGQVSQQGKYSELLGSGTAFEKLVSAHQSSITALDTSARQQNQDQGQQASDEYIVPSALQVIRQASDIEVTAKGPSAAIQLTEEEEKGIGDLGWKPYKDYINVPKAAFQFFAMCTSQVLFTCFQIASTYWLAVAVQMDSVSAALLVGAYSGLSIFSCCFAYFRSLFAANLGLKASKAFFGGLMDSVFKAPMSFFDSTPVGRILTRRYYVSSARELVRINGTTKAPVMNYASESILGVVTIRAFAATERFIHSNMQLIDTDATLFFHTVAAQEWVLIRVEALQSLTIITAALFLVLVPPGAISPGLCLSYALTLTAAQVFLTRFYSYLENYIISVERIKQYMHLPVEPPAIIPENRPPTSWPQEGRIDLQDLKIRYRPNAPLVLKGITCTFSAGNKIGVVGRTGSGKSTLISSLFRLVDPAGGKILIDKLDICSIGLKDLRTKLSIIPQEPTLFRGTVRTNLDPLGLHSDQEIWEALEKCQLKTAISSTSALLDTVVSDDGDNWSAGQRQLFCLGRVLLRRNKILVLDEATASIDSATDAILQKVIRQQFSSCTVITIAHRVPTVTDSDRVGMLYISGSF >Sspon.04G0004500-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:13398470:13399302:1 gene:Sspon.04G0004500-1A transcript:Sspon.04G0004500-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATLHFAVFAFSCALLAGSVSSLPPAVFKVGDERGWTVPANGTETYNHWAKRNRVQVGDVLSFKYANDSVLLVSHDDYKQCSTDTPLSRFSSGDTKFKLDRYGPLYFVSGVAGHCEAGQRMIVRVRAPSALYGAPAEAPGMPPTVSGSGGAPRPSPVSSPAVPSVGSGAGSASTAPTPSPLPQASGASRCVLGVVSSVAVGLVVLVASAITLSVVV >Sspon.03G0021620-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:88383507:88388473:-1 gene:Sspon.03G0021620-2B transcript:Sspon.03G0021620-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRMHRMQTRKSHSWWWDSHISPKNSKWLAENLEEMDKQVKEMLKLIEDEGDSFAKKAEMYFQRRPLLVNHVENFYRMYRALAERYDNVTGELRKGLALQSQGSGISETDSETQSISPSPEPNMEQNTAKPKRKTRAVGFDVFLGSGGSSDISKKGSDGSSSSSSSDSDSEVDEASEENGNGISYIMDGRITELEDELQEARQQIEALEAKNMHCQCEKLEESLKQVSNEKEDLVAAILASKNEIEDLKGEMASTTKHFEAQLVHRDHEIEKCKQEVEQVSEKYFHENSALESEIERLQVVVKNFERNLTEVTGEKLQLEAQVKELEQVSNNLEDSSAEIRKLQEIIKDLQARLENDSNEKGVLEERAMELEQVRRQLADSRAETWELHVTIKDLKEDLEKALQEKAELQNRMKDVEQATNDLNSLVASLEGKLTATEAQLEQLHVEKAEASLESEKHLSQLIQAIAHLKTEIQLLSSEKAEVENKVSVLLIDVTTRDEKLKEMDNHLHQLHLEHVKLIEEADIARKDVSGLRSRVCELEEEVEKQKLIISDSAEGKREAIRQLCFSLDHYRHGYQQLRQLLQDHKRPVVMAT >Sspon.01G0009810-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:30130321:30132394:1 gene:Sspon.01G0009810-4D transcript:Sspon.01G0009810-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Inactive beta-amylase 9 [Source:Projected from Arabidopsis thaliana (AT5G18670) UniProtKB/Swiss-Prot;Acc:Q8VYW2] MVRDAGLDLRVSLRTDGDALPEWVADAADADPDVLFTDRSGHRRVGCLSFAVDELPVLVGKSPLQAYEAFFRSFADEFNDFLGSTITDVTVSLGPNGDLQYPSYPPGNHGSHGYAGIGEFQCYDKYMLARLKRHAESSGQPLWGLSGPHDGPRYDESPESSAFFREPGASCKSAYGEFFLSWYAGELLAHGDRVLAAASRAFGGKPVALSAKVPLLRGPSPVEATAGLHGGYGPIAELFARHGCAVIASGVEARPDATAEERLARVKAACAEHGARLAAESAPLAVARDGAGSGSGAAGGVWLSAGRTRPCQFTYQRMGAEFFSPAHWPLFVRFVRALECPEEAHEDDLPASADGSERLTVPSAAAAAPQGEAAREVQTV >Sspon.05G0028220-3D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:5D:60430357:60430773:1 gene:Sspon.05G0028220-3D transcript:Sspon.05G0028220-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LIGVRKRPWGKFAAEIRDSTRKGARVWLGTFDTPEAAALAYDQAAFSARGAAAVLNLPVERVMESLGALQLQLPGTGGGSPVLALKRRHSKRTRRRKVVVAPVIGVSNTTKARLQQQPVQQCSDASSSSMAVASVPEQQ >Sspon.06G0003610-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:8760141:8772653:-1 gene:Sspon.06G0003610-2B transcript:Sspon.06G0003610-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPAGARKEWRAVPDSSLRSNGAEDAAEHGKLGQSEDRAIYEEGAGGLEDFCAITIDGSGGLSEDILQQRLQSLARQREELQQVEIELRAQAISQPQIIEAQQSFQAAAKKHATAVAKIKEQLHEREQYILELEMKLNDKDRELNALKIDHQTVWANQDLIREQTKELAAVRRERDNSEAERTQHLKQIHELQELLREKESQFIALEEQHRAAQDNILYKDEQLREAHAWVSQVREMDALQSQSLQVELRERMEQFNQYWISFQQQYAEMQRSLLHTIQQLQQELAEARERSGAQKDGPRVSREGSAESSHVQSIANSVASNGSATADGNQQLLKNNGSVDASVKGNNASAVPVPSLLGIGGPAAHIAAMHSFMIHPQGIPQPLASPNSGVPQFGNFQSQSTIQPNSHWPNQQEVQNVSQPQHEANYQTSQSDQNALQQGSINTDDLSSKPSQGIHPDHLNAHGKQQQSPSSAPTESTHELTVGETNVAELVAYDEQQKTLKEQDSSSNMNSHIGRVEHQEQNTESKDERSASDKQPEPVSRQHKPSNFPPSTTQIHLKNSATENPNVVNQVDTMKSVASGFGSQLPRVPKEPALLDEGSLLACIVRAVPAGPEGGIRISSTLPNRLGKMLAPLHWHDYKKQYGKLDDFVASHPELFVIEGDFIHLREGAQQIISATTAAAKIAAATASSALTHPCCLQLPLLLWHRALGKKGGLQLIPDHQMQFPLEMASLINLTSFRGLVT >Sspon.03G0001650-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:12470662:12475065:1 gene:Sspon.03G0001650-2B transcript:Sspon.03G0001650-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLDVNALRYLSKDDFRVLTAVEMGMRNHEIVPAELVDRIAGLKHGGTYKVLRNLLKNKLVHHDATKYDGYRLTYLGYDFLAIKTLVNRGVFTSVGRQIGVGKESDIFEVATEDGTVLAMKLHRLGRTSFRAVKSKRDYLRHRRSFNWLYLSRLAALKEFAFMKALGDHDFPVPTAVDCNRHCVIMSLVQGYPLVQVKELQNPDDVFDTILGLIVRLAEHGLIHCDFNEFNIMIDDDEKITVIDFPQMVSVSHRNAQMFFDRDIECIYKFFNKRFNLTSEKNEEQAGSESDDEGNSRPSFLSVKKAAGSLDKELAASGFTRKEQVEMEKYIEEDAEGHDSSSDDDDDDDKVGDAVPLVSMKIDQDGSDVPDCNLASRDSDAPGTFSEEHGTSYSGENRLETPPSGGNGVVMTPLESGIKMLSLEDDDNDDDSSEDADEEEDAELTKQLNKQRKKAIAAAHGRRRPVSSRNAYKDKGKGTMNSKIQRQACKW >Sspon.01G0052340-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:20609198:20610665:-1 gene:Sspon.01G0052340-2D transcript:Sspon.01G0052340-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHAIFGQFLVEAGELYSRKLASFVEKRLKSERTASLWTSTLQRTILTAHPIIGFPKIQWRALDEINAGICDGMTYDEIKKRKPEEYESRRKDKLRYRYPRGESYLDVIQRLEPVIIELERQRAPVVVIAHQIPLHTIIEIQMGVAGVQEKRYKLMDAIHPTAGL >Sspon.05G0037920-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:28041324:28045960:-1 gene:Sspon.05G0037920-1D transcript:Sspon.05G0037920-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEISFSAPPPPPDAACAATAAPLLAPAAAQTLPPVAAVSSSPPQQQTAVAVAVAAAVVAPPPADDKVLVSVEVLLHAASAARHEDVQVAVERMLEARSLSYVDGPVPIPADNSFLLENVKRIRICDTVGLKQRLLRYAASALLFTERGVDTCLVSWNRIVLLHGPPGTGKTSLCKALAQKLSIRFKSRYSMCQLIEVNAHSLFSKWFSESGKLVAKLFQKIQEMVEEESNLVFVLIDEVESLAAARQAAISGSEPSDSIRVVNALLTQMDKLKSWPNVIILTTSNITTAIDIAFVDRADIKAYVGPPTLQARYEILRSCIQELLRVGILTYPQGSSVPCILNYSTLEDKKHCPEAAEPHGAFQLSSLLYEAAKLCEGLSGRSLRKLPFLAHASVTNPSCCDASTFLHTLIKTAQREVLESR >Sspon.05G0013390-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:45255470:45256731:1 gene:Sspon.05G0013390-1P transcript:Sspon.05G0013390-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEKAAAVGGLGGAGAADASPAGEAAAARVRPVETLLRAAPLGLCVAAMTVMLRDQQSNEYGTVAYSDLGGFKYLVYANGICAAYSLVSAFYTAVPRPATVSRSWVVFLLDQVFTYLILAAGVAGAELLYLAYNGDKEVTWSEACGVFGSFCHQARTSVAITFGTVLCFILLSLISSYRVFSAYEAPPSSALGSKGVEIAAYPR >Sspon.01G0009420-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:28468033:28471285:-1 gene:Sspon.01G0009420-1P transcript:Sspon.01G0009420-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding PMAATAASSAAAAAAASNSDEEEDYEEYIPVAKRRAMEAERLRQLRFSKSAPASAASTLPLPPPPPLLPAQTSVPDAAAKPSLLVKATQLKRAAPEVTATEQRIQQEKEVIENLSDNKSLRSVREIAKGIIYTEPIQTGWKPPLRLRRMPLAKANELRRKWHIIVDGDDVPPPARDFRDLRLPEPMLRKLRERGIVQPTPIQVQGLPVVLSGRDMIGIAFTGSGKTLVFVLPLIMVAVQEETLMPIVPGEGPFGMIICPSRELAKQTYDVIEQFLIPLKEAGYPEIRPLLCIGGVDMRTQLDVLKKGVHIVVATPGRLKDLLAKKKMNLDNCRYLTLDEADRLVDLGFEDDIKEVFDHFKDQRQTLLFSATMPQKIQNFAKNALVKPVTVNVGRAGAANLDVIQEVEYVKEDARIIYLLECLQKTPPPVLIFCENKADVDYIHEYLLLKGVEAVAIHGGKDQEERQNAIDSFKTGRKDVLVATDVASKGLDFPDIQHVINYDMPAEIENYVHRIGRTGRCGKTGIATTFINKNQTETTLLDLKHLLKEAKQRIPPVLAELNDPLEDEEIIAKESGVKGCAYCGGLGHRVSDCPKLEHQKSMAIAGSRKDYFGGGGYRGEI >Sspon.02G0019670-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2C:66095718:66096187:-1 gene:Sspon.02G0019670-2C transcript:Sspon.02G0019670-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPAPAPPPPLAVHLVTGGGSSPELALLLRSLAAARVVALDAEWKPRRRGSPAAAAPAALGDGTTLASEASPAPPKFPTVTLLQVACRFGDGGEGERSEVFVVDLLSVPLADLWAPLRELFERPEALKLGFRFKQDLVYLSSTFSAALGCDSGFDR >Sspon.05G0003780-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:4592805:4595180:1 gene:Sspon.05G0003780-1P transcript:Sspon.05G0003780-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LSSFQVETAPINARPTDHSPRDLSDTSSTSKRAFSGRCSACSAPLPAKRPAAQQQLRKKYLHLVDGFSDANADADPDAPRPAASLLVRIVAQHAYSRSFAAHGTKILAMYPAESSTGDDGLPVPMSRGDCGTKHLYASAGGRLWPPATEESWSWASVKSMPPFDSSRVSSYAVHPDGRTIFVSVRGYKLNPGLILPNHGDLSSTFTFDLALDAWVGLCIHKEGTVHVCSSDVPPATGCATMLVWKLGVEVFFDKSSERHLGATLVLLNRVRMAKDDDFYPRLRVVKMTSFLLKYAKEGELRERNGRVFGRPASNVLAVVRTVLLEREEWALDYGHAMLHAACRTPAIAPASRPRPATITDMNASTDWSLMVCKYILGEE >Sspon.02G0045670-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2B:110612136:110613389:1 gene:Sspon.02G0045670-1B transcript:Sspon.02G0045670-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKPVVLPAMVVIAMVLLFAASAQARPCGDALPDVAHEDGVLINQYDDGAFDLNQAGATSPVRQEVTAGFALGGERPAVITIDANEPNST >Sspon.04G0001250-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:5043815:5044318:-1 gene:Sspon.04G0001250-2P transcript:Sspon.04G0001250-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGYWAASGPLGTFTWASKQPAQQSVAFINPPTHSRSSFGAGEPPPPPPPPPPQQPESLVRSSEHHSSGSAAAAAAMGKVHGSLARAGKVRGQTPKVAKQDKKKKPRGRAYKRMQYNRRFVTAVVGFGKKRGPNSSE >Sspon.01G0013830-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:38117839:38119061:-1 gene:Sspon.01G0013830-1A transcript:Sspon.01G0013830-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQYFLKAKFHYSKNQYADLLLIIGITGSFSQLTVMPLLVPKLGEQKLLIIALIASCGQIRSIVSKKAGPFEQGMVQGCITGISSTASVISPLIFTPLTDFVFHPNLSPFGFTAWCLSEVTPFYLKGFSLACAGFATLVAFATSISMRPAE >Sspon.06G0035480-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:75410824:75416013:1 gene:Sspon.06G0035480-1D transcript:Sspon.06G0035480-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MACKVIVAAAASALILSFLLPSSDGRGMCPLFRSIVPDCHDNAMRCLARCQDQGYVGGFCTTQQICMCAQCRQLPVLAQQSSRMPYPHVLHNAVPRRGLQGRILRRQPDLHVLVVLAFAGQPEIVAASAGTSANQCMSIIVELFYEDMSTNAASSLMTTSAIVAETATELHVIQIKGYSLTKGLSNTNFIKSSTFSVCDRCWYIRYYPDGEGDNAGWISLYLQLDANAADVKALFKFSVLDDFRESVPKFSQEYSMHTFSSRSTCRGFPNSLEGRPWRNRLS >Sspon.07G0010760-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:38239124:38244941:1 gene:Sspon.07G0010760-3C transcript:Sspon.07G0010760-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:minichromosome maintenance (MCM2/3/5) family protein [Source:Projected from Arabidopsis thaliana (AT5G44635) TAIR;Acc:AT5G44635] MEAFSGFYVDEKAARVENIFLEFLKRFKESDGAGEPFYETEMEAMRSRESTTMYVDFEHVMRFNDVLQKAISEEYLRFEPCLRNACKRFVLEHRAGENRAPIISDDSPNKDINIAFYNIPMLKKLRELGTAEIGKLTAVMGVVTRTSEVRPELLQGTFKCLDCGNVVKNVEQQFKYTEPIICVNATCQNRTKWALLRQESKFTDWQRVRMQETSKEIPAGSLPRSLDVILRHEIVEKARAGDTVIFTGTVVAVPDVMALTSPGERAECRREAPQRKNGGVQEGVKGLKSLGVRDLSYRLAFVANSVQVADGRREVDIRDRDTDGDDSERQKFTEEEEDEVVRMRNTPDFFNKIVDSICPTVFGHQEIKRAVLLMLLGGVHKITHEGINLRGDINVCIVGDPSCAKSQFLKYTAGIVPRSVYTSGKSSSAAGLTATVAKEPETGEFCIEAGALMLADNGICCIDEFDKMDIKDQVAIHEAMEQQTISITKAGIQATLNARTSILAAANPTGGRYDKSKPLKYNVALPPAILSRFDLVYIMIDEPDENTDYHIAHHIVRVHQKREEALAPAFSTAQLKRYISFAKSLKPQLSSEAKKVLVESYVTLRRGDSTPGTRVAYRMTVRQLEALIRLSEAIARSHLERVVLPAHVRLAVKLLKTSIISVESSEVDLSDFQDAEDGTNVPSENDAGQTAEDAAPQQQGAENDQAVDNGKKKLVITEEHFQRVTQALVMRLRQHEESVKKDGDGLAGMKQGDLIIWYVEQQNAKGAYSSTAEVKEEVKCIKAIIEVSPAVLYLGM >Sspon.01G0025650-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:88340423:88340656:1 gene:Sspon.01G0025650-2D transcript:Sspon.01G0025650-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRLALLICVALLSAAMANGTRPAAGTVGGGGAAPASPVVATTPTTAGVVRTPPATAAADSKRKVPNGPDPIHNRY >Sspon.07G0019870-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:77779043:77780102:1 gene:Sspon.07G0019870-2B transcript:Sspon.07G0019870-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVNSSVVGLKPAAAVPQVAAAGSSPAKRVQLAAPEGRRAALLGLAAVFAVTATTGSAKAGIIDEYLEKSKANKELNDKKRLATSGANFARAYTVEFGSCTFPYNFTGKCPSSPTTSRLSARGRRSSSAAPTFSGSGVKLNEEETWCTCTCVPRCAPKLQEKFGCVIVVIVFVSSHHLIIMNLLH >Sspon.01G0055110-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:69585877:69586591:-1 gene:Sspon.01G0055110-2D transcript:Sspon.01G0055110-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASPATGPVKKRKDRDAAAPAAGPPDPAAGGNRLLAGLLAHEFLSFGTVLGERRGPWPEAGGASRSRYQAVAVLVQRGVARVPGVVNPTQLATWAGRLADYLENLRPTLPE >Sspon.02G0032130-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:117688203:117688550:-1 gene:Sspon.02G0032130-1A transcript:Sspon.02G0032130-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQLIRRLSRVGDCSPPPSSSPGRKKGSKAHAGVPEGHVPVHVGGGAEGAEERFLVRAELLGAPALAELLGRAAQEYGYRHQGPLRIPCPVAVFRRALASVSVAGDDDDEGQLGE >Sspon.06G0026040-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6B:75110369:75111414:1 gene:Sspon.06G0026040-1B transcript:Sspon.06G0026040-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MELEDAFRKRARASGVDGGSSAGGPDRLSSLPDCLLHAIMSFLKARQAVQTCVLSTRWRHLWRSVPCLDIDFDEFNKAPPSDDGSCSEDDTSDSDSDRSRFDLFNNINNNNDKDWEHFTKYKDWEDFEDFAVTLMRRCNIAQLDSFRLHVVPSRAPEFANRLAGGWLRRAMKYFTPARASKLRLSSGSWLLKRMHLGNVLLDDHFVKCVSSVCCSLEDLELDNCSCQIQSITSHSLKTLVLKKCGWRNLFEIILPTLKTLVIDGGTNTDASLLFILAPALAYLHLAVDVYKFCGGVSLNEVPSVGKALIHLRGHKYNFAESKLDGDQFKLLCSISNSTNLELSGVGTT >Sspon.08G0019680-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8B:14229567:14232048:1 gene:Sspon.08G0019680-1B transcript:Sspon.08G0019680-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTPHFGPNRLSRDADDVGFSKGNYFEQDAGIELGHASNIEPFRTRELGQERRLPEELYEPHRQSPTARSDAPWKPWNNIPFDRPQRPLPMEASWDVEDNSYLISKKLKGAQVHDSELPEYPFSEFDRGKVYPEYQRRPIMIFQKMNITPLTNKHEPWRSQESFDRHLGKMDRSTPDHHEPALKDEWKWNGTIAKGGTPICRARCFPVGKVLNFMLPEFLDCTARTSLDMLSKHYYQAAGSWVVFFVPENDADMTAYNDFMSYLGDKQRAAVCKLGERSTLFLVPPSDFSEQVLRVPGNVSISGVILKFQQSNPDYSSPNRKSLERAHQSSASNFNTDVSNCEDLHALRRLNPPDISTFPQGPDYVRSSGVSYTPASADFIPPYKPESAPPYVISQLPQERPPADLPMGIAQGHQQLPNRLLSGWSNNLDDPNPGSGNFSLEQDAISCALNNRTQDPYTFASGVVPTGTASGYAPGEASNSMSWPSMQPNSQQVARSDQPTIPVSLPPDQLAQLAALLAQQNQPRKVGLPVDSSNNQSGFIQNSNPHGHATMMPGNSGSIPVQNSLPPVPPSMQQLHTHVPVPPIGSLPANPLNALPTSAPILCNTTLPMPPIHALVNPAHSSMPMRPFVPPLPEGPPPFQQQTSSAPTVQPLATSGQQQSSQQLPAQDLDGDPQKRLQATLQLAATLLKQIQNQSNPGGQK >Sspon.05G0019170-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:80417055:80419178:1 gene:Sspon.05G0019170-3C transcript:Sspon.05G0019170-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDDSDGQRQCRRGVTVDVELHAAMALADMAGVGPAGEQQPPVRHAPPPPPPASTTHQVNDLLQPAGVAVLALIGEDEEELASTRLSLELGKVGIQASPCSSGSSAGGHPPHHQPYQQPAAATGYGPRPRHALTVAEKEAKRLRRVLANRESARQTILRRQAIRDELARKVADLSSQNENMKKVATRTAKKAPAAVTAAMPAQQQAESLAAAAAAASPPATPPRPGFLYTAAAPAQVPVPYVWGSWPPGAAAAGYEHHSSPPGPPPLCLQPCAWYYPVVQADPRGSPSSAPAYAQAQPPAAAFQDPRGAVAVAAEPVGSGGSPAGGGGATAEEDTDDDPCSLTLGLDVVDKRSAPVSIIPAGPVGGGGAQSDRDKAATAAEARKRRKELTKLKHMHAAARPGGEQW >Sspon.05G0010490-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:21319930:21323712:1 gene:Sspon.05G0010490-2C transcript:Sspon.05G0010490-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHILNFSAAYTICTAPATLDKHRQTHKQQAMSSPAARSITCYSKIPEFLVGNIGQPMPAVGLGTASHPFVAEDVRTAVLTALELGYRHIDTAALYASERVVGEAMAEAVRRGVVVSREELFVTSKVWCTQCHPELVLPSLKESLMNLQMEYVDLYLIHWPMAVKPSKPHFPMKREDIVPMDLSGVWQAVEECHRLGLAKIIGVSNFTTKKLQELLAIAKIPPAVNQVELNPTWQQKKLIKFCNDKGIHVAAYSPLGGQRISKMNPVRQSDILEEIGKARGKSVAQISLRWIYEQGASMVAKSLKRERLKENLEIFDWELSDEDRFKIGQIAQRKLVTVQNLLCPEGISSVDISDVDVLEI >Sspon.02G0019510-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:53896825:53897907:-1 gene:Sspon.02G0019510-1T transcript:Sspon.02G0019510-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKLCTLLLAAVVVLSLLVSPIACSSRKLTKPKPKTKPISHRQAAPAARTIHKPAAAPAVKVSHKPATAAKSHTNHTATPSSPSTVYGSGGWLSGAGATYYGAPNGDGGEGGACGYQTAVGKQPFDSMIAAGSAPLYRGGEGCGACYEVKCTSNAACSGQPVTIVITDLSPGGLFPGEVAHFDMSGTAMGAMAKPGPGMADKVRAGGVVRVQYRRVPCKYPGVNVAFKVDQGANPFYFNVLIEFEDDDGDLSAVDLMEAGSSVWTPMAHNWGATWRLNNGRRLRAPFGLRLTSDSGRVLVASNAIPAAWTPGKTYRSLVNYP >Sspon.04G0013280-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:54237602:54240424:-1 gene:Sspon.04G0013280-2D transcript:Sspon.04G0013280-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSKEQSTGTDQQAVLDAQLQLWHHTVGYVKSMALKAALDLSIPDAIHLHGGSATLPQIVTKVTLHPSKIPCLRRLMRVLTFTGVFSVHDGGDEPVYGLTPASRLLIGSGIMNLTPFLTLMLGTVFVSSFLDLGEWFQHEMPGPSPFEMANGRDIWDLCNHDARFGKLFDDGMVADSGFIMDIVVKECSNVFQGISSLVDVAGGLGGATQTIAKAFPHVECSVLDLSHVIASAPTNTNVKYIAGDMFESIPSANVVFLKVAMRDL >Sspon.08G0009670-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:36285392:36287770:1 gene:Sspon.08G0009670-2B transcript:Sspon.08G0009670-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESGGGGVEDAGSLPPRPSHTNGGDGAGSTWEIEELEPDDRPPRSVGVAASTASSGADDDVYVAVGKGGSSMAALSWALRRLTKPRTFVYLVHVFPVVTSIPTPLGMMPKSQASPEQIETYLNQERSKRRQMLQKFLDQCRKFQVTVDVYLIESDQIANAIIELVPVLHIKQLVLGVSKSNVRKLKRGTTIAGQVQKSAPLYCEVKIICDGKEVTTETTADPTPPLSPSPVNNNSRSNNPTPPSSTPNHDKTAANGDRKDSEPRERKKITKFLR >Sspon.05G0000180-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:1028736:1029882:-1 gene:Sspon.05G0000180-1A transcript:Sspon.05G0000180-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHAKTDSEVTSLAPSSPPRSPPRTRGAVYYVQSPSRDSHDGETKTATSVHSTPALSPMASPRHSHSSVGRDSSSSSRFSGHGHHKRKADKGHGSSNKGAPGGKGWQEIGVIEEEGFLDDDEEHTRIVPRKCYYFLVFVLGFVALFSFFALVLWGASRSQKPHIVMKSIRFDNFIIQAGTDASLVPTDMATTNSTVKFTYRNKGTFFGIHVTADPFQLSYSQLTLASGDLKKFYQARSSSRTVSVAVVGNKVPLYGGGPTLTAAPGAGGKGAQPSTTVASVPMVLRTTLHSRAYVLGALVKPKFTLAVECRVLMNPKQNKPISLEKACHYS >Sspon.01G0002490-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:5672872:5673686:-1 gene:Sspon.01G0002490-2B transcript:Sspon.01G0002490-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATNANETQSATNPLFTVTFDVKSSDNYGDFIAGLRQRLGNPRHFSHNRPVLPPVEAGPPRRCAHAGHARRQPLPGGRDGTWWELTRGLIPGATYLGFGGSYRDLLGDSDRLASVALGPQQMTEAVNALAARVPADLASGAAQQRARDALPVLLLMVHEATRFATVSGLVAGLMHPRAAMKKGTITVQMKAQVNGWQDLSTALLRADLAPPGPFTPFPGMGVRTAEEAAATVGILLFVKVKDGMTAATASVGPGAKSTST >Sspon.07G0007020-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:16455486:16460676:1 gene:Sspon.07G0007020-2B transcript:Sspon.07G0007020-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLSNRIGKESLKAGDHIYSWRSAWVYAHHGIFVGDDKVIHFTRSGQEVGTGTVVDILLVSSAPKRSNTPCPVCTNEISETSTETNGVVSSCLSCFLAGGALYRFEYAVNPALFLAKARGGTCTLACSDPDDTVIRRAKYLLNNGFRCYNLFKSNCEDFAIYCKTGLLVAERGVVGQSGQAISIIGGPLAAVVSTPFRLVTTNIYGMAVMAVGVYCASRYAADIGNRRDVVKVEVEDLTAGLASGRIRAVENIAQLVAPAAENPATATLAA >Sspon.01G0029330-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:21321514:21323431:1 gene:Sspon.01G0029330-3C transcript:Sspon.01G0029330-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVQDPSSSPSAAGGGGGGGARAKRTSSAPIRPADYAHSPTHHCVALRDAAGLQAILAGLPPLAHPSRVLTAADAAREARLASSVAAALDRRDVPGGDTALHLAVRLRLSSLASALAAAGADPTLQNHAGWTPLQEALCLGCREIAACLLRAHRLAAWAKLRRRAPALSAALRRVQDFYLEVDFHFESSVVPLLSRAAPSDTYRIWKRGTDLRADTTLAGFDGLRIRRADHSFLFFGEETSAGGRRLPPGSLLVLHRGRREVHDAFAAAAAAGDEDAATSDAAAYRPGLNITSARLVPRTTWLRKEKTENVGEWKARVFDVHNVVFSFRTLKAASAGRKDFTFELAGDEDGDEDDDEFLPLEIRDDDEDGDFLVADIPPPTARRSCYVPGRRSVAGPPSHLGTPQRRRNSVDVPRRLPACASVGRGEDGIFGRHPGTTTTGGAKWKEEETVKTLRPSVWLTEDFPLSVDEFLPLLDILASRVRAVRRLRELLTTKFPPGTFPVKVVLWQLILYGQL >Sspon.01G0005110-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:11516932:11517775:-1 gene:Sspon.01G0005110-2B transcript:Sspon.01G0005110-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHIYVCTLQDLADPCAQYYVEAYLNQPEVLKVIRANTGLKYKWTRCRQTFYNLLKFGDSPTKSMLPYIKAVAGGGVRVWVFSGDLDAMVPVLATKQSMEKLGLGVVEDWRPWSIDAKDPEVAGYVIEYKGVVFATVRGSGHMVPIDRPDRGLALFSSFIKGEPLPKAAPM >Sspon.08G0023750-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:57891985:57894289:1 gene:Sspon.08G0023750-1B transcript:Sspon.08G0023750-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKERFFTIYDLKYNNLSGEFPHFLQNAAGLVFLDLSHNKFFGSMPTWIAEKMPRLEVLILRSNMFYGHLPKQITKLIGLHYLDLAHNNISGSLPSSLARLRSMTHSSLNHIGEYNYSSDSISTFIKDRELNYTHELTKHIVLIDLSSNGFTGYIPKELSLLKGLRSLNLSGNQISGPIPDDIGALRELESLDLSYNYFTGDIPSSLADLTFFSCLNLSYNGLSGRIPSGQQLQTINNQYMYISNSQLCGPPLVNSCSPNETNPDVSQWDEGAKSSLYLSMSIGFVMGLWSAFCTMLFMKTWRYAYFHLLDQLYKMLYVQVAVSKAVFVRKFENEEKKKFLRTEPYHNTKRFSCTLSNWITKQRFRSKYSVGPNIVATFRNRMMHIQNIIMHRILVLSRIHWLLIVKKRIAAAGWPCGRDALK >Sspon.01G0053080-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:30159198:30171117:1 gene:Sspon.01G0053080-1C transcript:Sspon.01G0053080-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LGCSHGDVPTTMNQGIHGSDNTSPLHSKAFPGKSETLPGGHPTHLPITGVAGTGSGSGHARTRNKLTLFPLIFLIFFEVAGGPYGAEPAVQAGGPLLALIGFFVFPFVWAVPESLVTAELSTAMPGNGGYVVWVDRAFGPFAGSLMGTWKYVCSAIGAAAFPALCSDYLTRVAPAVSRGGGRVATIVTFNVALTLLNYTGLSVVGWTAVALGLAALSPFLLMVGAALPKVRPRRWGATAGDKDWKLLLNTLFWNLNGWDSVSTMAGEVDRPGRTFPAALVSAVCIGSLGYVLPLMAATGAIDAPPEAWGDGYFADAAGLIAGKWLKYWTEVGAVVSSIGLYSSSMSSAAYLLAGMADLGHLPSLFAARAPAFDTPWVSITVTGAIALGMSFLSFDSIVAVTNFLYSLGMLLEFAAFVWLRVKRPDLSRPYRVPMGTAGVAVMCAVPSAFLVLVMAVAGWKVCMAGAAFTGAGVVVYYVMAFCKARGCFKFGHAEGREHQAPVFDTPWASNTVTGAIALGMSFLSFDSIVAVTKFLYSLGMLLEFAAFVWLRAKCPDLPLPAYRVPMGTADVAVMCAVPSAFLALVMAVARWKVCMASAAFTGAGVVVYYVMVFCKARGCFKFGRAERDRNTKAYNDLYMTDGTLLREFLGELDLASYGVVIMDEAHERTLTTGILASCSASSRMSRSRVLSSGLKLLISSETLNAEKFSDYFDMTPVFKIPGRRRYKVDIHYTRWRRKPSRRLRRRVRGHGAA >Sspon.01G0060240-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:75473620:75476806:1 gene:Sspon.01G0060240-1D transcript:Sspon.01G0060240-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:8-amino-7-oxononanoate synthase [Source:Projected from Arabidopsis thaliana (AT5G04620) UniProtKB/Swiss-Prot;Acc:Q8GW43] MFDEMEQWDALVDAALATLEARSLLRATRPIALASPPATPQTFDGPGPWDRAAVEIRLDRDTLHQWLAEALDPYCVVVLQDCLLCPTGFSANMAVMTALGSISSLLSRGRKPAEHERIAIFSDALNHASIIDGIRLLEQQQEAVVFVYKHCDTFHLDFLLSSCSMEKKVVVTDRQVITDQVLAHGTLVCGENGGGAAELFECEKDIDIGVGTLSKAAGCQGGFITCRLRITLSASHSSEDIKRLVDALTPWLPVKHSEGSYAAASKL >Sspon.03G0008540-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:39875168:39876303:1 gene:Sspon.03G0008540-2C transcript:Sspon.03G0008540-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPNFPSDHGNGRVGGHRHKSIHKLLGGGKVVEYNIIPLVCQIAALAMLVIFIWSNAAPLLNIAPPRIPEVIISEHAFRQIAQIIHYKLAHTVFALYDIACGKDLKKFLLVVVSLLILSEIGSSYSFTSLLYLGFLCAHTLPALYQRYEIEVDHLAQGVVKTSK >Sspon.02G0013220-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:15518706:15520315:-1 gene:Sspon.02G0013220-2P transcript:Sspon.02G0013220-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKHLAVLFGLLAVAALCLLGSNTARAQILFQGFNWESCKKQGGWYKFLQARVDDIANAGATHVWLPPPSHSVSPQGYMPGRLYDLNASRYGTEAELRSLIAAFRGKGIEAVADQPPDGRGVYCVFEGGAGPDGGGRLNWDADMICSDDTQFSNGRGNRDTGKDFGAAPDIDHLNPRVQRELSDWLNWLSADVGFTGGWRLDFAKGYSAAVAKAYVDRTKPSFVVAEIWSSLSYDGDGKPKHNQDGDRQELVDWANAVGGPAAAFDFTTKGVLQAAVQGELWRMRDGNGKAPGMIGWLPEKAVTFIDNHDTGSTQNSWPFPRDKVMQGYAYILTHPGIPSIFYDHVFEWNLKQEISTLAAIRKRNGIHPGSKLSIVKAERDVYVATIDDRVITKIGPRYDVGSLIPSGFSVAAHGDGYCVWEKSGPRVLAGRYH >Sspon.06G0020880-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:10432618:10435917:-1 gene:Sspon.06G0020880-2D transcript:Sspon.06G0020880-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGAVALAPTLAATSSSAAYTSASAASSLAPNPTSRGDPPTISSCRNAPATPLVPLAATRRHVRGCRGAPLVASPHHARNPRLRFASAAAAAEGMAAEASTADAASAAEAKPFAVLFVCLGNICRSPAAEAVFRTLVSKRGLDSKFLIDSAGTIGYHEGNKADSRMRAASKKRGIEVTSISRPIRPSDFCDFDLILAMDRQNYEDILNSFERWRRKEPLPENAPNKVKLMCSYCKQHTEYEVPDPYYGGPQGFEKVLDILEDACESLLDSIVADNASISG >Sspon.01G0029720-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:82490091:82491469:-1 gene:Sspon.01G0029720-2P transcript:Sspon.01G0029720-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEAVGCAGAGAGGKRGRAKGAGGDAEPEAKRRALAVAVPPPTGAAAQHPASRIYRVSRASGGKDRHSKVYTAKGIRDRRVRLSVATAIQFYDLQDRLGYDQPSKAIEWLIRAAADAIDKLPSLDTAAGFPAHPASAAKDHHHAPPVGDDDDEHHQQQQQLTRSGCSSTSETSKGSVLSLSRSESRVKARERARERSAVARDKDKDPAAGAAANDGTAKRAAATTTSAQAASFTELLTGMAAASAAAPAEHKHHHQQSSVSWGQPTTVSAATADYIGFAQPRKGGGHAMAHAFASPAPHLGNIASIAMAPAAQHFGLASGAVTVASGGGEPHAEMTHYSFLQDHFMPVHAAPAPAPAPLIEYNLNFSMSSGLVGVNSRGTLQSNSQPHISGHHHHHHQQLQRLSTPLDAPNIPFLFSPPAAAAAAPTTAEGQFAAFQLWNGFQHADMKEKGKN >Sspon.02G0050640-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:64196957:64205038:1 gene:Sspon.02G0050640-1C transcript:Sspon.02G0050640-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GGYDKTRTPRFQGFGLIRSASTRPRPSTQRNREERTVWHGAPGHFSTSSSTTSRAQGWPSISRARRYSHLKQGSTKHHFKAPVVLASPRSI >Sspon.03G0031240-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:33346468:33351234:-1 gene:Sspon.03G0031240-2C transcript:Sspon.03G0031240-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding PMQIAVNTTTVGAHPHPTKSSFLRPSRSCRVYFHASPRPNLRAIAMATKSQLQEQLIITRPDDWHLHLREGGVLEAVVPHSARYFGRAIIMPNLKPPVTTTARAVEYREEIMRALPPGSSFVPLMTLYLTDNTSPEEIKLAGNSWDDAIQMNNSLQVSMVMVMELYAIITGYVQFKLLPTTADAFPSFFVALPASEASVTSTMSRRRRPHMILCSVGKSGIIFAVKLYPAGATTNSQDGVTDIFGKCLPVLEEMVRQEMPLQVHGEVTDPHVDTFDREKVFIDKILAPLVQKLPQLKIVMEHITTMDAVNFIESCEAGHVAATVTPQHLLLNRNALFQGGLQPHNYCLPVLKRETPGQAIVSAVTNGSRRYFLGTDSAPHDKRNKECSCGCAGIYSAPVALSLYAKVFEQAGALDKLEAFTSFNGPDFYGLPRNTSKIVLRKNAWKVPATYTHS >Sspon.07G0029410-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7B:75974709:75976154:1 gene:Sspon.07G0029410-1B transcript:Sspon.07G0029410-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKAIIVLISDRSDFKGSLHSPPIKGNHRGVHKSAGVKEGNDDQPWTTKEMIRNERHARIPSAAAPRLTGRAWNPDKGTQSISNSPPDRAARDLVHASNSDPQAINPTAQAQRKGSPCRRREGERAWSSPRSSIEGQSAPRARQRRRSRRRRPRPPARTAQGGHGTALLRPRRVAKAGTAITSRRSWKNG >Sspon.04G0010970-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:30726908:30727598:-1 gene:Sspon.04G0010970-2D transcript:Sspon.04G0010970-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGAKADGAEEITLEHTPTWIVASVCSIIVVISLLFERLLHRLGKVQLMLLGFISLLLTVFQGAAQKVCVRESVMHHLLPCSLPAADAAKITAHFMFAGGTRRLLAGGGASSDYCLNK >Sspon.02G0019410-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:63002121:63003237:-1 gene:Sspon.02G0019410-1A transcript:Sspon.02G0019410-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKEVDVSTVEAGGVRDYADPPPAPLIDIDELGKWSLYRAVIAEFVATLLFLYITVATVIGYKHQTDATASGADAACGGVGILGIAWAFGGMIFILVYCTAGISGGHINPAVTFGLFLARKVSLVRALLYMAAQSLGAICGVALVKGFQSGFYARYGGGANEVSPGYTTGTGLAAEIIGTFVLVYTVFSATDPKRNARDSHVPVLAPLPIGFAVFMVHLATIPITGTGINPARSLGAAVVYNNSKAWSDQWIFWVGPFVGAAIAALYHQIVLRASARGYGSFRSNA >Sspon.04G0027420-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:59096099:59101334:-1 gene:Sspon.04G0027420-1B transcript:Sspon.04G0027420-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTLFVLGQESRLRILQQAASRVPGCAYLCAWAPIPAQPLHQASSSSSAAAATSTSARLLSCLDAWLCDGGDRPSLGDTRRLRALFDAYRGSLCTPVAGIVPGWAYKDGRAYMELPAHDLTTSASLPVQQQFYQMAAFMGCESGEIEIGMSTAASSDGSPMSLESTLQQVFSEDFFQQSLLEELLQMPPTRPSSPSSSLPSVSVGSPAADGSTSLQRTVAVTPAAPSSVERQAAPPVALPPPRPPLPFVRHGGPGHVCFPSAEAGDAAMAQAMLDVISASSSSALPTMPSTATAPPPPPGNHHCARRRGAAATAFRAYNAALAPRAPWRPPGAPGQRMIKMGISILRRMHMLRFSRERTGGVTSMAQRGQEGEDDPSSPAVPSSSQLNHMISERRRRERLNESFEALRGLLPPGSKKDKATVLAKTLDYMNILVAQIADLEARNRSLENRAHQHHRHANGGSSSLEQRVVVLQGLMSSTSSSERVQVHVTAAASDVGGTSTSSSSSAGLPPPGREAVTVRVHARAAQGDVAELVARVLAVIKDMRCFTVVAVDAGRPSDGGSDVAQATFTLRATAGEFDAASLREAVRKAAEDSATPPSDDS >Sspon.01G0054430-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1C:55343402:55343659:-1 gene:Sspon.01G0054430-1C transcript:Sspon.01G0054430-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTIWAIAPPRARPPHREPPAPPVPGPHAACHLRQRTKPKRCARKGSAKSATTRRSVLIFSTPFHFLFHESITELQFKLDEFDF >Sspon.04G0011010-4D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4D:30815784:30819072:-1 gene:Sspon.04G0011010-4D transcript:Sspon.04G0011010-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNKMWDSLVEHAKTCVLSGKHYVYYARDSRNVGAIFNNIYEFTGLIADDQFISAENLTDNQKVYADALVKKAYEDWMQVVEYDGKALLSFKQKKKSVTTRSDAAAASTSNPAPYGSANSQKQLSLPAKAGQTSSAGTMNEDGTRNAYNGNGNQSARYAANTQNIPANVTMQYDRSAMSPESQFSGSSLQSQASRGSNMLALGPPQQHQSFEFPALGQSMQPTGLNPFEEWPQQQENRGGVDDYLMEEIRMRSHEILENEEMQQMLRLLSMGGAGTNLTEDGFNFPSYMPAPSPNLSYEDDRTRAPGKAVVGWLKIKAAMRWGIFVRKKAAERRAQLVELDD >Sspon.04G0013980-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:53462440:53474576:1 gene:Sspon.04G0013980-2B transcript:Sspon.04G0013980-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAAAGNKRGGARGRKALVAVLDNEANISAGKAAQTADLSGSSAQKKAKRAPARSAKAKAAAAAPAVVDDVAELQGMLQLLRLEKEKAEEMVRERDEVIRKEEEIETKDKEQGRLQAELRKVQHAKEFKPTVSFPLVKLLLEKDQDADDKGKKKKGKGKAGPERKKPCPAYVLWLKDQWTEVVGQEKREAEAMKLLEEQQMQWTAKELLDQYLKFRQEAEEGDCNKGKRKNSKKDKDPSKPKQPMSAYFVYSQERRAALVAEKKNVPEIGKITGEEWKNMTEAQKAPYEKVARKQKEEYHKQMEVYRQKKIEEAANLEKEEEEHKKIMKQEALQLLKKKEKADNIIKKTKEKRQKKKQENADPNRPKRPASSFLLFSKEARKQLLEERPGINNSTLNALISVKWKELNGEERQAWNAKAAPAMAAYKKEMEEYTKAQSSSD >Sspon.08G0021570-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:41122376:41123851:-1 gene:Sspon.08G0021570-1P transcript:Sspon.08G0021570-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SMGSSAAHQLKQLKPLYQHVVNNFVAVLAAPLAVAVVVNAARVGPDELLGRLQALRAVHVFFAVFVAAAAATLYVMLRPRSVYLVDYACFRTRPNCRVPFATFLEHAKLVTFVEGASIDERSVRFMTRLLERSGLGEETCLPPAHHYIPPYRNMEASRAEVELVIFSAIDDLLAKTGISPRAIDILVVNCSLFAPIPSFTDMIIHRYGMRPDIRNVHLSGMGCSAGLISVGLAKNFLQVAPKGAHALVVSTETITPNYYVGKERAMLLPNCLFRMGGAAVLLSTSRARARFRLARVVRTLTGAQDSAYRCVFQEEDGEGHRGINLNKDLMTIAGDALKANITAIGPLVLPASEQLLFALSFIARRVLNRRVKPYLPDFRTAFEHFCIHAGGRAVIDELQRSLGLSDQDVEASRMALHRFGNTSSSSVWYELAYIEAKGRMRRGDRVWMIGFGSGFKCNSAAWECIAPARTAEGPWAESISRYPVDIPEVLKH >Sspon.01G0063550-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1D:116201392:116201637:-1 gene:Sspon.01G0063550-1D transcript:Sspon.01G0063550-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRHRTRHAYKGGVMIAASSSRPIDHRWIVPARALAHDNQVRSQEWKVRPVVWLNAIYACMPHAHDVDGGDLCPCNEKCET >Sspon.01G0040360-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:72971486:72975019:1 gene:Sspon.01G0040360-3D transcript:Sspon.01G0040360-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding TMADAARGMGSLPVANVQALAETCNNGVDEPVPRRYISKDPSAEEVVSTDDSACAIPVIDFRKLLDPESSEEECARLGSACHHWGFFQLINHGVPDEVIANLMKDVVAFFKQPLEAKKECAQRADSLEGYGQAFVVSEDQKLDWADMLYLIVQPRESRDMRFWPTRPASFRDSVDSYSLEASKLAYHLLEFMAKGVGADTASLRGVFQGQVRGMRVNYYPPCRQAADRVLGLSPHTDPNGLTLLLQMNHDVQGLQVSKDGRWFPVQALDGAFVVNVGDALQIVSNGAFKSVEHRAVIHPTKERISAALFHFPDQDRMLGPLPELVKKGDRVRYGTTSYQDFLKQFFTAKLDGRKLIESFRKVWDSPRNEEFL >Sspon.04G0015990-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:62979444:62984794:1 gene:Sspon.04G0015990-2B transcript:Sspon.04G0015990-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFFRGLTAVSRLRSRMAQEATTLGGVRWLQMQSASDLDLKSQLQELIPEQQDRLKKLKSEHGKVHLGNITVDMVLGGMRGMIGMLWETSLLDPEEGIRFRGLSIPECQKVLPTAVKGGEPLPEGLLWLLLTGKVPTKEQVDALSKELLARSTIPAHVYKAIDALPVTAHPMTQFTTGVMALQVESEFQKAYDNGLPKSKFWEPTYEDCLNLIARLPPVASYVYRRIFKGGKSIEADNSLDYAANFSHMLGFDDPKMLELMRLYVTIHTDHEGGNVSAHTGHLVGSALSDPYLSFAAALNGLAGPLHGLANQEVLLWIKSVIEETGSDVTTDQLKDYVWKTLKSGKVVPGFGHGVLRKTDPRYSCQREFALKHLPEDPLFQLVSKLYEVVPPILTELGKVKNPWPNVDAHSGVLLNHFGLSEARYYTVLFGVSRSMGIGSQLIWDRALGLPLERPKSVTMEWLENYCKNKAT >Sspon.01G0008110-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:30375738:30381176:1 gene:Sspon.01G0008110-2B transcript:Sspon.01G0008110-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LLRCGKSCRLRWINYLRADVKRGNISKEEEDIIIQLHATLGNRWSLIAGHLPGRTDNEVKNYWNSHLSRQIHTYRRKYTAGPDTTVTIDMSKLHSADKRRSGRSPGRSPRSSVSGSKSKKSKQPDPEPEAESGHEKGASSPATVTIDMSKLRNDEKWCGGRTPGRSPKSTSSSSKTTSKQPDPEPEPESGDAKGASSPATAATSASSSPLHSDGARSAVVDVDPDPNQHNSSSGSTGEGPCSEDATGPWVLDPIELRDLWQAESEIDALVSIGAGHDSVTQGFHAVG >Sspon.01G0021520-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:83063036:83065380:1 gene:Sspon.01G0021520-2B transcript:Sspon.01G0021520-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MACGGRGGTGWSSLPADLLLTVFALLPSDADRIRFRAVCAGWGAAAAAWRPRPWLVGSRTDRSGRGAGAMSSFWLAPSGGLLPFAANVPPGLEYLSSRVHLPPIGFFSNWLDLTTVVLSGDPATAAEWAAVAVGFPTTCLAYYSSATGAWSRLDFRVPGYAGVEHYEGRFYVAFKSRICICEVGGDAPAVIPLEHVADADGDASDDDDKLPGGVRRAVDTHLVECGGQLLLVSVQDDVVYKSDDDVVDMAGLAVDDGGNKGGDARAVEVQRVEWLGDGAVRLVRVVDIGWNNLFLGRNRAFALSGAEFPCCRANCIYLVDRQGHPDGVVRVLGMDNQWARHEETICPDDGRRGWARRGWFFPSY >Sspon.03G0025330-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3A:76634197:76634886:1 gene:Sspon.03G0025330-1A transcript:Sspon.03G0025330-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPARLRPRPAVGVGHADAFPRRLLPHQPGAQRAGAAVAHAHQRLRRRRLLQRARSPAAPAALRGLPSPLVALALLALLALAALYAARCLLRFPAVRAEFRHHVAMNYLFAPWISCLLLLQSAPFLFLRPSAPPYHLLWWAFSLPILALDVKIYGQWFTRGRKFLSMVANPASHITVIGNLVTARAAARMGWHEGAVAIFAVGAAHYLVLFVTLYQRFLGSDSLPAMLRP >Sspon.01G0002890-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1A:7842380:7843948:1 gene:Sspon.01G0002890-1A transcript:Sspon.01G0002890-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGQLNVLVTLDQAKTQWYHFMTIVIAGMGFFTDAYDLFCIALVTKLLGRIYYSDPTSKDPGSLPPNVSAAVTGVALCGTLAGQLFFGWLGDKLGRKSVYGFTLILMVLCSVASGLSFGSTPKGAIGTLCFFRFWLGFGIGGDYPLSATIMSEYANKKTRGAFIAAVFAMQGFGILFGTIVALVVSAAFRNAFPAPPYFVDAQASLVPEADYVWRIILMFGTIPAALTYYWRMKMPETARYTALIARNAKQATADMAKVLKKEIEEEQVERQVVGADTWGLFSVQFLRRHGLHLLATTSTWFLLDIAFYSQNLFQKDIFTKVGWIPPARTMNAIEEVYRISRAQALIALCGTIPGYWFTVGLIDVVGRFWIQIMGFFMMTVFMLALGVPYEHWTKASNHTGFVVLYGLTFFFANFGPNSTTFIVPAEIFPARLRSTCHGISAAAGKAGAIIGAFGFLYAAQDPKKPDKGYSPGIGIRNALFVLAGTNFLGMLMSLFVPESKGKSLEEISKENVGEEAEAKV >Sspon.01G0046620-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1B:99509337:99509690:1 gene:Sspon.01G0046620-1B transcript:Sspon.01G0046620-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIGFPKRWGRKEARTICTYMPANYVATLVLEYVPLLALHYRKTPLCRLLALVGKGINPVGKGFADRKAMWQSAKSSRQSLGRQRRICRLPRGTQSAKPLPTATPAVGIATWRRQP >Sspon.01G0030480-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:108891214:108891501:-1 gene:Sspon.01G0030480-2B transcript:Sspon.01G0030480-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DGVRRQVVEVAARRPLRSVPGERGGRRGRQRRRGAARGQAQDIQDRQLRPRRLRAVQVPRHGREGEELVRPRKFASSVGGRVAASFARKWEGHGVQ >Sspon.02G0008360-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:18133415:18134565:1 gene:Sspon.02G0008360-3D transcript:Sspon.02G0008360-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCCTYTMRSSSPSKSFCHTGSAMPGDVNRNSELCCKNIGLSRCENVKFERNYKPEEVSAKKSMQKKLLINCIRCKTALGLEEDGFLVTCTRSSSSKLYLAYLLRHGLSTVGFPEDDFSASTPAEVQVVQCEASSLNQNIFGKFSNQGHVWSAKDGCVYNAMTCPFCSSENTSAMVLGVQVLATDKPNQQLVEKVLLFSDRLDVQSEPSKGQISRTRRDASNSISPQSVIDLESFAYKPLKKDPVALNSRRSKLRLPASNKSTTGT >Sspon.04G0035680-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:15186912:15187370:1 gene:Sspon.04G0035680-1D transcript:Sspon.04G0035680-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GPEEAAVLLLGQDSAHLLRVGRVGVPALQVGALARRRVRRGGRLPEAVVAVGRRLAPVQEARLRGRPRDERGGDRRAGPPGQGQLGPHPLQDALRRPAARHVHALAPHHAQAVVPAVVGVGGAQQRPVQAGGGVRLRAAAELPPHRPRHGALR >Sspon.08G0019060-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8B:10143599:10143853:-1 gene:Sspon.08G0019060-1B transcript:Sspon.08G0019060-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDGSGQAAVWPPVSDCAAPSERHLQWRRQRRSRRYGLARRGSSSCAPMRKHATALRAWGSTPQRCGCGNAVVVHHARAVRVQ >Sspon.04G0004760-1P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4D:14923429:14924764:-1 gene:Sspon.04G0004760-1P transcript:Sspon.04G0004760-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATLASVCSVPLTSVLLLFELTKDYRILLPLMGAVGLAIWVPSVVNQPNDTEPSGFRTPRRGYSSISPEDRNGSSRQGDVVDDLELSIIQTDISNYGTYNEEMLLDDLKVSQAMSKIYVKVLPSATVTEALKLLHDKQQNCALVVDPEDFLEGIITIGDIRRMGYGLHMESFIDGDHPKADTSSACKAPEARLFKDLS >Sspon.08G0017470-1T-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8D:2640931:2641290:-1 gene:Sspon.08G0017470-1T transcript:Sspon.08G0017470-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVLVGRRFLNLIVAGCSPGVKSLRRINLAQLFYRDTPPPSKTTEMETLRLPPPSFTLRGLNNGWNLNCFPFADSKVICGDDQSGLGFIFDLDTRKVGTMPPLPKLPTVKPVSVFAHKPH >Sspon.02G0035500-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:12196792:12201171:1 gene:Sspon.02G0035500-1P transcript:Sspon.02G0035500-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSENAVYSPCDLQTIGTGGPDSGHISSIEALPPSVPNISPRPVFFVTTGRTQLLNYSFRTGEEFALEFMQDRANTRKPLVSITSDNRNIKTSYTPWGEEANISGDKPSKKLLKFPSISETSNQGTNKTYLEEIYSSGPNRMKFLCNFGGRFLPRPVDGKLRYVGGEKHLIQINQGLSWQGLISKTTKLIRQAHIVKYHLPGEQVNVLISVASDDDVHHMIDECIVLEESKERPTMYLFTDEDDEHHVHFVVGGSSDEDTEAQYIALINGYRYTRPGEKLSARGPGSASASDLDQLMFDIDDAGSLTGTGRTEEASAFLRSKRSQNIVTETSKESRIPLHKFPPIIMEQMTNQDCAIQSNKGEVSSYPTRKQSRNVNLASSMPLEFTYPSKWEQNGSNSTSRQIPELHRTATDISKIGHNAERDKESASSRTELMIPSDENNSRMPSLSTNFSSMTQHTSPVNKLLREQTETIVPFIQSNNSIDFQKLVTEEPVGRSVYEMLASPSGDYQKPVHKWPSSDESMINTRCSSQEDIIAYSDTYQLNKIRSTKLQNRTEWTAPTQISGSNEAGAHILWDDTHISVMNPYTHEQVFSVNTTGCIEHILPDVMHTDVAKKDNLSMPVVYDSEMACSPRPFTSSDNKAAGLQKNRHVHASEEKQQAPDSRQQDIQIVKSSSLEGEGNHMQIGSLSEEVPENFSSSISELEVHETRENKQALPANATLDRDIISNVQIVEFWREAAILSKLHHPNVLAFYGIVNNGPGGTLATVTEFMASGSLKKVLLHKQKLLDRRKRITLAMDAAIGMEYLHSKDIIHFDLKCDNLLVNLKDPSRPICKVSDFGLSKVKQTTMVSGGMRGTLPWMAPEMLEMSSKMVSTKVDVYSFGIIMWEILTGQEPYAGMHHGGVIGGILSNTLRPPVPASCDPQWRELMEQCWSNEPDKRPSFKEVVSHLRSMLEANQSRPLI >Sspon.01G0050500-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:3345159:3346336:1 gene:Sspon.01G0050500-1C transcript:Sspon.01G0050500-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding YRGAGSGGSTPLRSSSSTSRSRKDFVLSSPSPQSSLTTTCALKTPSYGGSREKVNPRDLFTFSYKFSTFSYKFSTDIPMFPTSSTQGVGCKCVRIGRLGVFALHISDDLIPWSLSLSLQASIDEYLQNSPRIVGAVFPDQRKRRKINDEEWSVQLLPIQFLFLSASPVIVMRFVSKSGGKEYPPNVPVHATSLLLMEVTDYKLNGLDSNAMPSHLALTVRGLMYPQRQREGRKSLRGHVEMTVGFDLPPVLALVPESIIRGVGE >Sspon.04G0003650-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:11228329:11232291:1 gene:Sspon.04G0003650-1A transcript:Sspon.04G0003650-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding KLNELEQEKKALQKEIENLRHALTNISSSTDDNAQKLKENYLQKLNALESQVHELKKKQEAQQQLLRQKQKSDEAAKRLQEDIQRIKSQKVQLQQKIKQESEQFRSWKAAREKEVLQLKKEGRRNEYEMHKLLALNQRQKMVLQRKTEEAAAATKRLKELLEAKKSSRETYGGANGSGMQALMRATDDELEVTVRAHELRSYYERQMQERAVISKEIAKLKEESKHKMSDYPQAMSPSARSSRISALENMLSSSSSAMVSMASQLSEAEERERVLNGKGRWYHVRSLPEAKNIMNYLFQLTSSARCQVLDKEVICNEKEHTISELKEKVVVLNSGIRQLEIQLMDLRSQNTQLFTALNNAKKSARSNCTGFAQRKSVRSSQHFGFSKDNFVNWVDDMDISDGEHSDELESMSDGSDSDWVQSNMKVKKHQRRVSSSSNPKLDCQNTQDNAEPEKPSDEKCIRPKNIPSDGCSCSKSSSCKTNKCECRGSGAQCGAGCGCKDSKCSNRDSSTKEKANQGAMLLQNAFSEKEAQDAKPRKPLANIGNNAVNQTAETKKPRKNWRKSTVQLVPEPLPPSEPETTEAAPRDRADIPLKLPRAMSSVTPAESNPPPLTDRNAAKPDQSVSTGNKDSTGTAATRPPSQLRKNAATEKENQMR >Sspon.01G0029340-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:97593037:97597264:1 gene:Sspon.01G0029340-2D transcript:Sspon.01G0029340-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALLARQAAQALRARQMAQLGPAASAMQGHLRTYMNAGIPKRFKEDEEKEQLAKDVAKDWNAVFERSINTLFLTEMVRGLMLTLKYFFDRNVTINYPFEKGPLSPRFRGEHALRRYESGEERCIACKLCEAICPAQAITIEAEEREDGSRRTTRYDIDMTKCIYCGFCQEACPVDAIVEGPNFEFATETHEELLYDKEKLLENGYRWVREGLVYVRVCLSMTVQIMKRLAEGLAKGSAAEVLAFGEPFAKFSALFSLIDRQCHDYIYTKSMEHRLLCNLASIVIGW >Sspon.04G0008430-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:25192688:25199247:1 gene:Sspon.04G0008430-4D transcript:Sspon.04G0008430-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDPAAEQEMQQLEDRLREVGERLQAPPDDAEDLLNLLIEVEECLLKVEQSPPESTSNALRPATEALVKKELLGHADSNVRLGVASCISEITRITAPDAPYDDDAMKDVFSLIVGAFEHLDDIESPFFGRRTSILDTVAKVRSCVVMLDLECDDLINDMFHHFLRTVSSGHSEAVISCMETIMRLVIEESEDVQPQIASCLLQNVRKEEKESSSPSFELAEKVIDTCREKLKPVFLQSLKGTSLSEYSQIVASVCEEVSDDREDNNADPSGKDTVDDGKLSERTISDELPQESSKAEQDVSRPEQDGTSMNGTAISSGATPPDTGESDDQGPPSTKEKIEKLCNDENIADADQLKSDHNEGAESNAAKPKKKAALDSDKSTELKPSDKCEATVHSDADTKKEDLVASAEGTNGAADTSRPADGTPTKPKRGRPPGPKSSQKKAAGKDQSSGLDLKKVKEAGDSAGKLAKRSAKDEKSSAKKAGEGESSKKTQKNNSKQQKDETLSEDDPAKDLSLKEMISPKSSTRGPGRTKGQSTENSTPKMKQEQETEEVEGRLGNQNLILEFLFTASRSRKSKGLDRSLVGARIKVWWPDDKMFYNGVVESFDSVSKRHKVAYDDGDVEVLLLRDEKWEFISEEKGASVASEKPRGRKRKADVVKEENTETPRSDAVDSPKKRGRPKGVRSSNGTPSNSATPSTKGKTAGKDAKETPKTGPNLKKELEKSSKGKASVSTETKDELPKDDDKSATKPKEAISKGKDLKDEGKSTEGKARPGRKPKNAGTPAKSDADKEKRKEKEVKAAEIEQEASGNASTGKKRRRKA >Sspon.07G0008870-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:21242551:21248923:-1 gene:Sspon.07G0008870-4D transcript:Sspon.07G0008870-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHQQASSSREVGSKGKPHCTHKDRSPVPHSFPLALLSERYVRGSGLGRETRTTMTRFVESSPSAVRALPIRIPFLSSPSPHSLLQPTSRGEPATAQPLPRPKQALLVAGEPQPNGVPLLPRGLVRGGSSRRPALPPAIERFDYAELEAATSHFADAALLGRGSHGAVYKAVLPSGRAVAVKRPSPRRPEVDNEIRILSSVRGPRLVNLLGFSDPGPSRPGPAPAARLLVVEYMPNGTLYDLLHSNPRPPGWPRRLRLALQTARALRALHDADPPVIHRDVKSANVLLDANLDARLGDFGLALRVPKANAAAAATPAAPAGTLGYLDPAYVTPESLSTKTDVFSFGILLLEIISGRRAIDVQHSPPSVVEWAVPLLRKGKVASLFDPRVAPPRDPATRKDLAALAASCVRSCRERRPSMADIVQRLVVLSKAVSAKVWNGLADGLAVVGNPCAVVDVHKTISKRAAASRAESERESTSALAFDDDEKEDADAGALEEDQVPLVGAKKSPRSLKNGIVLSEAGARERRNLLDLMARIDGVAGQRFGITRARTVRATGELIEKDAVLLLKRNQTVRVVGSEALPKSERISRFDVKIKHKAGEEQEKKAEKIQDNASGVQESSKETFGKTGKLLEAMEPNLDKEEKIQEKEEQNLEKHLVAMGLGAWPSYAAVAELCETGGN >Sspon.05G0022970-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:8868705:8873232:-1 gene:Sspon.05G0022970-1P transcript:Sspon.05G0022970-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MWSSNTTTSSSAPAVAQLLDSGNLVVREQSSGDVLWQSFDHPSNTLLAGMRIGKNPQTGAEWSLTSWRASNDPTTGDCRRVMDTMGLPDIVSWQGNAKKFRTGPWNGLWFSGVPEMARVSNTDPYSNQVVVRPNEISFSFNARTDTPFTRLVLNEVGVLQQLAWDPTNLVWNIFSQSPKDICDDYARCGAFGLCNVNTAPMLFCNCVVGFSPVNPSQWSLRQYGGGCRRNVPLECHGNGTTTDGFMMVQAVKLPDTDNVTVDMGATVEQCKARCLANCSCVAYAAADIRGGGDGSGCVMWTNYIVDIRGYMSPEYAMDGAFSVKSDTYSFGVILLEIISGLKITSTQFTSFPSLLAHAWSLWKDGKAIDLVDSSIVGTCSPVEALR >Sspon.07G0026290-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7B:52493021:52497419:-1 gene:Sspon.07G0026290-1B transcript:Sspon.07G0026290-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding REAICIEQWPFNKSSKINYPKPPSLPLRLSSPITVRGITSGSEDTADGYDLRSRGPPTHDLQALDNLVSATRRSSSATPSTAATPSALHAQPPPPHSPSESTATSVTMAEPTLADLLAQMQAMTADLAALKTDMASMKAQSESSGSGGADGHRQFGPREQEFHPKHKKWDFPRFDGTTDPMLFINKCEAYFRQHRTTVDERVLMASYHLDNSHFKDLLNLRFGPPLRSAPMFELAECRRTGTVADYSNHFQALLPRAGRLEESQRVQLYTGGLLPPLSHAVWLHHPATLAEAMSLAREVEMMEASRPPPLPPRAPPRGVLPTPASRPALPAPQQPLALPAPPVPGQQGRGEGPPRRLSPAEMAERRRQGLCFNCNKPYTRGHNRFCKRLFFVDGVEVDEAVDATEIANAAMEAPGADAPCYSLHAVAGVPVADTIQITVSVGAASLLALLDSGSTHSFIGEDAARRTGLPIQDRPRLTATVANGERVACPGVIRNAPFSINDTTFHTDLFVMPLAGYEVVLGTCSMGTLGPIVWNFADRAMSFHHDGRTITWAGVPSSSAASLRTIAAASGTLLDELLAAYEDVFTTPSGLPPQRTRDHAIVLKPGSAPSLDSPFSSPALLVHKADGSWRFCVDYRALNTLTVKDAFPIPVVDELHGARFFTKLDLRSGYHQVRMRAEDIHKTAFRTHDGLYEFLVMLFRLCNAPATFQALMNDVLRAYLRRFVLVFFDDILIYSTSWADHLRHLRIVFELLRQHRLFVKRSKCCFGVDAVAYLGHTISAADVAMDPSKVQAIHEWPRPRSARAGAATEEAFGALKAAVTAAPVLALPDFTKLFVVECDTSTYGFGAVLLQEGHPIAFFSRPVAPRHRSLAAYEQELIGLVVAVRHWRPYLWGRHFLVKTDHHSLKFLLDQRLATIPQHHWVGKLLGFDFAVEYKSRATNVMADALSRRDAEEDSMGGLRAISAPRFDYISRLRQAQAVDPALVAIHDEVRAGSRTAPWAVRDDMVLYDGRLYIPPTSPLLQEIVAAIHDDGHEGVQRTWHRLQRDFHFPNMRRIVQDFVRDCATCQRFKSEHLRPAGLLLPLPVPSMVWADIGLDFIEALPKVDGKSVILSVIDRFSKYCHFIALGHPYTAESVAQAFFTNIVRLHGMPQSIVSDRDPVFTSVFWRELMRLMGTKLHMTSAFHPQSDGQTEAANCVIVMYLRCFTGDRPRQWLRWLPWAEFIYNTAFQSSLRETPFRVVYGRDPPTIRSYEPGETRVAAMARDMEEREEFLAEVRHRLEQAQAVQKLHYDRHHRQVAYAVGDWALLRLHQRQATSLPRTTSGKLKARYLGPYRVIEKINEVAVRLELPPQARIHGVFHVRTLKKFSGTPPATPPLKGLDG >Sspon.01G0008490-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:23664224:23674889:1 gene:Sspon.01G0008490-1A transcript:Sspon.01G0008490-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADQLTDDQIAEFKEAFSLFDKDGDGCITTKELGTVMRSLGQNPTEAELQDMINEVDADGNGTIDFPEFLNLMARKMKDTDSEEELKEAFRVFDKDQNGFISAAELRHVMTNLGEKLTDEEVDEMIREADVDGDGQINYEEFVKVMMAKDKPAETMADQLTDDQIAEFKEAFSLFDKDGDGCITTKELGTVMRSLGQNPTEAELQDMINEVDADGNGTIDFPEFLNLMARKMKDTDSEEELKEAFRVFDKDQNGFISAAELRHVMTNLGEKLTDEEVDEMIREADVMAMARSTTRSSSR >Sspon.06G0021720-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6B:18955273:18955563:1 gene:Sspon.06G0021720-1B transcript:Sspon.06G0021720-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVKTRSCNSVCYALQLADVMDYSLLVGIDEEKKELVIGIIDYLRQYTWDKQLETWVKASGILGGPKNESPTVISPMQYKKRFRKAMSKYFLTVPDQ >Sspon.02G0030420-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:109340620:109351866:-1 gene:Sspon.02G0030420-2C transcript:Sspon.02G0030420-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQCLDGVRQLLAVLLKCCDVELKQPRGLEDPQVLARETVFSVSEVEALYELFKKISSAVIDDGLINKEEFQLALFKTSKKESLFADRVFDLFDTKHNGILGFEEFAHALSVFHPNAPLDDKIDFSFQLYDLKQQGYIERQEVKQMVVATLAESGMNLSDEIVESIIDKTFEEADTKHDGRIDKEEWRNLVIRHPSLLKNMTLQYLKDITTTFPSFVFHSQVDDN >Sspon.02G0016050-4D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2D:37812791:37818873:-1 gene:Sspon.02G0016050-4D transcript:Sspon.02G0016050-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding STASSAISTIIFIASTTGEERRATPEAALTASTLCGCARQPGAGQCRRTRKKGLPRRPPAASGEGSLPRRQASFPGRRSARLGLAPVLRRRPRLAGSRAHLVLCWAPRGGAPRRARCGGIARPAPGVCRDSPRFDGEAGSRRVRVREPVSFFFFFSSPAGRLVLVSAGGGGYPEPIWCSRRPERVVPGPIARFRLGGGKSLVSWGSRRRSWASSTGGRAPGRRSGSAGAGAAAAAAAAAAGAARRRPPRAPAPTTTPRSPPAAASASGPSGRARRSRHRRRGPRSTPPPAAPARTAVNVSFSPVTWTYSHCAFFAVFARVVDGICESEKLTENGSRNQPVALVVSGSTTTSNAESSSSTSKVGEEIKVASQLRKFAFNDLKCATRNFRPESLLGEGGFGCVFKGWIEENGTAPVKPGTGLTVAVKTLNHDGLQGHKEWVAEVDFLGNLHHPNLVRLIGYCVEDDQRLLVYEFMPRGSLDNHLFRRSLPLPWAIRMKVALGAAKGLAFLHEEAERPVIYRDFKTSNILLDAEYNAKLSDFGLAKDGPVGDKTHVSTRVMGTYGYAAPEYVMTGHLTSKSDVYSFGVVLLEMMSGRRSMDKNRPNGEHNLVEWARPLLGERQRFYKLIDPRLEGNFSVKGAQKAAQLARACLSRDPKARPLMSQVVEVLKPLQNLKDMASASYFYQTMQAERMAHSNSMNGRSSHGFKTQSPFGRNGQPPVRSLSDGP >Sspon.02G0010950-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2C:33771875:33772243:1 gene:Sspon.02G0010950-2C transcript:Sspon.02G0010950-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSPEGTNSPANQPDAALTEQPDKMIHCNDAGDSQRGRQLENENSACSVPNALSTREHLKPETRKRVAFVAVGNPKVTGAASETKSSEVNKKQRLDMISPSNIQSGLYNKLLDCETTENSIL >Sspon.01G0051420-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:15859130:15861685:-1 gene:Sspon.01G0051420-1C transcript:Sspon.01G0051420-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MERSNSSWFGWWRKKAREGQGVGRPRDREKVVVDGSEIRELVEDREAFGVLVDSKFRQLDADGHGMLSVSELRPAVEDIGAALGLPAEGASPNTDHIYSEVLLVATPTYYTSKTKELLWLPLTLFTTRLACVQVVSELTHGTSQGEVSKAEFQEALSDILLGMAAGLKRDPLVILRMDGEDLRDFVAGSRYEPTAAAIFSQVGFGSEDASSLRQRVLAALHKLTVDHGVPPALDAWVAENIVEPALQQLPADQLEQQPASRDDLFQQLKKLLGAIADRLQEQPVIVAHTDNHYDGSGVKRLLANKFELDKLLDSVWKGLPAEHKSKASKEYLIAALDKMADAASLPYYGAVEEVDAVVEESIKTAGVEDGKAADEAEFKKSLTDVLRAIMLRLNDNPVFVSTDIVVHEPSSLVN >Sspon.07G0018680-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:77067760:77068984:1 gene:Sspon.07G0018680-2C transcript:Sspon.07G0018680-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding EFEELEAVPTSAAIDGPLEPPLSSPALVSDAPEESLVNYFNSAASVGSVSSAQMAARAHPSTEAVTSSHVPLIVPSATPTHQIPHPLGGSSAPPLPLHDTNPHASHSVNLLTPAFFAPPSPSSTSVAPPASSMIPTAPPLHPTSTAAQRPPYGTPLLQPFPPPSPPPSLTPAHNNGLISRDKVKDALQRLVQNDEFIDLIYRELQNAH >Sspon.01G0010440-1P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:35716110:35718940:-1 gene:Sspon.01G0010440-1P transcript:Sspon.01G0010440-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVSMLTGTGMFNISDFVPALARLDLQGVQAKLRRVHHQFDGLITKLLAEHAATAADRARRGRQDFVDRLRATMDAGADDESGETITEVNIKGLIFDMFTAGTDTSSIIVEWAMAEMLKNPSVMARAQEELDRVVGRGRRLEESDLPSLPYLQALCKEAMRLHPSTPLSLPHFSFDACDDVDGYRVPANTRLLINIWAIGRDPAAWEKPLEFRPERFLSGGGAEKVDPMGNCFELIPFGAGRRICAGKLAGMVFVQYFLGTLLHAFDWSLPDGEEKLDMSETFGLALPKAVPLRAVVTPRLVPEAYA >Sspon.04G0016200-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:66309495:66312169:-1 gene:Sspon.04G0016200-3C transcript:Sspon.04G0016200-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIGNGSSSNVSHKAMQDETTPLLPIKAEEDAVHEFDGASFSGAVFNLSTTIVGAGIMALPASIKMLGLIPGILMIILVALLTEASIDMLVRCSHQGKITSYGWLMGDTFGQWGRIALQGSVVINNVGVLIVYMIIIGDVLSGTSSTGVHHSGVFEGWFGPHMWNSRPIVLLATTLLVFAPLVSFKRLDSLRYTSALSVALAVVFVVITAGVAIVRLIQGTVEIPKLFPEIDGVSSIWKLFTAVPVLVTAYICHYNVHSIDNELEDKTQIKPIVQTSLGLCSSVYIATSFFAYLLFGEATLADVLANFDSDLRIPFSSVFNDIVRVSYVVHIMLVFPIVFFALRLNLDGLLFPTARHISRDNKRFTIITISLVAVIYLAAIFIPSIWDAFQFTGATAAVLIGFIFPAMIILRDPYGVSTKRDKVLA >Sspon.01G0039810-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:10277670:10280221:1 gene:Sspon.01G0039810-2C transcript:Sspon.01G0039810-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSVVGDVPVDNEEPVVTSRMLVHQPASARHQPTQSYTVVQPSSFPFPTKPYIPSTDPHTHARLPMASTASDSSPPSPGAPASAFLDDHALFLDQSTSSFFPAATTSHEPLPPAASASASSSAARKPPRKRPRASRRPPTTVVTTDTSNFRAMVQEFTGIPAPPPFAPHLGPGVLFGAPHDPTAAAGTSRAPLELLMRPSPLRLPGAPHASPPAAGSFAHSLFANSNANPAAAGPSSEPYSGFAFAPTLSGAVPRYDGGGGFDAAEDERVLGHGHGLFSSFLHAGDRYHSH >Sspon.03G0037600-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3B:99650618:99650980:-1 gene:Sspon.03G0037600-1B transcript:Sspon.03G0037600-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPGRCSARRPPFSAPPRHREVLGLFGYDASVSSARIGCSSSPPQVQPRRRSSAAPDIASKTRSSGTSAVVIGLVPLQVARRVKLLRGVASASAHRHRRCTHDVHARRSWPRPRLAHPR >Sspon.01G0061810-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:98043989:98055581:1 gene:Sspon.01G0061810-1D transcript:Sspon.01G0061810-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNIVCEVCGDVGYRQLLLCCRDCKRCAVHQYCLDKVVFDASLIKWFCYECLQRRGEVICISSLEKVSSERPPSHAHFRSPVHQLVTKSVESVRDAGPWRNGKNESFVTKYTSLNRVYSSVKKHNKKKSLMKPLGNCTSRKVRIAKVTTHTSAEASRSCETIGTESAQSNNSKNQQSHLLETTEGLVSKLKKVPQSLTAPFKGLEKRENVVLGSNNLGSSCSTADLGNSVLGKSGDKSNRMKDSGANFSSKHTSDGCKNMEGKSIKAKKTVVDAHKNTFWTVDSLRSDKSEAHGDSNRDILNGFTPGGDKEEVTLQLDHRGSNELLPRSMATNVPQLPSLQNDVVDTVMPYSPNDGCEELFSCPGIKNISSVRERSVDPINISSSSHDTIEASESSERFRECQKASSCHRRKTVKMAMASSSSEESGEDTMSENVSLEYVLAYRSYLSKAQKKRVMKLIREIQPEFTIFISIMRRNNVQPPGPFLGITKEYAIAHFPDKTTNVTLETPGKSKKWHPKFYKRDESRKNMLMGRWLDFVRDNHVQEGDICLLLPTKDEIRYTFMIYVLHETTHSGGEHVSSESDMQEISHEPLESGDSDDPFEPPYFVPCRNPLSRSQKRIVEERVRAIRSEVPICVAVMKNNNIGVAQRWMLNMCVKCVEILVTDNFCYVAGLQALCCAPNIVCEVCGDIGYRQLLLCCRDCKRYAVHQYCLDKVVFDASLIEWFCYECLQRRGEVTCIRSLEQVSSERPLSHAHFGSPVHQLATKRVESVRDAGPHSPNGGCEELFSCPGIENIPRVRERSVDPISISSISLHDTTEVSASSEKSTECQKASSCRRGKTVKMAMASSSSEESGEDILSEHVSLEYVLAYRCYLSKAQKKRVMELIQEIQPEFTSFISIMRRGNVQPPGPFLGITRDYASAHFPNESTNVTLETPGKSKKWHPKFYKRAESRNYMLIGQWLDFVRDNHVQEGDICLLVPTKDEIRCTFMVYVLHERTHSRGGAGFQMGGPCPGASSAKMASEIHIEEEPTAGEHVSSESDMQEIPHEPLEGGDSDDPFVPPYIVPCKSPLSKSQKRIVEERVRAIQSEIPICVAVMKNNNVGVAQRWMLELGSRYGSVYLPTKGQTIWLQCGGKTW >Sspon.04G0022510-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:11245248:11247951:-1 gene:Sspon.04G0022510-2D transcript:Sspon.04G0022510-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGGPPRKRNFKIEAFKNRVELDPKYAERTWKVLEHAIHEIYNHNASGLSFEELYRSAYNMVLHKYGEKLYDGLQNTMTWRLKEISKSIEAAQGERMGEVINRGLMRSITKMLMDLGPAVYQDDFEKPFLDVSASFYSVESQEFIECCDCGNYLKKAERRLNEEMERVSHYLDAGSEAKITSVVEKEMIANHMHRLVHMENSGLVNMLVDDRYEDLGRMYSLFRRVPDGLSTIRDVMTSYLRETGKQLVTDPESLKDPVEFVQRLLNEKDKHDKIISVAFGNDKTFQNALNSSFEYFINLNNRSPEFISLYVDDKLRKGLKGATEEDVEVILDKVMMLFRYLQEKDVFEKYYKQHLAKRLLSGKTVSDDAERSMIVKLKTECGYQFTSKLEGMFTDMKTSQDTMQDFYAKKSEELGDGPTLDVHILTTGSWPTQPSPPCNLPTEILTVCEKFRAYYLGTHNGRRLTWQTNMGTADIKATFGKGQKHELNVSTYQMCVLMLFNNADGLTYKDIERDTEIPASDLKRCLQSLACVKGKNVLRKEPMSKDISEDDTFYFNDKFTSKLVKVKIGTVVAQKESEPEKQETRQRVEEDRKPQIEAAIVRIMKSRRVLDHNSIVAEVTKQLQARFLPNPVVIKKRIESLIEREFLERDKVDRKLYRYLA >Sspon.01G0057770-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:16031531:16033126:-1 gene:Sspon.01G0057770-1D transcript:Sspon.01G0057770-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPRHRPRSWSLAGPDRQLPVSACRHDDDTMALPPICIRQRFSPPGHPQQITGFTPGFELTEHNERTLRARTDKPRSSFVKLPDRADSTRRNGPGGHLVLLASCHGHANTVRGTQVAAWSVPTGRKVDRPPFPPPPLNALLLPRPLTERFQKMDPQLGAMVHGAKLQRHGAMYPGAKRHPTWLHGDLRGVAWRHAAWRQGLAPRSMAPSLGAMLHGAKHP >Sspon.08G0008340-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8A:30964139:30964642:1 gene:Sspon.08G0008340-1A transcript:Sspon.08G0008340-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHYAPLPPLPPGIPVRSVWEDNLELELRFLYSFVHNARYAAVNIHYPGVIHGGSQKHTSQTADERYSMIKANVDALKPIQVGLAIYNDFGHIVAWDWEFNLRGFHPATDPHAANSVGYLEARGLSFDEHQAHGITAWRLATGFNSCGLFRERRPQISWITYAGVYHI >Sspon.06G0001160-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:2794126:2794671:-1 gene:Sspon.06G0001160-2B transcript:Sspon.06G0001160-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGLWDSFPEARTLDQMVRTIERLMDGDDADTNGRFGVVVPAAAPTAVPRADSGRRGRTPWEVRERAGEYLVRFDMPGMARDDVRVSVQDRTLVVVAEKQQRPAAAGGVEKQEAAAGGGYEEGDEEEEAWPAASFGRYRTRVELPENVDVERIGAEVRDGVLYLTIPELSAGGKVVNIQVH >Sspon.01G0054230-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:49969675:49976116:1 gene:Sspon.01G0054230-1C transcript:Sspon.01G0054230-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGSRPARNAGVVERLRRAGAVLLGTASLSEWCNFRGPGIPAGWSPRGGQGRNPYVPSATPCSSSSGSAIAAAANMAAVTIGTETDGSIMCPSSYNSVVGIKPTVGLTSRAGVIVISQRMDTIGPITRTVSDAVHVLEAIVGYDPRDAEATRVASQYIPEDNLEIPSMNVINDAMQSGEHALMLAEFKLSLNSYLSELATSPVRSLSDIIEFNKKHPIEERMAEFGQDYLLQSEATSGIGPTEEHAILKLNKLCKRGLEKIMQDNQLDAIVAPGASAHSLLAIGGYPAITVPAGYAANGVPFAICFGGLKGSEPKLIEISYSFERATKEHRLNSLRSQKSTKPDEQTKIRVENVEDYSSGVSHHVRVQVIESEHHIRDTPCADFSQQDGLPGNRRTQRMRNKEKRERCGPWASGTRREVMVAPQFEILAVILPLESSRILGSAAINAR >Sspon.03G0034600-3D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3D:38556304:38556864:-1 gene:Sspon.03G0034600-3D transcript:Sspon.03G0034600-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding PDRLQWQPRLTAPRRHVVVEACSSCQLRCLSLNLCRSRTHSHSPNRGRCRHSPCRNQIQTHSRSPFRSLTQTHHSLCLSRSPYRSPTQTHSLSLCPYRSPTQTHSLSPYRSPSRNHSQAQSRRSLCRSLTQTTHSLNHSPCRCLTQTCNSRSRPRGPNLFRTKIHSRRLTSYLEARSHFRTADQEC >Sspon.02G0000190-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:8216520:8220490:-1 gene:Sspon.02G0000190-1P transcript:Sspon.02G0000190-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VPHRRPDLLGGDAATAPPLPSVSSSTMSRGGPRRGIFDGLPIPADKSYLKEGLSRIDESWAAARFDSLPHVVHILTSKDREGEIQFLKEQSDLIEDVVDEVVHAYHHGFNKAIQNYSQILRLFSESAESITGLKGEMEEAKKLLGRKNKHLGQLWYRSLTLRHVLSLLDQVEDVAKVPARIENLMAEKQLYAAVQLHVQSVLILEREGLQAVGALQDIRSDLTKLRGVLFYKILEELHGHLYNNGEYSSATLSMVDGEEVPTSTAAGRLVNSMQPLSRRTRSIKGDNHIGGPVDGFPKVSSVDG >Sspon.07G0022410-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:7938011:7939993:1 gene:Sspon.07G0022410-2D transcript:Sspon.07G0022410-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSKPVSARRLAPALFPLAHADAASAAASRRERRKDTFVATPPTPAPSPRAVRLAEPLPTLAPSRLAFHNRILALLSGPQADLPEAALLTRHALHSNCRPSSFTCAAVLAALLRARRLEDFFALHRFALQAAVPPTAATHVLYLSALAARRLADDALHHLRLLARPGSPVPPSPTAYRVVVECLVDHGRLADAVELKDEMLDSGFVGPDPKVYRILMAGFLGAGDGAKAVELYQELKDKVGGEPVLDGIVYGTLMKAYFLMGMEEKAMECYKEVLGVESAVRFGAESYNEVVDALGQNGRLEDALKLFDRMLGEHDPPLRIAVDLRSFRVMVDAYCAAGRFEDAIAVFRRMGEWKLVPDVASYNNLIRHLQINQLIDKVEVVYSEMCESGVSADEETYLLLMEACFSVNRIDDGISYFDKMNNLELKPDATAYHKLVGGLVGFSMVDKAQEYFVQMKEKGVSPSISTYETLLKAYIAADRLDDAVKVAKGILLDEKVVFSDGMRELLEGALRGDGRESDIAKLYEDVEREKVEAEARAAEEKARAEALAREERERRRAEIAAKDEAAAKASAAAIEAILAHKRKTENEGAPAPDANTLDGGFLSKLGLKSAGEGALQGNPTESADDGEGALQGNPTESGDDEEGEFQGNPTERNGDNVPY >Sspon.07G0031820-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7C:34260530:34261129:-1 gene:Sspon.07G0031820-1C transcript:Sspon.07G0031820-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding KNPRLSPLASSFASLGSRVKFPFPHSRRPRRPQRSALRSHRRRSIRAAPALFPTAAGASSPDSAPTRGGFHLRVADLVRGGGEEEGEEPERARLRRRRRRQHQATPRRPSTTTVSRRSSVQPPASPLASESTFPHPASGTNPCKAGDVRRRSSTTPDAFSATTTAKARVKINILTHLIDDEVGSGRVFGFGSFFCLRTS >Sspon.04G0011520-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:35649680:35669364:-1 gene:Sspon.04G0011520-1A transcript:Sspon.04G0011520-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPGGAGIVWQTPANPPDNRSLLSSPFLEPRRTLVRSTKTLTPFCANYWSRFPLKVKNRWAGKTIVDLFTDEFKGRSRDYYVHAVKCGRLQVDGQMVHTDYIVKSSQKISHFLHRHEPPVLAGDISILQNEIDVITICKPASVPVTVHRLDRLVSGLLIFAKNADRAECFRQQIEAGLLQKEYVAKVVGVFPDGEQTVDANVNFNAREGRSTVEISGGAGEEPNGKQALTRFQRICTDGTHSIVLCKPVTGRTHQIRVHLKHAGYPIANDELYLSGNFCPRSTKGTSNSKEIAPSDPDNSAADHGSKDAETDVEFDIDPMCTNCPNLAPVGYDGDEEGLWLHCVRYTGPDWSYECPYPNWAFLGSVSTKKMKS >Sspon.05G0013560-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:47467865:47469028:-1 gene:Sspon.05G0013560-4D transcript:Sspon.05G0013560-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLPSMVHACQIRKRFVNVHVSDGNGSRVVGADVAVHQITRDFPLGSAISKSIIGNKPYQEWFNARFNAAVFENELKWYATEPSPGKEDYAAADQLLQFVQSNDVMARGHNIFWEDPQYTPAWVKNLTGSELRAAVAGRIESLLSRYKGDFVHWDVSNEMLHFDFYENRLGGNATAGFFSTAKRADPLATLFLNDFNVVEACDDLSSSADSYVSRLRQLADAGVTFEGIGLEGHFGKPNVPYVRAVLDKLGTLRLPVWLTEIDISGAFDQRTQAAYLEEVLREGFAHPSVDGIMLWTAMGANASCYQMCLTDANFTNLPAGDVVDRLLGEWQTKEVLGATDDRGSFNFSAFLGEYRLSVTYLNRTADATFSLARSDDTKHINIRLPA >Sspon.06G0006020-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:18937504:18937968:-1 gene:Sspon.06G0006020-3D transcript:Sspon.06G0006020-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSTLRIDRPSGLAAATALSLSSVTRRRISRTFSPSMASRMSASTSPRRTSLPISIPMPHSVRLYRQLVCCSAKKGQHTMGTPPQMLSSVEFHPECVRNTPTASCSSTAACGHHVDSMLRPSVEARNSGGSPAPAASPPPPLTRSGRTFHRKA >Sspon.03G0015850-4D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7D:50107570:50108760:1 gene:Sspon.03G0015850-4D transcript:Sspon.03G0015850-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVDTFLFTSESVNEGHPDKLCDQVSDAVLDACLAEDPDSKVACETCTKTNMVMVFGEITTKANVDYEKIVRETCRNIGFVSADVGLDADHCKVLVNIEQQSPDIAQGVHGHFTKRPEEIGAGDQGHMFGYATDETPELMPLSHVLATKLGARLTEVRKNGTCPWLRPDGKTQVTVEYRNEGGAMVPIRVHTVLISTQHDETVTNDEIAADLKEHVIKPVIPEQYLDEKTIFHLNPSGRFVIGGPHGDAGLTGRKIIIDTYGGWGAHGGGAFSGKDPTKVDRSGAYVARQAAKSIVANGLARRAIVQVSYAIGVPEPLSVFVDTYGTGTIPDKEILKIVKENFDFRPGMIIINLDLKKGGNGRYLKTAAYGHFGRDDPDFTWEVVKPLKAEKPSA >Sspon.01G0018690-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:69512778:69514964:1 gene:Sspon.01G0018690-1T transcript:Sspon.01G0018690-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHCFRFASWEREADERRGAAATTTTTTTGRSLSARSNSSTTSTDRDVRRSASECSLNASELSSAGSLQGRCRQLSLSSQRPPNALRIFTFQELKSATRGFSRALMLGEGGFGCIIFRDLKPSNILLDDNWNAKLSDFGLARLGPQEGSHVSTAVVGTIGYAAPEYIHTGRLSTKNDIWSFGVVLLELLTGRRPLDRNRPRGEQNLVDWMKPYTSGAKKLETVIDPRLQGNYSTKSAAQLASVASKCLVRHARYRPKMSEVLEMVQKIVESSETGTPEHPLISNSKELASDEKKRKGLDLKRRIADIKAREGRCFAWQRWTPKLVRTQ >Sspon.05G0029720-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:74812351:74817784:-1 gene:Sspon.05G0029720-2D transcript:Sspon.05G0029720-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRYHRVEKPRNDTPISQNEIRITTQGRMRNYISYGMSLLEENGHDEISIKAMGRAINKTVMVAELIKRRVGGLHQNTATESVDITDTWEPLEEGLLPLETTRHVSMITVTLSKKPLDTSSPGYQPPIPAEEVKPAFDYDHEESYPTGRGRGRGGGRRGRGRGMSNGPPPPAYGYNDEWEEEGDYYNRGRGRGRSRGRGGRGRGGYYGGGRRGGYGYDYGYGGRGGYYEEEEYYDEPEEYAPPPGRGRGRGRRGMPWRGRGGRGPPRGGRGGYY >Sspon.07G0005510-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:9575983:9578248:1 gene:Sspon.07G0005510-2C transcript:Sspon.07G0005510-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYRRKQGIQRSATFVEDHRQTSSGGSASPAIASPRATRFADDSRRPDRSSRLAAQALVTSSAARPDLTLPSFGDRFPAAAAAASQCDAEPSSPVQDPVTHLYTSTTSLNDEGPKYDIELSKKDHTKHGFWALVAQKAKVMLDENGTPRAQTQTSESRWSYDRVGSSESQSPTSRRGSSLEGKLDIGGKIKDVLEQEGLAVADDTTSGGTGTHGGVVAARKLQIRRKACSMDFRAANLTPASPDMSPMLADTESPQIKASRDVANAMAAKVKLLQRELKTLKADLAFSKERCAQLEEENRLLRDGNHDADADEDLIRQQLETLLAEKARLAHENTVYARENRFLREIVETSSTSTTNDIEEEDDYDVDADDDEDAELEAEQPRSPQVPAVPNCAGGRGAPGGRSGHRTAVALRHTASPRMLSTNSGGGGGGGTPDHESPRILNTNSGGTPDHESPRMLNTDSGGTPGHESPRMLNTNSGVGIVASESPRMLSTNSGGNTNESPRSFKDDDSSPETTRDG >Sspon.05G0028560-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:69148687:69151885:-1 gene:Sspon.05G0028560-1T transcript:Sspon.05G0028560-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGQILACLPLNLITLLSLFLSCTCQIDSQTQALLQFKSGLNDPLNHLVSWTNATSKCRFFGVRCDDGGSGTVTEISLSSMNLSGGISPSVGALHGLARLQLDSNSLSGPVPPELAKCTQLRFLNLSYNSLAGELPDLSALTALQALDVENNAFTGRFPAWVGNLSGLTTLSVGMNSYDPGETPPSIGNLRNLTYLFLAGSSLTGMIPDSIFGLTALETLDMSMNNLAGVIPPAIGNLRNLWKIELYKNNLAGELPPELGELTKLREIDVSQNQISGGIPPAFAALTGFTVIQLYHNNLSGPIPDEWGDLRYLTSFSIYENRFSGEFPANFGRFSPLNSVDISENAFVGPFPRYLCRGNNLQFLLALQNGFSGEFPEEYAACKSLQRFRINKNQFTGDLPEGLWGLPAVTIIDVSDNGFTGAMSPLIGQAQSLNQLWLQNNNLSGAIPPEIGRLGQVQKLYLSNNSFSGSIPSEIGSLSQLTALHLEDNEFSGALPDDIGGCIRLVEIDVSQNALSGPIPASLSLLSSLNSLNLSNNKLSGPIPTSLQALKLSSIDFSSNQLTGNVPPGLLVLAGGSQAFARNPGLCVDGRSDLGVCNVDGGHKDGLARKSQLVLVLVLVSATLLLVAGILCVSYRSFKLEELKKRDLEHGDGCGQWKLESFHPLELDADEICAVGEENLIGSGGTGRVYRLELKGHGGGGGGVVAVKRLWKSNAARVMAAEMAILGKVRHRNIIKLHACLSRGELNFIVYEYMPRGNLHQALRREVKGSGRPELDWPRRCKIALGAAKGIMYLHHDCTPAVIHRDIKSTNILLDEDYEAKIADFGIAKVAEDSSDSEFSCFAGTHGYLAPELAYSLKVTEKTDVYSFGVVLLELVTGRSPIDPRFGEGRDIVSWLSSKLASESLDDILDPRVAVLARERDDMLKVLKIAVLCTAKLPAGRPTMRDVVKMLIDAGAGPCSPRGQPPSRVCSNKSCC >Sspon.06G0004910-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6A:16057545:16062187:1 gene:Sspon.06G0004910-1A transcript:Sspon.06G0004910-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DJ-1 homolog C [Source:Projected from Arabidopsis thaliana (AT4G34020) UniProtKB/Swiss-Prot;Acc:Q8VY09] MPGSVRLRDSDILQRITVRQAEEKRLYGAICAAPAVVLMPWGLHRRKKVSGELTTSRGPGTAFQFALSFVEQMFGPHVAEDMDRILMAQTHDDLERSAEVNELEWSFDRNPEVLIPIANGSEEMEIIILVDILRRAKINVVLASVEKSLTILGSQRMKIVADKSITSASDSIYDLIILPGGPAGAERLHRSRILKKLLKQQMQAGRMYGGVSSALKVLQQQGLLEDKTVTTHHAVASELTCQVIDQPKVVINGNLITGKGLGTVVDYALAIIRKFFGHGRAKAVANGIVFEYPKS >Sspon.03G0036820-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:56116705:56117553:-1 gene:Sspon.03G0036820-2D transcript:Sspon.03G0036820-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RDGGGARHRQPGGPARRCGPHGARAREAPHDRAPRCLPHAAPGPLPQSPHDGPPAPARPGQARRQGAARPPRRRAPLPPPHGRPVLLLVPRRPPGSISLRAPRRRHLGRPLVRPVRPPGRGVQLHHHAVLLLRQRPRRSPGPGARAVSFHQVPRPRRPGQRWRRRVRRARLRPGGARAREDARRRRGRRRCRRRIRLRSACAVVGVAVAIGRRGHAVAHAGAQPRPQPRRGPPPARHRLALPRRHPRGPRRPRRRLHLRRLHQQVLRDPPPAAGRRHPRQPPR >Sspon.04G0019210-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:68447755:68448280:-1 gene:Sspon.04G0019210-1A transcript:Sspon.04G0019210-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSNGRGHVWAMTIFFALLFGCLALPVIGRSSKKLLMAEETVGAPAPTKTTSGNDASIVNNSTATSGDGSEIHLIFCSYKFYCFVGNCWCCKQEDKCFKTRKECQAHCPSCNPVCPRPEAGNS >Sspon.05G0004120-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:12544723:12549501:1 gene:Sspon.05G0004120-1A transcript:Sspon.05G0004120-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MCTFSNHESMVYCEMCGVFRETFVKSAKDGLLKDAAVAVLSEPRTSAASKFDSAKTPVKTRAVDSDGDSARKHASMSYDKANSMQLPSAVSSLGAEKKKKTPVLSEEVPVERIALLASDGFQPKGNQSGGASSSSQNDNVIQKLFSDIGQLNVDKNNVNVTKPCLPEEYKPEKWMLADPESGVLSQLNLAIVGHVDSGKSTLSGRLLHLLGRISKKDMHKNEKESKEKGKGSFAFAWAMDESSEERERGVTMTVAVAYLETKKFRVVLLDSPGHKDFVPNMISGATQADAAILVVDASTGSFEAGMDGEGGKGVGQTKEHAQLVRSFGVEQLVVAVNKMDAVAYAKERFDFIKLQLGSFLRSCNFKDPAVTWIPLSAVENQNLINAPSDARLTSWYQGFCLLDAIDSLQLPSRDVSKPLILPICDVIKSQSTGQLAAYGKLETGAIQNGSKVLVLPSGQEATVKTIERDSNSCTIARAGDNVAICLQGIDGNQLIPGGVLCHPGFPVAVANHLELKVLVLDITTPILVGSQVEFHIHHVKEAARVTKIVALLDKAGKPSKSAPRFLKSKQNALIQVTLDEAVCVQQFSKSRALGRAYLRSSGRTIAVGVVNQIIGQDQN >Sspon.05G0025790-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:34203590:34207493:-1 gene:Sspon.05G0025790-2D transcript:Sspon.05G0025790-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFLSQVTIILGSLKSSTRVQHVDMDDGTKPYIVAIIIRVIFAGMFIINKAAIDHGLNSFIFIFYHQIAASLLLLPTAVLLERRNARSMSSALLFKIFVCALIGIIVSGTLYNVSLKLTSATVVAASTNSIPVITFCLALLLRMEEVKLRSPSGIAKLTGVALCLAGVLVIAFYTGELLSAVNHHHAFAAPTHASSTKTLMGAAWIKGTFVAVLAALAYVLWLILQAAVLKEFPNKMLVMVTQCLFSVVQSFLAAVVAERDFSMWKLRLDIGLLAIFYSC >Sspon.08G0019020-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:12537359:12537664:-1 gene:Sspon.08G0019020-1P transcript:Sspon.08G0019020-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding WRMPAAAPPRLRPREGARRRRPRAGARRRWGGWCGSCGGRAGCCARRATAPRRRRPRGAATSTTRSATRATSTSAPRWTATRPAPSPPASCSPPPPRGSRSR >Sspon.06G0022780-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:36278888:36283161:1 gene:Sspon.06G0022780-1B transcript:Sspon.06G0022780-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHAAEHLDPTTPAPSSASSSAAVAEVNAWLASLAAEAGVAGGAGGRGGGGGQAAAELSLGPDPTPRGVAYLRALASASQGRSRAAGIAAAGLRAQAAEYRAEAARLREALERAGLARDALPSPEMSSFVVASADLSLRRAEVEEKRDKVHKESKALLDYTRKAINKLTELKKMLEKFKNDVEKQQVEQMTDWQTKLVMMDSKERQYILQVSNYKAMLNRVGYTPEINHGVLMEMAEHKKDLERKTKPIADTLRSYQDLPPDKALAALAIEDKKRQYAAAEKYLEDVLQSALTTTGL >Sspon.02G0009990-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2B:27107252:27110162:1 gene:Sspon.02G0009990-2B transcript:Sspon.02G0009990-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RALNSSAEAGARRRISLDKQGPTVVHQKPGPLQTIFELPPDEVVIPLRDIDEIRRSQHAVINPAITIFLRMGAGGFGVPPLGCPDGRVRYKFASFWNRNHTFRALQRAVKNFQMMIEAEKQERAQSALRAHSSSRKTSKQEINVPEDCAALTGQLQPFVKEEVLVPVFNGTFPCTAEQFFSILLSDDSNYITEYRTDRKDKDINVLSLKNSNFHV >Sspon.04G0016850-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4A:61518806:61519249:1 gene:Sspon.04G0016850-1A transcript:Sspon.04G0016850-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLLLPPSACTTAATAPAAAPASLAFLRPRPSRARWSSLSFAFPRLRKYGRRHREPVAAPSLDGDDDEDETEEAEFWESDEGEEELEVDEEEFLATRPKPAGFGEGKTYSTDIEEQLLREMGFGGGGARRRGEAALANRRAGDSSTK >Sspon.01G0015470-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:43589134:43590189:1 gene:Sspon.01G0015470-2C transcript:Sspon.01G0015470-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:4-coumarate--CoA ligase-like 6 [Source:Projected from Arabidopsis thaliana (AT4G19010) UniProtKB/Swiss-Prot;Acc:Q84P24] MQDAVAAPGPANAAATFYCAATGVYASTHPPVALPSDPSLSLVPHIFARLPAARPDAPALLDAATAEALSRADLRRLVSALAAGLRHRRGLRGGDVVLLALPNSIAFPVAFLAVLAAGSVATTMSPSSAPAEIAARVRDTSPALVFATPDNVGKLPPLRVPVVLVPDAFHLADDGAPEFAPFRELLLFDSEPLPAPPVGQDDAAAILYSSGTGGRSKGVVLTHRNLIATVELFVRFEASQYATPACDNVYLAALPMFHVYGLSLFAVGLLSLGSTVVVMKRFDAGDAVKAIHRFKVTHFPVVPPIMAALVHAAKPAAMPLESLVQVSTGAAPSSGRLIDDFVKAFPHVDLIQ >Sspon.07G0018400-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:66564162:66565544:-1 gene:Sspon.07G0018400-1T transcript:Sspon.07G0018400-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVAGGGGGRLRASSAKKQRQRTLNNIKITLLCGFITVLVLRGTAGFNLLVSSGDLDGAAADAKVVEDVERILAEIRSDSEADDVVFVVEDGSSSTPSPRNATAASFGNFSASATLVKVREYSLGPKVKDWDAQRREWMSRHPEFPPLDPRRGGRPRVLLVTGSPPGPCDNPVGDHYLLKATKNKIDYCRLHGIDVVHNMAHLDPELTGYWSKLPLVRRLMLAHPEVEWIWWVDSDAIFTDMAFELPLSRYDGANLVIHGYPDLLFEKRSWISLNAGIFLLRNCQWSLDLLDAWVPMGPRGPSRVEAGKLLTASLTGRPPFDADDQSALIHLLLVQKERWMDKVHVETEFYLHGFWTGLVDRYEQMMEDHHPGLGDDRWPFITHFVGCKTCGRYEDYPLDRCIRGMERAFNFADNQVLRLYGFQHRSLTTAKVRRVTDPATNPLQAKEAALKMDAKFSST >Sspon.01G0031690-3D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1D:109025811:109026362:-1 gene:Sspon.01G0031690-3D transcript:Sspon.01G0031690-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGISEVRAVGLRIVNSLHGIRIKTAPGRGGYVKNVYIADVSMDNVSMAIRITGNYGEHPDDKYDRTALPVISNITIKDVVGINIGVAGILEGIQGDNFSNICLSNVSLSVQSAHPWNCSLIEGYSNFVIPESCEQLRSNCRQTPICYDGSGSSAMRVQQPRHTSSTSRLLNPFTGVGFILV >Sspon.06G0026310-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:78112705:78115630:1 gene:Sspon.06G0026310-1B transcript:Sspon.06G0026310-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AVSSVITKLSDLLAGEFNLQMGVKGEIQFLQAELQSMKGALEKVSNTPVDQLDIQDKIWAKDLRELSYDIEDSIDTFMVRGSDSIATEIEDIKSRVVEVHKRRRRYEVSHGELSELAIDDSNMGILEDLGQLTELRVLKIVLIEWDDKLVGCLNKLQKIQDLSIWAYGGLDGWVAPRHLRRLKTRWGCWLSTMSAWMSPPSSILPDLSSLSILVREVRQADLDILGRLSALRYLNLEVEHENLGIILGGLVLYRFVLMPGAMQVLELFS >Sspon.06G0009810-3C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6C:34912797:34913273:-1 gene:Sspon.06G0009810-3C transcript:Sspon.06G0009810-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSKVGSNVTLINVMQLPGLNTLGISLARIDYAPLGQNPPHTHPRATEILTVLEGTLYVGFVTSNTDNGNKLFAKVLNKGDVFVFPQGLVHFQFNPIHDKPAVAIAALSSQNPGAITIANAVFGSKPPISDDVLAKAFQVQKGTIDWLQAQFWENNHY >Sspon.05G0016150-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:60870703:60871242:1 gene:Sspon.05G0016150-3D transcript:Sspon.05G0016150-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSKLSTVQLQLILISMALAAAAAAAATTHLQFYMHDIVTATAESPATGVRVARGTTPLPGDPNTYFGDIRVIDDPLTEVGGAQGSYTFADQQEMAVIFSLNFVFTAGKHNGSYLVVNGKDAFFDEVRELAVIGGAGSFRGATGYALLTTHYFNSTTKNAVIKIDMHLRV >Sspon.04G0012540-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:45017139:45017513:-1 gene:Sspon.04G0012540-1T transcript:Sspon.04G0012540-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHQRSNSMEIEPTIWQSARLQATGSDELGQSCRVYCPPATGRVPFEWEDEPGKPKGSARLDVVPPLCPSPAMQSARFTDRHSPRRKSKQSAGTMAGGFEGCIPLRFHLGSAMKRWVQRNKNFM >Sspon.03G0001490-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:12345807:12348236:1 gene:Sspon.03G0001490-2B transcript:Sspon.03G0001490-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQERQAVARNRKVVLRGYIERAPREDDMELVDGGAMELRVPEGAGGPAVLVKNLYLSCDPYMRGRMRDFHGSYIPPFKPGSPIEGFGVGRVVDSTHPGFSAGDIVSGMTGWEDYSLITKPEQLRKIQQSDIPLSYHLGLLGMPGFTAYVGFYEICSPKKGEFVFVSAASGAVGQIVGQLAKLHGCYVVGSAGTNQKVELLKENFGFDAAFNYKEEPDLTAALKRYFPEGIDIYFENVGGPMLDAVLLNMRTHGRIAVCGMVSQHGVTAPAGIHNLFSLISKRIEMKGFIQSDYVHLFPQFVDDITKHYRDGKIVYVEDMSVGLESGPAAFVGLFSGKNVGKQIVCVSRD >Sspon.02G0020990-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:63744997:63749739:1 gene:Sspon.02G0020990-3D transcript:Sspon.02G0020990-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRPASWEQGGDEYDYLFKVVLIGDSGVGKSNLLSRFTKNTFALDSKSTIGVEFATRTLQVDNKTIKAQIWDTAGQERYRAITSAYYRGAVGALLVYDVTKVMTFENVKRWLKELRDHADSNIVVMLIGNKIDLRHLRSVAVEDAASFAESEGLFFIETSALDATNVEKAFQTVLAEIYRIISKKPLSSEESGSGSGNLREGQSIQVSATNSNALTSRCCSS >Sspon.02G0016570-1T-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2B:47014494:47014730:-1 gene:Sspon.02G0016570-1T transcript:Sspon.02G0016570-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VTVTERSDGDECLILASDGLWDVVSNEDACEVARACLRRGRAKWCAEAAALLTKLALARRSSDNVSVVVVDLRRRNRH >Sspon.08G0024440-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:63906637:63908017:-1 gene:Sspon.08G0024440-1B transcript:Sspon.08G0024440-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADDMQQQLASRRPSRQGHADLRLLGTRRTNGSTPLHLAAGVLPSPARLLLDANVSTAYQPDKRGLYPIHVVMILLERVRHALRDANGRTFLHVAVEEGWCRVVKYVCRQSQRRRHAGAGLLSSILNAQNINGRHCAVYAGNWTIFFCLVRNQRDPRIHIRKYLLRVGAPNGESRGDLFHQKYIIPNRKQDEDKMSTNLTAAAQSPVHFLRPHYNRDICIGFHVVWRLPISRRRRWWCPRHTGARREKLCF >Sspon.02G0007140-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:23390534:23400851:-1 gene:Sspon.02G0007140-1P transcript:Sspon.02G0007140-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVSALAKYKLVFLGDQSVGKTSIITRFMYDKFDNTYQATIGIDFLSKTMYLEDRTVRLQLWDTAGQERFRSLIPSYIRDSSVAVIVFDVATIVADHGRLDGEWCPPPTSGSRGGGSSGGQAGTTSHNEVSLAAGEDRSEQVLCPPRDAPALENVAVSGTVLEKASDGRRGRINRGGGWHDAAVNNAATGKPSLARPGVLQEHFQEEEEEAAEMAPVSALAKYKLVFLGDQSVGKTSIITRFMYDKFDNTYQATIGIDFLSKTMYLEDRTVRLQLWDTAGQERFRSLIPSYIRDSSVAVIVFDVASRQSFLNTSKWIEEVRTERGSDVIIVLVGNKTDLVDKRLVVQVSIEEGEGKAKDLGVMFIETSAKAGFNIKALFRKIAAALPGMETLSSAKQEDMVDVNLRSGNANSSQSQAQAGGCSC >Sspon.03G0024460-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:74476162:74493766:1 gene:Sspon.03G0024460-1A transcript:Sspon.03G0024460-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTAYDYSSAVHVDSAGVDVVLVGDSAAMVAHGHDNTLPISLDLMLQHCRAVVRGAPRPLVVGDLPFGSYESSPAQAVESAVRLMKEGGVDAVKMEGGAASRVGAARAIVDAGIAVMGHVGLTPQAISVLGGFRAQGKTVDGALKVVEAALALQDAGCFAVVLECVPAPVAAAATAALQIPTIGVGAGPLCSGQALVYHDLLGMFQSPEHSKVTPKYCKQFGDVGAAIGKALSEYKQQVEARAFPDANHTPYKISSVDQRAGVTVYGGPRPQESAAARRVTVTTHRGKHRRGEPITVVTAYDYPLAVHVDSAPRASTSAVCLVGDSAAMHCRAVARGAPRPLLVGDLPFGCYESSTAQAVDSAVRVLKEGGMDAIKLEGGAPSRITAAKAIVEAGIAVMGHVGLTPQAISVLGGFRPQGKTVDSAVKVVETALALQEAGCFSVVSECVTPKFCEQFGNVGDVINKALSEYKKEVETETFPGPSHTPYKIIPTDVDGFANALQKMGLSDAADAAAENSEKGHTELLTLDSLLKVEKPTTHI >Sspon.07G0000270-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:262437:274221:-1 gene:Sspon.07G0000270-3C transcript:Sspon.07G0000270-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VDTILDKENFTLEELLDEDEIIQECKALNTRLINFLRDKAQVEQLLRYIVEEVPEDSEKKQSFKFPFIACEIFTCEIDIILRTLVEDVELMDLLFSFVKPDHPHSTLLAGYFSKVVICLMLRKTAPLMNYVQEHPNIVVQLVDLIGITSIMEVLMRLIGADETIYSNFADTLHWLENTDVLEMIVDKFSSSDSPEVHANAAEILSAVTRCAPPGLAAKICSPSFVGRLFRHALEESRPKSVLVHSLSVCISLLDPKRLASASYQAFRSNLTYGTLVTASPETVDGMLESLGDLLKLLDITSENVLPTTYGCLRPPLGKHRLKIVEFISILLTIGSEIAEKELISQSVIKHCIDLFFLYPYNNFLHHHVENIIVSCLEVKRNQLTDHILNDCGLIGKVLAAEKGPSLPVESNGPTLPSEGKEPPRIGNIGHITRIANKLIQLGNSNSMIQSHLQENSEWAVWQTDVLVKRNEVENVYHWACGDDDDFRDRDYDVAALANNLSQAFRYGIYSNDDIEEAQGSHERDDEDVYFDDESAEVVISSLRLGDDQDSSSLFTNSNWFTFDGDRGINDRLAASVPSSSPNSEEISLNTEETDEVLTGETTGTESLLESGSLENGPVEEAKELAEVANDSDATRVSEKILCTEEDSASHEPETSERPVDAQEGQTAGAAEASSTEGAANEPCSSSEPGNALSESGDTDCRTANSSEPNEIAHESGSPADVDDEKKSEIATTNE >Sspon.04G0027030-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4B:55024884:55030807:-1 gene:Sspon.04G0027030-1B transcript:Sspon.04G0027030-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MYALAQCTPDLSPADCWGCLEDLVGKAPLAGGTIGARTAGVRCSYRYENYAFFRGAPMLNMGTPPPPSTQPTAGRRSGLYAIMSTLYWAVMYGRTYVRRRRARDSRRAAERAGRELGEPADRAATPSRREGHNLRDRKTTAGDYDLRSRGPPTLDHYALDNLVSRSNRSSSSSTAAPPSPTANSSPPPSPPPDTSPVNPTAPTMSEPTLAEIMDMLKVMNTKITTLESEAASRKDKTESSSGGGDDHRYPGGRDHDFHPKPKKWDFPRYDGTTDPMLFLNKCDAYFLQHRTTVDEKVRIASYHLDEVAQLWFTQVQEDDGTPNWAHFKELLNVRFGPPLRAVPMFELAECRRTGTVEEYSNRFQALLPRAGRLEESQRVQLFTGGLLPPLSHAVRLHHPATLAEAMSLARQHYPRVPRSRRRNLCWRYPRHRWLHYRAEPRVPTVANGEKVTCPGVLRNAPIAIEGMTFDVDLYVMPLAGYDMVLGTQWMAPLRRIAWDVVSHAFSFQHDGRDVVWTGVASSSAPTAHTVSADSPLMEGLLDEFADVFTEPTGLPPPRGREHGIILKPGSPPVVVRPYRYPVAHKDELERQCAVMMSQGIVRRSDSAFSSPVLLVKKPDGSWRFCVDYRALNALTVKDAFPIPVVDKLLDELHGARFFTKLDLRSGYHQVRMKAEDVHKTAFRTHDGLYEFLVIPFGLCNAPATFQSLMNDVLRKFLRQFVLVFFDDILIYSHTWADHLRHIRAVLTELRHHRLFVKRSKCAFGVSSVSYLGHIVSAEGVAMDPSKVQAIRDWPSPRSARAVRGFLGLAGYYRKFVHGYGETAAPLTALLKKEGFAWTEAAASAFEALKTAVTTAPVLALPDFTKIFVVECDASTHGFGAVLVQDKHPVAFFSRPVAPRHYSLTAYERELIGLVHAVRHWRPYLWGRHFKVLTDHYSLKYLLDQRLATIPQHHWVGKLLGFDFEVEYKPGAQNAVADALSRRDTDHELEEGEVLALSAPRFDFVDRLRQAQEADPALHALKDEILAGTRGAPWAVRDGLVTYNDRLYIAPSMPLLQEYIAAVHEDGHEGVQRTLHRLRRDVHFPNMRRLVQDLVRSCATCQRYKSEHLQAAGLLLPLPVPSSVWSDIGLDFVEALPKVKGKSVILSVVDRFSKYCHFIPLAHPYSAETVAQAFFTEIVRLHGMPQSMVSDRDPVFTSTFWRELMRLMGTKLHMTTAFHPQSDGQTEAANRVITMYLRCFTGDRPRQWLRWLPWAEYTYNTAYQTSLRDTPFRVVYGRDPPTLRSYEPGDTRVAAVAKSMAERAEFLQDVRFRLEQAQSVQKRYYDKLHRDVSYKVGDWVLLRLRHRPIASLDAAATGKLKPRFFGPYQVTEVINDVAVRVALPARARLHDVFHVGLLKRWIGDPPPTPPVVHNGALLPQPERAVRSRVARGVHQVLIRWQGEPASAATWDDLDDFRDRFPTFQLEDELVVEGGRDVMYGRTYVRRRRARDSRRAAERAGRELGEPADRAATSSG >Sspon.01G0022390-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:84834594:84841854:-1 gene:Sspon.01G0022390-2B transcript:Sspon.01G0022390-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKKVTLQWIANDATRRATFKKRRKGLMKKASELATLCDVDACVVVYGEGETQPEVWPDVAKAAEVLARFRAMPELDQCKKMMDMEGFLKQRIDKLKEQLHKARRENHEREVTLLLHDAIVGRRPGLAGLSVEDIAGLGWMVENRLVGVKESLERHHLAAAGAGKQQAGQQQDNVVAPPPTLQLQMPPQVSLQPLVPAYSIGGGPAGQTDGVVHHQAPPNLNSQPQPHPQVSWLMEVARAGGDLGALVYSGFGGGRGSFGGSAGAGTSTSTSGAGAADMLPHLGNFGAGFGWPDPAGAGPSSFPPIELSTLCDVDACVVVYGEGETQPEVWPDVSKVAQVLARFKAMPELDQCKKTMDMEGLLTQRIDKQKEQLHKAWRENRERETTLLLHDAIVGRRPGLAGLSVEDIAGLGWMVENRLVVVNESLERHHAAAAGAGKQQQDNVVVPPTTLQLQMPQQVSLPLVPPYSIGGPTGGQTAVVHQAPPPNPQPQPHPQASWLMELARAGGDLGALVYSGFGGGRGGCFGGSAGAGTSAGAADMLPHLGNFGAGFGWPDPAGAGPSAFPP >Sspon.03G0025330-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:93003660:93005444:-1 gene:Sspon.03G0025330-2B transcript:Sspon.03G0025330-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MELVVETTASSSSSLKPHDGGSSSNNNAAASSKKATSSKCPPHGYGHGHGHGGGNFRRWEWDMLTRFHAGYFRISLALSGQALLWRTLISDSAAASSSSGHGPLLPLLPSVAFLLLWSLALLALLALAALYAARCLLRFPAVRAEFRHHVAMNYLFAPWISCLLLLQSAPFLFLRPSAPPYHLLWWAFSLPILALDVKIYGQWFTRGRKFLSMVANPASHITVIGNLVTARAAARMGWHEGAVAIFAVGAAHYLVLFVTLYQRFLGSDSLPAMLRPVFFLFFAAPSMASLAWDAISASFDTCCKMLFFLSLFLFASLVSRPTLFKRAMRRFSVAWWAYSFPLTLLALASVEYAREVRQAAANALMLALAVLSVAVTLALVLFTALRTADLLPRDDPFDCPQLPHLL >Sspon.03G0024990-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3A:75718300:75718982:1 gene:Sspon.03G0024990-1A transcript:Sspon.03G0024990-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRDCLVTVGSPRVSPPHRPDSLINTLVAVVFIFSSSSISRRDRPPPSAVAAAPPPNSSRLQLRLAVAQRLSSPALALAVRAPHCATVLLAAKQRTAAVQLVPQSCSRGSGGAVGAVGAAPTHRRLVVGEFAVDGHRGSASARAREKKERERERAGGPA >Sspon.01G0013740-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:37878779:37883851:-1 gene:Sspon.01G0013740-1A transcript:Sspon.01G0013740-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGGEGAGSGESPPATSVEAAAGEATLHIRCANGSKFTVQTDLGATVGAFKEVVAGSCDVPAPQQRLIYKGRILKDEQTLESYGVETDHTIHLVRGVAPSAASSAPAAASPLASSTPSSGPAGGLGGLFPGLGDPGNGRPSGIFGSGFPELEQVEQHLSQNPNLMREIMNMPAMQNLMNNPDLIRNMIMSNPQMREIMDRNPDLAHVLNDPSVLRQTLEAARNPEIMREMMRNTDRAMSNIESSPEGFNMLRRMYETVQEPLLNATTMGGEGNTASNPFSALLGNQGSNQPGQGQPATNAPTTGSESTTGTPAPNTNPLPNPWSTNAGSAQGATRSSASGNARTGASGGVGGTGATGGLGGLGSPDLSSLLGGLAGNPRTGAAGGLGGLGSPDLGSMLGGSPDVSLFNQMLQNPAMMQMMQSIMSDPQTMNQLLNFNPNTRNLMESNTQMREMLQNPEFLRQLTSPETLQQLLSFQQSLLGQLGQLQPSQGGNNAGSATGTRGNPSLDTLMSMLSGLGSGGGLGVPNTSNVPPEELYATQLTQLQEMGFIDTAENIQALVATAGNVHAAVERLLGNLGQ >Sspon.03G0017930-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3A:55389190:55392006:1 gene:Sspon.03G0017930-1A transcript:Sspon.03G0017930-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNQHREEDALRGQAVKNQKVLTLHLGIIVCYIYLILSKFLPLFDLCPLKAIWDKTLEMRFLLQKAFSTSNKLPQEPIRTRFCNHDKQIEQAYDDLLNSSKKTLSSMMELQEALLESNQATKGANEIPSASNGDNDEWSEVQRLRTRYWLCNKLIAAVCPISYTIGSEVASKNISDQVAGYMRDPSRMINRMYLTNSAVGVFGKDVGEPGTAEEGHIMEGDPELIDDSEFYQQLLKEFLESCDRGASGLPQQ >Sspon.05G0002810-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:8404220:8405906:-1 gene:Sspon.05G0002810-1A transcript:Sspon.05G0002810-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cold-responsive protein kinase 1 [Source:Projected from Arabidopsis thaliana (AT1G16670) UniProtKB/Swiss-Prot;Acc:Q93YN1] MACCFMFGKKAKQAVEGDEDLHSVKVFSYNELRKATQDFSGANKIGDGGFGSVFRGMLKDGTLVAVKVLSATSRQGIREFLAELTAISDIKHENLVTLIGCCAEGTHRILVYNYLENNSLAQTLLGDTLCFGYAIAGSRYSNIRFNWRARVKIAVGVARGLAFLHEEIRPPIIHRDIKASNILLDKDLTPKISDFGLAKLLPPNATHVSTRVAGTLGYLAPEYAIRGQVTKKSDIYSYGVLLLEIVSGRCNTNTRLPSEDQFLLERTWVLYEEGRLEEIVDIDIGDDLDVEEACRFLKIGLLCTQDAMARRPNMTNVVRMLSGEKRINIDKITRPAMITDFADLKISNKEQRQGETRSPTTTPTTKSFTTTEPFSSSETPTQSS >Sspon.08G0012920-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:51874504:51875561:1 gene:Sspon.08G0012920-2B transcript:Sspon.08G0012920-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIMAGMLPGVECARRRRLRQAGAGVGAEAAGGTRRSSFCLYAAGHGGAGLGGAANSGKRRGGVMEMIHGWTLDSNAREAKERLDQKLRSKREAAIKRHHSTGSIKLSRPHRSTSGRGTAAGAADERGERSALAPAMSGVQREVYSKKGVMRRLMRWSRPRWAAAEQAECAVCLDEFRAGDVLAHLPCGHRFHWACALPWLEGTSRCPFCRAAVDAAAAAAAAAAASNN >Sspon.02G0010190-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:28263139:28266509:-1 gene:Sspon.02G0010190-1A transcript:Sspon.02G0010190-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:3beta-hydroxysteroid-dehydrogenase/decarboxylase isoform 3 [Source:Projected from Arabidopsis thaliana (AT2G43420) UniProtKB/Swiss-Prot;Acc:A9X4U2] MATAEPGPPPPPPKPACAVTFGRSTLLGCHLAAALAASGRWSAVAILDPSPTSPSPPPCPPASHLVYHHDVDLSADPERLVSALAGAAAVFHVDATTATASGSDGSFLSLHRLAVEGTRRLLAACRAAGVERVVYSGSADVVAAVARDVINADEDSAPYPDKFGNAVSELRAQVEMMVLGADGVDGMRTCVLRPSNLFGPGDSSLVRFVAGYARSPLGKFVIGSGSNMSDFTYVENVAHANICAEQALSSNAASVAGKTFFVTNDEPMETWEFMNCMLEAMGCQRPRINLPAKMLTSAALLSKMIHHRLGFQMLSTPLLHPDTIYFLSCTRTFNTSRARRLLGYHPIVSLEDGIMRTVGSFTELSDNLGLSRKQGSCGSSKADKLLGSGTAADILLWRDEKRTFSFVTVLFLVFYWFLLSDRTFVSSAAKFLLVLSLGLFIHGLLPSEVFGFTVEKVTSEHFEVSHLALRNSLMCLASAWNGSIHKLRVLAEGEDWSLLLKVFAFLYSIKLMLSFQFRILMGLVLASLFIVFIVYEQCEEEIDSLVAIASVKVEWLIDRVVGNLPASLKAYIA >Sspon.04G0009670-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:28747925:28752141:1 gene:Sspon.04G0009670-3D transcript:Sspon.04G0009670-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AMSSSSTSSCDGSGNPSPAAAAGAVSAAAAAAGPAAQWPRRQCRDVFWLVVFLLHLLVFGAALALFGLNRFRQADRFNIGRYANLTAEPWGTTGSPDPAPAPPPPSVYRSEDPSVPASELTETYWKYYGAAGAVGAALAWAWLAAAAWRKDGGKVVMRTAVHCLTAYLAVVSVLCFWGKHFFWGVALAVGAGLHFLYVMSVLDRFPFTMLVLQKAVRMVRELPDVMRIAYAFVLVMLCWMALWSFGVSGIVAFGIPNGGQWWLLQIFSVSLFWTGAVLSNTVHVIVSGMVFLVLIHGGPAAATMPPKPLLKSLQYAVTTSFGSICYGSLFTAAIRTLRWQIRGIRSKIGSNECLLCCIDFLFHIVETLVRFFNKYAYVQIAVNGQSFNRSARDAWELFQSTGIESLVAYDCSGAILLMSTILGGLITGTCMGVWTYFKQSDKAVMVGSTSMLMGMIQVGLTVVVVESAVTSIYICYAEDPLLIQRWDPEFFEQMSEALHQRLQYRSARARQILNGRLDHLPHTSSI >Sspon.05G0011080-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:31642689:31647910:-1 gene:Sspon.05G0011080-1A transcript:Sspon.05G0011080-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ultraviolet-B receptor UVR8 [Source:Projected from Arabidopsis thaliana (AT5G63860) UniProtKB/Swiss-Prot;Acc:Q9FN03] MDSVMAAAPDSPPQAVVLVSAGASHSVALLTGNVLCSWGRGEDGQLGHGDAEDRLVPTVLSGFDAPGIASVICGADHTTAYSEDELQVYSWGWGDFGRLGHGNSSDVFTPQPVKALQGLKIKQITCGDSHCLAVTMAGEVQSWGRNQNGQLGLGTTEDSLLPQKIQAFEGVCVKMIAAGAEHTAAVTEDGDLYGWGWGRYGNLGLGDRNDRLFPEKVSSVENSLFFSKHYAGEKMVLVACGWRHTITVSDSGNLYTYGWSKYGQLGHGDFEDHLIPRKLEALKDSTISQFGQVGVGNNDDHCSPVQVRFPEDQVPKAGEGTARGNGADANVPENDVKRMRVQS >Sspon.02G0050330-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:46730315:46733236:-1 gene:Sspon.02G0050330-2D transcript:Sspon.02G0050330-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rhodanese-like domain-containing protein 9, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G42220) UniProtKB/Swiss-Prot;Acc:O48529] MAVVGLSSACSPLRGSWIAVRIRQGGEPAGISLSPRRRRRRSCAAVVRAEVSFVGADEAKRLVDEEGYTVLDIRDRTQRERAYIKSSTHVPLFIENQDNDIGTIVKRQLHNNFAGLFFGLPFTKLNPDFARTVKDKFSPESKVLVVCQEGLRSAAAADALEKEGFQNIACITSGLQTVKPGTFESVGKSELQNAGKAGLVTIQGKISVVLGTVLISAYLFITFFPDQAEKLFDLAGISL >Sspon.02G0015130-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2C:42735927:42737252:-1 gene:Sspon.02G0015130-2C transcript:Sspon.02G0015130-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGLGTDDKTLIRVVVTRTEIDMQYIKAEYFKKYKKPLAEAINSETSGSYRTFLLSLVGHGH >Sspon.07G0017150-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:65981402:65986927:1 gene:Sspon.07G0017150-2B transcript:Sspon.07G0017150-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKLRIVFITSPLDRYVVVAVAVFPKRLPPPPCPVQKAKRAPENPRPGHCPWSPPHQQSVSCKIFRCYADFGRVADARKLFDEIPHPDLISFTSLMSLHLQLDHQREAISLFARVVAAGHRPDGFAVVGALSASSGAGDQMVGRAVHGLIFRLGLDREVVVGNALIDMYSQCGKFESAVKVFDRMSLKDEVTWGSMLHGYIKCAGVDSALSFFDQRSCLDALITGHVQGRQPVRALELFGRMVLEGHRPTHVTIVGVLSACADIGALDLGRVIHGYGSKCNASSNVIVSNALMDMYAKSGRIEMAFSVFQEVQSKDSFTWTTMISCCTVQGDGKKALELFQDMLRAGVVPNSVTFVSVLSACSHAGLIEEGRELFDIMRQVYKIDPLLEHYGCMIDLLGRGGLLEEAEALIADMNVEPDIVIWRSLLSACLVRGNDRLAEIAGKEIIKREPGDDGVYVLLWNMYASSNKWREAREMRQQMLTLKIFKKPGCSWIEIDGVVHEFLMCSEVDVDGDASVGQKGCKDIRRYQCEFCTVVRSKKCLIQAHMVAHHKDELDKSEIYNSNGEKIVHEEEHRCQECGSCFQKPAHLKQHMQSHSHERLFICPLEDCPISYKRKDHLNRHMLKHEGKLFSCTVDGCDRRFSMKANMQRHVKEIMRMKMLYSSKLKKHEESHVKLDYVEVLCGEPGCMKMFTNVEYLRAHNQSCHQYIQCEICGEKHLKKNIKRHLQSHDKVPSGERMKCTFEGCEHSFSNKSNLTKHIKACHDQLKPFKCQIAGCGKAFTYKHVRDNHEKSGAHVYIEGDFEEMDEQLRARPRGGRKRKALTVETLTRKRVTIPGETSSLDDGEEYLRWLLSGGDNSRETQFCCFGKVPCCFVHGLCYVSCSLIRML >Sspon.03G0010360-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:34831426:34835132:-1 gene:Sspon.03G0010360-2B transcript:Sspon.03G0010360-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MISWNDVYTVLTAMVPLYVAMFLAYGSVRWWRIFTPDQCSGINRFVAIFAVPLLSFHFISTNNPYAMNLRFLAADTLQKLLVLAGLAVWSRLLPSRLAAAAPRLDWSITLFSVSTLPNTLVMGIPLLIAMYGPYAGSLMVQVIVLQCIIWYTLLLFLFEFRAARMLIADQFPDTAAAIASLHVDPDVVSLEGGRAETEAEVAEDGRLHVTVRRSSASRRSLLLTTMVTPRPSNLTGAEIYSMSSSRQHSPRGSNFNHADFFAMVDGSGAPPPPTTSAGARASSFGAAELYSMHSSRGPTPRQSNFDERSASARSSSRPAGAVPSCHDAKELHMFVWSSSASPVSEVSGLPDFTGGAGGGVNVGAKEIRMVIPAELPPQNGSAGKENESNGASVAAAAAEAEAFGFGGGKTTAEDAEAGEAGGLTKLGSSSTMAELRVKDVDGVANGGGGGYDPDDAGGGARAQQQMPPASVMTRLILIMVWRKLIRNPNTYSSLIGLAWSLIAFRWHISMPAVVAKSISILSDAGLGMAMFSLGLFMALQPNIIACGWRATGISMGVRFFAGPAVMTAASLAIGLRGSLLRVAIVQAALPQGIVPFVFAKEYNVHPAILSTMVIFGMLIALPITLIYYILLGLKPV >Sspon.03G0022750-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:88190156:88192200:1 gene:Sspon.03G0022750-3C transcript:Sspon.03G0022750-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFFRDARLPQQRVVEGVPFPAVLVPSAPASAGAGVDEFLAAVRSERASRLEPLMRGAGALLLRGFPATTAADFDRAVDAFGYEELPYVGGAAPRTNVVGRVFTANESPPDQKIPFHHEMAQVPTFPSKLFFFCEVEPKSGGETPIVLSHYVYKRMKEKFPEFVEKLEKDGLIYSRVLGEGDDPSSPIGRGWQSTFLTKDKDVAEERAAILGMKLEWTDDGVKTIMGPIPAVKWDESRGRKIWFNSMVAAYTGWKDARNDPVKAVTFGDGSPLPADVIAACGQVLEEECVAVPWRHGDILLIDNWAVLHSRRSFEPPRRILASLCK >Sspon.05G0034270-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:5C:53087345:53087653:1 gene:Sspon.05G0034270-1C transcript:Sspon.05G0034270-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLHLQDVISIILATFAGFGVGMTGNSIVIEILRWRVRRVAPPTQQAPRHRRARAPQQQQAPPASDSVQPSSQPSAADMGVGVGVGVGQHDAMAAAGDVENPA >Sspon.03G0021570-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:65876273:65878158:-1 gene:Sspon.03G0021570-1A transcript:Sspon.03G0021570-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAITSKEKEEALQLKQGESKVFSKLFTRESSAAAPSFRVYYGVASAGSVPFLWESQPGTPKNDAISTATLQPPLTPPPSYYTAARQQQAAPPHSLSHHRRNRSSSSSSSSSAAATATGKNNKKLSTKHYISIFSAMLPKMILHRRWSSKPAASSGSSPSAASSSCSSSSWSAFSSSASSSLSLSSFRSAQSPAACSSMRSRVFAFSAADDDSEGEQAAPPMCFSVRHESFRAFRGCRVAMTVKSALASGGGHGHAAGSTAAQKDGGRYQAQDNRV >Sspon.02G0034790-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:9767715:9772150:-1 gene:Sspon.02G0034790-3D transcript:Sspon.02G0034790-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHRRVKDQESDDVSQKDIESFDRRSLSSNIATSSLSTAGGPKGKDSWKLKSVVTLALTLLTSSQAILIVWSKRAGKYEYSITTANFSVEALKCLLSLVALYRTWNSESVTEDNRLTTSFDEVRVYPIPAMLYLVKNLLQLSPVAHKNKQNITMFPCFRGYQYYIFAYVDAPAYQILKNLNIISTGVLLSEIQWAAFILLCAGCTTAQLSPSSDHVLQTPIQGWVMAIVMALLSGFAGVYTEVIIKKHPSRNINAQNFWLYIFGMLFNLVAICVQDFDAVMNKLVQNFLLVLHSCHLLQFMILTIPFECAIWCRGFFHGYSFITVLMILNHALSGIAVSMVMKYADNIVKVYSTSVAMLLTAIVSVFLFGFHLSLAFLLGS >Sspon.06G0022680-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6B:34084759:34086072:-1 gene:Sspon.06G0022680-1B transcript:Sspon.06G0022680-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding FSCIAGMDAQVAYGFHQLR >Sspon.08G0011540-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:50248570:50259606:1 gene:Sspon.08G0011540-1A transcript:Sspon.08G0011540-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSRGSWSWDVSGFEPPQPATTTAAASAPTAMPRAPPTAMVLRPSAGAGAVPVADRLDQLADSVQLAREDCLELRQEASDLLEYSNAKLGRVTRYLGFLADRTRKLDQAALETEARITPLIHEKKRLFNDLLTLKGDESLTNPKKDYEFDRVYGPHIGQGELFLDVQPFVQSALDGYNISIFAYGQSCSGKTHTLEGSSHDRGLYLRSFEELFDLSNSDTTSTAHFNFYFTACELYNDQVRDLLSESSSTVPKVRMGVQESFVELVQEKVENPLEFSAALKMALQNRSVNSPKAMDHYHSHTLQKLRNRRASIHFSARARNAELSLGNRDTIKKWKDVANDSRKELHDKEKEVLDLRQEVIGLKHSLKEANDQCTLLFNEVQKAWRVSSTLQADLKSENLMLAKKHKIEKEQNNQLRDQISHLLKVEQEQKLKMQEQDLTIQSLQTKLKSIESQLNEALNASDARSTIGSESASVISSPKITESTADSSSVTKRLEEELAKRDALIEKLHEENEKLFDRLTEKSGLGSAPQVAPKVFFFLFFVV >Sspon.05G0015340-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:53230667:53234462:-1 gene:Sspon.05G0015340-3C transcript:Sspon.05G0015340-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIRRPHSPAKQHLLRHHHPFATSSPPSSPLRHSSSSPRTHHHGGYPHPFLFFTRRPLPRFAAFFLLGSFLGLLHFLSHLPHQTPHLRPSSPNNPAAVHDDESSDADGNNNKLLVVVTPTRARAAQAYYLSRMGHTLRLVDPPLLWLVVEAGKPTPEAAAALRGTTVMHRYVGCCDKLNASDDPLRFRPHQMNAALELIENHRLDGIVYFADEEGVYSLDLFKRLRQVRRFGTWPVPVISDNRKDGVVLEGPVCKQNQVVGWHTSEHVSKLRRFHVAMSGFAFNSTMLWDPKLRSHLAWNSIRHPDTVKEGFQVTTFVEQLVEDESQMEGLPADCSQILNWHVPFGSENLAYPKGWRDATNLDVVIPLKYFGCGSAEESRVDYIGEAALEKKRLQKLFIIGYIALERRMGKEKYCMSVHLHEGQHLRPAGRESDHL >Sspon.05G0008400-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:17109731:17118336:1 gene:Sspon.05G0008400-3C transcript:Sspon.05G0008400-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKQKKPGKGKEKTERKTAKGEEKRARREARKVGEEDDIEAILRSIQKEEAKKKEVHVEESVPAPSPRSNCSLTVNPLKETELILYGGEFYNGSKVGNLLHQTKNDFWTLDLKTNQWEQILAKGCPSARSGHRTVLYKHKIVLFGGFYDTLREVRYYNDLHVFDLDHFKEIKPRPGCLWPSPRSGFQLAVYQDQARICIYMVDILKKFLLTKKKEQFMQICGLLILVKKAGMPPGPRAGFSMCVHKKRAVLFGGVVDMEVEGDVIMSMFMNELYGFQLDNHRWYPLELRKDKPAKIKARNIKRKESTNDVEANAIDNEGAEVMEDLEQAIEGQPEVNGVSNQLIKSLNITKDGSSRSIDILSDSTAQEASLEAVKPSGRINACMAVGKDMLYLYGGMMEVKDREITLDDLYSLNLSKLDEWKCIISASESEWLEISEEEDDDDDDDEADDDENEEGDASQTDEDDEESDEDAAKNVSSAVSLLKGETKTMRRKEKRARIEQIRVILGLSDSQRTPVPGESLKDFYKRTNMYWQMAAYEHTQHTGKELRKDGFDLAETRYKELKPILDELAVLEAEQKAEEEASASTSSKKDTKKPKQKSAGR >Sspon.03G0014860-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:47674706:47680438:-1 gene:Sspon.03G0014860-1A transcript:Sspon.03G0014860-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVPSAAGREMAPDSLPLGIIPTVDMSAPSGRGDLARRLVRACAERGFFKAVNHGVPPRVCARLDAAASAFFARPAQAKQAAGPPDPLGYGSRNIGANGDVGELEYLILHAEPGAVARKAEVIDTEDPSRFSVAVNEYVGAVRHLACRVLDLLGEGLGLRDPTSLSRLISAVDSDSLLRINHYPTSSGAADMSDKGIGFGEHTDPQILSLLRANDVDGLQVLLPDGHGGGDQWVQVPADPSAFFINVGDLLQALTNGRLVSIRHRVMASTTRPRLSTIYFAAPPLDARIAALLETVTAGAPRRYRTFTWAEYKKA >Sspon.02G0002740-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:9027887:9030130:-1 gene:Sspon.02G0002740-1A transcript:Sspon.02G0002740-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPHPAPCGGGGGMVDVGAVGEEVRAAKNHQLPTDPWLVDLAPCPSSTGRRTGRGDGEEGDGKGGKKKDEAREDLAWEDLARRGKKLKACRQEIMESSLFLVEIGGNDYIHPFFQNKTLDWVKPLMPLVIASIGSALEALIQLGAKTVYVPGVFPLGCSPRHLFLFRSVSTAGDYDPATGCLRWLNDPTALHNSLLRAKLAQIRRDHPGVSLVYVDYYGKIMDAVASPARYGFGERTAHRPRRVLLRRRALQRQLHRPLLGAGRRAVPRSVCLRAMYKIMARDLFGRLVENRRH >Sspon.01G0054800-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:68889900:68893540:-1 gene:Sspon.01G0054800-1C transcript:Sspon.01G0054800-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAVRDVVCFGSGSLDEVGSRLDRLGVAVSPRLVGRVIDSCGETGGGCGRRLLRFLAWCRSKHPGALGAEALDRAIGALARAGDLTAMRIAITDAEKDGRRMAPETFSTVVDALVKAGREDEAVRLFRGLERQRLLPERGGCVGSDGVWSSSLAMVQALCKRGYAREAQGVVWHHKSELSAEPMVSIVERSLLHGWCVHGNAKEARKVLNEMKSSGRARRVKEAYRILYLMREGKAGCSPDWVSYYLVVRVLYLTGRIVRGKRLVDDMLESGVLPTAKFFHGLIGVLCGTEEVDHALDMFKLMKRCELVDARIYDLLIEKLCRIGRFEIGRELWDEATKSGLVLGCSQDLLDPLKTELCFKWLLGFMDTSQEQEQDFGVLLKQGAEGEARCMTKARRLGVPTPVLYAVDPLLHTLTFEYVDGLSVKDILLGFGSDGVNEERLNDIAIQIGNAIGKLHDGGLVHGDLTTSNMIIKNSDNQLVLIDFGLSFTSTIPEDKAMEKILAAYRKASKQWCSTQNKLAQVRQRGRKRAMVG >Sspon.01G0021120-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:92859448:92862706:-1 gene:Sspon.01G0021120-1P transcript:Sspon.01G0021120-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRHGASGRPSGTDGSDFSYRMVVESRYQRVAEGRSRLARLILVQALHLVAGGALLLLSLSKGATVNKFAVLSVAAGFVAIGVGELGRRRTMAVLLRLYTSLSSIAVAFSVTCIIRSELFLKVMKQNTEAITSYEMLDAVRVALGILLQMVVIATTTRLLQNMSPPKRAS >Sspon.06G0014520-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:62968815:62970572:-1 gene:Sspon.06G0014520-2B transcript:Sspon.06G0014520-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMPPSLPEDESAGDHPGVAECLRLLEAVPAAAASSPAFRRHWPSISASLAALSASLASPAFPPAAPLLAPLAAALRALLSVSVSVSVSAGDAGDAPRLGHLHTVSLLSSTAAELAQLAADARLLASAPAPADPSSSAAASGSAAEDALVSRLRLGSAASRAAALEELATTAPALLPPSAAAAVSAVAALLDSTTPGGDLRERAVAALAALASSDAARPSLVQEAGAVVPHLCRALESGSAAEHACAALLPLTASSRDAAAAVAARGGVAALLSACAGGTPAAQAAAAGVLRNLAAFPDLLPAFRDEGGAVPLLLLQLVSLGTPRAQEQALGCLQRLTAGDGDEAQRLKVEVFQAGALACVRDFLDGIGSGGDEPPGLAPALGLLRNMASFRYIAEIASAVACGGGGSFVAHVAAALGSDRSATRTEAALALAELCGNAGNGSIGKAARRQQQQHYDAVVADAAVPRLVWMLEAKAAGERDAAARALAAVLAASSACRKAFRKDERGVVNAVQLLGDPSGSRVEERRFPVWVLLAVAQSRRCRKQMVAAGACGFLQGLVAAEVEGAKRLAECLGKGKMLGVFPRT >Sspon.07G0008550-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:23710361:23714249:1 gene:Sspon.07G0008550-3C transcript:Sspon.07G0008550-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSAVASSFPSRGHAAPFGGSRALPPPPSTRRSTSLRRPRVSALGGGLEGEAGKILDPRATPFQILGLDATAAFYSAAQLKAAFRARVKEFHPDVCKGPENADLIMRRVIEAYQMLSGNQEMMVERNNVDPFDEPECEARDIFVNELLCVGTGCPYSCVKRAPHVFSFSDDIGTARATSQGNGEDGLVQLAVGQCPRKCIYYVTPCQRTILEDVLASVLMPPYDLAEAAVLDSLLSKAKFENNRYRKPKRGAKSSSDYVDWM >Sspon.01G0033700-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:115465727:115468408:1 gene:Sspon.01G0033700-3D transcript:Sspon.01G0033700-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSSRSLLSSLLFASSSPNFRSSASVSSSPSPSRTTAVPMIHDNTSRASTACHYSPSLVAEEQLHGSKDTLTLKGEKALLELLLGMALDQHVDGSKLTSQEAEDTDFESYLREATSRVLYQPAFTEEDDSTSESSSASTAKTPGSLDLGKLTKQVELPAEESGTSDTQLDVPQPYRVDPSHSYEGLLNNDQVFIRSTRLLERRSKKRNAHRALSNDVPCSGVSSKRKEKSKKFGRVLDPDEPFRLFLRDRETTEFLTAKEEKQMFKEAQRKLQAQCGREPTIAEWAQAVGMSCRELQSCVRTGRRCREKMARSNFRLVIHVARKYEGHGLDIQDLVQDGCCGLMKTFEKFNPSKGCRFPTYAYWWIRQSIKKSIFKNSRLIRLPESVFALLRKVGKARMECIMDGEQPTNENVARRAGITIEKLAKLRVKTRKPRSMQDRVWSDDGVTYQASNITLHPLPLMDGLASACVQEVTEDPNIEPPEVSVDRLMMRQQVRSFLAGMLSPREKEIIEHRFGIHDGQPKTLHVIGDMYGLSKERIRQVQNKALDKLKNSISAQGFHVYFDLLT >Sspon.01G0054960-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1C:71818220:71818579:1 gene:Sspon.01G0054960-1C transcript:Sspon.01G0054960-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DIYQKKMPEHVRVPIAEAEQQMNAVDAGMDPVQRLQRDLERAVPRAGAEVPRVQLIQHPGDEGRVPQDVRRTRPSCKRQGEWEMPSNDW >Sspon.07G0030170-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:17860239:17862612:-1 gene:Sspon.07G0030170-2D transcript:Sspon.07G0030170-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSAPHGSDFRRALPNQGTVEYPSFKLVIVGDGGTGKTTFVKRHLTGEFEKKYEPTIGVEVHPLDFTTNCGKIRFYCWDTAGQEKFGGLRDGYYIHGQCAIIMFDVTSRLTYKNVPTWHRDLCRVCENIPIVLCGNKVDVKNRQVKAKQVTFHRKKNLQYYEISAKSNYNFEKPFLYLARKLAGDPNLHFVEAVALKPPEVTIDMAMQQQHEAELAAAAAQPLPDDDDDLIE >Sspon.08G0011500-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:50024119:50028288:1 gene:Sspon.08G0011500-1A transcript:Sspon.08G0011500-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGIVVSALVLFSWVLFLSCIFAVKVLHNARVAIFSAVDGLSKVRGVNLGGWLVIEGWIKPSLFDGIPNGDMLDGTQVQIRSVVLNKYVSAANGGGSNVTVDRDVASTWETFRLWRVSDNEFQLRCLGGQFLTSNSEDGLILATAKHPLSTETFFIERNAGRVHIRLSNGGYVQATNDHLLISTYQFQPGWDNNLATFELVIVVNNLHGDYQLANGYGYDKAKMVLEEHRRSFITANDFDFLSRNGINTVRIPVGWWITQDPYPPSPFVGGSLAALDLAFSWAQSYDLKCIIDLHAAPGSQNGMEHSASRDGSVDWPSPEYISQTLEVIDFLATRYGGHPSLLGIELLNEPSAVTVPLDVLVSYYMRGYQIVRNHSSTAYVILCQRIGNADPIELFQAGIGLSNVVVDLHYYNLFDPYFATMNSTQNIEFIYKMRAPQLQDLKAMNGPLVFIGEWVNEWDVQNASQYEYQKFGRAQLDVYANATFGWSYWTIKNDMIHWDFEWNIQNKYLLFSKWFIHGEDTKLPVAASIRMGNSPDHYAKITWII >Sspon.08G0011250-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:46918359:46923427:1 gene:Sspon.08G0011250-3C transcript:Sspon.08G0011250-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGSFLKVLANNFDVLAGPLISLAYPLYASVRAIETKNPVDDQQWLTYWVLYSFITLFELTFAPIIEWLPFWSYAKLFFNCWLVLPWFNGAAYVYDHFVRPMFVNRQIVNIWYVPRNEKLGKSDDVLSAAERYIEQNGPEAFEKLISKSTKSSKSRNTKRSILEEAEAENRAKAERESWGENPFYDKNYRY >Sspon.05G0011180-3C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5C:23438969:23442831:1 gene:Sspon.05G0011180-3C transcript:Sspon.05G0011180-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRNRIGGTRRQPAWLSSVDTVVSGWWLPSEKQKKYRKKLPRAVVPLPLLASPCGGQAASGPVHLQAPATDPSSRGPALSSLLSTSTPGRESLLAVGKASLKSRRFVAIADAGFEDAADGASDFGDTMSLVHKQKAVEETVEMEEEEQGFVWKSNLLPYKDEDDFVLPGSSPETGFHAWDSQHGWIWQSSGDSRHIRLLDDDLELRWWLCFQIVRFGNRCKDPQWHNLDRYFVKLESESAPQKQLKETAIAEMQKLMNLVQRTTDLYHELHALDRFEQEYRSRLNGKGNTDRFEKGDNIQIVRLELKTQSSYVKSLKKRSLWSKTLEEVSRSGYVPANSRDALYQGLPPRIKSALPNKLRTTSVPQELTVDQIRARMEKTLKWLVPMAINTTWTERVGKRPGQADPIETLYHADKARTRIAF >Sspon.01G0005170-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:11816393:11819621:-1 gene:Sspon.01G0005170-2B transcript:Sspon.01G0005170-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTSSLVVVALAAVVLFAAQYASLADASSSSPRVIIVGAGMSGISAGKQLWESGVQDLLILEATERVGGRMHKHNFGGLNVEIGANWVEGLGGDNLNPIWPIVNNTLKLRTFYSDFDSVVGCVYMENGGGLYDEEYVQKKMDRAYEVQEQGANLTKKMHPSGQDDISLLAMQRLFNHQPNGPATPVDMAVDYFIYDYEFAEPPRVTSLQNTQPTPTNADFGEDNYFVADQRGFESIIHSIGSSYLSTDGNGKLSDRRILLNKVVRQIAYNEQGVVVKTEDGSSYSADYVVVSTSLGVLQTDLIKFTPQLPV >Sspon.03G0004220-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:28969810:28972502:-1 gene:Sspon.03G0004220-3C transcript:Sspon.03G0004220-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKHRIVSLVAALLVLLALAVSSTRNAQEESMALAGGIKDVPANENDLHLQELARFAVDEHNKKANALLGYEKLVKAKTQVVAGTMYYLTVEVKDGEVKKLYEAKVWEKPWENFKELQEFKPVEEGASA >Sspon.03G0010770-3C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3C:44560243:44565906:-1 gene:Sspon.03G0010770-3C transcript:Sspon.03G0010770-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding KMLPAFAACSNELITRWVGYVESDGAKEIDVWPEFQNLTGDVISRTAFGSSFSEGRRIFEIQCEQVQNLVKLMNSLYLPGFRFLPTQLNRRIKSNARELQALLRGIVGKRERAMKEGRANNDDLLGLMMESNIAETKQAGNSKPIMTMDDIIGELKLFYFAGMDTTAVLLTWTMVVLSIHPEWQDRAREEVQHVFGNNQPDLDGIQQLKIVSATSSPTCALLTRLFRKWKSDMDTRSFLSLFGPCLQVTMILYEVLRLYPPVVQLDRQTYTEVELGGVTYPPGVLLSLPIVFIHHDKDVWGEDADEFRPERFKDGISRASKDSPAFFPFGWGPRICVGQTFALVEAKMALSSILQHFSFGLSQSYTHAPFPVSTLQPEHGAHIMVKKLYLVKKRLDLVKDSVARSHGKKSSLERCRNRDNRYKRGKQCTGALVAAWGFSEVSTMTQLEEVWPCDGSMTQGQVEVQVGAEACNAHGELQCQITGHFAAFHCTCALVSRRRFRHLVRLLSSARPVDTAAAARAWGLPAAGSARRGSAEYALARAQLRDASAKQWAWPEAGRRRSSAVLWSTCTPPTPSIPYFAGICIAPLTAWMAPHTFA >Sspon.02G0013220-4D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2D:40687836:40689120:1 gene:Sspon.02G0013220-4D transcript:Sspon.02G0013220-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKHSAAMCSLLVLVLLCLGSQLAQSQVLFQGFNWESWKKQGGWYNYLRGRVDDIAATGATHVWLPPPSHSVAPQGYMPGRLYDLDASKYGTHGELKSLIAAFHARGVQCVADVVINHRCADYKDSRGIYCVFEGGTPDSRLDWGPDMICSDDTQYSNGRGHRDTGADFGAAPDIDHLNPRVQEELSGWLNWLKSDLGFDGWRLDFAKGYSAAVAKVYVDSTAPTFVVAEIWSSLRYDGNGEPSSNQDADRQELVNWAQAVGGPAAAFDFTTKGVLQAAVQGELWRMKDGNGKAPGMIGWLPEKAVTFVDNHDTGSTQNSWPFPSDKVMQGYAYILTHPGTPCIFYDHAFDWNLKQEISTLSAVRSRNGIHPGSKLNILAADGDLYLAKIDDKVIVKIGS >Sspon.08G0006290-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:16798973:16808124:-1 gene:Sspon.08G0006290-2B transcript:Sspon.08G0006290-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMIDEPLYPIAVLIDELKNEDIQLRLNSIRKLSTIARALGEERTRKELIPFLSENNDDEDEVLLAMAEELGVFIPYVGGVEHAHVLLPPLETLCTVEETCVRDKAVESLCRIGAQMKESDIVDWFAPVVKRLAAGEWFTARVSSCGLFHIAYPSAPEQLKAELRTIYGQLCQDDMPMVRRAAASNLGKFASTVEQSHLKTEIMSIFDDLTQDDQDSVRLLAVEGCAALGKLLEPQDCAAHILPVIVNFSQDKSWRVRYMVANQLYELCEAVGTEPTRGDLVSAYVRLLCDNEAEVRIAAAGKVTKFCKILDPQIAIEHILPCVKELSSDSSQHVRSALASVIMGMAPVLGKDATIEQLLPIFLSLLKDEFPDVRLNIISKLDQVNQVIGIDLLSQSLLPAIVELAEDRHWRVRLAIIEYIPLLASQLGVGFFDDKLGALCMQWLEDKVFSIRDAAANNLKRLAEEFGPEWAMQHIIPQVLEKINNPHYLYRMTTLQAISLLAPVMGPDITCQQLLPVVIASSKDRVPNMKFNVAKVLQSLVPILDQSVMEKAVKPCLAELSEDPDVDVRYYAHQALRACDQMVISS >Sspon.07G0019570-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:70726211:70729973:-1 gene:Sspon.07G0019570-4D transcript:Sspon.07G0019570-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AKMIIPVRCFTCFQVIGNKWDLYLDLLQADYSARDALDALELFRYCCRRMLMTHVDLIEKLLNFIDVSIFVLSPGEDR >Sspon.06G0004990-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:16630893:16631792:1 gene:Sspon.06G0004990-1P transcript:Sspon.06G0004990-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSERDAHDGGDTTGRSAAAAASIDSFSQLPFIRPPAAAREKQKQQPPPRSSGTSGIRLFGVDVPPDAAAPGPASPADVEEDGSVNESTANNTAAEPAAGSDSGGGGGARKFECHYCCRNFPTSQALGGHQNAHKRERQHAKRAQFQTAMAMHHSQYYYHPDPAHLYPAFAAYRHNHRFAAAPAPPPPPHYPSWAGASRYYSGPGSISQPINGSPVTTSSGLWQQVPTGAGIGLPGTPAPLAARRQEQPVQPLPMMLGAEEPAVVVRGAGSAPFSPSTSSSSSSASPHKRRPAPPESKEND >Sspon.02G0011680-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2B:29566852:29568774:1 gene:Sspon.02G0011680-2B transcript:Sspon.02G0011680-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAAVGEATPPPDLPPSRVSVSSPSPYPRRRCALASRFREPAAPRRHAWVSLQGRLIGAEEASSAASAAPGLPPDEAVAWELFSPLHRVLLVATVAAASSRSHAARRIEQLQRSIHLRDEVLESMQQKLDDLLVEMSSLQQQYVKCDSYISDEREMNEIAGSKKIGDGEGSRCCVCTKLEVAATPQKAKDLRGTDDARSDIVDRSSLSFMDHEERRMSDLSDFCWSVVSSVDNQINGDNQLSFLAADQQLYNLQKECEEKDATIKDLAAAAHASSTADAKRIAELQEVLKRKNMVISKLKKDMAALKHMVVELSRAKRASSAISPICTDLPVMSNNVLYDMSSSSSSSDSESPVALREYLDEHLVDSTPGDGDSIGSCEVSAAKASLPSKTSFVHKLRSTSPLKEIRINPKVETNSFGRQKHPTSSNGDFKRTRRQSQQDPRNKATRRWV >Sspon.02G0048100-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:13621160:13626993:-1 gene:Sspon.02G0048100-2D transcript:Sspon.02G0048100-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCSQDSHEESHQRRENGEEAEKTADYGSALSRKEATEELLGCVVHSEEEAYRLYCDYGHRIGFSVRKGKQSYFIGTKNIRTKDYYCSKEGLKYDEPVTEANFNRPDTRTNCKAMIRFRVDEKGRWTVIRFVPVHNHQLAKPGERHMLRSAKSLAVGKSGVIDPSASTESHPINGFSDMTGDVAENSGYTIRECYNQVGMQSITVIEAGDSQSLVSYFKRRTNEEGMFYWDVQVDQEGRMTNFFYRDGKSRNDYGCFGDAIIFDTTYRTNKYNLICAPFVGVDHHWQNVVFGCAFLLDESVASYAWVFKSFLESMGGRSPKSIFTDQDEAIMQAVEQVFPNTQHCFSYWHILKNAQSHLGSLNMSQAFQSMFTKCMQGSDSEEDFKESWTTMIQEYKLQDNSWLIDLYTFHRKWCSAFNKDTFDGGINSSQWGEISSNILNGISDENTSLTRFALLLEKVVKDLRRNESEEDFRCSQTAPVRAIKHSTVLKQAAESYTHRIYKLFEAEFLDGCGATSCHETSSGGNLLRFGITMQGRGSKVWDVVLDTSTMEISCGCRKFERMGLLCSHALKVFTLQNVDTIPEKYVLKRWTKDARRSMYTLAQDDSNQQECTEAELAYRNRAMQYAYNLIIKSQEVEESRKIFWDSLETGEKALEVFFEMRNMRTQAAKDANKNEKKKKKTSKGPNPKKSKQAPGVSSTGLELIVQTNEHQFQSLQDAQGNATIGRPYYYQVQQTFPNAPIQPNQMYMHPNMHTVPLCTPQLGIMDVGATKMICSLNLFALAFLMFSRICLHLQYAPIQLLVVPRTSELLKDIKVQVPLDVIGKPYSGIVSCDNSALSSVDPFM >Sspon.01G0036450-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:18507733:18514754:-1 gene:Sspon.01G0036450-1B transcript:Sspon.01G0036450-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSPAIRRPHLLNRRVREEESPSPPPAAPAHSPSPRGFAVPDRPATGTPAPWNSSSLLARISTSKRTDRAGDSDQIRPVHVAEFPQVVRNAQASLLQKNFSGKNMLAGGIDKETSLAWMICGNELFIWSYLASVAKDCIVLDIPSSLIGYNDVKPVLGIQWTVCIMRWHNSGASTRNSGEMLHRKSSTGVILCNKRTQAIAYWPDIYAEFNRSLVLSSGYGEVSVCDAACDCLRFNSLIAAAVPGCIHECIAIASEPAGALWLFQCSPEGIRQRKVHADTLGDGGADHSQKSNGGRSLVWLPSNVSSEGSDRKFFLLTNNEVQCWSISFLQDINVQKLGSHGIVGTDGDVGIKKDIAGQKNIWLLDMQIDEHGKEFSILVATLCKDRVSGSNYTQYSLLTMLYKPNQKFSSEDNVAKVERFLEKKAPSQVIIPKARVEDDEFLFSMRLKTGGKPSGSVIILSGDGTATVAMYWRGSTRLYQFDLPWDAGKVLDASIIPSAEDRDEGAWVVLTEKAGVWAIPEKAVLVGGVEPPERSLSRKGSCNETIAEEKRRSQGFSASVVPRRVSSEAWSAGERQRPALTGIAQQSVVDEESEMLLNRLFHDFIISSAVNEALQKLRAAGAFEKEGEMNIFVRTSKSIVNTLAKHWTTTREAEFLASTIVSSLIEKQQKHEKFLQFLVLSKCHEELASKQRAAMLTVMEHGEKLSGMVHLRELQNALIQQHSSTHLSPQSKTQGIGALWNLIQLVGEKARRNTVLLMDRDNAEVFYSRVSDIEDLFYCLSHELQYIISREEHPSVQMQRALELANACITLVQAASHYRKDHKEWYPSPEGLITWNSQPVVRSGIWSLASLIMELLGESGAADMSMKSSLWSQLEGLTDILLEAYIGLLTAQFERGQEHGVLVQEYCERRDELLRSLYNLAKQIVEVKYQESKDGKDNPDLKESIFRKAISPILATAKRHEGLLRSLMHDSVGPHGGFSFFVFKELVNRGDYSKLLRLGEEFQEELASFLKERSDLLWLHEICLNQFSSASETLHTYALRGSPDGDASFTTSRKPLSFVERRRLLYLSKIAATAGKDIGYEVKVARIEADMWILKLQEEIVQHDPEYAQVKYTNTLLGPSELIEMCLRRDRELSLKAFEVFALTSSSFRSSNRGLLEACWMNATDQDDWVKLSEASTLEGWSDEVIEESLQATVLFKASRLCYSPDAVVYDGTFEDVLPVKKEDVHLRGLESKCLSVEEVLMQHKDFPDAGKLMMTAVIMGKELTFTATEPVEMD >Sspon.02G0049190-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2C:35092145:35092396:-1 gene:Sspon.02G0049190-1C transcript:Sspon.02G0049190-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKCLFSTTPEVGNQTEANGGHSGDRTLDRTRSLCDRTRPVSVQLLRVSRLSDRTHSASSHCRPDASGRPGSLLDSNRTRALWRP >Sspon.06G0020290-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6B:8441777:8442958:1 gene:Sspon.06G0020290-1B transcript:Sspon.06G0020290-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAMTMTKMGRASLPRAALLGHRALPSGSIVATRGGRVASSGGRRVGPNAAAGGKRHADGSPVDLVSRGGRSFFFLLFVFCPYHRCVRRACSLPVPVAVVLHYAVL >Sspon.04G0013960-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:51013839:51020371:1 gene:Sspon.04G0013960-1A transcript:Sspon.04G0013960-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:At3g24315 [Source:Projected from Arabidopsis thaliana (AT3G24315) UniProtKB/TrEMBL;Acc:Q1LYX4] MDEVTQAVEDLKKEWSQAVSQLEESIAAIESCGKTGKGTEEANSLPRLNGSAQDALQLLKSLQFRLDLLAQQLPTFDEVQSGQATLESWDEQYKKLRASLRSANLQAKDNIRKAAQEERELLLGGGEESTIRRRNLQTKAGMTSAAESITESLRRSRQMMVQEVERSASTLATFDESTSVLRKAEGEYQGHRSLLMRTRGLLSTMQRQDVLDRIILTIGFIIFSLAVLYVVSRRIGLLTLQRKLANAIRSGSLSAEDIVAKAQHGPAPANVPAPIYDEL >Sspon.04G0010070-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:29504876:29505865:-1 gene:Sspon.04G0010070-1P transcript:Sspon.04G0010070-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSATTAAAGDDDEVVHDFAPLLLVYKSGRLERPLAMPRVPPGRDAATGVVSKDVALSPHFFVRLYLPSAAATAERKLPVVVYFHGGGFVIGSAGSAAYHRCLNDLAAACPAVAVSVDYRLAPEHPVPAAYEDSLAALKWALSSSSVTAEAGADPWLAAHGDPARVFLAGDSAGGNICHHLAMHPDVKRAGLKGIVLIHPWFWGKDPIGGEEPRSPASKSKQQQQQKGLWEFVCPGAVDGVDDPRMNPTAPGAPGLENLASRKVMVCVAEGDVLRWRGKLYAEAAARARKDVELFESQGVGHVFYLLEPAQEKARELLDRIAAFVSTE >Sspon.02G0020910-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:68053345:68057038:-1 gene:Sspon.02G0020910-1A transcript:Sspon.02G0020910-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNHGEKTIIHTLRDALLHFAVKSRKLASPLLEPFGRASQPATVDDDELTALKSKLRRIRATLRDAESLSVTDRSVQLWLAELGDLEHRAEDVVEELEYESRRSAQLEELKQDLLYAATTGKRRREVALLFAPAPARRLRRKIDGIWARYEEIASDRKKLRLRPGDGAARPAASPLVPSSALPRTERLHGRQRDIERVAALVRGEPDGGRSYAVVPIVGMAGVGKTALMQHVCGMEAVKSSFQLIHWIWVSQEFDVVSVTRKIVEAVTRSRPECGELSTLHELIVEHLAGKRCLLVLDDVWDDNPNHWNSLTAPLSRCAPGSTVAVTTRKQQGCQDGEHQGVSSQMLMKTAGVYASDEALQNRDANVDQELVEIGEKIAKKCQGLPLAAEAAGSTLSTSTNWKHWDEVLNNDLWADNEVKNLVLPVLKVSYDHLSMPLKRSFAFCSLFPKGFVFDKDLLVQLWIAQGFVDAEGDCSLEAIANGYFNDLVSRCFFHPSPSHAISEGKFVMHDLYQELAQFVSGNECRMIQLPNSMKIDESPRHLSFVDEESHSVEEINLNSFCGHRDLRTFLFIARTEQNHEEMAFRTKIPSELITDFECLRALDLSNTNIMELPKSIGSLIHLRFLGLDNTAIQMLPESICALFHLQTIKLNHCSSLTQLPQGIKLLLNLRCLEIPHSDIKMPSGIGELTRLQRLPFFAIGNEPAGCSIADLNELVNLEGHLHITGLNNLDVAQASTANLWNKLGIQKLTLEWSELTNFNQSLCDPQGNAVSCMSDSQHQGISATGDQVLKCLKPHSNLEELSIKGYNGSFSSSWMAALDRLASIELKDCHNCKEVPPLGCLPSLKHILIQSLPSVKLIGPEFFGNVGDTTSNSRSRICNVFPSLESLKFRNMEAWEEWLGVKSEHFPNLKYFSIARCSKLKLLPKFTSEPKLKIQYCDLLQMPLCQKYRNKVKHIPAQNEISYTCIAEGCMQCKDVINATQS >Sspon.08G0002020-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:6183463:6184182:-1 gene:Sspon.08G0002020-1A transcript:Sspon.08G0002020-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQQPEPEPVKVITAFGSPFAHRVEVALALKGVPYELLLEDLSAKSDLLLTHNPIHRSVPVLLHGGRAVCESLLIVEYVDEAFSSHGDDATPRILPADPYARATARFWADFIATKCLKPLWLSMWTDGEAQAGFAGETKASLAVLDAELRGHGKRFFGGDDLGFVDLAACTLAHWLGVLEEVAGVRLVADGEYPALRRWAKEYTSHEVVRRFLPDRDQLVAFFAANQERYRSMVKAAAQ >Sspon.08G0008690-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:13695731:13698521:-1 gene:Sspon.08G0008690-1P transcript:Sspon.08G0008690-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTHPVLLFLLVLACTGAASGFYLPGVAPADFRKNDLLAVKVNQLSSIMTQLPYSYYSLPFCRPDTIINSAENLGQVLRGDRIENSPYVFEMMEAKLCQITCKIVLTEQGANDIKEKIDDEYRVNMILDNLPMVVPITMFDRDAPPFYLHGVHRQCTFNHLFCQHKLDGDWKGNATRLKTCNPHSGHLVGNSEGPQQIEANKEIIFTYDVNFEESDIKWASRWDTYLRTTDGHWFPIVNSLTTVLFLSVMVAMIMLRTLYRDISKYNQLESQEEAQEESGWKLLHGDVFRPPVNADLLCVYVGTGVQFFGTLLVTLLIAILGLLSPSNRGGFMTAMLLLWVFMGPFAGYSTARLYKMFGGMEWKKVAIRTVLIFPGVVFLIFFALNMLLWGVKSSGAVPFTTMFALVFLWLGISMPLIFIGSYLGFKKPAIEDPVRTNKIPRPIPQQPWYMNPAVSMLIGGILPFGAVFMELFSILTIIWMHQFYYIFGFLFLVFVILIVTCAEITIVLCYFQLCSEDYQWWWRSYLTPGSLALYLFLYATFYFFTKMQITKAVPSVLYFGYMLIASYAFFVLTGTIGFYACFWFTRHIYSSVKID >Sspon.05G0005570-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:17946661:17948940:1 gene:Sspon.05G0005570-1A transcript:Sspon.05G0005570-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPVTAPTHPPPPSESTTTTRPQQQQQQPPPPPPPPSGVESEVLPKKRKLEEEGFQRSPYYTIRETVANLRGRFLQVPAENPNILEFHSAIAAAVCQGTDSEKKDAAVEILNEMKDVIELSKKTRLDLSAAAEPVKPFDKHAARAPEDKRAEKVLSEEKSLGPTTSLAGNFVHSTGGGVPLKPDNSDTAAHGLPVKTKNRDRPSKITGYTKQQGALPQGSYVIGGSPIGWNFLMWPGSKAVYYGLTKAEWLARQSAK >Sspon.03G0002540-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:15463941:15465178:1 gene:Sspon.03G0002540-2B transcript:Sspon.03G0002540-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTLHTIQSCPSLRRSSLFRCALLGRKRSFISASGSEDAETGSPPPLGGDKRQQEVLAQIAMLQAQKVRITDFLDERSAYLTKFARDADSEFDLIGQNAMKELDAVGDQILERLDSKMQAFEETAEAQRQEIEMNDKVLEDFEDWIEKEKNEGMFFQSLGKVKPRNKKEIKVKAKVEAQKVKEIAKESAGSKTRMNIYLGLMGILGITIANAIFATPEVEWRKVAALGLIFIGLVAQVIYEQDFSPPKAGKTEKKEE >Sspon.05G0017460-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:69192302:69196184:1 gene:Sspon.05G0017460-4D transcript:Sspon.05G0017460-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRSSKACWISLLLALAAVALPARAEEPAAEGAAEAVLTLDVDTFDEAVAKHPFMVVEFYAPWCGHCKKLAPEYENAAKALSKHDPPIVLAKVDANEEKNRPLATKYEIQGFPTLKIFRNQGKNIQEYKGPREADGIVDYLKKQVGPASKELKSPEDVATHFDDKKIYIVGVFTEFSGPEFTNFMEVAEKLRSDYDFGHTLHANHLPRGDAAVERPLVRLLKPFDELVVDSKDFDVAALEKFIDASSTPRVVTFDKNPDNHPYLMKFFQSTAPKAMLFLNFSTGPFDSFKSVYSAAAEEFQNKEIKFLIGDIEASQGAFQYFGLKEDQTPLILIQDGDSKKFLKDHVEADQIVSWLKEYFDGKLTPFKKSEPIPEVNNEPVKVVVADNIHDFVFKSGKNVLIEFYAPWCGHCKKLAPVLEEAATTLLSDEEVVIAKMDATANDVPSEFEVQGYPTMYFVTPSGKVTAYDSGRTADDIVDFIKKSKETAGAAQATTTSEKAADAAEKVEPVKDEL >Sspon.03G0002300-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:20775639:20777069:1 gene:Sspon.03G0002300-3C transcript:Sspon.03G0002300-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ADRRVEMVALSKLALVSAVALALLGWAYQATRPPPPAILGAPGGPLITSPRIRLKDGRHLAYREEGVRRENARFRIIFFHGFSSTKESGFPVSQELVEELGIYMLFFDRAGYGDSDANPKRSLKSDATDVEELADALQLGDKFYVVGCSMGGYPAWSCLNYIPHRLAGVALAAPAVNYWWPLPANLSRTAYGKLDVRDRRTFWIAHHAPSLLHAWLAQKWFRVSPIVRATQQGTYESLCRDATILFGTWEFDPTEIKNPFPDGEGVVSIWQGYQDKIVQVEIQRHVAQKLPWVRYHEHPEAGHALPDMDGVGDKIIWELLLGDGKATSARMPELNAGK >Sspon.04G0028460-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:71051797:71054435:-1 gene:Sspon.04G0028460-2D transcript:Sspon.04G0028460-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MWPAGAEHPMGMGHGPAAPSPRPSTTAPHSESAWQSPVPYLFGGLAAMLGLIALSLLALACSYWKLSGSILAAAGEPDDEDDDLERHAGGAGAGSRSRAGDGKAAAAAGEHWREHVVVIMAGDERPTFLATPASGRGAPDDARGVVVSVACGGGSGSEDGDEGRSWPARAADGDGTLSRSEQKQETRWANLRGNYELQRGSIEVPKERQIGQQGRPFGSLVAPYCCALTGLACDSFVMASWLSRQLGSPAAARGNGSSRPAPANASCNRRLRPCSDPKFGSPLCSKPSRCLFKRLASTARKSHLPRPACDWPPDNKMQSPLLTSSRSSGAAECLAHEMSAGLLAGVGAQLDRERRCSFGTPNFSCDEMRLPAKHALVPFSRARTARAGG >Sspon.01G0031400-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1A:107801561:107802071:-1 gene:Sspon.01G0031400-1A transcript:Sspon.01G0031400-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding NSAAGESVAGVSVAGERLRRGRVRREPSTSSTVTAPPQAEQLLHGRRAGSAPWPLLSLSHGGCGGRRRRLGTVWGRGAAVRDADAAGWVSSRGRGHGGHGGRRRRLGAVRGRGAAVQDDDATGWASSWTLARRCTGPTSPHWSLSSPHGGRDSAGHGSAGRGFELLRDL >Sspon.04G0008120-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:16978170:16979019:-1 gene:Sspon.04G0008120-1P transcript:Sspon.04G0008120-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding METASTSPDTMEGARPPGLIITVEKNPSEARLLQLGVKSWPKWGCPPGRFPLKFDAALTCYLVKGRVRAAVKGSRECVEFGAGDLVVFPKGLSCTWDVVVGVDKHYNFDPS >Sspon.01G0012880-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:41786609:41787815:1 gene:Sspon.01G0012880-2B transcript:Sspon.01G0012880-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Allene oxide cyclase, Biosynthesis of jasmonic acid, Defence respons [Source: Projected from Oryza sativa (Os03g0438100)] LIAMAAALRSPASVRVVSAPSSAAGLAKARQASRVAMGGAGQQQQHPRGRRGAAIRASLFSPKPAAAKDARPTKVQELYVYEINERDRESPAYLRLSAKQTENALGDLVPFTNKVYNGSLDKRLGITAGICVLIQHVPDRNGDRYEAIHSFYFGDYGHISVQGPYLTYEESYLAVTGGSGVFEGVYGQVKLNQIVFPFKIFYTFYLKGIPDLPRDLLCTPVPPSPTVEPTPAAKAAAPHASISNYTN >Sspon.05G0023690-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:8252627:8253112:-1 gene:Sspon.05G0023690-1B transcript:Sspon.05G0023690-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGGGAMKPSSMFYVHEADVVHIHHFLEECSLCAKSLSGDIFMYRSPPRPFLLFCVRISKTDACTDRRLMVCLCRGDTPFCSEECRQQQIEVDRAKHRRKKRAAAHALTARSREHRHHHHHHHHHHHHQHHQQPQPRKAGMDANHHPWVDAGFARAPALRV >Sspon.01G0046400-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:87142317:87143006:-1 gene:Sspon.01G0046400-2C transcript:Sspon.01G0046400-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSANVGESTSGGSSSDAAGGSFECNICFELPQEPIVTLCGHLFCWPCLYRWLHVHAHSPECPVCKALVEEDKLVPLYGRGKDRVDPRSKNMPEGEIPHRPTGQRPATAPQADANNFANANANANPWFMGTGVPLANARWGNYAFSAAFGGLFPMLSFQVHGFPDAAAYAQPAGFHYGYGHGHGFHGGHMGHAHGVHRQAPLGQQQQADIYLKALLVMIGILVIASLLAF >Sspon.05G0000400-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:2027612:2029274:1 gene:Sspon.05G0000400-1A transcript:Sspon.05G0000400-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GGDGGHHADGAAQGGGGRLPGPPRRRRPGQAGAHARRPRRARRRGGRATRRRRRRPPGPRRRARLPQHRRGGDHVPGGDPRARRGGAAEPGLHAGGVRVLPLRLGGAPPRHQRGGQRGGAGGGRQARARPRRRHPPRRGRPGPPRRPPGQRERNQEQRRRRGGLSQRQRPIGRGPVPAHLRHDEPAQGRAADAAQPGGVGAEHPVRVPAVRVGRHGGGAAAVPRARAPLRAAELAGVGRVRGAAGVGAVLGVHVLGRHACVGRHVVHGGADDPPDHPGPSRVAAGGGGISGAAVHPQLQRVAGAGDPGAARGGVRRAGAGGVRDDGGVAPDDVEPAAGGRGPEARVGGGRRRAGAGGAGRGRAARGGGEPPGRCASAGDNVTAGYKGNPEANEAAFRFGWFHTGDIGVVDEEGYVRLVGRIKELINRGGEKISPIEVDAVLLGAPGVAQAVSFGVPDDKYGEEINCAVIPRDGSALREEEVLAHCRRNLASFKVPKKVFITDDLPKTATGKIQRRIVAQHFVQPASA >Sspon.07G0008320-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:22787177:22790949:-1 gene:Sspon.07G0008320-1A transcript:Sspon.07G0008320-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:BI1-like protein [Source:Projected from Arabidopsis thaliana (AT4G15470) UniProtKB/Swiss-Prot;Acc:Q94A20] MASVAEMQPLAPAGYRRAPEMKEKVEASAVDLEAGTGETLYPGISRGESALRWGFVRKVYGILAAQLLLTTAVSALTVLHPTLNATLSDSAGLALVLAVLPFILMIPLYHYQHKHPHNFVFLGLFTLCLSFSIGVACANTQGKIVLEALVLTAGVVVSLTAYAFWASKKGKEFGYLGPILSSALTILVLTSFLQIFFPLGPVSVGLFGGLGALVFAGFILYDTENLIRRHTYDEYIWASVGLYLDILNLFLSILNMLRSMQSDN >Sspon.04G0028450-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:68007709:68009659:-1 gene:Sspon.04G0028450-2C transcript:Sspon.04G0028450-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGPNTKAFQQGFLKNMILSLQACSEFCISSSASGCAAMMSLQQRMCAIKSSADIAMATARGGGARWPQAVLASSSSPSRRPSKPSSSPGKKKNTRVCKKIVRRCLHTRRRSRGGTGAASSLARTRTAMIGSREIARRLVRKRTKVLRKMIPGGELLDEISLLHEAVDYVAHLHAQVDVLRRISNAVQRDR >Sspon.06G0001290-3C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6C:2295897:2296952:1 gene:Sspon.06G0001290-3C transcript:Sspon.06G0001290-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MREKFFNQNHGLLLQQLVSHNGDIGERMTITLRDLEKATNNFDKARVIGGGGHGVVFKGIIDLKVVAIKKSRIIVEREINEFINEVAILSQVNHRNVVKLLGCGLETEVPLLVYEFISNGTLYQHLHVEGPMSLPWVDRIRIALEVSRALSYLHSEASMPIFHRDIKSSNILLDDNLTAKVSDFGTSRYILIDQTGVTTEVQGTRGYLDPMYYYTGRLTDKSDVFSFGVLLIELLTKKQPFVYRSRHGDNLVSHFRKLLAIGNLVGIIDPQVMEEEDGEVQEVATLATMCTKLKGEDRPTMREVEIILESILVKKKQVPYIATRMRRDETPIHRMSIEMATNPAERQHAMED >Sspon.05G0021080-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:88776807:88781779:-1 gene:Sspon.05G0021080-1A transcript:Sspon.05G0021080-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPHSLRFVRCPKCHQLLVEYPSIPVYKCGGCGTVLRAKHRAAPAARAGSESEERSTGSPCSLKGTPSQSSGSICSGEQRADSSVDRPHEATAHGSVSSTANNVDSCDGAVHKRTISAADSGVTHAKHPDEDTCSLTDDRNIQNSGVMVKEKETHNEDAGAGSTSDLTETVENVDMAEKVNGGKIGDIGTSELSTTLYEKSQAAHREERPRTYEGVHVESHKALIEELERSLSFSSDDEYFSDEAESSGLSDALRNQMGSRRFRANDAPRSDPHGRLIEELEMSFSDAEEPLEQHGMGAGRVHGNVLDKDPQALGAKSAHPCQESLSSFDSGHLKSEQTSHQESRAIGNGNQENEHIEDNNNTADSVHGSEHTVTADDEIADRFHEKEHGKDCQPANTESAYPFEGSTSPVDDGSIEVQQSFQPNDLTADVNREMEDDKITNGNLVSADSHEKEHGNDNLILVPADEDIAETVCVNEELTADGTQEMEDGYMENDEMTNCVHGNDNSMLADEKLQESS >Sspon.06G0014320-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:61662639:61667379:1 gene:Sspon.06G0014320-2B transcript:Sspon.06G0014320-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGGAGAGGAEGELTAQETALYDRQIRVWGVDAQKRLSKAHVLVCGMNGTTIEFCKNIVLAGVGSLSLMDDHVVTEDDLNANFLIPPDESIYGGRSRAEVCCESLIDFNPMVRVSVEKGDPSLIDGEFLDKFDIVVLSRASLKTKLFINENCRKRSKHIAFYTIDCKDSCGEIFVDLQKHSYVQKKPGGATEQQELTYPSLQEAISVPWNNLPKKTSKLYFAMRVLEDYELSERRSPGETTLSDMHAVLARRKDMCDK >Sspon.08G0000560-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:1983716:1993662:-1 gene:Sspon.08G0000560-1A transcript:Sspon.08G0000560-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAFDAYFRAADLDRDGRISGQEAVAFFKGSGLPQPVLAQVTLRSPLSSPPQRRPARLLPRRSAQSSHPVWFESRGAWSVQASCSAGIPSSRGLREPRFGACAAHYGLWMDAAIWTYADRNRSGFLGREDFFNSLKLVTVAQSGRELTPDIVKSALFGPAAAKIPAPRINVSTAAPQTNSVASLPNATQAPRPVQQSPAPGPVQQNPAIRGTQGLPGALPNPQVRPPQPPNANTVPPAHGQGVASRPPMGSGPTGLNHTSSTTPSLATDWFGGKRGASPLGATSQAPTRGISPQANLSSAGISAQNSTPVPGYNSHTPGATTPVNANSTNLNVMSSQPSVNDSKALVPLGNGLSSNSTFGADPFSATSQPKQGSPLPPPKPMQAGPVQGISSLSSHTSQLPHSQPAPRQQQFNATPSAPGPVSSNIPSGQIPSNPSQSQAPWPKITQVDVRKYMIVFIKVDRDRDGKITGEEARNLFLSWRLPRDILRKVWDLSDQDKDGMLSFKEFCIAVYLMERHREHRPLPDTVTDAIWAEGTALPSTGQFAENPSAPAQASAGHAGRTMQGPHHGMLPSSMKPPSRRPLPLDADDTVKAEQQKPKVPVLEEHLVGQLSKEEQDTLDAKFKEASDADKKVQELEKEILDSREKTEFYRTKMQELILYKSRCENRFNEVSESMSADKREVQSLSAKYDERCKKVGDVASKLTMDEATFREIQEKKLEIYNAIVKLQKGDESDEKLQERANKIQSDLEELVKSLNEQCKRYGLRAKPTKLVELPFGWQPGIQETAYAWDEEWDRFGDEGFSIIKELTVEVEPPIAPKSQPTEDVKQSTNGHQQKKKTTRVINLLLLRSRQSNLKQHHRISNQSQQKVLQLVLSRAKRMVLMNVIKSNLEQMTSHHVPLRVS >Sspon.01G0037150-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:17121587:17124938:-1 gene:Sspon.01G0037150-3D transcript:Sspon.01G0037150-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MICIDNSEWMRNGDYAPSRFQAQADAVNLICGAKTQSNPENTVGVMTMAGKGVRVLVTPTSDLGKILACMHGLEVGAEANLAAAIQVAQLALKHRQNKRQQQRIIAFIGSPVKYDKKVLETIGKKLKKNNVALDIVDFGESDDEKPEKLEALIAAVNSSDSSHIVHVPPGDNALSDVLLSTPIFTGEEGGSGFAASAAAAAATGASGFEFGVDPNVDPELALALRLSMEEERARQEAIAKKAAEDTSNTENNNASSSNSDSVMAEAEPASTAAAGDKKEQPKDDDDLLQQALAMSMEGGASGSTAVTDSAMAEAGAVDPDLALALQMSVQDANMSSDTDMSKCFEDRTFSGVDPNDPSVKDLLASLHSQGE >Sspon.03G0024850-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:98939361:98940095:1 gene:Sspon.03G0024850-2B transcript:Sspon.03G0024850-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GQQQLRRLFASEGDVHGDRQRRRPGEARRQRGQNGRRQDHQAEAAAARAGRRAAREDPPPQPDAGRLPLRHGRPAAARRRRQHDGDDDASAGAAASSRRRSRRRILVPAVPSSHGTFRPLFRGDGPPPPGRRALLRRTAAVQQHTDEPAGADDAVAICARRQGRLVGAQAGAAATATLLDGGQQERRRGQRRGARPGAQ >Sspon.06G0009370-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:50045301:50053545:1 gene:Sspon.06G0009370-1A transcript:Sspon.06G0009370-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDSSGCCSSRAQRREASKQHGLIQPKASPAWNISGEPCSGVAVDTTDVDSNPNINPAIKCDCTYNNATVCHITKLKVYALNVVGQIPAELQNLTYLNNLDLRQNYLTGPVPSFIGKFPMQYLALAINPLSGTLPKELGNLTNLISLGISSNNFTGELPSELGNLTKLEQIYFSSSGFSDTFPSTFSKLKNLKILWASDNDFTGKMPDFIGSLTQLEDLRFQGNSFEGPIPKSLSNLTKLTSLRIGDIVNGSSSLSFISNLTSLNVLILRNCRISDTLATVNFSNLAGLTLLDLSFNNLTGQIPQSILNLEKLGFLFLGNNSLSGSLPDVKSPSLNNLDFSYNQLSGSFPSWATQNNLELNLVANNFILGSSNNSILPSGLNCLQQDIPCFRGSPEYSSFAVDCGSNRSMRGSDNTFYEIDPTNIGAASYYVTGQTRWGVSNVGKFNEAPNGSYIIYSSQQFQNALDSELFQTARMSPSSLRYYGIGLENGNYTVELQFAEFAYPESPTWQSTGRRVFDIYIQGGLKEKNFNIRKTAGGKSYVAVYKKYNATVSKNFLEIHLFWAGKGTCCIPTQGYYGPMISALSVTPNFTPTVRNGVPKKKSKAGAIVGIVIGAAVLGLAALAGIFMLVQKRRRVAQQQEELYNMVGRPNVFSNAELKLATDNFSSKNILGEGGYGPVYKGKLPDGRIIAVKQLSQTSHQGKSQFVTEVATISAVQHRNLVKLYGCCIDNNTPLLVYEYHENGSLDRALFGDSGLSLDWPTRFEIILGIARGLTYLHEESSVRIVHRDIKASNVLLDTELTPKISDFGLAKLFDEEKTHVSTKIAGTFGYLAPEYAMRGHLTEKADVFAFGVVALETVAGRSNTDSSLEEDRIYLFEWAWELYERDQALGILDARIGEFDSEEALRVISVALLCTQGSPHQRPPMSRVVKMLTGDIEVTEVVTKPSYITEWQRRGGNTSYVTSDYSGDTTGEFSMQRETIAPLTPSPAMTGVIDDGRGLTYLHEESSVRIVHRDIKASNVLLDTDLTPKISDFGLAKLFDEKKTHVSTKIAGTFGYLAPEYAMRGHLTEKADVFAFGVVALETVAGRSNTDSSLEENRVYLFEWAWELYERDKALGILDARIEEFDSEEALRVISVALLCTQGSPHQRPPMSRVVKMLTGDVEVTEVVTKPSYITEWQRRGGNTSYVTSDYSGDTTGEFSMQRETIAPLTPSPAMTGVIDDGR >Sspon.01G0058800-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1D:35689098:35689328:-1 gene:Sspon.01G0058800-1D transcript:Sspon.01G0058800-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding TCGTWRVLMICGWALQAFFGDSSTSDDGKFKKEDDQKEEAHECVEHDHNLVIVEDCSTSWSSDDDDLGLPQVHLTR >Sspon.05G0007680-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:23949535:23964438:1 gene:Sspon.05G0007680-1A transcript:Sspon.05G0007680-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHSSRKKKKRGAAGRKAAKDHAAQLEGDETALDEELTALASILGEDFKVTSESPHTRCNICIRPYSDGMGFDDLNISAILSVICFPGYPHKCPKLRIVPEKNLSKEDADRLLSLLVDQANIYSREGRVMIFNLFEAAQEFLSEIAPAHVSVSTASFLGLNSTTDEDVEVSLDSDPYPGISYVYNSFDLYSQLYDDTCWSRQGPDMTTDSGRTNIVSPVQSNVRSKRKTVIEKSHVSADKVNNAKGSSGDKAEQQHATKHGAIREADSTLHVVDEETETETKTLFASNTGNTSDTPERGFSSLNEPEDTDLAEEPWNEEDCDSDFSSSNALSYVSDMLDDASRNKKRDLILIACASKDSLSAALPTISAELCNIGVLSEWAKDLISDSPAVFGETFSHVFGQQMISSECSLFWRPDNSSSRPNSRYLNDFEELRSLGQGGFGRVALCKNKLDGRQYAVKKIRLKDRSPQVNEKILREVATLSRLQHQHVVRYYQAWIETEYGHHNILNAGGSRTAESSIFSYDEVSLSDAGGGNKQESTYLYIQMEYCPRTLRQDLETYISSFDVDHAWHLFRQIVEGLAHVHSQGILHRDLTPSNIFFDVRNDIKIGDFGLAKFLKLEQLDHDQYLPTEAMGVSMDGTGQVGTYFYTAPEVEQKWPQINEKVDMYSLGVIFFELWHPFATAMERHLVLSDLKQKGDPPLSWESKFPRQAVLLRSLLSPSPSNRPSAVEVLQNELPPRMEDEWLNDVLRMIQTPEDTYVYDRVISTIFNEDRFAKMQGQHDSSKKSTVNIDNSELLDTIIEVAKEVFKRHCAKRFRSHLCILWNGILPKI >Sspon.01G0032220-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:109345989:109346396:1 gene:Sspon.01G0032220-1A transcript:Sspon.01G0032220-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALDESFKRPGTVPFKWEVQPGIPKQHDTAAAPGTAPAPGLPPATAPRLALPPAARVGALAPASCRRASSSVAEAPLSPPAPQPSHRRSMSARFATSLALMPLPFTRRGRGGGVRAAKDDAAAEFCLLYAENKIV >Sspon.07G0018070-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7A:65145063:65145461:-1 gene:Sspon.07G0018070-1A transcript:Sspon.07G0018070-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPSRLQQQSICMGGGLSNYMAAKHPPETTTIDVAKHSWMGPTCSHQQSICMRGGLSDIGGKASARAMTVATPTTSMTNQSEDQHEARSGTAQRTNGDLVTSHRRFFNDTFRKGVTTKETTVDAIVAGLRSF >Sspon.05G0005280-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:14591164:14595442:-1 gene:Sspon.05G0005280-3D transcript:Sspon.05G0005280-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDMGEKRRHGHGHGHLVGFGVGGVGHAEHEEKRMEPKKLDMSSMSMDTLPHLTTPLGNITTLDLSNNNLQSIPESIIARLLNVVVLDVRSNQLKSLPNSIGCLSKLKVLNVSGNLLQELPATVEECRALEELNANFNQLTRLPDTLGFELHGLRRLSVNSNKLAYLPSSTSHMMALRSLDARLNCLRALPDGLENLGGLETLNVSQNFQYLRELPYGIGLLVSLRELDVSYNSIAALPDSMGCLTKLARFSAAGNPLVCPPMDVVEQSLDAMRAYLSARMNGTAKAKKKSWVPKLVKYSTFSAGMMTPGRTKVHGSSTDGLHMSDYRSLDGGGIASPGFLSMLSPRRLFSPRRNSTKH >Sspon.04G0020730-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4A:72879288:72880187:1 gene:Sspon.04G0020730-1A transcript:Sspon.04G0020730-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LHARHGPVISLRLLFATHVFVADRRLAHAALVQGGATFADRPPVAEPESLFSAGGRDINTSPYGPYWRLVRRNLATEALHRGRVSLFEPARRSACDALVTSLRAAADDDTFTLRPFLRRAMFELLLHMCFGARLGREALDEIEELQHRMILSYATFPVFALFPAVTKRLFSKRWAAYVALARRIDEVFVPLIHATRGGGGDDPPCYADSLRALRVAEEGDRPLTDAEMSSLCTEFLTGGTDTTVTLVEWIMAELVNHPNVQAKVHDEVKKNALDGDLQLLCFLLCGRLICYILFFYCLC >Sspon.02G0033890-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:9583819:9593169:-1 gene:Sspon.02G0033890-1B transcript:Sspon.02G0033890-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSQDRGPFRPPDWVPQPPHLHRDHHYHNEHRYQPHSHPHRDRHYSTEHRYQPRGQHQRDCHVQPSPPPSQFEVLLVRPGPDLSGPTAIEVEALVADLKSPTPASISVHSSGRHAARLVFTSISDAAAAARELWALRLEGLHLLTLDLPHPALAAHASPHFASLFADHASRLLNSDLVALSAARSAELAASIRDVKQRLGSRNSFRDFHQLHLEKKTLESEKELIDAKIAEYKEAMWSIQRAMLRGSGDKEEGVDLFGAVEGADVDFVRVHMMLLRECRRLKEGLPIYAYRRRILNHIFANQIQLQHWPQVSSQQSASRIAKQQLQVMVLIGETGSGKSTQLVQFLADSGIAGGGSIICTQPRKLAAISLAHRVDEESKGCYGDSSVLSYSTLLNSQGFGTKIIFTTDSCLLHYCMSDMSLDGISYIIIDEAHERSLNTDLLLAMIKKKLLVRLDLRLIIMSATADADRLAEYFYGCQTFHVKGRTFPVEIKYVPDISAEASLNSVPSISSVASATASYVTDVVQMVNIIHKNEEEGAILAFLTSQLEVEWACETFSDPNAVVLPMHGKLSSVEQNLVFKSYPGKRKIIFCTNIAETSLTIREVKYVVDCGLAKEYRFVPSSGLNVLKVNWISQSSANQRAGRAGRTGAGKCYRLYPESEFGMMEAHQEPEIRKVHLGTAVLRILALGVTDVKYFEFIDAPDPEAINMAVHNLEQLGAIEYKCSGFELTETGRDLVKLGIEPRLGKIMLDCFSYGLMKEGLVLASVMANASSIFCRVGTNEEKYKADRLKVPFCHPDGDLFTSLAVYKKWEAGHDNKNMWCWQNSINAKTLRRCQETISELEKCLKHELNIIVPSYWSWNPEKPTMHDTSLKKIILSSLRGNLAMFSGHEKFGYQMISADQPVQLHPSCSLLTYGSKPEWVVFSEILSVPNQYLVCVTAVDRDDVCTVHPFIKPLEESKLQRKVITGIGNKSLRRFCGKCGQNLQKIISLLREDCRDDRIMVDLDFSSSEVLLFAKEHDMETVFCMVNDALELEAKMLRDECDERRSGGSTIALFGSGAEIKHLELGKRHLTVEIQHQNARDIDEKELIGLVYSHVPGIANFHRLGNFQTNADEIKWGRFTFLKPDYADHAISKLNGIEFHGSSLKVGPVSAYNHSGLPFPAVRAKVSWPRKPSRGLALVTCASGEAEFIVKDCFALGVGGRYINCEVSKKYANCVFVTGVPLHVTEPELYDAFRSTTTRRILDIHLLRGPPTASSSDSECAEALMRAISLFMPNRNFPGQNFRVHVFPPEEKDSMMRATISFDGSFHREAARALDHLQGSVLPCCLPWQIIQCQHVFHSTVSCPMRIYNVISQEVGVLLESFRSQKGVSYNLEKNENGNFRVKLTANATKTIADLRRPLELLMKGKIINHPDLMLSTVQLLWSRDGMEHLKSVEQETGTYILYDRLSLNIKVFGSSDKVAAAEEKLVRALVQLHEKKPLEVCLRGRNLPPNLMKEVIKKFGADLEGLKNEVPAVDLQLNTRRQALYVRGSKEDKQRVEEMISELIASSDHNAPLPSKNACPICLCELEDPFKLESCGHMFCLACLVDQCESAIKSQDGFPLCCLKNGCKKLLLLTDLRSLLPDKLDELFRASLNAFVASSAGLYRFCPTPDCTSIYQVAAAGAEDKPFVCGACSVETCTKCHVEYHPFISCEAYKEYKADPDARTLLEWRKGKENVKNCPSCGYTIEKAEGCNHVECRCGSHICWNCLENFKSSEECYGHLRSVHLSY >Sspon.01G0043390-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:74276282:74286929:1 gene:Sspon.01G0043390-1B transcript:Sspon.01G0043390-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIRKSASRLLGSAYSASAAPPVGAAPRFDLLPPPPHPAPCSAPESCGGPGFSGPSASSAEPCELSRSPWDLIAELSLSDPQVEDDIVDKYFVHVTTRSSWLFSATMPTAASAKKKKNLQAAAGGDSTKLRRDTVKKPAAMSKGKEGEANKKAKVKKEEGQNAGAARVWLCKKNDGKRWHCNRPVSQPDTLCEYHFVQKRSYLNPDFESPSVAKLEEAAPVPVPVPPAASNKSSTRNKPRKKKPGSDLSATEGFYYYAGFGPFRSKRQCRSGGGMNGPVPAKQEEEDHAKDDDASRSQQQAEVDEAHDDTKQTATAARGDASSCEDDTAGIAGVDEGSSDDDFDGLGISGHGMNGNGDPKASNGDGKRKIPWKRWRKPVKARSLKSLIAARTMRIRKSASRLLGSAYCASAAPTVDAAPRFDLLPPPPHPAPCSAPESCGGPGFSSPSASSAEPCELSRSPWDLIADLSLSDQKEDELVDKYFVHVTTRASWLFSATMPATSTKKKNKKLPAAAYRDSTPQLRREVVKRAAMNKEEDTTKKKAKVKKEEGRVKKEEEQDGTARVWMCKKNDGRRWHCNRPVSQPDTLCEYHFVQKRSYLNPDFEFHSVAELEEALPVPAPAPAPVQAAASKSTTSSNKPRKKKKKPGNDCSATEGFYYYAGFGPFRTKRQCRSGGMNEPLPAKQEEEEETHTPEDASHPTDEAPEVAEFTNQQAACQDVSSCDNDDIAGIAGVDEESSDDDYDGIGIAGCNMDGTVDPQASIGEGKRKAPWKRWRKPVKARSLKSL >Sspon.07G0007740-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:12510197:12514210:-1 gene:Sspon.07G0007740-1P transcript:Sspon.07G0007740-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGAGESESTALEFTPTWIVAAVCSLIVVISLAAERCLHYLGKSSSIFLNSQYSISDALFPLLAELMLLGFISLLLTVFQGMMQRTCIPQEWTIYMLPCRNAKEQAELSPTEAHGLAAGILGLTRRRLLAEGGPMAQHCQKKHICPQGEVPLLSVEALHQLHIFIFILAIAHIRQWKHWEDEIQKGATENGPRKVTDVHQSEFIREHFKGIGRDSTMLSWLFYGSVTKSDYTTMRLGFIMTHCRANPKFDFHRYMMRALEADFKKVVGISWYLWIFVVVFMLLNVNGEFNLLLAVGTKLEHVITQLAQEVAEKHSAIEGDLVVNPSDDHFWFGSPKIVLYLIHFILFQNAFEIAFFFWILTTYGFNSCIMDHVPFIVPRLVVGAIIQLLCSYSTLPLYAIVTQMGTFFKKEIFDEHVQQSLVGWAQKAKKRKALKNNGDGSTGAAGSASAHPSARLELMRRAVVLEEGGSTGGNGSEASAAELQDRVPKL >Sspon.05G0014650-3C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5C:48044520:48046135:-1 gene:Sspon.05G0014650-3C transcript:Sspon.05G0014650-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bifunctional L-3-cyanoalanine synthase/cysteine synthase C1, mitochondrial [Source:Projected from Arabidopsis thaliana (AT3G61440) UniProtKB/Swiss-Prot;Acc:Q9S757] MPSYTSLERRVTMRAFGANLVLTDPTKGMGGTVRKAAELYEKHPSAYMLQQFQNPANVKIHYETTGPEIWEDTLGQVDIFVMGIGSGGTVTGVGKYLKEKNPNAKIYGVEPAEANVLNGGKPGPHLITGNGVGFKPDILDMDVMEKVLEVKSEDAVKMARELAVKEGLLVGISSGANTVAALELAKKPENKGKLIVTVLPSLGERYLSSALFDELRKEAEAMEPVAVD >Sspon.01G0037000-1P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1C:14740613:14741968:-1 gene:Sspon.01G0037000-1P transcript:Sspon.01G0037000-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLVRRARRAATKAAVSLGGGGSSSSSSVELAIPAHYRCPISLDLMRDPVTAPTGITYDRESIEAWLDTGRATCPVTHAPLRHEDLVPNHAIRRVIQDWCVANRSRGVERIPTPKIPVTPVQASELLFDLAGSARGRDAAARCAEVVAKIKALARDSERNRRCFASIGTGRVLAAAFESLAAAAADAAPAGRVLEDILAALVCMMPLDEEAARTLGLPTSLGSLVAIAENGSLAGRLNAVLAIREVVSCDGAFTDLSGKVDEIVDALAKIIKSPICPQATKAGMVAIYHLALYDERVAARLAAAGLVPVLVEALVDADKSMSEKALAVLDAVLASEEGRASARAHALAVPMLVKKMFRVSDLATQLSVSAMWRLGKAHSDGEEEEDAVTRCLVEALRVGAFQKLLLLLQVGCRDATKEKATELLKMLNKYKSVGECVDAMDFRGLNKLSS >Sspon.07G0016270-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:62323108:62326587:1 gene:Sspon.07G0016270-2B transcript:Sspon.07G0016270-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:D-glycerate 3-kinase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G80380) UniProtKB/Swiss-Prot;Acc:Q944I4] MAPLHAALPPPSAAAAHHHAAAPPVFLARSPYHPRRAAASCSLATAAAPSSRKAFLSCPPDHWITATPPRAIPSSSSSPALIASVQDLYDFIYIGPLVDRIGYTDEKIAESIDRWLLAGARLARLFRLDEVQLSEAEKVRIYHFYIPVFLWCEDQVMEHRAKYNDGDDIPPLVIGVSAPQGSGKTTLVFALDYLFRVAGRNSVTLSIDDFYLTAKEQNELRDRNPGNALLEVNWELLIKTFQLRGNAGSHDLQFSVETLESLIKLTKEGMKMKVPRYDKSAFGGRGDRADPSVWPEVEGPLELEVVNKNLEAYYGAWDRFIQSWIVIKIREPSCVYQWRLQAEIAMRADGKPGMSDEEVMDFVSRYLPSYQAYLPTLYKEGPNGSNPDHLLVIDIDANRNPICAGSWDVACL >Sspon.02G0015390-3P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:20436537:20437194:-1 gene:Sspon.02G0015390-3P transcript:Sspon.02G0015390-3P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCQAAEAAAVVIQHPGGKVERLYGAATAAEVMRGNPGHYVALVVLRVSGVGGKADPDPASTGAAATGTGARITKVKLLKPKDTLLLGQVYRLITSQEVAKAIQARREDKTRRYSGGEVVVVDDRRGPGRHAAAAAAGSQGQGRRPTDQVERKRPEKANRQHRSGAGGGRGRHWQPSLQSISEAAS >Sspon.07G0006080-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:13588139:13592926:1 gene:Sspon.07G0006080-4D transcript:Sspon.07G0006080-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCCRSPAAAAREDVKSSHFPASAGGGKKKPHQARNGAGEKKRLSVLGEEGCDVGAGIEEKYALDRELGRGEFGVTYLCMDRGTRELLACKSISKRKLRTPVDVEDVRREPENFLFANKKENSPLKAIDFGLSIFFKPGEKFSEIVGSPYYMAPEVLKRNYGPEIDIWSAETEQGVAQAILRGNIDFKREPWPIVSDNAKDLVRQMLQPDPKLRLTAKQVLEHPWLQNAKKAPNVPLGDIVKSRLKQFSRMNRFKRRALRVIADHLSAEEVEDIKEMFKVMDTDNDGIVSYEELKSGIAKFGSHLAESEVQMLIEAVDTNGRGALDYGEFLAVSLHLQRMANDEHLRRAFLFFDKDGNGFIEPEELQEALMEDGGAGTMDVVNDILQEVDTNKDGKISYEEFVAMMKTGTDWRKASRHYSRGRFNSLSIKLIKDGSVKLGSE >Sspon.06G0004820-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:12911143:12915430:-1 gene:Sspon.06G0004820-3D transcript:Sspon.06G0004820-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNENADKDHDTGPENKLRRNFRLGDITWVKCSGSSWWPAQVIDESCVGSKPKKRDKFDCLVRLYGTCQYLYVDPWKSNSEFEMMLKQENKSAMEAFREVLEKELSGVNLCSDYEDDYEEEAVNSKGGDTKGASKKTSSRKARKQGLKQQYNEEEDQNVGSNATTGSARKRKGGRARQSSSTHDVIHKDCSDSSAEGLRNKRQKYAAQSASVGRKEGLRQSARSDAKQYLDAAEGNTEPLTDILGSEGATRGSKHTEIKAMVRDILFKDIIDKEQDAEMAYVDEVINGICNATDSVVGSGDASTKGGRDSKQSGSGVKGESSNAPSRGTAVMTPGQLSARRNRQIRIMQTLALIAPSGSPFGRNDVAASH >Sspon.03G0009380-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:32596226:32598479:1 gene:Sspon.03G0009380-1P transcript:Sspon.03G0009380-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGQMPDADEKARSADDSSSSYGYPPSAPPQHQHQHQYGTFGTPSGPPGEFPQPAVGFPQPAPPLGMQHYPQPPPASYAVYPPPQQPYSAAAPYYAQGYQVAQGYIPVVEGRPVRMRPLPCCGLGMGWFLFILGFFFAAIPWYIGAFVLICVRVRDYREKPGYVACTIAALVAAIAVLLGATKGAEVW >Sspon.08G0025270-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8C:7554002:7554815:1 gene:Sspon.08G0025270-1C transcript:Sspon.08G0025270-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SPSGALEAKKQYLFSGAPMGGFKATAFENCSYASNLGYSVSPREETGVLDEIGKTERDRYKTDKNFWMNQVNDKWDTFMFQQAYNWKVHDLPEEVKKKLSSGFKDGADGCVRSWFSLSNLGDFLVKALAEAALELLEFLLGREKSSKELGHGERDIRT >Sspon.02G0022460-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:108421240:108423263:1 gene:Sspon.02G0022460-2P transcript:Sspon.02G0022460-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAERGGERGGERGGFGRGFGRGGRGDRGGRRGGRRGPRQEEEKWVPVTKLGRLVKEGRFNKIEEIYLHSLPVKEHQIVETLVPGLKDEVMKITPVQKQTRAGQRTRFKAFVVVGDGDGHVGLGVKCAKEVATAIRGAIILAKLSVVPVRRGYWGNKIGQPHTVPCKVTGKCGSVTVRMVPAPRGSGIVAARVPKKVLQFAGIEDVFTSSRGSTKTLGNFVKATFDCLMKTYGFLTPEFWTQTKFSMTPFQQFTDLLGKPTKGLVLEAPTETVEA >Sspon.04G0010870-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:32930634:32934066:1 gene:Sspon.04G0010870-3C transcript:Sspon.04G0010870-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GNILYRFPSLQRTASSKSGRSREYVGTKWSAMFSGIEKYLEEKPWKFSKANASEKAMVAGLGGLNLFGVIILGNLLKQMTVTPGGLISFAAQLYPLLQIYAGSFFAIPLFRWFLLRKTNNDIRKRNKAREQRAQELVSPDSSLRRKLLSARDMAERKVITPEDIIYTTEKDLLEQDYEVKEWERRFKELESE >Sspon.01G0018470-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:65376705:65378975:1 gene:Sspon.01G0018470-4D transcript:Sspon.01G0018470-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Core-2/I-branching beta-1,6-N-acetylglucosaminyltransferase family protein [Source:Projected from Arabidopsis thaliana (AT3G03690) UniProtKB/TrEMBL;Acc:Q9SS69] MVMRPRATGLSSIRREVLVSAVFTALLVASILLLPSLLLTGSTGTGPRRWPFLSPSTAADEAEEQQQARYPVTFAYLISASTGDASRAARLLAALYHPANTYLVHLDREAPAEEHRRLAELVSGRGGVYARAGNVWIVGRPNLVTYRGPTMLTTTLHAVAVLLRLRRRWDWFINLSASDYPLVTQDDLMEAFAGLPRDLNFIQHTSHLGWKIKKRARPVILDTALYEDGRAELIRPVNITTNLRRLPTAFKLYTGSAWTMLSRSFAEYVTMGWDSLPRTLLLYHANIVSSPEFYFQTVACNSRRFRNATANHDLHFIRWDTPPKQHPLYLTSRDYRRMLLSGAAFARKFREGDPVLDRIDRDILRRREPGHFAYGGWCSDGGEGGVALCSNPKEEPGRRGAIKPGAGSRRLKAMLGKVMSPRNFRRQQC >Sspon.04G0023680-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:14657323:14661854:1 gene:Sspon.04G0023680-1B transcript:Sspon.04G0023680-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQCPDNLDRLYTEVHLLKSLKHGNVMKFYYSWIDDQSKTINVITELFTSGSLRHYRQKHPRVNLKAIKNWARQILHGLDYLHSHQPPIIHRDLKCDNIFVNGNHGEVKIGDLGLATVMQTPRARSVIGTPEFMAPELYDESYDELVDIYSFGMCLLEIFTLEYPYSECTNPAQIFKKVSTGVKPAALAKISDLQVKQFIEKCLVPASERSSAKELLQDPFLCPDNTHEPAVTKFTSPAPNKTVDISLASLHMDIDTFESSPTNSGKENGHARNIHFLFYLDSDTAMSVAAEMVEQLELADCDVTFIADFIDLLIVNLVPGWRPVNDAVANSHRQSESELAITSHQNISKLVPDYALVDGMMHPKDVNVSSTGFLDSVSRATNLGGSQGSEGSVISVQLAESSRSVSDYGAEDYGTMDCGGYKEGISKVDCSHVLDDGLRSIFHIDQASPFLELASSGSSTSTDNQDVLNGELVLIEAQYKHLVDELTRMREEAMEGARKK >Sspon.07G0010200-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7A:30672567:30672923:1 gene:Sspon.07G0010200-1A transcript:Sspon.07G0010200-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLPAVVARALQGRRWPSPPRVPSHPAALAGEAAVRTGEHGGACRRRPRPAASALASSRRLRAAGGHGLQRVPPPPSAPCLSPFFFPSLLARSAGLHTQVVDVRESAGNSGRPARPRA >Sspon.04G0011140-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:34103822:34105079:1 gene:Sspon.04G0011140-1A transcript:Sspon.04G0011140-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQGDQMECSGKEQQMQIVCVRSASTGGAVVGEEVVEWAEEQSSRSALSLFKEKEEEIERKKVEVRDKVFSMLGRVEEETKRLAFIRQELELMADPTRREVDAIRKRIDKVNKQLKPLAKTCLKKEKEYKMCLEAYNEKSNEKATLVNRLMELVSESERLRMKKLEELNKTIESLY >Sspon.05G0002540-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:2712796:2716028:-1 gene:Sspon.05G0002540-2C transcript:Sspon.05G0002540-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGVITKFAITSIVMWMAPVAIMYGFYYELFPGVSQMSSSAQTLASGFLAVISVNLVIGFYIFMAMKETPHQEPQPDPTFLANAKASINQPTSSQVSDDSKGKGKPSSGGLKIYAHIASMDIIPAPKKSAREKKTAIMTVALNLKFACSEKWEPEDSKGQSIEDGYGPSIYLHNATTQANAFKELMEAKGTNKRPDGVLFAREVYGHQGTALA >Sspon.03G0027080-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3B:4446920:4451104:-1 gene:Sspon.03G0027080-1B transcript:Sspon.03G0027080-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At4g19220, mitochondrial [Source:Projected from Arabidopsis thaliana (AT4G19220) UniProtKB/Swiss-Prot;Acc:O49680] MRRHTPGLLAAKLLRASSLLRPPSVLAPRASLFHHAPNNAHHLLDETPHGRAAAIVRALRSAYSSDDTDCIACLHCVSIKSGAVLDPPVRTSLLAAYARAERGAGAGAALALFREAVAPDVILWNAAIGAMTMTCRYDDAAALFRRMARELGEFDSTTVVVMLSGASRASNLRRGMELHGMAAKRCLGAHCLSVWNALVDMYAKSGDFDSAEVVFQSMPCRDTTSWNSVISGSIFNGLAEDAAWYFREMICSIFQPDEVSLSSVLSACSRLDDLFSFGESAHSCAVKLGYETASCSVANSLMTFYSEFGMPEAAEKVFASTLNRNLVSWNAMIRGLVQNERITEALAVLREMRLENRPDVATLVTIISGCGDQGLLSDGKALHGYIIRIGLLHEESSVGNSLLGLYLKCNEPSNASLLFRTMPRRDLISWNTMISGYSRNDLLREEAQLMFKELLSEGLSCSLTTMLAVIPSCSNPEELSFGQTLHSFFLKCGFTCSGVSAVNALMHMYMSCGDPLAAFSLIERLIPVTDIVSWNTIIVGCLQNGLDKDALEAFQFMYCSLAIKPDSITLVSVLSACGNLNLLAQGKSIHCMILKHLLASNLRVKNSLLTMYFRFGDTRSAELVFYSMGDTNLCSWNCMISGFAQNNKGWRALQFYQKMEDSAPNEITVVGIICACTQLGDYRQGKSIHGHVVRSGLHNNVFISASLVDMYCKCGRLDIAVRVFEASAEKSIAGWNSMISAFGFHGHGLKSIELFWKMNDSGMKATKSTFIALLSACSHSGLLDEGWKYYRLMSEKFGIIPAPEHHVCIVDMLGRAGRLEEAHKFVESLPSQQIHGVWGAMLNACSSGSELKMGESIAKHLLHLEPGNSGYYVTVSNLYAYRDMWSGAVQVRSVLQDKGLVKPHGSALGSYSTSWRLGEEDEGYRLEKKGDGRRRRQEAAASPAKPAASLESHSHRHQGKLEDGRSAEGSRGRLAGVGTGTAAPSHYVVKLFAAGRPCYSQSHSSCPGRRSLLAASVFSSAALPFCF >Sspon.05G0003010-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:10716582:10718120:1 gene:Sspon.05G0003010-1P transcript:Sspon.05G0003010-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding CCILFQFHYTSENVVEGKSAAKKALQQMLGLQQIDTPVVGIITRLTVQKESTLSNMQFIELLNAMDRWFCWVLHQILAYKIYAGADFILVPSIFEPCGLTQLIAMRYGSIPIVRKTGGLYDTVFDVDNDKDRAQAQGLGFQFRRS >Sspon.03G0016640-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:52679037:52683386:1 gene:Sspon.03G0016640-1A transcript:Sspon.03G0016640-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPAATSPAPAVEEPVSATSVLDTLGAEVLAVMSPVSICMALVVLLISLLSPPSSGSAGASPPPVTAATLVYLESPNDSPGQKLLGALLDAAVFVALVAAVTFVLVALYYYRCTGFLKNYMRFSAFFVIFSMGGAIVAAVLRRLAAPLDAPTALLLLFNGAAVGVLSVFASAVPILVRQGYMVALAVIVAAWLSRLPEWTTWIMLVALAVYDLVAVLAPRGPLRMLVELASSRDDELPALVYESRPTVGPATSSSSYASAVGSVEMQTMTDSGQIGGSRYGRVEQEEDASPAVVEMRDLGRGRSSIGETDKSRGSVLQMENLEREVPGTSAELTANQGGSSQHAVIQIEQRDEEETSPLVSATSTNNAALDEEHRQSSSSEPLDFEMFESTRGIKLGLGDFVFYSVLVGRAAMYDLMTVYACYLAIIAGLGCTLILLSICRHALPALPISIMLGVTFYFLTRLLMEPFVVGASSNLAIVTRSSVPVLKQLSPVHHVFMGPQWALMVNQHGSGA >Sspon.04G0014250-3C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4C:57151149:57151838:1 gene:Sspon.04G0014250-3C transcript:Sspon.04G0014250-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCCLRVYGLAIANMVCVGGTGFLVYALVKLARTPHSTGGIVVVSIFLVFWLGVNASIYPAFCGSLFPWSALGRCLEPPLSAVVWLLCLPGRCASAARRRLVGGGGGNDDEGGALPQFIVQSHQGYGIGVGVLPREPPAPAGSRARVAATATAADIPAYEQPDGELPDGDGSPDCAVCLGAVAKGEMIKRLPVCLHKFHQECIDLWLRNHSTCPVCRCNVFAPMPDQLV >Sspon.07G0009060-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:20761042:20761665:1 gene:Sspon.07G0009060-3C transcript:Sspon.07G0009060-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKVRSFFSRSRSGKRATGSARAGSSSPLSSAPPSPSPSPFARRSSSARRSLPVPAGNDETERVFRKFDANGDGQISRSELAALFASVGHAATDDEVSRMMEEADADGDGYISLPEFAALMDSASADAAAVEEDLRHAFSVFDADGNGLITPAELARVLRGLGEAATVAQCRRMIQGVDRNGDGLVSFDEFKLMMAAGGGGFGRVGA >Sspon.03G0019350-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:60115118:60117501:1 gene:Sspon.03G0019350-1A transcript:Sspon.03G0019350-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQVLQEEVQVQQHGLHEQMRESPPQAALIYYSLLLACPVILLLLMRRRRCATSSAGVVTARAREEELLRRLPAPPRKLPVIGHLHLVGRLPHVSVRDLAAEHSRDGLMLLRLGAVPTLVVSSPRAAQAVLRTHDRVFASRAYSPVADILFYGSADVAFAPYGEHWRQVKKINTTHLLTNKKVRAYRQAREREVRLVMAKIREAAISGTAVELSDLLSSFANDIVCHAVSGEYFREEGRNRLFRELAEANSSLIGGFNLEDYFPVLVKLDMVKRMVCAKAQKVNKRWNELLDKLIDDHANATRSESQHVDEESDFIDLLLSVQQEYKLTRDHIKAQLVVMFQAGTDTSFIVLEYAMIKLMQNPNIMTKLQDELRMTIPKGKEIVAEDDLNGMSYLKAVVKETLRLHGPAPLLVPHLSMAECDIEGYTIPSGTRVIVNAWALARDPTYWESAERFMPERFMEGGSAMTMDYRGNDFLYLPFGAGRRICPGISFAISSIEVMLANLVYHFNWELPPELKKSGIDMTESFGLTVHRTEKLLLVPVLPQN >Sspon.02G0036920-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:28255302:28263493:1 gene:Sspon.02G0036920-1B transcript:Sspon.02G0036920-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSNKFSSYRLAAALRRELDPAAALRLFLNPPASATPFRYSLRCYDLIISRLAAARLFPAMESILSRLASSSSSGPRPREQLLCRVISAYGRARLPAAARRAFTHPAFPGPRTARALNTLLHALLACRAPLRDLLAVCRDAGIPPDACTYNILMRAAAASGSLDHARHLFDEMLQRGIAPTVVTFGTLVAALCDAGLLEDAFEMKEVMVRQYDVLPNAYLYTSLIKGLCEKGDVDAAVTLKEEMAGKADLVLDSAVYVTLVRALFQVGRKGAVVGLLEEMKGRGIVAERVVYNAMLAGFCEDEKDFGGAFAVLDDMQKNGCKADAVSYNTLVAGLCKLGRWRDASELVEDMPRQGCHPDVVTYRMLFDGMCAAGEFLEANQVLDEMVFKGFAPSKDGAQKFVQGIEMQGDVALLESVLCRLAKVNALVSSGWEKAVSEENAHHQIKKSNSSGSSRVRVRSDPTEWKQPIVEPSLTSWSVKRLMRSKRKLTGPYFPTTKLRGFTGINVENR >Sspon.02G0021800-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2C:74338742:74339077:1 gene:Sspon.02G0021800-2C transcript:Sspon.02G0021800-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRDQLAHKGIPTFAIGPLHKLITSNDGAETSLLNQDRSCIEWLDTQAPGSVLYVSFGSAVHVTHDEFMEMAWGLVNSGKPFLWVVRCGLVLGVDKQELPDGFMSAVEGSGK >Sspon.03G0034380-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3B:72814557:72815646:1 gene:Sspon.03G0034380-1B transcript:Sspon.03G0034380-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LIFLWFMIKGTNAVMGTRQGPVLSWAQRV >Sspon.08G0021900-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:43869230:43870971:1 gene:Sspon.08G0021900-1B transcript:Sspon.08G0021900-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SVMVTNILQKYQLQNHRLVLPKKQFVTYALYALIALAFFHYLIFYPAPASEKSVVVAQVQEEVAAGVSARVNAREQLLPPPPPSQQGDEILRNQRMPAPAPAPAPPCDYSDGEWVPDARSPLYNGTSCGTIKDGQNCMAHGRPDTGYLYWRWRPRRCDLPAFSPEAFLSRLRNKHLAFVGDSLARNQAESLLCLLASRSAPELVYRDGEENRFRRFVFREHNATVSVFWSPFLVKVAEKAEHAGVRHNNVFLDAFDERWMSQLGALDAAVLSVGHWFLLPGIYHHGDSVVGCHDCAELNHTETDFFGVFKTAIHRTLAEVTRRHGDTAGRKVVALTTFSPAHFEGDWDKAGACPKKRPYRNGEKELGYTESEMRRTVVEAVQAAANAAGAGSGLRFAALDVTTLANLRPDGHPGPYMHKDPFAGGGAGSRVQNDCVHWCLPGPVDTFNEILLQNIL >Sspon.04G0010200-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:29640095:29645845:1 gene:Sspon.04G0010200-3C transcript:Sspon.04G0010200-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVGMRFKMRFEGDESPERRFSGTIIGLGSMPANSTSPWANSEWRSLKVQWDEPSAILRPDRVSPWELEPLDATNPQPPQPPLRNKRARPPASPSIAPELPPVFGFWKSPAEPAQAFSFSGLQRTQELYHSNPNSIFSSSLNVGFNSKNECSTPNNNHLYWTMRDTRTESYSASINKAPTEKKQESATSGCRLFGIEIGSAVSPVVTVASVGQDQPPALSADVESDQLSQPSHANKTDAPAASSERSPNETESRQVRSCTKVIMQGMAVGRAVDLTRLDGYGDLHRKLEEMFDIHGELSANLKKWKVVYTDDEDDMMLVGDDPWNEFCRMVRRIYIYFYEEAKSLTPKAKLPVIGDTIKPDPNKLSLESDMPQSDSNNNAPVAADKD >Sspon.05G0024870-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:26462322:26466993:1 gene:Sspon.05G0024870-2D transcript:Sspon.05G0024870-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAPSLLVQCFPGLLPSKATSCVPIISEKDLQLPSPAVEIIPSKSAHPYKYAGEKVDVQGLDIFKGKVSVADMIAFSPSEVASSKYDGTLKYWESSITLVNILKNEIRDGQLSFRGKRVLELGCGSGLSGIFACLKGASTVHFQDINAETIRCRTIPNVLANLEQARDRQNRPSESPVTPSRQLLAPNVHFYAGEWDELPTILSVVQPPAPPTNLSFSEDDFMDVCSSHDGSSIVGHDYCPRRSRKLSGSRAWERANETDQADGGYDVILISDVPYAVNSLKKLYALISKCLRPPYGVLYVTSKKNLVGSNGGARQLRALMEEEGVLGGHFLTEVCDREIWKFFFK >Sspon.04G0028510-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4C:68602433:68603269:1 gene:Sspon.04G0028510-2C transcript:Sspon.04G0028510-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLEVEVEVEVAVAVLGAVVVEAEEVEAEVEEALQQRSLILQKITNSTRTMNSTIVRRTYPTVLRVEDLFFLDDGELLFRAVDPLEEFDAALDDGGDPEGDEEDDGVCVGVEPVGVEEGEVEGAMLVLKGFPSFL >Sspon.08G0004300-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:12448477:12453342:-1 gene:Sspon.08G0004300-1A transcript:Sspon.08G0004300-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPLACMGRNGGYARCQALLLLVALAVVLLPPSAVQGSGGESGGSEDISAIFMFGDSIVDPGNNNHRLTEAKANFPPYGQDFPGRLGVKELLPPYLADDLQPNDLITGVAFASGGSGYDPLTSTLSTARSSVEQLELFHDYKQKVAAIVGEEKMTRVISKAIFFTIMGANDIVNNYYAVPLRRHEYDLPSYMDFLVSSAINFTMTLNNMGAKKIGIVGVPPLGCCPSQIILGGSPSRECEPLRNQASILFNSKISKEIDRLNAEWNGYGSKFVYIDIYYNLLDLIQNPVFYGFKEVKEGCCGSTVLSAAVFIAYHNACPNAIDYIFWDGFHPTEKAYNIVVDKLIQQNRKYL >Sspon.05G0022570-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:7833188:7834162:-1 gene:Sspon.05G0022570-2D transcript:Sspon.05G0022570-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADVDADANNDPERRTSSSSEADDNDASGKTPIPPCPSPARHAAGHALEEPPRRKAPHRRSRPVRMFQSMCRSLPLLNPRCGGQMHPGACRIAPPSSSRPATAPLSDSSSLLSQLIAHSSFGGAASSRHRLTGTLFGYRDGRVSLSLQQNARCQPTLIVELALPTHALLRELGAHAGARIVLEVEKRAEQSGDAGGANGALDGNEAIAAVGEGGGTNGFRHSHDDGWVLEELMWTMFCNGKRVGYAVRREPTEEDIAVLETLWAVTMGGGVLPGRSDVDGPDGEMAYMRGSFEHTVGSRDSESLYMVGPPGGDCPELAIFFVRL >Sspon.01G0026500-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:92576071:92577994:-1 gene:Sspon.01G0026500-1A transcript:Sspon.01G0026500-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGSVTLKQKKRVKQAKNKYLKPGALAQIRYSRSTSRDIGKKRILLNAKDELELPPQPEVLLENNTPILSPARLNFEPFDNKGQILPKTPKTPDASVFGGDSRLESLPLDLLIKIMCCLHHDQLKAVFHVSKRIRKAVELARQYHFNYTTPDRSRQELLLNKTPLPTEHWPFLRIDGKDVRVSTPRTPRAPKHAARLSRLRLVDVKPITAVLFQESPTPFPSKRLRRSVPPGLPRPVCKAAPSPRVLRYEEELCEAWHRISFSEDPKSAVLSSIVLGFRLVLDCLIPVKILQALLFCVPTFCQLKSDPFKSRGL >Sspon.07G0004480-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:10195833:10203415:-1 gene:Sspon.07G0004480-2B transcript:Sspon.07G0004480-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLLNKEMISGNLTNEELGTGCTKAEGPAAAAGAGAVVAKSPVLSSSRHWPPSTESRIVRVSRVFGGKDRHSKVRTVKGLRDRRVRLSVPTAIQLYDLQDRLGLSQPSKVVDWLLDAAQHEIDKLPPLQFPPQAQDLVAHLPPSMVAPFSNVAAAADRAAAANASAAAMADGDKRHCHGGGIKGLMGLNNSIGLVNATMPLAHGLYYTPAGESWTTNGNNAAVHDHQVSHGNSPQTVAHHLPFSSLLSLAAPGPQLVFYSPEGGGFAMKETTDHQFPPRTIARPALTELSKKLPSPRQPGMIRSQISTAPRSSPINQKNVV >Sspon.06G0011290-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6C:48104210:48104509:-1 gene:Sspon.06G0011290-2C transcript:Sspon.06G0011290-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGNGLPQGGRQAGSKVGEGGGEVPYVPGGQRVDEGEQHLAATVGGKACVHPYLDCPAGGRRPRLVAWRPAPVVGWWSAPWWPALMIHVGEIVGALLA >Sspon.01G0037870-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:21710886:21714713:-1 gene:Sspon.01G0037870-1P transcript:Sspon.01G0037870-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVLVGPPGSGKSTFAEAVVGGSTAGRHWVRVCQDTIGNGKAGTKIQCLKAASDALKEGKSVLIDRCNLEREQRADFVKLGSTLHADVHAVSLDLPAKVCISRAVSRKGHDGNLQGGKAALVVNRMLQKKETPLLTEGFSRIMCCNDDGDIKKAVDLYNGLGPSDSLPSGVFGQKSKRPVQVGIMKFLKKADASSVETSSGPKLALTEIKPVQQNPLPKQENVEAGFACPMEVEKGLNDKMENEEHAKESDYCDVGSRTLAFPSISTADFQFDLDRASDIIVDTAANFLQKFDNIRLVLVDLSEKSRILSLVKQKAAKKSIDSNRFFTYVGDITQLHTRGGLQCSVIGNAANWRLKPGGGGVNAAIYNAAGESLQHATKKCADALRPGTSVVVPLPSTSPLRQREGVTHVIHVLGPNMNPMRPDYLKNDYTRGSKILREAYNSLFENFASIVQSYMGKQNSESGAEKSASGGTSPNDTKMKREDSHGSERMKKHKLFQPIMTAKQQHECTKVNAPNCHDDAMTSSVVPSQTRQVDNKRNDVVTSKTWGSWAQSLYELAMNPEKYKNSDSILETSDEYIVLKDLYPKAKRHILVISRMDGLDSLADVKKEHLPLLRRMHSAGVKWAQKFLEEDAALEFRLGYHSVPSMRQLHLHIISQDFNSASLKNQKHWNSFTTSFFRDSVDVIEEIEQNGSTTTSSDEKVLAMELRCHRCRSAHPNIPKLKSHITICKSSFPSHLLQKNRLLSSTMHMDRT >Sspon.05G0005070-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:10410909:10415250:1 gene:Sspon.05G0005070-2B transcript:Sspon.05G0005070-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLSSLPPHRRGALSGGGWQWSFLDVVWGVFLIAVVVFLALVFTPRRGDPVLTASTASEVDLLPCEDPRRSSRLSREMNYYRERHCPARGEALACLVPPPRGYRVPVPWPESLHKIAERKGHQGWMKREGSYFIFPGGGTMFPDGAEQYIEKLSQYVPLKTGVVRTGLDMGCGVASFGGFLLKENIMTLSFAPRDSHKSQIQFALERGIPAFLLMLGTRRLPFPAQSFDFVHCSRCLIPFTAYNGSYLIEADRLLRPGGYLIISGPPVRWKNQEKEWDELQAMTGALCYKLITVDGNTAIWKKPAEASCLPNQNGFGLDLCSTNDDPDEAWYFKLNKCVSKVSVSEEIAIGSVPRWPDRLSKPSARASVINNGASLFEADSQKWVRRVSYYKKSLGVRLGSTHIRNVMDMNAFFGGFAAAIVSDPVWVMNVVPAQKPLTLGVIYDRGLIGVYHDWCEPFSTYPRTYDLIHADAIDSLISDPISGTSRCDLFDVMLEMDRILRLEGTAVIRASPDVVDKASQIARSIRWKAQVHDSEPESGSTEKILVATKTFWKLPLTS >Sspon.08G0015240-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:61407993:61409405:1 gene:Sspon.08G0015240-1A transcript:Sspon.08G0015240-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTGPPHVAMLATPGMGHLIPLAELAKRLASRHGATATLITFASTASATQRALLASLPRSVASRPLPPVDLSDLPRDAAIETLMSEECARSLPALTRMLSELRETTRLVAFVADQFGMDAFDAARDAGVRTRCLFVPMNLHVLTLVLRLPDLAASVSGEFRDLAEPVRLPGCVPVPGSDIPSPLQDRSNPSYMVMVHLAKRYREADAILVNSFHAVEPEVAQVLRQPEPGRPPVYPIGPLIRQPGAIPPSSPRAACLEWLDRQPARSVIFVSFGSAGALPKEQMHQLALGLELSGQRFLWVVRSPSDEGTLSDNYYNAAESNKDPFAYLPEGFLERTKDVGLLVPSWAPQTQVLAHMATGGFLTHCGWNSTLESLVHGVPMLAWPLFAEQRLNAVMLAEGVGAAIRLPERKDKETIAAVVRELMVGEGKGAMVRVKVAELKMAAAEGLREGGAATTALDEVVDKWEAEAN >Sspon.02G0016830-3D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2D:49323549:49324031:-1 gene:Sspon.02G0016830-3D transcript:Sspon.02G0016830-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIKKRWSPPMPSLVLLLVVLIAADSRAAAQVFCRSQFNLANEACSLRPFSGPNPAQPLQLHSNGSSASAASYETQADHHEHGHEHDHDREHTHSRRHGFGHGGRDPYDTACCRRLMGIDNACICQAMSFLPVFMSKVKHAIKLSPVPGCDVSFECGAVY >Sspon.01G0001890-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:5535791:5537876:1 gene:Sspon.01G0001890-1A transcript:Sspon.01G0001890-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLYLPQCHGRGGKKKDVTKGVQAAKGAPSGVQWSAPLTPRCAFPVSTTAPAAEVESVALLALGVRSNRTDRPLFARDWHDVHLAPTTRPSTTVAATGKASQSVIRAVRSGTYTTPHLLSKDLAVLVLVTARFGSWWCIKAVVKHKLPPLSLVSPEPRAKQPTIKSSIHRPRHTMAVPAGLAAVSTALQLLLLVWWLPLLASACDRCVRHSKAAYYTSSLTLAGGSCGYGTEAASFSAGFLAAASPALYRAGVGCGACFQVRYLPLLPSPINHHLARAGRRRSRSRFPISLLYLLPVLFVSPDSACPHSAQVWCKDKKLCAAAGARVVVTDRARTNRTDLVLSSTAFAAMARPGMAKRLAGLRTVDVDYKRTGGRTVGRLAAAPP >Sspon.08G0013490-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:56392201:56410855:1 gene:Sspon.08G0013490-1A transcript:Sspon.08G0013490-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGLRGVRDDLSELGRHLLDIACFLHPLLNPAHTDSPPPTPTGPGPRRRARRSPSPHPPSPSLLAGILSDLAEIGGSFRGGFSRAAAAPSSPPPPDHPAPAGVESQQAAASPPSPAAADQIAVDVVGAARALAARPEAWIDFPVLALDEDSVISGIQRDHMESIEKLVPDLASLRGRLCPSYMDEDIFWKIYFRLLESNIIEHSSEEDTQSVPNSVHHNNEIESDSPPHVCEIESVKSNQEGYQSSDGRALPKTRSERSIDQWVFAKSKSEESMDQWSEIPSDVESFREGKRYLSSEELSDVDSANVVVMDKYMDSLLSDRRHLPYASSSVRQDSVRRKPASSTDYSHRPPQPTPPASLSKKESWDVIEDSEFDILDSSRKAIAKARGAGGGGRMARGLRGVRDDLSELGRHLLDIACFLHPLLNPAHTAAHPDGTGPPPPRAPLPVPAPAVPVAPRGHPLRPRRDRRLLPRRLLAAAPILAAARPTTPPPPGSSRSRLPRPRLRLLLPTRSQLMSEQRVPSPRAPRHSVISDIQRDHMESIEKLVPDLASLRVGSVLLTWMKTYSGRFTLGRYPELPNSVHHNNEIESDSPPHVCEIESVKSNQEGYQSSDGRALPKTRSERSIDQWVFAKSKSEESMDQWSEIPSDVESFREGKRYLSSEELSDVDSANVVVMDKYMDSLLSDRRHLPYASSSVRQDSVRRKPASSTDYSHRPPQPTPPASLSKKESWDVIEDSEFDILDKQEIVRMPLQKSSYL >Sspon.01G0010490-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:6617543:6622847:-1 gene:Sspon.01G0010490-2B transcript:Sspon.01G0010490-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLCHGKPTQIPEAEAEEDPHVACGAGDGGDGATSPSAAAPAAKPGTPKQPKFPFYLPSPLPPSSYKGSPANSSVASTPARGGFKRPFPPPSPAKHIRALLARRHGSVKPNEASIPEGGEPELGLDKSFGFSKHFFAKYDLGEEVGRGHFGYTCSAKAKKGEHKGQDVAVKVIPKAKMTTAIAIEDVRREVRILSSLTGHSNLVQFYDAFEDEDNVYIVMELCKGGELLDRILARGGKYSEEDAKVVMVQILSVVSFCHLQGVVHRDLKPENFLFSSKEENSPLKVIDFGLSDFVKPDERLNDIVGSAYYVAPEVLHRSYGTEADMWSIGVIAYILLCGSRPFWARTESGIFRAVLKAEPSFDEAPWPTLTAEAKDFVKRLLNKDYRKRMTAAQALSHPWIRNAQQVKVPLDMIIYKLMRAYISSSSLRKSALRALAKTLTTNQLFYVREQFELLGPNKNGYISLQNLKSALVKNSTDAMKDSRVVDFVNTVCTLQYRKLDFEEFAASAISVYQMEALETWEQHARRAYELFDKEGNRPIVIEELASDSDLAHRCLFTLSSKTGSDTLTGS >Sspon.03G0010270-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:42790283:42794020:1 gene:Sspon.03G0010270-3C transcript:Sspon.03G0010270-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MESRALLDARPAALPPRAGLRLPLPLPLPRPRAVSLTPAAKPALQSPLLASRGPFLPRHDAVLGNGFLKRRAASAGGDVSFRAQAAAVPAPEESSKKFLGIDVKTLKKIVPLGLMFFCILFNYTILRDTKDVLVVTAKGSSAEIIPFLKTWVNLPMAIGFMLLYTKLSNVLSREALFYTVIFPFIAFFGAFAFVLYPLRDVIHPTALADKLLAALGPSFLGPVAILRIWSFCLFYVMAELWGSVVISVLFWGFANQITTVDEAKEFYPLFGLGANIALSFLGYFSNLRKTLGPGIDGWEVSLKGMMSIVVLLGLVITSIYWGVNKFVLNDPSLPKSDRKKKKEKPKLGMKESLKVLLSSRYVRDLATLVVAYGISINLVEVTWKSKLKAQFPSPNEYSSFMGDFSTATGIATFTMMLLGRIILRKFGWGVAAMITPTVLLLTGVGFFSLDFVWTATDSYACHDGMTPLLAAVYVGPCKTYLARVQSI >Sspon.05G0021670-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:90862946:90866036:1 gene:Sspon.05G0021670-1A transcript:Sspon.05G0021670-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GPTTATTSSAPSTPRPARCSASSLRAWRADVVGPWDRDPSIAVLVVAVCRTQGGVVLRIQKPVERFVGGRMIVEVMALMEGLDATLGLGICSVTVVTVRRKFDQCEVSLVEPSQVSYDVKLATDSLTVQIAKALAANASKEKRESCAICLEDTDITKIHVVEGCAHRFCFSCMKEHVKVKLLNGTLLACPQEGCATKLSVEGSRVFLSPRLLEIMVQRTWEGQIPPSQKIYCPYPKCSALMSLGEVIHPMQESSSRYTAADAATLRKCVKCRGSFCLSCKVPWHDGMSCYEYKMRYPHARPEDAKLQNLARQRLWRQCVKCKHMIELAEGCYHMICVCGYEFCYTCGKEWKNNKASCSCP >Sspon.02G0001580-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:13232844:13233768:1 gene:Sspon.02G0001580-2D transcript:Sspon.02G0001580-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLRGGLVLIGATATAPPLPWHQKLLVCNPATGRRLVLPPEPEPFPNGGCSRERYVLLVGDRDDGEGGAAVGRPFQVVKVKLLLSQYNSSYCRLLVQTFSRGPRARRSRLLTYTGGCATSLLVVGDVVHWLCLTDVGSYVLMLHVREARVSETALPVTFPRDTYLLATDSAGGSPVVLVADAENMNIRAWEQCKRTKSGKTWKAWPHVVIEREAILGFNDEMVKTFRREEGTTRTKCGLELSWFGERSGAVLLRTHGCCLLWLDLHSKKIVRCFSSDRRMSYAQVYCPYEMDLSSWAPTFSCAVTI >Sspon.04G0034590-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:76720370:76721627:1 gene:Sspon.04G0034590-1C transcript:Sspon.04G0034590-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLTGDCHNSRGQQGMCVVGAINNYTDQLYSYGQKTSYNLTESLMFLAHFVGDVHQPLHVGFEDDEGGNTITVHWYRRKANLHHVWDVSIIDTAIKDFYNKSMDTMVETLKMNLTGGWSDDITHWENCENKHATCANDYAIESIHYSCNYAYKDVEQGITLGDDYFFTRYPIVEKRLAQAGIRLALILNRIFDGDKADDIPLQVGVTLVP >Sspon.02G0026240-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2A:91114605:91115717:-1 gene:Sspon.02G0026240-1A transcript:Sspon.02G0026240-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQSSAASSSSTAMEEDDDYEPPLMATYKHLLDSHPHRLDVVDHRSDADEEECFLPVIDLSSLLQQHQHKQSSGAEAAAAEQCRASIVRAASEWGFFQVTNHGVPQALLDELHQAQVAVFRRPFHLKASQPLLDFSPESYRWGTPTATCLEQLSWSEAYHIPTTNTTAPAATAGDDDDKTRLVVEQVSTAMSKLAQRLAGILVADVGDGEDGDTAVVSRCTRSTCFLRLNRYPPCAAPSGAYGLCPHTDSDFLTILHQDGVGGLQLVKAGRWVAVKPYPGALIVNVGDLLQAWSNDRYRSVEHRVMASAARERFSVAFFLCPSYDTLIRPRCGAGGPPRYESFTFGEYRNQIREDVRLTGRKLGLQRFRKP >Sspon.04G0004600-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:14561030:14564118:-1 gene:Sspon.04G0004600-2D transcript:Sspon.04G0004600-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ERDGEGLERWGTAGPDRRTPRTGRALRCCQTCVGRKKNRGLKIKKSIYGSIASKGKHKGSPGQRGGSCQIGETKGYSWESWPYLPEMRLPSAFTVLTLNLPRTQDIWRHIHSLMPLRDAARAACVCRAFLYSWRSFPNLTFSKQTLGLNEKAGGRCEIIRDFTNRVDHIMKNHLGTGVKTLKLLGAPNSARYQRRLDSWLEKAVTPGIEDVTISLAEQFTAKKFKFPCSILSHGNGDYIHRLHLGHCDFRPTIGFGCLRSLARLHLFFVHIEGDELGSAELASSTPNLEGGIHPNNEGPFEQGRKKKTRLYLDGREHAAAKMHSGEDDAEEKA >Sspon.02G0054670-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:117419168:117422684:1 gene:Sspon.02G0054670-1C transcript:Sspon.02G0054670-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPSSSTRRLQWSGTDDHAAGGELSLPRRRWQSVLQVTRTRRKTTEGLFGFRRIMDAEFMGMFLPVFGSMLQRVVSEEVEKAMFRQFSAPAAPPRLLVDRNQHPRYQLMFLNGLKPVYTLTKLESDDGTALKVAIVERLENGHTNIVRYGPLSSARVEVVVLHGNFNAKNEESWSPEEFKKHIVSGREKSAQLLTGNLALKLNGGEAVLENATFTDNSSFTSTKMFRLGLRLVNSTGERVLEGVTKPFRVKERRVEGFEKHYPPMLDDEVWRLKKIGKIGAYNQALSDNGINSVQEFLRAYMKDEQKLIKNLVESLKQVAYQNIDGLQPNYKMVNNYPVLCSFPAQGTSLFSALHPNQQTLNYDMGESSTGVGFGTRPSRETFNTSLGASNVPVDISRFVQGQTSNYMTLRHEQTINRVLPYDSSKEALLPRPRLTQLQIPNSERTFFGPDASPPAVIPNNILVGQGTALSEESYSGLPVNSLSSTDMIMSLMQSSFQLPRNSDSFSNHSEQQCNGHTTMQLQQFVTGFQPSRTNSFDSNSCDELIQNFISKISNSEGASTPLSPRKWVKIRAALKLASVGRLSRTSRRDPHCNPPRPRLVPII >Sspon.03G0017370-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3B:78518310:78518633:1 gene:Sspon.03G0017370-2B transcript:Sspon.03G0017370-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGVWVFKNGVVRLVENGSAAGGEAVRRRKALVHTPSGQVVRSYAELESELRALGWERYYEDPALYQFHKRGSLDLISLPADFARFSSVHMYDIVVKNRDSFRVVDV >Sspon.04G0020890-3C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4C:79378165:79379232:-1 gene:Sspon.04G0020890-3C transcript:Sspon.04G0020890-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKATSLSELGFDANGASAGFFRPVTDGMDSTPTSHHRRRLTKVSVIGAGNVGMAIAQTILTRDLADEIALVDALPDKLLGEMLDLQHAAAFLPRTRLVSDTDMSVTRGSDLAIVTAGARQIPGETRLNLLQRNVALFRKIVPALAEHSPDAILLIVSNPVDVLTYVAWKLSGFPVSRVVGSGTNLDSSRFRFLLAEHLDVNAQDVNAYMVGEHGDSSVAVWSTLSVAGMPVLKSLQESHSSFGEEALEGIRRAVVDSAYEVIGLKGYTSWAIGYSVANLVSSLLRDQRRIHPVSVLATGFHGIPDDHEVFLSLPARLGRAGVLGVADMELTEEETRRLRRSAKTLWENTQLLGL >Sspon.03G0020850-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:83399459:83404212:-1 gene:Sspon.03G0020850-2C transcript:Sspon.03G0020850-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATSIGTVVKILGNDAMVGSIGNLYGSVEKLDETYVRSADAKGALLAPAGGYDGGKLLQLPEAAQSTEFYRCASGGNYSECITYMSKPHDDHEDDRRGFEPCVWWRGSGGPVVGSCSRQGFCAGRGDVHGDGLDDLKVAPMSTISGITLLSTFGITDIGMLQEKTVKLGYEDLVAVVAYLIVCTSTWVSVQGMKIQKASLQSKTVLTDVFLLLIAKEAQVVVLAEAGKGVVDFLLGLLAMPIGAVVKLLGHKEKEGALAGLASLYASVQQMDAGYMQSLETRDALLNPAPAHPALIAAAGGFPSLVQPPLSAPPAPAGKASAGPGGLSLKNLSLPAFGLGSACHCAACLAAQAQEQGSKGFVRGAVTYTVMDDLSVTPMSNISSIALLHRLGVEDLGALEEKTVKIGYQEGLEILKASLHSKTVLTDVFLVLAAKKKRTRTEKNPTSSSQQQEKKARGDPAAPAEKELTVVE >Sspon.07G0007270-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:19104086:19109106:1 gene:Sspon.07G0007270-1A transcript:Sspon.07G0007270-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LSPSSRPEPDGVPPPTLLFSRPRRPFLRGSHRTPRATHTAVVHVDQIVRRIAFSPARVCEVAGEELAAQIRPSHPPARHSSSQWGFNQTTTMSLACLVCHGMSSPSHSLRSYSVSSSEEESRCGAAVACLGRRVTPAGTSASVGTSKVTPFPPMVSGQVGTEGTPRLQRSRAVSRDLVRDWNFDEVIVAN >Sspon.05G0008890-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:5A:26256490:26256795:-1 gene:Sspon.05G0008890-1A transcript:Sspon.05G0008890-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRALSGGPLVLLLGNGVPVSCIHEWVGANAVERVQMDGSHYTLGGWIGAKPSRIGLIPPMLRWDPAPCCFWILDRLPSLKAQPKRLELRIIAGPKWAKC >Sspon.08G0012370-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:50835626:50839891:-1 gene:Sspon.08G0012370-1P transcript:Sspon.08G0012370-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:G-protein coupled receptor 1 [Source:Projected from Arabidopsis thaliana (AT1G48270) UniProtKB/Swiss-Prot;Acc:O04714] MAPAVAAASSAEMSQALRDRQILDAVGTGAAALSLVGSSFIVLCYLLFRELRKFSFKLVFYLAVSDMFCSLFTILGGPSNAFYCFAHDYSAHFFCVASFLWTTTIAFTLHRTVVKHKTDVEEFGSIFHLYVWGTSLATTVLRSIGSDYGRPGTWCWIQQGSMAKALNRMLNNATRMAAGISDRSNQSDIRADRKAFNRWGYYPLILIGSWAFATINRLYDFANPGHKIFWLSFLDVGFAGLMVPTERIKRSLPTLSRLRSQQENELTSLIVE >Sspon.04G0017670-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:67905953:67906933:-1 gene:Sspon.04G0017670-2B transcript:Sspon.04G0017670-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVTAASSASMASEAEMVGEAGGGGERKRKKTLGRRKIDIKPIKCMEARHVCFSKRREGLNKKASELCALTGAKVAVIVYSPAGKPYSFGHPSVSAVVDRYLDHDPVSSAAANDAFEAPPPPMTYEFDGQRDRLCEAIAAEARRKDTLDAAARAAGVWTDDVVRQAEMPELVAMLAALERVKDDADHAMRQHQCAAAAAAGACDACYYDLGDGTFAADDYGGASSSSHHHQQAAMDAQTMALLMGSSAVGHAAAHAPMLLPPPDLPPPTVAPVPLAFNYGSDHNHITGYEGYAYDLGDGGGYGHGGAAFETEGCYFGPTATCNFFG >Sspon.01G0018630-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:62140743:62141576:-1 gene:Sspon.01G0018630-2B transcript:Sspon.01G0018630-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MANSFMLCTVVVAACLTVAAADWSQGTATFYGGVDASGTMGGACGYDNLYNAGYGVNNAALSPTLFNDGASCGQCYLITCDPTRLGGQWCKPRNSITVSATNLCPSNYALANGGWCGPGRPHFDMSQPAWEHIGVVQGGIIPVLYQQVKCSRTGGVRFSIAGSQYFLLVNIQNLGGSGSVGAAWVKGDKTGWIQMSRNWGANWQALAGLYGQGLSFAVTSTGGQYIQFWNVVPG >Sspon.03G0020390-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:63578218:63582959:1 gene:Sspon.03G0020390-1A transcript:Sspon.03G0020390-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTSATSQQGGAVEWEVTTHKTPVPHRAVASKARKQNLSSSTPSSSGVAMAPAGGGDVVGESGGSSKRHRVERRDGGAKEGQSLGVESGSGKSKDPVMPKECLEVRCEDSQRIIKEIEDLKSEVDEDLRQLGYCEENEKLRAELAVKVKEMHCLMKRIEELEAKNDLLKKRTEELEAINDGLPKRNENLQAKNGDLTKQNMELQAENEDLTKQNKELQARNAGLTKGNEEMQAKNDDDLHKNIKEILEIQTDAKRKDLLQFLGLYSIMDFPYTQLKSSDEEGNKIEASSVVAAGSDPKIQIKALISQLLSLTQQVVSADSIQAKDADLANQKEEIKSPKAYLGEESYSNKKDFSQRKIEDGEKLEKVLRARATKAILEAISAEKETAEESLKVVQAELEANKSSLAQALSDRDSACQVTGKMKEDITELMNEAGTISASPRSKTTTPTLTCQ >Sspon.02G0055030-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:108273891:108275793:1 gene:Sspon.02G0055030-2D transcript:Sspon.02G0055030-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRHRSIRESAWVAEVEREVADGWASDEEYARWRYQCIYPVPACIKDLNPRAYRPQVVSLGPFHHGEPHLRPMDAHKRRSLVQFLRRARRPLEDFVAAVAEAGGLKDSYQGLGNEWRIHGGEESYSHDDPVFGDHGLLYTVPYIRRDMLMIENQLPLLVLERLLAVESGKNGNDDLINQLVLKFLSPTSSPLANGVGLALHPLDVLRRSLLHVPRPAGPRAPPDASIPTDDDVVIRSAEELYEAGVRFKRSATSSLLDIRFDDGTGTLYLPSIEVDDTTEYMLLNLMPFERLHVGAGNDVTAYVFFMDNMVESARDVALLTSPRIVLNSLGSDEAVAKLFNGLSRDVALETQSALNHVHQEVDAYCRKRWNQWRANLVHTYFRSPWSFLSLTATVFLLVLTITQTVYTILQYYR >Sspon.04G0003600-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:11949399:11951388:-1 gene:Sspon.04G0003600-4D transcript:Sspon.04G0003600-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MITATDFYHVMTAVVPLYVAMILAYGSVRWWRIFSPDQCSGINRFVALFAVPLLSFHFISTNNPYTMNLRFIAADTLQKLMVLAMLTAWSHLSRRGSLEWTITLFSLSTLPNTLVMGIPLLKGMYGEFSGSLMVQIVVLQCIIWYTLMLFMFEYRGARLLITEQFPDNAGAIASIVVDPDVVSLDGRRDAIETEAEVKEDGKIHVTVRRSNASRSDIYSRRSMGFSSTTPRPSNLTNAEIYSLQSSRNPTPRGSSFNHNDFYSMVGRSSNFGAADAFGVRTGSTPRPSNYEDDASKPGNKYPLPVVNAASVPGAAGHYPAPNPAVAAAPKKKAAGTNGQAKGEDLHMFVWSSSASPVSDVFGGGAPDYNDAAPSMDGGGAKDRDDYGERDEFSFGNRGAMDRDAEAGDEKAAAAGGDPSAVAAPTAMPPTSVMTRLILIMVWRKLIRNPNTYSSLIGVIWSLVCFRWNFQMPAIVLQSISILSDAGLGMAMFSLGLFMALQPRIIACGNKVATFAMAVRFLTGPAVMAAASFAVGLRGTLLHVAIVQ >Sspon.01G0061090-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:89621602:89628298:-1 gene:Sspon.01G0061090-1D transcript:Sspon.01G0061090-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTLKELKTSNKELKDQHDKLEKKHDELITRHNSLKDKYTTLKIDYDSLVVANELALETHDATNNVVKCDIATSCDDLIIESIEQGSSSKGKSVVESNNYDDYAKIKCENEKLANENKKLKGLMALEKQTTNESLIEENKKLKQEKEHLKTGLSKFTRGQYLQSELLMNTVMKMDRSGIGYLANQEKKAKAQQQQSKSKPKPKRCVECGQEGHFAHECKTPPPQPLPKHARPFAFNAHYMLRKDSNGKMKVMFLGPPNKNRPKKIWVAKSLVEKVNYKTGGSHWVIDSGCTQHMTGDPRMFTSIEENGICQEKITFGDNSKSKVKGIGKIAISNDHSLSNVLYVASLSFNLLSVGQLCDLGFQCLFTPNEVEVSKIDDKQVIFKGFRYNNLYLVDFTSEDANLMTCLFTKTSLGWLWHRRLAHVGMSTLNKLLKKELVRGLKDVKFEKDKPCSACQAGKQVANTHPTKAFMSTSRVLELLHMDLFGPTTYKSLGDKKIRSDNGKEFDNTNIEAYCDEIGIKHEVSSTYTPQQNGVVERKNRTLITLARTMLDEYNTPEKFWAEAINTACYASNRLFLQKFLGKTPYELLNGKKPDVSFFRVFGCKCYIYKKRQHLGKFQRRCDIGFLVGYSSKSKAYRVFNHTTGLVEETYDVEFDESNGSQGAIENCDDVGDEPLREAMKNMPVGDIKPKDDEDDVQIIDPPSSSRVPQDDDKNERVENEDTYISHEQAEAQAQDVDAPHPPPQVVDRRNSPLLQAHPQELIIGSPTKGVMTRSQKLASFVEHHSFVSCVEPKNVEEALQDPDWVNAMHEELNNFTRNQVWTLEEHPKGARVIGTKWVFRNKQDDQGIVVRNKARLVAKGFSQVEGLDFGETFAPVARLEAIRILLAYASHHDMKLYQMDVKTPPDFLIEKGFTIGKVDTTLFTKKLNGEIFICQVYVDDIIFGSTNEDYCKEFGELMSKEFEMSMIGELTFFLGFQVKQMREGIFISQEKYTKDLLKRFKMEECKPIKTPMPSNGHLDLDEGVADSPSSAAPSPSCSPPATPLPCSSRALHALLARACPLLLARSHAREIHSRAPPPPQPPVHPRHR >Sspon.07G0011570-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:41128411:41131412:-1 gene:Sspon.07G0011570-1A transcript:Sspon.07G0011570-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hypoxanthine phosphoribosyltransferase [Source:Projected from Arabidopsis thaliana (AT1G71750) UniProtKB/TrEMBL;Acc:F4IA25] MVSADVGIDYVLWTEAEVSARVGEVAAELAADLRALPEPAVVVGVATGALLFLADLVRRVDVQLAVDFVRVESYGAGTESSGKPRITADLKVDVAGKHVVVVEDIVDTGNTLSCLIAHLEKKGASSISVCTFLDKPARRKVSVQLVGDGKFYRGFEELLKFLLNIRTSFYFR >Sspon.03G0018920-2P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3D:44476741:44477520:-1 gene:Sspon.03G0018920-2P transcript:Sspon.03G0018920-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGNSNCNGEEFISEVATIGKIHHVNVVRLIGFCSEESRRALIYEFMPRGSLDKYIFSSEKTFSWDKLNEIALGIASGINYLHQGCDMQIVHFDIKPHNILLDSNFVPKVADFGLAKLFPRDDSFMPLSAMRGTIGYIAPEMVSRSFGVISTKSDVYSFGMLLLEMTGGRRNADPHAGSSSQAYYPSLVYSQLSQEDVGGISESVDMHELEKKLCIIGLWCIQMKPQDRPTMSEVIEMLEAGVDGIQMPPRPFFCDDEVD >Sspon.01G0060270-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:75802380:75808268:1 gene:Sspon.01G0060270-1D transcript:Sspon.01G0060270-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLIDTMVIGQTSALQLAALGSPSTPPLYALFPSSPYKHRPAKLARDSVLRLPKLHIHVPFGRNIEHGGHLLSQKGMFLFTKVFGTQVLTAFTGSGNYELISSANTYAQIRGFAWPAVLVGLVAQSASLGMKDSWGPLKALAAASVINGVGDIFLCSVCGYGIAGAAWATMVSQVVAAFMMMQNLSNKGFRAFSFTIPSVRELLQIFEIAAPVFVTMTSKVAFYALLTYSATSMGAITLAAHQVMINVLCMCTVWGEPLSQTAQSFMPELIYGANQNLTKARMLLKSLVIIGAITGLTLGAVGTLVPWLFPSVFTNDQMVVQQMHQVLAPYFSVLLVTPSIHSLEGTLLLSRLRLAT >Sspon.02G0016900-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:51001618:51005080:-1 gene:Sspon.02G0016900-3C transcript:Sspon.02G0016900-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAREMEMARKATSSPNKFLSGFVYVHRTLHACKELYLLPPYAYAKKGSNMHAASYSSTIHTGPKSPVRNGGGCPPHKKNTTEPRGRKNEQQNVRKGGNQDMFSHDEGKRRSSTSQTSPKSQRSPRHEQPLSYNRLHTEERAIRRAGYNYQVASKINTQEIIRRFEEKLAQLMEEREIKLMRKEMLLLMPMSTRPLTVPKEPSFLRLKCCIGGEFHRHFCYNGANYKAIK >Sspon.03G0024260-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:97505059:97507278:-1 gene:Sspon.03G0024260-2B transcript:Sspon.03G0024260-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGKKASEFSGEEEVIAEFERLTRNAAAVQRETLRRILDENAAVEYLQRHGLAGRTDPDTFRACVPLATHDDLEPYIARVADGDTSPVLTAKPITSISLSSGTTQGKRKYLPFNDELFKLTMHVYRTSLAFRNRAFPVEGGGKALQFVYGSRQFTTKGGLTAATATTHLYRNEEYKAAVRDIQLPCCSPDEVVFAAADFAQSLYCHLLCGLLFAGEVRTVFAMFGHNLVLAFQTLERAWEELCHDIRHGALSPARVTEPALRRAVSALLAPPNPALADEVARRCAEAALSDWCGVVPALWPNARYVHTIVTGSMQHYVRKIRHYAGGLPLVAMDYGASEGMVGANVEPEVPPDSATFAVVPDIAYFEFIPLKTNDSLCQYRHEQRRSGSRRPDGGHRRRHYEVVMTTFAGTLKWHAGLYRYRLGDVVKVAGFYNLTPKLKFVSRGSIGPTLCINVDKNTEQDVQLAVDGAAEIILLAARNRVEVVDYTSHADVSSDPGHYVVFWELSGEADDDVLQRCCDELDRRFVDAGYVSSRKTRAIGPLELRVLRRGTFQKVLHYYLALGAPANQFKLPRCIFRSNSGVLKVLSDNAVKIFFSTAYD >Sspon.01G0018360-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1A:68507005:68508898:-1 gene:Sspon.01G0018360-1A transcript:Sspon.01G0018360-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VVAENGEDLQRPREFLPLSKLEDIGVLYWHLDPKKSQSEEELAKIRKDRGYSYMDLIEICPDKLENYEEKVKNFFREHMHPDEEIRYCLEGSGYFDVRDKDDKWIRIWIREGDMIILPAGIYHRFTLDSAKYSKLMRLFIGEPVWTALNRPQEDHPARQEYVKNVSAGTGFALAAH >Sspon.04G0017410-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:67134384:67137743:1 gene:Sspon.04G0017410-2B transcript:Sspon.04G0017410-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoglycerate kinase [Source:Projected from Arabidopsis thaliana (AT1G79550) UniProtKB/TrEMBL;Acc:A0A178WHC3] MATKRSVGTLGEADLKGKKVFVRADLNVPLDDAQKITDDTRIRASVPTIKFLLEKGAKVILASHLGRPKGVTPKYSLKPLVPRLSELLGVEVVMANDCIGEEVEKLAAALPEGGVLLLENVRFYKEEEKNEPEFAKKLASVADLYVNDAFGTAHRAHASTEGVTKYLKPAVAGFLMQKELDYLVGAVANPKKPFAAIVGGSKVSTKIGVIESLLAKVDILILGGGMIYTFYKAQGYSVGKSLVEEDKLELATSLIEKAKAKGVSLLLPTDIVVADKFAADAESKIVPATAIPDDWMGLDVGPDATKTFNEALDTTQTIIWNGPMGVFEFPKFAAGTEAIAKKLAELTTIKGVTTIIGGGDSVAAVEKAGLADKMSHISTGGGASLELLEGKTLPGVLALDDA >Sspon.08G0009700-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:36347700:36349138:1 gene:Sspon.08G0009700-1P transcript:Sspon.08G0009700-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSALSSTSSHGSRGAPHIVLLPSAGMGHLVPFTRLAVALSAGQGCDISLVTAMPTVSSAESRHIAALCAAFPAIRQLDHRLVPFDASSEFPGADPFYVRYEALRRSAPLVLGPLLASAGASALVADIALASVAIPVARELHVPCFVFFTASATMLSFKAYFPTYLDAVGAGHGVGDVDVPGVYRIPSSSVPQALHDPDNIFTRQFVANGRALVTADGLLVNAFHAMEPEAVEALQGGSMVSGLPPVFAVGPLMPLNDLRGTGEAAQEQGNYRAWLDDQPPRSVVYVSFGSRKALPKDQINELAAGLEACGHRFLWVVKGAVVDRDDAGELSELLGEGFLRRVQGRGLVTKSWVEQEEVLRHPAVALFVSHCGWNSVTESASSGVPCRFADQRVNARVVARAGLGVWAEQWSWEGEEAVVRADEIAELVTEAMGDDAMAEKAANVREAASRAVADGGTSYLSLAAFVRRCTA >Sspon.05G0003720-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:17821201:17823356:1 gene:Sspon.05G0003720-2B transcript:Sspon.05G0003720-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AIELEAEAYPLLDELTAKISTLNLERVRRLKSKLVALTRRVQKVRDEIEQLMDDDGDMAEMYLTEKKMRMEASMLDDEDLQGIGNSHNGFDSSLSAPVSPVSTHPATRRLEKEFSFARSRHSSFKSSDSSQYNIEELEMLLEAYFVVIDYTLSKLTSLKEYIDDTEDFINIQLDNVRNQLIQFELLLTTATFVVAIFGVVSGVFGMNFEVPLFSVPHAFEWTLAITGVCGAVVFCCFLWYFKKRRFFPL >Sspon.02G0038900-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2D:39961426:39965932:-1 gene:Sspon.02G0038900-2D transcript:Sspon.02G0038900-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQIGGNWGEEEEEEGGRGGTRSRSRGGEEGEGRLRSRTFPTYLVEARAIVLVSRCMEVVAREHERAWREGRRAGSPEAAVVGPHSSGEEGVQVAAGKGREGGREGRERGREEGREEGSLAARREEARGRRSKRVCELGAAEEARKEEEAGEWIRPNRSERVDVGRPTQIKAMAKVQSGNLSSCSHRSTETKEYIQENIPNRGQHKRTRRTPRSIDTEKLSKLGREEMMLAIAKSSMELLLVPCAVATMLGYHLHLLYRIFRRPHTTTIGYENHNKLAWVERVARATAPEEAALALSVISDGISASTTLASLCIALASLIGAWVSSSASPALTSAGGEATATATAKYASLLSCFLASFTCFVQSAGCYVHASFLISALGSDAPVSHVQRAVLRGGGFWAAGLRALYLATALLVWVAFGAAAMLACSVLTVAVLYLLDGNSVPMHRHQFMLRSKGLSVTTARPIVVARGA >Sspon.02G0021460-1T-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2B:70791064:70791986:-1 gene:Sspon.02G0021460-1T transcript:Sspon.02G0021460-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GLLRLRRRRRASPPPLRPRVRRGRRTGRGRHGAGRVQQDAVPQDLRGQPRGEAGRARRRPRGSWRSCSF >Sspon.03G0013900-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:40356302:40363164:-1 gene:Sspon.03G0013900-1A transcript:Sspon.03G0013900-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVSRAYTSYCRDGREISPCNTDYTKANEFMYHMHDMDVTCDVHPMEILLALDYVRLSAFAVLLLWVIGEFLKLKKGQHEAGSGVVISAERKGVILLPSHIISVCNASITSIHIGFAVLGVWKHQTISLGFIFDSLSWILATLFSVYCKHKGAGLGSNWPAVLVYWWVFSSLLESLLTSLHFLHLINSATVVNFTSLPFCAVICLCLVAIAMRTSEANQDELNQPLLTREDSGHSSRDRFSSSRWWSQLTFQWLNPVFEKGHQVRLEIEHIPAVPQSETADQSYALLQETMHKQKPEPMSLQKGIICAVWTPLIINAVFAGLNTLASYMGPFFITYLVKLLSDKNPDRGHGHGYMLASLFFVSKTVESLSQRQWYFGARRIGFRVRAALMVSIYKKSLLIKDSTAGTGRIVNFLDVDVEKIGEFFWYIHGIWLLPLQVSLAFVILYHSLGMVASLSAVFATVLIMVSNTPLAKSQKNLNMKIMEAKDSRIKATAEALKSMRILKLHAWETAYLDKLLKLRDVERGLLRRYLYTCSAIAFLFWASPTLVSVVTFGICILVDVPLSAGTVLSALATFRILQDPIYNLPELVSMVTQTKVSLDRIEEFIKEDHHTKPSSYGSRSSTEKQSVAGIVELGAGEYSWEATDNNLKNTKFTLKIDRKVDIMKGHKVAVCGPVGSGKSSLLCAIMGEIPRVSGAKTMVVGSRAYVPQSAWIQTGTIQDNVLFGKAMDKARYDEVLQGCALNKDVELWANGDMTVVGERGMNLSGGQKQRIQLSRALYSDADVYLLDDPFSAVDAHTGAHLFKECLMSQMSSKTVIYVTHQLEFLRDADLVLVMKDGRIVQSGKYDNLIADKDGEFSKQMGAHNKSLSQVNPAKVQGLGTNKYKKKQMELTEIEPDHTVLGRKSEEERESGRVKWGIYRKFVTSAYRGALIPVILACQVLFQALQICSNYWIAWASERKELVSREKMIGIFVLLSAGSSVFILGRAFVLSAIAIETAQQLFLGMIKNIFRAPINFFDSTPSSRILNRVSTSILPPGCNIITNTLLPASTDQSTVDIDIPYRLAGLIFALIQLLSIIFIMSQIAWPILFLFIVIISISTCYQSYYISSARELARLVGIKKAPILHHFSETISGAATIRCFNQGELFLRKSLTLIDDYSRITFHNAATIEWLCVRINFLFNLVFSVMLVILVSLPHDTIDPSLAGLAATYGLNLNVLQAWVIWNLCDVENKMISVERIMQFSNMPSESPLVVEDNRPMERWPWYGTIQIDGLQIKYDLDMPMVLKGISCTFPGERKIGVVGRTGSGKSTLIQALFRIVEPSAGRILIDGVDISLLGLHDLRSRLSIIPQEPTLFQGTIRSNLDPLQQHTDAEIWEVASKCCLEEIIREDSRLLDAPVTEDGGNWSGGQRQLVCLARVLLMKRKILVLDEATASVDTVTDNIIQRTIRQETKTCTVITIAHRIPTVIDSDLVLVLGEGRILEYDSPKNLLRDESSAFSKLVMEFVGRTDNWTAYDGK >Sspon.01G0024290-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1A:87181864:87186025:-1 gene:Sspon.01G0024290-1A transcript:Sspon.01G0024290-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHFISAIPKLTGQNYVLWREELDAALALAEIDLALQEPKPTEPEEPERAQNETDEAFANRKRDYAPIRAKYDLEKYKWEKSNRKCKIVIKKTITEGLRGAIPECETAKEYLEKVKNQFTGSTKAHASTLIQKLTNMKFTGGSVREHILSMSTMAAKLDKLKMPLADGFIIHLALNSLPKEYETFVVNYNTQPEDWDLEKVIAMCVQEEERLKNANGGSVNFVKGKNKKPFYNKKAPDASTSHNKGGNSSQHKAQPKQDNQQKQEDPDRCRWCNETGHWKHDCPKFMRHCLVKGEDIITFVDESLYLSYARSTWWIDSGATVHVANSLQGFNGGRTLQRGERQIKVATGVEAEVRAIGNLSLDLASGFTLQLHDVLYVPSLARNLISVSCLSDYGFDCHFSKNDCKLQLNNQCVGLAFRQDKLYLLSTSENVNAECNNAEDAIPADASKKRKRIDSSSKLWHCRLGHISRGRIERLVKESILPPLELSELEQCVDCIKGKLAKNIKKGAKRSTGVLELIHTDICGPFPVTSVDGFDSFITFTDDYSRFGYIYPIKERSEALDKFKIFKAEVENQLDRKIKENGIVAQYSMPGDPQQNGVAERRNRTLMDMVRSMMSYSTLPLSLWMEALKTAIHILNRVPSKSVLKTPYELWTGRKPSVNHLRVWGSPAEAKVFNPNIGKLDPKTVSCHFIGYPEKSKGYRFYCPSRHTKFVETRHASFLEDQMIRGSKTAREITLEEKRVFVPIPMVQEPYFTLPVVVGPTQVVTTPAVFSPTANSEPVLQEPDEPIVDEQQPQQDQLQEQGMPVAEPSGRPQRMEDEPTSFEEAMRSTEASKWQEAMEDELKSMSTNKVWDLEEIPEGAKTVGCKWVYKVKRDSKGNIERYKARLVAKGFTQREGIDYNETFSPVSSKDSFRIIMALVAHFDLELHQMDVKTAFLNGDLEERVYMAQPKGFAVTGKERMGCRLKKSIYGLKQASRQWYLKFDKTIRKFGFKENVEDNCVYAKFKNGKYIFLVLYVDDILLASSDVTLLQETKRFLSSNFDMKDLGEASFVLGIKIHRDRNRGVLGLSQEAYIDKILKKFNMHKCRPSPAPIVKGDKYGEHQCPKSKFERDRMQAVPYASAVGSLQYAQVCTRPDLAFVTGLLGRYQSNPGMEHWNLVKKVLRYLQGTKGLMLTYRRSDDLQIVGYSDSDYAGDDRKSTSGYIFTLAQGAISWKSSKQTIVTASSTMYAEFIACYEASGQVNWLKKFIPSLKVVDSIDKPLKLYCDNEPAVLYAHNNKSSNAAKHIDIKYYVVKDKIRDHVISLEHIGTEKMLADPLTKGLPPNVFREHVAGMGLKEGL >Sspon.06G0005650-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:17550793:17552148:-1 gene:Sspon.06G0005650-4D transcript:Sspon.06G0005650-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CBL-interacting serine/threonine-protein kinase 6 [Source:Projected from Arabidopsis thaliana (AT4G30960) UniProtKB/Swiss-Prot;Acc:O65554] GMGEGEAAEVKGGVLQGRYELGRVLGHGNFGRVHAARDLRTGRGVAVKVLAKDKVLRAGMMEQIKREIAVMKRVSHPNIVELHEVMATRSKIYLALELVRGGELFARIVRAGRVKEDVARRYFRQLISAVDFCHARGVYHRDLKPENLLIDEAGNLKVVDFGLSALADHAHSDGLLHTLCGTPGYVAPEVFRNKGYDGAKADIWSCGVILYVLLAGSLPFPEDNIAAMFRKMSRGDYRCPPWLSTEARRLIPKLLDPNPDTRITIAQIVETPWFKKPSIGKPVKAAAAAEPPAEPVCSAMDGGDKDEPPETLNAFHLISLSAGFDLSPLFDVEGSPARGHREGGMRFATRESASGVVSRLEEVAARGGGRMRVTKSGARGVRLDGAERGGRKGRLAVAADIFSVAPSVLVVDVKKDGGDTLEYRSFCSEELRPALKDIVWAADPQPAATAVV >Sspon.01G0006130-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:8425818:8428159:1 gene:Sspon.01G0006130-2B transcript:Sspon.01G0006130-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVPMYKKQNSLGTPAHKTNPFDSDSDSEGPSRAQSVPVRRTNQSVQELEDYAITKARETTHKVNDCVRAAEAIREDATQTMLTLHRQGEQIMRSHQVAADIEQDLTVSEKLLGSLGGLFSKSWRPKRNQQIKGPVSGNNYSATTANHTEQRWKLGIAPARQERPSHVQTTPVSAIEKIQAERSNQDDALSDLCSTLGQLKEMAVDMGTEIDRQNKALVPFSDDVDELNFRLKGANQRGRRLLGK >Sspon.05G0021090-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:88784129:88785287:-1 gene:Sspon.05G0021090-1A transcript:Sspon.05G0021090-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MACVADREAAVREVAQVYELIKLQQPLLLLHSQEPPPSTTPLAQSLLGKALRALNVALSVMKQQQQQPVVVVKAEPHLSPPSPVSADSEAAIAPSTATRGAKRRRSSVAMEGKKKTSSWTTLTAVPYDDGYQWRKYGEKKINGTLFTRSYFRCTYKDDAGCLATKHVQQMDNNSDPPMFHVTYNNDHTCTAKAAAKANTTTTSSNLAALLAGCNGSGNGLTTMATTNAQPTENAAAAAMNMKQEPPLLLPALIDLQPSACFPYEQIPQCQQEPLFPTSMEQQFVCGALRDHGSPVDGEIPSATGSCISGETSWWDGYSGDMAAQMAAEDDPLHYLDRFLQCDSFMDY >Sspon.04G0001320-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:4873695:4877278:-1 gene:Sspon.04G0001320-1A transcript:Sspon.04G0001320-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGTGIRKYMGALKDTTTVSIAKVNSDYKELDIAIVKATNHVENPTKEKYIREIFYHLSPGRPRADVAYCIRALGRRLSKTRNWAVALKTLIVIHRALREVDTAFLEELISYGRSSSHMLYLSYFKDDSSAEAWDYSAWVRNYALYLEEKLESFRVLNYDVEKDPLKIQDLDTSGLLDQLPALQQLLFRLLGCQPQGASSYNIIIQHALSMVALESVRIQTAINDGILNLVDKFFEMRRDDAIRALDMYKRAIEQAEQLSEFYEVCKSIHIGRGERFLKIEQPPASFLATMEEYVSNAPLASTVQRNQAVLAIEYNKKSEVEEPSTLPPPPPPAQEPEPEPEPVQQVPPAVEPTDLLGINESTPDTSEIDQKNAVALAIVPQDNAPKAPAPTSTESVATSWELALVTAPSSNGNAVTSSKLAGGLDLLTLDSLYNEAHLRAQQNASYNPWETNPASGPVMQQPMYDPFYASNPMAAARSVQMAAMEQQQHAFMLQQQQQQQQQQQMMMMMAQQQQQASSNPFANPYMHAGAHPYGAGVQLHAGNAYTGTGMM >Sspon.01G0005450-3D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:12760349:12761407:1 gene:Sspon.01G0005450-3D transcript:Sspon.01G0005450-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeodomain-leucine zipper transcription factor, Regulation of panicle exsertio [Source: Projected from Oryza sativa (Os03g0198600)] MGCEEEERLLFPSFVFPESFAEAATPGSGDSGEQKKARQRRRRKPRPAADGGEAGDEQAKKRRLSDEQARFLELSFRKERKLETPRKVQLASELGLDAKQVAVWFQNRRARHKSKLMEEEFSKLRAAHDAVVLQNCQLETELLKMKDRLAEAEEEKTKLIAAAAAAGGVGAGAAGSSSPSSSSFSTVTHHPAALVGQFGVDPEEAAADLTYMSEYAYNNYMNMMDLAPGYFGGVVYDHFN >Sspon.05G0025870-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:35552491:35555812:-1 gene:Sspon.05G0025870-1T transcript:Sspon.05G0025870-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLRRAAAECPKKVAGLMDLVNLPTQLREFAGGRSQMSHISFFLRVWSYIKDNKLQDPTNKNIVNCDEKLKAVLLGRSKVELSELPMIVKLHFPRFP >Sspon.02G0014810-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:42471507:42480226:1 gene:Sspon.02G0014810-3C transcript:Sspon.02G0014810-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSPLGHAFPSLFSEWNAPKPMHPSLLNEALQWATTDEQKRELWSPLPYQGWKPCLKPSISHALPLEPSGYIQVFLDGGLNQQRMGICDVVAVAKILNATLVVPHFEVNPVWKDSSSFEEIFDVDHFINSLKDEVSIIKVLPKEFSWSTREYYGTGIRATRIKTAPLHASANWYLENVSPILQRYGIAAIAPFSHRLAFDDLPADLQRLRCKVNFQALVFRPHIISLGDTLVKRLRSPVRGHSNKSIHQVVGDTNQAEKYAVLHLRFDKDMAAHSACDFGGGRAEQLALSKYRHVIWQGRVLNSQLTDEELRNTGRCPLTPEEIGLLLVALGFDSRTRLYLASHKVYGGEARISSLRKLFPLMEDKRSLASEDELANVEGKASVLAALDYYISMHSDIFISASPGNMHNALLAHRTYENLKTIRPNMALLGRIFVNKSMEWPEFQQVVQAGHKGRYGQIRLRKATQSIYTYPAPDYSVLLQMKVKDRRLIFCSAVGTFQLPLDMEV >Sspon.04G0007890-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:22332736:22338026:1 gene:Sspon.04G0007890-1A transcript:Sspon.04G0007890-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFMREEWESEISSVMQMSTDPNNYGVFPHSFCNQHVVSFQTSSVTSGSGAIPVCLDTSSGTNGNMAMLNTTSSTIVSTGSPNMISDSSCQNLKYSAPLAVEWSYLELQMLNDGLNKYAHEPGIMKYIKIAAMLPDKTVRDVAMRCQWMAAVVSQDKMAEPSSWGTNPPVQTQMRSSSFIPQNAKNNGFISGDSQIDRGMLNILEENARLLNQIEVNILTSQ >Sspon.02G0022340-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2B:73865860:73866243:-1 gene:Sspon.02G0022340-2B transcript:Sspon.02G0022340-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAIIALAVPPQPNVKTERTNCPTPWAKDFDSFSISFHSIRFLLPVREVGVRAHRHHLPLSASFAARSAVYFCLGCLVAAELPRPGPSPFAYWIRWVLAQVAVAESSLPLLPLGGRSLRDGSGAKP >Sspon.03G0037140-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3D:57999265:58000195:1 gene:Sspon.03G0037140-2D transcript:Sspon.03G0037140-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDVAFKTNANLTLFFSIRSKDGDAFSFGNAQLQVSVPSNALLQAPHRTAPQADPTAARSHNRALSLPRSLPSMKRLLRRLSRVPGSSCAAPSRETLGPFPLCSARQRRAPIHLARRGRGGRGGLRLRLRRPVPAPVPSSTSRRWQKGEACTTVVFHQIEVLHMGCAWEMAGGTDDVRGGAGSLLVLSLVSPTR >Sspon.01G0009620-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:27279784:27284494:-1 gene:Sspon.01G0009620-1A transcript:Sspon.01G0009620-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cell cycle checkpoint control protein family [Source:Projected from Arabidopsis thaliana (AT3G05480) UniProtKB/TrEMBL;Acc:F4J7B7] MELSMSGGSLRTFGRCVTFLARVASELVLQAHPAKLEMHTLNSSRSAYASVSLARDFFDQYTLDAAASAPSSTPLQCSVLLKSLLAVLRTPHAALDQLAVSLPEPDAPKLQVTLHCLNGVRKTYWIVCSAEPEVQSLSLDRGRFPSRLAIRPRELARLLSNFQSSLQELTIIATDPASGLPDAGGDVGGKAVELRSYNDPTKDDCDTRLHTQLWIDPAEEFVEFVHAGDPVDVTFGVKELKAFLTFCEGCEVDILLFFEKTGEPVLLVPRFGLDVGATSDFEATLVLATMTVSQLSDSNDAQQPATSAQHTGEPRAAATPVPENVSNHTKIWSELSGCRVP >Sspon.01G0049580-1P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:113584814:113592496:1 gene:Sspon.01G0049580-1P transcript:Sspon.01G0049580-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHAVICENKWVALLLIRHGADVDVEDKEGYTVLGRASNSFRPALIDAAKAMLEELARYSAEVQAERDWCCLLSVPWLWWCEDNQCAVGQPQHGSASVDPHHTTCSQSRPRDVCTCFARCRFAGWRLASRLAALGAFSTLSHALSFFCCLSRVRPSSPSAPHRPAAGLNECQSVVGTAEAWDQDQRFMRETWLLRRTSAIGQGPRAPYAYGPGQASLFKSFVLSHQLTADKSVGGCITKIIPKQK >Sspon.01G0013730-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:37852489:37855268:1 gene:Sspon.01G0013730-1A transcript:Sspon.01G0013730-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MACLQRKMANVKMELCQILRFVYEKMLLHLGIMKPNSVDDYVDCDVATTLSLLPRAHREYYHEDEPEEEDAGAFIAASAADSLISLDEHWYYRVLDALLCFYPSVCTKEYIWDLHDDGAAT >Sspon.02G0039220-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:49445635:49451399:-1 gene:Sspon.02G0039220-1B transcript:Sspon.02G0039220-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding EMAECRIQDWKHTSAPESVSRHEASIPRTRHRNIFGLLAQRELSPRTKNQAKNLWTKPPRRDACPVELKFWGTDARHDLLSRAESEFLRWWIPKYCPLLPSSKATIAAAFSPDGRVLASTSGDHTVKIIDCQTGKCLNALFGHQRTPWQVRFHPLHSDILASGSLDCEVRLWDAKTSRCTAVLPSDRPIASIAFHATGELLAVASGHQVHNLDSEDSTMTPALLSNYSFRDTPLLGSGVDNLISELSYMHNFEQVDASLSVPVTTGSFDGSRLHDTTGHHLMTSVPGIGSSLLGTHTAEAEAPAISLSVGAEQSTSLLGGGTELPCTVKLRIWRHNMKNPFIALGPEACLLTIPHAVLCSEMGTHFPPVGDFWLLVLHVCCHTEMVTMEVNCMNIMILLGLEHHQLATPFRLAKLYMSFGFILLKTLDLEQFLHLEQ >Sspon.03G0017940-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:79419746:79422029:1 gene:Sspon.03G0017940-2B transcript:Sspon.03G0017940-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTTSVPVGLLGESPSPWKAHQLPLCAAVLAVVAWCAARAAEWAWLRPRRLERALRAQGIRGTAYHPLAGDAPLSDRLAREARSRPPLPPGCHAIVPRAMPLVHHAMNEHGKNSVTWFGPTPRVIITEPELVRQVLSNKFGHFKKVGFGQLMRLLHYGVSTHEGSKWAKHRRIINPAFHLDKLKRMLPAFAACCADMVSRWEGLVVAADGEPCEVDVWPEMQRLTGDVISRVAFGSSYLEGRRIFELQEEQVHLAMLVANKIHIPGYMMLPTRVNRRMKRIAAEIEGILRGMIATRESSLRAGKATSDDLLGLLLESNMEQFRGEGEGKGGTSSGGGGMSTDDIIGECKLFYFAGMETTSVLLTWTMLMLSMHQEWQDRAREEVHRVLGDACTVPDYDGLSRLKIVTMVLYEVLRLYTPLPALHRRTYKPMELGGVRYPAGVMLMLPLLSIHHDKDAWGPDADEFRPERFTEGIARASSSGGDAQPAFFPFGWGPRTCIGQTFALLEAKIGLAMILGKFAFELSPSYSHAPFPVVLLQPEHGAQIKLRKLR >Sspon.04G0030620-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:3404286:3407018:1 gene:Sspon.04G0030620-2D transcript:Sspon.04G0030620-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATPAHAAAAATSGGEAAPSHGRIGVRPGPAPSRLGFLAKPSSRWAAISLPPGPRRAAPATAAARERVAEEDGPVWVELEPIASEQQLDRVLADAQQLDIPIVLLWMASWCRKCIYLKPKLEKLAAEYHPRIRFYCIDVNCVPQKLVNSAGVTLWSDSRKQAEVIGGHKSWLVIDDVRRMIEQEE >Sspon.03G0012560-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3B:44849764:44852490:-1 gene:Sspon.03G0012560-2B transcript:Sspon.03G0012560-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGQVRDHTSAAAAADGAPSTSGTANAPAHYGDADDDNAGGGGGGPKVWVLVLLFALLLLPFLPSAMRRGGGTPWAGGGGGGGTGGGGYRRGGINFKSGWDLVNLCLVLFAILCGLLGRSSGGGDGDSSSSAATSGAPAKEDHRLGSSAHTADDSMSAIYASLSRTNHAHASGIRRMKSSSSYPELRLGSDGVWALASPEAAWRFYDDAELYRTRRPERQERTWDVDPHGSGRTTTTEVKTIPVDTFVERSRTEQPREPRRRRRSVERLSRMAEVDEERPPQQQQQRGEALATPRLRRSNSEVVDVMPEQQATVAPARSRRWDSEVVRAVPEQEAPVAPTRLRRWNSESLDSILEQEARVAPARSMRWDSEVVDVMPEQDAAVAPARLRRWNSESLDSILEQEARVAPARSRRWDSEVVDAMPEQNATLAPARLRRWNSESLDSILEQEARVAPARSMRWDSEVVDVMPEQDAAVAPARLRRWNSESLDMILEQEAAMAPARSRRWNPDAVDVIPEQEAPVAPSRSRRWSSEVVDVVPEQETPVVMAPAAPPPPPPPPALHRRRRHSVETLPRPEELEQEIVVEEVRNPMPTPSPAMFPPGTPPPPPPLPPPSTVSRSKKKRSGSVGGAKELASAIATFYQKKRKSITMKAKRRPHHHHHSDGHYSSPSSDASASPDSTARTTNAPPRPPPPPPPPPPPPPSSIFSNLFKKGSKSRRIHSVAPPQPPPPPPPTRRSNKKPPPPPSRPAPPAPPPPPVRTRPPRAHVHAPTHTHTQQPPLYPRRVYYTYYPLPPPSPPLPPPPPPPPPLVSEGEDDSPSVTASPAPAYCASPDVNTKADRFIESFREGLKLEKLNSYREKWQRQIQENAAAVEIEEEGEFMVIGSLFGDDDEDDDDGISLPQTPATAAVA >Sspon.05G0018980-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:76210233:76212406:1 gene:Sspon.05G0018980-4D transcript:Sspon.05G0018980-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNMEQQVEHSHLPIRGLSLHVAQAGKGELGIVVFLHGFPEIWYSWRHQMLAVAAAGYRAVAPDWRGYGLSDQPPEPEAASYDDLVEDLLAILDALSIPKAFLVAKDFGAMPAYLFALRHPSRTCGVMCLGIPFLNGGSPSTGLPEGFYILRWREPGRAEADFGRYDVKRVVRTIYVLFSRSEIPIAKEDQEIMDLAELSTPLPEWFTEDDLAVYVSLYEKSGFRYPMEMPYRSLHKTKPIEDPKFQVPVFVVMGEKDYVFKFPGVESVLKDGIMEKSAPDLKITYIPEGSHFVQEQFPDKVNDLLVSFLKDHPVAA >Sspon.02G0007690-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:25176869:25182218:1 gene:Sspon.02G0007690-3C transcript:Sspon.02G0007690-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALTAYTDPGLPVRWHAALQLQLQHLSVPDMARIKPKQLLIQSKTKKAPSRISYSTIISWNLIVILVVLSLYATYSHWHQRSAQDFEMELHEAEVMNTAKGPITIEIYKDASAGVVDRFIDLCKSNHFKGMPFRHIIKNFVIQGGDFDFDGAAQEWITKAKASGKNDLSPKHEAFMIGTAKNPNNKGFDLFITTAPIPDLNDKLVVFGRVIKGEDIVQEIEEVDTDEHYQPKAAIGIINIMLKQEP >Sspon.07G0003990-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:8941260:8942455:1 gene:Sspon.07G0003990-2B transcript:Sspon.07G0003990-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSGGACPHGDDAPGATSFKKLWRGMQAVYLVLVKKHQPKLAALGVHLHSLLSSSKRRGHRRRSSLAAWEREENPALMYLSCRSMDPAAAVVHPYPRGHGYRRASSSSSRHAAPSLSCRSMDPAAAVCKYQYRPREVEFSCKSTPMHKRRRENKRRQRLQQSRAAAAEQGHDDHPLSEPEHYYGSAAAVTRLFALMDVEEVAEGEAADVVGYYDDGDLDVDLDAAAAWPALAAVGYAPRQVRITDSLYLAREEDNVELKSAVDRRADEFIMWFHEQLRTQQQQRSTRNYFYMSIGEGVQ >Sspon.02G0032910-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:44655553:44657582:1 gene:Sspon.02G0032910-2P transcript:Sspon.02G0032910-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGKDAAGGGKGRLFTVGLVTAWYSSNIGVLLLNKYLLSNYGFKYPIFLTMCHMSACSLFSYAAIAWLRIVPMQLPRSRLQLAKIAALSLVFCASVVSGNISLRYLPVSFNQAVGATTPFFTAVFAYLMTVKRESFLTYLALVPVVTGVIIASGGEPSFNLFGFIMCVGATAARALKTVLQGILMSSDGEKINSMNLLMYMAPIAVLFLVPATIFMEDNVVAITIQLAKKDINIIWYLLFNSSLAYFVNLTNFLVTKHTSALTLQVLGNAKGAVAVVISILIFRNPVSITGMLGYTLTVIGVLLYSEAKKRTKQ >Sspon.03G0012740-1P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6B:55533752:55534231:-1 gene:Sspon.03G0012740-1P transcript:Sspon.03G0012740-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWGQGAKRSILGILFRAQQQTARAYSSSAFQAHQLSTHVPQDGVFLRRFSNEVSSSEQMNLIKQLRQRTSAPIKDVKASLLACNWDIEAAQKDLRKRGVALAAKKSSRTAAEGLLAIAQDDKRAAVVELNCETDFVARNDVFQYLASSLAKMALSAQGP >Sspon.01G0048890-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1B:110511093:110511866:-1 gene:Sspon.01G0048890-1B transcript:Sspon.01G0048890-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein LIFEGUARD 1 [Source:Projected from Arabidopsis thaliana (AT4G14730) UniProtKB/Swiss-Prot;Acc:F4JIE8] MYPYMMESPQMRWAFIRKVYAIVCLQLLLTVAVAATVNLVGAIGDFFRSRTMGAIAATIAVIIFPILGTCFFLDFSCMHIIYVFVHMDHRSTSLLGVHAVMIPMIIYRKRHPVNLMLLALFTVGISFAVGLSCLSAKGPVILEAVVITMVVVLGLTAYTFWAAKQGYDFEFLGPFLMAAVLILMLFGLVRILFPLGKTGTMVYGCIAALVFSGFIIYDTDNLIKRYSYDEYVAAAIELYLDIINLFQAILAVIEGCD >Sspon.02G0001920-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:6423859:6424558:1 gene:Sspon.02G0001920-3C transcript:Sspon.02G0001920-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding TMGEACMGAAAAGRHHHAENELVGDPAHDSAVSEELYEDVPAMPMMALNHISRLCESVDASVRFYVKALGFVLIHRLPALDFSGARLFNYGVGIHLVQRDDARKARDVRPAGSEEEPELDPMDNHVSFQCEDMGAMERRLQEMRIRYVKRTINEEEGSPIDQLFFRDPDGFMIEICNCENLEVVPAGALGRLRLPRDRHNPPVRMGTGG >Sspon.07G0004140-3D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7D:9482903:9483879:1 gene:Sspon.07G0004140-3D transcript:Sspon.07G0004140-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMSCNGCRVLRKGCSDDCTIRPCLQWIDTPEAQANATVFLAKFYGRAGLLNLLAAAPDDAARPAVFRSLLYEACGRIVNPVYGSVGLLWSRQWHKSTPPPPPPPPRRCSAAPGRTAAAPAAAYDIRHVAKDPDAAAAADLLRVARGGRKRFKRAGSSSSNASKAKQPLRGKAGNNERASASPSPPLRRLQQEAEELEPLQQGSSEEDTDVEAASHVSQAEAEPPVSSQSQSQVLVADQEEEEVGLELTLGFEPVVRQQPRSSCCDRSGLSAASTLIGLRLQLPAA >Sspon.07G0000450-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:1094555:1096381:1 gene:Sspon.07G0000450-1A transcript:Sspon.07G0000450-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAGATIVCAARDGGELGLLPLRPPPSASSAAIMIYLTAPGLAVMPMRVMASDSIASVKLRVQTSRGVTARKQKLVFDGRELARDDGRIRDYGVADGNVVHLVIRIPDLRLITVETVQGGKFRFRVEPGRTVGYVKQQIAKDRRLHPATRPDDQRLVLQGEDLDDRHLIHDVCRADGAVIHLLVQRSSSKISAAEADDGFEVSIVARDAGQPLPRRDVGIEPVVVGNPDAAAQQLPSAVRDMIDAAVAGMENGNAPIMSSEGTGGAYFMQDATGHRHVAVFKPADEEPMAANNPRGLPVSSTGEGLKKGTRVGEGALREVAAYILDHPRAGRRRSTADAGAPGFAGVPPTALVRCTHKAFRQPDPSPLAPPPVPKLGSMQAFVSNCGSCEDMGPRAFPVQEVHKICVLDIRLANADRHAGNILVCKHDGGGMSLVPIDHGYCLPESVSSSDPSISAFICIGCSSSWINSCMQFEDCTFEWLYWAQCREPFGDETVEHVRSLDAEEDIAMLTLHGWEVSRECARTLRVATMLLKKGVERGLAAFDIGSILCRETLTKESAIEEIVREAEAQRQRGGGCDDDQTAFLQAVSETMDRRLDELSPAGAKVI >Sspon.03G0002400-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:21627064:21627948:-1 gene:Sspon.03G0002400-3C transcript:Sspon.03G0002400-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding IMEALSGRVGVKCGRWNPTAEQVKVLTELFRAGLRTPSTEQIQRISTHLSAFGKVESKNVFYWFQNHKARERHHHKKRRRGASSPDSGSGSGSNEEDGGRAAASHDAEADVDLVLQPPESKREARSYGHHHRLAVTGYVRDVVEQQEATWERPMREVETLELFPLKSYVDLEAAEKVRYVRGSAASEQCREFSFFDVSAAGRDPPLELRLCSFGP >Sspon.02G0016660-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2B:45358114:45358362:-1 gene:Sspon.02G0016660-2B transcript:Sspon.02G0016660-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding KKPPPPTLAAALRQRRTPGPTLLPPNRLDRAARAGLSQSGYPARAAAAGTVPCFSGRGIPPRNIPAAVRFLAVGSGCAYRFT >Sspon.02G0018680-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:61853791:61854444:1 gene:Sspon.02G0018680-1T transcript:Sspon.02G0018680-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALKLDNCMTTSSSLVLVLLALWAAPLAVVAGDPDILTDYIIPATTNPANITGAFFSYSGLRGALALQAPENFTAAKASMAEFPALNGQSVSYAVLSYGPGSINPTHTHPRASELLLVLDGALSVGFVDTAGKLFTQDLAAGDLFVFPKGTVHWQFNSGTQPAKALSAFGSAAAGLVSLPATLFGASDIDDVVLAKSFKTDVATIQKLKAGLTPKP >Sspon.01G0042790-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:68137768:68142009:1 gene:Sspon.01G0042790-1B transcript:Sspon.01G0042790-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VRLFGRTPYPATPQLTAATEKISAVWFVTELRQPQRLQVIFLPQLRRQIFMPQLRQRLTSGVASRGRQPNRLPPSLAICALSLNLLKFVNLLNVLKCEYAVRGEIVIHAQILFCNIGNPQSLGQQPVTFFREVLALCDHPWLLEKEETKSLFSADAISRAKQILATIPGRATGAYSHSQGIKGLRDAIAAGITSRDGFPANADDIFITDGASPGVHMMMQLLIRNEKDGILCPIPQYPLYSASIALHGGALVPYYLDEKTGWGLEISDLKKQLEDARSKGIDVRALVVINPGNPTGQVLAEDNQYDIVKFCKNEGLVLLADEVYQENIYVDNKKFNSFKKIARSMGYGEDDLPLVSFQSVSKGYYGECGKRGGYMEITGFSAPVREQIYKVASVNLCSNITGQILASLVMNPPKVGDKSYASYKAEKDAILQSLARRAKALEDAFNKLEGISCNKAEGAMYLFPQIHLPQKAIEAAKAAKKAPDAFYALRLLESTGIVVVAGSGFGQVPGTWHIRCTILPQEDKIPAVITRFKAFHEAFMAEYRD >Sspon.05G0022310-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:7297936:7298331:1 gene:Sspon.05G0022310-2C transcript:Sspon.05G0022310-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRCCPCPCASASSTTAPFLLPPIPASSSRSGGGGAFSAAVGRSRLRCGGGPRRGHVTVAAGSKDDGSGTAEGEKGEDDAPAFNPFGFVTDNPSSRSAIQLPAVPAEDGNVGQMLYVMPHASLRLLVCFVF >Sspon.02G0025250-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:93114066:93115808:1 gene:Sspon.02G0025250-2C transcript:Sspon.02G0025250-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIAGRGGTDDDDIEKRAQNNLLGVVRRCRTNDDIEKRERAARILARLANDLNITQFPGTVECICCLLERLNKQFCDSLVVTRPSENGNRLAHRHRADRKHDQQGPLETSNHQNNAHVVVPVKDDQTGPRSIEPITQGLLILEKLTRDDHEGNCTEISKDQRLLSKITAPLLHINLRNVYHKRMYLFLNFSITVLSRLLKIPGDGATRLCQELASNTEAVSNLMAILESNSEGAQELHEQALDILIELALASFTKSAFVESAFMLNKLCENLEIFVEEKDVNHIAAEPDREKALGLSRVRVKAGDALQRLLPFQSARIVRAAAKISKKDAIDRLTKLVDRTLSGNIGTTAEAATRAGSSNSCATQKVGSQPLEEAVSVQPPTQGNAEQYEGRDINVISKQAFMEDMLFVAAAMRVVNVTSEQDFTRPSPEDEALVKKAMEILEVNKRSTAEFFRILKVTWQVVVPMIQAVPSCIKYLNEQNFKETMTEILETMNDRELPKFDAARVKKMKERLSDSTRRGQHR >Sspon.01G0001100-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:2934076:2938691:1 gene:Sspon.01G0001100-3C transcript:Sspon.01G0001100-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:MEE50 [Source:Projected from Arabidopsis thaliana (AT4G00231) UniProtKB/TrEMBL;Acc:A0A178V4E4] MSRSDDGEETLAALIEASRTLEGREGLSDVLADTLFLLPASPSRLLLLRLRLLRNLLAGHELNQYAFTEHCGPAAVAASVLSFPSLAPDVARAALQALGNAALAGEFHRDAVWEALFPEALREFAGLRDQGVLDPLCMVLDTCCGGEGGRGRHEELGLPILVQVVTTASQVGHKEEWLGWLLFKVCVEEQKFESLFYALCSANDAERTDNGEYNAKHVFLLGTLSRCLNSRPEEVTVSDSFAHDVFNLHKHAAETVNFTHRGTSPLPTGSPAIDVLGYTLQLLRDICAWESTSSDTQRPVDSLLQTGFVKRLLRYLGELEPPSTIRKSMAGGQGDNHPALGNAKVCPYIGYRRDLVAVIANCLLRRKKVQDEIRQLGGIMLLLQQCVIDEDNPYLREWGLLAVKNLLEENEENQKEVSELEMQEPVITPEIANIGLKVEIDKETGRPKLVNTSDDSADCIF >Sspon.02G0002060-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:5959103:5970253:-1 gene:Sspon.02G0002060-2B transcript:Sspon.02G0002060-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMIPWGGVGCCLSAAALYLLGRSSGRDAEVLRSVARAGSMKDLAAILDTASKVLPLVVAVSGRVGSDTPLICQQSGMRGVIVEETAEQHFLKHNDAGSWIQDSAVMLSVSKEVPWYLDDGTGRVYVVGARSAAGLILTVASEVFEESGRTLVRGTLDYLQGLKMLGVKRTERVLPTGTSLTVVGEAIKDDVGTIRIQRPHKGPFYVSPKSIDQLIMNLGKWAKLYRLASMGFATFGVFLLAKRAIQHFLERKRRHELQKRVLNAAAQRQAREAEGAMVHRTQSLTVKKTN >Sspon.08G0018090-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:4945676:4947466:1 gene:Sspon.08G0018090-1B transcript:Sspon.08G0018090-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLNTVNLYNIVIEFIDSAVLVIEFMAPPTIQSPQISCESGLCDKVTEKSLFESILEDVFPATSREYEPFVEELWKDNGD >Sspon.05G0010530-3D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:5D:32616414:32618201:1 gene:Sspon.05G0010530-3D transcript:Sspon.05G0010530-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPTAALPRSFSNNLCPTLHLDGSQDDNVLPFISRMLMEDDAVDEFLWQQYPDHNPALLQTQQLFAQVLSDTSSTSALAAVQVGAQGKELQDPPSLNSTATGAVEPASTLSPAAGSSCFKDAVSMAFLRGMEEANRFLPGGGGYTADCRGRKKRLDADGDDEQVEGRSSNQMAADGEKSEEAAAREMLDKLMLNGDDEPILADDMQELRAAMDKAKTPPGRQAGTRADPDQQQQAVDLHSMLIRCADAVADNDRRRAADLLQRIRHHSSPSGNATQRLAHCFAEGLQVRLNGTGNLHYRSSSSMPKSASSSGDQLKAMQFFMASCCFLPVNILFSNKSIYKAAARRKKLHIVHYGLDHGLQWATLLRWLARREGGPPEVRLTGIDAPQPGFRPARLIEEAGRRLTAYAHQLGVPFRFCGIAAKSEAVRAGDLDIDPDEVLAVSSLFHFRTLTDEGTMATDDGEAGTDPIGAVLGAIREMKPSVFVHAVLNASYSTAFFATRFREALYNFTAQFDMMDTILPRDNGSRLLFERDVLARCAVNVIACEGADRVQHPRSYKQWQARSWRAGLRQLPLDCDIVQTLKDKVEREYHEHFVI >Sspon.06G0022950-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:38999081:39004314:-1 gene:Sspon.06G0022950-1B transcript:Sspon.06G0022950-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATAVMVSSAGSLLAMLQEPAPELKLHALASLNSLVHAFWHEISTSVSSIESLYEDEEFVQRQLAALVASKVFFYLGELNDALSYALGAGPLFDVSDDSDYAQTLLAKALDEYAAIQSRATGEEKTMDPRLEAIVERMLDKCILDGKYQQAMGMSVECRRLDKLEGAISRCDNLHGALSYCINLSHQYVSHREYRLEILQCLVKIYQTLPNPDYLSICQCLMFLGEPESVASILDKLISGSNDDALLAYQTAFDLTENENQAFLLNVRNHLDALSSHTSAHVDPDSGPAVPSNQTNAATEPSGDVQMRDDINMPNGSATTVDPNAATHADRLTKIKGILSGETSIQLTLQFLYSHNRSDLQILKTIKQAVEMRNSVCHSATICSNAIMHAGTTVDTFLRENLEWLGRATNWAKFSATAGLGVIHRGHLQQGRTLMAPYLPQNGAGGSGSPYSEGGALYALGLIHANHGEGIKDFLRESLRNATSEVVQHGACLGLGLAALGTSDEEICEDIKNILYTDSAVASEAAGIGMGLLMVGTASEKATEMLAYAHDTQHEKIIRGLSLGIALTVYGREEEADTLIEQMTRDQDPILRYGGMYALALAYRGTANNKAIHQLLHFAVSDVSDDVRRTAVLALGFVLYNEPEQTPRIVSLLSESYNPHVRYGAALAVGISCAGTGLSEAISLLEPLTSDVVDFVRQGALIAMAMVMIQTNESYDSRVGAFRRQLEKIILDKHEDTMSKMGAILASGILDAGGRNVTIKLKSRSKHDRLTAVVGLAVFTQFWYWYPLTYFISLAFSPTALIGLNSDLKVPKFEFLSNAKPSLFDYPKPVTQQTATTSVKVPAAILSTYAKSKSRAKKEAESKAKEKAEDSSIASTSMQVDGAAAEKKAPEPEPTFQILMNPARVVPAQEKFIKFLEDSRYKPVKAAPSGFVLLQDLKPTEAEELTLTDAPSTAATTNAPASSASEPAAMAVDDEPQPPPAFEYTE >Sspon.03G0017160-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:74907320:74912088:1 gene:Sspon.03G0017160-2C transcript:Sspon.03G0017160-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mediator of RNA polymerase II transcription subunit 27 [Source:Projected from Arabidopsis thaliana (AT3G09180) UniProtKB/Swiss-Prot;Acc:Q8RWM3] MMQQPQAHASALAVAPSASAVAPTVAHPQDPAGGDAPPKQVAQAMERLGRAGRLIADIRLGADRLLEALFVAGGAPPYSVHQHVDRMERVIVKEEAAMRLHFQDLRALGRQLEESGVLNGALKARGNSWGLHMPLVCPDGAVVAYAWKRQLAGQAGASAVDRTRLALKAFTDQKRRFFPHLEDEVLSHLHDGEPGVTKKPKLIASNGDLEEKSLSEILKNLENEVPNMKIFTYWHLDWSKRASSLASLMDDDFVDPSKELNLQNMGKSRSGALTTPIDQVAVIELLVPSIFRAVVSLHPAGSTDPDAVAFFSPTEGGSYLHARGTSVHHVFKHVKEHADKALQYFISVEPSKALSLLLRWIASYQTLFTKVCSKCGRLLMMDKSLALLLPPVHRPYHQTSNVGPDLQEAYHIGCSSYDG >Sspon.07G0012330-3D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7D:42078282:42078584:1 gene:Sspon.07G0012330-3D transcript:Sspon.07G0012330-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHMTNNHRCLSFFATVVILAASLLSATTAACLDVGFYRGTCPSAETIVQQTVAAAFRNNSGVAPALIRMHFHDCFVRSWA >Sspon.04G0008630-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:21684910:21686291:-1 gene:Sspon.04G0008630-2B transcript:Sspon.04G0008630-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MIEVKRRCKKPVDPHLLPQSPVMQQLELGGDWEAQRRSQGSRPMASMLVGGVRKMIGGAGCVPPKPNETVQDTSANGKRRRPRGQKLTETSQQANGTPASASG >Sspon.03G0002180-1P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7A:18152295:18153338:1 gene:Sspon.03G0002180-1P transcript:Sspon.03G0002180-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELAPGFRFYPTEEELICFYLRNKLSGTRRADIERVIPVADVCALDPWELSGMAQAQSIDRAHRGAYSGNEEPWFYFCPRQEREARGGRPSRTTPSGYWKAAGTPGLVYAADGRPIGTKKTMVFYRGRAPAGAKTKWKLNEYKAFEYEDEDDTAPAPPSHALQTRSEYSLCRLYTKSGCPRQFDRRPSTAPAAAGGGGSENPAPSSAAALPTGEQTTGQKRKRAPPSDDDTYLSYDDGDDVSTQQRLRLAQRGTGDGLIGDDMAD >Sspon.08G0022730-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:50735021:50736761:1 gene:Sspon.08G0022730-1B transcript:Sspon.08G0022730-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTHAEAGGSLPVPNVQALAETYNRSDEQIPGRYIRDEEGAEEVIVDHDISYAIPIIDVNKLLDPQSSKEECGKLGSACKHWGFFQVINHGVPNEVICNFRNDMTEFFKQPLEAKKAYSMIPGNLQGYGQHFVVSENQKLDWADLFSLVLRPIESRDMKFWPSQPPSFRNSVDRYSSEAAKLVSCLLKFLAMDMGVEPESFLEIFRGQPQSMRMTYYPPCKQASKVVGLSPHTDRMGLTLLLQANDVQGLQIRKDGKWVAINALDGAFIVNVGDTLEILSNGRYKSIEHRAMVHPTRERMSAALFHAVCLDATVGPLPELVKNDGEARYSSISFVDFIKRFFASKLDGTSNLESLKS >Sspon.03G0025780-3D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3D:1226461:1229346:-1 gene:Sspon.03G0025780-3D transcript:Sspon.03G0025780-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTATSRRRPRRGSKGPNADLCRTLTDCTRRGDAAAAMAAFDAAVSGADTPLRLAAHQYNQLLHLLAAADRSSFPGPTAAAAARRVFAHMLQAGAPPSEATITSLARVTAADDDPGSSAADEAFELVATMKDKYGLSPRLRSYGPVLAAFRRAGEAAKAYIVEAHMTASGVAPEEPELAALLDVSSRAGDADKVYEYMHKLRQTVDCVSEDTAGVVEAWFRSDKRPWPASLDGMPLRYRLACIDIDMEETQRFADSVAGLALERETKTNFSRFQEWLEANKEYQAIVDGANIALYQQNFAEGGFSLTQVKYTFNKGKAVLVMPPSYSSEIQESEMGSWHIPMEEKSGDER >Sspon.04G0002460-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:7442725:7450582:-1 gene:Sspon.04G0002460-1A transcript:Sspon.04G0002460-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRPASRQRKAGHASAAAGPKGLHQHQQQLPQSGSPTSTTTTTTSSSRLTPELSLDGPVSSLFAGLDEDPAPKENVTVTVRFRPLSPREIRQGEEVAWYADGDTVVRSEQNPSVAYAYDRVFAPTTTTRHVYDVAAQHVVSGAMEGVNGTIFAYGVTSSGKTHTMHGDQRSPGIIPLAVKDAFSIIQETPNREFLLRVSYLEIYNEVVNDLLNPACQNLRIREDPQGTFVEGIKEEVVLSPAHALSLIAAGEEHRHVGSTNFNLLSSRSHTIFTLTIESSPCGESNEGEAVTFSQLNLIDLAGSESSRAETTGVRRKEGSYINKSLLTLGTVISKLTDGKATHIPFRDSKLTRLLQSSLSGQGRVSLICTVTPASSNSEETHNTLKFAHRAKRIEIQASQNKIIDEKSLIKKYQTEIRRLKEELEQLKMGIITGTPSKDAEEDNIILWKQKLEDGNVKLQSRLEQEEEAKAALLARIQRLTKLILVSTKATPTSRFSPHPGPRRRHSFGEEELAYLPYRRRDIMMDNESNELLLPVEGFGVSLEDSSKEEKKNRKGLLNWFKLRKRDGASILTSSEGDKSSLTKSTAPSTPIGESVNFPAEPRISNSLAGENVSADLFSIGHGEFPSGSIHGEETPLFQE >Sspon.08G0012270-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:48253322:48255566:-1 gene:Sspon.08G0012270-2B transcript:Sspon.08G0012270-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLRKHLLGCFGAGGGEQEQEPAAAAGRRPGGKPTLRRLSTANLRSLSLQDLSRKLETTKLHAFTLDELKAATKNFSTSNFLGEGGFGPVYKGFVDGRLRPGLQPQHVAVKYLDSDGVQGHREWLAEVVYLGMLSHPHLVKLVGFCNQDDHRMLVYEYMPRQSLENHLFKNLLASLPWSTRLKIAVGAAKGLAFLHEAETPVIYRDFKASNILLDSDYTAKLSDFGLAKEGPQGDATHVTTRVMGTHGYAAPEYILTGHLTAKSDVYSFGVVLLELLTGRRSVDKRRGRREQNLVDWARPYLHRADRLHRFMDPSLEMQYSARAAEKAAKVAHQCLQSVPKARPSMRDVVDALEPLLALDDDVPMGPFVFTVGGAAAEAEAKPAAPAQVVEACADGVTVTNDEQVEAEAEAGSRQGKRHVMSAVHAESPLRS >Sspon.04G0004180-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:13347383:13350301:-1 gene:Sspon.04G0004180-1P transcript:Sspon.04G0004180-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RPFPEDSCDSWLLLSCSACLPQARERASASVAVVNGKRGCSFRLGVPTLNVCQSRQRAVVIRNEHAQNADFPRKYSKREKKPFPIPVLELRRRAKERMKAAQGRPKQPMPPPKNGMLVRRLIPVAYKVYNARILLINNLKRLMKVVPVKGCKGEHDWGSTLVEAVFLPVEAYHLEDRLGLRIPHDQRFSVPRIPALVELCIQAGVDLPEYPTKRRRKPIIKIGRKEFVDANEDDLPEPEPEPDRFKQPLLEELNYDKIIAPSSPEETAALAEETLEAWEAVRDGALKLMKGYAVRVCGYCPEVHVGPTGHKARNCGAFKHQQRNGQHGWQAAVLDDLIPPRYVWHMPESGEELQRELKTFYGQAPAVVEICIQGGAKVPEKYKATMRLDIGIPSSLKEAEMVV >Sspon.01G0055580-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1C:81392694:81393675:1 gene:Sspon.01G0055580-1C transcript:Sspon.01G0055580-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSHLYTPHHGDDVDELVASMISDLIYWRSLGNIDGNGTKCSLIYKVLQCIRASDPNAYEPRVLSIGPYHHGVPSSLPLEKEKWFCLDYVLRLNSKRSLHDYLAVITALERETRICYSEDCTMNNRMFVEMLLLDACFILVCLNGIGVKAQEYINLSHSQDQDEQIVLGKIESSVLKKGKETMMESQPGPSWKTNKNFALTMEKDEVETHQNMKTGTDMESLDGQKLENGDQCELCISTR >Sspon.08G0014100-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:53611897:53612649:-1 gene:Sspon.08G0014100-2B transcript:Sspon.08G0014100-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPMGTPLYMDPEFFATGELTCQSDVYSFGIVVLRLLTGKPPVGIKKTVEDAMMKGDLNSVVDSSAGEWPDVQVQQLAHLALSCTELSRRCRPDLLGDVWRVVLAMRDAASATIPSASSSRSMSDKNRTPSYFICPISQDVMSDPHIAADGFTYEAEAIRIWLDNGHDTSPMTNMRLEHDELIPNRALRSAIQEWLQQQNAAL >Sspon.03G0016810-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:73949782:73956605:-1 gene:Sspon.03G0016810-3C transcript:Sspon.03G0016810-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCAAAWSPPSSALGRRPCRGRRRRSSVRLRPRAAAVGQEGGGAAGEEAPPRLVLHDSLDAVGVGRLTRVSAGSSIAISRGPDLARAALCVAAEDDSLVSHSSVPLPVDAFIARLDGLSGGFCAGGNFPPSGAPPEVFFDYLDRYLYIHKGFRRTNRVSDVRTMYLHSVLTCRSGSALMLALIYSEILKTVRIYGLLDFDAEIFFPNDLNSLPRGYDKQKGKLSDEPHIMTSKSLLVETLRTLKSTFWPFQSDQSSSLFLNAVAVNHYGPGTLGDNQARSHGNISAIEMAAAKAAHHRLMRGVWTNVRFGDMRRALAACERLIILHHDPHELRDYAALLYHCGYYEDCLHYLSLYQTAKAGQSPMNRSEILEDEAVNTLKARVTLILAEDGWSSRCRPVASYWTKNSEPWVSVNF >Sspon.02G0036770-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:27288417:27290297:-1 gene:Sspon.02G0036770-1P transcript:Sspon.02G0036770-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At2g36980, mitochondrial [Source:Projected from Arabidopsis thaliana (AT2G36980) UniProtKB/Swiss-Prot;Acc:Q9SJK9] MAAGGRPFPGLVAATSRIASLGRAGDAASARAVFDAMPRRDAVVWNAMLTAYARAGQPRDALALFSRAPAPDAFSLTAALSAAAALRSPTAGAQLHARLLRLGLRALLPVGNALIAMYAKCARAEDAARAFREMRERNALSWCSLLHAYVASDQLMLAQKLFDEMPNRNNVAWNTLLMGYSRSGNATQCLLLFNEMRTAGLTCDDATLCILVDACTELANPSAGSAIHKIVVQSGWNAMAEVSNSLISLYTKFSLLDDAVRIFESMEVQTIVSWNSLIDAYMKLGCVEKATSLFGSVPGTNVVSWTAMIRGLARNGRADEALMLFVEMLAHEHIHPDDFTFGAVLHACATGASLASGRMVHCRVFQSGFASYLYVANSLMDMYAKCGDLEGGTNVFSAIVNKDLVSWNTMLFGFAINGWANEALVVYDSMKSHEVCPDEVTFTGLLTACSHSGLLEHGKTFFESMVSVHGIQPKPEHLSCILDMYARSGNITKAMEMLDHYSEMIQTHNSDIREALLSACSLEHLNFSVARKAVKDMVATKSAGDVGYVMLSNLFCATGQWNQAERVRIAMAEYGIKKSPGCSWIEVQGAVKVFVSGAQDLDHSGSVWDVISLLDGEMRNIMHFDV >Sspon.01G0019110-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:92538587:92540100:-1 gene:Sspon.01G0019110-1P transcript:Sspon.01G0019110-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MELDQGKVFIGGISWETTEEKLSDHFSAYGEVTQAAVMRDKITGRPRGFGFVVFADPAVVDRALQDPHTLDGRTVDVKRALSREEQQASKAANPSGGRNTGGGGGGGGGGGDASGARTKKIFVGGLPSTLTEDGFRQYFQTFGSVTDVVVMYDQNTQRPRGFGFITFDSEDAVDRVLHKTFHDLGGKLVEVKRALPREANPGGSGSGRSGGSGGYQSNNGHNASSGGYDGRSDGGRYGQAQQGSGGYPGYGAGGYGAGAAGYGYGANPAVGYGNYGAGGYGGVPAAYGGHYGNPGAAGSGYQGGPPGSNRGPWGSQAPSAYGTGGYGGSAGYSAWNNSSGGGNAPSSQAPGGPAGYGSQGYGYGGYGGDPSYASHGGYGAYGARGDGAGNPATGGASGYSAGYGSGGANSGYSSAWSDPSQGGGFGGSVNGGAEGQSNYGTGYGSVQPRVAQ >Sspon.08G0007930-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:25218416:25221206:1 gene:Sspon.08G0007930-3D transcript:Sspon.08G0007930-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGDGGAAGRAMWVCAVLAALPFLAAAEAAGRYRAVFNFGDSLVDAGNLVTEGIPDYLATARLPYGQTYFGYPTGRCSDGRLVVDFIAQEFGLPLLPPSKAKNASFAQGANFAITGATALDTDFFQKRGLGKTVWNSGSLFTQIQWLRDLKPSLCSSAQECKEFFAKCLFIVGEFGGNDYNAPLFAGKDLKEAYKLMPHVIQGISDGVEQLIAEGAKDLIVPGVMPSGCFPVYLTMYTDPKEGYGSRTGCLKRFNTFSWVHNAMLKRALEKLRDKHPGVRIIYGDYFTPIIQFILQPKKFEFYKQPPRACCGASGRGPYNFNLTAKCGEAGASACADPKTHWSWDGIHLTEAAYRHIARGWLHGPFGDQPIVQSS >Sspon.01G0055150-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:73953676:73965925:1 gene:Sspon.01G0055150-1C transcript:Sspon.01G0055150-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MWVPVPRRYQLEALERALAGNTLVFLETGAGKTLIAVLLLRRFAHRIRSAPPPCFAVFLVPTVVLVSQQARVVRAHTDLTVAEFYGEMGVDFWNADKWRDMVDGAEVLVMTPQILLDNLRHSFFRLRDIPLLIFDECHRATGNSPYACILKVTRSWNHAWLFLSPTDPLEFYHPQLNSRPSDPIPTIFGMTASLINKGLARVKYSEKISELENLMNAKVRLYKNTDTTVYTVASESALLQYIPFATTKIVEYDDYIKTHTIRCLNKLETKHLETLKEKLHCSLLENSEKRIKKLNAMFLYCISDLGVWLAAKAAEILQSNKEICVSFWGEKLDEKVEGFIRNYVSDVYSDLSEIILKMTKRGVQRHIGEDFAEDLQDGLLTSKVYFLIKSLLGYRHMQDLRCIVFVDRVITSIVLEALLSTINQMSGWSVRYMASGRIGGLQHQSRNKHAEIVDSFRSGKVHLIIATAILEEGLDVPSCNLIIRFDQAATVCSFIQGDANALSKTEKFLESGQIMREESQRLESTCCQPLPNTLCNKEFYRVQSTGAIVTLNSSVALIYLFCSKLPSDEYFKPVPRFNINKALGTCTLHLPMSSPVQTIYAEGEFSMIKKVVCLKACRELHAVGALTDSLLPESSVPCEDEPDIVIVNIFFIVIVARRFQTTILSLLISKDLSEVSNSIKNLEMPVSPGAVYLLLPVVSGKIDWCSIKFSAPDPESNNMDMRHCHPCKDTGIVQTEDGPFCRCMLRNSIVRTPHNGMFYAVSGFRLDLNANSLMPGSSLSYKTHFKEKYGAARAVGRWSAPASHPGGCGEGGLEGSGGTGSASSGREGGWDGILSPSSRSLIPQDQKKEQRCLQ >Sspon.05G0003990-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:12245884:12262943:1 gene:Sspon.05G0003990-1A transcript:Sspon.05G0003990-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LPRAAHSQDLAPPPLRPPGRRATTCDNVPEPFGISSRGASSLPGGFGVTCGPNREAILSIGEHEYRIDSVSVPGSYVVIFAEPITQVCYDGKGKPTPDTGTGAKSLDGTTFTWSLEGTPFTFSKSNKLVNFGCNRTLVANFFNLPGDPIPLYTASCTTTCYTPNPNISSSCLEEACCEASMDLNGAKKFSLSFQGTTTANGTGDEEEDGTCSAAFFLDKAEPVFNFSGDGVRPLKKALSPQGERRMILDWAIGSITCDQAQSYNFETPCKYCADAPSGAGYVCKCPDGYDGNPYVRDGCKGRLLQEVEPHILAEAGKDQCYAVAELSASMDLNGAKAFSLSFEGTTTANATGDEEEDGTCSAAFFLDKAEPVFNFSGDGVRPLKKAFVAA >Sspon.05G0026670-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:36946558:36951908:1 gene:Sspon.05G0026670-2C transcript:Sspon.05G0026670-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALTATARRSLASCLSGCLHPALSHLLTSHRSGDAATPSTPLHPASPLFPSHHFPLPQSSGTAAQTLTLFPFGIHLAGAAPSRRGFSTSPSYRVADAGAVLRDAADAAAAPTAPASFPSEVAWIAQDSSLSVAAAQHLIDAVHSFTGLNWWLSIAVSTVLLRYVLFALMFSVRKQAYVLIQETLAVKKLFDSADDEESKGEVIKRSLSLYKSLGPVACIAILSPYTFMVLYYGISNMVEKLPSLKEGGAFWFTDLTAPDALYIFPAITALSLMIRLE >Sspon.03G0011850-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:48656070:48657547:1 gene:Sspon.03G0011850-3C transcript:Sspon.03G0011850-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ATYDKAIESYKKAVTTAASLAASAMLVRGVVNELVPYEVREFLFSGLGYLRSRMSSQHTVVIEETEGWASNQLYDAARTYLATRINTDMQRLRVSRVAEGKSLMFSMEEGEEMADVHDGAEFRWRLVCRDGAGGGSGGGGGHARGGGGGGGNYRVEVRSFEMSFHRRHKEKAIASYLPHILAEAKKIKEQDRTLKIYMNEGESWFAIDLHHPSTFTTLAMDREMKRSVMDDLERFVRRKEYYKRIGKAWKRGYLLYGPPGTGKSSLIAAMANYLKFDVYDLELTEVNWNSTLRRLLIGMTNRSILVIEDIDCSVDLQQRAEEGQDGGTKSSPPSEDKVTLSGLLNFVDGLWSTSGEERIIIFTTNYRERLDPALLRPGRMDMHIHMGYCCPESFRILASNYHCITEHHTYPEIEALIKEVMVTPAEVAEVLMRNDDTDIALEGLIRFLKGKKGDAKDGQG >Sspon.07G0021220-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:2274060:2274974:1 gene:Sspon.07G0021220-3D transcript:Sspon.07G0021220-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPLLLPVSTAPAASSCVDITTADAAPSGRQAPSSSPSTQSIVLRLAAVITVACASLFAQHEAAKGFAVAVANDAPRGSAAARRFDLLFVSNGRAERALLHASRGVERALFPDPSFPRRQVRRVTVRMAGHNLTAGAEVHAAAPWEYVVTLSPNLVASTSADAVAAALRRAVARMWLWDGRGAAPARVTEAMVEYLASVAAGHGAEAEAAATPLSPEDGHGCSMSAALLRHLEARHEGFVARLNRAMKDRWSDAAVDAALGAPARLACAAATTTTPLTGQDDDPIAALAGSTSDAARGSSVAM >Sspon.02G0017520-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2A:51030656:51031006:-1 gene:Sspon.02G0017520-1A transcript:Sspon.02G0017520-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSGRASWTSPSRTARHAFRTESYNDFWARVLDITLAHGVGLVPRLGGGVKHLPSYRLFAEQLLKPDQRAVRAALASPRSGQLHPGMRDLLTTYYNETASGSFLCSHLLRDIKQIRL >Sspon.08G0028610-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8D:13927555:13927731:-1 gene:Sspon.08G0028610-1D transcript:Sspon.08G0028610-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLVHVLLDMACAEGNLTPTLKPGAPISSPLNDKHKQGNRAPVTWVATSPARWPRCP >Sspon.06G0000890-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6A:3076621:3076856:-1 gene:Sspon.06G0000890-1A transcript:Sspon.06G0000890-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding TPIHKSEPRLKEGVMLNLEEFRTVGASSSAAQDGSNTMHHGPRPRLEKDSAGSRLPAFTFLGDVRVSETWMGMGLRC >Sspon.03G0001790-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:10646043:10647863:-1 gene:Sspon.03G0001790-2D transcript:Sspon.03G0001790-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFSEAPPGNPTAGEKIFKTKCAQCHTVDKGAGHKQGPNLSGLFGRQSGTTPGYSYSSANKNMAVIWEENTLYDYLLNPKKYIPGTKMVFPGLKKPQERADLIAYLKNATA >Sspon.03G0041760-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3C:45981463:45982106:1 gene:Sspon.03G0041760-1C transcript:Sspon.03G0041760-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFRLLGYDEYRGVHGILFVNAQLEWKRRDAHTWWVPIAGEGSDGLVTWCRTAAAVTQLSDERQSARTRRVP >Sspon.02G0013500-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2B:33452258:33453072:1 gene:Sspon.02G0013500-2B transcript:Sspon.02G0013500-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRITVSYSGYVAQNLAASLGHRFSSASASASSSRLLHDGAWRPFCIFTSTRQPEQHRNSGRGSDRHDGGDHNHPKPQAIAAGAHSLLLTRACLSSKSPPPSLAVGLLSVLAQRTGPTPGTTGSAASLAGSSPISLGFNPTSFLPFLQTAKWLPCSDLATSSSSAPSSPPRSLAPSAPARKALIGGASGTVTAGASGSAGIATSSGAGAAMSRSNWLSRWVSSCSDDAKTAFAAVTVPLLYGSSLAEPKSIPSKSMYPTFDIGDRILAEK >Sspon.01G0028940-3P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:86508108:86514667:1 gene:Sspon.01G0028940-3P transcript:Sspon.01G0028940-3P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:KNOTTED-type homeodomain protein, KNOX protein, Shoot formation during embryogenesis, Control of seed shattering, Control of internode development, Repression of lignin biosynthesi [Source: Projected from Oryza sativa (Os07g0129700)] MDQSFGNLGAGAGSSSGGSNSKAAAAVSSSSFLQLPLSTAAAASPAYYGAPLALLHHHAAAGGPSSQQQQQLPYAKHASAEMSAAEAEAIKAKIVAHPQYSALLAAYLDCKKVGAPPDVLERLTAMAAKLDARPPGRHEPRDPELDQFMEAYCNMLVKYREELTRPIDEAMEFLKRVEAQLDSISGGGSSSARLSLTDGKSEGVGSSEDDMDPSGRENDPPEIDPRAEDKELKYQLLKKYSGYLSSLRQEFSKKKKKGKLPKEARQKLLHWWELHYKWPYPSETEKIALAESTGLDQKQINNWFINQRKRHWKPSEDMPFVMMEGFHPQNAAALYMDGPFMTDGMYRLGS >Sspon.06G0017930-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:97436707:97438309:-1 gene:Sspon.06G0017930-1A transcript:Sspon.06G0017930-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLQLSVCDVDELEECCRRWAAKGLQVTVSVCDVAVRAEREALIDTVKDVFAGQLDILKHRRFGCWGGEQRGVGDLQAGDATTECTAEDYARLMATNLESCFHLSQLVHPLLRKSAVTGGRSIVHISSVGSCLGAPNVLLDINRYLDEMMSGVGGMNQLTRSLAVEWAPDKIRVNCVTPGTIVTDMAKQVPVILGEEYLSRIPLKRSGDPEEIASVVSFLCMPAASYVTGQVIYVHGGRTINA >Sspon.03G0021500-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3D:52079604:52081063:-1 gene:Sspon.03G0021500-2D transcript:Sspon.03G0021500-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRIIHHTRTGENNPISYLDPNATVQDASFQLPEIGENYMLSTGNEFLFFGYSNAQATLYGESSGPFEENYRHCSGSDGCCHVPILAGSTPKIIEFKGLMNTRQWAFISEEGLTDQWWYMIFNRTEELLSIMPLFSGPYFSSPLVLRWAVKQGFQAPAARNSGQCPGDIASSICNKDSFCRQENEGFTCHCNTGRIPGQPLHRRRMQRSLLLTADFTVYSLRTLSLSISLCLSKEYICFSMFALLITLRDFNYIVTYCSFWSRLMATDVDECSSNTTKRCFGVCENLPGTFKCQCPLGTFGNPHKSNGCVRLSTILSTFIKKNKIGLSAASGLVLLLLVLGIMLVPRKIGEHRMKVLKQKYFKQNYGQLLQQLMSRKADNAERMIIPMDELAKATNNFDKTRELGGGGHGTVYKGILSDLHVIAIKKSKITVHKEIDEFINEVAILSQVNHKNIVKLFGCSLET >Sspon.07G0031320-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7D:22540674:22544495:1 gene:Sspon.07G0031320-2D transcript:Sspon.07G0031320-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLVVEQSTLHLTESLFGTSSNFEVFKFPGGITIIPPQAAFLLQKPYASFNFTLNFPIYKVQEKTNELKDQMKSGLRLNPYENLYIKLTNSKGSTVAPPTIVQASIVLEVRNHQPSLPRMKQLAQTIANSSSGNLGLNHTVFGRVKQISLSSYLRHSLHSEGGTDTPSPAPMPYQDHPQHYHHHHHHHHHHHHEHHHHNKSHEEKKHFAPSPAPVHSPIEQPKYRSPSPSCPYGYTTKPKNKAPVAPAAEPVASNHHYASPATIPHAVPPPSISPSPFVHHSPNNPRRDNSAPTPSPALAKPHLHGVPLVHGHIMLKCQLWLLVLTHSEHLIRLPATFYRHGYSPDRRGSNAGVSFHFLLPIPGWEMKTCHYTHPTAYCFATDHPRGRIRQGPYPTISEIRPYPPSLDLASTRPRPTTGQQGRKADVAEDSGSFRRLVPNVATVSKDGWPFLGHVATATSTGIDKTLSQGTPASRPPLTL >Sspon.01G0014970-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:42921988:42927294:1 gene:Sspon.01G0014970-1A transcript:Sspon.01G0014970-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLGGRIQFGPGMLSASLPRRRLSTVSSPATSPRSISISTSSSRGFSTRGARKKRSCISWTTSAATPADMATNSGSALTKVAPLEAILFDIDGTLCDSDPIHFCAFRDLLQQVGFNDGVPITEEFYSATISGGHNDDLARALFPDMDHQKAMQFMDDKEALFRKLAPGQLKALDGLPELCRWIEGRNLKRAAVTNAPRANAELMLSLLGLTDFFPVLVIGSECDRAKPFPDPYLKALQLIDASPEHTFIFEDSASGVRAGVAAGVPVVGLTTRNPGKVLKDAGASLLAKDFQDPELLSVLQEIEPAAANAQG >Sspon.07G0025790-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:47818217:47821164:-1 gene:Sspon.07G0025790-1B transcript:Sspon.07G0025790-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGCAGKARHDDDEDRLNFKGGNVHLITTKEGWEQKIAEANRDGKTRRTCVRQRCLGLLQSGRRAHRIDDDSVRYRRVLAIHPWRLTGDPSSWRSANERGVVANFSASWCGPCRVIAPVYAEMSKTYPQLMFLTIDVDDLMEFSSSWDIRATPTFFFLKNGQQIDKLVGANKPELERKVQAAAGASSS >Sspon.08G0001100-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8B:1142505:1142813:1 gene:Sspon.08G0001100-2B transcript:Sspon.08G0001100-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding EDNGGGGSDPAAARDAVAAHVRLDNPLSPGRLAGFHEVHGRCGPLAARLASAHRRLRRLARAMRVARGTAGRCSWRRARPPSWPRWCSPRTPWWASGPRPPL >Sspon.06G0013980-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:56247595:56256208:-1 gene:Sspon.06G0013980-2D transcript:Sspon.06G0013980-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKKGRAVPEWLNSPIWSATPPAPAPPDPYGVDLAPPPPPKPPPPAVPPPPSYEQAVGVGGGRGDEEEEEGAGAVIRAHLLSDFKAALSKKVVNMGELRRLACLGVPDGGGGVRPVVWKLLLGYLPTDRSLWAYELEKKRSQYSAYKEEFLLNPSEKLRRMEETKLSRKKELNVERIGFLPRLEVTNEEHPLSSGKSSLWNQYFQDSEILEQIDRDVKRTHPDISFFSSKSNQVYPQYYAFRWITLLLTMEFSFNDTLMRICCAMLILVRKRLLAGDFTANIQLLQHYPATNIDHLLHIANRIAAMVATERIPKREEHLITDYSRNNVNKKHGVKRFEIKGKGVQLRNALIH >Sspon.07G0001500-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:3481790:3483872:1 gene:Sspon.07G0001500-1A transcript:Sspon.07G0001500-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADQETPAVVEAPTPVLGEPMDLMTALQLVMKKSGAHDGLVKGLREAAKAIEKHAAQLCVLAEDCDQPDYVKLVKALCAEHNVHLVTVPSAKTLGEWAGLCKIDSEGKARKVVGCSCVVVKDYGEESEGLNIVQEYVKSH >Sspon.03G0008990-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:4897522:4901375:1 gene:Sspon.03G0008990-2B transcript:Sspon.03G0008990-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRGHLLLALLVLAATAAAASGGRHQARVRRPARPRLELVPAAPDASLADRARDDVHRHAYIRSQLASRRGRHAAEVGASAFAMPLSSGAYTGTGQYFVRFRVGTPAQPFVLVADTGSDLTWVKCRGAGAAAGTGAGSPARVFRTTASKSWAPIACSSDTCTSYVPFSLANCSSPASLCAYDYRYKDGSAARGVVGTDSATIALSSGRGGGDSSGRRAKLQGIVLGCTATYDGQSFQSSDGVLSLGNSNISFASRAAARFGGRFSYCLVDHLAPRNATSYLTFGPGPEDPAASSSSAAQTPLLLDRRMSPFYAVTVDAVCVAGEALDIPADVWDVDRNGGAILDSGTSLTILATPAYRAVVAALSKHLAGLPRVTMDPFEYCYNWTAAAGGAPEIPKLELRFAGSARLEPPAKSYVIDAAPGVKCIGVQEGSWPGVSVIGNILQQEHLWEFDLRDRWLRFKHTRCAL >Sspon.01G0063430-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1D:115083526:115084062:1 gene:Sspon.01G0063430-1D transcript:Sspon.01G0063430-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RYYSKKWLEEGVGREPPHKDKEVSKMRMICFKRFFPNPEDLAKVKEEYARFSSCSEDFNDPDSIHDRWSASPMTWWTNHGQSAPLLMSLAMKLLSQPASSSCCERNWSTYSFIHSVKRNALTPERAEDLVFVHTNLRHLSRRSDAYKSGETRMWDVGGDSFDSLGGIGILDVADLSID >Sspon.01G0038290-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:29522705:29547173:1 gene:Sspon.01G0038290-1B transcript:Sspon.01G0038290-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPAVATAGEGQRFKRIPRQAWSGNLELDPLLNESLDQWPHLNELVQCYKADFVKDDCKYGRYESVAPPSFQNQIFEGPDTDIETEFQLCNARHSKPEDATEDDTPSTSGRQIYETESSASSSKVHCSLSPLPAYEPAFDWENESGLKITVKAQGSLDRRGVFSLDAPSPSVCLLIQLEKAATEEGGVTPSVYSRKEPVHLAEKEKQKLQDPKRKVHKPVKGVLRLEVEKLRDGRNDVDNASEGGSMANDLNDAGDINNGRSNRSSFDGIRSSANSIAIGKKDAHHNGHISNAENGDIFQAFDFRMLTRSEPFSQLFHCLYVYPLTVSLSRKRNLFVRVELRKDDSDIRKPPLEAVHPRERNTMLQKWGHTQIAVGTRMASYHDEVKISLPALLTPQHHLVFTFFHVDLQMKLEAPKPERMDYLEDGKTVFRLRLRLCSSLFPVNERIRDFFVEYDRHTLHTSPPWGSELLEESSDGAERNRFLINYVDFAFDDFGDRQAPVYPGLSTVWGSLARSKAKGYRVGPVYDDVLAMAWFFLELIVKSMGLEQSRLFYHNLPLGEDVPPLQLKEGVFRCIMQLFDCLLTEVHERCKKGLSLAKRLNSTLAFFCYDLLSIIEPRQVFELVSLYMDKFAGVCQSVLHDCKLTFLQIICDHDLFVEMPGRDPSDRNYLSSVLIQEIFLTLDHDDLSQRAKAARILVVLICKHEFDARYQKSEDKLYIAQLYFPLIGQILDEMPVFYNLNAVEKREVLVVILQIVRNLDDATLIKAWQQSIARTRLFFKLLEECITHFEHNKTGDSMLLGASSRSPDVEHPASPKYSERLSPSVNAYLSEASRHEIRPQGTPENGYIWNRVSPQLSSPNQPYSLREALAQAQSSRIGSTARALRESLHPVLRQKLELWEENLSTAVSLEVLGITGKFSVAAGTRSITTDYAKLDCVTSILMGLLSRSQPLAFWKAFLPVVYNIFNLHGATLMARENDRFLKQIAFHLLRLAVFRNDSIRKRAVVGLQILVRNSFNYFKNTTRLRVMLTITLSELMSDVQVTQMKSDGSLEESGEARRLRKSLEEMADVRSKDLLKDCGLPVTALEAAPEGSSDNRWSWVEVKHLSKCLVQALDAGLEHALLGSVVTVDRYAAAEGFYKLAMAYAPVPDLHIMWLLHLCDAHQEMQSWAEAAQCAVAVAGVIMQALVGRNDAVWSKEHVASLCKICPIVNTDVSAEASAAEVEGYGASKLTVDSAVKYLQLANKLFTQAELYHFCASIQELIIPVYKSRRAYGQLAKCHTSLTNIYESILEQEASPIPFIDATYYRVGFYGERFGKLDKKEYVFREPRDVRLGDIMEKLSHIYEAKMDGSHTLHIIPDSRQVNVDELQPGVCYLQITAVDPVMEDEDLGSRRERIFSLSTGTVRARVFDRFLFDTPFTKNGKTQGGLEDQWKRRTVLQTEGSFPALVNRLLVTKSESLEFSPVENAIGMIETRTAALRNELEEPRSSEGDQLPRLQSLQRILQGSVAVQVNSGVLSVCTAFLSGEPATRLRSQELQQLIAALLEFMAVCKRAIRVHFRLIGEEDQEFHTQLVNGFQSLTAELSHYIPAILSEL >Sspon.02G0003730-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:11848820:11850314:1 gene:Sspon.02G0003730-1A transcript:Sspon.02G0003730-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRQIMAPGWTLGWTWAKREVIWSMVGAQATEQGDCSRFKANIPHCCKRTPTVVDLLPGVPYNQQIANCCRGGVISAYGQDPAAAVAAFQVSVGQAGTTNRTVKVPKNFTLLGPGPGYTCGPAKIVPSTVFLTPDRRRKTQALMTWNVTCTYSQHLASKYPSCCVSFSSFYNDTIVPCAKCACGCEHKTCVQGDSKRLAVTGKHEHAHAAAARGHRDKEAPLLQCTTHMCPVRVHWHVKLNYKEYWRAKIAITNFNYHMNYTQWTLVAQHPNLDNITEVFSFDYKPVVAYGSINDTAMFYGLKYFNDHLMQAGPYGNVQSEVLMRKDASTFTFRQGWAFPRKVYFNGDECQMPPPDAYPYLPNSALPTAAASLLGAA >Sspon.03G0007190-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:19600378:19605769:-1 gene:Sspon.03G0007190-1A transcript:Sspon.03G0007190-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSRSYTNLVDLANGNLSALDYGGGGGGGEGGGRGGGGVGVGGGGGGRPPRARQMQRTMTTPGTLVELDEDQAGSVASDVPSSFASDRLIVVANTLPVRGERGPDGRGWSFSWDEDSLLFHLRDGLPEDMEVLYVGSLRADVPAVEQDEVAQALLDRFRCVPAFLPKDLCDRFYHGFCKQTLWPLFHYMLPFSPDHGGRFDRSQWEAYVLANKLFSQRVIEVLNPEDDYIWIHDYHLLALPSFLRRRFNRLRIGFFLHSPFPSSELYRSLPVRDEILKSLLNCDLIGFHTFDYARHFLSCCSRMLGIEYQSKRGYIGLDYFGRTVGIKIMPVGINMVQLQSLLQQPDLERQVAELRNQFNRKTVLLGVDDMDIFKGIDLKILAFEQMLKTHPKWQGRAVLVQIANPKGGSGKDLEGLQTEIEDSCRRINEQFGQSGYSPVVLVNRTLSSVERMAYYTIAECVVVTAVRDGMNLTPYEYIVCRQGIPGLDGSADDRPRGKSMLVVSEFIGCSPSLSGAIRVNPWNLESTAEAMNESIALSDNEKQLRHEKHYRFVSSHDVAYWSKSYIHDFERSCRDHFRRRCWGVGLGFGFRVVALDRNFKKLTVDSIVADYKKSKSRIILLDYDGTLVPQTTMNKTPSETVVNMMNTLCADKKNVVFIVSGRGRDSLEKWFYPCPELGIAAEHGYFMRWTRDEQWQLQHQTSDFGWMHMAEPVMKLYTEATDGSYIETKESALVWHHQDADPGFGSAQAKEMLDHLESVLANEPVSVKSGQHIVEVKPQAVSKGFVAEKILSTLMEKGRQADFVLCIGDDRSDEDMFEQIADSMRKSMVDPKPRCMPAQSGRNQARPFTIWMTLMRS >Sspon.03G0038580-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:6126398:6128732:-1 gene:Sspon.03G0038580-1C transcript:Sspon.03G0038580-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSFVSAIDSALQEVGRRVEAGLSCGCFSSSIATKQEVQNSSVRQGAYGAAVDSVYTRDAFHGKTFLHYISALGKKPLAGADLLDLATAKAQLRAFNRSRGLRDLPEFVMFEGIEEITEMTRTKGERMHKRSEDGVPSKEKKSRRAGSSRRKGEALPEAGNEDAMDEDGNGGAADDTLNGLKTGSVADSRTLDKKTIDDVLSERKSGRTLRSMSKKEDALEGLKRLAKDGSEELTGKSKDAPVLKENNQRDGAGSAHKKGRITEDGYHRLGDRNAEDLTSPGKRRSGHNENSISKRVSISEYGRKKKKLSELMAEPGRPNSASGGKGKTRGKRLLHDSAEKAEDPDRHSKDILMTRKRKKLNTLGDVSSQSEPLSRKKSTKVGELMSKAAGSSMLQAAPAVKANSAVSQTKPRRAKHRQVNAEDKSPRPMKLSVAACGLKQREKIAPTSVNFFTDFRKNSNFSSSDVNEGMPEKATNTESTPSEQPIADHMHDDYWADILINVEEPLSSLKKKKDESKKRANKKAPQVKKPPINSSATAENADEPRSEGNQDTENGEELRNETKLFSANGSQPNAGTKSGEEMENSFLSGLVLHFSRPSAVPSRSDLIKIFSQYGPVNEAKADVANSASSAQVIFKRRMDAEAAFASARKISALGPALGNRMRELEKK >Sspon.06G0011700-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:62023769:62025224:1 gene:Sspon.06G0011700-3C transcript:Sspon.06G0011700-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Multiple organellar RNA editing factor 9, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G11430) UniProtKB/Swiss-Prot;Acc:Q9LPZ1] MAASLPTTAAAARLAAQAFAFPSLKPTSASAFSSLPRAAAFPSFAVAAAPLRPRRAREPRPTAAGAGGDERETILLPGCDYNHWLIVMEFPKDPAPTREQMIDTYLNTLATVLGSMEEAKKNMYAFSTTTYTGFQCTVDEETSEKFKGLPGVLWVLPDSYIDVKNKDYGGDKYINGEIIPCTYPTYQPKERRTSKYESRRYERRRDGPPASRKPRQQAPQTESASS >Sspon.01G0063290-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:113140890:113145044:1 gene:Sspon.01G0063290-1D transcript:Sspon.01G0063290-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding TDDILQLSKQIKEKMIEVDAFDFEGRTDDKIKAQEVVEELRAKRADMQATLLLDAFNKDRASLTQPIPPPLAAVPPPPPPDARTQEMINEKLKKAEELGEQGMVDEAQKALEEAEALKKLAPRPEPPSDPSKYTAADVRIEQRNKRRTGKTEDDRRSREHSKDHNGRSSRDRDSERRDKVESRDGRRDYDRDRDRRHDRDRRHDRDRDRDYDRSRGHDSRRRERSRSRERSRRHERY >Sspon.05G0005120-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:10286354:10287347:1 gene:Sspon.05G0005120-1P transcript:Sspon.05G0005120-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPAAGTPPFLTKTYAMVEDPTTDETISWNDTGTAFVVWRPAEFARDLLPKHFKHSNFSSFVRQLNTYGFKKVVADRWEFANDGFRRGEKHLLGGIQRRKGTGAVAAVPMSGIPTGIPISSPPTSSGGEPAVSSSPPRGSTAGVSGAVAELEEENARLRRENARLARELARARRLCDGVRHLLARYDQGRGGDEDLPDGDEGHGGGGEGPSGPKPMLFGVAIGAKRIRGADGDDAEYGEDGTAEENGEEHNDEEQDEDDERHAVRERGIKAARRNETSDLDVLTLSVRAAAAARTGGGSRDHKS >Sspon.01G0029190-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:101348928:101350514:-1 gene:Sspon.01G0029190-1A transcript:Sspon.01G0029190-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHIFKIAAILVAISAIWIALLETSTVPRSYTWLLPIYLVVALGCYGLFMVGFGLMFFPTCPQEAVLLQQDIVEAKEFLAKKGVDVGSE >Sspon.01G0001490-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:4018220:4022949:1 gene:Sspon.01G0001490-3C transcript:Sspon.01G0001490-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPEAPSCYVGIARQSAAFRLMKQMGWEEGEGLGKDKQGIKGHVRAKNKQDTLGVGVDSPHNKWVYDTTQFDNILKKLKVQSANPIQEEVAAVKSDSPDITPKKDKSAKDEVTKVTRPQGRYKKRERGKSVSSYSATDLQGILVRKSEDNSQMDQKLEPTCLDEPDPVICPDAVSQADDVNWWGHKFGFVSGGFLGAKSHKNKSLRKDPANVRQTFAEEDQENLYNLVQDKATSGKQGLGIKGLPMKVGGQRWKGNKTSFVDSDDNNSAQSDEYSEIEENDDEEEPISASESIHTDKNAEKELLVDARPKTKVKKLCKRILRQAPSQSMKLKDLKVAVEEHSNAVFSSFSCRREALLFLKKKLQGSRKFNVDGKKVHLVS >Sspon.05G0009740-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:30541505:30545895:1 gene:Sspon.05G0009740-4D transcript:Sspon.05G0009740-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MACTHLAAAGASSPAAAAVRSPEHSRAAAFARLRSTPCFASAGLSIKGNRAAAFPLVAAVGPAAAAPVADLDGRPAAEKQSIIVIDNYDSFTYNLCQYMGELGLNFEVYRNDELTIEDVKRKNPRGILISPGPGEPQDSGISLQTVLELGPTIPIFGVCMGLQCIGEAFGGKIIRAPSGVMHGKSSPVYYDEELGKALFNGLPNPFTAARYHSLVIEEETFPHDALEATAWTEDGLIMAARHKKYKHIQGVQFHPESIITPEGKKIILNFVRFIEELEKQRS >Sspon.02G0006450-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:18987405:18989151:1 gene:Sspon.02G0006450-1A transcript:Sspon.02G0006450-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGSAKPLAAPLQARPPSPAGRRLAPSYCAPDTTPALQSQSDGAPPPRPDETATSHALRSGRRVGLDRWSRSRALRSGRRVGLDRAALSSASASAPPVTKTSRPEDAAVAVAVEDGEDDDDLCVAERDDAAGKAIYIVSDGTGWTAEHSVNAALGQFENCLADRGCAVNTHLFNLIDNMDRLLEVIKQAAKEGALVLYTLADPSMAEAAKKACDFWGVPSTDVLRPTVEAIASHIGVAPSGIPRSSPNRKGQLTEDYFQRIDAIDFTIKQDDGAQPQNLHRADIVLAGVSRTGKTPLSIYLAQKGYKVANVPIVMGINLPKALFEINQDKIFGLTINPMVLQAIRKTRAKALGFVDGYQSNYAEMEH >Sspon.08G0014550-3D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8D:56713460:56714845:-1 gene:Sspon.08G0014550-3D transcript:Sspon.08G0014550-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARLAVAFLAVSSAFLAVSGQQFNAIFSFGDSMSDTGNLCVNGPPAGLTLTQPPYGETFFGRATCRCSDGRLVVDFLAEKFGLPLLKPSKQGGADFKQGANMAIIGATTMDSGFFQSLGIADKIWNNGPLNTQIQWFQQLMPSICGSTQGTWCTTRHQCRPPAALAIKTHARAQMIDEVVALLTPLTLFAACKSYLSKSLFVLGEFGGNDYNAQIFGGYTPEQASGQSATIVDAIGKGVEQLISLGAMYVVVPGVLPVGCFPIYLTLYQTSNAGDYDQYGCLKRFNALSAQHNSLLQSKVGSLQSKYPGARIMYADFYSHVYDMVKSPGSYGFSTNLRACCGAGGGKYNYQNSARCGMSGAYACSNPSSSLSWDGIHLTEAAYKKIADGWVSGAYCHPAISA >Sspon.03G0017450-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3A:54550171:54550527:1 gene:Sspon.03G0017450-1A transcript:Sspon.03G0017450-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLIKLQNWYCYAKTVAEKTAMEEASKRGIQLLIVVPSVTIGRMLQPALNLTLSAVATYMKGTKKAYSNAVGAYVDVQDVALAHILVYEDLSTHGRYLCIGDMLHQSEFLQMMRELFP >Sspon.01G0024310-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:89504675:89507136:1 gene:Sspon.01G0024310-2B transcript:Sspon.01G0024310-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSAAAVIPRLRFATRPRLRRPSARTYWPRPLSSSHVTPAAAAGVLEPPDLTRLANAARISLSPQEAQDFEPKIRQVVDWFGQLQAVDLESIEPSLRAGTMADSSLREDKPETFDNRDAIVEAIPSYDDPYIKVPRVLNKE >Sspon.07G0010800-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:35913807:35916376:1 gene:Sspon.07G0010800-1A transcript:Sspon.07G0010800-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATSVKVARLTTLALFSPTPTPSGPKSTKHRARAPPPISISMDPALVDPAHLQALMLACAHSCALRLSPALSVSAIEPVDLSKLRTALAHSFIVVSVFCGSRFLTHDGEGTGRDRDSWAWSSGSSVHPSLQRRGIGRKIVNKITRVLHSRGIYDISALCTEKERPFFEACGFGDDMMGSTTMLYTRKAHK >Sspon.05G0033680-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:44324848:44327772:1 gene:Sspon.05G0033680-2D transcript:Sspon.05G0033680-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMWLHNGFESGKTKRSVNILHRSSTYRMSMWLHNGFESGKTKRLGFQSIAAKWSFEKALTRFVDDHTF >Sspon.02G0014330-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:36281200:36286082:-1 gene:Sspon.02G0014330-2B transcript:Sspon.02G0014330-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEKDREENLSWESIQKSSRTGPTKKRQILIKSNVVLDLGTPVGKVARTSISIAVEDFYAVHPNYTTQIVFHVRDSMSDDVQAAAAVIDLLEKYNVQAIIGPQKSFQTVFVSALGNTCQVPIISFTARSAYLSSHYLPYFVRVTVNDSAQVSSITSIIKTYGWREVVPIYMDNDDGKGIIADLVDVLEGIDVHVPYRSVIDESATGEQITQELYKLMTMQTRVFVVHMSPSLGSLFFTKAKEIGMMSEGFLGVESYVPKSTELDSFTMRWYMRSRNDHPNDPTLKLNIFGLWSYDTIWGLAQAAEKAKVTKAKFLRQEKFLRPPALKNSTSLGALKNSRNGPAILKALLQKNFEGLSGYFDLSDGQLQVSKFQIINVVGQARRVIGFWTAQNGLSQQLDQRSNIKYRNTIHDPKIVIWPGESTKIPRGWEIPTNGKKLQVGVVTGNKYQKYIDVVEDSITGVIKASGIAIDVFEEAVKRLPYALPYEYVVFNITKNSSSSYDDFVNQVYLKKYDIAVGDITIRYNRSLYVDFTQPYTESGIAMVVPVRESINKNTWIFLKPLTPGMWIGTIILFIYTGIVIWLLELLGNNKAIHGPVPRQLATMIYFSLFEEKEKVKCLISRIVLVIWLVFLLVLKSSYTASLTSMLTVQQLHPTVTNVEELLKAGECVGYPHGSYIKDLLEEIGFEVSKIKPYESPEDFHDELSRGSKNGGVAALVDEIPYLKLFLAEHCKGYTMVGPIYKNAGFGYALQKGSPIIGDISQAILNITGGDTITWIEKKWIGDQNNCQNVGTISGSGTLTFDSFAGPIIATGVASTTSLV >Sspon.03G0028200-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:7191732:7195613:1 gene:Sspon.03G0028200-3D transcript:Sspon.03G0028200-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat receptor-like kinase, Specification of anther cell identity, Control of early sporogenic development, Initiation of anther wall formatio [Source: Projected from Oryza sativa (Os01g0917500)] MGPNCFFILILLICFTPSSALAGHNDINTLFKLRDTVTEGKGFLRDWFDSEKAPCSWSGITCAEHTVVEIDLSSVPIYAPFPSCVGSFQSLTRLNFSGCGFSGELPDALGNLHNLEHLDLSHNQLTGALPVSLYGLKTLKEMVLDNNFFSGQLSPTIAQLKYLKKLSVSSNSMSGAIPPELGSLQNLEFLDLHMNALNGSIPAALGNLSQLLHLDASQNNICGSIFPGITAMTNLVTVDLSSNALVGPLPREIGQLQNAQLLILGHNGFNGSIPEEIGELKLLESLELPGCKLTGIPWTVGDLRSLRKLDISGNDFNTELPASIGKLGNLTRLSARSAGLTGNIPRELGNCKKLVFVDFNGNSFSGPIPEELAGLEAIVTLDVHGNNLSGHIPEWIRNWVNLRSIYLGQNMFNGPLPVLPLQHLVIFSAETNMLSGSIPGEICQANSLQSLRLHNNNLTGNIMVAFKGCKNLTELNLQGNHLHGEIPHYLSELPLVTVELSQNNFTGKLPDKLWESSTILEITLSYNQLTGPIPESIGRLSSLQRLQIDSNYLEGPIPRSIGSLRNLTNLSLWGNRLSGNIPLELFNCRNLVTLDLSSNNFSGHIPSAISHLTFLNSLNLSSNQLSSAIPAEICAGFGSAAHPDSEFVQHHGLLDLSYNRLTGHIPTAIKNCVMVTVLNLQGNMLSGTIPPELGELPNVTAIYLSHNTLVGPMLPWSAPLVQLQGLFLSNNHLGGSIPAEIGQILPKIEKLDLSSNALTGTLPKSLLRINYLTYLDISNNSLSGQIPFSCPQEKEASSSLILFNGSSNHFSGNLDESISNFTQLSFLDIHNNSLTGSLPFSLSDLSYLNYLDLSSNDFHGPAPCGICNIVGLTFANFSGNHIGMSGLADCVAEGFCTGKGFDRKALNSSGRVRRAAIICVSILTVIIALVLLVVYLKRKLLRSRPLALVPVSKAKATIEPTSSDELLGKKFREPLSINLATFEHALLRVTADDIQKATENFSKVHIIGDGGFGTVYRAALPEGRRVAIKRLHGGHQFQGDREFLAEMETIGKVKHPNLVPLLGYCVCGDERFLIYEYMENGSLEMWLRNRADAIEALGWPDRLKICIGSARGLSFLHHGFVPHIIHRDMKSSNILLDENFEPRVSDFGLARIISACETHVSTDIAGTFGYIPPEYGQTMKSSTKGDVYSFGVVMLELLTGRPPTGQEEGEGGGNLVGWVRWMMTHGKEDELFDPCLPVSSVWREQMVRVLAIARDCTVDEPWKRPTMLEVVKGLKMAETIECGPLVVTVTKDM >Sspon.05G0023410-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:15919006:15922366:-1 gene:Sspon.05G0023410-3D transcript:Sspon.05G0023410-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPSVPSLRLPLRPASPALSRRALAGVSPNVVAPRALLLLKPLASKVPASYHQPAPLLHQRHRHGPPPAAATTASKPVLKDPKKYQEWDSVTAKFAGAANIPFLLLQLPQIVLNARNLLAGNKTALFAVPWLGMLTGLLGNLSLLSYFAKKRETEALAMAESMPVPQFVATSVVVAAGLILNFLNYVGWLPGTLWLLWEDFITVGGLAVLPQVMWSTFVPFIPNSVLPGIICGSLAVAAVAMARMGKLSDAGVKFVGSLSGWTATLLFMWMPVAQMAINIAMTLEWKLQLPQPVESAMCLSTEATA >Sspon.02G0057550-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2D:60927561:60930428:1 gene:Sspon.02G0057550-1D transcript:Sspon.02G0057550-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEGHQVTDYTDEDTDYTDDENYNDEDYTPELETASKRQKRHTPEQIQELKAAFQQYDHPDEPTRRALGAKIGLQARQVQYWFQNQCSQLQANARAQNSKVLQQEKTALMAENVSLRHTILTKSCFTCGSATVPIELPAENQRLVMENARLRDENMRATALLNQILLSVPLADHPAVITRGSPLVGEGARRADRAACLRAHAEAAMDQFLLLATKGEPLWLPTPDGEVLSYQKKMLPVHHGFCLDDEFVMEATRETGMVRASAGAAYLVAILTDAKCWSEMFPSIVAGVTARSDAAISSGNFGSRIQLMTAELQVQSPRLLNRRINFLRYTKPVAEGQWAVMDVSVDGILGPPGSRIAGAAVANNTVVPASYTGWRLLPSGCLVEGMPNGYCKASAVTWVVHAEYDEATVPTMFRPLFRSGKALGAHRWLASLQRQCEYLAVLHSSQAPRGDNTAAAISSMGKRGILKLAQRMMAVFYSAVSGPVTQPSSKLYEWPASAGTGARRTDAAVRMVTWKKAGSVADLVLSATTTVWLPNTPPQLVFQYLCDGQRRGEWDAFANGAAVTELCSVATGHLHGNVVSVLYSNVTHGIDNKKVLILQQACTDASCSMVVYAPVEEDSMRAVMNGGDHAPVFLLPSGFAVLPDGHGRARHAPSSSSAVVGRDNTSGSLLTVACQALLPGSSPSDNHVTARLVGKLLCRALKKIKAA >Sspon.02G0013750-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:36561408:36563888:-1 gene:Sspon.02G0013750-1A transcript:Sspon.02G0013750-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thermospermine synthase ACAULIS5 [Source:Projected from Arabidopsis thaliana (AT5G19530) UniProtKB/Swiss-Prot;Acc:Q9S7X6] MVGAVPAEDLPGDVSSKGYGAKHLQLPPPLPAPPKQQQQHPDVEAESGWFEEEIDDDLKLCYALNSVLQRGASKYQEIVLLDTKHFGKALIIDGKMQSTEMDEFIYHESLIHPPLLFHPNPETVFIMGGGEGSAAREVLRHKTVQRVVMCDIDQVHVQTLNRIRGHADMFTVYFRITWRMHDQEVVDFCRTYLTMNRQAFCSEKLCLIINDARAELEKSKEKFDVIVGDLADPVEGGPCFQLYTKSFYELIVKPKLNDLGIFVTQVFQKSQQFRVSHAGPAGVLTHKQVFSSIYNTLRHVFKYVQAYTAHVPSFADTWGWVMVISLLSTYDVLFRSTFQFHAVWLTIAFQASDHPFDLTAQQINERIGDRIEGELAYLSGEFFISSTTLNKSVHQSLLNETHVFTEDDARFIYGHGRACRAVSS >Sspon.05G0024730-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:15120067:15121716:1 gene:Sspon.05G0024730-2C transcript:Sspon.05G0024730-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAVFNKDVLSWYLITIKLREAVDANLQKSPLPRDLPLRITNGDASPSPSPPPLLQRQSEQDRPATRSRALSPAHSPKPQDSEWVIAIRGKLAQARAEEAACPWARLSVYRVPKCLRDGDERAYTPQVVSIGPLHHGRRRLREMDRHKWRALHHVLKRTGHDVTAYLGAVRALEDRARSCYEGRAAGMGSNDLAECLVLDGTFVLELFRGARDGGKGFVDDLGYSRHDPIFAMRGAMHAVRNDMILLENQVPLFVLDLLLGIQLGNPEQTGAVASLAVRFFDPLMPTDAPLHRKDRSKLESSVGAAEATVAFDPLWGPMLHCLDVFRRSLLRAGLQPTPPPPVRLWRKKWSGLRRVADKRRQQFVHCVSELREAGIRCRRHNTDRFWDIKFDNGVLHIPRILIHDGSKLLFLNLIAFEQCHMDIVTPGVNNITSYAIFMDNLINSAEDVKYLHDRGIIEHWLGSDAEVADLFNRLCEEVVFDINDSYLSGLSDQVNRYYDYKWSTWVASLQHNYFSNPWAIVSVVAAVFLLLLTMAQTFYSAYSYYRPP >Sspon.02G0032500-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:86302049:86307811:1 gene:Sspon.02G0032500-4D transcript:Sspon.02G0032500-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MESNGIAPRDVCVVGVARTPMGGFLGALSSLPATKLGSIAIEAALKRANVDPAVVQEVFFGNVLSANLGQAPARQAALGAGIPNTVVCTTVNKVCASGMKATMFAAQSIQLGINDIVVAGGMESMSNAPKYIAEARKESRFGHDTLVDAMLKDGLWDVYNDCAMGMCAELCADNHALTREDQDAFAIQSNERGIAARDSGAFAWEIVPIEVPVGRGKPPVLIEKDESLDKFDPAKLKKLRPSFKENGGTVTAGNASSISDGAAALVLVSGQKAQELGLQVLARIRGYADAAQAPELFTTTPALAIPKAIANAGLESSHVDFYEINEAFSAVALANQKLLGIPSEKINVHGGAVSLGHPLGCSGARILVTLLGVLREKGGKIGVAGVCNGGGGASALVVELA >Sspon.05G0015930-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:59395780:59397160:-1 gene:Sspon.05G0015930-3D transcript:Sspon.05G0015930-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MWSSDSERDETVDTATTTSSPAQQPSTPPAPPRRRRQRARRRAQRLRAKNIGAGEEEPVEEEEAEAEDVWRALQQQREREREAWPRRASRSVVVAGEEGSPDAASAASGENGGGMGRARSLTDDDLEELKGCVDLGFGFSYHEIPELCGTLPALELCYSMSQRFLDEHQQLSKAEEAPAVAPASPAQPVATNWKISSPGDSPDEVKARLKYWAQAVACTVRLCS >Sspon.08G0012560-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:51722233:51724218:1 gene:Sspon.08G0012560-3C transcript:Sspon.08G0012560-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTGGAALVAAALAVVVVTRLWTVLLHLVWRPYAVARAFARQGVRGPPYRVFVGNSKEIQAMRAATSGHTLDITSHDYIPRVMPQYRAWMSLYGKVFLTWSSSTPALFVGSYDMVKRVLSDKSGLYGKPDPGPTILSLMGMGLAFTNGDDWSRHRRVVHPAFAMDKLKSMTGAMAACAAEVIRAWEARAAASGDGEGVTVEVGHQFTELTADVISHTAFGSSYRQGKEVFLAQRELQFIAFASINSVRVPGMQYVPTKANVRRWQLERTVRGTLMAIIGERLAAAKEARGYGSDLLGLMLEANAAGDDGGKRQQAMTMDEIIDECKTFFFAGHDTTSHLLTWSMFLLGTHPEWQQRLREEVIRECGGSEVPLRGDALNKLKLVTMVLYETLRLYGAVPMIARTTTADADLCGVKVPKGTLLLIPIAMLHRDEEVWGADAGAFNPLRFRDGMGRAAAHPNALLSFSLGPRSCIGQDFALLEAKATLALILRRFAFEVAPEYVHAPVDFLTLQPSKGLPIVLKLLDV >Sspon.01G0013620-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:41053823:41056939:-1 gene:Sspon.01G0013620-3D transcript:Sspon.01G0013620-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to Rhodanese-like protein [Source: Projected from Oryza sativa (Os10g0545700)] MARSVSYVSAAKLVSMARGNPRLAIIDVRDEERSYQAHIAGSHHFASGSFEARMPELARAASGKDTLVFHCALSQVRGPTCARMFSDYLSETKKDSGIKNIMVLELGFNGWEGSGQPVCRCTDAPCKGTCC >Sspon.03G0018190-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:55865666:55869758:1 gene:Sspon.03G0018190-1T transcript:Sspon.03G0018190-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPLKIGYRPGEKFSLRDFHHAVNNIPLDGFLADQHGGSLLTGDVSLTNLFSNKAIYSWATDDISKKVIAICMSAQNTEALRRSLMDAAEQCVAVDFIMLGAEAAFMYGGASENANSFVNRICDLENCVVRRYNPETQVLHGLVKRWLEELKDDKEDTLQAVFVFRDPIIDSVKHIYCNLYASTNQITDGFPPCQACKCHGHPIDLVTPNKAKTCPTTNRQLAASDVIDTVVRIGEQTVLFLPTSEGSANLRRVSTSISFDVIERTELASMNEGTHDCFLFRYKYFGLVCSSSCNIETMKIGTLQCYYLLQPSEKGPMLLRRLAGSEEILPLPDMTRDCSSKITMEIKNSIETSLSKIMLKDYNPLHHERGFHSELNRLVKDSLQFGSIAPSCAPKDPHNVGSFSEPQVPTCQDLEDSMFLDQPEDAAGGLNDLLHSFSESQTSTFITPSKNRLSIQSKKGKASPSISEEWEKLIIIDDLDDDFSSPAHPRPAVDKPPRPKPPSLVKPLDEKTSRILERLEPPRAKKQRANISKASTGAAMAPASKQIKKPLLPREPSASQPLRPSFNRLRRKLPT >Sspon.04G0012010-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:35885029:35890589:1 gene:Sspon.04G0012010-3D transcript:Sspon.04G0012010-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chromophore lyase CRL, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G51020) UniProtKB/Swiss-Prot;Acc:Q9FI46] MGSGEEDTGGGGGAVRGAVLKALVVVGGVLLLRRLRRSTTRWDHARAVADALSGEKVTPPPSLEKCLVPGTFRCGRTENSSEKFRPFSSAARRKIPMRRRLFLNVDRGKKCLCLNAFPDVQFSREQARKDPDNFFNLRMLTCPATEMVDGSRVLYFEQLSSYAIRDAEEYKNFCDRQKDQRPQPEEVIADIAEHLTTIHLSRCGRGKRCLYEGSTPPEGFPNNWVWGTKVGPYEFKPAPKSKYDDMFSPLNFSAPLSLEKLDKAYVLLKF >Sspon.01G0008390-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:26401404:26403000:1 gene:Sspon.01G0008390-3C transcript:Sspon.01G0008390-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPNFIFGDSLVDAGNNNYIVSLSKANYPPNGIDFFGHQPTGRYTNGRTIIDILGQEMGLGGFVPPYMAPETTGDAVTRGVNYASGGGGILNQTGSIFGGRLNLDAQIDNYANSRHDLIARHGEVQAVSLLRGALFSVTMGSNDFINNYLTPIFSVPQRVTTPPVAFISAMIAKYRQQLTRLYLLDARKIVVVNVGPIGCIPYQRETNPSSGTGCAEFPNQLAQAFNRRLRALVDELGAALPGSRFVYADVYHIFSDIIANYRSHGFEVADSACCYVGGRFGGLVPCGPTSQYCADRSKYVFWDPYHPSEAANALIARRILDGGPEDISPVNVRQLIVT >Sspon.01G0014060-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:42022021:42024383:-1 gene:Sspon.01G0014060-4D transcript:Sspon.01G0014060-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSASLRLAVVGAGAAGLVAARELRREGHAPVVFERAAAVGGTWLYTPPAATSSDPLGAAATHSSLYASLRTNLPRETMGFLDFPFAAAAAVGSRDPRRFPGHEEVLRYLEAFARRFDLLRLVRFETEVLSVRREDDGGRWAVTSRKLGEKGSGEEEFYDAVVVCNGHYTEPRIAVIPGVDAWPGKQMHSHNYRVPEPFLDQVVIVIGASASAFDISRDIASMAEEVHIADRSAPASTCKKQPGYDNLWLHSMIDHAQEDGTVVFRDGSSIKADVIMHCTGYLYDFPFLGDDSTITVDDNRVDPLYKHIFPPEVAPHLSFIGLPWKVIPFPLFELQSKWVARVLSGRIKLPSKDKMMEEVKAFYFKLEARGWPKRYTHNFSNHQFEYDDWLAEQCGHPPIEEWRKQMYAVNAMNKAARPESYRDEWDDDHLVAEANEDFKNFL >Sspon.01G0010770-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:29866738:29871080:1 gene:Sspon.01G0010770-1A transcript:Sspon.01G0010770-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWWWTGAIGAVKKRQDEQAAAAEPSYQSALASSSDPPALLAPPSSTSSRSPTPRAGRRRSTPSRAARSRPGPRRPPPPSPTSTSTSPTPPSPRPSAPSPTSPTSSSSPVPAAPPRRRTGRTTPPCSATCSPSSSPTAPRSSTSASRPATHYVGPFEAIGKVATPDPPFTEDMPRLDCPSTSSWLALRYLTAMIGLFAEASHDSAGNWTRHQPMGRIWIWSLCFLDSVSSICGRRRSTKLISICKATIFTHVWIPTSPAPSSSPCSSAPAPAQVTAQAMAAGSLDIDPSPTSPFPPPRPPPPSPRVAMACGSSLTSPEAASALASTPLEPEATAAATTQLAGASTGARPPPLPMEEAGSRAGGPQAAGFASAGGREATARQQQPPPRPATSPAV >Sspon.02G0019270-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:62627981:62631714:1 gene:Sspon.02G0019270-1A transcript:Sspon.02G0019270-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTCATRRPGFLREAELRLLRCTLPALPSAPPPPASPPPAHPLGPAAASALAAVEAGDYEAALAAAAPHLLQASASSGPPGSAAQFYAELGAAARAFMLGDGDGGAAGEGFECRCVVVLSAAVAALLAFTQQNVTGPPRKFPTFPFWISSLDERCYSNLGDIWDAWASDNLASFGSHVHGKFSLLQFIVFAKLLFTSLQSLDLSDCCSVPWWLFRISMFQQNILDDLSSSLFDQVQVYKNKMLDHFGELEKVSTYWDYLLSDGEGSYFVSAAFLEAGIVEYKYGRVDASRLHLNSAQEACGLHLSLTGILGFRTIHQVNYTAL >Sspon.07G0002650-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:5412727:5418621:-1 gene:Sspon.07G0002650-2B transcript:Sspon.07G0002650-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATAAAVAARLLLALAVLAACLGAAPRGADALRSLGVGGAKAADGDAAVDLDASNFTTFLQASPESFAVVEFFAHWCPACRNYKPHYEKVAKLFNGPDAAHPGTIVMARVDCASKVNLELCNKFSVDHYPYLVWGPPTKFNLVQWKPKQENSELELIDDGRTADRLLKWINKKMGSSFNLDDKKYENESMLPKNASDPEQIARAIYDVEEATAHALQIILQHKMIKPDTRDSLIRFLQILVTHHPSKRCRRGSAEILIDFDDHWHTNLSLSLQDSSTLLKSAGEKICGNGVPRGYWVRLNSQSACIVLSHTPAPPPPPFLVNPFLQLLLGTTFCIECLTFVIYFVPVSQIFCRGSKKETRGFSCGLWVLLHSLTVRIGDGESQTTFTSICDFIHNFFICEECRTHFYEMCSSVSVPFKSARDLALWLWTAHNKVNERLMKEEKDLDNADPSFPKIIWPPKQLCPLCYRSSSKIADGAMQVEWNEDEVFNFLVNYYGKMLVSSYRETSMESLLQVTKQVGSISDDSSASSAATVPIGAALGIALASCTFGALACFWRTQQKNRNQVIFHICWHVTPEAQSFIEGSILDDSKNTRIDGQPATEC >Sspon.02G0012570-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:33279359:33280307:-1 gene:Sspon.02G0012570-1A transcript:Sspon.02G0012570-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAEDRISALPDEVIQAILACLPTTAAAARTSVLSRRWPGLWKCVPALSFHVEPKAPPDAFSSTLTPLIELPVYERATRIELARINFQLRLPSAGAFTSLRALRINGGKFVHGDVGHLVSTQCPLLRELEMSNVGGVAAAGHISISSTSLQRLVLRHISISKKARIVVAAPSLYYLALENCGDRSAAATIVAPMLAELIWNHSYDPSRHSIKDADRQIYRLAAVTCRSNTALFQRFDAVDEMSLHLSMLP >Sspon.03G0032800-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:40887229:40889934:-1 gene:Sspon.03G0032800-1B transcript:Sspon.03G0032800-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVEMKENGGVALAAGEKAPQLGVKRGEPTLVPPAEATPTGEQYYLSNLDQNIAVIVQTVYCYKPSPPSPSVGGKDVDVAAALRDALARVLVHYHPLAGRLGISPEMKLTVELTGEGVVFVEADAACDLTAVGDLTKPDPAALGQLVYSVPGAKHILEMPPMTAQVTRFKCGGFALGLAMNHCMFDGIGAMEFVNSWAETARGVAELTVPPLLDRSVLKARDPPVHTFPHHDASAGWRLPTAPWDAAPPSRRCRASCGARAPRRSGWHPSSAPSCCSPWTGGAGSRRRSPRGYFGNGIVLTNALATAGELLSAPVSRAAGLVQEAVRMVTDDYMRSAVDYFEATRARPSLASTLLITTWSRLEFHGADFGWGEPVMSGPVTLPEKEVILFLAHGKERKSINVLL >Sspon.03G0026000-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:1598238:1614404:-1 gene:Sspon.03G0026000-1B transcript:Sspon.03G0026000-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MYWVPKWKIEKTKVKVVFRLQFHATNIPSTGWDKLFLSFISADTGKVSAKTNKANVRNGSCKWPDPIYEATRLLQDPRTKTYDDKLYKLVVAMGTSRSSILGEVDVNLAEFAEALKPTSIALPLRAQLLSTKTGFREFEQQRETGTRSSQQLLKQRSHDPAEVAAASSDIGTDKVNLRIKLKENSLGFPLAEDSAGSTDDYENSSHTSDGIFTEKNDPHGAHEINSFRSSSDLPLCSTSQSPTPEKGTRWGKHLSPQGSNDWTHGWSPEYCADKDLAAAHDENNRLRTRLEVAESAFSQLKTEATSLEHVTDKLGTETQGLAQQLAVELMSRNQLTTEVSLLRTECSNLKQELEEIKSSKLLQNKSDVEGKTMTKYGNDILATESIHHLQTEWLQGLLLLESKLQQTRNNALHGLQASDLDFLLADLGALQRVIENLKQGVQPGQMKENHNAEHLVPLTGYLSNSGHNDTLKKSSGGSTGTMEEKMCELLQKLEDSKTEKENLLEKMSQMERYYESFIHKLEESQKQTAIELENLRKEHNSCFYTVSVLQAQKQKMHEEMNDQLMRFVEDRTALEAQSKELERRAVATETALKRVRFNYSAAVERLQKDLELLSFQVLSMYESNETLAKQSLLEDFDSLPEEHSALEDLCGNNEHEQYRPGVKQIGPEGLYSEKETDGQKNLLWALKIEELRVRSEFQAHTDSRGNRSNLEGPRRASSAMESEHLEMFISNIEWQIFSDVLRESHYAALDIIKCMQGRLHMLEMQLHDSNDARQSLVLKLNSALDQSKSVKESEAGYILKCDDLTVKNQILEAKLHDITVENALFTEKLTESERLEVNISGLEEASLLHELDSKNYAAVMKSLESFHQQTCDKVLHLLEEKEVLEKMCDSLQRRSEKAETKLHGMEQKFICDMDATKQKLNLSEELVEKLQLELQDMAHKLRICSDFQEKYSITNGDLTSKLSQMEIELQHATSENEALVEKLKEFGVTVEELKRTKIYLARHEEDTRTLTQSLQSKHELLVHMESEIKCLRDDLMCTDENLLREKRLKEELESALASLTSQLGEKDQVLLSFDEHKTELIHLKGQLLDLEKTHSLMQDSLSQSEQIQTDLNCKNRSLQSQLSILENQLGAVREAVVQLSMLRNELEKLQLKSKDADELLRAHMSTEAELADRNSTLEAAIHSLETNLSSVIQEKEGLEELMKGHDEASTQVSNNKSRDIAVNNSDRLLKDQDEISQLRVLQQDLEKQVDNLKSTKDETEILNMILRSKLEEQHTVMSSLLQNQRHELINSIEQNKDLTQKLAVQSLKAEEFKNLSIHLRELKEKAEAGRKEKEGSLHSMQDSLRIAFIKEQYESKVQELKGQVFVSKKYAEEMLLKLQSALDDVETGKKNEIALAKRIEELSMKVSEMEVEMQDLSADKRELSNAYDSMMTELECTKLNLDCCNEEKQKIEVSLEECSEERNRIRVELDLVKKLLENMALTDNNASRDSSESCTPGTTSIGHILGDGKADSASKATPNTTKMDSGLQELETESRSLSSNLSQGAEDVVKFGDNEESKNLENCDEEMESLTENNLNGNNSINGISQEHKKLANEFNLFQKELERLKNENLSPLLPLDVNVTDPSLSGLERTLSQLDMANEHLQSIFPSFKELPGSGNAFERLLALELELAEALQAKKKTDILFQSSFLRQHNDEAAVLQSFRDINELIQDTIELKRRQMAVENELKEMQGRYSELSVQFAEVEGERQKLEMNLKNRTPWRS >Sspon.03G0035600-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:82866052:82868868:1 gene:Sspon.03G0035600-1B transcript:Sspon.03G0035600-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVARPADFNEVRGGRQESREQRDMAPHHQRVLLLFPLVFLLLLLLVAPPRADAWGKEGHIMVCKIAESDRIVGSRAARVLKYLSEKAAAAVQALLPESAGGELSTMCPWADTVRWHYHWASPLHYVNTPQVCNFKYSRMSPPRCSSILPRLPARRRSKAPYVRAGHEVRVFSG >Sspon.02G0025260-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:87724440:87729315:1 gene:Sspon.02G0025260-1A transcript:Sspon.02G0025260-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MELGGGGDESAAKRARLSSSDADSSAGEDRLSALPKDVLVSILLHLSTRTAARTSVLSRHWRRIWALLPTLRFCKAPDPHRLRDALDAREAPVRDLFVKADGATSESIGVWLPAAARRITGDLTLLNYPLRHAAEKEEEAAQRGAFEFPCFEKATSIHLLLRFHGLAVPPTGVFTRLTTIHLKNVCFDGPGDLGDAVSSPRCPCLQWLDVDSARGLGGLSIHSESMLQLELKDLHGLSQLTIVAPALRELTVAFCFAKSGPVASISAPLLAKLLWNDVYDPSSVDLGKMEHLRLLGTYSCFVYEYKHFMRNQSCVSLLQRFEGIETLLLTLAYPRVLIDVVT >Sspon.02G0030010-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:96220271:96223134:1 gene:Sspon.02G0030010-3D transcript:Sspon.02G0030010-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding EMVDTGQRQTVAGSRRALNQGRLLLSSTGYTAVDEISRRTLRRTEDPTDCVDGVHCWASGTMGGSALWVEALLSRTGVASTMSPFASVAGSGPTLNSVASTR >Sspon.08G0020590-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:25674613:25684103:1 gene:Sspon.08G0020590-2C transcript:Sspon.08G0020590-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding EASPETDVEQRICLQSLYADISSEDNLTFNDTMIALQLMRTQFPKLDKVVAQPFILQSQLYSSVKDRTQVDRDLESFKKDKVLRIFKLSSGQDDHAIMFMDDYLKQVAFAIKWSGGKDKDSSEVFEWFERYVVPSKLDVSINQIELCSLLSQGGDVTDQHITLLMNAGLLTRQLIDPNIYWFSIPRIGPILKGLSQGRKEILSLLNRRKYKEMLLSSLENTKLRLSPLDTRFLLRDLIGSGHIKTVQTPT >Sspon.01G0009810-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1A:27837016:27838705:1 gene:Sspon.01G0009810-1A transcript:Sspon.01G0009810-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATLMQQAPAARWRCVGDASLAGHGQQHLAGVVRLGVARRAASGAVRTSSSSSRLLGPVRALVSEERGEEAAAGERAAAEGEEEEGGAVRLFVGLPADAVVSDGRGVSRPRAVSAALRALKLLGVDGVELPVSWALVQPGSGGWFEWAGYRAVAAMVRDAGLDLRVSLRTDGDALPEWVADAADADPDVLFTDRSGHRRVGCLSFAVDELPVLVGKSPLQAYEAFFRSFADEFNDFLGSTITDVTVSLGPNGDLQYPSYPPGNHGSHGYAGIGEFQCYDKYMLARLKRHAESSGQPLWGLSGPHDGPRYDESPESSAFFREPGASCKSAYGEFFLSWYAGELLAHGDRVLAAASRAFSGKPVALSAKVPLLRGPSPVDATAGLHGGYGPVAELFARHGCAVIASGVEARPDATAEERLARVKAACAEHGARLAAESAPLAVARSGAAGGVWLSAGRTRPCQFTYQRMGAEFFSPAHWPLFMRFVRALECPEEAHEDDLPARAGGGERLTVPSAAAAAPQGEAAREVQTV >Sspon.03G0023580-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:56808322:56812870:1 gene:Sspon.03G0023580-3D transcript:Sspon.03G0023580-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEIAGDEAPTPHPPPPISGEVSRDPYTSTPASTGSNKPPLRTTKPGVKRLILTTSVLLSFLVGTTHDLLPLCYGQCCCAHLLCITRSHLCMSLFRTALLLKSTEIHRSPLPSDAITALAHRLHSTPPSFPCGLHAVFLRSVPDRSDASLTRRLEQLISAQLQLLPATSTAGNVSVSVTVESAGSCSSSSSNVGSGWQCGTVTSADLVIGDEVFDELLHSALGSGRWDGSRVYTVVVVETDDAEGMRVVVGKHRHAWVVGKADEVKAVSVIGKVFAKYFMNGGIEEGEAGIGKGSSCQLVQMEILLNADPSDWVYDWDFEKIGERMLNPVVEALRPIAEINIESQVLYHTPKSSYSYFDDKLGGNVLSMGDIPFFVNSNEWHLDTSISATGRSKVLQFVVYIPSARECPLYLQLPDGRLSKTNAFISPMWGGVLIWNPPDCSLGSKKTHGTWKKMSSQELMETLEIFIGQLRQLFGLKPSYLSQDMGVPTKFVVSEKGFTEWELDLLYRHHACSNLLSCLTTLESLSSLVRSLPRMIVMDEIGRQVELSLEAANLAQGNATLGISDSSAGKLMIILSATRARALAEDAFFHPSIMSISYASIEHYFAIYMPFFAPVSLHVLLAAIKELKRYKAERAKYSAFLASQATSS >Sspon.05G0020550-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:89494802:89499699:-1 gene:Sspon.05G0020550-2B transcript:Sspon.05G0020550-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At4g14820 [Source:Projected from Arabidopsis thaliana (AT4G14820) UniProtKB/Swiss-Prot;Acc:O23337] MVAWRGRAKQREKGPSASREGAAWEGADHVGEMLEQALHAGEGAGKREHPAIQRNHRTAQRDASTNEPAHAPPPVHHLRQLQAVLLRRGHPIPTPPASLPDPDRAYLATIRAAASTPRLALAACACLRRAGLPPPGRRALPALLRSAARCEGAGAYVGGTHALALRVGSLDDGFVGTALVGAYAACRCVGDARKVFDGMAVRDVVSWGVMLDSYCQTRNYKEALLLFAKMKNSGVLPDQLILATVLSACGHIRHLRTGRAIHSYMLVSDILISAHLSSALINLYASCANMEMAEKLYNGMPRKDLVSSTAMVFGYARNRKVEIARSIFDGMPEKDVVSWSAMISGYADSNQPNEALSLFNDMQGCVIRPDEVTMLSVISACANLGSLDKAKWIHAYIKNNGFNKILHICNALIDMFAKCGGVNLAFNIFNEMPKKNVITWTSMITALAMHGDGKSALCLFEQMKNEGVEPNEVTFLNLLYACCHAGLVHEGRSLFSSMVQQYGIEPKHEHYGCMMDLLGRAKLMQEAVNLIESMHLEPNVPIWGSLLAACWMHGDLKLGTFAAKKILQLDPNHDGASVLLSKIYRKSDNLNDAQEVREVMKLHRVSKETGLSWMELNEPFHEFAAGGEKYSESDKIFLQKVIVESLAHTAKLETEHERMPAWAAVW >Sspon.08G0005290-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:20569702:20587712:-1 gene:Sspon.08G0005290-2B transcript:Sspon.08G0005290-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSGRRRRDRMRWSNLYTFSCFRAQHGHAGDAGPSSDGAGAGAVGGPGFSRVVYCNNAALQKPLKYVTNYITTTKYNIITFFPKAIFEQFRRVANLYFLLTAILSLTPVCPFSAVSMIAPLAFVVGLSMMKEGLEDWRRFIQDMKVNNRKVSVHKGDGEFDYRHWEDLCVGDVVRVEKDQFFPADLLLLSSSYEDGICYVETMNLDGETNLKVKRSLEVTLPLEEDELFKDFQAVIRCEDPNPSLYTFTGNFEYERQVYALDPSQILLRDSKLRNTAFIYGVVIFTGHDSKVMQNSTESPSKRSRIEKKMDLIIYILFTVLVLISIISSVGFAVRIKLDLPNWWYLQPQKSNKLDDPSRPALSGIFHLITALILYGYLIPISLYVSIELVKVLQAHFINQDIHMFDEETGNTAQARTSNLNEELGQVHTILSDKTGTLTCNQMDFLKCSIAGVSYGVGSSEVELAAAKQMASGADDHDIPLQDIWEENNEDEIELVEGVTFSVGNNRKPSIKGFSFVDDRLMQGNWTKEPNSSTILLFFRILALCHTAIPEINEATGSIAYEAESPDEGAFLVAAREFGFEFFKRTQSSVFVREKHTSSKGTIEREFKILNLLEFNSKRKRMTVILQDEDGQILLFCKGADSIIFDRLAKNGRMYEVDTTRHLNEYGEAGLRTLALSYRVLDESEYSSWNAEFLKAKTSIGPDRELQLERVSELIERELILVGATAVEDKLQKGVPQCIDRLAQAGLKIWVLTGDKMETAINIGYAVAKYACSLLRQGMKQICLSIPTGEQVAKESLLSQIANGSQMVKLEKDPDAAFALVIDGKALAFVLEDDMKHMFLNLAIECASVICCRVSPKQKALVTRLVKESIGQTTLAVGDGANDVGMIQEADIGVGISGVEGMQAVMASDFSISQFRFLERLLVVHGHWCYKRIAQMVLLEALLLFILLHLILLCLCFNFTKSFVELQICYFFYKNIAFGLTIFYFEAFAGFSGQSVYDDWFMLLFNVVLTSLPVISLGVFEQDVSSEICLQFPALYQQGPKNLFFDWYRILGWMGNGLYSSLAIFFLNLCIFYDQAIRAGGQTADMAAVGTAMFTCIIWAVNMQIALTMSHFTWIQHLFVWGSITTWYLFILAYGMTLRSRDNYQILLEVLGPAPIYWAATLLVTAACNIPYLIHISYQRSCKPLDHHVIQEIKYLKKDVEDQTMWKRERSKARQKTKIGFTARVDAKIKQIKGKLHKKGPSLTIHT >Sspon.01G0025110-1P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1C:88104425:88104792:-1 gene:Sspon.01G0025110-1P transcript:Sspon.01G0025110-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SVSINSSNTNMFVSGSCDTTVRLWDIRIASRAVRTYHGHEGDVNSVKFFPDGHRFGTGSDDGTCRLFDMRTGHQLQVYSREPDRNDNELPTVTSIAFSISGRLLFAGYSNGDCYVWDTLLAE >Sspon.08G0003240-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:8693831:8694891:1 gene:Sspon.08G0003240-1A transcript:Sspon.08G0003240-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLQFLAAWMFPCAVCFEPAVEVAATDSSELDRASSSPSFSANTAGSGSSLSSPSSTSSSDGHRGFFMSRSRQESPSTTPLCFGAGRGGAGRRLLRGSPARAPAKQQPQEESAMTMAPKVKGDMVGRYLRKISRRLRKARSDGKRSSSSMAAAADDTARERAESVARAISYCKDTLRRGTSRSRSPSPPPPPSPSLDEWLHDRQEEIIATATVHCDECSDPRPSPPRPRRAAGWPLGMQTMAKRFKESPCPCSASPSRDSSLAACAVTHGEESPQPHHGHGCRGESSMSSLDEMEFLKTFDGDEEMINHHFITVEI >Sspon.01G0036440-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:18778983:18781294:-1 gene:Sspon.01G0036440-1P transcript:Sspon.01G0036440-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQEDVHLLDDADLALGLSLGSRLSMEARLPSSRPLEPSLTLSMPDDATATATGSGGGGAAHSVSSLSVAGVKRERVDDAEGERASSTAAAAARAISAGAEDDDDGSTRKKLRLTKEQSALLEDRFKEHSTLNPKQKVALAKQLNLRPRQVEVWFQNRRASRARRTKLKQTEVDCELLKRCCESLTEENRRLQRELQELRALKFAPVHPQAPPSSAAGVPAPPFYMQMQLPAATLSLCPSCERLAGPAAAAKAEPDRPKAATHHFFNPFTHSAAC >Sspon.05G0015640-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:50271884:50278542:-1 gene:Sspon.05G0015640-3C transcript:Sspon.05G0015640-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATAPATDPPDALPAVTADAPTPARPTPAELVARAIAPLKPAFLRSPPVREAPKEEGGGGAVVKVEKKSKRQLKRERHQEQKSTSHLCIEVGKSGNVDSCKYGTSCRFSHDINAYLSQKPADLEGTCPFTSLGQLCPYGITCRFLITHKDTLAPQNHPEGNHERNPLSKEIQKLLWKSKYKFPKATAQIKLLGLKDGNKNKAEAANDDNPDETCELNGDDKTSLSSIPVNVEPDPTCKEIDNSEGQPLVVNSVESVEPRPSKKSKVEVDETQDHGTGIHDNEAESEVVNLINGVKVSSNNQSSCKVDLITTPHLREKKIIDFREKLYLAPLTTVGNLPFRRLCKTLGADVTCGEMAMCTNLLQGQASEWALLRRHPSEDLFGVQICGPYPDTVARTVELVDNECSVDFIDINMGCPIDIVVNKGAGSSLLTKPMRIKSIVQAASTVTEKLLTVKVRTAFFEGRNRADSIVSDIYSWGASAITIHGRSRQQRYSKLADWDYIYQCAQKAPDYLHVIGNGDVFSFTDWNKHVSDCSKISTCMIARGALIKPWLFTEIKEQRHWDITSGERLNILKDFVRFGLEHWGSDSKGVETTRHFLLEWLSYTCRYIPVGLLDVIPQRLNWRPPSYYGRDDLETLMVSDSAADWIRISEMLLGKVPEGFTFAPKHKSNAYDRAENG >Sspon.07G0010660-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:37347173:37352015:-1 gene:Sspon.07G0010660-2B transcript:Sspon.07G0010660-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein PLASTID TRANSCRIPTIONALLY ACTIVE 16, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G46780) UniProtKB/Swiss-Prot;Acc:Q9STF2] MAPALTSNPPSFRPLSCPLRRRAATVLCRVGKPGKDSAVDDAAPKKRPNLFADFGKLADANSLIPAFPSSAAGSLFAGGGRGRKDPQMVFVAGATGQAGVRIAQTLLRQGFAVRAGVPDLASAQELARLAAAYRLISPAEARRLNAVAADFDDPEAIAKSIGPAAKVVVTVGPAEKGPEGGGVTTDDALRVVQAADLASVAHVVVVYDVEGASGLGGGGSGSTYNVLDGFTSFFSNLFSRVQTLTLSQFLAKVVETDVRYTLLKASLTDDYTPENSYALVLAKEGVSPSITGKVSRSQIAAIVADVFSNVAVAENKVVEVSTSSSTTTKPIAEAFMSVAITFPNSLICYLIP >Sspon.07G0024850-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:40266742:40270500:-1 gene:Sspon.07G0024850-1B transcript:Sspon.07G0024850-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAAASSVTKFIKCVTVGDGAVGKTCMLICYTCNKFPTVECSHPFTCSLPSLCSILCPLSRYQWLDTLCISYSAGHDWVSVPSISLARDYIPTVFDNFSANVSVDGSIVNLGLWDTAGEDRSSQFKLSATADLREDRSYLADHSAASIISTEQGEELRKQIGAVAYIECSSKTQRNIKAVFDTAIKVVLQPPRRREVTRKKMKTSSNQSVRRYLCGSACFTW >Sspon.05G0038330-1P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:5D:39758050:39759138:1 gene:Sspon.05G0038330-1P transcript:Sspon.05G0038330-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At5g61800 [Source:Projected from Arabidopsis thaliana (AT5G61800) UniProtKB/Swiss-Prot;Acc:Q9FLS9] MLALSLHAVAVASNLLPFPHVANALVSLYARHALPDAARRVFEEMPSAPDVVSYNTLMYAYVNARRVGVAREVFEEMPVRDATSWGTVIAGCAKAGRLKEAVRLFDRMREEGFRPDSVALVAVLSCCAQLGALDKGQKVHEYIKLSRTRPNVFLSTGLVDLYAKCGCVEDAREVFEACQDRNMFTWNALIVGLAMHGHGTVALEYFHQMVAGGIQPDGVTFLGVLIACSHTGLVDMARRIFCDMEGKHNVHRELKHYGCMADLLGRAGLIEEAMEMVRKMPMEGDSYVWGGILAGCRMHRNVEAAEVAAWHLLQLNPDDSGVYSAMAGIYADAGRWEDVARIRMLMDERIGRRNAGCSSVTT >Sspon.04G0018080-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:65431175:65442688:1 gene:Sspon.04G0018080-1A transcript:Sspon.04G0018080-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPNQFSHKNCCSTLSVPFLGLAILLLIFLATPASSCTELEKTSLLQFLAGLSQDSGLAKSWQEGTDCCKWEGVTCNGNKTVIEVSLPSRGLEGSITSLGNLTGLQYLNLSYNSLSGDLPLELVSSSSIIVLDISFNQISGGLHDLPPSTSGQPLKVLNISSNLFTGQFTSTTWKGMENLVTLNASNNSFSGQIPSHLCNISPTLAILELCYNKFNGSIPPGLGNCSKLEVLKAGHNHLSGILPDELFNATLLEHLSFFSNNLHGILNGTHIAKLTNLVILDLGENNFSGKIPDSIVHLKRLQKLHLGYNSMSGELPPTLGNCTSLTTIDLNSNNFSGELNKVNFSNLSNLKILDFMQNNFSGKIPESIYSCHKLTVLRLSSNNFHGQLSKGLGNLKSLSFLSLASNSFTNLTNALQILKSSKNLTTLLIGFNFMHETMPDDVSMDGFENLQVLSLSACSLLGKIPYWLSKLTNLRMLFLDDNQLTGPIPDWISSLNFLFYLEISNNSLTGGIPAALTEMPMLKSEKTEALIDPRIFGVTIYFGTSHQYRKVNAFPKVLDLANNNFIGVIPPEVGLLKELLSLNLSFNKLYGDIPQSICNLTNLLVLDLSSNHLTGAIPGALSNLHFLTEFNVSFNDLEGPVPTTGQFSTFTNSSFDGNPKLCGPMLIHQCNSAEEGLVSIVYENQGGSKVIFAITFGLFFGVGVLYDQIVLSRRGSGSMDDELELEDEDRWTLDTRCNSKHVEAQLSSRHLRQRRLPVKVLQNLLHAGPRVRRRVRAQEPNLERKARLFGAELAPQPGIHRLDERVAVPVLEHPVDQQRLAGDRPPPARDLEHERAEGEDVGERGGPARPHQLRRQVPRGANHAGAVRDEVPENSGGVNARAASSGAGARRGRAGAEEPKAAGGELRTCSIGLYKLRRKK >Sspon.02G0007500-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:15742836:15746531:-1 gene:Sspon.02G0007500-4D transcript:Sspon.02G0007500-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEPCTIMRGLLLLWAWAAAVWLAVSAVAHAHPGGGGVGEQPLSRIAVERVVLAVNDAAHVKASPLVLGHKGENSEWVDVEFFHPNPSGDDWIGVFSPANFSDAICESENTGPPVLCTAPIKYQFANFKNDGYNNTGKGYLKLQLINQREDFSFALFSGGLSKPKLIAVSNKVAFANPKAPVYPRLAQGKSWNEMTVTWTSGYDITEAVPFVEWGEKGGRRLLAPAGTLTFDRNSMCGSPARTVGWRHPGYIHTSYLKDLWPDAPYTYRLGHRLMNGTRIWSKSYSFKASPYPGQNSLQRVVIFGDMGKAEADGSNEFNDFQPGSLNTTYQIIRDLENIDMVVHIGDICYANGYLSQWDQFTAQIEPIASTVPYMIGSGNHERDWPGTGSFYGNRDSGGECGVPAQTVFYTPAENRAKFWYATDYGMFRFCIANTEEDWRPGTEQYMFIEQCLSSVDRQKQPWLIFLAHRVLGYSSCTYYESEGTFEEPMGREALQELWQKYKVDLAFYGHVHSYERTCPVYQSQCVVDGSDHYSGPFQATTHVVVGGAGASVFDSEFTTSKIQWSHFTDFDHGFVKLTALNHSSLLFEYKKSRDGNVYDHFTISRDYRDILACSIDNCPRTTLAS >Sspon.03G0013880-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3A:40264687:40267003:-1 gene:Sspon.03G0013880-1A transcript:Sspon.03G0013880-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLVWQQGANSNVKAAPLRRSQNREHTKRQLPTTSSLPLAPAVSRFFRGAALTPAAAGGLSSAFSWNLSSPIPLSASPSPSPSAPVGPATVIGHLALVRAHPALLELNAMLTPASFLADATQALLAGALR >Sspon.05G0002410-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:2780428:2781603:1 gene:Sspon.05G0002410-2B transcript:Sspon.05G0002410-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQMWTQCVQVQRKRPLQGQAASMPEIEGLTCWRSDGVQGGRRQPELILPEEILYQIHALMPNCQRKMLPVLPVCLGDFSVLGIAILISVDHIMTNHSGTGVKKFKLQTYPCDNLHPSYVLIAGFKLLLHLGLRNLNYKCLGATRLSTTFRVHCYLRRGEAHCSPLSSIIISYELSHLNFLAVQDCVMLEMIESDAPKLSQFKYIGRPIHMSLGNPLQLSHIQMMSTTKSNMLYCARTKLPSIAPNVQTLFLASLDEKVNTPMLAVKFLYLEHLEIVLVEPSLSPDYDFCSLASFLAGSPGLDTLILHAE >Sspon.01G0042830-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:68572894:68575813:-1 gene:Sspon.01G0042830-1T transcript:Sspon.01G0042830-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding IWDERVPHQQGQAEHAVFQPPPPLHAVLQPPPVHGVLQPPPLHPGGTRRSSVGTPSTPRSRTNGAGGPFKSEPNSPPSAAAQKPRLSFDRSPRSGDTRPVVERRVPKIGNTPEVSLLHPTALPSGFLLLLSLTKNGTKAKQLRREAELQARLESAQEDLKKAKDQLAFILGERDRLLNEAKRVADETHEKLQDALMAKRWAEEATEIEKFRADELEQAGIDEAQRREEEWQREIECVRGQHAADLETLVNTTEELERLRRDLSMANEAKKAALGHADDAMKIAEDNAEKVEILSNEVVRLKGLLDSSAASEESKSREAELLVKNLESEVSSLKGKLEEAKVLEERLAEAEKMIEELKSEIADVQKVEADIRQQLEEWKEKSGSLEMKLEEVTLSEKFKSDSLASTTEELDKTQLILQDRESEIEVLKGKTTALEIEVARLVADVNDSNEHLDASQQEVFGLQTTIDVLRNKLDAAELAASEALDNERTAITKIECLTEEKTKLISELDDARDREEREKRAVEDLTAALDKVSCEAQEAHDRFQKKEDDYEHALAQIGDLKMALKSMEESYEVMLDEAKHDTTCLRETVEKLEAEVSKYREECESKELDLITASKQSEQEIAALKEEAEHVCASLQGAEQELEAVNEEKERLQEKLSCTESAVAEAKDAVQEAKAEKERLQEKLAHTESEIAEANIAAQESKIEIERLQEKLTYTESAVAEANKAVQEAKAESSQLKERLLDKENALQSITQENDEFRMREADAMRKIDELSALLAEAMTKKHPEEEEKLVVVDEAHNSVREEVIRSVAENEDTEETDDKKPRLEVDAADMNSNGESKHEEKDDSKVEQEELKTELSLQESNKVSFEKEAQTEYKRQETESSNDELDSKKDSSTESANGTTVPEDTATKVALSPTKPQQQKKNKPLLKKFGSLLKKKNSK >Sspon.03G0001570-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:9951676:9955040:1 gene:Sspon.03G0001570-3D transcript:Sspon.03G0001570-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLRLQEQCSLLRISSSHHPNPLDAPRNPRKNQLLLPNAAKIVNASEIPVPRIPTSASKGAVLAPKAAPWRDALVPVTAALASWPLPSLAAEGDGKVSLESIVVAIDDFNNRNPFFVAGVVFVWLVVIPLVQEYVFKKYKPVSAIDAFRKLRDVPEAQLLDIRRGKSVRFMAPPNLKLVNKSTVQVEFDEDDDKGFVKEVLARFPDPANTVVCVLDNFDGNSLKVAELLVENGFKEAYAIKGGLRGPEGWQAVQENYLPPSVHVFPRKRKGARLAHTDASNDGTYGQQQGSEESSAPPSRFVVNTGDESKDVYENSNGSTTAAKHATRRPLSPYPNYPDLKPPSSPTPSKPTK >Sspon.02G0049280-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:36218258:36226853:-1 gene:Sspon.02G0049280-1C transcript:Sspon.02G0049280-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLFATERFGGRARALYGVYAVTVSVGICLVLYYRAAGLLSRAAAGEAGSEAWLWLGMLAAELWFGLCWIVAQSVRWRPVRRRAFRDRVAARHGDKLPCVDIFVCTADPRSEPPSLVVSAVLSIMAYSYPADKLSVYLSDDGCSALTFYAVWEASRFAKLWLPFCRRHSIEPRSPAAYFSETDDKLRAGALCSAEEWSLVKESYKEMTERIDSAVMLGKVPEEIKAMHKGFYEWDSSEVTSQNHQPIVQVLIDGKDQSVVDNGGSMLPTLVYMAREKRPHYHHNFKAGAMNALIRVSSVISNSPIILNVDCDVYSNNSDSIRDALCFFMDEEMGHKVGREVLCGRSFTEDYKQDWDDTGITAAKPQQHIDEIEEQARSVATCAYEAGNTQWGREMGVKYGCPVEDIITGLAIQCRGWASVYFNPQRKAFLGLAPTTLAQTLLQHRRFGEGNFSILLSRYCSVLFGHGQIELPQQLGYCIYGLWAPSSLPTL >Sspon.01G0035300-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:9238595:9240483:-1 gene:Sspon.01G0035300-3D transcript:Sspon.01G0035300-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGWLRRAAAAAMPRLPSGISLIPPPPPAPLTEAQSLVVPGLGAAAAAAGPAMELMAVPKKKVSKYKKGLRNGPKALKPVPVIVRCSGERGNPSESSS >Sspon.03G0037160-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3B:97101026:97103699:1 gene:Sspon.03G0037160-1B transcript:Sspon.03G0037160-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GRPWICSLALCMVRPWRRIMEAVPSRTPDWDCRTSAAPPRPAVETDRPLGARLIHRRSIYPPADRPAPRPGRGNRWWWHGGGVAREDRRGHYCRRVSPAQLRARLLQHGAISTFQPAVLPVFFNLVNEAFIVLLKKKSEAQEIKDYRPTSLMHSFGKLVAKCLARRLALVLNEPVHPSQSAFIQSRSIHDNFRAVHLTCKALHASKKSCLLLKIDIAKAFDSVCWSFLLEVLHQLGFSRRWRDWMSILLGSASTKVLLNGRPGDRICHARGVRQGDPLSPMLFVLVMEVLGSLVWWAEANSIFSPIHCVAMRSRISLYVDDVVMFIAPVANDLAAIKTILQIFGDAMGLYTNLDKCVATPIACSQDEIRKTDNSRTWVDLPSSTDKATSALFRAATASIVGGGSSTLIWQDSCIHDQCVERIAPAVFVVVPRSRAQSRTVASASETLDHIVLGCVFSRQVWHLLLSRIELADVVAHGDVDLFVWWTWARRRAPRHCRKGFDSLIMLTC >Sspon.07G0029260-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:75422815:75423741:-1 gene:Sspon.07G0029260-1B transcript:Sspon.07G0029260-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKRNNHCFVQELNLDMRRFLLAWVEVLIQTLNTAKDSIAWSRILNRLLGCHAKGLFEVPHIIIVLFEAKNQGGSYLYPSHEEKGRRTPKGHGHITPYRCRLADAIRGRWWRSVTSPHE >Sspon.01G0043150-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:72534998:72539358:-1 gene:Sspon.01G0043150-2C transcript:Sspon.01G0043150-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHEGRPQIRIGPVKPASTQLHFGLTTRESVESRSLYAPPPPPRARRTVELGYKTAASAFSFGSSASIKVSGLLLLRLFPLVLGISCCRSLDPSRHFLLLMRRGKTGRHSRARADREEVRRIAAAAIFLLSAISGPFTPAFFFPVHHACRRALSLSSAAATAHSRCPGLSCSLGHRSLGQAFADPFAGEEHPGMSTPSPRPAPPVGPALGFYRLNLMAFCKDFNARTQKYKADTPMQVTLTAYKDSTFEFVVKSPSVSWFLKKAAGIETASSRPGHSNVSSLTLHHVYEIAKLKQADPFCKHMSLEALCKSIIGTANSMGIAIVKDL >Sspon.05G0018130-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:72674950:72682105:1 gene:Sspon.05G0018130-3D transcript:Sspon.05G0018130-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GILQNGKAIAVKKLSEMQLEDGPFQNEVIYLIGLKHKNIVKLVGYCAESRWEATQVSGKYVMAEIRKRLLCFEYLNNKSLDKHLSDESCGLKWNMRYQIIRGICSGLHYLHTECHIVHLDLKPENILLDDGMVPKIADFGMSRLFGQQQSRIITESRGGTRGYMAPEYLTNGFISTKSDIFSLGIIIIELMTGSRDYPPSSEASFERFIKNVVENWRNNMEKAPKYIPLEIESRQVNTCIVIGLNCVDSDPKKRPSALDILQMLNAVGSTNRHTLGILQNGKAIAVKKLSEMQLEDGPFQNEVIYLIGLKHKNIVKLVGYCAESRWEATQVSGKYVMAEIRKRLLCFEYLNNKSLDKHLSDESCGLKWNMRYQIIRGICSGLHYLHTECHIVHLDLKPENILLDDGMVPKIADFGMSRLFGQQQSRIITESRGGTRGYMAPEYLTNGFISTKSDIFSLGIIIIELMTGSRDYPPSSEASFERFIKNVVENWRNNMEKAPKYIPLEIESRQVNTCIVIGLNCVDSDPKKRPSALDILQMLNAVGSTNRHTLDTEIDTLGTVWKITCVGQ >Sspon.02G0041720-3D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2D:73393835:73395835:1 gene:Sspon.02G0041720-3D transcript:Sspon.02G0041720-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRTRPPWLKKLKRIIGRRIRSGSLSAEAARQLCDEVLPSIQRHSPPPVVPAAADVWRADRRPTWELEQFIGKCYRSGDLSPEEALDLFDELLPQARPGSIYALTQLLTTVARAPVSSTVRDGPALAVSLFNRMVRVGAKKVAPDIAAYTILVGCCCEVGCLNLGFAALGQIFKTGLRADAVIFTPLLRTLCAKKRMTEAVNIVLRRMPELGCTPNVFSYTTLLKGLCAEKKCEEAVELIHMMAEDGDNCPPNVVSYNTVIHGFSKEGEVGKADTLFREMLDHGIPPNVVTYNSIIDGLCKVQAMDKAEEVLRQMFDKHIMPSCATYSSLIHGYLSLGQWKEADKIFKEMSGHGQRPDVVTYNMLIDCLCKSGWCTEARDIFNSMIQSGEKPNVSTYRSLLHGYATEGNLVEMNNVKDLMVQNGMRPDLHVFNIQLYAYCKCGRLDDAILTFNKMQQLGLMPDIVTYNTVIDGLCKIGRLDDAMSQFSQMIDDGLSANIITFRILIHGFSMYGKWEKAEELFYEMMDRGIPPDVNVFNAMIDKLFKEGKVKEAQKLFDLMPRAGAKPNVVSYNTMIHGYFIAGEVDEVMKLLDDMLSIGLKPDVVTFNSLLDGMVSMGLKPDVVTCKTLIDSCCEDGRIEDVLTVFREMLSMADKTNTIKENIVS >Sspon.05G0008830-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5C:17757238:17757820:1 gene:Sspon.05G0008830-2C transcript:Sspon.05G0008830-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWIWMVPVAGAVLLWAISLGRILSYPAPSCVSPSPQFMPLSATTGGAGTCCWSSPTRRRVHHKSDIHL >Sspon.07G0034960-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7C:84358848:84360287:-1 gene:Sspon.07G0034960-1C transcript:Sspon.07G0034960-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVRVSSRPSFLVLSSCLYYCFLMPAAIHFRRASSLSFNLSFSKPQNRDDLDRLINYTGEAYLTPDTLELTRHRADQTGRATYAQPVPLWDAATGEMASFNTTFDFRITLDPSTFSAGDGMAFFLGHVGSDIPVDSSRGILGLLPRYTNGTGHSTIVAVEFDTYLNPDNADISSSHVGIDVNSLNSTASTDTTSPTRNLTSGYRMVATVRYVNVTRFLAVELNINGTSYNVNATVDLSSYLPEYVAIGFSAATADYGEEHQILSWSFASTLEAPAPAPPPHLTPDNIQHPKKRVVLLTSVLAPLLFLLACAALLAFLVWQKRNRRIRSGGIPNASSDSDYEQQDDDRAELERGVAASGPRRYKYRELAAATNNFAEDQKLGRGGFGSVYRGKLAVSGEERPVAIKMLSSESSEQGRKEFEAEVRIISRLKHRNLVQLLGWCDSRRNGLLLVYELVAKGSLDRHLHSSDSESFLTWPER >Sspon.03G0011160-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:37442592:37444455:-1 gene:Sspon.03G0011160-1T transcript:Sspon.03G0011160-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTERKVAELGPGTACCGWNHCGRRLAAGAVDGSVSVYDSQPSPSFKWQVSSASLLRLSPLNPKPPHEDDLPPSQTAHEQAIVNVVWLPPEYGDAIACACADGTLSLWEEVAAGLVYAVLYLQLSCTFISHAFARALTPNFRLADDQLPTWRKCKIFEDGNSHVLNVHFGLHLRSLKMKFIIGLISIEQLEAT >Sspon.01G0003200-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:8580986:8585661:-1 gene:Sspon.01G0003200-3C transcript:Sspon.01G0003200-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVAPPPAPAPAAPAPAAAAAAAAAPAPAPAPATVPVADQTTDLLQNLSLDTQPKAAADATGTAAGAKKGAVTSQPLSVAIPPERSITPVLQDFMDPNLFYLPAYYYGGYDSSMSEWDDYPRYLNSDGVEIAPAVYGDIYGYGYAPYPATSPVPTVDGQMFGAQHYQYPTAYFQPPTPVPSTTQSDLQSSNNPEKPAAKADPAKTTANSVPNGTAHSNSGTVPLASSQQNSSLTPDGTYRAPLLGGVPPAGYLDTTYGYDSTGAHFAWYDGSAYATGQQRTTTTNHYPSSTFSGNGSSARNQNKSSTTQQMGMQNRRPTTTSAAPTYPNRMYPSSRPYTQYGNSIKTGLPYGSNGYDSRIYGRWGLGMDNRYRPRGRNGYYGYGNESQDGTIELNRGPRSGRFKNQKLYGHTVTIAVKGQSLPSGESKNDSAVPDRAQFNRDDFPVQYDAAKFFVIKSYSEDDIHKSVKYNVWASTTNGNKKLDAAYQEAQSKGSACPIFLFFSVNTSGQFVGVAEMTGAVDFEKTLEYWQQDKWNGSFSVKWHIVKDVPNNILKHIILENNENKPVTNSRDTQEIYLEQGLQMLKIFKDHVSKTTILDDFAFYESRQKLMQDKRSKQQQVQKQVWDSRTPISVISEQQQEAANGKPNPSDVPNGVTAEVKAVKVPAEKPVLANGITTTPAVSYAAKVAQTATEKPILANGVAKTS >Sspon.03G0026380-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:7655892:7658156:-1 gene:Sspon.03G0026380-1P transcript:Sspon.03G0026380-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SDPVALLAELLGLRGHSSARRSSHSHDHGCCSQPCVSLGEAAAAPSGGDRAAADCGVCAICLDRIQLQETALVKGCDHAYCVTCILRWASYKQNPLCPQCKHPFEFLSVHRSLDGCLHDYLFEESVCLLLRAAWFEPLIVEAHEEALEEEEFFHQQYQYDDDEDDLDEESYYMSRSPSIRIGNRRWGDNGYIRGGRKEARPVNTDASAGPSRTPKKKEKAASSSASVSGSGSGSVSKDVAGRRAKRAQKREAADRAAAEKHLKHLQRLGLRKAPEPEVPAEVGPQVNE >Sspon.08G0010160-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:42529754:42533536:-1 gene:Sspon.08G0010160-2D transcript:Sspon.08G0010160-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVASAARPPTPTPAPPARLGSLWSTLEDQRGAAVPLLSSAWTLPTTSQDGEQQQPKEGLLRRAGGAVARWWGAACGAVAELWTFARADPRKPVFAGKVALALALISLLVFLREPRDIVSHSVWAILTVVVVFEFSIGATLSKGFNRGLGTLTAGAFALAVAELSKHLGKLEEVILITSILIVAFVTTLTKLHPMMKPYEYGFRVFLLTFCYVMVSGYNTGKFTDTATSRFILIAIGAAVSLGINIGIYPIWAGEDLHNLIAKNFTGVAKSLEGCVDGYLRCMEYERIPSKILVYQASDDPLYSGYRAAVEASAQEETLLGFAIWEPPHGPYKTMNYPWRSFTKVSGALRHCSFAVMALHGCILSEIQAPPESRRVFAAEIQRVGQEGAKVLRELGSRVKTMTKLSSSGILFEVHMAAEELQKKIDEKSYLLVNTERWDASKQAQGIKDVLNDTRAVEKENKNKENKNDGTSAVEKENKAKENNNGGVEPTIVEQTLVHQSKSFVANSFLSRFDSASTIDGFKPLLSWPARRSFHPNIPLEDEDSQTYESASALSLATFASLLIEFVARLQNVVNAFEELSEKANFKDPVEEPTAVSTSDVRFFDKIRKLVGF >Sspon.06G0025840-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:69815430:69816419:-1 gene:Sspon.06G0025840-2D transcript:Sspon.06G0025840-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATALSVGKSVLNGALGYAKSAIGEEVALQLGIQKDHAFVTDELEMMRSFMMEAHEERDNNKVVKTWVKQVRDTAYDVEDSLQDFAVRLKRPSWWRFPHTLLERRRVAKQMKELRAKVEDVSQRNVRYYLIKGSGSKATATSSEQSVLTAAAIFGIDDARRAAKQENQRVDLVQLINKEDEDLRVIAVWGTRGDMGQTTIIREAYDNPDVQIKFPCRAWVRVMHPFSPKDFVQSLVNQLHATQGVEALLEKEKTEQDLAKEFNGYVNDSRYLIVLNNLSTIEEWDQIKKCFPNNKKGSRIIVSSTQVEVASLCPGARKPSLRAKATVC >Sspon.04G0020560-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4A:72480580:72481278:-1 gene:Sspon.04G0020560-1A transcript:Sspon.04G0020560-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIAVLSWYEEEEEEEEARRAKPGASISQSVAEVGSGKGMDSIHPAAAAQRCWDGGHREGDGSWVGERNSPEFGRRRRRRRRAGRQAGAQGASSAESNSKEQGRVAFEEEAVVGGGGGGSSRSSRGGYGITFHSRLSSTAASKAGDGAARRGIELNWSVRPWPADVECGGGRSRSRRGWEVGEVQCRSY >Sspon.05G0009790-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5A:28013444:28015906:-1 gene:Sspon.05G0009790-1A transcript:Sspon.05G0009790-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAELSREENVYMAKLAEQAERYEEMVEFMEKVAKTVDSEELTVEERNLLSVAYKNVIGARRASWRIISSIEQKEEGRGNEDRVTLIKDYRGKIETELTKICDGILKLLESHLVPSSTAPESKVFYLKMKGDYYRYLAEFKTGAERKDAAENTMVAYKAAQDIALAELAPTHPIRLGLALNFSVFYYEILNSPDRACSLAKQAFDEAISELDTLSEESYKDSTLIMQLLRDNLTLWTSDISEDPTEEIREAPKRDSSEGQ >Sspon.03G0014900-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:47743323:47746892:-1 gene:Sspon.03G0014900-1A transcript:Sspon.03G0014900-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTQQEVTENYANPKTCFFHVLFKASALAFYILSTLFVNNFVIIFVITVLLAALDFWVVKNVSGRILVGLRWWNEINDEGESVWKFECLDGESLARMNKKDSWLFWWTLYLTAAAWIILGIFSLIRLQADYLLVVGVCLSLSIANIIGFTKCNKDAKKNIQDWTTNALLSGSVRSHLQSAFGV >Sspon.01G0051990-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1C:19458907:19459167:1 gene:Sspon.01G0051990-1C transcript:Sspon.01G0051990-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALKGDGPAVGIDLGTTYSCVAVWRRDRGEVIANNQGNRLTPSCVAFTGSERLVGEGAENQAGLNPSNTVFGEYHAPISLTSTE >Sspon.01G0030760-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:72817742:72820850:-1 gene:Sspon.01G0030760-2P transcript:Sspon.01G0030760-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARARGGAAWVLLAAAWVLWAAAAAAEARSPSGRRVHRHLKRLNKPAVKSIESPDGDIIDCVHISHQPAFDHPLLKNHTIQFRPAYHPEGLYDDAKSSRGSNNAGEKPMLQMWHRNGRCPEGTVPIRRTKKDDLLRASSMRRYGRKRHTAPNPLSVDPNMLSEGGHQHAIAYVEGDKYYGAKATINVWEPKIQQVNEFSLSQLWILGGSFGEDLNSIEAGWQVSPDLYGDNNTRLFTYWTSDAYQATGCYNMLCSGFIQINSEIAMGASIFPISNYAGSQYDISILIWKDPKEGNWWMQFGKEYVLGYWPSFLFSYLADSASMIEWGGEVVNSEPDGTHTSTQMGSGHFPEEGFGKASYFKNIQVVDSSNQLSAPKGVGTFTEQSNCYDVQNGNNGDWGTYFYYGGPGKNSNCP >Sspon.02G0002520-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:8156995:8161922:1 gene:Sspon.02G0002520-1A transcript:Sspon.02G0002520-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase haspin homolog [Source:Projected from Arabidopsis thaliana (AT1G09450) UniProtKB/Swiss-Prot;Acc:O80528] MSLEGQLQRPAAAELRAAKADQLEPLALHQFLTNKCFEYRGRESIFVAPGASLQQKPCGALKRPPKPSNRVKKHQGGPPDFSKEKAYFEEVDAFDLMEESPSPKYFASWNKGMEENIIIHDLAAILERWKISSLARRASSEPLFDIMETPILPSVLSNNSTTNRGSGTYTAGRAIPVGYTDISLKSSAKDSLITSFGKVNIKEEPVEASIPWNGEALSAFDQLLMVCRQSAPVTLAELMILFMDSELGSITKLGEGTYGEAYRAGRTVCKVVPFDGDLLVNGETQKRSEEILEEVLLSLTLNNLRANQGDDVKTNSCNGFIETKDFRVCQGPYDPSLIRAWEDYDAERGSENDHPKEFTREQCYIVFVLADGGTDLESFALVDYKEAHSLLVQVTASLAVAESACEFEHRDLHWGNILLAQDETPDTNHTMSFTLQGKRMHARTFGLNISIIDFTLSRINTGTAILFLDLSADPALFQGKKGDKQAETYRRMKQITQEHWEGSFPKTNVVWLIYLVDMVLQKLKPLAAGNKIERELRSFKKRLASYESAGDCLGDPFFADVLMIEDDELPSMPPL >Sspon.02G0036150-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:5433742:5434401:1 gene:Sspon.02G0036150-2D transcript:Sspon.02G0036150-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMTTTHKSTTTSPGAYVAKPPPPPPGYVFHNKAAAAAAYDSYNGRHGGAAATATSRASAARHDYDDRHQQAGARALDGLLLLLRAAASVMSFVAVALVASCRHGDWMEFARYPEYRCLLGASVLACVYSAAQALRGFRRSGGGLAGFAGDQVVAYLLITASAAALPITLRMRSAVLNVFTDAMTAAISLGFIAFAALALSAMLSGFTLSAAAAAAQPY >Sspon.04G0002110-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:6755631:6764689:1 gene:Sspon.04G0002110-1P transcript:Sspon.04G0002110-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLASALCVLLAAVVAVSTAVATESEAEDRVSTYIVHVAPAHSPRLSRPRALSGAYRSFLRDNLPARVARPAPRLLYSYAHAATGFAARLTGAQAAHLASQRSAVLAVVPDATQQLHTTLTPSFLRLSESSGLLQASGGATDVVIGLIDTGVYPKDRASFAADPSLPLPPSTFRGRCVSTSAFNASAYCNNKLVGAKFFGLGYEAAHGGAVGETDSRSPLDTNGHGTHTSSTAAGSAVANAAFFDYGKGTATGMAPRARIAAYKACWTRGCASSDILKAFDEAIKDGVNVISVSLGAVGQAPPFYSDSTAVGSFSAVRNGIVVSASAGNSGPGEFTAVNVAPWILTVGASTLNRQFPANVVLGSGDTFTGTSLYAGTPLGPSKLPLVYGGDVGSSVCESGKLIASRVAGKIVVCDPGVIGGAAKGEAVKLAGGAGAILVSSKAFGEEALTTPHIHPATGVTFAVAEKIKKYIRTSASPVATILFIGTVVGGTPSSPRMASFSSRGPNLLAPEILKPDVTAPGVDILAAWTGENSPSELDSDTRRAKFNIISGTSMSCPHVSGIAALLRQARPDWSPAAIKSALMTTAYNVDNAGDIIKDMSTGTESTPFVRGAGHVDPNRALNPGLVYDVGTDDYVSFLCALGYTARQITVLTRDGSTTDCSTRSGSVGDLNYPAFSVLLGSGGDEVTQHRIVRNVGSNVRATYTASVASPAGVRVTVEPPTLKFSATQQTQEYAITFAPEQGSVTEKYTFGSIVWSDGEHKVTSPIAVIWLASQAAEMLASALCVLLAAVVAVSSAAVTESEAEEPMSTYTVHVAPAHAPRTTRPRALSTAYRSFLRDNLPARVACPAPRLLYSYAHAATGFAARLTGAQAAHLASRSSAVLAVEPDVMQQLHTTLTPSFLRLSESSGLLQASGGATDVVIGVLDTGVYPIDRASFAADPSLQPPPSTFRGRCVSTTEFNASAYCNNKLVGAKIFRRGYEAAHGGEVDETDSISPLDTNGHGTHTSSTAAGSAVANAAFFDYGKGTATGMAPRARIAAYKVCYTRGCSTSDILKAFDEAIKDRVNVISVSLGFAGESPPFHSDNTAVGAFSGIVVSASAGNSGPGEYTVTNTAPWLLTIGASTINRQFPANVVLGSGETFTGTSLYAGTPLGPSKLPLVYGGDVGSSVCEAGKLIASSVAGKIVVCDPGVNGGVAKGEAVKLAGGAGAILGNYEVYGEQSSTTPHVHPVTDVTFAAAEKIKQYIRTSASSVATILFLGTVVGWTPSSPRMASFSSRGPNLLAPEILKPDVTAPGVDILAAWTGENSTSQLDSDTRRVKFVIVSGTSMSCPHVSGTAALLRRARPDWSPAAIKSALMTTAYNVDNAGDIIKDLSTGTASTPFVRGAGHVDPNRAINPGLVYDAGTDDYVSFLCALGYTASQIAVLTRDGSTTDCSTRSGSVGDLNYPAFSVLFGSGGDEVTQHRIVRNVGSNVRATYTASVASPAGVRVTVEPPTLKFSATQQTQEYDITFAPEQGSVADKFTFGSIVWSDGEHEVTSPIAITWPRAKQRRCVLNCNHACVP >Sspon.04G0017700-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:27660450:27665902:1 gene:Sspon.04G0017700-3D transcript:Sspon.04G0017700-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDRSSSTGPTFFPFGPQAEAWDVTFKVKYGDTLKRFYGCVNGNHFDMNLSALRAKIVTAFKFGADADLVLTYTDEDGDIVMLDDDDDLRDAALRQKLNPLRITVQLKKNQPTEKKDASAPVKPTAQDPLSQIMSAIEGLKPVQEDSLAHIKSAIGEAIKSIPEPIPDALAKLSHEILDAAPPPLAELMKPFAQLMAPNSNGNGPSHVHAEGSSSSSSGVTQTQAPAPAPAEAKTEPEVKPSLGLRAVLKEAAAPVPNAGASQVQQPSMYPSVEELLFPCNSVDKSVCKGRTDAQNKGKSVMSSVTQPAPYSLPTHVPPPPPPCISEWSRPRRSQQHPWQYEDNAKATSDRWHIPMYKMPYAPPPPAVPPPGYGPSPHFPYPGRLLSSGHPYGDLAGNMENSAARSLHRWIQCDGCGVQPIVGPRYKSNVKEDYDLCDSCFQRMGNEMEYTKIDKPILPHRFLRDPHANRKVHHTRVLMKSKREKLESRFILDVTVIDGTLMTPSTPFTKIWRMHNNGSVVWPLGTQLIWVGGDQFALQTSVPLEIPVDGFPVDKEIDVAVDFVAPTRPGRYISYWRLASPSGQKFGQRVWVHIQVEDPSFVNDNSKNAAINLNLPPESNSANTTNLIDMNIEPVDSALSAHAKRTKEFHFCSTDVSERKKFLPATLVSTSLSAAAPANPTGDVSISSTSAAAFVPSVNVPMPEVVTTHTPLPAMPVLPTNIPISAPVSPPAIAPVPVPPPVSAPPPVNDVAPELSDLDVHNEEKLLRELEEMGFRQIDLNKEILRQNNYNLEQSVDDLCGVNEWDPLLAELEEMGFDDTEVNKELLAKNGGSIKRAVMDLIAREKKDK >Sspon.04G0022750-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:8654340:8660204:-1 gene:Sspon.04G0022750-1B transcript:Sspon.04G0022750-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGGEEFAIGVVISAKTTLGEEFEGQIVAFDRPSNLLVIHILPKSLMLLTRISMSFTYRSQEGVGRAERGERRNVRVLKANYIREFSVVSKGDDPLDPAGCVLDLNAIYAREDAALREGAEGRHAAKSSLREAGRRCTCVIHDGGDAAVLDATELRLPARRREMRRRCICVHEAGRRCVKERCVQRVAALLREGDAMRGDASEGATPEKRRDKDTLASRDEAERIGVGVTPEAQ >Sspon.06G0026460-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6B:79288498:79290405:1 gene:Sspon.06G0026460-1B transcript:Sspon.06G0026460-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNLLWGDPTSRGVQEDILVPLHARLATISTLIDSAASPPADAQRGPPPPPAYDAGDAKLRASALLEKVRREMFQLEDVFRRIDDAEKRIRYSFDPVEKHLDDALQHEPPDAERIHAGLLAVDAGISAIKATIRDAYNIACDDGGRDASFGTTPSQQLPAPSQGTAMVAMTKKMGEIRHGSQMSHLMLAVGGLEARLLSCVLCLAAFPEGAVIKKRLLFHWWVAEGFVRSADEAKNRFDELIAKGFILPAPTALCGTVHRCTVRPWMRDLLVSVARRNGFLELNSGDVEFAHRACLRGGKAQQAAGFSAVVRAIYNIGQKYVELNERWFAGKKELRVLQLGQWREFSTAQQIASPMDSHIEVSGVERFRDMESCKNLRYVSFRGISRIESLPNSIGKLRQLQVLDLRACHNLEELGQGIAKLDRLEYLDLSECHLLVGIPKGLGQLTRLEILKGFVIANSNSRDFCHLNELTKLEKLRKLGIVIGKMAVPMEDEFLKLGELNSLESLRISWGVLSSAKNGGVIEPPPRHSVETMMYALPPNLKKLDLHCFPLADFAQWVRPTGVRKLYIRGGKLETLGDDENSWETEVLRLRFLSNLQYDDARLHRLFKKLKNTEIHECPNFTRGNSDVVESAETR >Sspon.05G0015950-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:64135263:64139380:1 gene:Sspon.05G0015950-1A transcript:Sspon.05G0015950-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSSGLVDWRGRPVNTKKHGGVRASIFIHALVLLSNAANIANILNLVSYLREEMHMDVARASTMASNFFAALQMFSIPAAFLADSYVKRFYTVLIFGPIEILGYILLAVQAHVPSLHPPPCVAGQQATTTCESVHGSNLSLLLLGLYLIPIGDGGARACLPALGGEQFDTSDPVEQRQESSFFNWYTFAISTGGFVGLVFIVWVENSKGWYLGFVVCALFVILGMLIWIAGLPFYRNQLPTGSPITRLLQLCTAFKKRKVALPANPSELKRQDDAGALEILHRTGGFHCLDKAAVDTGKTGAWTLCSITQVEETKIILRMVPIFLSAVLGYIPVPLILNFTVQQGNTMDTRLGAVHISPATLFVIPTVFQMVILIVYDRFIVPFLRRITGYMGGVTHLQRIGIGFLSTVVATSIAALVEAKRKKVAEDTGLVDATTGIPMSVFWLTIQYFLLGIVDVTSFVGLLEFFYSEASMGMKSIGSSIFYCILGVSAWLGSLLIQLANRFSRHSDGTGGWLDGTNLNKGKLDRFYWLLAVLEVVSLLVYAFFAWRYVYRNDQRVVVDGDNKAPSDGAINVI >Sspon.02G0004220-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:12994119:12997035:-1 gene:Sspon.02G0004220-1P transcript:Sspon.02G0004220-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLIDHRLAVAGRHQSRSAEDPTSKRPSEKSSPVKNQQQRPRPQGPAAMDHQRVALPVPPPAPLDPQSPPISAASASTAASPYSALHPLLLPSPNPHLFLKPKTLTLSLSSFSLTSMASSSPPAPAPAPDAWEVVTPTAPHVDGELDDCAIFPPRLHEGLGLDGEPEEVPPLAAAAKEAEEEEEGVEDDEWLWGWGWRWDRCRLAARRAWAAGVGTVQDRVLVHGACGCPAVRPAVWSAAAAAAVVGALLYSRRRDKRERDLLVLLSKEKDKRIAQLLHQIALMSDIRSGNEAVKIIRNS >Sspon.05G0024680-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:17320130:17323071:-1 gene:Sspon.05G0024680-1B transcript:Sspon.05G0024680-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAAGSGVPVAGDGVGVSGVPVRHRPQARLKYNAGIHPKFRGLIIGLAQVICTQVLPRPRTLPLPPPSSVSIRTGVAFTSPQTYHHNTMDMEQGIVVYWDKIERPRSGLCREVVLMDARIKCSDWYRL >Sspon.06G0004830-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:12975426:12975788:-1 gene:Sspon.06G0004830-1T transcript:Sspon.06G0004830-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASASSAEGAHETNPEKVKLVTVLSIDGGGVRGIIPATILAFLEEKLQELDGPDARIADYFDVVQLLPARAPVVS >Sspon.03G0041480-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:42132634:42132897:-1 gene:Sspon.03G0041480-1C transcript:Sspon.03G0041480-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding PMRVLGRIRDAYVDMMLGAAKTRPAVARALPSAPEALWHKRVPVRRSSTSSQARQKPDELGQRVVMEMYKSVIASRNLSGMLRASVAR >Sspon.06G0003260-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6A:10099327:10102486:-1 gene:Sspon.06G0003260-1A transcript:Sspon.06G0003260-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding METTLAAFKESQLIGRENEKIEVMKKISDKHSQEFEVISIYGMGGLGKTTLVKHVYQSQELNVMFEKRACVTIKRPFNRSELLNSLATQLGDKEGNGDKLANLLQGKSYLIVLDDISSTTEWDAVVNYFPAAVTRSRIIVTTREENIAKHCSRKDLNIYKLKLLEYKDAYDLFTEKVFGTAAYMDEQYPELAEEVKVILKKCNGLPLAIVTIGGFLAKQPKSLMEWRKLNEHISAELEMNPELHRIPNVLIRSYDGLPYHLKSCFLYLSIFPEDYNISRKRLMHRWIAEGYPREIVDDDGMNRRDVCTTFWCGVIPFVLRELSGYGVIFPRGLRKLRGLHTLSGVDIAVGKGILKEIKRLTHLRRLAVSGINKKNCQEFCSTLDDLSSLESLTVHSAGEPGLHGCLDGMSSPPKSLQSLKLAGNLVKLPGWIEDLHNLVKLKLEKTKLSELDATIRVLGKLRNLVILRLLEDSFKGEDLGLTFHRETFPSLMVLQLKGVYFRSVEFEEGATPKLELLLIGYRSTSSVSGLSFLPSLKEVILDVVSGDLREMVQEQLTRNPNNPVL >Sspon.06G0010850-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:58857179:58859839:1 gene:Sspon.06G0010850-1A transcript:Sspon.06G0010850-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding METLAAARRAKRRKPEAPETAEQEGGVNISPLPATSAPDSSPPGAGGDKPYIQDPPPGAGEEEGDGIDRISLLPDAILGEVISLLPTRDAARTQILATRWRHLWRSAPLNLDGGDLRTIDVVSRILSAHRGPGRRFRFPAQRLQDHPATVDAWLRSPALDNLQEIDCWIRFIWGSQVLQPPPPPASAFRFSSCLCVATLSQCHLSDDVAQALQFPKLKKLALQCISISEDSLHSIIAGSPVLECLLLSTIFGFRCVRINSASLTSIGVGANAGYEPTLTFLEEFIVEDAPCLKRILYHRPTQRGMRLQVSVISAPNLETLGCLNSSDYSSRLTLGSMIIEPCSIKEFRVFNSTAPACTVKILAVYIFTLSLDVIIDLMRCFPCLEKLYIQSYQGGDKNLWRRKHRNLIKCLDIRLKTVVLNRYRGIRSQVNFATFFVLNAKMLESMTFQGQRYNVSERFLAEQHQLLQLEKRASRCAQFYFTTKRCNHDFMHINHAHDLSITDPFECEGTGVDKNAVEEAVFIAHPLGIEIGEGGA >Sspon.05G0031030-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:13536314:13540840:1 gene:Sspon.05G0031030-2D transcript:Sspon.05G0031030-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSAESSAAGGMRKAPSLEWRWVSTEEDDDEERAGAGVGTPRPSGRGGSFESEDDEDDDEEEEKEEKEKGRKRLIRTVPSVDWFDVEGNEVSVAQQLDDSEEFDFGRTMFLALQTLAVVFGDIGIGPLYTFDVMFNKYPIVGEEDVLGALSLVLYTLILMPLVKYVLVVLGANDDGEGGIFALYSLICRNAKVSLIPNQVQSEKRMSSFRLKLPTPELERSIKVKEKLESSLLLKKLLLGLVLFGTSMFISNGVITPAMSVLSAVSGLKVGLPNTSQDAVVMISVALLVVLFSVQRYATSKVGFAIGPSLLLWFCCLGGIGIYNLSLYGPTAFKAFNPLYIIYYFGRNPFQAWLSLGGCLLCATGSEAIFSNLCYFPVRYVQYMFVLLVLPCLVLAYLGQAAFLIANQKSSEQVFFSSIPSGVFWPVFLVANLAALIASRTMTVAIFQCLKQSIALGCFPRLKIVHTSRKFMAKIYIPVVNWFLMVSCLGFIILFRNIYDVGNAYAIAELGVMIMATVYVTIIMLLIWEFNIMKVLSFVITFLFLELIFFSSALSSVGDGGWALLIFASVLLMIMFIWNYGSKLKYDSEVKQKLSKDLMRKLGPNLGTIRAPGLGLVCSDIVKGVPAIFGHFLTSLPAIHSIIVFVCIRNVPVPVVPQSERFLFQRVCSRGYHMFRCIARYGYKDKKQEHHSVFERLLIEGLEKFIQREAVELSLQSEDDIDSDEEPPTPVKIIQAPNGSLYSLDVPLLADYAPSTELIPEASCSTPQHDPVLDYAHNLELELAFIKQSKRSGAVYLIDNPIIKARKDSWFFKKLMINYFFAFLRNNCRRAIMLMSIPHSNMMQVRMTSYV >Sspon.01G0047070-2D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1D:89605928:89606179:-1 gene:Sspon.01G0047070-2D transcript:Sspon.01G0047070-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAYAVITVEDAAQLVTLLAPLLVVALVAAVIASAWADGGMRGVEAQVDAQAGEWARYVFGSEAAEFAAPSPLPVPWQGEHGA >Sspon.05G0030470-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:86335957:86338741:-1 gene:Sspon.05G0030470-1P transcript:Sspon.05G0030470-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNKSSLVMLPPCGHGWEMMEAMRRQQDLVMQLRALVLPLLHDVMDGTSSATEIAVQLFDEVIGCNIGVVSTLEGCLMSTGGRGGSSGEAVDDKSLVRKNSTPTNGEKTEDQALAKQPNSVGQKRRCDSMNIRCDQLVLLPVYPYNPACCNIYALTDSTYVSRRNNDKRSRCLVTHVPHYDGHLWRKYGQKNINGRKHPRSYYRCAYRERNCLATKTIEEQEPNDDDGTGNSAMAGEESAKYTVVYYGDHTCKDHHTISMVQLPQLVGSMDLHSTEMPPSTDVQESEADLDLPALLELIIHSKLIN >Sspon.01G0023990-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:91269525:91274148:-1 gene:Sspon.01G0023990-2B transcript:Sspon.01G0023990-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTLSFILFILFPGTKEGNPADTTPACQCHRNRLAPSPTSAGGGRATVMAPNMETYTTDDALSMMGFGKFQVLVLFYAGMGWVAEAMELMLLSFLGPFMREEWNVSPENESLLSSVVFAGMLLGACAWGFVSDKYGRRTGLIFSTLFTSGMGFLSALSPNYLCLVALRFLVGVGVGGSHVFISWFLEFVPAQNRGTWMVIFSLFWTLGTILEASLAWVVLPALNWRWLLIFTALPCFLLLPFFGLTPESPRYLCAQNRMSDATAILERMANANQSALPPGILTYNRQTKFDNDALAPESECLLPVREKECDNAKSSESGSLAALRILLSRKLLRSTLLLWFVFYANSFAYYGLVLLTSQLSDANKSCASGVNVGLHQKVTNLYKDTFITSLAEIPGLFLSAVLVDWFGRKASMWSMMFACCAFLGPLLLQQNELLTTILLFGARACAMGSFTVLCLYAPEVYPTVVRSTGAGIATAVGRIGGVVCPLVAVAMLRSCHQMEALIVFEVILCLAAVACIFFPVETKGRGMD >Sspon.05G0031540-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:5594978:5598450:1 gene:Sspon.05G0031540-2D transcript:Sspon.05G0031540-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGASASVLSLPTAAALPAAATAVAGAAGFFALGYLVALARHPRHAAAPGTGGPSDDDSEDDSEEDDDENSGRSRAAKRAGGQKRTGLRLLYWARNVVTKSDSAREAERSQSQAAASPLEIENLAEIIEDFKMVLVVRNDLKMGKGKIAAQCSHATLGLFKKLQQRAPKSLRRWERCGQVKVVVKIESEEDMLVLQGRAKSLNLPTHITIDAGRTQIAPNSRTVMAILGPADMVDDVTGGLKLL >Sspon.08G0005290-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:15915519:15921489:1 gene:Sspon.08G0005290-1P transcript:Sspon.08G0005290-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSGRRRRDRMRWSNLYTFSCFRAQHGHAGDAGPSSDGAGAVGGPGFSRVVYCNNAALQKPLKYVTNYITTTKYNIITFFPKAIFEQFRRVANLYFLLTAILSLTPVCPFSAVSMIAPLAFVVGLSMMKEGLEDWRRFIQDMKVNNRKVSVHKGDGEFDYRHWEDLCVGDVVRVEKDQFFPADLLLLSSSYEDGICYVETMNLDGETNLKVKRSLEVTLPLEEDELFKDFQAVIRCEDPNPSLYTFTGNFEYERQVYALDPSQILLRDSKLRNTAFIYGVVIFTGHDSKVMQNSTESPSKRSRIEKKMDLIIYILFTVLVLISIISSVGFAVRIKLDLPNWWYLQPQKSNKLDDPSRPALSGIFHLITALILYGYLIPISLYVSIELVKVLQAHFINQDIHMFDEETGNTAQARTSNLNEELGQVHTILSDKTGTLTCNQMDFLKCSIAGVSYGVGSSEVELAAAKQMASGADDHDIPLQDIWEENNEDEIELVEGVTFSVGNNRKPSIKGFSFVDDRLMQGNWTKEPNSSTILLFFRILALCHTAIPEINEATGSIAYEAESPDEGAFLVAAREFGFEFFKRTQSSVFVREKHTSSKGTIEREFKILNLLEFNSKRKRMTVILQDEDGQILLFCKGADSIIFDRLAKNGRMYEVDTTRHLNEYGEAGLRTLALSYRVLDESEYSSWNAEFLKAKTSIGPDRELQLERVSELIERELILVGATAVEDKLQKGVPQCIDRLAQAGLKIWVLTGDKMETAINIGYACSLLRQGMKQICLSIPTGEQVAQDAKKVAKESLLSQIANGSQMVKLEKDPDAAFALVIDGKALAFVLEDDMKHMFLNLAIECASVICCRVSPKQKALVTRLVKEGIGQTTLAVGDGANDVGMIQEADIGVGISGVEGMQAVMASDFSISQFRFLERLLVVHGHWCYKRIAQMVLLEALLLFILLHLILLCLCFNFTKSFVELQICYFFYKNIAFGLTIFYFEAFAGFSGQSVYDDWFMLLFNVVLTSLPVISLGVFEQDVSSEICLQFPALYQQGPKNLFFDWYRILGWMGNGLYSSLAIFFLNLCIFYDQAIRAGGQTADMAAVGTAMFTCIIWAVNMQIALTMSHFTWIQHLFVWGSITTWYLFILAYGMTLRSRDNYQILLEVLGPAPIYWAATLLVTAACNIPYLIHISYQRSCKPLDHHVIQEIKYLKKDVEDQTMWKRERSKARQKTKIGFTARVDAKIKQIKGRLHKKGPSLTIHT >Sspon.02G0013370-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:38183366:38184384:-1 gene:Sspon.02G0013370-3C transcript:Sspon.02G0013370-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSDWCPLPFDSNHCTFPLQPPVLTASQYARPLGALGSSDAVETNSFLPANFARPGAPAPAVIGLILGSSDPLLALGKMTAHLFLFASQNAFASSSILCSPQNEGWSRITPSMRAEAGGAARKPPYMPVATYMVVVAPWTGGR >Sspon.08G0005150-3D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3D:19504602:19505162:1 gene:Sspon.08G0005150-3D transcript:Sspon.08G0005150-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNPEKLMNQIFDLKFTSKSLQRQARKCEKEEKEQKLKVKKAIEKGNMDGARIYAENAIRKRTEHMNYLRLASRLDAVVARLDTQAKMQVIGKSMQSIVKSLDSALATGNLQKMSETMDNFERQFVNMEVQAEFMEGAMAGSTSLSTPETEVNSLMQQVADDYGLEVSVGLPQAAAHAIPAAKDKEK >Sspon.01G0043840-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1B:77644423:77645976:-1 gene:Sspon.01G0043840-1B transcript:Sspon.01G0043840-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEHARGSQILLIYLCLCVLLLSSSVHLAMSMDLDGYDDFDVSFADGSFSDEVDYDDFDVSFADGSFSDEVAPADDYDNIYESFGDDSFSDEVAPARRLYDTSFNVLNSSSSSNRSGAAFCKLLSLQILDLSNNNLTGELPDCWWEMQALQFMDLSHNSFSGKIPEAPLTHKCSLESLHLAGNSFTGEFPSVVEGCQQLATVDIGNNRFHGDIPPWIGSRAPALKILRLSSNNFTGQIPPELSQLSQLQLLDLSHNRLTGLIPAKLGNLESMKHPKINSSIGSLDGSTYQDRIDIIWKGQELIFQRILELMTGIDLSGNSLSHCIPEELTNLQGLRFLNLSRNNMSCTIPKNIGSLKYLESLDLSWNEISGPIPPGMSSLQSLNTLNLSNNHLSGKIPTGNQLQTLIDPSIYGNNPDLCGPPLNISCQDPSQAFDEGNGGECQDQWLYYCVIAGIVFGFWLWYGMLFSIVKLRYSVFLFVDRMQYKIMQKVRPINHFLSKEKSDPSSVPMFFTSDTS >Sspon.05G0009470-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:27465655:27468880:1 gene:Sspon.05G0009470-1P transcript:Sspon.05G0009470-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAGGRPSPAPIRCTSAETDAEVDEVATSSHAAGATTDTEQGGNGGPVAGAAAAADAIEVAGVDGIRIRRRPVTGPAVHYVGPFQFRLENEGNTPRNILEKIIWNKDVEVSQARLDDWTRMKERRPLYMLKGPLEAAPPARDFVGSLKASYDRTALPALIAEVKKASPSRGVIRENFDPVQIAQTYEKNGAACLSVLTDEKYFQGSFNNLEAIRNAGVQCPLLCKEFIVDAWQLYYARSKGADAVLLIAAVLPDRDIKYMLKICKILGMAALVEVHDEREMDRVLGIDGVQLIGINNRNLETFEVDITNTKKLLEGERGQTIAQKDVVGESGLFTPDDISFVQNAGVKAVLVGESLIKQEDPGKAIAGLFGKDISHAGAT >Sspon.02G0023290-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:71966021:71966704:1 gene:Sspon.02G0023290-3D transcript:Sspon.02G0023290-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:H-type thioredoxin, Regulation of the apoplastic reactive oxygen species, Stress respons [Source: Projected from Oryza sativa (Os07g0186000)] MAGAAEGTVIACHTEEEFDAQMAKAYEAKKLVVIDFMSPTCGPCHAIAPVFAECAKEYPTKAVFLKVDILELEEVANRYNVQGTPTFFFIRYTVTLESFWGAYPDKLRDTVKFFIDNPLASASSA >Sspon.05G0019170-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:77323774:77325948:1 gene:Sspon.05G0019170-4D transcript:Sspon.05G0019170-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDDSDGQRQCRRGVTVDVELHAAMALADMAGVAPAGEQQPPVRHALPPPPPASTTHQEDEEELASTRLSLELGKVGIQASPCSSGSSAGGHPPHHQPYQQHQHPAAAPATGYGPRPRHALTVAEKEAKRLRRVLANRESARQTILRRQAIRDELARKVADLSSQNENMKKVATRTAKKAPAAVTAAMPAQQAAESLAAAAAAASPPATPPRPGFLYTAPAPAPVPVPYVWGSWPPGAAAAGYDHHGSPPGPPPLCLQPCAWYYPVVQADPRGSPSSAPAYAQAQPAAAAFQDPRGAVAVAAEPVGSGGSPAGGGGGGGGAGATDEEDTDDDPCSLTLGLDVVDKRSAPVSIFPAGPGGGAGGGAQSDRDKAATAAEARKRRKELTKLKHMHAAARPGGEQ >Sspon.04G0001050-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:1612359:1619057:-1 gene:Sspon.04G0001050-2B transcript:Sspon.04G0001050-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:H3K4-specific demethylase, JmjC domain-containing protein, Control of stem elongation, Control of transposon activity, Panicle developmen [Source: Projected from Oryza sativa (Os05g0196500)] MAELVMMGAECIVATLSGDPEPSIPPGFGPFVALALQGIQNNVKPGDAHSSSAQAAQCMEKDVEILEHGSAHGRSGTPASTSGTHSCRRSLRNRPPIDYSQFDLISDEESDVESAEKGVGSVRRRRQLPKGVLRGCAECADCQKVVARWNPSGARRPVLEEAPVFYPSEEEFKDTLKYIESIRSMAEPYGICRIVPPPSWKPPCLLKGKNIWECSKFSTRVQKVDKLQNRKSSKKTRRGGMMKKRRKLLELEDNSNINHNQTGMQQNQERFGFEPGPEFTLRTFKKYADDFSEQYFKKEVSGDSLPSVEDIEGEYWRIVERPTEEIEVVYGADLETGTFGSGFPKFSPEVKSDVEHKYAESGWNLNNLPRLQGSVLSFEGGDISGVLVPWVYVGMCFSSFCWHVEDHHLYSLNYMHWGAPKMWYGVPGKDAVNLEAAMRKHLPDLFEEQPDLLHNLVTQFSPSLLKSEGVPVYRCVQHEGEFVLTFPRAYHAGFNCGFNCAEAVNVAPIDWLPIGQDAVELYRKQARKITVSHDKLLLGAAREAIRAQWDILFLKRNTADNLRWKSMCGLDSTICKSLKARIDMELVQRQNICSPSQSRKMDAEFDSTERECALCYYDLHLSASGCSCCPEKYTCLVHARQLCSCDWDKRFFLFRYDVNELNLLADALGGKLSAIHRWGVAHLGLSLSSCVKREKDQDSKTLRRVTDGPRRSYMSQASTVSLAPSLVSNEQNNNGNKMLDTSSLETDTSGPFSEQIKSGNVSLQKETHMRNEVSCTLNNSVSPEGHKGSQNFPVPSGQSFSSNFATRSLSTKGESMKNAYGLAVFEGSRESSRSGDCISSLGVHHNIPPIMVDQGSNMNPSMESSNSSHRLMASNTNATQCHSYNDQMHVTPETRVCASSSQPFVRTVLRAQSVSQEASAGVFASKPLINSSLVKNTYGGLSSCGAHLGHPNFGNQQPSDGCLQRKSESLCGSEARGHPVSVVQPSVETHNRNGGAHKGPRIANVVHRFKSLVEPLEIGIVLSGRLWSSSQAIFPKACFTFVNTGFRSRVKYFSIVDPTQMAYYISEILDAGPQGPLFMVTLENCPGELFINVSPTKCWSMVRERLNMEIQRQLSMGRTNLPALQPPGSVDGFEMFGLLSPAIVQAIEARDRDHICTEYWRSRSHVVTKDRDSRPMAPSQAQGPLHALRELFQRANRNELLALRSLLVSNSSLDDFSRRQAAQILDEEMAKQWR >Sspon.06G0006490-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:21958006:21961610:1 gene:Sspon.06G0006490-3C transcript:Sspon.06G0006490-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMSLSRFSQWIWPGSRTRNRRGREPPVVSTAVTKGLFPDSPSGFREPDAVGRPGSGAARPRKGKIRRRGGRAEDRVDGERGMVIVQSDGDGCLSDSDSDGSDWSIGWLEPLAPDLQSDADSEGSFAVLVPCYRHGRADRPARPDGRFPGVAHGGVSITRILWSNGCLPFRTDFSSSILSWLQCIYSIVTFNLSYSSCETYR >Sspon.02G0039920-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:64944792:64945369:1 gene:Sspon.02G0039920-2C transcript:Sspon.02G0039920-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAATRWSLVVSAAVVVAVAVAVALSSPCFTATATARPLPYAGRSSPTPTSLDCATVTSLLAGCKAFVRRGAVASASPSVPAPGAACCEGVAELYAVASDSADNWRSVCGCMTALVRRYPSNASAIALLPVLCAVLPPAGRAAGDTLTYCTSPS >Sspon.03G0031780-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3B:30883799:30883967:1 gene:Sspon.03G0031780-1B transcript:Sspon.03G0031780-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding WMERIDPTMLYQMTSFLVPKSSPAPVIQAPPGLGCPGPLSRRRRQPPLQGCTRLGQ >Sspon.04G0024400-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:22379069:22383155:1 gene:Sspon.04G0024400-1P transcript:Sspon.04G0024400-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAANRSPQLLLFFLLISTCFLPPPLSLDAKEEADNNTVEKVDSPELSFSFDFSNASSYDAGDLRFEGNASVHGNLINLACDSFGQSVDGCTGRMSYNHPVPFHHDDDGTAAVASFSTSFTFVIKPDGEAAPGDGIAFFLSGYPSSMPPNTDGGSLGLMDDDAAAYGSGQFVAVEFDTYQNDDWGDPSNNHIGVDINTVRSSNTTDLNTTSGSPSLKSKVLATAVVASTLALFICGIVSFKFIKKRRKGRSSQDKEEDEALVWVAGRSSEFTVYDFLHVLEATNNFSEENKLGQGGFGLVYKGRFHDGLEIAVKRLASHSGQGFREFKNEIELIAKLQHTNLVRLLGCCSQGDERLLIYEYMPIKSLDFYIFDEIQSVLLDWNKRLAIIEGIAQGILYLHKHSRLRVIHRDLKASNILLDHEMNPKISDFGLAKIFGLNDTEGNTKRIVGTYGYMAPEYASEGLFSIKSDVFSFGVLTLEIVSGKRTSSVHQYGEFINLLGHA >Sspon.02G0043710-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:95544953:95546077:-1 gene:Sspon.02G0043710-1B transcript:Sspon.02G0043710-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTLLRPHRHAHGPAAVSVRLISRSHSQQHQLPSTPSSPCRRSVVLRPMAQDPSHPHRQSKDTAAPPQQQQQQPEQPEQPQPPRDVQEAAAASSSSSSDSDDGSSWLQLGIGPSSASPPPSPGPHRKRHRPDDDAAGPSTSVQPASALPLPLPLPLPLLPQLQLSLQPGPSSSSSAAPVGMGTVVAAAPPPPTHDAGTWFLLRAAQNHRDGRMTVRVVMRYLVNKLGLDDDSQ >Sspon.05G0021670-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:63982086:63985954:1 gene:Sspon.05G0021670-2B transcript:Sspon.05G0021670-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAGGDDLAALHEQVALASSAAISASDLDLAFQLQVAEAIQASLRSPNAAAPSSSSQAASVLPVPEPSSDAAYAFAVQADDLAHAEQDRRDAEACRAAQARAAASARIAAHDALFARDLAAIPEEQWAHDGDYFERPVDSSPRPLFRVFSKGMPSRDVVGSRDRDPSIAVLAVAVCRTQGGVVLRIQKPVERFVGGRMIVEVMALMEGLDAALGLGIRSVTVVTGYRPLYNHMLGIWRSSGKKLADMMNQVLSVRRKFDQCEVSLVETSQVSYVVKLATDSLTVQIAKALAANAVKEKRESCAICLEDTDITKIHVVEGCAHRFCFSCMKEHVKVKLLNGTLPACPQEGCATKLSVEGSRVFLSPRLLEIMVQRMREGQIPPSQKIYCPYPKCSALMSLGEVIRPMQESSSRYTAADAATLRKCVKCRGSFCLSCKVPWHDGMSCYEYKMRYPHARPEDAKLQNLARQRLWRQCVKCKHMIELAEGCYHMICVCGYEFCYTCGKEWKNKKASCSCPLWDERNIIRDEDDDDYEEDEDGLY >Sspon.03G0039240-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3C:12072260:12072906:-1 gene:Sspon.03G0039240-1C transcript:Sspon.03G0039240-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRQRQGVRADAVAQCQVDAGASDDHLSDCALELDCWNRTRVVESRGHVDEIQRPDTGGMSLITLTGAFGRPVACAWLPPPKWLGAARIVEQRKVIVSSSDRGDCEGFLNFFR >Sspon.08G0021870-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:43715811:43734447:1 gene:Sspon.08G0021870-1B transcript:Sspon.08G0021870-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPPTATVLQIPNSHTNSVPVRSPTIITAPHMPSEVADAESTAMPSAPNPGTATDKVMASTANLAQLLPTGTVLAYQALSPTFTNHGSCYPVNRWLTAVLVGVLAVLSLFFSFTDSIIGRDRKLYYGVATPRGFNVFNFSGEEEERGWALGELQRLRLRPLDYVHAFFTAVVFLTVAFSDVGLQRCFFSNASDNTNELLTNLPLGMAFLSSFPSSVRIQMSSPQTSNTIAPPTPKGGGADDNSPTTLPVMAAAGSGTMADKVMTSASNLAQLLPTGTVLAYQALSPSFTNHGTCTAANQWLTAVLVGVLAGFSLFFSFTDSVVGSDGKLYYGVATPRGFNVFNISGEEEEREWAPGQLRRLCLRPRDYVHAFFGAVVFLTVAFSDVGLQKCFFPGADQRQSTADGVKGCGRRLYYHGSRPDSGGQGDVKRGEPGAAPADWHGGGVPGAVPVLHQPRDVPSRQQVVTATLVAVLAAFSLLFSFTDSVVGRDSRLYYCVATPHGFNVFNFSGKQEEQEWALGELQKLRLRPLDYVHVIVAGVVFLTVAFSDASLQ >Sspon.03G0022860-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:92096015:92101790:-1 gene:Sspon.03G0022860-1P transcript:Sspon.03G0022860-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHPPRETSRCDEGWPKQALLPPAVQGLHDGHEEEEEDTDGVRCPQGRDEVAQDWKDAAVMVNGRQKGCKKILVLYTNFGKHRKPEKTNWVMHQYHLGDLEEEKEGELVVCKIFYQTQPRQCSWSSDRGAAATAMVATTSAAQEQHRRDSGSGSCSSRDHEVSATSFPAGYTVTTAVEMQQHMKQSADHFSFAPFRKTFDQEVGIGGDQVPSNQLGRSEPHHAGQGQPHGPVLATTTAMPATAFLISRPSNPVSTIVPPAMQHASVVLDHDQFHVPAILLHHHDKFQPQHQQQQPQQKLDRRSAGLEELIMGCTKLQLLTPKRQNGLTRTGHLTTKIIMDSSNRTGK >Sspon.01G0012750-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:25273782:25276511:1 gene:Sspon.01G0012750-1T transcript:Sspon.01G0012750-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGEAVAKNERKVGGAAAAEAWKGGGGRHHPIIQAYPALLPLPLHAAHARRNGTVALPLPPPVLVYLHQPPPPLLFPKVPACYGKPNGPPLLRGPPWRSRKPPPPHAVTAALLPLPHDTELIQHKKCFIHENQTSEMKANHLSTHHNSSITMHGVTIATRPDGGGVGGTAIPLYANHFLVCFDPGQKIFHYDVDISPHPSKETARMIKNKLVEENSNILSGALPAFDGRKNLFSPIQFQQDKLEFFVSLPAAASTRFIEAKENAHMIDKQNHKVFRVNLRLVSKLSGEDLNKYLNEGKDGIPLPQEYLHALDVILREGAMENSIPIGRSLYPRSMGEAKEIGGGAVVLRGFFQSLRPTKQGLALNVDLSLTAFHENTGIIAYLQKRCEFMKDLSQVKTRALAVDERREVEKALKNIRVFVCHRETDQRYHVHGLTEETTENLKFRDRSGKDYTVVDYFKEHYNHDIKFRNLPCLQIGKSKPCYVPMELCMVCEGQKFLGKLSDEQTSRMLRMGCQRPSERKGIIKGVVEGAFATRRNSYADQFNLQVSKDMTQLLGRVLLPPKLKLGNGGRIKD >Sspon.06G0021200-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:13009643:13016484:-1 gene:Sspon.06G0021200-1B transcript:Sspon.06G0021200-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIVDAVSTDAGAPAAAAAPVQPGNGQTVCVTGAAGYIASWLVKLLLEKGYTVQGTVRNPDMLAFCYICIYIRAEEWSMAINVCVNGDMMLADDPKNAHLKALDGAAERLILCKADLLDYDAICRAVQGCQGVFHTASPVTDDPEQMVEPAVRGTEYVINAAAEAGTVRRVVFTSSIGAVTMDPSRGPDVVVEESCWSDLEFCKKTRAAWDAARQRGVDLVVVNPVLVVGPLLQPTVNASIAHMVKYLDGSARTYANAVQAYVDVRDVADAHLRVFEAPQASGRHLCAERVLHREDVVRILAKLFPEYPIPTRCSDEVNPRKQPYKFSNQKLGDLGLEFRPVSQSLYDTVKNLQEKGHLPVLGEQTTEADDKEAPAAELQQGGIAIRA >Sspon.05G0022630-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:8194635:8196182:-1 gene:Sspon.05G0022630-1T transcript:Sspon.05G0022630-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding QKRSHSSSIRIVKRDSRSVKLRLGIGGTAERIVSALHTVLNEQADEDADLEKCKTSMRHVGKMEKDVDSACSKAEDPRCEVLCTELKDEEANMKKCIEKLKVVETNRAAVVSELKEALQEQESELEKVRTQLQLAEAMVHEASNMQRRLKNEPTIPLPKSASSVEPGKVLSNGQVKEQQKTAAAILADKIAASSNSQQILQSALSKFAAEEAKNSSETRSDKRQKTDQLSQVPSVANAAAFVPMPQVVATTAQQQPQAILVQQAPMQSQSPASQPQYNIYQAPPQQFVPQPGGVMMGMPYNMSTMAPPPPPLPPQMMNLGRPSPSAPQPQMAVMPQTQPPTPPMLQQQMPMNLAPQMQFALQPPGMPPFRHMQPPPGMQYFHPQSQ >Sspon.02G0024550-2D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2D:81266751:81267497:-1 gene:Sspon.02G0024550-2D transcript:Sspon.02G0024550-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTKHPRVMDSHKDIKPIDNEPFVSPLHEALRGTTAMPVLGSTLHNEPIVHTPQEPTNMSVNDNFIYPYEATPPASLPSLYNHTTATNGEPIFSSAFHSRLSASSSSTHHNTFMSKRDFLTTQASDYLGLSDPMRIKSMDPPPVTCLLQGNPLAVLHAHFNTIKEVDLGPGFQQPTHVQSESLMSMREVVGSYENIMQRMSHYTLETKRGRLEYVCKICSAKFFSAQAFGGHMSHHSKVKKKEAKSIA >Sspon.01G0026610-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:93288755:93298341:1 gene:Sspon.01G0026610-1A transcript:Sspon.01G0026610-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDWQPEPDRATPAPLNARALHAVRATHVAAVRLLPRRRRLIGRHGVARFFLKTHDLAFLDRPAVAAARHILYNGSDVLWAPYGPYWRQGRKLYQNELLSAGWIKSMEHIRSEEVRCMLREMSAAASRDAGAVVRLKDHLSMVSFNVVSRMALGRKYIFDGAGSLMPPEAFRWMIQEFFFLNGAVNVGDVILWLSFLDLQGYIKRMKRLRKMIDGSATASDSASLASDALPTGAAQGYS >Sspon.05G0002510-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:13062448:13066865:-1 gene:Sspon.05G0002510-3D transcript:Sspon.05G0002510-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPDLLFNLRNLFYLGAYQAAINNSDVPGLDADAAAERDAIVFRSYIALGSYQLVISEIDSSAATSLQAVKLLALYLTGDKVSALDLNYVASDLVLIVLCLSGSESLEGAISSLKEWLSDSAIGSNPVLRLIAGIIFMHEQDYNEALKHTHSGGTLDLHALNVQIFIKMHRSDYAEKQLKIMQQIDEDHTLTQLANAWLGIAVGGSKIHEAYLIFQDFAEKYPMTGMVLNGKAVCCMHMGSFEEAETLLLEALNKDAKDPETLANLIVCNLHLGKPSSRFLSQLKLSHPDHVLVKNAASSEATFERALQAVA >Sspon.05G0014520-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:48245995:48251671:-1 gene:Sspon.05G0014520-2C transcript:Sspon.05G0014520-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTRRRRQLLRQRQKKQLDAEQWAAAAAQFQRLPPELLAEIHHRLDFLRRLAFASVCGASGQNLRETPWLLLPRPEGYDAKATILSLADGESASVRTSDVALRGHVAIGSSGGWLVTTDERAALRMANPVTGAHADLPAISTVPFIRPICGGGWFSLDFEPFLQIRFGGSPPRRNTSRGRTRGHAAALLPQGCPLRVAAPGQLRRHAHHGPVHRRPGLRHGGGPRVEDGALPRRRRGRHTPHGRFLSITYTGHVEAWQRNVETGEFTSDAVAPRLAYEDQQLHRKYVAVSTDGRLMPSPLVRPHPKNTRVFFKVQILDHDNGRWEEAADIGDAAIFVGANGSLCLSTRQHQGIRPGCVYFTDDDVGGACLRNAKSSYSDSDDTELIDELRDVGVYSLKAGRVEKIDAVGKHRLWPPPAWFTPSFLEKNQLGAEQWAAAAAPFQRLPPELLVEIHRASGQNMLETPWLLLPGPQEYDEKATTPSPRPGSYAAMLIMDRFIGAPAFATAEDPAWRMAPSRDGVEDAIHHGGRFLSITYTGHVEAWQRNVETGEFTSDAVAPRLAYEDEHLRRKYLAVSTDGRLMVVLKHSRDEENPSHRHWYGPISKNTRVFFKVQILDHDNGRWEEAADIGDAAIFVGANGSLCLSTRQHQGIRPGCVYFTDDDVGGACLRNAKSSYSDSDDTELIDELRDVGVYSLKAGRVEKIDAVGKHRLWPPPAWFTPAL >Sspon.01G0019420-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:77983821:77988826:-1 gene:Sspon.01G0019420-2B transcript:Sspon.01G0019420-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGRNDSGHSKRPVVLCCVMIVCLCLLFLYFSGSNGQAGTTAFEYGTKFSRSLGWGSDDGEDGSEESIFGTGDADDVKLKSFPVCDDRHSELIPCLDRNLIYQMRLKLDLNLMEHYERHCPPPEKRFNCLIPPPHGYKVPIKWPKSRDVVWKANIPHTHLAKEKSDQNWMVEAGEKIKFPGGGTHFHHGADKYISNIANMLNFKDNNINNEGMLRTVLDVGCGVASFGGYLLSSNVIAMSLAPNDVHQNQIQFALERGIPAYLGVLGTKRLPYPSRSFELAHCSRCRIDWLQRDGILLLELDRLLRPGGYFAYSSPEAYAQDEEDLRIWKEMSALVERMCWKIAEKKNQTVIWVKPLNNDCYKRRAHGTKPPLCKSGDDPDSVWGVPMEACITPYPEQMHRDGGTGLAPWPARLTTPPPRLADLYVTADTFEKDTEMWQQRVENYWSLLGPKVKPDAIRNIMDMKANFGSFAAALKEKDV >Sspon.01G0045350-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:89418840:89425049:-1 gene:Sspon.01G0045350-1B transcript:Sspon.01G0045350-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVDQEQQPFLNGFPIPNVPDLLGEEIPLDQLIDHLNDEQQPDNLAQEDDFQVDQLVGPGEEGFPANLVGVQLPPNPAEIELLQAADDLQQDQLLAIGILDEQLAPPEQEQEQHQQEHQDQMVPEPDYEPELQNQHLQVGLALIPEFQWKPMFSTWEYRKEAKSVALWDQLTDKGNSSNISVNLPVSWVPFFTSMLSFVDKFGWAKDFLLSGAPALLDDNTGTFTLPIPKHCPNSIANSSFLCITEIENATSEKLDEGESLTMDVTKENTVLSKTDLSPDREKQSEIKIGNTDLPISGGNEKKRRTTTKPAPVEDSQVRRSDRVKNGSNGFKISGCPKVNCIYCNPSPPILPPKVIQNLGVQFCGLDPDDLSVEKLTQKAAKMDPIIKKKAKKGGGRTDNTGDKGATRELAMAAVRSSRPHQHRRSEASCPATSVAVAAARADDAPRQRPRGPVQVREQGPLSAGHHHQLRRSAAFPPRRPGAVPVRRPPQRCDSDLNIREHRTCSEVAGGTAAGCAAVCCCFPCVMVEVVVLATVRAPAALCRKAARVRKAGRRRSASAGQATEIYELLVDDAGVVEVDASAAEAVALPVKPALELEETGELEKEVWARFYGTGFWRSPSQLDDEHD >Sspon.01G0005160-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:41358694:41363059:1 gene:Sspon.01G0005160-3D transcript:Sspon.01G0005160-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAAPSIPGAIASLQAYSTALSAFTAAWRAVETHASSLDSTLAARLAGFSELELICSAMDGAGLLAYLTEHRDDLKEPARALNAALQVAPDPGLLVLSAAATFCRTPPEEAKNDGSVKASCRLLMALLDRLRAIGFKPSPEAREEARAVAADWKRGKRIGTEVMFKQETFAFLHLVGVFGLVEDVGGTGEVLDLVVSISGRERAVEAFVVLGLDLDQHMPILIQKMVNKSKQLEAVKFIQALNIAHKYPLLPIMRSYIDHAAVAGKMIRIRGDDLATQNAADAKERTLLGTLQKFIKEQKLEELPIFEEANKRMAHLDQQSAERKRTAAAAAALPKRLVRTLRSRRKRYRS >Sspon.06G0014420-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:76435794:76451410:1 gene:Sspon.06G0014420-1A transcript:Sspon.06G0014420-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CBBY-like protein [Source:Projected from Arabidopsis thaliana (AT3G48420) UniProtKB/Swiss-Prot;Acc:Q94K71] ETMSMAAAAAAAASSTLLRASNISVARPVPVTAGQQLVPSGVACCIRTVSGRRAAVVRAAAAADGAAPAGALPAALLFDCDGVLVDTEKDGHRISFNETFLQRELGVSWDVELYGELLKIGGGNFRMTAYFNQTGWPAKAPKTDEERKEFIASLHKRKTELFMALIEKRLLPLRPGVRLIDEALGKAVKVAVCSTSNEKQVSAIVSYLLGPDRAEKITIFAGDVVPCKKPDQAIYILAATTLRVDAHSYTAEEDFETADAVFDCIGDPPEVRFNLDFCANLLQKQ >Sspon.04G0017290-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:62714666:62715390:-1 gene:Sspon.04G0017290-1A transcript:Sspon.04G0017290-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPWGGFYGGGGAPATTEATVVTTAAAGSSGRRVGVEPDVRRVRPTRAQQQPGVGVEGGRVGKPARRRSRASPASRAGPYGPGGGAGGGPVISFGGGPGEYGPQLVRPSPTSAVMSFDHLAAAHAHHHQHRPTATSLQSQLFRPHQQHQQQYGGDVGYGMHGGGDGMAPSFLHGGFESSAEDRLLLQSMMQAAQTTMPTARPASTNNGGNGYNFG >Sspon.03G0019310-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3A:59946763:59947113:1 gene:Sspon.03G0019310-1A transcript:Sspon.03G0019310-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHRSMLFRVLLHAPDAHVFLLLNGGDVPSGRSLTLLSVGPRPAADRALEYAMVVRAAGQGEPGPSGALSLSASGPVPCTRRWAGPDHLPPEGFLFVPDAYWSSSGSVSVTVHVKKR >Sspon.03G0019630-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:73379965:73381356:1 gene:Sspon.03G0019630-2C transcript:Sspon.03G0019630-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLATATPTTSSHFSAPLLPSFHMPRRSLTAPLHRIRRSRLLTVVASVPDPAAGPVEYTPWLIAGLGNPGNKYYGTRHNVGFEMVDRIAGEEGITMNTIQSKSLLGIGSIGEVPVLVVKPQSYMNYSGEA >Sspon.04G0007950-3P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:22520712:22525466:-1 gene:Sspon.04G0007950-3P transcript:Sspon.04G0007950-3P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKDIEASGPEAGEFSAKDYTDPPPAPLIDAEELTQWSLYRAVIAEFIATLLFLYITVATVIGYKHQTDAAASGPDAACGGVGILGIAWAFGGMIFILVYCTAGISGGHINPAVTFGLFLARKVSLVRALLYIIAQCLGAICGVGLVKGFQSAYYVRYGGGTNGLSDGYSKGTGLAAEIIGTFVLASPPPTPSAMPVLAPLPIGFAVFMVHLATIPITGTGINPARSLGAAVIYNNDKAWDDQWIFWVGPLIGAAIAAAYHQYVLRASATKLG >Sspon.08G0000910-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:1172546:1173289:1 gene:Sspon.08G0000910-2C transcript:Sspon.08G0000910-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLHRNDAACPANGFYTYSAFIAAADAFPGFGTTGGADVQKRELAAFLAQTSHETTGGWETAPDGPYAWGYCFKEEVGGAQGPDYCQPSTQWPCAAGEKYYGRGPIQLSWNYNYGPAGEAIREDLLNNPGLVAGDVKIAFETALWFWMTPQPPKPSCHDAITGQWTPSPADVAAGRLPGYGVLTNIINGGLECGRGADDRVASRIGFYKRYCDILGVSYGDNLDCYSQRPFGNTILAAETTASSYHAE >Sspon.05G0011270-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5B:26772484:26774180:-1 gene:Sspon.05G0011270-2B transcript:Sspon.05G0011270-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding KPCGFLFDLGVTTDCLADYLVAQARKEELRKQPEQVILSEVRQVVQQMQALNQHLDEAEAAIDEYFKPIDKNAKIIADLQLEKEEKQMKEMAKVMQEQIKMQREITMKRAEAASVESNDTKVGEKVAEIPPK >Sspon.03G0017670-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:54992096:54992512:1 gene:Sspon.03G0017670-1A transcript:Sspon.03G0017670-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DDEVFQDDGGGGGGSASPGPGHGDDRRGAEHQDDGGGGGGGGGGEEGGQRRGGAAADLPALRPPGRRRVPGVRRPPRRQQHSWQQHSRVQPARQQQPPWVHARQRQHAPLRRRLPVQRLRRHARLPHRRLRPRARQQAL >Sspon.02G0004730-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:14414311:14417841:-1 gene:Sspon.02G0004730-2B transcript:Sspon.02G0004730-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQQTAPPPPPPYRPYRQVRQATPHSRAVSCVRFSPCGRLLATASLDGTVALLSPSSLAVIAVLRGHSDGVSDLSWSTESYYLCSASDDRTIRIWDIRPVLAGGAQAAAADPGADRCIRVLKGHTNFVFSANFNPQTSSQIASGGFDCTVRIWDVKNGRCTQAIEAHSEPVTSVHFIRDGSIVVSGSHDGSCKIWDAKTGACLKTVIDEKKPAVSFSMFSPNGKFILVAMLDNSLKLCNFATGKFLKVYSGHVNRQYCIQSAFSVTNGKYIVSGSEDNCVYIWDLQGRNILQKLEGHTDTVISVSCHPTENKIVSGGLDNDRTVRLWVQDS >Sspon.07G0030490-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:8652713:8653617:-1 gene:Sspon.07G0030490-1C transcript:Sspon.07G0030490-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding PARQQELGWGLRQRIIEGIGRGLLYLHEDSRLTIIHRDLKASNILLDANMNPKISDFGLAKLFNIDSSVWRHWSRGSVRQLLDGCPAEGRRPQEILRCIHVGLLCVQEDPYLRPSMASVVVMLNSRSITLPTPAVPAYALHGRAATAVNPPRGMNMGPIAAAREPSINEASVSELQPR >Sspon.08G0007830-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:26387822:26391213:1 gene:Sspon.08G0007830-3C transcript:Sspon.08G0007830-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAASLLALRLLLLVGVAAAGVGAAAVGVSGGGQLWCVAKNNAEDGALQSAIDWACSVDGGRADCAAIQQGGACYDPPDLQQHASYAFNDYFLRSGGAASPAACDFSGAAALTALNPRTTTYGPTGADFSNSFSWKLNFWSRLLHISSSV >Sspon.07G0032770-2D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7D:55085558:55087405:1 gene:Sspon.07G0032770-2D transcript:Sspon.07G0032770-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At3g05340 [Source:Projected from Arabidopsis thaliana (AT3G05340) UniProtKB/Swiss-Prot;Acc:Q9MA85] MRDAWSPLLNYAHLSGLLAGCGRAGDLRLGTALHAILAKNPAHFRLCPHRASLHHVLAVWNSLVAMYARCGRRGDAARAFDEMPLRDSVSWNSLLAASASATDALALLRRMLRAAPGAAACDHATLTTVLSACARVDGGGDAGAGAASLPVVHGLAVSCGLDAEVSVGNALITAYFECSSPGSAERVFDAMADRNVITWTAMVSGMARAERYRESLSLFQQMRHAVDANRASYSSSLLACAGSLAAREGQQIHGLVVKAGFETDLHVESELMDMYSKCGLMEDALRVFRSCQDPDEVFLTVILVGFAQNGLEEKAFELFAEMVEKGIHIDANMVSAVLGAFGASAPFALGKQIHALVIKKCFGGNTYVCNGLINMYSKCGELQQSIEVFDGMQSKNTITWNSIIAAFARHGHGSEVFGLFESMKADGVKPTNVTFLSLLHGCSHVGSARKGLEILNSMSSQYGIHPRVEHYACVVDMLGRASQLDDAKAFIEDGPFKDSALLWQALMGACSFHKNSEVGKYAAEKLLLLDPDCTAAYVLLSNIYSSEGRWDDRGRILKRMREKGLRKDTGKSWIELEKEVRSFVMAPRSHPDVVMMQSSALVASDQEDLVESNAL >Sspon.02G0035350-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2B:18622568:18631229:-1 gene:Sspon.02G0035350-1B transcript:Sspon.02G0035350-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFEGPMDINTNDNVAGGLNRSWNILNWNIRGINSDDKCNAVKEKIDESSCAVFCIQETKRDHFDHSFIRKLAPKRFNKYAFSPSDGASGGILMGWNSSVFKGEVVQINKFSITVNFSSTHNGRMWTLTTVYGPCQGPDRDEFVQWLNNLHFEEDDNWMIMGDFNFYRSLSDRNKSGGNMNDIMIFNEIISNLGLLEIPLKGRKFTWSNMQDEPLLEQIDWVFTSVNWISEFPNTLLLPMARPTSDHIPCKIQIGTSIPKAQVFRFENFWVDHPGFFDLVKSVWATEVNAPNSASRISAKFKLLRAALKKWGKKLSNLNNLLKNCNNTLEVLDALEEQRTLFIQEYNFRAILKAHILRLLRYKKAYWKKRYTVRWTKLGDEGTKFFHAAATERYRLNTITSLDTDDGRTVTDHNEKATLLLEEYKKRMRCSSNPTMLYNLTELIQPSDDLEHLSRPFSVLDIDKVIKQMPADKAPGPDGFNGLFLKRCWDIIKEDIYTLCFDFFNGTLDLESINCSFITLVPKVHNPTSANDFRPISLLNCVLKIITKLLADRLQTKIIPMIHTNQYGFIKTRTIQDCLAWAYEYIYQCQHSKREIVILKLDFTKAFDTIEHNTIIHMMRHLGFADKWLDWIQRILGSGTSSILLNGVPGNHFRCRRGVRQGDPLSPLLFVLAADLLQCIINKGYQNGLFELPIPSYELDQYPIIQYADDTLLVMKASQKELFTLKGLLESFAQSTGLRVNYNKSCLVPLNLSDSKAHLLAGVFGCKIESLPFTYLGLPLGTTKPRVDHFGPLMSKTERKLTATSNFLTQAGRLQLVNSVLSSLPTFAMCTLQVPATVLEYIDRARRHCLWRGSDINAKMKPLVAWKKCAKPKRKGGLGIINLRSQNKALLLKHLDKFYNKKEIPWVKLIWNAHYSNGQVPHATIDRGSFWWRDILKLSDLFRGIAECKIGDGSSVLFWSDLWNDNILQTKYPRLFSFAKNKNITAAQFLSDNSLETQFHLPISEQAYQEFQSLQDYIQTIQVHHNTKDSWQYIWGNKSYSSSKFYNLPYKNVQPPAPFIWIWDSRCCNKLRVFSWLLLMDRLNTRNILKRKKHKLEGNNYNCILCSNNLEETAFHLKTNLVLYPFPCFRFSTARTRLAPRARARLALRAPRRRVPPRRTAVARPSSAAARAPSPLLSMAAPPSGGSSSSAPAAAAAAKLRDEALAAVKKLEDEAASLRDSNVERSQQLQEEAALLKSAADAQERVRAAAAALDAEREQADALERQASALRAESLRDDASDDDDLRSVTSEAVAIARLHSQAAAVQNIKNLIPIVLDLQSSNYSKWRGYILLALGRFALKDHVLSDVVHAQDAAWSRMDCVVVSWIFNTISPDLLDVVHERDGITARAAWLGLEQQFFNNRESRAMLLDAEFRTLCQGALSIDEYCRKMKNMADALADLGEPILDRTLVLNVLRGLNERFQFMSQLVTRQKPFPSFTDVRADLRLAELNMAPPSAPPSALVASSSSKPPAPPPPSAPPRSHQIAGGQQPGNGNSRSRRRRGGRGQGGSQGGSSSNQHQQSQGGQQWPSVLNPWTGSIHMWPGSTPGSSRAPPPRVVQSPSPQQALVAGMSPGYFTPSPSPGAYYQVPQQAPTSTWSPWTAENLANAFSTVTLSPPPSPSEWVIDSGASSHIASNPGMITASPSSSFPSSIVVGNGAILPVVGTGFSTLPGPFCLNNVLLAPDIIKNLISVRKFTTDNSVSVEFDPLGVSVKDLHSKNTLLRCNSSGPLYTLQLPASPSSPCALVATPSPTTWHRRLGHPGAATLQSLAQSSSIVCSKPADDSLCHACQLGRHVRLPFHSSSSRAAKNFDLVHCDLWTSPITSVSGFKYYLVILDDCSHFLWTFPLRLKSDTFSVLSNFFAYVHTQFGCTIKSVQCDNGREFDNSIARAFFLAKGVVLRMSCPYTSQQNGKAERMIRTTNNVTRTLLFQASMPPSYWADALATATHLLNRLPTKTLDMSTPYFALHGTQPAYHDLRTFGCTCYPNLTATTPHKLAPRSSLCAFIGYSPDHKGYRCLDLNTNRVIISRHVIFDETTFPFSRHRTSTPHELDFLTNNELLAPSSSAGTTSGAPAQFPLQAAPEVSEDAPVPLWPPVLARPAPRRPPPGFHTPPAARPAQVLPQAAAPVPAAAPAPAAPAAAAPVPAAAPAPAAPAPAAPPVLPMAEALPRPPVTHVYSRRHPSPADPMAATFQKPPVTQVYTRRPPPSPSSPAAAPPRRSSIIPSPPRYVKDGPPVGAVPVTPVDNNHGMMTRGKTGYIQPRILHAEGLSPIPRSCRDALADPHWRRAMEEEYAAFMDNNTWELVPRPANANVVTGKWVFKHKFHADGSLERYKARWVLRGFTQRPGIDYDETFSPVVKPATVRSVLTIAHSRDWPIHQLDVKNAFLQGTLSETVYCFQPTGFTNSALPQHVCRLNKSVYGLKQAPRAWYSRFASFLLSLGFTEARSDTSLFVYRHGSETVYLLLYVDDIILTASSQQLLRRVIDALMKEFAMKDLGPLHHFLGMAVQRRGDSLFLSQRQYALDILTRHGMADCKPCSTPVDTCAKVSATAGPSVADPTAYRSLAGALQYLTFTRPDIAYAVQQVCLHMHDPRESHLVAAKRILRYLQGTLDHGLAIPRTSPTQLVVYTDADWAGCPDTRRSTSGYAVFLGGSLVSWSSKRQPTVSRSSAEAEYRAVANGVAEASWLRQLLQELHHPLDKACLVYCDNVSAVYLSTNPVQHQRTKHVEIDLHFVRERVAIGAVRVLHVPTTSQFADVFTKGLPTSVFQDFRSSLNVRCCDVPTAGGC >Sspon.01G0062020-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:99613046:99617891:1 gene:Sspon.01G0062020-1D transcript:Sspon.01G0062020-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRSPPPRLSKTKPPPCAPSNSERSQQLQEEADLLKSAAAAQERVRVAAAALDAERAAADALELQAATLRERLRGRDEDVHDDNDASTEAAAVAHLHSQATAVQNIKNLIPIVLDLQSSNYSKWCGHVLLVLGRFALKDHVLSDASHPADTAWSRMDCVVVSWLYNTVSADLLDVIHERDGVTARVAWLGIEQQFLNNRESRAMILDAEFRNHCQGSLSIDEYCHKMKTMADALADLGEPITDRAMVMNVLRGLNERFQFVAQLISRQRPFPSFVDVRADLRLAELTMGTTSAPSMALVASSSSRPPAPPPPSGAASSRPPQPAGGAAGGASSGGHRNRRRHGGRGQGGQGGQGGHNSSPGTAQWPSLLNPWTGSIHMWPGSTTGSPRGPPPRTGAALSPQQHALLTGGSGHYYSAPQAPPPAWAPPPPQAPSSAWAPWDAQSLASAFSTVSLTPPPSSSDWVLDSGATSHIASNFGMVTMSSTSSFPSSIVVGNGATLPVIGTGYSLIPGPFHLNNVLVAPDIIQNLLSVRQFTSDNLVSVEFDPLGVSVKDLHTRATLLRCDSSGPLYTLQLPSSPTGTCALVATPSPTTWHRRLGHPGKASSEPRPFGCTIKSVQCDNGREFDNSAARAFFLAKGVVLRMSCPYTSQQNGKAERMIRTTNNVTRTLLFQASMPSSYWADALATATHLLNRLPTKTLDMITPFFALYGTHPSYHDLRAFGCTCYPNLTATTPHKLAPRSSLCVFIGYSPDHKGYRCLDLNTNRVIISRHVIFDETTFPFSRHRTSAPHELDFLTNDELLAPSSSAGTPLPTSGAPAQFQLQAAPEVPDDAPVPLWPPILAGPAPRRPPPGFHAPPATRSAPVLPQAAAPAPPSPTRLPLAADPAPTAPPVLPMAAALPPPPVTQVYTRRPPPPAAPMAAAFQKPPVTQVYTRRPPPPPSTPATAPPCRSNIIPSPPRYVKDGPPVGAVPIAPVDNNHGMMTRGKSGYIQPRILHAEGLSPIPRTCRDALADPHWRRAMEEEYAALMDNNTWDLVPRPANANVVTGKWIFKHKFHADGSLDRYKARWVLRGFTQRPGIDYDETFSPVVKPATVRTVLTIAHSRDWPIHQLDVKNAFLQGTLSETVHCFQPTGFANSALPQHVCRLNKSLYGLKQAPSAWYSRFASFLLSLGFTEARSDTSLFVYRRGSETVYLLLYVDDIVLTASSQQLLRRVIDALMKEFAMKDLGPLHHFLGMAVQRRGDSLFLSQHQYALDILARHGMADCKPCSTPVDTCAKVSATDGPSVADPTDYRSLVGALQYLTFTTPDIAYAVQQVCLHMHDPREVHLVAAKRILRYLQGTLDHGLIIPRTSPTQLVVYTDADWAGCPDTRRSTSGYAVFLGGSLVSWSSKRQPTVSRSSAEAEYRAVANGVAEASWLRQLLQELHHPLDKACLVYCDNVSAVYLSTNPVQHQRTKHVEIDLHFVRERVAIGAVRVLHVPTTSQFADIFIKGLPTSVFQDFRSSLNVRCCDIPTAGGC >Sspon.07G0032080-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7C:43890987:43894070:-1 gene:Sspon.07G0032080-1C transcript:Sspon.07G0032080-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding EDHTSHEQSTRVPFGSLPGKGQEPLTITTIGVGDKHLPPHDHPRCSKPSRWQQPPRVTSESRSKTQTPSTSRCK >Sspon.08G0000550-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:567957:570982:-1 gene:Sspon.08G0000550-3C transcript:Sspon.08G0000550-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMAQVEERGGLDSPGLPIDKRLPPDAVKSPLMDDALQPKEGADGYPVKARKPYTITKQREKWTEEEHGKFLEALKLYGRSWRQIQEHIGTKTAVQIRSHAQKFFSKVVREPGASNAIEIPPPRPKRKPLHPYPRKCADNTTMANPPTGEPKNAPISSSSGSDQENGSPVSVLSAMQSDAFGSSDPSMGCTSPASSDDGNNVAEVVNGEENLVTQQIEDDRSRQELKQDNSDGELSEEDSSCVQETSLKLFGKTVIIPDPKKVCSSDGGAGDGEKDSHSSMQEMLQASQLEGWQRTLPTMDGFFHSILSLFICMSQGMLGFPLFIYGGLTMKFWHRLHNEGASESDTGKSPSVESSSDCMGNVQTTTPTNCKVVKESLGPVQTLESGPSVELKPSVNSAFVRVKPSSSCRDQPRNVRCCKLVAVT >Sspon.01G0026390-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:92775416:92776534:1 gene:Sspon.01G0026390-3C transcript:Sspon.01G0026390-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLPLYQQLQLSPPSPKLDQYSSFYCYPCSPPFAAAAADASFPLSYQIGSAAAATPPQAVINSPDQPVQLLMQQAPAPATELGTCASGGAQGAGVSASLDRAAAAAAARKDRHSKICTAGGMRDRRMRLSLDVARKFFALQDMLGFDKASKTVQWLLNTSKAAILEIMADDVDASSECVEDGSSGHSVDGKHNPAEQLGGGDQKPKGNGRSEGKKPAKSRKAATTPKPPRKSGNNAHPVPDKETRAKARERARERTKEKHRMRWVKLASAIDVEAAAASVASESDRPSSNHLNHHSSSSMNMPRAAAAELEERERCSSALNNRGRMQESTGPSDVVLGFGNGGYGGSGSGNYYCQEQWELGGVVFQQNSRFY >Sspon.05G0019000-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:80776479:80781038:1 gene:Sspon.05G0019000-4D transcript:Sspon.05G0019000-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPDADAAGGGAPAGGGGGAEAGAGASSSPASAAANERALVRWDQILPRRSLRVLLVEHDDSTRQVVTALLRKCGYRVAAVADGMKAWEVMRARAYAFDLVLTEVAMPSLSGIQLLSRIVAADECKNIPVIMMSSQDSIGTVLKCMQKGAVDFLVKPLRKNELRNLWQHVWRRHAMNCQTNGSENNAASNHISANVANGSKTGENSDEESDAQSFGSKRETEIQSVEKLPETRRDEVAGSSKKIEQQNMSYDDGVNTKVDASKDSDGAPSGSEKNVRPKCLNNGITSAKVAEQIMDNALRITDASSRRPSNLGKDLGMTEPAADKKCQSSVMENNAVKKGAAIGHADSCPSQFLETNLGKQHHLNGYKNQEFREKDIFSHSNSSAFSRYGNKRIEPSGEIQFFPSLCITGQEHVHGKDPVFQPNGVLVPPNEHNTGESTRQARITLDSSMEGAAIMCSSSAREDAGASSSSHRKDNMSHPSYGFIPVPIPVGPGMPYHYAAILQPVYYPQGPLMHCDSAGINKAAIQHAPGQSNYHEAPVKPSQVDEHKQSEENHQLHHSRQILRESGEPIDMARAHMDRANQSASCSQAICKGSGCTGSGEADINANTMVALESGNESGIQNCDRSCREAALMKFRMKRKDRCFEKKVRYHSRKKLAEQRPRVKGQFVSQKLKSATTTEAETDS >Sspon.02G0016960-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:110517412:110519560:1 gene:Sspon.02G0016960-2B transcript:Sspon.02G0016960-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heat stress transcriptioon factor, High-temperature stress tolerance, Tolerance to environmental stresse [Source: Projected from Oryza sativa (Os03g0795900)] MNHGNGMLNSVKVESWPSVVAANGQPRPMDVLHDGGPPPFLTKTYDMVDDTTTDAVVSWSATNNSFIVWDPHIFGTVLLPRYFKHNNFSSFVRQLNTYGFRKVDPDRWEFANEEFLRGQRPLLKNIRRRKPPHSSPNQQSLGSYLEVGHFGYEEEIDQLKRDKQLLMAEVVKLRQEQQNTKSDLQAMEEKLQDTEQKQQQMMAFMARVMQNPEFMQQLISQREMRKGLEDAISKKRRRHIDQGPEADSMGTGSSLEQGSQAVFEPLEPIESLANGVPSDLESSSVEAKGFEVQQGVSSGGSERLNGRPSAELNDDFWEDLLHEGGLGADA >Sspon.01G0010590-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:29838322:29839952:-1 gene:Sspon.01G0010590-3C transcript:Sspon.01G0010590-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNGLMGDIGPTSSGGAVQPIQEKDGAWEQANQTNPKLQSQAQAQAAPSSRLEPIDPPIRSDLMFPTVDDPSAAGAMVSSSFPDADAYGNGDSDDLDFPVDPNPNPVFSSSPVAAPAAAPASGSGAAERRPLFQRLWTDEDEIVILRAFAEFTAQRGTSFASHQYDTTPFYEDMRRRLNTGFTKNQLVEKIRRLKRKYRNCVERLRVAGAGFTFRSPHEQAIFEIARTIWRPASDKHGRDSDDEGGGGGGNAHDAAFAMPPFGIDAAAPNGGESVKSPTSRPRRGRRRRTGDFPADAVAETLALPPAPMQMPVMTEDALPSYPQVTAAAVMDGGCGISVDPASGLPAALSAAAAATAVSGSSTAENPILAAMFKEMVRAMLSVGGGGTTALLGLEPPPPIAGVPMEGEKWRQQRIRELEVYLRRIDLLQDQARAALEELRHEHLIILGSAAPAPVFLQIEHRP >Sspon.07G0005220-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7C:8870524:8871183:1 gene:Sspon.07G0005220-2C transcript:Sspon.07G0005220-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPKLIALFFAFAMAAAALHPSEAARVQVQQAFKPAAAGQEAAEKVADQAAAGGVARPSTPPAGPGIPAGLPPNLLAAILGLLFPGLGGIIGLLQPLIPLLPPPGSSSPPLQGGAGNLGASLTSTSSTPPPPQPTECMTPLAGMLPCTDYLTNITVLTPPGECCDGLKSVIGDAPICLCHGMNGDMNQFLPKPVDPIRMLILPLACGTMLPLQTLFACN >Sspon.08G0009760-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:36772432:36779690:-1 gene:Sspon.08G0009760-2B transcript:Sspon.08G0009760-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDDDDDGVDPQIEDVNDYYFEFEDEDGEREPVCFSILPFQFGEDDNEADFSRKNVFLRRFADKSVPVYKEVVAWKIRLDNEQPNIYVLSIKHKWIKLLKPRKCYGEFARSILITVQMLHFVRRGGQRRSLNHLWDHLDEVFGKYNPKPVADDFMKHHTLIKLFVEKDQTLMKSKILQRLIKNGFERTKKLLGCETSLTRQQLWRKNCWGCEGPCKRSFHATEKDGRESKCETLGYTSAKVKRIGTFLCANCKNKQHQCFRCGELEPSHGPNAKCIAQLLDPNATDGACELERRIMAGMSFPCPIHWCFKCGRMENKTQRALRLAVCRRCPRAYHRECLPRELSLGAKDKDGNPRAWQLSKTIFIYCLDHEIDKDTGTASRNHIKFPATAECNKTKELGNSKGRMTGKRRKNTDQSTKPTELSNRLCGAESEQVDNVGAKSTSPQIVVEPHCAAKLLRGDPQIEQSIIGVGASQNGAETMNGHEKQFGISSCVASTETEKRVTCLAQKGTCLGTPYDGPSTKDMSDCSVEDNPVDKDVELDNVACRITEDKYTNGREKTQEDCTRKETAQRKDSSENQGQNDVLELDNLLVEIQADGSPLEPGNKRDNEWQENAYGLGSASGHEKESSSRRENPESDRGMPPRNDSKIIDYRKGGTVDNVDDHSSEGSYSCQGEWSRSKCNDGLVAIDQDTSSDRSQKRSQPVENASDGNKTDLDNNKKHNLREDGRDADYEDRTERNTAADTSRYKCRDKTQSDRREEEPVGRNTRTRSREHSPGRQRMDRGDSYPGTYNRQRYESLHSVNSSRSGGDDRRQLSPCQSSFPSPEFGGNHSHLYPRDSQYRTSGRHNPPQYLGIPLYRPYTAASMGEHSAMCYRLAGGYGEGSCSSRPVTERYAPHLDRTNCQPRSQSDLQASRPVTERYAPHLDRTNYQPRSLSDLQASRPVTERYAPHLDRTNYQPRSQSDLQYELRLVQALHNNNLV >Sspon.01G0036990-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:16676929:16683255:-1 gene:Sspon.01G0036990-3D transcript:Sspon.01G0036990-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPIPREWVGLQQFPAATQTKLHELLGKLKEEDVSTLTILVMGKGGVGKSSTVNSIVGERVTTVSAFQSEGLRPMMCSRTRAGFTLNIIDTPGLIEGGYINEQAVDIIKRFLLGKTINVLLYVDRLDAYRMDTLDEQVIRAITNSFGKDIWRRSLVVLTHAQLSPPDGIDYNDFFTRRSEALLRYIRSGAGINKREYGDFPLPIALVENSGRCKANEHGEKILPDGTPWVPNLMKEITVVISNGSKPIHVDQKLIDGPNPNNRWKMFIPLILAVEYFLVVKGIRRVIHADIANGKVDEWEQRYRDLVGSRDPIEQKGSTSRNRKA >Sspon.01G0028460-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:99460429:99464182:1 gene:Sspon.01G0028460-1A transcript:Sspon.01G0028460-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cleavage stimulation factor subunit 50 [Source:Projected from Arabidopsis thaliana (AT5G60940) UniProtKB/Swiss-Prot;Acc:Q8L4J2] MNEAVQEAKLLRQVNALIVAHLRSQNLGQAAAAVAAATMTPISAAESVPANQLLRLVAKGLAAEGGGGAAASAFDSAGFGGVAQPLGSSAVDFRSVDAGAVLCSMQDVKGSSKSFPKHEVKHVSDHKSAARCAKFSPDGKYFATGSADTSIKFFEVAKIKQTMVGDSKDGPARPVIRTFYDHTQAINDLDFHPESPILISAAKDNTIKFFDFSKTNARKAFRVIQDTHNVRSVCFHPCGDYLLAGTDHPVAHLYDINTFTCYLSANAQDSSSPINQVRYSCTGSLYVTASKDGSLRIWDGVSAECVRPIIRAHGSAEATSAIFTKDERYVLSCGKDSCVKLWEVGTGRLVKQYAGAIRRQFRCQAVFNETEEFVLSVDEQNNEVVVWDALTAEKVARLPSGSTGAPRWLDHSPVEPVFVICGNDRSIRFWKQTV >Sspon.05G0014140-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:5A:51291291:51291533:-1 gene:Sspon.05G0014140-1A transcript:Sspon.05G0014140-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLLLLFLLLLLPSPYVQAQQNISLGSSLTPQGPGSFWLSPSGDFAFGFRSIKGKASSFLLAVWFSKISDRLGMPRQVV >Sspon.02G0034390-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:15499485:15502026:1 gene:Sspon.02G0034390-2C transcript:Sspon.02G0034390-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNHPGSVKMSDSHETDRNIEIWKIKKLIKALESARGNGTSMISLIMPPRDQIARVAKMLGDEYGTASNIKSRVNRQSVLAAITSAQQRLKLYNKVPPNGLVLYTGTIVTEDGKEKKVTIDFEPFKPINVSLYLCDNKFHTEALNELLESDDKFGFIVMDGNGTLFGTLSGNTREVLHKFTVDLPKKHGRGGQSALRFARLRMEKRHNYVRKTAELATQFFINPATSQPNVAGLILAGSADFKTELSQSDMFDQRLQAKILNVVDVSYGGENGFNQAIELSAEILANVKFIQEKKLIGKYFEEISQDTGKYVFGVDDTLKALEMGAVETLIVWENLDINRYTLKNSSTGEITIKHLNKEQEADQSNFRDPSTNTDLEVQEKMSLLEWFANEYKKFGCSLEFVTNKSQEGSQFCRGFGGIGGMLRYQLDIRSFDELSDDDDLYEDSD >Sspon.06G0029020-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6C:14184523:14185080:1 gene:Sspon.06G0029020-1C transcript:Sspon.06G0029020-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPSFACEVDLTDVVEQLYGVLCELGHRMTLTLDAAHHSRGERVVGLLGGPCTGSLLLLSRAPPPPGRSILGAVNDGVAGRGWARHGTGHSGGNGGAGGRWDRAECVGRRGGQAVWEDAGGDRPGWAETGGVRGSSGLRRKIRPGEGGTMTKRWGPCGVEAPEWRAVTLAAARRQSGVPALGGE >Sspon.08G0012580-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:51741765:51743639:-1 gene:Sspon.08G0012580-2C transcript:Sspon.08G0012580-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQEGDTSTPPSLRQATLAAAQKRAKNGALLPSGPRKLGGNSEIMSALSPVQAAAMAAERRMYDDLWCGSHDQSGIDDSDDVIIIQESPNLVTRDGKNTKASCSNTSAEPSTSSGIHIAARDDRTSDAFDSSKWECACFSLTHLQPLAPICEVCGTAKPKIAKAKTALSLTNARHVINGDTHMGHLWPHMAQAMI >Sspon.06G0013040-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:82749508:82752150:-1 gene:Sspon.06G0013040-2B transcript:Sspon.06G0013040-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSLVRNVCRAGSRGAAARLLELAAAPVGAAHPGAAQPSSAVKHLRQPYRFARPIGVGQSGRVQSRALPAAAASGFGLCAKALVATRGLSTVGNVAEVASDSDDSTSLAVEHPPRIKFKRPDKTARHIMNILNKEAVEKVRSEREIPDVQPGCMIQMRLQVPENKRRELTLRGIVLGRRNAGINTTFRLRRLVAGVGVESVFPLYSPNIKEIKILDRKKVRRAKLYYLRDRVNALKK >Sspon.06G0006240-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:19944125:19946043:-1 gene:Sspon.06G0006240-2B transcript:Sspon.06G0006240-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MIWPRSAARRVLAHQFVSEFSGFEKTRMQGEQSETVKYRVFAATWNVGGVAPPDDLSLEDWLDTKTDSYDIYVLGYSTLPTDLSCISLHQRCRSSGWCSKKESSIATFARCRFQEMVPLNARTVLGPKQRRAAMKWQVLIGDALNNTRQGDGAMHDQGHGGVFRCLLSKQMVGIFVSVWTRSSLRRHVRHPAVSSVGAGVLGRLGNKGAVSVRFLLHGTSFCFVCCHLASGGEDSDALRRNADAADILSRTSFLCSGGAPAAPYELPKKILGHDRVVLFGDLNYRIAMDDDDEARQLVRARKWSMLLENDELLLELSSGRQFDGWHEGHIAFAPTYKYRRNSDQFYWCDRILWRGKGMKQVRYERCGGYRLSDHRPVRAVFHAVCELVEGVDA >Sspon.03G0026490-3D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3D:2922065:2926091:-1 gene:Sspon.03G0026490-3D transcript:Sspon.03G0026490-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKNMQVARIIPDAGALELGIWSLPDDVLHKVLILLKPRDLIRMAATCHHLRTLAASVMPCMKLKLFPHQEAAVEWMLKREQNVHVLAHPLYKDFCTEDGFPFHINVISAEISTGDAPTINDFCGGMFCDEPGLGKTVTTLSLILKTQGTMAYPPHGVDVSWCMHKPDKKCGYYELSASCSSNRNSSSSVSKKLLEEDVITDYPCPDDSVCSTRSSRKRGRLLSPDPTKVMLHAAIENSPSSSHSKVHSMPATHILKFTKNSRQVRKNLMDAYSDVSVGNKRKIGTSSELSETWVQCDACRKWRRLSNGTVLDSTTVWFCTMNTDPTRQKCTAPEESWDFKRKITYLPGFYKKNSLPGNEENVTFFTNILKDNVTMINSETKKALLWLAKLSPTKLLEMEFVGLTRPVLDTRATTGKGARPYYKIFQAFGLVRKIEKGVTRWYYPSMLDDLSFDSAALGAALEKPLDSTRFYLSTATLIVVPANLIDHWTTQIQRHVSSDTLNVFVWGDHKKPSAHNLAWDYDIVITTFSRLSAEWGPKKRSPLKQIHWFRVILDEGHTLGSSLALTNKLQMAVALVASNRWILTGTPTPNTPTSQVAHLHPMLKFLHDETYGENYQSWDSGIHRPFEAQMEEGRVRLVQLLQRTMISARKADLKNIPPCIKKITYLDFNEGHAKSYNELVVTIRRNILMADWNDPSHVESLLNPKQWKFRATTLKNVRLSCCVAGHIKVAEAALDSEKCTLPGCGNHYEMQSPETRARPENPNPKWPVPKDLIELQPSYKQDDWDPDWQSTSSSKVAYLIEKLRSLRETGNNITNSVGHANTPSYQTQAMFDKVIIFSQFLEHIHVIEQQRSALMKFQEDPTCMALVMDGTAALGLDLSFVTHVFLMEPIWDRSMEEQVISRAHRMGATRPIHVETLAMRGTVEEQMLKLLQDSSACRKIVNKGTGSTDNDGGRSHRSLHDFAESSYLMQLSSV >Sspon.02G0045810-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:87059117:87069223:1 gene:Sspon.02G0045810-2D transcript:Sspon.02G0045810-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKISALLTSAGINIGLCVLFLSLYSVLRKQPANVRVYFGRRIAEEHDRLRGAFILERFVPSTGWIVKALQCTEEEILAAAGLDAVVFNRILVFSMRIFTLAALLCVFGILPLNYFGQDIHHVRIPSESLDIFTIGNVEVKSRWLWVHCVTLYIISGVACILLYIEYKHIARLRLLHLTSATPKPSHFTVLVRGIPKADKESCSDVVDGFFTKYHSSSYLFHQVVYKVGKVQKIMTGAKKAYKKFKHFTDETVDQGCRTITYRCCLCGASSNSFKLLNTECEQNRGKADNKSILDLDDEECTAAFVFFKTRYAALVASEILQTSNPMKWVANLAPEPEDVYWSNLWLPYKQLWARRIATLLGSICFMFIFLIPVTFIQGLSQLEQLQQRLPFLRGILKKKYYMTQLVTGYLPSVILQIFLYTVAPIMMLFSTLEGPTSHSERKRSACCKVLIFTVWNIFFANVLSGTVISQLNVLSSPKDIPVQLAKAVPGQATFFITYVLTSGWASLSSEVMQLFGLIWNFIRKYVLRMREDTEFVPSFPYHTEVPKVLLFGLLGFTLSVLAPLILPFLLVYFCLGYVVYRNQLLNVYRTRYDTGGLYWPIACNTVIFSLVLTQIICLGVFGLKESPVAAGFTIPLIILTLLFNQYCRNRLLPLFKAFPAQDLIDMDREDERSGRIDEIHHGLHSAYCQFPDTVDVPLEKIEIVGGDEEQGSTSGESSGKETCEDPKKDLSHPTLKGLPVNRLRQAVKSVTFLIRLQKRGLSEQKIG >Sspon.01G0015860-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:52880570:52885525:1 gene:Sspon.01G0015860-2B transcript:Sspon.01G0015860-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDYGHGGGQMRGNPDSRPRGQGQRPNVQQLKLMGQIHPTGLTPNLLKLFEPRPPLEYKPPLEKRKLPAYTGMAQFVSHFAKREDPEYAPPVPKCETRAEKKARIRNNKLEQGAAKVAEELQKYDPQSDPNATGDPYKTLFVARLNYETSEQRIKREFEGYGPIKRVRLVTEKDTSKPRGYAFIEYVHTRDMKRSNSMVDALDRKSLGGMIVVPIGTVKNLVKGYVKETVRRGPVNVRMTGLVIEIHEMRSITIETVRGLGTGREERTAKETMAVTVTVIVVTGTGIVVGTMIEKGTTLALMIAIVREAGTVVKEIMSAPVMNATVVTCMRGMQTMAMVEQSMTKICLVTGRIMALVSMSNTKGMTHMVMVKMDVGMKLNTQSGMSTSIIVLTHMVKWKPTIRCSQTMLNQKALRKVRHMRKATTSITRLVNHMNEA >Sspon.01G0008610-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:23927704:23930783:1 gene:Sspon.01G0008610-1A transcript:Sspon.01G0008610-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMGLPGLRCCRLPAARGLLPPLAGDSIGEEVLRMFLEERQLHGDFVTKISDMVWRRNGANVDAAEATTGQGSAVDVAQPEDVREDAVDEAMLRLAATRDWVSGDSSPPLSKRLSAKDRQNESDKRKELNLLKYEALKDELLLLTTGIGAACSLYCLLVFSLETAISYAFGVGFSPSQLRRFLLMMSAEVLTSASSILKLVALILNSCLYLQLLYRHADNLSKEDIPEVFLRKKVKKIGITSEDLKNTIEKTLGGAGVALSSPRLVIPAVIFGLSALSDHFQNSIFSFEVLPGMMGFLAYKAAALVQVYRDNEDLRLILPEEEDADSDST >Sspon.03G0041340-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:39572740:39574836:-1 gene:Sspon.03G0041340-1C transcript:Sspon.03G0041340-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGFLRRGHSLDRFLSRTRRAVSPSPSFSSSSSSSPSSPRGSSVRSGKMAEDDDDAPTTAPPPLPLQKRVLSRSHGSRAATPARSQDLPPVPSKTVRDSGPPSELDLMKEKFAKLLLGEDMSGTGKGVSSALALSNAITNLAASIFGEQRRLEPMSAERRARWNREIDWLLSVADHIVEFVMGTQQRDDLLVNIPALRKLDAMLLEYLESFGEAQEFWRGEDDDGTCTCDKWWIPTVRVPAEGLSEASRKWLAAPEGAVGQVLKAAMAINADVLAEMEIPEEYIESLPKNGRSILGDSIYKIITDDIFDPNELLQSVDLSTEHKIVDLKDRIEASVVIWHRKICHKLSWAHAGVSLEKREEFEERAQTALLILKHRFPGIPQSALDISKIQYNTDVGYALLESYSRTLESLAFAVLSRIEDVLHADAVACDPNKRTKSRRRPSLESPVPDAATAEAHHGSCVHWQDQDAEDGEKHPPDGNGRKLKKIHRVVTKKFLHTQQIDNVASGLKSFTHR >Sspon.07G0010010-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7A:29373552:29376980:-1 gene:Sspon.07G0010010-1A transcript:Sspon.07G0010010-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAIGSRRLTVLREFRPHGLAVEEADGEGAPGAPPPQDYDYFLFDPALAVSPNPDPSDEASSSGADGDHELFIRGNQMNAISDALLCVLQVDTLSLYNITGEIVSIPLPYAVSSIWPLPFGLLLQKSTDGGHMVSSSSSLLNARDLNRPSKEYGLTYNVPFQANTLETDSKANGAIISSHLILKHPLEEPQVFLATDIDGMPIISFLLHEQKILLAVRIQVDDTTEEALGDIKPHMSWNIPAFAAVPVVVTRPR >Sspon.07G0012840-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:52176228:52180610:-1 gene:Sspon.07G0012840-2B transcript:Sspon.07G0012840-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MCDTAPLFASFFEFLFVTLVMMNGTSCPECPIVVPGRLIIKNEDLEELRELGSGTFGTVYHGKWRGSDVAIKRIKKSCFTGRSSELERLAHEFWREAEILSKLHHPNVVAFYGVVKDGPGGTLATVTEFMVNGSLRHVLQRKDKYLDRRKRLIIAMDAAFGLEYLHSKNIVHFDLKCDNLLVNLKDQSRPICKVGDFGLSKIKRNTLVSGGVRGTLPWMAPELLNGSSRGIVNNTLRPPVPASCDPEWRRLMEQCWAPDPAQRPAFTEIAGRLRSISDMNKGLISTNTLWDEELEGVVGIWQPIRGTNAFNLEPRFLVIPTLELDMLELHEAELEFACHVPACRRCRGVDVHGGGERAYPDRRDGMAERCTRHVQQEQVLGAAADGGEEVEANKEVDEEGLAGTDLSSARPAVRCLEVRNERRYSADEAAGVVGTAARRVRALQEVLLLGVVCSPHVAAIRRVWTRHADGVHDALHGVAPLVAARHRDAAYVAVDGVLEALGA >Sspon.01G0054620-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1C:63699223:63699507:1 gene:Sspon.01G0054620-1C transcript:Sspon.01G0054620-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MYKEECSPDRRVVSLMEVLANLACKLHRLGEHVWAWLSSWSCGHVAAWCDVVLLCRPWWHYRHGGGLPAVLCDMVLTVVFVIAHWLPRALYRSW >Sspon.02G0028850-2P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2B:102959350:102959585:-1 gene:Sspon.02G0028850-2P transcript:Sspon.02G0028850-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GNGTITLREQVELFAKTKATILRAGLVGRESLDGLLSRSLFVISAGGNDFPAFVHSGLPMSRAPEFMAGLVADYLRYI >Sspon.04G0010990-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:33647336:33653988:1 gene:Sspon.04G0010990-1T transcript:Sspon.04G0010990-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTVDAATSTKISEAVKTKGGAFLEAPVSGSKKPAEDGQLVILAAGDKALYDGVVPAFDVLGKKSFFLGEIGNGAKMKLVVNMIMGSMMNALSEGLCLADKSGLSPQTLLDVLDLGAIANPMFKLKGPTMLQGSYNPAFPLKHQQKDMRLALALGDENAVAMPVSAAANEAFKKARSLGLGDQDFSAVYEVVKGAGGSGSGQA >Sspon.08G0006040-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:18874779:18879044:1 gene:Sspon.08G0006040-2C transcript:Sspon.08G0006040-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding STIQISIYGSQSLLLPEGVRDRTIEVFCVIRLYVGDDYRYHLYINLILLLLTNERSQTLKCSHYVPAVIPENTALPCVVYCHGNSGCRADANEAAVILLPSNITLFTLDFAGSGLSSGDYVSLGWHEKQDLKCAVSFLRNNKQVSRIGLWGRSMGAVTSLLYGAEDPSIAGMVLDSAFANLYDLMMELVEVYKIRVPKFTVKMAVQYMRRVIQRRAKFDIMDLNVVQFAPKTFIPALFGHASNDMFIQPHHTERIHQAYAGDKNLIKFEGDHNSPRPQFYYDSVSIFFYNVLHPPQFPSTCTNKFDKYYTLVLLRVVLVPMRAYCMRSSMVYGQLALMQEVHQLLQLISQMDKANRQTEECCSYTSSNRESWGRCSSLGGASDGSSSGEQPEIPNHKLKSMTLRALATPLRRLRRKPLPLTIPKEKKNQSLWKRLKKERQEMGENISQRLRLCLQGQARHKRTKSS >Sspon.03G0008370-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:22627535:22631662:-1 gene:Sspon.03G0008370-1A transcript:Sspon.03G0008370-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVEERQAQKSYWEEHSKNLTVEAMMLDSRAADLDKEERPEVLSLLPSYEGKSVLELGAGIGRFTGELAKTAGNVLALDFIESAIKKNESINGHYKNTSFMCADVTSQDLVLQANSIDLIFSNWLLMYLSDEDSIVFLDLLAEVVLVKWICVIKILKGTCFLNELKLCLNVEQLVQRMVKWLKVGGYIFFRESCFHQSGDSKRKVNPTHYREPSFYTKVFKECHTFDQDGNSFELSLVTCKCIGAYVKNKKNQNQICWLWQKVHSTEDKGFQRFLDNVQYKTSGILRYERIFGEGYVSTGGIETTKEFVDKLDLKPGHKVLDVGCGIGGGDFYMAEKYDAHVVGIDLSINMVSFALERAIGRSCSVEFEVADCTTKTYPDNTFDVIYSRDTILHIHDKPSLFKSFFTWLKPGGKVLISDYCRSPGKPSEEFAAYIKQRGYDLHDVEAYGQMLKSAGFRDVIAEDRTDQFLGVLEKELAKFEKNKDDFLSDFTQEDYDDIVNGWKAKLQRSSAGEQRWGLFIATKSRDCIAGVLWPNTRETTRRQLVPG >Sspon.05G0010850-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:12165099:12168795:-1 gene:Sspon.05G0010850-1P transcript:Sspon.05G0010850-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHFPLSQAANVVTNFVGTIFLLALLGGFLSDSYLGCFWTMLIFGFVELSGFILLSLQAHLPQLKPPPCNMASTSTVGGGGGCEKASGFKSTVFFLALYLVALGSGCLKPNMIAHGADQFDGATPGGARRLPTYFNAAYFSFCAGELVALTALVWVQTHSGMDVGFGVSAVAMAAGLVFVAAFTKRKQVCPSNSNSVNAGACEPARLGNFRHSNKFRFLDKACIPVAPQGPNTKPESAWRLCTAAQVQQAKTLLAVTPIFACTIVFNTVLAQLQTFSVQQGSAMDTALPPGSGSFRIPPASLQAIPYAMLLALVPAYQLLLVPLMKRLTGTRSGITPLQRIGVGLGTVAFSMVAAADVERRRRDAAAEGRQMSVLWIVPQFLVFGVSEMFTAVGLIEFFYKQACAGGMQAFLTALTYCSYAFGFYLSSVLVSLVNRVTARHGGGGWLGDNDLNKDRLDLFYWMLAALSVLNFFCYLLCARWYNAGADGSDAPASAGQVASEVDDGKEII >Sspon.07G0008550-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:21606609:21610832:1 gene:Sspon.07G0008550-2B transcript:Sspon.07G0008550-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSAVASSFPSRGHAAPFGGSRALPPPPSTRRSTSLRRPRVSALGGGLEGEAGKILDPRATPLQILGLDATAAFYSAAQLKAAFRARVKEFHPDVCKDLENADLIMRRVIEAYQMLSGNQEMMVERNNVDPFDEPECEARDIFVNELLCFGTGCPYSCVKRAPHVFSFSDDIGTARATSQGNGEDDLVQLAVGQCPRKCIYYVTPCQRTILEDVLASVLMPPYDLAEAAVLDSLLSKAKFENNRYRKPKRGAKSSSDYVDWM >Sspon.08G0017870-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:3230928:3232363:-1 gene:Sspon.08G0017870-1B transcript:Sspon.08G0017870-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRLAGVLHARGFAITVFHTHFNAPDPACHPRYRFVPVPDGISGPASVAIEDVVARIIALGAACEPHFRDRLAAVQEEDEYSGDAVACLVADAHLLPVFQVAKRMGVPALALRTGSAASYACFAAYPMLCDKGYLPVQGTSLISNSQLDMPVPELPPYRVRDLMQLGKGGHGLIRELLARAVAAVEASSGLILNTFDALEHGELAGIRLDLGAAVPVFDVGPLHKLSPAGGGGGDSSLLLQDRACLEWLDAQPRDSVLYVSFGSLACMTPRDLAETAWGIAGSGVPFLWVVRPGLVRGAGGQSQHQQRLPEGFEAATRGRGMVVAWAPQEEVLRHRAVGGFWTHNGWNSTMESVCEGVPMLCRPYFGDQPGNARYVEHVWRVGFEVGGELERGSVEAAIRRLMTGKDGAEMRARAGELKKAAAECTGRGGSSCIAIDKLVTHVMSLDSSRGEAASF >Sspon.06G0011970-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:64561183:64564985:1 gene:Sspon.06G0011970-1A transcript:Sspon.06G0011970-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MERGNGGSGRPPSPPPPAAPAERKAAWRDGAWVLSSKEINFPYPVALTLLHMVFSSVVCFAITKVFKIIKIEEGMTTDIYISSVIPIGAMFAMTLWLGNSAYLYISVAFAQMLKAIMPVAVFLLGAAFGLEEMSYKMLSIMSVISVGVIVASVGEITISWVGVVYQMGGVVAEALRLIFIEIFLKKKGVKLNLISMMYYVSPCSAVCLFIPWLFLEKPKMDDSISWNFPPFTLFLNCLCTFVLNMSVFLVISRTSALTARVTGVVRDWSVVLLSAAIFADTQLTFINIIGYAIAIAGVVAYNNHKLKAKPQGNPQQGDENKVITGSTRDVVLSMNSGKEIWREDILYNDMLKKSR >Sspon.03G0013510-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:54391621:54396671:1 gene:Sspon.03G0013510-3C transcript:Sspon.03G0013510-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MACRVKVVGQVERVDGESLSYAEFVHRFMAPNRPVVLTGLTSSWRAGKDWTLAGPGDRCRPNLSFFTQNFPSPLVQVADCSSRDFTDQKRLEMSMQEFIDHWVGGAHGGSSDGDRDGSLLYLKDWHFVKEYPDYIAYTTPTFFVDDWLNMYLDSHPIHRDSDIANHKNEINCSDYRFVYMGAKGHTAGQQMFVAENSGCSYHHHKVIWLLIMPRRNMRSSVYDLHDDVSEKQFPEFSKTEWLECIQEQDEIIFVPSGWYHQVHNLEDTISINHNWFNAYNLHWVWNLLYEDYKVAKEYIEDIRDICDDFEGLCQRNLAANTGMNFYDFFVFIVRFALANVIELYHLQQPEVATLPTETAHHLVYNLTSIRNVASKMTTTEAFTTENHLCSISEDNRSAFSNIKQILEEESFRRLLMTLSKAYDHIDRGQTSLKSSTSYLKGCLSVICLKSDCNVVDHITSLVDEVCGPEDLVRLIDTALSDG >Sspon.03G0037240-3P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:92462399:92464141:-1 gene:Sspon.03G0037240-3P transcript:Sspon.03G0037240-3P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPRRPLRMLAAVLLILCSLAVLSAVSAEAKVHHHTWDIAYHKKSLDCVEKLAVTINGESPGPTIHATQGDTIVVTVHNKLETENTGIHWHGIRQIGSPWADGTVGVTQCPILPGDTFTYRFVVDRPGTYFYHAHYGMQRVAGLDGMLVVSAPDGVAEPFTYDEERTVLLMDWWHKSVYEQAVGLASDPLVFVGEPQSLLINGRGVFEPFHCSHAPNGSSAAACNAPKPAGCAPPTLFTAVPGKTYRLRVGSLTSLSALNFAIEGHSMTVVEADGHYVRPVVVDSLYIYSGESYSVLVKADQDPSRNYWAASHVVARERNTTSAMSILSYAGNDPLAPPPTPRPEGPAWDDVRPRVEQSRSLAVAHPDHVMPVPPRPDRALLLLNTQNRIGGHTKWAINGVSLAFPATPYLVSMKRGLRGAYDARPPPETYDYRSYDIERPPPANGTVASAVYRLALGSVVDLVLQNTVALNNRSETHPWHLHGHDFWVLAYGDGKFDPDRDTARFNLRDPIMKNTVALHPKGWTAVRFVADNPGVWLFHCHIEAHVYMGMAAVFEEGVDKVGRLPKSIMGCGRSRTLP >Sspon.06G0017890-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:97105434:97107068:1 gene:Sspon.06G0017890-1A transcript:Sspon.06G0017890-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHNVQHHTDLGGHYAGIWLLKDPNRSVWVKEYKIQMPERLLLFTKPLDVLMDDTLLLLNTFRKAGNNEKCHRYILQFYNSSTKAFTDYMEMAEGFNASLANGFNNNVVCCLFRVPYATDGFNNSIGSSIFL >Sspon.01G0036370-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:18293471:18295311:1 gene:Sspon.01G0036370-1B transcript:Sspon.01G0036370-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPTLILTVLDRFSKCCHFIPLAHPYSAESVAQAFFSEIVRLHEIPQSMVSDQDSVFTLTFWQELMRLTSTKLHMMSAFHPQSDGQTEAANKVIVMYLQCFTGDRPRQWLRWLPWAEYVYNTAYQSSLKDTPFQTRVAAVAKTLAAREELLADVCYRLEQAQAVQKKFYDRLHRPVSYAVGDWVLLRLRQRAASSLPQAPKGKLKLRFVGPYQVTECINPVAVRLALPPRAKLHDVFHVGLLKKFVGAPPATPPPLPPTHHGAVTPEPEQAIRYRLEKGVRQVLIRWKGQSIAGATWEDVADFRDKFPQFQLEDELNVEGGRDVMYGRCYRHHRDTRRAAERAAKAGATISG >Sspon.02G0006030-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:12090716:12095878:-1 gene:Sspon.02G0006030-4D transcript:Sspon.02G0006030-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GMAGRGKAIGAGAAKKATSRSSKAGLQFPVGRIARFLKAGKYAERVGAGAPVYLAAVLEYLAAQVLELAGNAARDNKKTRIVPRHIQLAVRNDEELTKLLGGATIASGGVMPNIHQHLLPKKAASSKAKAIGSGAAKKAMSRSSKAGLQFPVGRIARFLKAGKYAERVGAGAPVYLAAVLEYLAAQVLELAGNAARDNKKTRIVPRHIQLAVRNDEELSRLLGTVTIASGGVMPNIHNLLLPKKSGGGSAKAAAGDED >Sspon.01G0002920-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:7909935:7913778:1 gene:Sspon.01G0002920-3C transcript:Sspon.01G0002920-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHRWDAVGDLQRALDLKLRCLEGGSVELGDAYRDVAEAYAGVLDFDKALPLCSKALGIAEGQFGEDSAEVAKLRRLLMAIYTGLGRHTEALEQIELARMVYERLGLNVELSQAEIDAANIRILLGRSDEAMNDIKRVMQRADKESEERALAYVTMAKILISEERVSDSKRCLEIALGIIDAKDSIDPGRFAEAYAEISMLYESMTQFEMALSALDMSLSLMKKTLAILESAKEMHHIEGSISARMGWLLLHTQKADESVPYLERAVDKLKNCFGPRHFGLGFAYRNLGQAYLEMDQHQSAVKFYRLAIDIIEATFGPTHEDSIDTKQSLANAYGLMGSYKLAIDFQEQVVDAYSRCGSGAFEDLREANRLLEQLKKKAQGLPHAVFPAYSLPVLPENND >Sspon.04G0008560-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:24942056:24942814:1 gene:Sspon.04G0008560-1A transcript:Sspon.04G0008560-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKYIIGSVAASFAFAYVCGVYVADRKVLGGEVPIRLSALMALDITAALSAGTTPRTETDKKFQAWPRTAGPPVAMNPIRRHNFIVKSSE >Sspon.01G0051900-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:18555352:18557041:-1 gene:Sspon.01G0051900-1C transcript:Sspon.01G0051900-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCAGVEGNAEINPSFSAPNSSGTGSKNSSKNATDTSTFGTKTSGSSSSVPPTPRTETEILESSNVRKFTFSELKGSTRNFRPDSLLGEGGFGSVFKGWMDERTLAPVKPGTGMIVAVKKLKLDSFQGHREWLAEVNYLGQLSHPNLVKLIGYCLEDEQRLLVYEYMPRGSLEHHLFRRSSNFQPLPWNFRMKVALEAARGLAFLHGDQAKVIYRDFKTSNILLDSEYNAKLSDFGLAKDGPSGDKSHVSTRVMGTQGYAAPEYLATGNL >Sspon.03G0042540-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3C:70939023:70941017:1 gene:Sspon.03G0042540-1C transcript:Sspon.03G0042540-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLFGWGVVHACWLAWRCASAGGSAFGAGFVAFACVWAVLVLVFSPFTLGFVRGRVILLFALVWAHLLLAYLCNGCFFPGFDLNVRLEEDEDGNLPFDLNDGNELEDGDDNIEFDLNDPEDDDDKAGFALHEPQDDDGDAAFDLNDPLLEHGNGFDLNLLLDEFGAVDFNYVQNLAVQRLWKRGKNQLAHNIPVVVASRKKGRCGRKVVPLDLEQLCNISLKQRMTIEDVSSKLGMSKARIQRFLKKGLLRRHSSSIKPYLTDANKKIRLKWCVDMIEQGLGGDPRFKDFFDFVFIDEKWFYLHKKSEKYYLLPEEDEPHRTCLGMESVFLMKKLVVFHLSLMNMLLEEVTIIFVEN >Sspon.03G0014630-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:69098642:69101864:-1 gene:Sspon.03G0014630-2B transcript:Sspon.03G0014630-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRPSEQAELRRSSFKASVSAADGLRRRVSAMDSIRKESRGSALRRKRCSEAAPHAQSQPPALEKMVGSTLICSFRSVSFPELFFSILRVQLANLTQWAAGLYSDDSSMQFEAAREFRKLLSVERDPPIKEVVECGVLPRFVQLLSREDYPQLQFEAAWALTNIASGSSEDTMLVVNIGAVPIFVKLLTSPNEDAVWALGNVAGDSAKCRDIVHAHGALFPLLQLFNGNPRLSLLRTATWSLSNFCRGEPNFEHVKLALLVLRQLIHSEDEAVLSDACWAMFYLSRDTEDNAWIEAVIETGACPRLVELLSHPSPSVLVPSLLVIGSIAAGDEVHTQRIVDHRALPYLLNLLITNQIKSVKKQACWTISNITAGNKEQIQAVIDANIIAPLVHLVRTAEFAVSNEAAWAISNVSCGGTHGQIKYLVSQGCINAFCDILGHSDTRVLIVCLEGLGNILKVGEQEKDSGACDVNMYAQMIEDADGLDKIEDLLNNDNDMVYQMAAHLLETFWVVEDDVMPSEGNAPQTGIHNSNQQ >Sspon.01G0046410-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:86944390:86952532:1 gene:Sspon.01G0046410-3D transcript:Sspon.01G0046410-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALTTRGGAGAGGGDPAKAPSASDPSLGFLTKRDTEVKLPRATRVKNKTPASIQITAEQILREARERQEPEIRPPKQKITDPHELSDYRLRKRKEFEDVIRRVRWSVSAWVKYARWEEQQRDFARARSVYERALDVAHRDHTLWLKYAEFEMRNRFVNHARNVWDRAVSLLPRVDQLWYKYIHMEELLGAVANARQVFERWMSWRPDTAGWNSYIKFELRYGEVERARAIYERFVAEHPRPDTFIRYAKFEMKRGEVERARRVYERAADLLADDEDAEVLFVAFAEFEERCREVERARAIYKYALDRVPKGRAEELYRKFLAFEKQFGDREGIEDAIVGKRRFQYEDEVRKNPLNYDSWFDYIRLEESVGNKNRIREVYERAIANVPPAEEKRYWQRYIYLWINYALYEELDAQDIERTREVYKECLRLIPHKKFTFAKMWLMAAQFEIRQRNLKAARQILGNAIGMAPKGKIFKKYIEIELYLGNFDRCRTLYEKYIEWSPANCYAWRKYAELEKNLSETDRARSIYELAIAQPALDTPEVLWKEYLQFEIDENEFERTRQLYERLLDRTKHLKVWISYAEFEASAGLGGEDSEGEEKKNEVGYQEQQMERVQKCRAIFERAFDYFRTSAPELKEERAMLLEEWLNKEVSFGDLGDVSLVQKKAPRKVKRKRPIPTEDGSTIAYEEYIDYIFPDEVALAPNLKILEAAYKWKKQKTGDDDE >Sspon.05G0033150-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:26585527:26590786:-1 gene:Sspon.05G0033150-1C transcript:Sspon.05G0033150-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVDKQETMEETILVGDDLMRGPPSPVIPKEIASHVLEGVELCDGILRNLFLCLQINDIEPFCQDEIVLYRQCAEKRDKEIRERMQNSEYKLGFSMPLEQAKERATQLQSEVTLLERRMILASGLEGMEGFRQRWSLHGQLEDTRKRLEALNHGMAKRENQSSTGERTKSPAGKKWFFW >Sspon.01G0052720-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:25883581:25888328:1 gene:Sspon.01G0052720-1C transcript:Sspon.01G0052720-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLIVLWLSIWAMCSAASAGAGSDMEALLEFGRGIRQDPSRRQAVPWNPTSALDSDGCPVDWHGVQCSGGQILSIAFDGIGLVGNASLSVLARMPMLRNLSLSDNKLEGSLPGELGSMASLQLLDLSSNRFSGPIPSELTKLAGLGYLNLSSNGFRGALPLGFRNLRKLKYLDLHGNGFTGKLDDSPIHVDLSCNQFSGSLASISDNSSVVSTLQYLNVSHNVLSGTLFDSAPMPCSTALSGSIPQFSFVISLKVLRLQNNNFSGSIPEAFFRETSMVLTELDLSCNQLTGPIRRVTSTNLKYLNLSHNSLQGTLPITFGSCSVVDLSGNMLYGNLSVARTWGNYLQMVDLSSNRLTGSWPNETTQFLRLTSLRISNNLLSGELPIVLGTYPELISIDLSLNKLHGPLPGSLFTAVKLTFLNLSGNSFAGTLPLRNSDTKNSTSIDLSIFPVQTSNLSYVDLSSNFLNGSLPMGIGDLSALTLLNLRQNNFTGQIPRAITKLKNLLFIDLSSNNFNGSIPDGLPDDLVEFNVSYNNLSGSVPSNLLKFPDSSFHPGNELLVLPRSESPNGSDKSDEGRHGLKRGILYALIICVVVFVTGIIVLLLVHWKINSWKSSDKGTGQGKQHVTQGQSAQSQRSAEASTNEMQDVTLGSSPSAEYGAVPLPGKERQHEAQDVPFDAAYFNEPAGSSSALKDSTKSSMPSLSSSPPDAHSQHHHSILRVHSPDKLVGDLHLFDNSVVFTAEDLSRAPAEIIGRSCHGTSYKATLDNGHMLTVKWLKEGFAKSKKEFSREIKKLGSVQHPNLVPLRGYYWGPKEHERIIISDYVDATSLSTYLSEFDERNLPPLSVGQRLNIAIDIARCLDYLHNERVIPHGNIKSSNVLIQNSTPSALVTDYSLHRLMTPIGMAEQERVSECFDRHITDVESLEGTPNALDGMLRIAIRCIRSASERPEIRTKCAILAAHIIKAGEECSKNICFFNREGAYMVDGIGDDF >Sspon.05G0013050-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:41243575:41247986:-1 gene:Sspon.05G0013050-1A transcript:Sspon.05G0013050-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRASPVSHGAAAAPLPPFARRRMARGVVVAMASTINRVKTVKEPYTPPREVHRQITHSLPPQKREIFDSLQPWAKDNLLNLLKPVEKSWQPQDFLPEPSSDGFYDEVKELRERANEVPDEYFVCLVGDMVTEEALPTYQTMLNTLDGVRDETGASPTTWAVWTRAWTAEENRHGDLLNKYMYLTGRDPGTENNPYLGFLYTSFQERATFVSHGNTARHAKEYGDLKLAQICGTIAADEKRHETAYTKIVEKLFEIDPDYTVLAFADMMRKKITMPAHLMYDGKDDNLFEHFSAVAQRLGVYTAKDYADILEFLVQRWKVADLTGLSGEGRRAQDFVCTLAPRIRRLDERAQARAKQAPVIPFSWIYDRKVQL >Sspon.08G0009040-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:39737634:39742177:-1 gene:Sspon.08G0009040-1A transcript:Sspon.08G0009040-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carboxyl-terminal-processing peptidase 3, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G57680) UniProtKB/Swiss-Prot;Acc:F4J3G5] MEMVECSLVATLATRPLPGRVRKALPRPAVLGGRARARLRVRSAGLGREQPPPPQQAAVRASGPDHGRRALGQAAVGLAAAAVVSLTGFSGDVSPLPTPPARAESLTVAFPVSKAREVNRVQKTLVETWGLIRETFVDPTFNHQDWDQKLQQTMVEIFPLKSADAAYSKISGMLSTLGDPFTRIISPTEYQSFRIGSDGNVQGVGVFINKEPSSGRLLVMECIQGGPADRAGIHEGDELVEIDGKSVSGLDGEAAAQRLRGRVGTTVKVKLLDGTDSKRGGSLRQKEVQLSREIINLSPVSTAIISHRSDDGHECKTGYVRLASFSQTAAAEMENAVKRMEDVGVQSYILDLRNNPGGLVKAGLDVAQIWLDGDETLVNTIDRDGNVLPINMIQGHSLTHDPLVVLVNEGSASASEILAGALHDNGRAILVGHRTFGKGKIQARLTSQNTYAILFCKTLYFGMLHNPSVTELDDGSALFITVAKYLSPALHEIDQVGIQPDIQCSPDILSLPRAPSLRENSEATNLEMDSCIMVAEQALEIEQTKGSAS >Sspon.01G0024430-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:87497396:87499676:-1 gene:Sspon.01G0024430-1A transcript:Sspon.01G0024430-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding FNSQSSSISSQVNLGLGVQGSDAGHIKSEEQQILAEDVGVESSATTGANKQTSEDDTKIPYSNPSAPAAESTQLPRDTDLSPGQPLQPGMSSSGVGVIGRRSVSDLGAIGDNLTVTSASSGHDQLYNLQMLEAAFHRLPQPKDSERAKTYIPRHPAVTPASYPQIQAPIVSHPTFWERIGSDTLATDMLFFAFYYQQNTYQQYLAARELKKQSWRFHRRYNTWFQRHVEPQVTTDEYERGSYVYFDFHVTEDGSGWCQRIKNDFTFEYNYLEDELSVQTN >Sspon.05G0003010-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:8980339:8994207:-1 gene:Sspon.05G0003010-1A transcript:Sspon.05G0003010-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMNLRAQSPLCSRGRPALVVRPAAAATGLTLSVIRCSRFTRGELVRCMVSSSDYPKRNPRRTSTSKSKGVASGGYASRPTAESSTKKIQQSSNDEGDFSRTNGSLYGEATEQASTAEESSQVYLPGDNSSSAERDEAGIEEEADQIQSSALSSASADDDSIDRKLDEYRGKISALVSSKPEPSSLASVAGQSESVGGVHGQEEPVTGSEDHDSSTVNAHIKGRPFTEAVVGYKDFTESAAGKATRENEEEQVVSLEDVVGLSTDAEEELPVSEDDPEVLLRRLQELADENDSIGNNCFVFPEVVKADSVIDIYLNCSMSALASESNVFVKGAFNGWRWNAFTETMYKGELRGDWWCCKLYIPKQAYRLDFVFFNGDTVYENNNHNDFFLEIESDIDEQSFEDFLVEEKQKELERLAAEEAERKRQAEEERRRVEERAAMEADRAQATSEVEMKKEKLHQMLSSASKYAVNLWYIEPNTYRGGDRVRLYYHRSSRPLMHNTEIWMHGGYNNWIDGLSIAERLVKSHEKEGDWWYAEVKLPERALVLDWVFADGPPGNARNYDNNGRQDFHAIVPNNISISDDIFWVEEEHRIFARLQQERREREGAERIKAERSAKMKAEMKEKTMRAFLLSQKHIVYTEPLEVRAGTTVDVLYNPSNTVLNGKPEVWFRGSFNRWTHPSGPLPPQKMVKAENGSHLRTTVRVPLDAYMMDFVFSESEEGGIYDNRNGMDYHIPVSDSVAREPPMHIVHIAVEMAPIAKVGGLGDVVTSLSRAVQDLGHKVEVILPKYDCLNLSSVKDLHYQQSFTWGGTEIKVWFGKVEDLPVYFLEPQNGMFWVGCVYGRNDESRFGFFCHSALEFLLQKGSSPDIIHCHDWTSAPVAWLYKEQYALNGLGNGRIVFTIHNLEFGAHHIGKAMAHCDKATTVSDTYSKEVAGHGAIAPHYYKFYGIRNGIDPDIWDPYTDNFIPVHYTSENVVEGKSAAKKALQQMLGLQQIDTPVVGIITRLTVQKGIHLIKHAIYRALERNGQVVLLGSAPDPRIQGDFTNLASKLHGEYHGRVKLCLTYDEPLSHLIYAGADFILVPSIFEPCGLTQLIAMRYGSIPIVRKTGGLYDTVFDVDNDKDRAQAQGLEPNGFSFEGADSSAITTFYDARDWFNSLCKRVMEQDWSWNRPALDYMELYHSARKN >Sspon.07G0028650-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:68083052:68085096:-1 gene:Sspon.07G0028650-1B transcript:Sspon.07G0028650-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MELPEKKLTDFIKGSEKAKWIPVNNHNVNNFTQDEIKRITNNYSTPIGQGGFGQEARKMFDTKIANERDIEILDEIGNLAAQCFTKDIMERPEMKEVLVSLCMLRRSLRCEKTQEKIGQHSSSGTQAITQKVDNHGSSISSSSSISTYKFSILDIFNRRARMVNFNRNGGSLLKSVSINIFTSKELNRITCNYSTVISQDHTVGFVYMGHFNGQAVAVRYCNWIRTGIGIFALLGTGPWQCHIYMYTASCESGRLEWNDIAYIDPVYMTTGHFTSKSDVYSFGIVLLELITRKQPRNVDENFSLPIEYIKACRNENSGAAMFDEEIAVEGNIFTLEEMGKLAVDCLREDADERPEMAEVVERLQKLRRDLKHAEEVLQNATSSHAN >Sspon.03G0004450-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3B:21136951:21138126:1 gene:Sspon.03G0004450-2B transcript:Sspon.03G0004450-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPAAASPARRLASIFSSTKPRARPPKPAPEPTQAPKAPAGQPGSKPDERRESRNLNKILKVIFRERDPEKLVSRFIAESTASELFRDKHRVYEVAVFRLASFGRHDAIAAIIDAQKTFIEASNVGFAARLIRLCGRASMPSHAAAIFHDLPPKHKSHMTFNALLAAYVDASDFDALATAFQQILASHPTIVPTVYSYNILISALCQKPDLSAALDVIALMEKRGLTPDIISFKTLLNGFYNNDRFDDAEKVWDMIKERNVVPDAKIYYNAKLRGLVSQGRVEDAVALIERMKKEGPKPDSVSYNELIRGYCKEGRLNEAKKVYDDLIKNECTPNSGTFHTLVPHFVEAGELDRALSCCHEIFSRKCRVQCSLLQGGSDCIDCCIKDGGG >Sspon.07G0014390-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7A:52110282:52112594:1 gene:Sspon.07G0014390-1A transcript:Sspon.07G0014390-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPALASLLPLLLLLLSDGPGPSPAAAVRFDYASLTLGSLRLLGDAHLKNGTIRLSRDLPVPTSGAGRALYATAVPVRGGFSTQFAFTVATLNPSSVGGGLAFVLATDGATLGDAGAYIGVSVATDAAAVEFDTLMDVQFGDPNGNHVGLDLGSMVSAAAADLGSDDAGGGVDLGSGRTVNAWIDYRPGDGKGGGVLEVFVSYAAKRPPKPVLSAPLDLGERVKDAAFVGFSASTQGSTEAHAIEWWSFSTASPAPSPRSAPAAAPPDSTPVLPPPVSNPVLPSPLLPGVTTTTPPAATTDAAAPAGSIAPSGSAAAMGRNAGGSGTPRPPAHAAVAGAATAGAFVAASFAGVALWALARRARARKRGQTAVAVATKRDSSVASAAALARSPREFSYKELSAATRGFDASRVIGNGAFGTVYKGIVPDTGAMVAVKRCTNARGGGNASGEQQARSEFLSELSIIAGLRHRNLLRLQGWCYEKGEILLVYDYMRNGSLDKALFDASAPVLPWHHRREILMGVASALAYLHHECERRVIHRDVKSSNVMLDEAYRARLGDFGLARQAEHGESPDATAAAGTMGYLAPEYLLTGRATECTDVFSFGALALEVACGRRPIGTTTDGGGRCCNNLVEWVWSLHGDARLLEAMDPRLGGEFDENEARRALLVGLACSSPEPALRPGMRGVVQVLGGEADPPFVPAARPSMSLVGSANNQQLLLSLQDSVSDYNALLGLAALSDDSSSADSLSSSSLTSTLRRGGHDIGFSSTAGDAR >Sspon.03G0031130-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:32769216:32769668:1 gene:Sspon.03G0031130-2C transcript:Sspon.03G0031130-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAASASASAARSFLRSSGSSSLRSAAARAASRAGPAPLPRRMPTSAPRALLRSPVEMSSVCLESLMPMHSATASALMTSLLAAPACKGFGWLSEGDLPSSHLHFLALLRN >Sspon.06G0009280-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:40115584:40116322:-1 gene:Sspon.06G0009280-2B transcript:Sspon.06G0009280-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ELPSRLPAAGHRVPTAGPAAGLRRAPAAGLRRATAGVPADSAGRRRVLRPAAAAADHQPRRRRFLERMVRRHLLLLRPRHVLLR >Sspon.08G0027290-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:45397715:45399295:-1 gene:Sspon.08G0027290-2D transcript:Sspon.08G0027290-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ATGTGRPWWAPPPPPSAAAPSAPRFPSPPSSFTADPPAEFLCPISGTLMADPVVVPPGQTFERACIQACAALAFSPPAVAADLSESHSSSSPLVLVPNVALRTAILNWCDRLALPHPAPLSPDTAHDIVRRLMPPSQRQEQQRSSLVQQRPQTQVASSVRVRRPSVVDGGSAQAQAQEPTSRQRGDALEEEIMAALGTEGGATPAEQASAMALLRQATRENQEVRRQLCTPRLLAALRPMLLSPDADVQVNAAAAVVNLSLEPENKVRIVRSGAVSPLVDVLRGGHPEARDHAAGAMYSLAVEDENRAAIGVLGAIPPLLELFAGAGGAAGHRARREAGMALYHVSLSGMNRSKIARAPGGVRTLLAAAEARDRASEGDAAALRRLAVMILANLAGCPDGRAALMDGGAVAAVVRLMCNGSVAPGSAEQEYCISTLYGMSRGSMRFRGLARAAGVEAALQPVAEGDGGVGRDLARRTLRAMRGEDDEAPVTATGLLGRQWDDGSVVSEGLVSIRRPPHRSNYAGPSG >Sspon.03G0027390-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3B:5729855:5733420:-1 gene:Sspon.03G0027390-1B transcript:Sspon.03G0027390-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RSGDLTLGPLPPLKPPMILPTLLESISTLGSQDILTLKSAFFKTSAVVVSNCGLGGFFAAAGLLSVAGDIQGSDSGRRENAEERAGKRVGTRRETRGRNRARREERAGEADLPVSRRAEEEPESTPHPRGRVLPRRREKSLPPAGRGRCSGPLPLPSRLLWSAAALVLRRAASSGPLPSCLFWSAVRPCSGPPPPLVLCRAASSGPPLLWSSASSGLPSCLLKSSVEPPPLVSRTDPKRKAKSSDPGWKYGFWPQIGNKDLVECSLCGVRVNSGIKRLKEHLVGGYGDAVKCPKTTTAIAAEMEAALVKGRRKRALNLDNDDDGVQVVEVVPSENLAQNNATASSQSSGASVVQHPSSGTAAKKKQSTLKFASLPPRSKEKKSVITMLRKKPEEVVEERHSKNGPAQSSVEGRIRTKEERDEVNMHVANFFYECRIPLNAINSRSLEIMCEAIGQYGPGYRPPSYHEVRVPLLGKAVEQVNKIKEKHEAAWKQYGCTLMSDGWTDRRGRHLINFLVNSPEGTFFLESVDASSEAACKLLMERIPTLFWTPCAAHCLDLMLEDIGKMKEFSKPIARARQVTTFIYRHGRLLDAMREKTGGRDLVRPGVTRFATAFLTLCSLHTHRDGLKFLFVSDDWTKSKLAKTEAGKKVHETILSTEFWNSVEDCLRASQPLIVLLRIVDEDERPAMPEVQFCMEYAKKKIKDNFPTRGKADLLKRILAIIDKRWEDQMDQPLYGAALYLNPNKYFDLKTDDVMAGKLRSAFTEVLAKMVPDQDLQNKIDDQALDYEDLRGSFSNKIAINNIKTKSPSLS >Sspon.07G0009900-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7C:25397158:25398151:1 gene:Sspon.07G0009900-2C transcript:Sspon.07G0009900-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQRSGSLLAALAFAICILIPCCSSSKEAMELFERACHCFDDPNIYSQCAEEFRLNAEGAFHVQRNEVDEYCGGPCLQETQLALQCVEEVAAQSFKFSNGASVLAVKQAIGTGCSYGPDRGTFEIRERKECVGGADESYYHKSRDHEQEKPVGGRYYGEGSQQPYEQGAGYGEGEEYCYGYGAAGRLGERRDFLQMMILVLLASAPLILTL >Sspon.05G0001800-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:5815361:5818821:1 gene:Sspon.05G0001800-1A transcript:Sspon.05G0001800-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVVKAAQLSGRTLERVVVHPLVLLSIVDHYNRVARDTRKRVVGVLLGTSSRGSVDVTNSYAVPFEEDDKDPRIWFLDHNYHESMFSMFKRINAKEHVVGWYSTGPKLRENDLDVHALFNSYVPNPVLVIIDVQPKELGIPTKAYYAVEEVKENATQKSQKVFVHVPSEIAAHEVEEIGVEHLLRDVKDTTISTLATEVICHEWIFRLHIVVFKAHPKCPFPLSIKVTSKLAALKGLDARLREIRSYLDLVIDGKLPLNHEILYHLQDVFNLLPNLNVNELIKAFAVKTNDMMLVIYLSSLIRSVIALHNLINNKMLNKEHEKAEDSKPTAIPTAAGS >Sspon.02G0014560-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:38653042:38654510:1 gene:Sspon.02G0014560-1A transcript:Sspon.02G0014560-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MELFAGRGPTATARGDEGGGYRRFPDPPTHHARAEEDSSMLVIRDALLSQLQKDRLRQEIILAELAKIERAMALRAADAEGANPVAPFCFLEETPHSREADGLQPADHGIVAADVDNDLKKKKDGVRGGVELESQKPVIEDRVRECLKTSCGAGNAAGQQNAALDECKLQEPNETTLPKKTTSSSVKWSCDICRVGAPTEGHLQQHFAGQKHQSKVATLVSRNNASRQKAKAAAAKSENVQQHDEKPRLTWVCRFCQSNSTCRSHLENHLRGKRHKAKIQSLLQECKNMAVNCGSLNSQPNLVTQDEENNPASTWNCSLCQAKCSRQSDLANH >Sspon.05G0037160-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:9995561:10000616:1 gene:Sspon.05G0037160-1D transcript:Sspon.05G0037160-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GGGGSPGVSTTSFSARPQAILLKEVQACLTIADNSKSFTFVDLRNSVTKGSYCEKSPNVPLVLDQTLHGSSVGMKKLYIKCI >Sspon.03G0024480-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:93117702:93127796:1 gene:Sspon.03G0024480-3C transcript:Sspon.03G0024480-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQSRFDFTKVDAALLPTVILVGRPNVGKSALFNRFIRRREALVYNTPGDHVTRDIREGVAKLGDLRFRVLDSAGLETAATSGSILARTADMTGNVLARSQFAIFLIDVRDGLQPLDLEVGQWLRKHASGIHTLVAMNKSESLDEHGLLTAAAGEAHKLGFGDPVAISAETGLGMAELYEILRPLFEEYMFQLPNNDLNQDDPISEVETEAHDESKLPLQLAIVGRPNVGKSTLLNTLLQEQRVLVGPEAGLTRDSIRAQFQFDDRTVYLVDTAGWMERSGKEKGPASLSVVQSRKNLMRAHIVALVLDAEKIAKSKSSMNHPEVVIARQAIEEGRGLVVIVNKMDLLRDNQTLFNKVMDAVPSEIQTVIPQVTGIPVVFVSALEGRGRVAVMRQVIDTYEKWCLRLSTSRLNRWLRKVMSRHSWKDSATQPKVKYFTQVKARPPTFVAFMSGKTQLSDTDIRFLTKSLKEDFDIGGIPIRIVQRSIPRKASAKSNTRNTGPRFVRMKTDKRTTVSDPALS >Sspon.08G0000410-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:1673462:1678872:1 gene:Sspon.08G0000410-1P transcript:Sspon.08G0000410-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GHLIQKPVANCPENSDTSSATPPLGHDSDWKQAPFLSDTQEVNKVISSSGALGTECLELLSAQPRILCCLGQLNERNMHDQVDSSKPVSNGNTYGGSTLRESRQRKNVRNSSMAVPPCHVVAKYSRPVATTLSLRSDSDILHNDDKLSKRSSRKRGKQCKRTIRKGLNLASETTFEQSTFGASPVEVVPTNLLVDKLSETTPSASSLVKNDGQYNKDYVECGIMLNLATLGTDEMDGSGCAGSSYNVAGGRLSSSCAPYLNDESNVIDSSEFDGSTFTEHGLGEESNSYQKLTCAHVYNPSHATTDSIFSRWNNDNSGNYSVDVEATIKDENGHDHSKPGASTGLSNMRAECQLIGSHLSATHAEDTNGHLGIRSYSKDVTDSCSNTERVQCSSQACSSKASLQFSSGRRNIKSSKTPSYIDLTVSNRVRGSNRHKNNGKDSSAVWQKVERNDKMISKAGHLSNSPIHDKGAREVGKKGVQEDPTRILVKCNQNRKMCKQDSSNGTVELEPTKEEDALNSSHTFSGPVYKKQTPFLRQQRSSSSKQGSQSLKNYYAPRIGIPKAPKDYLQQEELPMLVLVHAKNTSDRSTSYSSSADEVGLTGVGSDYPTEANEGSQPGIEIAASVSCNLVPDLAPQAPSDDSHVSDPHSLCPENKGVSTSRSSKNLCIDPFAAETKEARCVKLLTENNSQECCKWYSGAGHLSQKWVPVGKKETCSGIYLDVSANGEDSNLPSERTYKLNSSEHVDLKFQADNASETDYSKMKEAISYVYTAQQQVEDIQLRIGRPIADLENFVYSASPIVHCSPCPAGCKSYLQECVKDGLCLHQTPDITLRTVWQWYEEPSCYGLDVKAQDIRRSKGMWNSHCQFTTYFVPYLSAVQLFSQPKRISDGSIDKESIHRDVTCETSPDLNLPPIFAKLFPKQSNPVNKSSTLRTEDDQQPADRELVFEFFESEQPYSRQQLFDKLNELIAGVKPSKCQISGDPKNLEVSLHDLHPASWFCVAWYPIYRIPDGKFQAAFLTYHSLGHWIHQSSSADGAAVLPVIGLQSYNAKAEWWFEMSKSDSEGAESAEPASQILKERLRTLNESAVLMSRASVLKNGQMSRNSHPDFEFFLSRS >Sspon.06G0015980-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:77909273:77915162:1 gene:Sspon.06G0015980-3C transcript:Sspon.06G0015980-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLFNPRKTTLLLVIRDKTKTPLEYLTQALKEDIEKVYGTLFRNQKLTRKQRLVISSMSVHQFSESKAFMHQLTHIFCNIKVEVAALSSYEEKEELFKEQVGQLRQRFYHSIAPGGLAADRRGVVPASGFCLSALQIWKVIRENKDLNLPAHKVMVATVRCEEIANEKLKNFLSDKGWLELDAAVKSGLPPSFGTKLSAILDSYLSEYDIETMDFDEGVRAAKRQQLQSSMLDKSHVLQHTYPAIERVMEHLHLMALNKFKSDLEESLRNTEGFAESVRQCSQASMEEFDAGIRDTAVKHVQWDASKARNKLQEHIQAHVESIRNAKLAELKANYEKKLSDAIAGPVQSILETGERDCWACIRRLYRRETENAVLAFSASLSEFELDQTICSKMVSDLREHARSVVEMKAREEAGNVLMRMKERFFTVLSHDRDLMPRTWMGNEDIRSITREARLGALRLMSVMAAIRLDDKPDKIERALTTVLLDGGPLSHKRSIEFASDPLASSTWEEVSPKDTLITPVQCKSIWRQFKAETEYSVAQAMSMQEAHRRSKSWLPPAWTILLLAILGYNEFMFLLRNPLYLLGLFVAFVLSYAIWLQYDITAYFRHGTLSALLTILSRLLPTIMDIVMQIINMSHRHKHSSYPSRRPQPIHAQSFMNQTWGQPQVQYQFPDSPSSSSSSVDSNSGDDS >Sspon.02G0035080-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:11098389:11102936:1 gene:Sspon.02G0035080-2D transcript:Sspon.02G0035080-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAISSLPFAALRGAAEWRPSTTASAVSSAVVLSARSRRGSRSVVRCVATAGDVPPTVAETKLNFLKSYKRPIPSIYSTVLQELLVQQHLMRYKRTYQYDPVFGLGFVTVYDQLMEGYPSNEDRDSIFRAYITALNEDPDQYRDGEIEAILKDISERAKGKGNFSYSRFFAVGLFRLLELANATEPTVLDKLCTALNVSKRSVDRDLDVYRNILSKLVQAKELLKEYVDREKKKREERSETPKPNEAVTKFDGNLYSMRH >Sspon.03G0000800-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:13747664:13749667:-1 gene:Sspon.03G0000800-3C transcript:Sspon.03G0000800-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:30S ribosomal protein S31, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G38140) UniProtKB/Swiss-Prot;Acc:O80439] MVLLAIQGLAMSTAAADLPSHNHGAVSSSVSTSSYALAASAAFPRVRATVAVGAVTSAAVTPVLDVYCGRGDKKTKRGKRFNHSYGNARPRNKKKGTGPPRLFAPPAPPRKDQFDDGEIIPIEIDEDILE >Sspon.01G0015040-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:44033444:44039103:-1 gene:Sspon.01G0015040-2C transcript:Sspon.01G0015040-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plastidial pyruvate kinase 3, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G32440) UniProtKB/Swiss-Prot;Acc:Q93Z53] MAAAAEIGGFTAAALRPAAHPAPAAAVAPPQPRRAVAAQSLRTTATETLTADLAGTTNGAVHAQMNSEATSEITSQAVISRRKTKIVCTIGPSTNTREMIWKLAETGMNVARLNMSHGDHQSHQKVIDLVKEYNTQNTDGNVIAIMLDTKGPEVRSGDVPEPIMLKEGQEFNFTIKRGVSTEDTVSVNYDDFINDVEIGDILLVDVASNMLTCFGPTEKDWEDIKFGVENGVDFYAVSFVKDAKVIHELKDYLKGANADIHVIPKIESADSIPNLQSIIAASDGAMVARGDLGAELPIEDVPLLQAEIVQTCRSMEKPVIVATNMLESMIDHPTPTRAEVSDIAIAVREGADAIMLSGETAHGKYPLKAVKVMHTVALRTESSLYNPTTSPSLVASAQGLQNEQFSPSQLSKMFGSHATMMANTLRTPIIVFTQTGSMAVLLSHYRPSSTLFAFTNEERVKQRLALYQGVIPIHMQFSDDAEETFSRAISSLLKAQYVKKGDYVTLVQSGVTSIWREESTHHIQVRKVQV >Sspon.05G0038150-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:34272977:34276146:-1 gene:Sspon.05G0038150-1D transcript:Sspon.05G0038150-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLRGRDKIVPGTRNATPFNKMSSIVEDWISRANAKQRRGRAGRVKPGLCFCLYTRHRFENIMRPFQVPEMLRMPLTELCLQIKSLHLGDIKSFLLKMMLYGAIFGCLSPILSVAAFLSYKSPFLSPKDEKQNVEKAKATLLNENLDGSTSVTDNKQSDHLLLVIAYDKWSRILLQ >Sspon.04G0008080-5P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:23116845:23120804:1 gene:Sspon.04G0008080-5P transcript:Sspon.04G0008080-5P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSGYEGILLGMGNPLLDISAVVDEGFLAKYDVKPGNAILAEDKHLPMFDELASKSNVEYIAGGATQNSIRVAQWMLQIPGATSYIGCIGKDTFGEEMKKNAQAAGVNAHYYEDENAPTGTCAACVVGGERSLIANLCAANCYKSEHLKKPENWALVEKARYIYIAGFFLTVSPDSIQLVAEHAAATNKVFMMNLSAPFICEFFRDAQEKALPYVDYIFGNETEARTFAKVHGWETENVEEIALKISQLPKASGTHKRITVITQGCDPVVVADDGKVKTFPVILLPKEKLVDTNGAGDAFVGGFLSQLVQEKSIDECVRAACYAANVVIQRSGCTYPEKPDFN >Sspon.03G0046890-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3D:49511513:49511695:-1 gene:Sspon.03G0046890-1D transcript:Sspon.03G0046890-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIGGSLVIAFLLLAAKNRERDSGPNDLSWDKAVAADMSTGAVWGTLAATLDPSSLDLCP >Sspon.02G0050310-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:55149728:55154207:1 gene:Sspon.02G0050310-1C transcript:Sspon.02G0050310-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LSQICFSVAAPRTEENMAGEGNGLAELPRIALIGAGIFARTQYIPRLREIAHLVVLKAIWSRTQESAKAAAELARDFAPDIECKWGDAGLEEIMGDSSIMGVAVVLAGQVQVELSLKMLKAGKHVIQATTEAETALSIYNSFPNQFPDKPIWAVGENYRFEPAFIESSKLINDIGDMMHIQVIIEGSMNSSNPYFNSTWRRNFVGGFILDMGVHFIAGLRMILWRVDGTKGTIQVERGVDSGKHGYQVLFSGENGQCQKTFYPFCGVNEELKTFVHDMLAAGKDGDHKAEPRSSYVEGARDVAVLEAMLESSAKQGAPVHVKRF >Sspon.02G0012180-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:32145906:32148309:-1 gene:Sspon.02G0012180-1A transcript:Sspon.02G0012180-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AVSTEAAAGGNGEGIPLSAGVAATAANGSQQGYPAEGVLVVGSMAPRAEGVLVIDLTAVAEEEEQKKDHSTAYARRESQIDLTAQTEEEGEDEKEAQGVDGPRAEGVPVMIDLTEESSDGEEEEEVRWVGQYSSTQSILLVGDGDFSFSLALATGFGSGANLVATSLDSYGSLKKKYSGAESNLAELKKMGAVTLHGVNAKTMKLHTDLKMRRFDRVIFNFPHAGFKGKEDQPHMIKYSWSIFSAVTILRSICSHLVPCNFILILTFRTGSSSHRKLVKDFFRSASLLVRPDGEVHVSHKTKNPYRKWNLEELASEFALFLVEQVDFRIQDYPGYNNKRGDGLQCDQPFLLGKCSTFKFKIGDIKNTKRVRKLGPTAYSGNSRNAHFSGLCPQNSSSMKLFHGIVQQKQVASPSQSGSAILQAPFLGVILGISFLGLGGALG >Sspon.06G0013010-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:55910459:55916003:1 gene:Sspon.06G0013010-3C transcript:Sspon.06G0013010-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCVSSKQFHGGDDHGDGKPRRRPSSNSLKRLVSYSSSKRHEDLEEEDEEGVPVPATSSSSAVRRTGNDASTARLIRKPSAPVVEAVPALPEAAATLAVGAVDAERAVAAATGNRKRPPADVQVNGAAEQEPRSGGLRPEGEAKPRIRDVPNGVQGEHVAAGWPRWLTEVAAEAVRGWQPRRAESFEKLDKIGQGTYSSVYKARDLENGKIVALKKVRFANMDPESVRFMAREIHILRRLDHPNVIKLEGLVTSRMSSSLYLVFEYMEHDLAGLAATPGLKFSEPQVKCYMQQLLSGLDHCHNRGVLHRDIKGANLLLDNNGILKIADFGLATFFNPNQKQHLTSRVVTLWYRPPELLLGATNYGAAVDLWSAGCILAELLSGKPIMPGRTEVEQLHKIFKLCGSPSEEFWANLKLSRATIFKPQHPYRRCVNDVYKDFPTTALTLLDHLLAVEPGNRGTAASALDSEFFTTKPYACDPSSLPKYPPSKEYDAKLRDEEARRQRAAAKGQEAESGRRKQLPAPDGNNGLQHRRVQVNPKSSSYKFTPKEDAVSGFPIDPPARAADNGYPQRVPLMQAGRSSSTLGRSSGMDPKAQRFHTSQIITAEMSNQSTASGQRGNAPKMSNLGESARRQYLREHRSSSRYSQLTAADPSDRPEWNHQVQERPSSSHRKDDAAANKEPTVVNGTKKNRIHYSGPLMPPGVNMDEILREHERQIQQAVRRARLDKGKGKHNVERDQSEALLYTTGNIRADR >Sspon.04G0000390-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:2449171:2453948:1 gene:Sspon.04G0000390-1A transcript:Sspon.04G0000390-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISQVERKGGKRVPEKRLRFRTTVDASETQVIEAMQKIASHIGNTSKFSKASKLALQLIEAGSVKPGTIGHFFAILEAAMSSPGACNDPSVRADYHKLFDSAQGFSKAVGKIKDAISALPVATVDDDNDEAAALAAASKTDAVTDNKTDHSVPAAASNSVADDSTLAAASEPGEESSDPFGLDGLLEHKRKKSERAREKAVAALNRKADEEEAKRFLKSQREALLKCLEIAARRYRIPWTQTAIDIFVKHAYDNATRFTRQQRDAIVKLWNSIKEQQIRRKQGKSVSGKLDVNAFEYLQEKYSHEKISIRHSVGGGGERRATAWLG >Sspon.03G0008260-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:77553874:77555313:-1 gene:Sspon.03G0008260-2B transcript:Sspon.03G0008260-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAAATGIAQRPVVLYPSPGMGHLVSMIELGKILGARGLSVTIVVVEPPYNTGATGPFLAGVSAANPSISFHRLPKVERLPPVKSKHHEALTFELVRVSNPHLREFLAAASPAMLVVDFFCNIALDVAEELRVPAYFFFTSGAEVLAFFLYLPVLHERTTASFQDMGEELVHVPGIPPFPATHSILPIMERDDAAYDSFLKSFRDLCRSQGLLVNTFRLLEQRAVETVAAGHCTPPGLPTPPIYCIGPLIKSEEVLGKGGEECLAWLDAQPRASVVFLCFGSIGRFSAEQIREVAAGVEASGQRFLWVVRAPPSDDPAKKFEKPPEPDLDVLLPEGFLARTKDRGLVVRSWAPQRDVLAHPSVGGFVTHCGWNSVLEAVMAGVPMLAWPLYAEQRLNRVFLEKEMQLAVAVEGYDSDTGLVAAEEVAAKVRWLMDSEGGRRLRERTLEAMRQAKDALREGGESEATLAGLVDQWKRT >Sspon.01G0032830-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:111096908:111097739:1 gene:Sspon.01G0032830-1A transcript:Sspon.01G0032830-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISTYGVQSRAICEYVARKNKPDLLREGNLKESAMVGVWLEVEANQYTAALNPILYQCLVSPMFGGTTDQKVVEENLEKLKKVLEVYEARLAKHKYLAGDFLSLADLNHVSVTLCLLATPHASVLDAYPHVKAWWDGLMARPSVQKVAALIKPSSA >Sspon.03G0030760-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:22396076:22397089:-1 gene:Sspon.03G0030760-1B transcript:Sspon.03G0030760-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCRRRPSLGMGWLRAVLSPLRKLWCRVNAVQQRKKRGIYILYDDVKSCQCEDVHVLWSILVESHGLPPPTPMPTPPVLNLKR >Sspon.01G0056690-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:91434570:91440961:-1 gene:Sspon.01G0056690-1C transcript:Sspon.01G0056690-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSSRGGGGSGSGSGSGSRGRSPRLDRRNAVKRIEYEAGAGASASVGASWSSSSSAEQQRSPGLRPSRSLDLAPGADLRISGSVEGEVDELCRSLGLSGPEDFAVPVAAWEARKSRSNSDRLPRSRLDPSTPADEPSPIARAVSAPVVPPTRSVPAPIPEESLHSSSASTATDSAEDPTAAAPEESPKAARAVAVVAPAGDLPLPSPRRGGGEVGIRGARPPLLSPPPPIGALAPPPVRRSFVDDYMTGSAWDIVQSFAPSPREQGSELGEHVDTRCNSDTEEENEGEDGVAAVEGELKELRIGETFEGFTGTSSLSTTNDDDASSTTTEAMFIISPNGKFKRKIKSEGAFFAVKEVSLLDQGSNAQQSIVALEQEIALLSQFEHENIVQYYGTDKVINPKKMYGPSADIWSLGCTVLEMLTRQIPFPNVEWTNAFFMIGRGEQPTIPNYLSKEAQDFIGQCVRVDPESRPSASQLLEHPFVNRPLRASFESSSPPAIGL >Sspon.02G0019930-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:65000621:65010332:-1 gene:Sspon.02G0019930-1P transcript:Sspon.02G0019930-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASAGLVAGSHNRNELVVIRRDGDPGPKPLREQNGQVCQICGDDVGLAPGGEPFVACNECAFPVCRDCYEYERREGTQNCPQCKTRYKRLKGYQRVTGDEEEDGVDDIDNEFNWNGHDSQSVAESMLYGHMSYGRGGDPNGVPQPFQLNPNVPLLTNGQMVDDIPPEQHALVPSFMGGGGKRIHPLPYADPGLPVQPRSMDPSKDLAAYGYGSVAWKERMESWKQRQERMHQTRNDGGGDDGDDADLPLMDEARQPLSRKIPIPSSQINPYRMIIIIRLVVLGFFFHYRVMHPVNDAFALWLISVICEIWFAMSWILDQFPKWFPIERETYLDRLSLRFDKEGQPSQLAPIDFFVSTVDPLKEPPLVTANTVLSILSVDYPVEKVSCYVSDDGAAMLTFEALSETSEFAKKWVPFCKRYNLEPRAPEWYFQQKIDYLKDKVAASFVRERRAMKREYEEFKVRINALVAKAQKVPEEGWTMQDGTPWPGNNVRDHPGMIQVFLGQSGGFDCEGNELPRLVYVSREKRPGYDHHKKAGAMNALVRVSAVLTNAPYLLNLDCDHYINNSKAIKEAMCFMMDPLLGKKVCYVQFPQRFDGIDRHDRYANRNVVFFDINMKGLDGIQGPIYVGTGCVFRRQALYGYDAPKTKKPPSRTCNCWPKWCFCCCCFGNRKHKKKTNKPKTEKKKLLFFKKEENQSPAYALGEIDEAAPGAENEKAGIVNQQKLEKKFGQSSVFVTSTLLENGGTLKSASPASLLKEAIHVISCGYEDKTDWGKELNNVASLWFMSLFICIFATSILEMRWSGVGIDDWWRNEQFWVIGGVSSHLFAVFQGLLKVIAGVDTSFTVTSKGGDDEDSGRGAGLLRASPGRTTAGGSSIFGGAGGDRAGLFASSTNHHYRNDFRDAGGLESQSVQELEGYAAYKAEETTRRTQGCVRIAEEMRDTASKTLVTVHQQGQQIHRTHMMAMEKAKQDDALSDLSDILTELKGMAVDMGSEIERQTKAMGDAEKDYDELNFRVKGANTRARRLLGR >Sspon.04G0030820-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:2672101:2673322:-1 gene:Sspon.04G0030820-1C transcript:Sspon.04G0030820-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEGHKVTLNVYDLSNGLARQLSTSFLGKPIEAIWHTGVVVYGNEYYFGGGIQSSPAGTTPYGRPLRTVELGVTHIPREVFEDYLRDIAPRYTAETYRLLTHNCNNFSHEVAQFLVGTGAGVPDYILNLPAEVMSSPMGPLIMPMIQNLESTLRSNNAPQATQFVPTPASVSAPAKREAPAEKASKEATSSAPAPAPSPAPAADPLGSARGKVQEEVMREFAAIMASGTLRASEAAALAMRRVMERHGDGATMQQG >Sspon.03G0004200-3P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:8530893:8532608:-1 gene:Sspon.03G0004200-3P transcript:Sspon.03G0004200-3P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGCGGFVEKAKPYIAMISLQFGYAGMNVLTKVSLNQGMSHYVLVVYRHAFATLSIAPFALVLERKVRPKMTWPIFWQIFVLAMLGPVIDQNFYYAGLKFTGPTFACAMSNILPAMTFVLAVIFRMEKLQMKKVRCQAKVLGTVVTVVGAMLMTLYKGPLMQLPWTSRHESPGVHGAEGPAAAAAAEISARDWFLGSVFVIVATLAWASLFVLQTHTIKQYSAQLSLTTLVCFIGTLQATVVTFVMERRTSVWTIGFDMNLLAAAYAGIVTSSIAYYVQGLVIQKTGPVFASAFSPLMMIIVAVMGSFILSEKIYLGGVLGAVLIVFGLYSVLWGKHKETQEKEADAKTALPMAVPASSMEDAAAGVVGDDAGCNIGNNGVRSSSGVRGAPPAAASAF >Sspon.02G0002570-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:8577418:8578079:1 gene:Sspon.02G0002570-1T transcript:Sspon.02G0002570-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VVRGSPPVPATAALPQHLRPELLLPLRVRAEQEHDPGEQVGGGLLPREEESLALVDDLVHAQELVAAVLRRAGLQHQPQEVVPVPGLVLGGEPVLDDPRQRAPHLLVQLPHTHVLFCWKISVSKTCTCAPERRVGDDVGGELLELVAQLDRHVLLPLT >Sspon.02G0012380-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:31073817:31075925:-1 gene:Sspon.02G0012380-2B transcript:Sspon.02G0012380-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding PHHSYRFQILRELLPHNDQKRDKATFLLEVIEYIRFLQEKVQKYEATFPEWNQENAKMLPWSKGQIPGDSPPDPSHFMRNGSSPGSNFTGKLEDNHNIVTSAAASGAQDQAETDHMASGCYRSAETPANITNNAISQSQPQWTDPSPVDDFAVNREMLNNQQLLNSLTHALQSSGVDLSQASISVQINLGKRAVKRPAAGVSSNSKEPTDPASSNELGHQLTMLGASADDLSHATKRHKPGNS >Sspon.01G0039160-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:32823474:32832042:1 gene:Sspon.01G0039160-1P transcript:Sspon.01G0039160-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding NMISSFINGRVKMDGSTRGGGHSEALRNYNLGRTLGIGTFGKVKIAEHKLTGHRVAIKIINCRQMRNMEMEEKGKREIKILKLFIHPHIIRLYEVIYTPTDIYVVMEYCKYGELFDYIVEKGRLLEDEARRIFQQVISGVEYCHRNMVVHRDLKPENLLLDSKYNVKLADFGLSNVMHDGHFLKTSCGSPNYAAPEVISGKLYAGPEVDVWSCGVILYALLCGTLPFDDENIPNLFKKIKVGIYTLPSHLSALARDLIPRMLVVEPMKRITIREIREHQWFQTRLPRYLAVPPPDTTQQAKMVDEDTLRDVVNMGFNKNHVCESLCSRLQNEVTVAYYLLLDNRFRATSGYLGADYQESMVRAHPREIMIEVLKALKELNVSWKKNGHYNMKCRWLPGFPEVHDMLDASNSFLGDSTIMDNDDANGRLPAVIKFEFQVYKTKDDKYLLDMQRVTGPQLLFLDFCAAFLTKLR >Sspon.03G0013400-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:37636790:37637942:1 gene:Sspon.03G0013400-1A transcript:Sspon.03G0013400-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSAPPPAVGDQYCSDQLPPLPADGALTSLDDALLLAPLSDIDLEAFDNADEQKPPLDQLIMIPPAVHHHHAAAATRAPPIHGTTGQNAGVVVHAHHKKAMAIEDSCFRRGGAGGVEMAGVRHHDERRQAGSAVALVPPPPPPPQPLPPPSLPRPRPRRSGGVRQSAPAGKTRLDHISFDEVRKYFYMPITRAAREMNVGLTVLKKRCRELGVARWPHRKMKSLKSLMANVQEMGNGISPVAVQQELAALETYCALMEENPWIELTDRTKKLRQACFKESYKRRRAAAVSVMEMDHIYSFGQQHHHHQLQPPTSSADRHGQSSS >Sspon.02G0035620-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:20500954:20506194:-1 gene:Sspon.02G0035620-1B transcript:Sspon.02G0035620-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRWRSPAVLQLRLWLLAVSASSAALGVLAADLSKEPFTIRISCGSFDDVRTAPTNTLWYRDFGYTGGRFANATRPSFILPPLKTLRYFPLSDGPENCYNINNVPNGHYQVRLFFALLDNPNLDSEPIFDVSVEGTLFSSLLLGWSSDDEKTFAEALVFVQDSSLSVCFHSTGHGDPSTLSIEVLQIDDNAYNFGPPWGKGTVFRTAKRLKCGSGKPAFDEDLNGIRWEIDNGVTAEEQRVFDVLINGDTAFKDVDIIRMTGERFTALVLNKTVAVSGTTLKIILQPVKGTCAIINAIEVFEIIPAEKKTLPQEVSALRTLKGSLGLPLRLGWNGDPCVPQQHPWNGVDCQFDNTKGNWIIDGLGLDNQGLKGVIPSDISKLQHLQNMDLSYNELNGSIPESLGELALLQILSVH >Sspon.08G0001120-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:4152439:4154847:1 gene:Sspon.08G0001120-1A transcript:Sspon.08G0001120-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQPLSNHRCQDLLVAVTVVVAFLVAAGDASSVGFDLHHRFSRWSGGGRRPGATPSPRRTGHPEARRSTTLRCPATTAPSSPAAPSQAAPTGCSPSPPATRPYNTSDRAYHSWLYYAVVEVGTPNATFLVALDTGSDLFWVPCDCKQCASIANVTGQAATRLRPYSPRQSSTSTQVTCDNALCDRPNACSAATNGSCPYEVRYVSANTSTSGVLVQDVLHLTRERPGAVDEALQAPVVFGCGQVQTGSFLDGGAFDGLMGLGMEKVSVPSVLASSGLVASDSFSMCFGDDGVGRINFGDAGSRGQGETPFTGRSTLYNVSFTSINVGTKSVAAEFAAVIDSGTSYTYLADPEYTELATNFIELKVKFNSLVRERRANFSSGTADSFPFEYCYSISPNQTEALIPDVSLTTKGGALFPVTQPFIVLFDTATRRAVGYCLAIMKNDLGINLNIIGQNFMTGLKVVFDRERSVLGWEKFDCYKNARVADAPDGSPSPAPEAGPTKITPRQNDSYPGAAPLPRSAGSRNAAASSLGAGGLSLLILAAAALV >Sspon.01G0047610-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:104323924:104327002:1 gene:Sspon.01G0047610-1B transcript:Sspon.01G0047610-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFNFGLLELADGESGEAAVSVVVGKAKAEAAHAKKAEAAAPGTTEKEKAVHKNLYYFKLRHDNGIRNIELELKRLRDVLIKLRGQETKLKEKGENGVHLIQLSEEQRELRQQQKKLREEEARLRSLRKEFYLEHGFPLVGGDEEEPQVPNQGESVQGNDNAGVDNFNGGYNSDGNGSVPSYHDGEPGVHADGAYVYHERQVEEGYAYNNNGGRQGYPEVRKVQKWVAKQPSSDAVTSDAGSEAEHKPVENDQKLAATPANADAKPVSGSEKSSAAGASASNNGQGKFQKQKLNGSVKRKKRKNAKNGGNEVDKAKKQDSSEADLSKKTDKEPPVDYPREEEKKTLAEYEKIRQEKKKSSEDVSRTELRKVSAEEFKGLQMLEKKKLDDEEAVMKAEKVQNKAKETSKKEEKAQPEAKDADAAKPKKVVIPLKDLSFAPPRRILEDGSSNGGGAPRGRFNGGSFQGRRRDNSTDSRDPAGRGGDNGRAAQNEAGNYGNGAPRGGYSGGRGYGAPRGDSSGGRGDGGDGAPRGDYSGRRGDGYSGRGNGGYHNQGGNGGGYQQQQGGYQRRPGNDNYYRPRGRGYSGNGRSPAPQPIVVEDMNLFPPLPASAPARAAAPTAAPAAAPSPSSATAPAPAQS >Sspon.02G0040460-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:69945381:69945813:1 gene:Sspon.02G0040460-1B transcript:Sspon.02G0040460-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NADH dehydrogenase 1C [Source:Projected from Arabidopsis thaliana (ATMG00516) TAIR;Acc:ATMG00516] MIRNPHGFEDPLVKGKGGLIPGRSRMRRESHGVIPPPIRPDERSTELHPYSPGLCTSLFPGGWPPILDLPISKKIPCSIWFSIKVLLFLILYIWVRAAFPRYRYDQLMGLGRKVFLPLSLAWVVPVSGVLVTFRWLP >Sspon.01G0060120-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:74016924:74028351:1 gene:Sspon.01G0060120-1D transcript:Sspon.01G0060120-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mediator of RNA polymerase II transcription subunit 16 [Source:Projected from Arabidopsis thaliana (AT4G04920) UniProtKB/Swiss-Prot;Acc:F4JGZ1] MGGGAEAAEAGVAAGVAAEAMEVDGGSGAGDVEVVGSVGGGGGGGSGAGGGAQQASPATVFRIRLKQSPASLRHKMRVPELCRNFSAVAWCGKLNAIACASETCARIPSSNSSPPFWIPIHILNPERPTECSVFNVKADSPRDFVQFIEWSPRSCPRALLVANFHGRITIWTQPTKGPVNLVRDASSWQCEHEWRQDLSVVTKWLSGISPYRWLPTNSTSSNLKTFEEKFLTQQPQSSGSVQLHWSQWPSQNSAHPRWFSTSKGLLGAGPSGIMAADAIVTESGALHVAGVPLVNPSTVVVWEVMPGHGNGIQATAKINATSSVPPSLNPPSWPGFAPLAAYLFSLQDYLVSEGAQTKRLTDNETTEAASIHCCPVSNFSAYVSPEAAAQSATTTTWGSGVTSVAFDPTRGGSVITVVIVEGQYMSPYDPDEGPSITGWRVQCWESSRQHVVLHPIFGSPTSLGGQPPMQTVWSTRVNKSIPPTEDLKNPQTYVPMPTTSDERSSSECSVDRANRLSFDPYDLPNDVRQLAQIVYSAHGGEVAVAFLRGGVHIFSGPNFDQVDSYHVNVGSAIAPPAFSSSSCCLASVWHDTLKDRTILKIIRVLPPAILSTQTKISSAAWERAIADSVIAFLDADFHSLPTMQQRQQHCPNLDRIKCRLLEGTNAQDVRALVLDMQARLLLDMLGKGIESALTNPSTLLPEPWQASSDMLSSIEPDKMTVEPALLLSIQGYVDAVLDLASHFITRLRRYASFCRTLASHVGPSSTTGTSRNMVTSPTNSSPSPSNNQGNQGGSTTSATGNSQMQEWVQGAIAKISNNSDGAATATQNPMSTRSSFMPISINTGTFPGTPAVRLIGDCHFLHRLCQLLLFCLLFRRRQSPRLLANSQKNQDSAIQKIQHMMNAKTEDNSTTVRSGLGAAKVEDGQSTRGGQFVLGAKGPEENPIGKSVRIGSGNAGQGYTSDEVKVLFLILVDLCRRTSTLPHPLPASQVGSSSIIIRLHYIDGNYTVLPEVVEASLGPHMQNMPRPRGADAAGLLLRELELQPPAEEWHRRNMFGGPWSEPDDFGPLDNMPRLKISGSINSHLSDMEEDAGSSVGIHSLWPRKRRLSERDAAFGLKTSVGLGGYLGVMGSRRDVVTAVWRTGLDGEWYKCIRCLRQTCAFAHPGAPNQTNEREAWWISRWSHACPMCGGSWVKVV >Sspon.01G0030460-3D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1D:104514348:104515175:-1 gene:Sspon.01G0030460-3D transcript:Sspon.01G0030460-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESGTHAEQIESFIVNNQNVPELPEHEAVWSFSSLSAADQGSANQGGADTHDFQPVREFSTPNHQKAPGMVSSWPLNHWRTAPVFRTPLINQHASMQEAKVNDAGPSSNLNMPGMYGHTEDSLLSVDLEGDWIIEEKPRTETTLLGDSSAEILDEPQMVMSAEPFNAPAYLNLEAEDGSSSPTVHVELTNFDEKLANLAEDKNQRPSDASQLRTGRLGEALVEKYFAKQLGSNNVRWVNDRIETGLPYDIVITHPEGFTEYVEVKTTVSSRKDL >Sspon.02G0006390-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:18757679:18758734:-1 gene:Sspon.02G0006390-1P transcript:Sspon.02G0006390-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLSVGTPPLAFPAIIDTGSDLTWTQCAPCTTACFAQPTPLYDPARSSTFSKLPCASALCQALPSAFRACNATGCVYDYRYAVGFTAGYLAADTLAIGDGDASSFAGVAFGCSTANGGDMDGASGIVGLGSRALSLLSQLGVGRFSYCFRSDADAGASPILFGSLANVTGDTVQSTPLVRNPVAARRRAPYYYVNLTGIAVGSTDLAVTSSTFGFTAAGAGGVIVDSGTTFTYLAEAGYAMLREAFLSQTAGLMTRVGGAQFDFDLCFEEAGDADVPVPRLVFRFAGGAEYAVPRQSYFDAVDERGRVACLLVLPTRGVSVIGNVMQMDPHVLYDLDGGTFSFAAADCTSL >Sspon.08G0022390-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:48852860:48858493:-1 gene:Sspon.08G0022390-1B transcript:Sspon.08G0022390-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFWNPVDKLDPNLCPGPAHGLLESRDPTRRLPILLDDIQSRGRRRTFAAVAGCNLQVEAIIMRGKDCFSHSRNQDKEIAVADPKYMKLDLNLQKGSFCGKNQEPEREIQHLNRVQL >Sspon.07G0032750-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7C:54075392:54078282:-1 gene:Sspon.07G0032750-1C transcript:Sspon.07G0032750-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQSALRGPSDYSSEPPRHPSLRINAKVVVVRPRTLVPVAGLTDQVAVTQEPFNAEPARRDLAASYITPVDLFFKRNHGPIPILDDIDSYYVTIGGLVGGPRRLSLDDIRKLPKYNVTATLQVLFLLIGNRRTEMSRNRKVRGVGWDVCALGNATWGGAKLSDVLQLVGVPYHTEITLSGGKHVEFISVDQCPVLKRDHGYPLRAIVPGVIGARSVKWLDKIDIIEEESKVQYAFFISPCSCLSCFARDECNLSVMIQGFFMQKDYKMFPPSVDWDNIVWSTRKPQMDYPVQVTVAGYALSGGGRGIERVDISPDGGKSWIEAHRYQKHDVPYVTSDISCDKWAWVLFEAVVNVKGDTVIVSKAVDSSANVQPESVESIWNLRGILNTCWHRVHLLATPDLRSFM >Sspon.08G0000820-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:1304789:1308878:-1 gene:Sspon.08G0000820-2C transcript:Sspon.08G0000820-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MENLRSENGHQGVAMEGVKFAPEMANTNRRALSDIKNIIGGPHQHLAVSKRGLSEKPAAAVNTKDQAGFVGHRPVTRKFAATLSNQPTVAHLPIGSERLKRNADTAFHTPADMESTKMTDDIPLPMLSEMDEMMSSELKEIEMEDIEEAAPDIDSCDAGNSLAVVDYVDEIYRFYRKTEVHYKLELLEETLFLTVNIIDRFLARETVVRKKLQLVGVTAMLLACKYEEVSVPVVEDLILICDRAYTRADILEMERRIVNTLNFNMSVPTPYCFMRRFLKAAQSEKKLELLSFFMIELSLVEYEMLQFCPSMLAAAAIYTAQCTINGFKSWNKCCELHTKYSEEQLIESPLMQGSLLKWKKKSKKGSQALKESFSDLV >Sspon.04G0005930-4D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4D:17607287:17607694:-1 gene:Sspon.04G0005930-4D transcript:Sspon.04G0005930-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SRALDVLLDVVERSRIGPTKAVEVGAVHVLVELLANADDRHDAERILLLLKRLCKCPEGRLAFAEHDLSVAAVAKTMLRVSELATQLAVKVLWLVSVVAPSEKVLEDMVLTGAVAKLLGLLHVESAPATKQKTVRM >Sspon.07G0037740-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7D:62074896:62075399:-1 gene:Sspon.07G0037740-1D transcript:Sspon.07G0037740-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLRPDYFEGDISGGRVGLDINSYPPCPDPSKTLGLPPHCDRDLITILLPGAVPGLEVAYRGDWIKVQPVPDSFVVNFGLQLEVVTNGVLKSVEHRAATNSAVHRMSVATFIVPADDCVIGPAEEFVSEDSPACYRTLRFSDFKRAHNLVNLGSSLNLTTNLKNTQN >Sspon.01G0039610-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:35881340:35882495:1 gene:Sspon.01G0039610-2P transcript:Sspon.01G0039610-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIAATPPKMSSVLAALLSLLLLAVACQASPYYPLELGYYRYKCPQAEFIVKAIIEKAIAQNPGNGAAVIRMLFHDCFVEGCDASVLLDPTPFSPTPEKTSAPNNPSLRGFELIDAIKDALEAACPGVVSCADIIAFAARDASYFLSGGKVCFDMPSGRLDGTFSNASESLKFLVPPTSNLSTLVSSFAVKGMSVEDLVVLSGAHTVGRSHCSSFVSDRLNASVPSDISPGLAGFLKSRCPANPTSSNNPTVMQDAVTPNAMDNQYYKNVLSHTVLFTSDADLLTSAETAKLVLDNANIPGWWEDKFEKAMVKMASIEVKTGYQGQVRKNCRAINHY >Sspon.05G0008140-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:24884945:24888915:1 gene:Sspon.05G0008140-1A transcript:Sspon.05G0008140-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Inositol transporter 1 [Source:Projected from Arabidopsis thaliana (AT2G43330) UniProtKB/Swiss-Prot;Acc:Q8VZR6] MTIDMSMPGSSGLLNAAGKRDMKFFSNPYVLALTGAAGIGGFLFGYDTGVISGALLYICDDFPVVRDNYFLQETIVSMALVGAMLGAAGGGWINDAYGRKKSTLLADMMFALGSLVMCAASGPYILIIGRLLVGLGVGVASVTAPVYIAEAAPSEIRGGLVSTNVLMITGGQFFSYLINLGFTEVPGTWRWMLGVAAVPAIVQFVLMLFLPESPRWLYWKDEKAKAIAVLEKIYDSDRLEEEVELLASSSMHEFQSNNTGSYLDVFKLKELRLAFFAGAGLQAHQTMQAFQQFTGINTVIWPVSLQQAGLASFPHRRCHECAGTIVGIYLIDRCGRRRLALTSLSGVVISLVILALAFILQSSSGLCTSVANGTCQGALGWFAVAGLALYIAAFSPGMGPVPWAVNSEIYPEAYRGMCGGMSATVNWISNLIVAQTFLSIVGLVGTGPTFLIIAGIAVLAFIFVAMYVPETKGLSFDQVEQMWKERAWGNSGSCQSLLGAAAP >Sspon.01G0025020-1P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1C:56648119:56649201:-1 gene:Sspon.01G0025020-1P transcript:Sspon.01G0025020-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFSAGHGRRPKKKLYYREPGLDKAMDLQKKPALLLHLRDLILAQKTGSLLVRDLEKEVGFVQKWNFLSLIERHPNIFHVSGGSASREPISVTLTEKARKISSEEAEARELMEPILVRNLRKLLMMSMDCQIPLEKIELIQSELGLPKNFKSNLIPRYPDFFSIRDVKGLDHLCLENWDSSLAVTIREEKMNFEGSQIGRRGIAKDGNFLGPFAFKLKYPAGFRPNRKYLEEVVRWQKMAFPSPYLNARRVESATPQARKRAIAVLHEILSLTMERRLTSDKLDIFHNEYRLPCKLLLCLVKNHGIFYITNKGARSTVFLKEAYENSNLIDKCPLLKFHDRFASLIGRPCSNSDNMLAV >Sspon.04G0025870-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4B:33005822:33007714:-1 gene:Sspon.04G0025870-1B transcript:Sspon.04G0025870-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding QIAPFISLCSHCRRPLPVRRRAAAAAAPPPPSLAAPLCDVSALPPYPQRLLQLVSRGQIDLHAAAMNTFKIFNGRAELAIIAARLEAWEKTCSYGRRAEMSSSLLQSEEEENNVNLVDDTNASATVTQQLPPPQPIATVDTATDTSLMMIIGKLHSGVNGASPFYLQGTINGASTFMLVDPGSTHNIVSLNFARANRLQEFGISTLVLISGNGREHIFRIDVFLLDIGDNIDVVLGMPWLTRLGCVAWDFNAMELLYYHNGRANILRAAPRHQAPSTMLALPAPQRLSRWSQHARYPTALDNVNNMDDVIFNRMRQAVMEEEELRFIYGVISEGQAANVWSISLAGSSTRRSGQSGVLGLRSTQASNRPVTATTMIILDNGGNLTSRINNIDMGLHPGTEVQRISPNAFDLGAFLVGSMIDLTMTPPIHSQTTIWRRIQFSINPDIANRIHNFHTPPPRMQHFEASVAIPRHLLDAARRETGIGSGFGNIGYKLHGYIGTLHYYFEFAPLRTRENMILADPLEGRIICLLETLLPQQNLPPEGVDDW >Sspon.03G0013710-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3B:51254495:51259714:-1 gene:Sspon.03G0013710-2B transcript:Sspon.03G0013710-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At4g39530 [Source:Projected from Arabidopsis thaliana (AT4G39530) UniProtKB/Swiss-Prot;Acc:Q9SVA5] MNLLGAPLSGSPSPVSCSPTQLRGLAQVLLSGLTGSRLRRLLPAAHARAVVSDGLGFLYLANLLLHGYSKLGCIHDARHLFDGMPHRNLVSWSSAISMYAQHGADSQALALFAVFRRSSDEAPNEFLLASVMRACMQSRAISFGEQVHGNAVKLGLDVNLYVGTALVNLYAKVACMDAAMRVFHALPAKNPVTWTAVITGYSRIGHGGLALGLFQKMGAQGVQPDRFVLASAVSACSTLAFLQGGRQIHGYAYRSAAGVDASVINALIDLYCKCSRPLVARKLFDRMKNHNLVSWTTMIAGYMQNSLDAEALEMFWQMCQAGWQPDAFACTSILNSCGSMEAISQGTQIHAHAIKANLENDEYVKNALIDMYAKCDHLTAARVAFDALAHDDVVSYNAMIEGYARQGDLTEAVHIFRKMGYCSLRPNRLTFVSLLGMSSLLSSIEWSKQIHDLIIKSGTSVDLYMGSALIDAYSKSSLVDDAKAVFLTMQNRDMAIWNAMIFSHTQNEQGEEAVKLFNQLRVSGMTPNEFTFVALVTVASNLASMFHGQQFHTQIIKAGCDINPHVSNALIDMYAKCGCIKEGWMLFESTCGKDVICWNSMITTNAQHGHAEEALRAFQLMREANVEPNYVTFVGVLSACAHAGLVDEGLHHFNSMKSKYGIEPGTEHYASVVNLLGRSGRLHFAQEFIEKMPIKPAAAVWRSLLSACRLFGNVEIGRYAAEMALLGDPSDSGPYVLLSNIYASKGLWADVQQLRLRMDYAGAVKEPGYSWIEVMKEVHTFIARGTEHPQAELIYAVLDDLTALLKDFGYHPDTSELALLGETVIVMNTNLCDLDHPGLAKLIAAHARPPNYLMFFDFFEPPNLAEKIHVEEWIPSTQQVVAIASDLAKALQYLQILGVVHRDIKPANILLDKDLHPHLADFGLAMFQKDIKRVSVENWKSSGKPTGGFHKRNMVGTLIYMAPEILRKDIHTEKSDVYSFAISIK >Sspon.03G0018800-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3B:87175913:87177208:-1 gene:Sspon.03G0018800-2B transcript:Sspon.03G0018800-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cell division cycle protein 123 homolog [Source:Projected from Arabidopsis thaliana (AT4G05440) UniProtKB/TrEMBL;Acc:Q9M0V1] MLLDELLRCQIHEWYPAFRRHSIPTVIIPLPAAFLRYLAGQRAYPDPDADADADEEPLPFLLPAVTSGRQAFAPIHAHHPDPDSLLNSDIFFGSSDEDVFDPDAEHPLRPELPELEAAIDGAIAELGGAALPKLNWSAPKDATFMSADGRTRCTCFAEVAMLLRSSDCVAHDLSSARQSCEDFVRPEGARRNAQKLSTGAKEGARPNADETGSSEGSHEGTNEAPSNSSKIGGEGGKTDDEDCDVEAATEEERNETTWVDDGFQYYLALRKWYPGLRPESEFRCFVRERKLVAVSQRDPSAYYPSLPGWSSEVQPKIEAFFNEVIEPQFASNNYTFDVYVRTDGRVKLIDFNPWGGYTLPLLFNWEELEEEGRGPELEFRVVMQQGAVRPGLMTAVPYDMLDWGEGSGWDVFLKKADEELDRQMNSLDGDS >Sspon.05G0027890-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:56478912:56496207:-1 gene:Sspon.05G0027890-2D transcript:Sspon.05G0027890-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRRRVGALLLQSPLLPPPRPPLPASAGATTFSPARELILDIIKEGRIVPSEITVELIRKAMETKNAKRVLIDGFPRCEENRIAFEKIVGTEPDIVIFFDCPEDEMVKRLLGRNQGRVDDNIETIKKRLKVFESLNIPVVEYYSSRGKVHKINATGTADEIFEAVHRLFSSLSREGGMGKAMATTEVEGPPPPAAAAALEVPAKRGLLRYNSPLAQVSLLGLICFCCPGMFNALSGLGGGGQVDASTADNANTALYACFAVFGVLGGAAHNLLGPRVTLMLGALTYPLYAGSFLYYNHHRHSQAFPVTAGALLGAGAGFLWAAQGAVMTSYPPPNRRGTYISLFWCLFNLGGVLGGLLPFSLNYSRGDKPGNVSDSTYIAFMAFMLVGAALTMLVLPPARIVRDDGTKATRVTFSSPATEGAEILKLFANWKMLLVLPAAWASNFFYTYQFNNVNGGLFTLRTKGLNNVFYWGAQMIGSAGIGYFLDFGFASRRKRGLFGSSPSPSSERPSGEAVWRTSSSTQVCRCPTPSISRRATGTPGRSCSTSATACWMPCSRVSSTGSSAPSPMTPKSSAGFQCIASSLRFRELILDIIKEGRIVPSEITVELIRKAMETKNAKRVLIDGFPRCEENRIAFEKIVGTEPDIVIFFDCPEDEMVKRLLGRNQGRVDDNIETIKKRLKVFESLNIPVVEYYSSRGKVHKINATGTADEIFEAVHRLFSSLSREGGMGKAMATTEVEGPPPPAAAAALEVPAKRGLLRYNSPLAQVSLLGLICFCCPGMFNALSGLGGGGQVDASTADNANTALYACFAVFGVLGGAAHNLLGPRVTLMLGALTYPLYAGSFLYYNHHRHSQAFPVTAGALLGAGAGFLWAAQGAVMTSYPPPNRRGTYISLFWCLFNLGGVLGGLLPFSLNYSRGDKPETIVRDDGTKATRVTFSSPATEGAEILKLFANWKMLLVLPAAWASNFFYTYQFNNVNGGLFTLRTKGLNNVFYWGAQMIGSAGIGYFLDFGFASRRKRGLFGVVAVAVVGTAIWGGGLANQLKYTSVPLSDPIDFKEGHRYAGPFLLYFSYGLLDAMFQSLIYWIIGALANDSQILS >Sspon.02G0036070-2D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2D:17501445:17501828:-1 gene:Sspon.02G0036070-2D transcript:Sspon.02G0036070-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLTVQCCCAAFRYQKGTHNLFEVNETGYDNCTMAGVAGNWTSGKDFIPLPEARRYYFICGNGFCLQGMKVAITVHPLPHNATSKGSNSRGSTGAQEEAAAAALGARSAAWLATLAVVAAAVAAFC >Sspon.06G0013040-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:56490180:56493373:1 gene:Sspon.06G0013040-1P transcript:Sspon.06G0013040-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VTPSPAAAAAALRQVPRPSLVSSPATSTHQGTGLRMQSLVRNVCRAGSRGAAARLLELAAAPVAAAHPGPAQPSSAVKHLRQPYRFARPIGVGQSGRVQSWALPAAAASGFGLCAKALVATRGLSTVGNVAEVASDSDDSTSLSVEHPPRIKFKRPDKTARHIMNILNKEAVEKVRSEREIPDVQPGCMIQMRLPLQAAGNEDR >Sspon.03G0040100-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:16507397:16511671:-1 gene:Sspon.03G0040100-2D transcript:Sspon.03G0040100-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSRFVFNLHIIDILAVTILGLLIMITLFVHELQFYLTTYTVHQLRLDKYGHIIGTEYLSDLVEKGHGAHHDHDHGQEHHDEQKKHEQTFNEEAEKMIKSVKQALENGEGCRVYGMLDVQRVAGNFHISVHGLNIFVAEKIFEGSSHVNVSHIIHELSFGPKYPGIHNPLDETSRILHDTSGTFKYYIKVVPTEYKYLSKKVLPTNQFSVTEYFLPIRPTDRAWPAVYFLYDLSPITVTIKEERRNFLHFITRLCAVLGGTFAMTGMLDRWMYRLIESVTNSKTRSIDTKSTDYSFVIY >Sspon.02G0029340-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:106802897:106806844:1 gene:Sspon.02G0029340-1A transcript:Sspon.02G0029340-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIEGTGSRSAETIDAGRGKKRPHSACCDEDSSKSSAIDADDEWLVNSSEEGDDYGNQGMCSPFTVDNFPRASWDHDNQSELVFANPETKRRGPSPIMLFPPFKTGRHLFDSEYNLNDKSEMSLTNVEDCLKECCCLPMDLIQFIDVKIAGYQHSHPGRAKIFEFVTAMFIVCCQKLTIFMGMARLSLTSHTRVISMSSYALIEFELHAQSEDETNGDDGPIIEGCTELDNMYESRSFIEHQRLYGERCALDIKYLVLMNAVEARLEVTVLRSAAIDDVVNMKLLAKTSGFSEVIRLFRGVTPKLGFMTSFVIAVERHSDFDLYIKGYPRDHPNVDKKLVPCSRWQCSFASRYHGTDEEVAELGDFGAVSVKWPIDPQKTEVFER >Sspon.01G0052270-2P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6C:63072971:63073420:1 gene:Sspon.01G0052270-2P transcript:Sspon.01G0052270-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding YGDGCCGGRIHLHQVRLPGLPRCRVRAQATSWAGGAEALVRSGAVKAVRPRDAAEALGAEGFRLLDVRPEWERARASVRGSVHVPLFVGDDDMGPVTLLKKWVHLGYIGLWTGQGFTKMNDRFVEDVAAAVVAATAARTPSFSSPVAKAS >Sspon.06G0002260-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:5561477:5566486:1 gene:Sspon.06G0002260-3C transcript:Sspon.06G0002260-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEERRWRFANLRSVRWRVDLGILPASPVASVDELRRAAADSRRRYVSLRRRLMVDPHLPKEEDRSSNLVVDNPLSQNPDSSWGRFFRGAELEKTVDQDLSRLYPEDGSYFQTPNCQAMLRRILLMWCLQHPECGYRQGMHELLAPLVYVLQVDIDKLSQVRKLHEDCFNDDFDGVPFPDTDMVFSYKPRKDLKWHSGAYNGDDSESASRVNTLDELDLDTKEIISLSDAYGAEGELGIVLSERFMEHDAYSMFDGLMDGGSGVVRMAEFFSTSKVGSSSSLAPVIEASSSLFHLLSIIEPSLHSHFIELDVEPQYFALRWLRVLFGREFCLNDLLVVWDEVFACSNDMLLGENEEYSFKILCSSRGAFIAAMAVSMILHLRSSLLATEINTSCLQRLLNFPNNVDVHKLIEKAKSLQSIAVDANVSSPPLLSKKDRCDYDRVYSNLATSTPPRTPLHPLPESYWEKQWRNLHNDGTAPKGSDKGLSYKKSLKQSLSQRLGLSRTESDPSPVKVVSVKSDTKNSVRRCLLNSYSDKVVQSSEVVGKFQQDEFPIVSIYKEPLVNSERPSQLKLKGAASENLTVSPPSVTKFNPLIDSLVKPAEESSTKRTEACSSGENSPVFYAACAGNEHENCHDNDSERSSITSNSCASDDDRDEVLADESSNCKCEDKNVSEATESVSEATESATNVDPVGSSERTMVSNERKPFINKLQWFLRFGRPSAEGNVEKGSAEASDDKHDAVLPCSSAADVSSDNSRSGINLASGDNKKVMGTLKNIGQNMLENIQVIETAFQQDRAQPSAMENFSNNILGGKGQVTAMAALTELRKISNLLREM >Sspon.02G0025510-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2B:89575954:89576499:-1 gene:Sspon.02G0025510-2B transcript:Sspon.02G0025510-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPPLPPELPEEMQEEILLRFPPEDTAHLVQAALVCKPWCRLISGPGFRCRYREFHRTRTPPLLGLFCNTDEPYNPELGTCGPDIFRFVPTSSFTAPRVNSRMCWWWVTDARHGRILLHRTSEDGVSPTAGCYGLMVWDPTTGEKKELPSPASALTHELVDRSGSLRCRRRRRRRSPSP >Sspon.06G0034710-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6D:52734416:52734568:-1 gene:Sspon.06G0034710-1D transcript:Sspon.06G0034710-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRHDYTTIESEDGYHIQIDGLLNIPKTCENGFSEEVIAMIGLQGLEFRKV >Sspon.01G0019170-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:71863803:71870184:1 gene:Sspon.01G0019170-1A transcript:Sspon.01G0019170-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSSKKSSVVAAPAAVSVPDGKSVKKGKRNAEDEIEKAVSAKKQKTASEKAVPVKKQPPPKKVESSSSDSEEDSSDSEVEVKVQPKKVIQPKKGTQPAKQESSDDSSSESSSDDEPSKKPVASSNKPAASSSDSDDSSDSDDSSSDEEPAKKPTVLSKKPVTAVSNGSKQVKHDSSSSDSSSDEDEKSAANLKKPPVASVQKKTQESDSSDSDSDDDESEDDIPAKAAVVAKKKEVSSDSSDSDSDSESEDEDNNAKIVQPAKAAAPKKEESSGSSDSDSESDSDSDEPEKPTVPAKRPLATNKNEESSDESDGSSDESSDESDEEPPQKKSKNSAPSGAAKAATKVSKKESSSDEDDGSSDESSDDEEESEPTKTPKKKPKTPAKSQSQATGSKTIFVGNLAYSVEREQVKEFFEEAGEVVDVRLSTFDDGNFKGYGHVEFATVEAAQKALEFIGHDLMGRPLRIDIAIERGAYTPSSGKDNGSFRKSAQRSGNTVFIKGFDTSGGEDQDPDSLNKAYELNGTDLGGYSLYVDEAKPRPDNNRDGGFSGGRGGRGGRSDRGRSGGRGRGFGGRGGRGDRGRGGRGTPFRPTAGTPSTGKKTTFGDDD >Sspon.03G0033250-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:53459232:53461106:-1 gene:Sspon.03G0033250-2C transcript:Sspon.03G0033250-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGDPEPMAETSGAMVVAPARVPRAEPGINDLPLDVLPRVLSCLDAREAVQTCVLSKLWRDLWRNARRINASWRAFEIDGGELDDHGRSLFKKFVNRLLMLRNPVSLDEFRLFYCIDGDRLYADSAEANLWIRHALLCEARSVEIFAWQDRLDLNHAVFTSQHLTSLLLYSVILSNGFFQQLQTGCKALECLILQECPIEDIEIASQTLKLLSIGRHCWFNSPNYDLAHQASLSLSLPNLIHLGFFDHLTYRDYSRIPSVKNMESLQTAYIDLLMYNGLPVDDIRQFILGLSGVTKLEFCFGFGQEESGSDDGMDLQWCPKFNNLKFLSLVNWYLDANFYALIAFLQNSPNLEHLTLSLKLKQHAKVPGALMGWAGNRLFTCEHLKTVEIICSKDDPVVHSLREFLLAGGISDGQIHIKH >Sspon.02G0034020-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:9897520:9899808:-1 gene:Sspon.02G0034020-1B transcript:Sspon.02G0034020-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASARPGERATSFAVACSLLSRFVRQNGAAAAELGLGIKGEVEPQRTPATISLLPGAKGEEAERRKETMELFPQSAGFGVKDGAAPREQENKEKPKQLTIFYGGKVLVFDDFPADKAKDLMQLASKGSPVVQNVVLPQPPAPAAVTDKAVPAPVVSLSAAQADAKKPARTNASDMPIMRKASLHRFLEKRKDRLNLKTPYQTSSDAAPVKKEPESQAWLGLGPNAVKSNLSLS >Sspon.08G0016550-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:65092739:65097699:-1 gene:Sspon.08G0016550-1P transcript:Sspon.08G0016550-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding HCPAAAGIKDLPRQGGGQSHQAPPRHQLPESIDVDEHLPAPAMASVSVSVPRIKLGSQGLEVSAQGLGCMGMSAFYGPPKPEPDMIKLIHHAVASGVTLLDTSDIYGPYTNEILLGKALQGGVREKVELATKFAVSFADGKREIRGDPAYVRAACEGSLKRLGIDCIDLYYQHRIDKKVPIEVTIGELKKLVEEGKIKYIGLSEASASTIRRAHAVHPIMAVQLEWSLWSRDVEEDIIPTCRELGIGIVAYSPLGRGFLCSGAKLVDSLSEQDFRKHMPRFQPENIHKNAKIFEQVNAMAAKKGCTPSQLALAWVHHQGNDVCPIPGTTKIENFNQNVGALSVKLTPDEMAELESCAAAGQVLGDRYPQMANTWKDSETPPLSSWKSE >Sspon.03G0025980-5P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3C:2816071:2818501:1 gene:Sspon.03G0025980-5P transcript:Sspon.03G0025980-5P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LAQGGVHRAGVPGVGGAVERGLAAALIRLHFHDCFVQGCDASVLLDSTPTQPSEQLSPPNLTLRPAAFKAVNDIRARLEQACGRVVSCADLVALAARESVALGGGPAYKVPLGRRDGLAAASNETVLGALPPPTSKVPTLLSFLAKINLDVTDLVALSGGHTVGIAHCSSFNNRLFPTQDPTLNKFFAGQLYGTCSTNTTVNTRVNDVRTPNTFDNKYYVDLLNREGLFTSDQDLLTNATTRPIVTKFAVDQNAFFEQFVYSYVKMGQINVLTGSQGQVRANCSVRNAGAGGDELPWSVVET >Sspon.05G0009360-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:27003431:27006031:1 gene:Sspon.05G0009360-1A transcript:Sspon.05G0009360-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAQLHGSAAATAAYRRTRASSVPSSCRWPQSLLPGSPKLSISTSGMCMKPFAFAAKLSTKCANENAQVEELDLQSDQMKELQVEEHVIPQKRSAKIHDFCLGIPFGGLLFSMGLLGYIFSRSTISLVLGIAPGLATLLLGTLSLKFWRSGRSSFLLILAQAAISAFLAWKYSHAYFLTNRLLPWGFYASLSTAMGCFYAYVLLAGGNPPPKKLAAIPPQ >Sspon.01G0004040-3D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1D:9502846:9503382:-1 gene:Sspon.01G0004040-3D transcript:Sspon.01G0004040-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPSTTAAAAVLSIIRPTPPPALSFHPVPLPQIRRSASAASRLVAARAAASGARGEGDAETVFFDGGAHYGDLAANLLLGLTLLWLPLTLAAVSRAFILRYRFTSRRVTVVSGLSGADRTDFPYSSVTSVVVVPRFIGEWGDIVITLKDGTKVDLRSVPRFREVADYCRSMAAAEGSL >Sspon.05G0000350-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:1878831:1879287:-1 gene:Sspon.05G0000350-1A transcript:Sspon.05G0000350-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVANAIAAGYLVLSLPFSAVIVLRPQAIGLRHLLLVCDMIIAALLTAAAAAAAAIVDLAHSGNLRANWVPICMQFHGFCQRTSGAVVASFLAVLVLLFLVILAAFAIRKR >Sspon.08G0019450-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:12842797:12857601:-1 gene:Sspon.08G0019450-2D transcript:Sspon.08G0019450-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGVGELLASAALKQVAGMLGTAIWDAIASQLKLSDELKYLRDTVATIVNVRLDKRLMKDGDGRIWMRELKAAAYDMEDMEISIPMKLRLTMPSKLKKMKETLEKILNLRRLDSMADTSSDDRDVIQMRATGPCLEEGIVGRDKDKAELMKLLQEDCKHTIIPIYGFGGLGKTTLAQMVFDDSTTKRDFDIRAWVYVSTKFSNENIGRSIISQVDGKSKQYDLPSVQMRVGTILSGKKFLIVLDDLWEENTSNLNQLQAMLKGGAPGCKIVVTTRSKQVAKRMNRELPFKLGALPYDDCLKLFKDKAFPNGSEESDDEFIKVGEKIVKKCGGVPLAVKSLADRLLDMPLRKWEETLKSELWEGERDPTTAIASTAVLPSLKISYYYMPYYLRPCFVYFAAFPKGFVIEKRDLIHRWIALGFVSTTDAEVYLQELRQMSFVEATSISTSVSAGYSKPNTHDLLFKMHDLVHDLARSVAVEEVAICDANHGSSGAEKDICRYMLFSNFKDGYLKCKDMPLKARAIHFSDCTGCQPSRDAFSETKWLRVLDLSSMETVELPSSMRNLHHLQVLNLSENTSLVKLHSSLFGKAKLHSPTSICDFQKLRYLDIHGCSNLRGLPDNIHILQDLEYLDLSGCTSLQKLPSRFGELQMLSFLNLSCSQLEMLPDTFSQLENLEYLNLSFCSKLKHLHTLSFKRMKGLLYLSMSGCTWLEALPEFYGNNDGCLNLEILDLSDCDRLINLSESFARLNKLRFLSLSGCSRIQNIIHFLGKFVKLEYLNLSGVPLSGFDVIKDSEAPSSSTGHASGYCGEDLSLKMLNGIIKSMPRLEYLSAGGLSLFSKEGISEDFLTLPDFVVSTRSGGNSSNISLLQNILDPTHCELNIRCLEAVMSAEEAATVELGRKHRLGSLSLEWSSSEWYTPSEPTVKTMAVLEHLQPHRNLKHLAIKGYNHSTFPRWLMGICYTLPNLVKLVLSDLVWCDHLPTPANLSNLEDLEIRNMPLLKGACLAPSKNLKRLSLVALASGFTLRFFQETNRNCQGMHDIELDHAEESETPEVPRTQSCVSRLLAVVGFQRKAQMSEVGASSSAPSATKEAVPTLCCLKIEYCQYVKLYPSIYCEEYFINESKVEFITDTGVSAPILARKMHFKNCSQLTSTLKSATIRANELVIDGCYKEFLNYFFKSVVKSKEGFYLQHKAIQKLKITNSEEFDIKFLPCIPSLQELEINCNVIGTSSYPRYSWVRMDEWQTPNRMDKLEKITLSSCENGVYIDQRQDLLLAFPHIQYMKINEAIYVADFHLLHQNKDFGITKLCLANLQLVKKANEVDPGELARYDQLRRLSLRWSRQPDDQAADNAHANFDQGLSKTDDTDDSAVLQRLLQPHANLSALEISGYQGATFCAWVSNANLYLPTLMKIKLVDIPRCKRLPSLGQLAHLEELHISDMPNIREVDTSFYGGNHPFRRLRELHIYRIENLEVWSTNLEPYTGQMSWNDGEQQSLGDEIFPSLEHLIVKGCPKLTLNSGFQGCISRIIGSCSE >Sspon.02G0012740-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:27828520:27831337:-1 gene:Sspon.02G0012740-4D transcript:Sspon.02G0012740-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MESRSDVPLISDSELPDRNRRGGGRIAELAKEVWGESKKLWVVAGPAAFTRLTFYGMTVVSQAFAGHIGDLELAAFSIATTVISGLSFGFFVGMASAMETLCGQAYGAKQYHMMGIYLQRSWIILLSFAVLLTPTYIFSGQLLTALGQPAELSRQAGLVSLYMLPLHFVYAIILPLNKFLQCQRKNWVAAVTTAAAFPVHVVATWLLVHYFRLGVFGAAMALTLSWGLATVGLLSYAFGGGCPETWRGFSGSAFVDLKDFIKLSAASGVMLCLENWYYRILVFLTGYVKNAELAVDALSICISYAGWEMMIHLGFLAGTGVRVANELGAANGIGARFATIVSMTTSFLISLFISLLILIFHDKLGMIFSSSQAVIDAVDNISFLLALTILFNGIQPVLSGVAVGSGWQALVAYVNIGSYYLIGVPFGFLLGWGLHYGVQGIWVGMIVGTMVQTLILAYIILRCDWNEEALKASTRMRRWSNSK >Sspon.04G0034990-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:173507:177412:1 gene:Sspon.04G0034990-1D transcript:Sspon.04G0034990-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)-binding Rossmann-fold superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G21280) TAIR;Acc:AT2G21280] MGFSSTPNLKLLLEPRPRIGTTATTRRLVRLRCALSSEPPVPPMTVSITGATGFIGRRLVHKLLSDDHKVCVLTRSASNAASVFPASTYPGLTIAEQGDWEACVRGSSAVVNLAGMPISTRWSPEIKQEIKQSRINVTSKVVKYINHAGNTDTRPSVFVSATAIGYYGTSEIHSFDESSPSGNDYLAEVCREWEATACQVNQEDVRLVLLRIGVVLGKDGGALAKMIPLFMMFAGGPLGTGRQWFSWIHLDDLVNLIYESLTNPTYKGVINGTAPNPVRLSELCERLGRIVGRPSWLPVPEFALKAVLGEGASVVLEGQKVLPAKAKDLGFSYRYPYVEDALKAIARDL >Sspon.04G0036760-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:47234472:47238268:1 gene:Sspon.04G0036760-1D transcript:Sspon.04G0036760-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At1g33350 [Source:Projected from Arabidopsis thaliana (AT1G33350) UniProtKB/Swiss-Prot;Acc:Q9C501] MRCSPQLSHRDFVAVLSRCSTRAHLEQLHAHAFVTGRAAAQTTTFHLLRFAALRLSSLTYARHLFDATPHPNVFLYSAILSAYVSAAAAASPSSYAHARDALALFFRMLRHGRPAPNQFVYPLVLRAACGVGVGIVRSIHSHACKSGFCGYDVIRTSLLDGYSRHGMMADARKLFDGLTERNVVSWTALVSGWIFDEVSDRSLTTWNSLINCLALHGHSKCAISVFNEMRGEGVEPDVVTFVGLLNACTHGGFVDEGIKYFELMQHEHGIEPEIEHYGCIIDLLGRAGRFQDALNVISDMRIEADEVIWGSLLNACRVHRQVELAELAIRKLLELDPNNANYVLMLANVYSEGGLWKRDVTSLEGSCLIVFHDMIMRPETGKLCNPQLSTQVYLHLFLVLSQLDIILHLYKGVKKKMMEEAEGEPKKTAIYCHLLFQIYRRQFQR >Sspon.04G0014660-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:60903564:60907874:1 gene:Sspon.04G0014660-3C transcript:Sspon.04G0014660-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial carrier protein CoAc2 [Source:Projected from Arabidopsis thaliana (AT4G26180) UniProtKB/Swiss-Prot;Acc:F4JU70] MDARAKEAAETSGPGLPLAVRELLAGGVAGGVAKTAVAPLERVKILFQTRRAEFRGSGLIGSFRTIYRTEGLLGFYRGNGASVARIVPYAALHYMAYEEYRRWIILGFPNVKQGPVLDLVAGSIAGGTAVICTYPLDLVRTKLAYQVKGAVNVGFRESKPSEQVYKGIMDCVKTIYRQNGLKGIYRGMAPSLYGIFPYSGLKFYFYEKMKSHVPEEHRKDIIAKLGCGSVAGLLGQTITYPLDVVRRQMQVQALSSSSLVGKETFESLVMIAKQQGWQQLFSGLSINYLKVVPSVAIGFTVYDSMKVWLKVPSREDTAVVVLTEERSNTAPIPSS >Sspon.02G0041160-1P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:76427805:76431807:1 gene:Sspon.02G0041160-1P transcript:Sspon.02G0041160-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLLLLTTRRLLQLLLLISAVAITSAAAALYTWPDDERALVAFKAKISGHSGVLDSWNQSTSYCSWEGVTCGRRQRWRVVALNLSSQGLAGTISPAFGNLTFLRSLNLSNNNLEGEIPASIGSLRRLGSIDLSSNMLTGVIPSNISRCISLREIIIQNTKGLQGSIPVEIGSMPALSVLALDNNSITGTIPSSLGNLSRLAVLSMQVNYLEGPIPAVIGNNPYLGLLDLSDNNLSGLLPPSLFNLSSLSLFYAATNQLRGRLPSDLGRSLPSIIKLFIGQNQFTGALPLSLTNLSRLQFLTLESNNFTGVVPAELGRLQQLQVFALDDNMLEANNEEQWEFIGSLTNCSRLQFLSFGGNRFVGKLPGPLVNLSTNLQQLKISQNSISGVIPSDIGNLVGLEMLDFGNNLFTGVIPESIGKLTQLQQLGLYFNHLSGHLPSSIGNLSSLLQLYARNNNLEGPIPASIGNLSKLLALSLYNNNLTGLIPNEIMELPSISMFLDLSNNMLEGPLPLEVGSLVLLEQLWIYGNKLSGEIPDTIGNCRVMEILYMNGNSFQGSIPATFKNMVGLSVLNLTDNKLNGSIPGNLATLTNLQELYLGHNNLSGTIPEILGNSTSLVRLDLSYNNLQGEVPKGGVFKNLTGLSIVGNNALCGGIPQLHLTKCPSFSARKNNKGIPKYLRITIPTIGSFLLLLFLVWAGYHHRKSKTVPKKDLPPQFAEIELPIVPYNDIMKGTNGFSEANVLGKGRYGTVYKGTLENQAIVVAVKVFNLQQSGSYKSFQVECEALRRVRHRCLLKIITCCSSINHQGQDFRALVFEFMANGSLDRWIHSNLESQNGQGALNLSQRLEIAVDIVDALDYLHNGCQPTIIHCDLKPSNILLNQDMRARVGDFGIARVLDEATSKHPVNSSGTIGIRGSIGYIAPEYGEGLAVSTSGDVFSFGITLIEMFTGKSPTDDMFRDGISLHYYAKAALPDNVMEIADSNIWLHDGVNNNNDTTHITRTWECLLAVIQLGVICSKQLPTERLSINDAAAEMHAIRDKYIFTQ >Sspon.04G0016100-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:59126225:59126771:-1 gene:Sspon.04G0016100-1A transcript:Sspon.04G0016100-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLKEMARSDEERAPPAWLRTLLETTFFDACPEHLASKGRANRRTTGCNFFCTHCAGRALCFGCLGNHEGHELIQSSGHNVVKVDDVQHLLSMSLVQTYLYNGGYVVFLNRRPMLGDSRMRLAASAQLGAK >Sspon.04G0002540-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:16855509:16860641:1 gene:Sspon.04G0002540-2B transcript:Sspon.04G0002540-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLYVPAGQRSAQDAEQNGQTVAVGVLGIEPWSHPKLPLPCRDARADADANALVPPLNFAMVDDGIFRSGLPDAANFRFLLSLNLRSIVYLCPEPYPEENARFLQQNGIELHQFGIEGSKSGPTVPDTMLVQEPFVYIPEETIREALKVILELQLSSPDISNSSPVSIYSDKPAGAHPLQERQAPDWLRRRMPEEAAEVVSVVSVRRVLHFAAAKARSTDKRFMELFDASSLMHLTASQTAPHQLLRN >Sspon.03G0022550-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3A:68659803:68662991:1 gene:Sspon.03G0022550-1A transcript:Sspon.03G0022550-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVETHRKFKLPSKSNRIPCFHYFLPPPSPDPRAPSPAAALRLLRPPRRPPRSLLRPPRVPRRSPYPWLLLPPAAIPAPAPRLPPPRPSSATRRSPPPRLWKLRPPPCATPTRRAAPNSRRRQTSSGPPPPPRSGSALLPPLSTWSASRPTCWQQAAALRARLHLEHHRDDDSEGDADSISTETATVAHLHSQAAAVQNIKNLIPIVLDLQASNYSKWRGYILLILGRLALKDHVLSDAIHPHDAAWSRMDYVVVSWIFNTIAPDLLDVVHERDGITARAASLGLEQQFFNNRESRAMLLDAEFRTLCQGALSVDEYCRKMKNMADALADLGEPVLDRTLVLNVLRGLNERFQFMSQLVTRQKPFPSFADVRAYLRLAELNMASPLAPPSTLITAPSSKPPAPSPAPQRPPQPARGQQLGHTPAPPRHPQAAGGQQSGNDNNRGRRHRGGRGQGDVARVQPRRLSRSTAPTCLHLHRHNKLSWPGRPPGYFAPPPVPGAYYQAPQQAPTSTWSPSPWTTEGLANAFSTVTLTPPSSTSDRVFDSGASSHIAGTPGMVTMSPTSSFPSSIVVGNGATLPVVGTGYSTLPGPFRLNNVLIAPDIIRNLLSIRQFTTDNLVYVEFDPLGIYVKDLRTRNLLLRSLLSPLRAHSKVYVAAMAMAKEVERVGEAGGDRRRKKTLGRQKIEMKPIQCPEARHVCFSKRRVGLLNKATELCALTGAQLAIIVFSPAPAVNAVIDRYRDPSAAAAAASTEATTRPTILCGGFDSERERLRKAIAAEAGRARRCGARGRRVDQRR >Sspon.04G0009930-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:28429192:28433178:1 gene:Sspon.04G0009930-1P transcript:Sspon.04G0009930-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASQSSMSGAGEPGMRTVVWFRRDLRVEDNPALAAAARTAGEVVPAYVWAPEEDGPYYPGRVSRWWLSQSLKHLDASLRRLGAGGLVTRRSNDAVVALLDLVRSTGATHLFFNHLYDPLSLVRDHRVKEQLTAEGITVQSFNADLLYEPWEVLDDDGCPFTMFAPFWNRCLCMPDPAAPLLPPKRINSGDLSRCLWDELIFEDESERGSNALLARAWSPGWQNADKALTAFLNGPLMDYSVNRKKADSASTSLLSPYLHFGELSVRKVFHQVRMKQLMWSNDGNHAGEESCALFLRSIGLREYSRYLTFNHPCSHEKPLLSHLRFFPWVVNEVYFKVWRQGRTGYPLVDAGMRELWATGWVHDRIRVVVSSFFVKVLQLPWRWGMKYFWDTLLDADLESDALGWQYISGSLPDGRELDRIDNPQFEGYKFDPHGEYVRRWLPELARLPTEWIHHPWDAPESVLQAAGVELGSNYPRPIVELDAANSRLQDALSEMWELEAASRAAMENGMEEGLGDSTDEPPIDFPQELRMEVDRQPIQPAIHTRQWLVGDEKIRWCLA >Sspon.01G0010500-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:31753492:31754572:1 gene:Sspon.01G0010500-4D transcript:Sspon.01G0010500-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSFKLAVAVTWALVLATTACQGLEVGYYKKTCPRVEHIVRDEVKKFVYKNAGIGAGLIRMLFHDCFVQADTTCKHIQGCDGSVLLDPTPANPQPEKLSPPNFPSLRGFEVIDAAKDAVEKACPGVVSCADIVAFTARDAAYFLSRFNVKIDMPAGRLDGRVSNASEALDNLPPPVFNVTDLIASFAAKGLGVEDMVVLSGAHTVGRSHCSSFVPDRLAVPSDINAGFANLLRRRCPANPAPANDPTVNQDIVTPNALDNQYYKNVLVHKVLFTSDAALLTTPATTKMVGDNANVPGWWEGKFKKAFVKMSQIEVKTRNQGEIRKYCRAVNTK >Sspon.03G0006890-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:8606802:8611728:-1 gene:Sspon.03G0006890-2P transcript:Sspon.03G0006890-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHIILPPDDADENHSHQAQEEEEEAEELGRDDDDDDDEHAHGHPPALPPKAALPFSATCVRISRDSYPNLRALRNASSVSLADAAYVKISEGDFGYVLDDVPHFTDYLPDIPTYPNPLQDHPAYSTVNRRGVHFRRAGPRQRIYFGTDEVKACIVTCGGLCPGLNTVIRELVCGLSHMYNVSSIFGIQNGYKGFYSSNYLPLTPKSVNDIHKRGGTVLGTSRGGHDTKKIVDNIQDRGINQVYIIGGDGTQKGAYEIFKEIRKRGLKVSVAGVPKTIDNDIAIIDKSFGFDTAVEEAQRAIDSAHVEACSAENGIGLVKLMGRYSGFIAMYATLASRDVDCCLIPESPFYLDGEGGLLQYVEKRLKENKHMVIVVAEGAGQDLIAKSIATSDQQDASGNKLLLDIGLLQSKKMEMTIKYIDPTYMIRAIPSNASDNVYCTLLAHSAIHGAMAGYSFTVGMVNGRHTYIPFYRVTSTRNKVKVTDRMWARLLSSTNQPSFLSQKDIDEASEADRLANRPPLPTGASSRVARSFDQSSSCSSNGEI >Sspon.02G0018950-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:61085705:61088644:-1 gene:Sspon.02G0018950-1A transcript:Sspon.02G0018950-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAGLLLGDAAGLGAHHAIRRRRTHNLYLRNWASKPLPRPPVWLGAAARVSRNGDDRRGHVARFAASASGGGDEPGELPEDEAQRQREAELNRRLKEAEEMEELERTAEQLQSQAAAEAPEESEEEKRERVRRELQKVAKEQAERRETAKQMFELGQRAYGKGMYGRSIEFLEGALTIIRPSSLLGGEIQIWLAMAYEANRRHKDCIALYKELESSHPMINIRRQAAELRYILEAPKLKISNDEVVTIPQIGSSWDWYAGTWSDKIKEQEDRKRKMVAASNQVQPSPNIFGDFSFLRLPNEWKKSAWVIVTLWVLLIGTAIYLQT >Sspon.02G0010190-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:31410843:31414306:1 gene:Sspon.02G0010190-1T transcript:Sspon.02G0010190-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:3beta-hydroxysteroid-dehydrogenase/decarboxylase isoform 3 [Source:Projected from Arabidopsis thaliana (AT2G43420) UniProtKB/Swiss-Prot;Acc:A9X4U2] MATAEPGPPPPPPKPACAVTFGRSTLLGCHLAAALAASGRWSAVAILDPSPTSPSPPPCPPASHLVYHHDVDLSADPERLVSALAGAAAVFHVDATTATASRSDGSFLSLHRLAVEGTRRLLAACRAAGVERVVYTGSADVVAAVARDVINADEDSAPYPDKFGNAVSELRAQVEMMVLGADGVDGMRTCVLRPSNLFGPGDSSLVRFVAGYARSPLGKFVIGSGSNMSDFTYVENVAHANICAEQALSSNAASVAGKTFFVTNDEPMETWEFMNCMLEAMGCQRPRINLPAKMLTSAALLSKMIHHRLGFQMLSTPLLHPDTIYFLSCTRTFNTSRARRLLGYHPIVSLEDGIMRTVGSFTELSDNLGLSRKQGSCGSSKADKLLGSGTAADILLWRDEKRTFSFVTVLFLVFYWFLLSDRTFVSSAAKFLLVLSLGLFIHGLLPSEVFGFTVEKVTSEHFEVSHLALRNSLMCLASAWNGSIHKLRVLAEGEDWSLLLKVFAFLYSIKLMLSFQFRILMGLVLASLFIVFIVYEQCEEEIDSLVAIASVKVEWLIDRVVGNLPASLKAYIA >Sspon.02G0054200-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:110662919:110664741:-1 gene:Sspon.02G0054200-1C transcript:Sspon.02G0054200-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MWEMTIEQNEEVVHHSIPEKFVKKINGQIAEGVDIKTPSGETWHVGVTENGEELFFVLGWEDFVRAHELKENDLLLFTCRGSSSFEVVIFEGSGCEKVSSVFGNRFGPNMWRHFNDMEGKEAECYSQSNSEDTTTPPHQLLESPHNASTSKKSICKRKQPGSPNRTSDYDVKQQGIREEDSDSDEEYTDSKYYYSRTAANRLSTDEERKIISLASIQPDNPAFITVLQLTNCRPRNNSLTIPSQFAADHLGERSHEIVLRRPNRKDKWYVRYYYTTAIRSLKGYHLSKFVRENKLREGDICVFELMKGKRRVTMSVHVIKK >Sspon.05G0039740-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:77154999:77160875:-1 gene:Sspon.05G0039740-1D transcript:Sspon.05G0039740-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MYIEAYLFKAAPKVMPLETLKEITNNFSIDRELGSGTFGIVYKGVQDGEEIAVKQLQTQGHDDHKEFMKEFENLWRLRHPNVVQLLGYCYEIKREVVALGDGRFVWADNIYRALCFEYMHNGSLRKHLYDECHGHDWQTRFKIIKGTCEGLKYLHEGLERPMYHLDLKPENILLDKNMVPKLADFGLSKLVHNNQTQVTQSCIGTRGYLPPEYIEDNLVSNKLDIFSLGVVMIRIIAGFEGYFKKFQMSSQTFIDRVYTNWRDRLKGMLSCTSLEAECHQVKGCIEIAINCIDADRQKRPTIGEVVCRLNELEHVIDMSAAPCQLQQISATVGPGESSSEIQVPTPTSSKLLEVHPLELGLPFQFQPYKRNGAQTDGCEEPNEILRKVDGVYQSSLDVEQGRATVSGFRDQHTVINKLNKAQLWGSSSSMADVVSQIQKLQLNTVQKGLNVQQQLQSMSGKMPFSAAAPEEDPVSVVFNLPRKDNEMQVRENGNGGGGGATSTKENNNGGGGVHDNSGGGKNGNSGQPQNTKGVAPGAAAAAVGDGPGPLGGMPPLAAGMMRPNMRVGSAGFPGMYQMGGGFMDHPHGHNGSMPPGSGNGGASQSQGMPAGGMPASGSYQGGAGAGCHGDREPSGAAAGAVLHGLDAAAGLGLGMSSSGMETLLTAMAGGDPMEEQYYTALMPQQQRDMSSRSEMLKAAITAGRLRFQQQYMRYMAAFTQQRERDMSSCRP >Sspon.01G0048710-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:110128371:110130019:-1 gene:Sspon.01G0048710-1B transcript:Sspon.01G0048710-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMKVLVLLLLLSVCSSLALAWQTDTGSGSGSGRPYHYGEESFRHWTRSRQGRFRVLERFTHELLEDAVGNYRVAELEAAPRAFLQPSHYDADEVMFVKEGEGVVVLLRGGRRESFCVREGDVMVIPAGAVVYSANTHHSEWFRFASSCFSAPSPRLDASRFASLASLLVHFSKRADETSFRALIGRSSSPSEARARSRSSASSATTLSRRRSTYICRTRREEWEKVFEKQSKGEITTASEEQIRELSRSCSRGGRSSREGGDSGSSSSSSSKWEIKPSSLTGKRPTHSNSHGRHYEITGDECPHLRVLDMKVGLANISRRSMMTLSYNTRAHKIAIVVDGGEGYFEMACPHVSGGARSSQRREREHGRREWGREEEEEQGGRGHKSRSYRQVKSRIRKGSVIVIPAGHPTALVAGEDNNLAVLCFEVNANFDEKVFLAGRNSALQQMDGTAKLLAFGADEEEVDRVIGAQKDAVFLRGPQSRRVSS >Sspon.06G0003860-1P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6D:9378703:9379539:1 gene:Sspon.06G0003860-1P transcript:Sspon.06G0003860-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRGGGRRLLKPSLAPSSSAMDSSLIRNLNSDAASLCSSRPATADIQEALRLLVDRLHLPRNDATFEDDLIQDLRLLECPYKVTSSALKAPGAPHSWPVLLSVLTGLPSSATPKETTWMPQAAHPTISWSTPPRATPTSYWGMTGEASVATVHALEKEAEELETEVNKLISGPSRREALEAEKEALIADIQKFEAVVNASLYEDDEAFAGALQDAEERDVADRPMALIGICDCECTD >Sspon.02G0036550-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:21819122:21824209:-1 gene:Sspon.02G0036550-2C transcript:Sspon.02G0036550-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SH3 domain-containing protein 3 [Source:Projected from Arabidopsis thaliana (AT4G18060) UniProtKB/Swiss-Prot;Acc:Q8L7W0] MEALRKQASKFKEQVAKQQQAVIKQFSTTGYERSDSVVIDEVELQRHQQLEKLYTSTRSGRDFQKDIVRAAEGLVSIGNKHIEVGTKFSEDCYRYGGENNASDEALGKAASLYGGALRNIEKEYEDFNRILSSQTIDPLRSMAMGAPLEDARGLAQRYSRMRHEAEILSAEIARRKARVREAPIPEHTTKLQQSEAKMIEHKASMAVLGKEAATALAAVESQQQRVTLQRLVGVVEAEKLFHLRLAAILDDVEAEMSSEKQRRESAPPIISSHKRAEKAQYFLAEAMHNFNGTTEKELSLIVGDYVVVRQIAPNGWAEGECRGKAGWFPAAYVERRENIPPNKVFPQA >Sspon.03G0005520-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:15806116:15812622:1 gene:Sspon.03G0005520-1A transcript:Sspon.03G0005520-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding TQLPYKNQLQEYAQKRGKLLPSYRPIHGGSLRAPLFKSEVTIDGQTFESPEYCRTMKEAETAAAKVALMSLPQEATPTQQLRLPSVSYKNLLQELVQKEGFPLPIYDTTSDVSNYSAAFISTVEIQGAIFRGEPGNTKKQAEMNAAKVAFQHFKDRVKDSACSTVHGGSPVQQGTKNLSAGQNIKVLEPGFSVLGVSTPTAKHGKDNDFDAVNHPFPVAATTQSVDGNTLSAELGVNKSLLAEPSTEVEAMYSSTEVDKLPLPGPSMDIEVMDSILEVNKLSLPEQSMDVEVVNSSLKVDKLHFPEPSTEAEVIHSSLQVDEPLIPEPSTEVEGMDSSLEHTSVVNGHAAPIAPTITSTLSVPTATMPVSNDGCGCYLGTNRIQVYPRHTDMVIPEGATMLPFSDNEWVAVSLPYSNNN >Sspon.05G0031100-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:3197006:3202786:1 gene:Sspon.05G0031100-1C transcript:Sspon.05G0031100-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQRRRALNPTLLPPPPAATAFGHHGADEARLPLLADYALLHQSSAASVSVVDAPAAAPASSEWSAGSAFTATSDAATTTATAPGSSSQLLTAAEAAGGRERETWVRRAREGYYLQLSLAIRLTSQAFLAGAPPAPELLFGCGSGVVADHHAAGDGADDPEAISYRLWVNGCLSWGDKIAHGFYNIMGIDPHLWAMCNVAEEGRRLPSLAALRAVDASESSLEVVLVDKGADSVLLDLERHALDLVRELGVTLDLARSLAVLVSDHMGGALRSEDGDLYLRWKAVSKKLKKRQKCVVVPIGGLSVGFCRHRAILFKVLADFIGLPCRIAQGCKEYVVDLVVEPGSISSPDSSINGQLLSTVPSPFKTSCAVGSGNYTTPVAAWNQAIADERRNMVLSNSQYSVARCRIVENNSVQVASKEDLPKCGQITQNGNCNGVSMLQVSAQLKATDIGAENGNMENVPGANLPKPLSIEPPFAVDWLEISWEELDLKERVGAESDHCWGTMQVLLALFIVQTGMVQGSLFRLINKAANGEMLDLKRRLRMALDIAKGINYLHCLNPPIVHWDLKTPNMLVDRNWSVKVGDFGLSRFKANTFISSKSVAGTPEWMAPEFLRGEPSNEKCDVYSFGVILWELLTMQQPWSGLGPAQVVGAVAFQNRRLPIPKDTDPELAALVESCWDDDPRQRPSFSSIVDTLKKLLKALLGERNKAVALRKERR >Sspon.03G0016950-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:77657147:77660906:-1 gene:Sspon.03G0016950-2B transcript:Sspon.03G0016950-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MERLQRIFGASGMGQPPTDSPLLDSSEQVYISSLALLKMLKHGRAGVPMEVMGLMLGEFVDDYTVRVVDVFAMPQSGTGVSVEAVDHVFQTNMLDMLKQTGRPEMVVGWYHSHPGFGCWLSGVDINTQQSFEALNPRAVAVVIDPIQSVKGKVVIDAFRLINPQTMMLGQEPRQTTSNVGHLNKPSIQALIHGLNRHYYSIAINYRKNELEEKMLLNLHKKKWNDGLILKRFDTHSKTNEQTVQEMLNLAIKYNKAVQEEDELPPEKLAIANVGRQDAKKHLEEHVSNLMSSNIVQTLGTMLDTVVF >Sspon.02G0059900-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:102965899:102966231:-1 gene:Sspon.02G0059900-1D transcript:Sspon.02G0059900-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRVMKIASERAVVVFTLSSCCMCHTVTRLMVDLGVNALVHELDSDPRGKDMERALHKMLGGRGPAVPAVFIGGKLVGELTPAVCMLLQAAACCMHG >Sspon.02G0044210-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2B:100079747:100084122:1 gene:Sspon.02G0044210-1B transcript:Sspon.02G0044210-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHFGLILPMSSFTLHSEAAITDFTAPERERSSDFQLLTRGSISCVMVRLLHPDALTAEQCHLQTWFDPAFVSLLAKGLVTWLQRGTDAYAAWVVHHLLSQTQFDIWDLILSEMEDTIAEGFKGIMPPEIREISDTTTAFPEYDIRQLWASVTRDQAPVPGQRERPEDATSDSTDEDYQPIPRYRSPRSHDHEAGGSGSASRSDPAMVAILERLTQAQEQQQQQIQRQAQDTAAALAQIQARQDEFQRQQLEIQRQQVEMQRQQYEIQRVQQQQYLDLLRHLVTVGVPPPASVMTHALQSSGPSSQGQPSFQFTSPQQQVPQSSVPELHSYSYGVYTVRPASPAPPDRHTSAQVTQTDPLVATTSVPVPAQTQTASQPRASSEGQPDSSDSEGDSLHFVITPRTSAPDTTPSVPRQILD >Sspon.02G0020480-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:69626651:69630797:1 gene:Sspon.02G0020480-2C transcript:Sspon.02G0020480-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAVAAPGAAAALGAVATRALFPRRLHGNAACLDAVCACCKLLVPSSSLTCTLDGCSPPAAPSYSLGSSGGRRSSSSCATRSGPSAAGAATTSGAAGCATSAPLIHAAWAQQAITSPAAAPFPAAPATSGSGPTSPPPPWTSPWPLSRPPPTTRAMRRCRVARRASSAPYPLPSAQSPPPLPPAHLPGAATTMAAGAAAAGAGGSGAANLAYSFSTTASPDWIADSGATFHTTPDAKLLSSLRPPHPSCPSSIMVANGTCLPVSSVGTASSHGSFHIPDVLVAPGMVHNLLSIRRFTTDNSCSVEFDPSGLTVRDLASRVPRLRCNSNGPLYTLRFPASVSPPSPSSAAFASSSSHATRVFDLIHCDLWTSPVLSMSGYKYYLVVVDDFSHYSWTFPLRAKSEAFPTLSHFFAWVSTQFGLAIKAVQCDNGREFDNSTSRAFFLSSGVHLRMSCPYTSSQNGKAERMIRTTNDIMRTLLLQAYLPARFWAECLHTSTYLLNRLPSTVCPALTPHHALFGTPSRYDHLRVFGCACYPNTAATAPHKLAPRSTLCVFLGYSPDHKGYRCFDLTSRHVLISRHVVFDESAFPYSATSPPTPPADPAEASFFPTDTAIPPPFSPYPAGTAPARSPGGPASPLPDSPQDLPVPDTVEAAPELPPSLPVASLPPVVPDAAVPIAGPSTPTPPPPGRFGLVYQRRREPRPPSPPPGRFGIVYERRREPAPPLSSPAPSSPVHAPPASPRSRADPPVYHPPLLHRDPRHTHPMVTRQASRPQALTAAACEPEISPVPSSVRAALADPHWCRAMDEEYAALLANQTWDLVPRPPGSNIVTGKWIWTHKRRADGSLERYKARWVLRGFTQRPGIDYAETFSPVVKPATVRTVLSLALERSWPVHQLDVKNAFLHGTLTETVYCSQPAGFVDASRPDFVCRLNKSLYGLKQAPRAWYSRLATFLQTLGFTEAKSDTSLFIYHYGGETAYLLLYVDDIVLTASSESLLHRIIASLQKEFAMKDLGVLHHFLGVTVEPRPSGLFLHQRQFTCDILERAGMTACQSCSTPVDTQGKLSEAGGPMLGPADSTAYRSLAGALQYLTFTRPDITYAVQQLCLYMHAPREPHLAAMKRLLRYLRGTLGYGLLLGRSSTAELVVYTDADWAGCPDTRRSTSGYAVFLGGNLVSWSSKRQPMVSRSSAEAEYRAVANGVAEAAWLRQLLAELHRPLARSTLVYCDNVSAVYLSTNPVQHQRTKHVEIDLHFVRDRVAIGDVRVLHVPTTSQFADIFTKGLPSSTFSEFRSSLNVSSG >Sspon.08G0027440-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8C:48395406:48396163:-1 gene:Sspon.08G0027440-1C transcript:Sspon.08G0027440-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SYRETRWHILNKVSYFRREKQAQIIISCFAMDNYLWMRTHDVPLSYPVSPWVHANSGTVMQEVRDWITMVVWSVPLQNRREQKWFMNWYEVLVSCM >Sspon.06G0035110-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:67050032:67051300:-1 gene:Sspon.06G0035110-1D transcript:Sspon.06G0035110-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGSPMQTVLSAPGVKDRKVVSFTRDATTDEKDAVTGLIRSIIATSSPAQRSAFHVFHINTVVDLFSAWRRALPGVRPYYAVKCNPEPALLGVLAALGAGFDCASRAEMEAVLALGGDGDGGVPPNCRIVYANPCKAEPHLEYAAEAGVGLATYDTESEVAKSARAAFDAAVALGMPPMRILDIGGGFRAGAAFDKAAAVINDALADVFGDLLPCVEVIAEPGRYFAETPFTLAARVIGKRARGEAREYWIDDGLYGSLNNVLMDHKVPRPRPLAGSPRPGEEKTHASTVFGPTCDSQDQVVTGYQLPEMSVGDWLVFDNMGAYSTGAGSKFNGFDISEMKIYVAYSS >Sspon.07G0001260-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:2114951:2116973:1 gene:Sspon.07G0001260-1T transcript:Sspon.07G0001260-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKKVDERIRTLIENGVRQRQRSMFVIVGDKSRDQIVNLNYMLTKSRVKSRPSVLWCYRDKLEISSHKKKRGKQIKKLMQRGLLDPEKADPFSLFMETSDITYCLYRDSERVLGNTFGMCILQDFEALTPNLLARTIETVEGGGLIILLLRSLASLTSLYTMVMDVHERFRTESHTQAAARFNERFLLSIASCKACVVMDDELNILPISSHMKFIQPVTNNEDSEGLSKRERELKDLKDQFREDFPVGPLIGKCCTMDQGKAVINFLDSILDKSLRSTVALLAARGRGKSAALGLAIAGAVAAGYSNIFVTAPSP >Sspon.01G0016510-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:53771640:53774341:1 gene:Sspon.01G0016510-3D transcript:Sspon.01G0016510-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAILARAGVTATAGKSLSSPTGRRQRNGIVVAAVTRTGTGVPQEGALERPAWSGETLLSRLVGALVAFKPLYSLLKVASREVIIRTAEKSNIPWREMTKEVLESDVYEVFERIQDPNLVYPDYYLNPFHAYDEGNLSWLAAAEAEPATMSIAKRAIPDATSIEEANQIVRGNWLNVIEEHHLKYSGNCQVNDILDIGCSVGVSTRYLAEKFPSAQAVGLDLSPYFLAVAAQKEEKLLRPNPIRWVHANGEATGLPSDSFDLVSLAYVCHECPARAIAGLVKEAFRVLRPGGTIALTDNSPKSKVLQELSPVLFTLMKSTEPFLDEYYMLDLEETMRKVGFVNVCSILTDPRHRTVTATVPY >Sspon.01G0007960-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:22204352:22205552:1 gene:Sspon.01G0007960-1A transcript:Sspon.01G0007960-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTYLAPLPTFYRIYKSKSTQGFQSVPYVVALFSAMLWIYYALLKSNEFLLITINSAGCVIETLYIVMYLLYAPKKAKLFTAKILLLLNVGVFGLILLLTLLLSAGQHRVVVLGWVCVAFSVSVFVAPLSIIRQVVRTRSVEFMPFSLSLSLTVSAVVWFLYGLLIKDKYVALPNVLGFSFGVVQMGLYALYRNATPRMPAKEVADDDAKEATMSVDDSTLKVPGEHVVTIAKLTAAPAGALQPPEEAKDKAKPAENGTAASPGRNADQV >Sspon.03G0004410-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:30477708:30482827:1 gene:Sspon.03G0004410-2P transcript:Sspon.03G0004410-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAASKLRLLALPVLLILLLLLFAARPRVLPEALLRVPVLPGDLLPLLPWPVAQPLLRRLALRGPADLLPAFVGAARAPGPDDAHPLAEWKGACFYENRAWVEFRNGTDGGLGGGIVHVETSKAHSWTCIDLYVFATPYRVTWDYYFLGREHTIDFEEWESEAEYEYVKRNGVSIFLMPSGTIGTLRALWEVFPLFTNTAWGENANLAFLEKHMGATFEERPKPWVSELNPDDIHSGDFLVLSKIRGRWGGFETLEKWVTGAYAGHTAVCLRDSDGKLWVGESGNENEQGEDVIAILPWEEWWEFEVTKDDSNPQIALLPLHPDLRAKFNETAAWIYAKSMNGKPYGYHNMIFSWIDTISDNYPPPLDAHVVASVMTVWTKLQPEYAGNMWEEALNKRLGTKGLHLSEIIVESEKRGITFDKLLTVPENDSWVYEDGQSASCIAFVLMMYKEAGLFDPITSSVEVTEFTIKDAYTLNFFEDNSTRLPEWCNKDDDVKLPFCQIKGRYRMELPGYNTMEPYAHMNERCPSLPPDYNRTKGC >Sspon.01G0009340-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:29662268:29662923:1 gene:Sspon.01G0009340-1P transcript:Sspon.01G0009340-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKSLVLAAMLIAVVVSAGQLPRGSAGLGASPNTNTSFVVSGIVPCATGNSINLATVPSFPNAVVQLVCGSNVVGSTTTDSTGAFLFSQSCVSKGLVTAILGNLCKVVVATPLGACDKSLAGATGTLSAPLKLLDITTGSGSGSDLGGLIGGIVGLVGQIIGGLIGGILNLGTQPFSFV >Sspon.05G0026580-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:37197282:37199166:1 gene:Sspon.05G0026580-1B transcript:Sspon.05G0026580-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLVVFDQLAGDSPTAKVFLLVLLPLLLLFVLLRCFFTTGAGSGRDRKRLPPSPPALPLIGHVHLVGALPHVSLRGLAERHGVDGLIMLRLGVVPTLVASSVRAAQAVLLTHDQLFSSRPRSVCGDVLLYGPSDIAMAPYGEQWRLAKKLSTTHLLSAKKVQSYRAARKEEVELVINKIHGAAATRTVVNMTELLTKFTNDIVCRAVAGRSFRVEGRDRVFRELIDQGTALVTGFNLENLYPGLAKAAGGVLVSPARRKAERLRDTWDMLLDKLIDEHASEIAAAATRLEDTGGNDREYDFIHVLLSVQHEYGLTRESIKGILEDMFAASTDTTYLILEFAMAELMLHQDIMSKLQDEVRSTRLCQEAISEDNLSRMTYLKAIIKETLRLHPPAPLLIPHLSLEDCDVVDNFKVPAGTTVLVNVWAIGRDPRTWDNAEEFMPERFIHDGQIGGVDFRGKDFRYLPFGSGRRMCAGMNFALATIEIMLANLVYHFDWELPKGADKIDMTEVFGLTARRKEKLLLVPSARDTVCPSKG >Sspon.01G0044980-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:79849474:79863286:1 gene:Sspon.01G0044980-2D transcript:Sspon.01G0044980-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding YTKQNLHSTNLPAIKIDKDSEATGETILVVLRRALSQYSSLQGPDGHWPGDYSGILFILPLMIFALHVTRSLNEVLSIEHIREICRYIYNIQNEDGGWGTHTLGPSSMFGTCVNYATLRILGEELDGENDALSKGRAWILSHGSATAAPQWAKIYLSVIGAYDWSGNNAIIPELWMLPHFLPIHPGRFWCFCRMVYMPMAYIYGKKFVGPITPTILAIRNEIYNIPYNEINWNKARNSCAKEDLIYRPSWLQSIAMAYLNKFIEPLSNLWPMNKLRERAMSNLMEHIHYEDETSNYVGLCPINKALNMICCWIEKPNSHEFRQHLPRIQDFLWIAEDGMKSKVYVGCHSWETALIVQAFCSTGLTEEFCSTLQKAHQFLKNAQVIQNIPNYKSYYRERTKGSWTLSNGENFWPIADTNAEALKAILLLSNIPSEFVGDPIKQERLYDAVDCLLSFVNKDGTLSSAECKRTTPWVEFINPSESFRNIIVDYPDKCPMYRREEIDKIIKSGASFIEKVQRKDGSWYGSWAVCFTYATFFAIKGLAAAGRTYQNSLPIRKACNFLLSKQLGTGGWGENYLGCQIEEYVDSGRPHAVNTAQAMLALLYAGQVEQDPTPLYRAAKELINMQMENGEFPQQKIVGNFNSSLFFNYPNYRNLFPIWALGEFRRRLLAKK >Sspon.05G0019700-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:86555029:86557814:1 gene:Sspon.05G0019700-1P transcript:Sspon.05G0019700-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASADLLRREEEVYSSLFDSAKGDGAKSRSQLIERKIELLEDMATRVSNRRSRKWMNDRLLIELVPRLHVEEIKGLFAPPPWGEEVPLSAFCRTSVGEWDAFRSIDMDAEARLMQHMKRSSEKPRNHVDEGELIALNGWHRIDRQTREAMKRNFLPDLLEIYEERVRAFIEDTSDKDVLTLNVQDPFQRLLLHGVCEFYNVTSTTTSSVRDGRPWKTTTIKKRQGTGVPSRITLVNF >Sspon.03G0028410-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3B:9245094:9245325:1 gene:Sspon.03G0028410-1B transcript:Sspon.03G0028410-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding CATAPTNTTSPSASSTTSTITAFRSGSHANGSATWLSATMINQDACDGLAAYRSLSVGARCSSSIPRWRCTLDQGTA >Sspon.05G0022060-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:1910119:1913822:1 gene:Sspon.05G0022060-1B transcript:Sspon.05G0022060-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARARACGLLLLLRGAALVTVVAVLLGGMVGEARAQLRQNYYGSSCPSAESTVRSVISQRLQQSFAVGPGTLRLFFHDCFVRGCDASVMLMAPNGDDESHSGADATLSPDAVDAINKAKAAVEALPGCAGKVSCADILAMAARDVVSLLGGPNYAVELGRLDGKTFNRAIVKHVLPGPGFNLDQLNSLFSQNGLTQTDMIALSDVLTQASNAMHYTAGAHTIGVTHCDKFVRRIYTFKQRLAWNPPMNLDFLRSLRLVCPINYSPTAFAMLDVTTPKVFDNAYFNNLRYNKGLLASDQVLFTDRRSRPTVNLFAANSTAFNQAFVAAMAKLGRIGVKTGSDGEIRRVCTA >Sspon.02G0001910-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:6354662:6356107:-1 gene:Sspon.02G0001910-2C transcript:Sspon.02G0001910-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQQHRIYILQFRKGEPEQEVACKVSQPKGAGRRVMYYYQDYGSGGGKSGKQVQPRALGLRRFLAMLLLSFLCVGTLFVAPVSFLSFVHSDEGGPAAAGAVATATAARGAVSGPCSSVGNDSLCCDRTSERADICFAKGDLRMHSASASFQLVSAGNSTEEERIRPYTRKWEANVMATIDEVRLRRVVPGDAARCDVRHDVPAVLFSTGGYTGNVYHEFNDGILPLFVTAHHLRRRVVLVILEYHDWWMTKYGDVVSQLSAFPPIDFTADRRVHCFPEVIAGLRIHGELTVDPARTPEGKSIGDFRRLLDDAYRGRIEFLERLERRAARKRLRLRHRRGAVVPRAPPGPSHADDDRRPRLVIVSRTGSRVIENEADVAALAADVGFDVRVIRPDRTTELCKIYRELNASDAMVGVHGAAMTHFLFMRPGKVFIQVVPLGTDWAAGAYYGEPAARMGLRYVGYKIGPEESSLSREYPRATPC >Sspon.04G0003500-1T-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8B:44570233:44570457:-1 gene:Sspon.04G0003500-1T transcript:Sspon.04G0003500-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPARTLAPAVASNVFTGLWIYFLGPVIGTLSGAWVYTYIRFEEAPAAKDTQRLSSFKLRRLQSQSALAADEFDT >Sspon.02G0018770-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:56985925:56989856:1 gene:Sspon.02G0018770-1P transcript:Sspon.02G0018770-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAGDGQIHRSDEREEMKLIIDTDPGIDDSVAIMMAFQLPGVQCEKAGHPEVPVAEGSHEPLKGGKPDVADFVHGSEGLGNIELPDPTIKKVEESAAEFLVDKVSQFPGEVSVLALGPLTNVALAIKKDPSFVKNVKKIVVLGGAFFSAGNATPSAEANIHHDPEAADIVFTSGANIYVVGLNITTQVSFTDKDLLELRNSKGKHAQFLCDVCNFYLDWHIKSYGAPVIFLHDPVSFAALVRPELFTFKKGVVRVETQGICVGHTSMDMLLKKWNSENPWTGYSPISVAWTVDVPKVVAFVKELVIKQ >Sspon.04G0001910-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:7193311:7196993:-1 gene:Sspon.04G0001910-1P transcript:Sspon.04G0001910-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLSCHNLLDLAAADEVPLPSPTPLRLPRVMSVASPASPTSPSPPAPSRRVIVSHRLPLRASPDPAAPFGFNFSVDSGTVAYQLRSGLPANAPVLHIGTLPAVAAEAASDELSNYLLANFSCLPVYLPTDLHHRFYHGFCKHYLWPLLHYLLPLTPSSLGGLPFQRTLYHSFLSANRAFADRLTEVLSPDEDLVWIHDYHLLALPTFLRKRFPRAKVGFFLHSPFPSSEIFRTIPVRDDLVRALLNADLVGFHTFDYARHFLSACSRLLGLDYQSKRGYIGIEYYGRTVTVKILPVGIDMGQLRSVVSAPETEDAVRRVTEAYKGRRLMVGVDDVDLFKGIGLKFLAMEQLLVEHRELRGRAVLVQIANPARSEGRDVQGVQDEARAISARVNARFGTPGYTPIVLIDGPLTPQEKAAYYAAAECCVVSAVRDGLNRIPYIYTVCRQESTALGDDAPKRSVIVLSEFVGCSPSLSGAIRVNPWSVESVAEAMNAALRMPEAEQRLRHEKHYKYVSTHDVAYWARSFDSDLQRACKDHFSRRHWGIGFGMSFKVVALGPNFRRLSVEHIVPSYRRTENRLILLDYDGTVMPENSIDRTPSSEVISVLNRLCEDPKNRVFIRAGEDELSRWFAPCEKLGIAAEHGYFTRWSRDAPWETSGLAADFDWKKTAEPVMQLYTEATDGSYIEHKESAIVWHHHEADPDFGSCQAKELLDHLENVLANEPVVVKRGQHIVEVNPQGISKGVVVESLLSSMVKTGKPPDFVLCVGDDRSDEDMFESIVCPSNSSVKLPASSEVFACTVGKKPSMAKYYLDDTVDVIKMLDGLASAPTQRPRPAVQLRVSFEGSL >Sspon.05G0010180-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:31473823:31478433:-1 gene:Sspon.05G0010180-2P transcript:Sspon.05G0010180-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGVIVPSDGPAADYGGGLTLSVFMTCLVAASGGLIFGYDIGISGGVSEMEPFLRRFFPRVLERMAASAKGNEYCLYDSQTLTAFTSSLYVAGLFASLVASRVNRAMGRQAVMLMGGALFFAGGAVTGGAVNIAMLIVGRMLLGFGVGFTNQAAPLFLAEMAPSRWRGSLTAGYQFFLALGVLIANLVNYATAHASWGWRVSLGLAGAPAVVIFVGALFLTDTPSSLVMRGRNDQARAALLRVRGADADVDAELRDIAKAVEAARQSEDGAFRRMATRREYRPHLVLAVAVPMFFQLTGVIVLAFFAPLVFRTVGFGSNAALMGAVILGAVNLGALVLSTLVIDRYGRKVLFMVGGIQMVICQVAIAWIMGAKIGKGGEAAMAHPYGVAVLVFTCLHTAGFGWSWGPLGWVIPSEIFPVDIRSAGQAMNVSIVLCLTFVQTQSFLAMLCRFKYATFAYYAAWVAVMTVFIALFLPETKGIPLESMGTIWGKHWYWKRFVHDGKSD >Sspon.01G0044520-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:83616569:83617142:-1 gene:Sspon.01G0044520-1B transcript:Sspon.01G0044520-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAQKRPPPPLSPSPYAAPGAGSPPPASPAPPPTAEPAEKKPKLEENGSAGAGAEANGNANDSGVEAAAAAAESSDSESEDADAAKQEYVLGRSSPTVPLLALPLSFPRRRGFLPDACSRCGTAKRPRAHAHARSLPVVGPFLSSRA >Sspon.01G0050900-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:8456773:8457391:-1 gene:Sspon.01G0050900-2D transcript:Sspon.01G0050900-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding TMVKAVVVAVLLMQCCDVILSARPLLNAAAGADGGWQLGQVLDKGGNGSPSDPGNGNCDYTKPGNPGCPSRLQQSIHMFILISVH >Sspon.04G0027270-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:57087397:57089588:1 gene:Sspon.04G0027270-1B transcript:Sspon.04G0027270-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPASSPVEFLLRRPQPRQRRRPPLAGAFFAPTGLSGAPLLRALASLAADLLGTPPPPSQRRNLDALMRRLALLSALLDSLLLLLADEGEAAFSDAANLCFRELYVVLFRADLLVSYVASAGRAWALLRAPQLAASFRDLDAELAVVLDVLPAASLRLSWDAAQYLDLLRARCRRRAPAHYHDPAEAALGDRLLAALRHFELGQPPDPSTLRSLLLQIGISDAPSCRSEIEYLEEQILNQEEDADLPLIGGVVALLRYCLFSLFEPSNTKALRVWLSAGNRQRLLSWSCSDDSSFSVPKEFSCPISLDLMRDPVVVSTGQTYDRPSIIQWIEEGHSTCPNSGQALSDNRLVPNQALRSLISQWCGVHGFQFDWPESNEGMIECVAASCSSKAAIEANKATARILVKTLTEGSDNSKPVAAREIRLLAKTGKQNRAFIAELGAIPLLCRLLLSSDWMAQENAVTALLNLSIYEPNKTRIMEQEDCLHLIVSVLKNGWTTEAKENAAATLFSLSVVHDYKKKIMNEPGAVEELASMLTKGTSRGKKDAVMALFNLSTHPESSGRMLESSAVVALIESLRNDTVSEEAAGALALLMKQATIVHLVGSSETAWNSKGKENAVSALYEICRRGGSTLVQRVARIPGLNTVIQNITLTGTKRAKKKASLIVKMCQRSQMSSALARGSTLTVVDHSLVGNSTLRRAASFGSGELSNPVSISVPVP >Sspon.06G0006270-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6C:20297671:20299838:-1 gene:Sspon.06G0006270-2C transcript:Sspon.06G0006270-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPVGFDFTTKVGDASGIQSPVSFGGFGSLTRHLGHLSSGICEAVEGDFLDAHSLQLLNPYMVELGVILEWSGHFMMLGYYTFLSTFIDPVLRSWVESLPPRDKYQWKRYLEAWRYGAGLDYRQGE >Sspon.06G0010890-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:59077965:59080308:1 gene:Sspon.06G0010890-1A transcript:Sspon.06G0010890-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLFPDGAFVRLRSRANRKYVHADGDWEAVSLRSRGSAPSLELEAVWRVEHWVHQGTTFLRLQGAAYGRYLSLSAEQAPPGHRGLKAVQCDLDEPKWWRVERVHPLQDYVRLRHFDRDLRANGRLRRWNTGVTVDVNRGHRLTTMMQWTVHLVAATPVPMPLPAAPQHQIPGGGRRDLLFWRRTGRGPGVYQLPPREIRHVRASDEGNFDQNHHNWPSFDIYDHSVFNLRIQLGQLQGDWNGEMFGFTLCMRPGSHGRLMPLVTDLPRSLEPICCGISVSSTVEDYESGTSDNVEIHRFENQKHLSIAAPDTVT >Sspon.04G0004540-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4B:8987100:8987479:1 gene:Sspon.04G0004540-2B transcript:Sspon.04G0004540-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSTTALHPQFRPPLRAPRHLHPLPHSYSSFSRTRGRAPVRASAASASAPAQREAAAGVPWGLRDRVPGERCVAGAVAHRLGTTGATLGYPTRGHRRARPCRPQEHTQGGEAALRAPFPRHHRRF >Sspon.07G0008990-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:29953070:29954268:1 gene:Sspon.07G0008990-2B transcript:Sspon.07G0008990-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding IQAMGDEVQQLTRAFSGLGGLGVDEPTMVSALARWRKQPEKRSGFRKGFPGFFTSHGEIDRCEEEYMLHLAAEFARFKNLMVLWAMHPWERDARLAHHVLHQQHPAAIVVEVACTRSADELLGARRAYQALFHHSLEEDVAYRARDKPYCSLLVGLVSAYRYEGPRVNEEVAKAEAKALGAAVKSAAAGGGAGTKLVENEEVVRILTTRSKPHLVETFKYYKEIHGRHVEEDLSQSREEETLLETVLCLAAPAMYFSQVIEGALRDGADHHAKEALTRVAVTRSDHDMDDIRAAYHQQFGAKLEDAIAAKAHGHYRDALLSLVGAGNSS >Sspon.05G0020280-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:87575459:87612249:1 gene:Sspon.05G0020280-2B transcript:Sspon.05G0020280-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPSASSAAPAAEQTDAERMDALDRMLTRLALADDARLAPVLARVLPYAVTSLASPAPAVRKLVMEILSHINKRVKHRPEISLPMLELWKIYTESTSATMVRNFCIVYIEMAFERLPTEEKGNIAPDLLINISNVPAQHQGIILRLVTKAIGECNTHKVDETIASKYRVITETKDGLVFAEFCFHTLLYQAPPQGSGCPAGLSVAQSERVTGKQPLKIDVLASRKLGILNVIEAMNFAPEIVYPLYLSASSDSQEPVSKKGEEFLKRKASTVNLEDPNLIKRLFTLFNGTVGAENIAAELKVSPAHASLRMRLMSVFCRSIAAANAFPLTLQCIFGCIYGSGTTARLKQLGMEFTVWVFKHAVTDQLKLIGPVILSGILRSLDGSSTAETDSTGRDTKIFAYQAIGMTFLPLLYCSDKTDMAIRLFMALRLEDQSLRLTIQEAATSLATAYKRASTIVLKDLEALLLENCEAEQSEVRFSAIRWATTLYDTQHCSSRYICMIGASDVKLDIREMALAGLNLMNDGRQSSAGSGDFSYPDVKEMINYICCQRPQLLHSDEQRNGKLLFPSKTCVSMIKFLMKCFESSDSSNLLQDPSDSAVAKMCVILEHAMSYEGSSELHALALKSLVDLSSREPKLVSLRYAERINWLRTLLGHVDSDAREAASRLLGIASSALSTSSALSLLSELTSTLSQNRPSRFENYHGVLCAIGYLTAGALKQSYISEDMVKNVVDILVKVVISEGSTLASVAMESLGHIGLRCALPSINQNSSTGTLLSVLRERLTKLLSENDTKAQQKILVSLGHISWNEMSFPHLNDALDLIFSLSRSKVEDVLFAAGEALSFIWGEVPVTADVILETNFVSLSQATNYLTGDASLVSNLASQGMSIVYELGDASMKEELVHALVNTLTGAARKKKAIKLMEDSEVFQEGTIGNNPTGGKLSTYKELCSLANEMGQPDLIYKFMDLANYQAALNSKRGAAFGFSKIAKQAGEALQPYLHTLIPRLVRYQHDPDKNIQDSMAHIWKLIVSDPKKAIDEHYDAIVEDLLVQSGSRLWRSREASCLALADIIQGRRYSQVCKHLRKIWTTTFRAMDDIKETVRTVGDSLCRAVSSLTIRLCDISLTSTSDANETMNIVLPYLLSEGILSKVPSVQKAAISLVMKLAKGAGPALRPHLPELVSCMLECLSSLEDQRLNYVEMHAGNVGIKTDKLESLRIAVAKDSPMWETLDICIKIVDKNSLDLLVPRLAQMVRSAVGLNTRVGVASFITLLVQKVMVDIKPFTPILLKLLYSAVLEERSSAAKKAFASSCATVLKYASPPQAQKLIEDTTSLHSGGKNDQLSGAILIKAYLSNASDILGGYNAVVIPVIFVSRFDDDKDTSALYEELWEDIPTSERVTLTLYLPEIVSLLCDGMSLSSWAGKRKSAKAIKKLCDILGESLSAHYQNILKSLLKELPGRFWEGKDAILDALASLCSCCHVAITAEDSSLPSVILNAVCAACSRKSKLYREAAFLCLHKVIAAFRDPGFFNSVFPMLYEVSNQSVISKTKGSAGAELDESEGASISLDKVLNCATSCISVAFPQDIINQKKNVLELILNSLSPEESWQVKLSSFLCIKELCLKFHSSGDSSTWPQDTACLVQELFHLVSPKLVDSIRLVKIAQVHIAASECLLDLSKLYRDFPLLDRTEAKFEDELTELCESEKSEQAKTILKECLTILKTLPAVTMTTD >Sspon.02G0000450-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:23102918:23111114:1 gene:Sspon.02G0000450-2B transcript:Sspon.02G0000450-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MELRDAICWLDTWREDCAALWTPLHFLASFLPSLCPQPGPPSSLFNNALVYSAGRRIHTCSAIDSLPSAGSCNIADNMVKGSTGHHNNPISSVPFEAFHNGSWHGVNSVRIRDGALLVKFVSSGSTVQHDIDGSYLRIRSRKATCSDCSHVLKPGADVCVWQATYRGETKDSVPLCRDARLIKIKRNHQSDRCLCLFAVIFYKDQCPGSKEKVISGTIADVVTIDDICILQNLQSEELQDGSVQWNSAVDCFHHNRSKLLSARFSLEVAYLIVLSSLRGMEFNIKLVNGNIIYQIIKGDQARYSIDSMSIPPGFGKNMDIISFKPRDEALRPIIRTVPITQVKEDNPTEDGCIAVKGESDSAQDVEVFQGEKDELEELWKEMDYALATVAIHEQRQLIKCLDFQMTDSEASHESNTDLGKRGEHCHHDCMLDEQLGLTCRLCNVVCTEAKDIFPPMFTGKDHERPEWSHFGQDDHVLDLSFFEICAPESSKIKESGNVWASITDLEPKLLAHQRKAFEFIWKNLAGSLQFEEMDDSTSKGGCVVAHTPGAGKTLLLISFLLSYLKVHPRSRPLVLTPKAAIHTWRREFQKWGILLPLHVLHHSNRTSKLMGGLSSKLQAVLKNFHRPSWKTMRIMDCLDKLCKWHEEPSILLMTYSSFLSLTKEDSKLHHQAFITKVLMNNPGLLVLDEGHNPRSNKSKVRKLLMKVKTEFRVLLSGTVFQNNFEEYFNTLSLARPRFVNDVMTALVPESEKKTRNRTGKHQEALARRIFVERVGQKIESSSKNDRMDGISLLNDLTHGFINSFEGTKLNILPGIRVYTLFMKPTDVQEEVLAKLSMPLSGNVRYSLETELLITIGSIHPWLINTTRCASTYFTPAEVARVEKYKRNFAVGCKAKFVIDLLHKSSFRGERVLIFCHNVAPITFLVKLIEIVFGWRLGQEVLVLQGDQELPVRSDVMDKFNGDREGKRKVLIASTTACAEGISLTGASRLVMLDSEWNHSKTRQAIARAFRPGQERMVFVYLLVASGTWEEDKYNSNRRKAWIAKMVFFGRYFDDPLQNRVTEIDDEVLKELADEDETNTFHMIVKQD >Sspon.01G0006370-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:18535601:18538636:-1 gene:Sspon.01G0006370-2P transcript:Sspon.01G0006370-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAPPCLAFLLLFGTLLALPQSSHGTTRYYTFNVTMQNVTRLCTTRAIPTVNGKFPGPKIVTREGDRVVVKVVNNVKDNVTIHWHGVRQLRTGWSDGPAYVTQCPIQTGQSFVYNFTITGQRGTLFWHAHVSWMRATLYGPIVILPKRGVPYPFPVKPYKEVPIIFGACGTVAVACSLHVGASTVVDTALGAAGEWFNADPEAIIAQALQTGAGPNVSDAFTINGLPGPLYNCSSKDTFKLKVQPGKWYLLRLINAALNDELFFSIANHTLTVVDVDAAYVKPFHTDIVLITPGQTTNVLLRAEPDAGCPAATHLMLARPYGTGQPGTFDNTTVAAVLEYAPAAGHIKSLPLFRPWLPALNDTAFAANYTARLRSLATPEYPASVPRAVDRSFFFAVGLGTNPCPANQTCQGPTNRTMFTASMNNVSFTMPTTALLQAHYDSLAGVYTTDFPVAPREPFNYTGTTPNNTNVSSGTKVVVLEYNTSVEVVLQGTSILGAESHPLHLHGFDFFVVGQGFGNYDSSKDPANFNLVDPVQRNTVGVPSAGWVAIRFFADNPGVWFMHCHLEVHTSWGLKMAWVVNDGPLPEQKLMPPPPDLPKC >Sspon.08G0026530-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:24942922:24953076:-1 gene:Sspon.08G0026530-1C transcript:Sspon.08G0026530-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKVWESGRRMSRSISRGMGMENWGVDDVFLPQHGSRAGSRNGGRSGRGGVDDDEEALRWAAIERLPTYNRVRTAILSSSTEADADDDGRPLRQGQQQFKEVDVRKLGVGDRQEFIDRVFRVAEEDNQRFLQKLRNRIDRVGIELPTVEVRFEQLNVQAKCHVGSRALPTLLNTARNVAESALGLCGVRLGRQATLTILKDVSGVVRPSRMTLLLGPPSSGKTTLLLALAGKLDPTLRRTGEITYNGFRLDEFVPQKTAAYISQTDVHVGEMTVKETLDFSARCQGVGTKYDLMTELARREKEAGIRPEPEVDLFMKATSMEGVGSSLQTDYTLRMLGLDICADTIVGDQMQRGISGGQKKRVTTGEMIVGPTKVLFMDEISTGLDSSTTFQIVKCLQQIVHLGEATILMSLLQPAPETFDLFDDIILLSEGQIVYQGPREYVLEFFESCGFCCPERKGTADFLQEVTSRKDQEQYWADKHRPYRYISVPEFAQRFKRFHAGLQVENHLSLPFDKSRCHQAALVFSKHSVSTTELLKASFDKEWLLIKRNSFVYIFKTIQLIIIALVASTVFLRTHMHTRNQDDGVLYIGALLFTLIVNMFNGFAELSLAITRLPVFYKHRDLLFYPAWIFTLPNVILRIPFSIIESVAWVLVTYYTIGLAPEADRFFKHLLLVFLIQQMAGGLFRAIAGLCRSMIIAHTGGALSLLMFFVLGGFLLPKGSFLFFFAIPLLTAFIPKWWIWGYWISPLMYGYNALAVNEFYAPRWMNKFVLDQNGVPKRLGVAMLEGSSIFVDKNWYWIGAAGLLGFTIFFNVLFTLSLTYLNPLGKPQAVISEETAKDAEGNGHAKGTIRNGSTKSKDGSHNKEMKEMRLSARLSNCSSNGVSRVMSIGSNGAAPTRGMVLPFNPLAMSFDNMNYYVDMPAEMKQQGVQDDRLQLLREVTGSFRPGVLTALMGVSGAGKTTLMDVLAGRKTGGYIEGDIRIAGYPKNQATFARISGYCEQNDIHSPQVTVRESLIYSAFLRLPGKIGDQEITDDIKIQFVDEVMELVELDNLRDALVGLPGITGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFEAFDELLLLKRGGQVIYSGKLGRNSQKMVEYFEAIPGVPKIKDKYNPATWMLEVSSVAAEVRLKMDFAEYYKTSDLYKQNKVVVNQLSQPEPGTSDLYFPTEYSQSTIGQFKACLWKQWLTYWRSPDYNLVRFTFTLFVALLLGSIFWRIGTNMGDANSLRMVIGAMYTAVMFVGINNCSTVQPIVSIERTVFYRERAAGMYSAIPYALSQVVIELPYVFVQTTYYTLIVYAMMSFQWTAAKFFWFFFISYFSFLYFTYYGMMTVSISPNHEVAAIFAAAFYSLFNLFSGFFIPRPKIPRWWIWYYWICPLAWTVYGLIVTQYGDLQNSITVPGEINPQTISYYVTNHFGYHRDFMPVVAPVLVLFAAFFAFMYAVCIKKLNFQQR >Sspon.02G0006170-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:18618630:18621879:1 gene:Sspon.02G0006170-1A transcript:Sspon.02G0006170-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHHLLLLLAVLAAAALLVAPVAGYPWPVCGQSNYFKPNSTYQAHLDFVAATLPKNASASRSLFATTVVGTIPEQLWAMGLCRGDVNATACFSCLTQAFRDLPNDCSYDMDATIYYDQCILHYSDVLTFPGADTGPTTDTYTTNFNANVTSDPAQFKSLLAALINATVAYAANNSTRLFATGVADFNQEFPKVYSLAQCTPDQTPAHCRNCLTEAVAKYQGSFYDYIGGRVLGINCSYRYEIVPFFNGPAMVRLASPSSGAQTPAPAPAPAPASALQPTVGTPPAAGKGGRKHGIPVVIVAVLLPSIAALNLVACLCYWNWKRQRPIEQAKQKYPMYSAEAEDAETVDSMIMDVSTLRAATGDFDETNKLGEGGFGAVYKVWEHWETGTAVELVDPNMGGSIPEADVLRCIHIGLLCVQGDPTARPVMSSVVVMLGSNTVTLQAPSKPAFCARNNSNTTVSTAPLQG >Sspon.02G0012510-3D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7D:52689924:52690199:1 gene:Sspon.02G0012510-3D transcript:Sspon.02G0012510-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKAAAATAARWAEGYPWREKLAKYKGELGKGVWGYWELGAWKPLGISARKRARLRKEVLLAGEDWTYDPPRGEMRTKRKGHKCDRISAEK >Sspon.06G0014380-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:62078237:62078936:-1 gene:Sspon.06G0014380-2B transcript:Sspon.06G0014380-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQSGKLLLLLPALVLLLLVQAQGARPAAASKAKPTSKCAASSVTVSQSNTGDKAGYDPVFEVTVRNTCRCAVRAVYLRSEGFASSVAVDPRLFRREGRDYLVGDGRRIEPAAEVRFRYAWDRAFRMTTATVHDDCS >Sspon.01G0060690-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1D:82106629:82106844:1 gene:Sspon.01G0060690-1D transcript:Sspon.01G0060690-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPADPPPPLGSASGRAYILICGHMTRPAPAAGEPSALPATCRRSAVPGPLPPVREPWSSTSRSCQFKRSR >Sspon.08G0009640-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5B:36018955:36021850:-1 gene:Sspon.08G0009640-2B transcript:Sspon.08G0009640-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALASNNKVLASSNSPMASGGAQRHSHSPPVAGAVADEALGSNNNKPAASKPPPSGSSTEYQLRKYLLLLATLVATVTYVAGLNLPGGAWQEDTDDGRHHAGDPILQFAHLHRYLTFYYCNATAFAASLVVSLLLLVLDGKNTGWWEALLRVVMVLDLLGLMGAYAAGSCRDKFTTIYSALLVCAVFAYVVVAFILYLFSSDGGGLEILLHSRKQRLMVDAGTLFHDLKNRKRLTGAADAEKQAGGVSVTATYERQELHEVLMLLATFAVTITYVAGLNPPGGFWGDTKDGHQMSDPVLQEHYSSRYQAFYVCNTTAFVASLLIIILLVDKKLTTKLSIRFVALYGLTITALLGLVGAYAAGSCRQLDDTTYVICLIAGVLAYIFLLVAVAGCSCFRTVYGSASKWLGALRIRCGFDRSSQDQSPPDQQNQISSRDIHQVQDQGSEAVEKEKKALEKERLEKAGSLVMLLATLVVSITYSAGLDPPGGLWPDTRDGHRNGDPILLTTHPTRYKVFFYSNSAAFVASLIVILMVQSRFLLKGHTLEAAMILDLFGLISAYAAGSCRDETTSIYVVALAGIVLVYVVIHIVLFTLDHGDNRHRQDEDKLENRREALLLLAILAATLTYQAGLTPPGGFWSEDEDGHRAGYPVLHDNYRPRYTAFFYCNAASFMASVALIVLLVNPNLYKPGIRCYALYVCMVVGMFGLMGAYAAGSSRDLRTSIYVLTLVVAVFAFVALEVVIFWVYPYLRDHWKQWWRCRKDAAAADSSGQAEPDDDGTKQNQQETEIQESKTQGEMQEAGEKNMREYLMLLGVLAASVTYQTGLKPPGGLWQDNSDSHSAGSPILHYSNKRRYHAFFYSNSTSFMASVVVIILLLPETLHKYRLPLWPMHTAILLDMLGLLGAYAAGSTRDWETSRNVIYL >Sspon.02G0005210-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:10393914:10394712:1 gene:Sspon.02G0005210-3D transcript:Sspon.02G0005210-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding HGTERGESSSVPPLTSGRRAERGHRRLQRQDGEAQGPGPGRDQHGEHDVHRARQGGAVGPPVPSPPARPGPRRRRRQGGEGGDVPGAGGGRDRGGALPTVGRRVRRVRTGRHRAAHGRHLLVPQGERPGATGGPPRARREGGRVHHALRGDAQHERGPVGDRPQGRPEDGAGGRRLALLPDLQAAAV >Sspon.08G0001360-1P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:16757359:16758754:-1 gene:Sspon.08G0001360-1P transcript:Sspon.08G0001360-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DIGVMSGASLYIKKDLKITDVQLEILMGIMNLYSLIGAFAAGRTSDWIGRRFTVVFAAAIFFVGALLMGFAVSYAMLMAGRFVAGVGVGYAIMIAPVYTAEISPAASRGLLTSFPDFFINLGILLGYLSNYAFARLPLYLGWRVMLGIGAAPSLLLALMVFVMPESPRWLVKNVRLADARAVLEKTSATPEEAAERLSDIKAAAGIPRDLDGDVVTVPTTDRNSKETRVWKELVVSPTPAMRCVLLSALGIHFFQQASGSDCVVLYSPRVFKSVGITGDDQLLGTTCAVGASKTLFILVATFLLDRVGRRPLLLCSAGGMMASLIGLGTGLTVVVHHQDPVRALGFAVGMACNRLTSGVISMTFLSLSKAITIGGSFFLYAGIAALGWVFFFTYLPETRGRTLGEMGKLFGMPDTAMAEAEGTCGREKA >Sspon.08G0009810-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:36973528:36976222:1 gene:Sspon.08G0009810-2B transcript:Sspon.08G0009810-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEESGRPLPKFGEWDVNDPASADGFTVIFNKARDEKKGGNGQDTDSPSKDTRTERVESYAAKPSTKKWFCCVTASPTQS >Sspon.03G0043790-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3C:84017912:84019025:-1 gene:Sspon.03G0043790-1C transcript:Sspon.03G0043790-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding TSYSLALLKAARLRRGRAGGPSRHRRHERVADLLRRVGEPGASHGVEQRSGALEQQRARAVHQRVGQRIRSSRLTRPRCRPLRRSAGDGPASVGRVWTESRRPTVRAERSQRRATSERPTVRAERSQRRATSERSRSLPEQLKTAARRRCAYLGSPSPHGEGPGLDHSHEGLRGQRGEHEAREERSPHPPLLHGSSSSSCCSFLYPSGVFAFGFRAVDSDSDSGPTQYILATWFRFTGTGALLLASRHPRSALSITAGRQLALVDGVRRPPGSSSSWTVARRADAARGWRAWDGRRKERRSAHGSCSCVWR >Sspon.08G0011270-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:49006600:49008914:-1 gene:Sspon.08G0011270-1A transcript:Sspon.08G0011270-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGAGMAMQSHAAAAVGRRSAILGGREKGARAGSLRVGGPAGAGAKAMAMRTRGTKPVAPLWCVNSADESMHSSADEALLLKRKSEEVLFHLNGQCIYLVGMMGSGKSTVGKILAEVLGYSFFDSDKLVEQAVDMPSVAQIFKEHSEAFFRENESCVLRDLSSMRRLVVATGGGAVIRPINWNYMKKGLSVWLDVPLDALAKRIAQAFSKLSMLAEQRGDAYANADTRVSLEIAAKQGHGDVSMLTPTNIAIEALLKIGSFVTEDPTVNDHAGNLQADSQSRSIKAL >Sspon.03G0020570-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3C:83044583:83045332:1 gene:Sspon.03G0020570-2C transcript:Sspon.03G0020570-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTLFKKAKRKNITVQKALQDNKWIEHISPVFSPTELHEYVLLWNAVQQVQLDESREDSIVWCWTADGEYTTKSAYRIQFQWTFSKLKLTPVWRAKAESKCRFFAWTLLHKKILTANNLIKRHWPNDPICKLCSNELETPTHLCKDRDFTKQAWAFLKQWLGLTVINNIGINGSPHSYWRRFRNKIDKSQTFDGVMIYFWWNIWKQRNRRTFQNKSLQPKEVAFLCKEDVEKYQTATRSYEQINQPQQ >Sspon.03G0000090-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3A:230939:232971:1 gene:Sspon.03G0000090-1A transcript:Sspon.03G0000090-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGGSLRYTVLVGLSRVPLHARRASVAQIILGPACADVHIAHPRDVPAVDPTEFFVSAWCLRPCFIPDENIIFIPEPRVVNPVEGELEELPGLRYLVRIRLVAFQDWNAPSPLPDLGQPRRDDDDSTPDSNFNRYHPGLDDPPRRSPTDAEDFGTSSGSSGGSDDSNHNRIHPARCQVASPANGAVRRSPPSPSPSASMSMNTVRLTPVSLQMIMEGTCALGGRAWSWSGSRVFGRGPRGREIPDVNSPPREVRGGQGASDLQRDEGGKLSAPRRGVASKLDEGIDFCYVQAWPTVGGQSNGVDPMCLEAEIAAAASTPRRDQIMLASPELAPTRSGLVDGPLPSIQLLFNSPGLHAGVARPRSLDSEGLDHWLSLNDGPLLVDGPVAVDIVSEMMGTQNSSSLVVASSDDLDTRPPVMVPEQLHTLTLETLEEHLCIPSLEAQAALADTHSPPLSLAPSTPGQGLAIGAPAAVEPPICELEGHGYSGELEHGPDNALMTHVAQVRPLPPQHDAPPDDVGAIFINAITLPLQENLLQAPPRRRARRREPENFIPRRSDRLAAKSVFRDPNPEKQAKRVLVNKWEGRPDDAVTNTPDEGIALKFHAAFGGPVSPRKREYMRMLFHGTRQYEPEELEY >Sspon.01G0012710-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:41452947:41456635:-1 gene:Sspon.01G0012710-2B transcript:Sspon.01G0012710-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRPRPRLRSSGHMEGDSSQAYGLLFLGFLSFLPASKVSMRLELLTIHGGEHQDCIHSSWSGMKSSDVF >Sspon.05G0021100-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:88798555:88801341:-1 gene:Sspon.05G0021100-1A transcript:Sspon.05G0021100-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALDSVPPYPCDLGSSSRAAAARTQQRIRKDERTWTSDTYAPYDDGHQWRKYGEKKLSNSNFPRFYYRCTYKNDMKCPATKQVQQKDISDPPLFSVTYFNHHTCSTSSSAIGSARDVASQSSSKKAVSICFSPHAASEQPSFLTSPAMPRSPIMHSYSANQQPDRSAYTYQQLQWTGGVPSHASNGPAKMEVDESAQPSPLSGSNSALSRTLLPIGQSRCIEYFHFL >Sspon.04G0025190-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:25413141:25413479:-1 gene:Sspon.04G0025190-1B transcript:Sspon.04G0025190-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GDAGRAMQRRACGGPLVALARARPPSPPEASGRPARRRQGRRPRAGNPAQAPAALAHGAVAAARGPQAGGHLLGGSRGPAGSDRHVVVVDLPAVDRPGAVLCHAVRGQHQAVL >Sspon.07G0000210-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:367753:368718:-1 gene:Sspon.07G0000210-3C transcript:Sspon.07G0000210-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRATFAMLCDALGAAVAKEDTALRAAIPVRQRVAVCVWRLATGEPLRLVSKRFGLGISTCHKLVLEVCAAIRGLLMPRFLRWPDAAAADAFKARFQAESGIPGLVGAIYTTHIPIIAPKTSVAAYFNRRHTERNHKTSYSITLQGVVGPDGAFTDVCIGWPGSMPDDQVLERSALQQRAAAGMMAGSWVVGGASFPLTDWVLTPYAQANLTWAQHAFNEKVAEVRRVAVDAFARLKGRWACLQKRTEVKLQDLPVVLGACCVLHNICESRGEGMDPALRCDIADDETVPENPVRSDGATKARDDIAHNLLHSGRAGTKFF >Sspon.03G0018050-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3B:79691512:79693208:-1 gene:Sspon.03G0018050-2B transcript:Sspon.03G0018050-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRKWKAAAMGGGGDYHHQPRHCVVLSLFILVSATLAFLAYVACPPVPGGAAAGGLYQWWRWQGSAGTSSDELIRTHVGDGARSGVVAARTPTSLSHIVFGIGGAARTWGHRRGYVELWWRPGQTRGHVWLDEEPATPWPAATSPPYRVSADASRFGRRASASRMARIYGGGEVRWFVMGDDDTVFFPDNLVTVLRKYDHEEMYYVGSPSESVAQDVTHSYGMAFGGGGFAVSYPAAAELAKAFDDRDGGGCLDRYRDLFGSDERVHACLSEIGVPLTREPGFHQLDFRGDAYGFLAAHPVAPLVSLHHLDLIQPISPHGRTSLDAVRSLMDAYRHDPARTLQQTICYHHDGRGHNWSVSVAWGYTAQVYPWAVPARELEAPLQTFESLRKKMANGLFVFNTRPWRPDSACARPLTFFLSRVRNETAAAAATVTEYTRHAVGKPLEKECDMPGFRSAAAVRTVRVLAPKMDPSDWHRAPRRHCCETERDGSGTVLEVRIRRCSGRGELAVVATP >Sspon.04G0023400-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:16272069:16275018:1 gene:Sspon.04G0023400-2C transcript:Sspon.04G0023400-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFGVADDCRHVEVGLERLAQATMTGTVKVHNVSLKASEQDISEFFSFSGEIVHVELQSCDERSQFAYITFRDNQGAERAMLLTGATIEHMAVIITPATDYKLPASVLADLESKNTGGMESALQKAEDIVGSMLAKGFVLGMDAVEKAKAFDEKHQLTSTATAKVASLDRTMGLSQKFSAGTLVVNEKMKEMDEKYQVAEKTKSALAAAEQTVSTASSAIMNNRYILTGAAWVTDAYNKVATTTTDVSTKTKERMMAEWEGANQSSETTKFDLLESSEADEQECKRHEDDSTNNFIVDSPEMTCQESEHQAGERQMTNVEGNSKMIIEEQKNHEGQIAVAHMQENTETAEKNPSCHQSEVSKANVHDSVLMTEQSEREHKQPEGEFRKTHVPGSPVTIPVTMSTIDGNSSNSPKKPHSAE >Sspon.07G0006690-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7A:17347841:17348164:1 gene:Sspon.07G0006690-1A transcript:Sspon.07G0006690-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALYSLSMFLGDFARGVRCVTSGSLVQLSHILAVEPEDRDAVLVEVVDLLVQFALMFKVPTWLPLPRDCDDVIPLVLGVALVNVRPCSYPPATKVASSEADAEYRVD >Sspon.07G0020530-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:79697728:79704458:-1 gene:Sspon.07G0020530-2B transcript:Sspon.07G0020530-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine carboxypeptidase I precursor (EC 3.4.16.5) (Carboxypeptidase C) [Source: Projected from Oryza sativa (Os12g0257000)] MARGGSTAAPPALPLLLLLVLSSSFACRCLAAPPGALVTSVPGFAGGKLPSKHYAGYVTVDEAHGRRLFYYLVESERDPAKDPVVLWLNGGPGCSSFDGFVYEHGPFNFEAGGSAGSLPKLHLNPYSWSKVSSVIYLDSPAGVGLSYSNNVSDYETGDLKTAADSHTFLLKWFQLYPEFLTNPFYIAGESYAGVYVPTLSHEVVKGIHKGDKPIINFKVSNFNYIAASMRTANMDTKEIYIIMLKVLSGYMVGNGVCDTAFDGNALVPFAHGMALISESIYKEANTACQGDYWNYSSAKCEEALSKVDTAIDGLNIYDILEPCYHGTNTKENKLPQSFKDLGVTSKPLPVRTRMHGRAWPLRAPVRDGRVPSWQELAAVPRGVPCMSDEVATAWLNNDNVRSAIHAEPVSSIGPWQICTDKIDFHHDAGSMIIYHKNLTSQGYRALIYSGDHDMCVPYTGTEAWTASLGYGIVDSWRQWIVNEQVAGYTQGYESGLTFATIKGAGHTVPEYKPEESLAFYSRWLN >Sspon.01G0007200-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:30991501:30995116:1 gene:Sspon.01G0007200-2B transcript:Sspon.01G0007200-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEGWVVGGAKQGGALRGSEGHRRWPVHVSSVDDLRMAVKEIICDSETERQEYKEAVIAITVEQSLKRYCQRIKRPDFWGGESELLVLSRLCRQPIIIYIPEREYHGRGNGFIPIAEYGLEFTKNTKQWKKKTPVKKKWRDYLANWCYIG >Sspon.07G0011940-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:40281806:40284731:-1 gene:Sspon.07G0011940-3D transcript:Sspon.07G0011940-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIKQSSFTPRVLADLSLLFFILLAPVCLATPDTAPAALLQVKSGLTDPEGVLSGWSLEADVCSWHGITCLPGEVGIVTGLNLSGYGLSGVIPLAISGLISIESIDLSSNSLTGPIPPELGVLENLRTLLLFSNSLTGTIPPELGLLKNLKVLRIGDNRLHGEIPPHLGNCSELETLGLAYCQLNGTIPAELGSLKRLQKLALDNNTLTGGIPEKLAGCVSLRFLSVADNMLQGNIPSFVGSFSDLQSLNLANNQFSGEIPAEIGNLSSLTYLNLLGNSLTGAIPAELNRLGQLQVLDLSMNNISGKVSISAAQLKNLKYLVLSGNLLDGAIPEDLCAGDSSSLLENLFLAGNNLEGGIEALLNCGALQSIDVSNNSFTGVISPDIDRLPGLVNLALHNNSFTGALPPQIGNLSNLEILSLFHNGLTGGIPLEIGRLQKLKLLFLYENQMSGTIPDELTNCTSLEEVDFFGNHFHGPIPETIGNLKNLAVLQLRQNDLSGPIPASLGECKSLQALALADNRLTGLAELSVVTLYNNSLEGPLPESLFQLKNLTVINFSHNRFAGSLVPLLGSTSLAVLALTDNSFSGVIPAAVARSRNMVRLQLGGNRLTGAIPAELGNLTRLSMLDLSLNNLSGDIPAELSNCVELTHLKLDGNSLTGTVPAWLGSLRPLGELDLSWNALTGGIPPELGNCSGLLKLSLSNNHLTGSIPPEIGRLTSLNVLNLNKNSLTGAIPPSLQQCNKLYELRLSENSLEGPIPPELGQLSELQVILDLSRNRLSGEIPASLGSLVKLERLNLSSNRLDGQIPSSLVQLTSLHRLKLSDNLLSGAVPAGLSSFPAASFVGNELCGAPLTPCEPPSPARRLSGTEVVVIVAGIALVSAVVCVALLYTMLRVWNNWRAVSISNSDDEESAHGGGHGSGGGKWGDGKYWKVGSPVSWSAEQRHSSVSETASVLHDKSTEAAGAGKS >Sspon.07G0001230-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:2002466:2005843:1 gene:Sspon.07G0001230-3D transcript:Sspon.07G0001230-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGGGYGGLYRGDDPADQLITAFDHGGGGGFFLQDTVSPCAGGGTTPYASIADYLKGFLDPAGLAAHFGSDDAPPLPPSCGAADDAVVAVKQEMVVQQLSGGSRRDDDVDGRLVVGAAPVTPANSSVLSSSSCEAGAGADDDEEPPRRRCGKKGRIEGEEEQEGGGEADDDVPDRNCKRSKKQRGEKKAREPRVAFMTKSEVDHLEDGYRWRKYGQKAVKNSTYPRSYYRCTAARCGVKKRVERSQQDPSTVITTYEGQHTHPSPVGLLRGAAAALSCAPQLPAASAAPTCSRSTTTLARRAAFCRFFLPGASAVVVVACCTPRCGFSSKSRSITELIPRSWQPWTRMAACRTSFLPFRDEQWPTSIYTTSPTFAGQLAS >Sspon.08G0007100-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:61901595:61907384:-1 gene:Sspon.08G0007100-3C transcript:Sspon.08G0007100-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLAKDGAELEEGSLEIGMEYRTVSGVAGPLVILDKVKGPKYQEIVNIRLGDGTTRRGQVLEVDGEKAVVQVLKTPVSLDMLGRIFNGSGKPIDNGPPILPEAYLDISGSSINPSERTYPEEMIQTGISTIDVMNSIARGQKIPLFSAAGLPHNEIAAQICRQAGLVKTLEKSGKHKESGVEDNFAIVFAAMGVNMETAQFFKRDFEENGSMERVTLFLNLANDPTIERIITPRIALTTAEYLAYECGKHVLVILTDMSSYADALREVSAAREEVPGRRGYPGYMYTDLATIYERAGRIEGRKGSITQIPILTMPNDDITHPTPDLTGYITEGQIYIDRQLHNRQIYPPINVLPSLSRLMKSAIGEGMTRRDHSDVSNQLYANYAIGKDVQAMKAVVGEEALSSEDLLYLEFLDKFERKFVTQGAYDTRNIFQSLDLAWTLLRIFPRELLHRIPAKTLDQYYSRDTTH >Sspon.01G0014120-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:40196560:40203834:1 gene:Sspon.01G0014120-3C transcript:Sspon.01G0014120-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAWEMHPLCCLAGEWPSSGCGGGLAPGDRSPPAEGADAAGEAPAVAGVLYKWTNIGKGWRPRWFAIRGGVLAYSKIRRRVAAEPHPASEAAGGVRLIGVARAAGGAGERPIGFVPLKISSFSESKSDDKRFYIITPTKTLQLRTGCAKDRATWIEALVTARSEYSFNGDLSGDQNDASFSTEKLRNRLHAEGVGEAVIKDCEQIIHSEFLQYQTQMKQRCEEYLSFIGSLPREVEVVNSVDSTVPEKPQLELFKHDCSSSGKCSEYSNTESSDDVGKQEISELSDGDEFHFYDTRQSFSEFATSPADPRKGCLNNGNEDHKSVESLTVDKADKYMLSHSKRRSQLPEPIEKEKGVSLWSMIKDNVGKDLTRVCLPVYFNEPLSSLQKCFEELEYSYLLDCAYECGLRGNGLMRILYVAAFAVSGYASTDSRPCKPFNPLLGETYEADYPEKGIRFFSEKVSHHPMVMACHCEGKGWKFWGDSNLKSKFWGQSIQLDPNGVLTLEFDDGETFQWSKVTTTINNLILGRVYCHHHGTMNISGNRRYSCKLTFKEQSFLDRNPRQVQGVVKDADGSKVATLMGKWDESVHCIINNDASKVNSHGSHQSSGATLLWEKNEPPVNPTRYNLSAFAITLNELTPELKEKLPPTDSRLRPDQRHLENGEYEKANAEKLHLETRQRMARKMQESGWKPRWFQRDSEEGTYRYVGGYWEAREQRAWVGCNDIFGNLSSSQKLPPSALYTSSNKETYNN >Sspon.07G0030100-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:2627627:2633961:1 gene:Sspon.07G0030100-1P transcript:Sspon.07G0030100-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVVGIGRRESREAAAELQYQIVMDVVGIERRESREAVTELQYPRATVPREYRPAARTVRGRWNAGHGDISCLCNSALYDSCARVFVSHHGYCSCLRLRLLSLRRRLLPPADVLIVVHPDLEDLAPDADLVAEVLHQRVVLLLHPPAEALRERQHLLLLLRRELGPEALPPDVAVRLRLRGGGGRRAALLAGRLGRGAAAAAAGRAVVRERRRRVVGVGGVEQRDARGRGRGRQHRRGRREQELVAAARVVLTVEAAVAAAGGALEPVAVPAGHELPAAVDDVAAQRRRVVAQALVVPRLGGGSGGGRRRGAGAHLLRDGFLLLQAPEFHQRMPALAPCRGDEDPSRRSRPARPLPLPLPPVSQGLQPAGGAVCWLSLLEAETRRTQLLQGAITTPDADTGEDVPGNSFIRRMAALGRLAREKIRDSLHHGVKRALAVVRSGFVFDMELVADGFITDPDRTDEENENACLELIEAAEEPGSRLASLFEAEVVPPADDEGLYRSLGGVSDLGSTALELQGFAPLEGVRGERRYRSLEALGAFARSI >Sspon.08G0015100-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:55187714:55192138:-1 gene:Sspon.08G0015100-2B transcript:Sspon.08G0015100-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein MICRORCHIDIA 6 [Source:Projected from Arabidopsis thaliana (AT1G19100) UniProtKB/Swiss-Prot;Acc:Q56Y74] MDAQRDTKPFVSPITATGAIQRRLHGPSASQPTPALPSEHGAGARVLAGLQPQAQSHQAACFLNRAASELSGGVGGRGRASGTGEALEGTSARSPCLAPRLSRKFWSAGDYDAAATGSAPQPPSVQNRMCIHPEFLHSNATSHKWPFGAVAELLDNAVDEIEKGGATRILLDKIIDKRNGSPALLVQDDGGGMDPNSLRRCMSFGFSEKQSGSSIGQYGNGFKTSTMRLGADAIVFSRCTKGGEPTQSIGLLSYTFLVETGQTDVVVPVVDYKCNLMKGQTQRLERHGSEQFSSNLSVLLKWSPFATEEELMQNFCDIGPHGTKIIVFNLWSNDDGKWELDFDTNPEDIMISGAPNPEEISNSVKRTNENHLANRLRYSLRVYASVLYLELPDYFRIILRGQEVKRHSIVADLMYPECITYKPQGCGIKEAGVLTTIGFLNGSPTISVHGFNIYHKNRLILPFHRVLSSASSKGRGVSGVLEAGFIKPTHDKQDFEKSQDIHSHKIGYVKTPRRSAASTPPPVMLQIANGTTEPSEWSSPDLTPPLRSHGNYVNAVPIAFAPPSFHSAPVKTEPVVAPGEPMGCSPSPPNMQTVQVKNQTSSPSMAPGTGSAETRKRRNDDSALTVAVKRQAMQDLAGSSSATDQVCQYMGERELREFSFLKMENQMLHEECSHFETAEKELLLKEQNLRLEIEKAREQYKSLLEEHVSVSASAQR >Sspon.01G0017310-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:63441254:63443175:1 gene:Sspon.01G0017310-1A transcript:Sspon.01G0017310-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFGKRLKKQVEESLPEWRDKFLAYKRLKRLVRLVSATASSSSSSPRRRGSAAEAAFLRLLDAEVDRFNAFFLEREEDFELKETAEDARRRPLSAAETRSVRKEIVELHGEMVLLLNYSAINYTGLAKILKKYDKRTGRLLRLPFIEKVLGQPFFATELISRLVRECEATMEAVFEASRGHAGAPAGTAPVAAVAAAGQGIFRNTVAALVTMGELRSGSSTYGHFSLPPMAPPESDLLRCVCVQVAAGPVSI >Sspon.01G0015250-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:44254664:44258132:-1 gene:Sspon.01G0015250-1A transcript:Sspon.01G0015250-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MWVEILCGLLAYKILQRVFFAGGDDASYLADLDSSHSDLCFAVASRLEKLYAGRCFVGLRIPDPDAGERQHIDVVLVTKREVMVVAIKNFSGFVEADKDGNWSCPTDKKRKQEIFPNPVLEVNRLAANLQSYLEQRGAKLPDGHIIGRVVLPNPNCRPSYTISIQPEVMLYDQWKDLKTDSKSGLSAWIKGAFAGSKSDMQDSVLQNLHFILSSSPMWDRLELKGDKNVLGEFIEFKGRHEDIQLLKNLKRSKVSRFIIQKSTLFGGFGRSRVQILYSPRDYRAEGTSSSEWKEISVKQYTEIVFQPLHSKKARKFKLSSVVSVTLSA >Sspon.08G0001560-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:1786649:1792596:-1 gene:Sspon.08G0001560-2B transcript:Sspon.08G0001560-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKIKIGINGFGRIGRLVARVALQSEDVELVAVNDPFITTDYMTYMFKYDTVHGHWKHSDITLKDSKTLLFGQKPVTVFGIRNPEEIPWGEAGADYVVESTGVFTDKDKAAAHLKVIHDNFGIIEGLMTTVHAITATQKTVDGPSAKDWRGGRAASFNIIPSSTGAAKAVGKVLPDLNGKLTGMSFRVPTVDVSVVDLTVRLEKGASYEDIKKAIKAASEGPLKGIMGYVEEDLVSTDFVGDSRSSIFDAKAGIALNDNFIKLVSWYDNEWGYSNRVVDLIRHMFKTQ >Sspon.08G0018160-1P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8D:6522935:6523243:-1 gene:Sspon.08G0018160-1P transcript:Sspon.08G0018160-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTSSGRRVPSSSAAAAASSPGQKRARDEASSGTPSDPGPAKNPRHAFASSPFADFGTYMAAKNSKLAAQFYADASTSGAAAGGLFDGVSIFVDGFTVPSSQ >Sspon.07G0002200-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7A:5383928:5385394:1 gene:Sspon.07G0002200-1A transcript:Sspon.07G0002200-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAHRRARASWPSGCDAGGWFHGRVPQCRWAPRSLADIALADLAPTHQIRFGPALNFSVFYYEILNFSDRACNLAKHVYFFSLDLSL >Sspon.06G0017460-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:95068723:95070205:-1 gene:Sspon.06G0017460-1A transcript:Sspon.06G0017460-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLHQDMDREQMRMAILNQEQIFRQQVHELHRLYHVQKQLMQQAQTTAPASRAPMAVVDVKPRPQQLDIWCGEKATTTPQQQIISFTSCNKATTLAPIALADECNLELTLATGPSSSCSNSSCDAERRQGKRLKASSNSDSGVTAVSSTSTDSELAQFREVAAATPVRFHGEIGRRMDEMGQSPWMYQCLSLKMA >Sspon.08G0027070-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:39342276:39345874:-1 gene:Sspon.08G0027070-1C transcript:Sspon.08G0027070-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFSMEPAPSAPGGGRAGSTAGRHCSATSPLAARPWSLLAAARVLGWLLESVVGHPGIDPAKGGVEEVSRPPLVLDCWPGRRSTGLLGSLRPSRAAQRPGGRVAPEVRYGGSLLRHLCHLWAQRCSPRLPVLSTTNIKDDMCNREEINLYDDCILFRLDTGILDEIWYVSVGRRQLVGRLGRTGHPAVSPPERHRPEGALGQHNGVAGHEGEDVGAGNGVGAGGFQLGLHSLYGTKASQAPVRNGIHL >Sspon.07G0006160-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7B:30457413:30458001:1 gene:Sspon.07G0006160-2B transcript:Sspon.07G0006160-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAPASPGGGGGSHESGSPRGGGGGGGGSVREQDRFLPIANISRIMKKAIPANGKIAKDAKETVQECVSEFISFITSEASDKCQREKRKTINGDDLLWAMATLGFEDYIEPLKVYLQKYREVRTYEGVGDFGVIGFGGHVVQSPICHLDCTSD >Sspon.04G0010540-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:31345098:31346554:-1 gene:Sspon.04G0010540-3C transcript:Sspon.04G0010540-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVKVFGSARSTNVARVLVCLEEVGAEYEVVNIDFRAKEHKSPEHLARNPFGQIPAFQDGDVVLFESRAISKYILRKYKSAEADLLREGDLKEAAMVDVWAEVEAHQYNPALSPVVYECLIYPATRGVPTNQKVVDESLEKLSKVLDVYEARLSKHTYLAGDFVSFADLNHFPFTFYFMATPHASLFDSYPHVKAWWERLVARPSMKKLGASIAAAAGIKA >Sspon.08G0019280-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8B:11123111:11123578:1 gene:Sspon.08G0019280-1B transcript:Sspon.08G0019280-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVPNTFLAKAGRPSATRWPSILSRPVIIRGSDQTTVAYHRDAATLCMPPSFRRYDAAQWPLPALISRLRLGRRIVVVVVLRSSLPPFARFAGMGALAGHRAEPSRVAPGRTKAAPRRRRGPAVVVTGRAEEKSRRSLLSVAQECTQLAPLLILL >Sspon.08G0024370-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:63546075:63547270:-1 gene:Sspon.08G0024370-1B transcript:Sspon.08G0024370-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GLYYYGLQITSAAYSVVFLNLIPIVTFVIAILVRYEKVALGKWPGRLKVLGALTCVSGTMIVSLLKGHLLHLWPAHLLKTSHASAPASGGHHHDMLTGTLFLCGSCLSYALWFIVQVRLGKVFPTRYWATMLTCLLGSIQAFIISVFLSHDRADWRLKWDLQLLTVVYSVVLNTGITFVLISWAVSRRGPIYPSMFNSLSLIITTVMDSLLLGTKIYVGGWVGALLIIVGLYAFLFGKGKELQAE >Sspon.03G0022480-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:54522053:54522837:1 gene:Sspon.03G0022480-2D transcript:Sspon.03G0022480-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAEQESLAAPLLEPTATARQRVPFVEVRLYRRGAGPVAIFRSNLSGPRRDRLDVRRIQASHGLRALFAFRPEEGSRGRGRGLRIRCDPAAGYSALPFRDGAAIALDGEPRESWTKPVSVIVAGLLVPAVMAAVAINGVPEPLRSSRLVNGIFPPWILVSAVIIFARARTRPRAP >Sspon.02G0044230-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2B:100217790:100217997:-1 gene:Sspon.02G0044230-1B transcript:Sspon.02G0044230-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ERERAYAGQFRSSGWEPAASGDARAPLASSTSGAREHHCWAWVGRAGGTRDERSEHHQHQRAQRSASE >Sspon.05G0021610-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:83506379:83513138:1 gene:Sspon.05G0021610-3D transcript:Sspon.05G0021610-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTPVPAARQCLAPAAVTALDAAVASARRRAHAQTTSLHLIASLLAPTAAPLLRDALARARSAAYSPRLQLKALDLCFAVSLDRLPSTPTSSASTTATSSNDQHEPPVANSLMAAIKRSQANQRRNPDTFHFYHHQPSTFPNAVKVDLSHLVLAILDDPLVSRVFADAGFRSNEIKLAILRPAPPVPLLARGLPTRARPPPLFLCSFAAADDADVPSPAPALAGAAPGEDNCRRITDILARGRNPMLVGVGAASAAADFANASPYRILPVTHQTDLLAVAAAAPATPGSGLIFSIGDLKDLVPDEADLQDAARRVVAEVTRLLETRRAAGRQTVWVMGWSATYETYLAFLSKFPLVDKDWELQLLPITAVRDAGPAPGLVPPPAPATTVAALSMPATTSFMESFVPFGGFMCDTYEANSLTANSCPQALRCQQCNDRYEQEVTIIRGSGITAEAHQEGLPSMLQNGSMMGPNNGFDALKVRDDQMVLSTKILNLKKKWNEYCLRIHQGCNRINRDPCQLFPHQIDVRVDRERCANPNQSSQSVALQREVIRPSAVSYPHTNTAAKSISAPSISTQMNADLVLNLQVRKSKSDEPLQDRAVPSQHSNSSNCDNPEDHASPSSAAPVATDLVLATPRGSSSKDSSNALCKHVEDAEGSIQLMPKKVDDLNLKPSHFSAQPYTCFRSSSNWDQTSPSALHSAASGGASAFGQWQRPSPLAAQSYDLSNHKLLMERLFKAVGRQEEALSAICASIVRCRSTERRRGANKKNDIWFSFYGPDSIAKRRVGVALAELMHDSSENLIYLDLSLHDWGNPNFRGKHATDCISEELRRKRRSVIFLDNVDKADCLVQESLIHAMETGRYKDLHGGRVADLNDSIVVLSTRMIQGCQDASLGMEEGNVFSEEKVVAARGNQLKIIVEPGTANISGGPGGKVVVSSRHSLRNNQASLYSSSFSKRKLQISYEQEKTAESPSTSKRLHRTSSVPFDLNLPGDEVEVQDGDDDSSSSHGNSSGDPEGSVGNLLRSVDESINFKPFDFGKLCEDILQEFSNTMSKTLGSRCRLEIDTVAMEQVVAAAAWASDSHEKRPVRTWVEQVFARSLEQLKVRCKNLSSCTLRLVACEHETPVKEGGFGLFLPSRIILDW >Sspon.06G0012300-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:53171455:53175060:-1 gene:Sspon.06G0012300-3C transcript:Sspon.06G0012300-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKIKIGINGFGRIGRLVARVALQSEDVELVAVNDPFITTDYMTYMFKYDTVHGHWKHSDITLKDSKTLLFGQKPVTVFGIRNPEEIPWGEAGADYVVESTGVFTDKDKAAAHLKVIHDNFGIIEGLMTTVHAITATQKTVDGPSAKDWRGGRAASFNIIPSSTGAAKAVGKVLPDLNGKLTGMSFRVPTVDVSVVDLTVRIEKGASYEEIKKAIKAASEGPLKGIMGYVEEDLVSTDFVGDSRSSIFDAKAGIALNDNFIKLVSWYDNEWGYSNRVVDLIRHMFKTQ >Sspon.07G0022150-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:6813194:6814785:-1 gene:Sspon.07G0022150-1B transcript:Sspon.07G0022150-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DAQHRQTWTKSFLDADPIDSDLANSSSTRHITGTRSLNAFCGPREIVPRHTLPQPTRRLEAPGCTGSMGRARARCAARPFGWSERMNRVHLGSDRNRNLSFSHLPPSGVSPSHPLKSRAAEAGSPSPPGQNHQLPKSKLKQGILSLALVPRTGFGGGGGDVRPPRSIC >Sspon.07G0014470-1P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7A:52373141:52376211:1 gene:Sspon.07G0014470-1P transcript:Sspon.07G0014470-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKGKWFGAVKKVFSPESKEKKEERLRRKSAASNPTPLDLTPSTSLEVNVSVPPPPAPAPPPVLHQAEEVRIPEAEQEQSKHVTVEEAPAAPHRRRCCHLVCQPRARCNQDPDRLPRLPGNARRALRALRGLVRLKLLVEGNSVKRQSASTLRCMQTLSRVQSQIRSRRAKMSEENQALQRQLLLKQELENFRMGENWDDSTQSKEQIEASLISRQEAAIRRERALAYAFSHQWKSTSKSVNPMFVDPNNLQWGWSWLERWMAAKPWEGRNGADKESNIDRGSVKSMSLNLGEGEITKAFNRRDSKPEKPSPPTPKPTRPASRQSPSTPSAKVAPIPARRKSATPKNGLSQVDDDARSVLSVQSERPRRHSIATSTVRDDESLASSPSLPSYMVPTESARAKSRLQGSAMTNGAETPEKGGSTGPVKKRLSFQGGTAAASPMRRHSGPPKVESAVKDIVAPPQPEALVVNGGSK >Sspon.01G0001800-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:3938809:3939135:-1 gene:Sspon.01G0001800-2B transcript:Sspon.01G0001800-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAAAAGVELGSSKPQIATQAEMAEARVPLAYRDQCAHLLIPLNKCRVAEFYLPWKCEPERHAYEKCEYELVMERMLKMQKIREAQEAKVKGGASIGLIPATAKLA >Sspon.01G0005130-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:13587660:13593871:1 gene:Sspon.01G0005130-1A transcript:Sspon.01G0005130-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEVPATAAVLDFRPGSSPPRVSAVPRRAAECSPDTGKASSYAATASRLTPFCLGVVGERNTDPKRSNRWWSVNGTPTPAPPAVAVDGGAEEAAGGPGFGNTAAVSAELTGSNSAGSDIQALDCDTSGGLAGGDAGGVEVLCLENAAELESVEPGVLDVRLGAPVEKRHDRTLDSTGLGSDEAGETNGISLVEVSQSGATSSLDTTGSIGGYSLVEGSLPEASGARGREPEVHEVPTGTPTTVGFPNGDGGSGFGIELNDDVDGRNDLARGELELSTDGDDAEDITEIAGILCGERVETNSGQHEASNGSTVPSEEGVDRMETSLDDSEASDGSTTQDSDTDVETESSVSSIEEQEAGYGAHIPQPFLESWDHTYSEGNYVQLTEVASEMTSVSESTLVLASGASMLPHPSKVLTGGEDAYFIACDGWFGVADGVGQWSFEGINAGLYARELMDGCKKIVTETQGAPGMRTEDVLAKAADEARCPGSSTVLVAHFDGQVLHASNIGDSGFLVIRNGEVHKKSKPMTYGFNFPLQIEKGDDPLKIVQKYTIDLQEGDVIVTASDGLFDNVYEEEVAGIVSKSLEADLKPTEIADLLVARAKEVGRCGFGRSPFSDSALAAGYLGYSGGKLDDVTVVVSIVRKSEV >Sspon.03G0022770-3C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3C:88214691:88217921:-1 gene:Sspon.03G0022770-3C transcript:Sspon.03G0022770-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAALAMAEQVVADLRAKCETPPSMLREVAAEMAREMGAGLEKEGGSRVKMLLSYVDKLPTGEEEGLFYGLDLGGTNFRVLKVELGGNEKHVVDRDSREVGIPPHLMSGKSSELFGFIASELAKFVNDEEKCTNISNGKKRELGFTFSFPVKQHSVASGTLVKWTKAFSINDAVGEDVVAELQTAMGKQGLDMHVAALINDAVGTLAGARYYDKDVVAGVIFGTGTNAAYVEKANAIPKWKCELPNSGDMIYEKLTSGMYLGEIVRRVLLKISLQSAIFGNIDHTKLETPFLLRTPHISAMHHDETPDLKIVAEKLEESLEITGASLEARKLVVEICDIVATRAAQLAAAGLAGILMKLGRDCSVKDQRSVIAIDGGLFEHYTKFRQCLETTLGELLGDEVSKAVAVKHADDGSGIGAALIAASQSQYKNDLVAVKHADDGSRVKHEDADDKHEDDDKGVKHADDGSEIGAALIAASQSQ >Sspon.03G0003490-1T-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7D:13280978:13282761:-1 gene:Sspon.03G0003490-1T transcript:Sspon.03G0003490-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GSSGRRPRRRGRRRRRARAAQQHQVSRWLRRSRSRSRPRPPSRAAAAQQVRVAEAAGLEHVPAVPAEPPAPSRWRAAAAHSHRVPQVPGPVRQDKVHAAGCAYFGQPNPPARARARCARPGAPRRAHRPPARRVRGERPRAGVQPFAARAVRIYLRDVRDAQAKARGIPYEKKSRKRKQPAASGEASSSSAAAAAAAGGSWCLGAPGTGPVAARRRGKLHRPPAREAPPLLLLHRPAHPSIVESLLQTMSICLFLSTLLGWWTVGRVCPPGCWRLAAASYVSEEWS >Sspon.03G0020000-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3C:81316071:81321690:1 gene:Sspon.03G0020000-2C transcript:Sspon.03G0020000-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MCPSPTLLLVPLLVSSILQFHDHASADCEPAACGNLTLSYPFWLGSSTNSQPPSPCGHLGFEIWCSDDGRVASLKGTYIHVLGINYTANSLVATHAKLADDDGVCQTNFNVSSSIALSLFTISPRNSALCFLYGCNGMAPSGPQYANATSNCSFPIYAYLAGAYYWDKPPAIATGGCKYSYMPVLGSEAAVMTAANYRRLLKDGFVLDWEVAGVGDCRACNASGGQCRYDNAAAEFRCLCPHGRRAGLTCAEGKNQDVVVVKPCLVLVAAVVRRSRTQRCGSSPRPTLRVCVGTRASAPGVMPPSAIGDIDEFDKNLWTRTGHATAPATPVAERREPSHAPMAPSSLLLFLVSSSVWVALPLPLTILRVVAADGLEREHCPPVLCGNVTVSFPFGLIPDGADQTHCGDGGIGSKVHCYDNSSLHLEYSQTDISMLILSIFYDTAGLGPRSSQESCRIPVSDTFPVFLPPLFVSPVNQNLIFYNCTKPPPQGAGLVETVCHNNTFVRAIDGRSDESGNYFFKGCTATKVPVLGVPDKVNVTNYEQLIRDGFLATWQPPLPLPPLAERAPAAVAVGSTLPAKRSGRQRVRTAAAWRARWLSASARSGVASECASGGWEPSPPPTR >Sspon.01G0006160-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:16029567:16032317:-1 gene:Sspon.01G0006160-2B transcript:Sspon.01G0006160-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding FQEDVQLMADMGMDAYRFSIAWSRILPRIQPYVTLYHWDLPQALEDRYNGWLDRQIVNDFAAYAEICFKAFGDRVKHWITLNEPHTVAIQGYDAGLHAPGRCSVLLHLYCKTGNSGTEPYIVAHNFILAHATVSDMYRRKYKSAQNGELGIAFDVIWYEPMTNSTIDIEATKRAQEFQLGWFADPFFFGDYPATMRTRVGERLPKFTADEAALVKGALDFMGINHYTTFYTRHNDTNIIVRLLNDTLADTGTISLPFDKNGKPIGDRANSIWLYIVPSGMRKLMNYVKERYNSPTVYITENGMDDGNSPFTSIQDALKDSKRIKYHNDYLSNVAASIKEDGCDVRGYFAWSLLDNWEWAAGYTSRFGLYFVDYKDNLKRYPKNS >Sspon.01G0022960-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:80521169:80529165:1 gene:Sspon.01G0022960-1T transcript:Sspon.01G0022960-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVDDVLSNLRVVGVPTKSAIYIWGYNHSGQTARKGKECHLRIPKSLPPKLFKLGNGKNLRWTDIACGREHTAAVASDGSLFTWGANEFGQLGDGTEESAKEPKKVKSLESEFVKSVSCGAHCTAAVAEPRENDGTVSKSRLWVWGQNQGSDYPRLFWGAFTPNTVIKQVSCGAVHVMALSEDGLLQAWGSYNEYGQLGRGRTSQGLQGARVLNAYARFLDEAPEQVKIVRVSCGEYHTAAISENGEVYTWGLGSMGQLGHCSLQSGDNELIPRRIVALDGIVVADVSCGGVHSCAVTEGGALYAWGGGHVGQLGVGPQGFFSCSLNGSDMLLRNIPVLVIPSGVRLATCGHSHTLVSMKDGRIYGWGYNSYGQAANEKSTYAWFPSPVDWCVGEVRRLAAGGGHSAVLTDACSLKELCESKLAETVNLSNAELIEDVASRTGADALARLCGKVSGSFWHYHREHLDKEGECEFLGKQVAEEVKTAATWVRHDNQRSRASTGQSSDSRADFVCMDKSSSMGIPAWWAVKAPQGLNLLGLAILDGGGSRVQLIPGLNPGDHGVGELDKVEVALLDSVAILGNGHVLEEGDDVLLPEDALVFLPQVNKGVASLAVPDVWQASLDSQAQVVADDLSYRFTVV >Sspon.08G0003610-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:9864731:9866441:1 gene:Sspon.08G0003610-1A transcript:Sspon.08G0003610-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGWTLDWGNRTQIRPVPRPLKPEHGSTVRSWIRQPKPTLLETLAPPHYITFPPPARSHLTHLPNPTLPAAAAAAAQEQQQHAKMVKFLKPGKAVILLQGRFAGRKAVIVRVFEEGTRDRPYGHCLVAGLAKYPKKVIRKDSAKKTAKKSRVKCFIKLVNFTHLMPTRYTLDVDFKDVATGGPDALSTRDKKVAACKTAKARLEERFKTGKNRWFFTKLRF >Sspon.01G0007130-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1A:19380999:19384354:1 gene:Sspon.01G0007130-1A transcript:Sspon.01G0007130-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGEAEGGERRLGRVLSFGIPDTALGLVMGYVEDPWDRDAISLVCRHWCRVDALSRKHVTVAMAYSTTPERLFRRFPCLESLKLKAKPRAAMFNLISEDWGGSASPWIRQLSATFHFLKKLHLRRMIVCNDDINILVRAKAHMLVALKLDRCSGFSTASIALIARSCRKLETLFLEESTIDEKENDEWIRELATSNSVLETLNFFLTDLRASPEYLNLLVRNCQRLKTLKISECFMPDLVSLFRTAQTLQEFAGGSFEEQGQPVASRNYENYYFPPKLHRLSLLYMGTNEMQILFPYAAALKKLDLQFTFLSTEEHCQIVQRCPNLETLEVRDVIGDRGLQVVAQTCKKLQRLRVERGDDDQGGLEDEQGRISQVGLIAIAQGCPELTYWAIHVSDITNAALEAVGTCSRNLNDFRLVLLDREAHITEMPLDNGVRALLRGCTKLRRFAFYVRPGALSDVGLGYIGEFSKSIRYMLLGNVGESDNGIVQLSKGCPSLQKLELRGCFFSEHALAMAALELKSLRYLWVQGFRSSPTGTDLMAMVRPFWNIEYIVPDQDEPFPEHQKQILAYYSLAGRRTDCPPSGRDAIMLILAELYVLRGLSLAVRRHIIST >Sspon.07G0027580-2D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7D:54065130:54065558:-1 gene:Sspon.07G0027580-2D transcript:Sspon.07G0027580-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAMSSSLFWGDRAVVLFAGWPGARGLGSYLLCLLFVLALAALAEALHAVSAHVAHRWRCRGAVVPALLPTAVHTARMGAAYLLMLAVMSFNGGVLLAAVAGHALGFLLTRSWAARAEELEAGHGAGAGRDLVRPSDGAAKV >Sspon.01G0012840-1P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1C:25239816:25240448:1 gene:Sspon.01G0012840-1P transcript:Sspon.01G0012840-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:C2H2-type zinc finger protein, Abscisic acid-induced antioxidant defence, Water stress and oxidative stress toleranc [Source: Projected from Oryza sativa (Os03g0437200)] MAAGFEALPDPTALSLALPTPAPGPGLKLNKEDYLAICLAALAGTRKFGLGRQREQEQHQHQPTNKWCPTHAPAQQELRFRCAVCGKAFASYQALGGHKSSHRKPPTPEQYAAAAAQPQAVSTADSEETTSSSGGTAGGPHRCTICRRGFATGQALGGHKRCHYWDGTSSVSVSLSASATVSSGVTVRNFDLNLMPVPENAGMKRWVEEEE >Sspon.03G0013930-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:41007524:41011796:-1 gene:Sspon.03G0013930-1A transcript:Sspon.03G0013930-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSAGYADGGTGGLGDGDPPPPVPPPMRKQPSRIATGMRRLASKVSSAVPEMRGLKRTHSGAQSGLRGLRFLDKTSAGKDGWKSVEKRFDEMSTDGRLQRENFAKCIGMADSKEFASEVFVALSRRRHINPDDGVTKEQLKEFWEEMTDQNFDSRLRIFFDMCDKNGDGKLTEDEVKEVIVLSASANKLAKLKKHAATYASLIMEELDPDHRGYIEVHEDIYRNPLLICFSQTGQSVTEQQHRVLTENRIQIWQLETLLRGMVSASGPPDKMNMASASLARTMVPSSHRSPLQRRINKAVDFVHENWKRIWVISLWVALNIALFVFKFIQYRRRAVFEVMGYCVCIAKGAAETLKLNMALILLPVCRNTLTRLRSTALSKVVPFDDNINFHKVIALAIAIGSATHTLSHVLCDFPRLVSCPKDKFMEKLGPFFNYVQPTWPILLTSIPGWTGILLILIMSFSFTLATHSFRRSVVKLPSPLHHLAGFNAFWYAHHLLVIAYVLLVMHSYFIFLTKQWYKRTTWMYLAVPVVFYASERSIRKIREKSYRVSIIKAAIYPGNVLSIYMKKPPSFKYKSGMYLFVKCPDVSPFEWYQRQHCHFPRHDDTRKELHPFSITSAPGDDYLSVHIRTLGDWTSELRNLFGKACEAEVTSKKATLARLETTVVAHGLAEDTRFPKVFIDGPYGAPAQNYRKYDILLLIGLGIGATPFISILKDLLNNIKSNEEAQSMHDTELGCSFKTNGPGRAYFYWVTREQGSFEWFKGVMNDVAESDRDDVIEMHNYLTSVYEEGDARSALIAMVQSLQHAKNGLDIVSGSKIRTHFARPNWRKVFSDLASAHKNSRIGVFYCGSPTLTKTLRDLSIEFSSTTTTRFHFHKENF >Sspon.03G0013700-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3B:51259890:51260230:-1 gene:Sspon.03G0013700-2B transcript:Sspon.03G0013700-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLQVPPEESNRCVRGCCRSATIPLHLPPASFSLLCPIARGSESTVYEALLGGERAAAKKPVLSTAEDLDKFHYQLQLL >Sspon.08G0010130-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:42584078:42589404:1 gene:Sspon.08G0010130-3D transcript:Sspon.08G0010130-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAESSRGAASARKKSWRSELVLAYQSLGVVYGEVATSPLYVYKSAFAGGDIDHSEGNEEIYGVLSLVFWTLTLITLLKYVFVVLRADDDGEGGTFALYSLICRRVRVGLLPGVGDSSAADELKEQRDDALLPPPASSVRTALQQRRELQWLLLLFALLGTSMVIGDGVLTPAVSVVSAVSGLKLSMVNEQHQYVLLPVTCVILVGLFALQHFGTHRVGFLFAPIVCLWLLCISTIGVYNIIVWNPHIYKALSPYYMYSFIQKTQVGGWMSLGGILLCVTGSEAMYADLGHFSQTSIKIAFTTVVYPSLVLAYMGQAAYISQHHNFERNHHIGFYISVPEKIRWPVLGIAILAAVVGSQAVITGTFSVIKQCCSLNCFPRVKIVHTSSTVHGQIYIPEINWILMILCLAVTIGFRNTKQMANAQGLAVITVMIVTTCFMSLVIVLCWNKNVVFALAFLLFFGAIEAVYFSASLVKFHEGAWVPIILSFTFLTVMCVWHYGTTKKYEFDVDNKVSISWLLNLGPSLGIVRVRGIGLIHTELVSGIPGIFSHFVTNLPAFHQVLVFLCIKSVSVPHVQPEERFLVGRIGLKQYRLYRVVVRYGYRDVQQDSLEFEKALVSSIAEFIRSGDSDKNGYPDDSESPYERLSIISKGLPFHEADGEVEGSPESSVRKDTNPNLVSSKSRRVRFVLPENAQINSEVRNELQELTEAREAGMSFIMGRSHMKAKSGSSLMKRIAINFIYEFLMRNSRGPAYAANVPHVSTLEHKFVAGRLVSYPFKGAL >Sspon.03G0017330-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3A:54300150:54301625:-1 gene:Sspon.03G0017330-1A transcript:Sspon.03G0017330-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RKLGVRRSASYAYATVFGVGALVYRHHRVYTARRTPSQSTDRPRCRCCRYVHSCSNHARRRPCRPSGRYSAEWVRCSCPLWLSLCFHFHFRFHPAGLGRAGSPGVAGSGQVGGWRRTRPPATGTRCLLGPLGQKKAARARGAGARHSPHTPFCRACPGPRMGMGGPFLGVHADAGGAGRHQWHGSGPRGRLQFTVPPPRTPVSAASGFNFPHLAALRPAPASTSADDIGSAAAEAAASLLQQPDQQVPARGSAPGRGIAPTAAGATQQQHGEMSSAVAQQEGGSGGAGNPYFLDEATWPWG >Sspon.05G0015590-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:46243703:46244239:1 gene:Sspon.05G0015590-2C transcript:Sspon.05G0015590-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTCSHPISSPSPLLLLLPSLWLAVAGAKNSSSLTKNPAAYVLRQVLALHRSRSVVVVAMMAMSYFRAPRRLYGRKQQQQQRESAALLVDDDQGEAAAAAGAVPKGYFAVYVGAESRRFVVPTSYLSQPAFRELMERAAEEFGFGQAGGLRIPCREEDFQATVAALEQSRRRGGGRARG >Sspon.05G0016490-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:67727533:67730187:1 gene:Sspon.05G0016490-1A transcript:Sspon.05G0016490-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMELNKLERVVVGSEEPSHLDLSLLQSITENFSEERKIGVGGCGEVYKGILRNGIVAVKRLFNSRTIKNKMFHREVQSLVTVKHENIVRFLGYCSFTEERVFIFEGRRIMADIRERLLCFEYISSGNLENHLTDELRGLEWHTRYEIIVGICKGLLYLHKDKDIVHMDLKPANILLDDLLVPKITDFGVSRLDNNSQAATTSRLMSPGYCAPEYSLEGKSSSKSDIYSLGVMVIELVTGSKKEPDLTKVLRRWRHRWIKSSNHTPFGYQQVTKCLELAQRCIQINPTARPDIVYIMDELNTIDSKDGQFQVCPYGHMYSLNLKRSKALIGCGIDNASLISPSQEIPCLDDMLGVEPLEIHFPFELDQQISHSIELSNDADDYIAFMTKARLRCFRIEPDKGTVPPRSKCSVTIKMQAQVKEHELPNNHKEKITVQSTIVDGGLAAMDINEDVFIEEGTVVDEVNVMVVFDKPPSAEES >Sspon.06G0021630-3D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6D:19051873:19054817:1 gene:Sspon.06G0021630-3D transcript:Sspon.06G0021630-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLILSIHCPVLVHQVAPLQSRRCKFVINLSQIRPHLLDTGTIHLLVHHINHYHQIVDLPSAQRFHLSQLPITPHVLNAICHLPENPDEEKTGV >Sspon.08G0000800-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:619023:622659:-1 gene:Sspon.08G0000800-4D transcript:Sspon.08G0000800-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MENLRSENGHQGVAMEGVKFAPEMANTNRRALSDIKNIIGGPHQHLAVSKRGLSEKPAAAVNTKDQAGFVGHRPVTRKFAATLANQPTVAHLPIGSERLKRNADTAFHTPADMESTKMTDDIPLPMLSEMDEMMSSELKEIEMEDIEEAAPDIDSCDAGNSLAVVDYVDEIYRFYRKTEGASCVPTNYMSSQTDINEKMRGILIDWLIEVHYKLELLEETLFLTVNIIDRFLARETVVRKKLQLVGVTAMLLACKYEEVSVPVVEDLILICDRAYTRADILEMERRIVNTLNFNMSVPTPYCFMRRFLKAAQSEKKLELLSFFMIELSLVEYEMLQFCPSMLAASAIYTAQCTINGFKSWNKCCELHTKYSEEQLMVCSRMMVELHQRAAHGKLTGVHRKYSTFRYGCAAKSEPATFLLDART >Sspon.02G0049400-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:49881737:49883079:-1 gene:Sspon.02G0049400-2D transcript:Sspon.02G0049400-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ATMASAGSEEACTEIRGACGTPRRLGLLLAPRSPAERQQIRAAYRATFGEDLAMTLHGTLMAMSNQELQLCKLLYLWALEPAERDAVVAREAVEGGVTVAGYHALVEVFTRRKQDQLFFTKQAYTARFRRNLDQQQLLLALAASRRSHHDDLSQHVAKCDARRLHDTKNSGAGSVVDVQALKINGSGEFEESLRVVVKCIYNPSKYYFQLLQRSMLSADKRMVTRAILGSDDVGIDEIRSAFKSSYGRNLADYIQENLPESDYRDFLVAVARGPVA >Sspon.07G0006260-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:16493792:16495815:-1 gene:Sspon.07G0006260-1A transcript:Sspon.07G0006260-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQETCPSVKNILLLDSEGKRVAVKYYSDDWPTLTAKLAFEKSVFAKTQKANAGTEAEIVMFDGQIVVYKFIQDLHFFVTGGEEENELILASVLQGFSDAVERLLKNMVDKRTALENLDLILLCLDEIVDGGIVLETEGREIAEKVSGHGLEGASSAEQTLVNALTQAREHFAKSLLM >Sspon.04G0012700-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:43832828:43837361:-1 gene:Sspon.04G0012700-1A transcript:Sspon.04G0012700-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGLWLLSRAVGRLRPLLVQGPRRGFSASAPEQLHVCVVGSGPAGFYTADRVDIIDRLPTPFGLVRSGVAPDHPETKIVVNQFSRVAANGRCSFFGNVTLGRDISLSELRKTYHAVVLAYGAESDRSLGIPGEDLKGIHSAREFVWWYNGHPDMCDLCPDLKNTESAVVLGQGNVALDVARILLRCKAELATTDITDYALDALHSSTIRKVYLVGRRGPVQAACTAKELREILGLKNVRICIKEADLVTTPADEEEMRNSRIQRRVYELLSKAASAHGGNNYNDQKELHFVFFRRPTRFIPAENGSTIGAVQLEKTVLKGDEVTGKQVAVGTGEFEDLKCGSCAKFKRKSPEHESETATVERGLYVVGWLKRGPTGIVQQISTVASILEDDKKGVLRTPSDSKKHGRTGFLEILEQKSVRFVPFSGWEKIDSMEKMAGQLRNKPREKITTWDGFQKAANE >Sspon.07G0010280-2P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7A:32810990:32811223:1 gene:Sspon.07G0010280-2P transcript:Sspon.07G0010280-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVDNSRHDQDHRAAAAFSVELEVEHVGERRMAPPPPVPSSSKKNPSQEPKAGGDLVARGASPGGSTRRPSRARAGA >Sspon.07G0001320-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:3100535:3106506:-1 gene:Sspon.07G0001320-1A transcript:Sspon.07G0001320-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHEYILKDHAQIGQYALYSIQRKQHSDTEGNAGNDDMDPEKKKKKKTRKRKRTETETPSTELEGVQLPHPGTTTLLAEPPLAKAQRKKKPSMQVGVEGEQQQQGQSPMEPVTPPKKDELAPRQKEGRQQPPAPVVLSAVPLQAVRVCPPSEQDGTLGASAPKPEEDTPDDMAQLLALLGYPAMFCNNQGQEQWPPSLTGTTTLLAAPDSNNIVDHTPFQPFQQEEDSFAMQNQHLSLGENQSVYMEQWWQHADLFLPNNTTQPMNSSGGWEHGNDQRQIHQQQEENNGGVGFGVVQDQPPVDEQSSGSDDLQVDSLLFGESVQMDELDAFVRGVLVRRDEPPQDLAVGTQEQLEGGDDPATLQAARTQEQGADDTVTSHQHDELQQQLAWLQLQRALNEHVRDGPCSCMICAAAATALVSACLAGVRWKAGVGTRKGSSQAPRPMLRHLVRSGQLADARSLFDAMPHRDEVAYATLLSGYAVAADFPGAMALFSRFRAAYPPHAAADPFVLSPVLKACASAAAGAGAGLLPLPLLHAAAAAALHAFAVRSSALSSVFVSTALADAYAKAGRLELALQVLDEMPRKNVVSWTTLVASLARAGRRHDALRRFAEMRASGVDCDSHACAAALTACAGAGLPPRGREVHALCAKLGLDAVPFVANALAALYARCGDVDRALAAVGRMGTRDVAACTTLIASYVQTGHAEEAIEAFVRMLRDESSNSASPNEYTFSAVIAACPNIEGAYLGEQLHAQAARRGLSHTRSVANSLIKLYTRCGRLSAADAIFRESDVKDVVSWSTIISGYAQEGLAQESFALFSEMRHHSSCPRPNEFTLASLFSVCASAASLDAGCQLHALAIAAGLEHHAMVRSALVNMYGKSGSMSDANVVFSNRTKDDVISWTAMIVGHAEHGHSKEAFELFEEMCRVGLKPDHVTFIGVLTACSHAGEVELGLRYLNAMNKTYGLEPEKEHYGCVIDLLARAGRIDNEAEELIGRIAADGRDGVVWTSLLRACAARGAEETGKKAAERMMEAEPWGSGAHVAMANLYASKGQWREAAQERHLMKQKAIGDSVIANTPNLVPKEYNKTVFTSEVSHGAADELLNGAIESYSVPKDPSSLDMVSPAKGTDVEAPSL >Sspon.06G0003070-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6A:9629418:9629848:-1 gene:Sspon.06G0003070-1A transcript:Sspon.06G0003070-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MCRVDAFSSPLARAISLPGPRPRVVTRSTELGVNSKKTWSWSHGSDVGRCRTEFGESCDGSTEAGLRGLHGLTIGRGL >Sspon.08G0019180-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:10693336:10695842:1 gene:Sspon.08G0019180-1B transcript:Sspon.08G0019180-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQWVEISEESCFDPIPPCIEAERRFIRICDRFLSYEELCLTEAIKNRARLVQSDGEYPAAAATLLVRLLQTVPKYAISNPVNNCLMLTILSPLISNDGNKAITKEAELMCEMEIHGYSTSAMRALAHQIRQAAFNLILYGGSESAAVTAAMVGLVEESKLSLEALREDGCSALMIYYDSVKIRQGFAKVLTKLGEEVACKTTGNAGSLTSATKKLIGLNGEATSDR >Sspon.08G0005470-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:13273946:13277673:-1 gene:Sspon.08G0005470-2B transcript:Sspon.08G0005470-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:nudix hydrolase homolog 10 [Source:Projected from Arabidopsis thaliana (AT4G25434) TAIR;Acc:AT4G25434] MAAHHSLSLSLPTIVTRSRVPLALRHHHHLLLPHRRVAARDHARRGLASASSPSRARPLGLGGRPARPRAATLGYGASLKAVLAKWREQVTPLTINVMYPVMLRWLGIRGVWIKLPITLSNLIPSVVEEGFWYHHAEETYLMLAYWLPNTTHTLPVNATHRVGVGAFIMNDKREILAVQEKSGVLRGLGEDINVGAVREVKEETGIDAEFVEVLGFRQSHKSFFDKSDLFFVCLLRPLSYDITKQDSEIEACQWMPIEEFAAQPFLQKHELVKYILEVGLAKVDKEYAGFSPISIKSAFTDKLSLFYMNRRDLDRASG >Sspon.04G0011520-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:35281095:35284049:-1 gene:Sspon.04G0011520-3C transcript:Sspon.04G0011520-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLNAAPHDTVCLHSSYDLLVDIEAGLLQKEYVAKVVGVFPDGEQTVDANVNFNAREGRSTVEISGGAGEEPNGKHALTRFQRICTDGTHSIVLCKPVTGRTHQIRVHLKHAGYPIANDELYLSGNFCPRSTKGTSNSKEIAPSDPDNSAADHGSKDAETDVEFDIDPMCTNCPNIAPVGYDGDEEGLWLHCVRYTGPDWSYECPYPDWAFLGSVSTKKMKS >Sspon.07G0009070-4D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7D:21920072:21921001:1 gene:Sspon.07G0009070-4D transcript:Sspon.07G0009070-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGGASAQKRSPNSKFGGSGGGEGAQWRRMSLPAMLLGETVLEIVQASQFARDIVTAAGAANREPPRTPKPAPRTRKPAAAAEQTPLRARHAREKQSHRGGAATRFADAATPPSRGRVRSRIQFKPASPPVAVGRPSVSANRVSPKNRPWAKKAVMFPNPTFHASTSAAANTDACATPSPSKKQKRFYKTRSPVVVARQTPHKFLVKSPPSALGSKLRIHGKALPAARPAAVSPPPPVKAQASPAKARRCSFSPSRLATRLVSPIKARLSLNRSRDRDSGVGVGGGPMSGLKQRPGVSLTVRTVSSKISS >Sspon.05G0013890-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5A:49756863:49760565:1 gene:Sspon.05G0013890-1A transcript:Sspon.05G0013890-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAGQGIRRRSGVGHREGPRAVAGAEMDDDSRCGGLWQRRWRGEQRHGQVREQAQMQQVRARGARAATVAVWWREGEGAAVRRERAPLKKLASPSMPPPTVAELVRAYYLTHHNIITGVVNLVKNLKIKRIVIGSSNDHVEHSGSFGPGGSSRYFGTIQERALKCKMGTYFSSAVTFVGPTEALARVHDGLPRCRHTAASPRQRRERDRG >Sspon.02G0026100-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2B:66408975:66412496:-1 gene:Sspon.02G0026100-2B transcript:Sspon.02G0026100-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding PFHAPGGDPRPPAAMFTGAPPPGVFPTSPWAPPSGTPHGVANWDQAALARSFSYMDLTPPVGPEWIADSGATYHTTPDPSILSSVHSPSSSLPSSIMVANGSCLPATSVGTAGAHGSFRLPDILVAPSMVHNLLSIRRFTADNSCSVEFDSSGLTVKDLASRRPLLRCDSIRPLYTLRFPAATSSSSPSVLSAAFAASTSSTTWHRRLSHPGRDALMQLSRSSGLPCTRAPDEHLCHACQLGRHVRLPFHSSTSHAARVFDLVHCDLWTSPVISISGYKYYLVVVDDFSYYSWTFPLRAKSDTFPTLLHFFTWVSTQFGLTIKVVQCDNGLAHVLPIYLFIEWKAKRMIRTTNDTVRTLLFQAYLPTRFWAEGLHTSTYLLNRLPSAACPAPTPHTPHHALFGTPPRYDHLRIFGCACYPNTAATSSHKLEPRSTLCVFLGYSPDHKGYRCFDLTSRRILISRHVVFDESVFPFSSTPTTTPVTDPPPYFPLTRWSSHLFCGLLQVLLRRAPCRPPVPAPRRVRARRPLARPRPLRLAQTRGPRPPILPRGAVPVAWCCPGTSFAVRCAGTGVPAPAAAAATCGPFSAGDTDTTAAEYAALLANQTWELVPRLPGSNVVTGKWIWTHKRRADGTLERYKARWVLRGFTQRPGVDYDEIFSPVVKPATVRTVLLLALARSWPVHQLDVKNAFLHGLLTETMYCSQPAGFVDSSRPDMVCRLNRSLYGLKQAPLAWNHRFAAFLLTLGFVEAKSDTSLFIYHHGADTAYLLLYVDDIVLTASSEPLLRRIITTLQQEFAMKDLCVLHHFLGVTVEPRPAGLLFHQRQYTRDILERAGMTDYNPCSTSVDTQGKLSEAEGPQCPIPLHTGVLLVPFSTSPSPGRTSPMQFSRSVFTCMIPGSLTSWLSSASSATSGARSTTASYSTEPPLPTSPSTLTLTGAGCPNTRRSTSGYAVFLGGNLVSWSSKRQPVVSRSSAEAEYHAVANGVAEASWLRQLLAELHTPPSRSTLIYCDNVSAVYLSTNLIQHQRTKHVEIDLHFVRDRVAMDEVRVLHVPTTSQFTDIFTKGLPSSTFTEFRSSLNITSG >Sspon.02G0026630-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:84699094:84707868:1 gene:Sspon.02G0026630-3C transcript:Sspon.02G0026630-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone deacetylase [Source:Projected from Arabidopsis thaliana (AT3G18520) UniProtKB/TrEMBL;Acc:F4J8S1] MEMSYCWAGDLSVIGYPLMASHMRAPSSGKKSEISHGVEKCGVSDQACHGKCQSCGIDVKPFCAGVDGVSSLTGGHTDVKASKENCGACSLNNDRADSLEEEVKGSTVRIGHVESADPDGCVDVKKESFMAVDHLPQEFEGEQAGATLEDLFFFNGEEEDDSDWEPASRLVENRWFCFNCTMPIVDEITHCMNCRELKGSVVDGYDVFKKQIAQTALLSADTELLPVSTAIGFDERMLLHSELEVKPNPHPERPDRLRAIAASLAAAGIFPSKCALVPPREITKEELLMVRPPGHHAGVKQAMGFCLHNNAAVAALAAKRAGAKKVLIVDWDVHHGNGTQEIFEGDKTVLYISLHRHEDGNFYPGTGAAHEVGVLDGQGFSVNIPWSRGGVGDNDYIFALQTVVLPIAAEFAADITIISAGFDAARGDPLGGCDVTPAGYSWMTSLLADCSNGRLLVILEGGYNLRSISSSATEVVKVLLGDGPNRASFVGSPSREALKTVSQVLKIQQQFWPVLGPTYASLQAQQGSLLLNLDQKSGW >Sspon.03G0003670-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:16562428:16563367:1 gene:Sspon.03G0003670-4D transcript:Sspon.03G0003670-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPKLATLALAVLLAATVVAPPAAVRAAMSCSTVYSTLMPCLPFVQMGGAMPPQPCCGGIRSLLAQADNTPDRRTICGCLKNVANGANGSGTYISRAAALPSKCGVSLPYKISTNVNCNTIN >Sspon.01G0026590-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1C:36968293:36970562:-1 gene:Sspon.01G0026590-2C transcript:Sspon.01G0026590-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTATVQIYLDEELASKVNSMAAKEKLYREIASTAESGWDFSSRWMRNSTDMTTLATTYIIPVDLNTFIFKMELDIGALAKLVGDSATSEKFLKASKARHIAIDSILWNSEMEQWLDYWLPADADCQGVPEWKSNSQNRNIFASNFIPLWLNAYHSGSVRFADEAKSKRVMASLKASGLLHAAGIATSLINTGQQWDFPNGWAPLQHLIAEGLLHSGSEAKILAEDIATRWVRTNYAAYKSTGAMHEKYNVTACGESGGGGEYKPQVW >Sspon.06G0013170-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:68695212:68697486:1 gene:Sspon.06G0013170-1A transcript:Sspon.06G0013170-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLSVEELASNLSTYKEQLREVKKLIKEKKDDPGISEYIDMEKELQEVITLTEEILATAKQTESAQNAAGLSSPNYSAGVQSEGLDDLSHSHKFAVGTRVQAVWSEDGEWYNATVEALTPNGYYVAYDGWGNREEV >Sspon.01G0014710-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:31635727:31638212:1 gene:Sspon.01G0014710-2B transcript:Sspon.01G0014710-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLLLAAAAVPARGQRSDGVVIAQADLQGLQAIRQALVDPRGFLAGWNGTGLDACSGGWAGIKCAQGKVVAIQLPFKGLAGALSDKVGQLTALRRLSFHDNIIGGQVPAALGFLRELRGVYLHNNRFAGAVPPALGGCALLQTLDLSGNFLSGSIPSTLANATRLYRINLAYNNLSGVVPTSLTSLPFLESLQLNNNNLSGVMPPTIGNLRLLHDLSLADNLISGSIPDGIGNATKLRKLDLSDNLLGGSLPESLCSLTLLVELNLDGNDIGGHIPACFDGLKNLTKLSLRRNVLDGEIPATVGNLSALSLFDVSQNNLTGEIPASLSGLVNLSSFNVSYNNLSGPVPAALSNKFNSSSFIGNLQLCGFNGSAICTSASSPLTAPSPPLPLSERRTRKLNKRELIIAVAGILLLFFLLFCCVFIFWRKDKKESSPHKKEAKEATTKTVGKAGSGTDTGGDGGGKLVHFDGPLSFTADDLLCATAEILGKSTYGTVYKATMEDGSYVAVKRLREKIAKSQKEFELEVNALGKLRHPNLLSLRSYYLGPKGEKLLVFDYMPKGNLASFLHARAPDSSPVDWPTRMNIAMGLARGLHHLHTDANMVHGNITSNNILLDEGNDAKIADCGLSRLMSAAANSSVIAAAGALGYRAPELSKLKKANTKTDIYSLGVVMLELLTGKSPGDTTNGLDLPQWVASVVEEEWTNEVFDLELMKDAAAGSDTGEELVKTLKLALHCVDPSPPARPEAQQVLRQLEQIKPSIAVSAASSFTGEPSHTTATATSVTDETKSTTT >Sspon.05G0004370-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:13112264:13114782:-1 gene:Sspon.05G0004370-1A transcript:Sspon.05G0004370-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGITSVGASPSGRRRSRMHERGKPRGHKRTSDVRACNIRVGTGGGGNGSRAGSCGTGDVGPRLNMGVGVQIWAYVRTTLSGHPAFASWCSVVADPSFRKLHVAYHAAAASSEPEVLLVTETRKPGRSDEASFFNLSSATALSQVAIPSGYRLAGVCNDWLCFALDHDQAPAVVCNPVTGETLQLPKAPPLPTGDPQLSHLFVLGLSTPTKEYKMFRLSFPRWHTSTDETNSIAVYTLGGGGGWRQCSYLSRFHPVQSPAPVHIDDKRARAARMLVVDVAAETPRSYRIPYSFDDYHEAWHHLRGGFCLLADGFDLNGQMCLVVNVGFYPVSRKKTQFWVMKPPCELEGKEQEDNKLFCFDDPFALTMPRGAWIDHAQTLYYRFGKFVYKYDTRGYSSSSNIDSLSFDERLDLPDDPRYPSLSSDRLEFCRLHSPLIFALPPSQEEKGKKRPQFEHTLLRALKIPINKITMDALQGLVEHGSMMIKKNGGSKNKGAAAQTMAA >Sspon.04G0016770-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:61381585:61384182:-1 gene:Sspon.04G0016770-1A transcript:Sspon.04G0016770-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQAVMVISTQAKPRLLKFYNFQAPEKHQDLVRSVFQLLSARPDSVSNFVKVDSVFGPGTKMVYKHLATLYFVFVFDSSENELAMLNLIQVFVETLDRCFMNVCELDIVFNFNKLHTILDEMILGGQVIETSSEQIVKSVEEIERFSPQPSPLPPYVVCTRCLMLIALSLT >Sspon.08G0009810-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:36935239:36937924:-1 gene:Sspon.08G0009810-1T transcript:Sspon.08G0009810-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEESGRPLPKFGEWDVNDPASADGFTVIFNKARDEKKGGNGQDTDSPSKDTRTERVESYAAKPSTKKWFCCVTASPTQS >Sspon.02G0057000-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2D:40605904:40606371:-1 gene:Sspon.02G0057000-1D transcript:Sspon.02G0057000-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKAYHTPLSFGWDRFAPAPGARLAEWWFDVRCQVPKELRKGFDSAVLLVSWRLWKERNAWVFDGALGSPAQATLQVLEEAGEWVAAGFSAISLLLAAASVA >Sspon.07G0007680-3C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7C:16135758:16138254:-1 gene:Sspon.07G0007680-3C transcript:Sspon.07G0007680-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding TRKALSTLAESAFIATRDDPAKQSIHHSRTRLEPRAVPIPTAHSPFPLRPGDPTPGAAEASLTDMWALNNAKAGGPCLTPRHPAPLSLASPSTAAAGRTFWRRTPVWAPLVAVRASGGTRKDGPGGGEASSGEEADSKASSSGHDDASTPTGDTSAGLNQPHAEPNTSKPINVSSSNYWRDVRANLVRREQELLVDPSTPTESKASSGDPLQLPQKWAHAIPMPEAGCVLVATEALDDDSIFERTVIFILRLGSRGTFDGPFGVILNRPLYTKIKHVNPTFQDQATPFGDSPLFFGGPVDMSMFLVRTDDSSRLKGFEEVVPGICYGFRTDLEKAAVLMKSGAIRTQDVRFYVGHAAWDYEQLLGEIRAGYWAVASCSTELISDALTGDPSCLWTEILQLMGGQYSELSEKPKQDSS >Sspon.08G0006800-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:21033156:21036536:-1 gene:Sspon.08G0006800-1A transcript:Sspon.08G0006800-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MWVKEKAATESNKPNRPIPKQDTREPRPPESCNSGPHPCGVPHSRPAGDGLALPSCAAQHRPPRRGFSSLDHPAVLPCPSSPSRRPHSEGNCGVLLPTKNGHQAGGQTTFLGAEEEGREREREREREREREREREGKTKMFIQKAWRTAAFGLYGFTQFTKSGFLEHAKKFREEDMQIRLDGKNCLVTGANSGIGFATAEGLASHGATVYMLCRNKDRGEAALNQIRSKTGNANVHLEICDLSSINEVKSFATKFTSMDKPLHVLVNNAGLLEHKRETTAEGLELNFAVSVAATYTLTELVMPILEKAAPDARVITVASGGMYTEPLNKDLQFTEGTFDGTQQYARNKRVQVALTEWWAEKYGDKGVGFYSMHPGWADTPGVAKSLPGLSEKLSGNLRTNDEGADTVVWLALQPKEKLASGAFYFDRAEAPKHLKFAGTAASHAQINSIVDSIRSICGFSVEG >Sspon.04G0032580-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:32038366:32039875:-1 gene:Sspon.04G0032580-2D transcript:Sspon.04G0032580-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADTPSPSPTAAAAAEPGSASTPLLRRRGSYARSMSHARDELSSFRSGLRWMCVDHSDASTPAVSWLVFAALGVAVPAAAHATTPRRAYDTQVQILRMTGFARDFGQCADVAAVLRQHRRIRVQLQRISHRYRRFILYSLILVTASQFTALLAATRPHAQVNFATAGELALCSVSLVTGLLVCLHSAAKITHKTQAITSVAAAWHADATINSLDRDQENPRTPSKACLQQHAPTSPFPMANASSGEESDDDEWRSEDSVDTSRFTSFHATNVSFQKRQALVTYLENNRAGITVFGFVVDRTWLHALFMIEFSLVMWLLGKTIGIS >Sspon.06G0018260-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6A:98476095:98483913:-1 gene:Sspon.06G0018260-1A transcript:Sspon.06G0018260-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPEYINGGAISKKFDVFSLGVIIIKMLVGNTGYDRRHEMPPEQFIEFALYNGEEIAVKKFHQLVGRLDDKEFDNEVLNLREIQHQNIVQLLGYSYVTEKLKEKLQGAWGYSSSQETDILQLKTCVDIALRCVKDERNERPDIKDIVNELEMLEAQIKKMSIASSSNPAYYQSGVCQDIRQTEHRFHLYMTQWGVSTINSNEKFAVSLPGGFGSIAVDDFTIRDGPAANANLVGRARGMHVSNGIGDDHWLFCHSILFTDTRFNGSSLKMLGDFAYENDAEWAIVGGTGEFAYAHGCCHCQSRLLQFYPTPFSPARTQPHNQSYPSIPTTRPTEQGHDEIPQHSLARPTEQGYDEMPQHSPARPIEQGHEVMPQPCPIEQGPKQGLPSEKEVERAPISEARKKIPIHTRPMFESMKTVPLIDKWFALDQYKPENQVKDVYARASEPLDTSKLHQLVKNYPSAEDIKWTNDCPKKYVRGKKFLPNRIMQCMPIGMRKFHDWYLRTQSMGLNDIKAAFSEYTFGGAAGKILFDFQDMQECFHLGMMEMGLVRLWCLMQTRIVKKMPSLRAGYIDPWLICASNFQYPKHWKLDEEELAAGETVEQKEKIRENKMYREGLKWKEEVDQKRDVYKNDQLLELVVDLCNYIIDQVVSSRGDYHDRSSDLGRNPMYQHLLETHRKSTVGAVLDPTTLTNSIFKGAGDPTALTNGICKGGQPPLQIPFVRAVGSPAPLKILFVRVVGDQTALTNTFVRAGYANRPYNGLICKSLLGGAVGPPALIVPFDPSL >Sspon.05G0000990-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:2741200:2751330:1 gene:Sspon.05G0000990-2D transcript:Sspon.05G0000990-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHDIMSSLEDVDDFEADFTDSKNEIPFEIWSLAESVVNVLFANFATLYDSAFCEKIGKIAFVPAEKGFPSIGGKRGGRRVLASYNEAILLKDWPLAWSSAPILTKQTIVPPEYSWGTFRLRSPPAFSTVFRHLQIVGRGNGEDTLAHWPTSAGIMTVEDAFLQVLQYLDKIWGTISSSEKTELEKLAFIPVANGTRLVPVKSLFARLTINMSPFAFELPSRYLPFVSLLREIGMQESLTNSYARELLFDIQKACGYQRLNPNELRAVMEILDFMCNGINQSITDGSDGLFDSVIPDDGCRLVTAASCVYVDPYGSRLLSNINTSRLRFTHPDLPQNISKALGIKKLSDVIVEELDGKEEIKVVNSIHSVTLDRIKEKLRSKSLQNALRIVMISVTSHFPSFEALALVQIEQILEDISQKLQLVQCLHTRFLLLPNLQDVTRTIQHPSIHEWSSNGMHRSICFVNKATGYILVAEPPNVGVSKREGRYDASLGAELLSQDARQVQFLPLRPFYSGEIVAWKTGKEGEKLRYGRVPEDVRPSAGQALYRFPVETAPGETRMLLSSHVYSFKSVSMADLLSAPSQVNGGVALGGQENLLATNTGTEVTKDADAGLQYGKVSSTELVQAVHDMLSAAGVRMDAEKETLFEATLSLQDQLKESQVALLVEQEKAEAAVREADVAKAAWSCRICLNAEVNMTIIPCGHVLCNRCSSSVSRCPFCRTQVARMMKIFRP >Sspon.07G0003950-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:9818196:9821623:-1 gene:Sspon.07G0003950-1A transcript:Sspon.07G0003950-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIVNLYVLTMAGFRSLAPKTRNLVVAGGLSAFVLGVYYYTMRAVGGTDELQVAIDKFEEMKKKDAARTSNAGES >Sspon.02G0029720-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:108270195:108272057:1 gene:Sspon.02G0029720-1A transcript:Sspon.02G0029720-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GGQGERSSRGGGPGRAGAPPHAGRGEARCGGGGGGRSGRRQAEAGRAWGAPGTRQQRRPPRAVPPRQALEARVEVREAREARRVGGPRARRCRRRRRPRPSAARPPPRRAPL >Sspon.07G0008750-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7C:19904301:19905818:-1 gene:Sspon.07G0008750-2C transcript:Sspon.07G0008750-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMQRGISPNLVTYNSVVHALCKARAMDKAEAVLRQMVDKGVLPDNRTYNNLIYGYSSMGQWKEAVRVFKDMTSQGILPDVVTFNMLMASLCKYEKIKDARDVFDSMATKGQKPDIFSYQIMLNGYATKGCLVDMTNLFNSMLGDRIAPDIHIFNVLIKAYANSGMLDRAMIIFNEMREQGVKPNVVTYSTVIAALCRIGKLDDAVEKFNQMIDQGVAPNISTYYCLIQGFCTHGGLLKAKELVLEMMNKGMRPDIVFFSSIINNLCKLGRVMDAQIIFDLTVNIGLHPTVMVYSTLMDGYCLVGKMEKALRVFDAMVSAGIEPNVVVYGTLVNGYCKVGRIDEGLRLFREILHKGMKPSTILYNIILDGLFQAGRKVSALEMFHEMTESGISVHTNTYTIVLGGLFKNSCSDEAILLFKELRAMNVKIDIKTFTTMIAGMFQTRRVEEAKDLFASISGNGLVPSVVTYSVMMTNLIKEGLVEEADDMFSSMENAGCDPDSRLLNHV >Sspon.06G0029340-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:20287866:20292606:1 gene:Sspon.06G0029340-1C transcript:Sspon.06G0029340-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Methylcrotonoyl-CoA carboxylase beta chain, mitochondrial [Source:Projected from Arabidopsis thaliana (AT4G34030) UniProtKB/Swiss-Prot;Acc:Q9LDD8] MLSRLAAARRQLLLPPRGAPAVAYRSSSSAAAAAAVHGVAPAPASASASASASVLPDTLDRGSDAYQRNAAAVGGLLSDLRARVSQVLRGGGAEAVRRNAARGKLLPRDRIDRLLDPGASFLELSQLAGSDVYDEALPSAGIITGIGPVHGRLCMFVANDPTTKGGTYYPLTVKKHLRAQEIASECKLPCIYLVDSGGANLPRQADVFPDRDNFGRIFYNQAKMSSDGIPQIALVLGSCTAGGAYIPAMADESVIVKGNGTIFLAGPPLVKAATGEEISAEDLGGASVHCKLGRNIVKNLHLAAKGTNIQSSTCGYQEPLYDAEELRSIAPADLKQSFDIRSVIARIVDGSEFDEFKKLYGTTLVTGFARICGQPVGIIGNNGILFTESALKGSHFIELCAQRHIPLIFLQNITGFMVGSKSEASGIAKAGAKMVMAVSCAKVPKITIIVGGSFGAGNYGMCGRAYSPKFLFLWPTARISVMGGIQAAGVLAQIEKNNKKRQGVEWSKDEEEAFKAKVVEAYDREGSPYYSTARLWDDGVIDPADTRRVLSLCLSASAKRVPEDTKYGVFRM >Sspon.03G0008760-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:37679763:37683386:-1 gene:Sspon.03G0008760-3C transcript:Sspon.03G0008760-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLNSLFNRSTFGTKCKTCLNLVISRIKLLRNRRELQLINMRKEMVQYLQTGQESIARIRVEHIIREQNILAAYEIVELFCEFVLARVPIVEVQKECPLELREAIASIIFASGRCSDLPELMHLRNLFTTKYGKEFVAGAMELRPDSSVNRTIIEKLSVKAPSGESKLKVLKAIAQEYNIEWDSSNTEAEFNKKYEDLLDGSGSSVHQVQPPIIEGSVSSPVASASRGKPPALNSPVIDAQKHRVRESPTSPAGGTRACVATKGNVATKEHPSTAEEMSSTSPSSSDVLEKARAAIAAATRASAAARAAAELAKVKITSQ >Sspon.06G0022980-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:39712372:39715557:1 gene:Sspon.06G0022980-1B transcript:Sspon.06G0022980-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTVAAAAEKGRQHTGKAVGLAAHDASGHLAPLTITRRSTGDDDVAIKILYCGMCHSDLHSIKNEWNNAMYPMVPGHEIAGVVTEVGKNVTKFKPGDRVGVGCMVNSCQSCDSCAEGFENTCRGGIIFTYNSVDRDGTVTYGGYSTAVTVHERFVVRFPDAMPLDRGAPLLCAGVTVYSPMKHHGLLDAAPGKKQKHVGVLGLGGLGHVAVKFAKAFGMKVTVISTSPGKRQEAIDRLGADGFVVSRDDEEMKAAASSMDAIINTVSANVPLAPFMGLLKPNGKMIMVGLPTKALEIPPFDLIMGNKTLAGSCIGGMRDTQEMLDVAAKHGVTADIELVPADYVNTAMERLAKADVRYRFVIDIGNTLKNSE >Sspon.05G0031070-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:3040879:3046997:1 gene:Sspon.05G0031070-1C transcript:Sspon.05G0031070-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPGIVEVRCAGCGETLEVEPGLTEFSCPDCGTHQALPPELMPRRPRRALPLPGRRAPLAAPFRIACGGCSAVLSVPHGQGRFACPLCGAELAVSPVAAISVVAPPAAVPISPSRSAQPSETVKITKVCPGPSSQLTHARYIQRPIHLEKTHGQHPRHSFREEPFTSSGADTGTEIPVVGRLQNEPADPLSQREESHIEPLDETIPRPSKKKSIFVASPDSYPVRKVHEENLNQALYASEEQGMPSNSSIHADMEEHRFPNDTVTVRSKQKVGNVVAPSILEQEQTKSLNQAVDEQQADEIPKDTVHADKVQVEFTSEAGSCKKSVGGSKGNQKRRSKILMNSSNESPQLRRSKRLVKGSHDVLDIDPIEKIDAPSNQNISEAPEIERTQADSDTSSPTRYRFPLDRSNEWDVVGATTPPAFNHCTQQAEQFPRTQMYSPETRWALPVASSNSWHDNEIPQESFSGVGQLDRGYSEVHSNPAEMQNQDMNGPLVQEICSNKNCSGHGQLKHHNKNRSHSELGRLKGNGFTCPSPNGGGHPEDQSFSGACLQNLHELSDIKPFQDAPCGDLLPGPTSNSSKKRRGRAPEKLMEPRKEAERPMLMPSGTDWIVQPPCPKVANTLSVLIKQNYPGIYVSDDTIGNGQSCEHVVYHWHQCPPDTRTTILDEFLKRYKWSPGHEEECQKIFDRKAVRQLMNLFCYEKQRVRQVLASKKTKAPSVRSAHDEMELEQDGGREDPDEQQGEASVTVLEHEDPLKWKPFVPVWMKPKWWEMLCDHWAKDENIKVSCQQRKNRYSGKRPHNAACPPKIRLHEEIVDLDNGGKLGVDVDSPTNILRESVDQRACQTEDKRDQANREAQSIQLGSHSVQRQAGSGKQGRHCGTISVSKKAQCEPLSKSSPVYVSKQGQQPMFTKEQVQEMITQALQGLNEAWEKKFLSLEQKMPSISSSHTVPNGVKESSLAVGRSKRCKLARQDTLDSMDEEDPDAEDDSDYQEEEEEEEEEEHSS >Sspon.07G0001520-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:3499254:3500323:1 gene:Sspon.07G0001520-1A transcript:Sspon.07G0001520-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFVAAYLLAVLAGNSSPSAEDLTAILESVGCEVDNERMELLLSQLSGKDITELIAAGREKFASVPCGGGGVAVAAAAPAAGGAAPAAEAKKEEKVEEKEESDDDMGFSSSTKLRAVIKVLFWAVEPDENQSHV >Sspon.05G0007250-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:22747212:22753509:1 gene:Sspon.05G0007250-1P transcript:Sspon.05G0007250-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVEQMLRAAASRCARGAVRRLSSSAAVEASPVAVGSRRQPLLDEGDWSYYREWWGEEDGPGEGAQTVFRRHSECGNGVVSVSAYPASRPASEHWPATERWLQERNAKLYPESASSDQFKVLGYQWRVMRFNDHTRQSTAKVMTCYRTSGQRSLFLMQQPHVLAVPYVKSMVSAGLTALPCSSYDLPQAASGQNTMKILCIGHGGGTLPLFLASKFRGATIHIVEIDPVVVSASIESMGFPMSSVKGLSSESMLPADADDLLWGGIHDRIFLHIADAEDFIANDSNEYDIVFIDAYDGDDVFPRKLWDVDGAFMKNLEKKVHPIHGTVVVNLHSDSELPASEAEGNADFQSMLPMGRHVSQVCSAYKKHFGLAFTAAVPWLCNITLVVCRDKGIASGARLGLSHRDFVLGKLLSKSETVERALDLPFPCLPYIKNGFTM >Sspon.08G0013320-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8A:56093240:56094487:-1 gene:Sspon.08G0013320-1A transcript:Sspon.08G0013320-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHQAQGQMVQEVAAAAGGLPTLPTRYVLREKDRPSPAGGVAEPELAFPTVDVQRLADPGDVEEADKLRSALQSWGLFKVTGHGMPVALLDGVRDAGVEFFHLPLEEKLRHANRDDAGEFQPEGYGIDRVDTDEQVLDWCDRLYLTVQPEEERRAQFWPARPPALAGLLHEYALGSEQVARRVLMAMGRLLGFGEGFFLDHVGEKGGTDARFTYYPPCPRPDLVYGLKPHTDNSVVTVLLLDPNVGGLQVLLRDGGDAGGDGRWVDVPVLARDELLVVVGEEMEIMSNAVFRAPTHRVVTSGRERMTLVLFYQPEPHKDLQPAEELVAEDRPAMYRKLKAKTFGDGFWDAFALGERTIDFLNIKVDDRESAGSMPEAAAA >Sspon.05G0029910-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:80946882:80947256:1 gene:Sspon.05G0029910-2C transcript:Sspon.05G0029910-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCLVIQDRKEIKIMSVVDGGEVLKMPSPAGMRSLKVPAEATLCEPLPPPPAAGVNDPAGAAVRVKLVISKQELKKMLDKEGMSLDDMVSLMHKEASDRDQEEEFCCRGIPEGSDL >Sspon.07G0003570-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:8590234:8594504:1 gene:Sspon.07G0003570-2P transcript:Sspon.07G0003570-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEISLEASWVGVQRHGQDLADRLAQGFSGLLLHAHPPQLPPWSPPALLPPKIVIPFDIDLPVVPFVGGVRRAAGAVDLPAVAVSSLVEIGGRLGQAGSELGAAVQQLARLVPVPVPVPVPFLKWEAAQPPTAVTADEGEVALAVRTVGSTNVALERAVDIGSLEVAAAAAAAATGSATAASAGAVGAMGDDGLDEEEDGFGCEIGTLENFEKAKGTVNISATYNTRHHDFESSVVARGDLWRLESSRGNLSSGNDSSPLFLVQLGPLLFVRDSTLLLPVHLSKQHLLWYGYDRKNGMHSLCPAIWSKHRKWMLMSMMCLNPVACSFMDVQFPNGQLTYVAGEGITASGLIPLFGGLLQAHGKCPGDTRVSFSFKNKQGTRFTPTFQWPDNSVSFGVAQAVAWKRSGLMLINRSTCTSVCPTFGGSDPGIRTEFVHSLKDELSVMCGFSCSRHPSAFTALSLGRSKWNGQVGSSGLVITLETPLDNMARPSLSVQLNGGFEF >Sspon.07G0007600-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:15876157:15878096:-1 gene:Sspon.07G0007600-3C transcript:Sspon.07G0007600-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSKLRQALGAVKDQTSIGLAKVGSGGALEADLDVAIVKATRHSESFPADELHIREIITLTCLSRVYVGSCVSSLSRRLGRTRSWAVALKTLVIVHRLLADGDPAFEQEVFFATRRGTRMLNMSDFCDRSRADAWDFSAFVRTYAAYLDDRLEYRMQGRQQGGGGAARGGRQTLHEEMYASPGNRYTCDAPCFNGRQQDAVDAEAYSRAVALVTRDPPTSEMTVDQLLVKANQLHHLLDRFIACRPVGAAKANRVVAVSLYPLVKESVQLYCELTEVMATLIEQFAEMETADCERVHALFCGLAKQLEELENFYAWCKVVCVCRQSDVPEVEVVTQKKLELMDEFIRDRHAAADSQQRLPPPEPEPMASPEPVLVEEEEDDDDMNATKALPAPEEPPAAAQVEPEAPLVVADPVEEEADFLNLKADAMSGEEHGQQLALALFDGDIAGSAPKGNVFQGASADWETELVQSASALANQRAELGGGLSMMVLDGMYNHAAVANNAQTFSGSASSVALRPPGAPMLALPAPPGGSGPAVGADPFAASALVPPPTYVQMSDMQTKQQLLTQEQQMWRQYGNNWMRQGPLAMLEQRPNQNQQFLPHMGHNHAGYRTS >Sspon.02G0024960-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:85749481:85752099:-1 gene:Sspon.02G0024960-1A transcript:Sspon.02G0024960-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding TQTLFKRANHGGAGDDDSPRRMRFVHRTFSLDDVKFVKNAMSYMDVNMIETGKSNAVRWGNRLGYIILPFHLAMHDDPLEYVRKAKLVIDRKKNSLEVHAVHLSIDIVFKVFGPKAGAYIFNKLLRNTTMALSNLIGPPEQIELCGHPVAYIAPSVYGLQQAITVHYQSYNNTIKVVLAVDEAQFPDSRQLLDDFAECLKLTKDAAAKTVSTKTIKNE >Sspon.02G0011720-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:29679512:29681299:1 gene:Sspon.02G0011720-2B transcript:Sspon.02G0011720-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPPLQLAAAPLLLLLLLVVVPGTRSSRVFSVSDYGAAGDGSHYDTAAIQAAVDACAAAGGGRVLLPAPGDYLTATVHLRSRVVLEVPPGARLLGGTRQADYPPESRRWYLVLAENTTGAGVTGGGEINGQGGAFVVTPSEVKNVMVSWNATGDCLGDECRPRLVGFIDSKDVRIHDITLNQPAYWCLHLVRCDNTVIHNVSIFGDFNSPNNDGIDIEDSNNTVITDGHIDTGDDAICPKSTTGPVYNLTATNCWIRTKSCAIKFGSASSFDFKKLVFDNITIVDSHRGLGMQIRDGGNVNDVVFSNIKMSTRYYHPLWWGRAEPIYITTCPRHPDSKEGTISDIRFINISSVSENGVFLAGSKHGLLRNLKFKNIDLTYKRWTNYTGGLYDYRPGCQEMVKHKTGGMMLEHISGLEIDNVRMRWARGSLKGWNVNPILFRPSTIDKLSFHDWQSVDV >Sspon.01G0002370-3C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1C:17155546:17156683:-1 gene:Sspon.01G0002370-3C transcript:Sspon.01G0002370-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVRSASTPVLGALHPSGTHSPAISSPAVHFPESPAAAYHPPPISCHLAGPGSGSDHERSRAGMRRTCSEDNLASLAGVRADDHQHHHLPPSGKGAPRARPVPLETIQSFRGRQASSTDDEEEEDAYEVERELRFGQFSFAGSTYSQEHPLFLARGLGIDRLGSGLLSADGGGGGFGGSDGGGGSNLVASGNGGDRSGIEMHYKKMIEEDPCNGLFLRNYAQFLYQVKGDYRRAEEYYSRAILADPDDGELLSEYAKLVWDVHRDEERASSYFERAAKASPQNSHVLAAHAAFLWDTDDEESGGDVLSSCAGFAQPAQSSTLASATT >Sspon.03G0026010-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:12942720:12951163:-1 gene:Sspon.03G0026010-1P transcript:Sspon.03G0026010-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRLLANLIVMGGTVVGRAMLQAYRQAIVNANKTGAAQEAINGIRRATKAMTEQEARQILGISEKSTWEEIVQKYDTMFERNAKNGSFYLQSKVHRAKECLEPLYQKPDVLN >Sspon.02G0014730-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:37709879:37713501:-1 gene:Sspon.02G0014730-2B transcript:Sspon.02G0014730-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPMASPLPPLSLILLRPLPCRPVLLSRQRKPSPTVRVEARSEQRLQRRPVTGRWRRSWRRGGGFACFSYNAKNKTPPPSDKSSDEWAIVRRWDVPWEWQTVVLSMVGCGVSELRKFDFTVQHSECSLDSFVLTGLVEQSALQYLGFKAVEANIDEKAEILFFGQLSVTVVVLGVIFGITNTFRPFPDDVFRYDINEPFKLQNGWLLWAGVGLFGAIISIALAGAAMTYLNGETPERVTDSLVLLLPLIGSSTTSTAFLVGITGVLAPLLEETLFRGFLMVSLTKWFPTPVCVLVSAAVFALAHLTPGQFPQLFILGVALGFSYAQTRNLLTPITIHAFWNSGVILLLTFLQGYDLKELLGAS >Sspon.06G0013080-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6A:68402190:68413730:1 gene:Sspon.06G0013080-1A transcript:Sspon.06G0013080-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADADAAPPTPPREVVAPEELPAVAESAVAAQEEEGAATAEVDNKRKLEEVGADAEANGTGEDAKRPRVDGEPDAATGVEQQNDGSSVNVEEPAASEDKVAPTDGAAMDGDNGTAAPASDSQAGFDEKPLEAAAEAPQQEGDAEAAAQEISRKIEVPNSKIQITKDADVDSNALTRSVELVGSLGSVDKAEQLIKSVIAEAEAGGSPALIARGFGSGQPGSEQFEMTVPDNKVGLIIGKGGETIKGMQTKSGARIQLIPQHPPEGVTLTERIVRVTGNKKQIEVVKDLIKQAMNQTFSKHTNQSGGYGPQGYRPQGHGAGSQWGPRSQNHGYGYPPRGMPPPQNYNAPYGGYPPQGPPRGGMGWDQRQGPPPHPSYQGGGGSDYYKQGSQPYDSQPPSYPPGPGNYNSYGQSQVPNYGQPQYPQHAPQQNYSHGYGDPRYNAPPPNQQYYGQPPMGPQQGYPQQPDPYARPPYGGPGQWPPRGAPAADGSYQAPPASYGPPSQQPPAYGQTYGAATGSDGYAQQGYPQQGGPAPAPYGQSAPAAPGYPQQGTQQGGYAQYPQTQPAYGDQAAQANANYGYQGAPADPNYGSAYPQPGYGPPGQATGQAGYASSAPAAGQPAYGQAGYTQPPTNPPSYDQSAAPAATQSGYAAPPANPQQPAAAKGVSPQSAAAGYGA >Sspon.01G0021960-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:83729404:83730435:-1 gene:Sspon.01G0021960-2B transcript:Sspon.01G0021960-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DAAMHCSVGVLRERGGGDDDRGGERGLLGRRGGLRRSVRGHVHRRHQPGRAAPVHRPERHRQDRRPLPGGVPRHHRPLAGGLRRHRRPRRRQDTNRIYQVGSKVQSV >Sspon.01G0021630-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:79225781:79232576:1 gene:Sspon.01G0021630-1A transcript:Sspon.01G0021630-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKPHYAASSPPHPPPQQPPLLPLALVPPRQCPLAASLLALLSLLLATALWLLLVLSPSQGAPPAAASEHSALSDARAAAAAAGPGAASPLSLGHIVFGIAGSAHLWPRRREYVRLWWDPAAMRGNVWLDAGAPAAPGPSAPWEGSLPPIRVSEDTSRFRYTNPTGHPSGLRIARIAAEAVRLVGGGAGARWLVLVDDDTVLCADNLVAVLSKYDWREMVYVGAPSESHSANTYFSHSMAFGGGGVALSFPLAAALAQTLDVCIERYPKLYGSDDRLHACITELGVPLSREYGFHQWDIRGNAHGLLASHPIAPFISIHHVELVDPIYPGLNSLESLELFTKAMKMEPMSFLQRSICYDQSQRLTFAISLGYVVEVYPNVLLPPDLERSQRTYIAYNRMSQRNEFDFDTRDVQKSLCKKPILFFLKDVWKDGNITRGSYTRSSARDDLKRKVFCFRSPPLPDIDEIQVSSSPLNKRWHLATLV >Sspon.01G0013000-1P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1C:34930502:34931352:1 gene:Sspon.01G0013000-1P transcript:Sspon.01G0013000-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVARDTKKRSLAVTSSASTAAGVVGLTDDNVVDILSRVPVKSIFRFKSRWQRKIHPIPASLSGNTRRVFGFRVPVAISSFKCVSPSWRNLISHPDSRKKLPHTVTGFFYFDEFDSCTFVSRAAPVRGGS >Sspon.01G0002740-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:71829171:71834191:1 gene:Sspon.01G0002740-2C transcript:Sspon.01G0002740-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSPGAGSSGGGGHECSFKILLIGDSGVGKSSLLVSFVAASHLDADIAPTIGVDFKIKFLTVGGKKLKLTIWDTVYDVTKRESFSNLSDVWTKEIEANSTNKVCIKMLVGNKVDKDDERMVTKEEGLAFAEESGCLFLESSAKTRENVEKCFEELALKILEVPSLLEEGSSSVVKRNILKQKQESHAKNSSGCCQ >Sspon.04G0021450-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:1196460:1199891:1 gene:Sspon.04G0021450-2D transcript:Sspon.04G0021450-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPTTSRRGPGGARNMDDENLTFETSPGVEVVSSFDQMGIKDDLLRGIYGYGFEKPSAIQQRAVLPIINGRDVIAQAQSGTGKTSMISLTVCQIVDTAVREVQALILSPTRELASQTERVMLAIGDHLNIQVHACIGGKSIGEDIRRLENGVHVVSGTPGRVCDMIKRRTLRTRAIKLLVLDEADEMLSRGFKDQIYDVYRYLPPELQVSLVINYDLPNNRELYIHRIGRSGRFGRKGVAINFVRKDDIRILRDIEQYYSTQIDEMPMNVADLI >Sspon.05G0024720-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:25015120:25017898:1 gene:Sspon.05G0024720-3D transcript:Sspon.05G0024720-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPPQLRLTAPMPVAAGDSGSGSSSSSFAPPARDYTQDLPDEILALVFASLSPTDRNACSLACSRWMEVDATTRHRLSLDARAALGNAAPALFARFTAVTKLALRCARGSGADSLSDYGAAAVADALPSERLARLKLRGLRQLSDAGLASLAAAAPAIRKLSVASCTFGPKAFVAVLQSCPLLEDLSVKRLRGLPDTAGATTSIAEDIKFPPALSLRSVCLKDLYSALCFVPLVASSPNLRSLKILRCSGAWDLPLEVITARAPGLVELHLEKLQVGDRGLAALSACPNLEVLFLVKTPECTDSGIISVAEKCHKLRKLHVDGWRTNRIGDFGLMAVARGCPDLQELVLIGVNPTVLSLRMLGEHCRSLERLALCGCETVGDAEIICLAERWAALKKLCIKGCPVSDRGMEALNGGCPSLVKVKLKRCRGVSYECIENLKVARGESFSISLDIVLEHDAGSASENGVQENGQAQIVELTDQMAGMDLPANAAGAQSSTHTINRMRSVMSAIRRRIPYDQA >Sspon.04G0021200-2D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4D:82325390:82325550:1 gene:Sspon.04G0021200-2D transcript:Sspon.04G0021200-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ITFEGERTVVDMYKFIKKHASIPFKLKRQESRTESTQAEGVKSSGTNMKDEL >Sspon.03G0028340-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:9080451:9082038:1 gene:Sspon.03G0028340-1B transcript:Sspon.03G0028340-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEVQQAHIAIITGTYIKYRCPRILCDCHLHPDQHQLGVLYLSLGLLTIGGWSNPHKYRCPGITMTVLYFQTLSWALGFGIPTSRPTSAGARLYVHVPPEGSIFSGVVQLCGIILKEKTQKGAIMRDGDINDEGSARNSWEICSIQQIEEVKCLHLPWTVHLGPYFEIPAGSVTSISLITLAVFIPIDDRTLAIKFTGLDSVGGKSNPNPNPLDPKSAEL >Sspon.03G0003660-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:9895771:9897112:1 gene:Sspon.03G0003660-2P transcript:Sspon.03G0003660-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding PSNGHCRCSFPPARSPRPGSRGRRLRRVAERARHVLRRRRRVRPYRRRVRVRQPVQHRVRHEHGGAEHGAVQRRRGVRVLLRAAVRQRRAVVPAGHHHRDGHQLLPAQLRPPQRRRRLVQPAAAALRHGRAGLPPHRAVPRRHRARRLSQSAVREEGRHPVHHQRPLLLQPGAGDQRGGRRRRALRLHPGLPHRVAAHVPELGPELAEQRGPGPAGALVPGHRQRRPHRHQQQRRPRRLAVRPDLRGRP >Sspon.08G0014950-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:60218946:60220135:-1 gene:Sspon.08G0014950-1A transcript:Sspon.08G0014950-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRRHERLIVRGQRFLQLIGWTPLIELKRIAGKDGVDARIIGKAEAYQPLCSVKDRCALRMIEDAEERGLISPGVTTLVEPTSPTGGNMGLGLVLIAIRKGYKFVAVMPGQYSLDKQILLRYMGAELYLTDPTLGFPGVSAKVEQLKKELPNVHVLDQFSNKANTEAHIRSQLQA >Sspon.01G0000850-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:2844780:2845447:1 gene:Sspon.01G0000850-1A transcript:Sspon.01G0000850-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ACAGGIGIGIGVGVGVGAAAPPAPGERLRRRRVQGGRPGRLGRAAAVQARRLQALGQVHPLRARRLHLFPVPAEPGLGAAAAAGGLRVLRPVAPRGQARRRQLLLQPHRAGPRLLRQRRSGPLPQGPEALGRRPHGQRHLPPALRHRPRRARAHPRRRPARGVRVRVLARATRRRRIRRRPPLLRAPDPPPLM >Sspon.02G0006180-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:12399429:12402625:-1 gene:Sspon.02G0006180-3D transcript:Sspon.02G0006180-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding PMAPHLLAVAVAVAAISLLAPGAAGYPWPICGTSNDFKPNSTYQANLNILAATLPKNVSASPILYATAVVGTVPEQVWAMGLCRGDTNASSCLACLTQAFQDLPNDCSYKKDATIYYDPCVLHYSDVHTLPDDDTGPTTLSYTINNNANVTSDPARFERLLAALVNATAEHAAYNSTRRFATGEADFDQEFPKVYTLAQCTPDQTPAQCRKCLAGIIVNKLNAFHNNIGGRVLWVNCTWRYETAPFFNGPAEPSSTGTGTGDGSDRTSTGKETVDSMMIDVSTLRAATGNFDETNKLGEGGFGAVYEIAVKRLSKSSTQGVEELKNELALVAKLKHRNLVRLIGVCLEQQERLLVYEFVPNRSLDLILFGTDNREQRLSWEQRYMIINGVARGLQYLHEDSQLKVVHRDLKASNILLDVEMNPKISDFGLARIFSRDQTQAVTSRVVGTSGYMAPEYLMRGNYSVKSDAFSFGVVVLEIVTGRKNIDSYHNSKVQSDRCITIVATATPWLQVKLYLTVTITIQTTVQVWEHWEAGTVVELVDPSLGGSFPEGDVLRCIHIGLLCVQGDPAARPVMSSVVMMLGSDTVTLQAPSKPGFFARKSGTNTTVSADVSI >Sspon.06G0006530-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:22695546:22726936:1 gene:Sspon.06G0006530-4D transcript:Sspon.06G0006530-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding TKMYATKPLSLFKSHPEAASRPPPEGRNSGYLVVKGADDEGSDDETCCWGTCGGSRVRDLPFPQNRVLTVRYTEQHGESSTTYADAVVFVPVPDQPLASNRYYPVIATGRHRGLVRACSAEEDMVPYCFCRCINDVDPRPFDPADIYQQIEIVQRRRGRFTARAVAPDGFPYFLYRKKYWRVYASKPKSFDLGEARGLDVALRSRQLSDASVLDAFPAPNNGAVGKWYSPFFLVKEDGVAPREQMERSAFYEVTLEQRWEPVHAHAGGPKLGSKKALIGGSVEAKQEEGSSRHGDAYVWFRAAATGQRVGLCTSVWERMRWEQYRGGWVDEDEDPGKVAGGSVLVERFVVKRLDGTVVVAFDFVHLSQLRDALAWSRDQITQASYSLYTRSYIQFVRIHEARTQAKRPSMYATKPLSLFKSHPEAVSGPPPDGRNSGYLVVKGADDEGGDDDTCCWGTFGASRVRDLPFPQNRVLEVRHTTQQGKSSRTYSDAVVFVPVADQPLASNRYYPVIATGRHRGLVRACSAEEDMVPCCFSRCINDVDPRPFDPADIYQQIEIVQRRRGRFTARAVAPDGFPYFLYRKKYWRVYASKPKSFDLQIGEARGLDAALRSRQLSDAGVLDAFPAPTNGAVGKWYSPFFLVKEDGVAPREQMERSAFYEVTLEQRWEPVDAHSGGGSKLASTRAYIGGSLEAKQEAGNSRHSDAYVWFRAAAAAAAATGQRVGLCTSVWERMRWEAYRGGWVDEEEDPGKVAGGSVLVERFVVKRLDGTVVLAFDFVHLHQARQKPSMYATKPLSLFKSHPEAASGAPPEGRNSGYLVVKGAADEETSCWGLFPDRRVRDLPFPQDRVLKVRYTVSNGQTTSVQEEAVVFVPVPDLPLSSNRYYAMIAKGKHRGLVRACSREEDKVTCCFFRCIKDVEPRPFDPADVYQQMEIVQRRRGWFTARAVAADGFPSSLYRSKYWEVYTSKTGKFDLGVGEAMGLDAALRSRQLADYAFPVAGAATAAAVGKWYSPFFLIKEAGVAPREQMERSAFYEVTLELRWEPVHAYSGGGSKLASTRAYIGGSTEAEEDLSSRLHGDAYLWFKAAAARQHL >Sspon.01G0045680-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:84103673:84112198:1 gene:Sspon.01G0045680-1P transcript:Sspon.01G0045680-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKAGGGAADEEEFRAEVEERLINEEYKIWKKNTPFLYDLVITHALEWPSLTPPGKDHSVQKMILGTHTSDNEPNYLMLAQVQLPLDDAEADARHYDDDHADIGGFGAASGKVQIVQQINHDGEVNRARYMPQNSFIIATKTVSAEVYVFDYSKHPSKPPLDGACNPDLRLKGHNSEGYGLSWSIFKEGHLLSGSDDAQICLWDIKANSKNKSLDALQIFKHHDGVVEDVAWHLRHEYLFGSVGDDHHLLIWDLRSPAPTKPVQSVVAHQGEVNCLAFNPFNEWVVATGSTDKTVKLFDLRKIDTSLHTFDCHKEEVFQVGWSPKNETILASCCLGRRLMIWDLSRIDQEQTQEDAEDGPPELMFIHGGHTSKISDFSWNPCEDWVIASVAEDNILQIWQMAENIYHDEDDLPISDEPAKTS >Sspon.05G0024120-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:12602475:12603602:-1 gene:Sspon.05G0024120-1B transcript:Sspon.05G0024120-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRDSPQNDTNRRYLWASSAARGYGWSYGGATWYGSANGAGSDGGACGYKGDVSQRPFKSMIAAGGPSLFKNGKGCGACYQIRCTGNRACSGRPVTVTITDSCPGGACLAESAHFDMSGTAFGAMANRGMADRLRSAGILKIQYKRVPCNYNGRTINFKVDAGSNPYYLAVLIEYVAGDGEISAVDIMQAGCNSWTPMQQSWGAVWRVNSNNGQPLRAPFSVRITSGSGKVIVARNAIPAGWSAGMTY >Sspon.08G0003660-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:9363449:9367499:1 gene:Sspon.08G0003660-4D transcript:Sspon.08G0003660-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRMEAPSSPAPLLVVVFLASLLLLARADDPYRFYTWNVTFGDIYPLGVKQEGLLINGQFPGPQIDAVTNDNIIVNVFNNLPVPFLLSWQGIQQRRSSWQDGVYGTNCPIPPGGNFTYNMQFKDQIGTYYYFPSLLFHKAAGGYGGIRVLSRPRIPVPFDPPAGDFTILAGDWFKLNHTDLKGILDSGNDLPFPDGLLINGQGWNGNRFTVDQGKTYRFRVSNVGIATSVNIRIQGHSMLLVEVEGSHTMQSTYTSIDVHLGQSYSFLVTADQPPADYSIIVSTRFTTPVLTTTAILHYSNANGAATVPPPAAPTVEIDFSLNQARSIRWNLTASGPRPNPQGSYHYGLVNTTRTIRLANSRATINGKLRYAVNSISFIPADTPLKVADFYKIPGVFTLGSMLDNPTGGGAYLQTSVMAANMRDYVEVVFENTENFVQSWHIDGYAFWVVGMDGGQWTPASRQGYNLRDAIARYTLQVYPQSWTAIYMPLDNVGMWNVRSESWARQYLGQQFYLRVYSPANSWRDENPIPKNALLCGRASGRRTRPL >Sspon.01G0009530-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:29508814:29513789:-1 gene:Sspon.01G0009530-1P transcript:Sspon.01G0009530-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding EQKFMIGLILMVPVYAVQSFFSLLDSNVSFICELMRDCYEAFAMYCFERYLIACLGGEESTIRFMEGRLQISESSPLLDIDYDYDYGIVKHPFPLSCFMRNWYLGPDFYHAVKIGIVQYMILKPICAVLAIFFELLGIYGEGKFGWKYGYPYLAVVLNFSQTWALYCLIQFYTATKEKLEPIKPLSKFLTFKSIVFLTWWQGVAVAFLFSTGLFNGHLAQRFQTRIQDYIICLEMGVAAVVHLKVFPAKPYSRGERSVRNVAVMSDYASLGAPDPEEIGGGIDSLTVLQTPATKDRRLSFSQSVRDVVLGSGEIMVDDVKYTVSHVVEPMERSFTKINKTIHQISENVKQLEKQKRKAKDDSHLIPLEPWSEEFSEAHDHVVGGSVSDSGLAKNNRMSNRPRRSFESRLRKWF >Sspon.04G0014210-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:52491583:52491867:1 gene:Sspon.04G0014210-1A transcript:Sspon.04G0014210-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPYNLASHGVHLCHKEICNKQGDDHSESASFSGTSSASLCSSASNVSDDATSSPPGHPCEPSSASSSTLHLDAEGPLYELSSLLDELPIRWI >Sspon.02G0015400-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2B:40501754:40502311:1 gene:Sspon.02G0015400-2B transcript:Sspon.02G0015400-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAHRTSGHTASTSTAAAAATMIASSPPSPARPPRPPVGPWDPNSSCRTRFSDFAAVLVVLVCFSCAGLCIHYAARVLLRCLDRRRRAAREAQAQEPKLASDADGGSAGASPVAAGVWAEAECAICLAELDDLEGGERFRVRVLPACGHGFHAACVEAWLATRASCPTCRAPSSSRSRPSRTTRA >Sspon.08G0006270-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:19602193:19605170:-1 gene:Sspon.08G0006270-1A transcript:Sspon.08G0006270-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPFQGYRGDAVLAGVRSRKRVFATAAAEPVTAAPKRQKRRDEPSLDALPDECLFEVLRRLQGARARCASACVSRRWLALLAGIRASEAVLAPAAPAVPDLNQEYLSEDDEADLMDLDGDVRERTLEGKEATDARLTAAAVAGRLAAVSVRGSHPARGVTDAGVSALARGCPELRSLALWDAPQVTDAGLAEIAAECHSLERLDIAGCPMITDKGLIAVAQGCPELKSLTIEACSGVANEGLKAIGRFCAKLQAVSIKNCAHVDDQGVSGLLCSATASLAKVRLQGLNITDASLAVIGYYGKSIKDLTLARLPAVGERGFWVMANALGLQKLRCMTVVSCPGLTDLALASVAKFSPSLKLVNLKKCSKISDGCLKEFAESSKVLENLQIEECSKVTLMGILAFLLNCSPKFKALSLSKCIGIKDICSAPAQLPVCKSLRSLTIKDCPGFTDASLAVVGMICPQLENVNLSGLGVVTDNGFLPLMKSSESGLVNVDLNGCENLTDAAVSALVKAHGASLAHLSLEGCSKITDASLFAISESCSQLAELDVSNCMVSDYGVAVLAAAKQLKLRILSLSGCMKVTQKSVPFLGSMSSSLEGLNLQFNFIGNHNIASLEKQLWRCDILA >Sspon.07G0001670-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:3867868:3871170:1 gene:Sspon.07G0001670-1A transcript:Sspon.07G0001670-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEAEAAGGSGSGGGKEQDRFLPIANIGRIMRRAVPENGKIAKDAKESIQECVSEFISFITSEASDKCMKERRKTINGDDIIWSLGTLGFEEYVEPLKIYLKNYRELVG >Sspon.05G0011760-3C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5C:25887287:25888801:-1 gene:Sspon.05G0011760-3C transcript:Sspon.05G0011760-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:HVA22-like protein [Source:Projected from Arabidopsis thaliana (AT2G36020) UniProtKB/TrEMBL;Acc:Q1PEW5] MSTELLTKFLTSLFGYAMPALECFKAIEQRPGRADQLRFWCQYWIILVLLVMFDEIAGVLISKIPMYYELKLAFLVYLWYPKTRGTDIVYVTFLQPLVMQYQPNIEARLQYLRANAGDILVFYLKNFTERGYDLFLRVLDYVRSQASRGSRTRRFFSFRGERVERPSFADDDYATGGDRRDGGRHRRPRSGY >Sspon.01G0014180-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:39602963:39604206:-1 gene:Sspon.01G0014180-1A transcript:Sspon.01G0014180-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQAAALLALLAVLVVTHSGASALPVVNNHTSAVRQLRGGGWQAAKATWYGAPNGAGPDDNGGACGFKNTNQYPFMSMTSCGNQPLFQDGQGCGACYQIRCTAKNNPACSGEVKTVMITDMNYYPVARYHFDLSGTAFGSMARPGLNDKLRHAGIIDMQFRRVACNHQGLTVNFHVEAGSNPNYLAVLVEYANKAGTVVQMDAMEANSGYWMPMRRSWGSIWRLDSYRPLRGPFSMRIRSENGRTLVANNVIPANWRPNTDYRSYVQF >Sspon.03G0017140-4D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7D:76323353:76324000:-1 gene:Sspon.03G0017140-4D transcript:Sspon.03G0017140-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCDFNATRHEEGDVSLDGQVVAKKDTFRYLWSMLQKDGDIDEDVRHRISAGWLKWRQASGVLCDKRVPRKLKGKFYRTAIHPAMLYGAECWPTKRRHVQQLSVAEMRMLRWCCGHTRRDRVRNDNIRDRVGVAPIEEKLIQHRLRWFGHVQRRPPEAPVRSGVLKRADNVKSGRGRPKLTWDESVKRDLKEWNISKDLAMDRSAWRLAINMPEP >Sspon.02G0048680-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:27704425:27705030:1 gene:Sspon.02G0048680-1C transcript:Sspon.02G0048680-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding TMAEATPTMVFVYGTLKRGFPNHPLLVACATPFVGAASTAGPPPSSSAPTRSPSSSPLPPPPPVASSPASSTPHPPPLSPSSTPSRQAPGLLIRLVVPLSTALVFPPSQPPILSQGTHIGVYERRPITVVADGSGEVVQAEAYFAHPSYAEALWRRCGGEAAEIGEYTVDHAGGYVPKSERAAGVAGLIDAIHRFLATAPEK >Sspon.03G0034150-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:68461077:68463339:-1 gene:Sspon.03G0034150-2C transcript:Sspon.03G0034150-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAKLKGCDGSVLLTVNPGGGQTERDAVPNNPSLRGFDVIDAAKAAVEQSCPRTVSCADIVAFAARDSISLTGSVSYQVPAGRRDGLVSNASDTVDLPAPTSSAQTLIDKFKAKDLTLEDMVVLSGAHTVGRSFCVSFFQRVWNTSTNPPTAIVDAGLSPSYAALLRALCPSNTTQTTPITTAMDPGTPNVLDNNYYKLLPRGMGLFFSDNQLRVNTQMAALVSSFAANETLWKEKFAAAMVKMGRIQVQTGTCGEVRLNCGVVNPSSYSSSPASTVEMGSSAPAVDEEGYATS >Sspon.02G0000120-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:1200075:1202309:1 gene:Sspon.02G0000120-2D transcript:Sspon.02G0000120-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLRRLPLPCPARATANSAAAHHPFAETAHPSRASAATPLAALRGCLQAGLPISPTAFSAAVARSGPDALPALHALAVASGLAAFAPVTNSLAARYAKVNSFAAAARVFAAARSRDASSYNTILSATPDPDDALAFAARMLRAGDVRPDAVTFTVTLSLAAGSGEARLVRQLHALASRAGIAADVFVGNALVTAYSRGGSLDAARKVFEEMPARDLVSWNAMVCGLAQDGECPAEVIQVFLRMLRHGGVRPDRISVCSVIPACGGEGNLELGRQIHGFAVKLGVEGHVSIANVLVAMYYKCGTPGCARSLFEFMGERDVISWTTVMSMDGEDAVSLFNGMRRDGVAPNEVTFVAMLSAMAGDCPAREGQMIHAVCLKTGLSDKAAAVNSLITMYAKLRLMDDARMVFGLMPHPEIIAWNALISGYAQNEMCQDALEAFLSMVKIMKPNETTFASILSAVTAVQTVSMAYGQMYHCQTLKLGLGASEYVSGALIDMYAKRGSLEESWKAFGETVHRSLIAWTAIISANSKHGNYDAVVSLFSDMVRSGVAPDGVVLLSVLTACRYSGFVSLGREIFDSMAAKHGAELWPEHYACVVDMLGRAGRLEEAEELMLQMPSGPSVSALQSLLGACRIHGNTDVGERVAGVLTETEPTESGAYVLLSNIYAEKGEWGAVASVRRQMRERGVKKEVGFSWVDAGNSLHLHKFSSDDTTHPQTEEIYRVAEGLGWEMKLLKNCLQVEMECLV >Sspon.01G0013330-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:26885579:26885905:-1 gene:Sspon.01G0013330-2B transcript:Sspon.01G0013330-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding TKTKQQRRCLQPCSIWRRPFVKCSRGKSYFGGDSLGFLDIVLGSHLGWLKAVEQIAGVKVLDESKFPELTVWADQFYAHHAVRDIMPETDRLVQFNTFLIGVLKAKAS >Sspon.02G0023670-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:80689735:80690717:-1 gene:Sspon.02G0023670-1A transcript:Sspon.02G0023670-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VMHPTILFLSLYSLCVYIYKHERVHLQRRIASASKLELCRARKQRKRDIRSVGMTTTTMTRLMLSMAAVAMLLLASPAPVSGQPGPGGVVGATMSCTASLVTSFTPCLNFITNGSASPTDDCCRSLGALTKASAGCACLILTGSVPLGVPVNRTLAVTLPRACNSSSLQLQCPDASSAQSPAPGPVADAPAPSTFMAPSAPATAAAPEPEAPATAPPVEPTATATPPISQVQTKPTVVPSAAWRASSDVPATAGFALLLAIGAALMA >Sspon.07G0002100-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:3678463:3679697:-1 gene:Sspon.07G0002100-2B transcript:Sspon.07G0002100-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGNDWINSYLEAILDAGGAAGEISAAAGSGGGGDGTAGEKRDKSSLMLRERGRFNPARYFVEEVISGFDETDLYKTWVRTSAMRSPQERNTRLENMSWRIWNLARKKKQV >Sspon.07G0019690-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:73680845:73681792:1 gene:Sspon.07G0019690-2C transcript:Sspon.07G0019690-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDRGEVDTSRAFRSVKEAVAVFGERILVREAQFRPNGGAHGGRRVGKEVNSRVNAVAIAASDVKPPNRSDGVMENHSKPSFVTCNAKKELTSGNSKPASSLMPVSMPRPPVAEDMPMYLVPSSPPFFASSPSLANDDDDEQERKAADLIVMSSIKKLEDEAARTRQEVSQLKRRLAEMELSMATLNAKLHRALSKVAHMEADNAAAARASIERGGRSGGDMALTVWAERRAPSRPQLGHLLRLSEADREAVVVSGSGGGRAVAAPARRKVQKQKPIVPLVVPLISGVLFSKKRRMKDKESVYMKELYSLLRLT >Sspon.02G0032700-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2B:113589081:113589371:1 gene:Sspon.02G0032700-2B transcript:Sspon.02G0032700-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALTCSKSTATTISRRSAAETASRPEPFSVAGRDWNVVCVFDGRLTDVSLELAEKEDDNGYVDYQDSVTAMVSFSIDDPTGGRKPMKIGSRDEYEDT >Sspon.04G0032700-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:31930150:31936163:-1 gene:Sspon.04G0032700-2D transcript:Sspon.04G0032700-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAPAAGKGKRKRHLSEDDVYLLLHRYAPGTILTALQEVAQHAEGRRIDWRAVVGKSATGITSAREYQMLWRHFAYHHDLEDSVDAGDQPLGDDSDLELELEPNPIPTKEALSEASALAKALISGSSREQASGHRINLDPPVLYTQNEKIVRVPSEKQLAQSHRITNVTGAVANSKQSSHIGPSPGHLDPNGASKKRKKPKAWSKEEDADLAAGVQKYGEGNWEDILHKCNFDSTRTLDQLSQRWALKRPGGSTKPASTKHASVGSEERSAALKAFSLAVGPMRRSGALQQGIQHKSTAFAPKMLEVRSAATPSPAPALALPVPVPVAMPLRVAAQVQPPLHQGQQAPAQAAQPKSSNASNKTRKKQTAQPNPTIGPSSIQAAAIAAGGRLATASTAATLRHRLWLSMEHNLGAPSTEPLNASAVHGVSGVTAVNQSGPLAGACSLETKKALSTTLAPVPCKEDDSEFCAITIDDLFPEDAKQPEVVDAKQPGVVDAKQPETVDTKAKQPETTGPKAKQPENADPKAMQQETMDPKSKQPDPLEVEMKVEIVDPKDKDMLEFDQYVASQGGHSNTDDLNKSKCTNSASQAQGLVGSQKKPQKQISTDGKGNPVAVVGKGKPATAGVAATGNKTKIPVSHSAAGTPRGIVDTVNANAPNKTLVRRAATPVPAGCQAPPLKHAVNTKGSQMTISNATVVSSGVAASSQTSVAAKGASSANPPSSSSQAKPNSVAVNGANRVVNPPSSSQASAAVNDANRAAIALSSSQACATVNGANKAANPSPPSSQASTAVNGAAKKGNPPAAGRQ >Sspon.07G0003310-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:8037310:8041813:1 gene:Sspon.07G0003310-1A transcript:Sspon.07G0003310-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFMLRVRLASFFAGAAAAAAGGGYFLYKDYKLANDSMALKRKLSAFVRFLSSLHDSGCVEGKASDLYNAYPQVKGLQDSTDTRYKALEKRLAALEERSTGAAPDLQLHWLENVY >Sspon.01G0047660-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1B:104912724:104913007:1 gene:Sspon.01G0047660-1B transcript:Sspon.01G0047660-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DLSSMFQYSAAPDPPPLRCENNRAATPGTAMAYRHYPDRIKQHHQSTTTSGHHSAGRAGRPREWTCATDYGARTASCSTNRNGLALPTLRRLL >Sspon.02G0004590-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:13910380:13913713:-1 gene:Sspon.02G0004590-2B transcript:Sspon.02G0004590-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MREIISIHIGQAGIQVGNACWELYCLEHGIQPDGTMPSDTSVGVAHDAFNTFFSETGSGKHVPRAIFVDLEPTEIVDLCLDRVRKLADNCTGLQGFLVFNAVGGGTGSGLGSLLLERLSVDYGKKSKLGFTIYPSPQVSTAVVEPYNSVLSTHSLLEHTDVAVLLDNEAIYDICRRSLDIERPTYTNLNRLISQIISSLTTSLRFDGAINVDVTEFQTNLVPYPRIHFMLSSYAPVISAEKAYHEQLSVPEITNAVFEPSSMMAKCDPRHGKYMACCLMYRGDVVPKDVNAAVATIKTKRTVQFVDWCPTGFKCGINYQPPSVVPGGDLAKVQRAVCMISNNTAVAEVFSRIDHKFDLMYAKRAFVHWYVGEGMEEGEFSEAREDLAALEKDYEEVGAEGADDEGDEGDDY >Sspon.04G0000280-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:2117296:2121702:1 gene:Sspon.04G0000280-4D transcript:Sspon.04G0000280-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:binding to TOMV RNA 1L (long form) [Source:Projected from Arabidopsis thaliana (AT5G04430) TAIR;Acc:AT5G04430] MEAPGSPYASSPESAPKRAPRSPPQQQPPSEEGDDKEKPTHLRFLVSNTAAGCIIGKGGSTINDFQSQSGARIQLSRSHEFFPGTNDRIIMVSGLFDVVMKAMELILEKLLAEGEEFNEAEARPKVRLVVPNSSCGGIIGKGGATIKSFIEESHAGIKISPQDNNYVGLHDRLVTVTGTFDNQMNAIDLILKKLSEDVHYPPNLSSPFPYAGLTFPSYPGVPVGYMIPQVPYNNAVNYGPNNGYGGRYQNNKPSTPMRSPASNEAQESLTIGIADEHVGAVVGRAGRNITEIIQASGARIKISDRGDFISGTSDRKVTITGTSEAIRTAESMIMQRVSASSESKLEKRKS >Sspon.04G0010300-3D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4D:31518756:31519168:-1 gene:Sspon.04G0010300-3D transcript:Sspon.04G0010300-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKTLIFCTGEPFKGFKTNWIMHEYHLQDGGYNVSGSSTPSSSSSSRKSQRKRLHSSTESNSWVICRVFESSCGSQVSFHDEGTELSCLDEVFLSLDDYDE >Sspon.07G0006650-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:12539488:12540279:-1 gene:Sspon.07G0006650-3C transcript:Sspon.07G0006650-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAADGERRIGVAMDYSESAKKALDWAIDNLLHHGDTLVVLHVLHHGGEETKHALCAKSGSPLIPLSEFREPEVMQGYGVRPDAEVLDMIDTAARQKQLKVVAKLYWGDAREKLCDAVEDLKIDSLVMGSRGLGPIQ >Sspon.04G0027390-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:61541724:61547142:1 gene:Sspon.04G0027390-2C transcript:Sspon.04G0027390-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADDHYSSKRKYDDPSPPPRRTGFSSGPPPASPPAGGAPSYNSVPPPPDEIQLAKQRAQEIAARIFNAAEAKRPRVDNGDDDVGSFGGGGSLGGSGGGGRIGGGGLGFSSSAGGGHGASIPPLSSQSSAPQYSSYGGYQGTSKKIEIPNGRVGVIIGKAGETIRYIQLQSGAKIQVTRDHEAEPGAPTRSVELSGNPDQISKAEQLIKEVLAEADAGSSGGGSGRKYNAPQPGGETFQMKIANNKVGLVIGKGGETIKSMQLKSGARIQVIPLHLPAGDTSTERTVHIDGTPEQIESAKQLVIEVTSENRARNPMSGGYSQQGYQPPRPQSNWGPPSAPPQQPGYGYMQPGAYPGAPPQYGAPQQPYGSYPPTSCGYQTGWDQSQNQQSHTTPPGTGYDYYSQQQQPQQQQSAPGTVASTDATSYNYGQPSTYASQGYDSTYTQQSGGQQAYGHDGYSGYQTQGQQQGYSQQTGYDQQGYGASAYGSAANSTQDGSAPSYGGPGGSSQASPGQQTSTPAAGSHPGYASQPPTSAAASYPTQGSAPSGYGAPPPQSGYGTQPPQQGGYGQGTYGQPSPQGQKPPASSPYGQAPPPGSVQGGYGQYGYSQPAYGAPPAYPGAPPASHPGYGQQQSYGDAYGSGSYGQPPAYSTEATAPAASQDHSAAPAAAPGTTAAPAPDNSGGAQTSAET >Sspon.07G0000440-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7A:1089553:1091031:-1 gene:Sspon.07G0000440-1A transcript:Sspon.07G0000440-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPISSLLFLILVLPRSTAALSKSKPPARYRYHTLGATPLSPDPIARADAEQPLYAAASGEASILELDPHGTGNASTVRLLLAHREAFAAPNATAAQLLAHRLTRDAARAEAISVSVSVAARNVTRGGGFAAPVVSGLALGSGEYFASVGVGTPPTPALLVLDTGSDVVWLQCAPCRRCYAQSGRVFDPRRSRSYAAVRCGAPPCRGLDAGGVGGCDRRRGTCLYQVAYGDGSVTAGDLATETLSFARGARVPRVAVGCGHDNEGLFVAAAGLLGLGRGRLSLPTQTAGRYGRRFSYCLVDRTSSSSLNRPSSTLTFGAGAVPGRASFTPMVSNPRMATFYYVRVVGFSVGGARVRGVGERSLRLDPATGRGGVILDSGTSVTRLARPVYAAVREAFRAAAGGLRLAPGGFSLFDTCYDLRGRRVVKVPTVSVHLAGGAEVALPPENYLIPVDTRGTFCLALAGTDGGVSIVGNIQQQGFRVVFDGDRQRVAL >Sspon.01G0008700-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:24273575:24277364:1 gene:Sspon.01G0008700-1A transcript:Sspon.01G0008700-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRAALADVDMECVATGPEEIWRRRPKTKIVCTLGPASRSVEMCARLLRAGMCVARFNFSHGSHEYHQETLDNLRKAMDLTGLICAVMLDTKGPEIRTGFLKDGKPVKLTRGHEITITTDYSIKGDENMISMSYNKIAVDLKPGSTILCSDGTITFTVLSCDPVQGLVRCRCENSALLGERKNVNLPGVIVDLPTLTEKDKVDILQWGVPNNIDMIALSFVRKGSDLQMVRGVLGEHAKSILLMSKVENQEGVANFDEILANSDAFMVARGDLGMEIPIEKIFYAQKVMIFKCNVQGKPVVTATQMLESMIKSPRPTRAEATDVANAVLDGTDCVMLSGETAAGAYPELAVQTMSRICLQAESHTDYGAVFKLISRAAPIPMSPLESLASSAVRTANISNASLILVLTRGGTTARLVAKYRPAIPVITAVVPEMKTDDNFNWTCSDERPARHSMIVRGLIPMLSAATAKASDTESTEEAISFAIDHAKKLKICKSGDSVVALHRIGASSVIKILT >Sspon.02G0033810-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:8824296:8826602:1 gene:Sspon.02G0033810-1B transcript:Sspon.02G0033810-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLSLAVAVAVAVLALASGAASQAPGPSPPVDCTASVTGLVTCLPYVQQGSTQGKPASECCAGVKAALKSPATVACLCAAFGQNYSIQVNLTRAAGLPAACGEDPAALSKCNSQFLISGSLLLSKCPAPLLQKLSLTGKTISVLWERLCPFVISLRYAVSMFAAPTASGTAPAGPSPGSSKSAAVMRSPVSAFAVLATVAATLLSHCLL >Sspon.07G0024510-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7B:25748712:25752563:-1 gene:Sspon.07G0024510-1B transcript:Sspon.07G0024510-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MANKRVAYWRERNVADAICAAPSQIPAGLLSPALQLPCSTTTEPAAAALVLLDLLHSCGGWLDLTVAAVLLEAATRSAASARLLPLGDERARERERSKTRRNEERAQR >Sspon.05G0009770-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:30568773:30570885:1 gene:Sspon.05G0009770-3D transcript:Sspon.05G0009770-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPPCAAAVLLLLALAAGAARGADDLASDTAALQAFLAPFGSATVSWNSSQPTCSWTGIVCTGGRVTEIHLPGEGLRGALPVGALGGLTKLAVLSLRYNALSGRLPPDLASCVELRVINLQSNLLSGDLPAEVLALPALTQLNLAENRFSGRISPAIAKNGRLQLLFLDGNRLTGELPNVSMPSLTSFNVSFNNLSGEIPTSFGGMPATSFLGMPLCGKPLTPCRAPSSEAPSSQSPTLPPEPPAPTTDTRGRGRHHLAGGAIAGIVIGCAFGFLLIAAVLVLACGALRREPRATYRSHDAVAAELALHSKEAMSPNGYTPRVSDARPPPPPPPVPPAAAAGRKKLFFFGRIPRPYDLEDLLRASAEVLGKGTYGTTYKAAIESGPVMAVKRLKETSLPEREFRDKVAAIGGIDHPNVVPLQAYYFSKDEKLMVYEFVATGSLSSMLHGNRGSGRSPLSWESRRRVALASARGLEYIHATGSMVTHGNIKSSNILLSRSVDARVADHGLAHLVGPAGAPTTRVAGYRAPEVVADPRRVSQKADVYSFGVLLLELLTGKAPTHAVLHDEGVDLPRWARSVVKEEWTSEVFDTELLRHPGAEEEMVEMLRLAMDCTEPAPDQRPAMPEIVARIEALGGMASALRARSAGRSASMDEADDRPLRTTGSIRQS >Sspon.07G0001820-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:3037954:3040086:-1 gene:Sspon.07G0001820-2B transcript:Sspon.07G0001820-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKHNNVIPNGHFKKHWQNYVKTWFNQPARKQRRRIARQKKAVKIFPRPTAGPLRPIVQCQTLKYNMKSRAGRGFTLEELKAAGIPKKLAPTIGISVDHRRKNKSLEGLQANVQRLKTYKAKLVIFPRRARKVKAGDSTAEELATATQVQGDYMPITRGEKRSVEVVKVTEEMKSFAAYGKLRLERMNKKHLGARQKKALRGEGREEVNNQ >Sspon.03G0008110-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:6862287:6862980:-1 gene:Sspon.03G0008110-2B transcript:Sspon.03G0008110-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIASHLAGRTDNEIKNFWNSCLKKKLRQRGIDPATHKPISVGADAEAGAAVPDARDHDRKPGGADTDADGLAQSKQQQPPAAVFDPFPVTDFGFDLGVAALYAGPYDDSVVGKASPDAGFVADYSSVLDVSENLGYGESSSNSSNWNCAEMSNAVLDSEVLHWASGGVAAKPEPYTELEQQQHSGGYGSGGGQVVDDDDDALDHKFLLPCGQEQSLAHFDFNLEYF >Sspon.01G0015340-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:45419542:45433159:1 gene:Sspon.01G0015340-2C transcript:Sspon.01G0015340-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHAPPLVAFAGGACPATAASSPSPWLASPRAAILAAPARLLRSRRGALRLEAKAAWRAAGGGRGPRVPAKGAVLASYMGAEEVVGPSSLLDEEELISHIRKEVDNGKLPADVASNLEELYYNYRNAVLQNGDPNAYEIMLSNMTALFDRVLLDVQAPFDSSAVDNMRRLLEHAGVPGHIYPLSLLCYEVMPPPQQVEKDIGEQRVISFHGVGLSVTEEIKYGDITAHAKNADEGRELFTNTLYNSVVNQYNVLKSAIFRDHGAAVSNNVLQNGDPNAYEIMLSNMTALFDRVLLDVQNPFTFPPYHKAVREPFDYYMFGQNYIRPLVDFRNSYVGNISLFHDMEEKLHQGHNVVLMSNHQTEADPAIISLLLEKTNPWISENIVYVAGDRVVTDPLCKPFSMGRNLICVYSKKHMNDFPDLIEMKRRSNTRSLKEMALLLRGGSQLIWIAPSGGRDRPNLQQENGQAPFDSSAVDNMRRLLEHAGVPGHIYPLSLLCYEVMPPPQQVEKEIGEQRVISFHGVGLSVTEEIKYGDITAHAKNADEGRELFTNTLYNSVVNQYNVLKSAIFRDHGAAVSNNVISLSQPWR >Sspon.05G0020350-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:81852265:81862342:-1 gene:Sspon.05G0020350-4D transcript:Sspon.05G0020350-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKPLLPIPAAAAARLPFRLLAPPAPPPRLLPFLARPFLPQRRSLSASALPAGRRSRPPAPVISEGRDDEDAAVGCPVCPGCGVFMQDEDPNLPGFFKNPSRGSQDETGGGGEVLLAADTDAFLEDQEEGVAAEDASEAELEGLDSDIDEFLEEIDDGDEEAEGSPVKGATDMDAFASDWDSDWEMEEDEDEKWRKELDGFTLPGVGYGNITEETIQRLKKEKLSKSERKRRAREAKRADAEEDLAVVCSRCHSLRNYGLVKNDKAENLIPDFDFDRFISSRVMKRSAGTPVIVMVVDCADFDGSFPKRAAKSLFKALEGRRNSKASETPRLVLVGTKVDLLPWQQMGVRLDRWVRGRAKAFGAPKLDAVFLISVHRDLAVRNLISYIKESAGPRSNVWVIGAQNAGKSTLINAFAKKQGVKITRLTEAAVPGTTLGILRVTGVLPAKAKMYDTPGLLHPYIMAMRLNNEERKMVEIRKELRPRSFRVKEGQSVHIGGLTRLDVLKSSAQTIYITVWASSNVPLHLGKTENADELREKHFGIRLQPPIGPERVNELGHWTERHIEVSGASWDVNSMDIAVSGLGWYSLGLKGTATVSLWTFEGIGVTERDAMILHRAQFSKGLDFGEETRKKNEKRKAEQRRREEEELLLEEMVFERFTIFVASVKAKYFFERNTLGEAPTVRSLKGGTNT >Sspon.03G0022080-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3A:67253033:67254528:1 gene:Sspon.03G0022080-1A transcript:Sspon.03G0022080-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLRQWVLANFASSWFLLAPLLAPYAPRRLFLTYFNVFLRRHARRVLTVVDPYVSLDISEKPAAPAWSLRNRSAGARDSTFEEVKAYLSAACSQDASELAEGAEEGNGLVISMRDGQDVSDEFRGATRRREVQRLTFHKRHRRLVIDEYLPHVRRRGREVLFGNRRRRLYSNNMISQFSCYDDDSAWSFVNFDHPTTFETLAMDPAKKKKIMDDLDAFRNNRDFYRRTGKPWKRGYLLYGPPGTGKSTMIAAIANHLNYDIYNVELTIVNNNDDLRKLLIQTTSMSVILIEDIDCSLDLTGDRRSKKKNRSRKDSNVTLSGLLNFIDGLWAACGGERIVVFTTNNVDWLDPALIRRGRMDMHIEMSYCGFEAFKTLAKNYLGIDAHPLFGAVEELLTEVDITPADVAECLMTAKNAGSEEDASLEYLIEELKRKREDAKASAEANAAKTDNDQAVKEDDERKLEDSGEEDEDSESSEESDD >Sspon.02G0044120-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:99671673:99677187:-1 gene:Sspon.02G0044120-1B transcript:Sspon.02G0044120-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAVPPAMDPAAAGEMAREAAAWCALHGLVVGDRSDPRSATVPGVGLVHAPFSLLPAHLPEPFWRQACELAPIFNELVDRVSMDGNFLQDALSKTRQVDDFTSRLLEIHRKMMEINKEENIRLGLHRSDYMLDSETSSLLQIELNTISASFPGLGSLISDLHRTLIKQHGTLLGLEQKRVPANAASTQFAEALAQAWAEFNIDSAVIMMIVQPEERNMYDQYWLSKHLQDSYPFMFCLHVEAEGQVLPDGTLLIGGQKVAVVYYRAGYTPNDYPSEAEWRARLMMEQSSAVKCPSISYHLVGTKKIQQELAKPNKLERFLESEEEIHKVRKCFAGLWSLDDEEIIKTAVEKPDLFVLKPQREGGGNNIYGLDLRETLVRLQKEGGDALAAYILMQRIFPKASPGYLVRGGVCHEGLAISELGIYGAYLRNKDKVVINDQCGYLMRTKVSSSDEGGVAAGFAVLDSLYLTGK >Sspon.04G0018870-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:5579951:5585525:1 gene:Sspon.04G0018870-1P transcript:Sspon.04G0018870-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGGAIVHGFRRWFHRRNGSTSGSNQSSAAGEGDDGSSDLEVIEDPDLVGLRAIRVPKRKMPLPVESHRKNSVEMEFFTEYGEASQYQIQEVIGKGSYGVVAAAVDTRTGERVAIKKINDVFEHVSDATRILREIKLLRLLRHPDIVEIKHIMLPPSRREFQDIYVVFELMESDLHQVIKANDDLTPEHHQFFLYQLLRALKYIHAANVFHRDLKPKNILANSDCKLKICDFGLARASFNDAPSAIFWTDYVATRWYRAPELCGSFFSKYTPAIDIWSIGCIFAELLTGRPLFPGKNVVHQLDLITDLLGTPSSETLSRIRNEKARRYLSCMRKKYPVPFTHKFRNADPLALRLLERLLAFDPKDRPTAEEALADPYFSSLANVEREPSRHPISKLEFEFERRKLAKDDVRELIYREILEYHPQMLDEYMKGGEQISFLYPSGVDRFKRQFAHLEEHYSKGERGSPLQRKHASLPRERVVVSKDGNTEQHINDQERSADSVARTTVSPPRSEDADMNDVKSTSLSSRSYLKSASISASKCVVVTNKHPEDDEIPEEMEADVDGLSKQVSRMHS >Sspon.01G0000250-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:1633854:1634288:1 gene:Sspon.01G0000250-3D transcript:Sspon.01G0000250-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding PMASVASFPAASLVLLLVASAFLVSEVAACGGCPKPTPPPSPPPPSPTNTPCPPPPSSSGGKCPKNALKLGVCANVLGLVKVSIGKVPTDSCCPLLDGLADLEAAVCLCTALKANVLGINLDVPVKLTLLLNYCGKSVPQGFLCA >Sspon.01G0031950-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:108805896:108807852:1 gene:Sspon.01G0031950-1A transcript:Sspon.01G0031950-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADVDEYRCFIGNLSWSTTDESLKDAFSKFGNLTEAKVVLDKFSGRSRGFGFVTFDEKQAMEDAIEGMNGLDLDGRNITVDKAQPQGPGRDRNGDRDYDRERGSRYDRGRDYGGGRAPRGGGGGGGGDCFKCGKPGHFARECPSGDGGRGDRYGGRDDRYGGGGGGGGRYGSDRGGDRYSSRSRDGGSYGGDRYSRDRSGPY >Sspon.08G0011610-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:50467359:50468833:1 gene:Sspon.08G0011610-1A transcript:Sspon.08G0011610-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVREAAKETIPLLTPYKMGHLELSHRVVLAPLTRCRSYGQVPQPHAALYYSQRATSGGLLISEATDVSPTAQGFPETPGIWTQEQVEAWRPIVDAVHRRGAFFICQIWHVGRASTNEFQPDGQAPISSTDKQISSDAESVMVYSKPRRLRAEEIPGIVDDFRRAARNAIEAGFDGVEVHGAHGYLLEQFMKDGTNDRDDEYGGSLENRCRFAVEVIDAVIHEVGAHRVGVRLSPFVDFLDCVDSDPVALGEYMVQQLNRHQGLLYCHMVEPRMANVDGRRQIPHRLLPFRKAFNGTFIAAGGYDREEGNKVVDDHYTDLVAYGRLFLANPDLPRRFELGAPLNEYNRATFITQDPVIGYTDYPFYEDNH >Sspon.02G0007310-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:50580087:50582387:-1 gene:Sspon.02G0007310-1P transcript:Sspon.02G0007310-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQTFIMIKPDGVQRGLIGDIISRFEKKGFYLKGMKFMNVERSFAQQHYADLSDKPFFPGLVEYIISGPVVAMVWEGKDVVLTGRRIIGATRPWEASPGTIRGDYAVEVGRNVIHGSDSVENGKKEIALWFPEGVAEWKSNLHPWIYEA >Sspon.07G0024390-3D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7D:22879703:22882240:-1 gene:Sspon.07G0024390-3D transcript:Sspon.07G0024390-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVVAVKQLDRNGLQGNREFLVEVLMLSLLHHDNLVNLIGYCADGDQRLLVYEFMPLGSLEDHLHDIPPEKEPLDWNTRMKIAAGAAKGLEYLHDKASPPVIYRDFKSSNILLGEGFHPKLSDFGLAKLGPVGDKTHVSTRVMGTYGYCAPEYAMTGQLTVKSDVYSFGVVFLELITGRKAIDNTKPHGEQNLVAWARPLFKDRRKFPKMADPLLQGRFPMRGLYQALAVAAMCLQEQAATRPFIGDVVTALSYLASQTYDPNAPVQHNRSNSSTPRVSRGGGSNDQRRLRSPNHHSPDLRREATAASKYEAEVSRTNSGSGSGRRSGLDDVDMSGSQLGSPAHAGRKRGSPRTAESQRAIAEAKICGEKSRGR >Sspon.01G0035810-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:15677820:15691884:1 gene:Sspon.01G0035810-1P transcript:Sspon.01G0035810-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQDQSAAVGSADSGAAAFDFATGKTGGSAVAPDGGAQAADASAYQAEHTALNGTAGDMANYQTGAAENGEAVTNEMGEPVPEPSYEEAVLSAEEARLWGVVTANSLDFNAWTTLIEETEKNAENNILKMRKVYDSFLAEFPLCFGYWKKYADHEARLDGVSKVIEVYERAVLAVTYSVDIWYNYCQFAISTYDDPDIIRRLFERGLAYVGTDYRSNILWDEYIKYEESLEAWSHLAVIYTRVLEHPIQQLDRLKELASKHSFSEILTAEEASVYVVMSETSAQAPDGEAHPDDVDKPGQPEISSSTDAENLAKYVSMREEMYKKAKEYESKIIGFELAIRRPYFHVKPLDNPELENWHNYLDFIEKEEDINKVIKLYERCVIACASYSEFWIRYVQCMEDKGSLDLANNALARATHVFVKKQPEIHLFSARFKELNGDISGARAEYQHLYSDLCPGFLEAIVKHSNMEHRLGEKELSCTVYEKAIAAEKGKEQSQLLPTLLIQYSRFLFLAVRDLEKAREILNVLHDLLNVTKPVLEAVIHLESIFPCEKRIEFLDSLVEKFVTPESSQGEVASLVDKEEISSIFLEFLDLFGDAKSIKKALTRHTTLFSCKRSILPSKKRKADDAVVSDRDKFSKTGATQSATGTDPNASNPPVWPATSEASGQQWGASYAQQAAYPAYGTYDYSHQMPQPAPQAAAYGAYPPTYSAQAYTQQSYAQPAAIPAAPVPTVVPAATPASAYPQQPAAAQPYYGTTYY >Sspon.06G0009360-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:50065221:50070022:-1 gene:Sspon.06G0009360-1P transcript:Sspon.06G0009360-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAQPKPAKRLGGMAEALAIAADLGFPAPTTQEDQSSSDKSDDLVKVLRELTVVQRNIANLQVELQGRKDDKNIAHLTHVSEMEKKCESLARITTILKDVIQNKDRIIARLQQPYSLDCIPVEAEYQKQFSELLLKAASDYGALTASVGDFQWSQNFRESPAVWGEMLRPIPAALASCTRFFEAMSAMRESFSTLQKLRVGHSSLSLTPSGSSDDSKFLTPPQWRDGSMLDSWKQVEDINPESDGLADAMNQRRLSWPSIKRDP >Sspon.03G0002230-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:5486168:5486647:-1 gene:Sspon.03G0002230-1A transcript:Sspon.03G0002230-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAAATMYSVPAPSAIRCCRTFSPSIKTDAPRVASRARPPNLAWGADARVVTRSRFRASAAVHKVKLVGPDGSESELEVAEDTYILDAAEEAGLELPFSCRAGSCSACAGKLASGEVDQSEGSFLDEAQMAEGYVLTCVSYPRADCVIYTHKEEEVH >Sspon.07G0000810-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:10360873:10364190:-1 gene:Sspon.07G0000810-3D transcript:Sspon.07G0000810-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AEGGGGCGWQRLQALRLQEVQMLEAVRNLLYCPCFSGGGYCSDKCVCQPCFNKEAFSETVQTTRKVLLSRQKRMSMKINRRPEANAEPMVAILTQLNDEDAHHSSSSTPPRRGCNCKKSSCLKKYCDCYQVRQDYQTHCYGQDGTGCSLFCRCDDCQNPFGKNEGIMADDSKRYLYTGADLDHSEGEHDFVVERSPRLQSPISKESSFHQTPPHLRASSRDTHVFPQAISQWQALPRSWQHCSNKRNSNDRAMDDSANYKNSNHDWQLSKHEDSYSISKCVQILNGMVELSQVEKSVAPDVFLQPGNREIFISLSGDVRAMWLKRKIQHLA >Sspon.04G0034750-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4C:79087966:79089576:-1 gene:Sspon.04G0034750-1C transcript:Sspon.04G0034750-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEICSSLHLHFEVEPPLAYRNHPHGKRADLAKGDLVAFTFTTLVCPVVDSVVMYYVRTATQAQQIPTGDGIDGWGSESEREPRGDELNDYTFTRIYSGHSYYMFSNAYLVPCLRRRRRHGRRFILRSTTRNAYANTIQAAPLPAGSAPALRPATWQT >Sspon.08G0016490-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:64992904:64996001:-1 gene:Sspon.08G0016490-1A transcript:Sspon.08G0016490-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKADEIPVSDATDRLQFCLKLAEAVVILTVKPFDSDGLAALEITFVHRPPKLVVLQMDMATHTYEDIMRLTENLSEKYIIGYGASSTVYKCDLKGSKAIAVKRLYSQYNHSLREFETELETIGSIRHRNLVSLHGFSLSPHGNLLFYDYMENGSLWDLLHGPSKKVKLDWDTRLKIAVGAAQGLAYLHHDCNPRIIHRDVKSSNILLDENFEAHLSDFGIAKCVPAAKSHASTYVLGTIGYIDPEYARTSRLNEKSDVYSFGIVLLELLTGKKAVDNESNLHQLILSKADDNTVMEAVDSEVSVTCTDMNLVRKAFQLALLCTKRHPVDRPTMHEVARVLLSLLPAPPAAKPPATKAAAGDYTRFLATAADMKHGGLSDDIGDNSSSDEQWFVRFGEVISKHTLS >Sspon.08G0012010-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:51617346:51621238:-1 gene:Sspon.08G0012010-1A transcript:Sspon.08G0012010-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLPERVMRQFGLRQCIPPPFRPTLQSLHRISRRGKERENWEETHHEYIQEWEARRQRIFRDTEQYDPSSYEEYLCWYSGATRRYLVPATSDDVEAGPSAPTDDSLDLQYQAKSPIIRKAVDKLEGMVKKAKRAMTTTADATTQALVAEFLHGFEDVLQDLGEIQNNSDSAVPPFHSDTSPHVDAAASQHTPQLLLEAQENIDTNQEGQQEEDDELNTVERASFALEPMQEENNFSNNVLPENPSLGVEENCDSAAPATEICDAATPMTGLVVPQSEEDLHQDQHIEDHPEMEQTILMVEPKCEEGDGSSFMLPPSPPELMLEEQDDPGLVALGTESCTVQQSLEVGEAEDQENPSTAEHGVLIVEHAGEENNNCNGVYSSCPPSSAIVDPIQIEQ >Sspon.07G0031520-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7C:24225967:24227709:-1 gene:Sspon.07G0031520-1C transcript:Sspon.07G0031520-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAANVQHPIVCIDVIEEGIVSGPRAGLMKIPNITNMGLTARKIKKAAIIGGGLMGSGIATILILNNFNVVLKEVNEQFLSAGINRIKVTCHTSDPNPPRRAKRARRGPRQRATLHAAREVCGQGGGPLPPLNPPDIREDSE >Sspon.07G0030200-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:4193654:4200889:-1 gene:Sspon.07G0030200-2D transcript:Sspon.07G0030200-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein ROOT INITIATION DEFECTIVE 3 [Source:Projected from Arabidopsis thaliana (AT3G49180) UniProtKB/Swiss-Prot;Acc:Q9M3B4] MAPPPQPKQLVLAASSADAGVAAWDLRTGAEDIRLRPCACRPRSLTSVADRFLAAAQALPAGGNSGTIHFYHWEKPQVAVKSFPAEPIRALIADQEGSYLIGGGSNGNMFLWEVSSGELLHTWHAHYRAVRCLALYDYLLVSGSEDGSIKVWDLITVLDEQSRLEAQTPYMYSFSQHALPVTDIACFLGAIAVSSSEDRTCKVIGSVALDPRSHIFYAGGRDGKIYVTAMGVDLSFRGSDESSILGTMDDHSKAVTSLASSTDGLLLVSGSEDGNVRVWDTKCQQVTRKFKHSQGPVTNVLIVTPQRVNLPPLQPLRKVCSANGEAERRAVVLPRPENDVPIPGNKTSIFMESYLDELQKHGGSSRLFDFGLNAQNGTPNQQGTEWRDRYLELQDLFVHEVLHQSQ >Sspon.02G0030450-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:94877519:94883597:1 gene:Sspon.02G0030450-3D transcript:Sspon.02G0030450-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ureidoglycolate hydrolase [Source:Projected from Arabidopsis thaliana (AT5G43600) UniProtKB/Swiss-Prot;Acc:Q8VXY9] MPSKPNAASRLVLLAFLLVIISAAAGHDDAAARRTMEQFAGFAAADDGEGPCSDFRVDSEGLQRQIDELASFSDSPAPSVTRVLYTDKDVQARRYIKGIMNQLGLAVREDAVGNIFGRWEGSEPGLGAVATGSHVDAIPFSGKFDGVVGVLGALEAISLLKRSAFQPKRSLEVIMFTSEEPTRFGISCLGSRLMAGIEELAQSLRKVVDNQNVSFLDAAESAGYKLHLDDLHSVFLKKDSYSAFIELHIEQGPILEKEGRLMVYKSSLTIGIPIGIVTAIAAPASLKVDFEGNGGHAGAVLMPARNDAGLAAAELALAVEKHVLESGSIDTVGTVGILQLHPGAINSIPSKSHLEIDVRDIDEKRRNDVIEKIRRSATQISKNRGVELSEFKIVNQDPPALSDKSVVDAMEFAAKQLNLEYKKMISRAYHDSLFMARVSPMGMIFIPCYKGYSHKPEEYASPEDMANGVKVLALTMAKLSLE >Sspon.05G0018010-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:72700536:72703980:-1 gene:Sspon.05G0018010-3C transcript:Sspon.05G0018010-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFEQAVADFCWHAREVVSSAMGLWGWPWGRWGPSGFGSTSTAEEVTAGVDASNLTAIVTGATNGIGKETARVLALRGAKVIIPARTLESGLKVKESLAEQVPSSKLHVMEMDLSSLSSVRDFARSFDSSHEHLNLLINNAGIMACPYQLSKDGIELQFATNHVGHFLLTNLLLDKMKSTARETGVQGRIINVSSVAHKRSDGTCFELNKLNDKARYQPFIAYAHSKLANILHANELSRRFQEEGCNLTANSLHPGVIITNIIRYVAGNNNALISVLSPVANLVLKSIPQGAATTCYLALHPNVKDVSGKYFSDCNEATPTAVARDSELAKRLWSFSEELVGINADMPQTTQASEEEDVQAEDVSQAK >Sspon.06G0029370-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:22413272:22413785:-1 gene:Sspon.06G0029370-1T transcript:Sspon.06G0029370-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MANQGAAAIILIASLLVAVALSGVDARLTAVRHNAAAALRGAKANARLIGHSTRLTVRRDTNGGYVLEKAAPVPELTCNKVHGVQEGETCSSLAQGSGLNQDDFLGFNPNINCEKMFIGQWVCLEATSA >Sspon.03G0025980-4P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:35403452:35406171:1 gene:Sspon.03G0025980-4P transcript:Sspon.03G0025980-4P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATSSRHPAALLVLVAAAAVVALAAADDVEVEGRYPPLAPGLSFDFYKKSCPKAESIVREFLTSAVRQNVGLAAALIRLHFHDCFVQGCDASVLLDSTATQPSEQLSPPNLTLRPAAFKAVNDIRARLEQACGRVVSCADIVALAARESVALGGGPAYKLPLGRRDGLAAASNETVIGALPSPTSKVSTLLSFLAKINLDVTDLVALSGGHTVGIAHCSSFNNRLFPTQDPTPNKFFAGQLYGTCPTNTTVNTRVNDVRTPNTFDNKYYVDLLNREGLFTSDQDLLTNATTRPLVTKFAVDQNAFFEQFVYSYVKMGQINVLTGSQGQVRANCSVRNAGAGGDELPWSVVETVVDAAGSLVL >Sspon.07G0007850-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7A:21135626:21139404:-1 gene:Sspon.07G0007850-1A transcript:Sspon.07G0007850-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GGVYPATGHGGARRKYKPRPGRPPAPARFSSSSAASAVPAPSPRRRRSSPAPRPRPTAPGLPRPRPTALRAPRPRPAAPRRPRAVPAPPEELRRAPAAPAAPHRPRLPRPRRTALRAPRPRLAAPRHDPAAATPRPAATPPPATAVRATAPSPAPPATAPSAASPAFMDYGVRVFYGGSV >Sspon.05G0021770-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:91107693:91110613:-1 gene:Sspon.05G0021770-1A transcript:Sspon.05G0021770-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPLLFYDLSLLPSSFSSSSDGADGNSNSSSSSRLQLLAATARALELGYAAVALDHPHRGILADSHRCRTEPFAPLSSLPLPSSAALHRRRLASPASEPFRQYTRITLSLDSAAATASALAPSASRLLRTYDLVAARPLTQAAFDHLCQAPLSAQHLDLISIDFSSHGKLPFRIKPPMLKLALQKGLHFEIAYSPLISTDVNAKRNLIAEVKVNVILLLPNDPTLFSLCDNALLFSDTIWHIQLLDIYNNTFYFQLLVDWTRGKNLIISSAAHTASQIRGPYDVINLSAYLLGIPIDRAKAAMSTNCRSLALKAMRKKHFTKKQLELLPNEELTSTKFKLADWIGWNPVLFEVGANQLEPSSNFDELPGSPICGVIEGSHEKPHNPDMSVFAKLSEQPSDQDQIPSETQEETLQVDRTEVLTDCGQSILPASFNYQNAILEKAKNNEVFVNPFVQPGTGCFADPKINAKHVEFVQDAMEVDTIESCRPKLVVGDNIPSTSDTSTKLACSALPHGIELSGTSLEDQGPSHSSEILANDKSYMKYHTDCTSGASEVHEISSGADVWPEDKDSDRSNGMQADNVACRGTSEPLECPPYGVDDKAPSDLSFYSSHELCRDVIIQPKVMEGKVEQSRDENIVQTVENEAESVDTKTRTSISVEPASHGQEISSTIHTRSIGASCESDELKDQNSKDINASLDKSIAKTHGKAGMSTVRSEKRRHKLRPHHPAYLPFLGFLRSVCFKKKICK >Sspon.03G0029930-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:18940239:18942734:1 gene:Sspon.03G0029930-1B transcript:Sspon.03G0029930-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKAPLPTLTQQTGKIVPARAPKVTLSPPTHPLIPGMTATSRAPRRPRIRPRGPPPAPTPIRTARGARSAAADERVLAEFLEASLRVPDLSLPPRKRFNFPPAPAPPPESDGISSRALVSGDADAALRAVTAAAESGAFRVTGAIDAREVRDAVEAAAGAVFAAPEEVKRDLGRWFRRRERAAGEEFFWFRPMSADEDRALHAAFHGSTYRAFREKIDTLASKMEDVAKVVIRVLSDNVKNPKASAQFREAPSILCLTLYSSNMSRTCWNDLTTLLLLIRTL >Sspon.05G0004710-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:14090583:14092506:-1 gene:Sspon.05G0004710-1A transcript:Sspon.05G0004710-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFLKKERSKKSDGEHKASGRKMRRRKVNGIKFMMNYLSKVREVDKKLSRFNLSYLENQVFSWSVDDVFNRDLFREKVKRIPETFASSKSYFGSFSYPLIEEVHADLFSSLDGYAHQNFIQVTRMKKLHVADDKIFLCFKVARPAEDKRSRETYAPSEDDILVLSSRKPKQVSDLTRNVKSYILAKIVKGGEDNDDLPPDCFIARLSSELTVEADPVTRIPEEQLFAVVLVNMKTYNRIWTCLDMGKNRQKKVPPATNENQNVSHVTDTVDIVWQYKSKACDGLIEFKAASTNSRCAAVKWDTSKSSQSSWCFPERSIDDLGLENFMLNMSQLNAVADCIPVTGKFSSSVKLIWGPPGTGKTKTIGSLLWAMLISRRRTLACAPTNTAVLEVASRIVNLVHEFAASRDILLSDIVLFGNKRG >Sspon.03G0020640-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:64070854:64072605:1 gene:Sspon.03G0020640-1P transcript:Sspon.03G0020640-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPPLPSHYFGNAVFMLCVIGAAGEIGTAALGSIAARIKGTVEKMDDELVRSAIDYFEMAEMDKRPALRGTLPLTDLHINSWFGRPQYDADFGWGKPQFMSRAESVRGGYVYLMNDEGTTDDGGGCGDVRVLVCMEAVNIKELGRLLYAKL >Sspon.01G0024460-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:87588177:87590587:-1 gene:Sspon.01G0024460-1A transcript:Sspon.01G0024460-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLPAFLLQPLLLACFFSSFVHPMEPNTSRSWCANMPIPYPFGIAGDGGSPFLSQGFQISCRSRRSAALSGPALPIGNRVFGILDISLLDGFVTIAANASSQQCKGNSSFNLEGTIFTYSDTRNKFTALGCNVVAMLLNGSSGYSGGCASFCSSKDNIVNGSCSGVACCQAPVPKGLTKMELEFNIISNKYNSTPPCGEAFIVEQNSYVFSSLDLDNKPQYRPVVLEWSIHGGSCEEAQQSTSYACRENTYCYNSPNGIGYRCNCSDGFQGNPYLPGPGGGCQDIDECTTRNPCTYKCVNTKGGFHCRCPAGMSGDGFKEGSGCNGVGTLTIAGVTGLALLIVDPTVSEEAGMRHIETVAKLALRCIKLKGEERPRMIEVAIELEALRRLMKQHFIMKSESLLHESWCHEEMSIDAPSNFCLGDDGILGDESQEIILLPSN >Sspon.05G0025480-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:31446790:31450604:1 gene:Sspon.05G0025480-3D transcript:Sspon.05G0025480-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGIEAVAGDSRGEIMANFIAQQIEALGAEVCYHKFIPHSKNFHPLKFFTSMTNDMAIQPNGTDTNFGINTIGIVRAPRGDGKEAIVLVSPYNSQSVQSNELLSLALGFSVFSLLSRAAWLSKDVVWLSADSQFGEYAAVSAWLNQYHNPVFLSQSVILHTKMYGANHINDGNSEKTEVTAFKRAGTMAAALIFKVGETRRYGDRDSVMMYAEASNGQMPNLDLLNVVHYLAVHRQGFRVNMAAFSSLLSSAWLRVIAEFLHTLGSVLRKINPDWKLDIAVPDYVEGTANLANSIYSQALGVPTGSHGAFRDYQVDAVSLEFSPTFHVRNENAKSLFLLRGGRLIEGVVRSVNNLLEKFHQSFFLYFLTAPSKFISVGMYMIPFALLLAPLPIVVAALADGSKSMEKPVDKLMEHSKADDIADIPQSKGGSCKWLQAAKVLLVIQLWAVLVSLLPYYITQIPDATPMQSTLIWVVLSIVILIALSALFGSPYSAGVEWKLLKATMITSISIGLGLMSIINFATAQLGALIVIPMCLFSRPLKASGMNFLPQAVLLASNIRPRRKPKS >Sspon.07G0014320-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:51138297:51138792:-1 gene:Sspon.07G0014320-2D transcript:Sspon.07G0014320-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding METAALTSHSLQCPAAAAPAHGQRRRGRAAAMPRRRRPYAGRIRALPSAEVISEILSPKLVPGSPSDTGDVSSLVPVSALMLLFYFVSNWVVPELLMKRLNEPKPEDEAAAAAAAMSSGPADGGDDASPRKIRLKVKKKKNGKATIVKVYVRGRL >Sspon.03G0033020-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3B:43862949:43864442:1 gene:Sspon.03G0033020-1B transcript:Sspon.03G0033020-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRKLQPLPHLTLHSASSSSAAASASPPPPRRLPPPVPLRDLLAHRLAPPRSPPSPPPPRPHADLVLLLRRRGDCNSPENLHVELIKRGLNHDLFLSNHLVNFYAKGARLAAASRVFDEMPERNAVSWTCLLSGYVLQGIAEEAFRVFRAMLREVEPGCRPTSFTFGTLLRACQDGGPDRLGFAIQVHGLVSKTEYATNTTVCNALISMYGSCTVGPPILAQRVFDGTPIRDLITWNALMSVYAKKGDVVSTFTLFMDMQRDDSRIQLRPTEHTFGSLITATSLSSGSSAVLDQVFVRVLKSGCSSDLYVGSALVSAFARHGLIDEAKDIFLSLKEKNAVTLNGLIVGLVRQHCSEEAVKIFVGTRNTVVVNADTYVVLLSAIAEYSISEEGLRKG >Sspon.08G0008970-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:37459757:37463327:1 gene:Sspon.08G0008970-3C transcript:Sspon.08G0008970-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASEAAYGGGVQKGSLQQHQAPQPGPGSVFYTPDGIAVYGKPAIPPFYQQPAGSNAVVPAAPGLAHSPVTSEPFKRKRGRPRKYGPADGAVPLAIVPPSQPPTAPAPAAPEASPTIPPGFSPSPQGGGVVSPQASPAPPPRRRQVLPLSRSGAGRRAPPARSNSRGRQRLDVASRAMSFSGNGWAVCILTANGAVSNVTLRQGESSGGTVTYEGRFEILSLAGSYLLSESAGMSSRTGGLSVALAGPDGRVLGGAVAGPLTAASPVQ >Sspon.01G0052880-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:27613764:27618148:-1 gene:Sspon.01G0052880-1C transcript:Sspon.01G0052880-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEGEDDQRNQMLGAGRDHEPERAEAEEAKKPAPGEAEAGGDGAGTGTEAATCDYCGSAAAAVYCRADSARLCLPCDRLVHGANGVCSRHARAPLCADCHAAGAVFRRASSSAFLCSNCDFGRHRDGGDPPLHDRCAVQPYSGCPPAGDLAALLGVALFDKPAAEDGAWWNIWEEPQVLSLEDLIVPTTPCHGFEPLLTPSSPKNRSISPDGKLNEEILRQLGELAESDGGVQASAGREEAEQAGGDQFPSWASPQYATGHDNFGTENNHEVATMPTPVYENGRWNNCDLDALNDACKVEVAYDQVPVNSAEPCLSSFAPLSEICPSMSNGNSMEDNHQANPGIGMPMQGLPKRTGFDVVPCPDRDSVISRYKAKRKTRRFDRQVRYESRKVRADGRLRIKGQFTSDNGEGLEDGLLAELLSRHPFPYHSLEELLERVLAVAGRLLVAVLSGLRVQQQHALRGIVPANLAELGGVLQPREQLGQSIEHPDDGVHDLDGHLDELGLAPEQPEQHLPLLQRRERLPWPADGQHLVGLVLVVDAVGDEGGEVLLADGLAGAELAVAEHDVRLPILDDVDLAAEALEERRGAHDAVGEGGVPRGLRGQLLLELELGALELEERLLDADGGEQHEVGGTQPARGLERVQRGAVVDAPRVLHPAAAAGEAADRGVDGAMRGEVREQRGRVGDVGEDDLGGAKVRDDVLGGVAAADAAPGPHHGEHAVAPAEELPDDVAADVARGARDEDRGLRGRQRAEGLRRGSRRRR >Sspon.03G0015210-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:48648214:48653078:1 gene:Sspon.03G0015210-1A transcript:Sspon.03G0015210-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:TATA box-binding protein-associated factor RNA polymerase I subunit B [Source:Projected from Arabidopsis thaliana (AT2G02955) UniProtKB/Swiss-Prot;Acc:Q5XVF0] MYSIFTRENMDYNLGGTSPDPYGGGGGSIHLVCDHCGTSDNYNTDDADDGQFTCRTCSAVHTTQATAADPHDFPVTGNISVRRVATQPTPKLGARTPAPYPRTPQAAPVPAAAAFDDFTELMRVRWHYVRGLQVILQRQLEVLVERHQVGAFVCAVAGVVWVRWVAASRVFDGIWVPRCSRITRPRGERIVLAAEVSHNKKPDEVKYESDDDMLLQRKDRRKVEFAFLRSLRMMLPVYSTLAVCFLACHIAREAILPSDIYRWAMEGNIPYVAAFTEVDRFLGSSFQDCPLDARQLFRPVRVIGAWQLEAAAGSIAQKVGLRLPSVNFYAIAQRCLKDLLLPIDRILPHACRIYEWAMPAELWLSSNPARVPTRVCVMAILIVALRLLYNINGQGIWEKICEEGRNPSESHHDTNSSTSRKLEASNSEEFGTRELLCAIAAAYDKINTTHDYSSDLRTYLKYCKEVIFTGITFSDEENHLIEIFWDMYKAKEDDNPKDHVKSQSHSVEDIPITDGVKKRYRDGAFVEASSFSASAGHDAIQMLKSEMQDHGFHYMAPRKPRKSDGYLRYRRKRLSGGFIYVAHADYYMLLRAFAKLAEIDIRIMHISVLKLERRLACIEERIERSLNTLQNFSTGTGDELRS >Sspon.02G0022380-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:76208481:76210537:-1 gene:Sspon.02G0022380-3C transcript:Sspon.02G0022380-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALCAAAASTATAFASGRLSASTARPRGPSASTSLPSFVGAFPRAVAARTRKRASPAPAAARAGITVRAEAKKSVLIVNTNGGGHAVIGFYFAKELLAAGHAVTVLTVGDEGSDKMKKPPFSRFSELTSAGGKTVWGDPADVGAAVGGASFDVVLDNNGKDLDAVKPVADWAKSAGVGQFLFISSAGIYKPTEEPPHVEGDAVKESAGHVGVEKYIAEQFGSWASFRPQYMIGSGNNKDCEEWFFDRIVRNRPVPIPGNGMQLTNIAHVRDLSRMLSLAVEKPGAASGKIFNCVSDRAVTLSGVAKLCAAAAGVAAVDIVLYDPAAAGVDAKKAFPFRNMHFYSEPRAAKTALGWRSSTNLPEDLKERYAEYAASGRGEKAMAFDLDDKILAAVGKAPASVAV >Sspon.06G0026400-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6B:78983154:78983930:1 gene:Sspon.06G0026400-1B transcript:Sspon.06G0026400-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGCYAHARAVLSRTSDTLAEADRRLEEIKRAASVTAVPGSTRDDNGTWTELLVRPTAVVRRILTCVVGLQFFQEGSGIEAVVLYSSLVFKRAGMSSDRAVLGATVAVGAVKTLSILAATLLSDRLGRLPLLLATTGGVAVAMASLAASLWLGATSACLASVLAFVVAFSVGFGPLVLAYGAEVLPLRLRARGTSVGTAVGLLVCAAVNMTFISLAGAVTMPGCFLLYAGVAAAAFVFVFVQLPETRGRSLEDMEVLFA >Sspon.07G0008960-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7B:29937382:29937999:1 gene:Sspon.07G0008960-2B transcript:Sspon.07G0008960-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MYAGGESRPNGGGRGGGDALRRRQQPGASASPGAAAASSSSSSSARGAEEEEGEAHGRGQQRQARRKKQQQGRREAVARAIRGGLPSCWGGGVSVVQEAAASGGRRWNRRERTAAADRDAAAGADDGSEPGASAGTATAAPPPAAPAWCCVCPGGDCSLEPNPSANGKEDVGARSLLEHNDFFSADCNPHADVLPAGADTAVAYES >Sspon.03G0028580-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:7965500:7968527:1 gene:Sspon.03G0028580-3D transcript:Sspon.03G0028580-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVIELEPEEPTRPSWPAAEEQAAAASGGEAAHPSSSAGTEEAAAAAEGEASRAAEEEEEAFEDALTDEQLREKSRSQANDAKAEGNKLFGAGQYEEALSQYEMALQIAAELESSEDIRAACHSNRAVCFLKLGKHDETIKECTKALELNPTYLKALLRRAEAHEKLEHYDEAIADMKKVIEVDPSNQQATRSLFRLEPLAAEKREKMKEEMIAKLKDLGNSVLGRFGMSVDNFKAVKDPNTGSYSIQFQK >Sspon.01G0031790-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1B:105925533:105925704:1 gene:Sspon.01G0031790-2B transcript:Sspon.01G0031790-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding WVRRATRRRLRLRGPAPPAPRARGRWTPRTFSSRARRSTSSPITSRTGSSIHPVSNL >Sspon.08G0012700-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:50977707:50985356:-1 gene:Sspon.08G0012700-2B transcript:Sspon.08G0012700-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLPAIPSRSKSKPRSSPGKPVAAPAESRMDLCTPSKPTPRRKSKSTASPAPMSPATPSTVRRSRRLLETPTKASLEVQVKATPTPTSRAKRAAPSPKTPAQRETKRQKRHPRKRAYYRKVVYDGGEFEIGDDVYVKRREAAESDAEDPEEECRVCFRTGGGVMVECDACLGGFHLRCVRPPLRRVPEGDWACPYCEAERAGKVVERPRPPEGKRIMRTAREKLLSSDLWAARIESLWREPDGTFWAKVRWYIIPEETAAGRQPHNLRRELYRTNDLGDIELSLSQFFFACPFVVLSSLCLWPVQMETILRHCSVMSPKDFRDANDGDDVFYCEYEYDIHWHNFKRLADIDDELETKEDPNDEPYNAGDDYSSDTDEDSEYDEEEEPTSSFSARRNQSHELAANSRKGRIYGLQKIGIRKIPEHVRCHQKTELEKAKATLLLATLPKSLPCRDKEMEEISIFVKDAICNDQCLGRCLYIHGVPGTGKTMSVLAVMRRLRSEFDSGTLRPYCFIEINGLKLASPENIYKVVYEQLSGHRVGWKKALHYLTEHFSGGTKIGKQANQPIILLIDELDLLLTRNQSVLYNILDWPTKPNSNLVVIGIANTMDLPEKLLPRISSRMGIQRLCFGPYNYRQLQEIITSRLKGIDAFEEQAIEFASRKVAAMSGDARRALEICRRAAEFADYRVKQSQQSAQSTVSANKGDGVVCMGDIEAAIQEVFQAPHIQVMKNCPKFGKVILVALVHELYKSGLGEIMFDKLATTVFSWCHANRELVPGYDTLVKICCKLGESKIILCEEGSKHKLQKLQLNYPSDDVTFALKESPDLPWLSKSAKEPVKDVGMSAAPNESHIFNRTPGM >Sspon.01G0015780-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:46772887:46774764:1 gene:Sspon.01G0015780-1A transcript:Sspon.01G0015780-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At4g18520, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G18520) UniProtKB/Swiss-Prot;Acc:Q0WNP3] MLLCCCPFPHQIQTCAPRSPFHQSCLPRSALSFGEQGRSKKSKSHRVRAQVFKSKNQSLRSQSHRADGHGHPADKIPRKDLPRSAAPSLPDAEAVAFWLRFCRSALDVRRTHAVALRSLGSLGLFVSNNLISAYVRFDEAANARKVFDEMRERSVVSWTAMMNGYQKLGRHGEVVRLLMGMLAAVVQGNSLTFVCLLKSCGERCDAKLGQQVHCCVVKGGWSNVIVDSAVAHFYAQCGDVAAASTVFDRMASRDVVSWTTMITAYVQHGHGDKALQMFPTMVSQGFRPNEFTVCSALKACAEEKALRFGKQLHGAIVKKLYKYDIHVGSALVTMYARCGEVFDAQAVFDKMPRRNTITWTSMISGYAQSGCGEEAVLLFRKMKMRRVFVNHLTVVGLLSACGSMQSIYIGKELHAQIIKNCMEENLQIGSTLVWFYSRCGEHTYAARILGAMPDRDAVAWTAMISGYNNLGHNVEALKSLDEMLWDGVAPNTYTYSSALRACARLEALRDGRRIHGVVNKTRAFSNVFVGCSLIDMYMRCGKVDEARRVFDAMPERNLVTWKVMITGFTQNCLCEEALKYMYLMQQDGYDVDDFVLSTVLSSCGGHQLKSDCILNNLSTFSEVLD >Sspon.05G0002070-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:4753840:4762602:1 gene:Sspon.05G0002070-4D transcript:Sspon.05G0002070-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHHHGSHSLEVAAAGPELDDDGHAARTGNIWTCFAHIITAMIGAGVLALSWSVAQLGWVGGPVAMLCFAFVTYLSAFLLSHCYRSPVSDGDDSQKRQRNYTYMDAVRTHLGEKRTWLCGLLQYLNLYGTAIAYTITTATCLRLGCEYSCAYISNRSENGAIKGSVAGVPMSTPAQKVWRVAQAIGDIAFAYPYTIVLLEIQDTLKSPPPESETMRKGNVIAVLATTFFYLAVSCFGYAAFGNAAPGNLLTGFGFYEPYWLIDFANACIMFSQQIFTFADRSFAARFPNSAFVNKSYAVKVPGVPASWSYRLNLQRVCFRTAYVASTTGLALLFPYFNEVLGVLGAIVFWPLAIYLPVEIYC >Sspon.08G0013690-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:10569515:10573097:-1 gene:Sspon.08G0013690-2P transcript:Sspon.08G0013690-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHRLLRDAQADGWERSDFPIICESCLGDNPYVRMLRAEYDKECKICARPFTVFRWRPGRDARYKKTEICQTCCKLKNVCQVCLLDLEYGLPVQVRDTALAINSNDAIPRSDVNREYFAEEHDRRARAGIDYDSSYGKARPNDTILKLQRTAPYYKRNRAHVCSFFVRGECTRGAECPYRHEMPETGELSQQNIKDRYYGVNDPVALKLLSKAGEMPSLTPPDDETIRTLYIGGLDSRITEQDLRDQFYAHGEIESIRMVLQRAIAFVTYTTREGAEKAAEELANKLVIKGVRLKLMWGKPQAPKPEEDESGRQGQVSHGGLLPRAVISQQQSGDQPQPPGMEGQQQQAAPASYYFNIPAPPAAERTLYPSMDPQRMGAIVKSQDGEGKPGPQQAGQAQPSSSSAQGGYPAPPPYYHGQYPPYYPPPPPYGGYMPPPRMPYPPQYPPYQPMLAQPAQAQASSSQQPPQAGAGQQPPHGPPAQQQPQQPIQN >Sspon.08G0025570-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8C:10296585:10297616:1 gene:Sspon.08G0025570-1C transcript:Sspon.08G0025570-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTHIKSTNRSVWKVVETKIEIADRRIPPLSKKCFSKTMALLLVLFMMLLMREYLSKSRTSRWLMRHGRSWRNHLRAPKAIKGAKAYILKEKFASFKMKEDESVPDIFHRIEVLVNDLKAFGEKVEDKDFSHKFLRCLPARFGMLVTLLVRTGLDTMTPNQILGDIMTDDAYRDDDEKEEKEKKDEKKKSVAFKATSSSKDKAKQDTSSEDEDSSFNDMDDEKMTLFVKRFGKFMMKKGYRARRKKSSSKKKKESRMCFKCGSKDHLVAQCPYNSDNDDDDMKSKKKDKKEKKEKKDKMTIKKKMKKGGSCVVTWDSDASSSDDDDSDDDKTTKKKGHASIAI >Sspon.08G0030710-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:64688550:64692289:-1 gene:Sspon.08G0030710-1D transcript:Sspon.08G0030710-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVVLPIRCCRRHRSPSPPPHKPSSPPPPPQTPDRRRPRRTTSARRRPCSLVRHRPARRTWACGCYPSHYLVAPAVPPHNLVLFSPHQVAAATCRGRDFQVMGGRRAGCAGKRDSADLGVVSPLVAVLLLVEKSPSIDKSRKNFFDFAGSPPWQREDTAGLQHCKQQCMMGALEAEARESHRQGLLGGAVEVVFKKLLA >Sspon.02G0014480-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:31890865:31898578:1 gene:Sspon.02G0014480-4D transcript:Sspon.02G0014480-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:monogalactosyl diacylglycerol synthase 1 [Source:Projected from Arabidopsis thaliana (AT4G31780) TAIR;Acc:AT4G31780] MPAPTAEPALPAAFLLCVPSPLLSTPLPGAALSAASLAPSSHHHASFLPRSRGGPRALSVAISVPGPTSSAASRLQQMWGEFARFVRLHGNQIAPLGFASLGVDFGSGGGGGGGGNAGGGGGGGGGGDVDGVGEVVEEAAARAEAPKKVLILMSDTGGGHRASAEAIKAAFTQEFGDDYQVFVTDLWTDHTPWPFNQLPRSYSFLVKHGPLWKMTYYGTAPRVIHQPHFAATSKFIAREVAKGLLKYQPDVIISVHPLMQHVPLRILRSKGLLDKIPFTTVITDLSTCHPTWFHKLVTRCYCPSAEVEKRALKAGLKPSQIKVYGLPVRPSFVKPIRPKDELRRELGMDEDLPAVLLMGGGEGMGPIEATAKALGDSLYDENLGEPTGQILVICGRNKKLVNRLQSINWKVPVQVKGFVTKMEECMGACDCIITKAGPGTIAEAMIRGLPIILNGYIAGQEAGNVPYVVENGCGKFSKSPEQIAKIVADWFGPRSDELRVMSQNALKLARPDAVFKIVHDLHELVRQKCFVPQYACAT >Sspon.01G0060230-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1D:75077523:75077889:-1 gene:Sspon.01G0060230-1D transcript:Sspon.01G0060230-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLIASGVIKWTASKLASLVSAPIGSSQSDEQQTSAISDVQALQRTMATVQHTLGAMDEDYIRDESGRLRLRELQQFAYDAQDAIDEYKFELLRRMMDDPNSHGEDRSTRKRKRKGKKEVL >Sspon.02G0021900-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2C:96591888:96592090:1 gene:Sspon.02G0021900-2C transcript:Sspon.02G0021900-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ASHQKVDNLEDFTNKFEGFELMMQLTLDKLSGFNTEWSTADALLGVAADEIRGGGDVAKLVILSLT >Sspon.06G0003910-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:20606631:20609979:1 gene:Sspon.06G0003910-4D transcript:Sspon.06G0003910-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARYDRAITVFSPDGHLFQVEYALEAVRKGNAAVGVRGTDTVVLGVEKKSTPKLQDSRSVRKIACLDTHIALACAGLKADARVLINRARVECQSHRLTVEDPVTVEYITRYIAGLQQKYTQSGGVRPFGLSTLIVGFDPYTDKPALYQTDPSGTFSAWKANATGRNSNSMREFLEKNYKETSGKETIKLAIRALLEVVESGGKNIEVAVMTKKDGLQELEEAEIDEYVAEIEAEKAAAEAAKKGAPKDT >Sspon.05G0017600-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:69968914:69973509:-1 gene:Sspon.05G0017600-3C transcript:Sspon.05G0017600-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipase-like PAD4 [Source:Projected from Arabidopsis thaliana (AT3G52430) UniProtKB/Swiss-Prot;Acc:Q9S745] MDDAAGEEEASMFETSHVLGALLASAPLLARAWDRCTAATAAAPGFVHGEEDGGKVYVGFSGVQAALSAAGAAVAGGGGGADVFAPVGLGGGDAAAGRMFAPLVAAEPDPAAAAGEPVAVQALALQCFLKLCGSPDFQMLLNEIRGKAVVFTGHSLGGAIAALAALHYLCISSSSSPWAPAPPVLCVTFGSPLLGNAALSRAILRERWGGNFCHVVSQHDVVPRLLFCPLDTVPAHIIVGMQLHQWPARTRQTGAVTTVTGRMADTDKDELRQLIQTHVGAVAMEQKLAAPDETTGGSPYRPFGTYVLCSPEGAACVDNATAAVQMLYATFASRSSPGAESPEVAHSCYGELVLKMPQHLLLKRRLRVDDTPATPNYDDGVSLALEASGIDAMAMEASTARQWLKTSKRAGRRPSLNCARLATQLGRITPCRAQIEWYKALFDAEMGYYDAFKQRRSPRKYSKVNLNRIKLGQFWDRVLTMLDAGQLPHDFHRRAKWVNAARFYQLLVEPLDIADYHHHGHHRTSGSYMTHGRERRYELFDRWWQEKACTGGAGGDVTSSMSAASASSRRRSKYAGLTQDPCFWARVEEAREQTESARGERDVAELAMKLEELQEFEGYSRELVASKEVSVDVLAPQSSYTLWVEEWNQLKLRDEVRTMLL >Sspon.06G0010390-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:57075572:57077037:1 gene:Sspon.06G0010390-1A transcript:Sspon.06G0010390-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPAHSHVSPRVFVLHENGRAAKAGSGAGHGEHGDPTKPRARFPAQGITNAHPDRTAPRPAAHRSSSSDTSPAVARASMGGFAIHPAPGRPSDSLPPSAAGTGTASSSSASSPSLDDAVRVHRTPGHTLEEPPSRRHRAGGRHPGASSRPARLLQRLRHALPLLTLTPRCGRMQQVGTPSEIAAAAAAASSSASSCSSSAPAPKGGVSFTGAARPCRRVTGTLYGHRRGRVVLALQETPRCLPSLVVELGLQTHALLRELGNPAGARIVLETERRAVSLSEPAAAAAGARDGAGRGDGSAPTWTMFCNGKKTGYAVRREATDDDLTVMETLRAVSMGAGVLPGTRAPSLAATDAVVAADDEVPYMRGCFDHFIGSRDSEAAAPARSSPSSSSGSDLRPIPLRALLAAMAMHARRSKDTHSSPSPRTYASSEFIGSCSLV >Sspon.03G0024460-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3B:98087181:98088371:-1 gene:Sspon.03G0024460-2B transcript:Sspon.03G0024460-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GAPAPAEQRAGVHHLRGPTPAGVVGGAAHDGDHPPREAPARGAHQHGDRVRLLVGGPRGLRGGGRRPRGRLRRDGRARPRQHPPHLPRPHAPALPRRRARRAQAARRRRPALRLLRVLARTRRRVLRGRPRVRARSGGSSCHCSTANPNHRRRGWTLVQWPGKVNLATPSSSYIHVFCSGLFTVRCMQALVYHDLLGMFQSPEHSKVTPKYCKQFGDVGAAIGKALSEYKQQVEARAFPDA >Sspon.03G0045390-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3D:17423779:17424126:1 gene:Sspon.03G0045390-1D transcript:Sspon.03G0045390-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAMVSLLFSTPSTSNHGPLLFFVPKSKVAAMAAARKLLRRRLWRCPLSPMRTCAARRKPLRLLALALSQSRSLPPSLESPAGEVEAASSPVATMTGSDPQLVPLDQIHGSGGL >Sspon.05G0007620-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:23686330:23693584:1 gene:Sspon.05G0007620-1A transcript:Sspon.05G0007620-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQTERAFLKQPKVFLCSKKAAKGNKPGKGGNRFWKNIGLGFKTPREAIEGTYIDKKCPFTGTVSIRGRIIAGTCHGAKMNRTIIVRRNYLHFVKKYEKRHSNIPAHISPCFRVKEGDHVIIGQCRPLSKTVRFNVLKVIPADAFSSALAFLSAMAAALDPRGSSLLLSFTKKEASRTGNLKFSRGDLLPSVSKSAELRCQALRVTANVTSPPGFQFPLLIKNYHNREEAREGREGNGQGKEDTEYTQGQDRM >Sspon.06G0018570-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:84049882:84052012:1 gene:Sspon.06G0018570-2B transcript:Sspon.06G0018570-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTSPPAARPSENTGEVDWFIATRATNHMTGNQSLISNLVPVNNQVVEAGEGEGMQVCGRGSVNTETVVLPEVWYVPGLTMNLVSVGQLTGDPDLIVEIGGGVCRISKKSDGSVLGGARLRSDRKYVLSSGKKACRFERYASNYVN >Sspon.06G0014390-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:76171081:76173593:-1 gene:Sspon.06G0014390-1A transcript:Sspon.06G0014390-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAPAPGDKKLLELLRRASMDDLDKTVILTFASQAWTAPGSLQDLLLESFRQGVETEPLLKHLVIVADGAKAYEHCQRVHPLCYHLQLEPGGVDYAAQQSYMAKGYLEIVWRKFLSQARVLQLGYSFVFTDMDIIWLRNPLLRIPIGVDLAMSCDRYYGNPYDLDKWANSGFMYVKASARMVAFYQSWYAARLSYPNTHEQFVFQRVKDTLPAKHGIRVQFVETAYFTGFCQLRKDFNKVCTVHANCLVGLKSKLEKLTEVFNEWKEFKKKADRHARFAALLFCRLCHTVAGKLGLLPPLLRHARYILTTAPLPILSLTLTRWLSALSSLASSCTTIEKVCSNSVIFYRGGWGWRRPYSGRTQDPRDQPPLQMARVLQLGYSFVFTDMDIIWLRNPLLRIPIGVDLAMSCDNYYGDNPYDLDKMANTGFMYVKASPRMVAFYESWYAARLSYPNTHEQWVFQKVKDTLPAKHGILVQFVNTAYLTGFCELKKDFNKVCTVHANCLVGLKSKLEKLTEVFNEWKEFKKKT >Sspon.06G0005710-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:17856571:17860486:-1 gene:Sspon.06G0005710-3C transcript:Sspon.06G0005710-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPRAAVASAKQVTRQNFAEAVRELRAHLEACDYVAVAAQKTGAPTGWRRALPVDTAETAYLKAKLAAESFQPLQIAVCPFRLRNSSPSTLVAYPYNFHLFPRDELQLGMPSYSFSCQSSYLSTMANDGFDFNMCIYDGISYLSRVQESLARQKIFTPRPQQLLPSPSTSVSDSVFVNRIKSKILHWRKGYADPSKKDDGTLVSSLSRLILGGETYGSRPSMSIDVCSDRQVQLVLEAVNHISDDLVSLVVPHKAGAVRSVRVIFTNSKEDKNLLLMDIQKLEDEQNFKFRGFREVIDLLSSSQKPIISYNCLNDMTMLHTKFIAPLPPNMHEFMCSLKMVFSNVVDISHLWRQIGPLRKAKNIQAALSYLQRQYFVPIEIEIPQQDGTSSVTKNEQNVLRITKLFAKLSNLLKISPECQLQSREQCTGVEEYCNIFYPSCVVESSDDANFAIESDTTETGKSVKELKPYLIGLHQAFSEDFEVKLLDKTCSALIFRDSNTATQLLKEINSEGPSLNRFFSEGLKAAGFEVYRKVCRLGLWDSDLAEVLEDVSSELGVPTLPECSTSQIYWNSALMLDLKEYLEC >Sspon.07G0037690-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:61148091:61161888:-1 gene:Sspon.07G0037690-1D transcript:Sspon.07G0037690-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSAVRSGSIGRWRAPPHLLPRLLSSSSSSGTSPARPQAAALLELPEVEKVLRDVRAGDVRVFPVGEGGLHGGACADYMVVATGRSDWHIKQKQKGSDRILMPSVEGQQAGKWVVIDSGSIIIHALEERAREYYDLESIWSKEVSPNTSIQGTAGGGRKWLKRVQKEWKMLENNLPDN >Sspon.02G0025980-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:91718953:91720242:-1 gene:Sspon.02G0025980-2B transcript:Sspon.02G0025980-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVARRGRNPAVPRRSMRVGGNHVVTTVTAHPGVVRRWLYTTLWRQRPQHLYSAAGLTVGLGVQWNTPYRKLPAGTEPRPGTLQLCAGNRCLVFQIARAGGAVPRILRRFLADTRVTFAAYYVASDCRKLRAHHALEVASTLELCGADGTGGSSRASLADMADRLLGIRGGGVEKPAWIGNSRWDGARLSRGQVRYAAADAYLSCRLGECIRRRGVHVVESEDEYESYDSGDGDESAESREVEPADDDDGDYGRWTDRFVGFIGGDEYVAPPTSASLLRRRRRPHGRARRAVDAAVPQAARRRRAEPRPGTLQLCAGNSCLVFKIARAGGAVRRFLADARVTFAAYNVASDCRKLRAHHGIDCRKLRLQRSFRRIPVLLVLEWCW >Sspon.01G0029750-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:78904623:78906379:-1 gene:Sspon.01G0029750-4D transcript:Sspon.01G0029750-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAEPEVVLLDFWVSPFGQRCRIALAEKGVAYEYREQDLRSKGELLLRSNPVHKKIPVLLHAGRPVCESLVILQYIDEVWPDVAPLLPKDDPHARAQARFWADYIDKKIYDSQTRLWKLKGEAREQAKKDMIEVLKTLEGELADKPFFGGDAFGFVDVALVPFTCWFLTYEKLGEFSVEEHCPKIVAWAERCKERESVAKALSDPDKVFEFVQFLQSKFGAK >Sspon.02G0016850-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:47319955:47320632:1 gene:Sspon.02G0016850-1A transcript:Sspon.02G0016850-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPPPSSCARTTGDPPISPPPRSARRGGCPPPRRRRRLQPAGSDLVRAFRGRTVPKVPIRDFLERIHVLLRSEAATGHVIRVDGTCFVLAGVYLARFIGSHAARVAGIVVEPSTSHRLVAVALLLGGHSPKNWPAAFEAASDRAISTGEIAGLEERFLRAISSRLFVDGHEFKCFCGVLEKVPLPPRGSCASKKRRADSMAGDEEEEHRSVRACLPPPAVMSN >Sspon.02G0008990-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:24234124:24241131:1 gene:Sspon.02G0008990-2B transcript:Sspon.02G0008990-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPAAGGPAPAPAHSAAAVPQAIAAPPEPGRVAPAASRRDPPGHPEGADPANAAARKTAWSALAQPHAAAAAAAAGETPEGGGIIGGDASWPALAVSTRACPKSGSSDSLKALSDASASCPSPQEDPIAASSVPPHPVVGDNPIRTAQNPTSTPPPTAAASSQQNGTTTQTIPVRRGGSSGGNSGGGSRGNGSNGGRRAANSSGGGGDGSSGSGGDGNWNDTSFGTGSGCNSSNGDGSINLDDNDNVPGAGRAGGNGNVSSRNASGNSHWNHNIRGGSSSNGVGSGDGNNRYSSGSSNHWNNNPRNSSSSTNGGGGRGGYRGRRDHERGANFSPRNFPRVPVMPYQQQQQQQPSIYQPGPFHRPPPPAAHFMVPQHFYVPPFPYPADVQPYPVYLPPVEQFQNMHLVRPPMQPAWVPPPQDQPNLQDDIRNQIEFYFSTNNLCHDTFLRRHMNNQGWVPIDLILGFNRMRAFTGLVDTNYILDAIRGSELLEVQVQMPRCSFMLSSGN >Sspon.01G0054680-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:60222350:60225198:-1 gene:Sspon.01G0054680-2D transcript:Sspon.01G0054680-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKPKSSSSSSSSWSALFGLGCFSSSHAARSSDGSGSGSGSGSKNAAKVASSSRPPAPAPLPSPEDLSLSLAGSDVLVFTVEELRVATRDFSMSNFVGEGGFGPVYKGRVDDRVRPGLRQPQAVAVKLLDLEGSQGHKEWLAEVIFLGQLRHPHLVKLIGYCYQDEHRLLVYEFMARGSLEKHLFKKYSASLPWPTRLKIAIGAAKGLAFLHEAAKPVIYRDFKTSNILLDSDYTAKLSDFGLAKAGPGEDETHVSTQVMGTQGYAAPEYIMTGHLTTKSDVYSFGVVLLELLTGRKALDKNRPPREQSLVEWARPCLRDSRRLERVVDRRLLNGQHPTPTRAAHKAAGIAHQCLS >Sspon.01G0016180-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:49667772:49671810:1 gene:Sspon.01G0016180-2C transcript:Sspon.01G0016180-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RQQNLEEHELSSAFDGSSPASAIVAVDTNLDTSTPDTYRAPPAPLPYDVVLAVPNNPGLERPDTKSKTDDQQESINDQESLKVDESCKKGVTEDKADEEDVCPICLEEYDEENPRSVTKCEHHFHLCCILEWMERKDTCPVCDQVHSGYRSYEGWGTITVYAAHIFSLESNTNAQPINAYTLNWPPSYHAQRIRGQ >Sspon.01G0013100-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:38426026:38438159:-1 gene:Sspon.01G0013100-2D transcript:Sspon.01G0013100-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Trehalase [Source:Projected from Arabidopsis thaliana (AT4G24040) UniProtKB/Swiss-Prot;Acc:Q9SU50] MARAATAGSDEGASALLGLLQRVQSEALRALGPHDFDPKFYVDLPLATDERAADAALAALPRPAPTRAELDDFLSRYFGDPGSDLVPAVPPDFQAEPRGFLPRVHSPEARAWAREVHALWKQLARRVAPDVAARPDRHTLLPLPGGVVVPGSRFREVYYWDSYWVVRGLLVSKMYDTAKAIVLNLVYLLEKYGFVPNGARSYYINRSQPPLLSSMVLEVYRASRDVEFVRTVFPLCLTSIASGCQVPHEDSNSSDLFEIHNVAIADNHGQVHNLSRYQARWNKPRPESATIDEELASKVNSMAAKEKLYHEIASTAESGWDFSSRWMRNSTDMATLATTYIIPVDLNTFIFKMELDIGALAKLVGDSATSEKFLKASKARHIAIDSILWNSEMEQWLDYWLPADADCQGVHEWKSNSQNRNIFASNFIPLWLNAYHSGSVRFADEAKSKRVMASLKASGLLHAAGIATSLINTGQQWDFPNGWAPLQHLIAEGLLHSGSEAKILAEDIATRWVRTNYAAYKSTGAMHEKYNVTACGETGGGGEYKPQTGFGWSNGVVLSFLEEFGWPEDKEIACSGKALTT >Sspon.07G0021880-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:4685232:4686472:1 gene:Sspon.07G0021880-2C transcript:Sspon.07G0021880-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATSSACAAAVALASLPFAASTSASPRVAASGHRGPRRFRAATVRCSSASPNVSQGAPAPAVPKPRIELEFVGPKPGADGSFPVDRADAASGEKLLRDIMNENKIELYAAYGKVMNCGGGGSCGTCIVKIIDGKELLNERTNTENRYLKKKPDSWRLACQTIVGNKENSGKALWFNGCPSGRNNDCAAPHCWMY >Sspon.06G0033620-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6D:17461414:17462638:-1 gene:Sspon.06G0033620-1D transcript:Sspon.06G0033620-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIQVLRDLHHVLRQLGASSPDLVKTKIYFIYINFVTSPATQMASTGSGRVAAAVPHQAAHESGSYPASTGRVATATARIRAVGWGRHRRRELRTRPPGHAAAVAFVLGAAPPVRCVATATSCSASCRHKPSATAADLDGAARDESARMCRREEPLQRRSSLFDVFVRLYCMPVREKR >Sspon.03G0023930-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:91470126:91479026:1 gene:Sspon.03G0023930-3C transcript:Sspon.03G0023930-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPRLVLFGDSITEQSFASGGWGAALTDRFARQADVVLRGLSGYNTRWALKVLPRAMEGADPAAVTVFFGANDASLPDQVQAHQHVPLQEYQSNLRAICAYFKERWPSTAIILITPPPIYEPARIRDMYGEDDPSRQPERSNEAAGAYAQACIAVATELNHPAIDIWTKMQEFPNRWIDGLHFTPAGNKILFDEVVKTLASIGFSQDRLPSDFPLFHEIDPKDPMKAFGA >Sspon.05G0021140-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:88854369:88857529:1 gene:Sspon.05G0021140-1A transcript:Sspon.05G0021140-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding PCTPSAVKTEDGSLAAALPLPQPAFKEVDENPPATVTLSQSELKEEQKPAIAIPLSQPVVKKEDKKSVAAIPLSRSAVKEDEKPVASIAPPQSAVMENIPKHTQLQDAHAGERPPKKLKLSQVTTEQDIAPETTEQKPLELPPKNIEWDDRLKMADDKGTLVYIQNLDIWFGPADIEELVRKALQLNCTAKPINHPTYDDPNNGKAYAIFKTKDAADAAISKINSGLVVGGRPLYCSKGLLEVPKPSVNLVGHLSSHVKIGQKQRDEQKKAVATSHCSQPNTIEYDLALDWMLLREKQDQSFRILHKKHREARKRFASLGSKSSKAEK >Sspon.02G0015450-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:40571102:40573514:1 gene:Sspon.02G0015450-2B transcript:Sspon.02G0015450-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTLNTFAVITLLLLPLFLVLAQAARRGARRNPPGPTALPVIGHLHLFRKPLHRTLARLAARHGAVFQLRFGSRRVAVVSSAEAAEECLGARDVTFANRPRLPSGGILSYDWTTMGTASYGPYWRHVRRIAVTEILSVLRVQQFADVHERETRAMARGLYRAATATQGRALVELKSRLFELLMNAMMGMMCARRYYGGDGKEEEEEETAAAGVSEEARWFREMVEETMELSGASTAWDFLPAWARWLDVGGVGRRLWRLREGRTKFLQGLIEEQRKEMEKGSPARRTMIGVLLTLQNEDPEACPDHLIRTLCIVKFPRSRNKYFSGYSGVGDVPPAEHNPSVLTRAQEEIDACIGQPVRLLEAADLPKLHYLWCIIMETFRLYPPTPLLVPHESSTDCTVSGFHIPMGTMLLVNTFAIHRDPELWDRPASFIPERFEGRNSEGKMFIPFGMGRRRCPAENLGMQMVGLALGTMIQCFNWERVGEDLVDMAEGSGLTLPKEVPLEAFYEPRASM >Sspon.03G0015900-3C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3C:71161924:71162304:1 gene:Sspon.03G0015900-3C transcript:Sspon.03G0015900-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPESWRPAEANASSQPAAGTSGTSARSPGNGAKGGSRGAAEATATATRVPFHKLFAFADSTDVALMLLGALGAVANGAAMPFMTVLFGNLIDAFGGALSIHDVVNRVSMVSLEFIYLAIASAVASFV >Sspon.01G0027400-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:94341598:94343180:-1 gene:Sspon.01G0027400-3C transcript:Sspon.01G0027400-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding NRCVNMIAHLFNAPLGDSETAVGVGTVGSSEAIMLAGLAFKRRWQNKMKAAGKPCDKPNIVTGANVQVCWEKFARYFEVELKEVKLSDGYYVMDPQKAVDMVDENTICVAAILGSTLNGEFEDVKLLNDLLTKKNAETGWDTPIHVDAASGGFIAPFLYPELEWDFRLPLVKSINVSGHKYGLVYAGIGWCIWRTKEDLPEELIFHINYLGADQPTFTLNFSKGSSQVIAQYYQLIRLGFEGYKNIMENCQENAMVLKQGLEKTGKFNIVSKDNGVPLVAFSLKDSSRHNEFEISDFLRRFGWIHVTVLRVVIREDFSRTLAERLVLDIEKVLHELDALPARVPSGDLAALAAAEESEREMEKKRQVISLWKRAVLAKKKTNGVC >Sspon.03G0037320-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:97787833:97797709:-1 gene:Sspon.03G0037320-1B transcript:Sspon.03G0037320-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLSDFSFMLHLYHSGPDGKPGSWTSQRVSVEEPQRDSVCPIPDSAHRLMYHVTTKVITLGGAKGTVGFVDLWRGILLCDLLEDSPKLRDMPLPLPAKGNWSKFLNSCPYYCRDIAVNQSKDTIKYVEMEITRPTKVARTASTSDSDSYYEWLSHQKLPRSYSLAPGSWKITTWSMPIPTTSWDSWHRRCTIRSEDINLPSDNTRHYELLCKLMSSGGNEEENATEATLSLGYLRMAYPTMSIAADDDDVVYLMSKGTSIRSVEMVVAVDASTGTLQGVLKLDTKRHVGFMRCCLASGISKHLKTTETHGTCAYPEIQDEYDYFSTALYLYSKYNVTKALRKAHIRPASGRRYAVGHIVAVIEYAFGAMPSLVCKDGSVQELRLCFHKDYQPLDCTLETDKATNSRSYCPRYVTFPSYKPHPVNSHSIITETSAEILNT >Sspon.05G0027520-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:56304986:56311297:1 gene:Sspon.05G0027520-2C transcript:Sspon.05G0027520-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAGSSAARTRRAGLAAAAALLVLVVVAGSAALPGGRVTVLDGLRSIRNSTARRNLGYLSCGTGNPIDDCWRCDSDWHNNRQRLADCGIGFGRNAIGGRDGKIYVVTDPSDDDPVNPRKGTLRYAVIQEEPLWIIFKRDMVITLKEELIMNSFKTIDGRGANVHIANGACITIQYITNVIIHGLHIHDCKPTGNAMVRSSPSHYGWRTMADGDAVSIFGSSHVWVDHCSLSNCADGLIDAIMGSTAITVSNNYFTHHNEVMLLGHSDSYVKDKAMQVTIAFNHFGEGLIQRMPRCRHGYFHVVNNDYTHWEMYAIGGSAEPTINSQGNRYLAPTNPFAKEVTKRVETAQTVWKSWNWRSEGDMLLNGAYFTPSGAGASASYSRASSLGAKSSSMVGTITSDAGALSCHKGAAC >Sspon.02G0024850-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:80272286:80277563:1 gene:Sspon.02G0024850-4D transcript:Sspon.02G0024850-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent DNA helicase DDM1 [Source:Projected from Arabidopsis thaliana (AT5G66750) UniProtKB/Swiss-Prot;Acc:Q9XFH4] MGTLSMSDASPAKNWDASPVKFEANGGDARDASPIKFEANGGDVLPVLKEEERLLEPVDEPADGILDSLPIDLEAKNGDASLITEAIKKEEEQLEDTRLKAEEEEEARKREEAARLAFDPETRYNKLDELLSQTQLYSEFLLENMDRIAEESVETQAEEPQVEEKKKGRGRKRKAKPVYNDKKAKTAVAAMLTRSREDRLAEDCTLSEEERWEKEQANLVPLLTGGKLKSYQIKGVKWLISLWQNGLNGILADQMGLGKTIQTIGFLAHLKGKGMHGPYLIIAPLSTLSNWVNEIARFTPSLASIIYHGDKAARAEIRRKFMPKNAGPDFPIVVTSYEMAMYDAKFLAVHKWKYVVVDEGHRLKNSKCLLLRELKRIPMDNKLLLTGTPLQNNLAELWFDFSGKGNGEKDEETDEKKRLHVVSKLHAILRPFLLRRMKEDVEQLLPRKKEIIIYANMTEHQKQIQRHLVEKTFDNYLNEESDIILKRPGIKARLHNLMIQLRKNCNHPDLLEAQVDSTGLYPPVEKILEQCGKFQLLDRLLNYLIAQKHKVLVFSQWTKVLDIIEYYLDSKGHDVCRIDGSVKLEERRRQIAEFNDLNSSMRFFLLSTRAGGLGINLTSADTCILYDSDWNPQMDLQAMDRCHRIGQTRPVHGRIIKKAFGKLKLEHVVIGKGQFEQDRAKPNVLNEGELLALLKDEQDEEDRMIQTDISDEDLLKLMDRSDLSGPPGGANAAPLVPLKGPGWEVVVPTKSGGGMLSSLTS >Sspon.01G0015320-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:45551614:45555158:1 gene:Sspon.01G0015320-2C transcript:Sspon.01G0015320-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAACSSVPCSFPTKPDPHRRGRSSLPSSHLPRLPATKFCWFRSLSAAAAPSASRLRPRASFTDGNGEVELRLDIQKLGIESSRDVFVDVDDTSLLIRAKSDGTLRTLMNVQTLFDRIKSSETIWFIDEDQLVVNLKKVEQELKWPDIDESWESLTSGITQLLTGISVHIVGDSTHINEAVAKEIAEGIGYLPVCTSELLESATQKSIDTWVASEGADSVAEAESVVLESLSSHVRTVVATLGGKQGAASRFDRWQYLHSGFTVWLSVSDASDEAAAREEARRSVSSGSVSYAKADVVVKLGGWDPEYTRAVAQGCLVALKQLTLADKKLAGKKSLYIRLGCRGDWPNIEPPGWDPESDAPPTNI >Sspon.06G0004940-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:16251605:16253745:-1 gene:Sspon.06G0004940-1T transcript:Sspon.06G0004940-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEEEEEEEEEEEDVLSTVKETVVPYDFSRCLFWYGVGGGKKVTRVEVTLDGGETWLVCDLDHPEKPNKYGKYWCWCFWSVELEVLDLLGAKEIAVRAWDQSLNTQPEKLIWNLMGMMNNCWFKVKVNVCRPHRGEIGLVFEHPTQPGNQSGGWMARQKHLETAEAAAPGLKRSTSTPFMSTSDGKQFTMSEVRKHASQESAWIVVHGHVYDCTRFLRDHPGGADSILINAGTDCTEEFDAIHSDKAKALLDTYRIGELITTGTGYSSDNSVHGGSTVLSHLAPIREAARASAPVALSNPRDKIHCRLVGKKELSRDVRLFRFALPSSDQVLGLPIGKHIFVCTTIDGKLCMRAYTPTSMVDEIGHFDLLVKVYFKNEHPKFPDGGLMTQYLDSLPLGSYADVKGPLGHVEYTGRGNFVINGKERHASRLAMICGGSGITPMYQVIQAVLRDQPEDPTEMHLVYANRTEDDILLRDELDRWAAEYPDRLKVWYVIDQVKRPEEGWKYSVGFVTEAVLREHVPEGGDDTLALACGPPPMIQFAVSPNLEKMKYDMANSFVVF >Sspon.08G0008450-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:31332452:31336902:-1 gene:Sspon.08G0008450-1A transcript:Sspon.08G0008450-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIGGGGSWSIHGRADVTSRYEVLGPAGSGAYADVYRGRRRSDGATVALKEVHDAVSARREAEALLAIPPSPNVVALIDHFPGGDCDDDVLVLEWLPLDLAAVVRDARRRGGGGEGPGGIPASQLKRWMLQVLEGVAACHRAGVLHRDLKPENLLISEDGVLKVADFGQARILQQTAPTFQEMHPHEQSSSMETWIPQQQPAVLQGTEEEPSSYESDATAGHEPETLTAADYLHELDQLRAKSSDVDKMSLQDGDASCLATCSTGDIEDDPFRTSYSYNVEGIGEDSGAFTSCVGTRWFRAPELLYGSTNYGQEIDLWSLGCILAELLKLEPLFSGISDIDQISRIINVLGDVTEETFPGCSNLPDYNKIFFNKVGKPTGLEACLPNRSPTEVSIIKQLICYDTTKRVSAADLLNHRYFTEEPLPAPIGGLQVPASKDEDDDSSMEEWGNYRDGVSDSDFDEFGSMDVTKTDKGFSIRFS >Sspon.06G0027880-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:89616236:89617849:-1 gene:Sspon.06G0027880-1B transcript:Sspon.06G0027880-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSASVSVCRLHPGVAVTGFCPACLRDRLAGLHPPSAADLRRCKSFSYARSAAAVEPQRRSCDLFRHGQPIAAAVQEEEEDHHQQEPSRSHSHQQPKKSSSLGGLLGKKLQQWRRKSKKEPVAVVIPVPLPEMEMPALHRPSCDADPRRASCDARSGPVPAALGRLPASMLSLPVEEDEDYDDSMAPPAVPRSDGQIPVEEDYCYYYDNAAAAAAVPGGSVQTRDYYLDSSSSSRRRRSVDRSTTSGRNSFSDANNSEATCRMMMMNGNARVSPAAERLYHYHEAHHHHHQSVLVRHQYCSREEEEELQQQGPNNLRADDFSGSFGSAFPFRDGVPASKPNKKGIKGWSIWGLISKKSSSRKYSAEAAPAGGGGGGGGEYEYPCPELRARGYNGQMLRCNSSISARSSFSGTGIGSGNLSGRRSISGVDMRDAAAAMYNNNNGGFRTRRDEVLLERNLSTTRSSSYSRSGHHHDLDPAMAPQMGVGMGMQYHHHQQQQQLSSGRPPNIPRRSSSNSKHEFSSLPAKAKTRRRTLGL >Sspon.06G0014310-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:87730557:87731441:1 gene:Sspon.06G0014310-1T transcript:Sspon.06G0014310-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSEFDEGKRSCRKRLDGHNRRRRKPQHDLTNLGGFFPYHQVNQFEVYPRTIPTVGQNSDAMQLVGRQQPFSISFSRTPNQFPFPQGGGSALHAARRGLLAEGSSHTGSSTCNNALSGTLGPECALSLLSPLLHRPSAAGIPTDGQPQVASSLSRIAAVSQAATTAMTIAFAAGVGH >Sspon.06G0004710-4D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6D:12556477:12559313:1 gene:Sspon.06G0004710-4D transcript:Sspon.06G0004710-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARCRHHLLLLPLFLALAAAAPVRSYFLLPLPLPMCLHLPLTVVLVSCRVAWWQGKAQSHGEASAVFIDAASHRYLRDQQADGQDASMSLNEVSAAVSVLLGFAPSTSLPTISSSKLNKVLLPNPFDRPRAVFLVQIAGSDASAPSFVSEGSNIFKTRIEGADSADTGLTDTDDLIVIHSDESLDLSRSDYLDNELSSLANWLEGSYQKSSGKLVIPLEGGNSLTLLLDKEADVEFVSSLASLLKTIERAIQVHEDFSGVMNPAELLECHFTGIKALENEYGSTEIVKQATEIVQRAVTKSFQFLHEAYKGKIVGLVISTKEASSSLGSIIDAPSSLHISRRLEEASKTKEASVALVRKSLAWITGIILLVSTLIGVCLLMNMPLTRDTLLYSNVKID >Sspon.05G0022610-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:3683556:3686927:1 gene:Sspon.05G0022610-1B transcript:Sspon.05G0022610-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMASTTSATTAAAAATTKPRGSSSALCPRVAAGGRRRSGVVRCDAVGVEAQAQAVAKAASVAALEQFKISADRYMKERSTIAVIGLSVHTAPVEMREKLAVAEELWPRAIQELTSLNHIEEAAVLSTCNRMEIYVVALSWNRGIREVVEWMSKKSGIPASELREHLFILRSSDATRHLFEVSAGLDSLVLGEGQILAQVKQVVRSGQNSGGLGKNIDRMFKDAITAGKRVRCETNISSGAVSVSSAAVELALMKLPKSEALSARMLLIGAGKMGKLVIKHLIAKGCKKVVVVNRSVERVDAIREEMKDIKIVYRPLSEMYEAAAEADVVFTSTASETPLFTKEHAEALPPVADTMGGVRLFVDISVPRNVSASVSEVGAARVYNVDDLKEVVEANKEDRLRKAMEAQTIITEELKRFEAWRDSLETVPTIKKLRSYADRIRASELEKCLQKVGEDALTKKMRRAIEELSTGIVNKLLHGPLQHLRCDGSDSRTLDETLENMHALNRMFSLDMEKAIIEQKIKAKVEKTQN >Sspon.08G0021030-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:30950221:30956077:1 gene:Sspon.08G0021030-1B transcript:Sspon.08G0021030-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDTGSNSILVHILVIALCLTAFGFAIAAERRRSTGSIVTDSSNTTFCVYDSDIATGYGVGAFLFLLSGHSLLMGVTRCMCFGAPLAPGGSRAWSIIYFASSWITFAIAEACLIAGATKNAYHTKYRDMVYAGNWTCQSLRKGVFIAGAVFVVFTMTLNVYFYMYYSKATSQAAKRISKTTPSVGMTGYA >Sspon.08G0023950-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8B:60779755:60781977:-1 gene:Sspon.08G0023950-1B transcript:Sspon.08G0023950-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRPTATSSFARRRAQPRPAAEAELGSDPSSSSAAADSSMASLIERATSTTAPAVDPVLLRAIKSSARASDSAIRDAFRLILSLMSKPHSHVRLLAFSIADELFMRSKLFRSLLADSLDGFLPLAVGFRRANPLPPPTASAALLRKAAVQALERWHHLFGAHYRQLRLAVEYLKESARVHFSGLRATVDARAAREARMQEIYAAKVEQLRENLASIKGEIRSTMDEIRNGLEIIRAEYKKFEGYVNDEDAEEEIASLSMRSIRMASLMAGEWVPETQENEAVFDALREAHRLLVSKHLVTVQEWITVLVRVNLPDNRFRDSALKDFIDVKNEIRAVRDRCNELGLDLDNVHRRKGDQEEEDNEFWVEGNIEAPNRAGVQSSLDVASTSKDIGKGKGVVGAVSDIGKAPVAANGTRNLDPEKSELFAKAPVLPWSSFLDCWGSSGDVHVNQRGLEVESHWGRVDNDATIPAAKIAELNVHCSIYREAPVEILPCHAPLKKGGLCQRRDLKVCPFHGPIVPRDAEGNPIEQNSGSSDAEVNPVEHLDIGGHSNDLNGNSDGDYMEETSSRMTGVNNDYGDIAGTRDLGKITAEQLARQAIKNVRKREMDRKALERAQRARIRQHNEDVLRDAALASTSRSAAAYEQPPGAQGRRGRRGKAKAPTLASMLKKKVTTKDRIAERLLNTRATDATIREVSHNEDMSYREAFPNQW >Sspon.02G0037370-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:32796209:32797070:1 gene:Sspon.02G0037370-1B transcript:Sspon.02G0037370-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCLAQEFHPKLPAANHYCKSLSSLIRETYAHCHVPCARIPAGAGWSSGEDSDDDSVLDEAQDTKQVILNEMRNRQMKKRSRCSVDSPMLPLSSSSAFAWSYTPLDPRTVLDTVSSPKTCVGVEGAAAEEKEEEEEAADDGDDCDADDESEAFFSVKSFFTRSTSRAATVASSACMMMIDPPPATLMRSPEAWERFRDCEGWPFGLCRRPAVLPLPPLPSTPADSWQWRKSVSSLAASPTPVYRHKIAAAAS >Sspon.08G0018770-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:7580770:7597954:-1 gene:Sspon.08G0018770-3D transcript:Sspon.08G0018770-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRHSIAAWKQEHATKAEDDAHLRPHVPVLSLSLLQRRLGFGGCREGATRANSSDRPQEKELSAAPPSPGPFPSPPASSSPCESEGHATGGHAPLSPGLFLPERHPLRPLAASSVGERKDMRDPFDAPVDLINADHNGGNQVSRTGVVSAGDYGLQNGGPKSFAPNSDTLVRHQVQGASLHKDLAVEDPNIRIMDPETKELYFRSQSQEDEILLLRKQIAETSLKELRLLSEKHILERRLTDLRMAVDEKQEEAISGALKQLNQKKTHLDDNMRLANELKVEEEELYFFTSSLLSMLAEYNIRPPQINASTITTGTKRLYQQMHWKLRSLNDNLGDMTQPGNIYNPNHQQVTLSRNEPSPSYNNMDSNRNALQQYAQGPSDRHIEQMYHGSNFQQDTGGTAPSNYFEGEGRVDGDSQLYQPDGDALPGIEGFQIAGEPTPGFQLTACGFPTNGTTLCNFQWVRHLENGIRQSIEGATMYDYVVTADDVGTLLAVDCTPMDDNGRQGDLVTEFANNGNKITCDPEMQSRIDAYVSTGRAEFEIYVLQAYSPEEWEFATLVLTRPNYQIKLTKTGEVIIDEKYSQSLQMKIPNGRTTQFVLVTAGATLPFNTHGVSENEDYNVRLRDLIVLVMRTFQKKGLRGRVP >Sspon.04G0002210-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:8205755:8209654:1 gene:Sspon.04G0002210-2C transcript:Sspon.04G0002210-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHHHKPGLRVRLRITAARRRAWLSAGLRSTCRKPPRRDPSDSVHKVARREIGGGHRRPPRPAAPSSAFSCPEKFRNFQLQEEYDTYDDEFDDVNGKVLTFSAQDSTYKVFDLKNYNFLYSICDKNIQEIKISPGIMLVIYQKTTCHVPLTILSIEDGTPLKTFNQLLHRNRKVDFIEQFNEKLLVKQDKENLQIIDVRNSDLIEVNKTEFMTPSAFIFLYENNLFLTFCNRTVAAWNFRGELVTSFEDHELWHPNCNTNNIYITADQDLIISYCKVSKQSTDCADSEVAEVLSMGSINMSNIFTGKCVAKISPSDPTLTIAPRKRGDNSRSTIRSTVPEALEDITALFYDEDRNEIYTGNSKGLVHVWSN >Sspon.03G0018060-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:76992357:76993779:1 gene:Sspon.03G0018060-3C transcript:Sspon.03G0018060-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPGTTAPAPPPGPAPAAPAVAPIRTQLLSSVAKVALTAALVCGAGGPAAVVVVPAAAHAVPFVRPPPLQGKPFASSTPYEQSQKLQLGLDKLGKIRACPSTNPGCVSTNPLGSSGSFASPLLIPESSAGEKAAVSLRQAIEKTQSNVDLKVDQDTPYGHYIEAEMDGGVGRDVMEFLVKKDAGVVAYRCMATKVTFVYPFTTAVGDSKGQKQRIAAISQELGWYAPDIQSSMDSDDVNYVP >Sspon.03G0035490-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:82100187:82101240:-1 gene:Sspon.03G0035490-1B transcript:Sspon.03G0035490-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTTRQKSKAPMESPMGHANPQVQIPEEEPQESAGESAVVVAVNGAAAAVEITVRIAKARLHCPVCTLPLKPPVFQCAFGHLACGVCHLTSSGAGQCSVCGDGGGYARSTAMEDIVKSAKVLCPHDAYGCRTYVTYYEAAEHQHACPHAPCLCSEPGCGFAGTPAALRDHLSAAHSWPVDGIRYGAKLQLRVPESDPAQQRRLLAAGDDEGGEVFFLAVGAIRDRPFRVVSLVCARPGAAAAAGPRYACTIHAVQPSDAAAGEGSAESVVLEMAPVPSSAAPGETSIEEAASLVVLRRTLPPGAAAGEMHLTVRIDRIV >Sspon.08G0011660-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8A:50545824:50547647:1 gene:Sspon.08G0011660-1A transcript:Sspon.08G0011660-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger protein ZOP1 [Source:Projected from Arabidopsis thaliana (AT1G49590) UniProtKB/Swiss-Prot;Acc:Q7XA66] MQKESEAKEKEQQQAARALQQIEAKAKKSYQKDLENSQRNVDGDTSSAPGDGWIFDSASGYYHDKSTGLYYDSNSGFYYSDSLGKWVTQEEAYKSVQTSKTDVGQSSTSQTKAPAAETAVPTIKGGPAPGRVVTKPLNPMRPIKGTPAPSAVAVNKRKREDGKPKVISKEEEAALKAREAARKRVVDREKPLMGLYKTY >Sspon.06G0017660-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:78174284:78180278:1 gene:Sspon.06G0017660-2D transcript:Sspon.06G0017660-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEFGKLRVMLHVKGSKVDVIVTTRKEEIAMEVSSNKAYKLQRLEDDKCWEIIKRFSKFELKSNQEKMEQVGLDIAKKCGGVALAAQAIGFMLRSINDLSGWTEINNSDILNGSSESGDVLPSLKLSYERMPPQLRICFSYCAIFPKGHNIIEDDLVQHWIALDFIEQSKGKECINKLLGILPCTRAAHLVSGHVHEHAAWPSVHTLAAYVTRLAQLHNLRLHAARPCDGHAHCSRASATRRSSHRQLQGGSPSHTTRSSSPTCTVPTTSGHPIIRAARQHPCRPSSMCLVRPLTCRTHRQSHRQIEKEDEYAREREDRTSQEHVVRYTMHDLVHDLATLTVADELKVFDVAPRRNTHANKYCRYSVLRNYDRKMKLANMPSKMRALRFSDCGELLDIQGGAFSFAKCLRTLDFSECTGCSGISEVPESFGGLKSMVHIDMSGCSGIRELPESFGDLKSTVRLDMSGCSGITKLPDSLGKLTNLQLLELSGCSSVKAIPEPLCGLRQLQYLNMSGCEQIGELPETLMKLQNLLHLDLSDCTSMRHLGGVRGLTALQHLDMSELWKTDLQYLSGVLAILTSLKYLGLRCVMGSASYVLDWICGLTNLEHLDLSGNYSLACLPASIGNLKRLHTLDLTACCGLKSLPESIRALGLKSLVLEGCSDELVDQASSLVHFSQTLPDFSVRADDVNGCSNLHLLEGINVGELRIHSLENVRSLEEANKVKLLEKQNLSELRLAWTAGAVRLLEDKDLLGQLVPPRGLKHMCLQGYSSAGFPGWLMCIYRHLTNLVSIRLGEMPTCSNLPPLGQLPHLEKLFLYELPGIKRIDREFCGGKGAFRRLSSFSLGFMKGLDEWNTTLLC >Sspon.02G0041010-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:73661405:73661782:-1 gene:Sspon.02G0041010-1B transcript:Sspon.02G0041010-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKQHPKIRLFLHTGVVDGGMSLQSQQEALLQWKTTLQSSPELDSWRRGTSPCTSNWTGVACGVMHHGRHASPVVNNISLPKAGIDGRLGELNFSALPFLTHIDLSLNSLQP >Sspon.02G0014780-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:37594606:37596803:1 gene:Sspon.02G0014780-2B transcript:Sspon.02G0014780-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQRLTYRKRHSYATKSNQTRVVKTPGGKLVYQYTKKRASGPKCPVTGKKIQGIPHLRPAEYKRSRLSRNRRTVNRPYGGVLSGTAVRERIIRAFLVEEQKIVKKVLKLQKTKDKTSSK >Sspon.01G0010950-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:30244034:30245387:-1 gene:Sspon.01G0010950-1A transcript:Sspon.01G0010950-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGAKRVLLLCLCVAVVLVALGSGGVEARKRGKQSLGFYELRRGEFSMVVTNWGATILAVRLPDKNGHIDDVVLGYKDIGSYVNDTTYFGALVGRVANRIAGGRFTIRDRAYHTYRNDGNNTLHGGHRGFNQVFWSVRERVTGEFPHITFAYRSYDGEQGFPGNLDVLVTYKIDGDYSYSVTMYARPLDKPTPVNLAQHTYWNLRGHGSGDVLGHAVQIFANAVTPVGEDLIPTGAVTPVAGTPFDFRAPAAPGARIAQVEGGYDINYVLDGETDGQGVRKVAVVSEADSGRVMELWGNQPGLQFYTGNFLKGDQGKDGAVYAKHGGMCLETQDYPDAVHEPGFPAEVYRPGQLYKHYMLYKFSTTK >Sspon.06G0020590-1P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6D:9678489:9679007:1 gene:Sspon.06G0020590-1P transcript:Sspon.06G0020590-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MESTVLSVGKSVLSAAIVYAKSTIAEEVALQLGIRRDHAFIKEELEMMQAFLLAAHEERDEHKVVMTWVKQVRDVAYDVEDCLQDFAIRLRKHSWWRMPCTPIDRHYVSMQMKELRAKLEDVSQRNMRYRLIESLAPRPPPVPGSPAYLVQQCLASMKLGGSRTKQNWISFD >Sspon.07G0009600-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7A:27277622:27278743:-1 gene:Sspon.07G0009600-1A transcript:Sspon.07G0009600-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEGATSPALEPEVVPLPDNDDIHREIFLRLPPLPSSLPRASLVCKRWRRILSDPAFLRRFRAHHRAPPLLGFFADEDGDIEFVPTLRRPDRIPGARFSLPRRGDDYLSFLGCRHGLALLVDRARSEAVVWNPVTGSQCRVPFPPEFNRRHVYYKGAVLSSSGDGHVHADCRLIPFKLVLVHHTDLHDTLASACLYQSESGKWGNISSIAIPWSRLHQPAVLVGNRVYWILLGTSDILEFDLDGQSLAMIQKPEDPRVTKNSGIQALRVEGNKLGLATLSKLSIQLWQRETNSDAAGRWVPWKTIELDKLLPLSHLIRIWPTTILGFDEDSNAFFICTSVGVYMIQLESTQFTKLFEGDSFTAYYPYTSLYTAG >Sspon.05G0020440-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:84385908:84386240:-1 gene:Sspon.05G0020440-2C transcript:Sspon.05G0020440-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ERRDLAPPPPPEQQYDGRSSSVVSDDDPAFTARLGGCHDHQEAAAPGGGGRHGHQHLPCQGPSDSDADDEDGEAERGVQRQHEGRANEQIQPQAELV >Sspon.02G0047200-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:12372401:12372949:1 gene:Sspon.02G0047200-1C transcript:Sspon.02G0047200-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GQSQGSVASSTRRRQEADLAAAEKRERAAADAAAAAARASRLAAAELAAARAEVEAAAAADAARAAAAEVEVLRGSNSSSIAADDSADADLELLEREASRGRAAQWAAVHAHERGGSPDRRRHAGGAPEGGAHGGGAPGGGAHGGGGRVDGERGLHRQRGSLSPVRYRGHHEYQAVVRDVGPG >Sspon.02G0023450-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:79903597:79906734:-1 gene:Sspon.02G0023450-1A transcript:Sspon.02G0023450-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLRLAPPAASAALPPPSPPPRHQAPRALPLPSAQAPSKKRRVSPSPLKTATPVPPPPPALATSAPAAAFLSPCALPQGDTTTEPAYVDSPTAPPPAADEKPSTPSPPAAAEEPSTPPAAARPVLAREARKMKRRVNITRNPVPKGTFAAGKAAAAAAVGELPAGKNAALDEMADEEQNVRKIEAEELPSGFNVVDVDEILLGSAQKTLLGEEAVWGGTLISKPVANTDSDACEAEELASTSIGKEEFGMSDRAERQRRMTEVFVCGLNEDMKEEDVRSVFGWAGEITEVRMAMDARTSKSKGYCFVRYCEPSQAKKVVAEFCKLKVVSTHWDEFKICWKLCQVEALDGNDKIVLENIDKKWKKEDIMKLLHKTGVENIDTVTLMADCDNPGYNCGYAFLELETERDAWMAYIKLSRKGVFGSCLNITVAWAKPMSDPDEEMQQVKSIFMEGMPDSWDNSKMTEIFSKYGVIQRTVLSRDIQSAKRSDFAFVHYTTHEAATLCLESFDREELTENGSK >Sspon.07G0021640-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:4057457:4060061:-1 gene:Sspon.07G0021640-2D transcript:Sspon.07G0021640-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSYLGNRRLNGGAERETPRAPAAFLPAASMGYEYADAAEADHLPRRVAAGEMDFFKKEKRETKDAAAAAAFVPSDEHGIKEDDLTINMGLHHVGGKKSSIRSEESTVDDGVSSDNVDHRETKAELALAKSELGRLNEENKQLKDFVSRLTLKYNAFQMQMPVYTTLLLQQQRTNNHRALLRGAPGHELMNIDPETKDQEGSGGGGHLLPRQFISSIGTAPDDPLRSMGSDAVRGGGGDSSGSTSNAEPPPPQPLDYCPGNGLKDMMPLPAFEHGHQQQHLAHEMGSSSRADEPHHLAAQQGWLSNKVHKFLPAKGPEPVPEAATMRKARVSVRARSEAPMINDGCQWRKYGQKMAKGNPCPRAYYRCTMAAGCPVRKQVQRCAEDTTVVITTYEGHHNHPLPPAAMPMASTTAAAASMLLSGSMPSADGGSLMAGSNFLARAVLPCSSNVATISASAPFPTVTLDLTQPPPGAASASSFAQQPQPAPAQARATGTEPSQLQAALADAAGRPMPLTTQLFGQKLYDPSSKAPAAQADAAGDTVSAAAVIASDPNFTAVLAAAIKSYIGGSSSNGAGGSSGTTVLPPAAAASSAGDSSRDDK >Sspon.05G0008260-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:27122170:27124137:1 gene:Sspon.05G0008260-4D transcript:Sspon.05G0008260-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIDADELASGNCRCANSHMEVKGYLCTTTTLRIRHPSGHRKGGPIRGESGDTPVAMATDHRASLLPFAAALLVLLLAGGAAADDASSDDDAGTSRTPGCSNKFQLVKVKNWVNGTEGTTVVGLSAKFGAPLPRDIHEAKKSFAVLANPVDCCSNLTSKLTSSVAIATRGECAFTEKANTAQAGGATGLLVINDNEVSRKEVEELVASWSKWQ >Sspon.01G0042020-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:27128588:27131990:-1 gene:Sspon.01G0042020-2C transcript:Sspon.01G0042020-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTDTKGKGKAEEGSGKGEELADSISSLSIGPGRTNFKKKPVIIIVIGMAGTGKTTLMHRLVCDTQGSNKRGYVVNLDPAVMTLPFGANIDIRDTVRYKDVMKEYSLGPNGGILTSLNLFATKFDEVVSVIERRADQLDYVLVDTPGQIEIFTWSASGAIITEAFASTFPTVVAYVVDTPRSTNPVTFMSNMLYACSILYKTRLPLVLTFNKVDVAKHEFAIEALTSCFIADQWMQDFEAFQTALESDKSYSATYTRSLSLVLDEFYKNLRSVGVSAISGAGVNTFFEAIEASAKEYMETYRADLDKRIAEKERLEEERRKENMEKLQKDMMKSKGQTVVLSTGLKDKNSVSDMMDDADEEEEEEALEDFGFSEDDEDEDEGEDEEGIQ >Sspon.03G0035670-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:47084375:47085871:-1 gene:Sspon.03G0035670-2D transcript:Sspon.03G0035670-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SLHHHHFFLHPCSASFELLASPLVSVSHPRSENAIAMEQDERQVPDLPEGKEKEQGGDAPVPRGVAGAGRGMARGPASSEQEGLSGAGNAGCHAAPGSESGEEEDPEAIFASVQGALTEEFEGVAPVGNAGRGIAPGTGSGRKSRNEDLYFGIRGFRPNRRAVAHAMPPSSYRRLPLRSRKQYSPTRFVFAGDAASGIANAHDPGTSEAASGPLPAASLGSTSATSRSADVSALANAGHGAKNEAVVSSTATRRSGIDVAVDKSCSDDHNAESKDVEAARASSSCHHPSRSRKQRRPDRCISDPEEDAGAAAAARAKARRGNVVLDRFLTYLVRTTPEQRPEWVRNVTADDVGVQGQHGSDVSRTESAGGPEGPPDGSARILAIVAILGASLALSLVSCVLFYIVGQRTASGLPDTNQKKV >Sspon.01G0045500-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1B:89914928:89915373:-1 gene:Sspon.01G0045500-1B transcript:Sspon.01G0045500-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPARFVNQFGGEISESIDIESPDGSVYAVKYMNKTLPQCGWTAFVDAHPIEENDSLLFQHIEKSRFKVLIIDPDGCEKVFPCDGIRISSSNIQERDTDCVDVSDRSHDHADKSSRVRKRVSSCQRGCPSQRRKAARITTTSASSSEES >Sspon.03G0020840-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:64507772:64508537:-1 gene:Sspon.03G0020840-1A transcript:Sspon.03G0020840-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding HEAPDRPEGAAGAVCGGEQGSRGLPLLPPRAARGHRGRARRQGGDGRLRRQPLRQRRQARLHLRADRRRQGRAPLPDRAVAGSEHQQLPPPSAGGAVVRAAKELLQVHLRMLHRDGLERYGLYWLRQPHAGCSHVCRANLRAAGAERLHRWSERVRAGYRDVHAHHPAQYLRREGHRRPPGEDRAARLQRGFGDSQGVAV >Sspon.04G0028780-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:68272081:68277850:-1 gene:Sspon.04G0028780-1B transcript:Sspon.04G0028780-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGGRGGKRRGPPPPTPSGAAAKRANPSPGMPQPPPPAAAAAAAEEEDMMDEDVFLDESILAEDEAALLMLQRDEALASRLTRWKRPALPADLAAGCSRTVAFQQLEIDYVIGESHKELLPNSSGSAAILRIFGVTREGHSICCQVHGFEPYFYIGCPSGMGPDDISRFHQTLEGRMKESNRSSSVPRFVKRVELVQKQTIMHYQTQQSQPFLKIVVTLPTMVASCRGILERGITIEGLGSKSFLTYESNILFALRFMIDCNIVGGNWIELPAGKYRKAARVMSYCQLELDCLYSDLVSHAAEGEYSKMAPFRILSFDIECAGRKGHFPEPTHDPVIQVELIIHDFIREVDPDIIIGYNICKFDMPYLIERAEVLKIAEFPILGRIRNSRVRVRDTTFSSRQYGVRESKDVTIEGRVQFDLLQAMQRDYKLSSYSLNSVSAHFLGEQKEDVHHSIISDLQNGNPETRRRLAVYCLKDAYLPQRLLDKLMYIYNYVEMARVTGVPISFLLSRGQSIKVLSQLLRKAKQKNLVIPNVKGQGSGQDTFEGATVSWTLAAHL >Sspon.08G0014140-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:58078470:58082576:1 gene:Sspon.08G0014140-1A transcript:Sspon.08G0014140-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQTLKSVSSNLLERFIDRAYRFSEQSSLNEGNFRPVNEIDEAVLLNNLDGEVPGDFPEGVYIRNGPNPLNPTQTVADSIFGSTSYMYYEGHGMLHAVYFNKSSLGEWKISYRNKYVNSDTFQLESKKNQVAFVPSADGQPYATLVAFLLNILRFGKAVKDSANTNIFEHAGRAFAITENHLPYEININNLNTLGLYNINGAWNQPFTSHPKKIHGSGELVIMGTNTEKPHYVLGVQYYNGLSSQIRHIQDILKKAVSELRIFIENDMNGKSRIGVMPRFGDAESITWFHVENHCSYHLFNCFEDGNERLSITWFYYPSGRHRVDKLKWYGRAFLQPDKDSEDFDPSLDGTLFSRPYEWRLNLENGSVQEGYITSEKVAMDFTVINDKFIGIKNKYGYAQVADSLATSKTGLFKFKMVAKLHFDMPNKYQKNLQENRELISVEYHTLKEKQFCSGVQFVAKQNGIDEDDGWVVTYVHDEETNISQVYIIDAMRFSEEPVAKITLPQRVPYGFHGNFFYTTTLDNAEEYSNMSNGDI >Sspon.07G0008270-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:22651507:22654530:-1 gene:Sspon.07G0008270-1A transcript:Sspon.07G0008270-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALSSALLFAALLAASQYVLRLLHSFLWVPLRLERRLRGQGIRWPPRSLLSGNAADYRDLLATARSAPLPSFCHDGVVARATPQYAVWPARYGRPFVYWFGPRPRLVVSDPELVKAAMTDSTGAFDKAGAGGNNPLARQLFGEGLSGLSGETWARHRRVISPAFNMERVKAWIPEIAAAASSVLDKWEAEGGSRTEFEIDVHKAFHTLSADVISCVAFGSSYEEGKRIFQLQEEQMMLALLAMRTVYIPGFRFVPTKKNRKRQWLNQEIQCSLRKLIEINGTKCEDSKNLLGLMLSASKAGSEYKMGIEEIIHECKTFYFAGKETTANLLTWAILLLALHQEWQVKARDEVLKVCGKYEHPNAENLSDLKIVSHYGVKRNPQAVSSSYIINRTATRDIKLGKLDIPAGTRLDFPIIAIHHDHDVWGTDAEEFNPSRFADGKSYHLGAYLPFGTGPTICVGQNLAMVEAKVALAMTLQWFAFTVSPSYAHAPMLMLTLQPQFGAQARQGRGVFTDSHSSIKSSEHKSATATATLVRTTTCLGIVTVAEGLRLSPPRAPRPWGAAAVLLPAAGRSGRGRLARARQSCGRSTSVPMALMRV >Sspon.06G0011970-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:52469655:52473337:1 gene:Sspon.06G0011970-2B transcript:Sspon.06G0011970-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MERGNGGSGRPPSPPPPAAPAERKAAWRDGAVTYFHLLFYIAISGGQIFFNKASHAAPHLPPESRSPARSPPAPGLLLPPPLRSSPAGLNPNEGSIQCAPWVLSSKEINFPYPVALTLLHMVFSSVVCFAITKVFKIIKIEEGMTTDIYISSVIPIGAMFAMTLWLGNSAYLYISVAFAQMLKAITIAGVVAYNNHKLKAKPQGNPQQGDENKVITGSTRDVIWREDILYNDMLKKSR >Sspon.01G0009200-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:94462879:94465429:1 gene:Sspon.01G0009200-2B transcript:Sspon.01G0009200-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ELRGRMRSSGRRRHRRPGWGGRRQGGDRHVRAVRVRAGGRRPIRRQRRLELRPRQAHVRALQGKHEHSEQAAEAINAEEQAAQLENELAVKERETLDVLKELEATKKIIADLKLKIQKEATETSPEEAVKSDETGQVSEAGPEEQQPENINVDVDMEGVEENHSGSVSAELEQAKASLSRTTGDLAAVRAAVELLRNSIAKEKLLLERGREKLSSNTSLVSSLEDELDQAAQKMETLKDLQRRRKDPSDIFMEIKKMTTEVQQLRSMANDSKSDAVVLAAEIEQAKASISTAEVRCIAARKMEDAARAAEALALAEIKALLSSESSFEGDTASDGVTISMEEYFALCSKALEADENSRKKIEDAMLQVDIANNSESESVKRLEDAKVEVEECKKALQEALKRVEAANHGKLAVEEILRRWKSESGHEKRSLGGSPKLKNAAPRRKDSHTTDMISDVSDRSHKPTLSIGQILSMKLMGPDGYDKSVWDDKTSEIPDISLGQILNRSGVLCREDMATRKRVSGKRKKFALTGLSVLLAKQSKNKKKRESF >Sspon.08G0005990-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:18973978:18975411:1 gene:Sspon.08G0005990-1A transcript:Sspon.08G0005990-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GRAS family nuclear protein, Control of tillerin [Source: Projected from Oryza sativa (Os06g0610350)] MHVLPLHPFMLLACLHHRLRPPPFLRGLAARRAAGVLLSTASPRADAADRLAYHFARALALQADVRAAAAGRVVAPGLVAAAATSSAAARPASSGAYLAFNQIAPFLRFAHLTANQAILDAVEGARRIHILDLDAAHGVQWPPLLQAIAERADPAAGPPEVRITGAGADRDTLLRTGSRLRAFARSIQLPFHFTPLLLSCAATHHHQQVASTSTTTTTSSAATSLELHPDETLAVNCVMFLHKLGGQDELAAFLKWVKAMAPAVVTVAERETIGGGFDRIDDLPQRAAIAMDHYSAVFEALEATVPPGSRERLAVEQEVLGREIDAALGASGGRWWRGLERWGAAARAAGFAARPLSAFAVSQARLLLRLHYPSEGYLVQEARGACFLGWQTRPLLSVSSWQ >Sspon.08G0017790-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:2936735:2940708:1 gene:Sspon.08G0017790-1B transcript:Sspon.08G0017790-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRQELCRNFQRGRYGVFSSPLRCRGMPLLPLLFQFSRFARSGCPYLEFGCKYGAQCRFVHASSQQQQQAKPNPFGDPSAPTKQQEAAQTPPAAHTSCTDPESCRHQIAEDFKNETPLWKLTCYAHLRSGPCDITGDISFEELRAKAYEEGRQGHPLQSIVEGERNLQNAKLMEFNNFLNTPRVSVSQTPNFPTVTSFPEVKTNSSFGVSQTNGPPVFSSFSQVGAANNIGPGSRTAPGVSTNSIFGQSSQPNHSAFPAPTFGRSDMKFGVSGSFGSQTSQQPSGSLQGSSMSSFGNFPKSHAGYQQPPASSSHHRDIDRQSQDLLSGIVAPTSAINQAPVEDNKNENQDDSIWLKEKWSIGEIPLGEPPQRHISHLRSHNGI >Sspon.03G0047330-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3D:58003933:58005046:1 gene:Sspon.03G0047330-1D transcript:Sspon.03G0047330-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAIFLLRQLMERYREQKKDLHTVFIDLEKAYNKVPRNFMWRALKKHKVPTKYVTLVKDMYRDVDCIRGSALSPYLFALVMDEVTRDIQSDIPWCMLFADDVVLVDNSRTWVNRKLELWRHTLESKGFRLSRTKTEYMRCDFSATRHEEGGVSLDGQVVAKKDTFRYLGSMLQKDGDIDEDVRHRISAGWLKWRQAFGVLCDKSVPQKLKGKFYRTAIRPAMLYGAECWPTKRRHVQQLSVAEMRILRWCCGHTRSDRVRNDDIRDRVGVAPIEKKLIQHRLRWFGHVQRRPPEAPVHSGVLKRADNVKSGRGRPKLTWDESVKRDLKEWNISKDLAMDRNTWRLAINVPES >Sspon.08G0001300-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:1051014:1054501:-1 gene:Sspon.08G0001300-3C transcript:Sspon.08G0001300-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEEAVSDNTKGLALAVASSTLIGASFILKKIGLLRAAKCGARAGGGGYTYLSEPLWWAGMTTMLLGEVANFIAYIFAPAVLVTPLGALSIIVSSVLAHFVLKERLEKLGYLDVYRSVVVVMHAPEEHMPNSVKEIWNLATQPGFLAYALTTLLLVGTLVLFFEPRYGQTNILIYLGICSSMGSLTVVSIKAIGVAIKLTLDGVNQAAYPYTWLFLMVAIVCGVSQINYLNKDWAGQSFSSIASELCGLITILSGTILLHTAEEGANNSAALLPWPLDKGSISWCISLSSDNLLKNVEEDYFAALQSSSAPV >Sspon.04G0016660-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:61209103:61215890:-1 gene:Sspon.04G0016660-1T transcript:Sspon.04G0016660-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGNDNWINSYLDAILDAGKAAIGGDRPSLLLRERGHFSPARYFVEEVITGYDETDLYKTWLRVRPLPMRPPPIPPPIFRCCCCDSVTDLGVPCLQANAMRSPQERNTRLENMTWRIWNLARKKKEFEKEEACRLSKRQPETEKTRADATADMSEDLFEGEKGEDAGDPSVAYGDSTTGSSPKTSSIDKLYIVLISLHGLVRGENMELGRDSDTGGQVKYVVELAKALSSSPGVYRVDLLTRQILAPNFDRSYGEPAELLVSTSGKNSKQEKGENSGAYIIRIPFGPKDKYLAKEHLWPFIQEFVDGALSHIVRMSKAIGEETGRGHPVWPSVIHGHYASAGIAAALLSGALNLPMAFTGHFLGKDKLEGLLKQGRQTREQINMTYKIMCRIEAEELSLDASEIVIASTRQEIEEQWNLYDGFEVILARKLRARVKRGANCYGRFMPRMVIIPPGVEFGHIIHDFDMDGEEENPSPASEDPPIWSQIMRFFTNPRKPMILAVARPYPEKNITTLVKAFGECRPLRELANLTLIMGNREAISKMHNMSAAVLTSVLTLIDEYDLYGQVAYPKHHKHSEVPDIYRLAARTKAAMNGLPIIATKNGAPVEINQVLNNGLLVDPHDQNAIADALYKLLSDKQLWSRCRENGLTNIHQFSWPEHCKNYLSRILTLGPRSPAIGNREERSNTPISGRRQIIVISVDSVNKEDLVRIIRNAIEVIHTQNMSGSAGFVLSTSLTISEIHSLLLSGGMLPTDFDAFICNSGSNIYYPSYSGETPNNSKITFALDQNHQSHIEYRWGGEGLRKYLVKWATSVVERKGRTERQIIFEDPEHSSAYCLAFRVVNPNHLPPLKELRKLMRIQSLRCNALYNHSATRLSVVPIHASRSQALRYLCIRWGIEVPNVAVLVGESGDSDYEELLGGLHRTVILKGEFNTPANRIHTVRRYPLQDVVPLDSSNITGVEGYTTDDLKSALQQMGILAQ >Sspon.05G0021540-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:90468723:90470912:-1 gene:Sspon.05G0021540-1A transcript:Sspon.05G0021540-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGAALVRSVAAKMARAPPRVPPCALDRHGRLPTSPGRLLLSRFSTSTGGSMPPPPANLRGPQTYNKKVPSGSEYLYSFVAGVNDAAPKAAFGLVIGGSAFIYFYVIPAMDRLNAQMDAACDQMHALNKEMQQRNKRLHAALNSMGSQIEARDEVGEFSKGQRIQASGQVKEHL >Sspon.06G0028040-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6C:1621715:1626084:1 gene:Sspon.06G0028040-1C transcript:Sspon.06G0028040-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRQRKTPGRAPARGLPKSKRTDELAAMSDSDNDEIDAFHKQRDMIPFDTDDVRESEDDDVEQPVFDLEGVSDSESDDSKGEESGDMAEADYEEWDKGYISKLKRAQRAVKQIAGGDDSMDEQEDETKENVWGRGKNAYYDAGEQSGDDEVDYEEAQRIQKNKEKKLSMKDFGLDDGESDEENNATKVSNHETKMKEDFAVLSGDDKMDVLYSSAPELVSLLSELKDAHEELRAIGQLTSELEDFNLHVCKNSET >Sspon.03G0000070-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3A:222348:227782:1 gene:Sspon.03G0000070-1A transcript:Sspon.03G0000070-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MERKTGYCAMVWRRCRPTERRRDGEGSAVESVVAEARYGAWSRRAIAARRWGQLPPAADGATATSHLTLMARRANKALHSLSSGGVCGEVVLCSVSVPCLAFSSVASLQLGAVRERGRGGSRGANEEQTDQEREVCETEIEWVTDVGAMGQEGCKR >Sspon.04G0008250-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:24151256:24153428:-1 gene:Sspon.04G0008250-3C transcript:Sspon.04G0008250-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNYLKSPLISLLLFCAATTVAYPACSEILSHKVSKHFVSYSPQPRDFPNEQLYRAYFVIQRFKNTITGDPKNITATWTGHDLCGKTSYVGFYCGEPPGRDNKLTVTAVILNGYNLHAPRLHGFVDQLPDLALFHAASNNFGGDVPRLAGLGYMYDISVSNDHPIHTLIDKYGAQGGGGINVVSQCVTATINFNFHLGIPGSKEGTHSTGATEAKALLLNHNNLSGPLPSNIGFSKLSYLALANNKLTGPIPTSISHLQDSLFEVLLLNNQLSGCLPHELGMLTKATVIDAGMNQLTGPIPSSFSCLSSVEQLNLAGNRLYGEVPDALCKLAGPAGRLNNLTLSGNYFASVGPACTAMIKEGVLDVKNNCIPGLNNQRRPAECAAFLSQPKTCPAASTQVTCPAAAAMDAPAPGERKVRDYSSYVTKVVPVGYVPHFHSERLNEMTPQILHSKVARFILVPSQHQGMQLNWPVSNPTPL >Sspon.04G0018850-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4A:67396773:67398793:-1 gene:Sspon.04G0018850-1A transcript:Sspon.04G0018850-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPFEALYGRKCRTPLNWIETGERRFYGIDFVEEAEKKLGRRPTFGPLHEPAWPTSALACSTAPLPRATPDRGRGRPPRGRWR >Sspon.06G0014060-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:89300009:89302578:-1 gene:Sspon.06G0014060-2C transcript:Sspon.06G0014060-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDSENNAPSTPGSPGFSTDRLPPNTTSRGATDPSSYSDDDGEAEVDPHVLPDDDDPAAAVAPDEDEEGEDLFNDNYLDDYRRMDEHDQYESVGLDDSLEDERNLDEIMADRRAAEAELDARDVRTGAAADRKLPRMLHDQDTDEDMNFRRPKRHRASFRPPSGPRTPRSDDDGDGATPSSPGRSQRGMYSGGDVPMTDQTDDDPYEDEFDEEDEMNMYRVQGTLREWVTRDEVRRFIAKKFKEFLLTYVNPKNDQGEIVCSAY >Sspon.01G0000130-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:742821:747692:-1 gene:Sspon.01G0000130-1A transcript:Sspon.01G0000130-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGETGAELHLAAYEALAYVLAALSTACSSQFLDFVEAKQTDQTCEFSLDILVTTFLDNINHLLTDGTLTRSRRAVLMTWKWLCIDSLLSISGCYSDNKSQVKRLEPLFSDSTLRRIFLDVIESLENAGENSVLSILRCVRSVLRLLHLNMGNRKFTSLGISYELPFSIFFSVQMMMQLAKSSWILHLSCNKRRVAPIAALLSAILHPAIFSNLEMHQTNEKGPGPLKWLYGYRTEICKSGNLEFNLLIQATADEGALWHAAGAKELQGLFVENLLNEGSKSPRTIRLAALHLSGLWLMYPKTLRFYMEELKLLSLYGSVAFDEDFEAELSENHEARFEVSMLAQSPDREFTEMNGKFDHFTFITIGNVFINTELYARVSVAVLFHQLWKQIKEKSILETEEALECGKLFLLELLDSAVNNKDLSKELYKKYSSVHRRKVRVWQMICVLSHYVEDDIIGEVTSSIHICLYRNNLPAVRQYLETCAILLYLKFPTLVRSPFMSQL >Sspon.04G0006070-4P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:16795648:16800198:-1 gene:Sspon.04G0006070-4P transcript:Sspon.04G0006070-4P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNACGGSLRSKYLHSFKHAASQRHDSDYSATSAVAADDSPRKQPTPPATTDAHAPAPTAPAAAAAAMRRGGAGATPDLGSVLGHPTPNLRDLYALGRKLGQGQFGTTYLCTELATGVDYACKSISKRKLITKEDVDDVRREIQIMHHLSGHKNVVAIKGAYEDQVYVHIVMELCAGGELFDRIIQRGHYSERKAAELTRIIVGVVEACHSLGVMHRDLKPENFLLANKDDDLSLKAIDFGLSVFFKPGQVFTDVVGSPYYVAPEVLLKSYGPAADVWTAGVILYILLSGVPPFWAETQQGIFDAVLKGVIDFDSDPWPVISDSAKDLIRRMLNPRSAERLTAHEVLCHPWIRDHGVAPDRPLDPAVLSRIKQFSAMNKLKKMALRVIAESLSEEEIAGNVPTMDTDNSGAITYDELKEGLRKYGSTLKDTEIRDLMEAADVDNSGTIDYIEFIAATLHLNKLEREEHLVAAFSYFDKDGSGYITVDELQQACKEHNMPDAFLDDVINEADQDNDGRIDYGEFVAMMTKGNMGVGRRTMRNSLNISMRDAP >Sspon.04G0016220-3D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4D:68779390:68780815:1 gene:Sspon.04G0016220-3D transcript:Sspon.04G0016220-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLFLPQIQNDPMYDFSSFDMVDLDPYPYSCNGSVTTTIISSVSAAAADNHQERRGHDERKKRRLASNRESARRSRVRKQRRLDELSLQVAELLGTNHRLLVELNHVIAKHAAVARENAKLREEADGLQRRLSEMEAGVGEAEAEAGTPEGASAPWSRAPKFTVQLPLPRARGNGCADAAAGEHWWRHRPGKPLFTSDD >Sspon.01G0042030-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:27163834:27164490:-1 gene:Sspon.01G0042030-2C transcript:Sspon.01G0042030-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTSSSTPAVEERGRKRKRAAGAAEPAAPAQPSKWRTRREHEIYSSKLLEAIRLVRAGPSSSAAAGATAPAPPRGRAVREAADRALAVAARGRTHWSRAILASRRRRLQAAHRARLRAPASPSSRHDAATASASAASAAAKGTATPPLARKAKVLGRLVPGCRKLSFPTLLAETTDYIAALQMQVRAMTALAEALSAVSATTSSSSSVAGSSSSSPP >Sspon.07G0033330-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7C:59659963:59660550:1 gene:Sspon.07G0033330-1C transcript:Sspon.07G0033330-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGLVGLPQHGGSGRPGEGLGPRGSKSPTTFGVIATVEGGFLGPAGGSGRPGEGLGPRGNKSPTTFGVIATVEGGFLGPVGGSGRPGEGLGPRGSKSPTTFGVIATMEGGFLGPTGGIFHDGGVATMGGRWLGPEGGTLLRGSKSPTTLGGIAEYGCASTIVVKSVVSVSATTTTIGDVVAPAILFLLLAMASL >Sspon.06G0022720-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:46260071:46263416:-1 gene:Sspon.06G0022720-1B transcript:Sspon.06G0022720-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MACRGFFECLLKLLNLVVMAVGLAMVGYGAYLLVMWLQVAPLPPPAPPSPSPAAVPPGGDLVRLGRPLLLLVDASLSDADGTVERLSSAWFIFAFIGVGAILFITSIFGCAGARNGCCLSIYSFLIILFILVELGAGGFIFFNHSWKEVIPVDKTGNFDMMYSFLKENWRIAKWVALGAVVFEALLFTVAIIVQSGNQADYDSDDEYIGARSGIRQPLVNQQAAAADPRVPNLDYRPIRNDAWSQRMREKYGVDSFDPNRFQQATISPGEQRNRCTIL >Sspon.05G0037350-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:11945813:11952983:-1 gene:Sspon.05G0037350-1D transcript:Sspon.05G0037350-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFYASTSTLHPAVPTSTLQFLSRVQIPIKGATFQACCDAGALSPVVLLREQQMHSLTHEPRTSNDKPDSLKVKRDGLCNMTASKQSPNAGATSLLWRKLTSCQRRACTDSGKNIRYISLLELALVCWSIILLLAKEHVTT >Sspon.02G0031550-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:105496670:105498703:1 gene:Sspon.02G0031550-3C transcript:Sspon.02G0031550-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Origin recognition complex subunit 3, Lateral root developmen [Source: Projected from Oryza sativa (Os10g0402200)] MSAPPCDTLPTAANNIQPFLVLHKAAASSVPSSRAKRQIQTSQPSSPNPKSVNRRRQPAGEECEDERDLEIYEQLRVEAFHRTWSKIQSTIDEVLRAINLKLFDQVLQWAKESFASVRAIAKLHHTEVQQPYPLLTDVICRRIPTAFILTKNAEFVDDITTFHDLAGHMKSIGCHVVKLSAAELSAKHGVGGCFRSLLRQLLSDVPDVADVSALASWYCEAENFDHPIIVIIDDLEQCSGDVLGELVMMLSEWVFKIPVFFVMGIATTLDAPKKLLSSEALQRLEPCKLTLGSPSDRLNALVEAVLVKPCAGFCISHEVALFLRNYFFRHDGTITSFITALRLACSKHFSMEPLSFLCIGMLEEDSEEFWHDKFESLPQAIRKYAFDLPSSKKANNSNNSCNMVEGLSRLLKLQKDWSSVLLCLYEAGRNDKVQLLDIFCEAVNPDLRTGNAPDSYLFASKETCENLLGVKSGSAKGFIAQVMNTIRYLPTEILLHVLEVWSIHLKGMSEINDRVKELQSTTIGADSVRAKRRSTANTGNGTVLLNEKAAALLQDVIRKYLVPVECLPFHEIICFKNVDILQSALIGNPRRMIQLDLLKSQSHLKCSCCSRSGAAVSGSLHDTSIMCNLAQEYGDVINLHDWYTAFEGIIKSTNSKAKRKSYSSPRRRNQNPHLRRVK >Sspon.04G0019840-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4A:70128141:70132099:1 gene:Sspon.04G0019840-1A transcript:Sspon.04G0019840-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RERDGESQSSLPIPTAAPPPPRPPRSRRDSTRPRLRSQGHPTHLLRQLPLFLPLPELLRGGEAVAPEPASSSPSPTLLPAAMEAYKLWVRRNRDLVRSLESLANVIPPIPLPALLPLRYNHFLLSVGPGLTWILPERFANSEIAPEAVYALLGVVSSVNQHIIDAPTENHSFASKEQSIPWGLVVSVLKDVEAVVEVAAQHFVGDDRKWSFLAVTEAVKAGVRLAAFRESGYKMLLQGGEVANEEEVTVLENNYGVNGNGVPAIYPMDGHAGNGHKATTKGLDGKNGFVSKSLEKRAVAALNKFGENAKMMSDPMWMRRLQPTPEPTGKLIELLEGVQTRYTYTSGS >Sspon.02G0017080-3D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2D:43603487:43603803:-1 gene:Sspon.02G0017080-3D transcript:Sspon.02G0017080-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VQKRVQIRMEALQKYIDSILASACKMVTEQFASSGFSISDPDLPEISPGGVMCGPTDTLSSSVFNQLSVSSIDSHRPGGKPSPSGMEGPPLLLQKSPELKRRSS >Sspon.08G0009810-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:42902170:42903523:-1 gene:Sspon.08G0009810-1A transcript:Sspon.08G0009810-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLEGTSVTDEYAEISIPACGIVHKWRLVEESGRPLPKFGEWDVNDPASADGFTVIFNKARDEKKGGNGQDTDSPSKDTRTERVESYAAKPST >Sspon.07G0004440-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:11154137:11165312:-1 gene:Sspon.07G0004440-1A transcript:Sspon.07G0004440-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MISPLRLRVHCPTPARLSRPPPPPPPPPAHAQAKGTRRRCRASLSVPAAAQMGSSSEPLSRGLYAQVEPYDSGFLKVSDVHTIYYEQSGNPQGHPVVFLHGGPGAGTSPGNRRFFDPEFYRIVLFDQRGAGRSTPHACLEQNTTWDLVFGGSWGSTLALAYSQEHPDKVTGLVLRGIFMLRKKELDWFYEGGAAAIFPDAWEPFRDFIPEDERNWRGGLLGSVQAKGTRRRCHASLSVPAAAQMGSSLEPLSRGLYAQVEPYDSGFLKVSDVHTIYYEQSGNPQGHPVVFLHGGPGAGTSPGNRRFFDPEFYRIVLFDQRGAGRSTPHACLEHNTTWDLVADIEKLREHLDIPEWQAIIFFFFNNIDYSLFSAGTQCCRTITLALAYSQEHPDKVTGLVLRGIFMLRKKELDWFYEGGAAAIFPDAWEPFRDFIPEDERNCFIDAYNKRLTSSDPIVQAFARIENHYFVNKGFLPSDSFLLDNVDKIRHIKAFTGRYDVCCPMMSAWDLHKAWPEAEFKVVPDAGHSANEVGIAAELRSATEKLRDLLRK >Sspon.07G0029050-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:73779138:73783001:-1 gene:Sspon.07G0029050-3D transcript:Sspon.07G0029050-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGATIAIEIDGEAICLGSVEDNEQEAEENGEMQQIIYTAENGEQEHSWLTDLYQQRHKWCTALHKDVFDGGIESMDRNNEGSWHKTESLEDIQCNQASPECTVKHNRILQHAAEVYTHKIYKSLETDFLDGSGATSYQEVQCNETLYKAMWFVYDLLTKSKSHHNTRKLILDVLENGEKSLENMCDLKRLHMNPLGKEKDGSRVEKRKKKSTKQEKHSRNVKQVVLPQPADPVFVDPPNQDQYFSAEDIASNSSVGRPYFYQGYPATVVSTSQIQGHTNMHSEPQCASQLMAQFSHHRNLVMEEISERFPLQPAHGTTRLHLDIPIFDKIYDLK >Sspon.05G0001000-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:2814372:2825314:1 gene:Sspon.05G0001000-2D transcript:Sspon.05G0001000-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPQLQGCNWVSSWPRLQLQQMPPWPPRQRVLRLAMPRRPCAGAVRAVASAGPALGTDLLAVEEEAEDVDERERLRRTRISLANKGNTPWNKGRKHSPETLQRIRERTRIAMQDPKIRKKLMNLGHAQSEETKVKISEGVRRGWSLRLQRLMVQDGCFVEWRDMVADAARKGFAGGVSLEWNSYKILSEQMRHEWLKNLQKRQSMPRPRGNRRAPKTPEQRRKIAEAIAAKWLDQEYRERVCSGIASYHGSSSVTKTPRKPRPVGEPGVKKQTTKKKPMQAKPVSLEDAHGKSAAVKRKKSATPYKDPMAVEKLEMISKIRAQRTAQEIEKKEAIRRARTLIAEAEKAADALETAAAMNPFAQASLMEARKLVTEAKVSLECVDDEGPQENASNDSSGNSALLNLHDQGLETQNGSNLLKQESKPVNGMEFPPSNVNGLGFHFDVSELSGTKQLYQRIENSMERAFLLPSASSKLKSANGDFGIIDLHVRQSMVNDTAKHNGTAAESTETCPPGTLELEGDPPRSAEKAEIREDCPRGKLEEDTPTSEEKAKMRWVRGRLVK >Sspon.04G0025590-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:28150679:28151355:1 gene:Sspon.04G0025590-1B transcript:Sspon.04G0025590-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding WERARLSRVTSRSRARRRWSRRTPRPHWRPPTRRRRPTCPRWPPPRSTRPMSAAGGPSTSCSNRTRRPAMGRPTTKRQRRSWLRRSQRVLPLSGKRWDHRRHQSRPRSQPSTTTRPKNPRVMIFPVATRMRRKKRSGWILNLSRLLLLLVPQVLRKARSLMTMMPLPE >Sspon.04G0035280-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:6372918:6384903:-1 gene:Sspon.04G0035280-1D transcript:Sspon.04G0035280-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein TONSOKU [Source:Projected from Arabidopsis thaliana (AT3G18730) UniProtKB/Swiss-Prot;Acc:Q6Q4D0] MARGGGGRSKKEEEEELRGAKRGYDEAVAEGNREEEARWANVIGDIHKRRGEYVEALRWLRMDYDVSDKHLPQRHLLPSCQSLGEVHLRLGHFSEALKYQKKHLQLAQDSDDLVEQQRATTQLGRTYHEILLRSESDHNAIRNAKKYFKSSMKLARTLKEKSPSQKSDFLKELIDAYNNMGMLELELDNFEQAEKLLIQGLKICDDEEVDSYDDARTRLHHNLGNVYTELQNWEKAGGHIRKDIQICKKIGHLQGEAKGYINLGELHYRVQDYDCAKRCYNKALEVAKSLEDEDALIKQILQNIETVTKAVEVFEQLKEDEQKLKKLVRDTSNARGTSKERKLLLDQNAWLDNLIEKASMICAWKKHKEFSKGKKRVAKELCDQEKLSDSLLSIGESYQKLRNFSKARKWYMRSWDKYRSIGNLEGQALAKVNIGNVLDSCADWPGALQAYEEGYRIALEGDLPNVQLSALENMHYSYMVRFDNIEEAKKMQEKIDNLKQRLNQCEARDTISDYCSETDTEGGCASDNMLDAENDYGQAANNYSEEPDDDVTLASLVHRSGGSSKIKAPKIRSSSKKVDELCDVAEDIRSSSKKVDELGDMAEGTRTVLSRSRADHSVGRKRLRVILSDDESDENPEIVQFKRTSTSPADSMSISGIGLGASSVGKGSASKSAASGSKFSTPGSNSLHESQNVVGLQSTDADNNFWAFRIGGHLVYLDGRACVHEGAFSIDSLKVEVACVYYLQISDEKRAKGLLPIIGELRFCGKVLEDAASIYYDDQLASEQKCVDVVIDDWVPKRLMKLYVDFCMKSSEAPNKKLLTKLYNLEVSEDEIIVSDCGLQDMSIASFLDALRSHKTIAVLDLSHNLLALYSLNVEQCSITSRTVQKMTDALHEESVLSHLSLGKNNPISSNTMLNLLSKLASLTRFSELSLTGIKLNKLMVDKLCLLAQSSCLSGLLLGATSIGPVETIRLTEALSCTSQELLRLELSNCGLTTPDFTQICTNLSRINILELNLGGNPINLEGCDAILGMLVNPQCSIRSLTLDKCNLGLAGIVRIIQSLSENSQLEELRISKNTNLESERTIKYDEDMQEVSTAEQKQCNNPETKNDIAPGDIDFANMQVPDSEDEADNDAHHAISGPHRSCASSSQKNSYSCQIIEELAEALISAKQLKVLDLSCNGLSEEAIRSLYSAWASVPRGDGMARKHVNKEVVHFSVDGMRCCGLKPCCRRDLQM >Sspon.03G0002860-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:7169471:7176542:-1 gene:Sspon.03G0002860-1P transcript:Sspon.03G0002860-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPSPMAARAAGGCSSAALAFFRLHPLRRAVRPAAAFAGGCSSGLHGGCRSRMAHTIVDSVMDELRSRRRIRVSAKIGLQSTKELPDNKIDKRTLQKGSLLEFQKDSQRSLLAVVERPDGKKNWMVTDQNGILSSIKPQQVTYVVPGIMNFDYSRIDEFLEKTQDLLDPTVLECAWMELSEKDKSITVEEFAEIVYGTKESLESYCAHLLLSRDVVYFVKVESRDYSMYQPRSPAQILKAMGFTRTSSAALKLLINVGYFPVHVNLDLFRYDVRIRYTDEVLSAAEELLVDSPDADMVYIAEYTLENSVIKPTYMLTYESATELLYMNLEEEEELRILQEAASIRAQWRHSQGSIDTAMIEPRIKVSNPDDTEPNINLYVEDQANPAMQLVSEMMILCGEAVAAFGSDNNLPLPYRGHPSPTQQCQCSLIYLRGLQGALPISVCSVLQKWIFKNLYHMVYLVFLVTCSSPLLFEDMLIC >Sspon.08G0004120-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8A:11990376:11991358:-1 gene:Sspon.08G0004120-1A transcript:Sspon.08G0004120-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHTSVNLTEAARSEHLFKINGFTATKQTPRSFSPSRKCAVGGHDWQIQFCANRSAGPPNQPSDGGAGWVMFRLRLMSKAAGGGVAASFACRLVDPNQSGPGDSPDQISSASFHIYDFHDVYLVRRSKLEGWQCRYLKDDSILVQCAITVLLGEPKNAVASDAGPPPSVPSSDLHTQFGELLRSQKGADDVTFHVSGESLYGHTKEASASAPCVEVKDMEAEVFRAMFRFIYTDTATELERGGWHATAMAQHLLEAADRYGLERLKRMCEDKVSTDISVGNVATTLALAEQHGCAKLKANCIEFILA >Sspon.02G0031410-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:114977271:114979885:1 gene:Sspon.02G0031410-1A transcript:Sspon.02G0031410-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEALVLLMLIIFPVKAASLSATPSEPALSLPGCPDKCGNVTIPYPFGIGDGCAAISLNPSFAVICNSTFQPPRPMIYAPASADSNTSTPREVIDISLEHGEVSIYAPVGYSCFEPNTNTLDNYTGEFSLEGTPLILSSTRNRFMAIGCSALGLIGSSNPDLSVAGCFSYCEGINQTSDGAPCSGKGCCETAISPNLTAFQTAVANVTLLLSFNPCIYAMLVEVGWYSFRRQDLVGHLGFISKRATRGVPVLSDWAIRNGSCPKEGAMAPQDYACISINSYCMNASNGPGYLCSCSKGYKGNPYLREGCQVMKLQRRKHRKEKDEYFKQNGGLRLYDEMRSRQVDTILILTETQIKQATENYSDDRVLGCGGHGTVYRGILDDGKEVAIKKSKVIDDDCREEFVNEIIILSQINHRNIVKLLGCCLEVDVPMLVYEFISSGTLFEFLHENDQRLSAPLDLRLKIATQSAEALAYIHSSTSRTILHGDVKSLNILLDNEYNAKVSDFGASALKPMDKNDFIMLIQGTLGYLDPETFVSHHLTDKSDVYSFGVVLLELITRKRAIYIDNHNEKKSLSHTFILRFHQNELRDILDSEIVDNEVMVVLEKLADLIMQCLSPRGDERPTMKEVAERLQMLRRLQMQIDTKTNPIRAHYSYGGPATTVTSDTETAKLVLDVDLAR >Sspon.01G0047680-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:104959716:104960086:-1 gene:Sspon.01G0047680-1B transcript:Sspon.01G0047680-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RSREHSKDHNGRSSRDRDSERRDKVESRDGRRDYDRDRDRRHDRDRRHDRDRDRDYDRSRGHDSRRRERSRSRERSRRHERY >Sspon.02G0052630-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2C:95311617:95312294:1 gene:Sspon.02G0052630-1C transcript:Sspon.02G0052630-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MIDVQWANDDVQRTNDGGGGIVHARCQASGETAGARRARWSAGVPVEAVGSVEAREDFDRRWGRRRWRRGRRDIVSPVGSSSVGSAAARARPGASGMGGSECGGAWATGVGGAASRAVREARGTRRGAQRRWPREAREAAVTARGAGSGMAGLASGARAGDQRQRHNVGGRARGDGRGVGAARAAAGEEATAAVARGRRRGRGSAQALAHIYKAPLLMVRVTNRE >Sspon.02G0003040-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:10048354:10051005:-1 gene:Sspon.02G0003040-1A transcript:Sspon.02G0003040-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPRDRAHSAVAATTAPAEKLVQGPIQNNKCEKKAPKKVHKSEREKRKRDKQNDLFGELGNMLEPDRQNNGKACILSDTTRMLKDLLSQVESLRKENNTLKNESHYVALERNELLDETNVIRGEISELQNELRMRLEGNRIWSHDTSRSNLTAPHPTTTVFTLQHSAHPQVIATMALPLQQPAVVEQSYAAPRRELQLFPEAAPTEDTEPPQNQGVSNNVMRPQARYPPVVTTLPVHVYPVLPRMEDEQCSSGTTGSREEGGQVWIHQQDTIMELQPGSFTTDIFCASESLS >Sspon.01G0017670-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:14418415:14421292:1 gene:Sspon.01G0017670-1P transcript:Sspon.01G0017670-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MIAECQGGGGGDFLIKLFGKTIPVPESGDAKDLQQSSSSSWTEQDQDAHALENARGHAPAQPDSSELSPQPEVVDAEDPKSSPETHQKPGDAASQREKLKKPDKVLPCPRCNSMDTKFCYFNNYNVNQPRHFCKNCQRYWTAGGAMRNVPVGAGRRKNKNAIAAASHFLHRVRACGGGDTLKTTNGTVLSFGHGGGAPPGPACLDLAEQLSHHLAPVIRNAGNPGPCSEGSSNRDDHKTINDRSSVDEAAVNADVDGSVQHPASMNNGATVWPPYSCAPSPAAYFSSGIAIPIYPAAPGYWGCMVPGAWSLPWPVQQPLSQSQVPGLSSSSPTATSAPSVSSSGAADSHTLWLGKHPRDREGDDGRNGNAHGGNAKVWAPKTIRIDEVDEVARSSIWSLIGIKTDNKQQDADAGGGHKQLGTVFEPKREATKKQAMMTSSPLLHANPVALTRSVAFQEGS >Sspon.03G0039220-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:12056692:12059997:1 gene:Sspon.03G0039220-1C transcript:Sspon.03G0039220-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKCSAVRRKKGTDSARNQPTTDPRRSSDPDGKPDSSCMNSHGTGWRTPKRRRTTTKSHSRLLDLNCPPAEGAGEGALPFNSMPVLHSEASSSMPVQHIQASSSMPPATDEPRIGMHSCPIDVEAIDDDVVIYSSISLPRARQQSTRTAVVIIDDDSETNPEPAGDALDEHVNTLLSLGINRRHEPLRATNAHPVISIVDTPEGNTFKAPPEPVKEVPKEPKFSCPICMNELTEAASTVCGHIFCQKCIKAAIQAQKKCPTCRRRLAPTQQHRVYLPLTE >Sspon.02G0014260-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2A:37927511:37927780:-1 gene:Sspon.02G0014260-1A transcript:Sspon.02G0014260-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MANPLPRTACFQPIAPVALPQVALRPAHPGQGSAASPTRLTYPCRRGAPSPGSVAQGQLVTALSPLTTTVAKLVLQFFMGTTICTPDSV >Sspon.05G0006660-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:14672695:14677329:1 gene:Sspon.05G0006660-2B transcript:Sspon.05G0006660-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable polyol transporter 4 [Source:Projected from Arabidopsis thaliana (AT2G20780) UniProtKB/Swiss-Prot;Acc:Q0WUU6] MESVKMRTAMATKREYRRMEVGEEGEEVDVAEWAHRAEAQRQRRRRGQRYAFSCALFASLNAILLGYAANGGRNKYAVLDRSEETEPDAGTEGRRRPSAPESERRRRERFVYACAVFASLNAILLGYDVGVMSGAIIYMQKDLHITEFQQEILVGCLSVVSLLGSLSGGRTSDAIGRKWTMGLGAIVFQIGAAIMTFAPSFTVLMIGRLLAGVGIGFGAMVSGVYIAEISPAGARGTLTSLPEICINIGILLGYVSNYAFSGLSEHINWRIMLGVGILPSVFIGFALFVIPESPRWLMMEKRVSEARAVLLQISESEAEVEERLAEIEEAAGLMKSMKSEDKEVWRELLNPSPAVRRMLYAGCGIQLFQQITGIDATVYYSPTIFKDAGIKSDQELLAATVAVGFTKTVFILVAIFLIDRVGRKPLLYVSTIGMTICLFLLGVALTLQKHAVGLMSPRVGIDLAIFAVCGNVAFFSIGMGPICWVLSSEVFPLRLRAQGSALGQVGGRVSSGLVSMSFLSMARAISVAGMFFVFAAISTISVLFVYFCVPETKGKTLEQIEMMFESGDEWGGGEIELEDTQHLIPSNKKSVPLG >Sspon.02G0002600-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:8483740:8485204:-1 gene:Sspon.02G0002600-1A transcript:Sspon.02G0002600-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSPNKLAVLTVFALLGSVSCQGGGYSPSPAPSAPPPPVYPPPATPPPPPVAPVPTPPPSPSPPAPTPAPPPPSPGSQLVVGYYKNKCSAYVDVEAIVKKHVNATDAGMQAGLVRLFFHDCFVRGCDASVLIDTFSNDTSLTPEKFGPPNFPSLRGFEVIDAAKAELEAACPGKVSCADIVAFAARDASYFLSGGNISFAMPAGRYDGNVSLANETLPNLPPPFGGFDLLVKMFAAKGLDVSDMVTLSGAHSIGRSHCSSFTRDRLPPSNTSDMDPAFASTLQASCASPNGTDNTVMQDAVTSDVLDNQYYKNVVAHKVLFTSDAALTTNLTSNNLVRAYADFVPFLWQNKFAKAMVKMGGVEVKTAANGEIRTNCRKVNGRP >Sspon.02G0001200-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:2628123:2628473:-1 gene:Sspon.02G0001200-1P transcript:Sspon.02G0001200-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding HGCHRVSVRRRGAAVRGRVHEAARRVVVPAPAAEGGEDGDGRAGVGVIVREGKGGGRADRGGPGGRAGGAGRRGGRAVAHAVAQELPAQHRVRRGRARRHCRRRRRRLQLRPRQEGL >Sspon.06G0026680-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:80401570:80402572:-1 gene:Sspon.06G0026680-1B transcript:Sspon.06G0026680-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAACKSRAERWNLAGATALVSRHRRPQGHRVHITCSDDLYAIYYLVYVVASHINVHGRVLVIDGRYAIVEELAGFGVRVHTCSRKAVELEECRRRWVEKGLQVTVSVCDVSVRADREDLMATVRATFGDKLDILVNNAGQSLFKQTAECTGEFYGRIMATNLQSCFHLSQLTHPLLLAGGGGGGSVVNISSIAGFIGLPRVASAPSGPPTASASTASRRGGIRTDISSDVISSKLESCNYMVKVYYPCGNVFEQKTIDPEQVKKEMARLPMGRIGEPEEVASMVAFLCMPAGSYMTGQVICIDGGRTIA >Sspon.03G0004260-1P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7C:8338244:8340826:-1 gene:Sspon.03G0004260-1P transcript:Sspon.03G0004260-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGSYANGGGAAALVAEEKLDALRRLLGKSDGDPLRVVGVGAGAWGSVFCALLQDAYGRHRDRVQVRVWRRPGRAVDRADAERLFEVINSREDVLRRLIRRCAYLKYVEARLGDRTLHADEILRDGFCLNMLDTPLCPLKVVTNLQEAVWDADIVVNGLPSTETREVFEEIGRYWKERITQPLIISLAKGIEASLDPVPRIITPTQMISNATGVPLENILYLGGPNIASEIYNKEYANARICGADKWRKPLANFLRQPHFIVWDNSDLITHEVMGGLKNVYAIGAGMVAALTNESATSKSVYFSLCTSEMIYITHLLAREPEKLAGPLLADTYVTLLKGRNAWYGQKLAKGELTLEMGDSIKGKGTIQGVSAVNAFYELLSQGSLSVMHPETKKAVAPVELCPILKTLYKILIKRELTTNSILQAIRDESMYDPRERIELAQRQSLYRPSLLGLPKGDAKA >Sspon.03G0047310-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3D:57878241:57878723:1 gene:Sspon.03G0047310-1D transcript:Sspon.03G0047310-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTEPEEPERAQNETDKAFANRKRDYAPIRAKYDLEKYKWEKSNRKCKIVIKKTITEGLRGAIPECDTAKEYLEKVKNQFTGSTKAHAYTLIQKLTNMRFTGGSVREHSLSMSTMAAKLEKLKMPLADGFIIHLALNSLLKEYETFVVNYNTQPEEWDLEK >Sspon.08G0018600-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4C:70209986:70210746:1 gene:Sspon.08G0018600-2C transcript:Sspon.08G0018600-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGRPLPWRLRALTPSTT >Sspon.04G0011990-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4B:34025930:34029128:-1 gene:Sspon.04G0011990-2B transcript:Sspon.04G0011990-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AASGGFSYLYEPLWWLGMITSFIVYSCVAVACVLFLIFRVVERSGHRLMLVYIAICSLMGSLTVISVKAVAIALKLSFSGSNQFIYVQTWFFIALDSFNTAVVSPVYYVMFTILTIVANMIMYKDWASQTATQIATQLCGFVTIVAGTFLLHKTRDMGNPPPPDQICLEEARECAPRSMNSSS >Sspon.03G0005020-3D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3D:35598173:35599299:1 gene:Sspon.03G0005020-3D transcript:Sspon.03G0005020-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLLGSENIESSQLLPPALVLPLDQTPDVLADAGTKKTVTMEDVCHPLPVAGVAEEAMGFVLPPVTENGCEGQSPHLEPQASSPAVDAATTSLEIAPRSFENSESSQLIAPCLAETIDPSTHAPAIMPMVVKSEKTSLPLSPLQATGTDMESAILRQSPSKSEERSLPQPEQHPSSPSVKNTTSSPEVAPPGYENFESLDKLLPPPPLCSKFDLAHLLEEFLDVNGANG >Sspon.02G0024950-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:80075588:80077875:1 gene:Sspon.02G0024950-3D transcript:Sspon.02G0024950-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSTVAAAVREIRRVQRADGPAADIGTATPPFCVLQDDFPDYYFRVTNKEHLTHLKDTFRKLCRITGLERRFFHHTEQMLNAHPGFLHGNGDLDARLDMVAKAAPELAASAAATAIARWGQPGTDISHLVVSTSSEARTPGTDLGLASRLGLRAGVHRTVLQLGGCSAGCAALRLAKDLAENNRGARVLVACVELTLTGFRGPRQGDSFDTLVPQAVFSDGAGAVIVGADADDGDGGERPLFEMVAASQALVPGSTHLLNVRLGAGGVSGDVSARLQSFAPQDLERCLLDAFAPLGIGTGIGGGGWNDLFWAVHPGSRGILDHIDAALRLEPGKLAASRTVLREYGNMMSATVIFVLDELRRRMDEEGEEAAAEWGIMVGFGPGFTVET >Sspon.07G0003120-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:7351350:7354038:-1 gene:Sspon.07G0003120-3D transcript:Sspon.07G0003120-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to Lysine decarboxylase-like protein [Source: Projected from Oryza sativa (Os05g0541200)] MGDGAAAVSSRFRTICVFCGSNAGRRKVYADAALELGHELVRRGINLVYGGGSLGLMGVIARTVRDGGCHVLGYPAALAASTFFCVVIPKALMPIEISGESVGDVKVVDDMHQRKAEMARQSEAFIALPGGYGTMEELLEMITWCQLGIHDKPVGLLNVDGYYNPLLALFEKGAAEGFINPDCSQIFVSAPTASELLTKMEQYTRLHLEVAPATSWEVSELGYGRGGDAPSEEEEGS >Sspon.05G0028450-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:67779678:67793575:-1 gene:Sspon.05G0028450-1B transcript:Sspon.05G0028450-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AEAVLLAVTKIGSVLGDEVAKAIINKLSEKVHDLKELPRKVEQIRKKLTIMSKVIQQIGTVYLTDELVKSWIGEVRKVAYRVEDVVDKYSYHLLQLEEEGFLKKYFVKGTHYVRVFSEIVDEVAEIEGEIQQVIELKDQWLQPSQLVPHHDQLAEIERQRSQDSFPEFVKDEDLVGIEENRKLLTGWIYSEEQASTVITVSGMGGLGKSTLVTNIYEREKVNFPVHAWIVVSQIYTVESLLRKLLWKIGHMEPPMPRDIDKMDVHDLKEEIKRKLQNRKCLIVLDDVWEQEVYFKIHDVFQTLQESHIIITTRKDHVGAIASFGHHLELQPLCGPDAFELFCRRAFHSKKDHKCPEELQEIAGEIVKRCQGLPLAVVTIGSLLSSRPQINIWNQTYNQLRSELSTNDHVRAILNLSYHDLSGDLRNCFLYCSLFPEDYPMSRETLVRLWVAEGFVLSKEKNTPEEVAEGNLMELIHRNMLEVVDYDELGRVSTCKMHDIMRDLALCVAKEEKFGSANEYGELIQVDKNVRRLSLCGWNVNAAPKVKFPCLRTLVAQGIISFSPDMISSIMSQSSYLTVLELQDSEITEVPTFIGNLFNLGYIGLRRTKVKSLPESIEKLFNLHTLDIKQTQIEKLPRGIVKVKKLRHLLADRFADEKQTEFRYFIGVEAPKGLLNLEELQTLETVQASKDLAEQLKKLMQLRSIWIDNVSAEDCANLFATLSTMPLLSSLLISARDVNETLCLEALAPKFPKLHRLIVRGRWADETLEYPIFRNHGKHLKYLALSWCQLGEDPLGVLAPHVPNLTYLSFNRVNSASTLVLSAGCFPNLKTLVLKKMPNVEQLEVGHGALPCIEGLYIMSLAQLDKVPQGIESLLSLKKLWLLYLHADFRTQWLTNGMHQKMHHVPEIRVGHFHHLAMLPGAHVFLSHVVVPPIGPPGMHHRMVGTSAEMGMMAPASFANEMDPVPPLDQELLDEADEDADEEVR >Sspon.07G0027760-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7B:61569106:61569330:1 gene:Sspon.07G0027760-1B transcript:Sspon.07G0027760-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTATAKPECGGAKTSWPEVVGQSVEEAKKVILKDKPDADVVVLPVGSPVTMDHRTNRVRIFVDTVAQTPHVG >Sspon.06G0012280-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:52905576:52911798:-1 gene:Sspon.06G0012280-3C transcript:Sspon.06G0012280-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGKTHRFSKGHPLGFVPDYRHGVVETVGESKGLGASPARIDSGSSCAALPPKRKCVASVNSEDGEGASGFSVPREVFSLPRMTALDKKDLEMRLRHELAQVRDLQSRLFSRGPAVSMNGMAAASAPPAGDVLPKKKVDKLKRSDSVQMDRGAPPLVAAAVAAPVASSVNYTSSLKQCTNLLKSLMSHGWAGPFLVPVDIVKLNIPDYFEIVKQPMDLGTIQKKMKAGMYSTPREFAADVRLTFSNAMNYNPVNNDVHLMAKTLSKNFETRWRLIEKKLPKPDEKPPQPDERPPQPVEKPPVREPTKKNSTKRVAIEKEDPTKKKPSKKSVPKQDMFREEDSADNPVLQPKKRKTSPLVQDAPLVEDIVPAGKRVMTSEQKYDLSARLQSYGAFIPDHVVEFIRSHADDCEADEEELELDMDALGDDTLFELQKLLDDYDRVNPSRNLTEEEPHEVESWSQYELINPSVCNDEGNELIDEDVDIGENDPPVSTLPPVVLDETADRSSKHSTSSSSSSGSESSSSDSDSSSSSGSDTDAKAPQQNSGSKEKILPVDGLVDKEKDSLNTLNLPEQSTNPIPVSADGEGGNVSEKQVSPDNPDKQIRAALLRSRFADTILKAREKALDQTTKKDPEKLRREREELERVQREERARLQAEAKAAEDVRKRAEAAAAAEAAAEAKRQRELEREAARKALQEMEKTVDINEGSHFLKDLEMLGSVTGEQIPNLVGETSPGFQMGSNTLEKLGLYMKNDDDDEDGDFTDEPVADVEEGEID >Sspon.02G0032300-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:112315691:112317517:-1 gene:Sspon.02G0032300-2B transcript:Sspon.02G0032300-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ergosterol biosynthetic protein 28 [Source:Projected from Arabidopsis thaliana (AT1G10030) UniProtKB/Swiss-Prot;Acc:O80594] MAAEGKRKGVPALGWWLMLVGSLRLASVWFGFFDIWALRVAVFSQTEMTDVHGRTFGVWTLLTCTLCFLCALNLENRPLYLATFLSFIYALGHFLTEYLIYHTMAARNLSTVGFFAGTKLS >Sspon.06G0017590-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:95409538:95415294:-1 gene:Sspon.06G0017590-1A transcript:Sspon.06G0017590-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPLVLVVLPLGLLFLLSGLIVNTIQAILFVTIRPFSKSFYRRINRFLAELLWLQLVWVVDWWAGVKVQLHADEETYRSMGKEHALIISNHRSDIDWLIGWILAQRSGCLGSTLAVMKKSSKFLPVIGWSMWFAEYLFLERSWAKDEKTLKWGLQRLKDFPRPFWLALFVEGTRFTPAKLLAAQEYAASQGLPAPRNVLIPRTKGFVSAVSIMRDFVPAIYDTTVIVPKDSPQPTMLRILKGQSSVIHVRMKRHAMSEMPKSDEDVSKWCKDIFVAKDALLDKHLATGTFDEEIRPIGRPVKSLLVTLFWSFLLLFGAIKFFKWTQLLSTWRGVAFTAAGMALVTGVMHVFIMFSQAERSSSAKAARNRVKKE >Sspon.06G0021040-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:3520730:3521500:-1 gene:Sspon.06G0021040-2C transcript:Sspon.06G0021040-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPSLLSRVASPLLTTACTSTASSQQQAATPHPQALRLPPQPGNNYKPVATTLVAAAAAGLLLLSPAPAPSRADPEFTVYYGTAASAANYGGYGGNASKKDTAEYVYDVPEGWKERLVSKVEKGTNGTDSEFFNPRKRTEKEYLTFLSGIRALAPLSAVLDNLALSDVGLQDQIATADDVRSAERADGAGQVYYEYEVAGAGAHSLISVTCARNKLYAHFVTAPNAEWSRDEAMLRRLHESFKTIQPGAPPPATET >Sspon.02G0044050-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2B:98931607:98931799:1 gene:Sspon.02G0044050-1B transcript:Sspon.02G0044050-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSQWPSESIKGRPLPGGSRSLPSVAHPLLRVEVAWNHAEAVETEFRAGISFITASRPCINRGV >Sspon.06G0009990-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6A:53946305:53946652:1 gene:Sspon.06G0009990-1A transcript:Sspon.06G0009990-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQVAYKVKNFVLYFDQYNSLDDNAWEDIVLNPVGTLPIVLSPRKVAPKRDDDVGSEGSDKDGSTDGEFVDSDYEVDDDDNDLFYDNVDDGVVGEGAAKGMVLSKGKKRNAPSGK >Sspon.01G0004930-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:11702758:11705403:1 gene:Sspon.01G0004930-2D transcript:Sspon.01G0004930-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGRGSPIAALSTSVRPSVLSVGSRQWRSHVQAS >Sspon.01G0022170-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:79153862:79160949:1 gene:Sspon.01G0022170-4D transcript:Sspon.01G0022170-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMQPPPPQQQQQWAMAPPPPPQYYQAGHPPPPPPQFYQAGPPPPAMWGQPAPSGGGAGDEARTLWIGDLQYWMDENYLYSCFSQAGEVISVKIIRNKQTGQPEGYGFIEFGNHALAEQVLQNYNGQMMPNVNQPFKLNWATSGAGEKRGDDGSDYTIFVGDLASDVTDFILQDTFKSRYPSVKGAKVVFDRTTGRSKGYGFVKFADLDEQTRAMTEMNGQYCSSRPMRLGPASNKKNTGGQQQPSSAIYQNTQGTDSDSDPNNTTVFVGGLDPSVTDDLLKQTFSPYGELLYVKIPVGKRCGFVQYSNRASAEEAIRVLNGSQLGGQSIRLSWGRSPANKQPQQEQNQWSGGYYGYPQGYDPYGYARPPQEPAMYAYTPYPGYGNYQQQPPQQPPPQQFTIFY >Sspon.04G0009480-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:28033669:28039280:-1 gene:Sspon.04G0009480-1A transcript:Sspon.04G0009480-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYAYLFKYIIIGDTGVGKSCLLLQFTDKRFQPVHDLTIGVEFGARMITIDNKPIKLQIWDTAGQESFRSITRSYYRGAAGALLVYDITRRETFNHLASWLEDARQHANANMTVMLIGNKCDLSHRRAVSYEEGEQFAKEHGLVFMEASAKTAQNVEEAFIKTAGTIYKKIQDGIFDVSNEMHTVQFPKAASMLSVPDPDRAHDHGHAHVPRASLLYWYGVTDATNEAKSKTTYERNIALTINAK >Sspon.04G0025690-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:29050502:29051200:-1 gene:Sspon.04G0025690-1B transcript:Sspon.04G0025690-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AMPSLLPRHKSTGTTTPLPPRKGSILTVRGSEQSTPAAAADHHKTGAYVMSRGSKGGRGGGLDLKLHLSPPAPPARGTEGAGAGAGAASLSSDEEWSLSSPSSCLSSEGEREPQRSHGLQWSDSPEATSMVLAACPRCLMYVMLSEADPRCPRCRSPVLLDFLHHAARNRNNSSINVSREDDDDDHSRNSTRGGGGGGGRNRRA >Sspon.02G0040530-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2B:70263340:70264878:1 gene:Sspon.02G0040530-1B transcript:Sspon.02G0040530-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MERRPAARMAGGSGPEAPARGARVVLFPLPFQGHINPMLQLAGALHSRGLAVTVLHTVFNALDPTRHPAFTFVAVPDAIPEAMAATTNGLAELLALNAAMEASGHVRDALESLLADEEAPRLVCLMFDSTLTAVHKAAAAIGLPTVVLHTSSAACFLMARSYDMLLDKGYLPSTDEFMEMAWGLANSGKPFLWVVRHGLVLGVEKQELPEGFDSAVEGRGKVIEWAPQREVLAHPAVGAFWTHNGWNSTLESIYEGVPMLSRPIFGDQLPTGRYVHDKWKIGILLEGLLERGEVEKAIKRLLEEDEGVEIRERAKVLKEKMRTCLESTGSSQQAVDKL >Sspon.02G0028650-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:104230212:104235464:1 gene:Sspon.02G0028650-1A transcript:Sspon.02G0028650-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMQLHDDYIAGVKRVTQAHREHEEDGEHEQHEEHEDMEEVEHGQGQGLGHEQELNAKVLYDVSGGMSTHGRFAIGNGAVRAADVRAAGKEKNVSQSNSVSMQMLAQQNAQLRRENARLRQEKDSADSALQQSKVALDLTMATGSSHVGSESTNDDNAANGYNEENLNGTIRDFSESDELLLKLNHTWDLLLDRGGTGGYRHPASAGCSTLIHDPEKRWVLAC >Sspon.01G0004160-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:796076:796426:-1 gene:Sspon.01G0004160-1P transcript:Sspon.01G0004160-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding KMRSLAAVSFVALAVVLVAAGAASSRFVDPPDSQPQIGRFAVLVYNLNRGAKLKYVGVSNSDHHPYQGGVRYKMVVTAADASGATAQYQVLVWGIPRTYRWMLLEFKKID >Sspon.05G0017480-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:73081011:73083914:1 gene:Sspon.05G0017480-1A transcript:Sspon.05G0017480-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGIEGALASGLLTVAGNKLGSLVSSEFASITGLKKDLSELQDIHTKIMSWLSVVRGRTIDHEASGRGVMKLRSLANEIYDLLDDVYIEDEKHKVNSDHDKPAITDNFSAKPELLLFRHKVAHKIDEIKVTFDTIVMENTLHNLQVDQPVQSRNKETSDQSLLSYVEDLKIPSRDHVKANIISKLVQPNKGECNHIVSIVGLGGSGKTTLAQHICHDDKIKEHFSNTIFWVHVSQEFCKDKLIGKLFEAVVEQNSGVHAQEQMLHAISNKLSGKKFLLVLDDAWHEDRQEWENFRVLLNNGAYGSKILLTTRNQSVAEAVESEDVFKLPFFLEDESWSFFLNSCGWVEQDLDSSYIQVGKDIVKKCGGVPLAIKTLGSVLHEKRTINTWRAIRENNLWEEENIEGRVFASLTLSYIYLKDHLKECFTFCSIFPKGYKINKDYLIEQWIAHGFIKLKNEELAHDIGNEYFDALMKAGFLQSPYEVMASLPMNITTHNCEKVEGGLFDKVRAVYVSGVGCSKLQCMPSGFGQLTLLRHLALFAVGCGRDDARISELENLDMISGRMEITNLKYLKDPSEAEKAMLKRKNIWSLELTWSSNQTEEEIVSDVEQDQGVSFVKVPLSGQNG >Sspon.02G0030980-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2A:113392642:113393562:-1 gene:Sspon.02G0030980-1A transcript:Sspon.02G0030980-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPVGCRVVCSGGDDDGLIELLWCNGHVVMQSQAPLRKPSRPDKAAAPAAVQDEDAAAAAWFQYQVEDPLERDDLFAELFGEAQAAVDAGRGACCKEEAEERGGDEDARQSSGMMLPPPPLPRHAHAPREVKACPGDGAAARTSAGCCEATATATEGAESSMLTIGSSFCGSNHVQQTTRRARDAAAPPGPAKDVARARGAATDTSSATRSRSCTTKSEHPGPGAAAAAAHRSGKRKQSDATDAEVRSPPLPARPQSFHTAASIDDDRECMLDVEFESADVTCEPAQKTTAAKRRRAAEVHNLSER >Sspon.01G0056130-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1C:86422090:86422311:-1 gene:Sspon.01G0056130-1C transcript:Sspon.01G0056130-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMDYVLADVLFVTLALATLLLRLVLRWGIGELCDPRLPLGSRGFPLVGETLEFFTTSPTLELLPFFKRRLER >Sspon.01G0046840-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1C:91089113:91089367:-1 gene:Sspon.01G0046840-2C transcript:Sspon.01G0046840-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAGSMGAWSRLKRNAGRGHGREAWTLPLPGAHGCQGRGRGLEHKRGPPRLQHVSAECGRAQEHWEERDTRKNRFRKKKPWGRR >Sspon.06G0019300-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:1209832:1216092:1 gene:Sspon.06G0019300-1B transcript:Sspon.06G0019300-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPLLENGSSHSTKSNFLEGLDCVSCPLPENGAIDIVDNVSIEVSQGWPQTDVPEETYSMPIVLPDKEAGHSSENTSFEGPFRLVSPKIEKDMLHTIEQTGKLRKRSSLLDRCDNKSSRTLEQQSSGCHVLPAPINESALQLYHLADTTEAHDVCVWNNIDIDGRPEALSAASSKRANVMSLDKSVPMLECQSFNIPVPSDRPIITDRALQGFCESKKLVNFCSNLSVKYKIKPLDSVYQSLPSRFENLTNRSLSYSIDINLLDPSYASKYSLDFDDSFMMSNGQTFGSSNDSDVPLTLSFEKYDLEKLPATTGSSSDYLGSISGFGCSKIDEDSTILGGNENQAKPSHSIGRNCSRQCLGGKRLLGYATNIYQSKGTSSLDLIAGKSYIREPDQNVHIRVNWDIKNTKEVRALSITNAGKIIHSLGDRLSKTETSCSKSERNIRETNLKKGCRPRNTVSNLTSFIALAKQNQQPPKSCEVIHLHSFPEWAAKGDVRVRALKVAAAAKRREEKKQTEREMHKAAVKLALERKKQEKEHRQKQDGKRENDRKKKCAEEAPKHQKQLEEIMHSTSTMKDICPNDAGGKDLVKNLVERVGNQLLSDEKTESVQRLLASESNSVKAMYADWKSAGSGLQVQENLSDNVDKSYGMTPYEDSDAKDFEILEHQREIRRRHKLIPSWAQDENLDKILVSNLALDSREVFAHKCSAILSDGKLQCTTLLGVTLFLVTDDKNKRLAVLFLSLGSIFFCAGTTGGVEPPSAPVPIRVSRYGT >Sspon.07G0015310-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7A:54993189:54994543:-1 gene:Sspon.07G0015310-1A transcript:Sspon.07G0015310-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLGRLDQTDIERTVFLDPLGRWSIILEAEPDWLRGQGGRPKDQGGRPVSGSVTPPVRSRGFWTLLDKNSFVSQWARHGLRRRVGGGRRSGAGRVLPVDSPYMGSTHGAPCGLAMAFGGRSILQMEATTGGLCVGEGSHREGRVWEREVARRAACG >Sspon.01G0044120-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:80431833:80435254:1 gene:Sspon.01G0044120-1B transcript:Sspon.01G0044120-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MELALASAKPSPRAAAGASPPPLLFSPLKPFPLLRFPPRPRRPAAARLRLRLRLRAAAGETTSPGEQVFGARRELTGIQPLVEALPPAARTAAELAVAAAAVAAGYGIGLRAGGESRAVAVAGAAVLGAASVAGAAAVNAVVPEVAAVGLHNYVAGHDDPTNLDNGEVEAIAKKYGVSTQDEAFKAELCDLYARYVYSVLPPGDEDLKGTEVQAIIKFKRALGLDDVDAANMHMEAFQKLIYVSNLVFGDASTFLLPWKRLFGVTESQSASAQHKAAK >Sspon.07G0030170-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:2614129:2616397:1 gene:Sspon.07G0030170-1C transcript:Sspon.07G0030170-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFCGFFSQALPNQGTVEYPSFKLVIVGDGGTGKTTFVKRHLTGEFEKKYEPTIGVEVHPLDFTTNCGKIRFYCWDTAGQEKFGGLRDGYYIHGQCAIIMFDVTSRLTYKNVPTWHRDLCRVCENIPIVLCGNKVDVKNRQVKAKQVTFHRKKNLQYYEISAKSNYNFEKPFLYLARKLAGDPNLHFVEAVALKPPEVTIDMAMQQQHEAELAAAAAQPLPDDDDDLIE >Sspon.03G0003540-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:19667005:19672367:1 gene:Sspon.03G0003540-2B transcript:Sspon.03G0003540-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAFAVISLLLLVCVQGAAPVLGFTRSDFPEDFVFGSATSAYQYEGAVAEDGRSPSIWDTFTHAGSMPDKSNGDIAADGYNKYKDDVKLIIDSNLEAYRFSISWSRLIPNGRGAINPKGLEYYNNLIDELARHGVQVHVMIYQLDLPQILEDEYGGWLSPKIVEDFTAYADVCFREFGDRVSHWTTLDEANVAALGSYDIGQIAPGRCSDPFGFGTKKCTVGNSSVEPYIAAHNMLLAHASATRLYREKYQAVQKGVVGINIYTMWPYPLTNSTADLEASQRFLDFYCGWILEPLLFGDYPSVMKKNVGSRLPSFREVQSEAIRGTLDFIGINHYLSLYVNDHPLEKGIRDFVLDAAADYRGSRTDPPIGQQAPTSIPADPRGLQLLVEYLSEAYGNLPIYIQESGHATTNGSLHDTDRVDYMKNHISSTLTALRNGANVKGYFVWSFLDVFEYLTGFRSQYGLYRVDFEDEALPRQARLSARWYSKFLKNKGIRVEDELDDAGSHVEQ >Sspon.02G0040540-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:70331338:70335056:-1 gene:Sspon.02G0040540-1B transcript:Sspon.02G0040540-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSKDLAAYGYGSVAWKERMEGWKQKQERLQHVRSEGGGDWDGDDADLPLMDEARQPLSRKVPIPSSRINPYRMIIIIRLVVLGFFFHYRVMHPAKDAFALWLISVICEIWFAMSWILDQFPKWLPIERETYLDRLSLRFDKEGQPSQLAPIDFFVSTVDPSKEPPLVTANTVLSILSVDYPVEKVSCYVSDDGAAMLTFEALSETSEFAKKWVPFCKKFNIEPRAPEWYFQQKIDYLKDKVAASFVRERRAMKREYEEFKVRINALVAKAQKVPEEGWTMQDGSPWPGNNVRDHPGMIQVFLGQSGGRDVEGNELPRLVYVSREKRPGYDHHKKAGAMNALVRVSAVLSNAPYLLNLDCDHYINNSKAIKEAMCFMMDPLVGKKVCYVQFPQRFDSIDRHDRYANRNVVFFDINMKGLDGIQGPIYVGTGCVFRRQALYGYDAPKTKKPPSRTCNCWPKWCLSCCCSRNKNKKKTTKPKTEKKKRLFFKKAENPSPAYALGEIEEGAPGADIEKAGIVNQQKLEKKFGQSSVFVASTLLENGGTLKSASPASLLKEAIHVISCGYEDKTDWGKEIGWIYGSITEDILTGFKMHCHGWRSIYCIPKRPAFKGSAPLNLSDRLHQVLRWALGSVEIFFSKHCPLWYGYGGGLKFLERFSYINSIVYPWTSIPLLAYCTLPAICLLTGKFITPEGEKKICLPMLPVSGSWHFSSASLSLASLK >Sspon.01G0055990-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:84778748:84789523:1 gene:Sspon.01G0055990-2D transcript:Sspon.01G0055990-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MERVVESIEVPCCFAENGCTKKIAYFNKKKHEKACRHGPCFCPEPGCGFSGPAATLPDHFTDCHKWPNTAFKYYAQFGLRLKRGPHVLRAQDGTAFLMNVVAAEPFGHAISLVCVQPEATESPFGCSVVFSCFTGHHQISTLAAVRCSSLADGLPKDAFCIVPKASGGQTLAEELVDQQLRIRGGELHRASGDTAGPFHRLPQVAVHGVRDFEYYVQFDLRLQLGPRVLRAQDGTVFLMNVVAAEPLGHAISLCFTGHHQISTLDPVRCSTLADGLPKDVFCIVPKASGGVHDVVLKTTIDEARRARLQLHRASGDTAGPFHRLPQVAVHGVRDFEYYVQFDLRLQLGPRVLRAQDGTVFLMNVVAAEPLGHAISLCFTGHHQISTLDPVRCSTLADGLPKDVFCIVPKASGGVHDVVLKTTIDEARRYDIELGDEDDEDESYDEEDDEEEDDSDDDDDEKKKMTLMTI >Sspon.04G0012770-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:55385429:55385635:1 gene:Sspon.04G0012770-3D transcript:Sspon.04G0012770-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding WMSRPPTPIVGYQWHATGVRIGTLLRILLDRCSRLESPTQTDKLLNSQTWCHKDGSLAKHLHPSCSSSE >Sspon.06G0031220-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:62777690:62803310:-1 gene:Sspon.06G0031220-1C transcript:Sspon.06G0031220-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKLMNRSVVLQVLLPALLGTQLVLASSAPSAATLEGCRTSCGNLTFDYPFGIGAAGCFRNPDFELICNDSVQPPMLSLRDGITQVAYNIVTIDTGYRYSDNDEIDVALTYTIPMRNGVDVYNISWNSPGKSFALSYAWFNVTGCGFDMYLDDRDTNTTVFLCTLTCPEQITEAVARQNCNGTGCCTMNLEANIRAFGLNFVRHNQSSVVHQVQPNRSSLWDYINATTLDAGLTWNIIDQPSCASTKENNTNYACVANNSRCIDSEESLDFGYSCRCNTGFLGNPYILGGCLKGTGTLNCIIKTNPQYQQFLKPKYHMDLEIDGLDMMTEKTGSDLDSMHDLALEVFNHTMEHVHLLHFLGARRLQAKSGGCIRLWDGLSGHGMESQWKACGSSKRLWRQSNYGGGRDATPISSYNPAQQKPNCRSLCGNITVPFPFGIEEGCYAREQFYLNCTNETSSTLLLGYYRVVTEIDVENGLIKYIFPDIYEGSVVEVSSDDPGVFVDSGESVSLKWVVANLTCQDAQANRSGYACVSTNSNCIPVNTTNNGYVGYQCKCSNGFEGNPYVKNGCQDIDECKDPSKCHGMMCYNTIGSYNCTNCPQKTVYDPTKLQCSSVKQQNALIVIWLNTTCNGTSQFMKFNSGIGGIMSKLKLINLKFQWSYCSTSKCKCDIPYPQMEKKHSKANAKELFPKKQRPISEIVAHQVLEEACEEEINCVASLVEMCLRLQSDKRPTMKQVEMALQFLRSKRVEINEANIGIDEERQTLLTKMNIGSIQLSSMDFGKKHRCASSQQSKKFYSLEEEFLSSAGLPLLLVNAREYSSAESTADKIGKIYAWTGDDKCHQ >Sspon.06G0005480-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:18622879:18627418:1 gene:Sspon.06G0005480-1A transcript:Sspon.06G0005480-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-prolyl cis-trans isomerase FKBP19, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G13410) UniProtKB/Swiss-Prot;Acc:Q9LYR5] MMLLANLGAPSVPVPALRGKDYGKTKMRYPDYTETESGLQYKVDWDGYTIGYYGRIFEARNKTKGGSFEGGDKDFFKFKVGSGQVIPAFEEAISGMAPGGVRRIIVPPDLGYPDNDYNKLGPKPTTFSGQRALDFVLRNQGLIDKTLLFDIELLKIIPNQ >Sspon.03G0019660-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:83924204:83928170:-1 gene:Sspon.03G0019660-2B transcript:Sspon.03G0019660-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLAIVEKKPPPFPGGGCTGGVLFHLLDWHRRLARKRRLFSPRRLLPSSLSLRSSSPRRLPPCPPPVSPTPPHTAPHPADGPAPGVVARLMGLDSWPATAAPPRPQKQRKVEASRPDGAAADSAVVLVLPTTRSRRRPAPAPAPAPTARSHHGADLPARSPRRTRLVHAAAAKLLDQGSRASARLALAYACSSPQHRRKDGHAGALLQSSSGMADDFLSRSDSLPLERSARVQVQPPGLPAETDCDTAAVSRRHGHCSTGNADAAAISTSTVVLPRMDFGDGNRSKRSSDMDAKHKESRVRNEGMRTCARVRSSGAAVQTGDERLLRKRATPTRPEVSELTESGDLAGSTRLVGRARELVSATRKVAHGGSGPRREFVGSIGGQGSTTRRDSKSQNGLASTSRISSNGSAHKRGSGRKVGHDRAISNRDDRNVVASTSRSSTKPVARASSRSNLSKSGSSSRLGPDTTRTRVPAPEKRYIEASPSVMSTSEKVEFNRLLKAKFSELGMSDRIECTPDGSPSGKLKVDVLQDLISALTNDMSTSISQCSNYSGASAALSCNGNVDCINQPHCIFSNDQSPDFQKCYQGEQDVDSSAASLNNEPNQPSPTSVLEASFSNDTSSLGSPVEKNEGKDLIVSVENKMEDLFNLESDIVDLAMSIDTRKTDAEETLHGNDKLPCLQNFLSHDFNILESRLCSIEEAKSNAELLLGSSLLHSTTSGLPRHPFIVEMLENTMDMFSGGEYSDFTEDKKYQHTNFLFDCIIESLDSKFCNFGKCGYKAWLKLPLSLSKDLLKRQVLEDISNWRELSGTALRQVSDKEMDQVTARWDASQAFDISIAIENDILEALVGEFAVDLW >Sspon.07G0015500-4D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7D:53303448:53304549:-1 gene:Sspon.07G0015500-4D transcript:Sspon.07G0015500-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVSNRADMVDILIRESREGSVKSPASYAGPDGQTALHAAVFARNAASGKTGVVKLLLVNSLLAYIPDDDGLYPVHYAAIAGYSEIIREIMEICPSCDELVDKKQRNILHCAVELGRTKFSNAYMGFSLLLCEAYSSPSWQEI >Sspon.01G0062640-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:106114581:106115699:1 gene:Sspon.01G0062640-1D transcript:Sspon.01G0062640-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:50S ribosomal protein L17, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G54210) UniProtKB/Swiss-Prot;Acc:Q9M385] SPSPAISASAWRMASLRAALPALRPSPAGRLRSSFAPAAAATAASVGCLGSFSGLAPVSNLHSLGAGKGIVPNGVPKLNRPPDQRKALLRGLTTQLLKHGRIKTTKPRAKAMRKYVEKMITLAKDGSLHKRRQALAFIYEKHIVHALFAEVADRYGDREG >Sspon.01G0034770-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:72566237:72569595:-1 gene:Sspon.01G0034770-2P transcript:Sspon.01G0034770-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLGLPVAATVNCADNTGAKNLYIISVKGIKGRLNRLPSACVGDMVMATVKKGKPDLRKKVMPAVIVRQRKPWRRKDGVYMYFEDNAGVIVNPKGEMKGSAITGPIGKECADLWPRIASAANAIMEKYVQAGVLAPTYNAIMWKAL >Sspon.07G0014000-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7A:50665147:50665629:-1 gene:Sspon.07G0014000-1A transcript:Sspon.07G0014000-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GCDGSVPLDETPADGTATEKTAAKSIGLGGFDVIDRIKADLLHAGDDDASCAGILAFAARHAASILSGGRIRYAVRRGRGDSVTSSTDAADAALPSHAPSGGFAELEASFAAKGFGKGDLAALSGAHAVGVSHGASFADRLAPSLAAGGQINGTYQLALAV >Sspon.01G0007700-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:17436692:17445715:-1 gene:Sspon.01G0007700-2B transcript:Sspon.01G0007700-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMEDFTADTPKIYQFNCNLAGVSQDGRASYFFHRSFEPYNNENDANNCWKKIGSPRSIILDGTLQGCKEVFALYADMPSDKRSQETNWRLHRYHLQNTVKAESEIVVSKIFLASRSNLCELAEEARIESEREISCAPPIIESNPMDDNSNRRLLGEDLPVEASKNQIGDTTTCMSENSELVARKEGAHLADVKLEPALEGYEIGPTESPQANSTHAEGSVSSLGVKDELTDCELPGLCEKVSFSFQQRRKRKTSYSTEKMLEEDAYTVKEGVAYRSRRRRKKTATDSIEKALDEDAPGLLQILLNRGIAVEEIKLYGAEEDNEMIEDSTESNFDDLENVIANSRYLQFRDCPVEWGWIVLERPEYGYATYFFEVVQSTPIEWQIRRLVVAMKLSGCGRTALIENRPLLVGEDLTEGEARVLEEYGWVRNTGLGTMVNYRDRVVHDRWTEKCVADWRAKIGKLLMTGYAEGQSVTTHGPKKIVDLLEATGDAELEIKRGPAAPSDEAGPGLLPSLRERVEALVTQALHRLSCIMHHIISSLFSFRKSANCGVYSPATSSLSRPKLRRCSDLPELAYDALDELRWCAVHPYNGHLLARVEDLKAVCETLPRAQALAVHRAEADPRRDLDLLFLQKLNQCLHEKGLSLNAGHAQEVIGSRMYIIKY >Sspon.01G0051780-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:18156895:18168455:1 gene:Sspon.01G0051780-1C transcript:Sspon.01G0051780-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SDIR1 [Source:Projected from Arabidopsis thaliana (AT3G55530) UniProtKB/TrEMBL;Acc:A0A178V9G1] MSFVFRGSRADIEAGGFSGFAPERRAMLWLVLGVFLMATSLRMYATCQQLAHAAAANSFLGHTELRVHVPPTIALATRGRLQSLRLQLALLDREFDDLDYDTLRALDADNSPHAPSMSEEEGSRFNCINKLEWEMARPLPLDTEDPGDQGDLGGVHTCQGNKVLEENVTAGTPCGHTEQVRDTVADGCVAVGETVSFSVDSGHGAAPSQSLPSGPWRYPGDKDVVHQPEGDSLAHSLPFPFPSASSSSEGGNPSSYRTTVDGRAEKRFRLPHEVLQAPLQPKMDQRQFNQGRSNAGFGGNYGYGGNRGGFRGGWQGGNRGWVQRGGGRDGGSDGPSQLSVSSTGSGNEKKQDGLKADGTSKTQEDELTCSVCLEQVAVGDLLRSLPCLHQFHVNCIDPWLRQQGTCPICKHQVSDGWHATGNGEEDASYMV >Sspon.07G0002940-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:27242071:27243654:-1 gene:Sspon.07G0002940-4D transcript:Sspon.07G0002940-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATTAVISGRWTRVRTLGRGASGAVVSLAADVASGALFAVKSAPAGSAAAEHLRREGSILSALRSPHVVPCLGLRAAPDGGCQLLLEFAPGGSLADVAARSSGGRRLGDDERAVAAYAADVARGLAYLHARSLVHGDVKARNVVVGADGRAKLADFGCARAVGSSAIIGGTPAFMAPEVARGEEQGPAADVWALGCTVVEMVTGRAPWSDVVDDSLPAAVHRIGYTDAVPEVPAWMSPEAKDFLARCCFARDPRDRCTAAQLLEHPFLQASAAGVKAEDGAVAAEWVSPKSTLDAALWESDADDDSDDEGDVSESPAQRIKALACPGSALPDWDSEEGDWIEVLDEQYEATNLVPLPTKDVAGEDECQFQSEVLETEVDFIDAADAEGDDPECSVAVGLGTAPSVELQEEQCIGARSRCNPTVFLTEACHNIEMSKSFLLQNCPLFALPSAEAATARPELEFGNDRESHPLLMGGHAKEVARSYQ >Sspon.02G0011470-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:29090947:29092019:-1 gene:Sspon.02G0011470-2B transcript:Sspon.02G0011470-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQILCINNVQLQMLGPAVTGTTNVLKAASAVNVQRVVVVSSIVAVEISPKDWPEGKIRDESCWSDKEFCRSIESTHSQDLLCFYLEKLQDLLSRFGALCTPELVPGRQDHLRRGGARIRAADRARRGDYQSRVGVGPLLQPTVNSSIQFLIYSLKGGPDLVRNKLWHIVDVRDLADTLLLLYEVPEAAGRHICAPHVISARDLLDLLKSMYPDYPFITKESICDRDHPAPMTSDKLKKIGWSCRPLEETILDTVECCQRTGFLDDVAEETPC >Sspon.01G0053880-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:43958307:43960324:-1 gene:Sspon.01G0053880-1C transcript:Sspon.01G0053880-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase 60 [Source:Projected from Arabidopsis thaliana (AT5G22410) UniProtKB/Swiss-Prot;Acc:Q9FMR0] IMASPGSPASRISAAALMAMLAVLALAAGGSAQLQYGFYKGKCNGSDVEAVVQGIVRAHFARENPHRRLPPPHAVPRVRRQCTYVKTMHVRVHKEYVYLRWLTYAPNCNRLRWQGCDGGLLIDGPGTEKTAAPNLSVKGYDLIAAIKTALEGMCPGVVSFSDIQILATRDAVALAGAPAYAVRTGRRDRRQSRASDVRLPAPDYTAAQAVAYYARLGMNAFETVALLGAHTVGTTRCSAIKSSRLYGYGGKHGATDPGIDPAPASVYKKWVCPNVSSSDGNAVFLDDSRTGPGRGVLSDDQNLYSDGSTRWIVDQLASNAALFQSEFAKVLVKLSEVNVPTGVQGEIR >Sspon.01G0034420-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:4192900:4196014:1 gene:Sspon.01G0034420-2C transcript:Sspon.01G0034420-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRRSRATPAPSAAVLLAPLLLLLLHCQCHRAGAATDAERRALLDFKAAVTADPGGVLASWTPTGNPCDFVGVTCDAGAVTRLRIHGAGLAATLTPSLARLPALESVSLFGNALTGGVPSSFRALAPTLRKLNLSRNALDGEIPPFLGAFPWLRLLDLSYNRFAGGIPAALFDTCLRLRYVSLAHNDLTGPVPPGIANCSRLAGFDFSYNRLSGEFPDRVCAPPEMNYISVRSNALCGDIAGKLTSCGSIDLFDVGSNNFSGAAPFALLGSVNITYFNVSSNVFEGEIPSIATCGTKFSYLDASGNRLTGPVPESVVNCRGLRVLDLGANALAGAVPPVIGTLRSLSVLRLAGNPGISGPIPAELGGIEMLVTLDLAGLALTGEIPGSLSQCRFLLELNLSGNKLQGAIPGTLNNLTYLKMLDLHRNQLDGGLPVTLGQLTNLVLLDLSENQLTGPIPPELGNLSNLTHFNVSFNNLSGMIPSEPVLQKFDYTAYMGNQLLCGSPLPNNCGTGMKHRKRLGVPVIIAIVAAALVLIGICIVCALNIKAYTRKSTDEDSKEEEEVLVSESTPPVASPGSNAIIGKLVLFSKSLPSRYEDWETGTKALLDKDCLIGGGSIGTVYKATFENGLSIAVKKLETLGRVRGQDEFEQEMSQLGNLSHSSLVAFQGYYWSSSMQLLLSEFMANGSLYDHLHGNRPHAFSESSSRGTGGELFWERRFNIALGAARALAYLHHDCRPQILHLNIKSSNIMLDWKYEAKLSDYGLGKLLPILGSIELSRIHTAIGYIAPELASPTLRYSDKSDVFSFGVVLLEIVTGRKPVDSPGVASAVVLHDYVREILEDGTASDCFDRSLRGFVEAELVQVLKLGLVCTSNTPSSRPSMAEVVQFLESLNLCKKTANARAVAEILLRSEDHFSSWSELKEEL >Sspon.01G0016860-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1A:57894647:57895033:-1 gene:Sspon.01G0016860-1A transcript:Sspon.01G0016860-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGADSLGFAPLPPETHVNGKNRCAALFAFGRSPWPSPLLLHLPRVRTSERSPLSLSLPRTTQWTTKSTSKPVANTRASVTLQPLDFLHPNEEQEEIGRLTEGGRGQWVIDKWDKTPCVIPDKQAQMPW >Sspon.07G0013450-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:54832988:54833704:1 gene:Sspon.07G0013450-3C transcript:Sspon.07G0013450-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTMQSNNQQVAVSNALVNDNFGAPTKDIDKDNMDMFHGYILDDVEAMKMVEEPPHKLNIVPKKNRQHPVAWTHEEHKNFLHGLEVYGRGNWKNISRYFVPTRTPNQSVAMRRSISIGRSAPLGNNASASMMSASMTQSHGCRRTLLVRSLSPLAAVLTTQIIMTLRDNMLS >Sspon.07G0008630-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:23720521:23727046:-1 gene:Sspon.07G0008630-1A transcript:Sspon.07G0008630-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRAAPRARGRRGVSQAAVEAAPSSSRARRRDKAPVVVIDLGDDEDEDCGGGGGARKTVGGAAGRRGGSREVPPPPSPPPPLPPPMMVPAGAVAMRTRSRRRAMQAAAVVEEAPTTKRRKKGATPDAAEASRGRGSKAAAASTATSRDKRRAGASRSTSRDKRRARSGRGSEPARAGRARKRKGNESGAETQVEAPARRERAKAPCVSESDDNGGRGDDASDDGNAEPCAGVAIGADLVNGDRRTAKGEDHIEEHGGYQENSAVNLNDDFVSGDAEAVEGRCEEEGGQEEELGVGKEADKKEDVEPKSEAAPGSDQGGLHKEILSSDEEIKVLENMSSAPSRKASVQSKYDMFERKNTSRYDMFERKNTSRYDVFEKKHTSRYDMFEKKNTSRYDMFEKKNTSRYDIEWVEDEDKDANVDMLQPISFKKDSSWNPVVVGNDTFTEQQKQSRFTWELERRKKHKLGMKTNPLYERDLNSDSNSSGSDQIRKYGFKRDGSHKVDRKKKHTSSKSGKKPSSAIMLKRQSLLKLLVDKMSGDKSLESFPFDQNPQLQFIFKEMHPLVFSFGDEDLVAADRPEQDVGLDMLWADFDFALESENIGTYYDDEGQEEGNQIDFSLAPVTPCSRGKHEFIIDDQIGIRCKYCSLVNLEIKFMLCREFAWPNAKGVKDTLVFHDLYEQAGSDIEQSQDLHQYGTVWNLIPGVISTMYEHQREAFEFMWTNLVGDIRLDELKHGAKPDVVGGCVICHAPGTGKTRLAIVFIQTYMKVFPDCRPVIIAPRGMLFAWDEEFKKWNVDVPFHIMNTTDYTGKEDRDICKLIKKEHRTEKLTRLVKLLSWNKGHGILGISYGLYTKLTSEKPGCTEENKVRSILLDNPGLLVLDEGHTPRNERSVMWKTLGKVKTEKRIILSGTPFQNNFLELYNILCLVRPRFGLRESVIILKPLPLQKSIIRKVENIGSGNNFEHEYVISLVSTHPSLVTAINMSEEEASLIDKPMLGKLRSNPYEGASIEAFNNPNNESRVLLASTRACCEGISLTGASRVVLLDVVWNPAVGRQAISRAFRIGQKKFVYTYNLITYGTGEGDKYDRQAEKDHLSKLVFSTEDEFNNVRNMLSKAEMEHCSKLISEDKVLEEMTSHDQLKGMFLKIHYPPTESNIVYTYNQITPE >Sspon.02G0002180-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:7868096:7871270:-1 gene:Sspon.02G0002180-2B transcript:Sspon.02G0002180-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NADH dehydrogenase [ubiquinone] flavoprotein 1, mitochondrial [Source:Projected from Arabidopsis thaliana (AT5G08530) UniProtKB/TrEMBL;Acc:A0A178UJ45] MALRRALLRSAEISPDRKAAIEYFHSLSRAQPARSLNGAGRSFSTQAATTSSTPQPPPPPPPPEKTHFGGLKDEDRIFTNLYGLHDPFLKGAMKRGDWYRTKDLVIKGADWIVNEMKKSGLRGRGGAGFPSGLKWSFMPKVSDGRPSYLVVNADESEPGTCKDREIMRHDPHKLLEGCLIAGVGMRASAAYIYIRGEYVNERLNLEKARQEAYAAGLLGKNACGSGYDFDVHIHFGAGAYICGEETALLESLEGKQGKPRLKPPFPANAGLYGCPTTVTNVETVAVSPTILRRGPEWFASFGRKNNSGTKLFCISGHVNKPCTVEEEMSIPLKELLERHCRGVRGGWDNLLAVIPGGSSVPLLPKHICDDVLMDYDALKAVTSGLGTAAVIVMDKSTDVVDAIARLSYFYKHESCGQCTPCREGTPWLWMIMERLKVGNAKLEEIDMLQEVTKQIEGHTICALGDAAAWPVQGLIRHFRPELERRIRERAERELLAASA >Sspon.02G0030130-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:110867719:110869127:-1 gene:Sspon.02G0030130-2C transcript:Sspon.02G0030130-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding TIPKKFVANIREQISEQVTLEVPNGKTYTVEVAEEQHELVLRFGWAEFASAYELELADLLVFENTRNSHLKVRIFDRSGCEKELSCVLLDRVPCMQERKGSHGHVSPTKRHGAAKPPYMLPRYTSLTDEQRSKVEEKVGAIRWEIPVYVAVMKNSNVNSKTRNLGISKAYAREYLPGGGGEKQLRMRMRLRRKDNAWEPEFQDKYGRQQILGKGWRKFVTDNKLKLDDICLFNLVKNTKMLTMDVHIIRKRSV >Sspon.03G0019970-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3C:81299450:81299794:1 gene:Sspon.03G0019970-2C transcript:Sspon.03G0019970-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSFFVFCVSSAWMTLSVQVQLMIAVAGEQGGGELCSPVLCGNINISFPFGLIPEQAMETSCGAPGFQVRCANSTPYLGYSRREHWFQIRGIFYDNAPCSSPMSTNSKAVSGSE >Sspon.04G0030400-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:1542279:1546942:1 gene:Sspon.04G0030400-2D transcript:Sspon.04G0030400-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDNMFEVSIIQKLPMPLQPVESNGRRVPLGQRKLSCDEECAKMEKKRVLAEAFDITPPNLDALHFGENSSSSDLVADLFRREPKWVLAIEERCKFLVLGKVRGSSSSNLKLHVFCHMLKDKRDAISLIANRWKLSVQVAGSEPKHFVIIHVTPKSKPPARILGSKPGAPVTAAHPYFDPLVDMDPRVVVAMLDLPRDADVNALVLRFGGECELIWLNDKNAIAVFNDPTRAATALRRLDYGSAYQGAAMFMPSSAQASSSGNVWTGGQKDGGLAARSNPWKKPASAEPDMSSGDRTGVAGHTPAPGWRGASTISRVMETPNRWNVLESDAAASSVPGNEWRRAAPRTDASYSAIPNAGNAGPLVTKLQPDVDVDDWEEAYLNAYLIAVSLAAGFQQSNYSSSGPRLDAGSYVVSLRQILTEKYANLQEMVCFNSKPNDAGAIRRRPGNIGEVDVFIPGLRVPESLELSQLLSDGHPRRLTERLAVLRSRIVVMAAHEALSVTRPRKRTFTQHGGSTSADLLQTLEDYLPVLLGLVKEGKPNKSVPIVVAFILVQCLTGSNLEDKIQFSWMNQEDDAEETALPSSWYEVLLVLHMMAMLRLSQANSLLLPKTSLEGYHAKAAAYYYHGLILDEGNTEKSHRMAVAALQSAEEFLKESKDAAEAFHAAPPVSRQWQCIHIPLPLRVFRLWLIEPWCSKNRWFVAQKPACMWIDEKDSSCKVRINKDLYSNDSIREAVPALPDFAVALKPEEHRLPAVTVGAAND >Sspon.02G0022910-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2A:77278301:77279280:-1 gene:Sspon.02G0022910-1A transcript:Sspon.02G0022910-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIHPRRISAGSSLSTKLVVNTMIRSPLCADQSPSMKLRRLDNITSLVLLRFVAAAAAAVRKLRPVAVATLLLRSPVRSREQSMSSMTMMDFPVVLMKSLWRSLLPCT >Sspon.04G0031180-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4C:7502105:7502353:1 gene:Sspon.04G0031180-1C transcript:Sspon.04G0031180-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGSNSSPLAVSRLPDDAIVEILSMQVRLQTLARPHRRPPLLQGSPPDPARVPLLRRRKPRLMPTVEIAPAAVTGCCFATTMG >Sspon.04G0013240-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:50072391:50077346:1 gene:Sspon.04G0013240-2B transcript:Sspon.04G0013240-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTLPVAAGRGAVACAATPVPRRSLLLSTAAAGAALQSEQVPLRLTRNVPGAAAKIRASTDAAQAATFASTDEAFSWAKKDNRRLLHVVYRVGDLDKTIKFYTECLGMKLLRKRDIPEEKYTNAFLGYGPEESHFVVELTYNYGVDKYDIGAGFGHFGIGVEDVAKTVELIRAKGGKVTREPGPVKGGKTVIAFVEDPDGYKFEIIERPGTPEPLCQVMLRVGDLDRAISFYEKYFSPKAQACGMELLRKRDNPEYKYTVAMMGYGPEDKNAVLELTYNYGVTEYAKGNAYAQIAIGTDDVYKTAKVVKLSGGQVVREPGPLPGINTKITAILDPDGWKSLEREYQPKKSTAENNQDADPESTIPDRRTKVPLAK >Sspon.08G0002880-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:8141195:8152822:1 gene:Sspon.08G0002880-1P transcript:Sspon.08G0002880-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVILSHFATSVLDKVTSFGTEWAVNEIKSAWNVKKEIRKLERSLRSICAVLQDAESKQSSSHALQEWLDNLKDAVYNIDDKILDDIIQSSTGESHKQLNLEALQSMLRGLLHEKRYLLVLDDMWNEEASEWEDLRSLLCSGGNGSVIIVTTRGSNVASMLKTLEPYEVEKLPHEECMEVFFRHAFKGEEKRDVELLKIGQSIVEKCYSVPLVAKALGSRLVASHQQLKLWNEKQDKVGILAVLKLSYDALPPHLQACFASLSTFPKDYKLLAELLVMLWMALGLLDRGKEIKDMITIGQEYLHELLGRSLLQDQINVFDKTILNCKMHDLIHDLSIKVSQKEHTIVSSKKLDVSERIRHLVWDHQDFSMEMKFPKKLKRACRARTFISRHNYGTVSKAFLEDLFSIFKHLRVLVFSDVGFEELPSSIGNLRHLRYLDLQWNRKMKYLPNSLCKLVNLQTLHLFMCDQLVELPRDVHELVNLTWLCLTSKQKHLLRHGFCGWASLAILDVNNCLELTSMTEGLGSLAALKELHIYNCPKLSSLPSAMRQLSKLQRLFINNCARLDLLEPKEAMSGLCSLRTLDLVSLPKLVGFPESFTSAASSLEYVSIVDCKGLERLPSVVKDFTSLKKIIIRDSPVLSRRCTVGSGEDYHLIRHVLVISIDDGVGFKRWLEHQTVKDEKEAKALGSLLFNCRDVKEWRHIEEDNLWNVKQDKDGILPALKLSYDALPPHLQECFCSLSTFPKDYSIFRDVLIMLWMALGLLHRGNESEDMMSIGQRYFHELLGRSLFQDQILTFDKTIEICKMHDLIHDLSVKVSQKEHAIVSSRKADVHERIRHLVWDHQDFSLEMKFPKQLKKASKARTFASRYNYGTVSKAFLVELFSTLKHLRVLVFSEVGFEELPSSIGNLRHLRYLDLQWNSQIRYLPNSLCKLVNLQTLRLRRCHQLVGLPRDVHQLLRIFNCPKLASFPSAIRMLSALQKLIINDCAELDLMEPDEALSGLCSLGSLNLHETLFCIYEFDKTKYKLVGYRLPAMAEVILSHFATSVLQKVTSFGTEWAVNEIKSAWNVKKEIEKLERSLRSICAVLQDAEGRQSNSHALQEWLDNLKDAIVLVYLTLFICQLLCTGRVENPESSC >Sspon.05G0010670-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:30124542:30128958:-1 gene:Sspon.05G0010670-1A transcript:Sspon.05G0010670-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHEREPLLQQRQTGGVGNAAGAKGSSSAPAPAAAVLPSLARTVLKFLMWAVFLTWAAGIFLYPTKPVQAVFKKWVGLTRESMFGMAGGIFLTFSAPILIIALLAYVYISFFQSEHVVEKKKLRSLSFRLWTFPVLVDGPFGVVSAVEFIGIVLFITFVVYSMTYYAVESVSLVSKFDLPSMTESELILDVIGARLGAVGLFCMLFLFLPVARGSVLLRLIDIPFEHATRYHVWLGHLTMALFTLHGLCYVISWSMEGRLREEMREWKEIGVANLPGVISLAAGLLMWVTSLHPVRKRFFELFFYTHQLYVIFIVFLAFHVGDFIFSISAGAVFLFMLDRFLRFWQSRAKVDIISAACRPCGTVELVFSKPPGLRYNALSFIFIQVRELSFLQWHPFSVSSSPMDGKYHMSVLIKVLGTWTEKLRSIITDVQEKNRGDSEWQCGRMTACVEGPYGHESPYHLMYENLILVAGGIGISPFLAILSDIIHRIEEGKQCMPKNVLVLWSVKKSKELSLLSAVDAQTISSSVSDKLQLDIQAFVTQESLPPLEDGIVVDDQKVPGMFVKNGSTMSGLVGTGDNFWAAAYFVASTLGFLLAYTLVHVYYVKPHNMMAWWYRGLLFMLCMVAGVALPGGLVVLLWHLSEKQRLEGDKWDAAAAAASQPPRAEQTGPAAAAGGGDDDAVPSVSLAALRTTRYGCRPNFEAEFAAFAERAGDAADVGVLVCGPQGLQTSVARECRARNLRRGGGAEKSRSGAVFHFNSHSFDL >Sspon.02G0011690-3C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:35083098:35086477:-1 gene:Sspon.02G0011690-3C transcript:Sspon.02G0011690-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SLEAKEAAEIMASRFWGQGDSESEEEEEEVESEQGSDSDDDGGRGGAGRSSGGNRYLKNQEDDSDESDTGHRVIRSLRDKRNEEMRSIVDQMRNAMKINDWVSLQESFEKLNKHLEKVVRVNESTEVPKMYIKALVLLEDFLAEALANKEAKKKMSSSNAKALNAMKQKLKKNNKQYEEQIQKCREHPESFEDEAADDKDMDDDDDDDADESDAEIEDPDKIAMSESEEERDEDDDDKEGWEQKRSKKDKLMDKQFLKDPSEITWDIVDKKLKEIVASRGKKGTGRIERVEQLTFLTRVAKTPAQKLEILFHVISAQFDVNPSLLGHMPVNVWKKCVNNMLLVLDILQQYPNIVVDTSFEPDEKETQKGADYNGTIHVVGDLVAFLERLDSEFFKTLQCTDPYTKDYVQRLREEPLFLVVAQNVQDYLERVGNLKAAAKVALRRVELVYYKPQEVYDAMRKLAEQPEDTLDDDDAEAGDEHLAIDDNRGPSPFVVIPEVVPRKPTFPESGRTLMDGLMSLIYKYGDERTKARAMLCDIYHHAISDEFSVARDLLLMSHLQDGVQLMDISSQILFNRVMAQLGLCAFRAGLINEAHGCLTELYSTGRVKELLAQGVQQSRYHEKTPEQERLERRRQMPYHMHINLELLEATHLICAMLIEVPNMAASTYDKRRPMSKTFRRLLEVSERQTFVGPPENVRDHVMAATRALNKGDHQKAFSVVNSLEIWKLLRNRDHVLEMLKLKIKEEALRTYLFSYSSCYESLSLNQLTTMFDLSEQHAHSIVSKMMMHEELHASWDQPTKCIVFHSVDQTRLQGLLFQMADKLSVLVESNERAYEARTGGTLEGVPPRRRGEGQDSSNMGKWQENFVSSQGRQGGGNRFGYSGRGGGSGQSGGHQRDRGNQGSRGGYGGGSRFQDGRGRNQSGSSARGGDGGARMVNLNRVGRV >Sspon.03G0018290-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:80212882:80216508:-1 gene:Sspon.03G0018290-2B transcript:Sspon.03G0018290-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMHRDPCKGSDEECPRSLCVRPVSPPIKRERRRPSPRRLAIPSCSGQSPRSTPPGAGDLFPPPLEEWARPTEGDHMGAEAGHPQQQPPSSLRPGREAAAAAAPPAVLGLQLSALIDHVARVDWSLLDRVPGDRGGSQQVSIEELNHILDEVNAHILPSRNDLTPVTTIAGGSVANTIRGLSAGFGISTGIIGACGDDNQGLLFVNNMSFSGVDLTRLRAKKGHTAQCVCLVDASGNRTMRPCLSTAVKIQANEFRKEDFKGSKWLIVRYAQQNMEQIIEAIRIAKQEGLSVSLDLASFEMVRDSRSKLINLLETGNIDLCFANEDEAREVIGGGLESDPEEALAFLGKYCKWAVVTLASKGCMAKHGKQ >Sspon.02G0004520-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:14325897:14327048:1 gene:Sspon.02G0004520-1A transcript:Sspon.02G0004520-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVSASSSSTTTVAALPRNGQRASSSFLGGKTLLRQATEAARPSFAVRAAADPDRPIWFPGSTPPPWLDGSLPGDFGFDPWGLGSDPESLRWNVQAELVHCRWAMLGAAGIFIPEFLTKIGILNTPFWYTAGEQQYFTDTTTLFIIELILIGWAEGRRWADIIKPGSVNTDPIFPSNKLTGTDVGYPGGLWFDPLGWGSGSPEKIKELRTKEIKNGRLAMLAVMGAWFQAEYTGTGPIDNLFAHLADPGHATIFQVS >Sspon.07G0011450-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7A:40183627:40185100:1 gene:Sspon.07G0011450-1A transcript:Sspon.07G0011450-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLKKEVVDLRTLLIHCAQAVAADDRLLAGELIKKLRQHSSRDGECCQRLAFYFVNGLEARLAGTGSQLFHKVLAKRISDEDLLKVYNFYLAVCPFLRASYTFANQSILEASVGQSKVHIVEIGVCFGFQWPSLIQLFGEQGVPPRLRFTGIEVHRHGFSPLENIERAGKLLADYANMYKVPFQYQGIYSRYEDIQIEDLNIEEDEVLIINCMYQMKNLRDETVAMDSARDRVLKIMRRMNPKVFILAL >Sspon.03G0031630-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:29620072:29624804:-1 gene:Sspon.03G0031630-1B transcript:Sspon.03G0031630-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDATGSRAGGSGGDQNGKENEEDKKQGAAPAKKVSLLGMFRYADRLDLLLMAVGTVGALANGVAEPLMTVLFGNVIDSFGDSTSQDIVRSVRKVVLDFVYLGIGAAVVSFLQVSCWTMAGERQSTRIRSLYLNAVLRQDIAFFDTELTTGQAVSRMSSDTLVIQDALGEKAGKLIQLSSAFFGGFIIAFTKGWLLTLVMLTSLPLIAIAGAYRSDILEGLTNGFGMGSVFCILFSSYGLAFWYGGKLIADKGYTGGKIITVLFAVLTGAMSLGNATPSVSSIAQGQSAAYRLFETIERKPEIDSGDTSGVVLEDMKGDVELKDVHFRYPARPDQLILHGLSLQVASGTTMAIVGESGSGKSTVISLVERFYDPHDGEVLIDGINIKNLRLSWLREKISLVSQEPMLFMTSIKDNIMYGKGDATIEEVKRAAELANAANFIDKLPDGYDTMVGPRGAQLSGGQKQRIAIARAILKDPKILLLDEATSALDVESERIVQEALNRIMVERTTLVVAHRLSTVRNVDCITVLRQGKIVEQGPHDVLVKDANGAYSQLIRLQETRADERRKTADSGVPDSRSKSTSLSLRRSMNKDSFGNSNRYSFKNPLGLSVELHENRIIGGEETEGLSDVVVLKKAPIGRLFKLNMPEVPVLLLGSIAASVHGVVFPLFGILMSGIIKSFYEPPDKMRKDTSFWALISVVLGITCLISVPAQYFLFAVAGGKLIERIRALSFQSIVRQEIAWFDNASNSSGALGTRLSVDALNVRRLAGDNLALIMQSIATLTTGFVIAFAADWRLALIITCVIPLVGAQGYAQVKFLKGDVRNASQVATDAVGSIRTVASFCAEKRVVATYNEKCEALRKQGIRSGIVGGLGYGFSFLMLYFTYGLCFYVGAQFVFFALVLAAIGVSQASALASDATKARDSAISIFSILDRESKIDSSSYDGMTLENVTGNIDFNNVSFKYPSRPDVQIFSDFTLRIPSGK >Sspon.07G0023120-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:13236983:13237966:-1 gene:Sspon.07G0023120-1B transcript:Sspon.07G0023120-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVHCLQQCGSNNTDDDRVSQAFKRCCSTSANCGASDASPSTSYVENLCRSGNLVDAVGVLRQLHDEQVHVGLHTFNMLLQHTAEANSFILCAKVFRYLLLSKLAPDSTSYMNVAKALQKLDDCELILKFVSELLEITHHRDPTVMNRIIFATAQYGHIDKSLVIFEELKKYQTSLDVVTFNTVLDMLGKAGRVDQMLREVKLMEELGHFPDIVTYNTLINCLRRLGRLDLCKSFAGEMVERGITPDLRTYTALIDSFGRSGHITDALEMFQKMKKSHQPSVYVYRALISNLKKAGQLELAQKLTEDMNSSSSELIGPEDFKPKNKGI >Sspon.08G0009540-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:36255057:36257847:1 gene:Sspon.08G0009540-1T transcript:Sspon.08G0009540-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDVPVWFVSLACLGALYIVAICCRPLAYLVLCLRQPKDLHRYGSWAIVTGPTSGLGRSMAMELARRGLNLVLLDLNANNLQETSEAIKSLHPVKIKTVVLDLSLVATPEGDAAIRRLREEIEGLDVGLLVNNAAVNTPGAVYLHEADVERFVRMIRVNLWGLTEVTAAVLPRMLARRRGAIVNVGSGSTVAVPSFPLYTVYSSTKKYVAQLSRSLYVEYKSKGIDVQYQVRQARPWFVATADEYTSTAARWIGTGPLCVPGAAQKLQWCLTGFVPDWVHDWYRIRLHLQHRAVLRGGGRRAVIANNAHPRGEQAGTPPNANASVAVGQTSVAS >Sspon.05G0012270-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5A:36939546:36942765:-1 gene:Sspon.05G0012270-1A transcript:Sspon.05G0012270-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GGWSRLLHLAFQSVGIIYGDVGTSPLYAISSTFPDGIKNHDDLLGVLSLILYTLILIPMVKYVFIVLYADDNGDGGTFALYSLISRHAKVRLIPNQQAEDAMVSNYGIEAPSSQLRRAQWLKQKLESSKAAKIGLFTITILGTSMVMGDGTLTPAISAQVVWISVPILFALFSVQRYGTDKVGYSFAPIITVWFFLIAGIGVYNLIVHEIGVLRAFNPMYIVDYFRRNGKEGWVSLGGVILCVTELMFWPTFIIAILSAIIASQAMLSGAFAILSKALSLGCFPSVQVIHTSKSYAGQVYIPEVNFLMGLASIIVTITFRTTTEIGNAYGICVVTVFSITTHLTTIVMLLVWRKKFIFILLFYVVFSSIELIYLSSILTKFVQGGYLPFCFSLVLMALMITWHYVQVMKYWYELDHIVPADEVTALLEKHEVRRIPGVGLLYSDLVQGIPPVFPRLVQRIPSVHSVFLFMSIKHLPIPHVAPVERFLFRQVGPREHRMFRCVARYGYSDMLEESVLFKGFLTERLKMFIQEEAVFETNSTATDTQTNPNEVIDPKVCGHDENNISSALAFWVEKEKQLIDTEIERGVVYLMGETNVIAGPKSSAAKKIVVDYVYTFLRKNLTEGEKVLSIPKDQLLKVGITYEI >Sspon.04G0009690-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:30743060:30746662:1 gene:Sspon.04G0009690-2P transcript:Sspon.04G0009690-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGKIVIRRIDNSTSRQVTFSKRRNGIFKKARELAILCDAEVGLVIFSSTGRLYEYASTSMKSVIDRYGRAKEEEQLVANPNTELKVCLKANNVLIKPTDPK >Sspon.01G0026770-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:94774436:94777738:1 gene:Sspon.01G0026770-1P transcript:Sspon.01G0026770-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNELEQMDHRARRQNRSAVGTPDYLAPEILLGQDMTIFDNILNRKIPWPHVPEEMSFDAQDLIDKGNRKSFAGCLCSSSDSASDTSYFTSRYSWNPSDENIYEAYEFEDSSDNGSLSGSSSGEDSGGLNEFESSANVNYSFSNFSFKNLSQLASINYDLLTKGLKDDQPPRTETPIMSLGFAEVGQGYCIQNTTPEDVGGFQKIAILAYS >Sspon.03G0029230-4P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:12992848:12993459:-1 gene:Sspon.03G0029230-4P transcript:Sspon.03G0029230-4P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AYDQHLNMILGDVEEVVTTVEIDDETYEEIARKPQNALSPSFLSEVMVSYWFLHPFERHE >Sspon.01G0028170-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:98625465:98627388:1 gene:Sspon.01G0028170-1A transcript:Sspon.01G0028170-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKISGLKITRLLEGLTDWVLQPATRCLVVVRADAASELRLVGIDPLTPLLSTRPHAPSLAMAASAAAGRTWDPTVSLRLGHPALVLLERCRGARPFRAILAHLLRLGLALETFPMSRLLHFATAVSSPRLTREAELLFHHFTPRPNLYIYNLMLSAAAARDSSSPPQAAALYRSMLASSVLPDEQTFLALLRSVERLSAGRQVHAHVVVSGLHSRVYLRNSLIKMYIDAGDVETAELMFRSALVLDTVSCNIMLSGYVNEGCSLKALCFFRDMASRGIVVDQYTAVALLTCCGHLKTVLLGKSVHGVIKKVDTSCFPTCMRLEVTGTTVMK >Sspon.01G0045280-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:88855067:88861199:1 gene:Sspon.01G0045280-1B transcript:Sspon.01G0045280-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYMKLGSKPDVFQTEGSIIRFVATELATDIVISIGDVKFYLHKFPLLSKSSRLQRLVASSNEEKNDEVDISDIPGGPSAFEICAKFCYGMIVTLNAYNVLAARCAAEYLEMFETIDKGNLIYKIDVFLTSSVFRTWKDSIIVLQSTKSLLPWCENLKVINHCIDSIASKASIDPSEVEWSYTYNRKKFPSENGIDSHWNGVRKQPMVPSDWWVEDLCELEVDLYKRVIMTIKAKGSTPAVVIGEALRAYAYRRLLGSLEDAVSNGVDCTKRRAALDAIIFLLPAEEGSVSCGFLLKLLRAACLLESGESHRINLIKRIGTQLDGASVSDLLIPPNTDENNIYSIDLIMAIVEEFMLQNSDSVKEKIEDDEEIVEIENVTSVSSTSKLAVAKLIDGYLAEIAKDPNLPLSKLIALAEMVSSLPRPTHDGLYRAIDMYLKEHPSLSKSEKKKLCGLMDCKQLSQDACMHAVQNERLPLRVVVQVLFFEQVRASIASARSDPSSEHPSAVRSLLPRENGNSIGSSRSAATTTTEEECGVPTSSDINSLRSMRLANNSGGSERSSGSSDINKNSDDKSATGKAKGMLMPKKILSKLWSGKTNTGENSSSDTSESPGSVNPEEAKSTQSRITRRSVS >Sspon.06G0024720-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:53109789:53113885:-1 gene:Sspon.06G0024720-3D transcript:Sspon.06G0024720-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGGENSGAGEGEFYLRYYVGHKGKFGHEFLEFEFRPDGKLRYANNSNYKNDTMIRKEVFVSPSVLREARRIIQESDIMKEDDSNWPEPDRIGRQELEIVMGNEHISFTTSKIGSLVDVQSSKDPEGLRIFYYLVQDLKCFVFSLINLHFKIKPIQS >Sspon.01G0000590-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:2149104:2151797:1 gene:Sspon.01G0000590-1A transcript:Sspon.01G0000590-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCASGVFLADHASFVKEIAATDPPDHLNSLLNVLQARGNLTSLLRWPTAPTGYTKYAAISISVASIQISICIYLSFRMEMPVVEVRKHGLWLLAKNVKQYIHRILVEADINADTGDDLWAAVGEAGNLYAKGDFKESQLPDLDVYLLKKVERYMSVGLFPDVIERKTLRHLEKGDNVSALITGEFYSRDQFPGFGRPFVFNAEILKRVGRTSEAKDSARVALKSPWWTLGCAYEEAAELAGWEDEQLEFIREKVTEEGKREDLKKGKAPEQVVLDEAAFLMDLASVDGNWDEVVDRIAECYREAGLHDIANFIAYRE >Sspon.02G0002130-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:6831026:6838845:-1 gene:Sspon.02G0002130-2C transcript:Sspon.02G0002130-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLLRSLRRARGFSSSATSAAKEGGDGKLVASVMFERLPVVIPKIHPVVYAFQEFSFQWRQQHRRQYPDEVLGKADARGKGDYHIDYVPAPRITEADRTNDQESLQRALDNKLYLLLYGNTYRAPDGKSVWHFPEKIYENEETMRLCAESALKSVLGGLDNTYFVGNAPMAHMAVEQTDSSVSSFKVLILFHQINSFLAWVCSLLLSIISLNPVMLLFKSQVVDTTKYHIGKCKDYAWVTKDELLEYFPEHKDFLNKMIIHIR >Sspon.08G0021820-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:45457269:45461503:1 gene:Sspon.08G0021820-1P transcript:Sspon.08G0021820-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRKPKRKAPASPARHDTSPEPYPSHASPSSAQCLAVRDALLAFHGFPEEFAPFRLLRLGGRSPNRDPRPQPLSPTVLDGLVITLLSQNTTDAISRRAFASLKAAFPSWDQVVDEEGKRLEDAIRCGGLAATKAARIRAMLRDVRERRGKICLEYLRELSVDEVKKELSRFKGIGPKTVACVLMFYLQKDDFPVDTHVLRITKAMGWVPATASREKAYIHLNNKIPDDLKFDLNCLFVTHGKLCQSCTKKVATVVLEKSFSNSEGTINGYCCFEKKFMNLPTNHEMEVRRNATGQVQTRASDKQRAATASS >Sspon.03G0019150-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3A:59303191:59308448:-1 gene:Sspon.03G0019150-1A transcript:Sspon.03G0019150-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMVKKMPKPSVLVVYYAKLTEIFWISDSHLYHAYAWLKLFNLQKSYNKNLSQKDLQLIASSVLLAALSVAPYDQKYGASHFETENEKERNMRMANLVNFSLDSKRENREMPSRASLLSELVSKGVLSCASQEVRDLYNLLEHEFLPLDLASKVQPLLSKISKIGGKLSSASSVPEVKLSQYISALEKLTTLRVLQQASFIFQSMKIDMLSRMIPFFDFSVVEKISVDAVKHNFVAIKVNHLSGAVHFGTVDIESDGLSDHLSVLADSLNKARNHIRPPVKKLTKLGESLISLAGIVENEHKRLLARKSIIEKRKEELERKILEKEKEEETKRMNSQRKTADEERVRLLNEQKQREHERIRREIEEKNKAEAKKLLEDLKKAGKKHVVVEGELTKEAIMELALNEQLKERQEMEKKLQRLAKTMDYLERAKRQEEAPLIEQAFEKRLEEEKILHEQEQL >Sspon.01G0013770-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:38515693:38516359:1 gene:Sspon.01G0013770-2C transcript:Sspon.01G0013770-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCCRSSLRAGAAGPHAAEKPPRHRHRPPPPPTTNGPSFSLNAHQAAPPPARTAVGDVPVFAEFSLAELRAATAGFAPENIVSESGEKAPNLVYRGHLKGPRGAGAPPRAIAVKKFAKLAWPDPKQFAEEAKGVGGLRHRRMANLIGYCCDGDERLLVAEFMPNDTLAKHLFHC >Sspon.01G0022220-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:81522192:81526386:1 gene:Sspon.01G0022220-1A transcript:Sspon.01G0022220-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFNKSRGGGLGAGGDELVLRGSISKKWTFLLCLGSFCIGLLFTNRMWTMPEPKEIIRRSTLEVEKMNLVDGDCAPKSIGDAKDVPGEVPRTQDVIQTLDKTISNLEMELASAKASQESMLNGAPVSESTGKRKYFMVIGINTAFSSRKRRDSVRATWMPQGEKRRKIEEEKGIIIRFVIGHSATPGGILDRAIDAEDRKHEDFMRLDHVEGYLELAAKTKAYFVAAVSMWDAEYYIKVDDDVHVNIATLGNVLARHRSKPRAYVGCMKSGPVLAQKGVRYHEPEYWKFGEWGNKYFRHATGQLYAISKDLASYIALNQHVLHKYANEDVSLGSWFIGLDVEHVDDRRLCCGTPPDCEWKVQAGNVCAASFDWSCSGICKSADRIKEVHQRCGESQNAIWNAKF >Sspon.02G0016940-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:25339090:25340505:-1 gene:Sspon.02G0016940-3D transcript:Sspon.02G0016940-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGTSSGSSQGTQSSRSEDGLDLQAQMERRKRRKESNRESARRSRLRKQQHLDDLTSQVNQLKDQNKQLSMALGITSQNLVAVQAQNSVLQTQKMELDSRLGALTDILWYMNSSTSTSTAPTNPAMVNGFTTWSSASDILGASTWNQQQPIDLYQCF >Sspon.05G0027350-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:5B:52047723:52049555:-1 gene:Sspon.05G0027350-1B transcript:Sspon.05G0027350-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSGVNGSMADCYHRATLDPDGAFRQYVYPKQDNNLLNQAWSVVGMEPQNICAVQTKIGSGTCGFNSYCMLNGKTYQTTCVCPAQHSFIDENRKYKGCKPDFQPQSCDLDETGSMTQFQLTSMDNVDWPQADYEMYTPITESLCGQLCLTDCFCAVAVYHVTDNTCWKKKVPLSNGYMGSDVQRTVLIKVPKNNSTHSELVDSSNKWKKDKKNWILGSSLFLGSSVLVNILLLSVILFSTYCTITIKEVPSLPSSSNVGLPLQAFSYAELEKATGGFQEVLGTGASGIVYKGQLKDDFGTNIAVKKIDKLEHETEKEFTVEVQTIGRTNHKNLVRLLGFCNEGKERLLVYEFMTNGPLNRFLFGD >Sspon.06G0003860-4D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6D:9390135:9390836:1 gene:Sspon.06G0003860-4D transcript:Sspon.06G0003860-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRGGGRRLPKPSMAPSTASEATPALDASVIRNLDSAFSRRDSDAASLCSSRPASTAGAGVGAAPNFSDRPTQVAALRVVNGFLAPAVTLRGPLPVARDIQAALRLLVDRLQLARNDATFEDDLIQDLRILGCPYKVTRSAFKAPGTPHSWPVVLAVLHWLTLLCQRDDLDAQGDPSNDLLLYITQCYSHFLLGDDETVADLDEQCASKARMTGEASVATVRALEKEAEELETE >Sspon.06G0011320-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:48254847:48330475:-1 gene:Sspon.06G0011320-3C transcript:Sspon.06G0011320-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding QWISAVDIKTAVQQISPYLEDTSNDAAPVIYFEGWYGLGASAVLRAIAEQPPQSLREKFDKIIHIDCSMWKSRRALQRVIVDELKLTQQVAADFEWQDDEDDFGGVDQSSRTEFGDVTRVIARNLVQYRSLVVFHNGSEDVVDLTDFGIPAVKFFGTKVLWTFRGRLRLNSKIWEKVEASHLFVYAMDDHHSNWNAHLAEEAREIALHTLHKLGLSVTPKIATECCLYILSLNDQAGWNTTNYNWATHASSYWDCDGIIGGGQDNQTWDVAHALQQHIRLEDYSSITELPWPVNRLDLSSKQWISITKSYFKEVTPDTTTLFFAPDKSSPRVLSLPSDKFHKADQLRVLKLCRCTFSFSSPPFHCCHNLRFLGLDKCMDELQQGKEEKTGERAVEIFQRLWVLDVCHTDWPLAFPPETEEQVFTNIREVHINNGSIWRSKIAWRGMPNLHKLRVVKPTSPWETYQELPSSLESFSLDAGRDHENEAGISRISLAGCAILSDFILRGSLPNLEELDLSHTAIKILDLGEVVEVKNLQRLFLMGCRQLRSISWPKNKMHKLRLLCIDTRARGGEVNNRKPRSCDCSLMVYQQDEVKEYCHAYVAVEDMRFLQSLQFLWTGETIECDKWNLCLSSTSDDDGRGCHKEKMGSHYSTGQLAAALSLPKSLAYHDISIEQISAKIDVVSSSSSSAQFLPLDLHMEIGEGISDVTDKSSTRARKAIWKVMNTVQSLHVHDSSSIIVAPEHIFLHRAGQWPIMNGLKWCRVERCPKLDIVFVANYYYTCFSSMEIFWAAHLLMARSIWSRPSDTTLYRNDLSFTQLRAIHLHFCPRLRYVLPMASNNTLSKVLETLHIHCCGDLRQVFPVEQEFLEKIEASHEKGMLEFSNLKSLYLYELQNLQQICEAKLFAPKLETIYIRGCWGLRRLPATDIRRHKDGHPVAVDCEKDWWDKLEWDGMESGHRPSLYELSHSKYYKRRHLRSTVLRCPEMCRSAGAEANYVQCAATVSGVLKQVISAVDVKTAVQQIVSYLEDTSDDAPQFIYFEGWYGLGASAVLRAIAEQPPPSLREKFDRIIHIDCSMWKSRRALQRVIADELKLTQLVAAIDAQDEEDDFSGADQGSRAEVRGVMAAITRYLVQYRCLAVFHNGSDGMVDLTSCGIPQPEIFNTKVLWTFRGRLRLNKKFWKKVEASHLRLFAMDDHHSNWNAHLTEEAREIALHTLHKLGLGVTPKLATECCLYILSLNDQAGWNTTNYNWATHASSYWVCDGIIGGGQDNQTWDVAHALQQHIRLEDYSSNTERPWSVERLDLSSKQWISITESYFKEVTPDTTTLFFAPDKSSPQAVSLPSDKFHKADQLRVLKLCRCTFSFSSPPFHCCHNLRFLGLDKCMDELQQGKEEKTGERAVEIFQRLWVLDVCHTDWPLAFPPETEEQVFTNIREVHINNGSIWRSKIAWRGMPNLHKLRVVKPTSPWETYQELPSSLESFSLDAGRDHENEAGISRISLAGCAILSDFILRGSLPNLEELDLSHTAIKILDLGEVVEVKNLRRLFLMGCRQLRSISWPKTKMYKLRLLCIDTRARGEVNNRKPRSRDCSSMVYQQDEVKEYCHAYVAVADMRFLQSLPFLWRRGTIQCDKWNLCLSSTSDDDGRGCHKEKMGSHYSTGQLAAALSLPKSLTYHDISIEQISAKIDVVSSSCSSAQFLPLDLHMEIGEGISDVTDKSSTRARDTICNVMNGVQSLHVHDSITSVAPEHTFWTYTYIMNGLKWCCVERCPKLDIVFTTNYICGCFTELEIFWAAHLLMARSIWSRPRDQRLNPNDLSFTQLRAIHLHFCPRLRYVLPMASNTTLSKVLETLHIHCCGDLKQVFLVEQEFLEKIAANHEKGMLGFPNLKSLYLYELQSLQQICEAKMFAPKLETIYIRGCWGMRRLPATDIHRRKDGHPVAVDCEKDWWDKLEWDEESSHHPSLYELSHSKYYKRRHLRSTVLRCEVDCLPSSPGRNSELRPLTEKCHEQLSDDGKVISAVDVKTAVQQIVPYLEDTSNDAPQVIYFEGWLGLGASAVLRAIAEHPPPSLQKKFDKIIHIDCSMWKSRRALQKVIVDELKLTQQEAAGFERQDDEDDFGGVDQSSRTEFGDVTWVIARYLVQYRSLVVFHNGSEDMVDLTDFGIPVLKFLGTKVLWTFRGRLRLNSKILEKVEASHLFVYAMDDHHSNWNAHLAEEAREIALHTLHKLGLSVTPKIATECCLYILSLNDQGKKMIDYNWATYASSYWVCDGIMGGGQDNQIWEVAHALQQHIRLEDYSSNTNLMGSVENLDLSSKQWISITESYFKEVTPDTTSLFFAPDKSSPRAVSLPSDKFHKADQLRVLKLCRCTFSFSSPPFHCCHNLRFLGLDRCTDELQQGETCELAVEIFQRLWVLDVCHTDWPLAFPPETEEQVVATDIREVHITNGRIWTSNLAWRRLPNLHKLQVVEPTNPWETATRDEFKDMVNLELLDLSGNSTIQVLPSLSSATSLKTLILDGCNGLEHVGPQGLPPSLESFSLNTAGAGNKDHKKNAKISYISLAECARLANFILRGSLPNLEELDLSHTVVKMLELGEVVEVGNLQRVFFVGCRQLRSISWPKTKMYKLRLVCIDTRARGEVNNRKPRSRDCSLIVYQQDEVKEYRHAYVAVADMRFLQSLEFLWERETIQCDKWNLCLSSTSDDDGRGCHKEKMGSHYSTGQLAAAPSLPKSLTYHDISIEQISAKIDVVSSSSRSAQFLPLDLHMEIGEGISDVTDKSSTRARNAIRQVMNRVQSLHVHDSSSITGVAPEHIFIDSYGNYEPIMNGLKWCRVERCPKLDIVFATNYYYKCFSSIEIFWAAHLLMARSIWSRPRNPRLDQYDLSFTELRAIHLHFCPRLRYVLPMASNNTLSKVLETLHIHCCGDLKQVFLVEQEFLEKIAANHEKGMLGFPNLKSLYLYELQSLQQICEAKMFAPKLETIYIRGCWGMRRLPATDIHRRKDGHPVAVDCEKDWWDKLEWDEESSHHPSLYELSHSKYYKRRHLRSTVLR >Sspon.05G0004910-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:15293485:15295016:1 gene:Sspon.05G0004910-1A transcript:Sspon.05G0004910-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding QRFTVPQKFFLHFYVVGAVVTTSLLLAIWFYAYMKMTPLMPEASSYSTIASHLVGGSNSFSLANFWSSHPMEHKYRVWRTVFVLILMEIQVLRRLYETEHVFHYSPSARMHIIGYLTGISYYVAAPLSLASSCLPEAIQYLRYQIAEFIVKGRARMPDLAIDPSHLLKPLLKLGWCQWIGAVIFIWGSLHQIRCHAIQGSLREHKDSDEYVIPCGDWFSRVSCPHYLAELVSSYSLPVLVNIQDVVDTDEYGRSH >Sspon.07G0015140-1P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7A:54313551:54314306:1 gene:Sspon.07G0015140-1P transcript:Sspon.07G0015140-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLLYTLLGSLLLLCSLQHAPLHSVIVAAAANGDTLAAGQTLAAGDKLISRNGKFALGFFQFQPPVPSSSISKSTDVTTTSSPGWYLGIWFNKIPVFTPVWVANREKPITDAELKLTQLKILQDGNLAIILSGNATTTESIIWSTTTHFINRSTETSTNTTSAALRNNGNLALVAHKYPSSGSNEVLPLWQSFDYPTDVGIPGAKIGWNKVTGFKWMYISKKNLIDPGLGSYSLEIDTNGVLFLGRRNPPL >Sspon.06G0021220-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:13143139:13143825:1 gene:Sspon.06G0021220-1B transcript:Sspon.06G0021220-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DDMSRRLGRVVSDLSHSNSRSVTTDHSLHHQSATTTSNNEGGNVSKATKQKLSLLISSVVSSWTRSESSGETGGREKNGSRKQQQANGGTGGRRRRGLEIVLAVRKYVAMLEQLLTSSYSASSSRTDVGSRRRDGRPHTFTSARHTGGNAAHAQSSKRHRGRLSSAPASLRGSPATSGHLCYVGESVNKASTSSSEVSTMEELQSAIQAAIAHCKNSVAAAGDKQQQRK >Sspon.01G0017520-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:64352434:64353377:1 gene:Sspon.01G0017520-1A transcript:Sspon.01G0017520-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPSRLLALLRAGAVDPPPFRVLLKLHAHLLVSGLLSSHSTSPFSERLVAAFALSDPASPRPLLHALAILASLPSPPDSASPYNAAFRALSLCPHLHLVDRHCVPLYRTLLRSGSARPDHLTFPFLLKACARLQYRSYGAAVLGHVQKLGFSADVFVVNTALHFWSVCGSMVLARRLFDESLARDVVSWNTLIGGYVRSGLPREALELFWRLAEDDKAVRPDEVTMIGAVSGCAQMGDLELGKRLHEFVDSKGVGIDGGCTYAVR >Sspon.04G0012500-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:41603720:41612856:-1 gene:Sspon.04G0012500-1A transcript:Sspon.04G0012500-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPLLGSTDLWRPVAAARGGGWATAAALLLLLASHLAVLLVRRRFRLRGGGRIAQPEAAVAPAPASTPSGSASGIEGLVTEDDLRQLVGSLGLGAREPERQGWEHVISKSNDDVSYKAWCDKPAAGPPKYLSITTYERCSTEQLRDFYMDNEYRMEWDNTVTKHEQLQYDENSGVEVGRTIKKFPLLTPREYILAWRVWEANDKSFYCFIKECEHPLAAQQRKFVRVRLLRSGWCIRKIPGRDACQIIVLHHEDNGMNIEMAKLAFSKGIWSYICKMNNALRRYPQHRSPSLSILTMQKLMKKFPQDLEAADASLSASQNTAASVVPSTRTARTSPCKLPGKKSSRQMIASGLLLVGSIVCLSRGRSNLGAQLAMAFFLKKAFKQERESGSSKSRAKTDVTKCRR >Sspon.05G0002350-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:7174794:7175663:1 gene:Sspon.05G0002350-1A transcript:Sspon.05G0002350-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLHRFHVAYLDKAAGSPPSSPTSISLASQSSSAAIPLALQQYCLRPLAPKISFPEARKMVVLPEFARVRNASSRLLNCTVQVPTGGTTRWNPSPDQIRVLEMLYRGGMRTPNSFQIEQITEELGKYGRIEGKNVFYWFQNHKARERQKQKRAALLTLSTTSSTLLPAAAAETKDGVETKKEQACEDASSRKRRFRTWDDVDHGGGGDAATDDVTLELFPLRPQGKAS >Sspon.07G0033220-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:58111755:58137987:-1 gene:Sspon.07G0033220-1C transcript:Sspon.07G0033220-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELASGAVSSLLGVIRNEARLLGRVKGDVQFIKEEMESMNSFLLHLARTAPPGGEHDEQVRTWMNQVRLLAQDCNNCIDLYVYRGNPDIQRAKGGLRRYLWWAPWFLQKMVAQHQAAVQLRELKERASDVGKRRLRYGVEVPPPASVQQSPHAHGHGADGLKAPSSSPQLAAAPAAAAGTLPAEDDDEDGVDDGHHVVALATDKSGGSRMARVFLERTMEEYFEQKLGEWLEEMTILRRVRPIPSLAIIVTPQTHDKACALARQGLDYVLVDIPKVHLDFLPLRPKEILYYILRELELEHAKSQQSQAQQQEGTFGWRSERMRKRSIYWGKKEVISEIKYTIQQMEVYSKLEVIKSKIQEVKVDQLQLDLKKMKDEEVRGEISNQPLGVLLLLLKIAAAASDSDQQEQAMKKKAMSSLSIWYDCIIEETAIKLKYHIEEVEVLVEGEDDEEEETANKKLQCHMEVEQAAGVKQTSQICLHKAQYKNILGDVFPRNSGSKAPEQDTKKAKEITTAAIRLDEDQLKEIIDKVKQEVLREVLPMAGNSEPLHNNEQANSAAKQAQNTTTPTLRLSEDPIIETKSQKDGQGQEVKDGQGQGHQIPDSMVEETREKIEIIKTKIKAQLKMKGIMDRIEHLLGDREIMIILSIEEMMDVSTWEETRNALSLLDCVDGALIITTAKRTQQAKQYCYPQWDPIECSLVGLYHAAALALTSQKMQQDTYNPQIFHNILEECKPYEFCMKIFTHALYAKPKRSNKELHELYSILKAVSPRSFLGIAKKMFKFSYNDLPKEYKTCLLYLAIFPQGHTIRRSTLIGRWVAEGLITNEDWPTSVRQAEQCFDGLINRWLIYPGDIGATGKVKSCMVGAEIHGLITKIAKKQHIVETRLSHHLARHFSIFNDVQLRGSDRVNKFLKRLSAGSSLSLLKVLDLEGCHCFGGKNQRYLKDICSEILLLKYLSLRGTDITQLPNEINNLHELEVLDIRETKVPASTTKHILLLKLKRLLAGHTNTSPSTNGTDMNDKFDSVRIPYNIEKMVKMEVLSNVKASQTGSEMKDIRKLYQLRKLGVVIEDTDRHLRKLLRVISDLHECLQSLSITLPTTISEANPSTTELPEDIGRHLNFSLKVLESLSISGTTEKGQLLPFLAKYINQLDKVTLSNTQLNQADLDALANLPMLRCVRFRHIAFTESKLTFKKDDFPNLKCFLVEGSNLTDIMFEDASASKLEKITLSSTKIKSISEVNELPNLEELELNNNTSNNLLSVLFGNAQQRAKLTLCGTLLKQDDLQMLAKKPNMRSLVLLEKSCAESQLTFKKDEFPELNTLVVDCAGVTKIEFTSGSAPKLEKIVWTFTKMESLSGINDLPRLKELEFNGGPVPNEVEQATKTLRKRPGFNFKHNKPENQEQTKEDAAEEDDDVLDIRQTEIPQSLTRDLCLLKLKRLLTGHMDPNYNSIFSSTYIPEKVEKMDGMEVLSVVRPWTSQELSDIGKLWQLRKLGVVIEDKRTHLMVLIQVISNLNECIQFLSITLVPESKYTPSKGRLLPPTNSGSRLLRYRPKFLESLNISGSTKKVNLLGELFLDNENSQLTKITLSNTALSEDDLVVLSKLPMLRCVKLRCNSYAENKITFKNDEFKNLEYFLIKGSKMTEIIFDHGATELKKMVLPLSDGLNLFGITKVTLRGTMLKEDGLQILATKPNIRCLVLLDKSYDESQLTFGKKDFPKLNLLTVECSNITKISFAPGSCPKLEKFIWTFNFTSMELLTGIGNLLRLKEVEFNGEFGVIRNEFQLLGRVRGDVQFIKEEMESMNSFLAHLARTEPPDGEHDEQVRTWMSQVRLLAQDCNNRIDLYLYRGNPEIHRARGGLRRYVWWLPWFVRKMAAQHRAAVQLRELRDRARDVGERRLRYGVQVPATAAPADHHHQSPPATPSPSSSAQVVALAPASASRGHARDDDEDDGDGHHHQLVMAATTDHPRRRRSGCFLLEPPTLDDYFQRKLREWIHKIAKQEIVLSASLAIVAAPDSHKDAFTLAHETLIVPGIYYHRSIFVDIPAVHQKFAPLRPKEILYYILRELQHAKPQSQTQQLKGTGCPSNEEEEEERHLDWLDAGYRRLEIKVGKKRLLGEIKTSIARMKVYQKLEKIEKESQYERILLDVFPATSNSKPLQTKEATKTTAKTLGEHQIKQMIHEAKQEILQELQEGKSDKNQATSERSNLGQIPEYEVEEIMHKIESINVELKKQMQIQGIVNKIKYHLKDETPLIILKIDDHEMDGSRWKETRNSLNLLQCDADALIITSTKNTQQAKEYCYPRQEPIYYTLAGLYQDTVLKLTSQQKDGDYYKKSQVLRDILDECEPYELCMKIFAHALYAKPKRSIEERHKLHISLQVSPKSLERVAKKMFKFSYNDMPKEHRSCLLYLAIFPQGHKIRRSTLIGRWVVEGLITKEDWSTSVHQAERCFDMLIDRWLLYPGDISASGKVKSCMVGDLVHGFITKIARKQHIVETHLSHHLARHFSIFNDIELQGSDKIETFLQSISKSSKWSMLKVLDLESCQCFKKNYLDEICSNILLLKYLSLRETDVTQLPREINNLHELEVLDIRQTTVPASATKNLMLLKLKRLLAANNGSGVRIPHRIGKMVNVEVLSNVKASLIGTGRDLFDIGKLWKLQKLDIVVEDKSHHLRNLLRAISDLHECLLSLSITFHTTGYEGTPSSEELPPSLLRYPPKLLESLSVSGTTQKGRLLPLLAKDHHHQLAKVTLSSTSLNQEDLKVLAKLMVLLCLKLENIDCTESVLTFKKNEFQKLNYFLLQRSSLTEIVFEEGATPELKKIILSLTNIERVSGVEGLPKVEELEFSNNTSSSLLSSIDNAEQITKLTLRGTLLKQANLPILAKKPNVRSLELLDTSCCGSQLTFNENEFQKLNTLIVNCSDITEINFTTRSALSLQKIVWTFTNMQSLSGINNLPKLKELEFNGGLSLLRWKKPLTSLRKDLVLTLNTTNQKIRNKRKEMQQQKMKMMMQGSRSAGRKRSQIITTMSGKWLVTLTPPVA >Sspon.03G0027190-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:5042221:5047380:1 gene:Sspon.03G0027190-1B transcript:Sspon.03G0027190-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLLLLKPALLLLAVVSALSLLSPRTAAAATSTTAGGKPLVTAITRDAATKLYMAPLKDAQPLVLDLSGPLLWSTCAAAHPSYECHHAACAHAHAHHPPGCPRTGHGVADKDDPFRCRCRAHPYNPFARRAGSGDLTRARVTANATDGANPMAPVSFIAVAACAPRTLLAGLPAGAVGVAGLARSKLALPAQVASKQKVARKFALCLPGAGNGLGVAIFGGGPLFLLPPGRPDVTVSLAGTTPLRGNPRVPGYFISAKGIAVNQAQVQVQQLGPLVVALCSRIPYTVLRPDVYAPLVRAFDAATAGMKRVIPPTPPFELCYDSRELGSTRLGYAVPQVDLMLESGATWTVFGGNSMVQVSDDTACFAFLEMKKEKQEGGHGYGGDAARPAPAVVIGGFQMENNLLVFDEEKGQLGFSGLLFGRQTTLLSPRTAKASTSTTSGGKPLVTAITKDTATKLYTLLVLNLSGPLLWSTCAAAHPSYKCRHAPRAHVHHPSGYRRTGHGVSNPFRCRCRARPYNPFVPRAGSGDLMRAQVMVNATDGANPLAPASFTAVVACAADLARRPPSGRRRHHEPRALQARPAGVGRQQAEGRKEVRALPPRPAGNGWPSSAGAPLFLLPLGQPGVTASLAGTTPLRGNPRVRVLRLGQGHRREPVALGSRIPYTVLRPDVFAPFVRAFDVATPGRERVNPPTPPFELCYDSRELGSTRLGYAVPQVDLMLESGANWTVFGGNSMVRVSDNTACFAFLEMKEEKQEGGHGYGYGGAAAPAPAVVIGGFQMENNLLVFDEEKGQLGFSGLLFGRQTTCSNFNFTLAG >Sspon.03G0020880-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3A:64618254:64618800:-1 gene:Sspon.03G0020880-1A transcript:Sspon.03G0020880-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWTSSSPSSVGTVVKILGNDAMVGSIGNLYGSVEKLDETYVRSAEAKGALLAPAGGYDGGKLLQLPEAAQSTEFYRCASGGNYSECITYMSKVSGTCCLSNNCSRTMTTKMIVVDSSRAAAQSSGHAAGKGFVQGVVTYTVMDDLKVAPMSTISGITLLSTFGITDIGMLQEKTVKLGYEE >Sspon.01G0007450-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:20389405:20392557:1 gene:Sspon.01G0007450-3C transcript:Sspon.01G0007450-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGPWAATATTCIFLAAAVTDWLDGYIARKMQLGTPFGAFLDPVADKLMVAATLVLLCTKPLETSLLNDGPWLLTVPSIAIIGREITMSAVREWAASQNSKVLEAVAVNNLGKWKTATQMTALTLLLASRDPSLPAQGALVAPGVALLYVSAGLAIWSLVD >Sspon.02G0036890-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:23366162:23368618:-1 gene:Sspon.02G0036890-3D transcript:Sspon.02G0036890-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFKNTFQSGFLSILYSLGTKPLQIWDKEVVNGHIKRPQDEDIQSNVLEIIGTNVQSTFITCPADPSATLGIKLPFLAIIVKNLKKYFTFEIQVLDDKNVRRRFRASNFQSVTRVKPYICTMPLKLDDGWNNIQLNLADLTKRAYGTNYVETLRVQVHANCRLRRIYFSDRLYSEEELPPEFKLYLPIQKS >Sspon.05G0011960-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:34916253:34918292:1 gene:Sspon.05G0011960-1A transcript:Sspon.05G0011960-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ferredoxin [Source:Projected from Arabidopsis thaliana (AT2G27510) UniProtKB/TrEMBL;Acc:A0A178VWS0] MKKNLKCRLKHPKMATFTSPVLCNFMTIQQKSAPLLNNSTKRPLHLSFPGTTRSVPTTLPSFRARQDLRVAAVYKVKLIGPEGQESLIDVPEDSYILDAAEEAGVELPYSCRAGACSTCAGKVLEGSVDQSDQSFLDDTQVGAGYALTCVAYPTSDCVIQTHREADLY >Sspon.01G0004560-3C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1C:11443117:11444744:-1 gene:Sspon.01G0004560-3C transcript:Sspon.01G0004560-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAGGGAVRALSQKEQDIQMMLAADVHLGTKNCDFQMERYVFKRRTDGIYIINLGKTWEKLQLAARVIVAIENPQDIIVQSARPYGQRAVLKFAQYTGAHAIAGRHTPGTFTNQLQTSFSEPRLLILTDPRTDHQPIKESALGNIPTIAFCDTDSPMRYVDIGIPANNKGRNSIGCLFWLLARMVLQMRGTILPGHKWEVMVDLFFYRDPEEAKEQEEEAAAAPDFAAITDYQGADQWGAEQWTSDVAPPVAPTGADWGAAPAPVPTGDGWDQTGAPVPADGAVPPVIAPTGWDPAAQPTAQGWE >Sspon.01G0047700-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:105098228:105101351:-1 gene:Sspon.01G0047700-1B transcript:Sspon.01G0047700-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKFTAEELRAIMDKKNNIRNMSVIAHVDHGKSTLTDSLVAAAGIIAQEVAGDVRMTDTRADEAERGITIKSTGISLYYEMTDESLKNYKGERDGNQYLINLIDSPGHVDFSSEVTAALRITDGALVVVDCIEGVCVQTETVLRQALGERIRPVLTVNKMDRCFLELQVEGEEAYQTFSRVIENANVIMATYEDKLLGDVQVYPEKGTVAFSAGLHGWAFTLTNFAKMYASKFGVDEAKMMERLWGENFFDPATKKWTTKNTGSPTCKRGFVQFCYEPIKQIINTCMNDQKEKLWPMLQKLNVTMKADEKELIGKALMKRVMQTWLPASTALLEMMIFHLPSPAKAQKYRVENLYEGPLDDVYATAIRNCDPEGPLMLYVSKMIPASDKGRFFAFGRVFSGKVATGMKVRIMGPNYVPGQKKDLYVKSVQRTVIWMGKKQESVEDVPCGNTVAMVGLDQFITKNATLTNEKEVDACPIRAMKFSVSPVVRVAVQCKVASDLPKLVEGLKRLAKSDPMVLCTMEESGEHIIAGAGELHLEICLKDLQEDFMGGAEIIVSPPVVSFRETVLEKSCRTVMSKSPNKHNRLYMEARPLEEGLAEAIDEGRIGPRDDPKVRSQILSQEFGWDKDLAKKIWCFGPETTGPNMVVDMCKGVQYLNEIKDSVVAGFQWASKEGALAEENMRGICFEVCDVVLHADAIHRGGGQVIPTARRVIYASQLTAKPRLLEPVYLVEIQAPENALGGIYGVLNQKRGHVFEEMQRPGTPLYNIKAYLPVIESFGFSSQLRAATSGQAFPQCVFDHWDMMGSDPLEAGSQAAQLVLDIRKRKGLKEQMTPLSEFEDKL >Sspon.01G0008790-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:25565199:25567781:1 gene:Sspon.01G0008790-2D transcript:Sspon.01G0008790-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSCQMYHHQQQLQSHSHFLSSRQTFPPERHMLLQGGSIPAEPGLVLSTDAKPRLKWTPELHERFVEAVNQLGGPDKATPKTIMRLMGVPGLTLYHLKSHLQKYRLSKNIHAQGNGGNAKNVVGCSMAMEKPPEGNGLPASHLNLGTQTNKSVHIGEALQMQIEVQRRLHEQLEVQRHLQLRIEAQGKYLQSVLEKAQETLSKQNAGSVGVETAKMQLSELVSKVSTECLQHAFTGFEEIEGSQILQGHTIQLGDGSVDSCLTACDGSQKDQDILSISLSAHRGKEIGGMAFDMQAKERGREDLFLDKLSMTPPSHLDRRERDSFSMTRKAAKLDLNINDTTDGPQNCKKIDLNGFNWT >Sspon.02G0005710-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:17960778:17961425:1 gene:Sspon.02G0005710-1A transcript:Sspon.02G0005710-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKSWLCPWDHASTYSHNRSLVSVEPSDAASSNTLEPVHTFQLHHHPFAPEECYTTTVAIAPYSKTPSHAQ >Sspon.07G0004000-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:9884509:9885999:1 gene:Sspon.07G0004000-1A transcript:Sspon.07G0004000-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALTSDRFMGRALRIHRHVKRGLAAGLRRCSRGAATTAVPAAASPSPPALRQLGENAFAVDADALLLKPSPGAAFPPYFLAAVEAGGYARGLVLLALYPVLRALPLGARVRAMAMVSFCGLRRDEAARVGRAVLPKLFSREAPGVHAVEPALRELPKEAKVVAVSQTFPTVMVEAFLKQYVGFDAVAGRELKGGPRYLTGAMAELDTERVVARVLEQTEKTSSCSYGPKPVVFHDGRLAFTPTAAAALAMYIYFPFGVVLAVIRIAIYILLPWRVSAVAAALTGVRVRVIGATATPAADDDSGKPHAGRLYACNHRTLLDAVGIASALGRPVASVSYSLGRLSEVLSPIPLRRLTRDREEDRRRMSSMLARGDVVVCPEGTTCREPYLLRFSPLFAELAAEVTPVAVDARTSVFYATSTSPLAKSLDSVYFLMNPRPEYSVQFLEPVSTEGGKSSIEVANEVQRDLASALGFEGTTLTRKDKYLLLAGNEGVVKTK >Sspon.07G0010620-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:36307022:36308003:1 gene:Sspon.07G0010620-2B transcript:Sspon.07G0010620-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SLTSTKEHIKQLVYNLEILALSSEDAQKGNVWLDTINYTIVGNPRIVIVKHFIEPTLYDKVKFVYSNNSYSQRILADMFDVDKLEFAFGGRNTTSLDIKYSERMRRRDQIRGACKDAS >Sspon.08G0016340-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:61594640:61596736:1 gene:Sspon.08G0016340-3D transcript:Sspon.08G0016340-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Arginine decarboxylase, Chilling stress respons [Source: Projected from Oryza sativa (Os06g0131300)] MPALAVDAATPVAHAFASCDAAARFPAPPVVPPAAAETAPWSADLSAALYNVDGWGAPYFFVNDDGDVAVRPHGAATLPGQEIDLAKVVAKAAGPRAGGGLGLPLPLLVRFPDVLRHRVETLNAAFGYAVRSTGYGSRYQGVYPVKCNQDRYVVEDIVEFGAPFGFGLEAGSKPELLLAMSCLAARGNPDALLICNGYKDDGYVSLALMARSMGLNTVIVLEQEEELDIVVDASRRLGVRPVVGMRAKLRTKHAGHFGSTSGEKGKFGLNAAQILSVVTKLKAIAMLDCLQLLHFHIGSQIPTTALLSDGVGEAAQIYCELARLGADMRVIDVGGGLGIDYDGTHSAQTDMSVAYSLEEYAAAVVAAVGRVCDRKGVQHPIICSESGRALVSHHSVLVFEAFSATAPGRLDAATAYLLDELTDDCRADYRNVMAAAVRGDYDTCALYADQLKRRSAEQFKEGVLGLEHLAAVDAFCELVARGMGAPEPPRTYHINLSVFTSLPDMWAIGQQFPIIPIQRLQERPAVDGVLSDLTCDSDGKVSEFIGGRHSLPLHDLPTHATRGYYLGMFLGGAYQEALGGLHNLFGGPSVVRVSQCDGPHCFAVTRAAAGPSCADVLRAMQHEPEVMYEVLKQRTDGATAAALARAFGAMPYLVFDPEAAVLSSGESSGMSSDSEGSAAGAAEEEDDEEWEFMRGLTV >Sspon.08G0007850-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:26893610:26895418:1 gene:Sspon.08G0007850-1A transcript:Sspon.08G0007850-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDALLEGEEGALYRGDGVEGKSECSGVGRSASPLGRSVGLPLWQVGLPFVWWVLDSRAPDSSFQVSPMAGYLPPGSPFFPAPPPRSTWSRPLPQTYWQFSPRPRDNNTTSPGVMVGVAICVSAFLLVLILLCRYRNSRANAAAHAAAAAAALAARPPAPPQPEYWDNNEEQWLRRHRSDDRDHDGRTQHASPTAELPSFAYNRAVRHNMTGSGDEAATCSVCLGAFQVGEMVRLLPVCLHLYHVECVDPWLEEHSTCPLCRSGTDDATMHGGLLPPV >Sspon.06G0004160-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:13629860:13634748:1 gene:Sspon.06G0004160-3C transcript:Sspon.06G0004160-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRSVSGSNSSRGIAAVVGVGPRLGSAVARKFASEGYTIAILSRDLGTSIYPLARSSKLSQLAEEIAQEAKAQVFALRVDCADARSVREAFEGVLSLGPVEVLVYNACEPPPANDDEAAAACPTPFLAVTPDAFHRALAVSAGGAFHCAQQVIPGMVERGRGTIIFTGSSASVTGFAGYSDLSCGKFALRGLSQSLAREFQPAGVHIAHVIIDGVIGERRSPRGSRAGSAGDTAGADPDAVAQSYWHVHAQDKSAWTHEMDI >Sspon.01G0055590-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1C:81842382:81842777:1 gene:Sspon.01G0055590-1C transcript:Sspon.01G0055590-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMEVPFFVSILLLPNRDALRRCRCYSASAPPYVTLCHRNPTNVTDVSGLLCPTTDLPAADLAWLPALTPFHCVCSADRCNSTFLRHLQQEAVGGRKVRAAAQIIGSIFHLAAAASPTGLETNDEHAPTAE >Sspon.03G0032640-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:47862720:47863975:1 gene:Sspon.03G0032640-2C transcript:Sspon.03G0032640-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSATTAAVPFWRAAGMTYIGYSNICAALVRNCLKEPFKSEAASREKVHFSISKWADGKQEKPSVASPRRQGVAEALGVEGATTYTRMASA >Sspon.04G0007320-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:20075478:20080321:1 gene:Sspon.04G0007320-1P transcript:Sspon.04G0007320-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAIGGDAPVQWDKVDGAEVANGGGGGAAGRLEKILVSVRLRPLSDKEIAGGDPAEWECINDTTIISRSTFPDRPTAPTAYSFDRVFRSDCNTKEVYEEGAKAVALSVVSGINSSVFAYGQTSSGKTYTMTGITEHTAADIYDYIAKTIESSAREFLGKDKSTTLVASVNFVDLAGSERASQALSAGARLKEGCHINRSLLTLGTVIRKLSKVRNGHIPYRDSKLTRILQPSLGGNARTAIICTMSPARSHMEQSRNTLLFASCAKEVVTNAQVNVVMSDKALVKQLQKELARLESELRCPTSYSGLEALVKEKDNQIRKMEKEIKELKLQRDLAQSRLQDLLKVVGDNHSSKHPLASSGRNFTFDVPQPCEDERSTTSEVVSSGQNSRLQGRQTIQRDYRSQQSENDVQFATPLSYSVSSPPFSGMPPTNGRDDNSQISNEDSEDLCKEVRCIETNETEENECLESSALGSNSLQDSNVASSMQGDNNPNRSVNSRQHDASPITLEQHLENVKKPFANLGMDLGSSTRNSSSSRVIGRSRSCRSLMGSTLLEDLEKVDWTPPSRSFMDYPGRPETFQRRVPALNYDAESETLSRAGSMLSEIITARDGLKENSSVAGDTEFVAGIGEFVAELKEMAQGDNGELAEGTIRSVGLDPIMDALQSPSRWPLEFEKKQQEIIDLWHGCNVSLVHRTYFFLLFKGDPADAIYMEVELRRLSFLKNTYSNGSMELNVVAGSPSTSLVSSAKKLQREREMLCRQMQKRLTIQERESLYTKWGVSLSSKRRRLQVARRLWTETKNLEHVRESASLVARLIGLLEPGKALREMFGLSFAPQQFTRRSHNSWRYGRSSLD >Sspon.08G0029170-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8D:26668782:26670212:-1 gene:Sspon.08G0029170-1D transcript:Sspon.08G0029170-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFSSNLRHVRSLYQLVVVNNALLVIPVSLIITGIFVGALHLGFSQHIAHVDLHALQPIHWFLALAAFVSAAALSYYYLLNHSHDVYLVDYACFKPNFLYRCPSSTFNEHMHFMPFLEDRTKHFITRVAEHSGLGDETCLPHAFFYMLPIYSLREARSEAELVVFSTIDDLFSKSSIKPSAIDILIVNCSVFAPTPSFSDMIINRYKLRSDIYSVHLSGMGCSAGLISVGLAKNLLQSTPHGRYALVVSTETISNLMYKGKKREMHLPSVLFRMGGAAVLLSNSRNNKQARYRLSHLTRMITTSSESAHNCVKLDEDEEGNMGASLSKDIIAVSGETLKTGISSIGPLILPATEKLLFLLSCMARKVLNDRIKLYVPNFCTAVEHFCIHPGGPAVIDAVQNNLRLSDTHVEPSRMTLHRFGNTSSSSLWYELAYVEAKGRMRKYDRVLMIAFGSGYKCNIAVWECIKEPHFADGPWA >Sspon.02G0027360-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2A:99073682:99074098:-1 gene:Sspon.02G0027360-1A transcript:Sspon.02G0027360-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLERLKLAWKIMFPAPTIKDTSNANIAKQRLKMILFSDRCEVSDEAKKKIVEHVIEALSEFVEIESRDNVQVDISTDAGLGTVYSVTVPVRRVKPEYQESEEQYRGKIVGVDFKDTGESSGNVDVTFDFFVPNENY >Sspon.03G0001250-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3A:3170312:3173264:1 gene:Sspon.03G0001250-1A transcript:Sspon.03G0001250-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEACGCGARWSGGAARRRRHVSASKRIRWRRRRASGCGNSSNLPATELPSSLSQSRQFLPRSVRPPPLPPPSSAGPASAAAPGQPALAAERRRRPKVHAAASSARCMPLARRPPIPATRLRRSVARALRLQFPSPRPALPLRPILLPLAVLAELGCAGGGGFLRPPTFGLVRRYTTQGIDLPCTVLVRLRNINWMI >Sspon.02G0002490-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:8125819:8127123:1 gene:Sspon.02G0002490-1A transcript:Sspon.02G0002490-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAISSSKVGAALVMLLALLAAGAGPAAGATSTRPGCFVGWSPLKTVSSLFCLLRGPNPFPGPKPAPPGQALSVGYYSKSGTSYYCPRAEQLVREAVVNATSGQNRGLGAGLIRLHFHDAFVRSFADETDQLPGPGSTVQQLKDSFAAQGLSLTDMVTLSGAHTIGVARCMFFTSRLSSMDPGYAKNLNDTCNAGGPSTRVNQDYNTPVDLDNQYYKNIDKFVLFASDAALRSNETIAQVTANAGDYSNWEKDFGEAMVKMGKIGVITTPGYGAEIRK >Sspon.04G0005290-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4A:15373320:15373829:1 gene:Sspon.04G0005290-1A transcript:Sspon.04G0005290-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHACTAPALVTDSVTPPPCHHPQVRRGRIQRLLTGAAALLSASASSPLPDAAVLLPASGTQPSSGFPPLPAGFLRRLPSPPRGLPRMRLPAQAARTGEVQGSLHGELLRPGKLPTLLHPDELHGSTKEMQGSFRPYSAPPHRTCYAPTSYGGTSTASYPTPARVRRLPR >Sspon.02G0025230-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:92810050:92813457:1 gene:Sspon.02G0025230-2P transcript:Sspon.02G0025230-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSGAGETAAAKRAKPPWDSATGVDLLSALHDDLLAKILCSVSSPDAVRTSVLSKRWRYVWKLLPDLHFPFLPEPAGSFSYSLDCHQVPLHHLKVEGHGHGQGGLPRSLATWLPAAAHRVSGSFELLINEAPMRNARPEDEDEAATLELPCFERAASISIGLWFLGRLAFPPAGVFSRLTDLWLEGVRFQDPSALGNAISSARCPCLKTFHICHGRGLEKLAVSSNSLVKLDLQRLHGLRELTVEAPELKELQVVDCFTPPGARQLPPPVISITAPGLVVLDWKDTITQDAIGFGHRLPHLRSLGTFLFFVYGDDDADSYNRDCLRILQGFRSIETLTLILAVLDIDDDYEYLMEGITVLPDLTTLHLIVMSSGHCFGDSSLHVLRICTGIRKLVLELSSFPELMVKMCFAYLRLSLEPCPSGCICGQQSNWQTEELVLVSLQEIEIQGFGRSEHDIGFVEQLFGWAISLTKATIFFDESAQTLHPHPTQNSREL >Sspon.08G0008470-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8C:30676132:30677387:-1 gene:Sspon.08G0008470-2C transcript:Sspon.08G0008470-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPSAKALLRLAAVTLMVVLELSLVGANFLGDCDITWEPQNAKIDEGGNHLTLSLVSNSSGSMLRTKKQFIFGSVSTRIKLVKGNSAGTVTTYYTSSIGDNHDEIDFEFLGNETSKPYTVHTNVFADGIGQKEMQFRPWFDPTADYHNYTIFWNQCMIVWFIDDIPIRVFRNYSAQGVPFPTRRQMYAFSSIWAAEDWATQGGRVKTDWTKAPFVAEYRDINLRVCDCAPYGAAGCPDSCASSSNWYAAPDLCQLSEKQLRQMRAVQLGYTIYDYCADGKRYNGTVPLECSMRQY >Sspon.05G0009500-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:27369257:27375792:-1 gene:Sspon.05G0009500-1A transcript:Sspon.05G0009500-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKEMIIRVQTGSEKGHSKAIKVAAAISGVESVTIAGEDKNLLLVIGVGIDSDSITKKLRRKVGHAEVVELRTVDAAVADDLGGRVVAEHAYRYHASPSPYKHHHSGARDHYYGMDHYYAGGSAYAPAPPLYYGGAGGGGYPAQYQQHDYFYQPAAANTHTVVHHEYASDPNGCLIIKRKSSPICCATMTWKRARVTWSGVESVTVAGESRDMLLVIGDGVDGGKLTRKLKKEVGEADILELRTLPATGSTNALTPGGSTVVTQSAYQRHPTTPGRSVPGGGRIECPVAAAAAARWPGEHGRQAVVGYYHRTPSPGYYHQQSPMVGLGQGGYGYAGGRSFALEVARSHPANYSPMIARHDFRA >Sspon.01G0003450-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:8326437:8326628:-1 gene:Sspon.01G0003450-2B transcript:Sspon.01G0003450-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAVLVAVVLMQCCNVMVAARPLLMEAPAVATADGGWLGMIMQVLQGPGGNPGGWQAPGHQP >Sspon.05G0002390-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:7059561:7065071:-1 gene:Sspon.05G0002390-3C transcript:Sspon.05G0002390-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSVPVVDILDDDDDDDTGLAVASPPSCRRSLGSSGISSRDFLDAFSPSPPRHKRPLPAAEAPINLDDTPSPPKRRRSSVSIDLDDTPSPPKRQLSSVPKVPVLVVDEDVAPSAPGDAVTDMPDSIFDCAAFSESPETVVPSSAGLSSVDVETPGFASPRSVRPPAAPGMSSAPPAQKGSGVTSLISLDSDDESDDDIMNREPSINLPSNTEAMMCQKDDNAQHVQAKQKRQPAGKKLTKEEKDKLMQERKQKRQEDKLRKQALKDKLAKMKKMEKAIQKWESGKLALECITVEIDNSVIQRGSIGGPLSSLTENGLSYEPTKNKISGSILWKLDVPDDIAQAFSDLKDNCDMNQNPLSEVKYVAIVLEAEEFCNLISNRLFFDHVQRVRDGYPGFTICYIINKLMNYVNKCEQSQYKNPSNTWRRPPVEEVLCKLATHYINVHSRQCIDEAEVVEHLVGLTSSLAKCKFRQCRSAVLFAARSISMKPLTWLSVHANGAIIPKSFVHKNLAKNDTWLKALIAIPDIQPRYAIAIWKKYPCMRSLLNEYMDASKTVAEKERLLSDLKSEDRLGDECKRLGDKCSRRVYRMLMAQNGGLDTDDPEAGGLPSSQTIEEERRLRWDARGAWAAFLGTGIVFTVGQAGDCDALIGRSEY >Sspon.03G0026080-1P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3C:2467628:2468332:-1 gene:Sspon.03G0026080-1P transcript:Sspon.03G0026080-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRYQESLESSLTLLAKHELKSLEIDFGNYPAADKLMDSICSDARCLQKLICGPSLSRLPQRMSSLVNLAHLGIGVTKIKQEELCILGGMPTLLYISLISSEAPGDRLTIRRQLFCCLKEFVFRTKGIGGLRMVCEREAMPMLKSFSLKFNAEESESDMGFEFSFEHLASLEQLSVDIYCYGATRSRVEAAEAAIKNTANIHPGRPTLQIKRWSEDLMVEDKDEKETWLKDYTAE >Sspon.03G0040640-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:31254430:31256928:1 gene:Sspon.03G0040640-1C transcript:Sspon.03G0040640-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein PLASTID TRANSCRIPTIONALLY ACTIVE 12, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G34640) UniProtKB/Swiss-Prot;Acc:F4IHY7] SCYHPWRLFPGNPPAAPAGPVSAPAHPRTCKSSVTFAGLPHRRRLLFLGTRRARIKCVKDDSLHFDPSKIEPPPYSSYFDSTSGQLEPASGARASIPGKEYWPEGTVARVRAARAPAPVGESAGMPSFGTKPGSRRRGYKEQVTSASGTEGTHTDDGKDDGEPDVVVVDSGDDALEELKDSVDEYVIYETPEEEELSEYDMDKMMGRPHPFIDPAKAMSLGVPKTSEELWWHWRRKSQDEEMWSRWQRRRPDVDTVSAKAMAETGQIKIFGDHPSRTEAALAKTRRHLYKEERLTAEQRRLEEIGPIAYYSEWVEAYKNKDTSREAIQKHFEETGEDENAQLIKMFQQNCWGISYHDGHRCAYSARSFGHENAGRPNQTEY >Sspon.04G0027630-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:60945937:60947058:1 gene:Sspon.04G0027630-1B transcript:Sspon.04G0027630-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGRIDEEIRKFDEKVAGEEGCAVGEQCRRGGARPSGEQGRRGGVRRRGAGPAGSRAGGEEQGRQM >Sspon.04G0023620-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:18896533:18897608:1 gene:Sspon.04G0023620-3D transcript:Sspon.04G0023620-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGAGGIRASSPDLPPARQRLANSARRPRVYSAASALPRLPRRPSIRRGAAVVACARGSEQQSSPPVAAKARPEPDTLSVEFQTRDGCRLGIARYPDFAYNAQGGRGVGAGRGAKSGEDGTVLVNFDVATLYIPSMSGATTRFLGLPLPPFLKIDILPEAMGGTIDRATGQVDLKFRSRFCFSVGSVYKAPPLFVETTLTSEESRGVIRSGTGERMDEEGRCKLVGVAVVDPIDDLFMNTFLSLPTECIAYLNATISIATAR >Sspon.04G0000890-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:4300105:4302443:-1 gene:Sspon.04G0000890-3D transcript:Sspon.04G0000890-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKRGRGGTAGNKFRMSLGLPVAATVNCADNTGAKNLYIISVKGIKGRLNRLPSACVGDMVMATVKKGKPDLRKKVMPAVIVRQRKPWRRKDGVYMYFEDNAGVIVNPKGEMKGSAITGPIGKECADLWPRIASAANAIV >Sspon.08G0012280-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:52452208:52461338:1 gene:Sspon.08G0012280-1A transcript:Sspon.08G0012280-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPGEAAPSTHGAALGLRAAGGRSLRRTGVATPLGDRRRGFPPPLHPQIIICTRTSERTSTDLHSIPVIIKDLPFALLRHPFREGERRKTRPVRTGALDTCSSNPIRVATKEKAKHASQHRFNGGSE >Sspon.02G0000250-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:9952816:9954355:-1 gene:Sspon.02G0000250-2B transcript:Sspon.02G0000250-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIINWMQNRFNGKHEKRRPEAAAISSARESCRQDHAREDKIPNGDWPPQGLLSIGTLGDDPPPAAGDGGGGPPRASQADVLDFTIEEVKKLQDALNKLLRRAKSKSSSSRGSGATDEDRASQLPLDRFLNCPSSLEVDRRISLRHAAGDGGENGEFSPDTQIILSKARDLLVNSNGTAIKNKSFKFLLKKMFVCHGGFAPAPSLKDPVESRMEKLFRTTLQKKMNARPSNAAVSSRKYYLDDKPSGRRMILDGHHDQEDDEKGSDRIKWDKTDTDCKNIYAFNHCSGDLDQEARVQGHCDINCLLMSDDLDSEDKSH >Sspon.07G0000450-3C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7C:433658:435484:1 gene:Sspon.07G0000450-3C transcript:Sspon.07G0000450-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPGATVVCAARDGGELGLLPLRPPPSASSAAIIIYLTAPGLAVMPMRVMASDSIASVKLRVQTSRGVTARKQKLVFDGRELARDDGRIRDYGVADGNVVHLVIRIPDLRLITVETVQGGKFRFRVEPGRTVGYVKQQIAKDRRLHPATRPDDQRLVLQGEDLDDRHLIHDVCRADGAVIHLLVQRSSSKISAAEADDGFEVSIVARDAGQPLPLPRRDVGIEPVVVVGNPDAAAQQLPSAVRDMIDAAVAGMENGNAPIMSSEGTGGAYFMQDATGHRHVAVFKPADEEPMAANNPRGLPVSSTGEGLKKGTRVGEGALREVAAYILDHPRAGRRRSTADAGAPGFAGVPPTALVRCTHKAFRQPDASPLAPPPVPKLGSMQAFVSNCGSCEDMGPRAFPVQEVHKICVLDIRLANADRHAGNILVCKHDGGGMSLVPIDHGYCLPESVSSSDPSISAFICIGCSSSWINSCMQFEDCTFEWLYWAQCREPFGDETVEHVRSLDAEEDIAMLTLHGWEVSRECARTLRVATMLLKKGVERGLAAFDIGSILCRETLTKESAIEEIVREAEAQRQRGGGCDDDQTAFLQAVSETMDRRLDELSPAGAK >Sspon.05G0019620-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:83888946:83890484:-1 gene:Sspon.05G0019620-1A transcript:Sspon.05G0019620-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding CKGDDPAIAATAAIVMLCVASTVLLVDGATEGEQLTTQLSNGFAAAHAAGAAVPFESVLYAPSGAFAFGFLRVGAASLDLAVVHLPSSFPVWRATPARLGDWSRPATLTFDGGSLVLLTGHDDYNGGGVLWQTLDAVGDAVVLLDSSNLVVRRYEKTVPEWESFRHPSDTLVLDQNFTRSSPPLVSANRRFALRLGKTYMALHMEFYGGRTTPTYWKHTALEAQPENATEPPVYGRLDARGFFGLYLDSDDRRVDVLSFHTFLQNLTGAFRRMTLDNDGNLRAYYWASGSKAWTSDYRAISERCELPTPCGAYGLCVPGKAECQCLDNSTSSAAAPPCHAEETADLCDDGIGQQQLGLGFDVVRRKRVSVAYKEELPFETNRTAEECEAACARNCSCWAAVHSGASGYCYLIDFPVETLVYEAEDRKVGYFKVRKPPPARRTGMSPGVVAATDGSSVAGPGRPGHGRSLHRVPDSGEEETETSGDDGAGAGAGGRTWISSPWTAPTIHSGHES >Sspon.06G0011380-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:62070299:62073337:-1 gene:Sspon.06G0011380-1A transcript:Sspon.06G0011380-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding PMDTPRSKSAREIDAGDRISGLPDEVIHHSMSFLPARDVVRTCVLSTRWRHLWASSRCLSVDTRDFINQRRFIKFVTSLLLSRGCRPLDSFRLDANGPGIFLENFRDTAYLWICHALRSNVHTLSIVDHDLKDKYDYIEEGEEDGEGEGESEERPDAFWLGHCPFMSLYLKKLHLHSVCMDKCFVKNLFSGCTALEDLDMINCVILATEFSSATLKRLSIDYHCFSRRKVYGYGDIVINMPSLVSLHIGALCAMLSLVDVQSLITASVCLDDGKATFAGACNILGALSSVKNLELLFPACQFFGSESFALSHNIAGVDSLCKETMSQFHCEKLKKVEIICPQGDQRVGIQHVVKSYAAVHMSIADEFAVAGDVYRGGLTASTVAGNKITSLYPSFNQVSPTTRLTMEPTMHGLMGELNGWRLEGCSQPCRAGMTENARRSSASSKTPWLLLRFTNVAILTDDQHRIS >Sspon.08G0019180-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8C:13275894:13278179:1 gene:Sspon.08G0019180-2C transcript:Sspon.08G0019180-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPPASSSPEETQPAASDVLASSSHWLTQPVGDNTTSEPLSSVDSLRPLMEQWVEISEESCFDPIPPYLEKNAEKVATGKEALGAVVSGCIEAERRFIRICDRFLSYEELCLTEAIKNRARLVQSDGEYPAAAATLLAITKEAELMCEMEIHGYNTSAMRALAHQIRQAAFNLILYGGSESTAVTAAMVGLVEESKLSLEALREDGCSALMIYYDSVKIRQGFAKVLTKLGEEVACKTTGNAGSLTSATKKLIGSSTELNKQCERDDEKGNKKTSKVCTMLRRVDMMELGPRPWHRTVRGSQSVLLRSVSRPFGFRFEFRLLRWVSSDLRNVFRSLNSWWLEFS >Sspon.07G0035580-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:9535209:9543421:1 gene:Sspon.07G0035580-1D transcript:Sspon.07G0035580-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRISGKAGAAACTALPADPAVYDRPVIGIVSHPGDGAGGRINNTTATSYIAGSYVKFLEAGSAGVIPIIYNEPEEQLLEKLSLVNGVLFTGGSAKRGLYFETIKKVFQYVLDKNDAGEQFPLFAQCLGFELVSMIVSKDNNILEKFDALNQTSTLQFPSYDFEGSVFQSMVYHQGDCKRTMHCQVYVSTVQAHNYPITCTQWHPEKAIFEWKNPVIPHSEDAVQASQQFANHFISQARKSPNRPPADKVLDNLIYNYSPTGKIL >Sspon.05G0032740-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:21646816:21648190:1 gene:Sspon.05G0032740-1C transcript:Sspon.05G0032740-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DDSYVDSYISTIGVDFKIRTIEMEGKTIKLQIWDTAGQERFRTITSSYYRGAHGIITCISMNTSLLPKCPQIVYDITDMESFNNVKQWLSEIDRYANDSVCKLLVGNKCDLAESRTVKTSVAQAYAEEIGIPFLETSAKDSINVEEAFLAMSAAIKKSKAGSQAALERKPS >Sspon.01G0005560-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:13134782:13139076:1 gene:Sspon.01G0005560-2D transcript:Sspon.01G0005560-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMRSRHPKALGFRCYPASHRSLTLVLWSLVALVVVVNFHLLIIHKEEDETMSTHEIRRSIMRELEVVEEEKFRLAPPRSRRNPRAVRRKGEKKPPTIVDEFLDQSSAVHDMFFPELNTAVDPINGGNDSMYFYYPGRIWLDTDGKPIQAHGGGVLYDKRTNTYFWYGENKDGKTYKAHSKGADRVDIIGVSCYSSKDLWTWKNEGLVLRGEEKNVTHDLHKSNVLERPKVIYNDRTGKYVMWMHIDDANYTKASVGVAVSDSPTGPFTYLYSKRPHDCESRDMTIFKDDDGKAYLIYSSEDNSELHIGPLTDDYLDVTDVMRRLLIAQHREAPALFKHEGTYYMVTSGCTSWAPNTALAHAATSVMGPWETLGNPCVGGNEVFRSTTFFSQSTFVLPVPGLPGSFIFMADRWNPSDLRDSRYVWLPLTIGGVPDEAADYSFMFPLWSRVSIYWHKRWRLPEEWRDS >Sspon.01G0001640-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:4850889:4853085:1 gene:Sspon.01G0001640-1A transcript:Sspon.01G0001640-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMQQALGMSLPMAAFVAEQGSAKLTRPPGLPPTPPQVFAAQHRGDVCMEAVVDPAARQQGSPKAHRRSRSDVPFGYFPPAFGGGHGHHQLPPPKVEHGWAGHLHGGDDDLFNAYLNLEGLNSSDDRHDDGDSMKTNGTDSSENESEACAADSRAGIRLWTEAAAAADRREGLKRTAAGEPAAAPLARHARSLSMDSLIGKLNFSAGATGAANGVIPGPNRFSLEFGSGEFTPVEMKKIVADEKLAEMALADPKRVKSCGSSFAIARVLANRQSAARSKERKMRYIAELEQKVQILQTEATTLSAQLTLLQVPSYSSVFPKLSSLDFQCTLNCSEIPREFVPIVDLDHIFFLSSQRDSAGIATQNNELKFRLQAMEQQAQLRDGASPQVLISLTCTLPSSSLCSSTSALNDALTGEVQRLKLATAELGDSCSSNNLTQQIQISVQEQMFQLHQQHQQQATPIPFYQLQQAQQNGAGKKQEPQE >Sspon.06G0009320-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:40234086:40235279:-1 gene:Sspon.06G0009320-2B transcript:Sspon.06G0009320-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding NKTENKHLKIATMEQQKADENVLKLVEEHKREKQAAHERIIKLQQDLDAKQKLELEIQTLKGKREVMKHMLGEQDSESKKKMDELTEELKEKVAESEDLEALNKTLVIKERESKDELQHAWKELIDGFQVLVSSGQANISTKRMGELDPKAFRKACRKRLSKEDAEVTSALLCSKLDAEIRNPKWYPFIKVKVVDGKETEFLNEDDEMLRKLKEEHGEEVYGLVAKALLEINEYNPIHRYPVQVLWNKKEGRRATLKEGIQYVIKQLKTRKRKR >Sspon.01G0060320-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:76803414:76804411:-1 gene:Sspon.01G0060320-1D transcript:Sspon.01G0060320-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RVCRRRRRGTAVPDAIVAYVQLPPRSFTPGSLGAPRDCYVVATLAGEQTVLCRGLCRHPRQPPATPATNTVNRLPRTRKCICKHASMPLLLMAAAEATVGRLWLYSYWHSSCSHRARIALNLKGVDYEYKAVNLLKGDPGALDKARCN >Sspon.01G0053850-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:43192893:43199480:1 gene:Sspon.01G0053850-1C transcript:Sspon.01G0053850-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSRGVPNSGDDDTGHRSKRRRVSSGGDATDSISAAIGGAGEGGGKKALYHCNYCNKDISGKIRIKCSKCPDFDLCVECFSVGAEVTPHRSNHPYRVMDNLSFPLICPDWNADEEILLLEGIEMYGLGNWLEVAEHVGTKSKLQCIDHYTTAYMNSPCYPLPDMSHVNGKNRKELLAMAKVQGETKKGTSLLPGELTPKAESPFSPSRVKMEDALGEGPAGRSPSHIAVGANKKASNVGQIKDGANVSKVEDGHVDRSVGVKKPRYSADEGPSLTELSGYNAKRHEFDPEYDNDAEQALAEMEFKETDSETDRELKLRVLRIYLSRLDERKRRKEFILERNLLFPNPLEKDLTNEDREVYHRYKVFMRFLSKEEHEALIRSVIEERKIRRRIQELQECRSAGCRTLAEAKIHIEQKRKKEYELNAQKAKESSHLIANNKLVQKMNRPMKIESDGNLDPKKGGAGLDSPKTTGLTNVKQWDDWDIVGLPGAELLSASEKLLCCQNRLLPSHYLRMQEVLMQEIFKGSVLKKEDAHVLFKVDPTKVDSVYDMVTKKLGNHEEAPTV >Sspon.04G0014270-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4C:57411221:57411448:-1 gene:Sspon.04G0014270-2C transcript:Sspon.04G0014270-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALATAGVLGMALAAGVLRLALASSAATMAGVVRDAGLGTPQLRRLAGLVELPDDGVRIQQAGHRHLPLFRVDAAL >Sspon.04G0023800-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4B:15485400:15487625:-1 gene:Sspon.04G0023800-1B transcript:Sspon.04G0023800-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLHGTCRRVLRILHTTRATSRGSRGGGRERRRWRGGREFHCLSVGRTPLCRTARQSRRASPTQLALHFMALNVPPQHLRTCSVMAVARHVEAEVGRGVGSLAVAARLGLRQRLMGSCLQDSGVQEKEEDGVGGVVSPPIAGAHLQLPLLSLTTLVGDGLVAGGRRIREKLCSGGHGHRGPEVEGGGWKIIALAAMVIANPVAMDRGGSGGHGSAAWCSSWIRGGEAAISDPSSGSLVGWMWPPTQRGRGSRNPAGGQGGAARWPWRGARLGGAVAGAAARWRGGRGWGGGGAVAGAGAAVGGAVAGAGAAVGRGGRSQPWPEMEGIDVNGDSTAPIYKFLKSSKGSLFGENIKWNFSKFLVDKEGRVVERYAPTTSPLSIEKDIKKLLGSSSTL >Sspon.08G0028020-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:5272654:5272954:-1 gene:Sspon.08G0028020-1D transcript:Sspon.08G0028020-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSAAAKPAYISSFAQSLKVSEFLRFHRGATASIRERELRKMDLAARNISGASLLLSRRSSSASQFSFLQRYSAAGVEMPVEWQVVIMGI >Sspon.01G0053360-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1C:35519339:35519515:1 gene:Sspon.01G0053360-1C transcript:Sspon.01G0053360-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVYTRGKNKGSNKASVFRVVRLAACSFVFSHVRSELGRCRDGRSAAAAGTATGVCDL >Sspon.04G0007950-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:22995981:22997631:1 gene:Sspon.04G0007950-4D transcript:Sspon.04G0007950-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKDIEAAAAHEGGEYTAKDYSDPPPAPLVDAEELTKWSLYRAVIAEFVATLLFLYITVATVIGYKHQTDTTANSAPDAACGGVGVLGIAWAFGGMIFILVYCTAGVSGGHINPAVTFGLFLARKVSLVRALLYIVAQCLGAICGVGLVKGFQSAFYVRYGGGANELSDGYSKGTGLAAEIIGTFVLVYTVFSATDPKRNARDSHVPVLAPLPIGFAVFMVHLATIPITGTGINPARSLGAAVIYNNDKAWDDHWIFWVGPFIGAAIAAAYHQYVLRASAAKLGSSASFSR >Sspon.06G0005330-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:18097128:18100094:-1 gene:Sspon.06G0005330-1A transcript:Sspon.06G0005330-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMDIWFHIHSLLPLRDSVRFACVSRTFMCFWKCHPKLIFTEDALGLKRNACEKGDIAKDFTSRVDSILKNHIGVGVKTLKIVVYNHYNVDANHFNSWLQSAITPGIEEITLFLPTNYRDGYNFPCSVLLNGRGNSIRYLHLTYCAFRPMVGFVCLRRLTKLHLYQVRITGNELGCLISKSFALEQLELMSCDELICLKIPLCLERLSCLRVTWCKMLKVIESTAPNLSSFDLFGDPIQLSLGESSQLKNLHVGFSYHDNFVSYSITRLPSIVPHLETLTISSTGETVDTPIAVDRFLHLKCLKIYLEIDYLAFSPAYDYLSLVSFLDASPALETFILSVNHVEEMEHVSVYGYVSPMRQIHGLKHHRLRKVHINGFCSAKSMVELTCHILENATSLESLTVDTIFNEVADSNISRCSVQSTGECSPVRRDKILEACKSLSVIRSYILGRVPSTVKLNVGEPCNRCHVIDV >Sspon.03G0044030-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:53618237:53621108:-1 gene:Sspon.03G0044030-2D transcript:Sspon.03G0044030-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDVMKLSPSTSQFLVSLAYFPWSIKPIYGILSDCIPIKQRKRIPYLIISSCLSLLPWLILGLSQTLRSSANMLTALLVVQNLGSAMADVVIDAMVAEAVRSAGPEFAGDLQSLSWSSMAVGGIFGSLLGGYALSNLPIHAIYVVFSSLPFLQLVSCMFVEDFPKGFHSANDEHKYVDNQSSVTAFSEKGSSEAFRYEGTRRRKELRTESDEKYNGSINSLPSLSLRSAFFSLCTAFKQPNILRPMAWFFFSNVTIPNISTVMFYYQTEDLNLEASFLGTARVIGWFSLMLGTYTYNRYFKQKKLRNILVFAHVGLAVITLLDIVLVSRLHIQYGIADKYMVLWGSALGDAINQFKMMPFLILSGQLCPPGIEGTLFALFMSINNLGSTVGSFLGSALASALNLTTGQFDNLALGLGVQMICTLLPIGFLSLIPKEVTGLTL >Sspon.05G0014770-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5C:48915832:48918805:1 gene:Sspon.05G0014770-2C transcript:Sspon.05G0014770-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQQTASPLNDSAVVDAKPLRMLTPMFPAPLGLHTFTPQNSPSVVCVTPFGPYAGGTGLGMAAGVPSMFAAPAAPTDPRREPHRVNTNGAVHANGTATNSLATPSLQTPLSAGTPESSKRKRGRPKRVSDNMVPSATSTPLAPTVSPTPSLPKVSPPQENNNVVSLTPSSATPQESGKRKRGRPKRVQDIHVLATPLAPQADNMPVLETPPAPTVHESGTRKRGRPKRLQDSLDTSTPSIHSKDSEPTSQTPATTSPECGKRKRGRPRRAPDGSAAPSHSGFSIDDDTVDAAKRGQPRKIDTNLLQLPTLSSDDPRESADNVLMMFDALRRRLIQLDEVKQAAKQQHNLKAGSIMTNAELRVNKNKQIGEVPGVEVGDMFYFRIEMCLVGLNSQNMAGIDYMSAKFGNEEDPVAISVVSAGVYDNTEDDPYVLVYTGQGMSGKDDQKLERGNLALERSLHRGNPIRVIRSVRDLTCPTGKIYIYDGLYKIKEAWVEKAKSGFNVFKHKLLREPGQADGIAMWKKTEKWREDPSSRDHVIIGDMSYGVENKPVCLVNEVDDDKGPSQFTYMTKLNYGNLQSSMRKMQGCKCASVCLPGDNNCPCTHRNAGALPYSASGILVSRMPMLYECNDSCICSNSCRNRVVQKGARIHFEVFKTGDRGWGLRSWDPIRAGTFICEYAGEIIDKNSVNGEDDYIFETPPSEPSLRWNYAPELLGEPNLSDSNETPKQLPIIISAKRTGNVARFMNHSCSPNVFWQPVLYDHGDEGHPHIAFFAMKHIPPMTELTYDYGQSQEREHDNVAKSNLTKTASNYSE >Sspon.04G0012310-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:41177161:41181451:-1 gene:Sspon.04G0012310-4D transcript:Sspon.04G0012310-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGGSYIFTLAGHGGIRGWNLSSPGTLDSILRSELIEKDSSYKSFEYMKVLVGSWNVGQEKASYESLRAWLKLPTPEVGVVVIGLQEVDMGAGFLAMSAAKETVGLEGSPNGEWWLDVVGQILKGHSFVRVGSRQMAGLLIAVWVRINLKQFIGDIDNAAVACGLGRAIGNKGAVGLRMRIHDRSICFVNCHFAAHMEAVSRRNEDFDHVFRSMTFSSPSNGLLTTSVSGSAAQLLRGTNGSSLPELSDTDMIVFLGDFNYRLSDISFDEAMGLVSRRCFDWLRENDQLRAEMKSGRVFQGLRYDSSEKRRIPAWCDRILYRDSRTSSQIECSLECPVVCSISLYDSCMEATDSDHKPVKCVFNLDIAHVDKQTMRQKYGEIMTSNKEVLDYLQGLEPFPEVNISTNDIILQDQNPSVVKLHNRSTKESACFEIIGQTPNSSGTPFSGFPSWLKVSPAVGIISPGQSVEVTLQHGQIRSQDYFTGTSGNSSGAEQEKVATLLVTVTGVDSTAGRGHKIQVQHQRRRETFSSRGYNFADRFFG >Sspon.01G0022340-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:81036499:81041214:-1 gene:Sspon.01G0022340-3C transcript:Sspon.01G0022340-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding NGAQKGDREAAVDAEIARVNNLPAHSSYAIHRMKVLNKLRHLMSIKGSSIISHIRCYFLVSSD >Sspon.08G0024820-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:3032013:3034383:1 gene:Sspon.08G0024820-1C transcript:Sspon.08G0024820-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFYKNICVLKTSKPQVFLTIFRLTHKQLLLQLSHQIFEIGVLERMCECTVDITGKPFVA >Sspon.03G0022760-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:81934466:81935892:-1 gene:Sspon.03G0022760-3C transcript:Sspon.03G0022760-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWRGRLGAGDAGDSGLELSLGLPAYFAKPSSGLDAAGEESGEASAFALQAAKGSNGSKARARTAAAAPVVGWPPVRSFRRNLASSSSRPSPQSSSGHHRHHKVQDCGGAMDGAHKGGLFVKINMDGVPIGRKVDLTAYGGYADLSAAVGKLFRGLLAAQRDPAATAGGEEAEEVEEPVIGGDYTLVYEDDEGDRVLVGDVPWEMFVATAKRLRVLKSSDVPASSLRAGGSRKRAAADC >Sspon.04G0032480-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:28380445:28391268:-1 gene:Sspon.04G0032480-2D transcript:Sspon.04G0032480-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LPQRKIVIQLQMKSDRCRSKAMALVASTGGVDSVALDGQGRDKVVVVGEGIDPVKLTCALRKKVGAADLLQVGEANPPAAVPSVPPPQPVTNIVHYHPAGYSLHAELGIHASSLPQQKIVIRVQMLCDRCRSKALAVVAATGGVDSVALAGDGRDQVVVVGDDVDSVKLTNALRRKVGPAEIVQVAEAKKEGAGGSNNPPAATATALPQFVASSPWYYQQYPQPAAVVYENPAAGYAYRYHTRTDSICSIMSGLTRNPRLPSHCSKRASAFRSAKMKQKIVIWVCMCCDKCRSKAMAVAAATGGVDSVALEGESRDKVVVVGEGVDSIKLVSALRKKVGRAELRQVGEVVKKDDKKPPPATAAPSNPPPPQQVTFVCHHCCHPVGYGYYSEHPSSSPRRSSSGSEQAAE >Sspon.04G0011400-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:35267391:35268974:1 gene:Sspon.04G0011400-1A transcript:Sspon.04G0011400-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFASSGPPQSKQTSSAISLSPRSRLGQSNTQGGGGGQHQDQSEGKGIVDHRRHGSKRPLPEPDGGEEEAAHQSSASQSPASAPSSSQERHFQRRRQQQIELGGGRRGGGHDDHYYSPAAPAPARYHEQAAQQGVYSSSTMAYYDVGTRSSAASSLQPGDMAAAAVPVATGSPHQRVLLEAGAAGSSAAGQAVEGAFLVSPGIPQPLAPPVTAPPFLPLPPSPVPFPDLMQYAQLLHSGGNVVAARSTGDLAAPASSSSQASSSVQILDFSTRQLLRGSPPPATFGRPSMLTSAPMSSTTASSSTSMSATPHFEARDSGVGEETGTAPPD >Sspon.03G0017880-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3A:55320272:55320688:1 gene:Sspon.03G0017880-1A transcript:Sspon.03G0017880-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAPPAWKQYQCQIPLFTAHPGMGGENSSLHTPCQVLFRHRSPSRQNTTTVVPQNSWGSALSIPRRPTSTRPRPTAGQQRSMACMPQDLGSFHHPILYVTMVPRDGRPFSETSLQQLPQVPDKTSLLRHHPGVSAFS >Sspon.07G0035730-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:12842905:12847207:1 gene:Sspon.07G0035730-1D transcript:Sspon.07G0035730-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDLPWLEVSNNQTTLLGKLCDANDNILWHQPRAQATTTWIKMPQTPPRTISFTDLQYTG >Sspon.08G0017010-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:66450843:66452629:-1 gene:Sspon.08G0017010-1A transcript:Sspon.08G0017010-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIVCSGHRVSIPLSDRGWLPLNRRGGPCSPFPSSESRPSAMADVLYRDRLRADSIQKGINGTDAAKRGDVTTVPTRLGSSLHTLEYVVTVGLGTPAVTQTMLMDTGSDIPWVQCDPCPGRTCHPQKDTFFNPARSSTYSALRCGSTACKGLDRDGNGCSRRRRCQYIVNYGDGSNTTGTYSADKLTLTPSFAVRNFRFGCSHAAQLFSDRADGLMGLGGGSQSLVSQMAEKVFSYCLPPTASYSGFLTLGVPRRPPASSSSRFVVTPMYKIDTFYLVLLEGFTVAGRRLRVPPSAFAAGAVMDSGTVVTRLPPKAYRVLRAAFRKEMRMFPRVAPPSAIFDTCFNLSGDVKVPSVAVVFERGATVELDQSGIILDGCLAFASNGDDESAGIIGNVQQRTLEVLYDVGGRTVGFRRELTGTRWTFRQWNRTDGGGKQLANHLPRMHFGF >Sspon.04G0009040-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:22498709:22500628:1 gene:Sspon.04G0009040-2B transcript:Sspon.04G0009040-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MWLVSSLRAALCLLLVVVLAGHREPAAAVTLSTSSRWIVDPAGHRVKLACVNWPSHLEPVVTEGLGRQPVGAISGMIVSLGFNCVRLKYPIALATNASLSALTVRQSLLAHGLSETVGGVEAVVNALGEKNVMVILDNHVSTPGWCCSNDDGNGFFGDRDFDPNVWVDGLGSMATIFADVPNVVGMSLRNELRGPRQNPDDWYTYMQRGAEAVHAANPRALVIMGGLSYDYDLSFLAARQVGVSFAAENKLVFEVHCEFGADSRGGDRKDNRYFPCAAAVAAEHDVDWAYWALQGSYALRQGVAGMDEVYGVLDWSWSKPRNETVLPRIQALQRPLQGPGYGEALPYTVLFHPLTGLCAVRRVATAATTTLELGPCNETDAWAYAPPSSTLVLRDAAAEGRGQPARLSTNACGDPLSTWRLATDSAMHVAVNAAALGLGGSEDGGGGMLCLDVGTDGRSIVTNPCACQHGDGTCDPEGQWFKP >Sspon.05G0038190-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:35431595:35441517:1 gene:Sspon.05G0038190-1D transcript:Sspon.05G0038190-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDSDVDEDELLQMALQEQAARDLSHQRPPAANKPVVNLVRPPAPNARGGGNARAGGGAAAKARQPSRGGDEDDDSEVELLSISSGDEDDNPRARGPPPPRGGGAGVGAPGRGGPHHGTTGTSTMRSPRAGSASTRQRVREMREAKVAPNIQELDQKAAAAAAAARKALTTVQTLPKGVEVLDPLGLGVMDHKSLRLITDASVSSPISREKSQGLDPSMRDKVIYSSPNFDPKVFLSWVHKDTSAADLEAGALTLKTDLKGRTQQKKQLVKENFDCFVSCKTTIDDIESKLRQIEEDPEGAGTAHLYSVTQKISGVANRAFEPLFERQAQAEKIRSVQGMLQRFRTLFNLPSAIRGNIRKGEYDLAVREYQKAKSIVLPSHVGILKRVLEEVEKVMQEFRGMLYKSMEDPHLDLAELENIVRLLLELEPETDPVWHYLNIQNSRIHGLFEKCTQDHESRMEVLQNKIREKVLSDSKWRQLQQDSNKSLEVDSAIGDSPRADQLSTNFMAEEADSLRATYIRRLTSVLIQHVPAFWRLTLSVFSGKFAKAASGNVVSDSDMNAKPAANKNDDKGGEVKYTNHTLDEVASMVRGTISAFDTKVQSTFRDFEECNILCPYMSDTIKEIAKACQTLEGKDSSPTAALHSTALFMDAATTKEISKDETWVTLSTLERNKSPYAISCMPLEFRDITISAMDRIDTMILNLMSETAKSFDISQPLQEINESVRLAFLNSFLDFAGYLERFGGELTENRPNKENNYVQNGYINGTRETSANTDGDLHKKLLVVLSNIGYCKAELSEELYNKYRHIWSPVRNNDERSSDMRDLMTSFSALEEKVLDQYTFAKSNMIRSAAQSYLLDSGIYWGAAPMVKGIRDATLDLLHIIVAVHAEVYSGARPLLEKTMKILVEGLVDIFLSVFHENKTKDIRLLDANGFCQLMLELEYFEAVLHTYFSPEAQQAMKSLQENLLEKACESVAEAMENPGHQRRPTRGSEDAASDDRQSSVSPDDLLVLAQQYSSDLLQGELERTRLNIACFMESTLQSTAAPAGSKPAAYSSYQAQVPQHAPVQTSSPSFRRQQTGTSSPVVSRRRW >Sspon.07G0021780-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:4249915:4268458:-1 gene:Sspon.07G0021780-1B transcript:Sspon.07G0021780-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWWSGKVSLGGLQDIAGAVNKISESVKNIEKNFDSALGLEEKRDDEEEKLRREMKMMEAALQGAARQSQSKADEIARLMNENEQLKSTIDDLKSKSSEAEMDALKDEYHQRVATLERKIRELEEEKQRLNSKIQVEEAKVESIKRDKAATEKLLQETIERNQTELAAQKEFYTNALNAAKEAEALAEARVNSEAKVELENLLREAGEKENMLIKTIEELRHSLTRQEQEAAFREERLKRDYDDLQKRYQVRRFFVNCCQAVKWKWTISHFLHYLLILQASELRYNELVTQVPESTRPLLRQIEAMQETAARREEAWAGVERTLNSRLQEAEAKAAASEEKERSINDRLSQSLSRITVLETQDLEREKAARAEIEKTSSREAPKVPLPDQTRNAPLRKLSSSGSINSLEESHFLQASLDLSDNASLERRMSSESNMSYYLRTMTPSAFESALRQKDGELASYMSRLASLESIRNSLAEELVKMTEQCEKLRTEAAAVPGLRAELEALKQRHFQALELMVNVMKRPGTGMAWWSGKVSLGGLQDIAGAVNKISESVKNIEKNFDSALGLEEKRDDEEEKLRREMKMMEAALQGAARQSQSKADEIARLMNENEQLKSTIDDLKSKSSEAEMDALKDEYHQRVATLERKIRELEEEKQRLNSKIQVEEAKVESIKRDKAATEKLLQETIERNQTELAAQKEFYTNALNAAKEAEALAEARVNSEAKVELENLLREAGEKENMLIKTIEELRHSLTRQEQEAAFREERLKRDYDDLQKRYQVRRFFVNCCQAVKWKWTISHFLHYLLILQASELRYNELVTQVPESTRPLLRQIEAMQETAARREEAWAGVERTLNSRLQEAEAKAAASEEKERSINDRLLQSLSRITVLETQITILRTEQTQLSRSLEKERQRASESRQEYLTIKEEAAMQEGRAKQLEEEIKELRAKHKKELQEAAEHRELLEKDLEREKAARAEIEKTSSREAPKVPLPDQTRNAPLRKLSSSGSINSLEESHFLQASLDLSDNASLERRMSSESNMSYYLRTMTPSAFESALRQKDGELASYMSRLASLESIRNSLAEELVKMTEQCEKLRTEAAAVPGLRAELEALKQRHFQALELMGERDEELEELRNDIVDLKEMYREQVDLLVSQLQALGARV >Sspon.01G0005830-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:21611526:21613163:-1 gene:Sspon.01G0005830-1P transcript:Sspon.01G0005830-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGTMRDDEKEGRRAVVIREYNPKTDRDGTDAVDRECEVGPAGGMSLHADLLGDPVARIRHSPHYLMLVAETSGPDGRCIVGLVRGTVKSVASGKSRPGAPAFAEVGYILGLRVAPSHRRMGIALQLVKQLEQWFELMGAEYAYMATDKSNEASLRLFTGRCGYSKFRTPSLLVHPVHSHRLKQPRRATVVRLGARDAEQLYRSRFAHVEFFPADIGDVLDNTLSHGTFLAIVGNDDGYEWGGFDRFLASPPASWAVASAWDCGGVFRLEVRGASRLRRGAAAATRALDRVAKWLRVPSVPDFFRPFAGWFVYGLAGSGRDAALAAEAVFASIVNMARGRAAAVAVEVAAMDPLRGRIPHWRRLSCTEDLWCMKRLDAGGGGRGGGGHADAWDWARSAPGRSIFVDPREV >Sspon.07G0021580-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:4176854:4178905:1 gene:Sspon.07G0021580-1P transcript:Sspon.07G0021580-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPARSRSPAIEPPPAVTGLRSSKISFRSRKIVKTPSAKAKPLATTALAPPAPAPPVLPALSTPGELAAALRHLQAADPLLAAVIASTEAPTFAATPSLPAFHSLARSILYQQLATSAADAIYARFLALLPSASAAVTPVAADAVTPAAVLALAAADLRTIGVSGRKASYLHDLAARFAAGELSDSAVAAMDEAALLAELTKVRGVGEWTVHMFMIFSLHRPDVLPCGDLGVRKGVQELYKLKALPNPEEMAALCERWRPYRSVGAWYMWRLMESKGAAAKKKNKAASTAVVKTCLPEITHLKIKTKEKDNKVSKELPKTCLLTLLKSAMEMPFWHKL >Sspon.04G0037050-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4D:60529558:60535796:-1 gene:Sspon.04G0037050-1D transcript:Sspon.04G0037050-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GLAPVTLVPELSKPRHHRVATFCTPEPREREPREEGGGERRKTGEGAVGTPPESPEPKATLSSPSRSRFGTARLPELHGHNPSLRRYPLALSTDGEPRFSPAYRPTLLLRPWRSTPTAHRRTAALAGNWPQLWPRPSEALEVPSTCPTSPLEHREAHAHHGNGQSRSPAPFPHAGGAQAAVHRGQRSREPRLLPRHHHRARPRLGKLSPTLIGYQRRRRTTPASTSPAGTRRRWKQRLPLASHPHVHPVHADHRERGEGWTRFTGRRRGPPWTSARVHREPSSWTKPSRSPRLRRGSTAACHVSDPAWPRPAQPEPNRPNVANATIMRFSADSEGNRFDEDREYREEYGDDYTEAWGKSCRGVLPGHPKNEYLWWVSGIWDGLGHEPVMGGAQGGGAVVARLIAESAREVRGADDLPHTLPRLHGDLVDPAHDSQFQPLQTRLGCTRAEWKKRDGPRTHRRRLDERPRFKARAERRIAVGWALRFDRNSACSSAAPNFMQLLGWAERSSPAPCDEETVESPLGRNHPFLGPLAPATSAPLRRDGRVCRAVRSALLVRVCPARPRPLRSAAVCRDRPRRPCSPMPPTVAALTRRQLHARRPPPRSLARAAAVLDTGALARAAACARPTAALAFAVDPEQPFACATSGLARMRSRRPRLHASASSLPPAALACSSCSCSAALRARFVLSPLVWFIQGAKGQGSERKKSPTFIAPRHFH >Sspon.03G0036380-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:52896957:52900022:1 gene:Sspon.03G0036380-2D transcript:Sspon.03G0036380-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSETSAAEVLVFPAMAKQHVEFVTDPIPGPFPTQPVAAAAVASWPEVDAVAAVAECVDERRFRRRISNRESARRSRARKQRHLDELQDGAALLERGNRDLAAPAEAAREGLALALLANAALRAEAAELSRRLAAARRNLVLLGRLYAGAPAAASIDGDCCLGSADIEQMVASLIA >Sspon.03G0042890-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:74053581:74055210:1 gene:Sspon.03G0042890-1C transcript:Sspon.03G0042890-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPVSGSTPIAHPLGAASAPATSSVGSSEREASQGSRGSASARSFARALALARDRGVRAAAAEAGSRRQRQRRGRKGGEKKEAAAVDQCGAERRRTAVRSAFMGSWLATAADAAPAAAAAAAIIRRAAAGIDM >Sspon.03G0014950-1T-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3C:68244273:68244476:1 gene:Sspon.03G0014950-1T transcript:Sspon.03G0014950-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGENKAGLALALAVALLLTLLAFPSHLRLIHHRLPLLTHSTLLCDGLRASDGEDGATASRLAFPAA >Sspon.01G0020210-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:78420269:78428133:1 gene:Sspon.01G0020210-3C transcript:Sspon.01G0020210-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAPRWSSSSSSSSLALVLIIVAAAAAVTASEAVRVPAVGNWTEELRGAAARRARQRHGWRSRRRAFENGLGRTPQMGWNSWNHFGCGINENLIKQTADALVNTGLAKLGYEYVNIDDCWAESDRDYQGNFVANRQTFPSGIKALADYVHAKGLKLGIYSDAGTQTCSQKMPGSLDHEEQDVKTFSSWGIDYLKYDNCNDAGRSVMERYTKMSNAMKTYGKNIFFSLCEWGRQNPATWAGSMGNSWRTTDDIADNWGSMTSRADQNDRWASYAGPGGWNDPDMLEVGNGGMSEAEYRSHFSIWALAKAPLLIGCDVRSMSQQTKDILSNSEVWAGPLSNNRKAVVLWNRQGYQATITAQWSSIGLASSTAVTARDLWAHSSFSAQGQLSASVAPHDCKMYVLTPN >Sspon.03G0017800-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3B:79480727:79482049:-1 gene:Sspon.03G0017800-2B transcript:Sspon.03G0017800-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAEALGLPPGVRFDPTGDELVEFYLLPRALGRPPAVPGIIIEDDTATATTCAQHPWKLLTRHRRTDDSEAYFFERVAGTDDAKANGGAGAGARQDRSCGGGRWTWVGQKRAPDEALPLRGDGDGELISWGKYSLNLQEGRRRRGGSTGWVMHEYTVASPQCPLLPVKLCHVSFTGHGQKRQRVPDDDGQGEGQELEPQATTAPQHKRAATGSSMVTTATAMPNQELSEARAQNQEQQFPSYDYNTSSIGHFWSSDAGFSQESSIILDPSYPDDARSNHQEPFALDQQLTRNQECPASQSQAYHQEQFVNPAGSSTEAHCGALSASDRGSSQEPPAWHPLIEEQQFSLAQLLGGISSPLCPPTTPAAYHEQQHIQSFAPAATADLLPLSTVQESCTTEQSHGNMDQYDDFFRGWGDIDGYCDTSGIQDNDDMAAQTLPAD >Sspon.04G0003840-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:47334022:47343317:1 gene:Sspon.04G0003840-2P transcript:Sspon.04G0003840-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAMEELSELAESMRQAASLLADDDPSDDAAPRRPTTFLNAVALGNVGAGKSAVLNSLIGHPVLPTGENGATRAPIVVDLQREPGLSSKAIVLQIDSKSQQVSASALRHSLQDRLSKGASGGSGRGRADEIYLKLRTSTAPSLKLIDLPGIDQRAVDDSMINEYAGHNDAILLVVIPAMQAADVASSRALRLAKDIDSDGTRTVGVISKVDQANGDAKTIACVQALLSNKGPKNLPDIEWVALIGQSVAIASAQSVGSENSLETAWRAEAESLKNILTGAPQNKLGRTALVDTIAKQIRKRMKVRGKSQMVQDELARLGESMVQSPEGTRAVALELCREFEDKFLAHITSGEGSGWKIVASFEGKFPDRIKQLPLDRHFDLNNVKRIVLEADGYQPYLISPEKGLRSLIKIVLEMAKEPSRLCVEEVHRVLLDIVNASANATPGLGRYPPFKREVVAVASNALETFKNDAKKMVVALVDMERAFVPPQHFIRLVQRRMERQRREDELRNRSSKKTQEAEQSTSKRASSPQTDAEQGGGSLKSMKDKSGQQDKDAKEGSNLQVAGPAGEITAGYLLKKSAKTNGWSKRWFVLNEKSGKLGYTEKQEERHFRGVITLEECNLEEVEEEEPSKSSKDSKKANGSEKTPSLVFKITNRVAYKTVLKAHSAVVLKAESMADKVEWVNKIKAVIQSKGGSFKGPSTEGGSMRQSNSDGALDTMARRPADPEEELRWMSQEVRGYVEAVLNSLAANVPKVHIVLGQSNAKIEELLQEDHNAKRRREKYQKQSSLLSKLTRQLSIHDNRASVSSYSNDTTEAESPRTPSRSGEDWRSAFDSASNGPVAASTKSESRSRSADGRSRRYENGDVSSGANSGSRRTPNRLPPAPPKY >Sspon.03G0022000-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:14404488:14406150:-1 gene:Sspon.03G0022000-1A transcript:Sspon.03G0022000-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVIRMIPTSVLWGYFAYMAIDSLPGNQFWERIQLLFIAESRRYKVLEGPHASFVESVVPKTITIFTIFQLVYLLICFGITWIPIAGILFPVPFFLMIIIRQYLLPKFFDPIVLRELDAAEYEELDGVPIEHNLEDEVSEVASCPSRPDAEILDELTTNRGELKHRTSSLREERPIQEPTG >Sspon.05G0001840-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:1015827:1016159:1 gene:Sspon.05G0001840-2B transcript:Sspon.05G0001840-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKHHPDLIMCRKQPGIAIGRLCEKCDGKCVICDSYVRPCTLVRVCDECNYGSFQGRCVICGGVGISDAYYCKECTQQEKDRDGCPKIVNLGSAKTDLFYERKKYGFKKR >Sspon.01G0031640-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:108199764:108203537:-1 gene:Sspon.01G0031640-1A transcript:Sspon.01G0031640-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWASAAYTAAALVCATAACVVALAHIYRHLLHYAEPIYQRFIVRMIFMVPVYAVMSFLSLILPDNAIYFNSIREIDLLRLYNPVPKFIMIKSVVFLTYWQGVLVFLVAKSRFIKNADKAADLQNFVLCVEMLIAAIGHLFAFPYKEYAGPNARPSGGFRESLLHALKFNDFYHDTVHQFAPTYNEYVLYNHNEGDNAQTKYPSGSTAPSGQGVELAGITVVASNSPVTSSVSSNQADQEETMTTPIKDKVDPPGGLYDLTELLDVDLSSYPAKVPAITDVRKQ >Sspon.06G0012840-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:55448530:55448994:-1 gene:Sspon.06G0012840-3C transcript:Sspon.06G0012840-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPMQTMHHQHPSSSSKKEAPTAPAPLPLPLLGVVKDDASSVVVKVDPRQGEDPAVACRREAAAAVVAAESAAVDVCLAAAAMAGAVVLAWWAVAFHPSYAQLWMVPLGLVLAGTPPVVCLALRFSSDSSRVLPPGKGSSRSAGAPQPPLAAVVV >Sspon.01G0025690-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:88184647:88193581:1 gene:Sspon.01G0025690-4D transcript:Sspon.01G0025690-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRPPIAARVRRSLFLLLCCCCLAAWSPPAVRALPLCTDGRAPVPLNRTLGFCSAYGGDSSSRSSCCDAAADSALRKRFRAMNISDAACAGVVKSVLCAECNPFSAELFNSSSKIQMVPLLCNYTSSASSAQSKDSTQDYCKLVWETCKNVTIVNSPFQPPLQGSAKLPSSSSKLTDVWQSEDEFCTSFGGSSGDQSLCFNGNAVFFNSTEPSPTPKGICLERIGNGSFLNMAPHPDGSNRVFLSSQAGKIWLATIPEQGSGGTLQYDEENPFLDITDEVHHDSQFGLMGIAFHPKFATNGRFFVSYNCDRTQSPKCAGRCSCNSDANCDPSKLGPDNGAQPCQYQVVVSEYSAKISSSNVSMATSANPSEIRRIFTMGLPYTAHHGGQILFGPTDGYLYLMMGDGGSEGDPFNFSQNKKSLLGKIMRLDVDSTQSQSQTTNQSLWGNYSIPKDNPFADDSDFQPEIWALGFSNPWRCSFDSERPSYFYCGDVGKDAYEEVDLITKGGNYGWRVYEGPYIYHPQKSPGGNTSLESINAIFPVMGYDHSTVNKEIGSASITGGYVYRGSTDPCLYGRYLYTDLYSSLMWTGTETPEGSGNYTSAVKPVSCSKTSPIACESTAGSTDPLLGYIFSFGEDNSRDIFVLASKGVYRVVRPSLCGYTCPAEKLATDNGTTPGGPSSFAPARRVGRSVALALALMIVCVL >Sspon.06G0000770-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6A:2854119:2854523:-1 gene:Sspon.06G0000770-1A transcript:Sspon.06G0000770-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRHSVKKQKFLRPPPHNASVAPSCHLPAPSLHRFPPPPPPRRFRATAAPGSPSTRMAAWHHRSPVPPQATRKFPTQGHGGGGGGRQKPISSCSSTQHCSDRASSPMLVLAVEMPRRRPAMTAPLHERRWKNF >Sspon.07G0023650-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7B:18381205:18385190:-1 gene:Sspon.07G0023650-1B transcript:Sspon.07G0023650-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding QNVKSSGTLPERRRVLGQREGHYATKFNRSGHFCFAVAGNDARLRCHATVNPGGTAVCGSVFVEEFFPLEHAFLHDELVDFRLDFLETFLGAARYLSLIFDSFLELLLALSVLPALPQTACPGRPHRSTSCSSAHRSPLLRLPRTRSPLASSARSSGRPRDGDAVHASSLRAPLARAPSLSPSLAPPRPPCNRALPSDPAPAPPTGDEDDGGGSDEVGRSFSGSDLSSFSSSSFSSGSEGTQQWLGFRRALGPIPSSLQRARGDGSGVVLIFGSLQ >Sspon.07G0003020-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:7405635:7405964:-1 gene:Sspon.07G0003020-1A transcript:Sspon.07G0003020-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLQRSSETFRRSGSSGLVWDDKNFSGEIKPAAEDDGGGAARAVERSRSAGHAHAGYRATGRVPPALDPPSPRVAVCGFCRLFGGGGNGNDKDKGRDGGSAKAKGRHH >Sspon.02G0025690-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:90908560:90910326:1 gene:Sspon.02G0025690-2B transcript:Sspon.02G0025690-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGGSVKHAVAALLWVLVLAAAGGGSVCEAQLRRGYYAGVCPNVESIVRGVVAKKIQQTPATVGATVRLFFHDCFVEGCDASVMVASTANNTAEKDHPINLSLAGDGFDTVIRAKAAVDAAPGCRGKVSCADILAMATRDAIALAGGPSYAVELGRLDGLRSTASSVNGRLPAPFFNLDQLNQMFAANGLSQADMVALSAGHTVGLAHCSTFAARLRGADATLDAGYAAQLAAWCPAGVDPRVAASMDPVTPVTFDNQFFRNLQGGKGLLASDQVLHTDPRSRPTVDKLARSRVAFERAFVDAVTKMGRVGVKTTTAQGNVRHDCAVLG >Sspon.07G0036230-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7D:28570655:28576035:1 gene:Sspon.07G0036230-1D transcript:Sspon.07G0036230-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSSSQRGERRGGWGSPERTVVWTEPKPKPKPARKVAVVYYLCHCDGQLDHPHFLEMELPRSSHPAAPGLHLQDFTARLNGLRGNGMAGMYSWSSKRSYRNGYVWQDLTEDDLIHPAHGNDEYVLKGSPLLLFPQPPPAHQDASSFTFSQDRRAGRHRKNWSSFDLGDCNNKLLVAQQSAATQTDHGEPDRDADPSTELAIDEISPPPSSSSPDALESCSREVGVIAGGRMRASAVLMQIFSCGSMTAVKRGQARTRSDLATASAGTRLAEVVDAYASATAECLSVPGGAGVVERDYFSGSLIESSKKRGGDDALLLKRSSSCNADSRGAAKLKLPVPAKEVRAGWRDGHDTVSRAGGCPKMWMDALQKKDDLIHPAHGNDEYVLKGSPLLLFPQPPPAHQDASSFTFSQDRRAGRHRKNWSSFDLGDCNNKLLVAQQSAATQTDHGGPDRDADPSTELAIDEISPPPSSSSPDALESCSREVGVIAGGRMRASAVLMQIFSCGSMTAVKRGQARTRSDLATASAGTRLAEVVDAYASATAECLSVPGGAGVVERDYFSGSLIESSKKRGGDDALLLKRSSSCNADRGAAKLKLPVPAKEVRAGCLASRGSRAPKKNMTKSTAAQSRDGGECKGATADGPGGR >Sspon.04G0009990-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:29747904:29749456:1 gene:Sspon.04G0009990-4D transcript:Sspon.04G0009990-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MYAAAVLAAASAAHVAVAQSPEPRDNPTVADVLSISVFMAVFFPVFVVLLAFACLRLFRAPDDDPQAPDAASAPEWPHGGGGSRKGGLDAAAIAALPLAASAEGEEEDWTTIQRLARNRRAAGRQALPRSNSTGHSGASDGGMERFALRLPEHVRLELLMSQAEARDERGGIRARHGGQRPRRQQSAMARLLSLFAPGAGWKGDGDDKSGKADATAGASSLRRRENSSRGAVGEEKRSV >Sspon.01G0022700-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1B:86332516:86333021:1 gene:Sspon.01G0022700-2B transcript:Sspon.01G0022700-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding PARCYRQIKNKPYPKSRYCRGVPDPKIRIYDVGMKKKGVDEFPYCVHLVSWEKENVSSEALEAARIACNKYMTKSAGKDAFHLRVRVHPFHVLRINKMLSCAGADRLQTGMRGAFGKPQGTCARVDIGQVLLSVRCKDNNAAHASEALRRAKFKFPGRQKIIESRKW >Sspon.03G0010810-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:29459414:29461321:-1 gene:Sspon.03G0010810-1A transcript:Sspon.03G0010810-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding KAMVLEASFAASASVPWIFLLGGLTGLVVLLWQAGRLLHKLWWQPRRLERALRTQGIRGTSYHFPAGDLKEYGRLAKEAWSKPLPLRCHDIAQRVTPFVHRLVQEHGKTSMSWFGPSPKVTIVDPELAKDVLSNKFGHFEKLKFPALSKMLGGGVASHEGEKWVKHRRILNPAFHLEKVQRMLPAFSACCEELVSRWAESLGSDGSCELDVWPELQNLTGDVISRTAFSSSYHEGRRIFQLQAEQASLVMTNIRKIMIPGVRSLPTANNRKMRRNNKEVESILRDIIGKRIQAMKQGESTKDDLLGLLLETNMRDTDGDSQPTGMAMTIEDVIEECKVFYFAGMETTSVLLTWTMVVLSMHPEWQDRAREEVLGLFGKNKPDYEGLSRLLQVTMVLYEVLRLYPPATSFVRKTYKEMEIGGITYPAGVILELPVLFIHHDPDIWGSDAHEFRPDRFAEGISKASKDPGAFLPFGWGPRICIGQNFALLEAKMALSMILQRFEFELAPSYTHAPHTVITMHPMHGAQLKLRG >Sspon.04G0025950-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:37107693:37110365:1 gene:Sspon.04G0025950-2C transcript:Sspon.04G0025950-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPQAVAPAPEAPSAQVVGNAFVQQYYLVLHQSPDLVYRFYQDASRLARPASAAGAAGMDSVTTMEAISEKIMEMDVSKAEIRTVDSQESLGGGVTVLVTGHLTGRDGVRREFSQSFFLAPQEKGYFVLNDIFRFVGDIPAPTAVEAQPEADAVVPPVAAPLANGTATPAVVPAIPDDHDAVPQQEHHVVDRSPPQPEEEDEAEVYNPPPEEVVDEEQPVPEVINEVPNNVAPVVATTVAPVLQEEAPKKSYASIVKVMKEVPLPAPAPPTRPAPPKPEKQSPPAPTPTPVTDVPPFSSNPDNSNIQEPEVDAHAIYVRSLPLNATETQLEDEFKKFGTIKQNGIQVRSNKIQGFCYGFVEFEDSTSVQSAIETGKYM >Sspon.01G0023710-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:90589370:90595636:-1 gene:Sspon.01G0023710-2B transcript:Sspon.01G0023710-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Flowering locus K homology domain [Source:Projected from Arabidopsis thaliana (AT3G04610) UniProtKB/Swiss-Prot;Acc:Q9SR13] MDGQVENFVGHDEGGLPQAPYNGEQPNPYDDVMKQYSEELCDQYNEQPGAQYDEGSGNPYNEEQANLYSEETCNQYNEDPANSYQEELENAFSGDPDMAQQDNSQVNNDDDNKWPGWPGESVFRILVPAQKVGAIIGRKGEFIKKMCEETKARIKVLDGPPGVPERAVMISAKDEPDAPLSPAMDGLLRVHKRITDSSDGESGQPQRSAGNIGPTRLLVPSSQAGSLIGKQGATIKSIQDSSKSVVRIVENVPPFSLNDDRVVEIQGEPLGVQKAVELIASHLRKFLVDRSVLPLFETHMKMHGMLREQPVPPPHHWGPQSWGPPPNIPPGGPGFGGNPQFMPSRPQDSYYPPPDVPSMEKQPHYGISAYGREAPPPSGASVTGNQPPSHAGSQVTHNMHIPLAYADAVIGAAGASISYIRRHSGATVTIQESRGAPGEMTGDNWDCSPSSNCSATDPGPAPASNPPAPPVDPSYGSYPPPYGAAPYGSSVAAGPPQYNGGSYGGPTYPPSYGY >Sspon.04G0007070-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:20294596:20306231:-1 gene:Sspon.04G0007070-1A transcript:Sspon.04G0007070-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ras-related protein RABD2a [Source:Projected from Arabidopsis thaliana (AT1G02130) UniProtKB/Swiss-Prot;Acc:P28188] MNPEYDYLFKLLLIGDSGVGKSCLLLRFADDSYLDSYISTIGVDFKIRTVEQDGKTIKLQIWDTAGQERFRTITSSYYRGAHGIIIVYDVTDQESFNNVKQWLNEIDRYASDNVNKLLVGNKSDLTANKVVATETAKAFADEMGIPFMETSAKNAINVEQAFMAMAASIKDRMASQPAAANARPATKIRTVEQDGKTIKLQIWDTAGQERFRTITSSYYRGAHGIIIVYDVTDQESFNNVKQWLNEIDRYASDNVNKLLVGNKSDLTANKVVATETAKAFADEMGIPFMETSAKNATNVEQAFMAMAASIKDRMASQPAGANARPATVQIRGQPVNQKTSCCSS >Sspon.01G0023320-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:81733407:81736929:-1 gene:Sspon.01G0023320-3D transcript:Sspon.01G0023320-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAPTSSTSEPLPSAEPARPRRRCRIREVSSRYLSTPLQAPSPRLSTSSFHSATPLPAPSPRLSTSSSHSSTPSTRAHHHCLPAASTTASSGLADENRPPPPTTGSRKRGPARDLFEEMHRPRLNPLAPLAAAGVSGATTATPGPGPRRILCPSSRASPNITTAARQHRRGCARPSTPARTSFSFYSASPETSAAAIDSWAAAPCPRPVPFSELRTSPPGTTEGPGRPPNPFCFQALASALPKRQAKIPAAAVKPPPPPPARKVVVVKKGAAVMGGSKAAGKQEDVHKLRILDNRYMQYRFLNAQAEAVAITKKAVAEESLYGLSERIADLQKSVAQKKAELECLKRMEKVDFVVDAQVPSLEQWCELEREHISCLSSGTVALRNAASRVPTRGNIETNTGGVKLALNCAMEIMKQLSPCAEKLSRKVEEIEDVASELNNVVSNEQVLLQECADLLHQAHDMQAFPDCVGDGRRPQNPSDAAEKSNQKQNMKAVIFVGQLTSG >Sspon.07G0016440-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7A:58217406:58217905:-1 gene:Sspon.07G0016440-1A transcript:Sspon.07G0016440-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDRAGWPEVELGYKLFPPAQKRAAGRPRVVRIKGTMEQRANRKKVKCKRCKGFGHFEKTCKLAEPTEDDDGVDEASTVASLKRVREEDEGPSQPPKQKRKKTSGNKQPAKKKKTPAKKKLMKAVSAPEARVVRSLKSWLGVE >Sspon.03G0025550-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:78286617:78296454:-1 gene:Sspon.03G0025550-1A transcript:Sspon.03G0025550-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAINDEHKPLHILFFPFLAPGHLIPIADMAALFAARGVKRLAIDIAVVPFPDVGLPPGVECGPALNSMADREKFFHGAQLLREPFNQFLAENRPDAVVTDSFFDWSTDAAAEHGVPRIAFLGSSLFSRACSDTTVRNNPVEGAPDDPDALVLLPGLPHRVELRRSQMFEPKKRPELWAFMQRGNAADQRSYGEVFNSFHELEPDYLEHYTTTLGRRAWLVGPVALASKDTATRGASNGPSPDTDGCMQWLDTKPESSVVYVSFGTLTHFSPTELRELARGLDLSGKNFVWVISGDADTESRNGCPMVGGFVTHCGWNSTLEAMGAGVPMVTWPRFADQFYNEKLVVELLKVGVGVGSTDYASKFETRRVIGGEVIAEAIGRVMGDGEDAEAIREKAKELGEKARRAVANGGSSYNDVGRLIYSQEHSCAGAGTQPAMAINDEQEPLHVLFFPFLAPGHLIPIADMAALFAARGIKCTILTTPMNAQVIRSAVDRANDASRGTEGAVAIDIAVVPFPDVGLPPGVECGPALNSMADREKFFHGAQLLREPFNQFLAENRPDAVVTDSFFDWSTDAAAEHGVPRIAFLGSSLFSRACSDTTVRNNPVEGAPDDPDALVLLPGLPHRVELRRSQMFEPKKRPELWAFMQRGNAADQRSYGEVFNSFHELEPDYLEHYTTTLGRRAWLVGPVALASKDTATRGASNGPSPDTDGCMQWLDTKPESSVVYVSFGTLTHFSPTELRELARGLDLSGKNFVWVISGDADTEESEWMPDGFAELMARGDRGFIIRGWAPQMLILTHPAVGGFVTHCGWNSTLEAMGAGVPMVTWPRFADQFYNEKLVVELLKVGVGVGSTDYASKFETRRVIGGEVIAEAIGRVMGDGEDAEAIREKAKELGEKARRAVANGGSSYNDVGWLIYSQEHSCAGAGTQPAMAINDEQKPLHILFFPFLAPGHLIPIADMAALFAARGVKCTILTTPMNAQVIRSAVDRANDASRGTEGALAIDIALLREPFNQFLAENRPDAVVTDSFFDWSTDAAAEHGVPRIAFLGSSLFSRACSDTTVRNNPVEGAPDDPDALVLLPGLPHRVELRRSQMFEPKKRPELWAFMQRGNAADQRSYGEVFNSFHELEPDYLEHYTTTLGRRAWLVGPVALASKDTATRGASNGPSPDTDGCMQWLDTKPESSVVYVSFGTLTHFSPTELRELARGLDLSGENFAWVISGDADTDESEWMPDGFAELMARGDRGFIIRGWAPQMLILTHPAVGGFVTHCGWNSTLEAMGAGVPMVTWPRFADQFYNEKLVVELLKVGVGVGSTDYASKFETRRVIGGEVIAEAIGRVMGDGEDAEAIREKAKELGEKARRAVANGGSSYNDVG >Sspon.01G0029650-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1A:103073113:103074991:1 gene:Sspon.01G0029650-1A transcript:Sspon.01G0029650-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEERSCHKDVSKEAVLLLSCIKDCCGRWGLNIPINIVMGSNGTPYRLVEIARLHFTWSSISGKGLEFLEANLYGYEPFVVKLPAEMIEDEDMSPVTDNPTIEPPTGSPEFSLNNNNDEQLKNKRGITKNPLDRSGNQSKIDSF >Sspon.04G0011920-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:37438463:37451385:1 gene:Sspon.04G0011920-1A transcript:Sspon.04G0011920-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATAAAALASPAAIRASPVRRGLVSFAPALRSGPDRSSRAVALPGARTHVAAVDQAIVQGETKLEGPVVVVTGASRGIGKATALALGKAGCKVLVNYARSSKEAEEVSKEIEASGGQAITFGGDVSKEADVESMIKTAVDTWGTIDVLVNNAGITRDTLLMRMKKSQWQDVIDLNLTGVFLCTQGRIINIASVVGLTGNAGQANYDAAKAGVIGFTKTVAREYASRNINANVIAPGFIASDMTAELGEELEKKILLTIPLGRYGRPEDVAGLVEFLALSPAASYITGQVLTIDGGMVISTRNPSNINNSILAKST >Sspon.08G0002090-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:6534690:6536901:1 gene:Sspon.08G0002090-1A transcript:Sspon.08G0002090-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIRLHVLASAARHAVSSSVLVCRLIAYHLTPLLLHVSYFLAVDLLGFLALVLLKPSNPGYHPRYVDVFFMSTSAVTVTGLATVEMEDLSSAQLVVLTLLMLLGSEMFVSLLGLVLDSSRKRRQQQRDHQDHDGRVMAAAVCDEPGPDLEEANGPAAAPSADSSGDGGDRKESCRAVRSLALVVSAYMAAILVVGSVLVFAYVATVPTARDVLARKRINTALFSVSTTVSSFTNGGLLPTNESMAVFAANRGLLLLLAAQILAGSTLLPVFLRLVVGATRGLARALFLFTGRGGPVEELVPMDMEKSAAAAGFGHLLPSGPRAASLAATVVAVAAAAAALLCCLNWNSAVFAGLTAGEKVTNAVFMAVNVRQAGENSVDCSLVAPAVLVLFLAMMSVTLLRAKLKHTTRQHVVRSLTDFSCQPTTFRCIPASATFFSVHDDGGERKRSGAGEPECRDGAEKKKRRLSLNSMLLSPLACNAAAVMLACITERRSITVDPLNFSTFNVIFEVMSAYGNVGLSTGYSCSRLPPAAEEATAACHDKPYSFSGWWSDQGKLFLVLLMLYGRLKGFHGQRCRR >Sspon.02G0043830-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:97194588:97195775:1 gene:Sspon.02G0043830-1B transcript:Sspon.02G0043830-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ALEAGGFLRALILLLLYPAIRCCRLGGGGDLAVRTMAAVAFCGLRARTFRAGRAVLPRWLLEDVAAEALDAAQRVGDDDPARVVWASAMPRVMVEPFLREYLQVPAVVSAEEQSKWRRLARRDYPTPLVFHDGRLAILPTPLNTVAMFTWLPLGAALAVLRLAVALALPYRVATALLAATGQSWRLRGSLPPPPRNGSGGGQLYACDHRTLIDPVYVSIALDRPVRAVSYSLSRVSDLLSPIGATVRLARDRASDGAAMARLLARGDSVVVCP >Sspon.01G0028750-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:100198042:100200286:-1 gene:Sspon.01G0028750-1A transcript:Sspon.01G0028750-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSVSSLAAALSHLSLPSTSTSKPHPAPLLRLCSTSRCAVSLALRASAAEAAEPSEADLPAEEVVAVEEEAEEDALSGIALRKYVKQRLPGGFAAQRITATGRRKTAIARVVLQEGTGKVFINFRDAKEYLQGNPMWMEYCKVPLMTLGFENNFDVFVKVHGGGLSGQAQAICLGVARALVKISNANRVPLKSEGLLTRDTRIVERKKAGLKKARKRPQFSKR >Sspon.08G0017000-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:62509612:62512020:-1 gene:Sspon.08G0017000-2D transcript:Sspon.08G0017000-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AMLRAGSTSRWSPGARAFSTAPATRTTVPLAHLAPLPTSLPESGYTVTPPVQPWPRRLTARSLSRLLLRADTPELAVLALRHALFHAAPPLPPSLPVFAAALARLSRADDAGAGAAARHLPHVLSLLRACRLPAFSDRPFLPLLRALRPLPSLRLFLSLPSFNSRPSTRSFNALLHSLVSARRLRLAAALFRAAPTKLYITPNLVSCNILLKGLVGIGDLDAALKVLDEMTGWGIVPDVVTYTTVLTAYCAKGDLEGARQLFDDIIASGRRPDATMYTVLIDGYCHRGKLKDAARIMDEMEAAGVQPNVVTYSVVIEACCKEGKSIEACDLTREMLGAGYVPDTPLCAKVVDVLCQDGKAGEANEIWRQMVKKNVPPDNSVVSTLIYWLCKNGMVQEARRLFDELERGFVPSLLTYNSLIVGLCENGELQEAGRVWDDMVERRYEPNAMTYEALIKGFCKMGKSNEGYVLFKEMVAKGCTPSKFLYQALVDSLSQPSHDDTVCTIVEAAALSGRDFLAGQTMGSHVYTGQPSTTIPLAVGQEARGHPSKPLPHQFKLMFSKPRHK >Sspon.08G0004060-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:11953935:11956444:-1 gene:Sspon.08G0004060-1A transcript:Sspon.08G0004060-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCVVSSASDPHGNKVVKEVIFGLGNKKDLYCKVTLTSPASRLAILAKACLERSMVLWHPSLLAHSSTILTTTLLPVQGLPEPLYAGPVHFTR >Sspon.05G0015150-3D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5D:55981124:55983074:1 gene:Sspon.05G0015150-3D transcript:Sspon.05G0015150-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMVHYLIFAPGAGCVGRPPTSSSNAIVTPHDCHGLGSGDSSHRRGDSSSKSGVEAPRNSLDLDADNPNDIQIGVQIEPVFDALASRTTSMRRSTKPTAPSSEAETPRTPSLVARLMGIDGLPDQQPSPAPATAQHKKTGRRSTTSTAGAGKENSNSNCCSPPASKGEKKKRVIPESMNRREPLRSLSCNVGVAEARSLPDTPRASTSARASWEGPRLSLQALKENVLDRAAQYMSMPSSPTSLSSSGKKKKKDAACSRRRRDEKAAKEHAREILRQAKETVASRKSGKNGTSASPAAEKRQSWFNNKENVMMSNPAAPAPAPAMEVQKLSVVFQQTSKPTTVAPTKPSAEHHSPRVALAPRQQQQQQPSSPPPPHRAKPSRPPPPPPPLDPPPTRARKPDGCERFATRIKKPAACPASAPTTSSSSLPTDCCSADGLPLEEDPEYSYLRTVLERGGFMRSSPPPRRPGRGHSVDPIVFHLLELELPADEARLGALRHRWNRKLLFHLAQELLADLLLGLDASSTGLPLLGKVWKRVRSFPAADCRVVGDIDALVGADLERANVRHPAVEEEAGDVAEEVAERVLDALLAECVAESVSLSCTALSYSSSSSS >Sspon.01G0009750-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:28501591:28509267:1 gene:Sspon.01G0009750-2B transcript:Sspon.01G0009750-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVGGSGSGGGGGGDAEMGGWTGLLHSSTKLLEQAAPTPHFPPLQRNLDQLEALSTKLKAKTIRAEAPSQSLSATRLLAREGINAEQLARDLKSFELKTTFEDVFPSEATTVEEYLQQLHEMAIVSSIQEAQKDNLRSFNNYMMQVLEDDWQKEKRDFLQSLSRLSTLPKRNTNLSTSGLAHPALMPPSTSSLHAPSGLPSAEVMPIPNKTIIEKKSSVYAGVVRDLNDARGRSLPFNPATAFRAAYESLSVDAVGTKSVTMQKVWHLIQAALGGSVGNLQKIRAFLRVRLRDQGVLDFDATDLRRQPPVDTTWQQIYFCLRTGYYDEARQVAQSSRTAYNFAPLLADWISSNGAVSPETALAASEECDKMLRMGDRPGRPGYDRKKLLLYAIICGCRRQIERLLKDLPTLFNTIEDFLWFKLSALREYTNASSSNLMNEGLVPYTLDDLQSYLNKFEPSYYTKSGKDPLVYPYILFLSIQLLPAILYLSKEVGEDGYHVDAVHISIALADHSVLPDGIGSGQKIGVMDACAEAASIIRQYGSIYLRNGNIDLALEYYAQAAAAMGGGEVSWMGEGQADKQRQRSLMLKQLLTEILLRDGGIQLLLGPNGMGEEGELKKYMMDWRSRQQFLLEAAHRCQEAGLYDKAVEIHKRVGAFAMALQTINKCLSDAVCAMARSMLDGESRAAALIHSGNEILETARYSSEASIQEKDLISEQQTVLRQLEAILHIYRLARAGQTVDALRETIRLPFLHLDTQAPNVTVDIFRNLSPHVQACVPDLLKVALNCIDNVRDTDGTLRAVKSKIANLVASNMSRNWPQDLYQK >Sspon.08G0011770-2P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8D:48217544:48219094:1 gene:Sspon.08G0011770-2P transcript:Sspon.08G0011770-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRMTSQVPASILARGDEEDDDGRSKAAHFVFVPLREQGHLIPAVDTALLLATHGALCTIVAPPSTAALVRRTVESAQRTGLPVRLVEFPLDYAESGLPEEADDADRVPPNYMWNYYRAMALLRAPIESYLRAHAPYPTCIVSDFVHPWTRSSANLGHNLERFNAYDGVKDSNEPVVVPGLERRFVEFADYVERARAEADGVIVNTFLELEPEYVAGYAAAREMKRAEGLRRRPDECHQWLDSKEPRSVVYVSFGSIAQADPKQVVELGSGWKRRHPFVWAVKDTADEYDETVREFLRALEARVGTGRCLLIRGWAPQLLILSHDAVGAFVTHCGWNSTLEAIAAGLPMVTWPHFSDQFLNEKMVVEVLGTGVSVGVKEPLTFQAVKKEILVGRNVVEDAVRSVMGGGEEAEERRRRARSLAAKAAGRAGGRVVARQPAGSRPSFRAGHTARGAARD >Sspon.02G0057360-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2D:57147348:57149945:-1 gene:Sspon.02G0057360-1D transcript:Sspon.02G0057360-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding QALSTWTGLPQVDLRESSWWRRRWAPGA >Sspon.05G0012780-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:33203607:33206125:-1 gene:Sspon.05G0012780-3C transcript:Sspon.05G0012780-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEVSLVWNLGTTLLIISFSSRRASHPEGGGLVVALQEAKKEEVEKPKEAAAEEKKDDKPKDAAAEDRPKEGGGEEKPKDGEEKKEDAPPPPPPPPEEVEMRVYMHCEGCARKVKKILKRFDGVEDVITDSKAHKVLVKGKKAAAEPMKVVERVQKKTGRKVELLSPIPPPPEEKKEEEKKEEPEPPKPEEKKEPPVLAVLLKVHMHCEACAQGIRKRILKMKGVQSVEADLKASEVTVKGVFEESKLAEYVYKRTGKHAAIVKSEPVPPPESAAAGDDKAKEEKKEEGGGEEKKDGKEEEKKEGGGDGGGDEKKEEKEGGGDEKKDEADKDKDAAVNLYMHYPRFAFPGGYYQPAYQYPPPPPPPPPGYAYQPAYPPPSYAAYAPPHHHQPMAPQIFSDENPNACSVM >Sspon.03G0006940-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:18897035:18898043:-1 gene:Sspon.03G0006940-1A transcript:Sspon.03G0006940-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSWVRTITTPFRKACTIFGPQKDGGKKTQQPNSAMAQHVDAERAKLHGEVMACAYEDVQVMWSMLDEARIRDLSGSS >Sspon.01G0013660-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:39904649:39909426:1 gene:Sspon.01G0013660-4D transcript:Sspon.01G0013660-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGAVLVAIAASIGNLLQGWDNATIAGAVLYIKKEFNLESEPLIEGLIVAMSLIGATVITMFSGAVADSVGRRPMLIASAVLYFVSGLVMLWAPSVYVLLLARLIDGFGIGLAVTLVPLYISETAPTDIRGLLNTLPQFSGSGGMFLSYCMVFGMSLMPTPDWRLMLGVLSIPSLIYFGLTVFYLPESPRWLVSKGRMAEAKRVLQRLRGREDVSGEMALLVEGLEVGKDTRIEEYIIGPDDELADEGLAPDPEKIKLYGPEEGLSWVARPVRGQSALGSALGLISRHGSMASQGKPLVDPVVTLFGSVHEKMPEIMGSMRSTLFPNFGSMFSVAEQQQVKADWDAESQREGDDYASDHGGDDIEDNLQSPLISRQATSVEGKEIAAPHGSIMGAVGRSSSLQGGEAVSSMGIGGGWQLAWKWTEREGEDGQKEGGFQRIYLHEEGVQGNRGSILSLPGGDVPPGGEFVQAAALVSQPALYTKELLEQRAAGPAMMHPSEAVAKGPRWADLFEPGVKHALFVGIGIQILQQFAGINGVLYYTPQILEQAGVGVLLSNIGLSASSASILISALTTLLMLPSIGIAMRLMDMSGRRFLLLATIPILIVALAILVVVNIVDVGTMVHAALSTISVIVYFCFFVMGFGPIPNILCAEIFPTTVRGICIAICALTFWIGDIIVTYTLPVMLNAIGLAGVFGIYAIVCILALVFVYIKVPETKGMPLEVITEFFSVGAKQAKEARED >Sspon.03G0024380-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:74189834:74191482:1 gene:Sspon.03G0024380-1A transcript:Sspon.03G0024380-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding KMMRCKQLVPPCAVWLLVVLILSSLAPVPASSSSSTTTRRVRRRYDSIFSLGDSYADTGNGPVVFGWHAIASPVMRPPYGSTFFGRPTGRNCDGRLVIDFTAESLGLPLVPPFLQARRRARSFRRGANFAVGGATALDARFFHRWDPPAAACSHSRSLFFVGAFGANDYLLAMAAMSLEQVRSLVPGVVRTISMAVQRLIVEHGATTVVVPGVIPVGCAPPVLATFADPDPAGYDPRTGCLKAINEVAAHHNALLQDALRELRARHCHRVSAVVYADFFGPVIDMVTSPAKSGFDEDVLTLCCGGPGRFNYNRQVFCGDPGATECKEPSARLFWDGVHLTEAAYRYVAAGWLSAITSPPPAEVAAVVPLPTGTGRRLQLR >Sspon.02G0001660-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:6048128:6063599:-1 gene:Sspon.02G0001660-1P transcript:Sspon.02G0001660-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALPRAAPPTPAALLPLPRAAPPLLLAGRAAAARRSRLRARGPSAAARRSWVVASSSSRAVLGGVARREAPAAPQKPTQQAADLNHILSERGACGVGFIANLKNVPSFNIVHDALLALGCMEHRGGCGADNDSGDGAGLMSAIPWDLFDDWANKQGLAPFDRRNTGVGMVFLPQDDKSMEEAKAGRNAKETMPNIQQIFVKVAKEDNADDIERELYISRKLIERAAKSFSWADELYFCSLSNRTIVYKGMLRSEVLGQFYLDLQNELYKSPFAIYHRRYSTNTSPRWPLAQPMRLLGHNGEINTIQGNLNWMRSRETTLQSPVWRGREHEIRPFGDPKASDSANLDSTAELLLRSGRSPAEALMILVPEAYKKHPTLSIKYPEVIDFYDYYKGQMEAWDGPALLLFSDGRTVGATLDRNGLRPARYWRTSDDFVYVASEVGVIPMDESKVVMKGRLGPGMMITVDLQTGQVLENTEVKKTVALASPYGTWLQERTRLIKPVNFLSSTIMDNETVLRHQQAFGYSSEDVQMVIESMASQGKEPTFCMGDDIPLAVLSQRPHLLYDYFKQRFAQVTNPAIDPLREGLVMSLEVNIGKRGNILEVGPENADQVALSSPVLNEGELETLLKDPKLKPKVLSTYFDIRKGLDGSLDKTIQALCEEADAAVRSGSQLLVLSDRCEAPEPTRPAIPILLAVGAIHQHLIQNGLRMSASIVADTAQCFSTHHFACLIGYGASAVCPYLALETCRQWRLSNKTVNLMRNGKMPTVTIEQAQRNFTKAVKSGLLKILSKMGISLLSSYCGAQIFEIYGLGQEVVDLAFCGSVSKIGGLTLDELGRETLSFWVKAFSEDTAKRLENFGFIQSRPGGEYHANNPEMTKLLHKAIREKRDNAYTVYQQHLASRPVNWSLQPPLFERFCTGGMSLGAISRETHEAIAIVFVLAFQVESATQNCLWTCHFAQDPIRWNPLTDVVDGYSPTLPHLKGLQNGDTATSAIKQVASGRFGVTPTFLVNADQIEIKIAQGAKPGEGGQLPGKKVSAYIARLRNSKPGVPLISPPPHHDIYSIEDLAQLIYDLHQINPKAKVSVKLVSEAGIGTVASGVSKGNADIIQISGHDGGTGASPISSIKHAGGPWELGLTETNQTLIQNGLRERVVLRVDGGFRSGQDVLMAAAMGADEYGFGSVAMIATGCVMARICHTNNCPVGVASQREELRARFPGVPGDLVNYFLFVAEEVRAALAQLGYEKLDDIIGRTDLLKPKHVSLVKTRHIDLGYLLSNAGLPEWSSSQIRSQEVHTNGPVLDETILADPEIADAIENEKEVSKAFRIYNVDRAVCGRIAGVIAKKYGDRGFAGQLNITFNGSAGQSFGCFLTPGMNIRLVGEANDYVGKGMAGGELVVVPVDKTGFIPEDATIVGNTCLYGATGGQVFVRGKAGERFAVRNSLCQAVVEGTGDHCCEYMTGGCVVVLGKAGRNVAAGMTGGLAYILDEDDTLLPKVNKEIVKMQRVNAPAGQMQLKSLIEAYVEKTGSEKGATILREWEAYLPLFWQLVPPSEEDSPEACAEFERVLAKQATTQLSAK >Sspon.03G0020630-2D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3D:50651515:50651784:-1 gene:Sspon.03G0020630-2D transcript:Sspon.03G0020630-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEMDSRPPRGTLPQTDLHISSWLGRPQYDADFGWGKPELMSLAENHRGGFVFLMHDDDGAGSSGDVRLLMCMEAVNIKEIERLLYAKL >Sspon.04G0011650-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:36151857:36154688:-1 gene:Sspon.04G0011650-1A transcript:Sspon.04G0011650-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKYSDGKDAGEAGGGGSGSYPLVAVCIDKDKNSQNALKYATETLAHKGQTIVLVHVNTKGTSGEHHPSSPWHDIHCKDVVLDDHDVAKSIVEFAAHAAIEKLVVGATARSGFVRFKAEICSSISKAAPDFCTVYVVSKGGKVTSVRQAVRQAPVVSPLRTMIQGPKPEPVHAQKWTPPPPSSGTPMFQENHIMSPFSRTTGHSAGSARKAFPEFSLPESSDISFIGSAPRRSTERYPPRLSNGSDGLDHQQHSFEASRTPNSFGNDSTSHSQTSTSSWCSQPSDEMEAEMKRLRLELKQTMDMYSTACKEALSAKQKAMELQRWKMDEEQRSQETRLTEESAMALIEQEKAKARAAIEAAEASQRLADFEAQKRISAEMKAEERLRSMAAGARESVVRYRRYTIEEIEIGTDHFNEARKVGEGGYGPVYKGHLDHTPVAIKVLRPDAAQGRSQFQQEVEVLSCIRHPNMVLLLGACPEYGCLVYEYMANGSLDDCLFHRGAGRPVLSWQHRFRIAAEIATGLLFLHQAKPEPLVHRDLKPGNILLDRNYVSKISDVGLARLVPPSVADNVTQCHMTSAAGTFCYIDPEYQQTGMLGVKSDVYSLGVMLLQIVTARPPMGLTHHVGRALERGTFADLLDPAVHDWPMEEAHRFAEVSLRCCELRRKDRPDLATVVLPELNRLRVLGEDNMDSCMAMSMSGRGGGGGFHSSSTFHSNPYSQPRHDAASDPTRPHHVSHPSQSAMPTR >Sspon.08G0010210-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:44862384:44904492:-1 gene:Sspon.08G0010210-1A transcript:Sspon.08G0010210-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAGNKRGHPLLRGGGANRERYTHGFSASQMAALTAICGALVPSLPPDRRNGHQQQEDGGRGGGDGGNKVVEEFLLASAADPPVPGEVAEQMSRKCLPEALALVRTVLWLLGTRLGSLALCGAPCLSWRFPFVRRFDELPLEQREAALRRWSRQTLLPPLRMFFLIIKSFCLHVFYSWTDENSKNPHWRAIGYSTPLADDEPAPAESERPEKRPLDDGVVETTKETDASLPALLAAKGLTVADDSAHNVCRVECDVVIVGSGCGGGVAAAVLAGAGHKVVVMEKGNYFTARDYTAIEAPSIEQLYEGGGIISTLNGSAVLLAGQDVRRYEGGIITSLHKVEGAPGSPARAILETPAMGLAGAATQFPWVSGSDMKERMLRYGRTVHLFSLVRDRGSGTVHGERRVAYHLDATDGENMREGLRRALRILAAAGAAEIGTHRSDGQRFACRGATEAGLEEFLDGVDVVRGPQSKAEAWSLCCTAHQMGSCRMGATARDGAVDARGESWEAESLYVCDGSVLPSAVGVNPMVTIQSVAYCLATGIAESLRRGPVPEEIYGSVPSFVPNAGDFGLFSPAASNVAGSSARCGMEGLDLNNNAADYPYLGAYQGLLQEPNTLVFACLAVDEMKKGNTSNGTMSRCEAFSTYPRTYDLIHANGIFTLYKDRCKMEDILLEMDRILRPEGTVILRDDVEILLKVQRTVKGMRWKTLLANHEDSPNIPEKVLFAVKRDNSTIVFAKGMNLRPGRLGVASRYQCVFGRDLSKPKHVLTSPVISAAQEIFRCVTPVRIRRYLRMTTDPNGNGNGDSDDKPMLVSIRTKGQRDSTLPSIAEPEPLPRYNRHWRQKAHSMCVCTMLRNQARFLREWVIYHSYIGVERWFIYDNNSDDDMEQVLSTMDPSRYNVTRHLWPWMKSQEAGFAHCALRARESCEWVGFIDIDEFLHFPGNQTLPDILRHYSNRPRIGELRTACHSFGPSGRTKIPKKGVTTGYTCRLAAPERHKSIVRPDALNPSLINVVHHFHLKEGVRYVNIGQGTMLINHYKYQVWEVFKDKFSGRVATYVADWQDEENVGSRDRAPGLGTKPVEPEDWPRRFCEVFDTGLKDFVHKAFTDPATGSLP >Sspon.03G0008550-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:39987386:39991315:-1 gene:Sspon.03G0008550-3C transcript:Sspon.03G0008550-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding WRAGSARWWRPSTPLAPRPSSTSPAGHLRALGWLLSVPGASGTVLEVVVPYSRASMAQLLGKMPLQFTSKQAAEDMALAAYNRALKLSGPGLQVMGVGFTGSLASSRPKHGDHRFYVSTWTHNCLRTSHVTLSKGLRSREEEDKVSSYFLLKAIADTCRVSATIRSDIHEPEIPEESMEEFDEDQELQQIINGQVCMKVYNFSALVENNFSRKIILPGSFNPLHDGHLRLLEVASSMCDDGLPFFEISAINADKPPLSIAEIKGRVEQFRKAGKNVIISNQPYFYKKAELFPGSAFIIGADTAARLVNPKYYGGDYNRMLEILLECKSTGTTFLVGGRKIEGNFKVLEDLDIPEELRDMFISIPEEKFRVDISSTEIRKSHGL >Sspon.04G0025210-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:28966165:28966521:1 gene:Sspon.04G0025210-2C transcript:Sspon.04G0025210-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRAAVTTLLSLSRSRRRGYAAAAEVRAPAAAMAARAPGAGSAADGAASSKEVFWMRDPQTGCWAPEDRFADVDAAELRARLLARKD >Sspon.04G0004330-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:8336135:8339662:1 gene:Sspon.04G0004330-2B transcript:Sspon.04G0004330-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARRAASSVLSRFLLTRPSPASPASPAGKSALLGAAETFGSIERGLLPGALLHRFSTAPAPAAATAEEPIQPAVEVNHTQLLINGNFVDAASGKTFPTLDPRTGDVIAHVAEGDSEDIDRAVAAARRAFDEGPWPRMTAYERCRVLLRFADLIERHAEEIAALETWDNGKTLAQAAGAEVPMVARCIRYYAGWADKIHGLVAPADGAHTVQVLHEPVGVAGQIIPWNFPLLMFAWKVGPALACGNTVVLKTAEQTPLSALYVANLLHEAGLPEGVLNVVSGFGPTAGAALCSHMGVDKLAFTGSTGTGQIVLELAARSNLKPVTLELGGKSPFVIMDDADVDQAVELAHHAVFFNQGQCCCAGSRTFVHERVYDEFVEKSKARALKRVVGDPFRSGVEQGPQIDGEQFNKILRYVQSGVDSGATLVTGGDRVGSRGFYIQPTVFADAKDDMKIAREEIFGPVQTILKFSGMEEVIRRANATHYGLAAGVFTRSLDAANTLSRALRAGTVWVNCYDVFDATIPFGGYKMSGVGREKGIYALRNYLQTKAVVTPIKDPAWL >Sspon.05G0026410-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:35185358:35185741:-1 gene:Sspon.05G0026410-1B transcript:Sspon.05G0026410-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GGGDRGGVGGARDAGGRRHGQQVLLIFLRGRRAVEARGRRPGAAVGRPALRGVRRVGQGGVPPVRALVRRRRVGPREEERPVRVRGVRRHAPDAVPVLRRVRDRPPRARKDRHVRARRTHRPDFLQMR >Sspon.03G0025190-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:94837344:94840953:1 gene:Sspon.03G0025190-2C transcript:Sspon.03G0025190-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTAAAQGGSDKPALRKPVFTKVDQLKPGTNGHTLTVKVVSATPVPGRARPGAPAAAPSRAPRIAECLVGDETGAIVFTARNDQVDLLKPDATVILRNAKIDMFKGSMRLAVDKWGRIEATEPASFTVKEDNNLSLVEYELVNVAE >Sspon.02G0055580-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2D:8141806:8142210:-1 gene:Sspon.02G0055580-1D transcript:Sspon.02G0055580-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MIHPWPSARWRWSDPRPRPTPNGGAGQICAHGGHHRGGVLIRSGLHITSSGGGGSWRPCCPPRSPPARRPCVNCSSSFDAGPDPARVHTVACGLLVALCIRIELILCGYGSHERGPCCGLRGARASSSWHWRSK >Sspon.08G0023960-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:61996964:62001491:-1 gene:Sspon.08G0023960-2D transcript:Sspon.08G0023960-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGSWGFTVRDYEDEVVLAGAGRLGSIPDVITAEAVARAQALQAATDHGISRVQWITPASSAAALAGEEESKRHRHSTPLPLYLFIPPEATAAGRDLGHITAIITGATSGIGAETARVLARRGARLVLPARSLKAAEEARARVRAECPGADVAVLPLDLSSLASVRRFVKRFLDLGLPLNLLVNNAGKYADRFAVSEDGVEMTFATNYLGHFLLTRLLLDKMAETARDTGVEGRVVNVSSTIHSWFPGDDDALGYLDRVTRRKTPYDPTRAYALSKLANVLHTRALADRLREMGANVTANCVHPGIVRTRLIRDRDGLITNTVFFLASKLLKTIPQAAATTCYVAVHPAVAGVSGKYFADCNEASPSRLGASSEEASRLWTFSENITAEKVQKTSSVHVVSTGLFRLQAQSSNADRAMTLAV >Sspon.05G0002640-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:30046086:30048407:-1 gene:Sspon.05G0002640-1P transcript:Sspon.05G0002640-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MESPFRADVVKGKVALVTGGGSGICFEIAAQLARHGAQVAIMGRRREVLDKAVAALRSQGLRAVGFDGDVRKQEDAARVLAATVGHFGKLDILVNGAAGNFLASPEDLTPKGFRTVLEIDTLGTYTMCYEALKYLKKDGPGKGPSTGGLIINISATLHYSASWYQIHVSAAKAGVDSITRSLALEWGTDYDIRVNGIAPGPIQDTPGVRKLAPEEMSKGLREMMPLFKFGEKRT >Sspon.01G0056700-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1C:91562603:91564357:1 gene:Sspon.01G0056700-1C transcript:Sspon.01G0056700-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVPVDGGHDLALHWISRQGKVRLTKWYSPYTQKERTKVIRELSGLILTRGPKLCNFVEWRGYKVVYRRYASLYFCMCIDADDNELEVLEIIHHFVEILDRYFGSAYYILDEILIAGELQESSKKNVARLIAAQACNPHI >Sspon.01G0052190-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:22175437:22185151:1 gene:Sspon.01G0052190-1P transcript:Sspon.01G0052190-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:MPK3 [Source:Projected from Arabidopsis thaliana (AT3G45640) UniProtKB/TrEMBL;Acc:A0A384L050] MSGGGGMDGAPVAEFRPTVTHGGRFLQYNIFGNLFEITHKYQPPIMPIGRGAYGIVCSVMNFETREMVAIKKIANAFDNHMDAKRTLREIKLLRHLDHENIIGIRDVIPPPIPQAFNDVYIGTELMDTDLHHIIRSNQELSEEHCQVPNTILPVPDPSRAQVHPLRQRDPPRPQAQQPAAERQLRPQDLRLRAGAPLLRERHDDGVRGDPVVPRAELLLNSTDYSAAIDVWSVGCIFMELINRQPLFPGRDHMHQMRLITEVIGTPTDDELGFIRNEDARKYMRHLQQFPRRPFASLFPKVQSVAALDLIERMLTFNPLQRITASLPGPLPWGKKTMSGGGGMDGAPVAEFRPTVTHGGRFLQYNIFGNLFEITHKYQPPIMPIGRGAYGIVCSVMNFETREMVAIKKIANAFDNHMDAKRTLREIKLLRHLDHENIIGIRDVIPPPIPQAFNDVYIGTELMDTDLHHIIRSNQELSEEHCQYFLYQILRGLKYIHSANVIHRDLKPSNLLLNANCDLKICDFGLARPSSESDMMTEYVVTRWYRAPELLLNSTDYSAAIDVWSVGCIFMELINRQPLFPGRDHMHQMRLITEVIGTPTDDELGFIRNEDARKYMRHLQQFPRRPFASLFPKVQSVAALDLIERMLTFNPLQRITVEEALEHPYLERLHDIADEPICTEPFSFDFEQQALTEDQMKQLIFNEAIEMNPNFRY >Sspon.05G0019950-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:80469270:80471087:-1 gene:Sspon.05G0019950-2P transcript:Sspon.05G0019950-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRARGEVALAALLAAAALLLSSLDSRSDVRMLEIGDGDAELLPLLDGAVGPESLVFVDDGDGGPFTGVSDGRVLRWVPAERRWAEHSSAAPDLLDSCRGSQDPGREHECGRPLGLKFNHGTGELYVADAYHGLRVVSPDDDKASRPVAPQWWQGTGRTFSFANGVEVDPGTGAVYFTETSTRFQRREFLHIVISGDTTGRLLRYDPKSGGEVEVLVDGLAFPNGLAMSRDGTHLLLAETTTGRILRYWLRPTAKAPAIEEVARLPWFPDNIRMSPRGGFWVGLHAKRGKLAEWCISYPWLRRLVLALPARHVQRASWLLNRLGRQVIAVRLNEEDGKVVEMVSVHGDLQKVFRSVSEVEERNGSLWIGSVMSPFLGVYKLYRSIHM >Sspon.02G0039690-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:60356063:60360228:-1 gene:Sspon.02G0039690-1B transcript:Sspon.02G0039690-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPREIDEASDEGQLNIVSSSDGSWRSVWTIEFNYELQFIDIKEKIQMMDNGKNGLIQLAVGFEMLESLLSNLGSKAASGTVACKLIDAAHPGAVPMHMIQNYKVLQDVFNKLKITKLRDIEIFCQSSEVEHVPIFDI >Sspon.01G0002440-2P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1A:110727227:110729282:-1 gene:Sspon.01G0002440-2P transcript:Sspon.01G0002440-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGADGRQAIFTAECSHTFHFHCIAASVAHGHLVCPLCNAQWRELPSVRPSQPSSMPPTLPRQPLPRVELMHGVQQPPPPRQQPVPAVQSPSQPAEPEVFDDDEVELPSGEDNQRQAAASSGTLAVKTHVEFSAVARDSSHNNFAVLVHVKAPAYEAAAGDRDAPRAPLDLVTVLDVSGSMHRNKLALVKQAMGFVIGSLGPHDRLSVVSFSSGARRVTRLLRMSDTGKSLATEAVEALRAGGTTNIAEGLRTAAKVLAERRHRNTVLVPPSFVPGTASTGELSAPIHTFGFGSDHDAAAMHVVAEATGGTFSFIENEAVIQDAFAQCIGGLLTVVVQEARIDIACGHPRVRISSVKSGRYESRVDEDGRSASIAVGELYADEERRFLLFMAVPTVEAADVGESETLLLKARCSYREAAGGTHVDVTAEDTVVARPEHAADAERSAEVERERARVEAIEDMAAARAAAERGAHQEAAEILGNRGRAMARAAERVGGYPNGALRAEVSRMRKRVANRESYERSGRAYVLAGLSSHAQQRATTRLLRPLQAVVQPSAGSVGGGEATNSVADAGDAEAVDMGKDKNLMGYFGVGAPAGEAGTSDAASADVESHEADAAAAYLTPAMRAMLLRSRQAREASAEQQQQPKAAEEAGSSGSKE >Sspon.02G0029690-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:97398856:97408601:-1 gene:Sspon.02G0029690-2D transcript:Sspon.02G0029690-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNKIQMFFSAHAWSSSTSGNLSRVLLLHLLATYSIMVRPPRDASRWPPRRRCRGRRPRPGLRLRRRRGQGRRGGARAAPRLRPAPDYDDEEDLEERAYEAAEKVIVSISDGPDPEDGDGGDDDAAFCSSSGSATPPFSWRKLWLFTGPGFLMSIAFLDPGNLEGDLQAGAVAGDTLLWLLMWATAMGLLVQLLAARLGVATGRHLAELCRDEYPDWARRALWLMAEVAMVGADIQEVIGSAIAIKILSRGYLPLWAGVVITSLDCFIFLSLENYGVRKLEAVFAFLIATMAVSFAWMFTDTKPNGKDLLIGILVPKLSSRTIRQAVGVVGCVIMPHNVFLHSALVQSRKIDQNKEYQVREALRYYSIESTIALAVSFMINLFVTTIFAKGFYGSKEAGNIGLENAGQYLQEKFGGGFFPILYIWGIGLLAAGQSSTITGTYAGQFIMGGFLNLRLKKWVRALITRSFAIVPTIIVALFFDTSDSALDVLNEWLNVLQSIQIPFALIPLITLVSKEQAVTWTVATLLITINGYLLMDFFSSEIRGPLSGSLLCVAVLIYASFVLYLILRGTELSEKIVKAIHNSFSLQ >Sspon.01G0004620-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:11559412:11561465:1 gene:Sspon.01G0004620-2C transcript:Sspon.01G0004620-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKVNVLNTLFINLNSPGQLTGWQANGGDPCGQSWKGITCSGSGVTKIILPNLSLTGNLAYNMNNLGSLVELDMSQNNLGGGAQIQYNLPNMKLEKLNLAGNQFGGNLPYSISTMPNLKNLNHNQLQGNISDVFSNLYSLSELDLSFNSLTGDLPQSFTGLSSLKKIYLQNNQFTGYINVLANLPLETLTISHNLLASRNVANNHFTGWIPSQLKKINSIQ >Sspon.05G0013370-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:46507039:46507891:-1 gene:Sspon.05G0013370-2D transcript:Sspon.05G0013370-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSNGREPRCGVPRPPPLSLYRDWEEEEFVKTGKHRQSPLEQSTSIAATAGIANKKRLSKQLSMKETTREVKWEKRRRQIQRQRSSMRLNEADDRAGGSTVCPVDSEASSSAERVAKRITDEDLDELRGSMDLGFRFDEQKGGQDLCDTLPALDLYFAINRQLSEPKMRFSTTLAPSLSATKSSPNLCGTPSPGSPSAHSSNPLESWKICSPGDNPQLVKTRLRHWAQVVACSVKHSS >Sspon.02G0025710-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:89238825:89240273:-1 gene:Sspon.02G0025710-1A transcript:Sspon.02G0025710-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADDDNVAAKTRRRRSTGTDGISALPEHLQHEVFSRVGDVKALFRLAATCSGWLRRFTDRAFLRELCSGSQGSGSGHRARLLGVVVQDKWFNSGDKAMVQARMTTMAAPPQRASSSAKAPVFLPALGSPLGPAGRALTSFVGGGDDDGTFDYAEPLAARRGIVLQWLAPRTPEEMDGCHLFGVCNPVTGDRHVLPPLECSRRLVGCRLVGYAIVTATDDSSGNGRPARWTFSQLLVITQDGDLPRGDVDAAYLHSYSAATRRWSAHTRCLDRRVFSLVGARSAVVHRGAAHWLCVDDGRLPNKRAARGEGDEHHLYRFSVDVATARVSLTRLPVRVGGKQLLFVNSDGKLAVASVFPMHVTVWTPPGGAGDDEDDVSTPAAAAAWPRTSFRIAPQQHHHDRWWNFNHGSLSLLALFGGSGVFILDLNTEVMEKVFILDFDNKAKRYVTCVPYEMDLVEFFTLQLGGIRERLRTITISETESP >Sspon.07G0011460-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7A:40191265:40192956:1 gene:Sspon.07G0011460-1A transcript:Sspon.07G0011460-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNPEYCEINSNITLNYINQMLMEERADENISTDKHHDALQAMEKPFYDILGKAYPSSPKQTVINSGSQSDFPDDINNNYHDLECSGSSVSDILGRKGLRLIDIDGGSELCNVVLQFNRTAEEARKLVPSIEKLVVDPESNGLSSSKQTIEATIGQHSKHTNKIRSHPHVDLELVEGRNSKHSAISTSDIIRDEIFDRVLLCHEQYHCDVAHLREMKAKEANITLQYVQNTRSAQGKEKSQGKKQEKEEVDLRALLIQCAQAVSSNNHPFASELLKKIRHHSLPYGDGSQRLASYFADALEARAAGTGSQMYQKLVMKQASCADMLKAYRLFIAACPFVRVAYYFANKTIVDVLGGRPRVHIIDFGILFGFQWPLLIQRLAKREGGPPQLRITGIDVPETGFRPCKKIEETGKRLAEYARMFNVPFQYQGVASRWEDTYISISTLTRMSAHSQLLAQNEKPGDETEDIDSARDRVLRIMKRMNPNVLIIGVTNGLYSSPFFLPRFREALFYYSSQFDMLNSAVAQNHEARILIERDLLGADVFNVVACDGAERIERPESYKQWQ >Sspon.04G0001660-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:5542378:5542737:1 gene:Sspon.04G0001660-1A transcript:Sspon.04G0001660-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VRLDGNRRLSTLQRYDCITAAVPPRGRGAAGLHLQGMQGRVKVSHVTPAVPSDSSPPTRTRRAPWCCWTASPGRQGKGGRGSLVFPLGRPSAGDDARRRSSCEEGSMHPQPTACSMECQ >Sspon.01G0003110-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:8414054:8416054:1 gene:Sspon.01G0003110-1A transcript:Sspon.01G0003110-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAQAMAKGSVGSLGRRRGAEAARVGGSWRKSSFLGGRLAVGPRRPGPVSRILVTSPAQMNLSFGKAMKWWQKGLQPNMRAIQTAQDLVDSLTNAGDGLVVIDFFSPGCAGCHALHPKICQFAERNPDVQFLQIKKLRDALEKHRADRCSVGPTRGLEESELLALAANKDLQFTYTKELELAPSMEDVAEVIAADRPRLPPATTPLARQGSEDRALVSSGR >Sspon.06G0001780-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:3987180:3989885:-1 gene:Sspon.06G0001780-2B transcript:Sspon.06G0001780-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDALWDLLGEEMAAAGGEHGLPPGFRFHPTDEELVTFYLAAKVFNGACCGIDIAEVDLNRCEPWELPDAARMGEREWYFFSLRDRKYPTGLRTNRATGAGYWKATGKDREVLNAATGALLGMKKTLVFYKGRAPRGEKTKWVLHEYRLDGDFAAARRPCKEEWVICRILHKAGDQYSKLMMVKSPYYLPMAMDPSSFCFQQNPTAPPLPNPSGCIPFHHGHPSMQPPPLPPSNHGKVVFTGAAAPCMQQEPANGSNSAVLPMPPLPHFTPIVAGKPAPAPPPQVGVNAGPQEPPPLPPTWLPAARWWVPL >Sspon.05G0038560-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:5D:49162982:49163518:1 gene:Sspon.05G0038560-1D transcript:Sspon.05G0038560-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKEIIPYGIDLNKKPDTLALSIIPPREIAHVPSQSYFTQAIHHPVIAPMSPRLLLQDKPRQPEPLKIKVPKEINLLPPRPNETLTGVKTNKFGDVTCAKYKSVTPRVAIDGVRVAPKQVKIVPLKEKKKRMSPTAQENEKHQQMTVAALHDKIKTLTEYTMLCSIGSIKSTTIART >Sspon.02G0011260-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:24510978:24514583:1 gene:Sspon.02G0011260-4D transcript:Sspon.02G0011260-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding METPPPRRWPPGFRFSPTDEELVLYFLKRRIASGRPSPYVADVEVYKSHPSHLPERSALQTGDRQWFFFSRMDRKYPNGSRASRTTGDGYWKATGKDRFICGGGRAVGNKKTLVYHHGRAPRGERTDWVMHEYTLLADALPPAAQGREFYALYKLFQKSGAGPKNGEQYGAPFREEDWLDDDDEGVTADAAANSVPNTSNPPSTVEEHAITDRELPIEDLDELLSNFGNDQEGFSEAQPASSQGWLGEGGDKAQVVDASISNGAVVVAENTCTDLPLGDIEQLLMQISDDQQNAELFSDLSTSVPELQFQCDDGQVWLDSDGGHEVCAADPTASSGAVVTAECTDTELPLGDLEGLLLQIANDQDMVEPQSDLSAPIPHHNFNQADIGDLHESHGAPVGNLSCIVQESTFCEPQTEPSSQFPQSNVTNLPFSGETNSSEGTSVAHSVSGLISYHSQDADEEFLEINDFFDLEDVGQNADCTATEYLISATNGMFENLEYCDAPSFLPGPFDTVGLIAENQLFDISDSGIQNQGYQYTTEVRTHNQAALNVQSHMKHDHVVLSSHASGTLNIHAANEVHHQSSTASQSWFNAALSALLDSVPSSPALAAEIENTVINRTLQRISSFRSQQTAGEENTFINRTLQHISSFKSQQATREEPGTPSYQRRQTDVHLSTGYTCFYYMDLYFWLCPQFLQGFMEILFYVTQMKWQPGDLVLMNPITR >Sspon.05G0019880-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:82042710:82043660:-1 gene:Sspon.05G0019880-2C transcript:Sspon.05G0019880-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVATETPFHVLAVDDSLPDRKLIERLLKTSSFQVTTVDSGSKALQFLGIHDDDASTVSVHAHQLDVAVNLIITDYCMPGMTGYDLLKKIKESSSLRDIPVVIMSSENIPSRINRLRPDVFEGKKSQEYTKIKSSEKPSRIYRMRTTLAIYYVPA >Sspon.02G0041920-3P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:83093885:83097904:-1 gene:Sspon.02G0041920-3P transcript:Sspon.02G0041920-3P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKRVVIVGAGVSGLTVCKHLLELGCQPTVFEADTVLGGVWARAPACTELQTPRPMYQYSDFPWPESVTEVFPNHRQVVAYLEAYARHFGVLGCIRFGHRVVGMEYHGVGEQAMAAWEEWAGNGQAFGSGAGEWRLSVADADGHVETHKADFVVLCMGRFSGVPNIPTFPAGKGPEAFDGQVIHSMDYAKMGNSKAKEMIRGKRVTVVGYLKSALDIAAECAEVNGTAHPCTMVVRTKHWILPDYFAWGFHISKLYLNRFSELLIHKPGEGLLLSILATLLTPLRWAFSKFAESYYSIPMKKHDMVPDHSLFEALAAATIAITPKDHYKRLEEGSIVLKKSKTFSFCKDGVLVEGEPSPVKSDVVIFGTGFRGDDKIKDMFSSEYFRSVAVGSESTTVPLYRECIHPKIPQLAVIGYSESIANMYTSDIRAKWLARFLDGGFRLPNVAAMQKDVMEWEKYMKRYSGRYFRRSSILILHTWYNDQLCHDMGCNPRRKKGFFSELFEVYGPGDYANLLSNSKSKK >Sspon.07G0025140-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7D:31969234:31972400:-1 gene:Sspon.07G0025140-2D transcript:Sspon.07G0025140-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GKPVQAALLLNYDPSGPSRLLPVIAEQERTQLSALDMQPFLDFVKRGNLQTEFFSVGPNQWELDIEGERAITIDAHPPTLVL >Sspon.02G0014230-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:40469661:40470434:1 gene:Sspon.02G0014230-2C transcript:Sspon.02G0014230-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVACVVVVPSSSPSRVRRPVHSADHAKSTACAIYMDAPMEHRVNPKFPIRKREMVDCRNIIVSVLVLLSSWLLFVGHDHPRLLGRAQRPTAPVAASSVLQVGALLDLGSTGGRESRASISLALDDFYASRPADGSGTTVELHVADCKDDEITAASAVNVKYI >Sspon.08G0029040-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8D:20086054:20094013:-1 gene:Sspon.08G0029040-1D transcript:Sspon.08G0029040-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GPNASKPALYADVARFYMWGPHDRRIGVAKSKCNKSTALSLVLPAVRRHAQPSPWCRHRCSMPGAARLRTHGAAPGLQRPFVRCPGPPRQPLTRVAQHARAPCPLRVLTTAPPHDPIGRRAARDDDILVVRLVPLAAPPCVDVGGARARGLPHLCLPSREVMEGSTYIRTHLQQIAAASVSTASCGASSGAADDAECRDEAAALGLKMVAVAAILVAGATGVAIPLAGRRCRGRGGATSSPSAGGAFVLVKAFAAGVILATGFVHMLHDADEALTDPCLPAAPWRRFPFPGFVAMLAALGTLVFDFVGTHMYESKQHSADAEEAAAVAGDASANASGHDVTVALLEHGALVGSVASGIGRDGALMGSVGSGIGGGHKDPMHIVGMRAHAAAHRHSYAHGIGPCDDGHNGHDEEPSQARHVVVSQARRSPNPAVAGVEELESAVGGSPVELGPHPMPSSSARLPVECRPPPAPPSSSARLHVERRPPPAPPSSSARLPSRRASPTSRSSASVYLRPCPAPPAVSHPASPSSGPPLRARFICVVIQRGRIFASPFGRCSSFLPQILELGIVSHSVIIGLSLGVSQNPCTIKPLVAALSFHQFFEGFALGGCISEAQFKSFSALLMAFFFAITTPTGITVGAGIASFYNPNSPRALVVEGILDSISAGILIYMALVDLIAADFLSKRMSCNLRLQVGSYIALFLGAMAMSSLAIWA >Sspon.03G0009930-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:41634525:41639913:1 gene:Sspon.03G0009930-2C transcript:Sspon.03G0009930-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLSSELAHQPHHEAQTAQQPSKPPHPEFLWNSLTRRNSERLNFDATEPPLLPAARLLRLRPAPFGRPFAPRLAAVPAAPLCYSGSVSPASARDRQDPLVARAWQDPVVADRQDPRAAGGPAPEAPLLPAADLLAMKQRKCSLQLSSVKVVRSGWTKVKDNGLEVMTIEEIRSGIDTRGTELEGIDRGVLMRALRQLEQKGKAAIFKGSSADDEGQIGNKRRKPENMQHGKHGKDRTNAKNHLVENHLVEKEKKKQKRRKDR >Sspon.06G0010740-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:46687056:46689240:-1 gene:Sspon.06G0010740-2C transcript:Sspon.06G0010740-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor bHLH27 [Source:Projected from Arabidopsis thaliana (AT4G29930) UniProtKB/Swiss-Prot;Acc:Q700E3] MEMGDSFEYYWETQQYLESEELRYAAPAIRHCYWIWHFGRDSDLNGRCCCSIYLGTQDDALSCYDSSSPDGSISNSSWVPAAGTVTTAAAGKAEEGPGGAGGGAAAAAAANKNILMERDRRRKLNEKLYALRSVVPNITKMDKASIIKDAIEYIEQLQAEERRMLQEVRALEADAAEERCDYEYDEGALLQAAERGKKRMKRTQSVPSSSVPAPVEVLELRVSEVGDRVLVVNVTCGKGRDAMARVCRAVEELRLRVITANITSVAGCLMHTIFVEVRADCSAFLVEFPNDMGDDVRHHSFCRFDLRI >Sspon.04G0020100-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:76048066:76050794:1 gene:Sspon.04G0020100-2C transcript:Sspon.04G0020100-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVRNPKMARHLIQVTVILSMCWHLIQVTVILSMCCLFIVAQQSSSAPATPAPAPALPPNTLCITRERDALLVLKAGLTDPGNYLSSWQGQDCCRWSGIQCSNRTGHVVKLQINSKAPDTNQLVGTIGGKVSSSLLSLRHLQQLDLSWNNFSGRPIPELIGAITSLRYLDLSYSNFGGRIPPHLGNLSNLLELTIYNEEASQNLYSPDLAWVSRLGKLQTLSMSGVNLSTVIDWAHAINMVPSLLRLVLYSCGLQNIISPPLHVNLTSLEYLYLSSNSFYSSLGAKNLFWNLPSLQTFWIANSGIQGPIPDTIGNLTSLQYLNLYNNSITGPLPSTIGNLKNIQTLQLWNNFISMDIAELLRRLPKQGLQQLFLDYNNLTGTLPPLLGEFSSLTTLWLRYNHLSGEIPVAIRELINLKELWLSSNNLQGIITEDHFTNMSSLQHLWISDNSLTLRVENTWNTPFRLISAGFSSCVLGPRFPAWLSSQPINTLDISNTSINDYIPDEFWTATLSTISILDLSRNQLVGRLPTYFSSLRVDSLDISSNQLVGPIPKLPNNLGSLDLSENNISGTLPSDIGAPMLRTLLLFNNSISGTIPCSLLQLQQLKFLDLSENLLNGTLPDCLHGSEASNIHNNLSGTFPLFLQRSKQLKFLDLAYNKFSGSIPSWIGEISSDLSFLRLRSNMFSGGIPIQITRMKGLQYLDLACNNFTGNIPLSLGNLEAMAHTPNNNSALFSVTNTGFVGVFLYRPVRTDSLLVVTKGQQLEFASGIAYMVSIDLSCNSLTGQIPEEIGLLIALRNLNLSWNHLSSRIPSKSFDLSHNELSGEIPNSLSDLTSLVSLNLSYNDLTGRIPSGNQLRTLENQASSYIGNPGLCGPPLPNNCSATDTAPSGPEEKE >Sspon.02G0002870-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:9770550:9773790:-1 gene:Sspon.02G0002870-1A transcript:Sspon.02G0002870-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVLFSCPVEEEEDVPAPGCGGNAGVEPTALKPSLGSDKLRFQGSLGSLIRREQQSPSARLQVDATKIPATSPRATVAPVPPVMPRELARTRFADAAPESPKHEAAAVTVQKVYKSFRTRRRLADCAVVVEQSWCVRRPSYTYLFFQNPRPHCAAFVCLLDADPWELLDFALLRRSSVSFFDIERQESAVSKWARARTRAAKVRFASKFVFFQQSQFFRCEITNGICKSHQIQVGKGLSKDEKAQKLALQHWLEALLKSDFLVLAVFRLDVGEGKEINLERCPRLKLLSQCIKYLGPKEREEYEVVIEDGKFMFKKNRQILDTSGGPRDAKWIFVLSTSKNLYVGQKKKGTFQHSSFLAGGATSAAGRLVVEDGILKAIWPHSGHYRPTEENFQEFQSFLKDNNVDLTDVKMSPDEDDEEFWSRLRSIPSDCCAAADKPGEYESTTPDEVSPSQQAETSKSLSPTATVTRQDSEDAVEDTETSTTNHRVLSGDSQEENNAAAAADDNTAVPREKILQRISSKKETKSYQLGKQVSFKWTTGAGPRIVCVRDYPSELQLRALEQVRLSPRSGTAADAAGAGRAASSRFASPQRCSSPTVARGRRELLAPGGCGRAASSRFASPQRSGSPMARARCEPLTPREAFRTHLMARGKELAAYSY >Sspon.02G0052380-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:91418917:91429776:1 gene:Sspon.02G0052380-1C transcript:Sspon.02G0052380-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGFFRNTTSLRATGREIAVAGLAVPDEPPTFARFVSTSSLRPPRASWDRNWRVVDARHGRVLLLRKTPWGNDHFLVWDPITDEKHDLGILPGSLYLDNFNAAVFCKNAGCSHLDCHHNPFTVVYLSITEEMEMSDYVYSSEADEWHLPSMPYTAAHQDEYLDLEPSVVVGNLLYFVLQTNTRILEYNVATREMGVVHLPPGCFSEWRIMLMTVEDGCLGFATVHKSKLCLWSKENGSDKDAGWTQRRVIDLKKLLPIPSLLTSPHVVGFANGIGVIFVHTRDGLFTIDLNSSRVKKVRKASDGSRVFPYMSYCTPALRAATTSTDEGPTMGASRSCPVFCSDPLQIPNRPNHRRPSGRPPRSDWSVQWSRRRAVRPGCRRRSWRRSSSDSHRTTRRASSASHGAGVSGDGFRRRFRQFHRTPPVLGFVCHLRDGSDPVARFVPTSSFRPPQTDHHNKRAVGNSAEAAAHLHLDREVPRCYQVTNPDDNERKRNHVNSRSKK >Sspon.03G0014350-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:67105757:67110711:1 gene:Sspon.03G0014350-2B transcript:Sspon.03G0014350-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SRLLSQIDLIGIAEQFQAYGVEFGRGGAEFQVKMLWRTVRSMDVIMHSLSFLLPKLHQPVNKPVKNYALVVLNQQLPRFMPRIWDHANLRICADGGANHIFDEMYQMTNDEDKKSIRNKYVPEIIEGDMGSIRPEVKLFYSSQGSKISDKSHNQETTDLHKCISRIHHCTPDHEKPNLCVLVTGALGGRFDHEAANINVLYLFSDMRIVLLSDDCLIRLLPRTHRHELCIESSVEGPHCGLFPVGAPSTSTTTTGLKWNLSESKMRFGSMISTSNIVQSEKVTVESDADLLWTISLRNLT >Sspon.08G0011250-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:49084860:49088023:1 gene:Sspon.08G0011250-1P transcript:Sspon.08G0011250-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGSFLKVLANNFDVLAGPLISLAYPLYASVRAIETKNPVDDQQWLTYWVLYSFITLFELTFAPIIEWLPFWSYAKLFFNCWLVLPWFNGAAYVYDHFVRPMFVNRQIVNIWYVPRNEKLGKSDDVLSAAERYIEQNGPEAFEKLISK >Sspon.03G0029050-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:11898351:11900283:-1 gene:Sspon.03G0029050-3D transcript:Sspon.03G0029050-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAFFSSLARGLDDLGRAGGLSSLPALLRAAALLRGLHSQLTLMVGQLHLPPGGRWLDEYMDETARLWDACLAVKLGLASVERYCAAASCAAAALDDWLQDPSPLSTRQVLRAISVSRREAMAAEEENRALADARIAPLSLQLDVRLLLRATDAARLTGFNGFRGLLYALHNASSLLLLILASGAVSCAAAAGGGPCAADDAAAGADAGAGFMASIAMLQQRMTEEAESDGAPGIRMCEFRCARAAVDAAREEVERVAAAGRKCEDGSGGVKDKVEELKAWLDVLRTGTDSLVCQLDDFLDDIVEGRKELSDLCSH >Sspon.06G0004220-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6A:13259016:13261675:1 gene:Sspon.06G0004220-1A transcript:Sspon.06G0004220-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDILLGSGRFLARRPPLALVPRCSRGSPDKSGSDKGETSTDWDKAWTTFKNKGKRTLFSDFSPNKYVTWNPRRSEYPLSEEVDPIKRTERSNLMLWTSPQFTL >Sspon.04G0007180-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:20390938:20394897:1 gene:Sspon.04G0007180-1A transcript:Sspon.04G0007180-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vesicle-associated protein 2-1 [Source:Projected from Arabidopsis thaliana (AT5G47180) UniProtKB/Swiss-Prot;Acc:Q9LVU1] VVAMGGSGTLISVYPEELTFLCKLCGFLVIFIRIAIVELEKPCYCNLKVVNNSEHHVAFKVKTTSPRKYFVRPNASIVQPWDSCTITITLQAQKEFPPDMQCKDKFLIQSTKVAASTDMDEIPPDTCHYSEMISTAICYMVTYAQFNKETDKVIEEMKLKVVYTLPSGGSDDSSVSSLGSRSFKAASDDLAIQTIQRLKEERDNMLQQNQQMQRELDVLRRRRSRKGDAGFSLTFAAFAGLIGVLVGLLMSLIFSSPPADA >Sspon.02G0027550-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:99735167:99737902:-1 gene:Sspon.02G0027550-1A transcript:Sspon.02G0027550-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHVLACASSLLSLHKVLEEGPLLLSSIRTRARLSMFLIEDKGGAIALMLASLLFLGTWPAVLTLLERRGRLPQHTYLDYSLTNLLAAVLIALTFGQLGDSKRGMPNFFTQLGQDNWPSVLFAMAGGVVLSVGNLSTQYAWAYVGLSVTEVISSSMVVVIGTTLNYFLDNRINRAEILFPGVACFLVAVILGSAVHASNAADNEQKLSGSTNANKLGIRYAPKDLENGASGTKQVDKAEAGTAEYLIELEERRSIKVFGSNTFIGLGIVFFAGVCFSLFSPAFNLATNDQWHTLKDGVPHLVVYTAFFYFSISCFVIGVGLNILFLYRPMAGVPKSSFGAYLRDWNGRQWALLAGLLCGFGNGFQFMGGQAAGYAAADAVQALPLVSTFWGVLLFGEYRKSSRKTYVLLGFMLFMFVAAVAVLMASSGHRSTE >Sspon.01G0042530-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:63587053:63588032:1 gene:Sspon.01G0042530-2C transcript:Sspon.01G0042530-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKATSYVGCGAVLLALLLVSFARPCHSSLYRPPPVMVYHAGEVLDGAVPVSVLYYGAFSPHQKAIIADFLLSLSPRGRQPQHHGFGTPGPAPAPSVARWWETVDRYVRKAGREPPRVLLASQVHDEACSLGKTLSRVQVERLASRLGVAPGGVAVVLTAADVAVEGQCGSACGAHGASAPGERRMHVPGRGRGETLRAPNGDVGIDGMLINLAALLAGAVTNPYGHGYFQGDPGAPVEVAAACPGVFGRGAYPGYPGAVRLDTATGAGFNVVGRNGRKYLVPALVDPDTNSCIIMT >Sspon.05G0030690-3P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:25588439:25590577:-1 gene:Sspon.05G0030690-3P transcript:Sspon.05G0030690-3P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPEERMKTEASHKAIAAGGKMTVLHAPVGVRSIVTSLVAFFILASSIVFLFDKGQEERVQMAVEHGRQEVQLKLEAGLQEPAIRGTTEEGDASNEECNWSRGRWVYDNVSRPLYNGLKCAFIFPEVACDKYGRKDVMYQHWRWQPHGCDLPRFDAIKLLEKLRNKRLVFVGDSVNRNQWVSLVCMVEASIPDDRLKTRIFNGSLISFKALEYNATIDFYWSPLLVESNSDNPIIHRVEYRIIRADRIEKHASVWRDADIIVFNSYLWWRKQKDDMRMKVMYGSFEDGDAKLDEMEMVDGFEIAMKKLTEWLGENIDKNKTRIFFAGSSPTHSWASNWGGEDKNKCLNETEPIYKTGYKAATTDYSLMATAKSYFRTLEPKGIHVQILNITELSDYRKDGHPTVFRKQFAPLTKEQIAEPASYADCTHWCLPGVPD >Sspon.06G0016350-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:70870715:70874068:-1 gene:Sspon.06G0016350-3D transcript:Sspon.06G0016350-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADKIKTVVVLVQENRSFDHMLGWMKSLNPEIDGATGAEVNYSVAGDATSTPVHFGNASQYVDPDPGHSFMAIYEQVYGDPFTWGSTSPATKPGVTVPPMSGFAQQAEKEKPGTPHAVMNGFRPDAVPVYRELVREFAVCDRWFASVPTSTQPNRMFVHSATSHGLVGNDKKLLREGMPQRTIFDALHDAGHSFGIYYQFPPAVLLYRNMRQLKYIGKFHQYELHFKRHCREGKLPNYVVIEQRYLDWKLLPGNDDHPSHDVAHGQRLVKEVYEALRSSPQWNEILFIITYDEHGGFFDHVPTPVEGVPSPDGIVSAAPINFTFDRLGVRVPAMFISPWIEPGTVIHRPSGPEPTSQYEHSSVPATVKKIFNLKEFLTKRDAWAGTFDNVLTRATPRTDCPETLPEPVRLREAEAEEDQHREVSEFQAELVQLGAALNGDHATEAYESDELVKGMTVAEASEYCQSAFARFREECQRCHESGMDECHVPKLQPPTATASATAPSVSKLCGCLPCFG >Sspon.07G0037540-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:54550908:54551497:-1 gene:Sspon.07G0037540-1D transcript:Sspon.07G0037540-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GVLIGKEVAVKLLHSVSERLDHREEFMKEIEHLRRLNHPNIVQLLGYCNEIRRAYVPDGEGEMFAFEDETYRALCVDYMHNGSLQGYLDDESCGLDWQTRYKIIKGACEGLKYLHTGLENPMFHLDLKPSNILLDKNMVPKLADFGLSELVCDNKTHATSSFLGT >Sspon.05G0001930-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:6294910:6296365:-1 gene:Sspon.05G0001930-2C transcript:Sspon.05G0001930-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNYLRSRSLKRLLSIGRRSNADECVDVVVDPPPNKPTWRCFSYEELHQATNGFHQDNMVGKGGYGEVYRGVLDDGRAVAVKRLAPTAAADEKKEKDFLTELGTVGHVRHPNVSALLGCCVDRGLHLVFDFSTRGSTLADTASSSTAHVHADVLSLCSVPPFTINESCADPKLPAMSWKQRHGIAVGTARGLRYLHKGCARRIIHRDIKASNILLTADYEPQISDFGLARWLPSEWTHHAIAPIEGTFGLLMSLWLDGLMQAKPYLSDGVVQGLVDPRLGDGYDAGQLRRLMFVASLCVRAAAVWRPTMTQV >Sspon.03G0011090-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:30115239:30118390:-1 gene:Sspon.03G0011090-1A transcript:Sspon.03G0011090-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTVRVRASSACWWPTTAALFCTCDRIDAVGRQKRQKGSKVLCLEQKEIDEESSVDLGLNLGFHIGNDIAHCQQKSHAGFKNVISTNSPKLDLQLSLSTVSPESVVIDVNMMSPDGLVMPMTNSPPAIVEEGSVPHSWGFEHSIVSSSYASEATFAFPLLKETNGGNASVPSPVMMYERSKRGIRALHSPWRW >Sspon.04G0034980-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:42944964:42946509:-1 gene:Sspon.04G0034980-1P transcript:Sspon.04G0034980-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGGGSHQQHLSSPTAVLGHVTPALRDLYAVGRKLGQGQFGTTYLCTELSTGAALACKSIAKRKLLTAEDVDDVRREIQIMHHLAGHASVVTIKGAYEDPLYVHIVMELCEGGELFDRIVDRGYFSERKAAEIARVIVGVVEACHSLGVMHRDLKPENFLLKDRGHDASLKAIDFGLSVFFKPGQVFTDVVGSPYYVAPEVLCKHYGPEADVWTAGVIVYILLSGVPPFWAETQQGIFDAVLKGAIDFDSEPWPTISDSAKDLIRRMLRSPPADRLSAHQVLCHPWICDNGVAPDRALDPAVLTRLKHFSAMNRLKKMALRVIARSLSEEELAGLKEMFKAMDTDGSGAITFDELKEGLRRHGSNLRESEIRDLMDAADVDNSGTIDYDEFIAATVHMSKLEREEHLLAAFAYFDKDGSGYITVDELEQACRDHNMVDVGLDDIITEVDQDNDGRIDYGEFVAMMKKGIIGHGRLTMRHTSDGS >Sspon.04G0002950-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:9942019:9943615:1 gene:Sspon.04G0002950-3C transcript:Sspon.04G0002950-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALEAVVFPKEHLACTVKARSASLGCGFHTEELEDKGGVVLQGDAADALPPGAGAATAWAWDAVLCPCSTAPGGAVEECWDVQHQLSVSPPPPVPSAVAASSSARGKAAAASAAARRRRRRPKAVKNTEEMESQRRNHIAVERNRRRQMNEYLSVLRSAMPPSYAQRGDQASIVAGAINFVKELEQLLQSLEAQKQRQGGCTEPPASPFAGFFTFPQYSTAATDVVGSSDSAGSGGDQSGGCCAGTGAGARRGVADIEVALAESHANVKVLAPRRPWQLLRMVVALQCLGLTVLHLN >Sspon.02G0017550-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:51094523:51107028:-1 gene:Sspon.02G0017550-1A transcript:Sspon.02G0017550-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGELGQQTVELGAVVRRAAEESYLALRELVEKSQAEAEGKGLGAGANGGWQRSDTEKKIDLLKFITRTRQRMLRLHVLAKWCQQVLPNFSLCSPISLACSCPCHNFTVLCEEFILTGLSASNLILIYPGLELVPLVHYCQQLGSTLHSHETCFTQTADSLFYMHECLQQARAPMFDVPSAIEVMLTGGYQRVPRCIEEIGSQNKLSPDEEKRALRKLDASVRYKVLVTPRPKEVSNVSVTDGIAVFRVDGEFKVLLTLGYRGNVDLWRILHMELLVGEKKGPIKLDQSRRFALGDDIERRMAASENPFAVLYAILHEFCISLAMDTIIRQANVLRQGRWKDAIRSELISDSTTGQTGNTPLMQLVQDGEFDSSGFKIPGLKVNYWLDEKSTCTTEPDSSPFIKIEAGLDMKINCQHSSFILDPFTDKEANLSLDLSCIDVEQLILRAIACNRHTRLLNIPRQLCKNVQISQSPKDVVLTRDVAAAKDPTKNAEKKGFTDCFGNEVLQVRAYGQAYVSLGINIRSGCFLLQSPENILPRAVIMDSEEALNKGSTSATEVFSSLRTRSILHLFAASGSFFGLKVYQQSQGTLKIPKTILHGSDLMVMGFPHCANTYYLLMQLDKDFRPVFHLLETQCDANDKTNANGDVKEAIRFNKINIGQMQILKNETNANPFDMKLQALQSLVDPADMMEGDLPVQNGIEPLPLLPACSPSFSSIVDEVFEYERGSTAAQNHSLSVDIQGMNARVVSPMHDGSLSHTQANNTAKVHPSVSLNSYFPSNFRHLQGVNKSLQLVPSSNNNSNQIPAQSSHSGNLGNAMPGHLVGSSTITGGLEKLITAGSDGASRKRSLSDFLPSIPSLRGLQASEPRKRRKKSESVQNLLSLQAHSSNLQSRVSLTYGDVLAERNNCVPATIYASVLLHVIRHCSLCIKHAQLTAQMDSLAIPYVEEVGLRTPSSNLWLRLPFAQDDSWKHICLRLGKAGSMSWDVRINDPHFRELWELNGGSTTTQWGVGIRIANSSEMDSHISFDSNGVVLTYNTVEADSVQKLVSDLRRLSNARSFARGMRRLIGVKFDDKLDDNQLSMEVKSQSVNKGNSDAADKLSEQMRKTFRIEAVGLMSLWFSYGTMPMVHIVVEWEIAKGGCTMHVSPDQLWPHTKFLEDFVNGGEVASFLDCIRLTAGPLLALGGAIRPARMPVTVSSGYSSMPKQPNIPAQGPVANGSSSSTIHHAPVPPNAAAAHLGSHNLHAAAMLSAAGRGGPGLVPSSLLPFDVSVVLRGPYWIRIIYRKKFSVDMRCFAGDQVWLQPATPPKGGPSVGGSLPCPQFRPFIMEHVAQGLNALEPNFMNATQASGHLNNNAGAPQTAPSANRLSATPGVSMSRPTSGVANHVAASLSRAGNAMLASSALASGISGASVRLTAGAGLPVHMKGELNTAFIGLGDDGGYGGGWVPLAALKKVLRGILKYLGVLWLFAQLPELLKEILGSILKDNEGALLNLDQEQPALRFYVGGYVFAVSVHRVQLLLQVLSVKRFHHQQQQQQAQSNAQEELAAAEINEICDYFSRRVASEPYDASRVASFITLLTLPISVLREFLKLITWKKGLSPVNGDIATAQRARIELCLENHSGSAAADNTENSTLAKSNIHHDRAHSSVEFALTFVLDHALIPHMNVAGGAAWLPYCVSVKLRYSFGDNNHIAFLAMNGSHGGRACWLQFEEWERCKQKVSRAVETVNGSGVAGEVGQGRLRMVAEMIQKQLQLCLQQLRDDPLSAGSTAS >Sspon.08G0018280-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8D:7178475:7179399:1 gene:Sspon.08G0018280-2D transcript:Sspon.08G0018280-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAPSMVQTDGAATSTRDEVEASNPSEQGGRCGQMETCGGHGAQSGQAREHGAAGSEWSEICSPSAKTSRTGGLETSRYTATSDMAEEMAVVWPAAGTGNQPLPAGRPPFLARSRTWRIGPAAHHRGGGRRPRREREHPEPRRSRTHGGASTPAPTEPRRSRSPKPLAAEEEDGAHESAGARAPPLGRRRSRSRRSPAPPTGAARRSPSRRPPEPRPPCRSRSRRPPEPRPPVIPAAPARTRARRGGRGRGGRAGEGGPGREGRDRRGGGDRQRERMRREGARSNG >Sspon.01G0003770-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:8863660:8865794:-1 gene:Sspon.01G0003770-1P transcript:Sspon.01G0003770-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATFFSTSTNQRDLAGGGGDMSFHHYIYSDSPAGGLMPFPATIVSEGHVAHGGDDGRDEPGAFVNVNTRDGPTSGAEMGLQTQLLMANASSAVQHQGLSLSLGTQGVPVSLYQYRQAQAGMAAAASLLSPNQASTAASRNAQSIYIQNSRYLKAARELLDEVVNVRDAIKRKGDKNQQGKDSGGEGKDAETSDDKADEHEGNSSAAELTPSERQDLQNKVSALMALLDQVDRKYRHYHHQMQIVMSSFDAVAGAGAARPYTALALQTISRHFRSLRDAVGAQVQSLRRSLGEKDTSAQGGGLSRLRYIDQQLRQQRAMQQFGMMQQPQHAWRPQRGLPESAVSVLRAWLFEHFLHPYPKDSEKLMLARQTGLSRGQVSNWFINARVRLWKPMIEEMYKEEFGAEMDSHSSSENAAGNKGKADEAISSEDHEEFQSPSSAANAKHGAAAAGHHLSAFKSEAIGGMDAAGVGLSSLDGAIGPYATTSLNLGAAVGNGAGGLQEAFAHHHGGGDARFVQAYGDMAGLGGYDGGSVSLTLGLQHCNDAGAVAAEQQAGLLYGTAGDFEFINGSAEDRQRFGSSQLLHDFV >Sspon.03G0017750-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3A:55123125:55123381:-1 gene:Sspon.03G0017750-1A transcript:Sspon.03G0017750-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RHGVKQRLTCWADGAGMVTQCPIQPNSTFTYRFKVDGQEGTLWWHSHVSILRATLHGIIIIRPKSGSYPFKNQPHMDVPIIIST >Sspon.05G0024930-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:18829510:18832691:-1 gene:Sspon.05G0024930-1B transcript:Sspon.05G0024930-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWWVFGDGGDGGNVGCFPRIGRKQARNSYAFPADPGSEKRRGSGGPVPEEVITVEVQEVPLRELNEITASFSGEKLIGQGSYAKVYQATLRSGRLAVVKRLEKPSKHASNVVFLKQLGVASRLQHDNFVRLLGYTISGDLRVLVYEFATMGTLHDVLHGDREVLRLPPPEQGSAGRPVLSWIHRVHIALDAARGLEYLHEMVQPAVTHKDVRSTNVLLFEGFRAKIADYNMFSQAADMARLNRSTHTLGSFGYQAPEYAMTGQMTDKSDVYSFGIVLLELLTGRKPLDRTLPQGQRSLVNWATPMLTEDRVQECIDPKLGDQYPPAGALKCLQYDPNFRPSMGTVARVINYAVLRDQQGVV >Sspon.03G0022800-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:92141397:92145032:1 gene:Sspon.03G0022800-2B transcript:Sspon.03G0022800-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVGVAPAPVAAPEKKKLLDLKDPFPAAANGSAKASPAGKWAMKKKLVGGDAGYVLEDVPHLTDYMPQLPTYPNPLQDNPAYSVVKQYFVNPDDTVTQKIVVHKTSARGTHFRRAGPRQRVYFQSDEVKAAIVTCGGLCPGLNTVIRELVCGLYDMYGATSVVGIEGGYKGFYSKNTVPLTPKSVNDIHKRGGTVLGTSRGGHDTAKIVDCLQDRAINQVYIIGGDGTQKGASVIYEEVRRRGLKCSVVGIPKTIDNDIAVIDKSFGFDTAVEEAQRAINAAHVEAESAENGIGVVKLMGRNSGFIAMYATLASRDVDCCLIPESPFYLEGKGGLLEFIEKRLKDNGHMVIVVAEGAGQDLIAKSMNFVDTQDASGNKLLLDVGLWLSQKIKDHFKKKPSFPITLKYIDPTYMIRAVRSNASDNVYCTLLAHSALHGAMAGYTGFTVAPVNGRHAYIPFYRITEKQNKVVITDRMWARVLCSTNQPCFLSHEDVENMKHDDDEHHLHNTQLLEAESSPVKDASKCNGAV >Sspon.08G0021390-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8B:38394913:38395551:1 gene:Sspon.08G0021390-1B transcript:Sspon.08G0021390-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLPNCLFRMGGAAVLLSTSRARARFRLARVVRTLTGAQDSAYRCVFQEEDGEGHRGINLNKDLMTIAGDALKANITAIGPLVLPASEQLLFALSFIARRVLNRRVKPYLPDFRTAFEHFCIHAGGRAVIDELQRSLGLSDQDVEASRMALHRFGNTSSSSVWYELAYIEAKGRMRRGDRVWMIGFGSGFKCNSAAWECIAPARTAEGPWAES >Sspon.02G0052670-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2C:95340582:95341073:1 gene:Sspon.02G0052670-1C transcript:Sspon.02G0052670-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AVRPGSTLHASFTPTHPSALNSSSPHATTHRPSASSPQAWGRAPTAEAPHRPRRRQPSADGAAGQDVTVPPRVAEAPHRRPREQAKAGSRPLARAQAPPPRPPAHLADPLPPCTYPSLLPSDLCRAKPSEAMDGGTMVEDCGPAMAGRLEHGGCTQRIRRNAPE >Sspon.07G0014040-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7A:50835113:50837910:1 gene:Sspon.07G0014040-1A transcript:Sspon.07G0014040-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADDGDRLPGLDPEGEDDDDLRDDAMAVFGINVGDGPVASAPIDLDGEDGGGANSNGSAPSVAAAGNGKPPGKRTSPVWEDFEKIYEDVDGVSTVTKATCKICRSTLSARSVSGTGHLKRHQKSCKAKVEQRARVQSRLALNPDGSVHNWTYKPDVARLELCRLIARLDLPLCIGETDAFEEYIQRAHNPRFQVVSRQTTTRDLSKFFTERRNILKNSVLPGAFSVSLTSDIWSGNAKEDYISVVAHYVSADWEMQKKVIGLRLIEVKHTGENIAEKIASVVQEFGLLDKILAVTLDNASSNAKAMDTLTPMFAGYLGSEPAPEPDNPHNRIYNLVHQCCACHIINLIVKSGLKRFKPYTEDFRTAINFLNSSNHRIAMFKNYCIAQGKMRGLFNVLQILQEKTGVDYNSYYANVKAFLYKLFNQYEVKYGAARTQRKSTQPSRQAGKRKHSWGMIFGGPGGSGVVGPSPANSPSLSAAAVGCELTAYLDSDNVTAYEEEFDLLLWWRDHKLTFPVCRVEMAD >Sspon.08G0003870-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:11019248:11022829:-1 gene:Sspon.08G0003870-1A transcript:Sspon.08G0003870-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKDMDMEKGMQQAIAAATDAQVPPMTGKGEKEPLLLNLQVQPVEAGGVFVADKMKPGLKKWRIPYWLVVSSLIVVSNSIHASPKYKLIIPPEVAAMVVFLCAICFIFATC >Sspon.07G0010740-5P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8C:38569198:38571114:1 gene:Sspon.07G0010740-5P transcript:Sspon.07G0010740-5P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAYGLDVECPHIFDGTHFARWRNWMTCNFKFISPQLWWIVDVGFSFAIDKKNATQAQSKCLHLDSQATNVFYRSMDSSILCEIIDFESAHEIWVFLNEKYGAISNDDEPKKEAHEDVEHDHNKVVVEDCSTSRSSDDKNDHITRSLDKKNDNATSDASNDSTSCTLDGEDDGYESDASTSSSISSHGDTKVSIGGFVVDCDGPNFELLYKLSKALRNEMAKTSKLKNENSFLKTTCEQQKHLLYVTTCSHEELKLAHEELSVAHDNLAQEHALLTNKLSNEKLKTSESSSFGSNDQSHITNPCDVGKKHVSTSCDDLLDMPCSSQLNVCSTSMSCETNLLKENNELKSEVKNLSNKLERCYNSKVTFEHIMKTQRNLGDKSGLGFKKKLTRGERKQEKRMKRLLQKKLSHSMCYRCHEAGHLANGCPNIEKLKKMKEEERLKYVKCFKCRTWGHLTSMCPTKQLVKQQVKPQPKPLVEQEKTLQEQIKINHGDDGDLIKKKKKTRRGGKARHPMQIQDAKMMSKNQNEKRNLTHIKCFKCEDMGHFVSGCPTKLEKKAQATHKRQGIEKQHMSKEEKAHSKRSCYLCRERGHMAHSCPLGNNSKPISIDDNIVLRKDGNGTSMIAIAKHPAIHTKAMP >Sspon.06G0030800-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:54276799:54278268:1 gene:Sspon.06G0030800-1C transcript:Sspon.06G0030800-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCSALVQETVSRGVSFALGRREEKASQGHLTERLEMVVSELEFALERAMELPIRHLSLFQRRKIIKRAYVEAMELLDKHRQQAVPPGQEELPQVVNKRKRWFNSAKRNMSIAPFAGLSTHDVGRFERYADFAGRFVRDLESGCSLHHYIFCNPIVRHLLEGKTLTYRSEQGNLLRHLYIRPTYSDERGVETALAYRYEDSTVAEKCFLLGLMLRLTESTDLVEVAIKGLQLLLASQFKLAVEYAMGELTLLSNLQDIAHLHVPLWVGIQELHIMHTQIARPDPACCKGSRHGLCANNDVPSPSELANIFPDQIIALSFQCYISAPVESKTRTSSFHECGRRSKNRGWKPPPLVLTAAFMPHAVTQTQDSYALEIIGDATEYRNASSIQQVAEIVQSGAVNCFSRQPELPKYGMDWFGKHGFAWFVVEKSSSETTLDFPNLLQRCDCCRDKFLRSYNAWREKNMPPTATAEKGQPGRATRASARRTRMR >Sspon.04G0013020-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:45209657:45244825:-1 gene:Sspon.04G0013020-1A transcript:Sspon.04G0013020-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxisomal fatty acid beta-oxidation multifunctional protein AIM1 [Source:Projected from Arabidopsis thaliana (AT4G29010) UniProtKB/Swiss-Prot;Acc:Q9ZPI6] MAAGSIRVTMEVGADGVALITIANPPVNALHPIIIAGLKEKYAEAMRRDDVKAIVLTEGKKPSVAAIQGLALGGGLELTMRLPRLVGLPKAIEMVLSSELDIWYDFNSKVSSLRQRKGRNVEARVFKELVIAPTSKALVHVFFAQRSTTKVPGVTDVQLKPRPIRKVAVIGGGLMGSGIATSLLVSNISVVLKEVNPQFLQRGEKMIAGNLEGLVKRGSLTKDRMHKAMSLLKGALDYSDFKDVDMVIEAVIEKIPLKQSIFSDIEKICPKHCILATNTSTIDLNVVGEKTNSQDRIIGAHFFSPAHIMPLLEIVRTEKTSPQAILDLITVGKIIKKVPVVVGNCTGFAVNRTFFPYTQGSHLLVSLGIDVFRIDRVISSFGMPMGPFQLQDVAGYGVALAVKDIYADAFGERNLESNLVDLIVKDGRQGKANGKGYYIYEKGGKPKPDPSVQHVIEEYRKQANAMPGGKPVTLTDQDILEMIFFPVVNEACRVMDENVVIRASDLDIASVLGMGFPKYRGGLVFWADTVGAPYIHSKLSKWAEIYGPFFKPSSYLEQRAKKRTWNIAARFGEVTHQSKFIMAKEGKERGLIDALCSPDELIKTSPRVFKELVIAPTSKALVHVFFAQRSTTKVPGVTDVQLKPRPIRKVAVIGGGLMGSGIATSLLVSNISVVLKEVNPQFLQRGEKMIAGNLEGLVKRGSLTKDRMHKAMSLLKGALDYSDFKDVDMVIEAVVEKIPLKQSIFSDIEKICPKHCILATNTSTIDLNVVGEKTNSQDRIIGAHFFSPAHIMPLLEIVRTEKTSPQAILDLITVGKIIKKVPVVVGNCTGFAVNRTFFPYTQGSHLLVSLGIDVFRIDRVISSFGMPMGPFQLQDVAGYGVALAVKDIYADAFGERNLESNLVDLIVKDGRQGKANGKGYYIYEKGGKPKPDPSVQHVIEEYRKQTNAMPGGKPVTLTDQDILEMIFFPVVNEACRVMDENVVIRASDLDIASVLGMGFPKYRGGLVFWADTVGAPYIHSKLSKWAEIYGPFFKPSSYLEQRAKSGVPLSAPGTSQQGSARSRM >Sspon.05G0008250-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:25144346:25145087:1 gene:Sspon.05G0008250-1A transcript:Sspon.05G0008250-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MENGDEWMAMELEGEWRAMENGGRTKRRLPVVEMVMCSTCSGFVLRSKRKPNPDEEVVEVVAEAEKMRDMLRAVLLRGPLQKMEPAEATRKQRQILQRRRRILMETKRCPACSGSPLEPCPTRFRSLLEEKPRPDEEDKAMMMVADPRSKAGSKSSREEVSEFMALLRSEDGKEIATEDATSSEHKLKRSKEEGKRSSSGSKEKKKAKGDDKEGSGSVLSFESKKDR >Sspon.07G0020030-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:74460258:74461975:-1 gene:Sspon.07G0020030-1A transcript:Sspon.07G0020030-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable indole-3-pyruvate monooxygenase YUCCA10 [Source:Projected from Arabidopsis thaliana (AT1G48910) UniProtKB/Swiss-Prot;Acc:Q9FVQ0] HMSHPSDTPKYITKEQFVKYVDEYVDHFNIFPKYSTSVESCEYDEVSNCWDVIARDLVNGQVTEYTARFLVVATGENSEGIIPTIPGLHDFPGDVIHSSNYKSWNNYAGKGVLVVGCGNSGMEIAYDLASNGVETSLVIRSQVHVMTKGLINLGMRLLKWHLPVKFVDFIILTLANIRFGDLSKYGIVRPDMGPLLLKAKTGRSPVIDDGTTQLIKAGVIQVLGPISCIRGNTVEFEDGKKSDFDSLVFATGYKSTANTWLQNGESLLNANGMPKRELTNPWKGENGLYCVGLGMAGLAGISRDAKSVAADIKSAVDSMGPF >Sspon.05G0036420-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:86670364:86674705:1 gene:Sspon.05G0036420-1C transcript:Sspon.05G0036420-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VFPFGSVPLKTYLPDGDIDLTLFGPAISDENLANEVCAILKSEERRKDSEFEVKDVHYVPAEVKLVKCLVQNIVVDISVNQIGGLCTLCFLEKVDQNFGKNHLFKRSIMLVKDWCYYESRILGAHHGLISTYALETLVLYIFHIFHKSLDGPLAVLYRFLDYYSKFDWDNKGISLFGPVSLSSLPELVTDPPDTQDDDFLQREEFLKECTESFSVLPRNSETNPRVFSRRFLNIVDPLKQSNNLGRSLKYFILIFLFSKRILSYCTASCAGNFYRIRSAFDFGARKLGKILQVPSCLTVSEVNQFFRNTLKRNRTGLRPDVWVSSSDLVTNDPVSSVLDVERVNKVTPNNSCDVLSNQLSNINISDSNNHGSVKQKECNSMADHKEIKSVSWGLLDSDATSHTATDSVSMRGGGDFSKASPTPSETCTLQSETGKKVDVRTDTIPSYHGVSAKQFTGRSHHYIEDAKHNAYSYSTGLIDGLGTSNSVLTSDTQPGGTTNDTVPNLTGDFDTNLHNLLYARGFHQDNPTTQLYYPMPMPPPLQYQNMHPSNGHGRKNPYGYAGRNGVVPGPVYSPGYFVYRPLYQADDHMAMRARGTGTYFPDPNLRKERPPTGRGERGRNHSHQNNYQKFHHHGRPDMPVDMIPFEELRHEQPLQIYAPSANDHGIPSPMNIPTPSPSSQSPRDPLNVPMHSPSSQVRKDNFHGNGFMHPQDSKLEFGTLGALPREVASKDHASRSGSASNNQGSGPVTVSPMSVAKNTGMGSNGM >Sspon.07G0006840-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:17691060:17697486:1 gene:Sspon.07G0006840-1A transcript:Sspon.07G0006840-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGASSSGGAQNGGESVVIDYGRRRTTCGYCRSSGSTSISHGMWANSLKADDYQALLDRGWRRSGCFLYKPEMERTCCPSYTIRLKANDFICSKEQARVLKKMQRFLDGELDPQIGSPQCKASPTKRSLGESLNSPTSKVSKVSAKQFRAGTCPNISKEDDVTCSLASKINEATDTCFQGGVLGSVQLPKAVVKTVKPQVKRKVGEAVQEKKVGEAVQDLVYTCNISFQIVAAVRRALPKENGANQTEVLADLSPNSVAEKLSMAMERLGELAGFEVKACNGHLNFYLATNQAMQNHTSVVVPVQASDKSSGSKQSSVNKTNVTLKRKILEIRLSTSHFDPEEFALYRRYQTKVHKEKTVTESSYKRFLVDTPILFVPPKSGDNTVPPCGFGSFHQQYRIDGKLVAVGVVDILPKCLSSKYLFWDPDLSFLSLGKYTALKEIDWVKTTQEHCPSLQYYYLGYYIHSCNKMRYKAAYRPSELLCPVRYEWVRYDLAKPLLDTSQYSILSDYATMQGEGLQQVFGPIERRHLNALEGQLSRYVKVVGKELSDRIVYCLS >Sspon.04G0030570-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:2413530:2414819:-1 gene:Sspon.04G0030570-1P transcript:Sspon.04G0030570-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGPKPSKPNRMCKSKSAIATANSTSSSAMAAAAAAASTPRTTATSFPAVSSYSTSSSNTASSSAASLAALRDSLPELPLLFTFHDLAAATANFSAAHRLVPAAPSSSNSFRCALRGHPAAVFRRPLRRDARDVAARLAVLGHCHHAAIARLLGAAASPDRTTLFLAYELVPDASPLSVLLRNPKNPSFTPLASWHSRLQLAADVCDALYYVHLQADTVHNRLSASSVLVCGDGPLPRAKIAHFGAADLAGELPVEHKDDDGGESKGSSSSSSGGHRRTSSRGRRIEGTRGYMAPELVAGGPPSRRSDVFALGVVLLELVSGQEPVRYELVNRGTGEYERTSLIDTAAAAVAEGGGEGMRRWVDRRLRDSFPVDAAESLTTLALRCVAKDPLARPDMAWVAAKVSKLFLEAQEWAAKFNVPTDISISIAP >Sspon.05G0013150-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:23784516:23786650:-1 gene:Sspon.05G0013150-4D transcript:Sspon.05G0013150-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:At4g28530 [Source:Projected from Arabidopsis thaliana (AT4G28530) UniProtKB/TrEMBL;Acc:Q8GUJ6] MGLREIESTLPPGFRFYPSDEELVCHYLYKKVANERAAQGTLVEVDLHAREPWELPDAAKLTASEWYFFSFRDRKYATGSRTNRATKSGYWKATGKDREVRSPATRAVVGMRKTLVFYQGRAPNGVKSCWVMHEFRLDSPHTPPKEDWVLCRVFQKRKDSEQDNGGSSSPTFAGASQSQGVLPDQPSMMDASYVVDQPGSSVGFAPPPQENLNLGGSLDALLMNGAMWQYSSSSVFDHFPQQEVTSSPMMGLGSRGGGGDGCSFFYDSGFEDMANIGGM >Sspon.02G0029180-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:106132563:106134079:1 gene:Sspon.02G0029180-1A transcript:Sspon.02G0029180-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVQASAVLRAGRWLLPLTIPACCVMWMLLVFSPPTPELDAGHRQSFHVSVGETVAATDRRGTPPVPERGDVVHASPPPSERLEHKTHRRRRHRRRTAKGPLTRLRRLWNADQEHDLRNRCRRSIPPSPPPPQPSDQRLARAQKKPAAVADRCTGRYIYVQDLPSRFNADLLRNCRSLSKWTDMCRHVASAGMGPRLTRTGGVLPPTGWYDTNQFTLEVIFHNRMRQYGCLTTDASRAASVYVPYYAGLDVGRHLWGFSNDVRDALAEDLVGWLRSSPAWAAHGGRDHFLVGGCIAWDFRREDGGEWGSRLLFLPEARNMTALVFESGPWHVGDVGVPYPTYFHPSRAAEVPYTRRSAFDAMLAGCVPVFFHPGSAYTQYRWHLPADHTRYSVFVPGDSVRNGTVRVEDALRRFGRTEVAAMREQVIQMIPGIVYRDPRAPSVEFRDAFDVAIDGLIKRVNSVKRGLPPRQDDDDQRRWDGYFHM >Sspon.03G0027970-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:7272679:7282039:-1 gene:Sspon.03G0027970-1B transcript:Sspon.03G0027970-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAQVEGPAKFPRSFGLLAPRPDGTYGALFGGGRGKWFYFYAEFLFFFLFNSGHARAHGYRGYVFVVVVIILLLANLSLSLVPFRAAALSFQYDFSIHGVLEKANLLYLNDSFADGDRISLTNMGNESAGRVFYEKPVRLWDCRTGSVAGFSTSFSFAIGGNHNSTRGDGMAFFIGPFPPTLPRNSTAQYLGLYTNPNIMGSPPTVGVEFDTFWNLDLDPPLPLGVSDHVGININSIHSTSYTTDLKNLSLYGTMSANIRYDAGSKMMALPDCHRTWPSDFQPPPGFTGSRTSSSPGPSTQQTWQLVLNLLQGLNPRFAGTADNITDSHPLSDFATTREKLNLTMLVAPKLCQHPAPTATDRVQRREGKVPPSSSFRRMRSRAELQPKFSSDLVHGFGKGKKTWTVSLLPRMTLIAAVAVSKYLGMRKMARGGCSHDTRRYGGRRARRPNAAPEGWGRRWDGEWHRWNRGGADRVRGSEQNCTGSGGAPQLVGRISGGDGGELSSEKRERRWVGSVAPAKQQLGKGTFGEVYRGDLPGQLKVAVKKLTPQLPRTRKDYANEIKILGQLYHRNLVKLVGWCDGGYSSNRLLLVYELMAKGSVDMYLAHGSKRLLTWPERYKIVLGVGSAIEYLHTGCPNTIVHRDIKPSNVMLDDEFEAKLGDFGLGSLGGTDMIGDRVYLDPVCVSKNTVSIESDVYSFGVLLLEIATGKRPVAVQGTGGLLSNTLVNAGELLGMADERLNGDFDEGLMERVLVTVRK >Sspon.03G0021490-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:65726803:65730285:1 gene:Sspon.03G0021490-1A transcript:Sspon.03G0021490-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLKKMKKQENVPKLLSKRAAPMFPWLMKPSLVLAQDRISEFSKPSPTELGLVAKDKFHVFQRCKTKQLVISGLLPCDN >Sspon.01G0041390-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:44060921:44066512:-1 gene:Sspon.01G0041390-2C transcript:Sspon.01G0041390-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAVVGVLILKLGSALVLKACRFGTKRLYHEASALGRLFVEIRDIKEELESMQSFLQGAERFEDTDNNTANFIKKIRGLAFDIEDVIDEFIYKMEDKHGSFAAKMKRRINHIWTWRRLTSKLQEIKLKLENVDKRNVRYDMRGIAREDGSSDTPYRSTDQISYFPKEEHLEDFDSAAWITVSKAYQVEDLLKQIIRGFQKSDLKGELRVDIIDMERRSLVEIIHDYLRGKRFVLVLDDVWDIDIWFKISDAFPTNSTSRFIITSRIHQAVFCLAEAQPIVLKVSLEDLPYILKNCFLHCTIFPEDYLIKRKRLIRHWVAEGFIRETEHKTMEEVAEGYLYELVNRSLLQVVERNESGRVQSCRMHDIIRLLALTKANEEGFCKVYDGMGSSSAEKTRRLSIHSANIKQLTQPTDLTARSIYIFSNGLTIDSLRSFLKHFYLLSTLDLQGAHIVELPDEVFNLFNLRFLSLRNTEVRNIPSTVGRLQKLEVLDVYNAKLLALPESVSKLRKLRYLHAATVPEINIKGVVAWIGIQVPKSIKYLTGLQALRLVEASSETLCHLGALTQLRTFAITNVQREQCANLCTVIMNMNHLVSLAILAISEKETLQLEEVCLPPTLSKLELGGQLDKKAMPRIVSSFSDLGNLTLLTLAFSKLDEDSFSCLLTLHGLRGLWVDKAYEGKRLHFNAMSFPNLRQLAISDAPQLNSVVIERSALQSLVQLTLVDCPELKALPDGIEHLRTLEKLYLRGASEELTELFQWNEETHDLGDAKNCHCLTCRMPDFTV >Sspon.05G0035380-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:72605050:72615169:-1 gene:Sspon.05G0035380-1C transcript:Sspon.05G0035380-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVDLETPGLLRSNVVAVELGPLLGCVLARAVGLAIAEAEEAEIQVWRDLDFCKEGMPITHRGTRSRSSNSSATRQDTVSSENAPDIEAEDQQVEDFYLRVLLKRNHPGLVEYKGKTLVASTWKHYQAAKDSSGKSKADVVAKKFWLRFRFNTSKEDVSREHVGVCCSQLLGSIIGWIGRDSSAQMTTRFGTERPSADLDGKVELAGDVELCSAQKAAAHGSGQ >Sspon.01G0008410-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1A:23452915:23454080:-1 gene:Sspon.01G0008410-1A transcript:Sspon.01G0008410-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHKQKLLAVLLALLAALAISCHAAAASAVRTQVIHTDAGRGLTRRELLQRMTRRSKARAARFLASSSASAPVTPGQRNGSDPSTEYLVHLGIGTPPQPVQLTLDTGSDLTWTQCRPCTSCFHQALPYFDPSLSSTFSGNETTRVYTYSYGDNSTTNGQLDADTFTFVAVDGRTATAVPGLSFGCGHNNSGIFTSNETGIAGFGRGSLSLPSQLKVDNFSYCFTDITGSAPSPVLLGLPANLYSSAGGAGITVGSTRLPVPESTFALTNSGTGGTIIDSGTSFTTLPLQVYQLLRAAFVSQVKLAPAEASNATTDADPICFVLPSSGDRPELPKLLFHFEGATLDLPRENYMFEIEDAGRSFTCLAINA >Sspon.02G0015110-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:35425521:35427746:-1 gene:Sspon.02G0015110-2D transcript:Sspon.02G0015110-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription repressor KAN1 [Source:Projected from Arabidopsis thaliana (AT5G16560) UniProtKB/Swiss-Prot;Acc:Q93WJ9] MKRGGTGWEQPQACDQERGASAEGRRRAMEAASPDLSLHISLPSSAAGPGQSGGRASVGGAGGGGGGGAGGGDPWRRLNGSTASTELSLSPPAAQEHADELPWRHPAAVSSAATTSAGGAALMPAMPMPMLQPLDGGGGLAGGGGGASVAPPIRGIPIYNGPGGFPFLPPSSGADGGPLLHHQQHQKLGFYGSYHPSTWPSSLGSTTPSPLAPGTLPSTLDPASAFLSSPAAHHHHRMLSASGRLNGMLTDTLRGYGGSGVVGGLGGIVGGHHHHHHLHGAQPFGLGSRFMPKLPAKRSMRAPRMRWTSTLHARFVHAVELLGGHERATPKSVLELMDVKDLTLAHVKSHLQMYRTVKSTDKPAASSGGNDGGSGDEDFPGAGQAASGGENNMCVRPFGSTTGPPPRGRRARWAAAAAATWTSLRQATPAPGGATRP >Sspon.06G0007930-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7B:23754705:23755653:1 gene:Sspon.06G0007930-2B transcript:Sspon.06G0007930-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGDPEPAPAPANEKLPAPAAADVDETRPPPLLEPSGGPDEEKRPPKEVEAEVETHPPLEPTGAPPVDRPGMEVVAEADMKANEVEKERGNRAKGKREKDKGKGKEEKDKVEDEAKGKVTAVVKVEGTEKEVKATRRPAGASAETPILAVPVVAVPCFIAPPGFATSY >Sspon.02G0031150-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:113709456:113711122:-1 gene:Sspon.02G0031150-1A transcript:Sspon.02G0031150-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGVASLVAEFVAYAAVGCLYIYNSSGRQEKFITAGYLKEKVISAGFLVLLRFVFLLSSFPNSDLRTSLIFAVNIVAISSYCIWKLYVLYPSLRRWFDALDADVVAWCHCHAHRNGKKKHCFGSTIRPCFTSKSDEIQAMTQEFGSMIGRGGSAQVFRGNLNDGTPVAVKRINIHGGRTVAGEEDFRRELSIIANVHHRSLVRLLGYCLQRGGGLYLVYPFFENGSLDRWIFHGSDEQRRLLTWPKRFCIAVDVARALAYLHNECRWRILHLDVKPGNILLDGDLRAHVSDFGISLSITRGLNSVVDTEHLKGTFGYMAPEMLYNAVSDKSDVFSYGMTLLELVGGRRNMYPSLETPDLTQDYFPYIVREKVARGEVLGAVDAAMASVEDDEEAVKMVLKVALCCIQNQREMRPSMQTVVDMLEGRVAVDLPPESRRHLL >Sspon.02G0025260-1P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2A:87897565:87898629:1 gene:Sspon.02G0025260-1P transcript:Sspon.02G0025260-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPAGVGGGESAAKRARLSSDDFGAAAAAAGEDRLSALPYDVLVLILLKLPTRAAARTSVLSRSWHRVWALLPALHFPEGPEPHRLRDVLDAHEVPVRDLFVGDDGASPGSLAVWLPAASRRVSGNLTLLSCVPVKDGEEEEAAQRGAFEFPCFEKATSIGLHLSFHGLAVPRTGVFTRLTGLYLSRVWFHGPGELGDAVSWPRCPCLRRLTVDNVRGLGELSIHSGSLLEMELKNLCGLSQLTVVTPALKELIVEFCFLKKQPVANISAPQLVNLEWNDKYDPSSVHLGKMEHLRCLGISFYIVYGIPIVYGHDGFFIHNHSCLSLLRCFEDIKTLSLPLMYLRVSSHFYYLE >Sspon.06G0034700-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:52400363:52401810:-1 gene:Sspon.06G0034700-1D transcript:Sspon.06G0034700-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTPRRPHLDLPNGIDFSRIALGHDFLSGIDKRDNTSMSCFGGMTAPLFTPTPAAFKTLAAGHRHACVADEEAGFACWGDGDVPEVPAHELPGVMLTMALGNDTTCILSGSGRVQCWSAVQWRTSTGTWISLPSRPTATRTDRFGTGGSLVYNSSMPGACARKKSCPCDIISGSGALCGTGDAEDGEELAVCQACPLRLNASRILIANGRDPETTAEEEGQDPGRRAQRGRRRSAALAAAAVALYLVAYRKRDTTRSKTLTLRLGESSSRRLCRDVEAMVMPAPQVSPLRPARPLGCEEFTLRDLSRITDGFTEEKKIGSGSFGSVYRAKLPDGREVAIKPAAVAREPPQPGAAAGLLRGAAPASARRGAPAAVASWEARLRVALDAARGVEYLHCYAVPAIIHRDVKPSNILLDGEWTAKVSDFGLSLASGSTAAAATTSSSATAGTVGYIDPEYYRLQ >Sspon.03G0037510-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:94461303:94469236:-1 gene:Sspon.03G0037510-2C transcript:Sspon.03G0037510-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVPKTLTLLSPQRVETRDPSNFLDLNRLRNPFLEQRRGSSKASDLQGSSEALRRQWRMIRHSKQRKRGWPPGSKSAKSRIGRPPGAQSSKSKMEQKMALVKQRVALLDSASNSSCSDSDKVGVWVPTNDELAIVVCHQPAVLHCGDTDDEGDDIPLKALKSRRLDSEQKKLSGAPQAHNAQNIITGVTNIAEASDINGQTLSASVHGNCGSAMARAKEVQAKLPENNLSFTKTMLPSHVIRGFWLGMPVAFCNKHLPKQDTGIMLEDENGEDHHTTYLGSRQGLSGGWRGFAIKHDIKVGDVLVFQLVESTKFKARADPEMTLGQLRVYIIRANEFTATDGAISLLNLEARKKGKLLSKATRVEHSVPADDDNDNNVIFSEAGGLRILDSDMDFGDVASFSDFSIVVDSLVIDCKFQEHLRRTYYELCRSQKAFLHRHLLKQLNLTLVVGAIMETVSIAEGIRACTAERSPSREDLLVWKKTLESVALLGMDVGFLLRRVDDLLGVDAQAQQARDQYREMSAEKARAAEKVKALELALGSVKDALSKIDADMEEVEARVNRSGATLQELATAPW >Sspon.03G0024320-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:74119795:74119977:1 gene:Sspon.03G0024320-1T transcript:Sspon.03G0024320-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKILLIYSFFPVTLAPLPLEPSNHGNITIPTHQPLPIPHLTHGLDMYPGHGIAAGLLQP >Sspon.01G0033100-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1A:112014316:112014652:1 gene:Sspon.01G0033100-1A transcript:Sspon.01G0033100-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ETSSRQSLPSGLTAPRGYADCWRGSWQRLCRLCVTWQSANPPLPTQALPTALCRLPRGFAVGKAFADWVYAFADRGKQSAKRRFPVVVMDGAGVRSSSRVICITCLLLIWL >Sspon.02G0040370-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2B:68143602:68145959:1 gene:Sspon.02G0040370-1B transcript:Sspon.02G0040370-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRLSPLDTGDPGDSCVAGAFHGAGGEVATGTSPTSVRGSASRAHATGSGSRAQGAVLALSSVDAVHVSTPLQSLTSGPRRIPGDEGERQPPLEVTVPLSSSSPFPSSHFPISAGDIGNPSDSFRIAEERRKEVRARLPHEVCDHPDADLSARLVHLWNHSIEVGVCREVFVQSSGDLFSGLPELAPLRGARSANPRWSTLGGDTRSFSQVLQAPLQPGKTMDRRPYPPNRFNQGFEGNRGTSFRPGWQGGNRGWVPRGRDRGGGRFQGGGRGYGDRDNRNQYRPVEPVTQEEQEESIPNQKVRSTDVETSVNNNSQSAANDSQKVQEGDGGAREAPGDLLDKPQFCPRCGRVGHIASACLNPVMCSRCHKEGHVARVCTTKMPWEFISPFCGLSAYGQGFHFIESTSNEEGIKDMSTTALVTVTSGQATARQIENEFKLKAGPNSSWRWFAKRVGEGKYQMRFPNVQTIEDLAHFTEMRMRSAPEVVIKIEKWNPTTGSKGPLDVAWFRISNIPFEKRSYSNVCMVASKVGLPLEVDRDSLNKNDFVRVKIGCRDVTKVPASVDGVLDFHFYDYFFQREVPQDGFTDSSGTKWIRNERDQPKDDFPSPKKQKMEQSKQVGKFFEAGPSKNYGTDKGKQATGNDSGTGNQQHNVPEEDSEDESLLMGDLVVPGSEQLRFGNFEITELKKVVINEYGSNFMKYKQDPLVSIEAKKAIFDSKRDDIFQIDEVIGKSQLTMKKSIVVEDKEEEVVERAVSMSPIKDSQGGLKLTCLVKKKAAKCESGH >Sspon.06G0000270-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:229008:230277:-1 gene:Sspon.06G0000270-1P transcript:Sspon.06G0000270-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVDPAADERRKNKGGLEWELERHYDDLEREMMLMAAERPRKQQRRHRPDLLQNCDLPPPAKLFGPVPTLQRLETAAKDDGLLRALRLSQSRAREAEDKLAAAGATNVDLAALLVRDSVALSAHRRWVMMLEAENSLLRGRRGDADAGPGPDPDHGAGGMAAWWVALAVCVGVAGVGLALGRFLC >Sspon.03G0047160-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:54630707:54631237:-1 gene:Sspon.03G0047160-1D transcript:Sspon.03G0047160-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding YGNKRAQLRHLVHILLSYTSDPCTSTSPRVAPCSDAAAAAAVNGKKRRRPNDGSSSSAPTSVCARGASGSRRSGSPARSPGSGSVPSRARRWRRARRAQLPGPRAPPPAPGLPRAARRPGHRIARRTHALRRWRRLLTARRAGRAGGRRRRRPASWRRRAREPEPDPQPERQQAQAV >Sspon.08G0014690-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:59726109:59731250:-1 gene:Sspon.08G0014690-1A transcript:Sspon.08G0014690-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNQVGGRRRRRPAVDERYTRPQGLYPHPDIDLRKLRRLILEAKLAPCHPGADDARADLDECPICFLFYPSLNRSKCCAKGICTGEYLNSLFVRLVPSSALVSVFDLSPVLLRAECFLQMKSPTSCRPTQYPDQIHFNSNPLWCPYCKTLNYAVEYRGVKTKEEKGIEQLEEQRVIEAQIRMRQKELQDDAERMKNKQTATLGDIVASVQVDSCNTDGTPTLAASSPQGNDAISSEVLHSELILRNSEAFKQMRGSNFDVDLEEVMLMEAIWLSIQDQEALGNPGCVSTTPSSIPSRSFDGAMTTTPEAASSGGFACAVAALAEQQHMHGESSSASACQTTKFDILGRSGRSFTEDLSVVGSSSSDSRVEEPSSSSTHRTLEGSEYSNSNGRWSEVAEAGTSIAESDVIVEAGVGNSSTSVGSNIGSGSVPDSFEEQMMLAMALSLVDARARAGSPGSRCHWSEVRSISASPPTAVCRTDSTFRTTCDATSLLTSPGPGSWYPSQHTDTALYGCTVSTTARRSTRPVTS >Sspon.01G0013460-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:37968050:37972312:1 gene:Sspon.01G0013460-3C transcript:Sspon.01G0013460-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSSGGGGGGAQIKGMATHGGRYVLYNVYGNLFEVSSKYAPPIRPIGRGAYGIVCAAVNSQTGEEVAIKKVGNAFDNHIDAKRTLREIKLLRHMDHENILALKDVIRPPTRENFNDVYIVTELMDTDLHQIIRSNQPLTDDHCQYFLYQLLRGLKYVHSANILHRDLKPSNLFLNANCDLKIADFGLARTTSETDLMTEYVVTRWYRAPELLLNCSQYTAAIDVWSVGCILGEIVTRQPLFPGRDYIQQLKLITELIGSPDDASLGFLRSDNAKRYMKQLPQFPRQDFRLRFRNMSPGAVDLLERMLVFDPSRRITVDEALHHPYLASLHDINEEPTCPAPFSFDFEQPSFTEAHIKELIWRESLAFNPDPPY >Sspon.01G0005470-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:12749844:12754093:-1 gene:Sspon.01G0005470-2D transcript:Sspon.01G0005470-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKEYRISAASTRVEWGILNGRPGLADDACMGVGTGPRGSCGAGRRKAMQRQQQVRGEVVRREEQSPVAAWLSRYVALGKLPATQYLNPNYLNPNPNYPDPNYPVATSDSDSRYPKLVWVIRVALPANSRLQLRLSGRAAVAPKSPQPHSPNPPTPPSEPYPNATEPPTYVVFSEYRVVREYPNPNPNYRSRRAHMGRLERVVTAARAVRPGPCARRARHASPGRLWPLPCARRLDEMESLRSAPPANRAAQRTEKKARAIGYPIRSTAAGFRAKATGRAAGAPTPPAAEVLSSATRCAVTGGRHGVNRTCCHAAGAEGCAGQRASRWRGGAGALGA >Sspon.02G0011700-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:31226652:31228347:-1 gene:Sspon.02G0011700-1A transcript:Sspon.02G0011700-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor bHLH122 [Source:Projected from Arabidopsis thaliana (AT1G51140) UniProtKB/Swiss-Prot;Acc:Q9C690] MYGAPVPKDLNLPAAALPQPTRAGARTPPQQQQQMSSPGLLRYRSAPSTLLGEVMCGDHQDFPAAGPAAGGAGHGPPDHAAADNVLARFLAGHHHSETRDCKPPRPAAAAHHFMDKAAAAASMAASQQQQLMYQSQQQMAAMEGLYRNVSSGATEHGAAVGAAGNSSLIRQSSSPAGFLNHLNMDNGYGSMLRAGMAGGGFRTNGGVSDARLKGQLSFSSRQGSVMSQISEVGSEELDGGSSPEAAGSNASGAPRGYSGIPGYPMGGSSGAWTDELSPSPTSGAKRPRDSGPAPQNVQPSLTPKLSLPASGNNGGGKPAAASAEIAAIEKFLQFQDAVPCKIRAKRGCATHPRSIAERVRRTKISERIRKLQDLVPNMEKQTNTADMLDLAVDYIKDLQKQVK >Sspon.05G0008910-1P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5A:26285975:26288449:1 gene:Sspon.05G0008910-1P transcript:Sspon.05G0008910-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGSCLHFFNRVLNAYDTGKRKRRRIIATGDICDGVANGNSRWHKTGSSKPVFDENGVRKGWKKILVLYKAPKKVGGKPERENWVMHQYHLGVKEDETNGEFVVCKIFYQLPSKKSDNSKTDVVIESDASVAKINPRTPMTNPPQPRRLNNSPCNTEQYTLIQEDQGEEECGTSKMKVEAAECSACFAELSPAMPTSHELQRTPPTDPPQPHLLNNSPCNTEQYTHIQVDLGEEECSTSKVKVEAAECSACVAELSPAIPTSDEPMQPTDALDAGLDASLPVDGPSMDLFDGLPDLDNTLPFTGTPSGGGISLDSPGVWLQARVRTQLCRTLSCPPRNRGARLLAVSAGGLNLVTPVSPP >Sspon.08G0025620-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:10920635:10924357:1 gene:Sspon.08G0025620-1C transcript:Sspon.08G0025620-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEKEGRSFLPRLPHPDRSADFGDEEKELRQASDPVLPVPAPDPAMETELVALVTVAQTEMVIQGPTLSVQVQTGVSNSGTTTTITKERELNFDLKLSVLLITLSMIPLTDIRFLHGPTAKLNLTLKLSAFFAFIALISAICLMFQTFKLKVVVKPEQFIPKSQLRASKILFGTAVGSLFSTCICITYSLLPKAYYFLPLAFLPSFLVGGFHFLYREDDTGNGEISPEQSKARKKELKRATQLTLSLVSTSFSGFIGVLLAIYHKADSLGAAYSYVKVTVYLLLGGSVAGMFALLLCRLLSSNDNHRSRSQQLGTTWQRAILAAANIVMAAMLVSAVLMIAETILHGLLAGAMFPVIAGAAAWLLVEFCSVPDAHGGRTEEDGKAAHGTMYAIAVAVASLSFGAILAIFAGLLGGTVSKEQLKACTFLLASAFVAAVSLGW >Sspon.07G0013470-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:48458015:48458467:1 gene:Sspon.07G0013470-1A transcript:Sspon.07G0013470-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLVKIGPWGGRGGHERDIVVTGVAPHRLESVVIRCEGAVDAISFTYAGIDGTSRSTGRWGGSGGRRHEVRFGDMEVVKEISGTYGPFDGHAGIVRSLTFVTNVGKHGPFGEPRQGTPFSVPLQNGARVVGFFGRSGSLLDALGVYVHP >Sspon.04G0002620-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:8037615:8051675:1 gene:Sspon.04G0002620-1A transcript:Sspon.04G0002620-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAEALPQGEAPVFGVDPFAQATKAVSLRTPFEGDEAAPRVPTLPARLVSWAGPGDARKKHKKILPPPPDDAAPEPPPQSPVATPAKVGLWDQFEAYFRPVTLADVEMLRPKLPFGYSKVDSCMLIPFLGSDKELINQAETYDVAVAETTSYLGVGGEEVVTNRERGMQSVHLASQKERNDQSAEQDIHDVVVQQMVSDKELNRHRGEQGIHEVSVQLGGRSFGVGQAGSSSGIVLAQCIEEEGVSLNWLLGATGRFVLTSERPNKKRKLLGADAGLEQLVLLPRVGAEASSSCDVCCLGESSMESNRIVNCSNCKVSVHQKCYGLHAVPDGQWLCARCTYLESTGWSLNEDAGGTQSMPCALCPKEKGALKPIKVEPTRNEGVGHQKFVHLFCSLWAPEVFVEDMESMEPVLSLQNVQENRMKLTDLSDALSPYMCKRVKASNGDMGKSRHPNVELRAFCSKHSAVGYTSSVEISNLASEQNPRKSGPDNTTLNSGKIPILRFTRKNKDKFINCGTSTSSSGNLIRVKTIEQGALANTVRNANTQPIRIWETGTGHPSVGGDHMRSSGDIAVVLRKLIDSGKVSVSDIASEVGISSESLEAALVGETTTFSHGLTLKIIKWLQNSVHIHGAQGNVCKGRSGVVQDNKSDGLDTTDTVDVKNALALDDDKGVLVDVPDSALTEPARTKSKSNSKILKENNATCATGVTILQNGKKNMVKEGSNPECSAKQSANESTQEFSPISNKDVLKDEHGILILNDTSGNKEFGTSTEIPNENQVQESSYPSHEKIPTDSSVEVEGTADTTATGQVSKARSSKILEHSPDDELEGEMVYLQSRLLDNAVVLKHRYEKLIAKVVQNLSRELDAFSKRKWDLIFVNQFLHDVREAKKRGRKEKRHKEAQAVLAAAAAAIASSSRNSTVRKDAKEDAPESSPKLVAGSSRVGQRTSSLPRINDSSKSSDNKFGSFHMPISSKENGLYCDVCMRTETLLNRIFVCSRCKAAVHIDCYRNLENSIGPWNCELCEDQDISLEAATVSDKSHCNGKKLPCAQCGMCHGTSGAFRKTVDGKWVHAFCAEWLLDTKYVRGQDNPVEGMESLVEGKDTCCVCLRNVGMCLRCSSGDCNITFHPTCARSSGLYMNTKGFGTTPQHKAYCGKHSVEQKEADAQQYGPEELTSMKRMRVELEKLRLLCERIIKREKVKRETVMCDHDILAKTKDTVIFSYLACGASSESATTSVNNRSYSGAAQRSDDVTVDSTISGKKTIRFSLNNRDADRNTADSSRTLISFKRKLSERGPRAGKQLPQRPTIAAEKLEDEDKKTTDKKITMQQREMFQKELVMTSDQASTQNQLLPKGYVYVPRDSLSKEKVWNRSTQPHNPQEPEENAVVLANNIGQKM >Sspon.02G0000670-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:2827499:2828182:1 gene:Sspon.02G0000670-2C transcript:Sspon.02G0000670-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAISCLALLPTSYTDAAIVRALHFSSTTTSFDSSASSSSSSLSHHHHSPLLSALADLPSPYYAPPPHTAVAATCCDSVLVADSPSRRSSPTTATPGRQNHALAPAGARAGKRRSRASKRAPTTYISTDPANFRLMVQQITGVQADAASAGAGVEVMMLQVQASAALGAAAAGNPLLLPAGDEASALRQPQPQHQHQLQLQLQQQQPCFPTLDSWNVMYETNSAEML >Sspon.03G0030600-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:21857305:21864104:1 gene:Sspon.03G0030600-1B transcript:Sspon.03G0030600-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding FMPTPEQDVLDVKPLRTLAPMFPAPLGVNTFNQSTTPPLIFVTPAGQFQGGFGAWNNSAAKSFFAFGGEDASGGKAHKFDDQNTGSGKAATFGDQDAFGSQNVATGVQDAAGGQTATDWTSDVSANPIGPIDATPISAYRSTQPNVILLDDDDDDDADEPYAANKTSASGRKIKRPSHLSGYNVSDGLVSDSSNSMKIKRPKSSHKKAAADNEHALLPPSDDPRETVEAVLMTFEALRRRHLQLDEAQETNKRADLKASAIMMAKNIRANSGRRIGVVPGVEIGDIFYFRMELCIIGLHAPSMAGIDYMTAKFGDEDDSVAICIVAAGGYDNNDDDTDVLVYSGSGGNSKNSEERHDQKLERGNLALERSLSRKNVIRVVRGYKDPGCLTGKVYIYDGLYRIHESWKEKTKSGIFCFKYKLLREPGQPDGVAIWKMSQKWVENPITRGSVLHPDLSSGAENLPVFLVNDIDSDKGPHHFTYTTQVEHLRPLSSVKPLEGCRCLSVCLPGDANCGCAQRNGGSLPYSSSGLLVCRKTMVYECSESCRCSFNCRNRVTQKGVRIHFEVFKTGNRGWGLRSWDPIRAGSFICEYVGEVIDDASINLNDIEDDYIFQTLCPGERTLKWNYGPELIGEQSTGISADTFEALPIKISAKRMGNISRFINHSCAPNVFWQPVQFDHEDGHRPHIMFFALKHIPPMTELTYDYGDIGADSSVRFKLEYKGVLNNSSLNGHENRVTRILEKLLASRAYWSANQQI >Sspon.01G0046120-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:87149183:87154255:1 gene:Sspon.01G0046120-3D transcript:Sspon.01G0046120-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGKDAEGKARLVDVCVGGQGPLQAAARMGRLDVVKCMVKELGLDINLGSSDTGVTALHAAALDGKLDTVRYLLNNGADPNKKDQPGEVPLHCAAKYGHDEVAKLLLSRGANVDMAYFHGTPLHIAVVHGKADFMKVLLEHHADPNKISEALGTPLVATLNAASDGLPESIALKCLKLLVEARADVNFVGPDTPLVVATTYGLTDCIKYLLNVGANSNIPQKCCGAMPIEVAAGYGRRKDVELLFPSTSPIQTVSTWTVDGILSHYQSKHSNPKVEKYGKTAKLKLKLDGDKAVGRENYLAASKLYTQAIELDPDDATLYSNRSLCHVRIGEANKALLDANSCIKIRPEWLKGYYWKGVALMSLKEYKEACDAFLAGLKLDPTNVDMERMFREAIEAMKKDHVTA >Sspon.05G0028580-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:69517815:69518873:-1 gene:Sspon.05G0028580-1B transcript:Sspon.05G0028580-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding HGASRGSGAGAGDEGEGGAADVVADAALRAGRGVRHRRHVPRRRHRQPPPARRARQGRRGVRGPAQRRLLAGRHHRPGHAPPRAPLLPLRRSRRRRRRGGGGAPGGGARRRVLPRRRVRVPLRGVPGLRRRVPPHHQARRRGRAVRRLPPLARAPLPRRLRRRLRRAPLPRRRRARARPTRRRRAVLPGRGQRGRQHRAPRGAPLRAGPVRLCQRSPRRPHRHPTLLRRRGAHARRAAARGRAHRLRAAHRLDVARVPAPRRRPQPRGGVARGRHRGHRRRRFLPARHGRHRRVRPAARLAAPVLRRAARQGEGGEGTRVPRRHPRLLRVPGVPGVQGPHATDQGDSRRQQQV >Sspon.05G0023410-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:7303038:7306049:1 gene:Sspon.05G0023410-1B transcript:Sspon.05G0023410-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPSVPSLRLPLRPASPALSRRALAGVSPNAAAPRALLLLKPLASKVPASYHQPAPLLHQRRRHGPPPAAATTASKPVLKDPKKYQEWDSVTAKFAGAANIPFLLLQLPQIVLNARNLLAGNKTALFAVPWLGMLTGLLGNLSLLSYFAKKRETEAVIVQTLGVISTYAVLVQLAMAESMPVPQFVATSVVVAAGLILNFLNYVGWLPGTLWLLWEDFITVGGLAVLPQVMWSTFVPFIPNSVLPGIICGSLAVAAVAMARMGKLSDAGVKFVGSLSGWTATLLFMWMPVAQMWTNYLNPSNIKGLSAFSMLLAMLGNGLMLPRAVFIRDLMWFTGSAWACVLQGWGNLTCMYCFNSISREFYFATSAGLLLWLGFTLWRDTIAYGNSSPLTSLKELFFGK >Sspon.05G0005190-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:10911122:10915579:-1 gene:Sspon.05G0005190-2B transcript:Sspon.05G0005190-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding IKKALRGVKVEVTHRGNMRRKYRISGLTSQATRELSFPVDDRGTVKTVVQYFMETYGFSIQHTTLPCLQVGNQQRPNYLPMEVCKIVEGQRYSKRLNEKQITALLKVTCQRPQERELDILQTVHHNAYYEDPYAQEFGIRIDERLAAVEARVLPPPRLKYHDSGREKDVLPRIGQWNMMNKKMVNGGRVSNWACINFSRNVQDSAARGFCHELAVMCQISGMDFALESVLPPVTARPEHVERALKARYQDAMNILRPQGRELDLLIVILPDNNGSLYGDLKRICETDLGLVSQCCLTKHVFKMSKQYLANVALKINVKVGGRNTVLVDALTRRIPLVSDRPTIIFGADVTHPHPGEDSSPSIAAVVASQDWPEVTKYAGLVSAQAHRQELIQDLFKVWQDPQRRTVTGGMIKELLISFKRATGQKPQRIIFYRDGVSEGQFYQVLLYELDAIRKACASLEPNYQPPVTFVVVQKRHHTRLFANNHNDQRTVDRSGNILPGTVVDSKICHPTEFDFYLCSHAGIQGTSRPAHYHVLWDENKFTADELQTLTNNLCYTYARCTRSVSIVPPAYYAHLAAFRARFYMEPDTSDVGQWPVVPVALHQ >Sspon.08G0003490-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:9275196:9279499:-1 gene:Sspon.08G0003490-1A transcript:Sspon.08G0003490-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative beta-glucosidase 41 [Source:Projected from Arabidopsis thaliana (AT5G54570) UniProtKB/Swiss-Prot;Acc:Q9FIU7] MEALRFCCCRTPFYTEEGWDVNRISLVQIMVASSSVQPTEGYAMGVLTLVHILISFAACAEALRRADFPQGFVFGTASSAYQYEGAVNEGQRGPTIWDTLTRRPGRVIDFSNADIAVDHYHRYKEDVDLIKDIGMDAYRFSISWSRIFPNGTGEPNEEGLNYYNSLIDVLLDKGIQPYVTLFHWDLPQALEDRYGGWLNSQIVDDFVHYASTCFKEFGDRVKHWITFNEPHNFAIDGYDFGIQAPGREGKSSTEPYVVAHNILLAHAGAFHSYKQHFKKEQGGIIGIALDSKWYEPLSDVDEDTEAAARAMDFELGWFLDPLMFGHYPPSMQKLAGDRLPQFSTQDSKLVSGSLDFVGINHYTTLYVRNDRIRIRKLVMNDASTDAAIIPTAYRHGKKIGDTAASGWLHIVPWGMFKLMKHIKEKYGNPPVIITENGMDDANNRFSRLENDLQDDKRIQYHNDYMSNLLDAIRKEGCNVHGYFVWSLLDNWEWNSGYTVRFGLYYIDYNNNLTRIPKASVEWFRQVLAQKTANLEYYRGSTVAIS >Sspon.02G0002550-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:9616009:9617754:-1 gene:Sspon.02G0002550-1P transcript:Sspon.02G0002550-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSTPPAVASPASEQTKSKKKKKHKSKDIPATDPPSLAEAEDKTDGYLIKPQSLVSSLDTSTWLLLLKNYDRLNVRTGHYTPLPSGHSPLKRPLAEHLCYDVINLDKSSNPSSHEVVTWIKRLLRAEKTGHSGTLDPKVTGNLIVCVDCATRLVKSQQGAGKEYVCVARFHAVVPDTARVARALEALTGAVFQRPPLISAVKHQLRVRTIYESKLLEHDPERHLVELRRVRSGILGEQDNMVTMHDVTDAMWSLDNYKDESYLRCVIMPLEVLLTSYKRLVVKDSTVNAICYGAKLMIPGLLRFENDIETGEEVVLMTTKGEAIAIGIAEMTTAVMATCDHGAVAKIKRVVMDRDTYPRKWGLGPVALKKKKMIAEGLLDKHGKPNEKTPAEWLRNVVLPTGGDVSIASIAAAPEPEKVKVEEDTVVNEEVKEKKKKRQKDENDDGDASVAAKKIKVEEVAEAVEGEKSEKKKKKKKKDKGESGSGDVVEVKEAEKADVADEKGSEKNKKKKSKEGSAAADPESAKHVYGAEAEKSGKKKEKKKKSRETKEA >Sspon.07G0009740-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7A:27913450:27913717:-1 gene:Sspon.07G0009740-1A transcript:Sspon.07G0009740-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding CGRSRRCCRAWPGRSRWGRTGKGTAQARARPPCRRCCGRPAPCGARARRRSPPSARGAARRASTRTAPSSGRSASATPLSLSLRNSAPA >Sspon.06G0029280-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6C:18459176:18459739:-1 gene:Sspon.06G0029280-1C transcript:Sspon.06G0029280-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKAPSCPSLSFFLRISRYLGLPPRSPSTFRVLPRLIPTAPAHISMCGNCCLAPKVSALKEWELKERVFKHTILVAIQETIAGFINDHIIPKDGEFHHNPRLNLKSPNKNEHLYEL >Sspon.05G0021200-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:48163744:48164088:1 gene:Sspon.05G0021200-2D transcript:Sspon.05G0021200-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VVAELGDLDVEVPLREGEEAGHLVVPLHAAVAVGVGGAHAVPRLPPREPHVEAPQRVLQLLPADPPVAVRVELRQPRPELLHRQLPLRQRAVPHERHPIPSQRTYADGRPATAA >Sspon.06G0010870-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:59032831:59036803:1 gene:Sspon.06G0010870-1A transcript:Sspon.06G0010870-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFFPDGGFVRLQSRQNRKYIHADGDWVGVTLRPLGRIPSLEAVWRVEHWVSNRGHVFVLLQNAAYGRYLSLSVHPARPRRLSRRVIQRDFDDPNLVDAFLWRWRAQRVDPDQDYVRLRQFNFHLRTDGRYLTWNWKTRVTGDLVRRRTLTTMMQWTVHTVAATPLPLPLPVTPPEPQLSLLSSHLISFPFLSTTSVPLQQIQGGRRGQLFWALWRRSGYGPGVYPQVPREIRHVRANDEGEFDQNHYIWPSFISYDQTVFDLRIYLGQLQDDWNGDMLGFTLCIRPGSHGRLMPLVTHLPRSRDPLRIVVFRTGSPDICTSTIVEYESGTSDKIEIHLFGNQKHLIIAAPDTFRRPGVYPLAYQVLDVREDLHNRGQDLAKAPSVPLLPFCFDQALNVWSCIVHKHPNIVTTVAGVEATHIPA >Sspon.05G0015980-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:62959006:62963010:-1 gene:Sspon.05G0015980-1P transcript:Sspon.05G0015980-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGVNILAVNYGSVGGCQYPRPMKLPSKWTFSSYTILKTAEQAPRTPSFADQLIEADNGLGEVMKPPEKSFWAKYWMYIIPLGLIVMNAVTAAANIPEEAAGQGQPGAQRAPAAAAAGRRR >Sspon.02G0041770-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2D:72457175:72459228:-1 gene:Sspon.02G0041770-2D transcript:Sspon.02G0041770-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEDQFHVAVSEERGWVFVGIYDGFNGPDATDYLFVNLYVAVHSELKGVLWDNIQAGDGARCGQQEATAGNAERLCLAGADRDSAESKRRRTEVPMPGNNATPVHRDVLRALARALKKTEEAFFAAEYDVVRFFVRTASKIRLRSPHYACQPQEPAESIKVSFSNGNYRSPPNGNVEWAQGMAGEDRFHVAVSKEHDCVFVGIYDGFNGPDATDYLFANLYVAVHSELKGVLWDDIQAGDGARCGQQEAAAGNAERLRLAGGDGDSAETKRRRTEVPMPGNNATPVHRDVLRVLARALKKTEEAFFAAAEEHAAESPELGLMGSCVLVMPDLKNVLGKASQDLQQFKAEIMQELEAHDTDGLQAVQLTAEHSTTVQ >Sspon.07G0035390-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:6750949:6756023:1 gene:Sspon.07G0035390-1D transcript:Sspon.07G0035390-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding TRAAGDWLRALVRRPPWGGRAAGRPGRAALVGCMWLQQPAGGGVVRVLACGTHPVAAQRSPLGTRRGRPCCTNTAPYDAAATMPWNTGRDIPWPTRTSLFTPDLHLSGDGVRGELWTSFFFFSAWVERDPFLHSPFHPNVQSQPSDLKPLLHATPHILFPISISTLAPHPHPPRRREREGGRGFPMENGEETFASPTAAAADFEFSTNGGDGTPKLHNAADEIEALRAAKRDLEEKLDAVGHENRFLSSESRRLEVLVSQAREEVAAAEQAAATNESEAATLRAEGRGLGDQLQTAYQEKAALEEEIETLKGSAAADGKGGEEEKCDSVAARAGTPKDEGVVPPVLVAAAAAGAAATAAIAVVLLNLKR >Sspon.05G0017120-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:71631058:71635894:-1 gene:Sspon.05G0017120-1A transcript:Sspon.05G0017120-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQLALDDPGVLLGTTPQGNTCLHVATIHGHEGFCKDVLALDQSVFLLTAINKDGETPLLTAVTRGRDTLAFILLRYCRDQQLSETILNHKRGCNALHHALWRGYRVLALELIEAEPALSKAANVREESPMFIAAMRNFEDVLDKLIMETRPWQARQENEDKYTPMHLAAYEDKIDVITVLLEHDPFLGYLISTAGKPLLCTAASGGHVGVARELLKYCPDAPYCDEKVSTCLHEAVLSGQEEFVEFVLGSQQLQHLINMADNSGETALHLAQRNGLTWIADLLEGTPMDARVLEAACFLEPLRRVTPASIASIHGHQGFCNDVLSLNQSLPLLNAINADSETPLLTAVARGRATLCSVFLRFCRDQQLRETILKEDKRGFNALHHAIFRGHRKLALELIEAEPALSKAVTKRYESPMFIAVMRGYGDVLEKLLEIIDSADGGAHGHNALHAAVTRGNAVMAKRIMEARPGLVRQEDEDKRTPMHLAAVENEIDVLTVLLENDPSLGYFISTDGSPLLCIAATEGNVGVARELLRHCPDPPYCDARGSTCLHIALSFGQEDFVRFVVMEMDRLLEAAVCGHVAEMRHLYLHIPGVLDGTTPQGNTCLHIAAIHGHEVFCKEVQALNPSLLAAVNSDGETPLLAAVLSGRASVASLLLRCCLDQQQRETILKQDKHRCNVLHHAIRSGHTELALELIEAEPALSHAVNQYGESPMFVAVMRDYGNVFEKLMEIPDSAHGGAKGYNVLHAAVKKAIATKIMETRPALAREEDRDNNTPTHLAALWDKIDVIRVLLEHDRSLGYHVSSQGIPLFVAAAFRGHVGVARELLKHCPDAPWVYRLTTGDGQTALQIAVRYQQTEFVDFVLALPISGRLVNMRDQNGDTALHHAVDKCNPQMVAALLLHPDIDVTVLNNNGSPANWVLPTDLAKTLNWNEISMLMLKADPQDASSIFNLHKEVKGKVTDLSRKDIKSLTQTYTGNTSLVAILIATITFAAAFTLPGGYSTDAGNEGLPIMSTKFAF >Sspon.06G0014350-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:62878222:62880215:1 gene:Sspon.06G0014350-1T transcript:Sspon.06G0014350-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLVAEVNHLVANAGIWSSCFFEEITNITAFHNVIDLNFWGAVYPTYFALPYLKASRGNIVVTASVAGRVPTARMSFYNASKGAVIRFYETLRAELGSHVRVTILMPGYVVSNLTKGKGLQKDGHVGIDEEARDINVGPMPVGKTESLAEVVVASVRRGDYYVTWPGWYWPFHMVMCAAPELLDWFSRAFYVSKSGEQDGGAALSKKILEAVGGKKFLYPSTIRSQDAMAAN >Sspon.02G0035170-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2B:17018258:17021944:1 gene:Sspon.02G0035170-1B transcript:Sspon.02G0035170-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVYIAREKRPGYDQQKKAGAMNVQLRVSALLSNAPFIVNFDCDHYINNSGAFRAAMCFMLDPRHGDDTAFVQFPQRFDDVDPTDRYCNHNRVFFDATSLGLNGIQGPSYVGTGCMFRRVALYGADPPRWLPDGASKLLDNPHRQFGNSMPFINSVTLAAHQERPLTPPASLDDERLVAELADVATCAYEDGTEWGYGVGWVYNIATEDVVTGFRVHRKGWRSMYCAMEPDAFRGTAPINLTERLHQILRWSGGSLDMFFSRNSPLLAGRRLHPVQRAAYTNMTAYPISAAFIFVYDLLPLMWLSGDGEFHIQKPFQTYALYLFVGIAMMEVCGMVEIKWAGLTLLDWCRNEQFYMIGATGVYPAAVLHSLLRLVGLKGLPFKLTSKPVSASGGAAARERLAELYEVQWAPLLVPTMLVIAVNVAAIGAAVGRAVAFGWSFAQVAGAASGLLFNVWVLLLLYPFALGIMGRWSKRPYLLFFLLVAVLIIIASAYVAVLAVVAPGSVAALWLSGWEDGQA >Sspon.04G0025520-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:27536768:27536962:-1 gene:Sspon.04G0025520-1B transcript:Sspon.04G0025520-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MILRVLISIFAFIARSSLKSKIALSEQLFLKSPLRTILYVSVSTDIPLSVISWNISIAGTILLA >Sspon.05G0004160-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:7496286:7496771:1 gene:Sspon.05G0004160-2B transcript:Sspon.05G0004160-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAGSAGTGKIRVKFLVDNEKKKVVVAESGKDFVDVLLSFLTLPMGTIVRLLGKESSLGCFDELYKSVESLDESHFQTKRERICCLGPSALVGNPWPIRWSGRRQTGKLKMMGFL >Sspon.03G0034110-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3B:68133213:68134237:-1 gene:Sspon.03G0034110-1B transcript:Sspon.03G0034110-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPLVGSRANRLSRVEPSAGAAQPHTRWGSSKPRAPLEYPICELPRGRIKNPLQQLVRAPTIFNYALHLASGRSPVSSNHDRTRPIALDRTRRASGRLYDRLCPSGCLSGRSGSVRDRTRRLQTLIPECQTAEELTGRVRSNRDRVRCSVRSPLWPPFASVSFPTSGAVENRRFTSPKNTESRLASSAGGREEPKPLSTA >Sspon.04G0021200-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:74373001:74380774:1 gene:Sspon.04G0021200-1A transcript:Sspon.04G0021200-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLPSFPVVLLLLLIATATATAAGSNKAEEEVDDLQYLIDNSDDIPANDPDGWPDQGDDDDLLFQDQDHDLLGHQPQIDETHVVVLTAANFSSFLAATRHVMVEFYAPWCGHCQELAPEYAAAAAHLAAHPHQADLALAKVDATDETDLAQSYDVQGFPTILFFIDGVPKDYNGARTKAAIVDWINKKLGPAVKNVTSVDEAERILTGDDKAVLAFLDTLSGAHSDELAAASRLEDSINFYQTTTPDVAKLFHIDAAAKRPSVVLLKKEEEKLTFYDGEFKASAIADFVSANKLPLILLFAVASESSKFLPIFKEAAKPFKGKLLFVFVERDNEEVGEPVADYFGITGQETTVLAYTGNEDAKKFFLDGEVSLEAIKDFAEGFLEDKLTPFYKSEPVPESNDGDVKIVVGKNLDLIVLDESKDVLLEIYAPWCGHCQSLEPTYNKLAKHLRGVDSLVITKMDGTANEHPRAKSDGYPTILFYPAGKKSFEPITFEGERTVF >Sspon.01G0031450-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1A:107855548:107857545:1 gene:Sspon.01G0031450-1A transcript:Sspon.01G0031450-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAVTAVRVLVPVLLLALARGGGSAPAAPVEFTFTGFARENVTTSGAGAAVTSAGLLQLTNATNWVFGHGFYPAPLRIKDAATGAPLSFSTTFVAAILPRYPDAHGHGLAFALAPSAAGPAQAVEGKYLGLFNTSDNVGNGKTSEVVAVELDTALDAEFDDINDNHVGVDVHSLKSVASKPAGYVDAATGGSVDVTLASRRLLQVWIEYDGATTRLEVTVSAAAVGVPRPRVPLVSCEVNLSSAVADQTYVGFSAANGAASSSHYVLGWSFRLGGGRAPDLDLSKLPRLPPPSGHKKATELPLILSLILLLVVVLLMASAAVTGLVVWRRRRFAEEQEDWEVEYGPHRISYKDLHAATRGFRHVIGGGGFGVVYRGVLPPRPGGVEVAVKKVSHDSRQGLREFVSEIASMSRLRHRNLVQLLGYCRRRGELLLVYDYMVNGSLDKHLFGAGGNEPALSWEQRAKIVRDVAAGLLYLHEGWEQVVVHRDIKSANVLLDADMNGKLSDFGLARLYDHGSDSRTTHVIGTLGYLAPEMIKTGKATPSADVFAFGAFLLEVACGRRPMESLGNNGDPAGLVDSVLERWKAGRIKDARDPRIGKCDEDDLELVLKLGLLCSHPDPRCRPSMRQVVQILEGAAPVPETPPEDLGGAGGRIFGYYETFDEF >Sspon.04G0022800-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:8365472:8366050:1 gene:Sspon.04G0022800-2D transcript:Sspon.04G0022800-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLLLPSCAASARPLLPTSPGAFRRAGPGAVRPAAASSSNVEIIDDATAATSLPGAAKQWRAGGLGLELELSEEMRRGMMWRMLAPPAAAVAADVAFLGLLERVQPGDVPAWAATAGSAVLFAVGLLGVHYGFLSSRWDAAETGSVVGWDLAVRHWNVLSMAKEYSSSVDEEEDDDDEEYEDEEEYEEDED >Sspon.04G0001040-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:5125245:5126507:1 gene:Sspon.04G0001040-1T transcript:Sspon.04G0001040-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFVIQNLGSSDRLSVIAFSSSARRLFPLRRMTESGRQQSLLAVNSLTSNGGTNIAEGLRKGSKVIEERQAKNPVCSIILLSDGQDTYTVSPTAGVHKGAPEYCALLPSTNGNQQVPVHVFGFGADHDSVSLHSISQTSGGTFSFIETEAAIQDAFAQCIGGLLSVVAQGLHVKVESLHSDVHFGSIRSGSYSSRVSDDKRNGSIDVGDLYAEEERDFLVSVNVPPGYGETALLKVGCVYKDPLMKETVNMADVQVKISRPAFVSVQSVSIEVDRQKNRLHAAEVMAEARFSAERGDLTNAVSLLEDCRRMIMGSASGQSGDRLCQALDAELKEMQDRMANRQRYEAWSGICPLRLSSHSWQRATARGDSTDSESLIQAYQTSSMVDMLLRSQTMSRSSTPRPTPQMRHAKSFPARPQPR >Sspon.03G0025750-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:1163592:1166607:-1 gene:Sspon.03G0025750-3D transcript:Sspon.03G0025750-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLTEEQAAREAGTNATSLNLTHRALSDVSCLSSFNNLERLDLGYNCLVTLEGLSSCANLKWLSIIENKLVSLKGVEELSKLQVLNAGKNKLTKMDEVASLTSLGALILNDNNISSICKLDRLQQLNTLVLSKNPVFTIGNALVKAKSMKKLSLSHCQIENIGSSLAECVELKELRLSHNKISTIPSDLAKNVKILNLDLGNNFIERSSDLKVLSELRYLRNLNLQGNPVSEKDSLVKKVKKFVPTLRILNAKPLEATSKSDKSSRKENPPSKDKDSIGIDTKKDKRKKSKQELKGLEELEVQSISTGVTTSNPGNKLEVPDGKERKKVKKEAKTKKSEELDHANNSNLKNKDVQSSAYDTSTKDKKEAKRKKFIDEEDVDAEGIDNTEISFADLMFSNVGNPETKLKDSSTLEGAPDGKFVGGLVIDHTKKRKKSKGVVTITDSSALKMFSSMPEVGAGGLGLSGWDE >Sspon.02G0029740-1P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:108315228:108317563:-1 gene:Sspon.02G0029740-1P transcript:Sspon.02G0029740-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSLRAQAAVDLGEGISHRCKMGDDARGTSDGSSDGWMRRPRPRRRQRREEETSLLQMCSLPSLSSLSPPPQPQAYLDDTDLSYLLPHLVLPRPPLPPPQLRRCPLRVLAAMEASPSGDALLLSIAIAIILLIAAAVWNWRGRGHDNNGAPSPPSRPLLGHLHLLGTPLHRSLAALAAAHGAGGGQLAPLLSLRLGARRALLVSSHAAAEECFTAHDAALAGRPRLLAGERLGYGCTVVSWASHGDHWRAVRRFLAVELFSASRLAARAADRRAEVAALVESLLRDAAAGAGPDGSRSRAAVTLRPRLFELVLNVMLRSLTGAPGHGDDVRRIQGIIEETFAVSGAPSIGDFYPALRWTDRLRGVDAALIRLQARRDALVAGLVRDKRQSRRAGGRHTEKNGAIDELLSLQEIDPENYTDTVIKGIVLIMLSAGTDTSALTTEWAMALLLTHPEVMRKVTAELDTNIDTSRLVDESDITNLPYLQCVVKETLRLCPVGPVIPAHEAMEDCTVGGFHVRRGTMILVNAWAIHRDPKLWEAPEEFRPERFLDAGMVTTVAAPLLPFGLGRRRCPGEGLAMRLVSFTLAALVQCFEWDVGECGAPDMAEGVGLSMPMAKPLAAICRPREFVNSMLSGST >Sspon.02G0017020-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2B:48926045:48927655:-1 gene:Sspon.02G0017020-2B transcript:Sspon.02G0017020-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MERFTDEQDEALQLLTSLQHLEFAYCEKLQSLPAGCDGLPNSLQNLTISFNSAIRTLPKDGLPNSLQELHIIDCPSIRALPKAGLPTSLKLIDVSNHRNSKELKRQCRNLIGSIPIVWVSITTIMP >Sspon.02G0017670-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:51908462:51909379:1 gene:Sspon.02G0017670-1A transcript:Sspon.02G0017670-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKQKIVLKLPLDDERKRRKAFKAAVGMNGVTSATMEGDKIIIIGNGVDPITLTTMLRRSLGYAELLSVSSGDDKKKGDGYGYGSGGGMMYGGGGGMGYGGGFGGGKEGKEGKEGKESGGGGGKGSIGHAGYGGHYQAVPPVTYPAYQQYNPMPSYPAVYSYPAAYPQEQDPGCSIM >Sspon.01G0037880-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:21408650:21409314:1 gene:Sspon.01G0037880-2C transcript:Sspon.01G0037880-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATSLSSCSSLPRATAKPGGVSYYTQLTFRSRHPFEKAAAAATFQKLPPELLRLSYAARNNKHARTSCRRATDNDQAAPAAARNNSQHPSSSSLSRPQRREIPSNARQ >Sspon.02G0054250-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:111742895:111749716:-1 gene:Sspon.02G0054250-1C transcript:Sspon.02G0054250-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleobase-ascorbate transporter 3 [Source:Projected from Arabidopsis thaliana (AT2G26510) UniProtKB/Swiss-Prot;Acc:Q8GZD4] NGRDERAAAAAASGRAAAHDGHDGAARRRGRHPPAHEQFHHLNYCVHSNPSWVQVAALAFLHYLVMLGSTVMFVSVIVPAMGGNAGDKARVIQSFLFMSGINTLLQTLIGTRLPTVMNASFAFVVPVLSIAREIESNNDLLNSHERFKRTMRTAQGALIVASILNMILGFSTIWAAYAKVRIIEVQSCNNDPVVCVVGLGLFQLGFPQVGKCVEIGLPMLILAVIVQQYVPNYFSYIHQRVTFLFERYSLLLCIGIVWAFAAILTAAGAYDHVSLKTQQHCRTDKSLLISSAPWIKVPLPFQWGPPIFTAGHSFGMMGAVLVAAFESTGAHFATARLAGATPPPAHVLSRSVGLQGIGMFLEGIFSCPAGSSVSVEVWCLLCINSIANLCSNLLHLVWHCSCCGSLLHAVCQQKLHEEHLYHWTLIVPWHLNPSILQ >Sspon.07G0029290-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:75470901:75471588:1 gene:Sspon.07G0029290-1B transcript:Sspon.07G0029290-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAYDLGTELRAQPLVPATVLLMRLEVSHDGELLGVAPAAGVALLTVAPLVVVLHAQDGLEGLEPTNGHEYLFTNSCSALASLGGLAIIHMNLEVLYILADIPTFITILHILLLEKNIVNFNAFFHSDISPSLEKLLIVFRGTHVLLVLFRNVHRIRN >Sspon.08G0011980-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:51537513:51543505:-1 gene:Sspon.08G0011980-2B transcript:Sspon.08G0011980-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWLTKFFRGSTHNISEGQYHSRPAEDAAWNEPSSSPVVTDILSEFNNEDIDRAIALSLSEEEQRKAKTIDKDMHLEEDEQLARAIQESLNVESPPRRNGSANGGTTYHLPRETGNGGNAYQPLRENGSANGGNAYHPLPFMFSSGFRACAGCHREIGHGRFLSCMGAVWHPECFRCHACSQPIYDYEFSMSGNHPYHKTCYKEQFHPKCDVCKQFIPTNMNGLIEYRAHPFWLQKYCPSHEVDGTPRCCSCERMEPRESRYVLLDDGRKLCLECLDSAVMDTNECQPLYLEIQEFYEGLNMKVEQQVPLLLVERQALNEAMEGEKAGHHHLPETRGLCLSEEQTVSTILRRPRMAGNKIMGMITEPYRLTRRCEVTAILILYGLPRLLTGSILAHEMMHAWLRLKGYRTLSPDVEEGICQVLAHLWIESEIMAGSGSSAASSSSGSSSYTSSKKGGRSQFEHKLGDFFKHQIETDASMAYGEGFRAGNRAVLQYGLKRTLEHIWLTGTFPF >Sspon.02G0002050-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:6786209:6787297:1 gene:Sspon.02G0002050-1A transcript:Sspon.02G0002050-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable galacturonosyltransferase-like 4 [Source:Projected from Arabidopsis thaliana (AT3G06260) UniProtKB/Swiss-Prot;Acc:Q9M8J2] MARACRMAPLLVLVLALLLLVATAASAIRVDVIRLPSSSAPPPLPPSPAFREAPAFRNGDECPPRGSPDGRVDVAMTLDANYLRGTMAAVFSILQHTACPENVAFHFLAAAAPATDGDPDPLAAIRATFPYLDPSVHRFDPSRVRGRISRSVRHALDQPLNYARIYLADTLPASVRRVIYLDSDVVVVDDVRKLWSVDLGARHVVAAPEYCHANFTKYFTDAFWSDGELSAAFRGRRPCYFNTGVMVMDVARWRRGGYTRRVEEWMAVQKRKRIYHLGSLPPFLLVLAGDIRPVNHRWNQHGLGGDNVEGRCRSLHPGPISLLHWSGKGKPWLRLDARKPCTVDYLWAPYDLYKAAATALEE >Sspon.02G0052660-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:95333954:95335710:1 gene:Sspon.02G0052660-1C transcript:Sspon.02G0052660-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding WKIIILMHHWKTRLAPPMQRKLYRTRCSFFLRYVFLQGALQFAGAGGLRLSAPRAVNAYRRTALQEKCLQRGSLSVSLSKRTTARPLLLAEVRSVRYASASAPPLLPAVARRAAREGGGEGRRARPAGEGEGRPLARPEREEGPRGAPPAVELLAWARAATPPSSMAAWRGGEGSQARRARCPRGRPPAGVHRAPEQGHRAGRAPPPGPPAVAGAGAVAAELLLLGHRTEQDELLLRVELRPPRRASRGGAAASLQREGGGPERRERARRRGERLPGEGSKGWERI >Sspon.01G0022250-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:81662545:81668226:1 gene:Sspon.01G0022250-1T transcript:Sspon.01G0022250-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQQAADSRGSREEIVFVDLESDEAGVDDDVPATMQRQDSLYMAATRAGGANHHGQDSWAKTLRLAFQCVGILYGDIGTSPLFVYSSTFRDGVGHPDDLLGALSLIIYSFLLFTVIKYVYIALRANDDGDGGTFALYTLISRHAKVSLIPNQQVEDELVSKYNHDKPPATLQRAEWMKELLETNKTVKISLFLITMLATAMVISDAILTPAISVLSAVGGLKEKASFLTTDEIVWITVGILVVLFAIQRFGTDKVGYLFAPIILLWLLLIAGVGLYNLIKYDTGALRAFNMKYIIDYFRRNKKKGWVSLGGILLCFTGTEALFADLGYFSIKSIQLSFGFGLVPSVLLAYIGQAAYLRVHPEDVANTFYRSTPISLFWPTFILALAASIIGSQAMISCAFATISHSQTLGCFPRVKILHTSRQYSGQLYIPEVNYLLCLGACLVTIGFRTTVIIGEAHGICVVLVMIVTTLLLTIVMLLVWKISIWWIVAFFVVFMSSESIYLSAILYRFAHGAYVPVAMSAFLMVVMIVWHYVHVKKYNFELEHSVPRDKVKQLLERRDIQRVPGIGLFYTELVQGIPPVFRHLIEKIPSIHSVLIFVSMKHLPIPSVDMSERFLFRQVDREDYKVFQCVARYGYRDPFEEAKDFVDKLVEHLQYYIRDVNLYGVGCEPMMKQSSSYRSSRAESFSSHEKPKVKAVYAEEMLTPAESFSEHARQASGKSKRFAQFQGDKMNIVEMLKIQQEQQAVLEEMSKGVVYIFGESEVVARPHSSLIKKIAVNYIYSFLRKNSRNGEKMLSIPRRQILKVGISYEI >Sspon.05G0001400-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:5181005:5183336:1 gene:Sspon.05G0001400-1P transcript:Sspon.05G0001400-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKRILKELKDLQKDPPTSCSAGPVGEDMFHWQATIMGPSDSPFAGGVFLVNIHFPPDYPFKPPKVSFRTKVLLSICSLLTDPNPDDPLVPEIAHMYKTDRAKYESTARSWTQKYAMG >Sspon.04G0019550-3P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:69315968:69321908:-1 gene:Sspon.04G0019550-3P transcript:Sspon.04G0019550-3P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPYLLAKDLILQFIGEISVSGATYKSMEFVGSTVESLTMEERMTLCNMVIKGGGKNGAVPADETTFKYLEGKTSVDYEPVYSDAQARFFSDYRFDVSKLEPVVAKPHSPDNRALARECKDVKIDRVYSGSCTGGKTEDFLAAAKVFLASGKKVKVPTFLVPATQKVWMDVYSLPVPGSGGKTCSQIFEEAGCDTPASPSCGACLGGPRDTYARMNEPMVCISTTNRNFPGRMGHTEGQIYLASLYTAAASALTGYVTDPRDFLMLKN >Sspon.01G0012930-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:38190654:38193187:1 gene:Sspon.01G0012930-3D transcript:Sspon.01G0012930-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARVLAQVLLVAALALAAPARASNDEGDALYALRQRLSDPNGVLQSWDPTLVNPCTWFHVTCDQASRVVRLDLGNSNVSGSIGPELGRLVNLKYLELYRNNLNGEIPKELGNLKNLISLDLYANKLTGGIPKSLSKLDSLRFMRLNNNKLTGSIPREFAKLSNLKVIDLSNNDLCGTIPVDGPFSTFPLRSFENNSRLNGPELQGLVPYDFGC >Sspon.01G0030720-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:104987884:104990277:1 gene:Sspon.01G0030720-1T transcript:Sspon.01G0030720-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVRPGWVVWVARGSAAAWQRVACNPETLPPDRVLALICCAPLHLLARLAAFLCVPFLPGPARSRRFLVLRPPELAPHPFAYSSSSSSSSSSDEEEDDDGNDIHQHVA >Sspon.01G0051020-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:10017683:10017883:1 gene:Sspon.01G0051020-2D transcript:Sspon.01G0051020-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQLLMALAFSAAPLTLYVPPVRSLSLFVEAMETVCRDCAPYSHGAVLRFRLGLSRILAGLARALR >Sspon.05G0013410-4D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5D:45744338:45744852:1 gene:Sspon.05G0013410-4D transcript:Sspon.05G0013410-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRPLASAALRLRLRLRLRCLSSTSHTRLLSTCPFLLSRRDDDDGREGPSSPLPPLPPSGSAFSPRPFLFSASAAAGLFSLRGGWWRRALPPAASRPPGAVADAAPVRLTISRSYSLRVATGKKKAHFDDEHR >Sspon.01G0012930-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:34522825:34525286:1 gene:Sspon.01G0012930-2C transcript:Sspon.01G0012930-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARVLAQVLLVAALALAAPARASNDEGDALYALRQRLSDPNGVLQSWDPTLVNPCTWFHVTCDQASRVVRLDLGNSNVSGSIGPELGRLVNLKYLELYRNNLNGEIPKELGNLKNLISLDLYANKLTGGIPKSLSKLDSLRFMRLNNNKLTGSIPREFAKLSNLKVIDLSNNDLCGTIPVDGPFSTFPLRSFENNSRLNGPELQGLVPYDFGC >Sspon.03G0007550-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:20358443:20359378:-1 gene:Sspon.03G0007550-1A transcript:Sspon.03G0007550-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAGQPLRTEPAAQPPRVRHSTPPPPALQADIVPSYPPPESDGDESWVWSQIRAEARRDADAEPALASFLYATVLSHPSLDRSLAFHLANKLCSSTLLSTLLYDLFVATLAAHPSVRAAAVADLIAARSRDPACAGFAHCLLNYKGFLAVQAHRVAHVLWAQSRRALALALQSRVAEVFAVDIHPAATVGKGILLDHATGVVVGETAVVGNNVSILHHVTLGGTGKAVGDRHPKIGDGVLIGAGATILGNVRIGAGAKVGAGSVVLIDVPPRSTAVGNPARLIGGKKGEDVMPGESMDHTSFIQQWSDYII >Sspon.07G0004380-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:32439780:32440484:-1 gene:Sspon.07G0004380-2C transcript:Sspon.07G0004380-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAAGGFKLFGKVITQLCAETAPPAAQEAAAAASRSTAAFARERDDPDERDQPMVKREAAASDHDFVVADKQQQSAGGGGGPAPAAESDDSKGQQHQHPRPRQQQHQDTVEARAAAAASSAPPLPCPRCRSRNTKFCYFNNYNVNQPRHFCKDCHRYWTAGGALRNVPVGAGRRKNRPLGPVPVPAHHHHLHPAQAAAGFVLGFPGQQHPSSPTSPSPAVYAERWPICPDRRF >Sspon.04G0015000-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4A:56128900:56129407:1 gene:Sspon.04G0015000-1A transcript:Sspon.04G0015000-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTITLMLYGHLRYHSPKVFGCLLWIRTNGTWQNGHSLLPLPVALKPAKRRQPGVDAAAAWRGCTRAAAAAWGARAGGGAGGLTQTDRAAAPAAWHRPAGQPLTSGLAQTGGAVFSQSLLFSRGSGGGSISRLSVSLVCSHRHDCESP >Sspon.03G0003790-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:16855710:16858905:1 gene:Sspon.03G0003790-4D transcript:Sspon.03G0003790-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AMDLLFVEKLLVGLFASVMVAIAVSKIRGRKLRLPPGPVPVPIFGNWLQVGDDLNHRNLAALARKFGDIFLLRMGQRNLVVVSSPPLAREVLHTQGVEFGSRTRNVVFDIFTGKGQDMVFTVYGDHWRKMRRIMTVPFFTNKVVQQYRAGWEAEAAAVVDDVRADPAAATEGVVLRRRLQLMMYNNMYRIMFDRRFESMDDPLFLRLRALNGERSRLAQSFEYNYGDFIPFLRPFLRGYLRICKEVKETRLKLFKDFFLEESRKLASTKAMDSNGLKCAIDHILEAQQTAIETTLWSIEWAIAELVNHPEIQQKLRQELDTVLGPGHQITEPDTHNLPYLQAVIKETLRLRMAIPLLVPHMNLHDAKLGGYDIPAESKILVNAWYLANNPDSWRRPEEFRPERFLEEEKHVEANGNDFRYLPFGVGRRSCPGIILALPILGITIGRLVQNFELLPPPGQDKLDTTEKGGQFSFHILKHSNIVCKPRTF >Sspon.02G0022430-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:68744068:68760471:1 gene:Sspon.02G0022430-2D transcript:Sspon.02G0022430-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGDADGVKSGRRGGGQVCQICGDGVGTTAEGDVFTACDVCGFPVCRPCYEYERKDGTQACPQCKTKYKRHKGSPAIRGEEGDETDADDASDFNYPASGNEDQKQKIADRMRSWRMNAAGSGDVGRPKYDSGEIGLTKYDSGEIPRGYIPSVTNSQISGEIPGASPDHHMMSPTGNIGKRAPFPYVNHSPNPSREFSGSIGNVAWKDRVDGWKMKQDKGTIPMTNGTSIAPSEGRGVGDIDASTDYNMEDALLNDETRQPLSRKVPLPSSRINPYRMVIVLRLIVLSIFLHYRITNPVRNAYPLWLLSVICEIWFALSWILDQFPKWFPINRETYLDRLALRYDREGEPSQLAAVDIFVSTVDPMKEPPLVTANTVLSILAVDYPVDKVSCYVSDDGAAMLTFDALAETSEFARKWVPFVKKYNIEPRAPEWYFSQKIDYLKDKVHPSFVKDRRAMKREYEEFKIRINGLVAKAQKVPEEGWIMQDGTPWPGNNTRDHPGMIQVFLGHSGGLDTEGNELPRLVYVSREKRPGFQHHKKAGAMNALVRVSAVLTNGQYMLNLDCDHYINNSKALREAMCFLMDPNLGRSVCYVQFPQRFDGIDRNDRYANRNTVFFDINLRGLDGIQGPVYVGTGCVFNRTALYGYEPPIKQKKKGGFLSSLCGGRKKASKSKKKGSDKKKSQKHVDSTGFDDEKSLLMSQMSLEKRFGQSAAFVASTLMEYGGVPQSATPESLLKEAIHVISCGYEDKTEWGTEIGWIYGSVTEDILTGFKMHARGWRSIYCMPKRPAFKGSAPINLSDRLNQVLRWALGSVEILFSRHCPLWYGYGGRLKFLERFAYINTTIYPLTSIPLLVYCILPAICLLTGKFIIPEISNFASIWFISLFISIFATGILEMRWSGVGIDEWWRNEQFWVIGGISAHLFAVFQGLLKVLAGIDTNFTVTSKASDEDGDFAELYMFKWTTLLIPPTTILIINLVGVVAGISYAINSGYQSWGPLFGKLFFAFWVIVHLYPFLKGLMGRQNRTPTIVVVWAILLASIFSLLWVRIDPFTTRNLRPLWRAGLVEPEHQLCSWDWEGVTCEKETPMEGGLSTPQLPEPRRHNFPGYQ >Sspon.06G0002260-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:4336702:4341358:-1 gene:Sspon.06G0002260-4D transcript:Sspon.06G0002260-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEERRWRFANLRSVRWRVDLGILPASPGASVDELRRAAADSRRRYVSLRRRLMVDPHLPKEEDRSSNLVVDNPLSQNPDSSWGRFFRGAELEKTVDQDLSRLYPEDGSYFQTPNCQAMLRRILLMWCLQHPECGYRQGMHELLAPLVYVLQVDIDKLSQVRKLHEDCFNDDFDGVPFPDTYMVFSYKPRKDLKWHSGADNGDDSESASRVNTLDELDLDTKEIISLSDAYGAEGELGIVLSERFMEHDAYSMFDGLMDGGSGVVRMAEFFSTSKVGSSSSLAPVIEASSSLFHLLSIIEPSLHSHFIELDVEPQYFALRWLRVLFGREFCLNDLLVVWDEVFACSNDMLLGENEEYSFRILCSSRGAFIAAMAVSMILHLRSSLLATEINTSCLQRLLNFPNNVDVHKLIEKAKSLQSIAVDANDSVASLPESYWEKQWRNLHDDGTAPKGSDKGLSYKKSLKQSLSQRLGLSRTESDPSPVKVVSVKSDTKNSVRRCLLNSYSDKVVQSSEVAGKFQQDEFPIVSIHKEPLVNSERPSQLKLKGAASENLIVSPPSVTKFDPLIDSPVKPAEESSTKRTEACSSGENSPVFYAACAGNEHENCHDNDSERSSITSNSCAGDDDRDEVLADESSNCNCEDKNVSEATESVSEATESATNVDPVGSSERTTVSNERKPFINKLQWFLRFGRPSAEGNVEKGSAEASDDKHGAVLPCSSAADVSSDNSRSGINLASGDNKKVMGTLKNIGQNMLENIQVIETAFQQDRAQPSAMENFPNNILGGKGQVTAMAALTELRKISNLLREM >Sspon.01G0033610-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1A:113120547:113122112:-1 gene:Sspon.01G0033610-1A transcript:Sspon.01G0033610-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLESWLTKVRSAMSSARSSSGGSGAPPAGPRKQSTVGILAFEVASLMSKLLHLWRAVGDAAVARLRHETIHLHGVRKVVSDDDDFLLGLARAELVDTLRAAADSVAALTARCADPSLRDFRDAFLELADTGRDRHRWAAPSWKEMDARASKMDKQVSATAALRRAMEELAEAEHGLRKLLVLQCAASNSNGGGHRRSLSASKISVAAEQQQLVFSKKQEVKHLKQTSLWGCTFDAAVASLARAAFTTLARIKAVFGAGQEQRHPPLYRSLTLSSAVHPSADARSESDTPPPPPVSRKSMSMEELLLFDLDQPSSFASKPKRQCGGFLEDSSAALTPPAGTLGAAALAPRYAGLVISIERMARSPRLVGPDERDELYGMLTASVRAQLRARLRGAVPAADPVLAGQWRAALAGILEWLAPMAHATVRWQAERSPEQRGPAAARGGSGSVLLLQTLQFAERDRVDAAVVELLVGLNYVWRFEKEMMICRALFAVHHRQQLPAMMEDTGGGNANGTVVRSCP >Sspon.01G0048980-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:100958003:100959676:1 gene:Sspon.01G0048980-2D transcript:Sspon.01G0048980-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSMPFQLKNGHQHQHHGAAMEGKPPLLPTTQQQPAPTPRVSRLRRLLVRVSASEKFVADGKERDKDEKLLPPPAAGDGDAAGSLGLDRMVLSFMEEATAVERPPRGRCNCFNGSNHEESDEEFDFLPSEHASKPATAGAGDALEALKGLVQSASVAERNLLADASRLADKCGKGCKGKAECRRAVADGLRALGYDASVCKSRWEKAPSYPAGEHEYIDAVVGKEEVRLIVEVDFRSQFELARSTKAYRAALQALPPYRLGQIVAVVAEAARQSLKKKGLHFPPWRKPEYMRAKWLSPHVRCGGGGGDKVVVPGPAVPLSAATPVQAASFSGEFELVFDRKPSRDGAAAEGGGGGVSVGEKITVVVSPWRPTEEASKNQQQLPKAKVVTGLAAVL >Sspon.02G0004010-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:14076737:14080703:-1 gene:Sspon.02G0004010-3C transcript:Sspon.02G0004010-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVNPPPPPPPGSSSSAPAGASYFPLPFHLQQHQPPPQMPPPMAASSYQQYQQQLHQAHQLFQRDAQTITPEALQSVKAALATSDVLDPAAAANARPSDPSTSKKPIPRRAAGQSWEDPTLTDWPENDYRLFCGDLGNEVNDDVLSKAFSRFPSFNMARVVRDKRTGKTKGYGFVSFSNPTDLAAAIKEMNGKYVGNRPIKLRKSNWKERTDVEALERQKNHVQRKPKIPKKSILHK >Sspon.04G0003000-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:9201258:9203680:1 gene:Sspon.04G0003000-1A transcript:Sspon.04G0003000-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLSLAQLSAGSRRLMELYIPPPSDQLTDHHGGVLSGDIRVTTLWYGSFTSAQKSIVYDFLLSLTTAPSAATPSVNQWWGTIDQLYLSSAAASASRVLLDARAQVSDEAYSLGQSLTLAQIDQLAARAGAKKGGIALVFTDENVAVEGFCSSRCGKHGSAAPGAEAGSTYIWVGNAVKQCPGQCAWPFAQPLYGPQGAPLVSPNGDAGMDGLVMVLAAMVAGTVTNPYRDGFYQGSKDAPLEACTACPGVYGSGAYPGFPGNLLVDQTTGGSYNANGVNGRKYLLPALYNPATSTCNTLGLQCTNCLIRSPPHSDAYTSTQRQATATVDSNKKQKKKKKKKKKKKKKKKKERYNQLL >Sspon.07G0029620-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3D:1898123:1900139:1 gene:Sspon.07G0029620-2D transcript:Sspon.07G0029620-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGRRNPHNIIVNAVWYADGDGVRAVLATDGINRICHRSLQGLVASLRHYCPSVSAADALYHLMDANADLASAVALANGTSKSSALRVMAPRNLVAFRLAAEAVRHPNPTAFAHFASALPTVNAKNSLLQYLLINYVLSPGNIDHLTTVLAPELPDEPPQPPLKLRSQLLDWISSQRQRFRDTGKRMLDVVNMASQHYTLQTGEELVLHSVCGASLVSEEGMNNCFYHINYLASRKYSGSALGIPVVLFTEATVLAQDEICINLCMIVDPAKEIASCYACEANKKRIVHPTYDDYHGCRGFEEDEVDSSSNFPELLDVDYIFFYADRDRDVVDDLDGRIGDDGICSPD >Sspon.05G0012670-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:39583809:39584312:-1 gene:Sspon.05G0012670-1A transcript:Sspon.05G0012670-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKYVRRSRSSTSLQRRAAEKKGFLAKTLERCWSLGGWRPRRPTTTPPGCFVVLVGLERERFAVRAKRANHPLFRALLDEAEAEYGFPRLAAEPLLLPCAANEFLRVMSEVERDHHQEDDVVPRGGAAAAVAAGAAAPLSSSPAWSFFLKGGAARAGFQRMSPGRFS >Sspon.01G0012990-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:42703664:42704440:-1 gene:Sspon.01G0012990-2B transcript:Sspon.01G0012990-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIGLSVPPGFTVSTEACRQYQQGGGRAMPPGLWDEVVAALRVLERAMDSGLGDPCRPLLLSRCRFHVLAALRHFPLPLGARRGPWLAAVVVLAPSPRLPHGWIGGEQSSACPWLRIFRVARPAVRRRAVDRIVNWGAF >Sspon.01G0052090-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:54587056:54587985:1 gene:Sspon.01G0052090-1C transcript:Sspon.01G0052090-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDDDCKRKLLELKAKRTYRFVVFKVEEKQKQVVVDKLGEPNLTYDDFAATLLATSAGTASTTSTSSPRRGARRARSSSSHGKSPDTAKIRSKMLYASSKERFKWELDGIQVELQATDPTEMGIDVIRGRAN >Sspon.01G0028440-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:99425008:99426389:1 gene:Sspon.01G0028440-1A transcript:Sspon.01G0028440-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CB5-A [Source:Projected from Arabidopsis thaliana (AT1G26340) UniProtKB/TrEMBL;Acc:A0A178WMK3] MPTLTKLYSMEEAALHNTPEDCWVVVDGKIYDVTKYLEDHPGGADVLLEATGKDATEEFDDAGHSKSAKELMQDYFIGELDPTPEIPEMEVFRKEQDTGFASKVMDKVVQYWAIPAAVIGISAVVAILYARRK >Sspon.05G0016730-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:68899743:68914418:1 gene:Sspon.05G0016730-2B transcript:Sspon.05G0016730-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AMTRKRRRSGKTVRRSSAAGLTALALCFTRQLQLQAPPVVVAGEPPGGPSTAAANAATNLVFSPVSVYAALALLAAGARGGTLQELLDALGGHSRDDLATFARRAAERALADRSRSGAGGGPAVAFACGVWLDAAWALLPAFRDAAAASYNAEARAVDFGNKPEKAVGEINGCSAAATNNHIDSILDPSSVDTLTTLMLCSAIYFKGRWEAPFAKAHTVVDKFHRLDGSTADVPFMCSVRSQYIAIRNGYKVLKLPYRSPAPAAPAPAPRRKGSTPPESKPGPGDGDDDPAPKYSMCVFLPDERDGLPGLVEKMASGPGFWHYRLPTSQVPVGAFRLPKFTLSASGSVRQVLRDGMGIKSAFVASEADLADMAAKRDEDDAAGMPLYVADVCHKAVLEVNEGGTVANCATASYMRCGASAVMDQPATVDFVADHPFVFFVIEEVSRAIVFVGRVLDPSISRGSEAPMNQLRLAHGELHDWKLPEDAGEHGRVCRVCAIAASHSMQKGSSEFSTIGATSKRETMLCRGSTQLNMLVDADDDHETTDACQDIDVANLGIT >Sspon.04G0036940-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4D:57098161:57098287:1 gene:Sspon.04G0036940-1D transcript:Sspon.04G0036940-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding KEWSSYEKPPFKMGPKNVSQLPSVSRGAGCYRTCTLHYCSL >Sspon.07G0014190-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:56168782:56170048:-1 gene:Sspon.07G0014190-2P transcript:Sspon.07G0014190-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDMDMAMAMAGFDEDLGQVLAAMSPGSSATSSALTAAAPAPPVVLAGSSDDDEAAAIADLRRGPWTVDEDILLVNYIAAHGEGRWNSLARSAGLKRTGKSCRLRWLNYLRPDVRRGNITAEEQLLILDLHSRWGNRWSKIAQHLPGRTDNEIKNYWRTRVQKHAKHLRCDVNSAHFRHVVRHVWMPRLRERAQADAGCGGVQAPPAAPATTTASAPPACYSYYDGGHQLQQQSAHQGVPAASEAHHHHHQYYSDPADQTAAATALSPDDASSALWSSSLTLDDGSHYTAAYIYTSAAMATPTNDQGCGPTTTADDVFAGTTWSELLATATCGPDYDSSSMIGLQLQDFGFGDFEDGLWSLDDLCLQQLC >Sspon.04G0036560-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:34144714:34145214:1 gene:Sspon.04G0036560-1D transcript:Sspon.04G0036560-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKEQLGTLLRDLDALKQHPDDFASTIDRMREQLVAMMNSAAGAAASRSKIKALCADMSAEVVDNNPYSRLMALQRMGVVENYERIRDYSVAIVVSILLT >Sspon.02G0014920-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:42639987:42645013:1 gene:Sspon.02G0014920-2C transcript:Sspon.02G0014920-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AMAMDDAVAEADLADPNPDVGDLFHHYDGLYFRGALAAAGFTAQWRSSSSPPLSSNHGPTFRAWMEAINKCRTQDCQRPDGGYSITTRHDFIPEEPHSFKGMVWQCRSCGDTLLRAINQGPPSDACCIENDDISASCGNMLCYWHSHKDDCSGTYEKTTMLKLEAPSQKKVAAGAQLLLTLPSEMSKSKGAIQESSSSILQLPWSTKATKPNTEDKHLCLGSGSNGKPQGSSSSKKADKRRRPEMVGETSVMPAAPQGKPNQNRGLVATVKQAPVSVEGYNDAKSLGRDTSKKAGKRHKPDDLQKTSVLPSAPQGTPKLKHALVATENNKLSSAERSNNAKSPITNTSKKAGEQHELQIAQKACSQPANPQKRLKQDPVAPEKKELSPLMGCSNEKLLDRSSSKKAYKQHEPEDIQETPVLSTAPGIKPMASVFVASEKQRKGKCKRKKPVKEKEYAVMSAWLNFYESDRSSGSPEPLVNKRTERRRRERERAIMLTYSRSKKIKTEPSVNSGTYASVSSHRIEMAPQDGSMQQSRPPSPCSDHAVGTTANQVVVTPATGDQSQPSAPRLDVVPFLQPADPLTSPDQSNAPEMIVISDDD >Sspon.08G0008220-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:26580937:26584942:1 gene:Sspon.08G0008220-4D transcript:Sspon.08G0008220-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGMGGLIVVEKLGIGYVGILTTLSQSNGKYKYDYATIPFLAELFKLSVSGFFLWKECHSSSPPRMTKEWRSVRLYLVPSVIYLIHNNVQFATLTYVDPSTYQIMGNLKIVTTGILFRLVLKRKLSNLQWMAIVKGCGDTPCDSLFSAPLQGYMLGILSACLSALAGVYTEYLMKKNNDSLYWQNVQLYTFGVMFNMGWLIYGDFKAGFELGPWWQRLFNGYSITTWMVVFNLGSTGLLVSWLMKYSDNIVKVYSTSMAMLLTMVLSIYLFSVKATIQLFLGIIICIISLQMYFMPVHMLVELPQTLPVTS >Sspon.08G0018290-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8B:5713005:5713205:-1 gene:Sspon.08G0018290-1B transcript:Sspon.08G0018290-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MESPCKFPYHHTPPGHVVASRAGAAGVRWAPGAPRSAMSASEWRRCLLGWSPLDVVVSSCPDRAPY >Sspon.02G0037340-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:27042630:27045070:1 gene:Sspon.02G0037340-1P transcript:Sspon.02G0037340-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTLISASLSRGHRSSPARGRGPQGDVGVDGDDVATSSVASDSDYETAQMCDLLKSKVGSLEFLQKLNGIQKPVDQNGAVEPDASWDIIKSVDLWEDGDSDDGYVLVKQEDVVDGITSFMAAYLLSLKRTEDLSPDQLQKALRKTFSAEKKKSKIRKAWDGTKVIYNVASWGATAVGVYNNRALLTVATTAFQTSCRVISKFL >Sspon.07G0015080-3D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7D:52479397:52481881:1 gene:Sspon.07G0015080-3D transcript:Sspon.07G0015080-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLYVTLLGLIFFSSLHTPSSAATAIGDTLAAGQALAAGDKLVSRNGKFALGFFQFKQILNRSRTAGESTTTTTVVSSPGWYLGIWFNKIPVFTPVWVANRERPITASELKVAQLKISRDGNLVITLNNNTNTKFTVWSSTAVNSTNSTVVSAVLMGTGNLALVPKNTSSAVPLWQSFDYPTDVGLPGAKIGRNKKTGLNRFGISKKSLIDPDFGSYSIEVDINGQMRLTGRKPPYVVYWSWPAGKLGELVQVLNGLMDSDPRTKGLLKPTFQENNEEVYFSYTLIDESASVFVPIDVFGQLQLNIWSNTTKSWETVYAQPSDFCIASAVCGPFTVCNGNSRPNDYCDCMETFSLKSPRDWELGDQTGGCVRNTPLDCISSNKSKTSSTDVFHPIPSVTLPYDARVIEGVATQSNCAEACLNDCSCTAYSYSNSKCSVWLGELRNVNQDDGNGISSQDVLYLRLAARDLQSLKEKSKRIPRPVISASIVGGLLLLVLLLLFFMIWRNKFKMSNVALHGTGGSGGIVAFRYIDLSRATKNFSQRLGGGGFGSVFKGALSDLTTIAVKRLDGARQGDKQFRAEVSSIGLIQHINLVKLIGFCCESDKRLLVYEHMVNGSLDAHLFQSNGPILNWSTRYQIAIGVARGLSYLHQSCRECIIHCDIKPENILLDASFVPKIADFGMAAFVGRDFSRVLTTFRGTAGYLAPEWLSGIPITPKVDVYSFGMVLLELISGKRNTPEIMNVIGQQWAKWSGFLRVSMSLICPRCQDYLQL >Sspon.02G0027570-3D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2D:106041089:106043897:-1 gene:Sspon.02G0027570-3D transcript:Sspon.02G0027570-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLY >Sspon.04G0014050-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:54249955:54254539:1 gene:Sspon.04G0014050-2B transcript:Sspon.04G0014050-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding EMVCIGSLLRALVLRAAASAGRRRGPRILCGRAADVRVTPRHGHGWRGFRAVTGRMMLDSSDSASAAAAEAGAGAVAGQVQPQRRAVGAVPQAHDGGYASGGWERATMEDFYDVKLTEIDGQAVSLFGVFVGHGGSRAAEYLREHLFENLLKHPDFLTDTKLATGETYQKTDTDFLESEASAFRDDGSTASTAVLVGDHLYVANVGDSRAVISKAGIAMALSEDHKPNRIDERKRIENAGGIVIWAGTWRVGGVLAMSRAFGNRLLKPYVVAEPEIQEEQVNGELECLVLASDGLWDVVENKEAVSLGKSEDAPESAARKLTEIAYSRGSADNITCIVVQFHHDKTG >Sspon.06G0025860-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:73544044:73545641:-1 gene:Sspon.06G0025860-1B transcript:Sspon.06G0025860-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ATSPGVAAASDGHGLRRRRLQQSDSAPTSACLRPSRPWVYDSTVVGGGYAGKVLTICTLAPEPPRFDAGLRLLHRSWADLLPDILGVVAGRLARAEDRARMRAVCRAWLAAARLHRPPPPPPLLVLHDFDFSSFCSDGAMTGMHRIPLPLEAVGQGDVRCVGSFEGWLVGVQPYEGLSSGDGPCFLMKAFSRDVIHLPPPSPEPDSNSVAALSNSADGHSRCFYRGLMVSRVERRVTEELPEINGTCIRRWKIAEWHGKLLLILTYFGGSECLEHIREVRVLEVDLSANPVRFTKINSLNGDCIFISPCSSRSFRVCQYDGVDEDLIYFIDGQFSAYDNCAPVFVEFVYNMRDGTVAPFAAGILEEYLQVP >Sspon.04G0012740-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:17505809:17512713:1 gene:Sspon.04G0012740-2B transcript:Sspon.04G0012740-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSRAAAEDALLLPLHLLSATLHPRFSCTSAAPLRWARSAAALLAIASLVTAICGMPDAGANVEADADADALRLEIEALRQKVARLESLLEANVKTLDSKASILEEDNTLNEAMEREIQLLLNEPDSTKNSQSKSYSAGNIKSMEDEVRQLQHEVSKINMNSYTAESLARDTEKRVEALSLEVKKIEDMTAEQWIQIRQLEQAFVLTKMMTSKVVERSRPSDTVYKWPGKEIIVKYVRSMKLDGIYLRGASYARSCFSHTYEQSRSFVQAISRCYHETSRFRKAIRRQYISDINKPDVFFLGGTVSKSSISLPYNQFKIFISSAQKFHHKVPYFVLRNIDALHFPGVFSFFWKMNGFEYEHVHPIQGRFSHADADADSAQVFIRDVMESNKYSSGLSNEPVAFILAYLVVISPMWIAWFIYSTWFSSRK >Sspon.03G0022220-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:67751670:67757087:-1 gene:Sspon.03G0022220-1A transcript:Sspon.03G0022220-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GMGYLVLSPSPSPSPPHAFQYASSSSSGATACRRRARRGGAFVVASAASPLDGGPSPAAAAADAYVLARRVVLLGASAIPFVRLREAAAAPTPVDLVTAVYGMSLSEGFVRRKWIDAPRVNHEAENCYETFKIGRNSIICRPKEDKTVETKNAPQFEGTKGIAKPENTQPEGTQAETTTPEARQRESSLQLMQEQPPENPLVGFLGTVGVAASGVLGGLYGTSLQEKKALQSIILQTESKLAENEAALSLMRENYEKRLLEQQAAQKKQSMKFQEQEVSLSGQLASATKTLTSLSEEFRKEKKLAEELRDEIQRLESSISQAGIDKDVLETKLEEKLGEIDVLQEKITLLSQEIDDKAKHIRELSASLSSKEVDYQKLTAFTNETKRSLELANSRVQQLEEELNTTKNAIASKMSSIDSLNAKLETLNSEKDEADIKINELIQEYTDLKAASETRANHDSKLLSERDDEIKQLEEKLSVALTDSSKYQETIVELNKELDATKMVLENELKAMETLKDSIRSSEEALKTSRSEVSKLSKELEEANELNEDLVSQISKLQEESNEMQVDLTNKLGEAESLSKALSEDLASVKEMVQKGQELLEATSNELASIVEARDNLKKELLDVYKNLESTTHELVEERKIVTTLNRELEVLAKQLQVDSEARKALEADLDEATKSLDEMNNSALLLSKELESTHSRNDTLETEKEMLSKALTEQAKITTEAKENTEDAQNLITRLQTEKESFELRSRHLEEELALAKGEILRLRRQISTNSSQKPRAPPRPRGPPETNETLKEQPVNDRNQKSSGVVAGTPQPVKRTVRRRKGG >Sspon.02G0021030-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2A:68661227:68662082:1 gene:Sspon.02G0021030-1A transcript:Sspon.02G0021030-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPTGESQPPQPADRAVDLLGDLPTFVLDKILAGLPAPDVVRTSVLSPPWRRRWESVPGLDIQLHDVRDEGGAWGSAAGFLERCAGPVGRVSIRGVPLSVYHRADDWVRAVAGKSPRSLSLALPLATPLPSLFRCDPAALAKLELRCCAIPGPPDGFAGFQRLTKLDLDDVVFTGGNAWAQLEAMISAAAPTLVDLRLQNIAFSVADGGFVPGRWVILAPNLRRLVLCLRIAGAGHWELGPLPNLESARIFLNDSAENRDYVQMFTAISNVRELHIGNFDTATQV >Sspon.03G0019990-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3A:62056803:62057564:1 gene:Sspon.03G0019990-1A transcript:Sspon.03G0019990-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSSLLLFLVSSSVWVALPLPLMILRAVAADGPEREHCPPVLCGNVTVSFPFGLIPDGADQTHCGDSGIGFKVRCYDNSSLHLEYSRTDISMLILSIFYDNRSLHIAPRRLWHPYFNRSSQESCRIPAPDTFPVLLPPLFVSPVNQNLIFYNCTKPPPQGAGLVETVCHSNTFVRAVDGRSDESGSYFFKGCTATKVPVLGVPGKVNITNYEQLIRDGFLATWQPPLPLPPPGGNFALGTNIISNLSIFLST >Sspon.07G0033120-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7C:57229681:57232738:-1 gene:Sspon.07G0033120-1C transcript:Sspon.07G0033120-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding STAPSRLGDCWRRWQEKVIVLGHLRLIAIHPLPSSHLGPYSRADTDATPADPDDNASPSTAGRERGGQRAAEPTSHASGRRIQPQQCVNGRGSSRGTDRATPTRCAGRPRLVTALREAAGNVCTMISPQLSNLEGLMTLDDDIDGAARNQEIEGGDQPGCLCDSTNHGGEGQRWGKPLPVLPPPGEHQAVAESPASPGAIRLRHEERGSSSSSRSTPLGRLEMARTVGGGVVAVEACRRCRRPGRPFSSLAASGMEGEGEGERGRVAGAGGAGVVRWWRPGCARGAVAASRGFVSTSLTRQEKEREKRNGPGRPKPKSAYHASPPGATTLSRRSRWRDKAGPTLRHTSYGQRQTPPWLGFSILINEIRCKCATAAGWGRGPGAAAATVPPCAAIRRSSAPRRLPRCSRWTLSSWEPGGRKVLSWRGATAAGRGRGPSAAAMTVPPCCPRVRSSRGARGCRGEHAHRPRFTPHIITASLLQRYVLRQCASLKLN >Sspon.06G0001500-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6B:11818538:11818819:-1 gene:Sspon.06G0001500-2B transcript:Sspon.06G0001500-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRLGVEGLIQELCKGFQLLMEPRAGKITFRSLKQNAARLGLGQLRDDELLEMMKEGDMDGDGALDQMEFCILMVRLSPELMEEEAHRMFQH >Sspon.07G0008090-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:21982712:21983449:1 gene:Sspon.07G0008090-1A transcript:Sspon.07G0008090-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SWVMYHHFYSRLACAAPAVVVSVELPLAPERRLPAHIDTGVAALRRLRSITLSEDGALADKAAKLLRETADVSRVFLVGDSSGANISHFAAARVGQDGAGIWAPLCVAGCVLIQPGFMRATRSRSELEVGDSVFFTLDMLDKCNAMALPVGATKEHPFTCPMGPQAPPLESVPLPPMLVAVAENDLVHDTNLEYCDALRAAGKEVEVLLNRGMGHAFYLNKFAVDMDPSTGERTQELIDAIVSFIA >Sspon.07G0027720-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:61289440:61294489:-1 gene:Sspon.07G0027720-1B transcript:Sspon.07G0027720-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ISAALAEIEAADVSDYELGTVALPKDLLNLYNATHMRTHGEVILEEAILFGQRRLETMIPYMEGSLAHEIKSALEIPLPRRVRIYESKYYISTYEKDATVHEKVLLLAKLNSNIMQRHHQHEPISLQGEDIQIESRLPFARDRVVECYLWMLGVYYEPCYSRGRIILTMIMAIAVLFDDIYDSYATPEECELFTRCIRSWDSKGAHDLPECMKFALEKILDSYETIENLLLPEEKYRMPYLRYFEYKTEDLVRCFNMEVKMLQEGYIPNSVEEHLKVSIRTVGSPIFTCASFVGMHDIATEDCFHWVSSEPKMVQALSVILRLADDLQSYEREQLIPHVASTINSYMKEHNVSIEVAREQIHVLKEESWKDFNSEWLNPDNTYPKQLLDRIFNLTRIVEFMYNQEDKFTNCHYLKDTIHSL >Sspon.08G0011200-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:20756189:20761686:1 gene:Sspon.08G0011200-1P transcript:Sspon.08G0011200-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKKTNGVAKATATSANAADRPSKANPSTPGSVKGTKFKKLKVKAKANREKPVVTAAAVGEVALEGASTGDGDASASAVLPQPSNVAEASPVVQTQPSNVAAEASPVVQTQPSNVADASPVVQTPKSTTFAEASAVAQTQKPATDAEGSVPAPMPATAEASASSPKPKPKPKPAHANADAAAAISASKGKGEGKGADNSGGDGRMKSRRRSRSGKGKEVVEDGGSKGKEKGKKSVGKKEERGDRKVAGFIFMCNAKTKKECYQNRLFGMPSGKIEMVKKIRPGVKLFLYDFDLKLLYGVYKAASHGGLNLVHEAFNGKFPAQVKFKIEKDCRPLPESSLKQAIKENYSARSKFDPELTARQVQRLLLLFKPVSAPQSVPNNHLEERRHYEERRKPYHHFEERLPIEEARQQRFDEERRPAVRHVPLEDPYRAPRFAPVQGDHHRYYQPPALAPEPRHIPLVLEPRYVPLALDHHHGPTVPELRHVPAAYYRTLAPSGDSYYRSVENLVPERYADRTVTDVTTRDPIIRDHTALPGEAYARADRLDDLYQTRGAHVEELYRPGEIAAHADRVGITTRADRVEELYHSDRLVNRAVDPLHSAYLTAGYETNPAYAETSIRPVSARVSGPGAPVSSHYSFTGGPVYH >Sspon.04G0008670-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:26220639:26221789:-1 gene:Sspon.04G0008670-4D transcript:Sspon.04G0008670-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to Chitinase (EC 3.2.1.14) A [Source: Projected from Oryza sativa (Os02g0605900)] MAQKLVAPPTALVAAVLALVLWATTAAAQNCGCASDQCCSKYGYCGTGEDYCGAGCQSGPCDVPATNNVSVASIVTPAFFDALLAQAAASCEANGFYTRDAFLAAAGYYPSFGRTGTVDDSKREIAAFFGNANHETIKFCYINEIDGPSKNYCDPNNTQWPCQAGKGYYGRGPLQISWNYNYGPAGQSIGFDGLGDPDAVARSALVAFRSALWYWMNNVHGVFVSGQGFGATIRAINGALECDGKNPDSVNNRVAYYKQFCQDFGVDPGSDLTC >Sspon.07G0013490-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:54269214:54274229:1 gene:Sspon.07G0013490-2P transcript:Sspon.07G0013490-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPYHQGQPMQMVVPGAHQGHALPAAYQAFAMPTRPLSSMCKILTLTQFNLALGSLSNVQGKRNSSRSDIKELDESLLAEFTGQQTLAINMGTQPYMPDDKLSISELVLNEPQHYLPEKELVIPDPLLHVVQSHGFALTIDQNGRVLFAGNGDEMIDLLSVFLEFNMSKRETGGCKAAFLVPYFERKRRSRANSQVSNSKLASTAADVSKSTDVSSKSLSKKKRKVKNIKERDLYQRNYTHASEAILSILLDKDKSSSTILSLKKAGPEITELLTQCSIGIAGTGLAILLSVMCKMATGMRTPFASARLLSTSVGFGLFWLSWAVNGLRDTIASIFRSPSNMNLEDDEVAVKIERSVNEILFRALTLLAITALKFA >Sspon.08G0018490-3D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8D:7341538:7342901:-1 gene:Sspon.08G0018490-3D transcript:Sspon.08G0018490-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAILWWTALKRSLSCKSQGSCDVIKRDDDSRGGSAREFKHKKSFLLQPSSSLPRSGCSRSVSNLRDVIHSARFQAPPAAVRGKCDSPMSVESCGVLNAVTHDVLLATGSGRDLRDGAGAAPGLAAWDIGAVPPFAHSPLLMRSATGARLSQRKSPLRLGAGAFGDAGSPLPAWASCNEVAVRCNRCGGLFLNDNALESHHLVYHAGKRQHRVLRSMHISLIRNSKSLCCISCLMAPIRFFRAVTEVADGETASKVVELIYSVGWPNLEAALDRIERVVKGRAAKLPNNNKHPRCVADGNGLLQFHGTTVSCSLGAGGSHSVCTSSLCNVCRIIRHGFSRNGGVGVFTTSTSKHALDCLQETGGGGGTGEDGPDCGVKHALFVCRVIAGRIHRPLEKLRQDVAVEPGFDSVAGQVGDDSSIEELYLLNPSALLPCFV >Sspon.02G0017260-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2A:49316399:49316689:1 gene:Sspon.02G0017260-1A transcript:Sspon.02G0017260-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEARQCAEFTNGAELAALVEKGVAGPCTGEARGEREAWWRGRKTGLSCSSAEEILASGARRCDEEGGAVEREARWRAPSPRQHNRAWSRRAVVALR >Sspon.08G0012670-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:54096149:54100723:1 gene:Sspon.08G0012670-1A transcript:Sspon.08G0012670-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFGSGRVEDEMALTRQRTVRFHDERAKATIPIHHKQHGLAASRLGLGSSGKNKVFVAGDDLWYNKIIDPSSDFILTWIYVFHVSCFIALLMDPLYFYVPEIDYRQTTHCVRKDRRLAIIVTVFRSIADLFYVIQMIIKFRTAYLNPSSNLGVFGRGDLITDPKEIAKQYLRSDFAVDLVASLPLPQIIVWSVIPAIKYSSSEHGNDMLLLVALFQYILRLYLIFSLNDKIVKITGAFAKTAWQGAAYNLLLYMIASHVLGALWYFLSVDRQIACWKSFCNENDCHSRYLYCDVKPDSSWNGTLVFSSCDAKNTNKFDFGMFQPLLSNKTPNESFLKKYIYCLWWGLQNLSCYGQTLNVSTFIGETLYAILLAVVGLVLFAHLIGKVQTYLQSITARVEEWRLKQRDTEEWMRHRQLPHELRERVRRFVHYKWLATRGVDEESILNALPTDLCRDIKRHLCLDLVRRVPLFSQMDDQLLDAICERLVSSLSTEGTYIVREGDPVTEMLFIIRGKLESSTTDGGRTGFFNSITLKPGDFCGEELLGWALVPKPTVNLPLSTRTVKAIVEVEAFALQADDLRFVASQFRRLHSRKLQHTFRYYSHHWRTWAACFIQHAWRRQKRRKMAKDLSMRESFSSMRSYEGDNSPEQNLTLRRGASIIRELPKFRKPSEPDFSAEHDD >Sspon.01G0007010-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:16788714:16791578:-1 gene:Sspon.01G0007010-2C transcript:Sspon.01G0007010-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSDEYKNAVMQAKEHIMAGDIFQIVLSQRFERRTYANPFEVYRALRIVNPSPYMAYVQGKIINRPLAGTVRRGKTEKEDQMQEQQLLSDEKQCAEHIMLVDLGRNDVGKVSKPGSVKVEKLMNIERYSHVMHISSTVSGQLDDHLQSWDALRAALPVGTVSGAPKVKAMELIDKLEVTRRGPYSGGLGGISFDGDMQIALSLRTMVFSTAPSHNTMYSYKDADRRREWVAHLQAGAGIVADSSPDDEQRECENKAAALARAIDLAESAFV >Sspon.02G0045170-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2B:108246809:108249424:1 gene:Sspon.02G0045170-1B transcript:Sspon.02G0045170-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKGKIDHLLNAFHAELIACLQGIQTAVDLGIGRLIVETDAKMVIQAITPEAFDNTARRAFLHLARSLHPPTVVGQYHKYASDDHRFVPSSSTTPAPIDTSHFPVDGLLVKNSCWHVADCHGGLVLLSMRFGRCAPSVPNFIVCDPLTGQHRRIHHLPLPNMQVYGEGVRDAFILSSDDGNISISNFRVLYQWFNSVIADASEPQVCVFSTANGGDWRFLRHQSADEDLFPHCLGVLQGRSAHLPGTVVHGAGLGPTSPLRTSIVHVRGEELELFRQVHGRVEWVLEHSITSLSEATHGLSVCLEKCMKMEWRTVVDVVAKGTSLAVLSTYSRSGDYDNVWFFSIDINTLELRVLSEEPYRCDT >Sspon.02G0027870-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:100341974:100344514:1 gene:Sspon.02G0027870-2B transcript:Sspon.02G0027870-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RPMDVSDRSVRLTIEAQPSDPPAGAPGFMAATTPFEPQLSDSPPRPSVHAADDDDNEASCSARRGRPDPDDAAAGPERRLTLLALRLAVLEKAASGLGALGFIWATVVLLGGFAITLERVDFWCVTVILLVEGARIFSRSHELEWQHQATWSLSLAAAGRSSVRLVARSFRFVFRKGGCGTSGKAAGGGGAPAAASCSSHVGRSGCGWGWTWTTWPWSWSFLSSHVGRVFYWLQLASATACVALSGVRLARQDFGEAVDARTNRRSALDIFYGLALAEALLFLAEKAAWEWEVSHGRLLERVAAECRLAGAPGLLAIRRFFYDAYSRCVEGSIFDGLRMDLVSFAEELIVEGSHDEQRIGVGILVNVAASPRQGDAALRRVGTSPAVVERLVEMLSWTGPAERGARASAALVVSKLASKKRNALRVAGVPGAIESVSSLLYAADEECNLLGLLIIKKLARDHDNCSKIGTARGLLDKIIDFSTIGVVGGASSPPTATFFMAPARAKAVQRSLQVIKMLAETTGSTGKQLRREVAEIVFTVSNIRVVLRHAPAAHVGLRRLGAEVLTRLAMDADARERIGGTGGVVALLLDMFLRAGGFSDEAAAADAARVEAGEALAMLALESPRNCERILRAGGGRSSSATTSTTTVDSLVDALGDAAIGVGAGRILTNLCAYTGGSCEWFPHLRRATRGAATVLRDVATVNESKPLEVSLGLAAQLVRLMGPHELAHHLVSAGVTEAGLVSRLVSVLATYACPSIKAPRIRRFTVELVVALLRTPPARERWLIAEAMAAAGMGAELRRVAETTSELECFHVFSGSAGLSRHAVGLAALVDTALELMGTVAEAEPH >Sspon.07G0001010-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7A:2122367:2127312:-1 gene:Sspon.07G0001010-1A transcript:Sspon.07G0001010-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDIQSQCCSPDEVVFGPDFNQSLYCHLLCGLIYSDEVHQVFSPFAHSLVHAFQTFEEVWEDLCADIRGGVLSERVTVPSIREAVTKILKPNPELADLIYEKCMGLSNWYGVIPVLWPNAKYVYGIMTGSMEPYLKKLRHYAGHLPLISADYGASEGWVGANINPTLPPEQVTYAVLPQTGYFEFIRLEKPEGEETENSASIHYIESEPVGLTEVEVGKIYEVVITTFGGLYRYRLGDIVKVAGFHNSTPELRFICRRSLVLSINIDKNTEKDLQLAVEEAAKLLDAEKLEIVDFTSFVEKSSDPGRYVIFWELSSDASEDVLRSCANCLDLAFVDAGYMGSRKIRAIGPLELRILKKGTFKEILDHFLSLGGAVSQFKAPRFVSPLNVKVLQILSRNTTRSYFSTAYGL >Sspon.06G0030330-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:45566893:45573583:-1 gene:Sspon.06G0030330-1C transcript:Sspon.06G0030330-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding METEERERVGGGRARRARIAGRRRRRREDHQKRREGEVDEWEGEERVTAAPPPRTSPRLAVSVSSQKIPLPSLLGSLPYSQAAPHRDPAPAGQGHGHRPSRCGSPPPSDPPYPHACDAAAEEATSRRAVSEMARFFRSGNLASRVFDRQLLSPRPGAAVNTIRQFYENLVPSYTIYDIDCPDYSFRKFTDDGKYLVAFSRNHQDLIVYRPIWLTYSCNEDCDSHDLPAKSKKFDSFFKQLYSIPLASSNEYICKDFFLYMECNQFGLFATSTAQSNDSTATEGAIHGVPSIEKITFYLVRLEDGVILDEKAFCNDFINLAHSIGAYLYEDLLCIVSLRYQTVHILQIRDSGNLVEVRRIGAFCREDDELFLHSHAQRVQHLKKKFYFHFQDYVDLIIWKVQFLDRHHLFIKFGSVDGGVSRSTDQNLAFFAVYNMETTDIVSLYENSSEELYSLFEQFYDHFHANPQDSSHGKFISSHSNDVHALDQLRTIKNKASSSSQFVKKMMASLPYTCQSQSPSPYFDLSLFRYDEK >Sspon.08G0000350-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:1449497:1454247:1 gene:Sspon.08G0000350-1A transcript:Sspon.08G0000350-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKRDLGILLLAAFAVFFSLHHEGDFSFRESWYHLTDEDFPIKYEADRLPPPLVADLNGDGKPEVLLPTHDAKIQVLQPPHARHLSDDSAFHEARVMADISLLPDNVRLASGRRPIAMAVGNVDRSYRAGEVRKQVLVVVTSGWSVMCFDHNLNKLWEHNLQDDFPHAAHHREVAISITNYTLKHGDAGLVIVGGRMEMQHHSADLFDEFMIPEHNTNDHRRSANEKQGSEAGNADLRHFALYAFAGRSGNLRWSRKNENIQSQPSDASVMLPQHNYKLDVHALNSHQPGQTQRMQYIPTITNHTQVWWAPNVIVAHEKEGIEAVHLASGRTICKLHLTEGGLHADINGDGVLDHVQVVGGNGIKEQTVVSGSMEVLKPCWAVATSGVPVREQLFNVSICHYNHFNMFHHGDFSRSFGRTFDTTGLEVATPILVQTDDGHKHRRGSHGDIIFLTSRGEVTSYSPGLLGHDAVWRWQVSSGATWSNLPSPSGMMENIVVPTLKAFSLRAYDPKEVIIAGGDQEAVVLSPSGSILAMIELPAPPTHALLLEDFSGDGLTDIILVTSGGIYGFVQTRQPGALFFSTLVGCLIVMIGVIFVSLHLNSSNGGKPRASSAEYR >Sspon.01G0015370-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:44549024:44554448:1 gene:Sspon.01G0015370-1A transcript:Sspon.01G0015370-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase family 2 (GT2) protein, Root hair morphogenesi [Source: Projected from Oryza sativa (Os10g0578200)] MCRLRLAVHILFLPSRLLVLIRLVALAFFLMWRIKHQNEDAIWLWGMSIVCELWFAFSWVLDQLPKLCPINRATDLSVLKEKFEMPTPNNPTGKSDLPGIDIFVSTADPEKEPVLVTANTILSILAADYPVEKLACYLSDDGGALLTFEAMAEAAALPIFGKHDIEPRNPDSYFNLKRDPFKNKVKPDFVKDRRRIKREYDEFKVRVNGLPDAIRRRSDAYHAREEIQAMNLQREKMKGGGDEPFEPVKIPKATWMADGTHWPGTWLQPSQDHARGDHAGIIQVMLKPPSDMPMYGNINEKTPLDFAGVDTRLPMLVYVSREKRPGYDHNKKAGAMNALVRASAIMSNGPFILNLDCDHYIYNSKALREGMCFMMDRGGDRLCYVQFPQRFEGIDPSDRYANHNTVFFDVNMRALDGLQGPVYVGTGCLFRRIALYGFDPPRSKDHSPGFCSCCLPRRRKASASNANPEETMALRMGDFDGDSMNLATFPKKFGNSSFLIDSIPVAEFQGRPLADHPSVKNGRPPGALTIPREMLDASIVAEAISVISCWYEEKTEWGIRVGWIYGSVTEDVVTGYRMHNRGWKSVYCVTQRDAFRGTAPINLTDRLHQVLRWATGSVEIFFSRNNALFASSKMKVLQRIAYLNVGIYPFTSIFLIVYCFLPALSLFSGQFIVQTLNVTFLTYLLIITITLCLLAMLEIKWSGIALEEWWRNEQFWLIGGTSAHLAAVLQGLLKVIAGIEISFTLTSKQVGDDVEDEFAELYIVKWTSLMIPPLTIIMINLVAIAVGFSRTIYSTIPQWSKLLGGSSHSFESCALKKLFSESREISKAYLHILSDQVKGHVAKSFQVYEPLNLDCKSTERSNIYSTKPLYFLHKIPGPTKSTKHHIVCTSVGC >Sspon.03G0013820-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:89601665:89603022:-1 gene:Sspon.03G0013820-2B transcript:Sspon.03G0013820-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQEQEHEKQQAKTSTTSSLPSSSERSSSSAPNNLREGGVESDEEIRRVPEMGGASASASSGAGADKEDGKQGGQLAAATGAQPPAAAGKKRGRTAGDKEQNRLKRLLRNRVSAQQARERKKAYLTELEAKAKDLELRNAELEQRVSTLQNENNTLRQILKNTTAHASKRSGGGGGKGGDGGKKHHFAKS >Sspon.02G0009210-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:51462213:51463915:1 gene:Sspon.02G0009210-4D transcript:Sspon.02G0009210-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PIN domain-like family protein [Source:Projected from Arabidopsis thaliana (AT2G34570) UniProtKB/TrEMBL;Acc:Q8L8C2] MRVKKRSKNRKAVKFYATCFGFREPYKVLIDGTFVHHLLTQRLLPADEALRDLLSASRTPALFTSKCINAELRRLGKSHAESFDNAQLLTTTKCEHDKVVSAVNCVMSLIGDKNPEHFFVATQDPSLREKLREIPGVPVIYGLKNSLFIEQPSVQQRKFAQLDEEKRLNMDISEYKKLLKAASEGKTAASENGSDGEQHERPISSLVKNALGVTDKSKFKRNKAKGPNPLSCKKKKPKLPTAIQNQVFF >Sspon.08G0003770-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:10744956:10747363:1 gene:Sspon.08G0003770-1A transcript:Sspon.08G0003770-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFDGRVPPQIGNLSGLMYLNLESSLSHSYENAVHSDDISWIADLRLLRFLDMSGVNLTTSNWVRVMTLLPNLKVLKLSYCGLSLPREPNGSSNLSSLETLDLTFNSLETLNPAYWFWDARTMKELDLAFNDITGPFPVAIGNMTSLEMLSLGGNHLSLSGGKKYELFGGLCNLRFLNLWSNEINQDMAEFMNRLPACTKSTLQSLDLSATNLSGRIPNWIGEWRNLSDLLLSDNRLLGSIPLEIGMLAKLKRLYLDNNHLNGSISIDHLANLENLEYLDLSYNSVHISSNWSPTFSLQYASFARSKIGPQFPRWLKGQSSVTYLDISDASIADHLPDWFSIHLQVLDLSENLLVGQLPLCSKRKDVKRNLKPITESALTQLSVLILYRNNLSGNFPELLQHSPQLTVLDLAHNTFAGELPAWISDKLQDLSYLLLRYNMFSGSIPLQLTELGNLQFLDLANNRISGTIPHVYYDDNLPIVMKGQELGYTSTVRYMVGLDLSCNNLVGDIPDELTLLIGLINLNISHNQLSGKIPEKIGLLRELESLDLSFNEFFGEIPWSISEITALIHLNLSYNNLSGRIPLGNQLQALDDPASMYIGNNYLCGPPLSTICSGPDATEDYPTENMPKKRDFYLGLAVGYVMGLWMTWRSAYFRTFDKLQDS >Sspon.02G0018610-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:61658787:61665162:-1 gene:Sspon.02G0018610-2B transcript:Sspon.02G0018610-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLACGLPLLECVYCLACARWAWKRCLHSGEVDSATWGLASAADFEPVPRMCRLVMANYEPDLSPSAPLLFAPPGPGGYGIDLTCVLRRRTYADTRGRVTPYLLYLDHAHADIVLALRGLNLAKESDYALLLDNRLGKRRFDGGYVHNGLLRAAAWVLDAECDILKELLERYPDYTLTFTGHSLGAGIAAMLTMVVVLNLDKLGNVDRTRTRCYAMAPARCMSLNLAVRYADVINSVVLQDDFLPRTATPLEDIFKSILWCGRYPPVVKTAVPVDGRFEHIVLSCNATADHAIIWIEREAQKALDLMLEEEDTLAVPSEQRMERNETLQKEHVEEHRAALRRAVTLSVPDARSPSPYGTFDDVGQHHRQPERSESFPPAGPRQRMSWNDLIERVFDKDEDGQIVLRSSVLL >Sspon.06G0006040-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:19056532:19058246:-1 gene:Sspon.06G0006040-4D transcript:Sspon.06G0006040-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAPAPLSLSASTLPARLRAGAVPAGTRWRQPRRGRMVVRAKIREIFMPALSSTMTEGKIVSWSAGEGDRVSKGDAVVVVESDKADMDVETFHDGIVAAVLVQAGESAPVGAPIALLAESEEEVPLALAKAQELSNGQPQQAPPAPTEDAAAAPPPPPAPAAASAAVAAGTKGVASPHAKKLAKQHRVDLAKVTGTGPYGRITPADIEAAAGIQPKSKPAPAAAAPPPVAAPSVGAVPQAAVLPPVPGATVVPFTTMQAAVSKNMVESLAVPAFRVGYPIVTDKLDELYEKVKPKGVTMTVLLAKAAAMALAQHPVVNASCRDGKSFTYNSNINIAVAVAIDGGLITPVLQDADKLDIYLLSQNWKDLVKKARAKQLQPNEYSSGTFTLSNLGMFGVDRFDAILPPGQGAIMAVGASKPTVVADKDGFFSVKSKMLVSYAPVFKTSVL >Sspon.01G0036610-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:19244024:19245479:-1 gene:Sspon.01G0036610-1B transcript:Sspon.01G0036610-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MCTVTVIFGSHLFTASQSFIGVNYGTIADNLPPPASTASLLMSTSIGKLRLYEPQPDLVAALAGSNISILLGIPNGAVPDLASSPAAAASWVAANIPTTVPVSAISVGNELLNSGDPTLAPQLLPAMQNLLAALPAGSTTKACTPHVGNYVQISTVHSMAVLSASDPPSSGAFHPDLAGSLDPVLDFLHQNGAPFMINPYPYFAYASDTRPETLAFCLFQPNAGRVDAVSGLTYTNMFDAQLDAIRAALDAKGYSDVEIVIAETGWPYKGDADEAGATVDNAKAYNTNLVAHLKYQVGTPRTPGKSVDTYIFALYDEDLKGGPESERSFGLYKTDLTANYDVGLAKSSSTAAPTSLTPVPAQGTPQPSKGTMPTGFCETTAAVPGSTQGQQLPQSSSCYIPGGAVSRRADAGTWQLVLFGVLLCLVKLAGN >Sspon.06G0016510-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:89650759:89653744:1 gene:Sspon.06G0016510-1A transcript:Sspon.06G0016510-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MENPPPAPPQQQHFLFVTNPIQGHINPTRRLAGHVMASNPDARITFCTAVSGHRRIFPTLASPDEEFVDAAGVLHAPYSDGFDDGFNPAVHDAGTYRARASAAGRETLSAVVARLAARGRPVTCMVYTFLVPWVPDVARAHGVPAALFWIQPAAVFAVYYHYFHGHDVAIAACANGLDPDATVRLPGMPPLKPDALPSVVSITSPEHRHHVVLDMVRELFLSLDEHKPRVLVNTLDALEPDALRAVPQFEVDAVGPVVPDDVSPSSCADLLHCHDEKPYMEWLETKPARSVVYVSFGSILPMSKRQEEEMRKGLEATGRPYLWVARKAGDGGASAGDGDGDGAQGVVVDWCDQVRVLSHPAVGCFVTHCGWNSTLESVTRGVPMVAVPQWTDQPTVAWLVDACMGAGERARVDGEGMVERGEVQRCVEMVMGDGEAAAAIRAQSDRGGPRRDVGEESPSVRVGYGWERCLIDRPRFMTPPTEFRRTAEAHVTLCSIGGVDRGPV >Sspon.05G0004340-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:16388626:16392027:1 gene:Sspon.05G0004340-3D transcript:Sspon.05G0004340-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPSAALSVSGGAIFGTLGYVFAPQISRTLDSLLDENGQDSESDETGLQRVPRRQGQYYDEGLEKTRQTLGDKISQLNLAIDKAATRLKRVTGNGENEALKDKTEIGISSLSDNEHVVEDLNEHGFVQGEDASWFRMSIALQLRVVSCVVPYLARNEIVGAESGGC >Sspon.07G0015670-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:53581288:53583969:1 gene:Sspon.07G0015670-4D transcript:Sspon.07G0015670-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAADRVLHLLRGPTGSPAAARAARRGLPQTRRLHFRQAPAGTRRASVSCRSSSTSSSGGEGRRLTYKDAGVDVDAGNELVRRIARMTPGIGGFSGLFALGDDYLAASADGVGTKLKLAFETGIHDTVGIDLTAEMPGFYAEGEYDLSGFAVGIVEKDKVIDGNNIVKGDVLIGLPSSGVHSNGFSLVRRVLEKSGLSLSDQLPRNDGITTTVGEALMAPTVIYVKQVLEIISKGGVKGLAHITGGGFTENIPRVFPSGLGAKIFIGSWEVPPVFSWLQQAGNIDDAEMRRTFNMGIGMVLVVGRESADRIIEDTRGANPAYRIGEVIQGEGVQYVRV >Sspon.06G0026420-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:79070957:79072666:-1 gene:Sspon.06G0026420-1B transcript:Sspon.06G0026420-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADETAAPLLHSSATLIADAPRRNRFAFVCATLASMTTILMGYNLALMSGAQLFIRQDLGLSDVQIEVLTGSMNLFMLVSILGAGWAADLLGRRGTLVLANAFLMAGALAMSAGGSYAALVAARFVTSVGVGFARVVAPVYNAEISPASTRGVLSSLLDMFINVGILLSYVSNYAFAGLPVHIGWRVMFAVGALPPVFLAAGVLAMPESPRWLMMRGRYGEARTVLVRTSDTAAEADLRLQEIKQAVEAPQAAGSSGGGGVWKEMLLRPTKSVRRILVCVIGVLFFQQATGIDAIVLYSPLVFQKSGMSSNSAILGATIAVGVVKTCFILVATLFTDRIGRRPLLLASAAGIAVTLTCLALTLCVGATSTASTAACVASVLAFVAAFSVGFGPLAPAYSSEIIPLRLRAQGTSLGTAVNRVTCALVTMTFISLADWITMPGCFFLYAGVAAAAFVFVYLQLPETSGRSLEDMDELFAAK >Sspon.04G0035190-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4D:4295145:4295862:-1 gene:Sspon.04G0035190-1D transcript:Sspon.04G0035190-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VGGLKLPVATSTTPPRLPPSLPLPHQRIRGSDDRRAHPSRARNRTRQDFSYLFGPAESFSRGRGTSTPTSSIASESRAGGARLAACPARCCGVGENLSPRRCRWAELLIVLGFNGPGPVSHGMIVVPRRIFCLVLYLSVRQGYGELGKRRNKTHTLCVRCGRRSFHLQKSACSSCGYPAGCGPHPQV >Sspon.02G0038600-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2B:43610621:43620300:-1 gene:Sspon.02G0038600-1B transcript:Sspon.02G0038600-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLGLPEISSMEAPIGPLDGPILKLPWKLDRVLRDGSILPKGVEDEIPLIKRDLGEIISILSNLDDHHATAMKVSCWRKEVRELSYDMEDFIDQYEHATAVPLLAGSVPRRKTIQKRKSKTSTLFRLRERLKQRLWMANKIREFSVRTQEALQRHSMYNLDVIAVSGSTYTRCADACSTSSHSTPCGKENAYVGISAAMEKLQELLMVMHDEGHRKLKVVSIVGVGGIGIQDQWDYVNKSIDYSLLTNPTSEGMKQILDLSYNNLPQNLKACILYTGLYEEDTIIWKDDLVNQWIAEGFIQPSEGRDMKEIASSLFDRLISRKLILPVDINKNGEVLSCVVHRMVLNLVIRYKSIEENFVTAIHHSQATMTLADKDSISFDLTRISELFRLRYLKLTSNVTLELGNQIRGLQSLETLTIDARVNAVPSDIVRLPGLLHLGLPAETDLPNGIGHMTLLRTFGYFDLCSNSMENVQSLSMLTNLRDLQLTCSTVLPANLENIMQLLLTLILGRLSNLKSLTLVPRASTNAKSAGEAGATGMTISNGLSSLSSAPADLQSLEISPRICIFYWLPKWIGQLQKLCILKIGLRKIDRDDVDVVRGLPALAVLSLYSQAKPEARIVVGKTGFPVIKYFKFKCCDPLLKFEEGAMPNLHRLKLSFNAYNADQQITIIPVGIRYLSNLKEVSAKIGGAGPDESHRRAIELAFRDEIRVHARCERVNIQCVKQIIGGKDDDQYILSRVEDYGTLQQYKIKDEEDSDEHVESMQKHSGQVADTDADNRSTVSELHIFLLLNKLDNQHGDITRSIPIVEVYSHDPWNLESSGTTHGGLLLFFRPLLEGRSVVPAKTPSGYWKLTGFSEYIYSHKRHAIGMKRTMEFYHDHLTSCTRTKWRIKELLCYGAAGKFWAPMMPGSEMSICQLYTDLVANPDCIPAAPALGAASGSLSHRRCCRRRRPTPPPHLPFLHSLPLTSPPCIPSPSPPFPHAGTHEHAAPLAVTGPSSEPDALAQAPCPDLAGHDRLPLVAHAWQQVLGSPSPSRRCFPWTPVALGSERCGRRHRPPTLALRCPSVTSRLPPLRQRHSIAGAADAAESNVPPPPPPAAAGSPPAPQPDDETQPAAASVEHRPKQLTQARGSPQSFTAYVLTVYPTHTVSRLADCHNIACAVMASATLLGSVGSLGLLRRWVPRGALAKPESEGGDAGVSRQVTSTWARQPAMTTTPLPVLQLLPRPRFTGVGHVRVHGAFHVGRPNVGGARCLARRAPPSTWVLACSGGCLLGSRHISGGHPFAGEDCALDSSVVVCAGATTALVGAATPGDATVVAATDVVNRTPSPPMTSAGRRLKRFTEQITKVRQLSLRRTFTARAPQEEQQIAAQSISHIPASERGEHLVLKRLGLTSGMSSPSTLALKAYDEIQSGNPGNMKSVVTSASPDTDDRYTPGETTTCPRNEEAARRGSTCSAVTASGQYSTTCVTTLESTAATFSRRTPMSRDPSIAGGGEAAGLPLSIPHAHRVISVETVRSSGSLTTRSQVVMSNPDNDGYSWTTYSQKNIPGAKYPISYYHCAHRITQGCIASKRVQRKDDADTSLWFDAIYYGQHTCDHIAHSTDNISSLARCTTTGSTVVDGSTQAQVQEPSSRQRGDALEEEIMATLGTEGATPAEQAPAMALLRQATRENQEVRRQLCTPRLLAALRPMLLSPDADVQVNAVAAVVNLSLEAENKVRIVRSGAVSPLVDVLRGGHPEARDHAAGAMYSLAVEDENRAAIGVLGAIQPLLELFAGAAAGHRARREAGMALYHVSLSGMNRSKIARAPGAVRTLLAAAEARDRGSEGEAAALRRLAVMILANLAGCPDGRAALMDGGAVAAVVRLMRNGSVAPGSAEQEYCISTLYGMSRGSMRFRGLARAAGVEAALQPVAEGNGGVGRDLARRTLRAMRGEDDDAPVTATGLLGRQWDDGSVVSEGLVSVRRPPHRSNYAGPS >Sspon.01G0012770-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:37806027:37808267:-1 gene:Sspon.01G0012770-4D transcript:Sspon.01G0012770-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKERKQGFFSALKGEVVRGLSPARSRGKSLLLPRSRKTAAAEAVAVAPPEELAQYAPDPLVAHSGSLRPAGEVLAPLMEGPDVAEDDTFAEESGRRDGFGQWVRGHLTRAPLMSGGDGSFRRSDLRLLLGVMGAPLAPVSVSAAEPLPHLSVKGAPIESSSAQYILQQYLAASGGAKVLRSVRNAYAMGKVRMVASEFETATRVVKNRGSAAAAAVEQGGFVLWRMSPDMWYVELAVGGSKVRAGCNGRLVWRHTPWLGAHAAKGPVRPLRRALQGLDPLSTAGLFAEARCVGEKKVGDEDCFILKLCADAETLRQRSEGPAEIIRHVLFGYFSQRTGLLVHVEDSHLTRIQPHAGGDAVYWETTISSFLEDYRAVDDGGVVIAHAGRSAVTLFRFGEMAMSHTKTRMEEAWTIQEAAFNVPGLSTDCFIPPADIRRGGDSVGSEPCGGGELPPRGGKGGAVHPARVAAAAADRVHPRRDDKIHWTVEM >Sspon.07G0007930-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:18251006:18254662:1 gene:Sspon.07G0007930-4D transcript:Sspon.07G0007930-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGLDRWRDFFRGAGAGICDVIENAILVAAADAPRELLHRRDRIAERLFTTLRRDAAPPSFGSAAASTTPATPVEEDKGSVRRVAEKECKVDSSSNGAHGGGHGHGDEDDDSDSDDERLRRAAASNYGHSYDDDDDEDDDQQQEDEQQHAAADDTEEGEEDHEAEELEALTNEIDEESQIVGEVIRIKELLLHKEDHSDATLFESLRRLQLMQLSVSTLKATEIGRAVNRLRKHNSQEIRHLVRTLIEGWKVLVDEWVSTTNAALAENSPGSSNPSVVDEEEEEGLPSPPLDEGAFFATQPTSIQLSEFFDEMDEDGNLRHNESSLGSKRGNNGGRLANHSAVARQEPPRSSPGVVEKVQSRRPELARQEPPMTQANPQNPQSSSLQAKPHGVLNKQSNPSSYESGPGRPLKAASQQRPFGDMKPKQTREHIAVERKPMASQMDKSRLGAQSSAGAKLELAKPKVYDDGLDNNRKLEAAKRRLQERYQEAENAKRQRTIQVMELGDIPKPKHQNRQPMVKSRNNLRNWANGRR >Sspon.01G0007140-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7B:64317268:64317584:-1 gene:Sspon.01G0007140-2B transcript:Sspon.01G0007140-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVRAESCFSMVINCRNSSALWPLENHWVTWRQIYTAGGCSMAKQKVTSIFCSSMETLNDTGFYSKSMTGLYHQENK >Sspon.03G0045700-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3D:23472434:23475673:1 gene:Sspon.03G0045700-1D transcript:Sspon.03G0045700-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASRNEVNGGWVRSGMGGNAVGGLAGLTHLDVSNNSITDVFPTALYRCASLRYIDLSENYFRGELPANIGHRLAASLTTLVLSGNEFNGTIPRSLSSLLNLRHLKLDSNRLVGTVPAGLGALTRLQTLWLAFNPFAPGELPTSFKNLTNLVSLWAADCNLVGDLPSYLVDMQELEVLDLSNNSLTGSIPPGIWSLKKLQRMTVFTNNLTGDMVVDDGFAAKSLTIIDVSENNLSGVIPEVFGHLQNLRKLHLFSNHFSGEIPASIGRLPSLWTLRLYSNRFTGTLPLELGKHSGLGYVEVDDNELTGAIPEGLCAGGQFHYLTAEHNHLNGSIPASLANCATLVTLDLDNNQLTGDVPEALWTATRLQFLALQSNQLTGSLPASMSANLTTLHIGNNQFGGNIPAAAVMLHVFTAENNQFSGAIPASLGDGMPLLQKLNLSRNQLSGAIPKSVANMRQLVSMDMSRNQLSGAIPAELGAIPVLSVLDLSSNELSGAIPPALAPVPAGVRPCAAGSQDRGSSRGVSHALRTGLLVAGGALLAAAAFALLLVRDIKKRPRVAVRDEWKITPFVQDLGFGEASILRELKEENLIGRGGSGHVYRVTYTNRLNGSAGTVAVKQIRIAGTLDEKLEREFESEAVILGNVRHNNVVRLLCCLSSNEAKLLVYDYMDNGSLDQWLHGHNVSADGLLTARATSARRAPLDWLTRLRVAVGAAQGLCYLHHECSPPIIHRDVKTSNILLDTELRAKVADFGLARMLVEVGAPKTMSAVAGSFGYMAPECAYTNKVNEKVDVYSFGVVLLELTTGKEANAGGEHGCLAEWARHHYQSGGSIPDATDKNIRCAGYSEEIVFRLGVLCTADMPSSRPTMKDVLQILLKCSEQTRQKSKMENGQEFEAAPLLLPQRRSRRKQ >Sspon.05G0023090-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:5087488:5088665:-1 gene:Sspon.05G0023090-1B transcript:Sspon.05G0023090-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIGEGLRHLLAQGRLTKLDVRETPNFFTGPEPPLAHEQELPSPSSKLQELVTDDIAGVLAAPICTLLASSLTELTLRGDKEVERFTEEQEEALQLLTSLEEIQFWVYDKLQGLPARLHRLPNFKKLAIYHCAAIRSLPKDGTARVGYMRLSSHSVCTRGLPPKFTAKHS >Sspon.04G0024730-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:25398743:25399396:-1 gene:Sspon.04G0024730-3D transcript:Sspon.04G0024730-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MACAQRVLNTMAHDEIKPTEELYAICIEACGRIGDPTQACAMFEQIRIRLRQEPGDSSIAGVVAAHMKKNQLDEALQWLLSLEKDGLELGVKTNLMLLDWLSMLQLVPEAEQLVQKIKKLGEEPIEVHVFLADMYAKSRQEEKARRSLKILEEKKKLLKSDQFERVIRGLLDGGFSEEANKYYKMMKSCGFEPSETIEVGVKASLRIRGASRHTGRH >Sspon.03G0037400-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3B:98210664:98211275:1 gene:Sspon.03G0037400-1B transcript:Sspon.03G0037400-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSATLEAANAHILPPATGTRQRVGTEGAGALMNCSLVASRLGLILVGRHDEPRSPYQKQRQVSSTYVSSRPSSGGHEDHWTTHRSRHGAAARGGWSRAERPALAGPSPPSSSTPHACAALPHRRRTIKLRRPAQTATAALSAMAPFLTLPSYICAGLGDGREKPTKRHSPARLWVSSRASTSRSGSPVGPGARPNPATHVLK >Sspon.02G0034920-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:14888778:14889988:-1 gene:Sspon.02G0034920-1B transcript:Sspon.02G0034920-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGSGGVVAGSGLPTAALLHLMDNTGGSSPPQLSPPLVNPVGAGHGGGSKPSTEGLMYILNMTLVKMVRITSPRVENATYDGEISGSSSVDPRPVITARSKYGSVSSKR >Sspon.04G0007490-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:14651163:14657102:-1 gene:Sspon.04G0007490-2B transcript:Sspon.04G0007490-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSRALALFLLCALLPALPISAALLFGGGKSAAAAKAGVDMEWRPATATWRRVRVRDAGGRGADEGAGRDGEGCGACYKVKCLDHGICSRRAVTVIVTDECPGGLCAFGRTHFDLSGAAYSRMAVAGAGGRLRDRGQLNVVYRRTACKYGGKNIAFRVNEGSTNFWLSLLANSVEWLDMRHVWGATWCLVRGPLVGPFSVRLTTLSARKTLTARDVIPRNWTPKATYTSRLNFQPSL >Sspon.07G0003310-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:7633685:7637602:-1 gene:Sspon.07G0003310-2B transcript:Sspon.07G0003310-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFMLRVRLAAFFAGAAAAAAGGGYFLYKDYKLANDSMALKVRTPPPFLIASPQILDYCARIAIGALGGGGSPAVKGLQDSTDTRYKALEKRLAALEGQRSTGAAPDVDTPSD >Sspon.04G0029750-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4C:76843849:76853193:-1 gene:Sspon.04G0029750-2C transcript:Sspon.04G0029750-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRLRIAEGRGDPWLRTTNAHAGRQVWEFDAAADPDPAVDAARQAFVDRRHQLKHSADLLMRIQFAKENPLELGLLPAIKLDEHEDVTEEAVTTALKRAISRYSTLQAHDGHWPGDYGGPMFPIPGLVLGVFEWSGNNPVPPEAWLLPYLLPFHPGRMWCHCRMVYLPMCYIYGKRFVGRITPLVLELRKELFKDPYGKIDWDKARSLCAKEDLYYPRPFIQDILWATLHKFVEPVMMHWPGRKLREKALETIMQHVHYEDENTRYICIGPVNKVLNMLACWIEDPNSEAFKLHIPRIHDYLWLAEDGMKMQGYNGSQLWDTAFTVQAIVATDLIKEFGPTLKLAHNFIKNSQVLEDSSGDLNDWYRHTSKGAWPFSIADHGWPISDCNAEGLKASLLLSKISPEIVGEPVEANRIYDAVNCLMSYMLLNPAETFGDIIIDYPYVECTSSAIQALTLFRKLYPRHRRKEVDNCISKAANFIESMQKSDGSWYGSWAVCFTYGTWFGVKGLIAAGRTFENSPAIRKACDFLLSKELSSGGWGESYLSSQDQVYTNLKGNRAHAVNTSWAMLALIDAGQAERDPSPLHRASKVLINLQSEDGEFPQQEIIGVFNKNCMISYSQYRNIFPIWALGEYRCRVLGAEAFADRIFAEGALPRTAVGKDLAESLRGFAESLGPSAKQPAQVPRLV >Sspon.06G0009730-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:51750381:51753492:1 gene:Sspon.06G0009730-1A transcript:Sspon.06G0009730-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glyoxalase I, Abiotic stress respons [Source: Projected from Oryza sativa (Os08g0191700)] MATGSEASKPAEVPAETVLDWHKQDNKRMLHAVYRVGDLDRTIKYYTECFGMKLLRKRDVPEEKYTNAFLGFGPEDTNFAVELTYIHSYSTDYGVDKYDIGTGFGHFAIANEDVYKLAENIKSKGGKITREPGPVKGGSTVIAFAQDPDGYMFELIQRAETPEPLCQVMLRVGDLERSIKFYEKALGLKLLRKKDVPDYKYTIAMLGYADEDKTTVLELTYNYGVTEYSKGNAYAQIAIGTNDVYKSAEAVELATKELGGKILRQPGPLPGINTKIASFVDPDGWKVVLVDNTDFLRELH >Sspon.05G0023930-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:5B:11326989:11328065:1 gene:Sspon.05G0023930-1B transcript:Sspon.05G0023930-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ADSCECITKILECVHKRVTQEMNEALMKPFTDEEIWIALDNIGDLKAPGADGYPAIFYKRFWHVVGDRVKQEVHNVLNGSDMPNGWNDTIIVLIPKVRNPTKLIDLRPISLCTVLYKIISKVLANRLKNFLPEIISPSQSAFVPGRLITDNVFLSYELTHYLKSRRKGKNGVAAIKLDMSKAYDRVEWTFLQGMMQKLGFHDKWIHLIMKCVTTVSYRINVNGEYTDQIFPQRGLRQGDPLSPYLFILCAEGLSAMLQSAENAGKIEGIKICRGAPRVNHLFFADDSLMLMRAKKEDAHELKHILEIYERASGQVINKDKSSIMFSPNTTDCTKGEMRKELSIVQEARSEKYLGLPISV >Sspon.04G0030760-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:4314802:4317005:1 gene:Sspon.04G0030760-2D transcript:Sspon.04G0030760-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEASLEQIKLMGTACSHTSTMNRLHSGRVPPCRSSAITLVPAFSEVSFPSFPEQRLSFVEKELPISSVTSFDTSMADTMSTHMLASNFTNESPNGQLCSGSYVTEPCDPDTPLLPSHSSLMGNSRPLVMDFPEISEQICSNQEQLLGLFDYPTSVDFSKSKNVTIFGQQVQDTITVDPNTHHTPQNEWFSSGSSMPLQKNVGSAESVLKTVDSRSATPQSYLYCHTQRSVPDRSNCDKLGADSLPSSNIAPKPRMRWTPELHERFVDAVNKLGGSEKAIPKAVQKVCLEGEATKQMMTPFRNQSKGNVEGLMAQIGMQKQLHEQLEIQRKLQLQVEEHSKYLETVIAKQNESLKKLGTLRGFRDQVRRILQDSEAPEERTHSAQQR >Sspon.01G0022110-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:80129103:80132052:1 gene:Sspon.01G0022110-3C transcript:Sspon.01G0022110-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTNILCLRFGAVHVVVVSSPEIAREVLRKNDAVLASRPDTVASGLFSFGYKGSILSPYGEQWKKMRRVLTSEILSTSMEQRLHRRRAEEADHLVRFVYSQCSGTSANANSIVDVRHVARHFCGNMIRRLVFGKRHFSVAAGAGAGGGNGGGSGPGPEEVAHVDALFTLLNYLYSFSVSDYIPAAWTWMVAGLDPDGHKKVAQSVMKTLNRLHDPIIEERIREWDGLRKRGEKLEARDFLDALVSLQDSQGRPFLSFNEIKAQTAEIMFAIVDNPSNAVEWALAEMMNQPEVMHKAMNELDTMVGKDRLVQESDIPHLNYLKACLREAFRLHPYHAFNPPHVAMEDTVVSGYLIPKGSHVLLSRVGIGRNSGVWDAPLQFRPERHLMMDGKQQSGHHVVLTEPDLRFISFSAGRRGCPGVSLGSSVTVMLFARLLQGFTWTKPPGVRTIDLKESTASLALSEPLFLQAQPRLPMHLYASI >Sspon.01G0007940-3D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1D:21459648:21460284:-1 gene:Sspon.01G0007940-3D transcript:Sspon.01G0007940-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LATPRGHQGAATPRPHETAELDAAEAAFAAEESAEQRNRFLVLRLYEALNARDARRAQELLAPDLEWWFHGPPTRQHMMRLLTGADKNRGGGGGGGGFVFSPRSVDAFGSTVIAEGADDARQLYWVHAWTVGPDGVITQLREYFNTDLTVTLLSGAAASTKKADIAGAPPEQQDADSSSSSSSSSSPSAAAGPKCLWQSRRVDSAHKSLPGL >Sspon.04G0032930-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:39221927:39223659:-1 gene:Sspon.04G0032930-1C transcript:Sspon.04G0032930-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFPCTNLMPLPLPGSAVLAARRTPSLATSSSSGGGRARGARGRAVMLPALSSRSSPSSSSGSGDSKARRTAVLATDHDHVAGIGIAEFLEGSNFLITGGTGFLAKETYPSRLLHRILAVSFTLLAAASAASAVWTAVAVDVTGTLSAFLDLDDFTRLLVSSPVLTELAGRLSLTLLAVPNGNLPGSPSAFAAASGANFVDVLRYHVLLEYLAPTAFATCPPPGSSSARDHVVPDHQPRPGRAFLIGHLMPVTTNVIYIVVQILFNDATT >Sspon.02G0046560-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:5066631:5066948:1 gene:Sspon.02G0046560-1C transcript:Sspon.02G0046560-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSGAGVWVFKNGVMQLEQPAAASRKALVYVPTNEVVRSVEALERRLGTLGWERYYENRSIVQLHKRDGGADLITIPRDFASLRSTHMYDVVVKNRDHFKVVDA >Sspon.04G0010030-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:23140289:23144815:-1 gene:Sspon.04G0010030-2B transcript:Sspon.04G0010030-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MWESESESHAGERGLVPVGGSSGRHEALKNDGFVRRDQSWYVNSDIPSDLLVKVGDVSFHLHKYPMISRSGRMSRAIYETAASTSSSEPASDTAAAAVMVDLDDIPGGADSFELAARFCYGMAVDLTAGNISGLRCAAEYLEMTEDLEEGNLIFKTEAFLSYVVLSSWRDSIVVLKTCEGLSPWAENLQIVRRCSESIAWKACANPRGVRWAYTGATGGGAGRPPRAGGGVASPRWNLGVGGGGGDSKESSPGRQAAVPPPDWWFEDVSVLRIDHFVRVVTAIKVKGMRFDLIGASITHYASKWLPGLTKDGPHGGALDEPWAQAQASPGGGLHMIIASGSGGGGGGGGRDDTAGSAPAREQRMVVESLISIIPPQRDSVSCGFLLRLLRLAIMVKAAPALVTELEKRVGMQLEQAVLADLLIPSYGRADTAYDVDLVQRLVEHFLVQEQTELLMASSSPGRAGDHQPSVLPEYYGARTAATAASAASASGGLNAKARVARLLDSYLSEVSRDRNLSLTKFQVLAESLPESARTCDDGLYRAVDSYLKAHPMLTEHERKRLCRVMDCQKLSLDACMHAAQNERLPLRVVVQVLFSEQVKISNALASSSSSAASALLANKAAADVIATPPTRRQLLDGTPQSFQEGWAAAKKDINTLKFELESMKAKYLELQHEMDALQKVVERGGAPSPAAGPKAAGGGVGGGVGGKNQSQGPSAWSSGWKKLGRLAKMSGGDGAGQDGHVPGAPGEAPRKPRRWRNSIS >Sspon.08G0002160-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:5059311:5067385:-1 gene:Sspon.08G0002160-4D transcript:Sspon.08G0002160-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MINLFKIKGQKKEEAASAAGKAPVKKQSAGELRLHKDISELNLPKTTSISFPNGKDDLMNFEIVIRPDEGYYMGGTFVFTFQVSPSYPHDPPKVKCKTKVYHPNIDLEGNVCLNILREDWKPVLNINTVIYGLNLLFTQPNDEDPLNHEAAVVLRDNPKMFEANVKRAMAGGYVGQHYFQRCA >Sspon.02G0012620-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:33521874:33530548:-1 gene:Sspon.02G0012620-1A transcript:Sspon.02G0012620-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding TDLVIYEMNVRAFTADESSRLGPAIRGSYLGVIDKIPHLLELGVNAVELLPVFEFDELELKRFPNPRDHMVNTWGYSTINFFAPMSRYASAGGGPVAASKELKQMVKAFHNAGIEVILDVVYNHTNEADDANPYVTSFRGIDNKVYYMLDLNNNAQLLNFSGCGNTLNCNHPVVKELVLDSLRHWVTEYHIDGFRFDLASVLCRGPDGSPLDAPPLIKEIAKDSVLSRCKIIAEPWDCGGLYLVGKFPNWDRWAEWNGKYRDDIRRFIKGDPGMKGVFATRVSGSADLYQVNNRKPYHSVNFVIAHDGFTLCDLVSYNSKHNDANGEGGRDGCNDNDSWNCGIEGETNDLNVLSLRSRQMKNFHVALMISQGTPMMLMGDEYGHTRYGNNNSYGHDTHINNFQWGQLEERKDGHFRFFSEMIKFRHNHPILKRDRFLNKNDVTWHENCWENQDSKFLAFTIHDHNSGGDIYLAFNAHEYFVDAVIPPPPHHKSWNRVVDTNLESPKDIVPEGVPFSGSGYRIAPYSSILLKAKP >Sspon.03G0007380-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:1027604:1032199:-1 gene:Sspon.03G0007380-2D transcript:Sspon.03G0007380-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vacuolar fusion protein MON1 homolog [Source:Projected from Arabidopsis thaliana (AT2G28390) UniProtKB/Swiss-Prot;Acc:Q9SKN1] VISLVGAQKATLHPDDILLLANFILSSESFRTSESFVPICLPRYNPMAYLHAYVHFFDEHTYVTMLTTRPDAFYDLKDSRARIQNVLLKSNALIEVQRSLHENALRVEDLPTDQSSQSASHPPESSRDMSSQSLSSEMAIGGPGGLWHFIYKSIYLDQFVSSEFPSPISSKEQQKRLYKAYQKLYVSMHDKATGPHKTQFRRDEDYVLFCWITQDFELYAAFNPLADKTQAIKTCNRVCQWVRDLENEIFVYGESALS >Sspon.05G0017010-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:66561124:66564653:1 gene:Sspon.05G0017010-4D transcript:Sspon.05G0017010-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVDRRKNLQIGSKGKEVQSKNPRREEPLASSEVDMDEPEAAEIGDMIVDGNNGSSKESPLLPMDSKNSKGCAAKKRRSVSADFVGELDLDLGNGEESGAQQERKLSRQDRVELCRSFQHAVSSHDWESAEGLVGMADAQGLNDVLCVAVDAIWFLSDKDELLAIVGLIRRIVSEGAKDFTRAALRTSFLASLHERLQESQGDEVLKAEGSAKVHRFTEWALKCIGLHSRVRENRGKGNHDTVIEVQLQLSAFKTFLDLADNELTGKDFTEAFDAACFPLTLFSTTFDQGWASGISAAAVQGLLELLVEGGADNVNQCFLEAARYGSTELVRILLQIAQRNSLDIDVDLALGFAAHYGKIETMGCLVEEGNVVGFLGPLMRAAERGCLQVVEWFVNHGCREMELCLALTAATSSSQIAVAAYLLPLVPQHVLAPLSIEIIKAAGERSTGSLHGVDFLLRSDFLNDAAATYAVADSIARCTDEAVDAKLRSFMNEHWSEAAFSAGFESAQQHFVNFMRILERGESPISLGDLPLELVIAMAYLPLYKECTNSSGRLLPQRLRGQLVEAASRLEDRQVERDSQSRELLAILEHHIPRFMTQT >Sspon.08G0015540-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:62123928:62127048:-1 gene:Sspon.08G0015540-1A transcript:Sspon.08G0015540-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding IMRRHKWRVKVLSEFRPRNPRLLGLNVGAGVEVKLRLRRAGRDHDFIPYEEVLDTMLHELCHNQHGPHDAQFYKLWDELRRQECEELVSKGITGTGQGFDGTGRRVGGFTVHPPPPSLRQATLAAAQKRARNGALLPSGPRKLGGNSEIMSALSPVQAAAMAAERRMYDDLWCGSHDQSGIDDSDDVIIIQESPNLVTRDGKNTKASCSNTSAEPSTSSGIHIAARDDRTSDAFDSSKWELACFSLTHLQPLAPICEVCGTAKPKIAKAKYMTWSALSLTNARHVINGDTHMGHLWPHMAQAMI >Sspon.02G0015440-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:40563416:40567454:-1 gene:Sspon.02G0015440-1P transcript:Sspon.02G0015440-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLYYGCFAGFPSPTPPAWTPDPKEAALTNVGRVLEARGRDFIGDAYKDPITSFPDLHKFSNENPEIIRVFQGQAYWKMVFEEMGIEFSVEPSCIWRENAEYPGGEWLPGAELNAAANCLTAKPGRSSDSPAIVWRDEGKDSEPLNFMSLEELRKKVWWSSLVANALDALELPKGSAIAIDMPMDVNAVVIYLAIVLAGYVVVSIADSFAAPAIAMRLKISEAKAIFTQDYILRDDKELPLYSRVVEAKAPMAIVIPVRGSLPIKGLRVDDLSWQDFLGKVNHTEAENYTAVKQPAYAFTNVLFSSGTTGEPKAIPWTHITPLKAAADGWCHMDIRKGDVVAWPTNLGWMMGPWLVYASLLNGASMALYNGSPNSSGFAKFVQDAKVTMLGLVPSIARTWKNTDCTAGLDWSSIRCFSSSGEASSVDDYLWLMGRAGYKPVIEYCGGTEIGGGFVTGSLLQPQALSAFSTPAMGCNLFILDSSGNPLPQDSVGIGELALDPTLFGSSTTLLNADHQEVYFNGMPEWHGKILRRHGDEFERTSDGYYRAHGRADDTMNLGGIKVSSIEIERICNRVDDAIVETAAIGVPPVGGGPEQLTIAVVLKDQSSQVEDLNQLKLAFNTALKKLNPLFKVSSVVVVPSLPRTASNKVMRRVLRKEFSQAAQAAQAKHSKI >Sspon.04G0008640-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:25105762:25107515:-1 gene:Sspon.04G0008640-1A transcript:Sspon.04G0008640-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEELAREATLADVSILVDGNGAELHGNGAELHGNGAVLKGVEVPQDLGGISPKEVPLHEGKEVILVDDNDSGQEDGGEGKVDENAPRVGLRFKTYDDALKYYKQYAEDSGFSAIILKSSYLKSGVCRRLVIGCSRAGRGRANACYLSRESTKINCPARISLKLRQDRWLHIDDAKLEHNHPLNQCQEWGSASRLKGRRNIPAEKEQGNFTEIGRLKFGEGDDEYIQKFFGNMQNKNPYFFYLVDLDNQGRLRNLFWSDARSRAANDYFGHDVVYFDTSYLTEKYDLPLVFFTGMNNHGQPVLFGTGLLSDLSADSYAWLFRAFLSCMKGLCPKAIITEHYNAILDAVQEVLPEVRHRLCLYRIMKDVAENLKEHAEFKTIKKALKKVTYGSLKIPEFETDWKKIIEEHGLGENGCLSSLYEHCQLWAPAYLRDKFWAGMSISQSGESISSYYDGFVYPKTSLKQFFSKYEMILENKYKKEWQADEESSHRSPLTVTKFYMEEQLAKAYTINMFRKFQDELKATMYCDGMPIKVDADLLLLK >Sspon.05G0001980-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:4979919:4984859:-1 gene:Sspon.05G0001980-2D transcript:Sspon.05G0001980-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GMGKTGKEIEIVFIVDMQGRSPSQLLCTVSLPLLVVQQSKGKLTPLMSLHMATDLWKQRTVCGRATTTAKVFGSAKDGKGSSTWIRTSLRLRPPTSELLAGQTRQTRRTSPGTDRTRRGTWTTPTREWPRHPASGLVGELARVVVEELVPEAFVRSEEPLAVVTGDVGAALTRLAPLPLLGLRRRCRWRHVVVVQEELPLELAFEGRGIRRSTG >Sspon.02G0014000-3P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:15910552:15919001:-1 gene:Sspon.02G0014000-3P transcript:Sspon.02G0014000-3P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MWAPSRGSSSGSGRRTWRRRIADYLADDQTDVSDNESFITAHSDEYVAASTSAAGAGGMLPAFLADQSDLVEVMLELDEESMVVRSVTPTTAALYGTPTTSLPGAGPAAQAAHTPDGPRSLSRCSSTSSRIRRKFAWLRSPSPSSSLRRPAPPPAAAASSDQQVVREAALAARERRRVQARLLNRSRSGARRALKGLRFISRTTTDADGGAALWRAVEERFNALATDGLLARDDFGDCIGMVDSKEFAVGIFDALARRRRQNLERISKDELYEFWLQISDQSFDARLQIFFDMVDTNVDGRITREEVQELWQLEALLLQRDAYMTYSRPMSSGSAAQWSQGLSAGAGAGVGGQQQPSWQRQLRRRWSPRRAAARARVAAAESWRRAWVVALWVAAMASLFAWRFVQYRRSAAFRVMGYCLPTAKGAAETLKLNMALVLLPVCRNTLTWLRSTWARFFVPFDDSIAFHKEYRLVARFFGRDKPSYRALLAGAEGVTGIVMVTLMAVSFTLATRPFRKREADRANKAAATRGARRWWPLAHLAGFNAFWYSHHLLIVVYLLLLVHGWFMFLVDKWYQRTTWMYISVPLVLYVGERTLRAFRSKAYAVKILKVCLLPGNVLTITMSKPYGFRYRSGQYIFLQCPTISPFEWHPFSITSAPGDDYISVHIQTRGDWTQELKRIFVENYFTPCVPRRAAFGELGAVEHKSPPRLLVDGPYGAPAQDFRNYDVLLLVGLGIGATPFISILRDLLNNIKLADELMGVIELHNYLTSVYEERDARTTLLSMVQALNHAKHGVDIVSGTRVRTHFARPNWKEVFTRIASKHPNSTV >Sspon.04G0021810-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4B:1511287:1514014:-1 gene:Sspon.04G0021810-1B transcript:Sspon.04G0021810-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ENVKTKHPQLHYESKLYMLLQGGTGIPHLKWFGVEGEYNVMVIDLLGPSLEDLFNYCSRKFSLKTVLMLADQMINRVEYMHQKGFLHRDIKPDNFLMGLGRKANQVYIIDYGLAKKYRDLQTHKHIPYRENKNLTGTARYASVNTHLGVEQSRRDDLESLGYVLMYFLRGSLPWQGLKAGTKKQKYDKIRYQFDYVFDWTILKYPQIGSNPRMRAGERTNGAAGPSMDKIEKTPGEASGRRNPSGSVNQSDNYAQRPRETVSMSLKEIMHSTDRSGERTGERPRTSSRTGSASRRAVASSSRPASSVEPSEQYNRTSRLFSSNSGSRPSSTQRVNPSPGESRATSLSRAAVARGSRDEPLHRSLELLSLGGGKRK >Sspon.03G0040410-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3C:28990385:28990780:1 gene:Sspon.03G0040410-1C transcript:Sspon.03G0040410-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRSGRLSVRMLLSGPRTGPTGLPTLYVSPSLSLKYVPIALTHCVLLLHALAVVVFPAAHPISRCATPLSSAATPPSPRRTPYLPAPAPALYVTCPRRAPSSRASRTQGAARVMPTPPFPTRRSWSLSRAI >Sspon.07G0000020-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:96213:99379:1 gene:Sspon.07G0000020-1A transcript:Sspon.07G0000020-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASWAAPTTSFAPAPARGPWRIKTAPLPIRTLPRRVLLNAVGESAMVAAGDTLLGLYEKERLGLSQYADDEFKEERYWETLDADLRYWTRSLRPVQWYPGHIAKTEKELKEQLRLMDVVIEIRDARIPLSTSHPKMDSWLGNRKRILVLNREDMISTEDRNAWATYFGNQGTKVVFSNGQLGMGTMKLGRMAKSIASVVNTKRKEKGLLPRPVRAGIVGYPNVGKSSLINRLLKRRMCPAAPRPGVTRELKWVRFGTDLELLDSPGILPMRISDQTSAIKLAICDDIGERSYDFADVAAILVQMIDVDSECGKTYALTVLSSSLSKKRSPSDIVLGVNVLTKFVTKLSVHLFNGDTSQAAFRILSDYRKGKFGWVALERPPT >Sspon.05G0014550-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:54310610:54311839:1 gene:Sspon.05G0014550-2B transcript:Sspon.05G0014550-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVTPNHTQTVAGWAAMNESGKVEPFVFKRRENGVDDVTIKVQYCGMCHTDLHFIQNDWGITMYPLVPGHEITGVVTRVGSNVSGFRAGDRVGVGCIAAACLDCDHCRRSEENYCDKVTLTYNGVFWDGSVTYGGYSRMLVAHKRFVVRVPDTLPLDAAAPLLCAGITVYSPMKHHGMLRSPGGSLGVVGLGGLGHVAVKFAKAFGLRVTVISTSPAKEREARDRLGADHFVVSTDQKQMQAMARSLDYVIDTVSAKHSLGPILELLKVNGKLVLVAAPDQPVELPSFPLIFGKRTVSGSMTGGMKETQEMLDLCGQHNITCDIELVSTDGINEALARLARNDVRYRFVIDIAGDSNSKL >Sspon.06G0026380-3P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:76909212:76916964:1 gene:Sspon.06G0026380-3P transcript:Sspon.06G0026380-3P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLARAPPRPCSTGGAVAGDEQSAWCPGGGVGLAQGAARCPGRPRAAAGVRIVAARPGPRTTTVVAAAAGERRMAAGSEPASAEAANGSSSAVAGISTLLTSTVGKSTNILWHDCPIGQNERQNLLNQKGCVVWITGLSGSGKSTLACALSRELHIRGHLTYILDGDNLRHGLNRDLSFKAEDRAENIRRVGEVAKLFADAGLICIASLISPYRSDRSACRSLLPKSSFIEVFLNAPLEVCEARDPKGLYKLARAGKIKGFTGIDDPYEPPSDCEIVIQCKVGDCPSPKSMADQVVSYLETNGFLHD >Sspon.02G0000840-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:3616681:3617902:1 gene:Sspon.02G0000840-2B transcript:Sspon.02G0000840-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MYHQQQLHSHNQHLSARPGLPPEKQFLLQGGGDAGLVLSTDAKPRLKWTPELHERFVEAVHQLGGPDKATPKTIMRLMGIPGLTLYHLKSHLQVHLEFSATAVWHEKIGLPSPSQTKKYRLSKNLQAQANAVNAKNALSCRTGTDNPCEGSGSPSAHLNLEPQINRSMHISEALQMQIEVQRRLHEQLEEH >Sspon.05G0037850-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:25281327:25284067:1 gene:Sspon.05G0037850-1D transcript:Sspon.05G0037850-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDEAVAAAKGKSLGAADPRLQGISDAIRVVPHFPKPGIMFNDITELLLRPGVFKDAVDMFVERYRGMGIAAVAGIEARGFIFGPAIALAIGAKFIPLRKPKKLPGDVAGEVNTNKTFIN >Sspon.01G0046860-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1B:100362484:100362783:1 gene:Sspon.01G0046860-1B transcript:Sspon.01G0046860-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLAHAVPTRVACSLSALRASAPGRTLPSATPPPLALRRPAYVPTPAHGACPRPDSRLLSRSRSIRLPLLSSMPPMSSTPATFAPLRDIELRVNEPPFV >Sspon.01G0016070-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:55700765:55705322:-1 gene:Sspon.01G0016070-4D transcript:Sspon.01G0016070-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMFWKDGGGTGGGNGRDLSGGPPCGQVRVLVVGDSGVGKSSLVHLLLKGSAVARPAQTIGCAVGVKHITYSSPGSSSNSIKGDAERNFFVELWDVSGHERYKDCRSLFYSQINGVIFVYDLSQRKTKTNLNKWAVEVAESGTFSAPLGSGGPGGLPVPYLVIANKVDIAPRDGRRVSSGNLVDVARQWVEKQGLLPSSEELPLADSFPGNSGLLTAAKEARYDKEALIKFFRMLIRRRYFSNELPAPSPWSLTPREDTILPVETVNDDDMFQRKSYSGQSYKYNGVAPLPAQRNLTPPPTLYPQQPMSSSSENYRYHRFSSSSIPDSSGGRTSRANLNI >Sspon.06G0027660-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:88011358:88012647:1 gene:Sspon.06G0027660-1B transcript:Sspon.06G0027660-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVQRMAEGVVLAAAMLLAAAMAVPLTDKDLESEASMWSLYERWRSVHTVSLDLEEKERRFETFKENARYINEFNKRKDVPYKLGLNQFSDLTLEEFTSMYTGALPEDVDANSDDDTSLASAVTSSDEQPLVTAGDVPDVWDWREHGAVTPVKNQGKCGTCVVAAGLSRWWLQWRASTRSTQTLLTLSEQEVLDCSGYGDCKGGYTYDSFLHAIDHGLAVDSLGNPPYYPPYVAEQEDCRFDPNKLPVVKIDSRKRMNYTNEAALKFRVYEQPVSVLVDASSKGFQSYTEGVFAGPCGTKLNHAMLVVGYGTTADRTDYWIVKNSTWGENGYIRMKRDVGTEEGLCGIYISPMYPIKN >Sspon.01G0035190-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1B:8790961:8791329:1 gene:Sspon.01G0035190-1B transcript:Sspon.01G0035190-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRHKPAPGPPARVPSTRRRSSLVSPRCTGETRRTCHALTWQLRRGRWSTTKDLACSSAWMRTRSTKAHRRRRRHARASTRRVRRAHCRDSRRHVWFCGGNARRRRCTSLPNLVLGSGNLKG >Sspon.02G0022980-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2C:80208582:80208980:1 gene:Sspon.02G0022980-2C transcript:Sspon.02G0022980-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGHGSHTASTVAGRAVPNVSFEGLATGTARGAVPGARLAIYKVCQVEECSDADILAGFDDAIADGVDVISFSIGSWFPSDYFSDAQVIGSFHAMRRGMLTSAAAGNAALACGHVCNVAPWMLSVAATSIDR >Sspon.03G0003610-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:9287738:9290466:1 gene:Sspon.03G0003610-1A transcript:Sspon.03G0003610-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTSSSGSIEAPAANSRPGSFSFASTSFTDMLGGSADAAGGASRYKTMTPPSLPLTPSSFFSSIPGGLNPADFLDSPALLSSSIFPSPTTNAFASQQFSWLATPGAEQGGKDEQRQSYPDFSFQTAPTTQEAVRTTTTTFQPPIPAAPLGEEAYRSQQQPWGYQQQQPAGMDAGSSQAAYGGPFQAASSDAGAMAPHVPASGGYSHQAQQQSQRRSSDDGYNWRRTTAEAGEGEREPAQLLQVHLPELPHQEEGGAVAGRPDHRDRVQGHAQPRQAAEHAQELQRRRAAAAGRRRVRALVRWDARRTPENSSASFGDDEVGVGSPRAANAGGDEFDEDEPDSKRWRKDGDGEGISMAGNRTVREPRVVVQTMSDIDILDDGYRWRKYGQKVVKGNPNPRSYYKCTTAGCPVRKHVERASHDLRAVITTYEGKHNHDVPAARGSAALYRPAPPPADNAGHYLAAAGVAQPGMAYQTGQQQYGFGGQGSFGLSGGGAPAQSSGSFAFSSGFDNPMGRT >Sspon.02G0004810-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:10255181:10255917:1 gene:Sspon.02G0004810-2P transcript:Sspon.02G0004810-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Remorin protein, Coordination of interlink between abscisic acid (ABA) and brassinosteroid (BR) signalin [Source: Projected from Oryza sativa (Os07g0569100)] MVAQQPNAKPNGNGDAPTGTSWTPWAGAGSGRPRRSSRRPTAGHRARQHPIPSPRRGPPTPGGADVALMAANGHGHGNKRRRRGVKKEEVESKIAAWQIAEVAKVNNRFKREEVVINGWEGDQVEKASAWLKKYERKLEEKRAKAMEKAQNEVAKARRKAEEKRASAEAKRGTKVARVLELANFMRAVGGRHPPSAPSSEV >Sspon.04G0020810-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4A:72942838:72946998:1 gene:Sspon.04G0020810-1A transcript:Sspon.04G0020810-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AAGAILLRHAAEQPDGGASPPATVPPSPSPIPSWALGSIPAPIYTTATLITPAAAISSPVLSAPASATLYGAPDGSLYYSRGPSATAPVAFGGSSYSGADDGAPPPGALHGAPAPPKFYKLEFSTFDGSEDPLNWLNHCEQFFRGQHTLASDRTWLASYHLRGTAQTWYYALEQDEGMPAWEHFWELCQLYGHVLRVGIRASRGGLPSCAAAAIAAGRPTTSALRPMARRRALGRVQRRRPSGALVFGDWPTERLFYLENDNYVNDDIPTEVAAAATFQQEPAAAPATQESVGEAPTTAGLPPTVSLYALAGVRTENAMLLPMTVHGHRLVALLDSGSTTNIINVDLFSRLRLASAPHPTLRVLVANDDRIPCQGVARNVALAIGTEEFSIDCFGINLGEFDLILGVEFLRTLEPILWDFEDLCMAFTRGDRRILWKGMGSPRDDIWEPVVRAVSAVPGQPLLDRLLLQFVAVFEEPHGLPPACPYDHRIHLLPGTAPVTVRPYRYPQLQKDKLERQCAAMLEQGLIRPSTSPFSASVLLVRKADNSWRFCIDYCALNAKTSKDKFPIPVVDELIDKLHGARFFTKLDLRSGYHQVRMHPDDICKTAFRTHQGHYEFLVMPFGLSNAPATFQALMNDVLCPFLRRFVLVFFDDILIYSSSWVEHLQHVGIVLNALRAYRLHLKRSKCSFGASSVAYLGHVISTEGVAMDADKIAAVASWPAPCSARALRGFLGLAGYYRNTFAWDDGADAAFLALKGALTTGPVLQMPDFDRVFTVDSDASGAGFGTVLHQGAGPLAFFSRPFAARHLKLAAYERELIGLVQVVRHWRPYLWGCPFLVRTDHYSLKYLLDQRLSTVPQHQWVSKLFGFDFSVVHRPGHLNTVADALSRRDSEAAFELADTAAVVAISGPSFTYIDDVRHATVTAPDAALLQERLRAGELPAPWREDVGLLLHGHRIFVPDVGDLRHQAIQLAHGAGHEGVQKTLHRLRSDLYIPGDHMLVQDWVHTCAPLEVPSQVWTDISMDFIEGLPKAGGKSVILTVVNRFSKYAHFIALGYPYTGASIARAFFDGIIRLHGFPSSIVSDRDPCSSTDGQSEVVNKVITMYLRCVTGDRPRAWVDWLSWAEYCYNTSFHTALRAMPFEVVYGRPPPPILPYRPGIARTETTDALLRSRDDMLAEVRQRLIQAQQLAKKYYDANHREVEFDVGAWVWLRLLHRTAQSLDPCA >Sspon.01G0019420-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:72674578:72680124:1 gene:Sspon.01G0019420-1T transcript:Sspon.01G0019420-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGRNDSGHSKRPVVLCCVMIVCLCLLFLYFSGSNGQAGTTAFEYGTKFSRSLGWGSDDGEDGSEESIFGTGDADDVKPKSFPVCDDRHSELIPCLDRNLIYQMRLKLDLNLMEHYERHCPPPEKRFNCLIPPPHGYKVPIKWPKSRDVVWKANIPHTHLAKEKSDQNWMVEAGEKIKFPGGGTHFHHGADKYISNIANMLNFKDNNINNEGMLRTVLDVGCGVASFGGYLLSSNVIAMSLAPNDVHQNQIQFALERGIPAYLGVLGTKRLPYPSRSFELAHCSRCRIDWLQRDGILLLELDRLLRPGGYFAYSSPEAYAQDEEDLRIWKEMSALVERMCWKIAEKKNQTVIWVKPLNNDCYKRRAHGTKPPLCKSGDDPDSVWGVPMEACITPYPEQMHRDGGTGLAPWPARLTTPPPRLADLYVTADTFEKDTEMWQQRVENYWSLLGPKVKPDAIRNIMDMKANFGSFAAALKEKDVWVMNVVPHDGPSTLKIIYDRGLIGSNHDWCEAFSTYPRTYDLLHAWAVFSDLDKRGCSAEDLLLEMDRILRPTGFVIVRDKSTVIEFIKKYLHALHWEAITVVDAEPSPESEENEMILIIRKKLWLPEAGSQHSST >Sspon.04G0013850-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:54806253:54818810:1 gene:Sspon.04G0013850-3C transcript:Sspon.04G0013850-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPDFSPGGGGPSFEFAFNEVNFSDRELRIEVVAGDDDAPGSSGGGPGGGGLADWARHRKRRREELLKEKESTTHMSDQTSCNEVEAEECDAYEENQEEPVAMIEESPPNVGQDGDDGQGIDSSWTVVGTPVLRVKTIYISSAILAAKSPFFFKLFSNGMKESDQRHATLRITDSEETALMELLSFMYSGKLTTTEPTLLLDILMAADKFEVVSCMRYCSQLLTSLPMTTESALLYLDLPCSISMAAAVQPLTDAAKDFLAVKYKDLTKFQDEVMNIPLAGIEAILSSNDLQVASEDTIYDFLLRWARAQYPKSEERREILSSRLLPLVRFSHMTCRKLRKVLTCTDIDHEQATKCVTEALLYKADAPHRQRALAVDTITCRKFAERAYKYRPLKVVEFDRPYPQCIAYLDLKREECSRLFPAGRIYSQAFHLAGQGFFLSAHCNMEQQSTFYCFGLFLGMQEKGSMSVTVDYEFAARTRPSGEFVSKYKGNYTFTGGKAVGYRNLFAIPWQTFMADDSLFFIDGMLHLRAELTIKQP >Sspon.03G0027580-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:6318190:6319553:1 gene:Sspon.03G0027580-1B transcript:Sspon.03G0027580-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEHGAPRGTSSGLSALSHRLMRNLSSAAGRKSRSAGNLVFSPLSMYSALSLAAAGAKGRTLSELLDALGAKSRGSLAENVRCMVERALPRPDGPPGPGVAVAHACGVWHDAATGTLKPAYRDVAAASCNAVVRAVDFLNKPEEARKRINSWVAAATNNLVDSILPRKSVHGGTSLVVTTAIYFKGIWETTFDKSLTKKGKFHLLDGTAVDAQFMRSKEDQFIAEHDEFKVLVMPYAAAATGTAGTTAVVPSAVPSPPQYSMCVLLPNARDGLLSLVDKMTYPSFLQEHMPERSVEVGDFRVPKFKISFYSGMKRQLQDLGIKALFDPARADLPDILVHDGRAPLLVLEDVFHRAVIEVNEEGTEAAASTALIFGETCAMPAPPRRPKAVDFVADHPFAFFVVEEVSGAILFAGQ >Sspon.02G0020380-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:66572603:66592159:-1 gene:Sspon.02G0020380-1A transcript:Sspon.02G0020380-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:STRS1 [Source:Projected from Arabidopsis thaliana (AT1G31970) UniProtKB/TrEMBL;Acc:A0A178W5E1] MGRNLDAEQAEAPRSSKKEKKSKKDKKRKLAAEAEEEAAAAAAAATEEAVKSSEKKKRVENGPGAAGGEAENGAEKAVAVTGKGSEDPKYAPLRSFSAADLPSPVLDCCSAFARPSPIQAHAWPFLLDGRDFIGIAATGSGKTIAFGVPALMHIRKKVGGKAGKKTVPRCLVLSPTRELAQQIADVLSEAGAPCGIKSVCLYGGTKKEPQISALKSGVDIVIGTPGRMKDLIEMGVCCLNEVSFVVLDEADRMLDMGFEPEVRAILSQTSSVRQMVMFSATWPLAVHKLAQEFMDPNPIKVVIGSEDLAANHDVMQIIEVLDDRTRDSRLLALLDKYHQAQSNRVLVFVLYKKEAARVETMLQRRGWKAVSVHGDKAQHDRTKALSLFKEGKCPLMIATDVASRGLDIPDVEVVINYSYPLTTEDYVHRIGRTGRAGKKGVAHTFFTQANKALAGELVNVLREADQVVPPALMKFGTHVKKKESKIYGSHFKEITADAPKSTKITFGDSDED >Sspon.02G0015380-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:41965690:41966452:1 gene:Sspon.02G0015380-1A transcript:Sspon.02G0015380-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNDMDAIANDLVEQESTGSIPSPLFSGTNLNKRLRSKVWDDFIPTFAEGRIVRAKCMHCHQVFGGTNGTSSLIRHLASCVPATLKRAKMQEHTSLPCTLKVTVSAGSDPKQKKLHSCHVTKRNVRA >Sspon.05G0009690-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:22754980:22758060:-1 gene:Sspon.05G0009690-2B transcript:Sspon.05G0009690-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMMVQISGTTYSVALACKIFEDRLVGKDDLRLKLMRKGVLQRSNGGAEQNGMDLREKLSRNHKKLPRYDSRGHAAESRASYDMRDEPPELRSRYSSREDVLGSRHSSVVSRVPYARSVDDLLKLDSSRKSYPSLADDGLRHRSPERILSVRSDVSPPIAYDQIRPMPPLRSAGSSRPQSFITRDGPDTSRSQPYAGKSTISVDTVQRTNGITPSSAALPKAPVMAEAPLTVTGLLNSLGLEKYLVLFQAEEVDMAALRQMGESDLKDMGVPMGPRKKILLAVGPQSKQRQR >Sspon.05G0001550-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:4928988:4930492:-1 gene:Sspon.05G0001550-1A transcript:Sspon.05G0001550-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPAGMQQSPMENPLSRSYSIGAVETHVGSGNVAVAVAPGQGAPSKTRIRWTQDLHERFVDCVNQLGGSDKATPKGILKLMNSDGLTIYHIKSHLQKYRIAKYMPASSTSEGKQEKRAAGNDVQNLDPSTGVKITEALRVQLDVQRRLYEQLEIQRNLQLKIEEQGKKLQKIFEEQMKASRTVMEPQQGAAAAERDEQEVEDDAFDDVQEQLLAAVASGDAGFQSKIS >Sspon.01G0033650-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:110978045:110986523:1 gene:Sspon.01G0033650-2D transcript:Sspon.01G0033650-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTPTPTPLLLLLLLAAARCCHGSSSSYSYQQQQQHYKMMNASSSSSQQEEEDRRPVVTAVIVFGDSIVDPGNNNDLLTLIKANHPPYGKDFFNHEATGRYSNGLIPSDLIGIKASTFHNSVLFSQPLIDLHCAAQQLGVKQLLPAYLGVDLSPEDLLTGVSFASGATGFDPLTPVVVSVISLDQQLAYFDEYRGKLVDIAGEEETARIIDGALFVVCAGTDDVANTYFTTPFRSVEYDIPSYVELLVSGAEEFLRKVSSRGARKIGFVGMPPVGCVPSQRTLGGGLARACEPKRNEAAQLYNARIQEMIAGLNAEAGFTTLVVFLDIYRILDDLMERGDRYGFSETTRGCCGTGTIEVTGLCDSRFVSVCDDVSQHVFFDSYHPTERAYRII >Sspon.02G0004930-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2A:15690803:15691106:-1 gene:Sspon.02G0004930-1A transcript:Sspon.02G0004930-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SRKSRTDGDSQAADDMLQCLIDSRYKDGRRTTETEVVGMLVSALFAGQHNSSSAGTWTGARLLTHTKHLSAAVQEQRRVVARHGDRVDYDVLQEMDTLHRC >Sspon.02G0044800-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2B:104231470:104232874:-1 gene:Sspon.02G0044800-1B transcript:Sspon.02G0044800-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AGTGKSFSSKPDDLD >Sspon.03G0035650-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:83130024:83134453:1 gene:Sspon.03G0035650-1B transcript:Sspon.03G0035650-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASQWNPLAEVEMRRHPLARWNSLAEAGVYAADGSNSLAEAEVSGDTLCADPLNPQTVWCRRARLRRSLLFMRRRVSADLWVPCVSLCFYVGSFTALEFASSPLIKVGLIRGLRCIPPVYISSPPRPFCGLRRLLLDGTGVRLGRVPCLHVSLCQRAPADGVPVGTTLRQSGKSESSDHRMALERLGLLWGKKGTTSRERGRGSAPLPLEEVESVSVTTGAVAVGGLGGEVVGGGRGGGGRSVSIRQARVSSVLPQMVISGVQLIPLLLSSSSSSSSSSSSSSSIPLQSWLRRASANRPPRRYPAARARPLLRRHCLAAPVVMCLVLAWACLHGDTKILAAAVPLPRRRPTSVGVAAGTIPVVGSRPKPQGTAAGRSSSPSSSTTGIGVGDDKRQIPSCPDALHNR >Sspon.06G0001890-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:4889339:4892768:1 gene:Sspon.06G0001890-2B transcript:Sspon.06G0001890-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVDATTKEMEALHVGQNDETKENLIKEDNAANNNHSVLAAQSSPPEDDDDEAQADGPSQNGAPEAVKKKKKKNKSKKKKDPLQQTDPPSIPVDELFPSGEFPEGEIQQYKDDNLWRTTSEEKRELERLQKPMYNSVRRAAEVHRQVRKYMRSIIKPGMLMIDLCETLENMVRKLIKENGLQAGIAFPTGCSLNWVAAHWTPNAGDKTVLQYDDVMKLDFGTHIDGYIVDCAFTVAFNPMFDPLLQATRDATNTGIKEAGIDARLGDVGSAIQEVMESYEVEINGKVFQVKSVRNLNGHSIGPYQIHAGKSVPIVKGGEQTKMEEGEFYAIETFGSTGKGFVREDLECSHYMKNFDVGHVPLRLAKAKQLLGTINNNFGTLAFCRRYLDRLGETKYLMALKNLCDNGIVQPYPPLCDVRGSYVSQFEHTILLRPTCKEVISRGDDY >Sspon.03G0013990-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3B:63282343:63287278:1 gene:Sspon.03G0013990-2B transcript:Sspon.03G0013990-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLLLPPLEHPPLPRSSLRRRLRTREPLMGVSRPMVTLSPTSRLLMATSLLSSSRGSTFPAPGWTPATTLLPVPRPSRPRHHFFPRSTPAVTPRPSPLPSPPHVPLPRRGRPGCGRPPSCGSTSAKRPMPWLARSPRRSGYSPPPWARTLGPTPLPRRVAACLTPPSSGTTRPTRSWLSCTTRRGGVQNIRLLVPVVLEPESPSYARWRDLVLLTLRRYALDDHVLVDTLVAVQTPSWLRLDSIVLSWILGTISLDLHDLVRTSLDARRAWLALEGQFLGNAEARALRLDASFRTFVQGDLSVGEFCRRMKGMADSLGDLGWPVEDRILVLNVLRGLSDRYAHLRTWITRQRPFPTFLEVRDDLVMEELTQGLQPGSTTSSSSSTALAATSPRPPAPPRSSAPPSSSLLGPPPSGPSGGGGVVALVVAVGGPRGWPGWSTPGSGTDWDTRGGTMAFVPEPVVRSHLHVALPCPRRRYSPTDGHAHRSSSCRSFTWLLPGYAVGSTLRDSTGGRRLGSGGPSSLVQHHGPDTSADNSCSVEFDSSGLTVKDLASRRPLLRCDSTGPLYTLRFPAGPSSSSPPVLSAAFVASTSSTTWHRRLGHPGRDALIQLSRSSSLPCTRAHDEQLCHACQLGRHVRLPFSSSSSHAARIFDLVHCDLWTSPVISISGYKYYLVVVDDFSHYSWTFPLRAKSDTFTTLLHFFTWVSTQFGLTIKAVQCDNGREFDNSTSRAFFLSHGVQLRMSCPYTSSQNGKAERMIRTTNDTVRTLLFQASLPARFWAEGLHTSTYLLNRLPSAACPAPTPHHALSLDPRSTLCVFLGYSPDHKGYRCFDLTSRRVLISRHVVFDESVFPFSSTTTPPVPLRRTLSRPPARLPCESGPRPPARPRLLQLVQTRGLRPPLLPRGVVPVADAGSCLGTCPGASVEVRRAGTGIPAQAAATAARGPFSTGDTDTTATVSAGPWCPAGLPPAAASPTPAACSPDGDTTRGWHPAASCPRGFDRDAVVSPVPSSVRDALLDPHWRRAMEEEYAALLANQTWELVPRPPGANVVTGKWIWTHKRRADGSLERYKARWPATVRTVLSLALARSWPVHQLDVKNAFLHGLLTETVYCSQPAGFVDTTRPDMVCRLNRSLYGLKQAPRAWNHRFAAFLLTLGFVEAKSDTSLFVYHHGADTAYLLLYVDDIVLTASSEPLLRRIIAALQQEFAMKDLGELHHFLGVTVEHRPAGLLLHQRQYTRDILERAGMSDCKPCSTPVDTQGKLSEAEGPPVADPTAYRSLAGALQYLTFTRPDITYAVQQVCLHMHDPREPHLMALKRLLRYLRSTLDYGLLLHRASSTDLVVYTDADWAGCPDTRRSTSGYAVFLGGNLVSWSSKRQPVVSRSSAEAEYRAVANDVAEAAWLRQLLAELHTPPSRSTLIYCDNVSAVYLSTNPIQHQRTKHVEIDLHFVRDRVAMGEVRVLHVPTTSQFADIFTKGLPSSTFAEFRSSLNITGG >Sspon.05G0007880-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:26016094:26018616:-1 gene:Sspon.05G0007880-4D transcript:Sspon.05G0007880-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSANHWGGSFDINTDGASEDDDSRNTDVDAGALSARQHHELDETQQGWLLGPPEAKKKGRHVDLGCVVVKRKVLWWAFWGLVAGFVLVGLPIIVYKSIPHRAPLPPPPDQYAEALHKALLFFNAQKSGRIPRNNGIPWRGNSGLKDGSDAKDVKGGLVGGYYDAGDNIKFHFPMAFSMTLLSWSVIEYSSKYKAVEEYDHVRELIKWGADYLLHTFNSSASTIDHVYAQVGAANIKSRTPDDHYCWNRPEDMAYPRPSLSVSSAPDLGGEIAAALAAASIAFRDDDAYSKKLVHGAATMFEFATRDWANEHATYSRHQPDIEPFYNSTSYWDEYVWGAAWMYYATGNTSYLRFATDPQLAERAKAFYDVLDFSVFSWDNKLPGAGLLLSRLRMFLDPGYPFEQSLKSYHKETDLDMCKYFRRFRAFNFTRGGLALFNHGRGQPLQYVVANSFLAALYADYMEASNVPGWNCGPNFMPNEDLRAFAKSQVIDQLNYILGDNPRKMSYVVGFGNKYPRHVHHRGASTPHNRVKYSCTGGYKWRDTKKADPNVITGAMVGGPDRNDRFNDSRMAFGQTEPTLVGNAGLVAALVAITTSDRSVGVGTIDKNSIFSAVPPQFPATPPPPP >Sspon.02G0005620-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:17857754:17860618:-1 gene:Sspon.02G0005620-1A transcript:Sspon.02G0005620-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRVRLSLPYPEAADPERPPQALTSDLLEEIFLRVASPADLARASAACVSFRRLIADHSFLRRYRSIHPPLLLGFVSSYGFHPVQAPHPSAAVARAADFSFDHLPRPAIEWLRWRPCDVRNGRVLVGCRSIKGAAYWNLAVWDLLSRRYLLLPPITDDLLASVELQNHSIFHPMASFIHSGGPSVGIEGDTSFSVIQWMHSHTRLGVLVFSSVSGCWSVSMSTNCNDLGLNRVGALVSGQCAYDCFYWKLYNMSTLIKLDMNTMQFCSVDLPPGHDEREIVIVESGESKVAMFSLSYKSTSVDYYTFSQNGSEKSHEWHMMSTIPLPAHYTSKCYIGGPAEGYIFIECIRDGESSTYSTVLSLEIKSFNIETE >Sspon.04G0029630-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:78825275:78827519:1 gene:Sspon.04G0029630-2D transcript:Sspon.04G0029630-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEAASIEAPPLHKPSSLRGAALDDETRALVVPDAADLPASPPSAVEANFARYFIADFLNPGYDQYVYRHPNGLCVVGLAPTHVVFKEEGVITAVDFNVGKSDRSEMKVTGKRKRNAQHLQENSALCKVCVNDKSFIVRCCVKGSLLEINERLIKQPDLLNTAGRIYSNFQPKPADWLKIKDKFLSYEDYKNLRGVC >Sspon.01G0032380-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:109636305:109638357:1 gene:Sspon.01G0032380-1A transcript:Sspon.01G0032380-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MESPTSTASRPDFYDFLDRMRRPAAADLFRSIKSFLVSFSFHEPNDEEDGSKVQTFLAEMESAIRDHPLWANATSQEIDHALEGLEKYVMTKLFDRTFGTSTEDAVTDMEISEKIGLLQQFVKPHHLDIPKVLHNEASWLVIHSELQTFTMRFQSVMLAVKELQKINSFKAPREKLLCIMSCCQVINNLLLNISMSNDRTLSGADEFLPILIYITIKASNSWQLRETKLISEVEYYLTNLISAKMFIIDVNARSLSMEESEFQKHMESARLATQVSVASPSSSRGLPTSARANQEEIDMAGSRFPFMDAETESLTPGEVKQLHDLYRQVVTRYTLLSKALRKLSIDEDQLINS >Sspon.02G0007160-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:21383266:21401053:1 gene:Sspon.02G0007160-1A transcript:Sspon.02G0007160-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASISRNLRRSLAPDRLRRLLLLSQRPREYVTAECHRPVVLHKRGPDILHDPWFNRVRLGSVPFRPGARGRAGGRWYGAPCLGTAFSMTERDRLGLRGLLPPNVVSSQQQIDRFMLDLKRLQRYAKDGPSDTYPLAKWRILNRLHDRNETMYYKVLIDNIEEYAPIVYTPTVGLVCQNYSGLFRRPRGMYFSAEDRGEMMSMVYNWPADQVDMIVVTDGSRILGLGDLGVHGIGIAIGKLDLYVAAAGINPQRVLPVMIDVGTNNEKLLKDPLYLGLQEHRLEGDEYVSVIDEFMEAVFTRWPNVIVQFEDFQSKWAFRLLQRYRKTYRMFNDDVQGTAGVAIAGLLGAVRAQGRPMIDFPKQRIVVAGAGSAGIGVVNAASRTMARMLGNNEVAFESARSQFWIVDAHGLITEDREDIDPDARPFARRKSELGHQGLSEGASLVEVVKKVKPDVILGLSAVGGLFSKEVLEALKDSSSSRPAIFAMSNPTKNAECTPGEAFSILGEKVIFASGSPFDDVDLGDGKIGHSNQGNNMYLFPGIGLGTLLSGARVISDGMLQAAAERLASYMNEEEVLEGIIYPPISRIRDITKEVAAAVVREAVAEDLSEGYRDMDAHELARLSEVVNLYISQANY >Sspon.05G0009730-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:30506528:30510034:-1 gene:Sspon.05G0009730-4D transcript:Sspon.05G0009730-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MENFKSYVLKEPIGVVGLITPWNYPLLMATWKVAPALAAGCTAVLKPSELASVSCLELGAICMEIGLPPGVLNVITGLGLEAGAPLSSHPHVDKIAFTGSTETGKRIMTSAAQMVKPVSLELGGKSPLIVFDDIDDIDKAVEWAMFGIFANAGQVCSATSRLLLHEKIAKKFLDRLVAWAKNIKVSDPLEEGCRLGSVVSEGQYEKIKKFISTARSEGATILYGGARPQHLRRGFFLEPTIITDVSTSMQIWREEVFGPVICVKEFRTESEAVELANDTHYGLAGAVISNDEERCERISKALHSGIIWINCSQPCFVQAPWGGNKQSGFGRELGEWGLDNYMTVKQVTKYCSDEPWGWYQPPSKL >Sspon.05G0006270-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:20811664:20815314:1 gene:Sspon.05G0006270-4D transcript:Sspon.05G0006270-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Elongation factor G, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G62750) UniProtKB/Swiss-Prot;Acc:Q9SI75] SMAAKAPVRAPAAGARSARRPPAAAVVSSSASRLLLGHRPFLAPRFAAGRAAVAGPAAGLRPRPRRPRLSVVAMAGTDRQVPLHDYRNIGIMAHIDAGKTTTTERILYYTGRNYKIGEVHDGTATMDWMEQEQERGITITSAATTAFWNKHRINIIDTPGHVDFTLEVERALRVLDGAICLFDSVAGVEPQSETVWRQADKYGVPRICFVNKMDRLGANFFRTRDMIVANLGAKPLVIQLPIGSEDNFQGVVDLVRMKAIVWTGEELGAKFEYKDIPTDLQELAQDYRVQMLETIIELDDEVMENYLEGTEPDEETVKKLIRKGTISASFVPVLCGSAFKNKGVQPLLDAVVDYLPSPLDLPSMKGTDPEDPEIIFERQPSDDEPFSGLAFKIMTDPFVGSLTFVRIYSGKLVAGSYVLNANKDKKERIGRLLEMHANSKEDIPVAVTGDIVALAGLKDTITGETLCDPDKPVVLERMEFPDPVIKVAIEPKTKADADKMANGLIKLAQEDPSFHFSRDEETNQTVIEGMGELHLDIIVDRLKREFQVEANVGAPQVNYRESISKVSEIQYVHKKQSGGSGQFADIIVRFEPLEAGSGYEFKSEIKGGAVPKEYVPGVMKGLEESLPNGVLAGYPVVDFRAVLVDGSYHDVDSSVLAFQIAARGAFREGMRKAGPRLLEPIMRVEVITPEEHLGDVIGDLNSRRGQVNSFGDKPGGLQVVDAFVPLAEMFQYVSTLRGMTKGRASYTMQLAKFDVVPQHIQNQLSTKTEEATA >Sspon.03G0025750-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:821954:825118:1 gene:Sspon.03G0025750-1P transcript:Sspon.03G0025750-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLTEEQAAREAGTNATSLNLTHRALSDVSCLSSFNNLERLDLGYNCLVTLEGLSSCANLKWLSVIENKLVSLKGVEELSKLQVLNAGKNKLTKMDEVASLISLGALILNDNNISTICKLGRLQQLNTLVLSKNPVFTIGNALVKAKSMKKLSLSHCQIENIGSSLAECVELKELRLSHNKISTIPSDLAKNVKILNLDLGNNFIERSSDLKVLSELRYLRNLNLQGNPVSEKDSLVKKVKKFVPTLRILNAKPLEATSKSDKSSRKENPPSNDKDSIGIDTKKDKRKKSKQELKGLEELEVQSISTGVTTSNPGNKLEVPDGKERKKVKKEAKTKKSEELDHANNSNLKNKDVQSSAYDTSTKDKKEAKRKKFIDEEDVDAEGIDNTEISFADLMFSNVGNPETKLKDSSTLEGAPDGKFVGGLVIDHTKKRKKSKGVVTITDSSALKMFSSMPVGAGGLDLSGWDE >Sspon.05G0002840-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:1731084:1732456:-1 gene:Sspon.05G0002840-3C transcript:Sspon.05G0002840-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRLSIEALLAITKEGSSSLGGEEVGADDGRREEAAQVQARDGGAARDPQVPEEHGAADPEAAVPAPGPRDRAGLQDGPPVPEPRRAGAPGGRRGLPRGALRGHQPLRHPRQARHHHAQGHPARPPHPRRARV >Sspon.05G0004580-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:8592727:8595419:-1 gene:Sspon.05G0004580-2B transcript:Sspon.05G0004580-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Expansin, Al-inducible expansin, Root cell elongatio [Source: Projected from Oryza sativa (Os04g0583500)] MAPPLLLFLFLLLPALAAGHQHPFSYGSSALSEWRPAKASYYAADPEDAIGGACGFGDLGKHGYGMATVGLSTALFERGAACGGCYEVKCVEDLKYCLPGTSIVVTATNFCAPNYGLPADAGGHCNPPNHHFLLPIQSFEKIALWKAGIMPIQYRRVKCLREGGVRFSVNGRHFFFTVLISNVGGAGDVRSVKIKGTELGWLSMGRNWGQVWHINCDMRGQPLSFELSSSDGKTLTSFNVVPKDWEYGKTYTGKQFLL >Sspon.01G0017940-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:66827777:66831741:-1 gene:Sspon.01G0017940-1A transcript:Sspon.01G0017940-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATLPPASAKVAARNLARAVSSRQPLLLLHASSRRGRGLHPLPLAASAASQGAHLRRALSFSAAAGGNNGAAPRAAEREYDYDLFTIGAGSGGMRASRVASALYGARAAVCEMPFATVASDALGGVGGTCVLRGCVPKKLLVYASKYSHEFEESRGFGWVYETDPKHDWRTLITNKNLELQRLVGIQTNTLKNSGVTVIEGRGKIVDPHTVSVDGKLYTAKNILVAVGGRPSKPNIPGIEHVIDSDAALDLPSRPEKIAIVGGGYIALEFAGVFNGLKSDVHVFIRQKKVLRGFDEEVRDFVAEQMSLRGVKFHIEQSPEAVTKSDDGLLSLKTNKETVSGFSHVMFATGRKPNTKNLGLEDVGVKMDEHGAIVVDEYSRTSINSIWAVGDVTNRLNLTPVALMEAGAIARTIFGDEPTRADYRHFMKTHMPQPFTECQSCKLLLAINFEIHLYSVLFDSAVPSAVFSQPPIGHVGLTEDEATETYGDIDIYISNFRPLRATLSGLPDRVFMKVIVCAKTNKVLGVHMCGEDAPEIIQGIAIAVKAGLTKQNFDSTIGVHPTTAEEFVTMRNPTRKVRRDTTAEAFRGGTSKPGQYLTLGIRSRHAE >Sspon.04G0015610-3C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4C:64399440:64400735:-1 gene:Sspon.04G0015610-3C transcript:Sspon.04G0015610-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCNNDDLDKQNEATETQIFIPQDAQGIILAFLPGRDVVKSCSVCKFWRDCVEEPSFVDRHLNNACRFHQSIACFTSLDHGLVHMYTFDPATMNFRSVELVFSSRFNMSGPCNGLVCAYDIKGDAEVLNPTTRKHFRLPDSVLKLQSLYSEYFVGFVPSTKEYKVVSIRHRVRFLTFEICTIGALSWRTWRTIHESADLLKATKAVIVNDGMYWLLLNDASSHLCREILTLNLTDERFSKIAIPDAVKNHNLELFEGEGKLRLLSTHSDGSNNIVSDIWVADLNSQDWIHLQTIVPRMPVGISPFFQLKTKIFFGNQKRLLCVDLQDGTVSYINMPPGETLISCGMFVESFAPAVTGMVSSTAASYGIRSRLAEPSSADAGPSFRGAGSSSASRGRSSGLTGWSSADLEQSFKRTKRTTNMQWKISKHRAS >Sspon.05G0005210-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:18624250:18625849:1 gene:Sspon.05G0005210-4D transcript:Sspon.05G0005210-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFDKEASSSSSRLDAAPLLPQHGLHGCGGGAGGGHLSSQPKTFANVFIAVVGSGVLGLPYTFSRTGWAAGTLLLLAVAALTFHCMMLLVAARRRIADEHPKIASFGDLGHAIYGAPGRHAVDAMLVLSQASFCVGYLIFISNTMAHLYPIGADSPASPLLTAKALFIWAMLPFQLGLNSIKTLTLLAPLSIFADVVDLGAMGVVLGQDASIWLTNKPPVFAFAGPAELLYGLGVAVYAFEGIGMVLPLEAEAADKRRFGATLALSMAFIAVMYGLFGAMGYLAFGAATRDIITTNLGTGWLSVLVQLGLCINLFFTMPVMMNPVYEVAERLLCGKRYAWWLRWILVVVVGLLAMLVPNFADFLSLVGSSVCVVLGFVLPAAFHLKVFGAEIGWTGLVADVAVIVIGTALAVSGTWTSLIEPGSSCTRQQIHV >Sspon.01G0025180-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:87587475:87588319:1 gene:Sspon.01G0025180-2C transcript:Sspon.01G0025180-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEQLPALASGSKAATTTPFYLTLDHRASTTSSSPPAEAPTPPPPSAVSDPSRQSNSERGSEIIKAKIMSHPLYPALLRAFIDCRKVGAPPEIVGRLSALADEVEMNSDDRQEQRPADPELDQFMEIYCHMLVRYRQELTRPIQEADEFFRSMEAQIDSFSLDLEVAVVS >Sspon.08G0009510-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:41733222:41735423:-1 gene:Sspon.08G0009510-1A transcript:Sspon.08G0009510-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIIALERFEEGPPFTLQRLCEILLDPKGTYTKLSKLALALEKNLLVTSTITKCTDPYPAAHGLPCSDCTQIIENSGPADVESVSTPEHTTAVPNGTGHVSGDGDEEMADAEAEESSGSHDVEMQEDKPDQVDNVNSDANPGAAAAPEAVNASESTLQPQS >Sspon.04G0001300-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:4860570:4864268:1 gene:Sspon.04G0001300-1A transcript:Sspon.04G0001300-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:26S proteasome regulatory subunit 6A homolog B [Source:Projected from Arabidopsis thaliana (AT1G09100) UniProtKB/Swiss-Prot;Acc:O04019] MSSSAPAPAAMAVDDAEDDQLASMSTEEIIRASRLLDNEIRVHKDELQRSNLELESIKEKTKENQEKIKLNKQLPYLVGNIVEILEMNPEDEAEEDGANIDLDSQRKGKCVVLKTSTRQTIFLPVIGLVDPDKLKPGDLVGVNKDSYLILDTLPSEYDSRVKAMEVDEKPTEDYNDIGGLEKQIQELVEAIVLPMTHKDRFQKLGIRPPKGVLLYGPPGTGKTLMARACAAQTNATFLKLAGPQLVQMFIGDGAKLVRDAFQLAKEKAPCIIFIDEIDAIGTKRFDSEVSGDREVQRTMLELLNQLDGFSSDERIKVIAATNRADILDPALMRSGRLDRKIEFPHPSEEARARILQIHSRKMNVNPDVNFEELARSTDDFNGAQLKAVCVEAGMLALRRDATEVTHEDFNEGIIQVQAKKKSSLNYYA >Sspon.05G0004070-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:8217096:8221469:-1 gene:Sspon.05G0004070-2C transcript:Sspon.05G0004070-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding WQQQLAPRGGRSLEASSAASWPARPPPRGGRARLGVRQEPGGAGAPGVRAGRCDRRRREPRQVLEPAPHDRRVWPGGGGPQAGRWWRAGRRRECCGRHGAGPEGVVPPARGRREAAPGRLS >Sspon.02G0024580-1P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:97702826:97705371:1 gene:Sspon.02G0024580-1P transcript:Sspon.02G0024580-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAPAGSFAAAFRAVPLLLVRNDNPRHLRHQRAGAWPANKGSSLAPPTPRLRRSSPDSYTNSSPPLPPSPRIAPALAPHCPRRRQISAEFRADFRCGREWRCDRSSKRAMDPCPFVRVLVGNLALRMPVAPPAAGAGAGVHPSTSPCYCKIRLGKMPVQTVPAPLVVSDGGEQTPASGALAAAFHLSKADLAWFNGKPSLFSSRGEASLKVSVYAGRKGSTCGVSSGRLLGKATIPLDLKGAVAKPAVLHSGWISIGKRAGKGGPAAATELSLTVRAEPDPRFVFEFDGEPECSPQVLQVGSTMKQPMFTCKFGCRSNSDLRRPGMQPERDAGASAKERKGWSVTVHDLKGSPVAMASMVTPFVPSPGTDRVSRSNPGAWLILRPAGDGAWEPWARLECWRERGGAGAADSLGYHFDLLVPGADHAVALADSSIPSSKGGKFAIDLTAAQPLSRGGTPGCSPRGSGDLSNWPLGNYRGFVMSAAVQGEGRCSKPTVEVGVAHIGCAEDAAAFVALAAA >Sspon.02G0016790-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2A:47102182:47103438:-1 gene:Sspon.02G0016790-1A transcript:Sspon.02G0016790-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVGIAIGLSVGLGILLLCLSGVFLIRRWRKDLQRKLRKKYFRQNKGLLLEQLISSDENQSDNKIFSLEELQKATNNFDPTRILGSGGHGTVYKGILSDQRVVAIKKPKIINEGEINQFINEVAILSQINHRNIVKLLGCCLETEVPLLVYDFIPNGSLFKIIHGDQSNNNEFSLSWDDCLRIATEAAGALCYLHSAASVSVFHRDVKSSNILLDGSYTAKVSDFGTSRLIPIDQTHVVTNVQGTFGYLDPEYYHTGQLNEKSDVYSFGVVLLELLLRKEPIFTSDSGSKQNLSNHFLLEMRSRPITEIVAPQVLEQASEDEVTTVASLAEECLRLRGEERPTMKQVEMKLQLLRNKGLRSGNGTTESSYEIQPPVPIRLAIHHYQSLPTNGNNRANAASSGCYSLEQEFLSSASLPR >Sspon.08G0015580-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:62360443:62363566:1 gene:Sspon.08G0015580-1A transcript:Sspon.08G0015580-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLPNGGAAASGSSGARPVDKEVDFANYFCTYAYLYHQKEMLCDRVRMDAYHSAVFRNAPHFQGKVVLDVGTGSGILAIWSAQAGARKVYAVEATNMAEHARELARANGVADIVEVIQGTVEDVELPEKVDVIISEWMGYFLLRESMFDSVICARDRWLKPDGVMYPSHARMWLAPIRTGLGDKKREDFDIAMDDWSLFVQDTQTYYGVNMNALTKAYRAEHEKYYLKSSIWNNLHPNQVIGQPAAIKEIDCLTATVDEIREVRAQVTMSVRMEARLSALAGWFDVHFRGSAQNPGVEEIELTTAPDEHGGTHWGQQVFLLTPPLGVTKGDNVNVSFSMVRSKENHRLMDMEFTYELHELSGRKHPAVTTKILSKADA >Sspon.02G0016590-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:46170638:46171456:-1 gene:Sspon.02G0016590-1A transcript:Sspon.02G0016590-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESKSNGCVDATAAMMLHGRVAIVTGGAGGIGSAVSRHLASLGARVVVAYIGDPAPALTLVGGINDSSHPGESQQPRAIAVEADVSDAAQVKALFDAAAAAFGGELHILVTLAAVLDYSYPPLAETSEATFDAAFGTNTRGTFLCCREAARRLVRDGRGRIVTFSSSGVGSLRPGYSAYAASKAAVEVMTKILARELRGTGITANVVAPGSTGTPMMYTGKTEDDMERYIAEAPLGRLGMPEDIAPLVSFLASDAGHWVNAQVLRCNGGTI >Sspon.05G0007110-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:23892058:23901930:1 gene:Sspon.05G0007110-4D transcript:Sspon.05G0007110-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSASAALVRAAPKPRPEQHPLRFFPASAKAAGEPHPTAYYATLLASLSRECRHAHHPFDAGPHRTHQARACGVLHARILRLGLPLRGRLGDALVDLYSRSGRVGYAWRALGCCTGAPASGAAASSVLSCHARSGSPRDVLDAFQRIRCSIGGTPDQFGLAVVLSACSRLGALQHGRQVHCDVLKSGFCSSAFCQAGLVDMYAKCCEVNDARRVFDGIACPDTICWASMIAGYHRVGRYQQALALFSRMEKMGSVPDQVTCVTIISTLASMGRLDDARTLLKRIQMPSTVAWNAVISSYSQSGLESEVFGLYKDMKRQGLMPTRSTFASMLSAAANMTAFDEGQQMHAAAVNHGLDANVFVGSSLINLYVKHGCISDAKKVFDFSTEKNIVMWNAMLYGFVQNELQEETIQMFQYMRRADLEADDFTFVSVLGACINMDSLDLGRQVHCITIKNSMDADLFVANAMLDMYSKLGAIDVAKALFSLIPGKDSVSWNALIVGLAHNEEEEEAIYMLKSMKFYGIAPDEVSFATAISACSNIWATETGKQIHCASIKYNVCSNHAVGSSLIDLYSKFGDVESSRKVLAHVDASSIVPINALITGLVQNNREDEAIELFQQVLKDGFKPSNYTFASILSGCTGPLLANKSIVTLKSALLNQDTSLGISLVGIYLKCKLLEDANKLLAEVPDHKNLVEWTATISGYAQNGYSDQSLVMFWRMRSYDVRSDEATFASVLKACSEIAALTDGKEIHGLIIKSGFVSYETAASALMDMYSKCGDVISSFEIFKELKNKQNIMPWNSMIVGFAKNGYANEALLLFQKMQESQLKPDEVTLLGVLIACSHAGLISEGRDFFDSMSQVYGIVPRVDHYACLIDLLGRGGHLQEAQEVIDQLPFRADGVIWATYLAACQMHKDEERGKVAAKKLVEMEPQSSSTYVFLSSLHAAAGNWVEAKVAREAMREKGVHCITIKNSMDADLFVANAMLDMYSKFGAIDVAKALFSLIPGKDSVSWNALIVGLAHNEEEEEAIYMLKSMKFYGIAPDEVSFATAISACSNIWATETGKQIHCASIKYNVCSNHAVGSSLIDLYSKFGDVESSRKVLAHVDASSIVPINALITGLVQNNREDEAIELFQQVLKDGFKPSNYTFASILSGCTGPVSSVIGKQVHCYTLKSALLNQDTSLGISLVGIYLKCKLLEDANKLLAEVPDHKNLVEWTATISGYAQNGYSDQSLVMFWRMRSYDVRSDEATFASVLKACSEIAALTDGKEIHGLIIKSGFVSYETAASALMDMYSKCGDVISSFEIFKELKNKQNIMPWNSMIVGFAKNGYANEALLLFQKMQESQLKPDEVTLLGVLIACSHAGLISEGRDFFDSMSQVYGIVPRVDHYACLIDLLGRGGHLQEAQEVIDQLPFRADGVIWATYLAACQMHKDEERGKVAAKKLVEMEPQSSSTYVFLSSLHAAAGNWVEAKVAREAMREKGVPEIEFYIRKLNMHLYTLVQLVGFIT >Sspon.03G0024900-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:94207009:94212665:-1 gene:Sspon.03G0024900-2C transcript:Sspon.03G0024900-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTHAASRRSSSSSSAAAKRPAKAAGPAAVQAKKRAALGNITNVAAPGARAAAVGKKLNSATSAAPLKKPSLANARNASSIRGSAVKSASIKPAPPVSRLDSSTRQKHNVPLPKVPTTVHVPSRAPALVPCSSFVSPGRSGDSVSIDETMSTCDSMKSPDFEYIDNGDSSMLASLQRRADEHLRISEDRDAEENKWKKNAPAPMEIDRVCDVDNDFEDPQLCATLASDIYMHLREAETKKRPSTDFMETIQKDVNPSMRAILIDWLVEVAEEYRLVPDTLYLTVNYIDRYLSGNEINRQRLQLLGVACMLIAAKYEEICAPQVEEFCYITDNTYFRDEDSALHLEFLANYIAELSLLEYNLLSYPPSLIAASAIFLARFILQPTKYPWYKPSELSSCVKALHRLFSVGPGSNLPAIREKYSQHKGIEASCF >Sspon.05G0013500-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:5A:47299791:47300825:1 gene:Sspon.05G0013500-1A transcript:Sspon.05G0013500-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLRDSIPMVSLAVLVAAVCARAASSWLRPGLPRLMSLLPVVAFLAAAPLALSSSAIIRGLAGFFLGWLGVFKVVLLAVGRGPLDPALPVLPFVFTTALPVKLIRCCGTGAAAGAAACSRAKSVSLVSCAVKVAVIAAILHAYQYVNQLHLYTRLALYGVHMYCFLDFLLPCIAAAGGALGMEMEPQFDRPYLASSLRNFWGRRWNLMVSAILRPSVYDPVRARAGKAPAVLATFLVSGLMHEVMMYYLTLRPPTGEMTAFFLLHGVCCVAEESCARRWAEWGRRRPPRPVATLLVVVFMTVTAFWLFFPPICREGTEEVLLEEWAAVGAFFNDAGRKLLPYV >Sspon.01G0020180-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:80407722:80411231:1 gene:Sspon.01G0020180-2B transcript:Sspon.01G0020180-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPHLPAAVASPSSSSTTTTSRAAAYHHHHHLLDAAAAPPSSSPHQHRRRRRRRVPGCFLRPRPPRAASVRCCAAAAPAPQAALPAAARAEAATTRVFVVSDLHTDYPENMDWVRRLPAEVGAGKGQGVDALVVAGDVAETRDNFARTMEVLRERFAAVFYVPGNHDLWLRREGGRYMDSLEKLTALLDACSELGVDTGPRTIGDLGIIPLFSWYHKSFDKEKDVNSVRVPSLEMACKDFHACQWPSDLTNDDEALALYFDKLNDKNHDAIEEVKSSSKQILTFSHFVPRQELCPEKRMLYYPYLPKVIGSDFLERRLRDIHSNRKDGAACHVFGHTHFCWDSVVDEIRYVQAPLAYPRERKRRMNSEGWLPFCVYRDGFNPEIYPALWSDYYNKNKREPENTQLAPWVASHFAKYHKFH >Sspon.06G0008530-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:33688610:33691291:1 gene:Sspon.06G0008530-4D transcript:Sspon.06G0008530-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLEEVTQAARKAPPPRDFYGALEAAYKRNGVPALIAEVKKASPSRGVLRENFNPVEIAQAYEKNGAACLSILTDEKYFQGSFENLEKVRTSGVKCPLLCKEFVVDKWQIYNARSKGADAILLIAAVLPDLDIRNFLQICEELGMTALIEINGVKLIGINNRSLETFVVDTSNTKMLLEKHGDSIREKGILVVGESGLFTPDDVAYVQNAGVSAVSSHGFGWGIPGEARVPWTSHCWIIWQRTAALNERTNIV >Sspon.01G0005330-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:12646187:12648790:-1 gene:Sspon.01G0005330-1T transcript:Sspon.01G0005330-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GMEVEATPTTAVSSSGGAAQLPPPGPPAKKKRALPGMPDPDAEVIALSPKTLLATNRFVCEICNKGFQRDQNLQLHRRGHNLPWKLRQRSGKEARKRVYVCPEPSCVHHDPLRALGDLTGIKKHFCRKHGEKKWKCDKCSKKYAVQSDWKAHVKTCGSREYRCDCGTLFSRRDSFITHRAFCDALAEESAKARAEAPPAEDGGSAAAVVPPPAPPPPAPPAPAPLRQQPPPPAPHHAEQRTEPETNATEPVQFAPPPPPPPPQVPVLSQTSVSAANVSAGSSSSVAGTSQSLLGGMFAPSSMASAPQFPDLVGGVGRPERALPAKPPSLCLATDASSSIFSAPVSAERQQFAPPPPPSPSPSPHMSATALLQKAAQMGATSSSSSFLRGLGLDVSSSSPGASSSGQQQHHQDAMQVSLPDTSLPQWPPRLEPEPAPMLSAGLGLGLPYDSTGAQVCLPELMMGQSSLFSGKPATLDFLGLGMSPTGAPASRGLPAFIQPISGAVGMAGTGAGAADTFGAGRGAQATPWERNPSSSPIL >Sspon.08G0023930-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8B:60645720:60646028:1 gene:Sspon.08G0023930-1B transcript:Sspon.08G0023930-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMADRGERERREAVSAGSPPLGRVRVGDASKDYGAYRGSYAMHARGRDTPADWSQQRRKAAAARTGRELTPTRAMWGEEKGQRGSGRLRPTTARPGRTETA >Sspon.05G0015960-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:62535246:62536899:1 gene:Sspon.05G0015960-2B transcript:Sspon.05G0015960-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGQVMCEPLALLLHKQEHLFEDLESHSQMMPSVHVHADEYVRPPQRPLVLMAHDKPASHPQQVHISVVGAHGMRITWVTDDRSAPSVVEYGTSPGEYTASETGHQTTYQFLSYTLGTIHHFVVIGDVGQTEWTSSTLFQIGAANHDHDMVLLPGDLSYADGQQPLWDSWGRLVQPLASARPWMVTEGNHEKETLREPDTDRPVRRFVAYNARWRMPHQESSSSSNLYYSFDASGGTVHVVMLGSYAEFQEGSEQHAWLRRDLAAVDRRRTPWLLVLMHVPWYNTNRAHQGEAEGMRRAMESLLLAARVDVVFVSHTHAYERFERIYDNKANSQGPMYITIGDAGNNKAHKFISDYELAHLSLFREASFGHGRLRIMDNRRAVWTWHRNNDEDAAVSDEVWLESLASP >Sspon.08G0024050-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:61126929:61127477:-1 gene:Sspon.08G0024050-1B transcript:Sspon.08G0024050-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGACATKPKTLEGQAPAEATISTPKVAPETTTTPIEVAAEQVVEKVVEEDKEDPATVAEQKPPATAEPEQQADEVTTPEASVVVEPENKEEEEEEAVVKTVVEEEKPSAPAEEKIATGEVTAEPTTEVKKDAEEEEKEKEKEKPTQS >Sspon.03G0038090-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:1751999:1753676:-1 gene:Sspon.03G0038090-2D transcript:Sspon.03G0038090-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRAATLAAAAAVAAVVLICSSSTATAADGNGNARQPPLAPGLSFDFYTKTCPKAESIVRSFVQDAVHRDVGLAAGLLRLHFHDCFVQGCDASVLLDGSATGPGEQQAPPNLTLRPTAFKAINDIHDRLQKECGGAVVSCSDVLALAARDSVVVVRRLFATRQDVLSDLPPPTATCPAKGTDRRTPLDVRTPNAFDNKYYVNLVNREGLFTSDQDLFTNPGTRALVEKFARNQRDFFDQFAFSVVKMGQIKVLTGAQGQIRRNCSARNAGAGVMLPEPRVLGVAAASGCRGLVWIGLAISPAADISYPEIPETRPYPFPVDSFRPILDPASTNRRSTKKQALHATGSGAFHSLILYVTMVSGDGRPFSEHVATAASTALDKTSLLRHPGVSASSWPYKRVGR >Sspon.03G0001380-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3B:12096166:12097359:-1 gene:Sspon.03G0001380-2B transcript:Sspon.03G0001380-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSESQRTAAGPARRGVLLPSPSCVAAASSPLVRPMPLFRDMYIQRVMGARPERRGKDAASQGRRMGRMVRLGSCGRGMLILTRLSGPTCAEREEGVRGKAGWARGRLLAAGADVAEELADDGVDLHQVLLQRRRDCGAGEPQGSGRVVKGP >Sspon.05G0014760-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:57961326:57962079:1 gene:Sspon.05G0014760-4D transcript:Sspon.05G0014760-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-directed RNA polymerase, RBP11-like protein [Source:Projected from Arabidopsis thaliana (AT3G52090) UniProtKB/TrEMBL;Acc:F4J5R0] MNAPDRYERFVVPEGTKKVSYERDTKIVNAASFTIEREDHTIGNIVRMQLHRDPNVLFAGYKLPHPLQYKIIVRIHTTSQSSPTQAYTQAINDLDKELEYLKQALE >Sspon.04G0019550-2P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4A:69231481:69233716:1 gene:Sspon.04G0019550-2P transcript:Sspon.04G0019550-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LQFIGEISVSGATYKSMEFVGSTVESLTMEERMTLCNMVIEAGGKNGAVPADETTFKYLQGKTSVDYEPVYSDAQARFSSDYRFDVSKLEPVVAKPHSPDNRALARECKDVKIDRVYIGSCTGGKTEDFLAAAKVFLASGKKVKVPTFLVPATQKVWMDVYSLPVPGSGGKTCSQIFEEAGCDTPASPSCGACLGGPRDTYARMNEPTVCVSTTNRNFPGRMGHKEGQIYLASPYTAAASALTGYVTDPQGLPHVTILKQQSS >Sspon.04G0001360-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:4938939:4944433:1 gene:Sspon.04G0001360-1A transcript:Sspon.04G0001360-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAILSDVATEVLIPLAAAVGIAFAVAQWVLVSRVKLAPSSGRDKDMLADSLIEEEEGLNDHNVVVRCAEIQYAIAEGATSFLFTEYRYVGGFMCVFAAVIFVFLGSVDGTVAFLLGAATSVVSGFLGMKVATYANARTTLEARKGVGRAFVTAFRSGAVMGFLLASSGLLVLYVAINLFQLYYGDDWEGLFESITGYGLGGSSMALFGRVGGGIYTKAADVGADLVGKVERNIPEDDPRNPAVIADNVGDNVGDIAGMGSDLFGSYAESSCAALVVASISSFGVNHDFTGMCYPLLVSSVGIFVCLITTLFATDFFEIKDVKQIEPALKKQLIISTALMTAGIALITWLALPAKFTIFNFGEQKEVSNWGLFLCVAIGLWAGLIIGYVTEYYTSNAYSPVQDVADACRTGAATNVIFGLALGYKSVIIPIFAIAVGIYVSFTVAAMYGIAVAALGMLSTIATGLSIDAYGPISDNAGGIAEMAGMSHRIRERTDALDAAGNTTAAIGKGFAIGSAALVSLALFGAFVSRAGVKVVDVLSPKVIIGLVSGAMLPYWFSAMTMKSVGRAALEMVEEVRRQFATIPGLMEGTGKPDYARCVKISTDASIRQMVPPGALVMLTPLVVGTLLGVHTLAGVLAGALVSGVQVAISASNTGGAWDNAKKYIEAGASEHARSLGPKGSDCHKAAVIGDTIGDPLKDTSGPSLNILIKLMAVESLVLSRNLYVPAKQSPDCKEGDLPLIVAIDLQPMAPIEGVIQVQGDITNARTAEVVIRHFDGCKADLVVCDGAPDVTGLHDMDEFVQSSLYS >Sspon.06G0019310-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:457777:462069:-1 gene:Sspon.06G0019310-2D transcript:Sspon.06G0019310-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGRPGAIGNEEVPVPPLTPRPRPLSAPPMPPRLRATAAARLVALLVCLSPALLAPCRAVNEQGQALLRWKGPARGALDSSWRAADATPCRWQGVGCDARGNVVSLTIKSVDLGGTLPAGPELRPLRPSLKTLVLSGTNLTGAIPKELGDLAELTTLDLSKNQLSGAIPPELCRLTKLQSLALNTNSLRGAIPGDVGNLTSLTSLTLYDNELSGAIPASIGNLKKLQVLRAGGNQALKGPLPPEIGGCTDLTMLGLAETGLSGSLPETIGQLKKIQTIAIYTAMLTGSIPESIGNCTELTSLYLYQNSLSGPIPPQLGQLRKLQTVLLWQNELVGTIPPEIANCKDLVLIDLSLNSLTGPIPSSFGTLPNLQQLQLSTNKLTGAIPPELSNCTSLTDIEVDNNELSGEIGIDFPRLRNLTLFYAWQNRLTGPVPAGLAQCEGLQSLDLSYNNLTGAVPRELFALQNLTKLLLLDNDLSGFIPPEIGNCTNLYRLRLNNNRLSGAIPAEIGKLKNLNFLDLGSNRLVGPLPAALSGCDNLEFMDLHSNALSGTLPDELPRSLQFVDISDNKLTGLLGPGIGLLPELTKLNLGKNRISGGIPPELGSCEKLQLLDLGDNALSGGIPPELGKLPSLEISLNLSCNRLSGEIPAPFGELDKLGSLDISYNQLSGSLAPLARLENLVMLNISYNTFSGELPDTPFFQKLPLSDIAGNHLLVVGAGGDEASRHAAVSALKLAMTILVVVSALLLLTATYVLARSRRRNGAIHGHGADETWEVTLYQKLDFSVDEVVRALTSANVIGTGSSGVVYRVALPNGDSLAVKKMWSSDEAGAFRNEISALGSIRHRNIVRLLGWGANRSTKLLFYTYLPNGSLSGFLHRGGVKGAADWGARYDVALGVAHAVAYLHHDCLPAILHGDIKAMNVLLGPRNEPYLADFGLARVLSGAVASGSAKLDSSKAPRIAGSYGYIAPGKWPSYVASISLVKLVNFFLTFFLLVRASNQNKTEYASMQRITEKSDVYSFGVVVLEILTGRHPLDPTLPGGTHLVQWVREHVRAKRATVELLDPRLRGKPEAQVQEMLQVFSVAMLCIAHRAEDRPAMNDVVALLKEIRRPAERSEEGKKQPACNAAAAAAAASPAPLDGQAQRSPPRSPLPKGGSSSCSFAMSDYSS >Sspon.04G0030220-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4B:76066952:76069786:-1 gene:Sspon.04G0030220-1B transcript:Sspon.04G0030220-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSGYGGVSEFQQFIMDGGFAMSAPPPPPPQQQPPQPAAAQELGGPFRYQPLHHHALPPQHHHHAPHMPPHFAHFGAAPPPPFTQQLLHQAAAAGHHHLQLFHEQQQHHHHHHKPQPPPHQQQQQHHHQPPARWAPQPQQHQQPQAQTHHHHHHHLGFDVEAAVPESSGAAGAGSAAASGGATPPGVPPFLAAAMNFKLAVDPGGGSGATGGTDDGLNDGGGAAGSGMMLHVGCGGGDDEAATESRLRRWTGDEETSIKEPTWRPLDIDYLHSSSSSKRTGKEKVATPESPAPAAAANYFKKSDDNAAAAAAAGGGNYKLFSELEAIYKPGSGGAGVAQTGSGSGLTGDDNAILEPAIADLQDVAAAAAGPQLNTSETSAGEEAAAVVQPQPQPQPQASADAARRKRKRRRQQQEQLSASASFFERLVQRLMEHQESLHRQFLEAMERRERERAARDEAWRRQEADKFAREAGARAQDRASAAAREAAIIAYLEKISGESITLPPPAAASGDDTSQDATAAGNGKELVPYDGGDATAPEGGVGSLHLSTSRWPKHEVEALIRVRTGLEGRFQEPGLKGPLWEEVSARMAAAGYGRSAKRCKEKWENINKYFRKAKESGKKRPAHAKTCPYFDELDRLYSRSGHSHSAAAARDGEANAGGGEAKQASSELLDAVVKYPDVRYGPPGFGMDREQASGGGGGNNNANNEGGEDDGAEDGDGEEDGIGKGRAGDDQDDEVDSHGHDE >Sspon.02G0019150-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:61953586:61956727:1 gene:Sspon.02G0019150-1A transcript:Sspon.02G0019150-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIIVQAVVILLDVIAFGLGVAAEQRRSRATVTPDAAKEYDYCVYDSDIATGYGVGALLLLAAAQVVLMVASRCFCCGRGLKPGGSRACALILFLFTWVTFLIAEACLLAGSVRNAYHTRYRGIFYGESLSCETVRKGVFAAGAAFTFLTAILGEVYYLSYSKSRDAAGGAPYGGSSIGMGPYN >Sspon.02G0018830-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:60373411:60375569:-1 gene:Sspon.02G0018830-1A transcript:Sspon.02G0018830-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding TPGADFNNILYLREIDDADKLVAAIQAKKGGKAVVVGGGYIGLELSAALKINDFDVTMVFPEPWCMPRLFTADIAAFYEAYYTNKGVKILKGTLAVGFDADANGDVTAVKLKDGTVLEADIVVVGVGGRPLTTLFKGQVAEEKGGIKTDAFFETSVPGVYAIGDVATFPLKMYNELRRVEHVDHSRKSAEQAVKAIKGKESGEPVPEYDYLPYFYSRSFDLGWQFYGDNVGETILFGDSDPTSSKPKFGSYWIKDGKVLGAFLEGGSPDENKAIAKVAKTQPPVANLEELKKEGLQFASKI >Sspon.04G0002420-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:8486958:8489085:1 gene:Sspon.04G0002420-2C transcript:Sspon.04G0002420-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGDDRRAPLLGSGAGTGGRLPSLRRRDSARSLRSSFLSRLPDKVRAGLDPECLADIDLSRAKGLSQGVREYYEKQFATLKTFEQVEARCMPGEFDSDVEASDSEDTEQKQSEFAMKISNYANIVLLVFKVKGEIACCADPFGLRESQVYATIRTGSMAIAASTLDSLLDFMAGGILWFTHLSMKRVNIYKYPIGKLRVQPVGIIVFAAIMATLGFQVLVQAVEQLVENKPGEKMTSEQLIWLYSIMLSATAVKLALWLYCKGSGNSIVRAYAKDHYFDVITNVVGLVAAVLGDKFLWWIDPVGAVILAVYTIVNWSKTVLENAATLVGQCAPPEMLQMLTYLAMKHDPRVRRVDTVRAYSFGALYFVEVDIELSEDMPLREAHTIGESLQEKIEKLPVVERAFVHIDFESTHKPEHK >Sspon.03G0016140-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:51434878:51435434:-1 gene:Sspon.03G0016140-1A transcript:Sspon.03G0016140-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding METRPELHCHDHVHEQEAQEGEEHAAVMEHGAALSLQRGATLFGRRHDEEAGRRRGEIREVDFFSRDSGARGHDDGGGRGAPGGGRDDINIGLDLLTTATAATTSAGEEMMAAKNQK >Sspon.02G0019590-3D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2D:58739160:58744309:1 gene:Sspon.02G0019590-3D transcript:Sspon.02G0019590-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAPPPPPSFSSFPPLSSSSWAQVVTSPLATPAPPAAPDPARARPLGAAPAPGIGLLPLGAAILPPGAAVALGAGAARALAATPGLRAVGDTAEGVTAAVDLLQPQAPLPEGAPPAGFQPPPAAAAPGDLPLPHAGAPAAAVGLQPAPPGGLPLLHAPGPDSALAAALVAARAVAAEGQARVRAAALVWERERDAADALARQIAEADCTTRPGGVQNIRLVPVVLEPESPFYARWRDLVLLTLRRYALDDHVLVDASVATQTPSWLRLDSIGQFLGNAEARALRLDASFRTFVQGDLFVGEFCRRMKGTADSLGDLGWPVEDRILVLNVLRGLSDRYAHLRTVRHFSGVLVFLDGSGCYSAASVCSTTVVRSTAAVSPGSPSLRAERGWGVVAVIVAGEGVAGAAGVVSPRLRHRLGRRGAPWPSFQNPWSGRISMWPFHAPGGDPRPPAAMFTGAPPGAPPPGTFPATPWAPPSGTLPGVAGWDQAALACSFSTMGLTPPVGPEWIADSEATYHTTPDPGILSSVHSSSSSLPSSIMVANGSCLPVTSVGTTSAHGSFRLPDVLVAPSMVHNLLSICRFTANNSCSVEFDSSGLTVKDLASRRPLLQCDSVGPLYTLRFLTAISSSSPSVLSAAFAASTSSTTWHRRLGHPGRDALMQLSRSSGLPCTRAHDEQLCHACQLGRHVRLPFHSSTSHAARIFDLVHCDLWTSLVISISGYKYYLVVVDDFLHYSWTFPQRAKSDTFATLLHFFTWVSTQFGLTIKVVQCDNGREFDNSTSRAFFLSHSVQLRMSCPYTSSQNGKAKRMIRTTNDTGLHTSTYLLNRLPSAACPTPMPHHTLFGTPPRYDHLRVFGCACYPNTAATSSHKLDPRSTLCVFLGYSPDHKGYRCFDLTSRRVLISRHVVFDESVFPFSSITTTHVPDPPSLFPADMVVQPPFSWSPAGTVSPRSLSATCLGSPAGPGSSPSGVAPTSLIGAGPGTSSPDTASGGGGRADRCRLVLPPAPPSRFAAPVQVYQRRPRPPPLAVPSPPGTPTPPPQSPPARDPPPVYHPPLLHRHPRHVHPMVTRHVAGTLPPRVLAASIGDAPVSVVPSSVRDALLDPHWRRAMEEEYAALLANQTWELVPRPPGSNVVTGKVDYDETFSLVVKPATVRTVLSLALARSWLVHQLDVKNAFLHGLLTETVYCSQPAGFVDSSRPDMAKSDTSLFIYHHGADTAYLLLYVDDIVLTASSESLLRRIIAALQQEFAMKDLGVLHHFLGVTVEPRQAVRGRGPPVLDPTAYRSLAGALQYLTFTRPDITYAVQQICLHMHDPREPHLTALKRLLRYLRGTVDYGLLLHRASSADLVVYTNADWAGCPDTRRSTSGYAVFLGGNLVSWSSKRQPVVSRSSAKAEYRAVANGVAEASWLRQLLAELHTPPSRSTLIYCDNVSAVYLSTNPIQHQRMKHMEIDLHFVHDRVVMGEVRVLHVPTTSQFANIFTKGLPSSTFTEFRSSLNITSD >Sspon.05G0030170-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:82871486:82875990:-1 gene:Sspon.05G0030170-2C transcript:Sspon.05G0030170-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding CQRGSCPASPRSSRTAATTPGRPSPTPSRPRSRACSPRAPPTRPGPAPSRRSSLPRSPTGASPPPSLRSGTPTRTSRSRSSPGTVPTTAMAAAAGPPRSPTRRCSASSPCARRFDAADAALRSMSLAGDTPTRGSLGALAAAYADAGMEGKAAEACARARDLHGALPDAPHCNRLLRLLVERRRWECARRLYGEMLARDRECGADDYSTCVMVRGLCLEGRVEEGRRLIEARWGEGCVPDAVFYNVLIDGYCRRGDVGRGLLLLGEMETKGIMPTVVTYGAIIHWLGRKGDLAKIESLLGEMRARGLSPNVQIYNTLIYALCKCRSASQALAVLNQMVASRFDPDVVTFNTLIAAFCQEGHVEEALKLLREAIRRELEPNQLSYTPLIHGFCIRGEVMVASDLLVEMIGRGHTPDVVTLGALIHGLVVSGQVNEALIVREKMTERQVMPDANIYNVLISGLCKKRMLSAAKNLLEEMLEQKVQPDKFVYTTLIDGFIRYDKLSDARKIFEFIEEKGGSCPDIVAYNTMIKGYCKFGMMNEAVMCMSSMRKVGCIPDEFTYTTLIDGYAKKGDIKAALRFLCDMMKRRCKPNVVTYASLICGYCNIGDTDSAQDLFASMQSEGLFPNVVHYTVLIGSLFKKDKVIQAAAYFEHMLLNHCCPNDATMHYLVNGLTNCRYGKWRSALPNELQQDEFEIVCKHMTLFSQHVISPVRDEVSRVLQLYAEEFQFLQQPEQRFAARYKYFHLEVLFYKILGLLQNWTVLCPVNKRKLLDGFLKNLKTAARMVVWLRYPQKRNTKFFRSMTTAYPDVGDQSPGKHSRLLFSSSFLW >Sspon.06G0022140-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:24872511:24884678:1 gene:Sspon.06G0022140-1T transcript:Sspon.06G0022140-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEKTLPGANAGRTVLVTGGAGYIGSHAVLQLLLAGFRAVVVDNLNNSSELAVRRVAALAGDHSRNLSFHKIDLRDKGALEMVFASTRFDAVVHFAGLKAVGESVQKPLLYYDNNVIGTINLLEVMSAHGCKKLVFSSSAAVYGSPKNSPCTEDFPLTPNNPYGKTKLVVEDICRDIYRSDPDWKIILLRYFNPVGAHPSGYLGEDPRGIPNNLMPYVQQVAVGRRPALTVLGNDYATRDGTGVRDYIHVVDLADGHIAALQKLFENSSIGCEAYNLGTGRGTSVLEIVKAFEKASGKKIPLIFGERRPGDAEILFSETTKAERELNWKAKYGIEEMCRDQWNWASKNPYGYGSPDSTKQNGHQTNGSADSSKQNGHHTNGSTDSPKRNGHYANGSADSPKRNGHYVYGSSDFKQNGNGHLH >Sspon.02G0001270-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:4425552:4426190:1 gene:Sspon.02G0001270-1A transcript:Sspon.02G0001270-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEQPNPNPSPQPQQAEEREVVVEQQQEPGRATKLRYLDFVQVAAAQAAVYLARLYGLAKDHAGPLRPGVDAVESTVKGVIGPVYARYGGLPLDVLAFVDRKVSRVARPVTDPPSSPAAPSLARVADKHGGVVLRQFVGGGFTGHKPRRRPGPLAPCGTRCRSSAPVWKVLVAE >Sspon.05G0034630-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:61230173:61234713:-1 gene:Sspon.05G0034630-2D transcript:Sspon.05G0034630-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPLPSPLCPLRVVPAAAVAQPPVPARGGMSDEELLTLQNYKIQAMDLIDTLERLNNGINNVGAKETDGVTSIVARHCLLRWILRLIL >Sspon.03G0040690-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:31698292:31699124:1 gene:Sspon.03G0040690-1C transcript:Sspon.03G0040690-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLTPRLVVPIDVKKQPWEQKVPLHNRWHPDIPPVADVTEGELFRVEMVDWSGGRVKDDNSADDMKFMDFTIVNTPQAHYLSGPLRIVDSEGIPASPGDLLAVEICNLGPLPGDEWGYTAILERENGGGFLTDHFPSARKAIWYFEGIYAYSPQIPGVRFPGITHPGVVGTAPSVELLNIWNEREKRLSETRPETIKLCEVLHQRPLVPLPTLDNCLLGKA >Sspon.01G0000270-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:673824:679639:-1 gene:Sspon.01G0000270-2B transcript:Sspon.01G0000270-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEELLIFTRGGLILWSSCRALGAAALKGSPIDALIRSCLLEERSADATFSQDNYALKWTFHNDLGLVFVAVYQKILHLLYVDDLLAAVRREFSQIYDPKRTSYDDFGDIFRQLHREAEARAEEMKKSKQALSSRLQPALSQKKVGPKGRRGDSRAAAANKGGSGAKDDSDGDDSGNKDHNTLPNGAFKGQENGARPVVVKGKENGGPKDNGAFDVSKLQKLRTNKKNVAADNGTKKLTKPDTKKKGKQDRVWDDKPSNKKLDFTDPADERGDEVIDNVAVIEGESMMDKDEDLSSDSEDEEVEDGPKKKGWFSSMFQSIAGNNVLEKADLQPALKALKDRLMAKNVAEEIAEKLCESVAASLEGKKLGSFTRISSTVQTAMEDALLRILTPRRSIDILRDVHAAKERGRPYVIVFVGVNGVGKSTNLAKVAYWLLQHNLSVTLAACDTFRSGAVEQLRTHARRLQIPIFEKGYEKDPAVVAREAIQEATRNKSDVVLVDTAGRMQDNEPLMRALSKLINLNSPDLVLFVGEALVGNDAVDQLTKFNQKLADLSAVPTARLIDGILLTKFDTIDDKVRTCTLVRVISS >Sspon.06G0032370-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6C:84146067:84147004:-1 gene:Sspon.06G0032370-1C transcript:Sspon.06G0032370-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMQGIEMTASAEEPAVAGSSVLVGDDVSDGGVVDPPPSSEDERDNEGVVLGDEEDHYFSSDDEDDDTDDENVDSDSEFEEDEEVPKCQCHHGGGGEIIVDYRYTRFLRTQSGGDGDGVDMHVLGPKQARLRFVLPSPLASASDPVTTTVSGSLRFAGAVLASLVYPARFSAQLQALWSVATVPVRVPARATCLLVTVDVGILRPGDFTPARMMRMCEALESVADERAALPTPAFDVSTELHLPALLRSEDDVRPTKRRRVSGEDDCPICCE >Sspon.01G0030340-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:105482084:105482954:1 gene:Sspon.01G0030340-1A transcript:Sspon.01G0030340-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDPKYAYPYPAQGYYQGPYQGPPVMAPPQYAAPPPRREPSFLEGCLAALCCCCLIDECCCDPSIIFVS >Sspon.06G0011450-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:62673058:62675676:1 gene:Sspon.06G0011450-1A transcript:Sspon.06G0011450-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPGGCLEVRLFYVRVSPHGGAAPPARLALELRPAGGDGEAQAPAIPLPLRLDRHDAASGEATYVSTAAVRLSPPAAAFDVADDRGAALLRGSLRRCPGAKGGPPAWEIDCVPAAGAAASASAFEVYVAGCCAGEPAVLTHALRLATPEEAAGALAASNEGDNYMNTGSMQYPEGWYSDDDDGQLSWFNAGVRVGVGIGLGVCVGVGIGVGLLMRSYQATTRSLKR >Sspon.01G0023720-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:90621688:90629008:-1 gene:Sspon.01G0023720-2B transcript:Sspon.01G0023720-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKDGGFAKVLLLHVAALSTAGAVAAAAALARRRLRGGDRRKQLPQAPAMAEMPRLRVAESGRLEYLEKFSHYVARQLGFQDVNECPQLCKLANNYLNNSKTCMEDIYGFFANARDAECLYALTADSSTKKKWRNMVLEATRKQRFERVTRELKVTRVLSTLVEEMKAIGIGTAASQCTDVMAPVAHSERSPVLLLMGGGMGAGKSTVLKEIKQEALWANAVVVEADAFKETDVIYRAISSMGHHNDMLQTAELVHQSSTDAASSLLVTALNEGRDVILDGTLSWEPYVEQTIAMARAVHRQRYRMGVGYKVADDGTITESYWEPDGTEQPPPADGASRRPYRIEVVGVVCDAYLAVARGIRRAIITRRAVRVRSQLQSHKRFAAAFQRYSRLLDGARLYSTNSMGSARLIAWKDGVGSSLLVEPREFDCLDKVSRLNENATSVHDLYPDGTTTCGERSIWDDMIAAPARADTQRELKEAIRSVEAGGGEPDTPPTTPQRELLGVIRSAEEAANGEPAAPAAETPTATS >Sspon.01G0015240-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:45819954:45823634:1 gene:Sspon.01G0015240-3D transcript:Sspon.01G0015240-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MCPGSVESAGAAGKSVCVMDAAGPLGHALVDRLLRRGYTVHAATYAVSGDGEREEEEEEAAAALLSHLSSCGGDVDAYRRRLKLFRADPFDYHAIADAVRGCAGVFCMFNTPDDQAQCDESTVETEVRAAHNVLEACAQTDAMERVVFTSSVTAAVWSGAGAGTHGHEEAVADEKSWSDLAFCRKFKLWHALAKTLSEKTAWALAMDRGVDMVAINAGLLTGAPGLRLTAAHPYLKGAPDMYDGGVLVTVDVDFLADAHVAAYESPTAYGRYLCFNNAVCRPEDAVKLAQMLSPAAPHARSPPPPSDELKVIPQRIQNKKLNKLMLEFASGV >Sspon.03G0021580-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:65889550:65894872:1 gene:Sspon.03G0021580-1A transcript:Sspon.03G0021580-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSITRLAFVLALAIASSTEVAESRDFNILAQGSLPDAAKGLGLTASIVKLCQLCEQYSTEALFYLTQNETRTEILSILHHECASLAPLKQQCITLVDYYVPLFFLEVSMVTPEKFCESMHLCKKGMKISLPTREGTCGLCHHVVVEILVMLKDPNTQLEVIDLLTKTCSKAQNYEQQCKRLVLKYTPLILVKGQKFLETTDVCSAIHACKAGTQASMEAMPLSATL >Sspon.03G0043860-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3C:85353725:85353928:1 gene:Sspon.03G0043860-1C transcript:Sspon.03G0043860-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGWLVAGGQGMAGGKWVAGARSWPAGGRWPRPVGDRLGSCGPGEEIPGAPAKIWPRKERGEGKKE >Sspon.05G0004480-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:5B:8382610:8383032:1 gene:Sspon.05G0004480-2B transcript:Sspon.05G0004480-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLQALCPLVFLLACSMSNASVLQDACKSFAAKNPGTGYAYCIKFFQVDKGSGSADKRGLAAIAVKLTGAAAKSTTKHIAALRASEKDKKRLAGLKDCSEVYTQAVDQTGVAAKGIASGTPRGRADAVTALSAVEDAPDT >Sspon.03G0024810-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:75351341:75361934:-1 gene:Sspon.03G0024810-1A transcript:Sspon.03G0024810-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSQAKHDMGREFRSSLPQQMVWSSGGGTDSKNMMSSLMPCAEEEQEEGSNKMPPLSSPSSMLLPQHLLQISSGLPLPLPPEVLNDSSATSDLHDGRESNMPESWSQLLLGRLVGDHERYNAATALLSKGLEEGPATAMPAAYNFYGHGLGGEEIQTSGTNSKSHQVSQTLLASSSPRSYITTSLGSNMLDFSNTTMPAPELKNHHSDNSSEGNSAASGSAPNKKARVQTSSSAQSTLKVRKERLGDRITALHQIVSPFGKTDTASVLQETIGYIRFLLSQIEALSFPYLGHGNGNSMQQHNNLLLNHSTSNGMVEVEGSTQQEEEVNGVEEGSRKDLRSRGLCLVPVSCTSHLADDNGASDFWAVAAAPPPPPPPLAGIIWR >Sspon.08G0002620-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:7580407:7581639:1 gene:Sspon.08G0002620-1A transcript:Sspon.08G0002620-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MERSRRLLLSDYDGAIESPLPSPPPPSSATTFRPGVAVVVGILTSVFSITFLLLLYAKHCKRSAAESSGPYGSAGGHGGGFGSSGAGGAGGEDRRNSGVDRAVTKAAAAAATAAKEPAPALPPAPAPTPAPSGRRISGRHSTGSVRAPGRVGPASRRSADGGVALGCFDGAKVRKDRVLLMEPAAVVAEPDPVAFDRRFGHRILVSTAGGCEGETAPAAQQRWSDLRPSDLMFVRAEFLVTEAGRYSCSAAVVNSGNARSAIGVRSLSELAAGVRRLPPIRAGACEGEAEARVGGGARRWPGSSWWAPRGTPPARNGPIA >Sspon.04G0015210-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:65610965:65611450:-1 gene:Sspon.04G0015210-2D transcript:Sspon.04G0015210-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNASKMTPILLAILLACLAFSANCTGAGERGDEESPAAAGHRRWQPNGDTTMIWRSSSSTMDVVTGRCERSVCEGSPCYCCPSQATRPCFLELDACLRVCGSTGPRPPAAPLPPEVQSFARGSGHQAVLPLIESS >Sspon.03G0014040-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:89980114:89987088:-1 gene:Sspon.03G0014040-1P transcript:Sspon.03G0014040-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VKSTIERYKKANSDTSNSGTVAEVSAQHYQQESAKLRQTISSLQNANRTIVGDSIHTMSLRDLKQLEGRLEKGISNIRARKNELLYAEVDYMQKREMDLQTDNMYLRSKIAENNETGQPAMNMMGVPSTSEYDHMVPFDSRNFLQVNIMQQPQHYSHQLQPTTLQLG >Sspon.07G0025930-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7B:49305648:49306642:-1 gene:Sspon.07G0025930-1B transcript:Sspon.07G0025930-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTTSQPFQGKRHLHLHHGGRALPSVYGGAAPWPVSSSAAPPLPKPEMSSSTSRKSTAEAAQALKIHSEAERRRRERINAHLATLRRMIPDARQMDKATLLARVVCHLKDLKKKSAETTTQLPLAIIPAEANGIAVHCYTGAAAVAGYGRPSSATYIWASVSCDDRSGLHADLAAAFRAMRLRPLRADVAALGGRAQCDFLLCREEGGGVMTTSAAGGGVLRALEEGVRQALARAAFPDLETTPYGCNATRSRRQRLVGSSSHCVLFGHGHGRHVGEQ >Sspon.08G0002190-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:5119986:5121669:1 gene:Sspon.08G0002190-4D transcript:Sspon.08G0002190-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDAAAPAAPPPPPGTLQKSYFDVLGICCPSEVPLVEKLLRPLPGVRTVTVIVPSRTVIVLHDAAATSPAQIVKALNQARLEASVRAYGSNSEKKVANKWPSPYVLFCGVFLVVSLFEHFWPPLKWFALVAAAAGLPPIVLRSFAAARRLTLDVNILMLIA >Sspon.01G0028380-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:99236013:99238808:-1 gene:Sspon.01G0028380-1T transcript:Sspon.01G0028380-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKSQEKLTAKPGTMCYMSGNMQMDNNYLPENDGGVWQWIFGKSVSSTIFFNSGSDDGYVGIAAPFPGRILPVDLANFGGELLCQADAFLCSVNDVSVSSTVDPRPRNVEIGAEMILKQKLRGQGMAFLVGGGSVMQKILAPREVITVDAACIVAMTTTINFQLKNPNQLRRAVFGGDNQLTASLTGPGVVFIQSLPFHRLSQRIASRSVAGPSLRDNPKFFIQIVMFFFLAYVMIVSSIILTDV >Sspon.02G0025910-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:90013362:90014799:1 gene:Sspon.02G0025910-1A transcript:Sspon.02G0025910-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSKKVCVVGAGVSGLASARELLREGHDVTVMEQSGGVGGQWLYDPRTDASDPLGAAGVHSGVYASLRVNSPRDTMGFSGFPFYPKNDGTGDTRRYPGHGEVLRYIRDFCDAFGLMDAVRLNTKVLHVGLAPLRAADNGIKRWTVRWSKRGDCEGEAVTTEEVFDAVVVAVGQFTQPRLPTINGMDKWCRRQLHSHSYRVPDSFHGEVVVIVGFHESGKDIALELSRVAREVHVSVKSMEGLTPAMSKVVSRHHNLHLHLQVERLCEDGQVTFADGSCVVADSVIYCTGYEFSFPFLDTGGLVTVDDNRVGPLYEHTFPPALAPSLSFVGVPRLVLVPLFYEMQARWLAQVLSGRKPLPSPEEMLRSAEEYHRAREMASVPRRLSHAIFFDFEYCDEFREKHCGFPRLPEWKRDLLSSAVARMTDGDTESYRDSYHDDSDVVLEGLRSEGWLPRTP >Sspon.02G0006330-3D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2D:12711264:12712573:1 gene:Sspon.02G0006330-3D transcript:Sspon.02G0006330-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLAVLIPLIIASLVADAAAVRVELTRIHADPSVTASQFVRDALRRDMHRHNARQLAVSSSDGTVSAPTQISPTAGEYLMTLAIGTPPLSYQAIADTGSDLIWTQCAPCSSQCFQQPTPLYNPSSSTTFGVLPCNSSLSLGWTFVFQGSETFTFGSSTPAGQARVPGIAFGCSNASGGFNTSSASGLVGLGRGSLSLVSQLGVPKFSYCLTPVQDTNSTSTLLLGPSASLNDTGISSTSFVANPSSAPMSTYYYLNLTGISLGTTALSIPPSALSLKADGTGGFIIDSGTTITLLGNTAYQQVRAAVVSLVTLPTTDGSAATGLDLCFELPSSMSAPPAMPSMTLHFDGADMVLPADRYMMSDSNLWCLAMQNQTDGGVSILGNYQQQDMHILYDVGQETLSFAPAKCSTL >Sspon.01G0019810-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:73830550:73849409:-1 gene:Sspon.01G0019810-1T transcript:Sspon.01G0019810-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPASPVSDVGDPQVEEPSQAKAAAVAEPAAAVAELAGASNPSTVAAAAPGDALSGPASATTSDSAAAPPPASPATPAAPPPASPALPAAPGPPRPQFAGSLSYMAPGAPSPSLTPSPAFSYNVLPRAPPAPQVGSGAASLQPCSSPALSVAPMPASALQPPAPGQYFGNRPSFSYNVVSHANARLPTGQQFQLDTGANLAGQISRFVPPGSLQPPTPGHITRPSTAFPGSMAPNPPGSVQLPFSVPRPSIIPCSAQQGNSDTNNLKSDGPSASEVTPHAMQLSTGMSSNSPSIIASASGNPSISIQTLTNSSVPPRPEVFGAARPSAPGQPSAIVSNPTSLLGRPLVPSAAPLPQTTPPIATQGVTPQNSQQPFYSSYPSGPAIVPPQPLWPHPHPQPTGFQQPPFQSYPAGPVGSLGRPIVGASAATTAFANVQPPGVSTGGDRKIQASTNPGSEQPTHASAEPDSTGNVFTGFDIWSCFFILTILLIIFNAAGHGGQVNEQLEDNRNTGVQDSDAWSAHKTETGVVYYYNALTGESTYQKPTGFKGELEKVATQPVPVSWDKLAGTDWSIVTTSDGKKYYYDNKQKVSSWQLPPEVCEILKNAESGSLKEGSTSVQDAATIENKGVISIDANTPAIQTGGRDSLPLRQTVAPASPSALDLIKKKLQDAGASSAPSALATSSAASELNGSRPADAALKGQQVANNGEKSKDNNGDVNMSDSSSDSDDEEHGPSKEDCICQFKEMLKERGVAPFSKWEKELPKIVFDPRFKAIPSHSTRRAIFDHYVRTRAEEERKEKRAALKAAVEAYKELLEEASEDINQKTDYQEFKRKWGADPRFEALDRKEREVLFNEKLFKKKSNQCAKLSLLISNQCFEKVKENFRSDPRYKAMKHEERETIFNEYIVELKSAEQEAEQAAKAKVDEQAKLKERERETRKRKEREEQEMERVKMKIRRKEAVSSYQALLVEMIKDPKASWTESKPKLEKDPQGRARNPDLGRGDAEKLFRDHVKDLYERCVRDFRALLSEVITPEVAARTTDEGKTAINSWSEAKGLLRSDLRYNKLASKDRESIWRRYADDLTRKLRQSDTKEKDKSDADGKQPRSSDPPRRRHI >Sspon.03G0039510-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:16513899:16516976:1 gene:Sspon.03G0039510-1C transcript:Sspon.03G0039510-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RHLRPGHWLNPYPSSSRLHLLLHSAPRPRPARRASDPSHGASRTPAPSPRWAGGGACADHAPVGLTCKILDWDGPPVSCLMKISKGRKKMRNKQRKSSALSSDAGCGSSLSCIVWSLVGFGLVVCFLSLKHQADSGQSHVYFSPLHATRELEDIEEDNFRLPPPHKVNPRAVKRRGPRKLPKIIDDYLEESSAVHALFFPDQRTAVDPTKGGNDSMYFYPGRVWLDTDGNSIQAHGGGIMYDHKHAKFYWYGENKDGPTYQARSKGTQRVDIIGVSCYSSKDLWSWTHEGIVLPGEPTNVTHDLHRSKVLERPKVIYNDRTEKYIMWMHIDDANYTKASVGVAVSNSPTGPFTYLYSFRPHGFESRDMTVFKDDDGTAYLFYSSRDNTELHVSPLTEDYIQITAAMKRILIRRHREAPAVFKHQGTYYMITSGCSGWAPNRALAHAAESIMGPWETLGNPCVGGNRFYRLTTFLSQSTFVLPLPGLPGTFIFMADRWSPSNLRDSRYVWLPLFIGGLADEPLDYSFGFPLWSRVSIYWHKKWRLPEDWKVGYD >Sspon.07G0032740-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:54014853:54015419:1 gene:Sspon.07G0032740-1C transcript:Sspon.07G0032740-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKAPSSPLAVAMAVLAVAWASSSGLRAAEATIESTCAAAAARDRRVDALFCVQQFLAYHGAAESDLWGLARTAALIGVGLGDDAMYDVHEGTIPDPPAGGARGKAAMAACAQAYDAVGMAFAEAADELGARLFERAQERFDHVAPLVRRCDAALAVAGARTPPVLARYGTDCQQMAVIGVAITNLIK >Sspon.06G0025190-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:60117084:60120951:1 gene:Sspon.06G0025190-3D transcript:Sspon.06G0025190-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVVTGAVSTLLPMLGDLLTREYQLQASVRDDVAFLKAELESMEAALLRISEAPADRPPDAQERLWAREVRELSYDVEDCVEAFLVRLHQHHHAPAPAPPRGLRGLIDRGLGLLRRAKIRRDMGSEVRDIKRRVVEVGERRVRYKVDGIAAAAKPGRGPAPADGLRLSALYAAATELVGTQERSRELVQLLMVERDEASNRLLRTVSVVGFGGLGKTTLARIVYEELKGQFDCAAFVSISHDPDMEKVFRDMLCQLDKNRNTNIAMWGEAQLIEQLREFLRDKSFPIIRVLNLYGCTQVDNSHCKHICNLYHLRCLKLSLTSITEIPNEIGNLQLLQFLDLNMTNIKALPPAFVQLRKLEFLCVDNRTRLPECLGNLISLQKLSPRITISSPTMLRELSRLTELRRLMLRFDDWDDESYEEPFVQCLSNLVNLESLQIFDCHNGLGSNSNIGMLLTPGPQQLRSMNIGPGTVGCVPRWMPSLFALSALDVTLLTLEEEDLQVLGSIPSLCSLYVWVKEHRKDRHKRLAMGSDDCPFRCLTKFRIGPGAMEVEFAAGAMRKLQTIRLDLHVRHTLDQFGDFDCGLESLSSLERAVVHMNCCRAELEEVEAAEASILKALELNPNRPTLELDKIQNTGRGQDEGASSGFKVLCWAQFCENHQSPSPSAALTSCRAAPMTTLSAISAKAGYGYCRAPTLSWK >Sspon.07G0021970-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7B:5580960:5581268:-1 gene:Sspon.07G0021970-1B transcript:Sspon.07G0021970-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTGGRRQCREETRPRRPAGHVRAAPWWPETATVGVRAARALSPPPASGGRGRPSERDGSGRRDGDGGRNLSHTLEIERLKSFRVTWAGCPCGKAPRLIRVK >Sspon.02G0031460-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:115039566:115041128:1 gene:Sspon.02G0031460-1A transcript:Sspon.02G0031460-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LHGAWRARVYGNVSYSCFTSNTTTTPRTGFSLDGTPFITSTRNHFTVIGCNTLWLIGGYIWTTTLICMWLAATPTAKASTAHLTGRRALGQDAARPPSPPTSQTSQQMNESSVWDFNPCFYAMLVEAGWYSFRRQDLIGYLGFTNDNCPKGGAKAPKDYACVGSNSYCVNASNGPGYLCKLRSDIDECHLRKQDVRYKKLYPCENGCCRNTLGGYICKCRIGTRSDGTNSGCRPVLSKAEHHCAGLSASAVLVISLTCLLVMKLQQSKHMKEKDEYFKQNGGLRLFDEMRSRQVNTILILSENEIKKATDN >Sspon.02G0046800-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:7845076:7848965:-1 gene:Sspon.02G0046800-1C transcript:Sspon.02G0046800-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVREGRCSLGGRAWSWRGIRRYGRVPAGGEIPDVTSTPRHDRGCAAPAAGPSPTPDAARQELSGTPPRRGVQTCEVDFVARDVDTLPPSQYRADPMEIEARLPRDSQLAMSQELLALRSPDLGPARVDVDGPCRFVGQSFDSSPGVRASQAGPLNPVASQPISTQPISSVLGFDVMELEFMSSNTGPSDDDGYHAASSGDDTPTPNATAVQQDLEEPSEPMDVELGQDCGLADFGCMDICRTATASRAPNCTESLPWPLMICEFSWEKDFYEGRIDDIDGEKVGMFGVYDGSCCQLAMVKPIQDPRKQQTDR >Sspon.05G0014960-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:57278814:57279574:-1 gene:Sspon.05G0014960-1P transcript:Sspon.05G0014960-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LMASTDAILSSPVASDGFKSQFLASPAVAVVQVPADAIASSSKKQQVKMELTSGEIACKQQQLKPRFALELDGLNCFETLVPR >Sspon.04G0021650-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:1813931:1816985:1 gene:Sspon.04G0021650-1P transcript:Sspon.04G0021650-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein EMBRYO SAC DEVELOPMENT ARREST 30 [Source:Projected from Arabidopsis thaliana (AT3G03810) UniProtKB/Swiss-Prot;Acc:F4J2C8] ICDLVAVTRLLNATLVIPEIQATTRAKGISPKFKSFSYLYDEDHFIHALSSDVVIVHGLPKDLREARKKIKFPTVSPRNSATPEYYIKEVLPRLIKSKFHGIIVNGGNCLQSMLPASLEEFQKLRCRVAIYALRLRPQIQALGSQIVGRLRASGRPYVAYHPGLLRDTLAFHGCAELFQDIHTELIQYRRNQMIKRGTVKEQLTVDSVSRKMAGLCPLMPEEVGLLLQALGYPPTTIIFLAGSETFGGQRMLIPLRAMFANLVDHTSLCSQRELFDLVGPEDPLTPDLPQPPPPKSEKQLIEEWKRAGPRPRPLPPPPARPFYVHEKEGWYGWIGENDTEPDASLIEFRRQAHRLLWDALDYFVSVEADAFFPGFHNDGSGWPDYSSLVMGHRLYQTPSSITYRPNRKIIAVLFEDVNDHRYHPPWNWTIAARERSTGVQVWKALCHQLCCQDLYLFSPTLCQSVLAEHQSHLLFNR >Sspon.02G0009450-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2B:24991160:24991978:-1 gene:Sspon.02G0009450-2B transcript:Sspon.02G0009450-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTLEKAAPGKSVSPDLEGKVFDSPDPKRVGPTQPTPGLRSPPRPTPREPASRHPTPRRGVFASPDEIYTNKPSGEERMRVREGHTVPNQSGRNLHASA >Sspon.04G0009110-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:22377497:22386660:-1 gene:Sspon.04G0009110-2B transcript:Sspon.04G0009110-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RHGGRLLQPQEDRRHLRGRLRQRGEAPLRSCSPPRQICPFLDQRPRGLPSGSLQLGSKSVLSMSRLKCALRGFDLRVLLILLIGVPILIFAIYVHGQKVTYFLRPIWEKPPKPFTIRPHYYHENVSMDNLCKLHGWKVRETPRRVFDAVLFSNELDILDIRWHELSPYVSEFVLLESNSTFTGIKKDLHFKENRQRFDFAESRLTYGMIGGRFVKGENPFVEESYQRVALDQLIKIAGITDDDLLIMSDVDEIPSGHTINLLRWCDDIPEILHLQLRNYLYSFQFLLDDKSWRASVHRYRAGKTRYAHFRQTDELLADSGWHCSFCFRYINDFIFKMKAYSHVDRIRFKYFLNPKRIQHVICEGADLFDMLPEEYTFQEIIAKLGPIPSTFSAVHLPAYLLEQNDRYRYLLPGNCIRES >Sspon.01G0002150-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:5269039:5276171:1 gene:Sspon.01G0002150-1P transcript:Sspon.01G0002150-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LSDRLPYSIRILLESAIRNCDDFQVTGNDVEKILDWEKSAPKLVEIPFKPARVLLQDFTGVPAVVDLACMRDAMSKLGSDPNKINPLVPVDLVIDHSVQVDVARSANAAQANMELEFHRNKERFGFLKWGSSAFRNMLVVPPGSGIVHQVNLEYLARVVFNNGGILYPDSVVGTDSHTTMIDGLGVAGWGVGGIEAEAAMLGQAMSMVLPGVVGFKLSGKLKNGVTATDLVLTVTQMLRKHGVVGKFVEFYGQGMSELSLADRATIANMSPEYGATMGFFPVDGKTLDYLKLTGRSDDTVAMVESYLRANKMFVDHSQVEAERVYSSYLELNLEEVEPCLSGPKRPHDRVTLKNMKSDWLSCLDSDVGFKGFAVPKESQGKVAEFSFHGTPAKIKHGDVVIAAITSCTNTSNPNVMLGAALVAKKACELGLEVKPWIKTSLAPGSGVVKKYLDKSGLQKYLDQLGFHIVGYGCTTCIGNSGELDESVSAAITENDIVAAAVLSGNRNFEGRVHPLTRANYLASPPLVVAYALAGTVNIDFEKEPIGISKDGKEVYFRDVWPSTEEIAEVVKSSVLPDMFKSTYESITKGNPMWNELPVSTSTLYPWDPSSTYIHEPPYFKDMTMTPPGPQPVKDAYCLLNFGDSITTDHISPAGNIHPDSPAAKYLKERGVERKDFNSYGSRRGNDEIMARGTFANIRLVNKFLKGEVGPKTIHVPSGEKLAVFDAAMKYKNEGHDTIILAGAEYGSGSSRDWAAKGPMLQGVKAVIAKSFERIHRSNLAGMGIIPLCFKAGEDADTLGLTGHERYTVHLPTNVSEIKPGQDVTVTTDNGKSFTCTLRFDTEVELAYYDHG >Sspon.03G0024250-1P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3D:58392417:58393770:1 gene:Sspon.03G0024250-1P transcript:Sspon.03G0024250-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RAFPVEDGKSLQFIYASQQFETKGGLTATTNVYRHKDFNANMRHIQSECCSPNEVLFCPDFAESLYCHLLCGLLLADDVRTVSATFAHSLVLAFQTIERLWEELCADIRDGTLSSTRVTSPAVRQAVSALLLAPNPALADEVARRCRGLINWYGVIPALWPKARYVHTIVTGSMEHYVKKLRHYAGGLPLVASDYGATEGMIAANVEPREPPESATFAVLPNIAYFEFIPLSLRGCDGDGDDDGATAADACYTEADPVGLTEVAVGEHYEVVMTTFAGLYRYRLGDVVKVAGFYNSTPKLKVVCRRNLVLSINIDKNSEHDLQLAVDSASKILAAEKLEVVDYTSHADVSRDPGHYVVFWELNADGNDDVLQSCCDELDRAFMDPGYVGSRKARAIGQLELRVLRRGTFQKVLGHYLSLGTPLNQFKLPRCVAPSSSASAAGVLEILTANA >Sspon.03G0021720-1P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3A:66074536:66075450:-1 gene:Sspon.03G0021720-1P transcript:Sspon.03G0021720-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRKPIHADAGANGAEANKEKERKGLWSPEEDERLFNQISYHGVSTWSSVAQLAGKIQFLGTRFHFSYWTIHTVQLINMFLNADECAGLRRSGKSCRLRWMNYLRPDLKKEPISKREEETIIYLQKSLGNRWSTIAARMPGRTDNEIKNYWNSRIRKRLNAAARDSAAEPAAAAAGAKEGSANAAPPPADQPVPIPALFPVFGCQLLELDGAGGGMSSAGSGSGESPQSSTTNSTQQNTGDESEVSVGGGGGDSNMIHFLSFDDLDFYPGDLLVDVPVAMDAWEATVLCELDELALLITANNCI >Sspon.04G0011210-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:33575616:33578823:1 gene:Sspon.04G0011210-3C transcript:Sspon.04G0011210-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGKRGEDSAVALKTSPSVTMARGLRGGSNPLEEWSGRVKAIEAGFRAWMAKQPIHIEAAVATAVGAVQGGALGGLMGSLTADGGSPFPMPQPPPNANPEAMASFKQAQALAGGPLVQARNFAVMTGANAGISSVMRRIRGQEDIQGSMAAAFGSGALFSIVSGVGTPNPVVNAITTGVAFAVFQGGFFMIGQKFSKPPSEDTYYSRTRSMLHKLGLEKYEKNFKRGLLNDQTLPLLTDSALRDVKIPPGPRLLILDQIKRDPELVRAK >Sspon.02G0031390-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2C:105818431:105819465:1 gene:Sspon.02G0031390-2C transcript:Sspon.02G0031390-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAARLACQREHLNTAGPAGTQDTDLKYCYLAKAAYDAYDHGRCRYSLDRMLPALGLGDHGYVATAFLYAAVNIVPVEHQQHWIGYVAVADKAERDRVLGYQYREIVVVWRGTSALDELFKDLEANLQPIHGEESNKLVLVENGIQSLYATSCDSDACKNNQGNNKLSAKDQVLAELRRLVTYLRNKCPGDKIHVTATGHSLGGALATLTAWDAAAHEALAGVVVRAVTFGAPRVGNQAFCDELVGPRGVKVHRVIVDRDVVPSLPPTSFGYADAGSDVRLLDSKHVVRLPFLTLLVSWHFHSLKEYLRILDSDYHERPVQVQDPPPVPADQFLRLPEAELDKRI >Sspon.05G0027910-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:5C:53148386:53149261:1 gene:Sspon.05G0027910-2C transcript:Sspon.05G0027910-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVVDALDAGYYAATCPDAEAIVQDAMSRLHYTDPTLAPAIIRMLFHDCFVRGCDASVMIVPTPLHTTSERAAIPNHTLRGFTAVDAVKRALEDACPGAVSCADALALMARDAVALLGGARYGVALGRRDGTVSDPSEVDLPAPFAKLGDVLDYFAARGFSAEETVVLFGAHTVGGAHCSSFRYRLTGLGDGTMDETFRRDMLDACGATDLPLDTDPATFFDPDTPFAVDNNFYKQLMSNRTLLQVDQEAATNPGTAPHVAYYAATPDAFVQRFSEAMAKLSNVGVLEGDA >Sspon.01G0026180-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:92248704:92251620:-1 gene:Sspon.01G0026180-3D transcript:Sspon.01G0026180-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSIELTKEYGYVVLVLVAYAFLNFWMSFQVGKARRKYKVFYPTMYAIESENKDAKLFNCVQRGHQNSLEMMPTFFVMLLLGGLQHPTIAAGLGVLYVVARFFYFKGYATGVPDNRLKIGRLNFLAVFGLIICTASFGINLVIRETL >Sspon.05G0035410-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:72772257:72773398:1 gene:Sspon.05G0035410-1C transcript:Sspon.05G0035410-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFEQAYAREVVSSGMGLWGWLWGRRGPSGFGSASTAEEVTAGVDASNLTAIVTGATNGIGKETARVLALRGAKVIIPARTLESGLKVKESLAEQVPSSKLHVMEMDLSSLSSVRDFAGPSIHLTSI >Sspon.07G0029300-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:75544391:75547064:1 gene:Sspon.07G0029300-1B transcript:Sspon.07G0029300-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPCNRRRFHCRGLTSFIAVADQSIHRRAAAGMEVYAVGALRLLPWLALAAVLLPPPPAASQQQPAGGCRQQCGNVTVPYPFGIGAGCHRGSTTGGFRLQCDDAGGGRRRPPRLTVAGYGHEVAAISLATAEATVLLNASRACYDRPGDADGRVVSLREHPMALNGSAFLFSSMKSKFVSIGCPGLAYFNDGDGYYVTGCMSVCRPSERALPGSCRGDDGCCQSNIPLGLNSYRPYLGSFGRRRRGRGREQEATFLANSTACSYAFMVDAWWFWYAGSHFNRTGDFAVPVVLDWAIRDAPSCAAAARDGDTYACRSAHSVCLESSNGPGYVCNCTAGYQGNPYVADGCTDVDECRHGDEFPCYGVCVNTPGSFACTCPKGSSGNATVEDGCRRDDDNRFGLPLKIVTGVSAGVLMLLLASFSSHLWLQKRRLLQAKQRFFEQNGGVLLQQQLGSLASSGVAFRIFSEEEIGRATDGFAEARVLGRGGHGVVYKGVLADGSAVAVKKSRVVDAKQVKEFAREMLILSQINHRNVVKLLGCCLEVEVPMLVYEYVSNGSLHGYIHGGGEAKVQLPPGARLRIAAESADALAYMHSSASPPILHRDVKSANILLDGELAAKVSDFGASRLAPAGEAAVATLVQGTLGYLDPEYLLTSQLTSKSDVYSFAVVVLELLTGRKAFVPVEDEDEEEEGGLAFCFITAAQAGRHRELMDQQVMEEIGVEVLDEATELLMRCLSMVADERPTMKESSFSAEKASEPLPEGSVREEHMEDRLGPARLSRMPMNLQEGLRGEN >Sspon.04G0008880-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:26238617:26245340:-1 gene:Sspon.04G0008880-3C transcript:Sspon.04G0008880-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKPVSLKPEHIRDEKVKVLQSVEPIKHEEVVIGQYDGYKDDPTVPDDSNTPTYASVVLRVHNERWEGKKQGRNEFVIRLQPSEAMYMKLTVKKPGLEMATEQSELDLSYGLRYNDVKIPEAYERLILDTIRGDQQHFVRRDELRAAWEIFTPLLHDIDGGKLKSVPYKPGTRGPQEADELSKRMGYVQTHGYIWVAPTLSKV >Sspon.03G0023400-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:71795220:71800753:1 gene:Sspon.03G0023400-1A transcript:Sspon.03G0023400-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCVCGRPSAFDDGQCRATPPPAAKLSAAVRREEEARKQQLQLQQHARAGSGREEALERRRAMMAMAAACQVRSPVPRAVEAEQVAVGWPPWLVAVAPEAVRGWVPRRAESFEKLDKIGQGTYSNVYRARDLEKEKIVALKKVRFDNLEPESVKFMAREILILRRLDHPNVIKLEGLVTSRMSCSLYLVFEYMEHDLAGLASFPGVKFTESQNMLTISATVVQVKCYMQQLLRGLEHCHSRHILHRDIKGSNLLIDNRGILKIADFGLASFFDPEQRHPLTSRVVTLWYRPPELLLGATNYGVSVDLWSAGCILAELYAGKPIMPGRTEVEQLHKIFKLCGSPSEDYWRKSKLPHATIFKPQHPYARRVPETFKEFPAPALALVDVLLSVDPADRGTASSALQSEFFTTKPYACNPSSLPRYPPSKEFDAKRREEEARRQGVTGGKQHKHDPERRTRESRAKRQAQANTRSRSEMFNPCKEDSASGFRIEPPRPTPVTESSEDPQRAYPTRIFHSGPLVNQSQTSKAGGGKNSELQVPGVANHPVVVSTRSGLRTDDSSRTMVAQAEAFAHGRRLSESINEHFSNSGKYDQVFPKKDDRNIRADGAIGYGSKGNKIHHSGPLTCPSGNVVDEMLKENDRQIQEVFRRTRVEKSRARRDHGHHQGGIRPGDFGAIPVFPSSRSSYQAMQQ >Sspon.06G0000230-2D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6D:14799780:14801261:-1 gene:Sspon.06G0000230-2D transcript:Sspon.06G0000230-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEREHWLLCALIAVPFLYFLTSILRPRPGSSSTRRLPPGPTPLPLVGNLLDLRGGNLHHALARLARVHGPVMRLKLGLTTAVVISSRDAAREAFTRHDRRLAARTIPDATRALRFHERSMVWLPSSDPRWKNLRGIVAAHVFSPRSLEAARGIRERKVGDLVSYLRGRAGTTEVDFGRAMYGGVLNLVSNAFCSVDVEGAESSVRGLRELVEEMAELIAKPNVSDLFPFLRPLDLQGWRRWTARRLHSLFQILDGLVDRRLSDDAASTPAASSEGTQGGDFLDALLELLSAGRITRDNVTTIMFDVFVGGSDTTAITVEWAMAELLRNPSAMAKVREEIQGSLGRKESVEEHDALSLPYLQAVVKEAMRLHAVSPLFLRRATEEGVEIGGYAVPKGCTVIFLAWSIMRDPAAWERPDEFIPERFLDREEEMGFRGKEFEFIPFGAGRRQCPGVPMAERVVPLILASLLHAFEWRLPDGVSAEQFDVSKKFTTTN >Sspon.04G0022700-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:8302541:8306450:-1 gene:Sspon.04G0022700-1B transcript:Sspon.04G0022700-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLEAAGGAEAAHGKVSPWIWSGFLCWVLLLHFPDCLLRLLQRRGESWRATLLLAYQSLGVVYGDVATSPLYVYKSAFAGNDIQHSEGNEEIYGVLSFVFWTLTLITLVKYVLIVLRADDGGEGGTFALYSLICRHVRAGLLPGGGTTDELMEEEKVTGRRGERPVSRVRAVLEKYRVLQRLLLLFALLGTCMVIGDGVLTPAVSVFSAVSGLELSLEKEQHKYIELPVACAILICLFALQHYGTHKVGFLFAPIVCIWLLCISAIGLYNIIHWDHHVYRALSPYYMYQFLRKTQTGGWMSLGGILLCVTGSEAMYADLGHFSQSSIQIAFISVVYPALVLAYMGQAAFISQHHNIESSYHIGFYVSVPETLRWPVLVIAILAAVVGSQAIITGTFSIIKQCSSLSCFPGVKIVHTSSTVHGQIYIPEINWMLMILCLAVTIGFRDTKHLANAQGLAVITVMLVTTCLMSLVIVLCWNKSIFLALGFLLFFGTIEVIYFSASLVKFHEGAWVPITLSFIFMVVMCVWHYGTIKKYEFDVQNKVSVNWLLNLGPSLGIVRVRGIGLIHTELMSGIPAIFSHFVTNLPAFHQVLVFLCVKSVPVPHVEPEERFLVGRIGPKEYRLYRVIVRYGYRDVQKDDLEFEKELVGNIAEFIRSSGEYDKNGFVEDTDKPSEKLSTISTGINMLEEDGELDASVSPHKEIDPHNAAPKRKKARFMIPKSAQVDSEVRRELQELMDAREAGMSFILGHSYMKAKSGSSFIKRIVINFFYEFLRKNSRGPSYAANIPHASTLEVGMVYQV >Sspon.03G0036610-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:54699475:54705465:-1 gene:Sspon.03G0036610-2D transcript:Sspon.03G0036610-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTGGDGDKPGPALPLEALLALGLDQRTAENALVNNKVTANLAAVIAEAGIKECDKSVGNLLYAVATKYPTNALVHRPVLISYVLSTKIKNPAQLDAALSFLTNTGPDSLDVGKFEEACGVGVVVSIEEIKSTVTDVLEENMEAIREQRYHLNVGMLCGLVRKRHPWGDAKAIKEEIDKRLVEILGPKTEADNIKPVKKKKEKPAKVEEKKVAVATAAPPTEEELNPYTIFPQPEENFKVHTEIFYSDGNIWRAHNTKEILEKHLKATGGKVMTRFPPEPNGYLHIGHAKAMFIDFGLAKERNGHCYLRFDDTNPEAEKKEYIDHIQEIVHWMGWEPYKVTYTSDYFQALYEHAVELIRKGLAYVDHQTAEEIKEYREKKMNSPWRDRPIEESLKLFEDMRRGLIAEGAATLRMKQDMQNENKNMSDLIAYRIKFTPHPHAGDKWCIYPSYDYAHCMVDSLENITHSLCTLEFDIRRPSYYWLLVALGLYQPYVWEYSRLNISNTVMSKRKWVDGWDDPRLLTLAGLRRRGVSSTAINSFIRGIGITRSDNSLIRVDRLEYHIREELNKTAPRTMVVLRPLKVVITNLEEGKVLDLDGKMWPDASDTDLPPTIRTVYIEKTDFRLKDSKDYYGLAPGKSVMLRYAFPIKCTDVIYGDSPHDVVEIRAEYDPLKTSKLKGVLHWVSEPAPGVEPLKVEVRLFEKLFMSENPAELEDWLGDLNPHSKEVIKDAYAVPSLATAVLGDKFQFERLGYFAVDTDSTPEKLVFNRTVTLRDSFGKAGPK >Sspon.08G0001650-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8A:5361542:5361785:1 gene:Sspon.08G0001650-1A transcript:Sspon.08G0001650-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding NWLTTSKTPRRPRWPGPWRLNRQSVASTGVAAQQYLNQAQSLLLLLVGQDNAPLAAIGFCARMNPGAVACERWCAMAPER >Sspon.02G0056930-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2D:38508755:38508997:-1 gene:Sspon.02G0056930-1D transcript:Sspon.02G0056930-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIRIDYTQLGIPNHMTYVRLKPTYINLHPDPLKRDRVQRHPRVQYASNPALGYEGTHYSHHLSTSSALSAVHMIELPRS >Sspon.03G0019480-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:60729092:60729826:1 gene:Sspon.03G0019480-1A transcript:Sspon.03G0019480-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DEEQHALGDPGSPGPSGLCSCGQRTRTEEAVLQRVHQLVGGLHLRRPPDQVRRHLQDLRPRVHGQGHPLQVPRLPPRRLPLQDQL >Sspon.01G0017320-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:59806231:59813803:-1 gene:Sspon.01G0017320-3D transcript:Sspon.01G0017320-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDVQPLVSDFVLKLKRRKVEGSHAVARQTAELLRSVVSQHRMGSSNQAAALADAVRAVGEQLIAANPIELAVGNIVRRVLHIIKEEDISSTAVGIEGLSVTVDSDDEYDSEHDDRPALSAAVLAAHARNALRAPSLQTLLEDIPVSPAISRTASSAGDSDGKSKSGDKSSTRKLKHDVIAAIGDLIDEIDSCYEPISEQAVELIHQKYDLALAKIHHEVILTLGRSRTVKEFLYAAKEKKRSFRVFVAEGAPRYQGHVLAKELVEKGVQTTVITDSAVFAMISRVNMVIVGAHAIMANGGVIAPVGMNMVALAAQRHAVPFVVVAGSHKLCPLYPHNPEVLLNELKSPSDLLDFGEFSDCMNFSTQDGSPLLNVVNPTFDFVPPKLVSLFITDTGGHSPSYMYRLIAEYYSADDL >Sspon.05G0012390-1P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5C:27524740:27526709:-1 gene:Sspon.05G0012390-1P transcript:Sspon.05G0012390-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQLQGTV >Sspon.07G0030990-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7C:15076306:15076686:-1 gene:Sspon.07G0030990-1C transcript:Sspon.07G0030990-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEMPLSQLSHPHRVHLCLLAFWLSPEIGGGGTASGLAAWRVRLGGRRCMASRRGWLGTTAERAGCVAGLQACSWVDTAAAAVGAQPGVAGGRWGMQGGRRGLVRGWMHRPSSKNRSSDDNESVFA >Sspon.04G0015610-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:58271187:58273811:1 gene:Sspon.04G0015610-1A transcript:Sspon.04G0015610-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGHEAGDCVGEGEEPPARELPDDITDEILLRLPSRNALARAGAASCAFRARVSSPRFLRRHRSLHRDPGSLLGVFTFPLVPDGARGGGGGTGFHPAEPPHPAASAAAASPPRLTSPSGSSRRRRRTPPPRLGLRPPPPSPPPSGWSGTTATAASSSTATVFTELAVCDPLSRRYVLLPPIPDDLADTVHSVLTVFGGRRACEPFLAPADAEACSADDVDADPPLTVFWTARSPRKMIAFAFSSRDGRWRALESPHCFVWRRHRSPFSCPISAVWNRRHYAHSRFYWVDCLTSRWLVLDARTMELSLEVIPSPAGYWEEHVAVVEAPDGKLGVFAHGFHHPGGKANLHYYTIVHDTEAGGSDARRWQLEKTIPLPWPSDHRPFCLRGTANGRLIIEVSEEKPVFMASHRVRDAELFKIDVKSFQLQKICRARCAGSAAGECCWPYFGFPPSLSLPTDCSRSAEDHPVRPEDRPRLAEDDPAPRKDGPASAEDGSARRERIPYDAAVELTIPVTAASGMAIFENLSSVRLSVRISRHKWEEASLRSSQYMPSLTMTAFVAFRRSADSCIVLHVLHDSAPIVHISNVKNRTR >Sspon.01G0002890-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:6562002:6563570:1 gene:Sspon.01G0002890-2B transcript:Sspon.01G0002890-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGQLNVLVTLDQAKTQWYHFMTIVIAGMGFFTDAYDLFCIALVTKLLGRIYYSDPTSKDPGSLPPNVSAAVTGVALCGTLAGQLFFGWLGDKLGRKSVYGFTLILMVLCSVASGLSFGSTPKGAIGTLCFFRFWLGFGIGGDYPLSATIMSEYANKKTRGAFIAAVFAMQGFGILFGTIVALVVSAAFRNAFPAPPYFVDAQASLVPEADYVWRTILMFGTIPAALTYYWRMKMPETARYTALIARNAKQATADMAKVLKKEIEEEQVERQVVGADTWGLFSVQFLRRHGLHLLATTSTWFLLDIAFYSQNLFQKDIFTKVGWIPPARTMNAIEEVYRISRAQALIALCGTIPGYWFTVGLIDVVGRFWIQIMGFFMMTVFMLALGVPYEHWTKASNHTGFVVLYGLTFFFANFGPNSTTFIVPAEIFPARLRSTCHGISAAAGKAGAIIGAFGFLYAAQDPKKPDKGYSPGIGIRNALFVLAGTNFLGMLMSLFVPESKGKSLEEISKENVGEEAEAKV >Sspon.08G0005980-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8D:16175280:16177758:-1 gene:Sspon.08G0005980-2D transcript:Sspon.08G0005980-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding EECRSSLRRVLEARCVAAAGSSEARSDGGFGIIPERRSTRKIEEVSPAAMLSVIQHRLRWFGHVQRRPPEAPVRSGVLKRADNVKSSRGRPKLTWDESVKRDLKEWNIPNDLAMDRSAWRLAINVPEP >Sspon.01G0044620-1P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:84317088:84319078:1 gene:Sspon.01G0044620-1P transcript:Sspon.01G0044620-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding PPCSRRPPSRSSPNSPPRRRPRPPSPPGSPRSPPPPPPSPPPRHPTMHRPAGAGGGIEGAAGGGRLSALIRSLCAAGRTAEAARALSAAAAGGGAGVVAYNAMVSGYCRAGQLASARRLAASVPVPPNAYTYFPVVRALCARGRIADALAVLDEMRRRGCAPIPPMYHVILEAACRGGGFRSAVRVLQDLHARGCALDVGNCNLVLNAVCDQGSVDEALRLLRDLLPSFGCEPDVDLMEEMVRVGCPPNIVTFNTFIGYLCRNGLFERVHEVLAQMADHGCTPDIRMYATILDGICKEGHLEVAHEIL >Sspon.01G0022580-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:99518891:99522957:-1 gene:Sspon.01G0022580-2B transcript:Sspon.01G0022580-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGASLAALAAAGVAGVAVELWWGVIERHRPGEYDWAGYLELAAMARRHGLRVRAILAFHQCGAGPHDHPWIPLPQWVLEEMDKIPDLSYTNRYQKRNKEYISLGCDIFLF >Sspon.08G0013640-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:55145733:55151467:1 gene:Sspon.08G0013640-3D transcript:Sspon.08G0013640-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GIDLIGPHWCAPCKYPVRVSVVTSSRKRRYPSKLSPPRRYPPHRVAGSSLAVSNLASPHGTKSTAAPAPSSPPHAAADTAPPPRNSPALGGPRLINPRSFILGTSPGGAGAQCTSRAARHSRSCCPPWGAVRRVGGMAASARDSADAAERVVDDHDGWVSDGEMDVEMDVEGEFQDLDADRRDGGADGDDEYLLLTRIRDTSAAEARAGKDIQGIPWERIHIARQDYRKARLEQYKNYENFPQSGELMDKLCKQVEKSSKYYEFQHNTRSVKPSILHFQLRNLLWATSKHDVYFMSNSTVSHWSSLSHKISEVLDFSGHVAPAEKHPGSLLEGFSGVQVSTLAVNEGLLVAGGFQGELIGKDLEGRNVKFCARTTLSDNAITNAIDIHRSTSGSLRITVSNNDCGVREYDMERFQLLNHYRYNWPVNHTSVSPDKKLLAVVGDDRDALLVDSRNGKVTSTLVGHLDYSFATAWHPDSRTFATGNQDKTCRIWDTRNLSTSLAVLRGNIGAIRCIRYSSDGRFLVFSEPADFVHVYSVAADYKKRQEIDFFGEVSGITLSPDDESLFVGVCDRVYASLLQYRMIHAFDYLDSYV >Sspon.03G0007770-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:28087192:28088180:1 gene:Sspon.03G0007770-2B transcript:Sspon.03G0007770-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHMALSSRSSFAAADGLLPAGMAYRQPCSGGSATSSSYFGSRPAAPFPFGTAAQLDVFECLSDEGGGAVPAAVPGAFAPPPPPLMPAESVVPDAAGYSSHARSAAAAAAGEGPARRTDRIAFRVRSDDEEVLDDGYKWRKYGKKSVKNSPNPRNYYRCSTEGCNVKKRVERDKDDPRYVVTMYEGVHNHVSPGTIYYATQDAASGRFFVAGMHHP >Sspon.01G0026640-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:93845895:93854443:-1 gene:Sspon.01G0026640-1A transcript:Sspon.01G0026640-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding YFSYEHFYVIYCKFWELDTDHDFLIDKENLIRYGNHSLTYRIVDRIFTQNESYFTLRDLKRCKLSGNIFNILFNLNKFMAFETRDPFLIRQERENPTLTEWDRFAHREYIRLSMEEDGEDASNGSGDVLLHEFGWTWMIMVVKRKNCKVDGYPVEAIVGSQQIQ >Sspon.03G0033580-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3B:51719500:51726016:1 gene:Sspon.03G0033580-1B transcript:Sspon.03G0033580-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSKRILTGAARRTRHEVPRLVGEEGRVLLVHRAAPVRIRQDRADVVAVDERAPGEWAVKLSQELAEPGGLCHAVSSSLAMSCTAPACSPGGPASPQDLPVPDTVEAAPELPPSLPVASLPPVVPDAAVPIAGPRTPTPPPPGRFGLVYQRRREPRPPSPPPGRFGIVYERRREPPPPLSSPAPSSPVHAPPASSRSRADPPVYHPPLLHRDPRHTHPMVTRQASRPQALTAAACEPGISPVPSSVRAALADPHWRRAMDEEYAALLTNQTWDLVPRPPGSNIVTGKWIWTHKRRADGSLERYKARWVLRGFTQRPGIDYAETFSPVVKPATVRTVLSLALERSWPVHQLDVKNAFLHGTLTETVYCSQPAGFVDSSRPDFVCRLNKSLYGLKQAPRAWYSRFATFLQTLGFTEAKSDTSLFIYHYGGETAYLLLYVDDIVLTASSDSLLHRIIASLQKEFAMKDLGVLHHFLGVTVEPRPSGLFLHQRQFTCDILERAGMTACQSCSTPVDTQGKLSEAGGPMLAPADSTAYRSLAGALQYLTFTRPDITYAVQQLCLYMHAPREPHMAAMKRLLRYLRGTLGYGLLLGRSSTAELVVYTDADWAGCPDTRRSTSGYAVFLGGNLVSWSSKRQPVVSRSSAEAEYRAVANGVAEAAWLRQLLAELHRPLARSTLVYCDNVSAVYLSTNPVQHQRTKHVEIDLHFVRDRVAIGDVRVLHVPTTSQFADIFTKGLPSSTFSEFRSSLNVSSGYIVNDIDRKVTYSMRNKTFLEDFEMAEIGKKSEPINDVEERKIVNALQDFMEITTRDFMKDGQSILKDENERKQRFMNLNINMIKEDSWREKFVRLHLLLTMKDSALDVPTNLDARHEWKNFLERIGVEPDNELSIKGRMDDIRLWASYRGQTLARTVRGMMYYRRALELQCYEDMINDQGYGLADLDRAKAARSKAIADIKFTYVVSCQLYGMHKASKDPREKGLYENILNLMLTYPALRIAYIDEKEVQLQNGKIEKQYYSVLVKGDDEEIYRIRLPSKPTEVGEGKPNNQNHAIIFTRGEALQAIDMNQDNYLEEAFKMRNLLEEFLLTHGKSEPTILGVREHIFTGRFLNN >Sspon.01G0047510-1P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1B:103681081:103681548:1 gene:Sspon.01G0047510-1P transcript:Sspon.01G0047510-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding APRQPPVARARDRRAHGEAHAGALRRRARPHRPRVREEVQEVDAAGRRRQREPVEEAMWTAYVNGRRVGYAVRREASEGDLAVMQLLSTVSVGAGVLPGDVVDAPAGAETDGEVAYMRAGFDRVVGSKDSESFYMINPEGGAGGGTELSIFLVRV >Sspon.07G0011640-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:41581314:41586683:1 gene:Sspon.07G0011640-1A transcript:Sspon.07G0011640-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding KMGKTNDRMGSHPDAHWAVRLAKLALPCPSAAMASGAAATVAIVVFAILVLSSLGHPKTRPLCSDCGSLCNTNCTAEAASACRSYCDNPFAAREDCERQVLQQCQASYCSYGTCSRDCNLEAKNGCQFVPTTLTDCEACKAAMASRAAAAATVAVFAILVLSSLGQPETGPLCSNCGWLCTMNCTTQIDTTCRSYCENPLAARQSCEKQVFQACTVSSCCYGNCSRDCILVAKNGCQFVHDTTIDCESCRGGILQSCPPACYSDCKSTCVKKEDGS >Sspon.01G0008350-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:61884233:61892749:1 gene:Sspon.01G0008350-2B transcript:Sspon.01G0008350-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable enoyl-CoA hydratase 1, peroxisomal [Source:Projected from Arabidopsis thaliana (AT4G16210) UniProtKB/Swiss-Prot;Acc:Q6NL24] MGAASPDSGDLIEVEPARPGSPVAVVTINRPAALNALTKPMMISLAAAFRRLGADDSVAAVVLAGRGRAFCSGVDLTAAEEVFKGDVKDVTTDPVAQMELCRKPIVGAIAGFAVTAGFEIALACDILVAGRSAKFLDTHAKFGIFPSWGLSQKLSRIIGPNRAREVSLTCMPVTAEMAERWGLVNHVVDDNEVLSKAIEVAEAIARNNRNLVVLYKSVINDGFKLDLEHAQALEKERGHNYYNGMTKEQFANMQKFIQGRSSKTPSKL >Sspon.06G0007110-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:24336018:24343391:-1 gene:Sspon.06G0007110-3D transcript:Sspon.06G0007110-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable phospholipid-transporting ATPase 8 [Source:Projected from Arabidopsis thaliana (AT3G27870) UniProtKB/Swiss-Prot;Acc:Q9LK90] MPVDTDARPLVELASASAAVTAAAATTPTASTSAPFPAPATPGFSRAVRCNAPASSLPGTDGAQQPAYPGNAISTTKYTPASFVPKSLFEQFRRAANCFFLVVACVSFSPLAPYRAVSVLLPLVVVVSAAMAKEAVEDWRRKQQDIEVNNRKVEVFDGIQSFHEIEWKKLRVGDIVKVKKDEFFPADLLFLSSSSDDGLCYVETMNLDGETNLKRKQALEVTMGLNDDQVFHSFKAFIRCEDPNEKLYSFLGTLYYNGQQYSLSPEQVLLRDSKLRNTMYIYGTVIFTGHDTKVMQNAMEPPSKRSSVERRMDKIIYLLFVILFAIATFGSIVFGMKTKHEISPGNYAWYLRPDQANIFFDPNNASFAAFCHFLTSLMLYVCLVPISLYISIEIVKVLQSTFINQDQNMYCAESDKPARARTSNLNEELGQVHTILSDKTGTLTCNSMEFLKCSIAGVAYGNMPTEVETCYGEIAETTGSFGHNDTAEFKRSVKGFNFTDSRLMNGRWAKECSRDAIEMFFRVLAVCHTAIPVADRNSAGMPYEAESPDEGALVTAAREFGFEFYHRTQTTISVHEYDPVVGGKVDRTYKLLNILEFSSARKRMSVIVRTEEGRLFLFCKGADSVIFERLSKDNGTACLTKTKCHIDEYSEAGLRTLALAYRELTEEQYVVWNLKYSSAKNSVPECIYKLAQAGIKIWILTGDKLETAVNIGYACNLLRKEMEEIFITLENSSTDASEGSSGEGNKMAAFEEIDRKLQDARGKISQKGTSTSFALIIDGNALTHALTSRLKNSFLDLAVNCASVLCCRVSPKQKALVTRLVKIRTSKTTLAIGDGANDVGMLQEADIGVGISGAEGMQAVMASDFAIAQFRFLERLLLVHGHWCYRRIAAMICYFFFKNITFGFTLFWFEAHAMFSAQPAYNDWFISFYNVAFTSLPVIALGVFDKDVSSRVCLEVPSLHQDGVNNVFFSWSRILSWMLNGMCCSIIIYFGSLNAILVQAVRQDGRVAGFDILGVTMYSCVVWTVNCQLALYISYFTWIQHFVIWGSILIWYTFLVIYGLFSPAISTTAYHVFVEACARSPLYWLSILMIVVTALIPFFVYKISRTLYYPQYHDQVQRANSKNW >Sspon.01G0027970-2D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1D:93437796:93443868:-1 gene:Sspon.01G0027970-2D transcript:Sspon.01G0027970-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding CRFTTALGGLSP >Sspon.03G0024230-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:97351891:97352535:-1 gene:Sspon.03G0024230-2B transcript:Sspon.03G0024230-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKQQQEVYFVFMNFDSVYERHRADRSKEGSATLDAYLSHKHDKLLAKLLQPDSYRKRSSLAIVDGFARSEIGQGGEGGGEEPGARMT >Sspon.05G0000010-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:72735:74803:-1 gene:Sspon.05G0000010-1A transcript:Sspon.05G0000010-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRFCHAPRGRRPSAAPHDHQPLPPPEWIDPYPDLADPSPYASGSAAPPTPSPWLPRVISLVLRSPPATLAADLRAFCRTFLLRLSPAFVAAALRSPQLTPHPLPSLHFFRSLPNGADLRAHPQHLLSCYVSLLHSFARSRDTAGPDAAGHARQLVAELRAHGDAVLRHLAPASSASLIRSLAAFGLSEELLWAWQAMRHAGVEPSRLTYNCLLDGLVNAGLLDTAINVFDAMSTEDRVRPDVVSYNILIKGYCRAGRTQDAMARLADMREQAELAPDKVTYLTLMQRHYSEGTFPQCIALFQEMEERGVGKEIPQHAYVLVIGALSKDGKPFEALAVFERMLKRGCPANAAMYTVLIDSMGKFGREKEAMALFERMKASGIEVDAVTYGVVVNCLCRFGKMDEALACFRSCVGKGVAVNAIFYTSLIDGFGKAGMVDQAKELFEEMIAKGFVPDSYCYNVLIDALVKAGRTDDACAFYKRMEDDGCDQTVYTYTMLIDGLFKEHKNEEALKFWDSMIDKGITPTAAAFRVLGNGLCLSGKFSRAWRILDELAPMGVIPETAHEDMINVLCKTGRFKQACKLADGIVQKGREIPGRVRTMMINALRKAGNTDLAFKLVHSKIGIGYERSGSIKRRVKFQTLTEESRKYRSAFKLVPSKIGFGHERCGSIERRVKFETLIE >Sspon.08G0011200-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:44714532:44719673:-1 gene:Sspon.08G0011200-1T transcript:Sspon.08G0011200-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKKPNGVAKATATSADAAARPSKANPSTPGSVKGTKFKKLKVKAKANREKPVVTAAAVGEVALEGASTGDGDASASAVLPQPSNVAEASPVVQTQPSNVAAEASPVVQTQPSNVADASPVVQTPKSTTFAEASAVAQTQKPATDAEGSVPAPMPATAEASASSPKPKPKPKPKPAHANADAAAAISASKGKGEGKGADNSGGDGRMKSRRRRSRSGKGKEVVEDGGSKGKEKGKKSVGKKEERGDRKVAGFIFMCNAKTKKECYQNRLFGMPSGKIEMVKKIRPGVKLFLYDFDLKLLYGVYKAASHGGLNLVHEAFNGKFPAQVKFKIEKDCRPLPESSLKQAIKENYSARSKFDPELTARQVQRLLLLFKPVSAPQSVPNNHLEERRHYEERRKPYHHFEERLPIEEARQQRFDEERRPAVRHVPLEDPYRAPRFAPVQGDHHRYYQPPALAPEPRHIPLVLEPRYVPLALDHHHGPTVPELRHVPAAYYRTLAPSGDSYYRSVENLVPERYADRTVTDVTTRDPIIRDHTALPGEAYARADRLDDLYQTRGAHVEELYRPGEIAAHADRVGITTRADRVEELYHSDRLVNRHSAYLTAGYETNPAYAETSIRPVSARVSGPGAPVSSHYSFTGGPDLHLWS >Sspon.06G0028520-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6C:7518850:7519344:-1 gene:Sspon.06G0028520-1C transcript:Sspon.06G0028520-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWDLKAPGAWDLAELEHDQHAAATAGAAAGPSGGHANAGAAGTGTGCRPPPGAGAAPAECSVDLKLGGLGECGEPGAAPPARRERDAAAAGAKRPRPGGQHQQGQGQGHQQQQCPSCAVEGCKADLSKCRDYHRRHKVCEAHSKTPVVVVAGREMRFCQQCSR >Sspon.01G0046090-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:96493178:96499471:1 gene:Sspon.01G0046090-1B transcript:Sspon.01G0046090-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphomethylpyrimidine synthase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G29630) UniProtKB/Swiss-Prot;Acc:O82392] MAALQPPFSTAITLKSSCSALKFPKTAQLPGFGGIPRLQDVQGRNASFACLIPKVASVTDQSIAEPSKPKQNRHTVDPTAPEFLPLPSFEECFPRIIHEESGHVLKVPFRRVHLTGDQKHFDTYDTSGPQNISPRIGLPKIRKEWIDRREKLSSPRYTQMYYAKQGIITEEMLYCASRENLSPEFVRTEVARGRAIIPSNKRHLELEPMIVGRNFLVKVNANIGNSAVVSSIEEEVHKLQWATMWGADTVMDLSTGRHIHETREWIIRNSPVPIGTVPIYQALEKVNGIAENLSWEIFRDTLIEQAEQGVDYFTIHAGVLLRYIPLTAKRMTGIVSRGGSIHAKWCLTYHKENFAYEHWDDILDICNQYDVALSIGDGLRPGSIYDANDSAQFAELLTQGELTRRAWAKDVQVMNEGPGHIPMHKIPENMEKQLEWCNEAPFYTLGPLTTDIAPGYDHITSAIGAANIGALGTALLCYVTPKEHLGLPNRDDVKTGVISYKISAHAADLAKGHPYAQAWDDALSKARFEFRWLDQFALSLDPVTAMAFHDETLPSEGAKVAHFCSMCGPKFCSMKITEDIRKYADENGYGTVEEAVIQGMNAMSAEFLAARKTISGEQHGEAGGEIYISCRTQCFHPKKEPINLLVEIEVRLQKSSHVLAIYGKSCTRFY >Sspon.04G0004480-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:14362995:14363792:1 gene:Sspon.04G0004480-4D transcript:Sspon.04G0004480-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding NGLQLPPCRRRGEWLGGRRQQRRRSAGAAADPRAGPADANRERDPHHAARAAGARQDLGRRQGDDPGVRVRVHQLHHGGGQRAVPAGAAQDHHCRGRAVGHEPPRLRRLRRAAQRLPPPLPRVRGRGAGRRARPGGPCARRRRPPPPPPTQVPRARLRSRHVTAPPPRHADARRHVRGRRAPGAGASTPRRVPHATPTGRQPLPALPLRAHVRRRARHGRVLPRGRRVRAWQRRERRRQWQQRRERVAHTAGQRRLRAPAPVRIQV >Sspon.01G0010630-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1B:36084456:36084785:1 gene:Sspon.01G0010630-2B transcript:Sspon.01G0010630-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFALLLVVLLVLAILWLWDYLIVHLIWRPYIIAKKLREQGIHGPPYKFFKGCNENIKRIKEKADGLVLDVHDHNYLPRIAPHYLRWRNQYGGSSQVCSTFCVFLCLV >Sspon.02G0043110-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2C:68554354:68555334:1 gene:Sspon.02G0043110-2C transcript:Sspon.02G0043110-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPQLMDELVEEILLRLPPDSPASLVRAGLICKRWCHLVSDPGFQRRFREFHGSRPILGFLDTNNDSVGDNGYTYCTTSFVPEPASGLRRVEHPRLLPRECRHGRVLLYSNHRYDIVDAAIIVVWDPITGERVELPNPPPAIRFVNRWTAAVLCAAAPSGTCDHLDCHGGPFIVVLVVQYHMDDLPISSYVYSSESGAWSEPTFSLPHSGGDWIGWLHSSQLVGNALYFVPVLRDFTFSILQYDLGTREITAINPPKAAHMDRIFELIATKDGRLGFAGVEETKLSLWSREVVGGGRWALCQVIDLEKLFPGASSPGLFGSAEDT >Sspon.07G0009550-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:23987932:24003813:1 gene:Sspon.07G0009550-1T transcript:Sspon.07G0009550-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRRNGCTIYVGNLPGDIREREVDDLFYKYGRIVEIDLKIPPRPPGFAFVEFEDPRDAEDAIYGRDGYNFDGHRLRVELAHGGRGPSSFDRSSSYSSAGQRGASKRSDYRVMVTGLPSSASWQDLKDHMRRAGDVCFTDVYREAGATIGIADYTNYEDMKHAITIQIPSCF >Sspon.02G0018470-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:61406329:61408801:1 gene:Sspon.02G0018470-2B transcript:Sspon.02G0018470-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ACT domain-containing protein ACR10 [Source:Projected from Arabidopsis thaliana (AT2G36840) UniProtKB/Swiss-Prot;Acc:Q9SJM1] MRIPSDGVVQIRHADAAGEPTVVTVSCPDKTGLGCDLCRVVLLFGLSVVKGDMSTDGRWCYILLWVLPRGGRPVPVPWDLLKDRLLQLCPVAPPFGFDNAYLVAAGLQDVPPPAPQLFLLKLCCFDRMGLLHDVTRVLCELELTIRRVKVSTTPDGSVLDLFFITDARELLHTKSRREETQDKLESVLGDSLTCCEIDPAGEDMLSCLQSWASLTPAIMEQMFNTNLIEEQSISTRGGTISVTMDNSLSSIHTLIQIQCGDHKGLLYDIMRTVKDCNIQISYGRFYASRNGRCEIDLFAVQSDGKKILDQHRQKALCCRLRMEVLQPLRVALVNRGPDTELLVANPVEVSGKGRPLVFFDITLALKNLQKRIFLAEIGRHVVEDREWEVYRLHFGEEHELSSALRSKIVDAVTNMLM >Sspon.05G0012820-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:34262623:34266478:-1 gene:Sspon.05G0012820-2B transcript:Sspon.05G0012820-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMGKLGERARAFWTNRWLVFVGAMWMQSMAGTTYIFGAISPVVKARLGYDQRQVAALGVAKNLGGCLGLLAGALSATWPPSALLAIGAAQNFAGYGCLWLVVDGKAPALPLWLMCVVIFIGTNGQTYMITASLVTSIQNFPKSRGPTVGILKGFMGLTSAILTQVYAVLNTPDHAALIFMVAIGPSLVAVGLMFVIRPVGGHRQVRPSDKNSFMFIYTVCLLIASYLVGAMLVQDFLQPSYDVVVFLTVILFVLLISPIAIPVILSLTPEKAQHLMEDALLSEPLTGEASTSRQKEDQPEVILSEVEEEKPKDTDSLPPSERRKRIAELQAKLVEAAARGGVRIKRRPHRGDNFTLMQAFVKADFWLIWLSLLLGSGSGLTVMDNLGQMSQATGYKNAHIFVSLMSIWNFLGRVGGGYFSEIIVREHKYPRHIALTICQIVMAVGHVLFAMAWPGTMYIASLLVGLGYGAHWAIVPAAVSELFGVKHFGAMYNFLILANPAGSFIFSELIVSNLYEHEAEKQAHQHQMSAFLSPQLLHNTGFLADGPLKCEGPACFFFSSLIMSVFCAVAAGLSLLVVHRTKQVYPRLYSSVRT >Sspon.01G0041630-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:52026573:52028087:1 gene:Sspon.01G0041630-1B transcript:Sspon.01G0041630-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMTIFKAHRSNPELVMPASPAPQGSKTLSDVDSQIPLWFYATVIEFFRPRDAVDGHETPVVDVAKAIREALAKALVYYYPFAGRLREVTKGKLAVECTGEGVVFVEAHADVRLDELGEPLVPPYPCIEELVWDIGDAKDIVGRPLMFMQVTRFKCGGFAIGVSICHNMADAFGVIQFLKCVTDLARGHEHPAVPPDLTSLPFVSDETSSPVQPMAMDKMVGDYFLFGPREIATLRSQVTEPATDFELITAAMWMCRAEAIAFALGCGSADGSQQQRAPSLLITMNVRGKAKLTPPLPRGFYGNGFVFVEAELRGTAAGSLGGTVELVQKAKRGMTEEYVRSMVDLFSVGGAAPYAQGWTFVVSDITRIGEDELDLGWAERVAGGVPIVGDDHATKLVSYQMRCKNADGDDCVVASMYLPEPAMDKFKEKILLILA >Sspon.02G0002710-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:6683929:6699302:1 gene:Sspon.02G0002710-2B transcript:Sspon.02G0002710-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLFGAFLGLVVGVAVVMAFARFENSRAEQRRELAAIAAAFSKLTVQDLRKLIPPEFYPSWLKWLNAELAASELIKTSVEPVFEQYKSFILASLHFSKLTLGTVAPQFTGVSILESDDSAITMELELQWDGNPNIVLDIQTTLGISLPVQVKNIGFTGVLRLVFKPLVAEFPCFGAVCCSLREKSKVEFTLKVIGGEMTAIPGISDAIEGTIRDTIEDTLTWPNRIIVPIVPGDYSDLELKPTGVLEVKLVEARDLKNKDLVGKSDPFAVLYIRPLREKTKKSKTINNDLNPIWNEHYEFVVEDISTQHLTVKIYDDEGLQASEILGCARVALADLQPGKVKDLWLDLVKDLEIQRDKKPRGQVHLELLYYPYAKHEGVPNPFANQIQLTSLEKVLKTESNGYDVNQRKNVIMRGVLSVTVISAEDLPPMDIGGKADPFVVLYLRKGETKKKTRVVTDTLNPIWNQTFDFMVEDALHDLLMVEVWDHDTFGKDYIGRCILTLTRVILEGEFQDTFVLQGAKSGKLNLHFKWTPQPIYRDRDRDQ >Sspon.08G0021170-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:34215700:34222914:-1 gene:Sspon.08G0021170-1B transcript:Sspon.08G0021170-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPDARQDAGGGVAALGVSCFDIKSFVASLALLTLVMALWQLHRYQPLLSASRPSSTCPLLPRPPISASSRAAATVASFASANSSANAASTKAASSAVPAVTTTKPAASVVPAARLRDPNKREFRSYGSAAALFVQMGAYRGGPRTFAVIGLASKPAHIYGTPYFKCEWVPNQDPSSPAPPRAVRTKAYKMLPDWGYGRIYTVVVVNCTFPTNPNADNRGGKLLIHAYYSTASRRYERFVALEEARGSYDESRFRPPFPYEYLYCGSSLYGNLSAARMREWLAYHAHFFGPASHFVLHDAGGVSPEVRAVLDPWVRAGRVTVQDIQAQAEYDGYYYNQFLVVNDCLHRYRHAANWTFFFDVDEYIYLPDGRTLQEVLGQLEPYTQFTIEQNPMSSKLCVEDPTMEYSRKWGFEKLIFRNSITGVRRDRKYAIKARNAYSTGVHMSQNVIGRTTHKTESLIRYYHYHNSINVMGEPCREFVPKPTNGSKVMFEGIPYVYDDNMKRVAGEIKHFEEETLGTIQNKSKEP >Sspon.08G0005570-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:17059792:17065192:-1 gene:Sspon.08G0005570-3C transcript:Sspon.08G0005570-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MYIIFAFTAAIYTLRRDDDDTSIPCSSPPPAVVLLSSLIDDTDQQLNSSSFPFPPPSPSYPACEAVEFELHAKVKDIERSTSAVALEEPIPMVMVSVASPSPSPSPMVRSEEDLGPPWLRPLLGTSFFVPCRLHPELSKNECNLFCLGCTGDALCAYCLPAHRDHHVVQIRRSSYHNVIRVSEVGKLIDISHVQTYVINSAKIVFLNGRPQARPGKGVTNTCEICCRSLPDSFRFCSLGCKLGGMQWDPSLTFAIRPKRGQGSGDDGSGSDDSFSPKKPRRMAGFDLGRFERPGIRWSDDEGSRSNNGPFTPGTSPMNRCRPSRRKGIPHRAPFYG >Sspon.03G0003080-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:7881054:7885673:1 gene:Sspon.03G0003080-1A transcript:Sspon.03G0003080-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATTSQASLLLQKQLRDLAKHPVDGFSAGLVDDSNVFEWQVTIIGPPDTLYDGGYFNAIMSFPQNYPNSPPSVESIVLSIISMLSSPNDESPANIEAAKDWREKREDFKKKVRRIVRKSQEMF >Sspon.02G0041970-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:74695524:74696237:-1 gene:Sspon.02G0041970-3D transcript:Sspon.02G0041970-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCGGDPQAPSRPTPKVTDGGTGTGTGRPASRLLSTRSLPSLLTDSAMSPASVAELSRNPACSSGRRDGSARSGGIGSSPAAAGLAGVLVAEEEAEQGSCKNNRRVLLGMRLRVQLPPPGKAPGGGGGDLPGSPIEFGVKNRDAQLALLSPVQRSPLSSAAARLARRSEVEELAEEDYTCVIARGPNPKMTHIFEDRVVESRAGAGAGAAAAGGGGDACCFLSSSSSGCNKDAAFLLK >Sspon.04G0020840-3D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4D:81430380:81433167:-1 gene:Sspon.04G0020840-3D transcript:Sspon.04G0020840-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVEEELERARVAITDSDVASFLPSKKNGKFLKTFLGPVNVRVARKEDKLKIKDEYNNYRAWLLYLYTSFALRENVLIANGSDIRPWWIYHHYLAMLMALISLTWEIKGQPDCSSKQRGVQLFLRWAIMQGIAMHLQNRYQRQRLRTRIALGKAKRMDVVAGETAGVEGQLLLLYPVLFILQVVVCGILLVVMAVGNFVNTMETLLLKLRFKAKMKRAKNRQDRPHQN >Sspon.04G0027460-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4D:64520315:64520588:1 gene:Sspon.04G0027460-2D transcript:Sspon.04G0027460-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIGKARAAGNCVGAGAVGMVVNVETRAVVRDSGPRAASLEDPRVPSPFTKKLQL >Sspon.08G0002750-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:7942903:7946137:1 gene:Sspon.08G0002750-1A transcript:Sspon.08G0002750-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHLARVRRPAAAAAAGAGVSSIRATRPPPAPFTTATTSTSDTFSSTAADPDGVAADVATLLSRCSGDWKLAIAASDIPSRLSPAALSSLVRRRRNSSPRLHPKLLLDFFYWSRTRLAPSAPAPDAFAHLAVSLCASGLYPQASGLLDQMIRAYPTPPLVLSSVHGALSGSDQERRPVVLDVLVDTYKKTGRVRDGAEVVLLMKDLGLAPSLRCCNGLLKDLLRADALDLLWKVRGFMDGSGISPDVYTYSTLIETYCKVRDLESAKKVVEEMRETGCSLNVVTYNTLIGGLCRTGAVEEAFGYKKEMEDYGLVPDGFTYGAIINGLCKRGRPDQAKCLLDEMSCAGLMPNVVVYSTLIDGFMRQGNADEAFKIVKEMSAAGVQPNKITYDNLIRGLCKLGQMGRASRILKQMAKIGYMADTMTYNLIIEGHLRQHNKEEAFSLLNEMRKGGISPNVYTYSIIINGLCQIGESERASGLLEQMIADADMEKAVGLLDEMAKKDGVTPDAFVYSVLAAGCSNSGDLQQALFITEEMIVRGYASISSFNTLVHGFCKRGKLQETVKFLHVMMDKDIVPSLLTVENIVSGLDEAGKLSEAHTIFVELQQKNASHRITGGW >Sspon.01G0031050-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:105885059:105890987:-1 gene:Sspon.01G0031050-2D transcript:Sspon.01G0031050-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAESSPWQQGPPGRGSAAELIHGVWARAPSFVAGASSIFTWPARVPAPPGRRGRAAVVWDGRACAGVPSCGGVSNGRAAGARGTAWGAGGAVAARGAGGQQQREALCAAPARAEQRRRAALQDGGPLVASANANARPEAQVASRSCSSSPSSQCPLALLRPAPMAAASSVVELCTLANAKLDAATSAKFFHDDDGAGAISSSFSWPEAERTPSRLTSQTALQALCEKYQVPADYIPISLGSRWVACRPPPEGSNAICVYADALEAGMRIPLHEFYVAVLGHYGLAPSQLAPDAWRYMAVFVLLCKDAGVEPTLRTFRSFFSICTHKGDYVGWHHFSCRPYFESRSGVTTGLFTGKMPRSAGWQYRFFHLQSPSTMPWPCAVKWGKPSRAAVRLPEVTWDTVVKKLLERAGGSAIDVIEFLSRRSPPVVAPPQDAPRDRVRLTFTPQQKHKLVKVGAAGAAAGPPSASPPQLPLTRKRESFSDTHTHTRGLVDCIAEASELAKKTMTELDEKGKELQATRGEVAHLKEQVRAVRDKHAGDVARLKEALSVANAHHAAEVRRLADEHHKARTAHGEEMKAERASVVAKLQEEHAAAVARLTEHLNKEHAAAVARLKEEHADGVARLKEKHAADVARVKDAADKEVQDAKKNIVLRLFPKLDVSLLERPKLKGAGTPKAQGRS >Sspon.03G0017590-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:54843738:54845891:1 gene:Sspon.03G0017590-1T transcript:Sspon.03G0017590-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ARTSRPPDSGLTRHWYDDTPYVYGATSGVTYRAGPHFQIKYPSEIAEYAAPPEVYLGTRSMGSDPRLNQNYNLTWTMPVDANFTYVARLHFCELLLSRANQRAFDIYVNNKTAQSDADVVGMTSEKGVPMYKDYVVYVSEEPDGLQAMWVALHPSVALRPQFYDAILNGLEIFKLNDTAGNLAAPDPEPSRLLAKAELGAGEHEVPESKPHPHMARVMGGTAGGAAALGIVAAICVVWYHEKKNREAASAGGSHTSGWLPLYHSHTSNKSSGHLPANLAGMCRHFSFADIKVATKNFSESLVIGVGGFGKVYRGVVDGDTKVAIKRSNPSSEQGVHEFQTEVEMLSKLRHRHLVSLIGFCEDAGEMILVYDYMEHGTLREHLYMGGKPPLSWRHRLDICIGAARGLHYLHTGAKYTIIHRDVKTTNILVDGDWVAKVSDFGLSKSGPTTMNQTHVSTMVKGSFGYLDPEYFRRQQLTDKSDVYSFGVVLFEVLLARPALDPALPREQISLADYALSCQRNGTLPDVVDPAIKDQIAPECFKKVADTAEKCLAEQSIDRPSMGDVLWNLEFALQLQDTFEGGSCGRRTVGDGSGSGTGRPALEPSNSNGSTANSTMYVAKNLKILKLKLAAHEK >Sspon.06G0010400-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:45800017:45802848:1 gene:Sspon.06G0010400-2C transcript:Sspon.06G0010400-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLAVQLKNKFFGLVGRITSCGRAGAAHKDAADSSVVSAAGVTETNLLRSGQGEVPRARMEGPRVTSTAMAARGGAGEENKLMDGWCLDKDIMHLQISYLCLNELKLLLKSGQGEVLHAWMEGPQRSHLEEALEKRRSSWMDESSFLIIIKAKPAVKALLVSWLKTF >Sspon.08G0015950-3D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8D:60403726:60404844:-1 gene:Sspon.08G0015950-3D transcript:Sspon.08G0015950-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAKQIQRELADMLTRDPVLQRAVLPEAALGADRYLSSLTTIADVELSNDLQVCKVYVSVFGDERGKKVAIEGLKAKTKYVRSQIGKRMKLRLTPEIRFIEDESMERGSRILAILDELKEEREQQEGKDGEEDVEGSYISEEEDGDWDADEPDEEDIIYVK >Sspon.08G0025150-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8C:6462748:6466091:1 gene:Sspon.08G0025150-1C transcript:Sspon.08G0025150-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSLNLPRSNSSTSKLHIPFFSLSFVLLSCASLARSCTEQEKSSLIDFRDGLSQEGNGGLNMSWANSTDCCQWEGITCSIDGAVTEVLLPSKGLKGRIPTSFSNLTGLLHLNLSCNSLYGSLPAELVFSSSIIILDVSFNHLSGPLQEHQSPISGLPLKVLNISRPLPSSICINAPSLAMLNLCLNDFSGTISPEFGNCSKLTVLKAGHNNLTGGLPNELFNATSLEHLAFPNNNLQGALDGSSVVKLSNLIFLDLGSNGLEGEMPDSIGQLGRLEELHLDNNLMIGELPSALSNCRSLKYITLRNNSFVGDLSRVNFTQMDLRTADFSLNKFSGTIPESIYACSNLIALRLAYNNFHGQFSPRIGNLKSLSFLSLTNNSLTDIADVIRSLKWCKNLTTLAMGTNFKGETIPQNEAIEGFENLRILTIDACPLVGEIPLWLSKLTRLKILDLSYNHLTGTIPSWINRLPLLFFLDISSNRLTGNIPTELMGMPMLQSEKNAAKLHPKFLELPVFWTQSCQYHWLNAFPNVLNLCNNSLTGIIPQGIGQLKMLNVLNFSTNSLSGKIPPQICNLTNLQTLDLSNNQLTGELPSALRNLHFLSWFNVSNNDLEGPVPTGGQFNTFTNSSYIGNSKLCGPMLSVHCGSLEAPSASMERRHKKTLSAVVFGVIFGDFDIAHAARSRIGSRSSDRPAAHRVSPEGLMGKQPLRFTPFRAAAPLPHRVGTRSTAWPRGLGPAGWSSGAGTLAVRLRTLDRRTLPAAAAPGRWRALVWTGPFVAGMLSYVEQQRLTARFGSDAVY >Sspon.03G0019850-3D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3D:48102843:48103556:1 gene:Sspon.03G0019850-3D transcript:Sspon.03G0019850-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSFFVFCVSSAWMTLSVQVQLMIAVAGEQGGGELCSPVLCGNINISFPFGFIPEQAMETSCGAPGFQVRCANSTPYLGYSRREHWFQIRDIFYDNATLLVADVHKLQGFNVSGSEGLCHVPTNNTPAHLGLPFSISPANHDLIFYNCTKAPAPALAAAEGLVETRCGNSTFARIGGRYDDESTSSYGRYFLEGCDAAVVPVFGRSGRTNASWYEELIGDGFLMTWQLPLLPPSAG >Sspon.01G0011500-4D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1D:50950427:50951281:-1 gene:Sspon.01G0011500-4D transcript:Sspon.01G0011500-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALPVLTYATARAVKAGRGALECAVCLSAFDDAGEKLRLLPGCCHVFHAACIDAWLAAHVTCPVCRADLSDPAVVDAGHVLAADLAAQALAGAPTDTIVVVNVDGSTPAAVAGAGAGEDTTSSDEEQQAETAEERVDRFTLRLPERLRREIDEAKRLRRALSAVTASSTALPSGGELTTSSGALRTMSAARPSRRWSGLFRALSGSRRMISEPDGGHRRVVPLPTGDGEVEVVVVRDDVDKYYAHSLTFAGFVIDGDVAAGDWNPEVFQVSTGVPAVVPTSQR >Sspon.05G0013230-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:37800326:37805654:-1 gene:Sspon.05G0013230-3C transcript:Sspon.05G0013230-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable cysteine protease RD19C [Source:Projected from Arabidopsis thaliana (AT4G16190) UniProtKB/Swiss-Prot;Acc:Q9SUL1] MARLLLLLAFLFTTAALCSPDASAAEDPLIEQVVGDGADDELELNAEAHFASFVQRFGKTYRDDEERAHRLSVFKANLLRARRHQRLDPTAVHGVTKFSDLTPAEFRRQFLGLRRSRSSGRDLLKGSGSAHEAPILPTDGLPTDFDWREHGAVGPVKDQGSCGSCWSFSTSGALEGAHYLATGKLEVLSEQQMVDCDHECDPSEPRSCDSGCNGGLMTTAFSYLQKVGGLEREKDYPYNGRDDTCKFDKSKVVAQVHNFSVVSVNEDQIAANLVKHGPLAIGINAVFMQTYIGGVSCPYICGRHLDHGVLLVGYGSAGYAPIRFKEKPYWIIKNSWGENWGENGYYKICRGPHVQNKCGVDSMVSTVTAIHSSKKE >Sspon.01G0039440-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1C:28659367:28661024:-1 gene:Sspon.01G0039440-2C transcript:Sspon.01G0039440-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLDNGSGRSFEERNIIDMSNYMVNLLFERGKYYTVLFVRYLNGKGEVVERLLGIVPEPDVKVAVDLMLSEAGLSLSNVRGQGCGLARYGDETFDEQKTLVSKASASAYYVHPSAFQLHSILASTSQNLLETYQLFRAIDALSNLVQESPQFNQRVRSLIQERGLNLGSNLEKPGETSWGSYYEALVKLAAYLPAVCDALDFMGRDDSKRTDERLTVLRAQWAFTEDMPFVLLLMRDVLAATNELSLALDRNDLDAENFMILLKESKRQLLALRDEGWPSFLVEVDLLCAESDMPVPDMGEQYAHHRWSDDESPTSTNLEHYLIHVFVKVINDQLRELDKRFNKESSELVCLASCLNPRNLFQHFDKDKLIKFARFYPSEFPDTAIAALDLQLQAFITDVRSDARFHEMIRLNYLSVKMVGTGKNSMYPLVYLLLKLALILPGTTAVAKTASSTMKFIDSTMMKEPCNQWTSDCLLVFLERDIFENITNDDVIASL >Sspon.04G0018110-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:65506964:65509930:-1 gene:Sspon.04G0018110-1A transcript:Sspon.04G0018110-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPLHFSYKKYRSRLPIPVLAIALVLLISLATPTSSCTEQEKKSLLQFLAGLSKDSGLAKSWKEEGTDCCKWQGITCDGNKAVTEVSLPSRGLEGSIRPSLGNLTSLQHLNLSYNSLSGVLPLELVSSSSIIVLDVSFNHLSGDLHELPSSTPGQPLKVLNISSNLFTGQFTSTTWKGMENLVALNASNNSITGQIPSHFCDISPSFAVLELCFNQFSGRVPPGLGNCSTLRVLKACHNNLSGTLPDELFNATALEYLSFCNNDLHGVLDGARIIKLRNLEILDLGGNNFTGRLPYAIDQLKRLKELHLDHNNMTGELPSTLSRCTDLITINLQSNNFSGVLHKVNFSSLQNLKTLDLLYNSFTGTIPESVYSCTNLSALRLSGNNFHGQISPRIGHLKYLTFLSITINNFTNIRNTLQILKSSRNLTTLLMGSNFMGDAMPDDVKIDGFENLQVLSIEDCLLSGEIPLWISKLVNLEMLVLNGNRLTGSIPTWINTLNYLFYLDISNNSLTGEIPKELMNIPMLTSDMTEAHLDLRIFDLPVYDGPSLQYRIPIAFPKVLNLSSNKFTGMIPPEIGQLKALLSLDISYNNLTGEIPPSISNLTNLLVLDLSNNNLTGKIPAALENLHFLSTFNISNNNLEGSIPTGGQFSTFQNSSFAGNPKLCGSMLSRRCSSADAPLVSTKGRDKKATFAIAFGVFFAVIVVLLLLWRLLVLIRVNSLTAQSTRKDNEDLETTFNSSSEHKVIMMPQGKGDVNMLTFTDIMKATNNFGKENIIGCGGYGLVYKAELPDGSKLAIKKLNGEMCLMEREFTAEVDALSMAQHENLVPLWGYCIQGNSRSLIYSLMENGSLDDWLHNSDDDTSTSLDWLMRLRIAQGASRGLSYIHNVCKPHIVHRDIKSSNILLDKEFKAYVADFGLSRLILPNKTHVTTELAGTLGYIPPEYGQGWVATLRGDIYSFGVVLLELLTGLRPVPVLSHQKNLSRGCWR >Sspon.01G0026990-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:95272755:95277984:1 gene:Sspon.01G0026990-1A transcript:Sspon.01G0026990-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRSGGRQLSFELLAGDLTADDADDISPRSLPDTTSDGQRRRRRRSKRKRGFRSPPIDEAASEGEQQPRVEGGGDSAAAFRVSDLRSAAETVCESSDAERSAASCVTYVGVEVRQRSVSGAGKVLAASADDGTSSCGSSTRESAAAAAAAVADVAAMAWRPEANGGMMKKKLEKESSLDWEKYMKDNSNILGGSDLTLIVAGFFVCLDSFLSLLTIMPARIVITIWRVLKTRKFRRPNAADLSDYGCFVVLALGVASLQMIDISLIYHVIRGQGTIKLYVVYNVLEIFDKLCQSFGEDVLQVLFNSAEGLSACSTDNAITLSTCIIAHNNALLALLVSNNFAEIKSNVFKRVSKENLHSLVYYDIIERFHITAFLLFVLAQNILEAEGPWFDSFLTNASLVFLCEVLIDAIKHSFLAKFNEIKPVAYSEFLEDLCKQILNDKPDDHKKDLTFIPLAPACVVIRVLTPVYATLLPAGPFIWRIFWILLWSVLTYFMLAIFKIIVGLILRCLANWYVNLRLTRKQHVD >Sspon.06G0016060-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6B:71994139:71994697:1 gene:Sspon.06G0016060-2B transcript:Sspon.06G0016060-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLPTRCGDGKLSGDGGAEYPCVRRYRHRRLLTFLWLQGFRDTHDSMLSETDTMMWVPHLNHFVLHDQWQDANRYLSGFLQLDTRRRTSVEAMVLSRICTAFSTLANIVGGGEDDLSKQYLDHKRTIYHGQIRLRSIILNVQQAWSGMVYTEIQ >Sspon.06G0017990-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:79261164:79264342:1 gene:Sspon.06G0017990-2D transcript:Sspon.06G0017990-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQLTRSLAAEWAQDKIRVNCVAPGAVEEVASVVSFLCMPASSYVTGQVIRIDDGRTISASADFVAALEMQLSAAWCRGVEGSQRLDNQPSRGEPPLRLNSWVKSQRFTTLGSDLVLHAGLDASPKPAQPHNAGSPTAPPLDLTTVPDFNDVDTSTVAAAGFSFNPSQSKTSSPASSSLVEPLIQSPPRRRVSREENLVP >Sspon.01G0049650-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:113631800:113637397:-1 gene:Sspon.01G0049650-1B transcript:Sspon.01G0049650-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGKVVLQRIENKISRQVTFAKRRNGLLKKAYELSILCDAEVALVLFSHAGRLYQFSSSSNLLKTLERYQRYIYASADAAVPSSDEMQNNYQEYVKLKARVEVLQHSQRNLLGEDLAPLGPSELDQLESQVDKTLKQIRSRKTQVLLDELCDLKRKEQMLQDANRVLKRKLDEFKAEAASPPQLAWQDGGGMLSHDPPQPEHFFQALESNPSLQPTYHTMDMNQEPVPEPGGCYPPAWMA >Sspon.04G0000160-4D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4D:1391098:1392393:-1 gene:Sspon.04G0000160-4D transcript:Sspon.04G0000160-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTLQGFWSPNLKTHRKNLPSLLAVAQPFLRDELEKIDPELPSFLSILRSAGAGERHHKNGAFLAHLLNFHRIVRLWGAPFDIARCGLFHSSYANSYVNVSIFEPSTTREDVQRLIGTPVERLAFLFCAVPRHKLIHEELHFQYTDAELRDHLAASDLSIKTARETGTFDASEPWREKICKLLPPKGIEARHFKTGEPISLSRRIIALFILMTIADICDQYIDYQDKLYDNENGRLEFRSDNWGALWPGTCKPGLWMNAASRLGVLYNLILREEELYTQERNKMGQTIRLDRDEEIALVIPPVFNYCTKVLDPKEQIAARDLYWEAICSDDRKERDWEKVEKVLLESIQKNPFVGEPHLVTAQLYLNMERYAEAKKEAEEGLKLLLEWGVSWDKRMTWESWVSWGRVMLDKAKENEWPRTAAGITNLGLVK >Sspon.03G0033200-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3B:46836333:46840340:-1 gene:Sspon.03G0033200-1B transcript:Sspon.03G0033200-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAARLRLALLLLSVCLCSAWARPRLEPTIRLPSERAAAAAGDETDDAVGTRWAVLVAGSSGYYNYRHQADICHAYQIMKKGGLKDENIIVFMYDDIAHSAENPRPGVIINHPQGGDVYAGVPKDYTGREVSVNNFFAVLLGNKTALTGGSGKVVDSGPNDHIFVFYSDHGGPGVLGMPTYPYLYGDDLVDVLKKKHAAGTYKSLVFYLEACESGSIFEGLLPDDINVYATTASNAEESSWGTYCPGEFPSPPPEYDTCLGDLYSVSWMEDSDFHNLRTESLKQQYKLVKDRTAAQDTFSYGSHVMQYGSLELNVQKLFSYIGTNPANDGNTFVEDNSLPSFSKAVNQRDADLVYFWQKYRKLADGSSKKNEARKELLEVMSHRSHVDNSVELIGSLLFGSEDGPRVLKAVRAAGEPLVDDWSCLKSMVRTFEAQCGSLAQYGMKHMRSFANICNAGILPEAVSKVAAQACTSIPSNPWSSIDKGFSA >Sspon.01G0047560-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:103925688:103928195:-1 gene:Sspon.01G0047560-1B transcript:Sspon.01G0047560-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Electron transfer flavoprotein subunit alpha, mitochondrial [Source:Projected from Arabidopsis thaliana (AT1G50940) UniProtKB/Swiss-Prot;Acc:Q9C6I6] MAAMLVGALRRGSGCGGGSLLLAQSLRRFVSTLVVAEHEGGFVKPSSLSALAAAEAIAKENKVSVLLGGSGPALHKAADHAASSHPLVSQVLVADSEALVHPLAEPWAELLRSVQQKGGYSHVVASSTSFGKNLLPRAAALLDVSPVTDVTAVKEPRIFVRPIYAGNALCTVKYTGEDPCMMSIRSTSFSPATEAMSETKVAPITQVDLSFLSEGKSSWVNLTSQDTERPDLANARVVVTGGRGLKSAENFKLLEQLAEKLGAAVGATRAAVDAGYVPNDLQVGQTGKIVAPELYMAFGVSGAIQHLAGMRDSKVIVAVNKDADAPIFQVSSRI >Sspon.04G0016240-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:59797004:59808593:-1 gene:Sspon.04G0016240-1A transcript:Sspon.04G0016240-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLNASPLPDDDEQVEVDYAQVEPVESAVAIMRREREERRKRLKREQQDDGSRLHSQQIRNDYVPQPKRHSRIKEAPQGWLDCPAFGEPIDKIIPSKVPLDETFNESVPPGKRYSSKQLVNKQRKAGREICLVIDLTNTTRYYSPAEWTSQGTKHVKIPCKGRDAVPDNESVNVFVYEAMMFLDRLKQSKNPKYMLVHCTHGHNRTGFMIIHYLMRTHISCVAEAINIFAQRRPPGIYKRDYIEALYSFYHEVPENMMIACPPTPEWKRPDDLDLNGEAKQDDDDDNAYLKPPLNESEDKVITNDDVLGDAVPYDQQKDLRDICYRLLEMPIGLHDMTLIDGEMIIDTVPDSGLKRRYLAYDLMALDNVPKTKLPFSDRWKMLEDEIIRPRYHEKRQFESGAKSNPLYKYDMELFSVRRKDFWLLSTVEKVLKEFIPSLCHDADGLIFQ >Sspon.05G0030950-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:11880179:11884678:1 gene:Sspon.05G0030950-2D transcript:Sspon.05G0030950-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYERGRGRGRGGGGAEVAAGTGDSTEAAATAVAEVDTGPAEADTAAAEEEEGYGYDEGGGYGGAVEAAVTTKGLAEAEAEGAAAAVAATRGRRRRVRAEVVELAAARPSTPPSGVSARACACARAVAVAPKDKDAPSSSGSVERIASSELARVEPLASTLAATSSVGTRVPMQRPDSGGSLSQAKVKLLVNHFIVNYREVTTIFHYDINIKLDEASSKASGKELSKAEFLSVKDELFRESSLRRLSSCVAYDGGRNLYTSAELPAGLFRVRVRSKTYIVSVDLKKQLPLSQLSELPVPREVLQGLDVVVREASRWRKIILGRGFYSPSSSVDIGQGAVAMKGTQQTLKYTQQGLILCVDYSVMPFYRAGPVMNIVQKLVPHLDYRTTLNKRQMGNLVDELKGRRVTVIHRRTNQKYTVQGLTPLPASQMTFEDAESGETKRLVDYYAQKHGKVIEYQMLPCLDLSRSKDKPNHVPIELCTLLEGQRFPKANLDKNSDRILKGKALIPASNRRYEIQDLVNASDGPCSGEIAQQFGISLDLRMTEVTGRILPPPNLKLGASNGQISKLSIDQNCQWNLVKKRLVEGRDLQCWGIVDFSAEPSHPRQEPLNGWMFVEKIVKKCCELGIRMNSNPCFVHTSEMAVLSDPHRLQEELNKAKQAAVSKKQRLQLLFCPWYKTLKLICDTQLGILTQCFLSDRANKPNGQDQYMTNLALKINGKLGGSNVQLFDPLPRVGGRVPFMFIGADVNHPSPGNVESPSIAGVVASMNSGANKYVSRIRPQPHRCEVIQQLGEICLELIGVFEKQNGMKPQKIIYFRDGVSDGQFDMVLNEELADLEKAIKVDGYAPTITVIVCKKRHHTRLFPKDQGQPQTKNGNVPPGTVVDTGVVDPSAYDFYLCSHTGILGTSRPTHYYSLVDEHGFGSDDLQKLIYNLCFVFARCTKPVSLATPVYYADLVAYRGRVYYEATVMASQRGMGSASSASSTSSAGTFDFTNFPRLHKDVEDNMFFRLHKDVEDNMFFI >Sspon.03G0022690-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:69322175:69322473:1 gene:Sspon.03G0022690-1A transcript:Sspon.03G0022690-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LMETICRPLRRSAAAAVRAASSSSAPLLDTRRLSVGFLCRWVPTSSTPAAPTDLFASGTAIPAR >Sspon.02G0013320-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:29094147:29097667:1 gene:Sspon.02G0013320-4D transcript:Sspon.02G0013320-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFKNCGSSSQRRPAVVVDEGAPLARQGSVYSLTFDEFQSALGGGAAAGGGIPKDFGSMNMDELLRSIWTAEETQAMASASAAGAGAGMPPTPLQRQGSSLTLPRTLSAKTVDEVWRNLVRDEPLQAQGADGGGHQQHHRQSTLGEMTLEEFLVRAGVVRENPAPAPPAPPPMMPPRPVPVAPKSSAFFGNLPGADDAAAAAAMGFALVGMGDLAMIPPRAAGMGGSAMAVQTAVNQQLDSGGKGYSDLSSPTEPLPFSFEGMIRGRRHGGGVEKVVERRQRRMIKNRESAARSRARKQAYTMELEAEVQKLKEQNQELERKQAEIMEMQKNEVPETLKDPFGRKKRLCLRRTLTGPW >Sspon.01G0053670-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:42332513:42337641:-1 gene:Sspon.01G0053670-2D transcript:Sspon.01G0053670-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGLRAASPSGAGSGAVAGAGSDHGAPRLAMACVLASEAATVLAVMRRNVRWAGVRYGGGDGGAGDDEHLDHPLVAGLKVLRRRAAAWGHGRWAGVEPLLYLRPFLDVVRSDETGAPITGAALSSLHKILTLDLVGPGAPGAAEAMAAVVDAVTACRFEVTDPASEEAVLARVLQVLLACVRSRAAPVLANHHVCTIVNTCFRVVQQAGTKGELLQRVSRQTMQEVIRTVFARLPDIDVTVLSDEQVAGCKNQCLGAEETENGKSDYVCLNSSGDEVGDGSGVVPDKDLMEPFGVPCMVEILQFLCSLLNIAEDIEVNPRMSPIDFDEDVPLFALGLINSAIELSASSIHRHQKLLAFVQDELFRNLMHFGLSMSPLILSTVCSIVFTLFYHMRHELKLQIEAFFSCVILRLAQSRYGASYQQQEVALEALIDFCRQKEFMAEMYANMDCDLQCSNIFEELANLLSKSAFPVNSPLSALNVLALDGLVAVIQAMAQRTDNAPQHHDQTVPEISEYFPFWQLKCESSNDPDQWVKFVHQQKSIKRKLMVGVEHFNRDKKKGFEYLQGVHLLPEKLDPHNVALFFRYTPGLDKNLLGDYLGNHDEFSIRVLHEFARTFDFKDMNLDAALRLFLETFRLPGESQKIQRILEAFSERYYEQSPQMFVNRDAALVLSYSVIMLNTDQHNVRVKKKMTEEDFIRNNRRINGGNDLPREFLSELYYSICRNEIRTIPEQGAGCSEMSFSRWVDLMWRSKRTSMYIACDSYPFLDHDMFSVMAGPTVAAVSVVFDNVEHEEVLTGCIDGFLSVAKLAAFYHLDGVLNDLVVALSKFTTLLNTSYIDDPVTAFGEDTKARMATEAVFTIATAYGDHIRSGWRNIIDLILRLHKIGLLPGRLTGDTTDDQESSSDSFPGKITSPPQVLPISTPRKTYGLMGRFSQLLYLDADEPRSQPTEEQLAAQRNASEAVKKCQIGTIFTESKFLQADSLSNLARALIQAAGRPQKITSSLDDEGIAVFCLELLITVTLNNRDRIVLLWQDVFEHITHIVQSTVMPCNLVEKAVFGLLHICQRLLPYKANLVDDLLRSLQLILKLDARVADAYCENITLEVTWLVKANATHIKSQMGWRTIISLLCITARHPDASDAGFEALVFIMSEGAHLSPANFILSVEASRQFAESRLGSAERSIHALNLMADSVNCLIRWSREVREAGGEADRILEGIAEMWLRLVQALRKVCMDQREEVRNHALLSLHRCLVVDGISVKSSTWLMAFDIIFQLLDELLEIAQSYSPKDFRNMEVSLCML >Sspon.03G0012000-3C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3C:49504500:49505422:-1 gene:Sspon.03G0012000-3C transcript:Sspon.03G0012000-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAFFSRPIVWLPARTVTVDGTLSAATGEPNDGEDRISALPDDLRRNIVSRLPIKDAVRTTALATCWLRVWHSTPLVLYDSHLDPDEPARVAAADRVLAGHPGPFDTVHLALCLFDKHERKLDQWSRLLAAGGVRNLALVGLPGELDLLRLPVDILRCAKLERLYLGCWHFPDIADLPDGTGVFPHLRELTMVNTFFEDPKHVRLRGQRLQCVLFWESMAFDLEVVDSPLLKHLIMWDTRPPAPVGDVSLMGVRIPKGAPELKVLGYLEPRVHELRIRNTVIK >Sspon.06G0030890-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6C:56201309:56201578:-1 gene:Sspon.06G0030890-1C transcript:Sspon.06G0030890-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQPSEGKYSAVGYRCSLDAVICGVDIDAVICGVDIDAVICGVDLVAINHGVEMSATLAATSNRSSKLDAVNHGVDTCNNDAVKHGVDP >Sspon.07G0000610-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:936695:938887:1 gene:Sspon.07G0000610-2B transcript:Sspon.07G0000610-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LYDATRTYLAARVTPDVPRLRASRVDDAQGITVGMEQGEEMVDAHDGVDYTWTLVVSRDAAASRPPRRRRPRQGRPPGGQVVRGQLPQEAQGQGPGSYLPHVVATAKAIKDRQRSLKMHMVEYDAWTAVDLRHPSTFDTLAMDDKLKSSVIEDLQRFVRRKDYYRRIGRAWKRGYLLYGPPGTGKSSLVAAMANFLKFDIYDLELTEVKSNSDLRRLLVGTSNRSILVVEDIDCSIELQQRDEGERRATRPTASAGEENDDKVTLSGLLNFVDGLWSTSGEERIIVFTTNYRERLDPALLRPGRMDMHIHMGYCTPESFRILARNYHSVENHAMYPEIEQLIQEVMVSPAEVAEVLMRNDNSDVVLQDLHEFLKEKRKQSDQSKDANENGD >Sspon.02G0004910-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:15672653:15673691:1 gene:Sspon.02G0004910-1A transcript:Sspon.02G0004910-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHKGGDVVVASEARDFVNVFCTLTNKLKSAPADLLKKEEEEEGAPGDQGLRSRQEGKETTPDTDLPPPPDSMTARIVRCPWITRGGDAVVASEACDFVNVSEKKKVLQRPGARSRQEGKETTPDADLPPPPDSMTGGSGGRGEHESDRDASTALPVPGPCASTHRALAECHRRAARGPLRPEVLCRHLNRALAECVVTACCPDETDAVRTLCGSAGTALKREQCHRARIDLALCLEAHQEP >Sspon.05G0016990-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:70786942:70794625:-1 gene:Sspon.05G0016990-2B transcript:Sspon.05G0016990-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGQEIAASDGEKVPEQHQHAIDVGHTEHGDGKGEDIEKERVAVAEDVQKKKSRRVAALDAFRGLTIVLMILVDDAGGAYERIDHSPWNGCTLADFVMPFFLFIVGVAIAFALKRVPNIGAAVKKITIRTLKMLFWGVLLQGGYSHAPDDLSYGVDMKKIRWMGILQRIALVYFIVALIEAFTVKVRPTTVRSGPYAIFNAYRWQWLGGFIAFVIYMVTTFSLYVPDWSFVYHNDGDVNDGKQFTVKCGVRASLEQACNAVGYVDRQVWGINHLYTQPVWIRSKFNIVNTIRDNRDTLRACSNPFQDTAAIYNPWTQIYMHFSAPAAIPINKQLYSFSYVCFTGGAAGIVLSAFYILIDVWGLRMPFLFLEWIGMNAMLVFVLGAQGILAAFVNGWYYESPDNNLVNWIVKHVFVNVWHSQNLGTLLYVIFCEIVFWGVAAGPAVRGDLGKISCPNFEDGDGYFTPDNEKSEETAATCLEDTLSKRSFQLKCYKANLCNWFVRALSSTTSAPSIQGGLTSKAVFAAS >Sspon.05G0030190-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:87623360:87626835:1 gene:Sspon.05G0030190-1B transcript:Sspon.05G0030190-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GKNDQLSGAILIKAYLSNASDILGGYNAVVIPVIFVSRFDDDKDTSALYEELWEDIPTTFSFQSAKAIKKLCDILGESLSAHYQNILKSLLKELPGRFWEVIAAFRDPGFFNKLDESEGASISLDKVLNCATSCISVAFPQDIINQKKNLFHLVSPKLVDSIRLVKIAQVHIAASECLLDLSKLYRDFPLLDRTEAKFEDELTELCESEKSEQAKTILKECLTILKTLPAVTMTTD >Sspon.04G0006010-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:18042228:18043928:1 gene:Sspon.04G0006010-4D transcript:Sspon.04G0006010-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVQAQYPSNLLFHDRGEPDRKGMDMPRPSQLAGVSPAAVYFSSAGATGNNNRRKRPREAMAMAPPAAKEEYVNLFTLQPQQSTSSFANVALFHNQSRVSSSPSPAATTALVSTGLRLAFDEQQQLQQQESKQTNALRYSSLSPSLFASVSDELAAQVKQHDEEIDRFVRDQVSIFAAPAIRLFSSSSSFLGSNPILMCRAGCRSVLGQGEQLRRAMADRLRRHNRAILVKADQSAARRLREKAAEAEREARRGAELEGRLARLRGEAAAWQAKALSEQAAAVTLHAQLQQAAARASVEELAAAAGDAGPAESSSSAYVDPCRRRTTGPSSDRACLGCRLRPASVVLLPCRHLSLCGECFAAGDADEAAMACPVC >Sspon.08G0026210-2P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8D:15409134:15410297:-1 gene:Sspon.08G0026210-2P transcript:Sspon.08G0026210-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding QKYRIYLRKLNEGTLRNSNPFADETGALWRNMNVPSFIGSPSLSNHFAKMNSLSAIGTQASLPTESVQVMSSQKNLGIPQSNMEPVGHSVNLPKDAVPMPVQDISRFISSGKSYAPVSSGGLPGTSQCFPSSPSSSSFANGSSGVVLNANKPFSIDISGSSFANISNDSPVLTSNVSFSTSRSCSSYASILGGKILGSRRGIPFEDISDGEMLAPGHLPLQSPDLVNQPSVQLQSCSAGQFNKVASEVHQIAGPSNSWIAASPSRFSDLGHNVGTSEDHSQGNIFKINQLSRFAGSSAQIPTFGNEYQRKIAGIMGHTAPMVGFKEQVAAFSFGNNTHSTATPIGNSALASSSSTRPDLQIDNSVMVSQVLNGGGASDNLLVGSTVH >Sspon.05G0001130-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:2550655:2552431:1 gene:Sspon.05G0001130-1P transcript:Sspon.05G0001130-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAVARVTSLACTPRQAQHRRRRHSLAPPRASGEVEVRVCTNRTCARQGGRDVLAALAGLAPPRVDVGSCGCLGRCGAGPNVAASVAGSAAVFGHVGTAARGAQLLEHLLGSAEFDAALGLTALATREKAEAALEKGNADEAEALLTEVIGFNACGGLHLVYRSRSKARLAMGDISGALEDAEEAIRIAPRFPQAHLLRGDALFAMGEYSVAEDAYADALDLDPSIRRSKSFRVRVERLREKLVSANNP >Sspon.01G0015370-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:45480312:45487035:1 gene:Sspon.01G0015370-2C transcript:Sspon.01G0015370-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase family 2 (GT2) protein, Root hair morphogenesi [Source: Projected from Oryza sativa (Os10g0578200)] MASKGILKNSGSSRMPPQGAGKPPTAPTVVFGRRTDSGRFISYSRDDLDSEISSVDFQDYHVHIPMTPDNQPMDDDDGGGSGTARAEERYVSGSLFTGGFNSVTRAHVMDNKPDDPATAGRRKGPSACMVEGCDARAMRDARGDDVLPCECDFRICVDCFTDAVKAGGGACPGCKEPYKNTEWEDLAAGHAGALAAPRANPAGANGHHKMERRLSLVKQTSVNQSGEFDHNRWLFETKAPMAMATPSGPGWRRRRHRAPAGPGHPKELLTKPWRPLTRKLRIPAAVISPYRLLVLIRLVALAFFLMWRIKHQNEDAIWLWGMSIVCELWFAFSWVLDQLPKLCPINRATDLSVLKEKFEMPTPNNPTGKSDLPGIDIFVSTADPEKEPVLVTANTILSILAADYPVEKLACYLSDDGGALLTFEAMAEAASFANLWVPFCRKHDIEPRNPDSYFNLKRDPFKNKVKPDFVKDRRRIKREYDEFKVRVNGLPDAIRRRSDAYHAREEIQAMNLQREKMKGGGDEPFEPVKIPKATWMADGTHWPGTWLQPSQDHARGDHAGIIQVMLKPPSDMPMYGNINEKTPLDFAGVDTRLPMLVYVSREKRPGYDHNKKAGAMNALVRASAIMSNGPFILNLDCDHYIYNSKALREGMCFMMDRGGDRLCYVQFPQRFEGIDPSDRYANHNTVFFDVNMRALDGLQGPVYVGTGCLFRRIALYGFDPPRSKDHSPGFCSCCLPRRRKASASNANPEETMALRMGDFDGDSMNLATFPKKFGNSSFLIDSIPVAEFQGRPLADHPSVKNGRPPGALTIPREMLDASIVAEAISVISCWYEEKTEWGIRVGWIYGSVTEDVVTGYRMHNRGWKSVYCVTQRDAFRGTAPINLTDRLHQVLRWATGSVEIFFSRNNALFASSKMKIFFIRKNDKFSIHEQVLQRIAYLNVGIYPFTSIFLIVYCFLPALSLFSGQFIVQTLNVTFLTYLLIITITLCLLAMLEIKWSGIALEEWWRNEQFWLIGGTSAHLAAVLQGLLKVIAGIEISFTLTSKQVGDDVEDEFAELYIVKWTSLMIPPLTIIMINLVAIAVGFSRTIYSTIPQWSKLLGGVFFSFWVLAHLYPFAKGLMGRRGRTPTIVYVWSGLVSITISLLWIAIKPPSQAANSQFGGMSTNLNQSETKESATATSKIPGPTKSTKHHIVCTSVGC >Sspon.02G0043880-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:116977702:116982458:1 gene:Sspon.02G0043880-1T transcript:Sspon.02G0043880-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGANKGMASAAGTAVLLYLVLSGRLCGDAAGGDGGAALEDQLISSAVSAAAEARRQRKEDARARREQQRLASRATKRRRWPERAPDGWGEAAALAARTVRLTWAETLGKWALGELAFGIKYYMRQQGNLQHEYAGSDSILLDGPEVKQELISLLRYLNQCMYFSKKPYNVFLEYGGYGQNDVLIKKSKARLLKPAFTIVRDRSSQCFLLFIRGAISVKERLTAATGAEVPFHHVVVQEGRVSNLVLGYAHCGMVVAARWIAKQAIPCLSKAMEQFPEYEVKIIGHSMGAGIATILTYILRENEKLSSSTCIAFGPAACMTWDLAESGKDFVTTIVNRNDVVPSLGIVSAAKLRIEVMSSSWAHDLRKQIQQTRFLGFVNRSVSFIRSHVPFVSDPRSKVVDVDMLQSQSPEAGSKPSADTHAVVKKRPALVCWSCVAAPKQSMESPIQIQGTEVQTNADVQTDKITEATAAELVSIHLGELNLQESDNQDNNREEKGSALTETDEEEAMELLESLTDEKQVPSSPSSAQEPHQLYPPGKILHMVGLPAAEEATTSQQGAQEEVVALYETPRHLYSKIRLARSMIGEHYMLKYIKTMEQLIDKIPEDIDDQLDSL >Sspon.01G0046800-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1B:100312131:100312566:1 gene:Sspon.01G0046800-1B transcript:Sspon.01G0046800-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPETKIILDEFNRCFTENDLKWDHRLLEHENCLTRQIQDLEQAHGDRVTALESATKVFDEWKSSIEGTVDDTCMEVKKMSRTWAREVT >Sspon.01G0044040-2D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1D:71672637:71673302:-1 gene:Sspon.01G0044040-2D transcript:Sspon.01G0044040-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLKRSLPWRTPQVSSYGDAKNKKQSNRRPRRPTVDDDAASGSRQPQASSTAATASTGSGTAPARSRPETTTSQAPSPRPTDGPAGAGRIWRAPSRRYSLRQPGDCSSSGGGLWTAATTLGVIVLLGRVAAVVFLCSCLYGARFVRAWAAGASAKAQLRSGGVDDGVSSSSRRFGGPVGVAAGDKVVAEMCATEECKKKVVVAGLLDRAGGKTPSSRFGR >Sspon.01G0022400-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:80923989:80937295:1 gene:Sspon.01G0022400-3C transcript:Sspon.01G0022400-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRAAQQRRALWRVVGYVYGARRRNSGDLSPRRRSDWHPRPTPLPPEPAGFYNDIGASVDIPLDNKKDLKKKERELMAKEAELNRREQEIKIREEALARAGVLIEPKNWPPFFPIIHVDISNDIPVHLQRVQYVSFASLLGLVICLFWNILCVTAAWITGHDPRIWFLAVIYFITGCPGAYFLWYRPLYRAMSGIFQSISEIGENAGVGIMYFMGFAMFVLEALLSIWVFQKVYWFFRGKGSEAQMRPDAGSRAPPF >Sspon.03G0007630-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:25671467:25674826:1 gene:Sspon.03G0007630-1T transcript:Sspon.03G0007630-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MESPGLVAVVALFVVVAAAAAASAADDAQLLEQFKEAVQSQAAAGLHGWSASDGACKFPGAVCRGGRLTSLSLAAVPLNADFRAVAATLLQLGSLETLSLRGANVSGALAAAPRCGAKLQSLDLSGNAGLRGSVADVEALAAACAGLSALNLSGDSVGGPRSAGVVASGFARLDALDLSDNKISGEGDLRWMVGAGVGAVGRLDLSGNKISALPEFSNCSGLEYLDLSGNLIAGEVAGGILADCRGLRTLNLSGNRLVGPFPPDVAALTSLAALNLSNNNFSSELPADAFTELKQLKALSLSFNHFNGTIPDSLAALPELDVLDLSSNSFSGTIPSSICQGPNSSLRMLYLQNNYLSGAIPESISNCTRLQSLDLSLNNINGTLPASLGMLGELRDLILWQNLLEGEIPASLENLDKLEHLILDYNGLTGGIPPELSKCKELNWISLASNQLSGPIPTWLGQLSNLAILKLSNNSFSGPIPAELGNCQSLVWLDLNSNQLNGSIPAELAKQSGKMKVGLVIGRPYVYLRNDELSSECHGKGSLLEFTSIRPEELSRMPSKKLCNFTRVYMGSTEYTFNKNGSMIFLDLSFNQLDSEIPKELGNMFYLMIMNLGHNLLSGVIPPELAGAKKLAVLDLSHNQLEGPIPNSFSTLSLSEINLSNNQLNGSIPELGSLFTFPKISYENNSGLCGFPLLPCGRNAGSSSSDDHRSHRNQASLAGSVAMGLLFSLFCIVGIVIIAIECKKRKQINEEASTSRDIYIDSRSHSGTTNWRLSGTNALSVNLATFEKRLQKLTFNDLIVATNGFHNDSLIGSGGFGDVYKAQLKDGKVVAIKKLIHVSGQGDREFTAEMETIGRIKHRNLVPLLGYCKCGEERLLVYDYMMFGSLEDVLHDRKKSGIKLNWAARKKIAIGAARGLAYLHHNCIPHIIHRDMKSSNVLIDEQLEARVSDFGMARMMSVVDTHLSVSTLAGTPGYVPPEYYQSFRCTTKGDVYSYGVVLLELLTGKPPTDSTDFGEDNNLVGWVKQHSKSKLTDVFDPELVKEDPALELELLEHLKIASQCLHDMPSKRPTMLKVMAMFKELQASSVVDSKTSECTGAMDDACFGDVEMTTLKEDKEEKD >Sspon.07G0030180-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:2960211:2970311:1 gene:Sspon.07G0030180-1C transcript:Sspon.07G0030180-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGTTAAEVGGAGDGAAGDVQIKGAKENGQPAAVAVVLPPPQPSGSEALEPATPLLRDDWSEHFSLFTSLGGFGGSSDGARGLTSVGLSNSESRPDSVTQRGLDHDAEERVEELTLKNCINTDVQPEVSAGGSTSCGDRPTVIKGMWGNFTRMAWKTSDLASRESAALSYGDIPNLRAGDASSRENLATSLANNIISRNNNASGNEAPMSRVGYVNNEFMTPFRSQQILLSPWSNQDNALKVSSFSNRILDQMRSKTVAPPSAVLGSPLKSKSKGKGVAYQGAREEIQGQANARPRDPLDKIPTIPTSIHDSMARVDPMLFSTGGNVSKSHSEGTSMRELIKPGRQTMTKFEKMHLFKQILDLVDKCHAQGFTLQHLRPSYFTTLSSNQVKYIGSYTTQDLPTSIKQEVAREDLVIRKRAFGHRIDHQDSNGHGNLMLKYQKVGEQVSVAIRRPANTFWTDQICDNQNEDVDPGVSRQENFSYTARERFKFVEPYGSNTSGAQHVSSSGTQQPAFELRNLEESWYKSPEELSQFKGTFPSNIYSLGVLLFEVYLILRFHFSAAVSILDFVEVMRQCATAICNFADQAPVSISEDDTESSLLFNFLSQLKEEKDMLAAKLSAELGSLETDITEVERRYSARMRLSLEDTDVLRSSGALSGASVSAVQGALLSGLLPASCKSSVYEERVMRNLVQLENAYYSMRSSVDTCETNAIKRPDNEALRVRENFHQLHSDSDAKGEKTDRLGCFFDGLCKYARHSRFEVRGILKNADILNSPNVICSLSFDRDEEYFAAAGVSKKIKIFEFDALLNDRVDIHYPLVEMPSKSKLSCVSWNNYIKNYLASTDYDGTVQLWDASSGQGFTQFTEHRKRAWSVSFSEVDPTQLASGSDDCCVKHLFFSQRNCIDTIRNVANVCCVQFSPYSSRMLAFGSADYKIYCYDLRNTRIPWCTISGHGKAVSYVRFLDPETLVSASTDNTLKIWDLNRTNCSGLSTDSCSLTLNGHTNEKNFVGLSVHDGYITCGSETNEVFSYYKTFPMPITSHRFGSIDPITGQVTNEDNQQFVSSVCWRGKSNMVVAANSSGSIKVLELV >Sspon.01G0062100-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1D:100693106:100693227:-1 gene:Sspon.01G0062100-1D transcript:Sspon.01G0062100-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AWDNYWQIAKLPDSVYPNGKLRRSSSPPDVTGRGIILII >Sspon.03G0001540-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:9880627:9883444:-1 gene:Sspon.03G0001540-1P transcript:Sspon.03G0001540-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQDFPREDKEYIQNMATWLSSCALSLLLLQLSLQPRPLHATDSLTANQQLSGDQKLISQNGNFALGFFQPAAETSSNKWYIGIWYNKIPEQTIVWVANRDKPVSDPFLSSLTVSDDGNLNNTAASSTVVVLLGNGNLVVRHEANTSAVLWQSFDDFTDTWLPGNKLSRNKKTGIIKRMITWKDRGDPAQGMFSIQLDPNGSPQYILQWNRSVVYWTTGNWTDNGFGGVPEMSPLNPYPSSRFMFQFVDNDEEAYFTYNIKDDALIFTRTIIDVSGLFQTLVWAQAQQAWTNSFTKPKAKCSVYGVCGEYSTCSENDASACSCLKGFSENRPNNWKLDDRTAGCRRNIPLQRGNNGSVKVKQDRFYAINSVKLPDDAHNIDATSVHDCELICLKNCSCTAYSHSGTCLVWYNHLTNLQDNIDGSSDSIFIRVAASELPNSGNNKLLFIGIIIGGIFVVSSGLAILYFLHRRTTTMDGLNHGDESLIRFKYSDLQRQTRNFSERLGAGSFGSVFKGAGSDSTTVAVKKLEGFHQGDKQFRAEVSTIGNIHHMNLIRLLGFCSEGVKRLLVYEYMPNGSLDKHLFGSSFTTLSWKIRYQIAVGIAKGLAYLHEECRDCIIHCDIKPQNILLDASFVPKLADFGLAKLFGRDFSRVLTSMRGTVGYLAPEWISGEAITTKADVFSYGMMLFEIISGMRNLEHKDTNMATFFPVLVARKLHEGEVQSLLGKELVSGVDERELERACKVACWCVQGNESSRPTMGEVVKILEGVIDVEMPPFPRYLEVLSEGSENMKFFS >Sspon.07G0037780-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:63496461:63503559:-1 gene:Sspon.07G0037780-1P transcript:Sspon.07G0037780-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:HINT3 [Source:Projected from Arabidopsis thaliana (AT5G48545) UniProtKB/TrEMBL;Acc:A0A178UAY8] MPRPSGSQERRLAVLLSHFHPCCEPPRTIPGVASAECLGNAAAAEAETEGSLSTSPCAGGEEKGESSGGGHCVFCNIVAGTAQAFKLYEDDMCLCILDAKPLTSGHSLIIPKSHYPSLQTTPPTVLAAICSKLTLLGTAIMKATQCDAFNVLINNGEKAGQVIFHTHVHIIPRSKDDNLWSSETYSRNPISHGQETKHLVSSIKEIISSSPKDYSTVMSSIPKEL >Sspon.05G0030940-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:2346223:2348485:-1 gene:Sspon.05G0030940-1C transcript:Sspon.05G0030940-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RAMAGAAAGAEAVERAHGLYRGGRHREALELYSAALAAARGPAQRIALHSNRAACYLKLHDFHKVTAPGSLCSGFRLLSLADYVVAFDLTSLRWWLRGRGVPLALPSVSFGRKDRFFSAVQAAQECTSVLELDTEHAGALMLRAQTLVTLKDYQSALFDVNRLIEINPSSEVYRNLQARLKTLKSLAPIPECEEESLCLEEEKEELPPKGQKSETSIAKPDEPATELALKNKPSTGPVLDRKPATEPLKTEVPSTLPSKPQGWEAIPKPKGHSGLDYSKWDKVEDDSSEDDDDEEEDELPQYKFKVRTVGVRSV >Sspon.08G0013060-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:66037449:66041519:1 gene:Sspon.08G0013060-2D transcript:Sspon.08G0013060-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMSSTQAQTQQLVPPGFRFHPTDEELVDYYLRKKVASRRIDLNVIKDVDLYKIEPWDLQEKCSLGGPGEEEQNEWYFFSHKDKKYPTGTRTNRATAAGFWKATGRDKPIYANKQRQLVGMRKTLVYYKGRAPNGHKSDWIMHEVFKKRLPTTRRESDHDAPCWYVDEDGTFMHDLNSPMSGMPPHHSIAQLQEQHLQMLNNSYKRELKLQFQMPSHHMSMPFLTS >Sspon.01G0025510-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:90444301:90445305:1 gene:Sspon.01G0025510-2C transcript:Sspon.01G0025510-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGHRGQVQQARGIVVQVRDGNLERALSVMERKMRSSGMERLIRARTHHHVKDSEKRVLARKALMQRVRSQELGKKLREILIKKIRSPSSPIPVPSDCTHAALRSEIYKFHVFTISSGEN >Sspon.01G0007550-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:79224545:79226785:1 gene:Sspon.01G0007550-2D transcript:Sspon.01G0007550-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSMPISASSAAGVDGGAVATGTPMKNATAAAVVAEIARHLTVDTDDAFAGLLELAADDDAEGLRRALERAPPAAADEAGLWYGRRKVLEHRTPLMVAATYGSLAALRLLVSLSSVDVNRRSGTDGTTALHCAASGGSRTAVEAVKLLLGAGADADTTDDSGRRPADVISVPPKMFDAKFALQDLLGFPKSEHGMLRVVTRSTNSISSPVSSPTAEDARSPSASVMMMTKFADLPRVATLEKKEYPVDPSLPDIKNSIYASDEFRMYSFKIRPCSRAYSHDWTECPFVHPGENARRRDPRKYHYSCVPCPDFRKGVCRRGDMCEYAHGVFECWLHPAQYRTRLCKDGTSCNRRVCFFAHTTDELRPLYVSTGSAVPSPRASATAAMEMAAAMGLMPGSPSSVSAVMSPFTPPMSPSGNGMPPSLGWQQPNVPTLHLPGSSLQSSRLRTSLSARDMPADDYSLMPDLDSQLMNDLCYSRLSSSTGNHSARTKSLNPSNLDDLFSAEMLSSPRYSNADQGAIFSPSHKAAILNQFQQQQQALLSPINTGVYSPKAVDNQQLPSHSSLLQASLGMSSPGRMSPRCVESGSPMNSHLAAALVQREKQQQTMRSLSSRDLGPSVARASALGSPLSSSWSKWGSPSGTPDWGVNGEELGKLRRSSSFELRSGGDDPDLSWVHTLVKESPPEKQVTTAESINSVGPSPLMPPGMNNGEGSGLNTQLDAHDQAAVIGALLEQMQLDQQIGSLAT >Sspon.08G0012240-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:51091369:51094278:1 gene:Sspon.08G0012240-2D transcript:Sspon.08G0012240-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIVSECGRRGGGGGGSGSSSFGRRGQCSAPAASYGSLSSARRGSDSRAGVLQCGEVESDEEVQSSYKGPFDTMDALQDALPNKRKGAFKFYNGKTNSVADAAQPAKGIANPVNPSPKKRKGFLPFGFSWTKPWSKGSRSRRGATSSSKNFRKTLSAALTGSAQGNSSGGGNEQQDCQEPARHCLRLHRRPNIPGVSASPPGPGALRSQAQLISVQMRSVSVGVEDVAESTASVQKRRKSLQ >Sspon.06G0017310-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:73866601:73870589:-1 gene:Sspon.06G0017310-3C transcript:Sspon.06G0017310-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MERSFIIVCKRAIVLDEMKSTVFSAVVVSIGYALLGWDFAALLEANHHMEKEFELLNGPSIEGITLAASTFGAIVITIFSGALLDWLGRRAILVYSSLVLFSGGVLMLWSPNIYIVLLARLIVGSGSGLVFTCVPIYISETSPPNMRGLLGTMPQFMFFLGTIFSYCLIFWLTLMSSPNWRIMIGAISAPSIVYFALLVYYLPESPRWLASDGKISEARVSLQWLRGKKHDVSDLQERSLLLWKVWTSYRTLLLALLVLKVSLEPVLATLGPVLSDPLVDLLGSIHGNMSEGGSRRNSFFPAFNSFSFPEHEHMNEHRDGNSDQQTREAYSAGEVNNGDGLRASLLSQAASVEVNDTNTSFTSEGSSSYLRRHGTSVLAQEFMASIHDYDIEEEEIHGFVSPHQSAPRDMESTGRHPFRHQIVRLSETADMKFKWRVLLQPGIRHALCYGMLIQALQQSTGISGLLRCAPEILEQVGVSWFSDIGLSPHSTSILICILHALLILPCITAAMLLMDVCGRRVLVLATTPILILSLSVMSMSTLLNMGLFERAIVFHFALTICFCSYVVGLGPIPNILCSEIFPTKARATCASFCSLSFWFGGLLSAYCFPVMLSTIGLGGACGIYALVCCAPLFLFYYRIPETKMLNLELIAELFKLSRQEYVQ >Sspon.02G0042360-3D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2D:533619:533885:-1 gene:Sspon.02G0042360-3D transcript:Sspon.02G0042360-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPWARVLVNAWAIAHDPASWPDAPDAFRPDRFLGAAVDVRGAHFELLRFRSGRWICPAYDLAMKLMAAGVANLVHGFARRLPDGVAP >Sspon.01G0021300-1P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1A:79388458:79388835:-1 gene:Sspon.01G0021300-1P transcript:Sspon.01G0021300-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRILLKERGALGNADTGGAWLSSARAIAGVKPEEREDEAKSSCPLCPGRHTCYNGRDKGSRSREGELTPKTHPQFGLQAATRLHEAGIASNRPLAIWR >Sspon.07G0010300-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:60675933:60678661:1 gene:Sspon.07G0010300-1P transcript:Sspon.07G0010300-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMHDATGRLAFRVSASDGGATALQDDAGGVLVTVRSGGQGKWQAFSGNSLEERHIIFTAKVISASSSRKEVHVFISPRSTVEDSKPSYRLIGSTFRRACTIIKGDSIVAQTNLLYKLKKTIYSRRKFRVTIYPANDNILVMAMIGPVYSPPPAAAAAAGDAEAADATGFTTWTTIR >Sspon.02G0002300-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:7414541:7416443:1 gene:Sspon.02G0002300-1A transcript:Sspon.02G0002300-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGAGTGAGKGAPSAARRPALPWKVRLQLFGLNVAGGLSMRRDGTINRSVFSLFDRRARASARPDVHGVWSADVDVDASRGLWARVFSPSEAAASASPLPVVDGVDVLRHLASAGLPDDVAVPVDLSRCFLAGDSAGANIAHHVAQRWTTAGVASSYPVRLAGVVLVQPYLGGEERTDAVVRLGGKVPVVTVRGSDWMWRAFLPEAADRNHPAAHVTDENAELADGFPPAMVVIGGLDPLQDWQRRYADVLRRKGKAVRVVEFPDAIHTFFFFFPELPDCARLVEAMKAFIDDSNASSDSAA >Sspon.02G0037460-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:38633142:38634763:-1 gene:Sspon.02G0037460-2C transcript:Sspon.02G0037460-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPIWVRARNKSKRRSHEGLQTYMKKNRWPQTFLRKEVAGAAAVEWPEAEANSAAPPEQTTAPLPSFFLIHRPAEIHRRRDPCLAGNRDPCPAGRVLLGRRHQGEVEAERLSASRQKRICGVGTTIVKEADGPFSSTGGRRRARALGTTGAPVTWIEMGTGRRAR >Sspon.01G0051420-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:17777914:17781847:1 gene:Sspon.01G0051420-1P transcript:Sspon.01G0051420-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MERSNSSWFGWWRKKVREGQGVGRPRDREKVVVDGSEIRELVEDREAFGVLVDSKFRQLDADGHGMLSVSELRPAVEDIGAALGLPAEGASPNTDHIYSEVVSELTHGTSQGEVSKAKFQEALSDILLGMATGLKRDPLVILRMDGEDLRDFVAGSRYEPTAAAIFSQVGFGSEDASSLRQCVLAALHKLTVDHGVPPASDTWVADNIVEPALQQLPADQLEQQPASRDDLFQQLKKLLGAIADRLQEQPVIVAHTDNHYDGSGVKRLLANKFELDKLLDSVWKGLPAEHKSKASKEYLIAALDKIADAASLPYYGAVEEVDAVVEESIKTAGVEDGKAADEAEFKKSLTDVLRAIMLRLNDNPVFVSTDIVVHEPSSLVN >Sspon.02G0023320-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2A:79177853:79178329:-1 gene:Sspon.02G0023320-1A transcript:Sspon.02G0023320-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVSGAGAGGKAKKGAGGRKAGGGPRKKSVTRSVKAGLQFPVGRIGRYLKKGRYAQRVGTGAPVYLAAVLEYLAAEVLELAGNAARDNKKTRIIPRHVLLAIRNDEELGKLLAGVTIAHGGVLPNINPVLLPKKVAEKASAAKEPKSPKKAAKSPKKA >Sspon.05G0039620-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:74046069:74048135:1 gene:Sspon.05G0039620-1D transcript:Sspon.05G0039620-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAQESRHHRCTSTNVSNRRGRVAAVAAQPYRTGDITTLHNKLFLKFLLVVTNDEPQVEKLKDIGMRLVEKCDGLPLGVKVMGGLLRQKRIRRTDWQNVLDDSLWSVSQMPKELNYAVYLSYEDLQPCLKPCFLHYSLLPRGTLFFVHDNVGMWISEGFVHGTLRDLEEIGREYYDELIQRNLIEPDMEYAEQIVCNMHDVVRSFAHTMFGDEALVAHNSTIGIAKLKSQKFFRLSLESKGSKQHDLEWYSLQTQTSLRTLILVGNIKIKPGDSFLSLSSLRTLHLDSVNIDAIAESLYQLKHLRYLSIENSNTSKLPEDIGKLKFLQYISLSGCQSLAKLPDSIGVLQDLRLLKLEETNVSVVPRDFVV >Sspon.03G0001800-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:10630822:10632578:-1 gene:Sspon.03G0001800-3D transcript:Sspon.03G0001800-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEDWELLLASPKAAAAAAEPYAGGGGGGREDDAGAIKHDYFDLGSDAKYPRRASLSKADEEEEEGVEEGLLGASDNASWVEPDPDDLLFPDRDRAALWSDSSSDGERPDVEATDPVGRATEEAGVTAAAAADAGEGAVAKGGGPVPWWKLPLDALRVWALRAARSAWSVPFAVALLGFAVLGRRLYRMRRQSKAVARVRLVLDEKKVSQFKGQSSRLNESMTMVRRTPIIKPMLPANGVTPWPVLGHL >Sspon.06G0007450-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:25785174:25788380:-1 gene:Sspon.06G0007450-3D transcript:Sspon.06G0007450-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGATACMPLLPPPSPLHGSAAMAAVERRQPGAVETTKTGGSEGTLVGCVVKSHGNEDCAGNDDGDVMMSRPRAVVQTLTCERKPFCEGFALWRSIGRPELPELDPILSFDEFEFSAPAGFPDHPHRGFENVTYMLEGGISYHDFSGHKGTISTGDVQWLTAGRGVVHAEMPAGQGVQRGINIWINLSAADKMYALRRGEPRHPRRRHRRRRLRQGHRGRVPRDARSPLRPGTPALCLDVALRPGARLRQPVPRGWSACAYVIHGEAAFASNDGSTVAAAARTLVVFGGDGDGVEVRAQADDAAEQGQGARVLLVAARPHGEAVVRDGPFVMNSTEEVEQAREDYRRRRNGFEMADGWTSDHASTVATH >Sspon.05G0018540-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:74685559:74687772:-1 gene:Sspon.05G0018540-4D transcript:Sspon.05G0018540-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVFSGDETAPFFGFLGAAAALVFSCMGAAYGTAKSGVGVASMGVMRPELVMKSIVPVVMAGVLGIYGLIIAVIISTGINPKAKPYYLFDGYAHLSSGLACGLAGLAAGMAIGIVGDAGVRANAQQPKLFVGMILILIFAEALALYGLIVGIILSSRAGQSRAD >Sspon.01G0002340-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1A:6430612:6430815:1 gene:Sspon.01G0002340-1A transcript:Sspon.01G0002340-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFVQMKTVAAAVLWNFAVEVVPGHVVEPKLSAILHMKNGLLVRVHQRGFMGHKYKHIARLNSTLGP >Sspon.08G0008010-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:28979019:28984255:1 gene:Sspon.08G0008010-1A transcript:Sspon.08G0008010-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MIYAALYQTDFRPVPLEEFIKVGNQIFDKDMNVVRVLPKVADHGGKDPDHIVELCNEVVLQGHSVLLFCSSRKGCESTARHVAKFLKLPSVGSSDVSSEFSDAAAAIEALRRCPAGLDPILGETLPFGVAYHHAGLTVEEREIVESCYRKGLVRVLTATSTLAAGVNLPARRVIFRQPKIGRDFIDGTRYRQMAGRAGRTGIDTKGESILVCRLEEVKRITGIIRSNCPPLESCLSEDKNGMTHAIMEVVAGGIVQTANDIHRYVRCTLLNSTRPFDDVVKSAQDSLRWLCHKRFLDWNHETKIYSATPLGRAAFGSSLNPEESLVVLDDLSRAREGFVLASDLHLVYLVTPINVGLEPDWELYYERFMQLASLEQSVGNRVGVIEPFLMHMAHGASMPVCGRPQRNTGLSNKSAQAGGNALVSEHTLRVSKRFYVALMLSRLAQSPSNAEVHLRRSLVGLASSLDLGGVRVKKAATHVKTGTFIAYQVPMT >Sspon.01G0032250-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:105438446:105444590:1 gene:Sspon.01G0032250-2B transcript:Sspon.01G0032250-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSQTPASVSPAEEERGRSSPASAPPAGPPVPRPTTHMTDSTHHTIRRAAARPRGWCCSFAGVPDRPEHRRALPASSAAVPKLPPPPPLPPKSSHSSPSSKLAGLIDPRRILSPGRVSPIDSEGSPAVADAEGPLREQSAPFVALREDDDEENGEGEARELDLRLFLRGRDGRCVAMDLDSAVLCGSSAFFAGMVPDASGVGGRRVEVDGVDNLEAFKEAVELMFEPDAVRWLARAGVSRAIAVLELAKKLAAALYLSRQRMDVAVHGRQKTNRITRGNWHEREEEELTATIELTVTLGLMVEGIKEGMASMASPCPHCKGLSHSLSSSPPSPCLHHVSSSIMFDRGIESCLKYIEAVPWNENEEEKLKHVFARCTFDESVCKDVLARLQQQCTSGSEDLTVQLVESITSGTNNGARKEMQSLVSGLLSKSSVYHKDLSGLNKRGLYQICRSCLSSLVELFMEDSEPVEDADQVMTTSDSRPMIEKISKQTENLNWLFDILSNNDMAEEYVELWARQDEFIRIHEQASPMFRYKLSRISASVFIALGKGRIQCPSNTRSQLFHGWFRPMLMDFGWLQRCSKGLDVRILEENLGQALLTLPLHQQQSLFVEWFQCFASRGTECPNLSRAFQTLYSLAVLATHEAIWLGIDVEPVAILERARPGGLQRLADVLRLQVVLRPRRRPVLEGVVREPADARPVDFDGEDLAVGLVVVRVGDGLRGHRGVVRREHQRLGVRAEPGVVGPAAVGAVHHPPQAGAVRVHHVDGEAAAARVVPVGGERDPLAVGGPVAHGVGPRPLGEAPDATGLAVLRVHDRFLCPDLPDRNTTHLPSGEGDGNALFSPPTVSFLIETLGSLSSPRDTATTSTSVLSLAKSGPTLV >Sspon.01G0033010-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:111757757:111764023:1 gene:Sspon.01G0033010-1A transcript:Sspon.01G0033010-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLEEAQSRFARFRDRKPAPSRSEPKLPTPPIQREPKPSPPPNQRSKPSPQPMPEKKAPSPAPQQLARPQLVIPGTSNRPAPRPEPMPGLKKAAAPSSSSSPALPERSRKEEKKPKRKIEQKEHQNLIRVSGNHLRLCLNFKVAIWSRANIGGSLGVLSYALNDQLVVTRTSLSFRGKTDFFSPKHRWPEDIVWHPDGETIFAVYTADNDDSQVSMTNLISGQRKVTFLPEKPHSKGIINNISFMPWSDACFVTGGSDHAVILWEDKDDSWKPKRVHKDFHSSAVMGVAGLQQKKTILSVGCDKKIIGFDLSAGRTEFKNLIDSKCMSVLANPCDFNLYMVQTGAPGRQLRLFDVRLRQTEVHAFGWKQESSESQSALINQSWSPDG >Sspon.06G0006260-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:21741474:21744215:-1 gene:Sspon.06G0006260-1A transcript:Sspon.06G0006260-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chaperonin-like RBCX protein 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G04330) UniProtKB/Swiss-Prot;Acc:Q94AU9] MECSSAVVLPLQGAGVGRVHLPVQAQSFWRRTTTERRRRLASSLAPARCSKMYVPGFGEGSPERKAAIILQHFFNYIAVTVVLAQLESYNREAYFELKEFISRTSLNDAEIFCKKLMRESPRLKGLVYEITEVCVVSSLVSAWKQTAMRILEVRSGYVKNDFEWDNLKKLSFKMVDEANTKLMRDYVVE >Sspon.02G0035870-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:16504963:16508751:1 gene:Sspon.02G0035870-2D transcript:Sspon.02G0035870-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSIKSALFSGHRPHSLAAAIPQQQLGGAAPFHSTPVLQRKHKTQWHNRFNYYTRRRKNRETKRSLLRNMSEYAEYLFQSWRDEDEKNDASSGPSWFRGHRWVRNSNNNGFRTHDFYSRNFRSKGGFDFCTSDEDEPENLFRNVFRDQGTYYWSFSSDNSQRNSRRARSEKSRNWSSETETDEEDEVPAPSEVSLARQALGLSTSGPLKLEDVKSAYRACALRWHPDRHSGSSKATAEEKFKHCSAAYKTLCDSFAAA >Sspon.02G0036970-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:6511284:6513111:-1 gene:Sspon.02G0036970-3D transcript:Sspon.02G0036970-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAVLAACILTLLAPPPAHAASGGGRCAGESFSANRAYAACNDLPRLGASVHWTYDRASGDLSVAFVAAPAAPGGWVAWALNPSGDGMAGAQALVAGPFSSDGGAGTSWAVRTYNISGYALGEPGPIAFPASDLAAELGADGRVRVFGRLGLGAAGYGGGVLNQVWQVGAAVSSGGVPAPHAMGADNLAAKAKLDLLRATTAAAGVDSATRKRNIHGVLNAVSWGVLLPMGAIFARYLKTFQAADPAWFYLHVTCQLIGYAVGVSGWATGIQLGKESKGVTYTDHRNIGIAVFALGTLQVLALFLRPKKEHKYRVYWNMYHHSVGYTVIVLGIVNIFKGMNILGVEQRWRTAYIAAVCVLLIAAATLEAVTWGVVFRRRKAESKTFNSASNGHLPHSV >Sspon.08G0014870-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:57279999:57287149:-1 gene:Sspon.08G0014870-1P transcript:Sspon.08G0014870-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RGSKRHAHRPGAEGKAVPVGSSEPSRAKREHARRPSPCRAEPASSAAARRDEPLPPPPPAAAAVDPYYVYAPHPDPQRQGVLTLFVAGLPDDVKPREIHNLFSHRPAFDHCLLEYTGRGNQAVAFVTFFTHEAALSAMTSLNGTIFDPETGDRLHIELAKSTSRRPRGGGEVYRVIDKRANKTEGNADNENVGDEGDEEVWGEDEDGGNDDMVMENQTSHQAQKMKIPVIRMNCLRIRGHKKQNGQSLSNDGRDKSSNDIPPCSTLFIANLGHTCTEDELNEVLSKEPGFHVLKMRRHGGMPVAFADFMPEAGGCNMQDIESSTAAMNSLQGAVLASSDSDGLHIEYPQNYLLLLQYFCQRPAYEGTTAKSSLKCGQGTVALQYTTHPCTYGILRSPPPGPPPAKLQVRMLSQASTPSKPPSEASKCLLPSPKFQPFLRVGFLAFMSPPPQLRPIPLSPRPQCAFLPDGMPAERVDVAVTSRTLVRASDPPRGFPAVLQPSNLDLILGSFHIYLIAVYPAPAAGFPAVVAAARAALPAFLSRFFPFAGRIVANADTGVPEISCDNAGAELVVAHAGVRLADVDFADADRSLGHIAVPFQQGLALSLQLVRFACGGFALSWATNHLLVDGHGLTALPNAWAELLRTGGLSWEPHHERASLFRPRDPPRYSPTLDAEFTRYAPGSLPNSFLTATLVRRNYVVSAADVDRLRAAASTPARRATRLEALSAHVWKLLAAAAGGLGDARCRMAWLVDGRRRLDAARYDAGTVRRYLGNVVTYASREVDVKAVSSPPLADVAAMAGAAIAEVFRSERYEELVDWMEARKGVFREGGKWTEVVGTGTGSPALVVSAFVPFHVEGDFGFGRPRLVIPWIRPGRLGSAAITVARSPLEDGSWLITARLWPRLADAVDADPDAVLKPATAARLGFGAPDPADVMQHTTSRM >Sspon.03G0041240-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:29843434:29844162:-1 gene:Sspon.03G0041240-2D transcript:Sspon.03G0041240-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASFLRPLLPPQPLLSARRPHLPAAPTTSTTTVRCTAAPKPATSTPKPSQEEANSRALQQVEPNGTAATPDEANGNPNSIPDEETPASATVTTSFAVARRLPSAISPDRRPRTALTQEEPPNYEIGWKRTKALPLEKPRGWAIADFLEKLEGLLARGRYGSAQLLGTVAGVVTERAREEAEVLVAEGGVEERVVTELFRVLRLVEMDVEMVKAAVKEETVKERVETARARCRQAILVALSL >Sspon.04G0010940-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:29262580:29269205:-1 gene:Sspon.04G0010940-3C transcript:Sspon.04G0010940-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding QMVEQGKPRSIRSKAKKSPFVEVEADEISQASGVVFARESALAPKNDQGCSVSHSHGDDETQESDGCVQSQGLDEHGWVDFGHSLQLVLFSRQWSLAESLVDLADQQSMLDYGLSISLDAIWFLRTKRDLEGLNSLIAKIVASGAEDFARAILRTSLLASCVAACQSKAITVGDSKEIVADRLHERLRDCPGAEHLKIEAGAKVQKFMEWALQCIHMHHCSEDTHRYRWSCNTLQEVQLHLSAFRTFLDIAGDNLSGKIFTEAFDAVCFPLTLFSSLFEPGWSSGSSAVSIKGLLSLLVEGGADNVNQCFLEAARFGSTELVRILLQVAYQNSLAVDTELALVYASHYCKFNTMECLVDEGNVSSFLSPLIKAAERGCLQVVHWFVSRGISDIEMCLALTTAASSGHFMVASYLLAHIPQQILEALSTQILKAARGQGSRSLDGVTFLLRSNFLRDAAATYEAADIIATGGTDGEPPDLVAFLKEHWSQGAFAEGVSTGEMHFVNVMRVLRRGASPICLEDLPSEMVLGITYLPLYRECVSAGGQLLPQRLRGELLEAVHRLGEPVGTESQGKDLVRALERHMPSFLVGS >Sspon.08G0001490-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:4969895:4970743:1 gene:Sspon.08G0001490-1A transcript:Sspon.08G0001490-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCFPLADYSESKVICADHSGRGFVFDLDSDHPTLRPPKVMPISIFVHKPHVDGNLRNDSKGSSLFLMEKVPQPEVRRASVRGGVQESEQFVGFINRPNSLAVSGKSWHCHLLPPPPFVRETYHWSNKCLPQITAYGVVGGGSHVCVSVEGVGTYCLDTESLSWNKVGDWTLPFHGKVQYVPQLKLWFGLSAGAQQLAAVDLSGMLDSSSQPLRLVGGPWKELVHLPEEWKECRDPQFVSLGSGRFCIARFFSQEAEAGSGGDQVVLKNFTVLTGVEVNRSGK >Sspon.03G0030420-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:20840589:20841915:1 gene:Sspon.03G0030420-1B transcript:Sspon.03G0030420-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGHVRRLLNRVSIALAAVATAALLHLFRHSSSYCIVGGSGSPTYSSLSLAPFPRTSCDAASRRVVDPDLRLAKLRASTRWRRRSDALSSSTLVPLRRDRLLGESSRVLCVAAGAGLAADALHAAGVGDVTAVDLVDFPPLVRRADAHNLPFFDGAFDVVLSDDPGALTGALFPSRFATEIERTVRRGGAIAIAVDRHVGLSTVAHLFRKSRIVKVRNATLDGSVVNIVILRKPKLTPIDSLVIVLSLAPFPRTSCDAASRRVVDPDLRLAKLRASTRWRRRSDALSSSTLVPLRRDRLLGESSRVLCVAAGAGLAADALHAAGVGDVTAVDLVDFPPLVRRADAHNLPFFDGAFDVVLSDDPGALTGALFPSRFATEIERTVRRGGAIAIAVDRHVGLSTVAHLFRKSRIVKVRNATLDGSVVNIVILRSYRTKTNPH >Sspon.03G0030330-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:20418584:20420221:1 gene:Sspon.03G0030330-1B transcript:Sspon.03G0030330-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNGSGKFSVPLWEKKFCTDACAIPWAKLCETKRLMSLYKSVVDWDDSAALEAFNDAKARFCAVYHGQHYDIPLPDPNMFIDIVNPDEYADPELVADLEKSRRRVPRKDNGVPDVWDSFIFSDKPVPVTGWGDTETSFTHGQQLSVNWDSQLKHSVEANKQMSANWDYSVKQPAQTIDQQNSGNWEVYEEQQDQTSSWGEQTNPCITNWIMRDGSQDAWKHDYSWAPAAIQTDPWDNHQGSYEVPDSQGVSYGHWTHWRRRNNDLGRRNTRNRGGPISSKPMKSKYQTDEHNGANNGWRNCRVRNDMHYYSYEQADYTKQSLAM >Sspon.05G0013620-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:5A:47990691:47991080:1 gene:Sspon.05G0013620-1A transcript:Sspon.05G0013620-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding QTVEMKVRIDCEGCERKVKKALEDMKGVSSVEVTAKQNKVTVTGYVDAAKVMRRVAYKTGKRVEPWPYVPYEMVAHPYAPGAYDKKAPAGYVRNVVADPTAAPLARASSTEARYTAAFSDENPNACSVM >Sspon.03G0012750-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:52386307:52389290:-1 gene:Sspon.03G0012750-3C transcript:Sspon.03G0012750-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AMASARLVALAAFLLLLLLALGPGQEARAADDGEVRALLALGAALDPTGRLLPSWAPGRDPCAPPPSGGFEGVACDARGAVANVSLQGKGLAGTLPPAVAGLRSLTGLYLHYNALRGGIPRELAPLAALTDLYLDVNNFSGPIPPEIGAMASLPVVQLCYNQLTGSIPTQLGNLTRLTVLALQSNRLNGAIPASLGDLPLLARLDLSFNRLFGSIPVRLAQLPSLVALDVRNNSLTGSVPEELAAKLQAGFQYGNNSDLCGAGLPALRPCTPVDLIDPDRPQPFSAGIAPQVTPSGGNGRAPSTRALAAVVVAAVVLLAATGVGLFALSWRRWRRQRVTGGSPSTISGGRCSTEAAPSAAKASPSARKSASSALASLEYSNAWDPLADARGGLGFLSQDVLAQSLRISTEEVESATRYFSELNLLGKRGKKAGGLAATYRGTLRDGTSVAVKRLGKTCCRQEEADFLRGLRLLAELRHDNVVALRGFCCSRARGECFLVYDFVPNGSLSQFLDVDADADNAGGGSGCVLEWSTRISIIKGIATGIEYLHSTRTNKPALVHQNISADKVLLDYTYRPLISGCGLHKLLVDDLVFSTLKASAAMGYLAPEYTTVGRFSEKSDVYAF >Sspon.02G0019140-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:61943398:61947746:-1 gene:Sspon.02G0019140-1A transcript:Sspon.02G0019140-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLPPPVNPQRLSPAESRERTLGFFQGLGVDVPLPASAERPDAYSSLVRAVVSSAAVTSSRVSCTITISPAVTNQYNTLHGGAVADVAEAVGMACARAAAGDKEMFLGELSTAYLAAARLNVSFPILNTLIYRSLYFCPIVSFAIYSTRYAEEQMSESDSKPGHKLSPADSRAVMLAFFRALGADARLPASAEQPDAYSALVRAILSSVAVSASPTPRVSCTITVSHAVTNPYNTLHGGAVAAVAEAVGMACARAAAGDREMFLGELSTAYLAAARCDSEVDVEAQILRKGRSVVVTTIDFRLKDTKKLCYTSRATFYIMPVASL >Sspon.08G0016540-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:61756132:61757965:1 gene:Sspon.08G0016540-3D transcript:Sspon.08G0016540-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHSQLSSIAATAGLCLLSALAVALLAVTLYIMGVLVSFAVFCIKEFAWSRRAHDRPPLIGTMFRMLKNFDRLFDEHVEYALAHRTSRLLFPGHSELWTSDPQVIEHILKNSFSKYSKGDFNTQIMKDLFGNGIFATDGEKWRHQRKLASHEFSTRVLRDFSSGVFRINAAKLTEKISSAAANRTSIDMQDLLMKTTMDSIFKVGFGFELNTLSGSDEPSIQFSKAFDDANFLVYHRYVDIFWKLKRFLSIGSEAKLKRDIEIIDNFVIQLIHQKREQMKNGRDHKAREDIVTRFILASEEDPETMNDRYLRDI >Sspon.05G0037960-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:29021839:29028458:1 gene:Sspon.05G0037960-1D transcript:Sspon.05G0037960-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding FIHAAFTLGYEAGIHKGGIDGNAVPPGALITIVQKGLQYIELEANNDENDDEVERDFALLEPLEIITKDVEELQQIVKKRKMERSQTEREKDKGKEKERNEEHERRPGGERERERHDKEKEQVREKDKSEKDRDHDKEKEKEKKEKGSMQSVLIRLSFRLCMESNWFSSSFRVRRLNR >Sspon.05G0001130-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:3837058:3839159:1 gene:Sspon.05G0001130-1A transcript:Sspon.05G0001130-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMATAVARVTSLACTPRQAQHRRRRHSLAPPRASGEVEVRVCTNRTCARQGGRDVLAALAGLAPPRVDVGSCGCLGRCGAGPNVAASIAGSAAVFGHVGTAARGAQLLEHLLGPAEFDAALGLTALATREKAEAALENGNADEAEALLTEVIGFNACGGLHLVYRSRSKARLAMGDISGALEDAEEAIRIAPRFPQAHLLRGDALFAMGEYSVAEDAYADALDLDPSIRRSKSFRVRVERLREKLVSANNP >Sspon.06G0025220-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:2642549:2648937:-1 gene:Sspon.06G0025220-1P transcript:Sspon.06G0025220-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRPSLPPTIPACDPHDGPASLQLVEDLTTHAGAIQQRVLREILAMNSGTDYIRGFLGADAERRHADELAAAFKERVPVVEYEDVKPYIERIANGAPSSLISSKTITELLTSKSSSEFRPLTAAVLVSSGTSGGQPKLMPSTEEELDRKTFLYNLLVPVMNKYVEGLDKGRCMYLLFVKPEITTPSGLVARPVLTSYYKSRHFRERPDSPYTRYTSPNEAILCPDSAQSMYAQLLCGLARRGEVLRVGAVFASAFLRAVKFLEAHWRALCDDIRAGRVDAARVTDAACRDAVARVVVRPDPALADAIAAECEGAGSWRGIVRRLWPRTKYIDVIVTGSMAQYIPLLEFYGGGLPLVSTMYASSECYFGINLRPLDRPEDVAYTLLPNMCYYEFIKVENDGEEARDGKVVDLVDVEVGGYYELLVTTFTGLYRYRVGDILQVSGFHNAAPQFRFVHRRNVVLSVDTDKTSEDDLLRAVTAAKRLLAPLGGATILSEYTAYANTASIPGHYVLFWELTPPPADSDDAVVRRVMEACCAEVEAGLDAVYRRCRSRDRSVGPLEIRVVSPGAFDALMDLCVSQGSSVNQYKTPRCIKHPDAIAVLEARVVGRFFSDTVPHWEPFNVVDTAAAATDAHGAATASLNEGVP >Sspon.03G0012030-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:43149775:43153314:-1 gene:Sspon.03G0012030-2B transcript:Sspon.03G0012030-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLNPRIVGCGERTLVLSHGYGGSQAIWDKVLPHLSRTNKVLLFDWDFSSSTAAAAAAEEEDGEHSCYTFSRFADELVALMDEMKLHGAVYVGHSMAGIVGCIASIKRPDLFTHLVLVGASPRYMNSEDYEGGFDEPDIEAMLSRISSDFRGWAEDFVPLAIGGSADDHPSAVEVLARSFFAMDPRVAHSLARMIFLGDQRELLGDVAVPSTLVHVSGDFAAPPCVGRYMQARMRAAAMYTIDSVGHFPQLVTPGELLGILDIVLGSAAASEEEEEVEETTAAAMAEASSEVVVVSSGGLAGAPESKGRINVAT >Sspon.01G0057800-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1D:16109200:16109407:1 gene:Sspon.01G0057800-1D transcript:Sspon.01G0057800-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VPPSARALHASRLDPATKPRFSSSHDPARARARSHGHSVLTHMRLLGALAPGSYAATLWNSGFSTPSH >Sspon.03G0015020-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:42043580:42045648:1 gene:Sspon.03G0015020-4D transcript:Sspon.03G0015020-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTKRCCLFFATLLAALLSVKAQLNFGFYNQTCPSAETIVQQTVAAAFGNNSGVAPALIRMHFHDCFVRGCDGSVLIDSTPDNTAEKDAVANNPSLRFFDVVDSAKASLEAQCPGVVSCADILAFAARDSVVLTGGLSYQVPSGRRDGRISLANETGDLPPPFFNATQLVDNFTKKNLTLEDMVVLSGAHTLGVSHCSSFAGPRNPGDRLYNFSGSADGIDPALSKAYAFLLKSICPSNSNQTFPNTTTFMDLITPEKFDNKYYVGLTNNLGLFTSDAALLTNATMKALVDSFVSSEATWMSKFAESMVKMGEIEVLTGTQGEIRQNCRVINPANAAADVLGRRSGSSGFTGVAAS >Sspon.06G0020270-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6B:8423240:8424096:-1 gene:Sspon.06G0020270-1B transcript:Sspon.06G0020270-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRGSMVAREIVLEEKRVCVPNPMIQEPFFELPVLVAPTVPDTVVPTPVVSSPVVTINNDEEPVLQEPIQTDATDEGEQQQPQTEDVPNVEAPRRSQRVRRSAIPDDYEVYNTEEFQMEGDPTSFEEAMRSDNSSKWLEAMEDEIKSMSTNKVWDLEPIPKGAKTVGCKWVYKTKRDSQGNIERFKARLVAKGFTQREGIDYNETFSPVSYGCKNGIPEWGSGGKCLYGTTERFCRRRKRTNGMPLKEIDLWVKASFETVVLEV >Sspon.03G0018030-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:79663909:79666336:1 gene:Sspon.03G0018030-2B transcript:Sspon.03G0018030-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHLAATPPTSAAAAAATAHPTAAGGRVAFRRPWNTPRLRVRARGVRREDGVGGGVRAEEGQEQERTASRTFYDLLGISAEGSPDEVRAAYRRLALKYHPDVSPPGTAAENTRRFIEVQEAYETLSDPSRRASYDRALARGVCRLAFSGRRSQSHRAYYKHDQHQEQEEKSGWRRSWEDQIAELKRRSTTKDSEENLSWGARMRRRAEASSAEQL >Sspon.02G0017020-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2A:48321074:48322105:-1 gene:Sspon.02G0017020-1A transcript:Sspon.02G0017020-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKLSVLIIVGCDKITGLCVVEEQQEEATTSSSCRSREEKEIEAAEEELLLLPPQLHVLWIISCPKLRRLRLQTLCSLRTLSISNCLASSSSSFPFPSSLQYLYLDGVETLPPLSNLSSLATLFITNCGGDVRGLSSGLQVLTHGCLQELTVLQTTSFFSICPDSILSSLSSLETDDVAGALAASLCSLLSSSLTKLFLRFNKEMERFTHEQDEALQLLTSLQHLQFAYCEKLQSLPAGLHRLTSLKTLGIYDCRIHLLPEDGLPNSLQNLTIYGCSAIRTLPKDGLPNSLQELQIIDCPSIRALPKGGLPTSLKLLEVRDGSEDLTRQCRNLIGTIPIVKLH >Sspon.02G0007720-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8B:43623612:43624271:-1 gene:Sspon.02G0007720-2B transcript:Sspon.02G0007720-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable rRNA-processing protein EBP2 homolog [Source:Projected from Arabidopsis thaliana (AT3G22660) UniProtKB/Swiss-Prot;Acc:Q9LUJ5] MDDVDSDVEESDSEDDSGEEAQDKPSDKAIYNKEAILEKLEDIAWPKNVDWMHKLTIEHDQGEKVDVNDDLARELAFYTQALDGTRQAFEKLQSMKVRFLRPTDYYAEMVKTDAHMHKIKGRLLSEKKRIEEAEERRKARESRKKAKEVQAEKKKERAKQKKEQIESVKKWRKQRQQGGFTKGNDDVPDLNFEGEEGFKQSKKKRPGVSPGDRSGGLAKR >Sspon.06G0009220-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:49537918:49540582:-1 gene:Sspon.06G0009220-1A transcript:Sspon.06G0009220-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAARARAPLPPSLTWLAFLLILVLATTPGVGAASTATPASEAAAGCDCGGAAAAAAIIKEEDARGALRLKLVAVASILASGAAGVLVPLLGRSASALRPDGDVFFAVKAFAAGVILATGMVHILPAAFDALAPSCRGSGGAKAGGFPYAGLVAMCSAMVTMMVDSVAAGYYQRSHFRKALPVDDAADGEHGARAVPGDEEGAAEHAGHVPVHTHATHGHAHGHAHVHGGHGPPAGASPQDASSVAVSIRHRVISQVLELGILVHSVIIGVSLGASLRPSTIRPLVGALSFHQFFEGIGLGGCIVQAKFKARATVIMATFFSLTAPMGIALGIAITSSYSKHSATALVVEGVFNAAAAGILIYMSLVDLLAADFSNPRLQTNMKLQLATYIALFLGAGLMSLLAKWA >Sspon.07G0004930-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:11653093:11686005:-1 gene:Sspon.07G0004930-2B transcript:Sspon.07G0004930-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VHMACVMQGRRVGVAYYDSDTRQLFVLEIWEDSAGEFPLIDLVKFQSKPSTIYASTKTEEALLSALQRNDGNDESPVVKLMKSSTFSYEQAWHRLIYLKVAAMDDGLSAKERICFLNSMMDLGSDVQVRAAGGLLAILDNERLLDTIEQMEGGASIAIDSVCICSLLHINKIFEVGISEHLAIKLQHMNIDLIGKANSSITAELDYVSDLLDELRMVYEGLPDFLEQLDELRMVYEGLPDFLEQEMTVDTFVPNDTKIRDAGRINIITGPNYSGKSIYIKQFFLLILEALFLLILLLIFCAMGSKSMTTEQSTFMIDLHQVGTMLRHATSRSLCLLDEFDGIGLLGGPFVNTSGRDLHRELPTTGLHCARLAGVPNEVVQRADIVLEDIHSKKPMRRVTSEKLTAADKQHQDAVTKLMAFDTQKGDLNSFFQELFPSEL >Sspon.01G0010740-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:30133627:30135514:1 gene:Sspon.01G0010740-3C transcript:Sspon.01G0010740-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARVRGPVLPLLLLVLLATAAPAGALRFDLLSGHTKCISDDIKVGAMAVGKYHVMPPDDGASSSFSSSSSQQQQLPDSHRISLRVTSPYGNSLHYAENVHSGNFAFTASEAGDYLACFWAPDHRPPAIVAFEFDWRSGVSARDWSAVAKKGQVEMMELELRKLEDNIKSIHEEMFYLRERHALYLKKTQNTELNRRTNSRMAWLGFLSLAICLSVAGLQLWHLKNFFERKKLL >Sspon.01G0025790-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:100219027:100220957:1 gene:Sspon.01G0025790-2B transcript:Sspon.01G0025790-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEENQEKLAPESSGVGGPVRTICVFCGSRPGNRPSFSAAALDLGKQLIERQINLVYGGGSGGLMGLVSKAVYEGGRHVLGVIPTALLPEEVSGETLGEVKVVRDMHERKAEMAKHADAFIALPGGYGTIEELLEVIAWAQLGIHSKPVGLLNVDGYYNSLLSLFDKGVEEGFIDPKARNIF >Sspon.01G0046320-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:87428345:87432676:1 gene:Sspon.01G0046320-2D transcript:Sspon.01G0046320-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMSSSVPTAAAAVMMVLLSAVATTTVTGQALVPGVMIFGDSVVDAGNNNRLATLVRADFPPYGRDFPATHAPTGRFCNGKLATDYTVESLGLSSYPPAYLSEEAQSNNKSLLHGANFASGAAGYLDATAALYVRAALQYSYLAVLMLAPPRALHESYRCLLALQGAISLSRQAEYFREYQSRVAASAGERRARELTSGSIYVVSAGTSDYVQNYYVNPMLSAAYTPDQFADALMPPFTSFVEGLYGLGARRIGVTSLPPMGCLPASVTLFGGGNTGCVERLNNDSLTFNRKLGVAADAVKRRHSDLKLVVFDIYQPLLDLVNNPTNAGFFESRRACCGTGTIETSVLCHQGAPGTCTNATGYVFWDGFHPTDAANKVLADALLLQGLQLIA >Sspon.08G0017730-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8B:2712889:2714822:1 gene:Sspon.08G0017730-1B transcript:Sspon.08G0017730-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RQQPTVTVPGITLRPQVLRYVQHEPDKECCSSGSGNDIHQATPDATKVSNTFFFSLIFSSASPSSSGAPSSPATHSSLAGTVASGRCVERVQSLRLTVGAQSPLEDLTTAIEYGGHVDGAGRSDAVQRVRSVRPARPLREGVEDGQGGRGWSRGRRRGASHPVLMKRVAAASLERGGLLPFLRRRGSSLPSNARPTATPRWPTSPHGRSSLPSDALLCHVGYCFDARWICSGKGRKRGCGWVGVSDVQCAPKVF >Sspon.06G0013590-3P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:58325105:58327175:1 gene:Sspon.06G0013590-3P transcript:Sspon.06G0013590-3P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHAHGHHELLQQRAKSPPQVALVVSVLLVCPILVLLLVRCCFRTMPSMATARAREQLLSRLPSPPRRLPIIGHLHLVGRLPHVSLRNLSIKHGRNGLMLLHLGAVPTLIVSAPSAAQAVLRTQDHIFASRATSPVTDILFYGSTDVAFSPYGEHWRQVKKIVTTHLLTNKKVMLVVAKIREAATAGTAINLSELLKSFANDVMCHAVSGKFFREKGHNKLFQELVEANSSLLGGFNLEDYFPVLVKLDIIKRMVCAKAHKVNKMWDDLLNTLIDNHASKPASERDGEESDFIDVLLSLQQEYNLTRDHIKAQLVIMFEAGIDTAFIVLEYAMAQLMQNPCLMNKLQAEVRSTTAKGKEMVTEDELNSLAYLKAVIKETLRLHMPAPLLVPHLSMADCNIEGYTIPSGTRAIVNSWALARDPSYWEKAEKFMPERFMEGSSTKAMDNKGNDFQYLPFGAGRRICPGINFASSTIEVMLTNLVYHFNWELPVELAKKGIDMTESFGLTVHRTKKLLLVPIVPQV >Sspon.08G0022480-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:49263793:49271099:1 gene:Sspon.08G0022480-1B transcript:Sspon.08G0022480-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:20 kDa chaperonin, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G20720) UniProtKB/Swiss-Prot;Acc:O65282] MSSVQLSGAGVAAVAFTNKGLVSQPAALRVCSSRRSVRSLVVKAATVVTPKYTSLKPLGDRVLVKLGAAEEKTVGGILLPSTAQTKPQGGEVVAVGEGRTIGDKKVAVDIKTGAQVVYSKYAGTEVEFNDSKHLILKEDDVIGLLETDDVKDMKPLNDRVLIKVAEAEDKTPGGLILTETTKEKPSIGMVVAVGPGPLDEEGKRQPLSVPVGSTVLYSKYAGSEFKGADGTGYIVLRVSDVMAVLS >Sspon.03G0042480-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3C:68816027:68821137:-1 gene:Sspon.03G0042480-1C transcript:Sspon.03G0042480-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTKDEGDGGAKNQSNMVVDEGDGGAKNQSRMVVDEGDGGAKNQNSMVVVKSEAVCTNGGPLVVGTELVKYEGGDTTECSSSFGDTCSGFEGEVDNGEPEVNSGLSAHADGVGPSKPPRRKKVMAEWSNSVRPIQWRCHWLELRMRELSSQVSKYDRELALIKKQKESQQVVSKANGTMSESMQIHKGHGNSIMKRRKRKRHEENVDASLYINKHRILSFYHDKQNKGAETDGVLVDDDCGTVDGSMRGGLDTATLLDSEDYDMICEQRTLQDILLTIDGVQSRVHLLQDRLTKAHSEGENLAFSGGDTHVRVARKRQRTQKHSFSYTKSRHTKPQKKKNLNILLKDDDGQALAGGSALPDRETDAHIIGTETDDILIDNQGANEACEQFYKAKQPSGSSSKDHNISTPAEMKNNSPPVEVKNTCAAVKVESICAPVEVDSTSAPALEQESFLEKSPSRKPVSPGNKQELEPKKRQKKKVSFFTRSRGKRPPKPDAKEKTEGMSSVAKNQRRTPL >Sspon.03G0017180-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:53775164:53777399:1 gene:Sspon.03G0017180-1A transcript:Sspon.03G0017180-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MESRKSNYLVALVLASLLLSAMAGGHRKRLLNKDDASESMETSSESMQQLQEDDEMAVVVHERILRQVKMNDYGRYDPSPTMAKPHFKDIPN >Sspon.01G0061300-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:92334693:92334998:1 gene:Sspon.01G0061300-1D transcript:Sspon.01G0061300-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DMARYVEMLDMGVRIAARFHSHCPQTARMYYKPPQTQTTSSSSSSSSADDAKSTSSSFDAPSVLRRPFALAAAAEFHAGDRSGHQLHDFGFDTAQVVVYEVV >Sspon.03G0040620-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:20372973:20374888:-1 gene:Sspon.03G0040620-2D transcript:Sspon.03G0040620-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQTPTPAAAAATAAPGPEPAPEAELPDAIAAALPPDPYEQLEVARKITAVAVASRASRLELEAARLRQRLADRDRLAAELADRAAKLELALRDADARLRAALDDNAKLVKERDSLAQTSKKLARDLAKLETFKRHLMQSLGDDNSQIQETVDIRTCEQSVAKANSWKDGLENSHPASSLSDESNEAESINQEVTRPFEQKLTITHITPRLASDPATKLRTAATTSPRRYSTAMSPKLASGATSPRLDGHMAMSPWLPSSKMSSAANSPPRGHSTSGRGTRVDGKEFFRQARSRLSYEQFAAFLANIKELNAHRQSREETLRKSDEIFGAENKDLLRSFQGLLSRSLS >Sspon.05G0014260-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:45022669:45029747:1 gene:Sspon.05G0014260-3C transcript:Sspon.05G0014260-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKQFKVLLPPSFHKLHISDELAECFDTGEGEGAPEPTALVVSPFGKVWRVEVGRDGDGAFLGRGWAEFLAAHGVDLGWFVVLRHEGGGALTVKVFDTSMCIKEFGAPAAVMTSKSSKGIICKPQFIRIFLPYLSEKMILPARFVKDYIREECLNSRTAIILSPLGKFWRIELKNDQSGIFFTGGWSQFLDFHGISNGDVLLFRYEGNMVFKFKAFGLSGCQKDFRNQNAGIQLRACSAAARLVLDPGQSTPVFKTDGSIPPGRGPGTARSGLNEQNLNTEKQQETPSPIRKRRSNYEKLSSEGNKRPKSSGTFPSLKEPYQIGTSSWIRKKINTYALERLLSLSKKFCNWIGFKMTCTITLKTKMDSTRSWQVHGAAYKNYRYIVGEGWKRFCQENRLKTGDLCTFNIIETHCGMDHQTKKGCSSSDGTKRPKSSVTSLSKVPSSTNSVYDIGPPSWIQKEMNTYSIRKHLVRMSLAPAFCNQIGLPKHCAITLKTSINSNNSWRVGGRKDSSYIIGQGWKNFCRENKVKVGDVCTFNVIEPNLWHVVITRMPLDSEARGKEKFHARIPHMNQHTTDEKQKNQGRGSTHSIEDHRSEIARQWRT >Sspon.02G0042340-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:77269603:77274989:1 gene:Sspon.02G0042340-1P transcript:Sspon.02G0042340-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:TPR7 [Source:Projected from Arabidopsis thaliana (AT5G21990) UniProtKB/TrEMBL;Acc:A0A178UNB9] MMDPEMLRLAQEQMRRMSPDDIARMQQQMMSNPNLMRMASESMKNMKPEDLRRAAEQLNQTRPEDMRDMTEKIANTTPEEFAAMKAQADAQMSYAISGAKMLKKQGNELHNQGQYSDAASKYKLAKDNLKSIPSSTAHTLQLQCTLNLMACYLKTGQFDECISEGSEVLTYDSNNVKAYYRRGQAYKELGRLEAAVADLSKAHEISPEDETIAEVLRDAEEKLAREGGRVSTRKGVVIEELVEDDASQPSSSQRSSPGYTVSQPPEGAGNIGLSGSSGRSRDDPASIRSSQNYVSKSDPEGLSKFGIEGMSPELVKTATDMIGTMKPEELQKMFEVASSLNGTSSVGPNMGSNMPEMSPDMLKMASDMIGNMSPDELQKMMSFASQMGGPGGVPRRSENSSFGPSSRATTSNSARGSSSEPILENPDELSNDQRVGQSSSSLPPSTADMQETMRNSMKDPAMRQMLTSMMKNMSPEMMANMSEQFGMKLSKEDAAKAQQAMSSLSPEDLDRMMRWMERAQKGVEVAKKTKNWLLGRRGLILAIIMLILAFILQKLGFIGR >Sspon.05G0020960-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:90303592:90306071:-1 gene:Sspon.05G0020960-2B transcript:Sspon.05G0020960-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHPCVSSLRLRVLWLTLLPAVLICVLLLSYLWTFLWLRPERIRQRLRRQGVKGPKPSLLLGNIPEMRRIQKQLAESDHQEQQAAGGSHHFSSNYMAALFPYFHHWNKVYALLGTGILTANGDLWAHQRKVIAPHFFMDKVKGMVDLMAESANEMLVSWEDIIDRGGGSAEVVVDEFLRNFSADVISRVAFGSRFSKGKEIFSKIRQLQVAMAKQDIFAALPGSRYLPTKRNREIRRLAASICDLILDIARRHEEEHDPPATSSASNGDGLLRSIVEGAKAAGAFSPCTAEDFIVDICKNIYFAGHETTSTTAAWCLMLLAAHPEWQSRARAEVLDVARRGKPLDADALRKLKTVTMVVQETLRLYPPAPFVTREALRDLTLGGLHVPSGTSVRVPIALAHRDPAAWGAGGDPDGFDPGRFANGVAGACRPPHMYMPFGVGARTCAGQNLAVAELKVVLALLLSRFELALSPGYVHRPAFRLTVEPGSGVALLLRKL >Sspon.04G0003860-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:11804813:11805148:-1 gene:Sspon.04G0003860-1A transcript:Sspon.04G0003860-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MREGAAARRQPPRAHAKAPRGDSPRAMSSAAATATSCHRLGVALLLLLVAAVLGGAEGKAHNYEDALQKSLLYFEAQRSGRLPHSQRVAWRHHSGLTDGLEQGVGYVTSRQ >Sspon.07G0024190-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:22880372:22883714:1 gene:Sspon.07G0024190-1B transcript:Sspon.07G0024190-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein N-terminal glutamine amidohydrolase [Source:Projected from Arabidopsis thaliana (AT2G41760) UniProtKB/Swiss-Prot;Acc:O22944] MLCKELIRTGVADPAGTDLYVVFISNEEKKVPLWHQKAGHSEDGFICWDYHVICIQYALGTADIKAVHFVPCSVSQYFLMCIWKSRRSKGEVLDFVWDLDSDLPFPSPFIQYVSDAIQPLSFGNSRYARLFRVIHAPVFLRSFASDRSHMKDPEGNWIQLPPKYEPIVAEDGTTNNLNEYIMMSVEDVADIESMANDVYYNKHGVVLNETILPKFFAQLPAQDCKYKTQLL >Sspon.05G0007330-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:14502895:14507355:1 gene:Sspon.05G0007330-3C transcript:Sspon.05G0007330-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:General transcription factor IIH subunit [Source:Projected from Arabidopsis thaliana (AT1G05055) UniProtKB/TrEMBL;Acc:A0A178W5Z7] MYGVGGGGGGFNAPSTTAGRRRNPGDEEEDEEEESGQGRVLEAWERAYADERSWESLQEDESGLLRPIDTKTLVHAQYRRRLLLRSAAGAAARIQKGLIRYLYIVIDLSRAASEMDYRPSRMAVVAKHAEAFIREFFDQNPLSHVGLVTIKDGIAHRLTEIGGSPESQIKALMGKLECSGDSSLQNALELVHGYLDQVPSYGHKEVLILYSALNTFDPGDIMETITKCKKSKIRCSVIGLAAEIFICKHLCEETGGSYTVALDESHFKELLLEHAPPPPAIAEYAAANLIKMGFPQRGAEDLISICSCHKKIKSGAEGYICPRCKVNVCELPTECRTCGLTLVSSPHLARSYHHLFPVAPFDEVTPVPNRIQRGGQICFSCQQILFNPDGQSNLHVRCPKCNQHFCLDCDIYIHESLHNCPGCESQRSIAS >Sspon.01G0020670-4P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:74368021:74371462:1 gene:Sspon.01G0020670-4P transcript:Sspon.01G0020670-4P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSALRRSLPRRTSLRHSFPPHLPPAAAGAFRRSFQSGDGGDGETLEEFDERLFGSKGTEEGSLYAKLDRVENASRRYGMSSGMGGFAGFGDRSSSGSSMGGFPGFSDRSSSGSAMGGLGVFSNKSSSGSMGFFDSSNDSISQMLGNAARNFQRDDDDDDDDEWEEDDFEFRPDVTYRRGSTYSVRDLDLTRPAAAKNPPRPQFETTTAEVLRKADFRNVRFLSHFLTEAGIIIKRSQTRISAKAQRKIAREIKTARAFGLMPFTTMGRRPFIFGRSAEEHYSEEEYFGFGRQRDGEPVEDNRDEEPNVEAA >Sspon.01G0028690-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:100552774:100559235:-1 gene:Sspon.01G0028690-1A transcript:Sspon.01G0028690-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome c biogenesis protein CCS1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G49380) UniProtKB/Swiss-Prot;Acc:Q9XIA4] MPSPASYLLLNPSKPSSFRRLPAPAPRLHARRFHVSCDAPRGSGRSGGGRREAIPAGASKAKKQIVFFDAAPPVSQEGRAAVEKAGREKPTTKEGNGNAALALLRRVTKRMLAVLSNLPLAISEMFAIAALMALGTVIDQGEAPSYYFEKFPEDNPVFGFITWRWILTPGFDHMFSSPVFLGLLALLATSLMACTYTTQLPMVKVARRWSFTHSGERIRKQEFADSLPRASIQDLGVILMGAGYEVFTKGPSLYAFKGLAGRDLSLFNLDGKEVMRKTIKVNDPLRYGGITIYQTDWGFSALQVKKNGEGPFNLAMAPLKLNGDKKLFGTFLPLEDVDSSNPNVKGISMLARDLQSIVLYDQEGKFVGVRRPSSKLPIEINGNEILIEDAIGSTGLDLKIWALQDGSTVVVGGKTNRAKLEFSEEMNRLLDKVPELISADENTAAQKRSDTR >Sspon.06G0025900-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:74512941:74517156:1 gene:Sspon.06G0025900-1B transcript:Sspon.06G0025900-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTASVAPAAALLERLGLRVAPTDAAADDHHQAVPPTLLPALPLPGLLPLRCAVQHYEWGRRGADSLVARLAGEASDGDGRPCAELWMGTHPAAPSSLAPDVSLRDWVARNPAALGRAVAARWGGDLPFLFKVLSVAKALSIQAHPDRELAAALHALRPATYRDANHKPEMAIAVTDFHALCGFAATQELKEVLRTVPEVQELVGKEESRKLLGVKEQDGGIGVRSYLKSAFTKLMTASDEAVSEAIANLKTRLNSESKARSLTHKEQLVLSLEKQYPGDVGVLAAFFLNFVKLNPGEALYVSANEPHAYLSGECIECMATSDNVVRAGLTPKYRDVQTLCSMLTYNQAFPEILQGMPVQPYVTRYTPSTDEFEIDRYLLPPGKSVTMSPVPGPSIFIVMTGEGEIQAGFMTDNAKAKEGDVFFVPAHTKVKLYTYSPRSMQLYRAGVNSSFLS >Sspon.01G0030670-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1A:106081865:106083701:1 gene:Sspon.01G0030670-1A transcript:Sspon.01G0030670-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRYLARACTLAPLNPLSHGHGCATIHLPVIACFFSPGLAWGKASGSPLPLLRYKGGGLSRPSTESRRREDRSVAAVSRRRRWPTRRRCVVSRWKGKGRGGAVKESCSDTIPSAAPRFPGGCRCCLPQVKAGISRPRAVALPDRAISDGGLRICVADLCAAGHVFPGIARRASGAVCTAAVRSGALRCDPSGGRAAARQVFDEGPRPGQAAMRDFPSCFGESGVQIADASSSSSSAGKGAAQNLVTCLYQTQFSGRPCVISLTWSKSLMGQGLSIGVDDLSGHCLCKADIKPWLFSKKKGSKSLDVEDGKIEIFWDLSGAKFGAGPEPVEGFYVAVVFDLELVLLLGDMKKDAYRKTGANRSMLNAAFVARREHIYGKKIYSAKAQFCDNGQFHDIAIECDTVGLKDPCLEIRVDKKPVMQVKRLAWKFRGNQTILVDGLPVEVFWDVHSWLFGSTASNAVFMFQTCQAPEKSLPWSYSQIFRESQLQGLGFSLILHAWKVE >Sspon.07G0003160-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:7257187:7264439:-1 gene:Sspon.07G0003160-2B transcript:Sspon.07G0003160-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGQAARRGAMRPPSSGMRAAAARSPAASFLLAAAGAAALVGGFYFWLVVSSFRLPDSGAAGCRPDGEGSWAVGMFYGSSPLALRPIELEGRSNGNSSAWPVANPVLTCATPTEAGYPSNFVADPFLYVEGDTLYLFFETKTTTSMQGDIGVARSFDQGATWEFLGIALDEAWHLSYPFVFKYENEIYMMPEGNKKKELRLYRATKFPLEWTLEKVLVNKPLIDASLVQFEGYWWLFASDFTRYGVEKNAELEIWYSNSPLGPWTEHKQNPIYKSDKSLGARNGGRLFVFEGSLYRPGQDCSGTYGRRVKLYKVEKLSKEEYKEVPVNLGIEEPKKGRNAWNGMRYHHMDAQQLASGGWIAVMDGDRVPSGDSTRRSLIGYIAFLLASALVIFVGFMKGAISCYIPPSSWVPLTRRTELSRVFSVYRFNQKVRRYSTNISRYISATKTKLSEKTWSNMLFFCVVALFGIVNVCIAVHFLCGGNGAEEAYTYQGQHSQFTMVTMTYEARLWNLKVFIEHYSRCESVREIVVVWNKGNYPSPRI >Sspon.01G0038570-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1B:30808119:30808279:1 gene:Sspon.01G0038570-1B transcript:Sspon.01G0038570-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAVEKKETEQEEQQLPHARKDDAPPPPRKTKRIRRRPSAATATSRLASTPL >Sspon.03G0043650-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:83082482:83082913:-1 gene:Sspon.03G0043650-1C transcript:Sspon.03G0043650-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRPGDEYRYQYHQQQRQQGGPGFAIGGAPHGVIMAVVVGLVVGGPLFLGDGGAAITSAIADLLGPTGLLLLPVALILVIRVLSSDRGAAGALADALALGGSPDSVHRVGGSPVGVALALALIAVLLYYRSALLGGGDGGDDE >Sspon.01G0001110-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:3513837:3518750:-1 gene:Sspon.01G0001110-1A transcript:Sspon.01G0001110-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQDDDESIAWVVQGAAGDDAPAVLLHGSLDIWIHEARNLPNKDILSKRMRDLLCKPSEGMTSDPYVTVQVASAVVARTFVIPDDENPVWAQQFLVPVAHETAAVNFVVKDSDVVGAELIGVVAVRADRLQAGDRVEGAYPVLELNGKECAPGAVLRLSVQYVPVARLTMYHHGVTPGPDFAGVPRTYFPLRRGGKVTLYQDAHMPDGTLPEVRLGNGACYRHGQCWRDVYDAISQARRLIYITGWSVFHTIHLVRDGHGDMELGDLLRRKSQEGVRVLLLVWDDPTSRSVLGIKMVYMGTRDEETRRFFKHSSVQILLCPRSAGKRHSWVKQQETGTIFTHHQKTVILDADAGNHKRKIVAFVGGLDLCGGRYDTPRHTLFRTLQTFHKEDYYNPNFAVEDARGPREPWHDLHSKIDGPAAYDVLKNFEERWLKASKHSGAKKLSKLSRSHNDTLLWIEKIPDIIAIDDEIYSNDNDPERWDVQIFRSIDSNSVKGFPKDPREATSKNLVCGKNVLIDMSVHTAYVNAIRGAQHFIYIENQYFLGSSFNWDSHKDVGANNLIPIEIALKIANKIYSNERFSAYIVVPMWPEGNPTGTPTQRILYWQKKTMQMMYEIIYKALKEVGLDGTYEPQDYLNFFCLGNREAEDTTCTSGGPFSASNPQDQARKNRRFMVYVHSKGMIVDDEYVIIGSANINQRSMEGTRDTEIAMATYQPQHTWANTLSAPRGQDVSMGRAHRIIEESFTRPESLELLQCKEWHSSTYMVPNFESLVVQSVTS >Sspon.05G0007130-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:22219386:22223022:1 gene:Sspon.05G0007130-1A transcript:Sspon.05G0007130-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGSWARASAWRLLLAGASLLWLLDLPWATAAVRRSDFPASFLFGTATSSYQIEGAYLEGNKSLSNWDVFTHAPGRIKDGSTGDIADDHYHRYEDDIELMHSLGTNAYRFSISWARILPKGRFGKVNPAGIAFYNRLIDSLLLKGIEPFVTLTHYDIPQELEDRYGAWLSAEMRLDFGHLADVCFAVFGDRVKYWVTFNEPNVAVTKGYMVGTYPPERCSPPLGSCARGNSDAEPYVAAHNVIMSHATAVKIYKRKYQSKQKGMIGIVMSTSWFVPLTDTQVDRLATERALAFDAPWFLDPIIYGDYPPEMRQLLGSKLPTFSPEERRKLGYKLDFIGVNHYTTLYAKDCMFSSGCPSGQEIHHALAAFTGEKNGIPIGPPTAMPKFYVVPDGIEKMVTYIMERYNNRPMFITENGYAQGGDDYTHVEDWLDDQGRIQYLDGYLTKLAKVIKDGADVRGYFIWSLIDNFEWLYGYTLRFGLHYVDYQTQERKPKSSALWYKRFLQSLLEAQ >Sspon.01G0045840-2P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1D:81211380:81212033:1 gene:Sspon.01G0045840-2P transcript:Sspon.01G0045840-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHLQFWLQAGYPTGYWSQLRARIGSQGQRTVQMENKLASHCDMELLKMAMLRHEETFRQQVHELHRLYRVQKQLMGGLSGPSSELSCRRQLRRRRRQPRRALDLHLRLPADECIVVTPPSSAEDGLELTLAIGSSGGRGRRRRRDETESTGTATPLGSDSDISGGSLLTTSSSTDTGVLPPYQRAMPAFRLQEATTVAKQPPRSPWLVQCVSLEMA >Sspon.04G0019540-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:71984891:71985481:-1 gene:Sspon.04G0019540-2B transcript:Sspon.04G0019540-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding NMSDATTAPTADASAAHKQAPSAAASLETTMASIRRGGGSSVSVAATISQASQQQQQPRLDDDQLAELREIFRSFDRNADGSLTQLELGSLLRSLGLTPSADQLDALITRADTNSNGLVEFSEFVALVAPDLLADRSPYSEDQLRKLFAIFDRDGNGFITAAELAHSMARLGHALTVKELTGMIKEADTDGDGRINF >Sspon.06G0003340-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:8667304:8674240:1 gene:Sspon.06G0003340-1P transcript:Sspon.06G0003340-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDVEMNAASDEEVMDDEDYYDYCDSDMGDDGDGGSEEEELVAGDYDEGIEAEGTDEVVSRREQTFVVLNEEVISERQKEDVSKVSAVLLITREEACALLHHYKWNISKLSDEWFADEEKVRHTLTCGICFEGYSSDMMSSAGYISAAIGGGPGCLSLRCPDPSCSAMVLQGMINELAKDEDKEKYARFLLRAYVEGSKKTKWCPAPDCTCAVEFLGDENYDVSCNCKFSFCWNCTEEAHRPVNCETVSKWILKNSAESENMNWILANSKPCPKCKRPIEKNQGCMHMTCTPPCKFEFCWLCLGAWSDHGERTGGFYACNRYESAKKEGVYDETEARRERAKNSLERYMHYYERWASNQTSRQKAQADLLKAEKDQLANLTDIFGIPETQLKFIIEAWSQIIECRRVLKWTYAYGYYLDDKVKSEFFEYLQGEAESGLERLHQCAEKDLQKFLPSVKSDSTETTAPKPDEFSEFRVKLAGLTSVTRNYFENLVQALEAGLEDVRATAQAAGTSSAATSSKKGGTKSKKKQHTKPSSDHTDDGWPCERCTFLNPPSVDACSVCEKSRY >Sspon.01G0018280-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:73489993:73491356:-1 gene:Sspon.01G0018280-1T transcript:Sspon.01G0018280-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLASAVRVFLAYCLLLHGCMGSEEAGGVFDQGCHGVSLTRLDEARAPSRCAARPSPSGPAILPPKPLLVAAPREAGEYPVLLFLHGYLAVNSFYSQLFQHVASHGFIVVGPQLYTISGPDTIEEINSAAAVIDWLATGLPSALPPGTRADLTKVSVSGHSRGGKVVFALALGHAKAKLALPLAALVAVDPVDGMGVGKQTPPPVLTGRNRSLHVGAPAMVIGTGLGELPRGPLLPPCAPRGVSHAAFYDELDRAAPACHLVARDYGHTDMMDDDTPGARGMLTRTVCRRGGARAPMRRFVAGATVAFLNKWVAGDAAAMDGIRARPDQAPVALSVVEFRDGKR >Sspon.07G0001700-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:3970908:3973892:1 gene:Sspon.07G0001700-1A transcript:Sspon.07G0001700-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRGAALLLVAVFAVVAVAKGSADAPPAETNGDSSSAQSGLGKLEEHDQTNPNKEHVTHQKGVVSNDIGDNNKKDNSVEGTNIRRDDSIPQPKDKDNSITKLSQAREFLEDPRIKECDPSHRCIIENKKFIACLKVSGEDSSALSLLMDNRGINALDVSITAPDYVNLSEDAIHVEANDHNETQVRVSVSDDANKTTIVLKVAENSCNISIHNAITRETGRVIRMPLTSTYTLLPIFLLLAVVGVCIMLRRTRKQDGEPAYQKLDMSDLPVSVGGKKEPDDQSDKWDDNWGDDWDDEEAPMTPSKPLPNPSSKGLAPRRSTKDGWKD >Sspon.01G0006810-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1A:18168126:18172002:-1 gene:Sspon.01G0006810-1A transcript:Sspon.01G0006810-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQHCWSLLQHNEKRKQRNNDSDQLNKVSNKSSIGLARELEEDEGEEASHTVRSEAPTNKRPPGRKLEKERIKKGEDHAVFQTSVQEIMAARKEFKAEKKQEKESRWMDMKAMEARKVVIEEGKLRVLEEELRPFAFPVAALVASRCIYINHAGQNLSHIAQEPSSHTPPPPVHHTVTYHCLHFATCPRSFKWGPKQAKGATDDGKGDVAGAGGSAVTASAIAASYDDQIRPLLDAVDRLRHLKVTQEGIQLPTIVVVGDQSSGKSSVLESLAGISLPRGQGICTRVPLVMRLQDDPSADSPKLQLEYSNGRVVTTTEATVADAINAATAEIAGSGKGISDAPITLVGQPEDIYDQVAKIIKEYIAPKESIILNVLSATVDFPTCESIRMSQQVDRTGERTLAVVTKVDKAPEGLLEKVTMDDVHIGLGYVCVRNRIGDETYEQARVEEERLFKYHPLLSKIDKSMVGIPVLAQRLMQIQAAIIAKCLPDIVKQINERLSRSSAELDQMPQDLNNVADAVRVFFHIVKQVCNSLEKVLVRGDFAEYPDEREFHGTARIAEMLSGYAKSLPAQCPTNGNEPFLMEEVRILEETKGINLPNFLPRSAFLVLLKKKVETIDQVPQDLVNGVWEYVEELVMKVLLKHSDNFPQMQSPCRRAELIAMELVADYTANPDYMKTWADIMEGYEKFMEAVEDKSKPTRITLEGFGEVDVSHLRVYADLAGKAFDLRARLTAYWKSIVLRLVDGLALHVLLSVKLLVEKDLEEELGNELLSNKFAGVEKMLAPSPSTGTKRERLKKSIVLLRQSKEVVANIMDRISAAGDI >Sspon.08G0002100-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8B:3510034:3511129:1 gene:Sspon.08G0002100-2B transcript:Sspon.08G0002100-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVYTFVCRNSGGEWTAKQHSGEIEASAATPYALQRALVAAASAADSASGVQSSFSMVTPTSAVFQVIVGAVGGGAMMVSAGGGAAAASGGAAAEAPKEEKKEEEKEESDDDMGFSLFD >Sspon.01G0003960-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:10999617:11004724:1 gene:Sspon.01G0003960-1A transcript:Sspon.01G0003960-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVYKLSIRAAGALTWPPDRIIIQILDDSTDPFIKELVELECKDWASKKINIKYEIRESRKGYKAGALKKGMEHSYAQECDFVAIFDADFQPEPDFLLRTIPFLVHNPKIALVQTRWEFGKQAFHFCSNYLPTDKDTEDVTRLSFQGRAGIRLIYACVLWFQRYDRTAGVWRVSAIREAGGWKDRTTVEDMDLAVRASLKGWQFLYVGDIRVKSELPSTFKAYRHQQHRWTCGAANLFRKMAGDIYRHLGDVLSLSVSICTNDAGGNCMEEASSSVQLLLCPKGHRSHPNIPVLLRRDPTVVMVPEVSIPVWGMFYIPTAITMNAIRNPWSIHLVPIWILFENVMSMHRMRAALTGLLETMYVDEWVVTEKVGDHVKDKLEVPLLTPVKPTECVERIYVPELLVAFYLLLCASYDAVLGAGHCYLYIFLQAFAFLVLGFGFVGTATPCSCP >Sspon.01G0017140-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:64511399:64512858:1 gene:Sspon.01G0017140-3C transcript:Sspon.01G0017140-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSPTAVAGSAADFSDALPSPTSPAAVPCHSSPGRHYYLAVDRTQFKMRTLLELLGVVADRRGGLPIATCVSSRDELDAVCAAVANLSFVSMSPLYSDQAEAERASVLEKFRQETIQWNQTTKATNIAKSSRLESTGSKLSIVVATDACLPQAAMAEAPLMARVLINYELPTKKEAYLRRMSTCLAADGIVINMVVGGEVATLKALEENSGLLIAEMPIH >Sspon.04G0006540-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:14865436:14870421:1 gene:Sspon.04G0006540-2B transcript:Sspon.04G0006540-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCFGSDVPEVGAVKAMAHAHHAHPQVAMAKRVMAAPSAAHHAAASQGVPGKKSPPSTATTTGGTGTGTGSKRPAAGGGAATSGGVGGGEPSHHQQLDGRILEIPNLRVFTFAELRAATRNFKADTVLGEGGFGRVHKGWVDERTMSPARNGAGVPVAVKKLNPESLQGVQEWQPLPWSLRLRIAIGAARGLAFLHSSEKHVIYRDFKASNILLDTHFNAKLSDFGLAKDGPAGGSSHVTTRVMGTYGYAAPEYVATGHLYVKSDVYGFGVVLLEILTGLRALDTDRPTAQHNLVDWAKPYLADRRKLARLVDPRIEGQYPSRGAQRAAQLTLRCLAADHKNRPSMQEVVVVLEEVESMSSRAAAARLDGSASPRPPTARNGHSQRPGSGSGSGSSLDWAGPAPGGGHNQIRITTNVKKDGSQGVKSQSQSYNVFKSSLLLLLSGLSLDNLVNVQDPLPLVHLWRFTAPDSASELVHPVLIDAGAADYVGFEAEDTDSWGSGELHLMAESQFQEQLTALAL >Sspon.02G0006410-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:18642604:18645308:1 gene:Sspon.02G0006410-2B transcript:Sspon.02G0006410-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein translation factor SUI1 homolog (GOS2 protein) [Source: Projected from Oryza sativa (Os07g0529800)] MSDLDVQIPTAFDPFAEANAGDSGAAAGSKDYVHVRIQQRNGRKSLTTVQGLKKEFSYSKILKDLKKEFCCNGTVVQDPELGQVIQLQGDQRKNVSNFLVQAGIVKKEHIKIHGF >Sspon.04G0018680-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4A:66971376:66975094:-1 gene:Sspon.04G0018680-1A transcript:Sspon.04G0018680-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPYNLERREDETLLIPVHSDLVRSAYAPSTVPNLTGTAPSPQQCHSPPSGIALLRIVLIHDEDTAGHTPFLAAVEHGLHQQEIVICETRPMVENAIKEVYTALLPKTITIADLGCSAGPNTLLFISSVISTIVEQCKSSGDDYVELQFFLNDLPSNDFNELFRSIEKFKRPNTTGEMAHLPPLYYIMGLPESYYNRLLPRESVHLFHSSYCLHWRSQEPEGLEPWRKSYLNGDNIYITKTTTPFVVKQFQKQFHKDFSLFLKLRHEELVHGGQMVLIFLGRKNEDVYSGDLNQLFALVARSLQSLVLKQDMKLNEF >Sspon.01G0006410-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:14921374:14925959:-1 gene:Sspon.01G0006410-3C transcript:Sspon.01G0006410-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VMAADHGEAVGRCILVGLHMDAVGRDLLRWALHQESRRGDRIVAVHIYRKSDKTNTLKLIRTLDDYLAEYEALCNQKQVVLVGRVTPGSSIQKELVKEAKLCAAMVVVLGANKKYSFRGSSCLAKYCAKKLPPTTTVVAIQDGKAVFVREAPKPPPGAEPKPVLRTVLHPSVGLELKVIIPNPKRSARSMDFDARSCGHGAAAAPATKSFDDATKGDGSVTLEQRLGWPLLRRAHAADAAAAPVQTPSAKNQEPRKQSVVHWVMSLPRRSAPSESAESHAGAGLESELKAMLGGSSARCRWFRYEELYDSTNHFAAENLIGNGGNSRVYRGSLACGQQVAIKLSKASAQASKDFLREVDIITKLQHRRIVPLTGVCVEGRNLISVYSYLPRGSLEDNLPGERSKPALSWENRYRAALGIAEALSYLHSGSSRPVIHRDVKSSNILLAEEFEPQLSDFGLAIWAPTNPTSLTHSDVVGTFRYLAPEYFMYGKVTDRVDVYAFGVVLLELLSGRKPISSDSGDISDLLDPRLDVKHDEVEVRRMASAASLCLRRSARLRPPISQILSILRGESTASIADQDATEPDCLDDEAYPVANVRSHLDLALLDVEDSESISSTEHSSGLSPLEEYLRERWSRSSSFD >Sspon.07G0011510-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:46967431:46970424:-1 gene:Sspon.07G0011510-3C transcript:Sspon.07G0011510-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVVGFDLGNESCIVAVARQRGIDVVLNEESKRETPAIVCFGDKQRFIGTAGAASSTMNPKNSISQIKRLLGRKFSDPELQSDLASFPFRVTEGPDGFPLVHARYLGEERTFTPTQLLAMVLSNLKGIAEGNLNAAVVDCCIGIPVYFTDLQRRAVLDAATIAGLRPLRLFHETTATALAYGIYKTDLPENDQLNVAFVDVGHASMQVSVVGYKKGQLKMLSHTYDRSLGGRDFDEALFKHFAAKFKEEYKIDVYQNARACIRLRVACEKLKKMLSANPEAPLNIECLMDEKDVRGFIKREEFEQISASVLERVKGPLEKALAEAGLTTENVHFVEVVGSGSRVPAIIKIITEFFGKEPRRTMNASECVARGCALQCAILSPTFKVREFQVNDGFPFSIALSWNGDSQNTPQQTLVFPKGNAIPSTKALTFFKSSTFEVDVLYVDPDDSQISQKISTYTIGPFQTSKGEKAKLKVKVRLNIHGTVTVDSAM >Sspon.01G0038190-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:24818425:24819385:1 gene:Sspon.01G0038190-2D transcript:Sspon.01G0038190-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MYQYFVKVVPTIYTDIRGRKIHSNQFSVTEHFREAIGYPRPPPGVYFFYEFSPIKVDFTEENTSLLHFLTNICAIVGGIFTVAGIIDSFVYHGHRAIKKKMELGKLG >Sspon.08G0003340-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:8896957:8904298:-1 gene:Sspon.08G0003340-1A transcript:Sspon.08G0003340-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSVSFLQLHLLLGRRRRRRGNSSGGGGDGMGCAQGKPSEGSPARSDGRGVDRLKHDNAYRPGSSVSRLSDPLPVAAAAERPPAPPHKEHARRAAAPGATVVPAGKTPDADAAAQQASSSAATSATPSPLPPHPPRRDDELVDGWPTWLLDNVPREALQGIVPKSADAYDKIEKVGQGTYSNVYKARERGTGRIVALKKVRFDTSESESVRFMAREIRFLQRLDHPNVMKLEGIATSRMHRSIYLVFDFMYSDLGRLVLRSGQRLTEPQVSSSFPFFFLIRRPCTTTTTTTTTTTDTWPWRPSSSELTHHSSWIRRLFLAIKCYMQQLLAGLQHCHERGILHRDIKGSNLLIDRHGVLKIGDFGLANYYGAGRRQPLTSRVVTLWYRAPELLLGSTSYGVGIDLWSAGCLLAEMFFGKPLMPGSGEVDQLLKIFRLCGSPPDDYWRKMKLSPSFKPPKPYKSTTAERFRDLPPSSLGLLATLLALDPAARGTAGQALQSSVRPLMPLPLLQFFSTPPLPCDLSGLPVVYKEEVDVDARKPRRQRSQRRKDGKQKAEEEQQSEINNGSPPEKQAQPSRRRPLDITIRVNPGQEPVTNTGNPGQESAAANASSSAHDASSEKTVANRFSASPVVQEASPVQTVAQDQEQLPTANTSHHSASDGDDHVNHHLVAAPDYGDGEEEEEAGGERPSGIGSGGGGVNRVVPESRSAAFVSDFEAAAAALHGSDEFPSSKHSTEETSSQNSSSNQTGAHCYTGKTPDADADADAAAQAASAATPATPLPHPPRREDELVDGWPTWLLNNVPREALQGIVTKSVDAYEKIEKVGEGSYSSVYKARERGTGRIVALKKVWFNRSDSESVRFMAREIQFLRRLDHPNVMKLEGMATSRRSIYLVFDFMYDDLSRLVFRSGQCLTEPQIKCYMQQLLEGLQHCHERGILHLDIKHGNLMIDRHGVLKIGDFGLSSDYGAGRWQPPPNRVVSLPYRAPELLLGATSYGVGVDLWSAGCLLAEMFFGKTLMHGSGEGQLLKIFEVFGSPPDDYWQKMELSPSLKPPETYKSTTAERFRDLPPSTIGLLATLLALDPAARGTAAQALQSSFFSTPPLPCDLSALPVVYKVEEGVDERKRRLPMVIRVKPGVPLKLFQPCRSGREPGSEAGARSEAGADGKHLAPLRQRRRWRGAEDHHLVAAPDHDDGDDGVEEEAKGEPPSGSGGGTVNRGGLESRSAAFVSDFEAAAAALRGSDELPSSK >Sspon.02G0002550-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:8308772:8319607:1 gene:Sspon.02G0002550-1A transcript:Sspon.02G0002550-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTPPAAEDKTDGYLIKPQSLVPSLDTSTWPLLLKNYDRLNVRSGHYTPLPSGHSPLKRPLAEHLRYGVINLDKSSNPSSHEVVVWIKRLLRAEKTGHSGTLDPKVTETSSSASTQGAGKEYVCVVRFHAAVPDTARMAHALEALTGAVFQRPPLISAVKRQLRVRTIYASKLLEHDPERHLTVFWISCEAGTYVRTLCVHLGLLLGVGAHMQELRRVWSGILGEQDNMVTMHDMMDAMWSLDNYKDESYLRRVVMLLEVLLTSYKRLVVKDSTVNAICYGAKLMIPGLLRVVMDKDTYPRKWELGPVALKKKKMITEGLLDKHGKPNEKTPAEWLRNVVLPTGGDVSIASIAAAPEPEKVKVEEDTVVNEEVKEKKKKRQKDENDDGDAFVPAKKIKVEEAAEAVEGEKSEKKKKKKKDKGESGSGDVVEVKEVEKADVADEKGSEKEEEEEEEEEEEEEQGRKRCRGSREC >Sspon.03G0021130-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:87712145:87715940:1 gene:Sspon.03G0021130-2B transcript:Sspon.03G0021130-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MENVLTPDRWADLTSCFMARNNQGHLQLPEMDHIQPKNIPHNESLSLGQKLLLHHGSDATLRIGHSSTGNMGLRSNDLPSSSRTVQTLGYRVGNPGTSHAPFVHCHAGSSSSHLPEPAVNYPHRSEEGAPVGSHMDNRRAAMKRKDPSVHPAGISATGYYVGSSSNTQPSNCVQPNPSPLAEPFLRQIPLSIDRSGWDGQHLIHQEGFQRNVRARHSHNISLEPRSASTYPLNSVHVPSFGSTASASSSTSVERNQAPVSVPTRTVPAGPPGFTSRALTGRAYYPVVGSSSSSVGAVPTIHGSSGAAIFANSGYAPSSVHAGTAPIYPNPAPATSSGSRTMPHQVVTQSHPPATSAATSASMRIAQPLPARTAVASRHARHVSAAIANNGRYRRARSSYYSLHPLMVEAERFMMDQLVFYESRAAAADPHRDMRLDIDNMSYEELLALGESMGNVNTGLADEKISKCVKEVVCCSSDQMQIDQDDQDDGSCVICLEGYKDKDMLGILKCRHDFHADCIKKWLQTKNSCPVCKAAAA >Sspon.04G0004590-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:8998594:9003868:-1 gene:Sspon.04G0004590-2B transcript:Sspon.04G0004590-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONSTANS-like protein, Heading promotion under long-day conditio [Source: Projected from Oryza sativa (Os02g0724000)] RPRPLLDVLAKPPPSPLSSRRRSLFSLSARQQRKLLDLSPRSPAVGWLVPRLGRARASGIRAPWRSAARYGYRKVDLVVALNSKWNFSIQSRIMASLCDFCGKQRSMIYCRSDAASLCLSCDRNVHSANALSRRHTRTLLCDRCGSQPASVRCLEDNASLCQNCDWNGHDAASGASGHKRQAINCYSGCPSSAELSRIWSFIMDIPTVAAEPNCEDELSMMTIDDSDVTNHHGASDDKRLLEIANTTLMSDPPSADKLKPLIGFSSGDGFDVLLLATDQPAGPVSVTPKVPYARDDDKFNDGMYEDLCVDDADLTFENYEELFGTSHIRTEELFDDAGIDSYFETKETPPFFNEQPKTMQLECSNVVSADCGMSNPGARADSSLCIPVRQVRSSISHSLSGLTGESSAGDHQDCGVSPMLLMGEPPWHSPGPEGSVAGGSRDSALTRYKEKKKRRKFDKKIRYASRKARADVRKRVKGRFIKAGEAYDYDPLSQTRSY >Sspon.06G0006770-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:24677207:24696277:-1 gene:Sspon.06G0006770-1A transcript:Sspon.06G0006770-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMQLKARYGGTWTLTIMTEPKHEGEAEELVNQLSPGASRIYRLSGTQKFTLPRREVGLDGVFRVVEMARRAFPVLGWGVAGATLEDVFIRVVKDAQRRNARTNAAIAAFPVLLCVLLVTIQHVVDSELERPPFRCGCVGTECGIQYSTPIQALACAVPVPPRWPPLVQVPPTEARALTRLHPRPCKAPEKNCPATVLLTGQNRQLAQDMQCVQALSLWCDNSSVINHHMFKGYKGYDFLDTSNRRFHVYVWYNSSFSRDNGHRPMTVLRVSRLVNMASTAYLNFFKGENAEMRLEFLKEMPKAAVKMRLDFTTLLDALFFTWTVQLLLPVILTYLVYEKQQRLRLMMKMHGLKDAPYWLISYAYFLALSAAYMMIFIISANSMLLNFLDSLRANMRANYAENSLGLAGLVIFRLNSYIIQCLFYFVCINLQIVLAFLLATFFSSVKTAMIGYMYVFGTSLLGEALLKIFIEDATFPRHWLVTMELVPGFSMYRGIYELAEYAAAGRNMGKPGMRWVDLNNPVNGMKDVLRRNARTNAAIAAFPVLLCVLLVTIQHVVDSELERPPFRCGCVGTECGIQYSTPIQALACAVPVPPRWPPLVQVPPTEARALTRLHPRPCKASEKNCPATVLLTGQNRQLAQDMQCVQALSLWCDNSSVINHHMFKGYKGYDFLDTSNRRFHVYVWYNSSFSRDNGHRPMTVLRVSRLVNMASTAYLNFFKGENAEMRLEFLKEMPKAAVKMRLDFTTLLDALFFTWTVQLLLPVILTYLVYEKQQRLRLMMKMHGLKDAPYWLISYAYFLALSAAYMMIFIISGSIIGLVIFRLNSYIIQCLFYFVCINLQIVLAFLLATFFSSVKTASVIGYMYVFGTSLLGEALLKIFIEDATFPRHWLVTMELVPGFSMYRGIYELAEYAAAGRNMGKPGMRWVDLNNPVNGMKDVLVLMSIEWIVLLLVAFLLDHKPAWHWQPLFLFGFLSTKHSSPSQKPNKLKRQSRRVHVYTAKPDVSLERKVVRRLLKDMDMRNMIICHNLKKVYPGKNGNPDRHAVKGLSLALRKGQCFGMLGPNGAGKSSFINMMIGLVKPTYGTAYIHGMDLRTDMDEIYTNIGVCPQHDLLWEALTGREHLMFYGRMKNLTGAALTQAVEDSLKSVNLFHSGFGDKSVSKYSGGMKRRLSVAIALIGNPKVVYMDEPSTGLDSRSRNDLWNIIKRAKKDCTIILTTHSMEEAEELCDRIGIFINGNFQCIGTPKELKTRYGGTRILTITTWPEHEEAVELIVSRLSPGYTRIYSVSGTQKFALPRREVGLGCVFGAVEVARRAFPVLGWGVADATLEDVFVRVAKEARAFHRKKRYHLDFQQL >Sspon.04G0006530-3C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4C:18073179:18073769:1 gene:Sspon.04G0006530-3C transcript:Sspon.04G0006530-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SNITCYKENFLDLLASKNVTQEMFEDVPAGLYASQALSGRFGAGGSDVAPIKYEVTGSSLFFGSTTGMHQGMDMVGCTPRYTYAAADHHQMKEGGNQQQELDAAPAMASFLQQLSSNASVGMHASFDYSGTGGLDKICQESRALETSPFGKRSLPDLSSFGGYSRSTAESTSSAQPYLRSSNLAESSKQEPDIVSV >Sspon.05G0010500-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:24602174:24604278:1 gene:Sspon.05G0010500-2B transcript:Sspon.05G0010500-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSTANTNAAESHLHCSTFASRYVRTALPRFKMPEQSIPKEAAFQIINDELMLDGNPRLNLASFVTTWMEPECDKLIQSSINKNYVDMDEYPVTTELQNRCVNMIAHLFNAPIGDDETAVGVGTVGSSEAIMLAGLAFKRKWQNKMKAAGKPYDKPNIVTGANVQVCWEKFARYFEVELKEVKLKEGYYVMDPEKAAEMVDENTICVAAILGSTLNGEFEDVKMLNDLLTAKNAETGWDTPIHVDAASGGFIAPFIYPELEWDFRLPLVKSINVSGHKYGLVYAGVGWVIWRSKEDLPDELIFHINYLGADQPTFTLNFSKGSSQIIAQYYQLIRLGFEGYKDVMQNCRDNATVLREGIEKMGYFDVVSKDSGVPLVAFSLKDSSKYTVFEVAESLRRFGWIVPAYTMPADAEHVAVMRVVIREDFSRGLAERLIADLGKTMADMDAHAGRKAPEQPKKSVHDIEKEVTTFWRRLVANNKKKSSMVC >Sspon.03G0019610-3C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3C:73368770:73369285:1 gene:Sspon.03G0019610-3C transcript:Sspon.03G0019610-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVISAEPPPPPPPDPVAADAAAVEAAAATSNARQAAAFSSFPSLKTWGSHRALRCAHVNRAGDATATATRRSPGKLAVVEEKALSHLHEVEAGSSDAADADHVAAAEAEAAPALPPWKLRTRRRPKPKVAAPSASMSPPHERRPSRACAEALDRARFSVTLTSEEIEEDIYA >Sspon.05G0019150-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:67482797:67483693:1 gene:Sspon.05G0019150-1P transcript:Sspon.05G0019150-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LPADVLALVIRRLDGASLAALGCACAAFRDLAADPAAWRALCLALWPSLRDVPLRRDANTDAKAQAHHHQRLFADAFPFPAVTPAAGADPLPARLVSAVDLRQGGVCVMSRAVETDASSAWFLGAPFRIDALAQEGFTLPAPAPIVPAELELSWVLIDPDSGRAVNASSRRPVSVDRRWLTGETVVRFALVLGVSGVVLDAAVTCDERYGHVREVSLCMEDAEGGGVSGRDGLAVVAAAMAGARRGRGAEEENKVRYQEFVKGRADRKERKARREGIIDLCCSGVGAAALLGFLLMLTF >Sspon.05G0009370-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:58156481:58158605:-1 gene:Sspon.05G0009370-4D transcript:Sspon.05G0009370-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLVRFLMKLNNETVTIELKNGTVVHGTITGVDISMNTHLKTVKLTLKGKNPVTLDHLSVRGNNIRYYILPDSLNLETLLVEETPRVKPKKPTTGKPLGRGRGRGRGRGRGRGPR >Sspon.05G0009090-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:26465759:26468968:1 gene:Sspon.05G0009090-1A transcript:Sspon.05G0009090-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDRSKNRKPTQRGRYLSTEAIQAVQSLKRAALRGAPAAAAVEPKLRRLLKADMVAVFRELAAQGEALLALQVFEEIRKEHWYKPRLLWYVDLVTVLASKGLRIEVDKACSYLKREQLEPDTEGFNLLLKTLLDSGFTQLTMDCFRLMKLWDSDPDRITYTTLIKGLESLGKMELSAGIRLEAENDYGSLDFLDEVEIDEACRNSQFCLQGNVPFGRNMKDGGMW >Sspon.04G0010100-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:25166791:25167402:1 gene:Sspon.04G0010100-2B transcript:Sspon.04G0010100-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MIASCVLPLRSLFVLPSSAASRSCPTTATPLPFPRITLSCRRRRLAASATESSSEEEEAAAGSTNGSLPGLPPVEEEEEFCPVDCVTEFKTEEEFQRHLERSKATGALVVVDFYRPSCGSCKYVEKGFVRLCKGSGDDGAPVVFLKHNMQTPPTQQGSKLLLYSIAMRCNTRG >Sspon.04G0013650-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:49301616:49314873:-1 gene:Sspon.04G0013650-1A transcript:Sspon.04G0013650-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Adenine nucleotide alpha hydrolases-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G24560) UniProtKB/TrEMBL;Acc:F4J7P7] MALAGIHPHHRIAVGVSGGPDSMALCVLAVAWKKAAARKAGDEEGPVASVFVDGLLGVVVDHGLRPESADEARLVRDRVRGLGVECEIAKCEWPDGRPKQGQVQEAAREVRYQKLLDICIKQQIGILLIAHHSDDQAELFVLRLSRQSGVLGLAGTAFVSQLFASNVKYDGENFRRYGILLVRPMLEFSKDDMYRICQGSNQSWVEDPTNTSMKYARNRIRASLRKLSTEGTFLSGVHKLISACRLTRSFVDCACSMIAKQSVSISEFVSQRHRPLRGRSARLLLDYIRSSPCKAALTVAGCYLCAVPRSKGTKVLVCCSVDWMESSSAETSYKCYYEEQAPPVPDIDQIVLEGHLQANQSIQNHLNIHFLYSKSSIDVLNKAKDLNIIDDFTLEKLHYLRTDEHDKFIVKEHKHEEQDLEETKFPDCNVLSLCPGETCHFMSKFLITWKAPEDVNEICLLENKVSLSKFCTVNLGGSLEVRHMVDTDWLFLAEVCNTPSVEENLSDPKASCTSMKMDPAPHHSRFLQQSAQKALQILRSIPAAARRTLPVLTNAQGDVVCIP >Sspon.01G0005740-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:13319147:13324329:1 gene:Sspon.01G0005740-3D transcript:Sspon.01G0005740-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MESYLKENFGGVQPKHSSDEALGRWRKVVGVVKNPKRRFRFTANLEKRNEATAMKRNNHEKLRVAVLVSKAALQFIHSMIPPHLSPECTTTFIVFFNHQSYHHFNSTGLAPSSEYKVPADVKAAGFGICAEELSLIVEGHDVKKLKSHGGVQGLASKLSTSESDGLTTSTDKLATRRDFAEAESRGFLVFVWEALQDMTLMILAACAFVSLIVGIATEGWPKGAHDGLGIVASILLVVFVTASSDYRQSLQFKDLDKEKKKITVQVTRSGYRQKLSIYELLAGDIVHLSIGDQVPADGLFMSGFSLLINESSLTGESEPVAVNAENPFLLSGTKVQDGSCKMLVTTVGMRTQWGKLMATLSEGGDDETPLQVKLNGVATIIGKIGLIFAVVTFAVLTESLFRRKITDGTYLSWTGDDALELLEFFAIAVTIVVVAVPEGLPLAVTLSLAFAMKKMMNDKALVRHLAACETMGSATSICSDKTGTLTTNHMTVVKACICGKIKEVDGASDAKSLSSELPDSVMTILSQSIFNNTGGDVVLNQDGKREILGTPTETAILEFGLSLGGDFSAVRKASTLVKVEPFNSAKKRMGVVIQLPEGALRAHCKGASEIILASCSKYLNEEGDVVPLDEGTIDHLKATINSFANEALRTLCLAYMEVEDGFSVNDQIPTDGYTCIGIVGIKDPVRPGVKESVAICRSAGITVRMVTGDNINTAKAIARECGILTEGGIAIEGPDFRTKSEEELTQLIPKIQVMARSSPLDKHTLVKHLRTKLDEVVAVTGDGTNDAPALHEADIGLAMGIAGTEVAKESADVIILDDNFSTIVTVAKWGRSVYINIQKFVQFQLTVNVVALVVNFSSACLTGSAPLTAVQLLWVNMIMDTLGALALATEPPNNELMKRTPVGRKGNFISNIMWRNILGQAFYQFLVIWYLQTEGKWLFGIKGDNSDLVLNTLIFNCFVLCQVFNEVSSREMERINVFEGILNNNVFIAVLGSTVIFQFIIIQFLGDFANTTPLTLNQWIACIFIGFIGMPIAAIVKMIPVGSS >Sspon.01G0024610-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:87687990:87691761:1 gene:Sspon.01G0024610-2B transcript:Sspon.01G0024610-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLQLNHIARETSDVVRLAAFYEAVLGFERVPSPTYSGFQVAWLRLPSSPDVALHLIERDPAAAPVAVGPGAEGAPPSQLPRRHHLAFSVADYDGFVTGLKARGTEVFEKTQPDGRTRQVFFFDPDGNTSANTAYKMRWKQETLLDKASFRKINKS >Sspon.05G0005450-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:17519216:17521183:-1 gene:Sspon.05G0005450-1T transcript:Sspon.05G0005450-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding METPSSTCDEGSELDARSQSDYADFDDLDRPPRGCHRREPSSDVSSESSGEPGSPYGASPYPRWPVCTLATKAPPPAAALLKRLSTTRRGGGGGGAGARDGKPGDGELQLIKERFSKLLLGEDMSGSGRGVSTSVAISNAITNLYATVFGSCHRLEPLPPEKKSMWRREMDCLLSVCDYIVEFFPSKEMLPDGTTREVMATRPRSDIYVNLPALEKLDDMLLEILDSFQKTEFWYVNDKGQKDDSVATPCRPVSHRGEEKWWLPVACVTKPGLTETARRDLQQKRDCASQIHKAAMAINNAVLAEIRIPDLYKQALPKRGGPDLPAHVVPGKFSPEYLLDCLEISSEHEALEAADRVEAAMHVWRRKASQSHSRSPWSAVKDLMESDKNVMLASRAEDVLLCLKQRFPGLSQTTLDASKIQYNKDVGQAILESYSRVLESLAYNIVTCIDDVLFADEGARKIA >Sspon.05G0002420-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:7469522:7473368:-1 gene:Sspon.05G0002420-1A transcript:Sspon.05G0002420-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycerol kinase [Source:Projected from Arabidopsis thaliana (AT1G80460) UniProtKB/Swiss-Prot;Acc:Q9M8L4] MAGEGEEVYVAAIDQGTTSTRFIVYDRHAKPVASHQLEFKQHYPEAGRLESELSGGRTHFVETCGLPISTYFSALKLLWLMENVDVVKDAVETGDALFGTIDTWLIWNLTGGVAGGQHVTDCSNASRTMLMNLKTLDWDQPTLDALGVPVKILPKIISNSEKIGVVADGFPLAGVPISGCLGDQHAAMLGQLCQKGEAKSTYGTGAFILLNTGEEPTQSSHGLLSTIAYKLGPTAPTNYALEGSIAIAGAAVQWLRDSLGIIQTASEIEKLAQTVPDSGGVYFVPAFNGLFAPWWRDDARGICIGITRFTNKGHIARAVLESMCFQVNDVLSSMHKDAGEAGEVKSAEGEFLLRVDGGATVNNLLMQIQADLLGSPVVRPADIETTALGAAYAAGLAAGVWTNEQVFAGLHKENTTVFRPKLDEAHRKKRADSWYKAVSRSFDLADLSL >Sspon.02G0044610-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2C:115606857:115608401:1 gene:Sspon.02G0044610-2C transcript:Sspon.02G0044610-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGNGTITLR >Sspon.06G0005850-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6C:18434688:18435398:1 gene:Sspon.06G0005850-2C transcript:Sspon.06G0005850-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MCANGCGFFGNTATKNLCSKCYKEHLIKTADAAAPVAEKKIEVAKAAAHVSEQLAGQDPDATAAAVAVATATPVAHAPSSVTEKKNGDGPVETQKQEASVGGGAAIKCAANGCGFFGSTATENMCSGCYKDFLKDAHAAPAAEDKVVLAAEQTAAAQISASTSSAAPAVKSAAPNRCASCRKKVGLLGFPCRCGGTFCSLHRYAEKHACDFDFKAAGREKIAKNNPLVVAAKINKI >Sspon.01G0046140-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:96603301:96606638:-1 gene:Sspon.01G0046140-1B transcript:Sspon.01G0046140-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRKNDVDDDEPRVSRLKPPISKSKSQKKKSNHDIMENGPFSGQNFQKMGGADPSNWSSYGSAVSFSESCVADASEMTGSAQSHAWESLVPSRKRSCVTRLKPSSVEKLAKDLNSIMHEEQLYYLSGSSEENLLYHSETPVGSFEIGSGSVLLRHLNSKSLEEESEASSIPADNKSYITSESYSGSVSFVSHSGNKAAINLNAATVRPKRSPLRIEDNGRRDKLHYENQHILESVDSPLVSADLEEKEIKETRGVENINGSKGFTKSTIKPVKRSRDDNHFQSNSELDGTMRSPIRVLKSGALTPQFKNSSLPKSGYATKDSTCTGGALNLFMLPPEKLSLFVPPQYANSDHDLLLEIPLNARHPEAELLCQPSQLSSITHSSTSVGGVAEGEGHLKQP >Sspon.02G0022190-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:74098694:74099683:-1 gene:Sspon.02G0022190-1A transcript:Sspon.02G0022190-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQKGAGPGARSSHAITLVGHTAYAFGGEFTPRVPVDSTMYAFDLTTQTWSALDDATGDVPPPRVGVTMAAVGGTVYTFGGRDLEHKELNELYAFDTATRAWTLLSSGADDGPPHRSYHSMVADAECGRVYVFGGCGDAGRLNDLWAYDVAAGTWEELPSPGEACRPRGGPGLAVAGGKVWVVYGFSGEELDDVHCYDPATRAWAVVETTGDRPSPRSVFCAAGIGRHVVLFGGEVDPSDLGHLGAGKFSAEAFALDTETRAWTRLVDDDKAEAEAEQHHPGPRGWCAFAAGEKDGRQGMLVYGGNSPTNDRLDDIYFFAPVLEVEAAS >Sspon.08G0009910-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:43276051:43276596:1 gene:Sspon.08G0009910-1A transcript:Sspon.08G0009910-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AMAKPKTTSIVLATVLALAMVSLVAGTKGLATYYTPSYTASACYGYQDMGTMIAAASEVLWNGGAACGDRYVVRCKGATNDGVPHPCTGRTVTVQIVDLCPSPGCQGTIDLSQEAFAIIANPDAGKVQIEYRRYVRRIILHASV >Sspon.06G0027110-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:67674184:67687523:-1 gene:Sspon.06G0027110-2C transcript:Sspon.06G0027110-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPTNKARSPAVEETLTSMATESRLRPAATHQWNTARGLISCGICGSLLLQDIITEEAILILGVKEDLKELKQTMDQIQCFLNDAEERRTEESAVNNWLGQLKNAMYEADDIIDLARLEGSKLLADHPSSSRNSTSCTSFSFFSCLPNIQRRHDIAVRIRNLNAEVEKISKLGKSFLKIQNIQPKDNTSAVRSIQTCELVEPNLVGKETKFACTLLMDLIFANMGKKAYMIGIVGTGGVGKTTLAQKVYNDPRIKGSFSTLLWICISQGRSDISVLKEVLRNIGVDYKQDETLGELSRKLAGAAAKKRLFLVLDDVWEHKVWTNLLRTPLYTASTTILVTTRNDTVARTIGVEYAHRVELMSDDVGWELLRRSMNINEEKEVQNLQSVGMEIVRMCGGLPLAIKVIASVLATREKTENQWRKVMTKSVMSMSKLPSELRGALYLSYDDLPRHLKQCFLYCALYQEGWTMDLEDLTRYWIAEGFVEEQDDQLLEDTAEEYYYELIHRNLLQPDTYFADNSVCKMHDLLRQLAGHLSAEECFCGDAQSLEAKDLSKLRRISFSTDKDFMSTPIVQMKESKLIDCKSCVHLPPIGQLPNLKYLKIEGATAVTKIGPEFVGSGVGNLRSTQAVAFPKLETLVIWEMPNWEEWSFVAEEEQEATRAGTEGVEDEAVANQQGDTPPPRMHVLPRLKMLNIDRCPKLRALPQQLGQEATSLKQLQLRDVHSLKVVENLRFLSEVLLVVDCEGLERVSNLPQVTLLRVQLCPNLRCVETLDNLHQLFLTVDMEGASSSQWLHGLQEKHQQLHGEDMDVYTWI >Sspon.05G0002600-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:7813326:7827048:-1 gene:Sspon.05G0002600-1A transcript:Sspon.05G0002600-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVTMDSCEGSDDYITQNKIVPAKSVALVDGKTEPAGEGLPDGWLKEYRPRKNRYGSRVKGDTFYIDPINGYEFHSLKDVHRYLESGDISQCVRLPNKRKIGDLHTEGDQSHHTGKLSDHTQLDTADESNRYDLPKGTNALGDAVLNPESGENAENITLSKPDDINSIQREADHVEASEGNNIQSGSVEETPGEANFVTSKGANVKEKPKERSTKLNLSKGLLLARKQITPKDGHSGTQTDITNEPQPKQIQKPRRKTNSSVLPERKDGESTRSSAENLPSVPNQVQVASFPHSSGDAGCQNAPAEAPVLQQQAGKVKHLMVCLDLPYRRYFNMSGQTHALTLLGDIPILDDTRAYRSSAYDGNRDYYLPPQNMNKNTAANWSASAYDGNRNHAQVNHVMPRSSDKFYSSGWFPPQERTVSQSTHDTTTTTQHLIVSYPRSGQKSRARGGIRALATGERKLLPFLLLLPTSAWRWSSGALQQLPCRVLLLFLLSSCSPVGGNLRGNRAPSMAGVTMDSCEGSDDYITQNKIVPAKSVALVDGKTEPAGEGLPDGWLKEYRPRKNRYGSRVKGDTFYIDPINGYEFHSLKDVHRYLESGDISQCVRLPNKRKIGDLHTEGDQSHHTGKLSDHTQLDTADESNRYDLPKGTNALGDAVLNPESGENAENITLSKPDDINSIQREADHVEASEGNNIQSGSVEETPGEANFVTSKGANVKEKPKEKKYKTKPVKGIAVPLRSSPRLAALKISPEANNTPKDGHSGTQTDITNEPQPKQIQKPRRKTNSSVLPERKDGESTRSSAENLPSVPNQVQVASFPHSSGDAGCQNAPAEAPVLQQQAEKGETSDGLPGSALSSLFQHVWSDPCLVFAFRTLLGDIPILDDTRAYRSSAFDGNRDYYLPPQNMNKNTAANWSASAYDGNRNHAQVNHVMPRSSDKFYSSEQIDKAKY >Sspon.03G0043260-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3D:44445143:44445560:-1 gene:Sspon.03G0043260-2D transcript:Sspon.03G0043260-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MCRRATTANRLRMPERVFPSSPPSSAPVRVATAFRMRFLLATDRASKMDDLATHSAGFVPATI >Sspon.06G0029430-2D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6D:22982266:22982961:1 gene:Sspon.06G0029430-2D transcript:Sspon.06G0029430-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MESFMQDDAAPSPLAMPPPPRAPPLPLPAAGAHGHGHGAQQYPATTHLSPEQMQFIQAQLHLQRNPGLGPRAQPMKPAVPVPPQQRPQKLYRGVRQRHWGKWVAEIRLPRNRTRLWLGTFDTAEEAALAYDQAAYRLRGDAARLNFPDNAASRAPLDPAVDAKLQSICATIAAASSSSKGARAKSKAMPINAPVLEAPSNSSSDEGSGSGSGSDDEMSSSPSPTPVVVAPPV >Sspon.06G0008810-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:40486288:40487280:1 gene:Sspon.06G0008810-2C transcript:Sspon.06G0008810-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQKMLSRSGTFTVGGHDWSILFYPDGFSSASSDCISVYVELLGKEAMVWASCDLRLIDHTTGLSSFVHKTELRKFNSADISRFAPQTGMFMNRSKFESSAYLQNDRLTIECIITVPPSNITVQLGKLLDAEEGTHVTFIVGGETFAAHKILLAMRSPVFKAQLYGPMSEAKAQNVTIQGMQPAVFRTLLHFIYNDSLPVDYHAGGGGTNNCEMIWHLLEAADRYAVDRLSLVCQSILCNNFDVENVSTTLVLAYQHDCNWLKDACLEFITNKSVMDAVVATQSYKNLKIAFPSLLLEVLEKKMKSCKT >Sspon.04G0001270-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:5004068:5006901:1 gene:Sspon.04G0001270-3C transcript:Sspon.04G0001270-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSCASSTLPWTSASSSRPSAERRLTASRRAPSLVIVAQGKVKKYRQVILTDDIEAVGKKGDTMKVRAGFYRNFLLPKGKATLLTPEVLKEMQLEQERIEAEKKRVKEDAQQLARVFETIGAFKIPRKGGKGKQIFGSVTAQDIVDIIKSQLNRDVDKKLVTVPEIREIGEYVAEIKLHPDVTARVRLNVYAK >Sspon.08G0029750-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:47967668:47970017:-1 gene:Sspon.08G0029750-1D transcript:Sspon.08G0029750-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPRFDFAASVLLCSEDSTTIFDLEEEEREGILCVLRPSPRHANAPSGALSIDFPLQSESCIEAFLGREEGHLPMEGYAERLLLQQPGGSDLVAIRNYAIDWIWKVVDAEYVFEPSTIHRMEILVLNTLSWRMQAVTPCSFIDYYLHKFSDGDVVSEIILSRAVELILSTSKVAEFLVFRPSEIAASIALVALGKHDTSVLESVSTCRKELRKERVLGCYEMVQDKIVMGDIVIKSDGSSVFPKQHSSTGVLAVVACESQQSEDTSAGATEGCFDLGIELKVQGNRTNLKRK >Sspon.02G0039540-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:54610292:54628422:-1 gene:Sspon.02G0039540-1B transcript:Sspon.02G0039540-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MERSSYASRSSSELAAAASGGGRTLRVIPMRHPLGSGSTSSSSSPWWRAAVGRARAMGPLEWAEAALPCVAWTRKYRWKEDLQADLAAGITVGVMLVPQAMSYAKLSGLHPIYGLYTGFVPLFVYAIFGSSRQLAVGPVALVSLLVSNVLGLYTELAILLAFMVGILECLMGLLRLGWLIHFISHSVISGFTSASAIVIGLSQIKYFLGYNVTRSSKIIPLIESIIAGADKFSWPPFVMGSTFLAILLIMKNRGKSNKRLRFLRASGPLTAVVLGTIFVKIFHPPAISVVRFIYSLTRRYKECMD >Sspon.07G0008210-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:19150493:19153401:-1 gene:Sspon.07G0008210-3D transcript:Sspon.07G0008210-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Soluble gibberellin receptor, Gibberellin signalin [Source: Projected from Oryza sativa (Os05g0407500)] MAGSDEVNRNECKGAVPIHTWVLISNFKLAYNMLRRADGTFDRDLAEFLDRRVPPDARAQEGVSSFDHVIDTSTGLEVRIYRAAAANNGAAAAAAVTLPILDFLAGAPSPDPFPVILFFHGGSFAHSSSGTAIYDNLCRRFVKLSKGVVVSVNYRRAPEHRYPCAYEDGWTALKWAMSQPFLRSGADTRPRVFLSGDSSGGNIAHHVAVRAADAGISICGNILLNAMFGGTERTESERRLDGKYFVTLQDRDWYWKAYLPEDANRDHPACNPFGPNGRRLRGLPFTKSLIIVSGLDLTCDRQLAYAEGLQEDGHHVKLVYREKATIGFYLLSNTDHYHEVMEEIADFLRANL >Sspon.05G0037840-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:25108766:25109923:-1 gene:Sspon.05G0037840-1D transcript:Sspon.05G0037840-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLGVEGEIISPSHGPRPAAAALCGRLYTARPEGHATRPLERAVAVALPNLPHYPIRGEIWQETPLGTGWLSRFASVKGAGATSHVVSLVFCHRRFP >Sspon.02G0006460-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:12885924:12886634:1 gene:Sspon.02G0006460-4D transcript:Sspon.02G0006460-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDAAANHPVVAAGGDAGQSSFSANSSPAAAAGGFVALDVGALSSLAGDAGPPGTPTAPPRTP >Sspon.05G0002110-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:139995:144576:1 gene:Sspon.05G0002110-3D transcript:Sspon.05G0002110-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSRFAHLGKSRKLAQALLVSAKPNTATGQNITSSFAFGLGYSSRAFLHGRVHNGPSTSYMLGRAIDGLHWSTGVRKFSILSSSSQNAFQSQLAWKRLVAMGSRAPKASPFFSRVACAIGLAVSRSNIAPYLVAFIAGEVMLAQKTSADGEYYPIRERAQDGRIYITSLIYSAVELVFIIFRSIYLALLFTPSILMAPFAETLGSKYRKTWLRLVHRTLELAGPAFIKWGQWAATRPDLFPSDLCTELSKLHTKAPAHSFKYTKKTVEKAFGRKLSDIFENFEENPVASGSVAQVHRAVLRFRYPNQQTKRVTVAVKVRHPGVGDSIRRDFNIINAVAKISRYIPTLNWLRLDESVQQFAVFMMSQVDLAREAAHLSRFIYNFRRWKDVSFPRPLYPLVHPAVLVETYEHGESVSHYVDDLEGHDRIKSALAHIGTHALLKMLLVDNFVHADMHPGNILVRVVKPKNSNSTLIKSRPHVVFLDVGMTAELSSNDRVNLLEFFKAVARRDGRTAAESTLKLSKQQNCPNPRAFIEEVERSFSFWGTAEGDVVHPAECMHQLLEQVRRHKVNIDGNVCTVMVTTLVLEGWQRKLDPDYNVMKTLQTLLFKEDWAKSLQYTIQGLMAP >Sspon.02G0034470-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:16163968:16168297:1 gene:Sspon.02G0034470-2C transcript:Sspon.02G0034470-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding IRSDFSGQVLLPPRLPVSWPPHPKPQAARLPAAAATHHGTMSAAAALRPTEPLPLPSGLSLSPRLKLLLTFFRADLTVRPLDEWQLKSALLAFLRDPPLSLPLLPDSDLSVSRLPDLQKRRREEPVASGVLHVRDLSFLRPRKGDGEAEEMTREQEEEKYFEWRSTLVQKLEGIELNLEGVKFRMTVEIPSSDNFRTMKKSWEDFYSSELLNSSMNWFLYRVFLIALLARNLKIVNDDEIEAKGDGASKELISGLNCKVWVQFENYDDFHDAMKALCGRSLEKFTAADRRSPTMHGATADLAAVLRQHKRGCFPSPVERQGKINASKVITCIDWTTGPEKILNQGGAAAQEGSRLKVDYDVTWDREGFFRIAQYEPAPNNLGERDSSASVHGRRKHHTSRIESDYRKRFRTRVMNDFRNEHRDGQAYTCRALTIDRVQPYSRAEDQTQKPKAKVENDNSSEGDVHPCL >Sspon.07G0008560-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7C:19207166:19208312:1 gene:Sspon.07G0008560-2C transcript:Sspon.07G0008560-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ELQTTTKIPFEKGLAQRFIQPSGSGVDLGFFSLDELSSSSGEVFPLVVYAEAYPSPEEGGPSVNSTRAQITLAVLEKHNNDLQVKVMKQILWIDGVRYELQEIFGMVNSTESDVADADADDTEKECVICLTEPRDTAVMPCRHLGSISVMFLSDVSGSKS >Sspon.02G0025370-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2A:88065808:88066823:-1 gene:Sspon.02G0025370-1A transcript:Sspon.02G0025370-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATQSGGQLAAKPSEDDTGVDHLSSLPDDVLTRILLRLDDAAAAGRTSVLSSRWRHLWLLLPELWFPLCSDPRLVAAAIAAHDAALSYLSVGTLDADAESVAAWLPAVARRLTGHLTFTNHAPGRDIDDDGGGGEERGSLELPCLASATSVTLDLGRGLGLAVPPAGVFARLTDISLTRVLFRIPCALGDAVSSPRCPCLLKLTIRGARGLDNLTIRSDSLREMTLDKVRGLEWLAVASLALEYLSVLACFISDWVQPVADISAPELMVLRWGDLFDPSSVHLGTMKHLESVCPMILLVYGSPAIDNQDCLELLRCFKTIQCLCLTLAYMPVSSLAF >Sspon.05G0014320-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:45660308:45666681:-1 gene:Sspon.05G0014320-3C transcript:Sspon.05G0014320-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding METKRVAIVGAGVSGLAACKHLLERGCRPVVFEADDAVVGGVWARVPTDSTALQTPRPMYQYSDFPWPDDVTEVFPDHRQVMSYLDAYARRFGVMDCVRFGRRVLGMEYQGVAEDDVAAWEEWSGNGEAFGFGSGGAGEWRLKVADADGRVETHQADFVILCIGRFSGVPNTPTFPPGKGPESFDGQVIHSMDYAKMGTKKTKEMIKGKCVTVVGYLKSAIDIAAECAEVNGTDYPCTMVVRTKHWIIADYFAWGVHISLLYLNRFAELLIHKPGEGFLLWLLATLLAPLRWLFSKFAESYYSIPMKKYDMVPDHSLFQALATCLVAIEPKGFYKRLEEGSIVLKKSKTFTFCKEGVIVEGEELSPIKSDIVIYGTGFRGDQKIKDMFTSEYFRSIAAGSTCTTVPLYRECIHPKIPQLAVLGYSESIANLYTSELRAKWLAHFMDGGFRLPNVTAMQKDILEWEKCMKRYAGRYFRRSCIGLLHIWYNDQLCRDMGCDPRRKKGFLEELFGIYGPGDYADLHPKKD >Sspon.06G0015880-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:86722907:86729090:1 gene:Sspon.06G0015880-1A transcript:Sspon.06G0015880-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GMMAAANPKAKPTPMPPPAAPTVAAQKPQAGASRASSSSSSAADSNPNKRPNPGNAAAAAAAGAAAAAPEPTPSVNGEASRSPLIPAPHPHAPHPQQHPPPGASPLLSPPPRPPSRPLLTVAAVESVMAAIPPPPRYGLEDLDRRTVALSDGTVRTYFALPHEPPPQLRQPPPPIPAHLLAPPPPPLPLLRPPIERWAPPPPMPALLPAAGLLPVPLPKRKWEDQANGGVPGESSGRKQPQKSEARAAKQVKVEETGVDPKVLKTAFLKMVKLMNENEADKKNYRANGRLSQLKCPVCHRDSVDLHALLNHAYYAKNQEHRADHLGFHKALCVLMGWNYSVAPVHKTAYQALSTIDAEANQGDLILWPPTVVIENTYKSKHDGQKDGMSDKDMESKLTEMGFAGVDVQPLPGKDGQRSMQAKFPASLDGLNNASRLVELFERQGHGRAAWARIRSNVPTSDGGNNNPMLVKVDGKGERTWVLYGYLATAWDLDILDPESKQNATVKSRKELDLD >Sspon.01G0030990-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:108269223:108274759:-1 gene:Sspon.01G0030990-2B transcript:Sspon.01G0030990-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGAMGRAAAAEEGDVEFVDYDQDDEDAMEEDGRAARALPVPRIVSPAVVRTRGRFAGRSPSVLTSSNYRFDSITNDGDHGPGPQRSIEGWTILVSGVKEDAEEDDLYNAFSEFGHVKDLHLNLERRTGYAKGYALIEYESFEEAQAAIRAMNGSQLFTKTIYVDWAFSRGPIQNFKSARPSRPRSRTPPRRLAALMPY >Sspon.07G0009660-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:27606914:27609299:1 gene:Sspon.07G0009660-1A transcript:Sspon.07G0009660-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALHSAAAAALSALLLLSFSGHTLSDATPSTPVSPSAACNATTDPTFCRSVLPPRGKGDLYTYGRFSVAESLAGARKFAALVDRYLARHRHLSSSAIGALRDCQLMAELNVDFLTAAGATIKTTDTLLDPQADDVHTLLSAILTNQQTCFDGLQAASGSWSDRGGLDAPIANGTKLYSLSLSLFTRAWVPTAKPAHPHKGGSNEPPHHGHGHGGKNKKPPAAAAARRGLFDVTDDEMVRRMAIEGPESTVAVNTVVTVDQSGAGNFTTIGDAVAAAPKNLNGSTGYYVVYVLAGVYEENVVVPKHNKYIMMVGDGIGQTVVTGNRSVVDGWTTFQSATFAVVGQGFVAMNMTFRNTAGPAKHQAVAFRSGADLSAYYGCSFEAYQDTLYTHSFRQFYRGCDVYGTVDYVFGNAAVVFQGCTFYSRLPLQGQCNTVTAQGRSDPNQNTGTSIQASSLVAAPELAANAAFTTLSYLGRPWKNFSRTVVMESYVGALVDPAGWMPWSGDFALDTLYYAEYNNTGPGADTSRRVAWPGFHVLGDGTDAGNFTVTSMVLGENWLPQTGVPFTSGLIS >Sspon.08G0004560-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:13145339:13148867:-1 gene:Sspon.08G0004560-1A transcript:Sspon.08G0004560-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALATPLRLRHLLPAAASAPTRAPDPAGPSLASHRALSFNRLRASLSAAPRPGLVAAAAAGGRPTVLVTEQLGAAGLELLRAFANVDCSYELTAEELRAKVSLVDALVVRSETQVTREVFEAARGRLRVVGRAGVGIDNVDLQAATEAGCLVVNAPTANTVAAAEHAVALLAAMARNVAQADASLKAGKWQRSKYVGVTLVGKTLAVMGFGKVGPEVARRAKGLGMDVIAHDPYAAVDRARAIGVDLVSFDEAISTADFISLHMPLTPSTAKLFNDETFAKMRKGVRIINVARGGVVDEEAFLRALNNGTVAQAALDVFTEEPPPRDSKLVQHENVTVTPHLGASTTEAQEGVALEIAEAVIGALRGDLAATAVNAPMVPAEVLSELSPYVGLAEKLGRLVVQLVAGGSGIKSVKVVYSSARDSDDLDTRILRAMVTKGIIEPISSAFVNIVNADYVAKQRGLRIVEERIFLDGSPEIPLDSIQVHLANVESKFAGALSDAGDIRVEGKVRDGTPHLTLVGSLRVDVSLEGNLILCRQVDQPGIIGKVGSILGKMNVNVSFMSVGRTAPGKQAIMAIGVDEEPEKEALKLIGDTPSVEEFVFLKL >Sspon.06G0001440-3C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6C:3238754:3239452:1 gene:Sspon.06G0001440-3C transcript:Sspon.06G0001440-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSDQELAQYVESLVQHTAAQGGTGISADAVVRQLGAQLGVDLSPKAQLIRSVLVALLGPAAAPAPDPAASRKDPFDPATAASGGGGGGARAEAPAQQLPFSTSAAASSGPAPSPAVPHFFPQQQQHQHQMQSFLSAPQQYQQHHQHHQQQRSGAPPSPFDVPASYRYGHQPFPQADQAQLQRLVQLQQQQQQQQQQLAAAARAAAAAAPTPAESPRARAPAPAPAGSKKDR >Sspon.01G0008690-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:28634310:28636888:1 gene:Sspon.01G0008690-2B transcript:Sspon.01G0008690-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding CRSPLAWLFALAAALFFFSWYLLLDSAAGPAAARRPNQWLRPGGGGGRRAAGGAPAAPAGNATPRRRCCECSCTTCPPSSTSGCSTGSPPGFGGGVWPDVRDGVPDYPGGLNLQHSIEYWLTLDLLASEQGAPTPCAAARVRHAADADVVFVPFFASLSFNRHSRVVPPVRDSEDRALQRRLIEFLAARPEWRRTGGRDHVVLAHHPNGMLDARYRFWPCVFVLCDFGRYPPSVANLDKDVIAPYRHLVANFANDTAGYDDRPTLLYFQGAIYRKDGGSIRQELYYLLKDEKDVHFSFGSVAGNGIEQSTQGMRSSKFCLNIAGDTPSSNCLFDSIVSHCVPVIISDEIELPFEDVLDYSKFSVIVRGTDAVKKGFLMSLITRISREEWTRMWNRLKEVEKHFEYQYPSQTDDAVQMIWKAIARKVPSIRLKINRLQRFSRFDTNKTDETLPQSSWLQNETP >Sspon.02G0056860-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:36707242:36710330:1 gene:Sspon.02G0056860-1D transcript:Sspon.02G0056860-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRVPPRVPRRTVAEMDREFDELASALSKDPRDQLTSGPAKSGRKVTINNQLRKRRAKGKHIDIKFPKEFAKQKFPELSEEDKDCAMRQVESQYNNRRYRLLQAYRNNKPRPQHVSPECWQWLIRNLWTDDDFQRDSETGEWPTAMQVWRATYQKADGTWSISTGEEIITKLQEVAGTHQEKISSAPVPIVEHFALVLGRKPNHSRGVGIRAVNRVTEERIRLQAQIEASEQREAAARARADAAEQRAEAAEQRAQALEGQVSMVVETNAQLQEEQQLQRDELSSLRQTQSGEVARL >Sspon.08G0010560-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:41564267:41564515:-1 gene:Sspon.08G0010560-1T transcript:Sspon.08G0010560-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPSPPAPQSDRAALLKAFDEARTGVCGLVESGVSSVPALFVHPDPYASAPLAPPGVSIPVVNLSLPAPLAAAAAAEAARS >Sspon.07G0013200-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7A:47776760:47777961:1 gene:Sspon.07G0013200-1A transcript:Sspon.07G0013200-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GLFSEEERERENCGSHGSVGFKLAVAPHRRIRRRPCWCTRPVPVGHAAFAGPTRGPGREACGIFPHDGDVDPGYGADMGATRLRPPVGAASGQVPLLHGRSGHSVTRGMGQPNTREAHETTAIQGDLGRRSVRSRAIRSTTAERDEGEMSAAAGGLRRLLAAAATAGAAEVRASIFGHALNPTGKRAATKLLRKKMVGDQVAQWYPYDIKRDDPLVMAREEKE >Sspon.07G0018160-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7B:70048912:70049259:-1 gene:Sspon.07G0018160-2B transcript:Sspon.07G0018160-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGGATTAPGQDCCTGIKSLNGAAGTAADRQATCACLKQATASMGALKPDLVASIPSKCGVVIPYPISRSTDCSKVQ >Sspon.02G0008500-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:18038007:18039662:-1 gene:Sspon.02G0008500-4D transcript:Sspon.02G0008500-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHKAAKRVAVVGAGTSGLAACKHLLARGFRPVVYEAGASVGGLWTRTLASTRLQSPTAGYRFSDFPWPRAPTRTRATARFRSQVVAAEYVGAADDDVAEQWAGNGEAFGGNGAGVWRLTVRHGDSNTTQVYEFDFLILCIGRFSGVPNIPAFPPGGGPDAFRGRVLHSMDLSDMDDADAAALVKGKRVAVVGSGKSAFDITAECADANGVERPCTMICRSPQWLLHDVSVWGKLHLGYLYMNRFAELMVRKPGAGLASTLLATLLTPLAWLKSKLTGAYYKKAIPMREYGMEPGFGFAGSISSCKIGMLPEAFYDKVRDGSVVIRRSRSFAFCEDGLVLDGGDAAAAAAVVPADLVILATGFRGDQKLRDMFVAPRVKAIVAGSSDTAVPPLYRECVHPRIPQMAVVGYSESLTNIYSIEMMSKWVARFLDGAFRLPSVPRMEQNVAEWGAYMRRSNGESFRGSCLGAVNIWYNDQLCRDMGCHPRRKKGFLAEWFQPYGAVDYADIQ >Sspon.04G0021550-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:1000835:1009921:-1 gene:Sspon.04G0021550-2C transcript:Sspon.04G0021550-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SacI homology domain-containing protein / WW domain-containing protein [Source:Projected from Arabidopsis thaliana (AT3G59770) UniProtKB/TrEMBL;Acc:F4J9G0] MHTSVVVVVLETTEVYIVISLSTRRDTQVVYVDPTTGALRYRGKHGEDVFDSEAAALNYITDGSRVLSKSTTYAKALLGYAVFGSSALLLVATQLSASVPNLPGGGCIYTVAESQWIKIQLQNPQPQGTGEQKNIRELADLDIDGKHFFCETRDVTRPFPSPMTLREPDEEFVWNEWLSKPFKDIGLPGHCVILLQGFAECRNFGGAGQQGGLVALIARRSRLHPGTRYLARGLNACSGTGNEVECEQLVWVPRKGGQRIPFSSYIWRRGTIPIWWGAEIKNAVSVEAEIYVANDPYNGSLQYYQRLGRRYGNKSSEANVSRQKKSGRVPVICVNLLRYGEGKTESVLVDHFKESIRYMNSTGKLGSTWIQLINYDWHATVKLKGQQQTVEGLWRHLKAPTMVIGFSEGNYYDVRQQLSECKGSVICNDDVNGGFCMESIQNGVIRFNCADSLDRTNAASYFGALQVFVEQCSRLNISLDIDAMFGLSSRYSEYDGRNTRSLPPGWEERFDSVTGKSFYIDHNTRTTTWEHPCQEAPQKPWKRFDMTFDQFKGSTMLAPVNHLAELFLLAGDIHATLYTGSKAMHSEILNIFKEETGKFSKFSAVQNVRITVQRRYQNFVNDSSRQKQLEMFLGLRLYKHLPSIPMFPLKVLSRPSGCMLKPVPSITPMTDGGSSLLSFKRKDLIWVCQQGADYVELFIYLGEPCHVCQLLLTVSHGAEDSSYPATVDVRVGSSIDSLKLVVEGACIPQCSNGTNLLIPVTGRIDPEDLAVTGKSARPNVQESSYLPLLYDFEELEGELNFLNRVVALSFHPSAVSRTPITLGEIEVLGVSLPWADMLTNSEHAPEFMEFLNKKSSSVHCDLGSKSFGNSSMPGNDSHGIDGSYTKSSSSVQTGGSENLLDFLTGDFDMSEPHITENTFGNGEQTNFLDDGFDVNPFAPALEVPVPKVNKQVEECGSTQLYLKFFESLSGYNKGKGLDFEQMMKLEIKRLRLGLSAAERDQALLSIGVIPSTLDPNRSVDYSYLLKLSSLADNLALLGHTVLEDRVNASIGLEKGSEHAIDFWNISENDESCYGGACEVHALSSSQALATRENQSVFVECFRCERTVCKACCAGKGAFLLLNTYRELKIYGGSQSGGYSALADSFVCKSCCSEIIKRALYVDYVRVLHSLRRKDRSEKAALSAVNQVCQLEYRKASDLSQSIQFGQRQLKQILDGEESLAEFPYANFLQMVETANDSEPLLSLLAPFGTGEYKSYWKAPQDNTSVEFSIVLGGLSDVAGVAIIVSSCGYSTSDCPIVEIWASNKIHREDRTFIGKWDVQDIISSSPQLCGPEKSSRLSEEPRHIKFHFPNPIRCRIISIKMTLPHNGSRSTKFSEEFDLLSLDDSSVYESKPINPQNSFIHAKRIVVFGSSLRKEVGPDTSGGIMRMKSYLDRSPPLGRFRIPVEAERLRDNDLVLEQYPLANSPGIAGFRLDFFSVIRPRVTHSPSSSELDMRKFSLTRMEDRFVNPAILYIQVTVVKESGKLVVEEYRLPEVKANTPLYFDFPDLQQDTRCVIFRLLGDVTAFVDDISELENLNLRNLPLASGLSLSNKIKLYYYADTYEMGKIGSLAAV >Sspon.01G0024220-3D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:84521350:84522599:1 gene:Sspon.01G0024220-3D transcript:Sspon.01G0024220-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKIQLLSSFITILAFASHLHPCVSIEFRHELSSWTTGIATWYGDANGAGSEGGACGYQYAVDQPPFSSLIAAGSPFIYDSGNGCGSCYQVVCSSNQACSGYPVTVVITDQGPGGGPCLSQASDGMCLNEGAHFDMSGTAFGAMAKPGMADQLRAAGLLQIHYTRVQCEWPGVDVTFSVDSGSNPNYLAVLIEYEDSESDLSAVDIMQSSAGQWVPMQHSWGAIWRLDSGSALHGPFHLQLTFSSGRVLIASNAIPAGWTPGVAYRAGGVAVTRARPRSGGGRIHEAAGTLSGLVYHLLMFVVLVL >Sspon.07G0020330-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7B:80425938:80430825:1 gene:Sspon.07G0020330-2B transcript:Sspon.07G0020330-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVSSNANGKLEYEDESIPDQGPECKIHPSSGASVASVCTTKRGAPPRRTQPPRIPLLFFPFSNLRYGELLHTLWQGREGKPRANFWLLCPCLGFSSSPPPQFDSCARNSSMAEWDGMLAVVLPMTHTGRRPSHGSQESRGCVVVPSNTWRATPEAASEGERVIAMACFSSSTTKVSLKFGAKAFARNCLPGYLHSVVSFPVSRTLDGGLCSPIRVENENRAYGSNLTFGALKGRHLNTTLSSTNGHVKVPGKIRFGAILVYTEFYLLSLIKQREERVTVLVIGGGGREHALCYALERSPSCDAVFCAPGNSGIAQSGDATCIPDLDITNSENVISFCRNWGVGLVVVGPEAPLVAGLANDLVKAGIPTFGPSSEAAALEGSKDFMKKLCDKYNIPTAKYQTFTNPADAKQYVKDQGAPIVVKADGLAAGKGVVVAMTLDEAFEAIDTMLIEGSFGSAGSLVIIEEFLEGEEASFFALVDGETALPLESAQDHKRVGDGDVGPNTGGMGAYSPAPIVTEELKKKVMESIILPTVKGMAAEGCKFVGVLYAGLMIEKKSGLPKLIEYNVRFGDPECQVLMMRLESDLAQVLLAACRGELGKVSLTWSPELATVVVMASEGYPGPYKKGTIIKNIDEAEQISPAVKIFHAGTAFDIDGNFVAAGGRVLGVTAKGKDIEEARAKAYDALDVVDWPEGFYRHDIGWRAFKNRHLAAH >Sspon.06G0000260-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:1714367:1716585:1 gene:Sspon.06G0000260-1A transcript:Sspon.06G0000260-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLTMQPIGPTPAPAAQEDQRRGENPPTADGTDLSDIESGWVILKNSDIVSADLAAAAVSGGQRLGSSTIPSWARWVVGGVVYTVVPFYNRVRHLEDETVGFVENAVEVVEHIAEVTEKLAANVAKQLPEDGSLQKAVEEVEHIAEVVDDDAEKFEAVAEKIDKVSDEIDAAVEPVIEELEKELDQSTTSDDGVNTKN >Sspon.06G0004420-4D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6D:11322144:11331217:-1 gene:Sspon.06G0004420-4D transcript:Sspon.06G0004420-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSINPPHRILLLRQPPNFEFCLSPTPHPAPPPLDADILKSRSHRRPPPTAHRPPPLFAVACAMSSRQDKEKAVNVQVLLRCRPFSDDELRSNAPQVITCNDFQREVAVTQSIAGKQFDRVFTFDKVFGPTAKQKDLYDQAIIPIVNESRPKGQLPADAGVIPRAVKQIFDTLERQNTEYSVKVTFLELYNEEITDLLAPEEISKAAFEDRQKKTLPLMEDGKGGVLVRGLEEEIVTNASEIFSLLERGSAKRRTAETLLNKQSSRSHSLFSITIHIKEATPEGEELIKCGKLNLVDLAGSENISRSGAKEGRAREAGEINKSLLTLGRVITALVEHLGHVPYRDSKLTRLLRDSLGGRTKTCIIATVSPSVHCLEETLSTLDYAHRAKSIKNRPEVNQKMMKSTLIKDLYGEIDRLKAEVYAAREKVGVYIPKDRYQQEENERKAMADQIEQMNASLEANQKLISDLQQKYDSELQHSADLSKKLEVTEATSELKKKILASKSLYTSHMEAFQNVVLLHKASANATLEDISSLSAASCCSLDQSFRISLDRTKEMSTYIIGLFDKYVEETSKLQSHSNNTHEAQMKSIEDFQMAYEEQSKSEEQKLLADISSLVSKHITRQRELVGVRLSSLGDSARGNKAFLDEHTSAMEFVTKDAKRKWETFAEQAESDCKAGSSFSAAKHCRMETMLQEWTAFENNEQHEVEVASSRAVAEEDASNSSKDIAQGIESTFLALDLFVLVSTYSSLSDLGVLIHHFASDLLEEARNSSSRVVSTVEAHFAELQKLQESHSSQAAGINMHADKAFQNSYKDYEPTGETPVRSEPNVPSKGSIESLRAMPMETLMNEFRENHPYESESSKESKLTQIPRLPLATIN >Sspon.08G0005010-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:25629704:25631311:1 gene:Sspon.08G0005010-2C transcript:Sspon.08G0005010-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRSFATSLLAAYFVIVQHVASVTPAAAATAAPSPDTASFLHCLAVHLPPQAVYTNASRLYTIALESSIKNLLFVTPATPTPIAIVAASNASHVQAAVRCGARHGISVRPRSGGHDYEGLSYRSLRAGRPFAVVDLAWLRAVSVDVRSETAWVGSGATLGELYYAIANHSARLGFPGGVGPTVGIGGHLSGGGFGLLLRKHGLAADHAIDAVIVDAKGRLLDRAAMGEDLFWAIRGGGGGSFGIVLSWKLRLVRVPPVVTVFTIHRPRNQSATALLTRWQQVAPAMPRDVILRVVLQNQDAQFESLYLGTCAGLVAAMARSFPELGMKADDCIEMTWIQSVLYFAFYGTGKPMEQLLDRGTKPERYFKAKSDYVTEPMPSHVWESTWSWLLKDGAGLLILDPYGGRMGSVAPSATPFPHRRELYNLQYYGFWFENGTEAKEKHVDWITGLHREMEPYVSKNPRGAYVNYRDLDLGVNDDDHHGLTGYEKARVWGETYFKANFERLAAVKAKVDPHDFFRHEQSIPPLVSTLRNL >Sspon.01G0005000-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:11168799:11175065:-1 gene:Sspon.01G0005000-2B transcript:Sspon.01G0005000-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding C >Sspon.07G0008770-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:24202588:24205760:1 gene:Sspon.07G0008770-1A transcript:Sspon.07G0008770-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMESGERQLKTWVSDRLMALLGYSQGIIVQLVVRLAQDCASAGDLAARLVDLGGFPSTPDTVAFAEDVYGRIPPKQGAAAGAEGSEYQRQMQEAVALAKKQSEFELLDDDDDGEAGVAASSCNSRSRKRFRKKGVPVPRQDDEEAAALSDSGRDLRRRRRCPESDEDADDLGDEEEEMRRDQAEKAQLERNIRERDEANTRKLMDRKPSRHEQDEQARRSEAMDRGDTSELRRSSRYAYLEKRKKEKVEEWRRGILDDEFLFGGVRQTDAEERELKHKKEVYDLIVQGRRADQDEGEGGYYRMPDAYDDAANVDQKSRLSVARRRHDDDDREARGAKGKTFSEQESWEEQQIRKSRLQFGSKDRGGASDEYELVFDDAVEFVKELQDERKTLPVYKFKDELLKAIAEHQIIVVVGETGSGKTTQIPQYLHEAGYTANGLKIACTQPRRVAAMSVAARVAQEMGVKLGHEPDLASYGVVIVDEAHERTLTTDILLGLVKDVARFRPDLKLLISSATLNAEKFSDYFDMAPVFKIPGRRYKVDIHYTVAPEADYVDAAVATVLQLHVTQPPGDILVFLTGQEEIETPAHGTESLLVHPISKASADQRAGRSGRTGPGKCFRLFTEYSYNKDMEDETVPEIRRSNLASVVLSLKALGINDLVSFDFMDPPASEALLKALEDLFALGALNSRGELTKTGRRMAELPLDPMMAKAIVASERYGCSEEVLTIAAMLSAGNAVFYRPRDKALIADAARQRFNAGNVGDHISLLNVYTEWEQSGHSAQWCLDHFVQSRTMRRARDVREQLEALLERVEIEHRSSAGDLDAVRKAITAGFFRNTAQLRQDGSYRTVKSWRTVFVHPSSGMARVEPSARWVLYHELVETTKEYMRQVTELKPEWLLEIAPHYYQDKNLETPDLKKAKAHLQ >Sspon.03G0031410-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:27706809:27707737:-1 gene:Sspon.03G0031410-1T transcript:Sspon.03G0031410-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVASTVPFHVIYRLVSGRSTGFRYLNLLRLKLFARYVRHASKDIRFDYFYTRLIKLCGVTLLALHSSACIFLWMAFHHHGDEEHTWLGSQVRDFEDRSVWVSYTYAVYWSITTLATVGYGDLHAVNPGEMVFATCYMLFNIGLTSYIIGNMTSLVVHAATNTFKMRDMVRRVSTFGSVNRLPPELREQMMASAQLRFSTGEVIQQQLLSDLPTALRSRVAHHLFRDTVQRCYLFQGVSNDLVLQLVHEHSHALDSIHGPSDLTTVL >Sspon.06G0031760-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6C:72350307:72350491:1 gene:Sspon.06G0031760-1C transcript:Sspon.06G0031760-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RFIQTTTKVDLFVKENHGIPPWKQRGKTLEHSRRQTTEAGLVVTEVGWSAQDIGRPVKRS >Sspon.08G0000730-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:2416400:2421122:-1 gene:Sspon.08G0000730-1A transcript:Sspon.08G0000730-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPASWSLGSSLLISSLGPLLQGVYPLISRRGRPRTPTPGSYLGHRYERRERGRFRRGYGGGRDEYYGNGGGYGYRRSPPPVHASYRESRDYYPSYRESRDYYPSYRDSRDYSPHRDPRDYYESRGGRGYSPPPYGGGRSRRERSISPYRMPERGYGGGRRAGGGGYDR >Sspon.03G0039030-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:8941832:8946076:-1 gene:Sspon.03G0039030-1C transcript:Sspon.03G0039030-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLSPPTAVGTGPRPTLPPAPAPAPAASQSVAPINLSNVSLPTPSLDLPDVTDLFAPAADNASKKRESNESALHYSCTLESLPKVSVTLETPSGLQRAPEVAGDALRGGSAGDGASRKCDDEEAAALLFKTRKGLHLFCSSFRGTPGWFRPGLDELLKLMRKSTCKAALEKRNQELLSDRALAAKIKQARSADAKKLADMEQRLKASVSTKEVLESKLEKQNNVVDGL >Sspon.08G0020120-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:17881755:17884786:-1 gene:Sspon.08G0020120-1B transcript:Sspon.08G0020120-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MESDEEIRRVPEVGLELAGPSTSGREATAATGTAAAAAGTSSASQAASAAHRRGRSPADKEHRHLKRLLRNRVSAQQARERKKAYLSELEVRVKDLEKRNSELEERLSTLQNENQMLRQILKNTTVNRRGPGGSSAGGDSQ >Sspon.04G0029490-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:78346821:78354696:1 gene:Sspon.04G0029490-3D transcript:Sspon.04G0029490-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVLTPGAAARDDDDSKTSWPEVVGWQMLDASDKITSDRPDLSPAFYTDTDPLPTNYDPKRVIIIVHAVSGVVVKTPVTSWPEAVGWVPLNASFKITSDQPDASIAFYSDTSLLPPDCNPKRVNIISNDYGGVVVKTPKTSWPEVVGWVTLNAAFQISSDRPDVSTDFYSDTTPLPTDYNPNRVIIVADGRDVVIRTPVIG >Sspon.06G0003860-3C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6C:10255329:10256029:1 gene:Sspon.06G0003860-3C transcript:Sspon.06G0003860-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRGGGRRLPKPSLAPSTASEATPALDASVIRNLDSAFSRRDSDAASLCSSRPASTAGAGVGAAPNFSDRPTQVAALRVVNGFLAPPSRSGGLCPSRATSRRRSASSSTVSNWPAMTPRSRMTSSRTFAFSGAPTRDDLDAQGDPSNDLLLYITQCYSHFLLGDDETVADLDEQCASKARMTGEASVATVRALEKEAEELETE >Sspon.06G0003330-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:8660427:8664269:1 gene:Sspon.06G0003330-4D transcript:Sspon.06G0003330-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMMLLARNLRSGLRPPLSAAFSSATAASASAAAAEAGRAIRDGPRNDWSRPEIQAVYDSPLLDLLFHGAQVHRTTHKFREVQQCTLLSIKTGGCSEDCSYCPQSSRYDTGLKAQKLMNKDAVLEAAKKAKEAGSTRFCMGAAWRETIGRKTNFNQILEYVKEIRGMGMEVCCTLGMIEKQQAEELKKAGLTAYNHNLDTSREYYPNIITTRSYDDRLQTLQHVREAGISICSGGIIGLGEAEEDRVGLLHTLATLPTHPESVPINALVAVKGTPLEDQKPVEIWEMIRMIATARIVMPKAMVRLSAGRVRFSMPEQALCFLAGANSIFAGEKLLTTANNDFDADQAMFKILGLIPKAPSFGEEEAAAPADTERSEQAAS >Sspon.02G0018940-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:61037764:61041157:1 gene:Sspon.02G0018940-1A transcript:Sspon.02G0018940-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGVMQLKATKSGMPMKVAQRIDVSKFMSYIKVSRTQLNHIKRLKQSGDGIQTKHVSRVIGGLDKSHVKPYGALLEDEQRRLREHWSVILTLIIFLMRNYLHDRLKMSCNDLPASFEVLRERKVLMEKSRKLLGLELEQKNVSVLRKADQLTYKTKGLGQPGASENDGTPVFQNDQVECSPQSILQGGHDQSTLQDQDDEQSVYHIHCMNVEDHDLMVVRGIDITSQSEQNSEWPDQNRNGVSCVDKGIYCCANNPDKQSEVLMDIKLCKDGLVVDNPGCKNMQLEDLDGVSYKGPSVHAYEQDKDLESIGHAVVNHNCHHSQNISSEMSHSKMTTVIDQDETENVMIPSCSSSHLPKSSVEQMHVEDFLDLNDQAAKVEKVRWQLAGPLQSHYCPLENITNNGSGDLQIKQPYLSSGRHNSSVYLNSVISSQQQPQLASSAFPVDNPASVIEPFSNLQSNGQLETAKDIGAVSYPFRHANSMKQSTALHCLVNKRLAQSAPFPRLLQEQHQLIDQSDNSLYAQLHKDYYTDVSFPTKVNLPISEQHSYAASDSMDHRYNWFPQGSQSHINKIICWGCSRALPSGSSTDGTLFSAISQYKQPSVNMGHGESSSRQLIEPRNQFGPPQNFLSRSQDTNPTFPDMYGFTQNMASGTSSQVAPVGSLDSSHWTNFIQQNPGMPSDFTNRPFRGPWTR >Sspon.03G0038660-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3D:2256064:2268823:-1 gene:Sspon.03G0038660-2D transcript:Sspon.03G0038660-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAFYLDLLRSCAALPHVAAVHAHIARAHPTASLFLRNFLLHAYCRLGPPLHAARLLDEMPRRNAVSYNLLIAAYTRAGLPGRALATFARAAAGFRVDRFTYAAALAACSRALDVRTGKAVHAMAVLDGLGNGVFLSNSLASMYAGCGQMGEARRVFDAAEEHDDVSWNSLLSGYVRAGAREETLKVFSLMCHHGIGWNSFALGSIIKCCASGGDVRGHIAEAVHGCVVKAGLDADLFLASAMIDMYAKRGALINAVVLFKLVPDPNVIVFNAMIAGFSRDEAAVGKEVIREALSLYSEMQSRGMQPSEFTFSSILRVCNLAGELGFGKQIHGQVLKHNFQDDDYIGSALIDLYSDSGCMEDGYRCFRSLPKQDIVTWTSMISGCVQNELFEKALRLFQESIRYGLKPDLFTMSSVMNACASLAVARTGEQIQCLAIKYGFNRFTAMGNSFIHMCARSGDVDAATRRFQEMESRDVVSWSAVISSHAQHSCARDALRIFNEMMNAKVAPNEITFLSVLTACSHGGLVDEGLRYYEIMNSEYGLSPTIKHCTCVVDLLGRAGRLADAEAFIRDSAFHDDAVVWRSLLASCRIHGDMERGQLVADRIMDLEPTASASYVILYNMYLDAGEVSLASKTRDLMKERGVKKEPGLSWIELRSGVHSFVAGDKSHPESNAIYKKLAEMLSKIEKLASTDNASTESDGISSREQNLVGCHSEKLAVAFGMIHLPQSAPIRVMKNLRVCRDCHSTMKLISGSEKREIILRDAIRFHHFRATSDDEVKPAAASSGAQSIVARREDNVVMVFLGGYDLDHGIATVNVKDFPDLDAVVFRSRMTFPPLTNVVALGRDNFGKLLSTRGVLKFETGRAFPSHIMSTCKISKEYEGGPLFDVHGNFLGMNLSACTEGTFCLPEVTLSEQWINIIWIEDKSSAYLDSFKIRVGESSNAVIPNSHHEVPRDGLNKDQFGDLESLGYPECPKSMLTGEPMHAGIGGPLLDFDGTIVGMNFYDKYVGGTPFLPWDVILDVLGHFKKKRTVDEAGLDDYASTKLDWTIAGDRSVMLNRPSDRGSCSPNQNLPLYKARSWPVPLIQKITIDFSGPYGPIITLISPCTPRPHDRVSPNPTEHIAAAPPPLSLRGGGSTPARQRLLHLPGTDGGRPSTSPRRSATPRSRRQGQPDGTAAPSRARLLIPNQWRMALEATAWLALRTMAAPSPVTVARPFSLVSASTPSLTADGGATWPGPFPDRWRAASPCGPAAVRLAPCRWSSWRLRRAKCMAPMATLIHQEAPALHPSHLRRFTEFKVHHPSFLSVRVFQRQDSGGIDPSRQIRPHVSRIAEKGTSGLVKAALLNALQTTEKKIKKLWSVLNLRHTQLELTYLMMICVKTT >Sspon.02G0032800-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:534027:536916:-1 gene:Sspon.02G0032800-1B transcript:Sspon.02G0032800-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKGFNDTAELVACDTYREVGRLKSRLVLLERKNAEFKKQINELLANILEQVAVKKELIVALANSNVKEMLEMWFTNIKRAGISNYLVVALDDNIENFCKSNDVPVYRRDPDDGIDNIGKTGGNHAVSGLKFRILREFLQLGYSVLLSDIDIIFFQNPFDHLYRDSDVESMSDGHNNMTAYGFNDVFDEPSMGWARYAHTMRIWVYNSGFFFIRPTIPSIELLDRVAYRLSHEPKSWDQAVFNEELFFPSHPGYEGLHASKRTMDIYLFMNSKVLFKTVRKDAHLRKLKPVIVHLNYHPDKYDRMKAVIEFYVNGKQNALERFPDGSE >Sspon.01G0023830-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:84357850:84359144:-1 gene:Sspon.01G0023830-3C transcript:Sspon.01G0023830-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTKSKSSTKAGSPLLGKYELGHLLGRGNFAKVYHARCLAGGDPVAVKVLDKPELAAAGMASRLLREVSAMRRLSHPNVLRLHEVLATRSKVYLVMELAPGGDLLSRLASLPSRRLPEHAARRVLRRKAYDGAKADAWSCGVILFVLLAGHLPFDDANIADMCRKAHRREYTVPRWVSQPARRLVGRLLDPNPATRLAVAELASHPWFKRSLSVDSQLGGLLGGQAERELAFQAPPALNAFDIISMSPGLDLSGLFGQSRSCREKRFMTTASPEQTVERLGQAGAKLGYFMVGKKGVERLPLGGLSGLVAMSMEMSEVSPELMLVELRLEGGDGDEAEAFGWEELRVELGDVVTAWHVCEEG >Sspon.07G0004710-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:10729178:10732903:-1 gene:Sspon.07G0004710-4D transcript:Sspon.07G0004710-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MECSDSTSSQGHHVNGKQNQVQAIDPPGPSIVEMGSRLRPLTFMRRFRGVLCLVIMVLTAFLMMVYLSPVTTFLVRLFSLHYSRKSTCFLFGMWLAMWPFLFEKINKTRFVFSGESVPAKERVLLFANHRTEVDWMYLWDFALRKGRLQCIKYILKKSLMKLPVFNWAFHIIEFIPVERKWEIDEAIIRSRLSELKNPKDPLWLAVFPEGTDYTEKKCIKSQEYAAEHGLPVLKNVLLPKTKGFNCCLQVLRSTIDAVYDITIAYKHRPPTFLDNVYGIGPSEVHIHITSIQVSDIPTSEDEVADWLIERFRLKDELLSSFSTLGHFPNEGTEGDLSTIKCLSNFVAVVTVTGLLMYLTLFSSMWFKVFVAFSCSFHTLATCYSIHLPQMVGSGSSESIHAKKP >Sspon.07G0021330-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:2227109:2229872:-1 gene:Sspon.07G0021330-1B transcript:Sspon.07G0021330-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding QGSSEGSHGLQDTKEHEIRKGKAALVSTELLREDLVQSAVSFLKHPKVVASSDGQRQSFLENKGLTMDEIDEAFRRLQDINDDPPNPDQPISEPRMAPKPKPWEKQGQGSSAWDLKSPSKETNVLSSEVQHDGTNKAAQSADGSNQGESLLQAEVAAGSESPAIPNNYKQRLLGQNPDEQGHRLS >Sspon.07G0025790-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:48020208:48022635:1 gene:Sspon.07G0025790-1P transcript:Sspon.07G0025790-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGGFRNLPAVGSRLLQGNARFVERVVVVFVVRVAEALKLFYIFREAHHDDDEDRFNFKGGNVHLITTKEGWEQKIAEANRDGKTVVANFSASWCGPCRVIAPVYAEMSKTYPQLMFLTIDVDDLMEFSSSWDIRATPTFFFLKNGQQIDKLVGANKPELERKVQAAAGASSS >Sspon.07G0008600-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:23532673:23541555:1 gene:Sspon.07G0008600-1A transcript:Sspon.07G0008600-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCAQSRIENEEAVARCKERRQLMKAAVAARNAFAAAHSAYAASLKDTGAALSEFAHGEGLPPPPGASTETAAPVAAQGGIGAAAAGASASGADAIMPPPPPIDSLPPPPPPLPDFSPSPAKIHRSISMPLPPSSGNKNPAMLHSDSIREEEEEDEDEAEREEDDGHLDDRRQRLRHRPPVPPPLSPPPPLETPVSPQPPPPPPPPSSEMKAGVDTWDYFFSMDDGMASIAPEDEEIMAEPEPPSPPRPPHSPPLAAVPLSEEFEEEPRTPEMATPPASLPPKPPKHSSRKKGKGKLKAAHHQHTESAPPVTLVTGGKAGKVVHAEMPRVDLLRVLAQIDERFLKASESAGEVSKALEANRMHYHSNFADNRGHIDHSARVMKIITWNRSFKGMQNGDDGKDDFENDELETLATVVDKILAWEKKLYDEVKAGEIMKLEYQRKVALLNRQKKHNAAIEVLEKTKAAVTHLHTRYIVDMQSMDSTVSEIQHLRDNQLYPRLLDLTDRMAKMWEDMHMHHANQLDTVLNLKSVDISDSSIETSGHHHSHTRQLRDIVDKWITNFTDLMNYQKEYINALYSWLKLNLIPIESSLKEKVTSPPRMQQPPINVFLQAWNEHLTKLPDDLAKTAIVTFRAVLDTILGVQDEELKQKETCEQTRREYMRKARAFEDWYHKHSQRKAFDVDPESGEGIGHKDAVTEKKFAVESLKSKLDDEIESHNKLSKQVREKSLSILKAHLPELFRALTDFSNASFDMYSKLRLMSLMQDQGNN >Sspon.02G0005840-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:17472879:17475362:1 gene:Sspon.02G0005840-1T transcript:Sspon.02G0005840-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRQRARSSEELKAEDFVDSVLNFGGSGGGDEDDEEKDAGGDGQPAAEFKSKNLEAERKRRGKLNRNILELRSVVPNITKMSKESTLSDAIDHIKKLQNQVLELQRQLADSPGEAWEKQGSASCSESFTATENMPYQGQIELVPLGPYKYHLRIFCKKAGVFTKVLEALCSYNAQVTSLNTITFYGYAESVFTIEVKGEQDVVMVELRSLLSNIVEVPSN >Sspon.05G0000350-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:1021337:1021793:-1 gene:Sspon.05G0000350-3D transcript:Sspon.05G0000350-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVANAIAAGYLVLSLPFSAVIVLRPQAIGLRHLLLVCDMIIAALLTAAAAAAAAIVDLAHSGNLRANWVPICMQFHGFCQRTSGAVVASFLAVLVLLFLVILAAFAIRKR >Sspon.02G0017230-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:51108139:51109553:1 gene:Sspon.02G0017230-2B transcript:Sspon.02G0017230-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:BR receptor kinase, Brassinosteroid (BR) perception in the roo [Source: Projected from Oryza sativa (Os09g0293500)] MDLSNNHLTGGIPRALFPQSRYANNSGLCGIPLPPCGHDPGQGSVPSASSNGRRKTVGRSILVGIALSMLILLLLLVTLCKLRKNQKTEEMRTGYIESLPTSGTSSWKLSGVHEPLSINVATFEKPLRKLTFAHLLEATNGFSAETLIGSGGFGEVYKAKLKDSTVVAIKKLIHFTGQGDREFTAEMETIGKIKHRNLVPLLGYCKIGDERLLVYEYMKHGSLDVVLHDKAKAGEEDRDRFSKGLAFLHHSCIPHIIHRDMKSSNVLLDSNLDARVSDFGMARLMNALDTHLSVSTLAVLPEFPVPTKGDVYSYGVVLLELLSGKKPIDPTEFGDNNLVGWYLKIARECLDDRPNQRPTMIQVMAMFKELQLDSDSDFLDGFSINSSTIDESAEKST >Sspon.01G0032540-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:109793154:109795117:-1 gene:Sspon.01G0032540-1A transcript:Sspon.01G0032540-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDAGAQAEIEWDGGGGGADAVLGLAAGGAPVSLCYHQAFGPHNDLVLLEAADDLLPDLLQGRVTVRGRPEEEAVLCTPSATYSMKFVGTSNSMFLIPPGEPSAKCLRPDSTNGDANAVAATIKLAPGSIELVRTAPRLDKLRSLLRERPYILDEDLGNDFQHMKGLYTWQDLCKLIQASDGELLDGLNALSAVEIDGFWRTVDANSVNTILDMILHNSVLHDWPLNAMPENDVLSVMESDGFTHKIVTHCLNRFGTKVEQEARSFWNLDEKRVCLQFAQRALGAGKMKLANFMDKWERSIPSGMRADLQMLEGEVLCEKLGAETWVHAFSVADLPLTPADRFAALFRERPKWEWKDLQPYIRDLRLPGVSSEGLLIKYTRRTQPSAEAEPIFTAR >Sspon.04G0001400-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:2095335:2099032:-1 gene:Sspon.04G0001400-2B transcript:Sspon.04G0001400-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GGALLRLPSHLRGGVLRVARAQGRVPPPPAPARRRALLLPCGGTAGAGGGAVVASAPAVHGVRGFHHGGGGVLWGGDADPARQSYPRHHHHRLPRFRQGTTLLNHILTAHHGKRIAVIENEFGEVDIDGSLVAAQTAGAEDIMMLNNGCLCCTVRGDLVRMIGELVNKKKGSLANPAPIIQTFYAEDVVFNDVKLDGVVTLVDAKHARLHLDEVKPKGIVNEAVQQIAYADRIIVNK >Sspon.01G0015040-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:44396591:44401056:-1 gene:Sspon.01G0015040-1T transcript:Sspon.01G0015040-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAEIGGFTAAALRPAAHPAPAAAVAPPQPRRAVAAQSLRTTATETLTADLAGTTNGAVHAQMTSEATSEITSQAVISRRKTKIVCTIGPSTNTREMIWKLAETGMNVARLNMSHGDHQSHQKVIDLVKEYNTQNTDGNVIAIMLDTKGPEVRSGDVPEPIMLKEGQEFNFTIKRGVNTEDTVSVNYDDFINDVEIGDILLVDVSFVKDAKVIHELKDYLKGANADIHVIPKIESADSIPNLQSIIAASDGAMVARGDLGAELPIEDVPLLQAEIVQTCRSMEKPVIVATNMLESMIDHPTPTRAEVSDIAIAVREGADAIMLSGETAHGKYPLKAVKVMHTVALRTESSLYNPTTSPSLVASAQGLQNEEFSPSQLSKMFGSHATMMANTLRTPIIVFTQTGSMAVLLSHYRPSSTLFAFTNEERVKQRLALYQGVIPIHMQFSDDAEETFSRAISSLLKAQYVKKGDYVTLVQSGVTSIWREESTHHIQVRKVQV >Sspon.03G0023680-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:56905811:56908496:-1 gene:Sspon.03G0023680-1T transcript:Sspon.03G0023680-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSAAASSALLVLLALLLSPAAPASAVPQSRHRLGASLQVASLNASEPPTTFFEVDRPIRPPRGSAGPCSTLLLSDTFGATYGRPPVTAAYAPPACLGAAGGAGALALAVLEWAADCRGRQFDRIFGVWLSGAELLRSCTAEPRPNGILWSVSRDVTRYAALLAEPGEVAVYLGNLIDKTYTGVYHANLTLHLYFHTEPQQQQQADLIVPISRSLPLNDGQWFAIQNATDVQSKKLAIPSNTYRAVLEVFVSFHSDDESWYTNPPNDYIQANNLSNVPGNGAFREVVVRVDGEVVGAVWPFTVIYTGGVNPLLWRPITGIGSFNLPTYDIDITPFLGKLLDGKDHDFGFGVTNALDVWYIDANLHLWLDHKSEKTTGSLLSYDASGLDLNVNSEFTGLDGQFVTSASRHVSATGWVKSSFGEVTTTFYQRFSYENSNVFRKNGTVQIVNQTIDAKSGIFAKDASAVCSPRNSMRFSLYQAGDEYSLDSLVKFGINEKKTSGGKLGFLYSSLRNAQSAQGTMRVKKNLVVSGLGKTHQVYKYVGTDGCYFRDVSSKNYTMN >Sspon.02G0027120-1T-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:123858266:123863523:-1 gene:Sspon.02G0027120-1T transcript:Sspon.02G0027120-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LLRVAGRAGAEAGGGGKDALFVELWKACAGPLSSVPPLGEKVYYFPQGHIEQVRQTTRVPCPDDAGAGKLSLVVAAAVEASTNQLAEQQGTPLYNLPWKIPCKLMNIELKAEPDTDEVYAQLTLLPDKKQDENTSTTVENEEAEEEVVPHAPPATNEGPRIHSFCKTLTASDTSTHGGFSVLRRHADECLPPLDMSQHPPNQELVAKDLHGVEWRFRHIFRDRGENGELRVGVRRALRHQTTIPSSVISSHSMHLGVLATAWHAVNTGSMFTVYYKPRTSPAEFVVSRDRYYESLKRNYSIGMRFKMRFEGEEAAEQRFTGTIVGIGASDPSGWADSKWRSLKVRWDEASSVPRPERVSPWQIEPAVSPSPVNPLPVRFKRSRSSVNASPSDVSTVTREVASKVMAESQQNNLPRALHNQGRTQLTGRYRDSSDVKTAQDLTMWSSGTEQQRNNIAAQTKRSLEGWTQSRTPEGYNQLFSAFQPLKDAHNPLSPFPSQISGTRSNTWDTADARYPAQQANHNMLPGPWSFMPHSSDFRMNQQNYLVMPEAAKFTGKSAFTSLQGHGTDQCSTGWFGHIESSSRTGHASSSLIRPQPLVIGNDVQKTKGTSFKLFGIPLGSPEKCEPLVSPPSVAYDGKVQTSPSEKGNQLDIVEVDICSDPSKTVKPLDGPQSDSITENNEPCPEATLNIQNKVQSSSTRSCKKVHKQGSALGRSIDLTKFTCYDELIAELDQMFDFDGELKSPCKNWLVVYTDNEGDIMLVGDDPWNEFCDMVHKIFIYTREEVERMNPGALNSRSEDSLSDSQGRGLTSKEPRGGPSTSTPNSENC >Sspon.01G0063330-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:114321890:114323333:-1 gene:Sspon.01G0063330-1D transcript:Sspon.01G0063330-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGSALDADCGDLSASQADLLARPRCIGGRGGGVEEEEAPHVFSTPPLTQQDPQRQRQSQRGQAGAGAGEGDDGIAMCSMPFTQTQPSSASSSSSDSRPRVCMRKVRAGAKISTPPPSPSPSPSPELDPLVRIVLMIPTAPLPTTDLDKQQADRRVE >Sspon.01G0025920-4D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:89120317:89127497:1 gene:Sspon.01G0025920-4D transcript:Sspon.01G0025920-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RDIFADYAEFCFKSFGDRVKNWFTLNEPRIVSFLGYDKGINPLIGAHNALPATQKGKVGIVLDFNWYEPLTNSTEDQAAAQRARDFHIGWFLDPLINGQYPKIMQDIVKDRLPSFTPEQAKLVKGSSDYFGINQYTTYYISNEQTTQQGPPSYSSDWGVQYNCALSLALHRPIGMYGVVNYLKEKYQNPTIIISENGTRNNLSPSPEMLAFPSIMASTNHGAMNITISGMDQPGNLTREEYLHDTIRIDFYKNYLTELKKGIDDGANVVGYFAWSLLDNFEWLSGYTSKFGIVYVDFTTLKRYPKDSAYWFKDMLSGTGSKAATRRPAQAPAGWFAVSHLEWRCPAGLSVRLLVTGSSQLLSFHLPRKAGRDLQRDGRRDGCCPVGGAAARAAGGVRRRASRCSGGGGASWLGGLSRAAFPRGFVFGTATSAYQVEGAASTNGRGPSTWDPFVHTPGNIVGNQTADVAVDQYHRYREDVDLMKSLNFDAYRFSISWSRIFPDGEGRVNPEGVAYYNNLINYLLQKGITPYANLYHSDLPLALQNKYGGWLNARMAKLFTDYADFCFKTFGDRVKHWFTFNEPRIVALLGYDGGSIPPQRCTKCAAGGNSATEPYIVAHNFLLSHAAAVARYRNKYQAAQKGKVGIVLDFSWYEALTNSTEDQAAAQRARDFHVGWFVDPLINGHYPQTMQDIVKERLPKFTPEQAKLVKGSADYIGINQYTASYIKGQKLFQQKPTSYSADWQVQYALERNGKPIGPQSHISLLHISHEMRCISRDTYTNLVSMLPGMDQPGNLTRDQYLHDTTRVQFYKGYLAELKKAIDDGANVAGYFAWSLLDNFEWQSGYTSKFGIVYVDFSTPKLERRPKASAYWFRDMLQKH >Sspon.06G0007390-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:28500209:28512422:1 gene:Sspon.06G0007390-1A transcript:Sspon.06G0007390-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLEELKKKLQPLLFDDPDRGGASTRVPLPEETCDSYAISDDGTVNLLSRSLGEYNINEHGFHKRSAGPDESDSDEKGYRCASHEMHIFGPIGNGASSVVERAIFIPVHRILALKKINIFEKEKRQQILNEMRTLCEACCYPGLVEFQGAFYMPDSGQISIALEYMDGGSLADVIRVKKSIPEPVLSHMLQKVLLGLRYLHEVRHLVHRDIKPANLLVNLKGEAKITDFGVSTGLDNTMAMCATFVGTVTYMSPERIRNENYSYAADIWSLGLTILECATGKFPYDVNEGPANLMLQILDDPSPTPPLDAHSSEFCSFINDCLQKDADARPMCEQLLSHPFIKRYAGTEVDLAAYVKSVVDPTERLKQIAEMLAIHYYLLFNGSDGIWHHMKTFYMEQSTFSFSGKVYVGQNDIFDSLSNIRKKLKGDRPREKIVHVVEKLHCRANDETGVAIRVSGSFIVGNQFLVCGDGIKAEGMPSMDELSIDIPSKRVGQFREQFIMQPGNLMSCYYISKQDLYIIQS >Sspon.01G0033970-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1A:114356172:114356477:-1 gene:Sspon.01G0033970-1A transcript:Sspon.01G0033970-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIVAAAAVVGLCSVAPTASAREEPPVPQIVGGWKPIKNVNDPHIQELGRWAVSEHVKQASDGLVFSKVVSGEEQIVAGTNYKLVIQATRGGAGKSATYGAV >Sspon.01G0001860-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:17981779:17983212:1 gene:Sspon.01G0001860-3C transcript:Sspon.01G0001860-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPARTWLADLRSRLGVGPRDDVDGLGILAFEAAAAMSRLVSFHRTVSDVEVRRLRTDVLRAEGVARLTSADQALLLRLACGELLADLDRAADSVARLGARCCAGAGDAPLLRDFDRIYAEAKRGRLAQLDATVGFSRGATKRFKEMERHVVVAAKLYAEMDALSELEASERRMEQWKQKQHSGPIPAQSSGTGKRSATEPGEMLMSKLRAQGQKVRRLMEGSLWNVAAGKAARLMAKSVLAVLARISLAFGASVPGLPPWTVQRAWALGHSSGPLHRSATPAALRHSAPIFGQKDAASPLLESIKPSVNTVGGSSMELRYANVILAAETLLAALRPAAAGDSEEVQEGMIDLSKRDALYKMLPVSIRKAMNAKLRESWNKGQPADEVAAAESRDAVERLLRWLSPMAHDTVRWNDERSMERAQRFSMQPRALMVQTLHFADRHKTDAAIVDVLIDLSCICWYDDERRRLESHDWDDE >Sspon.02G0059520-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2D:94924539:94925190:1 gene:Sspon.02G0059520-1D transcript:Sspon.02G0059520-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding TPRGARPRGQASPSPPARSSASSGLAPSLACARETSLCQREADVTSPPHLPAPSSFSDPDATLRLHYSASRPGPAVHVTPRLLPFASRRPPLVLFPTSCGIWPRTYSAPSRFPVSVFFILRAGGWSGAVVALGSRRKGGQKKQCFLSVAGSSPVARSLKPCSGCIYCVAKRVTELELRFGGLRITSSGLILAIAGLGG >Sspon.01G0019130-3C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1C:72634864:72640706:1 gene:Sspon.01G0019130-3C transcript:Sspon.01G0019130-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxin-12 [Source:Projected from Arabidopsis thaliana (AT3G04460) UniProtKB/TrEMBL;Acc:A0A1I9LLU9] MLFQVGGQGARPTFFEMSAAQQLPASLRAALTYSLGVFALRRPLLHKVLDYEDEFFALLMGVLESHSLRTTDGSFSESLYGLRRRPVKVSVKRKGPGTESSDKVYDSALRKRQKILSVVFLVFAIHGFMQLMKVSRLHTSSCICWMLLDFIVQYCMCLGFMFVVLLDKSWISRIRNRELERLRGPPWFKAVQRVFLSCVYTTLDYAQTGLIAAVFFFKMMEWWYQSAEERMSAPTVYPPPPPPPTPKVAKDGVPLPPDRTLCSLCCQKRANPSVLSVSGFVFCYSCIFKSVSQHKRCPVTLMPASVEQIRRLFHDL >Sspon.02G0010950-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:29486513:29489355:-1 gene:Sspon.02G0010950-1A transcript:Sspon.02G0010950-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTGTAPEGHPPPPRSHTPSAMSQRSEAGTAAAGEGDEEQRLRAALRHLQVEAGVLERLVYKHRNQHRGAAYFQYLLKVRRDLKLLLGAGLAEVLNAVFPVLACRKPANTILVPAKQTKKKPGANHSHHERLLGVARLLSQMSEPVMKAAIQITFLLARSFFVDLCTAVFSLLARVRVLIQQMLLDVVSIYNKVTDLTDRKQAVKISISGVQAFREYYPSMNDACAVLECVWVKDKFVLHEKVKDICQETQVEDQKCCGPESPIQYETLALISEDMKSPEGTNSPAYQPDAALAEQPDKMIHCNDAGDSQRGRQLENENSACSVPNALSTREHLKPETRKRVAFVAVGNPKVTGAASETKSSEVNKKQRLDMISPSNIQSGLYNKLLD >Sspon.04G0031270-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:9569369:9569665:1 gene:Sspon.04G0031270-1C transcript:Sspon.04G0031270-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFMRYYRALPQGETTVEEFRAWLSQFDADGDGRISREELEQALRSLNLWFVWWKAREAVRAADANRNGAVDGDEMGRLYAFAHKHLHLKMSQLDLEE >Sspon.01G0017770-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:71346320:71347236:-1 gene:Sspon.01G0017770-2B transcript:Sspon.01G0017770-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LFSGRIVDGFFWHSVEISVDDEEWNDGLLATIREKVHMEADRKAMANQVNVPTDLSFQSRTTYRIRNKVAFAPQIIAFSNIVLYLVIYCLDGARIGIQYETFFA >Sspon.02G0016830-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2B:44812590:44813199:1 gene:Sspon.02G0016830-2B transcript:Sspon.02G0016830-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding EPSPDKKNPQDTKKPASPAAVKITMDIKKRWSPPMPSLVLLLVVLIAADSRAAAQVFCRSQFNLANEACSLRPFSGPNPAQPLQLHSNGSSASAASYEAQADHHEHGHEHEHEHEHSHEHDHDHEHEHEHEREHTHSRRHGFGHGGRDPYDTACCRRLMGIDNACICQAMSFLPVFMSKVKHAIKLSPVPGCDVSFECGAVY >Sspon.07G0022500-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:8853088:8853917:-1 gene:Sspon.07G0022500-3D transcript:Sspon.07G0022500-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding HELPSRGRRGPRGGGRGAVRDGVVHLRRRVRRRGGPLPGRRRRRGRGRRGRGRVRPPGPPRVRAGAAAEDELGQHLRHDVHDVAAPSQERSVAVLRGQVAVVRVHVGGALPGGPAQEGQALQAEDQVVQELRRAGRDDGQEAARLQLLRQPRPRRRQRVQDAAHSERVPPV >Sspon.01G0039610-3P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2B:7059049:7059957:1 gene:Sspon.01G0039610-3P transcript:Sspon.01G0039610-3P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVMLLPITDILIIHDCFVHVCSIELYMHHRSGPAPCTGCDGSVLLDPTPSNPQPEKLSPANFPSLRGFEVIDAAKAALEAACPGTVSCADVVAFACRDASAILSGVRADFAMPAGRRDGSVSLSSEVPQKLLPPSFNLSQLAARFAAKGLGVDDLVVLSGAHSVGRSHCSSFVRGGRLNASTSDIALAASLQQQCPANATTDNTVVEDIVTPDALDNQYYKNVLARNVLFTSDAALLQSGQTAASVLLNAFVPRLWEQKFKAAMVKMASIEVKTGTNGEIRTNCR >Sspon.04G0006520-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:18764276:18766847:1 gene:Sspon.04G0006520-1A transcript:Sspon.04G0006520-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMGWSDLPSELLTDIAGGITELADIARFRSVCSPGDRRRGTPRPPRRRSRRGFSSRRPNLPEPPPARPAAETHHRRRRLYASPHGWTLAYDPTDLAASLVHPFTGATRLLPPLPAFFKETDDLAWDWSPHGVMASCGEGLLFCAADPTTAASWTPIPALADCNASSINYASGQFFVFEEDVCRTTIVDAATLAVAAVIPAPAVELPTEARVAVAGDELFLLVKSKWMYLFGDDVDFSKAFHVNHRGVNPAWQELTGIGDRALFVDSLHGFAVPTAGFGNLESNTIYSVSSNSKEVNNRSPTTVNYSVSAFSLESRISKKLVCLLNGREMAMRREKPSWIVPSLDQKKINQVAATRKVMATLWSFGAIPKHSLTVLSVATGERLADPLPFVASMARSGDDDGGQREERAQGNLRTAHGTLARTSLRVVAPRNLVRNC >Sspon.03G0026650-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:3342479:3342841:1 gene:Sspon.03G0026650-1B transcript:Sspon.03G0026650-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGVVGGRRAGGGPEGDEGEGNLALLEAQLAEGSGKRFFGGDSIGYLDLAACGLARWLGVIQESTGVSLASDDEFPALRRWAKENVADEAVAQCLPERDRLLAYYTANNDKYRLMAKAI >Sspon.02G0045230-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:105783658:105788534:1 gene:Sspon.02G0045230-2C transcript:Sspon.02G0045230-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATVPNGHPAGGGAGDEDAPPPASSSLVFLGTGCSSAVPNARCLIQPPDPPCPVCSQSLSVPPELNPNYRCNTSLLIDYCQDEGAHKYIIIDVGKTFREQVLRWFVRHKIPCVDSILLTHEHADAILGLDDVRVVQPFSPTNDIDPTPIYLSQFAMDSIRQKFPYLVKKKLKEGEEAVAQLDWKIIESDIQKPFTTSGLEFVPLPVIHGEDYICLGFLFGRKSKVAYISDVSRFPPSTEYAISKSGGGQLDLLILDCLYRTLDAVRRICPKRALLIGMTHEMDHHKDNQTLEEWSRRTMPYSVNRARLFGWC >Sspon.05G0006090-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:19469895:19471143:-1 gene:Sspon.05G0006090-1A transcript:Sspon.05G0006090-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AATAPMPVHVESLQTAVPMRTAGAGKSLPIAVSGPPLTAAELQRRFRAVLYYRGAGAEVEAAARERAAWVKESLCAALVDHPEMAGRLRRRSDGDGDGRLWEVKLRDTGVRLVQASVEATMAEFLEARGVDRERKEAALALWTDVDVHEPDICAPLFMQVTRFLDGGYAVGVSCSLLLADPLSLIGFLKSWARKNTEMQAQSKHVAHPVIQYTHYIQSPGAAKRVKSGTSTLDTATATAADNTKTVLFRATDSSDRRALAAACVGMAGKRLGGAKEPPRFTVIARDGSKGLHVQTCGTVEYGDREACLGHGHGLAARVAQWSEAGLEDLALEGSKPVHVSYSISPCADEGLVVVMPAGGAELLISVTVPNYM >Sspon.03G0005400-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:22205194:22208452:1 gene:Sspon.03G0005400-2B transcript:Sspon.03G0005400-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLTTTTSSPAALPAATTAAAASSISPHAGSKRPLLAGDDAPWRATAATAAGQGIRPVPRIHHAPVLRVATQEDSAAYALAIMKHPDPIGEGLAMEAFAEAAGPECIVPGQQAPLRLMGLKVWPLDIDMKFLEPFGRELQSMKKFMDKSCSVMDSSMANK >Sspon.05G0034140-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:49974373:49976053:1 gene:Sspon.05G0034140-1C transcript:Sspon.05G0034140-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKRTTTLMVIMSLLILSITVNSATAEQCSCCISAQAKACCFACIAAGGSDTICKNTCCFPCVLSDSEESCLAFVGGVGEDNVKSAPVDLSKVSFKGLWVILVYNITENNPSASSSGPHGSYSSAQ >Sspon.02G0012870-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:32597533:32598369:-1 gene:Sspon.02G0012870-2B transcript:Sspon.02G0012870-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPEPYLLLPGAACTKETVKNALSRWGRKVGEATRKAEDLSRNTWQHLRTAPSIAEAAVGRIAQGTKVLAEGGHDKIFRQAFSAPPDEQLRKSYACYLSTAAGPVMGVLYLSTARVAFCSDSPLSYEASGGDRTEWSHYKVAIPLHRLRAASPSANKLKPAEKFIQLVSVDSHEFWFMGFVNYDSAVAHLQEALSGFRNLQA >Sspon.04G0003360-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:10281123:10284060:-1 gene:Sspon.04G0003360-1A transcript:Sspon.04G0003360-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPILGIAASAPPAAEGDAARAPSGSGGGSVKMNPGIRQASPERVSKFTETFKIKGIKFNRIKC >Sspon.08G0004630-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8B:11346690:11348135:-1 gene:Sspon.08G0004630-2B transcript:Sspon.08G0004630-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRCLLLRLVISMQVVLIGTVVAAGGRPPAMYVFGSSILDVGNNNYLPGPAADRANHPYNGIDFPGSIPTGRFSNGYNIADYVAKNMGFACSPPAYLSLAPTSSGGGPLVQAALSNGVNYASGGAGILDSTNAGNTIPLSKQVEYFGATKAKMVAAAGSHAVSALLSRSVFLLGIGNNDMYVFAASELARNRSAADQRRDAAVLYANLLSNYSATVTELYSMGARKLAIVNVWLIGCVPAVRAFSPVGACSDTLNQLAAGFDDALRSLLAGLAPRLPGLVYSLGDAFGFTRDAVADPRTLGYTDVARACCGSGQAPCLPSSTLCADRDQHLFWDRAHPSQRTAFLTAQAFYDGPAKYTTPINFMQLAQSK >Sspon.06G0004980-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:16525305:16527369:-1 gene:Sspon.06G0004980-1A transcript:Sspon.06G0004980-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein PHOTOSYSTEM I ASSEMBLY 2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G34860) UniProtKB/Swiss-Prot;Acc:O64750] MAGAGCHSLLSPASPISPALFSRHRAAAVGGGTRWASKALSPIRCLAKDEDSKGCTETSKGKTGEETTPSRRKCLVCLGAVTLISATGPTLCTPNGLAADMMNKAGIQKAVCRNCNGSGAVICDMCGGTGKWKALNRKRAKDVYEFTECPNCYGRGKLVCPVCLGTGLPNNKGLLRRPEAKQLLDKMYNGKILPRS >Sspon.08G0005480-4D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8D:13928830:13934137:1 gene:Sspon.08G0005480-4D transcript:Sspon.08G0005480-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AAALGNLYSSWNSPAQLTGWSASGGDPCGAAWTGVSCSGSAVTSINLSHNSLFQEIGELFGSLSSLSELYMQNNQLSGTVDVLSNISLATLVGGNSFVNMPASPPPTLKPHDQPNDPEGPISAPTIPDTPIDQDDKKMQTGPLIGIAVGSIAAASCVLFVLVFCLHNARKRNDDASSEPKDIVGSLAVNIERASNREVLNNNHENAVVATSDLQPAGKMTPDRVHGTNGSSAKKPKVLAVKKIDSASLSLYEEDNFLEVVSNISRLRHPNIVPLTGYCVEHGQRLLVYEYIGNGTLHDILHFSDGMSKKLTWNTRVRIALGTARALEYLHEVCLPPVVHRTFKSSNILLDEEYSPHLSDCGLAALTPNPEREVSAEVVGSFGYSAPEFAMSGTYTAKSDVYSFGVVMLELLTGRKPLDSSRERSEQSLVRWATPQLHDIDLLAKMVDPALDGLYPAKSLSRFADIIAICVQSEPEFRPPMSEVVQQLVRLMQRASIIRRQSDDLGYSYRVPDREADVL >Sspon.03G0002120-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:12610309:12615909:1 gene:Sspon.03G0002120-3D transcript:Sspon.03G0002120-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQLQSPRFLPIPRRSVTGRRRRARPVIAFNSQWKIPDVDTDAVRERVRSWMSLARGAVADAAHAARERGRHKEEPEGGKKKQRKEVVVEEQAFVAVPEVTVEPRVAQGWLSLDAVVSIEQFARLNGLTGRQVQRIFETLAPKHLHNDARSLVEYSCFRYLARDNSDFHPNLKELAFQKLIFVTMLAWEDPYNEDGGRLVGEDAFVRIAPAVAGVADVSTAHYLFRALVGAEKGLSFDLWTTYLAELLKQTHQMGDNFLYDEKVLCIGSSKKRPVLKWEENTAWPGSLTLTDKALYFEAIGLSGTKKPMRLDLTNQNSRVEKTKVGPFGSKLFDSAVSVSSGSVSDEWTLEFVDFSGEMRRDVWLAFISEIISVYRFIREYGPGGDDPAIHNVYGAYKGKKRAVSSAANSIARLQSLQFIRRLYEDPAKLVQFSYLSNAPFGDVVLQTLAVNFWGGPLTTKARSADQRSTQWHRSSEDPSSGHAHVYDIDGSVYLRKWMTSPSWASSHSANFWRNSSVKHGVILSKSLVVADKNLVEKAMVDCKERNKVVERTQATIVAATIEGIPSNIDLFKELMLPFAIVAENFKKLQRWENPRSTFCFLLLVHTVLFRNMLSYVFPFTLMMMALSMLAVKGLKEQGRLGRSFGKVTIRDQPPSNTIQKILALKEAMASVENYLQNLNVSLLKIRTILLAGQPEVTTQVALVLLASSAVLLVFPFKYVLAFFTFDLFTRELEFRREMVRAFMNFLKERWESIHAAPVVVLPYEGAESSPKTLPAKASGQSEPQNMQRGSGYVTSKNGISSS >Sspon.05G0025700-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:5B:26271000:26271647:1 gene:Sspon.05G0025700-1B transcript:Sspon.05G0025700-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFTFPATSTTAAATMTTPDPPAVVAGPLPFPWFAAAASRSWPPPCRSSPPAPPPAATAAPPVTTRSQALTLTAMAAAAQQGPTPGAGGDAAAVAEVEDRMDLLWEDFNEELARGGRGSCRARAAAGGLQQARDLWSSGSSDAESSSEPAAARGCAPVLRPSSRAGGAVRHCRRRAGTWVLLMRIFRRLFVIEKTVSASAAAARRQHAAATTRAS >Sspon.01G0024040-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:91517954:91519337:-1 gene:Sspon.01G0024040-2B transcript:Sspon.01G0024040-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRAFAAIAVAMAFHLLAALHGASAARPMGWQAAASSGATTSALALAPAGHQDHQRRAAAAAAATRAGKWLPFAGVHHHLPPAAAYWAHRPVPWVGAGELAGGAGAVEGGEGEEVVRESERERRQRPSYEGDGTTTTTRQEQLAMWASLLNPKGKGRTDATGWLPAPGAGGEVADDEPAKAADAVEGEEADDSAADGARTSGCPCMQTVSRAVSCAHAELGPNPPTSPSPAATQPPPSTTLTTATPTPQLAKSQPDAQAIPPPSYPSEETEEVELVDWDKAESEDFEDDTTLAIDEEDGEKSAACKV >Sspon.02G0011390-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:30506617:30513299:-1 gene:Sspon.02G0011390-1A transcript:Sspon.02G0011390-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAEGTACNGAGEAPNSEFAPEKVVAAASPEEKAVGEREGEEDVGGPFVIVNGGDSDGHSDRGSDLGKAPDEDSPSEEDDAPGSNAAPDAAVGGDHGAAEEGEVGATDAAVGASSADGGDGASDGSEGKPSSDCFAEVAQQEAVGEEAGGAAALASGGCEPAIASADSEAPAVDSEVEGKEGTVDGSAVADVAEAVVHQEASTEQDGDAAVMPSGHDDALSSPKSSSAAMESEVIRDYNKEQNTTDLLEPLEHGTVGASALVENGHLCADMKADSFVAATEPDSQEDAAVESCRHDDALICTESGSADIESEVYGEDSKQEQSNAGVVEVEGQGVGRAEGPIANGHLCADTQADSSEATTEPENQANESKLTEVAESVEDDAASGEQDATDASQTNGHIYVALSADSCIVASESKAHSFETDGQETDQQEEEAPKTEAEVLEGVLKPTEGNCAGSVEELIGEEVDADGHASVEGTTDASGEQEANPMQVVGEATGGILEFEEVDMGKDGEEGLCDDSTVGKEKIDEAVPSVNVGESEEVTENISQDTMQGGLAKDGVYIGTIHIKPGSDPYVESSLEHKVKVEVDLVDEITAESDLKAENMVEVRTAREVDEIEVKELAFADEANVAPLHPQQDCESVMETIEHEKVEAPGVSQPHKIENSSKVELKKEFEMEVDDAVPFQEAAASAASVFHHEPRSIDLVENDSGNHSSPTTVLESCDHVQTEESRSQEISMTTVEQTICSASLDHGTMVVDEVEINSATGNVSKEKSSNVVVDQVEPVKLNADELVVVDDDQPSFDPSCESVSDSKSLENQSEICNASGMSDECSSRIANEGPSPINMSDECSSRIANNGSHNEPPHLTEEPCLSDKSCNTINYENGNEPDVVETKCMEALVTERKDNGHHASGEWHGDHAQAVCDQYKEKLDAARQEEREARTAHGYKKNDLNSVRSVLGKLHQANSVEELDELIAKKERTMQHETISLKEEKLLIKEINELKAQRKQLSSTMGSKAEINEAFDQKDHIHERHKVLKKDSDVLFTNLKALEENTRKIQKSFEDERTALRKLTEEHRAANEIRQKAYCEWTELRNEPSKKNEYFFKYRDARNAAETFRANGDTNGLKTHCDSQIERVMEMWNTNEDFRKQYVESNKVSTLKRLGTHDGRRLGPDEDPPVIPSRRPSSIYPLSASSPEVPTLASIPAPVLVAPAAAPAKEDSFPVLAAPQTSKRGKSKASGSSAQIENNSVIVSEAEDLKQTLKEKARLLEEQLELARKAEELARKEEELREERDAAEKERLRMEQKAKAKEAEERKRRKAEKDKERAEFKARKEAEEREKKKAKKDKKKGTTPAADSSAIGDSHAAALATADTDSNASDNPREVEVSQPAVAPKRLSRPAAAIKQLNRLQPMPAPLRNRGRRKLRQYILIAAAVLSVLALFVAGNYIPRLKSVHS >Sspon.05G0016570-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:63635381:63638792:-1 gene:Sspon.05G0016570-4D transcript:Sspon.05G0016570-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLAKLRSLLVSPEDQLPEPLKLHKDRLKLLKQDLEAINTSLVNLSWVEAPNMMVKHWMNEVRDLSYDIEDYIDKTMHPGYISREERRSDSEVEELCILVNQAKDAHQRHSRYDLGRWASNPRFVVDGGGQGCVPRLNGDATDLVGISDSKCELIKRLNIDDADGRLVVCIQGPAGVGKTTLAQEVYREIEGRFECRAFVRASKMPDTRRLLSSIISQIQDRHQRPACGLPVQELNDILRTHLLQKRYFIVIDGLWETTSWDIVNSAFPDDTHCSRILITTDIEEVALECCDYESDAIFKMEPLVGNYSTELTCLLYLTMYPEGYTFLKADLVKQWSAEGFIIAVEEKNCDEVAECYFDELTCRGLIQPNHTNISDEVTLYTLHSTVFEVIRSISIEENFSTVIDYSNTISDLSVKVRRLSLRFSSAKYATKPETITLSPVRSLIFYGLVKCLPSIVEFEVLRVLILDVWGDHDQEELDLSGIDRLFQLRYMRITSNIIVKLPARVIGLPFLQTMEIYEKVTSVPTDIIHLPRLVHLCVRGEINLSKGIGHMGSLRTLQSFDLSSNSEDDIRQLGEMTDLRDLHVISPTEMSDPLKGKLIAFISSLEKLGNLKSIILELAPATSCTSIYLDCSWSISSLSIFLQRLELLPPICIFSRLPVWIGQLQKLCILKIVLRELTTGDVDSIAKLQELTILSLYVRQPTAEQIVFHRAAFPVLKFFKFRCGIMRIAFQPEAMPRLRSLNLEFNAHTGEQNGNTLGGIEHLLNLREITGRIGAAPGAEEADRIAVESVFKDAISKHSRLINFNLRIVGLFDEEY >Sspon.02G0018430-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:61111776:61113158:-1 gene:Sspon.02G0018430-2B transcript:Sspon.02G0018430-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRQQDDDTVHAAAIVLAAAARATSTGLQRHQQQLDDHASCAAAKKTRWWSRLKAKLKWFRPHGHPQRIADASRSPETGAPCAEQAAGSSSIHHYARHAPQPALAFVAPPSSPASSVLTSESPSPVVLLNANNASSSYSSPTASIFTIGPYAREPQQLVSPPAFSASAGLTEPSTAPVTPPPESGLHLLATTPSSPEVPFARFLWSSAAADEHRHGAGEGFLHAYQLQPGSPVLVSPGSTSSSPPPWNVQQQRWVGTHSDGGCVPIKDESNDDEVATGGGEFVFGSNVDAAAGERFAGGEVDAGGDATEQWPFLLAHTHS >Sspon.03G0032030-2T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:32090116:32094672:-1 gene:Sspon.03G0032030-2T transcript:Sspon.03G0032030-2T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALVGILGNAADFHDNDPSSSTVASNNDRRKMMIAFDMRCVGFIMAKMVLRELMDSSTFFKFKSFLTKGNDPSCLREFLLPTLCQNSTSGNIDRQWGAGWNLLALLLATKPEKRISCVDALRHPFLCGPKWRINPSANLIRWSLGSTAVRMAEDYIYGHHQRRRLAYFVELMEVLNPSLRTQSWLHLLPGRWRLLYCTGRHIGLTLRQPSPRVLISDVYLTVSSESVDPIFSLTSDTGFRIMPESNWPHDKSGTEGVLSVTTSARIAAGRIYIKEHDSEESRVTSFRSSRRYLHGKWGKVSQMKELPSSLPTVSIAAMDEIDVSMSCDSSLNVNSAQNVLQEIRTQTPPEIFDLSKIVCGTYIDARLMILRGVNGSALLFTRANPTSDP >Sspon.05G0010640-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:12751162:12751410:-1 gene:Sspon.05G0010640-1P transcript:Sspon.05G0010640-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGKVELKKIENPTNRQVTFSKRRMGLFKKANELAILCDAQIGVIIFSGSGRMYEYSSPPWRCVKHSFPFHIIHPFVHFHL >Sspon.03G0020440-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:50515327:50516984:-1 gene:Sspon.03G0020440-4D transcript:Sspon.03G0020440-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCCGGNCGCGSGCKCGSGCGGCKMYPDMAEQVTTTQTLIMGVAPSKGHAEGGFEAAAAGAENDGCKCGPNCSCNPCTCK >Sspon.08G0004670-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:13519486:13528932:-1 gene:Sspon.08G0004670-1A transcript:Sspon.08G0004670-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAACRRAVSYTLLGPPAESLRAAAKAAAPATGDMFLDLLDANFNKATKAPPAKTLTENASPTFASSGDACIDFFFHVVPGTPSSTVTSLLADAWAAEANTALRLACNLRGVRGTGKSDREGFYAAALWMHGCHPGTLALNAGPVAEFGYLKDLPEILHRIIHGGKSTRTPGKQARLAASGGFVARRSCGFRAGRGGRSSTRTWWKESPRPWSTRAARIAAANERDRKVAAEAAVERRERRAEAAARAVDRYARDPTYRLLHDCTADLFADLLAKDMKKLAEGKIYELSLAAKWCPSIDTCYDRSTLLCEAIGRRLFPKGLSPELPEDLKDEHYAYRVRELLRKKALVPLHRALKVPEIFISEKAWGNVVYPRVASVAMKNYKEFFRKHDANRFGLYLADVKTGKVKMAAGALLPHEILASVRYDAEVADLQWDRMVSDMRALGKLNNSIAISDVSGSMHGLPMDVSIALGLLISELSDEPWHHRLITFSERPQLHQITGESLLEKTEFIRWMNWDMNTDFQAVFDKLLNTVSSSDSGGGTQWSPVKIINDHPCSWRCRSQDRDNDATVAVSVIVNWLMHVCGACLLSKDDVGEYILAYDMNAAAVGLVHISKDYQRASTTRGSRSPPSRLASSPDGKLSFVARRLLAYHPMATPACRNAVSYTLLGPPAESLRAAAARAAAAAAKAAAAIPTTGDAFLDLMDAEFNKPTPKLTPAPKKEGAHGERVADPNTALRLACNLRGVGGTGKSDREGFYAAALWMHGCHPTTLALNAGPVAEFGYLKDLPEILHRIIHGGVSTRTPGKKARLAALGGFVVRSRDGSRRRFVHNRPESRPRSKGNAPRGAETREARIAAANERDRKLSSDAAVERRKRRAEAAARAVDRYARDPKYRLLHDCTADLFAKLLAEDLQKLADGKLSDISLAAKWCPSLDSCYDRSTLLCEAIARRLFPKGSASDLPDDLEDAYYAYRVRERLHKALVPLRRALKLPEIFISAKAWGDVVYKRVASVAMKNYKDLFVKRDKERFESYLADVKSGKAKIAAGALLPHEILASIDDEGVADLQWQRMVTDLRALGKLNNCIAVCDVSGSMDGLPMDVCVSLGLLVSELSSEPWHHRVITFSSRPQLHQIPDGTLMEKTNFIRRMDWNMNTDLQAVFDKLLHIAVAGKLTPEQMVKRVFVFSDMEFDVASSRPWETDYEAITRKFTEAGYGAVVPEVVFWNLRDSNSVPVTALQKGVALVSGFSKNMVKLFLDGDGIVTPRDIMVKAISGPEYQKLV >Sspon.01G0019110-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:77018444:77022994:-1 gene:Sspon.01G0019110-2B transcript:Sspon.01G0019110-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MELDQGKVFIGGISWETTEEKLSDHFSAYGEVTQAAVMRDKITGRPRGFGFVVFADPAVVDRALQDPHTLDGRTVDVKRALSREEQQASKAANPSGGRNTGGGGGGGGGGGDASGARTKKIFVGGLPSTLTEDGFRQYFQTFGSVTDVVVMYDQNTQRPRGFGFITFDSEDAVDRVLHKTFHDLGGKLVEVKRALPREANPGGSGSGRSGGSGGYQSNSGHNASSGGYDGRSDDGRYGQAQQGSGGYPGYGAGGYGAGAAGYGYGANPAVGYGNYGAGGYGGVPAAYGGHYGNPGAAGSGYQGGPPGSNRGPWGSQAPSAYGTGGYGGSAGYSAWNNSSGGGNAPSSQAPGGPAGYGSQGYGYGGYGGDPSYASHGGYGAYGARGDGAGNPATGGASGYSAGYGSGGANSGYSSAWSDPSQGGGFGGSVNGGAEGQSNYGTGYGSVQPRVAQ >Sspon.06G0000460-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:1182930:1191565:-1 gene:Sspon.06G0000460-2C transcript:Sspon.06G0000460-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Copper-transporting ATPase PAA1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G33520) UniProtKB/Swiss-Prot;Acc:Q9SZC9] MMMDPAAPVLALSKAFVSSGTKPFLLRRGRLLAPLRRRSPFAACASGPASASAPRGLAVPGDLLLVSLARLALRGPGPPAAPRRWFASVSAATPLASGGPPRGGGGGTGNGDGGGGGGDGWKRPRASQGAGVVEEAAAQGADVIVLDVGGMSCGGCAASVKRILENEPQVLSATVNLATEMAVVWAVPEDRDVRDWKEQLGEKLANQLTTCGYKSNLRDSSKVGSQTVFERKMQDKLEQMKQSGRELAVSWALCTVCLLGHISHLFGVNVPLMHLIHSTGFHLSLSIFTFVGPGRRLILDGLKSLFKGSPNMNTLVGLGALSSFAVSSIAAFIPKLGWKTFFEEPVMLIAFVLLGKNLEQRAKLKATSDMTGLLSILPSKARLMVDNDTEKSSLIEVPCDTLSVGDYVVVLPGDRIPADGIVKAGRSTVDESSLTGEPMPVTKIAGAEVSAGSINLNGKLTVEVRRPGGETVMSDILHLVEEAQTRAAPVQRLADKVAGNFTYGVMALSAATYMFWSILGSQLVPAAIQHGGAMSLALQLSCSVLVIACPCALGLATPTAVLVGTSLGATRGLLLRGGDVLEKFSDVDAIVFDKTGTLTIGRPVVTKVIASRGMGDANTKDLGAHQWTEAEILSFAAGVESNTNHPLGKAIMDAAGAANCIVMKANDGSFMEEPGSGAVATIGEKQVSVGTLDWIRRHGVVHNPFPEAEHFGQSVAYVAVNGALAGLICFEDKLREDSRQVIDTLSRQGISVYMLSGDKESAAMNVASVVGIQADKVLAEVKPHEKKKFISELQKEHRLVAMVGDGINDAAALASADVGVAMGGGVGAASDVSSVVLLGNRLSQLVDALELSKETMKTVKQNLWWAFLYNIVGLPIAAGALLPVTGTILTPSIAGALMGFSSVGVMTNSLLLRVRLSSKQASQADTRREPQKAISDGPSETTDEAEKNYSSKWRST >Sspon.05G0016990-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:66412178:66415177:-1 gene:Sspon.05G0016990-4D transcript:Sspon.05G0016990-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGQEIAASDSEKVPEQHQHAIDVGHTEHGDGKGEDIEKERVAVAEDVQKKKSRRVAALDAFRGLTIVLMILVDDAGGAYERIDHSPWNGCTLADFVMPFFLFIVGVAIAFALKRVPNIGAAVKKITIRTLKMLFWGVLLQGGYSHAPDDLSYGVDMKKIRWMGILQRIALVYFIVALIEAFTVKVRPTTVRSGPYAIFNAYRWQWYTILILPDSSITLLGGFIAFVIYMVTTFSLYVPDWSFVYHNDGDVNDGKQFTVKCGVRASLEQACNAVGYVDRQVWGINHLYTQPVWIRSKDCTSSSPNMGPLRADAPAWCLAPFEPEGLLSSISSILSGTIGIHYGHVLIHFKTHKERLKHWLLLGFSLLVLAIILHFTNGAYPCIFIIYMHFSAHAAIPINKQLYSFSYVCFTGGAAGIVLSAFYILIDVWGLRMPFLFLEWIGMNAMLVFVLGAQGILAAFVNGWYYESPDNNLVNWIVKHVFVNVWHSQNLGTLLYVIFCEIVFWGVAAGVLHKLGIYWKL >Sspon.01G0034780-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:5471895:5473757:-1 gene:Sspon.01G0034780-1B transcript:Sspon.01G0034780-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSPYSVPKGKGLVKRVTEIMRTGNVSSSPKHSWKFGKSSSHQFDDLTEILEGEMISARESDLMNLNTDDLPTNQELAAIVVREQRHKRQEEPVLGGWGLKFLEKAGLNHSEGTKETDVQNSNGATQCITVVVPRGYHGGVVSKSSRPSGLIERWRSGGCCDCGGWDLGCPIRVLNGDGCGSFPEGESQDSRSVELSIKGAKNETMLKLVNITEDLYILYFDSSISALQCFSTGIAIVHSHAPQLCPKL >Sspon.01G0039980-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:9397181:9403113:1 gene:Sspon.01G0039980-1P transcript:Sspon.01G0039980-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAAMGRAFILQGGDCAESFKEFHANNIRDTFRILLQMGAVLMFGGQVPVVKVGRMAGQFAKPRSEPFEERDGVKLPSYRGDNVNGDDFTEKSRVPDPQRMIRAYAQSVATLNLLRAFATGGYAAMQRVTQWNLDFMDHSEQGDRYRELAHRVDEALGFMTAAGLTVDHPIMTTTDFWTSHECLLLPYEQALTREDSTSGLFYDCSAHMLWVGERTRQLDGAHVEFLRGVANPLGIKVSDKMNPSDLVKLIEILNPSNKPGRITIITRMGAENMRVKLPHLIRAVRNAGLIVTWITDPMHGNTIKAPCGLKTRPFDSILAEVRAFFDVHDQEGSHPGGIHLEMTGQNVTECIGGSRTVTFDDLSDRYHTHCDPRLNASQSVELAFIIAERLRKRRMRSGLNNSLPLPPLAF >Sspon.01G0051000-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:10610811:10616105:1 gene:Sspon.01G0051000-1C transcript:Sspon.01G0051000-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFMEPKDIDWSRVVSRYVRDETYEGIEAPHWADLTDPNAGRADVDDEAWFCRPDCRHPKTAEDFLKLSPSPKGKLLRSVSAMLPFAERDANATNLRRSLRAAPASIKSPFSPFRALLRDGNSNLKWRGGGAVAAFTPPKPKAAPKKRFQEDSENQDPALATPPPRQAPSSRPPFGAPRWNKNAKEAIKSSAEKRPDNAEKEELLNKYAPPRQLKSTLSARNLFSGKDILGQISEFYDELKRMVGGGRPGTDTQEEYSSNPMNPSDLMEKVAHASVSNPVPADTVKEVARQETVKKSPSPMKGKTVGLKVEAGKQRSPSVLKEVKATPPTPQRFPSPSPNRIKNVKPGGVATAGSPLKKPLKEKGTPSKDLESKKDGVRQPFGVKDMNNTRACDAEGSSSSMFWFLKPCTFLVEWDWVHLSLKTGSYKRSKANPLFNDGAS >Sspon.05G0006980-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:22782413:22783086:1 gene:Sspon.05G0006980-3D transcript:Sspon.05G0006980-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PsbQ-like protein 3, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G01918) UniProtKB/Swiss-Prot;Acc:Q2V4B2] AMALRLAVRALSTSLLSRAEATSPKAPSNSKQRSQRSRAAAATTATATASRRRLATAAGAAVLASQLLPPVASSGAGTFDLRLTLPEKSSEEAEAVVRTHARNLLGVKRFIDAGAWRELQAALRASASNLKQDLYAIIQARPTGQRPELRRLYSDLFNSVTQLDYAARDKDELQVQEHYGNIVSTLDEIFAK >Sspon.02G0012200-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:32270930:32272348:-1 gene:Sspon.02G0012200-1A transcript:Sspon.02G0012200-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEETNSKKKKEEECTINCLPGDLVEQIFFRLSVSTLLRCTEVCKQWHKVIRDPQFITAHLEQAPRSALLFFPQESVHGKPYPSDAIVFDEGWSQSTVAVPVIGPDDFLCGSCNGLLCLYTKASTIKIANLATGECLHLDKPIKNLKGDHFSCYRFGFHPVTKEYKVTHFLGEHHNNSQGTFNVIQVYTLGSDKWKDVRSSEDLSLSCVKNSGVVNVDGAMFWLTEDAGASWKHAVISFDLSEESFARIQLPDSTLGGYRKYWITEINGKVGIVRGSKQQGGWGLKKWEAWERDICGVENVWKNVYMLEQNSLIIKFSIGSCTTSGHSSQEGLAACAK >Sspon.02G0034410-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:15408104:15416088:-1 gene:Sspon.02G0034410-2C transcript:Sspon.02G0034410-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRATEVVLSAQLVVAVVAGLLLSSSPAVAAAEDGVGLWRRSLHQPFFPIDSTPPPGFDDSIVPPPPAAAAGAASASASKGGGRSATILTNTVAIALATGLVVLAVAFYSCFLLWRRRSDGGGGGGAGDGLRAVKSVPPGSVAVRVASDVGSSARHQRSPPPSSTASDAIYLDPLTTMVEVSRHRPPSPDLRPLALVKQPSPDLRPLPPLKRPAAQPPPPPAFTPPATTTGDSSDEEDQATYYTAPKTAKSWFSRSTSQRSTLEQTVAAAQPPAPAPAPTPTPTQANPPRPARPPPPPPPPRQRLLRPMPEESPPPAVLANWALTNSPDPSVQDRGGENPDGDGGRARPPKPPSLKPLHWDKLRAISGRTTVWDQVNNSDSFRVNEAAMESLFLNNSGGAGNSDQAARRGSAGKQENRLLDPKRLQNVAIMLKVLNVTSADVTGALMHGNGDLGSEFYETLAKMAPTKEEELKLKYYNGDISKLDPAERFLKDAACTDLRSSKLFLKLLDAVLKTGNRMNDGTNRGEARAFKLDTLLKLADIKSTDGKTTVLHFVVQEIIRSEGFGSDQTAAVNPGSTSKEQFKKDGLKVLAGLSSELSNVKSAATLEMDTLAGSVSRLETDLEKVKLVSQLNQACPGQVSSEKFFEAIDTFLGHAQAEIETVKAAGESALQRVKETTEYFHGDAIKEEPHPLRIFMVVSDFLATLDRVCRDVGRTPERVMMGSGKSFRVSAGTSLPQHRNEQRRELSSSDEDSSSD >Sspon.02G0012960-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:34738596:34742541:1 gene:Sspon.02G0012960-1A transcript:Sspon.02G0012960-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSAAGAGASRRKAEQWLNVAEKLLVARDLEGCKQFASQALAADPHTPGADDLHAAAASLLAAQRRRLPNGQPDPYGVLGLDPANPASRRPDAIHSQYRRLSFLLNRSHPDRPSSFAFAEAARLVADAWAFLSDPVHKSALDADLDAAAAARAYQSPPNLPQPHSQSPLPARLTPPVAAPSPRQTPLPAAPSPRSTPPLPTTPQTPVAATVPAVKSGAAPSSTFWTVCSACCHIHQFDCQYETCKLLCPSCRQPFVAKPMAEPPPIVPGTDMYYCTWGFFPVGFPGCPGFERMVNAQPSGPDQLNAPWLGSTGGVKGNAQNGVPPVSAPVVEVPTEIPIEVPAVTPPAKPMRVKVGAKKRGRPKGGIEDPDSPNP >Sspon.08G0011750-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8A:50759013:50759552:-1 gene:Sspon.08G0011750-1A transcript:Sspon.08G0011750-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVPTCNAVVGAYCRASKFEHAFKMVDVMRKCKIGPNSRTYDVILHYLIKSQKFEEAYNIFQRMGMDGCEPQLNTYTMMVGMFCSNGRVDMALKVWKQMGERGVLPCMHMFSALINGLCFENRLEEACVYFQEMLDKGIRPPGQLFSNLKEALVEGGRISLAQEMALKLDAIRKTPFRG >Sspon.08G0019130-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8B:10479293:10479718:1 gene:Sspon.08G0019130-1B transcript:Sspon.08G0019130-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMMGGRLVLVKGLFDSTPEESRWHEITAAPTGDKRKWAENQPGTKVPSWFPNLGPSPLLPEGKGSAVAEMIITVGAIVLLFSRVRSSLVLDEYLSTAFFTLALRRLVKESFRFTELATPCPLSMEHLISFVEEQQDLTKR >Sspon.06G0016170-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:70200601:70204620:-1 gene:Sspon.06G0016170-4D transcript:Sspon.06G0016170-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALNEEYTYAELEKEPYWPFEKLRISITGAGGFIASHIARRLKSEGHYIIASDWKKNEHMSEDMFCHEFHLVDLRVMDNCLKVTTGVDHVFNLAADMGGMGFIQSNHSVIMYNNTMISFNMLEAARINGVKRFFYASSACIYPEFKQLETVVSLKESDAWPAEPQDAYGLEKLATEELCKHYTKDFGIECRVGRFHNIYGPFGTWKGGREKAPAAFCRKALTSTGRFEMWGDGLQTRSFTFIDECVEGVLRLTKSDFREPVNIGSDEMVSMNEMAEIVLSFENKQLPIHHIPGPEGVRGRNSDNTLIKEKLGWAPTMRLKDGLRITYFWIKEQLEKEKAEGMDLSVYGSSKVVQTQAPVQLGSLRAADGKE >Sspon.04G0016320-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:66799173:66800053:1 gene:Sspon.04G0016320-2C transcript:Sspon.04G0016320-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding WWWWWWWEASRERVGGANKQAFQLTPSHLPLPPLLLPSPIPKSSPPIPILQSPFLSHTHPRARLIGWHAARRRRGGGGGGRPWGRYAAEIRDPAKKARVWLGTFDSAEDAARAYDAAARMLRGPKARTNFPLPAAAAAHHDLHMPAAAAAAAPYTTHPTATGVSTPPPVARPACSSLSSTVESFGGARPRPVLLPRPPPPPIPDGDCRSDCGSSASVVDDDCTDAAASASPSCPFPLPFDLNLPPGGGGCGAGVGFCADEEDELRLTALRL >Sspon.01G0021260-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:83092014:83096523:-1 gene:Sspon.01G0021260-2B transcript:Sspon.01G0021260-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPESTPPNGSAPATPGTPAPLFSSGPRVDSLSYERKSMPRCKCLPAVEGWGIATHTCVVEIPAPDVSLTRKLGAEFVGTFILIFFATAAPIVNQKYGGAISPFGNAACAGLAVTIIILSTGHISGAHLNPSLTIAFAALRHFPWLQVPAYVAVQVLGSICASFALKGVFHPFLSGGVTVPDVTISTAQAFFTEFIISFNLLFVVTAVATDTRAVGELAGIAVGAAVTLNILIAGPTTGGSMNPVRTLGPAVAAGNYRQLWIYLLAPTLGALAGAGVYTAVKLRDENGETPRTQRSFRR >Sspon.08G0018950-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8B:9711659:9712231:-1 gene:Sspon.08G0018950-1B transcript:Sspon.08G0018950-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRSSRVASRSRTVSSPQLWPHEVCASSGGIQLQHVQCPSHVSGGLLLRAIPSYGPRESSRTHPSATASPGPASHLVARASGWLVGFQIPRRRADPESLVPEPCVFKPSDSLRHPFLCRPRRAFGLSALVSCMVLAFSCGSCPVSAHACTAPDCLPARIQRGPDGATTGGPCGQRAGLACFAKWDANIR >Sspon.02G0042320-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:85088538:85092776:1 gene:Sspon.02G0042320-2P transcript:Sspon.02G0042320-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATHGGENGSGHTAEWRFARVAKEGEGALAVAGDKLSIRAARFKISASVDARDPRPVLPLAHGDPSVFPAFRTAAEAEDAVAAALRTGKFNCYPAGVGLPDARRALAEHLSSDLPYKLSTDDIFLTAGGTQAIEVVVSVLAQPGTNILLPRPGYPNYEARAGLHNLEVRRFDLIPERGWEIDIDSLETIADKNTTAMVIINPNNPCGSVAEVARKLGILVIADEVYGNLVFGDTPFVPMGVFGHVAPVLSIGSLSKRWIVPGWRLGWVAVCDPNKILQKTKIIASITNFLNVSTDPATFIQGALPHILENTKEDFFKRIIGLLAETSEICYREIKDIKCITCPHKPEGSMFVMVKLNLYLLEGIHDDIDFCCKLAKEESLILCPGSVLGMENWIRITFAIDSSSLLDGLERIKSFCQRHKKKNLLNGH >Sspon.02G0027230-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:107625535:107637971:-1 gene:Sspon.02G0027230-3D transcript:Sspon.02G0027230-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMMTPPPLEQQQEDDEMLVPHQELPVAGPEAAPQPMEVVAQTEPANTAESQPPEDPQMSRFTWTIESFSRLNTKKHYSDVFVVGGYKWRVLIFPKGNNVDHFSMYLDVADSGNLPYGWSRYAQFSLAVVNQIHPKYTIRKDTQHQFNARESDWGFTSFMPLSDLYDPSRGYLVNDTVVVEAEVAVRRMVDYWTYDSKKETGFVGLKNQGATCYMNSLLQTLYHTPYFRKAVYHMPTTENDMPSGSIPLALQSLFYKLQYSDNSVATKELTKSFGWDTYDSFMQHDVQELNRVLCEKLEDKMKGTVVEGTIEQLFEGHHINYIECINVDYKSSRKESFYDLQLDVKGCRDVYASFDKYVEVERLEGDNKYHAERYGLQDARKGVLFLDFPPVLQLQLKRFEYDYMRDTMVKINDRYEFPLQLDLDRDNGKYLSPDADRSIRNLYTLHSVLVHSGGVHGGHYYAFIRPTLSDQWYKFDDERVTKEDTKKALEEQYGGEEELPQINPGFNNTPFKFTKYSNAYMLVYIRESDKEKIMCNVDEKDIAEHLRIRLKKEQEEKEHKKKEKAEAHLYTIIKIARDEDLKEQIGKNIYFDLVDHEKVRSFRIQKQLPFTSFKEEVAKEFGIPVQFQRFWLWAKRQNHTYRPNRPLTPHEEAQSVGQLREVSNKAHNAELKLFLEVELGPELCPIRPPEKSKEDILLFFKLYNAEKEELRFVGRLFVKALGKPSEILTKLNEMAGFSPNEEIELYEEIKFEPNVMCEHIDKKLTFRSSQLEDGDIICFQKAPVPDGDTQVRYPDVPSFLEYVHNRQVVHFRSLDKPKEDDFSLELSKLHTYDDVVERVAHQLGLDDPSKIRLTSHNCYSQQPKPQPIRYRGVEHLLDMLVHYNQTSDILYYEVLDIPLPELQCLKTLKVAFHHATKDEVVVHSIRLPRNSTISDVITDLKTKIFPPHEKIENINDQYWTLRAEEIPEEEKNLGPHDRLIHVYHFMKDPNQNQQIQNFGDPFLMVIREGETAAEVMERIQRKLRVPDEEFSKWKLAFISMNRPEYLQDTDVRRDVYGAWEQYLGLEHTDTTSKRSYTANQNRHTYEKPVKIYN >Sspon.06G0004040-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:12218476:12222450:1 gene:Sspon.06G0004040-1A transcript:Sspon.06G0004040-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPAVRSVLPGGAGEWGSATAWTGEKRRKSTRPSPLGSSGDDADMMDIEEEQGRKGGGGKHPPEEHEGEDTGSVVYSVNDGFSIEDLAPYLNKVKSRKPPILSSFEHHPVLSEEEVEKLLVRFCNLRFREYCEIKELGDIKPPKQFEKFPHAVTDWDDPDHLFDWTIEYRHFELAELNDYQRILPAREEINEYCWWDDYSSTFSNYETDVDYVMYCDALSKKIKWIEEYLDLNSKEEYILSLKRKFKLREVDRICFELWKRVTKQKMCFIEALREIHASNMFKKHASRIEAEIQGVREDLQYHFNICKDKIPNHAVQDGEVLKNITFAIHNKLSGEKDFLDFTIKKLKIAQRLQLTPSPCFTQELKRVLDCKGEE >Sspon.07G0029710-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:77852565:77855540:1 gene:Sspon.07G0029710-1B transcript:Sspon.07G0029710-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDSDGGGRARAPPPHVQALRRARCAAPRPAPSGPSARLPLIFFLSSPLRSFVLGHGLTVALSPRSSLRTRTGHGVVQASMARILEDAIAYVGVLRATVAGLEARAAFARAARTAAPAGAGAAEVLVAGKVSCFAVCLPEVRRRGTLTRVLEVFRRHGVPVLAATVTSDGGEAAVTVTTAPVPPRFLQGIQEDIRSSISMARILEDAIAYVGVLRATVAGLEARAAFARAARTAARRRRRGRGARGREGVCFAVCLPEVRRRGALTRMLEVFRRHGVPVLAATVTSDGGEAAVTVTTAPVPPRFLQGFKKTSEAAS >Sspon.08G0005230-3C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8C:15861482:15864078:-1 gene:Sspon.08G0005230-3C transcript:Sspon.08G0005230-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Elongator complex protein 4 [Source:Projected from Arabidopsis thaliana (AT3G11220) UniProtKB/Swiss-Prot;Acc:Q9C778] MAAAGGRTLGRSSFSRATSNPVASSSGATGVKIGPNGAAFVSSGIPDLDRILGGGFLLGSVVMIMEDADAPHHLLLLRCFMSQGVVHKQPLLFSGAMKEPRLFLGTLPAPVSSSKEDGRHRSMGAAASSDGRANDEGLRIAWQYKKYFGDDFHAEHKDNKQEFSNDFDLRKPLERHLLNGQNIECVSIQDADTLSGLQDCCTAFLSKLPRKDGGSLTAGRIAIQSLCAPQCRYFEKDWDMVSFIRSLKAMVSSSNSVAVITFLSTVLSNSFYEDKDLAKLLTGYQDMVGFLHVHKVAQTNSQVPVILEASTLSLKLRKRRSLVLERLNQAPVDGSSGPSSAASSSCSSQGSQLDF >Sspon.02G0055870-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2D:13758262:13758510:1 gene:Sspon.02G0055870-1D transcript:Sspon.02G0055870-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RRLPNPEEEEGQGRAAQGQGGRHKLGEESQPKAVALRSSRHAAGHLNVTGLPLHFTSLFNSARKLAAPPFLHLRLQSPACGL >Sspon.01G0010530-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:31927365:31929474:1 gene:Sspon.01G0010530-4D transcript:Sspon.01G0010530-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVAVQLAALLALLLALWRLAWRPHAVARSFARQGVRGPPYTFLAGSLPEAKRLLMAGRRGVAPLDAACHDIMPVLLPQFHRWVADYGRTFLFWIGPIPALFSTDLQLIKQVLTDRTGLYQKDFMIPVLKFLFGNGVILINGDDWKRHRKVVLPAFNHETIKSMSAVTTEVTKQMMQQWREQIHGSGDKESAEIDMIHAFNDLTAKVNGRVAFGTSHRDVEEVIVLMREMQKLATAAMLDAPILWYLPTRRNLHVRRLNKQLRSKIMSIMQARLAADGAKKCDGRGGAGDLLGLLLEAWTPQQQQHGNNGETLTTDEVIDECKTFFAAGQETTATLLVWAMFLLAVHPEWQHKVREEVVREFGTGDGDGEVPHADVLAKLKLLYMVLLETSRLYPPIVYIQRRAASDAVLGDIKVPQGTVISIPIAMLHRDKQVWGHDADEFNPMRFEHGLTKAAKDPKALLAFSLGPRVCTGQSFGIVEVQVVMAMILRRFSFSLSPEYVHKPKYLLSLTPKLGMPLIVRNVDG >Sspon.05G0025920-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:28893347:28900519:1 gene:Sspon.05G0025920-1B transcript:Sspon.05G0025920-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLHVRLSLYGPQSIGVKIENLSKRCYTTLKVKPSYYMEESQIAIKDATQRNMVGTGTEDGTESPPIRATIKDVIKGNNTAIDGIWPATIDVNSSHRDGTIYKKRLYWKSEYNIDITDRDETRVEPMRYAAATNCHPDPDSCYCHVQYEMMQIFSLKLAKASVKSGLIQLYGYIAARDYLDSKLNYVFNRSRDDPITVQQGSLIEMTGPKRGIVLNCDVLFEFDMRMKNGEQEENDLQLIDGMTEFYEMSMPGKPFTIRINGDAGAVDMSLTNVYGVEATVEIFVSEVENGFDLSICCVVSVLEEHKEFQLFGAPIGESCGLRSQDIKLEVASLSVKVTWSKLME >Sspon.05G0025090-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:17941052:17946510:-1 gene:Sspon.05G0025090-2C transcript:Sspon.05G0025090-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRVPNSARGKRSTRRKKDENDMCAFDLLATVAGNLLADQDNSSNVPNTNAAKAKKRKSVKEEHSDKILPLKDVAMEKDVGSGSVSTCPRQANNCLAENSSTRNEAESILESLTMKSNMLAKIPECGSYGIHHPGPSSSVEPEQVQQAEPKVIRRQADGHAVACGIFDSVDVDGKPPALVSSDSSSCVPLSSHDKEHQTSSLYRGEVQYTADRDDDENSSGCTHPSTIENKGCGENKGCKPQYLGNHRIRKLLASKVRKAARNKICGGIPSKKICGGLSNKGSKLNLCGKKTSTTRQKVQRTIFKKKKLAHHTTSFAKEMLTEVKLRIKSFNIPELFIKVPENATIGSLKVAGVGNCTPEQSGREARAAGWVVRAQCKRALEKGNAGIDVADAAEVAIGAAYGNRTNLSISTIYNSIYTLVGQEIQRRAATRASRKAQAQTSHIRLSNSSARTVMDVVNSIMEGGLRVGVLLQGKDIQDDNKTLCQAGICHDKKLNNIDFTLQCKHDNQQSKAPYRSRSLSDLYSVHCPVEMASQDTSASSQAIIPVSPAPSDIGALAIVPLCKSKRSEIGQRRIRRPFTVGEVEALVGAVEQLGTGRSHVGSCVPPDVVLFRWRAVKTLAFDNIEHRTYVDLKDKWKTLVHTASISPQQRRGQPVPQELLDRVLAAQAYWSQHLQDKPRGKARLLPEICFP >Sspon.05G0019940-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:65860561:65861510:1 gene:Sspon.05G0019940-2B transcript:Sspon.05G0019940-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKEASVDDVMKVLMASRKFEMQELWATCSHLVARSGLSADLLAKHLPIDVVAKIEEIRAKSPTPRSPFLTHHYLPINAASSAADRDHKIRRMRRALDAADIELVKLMVMGEGLDLDDALAVHYAVQHCGRDVVKALLELGAADVNSRAGPTGKTALHLAAEMVSPDMVSVLLDHHADPSARTLDGVTPLDVLRGLTSEFLFKGAVPGLTHIEPNKLRLCLELVQSAVMVTTRDEAGGGGGDAGGSDGGGNFPRSDADDSLVSLTMNSTLMYQGQEMAAAAVAAGEARKGNGGRGSPSNLYFPNGGFP >Sspon.01G0027440-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:90701999:90703626:-1 gene:Sspon.01G0027440-4D transcript:Sspon.01G0027440-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding EMASALSSLRYGDSLSVVGISAATAVLCEAISWLLIYRTATYNSLRASIERHSRKLDAMKSGSSSSASSGAAAASAQPASSRAKKMDRVETSLKDAARELSLAKLKSGAVVAAVLFVVFGLLNSLFEGRTVAKLPFAPIPLVQRMSHRGLPGNDPTDCSMVFLYFLCSMSIRTNLQKLLGFAPPRAAAAAGGGLFPMPDPRNHIYSSANFHPRIWSH >Sspon.01G0044740-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1B:85010924:85011449:-1 gene:Sspon.01G0044740-1B transcript:Sspon.01G0044740-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LVESLLRNATAIPGAQAAMEQSEELVSELTCLVCPADDEKRGGGLDRKAMAAGLMCLAAITGTWRARAEMVRLGAMPAAVRVLEANAGSPSQAFCMLEAAVGCTEGATVGSTEGRAAVCESARMGGAKVAVSPTLPVRARQHGRHGLYAPIPLSNLRCIQSLSPIPHDHSSLGS >Sspon.07G0020940-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:84030533:84037188:-1 gene:Sspon.07G0020940-3D transcript:Sspon.07G0020940-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPLLFYDLSLLPSSFSSSGDGGDGNSNSSSSSRLQLLAATARALELGYAAVALDHPHRGLLADSHRCRTEPFAPLSSLPLPSSAALHRRRLASPASEPFRQYTRITLSLDSAAATASALAPSASRLLRTYDLVAARPLTQAAFDHLCQAPLSAQHLDLISIDFSSHAALPHQAPMLKLALQKGLHFEIAYSPLISTDVSAKRNLIAEVKLLVDWTKGKNLIISSAAHTASQIRGPYDVINLSAYLLGIPIDRAKAAMSTNCRSLVLKAIRKKHFYKETIRVARLLPNEELTSTKFKLADWIGWNSVSSEVGANQLEPSSNLDELPGSPICGVIEGSHEKPHNPDVSVFAKLSEQPSDQDQIPSETQEETLQVDRTEVLTDCGQSILPASFNYQNAILEKAENNEVFVNPFVQPGTGCLADPKINAKHVEFVQDAMEVDTIESCRPKLVIGDNIPSTSDTSTKLACSALPHGIELSGTSLEDQGPSHSSEMLANDKSYMKYHTDCTSGVSEVHEISSGADVWPEDKDSDRSNGMQANNVACRGTSEPLECPLCGVDDKAPSNLSFHSSHELCKDVIIQPKVMEGKVEQTRDENIVKTVENEAESVDTKTRTSISVEPVSHGQEISSTIHTRSTGASCESDELKEQNSKDINASLGKSIAKTHELLLKFPYPSGNAGMSTVRSEKQRHKLRPHHPAYLPFLGFLRSISFKKKICKTVLEGKISADKVHFGGVHVLCPQSIEILIVRTYTCIVVLVLAALIQFLGA >Sspon.03G0038770-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3C:7234351:7235571:-1 gene:Sspon.03G0038770-1C transcript:Sspon.03G0038770-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFRAVCRDWRSATNGDPEKAKAGNGIDPAARFIPTKWALLDRRDDLITLVNVNTGRFLCKRLPLLRRFFFVGATSSGLILLGESAKPHRACVLNPFTGSIAHFKARIPWAGVKAVAMTTKQPLTVFISTEIGEIIWADQSMKRFKRILIAYPYEPTCITSFSDNLYATDRYGSIYLSTVRADAVTAAIAGEPRRRSAVDITMDAISPILDFAADMGDRAMMSGRYYLVESAGDLLLVTKPLGFTIDQPVVRRVNTETQTTEPVSSIGSRALFVSHVRCLSINADKFPSIQGGCVYFLPSRTKAYYGAVDKTIVHVADNVVVEFGGDWGSLDGLFRPFTLAQVITDYCMSVHYNKLYEMMMLGEWGWSISYDDSEYDESSSSELDDEESSRSKHDDEASSSKPADD >Sspon.08G0018480-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:6375547:6379950:1 gene:Sspon.08G0018480-1B transcript:Sspon.08G0018480-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVGGGSPEEEEHTAGSGGAPPPPVVCVTGSTGYVGSWLVRTLLRRGYRVHATARDTGKAWQVLGAVEGRERDRLRVFRADMGEEGSFDAAVTGCVALFHVAASMELHVSPAHHDNLEEHVRSNVLEPATRGTINVLWSCVRAGTVRRVVFTSSVSTLTAADPEGRRKAVLDESCLRPLDDVWRTKPVGWVRACTSRFTQHTTALSELHSSDLWQIYILSKRLTEEAAFRFARENGVHLVSLVLPTVAGPFLTPSVPTSIQLLLSPITGDPKLYAVLASVHARFGCVPLAHVQDACE >Sspon.02G0009400-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2B:24961868:24967351:-1 gene:Sspon.02G0009400-2B transcript:Sspon.02G0009400-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPYRRVSRTIERDELTTTTRHLDPGEALRLPAPLTVNHPGREYRGRKELQRNVPSRRAELSPSVQLHSDSTAGHGQAPGRLEPLPICVLRQMRQAPAPTALGATGRSATPHDDGADASPREDRAPDGSQKAPTHTVVFFPPVLGGTKPRPGRGLVLDEQWAVTAKFTAGTSVDMIGTCGGLLCLLDVRSCAVRISNPATGESLALPPPPPSPCTSTRRDPRAYCLGFDATTKLYKIVHVPHDYKTASSVSTEKKGVVYVHRVGDKYWRPVRAPGAVACCLAAGGAVYSNGAVYWLTHYEVARARLVRFHLSQEEATLVEPPPFDVHRRPLYCRLLDADAAPCVVTSVRHNPTSGEPFAASNDDINMWRLGDDGRWSLSRRVQLRGKPRHVPGPHAVRHGDLLLQGEDGALYAHRIKERGVSSIERAGEKMLVEGRGAVKPLSDAASPCGRGEDRARVKQEVVAAILGIVELPLYRERLKKGHGETVDRNLEKARADCPVSGGGRYILRTFGYVEPVSTAPLANKSLGLGASGWTRGGCGSRKEGATGAPCNT >Sspon.01G0018840-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:71945953:71947876:1 gene:Sspon.01G0018840-2B transcript:Sspon.01G0018840-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRPSTFLPRLAAAAARPLSVSARPRLPPPSPSPAAAAPAFSPHAFHTHLASVARHLPSFLAALSRARAARLPLLPVTRALAASALLRHGRLPDALAHFSLLPDSDPTAPLPAPLCNSLLAALASSTGSLVHAGKVLDRMLAGAVELDTVGFGVFVKAAGRKDNGLAEVLRMVDVVGCQGRQVNRSVVVAMVVDGMCREGRIEDAWRALEEMRLRGWKPDFVAYRIVAEAFRVAGRVEEEGRILKQKRKLGVAPRKDEYRECLLVLVSNRLVTEAKEMAEAVVLGDFPIDDDVLNILVGSVSEIDAEGAAMFCKFMMGKGRLPSTEMLGHLCESLCKSGKGDEMWEIFRMLLDKGYCRNARDYHLAVSFLGKAGKVREAYDVLKEVKKKRLEPDISSYNSLMEALCRNDLLRPAKKLWDEMFTSGCTPNLQTYNILITKFTEMGESEEVQQLFDHMFQKGVAPDGATYMSFINMLCQENKYERALEIFKKSWMQDVGVASSVLSSFILALCEQGNFKAALNVMCNVPSNVENLNSHIILLKYLTDVGEVEMAIEHLKWIRSNCSSNFENVMNELMASLSTSASLQHVTKLIQYLHSQRLVDDADPWMRLMGN >Sspon.01G0002770-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:6352799:6354676:-1 gene:Sspon.01G0002770-2C transcript:Sspon.01G0002770-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VSMNTKMRSNAFAAYGALSAYGVGLQRTAFIEQAFDTPWPVIRANAVFATLVGRMSQSPDAIVRAAASSALGILIKRSNMLRSLVSRYDRADSSRNSQSGDSNTKTPSEFQEQIEGSPNDAQVEQ >Sspon.06G0009050-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:37659173:37661460:1 gene:Sspon.06G0009050-2D transcript:Sspon.06G0009050-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVVVVGAHGGVVVVLMVVGWCGALGGVVCGGGGHGVVVVLVVNNVVVDPRMTALYVQSLRLVGIDDPKEEIINLLTKQQSVDNYVNRKGGCDMLQFSVGLCLHDETS >Sspon.06G0030650-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:51045469:51047587:1 gene:Sspon.06G0030650-1C transcript:Sspon.06G0030650-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MELVDRLQRLGVDYHYKKEINDLLCSVYNDKDGGSDNLYITSMRFYLLRKHGYGVSSGMSKGTFQLLADVVRRCASDNSWGGDTLQHHHFQQEPPPISTAGKFGARAMRGSPVHFGDTTFRRVKRVEARRYISVYEKKATRDATILEFAKLDYNILQAIYCDELKELTVWWKAFQSQTDLSFARDRMVELHFWMLGVVYEPYYSYSRIMMTKFIVFASLLDDLYDNYSTTMRSTTFTAAMQRMNKIHASPKFTFARKTNARWDEQTTQQLPAYLKALFINILNTTNKIVEELKLTKNKHADLIKRLFVPWDISKPSVRCSSASLDDVGETEHGKISTGNGGLYSVAKKAIVPRLPAIK >Sspon.03G0019490-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:82823469:82824864:-1 gene:Sspon.03G0019490-2B transcript:Sspon.03G0019490-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQRKGAMAAAVTSSKHHEDQEMMMELRRGPWTLEEDNLLMNYIACHGEGRWNLLARCSGLKRTGKSCRLRWLNYLKPDIKRGNLTPEEQLLILELHSKWGNRWSRIAQHLPGRTDNEIKNYWRTRVQKQARQLRVDANSAVFRDAVRCYWMPRLLEKMAATSSAHHHHHGELPPLHVAGMASSSSPIHGSHEQDPTSNAAAGNGSHGGYYQRYPVDPSPSTSTTSGSTSAAALPPVPCFSELSWVDQYGYGPYSYTTDLDAAGVSFDDSAALESLGLDGLDLGPADSDVYSDTTLLDYLNSTCAGGTMMTMMGGGGGGGGHNSCCGAMGGGGGDADYGCPSSSWRTDELVVQASSARKLGL >Sspon.04G0003230-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:10648506:10652638:1 gene:Sspon.04G0003230-2C transcript:Sspon.04G0003230-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGRARFSLHVAAAALVVVLLQLHGGAASEPPYTCGAGAPPNIPFCDAGLPIDRRVDDLVSRMTVAEKISQLGDQSPAIPRLGVPAYKWWSEALHGVANAGRGIHLDGPLRAATSFPQVILTAASFNPHLWYRIGQVIGVEARAVYNNGQAEGLTFWAPNINVFRDPRWGRGQETPGEDPTMTGKYAAVFVRGVQGYDLAGPVNSTDLEASACCKHFTAYDLENWHGITRYVYDAKVTAQDLEDTYNPPFKSCVEDGHASGIMCSYNRVNGVPTCADYNLLSKTARQGWGFYGYITSDCDAVSIIHDAQGYAKTAEDAVADVLKAGMDVNCGGYVQEHGASALQQGKITEQDIDRALHNLFAVRMRLGLFNGDPRRNRYGNIGPDQVCTHEHQDLALEAAQDGIVLLKNDGGALPLSKSGVASLAVIGFNANDATRLLGNYFGPPCVTVTPLQVLQGYVKDTSFVAACNSAACNVTTIPEAVQAASSADYVVLFMGLDQDQEREEVDRLDLTLPGQQQTLIESVANAAKKPVVLVLLCGGPVDVSFAKTNPKIGAILWAGYPGEAGGIAIAQVLFGEHNPGGRLPVTWYPQDFTRVPMTDMRMRADPPTGYPGRTYRFYRGPTVFNFGYGLSYSKYSHRFVTKPPPSMSNVAGLKALATTAGGMATYDVEAIGTETCERLKFPAVVRVQNHGPMDGKHPVLVFLRWPNATDGSGRPARQLIGFHSLHLRAMQTAHVEFEVSPCKHFSRATEDGRKVIDQGSHFVMVGEDEFEMSFMA >Sspon.03G0026310-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:9829842:9831865:-1 gene:Sspon.03G0026310-2C transcript:Sspon.03G0026310-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LLTCGSHKSSGSSGPAQSRRPKAPNSPEGPTPNRSHRIRCGSDDEMGSMEASTAPENGSAAGGGAGGTACNGADAASNGGGMERRLRSSAASASWAAHLPLEVGTRVMCRWRDQKLHPVKVIERRKGSASSSPTDYEYYVHYTEFNRRLDEWVKLEQLDLDTVETDVDEKVEDKATSLKMTRHQKRKIDETHVEQGHEELDAASLREHEEFTKVKNIAKIELGRYEIDTWYFSPFPPEYNDCPKLFFCEFCLNFMKRKEQLQRHMKKCDLKHPPGDEIYRSGTLSMFEVSFIS >Sspon.08G0001960-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:5814830:5817783:-1 gene:Sspon.08G0001960-1A transcript:Sspon.08G0001960-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLPLAKARWGFSPQPEPQPARSSCRSQLEQDVSHRSPLPPPMPTLTHALTWNARAPAQVKRLRKALQEETALHAVLEGALGRAAVTLADMAYLPTNVRTSARTPRRGWFGLVSPASPAQELLSNICILETAVTKLEEEMVSLHFQLIQERNERRLVEYRLKHLPPPPSACSCHSGKLGPDDTIGEKCSSQGVELYPRAVLHEQAVKLQRQISVKGFAHPNQLSEDIVRCMRNIFISLSDSCRDSSRNSSMENQQSIPSPTGNYSISAFWSLSEPSSISSWVQSPQVDLNYNNNLLASETVFDPYKAREKLSWADIGSYSAAAEVSWMSVGKKQLEYAAESLRKFRLFIEQLAEINPIHLNDDARLAFWINLYNALMMHAYLAYGVPRSDMKLFSLMQKAAYTIGGHSFSAAFIEYVILKMKPPSHRPQMALLLALQKIKVPEEQKKICIAAPEPLLTFALSCGMYSSPGVKIYTANNVREELQDAQRDFIRASVGVSRKGKLLVPKILHCFARGFVDDNSFPIWISHFLPQQQATFVDHCVSQRRQSLLGTRTFGIIPFDSRFRYLFLPDTGSLN >Sspon.08G0010830-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:44930848:44934039:1 gene:Sspon.08G0010830-3C transcript:Sspon.08G0010830-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAASASGGDIPKQLLSIIRDFAYEKSHGERRVSDLRRRLADARAAADAAAAELDAAKRAREAAEQDLRGSQVQAAIAADSILALEATISHLQEEISKSGTDLDALKEFISKMYEMNAKIRDESKNVDSEGSLKDLADKLSNIEAEMQLLEEEYKKDLLDHDKVRQELADVQAKRALMEAVMGETKQLQELSEYPEIYLSLTWNTRTAELEKVHASLAEELQRRYTCPGCGVNNMPELEEAAN >Sspon.07G0001940-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:4687940:4689382:1 gene:Sspon.07G0001940-1A transcript:Sspon.07G0001940-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNYMSCTLAKAPGHGGGKCARVILPDGGVRQVPLPATAAELMMDAPGHFLVDARGAGLGARLAALPADEELQLGAVYATFQMKRVGTPLVAADVARLAAAATREARRSSAKVANAVVVAPPVAEVVAVPEDAPRLRLEDLVDDAAAAAEICELKHRASNARSRRPTLETIEEENYMKSSITTVAGEGKAMRPPLLKKCSQAE >Sspon.04G0017560-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:63569726:63574857:-1 gene:Sspon.04G0017560-1A transcript:Sspon.04G0017560-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VFGHKKVWVLDGGLPQWRASGFNVENASPDDAVLKSNAANKAVERFYNGEQVAHNVTAKTYQQIDARAKGSCLWFLLVLKKITHIQIHRFDGVAPEPRKGMFDGAPSLLSADELRQKFQQAGLHCVATHFNNPKQGLYRIGKHDVPVYDGSWTEWEAQSDSDYPKACAHAPRTLETATGSTRIPLLLIRANSLRASSTAPLCASPPIIAVNDTSFGLAIPSNSLTASATLPHFVYMPRSPFSTNWFPSSPTLTASPWQRLPSSSAATAPHALSTLASVKFVGARPSCSIPWYISSASPGEPDRATAAMTVPGGGEVPRAAGSRDGSVVGVDVGAGQRAEGAERVAWQARKGVELDEAVEEEGVGGEAGGDDARVRGPGVGAAGLGAAATLDAGLEHGSELGR >Sspon.03G0031990-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:31386055:31386972:1 gene:Sspon.03G0031990-3D transcript:Sspon.03G0031990-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDTGQAGPAENLPEPLSPAVEAEVVEDEADGDDEFSFPVPPVAADACIVPVYPIFGRPPSPPPRGQEVVEEEEEPWTATVRVPLGRLLLEEREFRAREQDGRSASARRAWQEEDDASGGGGAGDEDLEGVPPESYCLWAPGGGGQSSAPASPRRCRKSGSTGSVLRWRRISDRLVGRSHSDGKEKFVFLTAAAAVPEPPRPSIKEGEEGGGGINKGGDAGSVAHQLRYYGRGGVGGSGSRRRSYLPYKQELVGLFANLRRK >Sspon.06G0029130-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6C:15773926:15774274:-1 gene:Sspon.06G0029130-1C transcript:Sspon.06G0029130-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRTSPCWTHRRYGLPQVAIRSQLSCHVTLGFSRHVVTVSVITLSYDIETGRSWMRWKGDDDAIVLDLVPAPEGPWIILCDHGKVLRHLFGPTWPCIVSGLKPKLWAPNPWPSSTL >Sspon.07G0011580-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:41173663:41175039:1 gene:Sspon.07G0011580-1A transcript:Sspon.07G0011580-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHSPSGSHAAPPSIGDEEAFTYAAAEDGGDSKLFALLIDVSQQVQGGLQSMLKLSSEIARCDGEIDAEMERARDAVAEKGRALHDERERVQKAALAALDILSGGRGAI >Sspon.01G0008610-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:24498437:24502608:1 gene:Sspon.01G0008610-2P transcript:Sspon.01G0008610-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMGLPGLRCCRLPTRGLLPPLGPALPRRPLPRTSALRYSSLQAQAGDSIGEEVLRMFLEERQLHGDFVTKISDMVWRRNGANVDAAEATTGQGSAVDVAQPEDVREDAVDEGMLRLVATRDWVSGDSSPPLSKRLSAKDRQNESDKRKELNLLKYEALKDELLLLTTGIGAACSLYCLLVFSLETAISYAFGVGFSCLYLQLLYRHADNLSKEDIPEVFLRKKVKKIGITSEDLKNTIEKTLGGAGVALSSPRLVIPAVIFGLSALSDHFQNSFFSFEVLPGMMGFLAYKAAALVQVYRDNEDLRLILPEEEDADSDST >Sspon.05G0007100-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:16446951:16450739:-1 gene:Sspon.05G0007100-2B transcript:Sspon.05G0007100-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADEAVSAACSCLEPLSGCLLEAARREVLAFLRIKSNWGDLEKARDSLRAVETTVRAAVMAEEDKLNVCDPQVEVWLKRVDELRQDTIDEDYSSLLGFSCLCQCTVHARRRASIGKRVVDALDEVNKLTEEGRQFRTFGFKPPPRAVSWLPQTETVGLEPMLTRLHDLLEKGESSIIGVWGQGGIGKTTLLHAFNNDLEKEDHNYQVVIFIEVSNSETLNTVEMQQTISDRLNLPWNESETVEKRAKFLVKALGRKRFLLLLDDVRKRFRLEDVGIPTPDTKSQSKLILTSRFQEVCFQMGAQRSRIEMKVLDDAAAWNLFLSKLSNEAFEAVESPNFNKVVRDQARKIFFSCGGLPLALNVIGTAVAGLEGPREWISAANDINKLNNEDVDEMFYRLKYSYDRLKPTQQQCFLYCTLFPEYGSISKEPLVDYWLAEGLLLNDRQKGDQIIQSLISASLLQTSSSLSSKVKMHHVIRHMGIWLVNKTCQKFLVQAGMALDSAPPAEEWKEATRISIMSNDIKELPFSPECESLTTLLIQNNPNLNKLSSGFFKFMPSLKVLDLSHTAITSLPECETLVALQHLNLSHTRIRILPERLWLLKELRHLDLSVTAELEDTLNNCSKLLKLRVLNLFRSHYGISDVNDLNLDSLKALMFLGITIYAEDVLKKLNKTSPLAKSTFRLNLKYCRKMHSLKISNLNHLVHLEELYVESCYNLSTLDADAELTTSGLELLTLSVLPMLENVIVAPTPHHLRHIRKLAISSCPKLKNITWVLKLEMLERLVITNCDGLLKIVEEDSGDEAETMLEDKCIDDGQSACNSGDNTHAEFLNLRSIVLTDVKMLRSICKPRNFPSLETIRVEDCPNLRSIPLSSTYNCGKLKQVCGSVDWWEKLEWEDKEGKESKFFIPI >Sspon.07G0024280-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:21458632:21459919:1 gene:Sspon.07G0024280-2D transcript:Sspon.07G0024280-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding PREVSVASSSGRRFPSDTTAIAGWLPSPAGAGRGDDLSLGFNAGAVSASSGAGASLAAGLWGPAASRHQAAAAAAAAAALGYGAIGATGDVGMLVVAPAGSFHHHHPQRAAAADDPVLPLITAAQRTLVDADAAAAAAASGNGNGKPPVSSAGAIQFWHQELAAADGSPGKKALAMLDHARGGSGSGAATCQDCGNQAKKGCAHSRCRTCCNSRGFECDTHVRSTWVPAARRRERLQLPGGAGAGASPPPPTPAATKKPRLACPNPTTTTTTNSRASTSNANTPRSFDTSSSHQDASFKDSLPRQVRGPAVFRCVRVTSVDDGGGNGEVAYQGAVTINGHLFRGLLYDHGAEADDRAASAAVMMPTASDLNLSSAAAAAGPNLYSDASAPLILGGLG >Sspon.05G0009840-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:19522303:19525100:-1 gene:Sspon.05G0009840-3C transcript:Sspon.05G0009840-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMPATMSGLSRRLLLCLFLIACLAALVLSIAGSARKTKETDNGDAKFRVLRGLDTLGRKQKQRHGHGISPAPAPARAHLPLLHKDARLPVPGEVAHNHNRGGNANATAPRQRQRQSSAHERDGGGERGSKKKSTPLVVVAAAAALSGAALVLLAVLVVFLTCRKFQGRRGGGGDQSGTNKVSFEPGPGMFYLDAIKPYLDDAGRDSRGKAAPEMAGPKDQEPKREDEECGGACSDDDGAESVHSSCCFQSSHFSYSELAKGGQADGVSPSPSVRSKRRGSAPTTPSDKTKAASPYSPLGPRTPSSDERGRRAHSPSSTSVSVLTEQSFNDHELQGTAHSVRSLKFQSGGACHAKEAGAEADAASRKTVPPPPPPPPPPPVMVKQQQNVQISCGGPAVPPPPPPPPPPPLIPQRQNAQRSHGPILPPPQAPPGLFRQTAPVGKNGAPLPKLKPLHWDKVRAAPNRRMVWDRIRSSSFELDEQMIESLFGYNAAARCSVKLEEGQSRSPSLGHHVLDPKRLQNITILMKAVNATADQIYAALLQGNGLSVQQLEALIKMAPTKEEVEKLESYDGDVGGLVAAERLLKVALTIPCAFARVEAMLYRETFADEVSHIRKSFAMLEVANPDSWAPSDDQELMSSKLFLKLLEAVLKTGNRMNVGTARGGAMAFKLDTLLKLADVKGTDGKTTLLHFVVQEMVRSQKPPPTRAAEGPDIVAGLAAELTNVRKTATVDLDVLTTSVSSLSHGLSRIKALMGTDQLAAGDEKGQRFVAFMAPFVSQAEEVIRELEDGERRVLAHVRDITEYYHGDVGKEEASPLRIFVIVRDFLAMLERVSKE >Sspon.01G0037450-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:21563722:21566571:1 gene:Sspon.01G0037450-1B transcript:Sspon.01G0037450-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRKTRVSATLRAKGAIARIGRELLYRPIRFILSIIHGRRAMAPLCGFRPPRPLWKGGRQPRGASAIQKPAHLRHSLSRTAAISSDVDVAPGMTQREGQLGDFCGGRQMEERRLDTPAMRRITPVGIEVSGINGSRVTHIDLTGMPGGATAFELVTKFCYGESFEITEDNHGRNLAARTEAYLEAVALTSLAGAVTVLGRSEDLLPVSEEVDLVGRCVDAIAYITCSDSQFNMSMGNTNGNGMAMSSSKAVDDWLADELTSLRIDTFQRVLVAMKARGFKGIALATLIMLYAQKSLRRLDTHGREKKKMDPKQEHEKRVVLETIVTLLPKEKNSMSVSFLSMLLRAALYLDTTLACRLDLEKRMAAQLGQAVLDDLLIPSSSPEAGTTFDVDAVQRILSEAARLDYNTDDDFISTASPPNDVGMVGKLMESYLAEIASDVNLPIDKFTDLAEMIPERARFNEDGMYRAIDIYLKAHPHLSEAERKKKLSREACAHAAQNDRLPVQTVVQVLYHEQRRLREAPKHAPPPSGVSSFYGGESLAPLLGRHARSVPDEVSRLQRENEELKMELLRLKMHMRDPSTALPQPSGGAPPSGRRPPPKKAAGGGGEARRLNPFLRLDAVGTEPPKDRRRRRSIEW >Sspon.04G0027080-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4B:55697556:55698854:-1 gene:Sspon.04G0027080-1B transcript:Sspon.04G0027080-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRFSPTWCEWISKVMSRGSVAVKSQAHQGQECNEFMAYALTHKGKATAPDITYNPADGPEAYTNASVHSKLSEYTSAARQRHGEDIYPATEPLDTDLLMRLEGGKQHGRYWMADSTVDFASIPSLVDIRPRSTDSSLPIRPRQPSSQQQMVELQAAQAAQAEAHRPEMAVVQAQRAAKEQRMRDMFSFMASLQALPATVGGFKLESARWPFSSVRALSSTARVTRMAVGTVPWSLFMFFGLVLDLDLC >Sspon.02G0039190-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2B:49198010:49199666:1 gene:Sspon.02G0039190-1B transcript:Sspon.02G0039190-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALYWCFCFWVCLYIPRNFRLLEELERGEKGIGDGTVSYGMDDADDIYMRSWTGTIIGPHNTVHEGRIYQLKLFCDKDYPEKPPSVRFHSRINLTCVNHETGVVDPKKFSVLGNWQREYTMEYILTHLKKEMASPQNRKLVQPPEGTFF >Sspon.06G0001220-3C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6C:1739477:1740541:-1 gene:Sspon.06G0001220-3C transcript:Sspon.06G0001220-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding YDVRTDTEVSIYKQSRPDFIAGPTSSGTPLNPSPSLSCASPLSSSCPSRRPPPLCASSCRPPRYSPPFAAVESSQVRQREPLSAPGAAVPRSKSSVQFSSRIRNDPPPAVVNPRVFLDITIGGIQQDRIEIDLYRNEVPLVAENFRILCTKDKPVSFKDSIFPYIIPDLVCQGGNLKESNGSRFGSIYGDKFPDVEMSGRRHRPFDLITAHAVPKIPRKHTGPYPYTCGSQFFICFKTAPILDDGHVVFGTVATGRNTVLNMSDVGSPSGIPTQVVKVVDCGQIW >Sspon.02G0027830-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:101234047:101236932:-1 gene:Sspon.02G0027830-1A transcript:Sspon.02G0027830-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein STABILIZED1 [Source:Projected from Arabidopsis thaliana (AT4G03430) UniProtKB/Swiss-Prot;Acc:Q9ZT71] MSGTTPTPTPTPTPTPLPPPPAARPARYDFLNSKPPPNYEIEKYRASNPKITEQFADLKRKLADLSAQEWESIPEIGDYSLRNKKKRFESFVPVPDTLLEKARQEQEHVTALDPKSRAAGGTETPWAQTPVTDLTAVGEGRGTVLSLKLDRLSDSVSGLTVVDPKGYLTDLKSMKITSDAEISDIKKARLLLKSVTQTNPKHPPGWIAAARLEEIAGKLQVARQLIQRGCEECPKNEDVWLEACRLASPDEAKAVIARGVMSIPNSLWLALARLETYDQARKVLNKAREKLPKEPAIWITAAKLEEANGNAQSVNKVIERGIRSLQREGMDIDREAWLKEAEAAERAGSVLTCQAIVKITIGIGVDDEDRKRTWVADAEECKKRGSIETARAIYAHALTVFLTKKSIWLKAAQLEKSHGTRESLDALLKKAVNYNPRAEVLWLMAAKEKWLAGDVPAARAILQEAYAAIPNSEEIWLAAFKLEFENNEPERARMLLAKARERGGTERVWMKSAIVERELGNVGEERRLLEEGLKLFPAFFKLWLMLGQMEDRLGNGAKAKEVFENGLKHCPSCIPLWLSLASLEEKISGLSKSRAVLTMARKKNPATPELWLAAIRAELRNGNKKEADALLAKALQECPTSGILWAAAIEMAPRPQRKGKSTDAIKRSDHDPHVIATVAKLFWLDRKVDKARSWLNRAVTLAPDIGDFWALYYKFELQHGTVDTQKDVLKRCVAAEPKHGENWQAISKAVENSHLPVEALLKKAVVVVAVEENANPAGA >Sspon.06G0013640-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:70882985:70904288:1 gene:Sspon.06G0013640-1A transcript:Sspon.06G0013640-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding YKGNICGSRHGNPDLRELDVRYWVNPNQVYQSGLKTSHIELPDAKAICLMECPLPAEDGLNFVCDYPEGDIRLSVDDWIDRDYDYFEYLTPDMRNSSLQLQGPCYPVIFPSVNVYWSCQFIARASNASLKHWKDMGGVSIDENMLIDKTIHDAINSKSAVMKRYVADIGKSWPVLILCGGLLPLFLSVIWLLMIRFFVAGMSWITVVVFNALVISVTMFFYIKAGWIGHDPLTVVIGESDPYVSIGGREINHLHAASVLMTVIMILAFLTSIAIARRIMIATSVLKVAAKVIGEVQALIIFPVVPYFILAIFYMFWFSATLHLFSAGQVIRNDCNTDCCSYDLKLGKVNCDSCCGYSIHYTPHIGIAILFHFFGCYWATQFFIGCSSTIIAGSVASYYWARGEISHDIPFHTVVSSLKRLLRYSLGSVALGSLIVSIVEWVRFILESLRRRLKFVDSARESRFGKTVSSSSQCCLGCIDWTLKSVNRNAYVMIAITGKGFCKASVLATELIMNNILRIGKVNVIGDVILLLGKLCVSLLCALFAFLMLDKHKYRSGHNKISSPLVPVLLSWALGYIVAKLFFAVVEMSIDTMVLSFCQDSKSIRGAHSIQVQMGRALQEWEHLAAHFLWAAMVHFFAHTSRFRWQSLLVTHLAGGDGFFVDVPPPLGFSFSFSLFPLSSLLSFFSALPPPAAGEGDDDGEDDPLLVVTTSSFLRAGAATAATVFALISASAEAETPTAARRMTTRSSSEASASRLLDEACNKDVPVVLIDMHSCSLDKQIRMTLEAQVVEKTVEVAKAADRKKSSAKGQGGGGNNKDAKRKRSPTAFFLFMNDFRKEFKAANPDNKSVATEKKPYIEKAAELKAQAENGEGSGENNVAKKKAKADDKDGDQEVDQPAKKRIRHKALDEDEDDAGDQEDEDEQNELDDDLDDDITLQSVAIHSLNEFRSIASYRPGADADASSTHNVHAAPHSQPPRGFTDWSPSNSAFAAVAAQPAPATTNTPLHYNLSQPYTLWTHYMLNKNVSCSTYPTQHEENPNPLRHTHIPEENPHPLRHTHIPDKDSGCASSLGFDSFTTMSLGPNICAHMTPMEGSISAKEPENSEDLPAVVRSSDEMDTRNSGEIHRDTVGPLPESKQSHESCASKFNSGEYQVILRKELTKSDVANSGRIVLPKKDAEAGLPPLVQGDPLILQMDDMVLPIIWKFKYRFWPNNKSRMYILEAAGEFVKTHGLQAGDALIIYKNSEPGKFIIRGEKSIQQTNP >Sspon.04G0008620-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:25088020:25095169:1 gene:Sspon.04G0008620-1A transcript:Sspon.04G0008620-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding IGSEAEPRIALRFLEQSCGDSRSEKEQYSVNLTKRNLAGLANRFLRRPAHGISLSYYGIGKNDFGLPLGARSILQSVRASSTATAGQPKLDIDDEQSEDQKQNRKKKEASPEECDQAVEGLSTAKAKAKAKQVQESPKASQSVMQKFWARLLGIGPSLRAVASMSRADWAAKLKHWKDEFVSTLQHYWLGTKLLWADVRISSRLLVKLAGGKSLSRRERQQLTRTTADIFRLVPFAVFIIVPFMEFLLPVFLKLFPNMLPSTFQDKMKEEVPKEMAKEVQTSRSGDIKQTAEDLDEFLNKLRDWLDLSLNYAVPSSLLILSRAFTVSGKVKPEEAVVATLSSLPDEVVDTVGTVLPSEDSVSERRRKLEFLEMQEELIKEEEKRKEKEEKAKQEEEEKTKLKEPEGAAEDLALKEMTEATAREEELRKAKQHDREKLCNIGRALAVLASASSVSKERQEFLGLVNKEIELYNSMLEKEGTEGEEEAKRAYIAAREESDRHAEAAAEEKVSSALIEKVDAMLQELEKEIDDVDAQIGNRWQLLDKDHDGKVTPEEVAAAAAYLKDTIGKEGVQELISSLSKDKEGKILVEDIVKLASQTENNEEEEEARQ >Sspon.03G0035110-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3B:78573566:78574000:-1 gene:Sspon.03G0035110-1B transcript:Sspon.03G0035110-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRCCLMAAANLGRDGAAAANRQGSGEEVAHLEQEELAPSLAVGRSPRAQPGDDVPYNGASAVASREDGFPLLLHSMPLEGVFSANIHLYRRRSDVCCTCPNLGKHQFIFWHLLKDRLAQHKELAQEKERSPGRLQVCHLQLGH >Sspon.05G0005420-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:17425330:17428767:1 gene:Sspon.05G0005420-1A transcript:Sspon.05G0005420-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha-L-fucosidase 1 [Source:Projected from Arabidopsis thaliana (AT2G28100) UniProtKB/Swiss-Prot;Acc:Q8GW72] MAALLPLLLAHLLLCADGATASSAATPPPLPVLPVPSYAQLRWQLSEMALFLHFGPNTFTDSEWGTGRADPSVFAPSALDAGQWARVAAQGGFGRVVLTAKHHDGFCLWPSALTDYSVAASPWRGGAGDVVAELAAAARAEGIGLGLYLSPWDRHEPVYGDTIAYNEHYLGQMTELLTRYGDVEEVWLDGAKGDAKKMNYMFDAWFSLIHQLQQRVVIFSDAGPDTRWVGDEAGVAGYTCWSSFNKSSVTIGHTIAEYSRSGDPFGQDWVPAECDVSIRPGWFWHASEKPKNATTLLDIYYKSVGRNCLLILNVPPNSSGLIANEDIQVLQEFTEIRRAIFSQNFAANATVTANSVRGGQDNLQFAPSKVLEDGIYSYWAPQEGQTCWEMLFDLGQSTSFNMLQLQEPIQLGQRVIEFHVAILIDELWQTIVEGTTIGYKRLLLFPVIESRYLKLTIDSARADPLISFFGVFMDPFSSRHKSCQTSRANSSEVTMLRMTHASVNKSIAA >Sspon.03G0043280-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:45092552:45094911:-1 gene:Sspon.03G0043280-2D transcript:Sspon.03G0043280-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LGSFSFISDSGRNAPLEDTRRDETFLGDSGDASSAAKANPAAASGKSEAVPAKDDDAPAAGLLPPVSSEEAANSTQESGGLEDEELQVQDAVASGSKRSNDSSAAAATIKSSSNGSSLAVVHSDPAILPAPAQQIPPATQELKAPADQHVPAVKQADSEAPAREWKPLCDITSNRRIDWCELDGDVRVLGANASVTLVAPPGAGDRTFREESWRIKPYPRKADPNAMRVVRVLTVQSVSGEAPACTDRHDVPALVFSDRGYTGNYFHAFTDVILPLFLTARQYSGEVLLLVTDLQAWWVGKFLPVFKSISNYELIDLDKDPRVHCFRHVQVGLTSHDDFSIDPRRAPNGYSMVDFTKFMRATYGLPRGVAAADPTKRPRLLLIARARTRRFVNTEEIVRGAEKLGFEVVVSEGTHEVAPFAELANSCDAIMGVHGAGLTNMVFVPTGGVVIQVVPLGGLEFVAGYFRGPSRDMGLRYLEYRITPEESTLMDQYPRDHPIFTDPNGIKSKGWESLKDAYLDKQDVRLDMK >Sspon.08G0029500-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:38410641:38411600:1 gene:Sspon.08G0029500-1D transcript:Sspon.08G0029500-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding PMECSGTGTRMLWIPAPGPSLLEKNHITGTIPPEIGRLGRLRELNLSSNHLYGEIPSSVVHLESPALSGILSSAVRIQARLFQFQDCTGASVLAG >Sspon.03G0001180-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:14611654:14615930:1 gene:Sspon.03G0001180-2C transcript:Sspon.03G0001180-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKWDIKALAGLRRINLDGLRWRVFDAKGQVLGRLASQIAVVLQGKDKPTYAPHVENGDMCIVLNAKDISVTGRKMTDKIYYWHTGYVGHLKERRLKDQMEKDPTEVIRKAVLRMLPRNRLRDDRDRKLRIFSGNEHPFHDRPLEPFVMPPRQVREMRPRARRAMLRAQKKEQANRAKEEEDAKKAAAEVTA >Sspon.02G0020910-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2B:68369125:68455614:-1 gene:Sspon.02G0020910-2B transcript:Sspon.02G0020910-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNHGEKTIIHTLRDALLHFAVKSKKLASPLLEPFGRASEPATVDDDEL >Sspon.02G0005490-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2D:11361858:11367508:1 gene:Sspon.02G0005490-2D transcript:Sspon.02G0005490-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLYIHPLYVLLVGSATIEYRSKPDLSAYHGSQRGAILLGVALGKPAGVKSARLIFYFPGPPRVHHVSRMGRSVQIQTNHDHSDVEKAAVWPPYHFVPAGFKPASISPSPLATPPVPVPRNLRFPNPDAPSRLAPPPPPLFHHHHLTWDVTRTGPPPPRPMTSPSSHHPDSSSASSTPRAGVGNGGNHHHHLHPPPLPPAPAPTPAAPPAQAAHGGPQVRLMCSFGGRILPRPGDRQLRYVGGETRIVSFPRAAASFAALVAALAKAAPALFVPGAPRPSLKYQLPQDDLDSLISVTSDDDVDHLLDELDRIHDLSANVARPPRLRVFLFAPAPDAAFGSVLSGTAGAGDAASTDQWFVDALNAPAPHPIERGRSEASSIISEVPDYLFGLDTASDEPSPGTAAARAKSDAAETETPRHHGDDEDDVPPSARQMPYAAEGASSWPAPPPPYMPQPVYYFPVPPPVHYLDPSAQGGYMPRPLYHIVGGGGSEAPTAGGDLHAAGGVYGVPHHMHAFPPMMYPPPRAVVYNYKSEGMPSLPPDGGAHSS >Sspon.02G0023090-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:71275426:71282143:1 gene:Sspon.02G0023090-3D transcript:Sspon.02G0023090-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFAEVNNAGVSLESLAKGAVCFSHKFTLPELQDRWYSLLYDLETSAQASARMAKFETELSVFNPAKASKLFNPKAKCFSLYKQKIDSVKNHYYAMRKRIRHEPCLSADFGYSIAPCSCNPVDGGGCACGDHHLLHKVDPSGAVVGGYGFIGGCGAKRKHVHSSGSGQYSFHAEHSNSDGSMVIDGNTNHESPHVCSDVDKLFGCDDMQKNSQTSGSNVISTNNRSDVTDQFDHGAKGSKAPLGIHQDGVKLDRSSRNTTGGFLEPGAFKAINQKWCLREPSVPTWSKVLGVNSSDMLTDMHKIKGETLTLSGDKKMERNSIDALAYQENLDSVVCDSGLGSAMAPEGGFMHSHLKGFSQKEDLELLSSDLFLDCALEINKEDLGPHPPDTINCDDCIDPIQKKPNVADVPGVDSIPASSEVLYPEHNVKCMLNKEDSEIPINDCIPIPGQTSLEPTSTFDQDAQHDARLVTKLLNMENGQPSSPPAPVNLESAILKQNANMVPLKEGCAVGSELPPGLQGNFGGNNANMCISALHSVDGGEETTCGFLKHESCYDVQNLTLDKSIQVSNQMNCKLLAHKPGIGCETAIQSCELASALPDTEFHDPVPTISTTGQAEGSDSEISVPNYFDLEALILDLDLIPWDQESDFIQPEVSRFQYPESRKDLIRLEKGSCSYMNRSIMSKGAFAILYGQRMKYYIREPEVSLGRETEEVHVDIDLSKEGKANKISRRQAVIKMDDDGSFYINNTGKCSIFVNGKEVPCSKRINIMSDSLIEIRNLKFIFHVNHEAARKYIVRKRRGSSQGENTAFDWSQNP >Sspon.04G0001160-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:17735907:17737990:1 gene:Sspon.04G0001160-2B transcript:Sspon.04G0001160-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PAP-specific phosphatase HAL2-like [Source:Projected from Arabidopsis thaliana (AT5G54390) UniProtKB/Swiss-Prot;Acc:Q38945] MGSLRVAGLGWAAAASPEWGVRRRCSPLARPRAAAASLGAGQLRVGTEHGWLWDCRGGGARDYAREMEVAVRVVQVACTLCQRVQDSLLRPGPDAAAAGRVHAKLDRSPVTVADWGVQATVSWLLSSSFHDENISIVAEEDDETLSSGDGAALLESVVEAVNGCLVEAPNYGLRSPEKELGAHDVIQAIRKCSSTGGPKGRFWVLDPVDGTLGFVRGDQYAIALALIEDGEVILGVLGCPNYPMKKEWLNYHQKYYRLMSKVAPPPLGSWHKGCVMYAQKGCGQAWMQPLVHDFGKLNWHHPREIQVSSISDPVSATFCEPVEKANSSHSFTAGLAQSVGLRNQPLRVYSMVKYAAIARGDAEIFMKFARAGYKEKIWDHAAGVVIIQEAGGVVTDAGGRPLDFSRGVYLEGLDRGIIACS >Sspon.02G0045790-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:87463239:87464249:-1 gene:Sspon.02G0045790-3D transcript:Sspon.02G0045790-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASDVEYRCFVGGLAWATDDNSLHTAFSTYGEVLDSKIIMDRETQRSRGFGFVTFSTEEAMRSAIEGMNGKELDGRNITVNEAQSRGGPRSGGGGGGYGGGRGGGGGYGRRDGGGYGGGGGGYGGGGYGGRSGGGGGYGGGGGGYGGGSRGGGYGNSEGSWRN >Sspon.04G0002540-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:8863755:8866770:-1 gene:Sspon.04G0002540-3C transcript:Sspon.04G0002540-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLYVPAGQRSAQDAEQNGQTVAVGVLGIEPWSHPKLPRPCRDARADADANALVPPLNFAMVDDGIFRSGLPDAANFRFLLSLNLRSIVYLCPEPYPEENARFLQQNGIELHQFGIEGSKSGPTVPDTMLVQEPFVYIPEETIREALKAILDVRNQPVLIHCKRGKHRTGCVVGCLRKLQKWCLSSVFDEYLHFAAAKARSTDKRFMELFDASSLMHLTAS >Sspon.04G0009830-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:24692017:24694767:-1 gene:Sspon.04G0009830-2B transcript:Sspon.04G0009830-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARALPLHRLSALLALCLAVAVCFSGVENAAAAAAAAGRSRKMVGVHELRIGDFSIKVTNWGATLMSVILPDSKAEENRINFEHKALFFRVAGNLGDVVLGYDTVAEYVNGSAYFGGLIGRVANRIAGAWFVLDGKAYRLYRNDGNNSLHGGHRGFSKVIWTVKEHVGGGDSPYITLYYHSFDEEQGFPGALDVYVTYQLSSPYVLSVRMNATAQSKATPVNLAHHAYWNLGGHGSGPVLGETVQLFASRYTPVDAALIPTGALAPVAGTPYDFRAPATVGARLADLLRRGVAGYDTNYAVDDDDGAVSPSPSLRPVARVWDGATGRAMELWADQPGVQFYTSNGLAGVRGKGGSVYGRYGALCLETQGFPDAVNHPSFPSQIVRPRQVYKHDMVFKFSFA >Sspon.05G0001250-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:2926971:2929985:-1 gene:Sspon.05G0001250-2D transcript:Sspon.05G0001250-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKKVLMLCGDYMEDYEVMVPFQALQAYGVSVDAVCPSKKAGNICRTAVHQGIGHQTYSETKGHNFTLNASFDEITASEYDGLVIPGGRAPEYLAMDSKVLNLVRNFSDAKKPIASVCHGQLILAAARVVENRTCTAYPAVKPVLVAAGAKWEEPDTMAKCTVDGNLITAATYESHPEFISLFVKALGGSVAGSDKKILFLCGDYMEDYEVMVPFQSLQALGCHVDAVCPDKGAGEKCPTAIHDFEGDQTYSEKPGHDFTLTASFESVDASSYDALVIPGGRAPEYLALNDKVISLVKAFADNGKPIASICHGQQILSAAGVLKGKKCTAYPAVKLNVVLGGGTWLEPDPIHRCFTDGNLVTGAAWPGHPEFVSQLMALLGIKVSF >Sspon.04G0036640-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4D:38859240:38859573:-1 gene:Sspon.04G0036640-1D transcript:Sspon.04G0036640-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MERLGPAGQLIADIRLRADRLLEVLFIVGGVPPYNVHQHVDRMEHGGSQRGGRRAPPLPGPPRLLLAPPILAPSTRPDVAGRRRSLSGLGGGFGRVPSTFHPRQGLLPVHQ >Sspon.03G0028480-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:60020444:60024835:-1 gene:Sspon.03G0028480-1T transcript:Sspon.03G0028480-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding EPRGSRQLTLSVPARHQDTANEKQTTASPPAATSRSIPSLAFTLEHGGALALLSRPAKLLLPGAMNPYDLRFADPSSYHDRRSDLAVAPTFAPPAPVAAANPSPYSVAYPPVPVPVPAAPAGGDYQRYGQGGRGRGGGGRGGGGGGGGYGGGGRGGGRGRDGLDSLALPKPDFRSLIPFEKNFYVECPSVQAMSEADVAQYRRLRDITVEGRDVPKPVRYFQEANFPDYCMQAIAKSGFVEPTPIQSQGWPMALKGRDLIGIAQTGSGKTLSYLLPGLVHVGAQPRLEQGDGPIVLILAPTRELAVQIQEESTKFGSYSRTRSTCVYGGAPKGPQIRDLRRGVEIVIATPGRLIDMLEAGHTNLRRVTYLVLDEADRMLDMGFEPQIRKIVAQIRPDRQTLYWSATWPREVEALARQFLQNPYKVIIGSPELKANHSIQQIVEVISDHEKYPRLSKLLSDLMDGSRILIFFQTKKDCDKITRQLRMDGWPALSIHGDKAQAERDYVLAEFKSGKSPIMAATDVAARGLDVKDIKCVINYDFPTTLEDYIHRIGRTGRAGASGTAFTFFTHANAKFSRNLVKIMREAGQVVNPALESMSKSSNSGGGGNFRSRGRGGFGNRGHMSGSNSFPLGGRRPY >Sspon.08G0015320-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:56553676:56554446:1 gene:Sspon.08G0015320-2B transcript:Sspon.08G0015320-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLFETDLHAEYLVTKRDLIQKLRPRLPYPSDPTNHTPPPLLPTRSPYKLAAAGRTLLQTQHPHEPQPAPLPFPTSISRLGFRLAAPNKGKGGKNRKRGKNEADDDKRELVFKEDGQEYAQVTRMLGNGRCEAICVDGTRRLCHIRGKMHKKVWIAAGDIVLVGLRDYQDDKADVILKYMNDEARLLKAYGELPETLRLNEGVDVDGPEDGEEGSDYIQFEDEDIDKI >Sspon.01G0029740-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:99595900:99599014:1 gene:Sspon.01G0029740-2P transcript:Sspon.01G0029740-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVRVRVGNRSNSWPIGGRFGIGSSGGAGNPPPKPWERAGASSGSVPFKPPSGGSTSDVVEASGTAKHGEVVSAAGNNVASNVNSNISMPVPPRPWQQQGYGNSYGGYGSSMYSSYGGYSGPYGNNMYSGYGGGYGSMYGGSGMYGGSMYGGGMGGPYGGYGMGVNPYNQGPNSFGPPAPPPGFWVSFLRVLCDRAGMLYGELARFVLRLLGIKTKPKKGGVKGAGAPSSEGRGQQFVEAPKANSSWDSVWTENGKGT >Sspon.07G0023860-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:20064769:20068491:1 gene:Sspon.07G0023860-1B transcript:Sspon.07G0023860-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHLGFDYLLGIAMFQRLRGRQFESHLPAKVGLAIIISANAWTDAGHAVNHLYDILYMMGRDDIPVGVGGDGGISYAGDVQRNVGGYLPIVDQGMSTAGGCRYRQAIPLSGRLDVDTNFGVRKGFLPQGSRGYRSLRQPTAQRVMAEALSAGPTSVLLLGTHTNLALLLMSRPHLRRNVEHVYVSGGGVRVAGNLFTATAANPVAEFNVFVDPFAAYQVLHSGVPVTMIPLDATNTIPVTEAFYSEFRRRQSTYEAQYCFLSLDGGFYMWDQFAAGVALSSMRHDETGSGNNEFAELEYMNITVVTSNKPYGVRDGSNPFFDGRTAPMFGLQEGGVHSGHAQTGIRDPFCLVPGSNRGRCQDGYTKEVSGPEAVQVLVATSAKPNTNKNSPLDKEFSERFLEVLNLPKNTGRFNISTQFPYYREVLYKPDFMNVTRGKPVIFDMDMSPGDFVSLIYLLKEPRHEIDLKAVLINANGWANSASIDIVYDVLHMMGRDDIPVGLGNTTAMGSPTLGCNNSYAIPLGSGGFVDSDTLYGLARSLPRSPRRFTSDDLDHPERRQPHAFDVWQSVRKQLGPGQKITVLTSGPLTNLASISLSDMAASSVIERVYVVGGLIRDGGDEKGNVFNVPSNRYAEFNMFLDPLAAKTVLESSLNITLIPLTVQRKVASFEDVLGALKQRTQHTPESKLVHRLLLLLHQLQRKQKIYHHMDIFLGEVLGAVYMVKGSDLEPSVKVKPICIVANTTESTNGQILVKKSAKPVNVLYSLNTGAYHNHLANSLANDKQSAVVGSFEEQKAIWSRPQKHLGANIAKDRK >Sspon.05G0030110-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:82038902:82042492:1 gene:Sspon.05G0030110-2C transcript:Sspon.05G0030110-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLNQFVPSQQSALTMDSAESTAAAAAAARAPNGAARDIDDDDDDDAVPEVAACISTMLDRGGSVESHRLFLARRTALEMLRDRGYAVPEDELARTLPEFRAWWEDKPELERLAFSTALASDPSNKVKVVFCPPEPVKLAAIRVVYTGVKDENLSRLILILQGRIMSKARESIKEIFPYKVDTFQITELLVNITKHALKPKHQVLTAEEKAKLLKEYNVVDSQLPRMLENDAVARYYGLGKGTVVKVIYDSELTGNHTANMSRLY >Sspon.02G0002710-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:8960770:8974751:1 gene:Sspon.02G0002710-1A transcript:Sspon.02G0002710-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLFGAFLGLVVGVAVVMAFARFENSRAEQRRELAAIAAAFSKLTVQDLRKLIPPEFYPSWLKWLNQELVKIWPFVNEAASELIKTSVEPVFEQYKSFILASLHFSKLTLGTVAPQFTGVSILESDDSAITMELELQWDGNPNIVLDIQTTLGISLPVQVKNIGFTGVLRLVFKPLVAEFPCFGAVCCSLREKSKVEFTLKVIGGEMTAIPGISDAIEGTIRDTIEDTLTWPNRIIVPIVPGDYSDLKLKPTGVLEVKLVEARDLKNKDLVGKSDPFAVLYIRPLREKTKKSKTINNDLNPIWNEHYEFVVEDISTQHLTVKIYDDEGLQASEIIGCARVALADLQPGKVKDLWLDLVKDLEIQRDKKPRGQVHLELLYYPYAKHEGVPNPFANQIQLTSLEKVLKTESNGYDVNQRKNVIMRGVLSVTVISAEDLPPMDIGGKADPFVVLYLRKGETKKKTRVVTDTLNPIWNQTFDFMVEDALHDLLMVEVWDHDTFGKDYIGRCILTLTRVILEGEFQDTFVLQGAKSGKLSLHFKWTPQPIYRDRDRD >Sspon.03G0019770-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:61709654:61710097:-1 gene:Sspon.03G0019770-1A transcript:Sspon.03G0019770-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIVRSSNVFDPLSLDFWTSADPFGVVRPLAEQCPVLTNVRVDWKETPEAHVFRADLPGVKKEAAKVEVEDGNVLVISGERAREEAGKDEKWRLVERSCGRFQRRFRLPRGAKLDQVRASMDNGVLTVTVPKEEVKKPQVRAVEISG >Sspon.04G0005930-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4B:12898533:12898940:-1 gene:Sspon.04G0005930-2B transcript:Sspon.04G0005930-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SRALDVLLDVVERSRIGPTKAVEVGAVHVLVELLANADDRHDAERILLLLKRLCKCPEGRLAFAEHDLSVAAVAKTMLRVSELATQLAVKVLWLVSVVAPSEKVLEDMVLTGAVAKLLGLLHVESTPATKQKTVRM >Sspon.04G0023250-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:11368105:11369837:-1 gene:Sspon.04G0023250-1B transcript:Sspon.04G0023250-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GEFHRGPEAAAVTADEDGRAPPHRGGAGAGHDHARVGAQAPRRQEGHHHQPAGAYRRRQEGGEEEEEEEEPRVEAQRGADPRLRRRGQRDVAVQLRRAGVALQPVHPGPDVLRRVHDGERGPVPGLPGGVRGRGAGAAGRGAVRRRRVQLRGHGGVQAGRGAAGAGEVPCACPGAVVAMTDAVNRETMERLGAGSSAELLMPDTLKGLKALLSVSMYKKMWFPDRFYKDYLKVMFANRKERMELLQGLITSNMDAKIPVFQQKIMLLWGEEDKIFNIDLAKKMKEQLGDNCFLYGIRKAGHLLHVERPCAYNRQLQRWFAFVNSTAGGDQAS >Sspon.02G0024690-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:85242070:85244101:-1 gene:Sspon.02G0024690-1A transcript:Sspon.02G0024690-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQGPGDAPSSATSTAHRAASSAVRSRRVVPGQAHRSWHAGPATNRRNIMEYSSTRVVCLVLALAVAAATVAVTPCAAQNSPQDFVNPHNAARAAVGVGPVSWDENVSAFARSYAAQRQGDCKLVHSGPNNNQYGENLFWGSSGKDWTAWDAVGAWVAERQYYDHGRNSCAAGKVCGHYTQVVWRGSTAIGCARVVCNNGGGVFITCNYNPPGNYIGKSQKGPTSWLAKCGPSSSSRAYDLAGKHACVSHV >Sspon.05G0006140-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:11181202:11184062:1 gene:Sspon.05G0006140-3C transcript:Sspon.05G0006140-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transporter of the Copper-Nicotianamine Complex, Fe(III)-deoxymugineic acid transporter, Copper distribution, Allocation of iro [Source: Projected from Oryza sativa (Os04g0542800)] MNSQLRLHTAAAAVSELELLVPRGPGAGAMDQFQHDPAAGAHEIEKTTPAERAAAPDMESEPAAARVAERVPPWREQITARGMVAALLIGFVYTVIVMKLSLTTGLTPTMNVSAALLAFLALRGWTRALERLGIASRPFTRQENTVVQTTVVACYTIGFGGGFGSFLLGLNKKTYELSGVNTPGNVPGSYKEPAIGWMTGFLLAVSFVGLLTLLPLRKVLVIDYKLTYPSGTATAVLINGFHTPQGDKNAKNQVRGFLKYFGISFLWSFFQWFYTAGDNCGFAQFPTFGLQAFKQTFFFDFSLTYVGAGMICSHLVNLSLLFGAILSWGVMWPLISKQKGNWYSANASQSSMSGIYGYKAFLCIALLVGDGLYNFVKVIAITVKNIRERSRRKNQNKVADADTMALDDMQRDEVFSRDNIPTWLSYTGYAALSVIAVIVIPIMFREVKWYYVILAYLLAPALGFCNAYGTGLTDMNMGYNYGKIALFMLAAWAGKDNGVVAGLVGCGLVKQLALISADLMHDFKTGHLTLTSPRSMLVGQAVGTLMGCVLAPLTFMLFYRAFDVGDPDGYWKAPYALIYRNMAILGVEGFSALPTHCLELCAGFFAFAVLANLARDLLPRRIAQFVPLPMAMAVPFLVGASFAIDMCVGSLVVFAWHKLDSKKAALLVPAVASGLICGDGIWTFPSSLLALAKIKPPICMKFTSGS >Sspon.07G0008930-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7B:23038695:23039462:-1 gene:Sspon.07G0008930-2B transcript:Sspon.07G0008930-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AADAAKAEGAPARATAAPAVPPGVPSPSGRRVVRRARDCAVRRAAGHVRGLPRGAGRVVRPRSARRLRARRGRAPRQVPCAFPTPRRHPVPRSPSRRAALRLLRARRRRPQVLRRRPRVRLAGGSRDRRRQGAVHVPFHGAVVGGTARREQGGGRRGAGLLRAVLAGARPSASRVPGRRDQAARERQRGNGASGDPRRAGSRRRRPCKRATRISPEIWVPE >Sspon.01G0031890-3D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1D:110521395:110524886:1 gene:Sspon.01G0031890-3D transcript:Sspon.01G0031890-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLVRARLSSLDDDDASSLSSASSSSPPLSPATASSSTPPTPRARAAVLAAPRVAAQLSSTEEDVSESFDDTTASSGDDADLAEEVSNGFFFTVARVPPPTSEDPSPVPGSEAAAAPEGGDSLGHAEEPLEDSSESVFEALDAGARNGLDGGVIADGDDTDTEGSLGGSSQTSGSFIGAQDGGEPAASGDFVTVSDGTVLKDDDKQGEQAVNDAESEPLLMPVAGVNDLGTVDALEGKGVPDREVAESLPIPGATEGEDAGAQLCSGESDAKGTTAKLDTSPEYAATGEVTSDGAQVRDNVYNPDSIADGGHNKVDEEADGYHDASDDLTSIPISSSDDAVELLAKELEDNVPTSKGTRFGLDDSDDVEINGDDDYEEEMNGKEVEIFDYAALIELLRAASSSPEQGKAKVFPVESSETRQLPPTVASNARTSMASAPVPEVTADPEKEMTDEEKKIYRKVDMARIKYMRLVHRLGYDTNHQVPVQVLYRLSLVEGFRRVRMTNHSSEIENAWKRALQHEAEGTEDLEFSCNVLVLGKTGVGKSATINSIFGEDKSKTNAFLPATSSVKEITGVVDGVKFRVIDTPGLGTSAKDDKSNRKVLKAVKKYMKRCPPDIILYVDRLDTQREEANSLSLLRGITSVLGLSIWPRTIITLTHSGADPPEGPNGSAVNYDMIVTHRTHAIQQSIRQITNDPRIQNPVALVENHHECRRNAEGEKVLPDGLIWRRLLLLLCYSLKMIAEIDSLSNRRASSTSFLGRFFQVPPIPYFLSSLLQSREHPRRSNEHNVGSVDSDFDLDELLNGDQEDEEDNYDQLPPFKPLSKSQVAKLSKEQQKLYFDEYDYRTKLLQKKQLKEQLERFKEVKKKEGDDNDVPSDDDHPDDGYDTDRYPMPEWTLPSSFDSDDPVYRYRCLVSTPNLLVRAVNNPDGWDHDYGFDGVSVQHSHDVANKYPASLWVQVNKDKREFTIHLDSSMSVKHGDYASSLAGFDIQTMMNQLAYTLRGETKFKNFKKNITTGGLSMTFLGNTMVAGAKLEDKLLVGNRLTLSGNTGAVSMRGDAAYGVNMEAILREKSYPVGQGLATLGVSLVKWRKEWTMAANLDSQVSVGRSSNMAVHVDVNNKLTGRVSIKANTSEQLNIALLGTCSVIMYLWNKMHSGADPNAE >Sspon.05G0030310-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:84302115:84305534:-1 gene:Sspon.05G0030310-2C transcript:Sspon.05G0030310-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSKAGGVIHPSGSRAAILGLGAAVPEHVWPQESFPDYYFEISNSNHMVDLKAKFKRICNYKSPSLKLRQELTDATLPQLGAEAARKAIGDWGCRRRVSDITHLVFCTSSSGCMPGADFEVIKLLGMPLSTKRFMLYQVGCHGGGLALRLAKDLAENNYGARVLVVCAEVITTTLRGPSETHLGNLVGQAIFGDAACAAIVGAGVPASGGDETPLFEMVSASQDILPGTELGGVLAKLHEEGIVYTLHPDMPMHISNNIEELVEGALERAGLVVKDRNEELFWVVHPGGRKILDEVESTLGLRKEKLEASREVMRRHGNTMSSCVLTTLEEMRRRSADRGLRTPGEGLEWGLLFGFGPGITI >Sspon.04G0023770-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:15326398:15333600:1 gene:Sspon.04G0023770-1B transcript:Sspon.04G0023770-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:YDA [Source:Projected from Arabidopsis thaliana (AT1G63700) UniProtKB/TrEMBL;Acc:A0A178WLG6] MPPWWGKSSSKEVKKTAKENLIDTFQRLISSNEQKGSRNHEVVVNMVKTQLVTKVAGLLPNPAQHHLRKRSHDRPPAQPLPLPKSRARVTRTSSDITNSKSTLEKHGKGQLLPLPPIQPKKRPEATEPVTEAATASVSSNCSIDSDDPGDSRLQSPVGNEAENATRITATSSSSVLHKERSSAITRKNTKEVAKPNNAFLSNQILSTSPRGTVADGYQSNLQSPRQIALESAPNSLMSSPARSPRIICPDQIPTSAFWAVKPPTDITFLGSGQCSSPGSGQTSGHNSVGGDMLGPIFWQPSRGSPECSPIPSPRMTSPGPSSRVHSGSVSPLHPRAGGVTPESPTNRHAEGNKKQTHRLPLPPISTPNISTFLPNSSTPASPISRSPGRTENPPSPGSRWKKGKLIGRGTFGHVYVGFNSDRGEMCAMKEVTLFADDPKSKESAKQLCQEISLLSRLQHPNIVRYYGSETVDDKLYIYLEYVSGGSIHKLLQEYGQFGEQAIRSYTKQILLGLAYLHAKNTVHRDIKGANILVDPNGRVKLADFGMAKHINGQQCPFSFKGSPYWMAPEVIKNASGCNLAVDIWSLGCTVLEMATSKPPWSQYEGIAAMFKIGNSKELPPIPDHLSEEGKDFIRRCLRRDPSSRPTAVDLLQHPFVQNAPPLEKSSAAHPLEQLTAISCRTNSKVVENARNMSSLGLEGQSILQRRAAKFSLPISDIHIRSNISCPVSPCGSPLLRSRSPQHQNGRMSPSPISSPRTTSGASTPLTGGSGAVPLNHVRQPAYRNEGFTVTSRGFDDRIPSRPVDPVHGRFIRVQQFSAGHQERVVSEADILSSQFGKMRHANVWDSHDRPLPSEHSSQQCFGDHVQLKPSLDLRYIYAGCSL >Sspon.04G0027920-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4B:62461734:62462642:-1 gene:Sspon.04G0027920-1B transcript:Sspon.04G0027920-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEERVGCTSNAATSCDDSATGRAPSSAAAAAQCSTVSVFLAKINGAARLVTAVWSKSLINQSFTISIDRPGAGAGDGDGPVTHKVELKPWPFWSKKGGKALDVGGGDRVDMFWDLRSAKFAAGSSPEPAGGYYVALVSNDEVVLLLGDCKKDAYKRTKARPSLEDAVLVCRRESVFDRRSFAARARLDARRSKEHEIVIEYALAAAGSNRDPEMWITVDGFVLLHVKSLQWKFRGNETLLVDQAPVQVIWDVHDWLFAGPGTQAVFVFKPGAPPEIQEDSGGNGIQGEGTDFCFFLQAWRTE >Sspon.02G0047980-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:20484807:20486521:-1 gene:Sspon.02G0047980-1C transcript:Sspon.02G0047980-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNVPKTKKTYCKNKECKKHTLHKVTQYKKGKDSLSAQGKRRYDRKQSGYGGQTKPVFHKKAKTTKKIVLKLQCQSCKHYSQHAIKRCKHFEIGGDKKGKGTSLF >Sspon.04G0017310-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4A:62733194:62733580:1 gene:Sspon.04G0017310-1A transcript:Sspon.04G0017310-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAATGAVRVERVRGRSALTRCFARYPLKLIAPSKVGPSSCDAVWLYALTYGGGIVSVSPEDLPPLSVLSILARRAVAGYSRFGGGCGSVTGSWCRARARPCRGTPYRARSASATGARRRSPRRPRPR >Sspon.02G0022470-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2B:74516939:74521295:1 gene:Sspon.02G0022470-2B transcript:Sspon.02G0022470-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTAATSDGEKPHAHLGQPLLAPPQPPQQPYYAYPAASYVQPAPPPPTLVFVPVPVPAPCSPVLVRLRRLRPRRAPCLRRLCTRTLPLLLFLALLAGAAFLLYPPAPAARVADLRVDSFRVQPPVLDLGLALRLRVRNPGFLLPLRYRSVSAAVSYRGHLLGSAKAWPGSGELAARDEVYADAQVWVDAGRVLDDVIELIGDVAAGSVPLEIVSEVVGSIEVFRFHIPVKYWPRIRLGRWNELQSDIHVQLD >Sspon.02G0009920-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:22030759:22031554:1 gene:Sspon.02G0009920-1P transcript:Sspon.02G0009920-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSGSWSWSSSKKHPSLVVVNLKRQLQKTQPPHVSVAIRRKRLRLRRLRAGAEAMEILNLKLYLENRCIIAENERLREKATALRRENLALLQNLSKTAAVPEAGAGAGTA >Sspon.05G0018830-1P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7A:69183003:69184434:1 gene:Sspon.05G0018830-1P transcript:Sspon.05G0018830-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGEDIQPLVCDNGTGMVKAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQSKRGILTLKYPIEHGIVSNWDDMEKIWHHTFYNELRVAPEEHPVLLTEAPLNPKANREKMTQIMFETFNVPAMYVAIQAVLSLYASGRTTGIVLDSGDGVSHTVPIYEGYALPHAILRLDLAGRDLTDSLMKILTERGYSFTTTAEREIVRDIKEKLAYVALDYEQELETANTSSSVEKSYELPDGQVITIGAERFRCPEVLFQPSFIGMESPGIHETTYNSIMKCDVDIRKDLYGNIVLSGGSTMFPGIADRMSKEITALAPSSMKIKVVAPPERKYSVWIGGSILASLSTFQQMWISKGEYDESGPAIVHRKCF >Sspon.04G0029470-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4B:72327606:72328079:1 gene:Sspon.04G0029470-1B transcript:Sspon.04G0029470-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELTRKRRCASPFLDEPVPAPPHLAKRGRFSPAPAAFAAHQQQAAAASASATAEAAALREENSALRARAEALERDNGVLKRGVAEQHRRQEALERDNGVLKHGVAALHRREEEARREAAELRKKVAELEAANYALAARMLGTDSCRFQAFHGPDVF >Sspon.01G0028080-3C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1C:95335580:95337333:-1 gene:Sspon.01G0028080-3C transcript:Sspon.01G0028080-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPNRALGSPVSTPAASRSSNRTCGARRPEMQMDSYNYASFFHDEAPFYPHVAGPPSPELPFGLIASPGEPEPPFAAPMPTAFQDYTGAAVGVGAGAPFGGGGGPPSVHGQVVDALGRMGGDGQREQSMAMAEDGEEEQEPRRQRQRQQPAGAAAVESSRGFRHMMRERQRREKLSQSYADLHALVASRSRGDKNSIVQAAAIYIHELRGAREQLQRRNEELKARILGHDARQQCVKVQFEVDEPASAIDSMIGALRRLKGMDVRARGIRSNLSGRRLCTEMNVETTIAAGEVEKAVEEALMQEVERKQQLGAGAGSAAAAPGFAGPQASSHVQNVF >Sspon.05G0004710-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:17082273:17086371:1 gene:Sspon.05G0004710-3D transcript:Sspon.05G0004710-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRKVNGIKFMMNYLSKVREVDKNLSRFNLSYLENQVFSWSVDDVFNRDLFREKVKRIPEKFASSKSYFSSFSYPLIEEVHADLFSSLDGYAHQNFIQVTRMKKLHVADDKIFLCFKVARPAEDKRSRETYAPSEDDILVLSSRKPKQVSDLTRNGGEDNDDLPPDCFIARLSSELTVEADPVTRIPEEQLFAVVLVNMKTYNRIWTCLDMGKNRQKKVPPATNENQNVSHVTDTVDIVWQYKSKACDGLIEFKAASTNSRCAAVKWDTSKSSQSSWCFPERSIDDLGLENFMLNMSQLNAVADCIPVTGKFSSSVKLIWGPPGTGKTKTIGSLLWAMLISRRRTLACAPTNTAVLEVASRIVNLVHEFAASRDILLSDIVLFGNKKRMKIDEDHDLSTVFLSSRTKRLSKCFAKKPWSLYLSSLLHFLEKSVAEQHQLYTERILTEMKEIERKNHEKNRSENHSVTSCNEGKDQEKDISDTIEIEHVDEEDYDNKEESDDEDACSQSEGVESDDVDDGCDPEPAEQTSVFERLSSLGYNKHLLSVQYRMHPEISKFPVGNFYDGKVSDGPNVVCKNYERKFLPGKMFGSYSFINVEGGHETTEKHGQSLKNTVEVAAVLWIVKQLIEGVYTEKVRINIHTITKDLNCLYLESVFTGTKLSVGIVSPYNAQVRAIQEKLGKSCDMYEGFSVKVKSVDGFQGAEEDIIIMSTVRSNGAGSVGFLTNLQRTNVALTRAKHCLWIVGNVTTLAQSRSVWQKIVKDAMARGCLFDASDNKDLSNALVNAIIELDDYDNLARMDSLHIRMPIGLNGDTVDVRFPHSHASCFCHQDTTEGKKIVEKSLNIPYEK >Sspon.05G0011480-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:32855995:32865024:1 gene:Sspon.05G0011480-1A transcript:Sspon.05G0011480-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSAVQAALSDKSYSALAPLCDELLLQAASKGAATDEWPYSVHLLAHLYINDLNSARFFWKSLPQEVKDTRPELAAVWRIGQCLWNRDYAGVNTAAQGFEWGPDLADFITAFLESYRQRIFKLLTSAYSTISVADVAHFMGMSEEDATNYVVQNGWSLDAGTRMLTVKKPKAQTNQKLDASKLQRLTECVFHLEH >Sspon.02G0020860-1P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:68167006:68168225:-1 gene:Sspon.02G0020860-1P transcript:Sspon.02G0020860-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DHPATVDAWLRSPALDSLQEIDCWIRSIWGPQVLQPPPPPVSIFRFSSCLCVATLSRCHLSDDVAQALQFPKLKKLAFQWVRISEDSLHSIIAASPVLECLLLSTIFGFHCLRINSASLTSIGVGHADDGYETRVAFLEKFIIEDAPCLKRILYHRPPQTGMPLQPCDMMEFRIINSTTPACTVKILAVNIFVLSLDDIIDFMRCFPCLEKLYIQSNKGGDQNLWRRKHHNLIKCLDIRLKAVVLNNYRGTRSEVNFATFFVLNAKMLESMTFECQRDSVTNRFLAEQHQLLQLEKRASRCAR >Sspon.04G0000720-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:17152283:17155803:-1 gene:Sspon.04G0000720-2B transcript:Sspon.04G0000720-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTRFLTTDYFAPSPSAAASSDLAIALASLPFPSLPVPTLPPDPHLLNPFPFSADFLPDLSVAGDDLDSLPFDSALTEFLAAVVPQPLPVPDIPAADEGLLDDYLYGRGVYGNGFSSMDPVLQKKIASLHDEECVNYSFGVPDVKIHPDFIDIDTETRIPYPAELAESIYQVEVPVKHDDDMNCPYAKDSSCMEIAGLENGQIIPQLEASMISWELDECPAKTAVSNIFHNIVEHLYDGAQVRLPSFGSTEFLRSCDMDIFALVCKDAPLVEYQADKPITAKDVAEMDFVRINHDILLDKKSALYPLKPDGTCSDFPCSILLEEVETINFPSEDAFKTLVQSVKAEMNTCEEIFKDDFDQAKSFYESVVSSEWALVDDTFKSLPTPILPDDKAMRSMLPPIEVLCSLKPLPLSAADGIYLDWHLLSEGPCNQESCSTYASMVEEVKPCSLSPELQISCQQMPALDINFLEDFPRSAKLQHEDKKNEIYVPGPIPHDPSANLETTQKNMLESDVRGHNHMDKLSPEKESSLFKSTSQSNGLSFYLNVRNDTNKVRKNEDISTFIPSSKQAAPFSTRPRVNKLIEIHPVNLSDLIQGLIKDIHVSYTSALQESAYFRHSFSDGQGLSISKQKLLELIQGKVQKACIATVAYYLCFFGLHAAYLYVGNLTGTFEKIPERLRNLQRCIGEARLKAEKQLLESHPSLSVIETILRSNTQIGRKILIVSDRAFWLPLGQKLTAMKITSAEFETYHSTTYSDPVIKTNSKTCMPEELWKSDCILLDN >Sspon.07G0010220-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:30770690:30786018:-1 gene:Sspon.07G0010220-1A transcript:Sspon.07G0010220-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKFGLAGGIPERRVRPIWDAVDSRQYKAALKLCTALLAKHPTSPYALALKALILERMGKPDEALSVSLNAKELLYSDNIFHFDDLTLSTLQIVFQRLDRLDLATSCYEYACTKYPSNLELMMGLFNCYVREYSYVKQQQTALKMYKTVGEERFLLWAVCSIQLQVHFSSGGVKLLALAEALLKKHINSHSLHEPEALSLYVSILEQQEKYDAALEVLSGDLGSLLGREEDKLRLQGRLLAQACSYPAASEIYQKVLDPDDWESFLHYIGCLLEHDVNLPKPSTGEHTCPSCSVDSALSNKTSLSKELVESRLTSALSFVQSLQENNSSDCVRGPHLANIEIERQRCLNGNPDNIKLMEALVKYLHRFGHLSCSASDVEIYLHMLSNNEISELLDEITRSFDASSISVNTLGSTITLFKVQELLGTLFTKSTSELQGIAKRMVDTFYKNLPLSNDLDPQESMYGEELLSMASSILVQLYWRTRDLGYLLEAILILEFGLTVRKYVWQYKITLVHLYSYLGALPLAHTWYTTLEVKNILLESVLHHILPQMLNSPFLQHAADLVKDYLKFMDDHLKESADLTCLAYRHRTYSKVIEFVQFKDRLHRSMQYLSVKSDSVMLHLKQKADSLDEVESILESVSHGTKLVELSNEDSMKDLTFNEDLDARPWWTPTSSVNFLSEPFDESSTPASYRAKMCKHKSNEKDGSKLKDVERKSLVPRLVCLSTHGCASFLRESESNGASSDVTASGEMKALLEKYARSIGYSFDDALSVVLGISSGKKAVKDFAPDIVSWMSFAVFINAWNLCSNVSVIPGTEQSWQDSWRIVDNLVKTCIDEQLTDTNQMLTSPGSNIPLLAWMVAEPISWHLLVIQSCMRSMAPQGKKKKKGGPSERSNTPHLQAIRSSVQCMADTLQRVQKCLSDQMKPEDQALDILVSHLQGTSAEGPGQITRVLDESAAAASSEIGGRIAHSLEPWSCACVMRRIVGAENETIAELRKICTSKLKLLASASASLNLVLH >Sspon.07G0008300-3C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7C:18804505:18805095:-1 gene:Sspon.07G0008300-3C transcript:Sspon.07G0008300-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPHGRPGEEHAPRRGRPPLPRAPRESISKLVIIEMGVLMISPDRSICQIFEGLKEFGLGVTEKGFLYGIRALCGMSRETWLHRVALYRSFGVSEGELPTILSFSDEIIKKKLRFFLDELKLELSEVMGQPVLIGYSLEKNIIPRCAVLSLLMREGKIGPNVKLISALLGSTSAKMFSTKYVLRYAHDVPDVVKAYE >Sspon.04G0012150-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:18374729:18375569:1 gene:Sspon.04G0012150-3C transcript:Sspon.04G0012150-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVTVEEDATGEPALLLERSRAITLQGRDRNGRAVVGIVGNYFPARALGGRRAEAALRSYLRERVLPEIGGREFVVVYMHSRVDRRRNFPGVGAIRGAYESLPAAAKERLRAVYFVHPALQSRLFFATFGRFLFSSGLYEKLRYMSRLEYVWAHIDKGQLEVPDCVREHDDELERRPLMDYGIETTESRCMYDAPSMDTSASLHSLRCVS >Sspon.07G0009730-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:26430832:26433738:-1 gene:Sspon.07G0009730-2B transcript:Sspon.07G0009730-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTAHQHLNSRSVASRAQEYKKMRQISSLLQGLARSLSVGKDRKGDGAGDGKTALPAVLRTSGTLWGEGSETFAAVCSRRGEKGINQDCSIVWEEDTILCGIFDGHGPWGHYVAKAVRDSLPPSLLCHWQEALALASLIDGEKRLGDCQFDLWKQSYVATCAAVDDELRRSRRFDAVQSGCTALSIVKQGDLMVVANVGDSRAVLGTTSDDGAIAAVQLTVDFKPNLPRKSLLTGHAFLCTGAAAVADVVSWNTMCAEEKERIRRCNGQVYCLADEPGVHRVWQPNRESPGLAMSRAFGDYCVKDYGVISVPEVTQRRISSNDQFVILATDGVWDVLSNDEAVQIVAGTPDREKAAKRLVERKRRGIAVDDCSAICLFFHPPPP >Sspon.03G0025320-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:95045603:95051515:-1 gene:Sspon.03G0025320-1P transcript:Sspon.03G0025320-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGVSTLRSPSSSSSKADQHCGGFVGDHHHVVFPTSGGGSDCCDGFAMVDVDDNLLDYIDFSCDVPFFDADGDILPDLEVDPTELLAEFSCTPPPDDLLLASASPAAVHVDDEAANKAPVVPDDDVKQQLAVVTTEVAQEEKRLLEEQTCGDEKHVAASKQTTEEDSCAGAAVSDTKSSAEGHSKKKPAPGKNSHGKRKVKVDWTPELHRRFVQAVEQLGIDKAVPSRILEIMGMDCLTRHNIASHLQKYRSHRKHLMAREAEAATWAQKRHMYAAAGGVAPRTDAPHGSRPWVVPTIGFPPPAPPPFCRPLHVWGHPPTPPRLKPLPLLLRWRRLRLRCCPCGRGTWRRPGRCRRGRTRTRRRWTRRSGTXXXXXXXXXXXXX >Sspon.07G0004330-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:10789441:10794942:-1 gene:Sspon.07G0004330-1A transcript:Sspon.07G0004330-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVQVDYSNLLLPVVVLAVSCFVIVRSFRSGRKDGSRVLPPSPPALPIIGNLHQLGRSHHHRTLTRCVASSTATGTLPSAPTLCHIAVVHLLGAKWVDSFRALREEAVTSFVDWIRAAASAHVDDGGGKRRQGVNVSELFISLTYTAVSKAAFGSKLGGMEPGAVHAMMMETSQLLETMAVSDVFPALGAREEQRAGEADDLLDNLLSVVKTDVKGLILDLFITGADTIAKTMEWTKAELAKNPKEMEKTQAEVRQVVVEHGRVTEELLSTMARPQATIKEALRLHAPVPMLDTKLHGYDIPAKTRVLINAWTIGRDDEPERFVHTNFDYSGKDFRFIPFGAGRRGCPGIGFGTRLTELALANLLYHFDRELPDD >Sspon.03G0030970-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:23759098:23760086:1 gene:Sspon.03G0030970-1B transcript:Sspon.03G0030970-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ADGAWCVCRPDVADAALQKTLDYACGHGADCAAVLPTGPCYSPTTVRAHCSYAANSYFQRNSQANGATCDFGGTANLTDTDPSTYSTHPPLLSIEALELANTCNTKRSRDKRQRNWHGNVVARLCE >Sspon.02G0003600-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:11486330:11493496:-1 gene:Sspon.02G0003600-1A transcript:Sspon.02G0003600-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ISTIVLKVDLECERCYKKIRKVLCKIQGQCSAVLYYRYSSFTNAETPLRFTRAYKMNIKTISFDEKSKTVTISGPFDAEMVCNKLCCKAGRVIKEMDVKGAGKAKDGGGDKPKDAAKPAAEKDAGKAKEGGAKAEKKEEKAEKKEGKGDKDAKPDKAEKGNKDGKAEAKKVKFDLDGGAPAADAKPGKAMAMPPGMTKADLGPLLEKMMAAKAGPEAPRGEPIAPPPMMAPGAAQGVAVPSIWPAPAGSPAAPGGYYGVPVYDSQGWYYGGGGGGGGARQPYYPQQQHCCEDPNAGCSVM >Sspon.02G0028900-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:93018644:93020509:-1 gene:Sspon.02G0028900-1P transcript:Sspon.02G0028900-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRWAVLLPSSALLLLWIVCSCNWALVSVAASPYGANMTDLMRHVEFFDEDRDGILTVPESTKGFIAIGMDPAFALTMATATHAAFGPLTTPPGKLPSVNIHVSHIHGAVHPSDSGAYDKKGNFVPKKFERIFQKFSHSEEDALSWLEVEAMLIANRDILRPLSWPAAETEWQLIHMLGKDRHGYLHKDTLRGVYDGTVFPKMRDHTIDPHLTGHSDA >Sspon.08G0012520-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:52158024:52166906:-1 gene:Sspon.08G0012520-3D transcript:Sspon.08G0012520-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVDDDVEEDEIEFCPFLREGSSSETSSSLTSEAECEVYSSDNRPSGQTYLQNSVVNENTSDSALPQNRLSSQGLVNEIFPEETSTQVNLENGLEKDVLASKAACSPTVQNPLQISEEDAICRRTRARYSLANYSLEELETFLQESDDDSGLQNVDEEEEYRKFLASVLSGIGNDTQAFQGDENQDEDDNDADFELEIEEALESDGDENAENYGDTNHRKEKGGRRPQTRQRRPFTELSGTRSCHRESDKTNFRSILPYIPSALVTPAHAFGWQYPTQNALSPSSLVSVPCAPLACGFTDQQLGQLHVMIYEHVQLLIQTFSLCILDSSKQDVANNVKKMIVELVGFRDQALARSAPQQHIVFESRHLSSSFVSSENLECQWMPLIKSPVISILDVTPLELALSYLSDVTTAVVKYRRSHVDGTADKTRRKEPLFPSLFINSCKEVNNVSQDRSNSVPTASSPSSGQLQQKKSLAATLLERTKKGTVALVPADIARLAQRFFSLFNFALFPHKPPPSPMANRVFFTDAEDRLLALGILEYNNDWEAIQKRFLPCKSKHQIFVRQKNRSSSKAPDNPVKDVRRMKASPLTVEEKECIEKGLRIFKNDWTSVWKFVVPHRDPSLLQRQWRVASGVQKSYSKSDAQKERRRTYEAKRRKLRVSMPDSRRGQEADNNASEDAENDDDSYVNEAFLEDTDSMPYQQSGTDLDEECGTTGGYIEPQKLSGAKLDVTTSYKPFMYRPSDGPSYVRTPSTAAPVVSCGSLDQLPASQLSKQKGSCVVKLAPDLPPVNLPPSVRVLSQAEFYRNATHFQGTSDNAAKDMYPVPPLTSFTESADRQLNMFPDHRANSRLQQNGISSDNATEDGAEQDLQMHPLLFQYPRDVTSYSHPVQNLINQSRKYDLFPFEKVRVERSNNQITGSTENGTVNANTIDFHPLLQRTEVDVHNEVPEYDNNLDCHQSDNNMSEIPVDDQSIAGQASTSPSERETSIDLNIHLCSPTVINGSNDFRSSFSRSNVQDEISRKDKSSVPELEVVNSYSHYCIQEPNEESMQGIVMEQEELSDSEEDSQHVEFECEEMDDSEEEQVQCPEASPIQNKGISASVVCGEFHVSNDQSQIQQGSVQKDKQGASLMQKMQVPSRSARAKLKPETAKCTGSRTSQRSSTSRTAETSRSKTRNSKV >Sspon.01G0021280-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1A:78216621:78217827:1 gene:Sspon.01G0021280-1A transcript:Sspon.01G0021280-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATQLLVLVALLLLPLVAVLLGKQRGPRKGKNGARLPPGPAGLPFIGNLLLLRRHSSDVEALLRRLVARYGPVVSLRAGSNLTIFISDRRIAHAALVESGAALADRPAVTRALLGESDNIISRSSYGPVWRLLRRNLVAETLHPSRVKLFAPARAWVRRVLSGKLGRENDEAQAPPPVMEAFRYAMFCLLVLMCFGERLDEPAVRAIASAQYGWIMFVAKNTSVFAFWPALTRILFRGRLQEGLAARQRQKELFVPLIDARRVRKQQVKNQGGAAVAQEEETTTFEHSYVDTLLDIRLPEEGDRTLTDDEMSNLCSEFLTAGTDTTSTALQWIMAELVKNPDAQEKLYSEIKATCGDEQGEVSEEDTHKMPYLKAVVLEGLRGTPLHTSCCRTRRRRTWRSA >Sspon.07G0008300-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7A:22745470:22746060:-1 gene:Sspon.07G0008300-1A transcript:Sspon.07G0008300-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPHGRPGEEHAPHRGRPPLPRAPRESISKLVIIEMGVLMISPDRSICQIFEGLKEFGLGVTEKGFLYGIRALCGMSRETWLHRVALYRSFRVSEGELPTILSFSDEIIKKKLWFFLDELKLELSEVMGQPVLIGYSLEKNIIPRCAVLSLLMREGKIGPNVKLISALLGSTSAKMFSTKYVLRYAHDVPDVVKAYE >Sspon.02G0020000-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2A:65236354:65236767:-1 gene:Sspon.02G0020000-1A transcript:Sspon.02G0020000-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding IEPAAGGRCRSTSCPADINQVCPSELAVRAAAAAAPPSSSAARKDEDAVVGCKSACLAFGTDEYCCRGQFASPATCKPSGYSKLFKAQCPQAYSYAYDDRSSTFTCNGTVDYQLTFCPASGTRSRSRTTLPTHGNNL >Sspon.05G0010250-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:20494938:20500913:1 gene:Sspon.05G0010250-3C transcript:Sspon.05G0010250-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALLELEKVQRVLSLMSSRGLSHTDSGRGGGAGAAADRFLARFLLFMVQPFDSLTVENKFLLVSELLAKATPDTLEEVRHLTHLEANQDISSGGLLQPIKKFKMHAEKSTIQAVPMVGFDAMTRAKSTLEDFCRSYFMFHGLDVNKPQAIFKYLPVLSFTESYIYQLDASNEDCLHQVPDDNTSKVLGKKEEAVNETSLSQMIEPLEDLLQCQGLMTDRLRTELKSGIQYWSLERKLCQALLRNEEISIEDVMKAIHLKSFDYRVLNLLMFQLTGQHVNELHMDFLSVSEFLDDVMNNTFNILRMFAAIYGPLEAPNMLAKCIGEAEEKYESFSKKLEPSLSGSYWRRCEEATKEGGKISGHAYGTWNIPRVISNEESFRRERSSKHDSCAVII >Sspon.04G0009100-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:26347077:26348098:-1 gene:Sspon.04G0009100-1A transcript:Sspon.04G0009100-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SWLITGRGIAKKIRYAAPSANHQISELIAEARRECPNCSYVIDNSDVAMQWPGLPAGVKFDPSELELLEHLEQKVGLGGSRPHVLIDEFIPTIDNDEGICYSHPENLPGMKTDGSNAHFFHRASNAYGCGQRKRRRIINCSDHTVPDEHVRWHKTGRSKPIYDNGVIKGWKKILVLYKTSQRGGKPDRANWYHLGEEENEKDGELVVSKIFCQLPNKSMEISETETAYEEPDAPASVIGPKTPKTITPKPRHPKNSPCETEQNIPILQD >Sspon.05G0020200-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:88901238:88902770:-1 gene:Sspon.05G0020200-2B transcript:Sspon.05G0020200-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKVKRKAGVKKAKKAPVSSSSSNPAVASGPAKVWQPGVDALEDGEELQFDPEAYNYIRGFGIGWSCLSFDIVRDQLGLVRSEFPHTFYGVAGTQADKASRNYIGIFKLSNISGKKREPVPSSAIDGDADVDSESSSDEEDDEINEEQSPSYI >Sspon.03G0002340-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:5787769:5794213:-1 gene:Sspon.03G0002340-1A transcript:Sspon.03G0002340-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GARAAGGVHRGRRQRQGGRGRGHGHRRRGRRRRPARRRRQAQHEEPAVARRLRLGRMVQLRLQPGGAGAPDSAVLLLPAGDAVRHPAADLLRLLGQLDRLPHQCPLRRVPLPQGEGRRQLQEPRHPGVRSAGRAAGPLLESGRSGLQLHVPALRLRHPADRLREVHLLHQRPAGQADMDVHLRRLLRHHGVHPVLPQLPDLVLPGARHDHLHCVVPRHRRAHQRPGRRRGAHRPDQARALLHRRHQHPLHLRRPCRHSVDHARDVEAGQVQVHLPAGDAVRVHADAAVGGGHVLGVRRRAAEPLERLLAAAQDRVARRGGDPDADPPVHHVRVRVHAALLRVGEGDRDARHQEHLQARAGAAAHRGAHLVPGHHLPLLRAHQLRRRRAARQLHRLHHPGIGAHPHLPHGVRAHGKLPRRSHRSSCRAGRGCSSSTCSSWCGCWWWASGSAAGPAWSTSSGRSTRSGCSPSATSAPSRPSRRPRSHRRRCRTTRARTVT >Sspon.05G0002320-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:7101911:7102174:1 gene:Sspon.05G0002320-1A transcript:Sspon.05G0002320-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GDPPAGVRGGEGLPAGVPGVGAAAVAAQPLAAAGAPPARGLRRLLRRPRLARGPPARHRPAPPTRRRQPERPRRVPPQRHDANALRLK >Sspon.04G0036040-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4D:22226954:22228240:1 gene:Sspon.04G0036040-1D transcript:Sspon.04G0036040-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFIVPPCVMLLHRQHLHLLVVEVLVLLRLGWMTWLEKGEIEMVHGRETHRESVMAYNGQNPRVPQGHFLIAMVAAARACSGVKTNRTGFKPNPTADVNRLMRGFKCLTASCAPASLQHIPSSVPDPKFLSTAIFAESTAGPGPPIPRIVSPTRNNARRCRAALWDETSCVGA >Sspon.01G0010760-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1B:36518327:36518767:1 gene:Sspon.01G0010760-2B transcript:Sspon.01G0010760-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNCGWWIACTRPYHLPSQLFSSTDASNLIPSFATTPRLDGASTSKDAPLTQFLFHSARSDGRSLLPWALSVRRTSSSKGRSLPLGLGDGGATDEALGRLRLPHQAAFSLVIAVIVSSSHILHHLTLHPKTYKDSRETKRHCFMDS >Sspon.05G0007020-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:22046540:22056987:1 gene:Sspon.05G0007020-1A transcript:Sspon.05G0007020-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAREAPAIAVPGPQCQKQCGGVDIHYPFGIGDNCSRSLGFNVSCKEVQDGVYKPFLGGDRCNIMPRSMEVRCVQLGLVCLLLLLAAKDAPALAVPILQCQRQCGSVDIHYPFGIGDNCSLSPGFNVSCDEVQDGIPKPLIGNVELLNISLIHGTIRVLNSISTSCYNSSSGLMEGNQWLLNGTNSPYRFSDVHNKFTVIGCNTLAYISDSNSTGYQSGCVSTCSNLSDLVDGSCSGMGCCQTAIPRGMAYYEVGFDSRFNTSQIWSFSRCSYGVLMEAEAFNFSTLYITTTKFNDTNVGRAPVVIDWAIRDGTTSCEVAKRNETGTYACLSTNGECVESPNGPGYLCNCSKGYDGNPYLPDGCKDYNECRDVSSCPSGSICHNTIGGYQCSCRAGRKFSEQNKTCDPDTGLIIGVTVGFLVLVIFSFFGYMILQKRKLNQENNLDAILPSHVKGQESNELIRGLAELAKQCLDMCGCNRPSMKEIADELGRLRKLSLHPWVQINAEMIETQSLLSGTPTASFEIEASTTGYPTQESENLPMNPRSSYYAR >Sspon.06G0030970-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:57390784:57391059:-1 gene:Sspon.06G0030970-1C transcript:Sspon.06G0030970-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPELRELKLVFDANEWDKAAPGGLQHLPRLEKFVAQRSCYIIGEWWSRDPAFLDKDVDKAELELIRGVFQKAADALPTCPTFVLEDAWLYR >Sspon.08G0009740-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8A:42653695:42654048:-1 gene:Sspon.08G0009740-1A transcript:Sspon.08G0009740-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVISNSARKMETLTEDNKEQRKVNEPEKEKRKGEEEREMRIMFWTIRNRAANHRWNLISVYGPAQHDSSEEFIQELNEICEKDSLPVVLGGDFNLIRNNQERSHGQGEAEINGHLQ >Sspon.07G0003170-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:26665726:26666998:1 gene:Sspon.07G0003170-1P transcript:Sspon.07G0003170-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVPDSLVWEIVRKNNSFLIKQFGNGNAKVQFSKEPNNLYNVHSYKHSGLANKKTVTVQPASGKEIAVVLSTTKTKKQNKPASLHHKSVMRKEFRKMAKAVKNQVGANYYRPDLTKPALARLSAVYRSLQVAKSGVKKKNRQAN >Sspon.06G0012600-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:54494917:54500534:-1 gene:Sspon.06G0012600-3C transcript:Sspon.06G0012600-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAGNNEQHGLTLLGLHVSPFALRARMALNLKGLSYEYVEQDLFHKSELLLSSNPVHNKVPVLIHNGKPICESLVVVEYVDEVWPSTGAAILPADPYGRAVARFWAAYIDGKMFLSWVGVMKAATEEERAEKVRETHAAVLNLEKAFAEISSSNNGAAFFGGDSVGYLDLALGCSLPWFGALRVMFGVEVIDAAQAPLLAAWAERFAETAVAKEVLPEPDQASNGSRWHAPRHAPTNPANNWSTVTLETFSIRNKEDGRRREYPLSAVPSAAGDSGGGGEMVDSVWNCVTRVNSASEGVGAGVIGGATWWSQGKEMPLPCKNGADAMGVTTINGVAIGKATDVEDSGGVQIMRLESAIPPTLSDSFSLGGADGITNDGVALPMKHAIAWCFSFTMAGAKLGMALMVEAVVTLSVGRQPSTEASGKFGVAAIEGGGEIVDDAADGEDTELSLVGEKAVMRGASGDKDALRDGEEIVHGWKWWMSRCDLREAEGLTLLGLHVSPFALRARMALSLKGLSYEYVEQDLFHKSELLLTSNPVHRKVPVLIHDGKPICESLVVVEYVDEVWLWPATSTVPAILPADPYGRAVARFWAVYIDDKLFPAYAGVTKAATEEERAEKVRETHAAVLNLEKAFAEISSSNNGAAAFFGGDSVGYVDLALGCSLPWFGALRAMFGVEVIDAAQAPLLAAWAERFAETAVAKELLPEPDEAVAYHAKKIQALAYRASASAKK >Sspon.08G0023020-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:52274491:52283745:-1 gene:Sspon.08G0023020-1B transcript:Sspon.08G0023020-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADESWRVPSLVQELAATVQEPPSRYLIPEQDRSGDQLAGVEMPEPVPTIDLQRLLASDSADEEAAKLRSALLSWGFFLVTEHGIESSLMDSLTAASREFFRKPLEEKQAYSNLIDGKHWQLEGYGNEQVYTEDQILDWCDRLHLRVEPEDERNMDRWPGHPESFRGLLHEYSQSCKRVKHGILRAVARLLDLDDDDGIIDQFGDRGSTNARFNYYPACPRPDLVLGVSPHNDACVLTLLLADEHVGGLQFHRDGTWYCVPPVRGRALLVNVGGSLEGRMSLAMFYATDLEKEVQPIAELLDEKHPARYKKIKYRDLMAAHYEHFSRRERVIESLNI >Sspon.07G0024090-2P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7C:19469071:19469831:-1 gene:Sspon.07G0024090-2P transcript:Sspon.07G0024090-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding APAIPLPLTTAPPPPPPPPPPPSRGPRRCDPRPPPPAPRPAEAGPTTTTATAGGHNNVSVSPPACTTCRHAPSSATLDLLILLLVLFSLAFLLASSLSHVARSLSPLLATPPAVAALAHAAAALPYAAAAAVLAAAAFLSCRRLPRRRCRNPRCRGLRKALEFDVQLQTEEAVRAGAGSTVGGADAAMWREIEALPWKGGQGGNNPDYECLRAELRRMAPPNGRAVLLFRNRCGCPVA >Sspon.01G0040360-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:40205075:40205688:1 gene:Sspon.01G0040360-1B transcript:Sspon.01G0040360-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGVGADTASLRGVFQGQVRGMRMNYYPPCRQAADRVLGLSPHTDPNCLTLLLQMNRDVQGLQVSKDGRWFPVQALDGAFVVNVGDALEIVSNGAFKSVEHRAVIHPTKERISAALFHFPDQDRMLGPLPELVKEGDRVRYGTTSYQDFLKQFFTAKLDGRKLIESFKLE >Sspon.03G0029340-1P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7B:15765982:15766491:1 gene:Sspon.03G0029340-1P transcript:Sspon.03G0029340-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASLWRAVMDSVSGSSSSPSALDAAPGGGVEFWHGAERAGWLNKQGEYIKTWRRRWFVLKQGRLFWFKDPAVTRASVPRGVIPVASCLTVKGAEDVLNRQFAFELSTPAETMYFIADSEKEKEEWINSIGRSIVQHSRSVTDAEVVDYDSRPQPPPQPKASEESEPAA >Sspon.02G0016760-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2B:44927147:44931310:-1 gene:Sspon.02G0016760-2B transcript:Sspon.02G0016760-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVKAAKKAVIEGIQCVKVSVELMNLCCKKVLKVVVANAGDAKAVLARSISTEGEGVVDETKSQLKAIVLTREHKAIFPLERSRIQKSHFVPPVMVLAGGSVGSNGRLQGRIEVSRAFGDRQFKKVFGPGDAVEFVQNQLKETSSATLAVRRLVKEAVRERRCKDNCTAVLIVFKH >Sspon.02G0009160-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:20753928:20755729:-1 gene:Sspon.02G0009160-2D transcript:Sspon.02G0009160-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGYLLIITNQTGNTYDRSRSSRGALDARLGDAGAGVDEVAVDEVGGEDLQLVAEALCEDLGDEEARVAVDPLGDGPHAQHRVVGLGRHRVLHPVEEPPLRHGRLLRQELEVALELGLRQVRIDPVVPQVPGAPQRVPWLRRRRLAGLPHADDPPREQLRDRLVEHRLVLRDQVLAELLDQELVELRRVLVPVLGADLQDDHLGLGLAQEPGHVVQHQVDGVRRQDAVADAALLLDAHVDDARARGQLLVHGHGLALREGAGHERHADLVGGRVVRAGPHHLVHAQPVGAQLRHPPLPVRGAVLRPRERVAGGGQPRGQRLLLGGHQLPPVHLLREEGAHGFQRVVGGAVALASAAAAIGGSHGWMDG >Sspon.06G0007750-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:37137336:37139852:-1 gene:Sspon.06G0007750-1A transcript:Sspon.06G0007750-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLSGGTISSGTSSGSSHGTRSFGSEGDMVDLQARMELKRKRRMESNRESAKRSRQRKQQHLDDLNSQVDKLRTTKQQLMTALNITTQNYAAAEAQNSVLRTQMMELESRLCALREIICYMNANHVANAATTMNAHPATIMSGAANYDTFGASATAWNSGMQMVQQPIDHLLYQCF >Sspon.02G0010680-4P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6D:5990974:5993023:1 gene:Sspon.02G0010680-4P transcript:Sspon.02G0010680-4P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLKYRPEDKAAKKERLLKRAQAENEGKTVEAKKPIVVKYGLNHVTYLIEQSKAQLVVIAHDVDPIELVVWLPALCRKMEVPYCIVKGKARLGSIVHKKTASVLCLTTVKNEDKLEFSKILEAIKANFNDKFDEVRKKWGGGIMGSKSQAKTKAREKLLAKEAAQRMT >Sspon.01G0054850-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1C:69696499:69697090:1 gene:Sspon.01G0054850-1C transcript:Sspon.01G0054850-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GAVSLAAAPAAAPAGCSRPGPTYLQAAGAAADRGQPAYACVPVALPGRRPNGASPPMPVCLLPCQDGGRTGPARLPPSMVGGCRGARRGLVPHPVAWRGQSGVPGRGDHMGLLLDVTDYGHSSATGAQGRRTRSSGGRIRSPLCWICAVGGLSVAVARQRPKPRRIAWWRRRWPVHLRQGRALQHGGSGDVAADRR >Sspon.07G0023690-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7B:18450836:18451902:-1 gene:Sspon.07G0023690-1B transcript:Sspon.07G0023690-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding KFTKRAQNKFEVKIKKIRSDNGKEFDNTNIEAYCDEIGIKHEVSSTYTPQQNGVVERKNRTLITLARTMLDEYNTPEKLWAEAINTVCYASNRLFLQKFLGKTPYELLNGRKPDVSFFRMFGCKCYIYKKRQHLGKFQRRCDIDFLVGYSSKSKAYRVFNHATGLVEETYDVELDESNGSQGAIENCDDVGDEPLRESIKNMPVGDIKPKDDEDDNERVENEDTHVSHEQAEAQAQDVDAPQPSSQVVERRNSPLLQAHPQDLIIGSPTKGVMTRSQKLASFVEHHSFVSCLEPKNVEETLQDPDWVNAMHEELNNFTRNQVWTLEEHPKGARVIGT >Sspon.07G0029590-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:77186748:77189312:1 gene:Sspon.07G0029590-1B transcript:Sspon.07G0029590-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding PMAAAGAGGGAGGKVSFKIILTSDPKLPFNRFSVPEAAPFTAVLKYAAEEFKVPPQTSAIITTDGVGINPQQSAGNVFLKHGSELRLIPRDRVGAVVVPSY >Sspon.02G0011580-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:30864386:30865231:-1 gene:Sspon.02G0011580-1A transcript:Sspon.02G0011580-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAERRSRYGHGHVVQSSRGDTPPLPCPGRWTEITARPCVPATASRGDGTGRQGAVAAALPAGVQGTSCSCLRPECLKRAERRAGRTAVLVLPANRRRQFSPVAKVEKADQMVTATVASAYTHTKITEHSLSPTPNSCIIVPGKKIQHPLHLLRPFVFLPHLEQTSTHNIFKNKTTRLRRGCIIILPMFNAGGTAYCRWRMNWYRGSGWMRPSRTAPFTSAVPSSGSRMYLTTMTPAITDGGTLAPPRTPGK >Sspon.01G0028140-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:98523556:98523906:-1 gene:Sspon.01G0028140-1A transcript:Sspon.01G0028140-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAAVERKVIKVLLVEDEEIHRVLARALLRSAVGGAEMDEAGTGAEAVRRVRDGGAGAYDLIITDGKMPIMDGHEVRHAIWRYA >Sspon.02G0023870-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:81486392:81487525:-1 gene:Sspon.02G0023870-1A transcript:Sspon.02G0023870-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSSPMVLSLLLFASLTALLVLAPRLSPPPQPAADEQAPPAAGTGGAGGGGLRVVGVGAGGEEADDLRLFRRAALESSSSAAAAGAKAAGPPKVAFLFLTNSDLTFAPLWERFFSGHESRLSVYVHADPAARLLLPPTPSFRGRFVAAKPTRRADASLIAAARRLLAAALLDDPANAYFALLSQHCVPLHSFPRLYAALFPPPPPPSASPLRRPRSYIEVLTGEPQMPSRYAARGGEDAMLPEVPYERFRIGSQFFTLARRHAVLVVRERRLWRKFRVPCLPDMAQDSCYPEEHYFPTLLDMADPGGVARYTLTRVNWTGSVAGHPHTYTAPEVTPGLVAELRASNHTHPHMFARKFAPECLAPLLAIADTVLFKD >Sspon.01G0011850-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:32180936:32185474:1 gene:Sspon.01G0011850-3C transcript:Sspon.01G0011850-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sucrose synthase 2 (EC 2.4.1.13) (Sucrose-UDP glucosyltransferase 2) [Source: Projected from Oryza sativa (Os03g0401300)] MGEAAGDRVLSRLHSVRERIGDSLSAHPNELVAVFTRLKNLGKGMLQPHQIIAEYNSAIPEAEREKLKDGAFEDVLRAAQEAIVIPPWVALAIRPRPGVWEYVRVNVSELAVEELRVPEYLQFKEQLVEEGPNNNFVLELDFEPFNASFPRPSLSKSIGNGVQFLNRHLSSKLFHDKESMYPLLNFLRAHNYKGMTMMLNDRIRSLSALQGALRKAEEHLSTLQADTPYSEFHHRFQELGLEKGWGDCAKRAQETIHLLLDLLEAPDPSTLEKFLGTIPMVFNVVILSPHGYFAQANVLGYPDTGGQVVYILDQVRAMENEMLLRIKQCGLDITPKILIVTRLLPDATGTTCGQRLEKVLGTEHCHILRVPFRTENGIVRKWISRFEVWPYLETYTDDVAHEIAGELQANPDLIIGNYSDGNLVACLLAHKMGVTHCTIAHALEKTKYPNSDLYWKKFEDHYHFSCQFTTDLIAMNHADFIITSTFQEIAGNKDTVGQYESHMAFTMPGLYRVVHGIDVFDPKFNISHKRLTSLHPEIEELLYSQTDNTEHKFVLNDRNKPIIFSMARLDRVKNLTGLVELYGRNKRLQELVNLVVVCGDHGNPSKDKEEQAEFKKMFDLIEQYNLNGHIRWISAQMNRVRNGELYRYICDTKGAFVQPAFYEAFGLTVVEAMTCGLPTFATAYGGPAEIIVHGVSGFHIDPYQGDKASALLVDFFEKCQTDSSHWNKISQGGLQRIEEKYTWKLYSERLMTLTGVYGFWKYVSNLERRETRRYLEMLYALKYRTMASTVPLAVEGEPSSK >Sspon.01G0005420-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:14572832:14580007:1 gene:Sspon.01G0005420-1A transcript:Sspon.01G0005420-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLRTKRPEWKSLMPLQLSRLSRKSAMRFFLFPKVQSAGQSPDDTPVYLNVYDLTPMNGYIYWAGLGIFHSGIEVHGVEYAFGAHDFPTSGVFEVEPRQCPGFRFRKSIFLGTTCLDPIQVRQFMELQSVNYNGDTYHLITKNCNHFCKDMCYKLTGNKIPKWVNRLARIGAICNCLLPESLKISPVGHDPNSQSEDSEKRRLRNPFSCFSSISSHRQLPSSSPFPPSPVKEGLSHCSSRKPSTASLKNR >Sspon.05G0010190-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:28355425:28360550:1 gene:Sspon.05G0010190-1P transcript:Sspon.05G0010190-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLARSPTASPPAAAAERHRSAAGSGLRSLASAASGWWDRWAVVGSGLSKLEKAFGDQFPEGERYFGLENFGNTCYCNSVLQISASKKKTGVIAPKRFIQMVRKLNEYFRGYMHQDAHEFLNFLLNEIVDILEKESSSAKDSPGTTSPEKVSNGSAVDGVRKEPLVTWVHKNFQFARSREENEDKKVPQTLVIHLKRFKFIEQLNRNKKLSYRVVYPLELKLSSSSSDAVADCEYSLFAVVVHLGSGPNQGHYVAKIKSHDHWLSFDDDNVEMIPESTLQTFYGSSREYSGNTDHGYILFYERIGGNGDEKTVSSDGV >Sspon.05G0009900-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:23317712:23318731:-1 gene:Sspon.05G0009900-2B transcript:Sspon.05G0009900-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DGGGRHADGALRRDGRHPPGPPVLQFWRQQQVGGHTHAVGRLTAPSHPAAPDATAPGGGAAAGGVQGGGRLRGHRGPARLRQPHVRVRAAVPAGVHLLARGRHAAGLQRHHVAPHQRAAVHGAHRQLRRRAHLRRGPARHRLLLRRDLQRRAARQVPARVRPHAGRVRFLRGHPVALRGGVREGDQGADDAVGAQGADVHQPRGDGGRSSGPVRVRGVADAPGGDGGVQEREGEVRPDAGGHGGVLAGGGRGHGAAHREGVVAVRQRDGHGGAAAGAGVRGGAVWGQDDGHQGRGHAHGRVGVLLVRVPALPRRPGRRLREGDRALRRLCRTDGRRHGLT >Sspon.05G0026600-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:43964908:43974234:-1 gene:Sspon.05G0026600-2D transcript:Sspon.05G0026600-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMATRMGSSVRATRRSPPPIRSGADAPAGDDGEDTGVKEIIAKMREGLPAPAPSDGADTGDSPGGGEGGGADEEEEGFVTFSLQELVGPLVVDGEEVLDAFVGSDEARAGKAAAELLEATMGANTGPRTEVIKTELVVNRRLLDLAGLERWMRTAEAVSELEWFTGLCCDENNPPPQIDLFECAFRALDNASAVELHRGADARKRWIGPVGVPQFFICPISNKVMENPVVIASGKVRGIFHRLCFLDGSVMRFHVSWYACSGSSDDAQTLPWLQTVDRSALEEWQKENRRICPVTGEVLAYTMCIPNVLIKLCIEHWRTANKIAGVMAATDPPDISHELEVLIGQVTLMPHSPRSSKEVRNSLFLLHEILVANERAVVHLIGCRPGTIAKLVSVLPETCLDPDDPELDDIIIRILEKAASYGPNKAVFGDDQYAVPVLIARTLLGPVPMRARCAHILGLLADDHYNKIKIGELGGFAPLVELLYVGDRGAKKTAARVIARLCEAQENQSKFQKEGVVDATISALRSDGLAEEAQDILLRVAESSDALTEAFLKLVSIKDDEMCQKTSEFLWRTFVLMKREDKHDVGSSMTASKKTAWGERSSTSSDADKSSTSSEGSADQRALRKQNKEDVKTIVSWLQKRCSFPRTYRLVATYSVKNSSTSTRVNGNPLENVMNSDRPSSSCTSAAMQRRRYGAASSLGSALPSLPSSCHRLRIACSRSSNTSAPTPPRTSATPRRGASTEPQDARNRRTRARASSSSSPHAHERSVVVDSASAPWRRMARVSATSWAAAAWVRTRARTWETASTPALRWNHMKSATALRDEWRPAPRESSRNNQFPKLLNPCQEEAKNSRSSGATHGLVGGGDQRGGGAHAAQADGEAAFSSRRSTLPSPRPPPGGRPLPLPRPPGSRSPSPRRGGLVASGSRSPSPRRVTMMATRMGSSVRATRRSPPPIRSGADAPAGDDGEDTGVKEIIAKMREGLPAPAPSDGADTGDSPGGGEGGGADEEEEGFVTFSLQELVGPLVVDGEEVLDAFVGSDEARAGKAAAELLEATMGANTGPRTEAIKTELVVNRRLLDLAGLERWMRTAEAVSELEWFTGLCCDENNPPPQIDLFECAFRALDNASAVELHRGADARKRWIGPVGVPQFFICPISNKVMENPVVIASGKVRGIFHRLCFLDGSVMRFHVSWYACSGSSDDAQTLPWLQTVDRSALEEWQKENRRICPVTGEVLAYTMCIPNVLIKLCIEHWRTANKIAGVMAATDPPDISHELEVLIGQVTLMPHSPRSSKEVRNSLFLLHEILVANERAVVHLIGCRPGTIAKLVSVLPETCLDPDDPELDDIIIRILEKAASYGPNKAVFGDDQYAVPVLIARTLLGPVPMRARCAHILGLLADDHYNKIKIGELGGFAPLVELLYVGDRGAKKTAARVIARLCEAQENQSKFQKEGVVDATISALRSDGLAEEAQDILLRVAESSDALTEAFLKLVSIKDDEMCQKT >Sspon.07G0021150-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:1016513:1025810:1 gene:Sspon.07G0021150-1B transcript:Sspon.07G0021150-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MELIAIRTASARTKNLEEKEKLQTLKKPQSLPKRRLPSQTKNPEEKEELQTSKKLAAPKKTARGHHAGAAVQQPRAPLRQSSRLAGRDLEHPVVIDDDEHKARADQSAIMPLRRSPRFHVEDKSLGKSLLPPNRRETSANRKTKTAHRKDKNQESLKRNRGSAGFPPRKDISDVSNKKSDKQELKSNHCKVQTGKRKRGTERRVSSKKQSCQDPEPLPVYCQEIAPRNDMALRKAYFSARPSPHFWKKVSKMVPGRSAEDCFNRIYSDLSTPTPIGPRSRTRKRSGSSSARKKPFSRLRTKPSEPSPAVLKPIKNVILHEKYIDQLARREGTKRPRRRTSASSKAADSGKSLSEQQAGVKAAKNALISEATDFISSFKTLQ >Sspon.01G0010570-2P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2B:7302992:7307767:1 gene:Sspon.01G0010570-2P transcript:Sspon.01G0010570-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GQHVALHGPQPSGVIGLINTRLSPIQVAQAACEDARAICLREYGSAPDINIYGDPNFTFPYVTHHLHLMLFELVKNSLRAVQERYMNSDKDAPPVRIIVADGEEDVTIKLLPPPPSAALRVPWGPRPAPPPPLAPPPPPPTAALQRNREGARQWGSVSGLERGRGSAALAGSPGSSRRRLAPHQGHKIAKGTKSPSSSRSNSSKDYHSQLTARYLARRILGLVDVDNNVSVTILQQNIFTFTKYEVKYGKAWHAKQIALAIRWGSWKEAYNKVPRILCAIRQALPCWLGCCRRSHKLPWKAEEPRAADPRATAKQSSERLSALPHSPLSLTRRLGLAFRVLIIKKKLSGF >Sspon.08G0011560-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:47552794:47559926:-1 gene:Sspon.08G0011560-3D transcript:Sspon.08G0011560-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGGAEQAPEAAAEVKNPRCFMDVTIGGEMEGRIVVELYASVVPRTAENFRALCTGEKGIGAASGKPLHFKGSCFHRVIKGFMVQGGDITAGDGTGGESIYGSKFEDENFVLKHERKGMLSMANSGPNTNGSQFFITTTRTPHLDGKHVVFGRVIKGMGVVRSIEHVTVGEADYPTLDVKIVDCGELPEGAADGVVNFFKDGDRYPDWPNDLEEKPAEVSWWMDAVESAKAYGNDNFKKQDYKAALRKYRKALRYLDVCWEKEEIDEDKSTALRKTKSIILTNSSACKLKLGDSKGALLDADFALRETEGNAKAFFRQGQAHIALNDIDAAMESFKHALELEPNDAGIKRELAAAKKKIADRRDQERKAFARMFQPSGKSDKSNEETGH >Sspon.07G0022180-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7B:6869280:6869655:1 gene:Sspon.07G0022180-1B transcript:Sspon.07G0022180-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVKIFLWLAFKRRHWTNDRRARHELVAREKCYLCDQAPETIDHLLTCCPYSREVWFFMCSALGRPLPLASTTVRSWWMRMRAGLQTSKRKGLDSLFALVSWQLWKERNARCFRESASPVAELLQ >Sspon.02G0024950-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:14668713:14671056:-1 gene:Sspon.02G0024950-2B transcript:Sspon.02G0024950-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSTVAAAVREIRRAQRADGPAAVLGIGTATPPFCVLQDDFPDYYFRVTNKEHLTHLKDTFRKLCRITGLERRFFHHTEQMLNAHPGFLHGNGDLDARLDMVAKAAPELAASAAATAIARWGRPATDITHLVVSTSSEARAPGTDLGLASPLGLRAGVHRTVLQLGGCSAGCAALRLAKDLAENNRGARVLVACIELTLTGFRGPRQGDTFDTLVPQAVFSDGAGAVIVGADADDGDGGERPLFEMVAASQALVPGSTHLLNLRLGAGGVGGDVSARLQSFAAQDLERCLLDALARLGIGTGIGGGGWNDLFWAVHPGSRGILDHIDSALRLEPGKLAASRTVLREYGNMMSATVIFVLEELRRRMDEEGEEAAAEWGVM >Sspon.03G0022100-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:90251257:90255625:-1 gene:Sspon.03G0022100-2B transcript:Sspon.03G0022100-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aromatic aminotransferase ISS1 [Source:Projected from Arabidopsis thaliana (AT1G80360) UniProtKB/Swiss-Prot;Acc:Q9C969] MGSFVKLAKRAVETDAPVMVKIQELLRGATDVMSLAQGVVYWQPPESALDKIEKIVREPAVSKYGSDDGLPELREALLEKLRRENKLTKSSVMVTAGANQAFVNLVLTLCDAGDSVVMFAPYYFNAYMSFQMTGVTDILVGDCNPKTLHPDVDWLEKVLKENNPIPKLVTVVNPGNPSGAFIPRPMLERISDLCKNAGAWLVVDNTYEYFMYDGMEHYCLEDTHIVNLFSFSKAYGMMGWRVGYIAFPNEADGFHDQLLKVQDNIPICASIIGQRLALYSLEAGPEWIKNG >Sspon.01G0052750-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:21415548:21417000:-1 gene:Sspon.01G0052750-2D transcript:Sspon.01G0052750-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LMSSVNEVDSMDTQVKLAVVVKVMGRTGSRGQVTQVRVKFLDDQNRLIMRNVKGPVREGDILTLLESEREARRLR >Sspon.05G0036910-3P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:5922707:5927855:-1 gene:Sspon.05G0036910-3P transcript:Sspon.05G0036910-3P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLYDVNTAIHNDLENLDHQRASIKERKDAIKKKDKDMRKATNAQLTTPNLSPSLLVKDPINFWCPAAATTSERRDNPVHEVSAAGHAARRQNKRNKSRQVGQ >Sspon.07G0025810-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:47890342:47891031:1 gene:Sspon.07G0025810-1B transcript:Sspon.07G0025810-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMRIVCHPTTNKHKLRSRSCGIEKELISSYGRAGAQDHRSGPLTLLILLPLTMLSSMASATRHSPAVLSGEGFAVLRKVPTGPSNETSDPPPPPSQVAESSVVDFPVLREVPPGPSNETSDPPPPPSQVSESPVVDFPVPREVPSGPSNETRDPPLPPPSQVAKSPVVDVPVLRKVPTGPSNVTSDPPPPPAVATSARVDFSVLRKVPTGPSNVTSDPPPPPPVATSA >Sspon.02G0035700-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:24395271:24397524:1 gene:Sspon.02G0035700-2C transcript:Sspon.02G0035700-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDSDLDSAALWAAIDSAAAKASSRVRCAASDDDHCGEVLQPARRFKSPRLASASASHVTPPPPAPLPLPPPLPRLHASPYATPDAAAARNRLQGIESPPPPELWRLPNPMWSPIAAAYDGGLLPSLSVANFRKYQDVALSILEKSDYTSISGNPYIKKSGWRKISCFFNLSFEIKDHSIEFDENHNVNRAEFLVRASMMYAVFYAIAKVADSQMVGAPVIDERKNSTNLIMTFPAQLKPELRTRLARIFLELGVQDEKWRSLDPEVESTRCLLLDGLLISRARSVHKSSYSQGCLSSC >Sspon.07G0013870-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:49932838:49934051:1 gene:Sspon.07G0013870-2D transcript:Sspon.07G0013870-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSSLLGILSREFARSLFFPTSHNLKENLCSSSEPFGRVSTNPNPPAIAMATPFRPSAPAPSAKGGCGGDRCASGRDAWPLHHFRHEGVFCLLCSSCVLLYRPSAFCAACLHILSSSPAAAAAPPGDPAVAAPGPTAPCSACGVSVAHLSCVPGADRHPFVCPPCAAAAEGRAFSFAPAPGRRPLQERDARVLLVAARLAHDSVSRAAAAAREEAERRVAEAAEARKRSREMLDAAFRALEAEARDAKMKPAAAAQPPPPSKKKPEANLPPPPKKKPEANRDKDKLLKLNAMQQPALAFAAAAAAAAAATSVPLPTPSFREENKPVVKQEEAQGSPPAVWDLAIVRLLVL >Sspon.08G0015970-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:58025335:58025715:-1 gene:Sspon.08G0015970-2B transcript:Sspon.08G0015970-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIKKGGLAASGLKQILRRCSSLGRRQQQQQQQHGGDEDYNEEEDEATGCRPTCRGPLRGVRGRAAAPVRGAHRAAGPPGVPVPAAARRGGVRVRGRRRRRHLVLPCEEVAFRSLTSALACAGGAR >Sspon.03G0035210-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:79533839:79540571:1 gene:Sspon.03G0035210-1B transcript:Sspon.03G0035210-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLGKVAIVIGSGIVGSVLTGGESGLPDFRDAISGAFKFLTKSAKQGKDGPSTSSPHTAQLLNQVNYLREELQMLSKSNHVAIVTVDGRPGPGAYGITAVGWKLSDMMFVTKRGLSDACNVVGKQVDQVSESVNVAKRHLAGRIDRVDCSLDECQEITEATREEVTIIHGDLSAFQKEMETVHLVVRSLETKLGRLAYTQVTSSTPRPAIESSERIVRAASLPPAMEPESPRIEAPKVVHSSTIMSASGLSLLAGTTIPPKRDQPGALSRASSMKEGPSELPSGVRSSAEPSPRRSGGSTLFGGLGFLRSYTS >Sspon.07G0019630-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:72430524:72432107:1 gene:Sspon.07G0019630-1A transcript:Sspon.07G0019630-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVTVEAGNAGEAAWLDDDGRPRRAGTFWTASAHIITAVIGSGVLSAGWPVPAAMLLFAFVTYYTATLLAECYRTGDPETGKRNYTYMDAVRSNLGGAKVVFCGVIQYANLVGVAIGYTIASSISMKAIRRAGCFHDNGHADPCSSSSIPYMIVFGAVQIVFSQIPDFDQISWLSIVAAVMSFTYSSIGLSLGIAQTGSLTGISIGAGVTSTQKIWHTLQAFGDIAFAYSFSNILIEIQVSIKTYTELCSAPPPSESKVMQKATRLSVATTTTFYMLCGCMGYAAFGDAAPDNLLTGFGFYEPFWLLDVANVAIVVHLVGAYQVFCQPIFAFVERRAAAAWPHSAFISRELRVGPFALSLFRLTWRSAFVCLTTVVAMLLPFFGDVVGLLGAVSFWPLTVYFPVEMYFKQRRVARGSTKWICLQTLSVSCLAVSIAAAAGSVADVIDALKVYRPFSG >Sspon.03G0012350-3C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3C:50588129:50588522:1 gene:Sspon.03G0012350-3C transcript:Sspon.03G0012350-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GLKLEDFLVRVDGKRRLTITDRRKPTPGKVRLNKTFQFQLPKTANPDAITGCFNGVVLTLTVPTKGKGQQQPAVTVAAKEEEPKAKAEPGREKEPKAKAEPDREKELIDTAVAAFTLGVLFSHRLFSSRN >Sspon.08G0006790-3C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8C:21089534:21091377:1 gene:Sspon.08G0006790-3C transcript:Sspon.08G0006790-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSLQDKASEWSGVAAADAFAIDDVNIFESLGGTPQPFVDLSTNFYTRVYEDEEEWFREIFAGSKKEDAIHNQYEFLIQRMGGPPLFSQRRGHPALIGRHRPFLVTHRAAERWLHHMQQALDATESIDADSKTKMMNFFRHTAYFLVAGNEMTRQQGHGVACKHATSKPAE >Sspon.02G0014580-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:38670546:38671834:1 gene:Sspon.02G0014580-1A transcript:Sspon.02G0014580-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALERVSPVGDALAEELQLQEVILFSAFQEMVIQDTSVDSLLDDLFMQDHMTEASERPSTSADAGQSSSSIPPPGDEFYCPICMESLPNSRKFVISSCGHAFCVTCIGQYIAAKIGENVVHVKCPEPSCGDGTIELADCCGVIPSELFSRWDVALCELTLGEQRLYCPFRDCSAGLVAEDGNGNGAIVEAECPHCHRLFCARCMVPWHDGIGCEEFQGLGEDERGREDVMVRRLAGEQRWQRCPQCRMYVEKSEGCMFIKCRFYATPRTKLIMVPDSP >Sspon.01G0002720-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:7294847:7295333:-1 gene:Sspon.01G0002720-1A transcript:Sspon.01G0002720-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VHREVLVDMGQIQYSEKYFDDTYEYRYVVLPPEVAKLLPKNRLLSEVRVARHRCAAEPRVGALRDPPAGAAHHAVPPPDQLPAAAGGGCSADAGQ >Sspon.02G0017930-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:55059905:55061351:1 gene:Sspon.02G0017930-1A transcript:Sspon.02G0017930-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLIHSHSNTIVSFVRFFHPLAIIRYDIAMFLAHRTNKAGEVEVRVRFEGFGADEDEWVNVKKFIRQRSIPLESSQCKSIVEGDLVLCFREGNDEAMHFDAHEGNDEALHFDAHVLEVTRKQHDIRGCRCVFLIEYDHDQSQPLFWLMAGEGEPEKIVSAAKVLLKGPPVSLMGISIRNWN >Sspon.01G0031210-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:107570629:107574216:-1 gene:Sspon.01G0031210-3D transcript:Sspon.01G0031210-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPPPIPHPLPPLTSALAHLRSVLSAASSALAALPSPLQPHPTTPIASIPSPQSTTTKPPLPPPVTAINLPLPAAPAPYSDCPAVVCMSPVPTSAATSLPAFLAGVCADFSSSTTGRSPSHPPRILPSELSLLHRELDSWVAGGHHLPGSYSYAVTRVVATFCLGVTPRWEVKLRQWVLESSPRYGVKVNVTDVDHILVLLWLLLKAMAVEARYLLEGMQNGDNEGLGFDPRAMRFECPRLVEGLSWLGAQLGVLYGESNGKLFALVSVKEAVLQMAYCLAVCVGDGAAGVGEDKVGAGEKGSDAGDVVARPVFLSQVAASIVALYERFYLEEKTKALQAQRLSKYQLLLGYSQALERGILERSNRPNYRAVLEYDGVLSRRVSNKESARAKTREELLAEERDYKRRRTSYRGKKVNRNPTEILRDIIDEHMDEIKQAGGIGYVVEAPADIAWNVFRSNSHSGAYHGSYDFASSSSHDEEALASRSSSCDNPHRADSLGRFSSRSSDTRDSYNN >Sspon.07G0016740-4D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7D:83327040:83327666:1 gene:Sspon.07G0016740-4D transcript:Sspon.07G0016740-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASPPLLPTTVVPAAAPSPTPTPAPTALSSADATDTNPAAIRAFLSRLVDTTRRALSGARPWSELADRSALSRPDSLGEATSRLRKNLAYFRVNYAAVTALCLAASLLAHPFSLAALLALLAAWCLLYVLRPADAPPVAAFGRAFSDREVLGGLVAASAFVVFLTSVGSLIFSALALGAAVVCAHGACRVPRTCSSMRLLTRVPVAL >Sspon.08G0027260-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:44604419:44605643:-1 gene:Sspon.08G0027260-1C transcript:Sspon.08G0027260-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding STYRTRNEIAGIRQERDPIERVRKLILAHEFATAQELKDMEKEIRKQVDAAIAKAKESPMPDPSELFTNVYVNDCGLESFGVDRKVVRTVLP >Sspon.02G0018110-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:60805465:60806807:1 gene:Sspon.02G0018110-3C transcript:Sspon.02G0018110-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFAALFDPLYCPEEHLDLYHEEPGEGADEQWPDQHGQQQQQPAALDDELPALFEALRAKEGVVLAGEGEEDGYGGAAGREAAVGWASRAAARLGFSALTAALAAAYLDRCFLPGGALRLGDQPWMARLAAVACVALAAKVEETRVPLLPDLQLCAAATSDADAADPYVFEAKTVRRMELLVLSALGWRMHPVTPFSYLQPVLADAAMRLRNCEGVLLAVMADWRWPRHRPSAWAAAALLTTAGGDDGDTELLALINAPETALLTSPLDASQDETAECAKIISEVTGMSFLACDVGVSAGNKRKHAAARMYSPPLSPSGVIGALSCFSCESSTSTATTAAGVVGPWAPSAPVSVSSSPESHGRPPKRAAAVPHPLPPDEESRDAWPSTCAA >Sspon.08G0006500-4D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8D:17818415:17819946:1 gene:Sspon.08G0006500-4D transcript:Sspon.08G0006500-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding METSAPPNAAAPPAQARRRLPDFQQSVRLKYVKLGYHYLISHGMYLLLSPLMALVTVQLSTVSPRDLADLWEQLRFNLLSVVACSTLLVFLSTVYFLTRPRPVYLLDFACYKPEPERKCTRQTFMHCSKLTGSFTDENLEFQRKILERSGLGEDTYLPPAVLRVPPNPCMDEARKEARAVMFGAIDQLLEKTGVRPKDIGVLVVNCSLFNPTPSLSAMVVHPNSYALVISMENITLNWYFGNNRSMLVSNCLFRMGGAAILLSNRRSDRRRSKYELVHTVRTHKGADDKCFGCVTQEEDEIGKIGVSLSKDLMAVAGDALKTNITTLGPLVLPLSEQLLFMGTLIAKKVLKMKIKPYIPDFKLAFEHFCIHAGGRAVLDELEKNLELTDWHMEPSRMTLYRFGNTSSSSLWYELAYTEAKGRIRKRDRIWQIAFGSGFKCNSAVWKALRTVNPAKEKSPWVDEIDNFPVDVPKISK >Sspon.01G0044560-3D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1D:78431331:78432122:-1 gene:Sspon.01G0044560-3D transcript:Sspon.01G0044560-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLHQAAFLLALVAAASTWSSSVAASSWTTTSAPAASCSGAGTYTADSAFAGNLHRLMSLLEAKAPAAGGFDIATVGGAAAGDGERVHGLALCRGDVARAACARCVRSARTHARRVCAHKTDAVVWLDACTLRYSAGPAPFFGEVDRDHRAFAPDVVAALRTPTARSAELDRDVAGLLKRLTRTAYLSPLLFAAGDAVTPAAGGQQRLRAMAQCTKDLSGGDCKACLEAAIAQLLARGCAPEGGRVLGGSCSLRYELSPFFDS >Sspon.03G0034910-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:40086995:40087279:1 gene:Sspon.03G0034910-3D transcript:Sspon.03G0034910-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding PMVCAPDSATRSVRLSPRLVKLVSRPAKPANGDGSVPVLDASDTVPSRRPVSTCHSDAWNWYDTASRAASARMSAQDTTPGHAFSSWVLMASITS >Sspon.08G0000200-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:73622649:73624994:1 gene:Sspon.08G0000200-2P transcript:Sspon.08G0000200-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DGCKFLPFHLQPEPPRHPTPFLAVEVEDASSIHIHPASPQLATTALCLLLLLLLPVGVGVGVQRLGRPRRGARHPFPLPPSPSRCSPRRMASSCSSPPPRSPSPSPSPAYLPSPSSRPPSPLDSQPGTESPRRLSALLADLDAQARALRDELLSDARPGLLVQAVDRLRDAVADAATVAAQQGDTGRTVSDALGPLWEVADYLGAWARHAVRDLSLSSPRKKPAKSNNTSDVQVVANPNNQATAAAAQQQQEIGNNKPADSTLQQEPFAFGPSIVDNANGRPLDMLQFDEDDNGSKDAGTGAQATLGVGDARLERLVSKHRHRRDSVQNDGPFQGGSTRFSAESMESSLLERTLEIRDRSYRFKIERRGGDGVSQVSEAQDRADDRFVVDNAASLHPVYDDESASADSDGEEFSRNIKEAAEILRKARESMMAMADEETADALLYKSARLLSTAVALRPTSLVAVGQLGNTYLLHGELKLKISRELRTLLANSGAFLNGRERVSQSRKVDRRILSRESISSALVGVCEECESLLVEAGRSYRMALSIDSGDVKALYNWGLALIFRAQLLADIGQEAAVDADRVYLAAIDKFDAMLSRSNTYAPAALYRWGTALQQRSYLRPRNSRDKVRLLEQAKSLFEDVLYVEADNKMVREALSSCISELNYHGRWL >Sspon.01G0012280-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1B:38962725:38963408:-1 gene:Sspon.01G0012280-2B transcript:Sspon.01G0012280-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFRLSRTKTEYMRCDFSATRHEEGDVSLDGQVVAKKDTFRYLGSMLQKDGDIDEDVRHRISADWLKWRQASGVLCDKRVPQKLKGKFYRTAIRPAMLYGAECWPTKRRHVQQLSVAEMRMLRWCCGHTRRDRVRNDDIRDRVRVAPIEEKLIQHRLRWFGHVQRRPPEVPVRSGVLKRADNVKSGRGRPKLTWGKSVKRDLKEWNISKDLAMDRSAWRLAINVPEP >Sspon.08G0011310-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:49273892:49278019:-1 gene:Sspon.08G0011310-1A transcript:Sspon.08G0011310-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVKLQIRRIENNTNRQVTFSKRRNGLIKKAYELSVLCDIDIALIMFSPSNRLNHFSGRRRSVFGMPPPPQQQQEEEQQGDLGVNAFGGDVSSWFADGLPTSSIFAGPDPILSFRDQVIFDSMRRDPVVAGVDPGIASMCHVDQQVPSDDWQQAYTSAELLSALIPSTPFPLDDQVTWHGSGPSSSTSTTAALLSPELARYGVIQMQDAMAPVLTSPMVPPHVHEQVEAPPGSCSNVPTDGDCATATAAAQEHGLPGA >Sspon.04G0012770-2P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4A:50357966:50358861:1 gene:Sspon.04G0012770-2P transcript:Sspon.04G0012770-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSAPVLAALLLLTVGWTVDGVVAANAPPPTGWLRGAHATFYGGADASGTMGGACGYGNLYSQGYGARTAALSTVLFNDGASCGQCYKIACDRRTDPTLCKPGVTVTVTATNFCPPNSALPDGGWCNQWRPHFDMAQTGLGEDWQGRQKRHHPCHLPESSMRSARWSQVHNQWPRLLQPCAHHQRRRGWLHQIHGCQDLRFHELDAHGTQLGRKLALFDISQWEKALVQDHHHRWSDTHIYKCGARWLDLRLDIRKQFAVQV >Sspon.01G0053160-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:33051723:33053004:1 gene:Sspon.01G0053160-1C transcript:Sspon.01G0053160-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPPDAIPTCLKIPELSADIVNSIAADLGNYGMILLLFETPSGFALFSYCGIYLYEPHAMEIAFLREFRTFDDKFSAINFSTGVSESLKNMILRHRQHGQKLAVGKHEYKIIIENTMVILLDQTK >Sspon.01G0061290-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:92833559:92836329:1 gene:Sspon.01G0061290-1P transcript:Sspon.01G0061290-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRLLHLRRLLTPPSTLPVASFSTAVTPTPRVSALVDEICGLTLLEASSLADALRGRLGVDQMPPLAILTGGAAPLVGGGVGPGAAGEEAKAKEEKMAFDVKLEGFEAAAKLKIIKELRAFTSLGLKEAKELVEKAPAVLKAGVPKEEAESIAEKMRAVGAKIVLE >Sspon.07G0000880-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:10832379:10836042:1 gene:Sspon.07G0000880-2B transcript:Sspon.07G0000880-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEAKYLETARADRSVWLMKCPPVVSRAWQAAASASSSDPANANPVVAKVVLSLDLLRPEERPEEPTLQFKMELAQTNTGNTPKSYSLNMFKDFVPMCVFSESNQGKLSCEGKVEHKFDMEPHSDNLANYGKLCRERTQKYMVKSRQVQVLDNDHGMSMRPMPGMVGLIPSGSKEKKKQAPAKPSDVKRTRRDRTEMENIIFKLFEKQPNWALKALVQETDQPEQFLKEILNDLCVYNKRGPNQGTHELKPEYKKSTGDTDAA >Sspon.07G0020650-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:79351087:79355074:-1 gene:Sspon.07G0020650-1P transcript:Sspon.07G0020650-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPALLPPPTMDEEGAAPPAPATPFPAPPQPEPEPKPPLLRRRPPIRVTSEFDSERRLFSHRLSCRVLDGVAKLRLRISHGAGGGGIPWGPPEVGLMARNFSVVVDPATRGAVLRGATDLAGSLRLRASHNTKEQQGEVAITVNLRDSPCKIELSSLVPPNAL >Sspon.07G0015110-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:54113182:54115121:1 gene:Sspon.07G0015110-1A transcript:Sspon.07G0015110-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLSKAVSESDMSVHSTFGSRYVRSSLPRYRMPENSIPKEAAYQIINDELMLDGNPRLNLASFVTTWMEPECDKLIMAAINKNYVDMDEYPVTTELQNRCVNMIAHLFNAPLGESETAVGVGTVGSSEAIMLAGLAFKRRWQNKRRAEGKPFDKPNIVTGANVQVCWEKFARYFEVELREVKLRDGYYVMDPEKAVEMVDENTICVAAILGSTLNGEFEDVKLLNDLLEVKNRETGWGTPIHVDAASGGFIAPFLYPELEWDFRLPWVKSINVSGHKYGLVYAGIGWCIWRNKEDLPEELIFHINYLGADQPTFTLNFSKGSSQVIAQYYQLIRHGFEGYRNIMDNCHENAMVLKEGLEKTGRFNIVSKDEGVPLVAFSLKDRSRHDEFEISDMLRRFGWIVPAYTMPPDAQHVTVLRVVVREEFSRTLAERLVLDIEKVMHQLDALPSRLPPPPPPAQLVTKKSELQTQRSVTEAWKKFVLAKKTNGVC >Sspon.03G0008800-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:38218031:38221881:-1 gene:Sspon.03G0008800-3C transcript:Sspon.03G0008800-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to ATP synthase beta chain, mitochondrial precursor (EC 3.6.3.14) [Source: Projected from Oryza sativa (Os01g0685800)] MATRRALSSILRSASRLRSASPSPCPRAPLHHRPSPTGFILNRAAAYASSAAAQAAPAPPPPSTGKKTGGGKITDEFTGAGAIGQVCQVIGAVVDVRFDEGLPPILTALEVLDNNIRLVLEVAQHLGENMVRTIAMDGTEGLVRGQRVLNTGSPITVPVGRATLGRIMNVIGEPIDEKGDITTNHFLPIHREAPAFVEQATEQQILVTGIKVVDLLAPYQRGGKIGLFGGAGVGKTVLIMELINNVAKAHGGFSVFAGVGERTREGNDLYREMIESGVIKLGDKQSESKCALVYGQMNEPPGARARVGLTGLTVAEHFRDAEGQDVLLFIDNIFRFTQANSEVSALLGRIPSAVGYQPTLATDLGGLQERITTTKKGSITSVQAIYVPADDLTDPAPATTFAHLDATTVLSRQISELGIYPAVDPLDSTSRMLSPMFWVRSTTTLLVNYKNLQDIIAILGMDELSEDDKLTVARARKIQRFLSQPFHVAEVFTGAPGKYVELKESVKSFQGVLDGKYDDLPEQSFYMVGGIEEVIAKAEKIAKESA >Sspon.08G0013770-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:55490631:55493892:-1 gene:Sspon.08G0013770-3D transcript:Sspon.08G0013770-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial outer membrane import complex protein METAXIN [Source:Projected from Arabidopsis thaliana (AT2G19080) UniProtKB/Swiss-Prot;Acc:O64471] MASAAAAAEWEAAARKVLVARKPCFGLPTACPTCLPVFLYLRMAQVPFDIHVDTNFPDADHIPYVEFGDCVAFNNEKGGVIEYLKDEKIVDLNSNHPSVSSISVLETKAMVSSWLADALLYELWVVSDGSIANDIYFSDLAWPIGKILHWKKTRHVKQLLGITKLNAAEKEEEMYRKASAAYDSLSLRLGDQVFLFDNSPTDVDALFLGHALFVLNALPDTSVLRGTLQKHDNLVRIAEHHKVQLLETSSSSSSGLGSSPKSIIIHPQEKTIC >Sspon.03G0028610-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:7954003:7960132:1 gene:Sspon.03G0028610-3D transcript:Sspon.03G0028610-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSQGESVPPWLKSLPLAPEFRPTAAEFADPIAYLLKIEPAAAPFGICKIVPPLPPPPKRTTLGNLSRSFAALHPDDPTPTFPTRHQQLGLCPRRPRPALKPVWLSSHRYTLPKFEAKAGASRKALLARLSVPATKQLSPLDVEALFWRSSADRPVVVEYASDMPGSGFAPCAARPAQLPAANVGETAWNMRGVARSPASLLRFLREEVPGVTSPMLYVGMMFSWFAWHVEDHDLHSLNYMHYGAPKTWYGVPRDAALAFEDVVRVHGYGGEVNPLETFAMLGDKTTLMSPEVLLDSGIPCCRLVQNAGEFVVTFPGAYHSGFSHGFNCGEASNLATPEWLRVAKEAAVRRASINRPPMVSHYQLLYELALSLCLRDPSNGAMEPRSCRLKEKKKSQGDQLIKKIFVQNVIEDNKLLGHFLSDGSPCIILPVNYNDGSPLSTLLSKFQSTTDSSMSHDQCSKTEALKDSRRLPMDGADKNRELSSSNKIPLSVCSGKTVPPTTCIHDCANVSGSSYAHNAESDKRDMHSATGLLDQGLLSCVTCGILSFSCVAVIKPRECAAKWLMTADSSLINDRLASSGEHHINALQGGRTTGGILRSDSKMNGNSVISDADAAPLNGHSALDLLASAYGDPSDSDEDVMNKKDQVPNVSNELINRTIESQPNTSNNGDCDGTKVSSSSKERQQGPTSQSSKCIGNSNTLNGPKGVRTRNKDLLKMVLSEGFQPKDIYSETHKKVQCEPSSSNKTSTESPCGTDYHVSHNSATICMDSHRGSMTMVNNLVTSVVKPDKDSSRMHVFCLEHAIEVEKQLQAIGGADIFLLCRPEFPRIEAEARLLAEEMEFEYDWKDILFKEATIEDREKIHEVVRDEEAIPTNSDWAVKLGINLYYSANLAKSPLYNKQVPYNRVIYEAFGYGSPNDSPVKLKTYSRRQGRAKKIVLAGRWCGKVWMSNQVHPYLADRIKIHEPEEIDETFTSDQKSNAEPVEDSSREAASTRNSSSRAIEGKTTKMEKGPLEKANAKKPKFTEEDNSKSLEGTAEASTQKIKSRTVLEKTSKREKEHVEKANTKLKHTEKVISEALKGPSEASFPAPAGMVVRSSSRIANRKSILRSKMEEEDNGSANRPKSKVEDDKDNPAGRSRAKSLRQKTKVDVKKKTKETRAEKRKAPSPASWKDEEEQPYDVEGCSITKQQLSLSKKRAKIEEKQQMEKSRYRGRAPPSSPKRKEAPPSSPKRKEEYACDIEGCSMSFGTKQALSLHKNDICPEKGCCRKFFSHKYLLQHRKVHTDDRPLKCPWKGCSMAFKWPWARTEHMRVHTGDRPYVCPEPECGQTFRFVSDFSRHKRRTGHAGMPTKKAKGKK >Sspon.06G0012080-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:64807226:64813908:-1 gene:Sspon.06G0012080-1A transcript:Sspon.06G0012080-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGFEDDEPPSKRARASSVESASLPDCFSFSKSANPLGSTMARPLPSQGKEVMVGSKGVIKKEEFVRIITKTLYSLGYEKSGAVLEEESGITLHKPMVNLFREQVIDGNWDNAVVTLNKIGLQDENIVKSAAFLILEQKFFELLRNDNVMGAMKTLRCEITPLGVNRKRVHELSTCMISCSPQQLFLGFSKLGIDSSSSRLKLLEELQKVLPPTVMVPERRLENLVEQALTVQRDACYFHNSVDGLSLYIDHHCGKDQIPSRTLQVLHAHHDEVWFIQFSNNGKYLASASNDKSAIIWEVDEDGELLLRHTLSGHQKSVMMVAWSPDDCQLLTCGQEETSDAGMLNLANPVGLISCAWFPDGKQILSGLADENFCIWDLDGKEVDCWKGQRSTKISDFAVAKDGNLIISMSRENTILLFDRETKQERLIEEASTITSFSLSEDGDFLLVNLVSEEIHLWNIRNDPVRVNRYNGHKRNRFVIRSCFGGSEQAFIASGSEDSQVYIWHRATGDLIETLAGHSGTVNCLATITQFVYWGAKKASLKRKDVGSSNCNSNGFHSNGNAHGNGFVHQCNGNSTK >Sspon.03G0036680-5P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:34681286:34682549:-1 gene:Sspon.03G0036680-5P transcript:Sspon.03G0036680-5P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VGSSPLKKCHSMECLSPIAYYGAAAASSSLSPSVSSSSSSLASIEESSQRITGRYMSDGLVVRVQDRKKGVPWTEEEHRMFLAGLDKLGKGDWRGISRHFVTTRTPTQVASHAQKYFLRQNSLTQKKRRSSLFDAVEGSNKAAISRTSSVSELQFPSLSPVAADARTTKGAVLLPPCLNLMMSSASQCAGSGSSDASQSKNPSSLYLMAKSQAQLQMPDLELKMSTSRLSEQPGGAAQGTPLFGTIRVT >Sspon.05G0037170-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:10021426:10027900:-1 gene:Sspon.05G0037170-1P transcript:Sspon.05G0037170-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGDKAGRRSRAPRGLPEDDSVVSQPPLKHLCLGGRLSSRAGMVVRCVIDCLRLLWKYLHGLARQRSISTSVIPVADQLAVKEERTPGGLHLHRGRRHHKFKPEPLRRPVPRPSVITVEEPSRPPTSPLRHENSMVLKSKILWHCSEKHKIMGIECKADSCKVRVPTTRDLHLHRHFCHTRLCPTLSRDIPWSIRWKLNSPSNLQEITPWSLNN >Sspon.02G0017940-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:32466557:32467700:1 gene:Sspon.02G0017940-4D transcript:Sspon.02G0017940-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADDSALAEDLQVEEVLRFSIQSEDVCAVCKQVIRSLEASWKPENCDHVICIACFCQYAPETEATGLPRCAVASCDSLHNTETHQGISVPQSTLISIEDMDQKGKKPLDSTLQELGQCSRGASAKISSEFYCAICMETVHIGEFFPIDGCTHTFCTSCVSQYIAAKVEQNVLSIGCPDPGCKDGVLHPDVCRDVIPAQLFQRWGAALCDSSLGSLKFYCPFKECSALLVHDPGHGEAVITNVECPHCCRMFCAQCKVPWHDGVTCTEFQRLGKDEQGREDLLLRKVAQKSKWQRCPKCKIYVERIEGCAGTASATFVHPQCLGTTMLAKPASEPGEFPGAAKLYLKERHS >Sspon.04G0014020-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:51484084:51485118:1 gene:Sspon.04G0014020-1A transcript:Sspon.04G0014020-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPSAPTALLLLVVLSLLAVAHCRTVAVAADHAVELESTAAVAVSDENGLSSNPTLPAEAGGASATDEEALPVSVEQRHDFEFLRLPSHRLHRHRPCSLHRHLWWKRHHGVYRDAPRRFHDHYSGHGERREAVSHLATILPAAAEEAREAEEVKPVAEPDPDRSLPDSDGREPSFADADGHDGERAAAVRAWKKEMLRRWFHFHFHHGMPRHRLHHVHHDDEDGQEQEEEAAPEGMNMKRFHFHHDDDKEDEEKNMMRKRLRHAEAEHGEDSDDEDEQVEGMVRQFRKAIMKRRFGHGYGYGHGRRFFHHDHRHRHAEDAEKQAGGDEQSGVMAWIRGLTNRF >Sspon.04G0007420-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:20711275:20712502:1 gene:Sspon.04G0007420-3C transcript:Sspon.04G0007420-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTFSHVPPGFRFHPTDEELVDYYLRKKVSSNKIDLDVIKDVDLYKIEPWDLQEKCKIGMEEQNEWYFFSHKDKKYPTGSRTNRATTAGFWKATGRDKPIYTKSCLVGMRKTLVFYRGRAPNGQKSDWIMHEYRLETTENGTAPEEGWVVCRVFKKRVATVRRMADGAPWFDDHVAGGFMPDLIGSPRQLMHHPNAAAAVYSGGQQQLYHCKPELEYHHLLPSQDAFLQQLPQLESPKPPPAYIAQGSCSLQSPDEASGYAAQQPPLMEAAYMDDSVTDWRVLDKFVASQLFSHGDGTAKEAGYPNPVPAFQAENKQPEGALDYASTSAS >Sspon.06G0002760-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6A:8603661:8604872:1 gene:Sspon.06G0002760-1A transcript:Sspon.06G0002760-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVKSAFLNGYINEEVYVEQPPGFEDDKKPNHIYKLKKALYGLKQAPRAWYERLRDFLLSKGFKMGKVDTTLFTKKLGNDLFVLQIYVDDIIFGSTNQDFCEEFGNMMAKEFEMSMIGELSYFLGLQIKQMKNDTFVSQGKYIKDMIKKFGLQDAKQMSTPMGTNDQLGVDASGNMVDQKQYRSMIGSLLYVTASRPDVMFSVCKCARYQASPRESHLKATKRILRYLKGTHDVGLWFPKGSNFELIGYSDSDYGECKIDRMSTSGTCQLLGRSLVSWSSKKQNSVALSTAEAEYISAGSCCAQLLWMKATLNDFGIKFKNVPLFCDNESAIKMTQNPVQHSRTKHIDIRHHFIRDHQQKGDISIESIGTEDQLADIFTKPLDEKRFYKLKNELNILDFSNLK >Sspon.01G0012620-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:34134229:34134660:-1 gene:Sspon.01G0012620-1A transcript:Sspon.01G0012620-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLVVKRYRCTHSTSCACLKGHVSGDAIVCKWFDEFAKRVLWKEFCNARAPKMMKDLHYDGRHIVDGNWKALGKVLISCARWPSGDLFSNIHDPVLGHFVYKTHFSSTLGKSLLAPQCRSEGAC >Sspon.02G0015460-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:46200034:46202190:-1 gene:Sspon.02G0015460-1T transcript:Sspon.02G0015460-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSARSMISSSAVPFRAAGDGGGQVFLFGGGGRGGFLRGLPLVGGASAAAGGAADGRRKRAFQLTANEDLQLQLQLELGDVHELCGLDYDLHGPQQQERAKRRLTAEQVRELELSFEEEKRKLEPERKRELARRLGIAPRQVAVWFQNRRARWRTKQLEQDFDRLRAAHDDLLAGRDALLADNDRLRSQVITLTEKLQAKESSASEPEEQTVAAQETVYTLFQEDKLCSEIATGSAAAPAPGSNDSQESYFAGARSPPSSPEDDDCCCGGGDRTFFLPDALLATAMEQGLEGAAEEDGAQLNHWAWLWNDQQY >Sspon.03G0011200-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:46580390:46584102:-1 gene:Sspon.03G0011200-1P transcript:Sspon.03G0011200-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGDQLLRSHAGAPVLARAFACRLLVPARRRSGLASPLAASKVGIADVVGRRVRSGGADQKRRRRDAEEGFGFSGVATRRELVDEEEEEQDEEDEALKLGLGVEKNGGETDGVDGSYLSETRFDQCAISPLSLKAVKDAGYERMTEVQEATLPIILQGKDVLAKAKTGTGKTVAFLLPAIEVLSTLPRERNQLRPPINLLVMCPTRELANQVAVEARKLLRYHRSLGVQVVIGGTRLTQEQRSMQANPCQVVGINYNILVATPGRLKDHLENTPGFSSRLKGVKVLVLDEADRLLDMGFRRDIEKIIASIPRERQTLLFSATVPEEVRQISHVAMKKDYRFVNTVKEGDEETHAQVSQMYMVAPLDLHFSILYDVLKKHVAEDADYKVIIFCTTAMVTKLVAEVLSQLKLNIREIHSRKSQSARTKVSDEFRKSKGLILVSSDVSARGVDYPDVTLVIQVGIPADREQYIHRLGRTGRKGKEGQGLLLLAPWEKYFLGTVKDLSIAESAVPPVDSSVETEVKNAVRKVEMKSKECAYQAWLGYYNSNKTIGRDKSRLAHLAEEFSQSMGLAVPPAIPKNILRKMGLNNVPGLRSS >Sspon.06G0001880-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:4629992:4631785:1 gene:Sspon.06G0001880-3C transcript:Sspon.06G0001880-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVSGWGRAVGNTRSFVGNALGGLRGWSNLASWTVAGTLAYYLWVKPARQLQKEQEERAALAAASDPYRYVEKRKPIPDPQDTGLTYGKKREPTKSED >Sspon.02G0033360-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:6334724:6337547:-1 gene:Sspon.02G0033360-1B transcript:Sspon.02G0033360-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGGNPNPTGAPTQPRPPHPQQQPQPGGSPATPMTHLRPPSLAGSPFQGLFHTPPTHNPAFQIHMGASSSPQTPLMAATAGSAKRPPQKPPVRPSAPGSTSSAAAASAAAAYKAAAAAAAVANSGGVDLTPASRRNKKRKLPEKQLPDRVAALLPESALYTQLLEFEARVDAALARKKVDIQEALKTPPSLQRTLRIYVFNTFANQGPRTIPPPKNADPPTWSLKIIGRVLEDGAELDPASVVPKHNPVYPKFSQFFKRVTIALDPSLYPENPLIIWENARTAAQQEGFEVKRKGDKEFVANIRLEMNYNPEKFKLSQPLMEVLGVEVDTRARVIAALWQYIKAKKLQNPNDPSFFMCDPQLKKVFGEDKLKFAMLSQKISQHLSAPPPINLEHKIKLSGNGAHSSACYDVLVDVPFPLQKEMMAFLANTEKHKDIEACDEVISASIKKIHEHRRRRAFFLGFSQSPVEFINALIASQSKDLKLVAGEANRNIEKERRADFYNQPWVEDAVIRYLNRKPANEGPGGGAGAKFSQANHIPIQ >Sspon.03G0013550-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:38740237:38741919:-1 gene:Sspon.03G0013550-1A transcript:Sspon.03G0013550-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEQGHLLARKETAISCSLRVGEQGRSSTPAGADRHGGLLDPARRPPRARTGSIRCGPARRPPRAASAARPSSPQGRRIWRGCRRGATASGGCWRGAAAAACKRAGSGPAAAALFLLRAPLGAAAAAYGGPAAAQLLRAGASGGGCCGRAVGTEMSLQIPVRSGALRRLLLGGAGGLQRLYSTGDRRRRVIREARQEEEDEAFLRTLNFGADPENNPLPPPLRRGRGLSDASTRKQQQQQPERSAQKVVGETLLEKLKLGDGPSAAAATGGGDERRQPEDEPVPAQPVDVDEIFRKMKETGLIPNAVAMLDGLCKNGLVQDAMKLFGLMREKGAIPEVVIYTAVVEAFCKAAKLDDAVRIFRKMQGNGVIPNAFSYWLLIQGLYKGGRLDDAVGFCVEMFEAGLAPNAETFVGLLDAVCKTKGVVDGEKLVRSFQDRNFAIDEKSIREHLDKKGPFSPVVWE >Sspon.08G0025350-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8C:8004181:8006933:1 gene:Sspon.08G0025350-1C transcript:Sspon.08G0025350-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQSLLSIGVSYGNLGLLQNAKFFFGSPFRTGAGQRTDLRGEAFRIRPSVRYVTRRKKTFNICSPHVCSSGISGSGFLHLWAYKIEPGCHEMSFADWWRKAVKKIQKDTRKGLNSIIILGAWRGAETVEARGHPDGPGPGDAAAALLEHCVSALLFAGVSIGLLVLGLPLHEHPASLLTTGGWRFPECLMHSEKRQKHSGKASPSVALGEELTGNLVTVKSSSPSAKKHALGEGFPECRPSTRGRIDAVHSNGRCRTACLDHLQR >Sspon.03G0035130-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:78588524:78588832:1 gene:Sspon.03G0035130-1B transcript:Sspon.03G0035130-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GSRRSLRLLHRPRRARVAGPQGSQHQGLRGVGGVRSHEARGGRGGGRGLWRGQGGRARRRWRRDLVGARPRHGPLPPRQLGRRRRPRRPPRRAPRPLLRQGLN >Sspon.05G0014330-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:45692701:45696062:-1 gene:Sspon.05G0014330-3C transcript:Sspon.05G0014330-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMEDASGSSSSPAILRNRYWILRHGRSVPNERGLIVSSLENGTKPEFGLAPQGVEQARAAGEQLRKELEEIGVPVDSVKIRYSPFSRTTETARVVAGVLGIPFQGPVCEAVLGLRERYFGPSYELLSHDKYADIWSVDEAHPYTAPEGGESVADVASRFSAVLSSTETEFHGSVILIVSHGDPLQIFQAVLSGAKENLSFLNNLTNLKVKDTMVASILSQHRKFALITGELRQVV >Sspon.05G0026130-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:62972117:62974206:1 gene:Sspon.05G0026130-1P transcript:Sspon.05G0026130-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASASASGEQKKKILVARKGRLRQRYDGEYRLVAGCVPYRVGKDGQPELLMVSTPNRDDLVFPKGGWEDDEDVHEAACREALEEAGVKGAINRTALGMWVFRSKSSPVSSGDSPRGACKGYIFALEVAEELEQWPEQLTHGRQWVSPADAYRLCRYDWMREALSALLDRLAEPKPAAAEGLGDHAGVCMTVKAAAATADRAVALC >Sspon.03G0044030-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:86464517:86468609:-1 gene:Sspon.03G0044030-1C transcript:Sspon.03G0044030-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAQHRQMWWPGRMAAAFGPSSLCLVCLIYFIQGFRSFVWTAVSYQMKDVMKLSPSTSQFLVSLAYFPWSIKPIYGILSDCIPIKQRKRIPYLIISSCLSLLPWLILGLSQTLRSSANMLTALLVVQNLGSAMADVVIDAMVAEAVRSAGPEFAGDLQSLSWSSMAVGSSEAFRYEGTRRRKGTRKNNKRRSLSKRTESDEKYNGSINSLPSLSLRSAFFSLCTAFKQPNILRPMAWFFFSNVTIPNISTVMFYYQTEDLNLEASFLGTARVIGWFSLMLGTYTYNRYFKQKKLRNILVFAHVGLAVITLLDIVLVSRLHIQYGIADKYMVLWGSALGDAINQFKMMPFLILSGQLCPPGIEGTLFALFMSINNLGSTVGSFLGSALASALNLTTGQFDNLALVKTVSEALVLGARFS >Sspon.06G0030140-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:41733579:41738536:-1 gene:Sspon.06G0030140-1C transcript:Sspon.06G0030140-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GCELPSSYLLICERAELLFLTEPPRPLLSSAAEHPAGLAMAAAETGASTATDSRLKATGVKQALISLSDKTDLANLGNGLQRLGFSIISTGGTASSLEASGVNVTKVEEITHFPEMLDGRVKTLHPSIHGGILARRDQEHHLKALKEHGIGTFDVVVVNLYPFYDKVTSGAISFEDGIENIDIGGPTLIRAAAKNHKDVLVVVDHKDYPALLEYLEGKQDDPDFRRTLAWKAFQHVASYDSAVSEWLWKQSNKADTFPPSFTVPLTVKSTLRYGENPHQKAAFYGDKSLSLVNAGGIATAIQHHGKEMSYNNYLDADAAWNCVSEFESPTCVVVKHTNPCGVASRPDILEAYRLAVKGDPVSAFGGIVAFNTTIDEDLAREIREFRSPTDGETRMFYEIIVAPGYTEKGLEVLKGKSKTLRILEAKRSGKGMLSLRQVTGGWLAQESDDLTPEDITFTKMSERTPEDSELSDAKFACLCVKHVKSNAIVIAKNNCMLGMGSGQPNRRESLRIAFKKAGEEAKGAALASDAFFPFAWNDAVEEACQSGITVIAEPGGSIRDQDAVDCCNKYGVALVFTGVRHFKH >Sspon.01G0059870-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1D:69417936:69418148:-1 gene:Sspon.01G0059870-1D transcript:Sspon.01G0059870-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVGPCSPPCRDPGHCTALVCVLCRYGPKHFVSYRASGHAKRPCHDPPSNDTAQVPALGGLSLPVHGFNR >Sspon.06G0005630-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:17843741:17844266:-1 gene:Sspon.06G0005630-3C transcript:Sspon.06G0005630-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSTAADSDADYHNSDGSVMPDVLAKGREACYKARDAFYACVEKHADKKPTEIATMGLLYPAYCKKSRANFVSNCRPTWVKHFDRQYCAKKRVQRLLDGDEDRRGPISLPQPYTFKQ >Sspon.04G0032490-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:28588526:28588849:-1 gene:Sspon.04G0032490-2D transcript:Sspon.04G0032490-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLTMMASFAAVAVAAPSRRGSFAVVRAAKADRCQQEPARLVAAEEAEARPAEGRRAVMLAAAAAAVAAIGGAGAAMADPKKGSPEAKKKYAPICVTMPTAKVCHN >Sspon.06G0024110-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:51280322:51284195:1 gene:Sspon.06G0024110-1B transcript:Sspon.06G0024110-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium/calmodulin-regulated receptor-like kinase 1 [Source:Projected from Arabidopsis thaliana (AT5G54590) UniProtKB/Swiss-Prot;Acc:Q9FIU5] MKGVSEGLIIGITVGVVIGVLLALGTLLCLRYRRSQTQIRSSSSRRASTVPIRTNGVNTCAMLSNSTTGQESPRELEDRVSSLWIEGPGRKSMISASGIPKYAYKELQKATSNFTTLLGQGAFGPVYRAEMSSGEILAVKVLSNNSKQGEKEFQNEVLLLGRLHHRNLVNLLTEHSFCCSGENSAPLKWDLRVNIALDVARGLEYLHDGAVPPVVHRDIKSPNILLDQAMHARVADFGLSREEMVTRNGANIRGTYGYLDPEYVSTRSFTKKSDVYSYGVLLFELIAGRNPQQGLMEYVELAAINADAKTGWEEIADSRLEGAFDVEELNDMSAVAYRCVSRVSRKRPAMRDVVQALTRVLKRSRSRKHHSNRHPQARADDESVDLEGSEVQSSFSGLQREESVGSVSDLPDV >Sspon.01G0021650-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:79654346:79670151:1 gene:Sspon.01G0021650-1P transcript:Sspon.01G0021650-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRPSTSSSRRSSSPFSAGHRRPPTASSSSSSSYFSSGRLIPRSSPSSVNSSFYGGGGGGSTRSTTPSRRSSSVAPAPAPPPALAPVPFPSADELVIEDTSRSGDSISVTIRFRPLSEREFQRGDEISWYPDGDRLVRCEYNPATAYAYDRVFGPSTTTEAVYDVAARPVVKGAMEGINGTVFAYGVTSSGKTHTMHGDQNCPGIIPLAIKDVFGMIQDSPGREFLLRVSYLEIYNEVINDLLDPTGQNLRVREDAQGTYVEGIKEEVVLSPGHALSFIAAGEEHRHVGSNNFNLFSSRSHTIFTLNLIDLAGSESSKTETTGLRRREGSYINKSLLTLGTVIGKLSEGRATHIPYRDSKLTRLLQSSLSGHGHVSLICTITPASSNMEETHNTLKFASRAKRVEIYASRNRIIDEKSLIKKYQKEISSLKQELDQLRRGMIGGASHEEIMNLRQQLEEGQVKMQSRLEEEEEAKAALMSRIQRLTKLILVSTKNNIPALTDGHQRHNSVSEQDKLSTSQDSSTLVQNEGTTKDPLPDSLDEINQLRSGSGEHSSVTGSAADSMQAGFTASDHMDLLIEQIKMLAGEVAFGTSSLKRLIEQSIDDPEGTKDQTITKLTAQCGEKAFELELKSADNRVLQEQLQQKNAEINDLQEKFFRLEQQLSAKVDIFPEQETDCAQQEAIDLKSKLQSKEAEIEKLKFEHLKITEEHCDLINQNHKLSEEAAYAKELASSAAVELKNLAEEVTKLSVLNAKQAKELLVAQEMAHSRVHGRKGRTTSRGRDEVGTWSLDLEDMKMELQARRQREAALEAALAEKEFLEEEYKKKFDEAKKKELSLENDLAGMWVLVAKLKKGALGISDLNVDDRTVNLADITNGTKENKGEKNFALVEKQISDDSVKSLSTEGHRSPEFEPLLVRLKAKIQEMKEKDTDPLSDKDGNSHVCK >Sspon.05G0007380-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:31100045:31103625:1 gene:Sspon.05G0007380-1P transcript:Sspon.05G0007380-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIASSSSSNPESRAMALAKAKEIVASAPLVVFSKTSCPFCVRVKQLFEKLGASYKAIELDVESDGPELQNALKEWTGQRTVPNVFINGKHIGGCDDTMALNNNGKLVPLLTEAGAIAGSTSKTTVTA >Sspon.07G0029570-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:77064025:77067014:-1 gene:Sspon.07G0029570-1B transcript:Sspon.07G0029570-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQNRSTPALTTTTTTPGAELLQAQAELWCHMFGFLKSMALQCAIKLGIPNTISRHGGAASLSELCAALPVAPSKRTCLSRLMKLLATLGIFREEEETTQGGEEEEGSYSYHLTAISRLLVDDDGAGGHPCLSAFIAILAVPFHVVASLRLAEWLENDDGGAAAAETPFMMAHGTDFWGVVGRDAEFAADFYAAMRADSGLVAQIIISECGEVFAGVNSLVDVGGGDGTMAKAIAKAFPHVRCSVLELPQVVGAMPGDAEGEGTVEFIAGDMMVFIPPADAVLLKFIFHDWGDEDCVRILKQCKEAISTREPKGKVIIIDTVIGSASKPIFEEAQLLMDLNMMVLVPGKERDEEKWSKMFMDAGFTKYKISPILEPRSLIEVYP >Sspon.03G0022600-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:87215299:87218682:1 gene:Sspon.03G0022600-3C transcript:Sspon.03G0022600-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein TWIN LOV 1 [Source:Projected from Arabidopsis thaliana (AT2G02710) UniProtKB/Swiss-Prot;Acc:O64511] MAATSGASGPNDHDHGHGRLASSLTARYSDWVLEALDELPGSFLLTDPALPGHPIVYASGGLAALTGYAPRDVLGRNARLFQGAATDRAAVAGVREAVRAHRAHQAALLNYRRDGAPHWVLLHLSPVFHARDGTLLHFLAVQVPIAPAREAPCHAPGPLLAACRDEARVREDLPCATHAGKVFVDMDKRGLEAEEPRVASDREKEMATSTASNIVSALNRYSKLTGLVVSSKRCGSIGIPALSSSLNLSLGRIKQSFVLTDSRLPDMPIIYASDAFASLTGYSREEILGCNCKVLNGPCTSLEVLEEINQHICSEQACTVDLISYRKDGSSFCDLLHVSPIRDASGKVAFHIWVHLDMGAKHDFNGLAPEVWLLGAVGAVRVAVRGLSASGSLLRPFQ >Sspon.08G0004940-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:65015985:65019316:-1 gene:Sspon.08G0004940-1P transcript:Sspon.08G0004940-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFHYPDHGLSMDAAAAAAAAAAAAAAASSPNPSGFSSPGVGGEREKAAIAAHPLYERLLEAHVACLRVATPVDQLPRIDAQIAARPPPLAAAAGAAAAGGPSGGEELDLFMTHYVLLLCSFKEQLQQHVRVHAMEAVMGCWELEQSLQSLTGASPGEGTGATMSDDEDNQVDSEANMFDGNDGSDGMGFGPLILTEGERSLVERVRQELKNELKQGYKEKLVDIREEIMRKRRAGKLPGDTASVLKSWWQAHSKWPYPTEDDKARLVQETGLQLKQINNWFINQRKRNWHSNPTSSGEKTKKKSGSQKIKHADTCFVVALARWPQ >Sspon.04G0026870-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:51357020:51360611:-1 gene:Sspon.04G0026870-1B transcript:Sspon.04G0026870-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding TLSLSSPLLLGAPARGKLLISYGVASASISPSTVNVLGKGYMSRVHDREQQRRTAIVSVKKSTAKETVVPDPDYRLPIAILGELCAFAYADNLLAAAPVGLLGLLLLFQVSITTRVRFVFDNEALEVKVGDQLQESGENVFVGGKNRWKYSTFVNWELWWPQFPILVYFKETQTKPEGQVHFFPVIFNGRQLYDVMVERAGPSKTSGPK >Sspon.03G0012230-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3A:33802894:33803850:1 gene:Sspon.03G0012230-1A transcript:Sspon.03G0012230-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTLQAFHHHVTMPPPPQLCLHHGRRPPGPPRSTLASRMVPCLACSPWAPRSLSPSRMPSCLACSPSAPRLPSPPRSCSI >Sspon.08G0011720-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:48354759:48355742:-1 gene:Sspon.08G0011720-2C transcript:Sspon.08G0011720-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAADPDTEVQAEFPPLVRQYKSGRVERFFNPAPLPAGTDPATAVVSKDVVVDPATGLWARLFLPPGSHGKKQLPVVVYYHGGAYVIGSAADPWTHTYLNGLVAKAGVLAVALEYRLAPEHPLPAAYEDSWEGLKWVATHATAGGGGPEPWLTEHGDFSRVFLAGASAGGTIAHYVAVRAGEQQGQGGLLGMRVRGLLIVHPYFSGAADIGDEGTTGKQRKAQADAFWRFLYPGSPGLDDPLSNPFSEAAGGSAARVAADRVLVCVAEKDDLRDRGVWYYESLKASGYPGEVELLESKGEGHVFYCMNPRCDRAREMEERVLSFLRK >Sspon.06G0015680-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:86078502:86079081:-1 gene:Sspon.06G0015680-1A transcript:Sspon.06G0015680-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATVATSLSRLLVLLAAAAAAIFLLVGCAAAQQASGVVATYNQYNPAQVDWDLGAAGAFCAKWDADMPLAWRQRYGWTAFCGPAGAHGEASCGRYLLVTNTATGAQATARVVDECHNVGLDLDATVFSQIDTDGAGAASGNLVVDYEFVDCQD >Sspon.01G0009530-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:27131830:27136514:1 gene:Sspon.01G0009530-1A transcript:Sspon.01G0009530-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTVNFHKSHIIGCIIQTTQAQGKYQMNYQAQTGFASGEESTIRFMEGRLQISESSPLLDIDYDYGIVKHPFPLSCFMRNWYLGPDFYHAVKIGIVQYMILKPICAVLAIFFELLGIYGEGKFGWKYGYPYLAVVLNFSQTWALYCLIQFYTATKEKLEPIKPLSKFLTFKSIVFLTWWQGVAVAFLFSTGLFNGHLAQRFQTRIQDYIICLEMGVAAVVHLKVFPAKPYSRGERSVRNVAVMSDYASLGAPDPEEIGGIDSLTVLQTPATKDRRLSFSQSVRDVVLGSGEIMVDDVKYTVSHVVEPMERSFTKINKTIHQISENVKQLEKQKRKAKDDSHLIPLEPWSEEFSEAHDHVVGGSVSDSGLAKTRYNRMSNRPRRSFESRLRRWF >Sspon.08G0000400-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:1920552:1923746:1 gene:Sspon.08G0000400-1P transcript:Sspon.08G0000400-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSSTATASLHLLLPASRRRRHLLPRAAHSESTPPPAASAVHRRRFIAHTAAAAAVSPLVLPARWTPAARADGAPALSEWERVFLPIDPGVVLLDIAFVPDDPSHGFLLGTRQTILETKDGGNTWFPRSIPSAEDEDFNYRFNSVSFKGKEGWIIGKPAILLHTSDAGESWERIPLSAQLPGNMVYIKATGEQSAEMVTDEGAIYVTSNRGYNWKAAVQETVSATLNRTVSSGISGASYYTGTFNTVNRSPDGRYVAVSSRGNFYLTWEPGQPFWQPHNRAVARRIQNMGWRADGGLWLLVRGGGLFLSKGTGITEDFEEVQVQSRGFGILDVGYRSQEEAWAAGGSGVLLKTTNGGKNWVRDKAADNIAANLYSVKFLDDSKGFVLGNDGVLLRYLG >Sspon.08G0012640-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:52513180:52521879:1 gene:Sspon.08G0012640-2D transcript:Sspon.08G0012640-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVYTAEDLIKNSFDNSRRVQGRNARKLVGGKSGKSCRSYNEKLLRIGVPQKDGFKAFVNVSHPYFFCKDNATLPSTTKQVTGYVIDVFETAMEKLQHPPCYDFCVFDGSYDELVGNELWFATVGFFFFTGFVVWVIERPRNPEYQGSSLRQFSNALYFAFSTLTFSHDHIIRSPLSKAVVVIWCFLVLVLVQSYTTSFSSILTAERIRPTVTSLDHLLFNGDYVGYQHNSFVYSMLRDRGFSKHRLIPYSREDEYADALRKGSMNGGVSAIVDEVPYLTSFLFSNARYRNEFQIVGHIYMTPGLGFVFPLGFPLLHNISTAILNITEGNEGSQIEKKWFGTAATATLPAVSNTPSTPLTLQSFSVLTSGFISSLMLLIRIMRLAHARWTELRHGDADRMDNTPGDEECRKLQDGASNIPMLDHPHGLTLILKPVTGITNKLVAEVVMTKSRAFRDPS >Sspon.04G0037080-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4D:60595958:60597621:1 gene:Sspon.04G0037080-1D transcript:Sspon.04G0037080-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VRYITLTLLVDGRALVTSAATSTEDFTAAFKKPLSTPILLSPPRSRRTQAARARAGELDDSELVPKRSARFAAKSRYREPKPEAQARKVMMKKLGVEVETQLPDEASFDEFQTAFALPLSSSTREAMNVLLFPGRKLLGRPSATLPCDPTSHSVQPAGKKKERSEQEKETLLRPWSLRAAESGDDYTFTSLITADLSLDNDDGRYLLDVTAAVGHPQAPRGHGESGGRERAQDLLCLSRSRGRHLLLGLAITIAALLALASTSASESDHK >Sspon.08G0001200-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:2427093:2430050:1 gene:Sspon.08G0001200-1A transcript:Sspon.08G0001200-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSVAAAAMATTSRSLPLPFSSTPLHRRRRAAFLPVAASKRHDDEEEAAKGKGSGREPTSLAPYGGLSISPLSKDAAMGLVLSAATGSGWTTGSGMEGPPTASKAGGAGRPEVSTLPWSLFTKSPRRRMRVAFTCNVCGQRTTRAINPHAYTDGTVFVQCCGCNVFHKLVDNLNLFHEMKCYVGPDFRYEGDAPFNYLDRNEDGDTIFPL >Sspon.08G0009280-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:40650493:40658931:-1 gene:Sspon.08G0009280-1A transcript:Sspon.08G0009280-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADESSTHDTKLLMPPHPHGACGSTKAPAPLVDKTLCCACDIVKLLPTGTVMAFHALAPSFSNHGVCGTASRSLTLALIGACAASCLLLSFTDSLVGHDGRLYYGVATPRGFYPFNFDGTCDERRRRFASIPRMKIKALDFVHALVSAVLFIVVALGNAGIQSCLFPDIRPDAREVLMNLPVGLGFLSSMVFMIFPTTRKSIGYTDLMPHQQEEDGKRSNNNTSLSFAFPVQKCSFDGSGRPQGLRCSGGMCVAHAAFHRHNNDLNNDFMPLNGKMPLPPPATSKRIQLILGFLPLLAIARIACVHQRPGEQVSETLIFANKVFGKRSSRDCSQSFHNGSSSEGARGVVIKNTPPPLIDDFDDDFNIDDIDISDLAPSPPPATSSTPSTTNTTERNHGKNKGKPKQPAFNAKQNTAFKKKKKDKAELPCFACGELGHFAKDCPERADKKEKKKVHLSSVRRSKRSQHARARLAGPGAARSGAAKGAGARHLREWSAKIRLLALRERDLLLLLVGQDYISNLPATPKRIQLILGFLPLLAIAPPLYLLHDRVSRSLEPSSLRSCTGRTRIRFLGSLPQRLVFRRVHWRVGFVVLELTGSSSRP >Sspon.01G0034150-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:2439609:2443661:-1 gene:Sspon.01G0034150-2D transcript:Sspon.01G0034150-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRERERRGEERRGWQGGDWGVRREEETRRENVVGREDRAPAAEPRVRGLVLKCQPSDQRAAAHVRIYLGLKGPLRWPVRSAAATTTTTTTRADRMRPPVSRAAFASVLMGPRALGASLVAARCASSSPVAAAAAAAAVTAYDHASFVKEIAATDPPDHLNSLLNVLQARGEKIVSPGAKRGLIPCVVPLSESPAGNLTSLLRWPTAPTGMEMPVVEVRKHGLWLLAKNVKQYIHRILVEADINADTGDDLWAAVGEAGNLYAKGDFKESQLPDLDVYLLKKVGLFPDVIERKTLRHLEKGDNVSALITGEFYSRDQFPGFGRPFVFNAEILKRVGRTSEAKDSARVALKSPWWTLGCAYEEAAELAGWEDEQLEFIREKVTEEGKREDLKKGKAPEQVVLDEAAFLMDLASVDGNWDEVVDRIAECYREAGLHDIANFIAYRE >Sspon.01G0001930-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:5617650:5619410:-1 gene:Sspon.01G0001930-1A transcript:Sspon.01G0001930-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAGGGAVRALSQKEQDIQMMLAADVHLGTKNCDFQMERYVFKRRTDGIYIINLGKTWEKLQLAARVIVAIENPKDIIVQSARPYGQRAVLKFAQYTGAHAIAGRHTPGTFTNQLQTSFSEPRLLILTDPRTDHQPIKESALGNIPTIAFCDTDSPMRYVDIGIPANNKGRNSIGCLFWLLARMVLQMRGTILPGHKWEVMVDLFFYRDPEEAKDQEEEAATAPEFAAITDYQGADQWGGDQWTSDVAVPPVAPTGADWGAAPAPVPTGDGWDQAGAPVPVDGTVPPVIAPTGWDQAPQPTAQGWE >Sspon.01G0039850-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:37262632:37263259:-1 gene:Sspon.01G0039850-1B transcript:Sspon.01G0039850-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKAMCATCLLTCFVQVWSSEAVVDGEVKVKEDVLCRRTGSDMGVTVLTESRQRTYGSSEAQEDSACRPVEDDGDTRRGGGRVWNTLLASGLMVWTSKPSVVGLRVWASKPGRRFRGGTDGTWRHRGIRIEAKLPVRRRGGRRIKMKTGLDLYALGLNGLAHLYPGANRGLCNSPVK >Sspon.02G0016440-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:45358285:45360357:1 gene:Sspon.02G0016440-1A transcript:Sspon.02G0016440-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTRCGCAHLLLTTSILLLLLCHLTRAFPLPSPPSASSNAASPSPPPPVPPPLPRGLPRIIPAWSLPVNPFTAKAAFIRYWNRKVRGNRPHPAFLFAKLSPLSAPDAATFSTLASAGKLASRIRDFCAAASLLCPSTPPASWSAASSSSVDGAAGGVAASSSGGGGAASAAPFKNYENGNFSSYGNSGGGGSDQFAVYSSGKSGPVDSFKQYGKASLGRNDSFANYEEGGNVGTSSFNSYTTGATGGAGEFAGYAGQTNTVAATFATYDNTGNGRTHEFTAYSQDANSGVQSFTGYGKTANGAGESFKTYGNNSNTVASGFINYGQKANGFNDTFASYGLDGNAPQNTFRSYASGSNAGVDEFKAYRDQANVGDDSFTSYANNANGAAADFDSYGKSTNPGSVAFKGYGQGSNPNHRIGFTQYSGENTTFKAYSNEGVEFKEYQNMSKMEVSKTAAPAATKAPGHRLPKWSPEPGKFFRERDLMMGNRMPMPDIADKMPHRAFLPKDIATKIPFEENAVSALFGAPPGTAMRQVVASTVAECARPPSQGETKRCTTSAEDMLDFAVEMLGSNIAVRSTESTVGSGRDVRLGKITGIAGGSVTRSVSCHQSLFPYLVYYCHSVPRVRLYEADILDVDSNRKINHGVAICHLETSDWSPNHGAFIALGGKPGQIEVCHWIFEGDMAWTLVD >Sspon.07G0001480-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:2930110:2930667:1 gene:Sspon.07G0001480-4D transcript:Sspon.07G0001480-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRSAAPAAATAASSPAPKPQPQPHPHPQLRGSQLKQLREIFGRFDMDGDGSLTQLELAALLRSLGLRPTGEEARALLAAMDSDGNGAVEFGELAAAIAPLLTTQTHLVDQAQLLEVFRAFDRDGNGYISAAELARSMARLGQPLTFEELTRMMRDADADGDGVISFQEFAAVMAKSALDFLGVA >Sspon.02G0015860-1P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2A:43505598:43506389:1 gene:Sspon.02G0015860-1P transcript:Sspon.02G0015860-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWRPRLLCCLFLSLTLAGLARAATVHQEWEISYQFKSPDCVRKLAVTINGQTPGPTIRATQGDTVVVRVKNSLLTENVAIHWHGIRQIGTPWADGTEGVTQCPVLPGDTFTYTFVVDHPGTYMYHAHYGMQRSAGLNGLIVVAAAPGGPDAEPFRYDGEHHVLLNDWWHKSTYEQAAGLASVPFGWVGEPQSLLINGRGRFVNCSSMAAGACNATLPECAAPVFAVVPGKTYRFRIASVTSLSALNFEIEVTTLYFSLLVDR >Sspon.01G0023180-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1A:83997411:84000199:1 gene:Sspon.01G0023180-1A transcript:Sspon.01G0023180-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRPPPPPPLYSHFPPLSSSYTWAQVLAGSPPSDAAPAAPLAGVGAPQLHQPLLQPILVQPLQGALPADATGATAPAQVLTTAAAPGAGGVLQRVRTRGPPVVVSRPMVASNSTSTYLLNRLPSAACPAPTPHHALFGTPPRYNHLRVFGCVCYPNTAATSSHKLDPRSTLCVVLGYSPDHKGYRCFDLTSRRVLISRHVVFDESVFPFSSTTTPPVPDPPSLFPTDPVVQPPFSWSPAGTASPRAGPAPCPESQAGPGTSSSGAAPSSPVGADPGPSSPASAPGGSCRSPTPDPVPAPVPAPPSRFAAPVRVYQRRPRPPPLAVPSPPGTPTPPQQSPPARGAPPVYHPPLLHRHPRHVHPMVTRHAAGTLPPRALEASTGDAVVSPVPSSVRDALLDPHWRRAMEEEYAALLANQTWEFVPQPPGSNVVTGKWIWTHKRRADGSLERYRLDGPVVKPPTVRTVLSLALARSWPVHQLDVKNAFLHGLLTEMVYCSQPAGFVDSTRPDMVCRLNRSLYGLKQAPRAWNHRFAAFLLTLGFMEAKSDTSLFVYHHGADTAYLLLYVDDIVLTASSEPLLRRIIAALQQEFAMKDLGELHHFLGVTVEHRPARLLLHQRQYTRDILERAGMTDCNPCSTPVDTQGKLSEVEGPPVADPTAYRSLAGALQYLTFTRPDITYAVQQVCLHMHDPREPHLTALKRLLRYLRGTLDYGLLLHRASSTDLVVYTDADWTGCPDTRRSTSGYAVFLGGNLVSWSKRQPVVSRSSAEAEYRAVANGVAEAAWLRQLLAELHTPPSRSTLIYCDNVSAVYLSTNPIQHQRTKHVEIDLHFVRDRVAMGEVRVLHVPTTSQFADIFTKGLPSSTFAEFRSSLNITGG >Sspon.01G0011510-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1A:31431178:31431563:1 gene:Sspon.01G0011510-1A transcript:Sspon.01G0011510-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGGTRNTDMDATYGRTTHFSRTPVIRIECVDSACRGQGSSPVELFHQIQSLNAAARTSTSTQMHAHATQAQRTSTDGRTRGGGRTRGGRCAMCVLAREEKARPKKWPQATAQTCMGPTRRDPMQAG >Sspon.06G0010200-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:44602997:44605222:1 gene:Sspon.06G0010200-2C transcript:Sspon.06G0010200-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GGEESCAPPRRSASPGAPRRRRAAGSATPRRPSPRPPPPRVPRRHRSSPPPVARCPPAAAQWAASWELDDWEFADWRDDAAAAVVVAEREATAAAAKPRLVFAPPSREEAEEATTELRDAIERAYFNESPVEVVKEQDKEFNKQATDAIIPSMPGHSVVASLASDRNVWDAVLKNEKVMEFYKNHQTSMYLSDSLAKVIHITFIISTVLPACMTIVVVLCYDTSLVETFPEEAATVESPGKFEDTTSENASNSELPTGSPFSDFVDNAKKRVMDVVYNITDFFKDLFDSAKAQDGTGPSAEKGLSATEMAVGGSFMALAIGVILVVLFKRG >Sspon.03G0030270-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3C:29004797:29005711:1 gene:Sspon.03G0030270-2C transcript:Sspon.03G0030270-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPGSAVYHVVEAMAPLYTAAVLGYASVRWLKAFSDEQCAGINHFVALYAVPVLIFHMVSTNDPYHMNERLIAADTLQKAVMLLALTAWAFWSHFRRRRDGKALPSSASPIKWVVTNFSVASLPNTIIMGVPLLDGMYGSVSGGLMKQIVVMQFCIWYNVVIFLYEFMAARDGTAKISPAVPAKTADENHDRSMVDENGGSSIHRHAADRSSHHQVVVNIEITEVAAVASTQPTAAAKELMSADDVGDGDGDANKAAVDVPPPTPVQPQVPSVMHVVWMATKKLLQIPNTYASFLGLIWSLMAFK >Sspon.03G0002680-2D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3D:14630087:14630263:-1 gene:Sspon.03G0002680-2D transcript:Sspon.03G0002680-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDWAPSIIATALFALLCPGGVLQMPGRQRPVDLMNMKTSFPSMLVHAIIYFLLLMLFL >Sspon.04G0013960-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:53251150:53257686:1 gene:Sspon.04G0013960-2B transcript:Sspon.04G0013960-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:At3g24315 [Source:Projected from Arabidopsis thaliana (AT3G24315) UniProtKB/TrEMBL;Acc:Q1LYX4] MDEVTQAVEDLKKEWSQAVSQLEESIAAIESCGKTGKGTEEANSLPRLNGSAQDALQLLKSLQFRLDLLAQQLPTFDEVQSGQATLESWDEQYKKLRASLRSANLQAKDNIRKAAQEERELLLGGGEESTIRRRNLQTKAGMTSAAESITESLRRSRQMMVQEVERSASTLATFDESTSVLRKAEGEYQGHRSLLMRTRGLLSTMQRQDVLDRIILTIGFIIFSLAVLYVVSRRIGLLTLQRKLANTIRSGSLSAEDIIAKAQHGPAPANVPAPIYDEL >Sspon.07G0010100-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7C:26692024:26692842:-1 gene:Sspon.07G0010100-2C transcript:Sspon.07G0010100-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPEEARDAWVSKATKDLITSILPPDSVNSDTGLVLANAIYFKGRWSMPFAKKDTETRRFQRLDGSHVRTPFMRGRQDQAVAEYDGFKVLKLAYHPYRLPHWEDRYGGGRNRHAKQQDGQAGSRFSMCIFLPDAHDGLPSLVDKMASCPSFLWDHMPSERVEVRELRLPKFKLSFSSRINGILKAMGVKAAFEEDVADLSDMLEGRGRTDLVLEHVFHKAVIEVNEEGTEAAASSACVMRLICYRRPVNFVADHPFAFFVGEEVSGQGKFYR >Sspon.04G0032300-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:25033819:25037257:-1 gene:Sspon.04G0032300-1C transcript:Sspon.04G0032300-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLFKDPSKLSAYRDRRFKGTQEEYEATLLASTTLYIGNMSFYTTEEQAYELFSRAGEIKKIIMGLDKNSKTPCGFCFVLYYSREDAEDAVKYISGTMLDDRPIRVDFDWGFEEGRQWGRGRSGGQMREVSGREDMEILIGMTEEVTHVKIVLLCVYFCPLTRYESPDYQRKRFRNDDGSAPEESKRAPDSDPAEKNPRFREKGDSDEEEDDYDKRRRR >Sspon.04G0022120-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:4248308:4248595:1 gene:Sspon.04G0022120-1B transcript:Sspon.04G0022120-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKKYADFRQLLDAENHAWDGRTGTEPAIFYLGTHENPRTPKRSAGTCHEVSSSENFTWARLMASDDPKLSIPGVLGMVRSRFSAGAKGTQLWPS >Sspon.01G0031810-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:108659871:108661428:1 gene:Sspon.01G0031810-1T transcript:Sspon.01G0031810-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAAAARAQAAREVCAASAAFASCTHRRRRSSPRGPHFVDWYLVLAIGEAASEDAVRRRYRHLALQLHPDKNRHPKAEVAFKIVSEAHACLTDQARRRAFDSERRGSFCAACHDRHAARWSQPAAGHQAPAPSRTRSKAVREMQSRLRDECRVIDGCLQANDAAARARRRQSFPLFDPSDSRRFPDYPHVRPPPFGLGGAELRRSDERLGRPAVDQQALNRRWCRDGGESPVYQIRTAATECSERRP >Sspon.05G0026630-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5B:37482257:37483118:1 gene:Sspon.05G0026630-1B transcript:Sspon.05G0026630-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLYCDNNPAVQYAYNNRSSGAAKHIDIKYYVVKDKVRDHIINLEHISTEKMLVDPLTKGLPPNVFREHRWGPAGSGHEVDLSRHSPLTFPIPIREGRSQGREAAAVFTALTPFSSDRRTDGSSGERDSG >Sspon.07G0000390-1P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7C:582066:584698:-1 gene:Sspon.07G0000390-1P transcript:Sspon.07G0000390-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRWYANVKEVGGGDTTVVLAHGYGANQTLWDKLLPVLSEHHRVILFDWDFTGRGGADEEEEEEATAGRYTFGRFADDLIALMDDKGARGAVVVGHSMSAMAACIASVRRPDLFAHLVLLCASPRYIDSPEEGYVGGFDRASIDGMLGAMSSDFGAWVKGFVPNAAGGDPSASPALEQSFLSMHPGVALEVARMIFLGDQRGALDAVAVPCTVVQVAADFAASPAVAEYMQRRMEKAAEVEVVVIDSVGHFPQLVAPQQLLAVLQRVLQRTGGEVVVGAEEEQAAEEAAEVVEAD >Sspon.06G0016560-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:74339657:74341874:-1 gene:Sspon.06G0016560-1T transcript:Sspon.06G0016560-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPAPPQLGPSRSWTRTRRDGKQRVVTRSASEPVLWLGDTWVHAVPPDRREHELDCPPSPPLPPLERPHTCFDVFAPEESAFAQSSSAASLTKLCSRDREEAKVVLSVTVEGSVGLVKAVVRLGASVGEAIVAMVERYAREGRSPRLDLAAAESFQLHHSHFSLQSLNKNDKIGDVGGRNFYLHKNDSSNGLYLQGEEPDANSSRSDISQNSSSLGQPSGGATNQYQVLTIVISKLDKIGRRTRRIWRFITCNNCT >Sspon.07G0002170-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:5319596:5324042:-1 gene:Sspon.07G0002170-1A transcript:Sspon.07G0002170-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAARKRSLLAAALAAFLALAAVPAVLCQAPGPAAPKGPPNVTAILEKGGQYTTFIRLMKSTQQDTQLNSQLNNSFGSGYTVFAPTDNAFASLKPGTLNKLSQQEQVSLVQFHVLPQFYSLDSFETASNPVRTQASGSDGPYTLNITADSNSQVNVSTGVVATRLGTPLRATQPLAVYSVDTVLLPNELFGVKPPASAPPAPSKKPAKGGSVAEAPAAGDKADAPPSGAAARAGWSSLAALLLGSIRSTATATTSTKHQSTSSSMARLAILVVLLAASSALSAAQKASPKPAAKAAPGPASSGGGAADASPPTDINKALKDSQFSEFKQLLHDTRVDTQINAQLTDSYNGLTIVAPTNAAFDKMKAGVLNGLSPQEQIQMVLYCVMPRFYSLSMLGTLNGKVTTQGSGHDGPYKYDIERSGNNINVSTGVNWMLLGSPVSKDFPLAIYPVDKVPLPYELFGPKPPTPAPAPAPAPAKSKTKKKKKSAGIAEPPTADDDTSTSDDQKAAAAPGVGGVATRWVVAALSVGAA >Sspon.04G0007060-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:20209229:20211210:-1 gene:Sspon.04G0007060-1A transcript:Sspon.04G0007060-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAASSREADAARAAAREHTKRCRERRRLAREAVRLRRHLATSHAAYLRSLGVVASALTRFAVGEPLPVSDHTPPAVITHRPVVAPSSPPPLLRAMEQPQPQGEQARQQEGGSGVSADVVGVAALPTRTEGVGVGVGGAEEELRMVVRHRSLAEVAAGLEEYFVKASVAGDTVSSLLEASTTEFKGGSHSFLGALCCLSAPPLDRIDSMSSRQRHSATLQQLLAWEKKLYKDVKAREKLQIRHDKKLAELRDQEYSRKIDVDIQKLKAAWDRARAQLEIASQSVDATSSAIAQLRDTHLARQLLELCHATLDMWRAMRQHHEAQGLFAQQLRGLSSRTSMEPTTEIHHQATRALEAAMNAWSAAMAHMAKHQRDYVHAIGGWLKLTLTPVSGAAEAVASPVAAELAAFVDRWGKVLDRVHCVDVLKAIKSFAGEVRAIHALQGDELRVARRVRQYSRELDRKSRMLRQVEKSYYDSYLPGVMSMLHWGRPAWRDHMQARDAGNEVAQRRDEIAACRKMVEDEMRRHAKAIDATRTATVTGVQGKLPAVFQSMAAFSASLANSLEAACRAPQQNTNMQ >Sspon.05G0026190-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5B:31596900:31597237:-1 gene:Sspon.05G0026190-1B transcript:Sspon.05G0026190-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRVAGRRLSSSLSWRPAAAAAARAPLAGAGVTDRDDDSARGRSQPRFSIDSPFFVASRGCGRFFRFSMMA >Sspon.07G0004400-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:10075606:10080928:-1 gene:Sspon.07G0004400-2B transcript:Sspon.07G0004400-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MISWRRSASWLSSASRSSLGAAVGGEAKVTPEADPAAQEEEDEADEERWSRLLPELLAEIMRRVDAGAERWPPRRDVVVCACVCRRWRDAAVSVVRPPLECGRITFPSSLKQPGPRDAPMHCFIRRDKKNSTFSLYLSLTQALTDKGKFLLAARRFRQGAHTEYIISYDYDDLHPRSSSYVGKLRSDFLGTKFIIYDSQAPCDGAKPSRSRSTRRFASKQISPQVSGGNFEVGQLVATAGSGGPWGVGDEETVILQFGKIEDDAFTMDYRQPLSAFQAFAICLTSFGTKLA >Sspon.08G0001460-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:1625490:1629898:1 gene:Sspon.08G0001460-2B transcript:Sspon.08G0001460-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAQRSPSMAGGGGAAAAAAGAAVGMPSQGTVPVRRRCEGTAMGAITLDLRPGLGVGPFTLGMPISDAFAQIERQPNIYDVVHVKYFDEEPLKLDFVISFPDHGFHLRFDPWSQRLRLVEIYDVKRLQLRYATALIGGPSTLATFAAVYALFGPTFPGIYDKERGIYTLFYPGLSFAFPIPSQYTNLFTNGEDLPLEFPDGTTPVTCRVCIYDSSTDSKVGVGSLMDKAVVPALPAGSLYMEEVHAKLGEELWFTIGGQHIPFGASPQDVWTDLGRPCGIHQKQVDQMVIHSASEPRPRTTLCGDYFYNYFSRGIDILFDGQTHRIKKFVLHTNFPGHSDFNSYKKCNFVIYDAEAEGTVQPGNVSTNCITPSTKWEQVKEILGDCGRAAIQTQGSMNNPFGSTFVYGYQNIAFEVMKNGYIATVTLFQS >Sspon.02G0024240-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:82900663:82904652:-1 gene:Sspon.02G0024240-1A transcript:Sspon.02G0024240-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine protein kinase, Pollination and drought stress responses, Reguration of potassium uptake by CBL1-CIPK23 comple [Source: Projected from Oryza sativa (Os07g0150700)] TQLPSPPPRLRYPTHNHHHHRQAATITLFPCLRPSPRHQPIDPLPSHAAARSGCTDTPVSEQSEARPDAAAAARRGAPGAVRPVEALRQEEYVVRKGAVFFAGAREERKKDRTMSASVGRTRVGRYELGRTLGEGTFAKVKFARNVETGENVAIKILDKEKVLKHKMIAQVKHAMPSVPCFSLLLLHPEVMASKTKIYIVMELVTGGELFDKIASRGRLKEDDARKYFQQLINAVDYCHSRGVYHRDLKPENLLLDASGTLKVSDFGLSALSQQVREDGLLHTTCGTPNYVAPEVINNKGYDGAKADLWSCGVILFVLMAGYLPFEDSNLMSLYKKIFKANFSCPSWFSTSAKKLIKKILDPNVNTRITIAELINNEWFKKGYQPPRFETADVNLDDVNSIFDESGEPAQLVVERREERPSVMNAFELISTSQGLNLGTLFEKQTGPVKRETRFASRLPANEILSKIEAAAGPMGFNVQKRNYKLKLQGENPGRKGQLAIATEVFEVTPSLYMVELRKSNGDTLEFHKFYHNISNGLKDVMWKPESGIVEGDEARQRRSP >Sspon.05G0003360-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:10491893:10492493:-1 gene:Sspon.05G0003360-2D transcript:Sspon.05G0003360-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSALLVKALAALVAMAAVAELAAAKNHTIQWSVSGNYGDWSSNNAVSVGDTVVFTYGPPHTVNELPSEADYKACSFDNSASSDQSGNTAVTFDKAGTRYFACAAGSHCSQGQKVAITAAAPGVSPAPKPKENSAAASMAGAAGLAVKLALGLGVGGAVLAAF >Sspon.06G0009950-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:53796837:53797683:1 gene:Sspon.06G0009950-1A transcript:Sspon.06G0009950-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSNFYLLSVLLIMIASGARAMDPSPLQDFCVADKDSPVRVNGLPCKDVKDVKVDDFFLAADLDKPRDTTMSKVKSNVTLINVMKLAGLNTLGISMARIDYAPQGQNPPHTHPRATEILTVIEGSLYVGFVTSNPDNKFFSKLLNKGDVFVFPQGLIHFQFNPSHDKPAVAIAALSSQNPGAITISNAVFGSKPPIADDVLAKAFQVDKKVVDWLQAQFWEDNHN >Sspon.06G0002070-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:5220849:5231218:-1 gene:Sspon.06G0002070-2B transcript:Sspon.06G0002070-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VMIVGARKVIGKQEDVHQLWRVDNLHLQYKVPQLPGIEVAFKAKATVAVITMARVPRGSWVAKRRALDRLRRGSAHSAASRNHPQGCTWMGVRERVRGRWAAQIRVPGTRTRLWIGRFEQALQAALAYDTALFCFYGPHRLPSPRRFNFPAAPRPKITEDVRATLTRADIKRIAESHARFLANFYVGPLPAVSPAAASPPPPPAAAAAADGAATVADEATATTD >Sspon.03G0017100-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:40912519:40918658:1 gene:Sspon.03G0017100-3D transcript:Sspon.03G0017100-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLFKSSNGGTTEKTLPLEEQQEKINELRKELGEHSSAAIEGFLSDASLARFLRARNWNVQKASKMMKAAVKWRLAFKPENICWDDIAEEAETGKIYRADYKDKHGRTVLVLRPGLENTTSATGQIKYLVYSLEKAIMNLTEDQEKMVWLTDFQSWTLGSTPLKVTRETVNVLQDCYPERLGLAILYNPPRIFESFWKIVKPFLDHETRKKVKFVYSNDKESQKIMAEVFDMEELDSAFGGKNPATFEYNSYAERMQEDDKKMGSLHSSTNSLLESAEKEANGADSDASSEASFYSGTDSPKHEDGEHSIAKKNG >Sspon.03G0007250-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:19805668:19811571:1 gene:Sspon.03G0007250-1A transcript:Sspon.03G0007250-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRAAAEPFSIRGYATRMRAVDAAECYPFVAGGCSEGEGEPPPPPPPPRFPPMDPKPLSRWWQHELAAGQARLLAGAKGGKTAAAGGRGGALRKGAKRKGSRSSSTAERARKRRRVLQFRSFLTNKERIAKPQSTSRLREHKLHMALLRKHKSSTVHTSTELEPRKKLEEARDRMPTHENSVNKRNRERTNPSSEMNSDLFMIKEVTSSVNKQVIEVNGSTNNPTNTGYEIVKTSTGPKDDIFGDLPLLELESSKIMFRTGVHELPTVIEESFITNQTEADSIPEAVPLELIDASDITAQTPSPLEDLEKSEDTEPACISHNDVAGSHPSTVGIDSLPNHKNINMVKPSLGDMQLKFTDVSALGSHSVLRSKSGSGNPLQGNKKHGTNSTSSPPTMRTRTEETKYKDVPVKGKKSIDITSAVVALPVPANQLSYQASVLPSAVSHTGTRVDSNDKSSFRSMPAKECVPTTRPGNFRTNVCHGSRKHVDPCAALSTDNQGSSHSKLHPICSPANIGMAFMKLPGLERMEISNCNVKIGENRFSNAESMNAVRYQKQQLLSGMTYVMQGQKQIGLSSSQVGKPALDGYGGQGDHHLQQPTVRLMGKTVSVCLHSKDHNVLTMDQVSPDNVAIETSRLSTISCQLPQKRSFPCQDSVTPRVHLNNSSDFLARIPNNSVPGQNTTFSGLHNQRPQPINSAPTVKDRTWNFGNQFVRQAELNKAAIVSTNSQTRHLELHQPPHLISIPQNQQSHLWTPAAHMSRKDQCFVGPAANRSPPVPKSLLNASIKEKYQKSTLLSYDDPSSMPIRQPYQIPGAQSSSASVTSFFEYGANNSLSRSSSPGLSLSLATGLANESVSTDRAACVGSLTNADGRKAASFAEPISNRSAYADNVSQQPAKPISNRTAYATDNVSQQPAKRQLVTDTQDFMSMGSNIVNHSPGWSLSDAVGPQVLDFSKRIARDAVQTSRNESNNPRASSGPVPPIETWSRPGVVAGANTMLKPGQNLNDHSKLLYSTNFSVDSGINSVIVEVNIQNEMVLLPDSSVLAFMKYKSICASLVIYTVAKEGDQERQAKLLASENLKTRRSRNLGPIWSQVVTTEHGYASMRAIESPSSLDDQQWLTYWVLYSLITLFELSCWKVLQWFPLWPYMKLLFCCWLVLPIFNGAAYIYEAHVRRYFKIGNYVSPNYNERQRRVLQMMSLDARKSVERFIETHGPDALDKIIRAVSSSFFVSAAQGSFLTEDFGDSFGIALAFFFFLSDGEASETVCRLKRKPRGPSLGVR >Sspon.04G0032320-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:25239510:25242950:-1 gene:Sspon.04G0032320-1C transcript:Sspon.04G0032320-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRASPDGRHNSNTHCCSGWQRAMAQRMPAVRPPDGGKAFVAVSIKRHCTCTLLASFTRPLPVSPLCGPLPPAITPPAPRSSSLWRARYIKGAQPFFSTVLAIATPLLPSPLLRATNKMPASHDYPCWFFLPVCCPSFSAAGDPSPAAAASTGRLCWMARKKKKKKRKLKPRRRASISCERFRAAQKRYAPVERSHTCPVRLCPSALPRCCCTMIGRIQTFRAQAALQPPHLPPPANPWSLIRLYNDRPLQGLRPKLYGRSAGTVRPWKTTPYDVVPSLMYREEAGKSESKGSGARASKEPGSGRHCPAIRGIRQSIRQRRPSDHDGQVSAKERHLPERGPRANNGKASAKNSADGQASAREEEKTPPYGRYPKASAREETNDNDGKASIK >Sspon.08G0017840-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:3085238:3087976:1 gene:Sspon.08G0017840-1B transcript:Sspon.08G0017840-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTSAQESPIWKQIDDAEYYLVSGSFEQAMLAALSVADQIRTSSLENACDQDELLEILESAGMVLVQALKELRRTPEMFVQLKTMFGSVPSVPARVFLTGATIQMAAGSVSDLRPIFEEYLANWRYTNDEVYVLDGGHDSTSNGFVVTPVMSTGQYFQVAELYTLTFLCIVSQDNETAISWAQKADLTERSRQDLLKKLHAVRLAANKKLSTVEGVNQTAERNLSTSTNGSTPLPHEDPPKIAPVCDGLKKALVKSAQPSQHVANQFDPLFWWFHLIRLKFGKIHIVLPSGKLMFLFSLLFSTMYVLRRKTTGLKRTVFQQAASLRRAFLDALQLAFSVQMNPLAAVQQVPQAPRGSWL >Sspon.01G0041280-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:47886981:47887372:1 gene:Sspon.01G0041280-1B transcript:Sspon.01G0041280-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSANYCCLRLLSNVLVGHRGIHQVASFLPLTLICVVEVVNCFISLESFLAPHFFDDI >Sspon.06G0012390-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:53843262:53845173:-1 gene:Sspon.06G0012390-2B transcript:Sspon.06G0012390-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRGTSGPPSPPTRAFYKHPIPLRRRAPSPPPPWPPPQPRQQLSPRLVRIPSSNPPRTPPSFPSRGDRFQPSLALSAPSQLSLRGLPLAPATNWKYHNAKVDAGDEDVGGEDLLRRFNWQVFRAGVMEEIRRRRRHRDARDKRKRKARSASRRYHRRRFKGPYPFNDDQGLKEQASDDEENDNWELPAGELPSYR >Sspon.06G0011710-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:62026658:62032531:1 gene:Sspon.06G0011710-3C transcript:Sspon.06G0011710-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Organelle RRM domain-containing protein 2, mitochondrial [Source:Projected from Arabidopsis thaliana (AT5G54580) UniProtKB/Swiss-Prot;Acc:Q9FIU6] MAAAVARSGFRRMFSVSAFAPPKAPAPRPQADPSPNLFVSGLSKRTTTEGLRDAFAKFGEVVHARVVTDRVSGFSKGFGFVRYATTEEATKGIEGMDGKFLDGWVIFAEYARPRAPPEQAETNSQPQQAWGAPSSSWGAQ >Sspon.03G0013850-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:40119906:40125424:1 gene:Sspon.03G0013850-1P transcript:Sspon.03G0013850-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAHLLRRASSAFLFSTTNPLRSCSPLVRFQHPRAAMATDSSAAPFQKIQIQREDTTFDAYVVGKENAPGIVVLQEWWGVDYEIKNHAIHISQLDGGYRALIPDLYRGKVALDVAEAHHLMEGLDWQGAVKDIQASVKWLKSNGSPKVGVTGYCMGGALSIASGVLVPEVDAVVAFYGTPSSELADPSKAKAPIQAHFGEHDSFVGFSDVTAAKSLEEKLKSSGIPYEVHIYPGCSHAFMNTSPEALKRKKGMGLTDENQEAVDLAWSRFSSWMGRFLGSA >Sspon.07G0020390-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:70818691:70820067:1 gene:Sspon.07G0020390-1T transcript:Sspon.07G0020390-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGLVKLYHLQLVDCLNDCGEELRQVMYLGNIDHLRYVNYGSRRIGEFPIVRLTSLQELHNYRVQGSKGNKISAIKNLRALRELEVFSIENVESLEEADNAKLKEKPYLNSLSLTWSARADAENGKDDLILDHLEPHAHIRNLKISGYCGARLPIWIENLRVKNLVSLELARCIYWEQLPSLGELECLKKLWLECLPSLQQIGQPSQLSNISRCIGSYLPPHLDTLIVRRCKELKQLPILPLSLVHMEICKVGLTEFPSIGNLHGESTETRPSKLQFVSVEECESLTLPEGSLLLQKHYIRTIHVLHISDCKELESAPLFDEMINLRELNIRNCPKLRASSETEGKNLSPSLKKLIVKQCGDLVHFLIKSLHGLVNLSELVLENCPGLLSLPSADVCKSLTSLKFLKIIGCEDLSSFGGLSSLRSLVELKISSCSKLAAPPVLGGAASGPANDYDDDV >Sspon.01G0026390-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:8811855:8812979:1 gene:Sspon.01G0026390-1P transcript:Sspon.01G0026390-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLPLYQQLQLSPPSPKPDQSSSFYCYPCSPPFAAAAAAADASFPLRYQIGSAAAATPPQAVINSPDLPVQLLMEQAPAPATELGTCASGGAQGAGVSASLDRAAAAAAARKDRHSKICTAGGMRDRRMRLSLDVARKFFALQDMLGFDKASKTVQWLLNTSKAAILEIMADDVDASSECVEDGSSSHSVDGKHNPAEQLGGGDQKPKGNGRSEGKKPAKSRKAATTPKPPRKSGNNAHPVPDKETRAKARERARERTKEKHRMRWVKLASAIDVEAAAASVASESDRPSSNYLNHHSSSSMNMPRAAAAELEERERCSSALNNRGRMQESTGPSDVVLGFGNGGYGGSGSGNYYCQEQWELGGVVFQQNSRFY >Sspon.08G0025880-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:11124799:11129762:1 gene:Sspon.08G0025880-2D transcript:Sspon.08G0025880-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQPPEVVRPSLPSEFRLGRALASSVLPCECLELDLIYTDFKMFSAPGNNSLALVAPRPGMELANVQHPNQAAGPGGKQRTSSLEAPIMLLTGHQSAIYCMKFNPAGTVIASGSHDRDIFLWYVHGECKNFMVLRGHKNAILDLQWTTDGTQIISASPDKTLRVWDVETGKQVKKMAEHSSFVNSCCPARKWPPLVVSGSDDGTAKLWDLRQRGAIQTLPDKYQITAVSFSEAADKVFTGGLDNDVKWWDLRKNEVTEYLKGHQDMITGMQLSPDGSYLLTNAMDNELKIWDLRPYAPENRNIKTLTGHQHNFEKNLLKCSWSPDNRKVTAGSADRMVYIWDTTSRRILYKLPGHNGSVNETAFHPTEPIIGSCGSDKQIYLGEL >Sspon.08G0004900-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:15124792:15126882:-1 gene:Sspon.08G0004900-3C transcript:Sspon.08G0004900-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:50S ribosomal protein L35, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G24090) UniProtKB/Swiss-Prot;Acc:Q8VZ55] MALSLSLARPAPLAVSAGAGARKLPAASLAFPAKSFFGAPLAATAASVASPLPRKPATSTSLEVVAAGKKGYKMKTHKASAKRFRVTGRGKIVRRCAGKQHLLAKKNTKRKKRLSKMVQVNKSDYDNVTGALPYLKVNRKAN >Sspon.08G0003330-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:8853795:8859049:-1 gene:Sspon.08G0003330-1A transcript:Sspon.08G0003330-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGADEQPSPTRKRDRKEEGEDLSDGGAAEKRPRAEGASLLGLATYEDEEEDEAARGHANGRRAVEPEVEEEEEDDDDEEDDVRRAPERRPRQVELRRDCPYLDTVNRQVLDFDFEKFCSISLSNLNVYACLVCGKYFQGRGLKSHAYTHSLEAGHHVFINLQTEKAYCLPDGYEINDPSLEDIRHVLNPRFTKEQVLNLDKNKQWSRALDGSNYLPGMVGLNNIKETDFVNVTIQSLMRVTPLRNFFLIPENYQHSKSPLVHRFGELTRKIWHARNFKGQVSPHEFLQAVMKASDKRFQIGVQSDPVEFMSWLLNTLHAKLKSSKKKNRSIIHDCFQGELEVIKEVHRKHIMEKREDGDELNGEAGSVVGTADGIVTETPKVPLFNILKKFDGETVTEVVRPSIARMRYRVTRLPKYLILHMRRFTKNNFFVEKNPTLVNFPVKNLELKDYIPLPKPKENHKLRSKYDLIANIVHDGKPGEGCYRVFVQRKSEEAWYEMQDLHVTETLPQMVALSETYMQIYEQHE >Sspon.05G0039730-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:76687123:76687865:1 gene:Sspon.05G0039730-1D transcript:Sspon.05G0039730-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DESESEAADCSVASAPAVSEANETSPTSEVAVQVDDIQMIVNQGPSAFDNIGFPEVARGLTELTVARNGNIMAQQADATFTVGLGLRYYIYPAV >Sspon.04G0006950-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:20920083:20930142:1 gene:Sspon.04G0006950-3D transcript:Sspon.04G0006950-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ceramide kinase [Source:Projected from Arabidopsis thaliana (AT5G51290) UniProtKB/Swiss-Prot;Acc:Q6USK2] MQDGGEVLFLDGLGEVSVAVGRNGLSVQPLYPELVSSCWSSITLQPKLDSKIKFSDVYAIEMLDKGPICGPWNTRTAIQGKNNIEVMAFLLVIVTERAGHAYDTLSSLSDIELKAFDGVVAVGGDGLFNEILNGILSSRHKVSYPPTPEGFGYVGSNDNCEEQTRNWINSSIPTPDSGNAMFPGNSSKCDDQEPLLSTSHPTGLEIPSSNSTKEPCIEDQDNSVAFPNDWFRLGIIPSGSTDAIVLSTTGERDPVTSALLIILGRKVSLDIAQVVRWKSSPTAEVLPTVRYAASFAGYGFYGEVIRESEKYRWMGPARYDFSGTMVFLKHRSYEAKVAFLETPYTSLTASAEDDITGAQPLQSRWKKPRKVICRTNCFVCKEASTSRQNSEDVSENSRTLCENQKWVWSEGRFLSVGAAIISCRNERAPDGLTPAFTFISSHDESVWNLDGEMFQASEEVMRLSTHG >Sspon.05G0008080-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5A:24799929:24800924:1 gene:Sspon.05G0008080-1A transcript:Sspon.05G0008080-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSSESEEHVATSDTTQSDIQTLWVVALSNNSGNRKTGHIAPLDRLCAPLSPAPTPPAGVATEVAPATGAMATHGRDAGRGGVTAVMRLGEGHSGAIDGGDDLLARAQLPHHGRRWESPRLRAHRRRHRSQQPHHGRHVAAHQGDSSHPGELGSDDPVEATMAVVTAMET >Sspon.02G0009060-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:25862762:25867070:1 gene:Sspon.02G0009060-1A transcript:Sspon.02G0009060-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCCQSRLERLEAVSRCKARRRYTKHLVQARRDMAAAHALYLRALRATGASLLHFASAESDHPHPHSSAAHHHHGSPPSPPPPATPPPPPPPPPPPLSPSPTTRSWTTNSSSISASAILPPPPPPPMPSSWDFWDPFAPSSSRSATEDADWDDAATTVVDAPIAAAPPVVTAAAAVAAPPSIVTATTTSTTPSELTVVAVPRGGAGKKDLAEIATELDEYFLKAADAGARVAALLEAPVCEPPEPTTTNSSLPGMPFSSTNQLNWKVLSYSKSLKPMGWTWGGGGGYGKGNNGFTRFGRGDGNGGGGGMLSHSSTVEKLYAWEKKLFLEVKSYEGYKQEHDKKVSLLRKQEVKGVDYLKMEKNKMEIESLESKMLVANQSIETTTSEIIRLRESELFPQLLELVAGLMSMWRGMYECHQVQTHIVQQLEYLNNARNTNPTSNVHRQAALQLEIEVDRWYSAFCSLVKSQRDYVYSLTGWLRLSLFCHHDPLTKAQNSDIYSLCEEWQLAIDRIPDKVASEGIKTLLTVIHAVVIQQAEEQKQKKRSESAFKEFEKKAEELRSLESKYGSYIGAEGYREMSRKSPVADKRAKVETLRSRADEEKSKYEKSIGVTRAMTLNNLQTGFPNVFQAMTGFASVCMEAFESVYNFKGSSDRILDVKRLLT >Sspon.01G0028080-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:93780735:93783543:-1 gene:Sspon.01G0028080-4D transcript:Sspon.01G0028080-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQMDSYNYASFFHDEAPYYPHVAMPPSPELPFGLIASPGEPEPPFAAPMPTAFQDYTGAAVGAGAGAPFGGGAPGVHGQVVDALGRMGGDGQREQSMAMAEDGEEEQQPQRQQPAGAAAVESSRGFRHMMRERQRREKLSQSYADLHALVASRSRGDKNSIVQAAAIYIHELRGAREQLQRRNEELKARILGHDARQQCVKVQFEVDEPASAIDSMIGALRRLKGMDIAAGEVEKAVEEALMQEVERKQQLGAGAGSAAAAPGFAGPQASSHVQNVF >Sspon.06G0004290-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:13667877:13673177:-1 gene:Sspon.06G0004290-1A transcript:Sspon.06G0004290-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histidine phosphotransfer protein, Cytokinin signaling and stress respons [Source: Projected from Oryza sativa (Os08g0557700)] MSAANQLVALISNMFATGLLDDQFQQLQLLQDPSAPNFVSEVVTLFCQDGERIIGELAKQLDKPSVDFDRVDAFVHQLKGSSASVGALKVKNTCIQFREFCQQKSKDGCLKTLETVRINFYELRGKFQTMLQLERQVNGFPPNN >Sspon.02G0015200-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:13278983:13282450:1 gene:Sspon.02G0015200-1P transcript:Sspon.02G0015200-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTDSAPPSAASLSEDDRLLVAHCAALSFPSSSPTATAAASPSPSASFQVHHASHPYPCAAFAFPPSWSAADWMGGDGGRPPFGDAEVDPALFPSLRAVGSGIPARTNAAFLAAFRRLLDGSTLQSEVSRAVAEEKRVVFTGHSSGGSIATLAAIWFLEKCTRRGSVNQAHPFCVTFGAPLVGDNIFNHAVKREGWSQCILHFLLPLDIVPRIPLTPLASFREEIQAVLDWLSPQTPNNSPAGRSLVIPEYYETLLRSTLSIASYEACSFMGCTSSILGTLTSFIDLSPYRPCGTYHFLIGSEQLIVLTNSDAVLQLLFYCLQLDPQQQLLDAAARSLSAHWQYEPIKQCMQDIVCVDYLGTISSTVPGRQTDRVAIGSIELGSYTAPFCSCAMEKQRQRNQTKIDESCQKIQEALGSLNEYKRSRELNGVSYYDSFKLQREVHDFDANVRRLELAGLWDEIVEMLRRRDCRTASRLEWVSLGTLFRRLVEPLDIANYYRHSKNEDTGSYLSKGRPRRYKYTQKWHEQLQRAPVGSSLESCFWAVVEELQAEMADGRAFEDLRDRVVKLENDAHGWYNSGSLGKDVFLGSSSFVAWWRTLPEQHRSASSIAKLVPL >Sspon.02G0015610-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2B:40971781:40974002:1 gene:Sspon.02G0015610-2B transcript:Sspon.02G0015610-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MELGGFKMFSIVSSWNKRRRSRSLDQLNPWVYKPAELWQAKEHHPPPKKRSCTMVFTLKEMEEATNMFSDRNLVGKGGFGRVYRGVLKDGQIVAIKKMDLPTSKQADGEREFRVEIDILSRLDHPNLVTLIGYCADGKHRFVVYEFMPRGNLQDILNGIGEVRMDWPLRLRIALGAARALAYLHSSTAVGVPVVHRDFKSSNILLTEHYEAKHENSPPLLCVSPHAGRIQISDFGLAKLLQQDQDLHTTTRVLGTFGYFDPEYALTGKLTLQSDVYAFGVVLLELLTGRRAIDLSQGPQEQNLIVGIHQVVGDRKKLRKVVDRDMPKGSYTVESVSMFAALAARCVCFDSAGRPAMQDCVKELQFI >Sspon.04G0008150-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:23531100:23533685:-1 gene:Sspon.04G0008150-1A transcript:Sspon.04G0008150-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVYYFLFAIPMLSTIYIGNASVLGIVVYIAYIDFMNNMGHCNFELVPKWMFQVFPPLKYLMYTPSFHSLHHTQFRTNYSLFMPFYDYIYNTMDKSSDQLYESSLKGTEETPDLVHLTHMTDLQSAYHLRIGFASIASRPSDSSMWYMWVLWPVAWLSMVLAWVYGSSTFVVERIKLRKLKMQTWAVPRYNFQYGLSWERESINDLIEKAILDADARGVKARCLPQCGLFLICTFWAQAKQLNGGGELFRQKYPKLGVRLVDGSGLATAVVLKSIPHDTKQVFLHAGPSKIACAMAFALCERGVQVIMNPKKEYDMLKSQIADSKASYLKHSSNHTPQIWLVDNIDDKEQKMAPQGAIFVPISQFPIKKIRKDCAYLSTPAMKIPETMQNIHACENWLPRRVMSAWRIGGILHALEGWTMHECGDAMMHTEKAWSAAIRHGFIPLTKA >Sspon.03G0025530-1P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3C:49603463:49609025:1 gene:Sspon.03G0025530-1P transcript:Sspon.03G0025530-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGARKLWDAKDDQAWVHDRFEEMNLHDDRYEDQRMSRGRFRGRGGRGKARGGGRGFSRGGKQRNYHEDGNNQNRPPKVVRGRGPRRYEAVARNSREVVGSQRKQAARFREPAPNAAAARDSGQVSHAQPEVVPPKKNVISSSLNSASPPFYPSGASTQDFPVAAQRRDIQTGGSNKIPPSSMKMDDNSKLQSGSMVRGRTSMDYGGRDRFHADGPVRSSPGRAPTTSLNSGFTSSLVNPGQSPIVRASGGNSNIGISSNNQPTSSLHQTSRISTQPQNHGSVMHQKSGQVQNQSAARIPTQQLSHRTSNSSPAAQHLPVKSTESSENGSYPSLNNSKTASAVVKANSQETGMGSFMYGGAQVIGAAGLSQGDQNFPGTPALLPVMQFGGQHPGGLGVPTVGMALPGYVAQQQMGMGNNEMAWLPLLAGATGAFGGSYPPYIALDPSFYSRSSGQTSSSVPSSETSANRGSKSPPRNDIGNEELDQRQNKPRRSYACLCWELSDGITSQQSRGGIRYLNCSFQPGKEIHLMATRGFERFSRWFQRSSAGGFKHEDDHSERNGLLRSQLDQIVPVTDFAGTSKALAVHMEPK >Sspon.01G0052600-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:24707296:24707601:1 gene:Sspon.01G0052600-1C transcript:Sspon.01G0052600-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding TPCLASSTRSRRRSTWGATTRRRTSTRRRSTRRPRSTTRRTGSTRRASWRRSRTRSPASMARSPASTRRRTTRRRRTRRRRRRRSTARATTMTATAAAAATA >Sspon.05G0009020-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:20456578:20463297:1 gene:Sspon.05G0009020-2B transcript:Sspon.05G0009020-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAKEKASACCSRDAPARVAGAPVVPMRAIAASPVGGKVVALAAGGGERVAASAAGASGAVIEEIAAVQPTTAKASSKGIPIMTRAQRCHPLDPLSAAEIAVAVATVRAAGRSPEERDSMRFVEVVLLEPEKNVVALADAYFFPPFQPSLLPRSKGSAVIPSRLPPRRARLVVYNKQSNETSIWIVELSEVHAATRGGHHRGKVISSEVVPDVQPAMDAMEYAECEATVKSYPPFIEAMKRRGVDDMDLVMVDAWCAGYYSEADAPSRRLGKPLIFCRTESDSPMENGYARPVEGIHVVVDMQNNAVIEFEDRKFVPLPPPDHLRNYTPGETRGGVDRSDVKQLIINQPEGPSFRINGYFVEWQKWNFRIGFTPRRVWLSILLLIRGRRPIAHRLSFVEMVVPYGDPNEPHYRKNAFDAGEDGLGKNAHSLKKGCDCLGYIKYFDAHFTNFTGGVETIENCVCLHEEDHGILWKHQDWRTGLAEVRRSRRLTVSFICTVANYEYGFYWHFYQDGKIEAEVKLTGILSLGALMPGESRKYGTTIAPGLYAPVHQHFFVARMDMAVDCKPNEAHNQVVEVNVKVESAGTHNVHNNAFYAEEKLLKSELQAMRDCDPSSVRHWIVRNTRTVNRTGQPTGYRLVPGSNCLPLALPEAKFLRRAGFLKHNLWVTQYKSDEMFPGGEFPNQNPRIHEGLPTWVKKDRPLEETDIVLWYVFGLTHIPRLEDWPVMPVERIGFMLMPHGFFNCSPAVDVPPSSSDADVKEAESPKAIQNGLISKL >Sspon.04G0013930-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:50367363:50367676:1 gene:Sspon.04G0013930-1A transcript:Sspon.04G0013930-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQPAWEKIGRDVSTSIIPVIYQRVPCVRRGGVRFMINGHDYFNLVLVTNVGGAGSIKSMDVKTSDSTDWMPMARN >Sspon.08G0004570-1P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8D:11042017:11043312:-1 gene:Sspon.08G0004570-1P transcript:Sspon.08G0004570-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGYVSDYFNIWSPAEKSLPLLFKRYMQASTDHARKYGGTGLGLAICKQLVELMGGTLTVVSKENEGSTFTFVLPCKIPVKEEHSDDPDEVHSSRNDSANSDIEGSFFFKPQMRASLLSPGVSIMNNTKLFGAKLMCYDPPDISDDRKLSSNGFSSTEHNFTNTSTARQPNGASVRSTAEEHDNAMVLELNSQAERVSSSRGDLVSVSGAAPCKVFEEQSLHKKSKCSPTSNKAKILLVEDNKVNIIVAKSMLEQLGHAIDIVNNGMQAIRAVQQHQYDLILMCHLVPNCLTSTSYKMQK >Sspon.06G0000060-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6A:373604:373867:1 gene:Sspon.06G0000060-1A transcript:Sspon.06G0000060-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHDDGGHRTASVGLPRRRHHHHHHHDDGDAASPAVDPRAGGGSFAPRARRLQGLHDTATQGGGLQLVGLPGGAPEVFDEATDIWDHL >Sspon.05G0016930-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:70422611:70426319:-1 gene:Sspon.05G0016930-1A transcript:Sspon.05G0016930-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTCAQSIRLFRALPRLASNRIRLTFPSSWVGAVQIRFILLQNRQGKTRLAKYYVPLEDSEKHKVEYEVHRLVVNRDPKFTNFVEFRTHKVIYRRYAGLFFSICVDITDNELAYLECIHLFVEILDHFFSNVCELDLVFNFHKVYLILDEFILAGELQETSKKAIIERMGELEKLE >Sspon.04G0029210-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4B:70859514:70860047:1 gene:Sspon.04G0029210-1B transcript:Sspon.04G0029210-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSGSGSGSGSGCSGSLSAATAALAAAAGTEEELRALMEQRRAKRMLSNRESARRSRMRKQRHLDELTAQAAHLRRENAHVATALGLTTQGLLAVDAENAILRTQAAELAARLGSLNDILACMNTNAAAAVGAVAVSVSLTAAAASSSDPYLAFDGATALDDLLRSCPENMYQFC >Sspon.02G0031190-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:91549708:91552958:1 gene:Sspon.02G0031190-2D transcript:Sspon.02G0031190-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RAMASATDLPVDLVEDIFLRLDDAADVVRASAACAAFRRVVSDSRFLRRFRSMHRRPVLGLLSSRFCSASRALYFYPAAPPRRTAQAARAIARAAGFSSSFLPVPADPTEHCWHVHDARDGRVLLCLSRRDSDDDDATCLSFSNLVVYDPLHRKHVQIPPIPKDIVACTCSLQQGCSCGSDCNCECGCEFDPFLAPAADDEDDLSFRVMCTVLSTDMEYNDVLQTFIYSSVTGKWCGVASLSDANYKPLQDLSSMERHYAHGCFYWVDVDSYQKDMLMLDMNEMKFSVVRLPSRINQNEFKIVVKAAEEDRIGLLVLRGNTLHLYSKAFCSANDSWQWRHDDVVTLLDSYEWFWPDPPLRHLLVQDDTIHSSITEVGKLKSLQELRRFVVEQDDQGFELRQIGHLEELYGSLCIDSLENVEAPEEADEAKLMLKSHLHELILRWNIHWSTNDFALEEHVLERLKPSRNLQKLSIIGHRGGTCPSWLGMNLSLSSLKSLCLADVAWKTFPPIGDLWLVNVPREKISINIPEKRFGNLRRLELVHLSGLKTWAVHAPCQLFPYLEVLIIKDCPQLVELSFQHFGCCQQGKEVNVNLFPTRLLELEIRNCPQLSSFPPVPWTETPCFINIEGTGSSCLDKLVCGKTDNSEYGLTIEGNMDTNDSMFWNVLAFHNLTRLKELSIQSSESPPLHYLQMLSSLRTLVISCLSNAFPFVEADSHAFPVESLQIDKWNASGKDLTQLFTYLPKLSDLLLCECENRSGRECEGAA >Sspon.03G0028050-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3B:7781616:7782376:-1 gene:Sspon.03G0028050-1B transcript:Sspon.03G0028050-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding KKKYQSRMHSCPLVTAIPHPHKPSNTKKRKTGRTSPHPPRSALSSSTSFLFGSSASHPPPASRTGLPIRSLQLCPDRAPSLHIWFPRSSFGMSGGGRCPRRRRWSRRRHEGGTKICDVDDGVERVVKRPGVGILSDAKDSICAPDHRIGRGHLRVNAEGILYVPDSEDEDSDMEVDADGLVVATEGAAIANGVDVSVEALQCLMTGPPARICPSVLRWPVKGLPARICPSDLCWWPRTRLPGWTWPQKWLHV >Sspon.02G0028170-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:102682469:102683070:1 gene:Sspon.02G0028170-2D transcript:Sspon.02G0028170-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRAATAVAGLSAAARRVASGLRHFAPRSARRGFVGDTAPFTRPLLPHAPLSGSHVLRRGLAVVPGEGDHSLVVVAEVSSGDIFDPDDPEAPVTFTDDIDDPETCFAYDGKDLASEETMWAMYERWCVFHGVERDLEDMLRCFSLFKDRSRSIHEFNKSGKPWTQGLNRFGDQTPEERSR >Sspon.06G0019790-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:3673400:3676072:1 gene:Sspon.06G0019790-3D transcript:Sspon.06G0019790-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAACGGGGRGRSPLSPLLGCLLVFSLLCLRAVVCRAQDPGQLQPLPALEVATYNYTSFQEGNSREQRELAFSRDARIYQGAIQVSPDTGNVGSYRDIMVNKSGSVLLQHRFTMWRHLDVDGGSNGNGSASPRVQVVSFNSTFSMNVFHLPDSSPRPGEGLTFVVAPSRDEPPPGSYGGYLGLTNATIEANPTLARNRFVAVEFDTTKQDYDPSDNHVGLNVGSVVSVKTANLTAFRIATDSASPRNYTAWVEYDGAARHVSVYMAVRGEAKPASPVLDAPLDLSEHVPEKAYIGFTASTGTDFELNCILDWALSIEVIPEKKSTTWVVIVAVVVPVTVVAVGVAAFFLGRKLRARRSMERRQERLEQQLSNLPGMPRGFAYDKLKKATRNFDERLRLGKGGYGMVYKGVLPADEARPEGMDVAVKRFIRDDGKDVTDFLQEVDIINRLRHKNIVPLIGMRYAIVGDVAAGLHYVHHEYTRMVLHRDVKASNVLLDVSFRARLGDFGLARVLDDDREAFTDLQVAGTRGFIAPEYSVGHKASRETDVFAFGALVLEVVTGQLALRPSDPRCPLLSDWVWQMHGRGALLGAVDQSLGADEFDHDEAARLLLLALACSSPNPGDRPTMPQVLQVLSKAAPPPEVPPFKPRFVWPPEGGANFQLSDVEVTTSGTGTDDGMSTRATQSTSYDSFQPHTAPNSSD >Sspon.05G0019020-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:81263555:81264361:1 gene:Sspon.05G0019020-1T transcript:Sspon.05G0019020-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYPAPNGNPSPAAAAAYYAAAPPPAAANGTAPAAFGVAYPYPAPPHHHPPPPTHHHYPYPQPPPPPPHHHHHYAATHYPPPPPTCLRRLLAAVVGAFLLLGAATFIVWLLLRPRAPAFSLTSLALSRVAYSRANSSLSASFDAALLAANPNSKLSVAYFSPLASVSFAPSSPLAVASLAPFGQAPGNTTTLAFRLVVEDAYVGPDDAAALKTGDGGAVDVQVRLMAVAVFDRGGWRTRRRVMRVMCDGVKVVFRGKNSTEAAFNGPPR >Sspon.04G0024850-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:26926555:26927250:1 gene:Sspon.04G0024850-3D transcript:Sspon.04G0024850-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSSQGMMSLSYDAGSGRGLLACYVKAKPRPSKWDDAQRWLSSSRANDDDRRRSSCADDRLLLPSASQKGRHSWSAADGAALPAALGLAARDDDGDAEAEAETETESETKKVDSVLAYGQPPRCLSLRDIGTEMTPTGSKEPSRANTPRAALPATPAPAGRAIRAPRRRPDSSTDGGGSPPGLAAADRGAGFECADAQERKEAAPGAPTTTAVSPATAWDAAERAKHMAR >Sspon.05G0008350-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:19525901:19528596:-1 gene:Sspon.05G0008350-2B transcript:Sspon.05G0008350-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding HESGREHTTSALLLAPPRQRHAAGCAPCVRPRAAAAPANPGRGTPRAARGRGRPEARAAPGRVCGCPHLWRCFIPRLFRTFLQIPVASSSTSRVRLAPSAFLPSRRNFEGYIPRSCSSSSLKIYSRSSLLNLSPSSALMVSSQLTSSDVTQRSEEWFALRKDKLTTSTFSTALGFWAGNRRAELWNEKVFGATEIKLADTARSAMDWGTHHESVAIEQYTSITGRLVGTLGFAVHTEANSGWLGASPDGVLGCEPDGGILEVKCPFNKGKPELALPWRAMPYYYMPQVQGLMEIMGRDWVELYCWTPNGSSLFRVPRDRAYWELIHEVLRDFWWGNVMPARELALLGKEDEARSFEPQPKHRLTNLVLYRSRKLASEAKLLCIDVGGHVEFFK >Sspon.05G0003500-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:9559257:9562761:-1 gene:Sspon.05G0003500-3D transcript:Sspon.05G0003500-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Organic cation/carnitine transporter 4 [Source:Projected from Arabidopsis thaliana (AT3G20660) UniProtKB/Swiss-Prot;Acc:Q9LHQ6] MSTTEPLLSAGAANDGEAGKKDQSSSKGRRVCIDEALSSYAGEFGRWQLRHFVAVSAAWALEALHTMVIIFADREPATSCAGSRCAAASSTVAEWGLVCGERYKVGLAQAVFFAGCMIGAGVFGHLSDSFLGRKGSLQVVCILNATFGLLTAASPNYWAYAALRLLTGFSTGSVGVLAFVLATEPVGPARRGAAGMSTFYFFSGGIAALAGIAALFPRSWRLLYVVTSLPSLAFAVAVVPFVSESPRWYLVRRRPDDALRVIRDIAATNGRAVPEDLTLKLDDEDEEEQGKKDGGGGTVAPAAAASSGSIVDVFRSRTTRVRLVLSVLINLLTSVVYYGLSLNVVNLKTNLYVTVVVNSLAEMPAYLLTALLLDHFGRKPLAIGTMLLSGVFCTAGSLIPGAGIMRVARMACGVVGIFGMAATYNLLFIYTAELFPTVVRNAALGCTAQAAQMGAIVAPLVVMLGERLPFAVFGASGIVGVLLVFYLPETMNKPLYDTMAGLEEGEKSVLK >Sspon.05G0038810-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:54170669:54174364:1 gene:Sspon.05G0038810-1D transcript:Sspon.05G0038810-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLLVSAATGALKPVLEKLTALMGDEYKRFKRVRREVQSLMDELAAMHSFLLKMSEEENPDPQDKNWMKEVRELSYDMEDSLDEYLIVVDDIWKIETWDIISVENVSQLKDLDLSHIRSVTMFSYAAEALLLFLSFSSLRVLDLCGCTQVEGHHLANIGNLFRLKYLSLRNTEVCELPEQIRKLQHLETLDISMGKSRKLPASTVELKILAHLVVDRGVMLPAGTRSMTALEQLEGSLVNLQILVIYVQGFEVEDVMALGCLPALVFLHLIVEESFHGRRITISGNDGFHSLRHFDFGCAMTVIFGAGAMPMLEKFTIMFSPERMGLLMGTGVFHFGIQHLSNLDQVE >Sspon.02G0018550-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:61536975:61538469:-1 gene:Sspon.02G0018550-2B transcript:Sspon.02G0018550-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MIAGSNYTCVGNNSDPQVVVLSELEHPHIVRLIGVCPESCGLVYEHLPNGTLMDRLSKGLLWKERVRILAELRSALAYLHSRRPHAIIHADLKLTNILLDAGNASRLGDFGTARAVHVKPLEEETISRRTNPMGTTGYMDPVFFMTGELTTESDVYAFGMVILQMLTGLLDLNIAEQAREAVKMDAVHSVLDASAGPWPEVQAEKLMKLALRCCSLERKRRPVITSDADRRKDDPLRSASDVSRLGFSAGARRGISTNTWAAGARAAQIFVDHCGAWRD >Sspon.01G0023400-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:82799808:82800917:1 gene:Sspon.01G0023400-3C transcript:Sspon.01G0023400-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPTTILFYATIFLLICKANANRSLQELALLKWKASLADANSLSTWSAAGNSTCCSWLGVTCDATGHVVELSLPSAGLRGQLDAFDFAVFPNLTKLNLNNNSLAVPLAQLTKLQELQLETNVFSGGFPEMLTTISTLQVLSLRNNTLSGLIPMGIGNLTSLQFLNLSHNLFTGSLPMSIGKMKHLEGFYLYNNNLNGEVPQEIGNMTTLLQLDLRNNQLEGEIPATISLLRNLYYLALGTNKFTGTIPLDLGRRQPLRLIGLANNSFFGELPHGLCRSFALETLILNNNSLSGKLPSCIKNCSNLIYLRLGQNQLSGNISQPNCCRCL >Sspon.02G0041000-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:68350290:68350851:1 gene:Sspon.02G0041000-2D transcript:Sspon.02G0041000-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLQSQQDALLQWKSTLQCSPALDSWRRGTSPCTSNWTGVACGVMHHGRHASLVVTNISLPKAGIDGRLGELNFSALPFLTHIDLSYNSLRGKIPQAIASFLPVLSYLDLSNNWLHGQVPSGIGNMRLLRTLILDYNNLTGPIPASLGNLTALVVLSLEQNMVTGPIPEDLGKLINLEAC >Sspon.01G0025810-1T-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1C:90693014:90694378:1 gene:Sspon.01G0025810-1T transcript:Sspon.01G0025810-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RHYLASLVFDDPCAEGGGGSPLSRQLRQRFSTQPSSSWYSALGMTCRPPHTRARTPASTAASTIAATITTSVSTDLAVVADRAAPCCGARCACAYDAAPAAPSCSDLLTLTARGHGNGTVAISHHCGSNQSRSRALQSSEELTAAAAPLLTLGDAAVVKTTVASHAMIFETAAFAFERGKEGGHARRGEWVTARWGDGPVTVRRQHFGLQRQAQYVTPTGHLSLGVVFRLRGAEAELWARSSVSNGGRPHHQTETVLVAGIGIRSSDLLSSCPDSRAEMAALPGLGPGRRGEMAAMASRDPLWSISPA >Sspon.01G0002970-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:6637634:6638367:-1 gene:Sspon.01G0002970-2B transcript:Sspon.01G0002970-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCLPSSSSPARPELAFLLKKTPDINSHEDTPSPIPATSSLSSSSSASRSSMIYVQRSSNKSTLPSPMEKDGALKPAAAEATAAEPETLTGTHQPTWAQAQWTSIKSKARGACDYAMLRTARASPCSGSPSSGLWSSPREHQLRMSRPCPSR >Sspon.02G0005150-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:15632258:15640867:1 gene:Sspon.02G0005150-2B transcript:Sspon.02G0005150-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTQMISIVFRRMESEQVSVSPASSVVKDTPSSITKESENGEISTDSQDEEKVTLGDALSMNRPSEAPPTSVEELQNLAGGADIKGLEAVLDKAVELEDGKKVSRGIDLDTVNIIQRDALLLFRTLCKMSMKEESDEVATKTRLLSLELLQGLLEGVSNAFTKNFHFIDSVKAYLSYALLRASVSSLPVVFQGEIGVFFPLIVLRSLDSSDSPLSQKASVLRMLEKVCKDPQMLADVFVNYDCDLEGPNLFERTVSALSRIAQGSQIADTNSIASSQTVSVKGSSLQCLVSILKSLADWEQLRRDSSKQGSIVESHEEDASRSLGTDEMKSQEDSRNQFERAKAHKSTMEAAISEFNRKPTKGIEYLLSNKLIENKASSVAQFLKSNPSLDKVMIGEYLGQHEEFPLAVMHAYVDSMKFSGLKFDAAIREFLRGFRLPGEAQKIDRIMEKFAERYCADNPELFKNADTAYVLAYAVIMLNTDAHNPMVWPKMSKSDFVRMNSASDAEECAPKELLEEIYDSIVKEEIKMKDDSMILQKPPRDLKQKKEAVLLKSASDTKAESEKIIKQTQALFKNQGQKKGVFYIAQQVELVRPMLEAVGWPLLATFSVTMEEGDSKPRVVSCMEGFRAGIHLTRVLGMDTMRYAFLTSLVRFTFLHAPKEMRSKNVEALRTLLGLADTDMDALQDTWNAVLECVSRLEYITSNPSISATVMLGSNQISRDSVVQSLKELAGKPAEQIFVNSVKLPSDSIVEFFTALCGVSAEELKQTPARVFSLQKLVEISYYNMARIRLVWARIWSVLAEHFIAAGSHHEEKVAMYAIDSLRQLGMKYLERAELNNFTFQSDILKPFVILMRNSHNSKIRAADDEDESIVESAFENVEQVILEHFDQVVGDCFMDCVNCLIGFANNKCTPRISLKAIALLRICEDRLAEGFIPGGAVKPIDVVPEANFDVTEHYWFPMLAVHLIEVGGHQFSDGDWETLLKSIRDASYTTQPLELLNSLGFQKSNNQQLLSREESNAHGNSYHGSRGEPSISNNGEHSHPEAGPQTSLENSEGLPSPSGRTQPAVSPRGQSFGQRIMGNMMDNLLVRSLTSKSKGRTDDIAPPSPVKAPDDDEADKVEEEESPMMETVRSKCITQLLLLGAIDSIQKRYWSRLKATQQIAIMDILLSLLEFASSYNSPSNLRTRMHHIPPERPPLNLLRQELAGTTIYLDILHKSTVEQGEKDSTEETNGLNVESDDQEKIKYLAEGKLVSFCGQILKEASDLQPSTGEAASADIHRVLDLRAPVIVMVLKGMRIMDAQIFKRHLKEFYPLITKLICCDQMDVRGALGDLFSKQLTPLMP >Sspon.01G0056490-2D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1D:87972969:87974119:-1 gene:Sspon.01G0056490-2D transcript:Sspon.01G0056490-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTIPRDIDLKFLQALDLAGCTNVSQLPNGITKLRRLRLLNLRGTAITSAPRGFGKLEDLVAMCGFPTHSNDSTDGWCSLEELGALSKLKALEIRGLEKAPSGSMAAKAMLSNKHHLIGLELMFTSRLGENGEVKDDISEAEHERTEEVLANLCPPTCIEELEITAYFARGLPQWMRAMSAFGKLRRLVLEDYACCTQLPNGLGQLPVLDYFWMKRAPSVQRIGHELLLPSLGDDGDGKDMTSGLTGAQDMMKQPHRISQGSVVAFPKLAKLGFQGMLRWTEWEWEQHVAAMPALKELTIWNCILQRLPPGLSYHALGLRELDLRNNTHLVSIENFPSLVKLLSYGNPRIERINNNPNLQWIEISECPALKELNSLLSLQSLE >Sspon.05G0037760-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:22109076:22111284:-1 gene:Sspon.05G0037760-1D transcript:Sspon.05G0037760-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MACESLVDWRGKPINTKVHGGVRAAWYLYFLIVVNFLVHVPNLQNMTTYLREVMHMGVSDASTTLTNFIGAMCGFALLGGFLSDSYITCARTILLSVPLVILGYGLLTLQSHFPWLHPAPCNSSEAAADASTSSCRQVDGWRSALLYIALYTIALGEGVMRACIPALGSDQFDGDNPSEARQQSSFFNWFTFCLSIGSVAGLILIVWLEDTKGWDVGFGLSALLILVALLVSAAGLPLYRNRVPQGSALTRVLQVFVVAFKNRKLELPEKMEETQQNNSLKFLDKACVKTNTEGEGDGPWSVCSAAKVEETKIVLRMLPLVFSSTVAHVSSSLLIAFTVQQGATTNTKLGKVHVYPAMLFIIPSIFQTLMLVAYDRLLVPLLRRRTGYTGGITQLQRVAVGFLAASVAPAVAAVVERKRKGTVAAGGHISLFWLAPQFFLIGVEDTTSYVGLLEFFTAEAPDAMKSIGVAFFWCQAGMASLLGTLLVRLVNRVTHSSSAPGWLEGKDLNSSHLDLFYWVVTAVSFLGWINYLYWAK >Sspon.05G0010860-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:25780662:25783392:-1 gene:Sspon.05G0010860-2B transcript:Sspon.05G0010860-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAAVKRARAGGGSIRDRLSALPDELLLRVLSFLPAQQVVRTTVLSKRWTDLWRSVPGINLSFLDFRSNLEEDWSAVWERMADFVNNLLMLHRAPCLDAFRLVSVVDFDRHVDRWVRRAIKDDPLLPYLSSSPCRRLRSLHLNGVSLDHSFAERLHSWWPELKDLTLRNCCTVFCGIESDKLENLVVRYCIDQPADVFAIKAPHLASLSVQLGVPFSLDAGNSLVRASISLNHGEFSPRSGAMLLGSLFNVTSFEWEYFQATAVLDEVFDEVPIFHNLRTLSLNSLFINNNKSGVCELKALGRFLHKSPNLEKLMLEGFKVVPVVDHIDFPILKNLRTLCLHFGNLNDNFQILLHCLRNSPNLEKLEILHCKLSDDSVGGKGKAQWKKTYSQSRNLARFRCLKLEYAEISHYTEEAGVHELVSFLLDFAAPTITIKVTSL >Sspon.01G0019940-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:74128044:74156144:1 gene:Sspon.01G0019940-1A transcript:Sspon.01G0019940-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVEVRSVRKSTALRARGAPSKLQPARSMPLDYRYSAGAASQPNGAAGGGPAANGVGRRAAAAEKEKEGEAVRLERDDADSPYSSKAVTVEEEEVGERGGGGDEADSAAAATPRRLSPRAAVSPTEGDARWSDTSSYSAKKLPNGDWALCTVLTTSGDESVLKVSEGKVLRLKTESLQPSNPEILDGVDDLMQLSYLSEPSVLYNLQYRYSQDMIYTKAGPVLVAVNPFKKVSLYGNEYIDAYRNKSMDSPHVYAIADAALREMKRDEVNQSIIISGESGAGKTETAKIAMQYLASLGGGSGIEYEILQTNPILEAFGNAKTLRNDNSSRFVSFTFSCVFTILHLQMKTNPYSQLQGKLIEIHFSTTGRICGAMIQTFGERSYHIFYQLCAGAPVSLREKLNLKKVDEYKYLKQSCCYSIAGVDDAQMFRTVTEAMNIVHISKEDQENVFAMVSAVLWLGDVSFSVIDNENHVETIIDEASKTVAELLGCSIEDLNLALSKRHMKVNNENIVQKLTLAQATDTRDALAKSVYASLFEWLVEQINKSLSVGKRRTGRSISILDIYGFESFDKNSFEQFCINYANERLQQHFNRHLFKLEQEEYVEDGIDWAKVDFEDNQDCLSLFEKSGAGKTETAKIAMQYLASLGGGSGIEYEILQTNPILEAFGNAKTLRNDNSSRFVSFTFSCVFTILHLQMKTNPYSQLQGKLIEIHFSTTGRICGAMIQTFGERSYHIFYQLCAGAPVSLREKLNLKKVDEYKYLKQSCCYSIAGVDDAQMFRTVTEAMNIVHISKEDQENVFAMVSAVLWLGDVSFSVIDNENHVETIIDEASKTVAELLGCSIEDLNLALSKRHMKVNNENIVQKLTLAQATDTRDALAKSVYASLFEWLVEQINKSLSVGKRRTGRSISILDIYGFESFDKNSFEQFCINYANERLQQHFNRHLFKLEQEEYVEDGIDWAKVDFEDNQDCLSLFEKKPLGLLSLLDEESTFPNATDLTFANKLKQHLDSNSCFRGERGKAFAVRHYAGEVAYDTSGFLEKNRDLLHMDSIQLLAKCKTSLPKMFASKMLAQSDNSMSVPYRSSAADSQKLSVAMKFKGQLFQLMQRLESTTPHFIRCIKPNNLQLPAIYEQGLVLQQLKCCGVLEVVRISRSGYPTRMTHQKFARRYGFLLLEDVASQDPLSVSVAILHQFNILPEMYQVGYTKLFFRTGQIGKLEDTRNRTLHGILRVQSCFRGHQARHHARERIRGVLALQSFIRGENARQIYSSLSRKHRAAVILQRNVRCWLARRYFINLRKASVIIQSGIRGSLVRRCNGNIDLINVLREFESKQEAEGDQILIKASVLAELQRRILKAEATVREKDEENEMLHQRLQQYENRWLEYEQKMKAMEEMWQKQMRSLQSSLSVAKKSLALDETPRMSDSSVDQSWESNGNHVSSGSQLVPRTGGREMNAGLSVISRLEEEFEQRSQIFADDAKFLVEVKSGQADASLNPDMELRRLKQNFDSWKKDFSGRIRETKVILNKLGSGNESSPNSVKRKWWGRLNTSKFS >Sspon.03G0015030-2P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3C:68367531:68369759:-1 gene:Sspon.03G0015030-2P transcript:Sspon.03G0015030-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPAASKGTEGAVAAAAMAAAAVLLCLQLPAVARGQLQVGFYNTSCPNAESLVQQAVASAFANDSGIAAGLIRLHFHDCFVRGCDASVLLTSPNNTAERDAAPNNPSLRGFQVIDAAKAAVEQSCPQTVSCADIVAFAARDSINLTGNLPYQVPSGRRDGNVSLASDATANLPAPTFNASQLVANFAAKNLTDEEMVILSGAHTVGRSFCTSFLARIYNGSTPIVDSGLSAGYATLLQALCPSNANSSTPTTTVVDPSTPAVLDNNYYKLLPLNLGLFFSDNQLRVNSTLNASVNSFAANETLWKEKFVAAMIKMGNIQVLTGSQGEIRLNCSVVNNGSSSSSSVGIQQTTVPYYSYSGSTTASVDEIATS >Sspon.08G0012230-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:50958710:50966842:1 gene:Sspon.08G0012230-4D transcript:Sspon.08G0012230-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFIGAHGVETLKRYRYSGEDRSVVAKYVLQPFWSRCVTLFPLWMPYIHLALTLLPLGGSILPMDYSSSCTRLLMLLMVNKRGVLAHQALLENFLIMFEALALGSTLMCGGWTFWFWVVAAVPFYLATWEHFFTNTLILPTINGPTEGLMLIYVSHLFTFLTGAEWWAQDFRKSLPFLGWIPIPFLSEIEIPLYVLVLILMIVCAVIPTVRSNVSNVQEVVETRKGSMALALAMILPFIALLAGVAIWSYLSPSSIMRNQPHLLGRMILAHLCDEPKGLKSGMFMSLVFLCFPIANALIAKINDGSPLVDELLLLLLYCAYTVGLYLHLAVSVVHEIKDALGIYCFRITRKEA >Sspon.01G0038360-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:25759209:25766804:1 gene:Sspon.01G0038360-2D transcript:Sspon.01G0038360-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSRERAREEEERDDKWEPSVSESKRGRVRARERGRCWAALLGRVGASASAGARAGRSAGPSRRKRRKSARFPLSKKSKLPSKPPEKSYRRWVLDRKSESPNPARPYPLHSCPLPLAVRRSDRMQAAAAAWRSYAGDAGRVAPGGPQVALRPATPRPFLPIRCIPPPHPPPPPFAKASRSATAAPLTTSASATASFFDSSRPWLRIAPLHSMLALVAPLEQSLACVRCHGEANGTGFVARHLTGGEIRPVNDHPARPRLTGGTWLTPGPSRQRIWGDAAGLTSQLELISSRAELTFWLVKITSRAELARYPNEPERAEPSRASSARYPALAVANGGSQEEVQVAVQEEQARADPQEIQAQEVPEVEEQGEDLSECVDHQLSFFERDGQMYYGYCINCLYPTMGDNQDQGHGCSERRPDAALTPKVPR >Sspon.02G0042240-3D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2D:76956391:76958958:1 gene:Sspon.02G0042240-3D transcript:Sspon.02G0042240-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GLHELIDKVSRSYDKFFFGDAAREIYDFFWGDFADWYIEASKTRLYHSSDMFVAATAQSVLVYVFENILKLLHPFMPFVTEELWPIRGIRNVRAEYSVEPAKRISASVVATADVLEYVSKEKQVLALLSKLDVQNVNFTESAPGDANQSIHIVADEGLEAYLPLVDMVDVSEEVKRLSKRLSKMQSEYDALVARLNSPSFVEKAPEDIVRGVREKASEADEKISLTKNRLAFLQSTIST >Sspon.07G0017560-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:63207435:63216799:1 gene:Sspon.07G0017560-1A transcript:Sspon.07G0017560-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRGRTLLLPLAAATVLVASTIFLFAAVGARWRPADTALPVPPHAFPTTAVPAAVTATASSSSNATAGKELSFLDENGRPDDPSSASASSSASDSTSTSATGPVRCDPRAASAVRVFMYDMPPEFHFGLLGWSPPSADSVWPDVTAGSPPPPRYPGGLNQQHSVEYWLTLDLLSSSSSPAPCGRHSAVRVTDSRDADLVFVPFFARAPPLVSRDRALQEKLVAYLTARPEWRRFGGADHVIVAHHPNSLLHARVALSPAVFVLSDFGRYPPRVASLEKDVIAPYKHMAKTFVNDSAGFDDRPILLYFRGAIYRKEGGSIRQELYYMLKDEKDVYFSFGSVQDHGASKASQGMHSSKFCLNIAGDTPSSNRLFDAIVSHCVPVIISDNIELPYEDVLDYSKFSIFVRSSDALKKGYLMRLLSGVSKQQWTKMWNRLKEVDKHFEYQYPSQKNDAVQMIWQALSRKVPTIKLKVATLGFVGPVEAYTVKSKACCFVSLNCHLAAGIYAGAIISLCVVKKSRIEAANANQGINNQVIQLVDPEIAITGGRGASGTRPAVQEEELVALSLVTPMQPTCSRRRLWNAPSYSASALHGPSRRHKNRIKDNAGTSRA >Sspon.08G0023310-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8B:55092505:55097943:1 gene:Sspon.08G0023310-1B transcript:Sspon.08G0023310-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVETEILRHGHSSTYVHSEVASYSALSAFLKEKNPPAPSHPTHLSVSHSRPLAAAKLKPTTPPPHSVRQCLPGLFPNPAPSGCLAAAPTDGGWAHVPGRLGSYPAEPRGTHKHRAGAGGARESLLAADFHPDSQNRLVPTSPPYVAKIRALAPDVATSNTSPPRKRRRKEEPDPRPAPARRRKTPPTRMDLPSPPTSPTLASPPHHQLTTISEGRKAGEEGERAARSLHRRVLSRWHGGRGSMEEGGREARLASSSRRRARGGTREGVAGATTPKRNERVKPEQWEDKEECVQQDSRERIRARVGLRGRIRCVRTTLRHCPKRKDDFPRPRNPASCSRLPLPLRLSPSEVGQKLVAAAVCSPRPPTQHYCLSTTRTFCTQSCRSCRQKKLQEVSEWRRCMRLWSACPRLTFDAVVICKCERYELSETTQVARFIPHVNGVLQKHRSCAVVETLEVRTDFVGGAHGLLAHHIHIWVEFAVSSSRTKNLSSPKPGGSTMDLVYPESRIYTCVVDDQLTLATPLPSLLYLNVECGLVTKIDINAANLATFEYTGRLVPIRLVRSLNLQSANLDLVKAVFEDALISLVNGIPSVQRLTSNLTLGLKYLEKQWSWDRPLKRFSNLRHLQLLLLFFHDEVDKLLYSSVSFLRAAPFIEKLEVHFGGFDLWFAQGGPSRKDLIGQCKCKYNHLKNVWISGFKATGGQLEFLLHVIENADALEVLRVEIGEYPPNNVRPYGGGEPPVEEAKQIARTTISTILSQHVTFDIV >Sspon.02G0001170-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:817183:820856:-1 gene:Sspon.02G0001170-2D transcript:Sspon.02G0001170-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTVSPPLPSLHLHHQGPDPDHLLRLVDALCASGRSVEAHRRASLLLLSPACRLDARAADAILRRLLRADTPLLTLRLLQLLPASAPSLPNRNRLLAHLCRAGHVLLAHRLLLRMGAAPDTVSYAALLDGYERLPDPRAARRLLDEMPERGVAPTSLARSFLAKALLRARDVDAAMTLRGGGRRSARGRREDQEITNAAFANLVQCLCAEGFFHVVFRVAEEMPQRRCGVGDDFAYAQMIDSLCRAGQHHGASRIVYIMRKRGMCPSDVSYNCIVHGLCTSPKPGACLRAHQLVMEGMSFGYRPREVTYKVLVDELCRENELAKAKDVLELMLQPTVRCGQDSSGDAGEETRTRLYNVFLGALGEETRTRIYNVFLGALRVVDNPSEQLGVLVSMLQAGCKPDVITMNTVIHGFCKSGRTQEARRIMDDMLSGKFCAPDVVTFTTLISGYLGAGDHAEALEVLHSLMPRRRCSPTVVTYNCVLKGLFGIGQVDTAMQLLEEMSANKISADSVTHTVAIKGLCDAGLLEKAKEFWDNVVWPSGIHDNYVYSAIFRGLCKQSKLEQACDFMYELVDCGVAPSVVCYNILIDAACRQGSNKLAYQLVKEMKRNGLAPDAVTWRILSKLHHYDEEKQEGNHHRTFDVDRISTEDRVEPLVLTKDMMPLLPSSENFCEVNEDNNAAESEEEIGYLPDMASKAEAEDVGYSTKMTVEEAPDNTNATKEQGNCLMDNPTRGTTIDKGDITWGDGLKNSNNQHSVREPLSTVAKKVAPSVVCSLYSTRHCLQARIEEAALSLLLQKKNQCGSNQALQMIEKPLSSKHLDEASEKNNKAEIIKEIGYST >Sspon.06G0001140-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:3758737:3762246:-1 gene:Sspon.06G0001140-1A transcript:Sspon.06G0001140-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRGLAATRAAVGLCRIAASASSSLPSAAAGGSRERELPFALVAERGMVVGGHRGMGMNAVGAPPGAPVGAARERENTLLSFGRAAEHAAVAFVEFDVQVRLAVSFGTLFGCGLEFAVTPQHCDARMVTKDGCPIIFHDDFILTQGIVSKPLLRRGGDGRVLSWTTEDDDSLCTLQEVFQRVSPHLGFNIELKFDDSITYHRKDLECALKAVLQVLFLTEGGTSKHHDSRRNSLDDAFRVCLEHDLHGIVSEVRGVLKSPSAVVRAQESNLALLTYGQLNNVSEAVYIQYLMGVHGVIVDRVEEISNAVAGFGKPDLGQSGAGVDGAKHEAFSQQQLGFLLRLIPELIEQRD >Sspon.03G0030010-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:19458459:19463576:1 gene:Sspon.03G0030010-1B transcript:Sspon.03G0030010-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTEDEKLLKEAKKLPWDERLQHKNWKVRNDANIDLAALCDSITDPKDARLREFGPLFKKTVADSNAPVQEKALDALLAFQRAADADASRYAKEVCDAIVAKCLTGRPKTVEKAQAAFLLWVELEAAEVFLESMEKAVKNKVAKAVVPAIDVMFQALSEFGTKVVPPKKILKMLPELFDHPDQNVRASSKGLTLELCRWIGKDPVKSILFEKMRDTMKKELEAELANVSGLAKPTRKIRSEQEKELEEEAVPETSGANTSEEAAADAPVEIDEYDLVDPVDILTPLEKSGFWDGVKATKWSERRDAVAELTKLASAKKIAHGDFHEICRTLKKLITDVNLAVAVEATQAIGNLARGLRAHFSGNARMLLPEKLKEKKPTMAEALNQTLQALHKSGCFTLIDVIEDVRVAVKNKVPLVRSSTLTWVAFCIETSHKATVLKLHKEYVPICMECLNDGTPEVRDASFSVLTAIAKMVGMKPLERSLEKLDDVRKKTPITTSGAATSARG >Sspon.02G0022810-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:105701748:105706613:-1 gene:Sspon.02G0022810-2P transcript:Sspon.02G0022810-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRPSGAGALCRRRAIQVLVAVSLAYALAVLLLESPLVSTSLPGAGASAAASRKLHLDGAWEGAGRAAPARPAKHPHRETLSADAGRGRARRLAGIVSRLELRHLNSTRSGSLRKVAAEAAESGARVFSDLEALATALTSSRDSSGEEEKSKCPHSIVLSGDEFQERGRTVELPCGLTLGSYITVAATPHEAHPERDPKITLLREGEEPIMVSQFMMELQGLKTVDGEDPPRILHFNPRLRGDWSGKPVIEQNTCYRMQWGTPLRCEGWRSRADEETVDGLVKCEKWIRDDEGRSEESKTSWWLNRLIGRTKTVSVDWPYPFVEDRLSVLTLTAGLEGYHVNVDGRHVTSFPYRTGFVLEDATGLSLNGDLDVQSVFAGTLPTTHPSFSPQKHLEMLPSWQAPPLPDEPVEIFIGILSAGNHFAERMAARKTWMSAAQKSSNVVARFFVALHGRNEVNVELKKEAEFFGDIVIVPFMDSYDLVVLKTIAICEYGVHVVSARYTMKCDDDTFVRLDSVMAEVKKVQNGESLYIGNMNYHHKPLRDGKWAVTYEEWPEEDYPIYANGPGYVISSDIADSILSEFLNHKLRLFKMEDVSMGMWVERFNNTRFVKYIHSVKFCQFGCIDDYYTAHYQSPRQMLCLWDKLQTGKAQCCNMR >Sspon.07G0016780-3C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7C:85265746:85266751:1 gene:Sspon.07G0016780-3C transcript:Sspon.07G0016780-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTTRRRPRGARSGLRRLISFLSRHRLYRTAHTVERKTCVLFDAAHLRRMMLRDRWAAASCYALSFVNYRDCSREADELNYRILALRVLTAFAAGQARSVETLFRRMYAYLRFKPDRDRIAIRRLLLAMRSDDTKYWLPSTANMHQLLKLPPQISHNHKTN >Sspon.07G0033140-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7C:57258175:57261963:-1 gene:Sspon.07G0033140-1C transcript:Sspon.07G0033140-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTFERLDRCLANAEWCTAYPQTTVYHLPMLRSDHAPILTLLNSSRSRTNKPFRFENWWLLEQEYEQVAKQSWQRSSRRPFHQKATYLAADLKKWRKAKPKLNDQLATVEEQILQDQLKPPDQQDFQLQQQLTDQHHQLLLKDEEFHHQRAKKNWATKGDQNTAYFHQAIVKRTRKIRITYLCNPNGSESTTPEQIAATLTTYFKHLFSLQTMPSHTVISNLASSVNADQTGSGDPVTTTTAAQRNDQSAQQQEPPTFTATQPTIHELHQIVKQMRNNASPDDLILCGQATVQEATIIQATLKAFCEASGQVKHHVHNNTTVQLHSGNTSIWSAPWCPAWENIHDHLLLPVTQHPLPATVSQLWSPTSNSWDATYIQNIFDEQALQVITNQPIVPSLTNDILRWVPARDGKCTTKNIYTHLKAQESFQLPQQGSRSLTQHAKIVLQKVWKTKEIPPVIKTFAWRLIRRALATGKRAARYSSHIDEHCSACGAEEDDAHLFFHCDLPKAVWFTSNPSIITDLLPYDNDGIQQILETILPNTISTTTFNSTFYILWYLWKARNDNRFNRKTWTPTQEIPTQMTYIKAKMKASTSVIMAEAAALALAAAIMDRLNLTGINYLSDNEQLVRFLNSDDHSNPPDWRIKPFTQVFNNHTTGTNVKVYKIHRSQNSTAHNLARQALQEAAQQNQDATFSCLAEHNVNPCQWLQALHDVDLHNVTITAALCC >Sspon.02G0008140-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8C:20277663:20277842:-1 gene:Sspon.02G0008140-2C transcript:Sspon.02G0008140-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKMVPTKPMVVETFSEYPPLGRFAVRDMRQTVAVGVIKSVEKKDPTGAKVTKAAAKKK >Sspon.02G0058990-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:87515064:87519207:1 gene:Sspon.02G0058990-1D transcript:Sspon.02G0058990-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFRRSAGSLALRAAAAYLRRHHPHPPPPLALAAPAPTPIRRTLDPQCRHFAAPPGTQVGNPLPRCCCFRSFPLPLLPSEGRDRGRPCDDLFRPVSVLKVNKKRGKEEDEGHRMNNAITAPFVRLVTDEGHNVVPRHEALQLASRMDMDLVEVDRKSDPPVCKIMDFHKEKYKKETKEKERLKTKSAIVLRGGENKEVRFKGKTELKDLMVKADGITRLMERGYRVKCMAMPSGNEGEDLGTPLSRLLGLVSTLPLIVSLLLINQMPSIPLRLVKIMIQDVCIVESGPHLDSKHAYVIVRHVKFATKKGGKKASQAMEESGKGTCSAVPESHVDGSNSEDKTVGDGLGKSDDRTISDHVKKTATHLSGDSAAQKEGKDREFKREFNWSKSNPGAGENRINPGQWGPQASEHRLGYKDVQPGMEKRESNVQDQGPVETNRYAARRQPIRGDNNRGFNQGRPPQDDRRNENGRRYENQRPLEQQHNRPLPRFNQGGLPQDPRNERRGPFSMNDNQRQPAGGGDPNRASKSFGIFSSTQKSGSSEQRKSDGTSKPGNTDSPKSFGIFSSRK >Sspon.03G0030000-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:26610631:26615707:-1 gene:Sspon.03G0030000-2C transcript:Sspon.03G0030000-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAMQQLLLLFLLLVYVRPSFSQTNSQDVAALKALMNNWRNEPESWTGSSDPCTSWVGISCSNGRVTEMRLASMNLQGTLSNAIGQLSALKYLDLSNNQNLGGPLTPNIGNLKQLTILILLGCKFTGNIPEEIGNLSQLTFLALNSNNFTGGIPPTLGLLSNLFWLDMSANQLSGQIPVSPGLNQLVNTRHFHFSENQLTGPMSESLFSDKMNLIHVIFNNNNFTGPIPASLGKVKSLQIIRLDHNQFSGPVPNSIAALSNLMELSLANNQLNGTVPDLTDVTQLDYVDLSNNNFATSPAPGWFSTLTSLNTIFMDNDDLNGTIPSAMFSLPNLQQVSLARNAFSGKLNLTGNISSQLQVVNLTSNQIIEANVTGYSNSLILTENPVCLDNISFCTLKQKQQAPYATNLGPCAAIPCPFDQSASPVTSQNCACTNPFQGLMIFQAPAFSDVISPTMFQLLESTLMQNLSLAPRSVAISNVQFSPGNPLIFTVKIFPASGTSFNRSEVIRIISPLVNQTYKAPTNFGPYSFIASTYFPAPSNKKSSMGKAAIIGIAIAGVVLILGLIVVAIYALRQKRIAKEAVERTTNPFASWGAGGTDNGDAPQLKGARYFSFEELKKCTNNFSETHEIGSGGYGKVYKGTLANGQIAAIKRAQQGSMQGAAEFKNEIELLSRVHHKNLVSLVGFCYEQGEQMLVYEYIPYGTLRENLMEYYGLKGLIDPKIRDSAKLIGFRRFIQLAMECVEESAVDRPTMNDVVKELEIIIQNEGAQLLNSASLSVEQFGNEKSRDPYAEHLPMNDESSSNTFDYNSVYSYSAVQPK >Sspon.01G0030490-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1A:105770370:105771005:1 gene:Sspon.01G0030490-1A transcript:Sspon.01G0030490-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to Germin-like protein subfamily T member 1 precursor [Source: Projected from Oryza sativa (Os03g0804500)] MSKPSSASLLLLLLLSATLLATTCRADPDPVQDFCVAVAPGGSSNASYSTTYPGFPCKPTSSVVSDDFFFAAHAGGASTDNPNGAAVTPGNVEAFPGLNTLGLSINRVDLAPGGVNPLHIHPRSAELVHVEAGEMLVGFVSTEGKFYSKVVRAGESFVIPRGMMHFQYNVGTGAARAMTVFNSQLPGVVLAAQSLFGAEPEIPDAVLAKSFQ >Sspon.07G0016070-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7A:57377214:57378275:1 gene:Sspon.07G0016070-1A transcript:Sspon.07G0016070-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWWSASTAATVATVLGASLLYSMALMTTASAQQPGPSYMQVIDLDGRRNSKFACTDTKWPGCMATCPTRCPKRCLVLCPTCKTFCLCEDVYPGVSCGDPRFTGADGNTFYFHGKKDKDFCIVTDAGLHINAHFIGNHNPATSRDFTWIQALGIRFAHHTLYVGATRGAATWDAAADHIGLAFDDDDDVDVAKLLPAVVGARWSPPTAPGLSVTRTARVNAVVVELRGVFRIVANVVPITAEDSRIHGYGVGANDCLAHLDLGFKFYDLTDDVHGVLGQTYRTDYVNRLNVTANMPVMGGGDTFRSSGLFGADCAVARFGRNTAAGIAMLTDA >Sspon.03G0002220-3P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7C:13766804:13769186:1 gene:Sspon.03G0002220-3P transcript:Sspon.03G0002220-3P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAELRTVTMRSSPLPLPRCVVLLLLPLLLLSLLSAIDVASAATAAAPQKFGINYGQIANNLPHPAQVSALLQSLNVNRVKLYDADPAVLTAFADTGVEFIVGNEDLFNLTDARKARAWVAQHVQPFLPSTRITCITVGNEVLSGEDTAAMQSLLPAMQAVYQAVVALGLSGQVNVSTAHSVNILASSYPPSSGAFREELAQYVQPILNFHAEVGSPFLINAYPFFAYMASPGTVSLPYVLFEPNPGVVDPNTNLTYDNMLYAQIDAVYAAMKAMGHTDLTVRISETGWPSKGDDDEVGATVANAAAYNGNLMKRIAMGQGTPLKPHVPVDVFVFALFNEDMKPGPTSERNYGLFYPNGTPVYNLGFDGASFNPSPTYSSSSKLAAITFQMAVVVLLAGFFLL >Sspon.02G0015240-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:42904901:42910569:-1 gene:Sspon.02G0015240-3C transcript:Sspon.02G0015240-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASAPRRRLTAARLLFALLLSMSAVLPRGAGAVELGLKLPFSPGDVLPVLPRQVAWPVMNTLHSAVDLLPSFVAAVAPGAPAPAAWSGACFAENEAAIELTAGDRNGTDVGGAVLRLKTASAHSWTCMDLYVFATPYRITWDYYFAAREHTLEIKSWEEEAELEYVKEHGISVFLMPSGMLGTLLSLIDVLPLFSNTAWGQHSNLAFLEKHMGASFEKRSQPWVANIRKEDIQSGDFLALSKIRGRWGGFETLEKWVTGAFAGHTSVCLKDEKGDLWVAESGYENEKGEEIIAIVPWDEWWAMALKDESNPQIALLPLHPDVRARFNESAAWEYARSMVGKPYGYHNMIFSWIDTIGDNYPPPLDANLVMAVMSMWTRLQPVYAANMWNEALNKRLGTEGLDLQGIIMETERRGMSFDQLLTIPEQDEWIYSDGKSTTCVAFILAMYKEAGIFAPFSESIQVTEFTIRDAYMLKIFEDNPARLPSWCNTDTDKLPFCQILGEYRMELPEYNTIEPYAKMNENCPSLPPTYKRPAHC >Sspon.02G0001560-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:5712145:5715909:1 gene:Sspon.02G0001560-2C transcript:Sspon.02G0001560-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPVSHCSVGNISLFHIGSFRTSQEIEIRRFQGTARYSRVASPSPRRPLQPQTAFHLISIYKRRSWSSAQRPRTLSAATVGTDVTVQDQNPETSDENSEAAPDAVETSEQAEASTEQVSSPRKLGRNIRKSEMPPLNEEDLVPGASFTGKVMSIKPFGVFVDIGAYTEGLVHISRVSDGFVKDISSLFTVGQEVSVRLLEANKETKRISLTMREGDDYVKPKKETPKAANGGRSATATPRQTRERQEAKETVESKYVPGQSLNGTVKSTTRAGTFVTLPDGSEGFLPREEEALALFTLIGQSAMEIGKQIRVKVLNVAQGQATLTMKGVEDDEDDLKTLNMELKRDWSRGTNAFELAFRRNKEISAFLDQREETKVPEVQAAAEESSEDLSSVSEVATDVSAPLSDASSQVGIEDSTSVATAAEDQTVQSESSPVISVELSFNGVPDSTSVSSVSETAEKSAEPEESSAVEEVLVTASSEVEKEPAAAAAGVAQTSTTTATISPALVKQLREATGAGMMDCKKALAETAGDIDKAQEFLRKRGLAAADKRAGRATAEGRIGSYIHDSRIGVLIEVNCETDFVSRGDIFKELVDDLAMQVAACPQVQYISIDDVPEEFVKKETELEMQREDLLSKPEQIRAKIVEGRVKKRLGEFALFEQPFIKNDKVTISEWLKQTIATTGENMKVKRFARYNLGEGLEKKSQDFAAEVAAQTVVKAPPSALSKDDKPAETTEPAEKKPAVAVSASLVKQLRDETGAGMMDCKKALAESDGDLQKAQEFLRKKGLSSADKKSSRLAAEGLVGSYIHDNRIGCMIEVNSETDFVARNEKFKELVNDLAMQVVACPQVDYVSVEDIPESIISKEKEIEMQRDDLQSKPENIREKIVEGRIAKRLGVMALLEQPYIKDDSKTVKDLVKEMIASLGENIKVRRFVRYTLGEN >Sspon.08G0024000-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8B:60843130:60847122:1 gene:Sspon.08G0024000-1B transcript:Sspon.08G0024000-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GLLGTAAFAFFCFYLLIAVIAGEMMLGLKLVFITIHPMKWGGTLMNSFLFNVGLILLCSISVIQFCATAFAYYAQATAAQEIFGHTLQSLRGIKYLYKYNVFQYGFVALAILTLFYYAIFAWTSL >Sspon.05G0012480-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:40654005:40658437:1 gene:Sspon.05G0012480-4D transcript:Sspon.05G0012480-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTYFPEEVVEHIFSFLPSHSDRNTVSLVCKVWYEVERLSRRAVFVGNCYAVRPERVVLRFPNVKALTVKGKPHFADFNLVPPDWGGYAGPWIEAAARSCVGLEELRMKRMVLSDENLELLARSFPRFKVLVLISCEGFSTDGLAAIASHCKLLRELDLQENDVEDRGPRWLSFFPDSCTSEVNSGALERLVARSPNLRSLRLNRSVSVDTLSKILVRTPNLEDLGTGNLTDEFQAESYARLTSALEKCKMLRSLSGFWDASPICVPYIYPLCHQLTGLNLSYTPTLDYSDLTKMVGRCVKLQRLWVLDCISDKGLQVVASSCKDLQELRVFPSDFNVAGASAVTEEGLVAISSGCPKLSSLLYFCHQMTNEALITVAKNCPNFIRFRLCILEPKKPDAMTGQPLDEGFGAIVRECKGLRRLSMSGHLTDRVFMYIGKYAKYLEMLSIAFAGDSDKGMMDVMNGCKNLRKLEIRDSPFGDVALLGNVAKYETMRSLWMSSCNVTLKGCQVLASKMPMLNVEIMNELDGSSEMEENHTDLSKVDKLCVSEMEENHTDLSKVDKLYVYRTTAGARGDAPNFMVGGIRHAWDQGNSGSGKAPL >Sspon.08G0011540-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:47752034:47774373:1 gene:Sspon.08G0011540-3D transcript:Sspon.08G0011540-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:kinesin like protein for actin based chloroplast movement 2 [Source:Projected from Arabidopsis thaliana (AT5G65460) TAIR;Acc:AT5G65460] KLHEENEKLFDRLTEKSGLGSAPQVLAAVIKAGAAREHEILAEIRDAVFSFIRKMEPRKVMDAMLVSRVRILYIRSLLAKSPELQSIKCFLEKSNTGRSRSSSRGSSPGRSPVYHHGHGSRTALVDEHVHGFKVNIKQEKKSKFSSIVLKLRGIEEETWRQHVTGGKLREITEEAKAFSIGNKALAALFVHTPAGELQRQIRAWLAENFEFLSVTGVDAAGGAAGQLELLSTAIMDGWMAGLGTAQPPTTDALGQLLSEYTKRVYTSQLQHLKDIAGTLATEEADDAAHVSKLRSALESVDHKRRKIMQQLRTDTALLTKEEGGSPIRNPPTAAEDARLASLISLDNILKQVKTKLYKTYEKIKRKALLESLDDLLTQMPSLLDIDHPCAQKQIMEARKVVESLEEDPDDPAPQSNALGDSEVSQWNVLQFNTGTTAPFIIKCGANSSSELVIKADLRVQEPKGGEVIRVVPRPTVLADLSFEEIKGVFEQLPEAVSLLALARTADGTRARYSRLYRTLASKVTALKEIVAEMERGGVFKDQRKE >Sspon.07G0026880-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:57256598:57258018:-1 gene:Sspon.07G0026880-2C transcript:Sspon.07G0026880-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADISERSSIHVLLLPFPSQGHINPILQFGKRLAAHRGVRCTLAATRFVLSDSQPCPGDAIRIAAISDGRRSAGSETVDQLLRSEAEQGRPVDVLVYDAFLPWAQRVARRRGVPCTAFFTQPCAVDVVYAHAWAGRVQPPLVGEEPVELPGMSLGLRPVDMPSYLADSSGCPAHLDLLVSQFDGLHTADHVLVNSFYELQPQESDYMASTWRAKTVGPTVPSAYLDNTLPEDTSYGFHLYTPQTATTKAWLDSMPPRSVVYASFGSIAAPTAVQMAEVAEGLYNSGKPFLWIVRASETCKIPDKFADKAKERGLVVTWSPQLEVLAHPAVGCFMTHCGWNSTTEGLSAGVPMVAMPQWSDQPVNAKYIEDVWRVGVRVRPDKDGVVRKEEVERCVREVMDGDRSMEYQQNAAEWKEKARKAMSAGGSSDNNIIEFLGKLGLKV >Sspon.01G0011930-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1A:32487597:32488220:-1 gene:Sspon.01G0011930-1A transcript:Sspon.01G0011930-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEKARTMARKLEIPQWKPDGLLPSPTSPLDRASPRGWRHRDAVGGVGLGILAALEAEGPELAVPRVSIPRRAARLEVSELGCSGRCATSLCGGGGRGCGPASARPGGVGGGTAFRVAEFLSCCDMCRRPLDGKDIFMYRGERAFCSMECRYHAIVSDEFQEKERKRRAAAAMSSAADVPKKSAAEMAGSPCSGGGQIFFTTGIVVA >Sspon.05G0012560-3C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5C:31872056:31872529:-1 gene:Sspon.05G0012560-3C transcript:Sspon.05G0012560-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GLVVPVIRDADTMNFADIEKGINNLAKKATEGALSIDDMAGGTFTISNGGVYGSLISTPIINPPQSAILGMHSIVQRPVVVNGDILARPMMYLALTYDHRLIDGREAVFFLRRIKDVVEDPRRLLLDI >Sspon.05G0021110-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:84475696:84476628:1 gene:Sspon.05G0021110-4D transcript:Sspon.05G0021110-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding KIISSLELGDNSEKQAILIKHKRKGGKDNVENHILEENNKDRGNKRRSILIYHPLGLKILIASLGDGRKNAKHISSVVTHAPHFDGYQWRKYGQKWISKAKHSRSYYRCANSKEQGCPATKTVQQKESDGNGTPRLFNVDYYGQHICNSDGIVHPYVVEAAHDSVATASQNQSSSSMFVNTVAHGVQDESFGSLFMVPDMPEYLTEFTDVEMARAFEITSMNTPMISEDIWA >Sspon.03G0022890-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:92340507:92343427:-1 gene:Sspon.03G0022890-1P transcript:Sspon.03G0022890-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDSSGSVSVDVERIFFGGKEHRVRTRHGPLSVSVYGDEDKPALVTYPDVALNHMSCFQGLFFCPEAASLLLHNFCVYHITPQGHELGAAPISADVPLPSVDDLADQVADVLDFFSLGSVMCLGVTAGAYVLTLFATKYRERVLGLMLVSPVCKAPSWSEWLYNKNLMSQVLLNLLYYYGTRGLVKESLLQRYFSMDVRGNGQDPESEIVQACRSLLDERQGTNVWRFLQAINRRHDLTESLKKLQCRTLIFVGDSSQFHADAVHMTTKLDRRYGALVEVQACGSLVTEEQPHAMVIPMEYFLMGYGLYRPSQQESSPRSTLSPFCISPELLSPESMGVKLKPIKTRTSLNV >Sspon.05G0002350-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:2460643:2461522:1 gene:Sspon.05G0002350-2B transcript:Sspon.05G0002350-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLHRFHVAYLDKAAGSPPSSPTSISLASQSSSAAISLALQQYCLRPLAPKISFPEARKMVVLPEFARVRNASSRLLNCTVQVPTSGTTRWNPSPDQIRVLEMLYRGGMRTPNSFQIEQITEELGKYGRIEGKNVFYWFQNHKARERQKQKRAALLTLSTTSSTLLPAAAAETKDGVETKKEQACEDASSRKRRFRTWDDVDHGGGGDAATDDVTLELFPLRPQGKAS >Sspon.05G0007290-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:17080736:17082912:1 gene:Sspon.05G0007290-2B transcript:Sspon.05G0007290-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATEELQLLGSIPGPEGAGEVDGAVAEEGRPRGGGDDEILRFIDSVDGYLLLMDSLSSALRQGWLDLASARHSMGPSRVSSTLFDHKEQSAATKLQVADHDGLKPSEPKPHFALSKWSLQEECHSTYDVSERALTEPKMRHRGSVTTPENGNHESANTTASSTCADASNHVQRARSRALSVFGGLVSPKLRTAQLSFETALDLIVELANSRSNMLASFSQLKE >Sspon.04G0009580-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:28428302:28432960:1 gene:Sspon.04G0009580-1A transcript:Sspon.04G0009580-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEDKSSSQDTVQRSGHIVLQNVSYDKDVVEIKLADDIDSDNYGGNFVKDVCVDEGALLHRMTSEEKPLDRRSSPNFSCQMIDADSDIRYGKKDYSRISVHELKPEVVSPMDFAPHCNNEKQHSFGKEYDLEDLIDTGSTAGNPSEKKISLQELLLLESAEESRHSGIINSESSEKHKCPLHEEAVGQTSKDGDPNVQTSLANTSEYVSCGISSKENASGCPATTPGDHVTATALDVREPQKIDRYNPFLDHRSLEETSVPECSITGITDAASTDSTCSIHNVTGGTTGLDEAETSEPGADTLSTSSSAIQSSEKSNDHSESIFSKAIAGAVDETAVATSSTPNSAEPSDAYGKNQERHDEIDEEHSIGTDDGASKSSTSTLAQDGSAVEQTVPGSSKSTARVGNENTYEPNFCGPSIMSGPVSMSGHIAYSGSISLRSDSSTTSTRSFAFPVLQRECISSPVRMAKAERRRSSRRHRVWRKGIICCKF >Sspon.06G0006950-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:24812692:24813128:1 gene:Sspon.06G0006950-3C transcript:Sspon.06G0006950-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome B5-like protein [Source:Projected from Arabidopsis thaliana (AT1G60660) UniProtKB/Swiss-Prot;Acc:O22704] MTSRNFTKEEISKHNTRKDCWIIIKDKVYDVTPYVEEHPGGDAILNNAGGDSTEGFFGF >Sspon.01G0045410-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:89566640:89568494:-1 gene:Sspon.01G0045410-1P transcript:Sspon.01G0045410-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LNWLHFTNIPNLPQAAVEIEEQIYSPAFGNIEVQDSRGCCSGFTGSVTKLLFIFHLLAFIALTAFLGVQASYHQNPAYKPFSNFIPLVSSVIVSTIAACFWVILAVTNPPKAIKTSLWAAPVSALACDIVILLVGNTAALGIGALVVVFAIAAGLYSCWATGPRLKHASDMLSVSVIGAHLPPTTSCLVVYVLLATFGYMAFWTVAISCIAAAEGHFMNYRVAYVAALLVSMAWTMQVLRYIVYVAVAKLAHGRLIYGIRIPGGAVEAFCGTIFGPAFGDICMGAMAVPVNSAVRGLARAMKTATGGNDEFIFSGQGCCFAVSEKMLGRANRWGFVHVGARGKAFCVASRDVWSLFVLRGIAELVDSDLTGSFCFLSSVTSGALASLVSGSWALAMDKDQKKLALPIAIYAFLIGYYMCRMMIAWPQACVAAYHVAYAENPQNPQLGTLIPEHLRELQAMAANQD >Sspon.02G0049200-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:35094623:35094885:1 gene:Sspon.02G0049200-1C transcript:Sspon.02G0049200-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRDHARSRAISASARNSQALTPNPSRNSWADTSPRFASLPPSSSTPPSLPDSSTSRPLRPNKNHQDELLRLISPSVKSKVAVACTG >Sspon.02G0017940-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:36767120:36774069:-1 gene:Sspon.02G0017940-2B transcript:Sspon.02G0017940-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDLVAMAADDSALAEDLQVEEVLRFSIQSEDVCAVCKQVIRSLDASWKPENCDHVICIACFCQYAPETEATGLPRCAVASCDSLHNTETHQGISVPQSNLISIEDMDQKGKKPLDSTLQELGQCSRGASAKISEFYCAICMETVHIREFFPIDGCTHTFCTSCVSQYIAAKVEQNVLSIGCPDPGCKDGVLHPDVCRDVIPAQLFQRWGAALCDSSLGSLKFYCPFKECSALLVHDPGHGEAVITNVECPHCCRMFCAQCKVPWHDGVTCTEFQRLGKDEQGREDLLLRKVAQKSKWQRCPKCKIYVERIEGFGVLPAKDLQVEEAFGFSTHSETTTQGINVGHGTLISVADINSGKGKEPFEDGMLQELGQCSRGVDAVPSSDFYCAICMDTVHVRELFPVPGCTHLFCVSCMSQYIAAKVENNVFSIGCPEPGCDDGVLDPEVCRDMISLQLFERWGDALCDSALGAFGFHCPFKDCSALLVNERGPNEAVIRQTECPHCSRMLCAQCKVAWHSGVTCEDFQQLRTDEQGRDDPLLRKVVVHENKHQTSQQLRNDEQGRDDPLLMKVVHENKWQRSLRCLVATCKSLWKSEANRMIDVGHSTLVSTEDMDRLKQKETLDIMLQELGQCCPGGNAIASSEFYCTICMESVDVRELFPVSGCTHLFCVSCVSQYITAKVEDSVLSIGCPEPGCKDGALDPEVCRDVIPLQLFQRWGAALCDSALGAFKFYCPFNDCSALLVDERRHGDAAITQAECPHCCRMFCAQCNVAWHDGITCAEFQRLGKDERSRNDLLLRKVAQRSKWQRCPKCKMYVERTEGCVYIVC >Sspon.01G0044410-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:76817133:76820138:-1 gene:Sspon.01G0044410-2C transcript:Sspon.01G0044410-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASCHLLDVDPPELQFPFVLDKQISCPLRLANRTDHTVAFKVKTTNPRKYCVRPNNGVVPPRSSCTVVVTMQAQTVVPPDLQCKDKFLVQSVVVSDGLSAKHITSQMFGKEGGNVIEEVKLKVAYVMPPEPPSEIAEEHDGLERILVPMQRNVDNGRSTSELSSGSVSLRSTEEVGSPVGRIVKNEEFLKAGGPAMATKTYAGAGEQSHQLSAIIAKLTEEKNFALEQNRKLQVELPAANATEPCNSAAVKLTEPGEGAIASNLIHM >Sspon.02G0053140-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:99596007:99596661:-1 gene:Sspon.02G0053140-1C transcript:Sspon.02G0053140-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFKLQAAMASGLSSDETKPRRFDLTMSRRTRRPPAATLATSCYEQDHHQAVDVEGLGFQPVVVQPEPPDPDGLFCLSDHLQSRQPELVAQQEHETSEQRSEDTTQEQTCRLEKKDDESEAQQQKEEEEECQDNSRRFSLQELIEDEAVDGVMLQKDGGTEENAAAAVRDELQPAAAEKRPEQVPGRRVIGIMRRYVKVRSIKPKRALPEKKAAA >Sspon.02G0004920-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:9978816:9981059:1 gene:Sspon.02G0004920-3D transcript:Sspon.02G0004920-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGLPSAARPPLPSHCACFSSSSVARAKAASSACCAIKQACPGRSAAPSAVAARQAAPLLAAALLLVAAPPGRPAAISPAFAQPVSEGAALFRKACIGCHDMGGNILQPGATLFLKDLERNGVSAEEELYNITYYGKGRMPGFGEKCTPRGQCTFGPRLSEDDIKLLASFVKSQAENGWPKIEGDGD >Sspon.03G0035480-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:81678169:81678645:1 gene:Sspon.03G0035480-1B transcript:Sspon.03G0035480-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding HGCCFFPLPSSSSNAGRAPALGGAPRTGATEEVAPGGGNAVRRVTPGRERRAGPLRRRAGGRGHVRAAAADPGGADGGDQLRGARRVGAVGEAVLPGLRLRRVQPRRRAAAATHGHQARRRHRRCHPGARQRARVRRRRATPSGAGGRGRPAVCPSHFL >Sspon.03G0010820-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:29472091:29477045:-1 gene:Sspon.03G0010820-1A transcript:Sspon.03G0010820-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding QVMGGRRAGCAGKRDSADLGVVSPLVADPRRSPSMHPPSTPHDYSVSLPPVGDVCFIFTPSAQQVLGAGKAWALPGTAPLHVKPPTLAFPDSHGKTRMQLLQAPHLPILAQRLLLTKLDLPFIFTLNCSMIALTSDTSFGMAGNLQAENNNLGRPSALKRSKFRYEVPLGLQVFKKLL >Sspon.08G0022780-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:52594117:52595544:-1 gene:Sspon.08G0022780-2C transcript:Sspon.08G0022780-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGKGKRHFLQCPSTLLSKNFEKLIQCDQRLYQLSQQPEIALDSALFEPRCSIFEDPVESKCAGFTNLKDEHEALPGYSGSVSCAGSSISAKNENNDSMGMPAEYLPQTVGTGVGAVGVQAVGRNVNGAAPDFNIPHWWSQLKVPGLRPSMSVDDLVNHLGNCISEQITSGNPALANNEVPTKETLEGIAQYLLGDTQGPPVSASDERSLMARVDSLCCLIQKDTAPVAKPKPEPNDSDSIGADASDGSDEEFSSAPTGKPTDATNPPAMSRKDSFGELLTNLPRIASLPQFLFKIPEDTEN >Sspon.04G0011830-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:32443276:32444097:-1 gene:Sspon.04G0011830-1T transcript:Sspon.04G0011830-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DQGNTALHIAAFRGHLRVVEALITASSSLISATNEAGDTFLHMALTGFGTPEFRRLDRQMELIRQLVGGAIVDISSTINAQNYDGKTILHLAVVGNLHPDLVEHLMSVPSIDLNICDNDGMTPLDLLRKQPRTTSSEILIKQLILVGGFTNSRDHETRSVIASQIKMHSIVGSPGTSFKISDAEIFLDAGIDVPGISERAVSFSSIIGRVDADILRPKLKKLNSFQDAAKHIKVLLRWPHRKWKKSSSGQKDLDDDASSWTLPRPGAMKRLRHH >Sspon.02G0059400-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2D:92468365:92469440:-1 gene:Sspon.02G0059400-1D transcript:Sspon.02G0059400-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVGRRRHGEGGRRIVALGRDGDAGRRPACGCREEHRAGTVERYRGTMAESLLERTSEREASGEDEWTRGCNARLLGWCHGPRTLQLAVPGNCNLLSCVVPAYAGAHVLPWTRAMRCQYVLTAAAVLATLCNMLVARSTSPARARPGSSLCRRRRAPANTRPTCPSAHARAPVPARLRPAHLMHVWPLLAPCLPSCESRNQGMTCRGTNGRTS >Sspon.07G0008510-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:23198621:23206448:1 gene:Sspon.07G0008510-1A transcript:Sspon.07G0008510-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEQRKQQPQRPRRKGQKRKLEDEASAAAAAAAAASSLGSAGADDDNEEEDGSAGTPEICCRHSHAALAREVRAQVDVLVRCASSWRHADRAAAKRATHVLAELAKNEEVVNVIVEGGAVAALVCHLEEPAVAAPAQEEQQLRPFEHEVEKGAAFALGLLAVKPEHQQLIVDAGALPPLVKLLKRQKNTTNSRVVNSVIKRAADAITNLAHENSNIKTSVRMEGGIPPLVELLESQDLKVQRAAAGALRTLAFKNDENKTQIVQCNALPTLILMLRSEDAAIHYEAVGVIGNLVHSSPNIKKEVLNAGALQPVIGLLSSCCTESQREAALLLGQFASADSDCKPLQLPYPVLAHLSSLSSPSSHGVMDMFCKYGGLMFPVSARAKSPALPYLHCVFITVLGNNTPWVHIVQRGAVRPLIEMLQSADVQLREMSAFALGRLAQ >Sspon.04G0010630-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:31562163:31563561:-1 gene:Sspon.04G0010630-1P transcript:Sspon.04G0010630-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LTASVYFHEGYPYNLDFDYGALAQLQHFSINNLGDPFIESNYGVHSRQFEVGVLDWFAHLWELEKDEYWGYITNCGTEGNLHGILVGREVFPDGILYASRESHYSVFKAARMYRMDCVKVDTLMSGEIDCADFQRKLLQNRDKPAIINVNIGTTVKGAVDDLDLVIKTLEENGFKDRFYIHCDGALFGLMIPFVKKAPQVTFKKPIGSVSVSGHKFVGCPMPCGVQITRLEHINALSSNVEYLASRDATIMGSRNGHAPIFLWYTLNRKGYRGFQKEVQKCLRNAHYLKDRLKEAGVGAMLNELSSTVVFERPKDEEFVRRWQLACEGNIAHVVVMPSVNIDKLDYFLNELVEKRATWYQDGISQPPCIARDVGVENCLCGLHK >Sspon.02G0039560-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:66824257:66838269:-1 gene:Sspon.02G0039560-2D transcript:Sspon.02G0039560-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGGGFRGRCRVGAVLLFSAWVALAALSRLLRPVPNGCVMTYMYPTYIPIAATPRNISSDRYGLFLYHEGWKQIDFAKHIRGLRGVPVLFIPGNGGSYKQVRSLAAESFRAYQNGPLEPTFYWEASSTLPADELKDFSIPSRYGRMLDWFAVDLEGEHSAMDGRILEEHTEYVVYAIHRILYQYKESHLERSKGGAQSSHDLPSSVILVGHSMGGFVARAAVVHPNLRKSAVETILTLSSPHQYPPIALQPSLGHFFSHVNEEWRKGYGTGVSHASNSKLSNVVVVSVSGGIHDYQVKVGIIGWNCAFYSRLYGRKLQYEECLVIHGASIYPMVRNYVSTANSLNALQYAVAHTLLSIIDPVDRHPFSSTQKRVFVFTKMLQSAVPQSLSSMAHVPASLSQTLPANENQDAGELHKKGSLSCPPSTQWTSDGLEKDLYIQSNSVTVLAMDGRRRWLDIKKLGSNGRGHFVFVTNLAPCSGVRIHLWPEKHHSPVQNELPASKKIVEVTSKMVQIPAGPAPKQVEPGSQTEQPPPSAFLLLSPEEMSGFGFMTISVAPRPTISGRPPPAASMAVGQFFNPEEGASALSIGTIIRSSFAPEEIFLLEDHPLALNLSFSASLGLLPVTLSLKTAGCGIKNAGDQMEAERNNLCKLRCFPPVALAWDSVSGLHIIPNIYSETIVVDSSPAFWDSPEGTDKTTVLIL >Sspon.08G0016760-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8A:65795899:65796174:-1 gene:Sspon.08G0016760-1A transcript:Sspon.08G0016760-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSKKMAVVMVFMAAMLLLATVESSSAAAAATATPSDSNQQLGLGLGLGATRKLLQVFKDTCGGQGCNSPLDCCFGSPLCKDNICSTILQ >Sspon.01G0015060-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:49171163:49172771:-1 gene:Sspon.01G0015060-1T transcript:Sspon.01G0015060-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTMTLPPGFRFHPTDDELVGYYLKRKVDNLKIELEVIPVIDLYKSEPWELPEKSFLPKRDLEWFFFCPRDRKYPNGSRTNRATTTGYWKATGKDRRIACDGGVYGIRKTLVFYRGRAPGGERTDWVMHEYRLCQDIAHGACNFIGAYALCRVIKRHEAGLLQGEPAAGRAKAAAGSSARGQMSKVSSSSSLVSSEQLSASFTPTNSTKPRWIRRDGDGHHQHRGLPSSPLPPPLLLSSPHDTFFIGDDFPAAAAEESRSHAHLFGGDIMGMGMGMGGVSDQELKWDSFACPTTFSS >Sspon.04G0032690-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:31896878:31897057:1 gene:Sspon.04G0032690-2D transcript:Sspon.04G0032690-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAHAHDEPYYVHAKHMYNLHRMKHQQLKVSLAVLAAVGTGVGVPVYAVVFQQKKTASA >Sspon.04G0005850-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:12701567:12707353:1 gene:Sspon.04G0005850-2B transcript:Sspon.04G0005850-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQDGAAGQSPPDSVPVRFVAFCLGWFGLSEFQVPLEAEACEVRLGLEAWSLRVYRLRGVGAENGDQNTKQHQPFFSMCQPLRTVSYSNSWDMFCAPAVNENDHTSGLTSMADEQTLTASGPLGSKQPQPEGISGTLGREDNSCSPHSINKEVSLVQDVMEMEQSMDGIDVPHGGTSEQPEPLGTEQPNSFASEKSLNIISGCCRRMKPTYGVSKIISKCYPLTASNATLIPGQQEDAHEFLRCLLDNLHKCTLDPKSKGKGSSFDEESIVKEIFGGQLKSHLSCCECGHSSETFEPFLDLSLEIDQVDHLVDALQSFTKVEQIGDSENKLTCESCNAQVCKNKQLTLHRAPDVIAFHLKRFTTLDNSVEKIDKHVAYPLEVDLKPFHSNPDTAGELKYDLYGVVEHSGLPNYGHYVCTIRSSPSTWYLMNDSNGKFPWFSSLLDGKDALQAENTCGTSPVSVLENIDANCSTSSGGGTSSSFGDKLEKNEASQLEETEKDETSWCKTSFLPEKPSKRSSLGASNSNKTIDENNPSRASLQNDAPRCLRSLETTNLDKPSTPRCSKRLSLSSDNEFRVFEFEDFDEEEETLLPNLKFQPKVKKAKAASASKAVKGPCIDQNALHLMRGMTSTRRKGLMDCITQQNAKHESRRCPATRVDNGIAEPCDGVWREASAEKKTKRDPSFGSGRRASASTKITSFPGGTCHDEGPGEVDTLRQVGKFMVLPSRVECRVPEPVSQNTAK >Sspon.03G0008150-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:22124745:22128848:-1 gene:Sspon.03G0008150-1A transcript:Sspon.03G0008150-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAVSALFLLDIKGRVLVWRDYRGDVSALQAERFFTKLLDKEGDSEVLSPVVYDDAGVTYMFIQHNNVFLLTAARQNCNAASILLFLHRVVDVFKHYFEELEEESLRDNFVVVYELLDEMMDFGYPQYTEAKILSEFIKTDAYRMEVTQRPPMAVTNAVSWRSEGIRYKKNEVFLDVVESVNILVNSNGQIVRSDVVGALKMRTYLSGMPECKLGLNDRVLLEAQGRATKGKAIDLDDIKFHQCVRLARFENDRTISFIPPDGSFDLMTYRLSTQVKPLIWVEAQIEKHSRSRIELMVKARSQFKERSTATNVEIEVPVPSDATNPNIRTSMGSAAYAPERDAMVWKVKSFPGGKVRYLKIIEKSGYQALPW >Sspon.01G0001200-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:3479461:3481090:-1 gene:Sspon.01G0001200-3C transcript:Sspon.01G0001200-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVSSSLSNFLLCCFYPSAGHRHGHRGGAYYYSSHPTSTNTLYYHEGPFAGRRMGRSSRPLSLQTVELKVRMCCSGCERVVKHAVSRLRGVDSVEVDVEMEKVTVTGYVDRHRVLKEVRRAGKKAEFWPNPDLPLHFTSAKDYFHDEESYRRTYNYYRHGYNGDKHGQLHEPHRGADPVSNMFNDDDVNACSIM >Sspon.01G0004990-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:13330813:13334538:-1 gene:Sspon.01G0004990-1A transcript:Sspon.01G0004990-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSPPEDLQCAVNGNCAASPVKPPAALAAAGDGELRWLRRCVEAAAKGFAIGAGLKGGLALFSVLVRIRSRRSPRSRKAGAMTNEEAVVLAVKETVRYGLFLGTFAGSYVSVDEYIAAVWGRKRTARWRSLLAGLIAGPSMLLTGPGTQHTSLAIYILMRAAVLASRCGIKSKRFGKICKPLTWSHGDVFLMCLSSAQILSAYILKQDSLPSSYKSFLNKHGGKDLSILQGVKDVVNHTAFSNLAGIEKYYKSVGVDIKLDPNMKVPCSIVHGNQSCTGHVFSFLLQAYGRAVPVYVPVYLVPALVVHRQHLMKRPYSIIGKSFLGVARSSLFLSVYCASAWAWTCLLFRTFHRANTPLVILGTFPTGLALLIEKKSRRIEISLYCLARAIESFFTWMTDAGLCPPILQIKRADVVVFSIATSIIMHCYAQEREVFRSKYLNVLDWVFGVPPPPDSEDKNCYRPDEAKRC >Sspon.04G0008830-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:25735429:25738080:1 gene:Sspon.04G0008830-1A transcript:Sspon.04G0008830-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAPRPAAGAMEAQPAEVLLFMQRMEFLCDADADDLWVPAPQSPTSVRSRLLAAEREEAKAVLSLFLRQKGLRSTLAARIVNKSDGFIEHLVSKLQIAYRSRYAEGRELSTPEIRDALIPYLEALSKEHGDSLVEVVENFPDPFCMEREALSSSMPFTPTSSNKQKAIARITTATSGGALPEL >Sspon.05G0031680-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:7606583:7609367:1 gene:Sspon.05G0031680-1C transcript:Sspon.05G0031680-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVLRSPFAGGDLPAGDADPDYLYFLEHIRLDGDSYTLELPAHGDSQASLLKYEAPLVSSSDGECVSDPSPGRLSSNRRAVEERESSESASLETAPAWYDSLDDVDEDYRLFLQHTSLVDGQLVLEIGGVVVNYDELVAAGSRGRRRAEEAAFASPGEGVGVAAGSDEVASGAPATVVPEQNASDWRADPSPRREVNDGGDEGLSDANTLKGAYREASSSDGRRAGHPTNSGGKVEKEGIIWPTHITRRPDSDFKRRLIKALTKPVAPKEYYRLFETVTIRTPLMKLRQVRNETKSYPAEEMGKSYLEHYPHQYILSSYCQAVAYLSSNVNLLTLSFILLQNNVHEDQFKPWVDDSKEQE >Sspon.01G0040920-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:44003902:44025523:1 gene:Sspon.01G0040920-1B transcript:Sspon.01G0040920-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DRRPPAADPAQCGPARPVLLPQGPDAGRHDPPLGRALAGRRALRHVRLPADERPGQGHGRGVPEQPTEPVPVQRQQLRALRRRQPVRARHRLLRQRARVRRGARLAAHRGQGEAVEGQPGRVQEQLRGRHGQDGQHPRHQPRQGPPQLHQGQDVHKESERVAAGGDGGGAEDGTVLAGGGGGGAADGDGAARAAAGGLLRQLVPCGGDHRAAGGEQGGGGQPGPRRRPAPAPLPRLLRWGVRRVGAHRLHQGQHRGEGRRAQHQPPGLRGHRPHQGARRAGLLRRRLLRGHTRLRRQGQRRTGGGGNAYQVPAGRRDGNVSRASDTNGNLPPPTANVAQLTQIFGTKGLTQKEMVILSGAHTIGSSHCSSFSGRLSRSATTAGPGQADPTMDPAYVAQLARQCPQAGGDPLVPMDYVSPNAFDEGFYKGVMANRGLLSSDQALLSDKNTAVQVVTYANDPATFQSDFAAAMVKMGTVGVLTGASGKIRANCRLKLILNEIVKTKEELGCRFEDQDDKWARRFSDLDRDVATCDAVEKRLGLLETSIFDQETLNLDNIHTERDKRVAALETAATDLGTWRPK >Sspon.07G0000560-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:169803:174215:-1 gene:Sspon.07G0000560-3D transcript:Sspon.07G0000560-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAGAAPPPHTRTRSSGSGSPSAAALGPPLPYWGGAAVGAEEQRWRIGMDSATAALLDSASASASSSAKEMPARHLAHRTAHNMSSSSLRKKSDLALLRKVPCATLRRLLDNFQEVLLATKLALLFPAEWVFVLSLIGLVPLAERLSFLTEQVAFYTGPTVGGLLNATFGNVTEVIIAIFALYEGKVVVVKCSLLGSVLSNLLLVLGTSLFLGGLANLGTEQLYDRMQVDVSTGLLILGVLCHSLPLMLRYAVSSGEHAVSSWDSELELSRACSIVMLLAYVAYLFFQLKTHRQLFEPQEVEDDGDDSVSQDEAVLGFSSAMIWLGVMTLMTAVLSEFVVSTIEAASKSWELSVSFISIILIPIVGNAAEHAGAVIFAFKNKLHLLTEHCWLKQDITLGVSLGSATQISMFVVPLSVLVAWIMGVPMDLDFNLLETGSLFLAVLVTAFTLQDGSSHYLKGLLLLFCYIVIAVCFFVLRQRGNGSNDDVHHQLGVASKPWRI >Sspon.01G0028270-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:98836121:98839296:-1 gene:Sspon.01G0028270-1P transcript:Sspon.01G0028270-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAVFDPTVAKCPEGLRSPPVAGAAAAAGGAGAGALMKGFSDSHDGAVTVSLGPSGALAYSAANQNPLVPRLFGAVNDIFCLFQGHIENIANLKQHYGLSKTANEVTILIEAYRTLRDRGPVPASQVVRDLGGKFAFILYDTLSKSTFVGADADGSIPFFWGVDSENHLVFSDDAGLLKTGCGNSHAPFPKGCFYTTSGGLQSFEHPLHEVKAVPRVDSQGQMCGSTFKVDSESKKKQDASIPRVGSAADWSNQF >Sspon.01G0029470-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:91843572:91844832:1 gene:Sspon.01G0029470-2C transcript:Sspon.01G0029470-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSRGFDSAGRIFSNATEMWEEELGSAATASTAGEVEAAPAPAPATATEGSGGASEEGAGDGKRKEWYSKAIAYWQGVEASTEGVLGGYGCVNDVDVKGSDAFLRPLLADRFGTGKRRLVALDCGSGIGRVTKNFLLRHFNEVDLVEPVSHFLEAARENLTGYMDQEDSHKAANFYCVPLQDFTPEEGRYDVIWIQWCIGQLPDDDFISFFNRAKVT >Sspon.03G0007600-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:45518042:45520478:1 gene:Sspon.03G0007600-3C transcript:Sspon.03G0007600-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRTARLGLDKVLDCFSLSLCSNACACIHSVEEEDEDEANERKALVSSQLQELVKLRDFVDGAAKTLAFHLEPKTVELKVSMHCYGCAKKVQKHISKMDGVTSFEVDLENKKVVVIGDITPYEVLESISKVKFAELWVAPNSKQQAAERL >Sspon.01G0034550-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:5295450:5302057:1 gene:Sspon.01G0034550-2D transcript:Sspon.01G0034550-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MENAVVLREWFDRVDAGRTGNITAAQLQGALAVGNLNFPISVVQQMIRMYDFDRNGTMSFEEFLALNKFLQKVQNVFSTLERGRGFLSLEEVYEALIRLGFSLDSPSFYTVCESFDKSKKGMIQLDEFISLCIFVQSARNLFNSFDTSKQGRVTLDFNQFVYCTANCRI >Sspon.02G0024390-3D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2D:75573560:75575695:-1 gene:Sspon.02G0024390-3D transcript:Sspon.02G0024390-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding QVPEELHARNGTLLNKDNIYITKTTPSFVVKCFQEQFHKDFSLFLKLRHEELVYGGKMVLTFVGRKDDDVYSGDRCQLYGLLARSLQSLVAKGLLEKERLEAFNLPLYGPSIAEVKEIVMEGHMFKLDHVKLLELNWDPYDNTEGDVVHDSVRSGMNVSKLVRALLEPLIANASAYHKRVTVSHIPAVNASNGVQLPQKVTNCVVSSKLRKRGRPLGARDKVQRRHPHRQGPEPLASLKDSVEESQPTVENIPEDTVPIKIEPLKWQLNLKLRWYLPS >Sspon.05G0007250-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:24370165:24374256:-1 gene:Sspon.05G0007250-4D transcript:Sspon.05G0007250-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRAAASRCARGAVRRLSSSAAVEASPVAVGSRRQPLLDEGDWSYYREWWGEEDGPGEGAQTVFRRHSECGNGVVSVSAYPASRPASEHWPATERWLQERNAKLYPDSASSDQFKVLGYQWRVMRFNDHTRQSTAKVMTCYRTSGQRSLFLMQQPHVLAVPYVKSMVSAGLTALPCSSYDLPQAASGQNTMKILCIGHGGGTLPLFLASKFRGATIHIVEIDPVVVSASIESMGFPMSSVKGLSSESMLPADADDLLWGGIHDRIFLHIADAEDFIANDSNEYDIVFIDAYDGDDVFPRKLWDVDGAFMKNLEKKVHPVHGTVVVNLHSDSELPASEAEGNAHFQSMLPMGRRVSQICSAYKQHFGLAFTAAVPWLCNITLVACRDKGIASGARLGLSHRDFVLVKLLSKSDTVERSLGLPFPCLPYIKNGFTLVH >Sspon.02G0026310-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:65994642:65999898:1 gene:Sspon.02G0026310-2B transcript:Sspon.02G0026310-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LQGLWGDSGCAFAQSQPPLHRIVRAQANDGGGLMPELPPSGLMPMPDLSPSGSPRPFVPFLAPAPLAPFFNNSTPKLSGKCTLNFTAVHKLITTTAVDCFTSFAPFLANVICCPQLQATLTILIGQSSKQTGSLALDPTVASYCLSDVQELLLSQGASDNLHSLCSVHLSNVTEGSCPVSTVDSFESVVDSSKLLEACRKIDSVNECCSQTCQNAINEAAQKISSKDGGLTSFTGSSKTDSCRNVVLRWLSSRLDPQSAKQMLRQISNCNVNGVCPLSFPDTSKVAKECGGTMNNSTACCKAMLTYVAHLQKQSFITNLQALNCASFLGAKLQKMNVSMNVYSSCQITLKDFSLQGVNHKNLKLRLLASLVSLLLVLLRERTSVFLKMRNEHEENWPTGYIFAVFKT >Sspon.01G0051860-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:528258:530553:-1 gene:Sspon.01G0051860-2D transcript:Sspon.01G0051860-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDRMRRQGQYGEADINSMVAAQLHHYQAHQRVQQHPENSYTGRDPAQASGEHQYTPPKFCNIMLTVSDTDQGAGGAQSFYDGKRSDSKVGLEKQPSKESRDQPHTDRTETRYENYNLPRTFEGLEQSFHEDIILLSKELQDAEDAENSRHMERLKEINTQYQEKLLALRARQANYRDEFLRKESLARQQQYQHASMSHYANNSMAGEQHGYHPTALPGEQHGYNPTAAGTPVAAGSAYGEAHRGYASGQYESFSDRPDYPEFHGGGRGRGRGSEHRGHPGGRAYNSGGRRF >Sspon.02G0013390-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:29059831:29060959:1 gene:Sspon.02G0013390-4D transcript:Sspon.02G0013390-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heat shock factor (HSF)-type, DNA-binding domain containing protein [Source: Projected from Oryza sativa (Os09g0455200)] ERCGSWDCDAAAAQAAAQKAVPAPFLTKTYQLVDDPATDHIVSWGDDRVSTFVVWRPPEFARDILPNYFKHNNFSSFVRQLNTYVFRKVVPERWEFANEFFRKGEKQLLCEIHRRKTSTSTASPSPPPFFAPPHHFPLFHPGAPHHHHHHQFDDGVVAAAAHAGVGLAFPHPHWREPHAAAAPVATRLLALGAPPSPSPLPAADAGGGGGGNNAGRAATAAVLMEENERLRRSNTALLQELAHMRKLYNDIIYFVQNHVRPVAPSPAAAAFLQGLGLQAARKKPAAAAAPVSAAGLNHSGGSTTSSSSLTIAEEPSPPPQQQADKSGCGGGEAGSRAPRG >Sspon.03G0019000-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:44723891:44726708:-1 gene:Sspon.03G0019000-3D transcript:Sspon.03G0019000-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGGGAGDKAGRGVGGRLPQPLRLESQRFRLLSIVVGCFVICLVFLLSSRPDATAFDTMSPKASLVAARRPVAVKTLRTSSSAAGLGGDFHVDILPQRRQEQSLEQTGDKTVTEWVRDTVIVEERSDAETSEAEPEEADPDRDASATAVASNSDDHPAAPEEKTAAATGGGGQSKGDETCMGRITEITVRATRDAAGAPRCTRTHTAPAVVFSIGGYTGNIFHDFSDVLVPLYNTVRRYRGDVQLVMANSASWWLVKYDRLLRALSRHAPLDLARAGAAREVHCFPRAVVSLRAHKELIIERERSLDGLATPDFTRFLRRALGLPRDAPTRLGGDGTGRKKKPRLLIISRHRTRLLLNLDAVVRAAEEVGFEAVVNESDVANDIAQVGGLINSCDAMVGVHGAGLTNMMFLPPGAALVQIVPWGGLQWMARADYGDPAEAMGLKYIQYEISVAESTLKDKFPSGHKIFTNPTALHKKGFMFIRQTLMDGQDITVDVARFREVLLQ >Sspon.04G0006750-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:19248848:19253509:-1 gene:Sspon.04G0006750-1A transcript:Sspon.04G0006750-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGAGSAAHRRWDTSSTSQYSFRTSVSSAAEITGGDEMEESPPAPPVENRVFVAVPEDVKHGKSTLLWALENLAMDGSGSGVVIAHVHCPAQMIPMMGGKVHYTMVNAKQVNDHRKKVRAEAEEKLNEYAKICIRQKVSCEKIIIDNEDVAKGLEELIALHGITRLVMGAAADKHHSKKMKSLKSKTALRLTEAAASSCKIWFTCKGHLIFTREANTTVPAIPPSPAFTVASESSVTRIGSRLRSMTITHSESDASSSNGSPRHDLIRSTTEVGLYPSLESISTPSRLYESCGRPTRTSRSSIDSWDEFGRRSQISWHDQSRNDDAVTISGLAMPYEIQEPDDENCSSPSHELVCIMKRHNFKENPGVDANANIYRRLTEALREAERQRKTIEETLARREQEIEEMKRQHDVTSNELHDVKEQKLVLEQQITEMASAIKDYEEKMAANEYLTQMLQTENEKLRQERDTAVTEAEGLRQKNDSKVSAPLPAETLNTEFSYFELEQATEGFDERLKIGEGGFGSVYKGFLRNTTVAIKLLNPQSMQGQSEFNQEVAVLGRVRHPNLVTLIGACREAFGLVYEFLPNGSLEDRLACTNNTPPLTWQVRTKIICEMCSALIFLHSNKPHPVVHGDLKPGNILLDANFVSKLGDFGICRLLSQSSTTTTTRAITTKLHRTTTPKGTFAYMDPEFLSTGELTPRSDVYSFGIIILRLLTGRSPKSIAEVVEDAVERGQLHAVLDPTAGDWPFVQANQLAHIGLRCAEMSRRRRPDLAGEVWKVVQPLMKAASLDAGRLSFAPSSSLDDAHAPSYFVCPIFQEVMSDPRIAADGFTYEAEAITGWFDSGRDTSPMTNLKLEHCELTPNRALRSAILEWQQQLQQQRR >Sspon.06G0018880-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:64177952:64179991:1 gene:Sspon.06G0018880-2C transcript:Sspon.06G0018880-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAQQTVHMNPGEGETSYARNSTFQSAEQMRMKPQIKEAIMKLCDNSTTLPRNMVIADLGCSCGPSALTLVSAAVNAIHRQCLELQQRPPELSLLLNELPSNDFNTTVKHLVAFQERKNIDKGEHGFSPFVMTSIVPGSFYGRLFTTGSVHLVLSSNSLHWLSKGVLDKQKMKAFYIPLYSPSEKEVKEVIEEQGSFSIEELQVHDSIAGVNKAVISPKMIAYGLRACFEPIIQDHFGSSGELMDAFINTEEKFISQALLQNELTKNPRVFFALSLERQS >Sspon.02G0054860-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:121559974:121565029:1 gene:Sspon.02G0054860-1C transcript:Sspon.02G0054860-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCRVPNIGHSAKRLFAECRSRQSKTLGKDRFAECRILDKEIHSAKKFFAECPTLGKDLHSAKTYAQTLCYCTIHDSWSSKWNRRLLQFATPVLWYSYVMEHNRNKASELRQVIAATVASDPEKYNEAFLGKPNEAYCAWILDPEKWGDPDRAIGGPAGGRSPDDGGYGGPAHCDGAEAATADGGGSPVHANSWAKDGCSSASFAIRFIATSTFRACYSLLSWHSAKPLPSARQKTLGKEVFADEFFAVYFLPSAALGKAFAECIYGFAECPRHSAKRLIPVV >Sspon.02G0011130-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:24319847:24322724:1 gene:Sspon.02G0011130-4D transcript:Sspon.02G0011130-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDPRQVVAGFLTLSMFVMLGNMIKHDHFSPVTEELGLEATGSELNTVKLDNNAEMNSVDTAGVEDLMDAIEEVKPCWTKPSPKNQPSNGFVTFSLTMGPEYHISQITDAVVVARYLGATLVLPDIRGNELGNKRKFQDMYNVDKFVRSLDGVVEVIDEIPDEVSAKKPAVIRVPNRVTESFITDTIQPIFQKNKYLRLAVIFSSVSLRPKETSNKDLDATACLAMFSGLELKHEYSEVARKMLDRLQELSKKSDGKVLAIDLRTDSLEKKSCKTTRGARRKGCYNPDEVLAFLRSDDIMPAEDKGEFLKSSNADLARALDLEICSQSDVFIPAVAGLFYGHVTGKRIASGRTQIIVPSQSSTSTHASDFISTYISNKNHLAYSCYC >Sspon.08G0023150-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:52987665:52990343:1 gene:Sspon.08G0023150-1B transcript:Sspon.08G0023150-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:26S proteasome regulatory subunit 6A homolog A [Source:Projected from Arabidopsis thaliana (AT3G05530) UniProtKB/Swiss-Prot;Acc:Q9SEI2] MSSSGVPAPAAAMAVDDAEDDQLASMSTEDIIRASRLLDNEIRVLKDELQRTNLELESFKEKIKENQEKIKLNKQLPYLVGNIVEILEMNPEDEAEEDGANIDLDSQRKGKCVVLKTSTRQTIFLPVVGLVDPDKLKPGDLVGVNKDSYLILDTLPSEYDSRVKAMEVDEKPTEDYNDIGGLEKQIQELVEAIVLPMTHKDRFQKLGIRPPKGVLLYGPPGTGKTLMARA >Sspon.07G0015040-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7A:53990120:53993799:-1 gene:Sspon.07G0015040-1A transcript:Sspon.07G0015040-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGMVVSASTGVLSSLLSKLSELLTDQYKQRKGVRRDIEFLCRELTDMNSVLEKLAGMEKLDGQTKVWRDKVREMAYDIEDCIDIFMHNLVQGDDKDGLFHKIVGKVRELRLHYQLANMIQDIRVRVEEQSKIRDKYMIDESTSTSRVVVEVDPRLPALFEDAKRLVGIDGPRDEITKMLMGKDDHHSDQLKVVSIVGFGGLGKTTLANQIYTKIKNEFECTAFVSVSRTPHMPKILKDILLGVEFDVKETDDDVQKLIKILRAQLTNKRKCNGVPLAITSIASLLANHMQVETWEKIYCSLGSQLDSNPTLEWMRHVLSLSFNDLTHELKTCLLYLDTYPEDYKINKFDLVKKWMAEGLVREKHGLDLEEAAENCFNELINRSMIQPCFDEDGFGEVLTCQAHDLMLELIILKCKEENFITIIDRKFPMNGASQVRRISHQFHNRDMALTVESMSASQVRSYISFCVPDCMPPLSKFELLRVLDMKHRFCVEPMCLNLSSINHLFLLRYLRVWNFHAELPKKFGKLKHLMTLDMSEPFLYGPREQLSDFSSLSSIRHLSLPGRVAFKNGLSKLCNLRDLSCFHIGTNSIECIKDLGELTNLSNLQVIYDYSRPGDVQNNPETTILAASLNRLGNNNLRRLIFEVPLGGKAPSAQFWSNCLARPRHLQRLGLYGVTLPKLPNWIAHADRLVHAVLGVQELRSDDIQVLAQLPCLIYLRRNAEATETGDGTRCSRTRCNATARGPSSWWHQAPC >Sspon.03G0005160-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:14807713:14811223:1 gene:Sspon.03G0005160-1A transcript:Sspon.03G0005160-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMACALAVAQPAASLAPSGKRSLSGGRPPRLPSPRLSDKLRSRSVVAKAAQDSSESSGSIVKYVTSSFSTAEDIFGLAGIGFAAIAALWASVNLIEIIDKLPVLPLLFELVGILVAWLFIYNNLLFKPKREEFLKNIKNSVSQILGQ >Sspon.06G0004380-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:11397566:11399629:-1 gene:Sspon.06G0004380-4D transcript:Sspon.06G0004380-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKKDKAPPPSSKPAKSGGGKQKKKKWSKGKQKEKVNNAVLFDQATYDKLLSEVPKYKQITPSVLSERLRINGSLARRAIKDLMARGLIRMVSVHSSQQIYTRATNT >Sspon.07G0006770-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:17504840:17506462:1 gene:Sspon.07G0006770-1A transcript:Sspon.07G0006770-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ADDGAVDGGAAAALLRGAGGGRRAVPAAGPAGRARGVDGGGEQDVRARAGAGRLGRAGPVGAGGPDAAREDGGRRRRPLRRPGERCRLHRGRLRALPHYGGGGGGGGASQSAGFTFEWDGGGVGDAGALAFKRSCYVVGGGKRERGPDHERKKGIPWTEEEHRLFLMGLKKYGRGDWRNISRNFVTSRTPTQVASHAQKYFIRLNSGGKDKRRSSIHDITTVNLPDDDAGGGNNPSASPPSVLTSTSTPSSTGGQVISEQFGVLVDSQPPPPPPHHHHHFLPHSYGNVKLEPGVNSYHGAGFLDDSVLMQMQMHCGQLQPLG >Sspon.07G0014800-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:53190864:53192767:1 gene:Sspon.07G0014800-1A transcript:Sspon.07G0014800-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MELHAGMAFLDLVAKQVDPGAPGFWRDFLLGMLKPLAATAVVAMAVALSFSQRLGLEGEMLYAIARAFLQLSVIGFVLQFIFTQKNALWILLAYLFMVTVAGYTAGQRAKQVPRGKYIACVSILVGTAITMFLLVLLNVFPFTPRYIIPVAGMMVGNAMTVTGVTMKKLREDVKIQRNLVETALALGATPRQATLQQVKRSLVIALSPVIDNAKTVGLIALPGAMTGLIMGGASPLEAIQLQIVVMNMLMGASTVSSILSTYLCWPAFFTKAFQLDDKVFAD >Sspon.06G0010410-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:57118543:57125165:1 gene:Sspon.06G0010410-1A transcript:Sspon.06G0010410-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEPQMDGDQSLVISDTDMMDCLNLDGYGDVEDPHKEGAKGERQPSGKVDELQSTMDVDLTGITSVEDEGNEKQNAMDVDLKEILPEEDEGKRKASSDLPSHVPVDFDVASLEKFCKEASRSFFSEIGLVSHQINSYNHFVSHGLQELFDSFGEITVEPDYDPSNKDGAWKHATVKFGRVKLGEPVYILDKSDKAKTGKDTHVHRRDIMTETKQVSMGLLPVMVKSNLCWLHKLQESDCHFDFGGYFVIKGTEKVFIAEEQRFLSRIWVTDRPSWNASYTSQIRREKIDIKLVPSKRNEICKVINIYFMGTIMPIWVVFFALGVSSDKEAFDMIDILDCDASIVNIISSTIKESHEEFEGFRTPGRAHQYVDELIRKSKFPPKESFDEYMFPSVNGVRSKALFLGYMVKCLLMAYSGNRKCDNKDDFRNKRLDLACQLLRRELWTHIKRAERRMVKLMQRDLSNDGSLKDLRCYVDASIITNGLNRAFSTGSWQHPFKKERCSGVVATLRRTNPLQMMSDLRKTRQWFAYSGTVGDARYPNPSYWGKLCFLSTTDGEKCGFVKNLAVTAVVSSVVRKPLIDTFVSCGMKKLNDISLQDISGKFRIFLNGDLLGVCANPHELTSRLRSLRRSKLIDPQVEIKMDKHHKEVRVFSDPGRILRPLLVVENLRRITRPKDGLYSFQELMDQNIVELIGVEEEEDIRCASGIRHLFAGEKEDGSSGYTHCELDPSFLLGLSCSLIPFANHDNARRVLMQAQKLSQQAIGYSPTNSHTRLDTLSHQIFYPQRPLFKTVVSYGLGKAETAYSFGQKDDFNTPEYFNGQNAIVSVNVHQGFNQEDSLVLNRASLERGMFRTLHFKSYKAQVENKEITRRLKHRESINFGKVQSKRGKVDSLECEGLPYVGASLQSGDIVIGKVSESGEDHSAKLMHTEQGMVDKVVLSANDDGVNFATVTLRQSRSPCVGDKFASMHGQKGVVGLLDSQENFPFTCQGIVPDIVINPHGFPTRQTPGQLLEAALGKGIAMGGTVRYATPFTTPTVDVITEQLHKAGFSRWGDESVLNGQTGERMKSLVFMGPTFYQRLVHMAEDKVKFRNTGPVHPVTRQPVEDKKRFGGVKFGEMERDCMLAHGAAANLHERLFMLSDFSQMHICQACERVANVIMRPAEGGSKKVHGPYCMFCRSAERIVRVNVPYGSKLLYQELFSMGICLKFDTEVR >Sspon.01G0003310-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:9050510:9054068:1 gene:Sspon.01G0003310-3C transcript:Sspon.01G0003310-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGQFQDGKEEVIQAWYMDDSEQDQRLPHHREPKEFIPLDKLSELGILSWRLNADDWENDENLKKIREAKGYSYMDICDVCPEKLPNYEAKIKNFSEEHLHTDEEIRYCLEGSGYFDVRDQNDQWICVAVKKGGMIVLPAGMYHRFTLDADNYIKAMRLFVGEPVWTPYNRPHDHLPARKEYVEKIINRGGSQAVEAR >Sspon.05G0023310-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:6648727:6656568:-1 gene:Sspon.05G0023310-1B transcript:Sspon.05G0023310-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRRNRSRRRRRLHAKLGSRDSESSRPHSSGSSSHDRAAECKIPLPNRQQQVTEEKSDPRRTTRDARAVRPFLLGLIRGYYIDAISRLPAAELRTTLARGLLVGGHCYGPLHPVHNIILNSVWYAAAFPFRADPIDVDVVTTEGISRLAHRSLDGLIAYLCHQCPVLSHDDALWHLALSLAALHGAPASVRGAVLFGRTELKAVSFQVAAQAARHPKPSALTRFVTSVLPPLERDVLSLLAGSRRLSSHDIMRLSAMLQPLPLPDALAQQPCPRELSIRIGRIIAERRRCCRIAYQMFIDIADAALHKFARQTGARYRLHIIYGQSIVEAGDCCGLDQYFHINFMAWPKGKQTQSQIPVCFFAEAHNPATRNCSEEDITSCCMLGCAQPLSSHVEQTQWFCRKRTLADLYAAVIALYVEQKILGYNCYACARIKRKIDHPNGEEHFGGHAHKTDETEDDRDCPTTIDVDYRFFDPDRDIDLVKWYAEKINRDEAINSKLGLSINDEDVAKEDIEGMAGRRHRSRRRRRLRANLGSGHSESSSPQSSGSSSDRAAQCKTPLPNRQQQVTDKKPDLPRATRDARAVRPFLLGLIRGYYNDAISRLPAAELRTTLARRLLVGGHCYGPLHPVHNIILNSVWYAAAFPFRADPIDVDVVSTEGISRLSHRSLDGLVGYLCHQCPDLSHDDALWHLALSLAALHGASASARGAVPIGRTGLEAVPFQVAAQAARHPKPSALAHFVTSVLPPVERDALSLLGGRRRLSSHDILRLSAMLQPLPLPDAPLPQPCSRQLSVRIGRIIDEKRRIVRKVYQTLLDIADAALRKFARQTGARYCLHTTYGESTVKVEDGFFDRYFHINFMAWPKRKQSQSQTPVHFFAEAHNPPNRNCSEEDITFCCMLVHTQPSPSHVGNCYACTRSKKKIDHPNGEEHFGGHPHKTGESGTEDDRICPTLIDVDYRFFDPDRDIDLVKWFADRIDCAKAVACPKSRSAEDDSDDEDDQDDEDETEKDFSIFCRR >Sspon.04G0030340-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:14419315:14421050:1 gene:Sspon.04G0030340-1P transcript:Sspon.04G0030340-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQFYTVASDSETTGEDKSQPSFPDVAIGIDIGTSKCSVAVWNGHQVELLKNTRNQKGMRSYVMFKDDTLSAGVTGGAAKENAHEERDILSGSAIFNMKRLIGRMDTDEVVQASKTLPFLVQTLGIGVRPFIAALVNNMWRSTTPEEVLAIFLLELKALVEMHLKHPVRNAVLTIPVAFSRFQQTRIERACAMAGLHVLRLMPEPTAVALLYAQQQQQLMHDNMGSGIEKIALIFNMGAGYCDVAVAATAGGVSQIRALSGCTAGGEDILQNIMRHVLPNFDSLYAGQTMDRIQSMSLLRIATQDAIHKLVNQETVEINIDLGNGHKVSKVLDHSEFEQVNRAIFYKCEKIINQCLVDAKLVPEDINDVILVGGCSKIPRIRSLVLGLCKKETSYKNIDALEAAVSGAALEGAIASGVNDPSGSLDLLTIQATPMNLGIRADGDSFAAIIPRNTTVPARRDMLFTTTHDNQTEALIAVYEGEGEHAEDNHMILQDHWNPSCPKGTVEISVCMDIDAANVLRVFAGVVKPQGPAIPPIIEVRMPTLDDGHGWCGQALAKMYGKTLDLAVLPKKLQP >Sspon.01G0015810-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:46866037:46869552:-1 gene:Sspon.01G0015810-1A transcript:Sspon.01G0015810-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RECQ helicase L2 [Source:Projected from Arabidopsis thaliana (AT1G31360) TAIR;Acc:AT1G31360] MLGRIRFVIHHSLSKSMETYYQESGRAGRDGLPSECVLYYRPGDVPRQARLNFVLFSQCKSSFHHYMCYVFDEQSSMVFYENCGLQNLYDIVRYCQCCFLYNVMARFLFSQSKSCRRGAFFRHFGEALQDCNGMCDNCASSIELKDIDATCHTKIIVSLLHDLQLNDQRATLLQLVDKFKVKWKGLGRSNQAVDLKREEIEQLIVQLIVDRVLKEEFQHTAYSTNAYVTLGPLWKPALEGNRSVKLEIAVLGSQAGGGDTSKGTKRSRMSDLEAKLDELRRELSSSSTGGISIFPHAVLSTQQISLLSCQKPTTEAELEKVIGKVKTEKYGSRIIELLRSHIADPGAGNESVAKRRPKKDKDVVCVESSDDE >Sspon.02G0032050-3C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:101453216:101454564:1 gene:Sspon.02G0032050-3C transcript:Sspon.02G0032050-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEKKPYQRITKKDSGSGADDGNEDDDLVFPGFRFHPTDQELVGFYLKRKVEKKRFSIDIIREIDIYKHDPWDFPNEARHVVQGSGDKDCYFFCLRGRKYRNSIRPNRVTGSGFWKATGIDKPIHDGAGDCVGLKKSLVYYRGSAGRGTKTDWMMHEFRLPSTDLVELHDAEVWTICRIFKRSTSYNRRCQQQQKQEHGSKQSHHHHQQLQYYYDYYRYHQHHQHHYLHSSEAAYAATGHHQPFLLRGFHGSSSSSAEMMTRTASSAFMGAPLPPSTSTSTLGWSDVTCLQDSGSTWDELGRIMEI >Sspon.04G0011380-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:34047782:34051438:-1 gene:Sspon.04G0011380-2C transcript:Sspon.04G0011380-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAARAVWRPAAVVLLALASLSCVLSPPPVSAAAEAEVAGGVAHRNTERIAGSAGDVLEDNPIGRLKVFIYDLPSKYNKRIVTKDPRCLHHMFAAEIFMHRFLLSSAVRTLNPEEADWFYTPVYTTCDLTPAGLPLPFKSPRMMRSAIQFISNKWPFWNRTDGADHFFVVPHDFGACFHYQEEKAVERGILSMLRRATLVQTFGQKNHVCLKEGSIIIPPYAPPQKMQAHLVPPDTPRSIFVYFRGLFYDNGNDPEGGYYARGARASLWENFKNNPLFDISTDHPVTYYEDMQRAVFCLCPLGWAPWSPRLVEAVVFGCIPVIIADDIVLPFADAIPWEEIGVFVDEEDVPKLDSILTSIPIENILRKQRLLANPSMKKAMLFPQPAQPRDAFHQILNGLARKLPHMQSVYLQPGEKHLNWTAGPVGDLKPCVEVIGENKATNKNRFCRLGSYVAGAEVPGLLQPDPLHMQFSGFTSELSDVLHSIKMTARAPGPGSDIARMVAIYGLVPAPCILFSFSDESEVIHSL >Sspon.02G0014980-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2B:38530104:38533735:1 gene:Sspon.02G0014980-2B transcript:Sspon.02G0014980-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding QVLLRGTCQEELKKI >Sspon.08G0027260-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:39534670:39535822:1 gene:Sspon.08G0027260-2D transcript:Sspon.08G0027260-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAILRRLTPTATAASPRVAPLPLPLPLPLLARGVSDSTDAITVETSVPFKSHIVDAPPREATTSARELLAFFRDMSLMRRFEIAADSLYKAKLIRGFCHLYDGQEAVAVGMEAAITRADAIITAYRDHCAYLARGGDLVAAFAELMGRRDGCSRGKGGSMHFYKRDANFFGGHGIVGAQVPLGCGIAFAQRYRKEGTVTFDLYGDGAANQGQLFEALNMAALWKLPVVLVCENNHYGMGTAEWRASKSPAYYKRGDYVPGLKESPMPDPSELFTNVYVNDCGLE >Sspon.04G0030630-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:3239866:3241838:1 gene:Sspon.04G0030630-2D transcript:Sspon.04G0030630-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AAMSQKKRGGGGGGGGGGNGGGGGQQQKLADDGEEQAPAARHSGDASPAADGNKDKEHDKGKDSDKDKDQQEKGAKGKDKDAAKKPPPMVTAVLKVDMHCDGCAKRIHGSVHRFAGVEGVAMEVEKGSMTVVGRFDAKKLRDRVANKTRKNVDFVVAGGCSSNKGGGGGGNGKQGGESGGGNQHKGATEVDGKQADKGGEQEGKEKDKADRQEEGKGKDDKQCGGGGGKGKGGGKDNKKPMQPVVATVVLKIGSTGLHCDGCMNRIRSKLFKSAGVEQVRMDMAKNQVTVTGTMDAKALPEKLRTKLRRPVDVVAPGKDKDGKEKDGKEGGGKDGKDGGGKDGKDAATKALKAELEAWKAALYDQQSLINAEFMLSDENPNAC >Sspon.02G0015620-4D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2D:36862325:36862648:1 gene:Sspon.02G0015620-4D transcript:Sspon.02G0015620-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MERFAAMVTAHRAAPVPAPAPAAKGKGKKGKVVEDAAYLSIQLEEIVIVKNDDVASLGAARGRSTLTSGASTPMGQRVAAVARAPPSGMSAAAAAAARGALSTTAGWI >Sspon.08G0025230-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:7200742:7201477:-1 gene:Sspon.08G0025230-1C transcript:Sspon.08G0025230-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRRRWRAPSPTSSLPFEALTRSHEGAAQIRWATVDPDGIHQNLCNPMWPLSAVSDFDLVAEVGCISVPIMQRRLAEERRWFCVDCVCLNKLKKSGCAHTLTCPFMSIVRVCFQFII >Sspon.02G0059950-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:104666800:104670272:1 gene:Sspon.02G0059950-1D transcript:Sspon.02G0059950-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQSSCAGSGGPRRRGGADDDGNVLPWTTTARRRGGRPPPAGTAPRRPLLPAGRFLAGSRREATPDRVAARGSGGGVGNVVEVYGAGNGHRLSKCRLLTCLALSSSSMSRQDYPGSSSPVSLSFASPWRSWHSRQRAGAPPRALAYLAAVPALPHALVTRAELTCVLGPDLAHAFFPGELGRGSSLFSGKLVGGEGGGRHMQRGGEGDERTSAGRETGGPRGLLLCLLAFQKLALLPSSSRHRTRVLCPALIFHVPCRHARAQDLHEDNCHTNQPRHPRSCSSVGQVLKAKAKALVSKMPTMAPPPPRQEVFLFLQGGEEEHRLSNHLHEPSSCFDVFFTLSSSPSSETAAAAGTPEPAQLAPPPRVLRGRQGVVIIVRFPTFQALGGHRTSHLRGPATTKKKARSSKAVLVHACSACGLGFSTGQALGGHMRRHRGGPNDVYSLDLARAVLLHERPRLTASLQLLDLFV >Sspon.06G0006890-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:26080721:26083483:1 gene:Sspon.06G0006890-1A transcript:Sspon.06G0006890-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSTASAPSTSLAAAFRSSRAGGRRRALWLRPAASAAGASGVGDDGGAERFATSSSITDYLRYRRPELGGAGAGGGVPGGELQTAVVRFKKRLPWSLLHPFLHVDLVSTVHIADKEYFDRLQQELEDYDCVLYEMVTSRENLNNPKGPMAAKKMKSLRRGFSILGFIQKQMARILSLDYQLDCLDYGNEKWQHADLDYETFKQLQSERGESILTFAVDMTLKSTKALVQPSNMPDGLDFWRSKLLWASRVLPMPLVGLLVITGLCLPVENQDGFPELEALSRLDFGAALKIFLAKQLTSEFTAVPTPVEEKSVIIGERNRVATEKIKEAINRGYKRIAVLYGGGHMPDLGRRLREELNMVPADVQWVTAWSIRSREIDSKSLPFLKTLAEASGWPLNRYETLALLIFSLVLAVDLWFWELFFGTAVSWASLAGSWIDQLSGSF >Sspon.08G0020430-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8B:21327357:21329536:-1 gene:Sspon.08G0020430-1B transcript:Sspon.08G0020430-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GGGRSATAVAAGSTRRPKAAAAGSTRRQRDGRGRSITAAAAGSTRRRLLQALERCFFSFFYSL >Sspon.01G0008390-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:22568656:22570417:1 gene:Sspon.01G0008390-4D transcript:Sspon.01G0008390-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRPHEQRRPRLASRLALLLACLAVLGTIRVAGGAGMPPNFIFGDSLVDAGNNNYIVSLSKANYPPNGIDFFGHQPTGRYTNGRTIIDILGQEMGLGGFVPPYMAPETTGDAVTRGVNYASGGGGILNQTGSIFGGRLNLDAQIDNYANSRHDLIARHGEVQAVSLLRGALFSVTMGSNDFINNYLTPIFSVPQRVTTPPVAFISAMIAKYRQQLTVSFCRLQLGSGRQASRNATRCYNRAFAFAEAAPPVPEETNPSSGTGCAEFPNQLAQAFNRRLRALVDELGAALPGSRFVYADVYRIFSDIIANYRSHGFEVADSACCYVGGRFGGLVPCGPTSKYCADRSKYVFWDPYHPSEAANALIARRILDGGPEDISPVNVRQLIVT >Sspon.03G0016620-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:52225275:52232732:-1 gene:Sspon.03G0016620-1P transcript:Sspon.03G0016620-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLLVGALLLCAAAAVEPKPPSTPWAYPRKAYYRGGVIKCRDGSGRFSRDQLNDDFCDCPTAPTSPGHLRALRRNSTARMQATLQSYLLVTNCCDGSDEYDSNVTCKNTCWEAGKAAREKLKKKIATYKNGVVIQKQEVERAKEAFAKDEAALAKLKGEEKMLQGLVDKLKEQKRLIEKAEEEERLRKEKEEKRIKEEAEKQAAVEKGAPDASRDVDSKETQDHVQEDENKVAEQHDGHATDHDNHVTESGASAEQHGSVSKDGITAEAGTVDELPQESAAPTLEKDLSSDNPEGLSREELGRMVASRWTGESVNEVSKDDKKGHEDEQEIPEPAEEALEDELEIPEPAEENYGGYHSEVEDDRHKYEDEEFDHESEDEYVDDHDDHVESYRSDDDQKGDHHSDLTEPGHASWLDKIQQTVQNVFQKFNFFKTPVDLSEASRVRKEYDDASSKLSKIQSKISSLAEKLKHDFGKDKEFYSFYDQCFETKEGKYTYKVCAYKKASQAEGHSSTNLGRWDKFEESYKLRCGLSNELNDVDEPSRCEYVAVLSTPAVCVEEKLKELQNKLDAMSSKQPGHDEL >Sspon.08G0012750-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:54454156:54458721:1 gene:Sspon.08G0012750-1A transcript:Sspon.08G0012750-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSPRLLHSGSSSPRGTQPELRRALSEKLFAFGVPGFAACLSLVGLTMLAKKKFEGEDVENYELFFTCSQFIAWMSVSLVRVSGAWFEVLYNPIMCFCWTLKIILEIPHLQYKLTLLKAMSSFMEIISFCTAITFGLFVIVAAVVGQSGNKREVNSIEAPLLLDDEKAEGEITNMDGAISVYDLCKKLYIKDYNLWELLTFKFVNPVMDIGITRQLDFTDLLELPTELRATSCYDKLLSSWTAEYQNHHDNSSLLRAMSYSYGWTYLRLGLLKFPHYEEQTMHLVKAMEIAWNIGLD >Sspon.06G0019580-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6C:3254666:3254806:-1 gene:Sspon.06G0019580-2C transcript:Sspon.06G0019580-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVTMIQRSSEPMPHTCMHGLEDAHVQTDDDECAVRCGTGGGAVAI >Sspon.07G0015530-1P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7A:55698050:55698394:-1 gene:Sspon.07G0015530-1P transcript:Sspon.07G0015530-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MILASDGLYDYLSNEEVVAQVDAFTASYPDEDPAKYLSHEILLRAANQAGMGFHELLEVQQGDRRQYHDDVSIIIISLEGKIWSS >Sspon.04G0037680-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:76423996:76426722:1 gene:Sspon.04G0037680-1D transcript:Sspon.04G0037680-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to ATCAX5; calcium:cation antiporter/ cation:cation antiporter [Source: Projected from Oryza sativa (Os02g0138900)] MATDSSDSQRLLLGRQNSHQMDRFKGQKSANQADGADEFEDNNIAAPEPLSHNIGASFQRNPSSTNSGVFGSLKIVLLKSKLNLLIPCGFLAILINYLSQNKAWVFPLSLLGIIPLAERLGFATEQLGLFTGRTVLNSGLLLMAVMGLVSPAMLYYTHTEVNLGKSALALSRFSSCIMLFAYAAFIFFELSNSRRRDESRNAAEHASAIMFAMKDKLVSRPFPWSCHRVFDTDIHVCGGLFNYVLLTTILLNHLEIPFSVVAGWMMGQPMDLNFHLFETASLLITVLVVALLLQDGTSNCFKGLMLILCYLIVAASFYVYADPNID >Sspon.07G0002590-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:6283922:6288472:1 gene:Sspon.07G0002590-1A transcript:Sspon.07G0002590-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEAAAAAATAAPCLPSHSPSVRAAPVRVVARICPGGDPAGSFQVAARVPDPANSSSASVSFIPIIKEATPSGSMTTHKHREYKLDWCYLNDNSNTDIFQNEVKHLVDNIFCGDGGNHACVITCGSIAKTHLVMGSEDHPALLTMAMERILDCAKPIRATVSVSSYQVLQDSHVFDILEPKDSEVLVREDADGRTHLKGLSKIGINSIQEFQNLCYGSDKLQNPTKASNQIRGHRGFIIFISRIDQNGRECSLANMHFLELAGYINNKQKSHGGGFAQPNSNKSLYAVMDVVQALNSHQSFIPYRKSKDEVSCQDAISTLSLASRSSQVVNEQCYNLSLSTKGCSKSNVNLSVNTKDLSTSLLPSVQQRSSVVEKSDKTQFNNSAVKASQALNANKKSNKTQFNNSAVKASQALNANKRSQSDFGFTTFDTSILSTFETNGGFGEDKKDLVTTAVVRPKEKRGDTKNSVISSEMQEVVPCSMKELVSSDVKEEDHSFSTENLYVDLGMTCSSNAADKSTEKNPANVIESSPKISDQLREISNSLKLLSTMPSSIVKQKTDIVHAKPFDIVEAEPKTPEIQLKVGHVEHPQESLKARSTGFKGIGEKRANFILELREESPEPLKEIDDLRSIIGMSKREINKMVSEMVLDSEMD >Sspon.02G0019050-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:56909392:56913407:1 gene:Sspon.02G0019050-4D transcript:Sspon.02G0019050-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GAEARLAAPSPHPAGRCNYGFLPSGGCVLCLLCAVFGKASPRVYCNWRLYSCGALAVFILYIRCTSINPADPGIMSKFEDGLINIPTNGSEIEGMNLPQKVNNATGTNSPTSTCRSSLDGHSNQRGSSIGEANMNLGSQLPKKRSSCWLLGGLLCAMFVKEDCRKTDDSEQQANGEEALFCTLCNAEVRKFSKHCRSCDKCVDGFDHHCRWLNNCVGRKNYFTFLALMAISLIWLAIEFGAGIAVIVLCFVDKNASRNIQDKLGNGLTRAPFAVIVSCAVSLVRISSNIVPSSTIFTFKKWHIYLTFIGGMHTIRRTFLLPHNIDKKGMHAIPLGLLHMEISVCSEDEEGANIIYSPSNSATTGFSVGSSLGLHHKGAWCTPPRIFIDQDEVIPHLEPGMVPSTVDPDAAGYAERANKAKKAVKISARSLAKLDKNEVMKAAAKARASSSVLRPIDARRGHEADVISSGNASVRSSMSVDYSGTKESNSEMKLSPLQNSDPQSLASQDEYDTGTPTASSLSSPVHIHKLASHSQFSAAPRPAPPERPVPAMVRPPVPTTQITNTGIPRPAVPATQIMNPMFQSATSYVRENRRASVVWDQEAGRYVSVPAQTRIGTGVEMPTRNR >Sspon.08G0011550-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:50354957:50356095:1 gene:Sspon.08G0011550-1P transcript:Sspon.08G0011550-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LGRCRSYGNVPQPHAAVYYSQSATKGGLLIAEATGVSATAQGYPETPGIWTQEQVEAWKPIVDAVHRKGAIFFCQILHVGRVSTNEFQPDGQAPISSTDKQISPDAESGMVYSKPRQLRTEEIPGIIDKFRRAARNAIEAGFDGVEIHGAHGYLLEQFMKDSCNDRTDEYGGSLENRCRFAVEVIDAIVRELGAHRVGIRLSPFVDFVDCVDSDPVALGHYMIQQLNKHEGFLYCHMVEPRMAIVDGRRQIPHRLLPFRKAFNGTFIAAGGYDREEGNKVVAEGYTDLVAYGRLFLANPDLPKRFELNAPLNKYDRSTFYMQDPVVGYTDYPFLEDSSDNDES >Sspon.01G0005030-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1A:13358014:13361084:-1 gene:Sspon.01G0005030-1A transcript:Sspon.01G0005030-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRASRSFFDRSSFSTYMNYARRTWNSRTELGPFGPECGSLGQRLGPIKRALRFFGRKFEGLKQNRKGQLLKPPNPRGPISSGTDLRIQSNNPFTACARGRLRLSNPPSLGGSASSPSLLVCSPPLLLGSSPSRVRSCPQPRRRLRPPWPGTARCLVGGVLGAPAAPLVRPFVSFN >Sspon.07G0023440-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:16808640:16810943:1 gene:Sspon.07G0023440-1B transcript:Sspon.07G0023440-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GMAAIVVTPRCMARPWLLALACFALAFATLCAPVASAETTSSAAAYIVHMDKSAMPRAFASHQRWYESTLSAAAPGADMYYVYDHAAHGFAARLRGDELEALRRARGFVSCYPDDARVVRRDTTHTPEFLGVSGAGGLWETAAYGDGVIVGVVDTGVWPESASFRDDGGLAPVPARWKGVCESGTAFDGAKACNRKLIGARKFNKGLVANENVTIAVNSPRDTDGHGTHTSSTAAGSPVPGASFFGYAPGTARGMAPQARVAMYKALWDEGTYPSDVLAAIDQAIADGVDVISLSLGFDGQPLYQDPIAIGAFAAMQRGVFVSTSAGNEGPDLGFLHNGTPWALTVASGTVDREFSGVVTLGDGATVIGESLYPGSPIALAATTLVFLDACDNFTLLSKNRDKVVLCDATASLGDAVSALKLAQVRAGLFLSNDSIRILYESFSFPGVILSPQDGPLLLQYIRSSRAPKAAIKFEVTVLGTKPAPMVAAYSSRGPSGSCPTVLKPDLMAPGSLVLASWAENISVAVVGSTQLYSKFNIISGTSMACPHASGVAALLKAVHPKWSPAMVRSAMMTTASALDNTGASIKDMGNRNHPASPLAMGSGHIDPTRAVDPGLVYDAAPGDYVKLMCAMNYTAAQIRTVAQSPSYAVDCTGASLDLNYPSFIAFFDPNGGAVERTFTRTVTNVGDGPASYSAKVTGLSGLTVIVSPDKLAFGGKNEKQKYTLVIRGKMTNKSGDVLHGALTWVDDAGKYTVRSPIVATTVSSDQF >Sspon.01G0002410-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:6782034:6783911:1 gene:Sspon.01G0002410-3C transcript:Sspon.01G0002410-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAGHGLRSRTRDLFARPFRKKGYIPLTTYLRTYKIGDYVDVKVNGAVHKGMPHKFYHGRTGRVWNVTKRAIGVEINKQVNGRIIRKRIHVRVEHVQPSRCTEEFRLRKAKNDQLKADAKARGEVISTKRQPVGPKPGFMVEGATLETVTPIPYDVVNDLKGGY >Sspon.01G0014480-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:38453985:38459005:1 gene:Sspon.01G0014480-3D transcript:Sspon.01G0014480-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFAARRSIATRLSHHLTRRLHPCVPHALTSHSLDEQASPKPPLPLPSLHAPLQQRSRAAQALGFLPFSLHLAGPTRRSFSSSAPAPAPNLEAAPAAEVDAAGVLADAAEATASVPAPFPGEVAAAAADSFPPVAALQHMIDAIHSFTGLNWWACIALTTLIIRSATIPLLVNQLKATTKLRAINPEMEAIKDQMNSMDPKSAQEGQAKMKALFKKQGVSPLTPMKGLLIQGPMFMSFYFAISNMVEKVPSFKGGGALWFTDLTTPDSLYLLPVLTGLTFLATVELNLQEGMEGNPMAGKMKYFSRGMAVLTVPFTMNFAKREYMKDLSFIETEKQRSAISSRHWRASPHTDLTGSQGHINKEEQQQLFATESHRSHVRRGRKIRREKNQEERVITGNTREDLPLAGAAGSQARARAS >Sspon.07G0009020-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3B:82891932:82894454:-1 gene:Sspon.07G0009020-2B transcript:Sspon.07G0009020-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAASKKLFQTARSLVLSASQRSSAAAVLAAEGRTAALATLTNFGGKTLPTAYSYHRQGSHHAASGWGAIAAAVPAAVYMLQDQEAHAAELTNTPLLRFQMERTFIAIKPDGVQRGLISEIVNRFERKGYKLVAIKLIVPSKEFAQKHYHDLKERPFFDGLCDFLSSGPVLAMVWEGEGVIKYGRKLIGATDPQKSEPGTIRGDLAVVVGRNIIHGSDGPETAKDEIALWFEPKELVSYSSNAEKWIYGVN >Sspon.07G0009570-3C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7C:23943400:23945322:1 gene:Sspon.07G0009570-3C transcript:Sspon.07G0009570-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding HKTNVVGTLNMLGLAKRINAKFLLTSTSEVYGDPLQHPQVETYWGNVNPIGVRSCYDEGKRTAETLTMDYHRGANLEVRIARIFNTYGPRMCIDDGRVVSNFVAQALRKEPLTVYGDGKQTRSFQYVSDLVEGLMKLMEGDHVGPFNLGNPGEFTMLELAKVVQDTIDPNAQIEFRQNTQDDPHKRKPDISRAKELLGWEPKIPLREGLPLMVNDFRKRIFGDQDTAATTGNQQG >Sspon.03G0033700-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3B:54999667:54999849:1 gene:Sspon.03G0033700-1B transcript:Sspon.03G0033700-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWRRDGVCSDRGDSCPLSPGGVSDACMPWSEQAYFVVLGPSPSLLGVGSEERSCGAGQCM >Sspon.06G0031140-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:60957771:60962726:1 gene:Sspon.06G0031140-1C transcript:Sspon.06G0031140-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLLGCIPMPTNRHQNMSEFGREPYPGKDKDSLYLFVNSNIKTFIHATHNSHSLISDRVGVEQCPKQHGDIQTRGLNSTITSAAGKATTATRTSTPSTTTATTATETTDATNAASAATYAGVNSKSINAATASTPRTTTFTTTTNTPYATTTTSIPATPTTTNATSTTTEATNTTTEASAATYPANAAPPTSTASDGGYRIRR >Sspon.08G0014610-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:59586518:59594840:-1 gene:Sspon.08G0014610-1A transcript:Sspon.08G0014610-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARLAVAFLAVSSAFLAVSGQQFNAIFSFGDSMSDTGNLCVNGPPAGLTLTQPPYGETFFGRATCRCSDGRLVVDFLAEKFGLPLLKPSKQGGADFKQGANMAIIGATTMDSGFFQSLGIADKIWNNGPLNTQIQWFQQLMPSICGSTQGTWCTTRHQCRPPAALAIKTHARTQMIDEAVALLTPLTLFAACKSYLSKSLFVLGEFGGNDYNAQIFGGYTPEQASGQSATIVDAIGKGVEQLISLGAMYVVVPGVLPVGCFPIYLTLYQTSNAGDYDQYGCLKRFNALSAQHNSLLQSKVGSLQSKYPGARIMYADFYSHVYDMVKSPGSYGFSTNLRACCGAGGGKYNYQNSARCGMSGAYACSNPSSSLSWDGIHLTEAAYKKIADGWVSGAFEAMFNFGDSLGDTGNICVNKSAANQLLLTFAQPPYGMTYFGHPTCRCSDGRLVVDFLAQELGLPLLPPSKQDGADFHRGASMAIVGATALDFEFLKSIGLGYPVWNNGAMNVQIQWFRDLLPSICGGAAPEAQNCKDYLARSLFVFGPFGGNDYNAMVFFGLTVDQARNYTPNIVDTVAGGVEQLIQLGAVDIVVPGALPVGCFAVYLTFLPSDDPADYDEHGCLKALNELSIYQNSLLQGRLAGLRARYPSARIVYADYYTHIDRLVRSPARFGFSTGAVPACCGAGGGRYNFELDARCGMKGATACREPSRHESWTPGTASI >Sspon.01G0035600-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:12473014:12477822:1 gene:Sspon.01G0035600-1B transcript:Sspon.01G0035600-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHGGGGDNGVSPGNVPVCYYGAAGRVPASLERRVRAAELFMRCAACGLAVLAAALLGADRQTRTIFAVEKTARFTDMQSLVFLVIANGMAACYSLLQGARCLVVSSSTGPWHGLSSLVIRQVMAYFTISAVAVAMEAAMIGKYGSQQFQWMKTCHLYKRFCAQAGGAVACAVAASLSMVGISLVSAFNLFRLYGSGKGRK >Sspon.02G0034330-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:14997911:15005476:-1 gene:Sspon.02G0034330-2C transcript:Sspon.02G0034330-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQDDYLSMCLAALVAACQQAGADDDAPPLRARAASPGELPLHFRCPICGKAFASYQALGGHKASHRKPAAAAYDRAPSSSSGQHQKGAAEAASSSGNGGGGGGAGRHVCTVCHRYFATGQALGGHKRFHYLHGPSVPASLPPSTTGAGWLDLNMTPLAPPYVPFAGVRRRSEDEEVQSPMPLQQAKKHRASNSAFSSVCADGLDAIPPVLGLDVRFRLWRPDFPEKESRSVDIVLLTDETREGGTCNVGWLNA >Sspon.05G0003620-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:213676:216317:1 gene:Sspon.05G0003620-3C transcript:Sspon.05G0003620-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHSALSAILRCLTLLCILSLVVAADNNTIGSGQIRLDCGAPSPTGPDADGRSWDSDTNSKFAPSLKGIAATASSQDPSLTSPMPYMTARIFTSNYTYSFPVSPGCMFVRLYFYPTNYGGNHAAADAYFGVTAGNLTLLYDFNASQTAAAVTPVGVAFFIREYSINVTEGTNGGNPDPFPILAGTGFQTMYRLNVGGQAIPPQGDVDFYRSWQDDSAYIYASGLGVAFGKDNNLTITYTPSVPNYTAPVDVYATARSMGPNAQVNLNYNLTWILPVDAGFYYLLRFHFCEIQYPITKVNQRSFFIYINNQTAQQEMDVIAFSGGIGKTVYTDYVTITTGSGQTELWVALHPDLTTRPEYFDAILNGLEVFKLQNYGNNSLNGLNPPLPSFEPNNGKPSGRNKDSVPVPAIIGGAVGGFAALLIAFIGVCIICRRKKVAKESGKPDDGQWTPLTDYSKSRSNTSGKTTTTGSRTSTLPSNLCRHFSFGEIQAATNNFDQTSLLGKGGFGNVYLGEIDSGTMVAIKRGNPTSEQGVHEFQTEIEMLSKLRHRHLVSLIGYCDDMNEMILVYDYMANGTLREHFPSLPKEQVSLADWALHCQKKGILGQIIDPHLQGKISPQCFMKFAETAEKCVADHSIDRPSMADVLWNLEFALQLQESAEDSSSVTDGTSSNTSPLIIPRLHSDEPLTDTTTTTSSSTMSMAGRSLASVDSDGLTPSVVFSQLMHP >Sspon.06G0002560-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:8206796:8207581:-1 gene:Sspon.06G0002560-1A transcript:Sspon.06G0002560-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKKKDLLRKLTIVSIPFVFVAIPSVVIIVGMLSPHAAEPRNGSSPAPPGQNHSVSMLSTMTGGQMILSCRAAFSGNWEYFHYFILDPYKPQWAFFQPQADPYVLFCKWGYMGNFLQDVVVFNSSAAWAPHCRVDNGGCRYLFQDGHMFLVTGKHSGDSEAHRVKVVEETTEEQAPAPGPGLGPGPGPSQGPAPATAPALAPAPSPDAHSQGREKTLIGDVLLRECKHVLGLFPTMCRKKPHNHQYVGKIIGRWRWWFNY >Sspon.05G0019320-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:15369004:15373777:-1 gene:Sspon.05G0019320-2B transcript:Sspon.05G0019320-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MELNKVLYMYAATVALLLCHAVDFIQSPSDVFGPVALLEPTPSAARDFGAVVSEAPIAVMQPGSPADIARLLGALSSSSGPRAAVAARGAGHSLHGQAQARGGIVVETRALPRLVEVVRREDGDAYADVGGGALWVEVLEECLRAGLAPRSWTDYLYLTVGGTLSNGGISGQAFKHGPQISNVLQLEVVTGTGEVVTCSPTQSPELFFAVLGGLGQFGIITRARIQLQLAPPKVRWVRAFYDSFETFTKDQELLVSMPELVDYVEGFMVLNQHSLRSSSVAFPAPVNFSPDFGSGDARIYYYCIEFAVHDFQQQDSAADHVVDLVSGKLSYLRPHAYSVEVAYCDFLNRVRMEEESLRSRGLWDVPHPWLNLFVPSHGVARFKDLLMDTVTQGDFEGPVLVYPLLTDRWDGNTSAVVPASPDGVMYVFSVLRSTDPARCGRACVEGILEQHRRVADEACRRLGAKQYLARQPSRAHWRDHFGAAAWDRFVARKARYDPMHVLGPGQGIFSSTEEVARLMSS >Sspon.01G0055690-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:82356325:82358670:-1 gene:Sspon.01G0055690-1C transcript:Sspon.01G0055690-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIADAERSLVALVAFLTYYFRALSGCEALEYLRLANADLLCVVRLVEDDRNSRGSFSFGYRTTKTALKCAALAACQPKPRALVNRSYSLASRMEQLRQLLTTEGGCLSCTAIENINGLLIKPRRKLGGLVGVTPPQLLEEKKSKPPPFVLTESLQSTLLHRIYGFYLKELAILPTDGLRTRYHRGLLKAGHCYGPLTDPVSNIVLNTLWYEAMFPPEGELSMTTMICSRSLVLVARRSLRGLVAYIRTCFRMMSEYQAMRCLLSTEVNLWRAIEMAYRNSFVLDVELIVQLLSEYCSTPNGSVETTVPVLSAGGSKFLSSILKDFREEERFVCRKVNAMLKKYTQQNGGPEYELHVICGLNSNVGAGRVFGVHYGPLLSWRPRKTQFSHINFLARPRDLHSPDTVPILFVAEGSNDEDAIDELSCWPVMGHPGRCYYCENEGAKIIHPDLEKYIGRDIAFEGMACRSNVSKGSICDNDFIIDFVDICEEDCIYFDASRDAKCAEFLNARAISMQRPRFV >Sspon.05G0009120-3C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5C:18014822:18017163:-1 gene:Sspon.05G0009120-3C transcript:Sspon.05G0009120-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHIFFLLLWLPLSCSYTLAQHNISLGSTLSPEGPNRSWLSPSGDFAFGFRPLETNSSQYLLSIWFNQINENIIVWYANGNTSVSSGSSLQFTLNGLLSLRNSTGAEIWSSQIAGGAYASMNDNGNFVLYGADGSPKWQSFTTPTDTILPSQELPSGTILQAKLMDTDYSNGRFILSLETDGNLTFYTVAVPTGFKYDGYWSTNTSGKGGKLVYDMNGMIYYALENSTQDPNVIMPAEMDSIDQYYHWARLDPDGVLRKKYKGCKPDFAQQSCNSSETEVLELFQMIPMNYIDWPLRAYEQYYPITETACLSLCLIDCFCAAAVFNNSGDCWKKKLPLSNGNQGSEVQRTVYLKVPKDNHSQTLLNTEASSKWKTNRKDWILGGSIIIGSSVFLNFLLISAHFLGARSWATRQKNHLRAWTRMMTRDFTYRELEQATNGFNEEVGRGASGIVYKGYLHGEFDTSIAVKKIIDRIPQETEKEFTMEVQTIGHTLHKNLVQLLGFCYEGAERLLVYPFMPNGSLAKFLFSGKRPSWDLRADIAHGVAKGLLYLHEECGKQIIHCDIKPENILLDDNFIAKISDFGIAKLLKAEQTKTSTGIRGTRGYFAPEWFKNVRISSKVDVYSFGIVLLEIVCCRRNVDLQATDEEQVILAHWAYDCYRCSRLDLLVESDEEAIIKMKMVERFTRVALWCIQDEPERRPTMLKVTKMLDGAIE >Sspon.04G0021890-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:2258912:2265351:-1 gene:Sspon.04G0021890-1P transcript:Sspon.04G0021890-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASGPPLEDCLRLLRGERDEQKLAGLLVAANVCRAGDADAVAKVYRAVGPRFLRRLLSTGLGKVEGGKEEEREAYLRLAVTVLAGLARVPEVAADEGVVSTVPLVAEVVAKSTDPAITEECFELLSLIAISSEDGAYKFCEAGVIDMIFLQILSLTDGSKCIELAINLMQLLVHKLKVDTMSLEKLQGMTSMVTCLARLFAVLHTAVKFHALHMLTTLLSQKESPLHDSLRSIPASIWESHIRVGITAILQNRVVSSEKLHALLLAECMMSILGEDWLSEDFEVQDNQNVLPVDKIIKMISNASSGEGAPIQTIRESTIMQAITGLNETISLVLDFLQDAKDHGQRKGDDLLAAARIVGSYLAEAPYACKEKTGNLLEFIFSIEGQDESSPFYSICFMLPMLSQITMEVDGCKTLASFGSYKAVIDCLVKMTEQDGMMIDNGSMFLACDTIINFMSNEECPYSSGFSFHSPPKGTCYMGWKNHRCLISYMTASCLCAMLLDLTSEEFLLSCSHFDTKTLGSLSELIIRSLQQDIPDDDREQFNQKQIIVSGYRRWADRFPHVKNVVEQHVSV >Sspon.03G0034890-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3C:73991584:73993238:1 gene:Sspon.03G0034890-2C transcript:Sspon.03G0034890-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQCCLRGKLDIQDPNKSLHDPVYYRCNTDPHHRVGSTYKAYPTYDFACPFADALQGVTHALRSSEYHDRNAQYYRILQDMGLQRVEIFEFSRLNMVYTLVWFVHNKKVDGWTDPRFPTIQGMVRRGFKIEALTQFILEQGASKNLNLMEWDKLWTINKKMIDPVCARHTALLKDQQMLLSPTNGPEDKFIRILPRHKKYVGAGNNNTTLTNRIWLEYADASVITTGQEVTLMDWGNAIIKEIKTENGIITQLVGELHLEGSVKLTKLKLTWLPDIEGLVSLSFVEFDYLITKKKLEEKDGFANHLNPCTRRETSTLGDPYMQNLKQGEIIQLERKGYYRCDVPFTGPSKPIVLFAIPDGRQQSPAN >Sspon.07G0007080-5P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:12940783:12948338:-1 gene:Sspon.07G0007080-5P transcript:Sspon.07G0007080-5P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEADGHAGDEGASRPAPRLNERILSSLSQRSVAAHPWHDLDIGEKSLPCCARGTSSSFHNQYHRAFCFQRCLAALSANSGGSSSSLYVRAGPDAPAAFNIVVEISKGSKVKYELDKKTGLIKVDRVLYSSVVYPHNYGFIPRTLCEDNDPMDVLVLMQEPVLPGCFLRARAIGLMPMIDQGEKDDKIIAVCTDDPEYRHYNDLNELSPHRVQEIRRFFEDYKKNESKEVGVNEVQPASAARDAIQYSMLVREKRCAVGANARASPTEPHISRARAAGRARRARQHATGRCGLGPERGQQTVPSRTSEHAQHGCRVADKMSEADGHAGDDGASRPAPRLNERILSSLSRRSVAAHPWHDLDIGEKSLPSRARGTSSSFHNQYHRAFCFQRCLAALSANSGGSSSSLYVRAGPDAPAAFNVVVEISKGSKVKYELDKKTGLIKVDRVLYSSVVYPHNYGFIPRTLCEDNDPMDVLVLMQEPVLPGCFLRARAIGLMPMIDQGEKDDKIIAVCTDDPEYRHYNDLNELSPHRVQEIRRFFEDYKKNETRRLPSTRCSLRAPLAMPSSTPC >Sspon.01G0022920-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:83276484:83284203:-1 gene:Sspon.01G0022920-1A transcript:Sspon.01G0022920-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRGGLDRFKKAQTLEPFSVKESGTKNAAAAAGTAKAPPAPLTLPQNSNSVPGQNHQSPQGTSSRVAGQDGVAPGHIGTQVGGGQSTWQPPDWAIEPRPGVYYLDVVKDGEVIDRINLDNRRHLFGRQVPACDFVLDHQSVSRQHAAVIPHRNGSIYVIDLGSVHGTFVANERLTKDNPVELEVGQSLRFAASTRTYILRKNTAALFPTPSLPSDVSLPSPPDPNDEDAVVAYNTVLNRYGISKSDILSSSKDSSGGVSGANDDKHAVERPLKRSKKRRVSFRDQVGGELIQVVGISDGADVGTEPGPIGVKEGSLVGKYESLVQVTVIPKGKEQASPKEYTSTSTTGVTDKLQEALKKVKSTTRPGIYDDLYGDSVPAKVGSSWAYKSDDLSDKAQPVDEKTHSMNLNMNSADDSDDLFGDS >Sspon.05G0007610-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:25236783:25239729:-1 gene:Sspon.05G0007610-4D transcript:Sspon.05G0007610-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium uptake protein, mitochondrial [Source:Projected from Arabidopsis thaliana (AT4G32060) UniProtKB/Swiss-Prot;Acc:Q9SZ45] MLPADLMRAVVPVFPPSESTIVREGRLRGERSPGELHCAPSEFFMLFDTNSDGLISFAEYIFFVTLLSIPESNFTATFKMFDVDHSGVIDREEFKKVMALMRSFNRQGSTHKDGLRIGLKVGQPVENGGVVEYFFGSDGNEPLHCDKFSKFLKELHDEAICFFRTLIQHDDLSTKIIRLEFSHYDVKSSKTIPAKDFALSMVASADMNHINKLLDRVDSLVEEPDLKDIRITFEEFKAFADLRRRLEPLSMAIFAYGKVNGLLTKEDLKRAAQHVCGVGLTDRVVDIIFHVFDTNQDGNLSSEEFLRALQRRETDIRQPTIPGPLGFLSCWFSGSGSLIEPQFDPHCTRHSPLIVISRRRRAAVQKVLSRVKVGSLFTHEN >Sspon.02G0000470-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:1956833:1958590:-1 gene:Sspon.02G0000470-1A transcript:Sspon.02G0000470-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQARRLLQSGKNEHPQPPRQAPPPNPHQKKPKTNERPHRPKQHQHKCMLHHPHLLVLRLKPCHHHLLLHLQYLLQDLIIHWQPLPDHLALHLPSLHHINIPRERSAVFVVMAAASVMYCRVKKVGTVRPWATGLSGQLQRAFVTGVPALKRSELEAACEDFSNIVGSTPSCMLYKGTLSSGVEIAVVSSSVTSVKDWSKECESHYRKKITSLSKVSHKNFMNLLGYCEEDTPFTRAMVFEYAPNGTLFEHLHVREADNLDWATRLRISMGIAYCLEHMHQLNPPVVPRNFDASTIYLTDDFAAKVSDLDFWSDTATATATNTKGSDSSSTTDDEFSSVSDIDVMVHQYGMLLLEILTGKVAYSEEEDGVSLEQLASRYFDGNMPLVELIDPSLGSFPQEAAHAMCEVARSCVDPDPKKRPRMVQVAARMKEITALGPEGATPKVSPLWWAELEIMSSEAS >Sspon.03G0005570-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:15908437:15913857:-1 gene:Sspon.03G0005570-1A transcript:Sspon.03G0005570-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGVEPEGRGGASSSAAAENFDAGQYAFFGKEPLDGFELGGLEEPGGDGNGGGFGGPDEGLYRLNSVGDETDDLSNLSDIDDLASTFAKLNRSISGIRNPGVIGDRRSISRGSSLTVDWAEDVEFSNWGDQDIFENEEFHESKRWWSSNSSVQQGDSNSRPLSRTSSYPQQPLQHRSSEPIVLPKTSSFTSFPPPVAGGGRSPYPTQGLTRHGSIPSIGAGLQMGSPSMSLSASPYHMVGPSHGLPYTGGMPYGALNLPVNNPVQNDWSNHGNPFTGEHLNLLPNLLHKQLSLPNSPMPSLLFSQHQQRLAQVQSPHQNYLNITPHLLYPHPSAEITGRFDSVGSSHSSRDKRSRSGRGKHSIRFSQPPSDTGSQNGDSGGIKFRSKYMSSEEIESILRMQHSASHSSDPYVVDYYHQACMAKRGATSKQKTNFSPTSMKDIPSKSRSSSDQHTYLQVDALGRVSFSSIRRPRSLLEVDHPSSADGSHDQKATVRPLEKEPMLAARVTVEDALCLLLEVDDTDRLLQSSQSQDNIFQLMRRRQVLLEGLAASLQFVDPLGPSKSGHSSGLALKDDVVFLRIVSLPKGRKFLARYLRLLVPGSELTRIVCMAIFRHLRFLFGGLPSDSSAAETTVALAKTVSSCVHHMELGALSACLAAVVCSSEQPPLRPLGSSAGDGASLVIKSVLDRATELLTDRHAAASYTVPNRALWQASFDAFFGLLTKYCVSKFESIQQMFVMQAPSSGIGPEASKATSKEMPVELLRASLPHTNEQQRQRLLDFAQRSMPVTGFNPSGARGGHITSESVPAKKTIVGVVFSLRRRPP >Sspon.08G0005770-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8A:18044161:18046530:-1 gene:Sspon.08G0005770-1A transcript:Sspon.08G0005770-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVPSFIGSPSSSNHFAKMNSLSAIGTQASLPTESVQVMSSQKNLGIPQSNMEPVGHSVNLPKDAVPMPGRISADSSLLASPTLLYRVVGCQIPTFGNEYQRKIAGIMGHTVPMVGFKEQVAAFSFGNNTHSTATPIGNSALTSSSSTRPDLQIDNSVMVSQVLNGGGASDNLLVGSTVNQQAVSDQVNNINDFLMGTSEARNGEIDDVDDFLAYFNQDFVNNGDSFIDGDREFAP >Sspon.05G0009240-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5A:26795065:26795660:-1 gene:Sspon.05G0009240-1A transcript:Sspon.05G0009240-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPASAAPLLQLRHRRPLQWCHAPLDSATLPDPHLHRIPLVGRCSATAGPARRRPPAPVWLARAPSPSRATSSPAPMAGEHARAGRCVVAWRARERAGHHAATGQAHGQATATLLHCCDVRAWAGRSCPRCQVTGVTGWSRGRAEVDMAGAKSVMEKTEGDTVPPSPEMEKIEMEQDDGED >Sspon.05G0021810-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7C:68856976:68857545:1 gene:Sspon.05G0021810-2C transcript:Sspon.05G0021810-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFQGFKYLNIHKSNKDFAAWLLSKFDPIHCTLLSGTRSEIKLTELDVNFILGIPCEGKPIVLATHQEVVTMKKYICNVFGKESFEQITLPFLTGILYKKPDCPMSVVEVTKFKTALIMVLVTIFLAPVSLNSHISTRYMTALVDIENVQNYNWAKFVIDVLKVAADSLHNKLKNGKTVGYINGCIILLQ >Sspon.05G0023280-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5B:6434976:6442384:-1 gene:Sspon.05G0023280-1B transcript:Sspon.05G0023280-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGRSIVFPFFLLVLRCLAGRRLDPLDFCALRDLSCSHHELSHDKAQPTSKTRDRSASYEAGSTGCLDRILSPTRTRPPRRKASTALQSARGAIDHREHSDSLTLEGGYDKIRTPRFQGSDLSDQPRPGLDPASTPKQGRKDGMAQRSGSFQRLVLCNAMESRDGLPFLGHVATVTSTVIDKTSSQYIRRPGLLSSYKRVGQGSGEDNGQQAKHQIVINISSNHLVFLFPLLRPGLTALSRKLVTPTQAPRCKEIQHLLPLAGRRAFSCPNQDKSPTPSGPNTDSWRASSCHLATVPLFLSDTARAWLEDLPPQQIHDWGDLVRVFEGNFKGTYMRPGNSWDLRSCKQKPGESLRDYIRRFSRQRTELPNVTDSDMIMAFLSGTTCKELVRELGRHTPLTANGLMDIVTNFAAGEEAVGAIFGGEQDTGKQKIDDPASSTRGSKRNNRKKKKGQQGKLEAPADELVAATERSLTHQFSSLRPQENRSYFMSLQQIAQNREASGRIAKWAVELMGETLSYAPRKAIKSQVLADFLAEWTDTQLPPAQLQAELWTMYFDGSLMKTGAGAGLLFISPLGVHMRYVIRIHFPASNNVAEYEALVTGLRIVIELGVRHLDVRGDSQLVIDQVMKSSSCRDAKMEAYCQEVRRLEDKFHGLELNHIARRYNEAADELAKIASSRTTVPPDVFAKDLQQPSVDLGSDRGVDGTSLDPPPEAEAPSTGAEAMQVEGSTPPSDLELDWRAPYLDYLIQGDLPSSKTEARRIARRAKTFVIVGDITIRPQLSCHVTLGFSRHVVTVLVITLAYDIETGRSWMRWKGDDDAVVLDLVPAPEGPWIILCDHDKVLRHLFGPTWPCIVSGLKPKLWAPNPWPSSTLGKAFLARSIKLCLVDNQRSSGVTIRVVEFVSVVESCCWFRIVFVVVVQSPVAVIFVFRRYPIHRSQTTSRSHHITRLAPASRLVYFSPPRKP >Sspon.02G0018180-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:61148729:61151348:-1 gene:Sspon.02G0018180-3C transcript:Sspon.02G0018180-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHATAPPLHLTSTAMAGTNGAPRLLRLPTTATTSLPLLQLLMLLQLLCLTSALNQDGILLLSFKQSLASDPLGSLSGWGYADATPCAWNGVVCSPDSRVVSVVLPNAQLVGPVARELGFIEHLRHLDLSGNALNGTIPPDLLRAPELRVLSLAGNGITGDLPEQVGQLRSLRALNLAGNALSGTVPQNLTLLPNLTAVSLASNFFSGALPGGGFPALQVLDVSANLLNGTLPSDFGGAALRYVNLSSNRIAGAIPPEMASHLPANVTIDLSYNNLTGAIPARPTAFEGNAEICGRPLDSLCGFTSSSASPPAIAAIPRDPTEALPGDATSSAAGASAMRLATIVAIAAGDVAGVAVLFVVVLYVYQVRKRRQRQEVVKQRMGVVFKKPEADDLSCCLRKKAGDDSDDTDQEVTDTSASFAAKEGITDDKSKAGVAAASNKKGGDGAVLVTVDGGAELELETLLKASAYILGAAGSSIVYKAVLADGAALAVRRIGSDCAGIRRFSELDAQMRAVAKLRHDNILRLRGFYWGPDEMLIIHDFAVNGNLANLSIKRKPGSLPINLGWSARLRIARGVARGLAYLHDKKWVHGNVKPSNILLDADMEPLLADLGVDRLVRGACGGLMKPSGATLAGRFGSKRSAKSLPDLSPPPSHVGTTTAPSASPVAGAPAADTTAAHYRAPEAVRSPNKASGKWDVYSFGVLLLELVAGRALSSLELCQCAAEEKAQAQAQALALRVVDPALRGEMEGREEAVASCLRLGAACCAMAPSKRPSIRDALQAMERIPAAAAASSSSSSTSTAAHHHQ >Sspon.02G0052430-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:82233957:82238913:1 gene:Sspon.02G0052430-2D transcript:Sspon.02G0052430-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLDAGSFHVGSVRSTSSNATSCSSILCDLTCTSTIIFYHRVVPSNEMVKSEFAFLAKGPKALPCITIRNSSIGNPPIVGYHAVVAALFMNIYIVGLNQLFDIEIDKVNKPTLPLASGEYTPVTGVAIVSVFVAMDIPDIEGDRIFGIRSFSVRLGQKKIAGHSILAAILWSCARSVDLTSKAAITSFYMFIWKLFYVEYLLIPLVR >Sspon.01G0033120-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:111763931:111768175:1 gene:Sspon.01G0033120-2D transcript:Sspon.01G0033120-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:5-oxoprolinase [Source:Projected from Arabidopsis thaliana (AT5G37830) UniProtKB/Swiss-Prot;Acc:Q9FIZ7] RSAVGGGTLPSEGAPGIQASRCTSTNPAYPAFVIFSEKTPIFRLLNVDLGRGGRTMGSGTTEKFRFCIDRGGTFTDIYAEVPGRREGYVTKLLSVDPSNYDDAPIEGIRRILEEFSGERIPRSAKIPTGKIEWIRMGTTVATNALLERKGERIALCVTRGFRDLLQIGNQARPNIFDLKVSKPSNLYEEVIEVDERVELVRDGDSDRDESSVEGISGELVRVAKPVDVEALKPLLKGLLDKGIRCLAVVLMHSYTYPHHELLVEKLALGMGFKHVSLSSSLTPMVRAVPRGLTASVDAYLTPVIKEYLSGFMSRFEGGSEQVNVLFMQSDGGLAPERRFSGHKAVLSGPAGGVVGYSQTLFGLETSKPLIGFDMGGTSTDVSRYDGSYEQVLETQIAGAIIQAPQLDINTVAAGGGSKLKFQFGAFKVGPESVGAHPGPVCYRKGGELAITDANLILGTVIPEYFPSIFGPNEDMPLDYEATRKAFENLAVEINSHRKSQDPSAKDMAIEEIALGFVNVANETMCRPIRQLTEMKGHDTKNHALTCFGDAGPQHACAMARSLGMSEVLVHRYCGILSAYGMGLADVIEDLQEPYSAVYNTESSAEASRREVLLVKQVKEKLMEQGFGEESIRTDSYLNLRYEGTDTAIMVKQPEQGSGNDYADEFEKLFQQEYGFKLQNRKILICDVRVQGVGSTNILQPRELMQISTKPVKESSCQIYFSSGWQDTPLYKLENLGYGHVLEDPAVIMNGNSTVIIEKDCKAIITKYGNIKIEINAAPSTVSISEKVADVVQLSIFNHRFMGIAEQMGRTLQRTSISTNIKERLDFSCALFGPDGGLVANAPHVPVHLGAMSSTVCWQLNFWGDNLHEGDVLVTNHPCSGGSHLPDITVVTPVFDHGKLVFFVASRGHHAEIGGITPGSMPPFSKCIWEEGAAIRAFKLVERGVFQEEGIVQLLQSPCSDELAGYKIPGTRRIQDNLSDLHAQVAANQRGISLIKELINQYGLVTVQSYMNHVQKNAEVAVREMLKTAASRVAKENGSCVVEDEDYMDDGSVLHLKLTLDAIKGEATIDFEGTSPEVYGNWNAPEAVTTAAVIYCLRCLVDVDIPLNQGCLAPVKILIPKGSFLSPSDKAAVVGGNVLTSQRVTDVILMAFQACACSQGCMNNLTFGDDTFGYYETIGGGCGAGPTWDGTSGVQCHMTNTRMTDPEIFEQRYPVLLHTFSIRENSGGSGLHRGGDGLVREIEFRRSIVVSILSERRVHAPRGLKGGRDGARGANYLVRKDGRKIYLGGKNTVTVSAGDILQIFTPGGGGFGSP >Sspon.05G0017590-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:73458393:73459988:-1 gene:Sspon.05G0017590-1A transcript:Sspon.05G0017590-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DGCEGRPRGQGGVGGVRGGREPRRRRRRAARRRRRAAAAADGHHVDGERAHHHGGDRRRHPVAGVGDGAAGLGGGHRHDAALRRHQLLHLHAARRVLPLRRRRPGVGRRDGEAQLHVHGGRAQPPRRRQGQDLRRHPVRQPRRHRHRIHHRRLHQHEGDQRGRIASTSEGRRNACRSSSNPYMILFGAVEVVFSQIPDFDQIWWLSIVAAAMSFTYATIGLALGIAQTVANGGFKGSLTGVGVSDGVTPMQKAPPPSEATVMKKATMVSVATTTVFYMLCGCMGYAAFGDAAPDNLLTGFGFYEPFWLLDVANAAVVVHLVGAYQVFCQPLFAFVEKRAAARWPNSGFMTREVRLGPVVLGVFRLTWRTAFVCLTTIVAMMLPFFGDVVGLLGAVSFWPLSVYFPVEMYIAQRGVRRWSTGWVCLQTLSAACLLVSVAGAVGSTAGVIDAIKLHRPFSG >Sspon.05G0033540-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:34101994:34104565:-1 gene:Sspon.05G0033540-1C transcript:Sspon.05G0033540-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGIELTAAAPAPAVIKKQVRLAMAAHRSPGVGESVLEELHELCEAREAGTTFILGHLHTLELLHQETASTFVKLSEKRLFYTKTTETLTVKLQEQQEWLSMKNKITRKEPHVSLLFTLDNTANKLRESVMGRRGGTWDGVWRNGQFRFTSKNGRKTY >Sspon.02G0022070-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:67187785:67192066:-1 gene:Sspon.02G0022070-4D transcript:Sspon.02G0022070-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:reduced lateral root formation [Source:Projected from Arabidopsis thaliana (AT5G09680) TAIR;Acc:AT5G09680] MADEDSSDFTFCKVISAENDGQPESPKAIPVESMTLEDLRVDSANTSKNNGVETVDSEKDRSSNNVSFSTKDNNMKAPFTQTSGGAESNVPLQAKRSSKKPAARAKVPFEKGYSQMDWLKLTQTHPDLAGLKGQSNRRLISLEEVKQHKAGDCIWTVLKGRVYNIAPYMKFHPGGADMLMKAAGKDCTALFNKYHAWVNAEFLLEKCLVGFLDPNE >Sspon.02G0018510-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:62817918:62822876:1 gene:Sspon.02G0018510-2C transcript:Sspon.02G0018510-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDVPAPAPAPLVPDIDMTPRRRGEPSEPRSDSDWDAGSSREGSPDLLRRAPAVQISRASSSSSSSWLREIERDRVRLVREWVHMAARDRDDDAGPPPSPVPEHARRDAPRIRGRQARLELVMRMAADRQAELHRLSQHRAVSDFPHRNRIHALLRGRFLRNGGLPEERRPPSVAARELGQLRQRHPVSGLREEFRFRLENLVRGQADSQVDASSAHDVELSTNDRSELRPPETTHERHERTSENISLQQIDSTATTSGFESGSPSIAEVFCGSHSQAESQEDLEHERRDWQQFSHAVIGEESERSWHENAANISSREGTAVEEDNTDHLPEANEESTSVEHLPEGLEESISDGSLPEAQEDQHDSDHLPAEFEELHDNNHFQESHGEWSRDDRPIEVYDEWQSDDHLPEVNEEWHNDDESNDTADNWHDNNSDQPIDHDAALIRRANTFIPGDDDNVYSTELRELLSRRSVSNLLHSAFRENLDRLIRSYVERQGRGPLPWDLEGTTPAPPSPDQNQEQQRDDEDQELQNTVNRPPLVIPPPPMPPRQPLWHSELHRNNWIRQNIHRSSSDIEWEAINDLRADMARLQQGMSHMQRMLEACMDMQLELQRSVRQEVSAALNRFIGEQGGESKEIIDDGSKWINVRKGTCCICCETPIDSLLYRCGHMCTCSKCANELVRGGGKCPLCRAPIIEVIRAYFIM >Sspon.06G0005160-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:16153599:16155206:-1 gene:Sspon.06G0005160-2C transcript:Sspon.06G0005160-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LMPSPPSPISMELSSPALVVTSLVMVLAIVILRSLKGKSSRRVYRLPPGPRPWPVIGNFNLISALPHRSIHELSKKHGELMHLRFGSYSVVVASSAETAKLFLKTHDLLFLDRPRTAAGKHTTYDYADITWSPYGAYWRHARRICATQLFSPGRLASFEHVRTEEVRSLVRGLFAASASGRAVRLGKDHLSTFTMNVITRMVLGKRLFDVDGGENAAAEGPVSSLPEFMWMMDELMLLNGVLNIGDWIPWLDWLDLQGYVRRMKRIAERFDAFLEHVLDAHSQSQRRRRGRDGESFLARDMVDVLMQLADDPTFEVQIGRVGVKAFTQDLIVGGTEPVSATVEWAMSELLRKPSVFAMAAEELDRVVGRGRWVTEKDVAHLPYLQAVIKETMRVHPIAPLLPPHVAREDTSVGGCDIPKGTHVLINVWSIGRDPALWDAPEEFRPERFVGSKIDVKGQDFELLPFGSGRRMCPGYNLGLKEIQLSLANLLHGFTWRLPEGMAKEDLSMDELFGLSTTRKFPLEVVVQPRLASELYA >Sspon.08G0022780-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:52126324:52130774:1 gene:Sspon.08G0022780-2P transcript:Sspon.08G0022780-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSRPSRPWLPARRAAAVKLEVEELGADERGPLSKRAKAAQLMPPTPPQPQQDMYHNVLDEPSPLGLRLKKSPSLLDLIQMRLSQASNAGESSMDNSGSEPSKKKDNKSGASTAGERLKASNFPANILKIGSWEYVSRYEGDLVAKCYFAKHKLVWEVLEGGLKSKIEIQWSDITALKATCPENGQGTLDVALARPPLFFKETDPQPRKHTLWQATSDFTGGQASMHRRHFLQCPSTLLSKNFEKLIQCDQRLYQLSQQPEITLDSALFEPRCSIFEDPVESKCAGFTNLKDEHEALPGYSGSVSCAGSSISAKNENNDSMGMPAEYLPQTVGTGVGAVGVQAVGRNVNGAAPDFNIPHWWSQLKVPGLRPSMSVDDLVNHLGNCISEQITSGNPALANNEVPTKETLEGIAQYLLGDTQGPPVSASDERSLMARVDSLCCLIQKDTAPVAKPKPEPNDSDSIGAEASDGSTKNSAPHQQGNLQMPPIRRPCQERTRLGSC >Sspon.07G0007320-3C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7C:14852171:14852794:1 gene:Sspon.07G0007320-3C transcript:Sspon.07G0007320-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGYGTTLLLFQDVQPMVQSTLQQIEPAMDIMTEPTGKMLTCKVSAAVRLQAAARGLLARRLLQEMHQPMHEATLATVDLSSAERDLAPWDGHQQPRRPAAVFRREHGVFPRAATSNSAAAAVGESLPSLSPAGTHCLAPPHSATDRREDVSVGRYRD >Sspon.01G0024820-2D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1D:86457179:86457526:-1 gene:Sspon.01G0024820-2D transcript:Sspon.01G0024820-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIESVKCECCGLREDCTPDYIASVRAGFHGQWLCGLCCEAVRDEACRKKAHPGVEEAVRAHMAFCKMFKSNPAVRVADGMRQMLRRRSGDMSKPESAKKYSTSQVGDESSVTLY >Sspon.08G0014950-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:55082325:55091289:-1 gene:Sspon.08G0014950-2B transcript:Sspon.08G0014950-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEEAGRRGIPSLLNPSSASNEGQQEHIASDVTQLIGWTPLIELKRIAGKEGVDARIVGKVEAYQPLCSVKDRSALRMIEDAEERGLISPGVTTLVEPTSGNLGLGLVLIALRKGYRFVAVMPGLYSLDKQILLRYMGAELYLTDAKVEELKKELPNVHVLDQFKNKANPEAHIRWTGPEIWKDTAGKVDIFVAGSGSGGTVSGVGKYLKMQNPSIKIICVEPTESPVVSGGEPGKHKIQGIGPGFIPEVLDTSVIDEAVTVTTDEAMVHARRLAREEGLLVGISSGANLAACLKLIGWTPLIELKRIAGKDGVDARIIGKAEAYQPLCSVKDRCALRMIEDAEERGLISPGVTTLVEPTGGNMGLGLVLIAIRKGYKFVAVMPGQYSLDKQILLRYMGAELYLTNPTLGFPGVSAKVEQLKKELPNVHVLDQFSNKANTEAHIRWTGPEIWKDTAGKVDIFVAGSGTGGTVSGVGKYLKMQSPSIKIICVEPAESPVVSGGEPGKHKIQGIGPGFVPEVLEKSVIDEVVTVTTEEAMVNARRLAREEGLLVAEREENKGKMIVTMFPTGGERYINSDLFAAVREECIAMTF >Sspon.01G0037100-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1C:15109928:15112930:-1 gene:Sspon.01G0037100-2C transcript:Sspon.01G0037100-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Subtilisin-like protease SBT1.6 [Source:Projected from Arabidopsis thaliana (AT4G34980) UniProtKB/Swiss-Prot;Acc:O49607] MRIAISWDPTTTPRISAAVSQTSKVPGPRDLQAPAFMLSCSCILSCRYRYWPRYSIKINTPTRDTRDPAVLPRPTAHFEDGDGHPRSRYLVLVDSLAPSLPTTARKAHGGSHQRTLVLGYWQRGKCTKNEAHVERPAQRKKAKLLRPQQPHYPAINSPRTVAASTARPRHRHGAITLTVSHSLAHSPMATPRSPFLLLLGLALVALTAPALLRADGGGEEAWKTYIFRVDHRAKPSVFPTHAHWYASATFASAAPGAAPLQPLHVYGTVFHGFSASVPASRAEELRHHPAVLAAFEDRARPLHTTRSPQFMGLRARLGLWSLADYGSDVIVGVLDTGVWPERRSLSDRNLPPVPARWRGGCDAGPGFPASSCNRKLVGARFFSQGHGAHFGTAAVASNGSVEFMSPRDADGHGTHTATTAAGSVAYDASMEGYASGVAKGVAPKARVAAYKVCWKGAGCMDSDILAGFDRAVADGVDVISVSIGGGSGVTAPFYLDPIAIGAYGAVSKGVFVATSAGNEGPTSMSVTNLAPWLATVGAGTIDRNFPAEIVLGDGRRLSGVSLYSGKPLANSSLPLYYPGTTGGLSASLCMENSIDPSLVKGKIVVCDRGSSPRVAKGMVVKEAGGAAMVLTNGEANGEGLVGDAHVLPACAVGEKEGDAVKAYAANASNPTATISFGGTVVGVKPAPVVASFSARGPNGLVPEILKPDFIAPGVNILAAWTGATGPTGLEGDTRRTEFNILSGTSMACPHASGAAALLRSAHPGWSPAAIRSALMTTAIVTDNGGGPVGDEAEPGRGATPFDYGAGHITLGKALDPGLVYDAGEDDYVAFMCSIGYEPNAIEVVTHKPVTCPAATSRANGGSSSGSDLNYPSISVVLRGGNQSRTVTRTVTNVGAQASATYTARVQMASTGAGVTVSVKPQKLVFSPSAKKQSFAVTVTAPSAPPTAAPVYGFLVWSDGGGHDVRSPIV >Sspon.07G0007030-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7A:18452402:18454513:-1 gene:Sspon.07G0007030-1A transcript:Sspon.07G0007030-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNHELLRAAATGDKALLEQVLGLRSTTDNGGELEATQRGSRSCLKGVTSEGNTALHIAAGRGYLEHARIMCDLDASLVRARNNLRNTPLICAAKAGHVDVVCYLIEHALATATVAAAPAWDAGASSDEESMLRARNSEGATAMHEAIRNGHEAVLAKLMSADGGLAAVVDGKGFSPLYLAAALGRADMVDVLIAGSPPDGVKSPAYYAGPDGQTALHAAVLASEEMSKSLWCWEPTLAKKVDNSGNTALHHAASAGKIGAVKLLLQEDSSLAYIPDVDGLFPVHTAAKMGKIDIIEQLMETCPNSDELLDNRGRNVLHCAIEHKKEKVVQHMCKNPRFGRMMNARDIRGNTPLHLAVKHGCDRIAMLLVRDVKVNLSIMNNDGATPLDLAINELDHGYTYPMNPEVLIVQCLVWCGAHRSPRRRDEYLNKRTGVGGSEKELSKFTNLTQNRAIGSVLIATVTFAAPFTMPGTAADAAERPVFWAFILSNALAFLCSTVATCLLMYAGLTTVHPRYRSRYHVWSSNLLHVGVLLVIATFAFGVHLALSPPGTGIPGGNLNAAVCAMACVSVVFAHPGTWWPTVLAKPIWARLGLKGLLGVLLGPRPNPCQKLLLSRTPWLNLFKMLATLLILALILVTFLLDMSYLQPPAAQHRFSSNLLLQSQRQFCSYPT >Sspon.01G0025210-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:89124757:89129291:1 gene:Sspon.01G0025210-1A transcript:Sspon.01G0025210-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLMAGGVASLVAGAAADGAACRGSVAEGAAVVGVLLGTSSHSSRDITNPYAGKPPNPHLTRVCAAQGARINLLSIVRRSVHGLGPHWGSDPVLASPEEEPEEMNGTGQPPAATSEAPAAAVFKAAVRGLIGLPMDWLYDKLGIRSWCNKCRFKKVLYLIELHVCSWGS >Sspon.01G0034790-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:5477179:5478881:1 gene:Sspon.01G0034790-1B transcript:Sspon.01G0034790-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VQNSAAEAVIKKERFAVAGCRLRCRNLFPVPHSHWGPAEADHGRALLLHRARGRTLRLGLGRSLAVVLGRASGQGQEEETGRAGPLVWCGVTSGRGRRLGVGGENSAPISPCDHEGNVGLGDEDGDVYVDLSSRPGLFVGPAGLEAPTTNGHFRGPAAQLDTPSRPLQLQACAPGPNGGRSSVKLTKGGERGVYALVDLNQSEERFEIFRGALKQSGRLGEDTLRPLGRRQDVASSNVDASTGVGRATARTTTKRDVVMEEEEVVRRRRRGKDRDRQYSVSRLRFGYKDLRF >Sspon.05G0032440-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:27739922:27744386:-1 gene:Sspon.05G0032440-2D transcript:Sspon.05G0032440-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAREKASVLALVRYADARDRCLMALGALGSFGDGMMQPLSMLVLGDIVNSYGGAGTADSALSSSAVDKFALRLLYVAAAVGACAFLGEQSSKRTAERQASRMRRLYLEAVLRQQVEFFDTSGPSSQATTFRVISTISDDADTIQDFLAEKVSPSFLAPLHALHALPNVLANMTLFFGALVVSFVFAWRLALAGLPFTLLFVRMAAAAGEARAAYEEAGGVAEQAVSSIRTVVSYRGERQTLERFGHALARSTALGIKQGLIKGAVIGSLGIMYAVWSFMSWIGSILVIRFHAQGGHVFVASICIVLAGMSIMMALPNLRYFVDAATAAARMREMIDKLQPLEAEGKKGGTKESIRGQITFKDVHFSYPLTISEGATVGLVGGSGSGKSTIISLLQRFYIPDSGQILLDGSDIGTLNVEWLRSQIGLVSQEPVLFATSIRENILFGNEAASLKQVVVGQFGTQLSGGQKQRIAIARALIRDPKILLLDEATSALDSESERAVQDALDRASVGRTTVVVAHRLSTIRKADMIACGTHDELLLGTEAGEGGGVYARMALLQKASVAREERERVVEVEPESSRVSFRSRLPPKPGAIVQVGRTLRANGRRRAQRPRPRHGARQKAFAAPPAQDESAEWKQALLGCAGAIVFGAVLPLYSYSLGALPEVYFLGDDHLIRSKTRLYSLVFFGIAIICITANIVQHYNFAVMGERLTERVRGQMFAKILSFEIGWFDEDENSSAAVCARLATQATKVRSLVGDRMCLLVQAAANAALGFSLALAVSWRLAVVMMAMQPLIIASFYFKKVLMTAMSKKAKKAQVQGSQLASEAVVNHRTITAFSSQRRMLRLYEAAQEAPRKDNRVESWYSGFCLSLCQFSNTGSMALALWYGGRLMTKGLITPTHLFQVFFMIMTMGRVIADAGSLTSDLAKGGDAVRSILDTLDREPTIKDDGDEASEPKKKKRKQQKEMKGAIEFRNVHFSYPTRPEMSVLHGFCLEIGAGKTVALVGPSGSGKSTVIGLIERFYDVQKGSVLIDGRDIRSCSLAHLRSHIALVSQEPTLFSGTIRDNIMYGDEHATEDEVTSAAKLANAHEFISAMEGGYDARVGERGAQLSGGQRQRIALARAILKNAQVLLLDEATSALDTVSERLVQDAIDRMLQGRTCVVVAHRLSTVQKVDMIAVVKGGKVVERGRHGDLIAAGRGGIYYNLMKLQQGRSPCLSPK >Sspon.06G0018630-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:100452528:100457123:1 gene:Sspon.06G0018630-1A transcript:Sspon.06G0018630-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELVIGLLVSMVMAKASSYLLDQYNVMEGMEQQRKVLEHILTRILNIIQDAEEKGTSRDDVAAWLKDLKTAAYKANDVFDEFKYEALRREAKKKGHHNKQEQRLRVSCSQLATPLMGKKLRRIVQTIDDLVTEMNKFDFRHLQQAQPSRQWRQTDSIIIDSDMDIVSRSRDQEKMKIVSMLLDQASSDMDLMDADKWGKLKTCLKQGGKGSAVLTTTRDSKVAEIMAMGVNEAHNIEKLSDYYDALDISQYTSLRALHLPALCYSVQNLTGQIQHLSWTIASLCSDMVSTPFPALKQLRLHDLESLEWWVATEGKEDELTFPVLEEIDIENCPKLCSIPEAPELKVIRLDEGKALLSVEIVKSRHMSSLSNLELRVRDPGAPPQIEPNFCSSQKLELSFGDTEAAPLSIAANICCLEMVSKTCRPENSTLRCTDLLARRSFQKIGVLEALSIEICMKLIGPKQVTDNERTQTTEQVLPHLNKISIRGCASLVQLFILPPSLRIIVIEECPRQQQQQKEKRLETYTSLQSTASTGTPEQSLYPMIRCPCLVTLEIRDCANLVTLPNLPPSLDYLCICGCGKLCSVSGQLGGLERLHIINCNKLQSVHSIEDASSLETLFLSSCQCLASLGSATSEDPLDDAEHWRTAMASRVFRGVGSWVDRRRAGRVHRSFASALAGR >Sspon.08G0013430-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:54043795:54062142:1 gene:Sspon.08G0013430-4D transcript:Sspon.08G0013430-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKAAPAKKADAKAQALKVAKAVKSGAGKKKTKKIRTSVTFHRPKTLKKARDPKYPRISTTGRNKLDQYQILKYPLTTESAMKKIEDNNTLVFIVDLKADKKKIKAAVKKMYDIQAKKVNTLIRPDGKKKAYVKLTPDYDALDLAKSTFYKENAEAYQALGAKEIEKTKLKDRGDALQQIDKSHPLSIYCHMGPTDRRLGAEEEGDADGDFKATVDHMDTMQDHGKRRFRSEPPTVPAETKVGPVLVAVNPFNKVSLYGSEYIDAYRNKSMDSPHVEARSDLQLGGGEGHRVADLVRAEAADANDVGGERRVVGGAAHGLPAVSSRSGTWQERHVAGAARWSSSTTARRVELGGVWWRRRLVPCGHGRHSMACGGGRRWQICAEDDGKVGPTTARRGGRLVGVRGG >Sspon.08G0008160-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:859:1409:-1 gene:Sspon.08G0008160-2B transcript:Sspon.08G0008160-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATADHEATPTDDSSGGRLLVWDCGSVLYDSYELTAFKRQLDAAVLASSRSLSMPHLTASVATPSPPPTAAEAQHHQQARRRRRRRLLPALLRRLFSKVLRLRLFPATAGARGARYLTGDDGTGSPWSGALTSIPEEQSSSSPEMAESPRKLRKTQSERFIGSKTASSMVQFEVVL >Sspon.06G0004390-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:11347291:11349292:1 gene:Sspon.06G0004390-3D transcript:Sspon.06G0004390-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMRTALTSLPARLRDPSSAAATGGLRLLSDGKGRVLSEEERAKESVYIQKMEKERLEKLKKRLEQEKSGADQGKPADADKKAEGSN >Sspon.03G0005570-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:10594242:10599846:1 gene:Sspon.03G0005570-2P transcript:Sspon.03G0005570-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGVRADGGGGGGGAGGGGPFSSSTADNSRFDAAQYSFFGKAPMQGAELGGLLDGGIDGDGGGFGGPDDGGYQFSSTGEEIDCMSNLSEIDDLASTFAMLNRSISGTRNPGVIGDRRSISRESSLTADWAQDADFSNWVDQDILDGDESLDSKQWCSQLQSSPHFGESKPLSRTSSYPNQPLQHRSSEPILLHRSTSFTSYPPPGGSPALPYPAQGLTRHSSIPSPGAGHHIGSPSSSLSGSPYHMPGLSHGLPYGRSMSYTTGDLSMNNVLQNEWSNQAGPLAFDHFNRRPSLLQPQLSLPSSSMSSLLFSQPHQRLPPGQPSLQNYINMQPHLFYHHQSPDVPSPRDKRSRSGRGKHNVRFSQQPSDAGSQNSESSGIKFRSKYMSSEEIESILKMQHSANHSNDPYIDDYYHQACKAKRSVTSQKSNFCPMSIKDFPSKSRSGVDQHSYLQVDANGGISFSAIRRPRPLLEADLPGSGDGFYDHKSSTRPLEKEPMLAARITVEDSLRLLLDVDDIDRFLQSSQPQDSSFQLKRRRQVLLEGLATSLQLVDPFGPNKPGHSGGLAPKDDLIFLRIVSLPKGRKLLARYLRLLVSGSELTRIVCMAVFRHLRSLFGGLSSDSSAAETTIGLAKTVSSCVHHMELSALSACLAAVVCSSQQPPLRPLGSAAGDGASLVIKSVLDRATELLADPHSAANYSRSARSLWQASFDAFFGLLTKYCDSKYESIMQRFAMQGSSSMGGPETTKAVSREMPVELLRASLPHTNEQHRQTLLDFAQKSTHVSGFSPNASRGLINSESVPG >Sspon.01G0027440-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:99094917:99098641:1 gene:Sspon.01G0027440-2B transcript:Sspon.01G0027440-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAMSSLRYGDSLSVVGISAATAVLCEAISWLLIYRTATYNSLRASIERHSRKLDAMKSGSSSSASSGAAASSAQPASSRAKKMDRVETSLKDAARELSLAKLKSGAVVAAVLFVVFGLLNSLFEGRTVAKLPFAPIPLVQRMSHRGLPGNDPTDCSMVFLYFLCSMSIRTNLQKLLGFAPPRAAAAAGGGLFPMPDPKTLVPPFAFGALHAHLYVWPHRCSAALKAASSTPWCVRSVHTSSYLASTRRDETSVTSAAALPTWKMRLAKYQFRLLFLQKGHRALLMAEEVGEQRGREGEVWFRG >Sspon.02G0029130-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:114531092:114533005:-1 gene:Sspon.02G0029130-2C transcript:Sspon.02G0029130-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKSMLGDLDSLPEEDKLRMAAMVDQLQIRDSLRMYNSLVERCFTDCVDTFRRKTLDKQEESCVGRCAEKFLKHSMRVGMRFAELNQGVATPD >Sspon.03G0001840-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3A:4445414:4447374:-1 gene:Sspon.03G0001840-1A transcript:Sspon.03G0001840-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDPVIVASGQTYERVFIRKWLDLGYNVCPKTRQTLGHNNLIPNYTVKQLIENWSEIHGVVLPDPVKLLSLSFSISLKPINDRTSDKSPSSENSPRTNKFGSPDHMISSDDSCHPNLLHENSDSDDQISKASSSEDTDDSETDSSKLLIAATKANKLICNATIDGSEALKQSRKDGFHASDVEQHLQSNGISSDIGTSASSGSNHLEVVEKNKGEQISNNNIASETTRNGPMATCSKPNWLPRLGGVRSRNQLVWQQQSDKVVPMESRSDFASADNEVRKLIEDLKNECTDLQRAAIGELLVLSRHSMESRIAIANCGAIPFLVNLLYSADPSMQENAVTVSLNLSLDDNNKIAIASADAIKPLIHVLETGNPEARANSAATLFSLSVNEDNKAKIGCSGAVKPLVDLLQDGSAQGKKDAATTLFNLLIFHENKARIVEAGAVKHLVELMDPAAGMVDKAVAVLAILATVQEGRNGIAQAGGIPVLVEVVELGSARAKEHAAAALLQLCTNNSRFCSLVLQEGAMPPLVALSQSGTARAREKAQVLLSYFRNQRQVGKVVRC >Sspon.03G0002880-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:7217872:7220086:-1 gene:Sspon.03G0002880-1A transcript:Sspon.03G0002880-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSYLLPCYVVITALVLFFSVAVTEGAIREYQFDVQMTNVTRLCSSKSIVTVNGQFPGPTVLAREGDFVVIRVVNHVPYNMSIHWHGIRQLRSGWADGPAYITQCPIQSGQSYVYKFTVTGQRGTLWWHAHISWLRATVYGAIVILPKPGVPYPFPAPYKEVPVIFGEWWTADTEAVISQALQTGGGPNVSDAFTINGLPGPLYNCSAKDTFKLKVKPGKTYMLRIINAALNDELFFSIAGHPLTVVDVDAVYIKPITVETILITPGQTTNVLLTTKPSYPGATYYMLAAPYSTARPGTFDNTTVAGILEYEDPTSLPPHAAFNKNLPVLKPTLPQINDTSLVANYTAKLRSLATAEYPAAVPQEVDRRFFFTVGLGTHPCAVNGTCQGPTNSSRFAASVNNVSFVLPTTALLQSHFAGKSKGVYSSNFPAAPLVPFNYTGTPPNNTNVANGTKLVVLPYGASVELVMQGTSILGAESHPLHLHGFNFFVVGQGFGNFDPAKDPAKYNLVDPVERNTVGVPAAGWVAIRFRADNPGVWFMHCHLEVHVSWGLKMAWLVLDGDRPNEKLLPPPSDLPRC >Sspon.01G0056790-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:112840275:112841924:1 gene:Sspon.01G0056790-2D transcript:Sspon.01G0056790-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATFLGSSPALLARPIAKPHVSCAQTPRPPSAQNQPPSSEQPQPQTQQQSVQAQPQQAAAPARPKRAVGADSTDWVASSLTRRFGIGAGLAWAGFLAVGVVSEQLKTRFEVAQQQANTKDVEQEQEVVLPNGIKYYEMRVGGGDVPRTGDLVVIDLQGRVAGSGGEAFVDTFGDGKRPLALVMGSRPYTRGMCEGVEYVLRSMRAGGKRRVVVPPGLAFGDDGADFGEEHVQIPPGATLEYVVQVDKVSIAPA >Sspon.03G0019630-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:47264164:47278540:-1 gene:Sspon.03G0019630-3D transcript:Sspon.03G0019630-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDRIAGEEGITMNTIQSKSLLGIGSIGEVPVLVVKPQSYMNYSGEAIYDDMSLPNGVLRLQKKGGHGRHNGLQNVIENLDGRREFPRLSIGIGNPPGKMDPRAFLLQKFSSEERVQIDAALEQGVDAVRTLVLKGFSGSTERFNLVQKYKFHRV >Sspon.08G0006700-3P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:18159112:18162788:1 gene:Sspon.08G0006700-3P transcript:Sspon.08G0006700-3P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARRGRGDGANGVVRPRPRDRGVGGGGSMAGRVAVLAFCVAGIWSAYIYQGVLQETLSTKRFGPEARRFEHLAFLNFAQNVICFVWSFIMIKLWSSGSSSDGRAPLWKYWGVSITNTIGPTMGIEALKYISYPAQVLAKSSKMIPVMLMGTLLYGVKYTLPEYFCTFLVAGGVSSFALLKTSSKTIKKLANPNAPLGYTLCFLNLAFDGYTNSTQDLIKSRYPKTNPWDIMLGMNLWGTIYNAVIMFVAPLLFSNWPYANGFEALRFCQENPEVAWDIFLFCLCGAVGQNFIFLTISRFGSLTNTTITTTRKFMSIVVSSVISGNPLSLKQWGSVVMVFLGLSIQIYLKWKRKKGRDHKE >Sspon.05G0025760-2D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:5D:34069067:34071988:1 gene:Sspon.05G0025760-2D transcript:Sspon.05G0025760-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRKIAEELQLDLETMAMFDEQDEEDDFNGLDHGSRDVILSVSQVILRTLIYAKFMVIFLNGSDDEIDMNRFGINPDLLDLVMVWTFKKRSLTIHDTDHHREILDKLRYTNIFIDTYVSNSLLDSSSFVALLHEDAADRVALHPCMQGIDLTVVTECCLYELFLQHSFHRATGFDWVAQAPNYWMCDGIIKGDGARGISDKLHQEIHWKIDSSQVGSILQEMKGHPKTPFLIVEDDTHLLIKRPYRWISVTSENLVILNKDSNKALLERVSSLFVAPGKSDDPKTFPNGFLKHCNNLGVLVLSHCAFSFVSPPFLQCHKLRFLGLAHCAHENTSEGEKNTSWTWLQNLWVLDLRYTEWDDILSEEKMHNMANLMELNIEGFMCWQLATRLHGKLPYLRRLRIIKPMHKADTSIDSSSSLSMDKTEMEILDLSGNRDMKNLSVSSSTARSLQMLILDGCDGLENVVVPDGLPSSLRSFSFDGYGPATRWTSSFELPPKSSKQQKHSSNAEKNVVKTTKISLQGCTQLENLFVRGLPNLEELDLSGSAIKALDFETMVVDVLGLKRLILLGCEYLRAIKWGSFDSVQQLELLCVDTRPWGAHGSTRPCLAEHKPFGFQLHATLADARLSRSFCSLLGHYDYQRVENDIYFNIHVTSSSGSGGVVQLETTGEEMTGTSSQQHQVQVTMYSDAFSKIGVAPMLFFPRPPTQPLDRHIEISDGSRGLDSEMERRYPIENNSLAVLMILYASSLHVHDAYTSAGMAAGYLKWCRVERCPNLDTVFPEEQWRDNNNLQTIWASHLLMARCIWSKGFNRYPGSFKNLQHLHLQSCPRLQFVLPVWVAFFPSLGTLHIIHCGDLTHVFVLDNKYPTEIVFQGLLFPKLTTIHLHDLPKLQQICEVKMLAPALETIRIRGCFGLRRLPTVAVREPGVKKPTVEIEKDVWDSLEWDELDAGHHPDLFEPPVHSRYYRRSRLLRGT >Sspon.08G0018680-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:10615243:10620490:-1 gene:Sspon.08G0018680-2C transcript:Sspon.08G0018680-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding IGHGDEAEHAERRCGAGEGGGGGPCHRGHRGAGEGDHLHCQEGGGGGGSQQGQDQRGRRHRETVLVVVAEEVARVLPGRPKEQGAVVFRNSSPPRDLHRVFVFVAEHADRGCRGGGEVGGSGPWRRGHGGAGEGDHLHSQEGGGGGGQDQEDESGRRRRLRRRETVVVVAEEVARVLPARPEEQADVVVCRNSPPPRDLHRVFLVVV >Sspon.04G0010780-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:32714376:32717512:1 gene:Sspon.04G0010780-1P transcript:Sspon.04G0010780-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFCLAAPAAAPPTAGPRMLRAASPLRPPSPCRGLHYYAVRGTTGRGEAGCGRRPLVLRRCSPAGESRASGDGGLSSFCIIEGPETIEDFVQMQSQEIEDNIKSRRNKIFLLMEEVRRLRVQQRIRTSESKDANTEENEMPEIPSTIPFMPDASPKTMKQLYMTSFSVISGIIIFGGLVAPVVSTRVTLWLNSLLFLPEPLLTIRRCLWMQLELKLGLGGTSYEDFIRTLHLPLQLSQVDPIVASFSGGAVGVISALMLVEVRNVRQQEKKRCTYCHGTGYLPCARCSASGMLLNTKHFSLLGHNMWSMKGRCQNCSGAGKVMCPTCLCTGMAMASEHDPRIDPFD >Sspon.02G0018060-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:56442395:56444549:1 gene:Sspon.02G0018060-1A transcript:Sspon.02G0018060-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ASQLPLPTTTAPARRRRRAPAPPTAAPRPPPRALAAILRSRVIACLRAQDGETALQAAHAAVRGGVTVLEVVMTTPGVLEVIEDLCRSYPSLTFGVGTVLNAADARKAIGAGAQFLMSPATVLDILHDLKESNVLYIPGVLTPTEVLSACSAGAKVVKV >Sspon.03G0001620-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:15316386:15321461:-1 gene:Sspon.03G0001620-3C transcript:Sspon.03G0001620-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPKSKAVAAAAAEPVAVEDLFTSLHRHIEAGAFEQAAKVADQVLKAAPGDEDAVRCKMVAYIKADEIDKALAAIRAAERLPIDLSYYKAYCCYRQNKLQEALELLRGQEETSAVLQLESQIFYRLGRMNDCMSSYEKLQKFKIDSMDLKINIIASLVAAGRASEVQAAMKAHKVDLTTRALRDTRSFELAYNSACSLIENKKYSEAKEQLDLAKRIGKEELMVEDYGDAEIEYELAPVSAQLAYVQQLQGQSQEAVQTYVNMINGQSADPSSLAVATTNLISLKGTKDTADSLKKLDRLIGTSTAPNQLHLVENLDFKLSPRQKEALYSARVLLLLHANKTDQAHELVSGLLGMFPDSVFPVLLQAAVHVKEKKVQKAEDVLSQYTEKHPENSKGLLLALAQIAANANHFQLAADSLSRIPDIQHMPATVATLVALKERLGDSNAAASVLDSAIHWWKNSMTEDNKLDMLTREAATFKLSHGRDEEACLLYEELVKSHGSVEALAGLVTTSARTNLEKAEQYEKKLKPLPDLKGVNVESLEKTSGARHVEGPQDMKVDVPEEVKKQKAKKRKRKPRYPKGFDPANPGPPPDPERWLPRRERSSYRPKRKDKRAQVRGAQGAVSRETSATNAGGSSKGSQTTSSSKTPAANTDQPKTSNKSRKKKSRS >Sspon.08G0011160-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:46317437:46318501:1 gene:Sspon.08G0011160-3C transcript:Sspon.08G0011160-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKIEESSLWPYWTGAWPDSHLLEEEALLSGLSLPSLHFHPSCSTITSGNILQGMLLFFPVCELDAIFEDDVLRHWDDMEQQSDNKVQIEGNERLPLLCCYTEEEKEVSSNTKVVVRAGQQVRAEEPVLTFELVSQYFYMPIMQAARELNVGLTLLKKRCRELGIPRWPHRKMKSLQSLIKNVQVLQEAGKATDEEQLRAVVEMLQQEKQLLEQRPYVQLDEKTKRLRQACFKANYKKRRLLALKAGEAPR >Sspon.06G0015650-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:86005179:86010687:1 gene:Sspon.06G0015650-1A transcript:Sspon.06G0015650-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKRKRVLELRDRLDRTLAMPDLAEEASLRALVKKQILASSLSGSDQGDVDLIAETRAREVSEFLEMLNTSRDGRSSKVRGVPQKEWKVKQDTDQLRVMYREGPDGTPFHTLLAEGFADGPIDVCTCVSWESALYKKWFPQYNLPTFRIDQSGCLKKVRIERVKVPWPVSEREALLHYFELEYLKEDVVIVIMKTLSDLDGIDVRTHGFNRDGIPEAGDTVRIDVFGGFVLQRITKERSFFRAIANMDIKLDFVPPWLINFISRQLIGSGHKLYQKAVSTVATHDDDYRKALRGPLYVRIREYQDSDDKAKVTAAEENATEVPPDNATIQNRLSLTNTISNSEIVEEETEQNTSINVDNLPTSHPYEPVEQAQQVENKPYISPEVERALCILDTAIAVLKGDKAGNVTRLQNLLSYDSTLEESTAGSRTSQTNILNADNLMNRHPITTAPQDSRDIRQAHSLPNEKINDSAEDAIDKNFLKNSTASTVTKTMSMTLRSAIRVHGEESLDTNGFHQNGLGNNKESKPARKTKRWLCCLTPTTSG >Sspon.02G0039850-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2B:62041151:62041417:1 gene:Sspon.02G0039850-1B transcript:Sspon.02G0039850-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTASSTSSEDTLALISLHLYPYNIPALSAASVVYSLPTRLGSHSGAPTGSSLAVCRFHPPLYHLGFLSIVAARATPQEFARKEPVA >Sspon.08G0017570-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:2458140:2469676:-1 gene:Sspon.08G0017570-1P transcript:Sspon.08G0017570-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRSPVATCLWLALAAAILALAQAHAAEADADLTKITSKVFFDIQIDGKPEGRIVIGLFGKTVPKTAGEKGIGAYGEPLWYKGSTFHRIIPGFMIQGGDFVNHNGTGVDSIYGKDVWPDENFKLNHAEAGTVSMANYGKDTNAGQFAITTVEGSRLPKKLDGVHVVFGKVVSGMDVVKKIEAQGQPSGRIVLGLFGDTVPKTTENFRALCTGDKGIAKSGKPLWYKGSTFHRIIPGFMIQGGDFTNGNGTGAESIYGSTIFPDENFKLNHTEAGTLSMANYGPDSNGSQFFITTKLDGKHVVFGKVVEGMDVVRKIEAEGQATGVPKAKVVINSLDLIGGGGGACGSEMAPSGWRRSAAARAAWRPATVCLWLALAAAALTLAQAKKDLTEVTHKVYFDIEIDGKPAGRIVMGLFGKTVPKTAENFRALCTGEKGVGKSGKALHYKGSTFHRIIPSFMLQGGDFTLGDGRGGESIYGLKFADENFKIKHTGPGLLSMANAGRDTNGSQFFITTVTTSWLDGKHVVFGKVLSGMDVVYKVEAEGRQSGQPKSKVVIADSGELPM >Sspon.08G0028950-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8D:19297621:19307003:-1 gene:Sspon.08G0028950-1D transcript:Sspon.08G0028950-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDTRLANAMKKMDIGNIRPREVIEVEEDKDQVQVNGSHDQNQASSLSQMQDQQVASTSSQPNDQSIASNQVLRLASFCEHFSFVSFIEPKKIDEALKDIDRVNAMHEELNNFKRNQIWKLVERPKGHNVIGTKWVFRNKQDQDGIVGRNKTRLVAQDVKSAFLNGYINEEIYVEQPPGFEYYKKPNHVYKLKKALYGLKQAPRAWYERLRDFQLSKGFIMGKVDTTLFTKKIGKNLLVIQIYVDDIIFGSTNQDYCDEFGKVMANKFEMSMIEELSYFLGLQIKQLKNGTFVSQGKYTKDMLKKFGMEDAKGISTPMGTNGSLDSDARAKFELIGYSDSDYAGCKVERRSTSGTCQLLERSLISWSSKKQNSVVLPTVEVKYIAIGSCRTQILWMKATLNDFGIKFKNMPLLCEMRVP >Sspon.03G0011300-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:5234117:5236859:1 gene:Sspon.03G0011300-4D transcript:Sspon.03G0011300-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPARSSIDSSSFLGHSSSGSLQEVTRLGNEWYKKGKYGEALRHYERAVALCPESAACRGNRAAALIGLGRLADALRECEEAVRLDPVSGRAHSRVAGVCLRLGMIDKARRHFTQAGHLQQSDPAEWQKLQEVEVHLGRCTDARKIGDWKSTLREADAAIAAGADSSQLLLALRSEALLRLHKLEEAESTLASLLKLDGALPSSLTAAKLSGMLAESYVHIVRAQVDMALGRFDTAVAAAEKARDLDPGNAEIGMVLNNVRLVAKAREQGNDLFKATKFSDASMAYGEGLKYDPSNSVLHCNRAACWSKLEKWEKAVDDCNEALRIQPNYTKALLRRAASYAKLERWVDCVRDYEVLRKELPSDKEVAEALFHAQIALKATRGEDVSNMKFGGEVEIVSNVEQLRAAISSPGVSVVYFMSAMNQQCTQITPSVNTLCTECPSVNFLKVNIDSSPMVAKAENVRIVPTFKIYKGGVKVKEMICPSLHVLRYSVRHYSVSSS >Sspon.01G0019070-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:71463269:71467616:1 gene:Sspon.01G0019070-1A transcript:Sspon.01G0019070-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MILNRKYRTLVSSWIEPLQEEADVGFEIDYVARYIEEGGLTGERKRWVPRRGKTPLDPDEFGFAYSNPIETSFKQRCFEELKLYHRKLLITLRNEGPGILGDVSEEDVRRVIERLKKLVVGPKKNVVKPKAASKMVVSELKTELEAQGLPTDGTRQVLYQRVQKARRINRSRGIPLWVPPVEDEEELLKDMEKTPVSSKKLKRMPEIDDDEDWFPEDPIEAFKVMREERIPLYDEVVLLCLDLEEIDAAIAVVAEMETCGIKVPDETLDKVLASKRSGNSALPPPSEE >Sspon.03G0015370-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3B:57889865:57890322:1 gene:Sspon.03G0015370-2B transcript:Sspon.03G0015370-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPAPAPAPAATPGQDYLPAWRRAYDRLVKMLRQAYAQAEELSVEREHLITELQLLQSGLREREEISQARLQQICKHEELRKRVVEAETAARLGGKELQIHCYQKLA >Sspon.06G0027760-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:61928566:61951871:1 gene:Sspon.06G0027760-2C transcript:Sspon.06G0027760-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELAASLVVAPLVSLLKEKVTNSLLDQYNVMEGMERQHRILKRKLPAILDVMADAEKQASRRGGVKAWLEELKTVAYEANDVFDEFEYEALRRRAKKDGHITKLGMAGVKLFPTHNRVAFRSRMANKLSSIVEAIKVVALLTLALGLLPRVDNFAHIGGLVSGFLLGFVFFIRPTQQRRVSAATAEAPQPGGQPTTTAAAQPAVPVKRKHKTYQYVLWLAAAVLLVVGFTVATVLLFRGYNANEHCPWTVVTKRWRCDAPPTTCSGTLQENTLTVVCAGGKNQTYVVASSVDASQDRINDLCSQLCTSPAAMNPWTVSLVVAPLVSLLKEKVSSSLLDLYNVMEGVEKHHKTLVLWLPAILDVIADAEKQASRRGVKKWLERLKTAVYEANEIFDDFEYEALRRRAKKNGHITELGIIAGVKLFPTHNRVAFHIRMGYRLRRIVDTFKDLVDEMNTFGFNKLE >Sspon.05G0026260-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:31770823:31784672:1 gene:Sspon.05G0026260-2C transcript:Sspon.05G0026260-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSPLRRFRGHHHHHRERKDHRPPPAKLDELTYATQEMEDMRNCYDSLLSAAAATTNSVYEFAEAMDEMGTCLLEKAALNYDDDESGKVLMMLGKAQFELQKFVDGYRTNIINTITNPSESLLKELQVVEEMKDQCDQKRAEYEAMRAAFGEKGGDRGTPKTNHSHRNNCKLQEAALFIFRLKSLKQGQFLSILTQAARHHAAQLSFFRKGLKHLESLEPCVKAVAEKQHIDYHFSGLDDDSNIDDYSSYQDNHSDGSELSFDYEINDRDKDLLTSRSPMDENAEEIKAL >Sspon.07G0004120-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:9184756:9189390:-1 gene:Sspon.07G0004120-2B transcript:Sspon.07G0004120-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHFGNAMPRSPSTNRNGEGWRVAPSIAWHAAGLELWTYTVHDTWKQEMSCKLPVSWPRSQAHCPVEGNGKIENGVSSSQNPENLEHPVLLSTSQPVPNNLGIRKNYKRAANRGKKGSQGLTGQAYTLRSSDNDVRVLHSTSSSKTTPTEHVQTPVQPAAKRRKRSRASNKSSTDEFSQIRKRVRYILNRMNYEQSLIEAYASEGWKNQSLDKIRPEKELERAKSEILRCKLRIREVFQNIDSLLSKGKIDESLFDSEGEISCEDGSDLSIEDSWEKVFPEAAAMANGSKQDDAFDLPSDDSDDNDFDPNMPEEHVVSKEEGSSEDEEDEDGGSDSDDSDFLTCSDDSEPLMDKKKVDDLGLPSEDSEDDDYDPAGPDSDKDVEKKSSSDESDFSSDSDDFCKEIAKSGGHDEVSSPPLPDAKVGDMEKSTAQAKTASSADDPMETEIDQSVVLPVSRRRQAERLDYKKLYDEAYGEASSDSSDDEEWSGKNTPIKSNEEGEADSPAGKGSSVVHHNNDLTTQSTKKSLHSLHGSVDEKHGDLTSNGSNSTARKGHFGPVVNQN >Sspon.04G0006090-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:16732870:16735140:1 gene:Sspon.04G0006090-3C transcript:Sspon.04G0006090-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MACLEQFPMATDETAVADRTGGGTAVDHRKDPLDPRDMDLSGEEHVPKARKPYTITKQREKWTEDEHRRFLEALQLHGRAWRRIQEHIGTKTAVQIRSHAQKFFTKVVRESSSGTGSNASAGAAPAIQIPPPRPKRKPAHPYPRKMDGAAAKKPAPELKQLEKPPPLRDQDEEGSPTSVLTSAHTVLRTDGLGSVFANRSSASRSPALSAAGSDERGSGGGSLASSVDGEDACASPRTRAPYTTKVLGDANEVGSEAPVLKLFGKKVVVEDLRTESSPASAVPATRNGNPIGAAGASPWNPWPGSVQVQQQLMYLVPRPDGFASQPVVPWFGYNGSLPCAVFYPQAVASSAQGHQQQQQQASEPLDHRRAQREGSLTGSNMAAQNSDTAESRHQGPGQENASDGYAALRRVAAVPRLTKCASSASFSGRGFVPYKRCAAESEAPRPVAPGDEADGELTRLCL >Sspon.01G0008610-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:29128717:29131057:1 gene:Sspon.01G0008610-2B transcript:Sspon.01G0008610-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMGLPGLRCCRLPARGSLPPLGPALARRPLPRTSSALRYSSLQAQAGDSIGEEVLRMFLEERQLHGDFVTKVSDMVWRRNGANVDAAEATTGQGSAVDVAQPEDVREDVVDEGMLRLAATRDWVSSDSSPPLSKRLSAKDRQNESDKRKELNLLKYEALKDELLLLTTGIGAACSLYCLLVFSLETAVSYAFGVGFSCLYLQLLYRHADNLSKEDIPEVFLRKKVKKLGITSEDLKNTIEKTLGGAGVALSSPRLVIPAVIFGLSALSDHFQNSFFSFEVLPGMMGFLAYKAAALVQVYRDNEDLRLILPEEEDAD >Sspon.03G0014890-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:47732417:47736355:-1 gene:Sspon.03G0014890-1T transcript:Sspon.03G0014890-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGQTPMGLAAAAAAAVRPCRRRLLSSASAAGASKTAAGTATRLFPRLPHPHHPPYGRRLPFLVSAASQSQASQAGPATPVPVPVPVPSDPRAAVSGNLPFFDRVLFPDSFPLETPPAKEEEDAAAVQTDEAVEPAAPLREETETEREAWRLLRRAVVSYCGEPVGTVAAEDPECTETLNYDQVFIRDFVPSALAFLMRGETEIVRNFLLHTLQLQSWEKTVDCYSPGQGLMPASFKIRTVPLDENNEGFEEVLDPDFGEAAIGRVAPVDSGLWWIILLRAYCKITGDNALLERVDVQTGIQLILSLCLADGFDMFPTLLVTDGSCMIDRRMGIHGHPLEIQALFYSALRCSREMLVVNNGSKNLIRAINTRLSALSFHIREYYWVDMKKINEIYRYKTEEYSHDATNKFNIYPEQIPSWLVDWIPEKGGYLIGNLQPAHMDFRFFSLGNLWAIASSLTTPKQAEGILSLIEEKWDDLVANMPLKICYPAMEDDEWRIITGSDPKNTPWSYHNGGSWPTLLWQFTLACIKMGRPELARRAIAVAEERLSDDKWPEYYDTRSGRFIGKQSRSYQTWTIAGFLTSKMLLENPELASILTCDEDLELLEGCACCLSTKRTRCSRRAAKSHTG >Sspon.01G0059090-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:39350960:39355323:1 gene:Sspon.01G0059090-1D transcript:Sspon.01G0059090-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSSPTTTSHRDLSIKPRPPAAMFTGAPPPGVFPMSPWTTPSGGSPGIAGWDEAALARSFSSMGLTPPVGPEWIADSGATYHTTPDAGILSSVHSPSSSLPSSIMVANGSCLPVTSVGTAGAHGSFRLLDVLVAPSMVHNLFSIRRFTADNSCSVEFDSSGLTVKDLASRRPLLRCDSTGPLYTLRFPAATSSSSPSVLSAAFAASTSSTTWHRCLGHPGRDALMQLSRSSGLPCTRAPDEHLCHACQLGQHVRLPFYSSSHAARIFDLVHCDLWTSPVISISGYKYYLVVVDDFSHYSWTFPLRAKSDTFSTLHFFTWVSTQFGLTIKAVQCDNGREFDNSTSRAFFLSHGVQLRMSCPYTSSHNGKVERMIRTTNDTVRTLLFQTSLPARFWAEGLHTSTYLLNRLPSAACPAPTPHHALFGTPPRYDHLRVFGCACYPNTAATSSHKLNPRSTLCVFLGYSPDQKGYRCFDLTSRRILISRHVVFDESVFPFSSTPTTPSALDLPPFFPLTWWSSYLFRCLLQVLLHHPPCQAPVPASPGTSSSGAAPISPLGAVPGTSSPATAPGGPCRSPSPGAAPAPPSRFAAPVQVYQRRPRPPPLAVPSPPGTSTPPPQSPLARDAPPVYQPPLFHRHPRHVHPMVTRHAAGTLPPQALAASTGDVPISPVPSSVRDALLDPHWRRAMEEEYAALLANQTWELVPRPPGSNVVTGKWIWTHKRRADGTLERYKARWVLRGFTQRPEVDYDETFSPVVKPATVRTVLSLALVRSLYGLKQTPWAWNHWFAAFLLTLGFVEAKSDTSLFIYHHGADTAYLLLYIDDIVLTASSEPLLRRIIAARQQEFAMKDLGVLHHFLGVIVEPHSAGLLHQRQYTRDILERAGVTDCNPCSTLVDTQGKLSEAEGPPTMYLDCVLTRTTFSRETLGRTEGSTGRHSTPHLRQKPPRPGLRPCRWTPPSDPEPDWCIPYLDHLIRGDLPSNKIEVRRIARRAKTFVIFGDSRELYRRSPTGVLQRCITNEEGRSLLNNLHSGACGHHAAPRTLVGNALRQGFYWPTAISDAIKLTTGGFTQLLVAIDKFFKWIEARPITSIRSEQAVLFFTDIIHHFGVPNCIITDNGTQFTDINSLRRYHDRHVRRRDLNVGDLVLHRSQNTKERHKLTPPWERPYIIAEVLKPGTYKLSNEKGEIFTNAWNIEHLRRFLP >Sspon.03G0015210-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:72095616:72102556:1 gene:Sspon.03G0015210-2B transcript:Sspon.03G0015210-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:TATA box-binding protein-associated factor RNA polymerase I subunit B [Source:Projected from Arabidopsis thaliana (AT2G02955) UniProtKB/Swiss-Prot;Acc:Q5XVF0] MDYNLGGTSPDPYGGGGGSIHLVCDHCGTSDNYNTDDADDGQFTCRTCSAVHTTQATAADPHDFPVTGNISVRRVATQPTPKLGARTPAPYPRTPQAAPVPAAAAFDDFTELSEPRDFAPGSGTWGEPEDLAVRVRWRYVRGLQVILQRQLEVLVERHQVGAFVCAVAGVVWVRWVAASRVFDGIWVPQVLKDHKAAGRENCSGSRDNKKPDEVKYESDDDMLLQRKDRRKVEFAFLRSLRMMLPVYSTLAVCFLACHIAREAILPSDIYRWAMEGNIPYVAAFTEVDRFLGSSFQDCPLDARQLFRPVRVIGAWQLEAAAGSIAQKVGLRLPSVNFYAIAQRCLKDLLLPIDRILPHACRIYEWAMPAELWLSSNPARVPTRVCVMAILIVALRLLYNINGQGIWEKICEEGRNPSESHHDTNSSTSRKLEASNSEEFGTRELLCAIAAAYDKINTTHDYSSDLRSYLKYCKEVIFTGITFSDEENHLIEIFWDMYKAKEDDNPKDHVKSQSHSVEDIPITDGVKKRYRDGAFVEASSFSASSGHDAIQMLKSEMQDHGFHYMAPRKPRKSDGYLRYRRKRLSGGFIYVAHADYYMLLRAFAKLAEIDIRIMHISVLKLERRLTCIEERIERSLNTLQNFSTGTGDELRSVSD >Sspon.02G0024840-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:80323467:80331229:1 gene:Sspon.02G0024840-3D transcript:Sspon.02G0024840-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPRPPPSLIDDVMAEIFLRLPPDEPEHLFRAALVCKPWLRILCDPGFLRRYRALHGAPPLLGLLQAPSPRGKIPPRFASTTSMPDFPHPEVLDCHHRRVLDCRHGRVLALNFMKDASRWYYLVWDPVAGDRHAVPVPEMEDRLIESAAVLCATHGCDHLDCHGGPFRVVFMVNHDYKPTIFACVYSSETGAWSQPISLDNSCEYYAQHMQEGLAVRSYYLPYLQPRRGTHIGDAIYNTIQLDNTIVKFDWCKDRLSLIRPPSKDVYYIALMAMDNSTLGFASVLGFSLHMWSMKMDTEEAVEWLQYRVIELEKIIPVANPTDETVVVGSAEGVGVIFVSTDVGLFSIELKSGRVKKLDEPGVYFSVLPYMSFFTPGIMLTLASCLFVTRRSVLLEPNRAVEAVRCASSSPIRILASGTHERTMPPPPLPDLIDDIIAEILLRIPPDEPAHLFRAALVCKLWRRILTDPSFLSRYRVFHRAPPVLGYLYNPYSHPVQTSEFVSTTAASPLTMPAHDRGICRVRDCHHGHVLMYQICSIGSERLVVWDPITGGQKKLSVPKKYPPIYYDGAVLCAVDGCDHLDCHAGAFRVVCCGARQYKIWAIMYSSETREWSSMISIDVDVIDDVHLRSTLIGNDLYFSLESGVSMLKYDLGRQELSVISSPGVSEAVAMELGDGRLGFLAVLHNCIYMWSWQADAGIGSWARHMVMELETVLPRGNPHFPHEVIGLVEGTDTIFINVSAGLFTLDLKSRKLKKIVQAKPNSNSNPASCRAHHLAPRIATHPIQTGGSETPQPAQHAAAEPSPSLASCADGGARRGDPSPHPAGRARATLVCKPVRRILSDPGFLRRYRTLHRAPPLLGYFYDFCHRNPGRIAVFISTTAASSPMFPLPPALDRSSLRVHDCRHGRVLIEAPGGRLIVRDPITGDQKKLSVPTKQALGSRVCAVLCAVDGCDHLDCHAGPFLVVYCGTNHAKKPFKTWATLYSSETREWSALISIDVNRCLDARPTRLIRNALYFSHDYGVGMVKYDLIRHELSVISSPGVRGAVAMELDDGALGFVAMLDRCIYRWSWQADANNGIGKWAEHMAMQLETLLTK >Sspon.07G0000350-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:814433:817929:-1 gene:Sspon.07G0000350-1A transcript:Sspon.07G0000350-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding EAMGNLCCCVQVDQSTVAIREQFGKFDSVLEPGCHCMPWFAGKRVAGHLTLRLQQLDVRCETKVQDNVFVNVVASIQYHALADKASDAFYKLSNTRSQIQAYVSTVIRASVPKLHLDDVFEQKDEIARAVEEELEKAMSAYGFEIVQTLIVDIEPDEHVKRAMNEIMAAARLRAAANEKAEAEKIVQIKRAEGEAEAKYLSGLGIARQRQAIVDGLRDSVLGFSVNVPGTTAKDVMDMVLITQYFDTMKEIGASSKASSVFIPHGPGAVRDIATQIRDGLLQGSSVTQ >Sspon.03G0020410-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:82758840:82762779:1 gene:Sspon.03G0020410-3C transcript:Sspon.03G0020410-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLDMDDYIDPYEEAEAEAAAAEDDDSDDPDEDDSDAESDYEEKSFGLLKSGKHRVRNPDGTFRCPFCPGKKKQGYKIKDLLQHADGIGVSSKHRRHGRERANHRAFARFVRTDPDFAEDLVGITGIQGAITATPADKNGSANGDGKALANGDTAGSSSVAAKVGLPQEVERFAWPWACVLAAGAGFNPEKFADRVAMFSLVEVVPLFVDEMEVTETFAIVRFTNDWSGFNDALTLENHFGVNKLGKKEFETRDSGLGSVVGDGGEGEVKVYGWVARAEDYDATSVVGRFLRKHTVLKTIDEVSKTELEKSGEMVAILASQIEEKNRYLQDLETKKNATELSISRLEEDNRKLHEAYNEEMRNLHRRARENALRIFQENENLRIDLENKRRELNLRAKELEKMSAENANDRKTLDDEKQKAKYDNSELELASIEQQRADADVLKLLADQEREKEDVLARMLQLEKELHEKQQLELEVERLNGTLQVMKHLEGDDDGGDIHEKMEKLSVRFEHEKKRLEDLSGDLVRKERESNDELQEARKELIKGLEEELDGQTAIGIKRMGELNEKPFLNACKRKYGKGEYQIKAAELVTNWQEELKKPSWHPFKMVEVNGENKEILVDDDAKLKHLWIEYGDDVCNAVKTALMEINEYNPSGRYVVPELWNFRKGRKATMKEVLKYLFSQIDTTTKRRRG >Sspon.05G0025490-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:31468793:31472209:1 gene:Sspon.05G0025490-3D transcript:Sspon.05G0025490-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSAFLNALVLRAPSPSPSLSCSSKRRSAGAFAFPNPPRFPSLRSVRRVVLARAAAAAPGGDPEPEDDEWGPEPEGGSAATGTAVAEAPEAPEAREVAELKAQLKDALYGTERGLRASSESRAKVLELITQLETRNPTPAPTEALTLLNGKWILAYTTFSQLFPLLGFGNLPELVKVEEISQTIDSENFTVQNCIKFSGPLATTSVATNAKFEIRSPKRVQLYCMKELEPELARPPLNGASVDLVVIKFDEGIVGTPQLTDSIVLPEKFELFGQNIDLSPLKGIFTSIENAASSVAKTISDQPPLKIPIRTNNAESWLLTTYLDEELRISRGDGSSIFVLFKEGSTLLN >Sspon.01G0008600-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:23921645:23923897:1 gene:Sspon.01G0008600-1A transcript:Sspon.01G0008600-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Adenylylsulfatase HINT1 [Source:Projected from Arabidopsis thaliana (AT3G56490) UniProtKB/Swiss-Prot;Acc:Q8GUN2] MSSEKEAALAAVPNDSPTIFDKIIKKEIPSTVVYEDEKVLAFRDINPQAPTHILIIPKVKDGLAGLSKAEERHVEILGYLLYVAKVVAKQEGLEDGYRVVINDGPSGCQSVYHIHVHLLGGRQMNWPPG >Sspon.03G0044990-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3D:6608060:6610670:1 gene:Sspon.03G0044990-1D transcript:Sspon.03G0044990-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYSAAATAAAGWMASPFLDSIRSRLRSSADDLLRYLPSGSASADLERLKHYLLRLNAFASAVEHARSRPYHPNLFALLNHLQDAVHDADDILDEIDYRRLADALTGPRPSVRSILVTPVELICSRLVPARSDHPLKRLPSVLDKLADACSAYDGIDFLVGMDAADSPQRGSRLTRNYSSSIIPPDEAFFGRQRELHVLLERLVGCNGSAELGNQSVPVLAIVGDGGIGKTKMAHVAFNHVKIQQHFDPLMWVCASSHEDDVTLTRVILQAATGWKVNYDGIVDFEGLHKSLRSAVAGKRFLLVLDDLWDNNKEMSMCENKERWRKLLGPLQNGMQESRIIVTTRMEMVAKMLDVRIPMMLGGLGTEEHWLLLKKYALGSENSCDYPHLQEIGRKISLNLEGSPLAARVVGGMLSNTRSARDWNNILETDIHGGIVSTLLSSYYHLPQHLQHCFAYCSIFPKNWKFERKKLIRMWISQGFVQTESGSLEDAGIEYFKQLLARSFIHTLRQGNRTHYVMHDLIHDLAQMVSRCDCARVEGNKSKSIPSTVRHVSVSSISLPELKEQCDLRRLRTLVVFKDSSITSSTIPDDFIAEIKNVRTLDLTGFLVSELPEAIGNLIHLRYMALPDSIKKLPESVSMLLHLQTLDIPKKCQLDRFPEGMHQLFNLRHLSVDLAYISMIRGIGSLINLQGSIEFHVKKEDGHTLLELKDTKDLHGLLHIKNLENVQCKEEACNAQLSNKRYLKVLKLEWSCASSDFGPTMDAEVLECLQPNPYLEELHIKRYKGESSPSWLEVKILSQLKSLYLTNCRTWKLLPSLGQLPFLKVLHLKEMWSVTEIGPKFYGGGPVTFPSLKDLEFDYMPNLDCWVGAI >Sspon.05G0033170-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:27730425:27736687:-1 gene:Sspon.05G0033170-1P transcript:Sspon.05G0033170-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEACCCSSSSAPSASTILAAAPDAAALRRRTSAAAAGGRTAAAARPLRASAVMILATAVPSRRRKAGRRQGGRFTERAVKAVVFSQREARGMGDETVAPHHLLLGLVAEDRTLVGFLGSGLRVDRAREACRDALGKAGPAQAATGMATDVPFSAASKRVFEAAVEFSRNMGCNFISPEHIALGLFDLDDPTTNRILKSLGADPSQLAKQALNRVQGELAKDGREPVGLSSFKVREKSAAGAGKSAIVKYSNKKKEKSALAQFCVDLTMRASGGLIDPVIGRKEEIERVVQIICRRTKNNPILLGEAGVGKTAIAEGLALKIADGDVPIFLVGKRILSLDVALLMAGAKERGELEARITTLLREVRKAGDVMLFIDEVHTLIGSGIAGRGSKGAGLDIANLLKPALARGELQCIASTTLDEHRLHFEKDKALARRFQPVFINEPSQEDAIKILLGLREKYETYHKCKYTLDGINAAVYLSARYIPDRHLPDKAIDLIDEAGSRARMESFKRKKEEQCSILSKSPDEYWQEIRAVQSMHEVALTNRLKYSLDENDKEDGVSIEVIGDNKIASPSMPPTSVDEPILVDSEEIARVTSLWSGIPVQKLTADETKLLVGLDDELRKRVIGQDDAVVAISRAVKRSRVGLNDPDRPIATLLFCGPTGVGKTELTKALAASYFGSESAMVRLDMSEYMERHTVSKLIGSPPGYMGFGEGGTLTEAVRRKPFTVVLLDEIEKAHPDIFNILLQVFEDGRLTDSQGRRVSFKNTLIIMTSNVGSTFISSGRRSIGFSTQKDTEETTYAAMKSLVMEELKAFFRPELLNRLDEVVVFRPLEKAQMMAILNLILQEVKSRLLALGIGLEISDSMKNLISQQGYDRSYGARPLRRAVTQLVEDVISEAILFGQFKPGDTILMDTDATGKPCLSRLNDQTVQLSDPAPTM >Sspon.02G0026670-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:81460761:81467827:-1 gene:Sspon.02G0026670-2B transcript:Sspon.02G0026670-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTSKQSAVRKPSPGSSLKDLCLVSKQGSIAEVESALALLKKSGGNIDGRNAFGLSALHLATWRNHLPIVRRLLDAGADPDARDGESGWSSLHRALHFGHLCIAGVLLQFGASLTLEDTKGRTPVDLLSCPVSQANGDFLDAVATEVFSWGSGTNYQLGTGNAHIQKLPCKVDTLHGSYIKTVAASKFHSVAVSSDGELYTWGFGRGGRLGHPDIHSGQTTAVITPRQVTVGLGRKRVNVVAAAKHHTVIATETGELFTWGSNREGQLGYPSVDTQPTPRRVSSLKQRIISVAAANKHSAAVADTGEVFTWGCNKEGQLGYGTSNSASNCMPRMVEYLKGKVFRGVSAAKYHTIVLGVDGEVFTWGHRLVTPRRVVVARCLKKGGNTNLKFHRMERLQVISVAAGTTHSTALTADGALFYWVSSDPDLKCQQIFSMCGRDIVNITAGKYWTAVATSTGDVFMWDAKKHKDEMPIFTRVHGVKRATSVCVGETHMLVLSSIYHPEYPPKPKSQGIKSMLEWNSGTEELDEDILFNDVQPNSGLSGSSGEMSKGVPSLKSLCEKVAVEYLLEPKNAIQLLEVADSLEAKELKKHCEDLAIRNLDYIFTVGAPSIMNASPEILVNLEKLLDEKSSEPWSQRRLPTMTATYPAVIDSDVEEDEAREFPKPRKCGKSASRPSAMSSHDNFLQKDCTAEQAVSKQIRALRKKLQQIEILEAKQLSGHQLDNQQLAKLKSRAALEGELAELGVPLEAYSASSACPAEGRKAEVSKKQKRKNKQATQTPSVKSEPGQQISITDLQEVLPGNVSAEKEVCAADPIKRIETGAFSNTKDIASPLEEKALQPTSSKKKNRKGGLSLFLSGALDDTPKPSLPAPVVLVTPKPEGPAWGGAKITKGPASLRDIQSEQSKTNEPTSSKTKDRYENSPDSAGRVRLSSFIPDSHSSPIAVTPARAVPSSEGDKSTPPWSSSATSPNISRPSLRDIQMQQEKRHHGISHSPKTRTSGFSIPPGGSPEVGGVKDSVPNRWFRPETDAPSSIRSIQIEEQAMKDFKRFYSSVRIVKPQV >Sspon.02G0014510-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:36466121:36473111:1 gene:Sspon.02G0014510-2B transcript:Sspon.02G0014510-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGISLMHSYAKKADLADETLRPPLVPSEKHNAFRARVVASRCKTDLADATKIRRCTSPSLGQTSATEGTPAPKRAHSADRRRPSTPSTPPSKVSTPSTPASRSITPVRDTTRDLHKSSKRIASTKAPDGLWPAMRNLSSSFQSESVAAPTTRKDKVVTALDCSKGQVSVLTERKRSPLRRKNIGEHCENAQPSEEPPKRVIEQHRWPAMTAGQAPKNFMSRSIDLSDKATRPVLSSNTSRGLSPRKAPSVGPVQSSNTSRGLSPRKTPAAEASVKLSNQSLDEVARSLAIQASRRDDKSIASYVLNFQGLSEPISTRPSTPCRSQSAGAIQASVPSPLINYMVDARKGKKNASQIENIHQNTIYNVWRNTINLRDSVNMKRIMVQHFQQELRLYNILKEQIAYLEQWPTLERENSISLLGATEALKASTLRLPVTSGAKANAIALKNAVSSAVDVMQVLGSSVCCMLSKVTDSTSLVSELSVMAGQEKVMLDECRELLATAAKLQLTESLEAIVSRHIGAGGEPTDTSCATETKGFRIEFGNLNKMAMPLNSIIRLFT >Sspon.01G0047900-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:106199824:106204484:-1 gene:Sspon.01G0047900-1B transcript:Sspon.01G0047900-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GWVGFFSYDTVRYVETKKLPFSKAPHDDRNLPDIHLGLYSDVIVFDHVEKKTHVIHWVRTDCYHSIDEAYEDGTNRLEALLSRLHCLNVPTLSSGSIKLNVGNVGSVMQNSTMSREEYKNITDIRDPFEIYRALRIVNPSPYMAYLQARGCILVASSPEILTRVQKRTVVNRPLAGTIRRGKTKAEDKVLEQLLLSDEKQRAEHIMLVDLGRNDVGKVSKPGSVKVEKLMNIERYSHVMHISSTVTGELRDDLTCWDALRAALPVGTVSGAPKVRAMELIDQLEVNMRGPYSGGFGGISFCGDMDIALALRTIVFPTASRFDTMYSYTDKKSRQEWVAHLQAGAGIVADSKPDDEHQECLNKAAGVARAIDLAESTFLEE >Sspon.02G0019940-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:65095303:65098837:-1 gene:Sspon.02G0019940-1A transcript:Sspon.02G0019940-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMSESDRRWPNGARRRQQLRRGRQPAPEPHDRTAHHAKDAITIARGERERESRLRPRGSSPPPPARLLATAARGCGCGCCLRHPPVTSSYVGKPPPSARLLAPPTDDATRRSPPRASSHRRRPALPCCYCLPPHTSPIYCSPPLFAKTMGNCWPCIEPDQPPDPLSPFLIAPAGTPKSPRSTPSPKSPRQQSPDLSSPSADLHIHGSQLASQPRFTASEAATASTKSSAYSGSTELDAVNANQQSPEPSPQITSSEPAIASPRSPTHSCSTGLDAANANQRSPVPPPQPASQESSQFSASPTGIDPDLQAQAWALLPDLAKDLNKLKDLTRRPCLATMVDNLAINPQPDAACKKPEDGDKNPHEGTEIQDALLHSRILTSQKGKAVQSIDIPPPRTSSAPPQSLTRPATAPSRRWSAAKKAWQSQGTLVTRGPGLLAASAPCRAPAPSADAATSSSTRQAICWLNGNNHNTKTYHLGNALRSQLNLHRDDFQVVKHFPKQYLVIFSDPRVRQRVADMGVLRDNGRDFHFAAWSELHYANNVSWEYRVKVRIEGIPVHCWLEEVAAKALGKSCVVHYVEETTRRRERTRSFDLWAWCCDPCDIPTEVWLMVTEPDREQPPVDLKRGHAYVLRNHLEVVEDLSFLQGRGRNGGPLNRRARREFIWSYGVPDTEGECLHGKRGHNHERDIRRQPRRDYDVYDDRHNHGTRRHRSLSAWARSSRCRGGVEDCIISNKWRGHRESSPRRSRPTGVNPEVPTQVWRVKEHEQEKKHKKVTFADPIATELKPPKNIIPDDNIMLIKGSFFTPPQFSPDYFASNSTVLNPSYSTEIKSKEIIGGQVVITALNANSNPTAAGGQQVEQHIKPAGGMFVGSTLSMNASSITPNENAPNVVINIEGADTVYTTHNIISAPTSTEPEVGNDSEGRQMEKFSPAKGQQPGMVLDHQQNIL >Sspon.01G0018110-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1A:67666246:67667427:-1 gene:Sspon.01G0018110-1A transcript:Sspon.01G0018110-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPAEPYASAIVGDTVTGHHILHVDCYSRTKEELPSGQCIRSCQFTVAGRSWHISYYPNGDVSTTADFASIYINLARDAVVDDAPINARARFALLDQSGQPEPNQTETTKLHESAANGGGYGFRDIICKAWLEKSKYLKDDRLTIRCDVFVSTELRMVKRRAASSSPPSVVVPPSDLHRHLGDLLATREGADVTFHVAGETFEAHRCVLAARSAVFKAELLGAMKESRDGAVIRVDDMDAQVFRALLAFVYTDTLPQACFDTNDDREGAAMAQHLLVAADRYGMERLKLVCEDKLCSQIDTDSAACILALAEQHRCHGLKEACFSFLSSPSTLSAVMATDGFDHLTRSCPFVLKELMMSNLAAQHVPADFKRSKIRRQQVSISWNVLVFLGV >Sspon.08G0004040-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:11816792:11818740:-1 gene:Sspon.08G0004040-2C transcript:Sspon.08G0004040-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIVEQKFGNTTAASATLSHQLRDLWKSPRGTVVRIEALALLAIALSFFLAAFGSCRRWSGHWIVQKGVLAANVVSLSLGTYSIGLMQSSPVKSEVYPIWAVSLLALFGCIDWITAYGLGYKNQLWNMLYQLCLYYGYVLLISISTISSDVGYIAIIMLSSITLLKGFHRSLALVLPSIQRNMIQMIEATMAAEVIGYSTRCDDPDQSFPGDFIGYRYVVHWPLDKSKAKFLPTVSSPDDVITIDKIHECSEVHFLSDVCLSLSLSHLLQRRFYRLHCAESRHWVAHKFVLEGLLMTRDGAIDYKRVFKVIEVELAFLYDTFFTSKAFLYYYESKVATFWALASIMGICFVGVAAVIPGRRSTHTTHGGTIVVDTTTTDLTITLAILVSLALLQFFHLIRCWSSNWARVAFACDYIKNGILGLQYIEQAIQEMWGVKTGDDIELHADVKTAIVDFLIKSKGKLHNWPSSWERNGWSVDSRFLFLPDHAVTIMRWHVATCYCELVMHKEGFAVRDEDVEETVKKNHGVAAALSKYCAYLMVSAPRLLHRKELGTKSVYNEVAHAARMSLHGVEDKLEAMRRLGQDDES >Sspon.03G0000840-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:8259301:8260838:1 gene:Sspon.03G0000840-3D transcript:Sspon.03G0000840-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding NMSWQERGAGDRRPPAGCVDGVDCGLFEGAGGGRDIGISRVILQPTQQAPSTPVMCSARHDQSRSRRIQMFTNEAVDVKGSIVVLLKFADGFTSTQSPEKLIYVLEMYEALSNSAPGLLPLFTGQHAELISRQLPVVLAKLARALRAAALGLVTKIQADCSQAAGAMATHGVGIHPLVRYAMNSVELLAPRRAALDLVLASGGEGEGERGAAAAGAERVTSFGSLVSELIAGMERNLEEKSALAFADGSPSLHLFLANNTGFVLNRAADADVVSLLGDDWAARRRSRLEQHTASYLQASWGPLVACLETAVRGSGKPAKALAKFNAAFEKMHVSEVVPDPALRAALRKAVSDMVVPVYGAFLQKHLSLGKPVRYTADDVAQSLSEFFERDV >Sspon.03G0036630-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:30000737:30002133:1 gene:Sspon.03G0036630-3D transcript:Sspon.03G0036630-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASLGLNPEALFPSYSSAYSSSPFMSDYEVSFPAAANAVDYSATVFSAELDDLHHDYSPAPIFAGAGAGAGGDHNEKMMWCEGGGDDKRLRSSGRIGFRTRSEVEILDDGFKWRKYGKKAVKNSPNPRNYYRCSSEGCGVKKRVERDRDDPRYVITTYDGVHNHASPGAAAIIQYGGGGGGGNSGFYSPPHTHSGSPSGASYSGSFVF >Sspon.01G0029560-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:103811835:103813406:-1 gene:Sspon.01G0029560-1P transcript:Sspon.01G0029560-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAARTVDPAAGLDVDKLTYEIFSILESKFLFGYDDPKLFSPASAGASPSPGPAAAVSSGKATPTRPAAAGAKVSILSIDGGGRAADGLLAGAALVRLEASLRRRTGDDGARLADFFDVAAGSGAGGVLAAMLVARGPDGRPRFSADDALAFLLHSLRRGGGGGGGSWSSDAQGLGGLRGLFQFRRPGGGGGAAVAFRRVFGDLTLRDTVRPVLVPCYDLATAAPFLFSRADAVETRAYDFRLRDVCAATCAGSGVVEARSCDGSTRIAAVGGGVALGNPTAAAITHVLNNRRDFPLAAGVEDLLVVSIGSGEAGRDQRERERGAASTSQIVRIAAEGVADTVDQAVAMAFGHNRTTNYIRIQVSAVQQSPSLARATGTPRGSSHGAAAEAEEILAQRNVESVLFRGKKVAEQTNAEKLERFAHELVKERDRRRASPSPSPAAAPALVRHHQPSAAAAAAASYSSLVSHTLASIM >Sspon.01G0032770-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:110255182:110256892:1 gene:Sspon.01G0032770-1T transcript:Sspon.01G0032770-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding IAMADSTTPSATTPLLQPAPHGGGGSGELEAILADASVPWARRALRGAGVELPLLLRIALPAVAVYMINYLMSMSTQIFCGQLGNLELAAVSLGNTGIQVFAYGLMLGMGSAVETLCGQAYGAHKPGMLGVYLQRSTVLLTATGVPLAVAYAFSERILVLLGESERIARAAAVFVYGLIPQIFAYAANFPIQKFLQAQSIVAPSAYISAATLALHLALTWLAVDRLGMGLLGGALVLSLSWWVIVLAQFGYIVTSPRCRETWTGFTAQAFRGLGGFFKLSAASAVMLCLETWYFQILVLIAGLLKNPELSLDSLSICMTVNGWVFMISVGFNAAASVRVGNELGAGNPRAAAFSVLVVTSLSLAVAAVCAVVVLCIRDQLSYFFTGGEEVARAVSDLCPLLAVTLVLNGVQPVLSGVAVGCGWQAFVAYVNVGCYYIIGVPLGVFLGFYLDLGMQGVWSGMVIGGTMMQTLILLWVTCRTDWNKEVMSSIHALPLQVEKAKVRLDKWEDTKQPLLE >Sspon.07G0011200-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:44180521:44184593:1 gene:Sspon.07G0011200-2B transcript:Sspon.07G0011200-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hexosyltransferase (Fragment) [Source:Projected from Arabidopsis thaliana (AT2G26100) UniProtKB/TrEMBL;Acc:W8PV11] MPLHHPKHRHHDDDLLPYRRSDDEAKPRRPYTPTFPSSPASANRLLLFFAVACLVLAAASFAFAVSTSRNRQPLPQPPPTVAFRCGRAEDSLRSFLAASSHGNFSAGDREKVLAVVGVHTEHGNFSAARRAALRATWFPPNPEGIVSLEHGTGLSFRFVTRRPKDKDKMEDLQKEADTYHDFLFIDADEDTKPPQKMLAFFKAAYHMFNAEFYVKANDDIYLRPDRLAALLAKERPQHKTYIGCMKKGPVVNDPNMKWYESSWELLGNEYFMHASGSLYALSSEVVEALATAKSDSLRMFDYEDVTIGAWMLAMNVKHEDNRAMCDSICTPTSIAVWDSKKCSGTCNIADKIKQLHNTTVCSKSPTLPPEVEEEE >Sspon.04G0022890-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4B:9438687:9440400:-1 gene:Sspon.04G0022890-1B transcript:Sspon.04G0022890-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MACAGTWRMPARRRASPLGKDASLVGLPYTSHGCTIEKACTVAGSGVRRAMTSVTATERKKRSASAESTSRPDLRQRNSRGAVTTSSLRTTLLPELHALLAPLIQSCARSKVPMGSYHYTSTSQFVFAAVDSNPKPKTTVQIPITSPPPPEGAREGSPDAVAAAKIQAALRGYLVRRHVAIVRAADAEATRLERLLRRQETVDAVRRDERERARFSEALMAVLLRLDAVPGHYPAVRDARRAVSRRVVGLQEVFDAVIAAPAPEAQTCGVPASLEQVLEGIWGVGEAPVPIPPPEEEEVRKAGSCWGRIFGV >Sspon.04G0021990-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:5868160:5869143:-1 gene:Sspon.04G0021990-2C transcript:Sspon.04G0021990-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAWTTTLGSDAREAKERLDHKLRGQRQPVVLKRHQMSTRPPPAKPHDDAARGGTGAGGDSDGHHRHHSATATPCGVLLQREVLSSPSRPRKGGGGRFGWCGLPGGRCAPPPEADMEAECAVCLEELRAGDVVARLPCAHRFHWSCAVPWVQAVSRCPVCRAHVHVCLATGGLS >Sspon.02G0023680-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:80861237:80868818:1 gene:Sspon.02G0023680-1A transcript:Sspon.02G0023680-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGGSAARDAYDEEAAVRRPLELDGRDAAASSSDHHRPGGNVLPRYQVGTKGDTSSRHSEERRQPSTDDVRKSKPGSRYFTAFGVDLSPDNMAVAIVYFVQGVLGLARLAVSFYLKDDLHLDPAETAVVSGFSSLPWLIKPLYGFISDSIPLFGYRRRSYLFLSGLLGALSWSLMATVVSSKYSAASSILLGSLSVAFSDVVVDSMVVERARGESQSTSGSLQSLCWGSSAFGGIVSAYFSGSLVDTYGVRFVFGVTAFLPLMTSAVAVLVNEHRISSGERTTLLSGSGFVESSKQHVRQLWTSVKQPNILLPTLFIFLWQATPQSDSAMFFFITNKLGFTPEFLGRVKLVTSFASLLGVGLYNYFLKEVPLRKIFLVTTIIGSALGMTQVLLVTGLNRQFGISDEWFSIGDSLIITVLGQASFMPVLVLAAKLCPPGMEATLFATLMSISNAGSVTGGLVGAGLTRIFGVTRDTFGNLPLLIVVCNLSSLLPLPLLGLLPEESGDTDNGETKNN >Sspon.01G0026330-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:91518334:91519335:-1 gene:Sspon.01G0026330-1A transcript:Sspon.01G0026330-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MCMDRAAVPVKRVWLGIAARLGLRRKTGLRKLRNEVRTCEYHDVHVMWQMLRATEGPVPLAEKEAAAAAAVAAAAGARKRKNAWRRFIYYCCAF >Sspon.01G0002840-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:7600997:7601803:-1 gene:Sspon.01G0002840-3C transcript:Sspon.01G0002840-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ASYMMETRIC LEAVES2 (AS2)/LATERAL ORGAN BOUNDARIES (LOB) domain transcription factor, Crown root formatio [Source: Projected from Oryza sativa (Os03g0149100)] MTGFGSPCGACKFLRRKCVRGCVFAPYFCHEQGAAHFAAIHKVFGASNVSKLLAHLPLADRPEAAVTISYEAQARLRDPIYGCVAHIFALQQQVMTLQAQLASLKAQAAQGQQGVHEDAKGYVGSGAAEQLGYGYPWCNGNGGVSGGAVGAPAAQPGAYGNGGHESLTALLGSDYMQQSLYHAFEHAGADEGNASFEAAAESSSFGAEESGWRSSSGYQDCEDLQSVAYAYLNHR >Sspon.06G0020190-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6B:8284789:8293641:1 gene:Sspon.06G0020190-1B transcript:Sspon.06G0020190-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VFGTVTYLDEKYPELVDETKLILKKCNGLPLAIVTMGGFLANQPKTLLEWTKFNEHISAGLEMNMELQRIPNVLIKSYEGLPYHLKSCFLYLSIFPEDYNISRRRLVQRWIAEGYISDVRGKSLEEKADNYFMELIDRSVILPVRKTFYSAKGIDSCQLHDLMREISISKSMDENLVFRLEAGSSNKNTDAKIRHVAISRNWEGDKSDFESAVNLSQIRSLTVFGKWRQFFISEKMKFLRVLDLEGTSGLVDHHLEHIGRLIHLKYISLKGCDEIYHLPYSWGNLGQLQTLDMKGTRICKLPKAIIELTKLQYLFVGDFSPVCVNPDERLPDNLAKLCGACCAPKLLKGVERLNGDPNWHDVCTFWCHVVFPTLASRRLNPFGVVVPRGVRKLKELRTLGVVDIRGSGKATLRDIRKLTQLRKLAVTGINQKNYEELCSTLADLSRLESLSMESIEESSLRGYLDGVSSPQKNIQSLKLEGSLVKLPHWIGGLQSLVKLVLKGTNLEEVDATMQVLGKLPNLGILRLFGSFNEGEEVLHLTFHREAFPNLMVLHLRYNYFGSVEFEEALAMPRLELMVLQYSIYNTSSISGLSSLPSLKELVIKGRCRASFTGRFTLHGVRLELDEGASDRGALAALHLHDVEMSLHSTPNDQSALRVYVPSCLECSWPVSATSTNMKCPLTNVLAPRYAPLCRIRTPTPKQIKRSCAACAYLHAGLQVLAKLPNLAILRLLGSSFNVRKLCLNFHREAFLSLMVLHLDSVYMIDSVEFEEAGATPKLELLVFEYTLERTSSISGLSFLSSLKEVVIKGGAYRKELMGSVRDQTQRQPLKHRRIVEPVVHGQGGSPNPSARPRPDAALTPATASHRTSPTLASDLAPANCVRPPATDPAPMTVSDHPRLDPHSGCAPGVKLPHSFPCHATLLPHLAPILCHTLSQPRPSLPLRCDLHAPLPFPRLGATADVATPEPERMPQPHLQWAPQTRPERAPQPHLKRAPQPRPEHAPPVRPAARRAASGNRVELVEAKVYAALDAAEDRDPKRWVLDTRATNHMTGARAAFSDLDAGVVGTVRFGDGSLIKIEGRGTILFACKNGEHRTLANAYYIPRLTTNIISVGQLDEVGFQVLVEGGVMRIRDEERRLLAKLHWSPSRLYVLDVDIARPVCFAAHATEDAWLWHARFGHVNFGALRKMGREGLVRGMPLLDHVDQVCDACLVGKHRRTPFPQHALSGSTEVLQLLHGDLCGPITPPTPSSNRYFLLLVDDYSCYMWITLLPSKDAAAGAIKRVQAAAERKTGKLVRALRTDRGGEFLARDFQQYCAELGLHRELTAPHSPQQNGVVERCNQSVSIGGKTPYQLWTGSSPGVHHLHTFGCIAHMKITAPNQRKLDDRSRRTIFVGYEPGSKAYRVFYPKARWSGELGDAELEFRIEVESGEQLQPGTVISTTTTTSAPTASSPSTSPAPSASPATHGAGQASPTTPAHAAGGAVEFTSPPEGEADLDADHDDAPLRFRRLDNVLGPSSTPSLAQRELEEHLLLASDAEPSTFAEALEHECWRHAMLDEMTAIEANGTWELVDPPPQYKARLVAKGYVQRQGVDFDEVFAPVARLESVRLLLAHAANEGWAVHHMDVKSAFLNGELQEEVYVEQPPGFVLKGQEGKVLHLVKVLYGLRQAPRAWYAKLDSSLLRLGFRRSDSEHAVYLRGEGARRLVVGVYVDDLVITGGYSRDIDEFKGQMKATFQMSDLGLLHYYLGLEILQNAGLAGCNPSFIPMEPRLKLSKEGTAPAVDTTAYRSIVGSLRYLVNTRPDLAYSVGYVSRFMEKPTTEHMAAVKRVLRYIADTLDYGCYYTRKEKDAKLIGFSNSDHAGDIDTRRSTSGVLYFLGKNVITWQSQKQKVMALSSCEAEYIAGTAAACQGVWLSRLLSELKGKEEGAARIFIDSQSAIQLSKNPVFHDRSKHIDTRYHFIRECIEEGRVCVASIGTSDQLADILTKALARERFCELRAKLGLVKLKQKYKA >Sspon.08G0006140-3D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8D:16477249:16481919:-1 gene:Sspon.08G0006140-3D transcript:Sspon.08G0006140-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAACDAAAVEQLAGLLDQGESFRSGSRNVHQGYPTETLVRFLKAREWHVNKAHRMKPIIPVDLYRSIRNTQLVGLSGYSKEGIPVFAVGVGLSTYDKASVNYYVQSHIQINEYRDRFILPTVTKKYGRPITTCIKVLDMTGLKLSALNQMKIVTAISTVDDLNYPEKTETYYIVNAPYIFSACWKVVKPLLQERTRKKVLVLRGCGTDELLKIMDYSSLPHFCRQEGSASSKHSSSDADNCFSLDHPFHQELYNFIQEQALNQELIKQGSLHVNIPEQDPEDAKIVEVIEAELHKL >Sspon.04G0033830-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:69264070:69266558:-1 gene:Sspon.04G0033830-2D transcript:Sspon.04G0033830-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPQSQSSSLQRLQLVEKRIVRVLELAGAVMEELGNSQGPRTDAVGAHCREFMIAMKEIQTTLREEIKSACEYRPFEKCDYSARIANEICCKKLEYVIEKLDTMQHNLEQSTDDVWEPQMIEQYAEGTEIRSSIYKEGKLNKRQS >Sspon.05G0015870-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:59627162:59632802:1 gene:Sspon.05G0015870-4D transcript:Sspon.05G0015870-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASAGTVYEADAEAVVRRITPPLDRARHKGQAGQYSVPPPPIFVSSQALLCVPVCFLRRPKSQKSESFSGAKDIILSGTETNSGGVEIEGADLSHVFCTKDAATVIKSYSPELIVHPILEESYSVRDDERASVSSKILTEVAKWMERFDCIVVGPGLGRDSFLLDCVSNIMRHARQANIPTVVDGDGLFLVTNNLSLVEGNPLAILTPNVYEYKRLVLKVLNCDVDEETASEQLITLCQKIGDVTIMQKGKADVISDGKTVTQVSTFGSPRRCGGQGDILSGRVNPMTLGCIAGSLLLRKAASHAFEKNKRSTVTSDIIEFLGKRRHWIAKICSNQLEHTHPALDWHIKRATVSVQGNPIPQQGDLHFFTN >Sspon.06G0017450-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:94830897:94848279:1 gene:Sspon.06G0017450-1A transcript:Sspon.06G0017450-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSGRYYSPWRAAATTTTLLLALAAAGLLLPMTLARGSSNEDDRILGLPGQPNDVAFDMYGGYITVDEQAGRALYYWLQEADKTVVEDPDTAPLLLWLNGGPGCSSIGGGALEELGAFRVHTDGERLLRNEFAWNRGMKLVGHNSQTNRDMQVKLTCERHAAANVLFLESPAGVGFSYSNTSSDLIVGDNRTAHDAYTFLVKWFERFPKYQYRDFYIAGESYGGHYVPQLSQLVYRNNIGVEKPSINFKGFMVGNGLTNDRTDMIGMFEFWWHHGLISDETLDSGLKICPGSSFIHIEPSARRSGTRPSRSKAISTSTASTRRRATRPLMLPAYDPCTAFYSTKYLNLPQVQTAMHANVSGIIDYPWVLCSDPLYYNWTDTPASMLPIYKELIGAGLKVWVFSGDTDTAVPLSGTRRSLAALGLPVKTSWYPWYIVATELSVARRDGNTPLALAAGGHLLPALALALARGASEEDRIRALPGQPNDGVAFDMYGGYVTVDEQAGRAFYYWLQEADRGAVEDPDTAPLLLWLNGGPGCSSVGYGAMEELGAFRVHTDGQRLLLNEHAWNKALDSYTFLVKWFERFPQYKYRDFYIAGESYGGHYVPQLSQLVYRNNIGVEKPFINLKGFMVGNGLTDDHADMVGMFEFWWHHGLIADETLDTGLKVCPGSSFIHVAPECRKIWDKALKEQGNIDGYSIYTPPCDKGSPYARRLRQSRHPLMMLPAYDPCTAFYSTKYLNLPEVQTAMHANVSGSMEYPWVVCSNLLFDNWTDAATSMLPIYRELIEGGLKVWVFSGDTDTVVPLSATRHSLAALGLPVKTSWYPWYMVSTEVGGWTMEYEGLTYVTIRGAGHEVPLHRPEQALFLFKQFLKGEPMPAEAKNASLILLPSENKAPS >Sspon.08G0004330-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:12524612:12526820:1 gene:Sspon.08G0004330-1A transcript:Sspon.08G0004330-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKCASELQLEAFIRESGDDARAAAGRSNPGCGGPGEPGGSGVFSPGAGGALPGVGFADSNTMDESSWWYGSVRTPNPDMSRTASISASLGATTSANHALESESDSDSESLYEVEGVPYERGNKSIETKRIRRMVSNRESARRSRRRKQAQLSELESQVERLKGENETLFQQLSEANQQFSTAVTDNRILKSDVEALRVKVKMAEDMVARSAISCGLGDLGLAPYVNSRKMCEALNMLTTTGLDLLGSDVFRGPTAAPQVQNSPVQSTASLETLDNRKSNEVTSCAADIWP >Sspon.08G0014080-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:55883203:55886104:-1 gene:Sspon.08G0014080-3D transcript:Sspon.08G0014080-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMHAKSESDMTSLAASSPPRSPKRGGGAAAAAGNGGYYVQSPSRESHDGGYKSSSMQATPVYNSPNESPSHPSYGRHSRSSSVSRFSGNLRKGGGDRKVLNDKGWPECNVIEEEGPYEDLAGDSGLSRRCQIILGFLTFVLLFTTFCLIIWGAARPYEPEVIVKSLVMDDFYAGEGTDHSGVPTKLVTTNCSLHISVYNPATMFGIHVTSGPIRLIYSEISIAVGQVRRYYQPRKSHRMVTAVIHGEKVPLYGAGGSLMLSSTGGTVPLTLDFDLTSRGYVIGKLVRVTHKVHVTCPVVVDAKKTKPVRFSKKACAVYKA >Sspon.05G0025900-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:5B:28600270:28601256:-1 gene:Sspon.05G0025900-1B transcript:Sspon.05G0025900-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGKAALLLALVAVSLAVEIQADAGYGYGGGYPTPTPPATPTPKPQKPPTKGPKPEKPPKEHGHKPPKEHKPTPPTYTPSPKPTPPTYTPKPTPPKPSPPKPTPPTYTPTPTKPTPKPTPPTYTPSPKPPATKPPTYPTPKPTPPAYTPTPKPPATKPPTPKPTPPTYTPTPKPPATKPPTPKPTPPTYTPTPKPPATKPPTPKPTPPVYTPSPKPPVTKPPTYPTPKPTPPTYTPTPKPPATKPPTYTPTPPAYKPPTTTPPATKPPAYTPKPKPTPPTYKPAPKPTPPAYKPPTLTPPAYKPPTPTPPAYKAPPVSHTPSPPPPYY >Sspon.08G0010500-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:41300840:41305022:1 gene:Sspon.08G0010500-2B transcript:Sspon.08G0010500-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Signal recognition particle 9 kDa protein [Source:Projected from Arabidopsis thaliana (AT3G49100) UniProtKB/Swiss-Prot;Acc:Q9SMU7] MPARINSSSARKSSTPPAKKNSKQTLFKPRRPLRPARFPTQIRPRRPLPFSAAAMVYVDSWDEFVERSVQLFRADPNATRYVMKYRHCEGKLVLKVTDDRECLKFKTDQAQDAKKMEKLNNIFFALMTRGPDADISEVSGKEQAEQQQSKKGRGRRQ >Sspon.02G0047580-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:17718119:17719558:1 gene:Sspon.02G0047580-1C transcript:Sspon.02G0047580-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTASIVQSNDRCHNLVTKLPVGQLVELIVRPFFFLVVEPTAAADAVKKPTTRAMTSKALLLSWALFETAMLPLGMILVQVFTMVTLLIGKIALNASMRPFVFLVYRNLIAAAAMAPLALIFERCTRPRITTAASLSSAT >Sspon.05G0016560-1T-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:5D:63297703:63299847:1 gene:Sspon.05G0016560-1T transcript:Sspon.05G0016560-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVETSSRLLPTQIHNSELQFPQYSVPQFMKDEDLVGIEKNSEQLTKWLRSDTQDLKVVSVCGMGGIGKTTLIANVYEKQRDNFKVLLWLTISQTYKSVEALLRKLLEMTRADSDNMEMGKRKSEGIDSMDILKVKTELRAVFGAKKYLVVLDDIWNPQVYESMRDVFEDSKNGSCIVITTRKEDVAALASKHYQLKLSPLGLKDALCLLCTKAFPYNDSESEDPSKVMELATDTANKCNGSSLVNCPAELRELATGVAKKFEALLLEQCPAELQELATYAIRKCEGLSMAKSSSELLELANDIAKKSVVLPVAKCPLEMQVLAVNIAKKCGGLPLAIVSIGSLLSTRKLILPVWKQIYDQLPSELEKYAQVRGILSLSYYDLPGELRNCFLYCSMFPEDYPLPSEKLVRLWIAEGFVVKKGNSTLDEVAEGYLMELIHRNMLQVVDNDELGGVNTFRMHDILRELALTISKVEMFGIVNDFGAVIQMDRNVRRLSAFRWRKMKNDASKMKFPRLRTLMASETIVMSIPSILSESKYLTVLELQDSEVTTLPASIGHLFNLRYIGLRNTGISVLPEYIENLINLQTLDVKSTNIRNLPHGIVKLTKLRHILADRYADVKQSEFRYFVGVEAPTGLSNLEELQTLETVQSSIYLAEQLENMMQLRSVWIENITTAHCSKICKVLSMMPLLDSLLLSASNVNEPLSFEDLKPTSTKLD >Sspon.02G0011670-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:31174469:31178448:-1 gene:Sspon.02G0011670-1A transcript:Sspon.02G0011670-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPGEGSRRRREAEAPVVALECVAGSSKAEEWGGGAGVVQEGDVVEAVRVGRGSGGGSGGAAAALELEAPFKGGRAGLHKALHAAFKRGDTSVEVRVRGGRELQACIVPHHASPGAGGGGGRKQYVLRSLHDPNYLLGFVDRLESECLVLQGTRSTRVASALSKAQLQDGYVAYPWDKKMRDTLRATNSSCYFSMLVLPKALDNNACHYESFDDTLARANAWFCSSQASGIPIDLMTVQSEALLTKISGETASASVNSSSLSDLSNVTNATLYGFEDYHGVDIGVVKAARLWYSCIGGELLLEIPLEEGDTRLGFAISRTEEGFIYISSVIEDDKESEAPSTRSGLCDLFNRAKEVSKLLIISRVSNEKVLPWMISSSGAVRCFDTISLSQKLSLHRLAVRPIQLHLLAWEKPCGPAERIMCSPKLPPPSTLLPQLHQNLVETIEGRVDVEEDYVGDLSFRLDDISFESSWV >Sspon.02G0047320-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:14886684:14887696:-1 gene:Sspon.02G0047320-1C transcript:Sspon.02G0047320-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDRRFLAHLGFTFDLLGEAAQQGADVLAVPLRRQH >Sspon.01G0013530-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1A:37309943:37310281:-1 gene:Sspon.01G0013530-1A transcript:Sspon.01G0013530-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVIHLNYRFALYPNKELNSGMRFFSSEYWLEKYGYTSLQDSWDLTKLGNSLPCGEGLDEAYCEENQGGPQDDSLSTRSRCLKFPRSDIAKLDGHLCSADEEFTSSVLGLFA >Sspon.07G0031110-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7C:17061415:17064419:-1 gene:Sspon.07G0031110-1C transcript:Sspon.07G0031110-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLKPTRDSLGRNPLSDALHRNPDVVLNGQGPGRHPLSGASYRDPSTMKNEQGSGRRNLSGALHLRPGIVKNEQGSSHFPRRETRWKGQKAKEVEDTGFKLWYTGTTSGRNGVGILIDRSLKDGVVDVRRQGDRIILVKLVVGDSALNVISAYAPQVGLSEGTKRQFWEDLDSMVSTVPISEKLFIGGDLNGHVGATNVGFERVHGGFGYGSRNQEGEDVLNFALAYDLLIANTLFRKRESHLVTFRSGQHSSQIDFILTRREDRRACFDCKVLPGECVVPQHKLVVADFRFRVRVHRDKRAKIARTKWWKLRGKAAQTFKERMLDEGPWEEGEDADDMWLKMATCVRKVASEVFGVSRGGKRETKDTWWWNDEVQRAIREKNECFKCLHHDKSAANIEGYKIAKRTAKRAVSVAKGQAYDGLYQRLGTKEGEKDIYRMARIRERKTRDINQIKCIKDGTDRLLVKDEEIKDRWREYFDKLFNGENEGPTLELDDSFDDTNRRFMPEEWRRSILVPIFKNKGDVQSCTNYRGIKLMSHTMKLWERVIEHRLRRVTHVTQNQFGFMPGRSTMEAIFLLRQLMERYREQKKDLHMVFIDLEKAYDKVPRNVIWWALEKHKVPTKYVTLIKDMYRDVVTFVRTCDGDTSDFPIKIGLHQGSALSPYLFALVMDEVTRDIQGGIPWCMLFADDVVLVDDSRAGVNRKLELWRHTLESKGFRLSRTKTEYMRCDFSATRHEEGDVSLDGQVVAKKDTFRYLGSMLQKDGDIDEDVRHRISAGWLKWRQASGVLCDKRVPQKLKGKFYRTAIRPAMLYGAECWPTKRRHVQQLSVAEMRMLRWCCGHTRRDRVRNDDIRDRVGVAPIEEKLIQHRLRWFGHVQRRPPEAPVRSGVLKRTDNVKSSRG >Sspon.01G0010570-3P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:8301112:8306564:-1 gene:Sspon.01G0010570-3P transcript:Sspon.01G0010570-3P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMASEPVARAVAEEVGRWGSMKQTGVSLRYMMEFGSRPTPRNLLLSAQFLHKELPIRFARRALELESLPFGLSNKPAILKVRDWYLDSFRDIRYFPEVRNRNDELAFTQMINMVKMRHNNVVPTMALGVQQLKKELGHSRKVPFEFDDQIHEFLDRFYMSRIGIRMLIGQHVALHDPQPSGVIGLINTRLSPIQVAQAACEDARAICLREYGSAPDINIYGDPNFTFPYVTHHLHLMLFELVKNSLRAVQERYMNSDKDAPPVRIIVADGEEDVTIKVSDEGGGIPRSGLPRIFTYLYSTAKNPPELDRPNIGVTMRSKNIGVTMAGYGFGLPISRLYARYFGGDLQIISMEGYGTDAYLHLSRLGDSEEPLP >Sspon.01G0009810-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:4956885:4958550:1 gene:Sspon.01G0009810-1P transcript:Sspon.01G0009810-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding METVPAMMTQMASATRRPQGTRGPGGARTLNRVAFPPARRGGCNASRDLRAAGLVGRFFGAGEHSSKSHEVDDLAPARLFVGLPIDSVTDGATVNSAAAIAAGIRAVRLLGADGVELPVFWSVAQPESPDRFSWAGYQAVADMVRAEGLSLRVSLRTHGTPGAGVPSLPSWVSGVAADDPDIFFTDRSGGRHDGCLSFAIDDLPVLHGKSPLQLYEAFFRSFAVAFDDFFDSTITDVTVGLGAHGVLRYPSYPPGSDARKFTGMGEFQCYDKYMLQQLRQHAVEEGHAMWGLAGPHDAPRYHDSPDSCGFFRERGGSWETPYGDFFLSWYAGQLVGHGDRVLGTANAVFSGKPVELSAKIPFMHWWHGARSRPAEAAAGFYKSNKKNGYSPVAKMFARHGCTMVVPGMDVCMNKQHHSTGSSPDTLLVQMKNACRRHGARIAGENASLVMTHTSSFSRIRSNILTTELMRPCHFTYQRMGAEFFSPDHFPQFMEFVRSVVCGEWDEDDEERGVAVSANARAREAKA >Sspon.02G0031930-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:116672842:116677085:-1 gene:Sspon.02G0031930-1A transcript:Sspon.02G0031930-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEASPSIAKDITELIGNTPLVYLNKVTDGCVGRVAAKLESMEPCSSVKDRIGYSMITDAEEKGLITPGVSVLIEPTSGNTGIGLAFMAAAKGYKLILTMPASMSMERRIILKAFGAELVLTDPLLGMKGAVKKAEEIQAKTHNSYILQQFENPANPKIHYETTGPEIWKATAGKIDALVSGIGTGGTITGTGRYLREQNPNVKLYGVEPVESAVLNGGKPGPHKIQGIGAGFIPGVLDVDLLDETLQISSDEAIETAKSLALKEGLLVGISSGAAAAAAVRLAKRPENAGKLFVVVFPSFGERYLSSVLFQSIKKEAESMVVEPYSTILATIFIPTELRIPR >Sspon.01G0024340-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:87336389:87339576:-1 gene:Sspon.01G0024340-1A transcript:Sspon.01G0024340-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDHDHDQDPDASSAAAAGERCPCCCCSSSSPAVPWRRSVKRKLGAEKGGEAAGDDEAGPAARVEAEDECAALREAVAAAQSAASALRAEVEEERLAAASAASEAMAMMLRLQREKAEVQMELRQFRRFADEKMALDAADIDQLRALLAQRARRLVRLRARLREYRLQFLHLGIPLPEGEGEDLVAQNAAEEEEDLLLLEGEDGYIEGDGGYYPELRCNDGEYYYEDGQEEEDAVALDLERRICRLEHDQETRLLEPPLQEEEEEGTHLYTDEALPNSSGHERGGLYADEVLSEEDVEARSNLHNDDEELPESPTAGSADGEEASEADGVDSVSGSGSDRVYTIDKVHQGATVPIARVVDKYQGEAVEPDIKKLYMRLEALEADRESMRQALVAMRTEKAQLVLLREIAQQLAKDGAPVGSGAGAGTGVHHSPRKRAVGIVERRFTEDKKAALVKTYSMVALFKWVLTLFGKKKKLSQSRYTFGLSINNVGLLLLLDKCPRIQKTLTRT >Sspon.05G0005230-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:16584062:16593455:1 gene:Sspon.05G0005230-1A transcript:Sspon.05G0005230-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVKKLVEKASKKHIGSISSLRAEDVSPRLAFHYGVPADAALLAYDPVLHVLAVATRNGQIKLFGRDNTQALLQSPSPLPSRFLQFAEGQGVLLNVNTQNQIEVWDIDAKKLCCLHPIEKAITAFSVLQKSSYIYVGDSSGNVSLLKLDLAQRCLADMPYWIPFTESYGSTASAGNDVEVIFVSPQPLSELNRVLIIFRDGVMSLWDIKASKAVSISGKTMQQQSQTEAKNVTSACWVCAKGSKIAIGYDNGDLYIWAIPVVTSTQNSSSMGSQNLPIQRLNLGYKLDKLPIVSLQWISTMERQDYFSSATKYPWLFSMKDKGQTLTSFTNIHKTRNLCITGHLDGTISFWDASCPHLLQIFMIKQQNEDNTSTGNPITSLQFDMSSSILLSGERSGMVRIITFKKDSSDNIFSFLNAKQGDNCNVRSIKLKGAVTTMSSISKSKHFAAGTEKGIVSVINIEDATILYQKQFECRLSGGIASLQFEIYSHNGYDKDILIAAMEDSSIFIIEEENGKLLNPNPFNGEETYFFSTLCQDEIYRHVDSISTVYRKENSTREDSSFVVKSPKEKKKGLFGMIMKDNKGSKSKESAANGSEQFIATTSEELASIFSSTNFSPPSEGRNSSLRDDENVELDIDDIDIDDNPEKPKGPHFPGLSKEKISKGLHTLRGKLKPKTEEKISSGNKKTEDETSVSQVDQIKMKYGYANTTNYDSTSVPKMIGNKLQENMKKLE >Sspon.01G0028870-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:97371633:97375308:1 gene:Sspon.01G0028870-4D transcript:Sspon.01G0028870-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGFASYLAMKTGPEGGGAAAAAQQALIDADLRELGVAARKLANHAFVLGGGLGFGTSFLKWLAFLAAVYLLILDRTNWKTNMLTALLVPYIFFTLPNVLFSLIRGEVGKWIAIIAVILRLFFPRHFPDWLELPGSIILLTVVAPSLFADTFRGDLVGVLICLAIGCYLLQEHIKASGGFRNAFRKGNGVSNSIGILLLFVYPVWAAVLRVL >Sspon.05G0038950-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:5D:56197624:56198000:1 gene:Sspon.05G0038950-1D transcript:Sspon.05G0038950-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LLVSDDFSRLNPRSPSSSAPDRLPERRENGGDRRRNWSPPFRPLATVATGRVERRNQKSFVFGPHYSSQGQPKSAQRLEPAQFTLVGPEYPTQPNIHWFPVVGLGSIDSTAPLMPSYLILHPRR >Sspon.07G0007080-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:14994109:14996503:1 gene:Sspon.07G0007080-3D transcript:Sspon.07G0007080-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQENGATNGHAAEEHQDVMEVEPKQRRAPRLNERILSSLSRRSVAAHPWHDLEIGPEAPAVFNVQVVEITKGSKVKYELDKKTGLIKVDRVLYSSVVYPHNYGFIPRTLCEDNDPMDVLVLMQEPVLPGAFLRARAIGLMPMIDQGEKDDKIIAVCADDPEYRHYNDISELSPHRLQEIRRFFEDYKKNENKEVAVNDFLPAAAAREAIQYSMDLYGQYIMQTLRR >Sspon.01G0028530-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:113406878:113414155:-1 gene:Sspon.01G0028530-2B transcript:Sspon.01G0028530-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFATRMGAKVEGESYMPGYYATGDLNVEANDRWSPYYEEMTSNGQLCNGFTTKPANGYSEFDKEILKHQMLEHEAVFRQQPHNPLAIQVYELHRVYKIQKDMMKQHQSKEVYSYPTLAVASHTNYPSQVPPNGAKMMWQVPVPPVSTTFRKAVGEHNDTNQSSMKFLREGSVQSSPNGFLSSDAGPRSRQGTFDLQLPADHYIDDDDTSDNKPIDFLGLASDTKPRNDAELTLVSAEGLGKFSDNSSSSGLRTTNNLGSRHVTDLNESNTGFYMGRANGSVSRGLPHTLENSWHQPILRSNTTNFSFSKEYSKEKHTDEGKQVSSITFLAPRYNDADPPKYFKAADGRPASYNQFLYQGQNSSVGWIARSPLEPSVINNFARVDRPHHSNMGTFTVPISIPQIDNPSIVSPMGSCTVDPRSSVINNPALIPRFNGSSAVNSYTSLSAVTQSIGTSMPKLKNVDNLDKRYPGFPLDSFSVSHSRHQVAISSNLEQKNSQKLEDSDQQSHGKGMKNFNLNETLSDCQEDGLVEQDGRCAASFQHGKDGGQYLGSLG >Sspon.07G0009750-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7A:28051862:28052767:1 gene:Sspon.07G0009750-1A transcript:Sspon.07G0009750-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DGKHPGYDQSYVGRREFSFTLENDIYLRFQSFDSATELESSIKEKCPFKIDIGPVYSPSKRHAYAQCGTNVFVPVERELIFDIDISDYDDVRYCCSGADVCLDCWPLMTIAIKILDTSLRVTYYGYTVAVVVSIAGSVIAEQESLAMNKGLQLLTTFESTR >Sspon.07G0018680-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:67436939:67440797:1 gene:Sspon.07G0018680-1A transcript:Sspon.07G0018680-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNLTVLQRLDPAVEDILITAAHVTLYDFNIDLNQWSRKDVEGSLFVVKRNSQPRFQFIVMNRRNTGTHIAICTDLLACCFDNLVEDLLSDFEYELQPPYLLYRNAAQEVNGIWFYNQHDCEAVASLFGRKLFPFAQILFCTFSCRILNAYAKVPPKPKVPATKSEFEELEAVPTSAAIDGPLEPPLSSPALVSDAPEESLVNYFNSAASVGSVSSAQMAARAHPSTEAVTSSHVPLMVPSATPIHQIPHPLGGSSAPPLPLHDTNPHASHSANLLTPAFLHLHHLLQHLWHHRLHP >Sspon.02G0014900-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:42620705:42624244:1 gene:Sspon.02G0014900-3C transcript:Sspon.02G0014900-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MERVDDGQIKLQQQRPPCSDNFSLAAAASSPPPPQVRSSPSSSSSSYTCGYCKKEFRSAQGLGGHMNVHRLDRARLIHQQHMSHRIAPPPPNLNPSCTVLDLGLSLSSLLARGAAGSDGGLPAVPLEKLGNRFSSTSSAATTNDYSEGKNLELRMGARSHGDGTEEQLGIECAPLGIRWSQMRAWWLHVRAEYAGWWAMLSLKEG >Sspon.02G0005950-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:18391162:18394022:1 gene:Sspon.02G0005950-1A transcript:Sspon.02G0005950-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRTGIPAPPILGLRMQDLHVHQPDRGTEDSAWGLEFRCPSICMGMAPMGRGLRHLQCKLAIRISKPLPVDRYGAATCTAGQYTQTQPLQLRVCVSVAMAALDMSSIVAGHPRGSLVDKSVRDRRKNLVKLAMMSAV >Sspon.06G0011630-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:50612070:50619645:-1 gene:Sspon.06G0011630-2C transcript:Sspon.06G0011630-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGCYVYDTNGNRYLDALAGLFSTALGGSEPQLVKAATDQLNKLPFYHSFWKRTTRPSLDLAKELISMFTTSEMEKVFFTCSGSEANDSQVKLVWYYNNALGRPKKKKIIARSQSYHGTTFISASLSGLPAMHQDFDLPGNFVLHTDCPHYWRFHLPGETEEEFASRLANNLENLILKEGPEMIDAFIAEPVIGAGGVILPPKTYFEKIQAVVKKHDILFVVDEVITGFGRLGTMFGSDLYNIKPDLVSLAKALSSAYAPIGAIIVSREISDVIHSHINKLGIFAHGFTYSGHPVSCAVALEALKIYRERDIPGHVAHVSQRFQEGIKAFATGSAIVGETRGIGLLIATEFTDNKSPHELFPFEWGVGEIFGAECKKRGMIVKVLGNLIVMSPPLIITHEEIDELVGIYGEALKATEERVAELKSKKT >Sspon.05G0017000-1T-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:5B:71011712:71012842:1 gene:Sspon.05G0017000-1T transcript:Sspon.05G0017000-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSPRGGGVGCYRGGAGGQEHVDLIPGIPDDVAVDCLARVPHASHRAMRRVCRGWRSAAATPAFASARAQAGANEDLVYLMQFGNPSAADDGPKGDDGPANTPAYGVAVYNVTTGEWRRERGAPPVVPVFAQCAAVGTRLAVLGGWDPRTFEPVADVHVLDAATGRWRRGAPMRSARSFFACAEAGGKIYVAGGHDKHKNALKTAETYDPETDAWDPLPDMSEERDECDGMATVAGDRFLAVSGYRTARQGGFERDAEWFDPAAGAWRRLERVRAPPSAAHVVVKGRVWCIEGNAVMEWMGMRRGWREVGPYPPGLKAGTARAVCVGGGEKVVVTGALDGEGGGGRHAVWVFDVKSKSWTVVRPPPEFAGFVFSVAS >Sspon.07G0011960-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:47496838:47497470:-1 gene:Sspon.07G0011960-2B transcript:Sspon.07G0011960-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAGRNIGVAVDFSSCSKNALRWAAVNLAAPGDRLILIHVKTSYQYEQGVAHLWEHDGSPLIPLVELSDPRVGNIYGVAPDRETLEVLARAAAQRGVHVFAKVLWGDPARKLTEAVHKVPLQWLIVGNRGLSTVKRVLMGSVSTYVVNHAACPVTVVRENMLPSALTTTY >Sspon.01G0036860-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:19836017:19845100:1 gene:Sspon.01G0036860-1B transcript:Sspon.01G0036860-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGTAAMGLVLAFVAVAALCCAPGAAELPRLEHPPSNNDGSLKLLVVGDWGRKGTHNQSRVAKQMGRVGENLGIDFVISTGDNFYKNGLKGVHDQAFEKSFTDIYTAKSLQKPWYLVLGNHDYRGNALAQLSPVLRKIDDRFICMRSFIVNAELVDFFFVDTTPFQLEYWTHPSNHHYDWRGVAPRGKYIANLLKVRTRNTFISVREKATYESKLDMDEALKKSTARWKIVVGHHTMRSVSEHGDTDELLKLVLPVLKDNDVDFYINGHDHCLEHISSRDRCRDEFKQLSEYEQFRFPAWRGIFRPNKDKLRFFYDGQGFMSLQLDQDQAHFIFYDVSGNILYQWSSSKTRHPKPSTYLDEQ >Sspon.02G0032930-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:2945078:2947000:-1 gene:Sspon.02G0032930-1B transcript:Sspon.02G0032930-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLCIPALEDLVKSSLKDMSRYLHNDAIKIVKETQSQMSSLLQGLLDRLLSDSCCPPYERTSICSWRPCTSVVHGLANQLLSLGKIEGRVETELANLKNKMQIFVPILGRDINGLGVHWSLLVVDIGKGKKYILDSLPSEDTRCEVQSMISELKKYFEKMGQLTAFSSFKEEKPNLKRQLNGSDCGFHMLMYMDEFDRIKIDDIDE >Sspon.02G0014460-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:38336419:38337935:-1 gene:Sspon.02G0014460-1A transcript:Sspon.02G0014460-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQISPHPPPSAAVGRLRPPPASRPRLSSPLRPTPGGHSQPPSQTGGQAAQALSCLGRQPSHGCGGSSYDWRTHVLKKYGGYLHVFVATFGDAGETWDLYRAIDGDGSPHDAYADDLRILRLSLLVRALHGMRKRVLGVCFGHQARTGWDVGVREVAIAEAPALLLRWFLDALRERDQLRPRAKITEVHQDEVRAWHGIPFLVAHSHFHERHAGTAWTHAHYTPSFLAHLTAFLALCVHYGMVWCGAWEVPEGAKVLASSDKTGVEMFCVDEHVLGIQGYPEYTKDILLSLVDRLLAAGSITVSASRPSPPSDPFAEAVKRQEEITAPDREFWLTLCKSFLRA >Sspon.04G0037440-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4D:71690810:71691662:1 gene:Sspon.04G0037440-1D transcript:Sspon.04G0037440-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding EGWNRLLHGGSVEDEGDNIGVSIFIDMTTWRLLFPDGGIKAEMMSPLWNNFLRSLLRFVVVRSGHDEGLGRIIFRSVFLTLRWQKEEEGRHQKEEISQFRLQECWPLFVGCTKKVASICGVDTSNAESIASLDMMDGYNANKWSCNT >Sspon.08G0008040-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:29177073:29180335:1 gene:Sspon.08G0008040-1A transcript:Sspon.08G0008040-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRPRDRKREASSHFSPNSTRLPSPASKGKGRARVPKSKPELNLKKMMMGREDKYVRFQDWRSEQSVSSENIVAPYRDDVSVFSSLKERTARVFALLGNLLHSETSNRSMLDERKSATGTLHPQGPFLQKWNRIFVISCIFAVSVDPLFLYIPVISDEKPCWYLDRKLEKAASVLRFFTDIFYILHIIFQFRTGFIASSPTTFGRGVLIEDRYAITKRYLSTYFFIDVFAILPIPQVIILVLLPNLQGLKIMKAKNVLLLIIICQYVPRLIRIRPLYLQITRSAGVITETARAGAAFNLLLYMLASHKFVKNSTRDKRGILHLQSADYEQRPVSGTQTQPKR >Sspon.02G0020060-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:62336764:62346693:-1 gene:Sspon.02G0020060-3D transcript:Sspon.02G0020060-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear pore complex protein NUP54 [Source:Projected from Arabidopsis thaliana (AT1G24310) UniProtKB/Swiss-Prot;Acc:Q8GYF7] MFGTPSTTPVFGNPSTTPVFGTPSTTPAFGAASSTPAFGTPSSTPAFGTASTTPAFGASSSTPAFGTPSTTSAFGSLNFGTPSSTSAFGTPSSAPAFGGLNFGTPSSTSAFGAPSSAAAFGATPSPSPFGFQQQATPSPSPFGLLGGGGAQITTQMAPVAPLPLSPSDRDIQAIVDAYKEDPGNPRYAFRHLLFSVTEPSQRVKPVAASDIMWAEAMGKLECMDSADRERLWPQLVQSFKDLSHRLKLQDEVLASDTDRLSMTHSNVKKLQRHFQADTYPWIQRLKQQELIIQRRLLRNSGGVGESGYRSPLTKEEADLYERLVAILKRLKGPSADLSKRVNTLLSTSRLLASTGGAGGSVYIPSSAKVDERSITELLEALQLQTEAVAKLGNVLKRDIRDLEIIQSEDTDMAEDSVGRRAQKI >Sspon.05G0018190-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:73901141:73903051:-1 gene:Sspon.05G0018190-2C transcript:Sspon.05G0018190-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding METLSNKRQQHGGHKQSLSNKRRPHSCIDDEGGDSSVADAKTTAASCTSSGKPFSISFGVAAPPACCSLHCDWLGGGTPGDSDGKHSNPEVRRGQPPTTTFDDHFLYEAGGSARPPSLLLLPGFYVPVQLGYRKHRDPTPQFRCLGMTNTGILRRGEDDLVVCQYEMTCDPPIYDTAELCMLRIGRDREWIVRRVPIVEHREGSSELQPWRHRQRSLVADAAVPVGNRFLCWVDCGTTGFVLCDMAEEEIPKLRFVGVSPPRCCLGDVPGKTSCEGSSSSFNVTTWTMTLSTEEATTWVKDVVLDCDEIWKWRLPNYGCLPRDKHLEYPMVSSDDPDVIYFKAEDDPDVICFKVEVMAIEINTRTKKLLSVVPSGTRDLLEDEESSRLITAKLRW >Sspon.01G0021380-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1A:78607193:78607822:1 gene:Sspon.01G0021380-1A transcript:Sspon.01G0021380-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDGAVLPTHTTTTTPPPHSKNQADCELRRRRRCACVCLLVSLGAALLLGITLLVLFLTVLRVRDPTTRLVSSRVIGFALGPGPDFQFNLTMLLTVGVHNPNPASFSYASGAAQLWYRGVLVAVAGIDPGRIPSKGDGTMELVMTVLSSSFGAELPQLVKDMEAGAVPLDASARVPGKVAIFSVLKLPAVAYSDCHVIFGVPEMKVRSQ >Sspon.05G0009390-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:27088128:27093470:1 gene:Sspon.05G0009390-1A transcript:Sspon.05G0009390-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MCRSAWAVRLASLLAFGLVLVSVEASIGDVDPRYRDIDLTEKLDYSSAVALLGYSLILSLLRAFNVKDEATRVMFAAPILAFVTTHILYLNFYELDYGWNIKVCVAMAVVQLLTWAVWAGVSRHPSRLKLWTVVFGGALAMFLELYDFPPYMGYADAHLLWHASTIPLTYLWWSFIKDDAKFRTSMLVKKAK >Sspon.04G0020980-3D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4D:81561031:81562183:-1 gene:Sspon.04G0020980-3D transcript:Sspon.04G0020980-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding QVCKEEA >Sspon.08G0006490-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:18062464:18064666:-1 gene:Sspon.08G0006490-2B transcript:Sspon.08G0006490-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKTLRVYDMNRPDAAPRELDKSPGSVRTAAWLHSDQTILSSCTDMGGVRLWDVRTGKIVQTLETKASVTSAEVSQDGRFITTADGSSVKFWDANHFGLVKSYDMPCNVESASLEPKSGSKFVAGGEDLWVHVFDFFTGEEIACNKGHHGPVHCVRFAPGGESYASGSEDGTIRIWQLSPANADDNEAANANGKTNAVVNEVTTKIEGFHIPKEGQTEG >Sspon.07G0005330-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:13544051:13549014:-1 gene:Sspon.07G0005330-1A transcript:Sspon.07G0005330-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGASEASPPCARCGKPAQLQCPKCAELKLPRENAAFCTQDCFRAAWSSHKSVHPKPGALASQLSPEGWKYCVRKGRGRALELPRFDWTGPLRPYPISKMRVVPDEIEKPDWALDGIPKIEPDSDLQKRVEIKTPELIERMRETCRIAREVLDAAARVIKPGITTDEIDRVVHEETIARGGYPSPLNYHFFPKSCCTRLKVLFTLLSLVLRSVNEVICHGIPDARKLEDGDIVNVDVTVYYKGVHAYVSTSGDLNETYFVGNVDEASKQLVRCTFECLEKAIAIVKPGVRFREVGEVINRHALMSGLSVVKSICGHGIGELFHCAPNIPHYSRNKAVGIMKAGQTFTIEPMINAGKFMYYIALSNPISYDAVQKCFQTPERVWNDRLWPDDWTAVTADGKRSAQFEHTLLVTETGCEVLTA >Sspon.08G0026190-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:17801799:17802284:-1 gene:Sspon.08G0026190-1P transcript:Sspon.08G0026190-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPTRPKIKIIHIIAPEIIKTDVAHFRDLVQRLTGKPTTCAASINSSADDTAPVEEEEMETTTTKKRPRPPAPAPPVADEMSDFMVQEEPIIKKRKIKCEVKVEEGAFGDYELDRSDLWMDLNPGGFLSFLEEEGVFQGLAADHDFLQPFGSSRMDLVGE >Sspon.04G0002270-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:6964354:6965093:-1 gene:Sspon.04G0002270-1A transcript:Sspon.04G0002270-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:17.4 kDa class III heat shock protein [Source:Projected from Arabidopsis thaliana (AT1G54050) UniProtKB/Swiss-Prot;Acc:Q9SYG1] MTELFDTAVTSLLHLPEVLDRLAAADGDRRSGGHHAAHHHGHARVHGLGGGGYGGGAPVDIMETPGEYTFVLDVPGLSKSDIQVTLEEDRVLVMKGGNGKRKREEEEEGEGCRYIRLERGAAPRSFARKFRLPEDADTGGVAARCENGVLTVTVKKLPPPEKTTKSVQVTIA >Sspon.06G0005040-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:16902115:16904210:-1 gene:Sspon.06G0005040-1A transcript:Sspon.06G0005040-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding NINKRMRLGSINGLRFKQLKLVILAFFMLFLLWKWEKGTYYDSEILQPDPLVLTHPDGNEVPPPEKKECNYRNGKWVSDNRRPLYSGFGCKQWLSESWSCRLTQRTDFAYEKFRWQPEACEMPEFEASQFLRRMQDKTIAYVGDSLGRQMFQSMMCMVTGGKQRPDVEDVGAEYGFVLAPGAKRPDGWAYRFPSTNTTILYHWSSTLCDLEPLNPSDRATSYAMHLDRPPAFLKNNLHRFHVLVLNTGHHWNRGKLRANKWEMYLGGAPNNNRNIAVIWKAKNFTVHSIVKWMDAQLPHHAQLKVFYRSISPRHFFNGDWNTGGSCDNTNPLAKGSGIHLNHSEDGDAEGAVRGTRIKLLDVTALSRLRDEGHISRYSIKATPGVQDCLHWCLPGVPDTWNEILAAQL >Sspon.05G0030920-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:2265535:2276978:1 gene:Sspon.05G0030920-1C transcript:Sspon.05G0030920-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEEGAIRPYTYFFYSYIRNLLYRLEFVVECTRDNLILADPICGRLFVGIEAILLGQAYPPDDVNTWTLLQREDALIGEGGSGVIHPMEGPGEDPAHPGRPREGQPCHLGGGAHTQRGQPLVSPPTTASGAFRGLSSNNFDGALPSELGNLAKLTELYVPNNSEHSIPSLPFIFRLDRWAFDNDFTGKLPDYIGRWSNLVELRIATSFAIDCGSSRSVSVSDGSMYSSDDANIEYASYYVTTERTWGVSNVGKFMEASQGTEILYKSLQFQNTTDIELFQTARMSPSSLRYYGIGLENGNYTVTLKFAEMDIEDSQTWKSVGRRVFDIYVQGERKEQNFDIKKAAGGKSYIAVEKQYIVPVTRNFLEIHLFWAGKGTCCIPTKGYYGPMISALSATPNFKPISHKATQTKHIGVTVGVVVGATTLGLVVLSGLYIWRQKTRKSSSKKHELYRIAGRSNIFSYSYGELRMASDNFNPTNLLGEGGYGSVYKAKLTDGSMWQ >Sspon.06G0005080-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:16236307:16240494:-1 gene:Sspon.06G0005080-1P transcript:Sspon.06G0005080-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGPWLSPILLSDGTHLICGGLSPYIISSSIFRDSRLHMGAVVRLLEFTCHNDRSERMVGNPKQYPSVKLENKRVELDAESVSSNAKLNCEAYSAGQRLKEFLTRRGMVTALQQPVMQVVDVSLMRSELKKFGRYHLILSDTVHTQDATLAPGLNHLVENILLIKGSIIRLLEFICDTAKSPSMIIVIQLEVLQTVCELIGSPKAYELSLFEKPYVPEGKYEEPYPRSVANYAQPMNGPCPSGQGFKGHLTWVAVVAILEGQMIAEQQPVMQAVDVSKDNLERYHISLSDGVYWMRGFLISNLGHLVHNNCVCKGTIVRLHKYGSLFMLYYTCRLVQCKTYRVCLGY >Sspon.01G0002880-3C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1C:7819675:7821515:-1 gene:Sspon.01G0002880-3C transcript:Sspon.01G0002880-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTACMAGVVSEEIPGPALADGMDVHGWGHPVNCFHGVHAAQAGRLAHRLRPQVLVHRLLGDRLQRLHGVRAAHHRRWGCDCGPVHAAVGKGERPRARQHGQRAGTARDGLREAGEECLRSAQDDEVKHQHQTVTAGHEQQTGSIKRPAALRFGFYM >Sspon.04G0000120-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:626295:627985:-1 gene:Sspon.04G0000120-3C transcript:Sspon.04G0000120-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase [Source:Projected from Arabidopsis thaliana (AT5G42180) UniProtKB/TrEMBL;Acc:A0A178UJR4] MAAPLGASAEAALLLLVLAAVALSCGDALSLDLYDESCPEAEAAVTAAVRQAMANDRTVAAGLLRMHFHDCFVRSGGPWWVVAVGRRDGRLSLANETTALPGPTASFEQLKQAFHGRGLSTKDLVVLSGAHTLGFAHCSSFQNRIWVQGAADPSLSPSFAAALRRACPANNTVRAAGSGLDATSAAFDNTYYRMLQAGRGLLSSDEALLTHPKTRAFVALYAASQEAFFRAFAKSMLRMAALNGGDEVRANCRRVNSS >Sspon.01G0051350-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:16995497:16998336:1 gene:Sspon.01G0051350-2D transcript:Sspon.01G0051350-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative pentatricopeptide repeat-containing protein At3g49142 [Source:Projected from Arabidopsis thaliana (AT3G49142) UniProtKB/Swiss-Prot;Acc:P0C899] HASRSHLPTFGESVLRLVAACRAPAHLPSLRAAHARLLVLLHPSHPSAAHASVKLIQAYAACSALPLAHAVLESSSSSPDGSSRTTTVYINVLIRALTAASLHRDALVLFASMRPRGPACFPDHYTYPLALKSCAASNDLLLGLQIHSAVAKLRLDANVYVAHSAISMYARCGRPEDAYRVFDGMQHRDVVSWNAMIAGFARAGLFDRAIEVLNQFVVLQGSMPDAGTMAGILPAMGNANSDDIAFVRGVFDHMQFKELISWNAMLAVYANNGYHVKAVELFMLMEKDEVEPDSVTLATILPPCGELSAFSVGKRIHGIIKRKNMCPNLLLENALMDMYASCGCLKDAREVFDSMSARDVISWTSIISAYGKHGHGREAVDLFEKMLGEGLKPDSIAFVAVLAACSHAGLLDDGKRYFDSMTSRYRIAPKAEHYTCMVDLLGRAGCISEAYDFITTMLIEPNERVWGALLQACRIHSNMDIGLVAADNLFRLVPEQTGYYVLLSNMYARAGRWADVTSVRSVMVNKGIKKFPGTSIVELGDRVHTFHIGDRCHPQSEMVYQKLDELLAKSGEWVSETCASLSPEAQEECGFVKNRVFLQDLTSALHGAHRLGG >Sspon.03G0002140-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:5176702:5177507:-1 gene:Sspon.03G0002140-1A transcript:Sspon.03G0002140-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHKQRTEEWMRGRAEQLKGEVHRMFEAGKAMNDASDTVSLVDALERLGIDNHFREEIDVALSRIHGEDDTDVGSSHDLHTVALRGLLTLYNATHMAIPGEAVLDDAIAFARRHLEDEAARGKLRSPLAEQVCRALETPRPRFMRRLETMHYISEYEKEEGHNATVLELARLDFNLVRSLHLKELRSLTL >Sspon.06G0017050-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:92857802:92863474:1 gene:Sspon.06G0017050-1A transcript:Sspon.06G0017050-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRGGGSQSYGFPIYCAAWLPLAHILKPDPPAAEADADADADAASSSSPPSPMVVLGGGGGEGRSGVPNKLVVAALAAGEEAAPAALSPDTVVEVKTQEEVPYRMAVHPRGDGVFCAFPNGCRLYRWESQEGEGPRKLALEPDREALAELKDVGLQLSVSFSGEGSILAIGGEDGHLRVFKWPAMASVLTETDTKTSIKDLTISSDDKFLAVNRSSGPCRVWDLQSSEVVASLPREAGEIFGFCRFCNKTDNSHVLFITAMQGDYGKIVSWNTTSWTRIGSKKITREAISAFAVSPNGALLAIGTIEGSIIILSSKDMRTLVTVKKAHLGIVTTLAFSQDSRTLLSTSFDSTARVTSVGSPKSNGTSVWPMLLVIILAILVYYCLQHKEDILAMQQQQLKIIDIQDSNKALTPAAAEGPDLGGKRGQLPAPNETDRSTPIPAISSASENLRSRLILPSPVMSSSRPLLDAGARIETSGHARRGSATMDAARLTARSGTPPPCAVSSV >Sspon.07G0010150-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7A:30498618:30503267:1 gene:Sspon.07G0010150-1A transcript:Sspon.07G0010150-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ENYPQDSAAVAGNRKYDVYMGIDVFGRNTFGGGQWTTNVALDLLKKVDISAAIFAPGWVRANANSALGLSLDLSSRNKQGTSILVAEDIATFTRKKQNHKYGSYVKADKVELHAPDNQDWVLYKATVWSSAGYTLTGINIVCTLKFTGQFSPETEDSSILEEEVDGSSLYQASLGHISIRNTDKNTKFPPAESWVTEGDYISWSKSSNGSELVSLKISWKLKTPDQRQPPFSKYNIYVEKLTADSDVKAPRSYLGVASVDAFYVSGLE >Sspon.06G0001260-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6D:1077899:1081914:-1 gene:Sspon.06G0001260-2D transcript:Sspon.06G0001260-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTRASALMATKAILTLPMDLMHAKVNIDECSTPESYSCYGECKNTPGSFLCMCPAGYKGNASFPNGCKGLSIGLGLGGGTSLLLALVAPFITRKIKLQKISMSVYLRKSTNALLNMSMSVRNQKSTSAMLKLPKARKMKEKFFEQNHGLLLRQLVSQNGDIAGRMIITLEELERATNNFDKTNEVGVGGHGTVYKGILDLNVITVKKLKIGDSVQIIDPQVMEEEDGRVQEVAELAARCTLLKQHERPTMRQVELVLESLQGSGKLTRRNAAARSCEVYHVARPCSMMNAGGFGREREEASRRYSMEEEILMSASFLDDVVSGLKKYN >Sspon.02G0013710-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2A:36492082:36492975:1 gene:Sspon.02G0013710-1A transcript:Sspon.02G0013710-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGTPRSSIGHILPGAGFLAVGLWHLFNHIRLFSLRPDAYVAPVWFPAPRVRHLELILVIAGSAVEFSMEMFVDHSTFLPFDADGSIPSDRLHNHEHAIICLALLVYAASALHLDRARARCRDALSLLLVAAVFAQELLVFHFHSTDHAGFEGQFHWLLQLVVAACLATALLGIAFPRSFVVGLVRSACVTFHGVWLIVIGAMVWVPSRVPKGCSLVEEDGRYTVRCHSEASLHRAKALANLQFGWYLSFMTVFVVVLYVNVCRMYPAETAYVRLPPEAGEEEEHLKRKCGVVSGDDD >Sspon.01G0060470-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:79761301:79763943:1 gene:Sspon.01G0060470-1D transcript:Sspon.01G0060470-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQETEVVQSISDLPVQDPPGEEFSAADLTWVKYASSEHHRDDVALIPYDRMEAFIGGESNNPECPTRFHIERGRKRERGSLREYRSDEYLLYRMYWCSFGPENYGEGGTILPSRKYRLNTRNRAARPQSMRGCTCHFTIKRLYARPSLLLIIYHERRHVNKSGFICHGPLDRDAIGPGARKMPYIGSEIQQQTMSLIYLGVPEENILQTHIEGIQRYCSADAQVDNLASQYVQKLGMIIKRSTHELDLDDQASIRMWVDRNKKSVFFYQDSTEADTFILGIQTEWQLQQMMRFGHQSLLASHSSFGVSKLKYPLHTLLVFDSRQHALPVAWVITRSVTNKDTLKWMRALTDRIHSIDSTWRIGGFIIDDPASELGPIRNLPLASQESCGAIEGYHLKLKVKAYDDVQLDALQRVDWLVHKLTTELHSSYWINLFADESGSFPEVKADYIASTSWQRALQIPDDAVTFDDKEPLLARVVSQKDTSQTRTVWNPGSEFSLCDCSWSMLGNLCKHVLKVNMMCGARKDFHPSVSFQSFQHVLLDLWQKPLDDSFSLDLSVAWVMQMQEKIKHVAELATSGGIAQVAGKLPIQWTKKRGRRVAAKRTSLLLLPHSNGSLQKDLTPKKSKKRKRLSRFPG >Sspon.04G0017860-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:64837949:64841931:-1 gene:Sspon.04G0017860-1A transcript:Sspon.04G0017860-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFDSLLNSKFYNKCKHAIKCTRTRLDLLRRKKQAMVKFLKKDVADLLTSGLESHAFARMEGLIIEMNQASCYDMIEHYCEYIVKLLNHMQKESECPQEALEAVSTLIFAAARFPDLPELCDLRHIFTEKYGSSVEPFVNSEVLKCLYFSYIELISIFVQNLQSKSFTNEEKLRVMKRVAEEFSVPFDSRALEWKITCGSQNKHDLPKKSSLKQEMEASARDGHKVDKHAVHERKSKAMPEGYEQKQEMAVKPKDIHVVPDGIGQLGEKSRKKYSDKPSEKNHMDNPLPPLDMKEKNGQKEMKKYDKKDGHHRRELMDAEVLDLNGLKKQDAGAAKPSGGPDRMGVDDEINNARPFHRIPSERRKHRSRRNGSTSGSDYNGASEDHESDGDDVNTAIDFGNLLPRAPSSHRKHRSRSADPRKGGRDDEEKMMDKLLMHYSKKGLDREEHKERVKSRIPRPRADQRADDGAGELSNKEGASAHRPERAVSLPSESASPKAKPKAKAPVRSLSMQPEMSRGNVHPSMPDFDELAARISALRNA >Sspon.04G0015520-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:66864648:66884303:-1 gene:Sspon.04G0015520-4D transcript:Sspon.04G0015520-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKLFLKLLEAVLKTGNRMNVGTARGGAMAFKLDTLLKLADVKGTDGKTTLLHFVVQEMVRSQKPPPTRAAEGPDIVAGLAAELTNVRKTATVDLDVLTTSVSSLSHGLSRIKALVGTDQLAGDEKGQRFVAFMAPFVSQAEEGLPPAAAPPTLASPPVKRRRKDIAGQAAAMPKRGNTSSLLDKMRSRLSGGHFRMLNEKLYTCSGEDAFDYFKNDPNLFDVYHTGYQEQMSHWPEQPVNVIINWLKSHNASWTVADFGCGNAAVAKSVKNKVFSIDLVSDDPSVIACDMAHTPLEPSSIDVAIFCLSLMGINYPSYLEEANRVLKPSGWLVIAEVRSRLDPNNRGADPEKFSKAIIQLGFSLVSKDVKNKMFILFYFRKKASKQTRPIHQKQSKSRVRFRVVVRAGPGHLLPAEDETLSTLPPFSTRAARAPSTSPLRCLLTRGPPFPVLLELGLLPTSRPVRRAVPVSPLVAGPGELRLLDLPRPAASPSPQPRTPPFAGAVMAFGRRSPGSSRHPPALNIVTALLFTFLSSAAAAAAASSSTDAMHNNNWAVLVCTSRFWFNYRHMANTLSLYRTVKRLGIPDERIILMLADDMACNPRNSYPAQVFNNENHQLNLYGDNVEVDYRGYEVTVENFLRVLTGRHESAVPRSKRLLSDEGSHILLYMTGHGGDEFLKFQDSEELQSHDLADAVKQMKEKHRFKELLIMVDTCQAATLFSQLQSPGVLAIGSSMKGENSYSHHLDSDIGVSVVDRFTYYTLAFFEKLNMYSNASLNSLFTSYNPSMLMSTAYYRMDLYERPLNEVPVTNFFGSVMKTLHTDSAYTGFLAAHDYETPLSVGDNLDDHFMLKDRATPRRSNIEKEAQLTPHGWTEVLLEQLEGKNTDTVVMYGLGAMGKDLSSTKPVE >Sspon.01G0019330-3C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1C:73426323:73427273:1 gene:Sspon.01G0019330-3C transcript:Sspon.01G0019330-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKTVPLDVKSTDTIDQIKSQISALEGIDSSQQVLFFAGNQLEKDNRLADYNIMENSCVDLYVTDGMQISVSIPSVGKTIKLNLKKSQSVADVKAVIEQKGGIPLDEQILMYECQKLEDNKLLSQCGLSNGHTLHVLVCPTDKLRISVDVDGERTINLDVKGWYTVADVKLMIDTLEGLPASTQILMRTQPGGANTVLKDIETLQNQRIKNNDIVTLYLKVNFFIKTYEGRTLMMSMRTCDTAEEVMKVIEEKLEVNRGVYYLHYRGRVLSLGDTLRKHKIGNNSTVDVRLRNSYVPQRNKRKPKGLLGVRPRGKT >Sspon.03G0009190-3D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3D:30068737:30069540:1 gene:Sspon.03G0009190-3D transcript:Sspon.03G0009190-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVQVVDLSSDSEGEVSAHSPDHMRPSRQPDPNRGGGDTGSSAMDILFEQAAAGAAWGDALKKGKEKVVEGESAGPPKHGGELLGAAGRVLGAGSDPWSAVVSKCKAWDGGSNGAGCWGSWGDRGDQLTNSAPMPRLGSERKGFHETSSNKWKGILGASPSDPVNSLWSSWDTDMKDNEDETFSQRSAAAREISNCDDFLMEDSSSSWLSKIKGLHFPLPDEHQLRTRQIENDEIFARRLQEQLNQEQPGSQHSEVVVTLDYSSLNVY >Sspon.08G0012010-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:49162375:49165340:1 gene:Sspon.08G0012010-2C transcript:Sspon.08G0012010-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPTKRRGGGRRGHGRGRGAVAEKDMDHLETSAPSSPSTSDREDQLVLIPRQSPACYVGPSEVSTTLLNPKINHRSDAIFGDQLWSWERFHVGRPLVRSPLCTEIGIEQDLPPIGFRWVGARTQSENATRCLKQYRDELNLQRVDQVKWEPYLQIEPSTLPPLCTRDADLWLTQAPLINFPIVEMYLPERVMRQFGLRQSIPPPFRPTLQSLHRISRRGKERENWEETHHEYIQEWEARRQRIFRDTEQYDPSSYEEYLCWYSGATRRYLVPATSDDVEAGPSAPTDDSLDLQYQAKSPIIRKAVDKLEGMVKKAKRAMTTTADATTQALVAEFLHGFEDVLQDLGEIQNNSDSAVPPFHSDTSPHVDAAASQHTPQLLLEARENIDTNQEGQQEEDDELNTVERASFALEPMQEENNFSNNVLPENPSLGVEENCDSAAPATEICDAATPMTGLVVPQSEEDLHQDQHIEDHPEMEQTILMVEPKCEEGDGSSFMLPPSPPELMLEEQDDPGLVALGTESCTVQQSVEVGEAEDQENPSTAEHGVLIVEHAGEENNNCNDVYSSCPPSSAIVDHIQIEQ >Sspon.01G0010840-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:13469714:13470552:1 gene:Sspon.01G0010840-3D transcript:Sspon.01G0010840-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLSSSAQGYGVGRWINAHATFYGGADASGTMGGACGYGDLYSQGYGTATTALSTALFSGGQSCGACFELRCAAGDRGSCAPGPGGSSSSVVVTATNLCPPNYALPNDAGGWCNPPLRHFDLSQPAFLRIATYRAGIVPVAYRRVPCRRRGGIRFTVNGHPYFNLVLVANVGGAGDVRALAVRARTTRWLAMARNWGQNWQSAARLDGQPLSFRVTTSDRRSVVSYNAAPAGWAFGQTFTGAQFP >Sspon.08G0030640-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:63699321:63700905:-1 gene:Sspon.08G0030640-1D transcript:Sspon.08G0030640-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding EGDLMEMRLMLAPPFCSPLLSTTTMDSLALRRSDSRQGADPQRWCPLPLPKSLSFFTCSRYVMLFSATFPKEIQVS >Sspon.07G0015590-3C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7C:61129012:61132455:1 gene:Sspon.07G0015590-3C transcript:Sspon.07G0015590-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVALASGVLKVAGDKLVSLLATEFAAITGVKRDLSELQDIHEEITSWLSAARDRAIQSEPQSRWVVKLKDVAYDIDDILQEVQLEAEKQKMEKDDDKSGIAGCFCAKPKTFAFRYKMSHKIKAIKVRFAAIVKQRSDVNTLVSNFPRDQHVGTRHRTVGEMTWLSKVPESKIPLRDQEKDEIISKLVECNAGENNMIVSIVGLGGSGKTTLAKHICHDVKIKEHFRDEIFWVHVSQEFDVEKLIGKLFQKIVGDNSDRHPPEHMIQKISEKLSNKKFLLILDDAWHEDRHDWEQFMVQLKCGAPETRIILTTRDRKVAEVVESRHIFELAFLSESESWNLFLKGSGLAEQDLSSDHVQVGKEIIKVCGGVPLAIQTLGAVLSDKKEISTWRAIRENNLWNVRSINDRVFASLKLSYIHLADELKQCFTFCSIFPKGYEMQKDRLIAQWIAHGFINAMNGEQPEDIGRGYLDSLVKVRFLQEPYGSNTDIYNMHDLIHDLTRQILKDELVTCVPIHTTEKFTHRYRYLSLTSFTENVDKGLFDKVRALYISDSKPSFDTAVKNSCCMRSVVLDYAIDTPFSLFILKFEYLGYLEIHNVSCTTVPEAISRCWNLQSLHFVECQGFVTLPESVGKLGKLRTLELRWITDLESLPQSIGDLYVLQSLQLYNCRKLREIPSSLGRIGTLECIDLEGCRELRELPKGIANLKRLSVLNIKGCIQLCCLPSGLGQLTRLRKLGLFVVGCGADDARISELENLDMIGGRLEITNLKYLKNPSDAEKACLKRKSNIQHLELNWSLSDAEEALVSDMEHDWGVLNALEPPSQIESLDIYGYRGPCLPGWMMKQNDSSYCEGGIMLKQTIASHFLCLTWLTLVRLPNLRHMRGFVELPSLKGLVLGEMPNLEELWTTSSGFETGEKKMAAQCPFPVLSRLYIDGCPKLNGSPCFPPSLERMSLSRSNGQLLSTGRFSHQLPSMHALVPRLTSLVLADVTGSSSGWELLQHLTKLEELYILSCNDLTQLPESMRNLTSLERLSIHQCPAVGTLPDWLGELHSLRRLDLSMGDLKQLPEAIQHLTSLEYLSIYSGRALTVLPEWIGQLSALRQLYIQNSPALQYLPQSIQRLTALEELCIIGCPGLAARYKRGAGPDWHL >Sspon.03G0030840-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3B:22919818:22920764:1 gene:Sspon.03G0030840-1B transcript:Sspon.03G0030840-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VSRANSLQQIGELERRTKQALNPIQAKVPPISIFRIPGPSSKLGGWQRATSCALLRGSWQGTASCVCPQQAGGGQAVKRPLAPVEAPEVAAATPSPSLGSSCPETFAWRDSPGAGHYQQSAPFDVDVAVNAALSPSPLGYRIAGRYYPPETRPGSSIQVMDLHLACSKLFVVVS >Sspon.05G0021670-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:59248858:59257724:1 gene:Sspon.05G0021670-2P transcript:Sspon.05G0021670-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAGGDDLAALHEQVALASSAAISASDLDLAFQLQVAEAIQASLRSPNAAAPSSSSQAASVLPVPEPSSDAAYAFAVQADDLAHAEQDRRDAEACRAAQARAAASARIAAHDALFARDLAAIPEEQWAHDGDYFERPVDSSPRPLFRVFSKGMPSRDVVGSRDRDPSIAVLAVAVCRTQGGVVLRIQKPVERFVGGRMIVEVMALMEGLDAALGLGIRSVTVVTGYRPLYNHMLGIWRPSGKKLADMMNQVLSVRRKFDQCEVSLVEPSQVSYVVKLATDSLTVQIAKALAANAVKEKRESCAICLEDTDITKIHVVEGCAHRFCFSCMKEHVKVKLLNGTLPACPQEGCATKLSVEGSRVFLSPRLLEIMVQRMREGQIPPSQKIYCPYPKCSALMSLGEVIRPMQESSSRYTAADAATLRKCVKCRGSFCLSCKVPWHDGMSCYEYKMRYPHARPEDAKLQNLARQRLWRQCVKCKHMIELAEGCYHMICVCGYEFCYTCGKEWKNKKASCSCPLWDERNIIRDEDDDDYEEDEDGLY >Sspon.04G0002760-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:8507361:8508117:-1 gene:Sspon.04G0002760-1A transcript:Sspon.04G0002760-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARDLRRLPLLSFAKSPAPPVGGDHAPDVSASNSGSGPDRERRETTPSSRAHGELSDLESDLAGHKTTGRSLPAATSARQPIVPPAQEIEEFFAAAEAARPSASLPSTTSTSSTASPSTPAGSSGRRWSASEASVRCK >Sspon.08G0004690-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:11369449:11369787:-1 gene:Sspon.08G0004690-3D transcript:Sspon.08G0004690-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ELRRLLDLPRSHLRRRPPAAGRLLPLRLLQRRVLHLPPSDGAVLRPRHRVLPLRHPPGAAGAAGHRRGAALRHARLT >Sspon.08G0004140-1P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8A:12036094:12037191:-1 gene:Sspon.08G0004140-1P transcript:Sspon.08G0004140-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSFSNLTDAACVVYQFKINGYSATKSMARTDSLPSKRLAVGGYEWEVHYTPSHVVVSGGWIAFRLVLLSAPRRNDVKAAFRCRLLYTSSNSYYGHERGACVRDSNGNVEGQMSHAFKRAKESSGWIPLRKRNDVEAARVIENDSFTAECTITVVTELPPEPDTAKTIVVRPPIPPLSGLHSLHHDLGELLGKATGSDVVLVVSGETFAAHKAILASRSPVFMAQFFGPMKETRSERVEIMDMEAAVFGAMLRFIYTDMVPELERQEDGVVIAQHLLAAADRYGLDRLKSMCEDKLCDGTRVETAATTLALAEQHGCPKLKARCVEFIAANLDDVMATEGYKHLMTSSPLVLNDLLRAVRGRKN >Sspon.08G0002390-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:5364448:5375560:-1 gene:Sspon.08G0002390-3C transcript:Sspon.08G0002390-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGRSLAAVYSARATRPAPLRAGARPPRAAAAAAADPASEHVRRLVAEFDPAVPLDSAVTPPTGWYTDPGFLQLELDRVFLRGWQAVGHIWQVKNPNDFFTGRLGNVEFVICRDANGKLHAFHNVCRHHASLLACGSGQKTCFQCPYHGWTYGLDGTLLKATRISGIKSFNKNDFGLIPIKVATWGPFVLVRFDDESTEDNVYDAVGNEWLGSASDLLGTNGIDTSLPHICRREYIINCNWKVFCDNYLDGGYHVPYAHGALASGLQLQSYETLTYERVSVQRCESAPAEPDDFDRLGTKALYAFVYPNFMINRYGPWMDTNLAVPLDSTRCKVVFDYFLDKSLLDDQSFIEKSLKDSGQVQIEDIALCEGVQRGLESPAYSVGRYAPTVEMAMHHFHCLIHGNLSGISPTAQENREDSGSEYRQ >Sspon.02G0021520-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:70909913:70914076:1 gene:Sspon.02G0021520-2B transcript:Sspon.02G0021520-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ALGPHAAPSDLISPIRPPLPTSLPSHANAHRRPRSSVPASGGEGAFHLVSGTLPPCRRAAEIRRSDLTCEQGSSIVQFGNMSSKKIELDHKDIVHDSAIDYYGKRLATASSDSTVKIVNIGAANAPSQVLATLSGHYGPVWRVAWAHPKYGAILASCSYDGRVIIWKEDARGNWSQVHVFSDQKSSVNSIAWAPYEVGLCLACASSGGRISILTMQADGGWDTSTIERAHPVGATAISWAPATASLAGAGELVYKLVSGGFDSVVKVWGFNNGSWKLESALISDMHTDCVRDVAWAPVLGLAKSTIASGSQDGKVVIWTKGKDGDKWEGKLLRDFGSPVWRVSWSLTGSILSIAAGENNITLWKEGSDGQWEEVMKVEP >Sspon.06G0024990-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:60242227:60243696:-1 gene:Sspon.06G0024990-1B transcript:Sspon.06G0024990-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSAPLVAAAVGARVSLRPNLSLLADRCATPRALARVHAAMIVSGRLAEDAFAASRLLTAYAALSPDPAAAALALLSSLLCAPNSFMLNTTLRVLASSPDPAAAFPFFSRLRVTGALAPGRHTFPFLLKAAARLPLPLPVTEQLHALAVRHGVHLDAYVANGLVRGYSVASRLRAARRVFDEVPERNAAVHTTMVSAYAQNGRHEDAMAAFDEMVRLGFEPGGAALASVLAACARSVSGGLEMGRHVHDLMVARGVTVGTILGTALIDMYVKNGAIEEAFAVFDGLPERRVPGVWNALISGLAHHGHSERALDLFRRMQREGVPPNATTLVGALSACSHPGLLDEARRLFRSMEKDFGIAPGIQHYGCMVDLLGRAGLLSEAEDMIRGMSCEADTMVWGALLTACKNHGGIEIAERAAVEMLKLDPSNHGVYVVLSNLYAEAGRWQDVDKLRKEMKGARLSKIPGASAVDGDGSLEQPESPPPQGNVLV >Sspon.01G0014980-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:44079148:44079906:-1 gene:Sspon.01G0014980-3D transcript:Sspon.01G0014980-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRQQQQLCMVFLMAFLVVSTMDVVHVAAGRALGDVSYGALIPGGAPTVPRRPPAAAAVAGAGGAP >Sspon.03G0012870-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:35799806:35802020:-1 gene:Sspon.03G0012870-1A transcript:Sspon.03G0012870-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAKTLARAGSSLFGRILATPSPSPSILRAGLPLARLQPHVPPPAPAGVDAYEAETIARLSSLPGEISFPCGLPSLRFFIDDVEDPVANDPLQLLPKRTYQPSTIKRKRTHGFLTRKSTKGGRKVIARRIAKGRHRISV >Sspon.06G0005800-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:19383705:19385008:1 gene:Sspon.06G0005800-1A transcript:Sspon.06G0005800-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAWRRGGRGTCHVGLPRSLVSTRLLSPFPNPHQNQIQASPGRGHAMAPPPDLIDDATAEILLRLPPDDPACLVRASLVCKAWRELLSSPAFLRRYRTFHGAPPLLGFLHNTYDEGPCTRFVAAADATTTFPFSAPAFDRFGWWFVECRHGRALLQTFERHALARLVVWDPITGDQQYLPMPVSGYYFCRSAAVLCSADGCDHLDCHGAHSSSVYSSETGVWTTSSSIQLDVYIEERPSLLAGDALYFSAQQGKMILKYDLVGQKLGVINAPDMFEPTEGIVVTAEDGGLGLAGVKDGNLHLWSWQAGPRGIAEWVHGRVVKLRMLFTILNPLASHDVIGFEEGTDTIFISIDMDVFAVMLRSEQVKKVGKTGSKYAMAPYVSFYTPGSGLVFIFNLYTLYIDVCVVCDLQNFCQTVNK >Sspon.02G0040380-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2B:68391969:68392574:-1 gene:Sspon.02G0040380-1B transcript:Sspon.02G0040380-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGSEWIGEVAGGAPAGNSGPAASRGSAMAGDGYPALQSITSRKKNSSGKGIRRWLEEGGSPDMWARVVSDGKRRKTRGRLSWAGGALLGLAQAVVREGKWQATRGNRPRRAGGPNTK >Sspon.03G0033010-1P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3C:50065209:50065694:1 gene:Sspon.03G0033010-1P transcript:Sspon.03G0033010-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVKAYVLLFTAFFFSGLMQLSMAQDKPASALVIDAKGIDQAIAYLLMFAALFVTYFAHCSMIAAASKVIESADPDNYT >Sspon.08G0028150-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:6366271:6371660:1 gene:Sspon.08G0028150-1D transcript:Sspon.08G0028150-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLLDSSSGLWTVLGQASNVAQLVGVDALGLVSMVVQAALAARRHRDACRRLAQHVEVVGGLLQELEVAELMRREATRRPLEQLRDALRRCYALVTACQDCGYLRSLFVSARMVDELRAAETEIDMFIRLVPLIALVDSTPDRNDKTIDIIDGALDFREQKSLDIEELLELCIRTQESCSGFRRFEFFQIIDATDNFSESRKIGWGGFATVYKGQLPDGSMIAIKRMDENATVFDFSNERMGSLLDWSKRINIIKGLAEGLVYLHKQSKLWIVHRDLKPKNILLDHDMNPKIADFGSARTLSSDFAEECTSRVVGTSGYKAPEYTSRGVYSLKTDVFSFGVMVLVTISGRKNNILEKQGDSVGTLVRDAWQLWNDGRLHELVDPIVGDEFELAEVMQYAQVALLCAQEEPADRPTMSDIVSLLNFQSISLLPDPKQPSELYKRGATGDKLSTHRLFLFGKVGRK >Sspon.05G0023360-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:4195461:4198784:1 gene:Sspon.05G0023360-2C transcript:Sspon.05G0023360-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEHMGRRTVGGLLFTKGGSILLFREDGSRPKAKNCCSRHGCSGQHSTDKAKGKEVHRVAAPSESTPATPGRSQIFRKPNRKPPHESSASGSISRDAGGSCSETGSRSRDTTGRDLLARLKERVNTSRKRSLNRENSPQSPSGFSASSPSNSRSVTRPSHRAASRIRKADEGANAGAADGMHRNGAGDARRSSERSDDDLLLVEQVTRNHVPSEGFLSGFMARYRSGLQGELSSLDDSMEDSNGYLRFDVGGIEELEYYFIFNDRHRGMRMDIDGMSYEEEYLAGEEVGKMACKHYYHLSCIQHWLRQKNWCPICKSVALKIN >Sspon.02G0027550-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:44723283:44726229:1 gene:Sspon.02G0027550-1P transcript:Sspon.02G0027550-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHVLACASSLLSLHKVLEEGPLLLSSIRTRARLSMFLIEDKGGAIALMLASLLFLGTWPAVLTLLERRGRLPQHTYLDYSLTNLLAAVLIALTFGQLGDSKRGMPNFFTQLGQDNWPSVLFAMAGGVVLSVGNLSTQYAWAYVGLSVTEVISSSMVVVIGTTLNYFLDNRINRAEILFPGVACFLVAVILGSAVHASNAADNEKKLSGSTNANKLGTSGTVEPSKQVLDKDAPKDLENGASGTKQVDKAEAGTAEYLIELEERRSIKVFGSNTFIGLGIVFFAGVCFSLFSPAFNLATNDQWHTLKDGVPHLVVYTAFFYFSISCFVIGVGLNILFLYRPMAGVPKSSFGAYLRDWNGRQWALLAGLLCGFGNGFQFMGGQAAGYAAADAVQALPLVSTFWGVLLFGEYRKSSRKTYVLLGFMLFMFVAAVAVLMASSGHRSTE >Sspon.07G0017770-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7B:69194873:69198057:-1 gene:Sspon.07G0017770-2B transcript:Sspon.07G0017770-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLADIPQQQRLIAGLALVVATAIFLKLLLSFRSGGGGKKRLPPTIPGAPVVGGLVKFMRGPIPMIREQYARLGSVFTVPIITRKITFLIGPEVSAHFFKGNEAEMSQQEVYKFNVPTFGPGVVFDVDYSVRQEQFRFFTEALRANKLRSYVDQMVAEAEEYFSKWGESGTVDLKYELEHLIILTASRCLLGREVREKLFDDVSALFHDLDNGMQPISVLFPYLPIPAHKRRDRARARLAEIFATIIKSRKASGQSEEDMLQCFIDSKYKNGRPTTEGEVTGLLIAALFAGQHTSSITSTWTGAYMLRFKQYFAEAVEEQKDVMKRHGDKIDHDILAEMDVLYRCIKEALRLHPPLIMLLRQSHSDFTVTTKEGKEYDIPKGHIVATSPSFANRLPHIYKNPDSYDPDRFGPGREEDKAAGAFSYISFGGGRHGCLGEPFAYLQIKAIWTHLLRNFEFELVSPFPENDWNAMVVGIKGEVMVNYKRRKLVVDN >Sspon.02G0058260-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2D:71826018:71828738:-1 gene:Sspon.02G0058260-1D transcript:Sspon.02G0058260-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEALVIVVLQKITSALGAEGLKIIGSKLQKQIPDMQEVINRMRLLQSDLSMIQAFISQVDAHSSSDKLLEAWLEQVRQAAYQAEDIVDEYIYLVGQMEGANSFLKRALNQAAKVKRWRKLAAHARFVEDCLKKITETKDRFDVSAADSRRDNALSYSSRLQHLSEHSYLNDDDDFVGNKEEVKCLTEWLSDLRKDRTVISICGMGGLGKTTIASSIYKKEEIKRMFICRAWISVSQSYRVKDLLQRILLQLMAKSENILDGLDTMDCVNLVELLRRYLKDRRYLIVLDDVWSRDSWPLLDSAFVKNDNGSRIIITTRIQAVASVADSNHEMKLSLLPKEEAWTLFCQKAFSRLDDRSCPFSLKACAERIVGKCQGLPLALVALGSLLSYKEMDEHEWELFYRQLRWQLSSNPELSWVASVLNLSYNDLPSYLKNCFLYLGLFPEDYQIERKQLIRLWIAEGFVEDRGPEVTLADVAACYLKELTDRSLLRVVDRNEFGRPKRFQMHDLVREISLTISKKEKFATTWDYPNSDCNSDGSRRVSVQKDGNLMEPVKNSAQLRSIIMFVEEVSLSWFRDCYPSFRLLRVLGLRHCHIQKVPDNVSNLFNLHYLDLGYTLLKEIPRSIGKLSNLQTLYLKGSVLELPSEVTMLTKLQHLIIDVGRFGSSASNKICRQEHLQTLKYIEANSCVVRNLGCLTRMRSLGIRKVLESYNTDLWTSVSNMKALASLSVIAADRNRDILDLSDLKPLPYLEKLMLSGRLDKGAIPPPFGHFPRLKSLWLCFSGLHEDPIALLAVMFQNLGHLNLYRCYDGTRLTFRARWFPMLKHLYLSSMGELKEVEIEDGTMITLRRLELWGLKSLTSVPEGLVHLKSLQQLCIGSMMPEEFKTRLEGHDRWIVEHIPYIGDP >Sspon.08G0008050-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:36493:37894:1 gene:Sspon.08G0008050-2B transcript:Sspon.08G0008050-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SPCH [Source:Projected from Arabidopsis thaliana (AT5G53210) UniProtKB/TrEMBL;Acc:A0A178UF96] MAEALCDQLFSDVDGDLMRQHTSDTDDLLGILEAWEDCVTGGGATTPRGAEVLHQTAGDAAATPKPVAAKRRRQGCREEDGTAVPAPKRQRCSPVSSEAAAASEDGAANKTSHITVERNRRKQMNEHLAVLRSLMPCFYVKRGDQASVIGGVVDYIKELQQVLQSLEAKKQRKAYTEQVLSPRPPACCSPRPPLSPRPPLLPLKSTPPISPRPAVVPISPRTPPAPSSPYKPCRPQPISVPLPPPGSSAYASPAMMPTREPAAASYLPSLDTIAADLCAYAAINKQLQAALPAATGGGVVLPDVKVEFSGANLVVKTVSHRAPGQTVKVIAALEGRSLEILDAKINTVNDTAVNSYTIK >Sspon.02G0049910-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:46229487:46231613:-1 gene:Sspon.02G0049910-1C transcript:Sspon.02G0049910-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPPTGEPKRRRLLSLMAVYPSESIAPAPLFSSLLALAADLASQGFGPDAGAFPVLRRGLRQAVRIAGLLLAFLEEIQDVTVTKTAALPSSAVLGLTELHVAMQKLRFLLSDCARRSARLWVLVNAGLAASELRVILGSVAAAVDALPKDVVDASVEAGELARLMSEHAWHVAVRPDAGDERAARSVRSILEQFKSGVSPDAEDARRVLEHIGVRSWSDCSEEIAFLEDELRTRLDGGAGGDSSSSDAVLINSLMAFMVYCRVVLFDQIDANPKVDAAARPPARCPDWLRPETLQCPITLDLMTDPVTVCTGQTYDRESITRWIKAGCRTCPVTGERLRTADVVPNAALRGIIERMLLSNGVSLPDRSSSGHRHGALGDTAVAFGPAAAGAARLAVAYIVAQISTGLTAERRKATCEARKLCKHNVFYRACLVEANAVPWLLCLLSSTDASVQDNAVASLLNLSKHLRGRAALFEAGGVGLVVDVINVGARAEARQNAAAVLFYLSSNAEHAEEIGRIPEAIPTLVQLIRDGAHRGRKNAMVSLYGLLQCAANHGKAVAAGAVAALAGLLSLPVVVDRDDDLASDAVTLLARLAEQPAGAQAVLARPGLVARVVEALATSSASRSGKDHCVALLVSLCRHGGDKVVALLGRMPGLMSSLYTLVADGSPQTCKRARALLNLIHRHYEMDGQPASSASEAGERVVRVL >Sspon.01G0013910-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:45761255:45764930:-1 gene:Sspon.01G0013910-2B transcript:Sspon.01G0013910-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-N-acetylmuramoyl-L-alanyl-D-glutamate--2,6-diaminopimelate ligase MurE homolog, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G63680) UniProtKB/Swiss-Prot;Acc:F4I3P9] MRQPAFRMTLAELLDESKLVPVAVTGDQDVALAGVQCDASLVAAGDLFVCVGEDGLAGLTEADKRGAVAVVADQDLNIEGTLACRALVIVDDILAALRVLPACLYRRPSTDMAVIGVTGTDGVTTTTHLVKAMYEAMGVRTGLVGVLGAYAFGSNKLDARPDASSDPIAAQKLMATMLHNGTEAVVLETATDGMPPSGVDSEIDYDIAVLTNVKHTDGEHGMTYEQYMSSMASLFSRMVDPERHRKVVNIDDPCAPFFAAQGGHDVPVVTYSFENKKADVHTLKYQLSLFETEVLVQTPHGILEISSGLLGRDNIYNILATVAVGIAVGVPLEDIVRGIEEVDAIPGRCELIDEEQAFGVIVDHARTPEALSRLLDGVRELGPRRIVTVVGCCGEKERGKRPVMTKIAADKSDVVMLTSDNPANEDPLDILDDMLAGVGWTMEEYLKYGANDYYPPLPNGHRLFLHDIRRVAVRAAVAMGEQGDVVVITGKGNDTYQIEGDKSEFFDDREECREALQYVDQLHRAGIDTSEFPWRYVSSKSVVTRSSFVSYRKATDFDLVKIAEFINSRSNIRLPI >Sspon.02G0019860-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:60144086:60148337:1 gene:Sspon.02G0019860-3D transcript:Sspon.02G0019860-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LVSLGVDFRRHPFRSILTFRCRRRYERGAEAPVFSSDSLSFLGEGEVRRAGTDAAMAGVDAARYAHSPAHHAVAARDHAALRRVLDALPRARRPEEIRTEADSVAEEARAEAVSAVIDRRDVPGRETPLHLAVRLCDATAVEMLMAAGADWSLQNEQGWSALQEAICAREEALARVIVRHYQPLAWAKWCRRLPRVVAAMRRMRDFYMEITFHFESSVIPFISRIAPSDTYRVWKRGANLRADMTLAGFDGFKIQRSDQTILFLGEGSEDGKVPPGSLCMINHKDKEIMNALDGAGAPASEAEVQQEVTAMSQTNIFRPGIDVTQAVLLPQLTWRRQERTEAVGPWKAKVYDMNHVVVSVKSRRVPGAMTDEEFFSSCNDNDTESEGFDDVLTEEEKKQLEAALKMDSPDAGGESDSFAGPRNSCVEPREREIPIEDMSVSGNGESKHDKKGWFSNWGKRSQVSKPEGVKKMAPPRSSLCVDEKVSDLLIESPSNVQTRPGRHSVDVVRADDSRRIRERDSRRHVASAENGHRRKEGSKESEYKKGLRPVLWLSPNFPLRTEELLPLLDILANKVKAIRRLRDLLTTKLPPGTFPVKVAIPVVPTIRVLVTFTKFEELQPLEEFTTPPSSPDNSKSPAVQPSSSSWIQWIKAPYRQNFLTAPGPSSRVEDIQDPFAIPSDYVWTTPEEKKKKTQENKNKSKKGRNGA >Sspon.02G0019510-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:53925476:53926582:1 gene:Sspon.02G0019510-2B transcript:Sspon.02G0019510-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLCTLLLVAVVLLSLLVSPIACTRKLSKPKPKPASHRRPVTAVKPKPKPVSYKPAPVAAKPPHSNHTTTPTPSSIVYGSGGWLSGAGATYYGATNGDGSDGGACGYQTAVGKKPFDSMIAAGSTPLYRGGEGCGACYEVKCKTNAACSGQPVTIVITDQSPGDLFPGEVEHFDMSGTAMGAMARPGMEDKLRAGGVLRILYRRVPCKYPGVNVAFKVDQGANPFYFDVLIEFEDDDGDLNAVDLMEAGSSVWTPMAHNWGATWRLNNGRKLNAPFGLRLTSDSGRVLVANNAIPAAWKPGKTYRSLVNYP >Sspon.05G0003940-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:15952734:15953792:-1 gene:Sspon.05G0003940-4D transcript:Sspon.05G0003940-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQAWGNLQDKLQGRRWKEHQVRKITDKVFDRLTEDAQKREKEALRFEEVYIAVLCVYNDINKYLPGPHHDPPSKEKLKAMMDEYDVNLDGLLDREEFAEFIRKLTADSLCTISVKLLITLVAAPALAMATKRATEGVPGVGKVVRKMPNALYASAITLGVVLVQKSAEGVE >Sspon.02G0037500-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2C:38866380:38866769:1 gene:Sspon.02G0037500-2C transcript:Sspon.02G0037500-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQGFMDKKEKPEATSSPPPPRLDCIKCFDMLWFCYSPFHQMQNYYRHGEFDNCFGKWGDLVDCLTLKAKRVAEVEEILIAREKAKPHIWTYRTVDEASENWWRMHKHFVMLSRPLSGSAQLRPKSDES >Sspon.06G0010820-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:46155158:46162077:1 gene:Sspon.06G0010820-2B transcript:Sspon.06G0010820-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:MLG (mixed-linkage glucan) synthase, Biosynthesis of MLG (cell wall polysaccharide [Source: Projected from Oryza sativa (Os08g0160500)] MAPGGGDGRRNGEGQQQQANGGSNNAKARHGCVCGFPVCACAGAAAVASAASSADMDRVAVAATEGQIGAVNDESWIAVDLSDDLSGDGADPGVALEDRPVFRTEKIKGILLHPYRVLIFVRLIAFTLFVIWRISHRNPDALWLWVTSIAGEFWFGFSWLLDQLPKLNPINRVPDLAVLRQRFDRVDGTSLLPGLDIFVTTADPFKEPILSTANSILSILAADYPVERNTCYLSDDSGMLLTYEAMAEAAKFATVWVPFCRKHGIEPRGPESYFELKSHPYMGRSQEDFVNDRRRVRKEYDEFKARINGLEHDIKQRSDAYNAARGLKDGEPRATWMADGNQWEGTWVEPSENHRKGDHAGIVYVLLNHPSHSRQLGPPASADNPLDFSMVDVRLPMLVYVSREKRPGFNHEKKAGAMNALTRCSAVISNSPFILNLDCDHYINNSQALRAGICFMLGRDSDTVAFVQFPQRFEGVDPTDLYANHNRIFFDGTLRALDGMQGPIYVGTGCMFRRITLYGFDPPRINVGGPCFPSLGGMFAKTKYEKPGLELTTKAAVAKGKHGFLPLPKKAYGKSDAFADTIPRASHPSPFADADEAAAIVADEATITEAVAVCTAAYEKKTGWGSDIGWVYGTVTEDVVTGYRMHIKGWRSRYCSIYPHAFIGTAPINLTERLYQVLRWSTGSLEIFFSRNNPLFGSTFLHPLQRVAYINITTYPFTALFLIFYTTVPALSFVTGHFIVQRPTTMFYVYLAIVLGTLLILAVLEVKWAGVTVFEWFRNGQFWMTASCSAYLAAVCQVLVKVVFRRDISFKLTSKQPAGDEKKDPYADLYVVRWTWLMVTPIIIILVNIIGSAVAFAKVLDGEWTHWLKVAGGVFFNFWVLFHLYPFAKGLLGKHGKTPVVVLVCWAFTFVITAVLYINIPHIHGPGGKHGGAIGKHGAAHHGKKFVEFYGWP >Sspon.01G0032400-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:109647706:109651673:1 gene:Sspon.01G0032400-1A transcript:Sspon.01G0032400-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSDPAATPAPQSPGVGGVALSSCIGGLLRFILSSHAAAYPGDDTAAFPLSPSYCARLVNDGELFGKLEACIQQCLEEGRLPGPPAVVGIPAAEEGPEERGWELLLLEKGAELKRMYDAVDFELHVQEPYFTQLRAGAKKVEGRLATGNYNRITQGSFLLFNKCLLLNVELGSTVHSLRCCKQIISNVLPGISSIVEGVEVYRKFYTEEKENSYGVLAISVSKPTSQPYGLGYDGLGRLLGMAKTTGTVPDGLPPPRSTLLSSCMRLLQPNVKGCSLTDGARALAKHVLRSRKGWWGNFNGSDSSKNQVASETVDSLLHECCWMNVHLTQPYGPVFEIRVHEGYGARWSQDGSK >Sspon.07G0025660-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:30013734:30014843:1 gene:Sspon.07G0025660-2D transcript:Sspon.07G0025660-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLEEGNATARVKRAKATNWPRVMSKFLLDWYLDKKKGMPPKTKFKKNHHVWCTSAVNAKFRTTYSVDQVHRHFRRFKEIWIIVTRYANESGSRFNNKHKMLILPPATMASLPIAERAILAKPIPFFDHLLHLFNDGQLDAVCMRDPITTDDSDEELEAQIALNIIASGADTRDQDGADLDNMGLEGEDNHHEVAASSGEVPCEVMSDTSPPSGSFAESTMAALKPSAKKLKIISKTKLSPKPQAPLPRDGRNMDALNSTLVGIRDSGPKPVRAAPTSDPNAPLWNMLKEIPLTQPDRLSVGMYLCKPESEVHRSFFMNMGKEYLEAWARKFLTGEEPGAV >Sspon.04G0007800-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:23072053:23091356:1 gene:Sspon.04G0007800-3D transcript:Sspon.04G0007800-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDELEVCARLAPGIPVVDLWPALRGALDATGLPLGPSVKRALWARILALRVVSLVEGNGDGVPVSAGDPAEKGLRGGRAAGHAKQSWMSSCRRPGGGVRAPRAGDPRLKRACGLASLRSASSASWRGTGTGARLCRRPCGEGLRGGRAAGMRLVASAGIRDNFLGLYERRFAKSEFSAVQKATLECVAASRTSGVAQSELCKKFKMKGNNFYFIAKSLESQRLVVRQSTIIKVKDHGAEREDASQNKHVINTNSLYLSRYAKDLNMTSQQRIEITKPELLGSNEETNVDAFQEDGTFDVNSKNDISIHDYLPAMKAICDKLEEASGKALVVSDIKVDLDYRMAYGHRAWRNVVRCLRLLKKFDPNEFQPKSIASNFKFVKKGQATDQILELPLENCIYDMISAQGTKGITLVEIGKRLGLNNSKKLHKRVSSMLKKFDLTWEAEVPDKTSQYRIWTSKNFLLYKAGTALQTFEALSEESDDCSDLWSLVPSKGLDTLESSSSHGKLLLLEEENHDEPVGHHIQNNLDASAVVSQLVEEDKVALGQRRRHRCRPLTSDDQRHRRILHMLKKKKFVLKVELHKWLERLEKKDGKIMDRKTLTRTLNKLQQEGSCKCIKVSVPLVTNYTRSRLIDVILHSSVGDLSPELVDQFRIRQRNFDTQSRAGAAAKVKQNQHMTAIPGLRISRRVKVYKPLLLEAMYANGFIGAKMIRAKLLHKFLWAYVNSLPNWCNAFGCAKEGHYDKSLNQSCLLFSMEEAMKEMPLELFLQVVGSAKKIDNMITCCRLGKTLSEIPTKEYNQLMDTHAYGRLSRLVNILDKLKLVQFAKEFLEDAGVPSNAMSTHSMELRPYIEEPIPKILPSSQLNNHRKIRHDFVLSKHEFVDSYWETLECCYLTAGLADPLSAFPGSSVPEVSHPRSWSTLRVMTTEQRLELQQRIMNVSEKGKIPLKDCVRIARELNLSVEQVLRLSYERQSRLREQPSVTAKQKQQRVSSGLTPERRKRRADGTSLKLLKRKVQASGSAEQILGQPTLDEEVPETMSSSSIDHANKSDQPADEDKETSPMISRYAILRKSCMRSKRFFWTYESDRKLLMIYIRVRAILGARCYRVAWRSLSDLPAPPDTCRRRMAVLLKTNEKIRGAVMCICNLLVKRYARYLEKERRFKRRRLFPQISESSHENSLDSDCEQFNWDDFEVPEIKKSENMDVCCKPQEKIIKDHRNKIIERGIWKSLAVANALELLKLVFLSKSLGSNVQASLAATLQLYSESEIFTAVSFLKERRFLVTGSGGKPYTLSSQFLTNACCSPFPFGSGKKASAFSNWIAQQKNTTDSGVYLYPDIQCGELVHLFSLVLSGNLLISPFLPSEGVGEADEPNSSGPLVIDTSGLGDNSQKRKADTVKLKSGKAKKQKPLPKIESDFCYRREKGFPAIQIGLNLHRIQTSNFPQELHGKESSIFTSSWAMSRKNVDLHAERHIMPSFSNCLSSYRHLLSESQLENSYSGWPWDAMKKFAEEYSVFEHQSELFTLSPELFRNAFCIIHKAGGQGVTLRELSQALHPLAMQLVLIIVDTLKRFQLAVKVNAYDGVQIVDSLHSAKYHITTLAECDNCCCTDPPTSQVVDNENTKNILKEKHTRPINFDGPIKMLGDGHTVTVINVQSKLSSPHVCNKDPGMRKGYLLQKRTIRRVVAIIIVKGIPILPWINGDGSTNSTLYEGLSRRVIGYVMHYPGLMEEDVIRRMDVLNPQTCRTLLEKLTLDGNLHVRVFEEPVPMAPTILQGLFKQHSSTEPSKCVKRYFANPMSTLQL >Sspon.01G0014060-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:38949585:38951908:-1 gene:Sspon.01G0014060-1A transcript:Sspon.01G0014060-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSASLRLAVVGAGAAGLVAARELRREGHVPVVFERAAAVGGTWLYTHPAATSSDPLGAAATHSSLYASLRTNLPRETMGFLDFPFAAAAAVGSRDPRRFPGHEEVLRYLEAFARRFDLLRLVRFETEVLSVRREDDGGRWAVTSRKLGEKGSGEEEFYDAVVVCNGHYTEPRIAVIPGVDAWPGKQMHSHNYRVPEPFLDQVVIVIGASASAFDISRDIASMAEEVHIADRSAPASTCKKQPGYDNLWLHSMIDHAQEDGTVVFRDGSSIKADVIMHCTGYLYDFPFLGDDSTITVDDNRVDPLYKHIFPPEVAPHLSFIGLPWKVIPFPLFELQSKWVARVLSGRIKLPSKDKMMEEVKAFYFKLEARGWPKRYTHNFSNHQFEYDDWLAEQCGHPPIEEWRKQMYAVNAMNKAARPESYRDEWDDDHLVAEANEDFKNFLNYRANNLVVNCGLVIN >Sspon.02G0046320-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:5661877:5666647:1 gene:Sspon.02G0046320-2D transcript:Sspon.02G0046320-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKWPLTGGVPSEMSLNEDHAVERIYIAGYQDGSVRIWDATFPVLMPMFVLDGKVADVNLDGANASVSSLAFCSLNMTLAVGTTSGLVRIYKLREHTGGSSFHFVSESKQEGELLAAGYQNGQVAMLDPSELSILFTVDGASGTNSPVVSLGIYSVAASAAKADQSKKESPQNAKLPRDVLLSLTKDARVTVLDCTTASDEKQTQLSEDKIPSQDQAGKEGKDLDRIETQGVEKHLKNASQLSHNGGSDSLVTGIIEMRSVPNLAIVAESSLMSLLRWSYKTGMDKSASSSNGQITLNPAAGIIGGFIKGMKGKAEENAKMRGSLTMQTPSKQLESIFLKEPFAEPSIPDLDDPIEELSIDDIEIDDEVPVAPAPAASSTSQGNKRTTVEEERAKLFEGSSNVDKPRMRTPQEILTKYKFGGDAAAAAAHAKDKLMQRQEKLERISQQTAELQNGAENFASLAQELAKTMENKKWWKL >Sspon.01G0011910-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:32262357:32273801:1 gene:Sspon.01G0011910-3C transcript:Sspon.01G0011910-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSPRADDGRREAKAIKWCAVTGGRGYMARHLVAALLRSGEWHVRVTDLAPSLVLGPGETEDLLRDALGDGRAVYASVDVCNLEQLTTAFEGVDVVFHTAAADPSKNNLPLHYKVNVEGTKSVIDACKICKVKRLIHTSSSSVVFDGVRGLFNVNESLPYPDKVASQFKLIAQKIFSDAYAQTKAEAEKLVINANDINGLLTCCIRPGSIFGPGGTMISTLDQSGKTHFIIGDGKNCDDFVYVENVVHGHICADTTLSTIEGAKTSGGKAYFITNMEPINFWDFLHMVQEELGHKRLFMIRIPLPLIKLVSYLVEWAYMVLHRYGICQPRMITLARIKYVTLNRTFSCNNAVEELGYKPTVTLM >Sspon.07G0032960-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:56362802:56367919:1 gene:Sspon.07G0032960-1C transcript:Sspon.07G0032960-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFDEEISDAENKKFLGDIGQLAAKCLRRDVKTRPEMVEVATSLRMIRKAMQRELDVLLAAYQFGDLKIFSKTEMKEMTKNYRMAFRKSMRECLYNGVLGMNRPIIVRLLKTSSETDREIFMNTMSILSQKNHKNVVNVVGFHFGKSSLECVYESCCDISKTRFGSISLSNRNLYDTICSMEKLPLHQRLLIAVQCAEGLVHIHSLAAENPDSCGTSLFGNFRSANIFLDKNFVPKIFNVNLSTFLGLSVAQKKTIFPIHDNGPEKYYSYPRDVSGQLFNKKSDVYSFGVVLLELITWKTVRYMYNGRAHVLTTDFLDSYRRDRDAIGIFGKVCDEHEGYFVHEAISIAIDCLQLDIHMRPEMDHVLFRLRIIVARSKLSASNAARYHIIGTGPGNSVPAESGPTSSLESDHGAELRHLCAV >Sspon.06G0020090-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:7397289:7400268:1 gene:Sspon.06G0020090-1B transcript:Sspon.06G0020090-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAQAAEELAAQIEQQKLEEQKTEAEEVVVEDEDDDDEDDDDDDKDDDELDGQEGDASGKSKQSRSEKKSRKAMLKLGMKPITGVSRVTVKKSKNMLFVISKPDVFKSPNSDTYVIFGEAKIEDLSSQLQTQAAEQFKAPDLSQMISKPETSGLGQEDNEEEVDDTGVEAKDIELVMTQASVSRPKAVKALKASNGDIVTAIMELTN >Sspon.01G0013210-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:43681693:43682644:1 gene:Sspon.01G0013210-2B transcript:Sspon.01G0013210-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEDDGELKLIGQYGSAFVTRVKLALSLKGLRYEYVEEDLRNKSELLLISNPVHKAVPVLIHKGKPICESQVILQYIDEAFAGTGPSLLPADPYERSVARFWAAYIEDKLVAPWDRVFRAKTDEEREEPLKQMFAAVDTLEGGLKECSKGKPFFGGDSVGYVDVVLGGAVSYAKGHDALFGAKLIDAARTPVLAAWMERFCELDAAKAVLQDVDRVVEYGKMLITKNAARASNN >Sspon.06G0002310-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:5841077:5869118:1 gene:Sspon.06G0002310-2B transcript:Sspon.06G0002310-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQGSSPPPEIRSPDDRAAAEDAAEAEAEAEAEEEVFDDAFDIPHKNAPHDRLRRWRQAALVLNASRRFRYTLDLKKEEEKEIIRRKIRSHAQVIRAAFLFKEAGQKDIREAYTGINVATASRSFPIELEKLNALNRDHDNVLLQEIGGVRGLSDLLKSNLEKGVSPNEDELLQRRNVYGSNTYPRKKRKNILRFVFEACQDLTLVILMVAAAISLTLGMTTEGVDEGWYDGGTTSDYRQSLQFRHLNEEKQNIQVEVVRGGKRFGTSIFDLVVGDVVPLKIGDQVPADGVLISGHSLAIDESSMTGESKVVHKDQKAPFLMSGCKVADGYGSMLVTGVGTNTEWGQLMANLSEDNGEETPLQVRLNGVATFIGLVGLSVAGAVLVVLWIRYFTGHTENPDGTTQFVAGTTGAKQGFLGAIRILTIAVTIVVVAVPEGLPLAVTLTLAYSMKKMMRDKALMTVVEAYFAGTKLDPCDDVSQMSDSAASLIIEGIAQNTTGTVFLPEDGGAAEITGSPTEKAILSWGLKIGMDFHDVRTKSSVIHVFPFNSEKKRGAVAVQSDDGVHIHWKGAAEIVLSSCKSWLSVDGSVQSMSAEKHDEFKKSIEDMAANSLRCVAFAYCSFDIEKIPEEDIASWELPEDDLTLLGIIGIKDPCRPGVRDAVRLCTTAGVKVRMVTGDNIETAKAIALECGILDANSVISEPVVIEGKVFREMSESARGDAADKIIVMGRSSPNDKLLLVQALKRKGHVVAVTGDGTNDAPALHEADIGLSMGISGTEVAKESSDIIILDDDFTSVVKVVRWGRSVYANIQKFIQFQLTVNVAALVINVVAAVSSGDVPLNAVELLWVNLIMDTLGALALATEPPTDNLMKRNPRTSCYKYYVEKLALYQVAILLIFDFAGVRILRLQNESRSAAEKITNTFIFNTFVFCQIFNEFNARKPEEKNVFKGVTKNHLFMGIIGITTVFQILIIQFLGKFFKIVRLDWRLWLVSVAIGLVSWPLAYLGKFIPVPVRPFPDYFKPLCCRKSSRRDEEEGGQT >Sspon.02G0029520-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:113166982:113171322:1 gene:Sspon.02G0029520-2C transcript:Sspon.02G0029520-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIRLSPSMRSITISTSHGLLDLMRLKVAARHFSYRTVFHTVLILAFLLPFVFILTAVMTLEGFNKCSSLDCLGRRLGPRLLGRANDGSMRLVRDLYVMLDEVNSEEAPLDLKVPETFDEFIWDMKNNDYDLRSFAFKLKATMESMDKELRSSRLSEQLNKHYAAIAIPKGLYCLSLRLTDEYSSNALARKQLPPPELVPCLSDNSYYHFVLASDNILAASVVVRSTVRSSLKPERIVFHVITDKKTYPAMHSWFALNSLSPAIVEVKGVHQFDWLTKENVPVLEAIETQRTARDRYHGSHRPRTSASDSPRVFAAKLQAGSPTYTNVLNHIRIYLPELFPSLNKVVFLDDDVVVQHDLSPLWDIDLAGKVNGAVETCRGGDSWVMSKKFRNYFNFSHPLIAKNFDPSECAWAYGMNIFDLNAWRKTTIKDKYHHWVKENLKSNFTLWRLGTLPPGLIAFKGHVHPIDPSWHLLGLGYQEKTDISSVEQAAVIHYNGQSKPWLEIGFKHLQPFWTKYVNYSNEFIRNCHIMEPQLRKAPKTNLLYV >Sspon.06G0012800-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:59611119:59614025:1 gene:Sspon.06G0012800-2C transcript:Sspon.06G0012800-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMLVLNRLMSLQRDRQRRRWQIRARCRRRLITSRGKRKGSSCQQDGDGISRAGKIMRSSIPDLPEEILFHIHSLMPMREAARAACASRAFLHSWRRHPNLIFNKDTIGLKINGHGENFHHKIGHILRKHSGISLKTFSLDYSNMCGFDGARYFDSWLQIALKPGIEILTLWLPTTKKIYNFPCSLLSDGIRNSLQYLKLHNVALHPTVELGPLRSLTSLHLCDVRITWDELECLLCNSLALEQLELECCAEIICLKIPCSLQRLSSLSVSSCYRLQVIESKAPNLSSLCLSGHRLNFSHVETLQVKKLAIDYPKFIRDACGKLPSSMPNLETLVISSLSEVVDAPMLPTKFFYLKHLTIRLQLTPVSRPYDCCSLVSFLDASPSLETFILDVSQPHMLYHQSVFEDSQLRHMHVHRHDYLQSVNISGFSSAKCLVELACYILKNAVSLERLTLDTMYGYRCGEGKQKRCHYLSAALFKEAHRALSAVRTYIENKVPSTVKLTVLEPCC >Sspon.08G0016910-3D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8D:62322105:62326716:1 gene:Sspon.08G0016910-3D transcript:Sspon.08G0016910-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding QRRRPPRAARSAHAAARALVSSPPPPPSWAPTTV >Sspon.07G0009340-3C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7C:22786651:22787167:-1 gene:Sspon.07G0009340-3C transcript:Sspon.07G0009340-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYGQQGQHGYGTTGRVDQYGNPVGGVEHGTTGTGGMGQHGGAGMGGGQFQPAREHKTGGILHRSGSSSSSSSEDDGMGGRRKKGIKEKIKEKLPGGHKDNQHATATGGAYGQQGHTGGIYGTEGTGEKKGIMDKIKEKLPGQH >Sspon.03G0026690-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:8285163:8289718:1 gene:Sspon.03G0026690-2P transcript:Sspon.03G0026690-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPRKSPDASFASKQKLEGEDLWRDLVDNPANWWDNRTDKPTPKHPDFKNKNSGQGLWIGTKSPQWARDALPSLKFKGGSKGTRKETLLS >Sspon.02G0023860-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:81433630:81434442:-1 gene:Sspon.02G0023860-1A transcript:Sspon.02G0023860-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGRRRDDGTYKIYAGNLGWGVRADTLRNVFEGKAGLLDARVIFERDTGRSRGFGFVSFRTAEDAQAALEALDGVELEGRPLRLSLAEQNPPPGTPPSTAQAQLEETDSGVSDAETEADSSSELSEAELDESNLQTAATYQ >Sspon.05G0000600-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:1501030:1502878:1 gene:Sspon.05G0000600-2D transcript:Sspon.05G0000600-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSGDWTPPCGSCCTKKYASLVQIPWRVFCKKGCNADGDTWDECIGKCTEICYKDPVLEDRQWSAYIDRSPGQDSYSLECFNACVSGCGFRFDVPTEKVEEIKPNRPSKPSAPEPEVKRTRNADCTEDVPCTSA >Sspon.08G0007270-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:23216253:23216492:1 gene:Sspon.08G0007270-1A transcript:Sspon.08G0007270-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSICIWMLKYGRTMVNHRRRGDHRGDRLRWCVDVECCSSCSHLLSLLLVAKLMLVKIMLLGKVRMPCMHFLIIPHRNLN >Sspon.06G0003980-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:9955394:9955801:1 gene:Sspon.06G0003980-3D transcript:Sspon.06G0003980-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADEAAQAPSPSRFTARELAAAEQLIHLSESSCSSYAGALPRGSVASASSTSSPRSVNAPPPSPPAAAGLLAAAAEDDEEEDEDQQEVGGRRRRNKRYRPIAEIYAATEPKPIGAGARRRKADRPSTDGAPEARK >Sspon.05G0019940-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:84004018:84006575:1 gene:Sspon.05G0019940-2P transcript:Sspon.05G0019940-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding CLLSPPKPISVRVRPTGSKKPAPLLFTSRIASHRRHDGTAHEIMSSEDSLKSLSLDYLNLLINGQAFSDVAFSVEGRLVHAHRCVLAARSLFFRKLFCGLDPNHPPPPPPPPATPTGGARTPELVIPVSSIRYEVLVLVLQFLYSGQASVAAPKSGPLPGCGARGCWHTRCGAAVDLALDTLAAARSFGVEQLALLVQKQLESMVKEASVDDVMKVLMASRKFEMQELWATCSHLVARSGLSADLLAKHLPIDVVAKIEEIRAKSPVSGAGSASTPRSPFLTHHYLPINAASSAADRDHKIRRMRRALDAADIELVKLMVMGEGLDLDDALAVHYAVQHCGRDVVKALLELGAADVNSRAGPTGKTALHLAAEMVSPDMVSVLLDHHADPSARTLDGVTPLDVLRGLTSEFLFKGAVPGLTHIEPNKLRLCLELVQSAVMVTTRDEAGGGGGDAGGSDGGGNFPRSDADDSLVSLTMNSTLMYQGQEMAAAAVA >Sspon.01G0031330-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:107830522:107834799:-1 gene:Sspon.01G0031330-3D transcript:Sspon.01G0031330-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to TA11 protein (Fragment) [Source: Projected from Oryza sativa (Os03g0821300)] MGNSLACFCCAGGAAGRRRHVAPAALPSDPAYDEGLGHSFCYVRPDKVLVPFSADDDLVADAKAAEEATTFRAISGAALSANVSTPLSTSVLLLLPDDSTASSAAAASSGFESSESFAAVPLQPVPRFPSGPICGGGFLSGPIERGFLSGPLDAALMSGPLPGAATSGRMGGAVPALRRSLSHGGRRLRNFTRALLARAEKFQDSLDLGSPDAAAAVAACGAASAGLQWAQGKAGEDRVHIVVSEERGWVFVGIYDGFNGPDATDFLVSHLYAAVHRELRGLLWDQCEQEEQHDAHPDQPTSTTASDHQDQPANRRRARRSRPPRGADDDQWRWKCEWERDCSSLKPPTQRPPRSSSENDHLAVLKALARALRKTEEAYLDVADKMVGEFPELALMGSCVLAMLMKGEDMYLMNVGDSRAVLGTMDSVDLEQISEGSFDGLVGDGTPLLSAVQLTSEHSTSVREVEMFIATTPEGDPAQHLVEEVLFRAANKAGMDFHELIEIPHGDRRRYHDDVSVIKK >Sspon.03G0018920-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:58740921:58741187:-1 gene:Sspon.03G0018920-1A transcript:Sspon.03G0018920-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGTIGYIAPEMVSRSFGLISSKSDVYSFGMLLLEMTGGRRNADPHAGSSSQAYYPSLVYNQLSQEDVGGIGEGVDMHELEKKCHTQF >Sspon.02G0003730-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:10714094:10716005:-1 gene:Sspon.02G0003730-2B transcript:Sspon.02G0003730-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPRCSLLVLALAAALSVAGQFLAIVILPHSCCLLKLTSSSVFSVAYDPLDPNGNITIKWDIMSWTPDGYVAVVTINNFQMYRQIMAPGWTVGWTWAKREVIWSMVGAQATEQGDCSRFKANIPHCCKRTPTVVDLLPGVPYNQQIANCCRGGVISAYGQDPAAAVAAFQVSVGQAGTTNRTVKVPKNFTLLGPGPGYTCGPAKIVPSTVFLTPDRRRKTQALIILLYMAFSIYLPGSCDDIYGDRCLHAVTWNVTCTYSQHLASKYPSCCVSFSSFYNDTIVPCAKCACGCEHKTCVQGDSKRLAVTGKHEHAHAAAARGHRDKEAPLLQCTTHMCPVRVHWHVKLNYKEYWRAKIAITNFNYHMNYTQWTLVAQHPNLDNITEVFSFDYKPVVAYGSINDTAMFYGLKYFNDHLMQAGPYGNVQSEVLMRKDASTFTFRQGWAFPRKVYFNGDECQMPPPDAYPYLPNSALPTAAASLLGAAVAAVVVLLVMIVA >Sspon.02G0031810-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:116497419:116499891:-1 gene:Sspon.02G0031810-1A transcript:Sspon.02G0031810-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVAATTGAGGVQVQLDLGLEVAAPKSAAPPEPAAAPMEREAKRCRVHAVPGPPAGAGAGAGGGGAGRRGRRRRVQAATAVQRLFQACRHVFRGPGTVPKPAEVQMLRDMLDRMRPEDVGLNPDLRYFRTPDATQGIPTITHTTIYKCPNFSMVILFLPRNAVIPLHNHPGMTVFSKLLLGTMHIKSYDWVDPEPDPSVSTCSSSSSSDGQLRLAKLVVDDVFRAPCDTSVLYPTTGGNMHRFTAIAPCAILDILGPPYSIEEDRDCTYYTDIPYTHHSMAADDTTDDFNGVDVEQSQGHLAWLKEIDMPTELKMCSVHYGGPPISDK >Sspon.05G0004810-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:17439750:17441580:-1 gene:Sspon.05G0004810-3D transcript:Sspon.05G0004810-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALQHASPAGCTLTTGRHGRSSAAVLRRPPTDSSSRRLRVHAQKPAAGAETTATSSSSRSENAVLKAAWYGSELLGIAASLFRPAPSSSSPEGDAAGGGDVEGGAAAGAALDRAGVVDAVKADFARSYFVTGNLTLRAYEEDCEFADPAGSFRGLRRFKRNCTNFGSLLEKSNMKLTKWEDLEDKSIGHWRFSCVMSFPWRPILSATGFTEYYFDAESGKVCRHVENWNVPKMALLRQIFRPSRWVWEKR >Sspon.08G0004440-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:10468105:10474570:-1 gene:Sspon.08G0004440-2B transcript:Sspon.08G0004440-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCSHVGPVDIDNMQMHVSAINGLYISLGSPPLPGWTGNGGDPCGESWQGVVCTGSSITGIELSNNNIGGTIPEDLPVTLQSLFLSANQLTGSIPSSLSKLEKLTAMSVNGNSLNGDLPDAFDSLNRLRYFFEQLDWCVTIFNEKLGIFDFIRLIVYHHRKDGNPFNTSTAPSASPPSTGSAPTPTPAGPKPAPTPTPAPTTSNSTPPAPAPPSPSGAPPPPKTTPSSSEGSTTRDSTSSSRKHNASTLKIVGSVLAGVVLFIIIVLLVLFCLSKYEERQSRYDHNRSQLARVHHRVEPQIKTPPVQQSNDVKKGPGEALDRRGHELNSSAAALPKKSPENQKEHIINFDRTDSDLFPVSLPPPPPPPPLPPIERVVANPIVPPEKRYSPPTKTSSSTSATPFSVASLQQYTNSFREENVIRESRLGRVYLAELPDGKLLEVMKIDNANGRISVDDFLEQVECISDIKHPNILELVGYCAEYGQRLLVYNHFSRMTLDDALHDGEDTESALSWNARLQVALGSGKALEYLHDNFEPPIVHQNFEPANVLLDKKFSVCVAECGLAELMPSSSVTQLSGRMRALLNYEAPEFQDSGVVSERGDVYSFGVVMLELLTGRKPYDSSRPRHEQHLVRWASCQLHDIESLSKMVDPSIRGQCSEKALSRFADIISRCIQRQPEFRPPMSEIVQDLARLVNATGEESE >Sspon.07G0010940-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:42315224:42320697:-1 gene:Sspon.07G0010940-2B transcript:Sspon.07G0010940-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNSSLTESLHEKTIVFGLKLWVVIGIAVGASLLGILLILLICLTIQSCIKRSRKPLKDRRMHQIPPAYKDIKEVGMVDQFPANDFVVHDGLLLTIQNEPEPVESVDRDAIQLAQEEKLKQREENNVSSSFPISDGCEGTQIVSADEQSSTHATVDSAPLAGLPEFSYLGWGHWFTLRDLELATNRFAKDNVIGEGGYGVVYRGRLSNGTPVAVKKILNNLGQAEREFRVEVEAIGHVRHKNLVRLMGYCVEGTQRMLVYEYVNNGNLESWLHGELSQYSSLTWLARMKILLGTAKALAYLHEAIEPKVVHRDIKSSNILIDDEFNAKISDFGLAKMLGAGKSHIATRVMGTFGYVAPEYANSGLLNEKSDVYSFGVVLLEAITGRDPIDYDRPTNEVNLVDWLKMMVANRRSEEVVTQTWREDLRQRS >Sspon.07G0038690-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:83351214:83351611:1 gene:Sspon.07G0038690-1D transcript:Sspon.07G0038690-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLGSFGVVVPTRLLRIEVHPVALFKISGEFSGEMNYCYILKQFDHDRGKAGHHLMLGTSLLDADVNALDWNDGVVFVQGSRLNQPI >Sspon.08G0022090-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:48098289:48102476:1 gene:Sspon.08G0022090-2C transcript:Sspon.08G0022090-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:O-fucosyltransferase 7 [Source:Projected from Arabidopsis thaliana (AT1G22460) UniProtKB/Swiss-Prot;Acc:B7ZWR7] MPARRKVRPAGAAARRAALRWWLLSLAAAGATVTAAAALLAVALHVSGLAAPSAASSGAPYRLSQPREIEELRWEQEFAPPQLASPRKIRQLDGAADDAAGKRLWLPAPARRFVPCVAPSPEYSSSAVSRGYLLVHTNGGLNQMRAGISDMVAVARILNATLIIPELDKKSFWHDKSNFSDVFDEEHFINSLANDVKVEKKLPKELVKAPKSVRYFKSWSGVDYYQDEISPLWDHRQVIRAAKSDSRLANNHLPPDIQKLRCRAFFQALRFAPPIEALGKLLVERMRSFGPYIALHLRYEKDMLAFSGCTYGLSQTESEELAVKEIDPLEQRSHGYCPLTPKEVGMFLSGLGYPSSTPVYIAAGEIYGGESHMVDLQSRFPILMNKEKLASAEELGPFSQYAAQMAALDYIVSVESDVFIPSYSGNMARAVAGHRRFLGHRKTISPDRKALVRLFDKVASGLLKEGERLSQRIIDIHQKRQGSPRTRKGPVSGTKGKDRFRSEEAFYENPFPDCLCQPGSPASDDSLVTI >Sspon.05G0017180-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:71832368:71858995:1 gene:Sspon.05G0017180-1A transcript:Sspon.05G0017180-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVASDGEDCISALPDDALHHILSFLPSDDAVRTCVLARRWRHLWRSARSLRVTPRPHRLRDWTASMLTNFVNHFLLFRGAAVPVNECDILCLWIRGGSKAQDNDLNRAACLWIRHAVVNLQARVLRVRFQSRRRLCLDGVPLVSPLLTRVELTEATFMDSSRSIDFSRCPALEDLTMSGCKIYSDRISSASLAHLTIVHCYFYGKTRTRISTPRLVSLQLSVSEGWPPLLEKMPLLVAASVTLKGLAMGKRRSEHPPPQQPCAAANGGTKQKSVCYYYDPRISYVDYGETHAMVPHRVTMTHALINAYGLLGDMDLLGIAPATEQDLELGGTHDKEYIKFLRSLTPADYNADAKKRRDAAQKYKLGEIRTWDKHNGCFTFTNDNPVIENLWDYCQRYAGGSLAAARALASGKYKIAINWSGGMHHACKGKAQGFCYVNDIVVAIKALLHHGRRFIRRVLYLDIDAHHGDGVETAFVDDSRVLTVSFHQFDGEFFPGTGAAVDVGELLPGDGTCPTLINVPLEAGTGDGVYHQLFGPIVDRLMDVFKPDAVVMQCGADSLAGDRLAGLGLSVRGHAKCVSIVKGYGLPLLLLGGGGYTINHVASCWCYETAVAIGKDIPDDIPSTGTRVTTRAKGLAMGKRRSEHPPPQQPCAAADGGTKQKSVCYYYDPRISYVDYGETHAMVPHRVTMTHALINAYGLLGDMDLLGIAPATEQDLELGDTHDKEYIKFLRSLTPADYNADSKKRRDAAQKYKLGEIRTWDKHNGCFTFTNDNPVVENLWDYCQRYAGGSLAAARALASGKYKIAINWSGGMHHACKGKAQGFCYVNDIVVAIKALLHHGRRFIRRVLYLDIDAHHGDGVETAFVDDSRVLTVSFHQFDGEFFPGTGAAVDVGELLPGDGTCPTLINVPLEAGTGDGVYHQLFGPIVDRLMDVFKPDAVVMHCGADSLAGDRLAGLGLSVRGHAKCVSIVKGYGLPMLLLGGGGYTINHVASCWCYETAVAICMDIPDDIPQHGYQSYYQSQGYKLHYHKEGDSGHGSEEMDEKAKQIMETVMKHLDCLSSKFKPKSPMLSMQPGDPEISGRSVLARRQCILSASHLFGGPPRNRKNTDKAAAAVASDVEDRISELPDDALHHILSFLPSDDAVRTCVLARRWRHLWRSTRAVRVTRRRGRPWDAAWTPRTLNHFVNRLLLLRGGAPLEEFEISCGEIHDAEIHDYEMESNGSPP >Sspon.07G0005290-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7A:13510700:13516030:1 gene:Sspon.07G0005290-1A transcript:Sspon.07G0005290-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTSHRPLPDSNKQSPQGRALRLSAPGSAKAAERKSPRLSSPRQRERAPESRGQQRSPHEIRAEGRTLLEASAQARLGAAAQVTGSSPGIAQRSDPIREQTAKQGAIVTENLSLMPRMARRRLLFTTTISDPVPPSIPLSQSLSLSPLSCACLSRALCGGDADDWAAAAALRAQVSICVTFAGDEHPLPGTRTPSLPFLLCETEHPPKP >Sspon.02G0022220-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:74159499:74164601:1 gene:Sspon.02G0022220-1A transcript:Sspon.02G0022220-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DRVGELPKLVEDLLQTSISTGPRGAFRMAQGIQAVLGVGGEWLNDLSKTANTSAGIPSQMQLGLLSPLYLRRLFERMGATYIKLGQFVASAPTLFPAEYVEEFQKCFDRAPAVPYDVIESILREELQRPLDSVYEYIDPVPIASASIAQVHGARLKSSQKDVVIKVLKPGIEDTLVADLNFIYVVARVLEFLNPELQRTSLVGIVKDIKESMLEEVDFRKEAANIQAFQGYIDAMGFDRQAKAPFVYQHCSTKRVLTMERLYGVPLTDLDSIRSLVPDPELTLVTALNVWFGSLISCESFHADVHAGNLWLLRDGRIGFIDFGIVGRISPRTWAAMEVFLASFATEDYDAMASALSEMGATGNDININEFAKDLQKIFSSIQDLDTEIIVATARTPDATAVSANVVLDERQMNALFLDLVRVSESYGLKFPREFALLMKQLLYFDRYTRLLAPSMNMLRDERINISTNRQPRRTDRFQ >Sspon.08G0022660-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:50500393:50503803:1 gene:Sspon.08G0022660-1B transcript:Sspon.08G0022660-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-galactose/UDP-glucose transporter 7 [Source:Projected from Arabidopsis thaliana (AT4G31600) UniProtKB/Swiss-Prot;Acc:Q94B65] MGAEAGEPSSILSLAAAFSYGVASMAMVFVNKAVLMQYVHSMTLLTLQQIATALLIHFGQVLGMSKRKDFSLITAKKLLPVSIFYNANVGFALASLKGVNIPMYIAIKRITPLAVLVAGCMRGKGKPPTQVILSVICTAIGVLIAALGDFSFDLYGYCMALTSVFFQTMYLILVEKSGAEDGLSSVDLMFYNSILSLPFLFFLIIATGEFPHSLTVLSAKAASLTFSVILIISLVMGIVLNFTMFWCTIVNSALTTTIVGVLKGVGSTTLGFVLLGGVEVHALNVTGLVINTFGGIWYSYAKYKQKKKTPRKIQHDVESHAHK >Sspon.04G0025270-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:25867735:25872955:-1 gene:Sspon.04G0025270-1B transcript:Sspon.04G0025270-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRRAREVRPEDGNGLRHGVRSRADMLRAPNSCAHRHLRHHQQPPPHQRPRPRPRRPSGSSTSTASAGNDDDPAGHAVCTGGRPCPTQAQEEEEEEERPVHAFRAGARGRGRGRHGGKRRQCRQRLPPPRPAGRSVVLPGASGTRRVRVRVRPVRAVAAAAVGDARHAEAARVLLQRVPVVLPDAGARGHLQHRHRRQRPPHHHLQRGEPQRLHHRLKSQHYYSVVTCIVRSSSENKMVSFCNLFLWTN >Sspon.07G0024890-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:32665255:32665932:-1 gene:Sspon.07G0024890-1B transcript:Sspon.07G0024890-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLHRLISAVLRLAAAGAAAAAAIIMVTSHETTSFFGIEMEAKYSYTPSFVFFVVAFAVAFAYSLLALLVRPGSTASRLLLLSDVMVGMLLTGAVAATGAISQVGKSGNAHAGWLPICGQVQAYCSHVMGALIAGFISLLLYFLIIMYSLHAVAEPLCSCH >Sspon.01G0001090-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:2435623:2440196:1 gene:Sspon.01G0001090-1T transcript:Sspon.01G0001090-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEAKDVGILAMDIYFPPNCVLQEELETHDGVSKGKYTIGLGQESMAFCTEVEDVISMRYWLSLTVVKSLLKNYNIDPKSIGRLEVGSETVIDKSKSIKTWLMQIFEESGNTDIEGVDSSNACYGGTAALFNCVNWVESNSWDGRYGLVVCTDSAVYAEGPARPTGGAAAIAMLIGPNAPISFESKYRGSHMAHVYDFYKPDLASEYPVVDGKLSQTCYLMALDSCYNVFCKKYEKHEGKQFSIFDADYVVFHSPYNKLVQKSFARLYYNDFLRNCSTVDEESRGKLAPYAGLSSEESYQSRDLEKASQQVAKNLYESKVQPTTLIPKQVGNMYTASLYAALASIIHNRHETLAGQRIVMFSYGSGLTSTMFSFKINEGQHPFSLLNIANIMDVSKKLKARHVVPQRNLSRH >Sspon.07G0017050-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:61413886:61415039:1 gene:Sspon.07G0017050-1A transcript:Sspon.07G0017050-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVGNGGMEHGEGSAARAVPLGWTRWTTSIQSPSPPTTAMTPPPPPPPRPAAPSATPAAAARPACACARTSLPPSPLPTSTTVVILHHPHALRRNPLSTLPLLARSLSNLRLIPGRRLLPSSTPPAPTPGPVLLLYPSPAATDLATWCRSTPLPARAAPTLLLLDGTWRQAREMHAASLPVLSSLGVIPVTLPVDSFSDGDSMFESDLVVRKEPRKGCVSTMEAVARALRLLEPEGKGAMVEETMLGVLRAMVAFQTEHLQHRTVKPRVKLRKKKELKKEEETQRNAVD >Sspon.07G0015880-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:56304850:56307662:1 gene:Sspon.07G0015880-1A transcript:Sspon.07G0015880-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAACGGDGGGQKPFFSDELARGNQLNDSSPQVHKAAPDSKKDGDLTKISFDSSSPGPVISTSTSTLNKYNDDEEEFSSLEPFFFDEAEAVHDHERQMQRLQQEERRKEQRDRDLKVHNAAMDKIREYDPKLGSTYFTRIHFVNLSKFNLDEESPLDPMRDTNASINVHGTVCKEVRKQFIGGESTDIEKCFIPCISANVLSVKIASSDVGFPINVYGTVIARDNLDLKCVYLFQCDRDHCQLIVPKDSSLILTGPKRGLALIDGIYFEVDLKIKCDQGKKDKQFSKGLITLDGRKSRSEEEFVVERVSLDSRLSKVVVTYAVVKRAIEATIAIEVLQGRFSGSITACTTSIRNSIILHDSKLVKVMSGNAKGAIQLLRRVVAVCLEEKLILTVAARNGDKTTIKFTPRVNSGDENEVTCGSIKMRVKVTWSIIHRSYLP >Sspon.08G0018170-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:5294800:5297451:-1 gene:Sspon.08G0018170-1B transcript:Sspon.08G0018170-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MCVHCSLLIRTRTRVEEFTEISAETYPYFEETKRETFFQDREIAHDSGKVHLQEQKIVGVEELVKLCTRTEERYPGFKKYDFFQIVDGTQMFSEKRIVGSGGFATVYKGELSDGTIVAIKRFEHGTGFNSELQLLCLHHINIINLLGWCVHGQERILVYEFLHNSSLDRIIFDKTKGALLNWNKRLGIIKGLAEGLVYMHKHSHLWMIHGDLKPNNILLDHDMSPKIADFGSARTLSSDVAEEQTSRVVGTSGYIAPEYASRGLYSVKTDVFGFGVLALVIISGRKNTVLEQQGDTVGSLVRDAWQLWNDGRLHELVDPMLHDGYELDEIVRCAQVALLCAQEDSVDRPIMSDVVALLNFESISLLPDPKQPSELIKGGATSDKLSTYVSQSSRTIDITVTSSAPVSTRVHIIVDQET >Sspon.08G0019230-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:10867184:10870111:-1 gene:Sspon.08G0019230-3D transcript:Sspon.08G0019230-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATIQHKPTPTAPSTTTGGGLRAMDLYEKLEKVGEGTYGKVYKAREKATGRIVALKKTRLPEDDEGVPPTALREVSLLRMLSQDPHVVRLLDLKQGVNKEGQTILYLVFEYMDTDLKKFIRGYRANHEKIPAQTVKILMYQLCKGVAFVHGRGVLHRDLKPHNLLMDRKTMALKIADLGLSRAITVPMKKYTHEVCFPLILTLWYRAPEVLLGATHYSTPVDIWLLGTPNEQMWPGVGKLPNWHVYPQWKPTKLSTLVPGLDADGYDLLEKMLAYEPAKRISAKKALEHPYFNGVNKEVTFVLTRSELS >Sspon.03G0007530-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:20319379:20320287:-1 gene:Sspon.03G0007530-1A transcript:Sspon.03G0007530-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNGSKIVITSRSEKIAALGTARALRLKALSREAYWYVFRSLVLGSVNPGDQPEQLASLGMQIAELLDGSFVAGNTAASLMRANMDAQFWRRVLHCLRDYTRKHLLMFGERPNHLLQKGKSVCLWRMARAHDAVTICNIYQLPSPQDDVPDVTARSSIRACQEGNFSAVAWRSWRSTIPPYCTYLAICSQTTYQISAISLKCGESKDSKATDQNDL >Sspon.08G0025050-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:5123377:5126901:1 gene:Sspon.08G0025050-2D transcript:Sspon.08G0025050-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLMSMTPQKAVLAETGEVVAAQDVKLGNILDEVHSELLPEDKVRIVDELKAKHGPTLMIGDGMNDAPALAKADVGVSMGVSGSAVAMETSHITLMSNDIRRIPKAVQLARRTHRTIIVNIIFSVITKLAIVGLALSGHPLIWAAVLADVGTCILVIMYSMLLLRSKSGRKAKKCCASSQHGSRAKKHCVSRHCSDGPCKSTGCSKESSAGKHGCHDHGHAHTHCKEPSNQHPTEKHACHDHGHSHNHCKEPSSHVVTEKHVCHDHGNTHNHCKEAGNQLLVESHGCHDHDHGHSHDHTGKQDCHDHEHSHRKEPKTPRADSEGACHGHGHEHRHCEGDSHSHGTGEHGCHEHEHSHREEHSHSHPTIDRACEHQCHSEQQTVHTAETHHCHDHDHEHGQHKRDHGEIEEPEKDCYDHSHHCCHEPHDKDKIAAEPVEEVTISIAALPKDEGHHHSEEHKGEPCGGVPAPTDCAASKNCCSVKGGDTCSSLQAACAKEISPCCRSYVKCPRTAVTATRSSCCDHSMLKLPEIVVE >Sspon.01G0003650-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:8982507:8983507:1 gene:Sspon.01G0003650-2C transcript:Sspon.01G0003650-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAAQIDPSPAAPPKSRLKRLFERQVLRVSPAERLPSAPPVGGEKDEPSEPSSLCLDGMVRSFLEDGGAAAAERVAAARCCNCFHAGDTSDDEDGPAAADAAAADIAETIKGLVHCASLRERNLLADVSTLVERHRASGARKRDLLRLLAESLRAAGHDAAVCLSRWDKSPSHPAGEHAYLDVLLPAASERGERERVLVDVDFRSAFEVARPTKAYRAVLQRLPSVFVGRDDRLRLLVAAAADAARASLKKRGLHLPPWRKLEYMRAKWLSSYDREAPTPPPQPEDTTGELAGDGEG >Sspon.07G0034860-2D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7D:79164861:79165172:1 gene:Sspon.07G0034860-2D transcript:Sspon.07G0034860-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNAGSSVTPARLKGKSAEKDTQETPKIGSNSKKEGAKPSRSTGKAKDAVVKASSKDEPDSTDNSKDDAGSEDKNSKNEVKSSEAIDGSKTNGLSTKRKPGENE >Sspon.05G0001680-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:5355128:5356486:-1 gene:Sspon.05G0001680-1A transcript:Sspon.05G0001680-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAAVAVFVLAAAHGVLCGSSHVEFAGAVAHRHTRSAPAPSVSSPSSSWHRGNSTAPSPSLEGCGCEPTPPPWRFLNDKLRALYPVIQAFKQTITCDPQGVTATWTGTELCDSFFNRTTYYKGFYCDYPPDAPNVLTVASIDFNGFGLCAPSLAGFVDAFPDLALFHANSNNFSGDVPDLTHLRYFYELDLSNNNFSGAFPDSVVPLGGLLFLDLRFNRYAGAVPAPVFALTVEALFLNNNGFNGRIPDTFGSTGAQYLVVANNQFTGPIPRSIYNTSATLSEVLFLNNRLSGCLPYEVGLVQGLAVFDAGGNEITGPIPLSFGCLGDVEELNLAGNQLYGQVPDVVCLLAKNGKLSNLSLSNNFFHSVGHHCMELVRSRVLDVRRNCIPGFPDQRPPLECAGFYADPSKHCPFIPHIPCDLPGYKPPHPHAAAGAAPAHGHPHHGQGGGN >Sspon.01G0031570-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:106148739:106152089:-1 gene:Sspon.01G0031570-2B transcript:Sspon.01G0031570-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLEFRSECDDIEEAKSPSNTSVAAVAVKNADASVYKIIHGYLKQKNNSIIRVAANVARKAASNKLSRKTSDVFDTLLQKQQSKLGNKAGPLLSGICYCIASCSMILLNKVVLSSYNFDAGISLMLYQNLVSVVILLILELFRVISTEELTWKLIKVWIPVNLIFIGMLLTGMYSLKYINIAMVTILKNMTNIITAIGEIYIFRKGQNKKVWAALFLMVDCIRCMWGITDLSFHLVGYTWQILNCFLTAGYSLTLRRLMDIAKQSTKSGSLNEVSMVLLNNALSIPFALILVVIFDEWEYVYQAEVIREPMFWVVATASGLLGLAISFSSVWFLHETGPTTYSLVGSLNKIPISIAGILLFNVPGMCPQFCNMTINAKAEGTLQGESATGTPLI >Sspon.06G0021580-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:28843169:28844781:1 gene:Sspon.06G0021580-1P transcript:Sspon.06G0021580-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding PPAGRPGRRRRARGGAGLRLLLPSAAVAGELLRHQAELLLPQVRQAGGGLRDPRPLAQPRRRLLPAELQPRQRLQPVKGACVRFFPFRQVADAGVPFRRRAQILGPRVGEARHLRRQRLRRARLLPGGAAPPRPARRPRRAHLRRRQPGRRILHAEPDQGRHQPGHRLRALRGVQPRRGRQQPALPALLLRRRRRRQLRRVPRPPQRQALWQQDRVPGVLNCSRQLFQF >Sspon.01G0003680-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:10363904:10364104:-1 gene:Sspon.01G0003680-1P transcript:Sspon.01G0003680-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAVLVAVVLIQCCNVMVAARPLLMEAPAVATADGGGWPGMIMQVLKGGPGGNNHNCQAPNGSCP >Sspon.06G0003950-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:11994018:12000159:1 gene:Sspon.06G0003950-1A transcript:Sspon.06G0003950-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHRVRLAAAAAALVVVAALALAPGAAAAWQLTKNGTVVSYDRRSLMIDGRREIFFSGSIHYPRSPPDMWPELIAKAKEGGLNTIETYVFWNIHEPEKGQFNFEGRYDMVRFFKLIQEHDMFAMVRLGPFIQAEWNHGGLPYWLREIPDIVFRTNNEPYKMHMETFVKIIIKRLKDANLFASQGGPIILAQIENEYQHLEAAFKDDGTKYINWAAQMAIGTNIGIPWIMCKQTKAPGDVIPTCNGRNCGDTWPGPMNKSLPLLWTENWTAQTLRSRWRASSLWAYHGGTNFGRTSAAFVMPKYYDEAPLDEFGLYKEPKWGHLRDLHLALKLPCSGGRRRLRTEKLGKQFEARVFEIPDQKVCVAFLSNHNTKDDVTLTFRGQSYFVPRHSISILADCKTVVFGTQHVNAQHNQRTFHLADQTTQNNVWQMFDEEKVPKYKQAKIRTRKAGDLYNLTKDKTDYVWYTSRLEPDDMPIRRDIKTVLEVNSHGHASVAFVNNKFVGCGHGTKMNKAFTLEKPMELKKGVNHVAVLASSMGMMDSGAYLEHRLAGVDRVQITGLNAGTLDLTNNGWGHIVGLVGEQKEIYTEKGMASVTWKPAVNDKPLTWYKRHFDIPSGEDPIVLDMSTMGKGMMYVNGQGIGRYWISYKHALGRPSQLYHIPRSFLRQKDNVLVLFEEEFGRPDAIMILTVKRDNICTYISERNPAHIKSWERKDSQITANSDDLKARATLTCPPKKLIQQVVFASYGNPMGICGNYTIGSCHTPRAKEVVEKSCLGKRICTLPVSADVYGGDVNCPGTTATLAVQAKCSKRSPSASAQ >Sspon.07G0008370-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:22870098:22873413:1 gene:Sspon.07G0008370-1A transcript:Sspon.07G0008370-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFTVLLLVVVALLCLGGGGRVAASTDFNFPAVFNFGDSNSDTGGRVAAGFESIFPPYGSTFFGGPAGRFCDGRLIIDFLMEAIDMPLLNAYLDSLGTPSFRTGVNFAQAGCSITPAKPTSVSPFSFGLQIKQFFAFKDKVTKLLSKGDMYRKYIPQEDYFSEGLYMFDIGQNDLAGEFYSKTEDQVIASIPTILLEFENGLKKLYDQGARKFWIHNTGPLGCLPQNIALFGKDPSQLDELHCVAKHNRAAKLFNLQLHALCTKLRGEFAGASITYVDIHTIKYSLIANYSRYGFEHATQACCGYGGPPLNYDGNVPCGQTVSLNGKLVTAKGCSDTTEFVNWDGIHYTEAANFHIASQILTGKYSDPPFVDKMPFVIKPRF >Sspon.08G0012410-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:51161519:51162835:-1 gene:Sspon.08G0012410-3C transcript:Sspon.08G0012410-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GIHARHGLLQAFPGAGAAGVVPRLGGPRAGGAPLHALHLRVPRPPGARIRRAGPAALAPLGGVHGHRLRQGEQGQRATQLHSQGPERQARVAEQVQGQARRRLLLPRRRDARMHKAGVRVQGLVREVQEGRGGGDRHQRRRRGVAQGVRAEVPAAVHAAERRREPRAQGVGRPRRPVRHAPRAADVRAGQAGRRAVHLQQPVPAREAHRRDAQDPAEPMS >Sspon.01G0020920-3C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1C:77092132:77093602:-1 gene:Sspon.01G0020920-3C transcript:Sspon.01G0020920-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLKFTVRRRPAVLVAPASATPRELKRLSDIDDQDGLRFHIPVIQFYRRNALMGGRDPAPVIRDAVARALVHYYPFAGRLRELEGRKLAVECTGEGVLFIEADADVRLDHFGDALQPPFPCLDELIFDVPGSSEVLGSPLLLFQVTRLACGGFILGVRLHHTMADAQGLVQFLGAVAELARGAAAPTVRPVWGRELLEARDPPRPAFAHREYDEVPDTKGTLIPLDDMVHRSFFFGRQEIAAIRANLPPALRARASTFDLLTGLLWKCRTAALAPDADEVMRMICIVNARSGKSGIAIPEGYYGNAFAFPVAVATAGELAAKPLGYAVELVKRAKGEVNVEYMRSVADLMVLRGRPHFTAVRAYLASDVTKAGFGDLDFGWGKPVYGGPAKGGVGAIPGVASFFIPFKNAKGEDGIVVPMCLPGPAMETFVQEMGKLLSPPADAEQQQDAFPVIRSAL >Sspon.01G0056050-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:86040398:86043744:-1 gene:Sspon.01G0056050-1C transcript:Sspon.01G0056050-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Undecaprenyl pyrophosphate synthetase family protein [Source:Projected from Arabidopsis thaliana (AT1G11755) UniProtKB/TrEMBL;Acc:Q8H0V2] MKQRQVKVLQRKLEKLKQKPLDPTSKNCKFFCESVACSLVPLEAPDMDAFEGQYFLTGVEESGDTITKTFMSRPSIIINLVLGLLWTITHLAISFLNLWSHLIYSLECYLISSGLLRKYQNLHLGRRKYLAIVVDSKEAKSSAKVKQLLCWLSSMGVKYVCLYDIDGVLKKSLEPALNASRYIGIGANIKDLHCSQREILVDCLSGSNGKEGIAKAANLLCSAYFNGDTHGDDKRKPTFTEADMASALKAVGCGGLEPDLLLMYGPTRCHLGFPAWRLRIITSSITTIDKSLIYLVYGPLKSMKYGAIVKALYNFSKKYQNYGGLTWESRGLTADLRLLISVDNLRADLQIVDVASIHRFDR >Sspon.01G0054990-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:72377157:72383798:-1 gene:Sspon.01G0054990-1C transcript:Sspon.01G0054990-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTATVTLAAARSPAVALLSLRRRAPAAAPIRFPGLRVGSGCRRIAMASAAHARAPADPLPKGADLFFRAVISNMEKVYLSRNPTAKTILELVRSYDGDHICYDHLAFRTFGVNGYGINSLSEFFTDFGYLPREELRFPAKKLRALWFSPPTNGGYSGTGTYGPLPRIFISELLVDELTTQSQEIIHKYLKTSVNGNNHAALASTSGELTWEKPIYSDFQILSRESEYAAWTLVNGYALNHATIATHRLESDIRNINKFNKFVEDNGFKLNSEGGILKVSPDGLLQQSSTVADSSLFTFADGITESIPRSYIEFAERLLLPQFKDLQDEEVKEYHRRDGFEVGNADKIFESTSKDQLTRTSA >Sspon.08G0015710-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:59804288:59805038:1 gene:Sspon.08G0015710-3D transcript:Sspon.08G0015710-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTVSRASLDQKLALAKRCSREATLAGFKAAAVATVASAVPTLASVRMLPWAKANINPTGQALIISTVAGMAYFIAADKKILSLARRHSFEGAPEHLKNTSYQGTGRPHPAFFRP >Sspon.03G0003900-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:26495971:26499512:-1 gene:Sspon.03G0003900-1P transcript:Sspon.03G0003900-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWGDRAKASAAAVAADERAGGGEVLGGYVKVMTDEQMEVLRKQISIYATICEQLVDMHRTLTEHQDTIAGMRFSNLYSDHLIIPGGHKITARQRWQPTPMQLQILENIFDQGNGTPSKQKIKEITAELSHHGQISETNVYNWFQNRRARSKRKQAASLPNTSNAESEAEVDEESLTDKKPKSDRSLQDNKAMGGHSADRISGMHHLDTDHDQIGGMMYGCNDSGLRSSGSSGQMSFYENIMSNPSIFSGTSVVTSFH >Sspon.03G0023640-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:90732590:90738407:-1 gene:Sspon.03G0023640-3C transcript:Sspon.03G0023640-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAAPSLYRRALPSPPAVDFTSPDGKRLFSEALEGGTMEGFFGLASCFQTQSEPAFCGLASLAVVLNALAIDPGRRWKGPWRWFDESMLDCCEPLDKVKAKGITFGKVACLAHCAGAKVQPFRAHQVTVDDLRRHLVRCASSTDCHLIASYNRRHFKQENVLQQVRSTRLFMIVHDLQCASIQCGNCSPSTEDPVTRIAASVCCQGAAMLSRNLASSDGGDGPKTAISDFVVSEGNEPGVDMLLPMSHHSWSSYNSSTRDEIIKYPSSADVLTVLLLALHPGTWSGIIDERLKAEFQTLVSTDDLPDVLKREVLHLRWRLYYLKACKDEEYEDRVPPSP >Sspon.06G0011990-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:47345398:47346751:-1 gene:Sspon.06G0011990-4D transcript:Sspon.06G0011990-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450 hydroxylase, Anther cuticle and pollen exine developmen [Source: Projected from Oryza sativa (Os08g0131100)] MRRVCMEHLLTTKRLESFAAHRAQEAEHLCQFVWAKSQSGKPVNLREVLGAFSMNNVTRMLLGKQYFGIQSAGPGEAMEFMHITHELFFLLGLIYLGDYLPAWRWVDPYGCEKKMREVEKKVDDFHQKIIDEHRRAREAKKTRRSSLDDDDDGKEEMDFVDVLLSLPGENGKEHMDDMEIKALMQDMIAAATDTSSVTNEWVMAEVIKNPRVLRRVQEELDAVIGRDRMVAESDLARLPYLRCVVRESFRMHPAGPFLIPHESLKPTTIMGYHVPARTRVFINTHALGRNPRVWDDVDEFRPERHLPAEEGGRVEISHLPDFKILPFSAGKRKCPGAPLGVALVLMALARLFHCFDWSPPDGLRPEDVDTQEVYGMTMPKATPLVAVATPRLPPHLYGGSAP >Sspon.06G0017040-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:76935857:76940882:1 gene:Sspon.06G0017040-1P transcript:Sspon.06G0017040-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine--tRNA ligase, chloroplastic/mitochondrial [Source:Projected from Arabidopsis thaliana (AT1G11870) UniProtKB/Swiss-Prot;Acc:Q8RWT8] MLTCGRFISSSAATSTASFSPFRTLTRSLLRRPAPRLLSSASAAAATAVEPDTKGGGGAGGGAGAVRPQWKAAIDFKWIRDNRDAVADNIRSRNSTANLDLVLELYDQYLALQKEVERLRAERNAVANKMKGKLDPSVRQALVEEGKNLKEGLIALEEDLVQLTDKLQLEAQSIPNTTHPDVPVGGEESSVLRKEVSGSKFYYLKNEAVLLEMALVNWAISEVSKKGFTPLITPEIVRSSVVEKCGFQPRAQNTQVYSIEDSDQCLIGTAEIPVGGIHMDSILAESALPLKYVAYSHCFRTEAGAAGAATRGLYRVHQFSKVEMFIFCRPEESDKLHEELITIEEDLYASLGLHFKCFLFHLISVPIFEKKFHAIDVLMPVPIYPFYRTLDMATGDLGAPAYRKFDIEAWMPGLERYGEISSASNCTDYQSRRLGIRYRPSPSEPPSTNTKKGKGAGSGPTQFVHTLNATAVAVPRLIVCILENFQQDDGSIVVPEPLRPYMGGLEVLSPKFK >Sspon.08G0006890-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:19373291:19378446:1 gene:Sspon.08G0006890-1P transcript:Sspon.08G0006890-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDGARERDAERWEGYVDWRNRPATRGRHGGMGAASFVLVVEVLENLAFLANASNLVTYLMGFMQYSPSQSATTVTNFMGTAFLLALLGGFLSDAFFTTYTIYIVSAFIEFMKIVIKYEEKSIFLRHLCNSDHLCPIICWVCMTVTSI >Sspon.05G0032760-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:21672329:21682196:-1 gene:Sspon.05G0032760-1C transcript:Sspon.05G0032760-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNEFDYLFKLLLIGDSSVGKSCFLLRFADDSYVDSYISTIGVDFKIRTIEMEGKTIKLQITCISMNTSLLPKCPQIVYDITDMESFNNVKQWLSEIDRYANDSVCKLLVGNKCDLAESRTVKTSVAQAYAEEIGIPFLETSAKDSINVEEAFLAMSAAIKKSKAGSQAALERKPSNVIQMKGQPIQQEQQNRWVPTLLRAGRGMTLWNKAGAVPAEKLELFSYENNSNVGEGSSKTDLLLRKSGSKQ >Sspon.02G0034270-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:14148741:14151253:1 gene:Sspon.02G0034270-2C transcript:Sspon.02G0034270-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDKNKRISKSKKGGKKKTVNPFSKKDWYDIKAPSVFSVRNIGKTGVQDTGHQDKLRSLVKKWQTLIEAQVDVKSTDNYMLRLFCIGFTKRRPNQVKRTCYAQASQIRQIRRKMTEIMSNQASSCDLKELVSKFIPEVIGKKIEKATSSIFPLQNVYIRKVKILKAPKFDIGKLME >Sspon.06G0002940-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:7741944:7746576:-1 gene:Sspon.06G0002940-2B transcript:Sspon.06G0002940-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding EEAGFRCCCFPTQIQAATPPPRPPRPTQPQPAKLAREAADASARKRKAADGGEVPDATTAAEVAAAPAPGEDAAMGDVPQAPHAAGGGGGLEEGAGPDPNPSPSLGGCSDPVSVELSMGGDYYRACCGDPDPDIPEGPKLPCVGEKEPLSSLAAEFQSGSPILQEKIKEHILETQDKAEADRIMVKIEECKKTLLSLGYIEFTFEDFFAIFIDMLESVLQGHETPIGPEELLERTRDPQVSDYVVMFFRFVTSGEIQRRSDFFEPFISGLTNSTVVQFCKASVEPMGEESDHVHIIALSDALGVPIRVMYLDRSSCDTGNLSVNHHDFIPSANASEGDAAMTSTPAAEKPYITLLYRPGHYDILYPK >Sspon.02G0016660-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2A:46678906:46679253:1 gene:Sspon.02G0016660-1A transcript:Sspon.02G0016660-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRATRVGSRVSRPRIPSAITFSPQQPKPPAPNPRRRPPPAPDRPSSGPTALTAPLAQASHNLATQRELPPLARCPASPVAAFPLATSPLRYGSWLLDQAAHTALLDSIYLYKLE >Sspon.02G0008010-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:23236021:23237437:1 gene:Sspon.02G0008010-1A transcript:Sspon.02G0008010-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAFSSHHFRQSKLSVLILALATVVAAARAQLSPTFYASSCPAALVTIKTAVRAAVVLDRRMGGSLLRLHFHDCFGCDASVLLDDTGNFTGEKSAGPNAGSLRGFGVIDTIKALLEALCPRTVSCADILAVAARDSVVALGGPSWTVQLGRRDSTTASLSTANTDLPSPASSLSTLLAAFARKGLSGTDMVALSGAHTVGQAQCQNYQARIYNDANINAAFAASLRAGCPAAGGGGASAPLDASTPNAFDNAYYGDLVAQQGLLHSDQELFNGGSTDGLVRSYAASSARFSSDFAAAMVKMGGIGVLTGSSGQVRRNCRRVN >Sspon.03G0033070-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3B:44815149:44816093:-1 gene:Sspon.03G0033070-1B transcript:Sspon.03G0033070-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGSFFRIPTDEAKGILNRILEAEMDNALHDKTYEAEVDTLPNFSSTLAIPGSEPQKEEILPSDFMLDIESDLFADFGNISNYHSIDRPQNGQFSICLPSERQLRELISVMSSEWLEESELSSEVIRVDTPSITIRCAYNSDQFNALYNPVVGINIMSEAFALNLFGKLVLTPTTKVIKESSGRLVPSLGIINVLPFMVEGSMVHLNFYIFDIWGFDLLIGQPFRRLLYEGQTGKLHISLGKDFKLPITITHSLNNKTEPYLLPDPMEEVKAASLELLDDPDLEEEAPFFTEEEAEPSEPEPLDEFAETPRPP >Sspon.03G0039400-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:3833653:3834045:-1 gene:Sspon.03G0039400-1P transcript:Sspon.03G0039400-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding TMSLTSSTSEESSARRMRRILWWSSMSAADEASMPPAAGWCGWWCARNGERRDSEDLRRSSSILATTSMGRCLASTSGGSPDLATRWEHVVHSWCRSCFRDAMSTFITSSIDAFSTMPASCFCKRARQRNE >Sspon.01G0019050-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:72118883:72122204:-1 gene:Sspon.01G0019050-2C transcript:Sspon.01G0019050-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPERERKRRLAAEEWVRRKRGRKRRKREGREGERQVGWTSDPMEALGEDVMGRVMEFLDARSVARCTAVSCAWRGVAADNRLWAPKCAELMAGKAHIPRLTLIRTGSKLSTYSMAIMDGKRSRITKEDLCDHAWEYRFTIAAPDYWRNLDPSWKHTGPPMRRYFHPDGYHSAEPHDAVWGGHECTYTVITSFVDDGQIREHYVRINRWPLMKVSRKDDWSWELSNHLYHYNSIPDSDKKGCTGPLFPVW >Sspon.08G0005850-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:18369690:18376791:1 gene:Sspon.08G0005850-2C transcript:Sspon.08G0005850-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHASPPQELPAAAGGEIQAALALATVSSAAAGARGGGGGGSFTALLGLPTSQAMELLLPRTAPPPALALAPAPAPAAAPAPTFPSDPHLVDRAARFSTFAPPSPSPPSPSPAPPPPPPHAAAANAGKRKAEPIDRASKGKAAKKGKTAEEKPAGGDGDDEKPAYVHVRARRGQATDSHSLAERARREKINARMELLKELVPGCSKVSGTALVLDEIINHVQSLQRQVEYLSMRLAAVNPRVDFGGLDSFLTTECGRIAGFNCKNGIDLEQVTWPEMGVHGARQLMQLQQQFWHVGKAGDGHPPVFSNSNPSLFGYDLTSSGLEHRPSFCCTNKINQRKGVIRAGDLPFGSGQPICATALDPSRVRCGDRSYWRYRP >Sspon.02G0026680-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:84599079:84603048:-1 gene:Sspon.02G0026680-3C transcript:Sspon.02G0026680-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPILMKGHERPLTFLRYNRDGDLLFSCAKDHTPTVWYADNGDRLGTYRGHNGAVWTCDVSRDSARLITGSADQTAKLWEVSTGKELFSFRFDAPARSVEFAIGDALAVVTTDNFMDHVPTVQVKHIAEDIDDQTEESALVITGIKGRINRAVWGPLNRTIITAGEDATIRIWDSETGKLLKESDKESGHQKTITSLSKSLDWSHFITGSLDKSAKLWDTRTLTLIKTYVTERPVNAVDISPTHDTVVLGGGQDAMNVTMTDRRAGKFEAKFYHKILQEEIGGVKGHFGPINALAFNPDGRSFSSGGEDGYVRLHHFDSDYFSIKM >Sspon.06G0026990-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:81807274:81812674:1 gene:Sspon.06G0026990-2D transcript:Sspon.06G0026990-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDPPRPNPILQQEGSTVQIHLTPTPSARRRASPHGMSPSQQEVGGSSKKSALVSSSITKRKRPSSKDQQTYSTAAKRAKAPILPQPHSVAGEQQIPDPPGSRTISSSSLLHILPPRPVTTATMTTMSQSEQGARGSSKKSALVSSSSTKHKRSKASTSASQQNAPSTSPLKRRPSETNQQKQAAKRFKPPMVTRSPMVTRSPIVTRSPILRLMVSPVLIGQGSSRNPRSPPVLPSSPPVVSPPSDSTLAHSKSRKLRSDIWIDKEPIYRQGKVVQARCKHCNEVFSATCNSGNSHMLRHLGIYEARLKMVDMVEKLKSSVPSTESALATDWKFDPKKTRAELILSSPSLGTNTNNSEGPSEFFHEGHGDESALNSPLSKGSCVVGAHLKSKEEANMLLPKDHIFRRDELVNQIRESNIVDSRVKKRCNKDHLLEEEVRTTNKAVMVNNELEEKCGNEMLDKMVLHTYETCIKGMERVTIDTTEVEKRNRNSGRIKAARNNVIDIRRLLISCAQALAVDNHIRACELLRMIKQHASSTGDVTQRQAHYFAKGLEARILGTGSQLWRLPMLEYPSAVELLKAYNLYSEACCFVNVTFIFLERPSCRSWQVAYHGLWYAFGVSLGWLTPLTSSKEGKLPQVKIIAIARPTPICYLGEQIEKVGSRLMKYAHELGLPSFKFNAIMKNWEDTSIKDLHRDADEVLVVIDLFSFRFLMEESIFSDAPSPRDTVLNNIKKMRPDVFIQNIMNHSYGSSFLSWFREIVFHYIALFDMLDATIPRESKYRLVFEKVILGCYTFNGISCEGMVVVERPEKYGQWQKRS >Sspon.04G0024170-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4B:18137348:18137736:1 gene:Sspon.04G0024170-1B transcript:Sspon.04G0024170-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding FFSGEELKTELNNIDNLSEERLDELSELFLKDFKNGQLEHYGWPTEGGYPAYKVSKALANAYSRIIAKKHPTLCVNCVHPGYVSTDINFHTGDLTVEEGARGALILAFIPKGGMTGAYLNCTEVASFV >Sspon.08G0011870-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:47320821:47321189:1 gene:Sspon.08G0011870-2B transcript:Sspon.08G0011870-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTVASLSIPATFAAADVLPRRKAASRVWFPVARRGGFAARSTGPATPSDISDKLSESIKEAEATCADEKGTAECAAAWDNVEEISAAASHARDNLKENSDPLEKYCKDNPEADECRIYDN >Sspon.06G0020780-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:10913930:10916890:1 gene:Sspon.06G0020780-1B transcript:Sspon.06G0020780-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPAAPTLLHHRTPLLLPRRAACRAASLAVVRAQPDTTAASASTSALQPPAPEFKPPPGFKVPEPKRFEVKPGQQNSVLGASLAIPLRLGTGVFVLGYSPSLVSPSEIPSDQYALEFGAWKVKEESKIGQCKRPEKPIEIYEFEGCPFCRKVREMVSVLDLDVLFYPCPQKGPTFRPKVLEMGGKKQFPYMVDPNTGVAMYESDDIIKYLADTYGDGTVPIMLSLGLLTTFTAGLATLGRFGKGNSYTASKVPPQPIEIWAYEGSPFCRLVRETLVELELPHLLHSCARGSPKRQEFLKKKGVFQAPYIEDPNTGVQMFESAEIIDYLKGTYALYPSS >Sspon.06G0029470-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6C:23385645:23385926:-1 gene:Sspon.06G0029470-1C transcript:Sspon.06G0029470-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNKAGGSELKRMENGIAGSRRKRLLKYTVNPPPKKLAVSPVREHPPPGCGSTAITTKVCGVLEVSSVCTFAPFRGRALPLPPRTMEFWRSHL >Sspon.01G0033780-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1B:102235256:102237480:1 gene:Sspon.01G0033780-2B transcript:Sspon.01G0033780-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLESTTLHSNTLYPMVDAARAARATSNPYDASSCALGTLDPAAVKGKIVVCRRGGGEVSRVTKGMAVLDAGGAGMILANDRMDGEDIVADLHVLPATMITYSEAVSLYGYMASTANPVANISPSKTEVGVKNSPSVAGFSSRGPSGTLPYVLKPDIAAPGVDILAAFTEYVGPTELASDKRRSEYAILSGTSMACPHVSGVIALLKAARPEWSPAAMRSAIMTTARTQDNTGAPMRDHDGREANAFAYGAGNVHPNRAVDPGLVYDATPDDYFTFLCSMGFSEADMKRLSAGKFACPANKTKVPAREDLNYPSIVVPSLRGAQTVTRRLKNVGRPAKYLASWRAPLGITMEVKPTVLEFSKVGEEEEFKVTVTSQKDKIGMGYVFGRLVWTDGTHY >Sspon.07G0029580-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:2744751:2746778:-1 gene:Sspon.07G0029580-2C transcript:Sspon.07G0029580-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSFSFLGPLGAPNIFVLRVCVKLLNLWCRGVLYAKKDYNLAKHPKLDVPNLEVIKLMQSFKSKEYVRETFSWQYYYWYLTNDGIEHLRSFLNLPSEVVPNTLKKSSKPPSRPFGSGPPGDRPRGPPRFGEDRPRFGDRDGYRGAPRGAPGDFGGEKGGAPAEFQPSFRGSWPGFGRGGGGAFGAGGSSME >Sspon.02G0037930-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:37562752:37572373:-1 gene:Sspon.02G0037930-1B transcript:Sspon.02G0037930-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSAATAAAAAFLFPAVAVPCRVFPQRRARSLAVRAVASPPASTVKPAPPPSKTGKWEWKFENKPVNIYYEEHEQEVADNVKNILMIPTISDVSTVEEWRVVAKDIVGRKGELGYRATIVDWPGLGYSDRPSLNYNADVMESFLVELINSPNSPVANADDELVIVGGGHAATIAIRAAGKGLIRLSAVAAVAPTWAGPLPIVFGRGSDMETRYGLLRGTLRAPALGWMMYNVLVSNEKSIQSQYKSHVYANPNNVTPDIIESRYELTKRKGARFVPAAFLTGLLDPVQSREEFLQLFAKLDGDVPVLVVSTLNAPKRSKAEMEALKGSKGVTKFVETSHNIAGVRKACRHDISDCRFRSGSWFVPDLHQSLTLWSGGVHSLHMVAAVRPTLLCLWSWFFAIEWPKYKAWTTLVSGLL >Sspon.07G0019450-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:71701350:71706720:-1 gene:Sspon.07G0019450-1A transcript:Sspon.07G0019450-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPSSGGGRGRGRHLLLGLALAALLALASASESDHKYKTEEPVKLWVNKVGPYNNPQETYNYYSLPFCQPSENPTHKWGGLGEVLGGNELIDSQLEIKFLKNVEQGFICTLELDAKKVQQFADAIESSYWFEFFIGFVGETDKNSENKHYLYTHKNILVKYNDNRIIHVNLTQESPKLLEDGKKLEMTYSVKWVATDVSFARRFEVYLDYPFFEHQIHWFSIFNSFMMVIFLTGLVSMILMRTLRNDYAKYAREDDDLESLERDVNEESGWKLVHGDVFRPPQSLMFLSALVGIGTQLAALILLVIVLAIVGMLYIGRGAIITTFIVCYALTSFISGYVSGGLYSRNGGKNWIKAMVLTASLFPFLCFSIGFALNTIAIFYRSLAAIPFGTMVVMFVLWAFISFPLVLLGTVVGRNWSGAPNNPCRVKTIPRPIPEKKWYLTPSVISLMGGLLPFGSIFIEMYFVFTSFWNYKVYYVYGFMLLVFVILLIVTICVTIVGTYFLLNAENYHWQWTSFSSAASTALYVYLYSIYYYHVKTKMSGFFQTSFYFGYTLMFCFGLGILCGCNQ >Sspon.07G0034920-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:83950173:83950483:1 gene:Sspon.07G0034920-1C transcript:Sspon.07G0034920-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding NAAQPRGGGGRSRPPAAGPPRRGVSAPRRRPVSGSRCRLPRASRARRAGATPACLFGARLASIYSPLQDRH >Sspon.02G0037080-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:24818539:24826502:1 gene:Sspon.02G0037080-3D transcript:Sspon.02G0037080-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDCHDHHAFFFTDKNQSGMRKEKATGKSNGGKGNGQGGAESLRPHLHCLTGENAGRMADGSDGTDVSPESEATAAAVKGGEIWGTLEELLLACAVTRHGTASWDSVAMEVQTRSPVAARPGLTPHSCRLRFRHLRRRFSTVGSGGEEAEAEAEEDPDASAAEGWVDELRRLRVAELRRDVERCDLSIGSLQSKVKRLREERERERSVSGEAKPDEVSVNDRLSSPSEEPGRSCRESNSTDLKPPKPPGHQGGGGGAKEEEVANQDASVESAAASKESSDVRSSASLCRRRRGSEKAEEEEEEEEEAASAARPPPARSPPLASLVDAVSAKLGSVLQRLREHDSEESAAYRATIRRHVDLESVRRRLDASAAASRADDDHLFPERELYRDLLLLCTNAVVFFPRGTLEHTAAVEAGALVTRHASAVLHEPKQEHVAVAAPGGADIVGSLIEKGKKPLIVCRKRSSIAKAAASAARKEESTMKGEAEAAAATEEESEDEKSVAAAIKDKAWGVRTKKSRGGKNSAGPGRNLAKAADDADGSRKGAGGLAKKRNAVDFLKRLNQSPPRKRGSGSQLGTTRKRSAAMEQQTTTTRKRGTGRKEGPGRGGSRRGGRAAGTKRGDGRPQKRGPAPATPPPPKRAKTNSRSEKSSGTGKRGGRSCDILCFRLYLGIGILTSNINDPGINPGRQE >Sspon.02G0004330-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:13478484:13480927:-1 gene:Sspon.02G0004330-1A transcript:Sspon.02G0004330-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding WRESCQSSQAKPTSCKPQDRGTFWACLNHHKKSWPWRAMSSSACLTPAYGWTPRPSLTRALGRRRAGGRAPAKTSPATIKLSALGRIIIMGGPLACRRWTSWATGATRRPPRPVGWWATSASAGWLPAWPAELFQAPGSPSTRCAGTAGSAGRRTCWLRSTTPSPTESTSSPSPSGTGTAWSRPHRPATPDSAVAASATSRRGCCPSPPVASTAGSSTGSCWGT >Sspon.04G0001240-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4A:4791221:4791649:1 gene:Sspon.04G0001240-1A transcript:Sspon.04G0001240-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRGDDHDASTRTSRRRRPPPGAGRGTRVTRARQAEAAAAAARGRPHLGAAGAAQRRGVRHVRRLRDHRLDDHNGRALYYWFQEADADEPGGAPLVLWLNGGPGCSSIGLGAKQELGAFRVHTNGDGERLLLNEYAWNKGS >Sspon.06G0014190-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:88721711:88724462:-1 gene:Sspon.06G0014190-3C transcript:Sspon.06G0014190-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLVDVGERLLKCWEAVAVTTEIQEEILREMGVDPSFGIGCLGKVNVVYENDKDLMIKFYQFVAKEEMAIDEAELEPRELAEKLHAQQIIQEQQLNMLVEMRKYSPESQSVILGTLHKELEEANFDINASILSPEQIQEIVQ >Sspon.01G0061300-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:92643326:92643631:-1 gene:Sspon.01G0061300-1P transcript:Sspon.01G0061300-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARYVEMLDMGVRIAARFHSHCPQTARMYYKPPQTQTTSSSSSSSSADDAKSTSSSFDAPSVLRRPFALAAAAEFHAGDRSGHQLHDFGFDTAQVVVYEVV >Sspon.08G0013740-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:57104175:57108658:-1 gene:Sspon.08G0013740-1A transcript:Sspon.08G0013740-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSPKRAAGREGCGCWAAVARGLRGACFRPAAPADGDGGGSAKGSHVHDAGALPLPWSNRMKIALGAAKGLAFLHGGPKPVIYRDFKTSNVLLDAEYNAKLSDFGLAKAGPQGDKTHVSTRVVGTYGYAAPEYVMTGHLTSKSDVYSFGVVLLEMLTGRRSMDKKRPTGEQNLVAWARPYLNDQRRLYQLIDPRLGLNYSVKGVQKVAQICHYCLTRDSKSRPSMDEVVKQLTPLQDLNDMASASPRPRSTQRGKVHR >Sspon.07G0027570-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:54069167:54071045:-1 gene:Sspon.07G0027570-3D transcript:Sspon.07G0027570-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFVVGRGSPQAQHLCTFPASKRERRRKKLGKASPIDRGAATPPASEEPSPSSGPASVEDPIRSERRETCGCEGRGTTTSVVDAQATSTDKMVNAIKGLFISCDIPMAQFIVNLNASMPPSERFIVHMLDPTNMFVQPHVAHMIRSKIGEFRDQNSYEKPQ >Sspon.08G0003210-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8A:8621606:8627963:-1 gene:Sspon.08G0003210-1A transcript:Sspon.08G0003210-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTRDTATRRHRTRKCLRRPTRAAWSSSTPVQPLSRATPRRARVRHPAPTSSPNSPNPIPPSSPPRAAPHRTAHRRTRLRLWLLRGSSDPVPLTSPHLRTRCFVHRTSPPTNLSPSKTIHPTPPLPADERDRLIRFEPGGGGGGGSPPDLAAMMKSLLPQSQLRRSSAAAVRSSGGGAAGADGAMADGGGAGPRAPASSTFWFLLHALCCLISLFLGFRFSRLLFFMLFSTTALYHSTTSSSSAAVLRAITTTTTTTTTTTTTTNTFTLSFAAANTPPSGPANRTALEAADNKGAASSGNPQSHVVVGRHGIRIRPWPHPDPVEVMRAHRIMERVQEEQRRWYGVKEPRQVLVVTPTYSRAFQALHLTGLLHSLRNVPYPLTWIVVEAGGTTNATASMLARSGLTFVHIPFPDRMPHEWADRHATENRMRLHALRVIRERKMDGVVVFADDSNVHSMELFDEVQKVQWMGAVSVGILAHTGTADQLRLSEEDKQNMPLPVQGPACNSSGHLAGWHTFNSLPFSGKTATVVGEAAPVLPRGLEWAGFVLNSRMLWKEADGKPDWVKDLDAVGENGEEIENPLTLLNDPSSVEPLGNCGKKVLLWWLRVEARADSKFPQGWVIEPPLEVVVPAKRTPWPETTTELPSELLDDKQEQEDRRNGQIGCADAAAATGEYPSWKQSGHPHRVKQRDHFREGELPASRHEAPCRVCTQIRKAAEGHVRHPDGRTGPRSLRDPPSDNMDNDNDTIKTSGLTDVSLRSFSYAPLAQRPYPLVLSARSDARYTVFSLRCCAHPSTPLRLAAVAGPLQRCAPSLVASSALHSSSGPVCLACLHRDASSPWSAWARCDRLHLASEPRPPPLCRRASTTDAPANRREGRDSCDAAPAGELPVPLPHSEVHAPLLTSALACLHSSKQSPCCKSTFQVFQIFHLDVMSVSS >Sspon.08G0016480-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:61661697:61668097:1 gene:Sspon.08G0016480-3D transcript:Sspon.08G0016480-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDDEEMCDAASSAPSSPGGGGGGGAGEGEGEEEEAGAMDDGGGGREGLMIMDVMWFQVDLDYEFDAPRWFDLTQEEAPRDAAAAQEWFAAAPSYPPSPLITKMLAEDLGLQTIRSIADTNALHCSTASHECSSGVEQKIHRFEGRKQCNGASENERQSRFRTTMKGTSLRGSTLMKPTASHLARQNRHVEVKNLTQSKKLVGVRSEKITISSNDCTYQSAKRQRLENGHLNKNVMNQNMDRPTGLPRMKITIPRSPNLATKLRAERSKALRSVVKPLRATGHQHASRQHEVVGSNVPACTSNHARHLKSVDNKPEDCRDDLFKFKAQPLDKKMLVSKGDGVFQCAKRNTTVPKEFNLSTGRRVNPAPLSELFNKTQRLPTGRKVHIYRITIATGIIALSS >Sspon.05G0003410-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:10304845:10306858:1 gene:Sspon.05G0003410-1A transcript:Sspon.05G0003410-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:H/ACA ribonucleoprotein complex subunit 3-like protein [Source:Projected from Arabidopsis thaliana (AT2G20490) UniProtKB/Swiss-Prot;Acc:Q93XX8] MYLQYYINEKGDKVYTTKKESPLGVPTQSAHPARFSPDDKYSRQRFLLKKRFGLLPTQKPAPKY >Sspon.01G0046500-1P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1D:91887550:91889790:1 gene:Sspon.01G0046500-1P transcript:Sspon.01G0046500-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKATLGTRRNGYPRTRGANDARQSSSSSVIACSTRGGGRVHRSRPSGSSSAEELPRHGRNSAGATAATACRAMYMPTADSPTPSSRNPRNILSIPVGSTLPCPAACRASFTSSMDATRAC >Sspon.01G0030320-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:101761216:101767175:1 gene:Sspon.01G0030320-2D transcript:Sspon.01G0030320-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding WCLCPVPLCFATRLRFATWRALISSAASWRSGVASPPRVTNSVSTAPAFGDAGVWPPIGHRRTLISAVVLRHRPPRGRLRWSLSRLIPGGYTRAPLSFRWAPWDPGGYTRAGSSRGGCLPYLQESKIKSRSLFQNFIEMNKLWVRMQHQGPVREKEKRGKERNELRDLVGKNLHVLSQIEGVDLDMYKETVLPRILEQPSVDIKTVLSQLMDRLSNYAASSPEVLPEFLQVEAFAKFSNAIGKVIEAQPDMPVVGAVTLYVSLLTFTLRVHPDRLDYVDQVLGACVKKLSGKAKLEDSRATKQIVALLSAPLEKYSNIVTALELSNYPRVMDYLDNATTKVMAVIESLFDLIKGLIKDMDGAQDDELDEEDFKEEQNSVARLIHMLHNDDPEEMLKILCTVQKHILQGGPKRLTFTVPSLVFSALKLVRRLQGQDGDVTGEDVPATPKKIFQILHQTIEALSCVPSPELALRLYLQCAEAANDCDLEPVAYEFFTQAFILYEEEIADSKAQITAIHLIIGTLQRMNIFGVENRDTLTHKTTGYSAKLLRKPDQCRAVYACSHLFWTDDQDGIMDGER >Sspon.08G0003550-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:76521418:76524129:1 gene:Sspon.08G0003550-1P transcript:Sspon.08G0003550-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MREMVQRWRGGIYIREIDKACVVAPGTIASNSLANTTTSLEATMLRAAEVATTMAAVALVVAIALSTAPAASAIDYTEHDLASETSLWALYERWCAHYNMARDVGEKTRRFDLFKENARRIYEHNQAGNATYTLGLNRFSDMTDEEFSNSPYRGCLTTAPVVQRMSDGENEELQQEDDGSFNLTDGGATAALGLPPAVDWRGRAVTRVKDQGLGCGSCWAFSAIAAVEGINAIRTRNLVPLSEQQLVDCDKGNHGCNGGFMPTAFDFIKPRHRAGGAYPYMGRQGRCQHATAPPVTIDGYRKVPPFNANALMSAVAAQPVAVAIEAGAWEFRHYRGGVFSGNCGGKLGHAATAVGYGADAGGPFWIVKNSWGPGWGEGGYVRISRNTPVRQGVCGILTESSYPLKR >Sspon.01G0010400-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:31350232:31355579:-1 gene:Sspon.01G0010400-4D transcript:Sspon.01G0010400-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-acyltransferase [Source:Projected from Arabidopsis thaliana (AT3G04970) UniProtKB/TrEMBL;Acc:A0A178VAS0] MEVPWLLVVHGSVTALVVVSFLCGQWPIFEGTFIQSINHFLTFGAYHYLLRFVQSVCGTGARDLVLGVERYCCDRPNPILQVFYVAIIGVTYYIIVQTSFEYIPGYYVSGLHRYLSAVAVAVGAILFVLTSFSDPGTVTADNVSQYVSSYPYDNPGQSTVEYVTSVLLDSITTVDGWHFLICLYGAIILGFILAGELKERKIIYILTVYYGIDNSFSGLFPHVAQWLLAVHNTQILLSVFLAILALLLGGFCAYHLHLCLTNTTTNETFKWQDYIMWMKKENEAKADAAALKSSIGSANAHKAPPSKWRAFFMRSRTPTVEPIVKNNIYDRGMIKNLCEVVVPLSEHKAFSRRKSD >Sspon.04G0012770-3P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4B:59624508:59625379:1 gene:Sspon.04G0012770-3P transcript:Sspon.04G0012770-3P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMAPARVLGFVSVAVACMLAMAAAGEAATSGTPSSRTPTGWLKAHATFYGGADASDTMGGACGYGNLYSQGYGMRTAALSTALFNDGASCGQCYKIACDRNRAEPRFCKPGVTVTVTATNFCPPNSALPDGGWCNQQRPHFDMAQPAFEKIGVYSGGIIPVMYKRVPCVKRGGVRFTINGHDYFNLVLVTNVAGAGSIKSMDVKTSNSNSWIPMARNW >Sspon.01G0060550-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1D:80892851:80893162:-1 gene:Sspon.01G0060550-1D transcript:Sspon.01G0060550-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTCVTHSILVEAAASLTSSTMLVPCILDLNSIVRPLPDGCAVAATDVNVPGFDLGDDGRTTWGPVWEGGSAGGRRRKTREGASGGGGGSASDREPNPRSST >Sspon.01G0038610-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:30996719:30998280:1 gene:Sspon.01G0038610-1B transcript:Sspon.01G0038610-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSGTQQKCKVCTKTVYPMDQLSTDGVVFHRSCFKCQHCKSTLSLSNYSSFEGVPYCKAHFEQLFKETGSYNKSFQSQSPAKITPEKLAPELVTVEEKAYHKSCFKCSHGGCAITPSNYAALEGILYCKHHFSQLFKEKGSYNHLIKCASVKRAAEAQPEQPASDSS >Sspon.03G0047410-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3D:59505354:59505938:-1 gene:Sspon.03G0047410-1D transcript:Sspon.03G0047410-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDSFCLSCEPRGKNASSRGRDANVRRPQWWARVEARSSSGTRAGVGAAGARAAGRTPGCPPPPSGTRAASTASAGTDSNSGHIPARGGARTLWSLSTGSLPCCSDWSSDDGEEPVEVTFDLLALPKRDRSQLASLATGLDAVTSLLADSLGQVATGYFSPMAAAPPSSRRPRLLPASCRCVCDGERGAAIAGR >Sspon.05G0013460-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:48656250:48661158:1 gene:Sspon.05G0013460-1P transcript:Sspon.05G0013460-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMDEQVSLCYAPFSDPAFNATIQRHGVRMSDVACLPISLGWYGPTEENRRLIKIQCFSAEGTANFYMRPIEGLTVLLDMDTREVIRIFDGGAGIPIPPAANTDYRYARHMQEDDGEDQTSKSEAGFQKVRAPSMEPGPSGPGVELVDGHTVRWGGWEFHLKADARAGMVVSRARVQDPGTGAHREVLYKGMASELFVPYMDPTEAWYFKTYMDAGEYGFGLQAMPLVPLNDCPRHARYLDGVFVAADGRPYVREKMICVFERYAGEVAWRHSESPITGMDIRESRPKVTLVARMVASVANYDYIMDWEFQMDGLVRIKVGLSGILMVKGTAYSHLGQARENEDMHGTLLSENVIGVIHDHYVTFRLDMDVDGADNSFVRVEMARQETAPGESPRRSYLKATRHVARTEKDAQVRLKLYDPAEFHVVNPAKKTRVGNPVGYKVVPAGTAASLLDPEDPPQKRGAFTNNQIWVTPYNKSEEWAGGLFVYQSKGEDTLATWSERDRPIENKDLVLWYTLGFHHIPCQEDFPIMPTVSSSFDLKPVNFFESNPILKQRPTKEDDLPICAATAA >Sspon.03G0038180-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3C:3352175:3352486:-1 gene:Sspon.03G0038180-1C transcript:Sspon.03G0038180-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLPKGQILYPGHPDLRGFMRFINLSTSSVVNIHLPLLDDHVILDSVSGLLLLHRDHEEVEDTWPQDQDTVPLPHRCDGEGLPGQPDRKLISPSTLSPDSLKL >Sspon.05G0009170-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:18216790:18220597:-1 gene:Sspon.05G0009170-2C transcript:Sspon.05G0009170-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPGKSIDDKFSKLHPSLPVDTRIGIVGAGPSGLTAAYALAKLGYHNVTVFEKCHNVSGMCESIDIEGRTYDLGGQVVAANSAPVITHLAKELGSEFEEMDTHKLALIDSQTGKIRDLEDEANRSGRVGIHAVSGLASDPTLDFLKQHGINSMPKSVVYGYTASGYGFVQDMPYAFIHEFTRTSMAGKIRRFKHGYMSLWEKLSRSLPFEVLCGTEVLRVKRDNCVASVVIKNSNDDVEVREFDKIILSGALPFKNGKTYRSLSMTDGENEVVELNDLERELFSKVQTIDYYTTVVKIEGFEYMPKGFFYFGEYMEDPTTLGHPVAMQRFFADTNIFLFWSYGNSADIKESYVAKCVTNVVTSMGGTVQKVLLQRRFKYFPHVSSEDMKNGFYEKVESQLQGFHNTYFVGGLLAFELTERNALYSISAVCKHFAIASELPMIPYVKRLFPLSKGNPSPPRDIGELEGVEFPHLPSLDGYLQYWGTHRVTAEKFIYTWINEEGKIVNRRTYQELHDNASYIAYRLLTSTKPIIKPGDRVLLIHLPGLEFVDAFFGCIRAGVIPVPVLPPDPMQRGGQALLKVENVSKVCNAVAILSTSSYHAAVRAGYIKNIVTLAQSAQKCSAQWPDLPWIYTDSWIKNYRRSPGSFNSESVVPMITKPQPSELCFLQFTSGSTGDAKGVMITHGGLIHNVKMMKKRYRSTSKTVLISWLPQYHDMGLIGGLFTTLVSGGTSILFSPMTFIRNPLLWLDTISDYHGTHSAGPNFAFELVIRRLEAEKNKMYDLSSMIFLMIAAEPVRQKTVKRFIELTRPLGFSEGVLAPGYGLAENCVYVSCAFGECKPIFIDWQGRICCGYVDPNDTDVVIKIVDPDSLTEHQEDGAEGEIWISSSSSGVGYWNNKETSQRTFCNWLKNYPNIKFTRTGDLGRIIDGKLFITGRIKDLIIVAGRNIYSADVEKTVEGSSDVLRPGCCAVVGIPEEVLTQKGISIPDSSDQVGLVVIAEVRDGKVVSEEIADSIRTRVAEEHGVTIASVKLIKPRTISKTTSGKIRRFECMKQFVDNTLSLASGNHISKKKSLFRSLTTGTGMEIRRP >Sspon.04G0018500-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:4556776:4563851:1 gene:Sspon.04G0018500-2P transcript:Sspon.04G0018500-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQYREAAVVPRESILRAEAEWLSSIKADLVVSDVVPIVCRVASDLGVCSVCIGNFSWDFIYAEYIMEAGHHHRSIVWQIAEDYSYCDILLRLPGYGPSMLNYIWLLFFLKIVYSLFFGFQENRVLFHSIVPAFRNVIDVPLVVRGLRKSRSEVRKEFGIEENAKVLVFNFGGQVCGASDSQDVPPNFIKLAKDAYTPDVMAASDCMLGKIGYGTASEVLACKLPLVFVRRDYFNEEPFLQKLLEVVAQILQDTAVGKKCISDKSSGARRLQDAMVSGYVLQRAPGRDAGIPDWYSLSETETGAGPTSKNVATKESAASCIEDFEILHGDLQGLTDTIDFLKSLSELSGNDLMSPKKQRLERTAASVLFDWETEIYVARAPGRLDVMGGIADYSGSLVLQMPLREACHVAVQRNHPSKQKLWEHTKARQLENTGLVPVVQIVSFGSDLSNRAPTFNMDLSDFMDGGKPISYEKARELFCQDPSQKWAAYVAGTILVLMTELGAQITDSISILVSSAVPEGKGVSSSASVEVATMSAIAAAYGLNIIPRDLALLCQKVENHVVGAPCGVMDQMASACGEANKLLAMVCQPAEVKELVSIPTHIRFWGLDSGIRHSVGGGDYGSVRVGTYMGRKMIKCAASDLVSESLTSAPPVQSDCYKENGMGVLKSEAALEYLCNLPPHRYEAVYAKDIPEMIGGEAFSEKYGDHNDTVTVIDPKKSYSVKAPTRHPIYENFRVEAFKTLLAAGNTDEQLSALGELMYQCHNSYSACGLGSDGTDRLVNLVQEMQHRKTSEGRSPSLFGAKITGGGSGGTVCVIGKNCTRSSEEIAEIQHRYKAETGYLPILFDGSSPGAGKFGYLKIR >Sspon.03G0003370-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:25086992:25094751:-1 gene:Sspon.03G0003370-3C transcript:Sspon.03G0003370-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRVQLVLLGLPILLFCSDVVTLFAPLPPAATEPDKAPTLFSPATPPPPPTLPHTWFEPQVDGPGSGTTVDLKFCASCSYRGTAMTMKWMLETSFPGIHVVLENYPPPFPKRALSKAVPLLQFGAMATLMAGDQIFPRFGMVPPPWYYSLRANRFGTMASIWLFGNFAHSFLQSSGAFEVYCNGQLVFSKLSEQRFPSELELQELIGSRIPDSPILENLEKDLVHRKMAGKQLPLGLTRLVSHPGFFLFCFLFLFSNSFFFTALLPSLLIITVINCSSLQVHEAKLTDIQDSEIAHLLVLWSWAPSASARLQLQGPGSSPSPLVRTRPRARGRDAQSRASRRPHLAAVLLHIPRSPTPIQTPLSLAHCPRQRRGRVLRASLTLLPPPQEQPFRSGADPEAYHGRGNLAGGRRGLAHDLGSAAVTAGVALALLKFFEELAKRGVFEQKLSRKLVHISVGLVFLLFWPIFSSGWYAPFLAALAPGVNIIRMLLLGLGLMKNEAMVKSISRSGDYRELLKGPLYYATTVTFATSVLWRTSPVAIALICNLCAGDGIADVVGRHLGKEKLPYNPNKSYAGSIAMAVAGFLASVGYMHYFHTFGFIEETWYMALGFLMVSVAATLVESHPISTELDDNLTVPLTSFLVGSLIF >Sspon.02G0024250-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:83036741:83038230:-1 gene:Sspon.02G0024250-1A transcript:Sspon.02G0024250-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LLLPAGSDDHVRVRAREPDTVAGGVAPNCSRLALATPTTTGAKGGGFPFAVGHRHAQEPGDAEGRGDCAFLVVVGVDSASLNLNGDYGRAMLYVEDAALGPRDAAFLAPLLESPIAAAILPAPRASTSRVLAPALQRFITAALLPAGSDDHVRVRAREADTVAGGAAPNRSQLALAAATTTTGAKGGGFPFSVGHRDAHEPDDAEGGGDRAFLVVVGVDSAHAALNLNGDYGGAMLYVEDALLGPRGAAFLAPPLERPMAAAAAAILSAPLLPAASNGLEGAKAKNHARVGAKEAAAIAGAFPNGSRFALTISTVFGVTGRRGYSTSGARRSGGGEDGSTKCGEAIRPRLRNQSNIMAKLTKLECHVRRIERCIGVACYLVVEVVAPRVADVASEEFIKLAKELKDVANEVDEDKIKKMAKELTE >Sspon.03G0030030-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:26747935:26750424:1 gene:Sspon.03G0030030-2C transcript:Sspon.03G0030030-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRVLARAIPLSSASYGFPKPLRPLLRIGGPRLAISSGDPVADGGIGGEDDPFSFPDHYHKQLPPDVARGVDAVVAAAEATGSKAADAARALDGCGAEASEPLVVAALSRLRNSCAAAHAAFRWAAAQPGYAPRRRACHSMLAILAKHRRFDAARALLDEMRRASTASPAAVLLLIRRHCAARDVAGAVAAFRALPSFGFDTGVAEFHGLLSALCRYKNVQDAEHLLISWEKEFPFETKSFNIVLNGWCNIVCSLREAKRFWSSMERKGIDRDVVSYGSMISCFSKAGSLDSVMKLFNRMNEAGVSPDRKVYNAIVFALAKGRCVKEAKMLVRTMEEKQVAPDTATFNSLIGPLCKARQVQEAMEMFDAMLARGLSPSVRTFHALLNVARNSTEVFDLLDKMKQLQCEPEMDTYIMLIRKFCRWRQHESVEKLWSAMPANGLSPDRSAYIVLIHGLFLNGRLEEAAKYYEEMKSKGFSPEKKTEEMITAWLEGRELAKASVSSKGGSVSLKLPKNDSSDSNWQTLIGGTCPGTAAEVIILLSDFFDRIHSSIR >Sspon.01G0007120-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:17918854:17934840:1 gene:Sspon.01G0007120-2C transcript:Sspon.01G0007120-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLVQPVSYAQLARSIHELAATCDQKSSQRQLVNSVFPKLAVYNSVDPSVAPSLLMLHQQCEDRNVLRYVYYYLARILSDNGSQGLSAAGGIPTPNWDALADIDAVGGVTRADVVPRIVDQLSAESSSDDVEFHARRLAALKALTSCSTSSSEMMEKLNEIVFGILEKVADTKQKRKKGIFTKQGGDKESIIRGNLQYASLSALRRLPLDPGNQAFLHRAVQGIEFSDPVAVRHALSIISEIAAKDPYSVAMALGRSAQPGGALQDILHLHDVLARVYLAKLCHSISRARVLDQRPDIKSQYSSLLYQLLLDPSDRVCFEAINCVLGKGDNTESTEDRAGGWIRLTREILKLPEAPSVASKGVLSKSSEKSSKARRPQPLIKLVMRRLESSFRSFSRPVLHAAARVVQEMGKSRAAAFALGAYDEGASLDVESLDSDLENPMAEATRKPNPLSNGHGGMDTIAGLLASLMEVVRTTVACECVYVRAMVIKALIWMQNPHESFEELKSIIACELSDPAWPSSLLNDVLLTLHARFKATPDMAVTLLEIARIFATKVPGKIDADVLQLLWKTCLVGAGPDGKHTALEAVTIVLDLPPPQPGSMSGLTSVDMVSASDPKSAMALQRLVQAAVWFLGENANYAASEYAWESATPPGTALMMLDADKMVAAASSRNPTLASALTRLQRCAFSGNWEIRIAAVQALTTIAIRSGEPYRLQIYEFLHALALGGVQSNFSELQLSNGENQGASGTGLGSLISPMLKVLDEMYRAQDDLAREIRQHDNSKQEWSDEELKKLYETHERLLDFIYRNRHNISASGGLSDPAVATGISDLMYESKEVQKETNTVQSGIDPDLAMAWAAGLEDDVWANNAPAVDKVKDFLAGAGTDAPDVDDEEYMNSRPSVGYDDMWAKTILETYDAEEDDGRYSGGSSPESTGSVETSISSHFGGMNYPSLFSSKPSSHGATQQTIREEPPSYSTSVLQRKESFENPLAGRGGRSFGSHEDEDKSSGNPQSGKALYDFTAGGDDEVKKRRPGRDGKMAVLFKISRLKLGTEVRDGTNVMLKS >Sspon.03G0010470-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3B:35407666:35410198:-1 gene:Sspon.03G0010470-2B transcript:Sspon.03G0010470-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGLCPRLRVFVASSRPLLAARSEALPLPLPLPLRRAGPAMPLAARARRGLGSSTAADPLAEDFATASDLRFETPLEVVKYPDPVLRARNKPINTFDANLRALADEMFDVMYKTDGIGLSAPQVGVNVQLMVFNPAGVKGEGEEIVLVNPVVYKSAKRLLVFEEGCLSFPGIYGNVLRPESVKIEAQDVTGAKIKVKLSGLPARVFQHEFDHLLGILFFDRMTMDVLETVREELKNLEKKYEERTGLASPETVENYEGAKDVFSFSR >Sspon.01G0031450-3D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1D:108005724:108007721:1 gene:Sspon.01G0031450-3D transcript:Sspon.01G0031450-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAVTAVRVLVPVLLLALARGGGSAPAAPVEFTFTGFARENVTTSGAGAAVTSAGLLQLTNATNWVFGHGFYPAPLRFKDAATGAPLSFSTTFVAAILPRYPDAHGHGLAFALAPSAAGPAQAVEGKYLGLFNTSDNVGNGKTSEVVAVELDTALDAEFDDINDNHVGVDVHSLKSVASKPAGYVDAATGGSVDVTLASRRLLQVWIEYDGATTRLEVTVSAAAVGVPRPRVPLVSCEVNLSSAVADQTYVGFSAANGAASNSHYVLGWSFRLGGGRAPDLDLSKLPRLPPPSGHKKATELPLILSLILLLVVVLLMASAAVTGLVVWRRRRFAEEQEDWEVEYGPHRISYKDLHAATRGFRHVIGGGGFGVVYRGVLPPRPGGVEVAVKKVSHDSRQGLREFVSEIASMSRLRHRNLVQLLGYCRRRGELLLVYDYMVNGSLDKHLFGAGGNEPALSWEQRAKIVRDVAAGLLYLHEGWEQVVVHRDIKSANVLLDADMNGKLSDFGLARLYDHGSDSRTTHVIGTLGYLAPEMIKTGKATPSADVFAFGAFLLEVACGRRPMESLGNNGDPAGLVDSVLERWKAGRIKDARDPRIGKCDEDDLELVLKLGLLCSHPDPRCRPSMRQVVQILEGAAPVPETPPEDLGGAGGRIFGYYETFDEF >Sspon.06G0026570-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:71931289:71938200:-1 gene:Sspon.06G0026570-2C transcript:Sspon.06G0026570-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LLFSVQMIDMVSAVEELSGLTSKELGEMLKESDNFVLRSKTEDGGPKQVDMEELVSSLPLHLLAVCLELGQGLDLTYVLRGIRFLHSLSDLASRHTRLEQVLLDDVKLSEQVMDLIFFLLSILAEQKKENNDGASPLVHASLVAASLHLLTSYFTSQWHELVHILLAHPKVDIFMDVAFDSLHEDVRLLNIRLSSMGSGSFHVGSLECRRAHFICQQCEASLQFLLLLCQQKLFRDRILKNKELSRNGGILSLSHTILKLVVPECLKQSTDLVASISRLKAKILSILLQLCEAESVSYLDEVATNPNSRRLGQTLALEVLNLLKIAFGRKQNITSDSHDKGKIYPMGSVLISALRLVDVFSDDSNFRSSFMTNTVPFLTQILATPHEEFVSSWCSVNVPAIEEDASLDYDPFGASEVALLASDNVLTEAKANYSCPFRPSLPSMAYAQTRTSCVVKIIANLHIFVPNICEEQERDLFLLNFQKYLESGSLKLSADEPASSDFKATKVCRNLGSLFDYAKTLVPNLLNMEDVMLLSDFSDKLQSWCKSQVEQVAVKVGQNDTPPESTEDIHPVQQPLLTRTSTPDSKINNLPKNMEVSTPIPPINPEGNDKDGTPKNTVSRNGGFLQNAVGQNLVHLGVARTNSKENGLQEDEKGESMYDERQPKRRKRTLMNNEQIDELEKALVDEPEMHKNAVLLQSWSEKLSLQGPEITASQLKNWLNNRKAKLARIAKERGPFEGENADKPSTPATIHLGESSESAGEDNYLPPARVMNALSKGRLVSLDSNEQTSQAELSPNTMLIRPFTRSFSLEPGRLVSLVDSNGKEVGRGKVFQTPGKSLAESRLCMVDVTELRTEKWRELPHPSEASGRTFQEAEARNGGIMRVSWDVVRLSPAV >Sspon.06G0013160-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:60255634:60257989:1 gene:Sspon.06G0013160-2C transcript:Sspon.06G0013160-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAILKRDKHRDIQPHGFLRYDAAARASCLSQAFLSSWRCYPKLILSSQTVKKHNGSLRRRIESILKDHSGIGVKILRLHLCNEYTCFPHLDRWLKVVTPGFEELTLVTPFVYKKYSFPCSVLSAGVRNSIQSLHLESCVFPPTVELGPFRSLTSLRPRSVCITGDELECLLSNSLALERLDLRDCGEIVSLKIPSVLQQLSYLLVDGCRALQIIENKAPSISTFSLFRRCNIKLLLGEASQTIKILNLFVATAASYARAKLPSIMPNLEILNLS >Sspon.02G0052890-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:97869065:97869975:-1 gene:Sspon.02G0052890-1C transcript:Sspon.02G0052890-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTTALIFSTSVHLGAPPGRSLAKGPDRQELAHGSAVPRRGRPLPLCRGWGGARVLDLGQRVSHTIARSRAAPWSLASHLHSGRAAKHACARHSPATGLEPPSSTPALATPQRPSSPLRTPSHPTSEPPESARLHSPSHATSPPSMSRAHDMNVLLGILHHEPDADVAVQLRQLAAAGGARGARGCPAGAARWARRGPWPVRRWRPSRAGLIDPCQKASKTRRLLRSAARLFGPYMSLRWRGATGGVFWGIRKYRRLQVGLR >Sspon.04G0000490-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:3534128:3537358:1 gene:Sspon.04G0000490-2P transcript:Sspon.04G0000490-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMCEKDQNLPWGYDLFRDPFAPPNGYYGPPPGYCDGHCCDLHYGRAAHPDETQLHSSQLTYDLYNPSVGIYHPGSTHEHEHETVYVEPSSSSPDTAGDGYFEMEEEVGKRFYPMVPVPHVPKINGEIPSIDEATMDHERLTERLKLYELIEHKVQGDGNCQFRALSDQLYQSPDHHEFVREQIINQLKTNRDAYDGYVPMAYDDYLEKVARNGEWGDHVTLQAAADKYGVKIFVMTSFKDTCYIEIQPKVQKSNKVVLLSFWAEVHYNSIYPQNDAPRLQTTTKRRRWWPFSQHHHH >Sspon.05G0024270-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:13694211:13706569:1 gene:Sspon.05G0024270-1B transcript:Sspon.05G0024270-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVLRSPFAGGDLPAGDADPDYLYFLEHIRLDGDSYTLELPAHGDSQASLLKYEAPLVSSSDGECVSDPSPGRLSSNRRAVEERESSESASLETAPAWYDSLDDVDEDYCLFLQHTSLVDGQLVLEIGGVVVNYDELVAAGSRGEKGTQRAEEAAFASPGEGVGVAAGSDEVASGAPATVVPEQNASDWRADPSPRREVNDGGDEGLSDANTLKGAYREASSSDGRRAGHPTNSGGKVEKEGIIWPTHITRRPDSDFKRRLIKALTKPVAPKEYYRLFETVTIRTPLMKLRQVRNETKSYPAEEMGKSYLEHYPDQFKPWVDDSKEQEAADADKDYLYFLRHVRLDGDAYTVVIPSEDGASSAPRVIRYEQPLPDHNAGTPVAGSNCGGQRAPPSSEENPWATSEAPCGVKRKAPDASPGGEVRSGAVPMEEDTPTPVAERAWDSQPDMDEDYLFFLRHAREVEGKLELQGVIWPPHINERPNSVFKEKLIEFLNKPFTQEEYDKYFSLATDRSPLLKERRTRNKVAYYPWTHEMNKSYFDRYP >Sspon.01G0060950-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:85994915:86005175:-1 gene:Sspon.01G0060950-1D transcript:Sspon.01G0060950-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPEQTFLRVHARLSGTLSQLLTPRIRLALEYLYLAGAVALFCLLVVMHTNFVQQIISGGLWSSRGASYIMDLQNLGRSAEKILEVNGDKFNILASKFWSTWVGPGARRSKLMFRNWKGDKEFEPQSENTADTTVTTAIPGLPDLKATGDGSVQHPLSAKESFKAAVTYLFRKWYHRAVSFWKNIKQLSENTLQLMVRSNWNDFLHIFKDLQLPSMDHLISTIVQWFEMRSKAFEPTYLYGVEKGYFLLSEGAKIRHGVRTINITISARNPCFGNRWQQLLINSIVGYDTILINSLVNSPGHGYLYNFQTKELYDLSYGHEPPEGPTRFGDYFVTKCGVLLMSLFVFFTTTMSVSFTLRETQSRMLRFTGCGLDCFALNILFDSPMQLQHHARHHLPTFQLIFVHVIESLVFVPIMIGILFFLFEFYDDQLLAFLVLTLVWLCELFTMISVRTSISMQFFPRFFLLYFLVFHIYFFSYTYGFSYLAFSATAAFMQHLILYFWNRFEVPALQRFMRSRAHIHQQTGVQISSTIYTSTLHIARVNVRDPGTINDGLGAAREADGLLVQDESTRNQQEGQQHGISEPAANNALQYQEQNPQQAGSTPAGSGSLNPFGSLLLWLLGGGASDGIVSFFSMFRDVRDHGQDYTDPPRNENDQLV >Sspon.08G0006850-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:18516249:18518892:-1 gene:Sspon.08G0006850-4D transcript:Sspon.08G0006850-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPGEQSAGGGSAARASKLRYPLRSASKGKAAVVSPAADAPPTISAPRRAKPSSDVSKSMCLDLSVKEKSAKPPRRHSIQTKPGASPRPTPSGTVTTPVPTRSDSQGRFDTPTSEASMSMRRRKFSTLSSISYWMTQIRLAEAASKHSVSLGFFRLALESECEPLDRMREELKSYVARHGLATELEDPVKDILQVYDIVEDFEKLKISAEPSQQPKKSDKAACAATNVSPNGNLKPRSLNSDATESKEAGKKESIQKVKPDAKVRGSYNRNPVKNTNAKEVVAKSTGKKTKKEAKGQQEVSNGDSEALSALPNQESVDVVKEITHEDKENMGDIEMAVDVAIAQDI >Sspon.06G0013940-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:89973898:89978717:1 gene:Sspon.06G0013940-2C transcript:Sspon.06G0013940-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPNHRAPLLLAVIVLALGASVAAGAEDDASGISLGRRAGGFLHGLKKKDALVEGDHGVALDEVGPGLFDALFASLSMILVSEIGDETFIIAALMAMRHPKSIVLSGALSALYVMTVLSTGLGRIVPNLISRKHTNSAATVLYLFFGLRLLYIAWKSDPKGSQKKEMEEVEEKLESGQGKSTIRRFFARFCTPIFLEAFILTFLAEWGDRSQIATIALATHKNAIGVAVGASLGHTVCTSLAVVGGSMLASKISQQTVATIGGVLFLGFSVSSYFYPPL >Sspon.02G0020530-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2B:66882848:66883855:1 gene:Sspon.02G0020530-2B transcript:Sspon.02G0020530-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSARTKSAMDAQSRSHELAIGWPFLPQLLVVVVPLLLPLLCLLSRRREQGAAAAGRRAPPGPPKQLPVLGNLLQIGSRPHRYFQAVARRYGPVVEVQLGRVRTVVVSSPDAAKEVLRTNDLHCCSRPNSPGMPTTHSHASPKEKRNCFAIHTSILFLPTPLISFTSLSLSPRWLSLRGGARRCLIGGGACPSQLLPTARCLIAIHGGAHNCLSHAAQPRCHLRPSLLRASSPAFGARSSLLSAVHAPA >Sspon.08G0021460-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8B:39384205:39388058:1 gene:Sspon.08G0021460-1B transcript:Sspon.08G0021460-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEVAQEPVTPAEVLETVPDGVNLEAYKRCMEYCQTQGVNLDTFKFVGIGLDKLRIMPKKPELKLSKCIDDAFAVPDAEAELVGKSSLELADASVSMIYKNALLQRSLRKSIEDEAKNAALREKSLVEEINSLKSQLAAKEKERVETVEALHNMESRCISVGAELETKTEEFDNLKKDLDKVVRENNYLEKKSLEKDQNFHNKCNRLWELCKNCYDKFEFETDFSIAENERCLPRVVDCSKFSQSSCSSASPSYISEDYLSSEVDHVLDKVVEDLIDEAATIVASENI >Sspon.04G0000370-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:2416493:2417305:-1 gene:Sspon.04G0000370-1A transcript:Sspon.04G0000370-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VPGHLGLPEQPSGSSGPKTEPPAVKDPEPSPAGGAPGDHADETNESGGGEPREGAVVAAPNRRPRGRPPGSKNKPKPPIFVTRDSPNALRSHVMEVAGGADVADAIAQFSRRRQRGVCVLSGAGTVANVALRQPSAPTAVVALHGRFEILSLTGTFLPGPAPPGSTGLTVYLAGGQGQVVGGSVVGTLIAAGPVMVIASTFANATYERLPLEEEEEGPAPPIGPGGADPLMGGGHGIADPSALPMFNLPPSNGQLGGGDGFPTWAHPRPPY >Sspon.05G0006840-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:22185226:22187276:-1 gene:Sspon.05G0006840-4D transcript:Sspon.05G0006840-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VCDLYCDGGVDTDKWCDAQVGHYIGIDASATGVNDARELWENKKKPFTAEFIELDPSDDGFEAQVQEKGIQADMLCFESEERAKKLLNNVSSLLKPGGYFFGMTPDSSTIWTKYQKNVEASHNKGLKIVPNSIRSENYTITFEVEEEKYELKLFPFFGKKYQLKFASDTVFENQCLVHFPSLLRLAREAGLEYVEILNLTEFYDDNRPLFAPMLGGYGASFLDGRGKLVARSYDILGGLYSTFVFQKPDPDAIPPVVTPDLHDPDNAHEEEWLQPQQASVEDERRSHADVLPIDPAKGILGPGPADMRL >Sspon.02G0042950-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2C:90715782:90716270:-1 gene:Sspon.02G0042950-2C transcript:Sspon.02G0042950-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGKLAQHVSWLWRGPRRALCAARDLYVRSLTGCAGHLPSDATFGYPTFAAAPSFGAHSGFASASSSRRYSSASDADEDLRELIRAASQRRAAELERQRHPAAVPRSHSVAGAMARIDEDGPCDFASAAAAVVLFPRSRSCVPPGSGRVAGRRGRVGALAA >Sspon.06G0019890-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6B:5602506:5605291:-1 gene:Sspon.06G0019890-1B transcript:Sspon.06G0019890-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding QASYPSTSPQESQRNSYHVPYDRPSYPPPSPQEQESSPWDFFWNPFSSLDSFAYPRPRSSYDNVVTDDELARLQRVREEEGIPELEEEDDECQEHIQMHRKEEKEEHDNADDDEDEDDEEDDDEECEHSDECMVSNEGTCSVNFDANMKQETKGFESKGIQCTEAPEPRKTVELEIKAHKKELMRNRVANAEETPGFTVYLNRRPASLVEAMKDIDCQFLGICDAAREISVMLEATKMLNPVALLRSASSRSSSSRFLLAPSSSIDDLYDNETSSCYSEESCSTMSGSHHSTLDRLYTWEKKLYKEVKAGERLRIEYEKRLTHLRNQDVKGEEPSSVDKTCTALRSLHTRLKVSIHTVQSISRRIEILRDEELHPQLMELIQGLSRLWRAMAERHKVQKRTIEDAKLLFLQHHPSAATAISLGPLEAATPPPAALALESEIQAWRGALETWLFAQRAYARALAAWARRCLGISRAARPSHPLPPAFLVCMEWGRAVDAATEARVIDGLDFFVAGVGSVCSGAATGMEGMAGRVLCAGMAAVTGAMAEFAAASADSYDAAVTAVITAA >Sspon.05G0014150-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5A:51357157:51360965:-1 gene:Sspon.05G0014150-1A transcript:Sspon.05G0014150-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GNTCWKKKMPLSNGYMGDGVQRTVYLKPTRVLRSPTTSTSPHHLSGPSAPEPTEILSFTDSEALLDESKDLPPLVPEGKGKAPLLERGPHHARRHPHCGGFMANARRTHPPRGLPSPPPSSPQVHAPRHAHPPRLDSPVDADGFHVVQSQRRWCRRKRAPVHRPRPVPPQLVRLCFNCLANDHVIANCRFPSRCLHCRGTGHRARAYKLTRSPSGSTSAGVEARGLHATSPSSLGSQQPPPHTPPLSPPPGHISIRPATEMVVIPRSAELAMAEEALSSLALVALIGGNQPPVSPAEVQNQLESFYRIPADSFWDVLHVPVPMGTPFFLVWKRWRRQSMASVGSLRYKVLLGIKRILGSSCARLEEAPQTMASDDLREYFIAVWCIHPRFIPQQAIMAIPEPEVPFIVEPIRSELPALWYLVWEDAPHHGRGAIGLKTMATEGGPPSSMGHLSSSGPSPVRSNRGAAVRGRPANASGSDCCAEEMALHGTSLPKLRTWPGAKAPAMTENLPGKWTPPSGVVPLAPMTRRVAPATVEPESHLTLPPTWCASCREQCAMDRGHVVEPKKPWASSGCSVLTLCVSGYISLHRPPPGGPSRVFLAVKEVGSRGRRAGPRASKPLEARRSFVFLCHAPCVTLSGKVVPVESVGLEISVLLNINVSSGNPSLVPPCVQGPTEAKRHCGWAPFMQW >Sspon.01G0020680-1P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1A:76741660:76743064:1 gene:Sspon.01G0020680-1P transcript:Sspon.01G0020680-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGKELVVKRNGPVDIREIAAKATLREVRQSGHTYVELRRVGKRVIFFCTICLTECFSDNVLFDHLKGNLHSRRYAEAKVTLFGPMPWPFNDGVLFFNNSREKDPLLLDSSSQSTRELALVPQPEVSRNDTEVTSRLRDGSSSCNGVKGARNGGKGRANGRVAPVSENYALSNSGTDGPLVIPGVLLKDVVSDLPVHLLGYGNISYRICEASKNCKKISKIWCAWVGQEGSHGSESCNTNEQSGFAIVNFSYTYDLGRKWSSDEQDLSISAGSFFVIDDAGHRGKRMKKSFSDQEASSEESNGQNSSPQGSSQAIVTSSPAGTSHNLQVGLLSSLSSLSHFLPFTLDYIVPIRDAE >Sspon.04G0034890-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4D:81948984:81950283:-1 gene:Sspon.04G0034890-2D transcript:Sspon.04G0034890-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEPHQNQMMVLGTSRLLILLVVLGFAAVAEATVPAASTVVAGMVFCDQCRDGAKGLFDYPLYGARVAIQCGGGDTPLTVRESNTNWFGGFSVRMEGSPDMNRCTARVVQGTGHCGAATGGGGAPHELTLAFRMLGLALYTVPPLLSQPDEAMDFCPGHDRSRRGGRPPVAQAPAPASSSSPLPPFWRRRPRLLPPVWRKPPTNLPQEQQPHQPEPQVLPPPPPPPPTAPSQGSACTYDMWASPEHRCHWKVLTPNTTVAMAFGPLAAQRYGSELTLGEALEGRGDMYRTLLREATAALLNAYYNAPGGPFLYPTTASVMDHMNGALLSSGQRVLLEGARFRRANAGGGGPAGRTRLPCDLTPCGT >Sspon.03G0004950-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:16876043:16882848:1 gene:Sspon.03G0004950-2C transcript:Sspon.03G0004950-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MYKLGLLYYYGLRGLRRDYGKAFHWFSKAVEKGETRALELLGEIYARGAGVERNYTEAYKWLALAAKQQHYSAYNGLGYLYVKGYGVEKKNLTKARQLFELAAENKEAGGHYNLGVLYLKGIGVKRDVIRACNLLLHAVNAGQPKAIYQVAKLFQKGIGLKRNLHMATILYKSVAERGPWSSLSRWALESYLKGDVGKALLLYSRMADLGYEVAQSNAAWILDRYGDQSICMGESSYCTDMERHLRAHALWWQASEQGNEHAALLIGDAYYYGRGVARDYERAAEAYMHARSQSNAQAIFNLGYMHEHGHGLPLDLHLAKRYYDQAVEVDSAAKLPVMLALTSLWLRKNYADSFLVRFIDSLPEIYPVVKEWVEDVLMDEGNATILTLFACLVTVLYLRERQRRQVAAANPQQPDDVA >Sspon.08G0011580-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8A:50377112:50377867:1 gene:Sspon.08G0011580-1A transcript:Sspon.08G0011580-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAYGLDVECPHIFDGTHFARWRNWMTCNFKFISPQLWWIVDVGFSFAIDKKNATQAQSKCLHLDSQATNVFYRSMDSSILCEIIDFESAHEIWVFLNEKYGAISNDDEPKKEAHEDVEHDHNKVVVEDCSTSRSSDDKNDHITRSLDKKNDNATSDASNDSTSCTLDGEDDGYESDASTSSSISSHGDTKVSIGGFVVDCDGPNFELLYKLSKALRNEMAKTSKLKNENSFLKTTCEQQKHLLYVNYLFT >Sspon.01G0050510-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:3491760:3494663:-1 gene:Sspon.01G0050510-2D transcript:Sspon.01G0050510-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNSGHRSRNRAPDDDSFDSESSSSGPPTVKRSAAVAALLPPFSRPTPSKWDDAEKWISSPTSNRTGRAGPTTATVPKKSALAFPEHGGRPPAVAKVVTDVPTNAGPLVKNSDGLDHPDLLKPAHNASIVDGPAPAVRSVSMRDMGTEMTPIASQEPSQTATPIIASSPTSSRTPTPQRTTEFSVSNIDSNKMEMSEEELQISTRQEIMDLGERLGKTTIAAWASKEEKAAAHSTSTTAYKAVDINRENRAADWQEAEKAKYLARFQREEAKIQAWENLQKAKIEAEMKRIEAKIERKRAREQDRLGSKLAAVSHRAEAKREAAEVRRNQEAARTEEQAAQVRETGHTTSSFSCWCWCL >Sspon.06G0021320-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6B:14024082:14025326:1 gene:Sspon.06G0021320-1B transcript:Sspon.06G0021320-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPARHAAVGVLLAAAALLLSGAAVPVARAQAETGHFVRSYARRVLQSLRAPVHLLHLPVHVRVSDCREHACILLVAEHEEGFSYILGAANGPENWGKIKPEWANCSVGRMQSPIDLSDERAKLVQSLGYLKTSYRPAQATIVNSGHDVMVSFKGDAGSLVINGTTYNLKQLHWHTPSEHTIDGRRYDLELHLVHQTSANKTAVIGILYEIGPIKDTFLHRLEPYIRRIQNTKDQEEDIGIVDPNGARGIGSVYYRYMGSLTTPPCTEGVVWTVVNKISPVAEYQVKLLRDALQDVRVHLHN >Sspon.08G0011500-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:48004998:48009065:-1 gene:Sspon.08G0011500-3C transcript:Sspon.08G0011500-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGIVVSALVLFSWVLFLSCIFAVKVLHNARVAIFSAVDGLSKVRGVNLGGWLVIEGWIKPSLFDGIPNGDMLDGTQVQIRSVVLNKYVSAANGGGSNVTVDRDVASTWETFRLWRVSDNEFQLRCLGGQFLTSNSEDGLILATAKHPLSTETFFIERNAGRVHIRLSNGGYVQATNDHLLISTYQFQPGWDNNLATFELVIVVNNLHGDYQLANGYGYDKAKMVLEEHRRSFITANDFDFLSRNGINTVRIPVGWWITQDPYPPSPFVGGSLAALDLAFSWAQSYDLKCIIDLHAAPGSQNGNEHSASRDGSVDWPSPEYISQTLEVIDFLATRYGGHPSLLGIELLNEPSAVTVPLDVLVSYYMRGYQIVRNHSSTAYVILCQRIGNADPIELFQAGIGLSNVVVDLHYYNLFDPYFATMNSTQNIEFIYKMRAPQLQDLKAMNGPLVFIGEWVNEWDVQNASQYEYQKFGRAQLDVYANATFGWSYWTIKNDMIHWDFEWNIQNKYLLFNSEPILDLTNSHHDMHLLV >Sspon.07G0020250-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:75186840:75194234:1 gene:Sspon.07G0020250-1A transcript:Sspon.07G0020250-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDSNPAAASSKRPCDPSLDDPTPSAKIQRSSEPSDPTPAGKPNGGAATGEESEVMAGARNPRAQRYLVAVEYIGTRFSGSQQQPNQRTVVGVLEEAFHRFIGQPVSIFCSSRTDAGVHALSNVCHVDVERISKRKPGEVLTPHEPGVVKRAVNHFLLKNEGDIMVTDVRCVAPDFHARYKALERTYHYRLLSGPESTSVFEKNSAWHISEDLDIQAMKKACSILVGHHDFSSFRAAGCQANSPMRTLDELSVTEVFPFMFFPSSVERSELESSNGSLVYSRTPDIESSGKGSDGCCTSSVKSELENGEDFGKRSRHRCFVVTARARSFLYHQVRLMVGLLKSVGTGDLTTADVERILDAKTVTAAPCMAPAYGLYLANGSSV >Sspon.01G0023370-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:81879117:81880000:-1 gene:Sspon.01G0023370-4D transcript:Sspon.01G0023370-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQFLRGKAAAAAAAGEAALRASAPVPWRRTASASYHHTIQAVPRETAGPRAAARERRHGRVPAVLLTLAGAAPGNGIAHRQLLTADRRQLAEMLKQSPYFLSTPVRLQVRAGERSNAIIHDGTVLPIKVHRYGTGNILNLVMVKADEGTMLKVDLPVEFKGEDACPGLKKGITFF >Sspon.05G0010540-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:24866713:24867449:1 gene:Sspon.05G0010540-2B transcript:Sspon.05G0010540-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding NGGHPGPAPHTRPPQLLLLLPQRIRDSHHPQAAIRGGEGVGGDDGDGEAGRQGGAQPARVPPLRARRPPVAQVGVREEQVPVDLLRQGDVLPAAGEGEGVPEGHGEEFVEIAAGDLVVFPKGMKCTWDVAEAVDKHYNFE >Sspon.08G0011220-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:11374625:11376922:1 gene:Sspon.08G0011220-2P transcript:Sspon.08G0011220-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIGAVSDELLGTFMPIAVYWLYSGLYVALDGVGRFDGYRLHTREEAATKNVVSKAAVVRGVLLQQVFQVAVSLTLFAVIGDESGIGQKQPPALVIVLQFITAMVVMDTWQYFMHRYMHINKFLYKHIHSKHHTLVVPYSFGALYNHPLEGLILDTIGGALSFLVSGMTPRTSIFFFSFATIKTVDDHCGLWLPGNILQALFGNNSAYHDIHHQLYGNKYNFSQPFFVMWDKIFRTYMPYSIEQRKGGGIESRPVMTYVAAG >Sspon.02G0013190-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:37882051:37883854:-1 gene:Sspon.02G0013190-3C transcript:Sspon.02G0013190-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPFSLLLLAGALVLLVGGVADALSGGSLTSRAGYQISCGATSAKVAGNVTWVPDGAFIHVGKAAELTGSPGVMPMLSSLRYFPTDDASSSAATTKYCYVVPAAMHARYLVRTTYYYGWFDGGGAPPVFDQIIDGTRWSAVDTAGGYARGLATYYEAVVEATGKELSVCLARSAATAPGRSPFINALEVVPLEGSVYSAVNFTAYALSTVARHSFGYDGGSIIGYPGDRFNRYWEPYSDDGSIPVVESQASVATEAFWNKPPEAVFRRGLTASRGKSLDLQWPPAPLPAASYYLALYFQDNRGPSALSWRVFDVAVNGRPFFTGLNVSTAGSMVYGAQWPLSGQTRITLTPAPGSPVGPLINAAELMMVVPLGGRTHPRDGKTSCPDRYTCRCHAWLVDTRTLFVQITHLVAAAVIGMQELARGFMNPPSDWRGDPCLPKGNSWTGVTCNQDPLARLACREQSDRTDSRYEPTASSSLF >Sspon.06G0015890-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:86882057:86884192:1 gene:Sspon.06G0015890-1A transcript:Sspon.06G0015890-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPPRGRGGGGRFGGGGGRGGGGGRFGGGGRGGGGGRFGGGFRDEGPPADVVEVSTFLHACEGEAVTKLTNEKVPYFNAPIYLQNKTQIGKVEEIFGPINESYFSVKMMDGIIATSYKEGDKFYIDPMKLLPLSRFLPQPKGQSQGAPRGGGRGGRGGGRGRGGGSFRGGRGPPRGGGRGRGGGRGGFRGRGRF >Sspon.06G0033260-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6D:11684823:11686714:-1 gene:Sspon.06G0033260-1D transcript:Sspon.06G0033260-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQAAADACLKLADRRSAGLQQRIEELTRQIEQEDAHGRQEIGSTRRRLRYTCWPWQRLRVISSSSQARTWFVDQNGRLLPSTEALLQTRI >Sspon.08G0014560-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8D:56693738:56697936:-1 gene:Sspon.08G0014560-2D transcript:Sspon.08G0014560-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAVGLRPALQACAASSRPARGAAWRVAGRRVGTGHDERAAITGTAGGERARPSRRTAQPAWRAHGQRCVRARPARRVRVASGQMLRTRACIPYKRKRGYLGLGALGRCHGIGTPALSSRPAGVEAGGDGTALAWAEEGRAEATHQGVRERDPSAGRSGRREAVCVLCCAANGPERNDRGGRWLRAVWLSNGRVQREGNRGGQGKGYAISSMEVGYEQSTSSCEFRTPFRFLLSWLAAQYDPPHGHGPLCLGHFANPTRPGPKRKKEVPHQSRRPRPPARLPNGRGLGPLRSLPELRPIRPPRRACIARREDRPAGPPARQPAAMPGSPKVFFASSASRRRGATPAALLAGLLRGLPPLRPRRLPRAALTLSRSPSVTHSRCPDSSRPLSVSVAWDRRPGGGSAAGSAELPASLATGSRGRHKVMAFVGIFTGFGSIGRRRALRRTWLPADRQGLLRSVAGITALLVRFRFCRSRSSWPFRVRTVDVFCYF >Sspon.02G0044350-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:100715856:100720378:1 gene:Sspon.02G0044350-1T transcript:Sspon.02G0044350-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEAVHFSVADVKDCKQSAVDVGDKTYVISRADDDSKTSVVVKILDKLTQTWVVPTILGKQPPLTKSHSAIPVSDEKILVIEKGVPLNESIWFLEIDTPFVKQQRKIKGTEVVSWSKGVIGVGQKPVVISGPSGVGKGTLIAKLMKDYPSKFGFSVSHTTRSPREKEIDGVHYHFTERSKIEKDISEGKFLEFAHVHGNVYGTSIEAVESVTDEGKRCILDIDVQGARSVRASSLEAIFIFVCPPSFKELEKRLRARGTETEEQIQKRLKNARAELDQSNEPGLFDHLLVNDELETCYENLKKLLSLDDDQEGSDDFVIKEGKETASYSIMSKTDSEILLQSETNEAKNGAACLLALDLSSLSGGAPGRTRGLKLRSVNSF >Sspon.08G0022510-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:49432543:49436840:1 gene:Sspon.08G0022510-1T transcript:Sspon.08G0022510-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLVSVFGNDVDAYYDRLLAGESGIGPIDRFDASKFPTRFAGQIRGFSSEGYIDGKNDRRLDDCLRYCIVSGKKALEAAGISHGSKPMEKIDKTRAGVLVGTGMGGLTVFSDGVQNLIEKGYRKITPFFIPYAITNMGSALLGMDIGFMGPNYSISTACATSNYCFYAAANHIRRGEADIMIAGGTEAAIIPIGVGGFVACRALSQRNDDPKTASRPWDKDRDGFVMGEGAGVLVMESLEHAMKRDAPIIAEYLGGAVNCDAYHMTDPRSDGLGVSSCIKQSLEDAGVAPEEVNYINAHATSTLAGDLAEVNAIKQVFKDPSGIKINATKSMIGHCLGAAGGLEAIATVKAITTGWVHPSINQFNPEEAVEFDTVPNVKKQHEVNVGISNSFGFGGHNSVVVFAPFKP >Sspon.03G0001330-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:3316744:3318763:1 gene:Sspon.03G0001330-1A transcript:Sspon.03G0001330-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRSLPVAAVVAVVLVCCSALCRGERLGARECEDLGFTGLALCSDCNALSEFVKDQELVEDCRKCCTEDSDDSISKLTFSGAIIEVCMRKLVFYPEVVGFLEEDKDDFPYVEARYSYGSPPKLIMLDNKGEQKETIRQ >Sspon.04G0008930-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:25955379:25962113:-1 gene:Sspon.04G0008930-1A transcript:Sspon.04G0008930-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Embryo defective 2423 [Source:Projected from Arabidopsis thaliana (AT3G48470) UniProtKB/TrEMBL;Acc:F4JF17] MASTRSSSSPSRGAGDGKKRLEALALDRVAEAADAVASAACAGEVVRAIHAVASLLFPVDSEAVAGTVEEPFRSQIVEAVTLSNDERESWRCAFYHGPAFPTMSKILLGNVALKWLPKINVSARKEIYDSFFVKGPPTEVIQALVPALSEKEVSKEDHRTFFSNLERLLILCLLDNKGVFQIVVDFACSNKHGEDIHNPDKTIFVSRVAQLLASVPDKARLGASAALTSPSFFKHVVSQLLAGAEAATIELAADKEANEHCVLSSMFHFVGEALSRVCRRGSTGILVAELIPKIRNHLHRCVPPDHRAISPEMIQHVCQSQFWFNMVEAMRDQHSIERLTEELLRQLASQHISDEEAHWILWTLFNQSFKRLTVMRAMFVDKFLLWKTFPLCCLRWILHYTVFECPPNSTTEILMQRTPNFFGILQSLISIWSKKEFIQSYSVEQQAYITAAIGLCLEKLTKKELETTKDVLNSILQGVSCRLESPIDLIRKMASAVALTFSKVVDPKNPLYLDDNCCEIVDWDFGVLSPKEIKAPLDAVESKTKPKACENKRNAIEKKAKAIKDDIPDVRAKIVEINSIDHDQMYDTATNGQFEEEECDEESMNMDAYSDSSLEPYDLSDDDTDLQKKFSHLSDLAAALRKPDDPDGVENALNYAEKLVRASPDELRYNSGDLVRALVHVRCSDVAMEGEEDSAEEKRQKALVALLVTCPFESLDVLTKLLYSSSVDIGQRILIIDAMTEAAQELAETKTVKTEQRRGNLITDTSPSWLVPSNIGPPGAGPWREVSEPGTLLSWSHRYEREVPSKSGQLKSGKSRKWGLGKAKDLQVEWSKNRFPLYAAAFMLPVMQGYDKRSHGVDLLNRDFVVLGKLIYMLGVCMKSMAMHPEASALAPALLDMIRSRDVSRHAEAYVRRSALFAASCILISLHPSYVASSLIEGNQDISTGLEWIRTWALQVAEADPDTECTSMAMTCLRLHSEMALQTSRALESADHSKTGRAIPSKLDSIILPFGNMM >Sspon.03G0003590-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:25322385:25332325:1 gene:Sspon.03G0003590-3C transcript:Sspon.03G0003590-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPHAPSLTPGAFRFKPHLPTKPPLLTSSSTPAPAPASASTRGRLCAAAAVTRRDLLVLVPSLVAASTVLQSLPLAASAAAGDDKPAPPPQAPATPASPPPPAEEPALSRVYDATVIGEPQAVGKDARRRVWEKLIAARVVYLGEAELVPDRDDRVLELEIVRKLAAACAEAGRSISLALEAFPCDLQEQLNQFMDGRIDGNSLRLYTSHWAPERWQEYEPLLNYCHDNGIKLVACGTPLEVVRTVQAEGIRGLSKAQRKLYAPPAGSGFISGFTSISGRSLIDKISSTRGSPFGPSSYLSAQARVVDDYTMSQTIMKEITNGDPSGMLVVVTGASHVMYGPRGIGVPARISKKMQKKKQVVVLLDPERQSIRREGEIPDLQKGIDLGVVSPEILQNFFDLEKYPVMAELIHRFQGFRERLLADPKFLHRLAIEEGISITTTLLAQYEKRKGRFFEEIDYVLTDTIRGSVVDFFTVWLPAPTISLLSFADDGSGESVELLKGILGSVPDNAFQKGIVGQNWNINQRFASVLMGGLKLAGVGFISSIGAGVASDVLYGARQILKPSASMEVGRKRTPIWKAATVYSCFLGTSANLRYQVIAGLIEHRLGEDLMAYYNQPLLASLVSFVSRTVNSYWGTQQWIDLARATGVQSTKKELPSPEVSSASDIPLLECGTTEVQNVDDSSNNQSNDLT >Sspon.02G0014780-1P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6A:19706834:19708122:-1 gene:Sspon.02G0014780-1P transcript:Sspon.02G0014780-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQRLTYRKRHSYATKSNQTRVVKTPGGKLVYQYTKKRASGPKCPVTGKKIQGIPHLRPAEYKRSRLSRNRRTVNRPYGGVLSGIAVRERIIRAFLVEEQKIVKKVLKIQKTKDKTAAK >Sspon.04G0004120-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:12583753:12587476:-1 gene:Sspon.04G0004120-1A transcript:Sspon.04G0004120-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAGATPATARKTLFTTTVTLLSSSLTHSSGNGSRSRSLSCSAASAAAPRLAPQPPDLVRWVQREGGFVHPALRVANHPEHGLGVSAAASDGDIPLGDVLIALPGRLPLRLRRPTGAADDVLVRLAQQVPEELWAMKLGLRLLQERAKSDSFWWPYIANLPETFTVPIFFPGEDIKNLQYAPLLHQVNKRCCFLLEFEKEVQQKLHTVPLVDHPFYGQDVNSSSLGWAMSAASSRAFRLHGEIPMLLPLIDMCNHSFNPNARIVQEGSVNSLDMSVKVVAEKKIEQNAAITLNYGCHPNDFFLLDYGFVITPNPYDQVELSYDGALLDAASMAAGVSSPNFSAPAKWQQDILSQLNLHGEGAILKVSLGGPDIVDGRLLAALRVLLADDPEAVHKHDLKTLMSHDVQAPLGPAVEASALRTVLALCAIALQHFHTKIMDDEAILRGGPPLTTELAIQFRLQKKFMIVDVMQNISRRMKMLSPQKSTA >Sspon.01G0002890-1P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1B:75684910:75686484:1 gene:Sspon.01G0002890-1P transcript:Sspon.01G0002890-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEQLKVLTALDQAKTQWYHFMAIVIAGMGFFTDAYDLFCISLISKLLGRIYYTEPNSPSPGTLPPNVSAAVNGVALCGTLAGQLFFGWLGDKLGRKSVYGFTLILMVLCSIASGLSFGHTPKGVISTLCFFRFWLGFGIGGDYPLSATIMSEYANKKTRGAFIAAVFAMQGFGILFGAIVALVVSSGFRNSYPAPSYQDNPAASLGPEADYVWRIILMFGTIPAALTYYWRMKMPETARYTALIARNAKQAAADMSKVLNSEIEEDLDRAERQASSGSNEWGLFSAQFVRRHGLHLLGTTSTWFLLDIAFYSQNLFQKDIFSKVGWIPPAKTMDAVEEVFRIARAQALIALCGTIPGYWFTVLFIDIIGRFAIQLMGFFMMTVFMIGLAAPYNHWTTAGNHIGFVVMYGFTFFFANFGPNSTTFIVPAEIFPARLRSTCHGISAAAGKAGAIIGAFGFLYAAQDPHKPEPGYSPGIGIRNALFVLVGTNFLGMIMTLLVPESKGLSLEEVSKETVDDDEAAA >Sspon.03G0028030-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3B:7772495:7773106:-1 gene:Sspon.03G0028030-1B transcript:Sspon.03G0028030-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRGRVELRRIEDKASRQVRFSKRRAGLFKKAFELALLCDAEVALLVFSPGGKLYEYSSTRFSTPAPLSLLSSYNRLPVDRSETEAGEAPPYNLAVEQGRSSIRSGPILESRRCSTASRARGGPPAAVLVHAMCSRQSPIPGGCACATLHDSVWDLCPACRCRSRRHLRFFLPRSATCSMSLFWTLAALGRAVQLAGLLGVL >Sspon.05G0002530-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:13027384:13028874:1 gene:Sspon.05G0002530-3D transcript:Sspon.05G0002530-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At1g79490, mitochondrial [Source:Projected from Arabidopsis thaliana (AT1G79490) UniProtKB/Swiss-Prot;Acc:Q9SAK0] MKQREGIGRAPVGVYSVMVDVLAKAGRLDAAMGMYREMVAVGHRVSTAVSTAMVEALVRSGKLDAGMELWEEMRRGGLRPSFGLYTMVVEANARSGRLDMAAKLFGDMEKSGFFPTPATYACLVEMHASAGQVDAAMRLYHSMANAGTRPALSTFTAQLFESCMKTGLYGSARPLLEAYVAGAAKVDLILYTSILAHLVRCQDESSERAIMDILSASKHKAHDFMCGLFTGPEQRKQPVLSFVREFFQGIDYDNEESAARYFVNVLLNYLVLMGQMNRARCVWKVAYENKLFAKAIVFDQHIAWSLDVRSLSVGAALVATVHTLHRFRKRMLYYGVVPRRIKLVTGPTLKMVLASLESPFEVSKVVLRAPGDSVLEWFKKPIVQQFLLNEIPSKADVLMHRLNVMFPSSAPEVRSLSIPRSLGMS >Sspon.05G0011810-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:29106552:29110920:-1 gene:Sspon.05G0011810-2B transcript:Sspon.05G0011810-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAALRQASRCLAFRGSLAAARPMLLAQSRGITYKLFVGGLSQFATEESLAEAFSRYGQVIEATVIRDKVTDIPKGFGFVKFASPEEANNARKEMNGKARFLLLKYYCLAEQCELLTGIERPGHIRGHRKGQTGPCYRCSPNSERSS >Sspon.01G0025040-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1A:88867490:88873645:-1 gene:Sspon.01G0025040-1A transcript:Sspon.01G0025040-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGACKCNYPGGSECPPAPAPCVRWAVAHAFPFARPLCHARGTRWCDSACTPHALHSQLPAARPLLVLALLVFNQNTTEQSDPFPRASATSLQGQGLLLATNSATADIACFQATHRQLQCRTAALHAGGVICVTRDPDESAAAAVCFITDATGNHRTVRPTAVRPPVALVISASFNSDASCKVLIAAVEQDTTAAQENKEKDGCSVRKVQVHGFSIRQQQTTAKPGGIPTPHSTQRSSTIIIIQMRSDTMQLAEMRQYKNDLLLEESEETRLQDDADTKSKFLSGWTDGDGRAGSDVQIRTVSPAKCQFDHSSKRKRRLHSLNEPLTYVPIWLSNDLRAQDTSKDDLVASFWVKIDEQAAGPMKMLPFGFKEDMLKTVPPCGCL >Sspon.06G0008320-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:43824740:43829354:1 gene:Sspon.06G0008320-1A transcript:Sspon.06G0008320-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPSSWWGSEEQRGTPVVVKMDNPYSLVEIDGPGMPPSDKARGKNAKQFTWVLLLRAHRAVGCVAWLAGGFWGVLGAVNRRVRRSRDADDEPDAEASGRGRTVAHLKGWQFPQHLPGNLQELEEQLQHLPEHLRHLPENLRQLPDHLRVPERQEIQGWLHRAYVAWLEFRVDYIAWAIQKMSSFCILLFMVQSVDRIVQCLACFWIKIRGIKPRIPASSGGKPRGTARKSADVENNGDADGYFPMVLIQMPMCNEKEVYETSISHVCQMDWPRDRLLIQVLDDSDDEVGASAASIIYRHRLSRTGYKAGNLKSAMACDYVKDYEFVAIFDADFQPNPDFLKLTVPHFKEDPELGLVQARWSFVNKDENLLTRLQNINLCFHFEVEQQVNGVYLNFFGFNGTAGVWRIKALEDSGGWMERTTVEDMDIAVRAHLNGWKFIFLNDVKVLCELPESYQAYRKQQHRWHSGPMQLFRLCIPAIFRSKIPFWKKTNLVMLFFLLRKLVLPFYSFTLFCVILPLTMFVPEAELPIWVICYIPNTMSVTKFNAMVSGLFQLGSSYEWIVTKKAGRTSSASDILALAEADAHAPLPAKLTRRVSEGGLEEWSRLREQEAAEWANKEDAAAALAAAAAAPATPKKSSKAKKPNRIFKKELALACLLLTAATRSLLSKQGLHFYFLLFQGVTFLAVGLDLIGEQLNPECESMKCNAQSAYKNEGEKDQEGE >Sspon.07G0006450-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7A:16823676:16824189:-1 gene:Sspon.07G0006450-1A transcript:Sspon.07G0006450-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RLTRLPCAASSQASPLPCAAAASKHPPLPGEPPLPCAAASGRPPLLCAVASAAPSSTFEQRRGPFLLSSGPAAVEHLRAAARKALLPSNTVRQGGEPSSAIEHLRAVGQGWPRARAQFLDLLDFSISSISLAPASSSVQSQRARCGQPQPAGVTCLGEHWREEEEDPFCA >Sspon.05G0018540-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:76211640:76213864:-1 gene:Sspon.05G0018540-3C transcript:Sspon.05G0018540-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVFSGDETAPFFGFLGAAAALVFSCMGAAYGTAKSGVGVASMGVMRPELVMKSIVPVVMAGVLGIYGLIIAVIISTGINPKAKPYYLFDGYAHLSSGLACGLAGLAAGMAIGIVGDAGVRANAQQPKLFVGMILILIFAEALALYGLIVGIILSSRAGQSRAD >Sspon.06G0002920-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:7832334:7833925:1 gene:Sspon.06G0002920-2C transcript:Sspon.06G0002920-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MCTLLPLGAMDIHHLRRRRRRGLTPHLSTLHRGTRATSTTSSALTTRRHRMESISSNSTTTTMETRVAQAPPGSSKDVWLLSAVAACWRNAAAASEMLDTVH >Sspon.03G0014300-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3A:45019187:45019506:1 gene:Sspon.03G0014300-1A transcript:Sspon.03G0014300-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dephospho-CoA kinase [Source:Projected from Arabidopsis thaliana (AT2G27490) UniProtKB/Swiss-Prot;Acc:Q9ZQH0] PHISWGIMWEIAKLWMKGCKVIILDIPLLFETKMDRWTNPVIVVWVNPETQIQRLMSRDGCSEEQAQNRINAQLALDWKKSEADIVIDNSGSLDDTRQQFWEVLRK >Sspon.02G0039610-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2B:56362445:56364952:-1 gene:Sspon.02G0039610-1B transcript:Sspon.02G0039610-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHHLCSGCMQPLGEIEQEGAKSSRGQVESSDMDTMSSPGTAKASLRNENASIHSISLLPLARAIGTALAMHLAIAHDLHACPSTRAPQSLLSSALCSAFHTSKADWSILGLPSWVLPPLVLRPLPLASFNWTPMAKMACQGSISCLNIRSRLASLDGIVPSTHGFMVGSSKLHKKGSLSCPPSTQWTSDGLEKDLYIQSNSVTVLAMDGRRRWLDIKKLGSNGRGHFVFVTNLAPCSGVRIHLWPEKHHSPVQNELPASKKIVEVTSKMVQIPAGPAPKQIAQLITKTIVFSYC >Sspon.03G0020440-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:63727351:63729148:-1 gene:Sspon.03G0020440-1A transcript:Sspon.03G0020440-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCCGGNCGCGSGCKCGSGCGGCKMYPDMAEQVTTTTQTLIMGVAPSKGHAEGEFEAAAAGAENDGCKCGPNCSCNPCTCK >Sspon.05G0013800-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:49237976:49251174:-1 gene:Sspon.05G0013800-1A transcript:Sspon.05G0013800-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGASLLSPSCALLRRLTRASHISCSSHFKRFDRVRRFSPAAMSTSSGPKEAPANNPGLQTEVDPATKGYFLQQTMLRVKDPKDVTSAPDDHIKRTEWTFRQKATLELTHNWGTENDPEFKGYHNGNSDPRGFGHIGVTVDDVHKACERFERLGVEFVKKPDDGKIKGIAFIKDPDSYWIEIFDHTIGTVTSSAS >Sspon.07G0032460-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7C:50154633:50155559:-1 gene:Sspon.07G0032460-1C transcript:Sspon.07G0032460-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVQRRSVVLPVRALDGGATSVCLPTTASVQDLKAALRVCFLPAQSAPAFHLFLRGGKLLLDAKFASLSLARGEFVSVIPITAEPAAPPTASANPKFQTDVPALLFSLRPEGVSFLHLQCGAGMMCMPRSPGCPSTTRPRRPSTAMAWSRWTLLGWWSTSSRGLGSTARSGMSRRSPATRRPSASSCPTSRKPPGTRSGHRSDEAVQPSGPGPGHQLRCLCRQQEQARGGVHIHVRRKSLCYNVIVLESMSRSAAASCALYVFPTKVLVQNQLKTLLGMMKGAGHLGDVVSIYDGDTRLLLSILLCYV >Sspon.07G0010410-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:28788545:28789171:1 gene:Sspon.07G0010410-2C transcript:Sspon.07G0010410-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVSSSHNKPPSCVTCGILAPCRRALTRLFRVRASAALSVRAFRFRNLRKAAARMSPRRRRHRKTFRSVRAVFWQLVPTSTTLATSTAEGEVAQGAVPAPQTVVPETPVIAPVSSPETPAYMKVVARLRSERSAASGGGEDEDKEKEEACRSFETCLMEMLVEEGKARDLQDVEELLRCWERLKSPVFVDLVCRFYGELCNDLFPARG >Sspon.02G0018090-4D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2D:68222179:68225866:1 gene:Sspon.02G0018090-4D transcript:Sspon.02G0018090-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNERDDDCAGGEGRGAAAEGKMGLAFGKLFSRLFAKKEMRILMVGLDAAGKTTILYKLKLGEIVTTIPTIGFNVETVEYKNISFTVWDVGGQDKIRPLWRHYFQNTQGLIFVVDSNDRDRVVEARDELHRMLNEDELRDAVLLVFANKQDLPNAMNAAEITDKLGLHSLRQRHWYIQSTCATTGEGLYEGLDWLSSNIASKRGSDERPRRGSKGMCCRQLGFILAECRCKALRVLMEEARLLSPPAGGRGRGEVLG >Sspon.08G0016850-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8A:66072912:66076659:-1 gene:Sspon.08G0016850-1A transcript:Sspon.08G0016850-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MYREMCTKSTELLRKLLRLLRITSQEESLLHLPLPYLPIRTKHEMTRTTDSATRSHKNNEQPSHGGQELPEQLTQEQFDDEVEIDLAVLLSQGEGGAEAGVEGGAEGGAGKANEGKGEEDDDESSSSGYESPEDPHPQPPRMRPTEDELDLDFDPNEEVPARPPKRRRQRPARYAEQDVVEERRVEATATDTDIEASAPQPQGTTTDSATEPKRMRGIRKPNQYPKKGVTFVITRVGEEGQILEPPECISKFRNAIGALVRDQLNPTIRFWSGKHGVPEAKKQELWDEWLMNTFRLPAGTHELVKRHAYKIVGNAFQRWRVDLNKRFIQRGLTPFHEFGNITHNQWVQLVAEKTSPEALTLSARNREQAKKNKHPPRLGPGGYHAKQDVFRKLDEAQWIYARSVDSSDLKFAETETEEAVSRILKLAEDTEKGTFTPSRERDELSVGLGNPEHTGRTRGLGKLVTWKKGFAEDSNMYKKHSRDLEANFELTVKALVVKALSEQGVNTETRTFMEPMGELAIGCSPQKVPSSQGSTATITDVDRIRVPTSCTLLVPMGRENKMVMVEVATGVAHPPGGEWHSRPIPQDYTRVEVHTVKPNFMTWEIEHATPEGLGHLGEVMKQFILWHKKDIVLNVCSLTPSDVHLEPVVEDGEAYSPAHDDHMPNMPHSSPTPSEHVTDKPQTSLARLIEHGHDEVPQRSPARHIEQGHDEMPHPCPTEQRPNDPPEPSQQTRPIHKQGLPSEKGDEGAHESET >Sspon.05G0008580-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:25736851:25739326:1 gene:Sspon.05G0008580-1A transcript:Sspon.05G0008580-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEAGGAGAGGRDERVPQWGAQETRELIVARGEMERETVAARRSAKTMWEAVAARLRERGYRRTAEQCKCKWKNLVNRYKGKETSDPENGRHCPFFEELHAVFTERARNMQRQLLESESVTSVKRKLKRPGGDRSSGESDDEDDGGKESDDEKPMHSRKRKADDKKQQYQRMSEKSRAGISSIHELLQDFLVQQQHIDVRWREMMERRAQERVVFEQQWRQTMQKLEQERLLLEHSWMEREEQRRMREEARAEKRDALLTTLLNKLLQEDL >Sspon.02G0017390-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:50228394:50232154:1 gene:Sspon.02G0017390-1A transcript:Sspon.02G0017390-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GrpE protein homolog 2, mitochondrial [Source:Projected from Arabidopsis thaliana (AT4G26780) UniProtKB/Swiss-Prot;Acc:Q8LB47] GDRVPPRASLQAMRRRSGCVISRGAAPGAPGGGVRGAVRRFLFVCQGVPHNHFRYSTSIFQKIGFSSVSPQQSDNEVNELKDQERALDGSSEDCSSGSIEGLDLSKDDLVKLVREKDELLKSKDDEIKDMKDKVLRSYAEMENIIARTKRESENSKKYAVQNFSKSLLDVADNLARASSVVKESFSKIDASKDSAGAIPLLKTLLEGVDMTEKQLAEVFKKFGVEKFDPLNEKFDPNRHCAVFQIPDPSKPPGTVASVVKVGYMLHDRVLRPAEVGVTEGGADAT >Sspon.04G0025050-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:24323915:24329071:1 gene:Sspon.04G0025050-1B transcript:Sspon.04G0025050-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMSRRVAAMVERQCSHAQSPEAGQGGVPRFSASRVLRAGGDASTRVRLSNISTSSPRLVHQNLTMNYSKAQDCARTRLLDGLTGPRRWRAAAARKASILHLQLKHACLSSSKASTPCPVPHDVLQPRAIDAPVPLHSPSRAASSAFRNMCLRCFAQRLINADSCTLTVSSSVEGTEDDLALVIQSPECPKKRTCASPTKETIEELFTYGAAEPIGADNVVMMTMTIKTNGAIGAATEGGVEASHGRQLDARNGLSAVPKPKGMAAIVSPPPTPTFIAPTVPTTTSNAGLDVDIDSAQEVLDHPVKEGVDKMILQCTTMEMFEVQIKSRIQSVTKADVIT >Sspon.04G0008080-3P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:15889127:15893204:1 gene:Sspon.04G0008080-3P transcript:Sspon.04G0008080-3P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSGYEGILLGMGNPLLDISAVVDEGFLAKYDVKPGNAILAEDKHLPMYDELASKSNVEYIAGGATQNSIRVAQWMLQIPGATSYIGCIGKDKFGEEMKKNAQAAGINAHYYEDENAPTGTCAVCVVGGERSLIANLSAANCYKSEHLKKPENWALVEKAKYIYIAGFFLTVSPDSIQLVAEHAAATNKVFMMNLSAPFICEFYRDAQEKALPYVDYIFGNETEARTFAKVRGWETENVEEIVLKISQLSKTSGTHKRITVITQGRDPVVVADDGKVKTFPVILLPKENLVDTNGAGDAFVGGFLSQLVQEKSIDECVRAACYAANVIIQRSGCTYPEKPDFN >Sspon.06G0022230-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:27118084:27121587:-1 gene:Sspon.06G0022230-2C transcript:Sspon.06G0022230-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHAQREAGGSIGTHAQREAGGDGLQAQGQGGKAPAPAQQEPIKYGDAFPVKGELAGQPIAPRDAAAMRSAEDSVPGVQVPQESGGGFNAGAFMESAAAYNQAVGAVGPGQASDAVAKHGVNVTQDAVPGGRIVTEFVAGQVVGQYAVAEAPPQQQDGA >Sspon.07G0014590-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7C:58459632:58463998:-1 gene:Sspon.07G0014590-2C transcript:Sspon.07G0014590-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding PPLLARWRDLVLLTLRRYALDDHVLVDASVAVQTPSWFRLDSIVLSWILGTISLDLHDLVRTSPDARRAWLAIEGQFLGNAEARALRLDASFRTFVQGDLSVSEFCRRMKGMADSLGDLGWPVEDRILVLNVLRGLSDRYAHLRTWITRQRPFPTFLEVRDDLVMEELTQGLQPGSTTSTGSSSSSTALAATSPRPSAPPRSTAPPPQSLLGPPPSGPSGGGGVVAVVVAMEGVAGWPGWTYPGPGTRCSAGRGTMALFPAPVVWAHLYVALPRHWCRLASTGGHAHRSSSVPPAGPSPGVFPATAWTSPPGTLPGVAGWDPAALARSFSTMGLTPPVGPEWIADSGATYHTTPDPGILSSIHSPSSSLPSSIMVANGSCIPVSSVGTAGAHGSFRLPDVLVAPSMVHNLLSIRRFTADNSCSVEFDSSGLTVKDLASRHPLLRCDSTGPLYTLRFPAAPSSSSPPVLSAAFVASASSTTWHRRLGHPGRDALIQLSRSSGLPCTRAHDEHLCHACQLGRHVRLPFSSSTSHAARIFDLVHCDLWTSPVLSISGYKYYLVVVDDFSHYSWTFPLRAKSDTFTTLLHFFTWVSTQFGLTIKAVQCDNGREFDNSTSRDFFLSHGVQLRMSCPYTSSQNGKAERMIRTTNDTVRTLLFQASLPARFWAEGLHTSTYLLNRLPSAACPAPTPHHALFGTPPRYDHLRVFGCACYPNTAATSSHKLDPRSTLCVFLGYSPDHKGYRCFDLTSRRVLISRHVVFDEYCFAARRAGPLSWIPGGSGSVVLGRGPVFSGWCSPGALVPRFGHGGSCRSPTPDPVPAPAPAPPSRFAAPVRVYQRRPRPPPPPLAPPSPPGTPTPPPQSPPTRGASPVYHPPLLHRHPRHVHPMVTRHAAGTLPPRVLEASTGAAVISPVPSSVRDALLDPHWRRAMEEEYAALLANQTWELVPRPPGANVVTGKWIWTHKRRADGSLERYKARPVVKPATVRTVLSLALARSWPVHQLDVKNAFLHGLLTETVYCSQPAGFVDTTRPDMVCRLNRSLYGLKQAPRAWNHRFAAFLLTLGFVEAKSDTSLFVYHHGADTAYLLLYVDDIVLTASSEPLLRRIIAALQQEFAMKDLGELHHFLGVTVEHRPAGLLLHQRQYTRDILERAGMSDCKPCSTPVDTQGKLSEAEGPPVADPTAYRSLAGALQYLTFTRPDITYAVQQVCLHMHDPREPHLTALKRLLRYLRGTLDYGLLLHRASSTDLVVYTDTDWAGCPDTRRSTSGYAVFLGGNLVSWSSKRQPVVSRSSAEAEYRAVANGVAEAAWLRQLLAELHTPPSRSTLIYCDNVSAVYLSTNPIQHQRTKHVEIDLHFVRDRVALGEVRVLHVPTTSQFADIFTKGLPSSTFAEFRSSLNITGG >Sspon.03G0026040-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:2219742:2221521:-1 gene:Sspon.03G0026040-2D transcript:Sspon.03G0026040-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGVPEDEESGLLPRPSAAGRRPSSRGPRFPPPPAAWATVDGPLGLPLEDAEGHARRFFLWGFACLPFLWAINCCYFWPVLRSSAASSPSAFAPIRPCETATPSSSPLFLLAHIVRSAIGFTIFSVVLITWATTFIVGGEQLFGPVWNDLVMYNVADKLGITGFMG >Sspon.01G0009710-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:27625871:27628055:-1 gene:Sspon.01G0009710-1A transcript:Sspon.01G0009710-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bidirectional sugar transporter SWEET [Source:Projected from Arabidopsis thaliana (AT2G39060) UniProtKB/TrEMBL;Acc:A0A178VR23] MITVGHPVVFAVGILGNILSFLVTLAPVPTFYRVYKKKSTESFQSVPYVVALLSAMLWLYYALLSIDVLLLSINTIACVVESVYLAIYLTYAPKPAMAFTVKLLCIMNMGLFGAMVAFLQFYVDGQRRVSIAGGVGAAFALAVFVAPLTIIRQVIRTKSVEFMPFWLSFFLTISAVVWFFYGLLMKDFFVAMPNVLGLLFGLAQMALYFVYRNRNPKKNGAVSEMQQQAAAVQADADAEKEQQLRQAHADADADGEAVAVRTDDERPKNVVVDIMLPPPPLMLPAERAPPLPLPPPPAMVMMTAHQTAVEVV >Sspon.04G0025250-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:30435237:30439278:1 gene:Sspon.04G0025250-3D transcript:Sspon.04G0025250-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAETEAEATAGRLRLHSHWRSSCSHRARIALNLKGVDYEYKAVNLVKGEQSDPEAEFVKLNPMKFVPALVDGDSYLEDKYPEPPLIPHDLQKKALNHQIAGIVASGIQPFHNRTVLRLIDQKVGAGESVLWTQQQTERGFTAIENLIQLKGCAGKYATGDEVQLADVFLAPQIYAAIERTKIDMSNYPTLARLHSEYMAHPAFVAALPGRQPDAPSSS >Sspon.01G0001470-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:3251458:3253734:-1 gene:Sspon.01G0001470-2B transcript:Sspon.01G0001470-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVARLVAAPFPLAACRVRRPRPALPPAHAGPRSLVLALAPPDAAAARGAVVPVRAAPEDEAVVAGEAEAEAEAARVARAARKQSERRTYLVAAVMSSLGITSMAAAAVYYRFAWQMEGGGEIPVTEMVGTFALSVGAAVGMEFWARWAHRALWHASLWHMHESHHRPRDGPFELNDVFAIINAVPAMSLLAYGFFNRGLVPGLCFGAGLGITLFGMAYMFVHDGLVHRRFPVGPIENVPYFRRVAAAHQIHHMDKFQGVPYGLFLGPKELKEVGGTEELEKEIKKRIRRREALDAIQ >Sspon.07G0025250-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:32863940:32864822:1 gene:Sspon.07G0025250-3D transcript:Sspon.07G0025250-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNCSLQLNSGVTTQLLLTLFDVKGIVHFGIAGNADPNRQIGDVVVPRYWAHTGLWNWQRYGDGPDKELALESNGDYTRKLGNLNFTDYSVDKNARSDGGNLLNSVWYQPEEVFPVNGTPEVREHEFWVPVDGYYYDLLSRKLEGLKLEGCVSNGTTCLPRRPVVAMVERGCSASVFVDNAAYREFLRSRFGVTAIDMETAAVALVALQQGAPFIAIRALSGLAGGGSAESNEAGVFAALAAQNAVTAAVEFISLL >Sspon.01G0007690-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:21292983:21296098:1 gene:Sspon.01G0007690-1A transcript:Sspon.01G0007690-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGALEELVAKAGGCAVIDGGFATQLEALGADINDPLWSAACLITRPHLVKEVHMQYLEAGADIIISSSYQATIPGFLARGMSVAAAEDLLRTSVKLAVEARDEFWKSALRKAKPIYNRALVAASIGSYGAYLADGSEYSGSYGADITPEKLKDFHRRRLQVLASAGPDLIAFEAIPNKMEAQALVELLEEEKVQVPSWICFSSVDGKSLCSGESFADCLKILNASEKVAVVGVNCTPPQFIEGIICEFRKQTKKAIAVYPNSGEVWDGRAKRWLPVECLGHKSFDALAKRWQEAGASLIGGCCRTTPSTIRAVSKILKGRTGH >Sspon.02G0053170-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2C:100821729:100822568:-1 gene:Sspon.02G0053170-1C transcript:Sspon.02G0053170-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSLRTLRCFDLRNSLDNIKGLRELTNLTNVEIGYSDYTPIDRDEFPAKYRELVHALGNICSLKRLLITADFLPVRACLDVWCSVPASFIHLQSFHAKFGFNPWFSRVPGWIGQHHSLYDLHLTVQDVYEDDLGVLSQLPSLVHLNLRIFGTPKDKIIIRGRGFPVLKHFTVACIRISYLTFKAGAMPNLERLELCFNAQGCDRYGGVPAGIEHLSGLKEIIGHIAYGSAKGSNGRAAESALRDVAGLHPGHPVSNIKLTDGRYIFDGNDDEPWEEEDGN >Sspon.02G0009810-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:26552781:26558913:1 gene:Sspon.02G0009810-2B transcript:Sspon.02G0009810-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGDSSSPGSSASSEHQTVWTSPPKRPAGRTKFRETRHPVFRGVRRRGNAGRWVCEVRVPGRRGCRLWLGTFDAAEAAARAHDAAMLAIAGAGRACLNFADSAWLLAVPASYASLAEVRHAVAEAVEDFLRREVVPDPEDDELSATSSTPPSSPSSSDDGSTSDAGESSDYSSPAATGAISSFELDVFNDMSWDLYYASLAQGMLVEPPSAVTAFMDEGFADAIQSKMCPIKKEMSAESSASASSWPLSSPSTSSEHHQTVWTSPPKRPAGRTKFRETRHPVFRGVRRRGNAGRWVCEVRVPGRRGCRLWLGTFDTAEAAARAHDAAMLAIAGAGACLNFADSAWLLTVPASYASLAEVRHAVAEAVGDFFQRRGAAAAGDDDARSATSSSAPSTSGNEDDAATDGEESSPATDTEDSSFQLDVFNDMSWDLYYASMAQGMLMELPSAVPAFGDDGDANVAD >Sspon.01G0046330-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:87485805:87489438:1 gene:Sspon.01G0046330-2D transcript:Sspon.01G0046330-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding KMVDLSSQPDREAMWYMEGLSFAFPASVVALQSYGLEGLKRRGRRQSGMDPSEFLAVRFHFGGVFFNDGKKLHYLGGKEELPFIDRDKISLPEQLVNGLRVLVDDQACLEMCQCISDTGAADIFVELIAVNGDDQSTDFAQYLSSPEQVEKDVVGCTKYLNWIRDKEGGNKVVKAKKSIMQEVSVNESAPLQADHSSYMDSESDKDYLPDDDESSEEDEEAAWWWLMRKGNEVNVENLMAFNQWATSPNDDCDSCDVDSDEDDDSYDETPEGELLRKERKFPRPFWPISDREKPLPPKYGRMPGSPKKERKRQDGEKRKPTRGKLSKKGTVVRCTVCKGIGHNRTTCSKKTGPGNASGGASQSNGASGGSSQTNRGSVGASQPSRGNPVSSQSTRASGGGTQSKRKSCPSASTGSTKR >Sspon.08G0001920-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:2675710:2679397:1 gene:Sspon.08G0001920-2B transcript:Sspon.08G0001920-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEEVLHKVQNLIESCLHMYMDQKEVVDALSHHSKIEPRITELVWRQLEQQNPLFFKAYYMRLRLKNQIMVFNKLLQDQFAVMNKDFSSGIASMRLSNGSNSNLLKQNPCFLPETAPGSAMPDGIMHNGSSSDIINGTPSGNQLLNASKDLHGLHNGIDASASLQSDQNATAMLYGVDNETSATIKTESCYSSNADFAFCGNTFLESCQSIGDASGGGSFSSSELNGQPLNDSILDMESSSFSFLNEMSQNFIFPDLADDFNQSAEITPFLTPETNFSNSTGGDHTGVTVYAKNLVDVERSCTAHRPFVHTLMASQICIDEELEDLLI >Sspon.02G0001430-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:4848594:4852675:-1 gene:Sspon.02G0001430-1A transcript:Sspon.02G0001430-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCVHGRPTTSSPAPVATSSRRQDQPAVTQPHQEGVDSSDAAAAAPPADAAAPEQQAAEKPEKVKRERRSRSSRSAAAAAAAAHAEVRLGGSFANKARGEQVAAGWPGWLSAVAGEAINGWTPRRADSFEKIDKIGQGTYSNVYKARDSLSGKIVALKKVRFDNLEPESVRFMAREILILRRLDHPNVIKLDGLVTSRMSCSLYLVFDYMVHDLAGLAASPDIKFTLPQIKCYVHQLLSGLEHCHNRGVLHRDIKGSNLLLDNNGVLKIGDFGLASFFDPNHKQPMTSRVVTLWYRPPELLLGATDYGVGVDLWSAGCILAELLAGKPIMPGRTEVEQLHKIFKLCGSPTEEYWKKSKLPHATIFKPQQPYKRRIADTFKDFPQTAIRLIETLLAIDPADRLTATSALNSDFFATEPYACEPSSLPQYPPSKEMDAKRRDEEARRLRAAGGRANGDGTRKTRTRDRPRAVPAPEANAELQANIDKRRLITHANAKSKSEKFPPPHQDGALGFPLGCSNHMEPSFEPPDPSSFSTVFPFDKSAVPTWSGPLADSAAGNQKRKHKPGRSSKQPATARAR >Sspon.06G0003820-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:9688913:9691901:-1 gene:Sspon.06G0003820-2B transcript:Sspon.06G0003820-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LARLTHSHMIRTGYRPGLFLSNNLLAAYVRCADTRSARILFDGMPRRDVVTWNTLIAGYSTQGSARLALGAFRDARRDGAVAVDRFTYAAVLAACGCAGDWRSGRAAHGLAVVSGLARTAFVANSVIDMYAKCGMIDEVRLAFDRAEERDEVSWNLLLSAYVRMGWPEVAVNVLVWMHRSGVKLDAFALGRILKACSELEDSEDVRRMLHGCVIKVGLDLDVFVGSTMVDMYAKNGGLEEAIKVFGCIPSQNVVIYNTMVAGFARLGNDPCPEIRMEAVRIYSNMLRRRIRPSKFTFKSVLEVCNLTNAVRCWRQIHAHVILFGFEDDDFIGNALINLYSKARLVDDSLRCFYRTPMQEILTWTSMITAFVHNEHSDKALNLFRELRYTGVEPDQFTMSSVMNACADLSMPTACEQIHCYAVKSGFDRFTLCGNSQIEMYRCAGDLKAAKKTFERIPSLDTFSWSQMILSYAVHGHEREALQLFKKMRDCSVMINEFAFLAVLVACSHQGLIDEGFRHYESMVSDYSFVPDVKHIACMVDLLGHVGKVADAEDFINSSGLENDAVLWHTLLRACRIHGDKDRGIKTGEKLMMLEPFAASSYVMLYNLYMDAGKISLAMRTRGQMRERGMTKESGVSWAEFGGSCHHFVDGDNSCSQKDATFTRLEELLVRVKQKTERGSMNVWELGFQSRKVSENSIDKHGELLAVALGLSTLPNTAPVRVMKNQKMSWEGHETLKLLSESENREIIIRDPARFHHFSQ >Sspon.03G0018760-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3B:87045582:87048790:-1 gene:Sspon.03G0018760-2B transcript:Sspon.03G0018760-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PPC4 [Source:Projected from Arabidopsis thaliana (AT1G68750) UniProtKB/TrEMBL;Acc:A0A178W7Q9] MKLQETAKQSCGSSVLADGRLADLIRRVATFGMVLMKLDVRQESGRHTEALDSVTSYLDLGVYSEWDEEKKLEFLTRELKGKRPLVPQNIEVAADVKEVLDTFKVAAELGSDSLGAYVISMASNASDVLAVELLQKDARLTVSGDLGRPCPGGTLRVVPLFETVKDLQAAGSAIRKLLSIDWYREHIIENHNGHQEVMVGYSDSGKDAGRFTAAWELYKAQEDVVAACNEFGIKVTLFHGRGGSIGRGGGPTYLAIQSQPPGSVMGTLRSTEQGEMVQAKFGLPQTAVRQLEIYTTAVLLATLRPPHPPRDPTWRTVMEEISRVSCAHYRATVYEDPEFITYFQEATPQAELGHLNIGSRPAKRKPLGGISSLRAIPWVFAWTQTRLVLPAWLGVGTGLQDALDRGHGAELRAMYAEWPFFQSTVDLIEMVTAKADASVAAHYEEMLVAPERRAVGERLRRELARTERCVLAVSGHSKLTAHNRSLRRLIESRLAYLNPINMLQVEVLRRLRRDDDN >Sspon.04G0031580-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4C:14484135:14489793:-1 gene:Sspon.04G0031580-1C transcript:Sspon.04G0031580-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ASAAMACIYAGTSSLSLYLVLRAAPDPLPDPEHVRRQPLLDELVEYQRPHQHQHAGGHRLQQRALDPQCVRNTPTAGWESANVAAPLVVGLRDAAAPLDYADKPRRPAPVSRVCGVGLRRIAARVVQRVSSTARAVCNHRNLYTIKALPLSDVRFIRRHTPTFGLDYIIIVLSSGLAFPPFYFYNGGIRELFATLKQHIFIIRSDDDPNVFLINDFQDPLQKSLSSLELPGVACVANAMSRQNSLSFTGSNDESRHGATSSMSQYSSKQKHKSNDPGRDLSIQVLEKFSLVTKFARDTTSSLFRDNSGAHAYGRQQHEYILDNKATDKHKNQYMTPEKASTPLATIESDPLPLVWGKQRDHPLSVEEGSHCPASPGTSPFCQSDRRTAVPSATPALNANRPHQPPGARPLYWTAFLDPEGRVMDSKALRKKVFYGGVDHALRKEVWKFLLGYHEYDSTYAERREYLAAMKRAEYEAVKSQWKVRTDRSIPYYEGDDNQNVVVLRDILLTYSFYNFDLGYCQVQSDMTVSQITL >Sspon.05G0019540-3P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:75489356:75493340:1 gene:Sspon.05G0019540-3P transcript:Sspon.05G0019540-3P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:IQ domain-containing protein IQM3 [Source:Projected from Arabidopsis thaliana (AT3G52870) UniProtKB/Swiss-Prot;Acc:Q9LFA4] MEEVEMDRLESVEGTGVGAGAGGENGAATKLQKVYRSYRTRRKLADSAVVVEELWWQALDFARLSHSTVSFFDEPKPETAASRWNRVGLNASKVGQGLSRDGKALKLAFQHWIEAIDPRHRYGHNLHFYYDVWCQSQAGQPFFYWLDVGEGKDIDLPECPRALLKKQCIRYLGPQEREHYEYIINEGKIIHKQSGEPLDTSRGPKGTKWIFVMSTAKRLYAGKKERGVFQHSSFLAGGTTIAAGRFTAENGVIKSIWAYSGHYKPTAENLGNFMNFLEENGVDLKDVEVRSSTKEDYNEDPVPNDSQNFTSAIIQPNFPQVVLPLNTTEGNEGENAPAEEAKPTYQRTLSGGLQSPKATDVPQKAIFERMKSKSESKSYQLGHRLSLKWSTGVGPRIGCVKDYPMELRMQALEMVDLSPRASTPSASTRLPSCFSPTTATSPTSLLTPMQASLAQP >Sspon.08G0007410-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:24329696:24334082:1 gene:Sspon.08G0007410-1A transcript:Sspon.08G0007410-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAHRALVSFVAAFAASILGAASKSFTITNNCEHTVWPGILSSAGSAEMDTTGFALAPGESRAMTVPSGWSGRLWGRTLCSTDAAGTGAFSCVTGDCGSGKQDCAGGGAAPPATLAEFTMDGSGGMDFYDVSLVDGYNLPMLVAPQGAAAAAGGSCVPTGCMVDLNGACPADLRVASTGGGVACKSACEAFGSAQYCCNGEYGNPSTCKPSAYSQFFKNACPRAYSYAYDDATSTFTCAGGDTTYVITFCPSTTSVKAAGAQGGLPLMNATMVYLGGDQLESATAAARLPSGGLHEVLVLAVVSLALAGALY >Sspon.06G0023380-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:44758445:44760762:1 gene:Sspon.06G0023380-2C transcript:Sspon.06G0023380-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAAAAGEGGKEKGGAGPGPGGACELCGAAARVYCSADEATLCWGCDAQVHGANFLVARHARALLCRGCARPTPWRAAGPRLGPTASFCDRCVRRGPGAVGVGDADEEMGGAGGGRDDEDDDNGSGDGDDEVVVEDEDEDEDEEGEGENQVVPWTEEAEATPPPVASSTSSSSREAPANGASAAECAKENLPCSTSQPGLCHHGGRSDEATSSRNGGRFLASRHRKRSPSDFFSSGSAQSGSGTPARNCSNAGIGRNDFT >Sspon.03G0018160-3C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3C:77002392:77004461:-1 gene:Sspon.03G0018160-3C transcript:Sspon.03G0018160-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GRCGRLSKTDRVLMCWWAFTGLTHIMIEGPFVFTPDFFKKENPNFFDEVWKEYSKGDSRYVARDAATVTVEGITAVLEGPASLLAVYAIASQKSFSHILQFTVCLGQLYGCLVYFITAYLDGFNFWVGPFYFWAYFIGANSFWIWIPMLIAIRSWKKICATFQAEKVKTK >Sspon.01G0015440-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:44696052:44697969:-1 gene:Sspon.01G0015440-1A transcript:Sspon.01G0015440-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LMWWFNRKGPSGFSGVSTAEEVTTGIDGHGLVAVITGASSGIGLETARVLALRGVHVVMAVRNVSTGLEAREAIVAKIPGARIDVLELDLSSIASVRRFASEFGSLNLPLNILSNNAGVMTRNCTRSCDGLELHFATNHIENMKKTCRDSCIEGRIVNLTSAGHTITYREGICFDKIHDPSSLNDFVAYGQSKLANILHSNELSRILQEEGVNISANAVHPGVITTNLFRNRTQNGKGFLASEKQLHGAQVKVSLVTVPSTLAALLNSIGRIICRTVEKGAATTCYVAMHPQVKGISGKYFTNCDIASPSSQASDAELAKKLWQFSLKIVSS >Sspon.01G0042490-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:66081060:66087494:-1 gene:Sspon.01G0042490-1B transcript:Sspon.01G0042490-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSARDSNHSYKCSDDSQMPYYNNSAPLGENGRIHVMQNNQGNRYSSPDTGSQRINNSNPHVFEAQYCTLESSSANGVYPAQSSTSSHSISPLSGSPLSQHDSHSDHAYSSPPTASCLTEVADLQTKLKELENAILVPGLDLDIISDSPESLLQANVQLRPDNWRQLLGIDAGDLKQVLIACGKAVAENDVFATELLISELGQLVSVSGDPMQRLGAYMLEGIVAKLSSSGSMLYKSLKCKEPTSSELMSYMHLLYEICPFYKFGYMSANGAIAEAIKGENFVHIIDFQIAQGSQWVTLVQALAARPGGPPYIRITGIDDSNSAYARGGGLDIVGRMLCNVAKSCGLPFEFNAVPAASHEVELQHLAIRPGEIIAVNFAYQLHHVPDESVSMENHRDRIIRMIKSISPRVVTLVEQESNTNTAPFFPRYMETLNYYTAMFESIDVALPRDDRRRMNAEQHCVARDIVNLIACEGAERVERHELYGKWKSRFAMAGFRPYPLSSVVNNTINTLLHSYNSYYRLEERDGVLYLGWKNRRVCFCWAVGCWAAAAEQGRPGEVLELAQADGRGVRRSGCQIAAEGRDRKERRFEGQQRYELDEWIRRTLCSERRTLRWFRLRERERRNEKWEGVLSWGCFS >Sspon.02G0051930-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:85919813:85922347:-1 gene:Sspon.02G0051930-1C transcript:Sspon.02G0051930-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAAWLRRLARPAGPAALTTRPHCRPPPSPHSAPAPLPRWPPGSVATLGSWHRCHSAPSSVALVVSAVAKIQNQNENMMNPNNSDQVGDDVIGFGGGLPMQGFYGGWNPGLQPYAQQYPYFQGGWTAALNQGFMPLPNPPSSPAAPAQNQPVAATVESVEPVVLKESQGSWIMRSAGWHNHSTATDTEHFTSLN >Sspon.06G0000320-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:578643:579948:-1 gene:Sspon.06G0000320-2B transcript:Sspon.06G0000320-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCASVDVSLRSSFNGSRVPNQGFMEESDVQEQLSRLQEELRKEKEEKARALDEIQELRTTNKNRNKKLKSNGGEEQLDLADKLQNLEGELEAARDSEKKMLAQTKQLEQTKVSLEEAKIEIASLRDSTNSSNPGTQSLRNFRRRGMMSFSFADPGEVERWSLQRELKLAVESEEKCKKAMDDLAIALNEQTTEARETKAKLSLVQAELNNARTEVESLKASLESTEGKLQLALEEAERLKVESDELAAASKEKERGLVDCIKLFEEDLSKGKEENDKLIESQRVVRDENSRLREMLKHAVGEANVARESLEIARVENSRLNEQIFEKESTLQSIKQEYESLKISEAAAQSSIKELKDMIDAMFSSESTKTSATASPRDAKGSRTKENSVAADDVYSDFEKSTQPDDKNPGKQKKKTILRKFGEIMKKRNS >Sspon.08G0024300-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:63285209:63290263:-1 gene:Sspon.08G0024300-1B transcript:Sspon.08G0024300-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding TSPTPSASTTRTSAPQARPLRRLRPPRSRLHPHPSPSSPSSSDSSSDDDGSSASRRRRRRHDAQATTSSSTSVSDAAAHPSPPAPTFDLTNSIIRSNYAAQTAKRNQQLEIEVTAEKPRRLITADGGGGGDAKLEAAPAAAEGFGRGDKGPRFADLGGMEAVIEDLMMEVVVPLCHPELPHRLGVRPVAGLLLHGPPGCGKTTLAHAIANETGVPFYKISAPEVVSGVSGASEENIRGLFQKAYRTAPSIVFIDEIDAIASKRENLQREMERRIVTQLMTCMDQFHQNIGSGSGNLDAESSEKKPGYVIVIGATNRPDAVDQALRRPGRFDREISLGVPDENARKQILKMLTQHLRLEGEFDLFKIARATPGFVGADLKALVDKAGNLAMKRIIDERRVQYRREHDGNSKHDWWRQPWDESEVEGLHITMDDFEEATKMVQPSLRREGFSSVPDVTWDDVGGLDSLRKEFDRCIIRCIKHPEDYEVFGVNMQAGFLLFGPPGCGKTLIAKAVAHEAGANFIHIKVVDAFIFSHQLFNAIQSSSKPFLFLGPELLNKYVGESESEVRKIFTRARTNSPCILFFDEVDALTTKRGKEGGWVVERLLNQLLIELDGADQRQGVYVIGATNRIDVIDDAVLRPGRFGKKHYVPLPDADERVSILKAHARSKPVSTDVDLDALARRAECNNLTGADLASLVNEAAMAALEERLEFIENGTSSMSSSCLIEISHFERALSMIKPSVSEQFRGKCIVCIMFARSVHRHQLTISEVPTVVHYSIGLSSSFRLGDVQFVQCRPELGIFVVPDDERGADGDKLALPALLGNGNHRHPGSNAGLHASGGVLEHEGVLRRDTEQLQRPEVRVREGLKSLALLGGDDELEEVSEAEDGDHELGVGPGRVGDGGALEPAVAAQRQSGVRPGSP >Sspon.05G0001060-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:2475310:2476248:-1 gene:Sspon.05G0001060-3D transcript:Sspon.05G0001060-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALASTSATAPAAVLKTPFLGARRALANAAAAKPAPRRALVVVAAVAPKKSWIPAFKSDAEFINPPWLDGSLPGDFGFDPLGLGKDPAFLKWYREAELIHGRWAMAAVLGIFVGQAWSGIPWFEAGADPGAIAPFSFGSLLGTQLLLMGWVESKRWVDFFNPDSQSGKTPLGALGL >Sspon.08G0006580-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:9379029:9385180:-1 gene:Sspon.08G0006580-3C transcript:Sspon.08G0006580-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPPPPPPQAQARHHHQGAANKGGHAERRKQPLQSSVTQPKAEPAAAAVLPEGGKRCGGGGRRRGGRGRAKAPAEPRAALAVAVAPAPAQAPPPRTVIGPPVPSKGLSFCRRPGFGTVGARCVVKANHFLAELPDKDLTQYDVKITPEVSSRTLNRVIIAELVRLYRASDLGMRLPAYDGRKNLYTAGTLPFDAREFVVRLTDEDNGTGVPPREREYRVAIKFAARADLHHLRQFIAGRQADAPQEALQVLDIVLRELANQRYVSIGRSFYSPDIRKPQRLGDGLQSWCGFYQSIRPTQMGLSLNIDMSSTAFIEPLPVIEFVAQILGKDVISRPLSDANRIKIKKALRGVKVEVTHRGNVRRKYRISGLTTQPTHELIFPIDEQMNMKSVVEYFKEMYGFTIQHPHLPCLQVGNQKKANYLPMEACKIVEGQRYTKRLNEKQITSLLKVTCQRPREQEMDILQTVHQNGYEQDPYAKEFGINISEKLTSVEARVLPAPWLKYHDTGKEKECLPQVGQWNMVNKKVINGCKVSHWACINFSRSVPENTARGFCQELAQMCQISGMEFNSEPVMPIYSARPEQVVKALKNVYNIALNKLKGKELELLLAILPDNNGPLYGDIKRICETDLGLISQCCLTKHVFKISKQYLANVSLKINVKMGGRNTVLLDAISWRIPLVSDIPTIIFGADVTHPETGEDSSPSIAAVVASQDWPEVTKYAGLVCAQAHRQELIQDLYKTWHDPQRGTVTGGMIRELLISFRKATGQKPLRIIFYRDGVSEGQFYQVLLYELDAIRKACASLEPNYQPPVTFVVVQKRHHTRLFANNHKDRSSMDKSGNILPGSGTSRPAHYHVLWDENNFTADEMQTLTNNLCYTLMYMLLMQLRPVHTLGFCCSTILITYSLACSPVQKTTLTIPPAYYAHLAAFRARFYMEPEMSENQTSKSSNGTNGVSVKPLPAVKEKVKRVMFYC >Sspon.02G0044350-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:104436765:104440847:1 gene:Sspon.02G0044350-3D transcript:Sspon.02G0044350-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MYVISRSDDDSQTSVVVKILDKLTQTWVVPTILGKQPPLTKSQSAIPVSDEKILVIEKGVPLNESIWFLEIDTPFVKQQRKIKGTEVVSWSKGVIGVGQKPVVISGPSGVGKGTLIAKLMKDYPSKFGFSVSHTTRSPREKEIDGVHYHFTERSKIEKDISEGKFLEFAHVHGNVYGTSIEAVESVTDEGKRCILDIDVQGARSVRASSLEAIFIFVCPPSFEELEKRLRARGTETEEQIQKRLRNARAELDQSNEPGLFDHLLVNDDLEICYENLKKLLSLDDDQEDSDDFLTKEGKETASYSIMSKTDSEILLQSETNEAKNGAACLLALDLSSLSGGAPGRTRGLKLRSVNSF >Sspon.04G0015520-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:57987265:57992847:-1 gene:Sspon.04G0015520-1A transcript:Sspon.04G0015520-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKRGNTSSLLDKMRARLSGGHFRMLNEKLYTCSGEDAFDYFKNDPNLFDVDIKSRCHTGLNSQQCRSCKSVKNKVFSIDLVSDDPSVIACDMAHTPLEPSSIDVAIFCLSLMGINYPSYLEEANRVLKPSGWLVIAEVRSRLDPNNGGADPEKFSKAIIQLGFSLVSKDVKNKMFILFYFRKKL >Sspon.05G0006280-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:11698190:11703851:-1 gene:Sspon.05G0006280-2P transcript:Sspon.05G0006280-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLTDIGCCSCFSFLRKPSVSVRQHQDAVGMLSEDLLKRQSAEDPDGSFYTGDDPDISFYNGDDLDRSFYNGDYPDRSFYDRDDTEYLEGSDDGPPSKSSEDIIQSRTQNGFVCREIPVKETKKVFRSEDENGNKMINQYVHLGKIGSGSYGKVVLYRNIKDGKLYAVKVVLNKPYMMKVRVVRTETAMTDVLREVSIMKMLNHPNIVNLVEVIDDPNIDKFYMVLEYVEGKMVCDNGLEEATARNYLRDIISGLMYLHSHNVIHGDIKPDNLLVTSAGNVKIGDFSVSQDDDDMLWRSPGTPVFTAPECCQGSAYHGRASDTWAVGVALYCMVSGHYPFLGDTLQETYDKIANDPVQIPGDMNPQLADLLQRLLCK >Sspon.07G0026170-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:53400332:53413481:1 gene:Sspon.07G0026170-2C transcript:Sspon.07G0026170-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRARSTTQGSDISEDDRHSGGAFASGCSDFQADMIDHSYKNPSPHPGRIFHSEWIPREQAGFLNRLSKSEDSLNPELLIRQSQSGVASEHIAENIDSAEGTEKPNLAAQAINLNDPAVDDSLIQFEKGFTNTVHQASPFSEHLLGEKRSSDDTSNRNVEKVSHAAEQVAPKGKLDEETSQDVETTNQLGSHAAVPHHISWDAPKPTVPTDCEYDPVVPCSNSSVDVSHKETIIPSTQNRDIAGSTERTSPDILSDFFANANSSAQSSIPFIDPIRSLNMPNYEPQRWSFFRNLAQNEFPKKDEAQDLAKIEEGVYPLDHLEHDTTNVKNLAPQSDAPVEVPPVASRTNVDPSISLPGFVSSQIDNPTTTKNVEGFQVDNPFTNMHEMMPSHLDFEEQKTEEGRAVGPVMDASFKDNDFEYLQIIKNEDLEELRELGSGTFGTVYHGKWRGSDVAIKRIKKSCFTGRSSELERLAHEFWREAEILSKLHHPNVVAFYGVVKDGPGGTLATVTEFMVNGSLRHVLQRKDKYLDRRKRLIIAMDAAFGLEYLHSKNIVHFDLKCDNLLVNLKDQSRPICKVGDFGLSKIKRNTLVSGGVDVFSFGIVMWEILTGEEPYANMHYGAIIGGIVNNTLRPPVPASCDPEWRRLMEQCWAPDPAQRPAFTEIAGRLRSISDMNKSLISTNTLWDEELEGVVGIWQPIRGTNAFNLEPRFLVIPTLELDMLELHEAELEFACHVPACRRCRGVDVHGGGERAYPDRRDGMAKRCTRHVQQEQVLGAAADGGEEVEANKEVDEEGLAGTDLSSARPAVCCLEVRNERRYSADEAAGVVRTAARRVRALQEVLLLGVPYGTCGHGMRMESTTRSMAWHRW >Sspon.07G0024050-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:21583435:21599638:-1 gene:Sspon.07G0024050-1B transcript:Sspon.07G0024050-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVSPNLANGLNIVCQYRPVVTLSSPKPYVLAPRVHSTHPSTVPTSKHPPVLAIDDATTPSLPWPRAEQSELTPSAVVAVTVRASANAQPCGKRTRKHRRAAVKVNPRPARPAFPFRSPVASDDSTAHPPPPATTRSACLPAPRDAEPPPPAEASVESPGPACFPSSRARRLLGAARGSMGASASRRRRDDYYPPPHHYSSYPPPPPPPHHHQHPPPPPPHHRPPPPPPPSSYYYHPHPPPPHAYHGPWHPAPAPPPPQPPALTGPPPEFVEHQQAQKVKNYVNLHKDTIRLVPDDADPERRLVAFTFDAITDGSVTIYYFAKEGKDCSFSSIYPELQTPTKIPFEKGLAQRFIQPSGSGVDLGFFSLDELSSSSGEVFPLVVYAEAYPSPEEGGPSVNSTRAQITLAVLEKHNNDLQVKVVKQILWIDGVRYELQEIFGMVNSTESDVADADADDTGKECVICLTEPRDTAVMPCRHLVNLNKSIAIVFRSSAALK >Sspon.05G0027310-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:44194732:44197922:-1 gene:Sspon.05G0027310-2C transcript:Sspon.05G0027310-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDWGKMMKAYTILMALCMVVLFSCNAVEGGAYMRHEALSRKGLKEQRKLATISGLNPSVSNLSGQASSNVNGVNNNSESTNTNMSGAGTAYTPMTATTTDSHHDLSVDQYRRITHNNENKP >Sspon.01G0044050-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:56285334:56285831:-1 gene:Sspon.01G0044050-2D transcript:Sspon.01G0044050-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTKLAFLAVAVLLLQASWCAVARAWHHHDPDPCGDSALLRHKDHGCSSPAVSANGGTPAMMTVNSFEKGGDGGGPSECDEQYHSNKELITALSTGWYARGKRCFKPIRITSTQTGRTVVARVVDECDSHHGCKNNIVDTSQAVWDALGLDSNIGVVPVTWSDA >Sspon.04G0011760-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:38864710:38867979:1 gene:Sspon.04G0011760-3D transcript:Sspon.04G0011760-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MACCRALALHLLPASAHFRARINFRRRLRCCAAAGDQVEAPQDAVLKAISQVATSKGRIAQTTNVIMGGTVTDDTTDEWLVLDKKVNIYPTVRGFTAIGTGGDDFVQSMVVAVESVIEERSNIQKVSAKGKYVSVKIGPIRVVSKEQVQAVYNAMRKDERMKFFL >Sspon.03G0037880-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:8334949:8337111:1 gene:Sspon.03G0037880-1P transcript:Sspon.03G0037880-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPAAEGAAVSAAAALRAVLARAGVAAERSGRAAEAVRVVAVGKTKPVSMLRQLYDAGHRCFGENYVQEFVTKAPQLPEDVRWHFIGHLQSNKVKPLLAAVPNLDMVEGVDNEKIANHLDRAVNSLGRKPLKVLVQVNTSGEESKSGIDPSKCVDLAKHVKLACPHLIFSGLMTIGMKDYSSTPENFKALVNCKLEVCKALDIPTEQFELSMGMSGDFEQAIEMGSTNVRIGSTIFGPREYPNKKENLRDSTHKCHLNTQSS >Sspon.01G0026540-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1B:82783594:82784068:1 gene:Sspon.01G0026540-2B transcript:Sspon.01G0026540-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SHLTCTASNWSTSSTSPPPDGKKATRNFLVLSRLSRRLHLRRTRPPGSHAPTLLHSRASSFMSSNSLPPPRAAGGAAGGCAGRPVGCAAGRPCGAVGAATRLRGAGVRQRGAADPPPLSLSPTCHTAMAGPWCGDNHNLGFSSPSLAVPGSVEAWCG >Sspon.01G0000250-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:767770:768204:1 gene:Sspon.01G0000250-2P transcript:Sspon.01G0000250-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVASFPAASLVLLLVASAFLVSEVAACGGCPKPTPPPSPPPPSPTNTPCPPPPSSSGGKCPKNALKLGVCANVLGLVKVSIGKVPTDSCCPLLDGLADLEAAVCLCTALKANVLGINLDVPVKLTLLLNYCGKSVPQGFLCA >Sspon.06G0012290-1T-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6A:65321487:65323114:1 gene:Sspon.06G0012290-1T transcript:Sspon.06G0012290-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMQPQLGDCSPDKLASLPLTPRGVPDSQEGRWPVQEKAIDDWLPINARRNAKWWYSAFHNVTAMVGAGVLGLPYAMSELGWEVGIAVLLLSWIITLYTLWQMVEMHEMVPGKRFDRYHELGQHAFGEKLGLWIVVPQQLVVEMGLNIVYMVTGGQSLQKFHDVVCDDKCKDIKLPYFIMIFASCHFVLSQLPNFHSISGVSLAAAVMSLCYSTIAWIASVQKGKSPDVHYGLRATTTPGKVFGFFGALGDVAFAYAGHNVVLEIQATIPSTPEKPSKKPMWKGVIVAYIIVAVCYFPAALVCYWAFGNGVDENILVTLRKPKWLIALANMMVVVHLIGSYQVYAMPVFDMIETVLIRKFGFRPSLMLRLIARSVYVGNGSI >Sspon.08G0003500-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:6242703:6245197:1 gene:Sspon.08G0003500-2B transcript:Sspon.08G0003500-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SVR8 [Source:Projected from Arabidopsis thaliana (AT5G54600) UniProtKB/TrEMBL;Acc:A0A178U954] MAGMAALQGAMASLSVSAAGAASTSNFWGSRLATYSAPQHGVRFMVKICPIEMRLKRWERKKCKPNSLPVLHKMHVRIGDTVIAGREKGKVGEVTRLFKHNSTVIVKDLNLKSKHKKGTDDEPGEIVMIEGPIHSSNVMLYSKEKSVASRVGHKFLEDGTKVRYLVKTGEVIDSVEKWVKVFKEGNSE >Sspon.03G0047460-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:61062222:61062765:-1 gene:Sspon.03G0047460-1D transcript:Sspon.03G0047460-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MESPSSYAWPWPMGVEDPANDASHVQCEWSLERRGEEREGWPALGGCGWANRTRDAMPDAGGSGPIGHRSIQITLPLPLLFHHQQAAAAADSSSSSLLLGLDQSQNPRAVLSAVLAPPWLLCTGLDSELCCAVDQTDRRRFIPSPPLLLVLLW >Sspon.04G0027130-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:55970557:55981165:-1 gene:Sspon.04G0027130-1B transcript:Sspon.04G0027130-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKEDVLKVQTLVLRVNIYCDGCEKKVKKTLHKIDGVYQTSIDAEQGKVTVSGLIDPDTIIRKLNKAGKPAQLWGSKPGVPQNAHHGSGGGGKGQPKDAGGKGHSKDAGGGKAQKGGAGGGNHKGGGGGGGGGGGGKDAKMLLGGNMPAFTPAAPLKDPKSVKFALPEDNFDDDGSEFDDEFDDFDDDEGYDDDGLDDDFYDDPKMMMKPMGAGGGDKKGGNGGGKKGGGGNEIPTQSKGNGQGHNGCGKNGGAMGGAPGGGNQPAEGKKGGGATAGVGRPMSGMLPQQGMVMPNMIGGAGFPGTGQMGGTPMSMPPIGHPTMGMLHQGGGGGGPGGAVRGMPAPGFYQGGGGMPSGAEMLQAAAAAGNPMANMALMQQQQMMMMNGHGHHHGHGSAGYPSMGYGYGRPPMHYPMGYPMPPHSHSGDYNIFSDENPNSCSTLMLRVNIHCDGCEKKVKKTLHKIDGVYQSSIDAEQGKVTVSGLLDPDTIIRKLNKAGKPAQLWGSKPGVPHNAHHGGGGGGKGQPKDAGGKGHSKDAGGGKGHSKDAGGGGKAQKGGAGGGGHKGAGGGGGGGGGGGGGKDPKMVMPQPTPQQLQQLQQQLQMKGLKLPPHLLGGNMPAFPAAAPLKDPKSVKFTLPEDNFDDDGSEFDDEFDDDDFDDEDDYDYDDDVYDDPKMMMKPMAMLPPAAGGGDKKGGGGKKGGGGGGNEIPVQIKGNNHGGGQPYNAKGGAPGGGSHLGQGKKGGGVGVGGPMGGMLPQQGMMRPNMLGVAGAGFPGTAQMGGGPIGMPMGHPHMGGIMQQGGGGGGGGGGGGMPGLGFYQGSANGGGIHSGAEMLQAAAAAGNPMAQQQYMALMQQQQQQQQMMLQHGNGGAGYPAMGYGYGRPPMHYPMGYPMPPHSHADNYNIFSDENPNSCSL >Sspon.06G0013260-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:57101940:57108255:-1 gene:Sspon.06G0013260-1P transcript:Sspon.06G0013260-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEMVKAATSDKLKEMDWAKNIEICELVAQDPGKAKDVIKSIKKSIGSRSKRTQLFAVMLLEMLLNNCGEPIHRQVIDNGLLPILVKIVKKKTDLPVREKIFLLLDATQTSLGGAKAKFPQYYGAYYELVSAGVQFSNRPNVVVTRAEVPVPEPRTEPNNESLATQLNEAQQEVHTQPAPDASIVQKASSVMEVLRDVLNSMDPRHPEGATDEFVLDLVEQCTFQKQRIMHLVMTSRDETVVSQAIELNEELHKVLVRHDALLSVHPTTTVPSNIKEEAEEEDAESLYRRLRKGKALSQDYTDDSVPSFRSMPEDKLRRPLTIQSPHPDKRLGALNIRSPDREEPRHDPAPLIPPPPAKHAERERFFREKSIDGVASLPGHLRGLSQHSRDGSSSCSGSTDYGD >Sspon.01G0042230-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:93730154:93733709:1 gene:Sspon.01G0042230-2D transcript:Sspon.01G0042230-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRSRGSVCHVTVSETPAQSRPWTGTLDVKPRAKARHRLVGVDVRLDMPASQAYTFKSVVQYLGVCMRSQQLAIDDKAADETERNEESSRPAGRPQLAAAAVSAWCLCLSEPGFIWLLPGRTLSPSPRGKAGQGQASHERSGSGPAERAWLDPRLHHGFHQLGDSRVASRLAPICYRDLIVVAVYDLHHGHHVREPKDTNFCHVVVALLHIQEATAWFPSLYTGTIAGRLPPRNTVEQLLQMPRAGAAAVDEGRGERGLNFAREVLYLEEESDHSMASSRTRHRGAAVHAWAVRGTHDGKFSHVYTCRSSRVLWRRAGARAGRWVAAQGNRHAARPEAPPRVLSHRAAASRAAPGQAHKWACWLLLPWLSGTGMSRTCGRRDEVTGDTLFDCPAWCEHLQLL >Sspon.01G0060860-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:85041064:85041773:1 gene:Sspon.01G0060860-1D transcript:Sspon.01G0060860-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTYLVGNCGGAGSLDSTHPACPPSTKGQETLLDLGRMPPVCRVGEGQQLVLVYLSSKLAVGSGSGSGSVAMPAYPHLLVLPQFYSLQCKQCCNGFFSALSAPASSS >Sspon.04G0034480-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4D:78637828:78641834:-1 gene:Sspon.04G0034480-2D transcript:Sspon.04G0034480-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SQSSLPIPTAAPPPLLLGRLAVAAIPPAPDSDRRGIRLTSSASSRSSFLSRSFCVGAEAVAPKPASSSSTPLPAAMEAYKLWVRRNRDLVRSLESLANVIPPIPLPALLPLRYNHFLLSVGPGLTWILPERFANSEIAPEAVYALLGVVSSVNQHIIDAPTENHSFASKEQSIPWGLVVSVLKDVEAVVEVAAQHFVGDDRKWSFLAVTEAVKAGVRLAAFRESGYKMLLQGGEVANEEEVTVLENNYGVNGNGVPAIYPMDGHAGNGHKAMTKGLDGKNGSVSKSLEKRAVAALNKFGENAKMMSDPMWIRRLQPTPEPTVMVAEKPTLASIWSAKGGTGRLFVLGEVVHIFRPLVYVLLIRKFGIKSWTPWRHLQKAEQVLNPVPLIGFLTGKLIELLEGVQTRYTYTSGS >Sspon.06G0025530-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:69627911:69628592:1 gene:Sspon.06G0025530-1B transcript:Sspon.06G0025530-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTGDYNYYDRTNLSMTMKGQERYYYSLAFSHMVVIDLSSNHLTGRIPEEIASLINAVVNLNLSRNNLTENFPERIGAMQSLESLDLSENNLYGEIPQSLSNVTYLSFMDRLLLCKSCHEKVKGLASIHLNKNN >Sspon.04G0009860-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:29259720:29271301:-1 gene:Sspon.04G0009860-3D transcript:Sspon.04G0009860-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein ACTIVITY OF BC1 COMPLEX KINASE 8, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G64940) UniProtKB/Swiss-Prot;Acc:Q93Y08] MSVASAAAAAAASLVAPSSLSVPDHLRLRRFRLRPRPPPPPLLCFRRRSRGACLVRAVLEDRAPPPAKEDAKRYGLNGNGSGLGYDDATVQAYLGSNGNGNGDGSASGDGAAVTQKPAAPASSVAVAVAPVPVPPAEDERRRKERVEEIGREDAWFKQSSGEVSVAPGGRWNRFKTYSTVQRTLEIWGFVFTFIFKAWLNNQKFTYRGGMTEEKKIMRRKVLAKWLKESLLRLGPTFIKIGQQFSTRVDILPQEYVDQLSELQDQVPPFPSETAVKIVEEELGASVNEIFDRFDFEPIAAASLGQVHRARLNGQEVVIKVQRPAEYLQKVDPKSDGAKRDWVAIYDECASVLYQEIDYTKEAFNAEKFAENFKKLEYVKVPEIYWEYTTPQVLTMEYVPGIKINRIKQLDKLGVDRKRLGRYAVESYLEQILSHGFFHADPHPGNIAVDDVNGGRLIFYDFGMMGSISQNIRGGLLEVFYGVYEKDPDKVLKAMVQMGVLVPTGDMTAVRRTAQFFLNSFEERLAAQRKEREMATAELGFKKQLTKEEKFEKRKQRLAAIGCKEEMGKAVPSKVNSSFVSEHWNRKEHFKELPVQKTIGYGVAAGSLVNLATMLYFNSIRGPATIAYSLCAFFGLQVLIGLSRLRREDLLAIAADQPFRFPATFTFVVRAFSVLDGIGKGLDPRFDITEIAKPYAKELLRFNEAGVEDAKKRWERQSRAFYNLFRQPDRIEKLAQIIERLEQGELKLRVRTLESERAFQRVATVQKTIGYGVAAGSLVNLATMLYFNSIRGPATIAYSLCAFFGLQVLIGLSRLRSWIGRRDL >Sspon.06G0026430-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:72802282:72807461:1 gene:Sspon.06G0026430-2C transcript:Sspon.06G0026430-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLPVPPPHVTVATPSPSLLAQVQIPRPSLPRISVVKGKRRLRFASPKVGCSRIPPITADSQLGQMKINAPYVLRL >Sspon.08G0030330-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8D:57973692:57974066:-1 gene:Sspon.08G0030330-1D transcript:Sspon.08G0030330-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRRRPLLEKPETTVLYSSTLRERKDEHLQRSEALVDGSVARLSRYRIVVTALLPVLPPLGRAGLHVIEMLEDNVEHVARVGLHSSLGVLEPGKMGMGRVGEIHHELPAGDGGFDTSVSTGDQR >Sspon.07G0016930-1T-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7B:65053155:65053421:1 gene:Sspon.07G0016930-1T transcript:Sspon.07G0016930-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPDGCDRNANGDQVDCRSRAAEERAEQLANFQLFGAVFLAFVYVLGCYAVATARYGHPDLVAMHGEHVAALECRGVGGGARAEECVV >Sspon.03G0000240-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:6124114:6124668:-1 gene:Sspon.03G0000240-4D transcript:Sspon.03G0000240-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKIRAMNKYSKRRRQLQLPTLSVYIAATFVLCLLLTSPAWFPRLCSALGFFFLTTLPDLVTAFLLSPKCLFVVGNLIVAFLIGESRLAPRRDDGQPSLVNEIHEEHVKKNTAMATKATTAAAVAVAAADHSAFVGAAVVEEEAVEVKEEEGEEEELHKRVEDFIARVKKQRKLELKSFFDVDR >Sspon.07G0024900-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:33136034:33143777:1 gene:Sspon.07G0024900-1B transcript:Sspon.07G0024900-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDGDSAVRSVDKAGAAPGDDGSATPLPETVQIGNSPTYRLDRKLGKGGFGQVYVGRRISSPSVSDRTPGANALEVAIKFEHRTSKGCNYGAPYEWQVYNTVSGIHGVPRVHYKGKQGEYYIMIMDMLGPSLWDVWNNNSHSMSVEMVACIAIEAISILEKMHSKGYVHGDVKPENFLLGPPGTVEEKKLFLVDLGLATRWKDTGTGEHVEYDQRPDVFRGTVRYASVHAHLGRTGSRRDDLESLAYTLVFLLRGRLPWQGYQGENKGFLVCKKKMATSPESLCCFCPQPFRQFIEYVVNLNFDEEPNYAKCISLFDGIVGPNPDIRPINTDGAQKEDDDEQPKKKIRIGMPATQWISVYNARRPMKQRYKLHRYHYNVADSRLAQHISKGNEDGLFISSVASCSNLWALIMDAGTGFTSQVYELSPYFLHKEWIMEQWEKNFYITALAGANNGSSLVVMSRGTQYAQQSYKVSDSFPFKWINKKWKEGFYVTAMATAGSRWAVVMSRNSGFSAQVVELDFLYPSEGIHRRWENGFRITSTAATWDQAAFILSIPKRKPADETQETLRTSAFPSQHVKDKWAKNLYLASICYGRTVS >Sspon.07G0014480-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7A:52333422:52334249:1 gene:Sspon.07G0014480-1A transcript:Sspon.07G0014480-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTGALAVAAPAPDRANKQRRAGGAHLWKKALLHFSLCFVMGFFTGFAPSSSSSWKAATTHPPHRPGDRLAASRVAVDARATLLPSPPTAAGDALSAAAAAGGGATVDVGDDDEDGAAGQRRLLIVVTTTRSGPGERRRRRGELLRLAHTLRLVRPPVVWVVVEPAADAPATAEVLRGTGVMYRHLAFKPEENFTTAEAEAHAQRNAALAHVEKHRLAGVLHFADAAGVYDVGFFDQIRQIESVPPPTFFKSRPLLPSFDFMSCLLLINQLNDAN >Sspon.02G0023410-3D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2D:73464168:73465393:-1 gene:Sspon.02G0023410-3D transcript:Sspon.02G0023410-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SYAPVDIWVDSVSLQPFTFDEWDAHTRRSAAKVRRRTVKVVVAMGADDKKPLAYANVSIELLRIGFPFGNAVTKEILDLPAYAKWFTSRFTVATFENEMKWYSTEWTQNQEDYRVADNMLSLMQKAGIKVRGHNVFWDDQNSQMKWVRPLNLAQLKAAMQKRLKSVVSRYAGKVIHWDVVNENLHFNFFETKLGPGASAQIFQQVGQLDRNPILFMNEFNTLEQPGDPNPTPAKYVAKMNQIRNYPGNGGLKLGVGLESHFSTPNIPYMRSSLDTLAKLKLPMWLTEVDVVKSPNQVKFLEQVLREGYGHPNVDGIVMWAAWHAKGCYVMCLTDNSFKNLPVGDLVDKLIAEWKTHRASATTDHNGAVELDLPLGEYKFTVSHPSLKAAAVQTITVDTSSSASEHTMN >Sspon.01G0043790-1P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:77426508:77428908:1 gene:Sspon.01G0043790-1P transcript:Sspon.01G0043790-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASGERAAEVRRLMEAKEVSGKTFSGIAAETGLTNVYVAQLLRRQAQLQADTVPALRAALPTLTDDLVQLMMRPPFRSYHPDIVHEPAIYRLNEAVMHFGESIKEIINEEFGDGIMSAIDFYCSVDKVQGADGKDRVVVTFDGKYLPYTEQKSEHMMSRLTRKTS >Sspon.03G0008980-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:29541664:29546977:-1 gene:Sspon.03G0008980-3D transcript:Sspon.03G0008980-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VSQHGILLAVNIISDHFGPIVSVVCGCLLRHGALSLQEIVRRLELSPGQVKNSLLILIQHNCVQAYTSPRGKLLCETLLIASDLVVTLYLAIFDNVLHRLHFSKFLSVVRVDIPEVEVLLEGLLQNGRLTFKQLVERTIFKVPEGRPLPTREEIRMNFNKLVYAHYVERCPKPEPFFDPLAVEQPTSTRKRAPKVVETVLSLEQKVVCTAALSDAERFSQIPYFMEGSSSANDGHHAVAGNKVKHEALEVDEEDSIIAESEVLWRINFERFIFCLKKKVCAERKKAKMRLGTLAIWEAFFEANVTNNDNKTG >Sspon.01G0051000-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:9917678:9918695:1 gene:Sspon.01G0051000-2D transcript:Sspon.01G0051000-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFMEPKDIDWSRVVSRYVRDETYEGIEAPHWADLTDPNAGRAHVDDEAWFCRPDCRHPKMAEDFLKLSPSPKGKLLRSVSAMLPFAERDANATNLSNLKWRGGGAVAAFTPPKPKAAPKKRFQEDSENQDPALATPPPRQAPSSRPPFGAPRWNKNAKEAIKSSAEKRPDNAEKEALLNKYAPPRQLKSTSQRGISSLGRIYLARFR >Sspon.08G0021570-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:43979590:43981062:1 gene:Sspon.08G0021570-3D transcript:Sspon.08G0021570-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMSSPPLFGKGLKAVCRRVVDNILAVVTVPLTAAALVAVARFGPEEQLAGRLREARPVHLFLAAFVPAAAATVYLMLRPRAVYLVDYACFRTASNCRVPFSTFLEHGKQVPVLDERSIRFMTKLLERSGLGEETCLPPAHHYIPPYSYCTLDAARGEVDLVVFSALDDLFAKTGISPGAIDIVVVNCSLFCPTPSFVDMIINRYKLRSDVRSVHLSGMGCSAGLISVGLARNLLQVAPKGTHALVVSTETITPNYYVGSERAMLLPNCLFRIGGAAALLSNSPAKARFRLKHVVRTLTGAQDSAYTCVFQQEDDNGNVGINLNKDLMTIAGNALKANITVMGPLVLPASEQLLFALSFIARKVLSGKFKPYIPDFRTAFEHFCIHAGGRAVIDELQRSLKLSDEQVEASRMALHRFGNTSSSSLWYELAYIEAKGRMRRGDRVWMIGFGSGFKCNSAAWECIAPAANAEGPWATSIHRYPVDIPDVMKH >Sspon.03G0019350-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:46045422:46047507:1 gene:Sspon.03G0019350-4D transcript:Sspon.03G0019350-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQVLQEEVQVQQHDLHKQMRESPPQAALIYYSLLLAFPVILLLIRRYATSSAGATARAAREELLRRLPAPPRKLPVIGHLHLVGRLPHVSLHDLAAEHSRDGLMLLRLGAVPTLVVSSPRAAQAVLRTHDQVFASRAYSPVADILFYGSTDVAFAPYGEHWRQVKKISTTHLLTNKKVRAYRQAREREVRLVMAKICEAAISGTAVELSDLLSSFANDIVCHAVSGEYFREEGRNRLFRELAEANSSLIGGFNLEDYFPGLVKLDMVKRMVCAKAQKVNKRWDELLDKLIDDHANATRSESQHVDEESDFIDLLLSVQQEYKLTRDHIKAQLVVMFQAGTDTSFIVLEYAMIKLMQNPNIMTKLQDELRMTIPKGKEIVTEDDLNGMSYLKAVVKETLRLHGPAPLLVPHLSMAECDIEGYTIPSGTRVIVNAWALARDPTYWESAERFMPERFMEGGSAMTMDYRGNDFLYLPFGAGRRICPGISFAISSIEIMLANLVYHFNWELPPELKKSGIDMTESFGLTVHRTEKLLLVPVLPQN >Sspon.02G0039970-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:63658101:63666399:-1 gene:Sspon.02G0039970-1B transcript:Sspon.02G0039970-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPPRAPRRFLFDLNVAQEEVEEEEPMEVLEEEGAPVAQPEEAVVEEVAEQLVEHAMPTVEEEEPVEEVIMEEEPSEEVIMEEEEEEPAPAPAGEVIGEGKGRKKRIDYEVFVSGLPQDAAEEDVAKALAEAGDVEEVRLVRDPADQRLKGFAFVRFAAAWQARWAANDLREATIKGKACGICKNSENETLHVRNICFDWSKDDLAEKLEPFKLENLDRINLIEHPEKKGKNRGYAFLDFRTHVDAVAAFVKLQKRDLYLGTDFRAHISFSNTLSQDDEIMEKVKSVFLDGLPPHWDEDKVREMFGKFGEIDSIQLARNMFTAKRKDFGFIGFTTRQSALDCIKMVNKDGVGEGSGKVLIKASLQRPRHAFKKNSWQGSSSLLGVRRGFVEKSYSGRGHHSDRYRHYSPERQYAERRYTSKYPKHRQAMHETMERDAYHRNKYGHSYQERAHRTCPCPECNLSGQNCNYPNGEEFSAISGCEQAYYKT >Sspon.05G0023750-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:17354115:17357707:-1 gene:Sspon.05G0023750-3D transcript:Sspon.05G0023750-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSRSRPLSSPSHLVPLDLSTSWCCTPHALGGHAPAPSSSSRPSSPARAAAHPMDPPPASSSAASSYATPPQPSYPASYTKFNSALNAGLLNPMSPPPLPLDKTRSSPTLFDMMANEQDYHPRTAAAGVHSIPAPPQPHQLQPARSMDRQMLLQDRIADLIGSCSPGNQFNDADSSDVRLTLSSKDGLSVTLCVHRHILVAHSRFFAAKLSDRWSKQQRTLPHIVEISDCDDVEVYAETLRLMYCKDLRRRLMREDVNKVLGILKVSAAIVFDAGVLSCLEYLEAAPWAEDDDEKVAALLTQLHLENSGAGEVLKRVSLELAPSAVVEEAEWCEVVLLRLLQVVLEGKDEKARREMKGLVSKMLRENSTSRGGAIGGDLRKESLYSACNGCLSLLCEQFVRASEGDHSEVAQIARQADNLHWMLDILVERQIAEEFLRTWAMQTELAAMHRKVPAINRYEVSRVTARLFVGVGKGQILVSKEARCQLLSTWLEPFYEDFRWMRRACKGLDRHLIEDGLANTILTLPLATQQEILLSWFNRFLNSGEDCPNIQRGFEVWWRRAFWKRNLNQNNHPVEITAIYKKKKKKGWSTPTLERWKGRALAAGLGGPGKACIGL >Sspon.01G0003160-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:9433967:9441421:-1 gene:Sspon.01G0003160-1A transcript:Sspon.01G0003160-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEPEGVRGREDAAPGREAGDAVEAGDGFQLATHGKKKKRATSGQDGGSAGSGAGSVRALTKDKGAAPAPGAKAKVPFHDPSIPRPQDVYKIIVDNYNPFEHVWLERSQDGTRRVHPLEKLPVEQFVDRNVPEREPVKPADLEDTPFTLVEDHKGLVELAKKLKGVTEFAVDLEHNQYRSFQGLTCLMQISTRTEDFIVDTLKLRIYIGLYLQEPFKDPTKRKVMHGADRDIMWLQRDFHIYVCNLFDTGQVHKRSNEICLQFYEKELLTDTSYLHIYGLQEHELDAKQLAVVAMPTDVGHLKRIVKSKYPYVESNLELIAYTVWNALKYSYAFEGIAEQLKKERLDQLALKSGQASDEVTPLDADIDRSNFDSSHQSANVSVASGSGAGFMSEAALIRSIHLEDKTQTISSVKTSGTLSGLIRPVNKDVLSNNIHQQVSQDLRHTLGALKGNLASGGQSNEQAGSNVENFRSSVFPSQKLSGGVKPFYPNAGMHSDNVWIQTSQMNETMQLGNTPYYTQLPGYSTEVVGSRYEPEGLQMSGYLSGFEPGIESINQRSTVTGQPPGRHKEGNFQSSAFKNNNNNNNKKKFTLQNNITPLCKQPSESLMKFEPYMKMKWNGIEL >Sspon.08G0030400-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:59509822:59512778:-1 gene:Sspon.08G0030400-1D transcript:Sspon.08G0030400-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWPLPTVTMILQHITATTTYGVLSNSFAKPGCPDKCGNVSIPYPFGIGENCFHEGFNVTCNDEDQAAYLGTQKTFKVFDIDLSHGEARVQKYIAFSCDTDNEYTGLPKYDQESSFRYGHPFTISNTKNKFTAIGCATIAIIQGKNQHEYTSACGSFCSEDSIENGTECTGMGCCQTSIPVSLRHYHISFLTVQGMNYSAVKNFSPCSYAFVAEANWFKFNTSYAKSTTFGELYYGDGDRGVPMVLDWVVDNKTCGEAKKMKSYACVAMNSSCIDAQNGLGYRCNCARGYEGNPYLKGGCQDIDECDHPSLYPCK >Sspon.04G0009150-5P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:27517551:27519300:1 gene:Sspon.04G0009150-5P transcript:Sspon.04G0009150-5P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVPLTLLLGSLAVSVVVWCLLLRRGGDGGKGKRPLPPGPRGWPVLGNLPQVGSHPHHTMCALAKEYGPLFRLRFGSAEVVVAASARVAAQFLRAHDANFSSRPPNSGAEHLGYNYQDMAFAPYGTRWRALRKLCALHLFSAKALDDLRGVREGEVALMVREFARYHRQGHAVALGQVANVCATNTVARATVGRRVFAVDGGEEAREFKDMVVELMQLSGVFNVGDFVPALAWLDPQGVVGKMKRLHRRYDDMLNQIIRERKAAGEGKDLLSVLLARMREQQPLVDGEDSRINETDIKALFLNLFTAGTDTTSSTVEWALAELIRHPDVLKKAQQELDAIVGRDRLVSESDLPRLTYLTAVIKETFRLHPSTPLSLPRVAAEECEVDGFRIPAGTTLLVNVWAIARDPEAWPEPLQFRPDRFLPGGSHAGVDVKGSDFELIPFGAGRRICAGLSWGLRMVTLMTATLVHALDWDLADGMTADKLDMEEAYGLTLQRAVPLMVRPAPRLQPSAYAA >Sspon.03G0034090-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3B:68082978:68083709:-1 gene:Sspon.03G0034090-1B transcript:Sspon.03G0034090-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNGCLAPISLPSHPPCLSLTCRQVHPACQFHPQPPVGLAGLCFTKAASHPSRTSSSPRAPPRTRAVKTPHGPRPVHDFSIVTLSVPRHRDIFPTRLAPGGGADNLGELRRTRGRAGPPPPVPESSSRSARLSVSEQRTPPSRTSTPPLPATAKQLPPLEAPWATRCSTPAFPSLARRLCRTEQAADCATRALSCAVRPLPRTRGRLAGATPIEPHLCPAQVQRQDGHGCVLWRKKRPTGGWG >Sspon.01G0017750-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:62778841:62781946:-1 gene:Sspon.01G0017750-4D transcript:Sspon.01G0017750-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSISYPPDDYLPAVEDNMGRLFIRSLSFDDMEAAAESPLSSPSTSPSALPLAFGSGKLIIEGSLSFKRGEADSIQMQNVLSIRSPKPPDREACNIISAGAATSGSSRFGPIGDRPPDYDYPMVGMDSPKHQAAAVRLQKVYKSFRTRRQLADCAVLVEQRWWKLLDFALLKRNSVSFFDIEKPETAVSKWSRARMRAAKIDPRHRYGHNLHFYYHRWLHCQSKQPFFYWLDIGEGKDVNLEEHCPRSKLHKQCIKYLGPKERENYEVIVEDKRLMYKLSRQIVDTTGSAKGTKWIFVLSTCKTLYIGQKQKGVFQHSSFLAGGATSAAGRLVVEDGILKAVWPHSGHYRPTEQNFQEFMNFLKERSVDLTNVMLSPSEEGEEDGDFSLRGSHSQLDLTQLCQQEDSQEQEAESVQRHGKDEAEAETCSHEPTLPTSTETCSMPATTIRKTSSDNRLQGKRPPRLLISSNNNIAPLPPTTHSSNARPSPGVKDVGPDSAMLGECLDFCKRNLFAEDGYEDHYLDDLAEVPEALILSRINSKRAMHSYQLGKQLHFHWSTGAGPRIGCVRDYPSELQFRALEEVSLSPRGGGSRPARFPSPRPGALTPNSIPAAKCGSLMAEGDGVHASLKPRQRSATWTAF >Sspon.01G0012370-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:40634651:40636556:-1 gene:Sspon.01G0012370-2B transcript:Sspon.01G0012370-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQWTSDGYVAVVSIYNYHKYRHIQAPGWNLGWVWAKKEIIWTMVGGQTTEQGDCSQFKGNIPHCCKRDPAVVDLLPGTPYNMQVANCCKGGVLNSWIQDPVSAVASFQISVGRSGTTNYTVKAPLNFTLKAPGPGYSCGVAHVVKPPTKFISQDGRRTTQAHDDTGMLWGIKYYNDLLMVAGPDGNVQSELLFRKDPSTFTFEKGWAFPRRIYFNGDSCVMPPPDAYPWLPNSSPVLLLKSSSLVLPIAIWMAMLFLRLRM >Sspon.04G0019390-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4A:68840028:68841044:-1 gene:Sspon.04G0019390-1A transcript:Sspon.04G0019390-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding PRRLVAKNVDAAATEILRQVENNRNSNVFYFIGCQGWGASATLKAAVQRLKSPGSKFDKVIHLDCSVWKSRRALQKAVAEELELPQSVMSILSWHDKEDDFNGVEESNRKEVREIYRHIYRRLADINRLAVVFHNGSGSYIDLNEFGIPLNPNFEGNMLLWTVQGRFRPSVTPDIHSINELNPGGVHLYGRLANTYPPYRYDNDYVDDGFDIDRNGSLLWGFVYEEAKEVAQYTCAIDHMVVEACILYMWKLQGMGGLNWAIHASNYWVCDGIIQGGSTEASAWKIGDALQRNIHLNWNWRYMRQAVSSSTALQHHHLARLPVICCPSSSPYQFKREQ >Sspon.01G0030590-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:106000120:106006429:-1 gene:Sspon.01G0030590-1A transcript:Sspon.01G0030590-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAFKAFLNSPVGPKTTHFWGPVSNWGIILASVADTKKPPEMISGNMTGVLCVYSALFVRFAWMVRPRNYFLMVTHSCNECVQLYQLSRWARAQGFMEKKELGGPTIKTDPKPFLYKYWLCIEQMVISAASPSPMATALKSFLNSPVGPKTTHFWGPVANWGFVIAGLVDMNKPPEMISGNMTAAMCVYSALFMRFAWMVQPRNYLLLACHASNESVQLYQLSRWARAQGYLEKKEPEAQQ >Sspon.04G0032190-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:22387344:22387685:-1 gene:Sspon.04G0032190-1C transcript:Sspon.04G0032190-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSMMAAASICPGWCFSLWVRWSVYSGLPFMTSQNTADRTSARMARMFASKSTVEARPEPTAVPFTIARPSLGCSSKKPPWMPAILNASAAFTLVPSGATATEFSRPVTRPAM >Sspon.05G0006290-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:21342172:21343485:1 gene:Sspon.05G0006290-4D transcript:Sspon.05G0006290-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAFTHVPPGFRFHPTDEELVDYYLRKKVALKKIDLDVIKDVDLYKIEPWDLQEKCRIGSEEQNEWYFFSHKDKKYPTGTRTNRATTAGFWKATGRDKPIYVKNCLVGMRKTLVFYKGRAPNGQKSDWIMHEYRLETNENVIPHEEGWVVCRVFRKRLATVQRMVGDSPYWFNDQAGFMASDLGSSRQAMHHHQSSMMYHKQQSSYSYPCKVELEYHHLLPQEHFLQQLPQLESPKLPDLIGQVDTTLQPCGLTQEHGAPRYTVQELQAEPLYLTAGDASGTDWRALDKFVASQLSNGDITPKESASYSNPAHMFQQSEEKEEALDYVS >Sspon.03G0036640-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:37778081:37794534:-1 gene:Sspon.03G0036640-2D transcript:Sspon.03G0036640-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPTPWPSTFRSPATGFLLLLLALLAVASGAPGPSLGGFDRGEPPGADEYSILTFHDYTPPPPPALPPPPGAPAATCAGDLHGVGDLDTQCVVHKSVRLGGGVFISGTGSLVILDGVAVTCERPGCVVSANLSGDLLLGNRARVVAGWVSLAAANITLGDDAAIDTTALGGDPPDQTSGVPTGTYGDGGGHGGRGASCFVKKGQAQEDSWGGDSYAWSALKTPNNYGSKGGSTSVEKDYGGGGGGVVWLFADEIVMNGTVLADGGDGGTKGGGGSGGSIYLKASTMRGGGKISACGGNGLAGGGGGRVSIDVFSRHDDAQIFVHDPPYEPLWTNVFIRNRAKVSLPLRWSRIQAQGQILLAGATLTFGLTHYPYSEFELLAEELLMSDSTIKVFGALRMSVKMLLMWNSRMTIDGGRELGVGTSLLEGSNLIVLKEASVIHSNANLGIHGQGVLNLSGQGDTIEAQRLILSLFYNILICRVEDIDVSGLVQGTVINFNRARSCRGGIGQGKMLSSGLSGGGGHGGKGGDGIYNGGHAEGGSAYGHADLPCELGSGSGNVSGSSTAGGGIIVMGSYEQSLPNLSLSGSIEANGGNFTGLISHATIGGPGGGSGGTILLFVRTLLLKKDSVLSSVGELEAMAVVEVEGVGFTSTGPIFPLEMIIFLLQPLKEQYLQEEESVKGKVSLVRMEPSQERIAQKECPSGTYKNVTGSSKSMCSPCPPNELPRRAVYISVRGGVAETPCPYKCVSDRYRMPHCFTALEELIYTFGGPWLFGLLLSGLLVLLALVLSIARMKFVGTDELPGPAPTQHSSQIDHSFPFLESLNEVLETNRAEESHCHVHRMYFMGPNTFSEPWHLPHTPPEQISEIVYEDAFNKFVDEINALAAYQWWEGSVYSILCILSYPLAWSWQQWRRRKKLQKLREFVRSEYDHSCLRSCRSRALYEGLKVAATPDLMLGYLDFFLGGDEKRTDLPPRLHQRFPMSLIFGAGLNAQLRLVRHGNLNTSFLPVFKWLESHANPALNTYRVRVDLAWFQATALGYYQFGLVLHAVGEVVTAELQDGSTIKTDQHSVNQNTYADSQMGHSRTNDALRKRITGTILNVDNLRMLNDRRNLFYPLSLILHNTKPVGHQDLVGLLWLLYVDFCIISHQTKNIQACSHGILAGRKPAGGYSPQDLCYANAFKQGLLIGMYLSWRFRIAQFIAMTQQYFGSEAFRITGVLMRRA >Sspon.01G0045270-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:88778641:88779402:-1 gene:Sspon.01G0045270-1B transcript:Sspon.01G0045270-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GMEQQSDEASSVNEQSAERRQPSVVAGGGGAMAWLDLTLAVNASSRDEFAATDAGDSSSAASDGEPPLAKPASSPSPPPPANPAPHKVFSCNFCMRKFFSSQALGGHQNAHKRERSAAKRSTSASAYNHHLHAQRMVMAGLPLEAHAALVRAALRVSPASTVIHKAASASQDLAAARAAAAGAVATSTAPRFHDGDSGSAASTTATPWSPALLYEEPVSSTWPGSFRMRAQPEPPSSEQQASEQSKKIDLDLRL >Sspon.01G0002460-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:6620995:6625031:1 gene:Sspon.01G0002460-1A transcript:Sspon.01G0002460-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAWARAKRALATSLCVSVPARQRTMEDAPPVVAAAAAEAPSSVAEDKLESASVSVRRLTSFGSRSSQQQKTCAICLGGMRSGQGHALFTAECSHKFHFHCISSNIKHGNLICPICRAEWKELPGAQPADANYGRARVNPLNWSQDEGHMAVVRRLSHTYSGNLQEYLPYFRTLEAGIFNDDEHIDLQSDMNDEHNAVTGSVKINAYSEFPAIEQSVTKELFAILIHLRAPKSSHSTSSRAPLDLVTVLDVSGSMAGTKIALLKNAMSFVIQTLGPNDRLSVIAFSSTARRLFPLRRMTLTGRQQALQAVSSLVASGGTNIADGLKKGAKVIEDRRLKNPVCSIILLSDGQDTYTLPSDRNLLDYSALVPPSILPGTGHHVQIHTFGFGSDHDSAAMHAIAEISSGTFSFIDAEGSIQDGFAQCIGGLLSVVVKEMRLGIECVDNGVLLTSIKSGGYTSQVAENGRGGSVDIGDLYADEERGFLLTLHVPAAQGQTVLIKPSCMYHDAITMENIEVHGEEVRIQRPEHHVDCKMSPEVEREWHRVHATEDMSAARAAAEVGAFSQAVAILEARRRILESQAAQSSDNQCLALMTELREMQERVENRRRYEESGRAFMLAGLSSHSWQRATARGDSTEITTTIHTYQTQSMVDMLQRSQILVPPTADMLNRSPSVAPSQRSPHRFSRSSRSRTTKSFSEQFL >Sspon.06G0026450-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:72613825:72621141:-1 gene:Sspon.06G0026450-2C transcript:Sspon.06G0026450-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GDEAGGADGEAGGRGGGARPGRGRGLRRSRSRSRRRGGGARACPRAARDQESDHREPDQEAPLPPPGRRAVGRGGAGGARSLAGGARAGGGRGGELRMRRGGWRARRAGCRRCGAPHSPPRSPRRQGVVDASARALRMIYESRLAPKFDVNSGKNMDFVLSLLDSENENVTELAAAIISHSCESSAEQLSLCSAGVPQKLVSLFGGSMNLRDACLGSITAIIRNNRDVASRFASTDHGKGFRSVVGLIHDRSPRTRLLACLCLIALGLASPCLFQDKQIKTKLIMVLLELIEEPGQVGDEAPLALTTLIKDSFELQKQALTTNAVEKLSNHLLANPLETRRAVTILLALSELCSKLEESRSQLMSVEVSTLLLEALKHDWADIRVAACSCLKNISRSPKVLSGGRLSCDTVIGPLVQLLNDSSTSVQVAALGAICNIAVNLTPRKSVLLHSGVVSQLVHLSKSMDPMLRLKSVWALRNIMFLLSPKDKDFIVKELTLSTLSSLICDSEHFVQEQTLALVHNLVDGYVDSANYVIGEDGMVMDAIARQLNNASALGVCIQGMYVLANIAAGNELNKEAVMNVLLPHRADRIKPSFVVNFLQSKDKQLRVATLWCLLNLIYPKCEASSGRVVRLQNGGVILQVKSMINDPCLDCKLRVRMVLEHCVDNADDCFM >Sspon.04G0026890-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4B:52359480:52360053:1 gene:Sspon.04G0026890-1B transcript:Sspon.04G0026890-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LAPPDELRTKENGVICMESSGPRDPMLPRLHGTPDRLRRQCQRRRGRQRQLSRTARMSWACHGAAPITEEQQVVAEVLVVVEDIIEGDDEDEDSNNDDGGDC >Sspon.01G0049400-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:112648915:112653495:-1 gene:Sspon.01G0049400-1B transcript:Sspon.01G0049400-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MCFLLQSSIHLKICCHEVKRKTYHTAIALARSVGPLLKEKKDGNAVAGSCQKCKTWTNDSTEVMLQWKKPATSRWKQQHHHMCAEALNARFGIGATTQQVDRHFRAFKEKWNWIKLAIDKSGYGFDVGSCKFNIHYSEKSPSKLGPGKYNYLTWPIKFFHLMEELFGESAQANGSLAVDQCTVDAEDDNNESMSDEVYTPKHAENDSDTIARRSPPLVGCSFSIKRKNKKSPGKKHVKDKAKCARATGEDEIAASIAMLAKSIASSGPATIDPYADLWKRIEDIPFPPRDKVDIATYLSKPEQVHLRNYLNVASDQSFGTWVTDYLGAKCRVTGAYDSKDD >Sspon.04G0035760-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4D:16675274:16675530:-1 gene:Sspon.04G0035760-1D transcript:Sspon.04G0035760-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSPFGIFDDNITSLSTWTSKPPYRLVDLRQESVHHSTAGVCKSVRGYSLQFSVAQNERRKAFAGSIDQPRKQQQPPAPIKNAKR >Sspon.01G0024410-3D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:85383690:85386790:-1 gene:Sspon.01G0024410-3D transcript:Sspon.01G0024410-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VAWLIGWALVLEYTIGGSAVARGISPNLSSFAQAVVTVLNACVMIFVIVAGSYIGFQIGWVGYKVSDGYFPHGVNGMLAGSATVFFAYIGFDTVASTAEEVKNPQRDLPLGIEVALAICCALYMAVSVVIVGLVPYFAMDPDTPISSAFTKHGMQWAMYVVTSGAVLALCSTLMGSLLPQPRILMAMARDGLLPSFFSDVNKQTQVPVKSTIVTGIFAAALAFTMDVSQLAGMVSVGTLLAFTIVAVSILILRYVPPDEVPLPPSMQESFRLNQECDEETDRGLLGIGNCNLSQTKDVIVVVESVKDPLIDKRLHKVVLLLAGLSLLSWIDQDAGRHSFGHSGDNWLSARKDGN >Sspon.03G0031100-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:32461911:32467543:-1 gene:Sspon.03G0031100-1T transcript:Sspon.03G0031100-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDEIGNVLTSLDPGDSIVITKSFSHMLILANLAEEVQIAYRRRIKLKKGDFVDENSATTESDIEETLKRLMHQLKKSPLEVFDALKNQTVDLVLTAHPTQSVRRSLLQKHGRIRNCLTQLYAKDITPDEKQELDEALQREIQAAFRTDEIRRAPPTPQDEMRAGMSYFHETIWKGVPKFLRRVDTALKNIGINERLPYNAPIIQFSSWMGGDRDGNPRVTPEVTRDVCLLARMMAANLYNAQIEDLMFELSMWRCSEELRVKVDELHRSSKKDTTKHYIEFWKQVPPSEPYRVILSDVRDKLYNTRERARHLLASGFSEIPEEATFTDVEQMEAFLTSYGKCLRLDYPLLDIRQESDRHTDVMDAITEYLGIGSYRKWTEEKRQEWLLSELNGKRPLFGPDLPKSDEIADVLDTFHVLAELPSDSFGAYVISMATAPSDVLAVELLQRECHVKKPLRVVPLFEKLADLEAAPAALARLFSVEWYRNRINGKQEVMIGYSDSGKDAGRFSAAWQLYKAQEELINVAKLYGVKLTMFHGRGGTVGRGGGPTHLAILSQPPETIHGSLRVTVQGEVIEQSFGEEHLCFRTLQRFTAATLEHGMHPPISPKPEWRALMDEMAIVATKEYRSIVFEEPRFVEYFRLATPEMEYGRMNIGSRPSKRKPSAGIESLRAIPWIFAWTQTRFHLPVWLGFGAAFKHVLDKDIRNLQTLQEMYNQWPFFRVTIDLVEMVFAKGDPGIAALYDKLLVSEDLWSFGKRLRANYEETKQLLLQVAGHKDLLEGDPYLKQRLRIRDSYITALNVCQAYMLKRIRDPGFQVNPGPHLSKDIMDMGKPASELVKLNTTSEYAPGLEDTLILTMKGIAAGMQNTG >Sspon.07G0006960-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:16254487:16255531:1 gene:Sspon.07G0006960-2B transcript:Sspon.07G0006960-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFYYGVSGGQSSSSGAAWAVAPAGSRPWTKAEDKVFEGALVMFPEHLPNRWVLVASRLHDRTPQEAWDHYQALITDVDLIERGMVDAPDSWDDDDAAAGGGRAGRGRGEERRRGVPWTEDEHRYAPRAPQSLRRNRTSDTLSRRNRLFYGRGDWRNISRWSVKTRTPTQVASHAQKYFIRQASAATRGDTKRKSIHDITA >Sspon.01G0020040-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1B:79771550:79771963:1 gene:Sspon.01G0020040-2B transcript:Sspon.01G0020040-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKAKIAVVIVILALLQVSCATARKKQKPGDGSGGTSAVMTVNGFEKGGTGGGPSECDGKYHDDDDLIVALSSRWYAGGKRCRNKIRITSKDTGKTVEATVVDECDSNRGCKNNIVDSSPAVWKKLGLDTKVGEVPVT >Sspon.07G0015700-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:5088070:5090734:-1 gene:Sspon.07G0015700-3C transcript:Sspon.07G0015700-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRDERFPVWEAALGAGVAAAFAAGLVGVYLSMPDSDYSFLKLPRNLEELQILTGHLENYTSDYTLQVLVGYCAVYIFMQTFMIPGTIFMSLLAGALFGQLRGLALVVFAATAGASSCYFLSKMIGKPLVFTLWPDKLSFFQRQVAKRREKLLNYMLFLRVTPTLPNTFINLASPIVDVPYHTFLLGTLIGLIPAAYVTVRAGIALGELTSLSDLYDTQSIALLFLIGVVSVTPALLGKDEAQEKPSEMAVGAS >Sspon.03G0038400-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:4738239:4740582:-1 gene:Sspon.03G0038400-1C transcript:Sspon.03G0038400-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSKVYTLEEVAKHNTKDDCWLVIGGKVYNVTKFLDDHPGGDDVLLSSTAKDATDDFEDVGHSTTARAMMDEYLVGEIDAATIPTKVKYTPPKQPHYNQDKTPEFVIKILQFLVPLAILGLAVAVRIYTKSESA >Sspon.06G0019910-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:5537569:5539503:1 gene:Sspon.06G0019910-2C transcript:Sspon.06G0019910-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MILRSALRAGRCLRRAPLVQLIVEHGEPYRPPHRCATDTRDVEESSAVLNWWGVTPVGHGYQLRAYSAAPAARVRGEKPLDRADAVVMADEEVKRMKKMRVFWTAQRTFMEYLHVTRGLSFLDAEHISKHSPAFVSKLLNQVKDAIKDPVEGDEAVFRSKVKTREMRDERATTALQRLFRYYPINEFEPFFESMGFKPSEYESFLPQDLMFLSDDETLLENYHVLCNYGVMRTKIGRIYRDAGEVFSFGDGVLASKLRAIEDLGFSKTTVIKLVTCCPAVLTRGPHAELKILKWLDDIGIQRDWIGQFLSVKKSYSYNWRKMVEVPQFFTELGFDKEGIGKLIRQHPDFLLDGSGKVLFRAVAIMLKAGSGKEDLFNLFMDFPDVQARSFARNIQSVILFLTGIDVSEEDIKKFVVANASMLGSARVKKANSILTYLSVGKKRLWKIIMEEPRELMKYALGLKVNRLPPCDRTEKSLKEKVKFLKNIGFEEGSDDMNKAVKAFRGKGDELQDRFDFLVKTGFEPKDVTNMIKVAPQVLNQKIHVLESKISFLLNETSYPLSSLVGYPAFLSFTIERTKARFLMYNWLQERGLVPPNFALSTLLACSEKRFLNYLVLKHHEGPEVWEKLKKEVAADKNVHCTSDD >Sspon.08G0012410-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:49537661:49539643:-1 gene:Sspon.08G0012410-2B transcript:Sspon.08G0012410-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFTPATACCKPSLVLAPRASSRGSAARAQAALLCTPSTSAFRGLRAPASAAPAPPRWRRSAASMGIVCGKVSKGSVPPNFTLKDQNGKPVSLNKFKGKPVVVYFYPADETPGCTKQACAFRDSYEKFKKAGAEVIGISGDDAASHKAFAQKYRLPFTLLSDDGNRVRKEWGVPADLFGTLPGRQTYVLDKQGVVQYIYNNQFQPEKHIGETLKILQSL >Sspon.07G0008610-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:23695954:23700839:-1 gene:Sspon.07G0008610-1A transcript:Sspon.07G0008610-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPARPHLVAAAAAVLLLLPVHFALAAAQGFRGFSYLLNCGAASPITDGRGLRWDPDGDYVSAGTPGAVSLPGLIDPTLATLRTFPLRHGAKFCYELPVDRNRRYLIRPTFFYGALFASSSAPPPPVFDLVVDGTFWTAVNTTDDARAGAASSYEGVFPASGRNMSFCLGVNPDYTDGSPFISALQVIQLDDSVYNATDFPTSAMGLIARTKFGSTGGMERYPNDSFDRYWQPFPDNKHAVSSTQNVTSADFWNLPPPDVFNTAFVAEKDAPLVLQWPPVALQNDSYYVSLYFADTLPDNSRTFDVYINDYLFFKDLNVTSAGLSVFATQWILSGLTTIILKPTSPSALPPLINAGEVFGLFPVGRLTHARDVLALESIKKNLQNIPEDWNGDPCMPSGYSWTGVTCDEGSKIRVISLNFSSMGLSGFLSPDIANLTALTDISFAHNRLGGSIPNLSNLRNLQRLHLQENQLSGSVPETLGTINTLREIFLQYNNLNGTVPENLLNKTGLTYAVAVAKIFNHSVHKSEKAVQCFKPIKEAGNVVPIILGSDSDVELKFMGTLQ >Sspon.04G0005150-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4D:15514021:15515150:1 gene:Sspon.04G0005150-2D transcript:Sspon.04G0005150-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRPPPPLPPTSILSILYPPLPGHRSPQPLPNARPLQPLLPQQAPPPLQPPPRARYSAPPLPPLGPLLCTRGPRDTVSSILQTKTLKWMALFHAMMIVLTEVCDTS >Sspon.03G0010330-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:3583640:3584910:-1 gene:Sspon.03G0010330-1P transcript:Sspon.03G0010330-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVLAPAQHDGLHAAFGPKPFGGIGGDGRFSSSLSSLGGDRFCGYSTTTASSRFGNSPGLSSPSPRAASLSRGSSDSGSVVDDGDDAAAASATATAAERRLRLARLALQYQEVVNRFELCLSYLADASNEAAALRRENDELRVANEDLARRINVVGCKLVDEFSGLRLAEEHAAPPPPPPPPSPLPAAPVMPKSISVRSPGYLKMNQNGKHCASKTTKGSQRVFVGMDGGVKGEEEKKLNGGLEFEVYNQGMLKTELCNKWEETGACPYGDQCQFAHGIGELRPVIRHPRYKTQVCRMVLAGVVCPYGHRCHFRHSVTPADLFLPRP >Sspon.07G0022520-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:8968738:8974015:-1 gene:Sspon.07G0022520-1B transcript:Sspon.07G0022520-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADWEGIPARERRQMEEILQLDMEELNVEVVDDNDEEEEEEEGDGNEEDDDVDAFLRDNNGDGVASTSGPFTFNTSLASLHTYLGEVDDTRGRVTLLDGGTVLNLPMFYLQGVVLFPGATLPLRVIEDRLAVTIDKALRLVDAPCTIGVVLMRRLANHRHYATASVGTTAEIRQLGRLDDGSLNVVARGQQRFRLRKHWIDVDRVVWGEVQIIEEDTPLRTPRDAFAQLAACNSFNLHASSSVISLDMSPIKQDHIDSELECDTPSPNSNISNPSSMDTRLCYLGSQSSDSMRSSSDEDGDLMHTQFWRRKQHSVRESGASSHSDKKTNMSNENDLCLTPLQSLPIAKTRDAKRRRQYHAYSKQASQAPLSFWPRWVYEMYDSYTLARSAAELWRQIIANPSMDDHVRKPDILSFHIGSKLPVSESVRQKLLEIDGISYRLQKEIQLLKAFNHIKCRNCQSRIAKRSDMVVMSTDGVLGAYVNPHGFVHETITVSNATGLALIGNPSKVHSWFPGPELGPKATASVTAANAYRMASSDSK >Sspon.08G0024520-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:66547898:66555642:1 gene:Sspon.08G0024520-2D transcript:Sspon.08G0024520-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPASSPSGGSACAPPPPPPPPPPPRAMKRELAFALQSLSEISASPGRTRSGRPISSLPDPSASASLKRRKRSDPSAHASAPAPAADLVSPPTPPIDAEPPNQPLRDIIQPLHGSNPPTASDHRSDSNAAQEAIPQSMLEAPQPSHADTATKDSAMEVIAKVNLPAAEDSAAETATEDSPMEVIAKPNVPAEDGASKPNVAAEDTAAVAPTLLETTIADSASKPNVAAEDTAGVPPTLLETTIAAGNDQCDNTNSNGASLQQQAVTIAAGNHQCDNSNSNGASLQQQAVDNVLLSNTMLAEDAATPAAAAELKPARRFTRSLLKNNKPDQEESAACESQATPDGSKDASFDFALLLEKPQRRFTRSLLKTKAESSFVGSDDALDSTSDSPSSVKKMEMKMSKKVACLTKHPVNIRELLNTGLLEGMPVMYIIPHSKKAVLKGVITGCNIRCFCLSCNGSKAVSAYCFEQHAGSTKKHPADYIYLGNGNSLRDVLRASDRSPLEALEKTIRSSIDPVVKRSRINCLNCNGQVPLIHLTTSPNAAEPVLPSSQTENVLCQVCLESKQPQDPLTTSYTCNGSSSLTPSSKEALLKNISSGKKGGSAGKVTNKLVHYKHVIFPYLLCVHELIGIFLATFFRDNRLHKLVFNVLLDGTEVAYYVDGQRKVDGYIKDQRIYCNHCNTVVSFFSHLSYFFRLAHQHLKLMRVRDQGANRLSWLTLLCYIAARYDNIFTSNGVSLHELAMKISKDMELSERETDDLCRECGQGGDIFPCKICPRSFHPACVGLSKVPSEWYCDNCHNLVQKEKALAQNKNAKAAGRQAGVDSIEQIMKRAIRIVPISDDLGGCALCNVLTGEVSLCRQKDFNNAVFDERTVILCDQELPEGEWFCCSSCSETRSSLDKIISDGAQLLAEPDLEIIRKKHEIRGLCMDTSKDLKWQLLSGKRATEEGRILLSAAVPIFHRYTTASVKALISFKCTRRGPKEGMPGQDYSGMYCALLTVGSAVVSAALMRVMGGDVAELPLVATSQDVQGLGYFQALFSCIERVLVSLKIKHFVLPAAHEAEGIWMKKFGFSRILPEELEACLNGAHLTIFHGTSYLYKAVPMS >Sspon.07G0025060-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:37410182:37417162:1 gene:Sspon.07G0025060-1B transcript:Sspon.07G0025060-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSLNEAKYGFWGTLARKAKSFLDEDCSPEQYKSPRDDVQDDVQLPHSKQLPGETWKPQTPPSQKRSESITSSLTYIGGTIKNALEEGRTIVENKTADIIQETRKLNIRRKGAGPNTQGEAGHKILQRHLPQNPLDHETQLKASRDVANAMAAKAKLLLRELKTVKADLAFAKERCAQLEEENKMLRECYDKGDNPEDDDLPEAGKQLEEEDQLDVEDEEDKWKGEDDCGKDQCGRIRKERDLAVLDKLVEECG >Sspon.01G0001400-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:37818869:37825563:-1 gene:Sspon.01G0001400-2P transcript:Sspon.01G0001400-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHDEPAGLAYADYFAAAAVATLVPEVDAVGGAGEEDLHLYGVHHQHGVEMFGAGRGHMPPAAMMAGGPAASATAHGKAALGGVDVDVGGHDFGHHHHRQGLLQAPLSLSLHGTADAVPLGLHHHHQLAGGVPRQHHQPAAAWPGPQQQPQGAWHLRGSRFLCPTQQLLQEFCTLPVDTTSTAAASKLPTRPASEDGVGAGSSSSAPSAQIQAMSASELQRLKAKLYAMLQEVERRYRRYREQMRAVAGSFEAVAGEQAAAAYTRLASRTISKHFRSLRDGVAAQMQAVRRALGEKDADDVLATGMAKGETTPKLRVIDQCLRQHRAYQAGVLESQPWRPQRGLPERAVSILRAWLFEHFLHPYPSDVDKHILARQTGLSRNQVSNWFINARVRLWKPMVEEMYSEEMKDPQEGGSDACSNANNSVNTSSYASELGQQQLGHGGASGVDGGERKPTRAQLLVHDAGSLASVVSIGSSSRDQQHISSINFGMMDHLDFDAYNDDPAAAGGPAGGFGAGGSGGVSLTLGLQQHADDPHGGVNIAFAGAAPSAATSSCSWQAAAASSRWSRVEPCTRPTDTVTTVSSPPACKATAWPPRTTTGGPQRRHRFQLLHDLAG >Sspon.08G0003260-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:73603720:73604823:1 gene:Sspon.08G0003260-2P transcript:Sspon.08G0003260-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DEGNGRAEAARRPHVVEQGPERDVAGVAHAVPWLVPVHVAGGLPGVVHLRVPPRRVLHGARLVRGGVPGGALHGQRRRRRSGGWPAAVRVRRAGALRGGGGELVVEHAGARRARDRVGAPAARAVQPAGVRAGRGDAAVARAEPRRAHGVPGREPVLRGAPGGEAPGAGGLRRGLRHGGARAPRPPRRRPRRARRRVPPRPEPALLRVPPRHQRPPQPALRRRLGRHPRRRPAWVRASAHAPLHTCMHVGCRVVGDLLGRGDGADQGHGDGGNGARLRAGGGARLRAGVPVRRKPDHGDQHALARPFPRARRRRRQPGSLLRAARRSEIEIELS >Sspon.02G0031910-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:116647747:116656516:-1 gene:Sspon.02G0031910-1A transcript:Sspon.02G0031910-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLGRRPPVRPGRGGGRPPPPADRVGQPSSAGSPHQCWRPSCQDWRSPLFLVLSTRLGFSSRPTRFLFYRFRFPAMEFDSGSIMKLSYLKVSTNTLLSYLNTTDSLTEDLKFPTSSRLSDSAQAPPCEERQCDAAAEHQKINDMVGVASIVIVGEKAQSHQN >Sspon.06G0029620-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6C:26175344:26175934:1 gene:Sspon.06G0029620-1C transcript:Sspon.06G0029620-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIGSAALAVVLAIAAAAAEPPAPAAPIGLADCSTSCGGVSVPYPFGFGPPRCYWPGFNLTCDRSSGQLLLGDGTLRVVEISLRNATVRVVRSGSIIDSASITSDRNVSFGGSFMDHGYMLSNGNELVLSGCNLVATLVEDLGVGPGRSGIISGCASFCSFRNKKVDSVGQTGAGSKYCSGMACCQAPINYHSSPTQ >Sspon.03G0011990-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:50389631:50391631:-1 gene:Sspon.03G0011990-3C transcript:Sspon.03G0011990-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSKPLLSRLLPLPLRLRPQARFLCLATPTSTPNPAPIDDAQAPADAAAERRRRKRRLRVEPPLSRGPAPQRAPGAPRPASNPNAPKIPEPASVLSGKRLDLHRRILTLIRENDLDEAALLTRHSIYSNCRPTVFTCNAVLAALLRQARYADLLSLHRFVTQASVAPTVATYNLLLQAYCDCRRPDVALEHFRLLLKDDSPVLPSPTTYRILARSLAENGKLDQALELKDGMLERGLVAPDTQVYAFIMGGFVNAGDGEKAVSLYEELKEKLGGEPILDGVVYGNLMKGYFLKGMEKEAMDCYAEVLGEGSKVRFGAVSYNMVLDALGRNGRLEDALKLFDRMCMEHDPPRTIAVNHGSFNVMVDAYCRAERFQDAIEVFGKMAKKRCAPDSLSYNNLIDWLGKNELVGEAEGLYKEMGERGVNPDEYTYVLLIESCFKVDRVEDAVAYFNKMLDAGLRPNANAFNKVIGGLVKVDRLSEAQGFFDMMPEKEVKPNIASYELLLKAYIDAARLDDAIKIAKGILLDESVTFSDEMKALLEEALDKEGRDGEMTKLYEDVEREKAEAAARAAEEKARAEALAKEEEERKKAEAKAKEEAAARASRAAIEAVLGRKREAEKQEPVDGLNVEGAEVVESNSDTSDVSGEQSEGDEQKKQEPAEASSCS >Sspon.07G0035970-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7D:18951967:18952284:-1 gene:Sspon.07G0035970-1D transcript:Sspon.07G0035970-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFPDIGLARGVFFSAYDCLSVSNACNVGTNSVFSSHHASFCPCAAGPQCSLSPSLSWTSLPPRRHGSTLLHLDLAPDPAIHCSARPLACQGLLKAHVASVCLQK >Sspon.01G0029440-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:98568914:98572975:1 gene:Sspon.01G0029440-4D transcript:Sspon.01G0029440-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSADLADDGFAAPRLFSQGVSYTYDDVIFLPGYIGFPADAVDLSTRLSRRVPLSVPCVASPMDTVSEAAMAAAMASLGAAAVVHCNTEPHAQAAIVRAAKSRRLPFVSSVPFFSPSSAPTLNDFAGNEYALVTEHGDSLSRLVGVAVAADAASREVPVAVSEYMRPTPRSVSASFDFERAAAFLADEGLDYAPLVSDDGEVVDLITARDVERIRSYPKLGKPSLGADGKFVVAASIGTREDDKGRLEQLVKAGANAIVIDSSQGNSVYQLDMIKFAKKTYPEVDLIGGNVVTIAQAQNLIQAGADGLRVGMGSGSICTTQEVCAVGRGQATAVYKVSSYAKDHSVPVIADGGISNSGHIVKALSLGASTVMMGSFLAGSHEAPGTYEYKDGRRVKKYRGMGSLEAMTKGSDARYLGDTLKLKVAQGVVGAVADKGSVLRFIPYTMQAVKQGFQDLGADSLQSAHDLLRSETLRLEVRTGAAQVEGGIHGLVSYEKKAF >Sspon.03G0003490-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:9122242:9122829:1 gene:Sspon.03G0003490-1A transcript:Sspon.03G0003490-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAGCEAPAVAPQRPAQLSRYESQKRRDWNTFLQYLRNHRPPLTLARCSGAHVIEFLRYLDQFGKTKVHAAGCAYYGQPAPPGPCPCPLRQAWGSLDALIGRLRAAYEESGGTPESNPFAARAVRIYLREVRDSQAKARGIPYEKKKRKRAQQAAAEPSSSSSSAAAGGSGSSRAAAAASAAQAGGSSAAPSTT >Sspon.04G0004550-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4A:13519047:13519469:-1 gene:Sspon.04G0004550-1A transcript:Sspon.04G0004550-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQACASATATDRRRPPRPSSCVFVLKRAQVLSRFKILKLTANHPRTDAQRRFAHVHSLFLLMPAASRGAGHIGGAASACWSPRYESLRTEALGTVAWDGQNTAESCTHDGADAARCPCHRGTGTRDRFAHTVICIHSFF >Sspon.04G0005710-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:36181951:36186058:-1 gene:Sspon.04G0005710-3C transcript:Sspon.04G0005710-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVINFVFLINQRKIMGFRKEKLRKFIQMEEEDDDELFFIIVPAILECLNDEKRPVHTSEYTGAKKLLMEIDSLREGFDRVAEKHSLSSAKALEAVDQIVNEVEQAIVKLQMMNTDSTGNVDHPSILAELKAKLNEMAPLNQLEGSQKELNGALSKYLKVLEKSFNPDISKAYRNVDFEVHTVNNIIANHFYRQGLFDLGDMFVHECGELGGASLKLPFQEMYAILEAMKARNLEPALSWAAKNHDQLLQNGSMLEFKLYQLQFVEILSKGSRVEAKDDALLYARTHLVPFAAVHKEEFQKLMACLLWVGRLDQSPYSELMSSAHWEKLAEELTHQFCSLLGQSRESPLSVAVSAGFQGLPTLLKLTQVMAAKKQEWQVMKQLPVPIDIGPEFQYHSVFVCPVLREQSSDENPPMRMPCGHVVSKQSIMKLSKSSSRPFKCPYCPSEAVASHCKQLHF >Sspon.01G0032200-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:109288835:109291315:-1 gene:Sspon.01G0032200-1A transcript:Sspon.01G0032200-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding NNSVIGSIPHACVRINVADIGAWEHVQQQRHAFFGKMQVWDYTGLGYTRYNARDVGKEYLGCVVENKVLCNSLLLRLQFLPEQEELDDIENVIYPTRLVSLTFPLKSRQAGMKPTLSEPLSVGHTTEELHRSNLVKLDLSDGRSLHSKLVIGADGSKSNVRQIAGIKTTGWNYPQSAIICTVEHVVENDCAWQRFLPSGPIALLPIGNNFSNIVWTMSPEESLRHKSMSPEEFVKSVNHALDFGYGPHPRSTTLDHYMEQFFSGIGNTAASTKECFEVPPKATSVVSERMAFPLSLMHSHDYVSKRLALVGDAAHTVHPLAGQGVNLGFGDAAALAKVIAEGVSVGSDVGDLTLLQRYEKDRKAANVAVTAVLDGFQKMYSVDFGPLNLVRAAAFHGAQYISPLKKSIISYAMGDTKWPLFR >Sspon.02G0024400-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2A:83539917:83540795:-1 gene:Sspon.02G0024400-1A transcript:Sspon.02G0024400-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAVYDKAKNLLVRMYKPSPAGGKAPVLVHFHGGGFCIGSPSWANVHAFCLRLAADTGAVVLSAGYRLAPEHRLPAAVDDGAAFMRWLREQSSANAAEAEADAWITEAADFGRVFVTGDSAGATIAHHLAVRAGVAVAADDAGEAGELDQDQVTIRGYVLLLPFFGGVERTASEQAECPAGAGAGSLLSLDVLDRFWRVSLPAGATRDHPVANPFGPDSPDLDSVDFRPVLVVVADLDLLRDRAVGYAERLAAVGKPVELVEFAGAAHGFFLHEPGSEATGELIRAVGRFVD >Sspon.01G0045590-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:90435167:90437233:1 gene:Sspon.01G0045590-1B transcript:Sspon.01G0045590-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGPAPTRPTGASAAVASPSSSSSSSSSSSLIFLGTGCSGALPEARCLIQPSEPPCTVCSTALSLPPDRNPNYRCNTSLLVDYCHDDGTHKYILIDVGKTFREQVLRCQLKRRFSEVQIILTHEHADAVLGLDDLWVALPSSQRNEIGKVPIFLTQFTMDSVAARFPSLVKQKLQEGDDFARPAQLDWTIIEGDVDKPFVASELEFWPLPQFRSLVPDKGN >Sspon.01G0022600-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:79922007:79926290:-1 gene:Sspon.01G0022600-2D transcript:Sspon.01G0022600-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAITPATMLLLHLLLLSFSSVPISLALAPGVAKSDDTAALLAFKAAAVGGSSSSSTSGGRGAMLASWNGSAAGPCTWDGVTCGRRGRVVALRLPSLGLSGTLSPAVGNLSSLRLLNLSSNWLRGEIPASLGRLRRLRTLDLSVNTLSGAVPGNLTSCTGLTRLVLASNKLTGPVPAELGGALKRLEVLVLINNTLTGRLPASLANLTSLRYLVLGSNGFEGPVPPSSAAAWRASSASTSAATACANTLHGRIPAEIQAQLPRLQFLVLLENQFSGAIPSSISNLTQLRVLELSGNGFSGFVPRDLGRLQYLGKLQLEGNMLQAGKKKKMEGWEFIDSLANCSMLKFLGLGHNNFTGDLPVSVANLSTTLEHLYLDDLAISGSIPSEIGNLVGLKVLILANTADISGVIPDSIGKLENLKELHLDNNNLSGLVPSSVGNLTRLLKLSASNNNLGGSIPRSLGKLSDLISLDLSSNCLNGSIPEEIFQLQSLSLFLDLSHNSLSGPLPFDVGRLANLNTLSLSGNQLWGQIPTTIRNCIVLEVLLLDSNSFQGSIPQPLGDIKGLRVLNLTMNRFSGVIPDALGDIHSLQQLYLAHNNLSGLIPTDLQNLTSLSKLDLSFNDLQGEVPKEGSFRNLSYLSIAGNKNLCGGIPQLRLDPCPMSVVRKNNKSKRGLKYVKIALATMGALLFLALFTAVIQFIYKNSKQRQKRSNPLAPVISGEQYERVSYKELSDGTKGFSDANLLGKGSYGTVYKCAFIDEGTIAAVKVFNLEQSGSTRSFLAECEALRSVRHRCLIRIITCCSSIDRQGQEFKALVFEFMPNGNLSSWLHPKSNEPKNTLSLTQRLDIAVDIMDALDYLHNHCRPPIVHCDLKPSNILLAEDMSARVGDFGISRILSESACKAYPNSNSISGIRGSVGYVAPEYGEGCAVSILGDVYSLGILLLEMFTGRSPTDDVFGDSLDLHRFSEAGFPDRILEIADPNLWVHPDADDNSITRKRIQECLLTVIRLGLSCSKHQPKERMPIRDAAMQMRAIRDEAYLMFAGSPVAPRRPLRATRAAAPPPTTSSPVRCNCPAAARARRRQTRERQGRRRLGPLLFFPTPLSLGGRRNSRDCIGRRLPAVLSLEGTDPASSWLDPVSSWQAGRSGQLRWLVAAWPVQLAPGGGRQRLPMPVWLRFRLFQLGMRRWTSWWRLSGGAGAAGGTGCRTSWHAAPCSRTFVGLWCSTPWRAREQAYRWRQIGAEAAAVPVQRGLMRGGLGRGWDVGGLGDWGFF >Sspon.05G0016870-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:69930075:69932891:-1 gene:Sspon.05G0016870-1T transcript:Sspon.05G0016870-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLHTVRFLCSVVAAFARLVRELRKAAAMATATCSPSPVAVLTAQPVVSPIGLIASTPLPKRKKTLAQVAVPENLLVAPPPPPTPVLVLPAKVVSDDGVSGVGMVEQQQAQSVTKTKAAWAARRRPSRLVIPVADDGGEVAAGWGKAAAPVKEADVEVEGDGFWLASRAGPRHAMEDAYAVVTDKNDADSQLAFYGVFDGHGGRAAVDFVSERLSKNVVSAVLAAAATMETRGEASSEDAVSAAIKAAYLATDSELLTKHQDASGGACAATAVVKGGDLYVAHLGDCRAVLSREGAAAALTADHTCAAEDERARIEREGGYVCRSGSGVWRVQGSLAVSRAFGDGALKQWVVAEPAVTRVPLAAGCEFLVIASDGLWDKVSNQEAVDAVSRCRSTACRELVGMARRRGGRDDVTVMIVDLQRFVR >Sspon.02G0045970-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:99035204:99042814:-1 gene:Sspon.02G0045970-2C transcript:Sspon.02G0045970-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNGIAPRATMFAAQSIQLGINDIVVAGGMESMSNAPKYIAEARKGSRFGHDTLVDAMLKDGLWDVYNDCAMGMCAELCADNHALTREDQDAFAIQSNERGIATRDSGAFAWEIVPIEVPVGRGKPPVLIEKDESLDKFDPAKLKKLRPSFKENGGTVTAGNASSISDGAAALVLVSGQKAQELGLQVLARIRGYADAAQAPELFTTTPALAIPKAIANAGLESSHVDFYEINEAFSAVALANQKLLGIPSVSATNIELPFLVLREKGGKIGVAGVCNGGGGASALEKEKKIAPQRSDRRRCGLSLELQLHVVVVGGCRLLEPVPARADDEVDEVDRRHGGDEQVVEVDASLVEVLRQPVRASVAAARGPVHDADHEPAGVAGDGESQEEERPRDTAHVLRELLVVEVDLSDDGERLGEAGQHLLRHKQEAGGGVADPAAVSLDGGGDEHGDDGEHDPDADPLQLGDAALALGDALHGRHEHAVVEGEPEGERQHVEDDEGARGDLEAAAADVAVGLHGLEHVVVAEVGEHDVVDDAGGPDGEKPRQALDLLHLLQRAEAPGVVAEVVVRGAHGGLVEAEELVGVCDLGDEAAAVRRVVEVGGRRRGEAALARGGDEDLREAGEGAALGADADVESRAHEEERAGEAHERGRDSEPQRPVDVALEVDDEGGGDHHGDGEGEVVPVEEAVDAPSPRGGARVELVGAEGQVAGADAAGADGEEREGGEEHSHLEGGRAGRVGVSRGVEGRHGGGEGEDDHAEEGGDGGERDGGVAAQVGVAEEGAQERRQVDGARPEAEQRGGAGARHAVDGGEVDEQVGRGADGAQLLARLVPCMRIIS >Sspon.01G0014730-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:43681434:43684671:-1 gene:Sspon.01G0014730-4D transcript:Sspon.01G0014730-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCFHSTAKRQHPGYEDPVHLASQTAFSVSEVEALFELFKSISGSVIDDGLINKEEFQLALFKNQRKENLFANRIFDLFDVKKRGVIDFGDFVRALNVFHPNIPMEEKIDFSFKLYDMDGTGFIERKEVKQMLIALLGESEMRLSDEIIETILDKTFSDADANQDGKIDRTEWENFVTRNPSLMKIMTLPYLKDITTTFPSFVFNSEVDDLVT >Sspon.01G0030870-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:108739099:108740283:1 gene:Sspon.01G0030870-2B transcript:Sspon.01G0030870-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTELQPTASSRRDSSSGAGGPAPPVATTMRIMVRTLRGDRVALDVDGAATVAQLKAMVMAREGVAASAHRLFFAGRHLDDDGLPVAHYGVRHGSVVFLSLRLRADDAASQQEMRNVQTQPVTTVSQLLMIDQQQLMMRFEDGDDHGGGEEAVVTTTRPPVSRRSLRKILSRLHVDVWTAQHDAKLLDLLLLRRTRGGVGDLTADDWSAIRAELNAATGSAFPVEDLQRRLAELRRDFDAVSRIKEHPQFTYDARRRVVVAKEAEWKRYVLENPDAVAYEGRSTHFGRLRAIFSGDCGAETRGRGGPMRRESWAKRCLSKLLRSFGLRCEL >Sspon.05G0003750-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:71478502:71479146:-1 gene:Sspon.05G0003750-2B transcript:Sspon.05G0003750-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LPNPASVVQLLKQNGITMVKIYDTNDAVLRSFANTGIKLMVMLPNQNLKDAARSPAYAAQWVQDNVKKYHPATQIHAVAVGNEVFADPTVDNMNLVPAMTNVQAGLASLGLADDINVSSPIKFDALKASWPPSNGSFRDDIAQPVMKPMLDLNVHRGMFVGLCAATTASWCVAKPGVGDDQLKKALDYACSHGTDCSAIQTGRACFQPDNMASHA >Sspon.03G0007330-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:44771064:44771894:-1 gene:Sspon.03G0007330-2C transcript:Sspon.03G0007330-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMKNPAPASSSVAAEVKKGSWSPEEDALLTRLVEQHGAHRWSLISAAIPGRSGKSCRLRWCNQLSPDVHHRPFTPHEDALILAAHARYGNKWATIARLLPGRTDNSIKNHWNSNLRRCRRRAAAAAAAASRAAAGPCIAIGPQQVQDSDDVAPAAAEPEHHHSPQQPVAGGLGGGNSSVQPAGTATAEAEPSLSLSLGLPLPAAEPGAAADEESRNSQQGHGQASPVTLPQEGQGNAQLLAVVRQMVREEVQRQTGQLAYSLMAAAAAKAKGHHR >Sspon.02G0035250-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:18026683:18028553:-1 gene:Sspon.02G0035250-1B transcript:Sspon.02G0035250-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRPYSPLLGSMTLARLFVVLLGTALVLLLFSPADAGVVGVSYGRLGNDLPDTPSVVKLLKKSGITSVRLYDANSTVLKALANTGITVMVMLPNDNLATAAADPSSARRWVRRNVAAYYPATQIHAVAVGNEVFEEAKNLTGQLVPAMANVHDALVKLGLDKTVKVSTPIAFTALQESWPPSAGRFRDDIAGSVMKPMVDFLERTGSYLTVNAYPFFAYAEEPDKISLDYALGNSNAAGVRDPVTGLVYHSLLDAQLDATYFAMEKLGTSRSSAQDQNFMAGKGPRAYVSETGWASAGGLKRGGRRCFEQAGDDAASVANAKAYNNYLINRVLSGDTGTPYRPDADMDVYVFALFNENQKGDGADDIEQHFGLFYPNQTKVYEVDFRGGALVSWCVANAAVGDARLQQALDYACGHGADCRAIQPGAPCFEPDTSLAHASYAFNSYYQRNGRAKGACDFNGAAYVVYHEPAGEFSIGFSTVLFPFAPCSSSMATSHHLKCMVFSCTAVCVAVGTCDPNGSWCVANAAVGDARLLAALNYACANGADCSAIQPGGACFEPNTVVAHASYVFNSYYQRKGRGSGTCDFAGAASVVHHAP >Sspon.03G0031660-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:29913009:29915198:-1 gene:Sspon.03G0031660-1P transcript:Sspon.03G0031660-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:HCF152 [Source:Projected from Arabidopsis thaliana (AT3G09650) UniProtKB/TrEMBL;Acc:A0A178V9F2] MLCCTRYSLQLLQPHPSFTGGATCSCSCSLPSSSSSGTQHQRLHPPAALSPATSRSTPPLTHAPDHDEDGASDDALLALLRAHDTDAAYGLFTSKPSLLPSSPTTASRLLAQLSFNSNGPDAFSRAARLLQSLRARGALDLLDANSLSLAAAAAARSRDARLAHSLLLYMLRQGLLPDRRAYTAAVARLTPPTKALRLFDAVLRHLRRAPQELLSPSCLPDAAAFNAALSACADAGDCRRFRQLFDAMSEWSAAADALTYNVVIKMCARAGRKDLVARVLERMLSSGLAPCATTFHSLVAAFVGFGDITTAERIVQAMREERKDICLLLRAVAMDCDGAVDVEDGAALLDDIVAGTKQEQGSDEVPLLPKAYPSNARVYTTLMKGYMNAGRVDDVVAVLRAMRQEARTAPASRPDHVTYTTVISALVGAGDVARAHAVLDEMAAAGVPANRVTYNVLLKGYCQQLQIGKARELFEEMVTDAGIQPGVVTYNTLMDGCVLSDDSAGALAFFNEMRSRGIAPSTVSYTTLMKAFAVSGQPKVAHKVFEEMERDPRVTVDRAAWNMLVEGYCRLGLVETAKQVVERMKERGVQPDVATYGSLAKGIALARKPGEALVLWNEVKERCLEEADEELLGVLADVCVRAAFFKKALEIVACMEEKGIAPNKTKYKKMYIEMHSRMFTSKHASQARQDRRRERKRAAEAFKFWLGLPNSYYGSEWRIEPLLDGDDQN >Sspon.04G0012820-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:44678463:44683690:-1 gene:Sspon.04G0012820-1A transcript:Sspon.04G0012820-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLGDALRQAFLMPRRAYAALRDDDHSLPPRLRRPLAVTAACCVAAAVAAAACVSLGIVFPAEPAERPFCRERRMLEALPAAASSREEEPEAYRYRGGAFYMTTAEAADFYWMVVFVPSAVLFGASFTYLVAGMSVAYAAPRRHPMICVVENNFCASRRGGVRCLAILNVVFAVIFGLMAIILGSTLLALGSTCSVPLFWCYEITAWGLAVLYGGTAFFLRRKAAVVLDEGDYVTHNVGLEMLESKMEATPEMQCRINDGFKQWMGSSLLSSDDEEEATDDYIEHDAPSPTALGQQRQQEHDLET >Sspon.04G0017320-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:12176845:12180861:1 gene:Sspon.04G0017320-1P transcript:Sspon.04G0017320-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMRPGWVGGLVEESFFVGCAAHENRKKNEKNIFCLGCCASICPHCAPAHRHHLLIQVRRYVYNDVVRLDDLERLIDCSFVQVMHDHVPVLLLLRACSVRIVESVLTDDGDGPYTINSAKVIFLKPRPQSRPFKGSGNVCLTCDRILQEPFHFCCLSCKVDHVMMQGGGDLSNILYVPGGGGPPDLVGCGFPRFENLRFDDDPAGQYGGQVTPNSILEDPMLQHGGSSSGGSSARNARRGDGVPTRKKKSGGGGGGGFFPQIVLSLGNRRKGAPHRAPLA >Sspon.02G0048540-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:17351814:17353973:-1 gene:Sspon.02G0048540-2D transcript:Sspon.02G0048540-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRRITPRRRPVLAVPRAFFSSSPSFPPPPPPANGDPDAAHSPSSSPPPNPGAPRNPSSSLFQDIKDRLRLSPTSPTPTNLPRPNPARGAPSKPSLEDVRRMLGEFRPTGGPPSPSAPGAGPSFIDLLKKNSVAQGANAGQRVTGLDAIRESLKRSPPRTPHRPPTPFLTPQSNIFNPELQRNLKAVGAGKEEKDSTIALLRHYSYEELGKRLGELRPAVTAKDGKEWFSLKELQGRIARLAELEKQENRLSRQLSEIKNCIENVNKQEKPALPLYIPSLLNLGGQLTLDYMSRPPQEELLEKYFHPDHMSSEEKMKLELQRVRDEFKMSENDCGSARVQIAQLTLKIKHLSAVLHKKDKHSRKGLQEMVQKRKKYLKYLRRTDWDSYCLVLSKLGLRDVPEYKAPDYKSKATTKAKSKKSKSKGKKRKMKA >Sspon.06G0024940-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:59228864:59229934:1 gene:Sspon.06G0024940-2C transcript:Sspon.06G0024940-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQMGGPDGDGDGDGGPHHQYHYQALLAAVQNPSQGLHPFPLPFHLPLHAGAGAGAPAAGPGADADASSTHNVHAAPHSQPPRGFTDWSPSNSAFAAVAAQPAPATTNTPLHYNLSQPYTLWTHYMLNKNVSCSTYPTQHEENPNPLRHTHIPEENPHPLRHTHIPDKDS >Sspon.01G0032900-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:111443108:111452764:-1 gene:Sspon.01G0032900-1A transcript:Sspon.01G0032900-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDAYAKRVLLTAAGDAVSRGIASTLAKRGCRLVLLGDEGALAATAEEARRCGGGGGGVELVGLDLESCGEAAADAAVDRAWRCFAGLDAFVNCYSYEGEVEDCLSISEDEYRKTIKVNVIAPWFLMKAIAKRFQDTKSGGSIVFLTQIIGAERGLYPGAAAYGTSLGAIHQLVRLSAMELGKHKIRVNAACRGLHLQDKFPISVGKEKAEKATAEVMPLQRWLDPEKDLASTVLYLVGDESRYMTGTTIFVDGAQSIVRPRMRSFM >Sspon.08G0012230-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:49928748:49938576:1 gene:Sspon.08G0012230-3C transcript:Sspon.08G0012230-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFIGAHGVETLKRYRYSGEDRSVVAKYVLQPFWSRCVTLFPLWMPYIHLALTLLPLGGSILPMDYSSSCTRLLMLLMVNKRGVLAHQALLENFLIMFEALALGSTLMCGGWTFWFWVVAAVPFYLATWEHFFTNTLILPTINGPTEGLMLIYVSHLFTFLTGAEWWAQDFRKSLPFLGWIPIPFLSEIEIPLYVLVLILMIVCAVIPTVRSNVSNVQEVVETRKGSMALALAMILPFIALLAGVAIWSYLSPSSIMRNQPHLLGRMILAHLCDEPKGLKSGMFMSLVFLCFPIANALIAKINDGSPLVDELLLLLLYCAYTVGLYLHLAVSVVHEIKDALGIYCFRITRKEA >Sspon.03G0026070-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:6814431:6819974:-1 gene:Sspon.03G0026070-2C transcript:Sspon.03G0026070-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVDDPSPRVGGGSGADPPRIRRLEESVVNRIAAGEVIQRPSSAVKELVENSLDAGASTVSVTVKDGGLKLIQVSDDGHGIRFEDLPILCERHTTSKLSAYEDLQTIKSMGFRGEALASMTYVGHVTVTTITEGQLHGYRVCYKDGVMENEPKPCAAVKGTQVMVENLFYNMVARRKTLQNSNDDYPKIVDFISRFAVHHINVNFSCRKHGANRADVHSSSTSSRLDAIRNIYGASVVRDLIEIEVSDEDAGDAVFKMDGYISNANYVAKKITMILFINDRLVDCTALKRAIEFVYSATLPQASKPFIYMSINLPSEHVDVNIHPTKKEVSFLNQERIIETIKNTIEEKLRNSNTTRIFQTQAVNSSALTQVCTQKDKGTDVKMASVVSESHPANHLIVTICTVGMKSQKTPVSQMVRTDPRNPSGRLHTYWHGQSSNLEKKSDLVSVRNVVRSRRNPKDAGDLSSRHELLMEIDSHCHPGLLEVIKNCTYVGLADEVFALIQHNTHLYLVNVVNVSKELMYQQALCRFGNFNAIQLSEPAPLQELLLMALKDDELIGDENDEEKLEIAEVNSKILKENSEMINEYFSIHVDQDGNLTRLPVVLDQYTPDMDRLPEFVLTMGNDVTWDDEKECFRTAAAAIGNFYALHPPILPNPSGSGVQLYKKNKDCMASGEHVDSTDEDDIDHELLVEAETAWSLREWTIQHVLFASMRLFLKPPKSMATDGTFVQVCSL >Sspon.08G0027640-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:49919457:49923521:-1 gene:Sspon.08G0027640-2D transcript:Sspon.08G0027640-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAGACPPPGLGFGGEYYSVVDGVCSRDGSFFGGKPVLTQAVGYAVVLGFGAFFALFTSFLSSNVAWQYGVSGPFWYASGATIQVLLFGVMAIEIKRKAPNAHTVCEIVRARWGGAAHAVFLVFCLITNVIVTAMLLLGGSAVANALTGINVYAASFLIPLGVVVYTLAGGLKATFLASYIHSVVVHVVLVVFIFLVYTSSHRLGSPRVVHDHLTAVASAARDCSAPLSHSDQACGPVHGNFKGSYLTMLSSGGLVFGIINIVGNFGTVFVDNGYWMSAIAARPSSTHKGYLLGGLVWFAVPFSLATSLGLGALALDLPITAAEADKGLVPPATATALMGKSGSVLLLTMLFMAVTSAGSAELVAVSSLCTYDIYRTYINPDASGDQILRVSRAAVFTFGCLMGVLAVILNLVGISLGWMYLAMGVIIGSAVIPIALLLLWRKANALGAILGAVAGCVLGVTVWLSVAKVQYGRVDLDSTGRNSPMLAGNLVSILVGGAVHAACSLAWPQNYDWESSRQITTVESVATDSDLAEELKEERLVHAKRWIIKWGVAFTAVIAVVWPVLSLPAGRYNAGYFTLWAVIAIAWGTVGSAVIIFLPLVESWDTICKVCQGMFTNDAVYERLDEMNLRLKAIMVATPEAGERYQEMQKEKGLGAMEMVHPASGTRPSM >Sspon.07G0022710-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:6738810:6740386:1 gene:Sspon.07G0022710-2C transcript:Sspon.07G0022710-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLGHTANGKKSSKFEDSDSRMSRPRAVSELPQRPAQRLKPPPPPSGSEASGGTPRRALGVRVTAAPRSPLHEARMFFKKPAGASGGGAGAGTRVAELEAKLERAHGQLHGMREQLVAAEKARKDSRAALVEAKKRLAAKKKDDHLAATEPVEHDGGKEPASSAPKASAGVDELDNEEKGYVTVVVPRESVNNEDPPVVEEGNKTSDGEEASNVVDDDDDGNNNKKGSPEVEMLRAKLMAKDMEVYELRAKLMVIDTEVDDLRAKVMAKSTELDELKAALMATNELVDKLTANLLVKDAEIAALEADNADLTKMAEDAAEAAKSTAARALQTEHALRESAAREARLAERLRASEHARDALEADAQRSRVQSEQWRKAAEEAAAVLAGSGGAVDNGAYDKRRRHWSGSACAGGGSDSKAVAKDDDEDGTSGKRKAGGAVRALSDLWKKKAQK >Sspon.07G0010920-1P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7C:42064539:42068634:1 gene:Sspon.07G0010920-1P transcript:Sspon.07G0010920-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARNVIALLGLLALASVVRTASGAGGHDYASALKKTILYFEAQRSGVLPPNQRVTWRGNSGLFDGKANGVDLVGGYYDAGDNVKFGLPMAFTVTMMSWGILEYGRQMAAAGELRNAMDAVKWGTDYFIKAHPEPEVLYGEVGDGDTDHSCWQRPEDMSTSRQAFRIDPQNPGSDLAGETAAAMAAASLVFRNTYPGYANLLLEHAKQLFQFADKYRGKYDASITVARNYYGSFSGYGDELLWAAAWLYEATEEGCYLEYLARNGDALGGTGWSINQFGWDVKYPGVQVLAAKFLLQGRAGAHAAALQRYRQNAEFFVCSCVGKGAVNVPRTPGGVMYHQRWNNLQFVTSASFLLTVYADYATALPGSGGAVRCPAGAARPFEILAFVRSQVNYILGDNPRGTSYMVGYGGSFPRQVHHRGASIVSVRTDPSFVSCQEGYSAWYPRQAGNPNVLEGAIVGGPDEYDDFADERNNYEQTEAATYNSAPLLGVLARLAGACGTGFEEYQLSPPEAAANQTSSPSRRRPRRHAPLPSSPIEIEQNVTRTWARRRTTYNRYSVTVTNRSRKTVRELHLGVSELRGRLWGLDKARYGYVPPKWLPALRAVRTQHLPFTAGPPAANNLKGPYLPDTSPRLTPPVRWFQKVADDFCYFGSHRIPSFPPPPPPVTTPSPVPTTSMAAAASGSATGGGARLVDRCIDAAARGPATVEAWRRQRRSLERLPAPLADALFRRLAARRLLFPSLLDLWLFAIFRLASPLPVPHLYPCLLPLRAALPAMAIATESRGSEDKASNANEKRWVLSGAVWESSSFGLSEENHSQPAKCQLIQMQAFLGEAFSVTWAVGIERGESRGATATEALQYPRRCSRLERGRGPAARAVRSAGNAGRGASPTAGKREGFSF >Sspon.03G0042030-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:49410852:49412551:1 gene:Sspon.03G0042030-1C transcript:Sspon.03G0042030-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAESPLDEPFLVPGEDEEQRGSGGTDDVANMEAQLLLHQDTGASFWRSCLNLSNVISGVGLLSVPYALAQGGWLSLALFAVVGAVCYYTGELIARCMRAGGGGDAVRSYPDIGQLAFGRPGRKAIGAVMYAELYLVAISFLILEGDNLDKLLPGTAVGLPGDYVLRGKQLFRLVAAVVILPTTWLRDLSVLAYGRRARRVLLASSVLCSLNYGLTAVLGYMIYGDDVKSLVTLSLPSGKVYTRIAILTTLITPLAKYALVIQPITTGIEEKLSSSRGSLARAAISTAVLVSTVVAACTVPFFGYLMSFIGSSLNVTVAVLFPCLSYLRIGGVRRAEAAGIVAILVVGVCVAVLGTYTSLHQI >Sspon.06G0012270-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:65300664:65302358:-1 gene:Sspon.06G0012270-1A transcript:Sspon.06G0012270-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHNVAIRLRKEGGIEGYGDMVSGIVLLHPYFWGKEPLGAEPMDPGYRAMFYPTWEFICGGKFGLDHSYINPMASPEEWRQLGSRCVLVTTAEQCWFVERARAYAERIKCGWDGELEFYETKGEAHVFFLPKHGSDNAVVAEFDEDLNGVHVEQRDESKVARQRWVEILSSGHHKGDGEERHEMEGQW >Sspon.08G0026490-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8D:20206961:20208880:1 gene:Sspon.08G0026490-2D transcript:Sspon.08G0026490-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAAEGDARKGVPSLLSSQPGEECIASKSNITQMIGWTPLVEMNNIAKREGIEARLVGKMETYQPLCSVEDRNALRMIEDAEERGLISPGVTTLIEPTSGNLGIGLVFIAAQKGYRFIAVMPAKYSLDKQMLLRFLGAEVVLTGPEIWKDTAGKVDVFVASVGSGGTLTGVGRYLKMKNPSVNIVCVEPAESAVISGGAPGKHQIQGTGPGFIPKVLDTSIIDEVVTVSTEKAMVMARRLAKEGLLVGISSGANVAACLKIAGREENKGKMIVTMFLSGGE >Sspon.04G0004680-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4B:9108333:9109649:-1 gene:Sspon.04G0004680-2B transcript:Sspon.04G0004680-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RALQKANCYHKEGHDAPCSVGGDVYYMVLFGLAQVVLSQIPDFHEMAGLSIFAAVMSFTYALVGVGLGVAKVIANGVIMGGIGGIPQVSTTQKVWRVSQALGDILFAYPFSLVLLEIEDTLRSPPPETETMKKATRASIGITSLFYLCCGCFGYAAFGDATPGNLLTGFGFYEPYWLIDLANLCIVYTQPVFAFLDRKFGGGATVVVEAPLLGTRRVDVFRLCIRTAYVAATTALAVWFPYFNQIIGLLGAFTFWPLAVHFPVEMYLTRNKVAPWTNQWLAIHAFSLVCLLICAFASVGSAVGVFGSETS >Sspon.03G0044210-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:90663331:90663808:1 gene:Sspon.03G0044210-1C transcript:Sspon.03G0044210-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGARRDCSGGPPPRISRRAPRSRRRPPRAPRAHRRGRHGHAAGAGCALALAHDAIVMRASRGFLYMSEVDAGIKIDFVGEVLRQKVPDAVTRRDLRPCRGIVDAAVDGGVEDVVAAAVANIRKATWPVLWSWSKVEDYGGEAPARPRL >Sspon.01G0001210-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:2752099:2752755:1 gene:Sspon.01G0001210-2B transcript:Sspon.01G0001210-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYPTLRWLAWRLLTKAPVLICLILMQVGGNAYQVPAGRRDGNVSSAQEAGANLPPPTASVSQLNQVFGAKGLSQSDMVALSGAHTVGAARCSSFDGRLYSYGPSGAGQDPSMDPAYLAALTQQCPQVQGSDPAVPMDPVTPTTFDTNYYANLVAKRGLLASDQALLADPTTAAQVVGYTNSPATFQTDFVAAMLKMGAIEVLTGTAGTIRTNCRMAS >Sspon.05G0009000-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:5A:26330985:26331248:-1 gene:Sspon.05G0009000-1A transcript:Sspon.05G0009000-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSRQPGRVGGLTTRGRRDAVAGGGGWHGDLSGWRGGGVAPGLPSPVADSPSRVLGFSRVCDSILQHFGRSREKRKAKSLLLGSMP >Sspon.02G0031900-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:103278324:103281694:1 gene:Sspon.02G0031900-1P transcript:Sspon.02G0031900-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQTVVLKVGMSCQGCVGAVKRVLGKMEGVESFDVDIKEQKVTVKGNVTPDAVLQTVSKTGKKTEFWEAEPVTSESAAAPADATTA >Sspon.02G0056410-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2D:26059795:26060062:-1 gene:Sspon.02G0056410-1D transcript:Sspon.02G0056410-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding CPPTDTPKVCGLSTGAGSWCAAATARPAPARATTSMTPCTGAARRPREGVCGVGMVRERGETATAAVVCRTDTSRWNRARTAVWLLKN >Sspon.02G0027020-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:93358726:93360458:1 gene:Sspon.02G0027020-2B transcript:Sspon.02G0027020-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLLPSAVAAVAITAAVLVAGLPAAWAASPAADDAPPYRNHTVGGTDGWFFNVKTNATSGNYSDWAAGETFYLGDYLIFKTNDNSSVVQTNNATTYALCDASEDLEQTTSIYGGGGGGGGGLEQNSTIAVALTFEGTNYFFSEADGGVQCQQGMRFEIKVEHGQGLPPSLEHPPPAPKGRVLAPPPAGTAFSGTGGVEPGDGAGDNGGAGRNGACRAAAAGGQFLGLAIVVSLAVLVAA >Sspon.01G0024280-3C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1C:85489484:85491607:1 gene:Sspon.01G0024280-3C transcript:Sspon.01G0024280-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYDQSAFQMDYVGVGAGAGVGVSASRRRFMPSESLARGVITHGSAQLRTIGRSIRAGATMAAVFQEDLKNTSRRIFDPQDPVLVRLNRAFLISCIVAIAVDPMFFYLPMVTDEGNLCVGIDRWLAVSTTVVRSVVDLFFVGRIALQFRTAYIKPSSRVFGRGELVIDTALIARRYMRRFFSADLASVLPLPQVVIWKFLHRSKGTAVLDTKNSLLFIVFIQYVPRVVRIYPISSELKRTSGVFAETAYAGAAYYLLWYMLASHIVGAFWYLLSIERVSDCWRNACDEFPGCNQIYMYCGNDRHLGFLEWRTITRQVINETCEPKQDGSIPFNYGIYSPAVTSDVLKTKDTTSKLLFCLWWGLANLSTLGQGLKTSIYTGEALFSIALAIFGLILMAMLIGNIQTYLQSLTVRLEEMRVKQRDSEQWMHHRLLPPELRERVRRYDQYKWLNTHGVDEEALVQNLPKDLRRDIKRHLCLGLVRRVPLFANMDERLLDAICERLKPSLCTERTYITREGDPVDQMVFIIRGSLESITTDGGRTGFYNRSLLEEGDFCGEELLTWALDPKAGACLPSSTRTVMALSEVEAFALHAEELKFVAGQFRRMHSKAVQHTFRFYSQQWRTWAATYIQAAWRRHLKRRAAELRRREDEEMEEDEGKSNRIRTTILVSRFAANAMRGVHRQRSRRAVPELLMPMPKPREPDFRDDY >Sspon.03G0004890-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3A:13880474:13880957:1 gene:Sspon.03G0004890-1A transcript:Sspon.03G0004890-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding APLAKKAKRGRKNQYRGIRQRPWGKWAAEIRDPVKGVRVWLGTYPTAEAAARAYDRAARRIRGAKAKVNFPNDTSPTVTGPTAPASGAAAAAQAPAVLPAPKVEAQTQVSDEVKELSEQLMAYENYMNFLGIPYMEGGNAAAGATTAAAAAAAVAVAEEAQ >Sspon.07G0029630-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:6749400:6752146:1 gene:Sspon.07G0029630-2C transcript:Sspon.07G0029630-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RLSQQSGAAAAEASSPPPPPRRRPTEDIPALRRRLLGLIRDFYIDAISRLPTADLRTTLARGLLVGGHCYGPLHPVDNIIANSVWYNAAFPLRPEDRVEVDVICTQGTDRAARRSLDGLVACLLHICPFLSPTNALWQLVRSRADLRVAVGVASASASASAGSASVQCARPSSVLRSTEREVVKAAFQMAAEAARYPNPAAFALFASSVLPDVEPDVSRLLASKRSLSSLDIRRLSSALLHYPLPSELSSHLSSPDPSPKVSQFISDNKRGATKWCNWLLEIAEAALCKFTSETGLHCELHTIYGDSLLDDERFNKYFHINFMVQHCSERRIRALVLVQDWDVAYFSLLKDLFTTTFVKRISPFAAWLNLHHRMLFESSFGQYQRLLCCQLRS >Sspon.01G0024170-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:84638593:84640422:1 gene:Sspon.01G0024170-2D transcript:Sspon.01G0024170-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGLAPPTSVSIHRRHLPAGSSRARASNSVRFSPRAVSSVPHATAPAECLQGPFHKPGAADLPAPSKKPAAAIAVPRHAVAPRTSGKKQLNFFQRAAAAALDAFEEGFVANVLERPHGLPSTADPAVQIAGNFAPVGERPPVRELPVSGRIPPFISGVYARNGANPCFDPVAGHHLFDGDGMVHALRIRKGVAESYACRFTETARLTQERAIGRPVFPKAIGELHGHSGIARLALFYARAACGLVDPSAGTGVANAGLVYFNGRLLAMSEDDLPYHVRVADDGDLETVGRYDFDGQLGCAMIAHPKLDPVTGELHALSYDVIKKPYLKYFYFRPDGTKSDDVEIPLDQPTMIHDFAITENFVVVPDHQVVFKLQEMLRGGSPVVLDKEKTSRFGVLPKHAADASEMVWVDVPDCFCFHLWNAWEDEATGEVVVIGSCMTPADSIFNESDERLESVLTEIRLDTRTGRSTRRAVLPPSQQVNLEVGMVNRNLLGRKTRYAYLAVAEPWPKVSGFAKVDLATGELTKFEYGEGRFGGEPCFVPMDPAAAHPRGEDDGYVLTFVHDERAGTSELLVVNATDMRLEATVQLPSRVPFGFHGTFITGKELEAQA >Sspon.01G0019440-1P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2B:89579349:89580218:-1 gene:Sspon.01G0019440-1P transcript:Sspon.01G0019440-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDLGVLHHFLGVTVEPRPLGLFLHQRQFTCDILERAGMTACQSCSTPVDTQGKLSEAGGPMLGPADSTAYRSLAGALQYLTFTRSDITYAIQQLCLYMHAPREPHLAAMKRLLRYLRGTLGYGLLLGRSSIAELVVYTDADWAGCPDTRRSTSGYAVFLGGNLVSWSSKRQPVVSRSSAEAEYRAVANGVAEAAWLRQLLAELHRPLARSTLVYCDNVSAVYLSTNPVQHQRTKHVEIDLHFVRDWVAIGDVRVLHVPTTSQFADIFTKGLPSSTFSEFRSSLNVSSG >Sspon.07G0000800-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:10875137:10880194:-1 gene:Sspon.07G0000800-2B transcript:Sspon.07G0000800-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSTSLSEAEAGITCFASSLPGFRGVLKHRYADFIVHEVARDGALVQLTSFDLPTECVDVNEEDKAAPSAEADHSQALESFRSLCGDADCNALRGLLERVSVGGDSDVSPVILSPDADKAHRSEVHNFFKKTFKFLVTDTVEHSDGSRGASGCGWGQEQVVEGVVAAAGEEGNGRTWVVLIGEMTGHLIVEGRVTGQIMFHLYKENKDTQEALGVIGKMLGLQPRSFGFAGTKDKRAVTTQQARIPQVYNSRVTVFKVQASRLAALNNRLFGIKVGNFWYVISIILFYVKEGLVLGQLSGNRFTITLRGVIAESEDMIKNAVDGLGKNGFINYYGIISSSSKPITLLFLKLIEFIYFICRFGSGSVPTHLVGAALLRGEWKAARDDINEVRKRYKEHGDIDMALQNFPRHLVAERAILQCLKKCPGNHLQALKGIPRTLRMMYVHSYQSYLWNHAASMRVEKYGISQVVEGDLVYNKECPPEESTSVDILETDDGHTNSIEIDLCSEAQPEESIQSVKIVDSGDLLKGIYTFDDVVLPLPGSQALFPGNEVAEIYHEMARKDGISLTENAHGVNLVCLFSYVTSYPMPLMQPSFVREFSITSMKGGYRRVFQRPIGFEWYDKLEKAPDTSIPTNENSSQENKLIGSPDTLSKKLALKLAFTLPASCYATMTIRELLKTSTS >Sspon.08G0011030-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:48379472:48401921:1 gene:Sspon.08G0011030-1A transcript:Sspon.08G0011030-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNFLRKLHIGDSAAGDGASSPSAPPAPPTKKGGGIEHRHASGLSGWLSSVTGRPPHPPPPPPPPVSAATAAAAAPEVGVEESALASSVVEERRAAEEDEERARRESRKEAEQERRREAETEKKEKQEAELEDYHMQLALEMSVREDPEAMQIEVAKQISLGSCPIQSSPAEVVAFRYWSFNALSYDDKILDGFYDICATGDELALSTIPSLMELQALPFSHGVKTDVVLVNRALDSDLVALEQKAFIMSLEFRSQESEFVGHSLVQTLANLVSNYMGGPVIDPESMLLKYQNMSSALKADIRSVVIPLGQLTVGLARHRALLFKVLADSLAVPCRLVKGRQYTGSDDGALNIVKFNDGRECIVDLMIDPGTLISSDGADLGRELEDSLVIDNQHVNKDDTSTQLVSSFSEASSSMHGSFGNESLEKGFICNAGHFGPYGAITGQSDNDVLVSGVSSSFEELSVSTYASENVPIIHESNTDHTMTAKGKDKSITSNNSSSSSPPSSEMGSTPAVRRKKVKDVSEYMISAAKENPQIAEKIHAVLLESGVVPPPDLFSEESKEQPKDLIVYDTSMFQTKDEMIRTMNELESTAHDGCAGLGPSLPHHPGHELQTKVVPYRPPPDLKPVQGLGVYHQFDFRDNVKPIPLYEPFAPQENPLQLIKQMPVTAAAVATAAVVASSMLETNHGQDNALEHEKDSVQAPQEAERISDRSTGTESARSEIALDEIAEFEIQWEELTLGERVGLGSFGEVYRGEWHGTEVAVKKFLQQDISSDALEEFRTEVGIMRRLRHPNVVLFMGAVTRVPHLSIVTEFLPRGSLFRLIHRPNNQLDEKRRLRMALDVARGMNYLHNCTPVIVHRDLKSPNLLVDKNWVVKVCDFGLSRLKHSTFLSSRSTAGTAEWMAPEVLRNEPSDEKCDVFSYGVILWELCTLLQPWEGMNPMQVVGAVGFQQRRLDIPGAVDPAVAEIIKRCWQTSKDETVIFRDHGFSETIVKKTCLPTNLIGSGHSKQMIKDIVVSIS >Sspon.07G0007060-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:14315123:14316924:1 gene:Sspon.07G0007060-1P transcript:Sspon.07G0007060-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALGGATPERQEPRRYSGRITAFVVLSCVAAGMGGVIFGYDTGVSGGVSSMDAFLQRFFPEVYRRMKGGGERVSNYCRFDSQLLTAFTSSLYVAGLVSTFFASSVTARCGRCPSMVVAGVAIIAGAGIGGSAVHISMLILGRVLLGVGLGFGNQAVPLYLSEMAPPLRRGAFSNGFQLCVGLGSLAAQLVNFGTEKIKGGWGWRVSLAIAAVPAVFLAVGALFLPETPNSLVQQGKDRDEVRDLLRKIRGGGTDSNCVEDEVDDMVAAVRSSSKVTAWRGLKMMVSKRRYRPQLVMAVVIPFFQQVTGINAIAFYAPVLLRTVGVGESAALLAVVAKQTVGVGATLASMFAVDRFGRRTLFLAGGSQMLVSQVLIGGIMASQLGDDGEVSKACAVALITLIAVYQAGFGWSPAGVAGPQRDLPAGGEVGRAEHRGGGELSADDGRGTVVPGHAVPYEGRHLLLLRGVVGDHDRLRLPLPAGDPKACQSSRSRGCGRTTGSGRGSLLESRDKSSEAEEHSTVAERRKQNTDIKADTAES >Sspon.08G0010910-2P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8C:45450956:45452385:1 gene:Sspon.08G0010910-2P transcript:Sspon.08G0010910-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGAHEPLHPHKQMKTSSSSSKGGNHGYFVPRPVCAWLVCGFVALALLHVLCCTPPGTQEAVLSPLLQYVDDTYNFVSSGPESCNYTEGRWVYAPGHARRYNGTECDVKDSHNCIRNGRPDTGYLDWRWQPAGCRLPSFSARAFLSAVRGKHVAFVGDSMSRNQAQSLVCLLGAAAPHRVVYRDADPHKFNLWRWAFPTHDVTVSFYWAPFIARATGKALNDSLPQNMNHVHLDALDDRWAATPTAWTSCARIGHHGHQELSPEEDIGYAWPMKVAYRMALDRLMTTSAGSGTGGGRAAPRTVVIATFSPGHFEGNTLTTMCPRREPYKEGEKEPRHLDMELVGLVYEEVEAARTRNGGGGAAGGGAGARVEVLDVTKLAVMRPDGHPGLYMHRDPFAHGGPQPWMSSDCVHFCLPGPVDTFNEILQQILRTKR >Sspon.08G0000590-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:2042013:2047912:1 gene:Sspon.08G0000590-1A transcript:Sspon.08G0000590-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAPRPRGRDDDDDKEEEPGADVCHTLNLVPTSQDVRYMDPPWYAMTGGGPGPARGSDSSDLDVVLLPDWEVLVLLRPDDDDAPSSNATCAFPSGARMPASGRRAYTCAMPRPERRHSRPFRAPRIVVATLSSSAADGEDAAPAAAAARSLTPEMMRWSGRLVYDSAVLDSAGDVLGVNRDAADVQCIYYRRSSAAIGNGDREVVASLPAATSAQQVFRCPPPPSTAATAASPTEAQQLRVTLAVAGEDPIPSVATYNPEPAKTTATTTTKKKLICACTMVRDVAKFLREWVVYHAAVGVDRFYLYDNGSGDDLDGQVRQLNAEGFHVSTHAWPWPKTQEAGFSYAAAAHLDSCEWMAFVDVDEFIFSLDWAGSGEPTKSMLWSVVTAIEPDVGQVTLGCKDFAPQGGSHPRVHVSEASRGASQVAHQVRLRGPSLMNFVHHFELWPEFKVKHYKYQAWDEFKVKFRRRVSTYVNHGSKDSTPGLGFKAVEPGRWPHKFCEVEDTLLRDVTRRWFGVGFTDKLAHHRPVGGTTHSSS >Sspon.03G0032110-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:34885159:34889909:-1 gene:Sspon.03G0032110-1B transcript:Sspon.03G0032110-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGPSPSTKGQASLMKVVRRSNVGAKLASSNSDGSPKRPVKVLVIDDKAGCGVCIGGDAFPKPVEKIGEGVRSSISVPMPCDGIGEGGATSVPIPKIGKGVGSSISIPMPCDGIGAGGATSVPIPKMCAGGGRPKEAVKVGVSGGDGSLEHILNIGCSRLRVDHLGQLYVLESKDEGIDMEAGLYAPDSEEDGGGHGCRRRQLHRRARLAAADVGGYKRGCEVVASMDRKMAEKMTMVSNRAVEVGTVADLAPEVATAVDVPMGAIAQTDCQLCV >Sspon.06G0006390-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:21236409:21241388:1 gene:Sspon.06G0006390-1P transcript:Sspon.06G0006390-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding TTMAAVAPPPDNLDPPPATPPTAAPAATLTPPPAATSTPPNPATPGPAAPSPNPNLPPAPGPAPASTPPAAVAPPMPPAPVSFAATFRPLGAPAPPPPHQVPQYGAVPPNPGYPMQPPGVPVVMPPGAVRPPAMYGPPQPGAYMPQQGAAVPPAGMPRYAPYPMVRPGFVPRPMPPPGVVAIQRPPMISGIRVIPPLVAPSARPPAPAVTLADKPPTAVYVGKIAPTVDNDFLLSLVQLCGPVKSWKRAQDPSNGKPKGFGFCEFESAEGILRATRLLNKLSIDGQEYQININDATKEYLKKHVEEKKRAQEKAKEAEDASGDGTTAVAENESSKPVPDESDKETGDAGDKDNEENTKKFGIVTDEDSQADKDVAEKISNMIEEWLKTRPPPPPPPPPVQPSADSSGVDKAIADYNDKNDADTDKRAVNETERSETASPDRRKDREHDKDKRDKDLERHDRERERERVRRDREKDHKHREADRLYRDRLKEWESREREKEYQRYSEKEKERDREHNRRREILKQEDDSDEEDNRKRKRRSSSSLEDRKRRRQREKEEDLADKIREEEEIAEARRRAVELQRQADEAAAAAAAAAEESATLMEVDGGDEKETDAQNKPAVVEADNILSFANGVGPGGLGKENNGEETSMAPGQLADTKQNSNAPAKKLGFGLIGLGKRTSVPSVFAEEDDENNVDKSIRPLVPIDYSNEELQAVQANSSTGANVAAAAEFAKRISVSNSKEEKADAEKDRNRRSSEGRPSDERREKLHDREKDKPKSENKKILDAKQLIDMIPRTKEELFAYDINWAIYVQHELHERMRPWISKKIIEFLGEEESTLVDYIVSCTKDHVQAEKMLDLLQSILDVEAEMFVLKMWRMLIFEIKKVESGLSGR >Sspon.02G0004240-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:12984417:12987253:1 gene:Sspon.02G0004240-1A transcript:Sspon.02G0004240-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDAPNAAAEGSQPAPPRGIRRRRPAGGYRGRQGRRGAAPRTRDAVVRRLVQTLAAPSVLSQRYGAVPEPEAERAAAAVEAEAFAAASKSAAAESPASVEEGIEVLQAYSKEVSRRLLELAKSRSAAAAAAPAEGSAKEEPEEDSSATAAATEEAANPDSMFTI >Sspon.01G0003320-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:9099617:9105231:1 gene:Sspon.01G0003320-3C transcript:Sspon.01G0003320-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPESECGGSDGYRDLRGVRVELDPGAARVGGGGGFAVSFWLYLSSSARPSSVILHQITAGDGNKLPFLALGEGNKLLLFPLMRLHREAPGSGSSYPWTDTTYLSSTSQCPLEKWFHIGCEVTENVMRLLIDGSLTAEAPLCSLFDGPDHQDDANQISLASCRRNFILEVVLTNAFGEPAKDKEVVASLVYADDGTVVAKSRDDSEPPLLITCEGLEYAAISRPLSIIRGRALFKLKISQLSSKCDNKLFRIQFSTLHMQRYPFLEAYSKPIRCISRSRTVRPLGPGKRASSATADETDLLDTGQGFVSADKVNGREHSVCLRTPMFSKIEGGMLKVVEAHKMVSQNKNARKVVVSKEAQNVMGTDSSTSNYDSFDSGSSWSGSDGDDGIETFSDAVVFRYCLDSTYDRSKFLRGAAPTVNKDDLVKLADQVSLYSGCSHHRNQILMSKRLLREGADTWNMISKNNERALWSSAIPEIITKFMNIAHSVSRGLSEQDLEVLRGIAGCGEDIGRDEFDRLWYWLYPVAVSLSRERIKKLWDCTTPRWIEGLITIEEAENALRSSRELLKEPGTFVLRFPTTRIWPHPDAGSLVVTYIGSDNSIHHRLLSLDSSDASAENLQDLLLQEPELSQLGRVDRLPTAILR >Sspon.03G0022230-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:67773158:67775232:-1 gene:Sspon.03G0022230-1A transcript:Sspon.03G0022230-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AMLRRMVPDPAPDSSGSGGGGDARPRVGVGRGAALFAVPRLFVGFAAKRAPPDAESSRSPTSPLDPKALLLRSPRMTWGAPGLVDALAADAAAANCLLSPRLRLIRPHSSPPKDCGGPGGSGGGGHSQPELGKTMSCPAPDTTAAGGGGMSVPCSRLQFRHGDLKSGPEATRSESDAGAHLVISNASKRHSFDLGKLPGPGSLPAAASTAARRFVGSVSASEIEQSEDYTRIIARGPNPKTTHIFGDCILEPRTVGGGDDEAVAMESEEGAAGCCYFVVKSAAGADDFLSSCFACKKKLEGNDIYIYSGEKAFCSANCRDQEIQLEEEAENNTGSASPRSSCSSFHDDIFMAGMVVST >Sspon.03G0012460-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:51075236:51093382:-1 gene:Sspon.03G0012460-3C transcript:Sspon.03G0012460-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPSYAAVKCLNTSSSSRKRFSFKSFSQRVEEIDIDVYRSLHTLKAEPSSGSSFFLDAIVEWRELNTAEDFISFYEEMIPLVQTLPQIILHREKLFSALLQRVNMSARLSLEPILIFLGRHASALLALLNDGGDRDPEILEQVFTSWSYIMMYLQKYLVKDTVQILRITAALRYFSKDYVREFMAESISFLLRNAPNNQLTQGLLKVLREAAKQPSPTRIDGVVSLLWHVMKGTSTKLHSKAGQVLKFLLSKSVVSTLRDKYPDDKVKMIKIVELLVSRYVLPGSNITEASSSEVLGSILDFLLSVLDVPTISGNLSIVSPFYAPVFKLTNPRLPSLEVTKEFDPQNLLDLFSVLAVNLSSPSKDVRILTLRILSYFVKMDQRLITDEERPHKRQRTEVSGEEAVAKYANFIAIHQSKGLTAKNQEKLEAATQPQSIVDCFNLYLAMDFDCTPAETMATLLLQSLQRIPDVAESRSRHLIPLFLNFMGYDDGSIFRVLDESDPDIQAKALDCLLNWKDEFLIPYSQNLKNLIDVKTLREELTTWAVSHDSSSILKDHRSRVVPLVIRVLAPKVRKLKLLGSRKFDSNELELFFSLLLRSLIPGSLQLKMFGSQSDNLLGNFTDIVGASTEICVENFTWKKANGFIHLVEEIFGTFDMAHISPFLNILLIIVARLLESCMRNLRSESDGKYPCNQSNDHDNDCSINSEVGKSVNVDECRKEMHLGDHMEQPHSAMQTSVSIKQLKDLRSSCIRIVSSALSHYESHEFGENFWASGSITTYALEFIENLLRLDIDLQQQDDHSVKKVLLHMDVLIHSLHDFVNHRKELNSKKDLNSDDCLEALCVVRGIIQNLRCKAGLIRDLNAVSASELGELDYDTRLNTYDKAGKRSKALSLFRQGIKENNFSEDITMKVFVPLFFNMFSDVKAGKGEQVRDICLDTLSAVAAKVQWEHYRTILKRCFRELNLRPDKQKIILRLICSVLDAFHFMKPANDASGNSDAMSEDTDSSLTFSLTTVSSDKQQYLQKVVFPQVQKLLGSDPERVNVNINLVALKILKLLPVDYFESQLSSIIHRICNFLKNRLESIRDEARSALAASVKELGIGYLQFVVKILRAILKRGFELHVLGYTLHYLLSKNVTADMYGRLDYCLEDLLAVVDSDLLGDVAEQKDVEKLASKMKETKKRMSFETLKLIAQSITFRTDLLKKIISPVSLHLQKQLTPKLKTRLEMMLHNIALGIECNPSTETSNLFIFVYCLIEDTITGSRSECKEITQSSPDQDSTVGKTFLGLGESGSQNSYILTKFALDLLRNRLKSIKLDKEDEQLVKMLDPFVDLLRNVTSELYANTLENCSYEHPSGREAVLEMLHDILTRFPQRIIDDQVALANEQHQNVSSMILRAIQKLLGRIGDQGKSSIFEYSLSWYTGEKKSLWSASAQVIGLLVGDHTLQTGKHLKSVLAVVKKIVESSVIASGAIQLGLSDEAVLPLWKEAYHSVAMMERLLLHFPELYFEQNMEKMGRIAMQMQDTQIKIVFNCFSMISSALGSDESLTYADHLLAPLYKVSEGFAGKVVSDEVKQLAQGIQNKLRDLIGSEKFVEVYKSVRMGLKQKRDGRKQAQKIVAAVDPERHAKRKQRIAAKHREHKRRKIMAMKIGRWMR >Sspon.07G0005520-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7A:14152918:14153399:-1 gene:Sspon.07G0005520-1A transcript:Sspon.07G0005520-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLKSPAHTTSSTRTHRAIGTSLSLSVHWLTPRPPQSTCPSSEISPPPSTSVAPTTHRPAYPMARLHWLEAVLPLGIIGGMLCIMGNAQYFIHKAAHGRPKHIGNDMWDVAMERRDKKLMEQSSGN >Sspon.07G0006710-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:12688212:12696880:-1 gene:Sspon.07G0006710-3C transcript:Sspon.07G0006710-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRAIIRRRKYFLDHVNTPIISSSAFSTFQHGRLGLEVEPRTEQRLLEHSSGDSKCEKEQHSMNLVKKDLLGLGNGFRQHPAYVISLSHGGIGKNELPLSLGARSLLQSVRTASTATAGQPKMDTDDEQSEDQMQNKKKKEASPEECDQAVEGLSTAKAKAKAKQVQESLKVDQSVIQKFWARILGVGPALRAVASMSRADWAAKLKHWKDEFVSTLQHYWLGTKLLWADVRISSRLLVKLAGGKSLSRRERQQLTRTTADIFRLVPFAVFIIVPFMEFLLPVFLKLFPNMLPSTFQDKMKEEEALKRKLKARMEYAKFLQDTAKEMAKEVQTSRSGETKQTAEDLDEFLNKVRRGERVSNDEILNFAKLFNDELTLDNMSRPRLVNMCKYMGIRPFGTDHYLRFMLRKKLQDIKNDDKLIQAEGVESLSEEELRQACRERGHLGLLSTEEMRQQLRDWLDLSLNHAVPSSLLILSRAFTVSGRMKPEEAVVATLSSLPDEVVDTVGTVLPSEDSVSERRRKLEFLEMQEELIKVFCGSSAVYLIENFHPLNVHLLVSLFKFHPVQEEEKKKEKEEKAKQKKEEEAKMKEPDTAEEDLALKEMTDATAREEEELRKAKEHDKEKLCNISRALAVLASASSVSKERQEFLSLVNKEIELYNSMLKKEGTEGEEEAKKAYIAAREEPDHDAEVAAEEKVSSALIEKVDAMLQKLEKEIDDVDAQIGNRWQLLDRDLDGKVTPEEVAAAAAYLKDTIGKEGVQELISNLSKDKEGKILVEDIVRLASQTEEHNDDEEEARQ >Sspon.04G0007080-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:16452732:16455346:1 gene:Sspon.04G0007080-2B transcript:Sspon.04G0007080-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAPAPTRHVHIEALQTALPTRAVEPGRARPVSVAAAAPLPAAALQRRSRVVLYYRAAAVADAPAALSQEEALLVKESLSEAVADHPEMAGRLRRRPDGSWDVKLNDAGVRLVLATVEATVDDFVGAGVGEEDRERREAALAPWTHVDADDPDMCALCFVQGDGGYAVGVSCSLMLCDPLSLARFLLSWARTHAAVKAQNKVAAIPMMQYAGYFQRPNTMTRRIKSVPLDAFAADAAVGTETVLFRASGAAHDHRALARACVDEASDRLGAAAKVTRLSLLVVARDGVGNNPRGMSVETCTADSLPVSGSSGNKPEAAQWQDLGLEEFALRESKPVHVSCSIVTGGDEEGLVVVMPDGKGFLVTVTVPK >Sspon.02G0016170-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:43101025:43116042:-1 gene:Sspon.02G0016170-2B transcript:Sspon.02G0016170-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSFLVLLLLITPVVVSQQDFLSIDCGVHANYSGYTDKFFGIVYVSDEPYTDAGENHRVAADRESSYGVRHQTLRSFPSGLRNCYAFPTVAGTKYLVRADFAYGNYDGKNSSSLEFDLHLGANLWETLYMGPVDTSSSGPYHIREAIFVAWAGWAPLCFVNTGRGTPFVNIVELRPLGAGLYPQVAPGLIMSMYWRQKMGEMYPDDPYGRYWWYGNAASNPLWVNELTTQPIEPDSIFAVPSIVLQTAVAAAGNGTALTAATWQDDTKLRFMVLLYFADFQNTQFRQFDIYLDENRLVPVRKSYSPSYLSSSSVYVESYRATDGKYSITLVRTNNSYGVKKNWMGDPCFPTKYAWDGVKCSNASGNTSRITSLDLSNSYLHGPISKNFTLLTALENLDLSYNNLSGSIPDSLPSLPSLQQFITKPRTLPIAFIVYRNVSGNQLSSDSACKNYTGSLIFRYDHDGSKCNTSSRSPPRNKAAIVAISVVVPVLVVLLVLLAYFVWREKRKANVYNNRLFLSHIVQPLSTRGSTRNPQPHNDAGCIQSHGDNLKKTENRRFTYKELEKFTNNFKKFIGQGGFGSVYYGHLEDNTEVAVKMRSESSSRGLDEFLAEVPNFSNIEKLKSHNQFANNICSVQNLTKVHHRNLVTLIGYCWEKDHLALVYEHMSQGSLFDHLRGKNGTAEALNWRTRVQIVLEAAQGLDYLHKGCNLPIVHRDVKTSNILLGQSVSGVFFLECSSYCFFRHYLTGRLTESSDVYSFGVVLLEVVTGEPPIVPGQGHIIQRVKQKIVIGDISSVADLRLGTAYDISSMWKVIETAMMCTADSATQRPTMATVVIQLKESLVLEEAREKDSSVRASRESDMEAK >Sspon.07G0022190-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7B:6872662:6873410:1 gene:Sspon.07G0022190-1B transcript:Sspon.07G0022190-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DPAEWIDSAAVQGLGTRTDIRLSVQLLLLMQSCPRCPVCVHGRALSIVPAARCQGTATDTDCGNQGQLRSVLRILNPMMSYIVQPRGEKRNVHTLLSYMGDEDDRSIHGSADRYARQAGPARKLLLLATFAASSSAEMSTSPAGMDRVDLVHASDAPWTGACRRAVHRTAQTDVAGRARHRRECTVVHATRACTWLGNSSN >Sspon.02G0045310-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:108715014:108733595:1 gene:Sspon.02G0045310-1B transcript:Sspon.02G0045310-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LPPPAGNDNDGGGPASSNSLIMAKVASNGAFQGENPLDFALPLIILQICLVLVVTRGLAYLLRPLRQPRVIAEIIVSALARRRRRLRRHPAWPVGAGPEHQVPEHRLPVGEHDGAGHAGEHRPALLPLPRGLELDIAAIRRTGRKALAISLSGIALPFALGVGTSFAFRATIVKDARQAPFLVFMGVALSITAFPVLARILTELKLLTTDLGRMALSAAAVDDVMAWILLALAIALSGSGSPIISLWVLLTAAAFVAAAFLLLKPVLAWMARQCREGEPIRELHVCATLGIVLAAGFATDVIGIHALFGGFVVGVVVPKDGPFAGMLIEKVEDLVSGLFLPLYFVSSGLKTNVATISGAKSWGLLVLVIANACLGKIGGAVTTALLVKIPVREAVTLGFLMNTKGLVELVVLNIGRDRKVLNDEAFAIMVLMALFTTFITTPIVMAVYKPARPSVPYKRRTVECAPGDDGELRVLACFHTSRSIPTLLNLVEASRGTGRRRLVMYAMHLVELSERSSAITMVQRARRNGVPFFNSADREGQMVVAFEAFQQLSSVRVRAMTAISDLDTIHRDVIDSAAGKRAAIVVMPYHKALQQDGSFQSLGSAYHAVNKRVLREAPCSVAVLVDRGLGGPAQVSAKNVSFSVAALFFGGPDDREALAYTTRMAEHPGVAVTLARFRPHSDEVSADDEAAVEAFKSKLGIVKDGSVHFEERQGYTKEEVLETINSLSKFNVFVVGRMPPTAPLVENPDELGPVGSYLASPEFRTSASVLVIKRYDPATNPKSKRFDPSARPPAATDEDVLDEEMGSRSTVVASHAHTHAHGRRSNRRPEMAANGTTTKCPGPMKATSQGAFQGENPLDYALPLAILQICLVVVVTRGLAYLLRPLRQPRVIAEIIGGILLGPSALGRSHKFLHAVFPAQSMTVLDTLANIGLLFFLFLVGLELDISAIRRTGKKALAIALAGISAPFALGIGTSFAFRATIVKGTPQGPFLVFMGVALSITAFPVLARILAELKLLTTDLGRMAMSAAAVNDVAAWILLALAIALSGDGSPIISLWVLLTAAGFVIAISLFLRPVLAWMARRSPEGEPVKEVYICATLTIVLGAGFVTDTIGIHALFGAFMVGVVVPKDGPFAGVLIEKVEDLISGLFLPLYFVSSGLKTDVATIKGAKSWGLLVLVIANACLGKIGGTVITSLLVKIPVREAVTLGFLMNTKGLVELIVLNIGRDRKVLNDEAFAILVLMALFTTFITTPIVMAIYKPARRTVPYKRRTVECAPGDADSELRVLACFHTSRHIPTLLNLVEASRGTARRRLTLYAMHLVELSERSSAISLVQRARSDGMPFFNGKEQRTEQVVVAFEAFQQLSSVRVRAMTAISDLDTIHRDVIDSAADKRAAIVVMPYHKALHHDGTFVSLGSAYHAINKRVLREAPCSVAILVDRGLGGHAQVSAKNVSFSVAALFFGGPDDREALAYATRMAEHPGVAVTLARFRPSRPQLLDEAESAADEAAVEAFKAKVGGVKDGSVRFEEPEAYTREQVVETIESLSGFNVFVVGRMPPAAPLVEKPDELGPVGSYLVSPDFRTSASVLVIKRYDPATNPKSKRFDPKARPPVATEEDTLDEEMGMGSSAVVPVVQC >Sspon.03G0017230-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:77911505:77912650:1 gene:Sspon.03G0017230-2B transcript:Sspon.03G0017230-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding EGVVSYACVHGVRRGVLPPQRRRAAGQHRAPPRQHRRHRRRARLPEGRDGDGGLPVHHGDLEPAAAPPGDAQGVRRPGHRPQPPCRRALRRHLLRRPDGRRALPHLRHRDGQLPHPHQGDGDGAAAGERLLVPADPQDGQVQARQEEAAANTAGQARRRQLM >Sspon.02G0033790-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:9862999:9870579:1 gene:Sspon.02G0033790-2C transcript:Sspon.02G0033790-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRGLPSSRRLLSQHAPPAPASRRRNRWPKGPVSRTFAFRPALFCFLYGDLGAAAGSPAALVRSRAAIGEWTARILLIAIHCWYMAMRANVKATTSYRHLNNRNLSVFNEFSKQLKGEASSNPEFQKSMKEFGEKLGVVKEDLKVRTRKTTETIYKGVDDVWKEAEETSKKVTANIKEKMFAAKEEVKESFGLGKEESTSCRDGSPEASKHEKTEASSHSDGTSEDATGSHTLFTKLKSTISSASPVVSGAFAKLKDTKVSNLAKQGYEIVKDELSSSSSRKRKHQARHASAKLEKSTRTDLVLTSTKKSVLGEKWEAFKNKIRGHPAYKRVDEYTKPVVTKGQEVAEDVRERWETSDNPVVQKIQDLNESLLEETSAAVTFREIRQRDLSFSLSDFVADVQETIKPVLTAYSKGDVETLKKYCTKEVIERCKGERQAYASQGIFFDHKILHISEADVLETKMFGSSPVIILRFQTQQIYCVRDREGQVTEGGQDTIQTVFYSWAMQLMDSDEVAEEESYYPVWRLREMQQAGIKALI >Sspon.01G0031170-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:12281273:12282129:-1 gene:Sspon.01G0031170-2B transcript:Sspon.01G0031170-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMEMEHAAVPALAVVDARFCAADAATLAVAKALSMSGSDFAVTEAATGALVLRVDGVLFSLRRRCVLVDADQRPVLTVQESALMLNTRWKVFRGDSTRRRDLMFTVVKPSVIQLRESTKVSVFLASNDAEQACDFRITGSYHDGACAVSLGDSDTIDRRFSMVSALLGKNSYSVTVNPGIDYAFVVALVVILDEMHFQP >Sspon.08G0004900-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:14270710:14272942:-1 gene:Sspon.08G0004900-1A transcript:Sspon.08G0004900-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:50S ribosomal protein L35, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G24090) UniProtKB/Swiss-Prot;Acc:Q8VZ55] MGSATAFRRPLRDWWAGHCYKQPTQRKPPPAARELARCYLCWPSVRSLSNSNPNPQTEAEAGHSREAMALSLSLARPAPLTVSAGAGARKLPAASLAFPAKSFFGAPLAATAASVASPLPRKPAASTSLEVVAAGKKGYKMKTHKASAKRFRVTGRGKIVRRCAGKQHLLAKKNTKRKKRLSKMVQVNKSDYDNVTGALPYLKVNRKAN >Sspon.07G0027530-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:62805011:62808019:-1 gene:Sspon.07G0027530-1P transcript:Sspon.07G0027530-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLATLGRSVLRFPNGSMRLVMVTIIGAVLGFFIGISFPSVSITKLHFPASFVSYIEDKNSGLTTQAILNHAWTSARNARGNGTEPTSNSTLKIYVPTNPKGAEGLAPGIVVSESDFHLRRLWGDPSEDLPFKPKYLVTFTVGVEQKENINRAVKKFSDNFAILLFHYDGRVSEWDEFEWSQRAIHISVRKQTKWWYAKRFLHPDIVAAYEYIFIWDEDLGVEHFNAEEYIKLVKKHNLAISQPGLEPDRGLTWQMTKRRGDSEVHKDTEERPGWCSDPHLPPCAAFVEIMAPVFSRDAWRCVWHMIQNDLVHGWGLDFALRKCVEGQSENGRAPWEGVRARCRKEWGMFQKRMAEAEKAYYKMMGITPPNSTLV >Sspon.05G0026910-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:5B:47984854:47989746:-1 gene:Sspon.05G0026910-1B transcript:Sspon.05G0026910-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDTSSGVSSAAAATALRDEALAAAEKLEAEAASLRSSNSERSQQLLDEAALLKSAAAAQERVRAAAASLETERAQAAALEQQAAALRNRIRAEALRTEDPSDDEDDRRSVTSEAAAIAHLHSQAAALQNIKNSIPIILDLQASNYSKWRGYILLVLGRFALRDHVLTDDVRLHDAAWARMDYVVVSWIFNTVSPDLLDVIHERDGVTARAAWLGLEQQFFNNRESRAMLLDAEFRQLCQGTLSVDEYCRKMKNMADCLADLGEPVQDRTLVLNVLRGLNERFQFMAQLITRQRPFPSFNDVRADLRLAELNMAPPPSPPAALVASTASRPPSSPAPSAPPPPRPQQPNGGQSGGNGNGRNRRRRGGRGQGGPSSNSGGQHSSGQQWPSIFNPWTGSIHMWPGPAPGGQRGPLPRVATPPAPQQALMAGAPPGYFPAPGHLYQAPQPASSPSWSPSTWTSESLANAFSTVALTPPASSSEWVIDSGASSHIASNPGMVTVSPSSSFPSSIVVGNGATLPVIGTGYSTLPGPFQLNNVLIAPDIIRNLLSVRKFTTDNSVSVEFDPFGISVKDLHSKSTLLRCNSSGPLYTLQLPSSSCSPCVLVATPSPTTWHRRLGHPGKAALQSLAQSSSIICSTPDDDSLCHACQLGRHVRLPFTSSLSRAAKNFDLVHCDLWTSPVTSVSGFKYYLVILDDCSHFLWTFPLRLKSDAFSTISNFFSHVYTQFGCTIKAVQCDNGREFDNAASRAFFLAKGVNLRMSCPYTSQQNGKAERMLRTTNNVIRTLLFQASMPPAYWADALATATLLLNRLPTKTLHMSTPYFALHGTLPSYHDLRAFGCTCYPNLTATATHKLAPRSTLCVFIGYSPDHKGYRCLDLATNRVIISRHVIFDESTFPFSLRRPSPPSRELDFLTNDDPLPVMPLPAGPLVPRSPPPGFPALPPRSVLVPGPAPTAAPAASPTPTAPVAPSAPAASSTPTTTAVPVPAAPSAPAASSAVPSAPAASPAPAPSVPASPAPPVPAAPAAPSFNKPPIVHVYVRRPPTTGSSTEPVAGAPPRRSSIVPSPPRFVKHDPPVPPTAVPIPPVANSHGMATRGKMGFRQPRLALHTEALSPVPRSCRDALTNPHWRRAMEEEHAALLDNHTWDLVPRPAKANVVTGKWLFKHKFHADGSLERYKARWVLRGFTQRPGVDYDETFSPVVKPATIRTVLTLAHTWDWPIHQLDVKNAFLHGTLSETVYCSQPTGFADPSHPDYVCKLNKSLYGLKQAPRAWYSRFAAYLLTLGFREAKSDTSLFIFRHGSDTVYLLLYVDDIVLTASSQQLLHRVIAALKREFAMKDLGPLHHFLGIAVQRHSGTLTLSQRQYTLEILDRHGMSGCNTCSTPVDTCAKVSADAGPPVADPTAYRSLAGALQYLTFTRPDIAYAVQQICLHMHDPREAHLTAAKRILRYLQGTLGFGLVIPRSAPSQLVIYTDADWAGCPDTRRSTSGYAVFLGGSLISWSSKRQPTVSRSSAEAEYRAVANGVAEASWLHQLLQELHHPLKTASLVYCDNVSAVYLSTNPVQHQRTKHVEIDLHFVRERVAIGALRVLHVPTTSQYADIFTKGLPSSVFTEFRSSLNVRSTDASTAGGC >Sspon.05G0008120-4D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:5D:26890053:26890661:1 gene:Sspon.05G0008120-4D transcript:Sspon.05G0008120-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPDDYWSAVLVVLVPVVVVAVCVIFIIMWCPWRRMRLFTLGGVTTLTRTLNYTCTMCQDSMEAGEKVRTLSCDHAFHCGGNARCERDIDQWLLTAEVMACPLCRKSPYPVLPWKQPPPSSPAPSPSASEPALQQLPRTSSTQDLEKALLLPANDETLAEASSSASAPPLAQTQLPRTSTPDLAGVLPLPADDEILPEASHR >Sspon.08G0003590-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:9541351:9542470:1 gene:Sspon.08G0003590-1A transcript:Sspon.08G0003590-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEPARTTTPPSSKSPRTPKRSESLKKPIFEGSRSSSSRWFTGKEPVDDDYQDEQGANVSVNRPLRPAQKKPAEGAVSDERPPASTDPAPRVRKDPSFTRKPSEKRGSRRFEQDQGNQMAPPPPPTTARPMDKTSSSYSAAGRESGGAATTSGGGVAFSSENEAMAHAWEKEKLAKIKKQYNETMQTIAEWEADKKAKARRQKELKDESESERKRAKALEEYNEEMARINKVAAASRLTAEEKRRSAERKARDKAHTIRSTGKLPGRCGCF >Sspon.01G0006170-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1A:16043270:16043840:1 gene:Sspon.01G0006170-1A transcript:Sspon.01G0006170-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNHLEKLQNIYCGLIRKPFSSVRTGWIGDVIPDPPSSVLARHVRPCRVVDAKRYLSVTPSTSRERIAAAPRVPRRVPVPSSASLKIPPLFAASSLSLSSHLLLSALVFTPSPAPAAAKLLPSPAPGSGRRRPGKSARSFSLPLLMVWIWAVDGFQIQRGVSKIAEIRGGF >Sspon.04G0015620-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4A:58278006:58278187:1 gene:Sspon.04G0015620-1A transcript:Sspon.04G0015620-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVSVGTEEDRAGRRTKASSLKATCALSRRRAVSELVVLGAVALSMPAQAAMLEPDIIR >Sspon.01G0008840-4P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:3936339:3937601:1 gene:Sspon.01G0008840-4P transcript:Sspon.01G0008840-4P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AMASEVSSETNQQGGGEEETRLDLPPGFRFHPTDEEVVSHYLTHKALNSSFSCVVIADVDLNKIEPWDLPGKAKMGEKEWYFFCHKDRKYPTGLRTNRATASGYWKATGKDKEIFRGHRVLVGMKKTLVFYTGRAPRGGKTPQDEWAVCKVFNKDLAAKAAQMAPLHAVGGGMERSDSLAFLDDLVLDNADLPPLIDSPYADAGLIVDYNKSAAGGASSFSFAAAGTNDSGGYQVVKAEPQPQLPAASNNPVGGGSYSYPYQQQAGEPQQAIRRHCKAEAPATLLMSPSRGGEAGADMFHVDDLLQLDSFMDYS >Sspon.08G0010760-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:42882696:42884580:1 gene:Sspon.08G0010760-2B transcript:Sspon.08G0010760-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRWVRPEVYPLFAAMGVAVGICGFQLFRNITGNPEVRVNKAGRAAGVLENHEEGRRYAMHGLRSFVHDKTPEIMPAINKFFTEPKATK >Sspon.03G0009370-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:25671762:25675349:1 gene:Sspon.03G0009370-1A transcript:Sspon.03G0009370-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVPLRLLPRLAAAACRLTPAASCAPQRVLRRAPLLPALAMASAYSTGSSADRRLLFRQLFEKESSTYTYLLADVADPDKPAVLPGVKSVISKTSGAKADHFVDHGDKIHFGNLFLEGGSSDQLYQSVHSQASMQNLVIIVSTVEEEVAYNARLTKDKETFKTIMSNLNLSYPKMMDVAVPANLVCGIQDPPPKI >Sspon.01G0048050-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:107063764:107064613:1 gene:Sspon.01G0048050-1B transcript:Sspon.01G0048050-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALEAIQYVPFSSDGRASGQYHGVRGDRRHHRQGLNTVIRKLRAGSGPASAHEKLQRLETLVLKLRCAVEVSEKHAIGNASLLEWRDRLREAAAEAGQGGAVVLHFQRRAREEEDGDAASTSASASAPGQQQPAAGALYFTRSAVSGMAQRARDATRKLLDLMARSNLRSSPRHVHVWRPSIPSRRHGLHQEPTSPAPELPLPLSLSLPPSLPHLSSRSNRGERRRRRPATTPAAGQAGERQRPCGRYSPGPGLRRQGGSSVSR >Sspon.04G0016940-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:65619452:65623710:1 gene:Sspon.04G0016940-2P transcript:Sspon.04G0016940-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGSAVTGGGAEEAAAAVPLGQKLMVHVAENGNTLEFQCGGDTLVEAIQHSIQLHCGIPPSDQLLLCGNTSLDGANGHALAYYKLPRDDREVFLYNKARLLADSRPPAPESLYIPEPNIPPPPRPQDSPPVDASADPALKALVSYETRFRYHFQVANAVYQSSLAKFELCRRLLREGQVQERALDTARSNLEHTFRKLSQRYSEFLRCFTQQHRSHVEMLANFERDVQKLRAVRLHPALQSEGRHCLMDLLKENDLRKLADGCLSSHKKFEVKVSQLKANFLELKKRVEGLFNAMSSGGCKDVEKLIKEHQGVIGDQKIIMQALSKDVDTSKKLVDDCSSCQLSASLRPHDAVSAVGRIYEVHEKDNLPSIRNFDHRLTKLLEKCKDKKNEMNTLVHVCMQRVKSSQISIKGMMSELIAFQEVMGHQEDFDNLKIVSGLGHAYRACVAEVARRKSYFKLYTGLAGTYAEKLATECQNEKTRREDFHRTWSRYIPDDVMCSMGLFDSPSQCDVKVAPFDRDLLPIDVDDVEKLAPQSILGSFLKSERSQLAKPLLSNSSTSGNLNKSEQNPLSADDKMDFQDFLGGYDSIDIAGTSKLEVENARLKAELASAIAILCNVGAEYGYESIDERQIDAVLKKAREKTAEALAAKDEFAYQLQSLFTAKQEKCLAYEKRIQDLEERLANQYMQGHMVSGSKGTSDSLLSAFKSNDCNLDVCGGRQTQIRDESSVAMDETSSTSEQPSKQTEGGDENMTDISGALNLQLLDSAACTNLDAFMTELPRDNEHKIVNIDKEGHMLTQLTMADTSDVPIEDPLSILNSRTNEHHALELRNKELLVSELQNTLDQKSKQLGETEIKLSAMMDEVNSLNKELEQTRGLLDESQMNCAHLENCLHEAREEARTNKCSADRRAVEYDALRSSALRIHGLFERLNNCVTAPGVTGFAESLRSLAISLASSVKKDEADTTVQFQQCIKILADKVYLLTRQSAELLERYSAMQAVHGGITKELDEKKELIKNLYNKLQLEKQASKEKISFGRFEVHELAVFFRNPAGHYEAINRNCSNYYLSEESVALFTEHHPQHPAYIIGQIVHIERRIVHPGQMGGAPRPDSSGGRRLPASMLNPYNLPGG >Sspon.03G0024680-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:59652004:59653847:-1 gene:Sspon.03G0024680-2D transcript:Sspon.03G0024680-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALGQEVAPVTLLLTVLIAAPLTVLLLLAVAGNKKPASAAAPTPRLDSRRLPLPPSPRGLPLLGHLHLLGALPHRALASLARAHGPVLLLRLGRVPTVVVSSAAAAEEVMRARDLAFASRPPSAMAERLLYGRDVAFAPYGEYWRQERRVCVVHLLSARRVGSFRAVREQEAAALAARVAARASVRGAAVDLSELLTEYANAVVSRAAFGDESARGLFDEFDSGRRQRKVFTDFQKLLGTEPVGELLPWLGWVDAITGLEGKIRRTFEALDGLLEKVIDDHRRRPRSGDDCGDRRDFVDVLLDVHINDKEHGMQLETNEIKAIILDMFAAGTDTTTTAMEWAMAELITHPRAMRRAQDEVRAAAAGSTGGGVNEDHVAQLDYLKAVVKETLRLHAPVPLLVPREPAADAEILGFHVPARTRVLVNAWAIGRDPATWERAEEFVPERFLDGGAAATGVDFRGQHFELLPFGAGRRVCPGLGFAEASAEMALASLLYHFDWEAAGGQGSREGTPTPSLDMTEVNGLAVHIKSGLPLVAKPWIP >Sspon.05G0016730-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:68897566:68899008:1 gene:Sspon.05G0016730-1A transcript:Sspon.05G0016730-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRKRRRSGKSTVRRSSAAGLTALALCFTRQLQLQAPPVVVAGEPPGGPSTAAADAAANLVFSPVSVYAALALLAAGARGGTLQELLDALGGDSRDDLAAFARRAAERALAERSRSGAGGGPAVAFACGAWLDAAWALLPAFRDAAAASYNAEARAVDFGNEPEKAVGEINRCSAAATNNHIDSILDPSSVDTLTTLMLCSAIYFKGRWEAPFAKAHTVVDKFHRLDGSTADVPFMCSVRSQYIAIRNGYKVLKLPYRSPAPAAPAPAPRRKGSTPPESKPGPGDGDDDPAPKYSMCVFLPDERDGLPGLVEKMASGPGFWHYRLPTSQVPVGAFRLPKFTLSVSSSVRQVLRDGMGIKSAFVAGEADLADMAAKRDEDDAAGTPLYVADVCHKAVLEVNEGGTVANGATASYMRCGASA >Sspon.05G0000120-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:590882:594242:1 gene:Sspon.05G0000120-2D transcript:Sspon.05G0000120-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGHRRPCSSSSSDKRAAFSSKCFDTGQMKSRFLFLCAMFCLMARMGAANVVLMGNNLTQSFDDIEASFSPGVKGSGVSGVVYASEPLNACSPLKIKAVNGPPSPFALIIRGGCAFDEKVKNAQNAGFKAAIVYDNENSGVLVSMAGSSSGIHIYAVFVSKASGEVFKKFSGHADVEVWILPTFENSAWSIMAISFISLLAMSAVLATCFFVRRHRIRRDHPRIPEDREFHGMSSQLVKAIPSLVFTKVQEDNCTSSMCAICLEDYNVGEKLRVLPCRHKFHAACVDLWLTSWRTFCPICKRDARSGASELPATEATPLLSSAAQLPSRPSSFRSSVAASPPRPISRHPSSNSVSRAYSVSSTLQSPNPFRSHISSPGIRTSRSIADLPIMSSPHPRISHLSSSTHSLVGSHLSPPISIRYPSPHVAHSGYGSPSPHVSSSYISNSGYGSSSYCYLGGSSQHGTYLRHCGESGPSLCTMVPRSPQQSQWEANVAAGASSAKSLRQSYLRHCGDSDASLSDMTSASRCRGAEGGVWLR >Sspon.07G0000850-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:1894998:1897110:-1 gene:Sspon.07G0000850-1A transcript:Sspon.07G0000850-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADVDVEAEVAAAGQPKKRTFRKYSYRGVDLDALLDMSTDDLVQLFPARARRRFQRGLKRKPMALIKRLRTAKKEAPAGEKPEPVRTHLRNMIIVPEMIGSIIGVYNGKTFNQVEIKPEMIGHYLAEFSISYKPVKHGRPGIGATHSSRFIPLK >Sspon.06G0022270-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:26425257:26428935:-1 gene:Sspon.06G0022270-1B transcript:Sspon.06G0022270-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNVVSKRYSSIHELEAESAVAASEALKLLHTYVQLPTPLGRSPSPTRTCKSYVLISNTIKLDVYPLLHATPRVPMVAGGDALGQQYNTPDSNLSALIVLQCMSAWNNGQLMPISTDGQRLRKNFVIDLLAYD >Sspon.04G0005600-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:12323688:12326506:1 gene:Sspon.04G0005600-2P transcript:Sspon.04G0005600-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myb family transcription factor PHL11 [Source:Projected from Arabidopsis thaliana (AT5G45580) UniProtKB/Swiss-Prot;Acc:C0SVS4] MMQGSYGCGGAASRDPKPRLRWTPELHQRFVDAVTKLGGPDIRILRCLAALSYCKAAVGRRATLEHSQRTAVVLKQPKLAQDFSHKASVQPQRILQVQKKLQMRIEAQGKYLKTILEKAQTNISFDTNASNGIESTRSQLMDFNLALSSFMDNATQVCKENREQLAKALSDDNDKDNLGFKLYHVGSQEAKEVKCTPKTEDSLLLDLNIKGGYDLSSRGTQSCELELKINQQINVDTRMSELHQSRLK >Sspon.04G0031540-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4C:14438641:14439502:1 gene:Sspon.04G0031540-1C transcript:Sspon.04G0031540-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNRTASYRRTQPGPCALHRRPCPIPPSLPIPLLRRWRSRPGSPAPLPSCLTVRAPPAAALSVTWGDPQKGGSVWKEDDMFEDMEEELEWFDEPPSFNDLCVRLNVNFGGDFTLKGRFDSGKARAHYILMPLDDHAHWSRYNKVIQCSNMSMAEVVVENGDRMQGL >Sspon.07G0030530-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7C:8753230:8756391:-1 gene:Sspon.07G0030530-1C transcript:Sspon.07G0030530-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDLIDEYAAETLRSKLEGPFNHDHLKKVRSCLCCFWLNNCLFNHKIVQQIRKIEGKLDRLIKERQIIGPNMNSGTDRPEIKERPKTSSLIDDSSVFGREEDKETIVKMLLAPNNSGHANLSIIPIVGMGGLGKTTLTQLIYNDERVKEHFQLRMWLCVSENFDEMKLTKETIESVASGFSSATTNMNLLQEDLSKKLQGKRFLLVLDDVWNEDPEKWDRYRRALVSGGKGSKIIITTRNKNVGILMGGTTPYHLKQLSNNDCWQLFKKHAFVDGDSSSHPELEMIGKDIVKKLKGLPLAAKAVGSLLCTRDAEEDWKNILKSEIWELPSDKNNILPALRLSYSHLPATLKRCFAFCSVFPKDYVFEKRRLVQIWMALGFIQPQGRRKMEEIGSGYFDELQSRSFFQYHKSGYVMHDAMHDLAQSVSIDEFLRLDEGLRLHDPPHSSSPKRSARHLSFSCDNRGLTQFEAFLGFKRARTLLLLNGYKSITSSIPSDLFLKLKYLHVLDLNRRDITELPDSIGNLKLLRYLNLSGTGIAMLPSSIGRLFSLQTLKLQNCLALDDLPKTITNLVNLRWLEARTELITGIAGIGNLTCLQQLEEFVVRKDKGYKISELKAMKGITGHICIKNLESVASVEEANEALLMKKTNINNLHLIWSERRRLTSKTADKDIKILEHLQPHHELSELTVKAFAGLYFPNWLSKLTQLQTIHLSDCTNCSVLPALGVLPLLKFLDIGGLHAIVHINQEFSGTSEVKGFPSLKELIFEDMSNLKGWASVQDGQLLPLLTELAVIDCPLLEEFPSFPSSVVKLKISETGFTILPEIHTPSSQVSSSLVCLQIHQCPNLTSLEQGLLCQKLSTLQQLTITGCPELTHLPVEGFRALTALKSIHIYDCPKLEPSQQHSLLPSMLEDLRISSCSNLINPLLREIDEIASMINLAITDCASLHDFPVKLPASLKKLEIFHCSNLRCLPPGIEAASCLAAMTILNCPLIPNLPEQGLPQSLKELYIKECPLLTKSCKENDGEDWPKIAHVPTIEIEDDSTMTDWSIRRRLF >Sspon.01G0052980-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:28281586:28292684:-1 gene:Sspon.01G0052980-1C transcript:Sspon.01G0052980-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDAGKSKRHTHTLWPRWVEYLNWLADQVGEPHVEPRRAEMYEKALRCVWSLDWVAALLSGRATLPSEEDMMADVQEDYQRMEDAGKSKRHTHTLWPRWWLSLRMPCYGQVEYLNWLADQVGEPHVEPRRAEMYEKALRCVWSLDEVHTAARCSEDRLGKIELYHNAWMHGEIECIQDDGQVRFAEGSSVAADTILYCTRYDCAQNRGLSFLLCKLHLISTPETAMYEITFRYRYHFPFLDLDGFTVDDNRVRPLYKHVFPPKYAPNLSFSIIFSALELESKWVAAVLSGRATLPSEEGMMADVQDYQRMEDAGKPKRHTHTLWPRWVRVAH >Sspon.05G0038930-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5D:56157003:56158245:-1 gene:Sspon.05G0038930-1D transcript:Sspon.05G0038930-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKEFGGLGVPNLRDLNICLLASWIKRYNLDKLWGQMIDHKYGTDKPNVFWSDTVGNSSFFKGMIWAASAAKTGYRWKIGNGKKVKFWEDNWLGTSSLAIQFWDIYILVNEKSHTVFEFWDGVDLKWNSVEKCPVPPAFSHGYAPELDLAVSSRKKDGVQHQAGETQSVGNQHSNVAWLNQVEGVWNKLLYKTALDWSQKEVRAYAAELMVDVSAQSFADKGKWISFLKFGDEWKM >Sspon.07G0007770-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7A:20978713:20982411:1 gene:Sspon.07G0007770-1A transcript:Sspon.07G0007770-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GPKKVTHVRHHEFIKKRFKGIGKDSIILSWLTHCPGNPKFDFHRYMESFALIIVMGALGIERIEEEDRGGGWSYSTRGVTVPADYDSLFLTPEDTADTLRVMDLHPPGISRDSDLRRRPRGGRWRNAVALGSASPPVTRRGATPLPPLPQSWRSLPAGKTLCSHLKTAAGRRSCWWLSHGTRSRSAELRSTVAKVASCIGWRISCSRRRARRPRAAACSRTAADTLQVSIFPADFVVMSIACSICCNVGYTSWNGSSVVPHIGEQRVDVGDGSSKIGDDRGQLIRCHRCGSDRQRLIVTWHLRYQIVMDNVGIGRIEEEESSGGDGRDTVPVVLQCRAGTIHLLLAIGTKLEHVIAQLAHDVAEKHTAIEGDVIIKPSDEHFWFGKPRIILYLIHFILFQNAFEIAFFFWILMGSCYKKEIFNEHVQQGVLGWAQKVKMRKGLKKGAAAASKDESTINADSAGPSVKIEMAKAGEDVDIVGNTE >Sspon.08G0014400-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:54195762:54199931:1 gene:Sspon.08G0014400-2B transcript:Sspon.08G0014400-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPVWPLLLVATALCCASPAARAGSCKFPAVFNFGDSNSDTGGFWAAFPAQQGPFGMTYFGTPTGRASDGRLVIDFIGTPFSLGIQLNQMKEFRNRVLASNGNNGQLPRPDVFGNALYTIDIGQNDFTSNLGSLGVESVKRSLPSIVNQISWTIQDLYNIGARNFMVFNMAPIGCYPAFLTELPHDSNDLDEFGCMKSYNSGVTYYNELLNNSLAEVRKKLQDVSIVYVNKHTVTLELFQHPIAHGLKYGTRACCGYGGGTYNFNQDVYCGNSKVVNGKTATAGVCGDPQNYVSWDGIHATEAANNKIAYAVISGSYSHPPLDLSKLYQGIKRSRYTLKLEYKQITTTQSQY >Sspon.06G0006380-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:22673573:22673839:-1 gene:Sspon.06G0006380-1A transcript:Sspon.06G0006380-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVMGQLGRLVDGIKSRLRAGAGNKQRGGATRKATAAPGYDKVEKTESMRVEIRSRQARKLIAKNLDAADSIARAGRTRTNKRFFLAF >Sspon.06G0009870-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:52891808:52896322:1 gene:Sspon.06G0009870-1A transcript:Sspon.06G0009870-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAETESGTVTVCVTGAGGFVASWLVKLLLSTGRYAVRGTVRHLGDAKNAHLMTLEDAGERLQLVKADMLDYGSVASAVSGCEGVFHVASPVPSGQPSNPENVLKACYEAKVKRVVVVSSVAAVFNNPNWPKGEAFTEDSWSDGEYCRKNEEWYFLSKTLAEHEAFAYAAKTGLDIVTICPPLVIGPLLQPTVNSSVKIFLSYIKGDQETVNNGPKNLVDVRDVADALLLTYENPQASGRYLCSSTAIRVSDIVNILKTSYPTYTYPQKFAEVEGSNTYITEKLRKLGWTSRPMEETLRDSVECYRASGILN >Sspon.06G0011200-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:60510601:60513685:1 gene:Sspon.06G0011200-1A transcript:Sspon.06G0011200-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SPRLAAAAAASALPLPLPPPAVAAPSRTLRALVLSPGAAAAISSTLRRRGSPACLVRRLCSSHHSSAAAATTAVEEARRGRKQLGMTPPLYDYLLANVREHPVSPELREETAAMRGSQMQVSPAQAQLLAMLVQILGARRCIEVGVFTVYSSLAVALALPESGHLVACERDERCLEVAKKYYQRAGVAQKVIDVKHALAADSLRSLLDCGEASSYDFAFVDADKRMYEEYFELLLKLVRVGGLIVMDNVLWYGRVADPLVDDPKTISIRNFNKKVLEDKRVNISM >Sspon.05G0005700-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:18206845:18209032:-1 gene:Sspon.05G0005700-1A transcript:Sspon.05G0005700-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable pectinesterase 68 [Source:Projected from Arabidopsis thaliana (AT5G47500) UniProtKB/Swiss-Prot;Acc:Q8LPF3] VSRSSMARILLLLLVTIASLLPPIASQQAATKCEYKRHSGHRYKHPVGVRKIVVDASGAGDFVSIQQAVDSVPEGNTMRIIMQINVRARREKVVVPASKPYVTFQGAGRDVTVVEWHDRASDRGPDGQPLRTYNTASVTILANYFNAKCRLWQNTAPAPMPGTQGGQAVAFRISGDKAFFFGCGFYGAQDTLCDDAGRHYFRDCYIEGSIDFVFGNANARTQDCELHSTAQRFGSVAAHGRHDPCERTGFAFVNCRVTGTGRLYVGRAMGQYSRIVYAYTYFDSVIAPGGWDDWDHTSRARRTAFFGMYRNWGPGVDAVHGVTWARELDYFAARPFLGKSFVNGYHWL >Sspon.04G0001280-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:6085190:6087900:-1 gene:Sspon.04G0001280-3D transcript:Sspon.04G0001280-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding CKSVSHGVSSQHAERIHCHSSLRQNTIVASENENPPLMPAIMTPGGALDLATVLLGNRVIFIGQYINSQVAQRVISQLVTLAAVDEEADILIYLNCPGGSLYSILAIYDCMSWIKPKVGTVCFGVVASQAAIILAGGEKGMRYAMPNARVMIHQPQGGSEGNVEEVRRQVGETVYARDKVDKMFAAFTGQPLDMVQQWTERDRFMSSSEAMDFGLVDALLETRY >Sspon.02G0031240-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:106275312:106280603:1 gene:Sspon.02G0031240-3C transcript:Sspon.02G0031240-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLGLWKQAWMWVLSQKHILAWAHTAACGSRERLAFLVDRHWPAVSRACATSSRLALAALRQWRGCTARGVLAVASLGPAAVFVILWSFFVCMTSPACALYALLSLGAAAAVVHYMGYTPGLFIVGLFGILIMWMYGYFWITGMLLVAGGCMCSLKHARYVIPVLTSYAIYSVAVRVGWLGVFLTLNLSFLTNDLLNKLAQGYEGSTEESQFQDMKDSDPVMDEFYRSCEFPPVPDSEPETVSSAKPHCSAPIQDVLHVQKEEPPSKVVKSDSSSLDEIKRIMDGSNHYEVLGVPRNRTIDQKTLKKEYHRMVLLVHPDKNMGNPLACESFKKLQSAYEASVCSDVLSDFTKKNSYDEQLRKEESLKMTPRSRVVSQQSGVEFLPDESRRIQCTKCGNFHIWICTKRSKTRARFCQGCGQFHQAKDGDGWVETSFETRFSTSIKMEIPRAFVCAESKIFDVSEWATCQGMECKPNTHGPTFMVNMVGTDRMPQRSYSSRYPFSLDAEMIPEDEFELWLQQALASGIFADSPKRRKSWSPFKLPQKGIKSWRRSS >Sspon.05G0018780-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5D:75325736:75326959:1 gene:Sspon.05G0018780-2D transcript:Sspon.05G0018780-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETALTMAKSILGSAVSKAASAAGTEISLLMGVQKEMWFIKDELKTMEAFLQAPEVTEKKDKLVKVWAEQVRDLSYDIEDCLDEFMVHVGSHSLSKQLLKLKDRHRIAIKIRNLKSRIEEVSNRNRRYKLIKTESSNITDEMDSNMEDIRNNAACNIDEAELVGFAAPKRELIALMDVTAMDSPTKVICVVGMGGLGKTTLARKTYESKEDTLKSFPYNAWITVSQSFSKRAMLQDMISQFFGAGALKKLLEQLLGKVLENGLASYLRTQLQDK >Sspon.03G0001650-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:10218995:10222046:1 gene:Sspon.03G0001650-1T transcript:Sspon.03G0001650-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMRNHEIVPAELVDRIAGLKHGGTYKVLRNLLKNKLVHHDATKYDGYRLTYLGYDFLAIKTLVNRGVFTSVGRQIGVGKESDIFEVATEDGTVLAMKLHRLGRTSFRAVKSKRDYLRHRRSFNWLYLSRLAALKEFAFMKALGDHDFPVPTAVDCNRHCVIMSLVQGYPLVQVKELQNPDDVFDTILGLIVRLAEHGLIHCDFNEFNIMIDDDEKITVIDFPQMVSVSHRNAQMFFDRDIECIYKFFNKRFNLTSEKNEEQAGSESDDEGNSRPSFLSVKKAAGSLDKELAASGFTRKEQVEMEKYIEEDAEGHDSSSDDDDDDDKVGDAVPLVSLKIDQDGSDVPDCNLASRDSDAPGTFSEEHGTSYSGENRLETPPSGGNGVVMTPLESGIKMLSLEDDDNDDDSSEDADEEEDAELTKQLNKQRKKAIAAAHGREGQFHPGTPTRTR >Sspon.01G0031600-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:108135664:108140969:-1 gene:Sspon.01G0031600-1A transcript:Sspon.01G0031600-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRLSLASPAAAPASATCRCSSASFLRPHRSRKPRGCVVAMADLLGDLGARDPFPEEIESQFGEKVLGNVDTLHQILIPTLSALSLASLPLQLDAEPLSLDDARKLLFKVVGWRLVLSDGDQQRPARLQCVWKVRDEHCAQELIARINAALDGADHAPAALVFEAPNQVTAKLSTPSAAGDSLTVNDYIVAARIDKVKTLDLIPKKRASQVTNQTDNYLLHIAEVHGTQKAKTFLTILCWPLQHRFYKIVSKSFFPIAL >Sspon.02G0006520-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2A:19747863:19748372:-1 gene:Sspon.02G0006520-1A transcript:Sspon.02G0006520-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MACCVALGVLVILGALALAFYLVYRPRPPRVLATPVDISIDEFSLLPHPVLKLSVGVHVVVTNPSHSPFRYGPTPGSVTYHGAPVGATLVPAGEIGGKSTARIEPATVVDGVKVVENPHFASDAVAGVLPFVAVVRVVGKALVLRTFEVPVSVETVCFVRLYVFHGEASS >Sspon.04G0002890-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:28944101:28947948:1 gene:Sspon.04G0002890-2B transcript:Sspon.04G0002890-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MACSFAAATTVSSAPTPAARPLAAAPQSVSVSRSAVATAARPLRLAASRSARATRLVARAGGVDDLPLVGNKAPDFEAEAVFDQEFINVKLSDYIGKKYVILFFYPLDFTFVCPTEITAFSDRYEEFEKLNTEVLGVSIDSVFSHLAWVQTDRKSGGLGDLKYPLISDVTKSISKAFGVLIPSQGIALRGLFIIDKEGVIQHSTINNLAIGRSVDETMRNLQALQYVQENPDEVCPAGWKPGEKSMKPDPKGSKEYFAAI >Sspon.02G0008950-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:20565071:20567678:1 gene:Sspon.02G0008950-2D transcript:Sspon.02G0008950-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSGRIKELVRKYGKVAVGVHLSVSCASIAGLYVAINNNVDVEAVFRRFGISPGVTVDGEASPAPASRDGPLPPRPSEVLQEETERERQPRNRTMELVASSGGALGLALLCNKALFPVRVPITIALTPPVARALSRWRLVKS >Sspon.03G0009330-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:3892773:3893165:1 gene:Sspon.03G0009330-2B transcript:Sspon.03G0009330-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutaredoxin-C9 [Source:Projected from Arabidopsis thaliana (AT1G28480) UniProtKB/Swiss-Prot;Acc:Q9SGP6] MGVDVAEEERLRAAAQQQQPAPAAEAEAAAREEEVRRAVAECPVLVVGRRGCCLSHVVKRLLQGLGVNPAVHEVADAEAELAGVVDGGGDVALPAVFVGGRLLGGLDRLMAVHISGDLVPILKDAGALWL >Sspon.02G0004100-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:20097191:20099110:-1 gene:Sspon.02G0004100-2B transcript:Sspon.02G0004100-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding TLSILPSNFEEVATGVLKVLNNMARLDITLLQHMLARSDLKMEFFHLISFILSHCMNKWRVPNDQVGLLLLESLLLLGYFSLFHAGNQAVLRWGKSPTILHKVCDLPFVFFSDPELMPILAAALIAVCYGCDQNRSVVQQEISTDMLRCLLKSCQTSGSNSPDSIAVDVKAFWISGIHKVTSPTSRKIGRPVVGKGVAGGIRCNRNKVQKDGRGTRAVDDGPLRQRAQEASSNFMLHRKIPASFLDRAEEFFCSET >Sspon.06G0005060-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:16999394:17004211:1 gene:Sspon.06G0005060-1A transcript:Sspon.06G0005060-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMQLSDDGGVLQDWKDNQMTPCGWANIDCQDNKVIAMLLDGNVISGRIPEELGNLSSNHLNCSRQSTPCEKRTAKTGKQRVRDDRSNVIVHQDVELVWGTEGNNPDFTFFNYSQVLDATNDFSVENKLGQGGFGPVYKGRLPDGLEIAVKRLASHSMQGFTEFRNEVQLIAKLQHRNLVRLLGYCSHGEEKMLVYEYLKNKSLDFFIFDEKRRNLLNWDKRLMIIEGIAQGLLYLHKHSRLRVIHRDVKASNILLDYEMNPKISDFGMAKMFSSNDNEGNTERVVGTYGYMAPEYASEGLFSAKSDVFSFGVLILEIITGERNSGFYYHGNFLNLLGYAWQLWKEQRWPELVDISLATNGCTLEMMRCINIALLCVQENAIDRPTTSDVVAMLGSENMALPEPKHPGYFHARVAKEEASTIAETYSINDATMSSTHDNPIRVYIYIHSVGTSVPNRLERWRLLGVEKVC >Sspon.04G0012750-1P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4A:50344714:50345204:1 gene:Sspon.04G0012750-1P transcript:Sspon.04G0012750-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GSISSTFGSPASAHIRLGVGRRGSGWRRHRQRTAADRMAEGGARDVLWRSRRLRDHGRCVRVREPVLTGLRLAHGGSEHGALQRWCVMWPVLQDRMRPQDGPDAVQARRHRHRHRHQLLPAQLGAARRRMVQSAAPALRHGAAGVGEDWQGRQHRHHPRHLPE >Sspon.02G0052990-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:99017156:99019056:-1 gene:Sspon.02G0052990-1C transcript:Sspon.02G0052990-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHDVGHMQKERTTRDVGHGMTGRALTQSCRITSSLARCFPSSKLGTIGTTANLLVYLTTVYGMSGASAATLLSLWSGTVNLAPLLGAFLSDSYLGRYTTIALASIASFLGMIILTLTAAVPSLHPAAHPNPTGPSTLQMAVLLASFALLAVGAGGIRPCNLAFGADQFDRAPPRAKGHQQLLQLVLLHLHHRHDDLRHLIIYLQSNVNWALGSLSRPRSWASPARSSSWARDSTPFTSFAQVLVAAARKRRLPAPSPADLYDPPHRSSLVAKIAYTDQFLCLDKAAVRTPDDDLGNNPWRLCTLQQVEEVKCLARLLPVWSSGIIYYIVLTNLGNYNVLQAMQTDRHVGRGGFQIPAGSFVVFNMLALTLWLPFYDGVLVPAMQRVTKREGGITQLQRIGVGIVLSIVTMLVAAAVERHRRRVGDATSCFLLVPQQMLAGLSEAFAVIGQVDFYYKQFPENMRSVAGALLFLGFAIASYASGLMVSVVHRTTGGRDGRPDWLAQDLNQGRVDLYYLLIAAMAAVNLVYFVVCARWYRFKKPAAADDDDVELELEGKAAAPPV >Sspon.02G0013990-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:37088692:37090017:1 gene:Sspon.02G0013990-1A transcript:Sspon.02G0013990-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLGMVPAEAIALRLYSLPAAAAAAGSLCAWLVAALAAAAVGLWRIRANRASNSKPRAAGVRSASALVDDDNQEPQARLPPSRRAAITDEPRRPVALAPAEPSSSVSEPGTPSKVRFTAYYGGSLGEDDDGVVDGVRKCADADDDDDGEVEVVLRRTVSEPVGRRRATTLAAAAPWEERELAVRRRSDLGWYRHIDMAALDGSVVRLWDGDLTASPRWRRRRAGLELQLYNNIKQYKSEYTNATSGIGKQP >Sspon.06G0015900-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:71363358:71366171:-1 gene:Sspon.06G0015900-2B transcript:Sspon.06G0015900-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pumilio homolog 12 [Source:Projected from Arabidopsis thaliana (AT5G56510) UniProtKB/Swiss-Prot;Acc:Q9LVC3] MEESQSSQDVSRFRALGNGVSGSGQGSAGDRELHSGSIASQAARAYPNGGRSLVSEFGLYDTSVGSNQHFGFSHSGNLYDEQSLAFAFEGMSFSSRTTDATTSHRNVPLTNGHYPSGRVDGTLNQHHAPATLQDDSLHLQFSGQHAIQHTDVEHQEQSHRFPPQLGKFSRSSGLHSFDSNLGVPCHPSTASASPVQPMWQSQMYTPHDQDVGSNFMWQQNMGAQPYSIMQPNYVCPQLQQVSRFGASGHRSNEQSAVSPPANGPSSSYIGTPNVHWLGNGYSYLNCAAFQKRRNSGGLNYSFADSFPSTSYTGSSCGSGDFRHFQLAEKVFNPYGPHHQQNLAHPYGLGFSHHQISDKLNTASYPERILMRPDVGNSVKDFELSPYAHLLNSLFLSSKSDTYKSIDEVMGRVCILSKDQDACRFLQKVLTEGTQEDIDKIFSEIIDNVGDLMVDPTAHYLVQKILEVCTNDQRTHLIREITKAPVTLHKASCNMHGTRVVQKVIDTMNTSDQVSMVVSTLNTGIMRLMTDSYGSHVALHCLQKLLPDHKAFLLEAAASRYLQLARDRHGCCVLQKCIEHSSDEQRNNLLCKITSSALALSEDQYGNYVIQFILALNIEWATTRIVDELAGHFGNLSIQKCGSHVVEHCLKLAPRLICDRIINELMHDPKLLHIILDQYGNFVIQTALKQCQGEQHAAFVETIRPHTAVLQSNMYGKRVLSRTCLKNKHCRFGFY >Sspon.03G0037120-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3B:97064952:97067865:1 gene:Sspon.03G0037120-1B transcript:Sspon.03G0037120-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPAAVKKVLVPITAGSEPVEAFVPIAVLRRAGADVTVAAAGAGAGAGLRVHAMYGVTVVADASVADCADASYDLVALPGGVPRADNLGGCAALESIVRRHALSGGPYAAICAAPPLALARWGLLNGVKATAHPAFVDKFPAEVSAVDANVVVNGRVVTGRGPAPAMEFALALVEQLYGKDKVDEIAKPMMVRYELGYAFKELNQVQWRCSGTPKVLIPVANGSDEMEVLVTVDVLRRANADVVVASAEGGAEVIVTCHGTRIVADALLDDAVAASQQFDLIVGGMPGAKTLASKEALVALLKAHAAASRAYGAIGAATAQVLEPHGLLEGKKATTCASMADPSECGSRVVVDGNLVTGSGPGTAMEFALAVVEKLLGPEAARE >Sspon.02G0022370-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2B:73882069:73885133:1 gene:Sspon.02G0022370-2B transcript:Sspon.02G0022370-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MCDLVARTGRHLQRYENGRRLVAGCIPFRYRDINDEASDDEQKKLVEVLMISSQSGPGLLFPKGGWENDETVEEAAAREAIEEAGVRGDLVQLLGFYDFKSKQPEAMCRAAIFALHVKEELASWPEQNTRQRSWLTVPEAAEQSRYPWMQEALVTGFSAWLENWRNGGGCVDRSER >Sspon.02G0024440-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2A:83607004:83607936:1 gene:Sspon.02G0024440-1A transcript:Sspon.02G0024440-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTTSPRAPGVSGEVPPPHVVEDCLGLVQLLSDGTVKRAPATLVLPDDAPPPDDAAPIRWKDVVYDEASNLSLRMYVPSARAGDGGAETKLPVLVYFHGGGFIIGSFASPEFHAVCLRLAAELPAVVLSADYRLAPEHRLPAAVEDADAVLSWLADHADPWLADAADLGRVFVSGDSAGANIAHHAAAHAAVASGRRLRLAGCVLLWPYFGGDGEPRTASEAACPGDVFLTLPLYDQMWRLALPAGATRDHPAANPFGPEAAAAGGGPGAELSPMLVAAGDRDMLIDLVREYVARLQAAAAENNNRRVDL >Sspon.08G0006110-1P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8C:18975241:18982533:1 gene:Sspon.08G0006110-1P transcript:Sspon.08G0006110-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIGGSGGGGIASSPGTGDDKFAWRRSRRVSFADTTAVHVFDRDEDFETPPEEREPGSTSPSPSPRRSSVGREDEDDTEEDFHRPPVIFLQDVDSSSPGSAAGSMASADGRPSDSGMSEDDNHDITMDSRTFSLHFRNIAPPDDCTANSAASLMTPNTASEGPLKELTASNPATTSINGWDTFTDMSLLSGNPRTYDYDKLSPTLSIMMKNIKRSQQANSPKVGVSDVTPDCVLTLSTSEKESREENLCIDNGISSDKLGMVNTIAEHTSMRNPVSTSTDLIQEDNEMIIDGHENSQYCRTSCKDSPAYKSFTSNGDVQSHLINQSLSKDQPSGSNCTTSVSSMCNVDMETHLLDQPAGKDNTDAAQSSSAATAIFLMDAEQLRQQNEVMDTETVLHTPRTAGLQLQVPQGSLGTEFVEHDKRISSLKLQELPAASRLQLVEKNELGHRASDMFSSTEDHDTTLSVSSHSVPKLKKTSESFILGTPRRHGLNESTKVPDTSSHVLTLDSQPSRECNSHLDLDVVGRKRTAEENGHAVQECPEETARTARSPRKSRKEIPCVSQPSMIEEKQNGAHDNGKSVDIDWNKKKAQLCQDRIQECCFLKSKILGAAQMKDASLPAATSVSASDRQKVGKLRSSLECFDNIEGDIGSDSVVRYAKEQLMMRNQHRIIHRLAGLCKLNDIVKRDNKRDVILNYHNLLFQRIILNISDTSSIFVNNSLNVNLIGQTFPNLDASLAFNFVFKAEENQRVSDLQSLQQKTTETSLLLGNLIDVLEEIKLSKFELLNLTFAAFVLESQTCGCCAFAVQ >Sspon.01G0046340-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1C:89434542:89435480:-1 gene:Sspon.01G0046340-2C transcript:Sspon.01G0046340-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNPCRPKRHQLRERGGGGGCQEEKTLTREARRLAELDAGADINANAEWGVQCPRGATPTPSRPSKPTNTTTPELGVVSVGSTTIKATGDPGVAIKYDKPGRSGGDDKGQNETTSHRTADEPPPYQQYCHDRHSSTTPHHIMTWAQDHDDNHARTYATPRQDDLPCRSS >Sspon.01G0023210-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:84071822:84087595:1 gene:Sspon.01G0023210-1A transcript:Sspon.01G0023210-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTAYSLRAPPSATQQRLRLPLPPPPPQLPFAPAGGGAARRRRLVGVAAASASPFDELHARGRPVRGPSKKSMLWNLIQDIEPLDLSVIQKDVAPETVDAMKRTISGMLGLLPSDQFRVVVEALWNPFFKLLVSSIMTGYTLRNAEYRLSFERNLELSEEVAECPKSDVTEDNHHNINLGRPVTIFRLSEEDMPQDPGKTDEESSYENMGEELGDLTPQAEEYIIHMQSRLDAMKKELHDLRRKNSALQMQQFVGEEKNDLLDYLRSLTPEKVAELSESTCPGVQEAIHSVVHGLLATLSPKIHSKAPPLLDNTSGGVLNLGGEDDDRAELVENASLPFQPLISVPRDYLARLLFWCMLLGHYIRGLEYRLELAQLLRISSDVGSFSGGDDHGTTFTDIPHRKEWKDLAKKWAKWQSRRAAVCPGGWRGRPPEEACRGGGSVRVALRRAARAREAVRGPSKKSMLWNLIQDIEPLDLSVIQKDVAPETVDAMKRTISGMLGLLPSDQFRVVVEALWNPFFKLLVSSIMTGYTLRNAEYRLSFERNLELSEEVAECPKSDVTEDNHHNINLGRPVTIFRLSEEDMPQDPGKTDEESSYENMGEELGDLTPQAEEYIIHMQSRLDAMKKELHDLRRKNSALQMQQFVGEEKNDLLDYLRSLTPEKVAELSESTCPGVQEAIHSVVHGLLATLSPKIHSKAPPLLDNTSGGVLNLGGEDDDRAELVENASLPFQPLISVPRDYLARLLFWCMLLGHYIRGLEYRLELAQLLRISSDVGSFSGGDDHVV >Sspon.06G0012220-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:65153309:65155888:-1 gene:Sspon.06G0012220-1A transcript:Sspon.06G0012220-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAFLSSAASKDQQWEHGEAQRPDSSKKMRLSTPLCGHGHPRLIPGLPDEISLQILARMPRMGYLKAKMVSRSWKAAITGAELYRLRKELGVAEEWLYILMKTADDQKLVWHAFDPVSNQWQRLPLMPGISHSRVYGLGLGDLVSAGISIFDIIRGWLGQKELLGSIPFCGCAVGAADGCLYVLGGFSRASTMKCVWRYDPSVNSWKEVSPMSTGRAFCKTSLLNNKLYVVGGVSKGKNGLAPLKSAEVFDPATGVWAEVPDMLFSKSQVLPTALADLLKPIATGVTSYRGKLYVPQSLYSWPFAVDVGGEIFDPETNSWEQMPTGMGEGWPARQAGTKLSAVVDGNLYALEPATSSGSAKIKMYDAQEDTWKIAVSQVPVGAFAESDSPYLLVGFLGKLHLIIKDAGSRIDVMQTDSLEPMDSPALLAGTTCQVPDVSLEQADVWKAIASKSITAAELVSCQVLSI >Sspon.03G0021860-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:66550832:66561973:-1 gene:Sspon.03G0021860-1A transcript:Sspon.03G0021860-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LVWSSDDLIPPTERYIFNFNSKDELKRWHLYSDSEYGGLSSASLEITDGASGGDNPLTGVFSGNLSLDMSEDSTWRIRRYGFCGMRSKKIYTENWVNSPGQEEDNSWQAFVYIPQDRWQVLKIPLDRYLPTWRGNVIEAKLEMNPARIVGMSLSVNAEGGVPGAKTGPGDFRLEVDWIKALRML >Sspon.02G0031350-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:106046153:106049281:-1 gene:Sspon.02G0031350-3C transcript:Sspon.02G0031350-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFVPRQVALAASSQPRAAASASAMAKEEPKKEKRNKENGDIAGEAGKKKKKNGGEAGEPESKASEPSAHEKRSGNIGDEAKEKKQKKKKDKGDPSAANAASGEATETVKNDDQKLDVDGEAKEKKQKKDKGDPSAADAVSGEATETDQKLDVDGEAKEKKQKKKKDKDKGDPSAANAASGEATETVKNNDQKVDGKKKKSKKQGKDDDVEARLEKAELAIINKLEAAQKVNGDGNESREEEPKSQNDGADESSGAVEKKKKKKKEKSAVETLEKTDAGSAPAEADGAKGKNGVVETAKDDNEKKAKKKRKKSNPEENVEVEGKEATGKDPVPKQDDVNKSGMDVDEDHQGKSSNENAVAGKKRKLEEVEGSIAPVTPKEDSTANRSLSNGFAEDKTNEDSNIKPSKRQKKSSEPKSVTPFQRIKVDSVTFADERLQDNSYWAKGGADTGYGAKAQEILGQVKGRGFRHEKTKKKRGTYRGGQIDLQTHSIKFENSDDE >Sspon.04G0034610-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:77075338:77077161:-1 gene:Sspon.04G0034610-1C transcript:Sspon.04G0034610-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding WRLGLLRRCGCSRSRSPRPSSRGSPPSSSTCPASPPHVMPHPAPPSSRVSVCVSCWRSLMLELDGWVVGACRWRRSAIRGGPRGARRAAGRLQKCVERSNHP >Sspon.08G0012180-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8A:52184043:52186647:1 gene:Sspon.08G0012180-1A transcript:Sspon.08G0012180-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPEPPDPPDPLSPLVNLQPPVPSPSRSVPSTAAPPLQRPDPSPPSPDLPVHGCLSSALLGVAEIVVSVDGAASPDTSLPASFLAPAATSPALVDASAASLSVAAVGTCAADVPRREDVQSLPPAADTPASSTQQQPLPTPGTSAAASSSPPLSGLSTVAPRPLGVDAFAAATSARRPMTKTRPWEDEVLVEDVLDDQDEDEVDDEATAPAPATATELLCASLADPHRIADGVCTSPSLSPLATPFFPATQSTGRPKALRWMEDSSDCDLDCAPSAGQSPYLEAARWAFEVTASPVPAVPCGKASVARPVVITEKRRRCRRRARTKEADLGPPVAPPHPAARVPVHQRIGTQPVTRVPAHHRLGPRRSELAARGRHRHFRESGPGSMGNGKPPRTYPPLAPPQLHDPRPAAPDADGFTLVQSHRRRRRHRHAPPHPRRQRPVPPSLVGLCFNCLAGDHIATRCTFPSRCLLCLSTRHRARNCKHGRPPWRLPSDRMQGPLRCSHAASQPRQPRHDSLLGPYLSSDVHGGGWWPTTILACAPSGSAGATQGTVVGAYGIAMTTDCADNAATSADPLAVSLCEDSGPPVWVDPMLDELAASLVASRSMSAPVLRPSTDVQAPRVDGEDAAVADTVIIDAVCPPTTLEEAQAALADTRSPLPMVRLSFDAEASGWMVTTLPSEPPAMPTMRSLSSPPSGLPTSPILTLPLEVPLIQSPPRLRVSRVRDEDLVLRSSERLAAKSVFRDPNPEKQAKRVLLRKWQPSASTPRSAPLTPDATVAARFHETFREPLSSSKREAMLELYPMLGARGRRRLRLD >Sspon.04G0019400-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4A:68841803:68842186:1 gene:Sspon.04G0019400-1A transcript:Sspon.04G0019400-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SSRTVKRHKSINRALGRRSTAVEEDEVGEDEGDEASKIQGGKDAASRSVDRIKSLLSKRKLSVFNTSNHSERMQTKSSASHVHFVRSPVLNRMLQSPRMSQSPVLSARSSSSSSSDDRRMVISPDLGP >Sspon.01G0037370-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:219900:223739:1 gene:Sspon.01G0037370-3D transcript:Sspon.01G0037370-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDNGAAAATAPATSTLPAEKHVATPDAGEDNKAVEGDAPAPALLPCGPRKTGLHLFVMNIRSVFKLDDLGSEVLRIAVPASLALAADPLASLVDTAFIGRLGSVEIAAVGVSIAIFNQVSKVCIYPLVSVTTSFVAEEDAIISKAIEEKSSQDLEKASHVDSETNNLPVSGPDLAECVNSCIPTECTDLSNQGCKKRYIPSVTSALIVGSILGLLQAVFLVFSAKFVLNIMGVKSGSPMQKPAVRYLTIRSLGAPAVLLSLAMQGVFRGFKDTKTPLYATVVGDAANIILDPILMFVCHMGVTGAAIAHVVSQYLITLILLCRLVQQVDVIPPSIKSLKFGRFLGCGFLLLARVVAVTFCVTLAASLAARHGPTIMAGFQICCQLWLATSLLADGLAVAGQAVLASAFAKNDSKKVVAATSRVLQLSIVLGMGLTVVLGLAMRFGAGIFTSDLPVIEVIHKGIPFVAGTQTINSLAFVFDGINFGASDYTYSAYSMVAVASVSIPCLVYLSAHNGFIGIWIALTIYMSLRTIASTWRMGAARGPWKFLRE >Sspon.08G0015400-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:61759986:61762475:1 gene:Sspon.08G0015400-1A transcript:Sspon.08G0015400-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLRLEIKRKFAQRSERVKSVDLHPTEPWILSSLYSGSVCIWDYQAQAMVKSFEVSELPVRSAKFISRKQWVVAGADDMFIRVYNYNTMDKVKVFEAHTDYIRCVAVHPTLPYVLSSSDDMLIKLWDWDKGWMCTQIFEGHSHYVMQVTFNPKDINTFASASLDRTTKIWSLGSPDPNFTLDGHQKGVNCVDYFTGGDRPYLITGSDDSTAKVWDYQTKSCVQTLEGHTHNISAVCFHPELPIIITGSEDGTVRIWHSTTY >Sspon.04G0011010-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:29342272:29348168:-1 gene:Sspon.04G0011010-3C transcript:Sspon.04G0011010-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRPGRLQRSGSKRGLDPTGGGDDDDHAAKRPRVPALASVIVEALKMDSLQKLCSSLEPILRRVVSEEVERALAKLGPARIQGRSSPKRIEGPDGRNLQLQFRSQLALPIFTGGKVEGEQGAAIHVVLLDANTGCVVTSGPESFAKLDILVLEGDFNKEEDEDWTEEEFESNIVKEREGKRPLLTGDLQVTLKEGVGTIGELTFTDNSSWIRSRKFRLGLRIAPGFCEGIRVREAKTEAFPVKDHRGELYKKHYPPALKDDVWRLEKIGKDGAFHKKLNASGIYTVEDFLRLLVRDQQRLRSILGSGMSNKMWDSLVEHAKTCVLSGKHYVYYARDSRNVGAIFNNIYEFTGLIADDQFISAENLTDNQKVYADALVKKAYDDWMQVVEYDGKALLSFKQKKKSVTTRSDAAAASASTSNPASYGSANSQKQLSLPAKAGQTSSAGTMNEDGTRNAYNTNGNQSARYAANAQNIAANVTMQYDRSAVLPESQFSGSSLQSQASRGSNMLALGPPQQHQSFEFPALGQSMQPTGLNPFEEWPQQQENRGGVDDYLMEEIRMRSHEILENEEMQQMLRLLSMGGAGTNLTEDGFNFPSYMPAPSPNLSYEDDRTRPPGKAVVGWLKIKAAMRWGIFVRKKAAERRAQLVELDD >Sspon.08G0000550-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:1968152:1971347:1 gene:Sspon.08G0000550-2P transcript:Sspon.08G0000550-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMAQVEERGGLDSPGLPIDKRLPPDAVKSPLMDDALQPKEGADGYPVKARKPYTITKQREKWTEEEHGKFLEALKLYGRSWRQIQEHIGTKTAVQIRSHAQKFFSKVVREPGASNAIEIPPPRPKRKPLHPYPRKCADNTTMANPPTGEPKNAPISSSSGSDQENGSPVSVLSAMQSDAFGSSDPSMGCTSPASSDDGNNVAEVVNGEESLVTQQIEDDRSRQELKQDNSDGELSEEDSSRVQETSLKLFGKTVIIPDPKKVCSSDGGAGDGEKDSHSSMQEMLQASQVGGVAAYPAHNGWILPFHSFPLHMYESGDARISPLHIWWPYYGFPVGHPRSFGTGLHNEGASESDTGKSPLVESSSDCMGNVQTTTPTNCKVVKESLGPVQTLESGPSVELKPSANSAFVRVKPSSSSRDQPVRGFVPYKRCKVE >Sspon.01G0028800-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:111321422:111323494:1 gene:Sspon.01G0028800-2B transcript:Sspon.01G0028800-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRITVSYSGYVAQNLAASFGLRCTTAAAAGAGAAPGAGCRFLQDALSRPFCLFASSRRADAHHDAEDHNHPKPMPKALPPAAAAGGGYSLLLPRSCSTKAAAPVDDPPTSLAVGLLSVLASGMGSTGGIAGASSLAASPSISAGFNPSALLPFLQATKWLPCSDIVTAATASRSSGRPAAATAAPAPRTAAPAPRTAAPSPRPAPRAAAPSPCPSPVPSPAVAAPSKVGIQALVGSASIASGSAVIGRGAVASGAAGMVKKSGPSLPAGAGVRRKTGWLSRWVSSCSDDAKTVFAAVTVPLLYKSSLAEPRSIPSRSMFPTFDVGDRILAEKVSYIFREPEILDIVIFRAPPVLQALGYNSGDVFIKRVVAKGGDIVEVRDGTLLVNGVVQEEDFVLEPADYEMDPLTVPEGYVFVLGDNRNNSFDSHNWGPLPVKNILGRSVLRYWPPSRITDTIYEHDMAQYTAAAS >Sspon.04G0036580-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:35399883:35404915:1 gene:Sspon.04G0036580-1D transcript:Sspon.04G0036580-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKMFGFSRRRMKLGRLKGHLHDHFHASRSPSRTTKRFSHPNVRLMGEDPLTTSVSGRPDDLAWRCSSDTFDINGRAFESSENWAVLSTEGDKPVPRFDHAAAMVGSKMVVFGGDSGQCLLDDTKILSLDKLTWDSVAPKVRPSLNGRSLKLRPCRGHCLVPWGKNVILVGGKSDQPSDKISVWTFNTESELWSHMEAKGDIPVSRSGHTVIRAGPVLILFGGEDAKGKKLHDLHMFDLKSLTWLPLNYKGAGPSPRSNHVAALYDDRILLIFGGQSKSKTLNDIHALDFETVRSKPMVIIHRLEQVAAGLFVGLNGILQGVEARKNISDSVPFINRHPETWVFDVLESKWSVCVVPPSSSITTKKGFSMVPLYYRDKIVLVAFGGNKKEPSDKVEVLVVLQNEHCFSWRSAPEVEPLLYDDSPPSSRELADHLNNCAPLYSTSSAARSSLATTAENSSGRKSLPDSLLQNSNLGSSSLRRQFRQEEDCSLAQKLQKPIDDDKYKDADDCSELPSITNQKPRNDTRQSPDVDAQTKRLGRSSSDINNHQDTRITTLIRRNMALEEQLSAALASKDEAEKNLSLVIDSKDDLEKRLAEKDREVEALKEKVTGLELAQEESNNLSNTVHADNVRLEREVAFLKAVMDETQKARESPSYMLHFPLLLSELHSTRGVLAGERARAFQLQ >Sspon.08G0003790-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:10157427:10160155:-1 gene:Sspon.08G0003790-3C transcript:Sspon.08G0003790-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFSNVLLPNHAGGSLETDSRLHVRITDADHPRWEVPQSVISREAPRHITLESSTGASPSPHSRVLSAATSDLTFALQASPFRFTVSRRSSGDVLFDTSAALVFKDRYLELTTALPADGRASLYGLGEQTKRTFRLQRNDTSTLWNADIAASNVDLNLYGSHPFYLDLRPSAGAAHGVLLLNSNGMDIEYGGSHLTYRSSAACSTSTSSPAPPRSTSSTSTPSSSAARLPCRTGFHQCRYGYKNLADLEGVVAGYAKARIPLEVMWTDIDYMDAFKDFTLDPVNFPAGPMRQFVDRLHRNGQKYVVIIDPGINVNETYGTFVRGMQQDVFLKRNGTNYLGKVWPGNVYFPDFFNPRAPSSGREIALFRRTLPVDGLWIDMNEISNFVDPAPLNALDDPPYRINNSGVRRPINNKTTPASAVHYGGVREYDAHNLFGFLEARATHGALLADTGRRPFVLSRSTFVGSGRYTAHWTGDNAATWDDLRYSINTMLSFGLFGIPMVGADICGFGGNTTEELCSRWIQLGAFYPFARDHSAIGTIRRELYLWELVARSARKALGLRYRLLPYLYTLMHEAHTTGAPIARPLFFSYPMDVATYGVDRQFLLGRGVLVSPVLEPGATTVDAYFPAGRWFSLFDYTLAVASATGKRVTLPAPADTVNTVFHLLVALAEDGSASGELFLDDGESPEMAGPRGEWSLVRFSCAAGRDGVTVRSHVVRDAYGPSRKLVIGKVMFLGLHSPAPPREFAVYVNGVKTANSMGGALGYQRSGALGAAQVEGLSLAVGKEFELK >Sspon.02G0055200-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2D:1520480:1521267:-1 gene:Sspon.02G0055200-1D transcript:Sspon.02G0055200-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAFMDTIIQEHQDSRGAVAEDDNEDLLDVLLRIQREDELDPPLTTENIRAVIIRDVGDHAAVDHGGADEEPKSNAQGAGRGLASPRLRYLPLVIKEALRLQPPAPLLIPQECRTLCQVLGFDVPEGAMVLVNAWAIGRDPRYWDAPEEFSPERFEGGGAVDFKGTDFGFIPFRGGRRMCPGIAFGLANMDLALASLLYHFDWALPDRVEPGELDMMEAPGITTCRLCHLL >Sspon.01G0010380-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:29003398:29012647:-1 gene:Sspon.01G0010380-1A transcript:Sspon.01G0010380-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMVRAADDVEAGVVGAATTTEPLLLRHCHGSKEEDHQESKIQGSLEEAASDCGSEGRPDAAGSLRMVLLSTAVAVCGSFEFGTCVGYSAPTQSGIVDEVGLSISEFAIFGSILTIGAMVGAVTSGRLADFLGRKMTMRISAIICIFGWLSIHLAKSAIMLYFGRILLGFSTGVLSYVCSDHVLDPQVPVFIAEIAPKNLRGGLATSNQLLICSGSSATYIIGALVAWRNLVLVGLLPCVLLLAGLFFIPESPRWLANVGREKEFHTSLQKLRGEDADVSEEAIEIKEYIESLRSFPKARLQDLFLSKNIYAVIVGVGLMVFQQLGGINGVGFYASYIFSSAVLYEYVAFIITSGFSGKLGTILIGIIQIPITLFGAILMDRSGRRVLLMVSASGTFLGCFLTGVSFYLKAQGLFPEWVPTLALSGILVYIGAYSIGMGPVPWVVMSEIFSINMKAIGGSLVTLVSWLGSFAISYSFSFLMDWSSAGTFFMFSAASLVTVLFVAKLVPETKGRTLEEIQDSLNTRR >Sspon.05G0018070-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:76048318:76051757:-1 gene:Sspon.05G0018070-1A transcript:Sspon.05G0018070-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTAAAAAATVAWAAVPSTSTSSSSPSPFRVGVASTAVPPSSAPRLVAASAPLGHRRRRQVVQAVANPDPAIELPLTAENVEMVLDEVRPYLMADGGNVALHEIDGNVVRLKLQGACGSCPASVTTMKMGIERRLMEKIPEIVAVESIADEETGLELNQENIEKVLDEIRPYLAGTGGGELEFVAIEEPIVKVRLTGPAAGVMTVRVALTQKLREKIPRIAAVDDYV >Sspon.03G0012310-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:50873943:50876383:-1 gene:Sspon.03G0012310-1P transcript:Sspon.03G0012310-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTQINLGDEPAGPFLSLSLGPANAIGASLLTRGGEAINASQVRPHARNAGGDGIGLALGLRCDSDGGGEPVRAVVGSAGTKRQRAVIVSDDSGGGGDRGSKKQALLPALAPPQPQQQRPAGRVTFRARCSAATVNDGCQWRKYGQKVAKGNPCPRAYYRCTGAPDCPVRKKVQRCAHDMAVLVTTYDGVHNHPLSPYAAAMASAVLASASSSSSRDAAAGTAATAAARRASCDDAPRLAFPISVLPPAPQRFSSSRDVAIAGPPPTAASSHNVFPMANIMQKAVGDPNFRAAVIAAVASYAMTRDREPPNTRKEDKDNAKNNSVVKKFN >Sspon.05G0022620-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:3723834:3732862:-1 gene:Sspon.05G0022620-1B transcript:Sspon.05G0022620-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAGAAPAPAPPLLRRCPCSAPPWAPSPFRSRRRGRSMMSPFTGARRSTPRAQSLEFKTAELLSFQYVSTLMCKVAARKNGLTRAGGSGIFHHEPLEDSKSPNQSLLHSVRQRMAPNSLANRHANTELAKHHAINRAAVAVTALTSVVNDDIKPVKRPKESEVEAHWPNGPKFRSPLPKISEVETSLPFDENATDGHAKDVNECSPKETVQPSPARAPLSQESIDARKALATIYDKVLVVDNVMSAKSVVQLLTTKYRNYIHACDTEVDIDVKQETPVGHGKVTCFSIYSGTKGAEADFGNGKTCIWVDVLDGGPDVLMEFAPFFEDSSIRKVWHNYSFDSHVIENYGIKVAGFHADTMHLARLWDSSRRTDGGYSLEGLTNDHRVMGVVSKELRKIGKRSMKTIFGRKKIKKDGSEGKITAIEPIEILQREDRELWICYSSLDSMSTLRLYESLKSKLERKPWTFDGCPRGSLYDFYEEYWRPFGAILVKMETAGMLVDRAYLSEIEKVAVAQRKLAADKFRKWASKYCPDAKYMNVNSDTQIRQLFFGGIENRDKPGDFLPKNKAIKVPNDETAVSEGMKVPKYRTIELFSIVEDLKTDLFTASGWPSVSGDALRNLAGKVPSDLVYSTDDVNDDECGSHSEISNCDLEDISSYGTAYDAFGGGKEGKEACHAIAALCEICSIDSLISNFILPLQGNHISCKEGRIHCSLNINTETGRLSARAPNLQNQPALEKDRYKIRQAFVAAPGNTLIVADYGQLELRILAHLADCKSMLDAFRAGGDFHSRTAMNMYQHIREAVEEEKDAFGAERRKAKMLNFSIAYGKTPHGLARDWKVSVKEAKDTLKLWYSDRKEVLAWQMKQKQLAHEKSEVYTLLGRSRRFPNMAHATSGQRGHIERAAINAPVQGSAADVAMCAMLEIDRNTRLKELGWTLLLQVHDEVILEGPSESAELAKSIVVECMSKPFYGTNILKVDLAVDAKCAQNWYAAK >Sspon.07G0003970-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:9831173:9837768:1 gene:Sspon.07G0003970-1A transcript:Sspon.07G0003970-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVACVAIAAALPPTMDVTGENVLLCAFTSTKESRYLKRVYLPIYYVPQEQITLEAMQLYFSILSIYSYPVGYLDEQFCQIEDLQDEASPNFTEEVVSLEKNPRDFSRWDVNMQQIKGSCSSIGASRMKNECTSFRNSCGDENAEGCLRSFQNLKREHGVLRQKLESYFQLLRQAGPAVTAARPRGM >Sspon.07G0015790-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:60359436:60362613:1 gene:Sspon.07G0015790-2B transcript:Sspon.07G0015790-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCWGAKISSDSPSRGAISPSGATSKFTSRNGAAALSGCSSHASSASMLPTPRSEDEILESANVKAFTFNELRTATRNFRPDSVLGEGGFGSVFKGWIDEKTLAPTRPGTGMVIAVKKLNQEGYQGHKEWLTEVNYLGTLSHPYLVKLVGYCLEDEQRLLVYEFMPRGSLENHLFRRSSYFQPLPWNLRMKIALGAAKGLAYLHSDEAKVIYRDFKTSNVLLDANFNAKLSDFGLAKDGPTGDKSHVSTRVMGTHGYAAPEYLATGHLTTKSDVYSFGVVLLEMLSGRRALDKNRPNGEHNLVEWARPYLRSKRRIFRILDPRLGGQYSLARAQKAAALALQCLSVESRHRPSMDEVVTALEQLQDAKEGGNHHLQKRPSSRSMDNNGVKAAVKGKPAPSVKPV >Sspon.07G0010920-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:36468610:36473902:1 gene:Sspon.07G0010920-1A transcript:Sspon.07G0010920-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LTPPAPTTFAILDRIASHHFLLPPPPVTTPSPVPTTSMAAAASGSATGGGARLVDRCIDAAARGPATVEAWRRQRRSLERLPAPLADALFRRLAARRLLFPSLLEVFGRSVEEVDLSGFLSVDAEWLAYLGSFRYLRVLKLADCKNADNDAVWSLSGMNTLKELDLSRCKKISDAGIKHIVTIESLEKLHLSETELTDNGVMLISSLTNLSFLDLGGILMTDKSLQSLQVLTRLEHLDIWGSETTNEGASALKSFARLIFLNLALTRVNHLSIPPTTRCLNMSNCEIHSICDEDSEVPVPLENFIVSAATFGNIDKVFSSIQASSLTHLDLSSCKLSNLSFLEKMKNLEHLDLSYNIITDGAIEHIAKLGTNLQYLSLKNTGITSQALCILAGTVPNLTSLSLANTKIDDSALAYIGMIPLLRTIDLSQTSIKGFAHTEVNSEKLLSMSAFEHLKYLESLNLDDTPLSAEVIPPLASFAALKYLYLKSDFLSDPALHALSASSNLIHLGFCGNILSSSGLLQFVPPTTLCVLDLSGCWILTGDAISTFRKRHPTIELRHELMQEVEANFVGGSQFRKPRRRRSPHVKAEVGNSFAGPSRLHDICFVDERIKYSKEELMELQGLATPNSLML >Sspon.02G0050800-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2C:68318673:68320841:1 gene:Sspon.02G0050800-1C transcript:Sspon.02G0050800-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQAARKAAATALLSPLQTFFMFPSSAGLLPEACAAKKALSETHPQRVRGCVPASWLKARGLHNARRVFDRTPTRSLPAWTSIISGCAREGRHADGMRAFTEMLDGCGATAPNAFVLAGVLRCCAGLGDVESGRRIHGWILRSGVCPDVVLCNAILDMYAKCGDHGRARRAFGAMAQKDATSWNIVIRACLQDGDVVGAMRLFDESLLRDVSSWNTIISGLMRHGHATEALDRLQQMVRAGVAFSNYTYSMAFALAGLLSLRDLGRQLHGRVVVAVLEEDAFVGCSLMDMYCKCGEMEAALSIFDRWSEFAEDIQFAWSTMVAGYIQNGREEEALAFFRRMLREGVPAGLFILTSVAAACANAGMVEQGRQVHGFVEKLGHRFDAPLASAIVDMYSKCGSLEDACRIFESAQEKNVALWTTMLCSYATHGQGRMALEIFNRMKAEKITPNEITLVAVLSACSHSGLVSEGYHYFNLMQEEYGIVPSTEHYNCMVDLYGRAGLLDKAKNFIEENKISHEAIVWKTLLSACRLHKHIEYAKLASEKLLQLEQYDAGSYVLMSNMYATNSKWLDTFKLRSSMRERRVRKEPGQSWIHMKNTVHRFVALDVSHPRSAEIYAYLEKLMERLKEMGYTGRTDLVVHDIEEEQRETSLKFHSEKLAIAFGIISTPVGTPLRIFKNLRVCEDCHEAIKFITRATNREIVVRDLYRFHHFKDGQCSCEDFW >Sspon.07G0021580-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:3405880:3406755:1 gene:Sspon.07G0021580-1B transcript:Sspon.07G0021580-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPARSRSPAIEPPPAVTGLRSSKISFRSRKIVKTPSAKAKPLATTALAPPVPAPPVLPALSTPGELAAALRHLQAADPLLAAVIASTEAPTFAATPSLPAFHSLARSILYQQLATSAADAIYARFLALLPSASAAVTPVAADAVTPAAVLALAAADLRTIGVSGRKASYLHDLAARFAAGELSDSAVAAMDEAALLAELTKVRGVGEWTVHMFMIFSLHRPDVLPCGDLGVRKGVQELYKLKALPNPEEMAALCERWRPYRSVGAWYMWRLMESKGAAAKKKNKGNASS >Sspon.02G0013070-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:32690372:32691430:1 gene:Sspon.02G0013070-2B transcript:Sspon.02G0013070-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRILLPLWVLANANAGSDPWLSQYGDLSRLFLAGDSAGGNIAHNLALRAGEEGLDGGARIKGVALLDPYFQGRSPVGADSTDPAYLQSAARTWNFICAGRYPINHPYADPLVLPASSWQHLGASRVLVTVSGQDRLSPWQRAYYAALRGSAWPGEAELYETPGEGHVYFLTKLGSPQALAEMAKLVAFINRD >Sspon.04G0028100-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4B:63733621:63738329:1 gene:Sspon.04G0028100-1B transcript:Sspon.04G0028100-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GRYSHEYMLNRLKLVFIDIINVIFIEAQIKEAVLSGVALEDEQREKFNQIEQELEKLTQKFSENVLDATKKFEKLITDKKEIEGLPATALGLAAQTAVSKGHENATAENGPWVITLDAPSYIPVMQHAQNRELREEVYRAYLTRASSGELDNTNIISQILKLRLEKAKLLGYKNYAEVSMAQKMATVERVEELLEKLRAASWDHAVKDMEDLKIFAKDSGSPEANDLTHWDLTFWSERLRESKYDINEEELRPYFALPKVMDGLFTLAHKLFGVTVEPEDGLAPVWHSDVKFYCVKDSSNSPVAYFYFDPYSRPSEKRGGAWMNVVFSRSRVLACNGLAARLPVAHMVCNQTPPVGEKPSLMTFREVETVFHEFGHALQHMLTKQDEGFVAGIRGVEWDAVENTLLSIAKHYETGETLPEEIYAKLVAAKNFRAGTFSLRQIRFASVDMELHTTYDPNGSLSIYDVDRRVAERTQVLAPLPEDRFLCSFSHIFAGGYAAGYYSYK >Sspon.05G0025470-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:23909347:23910826:1 gene:Sspon.05G0025470-1B transcript:Sspon.05G0025470-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAFLKKFFPGLLKRTTARGANKDVYCIYNNQALTAFTSSLYAFGMVGTLLASRVTRRLGRQAVMLIGGSLFLAGALLNAAAANLAMLIVGRMLLGLGLGFSGQATPVYLAEVSPPRWRGGFISAFPLFISIGYLVANLINYGTSRIPDWGWRLSLGLAAVPAAVMVVGAAFIPDTPSSLVLRGKHDDARAALQRVRGKGVDIGAEFADILAAAESDRRNEEGAFRRILRREYRPYLVMAVAFPAFLNLTGVAVTAFFSPILFRTVGFESDAALMGAVILGLMNIGGILASGFAMDRYGRKLLFVAMASIIGSQLGNGSKMAKGYAVTVLVVTLVFSASFSWSWGALYWTIPGEIYPVEVRSAGQGAAVALNLGLNFLQAQFFLAMLCCFKYGTFLFYASWLVVMTAFAVAFVPETKGVPLESMAHVFARHWYWGRFVKGHQKFGEEST >Sspon.02G0043930-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:81807443:81813292:-1 gene:Sspon.02G0043930-2C transcript:Sspon.02G0043930-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Trafficking protein particle complex II-specific subunit 130 homolog [Source:Projected from Arabidopsis thaliana (AT5G54440) UniProtKB/Swiss-Prot;Acc:F4K0C4] FMRLAYLIGYGVEIEKSPVNSASLSMLPWPKPATWPSIPPDSSAEIMEKEKASLDANSKLPPNRSASNLMTRTMSGPATSETSLPVDRPMRLSEIHVAAEHALKQTISDPDFMTSLSSLEEFEKRYMELTKGAADNYHRSWWKRHGVVLDGEIAALRLGRAVGRFLPDLAECQKILNDEAGYLASCVKLLSLDSGLFSSKERQAFQSEVVRLAHSEMKHLVPLDVSSLITFAGNAGPPLELCDGDPGTLSVAVWSGFPDDITLESLSLRLSASSSADEGRNIISFDIPPQKPGSYVLGALTGQIGKLSFRSHGFSQDGPVETDEFMSFEKPTRPVLKVRKPRALVDITPAVSSALLMNELQWIGLIVKPIDYSLKGGILHIDAGAELKIEESQMIEIEIYGSDMECANSANGSIEAGKVEKIPIENGKIKLPDWASDVTTLVWFPVRAIDDTIARGESPVSPQKQSVVDGMRMIALKLEFGVFHNQVFERTIAVHFTNPFHVSTRVVDKCNDGALLLQVILRSEVKATLHVKDVRLDLQSGFEHLGKGDGRPALSLFPLVIAPSSKAGILFMIRLSGTKDADEAENADSMLNITYGISGDRTTGAHSPVPVKPGDSEELLFKIALRLKRPVLDPCVAVGFLPFSTDCLRVGQLVNMRWRVERLKTPEDASISIDEILYQVEANPQNWMVAGRKCGHVSLSNEQGSRMEITVTCVPLVSGYVHPPQLGLPEVGEANISCNPAGPHLVCVLPPALSTSYCIPAA >Sspon.05G0009980-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:30778262:30784576:1 gene:Sspon.05G0009980-1P transcript:Sspon.05G0009980-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABC transporter B family member 19 [Source:Projected from Arabidopsis thaliana (AT3G28860) UniProtKB/Swiss-Prot;Acc:Q9LJX0] MAEGDAGKAEAGSCSGAGAGGGGGCDAVKKRPEQSVAFHELFVFADPLDWLLMAAGSAGAVVHGAAMPVFFLLFGELVNGFGKNQHNLRRMTDEVSKARIQFYSLYFVYLGLVVCASSYLEIACWMYTGERQVGALRRRYLEAVLRQDVGFFDTDARTGDVVFSVSTDTLLVQDAIGEKVAKPIPLALLPRHISWLHDSGVPKDLTPVVGNFIHYLATFLAGLVVGFVSAWRLALLSIAVIPGIAFAGGLYAYTLTGLTSKSRDSYANAGIIAEQAIAQVRTVYSYVGETKALNSYSEAIQNTLKLGYKAGMAKGLGIGCTYGIACMSWALVFWYAGVFIRNGQTDGGKAFTAIFSAIVGGLSLGQSFSNLGAFSKGKIAGYKLLEVIRQRPTIVQDTADGRCLDEVHGNIEFKEVAFSYPSRPDVMIFRDFSLFFPAGKTAAVVGGSGSGKSTVVALIERFYDPNQGQVLLDNVDIKTLQLKWLREQIGLVNQEPALFATTILENILYGKPDATMAEVEAAATSANAHSFIALLPNGYNTHVGERGLQLSGGQKQRIAIARAMLKNPKLLLLDEATSALDAGSENIVQEALDRLMVGRTTIVVAHRLSTIRCVDMIAVIQQGQVVETGTHDELLAKGSSGAYAALIRFQETARNRACPSTRKSRSSRLSNSLSTRSLSLRSGSLRNLSYSYSTGADGRIEMVSNADNDRKYPAPRGYFFKLLKLNAPEWPYTILGAIGSILSGFIGPTFAIVMSNMIEVFYYRNPNKMESKTREYVFIYIGTGLYAVVAYLVQHYFFSIMGENLTTRVRRMMLAVILRNDVGWFDQEENNSNLVAARLSTDAADVNYPAEHDVPPCVFVVGFIIEWRVALLILITFPLLVLANFAQQLSMKGFAGDTAKAHAKTSMIAGEGVSNIRTVAAFNAQDKILSLFCSELRPAAQPDLGALFGLSQLSLYASEALILWFGAHLVRTHVSTFSKVIKVFVVLVITANSVAETVSLAPEIVRGGESIRSVFAILNSRTRIDPDDPDAEQVESVRGEIDFRHVDFAYPTRPDVMVFKDFSLRIRAGQSQALVGASGSGKSTVIALIERFYDPLAGKVMVDGKDIRRLNLKSLRLRIGLVQQEPVLFATSILENIAYGRDGATEEESWKRPRWPTCTASALPDGYRTPVGERGVQLSGGQKQRIAIARAVLKDPAVLLLDEATSALDAESECVLQEALERIMKGRTAVLVAHRLSTIRGVDSIAVVQDGRVVEQGSHGDLVSRPDGAYSRLLQLQLHHG >Sspon.07G0025720-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:39023024:39024595:1 gene:Sspon.07G0025720-3D transcript:Sspon.07G0025720-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding YGAGGGGEGGGAAGAAPGAAGGADPDGPAPPVVAGPVPDADGAHRVAARLQAGPGAGRGEPRGDHRARPRAGAGRLLPARRPPRRVRGRGGAPRRLQRRGRLVHRGRRAVPPGGRGLPRVPAPDPQGRPAPAPAAAAQPRRGEQAHPARPGDGVRVRRLRGGVPVQPRGGGRAGRGQVHGRGGGAGARGGAGLRVPRLGSRRHPGPARRAGRPPPGPHGREAARVPGHRHLRRLHRPLQVAVRGGHGRGAVLGVRGAHRQGVAEPHPRRGVRGPGEHPRAALLRHERAPAPRRHPPPAWRRGVLRQLLLHHARVLHGRQGGVVVRHRRGEDHQGREEAAAVGVRAVGGGGGRGRRRGPVPDHLRLPDAAGVGLDAPRLRGGGLRVGPARPRGAADEPGLHRHVHPRQAVGPQAGGQAHHAVRDARPRRALPRRH >Sspon.05G0015990-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:62858280:62862313:-1 gene:Sspon.05G0015990-2B transcript:Sspon.05G0015990-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPRRLEQLVFLLCCVAAITCRLHTQAQAQTTLQHPKSSTHTGAVGRNLSELGNRSESMLSRRTRRVDPLEGLRKYEGGYNITDMHYWSSAIFTGRSGYVMSGLWIIGGIIFVVVLLVSKIFFSKKKERYTDFDYFLERYQILTLIFCILLTVFVIVSSAVALRGTVQFHSRAESVKEIIGTTALEATATIYNITGAIEKMQNTSKLYNYTSQAWGHLNSTVEALNSEAVEIQAKAEKNMRLVSKGIRTLCMVFCWILTALFWMYFGLYYFFEKFAGDTCVALDEYQLNPQNSTLGTIIPCSEKLSADMILHDVGAGIHDIIDQVNSNIYTIKSEYPVKQLDYICNPFTGPPLYQYRPENCRSGAATIGDIPQVNTTLATVSDSRTNVYVWSIAVDLDMALPPVVKILKRLTCSDFGGGANCRPADLSSAIDYDKVQSYTSSIQNVLDIFPGTERLVSCELVKAGFADIVDNQCAPLRRGARAAWAALAALSASMVLLILLVLVATNARHPGDDRLSVRHLTSSTNSEISEAEFTEMHAKKVRIR >Sspon.03G0022700-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:91689786:91691885:-1 gene:Sspon.03G0022700-2B transcript:Sspon.03G0022700-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVNLLLAALIACSHALVVGTLALGDRRPWPPSLAALVKEGRLRTDTNATVAASTDFGNITSALPAAVLYPSSTGDLAALLAAANSTPGWPYTIAFRGRGHSLMGQAFAPGGVVVNMASLGDAAAAAAPRINVSADGRYVDAGGEQMWIDVLRASLERGVAPRSWTDYLYLTVGGTLSNAGISGQAFRHGPQISNVLELDVITGLGEMMTCSKELNADLFDAVLGGLGQFGVITRARIVVEPAPARARWVRLVYTDFATFTADQERLIAPRPDGAGFGPMSYVEGSVFVNQSLATDLTNTEFFSDADVARIVALARERNATTVYSIEATINYDNATSVDQELKSVLDTLSFVEGFAFQRDVTYEEFLDRVHSEEVALDKLGLWRVPHPWLNMFVPRSRIADVDHGVFKGILQGTDIVGPLVVYPLNKSMWDDDMSAATPSEDVFYAVSLLFSSVANDLARLKEQNQRILRFCDHAGIQYKSYLSRYTNRSDWVRHFGTVKWNRFVEMKN >Sspon.08G0022190-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:47800977:47802452:1 gene:Sspon.08G0022190-2D transcript:Sspon.08G0022190-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DMVISTALLTNYAKRGLVTRAQRLFDEMPRRDVVAINAMLAALGGAGRVTDARALFGRMPDRTPASWNTMVTCYCRAGDLTSARDVFEASLRAMSSSIVSWNAMIDGYCKAGRMDAARELFDRMGSSLPDVITWNTMMAGYLRGGDPASAIAMFHLLMRRQQEREQRLRPTSGTMATVVAACAQVGDLALGRQIHHLIQQKGIGMDTVLSNTLMDMYFKSGSVDCALDVFRAMPRSPNLFCWNTVISGLGVNGRGEDAIAAFHDMVEGRLDGNSVRPDGVTFVALLSACSHSGLVLEARKFFSQMLPLYGLPPQSEHYGCMVDLLCRAGHIDEAARLVQTMPGRPNAKVLGSLLLDARVAERWQEGGVRLSEWAARRISKLDLHDGAAYGLSNVYASLQRWDRVEEHRRQVRAAVRHAKGAPRGKRSGRACYDLAISTSPAVLHKLYKNRITERRRPAAAGKGCVQFHKKKKHYAKKKIFRHIKLAIHALKY >Sspon.02G0022100-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:74789501:74790226:1 gene:Sspon.02G0022100-3C transcript:Sspon.02G0022100-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LNSPRKRASAAAILIPQWRRCRTELPPPHQAPAPLAVVSPLFCAPYAVPLTVTKKAISRSGRDFVVTDANGAEMLRVNGALFSVHDRRVLLDAAGQPLLSMREKVFSMHNRWEVFRGDSTNASDLLFTAKKASIFQLKPEVDVFLAGNSEQQGCDFKIRGSYFERSCGFYLGNSDTMVAQVSAKI >Sspon.01G0037760-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:22601202:22603484:1 gene:Sspon.01G0037760-2C transcript:Sspon.01G0037760-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVTTDAAASAEKPQDAEKPDYAPYNGESTAADGGTGARSRRGGGGGGGGVVDSVVARWRREDMLDKSPLALHAAAAVFAFVALVLVASNQHGDWMQFDRYQEYRYLLAIASLALLYSLAQAARHAHRMRGGVDPVSSASGRLLDFVGDQASAINLVLTRVVAYLLMSALSAAVPITNRMRSAVVNNFTDATVAAISMAFFAFVALALSAVVSGYKLSKQTY >Sspon.08G0003570-3C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8C:8023109:8023524:-1 gene:Sspon.08G0003570-3C transcript:Sspon.08G0003570-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding KQHVTRRRADLDDVLGAVVAAVSLGLPRVALGQTPRALPPRHRPLRAHLTHFFHPPRPPLPPPELKRTDKMSQIHQHEAHTHISSDHGNAGERSDGLTERPRLRPLRAACDPEKRRRLLRFPARSSRAQVEEEMGRRR >Sspon.08G0015570-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:62417124:62419964:1 gene:Sspon.08G0015570-1A transcript:Sspon.08G0015570-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MWKRATSLLRHHRSALGPCSPAASAAAAAGLRRTPAPAPLFFSTLGLRFSSDAAGTRTKTRVEDVMPIATGLEREEIEAELQGKKRFDMDAPVGPFGTKEAPAVIQSYYNKRIVGCPGGEREDEHDVVWFWLEKGKPHECPVCTQYFTLDVIGEGGSPDGHDDDDDHHHH >Sspon.08G0011060-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:46007075:46022415:1 gene:Sspon.08G0011060-3C transcript:Sspon.08G0011060-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMVRDCLAAPYSARLREALTALSEACDSGNSNASETASFTISDILDTAAVVSAEADDGSDDSEDASRPGASEELLREVLGFLSRPSSISNQMALDALSLVLPKPVAKLGAQMGRCRDVAAAILKFFVSNCSPRDMLSILCEALDAPMELPNGLSSFVLLLDALAKECVEEHGSAAIDLFNAAHGIGNAIQEMCKSMALVSRSRQQDILSACGSVVFQSFRFLKSSGFTYLGLLTGSDVTAAIDKLSKEEDADFVEYFSFAMDGAALSGVVWTHMYDDNDMSKYAGEQLEVALKEVQGNHMKKWEAINMLKHVLSSIHYPWIIKSHGLNLMLILAGENHVEEINNHVDFTCYAPRIFATLKTAILLDLVREEVSRESRRADNDCVEDDGFRGNGLPHWASHALELVELILRPPEGGPPCLPDHSNISSKLAKIYSDNRFKRRKIWKIVSERDIAQGALGVADPIKTIAAEIQLENEKDADEITNEIVCTLNLVQLVLYRCIELVEEKLANRMWLTRGQLRR >Sspon.01G0045550-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:23530084:23531119:1 gene:Sspon.01G0045550-1P transcript:Sspon.01G0045550-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGLRSRILRTLQSFPNAAAQSSNLLLALPPGASHSPQPPAAPWPCGRLQEPAPQEVLVDEAGAAPEVPGGGGDDDDDDKENVSPAPTTTGRIPPMAPHATAGRTSRRRLFDPDLLAAFRRAVDAYAQALEEAKRRDDDIDDGEDGDGGEGGPGVADPLEAFELRCPPGGERAVVLYTTSIRGVRKTFEDCARVRRLLEGLRVAFLERDVSMHAAYREELRALMRCGQGQEEGGGAFPLPPRLFVDGRYLGGAEEVVALHERSQLRPVLRRAPRRGAGEGPCAVCGGAWFVVCVGCSGSHWLHDAGGAVAAASRVPCSACNENGLMPCPLCS >Sspon.01G0053350-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:35499392:35502995:1 gene:Sspon.01G0053350-1C transcript:Sspon.01G0053350-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MERDVVVSDPEAAGSSSTPASSSYSSFAETRVICRVCQKQFAQYTCPRCNARYCSLSCYKGHSVQCTESFMRENVMDELKQMQPEDESKKKMLDILKRLHLEEEMESDGEDESMLSEELIQKVMSGEEIRLEDLSDYEINRFRQALASGELSKMIEPWTPWWKKPSARSISLGPDGSQLIRQVNAEDTAISYPDPKTDQEASIDEIPEGPESPLPPLKQLTRTEPSPLLAVHLVDILYSYCFTLRLYNGDWHSDPLGASTVALSMSKIMGQDAKPETVPEALTACIEETCSPAYRHTGGFRFAIGLVDDIITILSLGRNALICALCDFHRLIEVGKSMLKAEKVGKTERAQSSTKLRSAARKLFFMTCWVHEQPNEAWPSLARIVQVQKASLEELDTGNWKADRKSKRQSTVLIEEL >Sspon.02G0021780-2T-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2C:74412125:74413266:1 gene:Sspon.02G0021780-2T transcript:Sspon.02G0021780-2T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSSLRGRRGSPGVRRRARGPAPPACLFIDANLLAVHRAARKIGLPTLVLRTGSAACFGCFLAYPMLHEKGYLPPRESQLCTLVPELPPLRVKDLVYSKHSDHELVRRVLARASETVRGCSGLVINTFEALEAAEIGRLRDELADLPVILAAGPLHKLSSSRGAGSSLLAPDRSCIEWLDAQRSGSVLYVSFGSLAAMDSSEFLEVAWGLAESGHPFLWVVRPNQVRGCCDDESVRRPRLPDGVEDAVRAGRGVVVWWAPQQEVLAHRAVGGFWSHCGWNSTLEAISEGVPMICRPDAVDQMMNTRYVQDVWGVGFELEGELERGNIKDAIRKLMGEREGDEMRERAQELRGKVAGCLEKSSGSSQIAIDKLVTTFCLC >Sspon.02G0031550-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2A:115188029:115190470:-1 gene:Sspon.02G0031550-1A transcript:Sspon.02G0031550-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Origin recognition complex subunit 3, Lateral root developmen [Source: Projected from Oryza sativa (Os10g0402200)] MSAPPCDTLPTAANNIQPFLVLHKAAASSVPSSRAKRQIQTSQPSSPNPKSVNRRRQPAGEECEDERDLEIYEQLRVEAFHRTWSKIQSTIDEVLRAINLKLFDQVLQWAKESFASVRAIAKLHHTEVQQPYPLLTDVICRRIPTAFILTKNAEFVDDITTFHDLAGHMKSIGCHVVKLSAAELSAKHGVGGCFRSLLRQLLSDVPDVADVSALASWYCEAENFDHPIIVIIDDLEQCSGDVLGELVMMLSEWVFKIPVFFVMGIATTLDAPKKLLSSEALQRLEPCKLTLGSPSDRLNALVEAVLVKPCAGFCISHEVALFLRNYFFRHDGTITSFITALRLACSKHFSMEPLSFLCIGMLEEDSEEFWHDKFESLPQAIRKYAFDLPSSKKANNSNNSCNMVEGLSRLLKLQKDWSSVLLCLYEAGRNDKVQLLDIFCEAVNPDLRTGNAPDSYLFASKETCENLLGVKSGSAKGFIAQVMNTIRYLPTEILLHVLEVWSIHLKGMSEINDRVKELQSTTIGADSVRAKRRSTANTGNGTVLLNEKAAALLQDVIRKYLVPVECLPFHEIICFKNVDILQSALIGNPRRMIQLFASKETCENLLGVKSGSAKGFIAQVMNTIRYLPTEILLHVLEVWSIHLKGMSEINDRVKELQSTTIGADSVRAKRRSTANTGNGTVLLNEKAAALLQDVIRKYLVPVECLPFHEIICFKNVDILQSALIGNPRRMIQLDLLKSQSHLKCSCCSRSGAAVSGSLHDTSIMCNLAQEYGDVINLHDWYTAFEGIIKSTNSKAKRKSYSSPSKKKSKPTPPE >Sspon.01G0046850-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:100357792:100361589:1 gene:Sspon.01G0046850-1B transcript:Sspon.01G0046850-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAGVNPVVIRRLETFPATSTLDPRVYGDHTSKITEAHIQHNLEGLTVQNALSKNKLFILDHHDHFMPYLDRINKLENNFIYATRTLLFLKDDGTLKPLAIELSLPNPKGQRHGAVSKVYTPAHTGVERHIWQLAKAYVCVNDSGWHQLISHWLNTHAVMEPFVIATNRQLSVVHPVHKLLSPHFRDTMTINGLARQTLINGGGIFEFTVFPGKYALEMSSAVYNNWNLTEQALPNDLIKRGVAVADARNPYGVRLLIKDYPYAMDGLMVWWTIEQWVKEYLGIYYPYDIDVRRDAELQAWWKEVREVGHGDLMDRDWWPKMNTVKELARTCTTIIWVASALHAAVNFGQYPYAGYLPNRPTVSRRPMPEPGSDDYRKLEAGQKEADTVFIRTITSQLQTIVGVSLIEILSKHASDEVYLGQRDEPERWTSDAKALNAFKRFGSRLVEIEKRIEKMNGDPALKNRTGPVKVPYMLLYPNTSDVTGEKGEGLTAMGIPNSISI >Sspon.02G0011560-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:24740357:24746187:-1 gene:Sspon.02G0011560-2D transcript:Sspon.02G0011560-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCLPRLLLISFLPSVWALAVATAARRSPGCATRCGDMDVPYPFGLEPQCAIHDGFQLNCTTVGRATKLFATTRPRTTHYDNAWINATDALRAVGRRQQDHRPRYVIGCMSTCDDPPKNGSCSGTAGCCEAELPRGVRYYQGFFNELYNTTKIWRKAPCNYITVMESAAFNFSTTYLTSTAFYDADDSRTPVVMEWGITRQTCEEAKANETAYACVSDHSDCVSSDAGYRCSCSKGFEGNPYIVDGCTDINECLDSFTYPCAGICENTLGNFTCSCPRGRNMINGVCVKSQRSDWMVPVVGASVGLVTLVIGITCAYLVQERRKLHRIKQRYFRRHGGLLLFEEMKSQHHQGAAFTIFSEEELQQATANFDGQRILGHGGHGTVIAHESAEALAYLHSCASPPILHGDVKSTNILLDGDYTAKVSDFGASILAPNDKSQFVTVVQGTCGYLDPEYMQTYELTDKSDVYSFGVVLLELLTGKKAFNLEGPENDRSLSMRFLYAMKENKLEDILDDQIKNSENIEYLEEIAELARQCLEMSGVNRPTMKEVADRLDRLRKIMHHPWAHENPEELDKLLGEPSTSNSTATTGNFSITKRAAMGLESGRSTYILASFASWYSASGVWSPPLLLLLLPELPPPPCCMCSPIFDTALFAASSFCLIFSRSSSAIAALRSETADSISFVTSADATKSLNTKGERCDIQQEELLHIAPQNATLNSSSHGNSLIRIDTLAWWFAEYLRNNLLDLCSGLIDNPHNLQSSNPTSILGSLALSIIEISWDSNNCLRDCLAKISEGTEKKHTFPSMTETQEFVVPRSTPMTSAAAGFVLHAEHSLRRQIAMDTDLLMTTHLEFLIT >Sspon.03G0011940-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:32711255:32712599:1 gene:Sspon.03G0011940-1A transcript:Sspon.03G0011940-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAPAPAPGVVVVFDFDRTIIDWDSDDWVITKLGAADAFQRLRPTMRWNPLMVMLRCTTRLLSSPRPDRMMAELHARGTTPEDIRDCLRSAPLDAHVVSAVKTAAALGCDLKVVSDANTFFIETVLAHHGVLGCFSEIVTNPASVDADGRLRISPFHDSAAAPHGCSLCPDNMCKGKIIERIQATASDKKQHFIYIGDGKGDYCPSLKLGEGDYVMPKENYPLWNLICNNKQLVKAEVHPWNSGEELEKTLLKLISKMIATPAQASQFDYSKCEMSNPTSTEVAHHQTLR >Sspon.07G0020180-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:75084938:75086259:-1 gene:Sspon.07G0020180-1A transcript:Sspon.07G0020180-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding TMGRIALVCAPFLASPASSGGCRLRVLVLQTCRLQEGQGTLCLLLVRALSFYAQATARRTVSSGTNEMRRDPLALLLRASSISVSVSGGARHHRRACAARALHPLALKSGRASEARVATALAVAYARSGLVAHARRVFDETPPPRRDLVLCNAMVSCYAAHGLARQAWALFAALRRSGPLAPDGFTFSALLRPPPPPRRRRLRHPADADDGMLLAMGALAHGLVLRLGLLADVVVATALLDMYAKCGGRVDEARRVFDAMTVRNVVSWNAMVVCYGRVGAGKDAVELFRRMLRDESCCPDELTLASVLSSCAGMAAANEATQVHAYAVKRGFHGFLQVANAVITAYGKTGFVREAMQTFAMVCDPDVVTWSSMISSFAYLGLSKDAIHVFERMLQQEFFLPAAMLASLKKAYTISL >Sspon.05G0020700-3P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:82154035:82156909:-1 gene:Sspon.05G0020700-3P transcript:Sspon.05G0020700-3P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGPVQDVRIPYQQKRMFGFVTFVYAETVKIILAKGNPHFVCDARVLVKPYKEKGKVPDRFRKLQHPHHGDFAGCTSPTGLLDSRDPFDLQQPQIAFLLLQMEIDMFVWQLPGPRMMYGNVANHEAFLRRKLEEQQQAAELQQAIELEGRRFMGLHLLDLKSRGHHLGSSPAAMTAMGQGEGGKGNGNAVQLEDVSIQDTPTKMMNSNSLAMGAPAAAAAVSAADAGGEHEEQQGEGDGDGGGGPKQAVNPGEEEKTESGPVTVTPIVACGFQESGVVEHILPDSPFASPTKASTDTAPTAQNGNISNGSPHHVASSLFPPASTIELPPYNSCFFQAPRDYQ >Sspon.01G0048460-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:75924585:75928269:1 gene:Sspon.01G0048460-1P transcript:Sspon.01G0048460-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQQSLIYAFVARGTVVLAEYTEFTGNFTTIAAQCLQKLPASNNKFTYNCDGHTFNYLVEDGFTYCVVAVESVGRQIPIAFLDRVKEDFTKKYGGGKAATAAANSLNREFGSKLKEHMQYCVDHPEEVSKLAKVKAQVSEVKGVMMENIEKVLDRGEKIELLVDKTENLRSQAQDFRQQGTKVRRKMWLQNMKIKLIVLGIIIALILIIILSVCHGFKCGSK >Sspon.02G0040770-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2B:71854287:71857314:-1 gene:Sspon.02G0040770-1B transcript:Sspon.02G0040770-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATRCSSLLLLLLASVSAFFFFHASAVHTLAAGGGARTASGDGGDAKVYIVFTERLPAAAEPSSSEQDTSAAVASYHHDLLGTVLLDGSSSAPDRVVYHYSRGLHGFAARLTNDERNRLAEKEAVLSINEKVVYRPQTTRSWDFIGLPLPQHQADPLPFENDVIIGMVDTGIWPESQSFSDDGLPPPPPKWKGACSNFTCNNKIIGARAYQNGRTTLSPRDTDGHGTHTASTAAGRPVAGASLGGLASGTARGGAPGARLAIYKVCWGADGCSAEDILAAFDDAIADGVDVLSVSLGTPIPFDYADDTMAVAAFHAMRRGVVTSVAAGNDGPEMGSVSNVAPWMVVAGAVNTDRKIVSELVLGNGRRVVANSSINAFFPHLGKPALLVDPGGCTEEQLKGKRYKGAVLLCGFDMDSDAFVATGAHAAIQYSLMPDDDGANNVAFSFAHPTMRLNREDYDHIVHYYNTTTRNPMATVKSSVTIKDASSPSVASFSSRGPNRITHGVLKPDISAPGVEILAAWSGAESVSDIDADTRRTKYNIISGTSMATPHVTGAAAYVKSIHPTWSHAAVLSALVTTATPIATDSSPEAELAYGAGLVNPLGARYPGLVYDASEADYVAFLCAQGYNASQLATLTGSSAKQAAAACPAKEGAALTAVGGLKYPSVRGAVGDLNYPSVAVPVLNYGVPFAAEFPRTVTNVGPADSVYRATVTSSVPDVDVTVTPGELAFSAGTKKMSFTVRVSGKLASVNGSLGASASVVWSDGRHRVRSPVYVFPYKH >Sspon.03G0023730-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:90932237:90943090:-1 gene:Sspon.03G0023730-2C transcript:Sspon.03G0023730-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dol-P-Man:Man(6)GlcNAc(2)-PP-Dol alpha-1,2-mannosyltransferase [Source:Projected from Arabidopsis thaliana (AT1G16900) UniProtKB/Swiss-Prot;Acc:Q9FZ49] MSLSSARQRRATAASPPTDDGYAKDAKDRRRRPGGDGDGEDEGIKWFLPFLALGLLRHMSASSNLIHDCDEVFNYWEPLHFLLYRSGFQTWEYSSDFALRSYLYLFFHALVAGPASLIFGENKVRVFYSVRIFLGLISTITETVLVVALSRRYGKRLACYVLAMLCLSSGCFFASTSFLPSSFSMYAVTLSSALFLLEKYAGAVSVAASGVILGWPFSILVFLPVTVYSLIRGSFRRVFLSGFLTSLCFLVLSFVADYYCYGRLTFSVFNLLKYNVLGGGESHLYGTEGLSFYFRNGFNNFNFAFILALLFLGVVPFARKKYAPDLLIVVSPVYIWLAFMSLQAHKEERFLYPIYTLICVAAAAVIDSLPDFFHDKYSSDQSIFEKIAKGLRPLILGFILCASHSRTFSMLNGYGAPLQIYQHLEHHDDTGPGSILCVGSEWHRYPSSFFIPSYISEVRWIDDGFRGLLPFPFNETLGGTTAAPSYFNNKNKAAEGQYLKDIGACTLLVELDLRRPYPSRGSDLSTWEALAALQFLDRELSPALYRSFFIPYRWQQNNVFGLYKLLRSVTKTW >Sspon.05G0023200-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:1752444:1755661:1 gene:Sspon.05G0023200-2C transcript:Sspon.05G0023200-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRRRRPSGRGAKVAAKPAEDSPPDTDPTSLSSFPSGRSTDPATSQHKSPLSGFQPHQDDDDEAWTQSDKRAMRRRLLDMIHRFYLDAISRLPPAEFRRTTGLARGLHVGGHCFGPLHPVHNIVVNSIWYAAAFPVRRPAGSDDEDEANALLSTDGIARICHRSLDGLVAALRDLCPSLSNGDALWRLFSSGADLTAAVALASGVSKSSALRVVASQGHAAFHLAALVAQHPDPTAFAHFASSALPSINGQHNIVQLLIMKHALATRHINYLSKVLVPSSPNGPSVAPLMLSAQVLDCIASQRQQFKGIGKQMVNVANMALQEYTQRSGQQLTLHSVCGASLLKEEGLKNCYHINFLACHEGSGSGVGPPVLFFTEAIILSCDETDICLCVPVDPVTDIGCCFACESNRKMVVHPFYDEYLGGREFQEDEVDYGNDFPSPLDVDYIFFDADRDRAFANYLWRESTDH >Sspon.01G0017110-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:98564387:98566723:1 gene:Sspon.01G0017110-2B transcript:Sspon.01G0017110-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLGVLLLHPVNAYLEQELDRRCRLYRFWESPREEFLRAHAGAVRAVVGNASYGADAALIDALPALEIVASFSVGIDRVDLAKCRDRGIRVTNTPDVLTDDVADLAVGLAIAVLRRIPQADRYVRAGLWKSRGDYTLTTRFSGKRVAILGLGRIGLAIAKRAEAFGCSISYTSRSEKPFPNYKFYTNVVNLAANCDVLIVACSLNAATHHIVNREVIDALGPEGVLVNVGRGAHVDEPELVSALVERHLGGAGLDVYEHEPVVPERLFGLDNVVVVPHVGSDTEETCRAMADLVLGNLEAHASDKPLLTPVI >Sspon.02G0023600-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2A:80483925:80484063:-1 gene:Sspon.02G0023600-1A transcript:Sspon.02G0023600-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ELQPWKGLRVSDFPRLQETDIGWHVNGLCKDPSIHFWRPVKQLIR >Sspon.08G0006240-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8A:19556801:19559910:1 gene:Sspon.08G0006240-1A transcript:Sspon.08G0006240-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDASTEVTDAIGAMGIDNGAKGKLLPSDSVEGHAEEHDVLADGAHSGESEVINPLEEVEMEATSQSQDIKPRVPEGVQGHSPKVVTKSQRQSPRGGDKSQARKSSPSPYPKAPIARVSDPDLVDSSSSNGDAGASKKKAEKSSFRPVAKESPSLEDSKEKKKSQKSSNQHSVKKDIEEESNESVKPQRVGSTPSYGFSFKCDERAEKRREFYSKLEEKIHAQELEKSNLQAKSKETEEAELKMLRKSLNFKATPMPSFYKEPPPPKVELKKIPTTRARSPKLGRSKNTSSGGIEGNSNPQARSARLSLDEWVSQNGVKKAPAVNAVKKPQRKSLPKLPSEQTTKVDIAASVPSAEELENKSSSTGLVREPIRAQITPDEPGFSA >Sspon.05G0005280-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:16784114:16788688:1 gene:Sspon.05G0005280-1A transcript:Sspon.05G0005280-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDMGEKRRHGHGHGHLVGFGVGGVGHAEHEEKRMEPKKLDMSSMSMDTLPHLTTPLGNITTLDLSNNNLQSIPESIIARLLNVVVLDVRSNQLKSLPNSIGCLSKLKVLNVSGNLLQELPATVEECRALEELNANFNQLTRLPDTLGFELHGLRRLSVNSNKLAYLPSSTSHMTALRSLDARLNCLRALPEGLENLGGLETLNVSQNFQYLRELPYGIGLLVSLRELDVSYNSIAALPDSMGCLTKLARFSAAGNPLVCPPMDVVEQSLDAMRAYLSARMNGTAKAKKKSWVPKLVKYSTFSAGMMTPGRTKVHGSSTAGLHMSDYRSLDGGGIASPGFLSMLSPRRLFSPRRNSTKH >Sspon.06G0004930-4D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6D:14681592:14682059:1 gene:Sspon.06G0004930-4D transcript:Sspon.06G0004930-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEREHWLLCALIAVPFLYFLTSILRPRPGSSSTRRLPPGPRPLPLVGNLLDLRGGNLHHALARLARVHGPVMRLKLGLTTAVVISSRDAAREAFTRHDRRLAARTIPDATRALRFHERSMVWLPSSDPRWKNLRGIVAAHVFSRGASRQRAASASA >Sspon.03G0031800-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3B:31253666:31254006:1 gene:Sspon.03G0031800-1B transcript:Sspon.03G0031800-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VFWPKIVLKKWLNLKSKDLDFGADDEEEEDDDDDGSDVDGQGTVGQNLFFFPDASLFRGYHSFRAQRPRLSSLCPLTPCAASLPFALPENCGCDDDGGGARRSAEDGAHITGK >Sspon.04G0008470-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:21346950:21348076:1 gene:Sspon.04G0008470-2B transcript:Sspon.04G0008470-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGFLRNPNPLSKGGKKKSSSSRSSLMYEAPLGYSIEDLRPAGGIKKFSAAYSNCARKPS >Sspon.08G0021710-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:53953288:53956228:-1 gene:Sspon.08G0021710-2D transcript:Sspon.08G0021710-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKFLSKVVVEYCPLDPRKAAAVELLAQCNGRKAKDSNPACSVELRRLPSPPPSTDPKSQPTLPPPRVLVTYLNGAEEAIIAAEGATAQGIRDQILARGRLIDTEQMFRDGGEKWPSSSPRRSSACRSWHQEFGLASLKVKLKPNRKGIKYPFLPKKAEDKPQA >Sspon.04G0030770-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:4286927:4288936:1 gene:Sspon.04G0030770-2D transcript:Sspon.04G0030770-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAMGLVRELVRPQGTGRPQALRHGRGGGGGLPQRRDRCVGVRRRQRRRRLPGLPVVTTGRAAAGGEVEAQATEIVVVHDLEEAAPATLHGGACPPDLSVITKMLEGLRRSNLYNADEIKKTMALPSPVWLQFLQPYMRSPQAA >Sspon.08G0024170-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:62511441:62515249:-1 gene:Sspon.08G0024170-1B transcript:Sspon.08G0024170-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLALLDFRQAITSDPRGFFSSWNSSVHYCKWNGVTCSRKHPGRVTVISLGRGLEGQISPSLGNLTFLEIPNLHRLQRLQGLNIAENKLAGSIPSGIGFLSNLEVLNLETNNFTGAIPSSLGNITQLQYLLLSDNQLEGSIPVELGKPSKMYQLSLGGNRLSGSIPPTILNHSSLAFLDLNTNFLCMALPSTIGNTLPSLIEFELNNNMFHGQIPDSLGNTPHLRSIIFTSNNFTGQIPNSLGNLSFLQTLLLDENKLEASNSRSWEFLDALGNCSHLQVLSIYDNQLQGAIPDSIGKLSPGLQFLALDKNNLSGLVPNSIGNLSGLNSLVLGENNLAGQIGSWVGKLQNLGRLNLEHNNFSGPIPSSIGSFTQLIELYLQSNKFDGLIPPSLGNLALLVLNLSYNNLQGTIPSEVFNTMSPMITCVLSNNRLEGLIPPELLSVFVEYAQSVHASTCGDVYSFGIVVLEMLIGKRPSDSMFKDELSIVSFVKRNFPDHMLRIIDARLQEECKVFIEEMAETKNEVYQCLISLVQVALSCTRLFPRERMNMRELELTSVGK >Sspon.01G0031280-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:107423306:107424102:1 gene:Sspon.01G0031280-1P transcript:Sspon.01G0031280-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKFGELQSKRLHRFITYKMDDKFKEIVVDQVGDRATSYDDFTNSLPENDCRYAIYDFDFVTAEDVQKSRIFYILWSPDSAKVKSKMLYASSNQKFKSGLNGIQVELQATDASEISIDQIKDRAR >Sspon.06G0031200-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:88879284:88880698:1 gene:Sspon.06G0031200-2D transcript:Sspon.06G0031200-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATNFLKTYQHHLHQHDNKHQFSLPKKKFVTYAVYALIAVVLLYLFVDPAAPPAAASSTTTKPSPAARPPWIQQEEELPAPALSISPAPYQGQQAGDNDDDETSSRIISSTAAAPPPPCDYSDGEWVPDARPPLYNGTTCGAIKDDGRSCTLNGRVDTGYVYWRWQPRGCDLPDFSAAAFLRWLRNRHMAFVGDSLARNQAESLVCLLSSAYQAELVHRSADGRFRRWVFRDHNATVSIFWSPFLVSGVEKSERDGVRFNQVFLDAFDERWMSELAAIDAVWFRIPSVYHEGGRVVGCHGCDAAEFNGTVAEVSFFRAFRDAVGRTLAEAARRHHEQQHSGAGVKLVAMTTFSPSHFEGQWNEGAPCKKKADTELGYTETEMRKIVVEEVEQASSSSSSTSLRFAAVDVTALANLRPDGHPGPYMRKNPFAAGNTGGRVQHDCLHWCMPGPVDTFNQILLQTILR >Sspon.04G0013510-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:48501083:48502882:1 gene:Sspon.04G0013510-1A transcript:Sspon.04G0013510-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Single-stranded DNA-binding protein WHY2, mitochondrial [Source:Projected from Arabidopsis thaliana (AT1G71260) UniProtKB/Swiss-Prot;Acc:Q8VYF7] NLSGKRYASYTVFKGKAALSIHPILPSFSKLESGGSRVSRNGSVMLTFFPAVGQRKYDYTKKQLFALSPTEVGSLISLGPAESSVVNSVEKTNDRLSVPITKAEFSVMRTALSFALPHIMGWDQALTNHHPSPPAISKPRVERPHPDSEWER >Sspon.04G0011300-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:34819369:34820645:1 gene:Sspon.04G0011300-1A transcript:Sspon.04G0011300-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNPVLALCSRAHAPCAPLLPQPPHISVRFLVRRRRFIFITSAFVRLVSHRPALENATPTASVFLGEHDHLLPGAVQVQRQAAAVGGNTAFSDPRSELTCNNNYYDATCWSVVPRKRARDRRRGGRRPEPDHGGALAPLAAARAAGVRARRRRGCAGQQQGALLRRRVHQRTPGGGRHRGGVAVAARHPGAPLPPQRRGRRARPYREREAAGGAGGGAAAARPGRGVGRGARRRAAPAGGGGRPCARALARNAELGERVREMGAEGQAWQGIASGHEAAAAGLRATLEQLLVQAPCAGAADEEGQGEVEDARSCCFEPERRQEGAADGKQARGSGSTRACRACGAADACVLLLPCRHLCLCGGCEAVVEACPVCAATKNASLHVLLS >Sspon.03G0017830-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3A:55294702:55294902:1 gene:Sspon.03G0017830-1A transcript:Sspon.03G0017830-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQMHLCRAPPAMKAGEPDPHTYPAPALARRARTVEKGLSEHRELTHATTKRKEGKPHIHYYTEIW >Sspon.02G0040670-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:65832722:65837683:1 gene:Sspon.02G0040670-2D transcript:Sspon.02G0040670-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCGRGGGDDWFWVRWLAEAEAVAAAAMARRPSTAWAEEEEERPLTVVFASPAENFTDAAPIGNGSLGGMVWGGVATDELQLNHDTLWTGAPGSYTDPDAPAALAAVYQPMGDVNLELGGSDLVEYDSYKRELDLHTATVRVTYSVGPVQYTREHFCSHPHQVIVTRIAASEPGHVSCTLSLSSQLKNTVTVTNANEVVMEGVCPGQRPPAGLQLRNSNSSDDVTTGIKFAAVLGVQMGGSEAKAAVLNDQNKLRLDTADWIVLVVAASSSFDGPFVSPSDSRLDPTSAAVATLNRARSLTYEQLKAAHLDDYQRLFHRVTLRLSPPGGGLQDAHGGLMMTGGKETMLKRGIGTDEGIIRTSADRVKSFATDEDPSLVELMNAIFSFRALGLGLRYPICKESGAKMLHQHGTPHLNINLQMNYWPTLPCNLSECQEPLFDFLQSLAVNGSKTAKVNYQARGWVTHHVSDIWAKSSAFIKNPKHAVWPMGGAWLCTHLWEHYQFSLDKVRNQYDFLEYTAYPLLEGCATFLVDWLIEGPGGYLQTNPSTSPEHAFTAPDGKPASVSYSTTMDISIIREVFSAVLLSAEILEKSDTDLVEKIKKALPRLLPIQIARDNTVMEWALDFQDPEVHHRHLSHLFELYPGHTITMENNPDVCGAVSNSLYKRGEDGPGWSTTWKMALWARLMNSENAYRMVLKLINLVPPDGKNAFEGGLYNNLWTAHPPFQIDANFGFTAAIAEMLVQSTQNDLYLLPALPRDKWPRGCAKGLRARGDVTVNICWDEGELQEAMLWSKSRNSVTRLHYGGRVTSIRVCRGTVYRFNRGLQCLEAWPLGK >Sspon.07G0000500-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:775820:778428:-1 gene:Sspon.07G0000500-4D transcript:Sspon.07G0000500-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRNQFDLLGDVDNDDPAHLLAAAEKKAAAAPKPAPAPAPAKLPTKPPPPAQAVREERSYGAPPRDGPGRGGPGRGRGGRGGRTDPRRDFSDADANGFEGGYGGGGFGDGGVARGENGEGRQAERGRGPRQPYRGGGRRGGYTDGQSGDEFGRPRRAYERHSGTGRGYEMKREGAGRGNWGTPTDEGLAQDTVDAVNPEETAAVVEDEKKPEDAPQSEVEKDKEGAENEEEEKEAEDKEMTLEEYEKVLEEKRKALLALKAEERKVEIDKELQSMQQLSVKKDADEVFIKLGSDKDLKKKENAEREERAKKSLSINEFLKPAEGERYYNSSSRGRGRGRGRGERGGFRGGYNGGYRGPAAAPAIEDQAQFPALA >Sspon.08G0007310-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:21083121:21088608:-1 gene:Sspon.08G0007310-4D transcript:Sspon.08G0007310-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEDAAIEGGQLAPLLGSRSASTTGSPSPDGSLLRRLYAGHALARWGARMWEFSVGLYMIRIWPGSLLFTAIYGVVESSSVAVFGPIVGTLVDKLTCLQVLGLWLLVQSLSFIIAGASVTALLVYDDLKATSFPVFMALVIVTNVSGALAALSTLAGTILIEREWYANIYLASYISVLNIYKLIELHYCCRVVVISSRHPPAVLTGINSVVRRIDLSCKLLAPVFSGLVISFVSAQASAAALALWNVASVGLEYWLFVSVYNGVPALAESSRLTRTADATEAMLLSSSSSSSENVAPTENALDWRVRMTEQLSIIPCWESWVVYLRQDVALPGVALAFLYFTVLSFGTLMTATLDWKGIPAYVISLARGFSAIVGIGATLLYPVVHSWVSTLRTGLWSIWMQWCCLLVCVASIWAASDVASAWMLMAGVAASRLGLWMFDLAVMQLMQDGVPDHERCVVGGVQNSLQSVFDLLTYVMGIIISDPRDFSELIVLSFFLVTCAAAMYTLHVYRVRKHLFHFDKILAKISWNKVS >Sspon.07G0005430-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:9051461:9054572:-1 gene:Sspon.07G0005430-2C transcript:Sspon.07G0005430-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AMKSSPWAGARPPAVVQLLLFLAHLVGRGGAAGGGGKGSSVYPAAVVYPHHSRQISWKPRVFLYQHFLSDDEANHLISLVSATLPTPIPQNACLCFSIMPRTRLNFGISSFSSLQARAELKRSAVADNMSGKSTLSDVRTSSGTFLRKGQDPIVEGIEDKIAAWTFLPTENGEDIQVLRYKHGEKYEPHYDYFTDNVNTARGGHRYATVLLYLTDVAEGGETVFPLAKEADDAKDATFSECAQKGIAVKPRKGDALLFFNLKPDGTTDSVSLHGGCPVIKGEKWSATKWIRVSSFDKVHHPQGNCTDENESCTKWAALGECIKNPEYMVGTTALPGYCRRSCNVC >Sspon.08G0000110-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:671809:677102:-1 gene:Sspon.08G0000110-1T transcript:Sspon.08G0000110-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVLIVTSVGDIEVDLHTDRCPLTTKNFLKLCKMKYYNGCLFHKVEKDFLAQTGDPTGTGTGGDSVYKYLYGDQARFFDDEIRPELRHSKTGTVAMASAGENCNASQFYITLRDDVDYLDDKHTVFGMVAEGFDTLTKINEAYVDDKGRPFKDIRIKHTYVLDDPFDDPPQLAELIPENSPTGKPRDEVAEERLEDTWVPLDQTVAPEQLEEMIRSKEAHTNAVILESLADIPDAEIKPPENVLFVCKLNPVTQDEDLYTIFSRFGTVTSAEIIRDYKTGDSLCYAFIEFDTKEACERAYFKMDNCLIDDRRIHVDFSQSVSKLWGQFRQSKRNAKKDGCFKCGAPDHLARDCDQDGEQKNKGPNYVLKDENTQRGGNHRRSYDLVFDEDEADYSDKRENENGHKRKNRRIDDRKSELPPRGDRERNSHERPHSDEKGSRHGKDDRNRGGRKHDDYHSYSRSGDRSSGRYDDRDYSKHSNRSRSGEEEEGHRRRDKSDGERRHRDDGYEKSDRHRRDEDGHRKRSPDSRHRREDGGHHVKNQQSDDRSYKERRHRDGR >Sspon.02G0015230-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:41482705:41485350:-1 gene:Sspon.02G0015230-1A transcript:Sspon.02G0015230-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTKQGKRDVDAYTIKGTNKVVRVGDCVLMRPADTDKPPYVARVERMESDGRGGVRVRVRWYYRPEEAKGGRRPFHGAKELFLSDHFDTQSAHTIEGKCIVHSFKSYTKLDNVGPEDFYCRFDYKAATGAFTPDRVAVYCKCEMPYNPDDLMVQCEGCKDWFHPSCMGMTIEQAKKIDHYMCSDCAKENGAKRPSNSYPVSPNSDSKRRVPTSMTLNKYAMKVWVCLDACIRPGFPNAKIVCLDACIGV >Sspon.08G0029100-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:23912506:23924127:-1 gene:Sspon.08G0029100-1D transcript:Sspon.08G0029100-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSLRHFAGLGCFPAAASTSGSTCFLRRYTPNFCAFVALRPIRPPAPAATTPKPLASPSPVEQHRQAVPIPCHSGHSSPVSSAAAIPAPLRVGIVGFGNFGQFIAGGVQRQGHAVLAASRSDYSAYCARHGIRFFSSVDALCEEQPDVLLICSSILSTEGVVRAIPFHKLRPDTIVADVLSVKEFPRNLLLEVLPPGFGIICTHPMFGPESGKHGWGKLPFVFDKVRVAEDGDQAAKCDQFLSIFEQEGCRMVEMSCVEHDRYAAGSQFITHTIGRPPAPAATTPKPLASPSPVEQHRQAVPIPCHSGHSSPVSSAAAIPAPLRVGIVGFGNFGQFIAGGVQRQGHAVLAASRSDYSAYCARHGIRFFSSVDALCEEQPDVLLICSSILSTEGVVRAIPFHKLRPDTIVADVLSVKEFPRNLLLEVLPPGFGIICTHPMFGPESGKHGWGKLPFVFDKVRVAEDGDQAAKCDQFLSIFEQEGCRMVEMSCVEHDRYAAGSQFITHTIGRVLSQLNLKSTPINTKGFETLLQL >Sspon.01G0019860-2P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1C:75611391:75612558:-1 gene:Sspon.01G0019860-2P transcript:Sspon.01G0019860-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAATSEDADPPPPPPPAQLPPPRRPHKQLQPRGYQVEVFAAALRGNTIAVLDTGAGKTMVAIMLAREHVLRARAGETPRRIVVFLAPTVHLVHQQFKVIREYTDLDAVECHGASGVGDWNAKRWKEAIETKEIVVMTPQILLDALRHAFLTMHVMS >Sspon.02G0043680-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:124827131:124829696:1 gene:Sspon.02G0043680-2C transcript:Sspon.02G0043680-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLSLQHPWAFAFGLLGNVISFMTFLAPIPTFYRIYKTKSTAGFQSVPYVVALFSAMLWIFYALIKSNETFLITINAAGCVIETIYIVMYFVYAPKKAKMFTAKIMLLLNVGVFGAILLLTLLLFKGDKRVVMLGWICVGFSVSVFVAPLSIMKRVIQTKSVEYMPFSLSLSLTLSAVVWFLYGLLIKDKYVALPNILGFTFGVVQMVLYVLYMNKTPVAVAEGKDASGKLPSAADEHVLVNIAKLSPALPERSSGVHPVTQMAAVPNRSCAAEAAAPPAMLPNRDVVDVFVSRHSPAVHVV >Sspon.04G0004770-3C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4C:13766361:13769385:-1 gene:Sspon.04G0004770-3C transcript:Sspon.04G0004770-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQSYHGGAAAAGGSADFSGQMWVRGTRVLCVRHSASLGACLVLRLLLIRVSRGLGGGLGCEVLVRTDAPLSDDTRPRAGLPAADATKFLWDCLNQDDDELLGLLGNRTPLRDCCDFFADLGDITCKETLDLEESRESKRRRTLEYPSESNTINSVSNEAPSEQEDNHFEHCSYGTPVYIEPDQVPCSWESIANIDDQAGISGASTVKVKQNITTSVAYPFTLIKPSWEEGDVTLQDINKRIHAPPKKAPEILGTSAFSVLCRGFGVESL >Sspon.07G0006220-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:4782727:4783266:1 gene:Sspon.07G0006220-1P transcript:Sspon.07G0006220-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GTKLISLGLIVLMSMGLANAVRVARYSSADGSGQGGGGGGGYVNGAGAGAGSGTGLGDSGPNGAHASAGGGGAGGGTSQNGGSAWGVGLGSGSGSSTYSGIGESSSAGGTGGGGGGGKAGGSWDSSAQGSGSGIGSGSSYANRYWNGPSYAGANANGNGGGTGNSQNGGAAGGKGAGSGY >Sspon.03G0003350-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:8366493:8370098:1 gene:Sspon.03G0003350-1A transcript:Sspon.03G0003350-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MERSLDSYSSINDVTYSCGYCGYALNLSSSARDTEGIGSKYGKQIKKGVVAFVAVDESRFTLTDEVTCMPYFRSARSWGLFRRRARLLCRKCGGRIGNAYDEEEDAARDSSSSLFDGAGSSDDMRPSSGLGSGRSSIVSSQKNYVIKISALQPSSDDSAAVYKDHRLWTTKAVDGN >Sspon.03G0038930-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:8493978:8494758:-1 gene:Sspon.03G0038930-1C transcript:Sspon.03G0038930-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPVKIGHFASPYSHRVEAALQLKGVPYELIQEDLSNKSELLLAKNPTHKKVPVLLHGHWAVCESLVIVEYIDEAFDGPSLLPADPYEATTVPWPVSGFITSWTSRYAAAGICYLEPFSQPFWLVAYWAEGEAQKAVVKEAKENLALLEAQLDGKRFFGGDTPGYLDIAACALGLWLGVLEEVTGVTLVDGDEFPALCQWAKDYNSNEALKPSLPDRDQLVAYYTENKEKYKMFAKT >Sspon.01G0016700-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:38683469:38687557:1 gene:Sspon.01G0016700-1P transcript:Sspon.01G0016700-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heme oxygenase 2 [Source:Projected from Arabidopsis thaliana (AT2G26550) UniProtKB/TrEMBL;Acc:F4IUM0] MPLIATAASAVAPPPPRRVAPLRSLGTRRPGILALTATSCSPSPPPPVVAAEAPAPPQEAKPKPRRYPKQYPGESVGVAEEMRFVAMRLRNPKRTTIKDKAGTADADADAGARASENEDEEDDGGGMKEEHEKEEGGELEAGEWMPSMEGFVRYLVDSKLVFGTIERVVAESTDVAYVYFRKSGLERSASISKDLEWFRKQGIAIPEPSTSGSTYAAYLTELAESNAPAFLSHYYNIYFAHITGGVAIGNKICKKILEGRELEFYKWDTDVELLLKDAREKLNELSKHWTRKDRNLCLKEAAKCFQYLGKMVRLIIL >Sspon.04G0007730-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:23105679:23112154:-1 gene:Sspon.04G0007730-2D transcript:Sspon.04G0007730-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPACSLLALALSLCSVVAAAAAQQVDAVAAAQQAADRVAGLPGQPPVGFAQYAGYVTVNETHGRALFYWFFEATASPDKKPLVLWLNGGTAWVLVDWVRGSGGAGALLGAEGQTGAQMEQLLLEHRHANLMFLESPVGVGFSYTNTSSDLLQLGDKITADDAYKFLLNWFKRFPQYKSHDFYIAGESYAGHYVPQLSEKIFDGNRAGPKENYINFKGLMVGNALMDDETDQTGMIDYAWDHAVISDRVYRDVKAKCDFGMVNVTDACDAALQEYFAVYRLIDMYSLYTPVCTDPGSSASASSHRKVAVHGAAPRIFSKYRGWIMKPAGYDPCTAEYAEVYFNRPDVQAALHANVTKIGYNWTHCSDVIGTWNDAAFSTLPIIRKLVAGGLRVWVFSGDTDGRIPVTATRLTLNKLGLKTVQEWTPWYDHLQVGGWTIVYEGLTFVTIRGAGHEVPLHAPRQALTLFSNFLAGTKMPPTAFP >Sspon.03G0015530-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:73305951:73309201:-1 gene:Sspon.03G0015530-2B transcript:Sspon.03G0015530-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVASSGTSTSPRSCKSLSDLIQVLRYRGREASLELEMASFNQESRAAPQREEAPLQIKYGGISPKKPLINKGHERAYFDSADWVLGKQGASSNSTTTTVPATEPLKPKLQRTAYRQLPPRRPACTSE >Sspon.04G0032940-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:46901424:46905345:1 gene:Sspon.04G0032940-2D transcript:Sspon.04G0032940-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heat shock factor (HSF)-type, DNA-binding domain containing protein [Source: Projected from Oryza sativa (Os02g0496100)] MEVAAARGAGSGGGGGGGGGGPAPFLLKTYEMVDDPSSDAVVSWSDASDGSFVVWNAPEFAARMLPIYFKHNNFSSFIRQLNTYGFRKIDPERWEFANEYFVKGQKHLLKNIHRRKPIHSHSHQPGALPDNERALFEDEIDRLSREKAALQADLWKFNQQQSGAVSQIEDLERRVLDMEQRQTKMLSFLQQARKNPQFVSKLVKMAEASPIFADAFHKKRRLPGLEYITEATETATSFFDDHSSTSRQEMGNLLNQHFSDKLKLGLCPAVTESNLITLSTQSSHEDNGSPHGKHPDYERTGMECLPLVPQMMELSDTGTSICPSKSVSFTTAANDDGFLPCHLNLSLASCSMDVDRSQIPVANGNTVDEKDGPAEVTTPAMEKDGSIPDRCHDDTQNEASGDAGAAADATGRQHQGSQAPPEEHAAPQVVANDKFWEQFLTERPGCSEAEEASSTLRRDPDHTQAYEGTTSDRRDMGQLKL >Sspon.01G0008440-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:23730528:23733436:1 gene:Sspon.01G0008440-1P transcript:Sspon.01G0008440-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLAQHVAGLPCPPLSGASRRRPAAQRRPPSALVCGTYALTKDERERERMRQLFDDASERCRTAPMDGVAFSPDDLDTAVESTDIDTEIGSLIKGTVFMTTSNGAYIDIQSKSTAFLPLDEACLLISTMLKRQAFALQELAWERCRQLQAEDVVVTGK >Sspon.05G0007500-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:14869837:14873489:1 gene:Sspon.05G0007500-3C transcript:Sspon.05G0007500-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MINLFDLSAGMSSTNVLTDRARTDGSPACRNKQVVKRTVDSAKVYANDKVGASNWSSSRNKSNASPLNVALEKEMSKELESKKKSPSVVARLMGLEEDLPGQEPALHSAKRNVKKRHLNGNLVETNNLHQHQEQYHSSMTTCDKPIGPKETVEFKDVYEVSEEPLRTYHLQDQTFPREMSSRSKRDIRMEIVRQKFMEAKRLATNEKLLHSKEFQEAVEVLSSNRDLFLKFLEEPNSTFSKQLPGLHRSPSPPQTKHITVLKPTKFVESECRREIRTHRINEENEHVMRRTHRRSHSAEVTLSQPTRIVVLKPSPGKPSRTMARLTPQATPARLTEQIGFYGGLEDDNYPPDGLHRRDESLLSSVYSNGYGGDESSFSRSEVDYIDEEDGNLSDSEIVSPVSRHSWDHFKRYNSPYSGSTFSRTSRSPESSVIREAKKRLSERWASVAYNEINQEKMQLPRSSSTLGEMLSLRGVKKEVGGLDSVSSGRPCDAENEMTLQATCITALRENEGDGQSSPKNLARSKSVPVSSSMFDNIAPNAPSSNCEGCETPNLATRSDKAKSSFKGIVSSFFFPKSKRQSKEKIILSSSSDGKVEVTCFGSMKPQGGHNIGAMPFCEDKDDSSATQTICSSKDIVSIEVPISSACPSEHLDGLRSGGLNGSCDKPSPTSVLDVSFEDSNINGSESSRSIPCSNERIALRSDAIESVTRSLSWEDMSSPSPLLDLTNLTPLSSVDNDELECVAFVQKIVSSAGLGDLQLGMVFTGWYLPDCPLDPALCDKLLDRKEEAAKSRERRSNQKLLFDYVNMALVEIGHDTLLCAYPWRQGQARSMAWKETLSQSLVEEVPQHMRDWLYGLGKFAVNENDDAGTILERIMQQEVEGRGWVKSMRWEVDEITEQIAGNMLEELVEEAADDLGICSPSPEMPMTIPNL >Sspon.08G0005690-1P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8C:17526043:17528604:1 gene:Sspon.08G0005690-1P transcript:Sspon.08G0005690-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRGSVLALSDTGNVRFIGDRGRVLWESFWYPTDTLLPGQSLAPSSRFEVFLFSKRADAEFNTGRFSLAAQHDGNVVLCIDLFTGDIKQNAYWATGTANSVNGNTTITFDDQGFLNYTLYDGSIYSLISPTDSFVVSPGGSSYFRQPRRQQLLPFLQDGPRRHQTKERLSCLCPSGYTYVDAQHSDGGCTPAFEPQSCGGVENSSDEFSLVEMPNTTWEISVYYKKYPSVTEEQCWEKCLGNCYCAAALMIGGSACAEVGALTYGRQADDVTTTALIKSERPWDRNQSHILRPYKIVLVCLAFIFVATVGVLVAQHYVGRRNRESQQQPLRAFSWKELYQATNGFEELLGKGSFGEVYRGTTRSPQPHLIAVKKLIDSNEYSEQEFSNEVQSVGQIHHRNLVRMIGYCKEGKHRMLVFEFMPGGSLRSFLFNPERRPPWRWRAEAALAIARGLEYLHDGCSAQIIHCDIKPDNILLDDRGVPRITDFGISKLLGSQQVHTTVTHVRGTRGYIAPEWFRGDARVDTKADVYSFGVVLPEDDETVTLFGWAAQLVGSRRTELMLHGDGPDVGSAEDMERVDRFARVALWCVEPNPVLRPTMHQVVLMLETSDRAQGQALPDPPDCYMESSPLIAQLNIV >Sspon.01G0048580-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:109797881:109798547:1 gene:Sspon.01G0048580-1B transcript:Sspon.01G0048580-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RGCSIVVDARDASCRLSHLATGATAALPSLNAVRHAGSDVVTHHLTYLHRPDFHTAIRNSWMRQRRRGATHGTGLSFCRPGDAAWTSVYKPIPVGHGYFDLDYHNGKMFGLDNIGEMAVFDAATLHALCIVQRPPATPNLANKMYGFCTDKEEFNFVHLVALPSKLVLVRTGVKSSRPVAFDAFELGSTPDGFAWLK >Sspon.05G0013650-4D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5D:47749409:47750455:-1 gene:Sspon.05G0013650-4D transcript:Sspon.05G0013650-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RVVESERGKRLREDSLMLVRGLDSVAASLAQLSDTLTAAQKVSIPPSRLRFHRPLLRRDTESVILFQGVSALATCSSQARGCEGGACPEEVEEPKAKRRCAGSTEAAGVDSNSPAAGEETAAGPDGLEKGNVQASAEVAQSTNLKRARNLAVSMASRAAALARELKNIKSELHFMQERCGLLEEENKRLREGCDNGVAPEEDDLVRLQLEALLAEKSRLAQENANLTRENQSLMQLVEYHQLTSQDLDESYEDVMEGIRLDFSSPLGKITDDEDGECGDEVPVTPAEVLCSPDE >Sspon.06G0005310-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:16730311:16732819:1 gene:Sspon.06G0005310-1P transcript:Sspon.06G0005310-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGGAAGGGGGGKRGADDGIAGWAARGVVRSWMPRRGDHSPPPASQSQSAAAAAGQPLPHDLSRDFWMPDQSCRMCYDCDAQFTILNRRHHCRHCGRVFCARCTANSVPRSPGDAAREDGERIRVCNYCYKRWLEEEAAACGDGAGLQPSSPSLSAASVGSDKSSFTGTNGQMSSYANISYSDFASVPVHGEGNCGEDDGYPEKQQPAMDPAPAMEPAAYADKSSDRFNFCLQRSDDEDDDYTVFRSDLEEQHMQNSDEYYGPMYFDGHHVDCSDDAKESTSPRNDLTTLADSLGADKNEDHTLMSAAMLGLLPCIAWKC >Sspon.07G0012100-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:48051061:48055012:1 gene:Sspon.07G0012100-2B transcript:Sspon.07G0012100-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MESCSFRAATAPSPFPSAPSSSSSSPRAPCPILRFPRPRKGRQIGVRRRASGFDAFPPLPGKVFVDEAIGAEYGEGFETFRMDGPLKDYLNEKLQECFLQRIRHAMKPDEAFGLIFSWDNVIADTDSLKLNAWRQLALEEGKDIPSAAHVRKSILHGAADHVLRKVLYWAKEEDKMEKLKARLIELYYENLFKLDTPVEGLREWLDAVQTAGIPCAVASSLDRRCMIEALDRMALSKYFKLDRKPSKCVVFEDDPRGVTAAHNCTMMAVALIGAHPAYELVQADLAIAKYSELSVINLRRLFANKGISFMDLQKQIIEKSPPKRRLTVDTIF >Sspon.05G0002800-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:6035800:6036999:1 gene:Sspon.05G0002800-1P transcript:Sspon.05G0002800-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LAALPGSLVLHLFRLFGQQDQSSWQKYILAYFLLVRNDYFSGESKKHSDVFCDISELDFFPYATDLDSEELELKEQKPISKAQSGGDSSSNRSNDCYFPGLHDDLSQDCLAWASRSDYPSLSCLNKRFNLLINSGYLYKLRRKYGIVEHWVYLACSLMPWEAFDPSRKRWMRLPRMPCDECFSCADKESLAVGTQLLVFGREYTGLAIWMYNLLTRSWSPCTPMNLPRCLFASGSSGEIAIVAGGCDKNGQVLRSVELYNSEIGHWETIPDMNLPRRLSSGFFMDGKFYVIGGVSSQRDSLTCGEEYNLETRTWRRILDMYPGGTSASQSPPLVAVVNNQLYAADQSTNVVKKYDKVNNAWNIVKPLPVRADSSNGWGLAFKACGDRLLVIGGHRGPRGE >Sspon.06G0004400-4D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6D:11340870:11341170:-1 gene:Sspon.06G0004400-4D transcript:Sspon.06G0004400-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RAHRDEGESSSLNLDPPQMEPEQTDLPPAPKQEDSEDDRKGKRRREHDERRGEKERKRDKHGDGKERRRDKHERRHDSEDRSKRHRKDKQKRRHDSDSG >Sspon.02G0021880-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:92150775:92155231:1 gene:Sspon.02G0021880-2P transcript:Sspon.02G0021880-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTMIMAGVAAVLVVLSSATGCDGSVLLDSTPTSTAEKDATPNLTLRGFGSVQRVKDKVEQACPGTVSCADILALMARDAVVLANGPSWPVALGRRDGRVSIANETNQLPPPTANFTRLVRMFAAKGLSVKDLVVLSGGHTLGTAHCNLFSDRLYNFTGANNLADVDPALDATYLSRLRSRCRSLADNTTLNEMDPGSFLSFDASYYRLVAKRRGLFHSDAALLTDPTTRAYVQRQATGLFAAEFFRDFADSMVKMSTVDVLTGAQGEIRKKCYLGHLTRPSLEAIVGTKD >Sspon.01G0059780-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1D:67729300:67729510:1 gene:Sspon.01G0059780-1D transcript:Sspon.01G0059780-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AEGVERLGPGSRESERPRGAVEPRAGWNAVEASRRGGLVRVGDRTRSRHSVEPASYEADLSHVVGCALS >Sspon.08G0025220-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8C:7095305:7096263:1 gene:Sspon.08G0025220-1C transcript:Sspon.08G0025220-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRSRRAGGVSVTNLLVRTDLLLFLLLGPERGSERARYGWGWGMDEVSAQVEEEEGGKPARACEMKKMGSFGPESALGRWLELELDDVNERGVPFSPAGICVSMIDFTLHGCTLQLEERSRLV >Sspon.08G0015370-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:58554752:58605460:1 gene:Sspon.08G0015370-3D transcript:Sspon.08G0015370-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKNKGKGGKNRKRGKNEADDDKRELVFKEDGQEYAQVTRMLGNGRCEAICVDGTRRLCHIRGKMHKKVWIAAGDIVLVGLRDYQDDKADVILKYLNDEARLLKAYGELPETLRLNEGVDVDGPEDGEEGSDYIQKHKVELQLLLCINSLLTFYSVNCLQSHTHHLFEDGKKVSIQQVTLFQKMTTQDYQSKPTLFVDTEDSRRSGFVDMLLNIYADTIPSSEIEDSSAGGISVDSAAAQASNNNNVTSDEEYWAERAEAARSRNRAAYVSDPVAAMNRFNVDVLRATTRRALARYNGPCMATNPIDRCWRCRADWATDRKRLAQCARGFGHRTVGGAGGKLYVVRDPSDDEMIIPRKGTLRHAVIQDRPLWIVFARDMVIRLRQELIVSSNKTIDGRGAQVHIVHAQITLQNVHDVILHNLHIHDAKAHSGGMIRDSKRHTGLRTRSDGDGISVLSSSNVWIDHVSMSSCADGLIDVVNGSTAITVSNSHFTRHDHVLLFGASNDNPQDEVMQITVAFNHFGRGLVQRMPRCRYGFFHVVNNDYTHWLIMRAKRGVLGGRAYPGGTGFSQPPRFGCATAAACSGSDDPVSNKCAYNFIYRANFAGVDLPVSDEDEEEIEDEMDDSEEESGGGGGSSKKKAKQHVEQLKRLQQKDPEFYKYLEHFDKDLLGFDDDDDEIEEQQLEQFTASGPASCRPRQEQQLEQACRYGEDHRDNSTPKFSVMSGSVLDKVMHFVLKHMDRVLRQLLGAPSFGGKKEAISELMLSKSWKRHGNLMRIYLANALHMITEMTDEQMVAFTIHRVRASAVFLAAFPSLLRKYVKVGSDFLDTCLKGIYKAYLVNCKLSKSISGSKLQHIQFLGNCVRELYSLDPQSAYQHAFVFIRQLGVILRGALTERGPKKSYQKIYDWQYIFCLELWTSVVCGCSSEEEFRPLAYPLTQIIHGVACLVPSARYFPVRLRCVRMLNRIAEATGTFIPVSSLLLDMLEMKELRGRPDGGVGKAVNLFSVKQVDKKTVKTRAFQEACIYSVVDELAKHLAQWSYSIAFFEMSFIPLVRLRSFCKTIKADRFRKEMKDLIYQTEKEERCSPLSKYVATLHQRAQDRMDALDETSVIVGAESSTFSRRLSEAQKQQDEQDNDEGTIAFSKNWLAENKKLKTPKKNKKRPREDDDVATEEDRVEDLVLSSDEEDGNNQEPEDGFVPVEGDSDEDFVDPDSEYKKQKKAKLKKRNKRQPLAALALLADAAVERAGVPGERLGVLAALGLDEVEPVEPALVPAAGLVEEGPVEEQVVLRLPHPVLVLAVRRVLPLGHLLEVPVVDGAEAVALADDGGVLAAADGVHEPVRVIVVHHVEEAIAAPRHPLHQPLAEVVERHGHLHQLVLDGAVAGPEQHHVVVLGEVAVGDGHGGGAVHHVHQPVGAAAHRHVVNPDVAGPHHGDAVAVALPADARVVLRVPDHAAAARDGVVDVEVVEDHVVHVLQRDLRARDVHLRAATVDGLVAADDELPAEVDHHVARERDPQRAVLDHGVPERALPGDDQVGRVVAGVGHHVDPAGETAGGPVAEAHGALGEALAVARPVAAAPPALVDRVGRRAGAPRPVRQGPGPRRRLRGPAHAVVEALHDGHGVAHVGGAVVRVRHLGALRPVLLVGGDVDELRVRLVDGGVGRRLRGGGGSDGGEDVEQDGQGAPHHDDGARHFGVVLLLIDPSSILLLNGLGLGGERDRTPGVTCAGSTHRGEVLRPQGEELLLLPKDAEPSRTGSTPPICLFRQIPTRTLAPAAGRPLPRLGMAGAAVLRRSARRISRHLAAAPAFSRSALQQPERLLSSQSSPEHGSRGAVSGSELALYPPERVRNFSIIAHVDHGKSTLADRLLELTGTIQKGHGQPQYLDKLQVERERGITVKAQTATMFYRHVTASQDSDMPKYLLNLIDTPGHVDFSYEVSRSLAACQGALLVVDAAQGVQAQTIANFYLAFESNLSIIPVINKIDQPTADPDNVKDQLKRLFDIDPSEALLTSAKTGKGLEQVLPAVIERIPSPPGKCDAPVRMLLLDSYYDEYKGVICHVAIVDGALRKGDKIASAATGRAYEVLDVGIMHPELTPTGVLYTGQVGYVISGMRSTKEARIGDTLHQAKSTVEPLPGFKPAKHMVFSGLYPADGSDFEALSHAIEKLTCNDASVSVTKETSNALGMGFRCGFLGLLHMDVFHQRLEQEYGAQVISTIPTVPYIFEYGDGSKVQVENPAALASNPGKRVAACWEPTVIATIIIPSDQRALLKYRLPLKEIIVDFYNELKGITSGYATFDYEDSEYQQSDLVKLDILLNGQPVDAMATIVHNQKAQRVGKELVEKLKKFIERQMFEITIQAAIGSKVIARETLSAMRKNVLAKCYGGDVTRKKKLLEKQKEGKKRMKRVGSVDIPQEAFHELLKWVIEISDKPRQREAEPAFKFIGNVHGDEPVGREVLMHLANWLCDNYLKDSLATLIVENIHLHILPTMNPDGFALRWRGNANNIDLNRDFPDQFFSVNNDIDYRQPETRAIMNWVKQEHFTASASLHGGALVANYPWDGTRDT >Sspon.02G0046580-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:1436826:1441286:1 gene:Sspon.02G0046580-1P transcript:Sspon.02G0046580-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGSQRLLLLLVASSSLLSAVIAAQQTCPADLHSKCDGAASDDWEGEFFPGVPKIKYEVRPPKPHQGPTSKNPLAYKWYNKEEVILGKKMKDWMRFSVAFWHTFRGTGADPFGAPTKAWPWEDGTNSLAMAKRRMRAHFEFMEKLGVDKWCFHDRDIAPDGKTLEETNANLDEIVELAKQLQGETNIKPLWGTAQLFMHPRYMHGAATSPEVKVYAYAAAQVKKALEVTHYLGGENYVFWGGREGYQTLLNTDMKRELDHLANFLQAAVDYKKKIGFNGTLLIEPKPQEPTKHQYDWDVATTFAFLQKYGLTGEFKINVECNHATLSGHSCHHELETARINGLLGNIDANTGDPQVGWDTDQFMTDIAEATLVMSSVVKNGGLAPGGFNFDAKLRRESTDVEDMFLAHISGMDTLARGLRNVAKLIEDGSLDDLVRKRYQSFDSEIGALIEFVTLLYGFNQAGKGDFETLEKKVLEWGEPTVPSGKQELAEILFQSAL >Sspon.05G0021460-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:83063879:83067547:1 gene:Sspon.05G0021460-4D transcript:Sspon.05G0021460-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNLLGYCTCDEPFTRMMVFEYAPCVREAEDLDWPARLRIIMGVAYCLEHMLQLDPPVTPPTLSSSSIYLTEDYAAKISDAEFRKEDGGGKEYAQTDDDVVYRFGILLLEVISGRLPFSEDHGLLVLWASSYLDGKRPLRGMVDPTVRSAVPEKDLEALRDVVRLCVRSDNREKRPAMGEVVRALRGVTGLSPEQVTPRDNPLWWAELEIASAVESE >Sspon.03G0015580-3C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3C:70343079:70343705:-1 gene:Sspon.03G0015580-3C transcript:Sspon.03G0015580-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTMITSYHACLSVSTLCFRSVEIETTVTRDAAVADAWVRGLRASHPCGAPFIVDLDCKWNKQPTRSPAWMAPKSAVLQLCAGGGPATGCLVLQLLYLRHLPEILREFLRDPRVQLVGVGVVEAAARLAADHGLVCAAPVELAGPCDACLGLVGRDKLGLKEYTKKVLDLNMEKPESVGMSDWEKYDLSMCQIGYACVDAYVSYRLGEK >Sspon.01G0024170-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:87189701:87191527:-1 gene:Sspon.01G0024170-1P transcript:Sspon.01G0024170-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGLAPPTSVSIHRHLPAGSSRARASNSVRFSPRAVSSVPHATAPAECLQPPFHKPGAADLPAPSKKPAAAIAVPRHAVAPRTSGKKQLNFFQRAAAAALDAFEEGFVANVLERPHGLPSTADPAVQIAGNFAPVGERPPVRELPVSGRIPPFISGVYARNGANPCFDPVAGHHLFDGDGMVHALRIRKGVAESYACRFTETARLTQERAIGRPVFPKAIGELHGHSGIARLALFYARAACGLVDPSAGTGVANAGLVYFNGRLLAMSEDDLPYHVRVADDGDLETVGRYDFDGQLGCAMIAHPKLDPVTGELHALSYDVIKKPYLKYFYFRPDGTKSDDVEIPLDQPTMIHDFAITENFVVVPDHQVVFKLQEMLRGGSPVVLDKEKTSRFGVLPKHAADASEMVWVDVPDCFCFHLWNAWEDEATGEVVVIGSCMTPADSIFNESDERLESVLTEIRLDTRTGRSTRRAVLPPSQQVNLEVGMVNRNLLGRKTRYAYLAVAEPWPKVSGFAKVDLATGELTKFEYGEGRFGGEPCFVPMDPAAAHPRGEDDGYVLTFVHDERAGTSELLVVNATDMRLEATVQLPSRVPFGFHGTFITGKELEAQA >Sspon.06G0001560-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6A:5022433:5025151:-1 gene:Sspon.06G0001560-1A transcript:Sspon.06G0001560-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VSENGNSPFTYIIVDEQTKTRTCIHTPGSPPMVPEELTKANLLAALDGADIVYFDVRLHDTALLVAEEASQRKIPILVDAERKREGLDELLNFASYVVCSAKFPQAWTGASSIPVALVSMLSRLPNIKFVIVTLGDKGCLMLERSMTDASEAGEIDAEALFESLEKKVDQSSTMPKCIASKIQLVQEMHSLEQFYMVYALACHQRGSCLLLHKWLVAVAEVWGLEAAFPIAQIHAWLAIDLNSAGLHPV >Sspon.06G0035680-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:81399665:81400821:-1 gene:Sspon.06G0035680-1D transcript:Sspon.06G0035680-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHAGPYPRNEFENEIQIIPKLQHANIVKVLGYCTERGHRILVYEYVRRGSLHQMIQELKAGVSIAWPLRFGIIEGIAQGVLYLHQHSRLRLVHGDIKPSNILLDCDMTPRITDFGLSEILSSKEDEKEDVNLKGTLGYLDPEFCATNIISTKNDVYGFGVTCLVIISARHAIEANLESDSERLLSWHAWELWSSGRAMDLIDGSLRDDPRMSKILRCLQIALLCVQQTRVDRPTMSDVLMMLKCESMILPMPTGV >Sspon.08G0005920-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:18779322:18780645:-1 gene:Sspon.08G0005920-1T transcript:Sspon.08G0005920-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLRLAAALLLLATATTSWFAEVAAASRVFNVSDFGAVADGRTDDSEAFLRAWTKACATPGRAAVVVPRGDYRLHPLVFRGPCKGYMEVHVAGVLRAPPGLGAFRGCREWVHFSSIDGLLVTGGGTFDGRGATAWPLNECTQKRDCKLLPTSIKLGLVRNATITGVTSLDSKFFHVTVVGSHDVRIHRVSIRAPRSSPNTDGVHIQGSSNVRVTDCAVATGDDCVSVGPGASDVVVSGVSCGPGHGISVGSLGRYPGEEDVRRLRVANCTLAGTSNGVRIKTWRGGSWPTAVAGLVFEDIVMRKVRNPIIIDQEYCPYASCRESEQRPSAVRISDVKFRNIRGESATKVAVKLSCSEASPCRELELRDIDLRYVKRGVATQS >Sspon.08G0009620-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:36058281:36077253:-1 gene:Sspon.08G0009620-2B transcript:Sspon.08G0009620-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTYLKADGEMFAVFADVSTPDVPFGNTFRVEVLTCIMPGPELPDDEKTSRLMVSWRINFVQSTMMKSMIENGAKQGLKDNYVQFSELLAKYFRPVDAKDTTASNEVLSSVQPEQESDWKLAFRIFGNFALLSSVFAFVYVSAHIILASPSIIQGLEFPGLDLPDSAGEVVVCGVLVLQGQRVLNMIARFIQAKRQRGDHGVKARGDGWLLTVALMEGTNLAATKSSGYSDPYVVFTCNGKTKTSSIKFHTLEPQWNEIFEFDAMEDPPSVMEIHVYDFDGPFDEVASLGHAEVNFLRYNNISELADIWIPLNGKLAQACQSKLHLRIFLNNTRGTEVVKDYLDKMEKEVGKKIAMRSPHTNLAFQKIFSLPPDEFLINDFTCHLKRKMLTQGRLFLSPRIFGFYTNLFGHKTKFFFLWEDIEDILLVPATLSSMGSPSLVIILRKGRGMDAKHGAKQLDSQGRLKFHFQSFVSFNVAHKTIMALWKARSLTPEQKVQLVEEESETEDFQNEEGESFLGIEDAKMSGVFSSTKPFDVSTLMGIFEGGPLECRVMEKVGCMDYSVTAWEPVRPDIYQRQVHYKFDKKSTRHGGEAMSTQQKSPLPNKNGWLVEEVMTLEGIPLGECFNLHIRYQLENNASKQKTCTIQVSIGIVWLKSCKNQKKITQDIETSASSRLKKIFSQLEKESIPAKQNCYQCCRSCYTIFWEDDTGETGASKVYNKSEESSKRAPEGGQECQRPKARTKKE >Sspon.01G0000100-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:1499281:1502059:-1 gene:Sspon.01G0000100-2D transcript:Sspon.01G0000100-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMRVLPASWSSASTKHSSGSSESQEHPSPSSTTAAVYLNIYDISPLNHYLYWFGLGIFHSGIEVHGMEYGFGAHEYPTSGVFQVEPKSCPGFIFRRSVCVGTTHMSRSQVHTSIEDLAEDYHGDTYHLIVKNCNHFTADVCKRLTGKPVPGWVNRLARLGSFFNCVLPDSIKVSAVRDVNAHLDFSDDDLGSNASILEGSDDDDLDLLLRTPNSDIVSSRDKTLTPGRDSV >Sspon.03G0028730-3P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:13921244:13925696:1 gene:Sspon.03G0028730-3P transcript:Sspon.03G0028730-3P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLLRRASLRRVIAAAAAASASSSHPESYKQGICGSMFHCREFASKAKKKKSSGTDSGEENMSKKDLALHQAIDQITSAFGKGAIMWLGRSQGHRDVPVVSTGSFALDMALGTGGLPKGRVIEVYGPEASGKTTLALHVIAEAQKNGGYCAFVDAEHALDPALAESIGVDTNNLLLSQPDCAEQALSLVDTLIRSGSVDVVVVDSVAALVPKTELDGEMGDAHVALQARLMSQALRKLSHSLSLSQTILLFINQIRAKVATFGFGGPTEVTSGGNALKFYASVRLNIRRIGLVKKGEETIGSQIAVKIVKNKHAPPFKTAQFELEFGKGICRSSELFELGLKHKLIKKTGGAYYSFNDMAFNGKHNLKSYLDENKSVANDLEMELRRLMGTDAPKEQEVEDSSPSDLPEEIVTPEVSSEEDLGAVIEG >Sspon.01G0041940-3D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1D:53512450:53512932:-1 gene:Sspon.01G0041940-3D transcript:Sspon.01G0041940-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATCMPRLVRGGGCRASTARPAASVSLLERIRDVVLRLIMLSAVSRASAQRTSSCGSGKSSSKAPSPGDASRHRESDACVAVAAACRQDDSIRNEAVEDCIEFLKRSSAEGDAAKFSTASAADAKLAEVGGEDKETGAFFILNVEARREVSPSSGCREST >Sspon.04G0003970-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:12295605:12299630:1 gene:Sspon.04G0003970-1A transcript:Sspon.04G0003970-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PYD1 [Source:Projected from Arabidopsis thaliana (AT3G17810) UniProtKB/TrEMBL;Acc:A0A178VD08] MESLTLRASTAAAAAASPLQQRRFPWRQRATYVRATASASAAGEPDLSVRVNGLQMPNPFVIGSGPPGTNYTVMKRAFDEGWGGVIAKTVSLDAEKVINVTPRYAKLRAEPNGAAMGRIIGWQNIELISDRPLETMLSEFKQLKKEYPDRILIGSIMEEYNKAAWHELIERVEESGVDALEINFSCPHGMPERKMGAAVGQDCDLLEEVCGWINEKATVPVWAKMTPNITDITQPTRISLKSGCEGVSAINTIMSVMGINLKTLRPEPCVEGYSTPGGYSARAVHPIALAKVMQIARMMKEEFADGQSLSAIGGVETGNDAAEFILLGADTVQVCTGVMMHGYPLVKKLCAELQDFMREHNFSSIEEFRGASLPYFTTHTDLVHRQQEAIKQRKAIKKGLQSDKDWTGDGFVKETESMVSN >Sspon.06G0013450-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:58441617:58444641:1 gene:Sspon.06G0013450-2B transcript:Sspon.06G0013450-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SWR1 complex subunit 2 [Source:Projected from Arabidopsis thaliana (AT2G36740) UniProtKB/Swiss-Prot;Acc:F4IP06] MDAGDDEPPVLLDRAARATRGKRITRLLEDEVEQDEAFWSQEALKDEENDDNYVEEHDAGDEFDSDFGEDESEPDDEPEKEERERLPIKKRLMFPGKTLRKTNVKKKKVTPKPEDDAKANKSADKPSSSTQADVPDELEAEKTIRKSTRTSVIPIKRKKEGEEKRMTQEEMLLEAAETEIMNMRNLERVLAREEEVKKKAVVHKDTYEGPTVRFFSRDDPEKPVCVVTGLPAKYRDPKTGLPYATMEAFKKIRESFLKEEADRKRPNMSNMGELFESITGEHLMPKKRRVEIRPPNISGGSRHGGRFWHIPALDMVDED >Sspon.04G0004510-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:13408880:13413257:-1 gene:Sspon.04G0004510-1A transcript:Sspon.04G0004510-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ILKDESTREQYDYAIEHPEEVFYNTAQYYRAYYGHKTDPRAVLIGLLLIVSAFQYINQLTSVVPLLQAMESVKQTPAYKNRLKALEFERTGGIASKKKGHKQVDKKVEEELSNEVELQIHGVEKPSVWRLYGAYLEDLLVLEIPDESRKEDLVRRHLWEKSNMERYIAETRKESKRRR >Sspon.05G0012870-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:5A:40502407:40502770:-1 gene:Sspon.05G0012870-1A transcript:Sspon.05G0012870-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEAARRRQEIAVEGQRHLEETIAAAFQILVSMNDELCNAGLWSSSSVSAAAAAAAAAAAAGSQHQHSATPPPPHSADSDAADAGGAPGPGGSLDEARHRYKSAVGALRASISAVSSCAQ >Sspon.02G0020930-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:68137886:68138529:-1 gene:Sspon.02G0020930-1A transcript:Sspon.02G0020930-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDATASDPSSPPRSRPLQGKRPPRLAVNVESLKIKKPAVTPRPKKSHHLQQTPARAPVIIYDASPQVIGVKPADFVMAMARVQRCILSLQTAWNTQDHCLLSLLPTTAAVSASARLAATERAVRPPPLALLRPPTDYGADADSLAAVLSLPRRPGILSPAALPPATCSRQFSAALPFDPSCLPWLNEQSPFFLPAASD >Sspon.08G0011850-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:47080619:47086480:1 gene:Sspon.08G0011850-2B transcript:Sspon.08G0011850-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MWPGCGGRFYWAPESAPPGQARGVAVLFAWVWSDDEQLRPFVELYSSLGWRCLVCHPDLVALYLSEKATSLATGVISELVKELRVKPVPTVLASFSGGSKGCMYKVIQLLDGICEGDATMKDYRLVRNCICGQIYDSSPIDFVSDVGTQAFGNSSQSTILRSWMAKALASGMDTLFPSRIEAQRAEYWHTLYSAAGLGPVLIFCSEDDNLAPCHIICGFARRLIELGTEVKLMKWSDSQHVGHYNSHETEYRTAVDDMLKKALVTFCHRSQLYDSNMAGDQEYKIAHSVCSLHNAAANSNESLRRVANSPSDHFFCQDHDESQEPGSLIEDQRRNMSHPPCMEPKGVLGQILFDVCVPKNVEGWDIKPTVSPNGRPTFASARQLGPFNPIRYFRPWEPVDELYYPAREPADELYEINNLPLGDQIALVHECL >Sspon.03G0043360-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:80267809:80276918:1 gene:Sspon.03G0043360-1C transcript:Sspon.03G0043360-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAARAELAALLLVAAVCAATGFRPPPVSEDTLEKVAASLEMYVDVLPQMPKVLGYSIKHGRPTPTPTHLTIGMYQKKWLTCVLLRARNQCKQKFHRDLPATTVFVFGTSAESATFPGPTIEALQGVPLSVTWENHLPDRHILPWDPTVPTAIPRSGRGVPTVVHLHGGVHPPQSDGHANAWFTAGFRETGPAWTTPTYLYPNAQAPGGALWYHDHALGLTHANLLAGLLGAYVVRNPAVEAPLGLPRGDAFDRVLVLADRSFYADGELYMNCTGDNPRVHPQWQPEYFGDAVTVNGKAWPFLPVARRRYRFRVINASNARFFNLSLSNGLPFHVVGSDASYLPRPVVVTHLLVAVAEGFDVVVDFSESATAEAELVNAAPYPYPDGDAPNHLNGKVMKFLVDPPAAKEQNDDHSRVPARLLEYVKVAEEEAARTRYIVMYEYEDEATGAPTHLYINGKRMEDPATETPRPGTTEVWEVINLTQDNHPLHLHLATFQAVRARELDGLEEFKRCMERLNDAVRCDVGRHAVGEEVAVPEHERTWKNVVKIAPGFMTTVVVKFLMVDTGRSYPFDATAEPGYVYHCHLPAAASSEAKSELSTEKTSPLSLSLSSSHQSPIYPPVLLLHIVMGERRLPAGACLLAVAMVLQAAAVVLVLGEESDGAGGLLDPRQLEKFVDELPDMPRLRGYGVTEGGALVAGNLTIGMYDTTWKFHRDLPATRVFAYGTSKETATVPGPTIVAMRGIPTHVTWANHLPARHFLPWDPTLTTAAAPGGRGIPTVVHLHGGVQHSSSDGHSLAWFTSGLAATGPTFSPPPYAYPNRQPPGNLWYHDHAMGLTRVNILAGLMGAYRVASPAEEAPLNLPSGEAFDRNLVLFDRDFRAADGALFMNRTGNNPSVHPQWQPEYFGAVVVVNGKAWPYLRVRRRRYRFRILNASNARFFRLSLSGGLRFVHVASDSVYLARPVATDKFLLAPSEIADVVVDFAESAADADAVVLSDDAPAPYPGDPGEKAETVAVMKFVIEGGATTEPDTSTVPATLMPHYPRPDAREAARTRLITMYEYTKAGTDEPTHLYLNARSYMDPVTETPREGTSEVWEVINLTDDNHPLHVHLAVFAVLEQRSLRRVDEFRDCMKRRNDARACGLAHHLAGGRRHVVPRQERGWKNVFKVRPSAVTRILVRFKPLTDAASPEESRFPFDVSTGPGYVYHCHILDHEDNEMMRPMKIVR >Sspon.02G0023230-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:77200425:77213823:-1 gene:Sspon.02G0023230-2B transcript:Sspon.02G0023230-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SEC12-like protein 1 [Source:Projected from Arabidopsis thaliana (AT3G52190) UniProtKB/Swiss-Prot;Acc:Q8GYE0] GWRRGFGGGRREGGVRGVDPAPGGEGGGGGGGAGVRGVRPGGGRPAPRPRSRCSASTPRSAPSPPSPWRGPCSGRGGAGDAPRGIAVHPAGDELVCATATGCRLFKLIFEEFTVHIIPRDAPPLESVGPQKCLAFSTDGAKFAIGGEDGHLRIFHWPSMNVLLDEPKAHKSFRDMDISLDSEFLVSTSTDGSARIWKIDEGAPLVNLTRSSDEKIECCRFSRDGMKPFQSFTAVWNISDWKRIGYKRLLGKPISTLSVSLDGKYLALGSHDGDFCAVDVKKMEVSHWSKKVHLGSLVTSIEFCPTERVVISTSHQWGAELTKLNVPADWKEWQVWLVLLALFLVSAILFYMFYRALGHILELPHGATPAGESHGTCSRSLLRSPRTRIHGDVVYCHGRVAVE >Sspon.05G0022560-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5D:7913679:7914156:1 gene:Sspon.05G0022560-2D transcript:Sspon.05G0022560-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASANSWTLEIASPVAAPRLFRAAVLDWHTLAPKLASHVVASAHPVEGDGGVGSVRQFNFTSVMPFSFTKERLDFLDLDKCECKQTLLEGGGIGVGIETATSHIKVEPAADGGSVVKVETTFKPLPGVE >Sspon.06G0009260-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:40106420:40113683:1 gene:Sspon.06G0009260-2B transcript:Sspon.06G0009260-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MIAQGLRRSSAASSQVSRLVKYIASAGSLQGGHSFSESPARYYSSQPSLQATEENGFKGHSMLAPFTAGWQSTDLHPLVIERSEGSYVYDINGKKYIDALAGLWCTALGGNEPRLVKAATEQLNKLPFYHSFWNRTTKPSLDLANDILSMFTAREMGKVFFTNSGSEANDSQVKLVWYYNNALGRPDKKKFIARSKSYHGSTLIAASLSGLPALHQKFDLPAPFVLHTDCPHYWRFRLPDETEEEFSTRLANNLENLILKEGPETIAAFIAEPVMGAGGVILPPKTYFEKIQAVLKKYDILLIADEIRGLGLILGTEFVDNKSPNDPFPAEWGVGSIFGAECEKRGMLIRVAGDSIMLSPPLIMTPNEVEEVRPFYIVQSLLPYRYNHLTNMKLTEDHIDMKAVVM >Sspon.07G0000940-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:7181778:7187129:-1 gene:Sspon.07G0000940-3C transcript:Sspon.07G0000940-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLRDGRAFLHRALVLSSLLLLASGEIFFEERFGGIQTTGDAKHFAISAKFPEISNKGRTLVIQYSLKIEQDIECGGAYIKLMSGYLNQKKFGGDTPYSFMFGPDICGDQKKKLHLILSYQGQNYPIKKDLKCEADKLTHFYTFILRPDATYSILIDNREREFGSMYTDWDILPPRKIKDVNAKKPKDWDDREYIEDPDQVKPEGYDSIPKQIPDPKDKKPESWDDDEDGTWKPRMVPNPEYKGPWKRKKIKNPNYKGKWKTPWIDNPEFEDDPDLYVLKPLQYVGIEVWQVKAGSVFDNILICDDPDYARHVVDETFAANKEAEKEAFEGAEKKRKAREEEHGEHGRKGKDEGGRGIGTVAGTVTGIDISVIDTTTTMTSYRSPVLLKSARYWLSMELGLDDCVNKVYHFRAGTDV >Sspon.01G0006110-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:16276492:16282647:-1 gene:Sspon.01G0006110-2P transcript:Sspon.01G0006110-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFRRILSTAVRRRSVVAAAAAGNAREASTAVAAAPGVLAPDATPVRAPVMPYDRIAEAVNARLRRLEHPDPRFLRYASPVPSHADHTAILAAPETRVTTLPNGLRVATESSLAARTATVGVWIDAGSRYENEEAAGVAHFVEHMLFKGTGKRSAAQLEQEIEDMGGHLNAYTSREQTTYYAKVLDKDVPRAMEVLADILQNSNLDQKRIESEREVILREMQEVEGQSEEVIFDHLHATAFQYTSLGRPILGSADNVKSITKEDLENYIATHYTAPRMVITAAGNVKHEDIVEQAKKLFNKLSTDPTTTNMLVAKEPASFTGSEVRIIDDDMPLAQFAVAFNGASWVDPDSVALMVMQSMLGSWNKSAGGGKHMGSELVQRAAINDIAESVMAFNTNYKDTGLFGVYAVAKADCLDDLAFAIMHEMSKLSYRVTEEDVIRARNQLKSSIQLHLDGSTAVVEDIGRQLLTYGRRIPTPELFARIDAVDASTVKRVANRFIFDQDVAIAAMGPIQGLPDYNWFRRRTYMLRY >Sspon.05G0025590-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:24954792:24955893:-1 gene:Sspon.05G0025590-1B transcript:Sspon.05G0025590-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFMSPRNDEHRPEDIQQLINSATSSPNRSSPSAALPSDMESGGGGSASSSRASTSDQRAAHREEEREPASLWSRYFSLPVLLLVGVTASLVILPLVLPPLPPPPSMLMLVRHYT >Sspon.06G0033900-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6D:26745523:26748193:-1 gene:Sspon.06G0033900-1D transcript:Sspon.06G0033900-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSRRHRTCRYLHDLPCRRGGWTCDCQTRHPLHDAGNTGGPRRRAARCPHRRASWPTSHVSCVCLDKRSQMTNTVVMDLPYAGIHEECGDESGVRVCAAYPYCHRMDCSEGGIAAGGVGIAWCQGRAIPGFGLGGQRAARMGRRSDPGGERLGTIQTGVGLAVRSLTTTLDSLCDVVAPVGQSLMERSCEKSMFLHRERDVWDRIVEEARLCGELTAQLAATHQRVAELAPAAKEVANLQIREANVRRRAIEAEEKAAALVERARKDDVEAERVRKERDDLLRVMVRLRAEHDSTRKEHDDACGQVNNLLGKVEKERGLKLKAEGVSAELAMEVGRGKAKIHILETKVSRQHGEVDKLRSDVKAHRGGEEPRPRGSLQKENDEHKALRAAVDLVCDDLSLISEQEGSSLVVRATWITDQARKITRLALHFGIHQSFAIARSHYKNIDLAAMSQGYTLGYTDTQLDEIEKTAASPT >Sspon.04G0015990-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:58947802:58953458:1 gene:Sspon.04G0015990-1A transcript:Sspon.04G0015990-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFFRGLTAVSRLRSRMAQEATTLGGVRWLQMQSASDLDLKSQLQELIPEQQDRLKKLKSEHGKVQLGNITVDMVLGGMRGMIGMLWETSLLDPEEGIRFRGLSIPECQKVLPTAVKGGEPLPEGLLWLLLTGKAIDALPVTAHPMTQFTTGVMALQVESEFQKAYDNGLPKSKFWEPTYEDCLNLIARLPPVASYVYRRIFKGGKSIEADNSLDYAANFSHMLGFDDPKMLELMRLYVTIHTDHEGGNVSAHTGHLEVLLWIKSVIEETGSDVTTDQLKDYVWKTLKSGKVVPGFGHGVLRKTDPRYSCQREFALKHLPEDPLFQLVSKLYEVVPPILTELGKVKNPWPNVDAHSGVLLNHFGLSEARYYTVLFGVSRSMGIGSQLIWDRALGLPLERPKSVTMEWLENYCKNKAA >Sspon.07G0036720-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7D:41400758:41403344:1 gene:Sspon.07G0036720-1D transcript:Sspon.07G0036720-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VSDYYQLDDLLTNEEKVLRKRVQGVMEKEIAPIMTEYWEKAEFPFHVIPKLATLGLAGGTTKGYGCPGLSLTAAAISTAEVARVDASCSTFILVHASLALTEPDYGSDASSLRTAATKVFAMSRVLVTWQPIGISMGVFDMCHRYLKERKQFGAPLAAFQLNQEKLVRMLGNVQAMLLVGWRLCKLYEAGKLTPGHSSLGKAWTSRMTREVVSLGRELLGGNGILADFLVAKAFCDLEPIFSYESKSNNTAKLLGYKTSYKSQ >Sspon.03G0034070-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:67940991:67943559:1 gene:Sspon.03G0034070-1B transcript:Sspon.03G0034070-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQSKFINGLQKGRVSDAIIVCVVRKWTHYENQGQGPPLFVGMVVADAKGHAMYAEVCDDLIEEKAKLFDVGKVYAIKYFIVENAKKFFRAVDKNLMIEITQYTTAEVVQNPPQSIPEYIYRITQFPAIKVTKMIFSYTDIIGYLVKYEAAKTFVPKNREKAKTLREIYIKDLRDNMLKITLWGDHALNFNINDIYDPEAGNLIVCLIVGCLPRHDFTDNDKVALTGSSACSYYFNPNIAEARPFHSRSATTPFLYTVYDINLPLMTRFKNIPVYIQCPLDEEVNAPALDIQLPKKTIMELDHLNPFNEKEPGPYKCTVTVTAIVTTSKWWYMACKTCKKSAEQDLHGTYRCPVPTCRTAGTAPRYLLSFKGKDETAEGRFFAYDDEAQQMVQKECEAIFNPLNPRDVLPVPLQKMINKKYVLSVDLTNEACKTTKYREYLVKAVLEKPSVRDVAQIPLLIEHSTMEQGGAGTSTEPLPDQTELLQIEPTGHQIPIDSLRFIFPRSPQPGTDARRQLNFSDDTADKHGTDEDADEDAQIDPKLKRSPPRQTSASKPKNK >Sspon.08G0002550-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:7382014:7383625:-1 gene:Sspon.08G0002550-1A transcript:Sspon.08G0002550-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSLRDVLPPEAHGALRALLARAAATFAQPPDTIVVHETDANGAPNELYDAAQLYLGARCLASAPALYLHKAHGAADAVARCQTTTQRGTRSAGSASCGHRGARSRTAPTPRPASWSGGFSYPVGGGGGQQQRCLVLQFPRCHRDVVRDAYIPHVLDVAARLRLKMRERKLYTNSGYCGGRGGFGSDAHQTQWSAHPFAHPSMFDTLAIDPALRDGIRADLLRFVRRREHYARAGRAWKRGYLLHGPPGTGKTSLIAAIANLLEFDIDCSLGFLDRTRTEEAERDNVPPHHVTMSRFPPMGGGPPGMYGEKISLSGVLC >Sspon.04G0020770-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:73069584:73070213:1 gene:Sspon.04G0020770-1P transcript:Sspon.04G0020770-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMATQGLADQDHHHQLSEPSTNSSSTSHHGAAAASSIATNRWGPYSGAGDFASNMAVILAALLAALALGLALSAAVRYLLRRRRAGSGDRQGNSSRSSGSGVLVEDPEKPPVELEAPPPPPPALVYSAAGTKLAGAAAECAICLAEFADGDAVRVMPACRHGFHARCIERWLAGGRRSSCPTCRAPAAVVAAAQPADESATPAPSSSS >Sspon.04G0012480-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4A:41590085:41592753:1 gene:Sspon.04G0012480-1A transcript:Sspon.04G0012480-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAPGHCIKKKTFSRRSRKPPSPFPTHIKRHRSPSREGKRWAYLTGAYRHQTGNRDLTYGGGAASPVVAARKERPR >Sspon.05G0014380-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5A:52955380:52957659:1 gene:Sspon.05G0014380-1A transcript:Sspon.05G0014380-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LGNACIQLWVCDGIVGDKDSQAAWDVARALHQEVQLGDYPSNTLPYFAYQLNTPPRRWIFSVTSSIMEEKAAYPETMSLFLASQRESDQPLASLPNHMFQQADQLCVLKLCGCTFNFSSPPFHCCYNLRFLGLDRCMDQENLAGEEKNGALAMEIFQRLWVLYVCQTNWELAFLEEIQELVATNIKEIHIRKGRIWRRSLSWRQLQSLYKIRVIEPTCSQVLPTGLKTLVQDGCAGLEHVGPEGEPPSLESISLDGGVGNDDNYIAKISRMTLVGCAKLVEFTLLGSLPNLEELDLSRTAVKTVNLKKFEPQDLHVEMSQEMVDINMANSSQGIKAFYFVMDRVLSLHLHDNCSISSIIPEHLTSTREGEISYHSLKWCYVEKCPKLDTVFHTNYDGPYLFEELETFWAADLLMARSIWSRGRPYDSVDSVSFRKLQAIHLFRCPMLKFVLPLSWNHTLSSLETLHIVWCGDLRQVFPVEAGFLNKIAAEHPNGMLEFPRLKDLYLHHLSSLRQICEAKMFAPKLETVRLRGCWGLKRLPATNKGKHPVVVDCEKEWWDNLEWDGLDFGHHPSLFAPSHSSYYKKRMLRGTVLR >Sspon.02G0033640-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2B:8045982:8052670:1 gene:Sspon.02G0033640-1B transcript:Sspon.02G0033640-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:4-alpha-glucanotransferase DPE2 [Source:Projected from Arabidopsis thaliana (AT2G40840) UniProtKB/Swiss-Prot;Acc:Q8RXD9] MANSGPTSGKKSLNSVTLLFKLPYYTQWGQSLLIAGSEPALGSWNVKQGVSLSPVHQDSELIWCGSVSVVAGFTCEYKYYVVDDNKNVLRWEAGEKRKLVLPEGVKEGDVIEIRDWWQDASEALFFRSAFKNVIFNATEGVKKESQSASLNKSLDPEGVAVPIFSIRSDEDLGVGEFLDLKLLVDWAVNSGFHLVQLLPINDTSVHGMWWDSYPYSSLSVFALHPLYLRVQALSDAIPADVKDVDYEAALSTKLSIARKIFNLEKDKVLNSSSFKQFLSENEEWLKPYAAFCFLRDFFETSDHSQWGRFSQFSKEKLSEAATYARKKKVILKGDLPIGVDRNSVDTWVYPTLFRMNTATGAPPDYFDKNGQNWGFPTYNWEEMSKDNYGWWRGRLTQMAKYFTAYRIDHILGFFRIWELPDHAATGLVGKFRPSIPLSQEKFGSFWTVIAANFLNEYQKQCYEFKEDCNTEKKIIAKIKTSAEKSLWLEKEDSIRRGLFDLLQVMQELGLIGLRIQRMPSEPNLEFGIPSQYSYMTVCAPSCHDCSTLRAWWEEDEGRRSRFYKTVVGSDEEPPSRCTPEVVHFIVQQHFDAPSMWAIFPLQDLLALKDKYTTRPAPEETINDPTNPKHYWRFRVHVTLESLLDDKDIQATIKDLVTSSGRSFPGKKAEGADESREKLSK >Sspon.04G0001630-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:6728872:6741476:1 gene:Sspon.04G0001630-2D transcript:Sspon.04G0001630-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRVKLKAAAEGSFGGGPLDAGGCGRASEPSYRRGASIAAVAKSCGNGIERDRREQEDAHELMVSLLESMHKCCLPSGIASESPSAYEKSLVHRIFGGRLKSQVRCTRCSHCSNTFDPFLDLSVEIGNAATLMKALHNFTEEELLDGGEKHYNCQQCKEKVVAKKRFFIDKAPFVLAIHLKRFSPFNPLQKIDKKVDFRTTLNLKPFVSNSEGMDLKYNLYGVLVHAGRNTQSGHYHCFIRTSSGLWHNLDDNQVCQVREQDVLKQKAYILFYVRERVRSSVIRKDNGASSLSEKEMFSEKIACMNGIIRNALVEKTLDFSLITKVDTKLQMQNPDKGQPSDISAVSQDQCSNEHSNIEDVNASTSQNNAPVQKVPCTLPDGADALSTKEEQIAQCVQREIISPGQPEVCIPDMKTQKLNPDNDQPSNINTTISQDQCSNEHGSTEVTKDLTSQNNELVQKARCTDPDGTATLYSKPEQIAPADQMERTSIGQPDACIPCDASSDQKAYEKPLQDVPLESDGALVNSGKDILNSALQLCNGVDRLLGANEQANEPRTHAFCKPTPDSDATTIAQAIRTEVTAVSNGTISGNKDSTSKDEAKGLVVKELSVKNIDDKVKAEEQTTLGNYTLGDGLAMVNEVFVMEMDHATDAVGQNNSLDTGHVNLEKKICSEDATHVASSKDCVQVMCSENSVQVVGKNPCYGSLHKNMKIKSKKHVKHPVVNLCFGSKQLLASLKPRKKRKHKRTRRQSTSSADTESIADDQQTSTSETVLTSGISHKSHRRKRCRNSASSEDSVQIYGKKQNLGHSCAVELTMDKKGSEDATLAGAELASLCPRSMSNPDSGKCGGRDEKGSWHFNLLTRGLRVPRWDDDDMANNMATELQCSNSTSIGYVLDKWDEEYDRGKRKKVRKSMRDFSGPNPFQEVENIRSRQRRRLQADQARGRGGAGFGCRIRLLPRYCASGAAAKEDAIAPAGTAEAAKEGEGEPTEVTAPTAVVASQPAPREPGSPRTSLGKDALPASLGLGASLVLLLSKSAAELSRMAELRAQMERLLLDARADVRSCNGRPSASGDRTDCASVVKGPVACAGSDGSRAGTAAPASRGVSEADGRRDMDRMEAELEAELSRLQLQRATDDEDSRDDQLEVLAGPKSSASSRSHSTICSDSDNDDGETDNGGGGDERQDRYDEEEDNDRDADEGNEIKSPPHGGVSARELERRLHQLLQSRHEARIVELESALERARRKLRETEREACRWRDTAKLATRFADESRLSRSKHLPLRGSMLRGPESVAFDGAGAGPYSGVSDGRVLKWNGFARGWSTYAYSPGYDAEACTASRARPAELTESKCGRPLGLRFHHKSGNLYIADAYKGLMRVGPGGGEATVLAAEVDGVPLRFTNGVDVDQVTGEVFFTDSSMNYPRSQHERVTATGDSSGRLMKYDPKTGQVTVLQAGITYPNGLAISADRTHLVVALTGPCKLLRYWIKGPKAGTSEQLADLPGYPDNVRADGRGGFWVALHREKMELPFGPDSHLLAVRVGADGQVLQVMRGPKSVRPTEVVEREGGKLYLGSVELPYVAVGFFLRDGTGCPFWKWEKEYLEVVLGKNTVGAQAGPSNAGTAMVKSPDLKMNTDGGARNNGCVKREVVSTEMVKEVVMVLKAIFVVCVALLFVLILVLLVLLVNNVGFSNDDVLSNIMLSIHMFSNIIKP >Sspon.08G0008930-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:37273877:37287491:-1 gene:Sspon.08G0008930-2C transcript:Sspon.08G0008930-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDAMHLEKFGHNLKMDLPVNASATDKFKPQKLDYFLILDLEGRVEILEFPVVMIDARSTEFVDSFHRFVRPTAMSEQRTTEYIEGKYGKFGVDRVWHDTAIPFKEVLQEFEDWLGNHNLWKKEQGGSLNRGAFVTCGNWDLKTKVPEQCKVSKIKLPTYFMEWINLKDIYLNFYSRRATGMMTMMRELQLPIIGNHHLGIDDSKNIARVVQRMLADGAVIQITAKRQSATGDVKFLFKDRIR >Sspon.02G0037770-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2B:35438099:35438684:-1 gene:Sspon.02G0037770-1B transcript:Sspon.02G0037770-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFVLVGHDDYSSSEAAAGDLGSSTVDLPRLSSVGHYLPAAAVTGACALHWMPFAAAGLHRARPAGRAAVAAAEARPFAAVCGATARFPEDSLYVCADLPPLAPALLDVQLALAHVAAKEASHAVCHWYFNAVALVMRLLVDDNAGDGRGPAVFDRSKFELVFALKWGRV >Sspon.02G0023180-1P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2D:71479113:71482885:-1 gene:Sspon.02G0023180-1P transcript:Sspon.02G0023180-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKQLKVYVYEEGEPPVFHDGPCRSIYSTEGRFIHAMEMESRLRTRDPARAHVFFLPFSVVKMVKTIYEPGSHDMAPLKRTVADYVRVLSSKYPFWNRSAGADHFMLSCHDWGPYVSSANAQLFGNSIRVLCNANTSEGFNPAKDVSLPEINLRSDAVERQVGGPSASRRPILAFFAGGNHGPVRPALLAHWGRGRDDDPDGVRVSEYLPRGTSYTDMMRRSRFCLCPGGYEVASPRLAEALYLECVPVVVDDGEYALPFADVLNWDAFAVRVRVADVPRLKEILSAVSPRQYIRMQRRVRMVRRHFMVHGGPPRRYDAFHMILHSVWLRRLNVRITAAQG >Sspon.02G0038910-1P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:48903097:48904704:-1 gene:Sspon.02G0038910-1P transcript:Sspon.02G0038910-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAEISSEKAKQSCSAAAASAEIRLDRQLQAWWDNPSWTDEPPEIKVTVPEGSLCNLNLRFKTGLPPDAVYNIIIDPENKRVFKNIKEVISRKVLLDEGSRQIVEVEQAAIWKFLWWSGILSVKFRQGRTGFMRKFEGCWKIDPLFVDKELCLPLDPHTLEEYESCTGGRGRVGSAITLDQLIEPALLPPPPISWYLRGITTRTTEMLVNDLIAETARLRGISNNAVTKQDTEEMCGANPSDPTRECGDKGKVATEKKRWTARIVP >Sspon.01G0007890-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:30999169:31003367:-1 gene:Sspon.01G0007890-2B transcript:Sspon.01G0007890-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVQEYLEKHLLSRKIEEAVNAAVRAKAPDPVLFIAGHMRRAAPAVITRVRARQILDGHGAPAVEVELHTNKAVHRASAAGAGALEGAAADAAGGSERRKILARAVADAVRVINDKVSEALVGMDPQQQAQIDQAIMDLDKARHKAELGANAMLAVSIAACKAGAAEKEAPLYKHIADLVGKSATTLPVPAITVINGGKHAGNGLPIQEIMILPVGAKNFEEAMQMGSETYHHLKDIILEKCGSDSCNIGDHGGISEGLDLVIAAIERAGYNGRIKLAIDVAATDFCVAPAIAIESMLSEPPSRNLLLRIEEELGSEGVYAGENWRTVSTS >Sspon.07G0000450-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:789868:791703:1 gene:Sspon.07G0000450-2B transcript:Sspon.07G0000450-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAGATIVCAARDGGELGLLPLRPPPSASSAAIMIYLTAPGLAVMPMRVMASDSIASVKLRVQTSRGVTARKQKLVFDGRELARDDGRIRDYGVADGNVVHLVIRIPDLRLITVETVQGGKFRFRVEPGRTVGYVKQQIAKDRRLHPATRPDDQRLVLQGEDLDDRHLIHDVCRADGAVIHLLVQRSSSKISAAEADDGFEVSIVARDAGQPLPLPRRDVGIEPVVVVGNPDAAAQQLPSAVRDMIDAAVAGMENGNAPIMSSEGTGGAYFMQDATGHRHVAVFKPADEEPMAANNPRGLPVSSTGEGLKKGTRVGEGALREVAAYILDHPRAGRRRSTADAGAPGFAGVPPTALVRCTHKAFRQPDASPLAPPPVPKLGSMQAFVSNCGSCEDMGPRAFPVQEVHKICVLDIRLANADRHAGNILVCKHDGGGMSLVPIDHGYCLPESVSSSDPSISAFICIGCSSSWINSCMQFEDCTFEWLYWAQCREPFGDETVEHVRSLDAEEDIAMLTLHGWEVSRECARTLRVATMLLKKGVERGLAAFDIGSILCRETLTKESAIEEIVREAEAQRQRGGGCDDDQTAFLQAVSETMDRRLDELSPAGAKVI >Sspon.01G0028850-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:100459957:100463179:-1 gene:Sspon.01G0028850-1A transcript:Sspon.01G0028850-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin-like protein HCF164, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G37200) UniProtKB/Swiss-Prot;Acc:O23166] MEDMAGDGGGEQDEQAVKAESTEEEVRTSTPQDAGLPALPNKDLNRRVALLSTLGAVALFASQRLNLSETSLKDLAANAVPYEEALSNGKPTVVEFYADWCEVCRELAPDIYKVEQQYKDRVNFVMLNVDNTKWEQELDEFGVEGIPHFAFLDKEGNEEGNVVGRLPKQYFLENVVALASGDPNIPHARVVGGDDRLYYNVL >Sspon.05G0002270-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:6210120:6211452:1 gene:Sspon.05G0002270-2D transcript:Sspon.05G0002270-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDARQVCAADSARARCVALQDHLAASPDLPRHPALRSLLRLVDAELRFLSSLRPAPATPLSSNLPHLAALHLVLTHPAVRSPSRLSPLPGVDFACAFRGRPAWVLVSASNPARLAWAPPGGLRARFAAALDAARGAPPATRPEKLLLVFSRGVGVDIARGLAEGFQAVETDLLEEFIGDSEEEDGNGWVAVSFNPSEELRNFRVFEIDVVEGAGEVLLPPDAAVAEGTGDEEPLGFEGAFGAFMRKMWRESRELVNLDTTALVAIVSGISNGGVGKLMATPEAETRARFKCNYKFVMDQEPVFHHPVLNVVDQLFTAIKYTDLIVFRCDFCKEAHIKYTDLICTIRTAISNTHRVGESSGGKQCIICETVNLEFKEIVSMCGGAEENIRARHLLKQL >Sspon.04G0017470-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:30481773:30485834:1 gene:Sspon.04G0017470-2D transcript:Sspon.04G0017470-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVQLAGPRVVVAAKPAALGGLVLTPSLAVPRGRRARGLVVRAAMVVSPKYTSIKPLGDRVLVKIKTSEAKSDGGILLPVSVQTRPQGGEVVAVGEGRSFGSSSIEISVPVGAQVVYSKYSGTELKFNDADHLILKEDDIIGILDSDDVKDMKPLNDRILIKVAEAEEQTAGGPLGEDGSRNPLRITRGSNVMYSKYAGSEFKGEDGEYIVLRASDVMAVLTSDSQAYSAIYEM >Sspon.04G0031800-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:18026466:18029248:-1 gene:Sspon.04G0031800-1C transcript:Sspon.04G0031800-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNSSAAAVAAMFGIRDADHQEQMKPLLAHQHQLPPAPLLNAAASSSAGSGQVTGASLPPVKKKRNLPADPDAEVIALSPKTLLATNRFVCEVCNKGFQREQNLQLHRRGHNLPWKLKQKDPAQAQRRRVYLCPEPTCAHHDPARALGDLTGIKKHFCRKHGEKKWKCDKCSKRYAVQSDWKAHSKVCGTREYRCDCGTLFSRYYA >Sspon.03G0008360-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:22553894:22563054:1 gene:Sspon.03G0008360-1A transcript:Sspon.03G0008360-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGARDGGEDDAETKTTTDEGRGGGSDRAAGATTNSNKQLVPFLGMFRYADRTDAALMTVGTVAAVANGMTEPLMTVVFAAVIECFGAGDDATILHRVSKVRCHYVPTCVIPNDWLKHLPAPEVSCWTVAGERQSTRLRSLYLEAVLRQDIAFFDVEMTTAEATSRMSMDTVLIQDALGEKVVSFNGEKKAIAMYNNHIKKAYKATLMEGIVTGLGVGCVFFVVFCNYSLAFWAIGNASPSISAIAEGQSAAQRLFEIINRKPNIDITDTSGIVLEDIEGDVELKDVFFRYPARPEQLILDGLCLQVPNGTTMAIVGESGSGKSTVISLVERFYDPQAGEVLVDGVNIKSLQLQWLRGKISLVSQEPLLFMTSIKDNITYGKADATIEEIKRAAELANAATFIEKLPDAYETMVGQRGSQLSGGQKQRIAIARAILKNPKILLLDEATSALDVESERVVQEALNRIMVGRTTLIVAHRLSTIRSADCIAVVHQGKVVERGVHDKLIKDPDGAYSQLIRLQQAHAKERHEVPNTDVSGSIYKSRSLSLEQSIDRDSPRNKGHHSSTKSTGLSEELNKQVSIDRQEHQESSDSKAPKKAPIGRLFKLNKPEAPVLLLAAIAAFVHGLMFPSFSIMMSGGIRSFYYPPHQLRKDSRFWALMCLLFAVIALISIQLEYFLFGVAGGKLIQRVRSLSFQSIVHQEVAWFDDPSNSSGALGTRLYIDALNIRRLVGDNLAILVQCIVTLVAGFSIAFASDWKLTLIVICVIPVMGSQNYIQVKFLKGFSEDAKVMYEDASQVVTEAISSIRTVASFCAEKRVITSYIQKCQASMKQGIRSGMVGGLGFSFSNLMIFWNFQTSAMATDSTKAQESTTSILAIIDRRSKINSTSDEGVILEKVDGNIDFSHVSFKYPSRPDVQVLSDFTLAIPARKTVALVGESGSGKSTIIALLERFYDPDSGTISLDGTELKKLKLSWLRTKWGEVREAEIVAAAKAANAHEFISSLPQGYSTIVGERGTQLSGGQKQRVAIARAILKDPRILLLDEATSALDAEAERIVQGALDQVMVSRTTIVVAHRLSTIKGADMIVVIKDGKVAEKGKHGYLVGKGGVYASL >Sspon.02G0026440-1P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2B:66132870:66137534:-1 gene:Sspon.02G0026440-1P transcript:Sspon.02G0026440-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSWPSSSSTRTSTLLRLLCVCLCAALPVALQAAGRPASVTIGALFTFDSVIGRSARTAIQLAVDDVNGDPTVLRGTNLSVIFQDTKCSGFVGTIQALELMERQVVAVVGPQSSGIAHVVSHVANQLRVPLLSFAATDPALASKQYPYFVRATHDDRFQMAAVADVVAHHGWREVTAVYVDNDYGRGGVVALGDALEANRARVSYKAAFPPGADRAAIADLLVRANLMESRVFVVHASPDSGLDVFAAARSLDMMAAGYVWIATDWLTAAAIDSAGAGSASKSNIQGVLTLRQYTPDSDAKASLVSRFAATAKSPSNAMNAYGLFAYDSIWMAARAIDQFLSDAGGGNVSFSTDPNIHDGNGSALGLSALKVFDQGEQLLRKVMLANFTGVTGSVRFQFDADGSGTLINPAYEILNVGGTGVRRVAYWSNYTRLSVAAPTLLDDGGPPPNSSTTTQQQQQMYSVIWPGDTTAKPRGWVFPNNGKPLRIGVPYRTTYKQFVSKDRSSPDGVSGYCVDVFNAAVALLPYPVPASFVLFGDGVKNPNYNELVQRVADGFFDAAVGDISIVTNRTRVVDFTQPYVESGLVIVSTVKAKSSNEWAFLRPFTPGMWAIIGAFFLFVGAVVWILEHRFNPEFRGSPRKQMVTMFWFSFSTMFFAHKENTVSTLGRFVLIIWLFVVLIINSSYTASLTSILTVQQLSTGIQGLDSLLSSNDPIGYQVGSFARSYMMQELGVPASRLRELAIDDYADSLQRGPSNGGVAAIVDELPYVELFLSTNCQFRTVGQEFTKSGWGFQAFQRDSPLAVDLSTAILTLSENGDLQRIHDKWLNPGTCASQSTDGVGSDRLNLGSFWGLFLICGVACFIALLIYFARILCQFCEYHGTGTTAGAAQEDDGACPFPDPQRSLRRPARLTSIRDLLSFVDMKEAEVKRAIRSRSDRRLDGSMGGRSYTSEGPSLSRPSSMSPVARVGDLKLTKLDGYPAEQNCLYGAELANHVPGEQGFLPEYGGGTEDVSLEKLQVVDRMQSFLKMKQAGAGYFFRCFASLELLQTGVIESQCY >Sspon.03G0012070-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:33456657:33458704:1 gene:Sspon.03G0012070-1A transcript:Sspon.03G0012070-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRRPLQTLTLPLLRRHFTAAAAEALVSPYQAVDPLSPPYDYLPGHPRPDAKHDEVILAVPRASSGRHVSAKERKAGRVPAIVFEQENGQEGGNKRLVSVQSKQIRKLVDHLGRSFFLSRLFRLQVWSEHAGQGELIESVRVLPRKVHLHAGTDEPLNITFMRAPSSALLKIDVPLMFIGEDASPGLRKGAYFNTIKRTVKYLCPADIVPPYIEVDLSELDVGQKLLMRDLKVHPALKLLQSPDQPICNIIGSRAPEQKKGK >Sspon.06G0003020-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6A:9589171:9591799:-1 gene:Sspon.06G0003020-1A transcript:Sspon.06G0003020-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMPAYVSFDWTQPLHVRSLHLTSVRSQSQRRALSAMLNGCDTRIRSNVRLGTNADGSPFTWDDSPSMGDWWDEIIWMPKGDMERAGRQLCPLGGGGQAHRHWHPRLPVHWEPGKGVSEHSLGSTFGIEVLQVWPRIKQLNALGNLHPFFPRPPSGPHGNGGNAPPELHGLPPTSAWFYTMLRWGHLPKAGSVPPSVRAPSSPFRGMRMKTSHHRRAVVPCGEPFSSSVAPKGEGHHPRPLAPQRSSKRLAEKETEEFIPVADKAIQRKALWESLASCLASLKSRCSGNPAVVSVDAISHSDHCLTVSFSSISFTYAFTVTNVYALSDHQATAAFLEEFESLAAPSSTNWVVIGDLNLTRDPTDKNTTGFDRHLATSFNNLIDRLTLIELSLLDRLFTWSNKRVVPTLARLDHALVNTAFPNSSLNFRLGSIFDHVPLTLSIPTAIPKSHFHFENAWLKSPNFLMTVSPASTNAWFRGTLLVVWWPMSKLFDTLPSSGLASTSPAPMITTMPPSLC >Sspon.04G0001160-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:4401673:4404332:-1 gene:Sspon.04G0001160-1A transcript:Sspon.04G0001160-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PAP-specific phosphatase HAL2-like [Source:Projected from Arabidopsis thaliana (AT5G54390) UniProtKB/Swiss-Prot;Acc:Q38945] MGRAPPLLAAGPPPRGVSASLGAGQLRVGTEHGWLWDCRGGGARDYAREMEVAVRVVQVACTLCQRVQDSLLRPGPDAAAGRVHAKLDRSPVTVADWGVQATVSWLLSSSFHDENISIVAEEDDETLSSGDGAALLESVVEAVNGCLVEAPNYGLRSPEKELGAHDVIQAIRKCSSTGGPKGRFWVLDPVDGTLGFVRGDQYAIALALIEDGEVILGVLGCPNYPMKKEWLNYHQKYYRLMSKVAPPPLGPGIRVV >Sspon.01G0027310-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:94538917:94542980:-1 gene:Sspon.01G0027310-3C transcript:Sspon.01G0027310-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSMQAADAAGRLSALLSLLALRRLLAVLQPLALLLLLPFRWRARPGAVPTAAPSDAAASASSGASGKKGKASSSVVLRVPAGSPMVAARRQASARREIAMRRAREAGREYELIATARGETLFTQCWWPHPPSSNIKPRALVVVMHGLNEHSGRYDHLARRLNDIGIKVYGMDWTGHGGSDGLHGYVQSLDHAVNDLKMYLKKVLAENPGLPCFCFGHSTGGGIILKAALDPEVETLISGIVLTSPAVRVQPAHPVIAVMAPIFALIAPRYQFTASHGNGPPVSRDPEALRAKYTDQLVFTGAIRVRTGYEILRLTSFLQQHLHRIAVPLLVMHGADDLVTDPRGSRALYEQASASDKSLKLYDGLLHDLLIEPEKDKVMDDIVAWLSPRV >Sspon.04G0001950-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:3522135:3530142:1 gene:Sspon.04G0001950-2B transcript:Sspon.04G0001950-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GAGGGGAGRHRRRAEGALDGAVGAGVDLGVGARVRGMVEGGGQGRAAEAGRHAALPAPRGRGRRQGQGRGRRRGGRSRGGPGGGGRGGPGGGARGGVRQLAERRAPRARAQGAAARAHHRGAGVFDLSVVKPSDFVHYGLSCLEKLADQGDNRAKAVREKMRIVVAGGDGTVGWVLGCLSDLYKLKREPVPPTGIIPLGTGNDLARSFGWGGSFPFGWRSAVKRYLSKASTAPICRLDSWQTVIQMPEGEIKELPYALKKVEPGDRLEISQENGTELSEKASFYKGVFYNYLSIGMDAQVAYGFHHLRDEKPYLAQGPVANKVLIGALQWCNLNVVSLKYPAICFIIIMGLKNILRLSIKKANCSEWEQVQMPSSVRSLVVLNLYNYGSGRHPWGDLKPEYLEKRGFVEAHSDDGLLEIFGLKE >Sspon.07G0034540-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:74869013:74869594:1 gene:Sspon.07G0034540-1C transcript:Sspon.07G0034540-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTMLQKDREKMSEVQLRISKEKLKLARLKQQEAKDQKETTLYEKYTDVLVLSLSIMEPGVGAPDPGDPLAAPLVPPPPADLTPPATRTAIQVTHHHRPLAVALQSLPEAPRPLRPEPPVPSPSFSSSALAWQCRSFSSATSSPPLHPRSLVSLRAGQLLLWS >Sspon.03G0000100-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:10632919:10635468:1 gene:Sspon.03G0000100-3C transcript:Sspon.03G0000100-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGTVSKLAAPRPAAAPLRPASLRTAALAFAPSPRRVSVSIAGRARSPIIAMASAKEGNGAPTKKTALHDLYELQGLSPWYDNLCRPVTDLLPLIASGVRGVTSNPTIFQKAISSSSAYDDQFKQLISSGKDAESAYWELVIKDIQDACKLFEPIYNETDGADGYVSVEVSPRLANDTQGTVEAAKWLHKVVNRPNVYIKIPATAECVPSIQEVIANGISVNVTLIFSIARYEAVIDAYLDGLEASGLSDLSRVTSVASFFVSRVDSLIDKMLEKIGTPEALALRGKAAVAQAKLANQLYQKKFSGPRWEALAKKGAKKQRLLWASTGVKNPAYPDTLYVDSLIGPDTAFIDHGTVSRTVDANVSEAEGVYSALEKLGIDWSEVGKQLELEGVDSFKKSFDSLL >Sspon.02G0027470-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:99367532:99372066:-1 gene:Sspon.02G0027470-1A transcript:Sspon.02G0027470-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGRGKVEVRRIENSVSRQVTFSKRRRGLAKKARELAVLCDADVALLIFSDKGRFHDFAAHGSMERILDRYERYLLCEARDVTEDYPEESQENMSYDHIKLRSKLEALQKSQRNLMGEQLESLTFREVQQLEHQIDSALRNIRSRKEAFLMEQNSILEKFLQLKKAKSPPELAGKNCSPDDSNEPGRTVAAPPGAAISLPWWMLQPPGVSQRQEQEQH >Sspon.05G0026020-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:30194854:30205730:1 gene:Sspon.05G0026020-1B transcript:Sspon.05G0026020-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSRSPISRRSTLQPKDRCDQVESSGVRGSLREGRTENTRKAARGTSRRAASRQEDRRPASGWRELSGASPESCGGQRPGAGAMGGYELVRSDDAPAAIAVDLEAGGTTAPCDDGGSTPSPPAPASTRQRLVSLDVFRGITVLLMIIVDDAGAFIPAMNHSPWDGVTVADFVMPFFLFIVGVALALAYKRVPDKLDATKKAVLRALKLFCLGLVLQGGFFHGVRSLTFGVDLQEIRLMGILQRIAIAYLLTALCEIWLKGDEDVDYGYDLLKRYRYQLFVGAVVAITYMSLLYGTYVPDWEYQTSGPGSIEKSFFVKCGVRGDTSPGCNAVGMIDRKILGIQHLYGRPVYARSKQCSINSPQNGPLPPDAPSWCQAPFDPEGLLSSVMAIVTCLIGLQYGHIIVHFQSEPTRHAHFSFLVIRNITIDRSCSLPGLHMNKPLYTLSYTLATAAAGLLFSGIYTLVDVYGYRRPTVAMEWMGMHALMIYVLIACNILPIFIHGFYWKEPKNNLLKFIGIGA >Sspon.07G0000810-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:10866785:10871073:1 gene:Sspon.07G0000810-2B transcript:Sspon.07G0000810-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATPISVKPPSPAPAAPPPATLEPRDLPTHAVGGTVLEPSSVNQLAIAPDPKRQRVEEAADGNGCKHCACKKSRCLKLYCPCFSGGGYCSDKCGCQPCFNKEAFSETVQTTRKVLLSRQKRMSMKINRRPEANAEPMEDAHHSSSSTPPRKGCNCKKSSCLKKYCDCYQVRQDYQTHCYGQDGTGCSLFCRCDDCQNPFGKNANDGFPTAEGIMADDSKRYLYTGADLDHSEGEHDFVVERSPRLQSPISKESSLHQTPPHLRASSRDTHVFPQAISQWQALPRSWQHCSNKRNSNDRAMDDSANYKNSNHDWQLSKHEDSYSISKCVQILNGMVELSQVEKSVAPDVFLQPGNREIFISLSGDVRAMWLKRKIQHLA >Sspon.07G0008070-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:21862990:21866663:-1 gene:Sspon.07G0008070-1A transcript:Sspon.07G0008070-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTTTTVGRNGEGEQKRREKGGFKTMPFILVLAFFGRLMTAHLMASPYDAGNDICDRFATAGFGANLITYLTKQLHLPLVEASNLLTNFGGTSSLTTILGALAADSFAGRFWTIIAGSVFYQLGMLGLVVSALLPSLRPSPCSAPPGGPACQRASGLQLTVLYLSLLCTAFGAGGLRPCVVMFGTDQFDHELGEQQQKQVTAEAAKAVAERKRRYFNLYFFMMGVAALLALTVVVYIQDNVGWGWGFGIPAIAMFVSIVVFVIGYPLYVMLKPGGSPFTRLAQVAAAAFKKRGVAVPEDTGMLYQDKELDVLISTNGRLLHTNQLTFLDRAAIVTPGDISASGQPDLWRLSTVHRVEELKSIVRMLPIWSAGIMLATIESHNGTFIIIQAGSMDRHITRHFEIPPATMSIFGTAAFLVSLALYDRAFVPLARRVTGLQSGITYFQRMGIGLAIHIVGVATAALVETKRRHAAVDHGLLDNPAAVIPLSVFWLVPQFAVHGIAGAFSSVGHMEFLYDQAPESMRSTAAALFWLASSFGNYLGTVLVTVVQRTTRARGNDWLQDNINRGRIDNYYWLVTCLMVLNLGYYLICFRFYTMKPLEMADEQDDHDGECELSTLQKNDTGAGGVV >Sspon.08G0025380-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8C:8194838:8195227:-1 gene:Sspon.08G0025380-1C transcript:Sspon.08G0025380-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLASGLLTVGSSDIRRDFRRPQSPHRLSDWTSGLPAQVSTSDRRDSRHTSGLPTPKVTKTILCAREVLECLSYDLVFILEHSIFLRPPKFASPFIVRHSLNSRSKEYYHFNHLSLQCLHMSLLLNITS >Sspon.05G0039080-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:61102121:61105834:-1 gene:Sspon.05G0039080-1D transcript:Sspon.05G0039080-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHDLVYDLARSVLAKQGNTGESRIHFELLDDCTESLQAFTQYPTNIRGLRFLESDKTVSHGGASSSSAKFKSVQVCGKIGIYDDDVFSSAKDLQVLDLSECSIRKLPNSTGNLKQLRYLNAPRVQHWVFPYHVLKLSKVTYLSLRGSSAILALPDSIGEMEGLMYLDLSGCSGIKKLPVSFGKLTKLVHLDFSNCSQVTYVSESLKSLTNLEYLNLSYCENIGELSENLGRLLKLRNLNISYSSYVHYNYRPIMAEVLVTLTNLECLNFSAKGQFRAIRNLPEALNRFTNLKYLNLSGLVREHGFSRREGPIAFGNLKNLVHLNLSRCSGVHGFLDNLGSLTKLQYLNLSECSCFDACTELHLSRMSEAISNLTELRYLNISHCSGTRECPKQAFSIFLECIGNLLNLEHLNLSSNGNLRSLPDSFRSLRKLHTLDLTYCYNLQDLPSSFVNEMNSLQFLELNGCFSLDMSKYNLLNKSVAVLPRFVVRSHSHGSRSNLFLINDVNCTDLAISRLENVESVQEARSIKLAGKDRMKILKLNWTKDVERSVEDMEVLGELVPPTTLEELKMDGYNSVRFPKWFVGSSIACHLPNLVSISMSDFPRCNSLPPLGQLPNLKRLVLERMNGISEINWDLLRGSRQTFPQLEYFTLREMESLKVWYTIYHGGRDRVGDFMFPNLSDLEISDCPNLRLEPCPHSVSQRWEVERSDGVISLWGEGASEINVTSSASVPVSTLFVSDCKVPMHQWRLLYHLRGLTELRIKECSDLSSSPEIIGALSSVRWMELGTETWRRKGDLELPNWLGQLASLEDLTLHCHQVKAPHDGFPHLTRLRSLHLSAFGSMTTLQQWVGTLTSLQRLEISSCENLNDLLESLGRLSSLKDLDISLCRSITYLPESIGCLTSLNSLGISYCRSITSLPESIGCLTSLKELHIIFCDSITSLPESIQQLTKLENIGIIGCEGLEKWCESEENKARLADIKEKTVPLATSAHGGNLARRRAAPSSLAGAARPWSWWRRRGCAEAAE >Sspon.05G0000960-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:540943:545775:-1 gene:Sspon.05G0000960-2B transcript:Sspon.05G0000960-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase (Fragment) [Source:Projected from Arabidopsis thaliana (AT1G34130) UniProtKB/TrEMBL;Acc:W8PVA0] MAPPALDSLPAPLRSLRLKTKQQELLLRVSALALIYVLAFAVRLFSVLRYESMIHEFDPYFNYRTTLFLTENGFSEFWNWFDSESWYPLGRVVGGTLFPGLMVTAALLHRLLRALSLAVHIREVCVLTAPFFAANTTLVAYAFGREIWDSGAGLVAAALIAIVPGYISRSVAGSYDNEGVAIFALLLTFYLFVRAVNTGSLAWALASAFGYFYMVSAWGGYVFIINLIPLYVLVLLVTGRYSQRLYVAYNCMYVLGMLLAMQIRFVGFQHVQSGEHMAAMGVFFLLQVFFFLDWVKYLLNDARLFKSFLRITLTCVITVGTLALGIGTASGYISPWTGRFYSLLDPTYAKDHIPIIASVSEHQPTAWSSFMFDFHILLFLFPAGLYFCFKRLSDATIFIVMYGLTSMYFAGVMVRLILVAAPAVCLISAIAASATIKNLTTLIRTKSRSPQTTSGKTTGSKAAAKGAVDQSLPFQHNAAIALLLGAFYLLSRYAIHCTWVTSEAYSSPSIVLAARGHNGGRVIFDDYREAYYWLRQNTPPDAKIMSWWDYGYQITAMGNRTVIVDNNTWNNTHIATVGRAMSSYEDEAYEIMQSLDVNYVLVVFGGVTGYSSDDINKFLWMVRIGGGVFPVIKEPDYLVNGEYRIDKGAAPKMLNCLMYKLCYYRFGELTTEYGKPPGYDRVRGVEIGNKDIKLEYLEEAFTTSNWIVRIYKVKPPKNRS >Sspon.02G0001060-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:4389016:4391264:1 gene:Sspon.02G0001060-1P transcript:Sspon.02G0001060-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding KQEGAEELADLEEQTAQLEKELSVKERETLDVLKELESTKKVVADLKLKIQNEGAGAFCIPEERVQGEAGVHTGTEDPAEVQPEDAETDAVMGVLHVQLHQSPGSSVLPIGSGEPAEVQPENAETDAVMGLLRVQLQQSPGSSVLKGLEQAKANLNRTTSDLAAIRASVESLRNDIAKERVLAERSREKVCANTTLIASLEDELDRTAQKLQTLRGLQRRRDDPSDIFVEIKMMTSELEQLRSAANASKSEASMLSAEIEQMRASIGTAEVRCRAAKKIEEAARAAEALALAEIKVLLSNEASAEDLQGTEGVNLSLEEYSELAAKAQEADECSRKKIEAAMVQVDEANQTESDSLRKLEEALLQVEECKKALQEAQKRVDAANRGKIAVEEALRRCRSTTGNRRRSVHDPPRFKHPAPRSRDSQNMDIIGASKGPLKPTLSIGQILSMKLMGPDGYDKSVSEDANEASNMSLGQILNRRCAVVYSSDATAHKKFSGKRKKFAFTGLSVFLAKQAKSKKKKGLKLDNEFGAVSRFYRGAQ >Sspon.02G0040720-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2B:71748226:71748848:1 gene:Sspon.02G0040720-1B transcript:Sspon.02G0040720-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVPIKDGNGYPKPEYPTGPDKEADMGCIFYPWNLPAKVRIWEHFSTRMRVRVTRRVQSGGCGCGYGGHYPSGTYPLPSLVPTTILYRAMIRGGARIQV >Sspon.06G0002430-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:7962592:7964643:-1 gene:Sspon.06G0002430-1A transcript:Sspon.06G0002430-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLTKKIVTGQAAHEAPLRPLRMPQGTALKLLGVSIHKRKEVVEWSTGAWRTFGLVTDKTTLPGSSAKRTSSMQ >Sspon.08G0005560-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:16845210:16852263:1 gene:Sspon.08G0005560-1A transcript:Sspon.08G0005560-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKDAAAAGIAPGSAPALLCFDLKPFLAALTVLTLLAAAWQLRPYHSLLASPFSAACPQPTAGASLPRALAVHAKKASPVSSSATNSTASSSPPPPPGPERREFRAVGSAAALFVQMGAYRGGPYTFAVVGLASKPTHVYGKPWFRCEWEPNDASSSPPMRAAKTYHMLPDWGYGRVYTVVVVNCTFPRVPNADNAGGRLILYAHYGPSRSPASRHERIVALEESPGAYDEAAFRTTPPQHRYDYLYCGSSLYGNLSAARVREWMAYHARFFGARSHFVFHDAGGVSPAVRAALEPWVRAGRATLQDVRAQAEYDGWYYNQFLVVNDCLHRYRHAAKWTFFFDVDEYIFLPDGRTLEDVLAELEPYTQFTIEQNPMSSKLCVDNPEADYSNQWGFEKLVFRNSITGVRRDRKYAIQAKNAYATGVHMSENVIGNTTHKTEHLIRYYHYHNTINVLGEVCREFVSIPPKGGLTWSEKTPCQWGFGKLVFRNSITGVSRDRKYAIQAKNAYATGVHMSENVIGNTTHKTEHLIRYYHYHNTINVLGEVCREFVSVPPNGGLTWSEKTPWYYDDSVKRVADAVREFERVAIGD >Sspon.01G0030590-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:95604648:95610901:-1 gene:Sspon.01G0030590-2B transcript:Sspon.01G0030590-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAFKAFLNSPVGPKTTHFWGPVSNWGIILASVADTKKPPEMISGNMTGVLCVYSALFVRFAWMVRPRNYFLMVTHSCNECVQLYQLSRWARAQGFMEKKELGGPTIKTDPKPFLYKYWLCIEQMVISAASPSPMATALKSFLNSPVGPKTTHFWGPVANWGFVIAGLVDMNKPPEMISGNMTAAMCVYSALFMRFAWMVQPRNYLLLACHASNESVQLYQLSRWARAQGYLEKKEPEAQQ >Sspon.08G0024710-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:120816:128960:-1 gene:Sspon.08G0024710-2D transcript:Sspon.08G0024710-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MIHFLEGTDNRRDVIYFHGWFGFGASAVLKAVVKKLRSSSGLADTRTARDLGKIIHVDVSQWQSKRALQKVIAEELQLPPQVMALFHQHDEEDDFDGVEQSDRAVIPYVKAAIMNELMASRFLVVLHNGSGSYIDLWEAGVPVIGQLSRRVLWTSRGRFWHHAKDGHGPKLQFDDVESAKLSDVAIFVFPSPFLEEDEVAGMQVIRHALYAEAEEVAKYTGVPEPDMSPKIVIECILYRALKHNVSINWESHGSNYWVCDGIIQEGTDGGRSAWEISDALQRNMSLDFCSECTELICDALTSEQKRCIKRWVSVTNQNLTEVQLTSQATSFFCTTSGPSVDHNRTRRVLEAGMFEHSDGTTNLRVIHLSHCTFSFSSPPFASCSNLRFLLLDHCKNNDAQELGEEKEFHHRNNCSQQDGRACFRNLRVLELSYTDWYWLLSKDMLDLMVDLRELNVKGAGNQSMMHLHRCSGAGRNSRRLIKLRVVADDSNKAAPGNDDDDRNRQVSPPVVLSSFFPDLSSWHILKTVTLDGCSDLELIDYNALPLSLESFTWISSVTASNKIKSISFRGCAKLKHLLLRGLFDGLVELDMSGTAIRTLDLSATQAWGLKRLFLLGCEKLCAILWPQEENKEILLEILRIDTTTTTYAALDREEGKSNKQQEAIASDSASISVGSSSAMVCGKDRTIIDLDLYISVRDPRLFRSLVSRRYEKRLHVEISSTGPAHKAGTSQGINTSGGCGSAAVNVHKPGGSLYADDIIIISTSNGSNSQADDDANRDVFKAALVMRRSKWLWGCPPIPEDSDLAHCYISIQDEPQTEQLINRATTLPELVINKGRTLHLHDSLSITCLPGPAPAADADLDWDDLKWCRLERCPNLEGVVFTAPSLSRIGSDIFRHLETFWASQLPKARYIWDWSMTSGFRPGEDSFEDLSFLHLDYCPRLLHVLPLYTSNTYGCCDLETLEIVCCGDLREVFPSDSKSQQQEEPREFRSLKRIHLYELPKLQRICGRRMLAPNLETVKVRGCWGLKRLPAIGRRRSTPPVVDCEKDWWDGLEWDGESAGHHPSHYKPSYSSAYYKKKQLRASKPTGNLYYVDDIISTTFNSNSQADVDRDGFKVEAPTMKRMWLWHCPLFLHILIRPTCTLAYKMRHGLSHRR >Sspon.07G0010740-2P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8A:61278200:61279807:1 gene:Sspon.07G0010740-2P transcript:Sspon.07G0010740-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAYGLDVECPHIFDGTHFARWRNWMTCNFKFISPQLWWIVDVGFSVAIDKKNATQAQCKCLHLDSQATNVFYRSMDSSILCEIIDFESAHEIWVFLNEKYGAISNDDEPKKEAHEDVEHDHNKVVVEDCFTSRSSDDKNNHITRSLDKKNDNATSDASNDSTSCTLDGEDDGYESDASTSSSISSHGDSKVSIGGFVVDCDGPNFELLYKLSKALRNEMAKTSKLKNENSFLKTTCEQQKHLLYVTTCSHEELKLAHEELSVAHDNLAQEHALLTKKLSNEETKTSESSSFGSNDQSHITNPCDVGKKHVSTSCDDLLDKPCSSQLNVCSTSMSCETNLLKENNELKSEVKNLSNKLERCYNSKVTFEHIMKTQRNFGDKSGLGFKKKMTKGERKQEKRIKRLLQKKLSHSMCYRCHEAGHLANGCPNIEKLKKMKEEERLKHVKCFKCRTWGHLTSMCPTKQLVKQQVKPQPKPLVEQEKTPQEQIKINHEDDGDLIKKKKKTRRGGRARHPMQIQDAKMMSKNQNEKRNLTHI >Sspon.06G0034300-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:42891593:42899568:-1 gene:Sspon.06G0034300-1D transcript:Sspon.06G0034300-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDDEHCCLEREKLLDLAISAIPLRERRFGGDALRGLILPYLKTDRFTEVFGLRRVTAIGSIQMEVNSSGEETVVKVRKPYTITKQRERWTEAEHKRFLEALRLYGRAWQRIEGGEPPGYI >Sspon.02G0042040-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:80729452:80733019:1 gene:Sspon.02G0042040-1B transcript:Sspon.02G0042040-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase (Fragment) [Source:Projected from Arabidopsis thaliana (AT5G03800) UniProtKB/TrEMBL;Acc:W8PUG9] MAISTSSPSSSAPLHLTSRAPTFLSFPPAPSPPPPPYGPPPRLPLRAVAAAAAAATDPRAAHAAAVKSGALGASPDARAANAVMCAYLRAGRLADARDVFDRMSVRDAASYSALISGHARLAGGSGSGFAPSATAAAAELLGRMRLADGLLPTEYTFVGLLTACARRGNPRLGTQVHALAAKSGHASSLLVANALLGMYVKCGRFGDAMRAFDGMDHRDVSSWNAVLAGLVELGRHEEAFELFGKMRASGDVRADRFTLSALLTAAAEGFGQPQGEAVHALSLKSGLETDLSVGNALIGFYAEHGASVEDVVSVFQRMPVKDVISWTGLLNGYMEFGLVDMALDVFERMPQRNFVTYNAVLTGFCQNKEGVRVTFAKKAGLRGLGLFRQMVEDGLEISDVTVTGVLNACAIAADRKASEQVHAFVIKCGCVSSPWIDAALIDMCIKCGRSGDAHLLFEQWQHEESFHIAWNSLLLASVRDGEYEKALSTFLQMFRSNGVEFIDEFILTAVLGVCGSLGFAELGKQMHSFAAKSGFLCARGVGNAIISMYGKCGELKDAVSFFERMTCRDLVSWNAMMTAHLLYHQGDEILKIWSEMERLMVRPDSITFLLVMSACCHTSSDSTEKCRELFLSMPSTYGIEPAMEHYAAFVYVLGCWGHFDEAEQLIGGMPLKPGALVWRSLLDSCSKYSNMAVRRRAMKHLLALEPQDPSTYVLTSNLLSESARWHSSENTRLEMREKGMRKIPARSWTFHGNMVHSFFARDRAHPQSRDIYAGLDVLILECIKAGYEPDTTFVLHDVEEYQKRHFLMYHSVKLASMYGLLMAGPGQIVRVVKNIRMCGDCHSFLEHASAATAVVMGVRGGLNDLCGLNKALSLIVGAGTSRRE >Sspon.08G0000350-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:1309776:1314276:-1 gene:Sspon.08G0000350-2P transcript:Sspon.08G0000350-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKRDLGILLLAAFAVFFSLHHEGDFSFRESWYHLTDEDFPIKYEADRLPPPLVADLNGDGKPEVLLPTHDAKIQVLQPPHARHLSDDSAFHEARVMADISLLPDNVRLASGRRPIAMAVGNVDRSYRAGEVRKQVLVVVTSGWSVMCFDHNLKKLWEHNLQDDFPHAAHHREVAISITNYTLKHGDAGLVIVGGRMEMQHHSADLFDEFMIPEHNTNDHRRSANEKQGSEAGNADLRHFALYAFAGRSGNLRWSRKNENIQSQPSDASVMLPQHNYKLDVHALNSHQPGQTQRMQYIPTITNHTQVWWAPNVIVAHEKEGIEAVHLASGRTICKLHLTEGGLHADINGDGVLDHVQVVGGNGIKEQTVVSGSMEVLKPCWAVATSGVPVREQLFNVSICHYNHFNMFHHGDFSRSFGRTFDTTGLEVATPILVQTDDGHKHRRGSHGDIIFLTSRGEVTSYSPGLLGHDAVWRWQVSSGATWSNLPSPSGMMENIVVPTLKAFSLRAYDPKEVIIAGATRKPCILAMIELPAPPTHALLLEDFSGDGLTDIILVTSGGIYGFVQTRQPGALFFSTLVGCLIVMIGVIFVSLHLNSSNGGKPRASSAEYR >Sspon.05G0004310-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:12863742:12865356:1 gene:Sspon.05G0004310-1A transcript:Sspon.05G0004310-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSLARSPSPGAALPAPRVAHFALPLLPLRSPRRQYQALRLRLRVAASSPPEAQAAAPVAEEGEEQGEKRRKLYVPTCPGPSRRRRSRSSSRSTAPSRTSRPRVRFGCPTLNFQLRYSAFCCVCSVKVIKGKDGRNRGFAFVTMSTAEEAAAAAEKLNSHDVMGRTIKVEFSKSFRKPAPLPPPGTIIERHKLYVSNLPWKARAPNVKEFFANFNPLSANVIFDNGKAVGYGFVSFGTKEEAEAALTELDGKELMGRPVRLNWRESGDDKVEVAKATVKLK >Sspon.07G0032270-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7C:47458519:47458764:1 gene:Sspon.07G0032270-1C transcript:Sspon.07G0032270-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIELSSPSVRPRTRACSSHWMSGSVAPLACPRTTTGPIMARGPAVRVRAQRPPGTSEASDQPDPARGEQAILSLSTFSTG >Sspon.01G0029410-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:111965328:111965936:-1 gene:Sspon.01G0029410-2B transcript:Sspon.01G0029410-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSGALVVTEARQQHPLSQIAESGTHRLLLKQWVKEEDLLARRVALREARLDGARKEIAFLYCAFFAFHAASVLLLFLSSSASSGSAASTAACRRSWIPCLVSLLSSLAMLWALRYKSDTEAVLERLLAREREDALLLARCVSELKRKGVHFDLLKEVDALRRAKSLRVEAKGGADRPTRWQARDLAVFALFAAACGVLVLT >Sspon.06G0012880-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:55694498:55697362:-1 gene:Sspon.06G0012880-2P transcript:Sspon.06G0012880-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQAGRRRSSSTMGGGGSGDHQQQHGGGSGDDGQLQQGGGDMVMPGFRFHPTEEELIDFYLRRRVEGKRFNIELINLVDLYRYDPWDLPALASIGDKEWYFYVPRDRKYRNGDRPNRVTPSGYWKATGADRTVYVEVKRPIGLKKTLVFYVGKAPKGLRSSWIMNEYRLPSGEADRYQKEISLCKVYKRPGIEDNFHLSTTTTRSSSSKAAATMEKKHHRTSASPRLAPMFDGGHSTAHMNKPYSGANTTIAMTSSAAARAVTMAPQTSMFLSTPSLSSTTSTEEDGTSLYHMKGANPPMLPSSTHALLNANSATMATIPIDELSRAIGAYNSQGNPNQPPLPSQGPLLPFPSMEKIWDWNPLLESPKVCTSFK >Sspon.03G0043570-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:82140878:82141501:1 gene:Sspon.03G0043570-1C transcript:Sspon.03G0043570-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding FSSLARLRSPSLCLVSLQPQFSAQGGALRLRRRPPLTATRRPPPSSPPRDAREEPLPAPTPEPPKQGGDKHQVRNPAPQLSR >Sspon.04G0029040-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:54257301:54261087:1 gene:Sspon.04G0029040-2C transcript:Sspon.04G0029040-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGRAGGADEVEGERVGKAPSVAPVMLSSGSDDSREASPTRTSASRKQDKGEKQASPDHAEGSTMDKKQANTPRRSTPKKDMVTLSSDSDASLGNSPSRAGEDNHEEDSLSAAKRKDAQQTKTEKTKVAGTKAGPDQACNGDAEDDMQDKLTGNSVSQRLPLIFPDKVQRSKALIECDGDSIDLSGDIGAVGRIVVSNGPTGNQDLLLDLK >Sspon.06G0012770-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:51022464:51024517:1 gene:Sspon.06G0012770-1T transcript:Sspon.06G0012770-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVMAAAMQGLGRATTRVAAVSLLLLLPVLLASLAGGSPPPDPVSCTRGTSDCTVTSTYGSFPDRTICRAANATFPRTEQELVAAVASAAAARRKVKVATSHSHSFPKLACPGGRDGTIISTERLNATVRVDAARRLLTVESGMLLRDLVRVAADNGLALPHSPYWYGLTVGGMLATGAHGSSLWGKGSAVHEYVVGIRIVTPAPASQGFAVVRELAAGDPDLDAVKVSLGVLGVISQVTFELQPQFKRSVKFVTRDDKDMAEKLAVWGDLHEFGDVAWLPRQGKAIYREDDRVDVSTPGNGLNNYIGFRAQPTLGLLTARKAEERLEENGTDIARCLAARLPAATFELQAYGFTNDGVFFTGYPVVGFQHRIQASGTCINGGDDGLLSACTWDSRIRGPFFYQSGFSVAMSKVPAFVADVQRLRDLNPRAFCGMDAKMGVLMRYVKSSSAYLGKAEDSLDFDVTYYRSYDEGVPRAHADVYDELEQMALRKYGALPHWGKNRNFAFDGAIARYPGAAKFMEVKDRYDPDGIFSSEWSDQVLGISGSPNVVGPGCAIEGLCVCSDDEHCAPEQGYFCRPGKVYTDARVCVFEQ >Sspon.01G0031500-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1A:108007401:108010614:-1 gene:Sspon.01G0031500-1A transcript:Sspon.01G0031500-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGDLAFKALTAGLGVATLYLAATFSVNVYRGLSWHSEQSAVPAQQESARLPRPHHHAPPPLLPNCDVRSPLRPAPIPLQRECSSVRSSLACARRMQLPRPALIAHPSFIWMPYRLRERRCNRRWEEERCNTRSTSKTSKYNGCNTKAVEICL >Sspon.01G0011760-1P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1A:31899534:31900846:-1 gene:Sspon.01G0011760-1P transcript:Sspon.01G0011760-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSQFHGAQPSHFSCFDMNSMLGGHIFAFGPSDESAGSQGQHPQRSNALPSAPLGAWPQCHSGVDSFYRPPTGYAGPFITPGGIPGVQGPPHMVVYNHFAPVGQFGQMGLGFMGATYIPGDKQPDWKQSQGPPIVGVSQSDPNSQNMVSGQVNAPSVPAPVPHLRPTSIMPIPSPLTMFDIAPFQTSTDIQMQTCWPHMPVPPLHSVPLSVALQQHPVEGTATQQFVHNVPVDKSSTNNRFQESSVSAGPSDGNKTFSNAAASQYRDELGLVEQPASTSSRSQTVQPSFGQAGVISNEVSTSAKVMVRATPSKANPGTAAGVASNTNGPQVTSIPSKTHQSSSSSDQQYQHPVNNQDRRARATQKAGTGNEWQRRSGYQGRNQGSGSDRSSGT >Sspon.01G0054450-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:64092074:64094294:-1 gene:Sspon.01G0054450-2D transcript:Sspon.01G0054450-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTGCGCSSELSQSSSSIAADTARGYHILKIDDYSLTKGTPTGEYLKSHPFTVGGHHWQIRYYPNGFKSEHADFISIYLQHDGSVASLVKAQYEFRFVHNVGEEPVTLQEVTSFASNVGWGYSEFIKREKLEKSMHLKDDSFSVRCDIVVVNEFRAAVESPPRYNLERLKLICEEKLCGYIDVGTVATILTLAEQHNCHGLKKACLHFLSDPANLRTAMATDDFKHLSRSCPAVIEESCGLFRQEAGDVHVCR >Sspon.02G0046560-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:2369176:2369493:1 gene:Sspon.02G0046560-1P transcript:Sspon.02G0046560-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSGAGVWVFKNGVMQLEQPAAASRKALVYVPTNEVVRSVEALERRLGTLGWERYYENRSIVQLHKRDGGADLITIPRDFASLRSTHMYDVVVKNRDHFKVVDA >Sspon.05G0017880-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:76315823:76319324:1 gene:Sspon.05G0017880-1P transcript:Sspon.05G0017880-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPHRLQRRRSRRLRGQESGEECSRSSCREDKEREAAQTQSEALVQVSELSSSPVSCIWERLWRDWGRRFCIRLDRQGCFHTYPDVGGPFQSLPETYEAIDRYLEDRRDPKMCAEEDKAIIQRLYWPDGTIKRRTRSPITEKSEMCQIVQALVDKYNEDHNLLEGLAHELKDVLHYKSICEKQKWYYHLNFTTKIKGAGPNECNLDNLFFVEVKCMPQGKLKELLANCFCRVNLNDNGQCYGCTRDGDVGMKHPSSSVGYTAGHLNVGLPPGYFAKWKRDYEDEEDDDKYVKAREAELRQMFKGLDKPGVMKKLCTPPPWATKRKALG >Sspon.05G0016870-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:65897577:65899945:1 gene:Sspon.05G0016870-4D transcript:Sspon.05G0016870-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLHTVRFLCSVVAAFARLVRELRKAAAMATATCSPSPVAVLTAQPVVSPIGLIASTPLPKRKKTLAQVAVPENLLVAPPPPPTPVLVLPAKVVSDDGVSGVGIVEQQQAQSVTKTKAAWAARRRPSRLVIPVADDGGEVAAGWGKAAAPVKEADVEVEGDGFWLASRAGPRHAMEDAYAVVTDKNYADSQLAFYGVFDGHGGRAAVDFVSERLSKNVVSAVLAAAATMETRGEASSEDAVSAAIKAAYLATDSELLLLTKHQDASGGACAATAVVKGGDLYDERARIERRGGYVCRSSSGVWRVQGSLAVSRAFGDGALKQWVVAEPAVTRVPLAAGCEFLVIASDGLWDKVSNQEAIDAVSRCRATACRELVDMARRRGGRDDVTVMIVDLQ >Sspon.03G0018650-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:80496283:80498988:-1 gene:Sspon.03G0018650-2B transcript:Sspon.03G0018650-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSRRQTSGGSSAACAAGEANTHTHSGGGGGGGCKLERKDVEKNRRLHMKSLCLKLSSLLPPAATHASLLSDAAAAASNPNKDTVTQLDQLDSAAAYIKQLKERIEALKQRKAGGPGQAAGCASASASASGVSSGGGVRMPVIEVRYQDGTLDVVLISDAGRPFKLHQVITVLEQDGAEVVSASFSVIGDKIFYTIHSQALSPRIGLDAARVSQRLHDLLAPCLI >Sspon.07G0013010-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7A:47134228:47136588:1 gene:Sspon.07G0013010-1A transcript:Sspon.07G0013010-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding PEKKDMVGMQEQVFVWKIIELHDKYVAYVTECFQGHTLFHKALKEAFEVFCNKGVSGSSSAELLATFCDNILKKGCSEKLSDEAIEDALEKKLARRLLFDKSANDEHERSILTKLKQQCGGQFTSKMEGMVTDLTVARDHQTKFEEFVAGHPELNPGIDLAVTVLTTGFWPSYKTFDINLPAEMVKCVEVFKEFYQTRTKHRKLTWIYSLGTCNINAKFDAKPIELIVTTYQAALLLLFNGSDRLSYSEIVTQLNLSDDDVVRLLHSLSCAKYKILTKEPANRSISPNDVFEFNSKFTDRMRRIKIPLPPVDEKKKVVEDVDKDRRYAIDASIVRIMKSRKVMGHQQLVAECVEQLSRMFKPDFKAIKKRIEDLITRDYLERDKDNANMYKYLA >Sspon.01G0041710-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:53546297:53551565:1 gene:Sspon.01G0041710-1B transcript:Sspon.01G0041710-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSFKACRSEPQLVSPARPTPREMKALSDIDDQHALCYYETVISFFRRYHDQSDGPDDPVRAALAEALATEGGRRREARGGLHGGRGGVRGPADADVKLEDFGEPLVPPYPCVEELLCDAGDTRGVIGSPLLFMQLTRLKCGGFVGGFHKCHNLADGFGMIQLMITIAELACGVAAPSILPVWKRELLSSTIHSPTPIIYPKPSYVQLQLNNLDSTCDDGMLSTPLNEMVVDYFIFGPREMTTIENNIRGYHAHSTTSFELITVVKWRCRTIALGYKSNQIVHLIITMNARGRWNHHTPIPWGYYGNAHFSPTAQVTIDELCRQPLIDMVELVRRTKRSVIAKEYMESMLEIMASMRNRLCEDTARNTRSLTQNGLQQGMLGWAEFVGGGIPVAGDITSKIGSDHMRCKNQDGEDSTVVSLLLPRSAMERFKKEIAVWLKKPDNKSLIIQSSL >Sspon.07G0009920-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:27480091:27492282:1 gene:Sspon.07G0009920-2B transcript:Sspon.07G0009920-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFATSAQPVGLNNKAAASSRTVYVVSPLIEVSNISIHPSIISRIEAIEAEKIGISRRRRGGEVASRPIPPPPSPVSNGFGGGAAEIAFPSTGQGAEGNAATQAEFPRAEEGARSPPAKSSASHRDRDRDRSPPREKVKERVKSPQSPAKVSLSHKGRERSPPREKAKDQRFRSPKHTQEQSRSPSPARRHVSRSPSPRTKRLRRAQGEREVAKVTGSDRRKASHREEQDTVRHREHDEGRDASRDRKVDNEAVQVERDSRGKHREHDEGRDASRDRKSDREDTRGTAKDKKYDRDDGKDHSRERRAGKDDKSGASKETLPSRDDDRHGKPNRDDWKSASSREQRLDRGDRRDSTREKPTDHEESNGGSGRSSRCGRTVSPEEHRHQGRHESHPSPRVSRSAARTEDINSRGGEASRSGDPDALARMNATAEALEVKEKVARKSEIRWRLYVFKDGEPLNEPLYVHRMTCYLFGRERKVADVPTDHPSCSKQHAVLQYRLVEKEQPDGMMAKKVRPYLMDLDSTNGTFINGNRIEPRRYYELFEKDTLKFGNSSREYVLLHENSTE >Sspon.07G0033660-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7C:62670753:62672291:1 gene:Sspon.07G0033660-1C transcript:Sspon.07G0033660-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHEKSGFGDTSRPSCTTCFRKNVCSSLSLAASASDKSLAIINLLEMDAIFLWIDSSRYSTSRNSSYHAAAAAIAASASEQADLGFGGFHGKKSLPTCTTSLDLKLVRPKVCVYVKDLLMIAAETSDTKSERIAFSPRINARAEGALVVGGTKLRVKLCWSAIVSARHWRLQHVFSGYVSVARSIRSKCYCRSLQILRVHKSLSDSAPTRLSMPSTVAVSTATIEDNAEFGPNPPVWRACSI >Sspon.05G0014560-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:53220040:53222069:1 gene:Sspon.05G0014560-2B transcript:Sspon.05G0014560-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLAGQVHINRGIFIPLQLPKQRSTQTHPLTGSSSTPEDLAATILHAVRLGYRHIDTASMYGTEGAVGAAVADAVATGAVASRADLFITSKLVMKDAHPERVLPALRESLARLGLDYLDLFLIHWPLAADENNKFVPFDMEGVWRAMEECHRLGLARSIGVSNFSAAKMSRLLAFAAVPPAVNQVELNRQEKVREVCAKNGVVVTAFSPLGAFGSMWGSNAVMESGVLQDVAARRGKTIAQVALRWLHEQGVCFVARSFNKDRLKQNMELFDWELNNDDKEKIMGIPQRRACRGEFFVSPDGPYKTLEELWDGEI >Sspon.06G0002230-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:7120019:7120705:-1 gene:Sspon.06G0002230-1A transcript:Sspon.06G0002230-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPAGVGGADEVSVRISSAAADAEAAAGGELQQREGTLNSFVRVVAFGEWAGNAFGALAFLWATGVLLGGFCSDLRPLDFWSAMVIIFIEAFRLTVA >Sspon.03G0018700-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:57809025:57809994:-1 gene:Sspon.03G0018700-1A transcript:Sspon.03G0018700-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTYTGTDAFLIVLRPGILAEKANMKYFLVLVRWAVYKNWGAGWTAQDFEKCEYELVEILGHTDSSIQVQLLRKVDGYKMVFMSYRAEGSVKTIRKDEYPKWTTNVQNEGIDFWTLRWMAVLQLQLTRLGFSSAVKTATLSSNCGMHANLINYQKSSFGHPSVELKHEIEIKPRDRGDILLWLIISIRISLYIKVTRPDFDMA >Sspon.01G0034290-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:2919428:2919790:1 gene:Sspon.01G0034290-2C transcript:Sspon.01G0034290-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRQLKEWVSAAADTTSWCVALSLVAMLLVVCTLGAGDGGGGVVVVRGAALSARPCEEIYVVAEGETLHSISDKCGDPFILERNPHVHDPDDVFPGLVIRIHRSSEAFVGVGSSKSNKA >Sspon.03G0021770-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:85245087:85249177:-1 gene:Sspon.03G0021770-2C transcript:Sspon.03G0021770-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNASQFMDKQILGLAASASPPGGGAGGGGGVDLSDLMIPIPQEDGEDCLRRRRSSSSVNGTADDMLPSYDFQPIRTGGAAAAPAPQASWGSLDSKAPSASAAASYNLKSAGILEPHVLKKVSHEEDRSNFPTVTIADIDRTMKKYSDNLLHALEGVSSRLSQMEGRTHQLENSVDELKLTIGNYNGSTDGKLRHVENMLREVQAGVQILRDKQEIVETQLQLAKLQTTKTDAQSSENSGSGQASSQQQPVAPPQAAIQPQQVLTPSQPPALPAPNAPPPPPTLQSQPPSQFPSHLQHSQVPSVPSVAPVPSVPALPRDVYYAPSSQPIETMHQQYQALPVPQPQAPPAPQQYQAPPVPQPQAPPAPQQYQAPPVPQPQAPPAPQQYQSPSQFPQYSQPPQPANVNPPTPHVPPAPQQPEETMPYAPPQSYPPNARPPSPYMQQSSAPAPPYYGQQNPSMYEPPAGRANPGPPSSYGSGGYGPQGGSGFSESYGYTGSPSHRGNAGMKPSSPFAQSSGGSGSYGSGRLPTAQMLPQAVPISSSSTSGSSGNRVPLDDVVEKVATMGFSREQVRATVRRLTENGQNVDLNVVLDKLMNGR >Sspon.08G0020800-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:26147400:26151436:1 gene:Sspon.08G0020800-3D transcript:Sspon.08G0020800-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAATLRSLVGIAAAGGRRVRACGQRWDAGWRGFRAGVFRGGGRAAPRGSSASTARQAGPLRGAATMAGSKGWVTGGFQSEDGKLTCGYSSYIGRRSTMEDCYDIKLTKIDEQPVNLFGVFDGHGGNLAAEYLKENLLKNLMKHPEFLTDTKLAISRTFLETDGDIIETISSSFRDDGSTALAAVLIGNRLYVANVGDSRAVASKGGKAVPLSKDHKPNRTDERKRIQDAGGVVIWDDTWRVGGILAMSRAFGNRLLKQYVTAEPDIQEEEVSSDLEYLILATDGLWDVVRNEDAIAILKAEDGPQAGAVKLTEIAYARRSTDNITCIVVQFHHEK >Sspon.02G0014360-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:31668572:31673975:-1 gene:Sspon.02G0014360-3D transcript:Sspon.02G0014360-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAALLASWPWDNLGFYKYGLYAPLVGKAVASRAWEAASPDRWILLLLLLFGLRALTYQLWSSYSNMLFATRRRLVVRDGVDFHQIDKEWDWDNFLILHVLMAATALYAFPSLRHLPLWPVDSRGLAVAVLVHAAATEPLAYLEHRAFHASGSLYARYHSLHHSTRVPQPFTAGLATPLEHMALGALMALPLAAACAAGSGSVALAFAYVLGFDFLRAMGHCNVEVIPSSLFQAVPVLRYLIYTPTYHAIHHTKKEANFCLFMPLFDLLGGTIDPQSWEMHKKMSAGVDEVPDFVFLAHVVDVMQSLHVPFVLRTFSSTPFSVQLFLLPMWPFAFLVMLAMWVWSKTFVISCYSLRGRLHQIWAVPRYGFQYFLPFAKDGINKQIELAILRADKMGVKVLSLAALNKNEALNGGGTLFVNKHPDLRVRVVHGNTLTAAVILNEIPKGTAEVFLTGATSKLGRAIALYLCKKRVRVMMMTLSTERFQKIQKEAPAEFQQYLVQVTKYRSAQHCKTWIVGKWLSPREQRWAPPGTHFHQFVVPPIIGFRRDCTYGKLAAMRLPKDVQGLGACEYSLERGVVHACHAGGVVHFLEGYTHHEVGAIDVHRIDVVWEAALKHGLRPA >Sspon.01G0014200-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:43394650:43396295:-1 gene:Sspon.01G0014200-3D transcript:Sspon.01G0014200-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTGEGAEAAAVPRVVSILSALLQRVAERNDAAAEEGAVAAAPAAGRPVSAFQGLTKPAISIGGYLERIFRFASCSPSCYVVAYIYLDRFLRRRPALAVDSFNVHRLLITSVLTAVKFVDDICYNNAYFARVGGISLVEMNYLEVDFLFGIAFDLNVTPAAFGSYCAVLQSEMAYLDTPAPVEAPRLHHCYAAAGTSDHHHHDPAAAVGAAAAGRCHRHSQPQLTV >Sspon.07G0019490-3D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7D:70933838:70945143:-1 gene:Sspon.07G0019490-3D transcript:Sspon.07G0019490-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding CSPELY >Sspon.08G0006580-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:20403262:20409634:-1 gene:Sspon.08G0006580-1A transcript:Sspon.08G0006580-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPPPPPPQAQARHHHQGAANKGGHAERRKQPLQSSVTQPKAEPAAAAVLPEGGKRCGGGGRRRGGRGRAKAPAEPRAALAVAVAPAPAQAPPPRTVIGPPVPSKGLSFCRRPGFGTVGARCVVKANHFLAELPDKDLTQYDVKITPEVSSRTLNRVIIAELVRLYRASDLGMRLPAYDGRKNLYTAGTLPFDAREFVVRLTDEDNGTGVPPREREYRVAIKFAARADLHHLRQFISGRQADAPQEALQVLDIVLRELANQRYVPIGRSFYSPDIRKPQRLGDGLQSWCGFYQSIRPTQMGLSLNIDMSSTAFIEPLPVIEFVAQILGKDVISRPLSDANRIKIKKALRGVKVEVTHRGNVRRKYRISGLTTQPTHELIFPIDEQMNMKSVVEYFKEMYGFTIQHPHLPCLQVGNQKKANYLPMEACKIVEGQRYTKRLNEKQITSLLKVTCQRPREQEMDILQTVHQNGYEQDPYAKEFGINISEKLTSVEARVLPAPWLKYHDTGKEKECLPQVGQWNMVNKKVINGCKVSHWACINFSRSVPENTARGFCQELAQMCQISGMEFNSEPVMPIYSARPEQVVKALKNVYNIALNKLKGKELELLLAILPDNNGPLYGDIKRICETDLGLISQCCLTKHVFKISKQYLANVSLKINVKMGGRNTVLLDAISWRIPLVSDIPTIIFGADVTHPETGEDSSPSIAAVVASQDWPEVTKYAGLVCAQAHRQELIQDLYKTWHDPQRGTVTGGMIRELLISFRKATGQKPLRIIFYRDGVSEGQFYQVLLYELDAIRKACASLEPNYQPPVTFVVVQKRHHTRLFANNHKDRSSMDKSGNILPGSGTSRPAHYHVLWDENNFTADEMQTLTNNLCYTYARCTRSVSVVPPAYYAHLAAFRARFYMEPEMSENQTSKSSNGTNGVSVKPLPAVKEKVKRVMFYC >Sspon.08G0016280-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:59155683:59158730:1 gene:Sspon.08G0016280-2B transcript:Sspon.08G0016280-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLATSQLVATHAGLGVLDASMFRRGGVQGLRAAPRASAAGDTPLSMRTSARAAPRQQQARRGGRGGGRFPSLVVCATAGMNVVFVGAEMAPWSKTGGLGDVLGGLPPAMAVSIDPFDRLLLANGHRVMVVSPRYDQYKDAWDTSVVSEIKMGDRYETVRFFHCYKRGVDRVFIDHPSFLERVWGKTEEKIYGPDAGTDYKDNQLRFSLLCQDVVFVCNDWHTGPLSCYLKSNYQSNGIYRDAKTAFCIHNISYQGRFAFSDFSELNLPERFKSSFDFIDGKINWMKAGILEADRVLTVSPYYAEELVSGIARGCELDNIMRLTGITGIVNGMDVSEWDPSKDKYIAVKYDVSTCAAFQAVEAKALNKEALQAEIPLVAFIGRLEEQKGPDVMAAAIPQLMEEDVQIVLLGTGKKKFERMLMSAEEKYPDKVRAVVKFNAALAHHIMAGADLLAVTSRFEPCGLIQLQGMRYGTQKPSEYDFDMNVMDVRRFLQPCACASTGGLVDTIIEGKTGFHMGRLSVDCNVVEPADVKKVATTVKRAIKVVGTPAYEEMVKNCMIQDLSWKVLYSTPSEQSTKIPPVADCGGLLFAKQCRNVLLSLGVAGGEPGIEGEEIAPLAKENNNDDGRIK >Sspon.02G0009980-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:22556770:22561797:1 gene:Sspon.02G0009980-4D transcript:Sspon.02G0009980-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGRSVRLVLDASLLLNPSSTREAAAVALRPGVEELLRRLRYSNLSVAICYAEGMPTNESGFLEKVASSHLFGSILLLAKSRNLSPTELMLEWSRTSFCFYVTSRVDKGLISELQNQNWRVLSVGNECNIDVPGVLNVQRLQELLLTLASLIKREICGSSVLVIGYIMKKSREEDFAKASFLYSRGAFPIYPSKDSLIFVPLSFELPLSLQLQEVDMVLHKITDEIVKIDPNCSINFPKGISFSAGMFEIIRFVEEHPDFCIMDPFKNIYPLLDRLQIQKILVRLQELGAEGKPKLRAPYSLKVDNFHDGELDKHLAEANLSFPLIVKPQVACGVADAHNMALVFQIEEFSNLSVPLPAVLQEYVDHGSKIFKFYVIGDKVFYAVRDSMPNARFLKSSSGGEALTFNSLKTLPVATKEQQLQTGVQDSKLLDANLVEEAAKFLKGLLGLTIFGFDVVVQEGTGDHVIVDLNYLPSFKEVPDSEAVPAFWDAVRQAYESRRGNAQG >Sspon.05G0015260-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:58762142:58766140:1 gene:Sspon.05G0015260-1A transcript:Sspon.05G0015260-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTAQGAVDSLLGRLSSVLLEEAQLLRGVRGDVEFIKDEMESMNGFLLDVAAADRPNHQVRAWAKQVKELAYDSQNCIDRYVQCVSDVPGAGASSGAGVLATLRRAPRLLSTMPARHRTAVRIRELKARARDLGERRRRYDVTVPHVAAAVPVAAAAAEDEQDDARRRALANATEFLDEDVREVISWLTKDLPRSHPQCWLRVIAIVRRQYQEDEYPLTRKVYEHPSLSSCFHLKAWINGIEKYMKRKETLQCILDQLPALDDDHDHADKLAAGDGDMDEEEHQLVKKLKDRLKGKRFLIVAANDPYGKVQAEIESVAASHGDPFSAGSAIIVTTWREPLSVPYKVKNYLNIDTLFHEKAVALVGDHCDSDLQEIIRKILTKRGGNFFSMEMFLRALYVNPKRPKEQLQILLDSLTFGSIIATHMILFSYNDLPSHYKSCLLYLSILFERMYLTSSSPQDFRVKRTSIVRRWAAENLITKRDGLVATVEAERCFDALVIHGLVRPVDIGAAGKVKTCTVHHRILSFITKMARDEGLVDTDLPPDLASRLSIRNGIRLQQLQHHKMKNVKGAEQSTGCCWRNIHERPIPIEDPEDSKYYMEMDYTEAFLELLQVSPPLGLVEVLDLEGFKGLKKHHLKDICNKVYQLKYLSIRNTDITELPKDIEKLRYLETLDIRQTKIRTLSSKAVVLPKLMHLLAGNVEHQRKDAASGSGGRSFSTVQMPRGIGSMTDLQILCHAEVFNSADELMEVGRLQQLRKLGVVLRGKEARLGHFLRVIERLNECLCSLSLRIELTSSSETPDLNMEKTAFSPPKLLESLTIDGTITGLPSWIKELHLLSKITLCGTSLMDNAIRSLGELSSLQCLRLWHKSYIEMRLTFKDDEFQNLKYLVVEGSDITTIHFENGATPKLENIVWDFTQLVSLSGVENLPGLKEIELNAPWWVAPARASRRLSWSPAPSNLPRRKLITVRHLGHLQLGLLAALSLSAAAAGLQERDGELGSVGFYQWA >Sspon.05G0038920-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5D:56140355:56142100:1 gene:Sspon.05G0038920-1D transcript:Sspon.05G0038920-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVLCLFPQTYLGLPLSPHKISVADCLPLLSSCDKHLAGWRASLLNRAGRLALSSAVLSSVPLHFMSAINIPKTIIKAIDRRRRAFFWTGDEVCHGSKCLVAWEDVQAKKEDGGLGVKDLHLQNRCLLMKFINKLFSGEPVAWKQWLLRDAAPFDSPVTGTHSYLWRIVDDELNTYRSITCVTVRDGSSTSFWFDQWLPDGPLCSTYSALFTHTLRPNVSVQKIFQNGFDLCLRPRLTNTASAQLAALLSCLQELTLRDGEDVRMLKTTGKPYTTRDAYAALDRRRETSDPHGRRIWGTRVPNKVKVFAWLYFKDRLSTRANLHAKHVLDSAQCERCASSIEDKHHTFFGCAVSASVWHKIGFSSVSSLTDEEIWNFAPPSGLDAKLWPFVLLTLLWRLWDARNGEVFRGENADSRHRVRAGVGRGKLYDLLI >Sspon.04G0025270-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:29243530:29244887:-1 gene:Sspon.04G0025270-1T transcript:Sspon.04G0025270-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MYPQMVYHADVRAREKELAAAERGMSCSCAPPLGRMISRFIRKCNGREGRVRYDQRMGMDYAMAYAPAQTCYVRPTAARTVTFATTNNHHPTNAHADHPDRNDDDPAGHAVCTGGRPCPTQAKKKKKKKNVRFTPSGPVPAGAGATAESGGSAGSAYHHHGPPADPSYSPAPAAHGGYAYGYGRYAPSPLPRWEMLGTPRRHEYFSSEYRWYYPTPVREGIYSIATDANGRLTTIFSEENPNACTIV >Sspon.07G0019920-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:82843679:82844235:1 gene:Sspon.07G0019920-3C transcript:Sspon.07G0019920-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRAWNQFPYLVHRWWRSQQNVRILRSFSRRELPNIPLLVELPLATFRIYLLKSEGHQVIPVVLSHNADIVILAYMLAQFFFFFCAEKTDLCI >Sspon.04G0013250-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:50079896:50103237:-1 gene:Sspon.04G0013250-2B transcript:Sspon.04G0013250-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRIVGSKYKLGRKIGSGSFGEIYLGSTPIMTAVVHFVCWFDLTRFCCCACSCPLPLYAATHIDTYEIVAVKIESSKTNHPQLLYEAKLYNALQGGTGIANIKWCGIDGDENILVIDLLGPSLEDLFVYCGRRFSLKTVLMLADQMVYVIDFGLAKRYRDSTTNRHIPYRENKNLTGTARYASRNTHLGIEQSRRDDLESIGYVLLYFLRGSLPWQGLKAATKKQKYDKISEKKLSTPIEALCKSHPVEFASYFDYCHSLTFDQRPDYSFLRHIFRELFDREGYQFDYVYDWTLLKCKQSQKAKAQQGCSHDAGVSSRPVTTNVDKHQVGVARPIDASGLLEAEQRPAIRMQFKCSAENSRSNNLHPERLGVAASPNNALLQSTSLGHADAPMNNATHSKTQGSIGPHTHGSYSPGPSNLI >Sspon.07G0020380-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:67919120:67921641:-1 gene:Sspon.07G0020380-3D transcript:Sspon.07G0020380-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MERFGVLGTRLGLDGVGGGGGGGGGELPPGFRFHPTDEELITYYLLRKAVDGSFCGRAIAEIDLNKCEPWELPDKAKMGEREWYFYSLRDRKYPTGLRTNRATLAGYWKATGKDREIRSARSGALVGMKKTLVFYRGRAPKGQKTHWVMHEYRLEGTYAYHFLHSSTRGVPEARRGPTARKHHRLGGLSSAGGGESCFSDSTSASIGGGGGGASASSAPRPLPLTVTDASSLSLFASAAAANAADGDSSSYCGGAANNANNGNNLVTGRELVPCFSTSTTTGAGGLDAAALGIGQPYNAAVPLPLAFEPPPPTPAFFPNLRSSLQLQVQQDNNLELPLFLSAAGGLSAATLGMGSMGGGALHHWPLAGMEVKVEGRSAPPQMAVGPGQLDGAFGWGY >Sspon.04G0021540-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:719230:721678:-1 gene:Sspon.04G0021540-2C transcript:Sspon.04G0021540-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLQTPLVQPKRKKVLVDYLVRLRWIPALFIALPISALIYFSVFVGNTWSAMKSEKCRQKEHEENIKRVVRRLKERNPKRDGLVCTARKPWVVVGMRNVDYKRARHFQVDLSAFRNILEIDKERMVAKVEPLVSMGQITKATCPMNLSLAVAPEFDDLTVGGLINSYGISGGSHIYGLFSDTVVSVEVVLADGQVVRATKDNEHSDLFYGMPWSQGTIGLLVSAEIKLIPVKEYMRLTYTPVRGTLKEIAEAYADSFVPRDGDPAKVPDFVEGMVYSSSEGVMMTGVYASEEEAKKKGNRINRVGWWFKPWFYQYAETALKKGEFVEYIPTREYYHRHTRSLYWEGKLIIPFGDQFWFRFLLGWLMPPKISLLKITQGEAIRNYYHDNHVIQDVLVPLHKVSDALEFAHRELEVYPVWLCPHRLYKLPVKTMVHPEPGFEQHRRKGDTSYAQMFTDVGFYYAPASVLRGEEFNGAEAVHRLEQWLIRNHGYQAQYAVSELSEKDFWRMFDPSHYEHCRRKYGAVGTFMSAHYKSKKGKKSEEEVKLCNFVQRRDLSFDYLFCISPRP >Sspon.07G0028280-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:65519823:65523708:-1 gene:Sspon.07G0028280-1B transcript:Sspon.07G0028280-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAVTVSSRPPFLVLSSYLYYCFLMAAAIHVPRLLPTPKPWSVSADKLHRRRPKYTKPVPLWDGATSETASFTTTFSFRIIPDTRTHPGDGIAFFLGHFASDIPSNSTGGSLGLLTNNNNGTGDGTIVAVEFDTHLNTENADISDSHVAIDVNSVNSTATKDTTSPTKNLTSSVMTATVRYVNVTKLLAVQLVINGTSYNVNTTVDLRSYLPERVAVGFSAATGYAGEMNQILSWSFTSTLQEPPAPPPVLTPDNIQNSKKRSVETVIVAVLVPLLFLLVCAAVLVWQQKRKRRRSLGGRGMPPSNGTSDDDNDYQEQDYSSRAELERGVAASGPRRYAYRDLAAATDNFAEDGKLGRGGFGSVYRGKLAVSGEERPVAIKMFSSESSAQGRKEFEAEVRIISRLKHRNLVQLLGWCDSRRNGLLLVYELASPQQRQRELSDEWEQCVVHGDIKPSNIMLDESLGTRLGDFGLARLGDHGARWHTTRAVMGTAGYIDPEFVNTHHPSTYSDVYSFGIVLLEIVSGRCPVILLEGGAHFILVKWMWGLYGRNAILDAADERLRSGGNEADDRCMERVLVVGLWCAHPDPSERPSIAQAMHVLQSEDARLPALTPQMYRTVSEFAVAGRAI >Sspon.01G0020870-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:74498001:74500954:-1 gene:Sspon.01G0020870-4D transcript:Sspon.01G0020870-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GGRAEESRGAALRVAVVVAGDVRAPAAVPAGAAIVPPRRAARPRFHAPGRRPDPGPRGRLRVPADQPRRPRAARGSGAGQAGPGRGGAARGREPHGGGGAQGAARHADGGGARADSGVRRRRRAVRRLPAPLLRRRRPGRGPLRRARARRVRIRHRARQDRLPQARYGRQGNRDGHSRAASAARCRRRERPRKGGGAEGHGGAEGRHRPRRGGEGTPGAVVRAGVPGGPDGRVHAAHLLGAVVGRDGAHLLLRDVHVLHGRLRLLPPDQEGAVVRGLLREPFRGEAEARDAGQGVRPPQVRGAPESLRRAAAAGAGAPGPGPDPLRERGGAGERPLPLLLPLPLI >Sspon.04G0036750-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:47035448:47051193:-1 gene:Sspon.04G0036750-1D transcript:Sspon.04G0036750-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEINPADEIDKCEAGGGAEPQPADPEPEAEAASAAAALEQPPWREQLTARGLVAAALIGSMYTVVVMKLNLTTGFVPTMNVSAALLAFLALRGWTGALVRLGVAGARPFTRQENTVVQTCAVACYSLALCGTCQTPDSSAQLARLSVARARHRTWSRVVTREIAVCGGAGACAGFGSFMLGLNKRTYEAAGVDTPGNVPGSVKEPGFGWITGFLVASSFGGLLTLIPLRKVLHSISFHMRKPSSFAKIYFNKMRRNDLFIQALVIDYKLTYPSGTATAVLINGFHTPQGDKNAEFFFDFSMTYVGAGMICPHHVNLSTLLGAVLSWGILWPLIRKRKGDWYSADVPESSMTSLYGYKWRFGCSPVQSFVCIALIMGDGIYHFVKVLGVTAKSLHERSKLRRGNNRGSSSTSTSRVADEGNAAAIDDLRRDEVFNRDSIPAWLAYAGYAVMSAVAVVAIPMMFRQVRWYYVVAAYALAPVLGFSNSYGAGLTDINMGYSYGQLALFVLAAWAGRDDGVVAGLVGCGLVKQLVLISADLMHDFKTAHLTLTSPRSMLAAQAVGAAIGCVVTPLTFLLFYRAFDVGNPNGYWKAPFAIIYRNMALLGAQGFSALPTNCLPLSAGFFAFAVLANVIKDVLPPRYARYVPLPTAMAVPFLVGASFAIDMVVGTLVIFAWQRIDGNETTLLVPAVASGLICAMEAAPAWGGVPALEIDKCVADAEDVESEPVAAADRRAEEPVPPWREQVTVRGLVAALLIGFVYTVIILKLALTTGIIPTLNVSAALLAFLSLRGWTRALGRLRVGLGLGAGGAPRPFTRQENTVVQTCAVACYTMGFGGGFGSSLLALDKKTYELAGVNTLGNAPGSYKEPGIGWMTGFLLAISFVGLLNLLPLRKVLPSWYYVVIAYVLAPVLGFSNAYGTGLTDINMSYNYGKIALFIFAAWGGKDNGVIAGLVGCGIVKQLVQVSAELMHDFKTAHLTLTSPRSMLVGQVIGTAMGCIISPLTFMLFFRAFDDIGNPDGYWKAPYALIFRNMAILGVQGVSALPTHCLELSAGFFAFAVATNVARDLIMLRSRYRRYVPLPTAMAVPFLVGANFAVDMCMGSLVVFAWHKMNSMEAELLVPAVASGFICGDGIWTFPSSLLSLAK >Sspon.06G0016810-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:91351103:91355993:-1 gene:Sspon.06G0016810-1A transcript:Sspon.06G0016810-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSPSKATGEDALVLCKDRMRHIRQAIDSRDALSAAHLSYTQSLRTVGTALRRYAESEISPESSLSISEVDKSPSHSSMASPSPSRAVENLASPAHRASPLSTQPSTRIHCMKAAATAPLTFVIDPSAAEFVGHESPVSAFVPPPPPLPPELCTSWDFFDPIDAAGSSSSNNENGVTLNFSRLKGLRESRVAEVVPLKKGEEEIMSDRRHTELPDDNAPSKQEREPKQGGTRKPSQLVDTSTKATSEQVAAKLEESEMEKELCAEAEDPSEFITHRAKDFVSSMKDIEARFMRAAEAGNEVSRMLETKKIRLDICKMPGSPGKLPTARFVSALRVCCNRDVILNQETAQHVSKVVTWKRSVSSLSSSSKSALMTSIIKDDVDDSNSDFVEEFAMVSGSHSSTLDRLHAWERKLYDEIKASENVRKAYDEKCNLLRRQFARGLNAQLIDKTRAVVKDLHSRVSVAIQAVDAISKRIEKIRDEELQPQLVELIQGLIRMWKLMLECHHKQFITITLAYHVKNSTPLHQGEHRRQAAMHLWNEMDSFSSSFRNWVTAHKSYVEALNAWLQKCLLQPPQDRRRRKRKVSFPPRQAVSPPIFVLCRDWLAMTESLPADEVCKSLKDVMQLLRDSFEHQDEQNKPRSESQECGMLENNSELEAVKSGSVASAEGLQSRLTAVLDRLTKFSEASLKCYEELKQNYELACDDYKRVGPNAQHA >Sspon.01G0016970-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:61272477:61279880:1 gene:Sspon.01G0016970-1A transcript:Sspon.01G0016970-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPASLTPAGGGRRRWKHRLSPTVARDRCYTRSFRSAGLRPAAIPLPDGAVVHLWLPPPAADPARPLHPVLLLHGFGAQATWQWAPFLGPLLAAGLAPYVPDLVFFGASSSPAADRSPVYQAACVAAAMAALPGAPQRYAVVGVSYGGFVAYHLAHAFPAAVEQLVLVAAGVCLEEADLAAGLFAVEDIAEAASLLLPQRPEDLRRLVGLTFCRPPRFMPSCFMRDYIRVMCTENVKEKTELLYALINGRKLSDLPKINQQTLIIWGEQDRVFPLELGLRLKRHLGDTSELVIVKNAGHAINREKPAELCKLIKNYIVDPSVKYRDDRKGSWKNAIKRFVGSSLRKVDSSRPLL >Sspon.04G0021760-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:2076004:2078110:-1 gene:Sspon.04G0021760-3D transcript:Sspon.04G0021760-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDELQLLLPVAGDDAAAFVLSRLPHPGTAAADAAAFVDATTCTGTGLSFSALRRAALSLASALRLGLGLRRGDAVLVLSRNSLLLPQVLLGVLAAGAVVAAVDPDATPAEVAAAARDSGAVMVVAAPEVAAKLAPAAVGLPPLLLTSRSPENPAALSAEELIDGSDPVDPAAAAAEVVAVSDSDVAFLAYPSATTTAAAVTMTHADLVAAMASVADGGGGGGGGGRRVCLASLPMCSAHGLPLLALGLPAAGATTVLLPPPSSQSDPRVAREAVAAHAATDFVATPEEAAAALAAPVPLDGKLSSLRRVIVVPTPLTPGAREEFRRRLPWVQLTQLLSGTPENQTELLPSLPPEVITPPAGAAVIQQNSLPADPMSATNDAASLKCVQHGQGNLSFGADGQCTAKGQWQRSIVASEYSINNVYFFNSNEHLALLI >Sspon.07G0016440-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7C:63909947:63910446:-1 gene:Sspon.07G0016440-2C transcript:Sspon.07G0016440-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDRAGWPEVELGYKLFPPAQKRAAGRPRVVRIKGTMEQRANRKKVKCKRCKGFGHFEKTCKLAEPTEDDDGVDEASTVASLKRVREEDEGPSQPPKQKRKKTSGNKQPAKKKKTPAKKKLMKAVSAPEARVVRSLKSWLGVE >Sspon.07G0006400-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:14785639:14787825:1 gene:Sspon.07G0006400-2B transcript:Sspon.07G0006400-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGGRRRLSPACLFLAVALVVLVALPELAAARTRRYTFNVTMATVTRLCVTKSIPTVNGQFPGPKVVVREGDRLVVQVHNNINNNVTFHWHGVRQLRSGWSDGPSYITQCPIRPGQSYTYDFRIVGQRGTLWWHAHFSWLRATLYGPLLILPPRGVPYPFPKPHREVPLMLGEWFNADPEAVIKQALQTGGGPNVSDAYTFNGLPGPTYNCSSASDTFKLKVKLGKTYLLRLVNAALNDELFFAVANHTLTVVQADASYVKPFTATTLVISPGQTMDVLLTAAASTPSSSAFAIAVAPYTNTIGTFDNTTAIAVLEYDGAPQQSALRNLPPPALPLYNDTGAVANFSAKFRSLASAQYPALVPRTVDRRFFFSVGLGADPCQSRVNGTCQGPNGTRFAASMNNVSFTMPKTSLLQAHYQRRYSGVLTANFPAVPPAPFNYTGTPPNNTFVTHGTRVVPLSFNTTVEVVLEDTGILGAESHPLHLHGYDFFVVGTGFGNYDANNDTAKYNLVDPVQRNTISVPTAGWVAIRFVADNPGVWIMHCHLDVHLSWGLAMAWLVNDGPLPNQKLPPPPSDIP >Sspon.06G0001410-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:3009423:3014141:-1 gene:Sspon.06G0001410-2B transcript:Sspon.06G0001410-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSNIDIVLTEVFMPGVSGISLLSRIMSHNICKNIPVIMMSSNDAMNTVFKCLSKGAVDFLVKPIRKNELKNLWQHAQSSWTKRAVEIDSPQAMSLDQLADPPDSTCAQVIHPKSEICSNRWLPGTSNRNCKKQKGTNDDFKRKDLEIGGPGNLYMDHQSSPNERPIKATDGRCECPPENNSKESMMENLEEPTVRAADLIGSMAKNMDTQQEARAADTTPNLSSKVPEGKDNKNNHDNVLPSLELSLKRSRSCGDGANTVKDVEQRNVFRRSNLSAFTRYHTSAASNQGGTGLVGSCSPHDNSSEAMKTDSTYNMKSNSDAAPIKQGSNGSSNNNDMGSTTKNVVTKPTTNNDRVMLPSSAINKANGHTSAFHPVQHWTMVPANAVGGKAKADEVANNAGHPAGDMQSNLMQQYPRPTLHYVHFDGAQENGGSGALHCGSSNVFDPPLEGQATNYGVNGSNSGSNNGTKGQNGSTTAGASTAAANANANAGRTNTETANGAIHKSGHAGGGNGSGSGSGNDTYVKRLAAGMTPRQAQLKKYREKKKDRNFGKKVRYQSRKRLADQRPRVRGQFVKQAMQDQGGWDGAGDR >Sspon.04G0008790-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:26383155:26385766:1 gene:Sspon.04G0008790-3D transcript:Sspon.04G0008790-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYEISEIKILIVSILFPMFQCEEIGIGLVGFGILFSFLGVILFFDRGLLALGNIFFLTGVGLLLGWQSMWQLFTKKANLKGSVPFFFGLFLLFVRWPVAGMIMELYGSFVLFSGYGPPIQAFLYQIPIIGWILQYPFQISEVAGCSVETGGPLGQSDRT >Sspon.08G0017560-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:3283589:3284857:-1 gene:Sspon.08G0017560-2C transcript:Sspon.08G0017560-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:KAR-UP F-box 1 [Source:Projected from Arabidopsis thaliana (AT1G31350) TAIR;Acc:AT1G31350] MAAPAAAKRPYWQAPAAVPEPNKRHRTAAAPAPMDANKEPATEHEDQPLLPGLPDHLAQLCLAPLPPRLLHAVCRPWRRLLYSPSFPPFLSLYAVLDDATTGPASSSGTGGGVSFAAYDAVAGRWDDLPAPPMPSSPPRLWHPSFLSRRLPLQSVAAGGRLVLVAGSTRSLAPALPQPVVFDPAARAWRLGPRFPFAPRRWCAAGAARGRVFVAGGVGAGYDASDARSGATWDPASATAAAGWEPITPMRDARFSRDAAEAVCAAGKVCMVSLRCRGAKEGAVFDLRAARWEDMPPGMLAGWKGPAAAVDETTIFVVDEERGALGAYDWAGDRWRTVVESERLKGAAEMAAGGGRVCVAAQGGEKVVVVDVAPSRPSPQPSRRWGAPTAAAAAPRPRVWEVAAPPGKRVVALHVLPRMARAE >Sspon.01G0000620-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:1423035:1425914:-1 gene:Sspon.01G0000620-3C transcript:Sspon.01G0000620-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGGDEVSAIVIDVGSYSCKAGYAGDDTPKAVFPSVVGSIEQTEDTDDPKPDKEADSASDSKNGAKPMDVDKAKTKRKLYVGQELEFRRDHMEVISPMKDGTVLTSFASGRATSLVVDR >Sspon.03G0035030-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:78396427:78403079:1 gene:Sspon.03G0035030-1B transcript:Sspon.03G0035030-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNFHVYEAIGRGKHSVTLLTSFPPLQMVSVPLFPLFRPGSAGIGVARAPARAIRAFYVFFSSLVRFVIWCWWLCGAKTVYKGRKKKTIEYFAVKSVDKSQRSKVLNEVRMLHSLDHANVLKFYSWYETSAHFWLVLEYCVGGDLKGLLEQDKKLPENSIHDLAYDLVKALQFLHSQGIIYCDLKPSNILLDEFGCMKLCDFGLARRLKDIEKTNPGDVPQPMRGTPCYMAPELFREGGVHSYASDFWALGCVLYECYTGRPPFVGREFTQLVKSIISDPTPPLSDNPSRSFQNLIDCLLMKDPAERLQWSELCEHNFWRTTIPMISLPSQPAFDNMIELSATPYLAERNGDKPSRQLTPPKHREYSGLRKKDENSTKAFMTPVKNVQSGKKNSAKPKAEGFKGVNILRMSRIAKLNLQRERDKENYRRPPTETTENETEVNIENNDMELDFGENPEGDVPDDTDGSDNPGSAGNEKPQSTDANEENCMANQVDMLTDEGSVKPDIMDVAATPPSSMRKAQRAKVTPCPATGSEPSNIFEAFWHPTDLAVKPVMPSRKADKAVDMVPTLPFEALTASDYVKLPQEQMNAFNSQILQSLSGTYQVSEKQNTIRYLEMLSMNSDAANKITNGPIMLLLIKMLRLSKTSVLRVQIASLMGLLIRYSTALDVELASSGIFNALSDGLRDKHDKLRRFCMATLGELLFYISTQSDQDTKEINTQESPLKDNRSATSWQVPSAVIALVSSILRKGEDDLAQLYALRTIDNICSQGTEWTSRFASQDAIGHLCYIYKATGKQESTRLIAGSCLARLSRFILEAATEEPSVILGEHKIFTSRIIPSLSVLYKGNKDGDARFLCLKILSDVMIVIFSDSSLTSDEQTITDLKSISQRHFLPLYPSFAEDEDPIPIYAQKLLVMLMEHGCVKVSDILHKATVSQCFEFLLGDLSNANVSNVKLCFALASAPEMDTHILSQLQVVRRIGNLLEFVAAKDMDDFLEPTLELCRAFIIRGTGSNRSIALSKNPALLVDSAFSMSIAVDQQTCVMDICNFGGNMGIFLELVGNSDPQISDLASDCVVLLLKAAPREATVGLLTNLPKLSTVMDLLKHDISLRLIRLLYGLAFSCRQYLAQEMILSISVSALMRVEALVSVFKGSNDSLLADAASYLGAELQRLPRC >Sspon.05G0026900-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:47944811:47947216:-1 gene:Sspon.05G0026900-1B transcript:Sspon.05G0026900-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGRGQNSRRRSGIVAERRSGDGPRASVREQGDRQVALEARVKGLQALVVIGFIDVTAKRVLGQGMSQSDDIIVRKEQDYKSVEDVLHKCISLYKEPLTRRLVKKVVKQDYMMCLRKLTVMVQRIHPFPQMTGLQELLVEAGQIIEELAEESTRKK >Sspon.05G0034020-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:5C:47618479:47618685:-1 gene:Sspon.05G0034020-1C transcript:Sspon.05G0034020-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLQALRPSGVRLRRGRASESGESAAVVAMWAVGAWRRSVTESARPARIRLWRLRVATIQRDGSRQRA >Sspon.08G0010010-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:38596088:38596597:-1 gene:Sspon.08G0010010-2B transcript:Sspon.08G0010010-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNCKSDQSRRWAGRAEQDSDGSAQSTADMTAFVSAKPSNPDGKFLVLFGDSLVNALSSGKKKLNLITLQQTRFQAMSENIISKNILKSFLLLIYFLYVFKIFDMQ >Sspon.01G0021980-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1A:80597622:80598650:1 gene:Sspon.01G0021980-1A transcript:Sspon.01G0021980-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLRCNSLLLLLLVVHTTAASAALQLHFYARSCPRAEAIVRRTVRRRAAHDRSVLPALIRLHFHDCFVRVRLLHCCTSTFLVASISSILMALCLHHHHHHHDQGCDGSVLIDSTPGHPAEKDAPPNLTLRMLDVIDDAKAAVEKSCPGVVSCADIVALAARDAAAMAGKVRYELPTGRRDGTASFAEALSAFRSIGLGVLDLATLLGSHTMGFCHCGLIMSRLYGYNRTCESDPAMDPGLLAVLRRRCPPHTVTTPQNESRDAVVPMNFVAPLGPFGLDNAFYPSVLAGRAVLQIDQELASSVIARRIVAMFATRPGNFRRQFARSMVKLGSVNVLTGSQGE >Sspon.08G0024850-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:3263666:3266850:1 gene:Sspon.08G0024850-1C transcript:Sspon.08G0024850-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPGSSAAAPLLLRLAAVAAALLAAAAASPAPSDFVRKSCRATQYPSVCEQSLASYGGSPPPRSPPYVGRLCGSGHKKGAARKGGAPSSSAAGPVRDCLENLADSVGHLRDAAQEMGGAGMSRAGTPAFKWHLSNVQTWCSAALTDENTCLDGLSSRGVDAATRGKVVEVAASRSSPATRWQSSTSIIVSVKLEVYIKTKFLGLSTSTSLVDNK >Sspon.05G0014850-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:5C:49290179:49291003:1 gene:Sspon.05G0014850-2C transcript:Sspon.05G0014850-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRGTRNQNVVQRSRFGLTRESPRLHMDISEPDEASNHQDASHRPAAIAGEQEENNYNEGPDLTLEAHEVEINGPSESSDVSSLNQLLCPLCRGAVSGWKIIKEARQYLDEKSRACSREACTFSGNYREIRRHARRVHPTTRPADVDPSRRRAWHHLEHQREYADIVSAIRSAMPGAVVLGDYAIEGGEMFSHDRETSGPSEPSGSLLTTFFLFHMLSSSPIRSGDEPRGASRGLRRQRRRYLWGENLLGLQYDDDDDDNDDDDEGRRRRGCCG >Sspon.06G0006970-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:26248348:26249568:-1 gene:Sspon.06G0006970-1A transcript:Sspon.06G0006970-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRKAKRTRERTPTPGKYCGRRAGLSPHCRSSEYTSGLGGVLRISKEPITLPRSQKGAIPLKGTQKGAVAFKGSKGTVSLKGTPEGAVPFKGPQGPVSLKGPPEGAIPFKGPQKGAVTLKRPQGQFTP >Sspon.03G0013790-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:56223136:56239099:-1 gene:Sspon.03G0013790-2C transcript:Sspon.03G0013790-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASFVSHTGVSSVHQQRDPQSGKTCQLRLPGICIGSSVLLLQHGHMISRRRASKTNFVEVRTFLHVFRSFDRMWAFFILAFQAMVIIAWSPSGLLSSIFQPEVFKNVLTIFITAAFLNFLQAMLEIILNWKAWKSLECSQRIRYILKFAVAVAWLIILPTTYSSSIQNPTGLVKFVSNWINLQNETIYNYAVALYMLPNIFSALFFMFLPIRRALERSNSRIIRFLLWWTQPKLYVARGMYEDTCSLLKYTTFWILLLICKLAFSYYVEHVKGKYAFYRIPKNSHEHNGVVVTVWAPIVMVYFMDTQIWYAIFSTICGGVYGAFSRLGEIRTLGMLRSRFEAIPRAFGKNLVPNHGSHLKRDEVWLWLWLCYFFLYPSFYAIVLMDLIVDLMNFFHQEDKNPPFDKFADIWNAFINSLRQEDLLSNRERDLLVVPSSGSETSVFQWPPFLLASKIPIALDMAKSVKKKDEELLKRIKQDPYTEYAVIECYETLVDILYSIIVEQSDKKVVDRICDSIQDSIRRKSLVKEFRLDELPQLSAKFDKLLNLLKEYDEKDSVKKNTQIANLLQDIMEIITQDIMKNGQGILKDEGQKSQLFANLNLDSLKHVAWREKVWFLEKPFLSSMLCGDILCEQCIRLQLLLTTKESAIYVPTNLEARRRITFFANSLFMKMPRAPPVCSMMSFSVLTPYFKEEVLFSPEDLHKKNEDGISILFYLRKIYPDEFRNFLERIEFKPKDEEELKERMDEICPWASYRGQTLTRTVRGMMYYRKALEIQCLQDTKDPAKFDRDRSIESYQVLQSGTEMAQAIADIKFTYVVSCQVYGMQKTSKDVKDKSRYQNILNLMIINPSLRVAFIDEVEAPTGNGATEKTYYSVLVKGGEKYDEEIYRIKLPGKPTDIGEGKPENQNHAIIFTRGEALQAIDMNQDNYIEEAFKMRNVLEEFDESKKYGKSKPTILGLREHIFTGSVSSLAWFMSNQETSFVTIGQRVLANPLKVRFHYGHPDIFDRLFHITRGGISKASKTINLSEDIFSGFNSTMRGGNVTHHEYMQVGKGRDVGMNQISSFEAKVANGNGEQTLSRDIYRLGRRFDFYRMLSFYFTTVGFYFSSMVTVLTVYVFLYGRLYLVMSGLERSIMLDPRNQQNVKALENALASQSIFQLGLLLVLPMVMEVGLEKGFRTALGEFVIMQLQLASVFFTFQLGTKTHYYGRTILHGGAKYRPTGRGFVVYHAKFAENYRMYSRSHFVKGLELLILLVVYLVYGRSYRSSSLYLFVTCSIWFLVASWLFAPFIFNPSCFEWQKTVDDWTDWRKWMGNRGGIGMSVEQSWEAWWVGEQEHLRKTSIRALLLEIILSLRFLIYQYGIVYHLNIADHHKSIMVYGVSWVVMLLVLVVLKMVSIGRQKFGTDLQLMFRILKGLLFLGFVSVMAVLFVVLHLTISDVFASILGYLPTGWCLLLIGQACSPLIRRTMLWDSIMELGRSYENIMGLILFLPIGFLSWFPFVSEFQTRLLFNQAFSRGLQISRILAGQKDIGEFEFEFK >Sspon.06G0021700-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:19262155:19262433:-1 gene:Sspon.06G0021700-2C transcript:Sspon.06G0021700-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLATSSGDSHRGLLQDGMCKLYSKLLQQSCRTCPTDCCEPVSGCSQHLQKQLATNQNDHKVYQINLTTKIGKFGVMSILYIILKEKKQFNKM >Sspon.05G0035990-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:83326396:83333673:-1 gene:Sspon.05G0035990-1C transcript:Sspon.05G0035990-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor GTE12 [Source:Projected from Arabidopsis thaliana (AT5G46550) UniProtKB/Swiss-Prot;Acc:Q9LS28] MMTQACKKRRGVYISSESGESDTDSDVEGIKLSRKSGVLSISTCQHQSSYKVKSASMKSSKMIRLCGNIIRKLIDHKGGWLFKEPVDPVLYGIPDYFDVIRNPMDLGTVKNKLTKKQYVSIEEFTADVRLTFSNAMKYNPPENDVHKVAKELNGIFDSEWESVERKFRVQNPMQEQQTMKAIKVRGIMDSKSTVPRELVACSNSKPLIARGPVACSNLIAKKTLTDALSSKVKIKFSVRSSEHTSSKDIPVQAAGRKEGSLNHSVPTGNKKASLNCTLPCAKENAKISRIRETERSSGSIGNESWSCNDTSTSPLASSAQGEESYLHDEPLSPSKALRAAMLRSRFAGTIVKAQQKALLDHGKNIDPVKLQLEKERLEKRQQEEKARIEAQVKAAEAAAQRKFDEEMRMKREREREAARLALRMMKKTVDIDNSDFLKELENFSETWQSNPPGKLIVDFIDGDLPPGLGSPLERLGLFMKNDFEDEVEQEMEDSASPSMDVDMKDFQEDAGHEMQDSISPVTVIGTKNDFQGAEGHEMEDSVSPSTVIDTKKDSEEETEHEMVDSVSPSMDVDTEEGEISC >Sspon.05G0010970-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:23186293:23187983:-1 gene:Sspon.05G0010970-1P transcript:Sspon.05G0010970-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSQGGFGTGSPKSFRYPRGGDFDLESGSPRKGRKPKNPHLETSIVMRVRYFYEAHPVAVALILLSFGLSVLVLLSVYETRFRAMRSVGAWSSDGGEYPFPKLRNLVMVAGHSIYTSSRCGETESENSWFLEPYQKHPGQAATFLAHIKEGVGIAARDEGALLLFSGGETRRDAGPRSEAQSYWAIAESKGWFGNDESVRSRALTEEHARDSFENLLFSVCRFRELTGRYPQNITVVSYDFKEERFAQLHRTALGFPEGRFFFTGTPATPSAREAALKGEAAVRSQFLEDPYGCLGSLHVKRLKEGPVPPYHSIP >Sspon.05G0008860-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5A:26227126:26234326:1 gene:Sspon.05G0008860-1A transcript:Sspon.05G0008860-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEAGHYGYKKTDGICDGVCGEVSPTRPPIPIPLPRSPASPPFPFPSAVRSAPRGEAPVSKAALTMSRLKCALRGFDLRALMVLLIGVPILILMIYAHGQKVTYFLRPIWESPPKPFKTIPHYYHENVTMENLCKLHGWKVRDIPRRVFDAVLFSNELDILEIRWNELSPYVSEFVLLESNSTFTGIKKPLHFKENKHRFGFAKSRLTYGNIGGRFVKGENPFVEESYQRVALDRLLKLARIEDDDLLIMSDVDEIPSGHTIDLLRWCDDIPNILHLQLRNYLYSFEFFLDDKSWRASIHKYRSGKTRYAHFRQTDELLADSGWHCSFCFRYISDFAFKMQAYSHVDRIRFKYFLNPERIQDVICRGADLFDMLPEEYTFQEIIAKLGPIPSTYSGVHLPSYLLKNVERFRYLLPGNCRRESG >Sspon.03G0028170-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3C:12187837:12190789:1 gene:Sspon.03G0028170-2C transcript:Sspon.03G0028170-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGSRGSPRIQSTAPAAGAGMDYDLAIGDQGLWAEASNNVGDDWSMFDEQTPIKHCTDFEFQFCDIGEIIVKDFEEGKETLQAKRRRMLQFCPENAEMTCSMTEDGLSESLQEMDFSVHSNSSPCQQPIVVHNNPAQARPTPLKAGKNIIGSKKVRTAVAFPFELIKPCSFSGDVTLNDINKKIHAPPPYKIRHKSDEEPNTLQASAMTGKP >Sspon.04G0021900-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:5822159:5826203:1 gene:Sspon.04G0021900-2C transcript:Sspon.04G0021900-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGSSRADAPSRRRARLSLGGCFGAGSSSSSAAAAAHDGGSFTAAGPSSSRAIEVQSGRPVSALNFQASLAAKDLQISSESNPRLHSSSSTISHHLRFNHLNCHENKEDGLGTENAETSGLESSSRKAVMVRGSLSNEAVSSDVSGEGISFIGSELENVPSTVSTNEIGGSTSESGLSPSLMTSERIMSDLEGEISPQGISSTTVMTSERSDVSQSSLTSMFPNSSTSSSATVEPMPDPIPTRADVPIFSGPHGETGGSILHDDMMSIFSNDGLGRSRDSSSSETRRSHRRILWDAFSRRGSRGYPESDADDLGFYSTWLDLGDDIFEELGESRYFHRRRHGSIRVSQYSRSRIREHRRAIFDSGNEQSTAACPLGIHPIGRCTCDSFLIAEESSARASISRIVMLTEALFEVLDEIHRQPASLSLSMVSVQAPESVVNSLPCKSYKKLETPQCSDDMEQCHICLTEYEDGDQIRTLPCKHEFHLQCVDKWLKEIHRVCPLCRGDVCEVAS >Sspon.06G0023250-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:39995131:39997521:-1 gene:Sspon.06G0023250-3D transcript:Sspon.06G0023250-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATASAACTSFLVPATAVASTPSACPSTLPKKNAGRRRRTVLCRASGGGRGDDVDSRLLWLPRRGVLTGLSGVAAGFVGYPDLASIALEANPVESCRRGEKVTDKLVECSDPNRGFPCPPASRIPIVDFTPERTVTRIRRPAHLLDPEYQAKYKEAVGKMRALDKSNPLSFAAQAAVHESYCDGHYRLDPTEKNRPFDVHFSWIFAPWHRMYIYFYERALGDLIGDDTFALPYWSWDTPAGMGIPAVFKGVSTAENPLFDPYRNMDNKDALIDLDYLKTPKRPTIPFKPPPESDAAARQAYDDAVRTNLSTIYIQQVRDGKGPRAYLGEKLCSEASSRVKEVNERSKRRQGAGGAPKVKASNAQGTLERMAHTTVHVWAGRSSPKAPATCSVDGGGVMGHDGKPHCNNDMGFLGTAGRDPLFYSHHANVDRMWHLWSTRLGGRGFDDPEWLDTSFVFYDDVKSPRLVRMKFRDVLDTANLGYTYDKESEAALPWLKSKPTRFSAGKTTRSAPAKPKVVSEFPLTLTKEAVDVPSVAIPAKQPGKDLVLLIEGIEYDPQINNKFDVIINVPKEDAGMVGPKDCEYAGSFTAVPSSNAAGGTLVGKVTLFIDGVLEDLGLTGESAVDIVLVPHTDEEIKIYLPPTIENA >Sspon.02G0027460-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:126044145:126046485:-1 gene:Sspon.02G0027460-3C transcript:Sspon.02G0027460-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPLSSFCLHRIRSGSAASTAAPPSICANREASSSDGGEICKSLKDDKQEGDRVEAKKKGGVEAVVVGRKVMVAADGGSEEARTALQWALSHAVRPCDTVVLLDVVRGGGGNGKNRRDPRGSSQHLEAMRSICQTKRPEVRVELSLAEGGNGKDRGPVIVEAARKQGVSLLVVGQKKRSSVTWRLLSMWIAGVKGGAGCTRASAADYCVQHAACMALAVRRKSRRGGGYLITTRRQRDFWLLA >Sspon.01G0008730-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:24314895:24322227:-1 gene:Sspon.01G0008730-1A transcript:Sspon.01G0008730-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTFNIHCRKCDDLSLATVDGDLTLTKANPRDERQVWYKDMEHAWPLRILCQSGQDLSLTVRDGAAVLARADYKDQRQVVRRPLLLPQFQFRVRSCWVQSFRNTGRVTDDEGHLAFALVNWATGKALRHYSGSGGELVGLVGHRPDSVDVALLWTQSDDLGEGFHGLRSVSDVGVVLDAANAVPDAGGAHDGTPILAFPWNGGPNQKWKMLDRLIRAANYNRHPLAITVKLVKTKDIISANQVQ >Sspon.06G0008160-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:36589629:36590692:1 gene:Sspon.06G0008160-3C transcript:Sspon.06G0008160-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPTSTLALVAPPLAVAVLCLVVRSASAEFWLDEFTTDGDVRKDYDRSGRQVASLVLDQRSGAGFNSTRKYLFGEFSVEMKLVAGNSAGTVTSFYLTSGEGDEHDEIDMEFMGNSSGSPTVLNTNVWASGDGKKEHQFYLWFDPAADFHKYKIVWNDKNIIFQVDDVTVRVFKRYADLPYPDARPMAVHATLWDGSYWATEKGKVPIDWSSAPFVVSYRAYTANACAVGSGGSSSCPAAGGNEWMDRQPDDTDRLTVAWARRNCLQYNYCDDGWRFPQGFPG >Sspon.07G0016740-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:92223963:92225796:-1 gene:Sspon.07G0016740-1P transcript:Sspon.07G0016740-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASPPLLPTTVVPAAAPSPTPAPTALSSADATDTNPAAIRAFLSRLVDSTRRALSGARPWSELADRSALSRPESLAEATSRLRKNLAYFRVNYAAVTAMCLAAALLAHPFSLAALLALLAAWCLLYVLRPADAPPVAAFGRTFSDREVLGGLVAASAFVVFLTSVGSLIFSALALGAAVVCAHGACRVPEDLFLDEVVDQGAGGAGNPLLSFIASATGGRLRIPL >Sspon.05G0001310-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:4368741:4376911:-1 gene:Sspon.05G0001310-1A transcript:Sspon.05G0001310-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGPRSLHALLGGGAVADLLLWRRRNASAAAVVGATAVWFVFERAGYSFPSVLSNALLLLVAILFFWAKSASLLNRPLPPLPNLEVSDAIVEKVADHALVWINRLLAVGHDIAIKRDRKVFIKALVAAALAPTGLLSSRSAFGASWWSPLSLPWPRARFFFKLNEHAIMDAIESWGTNGPFWLRFMSTIRSSITSSTTKEVGILSYQDYNESKMRVVHMACVLALGVKNFVSFWEFINSYGEIRKFRVYPHNVRRLFEIVVEVPKKREQLVSAICIGREITSLINGNPLFTHGGMALVLTGVIRMKQRGTIMR >Sspon.06G0018800-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:64095737:64098291:1 gene:Sspon.06G0018800-3C transcript:Sspon.06G0018800-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ARKKIREYDSKRLLKEHLKRLAGIDLTILSAQVTQSTDFAELLSQQPWLSTMKLVVKPDMLFGKRGKSGLVALNLDFDQVKEFVKERLGVEVEMGGCKAPITTFIVEPFVPHDQEYYLSIVSERLGSTISFSECGGIEIEENWDKVKTIFLPTEKPMTPDSCAPLIATLPLEVRRKIGDLSIVQVGRYRIPLPFGRVLSPTESFIHELDEKVSASLKFTVLNPKGRIWTMVAGGGASVIYADTVGDLGYASELGNYAEYSGAPNEEEVLNYARVVLDVATADPDGRKRALLIGGGIANFTDVAATFNGIIRALREKVSKLKASRMHIYVRRGGPNYQSGLAKMRKLGAELGVPIEV >Sspon.03G0034280-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3B:70377716:70377988:-1 gene:Sspon.03G0034280-1B transcript:Sspon.03G0034280-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPDELGASGRGARRGGILRMGRDGARCACGTGRTASNRGGQGGLHGQGKLSGRVGAATWRVRVRGGTKISARGRLRSVQTRVSVRMSGS >Sspon.03G0012600-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:44689068:44693604:-1 gene:Sspon.03G0012600-2B transcript:Sspon.03G0012600-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPKRKSPATAAPAAGSPRKTRSMAAAGKRGTEPAPAKAVPAKKENEAAVAEPKARKRAMKDEAVTVATEPKGRKTGKKEAEAAAPAAEEEDGGDAVAGGKRVVVEACTQCRQFKIRAQKVKEDLESSVPGVSVIINPQKPRRGCLEIREEGGENMPRPFAPMKKLDMDKVIKDIAKKIS >Sspon.08G0011920-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:48835403:48838737:1 gene:Sspon.08G0011920-3C transcript:Sspon.08G0011920-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GDTSLEAQLAVAAHRPHDAAASPLSPARLRPPPLRLPPSRPPVHGSRVRPGRPLPGIPPHPNGAPVPRLRRRRRLPPTLRRLARSPDHHAPLLPRARPSRCSSSPGGAPTRPSPPCSSTPTSTPCPRSPSTGRTRRSRRTATRPPGRVYARGAQDDKCLPVQYLEAIRGLQAAGFAPARTVHISLVPDEEIGGADGFDKFAQSEEFRALNIGFMLDEGQASPTDVFRVFYADRLVWRLIVKAAGAPGHGSRMFDGAAVDNLMDSVETIAGFRDAQFRMVKSGERGPGEVVSVNPVYMKAGIPSPTGFVMNMQPSEAEVGFDLRLPPTEDIEQIKRRVKEEWAPAHKNLTYQLTQKGPVTDVAGRPIFTATDESNPWWPIFEKAITSAGGKLSKPEILSSTTDSRFVRQLGIPALGFSPMTNTPILLHDHNEFLEDKVFLRGIKVYEHVIRALSSFQG >Sspon.06G0002240-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6A:7146403:7154752:-1 gene:Sspon.06G0002240-1A transcript:Sspon.06G0002240-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMPGPSTVHLVDIGVSRAEPSLTPAYDAERDSRSPPPVPSPPPAPLALGVQAGADEETVEGSATPSPVAPSERRALGVWMPPGRPELAARVAYAHILPPECALKPAPFIREAIMAADAGLHYTLHPSSRGVMHLCFPSPRERNAAADASPYIWGGGEVHLERAEETGNRFMVERPWIAAIAAKDFPEEHWFPENIPSGFSCLGNVLEIDDNCLCGDYSSMRVVVELEKFEVPEEIWLAGVDGTDGEGSVVKLTPIHIWERPERFDNMGRYVPFFNQPPPPPPPPAPFPPNHPGRFGPERPPAPGAGGNIGPQLFGPVLQGRFPGFDTFYFGFLSPFPLAPLPKFPLVIRLAAAATPPPKPIAHQPLLTITWLVDGDEPPRATTPPTLPTRATRRPKGQRKLPAAATRHSTRLAGMEPPVYTSMSSKATMLKALRNELTACSADLQQKVAKRGILKKTKLPLEVADLRQLAAVAMGDAAKAALNKLTDPSVTKIRSFLPSRLNDHRLLNACGTRGGVLTAWNSSTLALTSVDCRTYTLTTTFESTTSDHSFSLSNVYAPADHANTPAFLLELRDLASCISGAWVILGDFNLIRHESDKNNQCFNQRLASAFNETISSLALHELPLLDRLFTWSNGRDHPTLARLDRVFFNNLMNSTFPNSSLSSLTRSTSDHTPLLATITTAIPKSQNFRFENSWLLHSSFLPCVTRAWAGVADRGDAAGNLAACLKATRAAVKVWSRSVRAPPTLKKNCHFIIHMFDLFEEHRCLSFGESALRKLARDRLALLVKERAAYWRQRGKIKHITEGDASTKYFHAHASNKLRSNQIKAVQSNGEVVIDQMRKLSIFTDYYTSLLGTEIPATWRFDLQTIYLGAQLVDPEPLTSPFTLSEAKAAVQAMNKNSAPGPDGFGSAFYCAVWNQIEPQLQLLLESFYSNCADLSRINRAHIILLAKKPGVITPDAYRPISLQNLSVKLITKILTDRLQREITKIVTLDQTGFIRGRSITENFVLATELVQCCHRRKIPTVVLKLDFAKAFDSVNWDSLVKILHARGFSGKWCKWVQAILQTSMSAVVVNGIPGSWIKCRRGLRQGDPISPYLFLIVADVLPALIRHDGSIKHPIAVDLPCPVLQYADDTLILLPADAAQVQRLRQLLDDFADATGLKINYNKSTMVPMNVSSTTSNELQALLGCKLETFPQTYLGLPLSTTKLNISAFSPLIGKADKHLAGWQNQFLNSRGRAILINSVLDGTAAYLMAANQMPKGVLDALDSRRRAFLWTGAAKTTGSQCLVAWPTVCQTKEKGGLGVRELHLQNQCLLLKLIHRLHHPGDSAWAQWARTGLDLANLTGRDAVGAHWDALRNLLPFYRCITSVVLGDGRATSFWDDHWHGSGTLASTFPSLASHVTESGASVSDTKRQGIRAQLVPRLSRQAAAELTQVEDILDQLRLSNEPDDRLCPLMTVPGDHKIHTSRVYQAIKNHGSPAAFAKFVWSNRTPPKVQHFCWLVVQDRIKCRTNLKRKHILEDDTCPICDADPETTTHLLLTCPFSLQLWNKFGIDVSSLQACTIWNIDRPGHINALHFETLVHLICWMLWKHRNGVVFNNLPLSASRLAATFRDAAHLWQHRFPAAERSVVEQWIFSRNYKLDNWSLFGTTRALRWMNVSFIRMLAQPQEGNEVVLVMGMWIDLVTWLPVIGPMFTGIFQAVLLILMSKMKLRGVSGDANDGGGSVAAYFKAPDNYQPNQYSLGTQLAVLLIQNLQIPVAVLQVMLSSSRFNRLQSDYRWLSHEKDSSSPNMVPAIKVFYVLAICQGCFYITASILGLFSFIPRRMLVRQSKLRGQRGAKAINLYYESAYSTCMETGLFAARKTVSLASFAEESLSSNSSETQLAGVLLLDNLLHESRGTDSIEEMRSKIIRSNKTLSTLIAMLGWANERHRDIRLTAARIVANLADNVTVADIPGTLKVVCSLLDNDETSGQTTSGNGGNAAGSQPTDEELEHAQDNNGGCIWICQSWQRMKDKWFIVEKLPLVDQESLPILGMVILEKLAHDINNCAEIANASYLISKIIGLISYRDNNVSSNNEQQCAVICASLNFVSRLASTGENIGATLRQELCKNFFLLNNLECVLEDSCSSPEMMKLVIDILTKLALDEDTRKEIGCCKMIVWKLMHVFIGKDGSTNAYYDQSLRMAAGEALANLTTESPANCLVILEVPGYEIIKDLKNMLCEDEYIYVAASLLQNICAQAKDKLRHQDASNHLSSAFPMVSLTIATKRNY >Sspon.05G0002200-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:6882114:6886284:-1 gene:Sspon.05G0002200-1A transcript:Sspon.05G0002200-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRLAAAEKEVGASPSRQQPPDRVLVEVVRFTQKNGLRGCDGGWKDFLARNDRKFGASVSDPRKRTRDVLLAFLQTFSKDYQKYFGKLVKRHKERSAVQQYMTDFPDEVSPEQKLVQLTVEHPEYRKHYCFPSYQEGWKVLRIGEVSNLMSSSAMLAIDCEMVLCNDGTEAVVRVCVVDNKLKAKLDILVNPRKLLLTIGHTSLDLEGVTSSLVDVQKSLKRMLSKENILIGHSLHRDLCALKIDYSQVIDTAYIFKYANLPTTASPSLNSLCKAILGYSVREEGEPHNCLKDAEAAMNLVLAKLRMGLMTPLKLLQVTESDVVKLLAHRIPVYLPCQELCKIFSGNPNIDDKIDSRIRGEFYSTCISFNDIDEVEKAFEELDGQKTKDSGGRLQKHVLLKRDNGDVVSFYVRKMVYDSWPKQLEVPKKRPEPTENPEPKKEHAEGVQPKKKRSNSVRDTEDPEPKKRPEPAKDPEPKKDHAEGVRQKKKRSKKHANKENASVQFASTQTSTVGSGHDYEGGFAGSGSASTRGGRCAH >Sspon.01G0051550-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1C:16385541:16386026:-1 gene:Sspon.01G0051550-1C transcript:Sspon.01G0051550-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MELAEGEGGRGGRKEAPAGECDNEVAHGSRTERVTRALRDSGVSGREDKDRERCTVGWFDVAGCQNYSLGFFHGYPLTYGRLI >Sspon.01G0015900-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1A:47455173:47455427:-1 gene:Sspon.01G0015900-1A transcript:Sspon.01G0015900-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRGGRVEAAAAAAAVGRTAVRQQEPLATGDGNARTGGSWNVVQGRRRDAPGRWSAEEEEVDRDGRSTGEGGDWGGSGKGPVLL >Sspon.02G0008410-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:24682368:24691898:1 gene:Sspon.02G0008410-1A transcript:Sspon.02G0008410-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGSPPASRSALGPGATIVSAGGSFALGFFSPTNSTPGKLYLGIWYNDIPGLTVVWVANRETPVTNSISTISSPPALSLTNTSNLVLSGADGRVLWTTNVAGADTAGSNTAAVLLNTGNLVIRSPNGARHLMAELRSPDRHIRINYTTRAGDRLVSWKSPDDPSPGVFSFGGDADTFLQTFVWNGTRPIFRIGPWTGESVTGGLSRKFTGVIFYQTVVSTQEEIYVAFSVSDGAEHTRYVLTDSGEFLFQSWNSSSSAWAVSSESAGCNRYGHCGPNGYCDNTECAAECTKNCSCVAYVYANLSTSRTKDLMRCLVWAGELIDAGKGDTDTLYLRIAGLDAGKISYGAKRRKLIFDGMTSDELEGNHAQDSEFLSVRFEDIVAATRNFSEAWGFGKVYKAMLGGHEVAIKRLSKDSEQGSKESRNEVILIAKLQHRNLVRLLGCSVDIDEKVLIYEYLPNGSLDATLFDNSRKMMLDWPIRFNITKGVARGLLYLHQDSRLTIIHRDLKAANVLLDGQMRPKIADFGMARIFNDSQENANTHRVVGT >Sspon.01G0026160-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1A:91087221:91089091:1 gene:Sspon.01G0026160-1A transcript:Sspon.01G0026160-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALTNFIVTVAVVGAGVLLFTTDIRRSGALFRRNARQLRQWLEEDTASAASKYVACGAVRRPHPSFLLGAVLTVLFRWNERSAKEVAPTPKKLDSTVPKEKPKEDSH >Sspon.07G0009180-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:22394038:22396295:-1 gene:Sspon.07G0009180-2D transcript:Sspon.07G0009180-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDGGNFVNDENSDTCSSARGTVECAGALQEKMTKLNIGCGVPYHSRKDETATEPPEVFVFGCNVSSFSSAQTASKSNDPTTPDFCFQSKPEATSGYGAVPQTKVQESYPFTNLNNSCSSSTFVNAVPAFSFGAMNAETETAPDDHFSVKQDLPGYSRETLFGLDSIKSAYKDKREAHKGKMKNKRPTRLKQHAQLHQVVSKEACTNGEASDLAGDYSPMDCSPYPAEAEHVPTEAYVASDQPVHISDSVISNLNTSCAEDDVVSATEHLVIDADLPMFGDQGRGPKLDASESNFGSSFSSFEGDRSNASQHSFTNIGQDCNENTYRTPHDFVEAAAFQSSSSNFSGLNFRFGVSSSPEISAAAQRRNTRRKLRRKGSLASKPSTIGSFEQPKSSQDTKGMRFFGETSKNEETVKKQSSRDSSTSAALETCETWRTSGNKAYANGHFATAEDYYTRGINSVTHYGVSGHCSRALMLCYSNRAATRMSLGMMQEALQDCLTATSIDPTFLKAKVRAANCQLALGDLEDASRSYMSCLNSNTGSSDLKIFAEASDGLERVK >Sspon.02G0044340-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2B:100708695:100710500:1 gene:Sspon.02G0044340-1B transcript:Sspon.02G0044340-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LCRGCILLSGALDPPRTPATILGCLCRKHFPGLVKLKDGTWSPTWSWDRYKLGSDDVQDNKQERVLAEFWRYFKPEDGREEMADWTSHRACQKHVGDMIHEARLQAHVDYYRSIKKEPLKKDGARKVALTKEQYLQVPASWCMSHRAAWSRIMDRYLDPAYIAKHEQGKRKRALKIGPTHHQGSRNLPTFKRALEVAHPDQPVSEFTAWAVSHMGRVKSGVVFNPDAPAEAYSDPTVHAKVRDYTEAVRALRGSEHNMSTEPLETEVIVRLGQGRKHGRLWIADAADSSSSAPSLSDVRAQSTSRSLPIRARPTPTLSRVDELQAELAETKDALAETKDAQALLTAQLEVTQKQMAEMFQIMQTIGQASGVQVQMPAPVPVRQFTPPPSVGSNNPTVVSPRADPVNPTPQSGPSPQSERQQLHSPPPQ >Sspon.03G0004330-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:77723873:77727496:1 gene:Sspon.03G0004330-1P transcript:Sspon.03G0004330-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGRSWRLAKEVIAKTTMSQERTLDEVVSPFLMQLERARVVPLGPDEDSSHSDIVLLFENIKKEACEVKDILLRVSKWENEIINDFGGIARHLDEIIEEGNQLNSIRSTLQIVNTEMSKLKDRMQLPLHVPMIKPIAPTTLPSSVPSKWVHAKVSEQWKRLEIERKILESSTMSNLQVSYDNLDLQLKLCLLCFSVFPENSIVSKRAMIHWWIGEGLVAATRSQTAEDVGNACFEKLIALEMIEPVYQKCRYRVNQCKLHPWIRRMLIKVAKQARFFEFDSDGNATWDCSATHRACLVEEHQQEIDVASLRNLLTIFNVNEQYLQFDKCWFLDLRKIAVLQLGRWHNLRRHHIEVDSTDFLEGLQLSNQLKYLCLRGISRIIELPASIGGLPNLRILDLHACHNLERLSASITSLQMLTHLDVSECYLLEGMPRGIGLLTELQVLKGFVIGGSTGNYNCRVAELARLDKLKKLTIYIGSKVTVTEDELNELENIKGLCVLKITWAVSLSKKERVHQTSDSTPLLTSLSLPLNLEKLDLRCFPGEKIPDWLNPSKLLRLKRLYFTGGMLRTFGNKNMSGVWSVEVLRLKFLNDLSVQWTQVHDTFPKLTFLEVFRCRKLESFPCEKDGVWMNHDMKDIKK >Sspon.01G0032370-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:109632321:109635666:1 gene:Sspon.01G0032370-1A transcript:Sspon.01G0032370-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAAQPPLPPEVAGEDESSCRGVFMEFMTKVAQFEEFADSGERLLGRFREELVSSCEDRLKDHIKKVLYSSEKKLAVCNDEVKWKMGDREKIVSALSLKTPSSELEGYCLMWNLRPYINDDVMRLAWKMCP >Sspon.08G0006960-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:21617506:21620072:-1 gene:Sspon.08G0006960-1A transcript:Sspon.08G0006960-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSKKTVHGSSCKKGKLFGGLIGRYGSEIDPCYKLFLEHLSKDGNTYVLDVPKGDHGLPFSVRYEEDHTSCGNTKGKSSPNFPNHSRRTSSGIPDGKRRPDVKAVKAASRNVSCSFSPKRSYAKKKKKKTTTSSVDESYELFLSLVKFKDGFMVIEPEPGVTIVYEREEDMPAGYDEWRTGSSTNELPLVSPLGNMEEDYTMQRYDYGLALANEIASEHEMAAPSSENIDGQDIICTDERGLVLYAEPSDLNACEDEQATPLAISYGGSSTFDEKLNAVLSQPYDQNEYEELWRKATDRKPVSRQRHLRSASKRYVTEAIGLSYLDYYPDLVVQINSADCDKRLSLLRKFFFWLENLCHEGAYMPWISKPLACNPISPDEYEAMPALKTREDEP >Sspon.03G0027880-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:7006228:7008192:-1 gene:Sspon.03G0027880-2P transcript:Sspon.03G0027880-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SLTFGVFICIKCSGAHRSLGVHISKVVSVKLDEWADEQVDILADSGGNAAVNMIYEAFVPENCTKPKQDCSAEERNDFISDPYVILNLGHQTMKTKVVKSSLNPVWNERLMLSIPDPIPLLKLQVYDKDTFTTDDRMGEAEINIQPLVAAAKAYETSAIADTAQLNKWMAKDGIWIPRDSAISIVNGKVKQVVNVRLQNVERGQLEMELECVPLTQ >Sspon.05G0027150-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5B:49682334:49682886:-1 gene:Sspon.05G0027150-1B transcript:Sspon.05G0027150-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCGLHPVFRFFRRASAAIATKASQYGYETTYECSVCSKMYASYQALVGHRTDTRSRPCRASRISYRRRGVVRRYHDLGGGQREGENACGKRCGGRSLCSGACENRQRAMEAGAVRPLQLDFMADPECGMVDKAIYVLHSLWAPARDARRLQDR >Sspon.05G0018060-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:77409953:77414687:-1 gene:Sspon.05G0018060-2B transcript:Sspon.05G0018060-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:MLO-like protein 4 [Source:Projected from Arabidopsis thaliana (AT1G11000) UniProtKB/Swiss-Prot;Acc:O23693] MPPTSLPSASIRINYSGLTTTSPLPNPNNPIAQQKLSEQSRAGSEGGGELSREGRKGTGRAGSRMAAEQGRSLAETPTWSVATVTTLMVAACFLVERSLSRFAKWLRKTKRKAMLAALEKIREELMLLGVISLLLSQTAHFISEICVPSSLFNSRFYICSEKDYDDLLRNTDANQTALDKSMFGGQRLHVCSEGHEPFVSYEGLEQLHRFLFILGITHVLYSFVTVVLSMIKIYSWRKWETLAVGHFGHMRLSAYSSMFMVPFAPNLSSSLASKLNKPRMTLLGSFLKLQLVLLVGTELQHVIAQLALEVAEATAPYVGSQLKLRDDLFWFGKPRVLWWLIQFISFQNAFELATFLWSLWELSAQTCFMKHYYMVAIRLISGILVQFWCSYSTLPLNVIISQMGSKFKKSLVSENVRESLHSWCKRVKDRSRHGTLTTRSVCSLDTTYETDHETNTVCTLSRTASATSLDDQLTVATVDDEPSCIEKDD >Sspon.02G0051330-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2C:76096539:76096853:-1 gene:Sspon.02G0051330-1C transcript:Sspon.02G0051330-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKQGQGHGEVLGVVVEIQERHSAMPKLERGLPAGAAAQQQPAGVQRHGRAGGRAGELPDDIEGNVRRAKSFIRLSTLAAPAPASGPASPSSSSRPSCSRTRPT >Sspon.03G0014290-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:44892239:44898131:1 gene:Sspon.03G0014290-1A transcript:Sspon.03G0014290-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEPAAAAAASAAAAISAVMDWRSSPDARAAAFAYLESVKSGDVRALASTSFLLIRKDQTSEIRLHGFKMLQHLVRLRWEELSAAERNEFANLTINLISDVVGPHEVWALKSQTAALVAEAELVAMILRWLPEDITVHNEDLEGVGPCFLTAIFASMLASFLKLYAREKDLWMWQLLSMMLQCATFSRC >Sspon.07G0005490-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7A:14070217:14070855:-1 gene:Sspon.07G0005490-1A transcript:Sspon.07G0005490-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSESGGIPPERHCPHQDPKKVRRRRVLLCLAFAVLVLLLLAAAAAIALLAILRPRDPVTELVSINATGVLPSVVQLPTVSVQLNLTFRLVVRVRNPNPAAFRHGAATTSLYYRGAAVGYGELPAGTVPSRGATTIRMNMTVLADRVVAAAGIGGLIADVLAGEMEFEARTDVPGTVKLLGLVKRSVEARSVCRVVIGVADVNVRRQECDFETK >Sspon.05G0013230-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:42535692:42540832:-1 gene:Sspon.05G0013230-1A transcript:Sspon.05G0013230-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable cysteine protease RD19C [Source:Projected from Arabidopsis thaliana (AT4G16190) UniProtKB/Swiss-Prot;Acc:Q9SUL1] MARLLLLLLAFLFTTAALCSPDASAAEDPLIEQVVGDGADDELELNAEAHFASFVQRFGKTYRDDEERAHRLSVFKANLLRARRHQRLDPTAVHGVTKFSDLTPTEFRRQFLGLRRFRSSGRDLLKGSGSAHEAPILPTDGLPTDFDWREHGAVGPVKDQGSCGSCWSFSTSGALEGAHYLATGKLEVLSEQQMVDCDHECDPSEPRSCDSGCNGGLMTTAFSYLQKVGGLEREKDYPYNGRDDTCKFDKSKVVAQVHNFSVVSVNEDQIAANLVKHGPLAIGINAVFMQTYIGGVSCPYICGRHLDHGVLLVGYGSAGYAPIRFKEKPYWIIKNSWAENWGENGYYKICRGPHVQNKCGVDSMVSTVTAIHSSKKE >Sspon.06G0020450-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6C:10046218:10046736:1 gene:Sspon.06G0020450-2C transcript:Sspon.06G0020450-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDHEAFWCFVGFMKKARHNFRLDEVGIRRQLKTVSQIIKRKDSHLYRHLQKLQAEDCFFLYRMVVVLFRRELTFEQTMCLWEVMWADQAAIRAGIGRSTWARIRLHAPPTDDLLLYAIAACVLQRRKLIIEKYSSMDEILRECNSMAGQLDVWKLLDDAHHLVVDLHDKI >Sspon.02G0005560-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:26098526:26101296:1 gene:Sspon.02G0005560-3C transcript:Sspon.02G0005560-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLPVPGAGGAASDHDAAGDLREPLLANDGGFHDGALAAVVVANYAHGGGSRAKEKDAVKAKDGYWVDVHHQPAMADVESGGGDRPLLFSNKKVMAALLYTYRLLILVRLVAVILFIAWRIKHNNSDVMWFWVTSVVGDVWFALSWLLYQLPKFSPIKRTPDLAALRQHYDDLPTVAPSSRASTSSSPPPTP >Sspon.01G0002980-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:75940111:75945069:1 gene:Sspon.01G0002980-1P transcript:Sspon.01G0002980-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQGEPSASASDPKEKKDYSTAILERKKSPNRLVVDEATNDDNSVVALHPDTMERLQLFRGDTVLLKGKKRKDTICIVLADETCEEPKVRMNKVVRQNLRVRLGDVVSVHQCQDVKYGKRVHILPIDDTVEGITGNLFDAFLKPYFLEAYRPVRKGDLFLVRGGMRSVEFKVIETDPAEYCIVAPDTEIFCDGEPIKREDEERLDDVGYDDVGGVRKQMAQIRELVELPLRHPQLFKSIGVKPPKGILLYGPPGSGKTLIARAVANETGAFFFLINGPEIMSKLAGESESNLRKAFEEAEKNAPSIIFIDEIDSIAPKREKTNGEVERRIVSQLLTLMDGLKSRSHVIVMGATNRPNSIDPALRRFGRFDREIDIGVPDEVGRLEVLRIHTKNMKLAEDVNLELISKDTHGYVGADLAALCTEAALQCIREKMDIIDLEDETIDAEILNSMAVTNDHFKTALGTSNPSALRETVVEVPNVSWEDIGGLENVKRELQETVQYPVEHPEKFEKFGMSPSKGVLFYGPPGCGKTLLAKAIANECQANFISVKGPELLTMWFGESEANVREIFDKARQSAPCVLFFDELDSIATQRGSSVGDAGGAADRVLNQLLTEMDGMNAKKTVFIIGATNRPDIIDPALLRPGRLDQLIYIPLPDEQSRLQIFKACLRKSPVAKDVDLNALAKYTQGFSGADITEICQRACKYAIRENIEKDIEREKRRKDNPEAMEEDEVDEIAEIKAAHFEESMKYARRSVSDADIRKYQAFAQTLQQSRGFGSEFRFSEQSATAGAAAADPFASAGAAADDDDLYS >Sspon.02G0037940-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:37568051:37572323:1 gene:Sspon.02G0037940-1B transcript:Sspon.02G0037940-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAQAPGPADQPARSPARPRAMAASSPSAPARLLLASYPPQSCIWLSTRRSHLGGRRSGFDRGGWRGGDGADGEAPRPPLRKHAAGDRDGREEEGGGGGGGGGRRHGDGRGPARRGRRQADAEAGAGVGVERKRRRVQPWTREL >Sspon.08G0011410-4D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8D:45032547:45032747:1 gene:Sspon.08G0011410-4D transcript:Sspon.08G0011410-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MYELYDPSTVMFFFRNKHIMIDLGTGNNNKINWALKDKQEFIDIVETVYRGARKGRGLVIAPKDYST >Sspon.08G0011970-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:63199691:63200590:1 gene:Sspon.08G0011970-2B transcript:Sspon.08G0011970-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEAMLDPQELANYLHHHEQRIYHFPIANSQKKLTLASSIWLNSPRKVLFPVIYACDVSIEPYFCLLRLQGDLQVPCSQGCACRPLAGLQGATPGLHGGSGHVIHHLA >Sspon.01G0035920-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:15760916:15761432:-1 gene:Sspon.01G0035920-1B transcript:Sspon.01G0035920-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTSLLVVLVATAIFTVATPATARARGGWSPTPSPTQVEIGLEGGFYQPIVNINDPRSARSGGRRDAKYEAVVWEKEWENFLQLTSFKPAN >Sspon.04G0003010-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:5799951:5800381:1 gene:Sspon.04G0003010-2B transcript:Sspon.04G0003010-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSCSPRRALIAAVSLCFLLGAATSIRTATFSPSRVRDRHDVFPPDSCVTYVSETDSRSCQNLAEDKSRLGSTPPSCHNRCSACNPCMPVQVTTAPGLGRAARVADDTVTVAGFSRFSNYKPLGWKCRCDGRLYDP >Sspon.07G0033370-2D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7D:52401543:52401923:1 gene:Sspon.07G0033370-2D transcript:Sspon.07G0033370-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVGKSRAAGGHLNDERRLSHRDHRMRRRRRRRRRLPRVVRRRIAADHGIAMPRRRVEDGLDLDNDAFTQPARRRRCRGQHQRQQQECGDRRCRGPWRPFGRPLLALAEVLRVWVVVWVSFSGSL >Sspon.04G0016860-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:67596279:67600622:-1 gene:Sspon.04G0016860-3C transcript:Sspon.04G0016860-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKARGVHSGPVARAVAVIMPPSALDRLASLHIEYPMLFEVHNAAAERTSHCGVLEFIAEEGMIYMPYWMMQNLLLQEGDMVFIKNANLPKGTYVKLQPHTTDFLDISNPKAILEKTLRNFSCLTTGDSIMVAYNNKKYYIDIVETKPSNAISIIETDCEVDFAPPLDYKEPEPVKPVVPASTEPTTEAPAEEPKFIPFTGSGRRLDGKPSKDKDVLAPSPAKRQANATNGVQPSTATTSQGSSSRKTTGKLVFGSGSGGSRAEKAPEKEAKEEPKKEDPKFTAFTGKKYSLKG >Sspon.04G0001770-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:5330353:5333706:1 gene:Sspon.04G0001770-2B transcript:Sspon.04G0001770-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGPGEKAAAGGGGAERHKYPIHVEDYELYEEIGQGVSAIVYRVLCKPLDEIVAVKVLDFERTNSDLNNIVREAQTMILIDHPNVVKAHCSFAKDQTLWVWLCRIWLEGPVFT >Sspon.08G0000560-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:2095792:2109487:1 gene:Sspon.08G0000560-1P transcript:Sspon.08G0000560-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAFDAYFRAADLDRDGRISGQEAVAFFKGSGLPQPVLAQVSLRSPLPSPPLPSAAPRGSCLSDLRSPATPCGSNRGVPGRCRLVARPEFRAPIWTYADRNRSGFLGREDFFNSLKLVTVAQSGRELTPDIVKSALFGPAAAKIPAPRINVSTAAPQTNSVASLPNATQAPRPVQQSPAPGPVQQNPAIRGTQGLPGALPNPQVRPPQPPNANTVPPAHGQGVASRPPMGSGPTGLNHTSSTTPSLATDWFGGKRGASPLGATSQAPTRGISPQANLSSAGISAQNSTPVPGYNSHTPGATTPVNANSTNLNVMSSQPSVNDSKALVPLGNGLSSNSTFGADPFSATSQPKQGSPLPPPKPMQAGPVQGISSLSSHTSQLPHSQPAPRQQQFNATPSAPGPVSSNIPSGQIPSNPSQSQAPWPKITQVDVRKYMIVFIKVDRDRDGKITGEEARNLFLSWRLPRDILRKVWDLSDQDKDGMLSFKEFCIAVYLMERHREHRPLPDTVTDAIWAEGTALPSTGQFAENPSAPAQASAGHAGRTMQGPHHGMLPSSMKPPSRRPLPLDADDTVKAEQQKPKVPVLEEHLVGQLSKEEQDTLDAKFKEASDADKKGTALPLLASLPKIQVPLLQASAGHAGRTMQGPHHGMLPSSMKPPSRRPLPLDADDTVKAEQQKPKVPVLEEHLVGQLSKEEQDTLDAKFKEASDADKKILYKSRCENRFNEVSESMSADKREVQSLSAKYDERCKKVGDVASKLTMDEATFREIQEKKLEIYNAIVKLQKGDESDEKLQERANKIQSDLEELVKSLNEQCKRYGLRAKPTTLVELPFGWQPGIQETAYAWDEEWDRFGDEGFSIIKELTVEVEPPIAPKSQPTEDVKQSTNGASTEKEDNKGDKSAAAAEQAVEPETTPSNIKPESAKSPPVSPVKSKEDGSDERDKKQSGTNDVSPRATESISNRGAMDSPTHGDKTRDGHSWGPSFDHGMDNDSLWNFGHKDGENGDSDLFFGPQGLPPIRTGGSSSGTSFVKEQKPSFDSVPGTPMEKPFFDSIPGTPVQKSIFDSIPGTPVQKSVFDYSVPSTPMQNSVFDYSVPSTPMQNSLFDSIPGTPVQRSVFDSVPSTPMQRSVFDSVPSTPTQKPFFDSFPSTPMQRSLFDSGPSRAESPTASSMYGKEQKGFFDSSVPSTPMYNSSYTPRYSEAGDDSFDTMSQYSSFGMHENNSFGQRDSFSRFDSFTSNADNGGNDTFGRFDSFRSTSDQGGGNSFMRYDSMNSSSDHDRGDTFARFDSMKSSDYNSRGYSFDDEDPFGTGLFKSTETTSSSPTKHGTDTWSAF >Sspon.07G0008950-3C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7C:20618864:20621077:1 gene:Sspon.07G0008950-3C transcript:Sspon.07G0008950-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLESLKIQKTANGKLASGGVPCRDEACVHGCVTRSSKRAGSDLQMEDDEISELYLLSRFAPSSSTPRHQLRAAQIAMDQDLSSELDPALLMSTSTSSSSPPGSASPSSSFSHPSPPHYTFAVNNLSCPAPRRRAAANLLPSFLSSSSASPAPDAAGAAGLLKSVSFTASSSNILAVVGPSGAGKSTLLRILSGRGTGSEIAKPGTVSLNGHAVASRAQLRRLCGFVTQDDNLLPLLTVRETILFAARFRLRAAATAGERRERVEALMQELGLSEVADSYVGGGDGCGLSAARGVSGGERKRVSIAVDIVHDPPVLLLDEPTSGLDSRSALDVLQLLHDVSRARRQVVVLSIHQPSYRMLHYISSLLLLSRGAVAHFGTLKSLEDALARLGHKIPMQLNPLELAMEVTGQLEEDRSRIAVHGGDHHADDEDEVSRLVISGRRLDVPDQGYCSRFTEVAALTVRCWRTMYRTRELFAARAAQAVVGGLGLGSVYFRLSPDSPDGVALRLGLFAFTLSFLLSSTVEALPILLHERRVLMREASRRAYRLSSYVVANALVFAPCLLAVSLLFSAPLYWLAGLRATPLAAFAFFVLAVWLIVLMASSLVLFLSAVSPDFVLGNALICVFLGVFFLFSGYFIPKDSIPRYWAFMYYVSMYRYPLDLLLINEYGGSARGRCVAWLGGNNNAMSGGVCLRTGADVLRDRGIDEGMKWVNVGVMLGFFLLYRLMCWAVLVRRASKTT >Sspon.08G0021310-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:42407978:42409396:-1 gene:Sspon.08G0021310-2C transcript:Sspon.08G0021310-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding TMPPLAAVLLPLLVLGASPACQGQGQGAAATHNITAILAAHPDLTDFSAALVSTGAAAEIDRRQTITVLVVDNAVMARLKAQKPDPKELERVIYLHVLLDYFDAAKLGSIQGGFAQVTSLYQATGKAQGSDGILNITVFTDGRVAAFTPSAPSNRLPTAFYQRSIKEVPSDIAVLQVKDLIWSPATADGAQAPAPAPASQPGAAPELTDLLSKNGCGGFAGLLAATADAVAAYDRSAGGAAGLTVFCPADKAVEAFNSTFKNLTADARLALLLYHGVAAHYSAQSLKAINGDVGTLATDGSKNHDEYNLTVRADRDTVKLSSGSASAATVTKTLLDKAPLAVYLIDAVLLPRELSKGGQGRTAPAPAPASSPAHAPTPTPALAPPSPALAPVSPPPAHAPTPTPPLAPAPEAAPPTHRRRPAPSPEDTTPAPSPDEDGQPPADQKNNGARDTASWTLGAAVGAAAAATVFLLW >Sspon.01G0004410-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:10440997:10441542:-1 gene:Sspon.01G0004410-2B transcript:Sspon.01G0004410-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:TIFY domain-containing transcriptional regulator, Salt and dehydration stress toleranc [Source: Projected from Oryza sativa (Os03g0180800)] MAAMPTDSMTRRFAVACGVLSQYVRNGSGGAPATMPPPPFLKQAPVAGPAAEQEMIVAAASAPQQLTIFYGGRVVVLDACPPEKAAELIRLAAAAAKGAPQPPEQALVDMPIARKASLRRFLAKRKDRSSSSSSASPAPYDDRQDDEPPAPKKGKMAAATREEPSSSSWLALGSLCSMHSR >Sspon.01G0031060-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1A:106842010:106842322:-1 gene:Sspon.01G0031060-1A transcript:Sspon.01G0031060-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DEMMRGSVVAQEIDLEGKRVCVPTPMIQEPFFSLHAVAAPIMQDIMVPALVVIPPVAKMNEDEKPVLQDPIEPVATHEGEQQQPQTEDVPNVEALRRSQRVKR >Sspon.01G0020850-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:77061928:77064270:1 gene:Sspon.01G0020850-1A transcript:Sspon.01G0020850-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSCLASPSGAALCRPRRPRCRVACSAADAGGNTEPAWAKGAGRLACGVLAAWAVASASNPVIAASQRLPPLSTEPNRCERAFVGNTIGQANGVYDKPLDLRFCDYSNEKTNLKGKSLAAALMSEAKFDGADMSEVVMSKAYAVGASFKGTDFTNAVIDRVNFEKADLTGAIFKNTVLSGSTFDDAKMDDVVFEDTIIGYVDLQKLCTNTSISPDARLELGCR >Sspon.07G0027480-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:60482210:60485255:-1 gene:Sspon.07G0027480-1B transcript:Sspon.07G0027480-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLVGSMPTRASDVARSNPLGETESERTANALRVRQMARQILVEAPDDDDDEEDFDDDDDDDDVEEEEEDVVVEVNKKQDEWWQRLKELHIRPGACRCDKEDKERILDYNPKQGGAYYTRLLYVYDLASFDYDEEWSDPCPLAMLCVPAPPLGPMRFTDAVYKSKHDYELCEAVNILSVKMGSLDIDFPIHVYGTVITRDSLDKKCVYLFRRGREDSQTINSKDESLILTGPKRGIALISDTYVETNLMIKGDDELQQDRELSKGILTIQGIARRWLKSCELESCSLATRLSAVDVVYAVVKDAVEATISVEVLAGEYFGEITACTSSVKNRLVLHDSRLTHSASGQNIATVIPLLRPVVAVYVKEKLLLTVVAHTDDGEMTKCIDYSPRMKLLLVPQH >Sspon.07G0009860-3C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7C:25421912:25423963:-1 gene:Sspon.07G0009860-3C transcript:Sspon.07G0009860-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MENKAATEEPLLVARPEHTATAEAKRLLSLAGPLVASCILQNVVQLVSVMFVGHLGELPLAGASLASSLANVTGFSLLAGMASALDTLCGQAFGARQYGLLGVYKQRAMLVLALACVPIAVVWANAGHMLVFIGQDRDIAAEAGAYSRWLILGLVPYVPLACHIRFLQTQSIVVPVMVSSGVAALGHVLVCWALVFKAGMGSKGAALSSAISYSFNLAMLALYVRISSACKRTWTGFSTEAFKDLLRFTELAIPSAMMVCLNTGALLFMVPFGLCTAISTRVSNELGAGEPQAAKLATRVVMCIALSTGLLLGSTMILLRNYWGYMYSNEPEVITYIARMIPILAISFFTDGLHGSLSGVVTGCGEQKIGARVNLGAYYLAGLPMAVLLAFVLHLNGMGLWLGIVCGSLTKLVLLLWITLRINWEKEAIKAKETVFSSSLPIA >Sspon.01G0005550-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:14675192:14676607:-1 gene:Sspon.01G0005550-1A transcript:Sspon.01G0005550-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LNNSFLHFYIFSLFFKQEMELSLIGLQNAGKTSLVNVIATGGFSEDMIPTVGFNMRKVTKGNVTIKLWDLGGQPRFRSMWERYCRAVSAIVYVVDAADRENMAIAKGELHDLLSKPSLTGIPLLVIGNKIDKPEAFPKQTFTELMGLKTITDREVACFMISCKNSTNIDSVIDWL >Sspon.01G0006220-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:16755321:16762727:1 gene:Sspon.01G0006220-1P transcript:Sspon.01G0006220-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAALRREGRRLLLSPTVPNPSPAAASAAVTRSSAISQPEMAPLGARSISTQVVRNRMKSVKNIQKITKAMKMVAASKLRAVQTRTENSRGLWEPFTALLGDAPSVDVKKNVIVTITSDKGLCGGINSTSVKVSRALHKLTSGPEKESKYVILGEKGKVQLVRDSKNSIEMTVTELQKNPINYTQIAVLADDILKNVEYDALRVIFNKFHSVISFKPTMVTILSPEVAEKESETGGKMGDLDSYEIEGGETKSEILQNLAEFQFSCVLYNGALENACSELGARMSAMDSSSRNAGDMLDRLTLTYNRTRQASITTELIEIISGASALEGK >Sspon.01G0048200-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:106390791:106391666:1 gene:Sspon.01G0048200-2D transcript:Sspon.01G0048200-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPQDYPHDQCNLETENRNQASNTGLLLEWPGDKSELVTGLDEGCYAHAEQLPVMGWTVGPQMLHPKYGILVEESPFEPNIADNHLIRKPISIKNIPRNPLVDAVAAHDRSSMRKVSELAPSTDKPKPNERNMLLEQIRNKTFSLKPVAPATPTAMRSPARTSTRNLKVAAIIEKANAIRQAVGSDDEDADSWSDT >Sspon.03G0029120-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:12056690:12063851:1 gene:Sspon.03G0029120-2D transcript:Sspon.03G0029120-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding NGGLLLQGVGGGQVTQRHYARPGRVVDHHDRLVVKEEKKAVVGEAAAKRMQEEQHQHQHQQQQQPAQPPLPVSMSQAAVREVSTDTTAAPWDGVPPLARLPSQKSGMGVANKAKVSSILGRASTAGLGKAVEVLDTLGSSMTNLNISSFGSGTTTKGNKISILAFEVANTIVKDSIKHLKETVLHSEGVQNLISKDMDELLKIAAADKREELKVFSTEVVRFGNRCKDPQWHNLDRYFDKLASERTPQHQLKEEAESVMQELVTSVQFTAELYHEMHALDRFQQDYQRKQHEEDGSSVVQRGDNMHILKQEVKSQRKHVKSLRKKSLWSKNLEEVMGKLVDIVHFLHLEIHNAFGRSDSEESQEPTKRRNRLGPAGLALHYANIISQIDTLVSRSSSVPPNTRDALYQSLPPTIKSSLRSKLHSFGAKEELTVSQIKAEMEKTLRWLVPIARLTMVSVGLESGQVLGWYLILLISNRKVIWLEHRSNDPLSYTDVNCKPTGQMDLTRIETLYHADKDKTEAYILELVIWLHHLISQSKTANGERSPIKSPVRSPTQRGASITLSPNKNSSNSSPLLTQEDQDMLRDVKYRKFIPGISKSQEFDTKERHNKQSRLSKSNSHSPSSGNRKEVLSIRRLLPVIDFEIDRTKALDVIDRVDDLRVQ >Sspon.01G0020630-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:76577757:76580603:1 gene:Sspon.01G0020630-1A transcript:Sspon.01G0020630-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVGGGKKHGRYWMGDGVIDTSSTPTLSQIRARSTSASPAIRPRPTTASHLAQLLEERRLREEMEARAVEERAAQRQRIEELVGFVSTLGAAMGQTMPASLVLPLPQAATPLWCLGGGGVGGGVLICMFLTVIRRRHHSLSRIKWKGRLEHQTTGLFTLDNRLLHNPNSNQEGIGDREATAVRQSIVGDDATRVAARQAAMNWATMMSVFYYTFTFGLIYLAGGLLYLAVSELAACFRSWRSASAAERILDSVPDVPYLPLPDQEASTGGEGGQSSSSCSCVICIAEYARGEGRFVMPGCGHAFHRRCIAEWLRQGKTTCPICRATAIVAGPPAGEEEVVVAAVSMAEEMV >Sspon.07G0015760-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:56089806:56090027:-1 gene:Sspon.07G0015760-1A transcript:Sspon.07G0015760-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSIVMGATGGKNKTSWPEVVGMSIKEATEIILKDMPNAHIEILPVGSIVTQDFRLDRVRIFVDIVAQTPTVG >Sspon.05G0025440-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:23543562:23546968:1 gene:Sspon.05G0025440-1B transcript:Sspon.05G0025440-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARHEQFLALLLCLVACALATGPADATRKMVGVYELRKGGFSVRLTNWGARVMSVVLPDCKGNLADVVLGRDTIAEYVNDTEYFGPITGRIAQRVARGRFVLDGKVYHMHTNDGRNTIHGGDRGFSRSIWTVKEYVGGGESPYITFYYSSFDGEQGLPGNVDAYVTYRMSGPYTLGVHMNATALDKATPVNFLLHVYWNLGGEGSGRDVLGHTLRLHASRYAVLDEELLPSSGRIEPVAGTPLDFRTPTPIGSRIRQVVVMGGRAVGYDANYIVDGGERTMRPVAQARDPASGRALELWANQPTMQLYTGNSLNHTKGKGGKVYDRYAGFCLETMGYVDAVNHPEFPSQTLRPGQVYKHDMVYKFSF >Sspon.08G0020060-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8B:16941106:16950627:1 gene:Sspon.08G0020060-1B transcript:Sspon.08G0020060-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GGSVTLARDAVPRPDTATRAETTPTPDAATRDALAKVDAAPRPDAASEDWRRPHPNPARVSLPPLCLIRPSAARGGCGGAAQVDMIQFIGILNVKVIGGTNLPIRDMSSSGPYVILIPGQQVCKIVAGQQCPKKLEGQQVSKLKDSTCQRPPVHEDNIRQLLQEFESNLRKQFEIASLEGELQYSGKSEQGTARHGRWRATGRCPGPSRTSQERQRDVARLPVTLTVALPYIYFELTVNRFLPSLFCHRRRLAPPLAAAAVELPPATQITPASSPRPRASVGPPELAQFHLNRRNHHFPFPTPATEPPHVVSSLHRRSELPMCFVAIAFPLSKKLKLASKPPEKNCRRWVPDRKSESSSLSQSSRQRKASPGCLFAPEVRGCCLAYEVRGSKENNLKWRIVVHEGHPGRKASLAVQGTDRGDEAALKKRVGFKCTGACLALTRQLTRTRGLRLPVQNPPTTTLLRRLARQLQLLRKRELHEYITCFNMILDDKHDA >Sspon.05G0000600-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:2379889:2382132:1 gene:Sspon.05G0000600-1P transcript:Sspon.05G0000600-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSGDWTPPCGSCCTKKYASLVQIPWRVFCKKGCNADGDTWDECIGKCTEICYKDPVLEDRQWSAYIDRSPGQDSYSLECFNACVSGCGFRFDVPTEKVEEIKPNRPSKPSAPEPEVKRTRNADCTEDVPCTSA >Sspon.07G0015480-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:52939725:52943850:1 gene:Sspon.07G0015480-4D transcript:Sspon.07G0015480-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PPa4 [Source:Projected from Arabidopsis thaliana (AT3G53620) UniProtKB/TrEMBL;Acc:A0A178VKC0] MAPAVEAVKETGSFQKVPALNERILSSMSRRSVAAHPWHDLEIGPGAPTIFNCVIEIPRGSKVKYELDKKTGLIKVDRVLYSSVVYPHNYGFIPRTLCEDSDPLDVLVIMQEPVIPGCFLRAKAIGVMPMIDQGEADDKIIAVCADDPEYKHYNDIKDLPPHRLAEIRRFFEDYKKNENKEVAVNDFLPASDAYEVIQHSMDLYATYIVEGLRR >Sspon.02G0010400-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:27515989:27519264:1 gene:Sspon.02G0010400-2B transcript:Sspon.02G0010400-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAENPQLFGNGLPVPFYGEMFVLARDGVEFHVDKIPSAPGGQVKTKGTIYLSNIRMVFVANKPVGNFFAFDMPLLFVHGEKFNQPIFHCNNISGFVEPVSMIECVAENTSEIRSLTSNVPMQVVPDNQNRALYSTHTFKILFKDGGCGTFVPLFLNLVASVRRYNQFEAQSAANMAPRVDPLQAAQTPVDDMMRHAYVDPNDPTKIFLQQPAPESQLRRRNYHGPADAN >Sspon.07G0000580-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:158710:164272:1 gene:Sspon.07G0000580-4D transcript:Sspon.07G0000580-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MWTNSFDSECTLSSLEQNMVTLSINTDPEVQHECIPQAILGMDVICQAKSGMGKTAVFVLSSLQQIDPVAGQICHEFERFSKYLPEVKVAVFYGGVHIRKHKDLLKNECPHIVVGTPGRILALARDKDLSLKNVRHFILDECDKMLESLDMRRDVQEIFKMTPHDKQVMMFSATLSKEIRPPMEIYVDDEAKLTLHGLVQHYIKLSEAEKNRKLNDLLDALDFNQVVIFVKSVSRAAELNKLLCECNFPSICIHSGMTQEERLTRYKNFKEGHKRILVATDLVGRGIDIERVNIVINYDMPDSADTYLHRVGRAGRFGTKGLAITFVSSASDSDVLNQLAVAVASIQAHLRDTELQPELGAVIVVEDGAERVLLQRVTDLARCNSHLLVKIGKQNRDKIRYY >Sspon.01G0017070-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:61659424:61663385:1 gene:Sspon.01G0017070-1A transcript:Sspon.01G0017070-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyridoxine/pyridoxamine 5'-phosphate oxidase 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G49970) UniProtKB/Swiss-Prot;Acc:Q9LTX3] MASLAASAAAAAAAEVTHLTQRDAAEIDEQLMGPLGFSVDQLMVSQLLFFSRLLRGVVVADRLIVVLPGTRWIERRGSRCGGNNGGDGLVAARHLYHFGYRPSICYPKRTPKPLYSGLVTQLESLSIPFIPVEDLPNDLSREFDVIVDAMFGFSFHGTPRPPFDHLIQTLVSLSVIGNSDKRPAIVSIDIPSGWHVEEGDVDGGGIKPDMLVSLTAPKLCAKKFTGPHHFLGGRFVPPPILNKYGLQLPPYPGTSMCVRIGKAPSVDISSLRENYISPELLESQVMSDPFDQFLKWFDEAITAGLREPNAMALTTVNKEGKPSSRMVLLKGVDKQGFVWYTNYGSRKAHDLSENPNAALLFYWNEMNRQ >Sspon.04G0019720-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:78312229:78315892:-1 gene:Sspon.04G0019720-3D transcript:Sspon.04G0019720-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSQKTSWPEVVGWPATQAVTQINSDRPDVAIEVVPDGTNVAPGYNALRVRVYFDASNASGPVLYTPVVDLDHRHRSCADLAKLAPPRLANMRHALGYLDLPCLTVEEGSAVWKGRGGSG >Sspon.01G0007630-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:21173498:21174593:1 gene:Sspon.01G0007630-1A transcript:Sspon.01G0007630-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tubulin alpha-1 chain [Source:Projected from Arabidopsis thaliana (AT1G64740) UniProtKB/Swiss-Prot;Acc:P11139] MLETRISTAVVEPYNSVLSTHSLIEHTDVVVLLDNEAIYDICKRSLDIERPTYTNLNRLISQVISSLTTSLRFDGAINVDITEFQTNLVPYPRIHFMLSSYAPIISVEKAYHEQHSVPEITNSVFEPSSVMAKCDPRHGKYMACCLMYRGDVVPKDVNAAVHSIKTKRAVQFVDWCPTGFKCGINYQPPTVVPGGDLAKVQRAVCMISNNTAVAEVFSRIDRKFDLMYAKRAFVHWYVGEGMEEGEFSEAREDLAALEKDYEEVGAEVEDDEEEAEY >Sspon.01G0000580-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:1096498:1096863:1 gene:Sspon.01G0000580-2B transcript:Sspon.01G0000580-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEANVHGTSGQPSTAPDASPESAVPSSSDQGSQSCQAQRQRQVHQLDETQEPDSSYRASEIAGRFNDAVDPKAKASIDTAAPIDSVKGAVSKFGVGGVDWKEVLIVQTCAPPAISVQIRC >Sspon.04G0027720-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4C:63593038:63593575:1 gene:Sspon.04G0027720-2C transcript:Sspon.04G0027720-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLVRMGSIASGSMRRTASSWRGSGRSDAFGRSVREEDDEEALRWAAIEKLPTYDRMRKGILTGAGGGAGGIEEVDIQGLGMQERKNLIERLVRTAEEDNERFLLKLRDRMERVGI >Sspon.04G0019960-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:75330381:75333539:1 gene:Sspon.04G0019960-2C transcript:Sspon.04G0019960-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-(+)-linalool synthase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G61680) UniProtKB/Swiss-Prot;Acc:Q84UV0] MMVTIDNLKRLCIDHYFKEEIEGAMSACMDLIHSDDLFDATLAFRLLREGGHDVSANDVLRRFTDDSGEFKLRLSMDIRGLLSLHDMSHLDIGGEVLLYKAKEFSSKHLASAIRYLEPSLAEYVRQSLDHPYHLSLMQYKARHHLTYLQSLPIRDTVVEKLAVAEFQLNKLLHQQEIQEVKRWWMDLGLVQEIPVVRDQVLKWYMWSMTALQGCSFSRYRVEITKIIALVYVVDDIFDLVGTLEELSLFTEEVKMWNTAAADSLPSFMRSCYMALYTVTNEIADMVEKEHGLNPVNHLRKAWAVLFDGFMVEAKWLATDQVPTAEDYLRNGVVTSGVPLTFVHIFIMLGCNQSTEALIDQMPSVISCPAKILRLWDDMGSAEDEAQEGIDGSYKDIYLMENPRCSLSDAEAHMRSLIAREWEELNREFLCKRTFSFNFTQTCLNAARMISVMYSYNKEQRLLVLEDYARISNMRQLSRLLDMVNVGGPRGGLVDARSYNMGNLARVSIPQAMSLP >Sspon.03G0014380-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:67006562:67010883:1 gene:Sspon.03G0014380-2B transcript:Sspon.03G0014380-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVAAAPRPQLIPLSGGGGAAVSSLTLQTPAFISPLPTRRRRRCVLRSNASSSPSPPPSQEKEAAAEVVPTAESCVNLGLQLFSKGRVKDALEQFENALELNPTPIEAQAALYNKACCHAYREESKKAAECLREALRDYNLKFGTILNDPDLAPFRASPEFKELQEEALRGGEDIGSGFRRDLKLISEVQAPFRGVRRFFYVALTAAAGISTFFTIPRLVLAVRGGDGAPDLLETAGNAAINIGGSKASVTRALQRAERYRTELLKRGVLLIPVIFGASQKDQTKPRGDFEKRTESIAAKSRLKSEVRFKADIVSPEQWESWIRDQQESEGVTPGEDVYIILRLDGRVRRSGIGMPNWNDILQELPRLEDLMSKLER >Sspon.01G0014980-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:44146573:44147457:1 gene:Sspon.01G0014980-2C transcript:Sspon.01G0014980-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRQQQQLCMVFLMAFLVVSTMDVVHVAAGRALGEVSYGALIPGSTPSVPRGQPYTGRSCTKIYACRPPAAAAVAGAGGAP >Sspon.04G0015730-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:67401752:67407116:-1 gene:Sspon.04G0015730-3D transcript:Sspon.04G0015730-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MIEQFVNFVIRPPRLMAIMSIFVDNLIVTCTWQLTNARGYILKCSHYVPASIPENTALHVWSTATEIDANEAAVILLPSNITVFTLDFSGSGLSSGDYVSLGWHEKEDLKCAVSCLRDNKQVSTIGLWGRSMGAVTCLLYGAEDPSIAGMVLDSAFTNLYDLMLELVDVYKIRVPKFTVKMAVQYMRRIIQKRAKFDIMDLNVLKLAPKIFIPALFGHGLNDMFIQPHHCDRIHQAYGGDKNIIKFDGDHNSPRPQSYYDSVSIFFYKTLRPPMLPAARSKLHVGAFKVGNITNESFFFEIINGLRSANTAACSSSTDAPNIPHDSTSIVELLSNSMNQLSIKNENDLDFLLDENRSLSEIDGDSVGSRLQDKTSRRNEESCSFTSSNRESWGRCSSLGAASDESFSGHNNDKQVRKPIEKAKQKKVQALWKKIKREKVEMGDSLSSRLKMCLGQSPRHKRNNRLEGFQLHSPDKST >Sspon.05G0019070-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:79816947:79819706:1 gene:Sspon.05G0019070-3C transcript:Sspon.05G0019070-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADQTPGTSAGGEVGGGARDRLMCTTQIDTHGKRFLWQGIAMLPFIDEKLLTLATKTVEDKLAVHEINRNAVRQDKIFLRNSNTTPNGAAFAQTSDCLSKKLPIDQSTSELGGWLSPVDDDSISCGFFRSPIRDLQDIRNDQTISFFFSNPEPAQIIPRLLDNVKKPEKTVTETEIPKRPLWYTYPGSRPPPETIPTMAEPQLMINGFGRGRGRAIAAETVLGSGRGYGRSFHGADSAQSQGSRNDRGGAYTFGSACAGRGQYGGAFQRQQTAWRPVGNSGGRGGSGSEQRRGW >Sspon.01G0046510-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:91975532:91978238:1 gene:Sspon.01G0046510-2D transcript:Sspon.01G0046510-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MENDVKEARQGQQQHRVFPWGMLKVFLLLGLGLSAAGMLMARHGHEVAAATLFRPWLGVSPAADADAAVAAAAAAEGLEHSMTDEELLWRASFAPGVRRYPFRRVPKVAFMFLTRGPLPLAPLWERFFRGNEGRYSIYVHALPSYRANFTSESVFYRRQIPSKVAEWGQMTMCDAERRLLSNALLDISNEWFVLVSESCIPIFDFNTTYQYFLNSSQSFVMAIDDPGPYGRGRYSWNMTPEVELDQWRKGSQWFEVNRELAIEIVKDTVYYPKFKEFCRPSCYSDEHYIQTMLSMETPQSLANRSVTWVDWSRIAAHPARFGRGDITEEFLREVREGQTCLYNEQNTTLCFLFARKFAPSALDPLLELAPTVLGFG >Sspon.02G0035490-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:19497886:19504206:1 gene:Sspon.02G0035490-1B transcript:Sspon.02G0035490-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTPNEMTAYSSLSKMDTGQKGAALSNVVAGNNYPVQDYLYEPAFEPDFPEYDSRDDPFAPTGASPKVNLKTVLGGLVSIVTGVNKSEDDASQQEGFSTDISFLGSDKNGDVNVHPSVCVPSAPPLLEANALQYSAYREVLLADPPEWLPDSSANACLQCNLPFTALTRGRHHCRFCGGIFCKNCSKGRCLMPMKFRIRDPQRVCDACYERLDPLQGLLINYNSNCMQQAKHDVMDWTSTRSWLNMPVGVSMEYEIYKATNTMRKYCQVARLNPEKPIPSSILKGAKGLAILTIAKAGAVLTYKVGTGLVVARRSDGSWSAPSAILSVGLGWGVQIGGELTDFIIVLHDRKAVKAFSSRIHLSLGAGLSAAAGPIGRAFEADVRASEKGSGICYTYSCSKGAFVGVSLEGNVVTTRMETNLRFYGDAYLTATDILFGRVERPRAAQPLYSALDDLFSKMVC >Sspon.05G0033690-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:37926480:37935140:-1 gene:Sspon.05G0033690-1C transcript:Sspon.05G0033690-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEVMGSGGEDGWKGRPRASREGGGRLHVYLIGVSGLVAPLDAAIPAGPLPLDVAVGIIEQLKARVHDVSQRNERYKLISDPGAKPVMETRQLSVAGTSGVLDAARSTAWRQQELETFIKLVTKEDRKLQVISVCVSASDLGNASIVKKAYSHPEICRNFKYRAWVKLLHEQPGAILSNVQQFMEQVNNQRYLIVLEDMPTKEEWDTIKKYLPDSNMGSRIIMSTQYFAVARFCTGIPCFQWFSADQSFWVFFQEDTPVGRMSEIKQLVEYLATARVNALQVMSVWGIAGVGKSALVRNLYHKKQNHKEEVSNNAYKKCIWVDIHYPFNLTDFCKSLLTQFHSRSPKANEDPVKECHGLLKDNWCLLVIDNLQSTEEWDLIHDALAFRSSGSAIIVITNEERIALHCADRKDLVFNVKALEIRAAVDLFKKEVRLHKDNVDYYSYLQTSQSPHRIAIVYPPQDVPKSSPLAWQDLKVPFTLTPAKYPKDVSTHPIHNTNKLSSWQQSNSFKKKTTCTPGSPYSLSDGIENDLVLQQLISKCGGLPKIIVSIADYLVPIFNWIERAKILNGQFINNMETRHEFACLQDMFRWIHSYFRSCPDFLKPCIFYLSIFPKSEIIRRRRLVMRWVAEGYSKDKDSDSAYENGEELFAKITELSMIQPPERAIVTNMRLVWCQVSAFFHEYIISRPKEENVTFALEVFALKGCCRQTTGRTGRHLVIEKSWERDRIVFESIDLSRLRSLTVFGDWSPFFISESMKVLRVLDLENASGVTDKDVHKMLKLLRRLKFLSLRGCSMIRNLPGSVSKLRQLQILDVRYTSIVALPVSITKLKKLQYIRAGTATPLENRKCQLVGVQMASGVGKLTLLHTLGVVNISAAKGKVILEELKNLTQLRKLGVAGVSKNNSKELCSTISDHSHLQSLSVWLDKGDQGCLDCMPTDITGETSRPPKKLQSLKLYGPLEKLPMWIRDLCNLRKGGRIIKDDIGVLGNLQELCILRLLVNTPQDAPAVESPASEPQAERAVTQLQGTNYRGDSSPQEEAVPLGMLSFCVMTNGVEDRCYKKIQVLEIASRSMLHLSIGKEAMGNLEVLKAGCYSARSLPQFGSLNHLKKLKEVQVIGSLNDKQKRDLKNHFEGHPSKPALTTREVNPSAQASIAGKDETCSFPFTPTSPSHPAK >Sspon.08G0026820-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:34487931:34489073:1 gene:Sspon.08G0026820-1C transcript:Sspon.08G0026820-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLKASILAILGLAFFCGAALAARDMNDDSAMAARHEQWMVQYSRVYKDATEKAQRFEVFKANVKFIESFNAGENRNVNQFADLTNDEFRATKTNKGFKPSPVKVPTGFRYENRTKGAVTPIKDQGQCGCCWAFSAVAATEGIVKISTGKLISLSEQELVDCDVHGEDQGCEGGLMDDAFNAGKCKSGSNSAATVKGYEDVPANNEAALMKAVANQPVSVAVDGGDMMFQFYSGGVMTGSCGTDLDHGIAAIGYGQTSDGTKYWLMKNSWGTTWGENGYLRMEKDISDKRGMCGLAMEPSYPT >Sspon.06G0023530-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:45414162:45415302:-1 gene:Sspon.06G0023530-1B transcript:Sspon.06G0023530-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLDFDLNLEAPESDQMNPIDWDDIVEFDGPAHELDYDMVWNDGIHDQAGDEDVHAVVADRDVHAAAVDAVQGDDGRNEGVQVPAADGLQGASTDGVPPTVNDGGTEGVEAYAANGQQGVPTHGGSNNNKWRFYSGDLKIAVHLELLARTDPPILRRGVTKAVSEKFDVPLRVV >Sspon.04G0006230-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:8334258:8336117:-1 gene:Sspon.04G0006230-2P transcript:Sspon.04G0006230-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Scarecrow-like protein 8 [Source:Projected from Arabidopsis thaliana (AT5G52510) UniProtKB/Swiss-Prot;Acc:Q9FYR7] CMEPGAPWRDPRQGYAYGVGSAMQMQMQMQQRADAAGGGVLKRSLGELERWQHHHHQQELYLRTVRQRTAAAVDIAALLGGTPGARSLVLPGSSFGGGLASPSSTLSSLTTASRAAVPLMQPQLQRQVPLMTSSPQTQAFGLSRAPPLPQPAASSELFILQELEKQLLDDDDNDETVAAMSGTGSAVTNSEWEETIQQLSSIAAAPSPGLPAAATPNNNNVGMTRSPSNSSSSTASSSASCSPPTPGAASRQLLSEAAVAIAEGHLDAAATHLAALKRAANQHGDAEQRLIAMMVDALSSRIGRAASAPAQHLAELCSDDQRAGSQLLQDISPCFRVALHAASVAIVEAVGDHRAIHVVDFDVSLSQHAALIQYLADRRVQGRSLKVTAVTDPSSPFSQSQTASLPTVVERLKKLAERAGVEYRFNVVSCRAAELDASRLCCEPGEAVAVNLAFALSHVPDESVSPANPRDELLRRVRALGPQVVTLVEQELNTNTAPLAARFTDACAHYGAILESLDATLGRETAEKARAAEAALAKKAANAVGRDGPDRLERCEVFGKWRARFGMAGFRPVSLGSGIAEQLVGARVGPVPPGFTVKAENGVLRLCWMGRVVTVASAWR >Sspon.08G0013010-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:64431185:64433922:1 gene:Sspon.08G0013010-2B transcript:Sspon.08G0013010-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homogentisate 1,2-dioxygenase [Source:Projected from Arabidopsis thaliana (AT5G54080) UniProtKB/Swiss-Prot;Acc:Q9ZRA2] MATEEQLRYLSGLGNSFSSEAVPGSLPVGHNSPLVWLYRIKPSVTHEPFYPRKPTNERLVGEFDRATTVATPTQLRWRPADVPLHPPLDFIDGLYTVCGAGSSCLRHGYAIHMSYAANKSMDGCAFCNADGDFLIVPQQGRRVISIFGAIPGLFITTECGKLLVSPGEIVVIPQGFRFAVDLPDGPSRGYVSEIFGTHFQLPDLGPIGANGLASPRDFLSPTAWFEQDHHPGYTIVQKYGGELFTATQDFSPFNVVAWHGNYVPYKYDLSKFCPFNTVLFDHGDPSVNTVLTAPTDKPGVALLDFVIFPPRWLVAENTFRPPYYHRNCMSEFMGLIYGIYEAKADGFLPGGASLHSCMTPHGPDTKTYEATISRAGANEPFRLSGTLAFMFESSLIPRVCRWALDSPCRDLDYYQCWIGLKSHFSHDNGVATSSEPAAAVDEKE >Sspon.02G0007620-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2C:24811431:24812702:-1 gene:Sspon.02G0007620-2C transcript:Sspon.02G0007620-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAVLEAPGVKGLEVLRLPCECDEATNEKDAVTGLIRRIVTAADPPVTSAFSVLNLSKVVELFAAWRRGLKGVPPYYAVKCNPNPALLGALAALGAGFDCASPAEMDAVLALGVTADRIIYANPCKPEAHIEHAASVGVDLTTFDSVDEVDKLRRFHPRCRLLLRLKVPDAGDALLDLGTKYGARREEVAPLLRAAQSAGLAVSGVAFHVGTAVSRVEVYDAAVEAARAVFDAAAARGMPPMRVLDIGGGFTAGTASTFQDACDVISSALTRHFGDVLPGLQVISEPGRYFAETPFTLAARVFGKRTRGEVREYWIDDGLFGTLCCVHMENYVPRPVPVSGRAAAGDDASDVEGGESETHPSTVFGPTLDSFDVVVKGYHLPELRIGDWLVFRDVGAYTTVLSCDFNGFSTSDMKTYLALSSV >Sspon.04G0000600-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:18024148:18026960:-1 gene:Sspon.04G0000600-2B transcript:Sspon.04G0000600-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGHVRGQYEKEVAVVIGGNRGIGLEICKQLAFKGVTVILTARDEKRGVEAVKILQRRGYPTSCFINRRHECSGKARKDQEAHHRPYDRAEECLRTNYHGTKIVTEAHLPLLHLSSHGRIVNITAHGGLLRELKKELNNIDGLSEERLDELSELFLKDFKTGQLEPHGWLTEGGFPAYKVSKALLNAYSRIIAKKHPTLCVNCVHPGFVSTDINFHNGDLTVEEGARGALEN >Sspon.02G0046510-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:4615569:4616254:-1 gene:Sspon.02G0046510-1C transcript:Sspon.02G0046510-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ATTRLFLLIPVTLCFLLVLAVAKQGKENSNVLVIQGTAQCKSNSSRIISSTPVQLVINNATVPGGTGRTTSDGHILITVNLNSTEQLAALMSGSSKAYVTAPPHACGAPSLPAGKVVAAEVQAMAMVTISDHDDAGSVQRPTIAAAADTLLVPAVNGSLHATANKGDAVHLVYAAV >Sspon.02G0001610-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:5349925:5351778:-1 gene:Sspon.02G0001610-1A transcript:Sspon.02G0001610-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSRGGLVLIGATATAPPLPWHQKLLVCNPATGRRLVLPPEPEPFPNGGCNRKQYVLLVGDRDAGEGGAAVGRPFQVLKVCATSLLVVGDVVHWLCLTDVGSYVLMLHVREARVSETALPATFPRLGSPGTRSYLLATDSAGGSPVVLVADAENMNIRAWEQCKTWKAWPHVVIEREAILGFNDEMVKTFRREEGTTRTKCGLELSWFGERSGAVLLRTHGCCLLWLDLHSKKIVSSNEIL >Sspon.04G0016810-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4A:61429819:61430460:1 gene:Sspon.04G0016810-1A transcript:Sspon.04G0016810-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVLYHMEAEVDKERGDTGLNGGGDGRRGHRRGVSAAAPVELNLLAGMVGVGGARQIAVRAAQLPPLVVVPPDVARSPVVAAAVESEPPQLFACHYCRRQFYSSQALGGHQNAHKRERTLARHRGAAAPLGVGHDHMHAARGGGGAPFAVVHGAFAQAAQALEWNNDARSGQAPPVVVVAAAGERLFTGGGYVVDSPGVGVGQDELPKLDLTLK >Sspon.05G0024110-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:10001833:10002189:1 gene:Sspon.05G0024110-2C transcript:Sspon.05G0024110-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKAVLFVALNLLFFTVATACGSCPTPTPPPPPPPATPPPPSSGKCPLNALKFGVCANVLGLVKGEAGKVPAEPCCNLIKGLVDLEAAVCLCTAIKANVLGIVIDVPIKLSALVNYCG >Sspon.05G0021060-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:86251311:86255347:-1 gene:Sspon.05G0021060-3C transcript:Sspon.05G0021060-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATATAAGLRCCFPGSSAVGGGLVKPTSSRRGWCAAAVAAPSREAEPVSSLGHRTRVDFPILHQVYLPAYARFICCFAREFDGAKLVYFDNGATSQKPYSVMRTLDEYYRSYNSNVHRGIHALSAKATHAYEDARRKVANFVNAADSREIVFTRNATEAINLVAYSWGLSNVKEGDEIILTVAEHHSAIVPWQFVSQKTGATLKYVGLTKENVLDIEQLKGLLSNKTKIVVVHHVANVLGGGEMIADVFQDKSTYAEPPSRFEAGTPAIGEAIGLGAAIDYLSCIGMEQIHEYEVNIRSILVLERAIPVITFSLLFIFAVQKELGTYLYESLLSVPNVQIYGPAPSQTVHRAALCSFNVENVHPTDIAEILDLQYDASAYFHETKSSSAL >Sspon.06G0022490-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6C:35364952:35365942:1 gene:Sspon.06G0022490-2C transcript:Sspon.06G0022490-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASSRLELQQAHAELWNLTFSYLKSMALECAVQLNIPNVIHNFGGSASLPNILSAIPVPEHRRPYLPRLMRFLVVSGILSFDSPTSGEAMYQLTPLSRLLVDDTHINGCGSLGPFVLSQTTKYHVSSATYLSEWFKGEDGVGTMAAEMPFKMAHGTGPWGALGRDPQFNKVFNAGLGSNSRLVLDFVVAQHGDVFDGISSLLDVGGGDGSTARTIAKAFSHVKCSVLDLPIVIADIQQGDGMVDYIAGDMFSSIPPTDAIMLKINAKRPYVLANQPEEK >Sspon.02G0036340-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:24537565:24539443:-1 gene:Sspon.02G0036340-1B transcript:Sspon.02G0036340-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLMMSWSASAISLSFVILSFFQGAVVGITFTFTNRCGGTVWPGVLANSGSSALQTTGFELGPGETRSLTAPPGWSGRFWARTGCAFDASSGKGACATGDCGSGEVECRGRGAAPPATLAEFTLGGGGSGGSKDYYDVSLVDGYNLPMVVEAAAPGCPVTGCLVDLNERCPAELRAGGGGAQACRSACEAFGTPEYCCSGQFGNPDTCHPSVYSQMFKAACPRSYSYAYDDATSTFTCTGTDYSITFCAPRAGTPNSQKATKDPLPTPQDVQLDGDSWLASLATGEMDAATSMAAAASLLLQAALAAAAVVVLLVG >Sspon.01G0051660-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1C:17147505:17148060:-1 gene:Sspon.01G0051660-1C transcript:Sspon.01G0051660-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLVFSTSLAMRCVPRPSRDHLNALAGCKCGLDLLNHERSQTRSAATPTTPALSMPEEAKLRSRSSAPEDANANSDVGFVHRHPHLVRPQPQP >Sspon.02G0006390-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2A:18901764:18902977:1 gene:Sspon.02G0006390-1A transcript:Sspon.02G0006390-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLSVGTPPLAFPAIIDTGSDLTWTQCAPCTTACFAQPTPLYDPARSSTFSKLPCASALCQALPSAFRACNATGCVYDYRYAVGFTAGYLAADTLAIGDGDASSFAGVAFGCSTANGGDMDGASGIVGLGSSALSLLSQLGVGRFSYCFRSDADAGASPILFGSLANVTGDTVQSTPLVRNPVAARRRAPYYYVNLTGIAVGSTELAVTSSTFGFTAAGAGGVIVDSGTTFTYLAEAGYAMLREAFLSQTAGLMTRVSGAQFDFDLCFEEAGDADVPVPRLVFRFAGGAEYAVPRQSYFDAVDERGS >Sspon.01G0062920-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:108365427:108365702:-1 gene:Sspon.01G0062920-1D transcript:Sspon.01G0062920-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPISSGPPRLLHPQDLWNKQGRDRKKHEEAAPKILSLQLSPCFLQDLATLLGSTSTDSSAHVGITRLLHGFPRIKCPGLRVGPSASRPRS >Sspon.05G0009570-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:27636823:27645474:1 gene:Sspon.05G0009570-1A transcript:Sspon.05G0009570-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNLSVNNKPHAMGLSACGPLHISTLSLGLPVHPGRGLRLRRRLAAAFRLFSAPPAQAQLHSSCFSGGRWRAGALGAGQPRAATAVGSAPASTGAHRQRQGHSGPRVRRTAEGGSTSTSAATTSEGRKYKHKVGRKLPPPKNATNTEIKSKAIVLPEQSMASERTGMAVNKRGLTLRELLQQTGHYNANVRRAALNGIKDIVVKHPTELKLHKVAIIEKLRERICDTDKVVRESLYNILQSLIFPSLKEDNAISMRSTLFLLMANILNGTTHLSVDIQLMAFRFLELVVLNFPSSFSSYAEQTFNNFVAVLSNDRINLHDRNKLNSVLSGLGHCLSLVAKAIENDDTSNRQAHNLSARELWKFTTDEDSAGGAFSMSNLLVKLQNLVQILINSVEVSASDLCAKSVLDAQSSEALLSALHCLDLICRIFVHEVKKPQLKFPISKTQCGPDWLRSSLLVHLKNLWGVKRLFHGKGDDKFFIFNLRIAEIFLRSNVWIDNTVFSAEEFCLFVSSLFAKAKILRNKDLIEMYLSPLITCTPGLISNAPDDSKGYLLEAFTDSFRDCKVDCKLMLPYLDAVGEMLLPEKTCIWLAENDSGVLGYRDAWIHELPGILLQSIDKAPLVTKVALELLLRIGQYFPTVDCGSLRPFIKLFSVESLPGTMDLGPFVKLPRDCQELAISCLYYFPSLLPDIVGPLASACLSDVLEPLTLFRVIEVLQSTYKAGSLHITEQLSFLLLLMARFRVHPGDLFTLEGSSKVSNWDTFKSLNRLILTSLSEMGDGSLVLELIWNNLSNAIAQKPSLHNMNGLFRIIVTLDAGTSKLMNGDVVKLIAGYLVDASLDLSKTIEFGFQPDKTRLFLYFIKPCTIMFDKNDKVLCSTLETLKSFVTGDDHLLSSLSKLKYPGELSCRVCVVTTILIFLCNDRKLHRNLSLGKSVIKDILEYIRHQLDSSVPDVTHVEKQKLKSAFGQLKTKALQLNCWARSELEGLSSAKWIEFHETGSGSSVTVRVHAIFQLDTFVHPRFWLLRIGYEGQYLEFRIHMITL >Sspon.02G0008820-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:25144608:25147399:-1 gene:Sspon.02G0008820-1A transcript:Sspon.02G0008820-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSQCPSTSGETCALFSTFVSIEGFDDSDWLRPLETMVMLLMQLHGITTELRTALSTSSEGFLLSKHITYSITSPSQGTVILSSLVNPNSSSDIRRSSTKTFVLRYAKGTSNRLPSAV >Sspon.01G0002530-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:7080577:7085531:-1 gene:Sspon.01G0002530-2C transcript:Sspon.01G0002530-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVGGDLESLLETIKSSEVSWDDSGCSGLSHCMLHKSILQVALKCSCTDTAGCLGQFLALGAKASSWCGKHLLSSVESIDDSEEVQQEEHSKILPEIISMALNISIKILPSAAKCVTVDMVHNIGDFISELLSLMESSIVDNDKKIHGAAPDIAKAAPVFLDEITKLCRAYSEAAKVDNCTMSITDEDATLKHNEQGLASDVTRITSSTIQTICRLGIYAASSGGSQVTLLNASWKGVVSLLQSGKGMIEGKVNVREIIVTLLSLSVESLRVAAETWCTLLLETLGATEARRTFLPIKFFLINAVRICSIYPSEAMIIYKNIINCALVVTSSSILFSKKPQLKAANEALVELLEPTLFVLLDTLMKSSDLTPESKCQLAHYFFENEEAKSPDHMGQANQREINLASLDCIFSTDSDVDHRNRALLPAELLVFLHFLNASSWLAEMVVIALSKKLQTLLNILTSEDIYSYVLGFQIPAFNGADHSPAVVWQPVFTSIIQALKTFMISTVASSAAWNELEAFLLENIFHPHFLCLEIITELWCFFMRYAETETSINLLNQVFLLLKTVASPEDVLAPLSALRKVARSLCIILSYASSATVDQIYTSVLNGENSSKSSILHLALLMEGFPLDSLSDGTKELAVKKMFTSFAGYLESYSKNHRVINVPTSSLGVIGLPVHALASTLQHCVRKDDSIVDEKSITAMFKFTISLIKMYITAPDSSKDNLAKHISSMLVIISNTRHLCAFSEMEQLTLQLRTLFLSTSDKSNAVLSQCKPSMASFMSTLGHLNVTEDDANELCSAICDLYHLLLKERHWALMHLSMDSFGYFAARTSFTQLWRSVPGDAALSYDASTGTSIDENGFMLELRAYLQKEVALHTDKWSEEQIRLLVSEGRALKKLVEAYCEIRVVSEPEKAPIAKDASTKKRKMPDGICEGMAMLQNGLK >Sspon.01G0037160-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1B:20298717:20298929:-1 gene:Sspon.01G0037160-1B transcript:Sspon.01G0037160-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKAPRADKASSSARHQKACIRSDQRRSDEARVAEKQGALAGHNRTARHGAPKSLAGDAAKASGQPGSHQ >Sspon.01G0033710-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:114904228:114908304:-1 gene:Sspon.01G0033710-2P transcript:Sspon.01G0033710-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATIPTTDYGLLLGSSALLRRTRRAASSARLPAAARRRPQLLVRASAKDIAFDQDSRASLQAGVEKLAAAVGVTLGPRGRNVVLDEFGTPKVVNDGVTIARAIELADPMENAGAALIREVASKTNDSAGDGTTTASVLAREIIKLGMLSITSGANPVSVKKGIDKTVQKLVEELEKKSRPVKGSGDIKAVAAISAGNDEFVGTMIAEAIDKVGPDGVLSIESSSSFETTVEVEEGMELDRGYISPQFVTNPEKSTVEFENARILVTDQKISSIKEIIPLLEQTTQLRAPLLIIAEDVSGEALATLVVNKLRGILNVAAIKAPGFGERRKALLQDIAIVTGAEYQSKDLGLLVENTTVEQLGIARKVTISSSSTTIIADAASKDDIQARIAQLKRELSQTDSAYDSEKLAERIAKLSGGVAVIKVGASTEAELEDRKLRIEDAKNATFAAIEEGIVPGGGAAYVHLSTFVSSIKETLDDPEERLGADIIQKALVAPAALIAHNAGVEGEVIVDKIRESEWEFGYNAMTDKHENLVEAGVIDPAKVTRCALQNAASVAGMVLTTQAIVVEKPQKAPAAAAAP >Sspon.05G0017910-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:74128687:74131795:-1 gene:Sspon.05G0017910-2C transcript:Sspon.05G0017910-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ALRWAPPAGLVLVALLALLLAPAAVRGDKPVRGGASSSVAGVETEASSAVFPLYGDVYPHGWYYVAMRIGNPPKPYFLDVDTGSDLTWLQCDAPCRSCNRVPHPLYRPTKNKLVPCVDQLCASLHNELTGEHKCDSPYQQCDYVIKYADQGSSTGVLVNDSFALRLANGSVVRPSLAFGYGYDQQVSSGEMSPTDGVLGLGTGSVSLLSQFKQHGVTKNVVGHCLSLRGGGFLFFGDDLVPNQRVTWTPMARSALRCYYSPGSASLHFGDQSLRVKLTEVVFDSGSSFTYFAAQPYQALVTAVKGDLSRTLKEVSDPSLPLCWKGKKPFKSVLDVKKEFKSLVLNFANGKKTLMEIPPENYLIVTVYGNACLGILNGYEVGLKDLSILGGITMQDQMVIYDNEKGQIGWIRAPCDRIPKFNTIHGFEEGYCWPQFPGIIGLPNEDCPAYFRSNKE >Sspon.06G0017130-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:66318174:66319582:-1 gene:Sspon.06G0017130-2C transcript:Sspon.06G0017130-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSISNGTANCATVPQPPPSTGKLITILSIDGGGIRGLIPATIIAYLEAKLQELDGLDARIADYFDVIAGTSTGALLTSMLAAPDENNRPLFAAKDLTTFYLENGPKIFPQKKAGLLTPVRNLLGLVRGPKYDGVFLHDKIKSLTHDVKVADTVTNVIVPAFDVKYLQPIIFSTYEAKNDALKNAHLSDICISTSAAPTYFPAHFFKTEDAADGRSREYHLVDGGVAANNPTMVAMSMLTKEVHRRNLDFNAGRPTEYTNYLVISVGTGSAKQAEKYTAEQCAKWGLIQWLYNGGFTPIIDIFSHASSDMVDIHASVLFQALHCEKNYLRIQDDTLTGNASSVDIATKENMESLIGIGQELLKKPVARVNIDTGVYESCSGEGTNAEALAHFAKQLSDERKLRKSNLNSY >Sspon.02G0006410-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:18916762:18919577:1 gene:Sspon.02G0006410-1A transcript:Sspon.02G0006410-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNCKSNTFAVFKNSVPSRVMYRSAQSHDSTDKKGQNDAEELEELEITALNDTDEIQCENSLTTGLVRFHEDGGIENNPFAEANAGDSGSKDYVHVRIQQRNGRKSLTTVQGLKKEFSYSKILKDLKKEFCCNGTVVQDPELGQVIQLQGDQRKNVSNFLVQAGIVKKEHIKIHGF >Sspon.02G0049400-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:38509018:38509439:-1 gene:Sspon.02G0049400-1C transcript:Sspon.02G0049400-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VMTTLLQCVCVQALKINGSGEFEESLRVVVKCIYNPSKYYSQLLQRSMLSADKRMVTRAILGSDDVGIDEIRSAFKSSYGRNLADYIQENLPESDYRDFLVAVARGPVAP >Sspon.08G0022920-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:51828360:51829037:1 gene:Sspon.08G0022920-1B transcript:Sspon.08G0022920-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSHKTFRIKKKLAKKMRQNRPIPYWIRMRTDNTIRYNAKRRHWRRTKLGF >Sspon.02G0007560-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:21467701:21477759:1 gene:Sspon.02G0007560-2B transcript:Sspon.02G0007560-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDGSGFPGFHSHGYDRDYARPLFRVASFSDSGDEQENHVSSPQVRSHSTSRTASSKAVPPSRLSPSASRLSMKKMQQAIDEKSMEEEEMEPMKEKYAKLLLGEDMSGSGKGVCTAVAISNSITNLYATVFGTCHRLEPLSPEKRSMWNREMDCLLSICEYIVEFSPTVQTMPDGSTHDVMATSPRSDILMNLPALEKLETMLLVSLDSHNPLQTIHSDQRNQSFNESKKSFQRSEDKWWLPEPCVPDSGLSDRMHRELQQKRDQASQIHKMAMEINSSILSEMQVPLSYLETLPKSGRAGVGDAIYRYMSSGDQFSPEHLLNFLNLSSEHEALEIADRVEAAMYVWRRKASTTHVVSKWENVTELNADGDKNLILASRARSLLLCLKQRFPGLSQTTLDTSKIQYNKDIGQAILESYSRVLESLAHNIVSWIDDILIADENARKGHKTRMQKQGISDEFSLSLSAPLVDDHSWLASKLPQNYSDGMAVTFEQEISQSQVRNLCVLELKIVPRGHVYGAMVLDLLRLCTSVQKLKVELYRYQRKACHVNCHCDQPNNWKDRIISLTDLKEVKIDGFNEEDHEVGLLKVLLRCAATKSVGDKIKCT >Sspon.01G0010580-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:29494457:29498035:1 gene:Sspon.01G0010580-1A transcript:Sspon.01G0010580-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGECAPTAAVATASTAKTSVWWDIDKCAVPRGRCDPHRIAHSLIAALAAAGYVGPVSIAAYGDAARVPPPVLAALSATGICLNHVPAGSKDTSEKRMLVDMLFWAFDNPPPGNYLLISGDQDLSDLLHRLRMKRYDILLLRPPNASSQVLAAAAKKVWLWENLTAGELLLPEPPPARSVLGCKLHVNSSDTLKYSHSKVVFDYGKSDCNGKEGSQIRVRTLQKYVKKASYSSTTEISQDRVVPAGGVSRSSTGRTLSEVDHDSVSSLSLSSPDSTKRAKANISVPPENRTLSNLSSHRHALSTHSHAEATTQPVLADKPGIPTGCLPRNATLNFGASNGQCNQMSQHFRYSEAQNKLHSEFTTGSNKEKAVNRHGLKPLQMYVKKKTNITFGSANKPVGSIGVPECPVGIELDQASVSASPTFTQSHSSAQRPIASAHLHQVKAPHESILGKKPSTSVELHQVKAPHESILGEKPSTSVELVRVPHESVLSIRPSTSAEHASRNGTHDSDVCAINYNPTCQQFKSSEAQNKLHSCSNVGDSSGKLGNEYKTNKEQPYAKWINIFTASASNEINPSTTTFDNSNGSTSRYPSQSLSASSSSKSLQSAKVNDSNLLLSDHIPLLADNLHQDGATSIFGKKNNTSFQCTAKSGTFVFGASSGQYHQTYQQAQSSLPSINSHRGSTASPSVEHNGAPFAQTQTWSSGSAFEGLDDICNSFSRLNISKCPQGTTETRPQGPPTNGPSMGMPDNSGHPVGFHESRSSFHLDSNSSCCLNHSSDPQSGQPPFSGYTCTVGHQPNMSSDMQSSEHSGDKPRHEPEVGIILQALDILKTEKIFPIETNIADCICYGELNLRGFDVKKALELAIRHDAVIMKKLLNDMPLFVAKDESLWKCVNVTNTKAKNPTEELETVYKYISSPDGHSAMMNSQSRYQAAMILKRSCMQQYALGDILQVLHIVIVRKKWIVPHSSGWQPLSLNTTADTATTDAPGKVKSSFPVVVSG >Sspon.03G0036190-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:83856889:83857969:-1 gene:Sspon.03G0036190-1P transcript:Sspon.03G0036190-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVHPCCSEEKKVRKGLWSPEEDERLASHIARLASAAGAPSPNSQRCGKSCRLRWMNYLRPDLKRGRFSQQEEDLIIVLHKALGNSWSQIAARLPGRSDNEIKNFWNARLRKKLRQKEASTSSSTAGSKEAAARRSRNSAEDDHRTKPAPSVFTPFVPDHVAATAGASGASSCDDSSAAGGFVADPAAATCAATAVRLADAADRNAAAAESGVTPTPSLTTSTSVCTDDAWGSCDDGFLRAMVDDPSFLFGDFYIDGDDGHHGQIMSFWEGH >Sspon.02G0044350-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:120861485:120865109:1 gene:Sspon.02G0044350-2C transcript:Sspon.02G0044350-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSFARCDVILSAFVCRAQQGPRRASARPAPPPDRIGGRTSHEAVHFSVADVKDCKQSAVDVGDKTYVISRSDDDSKTSVVVKILDKLTQTWVVPTILGKQPPLTKSQSAIPVSDEKILVIEKGVPLNESIWFLEIDTPFVKQQRKIKGTEVVSWSKGVIGVGQKPVVISGPSGVGKGTLIAKLMKDYPSKFGFSVSHTTRSPREKEIDGVHYHFTERSKIEKDISEGKFLEFAHVHGNVYGTSIEAVESVTDEGKRCILDIDVQGARSVRASSLEAIFIFVCPPSFKELEKRLRARGTETEEQIQKRLRNARAELDQSNEPGLFDHLLVNDELETCYENLKKLLSLDDDQEDSDDFLTKEGKETASYSIMSKTDSEILLQSETSEAKNGAACLLALDLSSLSGGAPGRTRGLKLRSVNSF >Sspon.03G0010660-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3A:29097388:29102673:1 gene:Sspon.03G0010660-1A transcript:Sspon.03G0010660-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRPPPPPSLYSPFPSLSSPPTWAQVLTGSAPPTPPDAGLLQGAEAAAAGLLPGAAGLQPSLPSGVFLPCSSLPSAYLPGASAPAAPAPRAAIPGAPAPRAAIPAAPAPRAPFPGADPPPGAPAPAQILTSAAVEHPGAADGSLPADGDPQPHLPAADGAQPALQQPRIHLPGAGLDAGTMSAAGAQAFPAPPPLLSQIYAGRDASSLATALAAARASAAEGQARVRAAALVWEHEREAADALARQIAEAERLLTYPGARTLGPTPLPRRVAAPALPGGGVQNIRLLVPVVLEPESPSYARWRDLVVLTLRRYALDDHVLLDAPVAAQTPSWIRLDSIVLSWILGTISLDLHDLVRTSPDARRAWLALEGQFLGNAEARALRLDASFRTFVQGDLSVGEFCRRMKSMADSLGDLGWPVEDRILVLNVLRGLSDRYAHLRTWITRQRPFPTFLEVRDDLVMEELTRASSRAPLLPRGPPRRLWLLLRRASLLHGRLLHHRRLSWVPLPPGRAEVGGVVVVVVAAVGVAGAAGNPWSGRISMWPYHATGPPVAMLTGAPPAGPPLGGFPATPPTTPWVPPSGPSGAPPGVAGWDQAALARSFATMGLTPPVGPEWIADSGATYHTTPDPGILSSVHSPSSSLPSSIMVANGSCIPVSSVGTAGAHGSFRLPDVLVAPSMVHNLLSIRRFTADNSCSVEFDSSGLTVKDLASRRPLLRCDSTGPLYTLRFPAAPSSSPSVLSAAFVASASSTTWHRRLGHPGRDALIQLSRSSGLPCTRAHDEQLCHACQLGRHVRLPFSSSSSHAARIFDLVHCDLWTSPVISISGYKYYLVVVDDFSHYSWTFPLRAKSDTFTTLLHFFTWVSTQFGLTIKAVQCDNGREFDNSTARDFFLSHGVQLRMSCPYTSSQNGKAERMIRTTNDTVRTLLFQASLPARFWAEGCTPPPTFLTAFLPLPVPLHSSPRPLWYPASLDPRSTLCVFLGYSPDHKGYRCFDLTSRRVLISRHVVFDESVFPFSSTTTPRHRSRLPCESGPLVLRCGPAFSSWCRPGAFVPRFCPGGVVPVAGPCLGTCPGGSVEVCRAGTGLPAQAAATAARGSGPFSAGNTDTTASVSAGPWCPAGLPPAASSPTPAACSPDGDTARGWHPAASCLEASTGDAVVSPVPSSVRDALLDPHWRRAMEEEYAALLANQTWELVPRPPGANVVTGKWIWTHKRRADGSLERYKARWVLRGFTQRPGVDYDETFSPVVKPATVRTVLSLALTRSWPVHQLDVKNAFLHGLLTETVYCSQPAGFVDNTRPDMVCRLNRSLYGLKQAPRAWNHRFAAFLLTLGFMEAKSDTSLFRASSPPDHCSSQQEFAMKDLGELHHFLGVTVEHRPAGLLLHQRQYTRDILERAGMSDCKPCSTPVDIQGKLSAAEGPPVDDPTAYRSLAGALQYLTFTRPDITYAVQQVCLHMHDPREPHLTALKRLLRYLRGTLDYGLLLHRASSTDLVVYTDADWAGCPDTRRSTSGYAVFLGGNLVSWSSKRQPVVSRSSAEAEYRAVANGVAEAAWLRQLLAELHTPPSRSTLIYCDNVSAVYLSTNPIQHQRTKHVEIDLHFVRDRVAIGEVRVLHVPTTSQFADIFTKGLPSSTFAEFRSSLNITRG >Sspon.06G0021500-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:16416026:16426089:1 gene:Sspon.06G0021500-1B transcript:Sspon.06G0021500-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAPQAALASTSAAPPAQRRRSTRLIDPPRRDDDQDQPPCSETASTPSQPQRHSTSLIRIRRRVSQPPPPSGASTPPPAAKADAPSSSGRTVTPRRSVRVQLRVRGLPSESSSSTPRRRRRSSTAPPPTSIGAKAEDWGKEKAASGAPEEECVLPFLRKGAPRKVECLICSKSILPGERMQCSVNHCDVTLHKACSEETDGCCPRHICFCCKRRTSRHRIQRTMPVCTECLLKNRMIDSEDLSRRMICWSIWPSTSEEAFRRLPLPYIDQEFSIEPINKQDLETESEPPPYVHIKHNVYVVKKKSDGDAIEGGCISCDHDSTCESISCAHYSSHFLCSRLSSMSSCSLDCPCSVKCSNKPFRREKKIEIVKTQQCGWGAIALETIGKDDFVIEFVGEVIDDAMCEDRLLDMRQRRDQNFYMCKVGKDFVIDATFRGNACRFFNHSCQPNCRLEKWQVNGKTRLGVFASQTIKVGMPLTYNYREKDGSIRNYTTVV >Sspon.01G0003090-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:8366926:8390785:1 gene:Sspon.01G0003090-1A transcript:Sspon.01G0003090-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLGEISDSCRVRVAVRLRPKNSEDLAHGADFDSCVELQPESKRLKLKKNNWSCESYKFDEVFSENVSQKRVYEAVVKPVVEASYNGTVMAYGQTGTGKTYTVGRLGKDDPSERGIMVRALEHILSSLSFETDWVAISYLQLYLESVHDLLAPEKTNIPIVEDAKTGEVSLPGAAIVEVKDLEHVFQLLQIGEANRHAANTKMNTESSRSLAILIIHLQRSTRREEENSSSLCNDRHDTFPDDLPLVLKSKLLIVDLAGSERIDKSGSEGHMIEEAKFINLSLTSLGKCINALAENSPHIPTRDSKLTRILRDSFGGTARTSLVVTIGPSARHYSETSSTIMFGQRAMKVVNTMKLKEEVDYEILYKKMEREVDQLTSEMERQQKVIRSEKMQMDKKLKESERSFHDLRMTSNMQIENLEKEKRQLESAVKKLTQDLDKERGQNNLLSKQVTELEKLLDENKHEKEMGELLRKLEEERCCSSSMKDRMSVLQQQLCDAQSSAQAMKVVNTMKLKEEVDYEILYKKMEREVDQLTSEMERQQKVIRNGQKLKESERSFHDLRMTSNMQIENLEKEKRQLESAVKKLTQDLDKERGQNNLLSKQVTELEKLLDENKQQQLENFSKTKFLTDTTKEHEKEMGELLRKLEEERCCSSSMKDRMSVLQQQLCDAQSSAQEGMARELEKKLTKATEEFTIQVQSLKEKVSGLISEKELIYDELKSTQEKVQQEMSQRQGLEDQIVRLKQSVSDNCAEESKTSCSMVRSGSGLGNTAFVSKSGKLREALSGQRGTISKIFEEVGLPNVLALLKSEDLDVQIHAVKVVANLAAEDVNQERIVEEGGLDALLSLLETSENTTIHRVTAGAVANLAMNGSNQGVIMNKGGARLLANVASKTDDPQTLRMVAGAIANLCGNEKLHLMLKQDGGIKALLGMFRSGHTDVIAQIARGISNFAKCESRMISQGHRKGRSLLIEDGVLTWMVAHSTMFSASSRRHIELAFCHLAQNEDNTCDIIASGGIKELLRITRESPREDTRNLAKKALDSNPAFLREIQ >Sspon.03G0045470-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3D:19142474:19148372:1 gene:Sspon.03G0045470-1D transcript:Sspon.03G0045470-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGSSTRRSWADEVELDEDAARAAGSVLAELPPLAAAAHASLVLRASCLDPEAEPFLASPAGERIHFTDSEASFGDSEPPPSSSHRSMASGTSRAQRRRRRRRPRRGRLLWQEPGSHGRSSPPPRRLPSVVVHPTRMSVEADAEGFRAVQSRRRWRRAATQRRPVPSNLVGKCFNCLAVDHVKADCTFPSRCFNCLVEGHQERECPLLGRGGAKRGRSPGPSSGHRRRGTRRRCSASRRGSPPDTISGRSASTGREPSVPPVCVPPTPDVCVVCSGSSTQPHVAPAAAGASDGPGDGTLVRPPRVTPLSAPHQLRGMSPVRVARGASPPRVCRTIPVPPAGSVHAVTPPALVQYLYDHYGIPEDRVTVRRTTPDDFLVRFSSQDDLHLVLDNQRPDGAPFMLRWRRWTRLIMGSAGAFRYRVLVGLKGLPSHARSEAVAQAILGSAGAKVEFANPDALSDPDDERELFVASWCAHPDLVPDEVIMAIPEPEEEHDGGPPLFLRPHNIIHYEVPALRYLVRIRLIEFQDWHTPPTSSDDEYYGAGDDDEDSDDSNYNGFHPGFGGGGGRRPRPRTTRFAGDGAPRLGRFNGQGFQPREQAREPRLFVRVGDFSCPVQSPRGAMLCHGIASAVCAAVETRVEPALDPVVDFPLIPQSSPSSVSSPVADPMVDEASLCTPRLAVTTRDSDFCHSIDLYPRRGHSSAAPPGGMVGGALREDSELLNSRLLCASPGTGDGPELLGQREEVVYSAHLSEEDLSVDLCPSGPERSVSRPNSPRHGWDCAPSENETIVDVALAPRAFTPSPPVADGIMPATVEARTLPPDVFVQIFKKPLEPPLVLSAPRTRVTRARTDEELIPKRSARLAAKSMHREPKPEAQARKAQDKSNSRLNLAAMRRFRRALDAMAVDELYLHGRLYTWSNERRRPTMERIDRAFATVPWLDTFPDHRLRALSSDCSDHAPVLLELRTEQRGSPRFRFEPFWTRMDGFQEAVQQAWEDSPADVDACRLLDIKLRRTANALKSWSMRQIGSVRHQLFMSRELLAQFDKAQESRELDEGERELRAQLKMQCLGLASLSRTIARHRSRIRFLGEGDANTKFFHLQACHRAFYKATWPIIRQDVMNAFNALWSLDARSFYLLNDALMILLRKNSAPTRLKDYRPISLMHSFSKLFAKCLARRLASRLTDIVAPNQSAFISGRSIHDNFRTVQLACRFLHQRRFPVVLLKIDIAKAFDSVAWPFLIEVMHHIGFPRRWINWICILLSTASTKVLVNGITGRRISHARGLRQGDPISPMLFVIVMEVLNSLFREADRRHALAPLPGHAVTHRVSLYADDLVLLVAPNAADLDCVLQILNLFAGASGLVTNVDKCVATPIRCSEEMMELVQQAFPCMVAPFPCKYLGVPLSLRRLKRAEEQPLVDSIAARIPTWKAGLLTNAGRLLLTR >Sspon.03G0046080-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3D:32002951:32003178:1 gene:Sspon.03G0046080-1D transcript:Sspon.03G0046080-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSEHNATACREETTTSESQPLAQPKEEPVDLVEPAVPMVVIQLNEYWITSMTLEANLEILRVHGLSLQHCDM >Sspon.07G0032330-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:39678472:39678858:1 gene:Sspon.07G0032330-2D transcript:Sspon.07G0032330-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVPCAPASVSVSFTARPAAGCPSSARPRAAAGAVRAAAGAAEGGRWWAPLLGWSGKADYLEAPAPAPAPAVAVPQDDDAGVAARRQFVGGLTEEKARQLRARMAQTESFHDAMYHSAIASRLARSA >Sspon.02G0008950-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:25572916:25574643:1 gene:Sspon.02G0008950-1A transcript:Sspon.02G0008950-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AMAFSGRIKELVRKYGKVAVGVHLSVSCASIAGLYVAINNNVDVEAVFRRFGISPGVTVDGEASPAPASRDGPLPPRPSEVLQEETERERQPRNRTMELVASSGGALGLALLCNKALFPVRVPITIALTPPVARALSRWRLVKS >Sspon.02G0018120-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:59395078:59407974:-1 gene:Sspon.02G0018120-2B transcript:Sspon.02G0018120-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRPAGASYQRFPRVRIRDLKDDYAKFELRDTDASMANALRRVMIAEVPTVAIDLVEIEVNSSVLNDEFIAHRLGLIPLTSAAAMQMRFSRDCDACDGDGSCEYCSVEFHLAARATDSDQTLEVTSNDLRSMDPKVCPVDQARAYQQALGGTDPFGANTSNENRQLRRGQELRLRAIARKGIGKDHAKWSPAATVTFMYEPDIRINEELMETLTVEERRSLIESSPTKVFKLDSANQVVVDNAEAYTYDDEVIKHAEAMGKPGLVEINAKEDSFIFTVETTGAITAYELIMNAITVLRLKLDAVRLQDDDGDLGELGAHLGGP >Sspon.01G0001510-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:4395006:4399025:1 gene:Sspon.01G0001510-4D transcript:Sspon.01G0001510-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVARRRLLADAPPGHHHRQGAPPSDWSAGYLNGWLSKPTPIFGLRLWVLIGIAVGAAIVLVLLLILVCLSRRRRRRDDLLAANLYPAADTKLLKQHLQQQATPTPTKDIQEIVRRQQAQTPSAPPAAPQPAVQLAKAVAEPQTPAPPPQQQHRPPARKTPGSGMSATTSGGSERDGATPRSTGSAGMPEVSHLGWGHWFTLRELEEATDGLAEENVIGEGGYGIVYKGTLHDSTLIAVKNLLNNRGQAEKEFKVEVEAIGRVRHKNLVRLLGYCVEGAYRMLVYEYVDNGNLDQWLHGDVGEVSPLTWDIRMNIMLATAKGLAYLHEGLEPKVVHRDIKASNILLDQQWNAKVSDFGLAKLLCSEKSYVTTRVMGTFGYVAPEYASTGMLNERSDVYSFGVLIMEIITGRSPVDYTRAAGEVNLVEWLKTMVAERKAEEVVDPKMTGKPSPKTLKRALLVALRCVDPDANKRPKMGHVIHMLEMDDLLFRDDKKPGRDAHPQGSDRYSSKEEGSFSKPYSHLAIAFGSVLVASVCLGLRFVYMDKRDETLSN >Sspon.01G0059550-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:60328908:60329984:1 gene:Sspon.01G0059550-1D transcript:Sspon.01G0059550-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AQRQGRSSGRRFLAAGDSSGESDYPDALPSSSHTSATYFPYRYRTRARSSPTMADGGCGACRRRNLWSDEGVAAGANSAGLAGAEVEDRASRKENGGDGQNGGMGGFFDGLWPDQAGHRRCTAARWRPRAETVGHDHHLPSLLGSGIKGVSNVPFLKITKNY >Sspon.01G0063160-1P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:114140656:114142198:1 gene:Sspon.01G0063160-1P transcript:Sspon.01G0063160-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSICDAYSREMYDKWQAQKWWGDNNERIMELYNVRRFSRQVLPTPPRSDDGERESFYSQSQVGSM >Sspon.02G0028250-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:97909549:97911593:-1 gene:Sspon.02G0028250-2B transcript:Sspon.02G0028250-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VGEIISRFEKKGFLLKGLKLFQCPKELAQEHYKDLKDKPFFPKLIDYITSGPVVCMVYIFSYELDIFFATPHFHQCRAHSYNLVLSCKAWEGDGVVASARKLIGATNPLQAEPGTIRGDLAVQTGRLAATLFHVYILNVVHGSDSPDNGKREIALWFKEGELCQWESVQTPWLIE >Sspon.03G0001260-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3A:3175798:3177864:1 gene:Sspon.03G0001260-1A transcript:Sspon.03G0001260-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMPKNSCAGGCEIQASGINYRIAVSSRPHPPLKVWSRSDDDVHVQDHQDHHHSVRHVLRDVSCRARPGELLAIVGPSGAGKSTLLEILAGRLSPSPSPQPQPDLLLLLDGAAAHSADLRRVSGYVTQQDVLFPLLTVRETLLFSARLRLGARLPAKDMHARADALLDDLTLRRVAATRIKDLSGGERRRVSIGVEAVHDPPVLILDEPTSGLDSASALQIVGALRAMAETRGRTVLLSIHQPGARIVKMFDSVLLLAAGSVLHQGTVDQLRALLGDAGLHLPPHVDAVEFAIDSVDALRLHRRHASAAGLQAPPSQPPQPSSREREGRCTLQHLFQLHGKQVADEDTAAVVPVMASSAAATAGSRYANSRAREVAVLSQRFFKNVARTRQLFACRTVCMLVAGLALGSIFYDLREDKVAERVGLFAFLLTFLLSSTTEALPIFLQERDILAKETSSGAYRVSSYAVANAVVFLPFQLALAVVFAAPVYWLAGLRRTAAAFGYFLLVIWLILYMANSVVVCFAAAAPDFVVGNAAIQGVMGSFFLFSGYFIARSAMPACWVFMHYLSLFKWPFEALLVNEFAGGGRCVVRALGECVATGDEVLRREGLGEECRWRNVGVMVAFMAAYRVLGYAVLRVRCSLALNKGAVAAGPPGLDLSLSRRLRSQLAIMGAAAWPSPSSSSSSTPPT >Sspon.07G0004780-4D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7D:10991796:10992933:-1 gene:Sspon.07G0004780-4D transcript:Sspon.07G0004780-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ELRTGGGSNLRAAHSSVCFSGALIDGPRIQQLLLHCAAALESNDVTLAQQAMWVLNNIASSQGDPSQRLTSWLLRALVARACRLCGPAAAAAARAPVPQERAMSVTELAEYVDLTPWHRFGFTASNGAILRAVAGSAALGVSDEEIGLRLANFAKSKGVQLEFSIVKCGSPSPSPTSSPPKKQAALCHDLASVLSDRQSLGLRDGEALVVNCQSWLRHVAPGSRDAFLDAVRALNPCLVTVTDEDADLDSPSLATRIAGCFDFHWILFDALDTSAPRDSPRRVEHEAAVGRKIESVVGADDADGAERSESGGRLAERMRRNGFASVGFDEEAAAE >Sspon.05G0033270-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:28421556:28422584:1 gene:Sspon.05G0033270-1C transcript:Sspon.05G0033270-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVQARHYSHNPAPAAGGSLFLDEYAGCAPAPACRIGDATTVLSDFPRSELAFAWCNYGFLPRKRPRLEAADQAVAPAAGGHLLEDQRASTPPACTERLLPVPPFVGVRSRAVGSGAASTVVLRELLSSWTHHHGVEIDALVALEAERMRAALEEARRRHALALLAAAGRAASGWLRASETDLERALRRNAELEEKARQAGAECQAWMGVARSHEAVAAGLRATLDQLLLQSPCCGAAAAGGGGCEAEDAQSCCFEQAPAAAAHATEEDDGACAS >Sspon.07G0000260-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:462987:468938:-1 gene:Sspon.07G0000260-1P transcript:Sspon.07G0000260-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMDGENVDEILAGMEEDPQLTDEPEPEEVKAQDSVAAPDADDAVGKKSKKKKKKGGFTMDVDGEDIHQILAGIEGRPPPAEEPEPKDTNDEGTVSAAAPVDDAEGKKSKKKKKKSGRTAKEEEELEKVLAELDGVPPAEEDKPAQAPQSAALAKEDVGAAEDGNAEDKAEEGGEVESAAAKKKKKKKEKEKEKKAAAKGAEAKKEEEKEKEAPKGKVDMKKLPKHVREMQEALARRKEAEERQKREEEERLRKEEEERLRREEEERKAEEAKRRKKEREKEKLLKKKQEGKLLTGKQKEEAKRLEAMRRQFLEQSELQKAEGAAPETKKRPIYDSRKKKAQPKATETAKVVEEQQEELNEVNNDEEEYVLVDQESQSQVEESEEKTEPDQETEEPKPEEEEEEEDEDEWDAKSWDDIDVNLPETSAFDEEEAKPVVKKTEPVQKQENTKAQPAMPAVKKLAPPVAESKKSETDGGGANNGNIKRNKKKGPVKEDNSKNGSDLRSPICCILGHVDTGKTKLLDCIRRTNVQEGEAGGITQQIGATYFPTENIRERTRELKADATLKVPGLLVIDTPGHESFSNLRSRGSSLCDIAILVVDIMHGLEPQTIESLNLLKSRDAVFIVALNKVDRLYGWKKCPNAPIVKALKQQNEDVKREFNMRLTDIVTQFKMQGVNTALYYKNKEMEDTFNIVPTSAVSGEGIPDLLLLLVQWAQKTMEEKLTFVDEVQCTVLEVKVVEGHGTTIDVVLVNGILHEGDQIVVCGMQGPIVTTVRALLTPHPMRELRVKGTYLHHKEIRAAQGVKISAQGLEHAIAGTALYVLGPDDDLDKLKEAVMEEMTRVGTPLCIPTKEYIDIGKIASIEINHKQVDMATKGQKVAIKIIANNSDEQQRSFGRHFDMEDELEDLSIEDWKLVVKLKAILKIQ >Sspon.06G0009730-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:42920542:42923491:1 gene:Sspon.06G0009730-3C transcript:Sspon.06G0009730-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glyoxalase I, Abiotic stress respons [Source: Projected from Oryza sativa (Os08g0191700)] MLKQGAEREALSSGMATGSEASKPAEVPAETVLDWHKQDNKRMLHAVYRVGDLDRTIKYAAILHGMLWDETAEEKGYYGVDKYDIGTGFGHFAIANEDVYKLAENIKSKGGKITREPGPVKGGSTVIAFAQDPDGYMFELIQRAETPEPLCQVMLRVGDLERSIKFYEKALGLKLLRKKDVPDYKFSGLNVFTLIQYTIAMLGYADEDKTTVLELTYNYGVTEYSKGNAYAQIAIGTNDVYKSAEAVELATKELGGKILRQPGPLPGINTKIASFVDPDGWKVVLVDNTDFLRELH >Sspon.03G0011770-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:32045546:32046910:1 gene:Sspon.03G0011770-1A transcript:Sspon.03G0011770-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LTAGLARTNPPRGPSEGFSATRPSTPSDRGGGRPPLSGMYNGVGLQTPRGSGTTGHVQASKFLAKPRPSPSSSPSPSEAGGMRKPNKDIIEHDRKRQVELRLLVLIDALEEQGYTEAEIEARVDEARKAAEAEAAAEEVDEGRPPLQRKGFTDTQSHHAAEQKEKQLQTMRVAFGLDGENVHKKGGL >Sspon.08G0010190-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:44770794:44776929:-1 gene:Sspon.08G0010190-1T transcript:Sspon.08G0010190-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIRLAPLLLWVHFHTIYMLLSFVSKVESDLSHGEHKIQKHGDEKGSRLTTSTNMSSSGSLKVQISLSPARIILCFPSEFSWDLSHPSVLDKFLVIDHTSCLNMVGNRQNEMQNEVHLSKPCTSIHLALGNIDVYLVKPVNNVLVGRICSSSRQTFSTMKILSVTGASYNDSGITLVRRKYPVTGPEILNNAWSLPKLHDEKITKKQNSKWAGISPSSTHDLAETGSSMRQELLKSTELLFHVQLSCVSVQLSKKDCELLNKLLDHVIEGISNEQTSISGNSKDQSVLINDVCIQTSVLFECSILEICTELNETVEVGPLLQAELKGSWNSLKLKVSKFSLFSCSNVGGLNNASFLWVNHGEGELWGSVSAKNDKVPGESKDFLIVACKDSACRRGDGEGTNVLSIGAAGCSVTHIRNPKLKENYTSVDVRSGTIVAPGGRMDWINAICLLFSSGSDRTEKSDDSNTVNSYQSGEPYSSSLFIELADVAVSYEPHFKNSTLSAGAADRKFFSCLLAASSFKLHNKSASASAATDFDIQLRDLGLLISQSSGSTNATCSYGVDYLRQAGYAKVAQNTFIEASLRLDSSFWKLEISDSQFDIGTCHDTTYGLIGLGSQLQQLYGPDMQDALDHLQSRWNSVQQANRQNMAADASDKSDSSLEISADSGDYQSDGLLDDIIENAFYTEDCLDNNFWESNCHHLFSSSETDDGFELNAATSSHEFLRSTPEGNVTQISPERNACPDQIIDSYYMPEFHQSLSALCDEEHKCTSGDNSRRTLESEDAGWYNNVPLTIVENHVLKKKNKQVEQVLQHEVASVCSLNPDEYCNLKGKVHIHDIDVKWRMYAGDDWLLPQKDSTSLTCTDGRDKSSSLEFTLRGLGIQVDMYPNGDVSISKLSVAAEDLTLCDQSIHAPWKLVLGCYNSKDYPRESCSSAFRLELESVRPEPQAPLEDYRLHLEILPLQLHLDQEQLNFLINFFKNDSCNNDPHLHCENETVDVKSTSNGSNTVVDEALLPFFQKFDVKPLVLHINYIPRQFDPIALGKGNYAELLNILPWKGIDLKLKHVSAMGVYGWNSIGDTVAAEWLEDISKNQVHKLLKGLPPIRSLVAVGSGTRKLVSLPIKSYKKDRKLLKGVQRGAVAFIRSVTIEAVGLGVHLAAGAHDMLVKTEHALTTVPPPLASCEAKRTKHNIRANQPESAQQGMKQAYESLTDGFGRTASALIGNPIKVYNRGAGVGSVLATAICGAPAAAVAPVSASARALHYALLGLRN >Sspon.02G0011430-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:29066244:29070682:1 gene:Sspon.02G0011430-2B transcript:Sspon.02G0011430-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPRRVCVTGGGGFIASWLVKLLLSRGYAVHATLRDPKLEKAPENLHLFKADVLDYDTLTSAVEGCEGIFHLATPVPEDKISEVLDTAVKGTLNVLKICTAAKVHKLVVMSSNAAVDFNPNWPQDILKDESCWSDKEFCKENGDWYSIAKITAEQIALEYAVENGLNVVTLCPPLVFGPLLQPTVNTSSKFLVYVIKGGPDVMNNRLWHIVDVRDVADALLLLYEKKESSGRYICSPNHICTKDLVALLKKMYPKYTYINNILDVDQKASLTCQKLLDLGWEPRKLEETPRTASSAMMASPPPPRVCVTGGGGYVSSWLVKLLLSRGYAVHATVRDPSDPKNAHLQVLDGAPESLLLFTADMLDRDALAAAVAGCE >Sspon.06G0018820-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:63758558:63759923:-1 gene:Sspon.06G0018820-2C transcript:Sspon.06G0018820-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTVREEMRKGPWTEQEDMQLVCTVRLFGDRRWDFIAQVSGLNRTGKSCRLRWVNYLHPGLKHGRMSPQEERLIIELHARWGNRWSRIARRLPGRTDNEIKNYWRTHMRKKAQERKMNISSPSSSSSSLTYQACLLDTVPVIGMGSGDTHNGGCVTSALENTQSVMDGYPMDQIWNEIEAPQAPAFLGIAEGKEKTCSSIPCHLPSSSMWDYKYPEVFWKMEDKEIMM >Sspon.01G0050070-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:118643124:118644767:1 gene:Sspon.01G0050070-1B transcript:Sspon.01G0050070-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIQDPAPPHNGSVCLREACRQRVRVHPTDASGRRKRKTVPPLTKWTSSMSPPRINSPLATVHSPPRLILRSPPVQNRAPSRPPAPHPPPPPPPPPATLSVHAAFQFSALHYDYLFSLPLLHPAAQGMASFAVATIPSLAAPAAKKRSGGVTYVEGMNAYSGLKGLNKVNMLGVRKTADYSFAKIVASLSSPAGSKRRGGGAFGAQMNAAAEIFRIAVTMNGLVLVGVAVGFVLLRVEAAVEEADNSRSANSCQLMKAITSEEKSSKPTTFGVCHSNDLLK >Sspon.04G0012170-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:40609305:40615592:1 gene:Sspon.04G0012170-3C transcript:Sspon.04G0012170-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDDYDFPAAGGYDPMGMMGMGMGMGMGGLGLGGAMGMGMGGYGLGGEDDEEAMKDLHAGAGAGAGAGDDPPPELKVGEEREIGKEGLKKKLVKEGDGWGRPGAGDEVEVHYTGTLMDGTKFDSSRDRDSPFKFTLGQGQVIKGWDLGIKTMKKGENAVFTIPPELAYGEDGSPPVIPPNATLQFDVELLSWVCIKDICKDGGILKKVLAEGDKWENPKDPDEVFVKYEARLEDGTVVSKSDGVEFTVRDGVFCPAISKAVKTMKKNEKSHLTVMPQYGFGVKGRPSSGEEASVPPNATLHIDLQVVSWRTVTELGNDKKILKKILKEGEGYERPNDCAIVRVKLIGKLEDGTLFVKKGHDGEEPFEFKTDEDQVIEGLDKAVLSMKKGEVALVTIPPHHAFGTNETNQDLATVPPNSSVYYEMELLSFDKEKDSWDLKNIAEKIEAAAKKKEEGNAWFKVGKYARASKRYEKALSFVEYDSSFSEEEKQLSKPLKISCKLNNAACKLRVNDYKEARELCTEVLESDSTNVKALYRRAQAHMHLVDLDLAEADIKKALEIDPDNRDVKMGYRRLKEKVKEYKRRDAKLYGNMISKLSKLEDTEDNDQMSQALNKKRGLLWPLAEMLRRFFTTDGSKGSTLWLVLRLLIPVILLVAVCVGYYMHSSVEEIDCINC >Sspon.06G0010940-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:42856679:42863217:1 gene:Sspon.06G0010940-4D transcript:Sspon.06G0010940-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGNSSHAVKRESPRFLPHHVSSSCRPPCPVCPSSADGRPSKIPNPIRPPNPNPPIPRSHKSLIRSDDPEWFFFAPKDRKYPNGSRSNRATEAGYWKATGKDRIIKSKGDKRKQHTIGMKKTLVFHRGRAPKGERTGWIMHEYRTTEPEFESGEQSLIRSDDPEWFFFAPKDRKYPNGSRSNRATEAGYWKATGKDRIIKSKGDKRKQHTIGMKKTLGGYVLYRLFRKQEEKTERPTPEEMDRSGYSPTPSRSSPDNLEVNEEANTPLNKESPESALDDPIELPHSVETHVGSVTRWLATEMITWWLLHRVDPSAGASAHLVNPQNGNDDYNNFVSSFAPILPLENAFFPDIQQGAFGFDGIMNAPDDLDAFLNQTLVDPDEHSSTTSKVQYDSDIPTEFGNQWNMQVEPQDDRSWLANIGFEPDEPYPLLPYDTTDQDVLSVDSGADSFNELFNSMEDPNVRSAGWSNELFQESGIEFRPQQLDSTVQPSHVFTTRAMQKEAEATGC >Sspon.06G0017370-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:78247354:78250857:-1 gene:Sspon.06G0017370-2B transcript:Sspon.06G0017370-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSFKGHVLPGTLFLLVGLWRVWSAAARHAASPSAFRVRAWNPVPSSSPLRLLELYVIAGGAFADMCVEVLYSTHLRVFVDGGEVNPAHLNDLEHGGMLLMFFLFGALALASQLWPRYFPLTDGLLCLIAATAFTAELVLFYFHSTTHMGLEGYYHHLLVVLVALCVAATVLGALLPESFPVDLASGVLIALQGLWFYQTAFTLYGPMLPAGCARDADGHIECRARAAQERAEQLANFQFFGLVFLAFCYVLGCYAVAAARYGHPELAVMHDKHVAAMECHGDAGGDRQEDCAI >Sspon.01G0029620-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1A:103159161:103159898:-1 gene:Sspon.01G0029620-1A transcript:Sspon.01G0029620-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVDYGRALELNPALRDAAPESIHAVVSFLQSRGLQFKDLGRVFGMCPSVLTASVRADLRPVFAFLSEDLGVPESAHRRVVIKCPRVLACSVRDQLRPALIYLRRLGFRDSRALALQDPILLVSSVERTLAPKLEYLAGLGMSRDDAVAMVLRCPALFTFSIERNYRPKFEYLVDAMGGGVEDVKAFPQYFAFSLEKRIAPRHRAAEDAGVALPLPDMLKATDEEFREMLDKERELLQEQTATTD >Sspon.04G0018690-1T-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4A:66975571:66975937:-1 gene:Sspon.04G0018690-1T transcript:Sspon.04G0018690-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSHLFNMDLIKQFEMNWDPFDDSEGDDVEDSARSSMNIAKLLRSALQSLIVRHFGETIIDAWFTEFRRLVAEHLEKEKTKFTTIVMSLKKE >Sspon.01G0034170-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:1149830:1150336:-1 gene:Sspon.01G0034170-2C transcript:Sspon.01G0034170-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGKLLLLGAAVLAASLVADARPCGHAQTLLVSFSSVSRPNPDPTNPTPLTTTVVTVLRVRHLGPHQIRSPVALPAAEPEVAASSIQDRAKDILVVVSGLLFDFGCGALTAASMYLVWSLLASTCASGYDDDVYSDDEDQLSDSESPKKAGYVIIHDADEYGAGKN >Sspon.08G0007230-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:23465526:23467372:-1 gene:Sspon.08G0007230-3C transcript:Sspon.08G0007230-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGSNASYQQLGVDAMMSSCFVSGDGSDISADAAAPLFHVPEYTPHGGSGSGFGFVGEPAADVAAASSFSADAGWVLLAGQLLRATALQSVSPEETTHGGAYGVTGGGSYGPSPWDVTVAHAPMMATKQLIVAGEPAEGGWIHESSYYCPTTWFSGDAFRDPPFAGAVAAASELSLRLRAGSSPTTAGAVSVSLPDQSSEVSCSGLTHGSSSAGAGAGPGLFQPPCGAGAGQVARPGAMHFSQVLSRWSGYADIAQQALDEFVACLLQDVAGFAGLAGGGEASCPQPSSSCSKTTSSNPSVFAGFEEHNHKLKNDLQKLLQIVDQRCKQCMDEIESAASKYGSLVRPGGGALSAPFAHRAVSAMHRRLRARITGEIAAATRRGDQPSSSSLSLSLADRERSWESAFIQKHWALRQLRRGDQQSWRPQRGLPEKSVAVLKAWMFENFLRPYPKDNEKEMLAARSGLSRSQVSNWFINARVRLWKPMIEEMYEDLKKASGGMEGV >Sspon.04G0022570-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:45388462:45389776:1 gene:Sspon.04G0022570-3D transcript:Sspon.04G0022570-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SMSTSSTSRQGETQADQVVFEEQTTLFPSQPSHPSFQYPQNPNLSPCLATLKGNSSYVSGIVFDSDSLYVASSDGHIRLWPLDMAMAMDVQRAEQSTSTVAATSSPIKSIIATSNGGLVSSHQDGKIRVWHAGRRNGGSSDHLSLRLRAVLPTATDCLRTFLFPSNYVEVRRHRRRRTWVHHVDAVTALALSPDGAEMYSVSWDRSLKAWRLPSLRCVESVAPAHDDAINAVAVSADGHVYTGSADRTIRAWRRQPEPGCGGKLALVATMERHKAAVNALALGADGRVLYSGACDKTVIVWERAGAGGSVAATATATLRGHTGAVLCLAAAGQVVCSGSADRTVRVWRRGATAAAAAAGYTCLAVLEGHAAAVKSLALVNKSGGDHGGSCDDCCCCGCRCAAFVCSGSLDCDVKIWSVVVSC >Sspon.06G0033560-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6D:17257464:17259293:1 gene:Sspon.06G0033560-1D transcript:Sspon.06G0033560-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAENDTIQLQRAIFAQYIMMKKLFMELEVEREASATAASAAMSMIRKLQKEKDAERMEAWQYKRIAEEKINHTNRALEILKEVMELKELEISYLRNQLQVYKHKLLDAGIDDCDIADETIDSDKSLFPSNNMENLCHKIKRNFSLPTLKLNKLYTDMEIKKNGGVQSARSRLSDDGWEQISRDVMALEPKESFSTDLNSRGKYGEEPHSPSSGVLRESQTLNEPPCSSSFSMVSHQAELCSDGAVQAREDTECTVNHDQHKDSCVHDSQTLDVPPCSSSFPVVSHQADICSDGAIQAREDMECTVNHDQLKDSCVRNFQTLDEPLCSSSLSVVSHQSDICSDGAVRAREDMECTVNHDKLKDSCVGTEMGELVVHPLSEVDPLQIPERSNTTIDSSCTESEIMTEESQPSPTVITKGQGPRNLSRFAATRKIGSMNNVDRHVRRSSGTYTPRAGVERTRSRLKRVQSEKMVELSDPRTSKEQIIMLKEVYEQLGMIESHMRPPDSQESPRNDTSLDSVMESMSINYFSDPSSSLCCTAAFDIVDFTRNC >Sspon.08G0027940-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8D:4622069:4622419:1 gene:Sspon.08G0027940-1D transcript:Sspon.08G0027940-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MITKERVPDSFIDILNKRRPFQKLPPIKDTSGVYSKYPKLRDLYLSAMTRRNYCVDLHEDVLRQHAEKGFAEVGVDFTDGVKKIFILPQPQPQPQVTMMQQKGNGGGGRSKTTLVFV >Sspon.02G0021960-3C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2C:96524425:96525996:-1 gene:Sspon.02G0021960-3C transcript:Sspon.02G0021960-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLNPPVPQQDSNWEIRAAVLLSLFFQVLLIFMGPTRKRSSAPLYRLTIWSCYLLADWVADLALGLLLNNMGNIGGGGGGAGATGNNSGSPIIFAFWTPFLLLHLGGPDTITAYSVEDNELWNRHLIGLLFELFSACVIVFCTLRGNPLVLATMLMLVAGVIKYGERTYSLYSSSAESLRDSMLGPPKPGPNYSRLMTEFDSKKKAGLPAEIIIPNGEASEAKEMEKHETMIQSFVVLAKKKSVEARAYVFFLAFRRVFVDVIISFKERALSQAFFLRDVEETRRSSDPDPDDEPTIIREAFDLIEVELNFIYDMVYTKAPIAYSSVGWVLRCFCSACLVSALVIFFFLDKPRHRIQRVDVGITYALLLGGLALDAAALLMIFFSNRAKVYLEEYLSSRRLRWLAWWLRYQVTKRWQRCRGWSGTVSQMNLIRYCQEKPGRYSSNNRGGKWWLMIVKMLGIEEIVDVFFFIRRVRLNKDGEEERCGCLRRFFRETLVPKCCRSEEDSVAESLPGLKKPAGLPR >Sspon.07G0013390-4D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7D:47788939:47789724:1 gene:Sspon.07G0013390-4D transcript:Sspon.07G0013390-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPNFNSMWSTSEINMVKSLITSHITNNTYTSSARVNNNFGMPMEAPPMDNMDMLQGYLMDEIRATRRVEGQQHMPNVVPKQRRHAVRFWTTDEHRNFLRGLEVFGRGKWKNISKFFVPTRTSVQISSHAQKYFRRQECTTKKQRFSINDVGLYDTQPSVQNNSSSWEALTFTGGAYNTNYNGFDGKHVAFNSLACASQASVNQ >Sspon.03G0005690-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:16124948:16126912:1 gene:Sspon.03G0005690-1A transcript:Sspon.03G0005690-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSALETLCGQAVGAGQLQMLGVYMHRSWIICLATSLVLLPLYVFTSPILRLLRQSADISAVSGRYARWCAPQLFAYAVNFPIQKFYQAQSRVWVMTAISGAVLAVHALLNWLVVARLGRGLVGAVSWWLVNVAQFVYLVGGSFPGAWTGFSRKAFASLGGFVRLSIASAVMLCLEMWYYSAVLILVGCLKNPEIQVDAISICMNYQLWTLMVAVGFNAAVSVRVSNELGANHPKAAKFSVVVATATSAAIGVIFTAVALAARKQMPRLFTGDDVVVRATAKLGYLLAATIFLNSIQSVLSGVAIGAGWQSLVAFVNIGSYYLVGLPLAAVFGFNLKLNATGIWVGVLIGTVLQTVILFVILSRTKWQKEAMLAEERIRVWGGNVELPQTQETGPIENIAAPDSRNGTK >Sspon.01G0013160-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:35936608:35938413:-1 gene:Sspon.01G0013160-1A transcript:Sspon.01G0013160-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQHKDPTNRAASDGLPDGVAPRKKTNVRFAFACAILASMTSILLGYDIGVMSGAALFIKEDLKISDVEVEVLLGILNLYSLIGSFAAGRTSDWIGRRLTIILAAVIFFVGAFMMGFSVNYPMLMAGRFVAGIGVGYALMIAPVYTAEVSPASSRGFLTSFPEVFINFGILLGYVSNYAFSHLSLKLGWRLMLGIGAAPSVVLALMVLGMPESPRWLVMKGRLADAKVVLSKTSNTPEEAALRLADIKEAAGIPADLDGDVVAVPKRTGGEERVWKELILSPTPAVRRVLLSALGIHFFQQSSGIDSVVLYSPRVFQSAGIADKNKLLGTTCAVGVTKTVFILVATFTLDRFGRRPLLLTSTGGMVVSLVGLGFGLTVIGHHPEGTTIPWAIGVCIASILGVVAFFSIGLGPITWVYSSEIFPLHLRALGCALGVGVNRVTSGVISMTFLSLSKGITIGGSFFLYAGIASLAWVFFFTYLPETRGRTLEQMGGLFGIPNMADDSDQQSSPGKEKKTSSNVEMSSSTATSSDIRNE >Sspon.01G0036460-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:18542974:18543719:-1 gene:Sspon.01G0036460-1B transcript:Sspon.01G0036460-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MYPGAARLLFGLIIAPPFPATTAPVQCVAGNLLGPNNLPASRSKGTLSGQLNKCSGACLARRYWDDGRALRKLFTGLLFGFCWLRLRHFELLLCVLGNYCRNHLLRSCMMQKYECNMHSAMQK >Sspon.05G0030970-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:2592719:2598755:-1 gene:Sspon.05G0030970-1C transcript:Sspon.05G0030970-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTGPANRAPATDRIGPGSCPLPPHPPGAHHVRSQPLVNAHLSSHRLSHPSCAKTKRKNTPPAARARRKKKRKERGNLRNHLETASGPWSGAERSEQAYCAGGSGVLPKQKRLRSIHPVLEFFSPSFFARFLDANSGVGFEVLIHSSRFRKFEGKFADEFAHSNSNEHEGGVEAPGVTSNKKLKHAVASEKVQGPIPRTSSSDSQKCNSEDIQRENGIVSQNINSAGDCKNGSNAFPSREENTIAETRCPTDNWNSCQFALSNVSPILNNHSAPQDSLTYGDNDLNYIDWPDIDNFEDVDTLFRSSDSTYGQQQLENADGLSWIPSSDAVYSSDVAMQQGFDSSYSDYGILDDLSAFQCAEDKSLPSVDPSSALCDNQFNDTYLFSEQKNIYQEDVMELLPTDQICSGHENIDMVGNQYSSENAIQDIEDKKFSIASTSQLSSSQNLLNQRHHLDSSSPSNITSESYPEKFGPSSGSFAQRNSKVQKKTVNTQPRQTTNDNVMNRHPQTLTRRASYPCENYETERRGLGKRTLGDPQVTMGTSMVVDGSFVSSMSSDNSVEESSFRQLQDAVSQLDVQTKLCIRDGLYRLARSAQHRQVFPNMMNSNGDSQDVKDLQNAETSRKFVDPRSIETQTNPIDRSIALLLFHQSSDHAAVAVDDVSSLKSPAGNKQHQGPAANQGLMPASSVYSPGGQGTPKDVQSLDKY >Sspon.08G0007980-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8B:25745968:25748911:1 gene:Sspon.08G0007980-2B transcript:Sspon.08G0007980-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVQRNQQQPAMTPHRMPAGLCWYPADGPRGPSAAGPRRHLSAARQQIRISSSPQHLQSTRAAPIGERRATKPDSEAWRPASVAKGKGGNRETGRSTLRRRQQRDQEPLAGVELPLSAPLSASQPTKGNTQRLCLTRGPIPQRTPRSPTRSVTWPDSSPVTTVPARADGKPITEQFFKKALAYGPVYLQPTRGRQREEGVSELTGQHSSPSFNAIAAAHHASNGHNLVYYRGHPLRASWPTVLLSLNVLTPSINNKPSHSLFTNAMNNCSGAAAAAASASANADSLSFKLALAHGHAHAQHQRSPPAHQPPPTASISSSITSGSGQCRSGTATSTQQAATTARRWRASGGGGLRLRPTAR >Sspon.05G0008410-3C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5C:17144570:17146432:1 gene:Sspon.05G0008410-3C transcript:Sspon.05G0008410-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKLRYPKKLFRRSSSKGSTTSSSDGDAGSVRGGEIEWEVRPGGMLVQKRDGRADVEVITVRVATGFSWHDVSVVATCTFGEYSCSASLLLLYSFAHSRNESNILVCNNLITRVRANKMMIAGELKVVLSMVTGLEPREQRLLFRGKEREDSDHLHMIGVRDMDKVLLLEDPALKDIKLRAGLVAQAVQSPYQTFIKV >Sspon.02G0002260-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:7237957:7241213:1 gene:Sspon.02G0002260-1A transcript:Sspon.02G0002260-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVAARRLLQPRWVVGGRCRLLGTAAEASPDGEGARGGGGGSDDAIYVKKPSAAAAVMTRDETSVAMPTSFMTGSVVGKRFYRDATVRRADDGNGWTVMLDYRTLKSPAKRPLKLPSRALAMAIAAEWEYQESDGIRPFTMPLMKLACTALERVPLTRRKVIDNLMKKFHQDLVFCRSPADSDLTIGVHQKQKEKIDPILEWVDTEFGFKPVVYTTFFGGKQDEGLAKAVETVLKKATDCELASIDAMAAAAHSLVIPLAIFRERLGIEEAIELIRLEEDHQVLTDGAWWKEVMTLILLILKCRCPRLLYFLNLHGDCERSCF >Sspon.01G0000340-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:665987:670959:-1 gene:Sspon.01G0000340-2C transcript:Sspon.01G0000340-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Branched-chain-amino-acid aminotransferase 3, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G49680) UniProtKB/Swiss-Prot;Acc:Q9M401] MGVDPEPHLSNAKSLLQAKSIPQYPHDFQLRSDGLTLSRETSEVTGLDWENLGFGLIETDFMYVAKCGPDGILSKGEVLPFGPIALSPSAGVLNYGQGLFEGLKAYRKTDGSILLFRPEENAIRMISGAERMCMPAPTVEQFVDAVTQTVLANKRWVPPTGKGSLYIRPLLIGSGAVLGLAPAPEYSFIIFVSPVGNYFKEGLSPINLIVEEKFHRASPGGTGGVKTIGNYASVLKAQKVAKGKGYSDVLYLDAVHDKYLEEVSSCNIFVVKDNVISTPAIKGTILPGITRKSIIEVAQSKGFKVEERLVSVDELLNADEVFCTGTAVVVSPVGSVTYLGKRVEYGSQGVGVVSQQLYKSLTSLQMGNVEDWMGWTVQLNQSLLSWVPE >Sspon.01G0000800-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:2329505:2344396:1 gene:Sspon.01G0000800-2C transcript:Sspon.01G0000800-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSSAPTPARAAAPAFTVSPADYRLLEEVGYGANAVVYRAEFIPTGRTVAVKCLDLDRVNSNLDDVRKETQTMSLIDHPNVIRSYCSFVVGHNLWVVMPFMSEGSCLHLMKVAYPDGFEEPVIASVLKETLKALDYLHRQGHIHRNILIDNPGVVKLGDFGVSACMFDRGDRQRARNTFVGTPCWMAPEVLQPGTGYNFKADIWSFGITALELAHGHAPFSKYPPMKVLLMTLQNAPPGLDYDRDKRFSKSFKEMVAMCLVKDQTKRPTAEKLLKHSFFKNAKPPELTVKSILTDLPPLWDRVKALQLKDAAQLALKRMPSSEQEALSMSEYQRGVSAWNFDIEDLKAQASLICDDDPPEIKEDDDTGRITDIDKDTSSDGYFGKPTPSNGNNCSERSSTAANPCQNGPETSEVLSSNNGSAYSGRKADGPKNPGSENDSLPSTSKHDPDGKDCRSEVGQKQRTYSGPVLQSGPHNSSMTERDHIIERDAGVQSVSDKQKNGTRRANNLSGPLSLPTRASANSLSLSRTNNLSGPLSLSTRASANSLSAPIRSSAGYVGSLGDKPKRTMVEIKGRFSVTSENVDLAKVQEIPGSNAPRKLQEGPSLRKSASVGDWSVNDKTTSTNHQRKELCNSSVSTSILIPHLQNLVKQTAFQQDLITNLLSSLQQNERVDAQSRVQSTGSDTVVEAATAEREHSLLVKIFELQS >Sspon.02G0006470-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:19055299:19066151:1 gene:Sspon.02G0006470-1A transcript:Sspon.02G0006470-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GPAT9 [Source:Projected from Arabidopsis thaliana (AT5G60620) UniProtKB/TrEMBL;Acc:A0A178UCY8] MASSSVAADMELDRPNLEDYLPPDSLPQEAPRSLHLRDLLDISPVLTEAAGAIVDDSFTRCFKSNSPEPWNWNIYLFPLWCFGVVIRYGILFPLRSLTLALGWLAFFAAFFPVHFLFKGQDKLRSKIERKLVEMMCSVFVASWTGVIKYHGPRPSTRPHQVFVANHTSMIDFIILEQMTAFAVIMQKHPGWVGFIQKTILECVGCIWFNRNDLRDREVTARKLRDHVQQPDNNPLLIFPEGTCVNNQYTVMFKKGAFELGCTVCPIAIKYNKIFVDAFWNSKKQSFTMHLVRLMTSWAVVCDVWYLEPQYLREGETAIVFAERVRDMIAARAGLKKVPWDGYLKHNRPSPKHTEEKQRIFAESVLRRLEEK >Sspon.08G0011790-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8A:50894400:50895671:-1 gene:Sspon.08G0011790-1A transcript:Sspon.08G0011790-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRRRSGRQPSAYLVSKLRQQEALQRRCGPGTVAYSNALEQLRSGRSGGGDIIASPECKYLVSISYRGLGNRILAAASAFLYALLTERVLLVDPSNDMGELFCEPFPGTTWLLPSSGFPLASYTNFSVSTAESYGNMLRNKVLRTDDVPEAQQLPVFSYVHLDHDATEQDRFFFCDDDQRVLRNIPWLVMRTDGYIVPGLFLVMGFQEELGRLFPEPDTAFHHVGRYLFHPNNHVWGLVTRYYDAYLATAQQRVGIQVRVFGTQPNSPELLEQITKCTQKERLLPELLTTAAAEPQVPEPTRKTKAVLVTSLKSWYYENLKGMYWEHAAATGREAVSVHQPSHEEFQRFGAKSHDAKAWAEIYLLSLTDVLVTTAWSTFGYVAQGLGGLRPWVMYRPDNDTHVPDPPCGRDVSMEPCFHAPPVY >Sspon.07G0011460-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7B:60436561:60438093:1 gene:Sspon.07G0011460-2B transcript:Sspon.07G0011460-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKPFYDILGKAYPSSPKQTVINSGSQSDFPDDINNNYHDLECSGSSVSDILGRKGLRLIDIEGGSELCNVVLQFNRTAEEARKLVPRIEKLVVDPEATIGQHSKHTNKIRSHPHVDLELVEGRNSKHSAISTSDIIRDEIFDRVLLCHEQYHCDVAHLREMKAKEANITLQYVQNTRSAQGKEKSQGKKQEKEEVDLRALLIQCAQAVSSNNHPFASELLKKIRHHSLPYGDGSQRLASYFADALEARAAGTGSQMYQKLVMKQASCADMLKAYRLFIAACPFVRVAYYFANKTIVDVLGGRPRVHIIDFGILFGFQWPLLIQRLAKREGGPPQLRITGIDVPETGFRPCKKIEETGKRLADYARMFSVPFQYQGVASRWEDIYISDLNIDKDEVLIVNCLHKMKNLGDETEDIDSARDRVLRIMKRMNPNVLIIGVTNGLYSSPFFLPRFREALFYYSSQFDMLNSTVAQNHEARILIERDLLGADVFNVVACDGAERIERPESYKQWQ >Sspon.07G0006740-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:17403379:17406292:-1 gene:Sspon.07G0006740-1A transcript:Sspon.07G0006740-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:phosphate transporter 4;2 [Source:Projected from Arabidopsis thaliana (AT2G38060) TAIR;Acc:AT2G38060] MASVRSCVSVNSAVSPVSYRSTRAGAAAGSGTLRVRSSSLALGGDGGCASSSGRGVVVVSGGGGLGLLGGDGPRIIRRGGGREVLAMCSASFDGVRPAAGAAAVASSAVQPVPAFPERAKVVALVAAIMLLCNADRVVMSVAVVPLAAQYGWSSSFVGIVQVMAGAAALWSLATILTPWAASRSTIMLLAVRALFGLAEGVAFPTMSTFLPKWFPTHERATAVGISMGGFHLGNVISFLATPIIMSYIGLSGTFVFFASLGYLWLSVWMLNVESDPLDSRTISKSELQLILAGRTGSKVQGSKFPSLRELFSRTEFLAVTLANVVNNWGYFVLLSWMPVYFKTVYNVNLKQAAWFSAIPWAVMAMSGYVAGASADFLIKSGFSIGPVRKIMQSIGFMGPCVSLLCLRFAQTPSVAAVLMTIALSLSSFSQAGYFCNIQDIAPKYAGSLHGLTNGIGTVAAIVSTIGTGYFVQWLGSFQAFLTLTAALYFSATVFYNIYATGDLIFD >Sspon.03G0033390-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:49213213:49219485:1 gene:Sspon.03G0033390-1B transcript:Sspon.03G0033390-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDADAAAAPCRKPASHSLPPPPAMFAVRARSGRVADLGAGGAAFSGPPDTPPPPALFAVRARSGRVADLGAGGAAFSGPPDTPPRPSLVTVPFLWEKAPGMPKASPTACAAAKTPAAADVGPVPEGETGDRQDSSGHADQAARPLPLKLPPRLQQVPASFAAADTRQGSGHADQARPLPPLKLPPRLQQVSASFAAADTPLSSPSTVLQGPYYARAGGGRRAPRWARSGGAALRRTTSAGVALFSRTWSRRRAAAGSNKHRCGYHERDAAGTDAPWCSPGSSDSSSSVSTCFGGDDHRRGRPNDGREVSSEEDDGSPRGSVRITRFRRNRSLPRMTTSNLWECQADYSMELKFGNTTRYGHY >Sspon.01G0017880-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:66732628:66734430:1 gene:Sspon.01G0017880-1A transcript:Sspon.01G0017880-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKDGSGSQDLGHRFWPMLSYACGELCVIILLYVAALASYAATRLARICGLRPPCIMCTMLDRALHGKPWFSGDLVCALHRSEVSSLAHCKSHDQLARSGISAKHACFHAKRAQKHSEAANAVESWNSVPADHPNEKTFVVGIEEVHESDGSPGSHGKSRKDSATSVNVGTAKSNYRSAAPTRIAVDRNGSVKNASVPRVNLTSPRPSEIISARDSNSTTQQEVKALLSQISSVRGLDSSSSEGSPSPGINALNEESNPTSKRPYLERNYSVLEPSDGSLTDDVEGESSLENVKKQLELNKKSMAALYKELEEERSASAVAASQTMAMINRLQEEKAAMQMEALQYLRMMEQQADHDHLAIQDLHDLLTEREKELLDLDAELAHCRRLLQNDPFNGDRLDGTDTLNNTDFVGSAMSHFEDDKAYILESLSRLEENLGISTNRLASGDARNSQEDILFEDHTRADGSPSNSDKLSGVASLKIEISLLNIRLRALEEDQEFLKQVLSSLRCGSDGLQCIQEITSHLAELRRVVTH >Sspon.06G0015590-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:85781545:85783978:1 gene:Sspon.06G0015590-1A transcript:Sspon.06G0015590-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLRHRREGKLQLWVLMVSCCTTAHKETTAEREKIKQYPFTTPARLNAPDEASSSRNRNEAVQTSLLSRRWQNLWASLMWLYADAAKFSSMGTFKNFVDNLVMYRNSLLVPVPLDVFFISAICNNSDDSLEYSDIHPWICHALDSNAWALGILKHSGPKLLSVEGYPFPFTSVICIRGKETCVAIVAYLVSITLDLG >Sspon.01G0032670-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:104289139:104292486:1 gene:Sspon.01G0032670-2B transcript:Sspon.01G0032670-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding KIDTIPAAAFRLDPWALGFCFWQHAVPRHNDSSLTRAPALCPSSLPPPHIPVESADPPMAAAVAAATTAEDEARLLRLEEQAEHGGGGAWEYLCLARRLRARRPAHVLRVGLALLNNASARSGLASEQWTLYEQVAVAAMDCQRLDVAKDCIGVLSKQFPGSTRVARLEALLFEVKGEWTEAERAYALILENNPFDQLVHKRKIAIAKAQGDMSIVVDYLNKYLELYKQAAFCYEELILAQPTIPLYHIAYAEVLYTMGGLENLQTAKKYYASTIQLTGGKNTRALFGVCLCTSAINQLTKGRNKEEEGSELQSLAAEVLLKNYKQQAPSKVPLISTMLKNMKLS >Sspon.08G0006060-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:16339232:16340433:-1 gene:Sspon.08G0006060-2B transcript:Sspon.08G0006060-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHNSIAGNELIKTAKYLATPGKGILASDESTGTIGKRLSSINLENVESNRQALRELLFTAPGVFDYLSGVILFEETLYQKTSDGTPFVDVIRAGGAVPGIKVDKGTVEIAGTNGETTAQGLDSLGARCAKYYEAGARFAKWRAVLKVGPAEPSELAVRQNAEGLARYALICQEFGLVPIVEPEILTDGGHDIKTCAAVTERVLAAVYKSLNDHKVLLEGTLLKTNMVTPAEYTVAALRRTVPPAVPGVVFLSGGQSEEEATQNLDAMNKLEVLKPWTLSFSFGRALQQSTLKKWLGKKENVAAAQATFLVRCKANSEAGLGKYTGSGAGDAAASESLYVKGYKY >Sspon.06G0013670-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:71013200:71016769:-1 gene:Sspon.06G0013670-1A transcript:Sspon.06G0013670-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAAAALRPHKASGARVPTRCVAALCAACFLLGVCVVNRYWADPEHPGCPDKVRVEPSVTDSRSHHVTPQASSVPESIWFNMDGFVIASWCFNRRALDKTISDIEMRLAAARAAQAMSQGMSPGDSEGDQGTARHRMSFVMGLRRLEEKGVVIRFVIGRSANPNMDNEVDRAIDAEDKEYNDILRINHVEGYGGLPMKIQMFLSTALTTWDADFYVKADDNVHVNIGITRSILARHRAKPRVYIGCMKSGPVIAKNDSKYYEPDHWKFGTEGNNYFRHATRQLYAVTRDLATYISANRHILHKYSNEDVSFGSWLIGLEVEHVDERSLCCGTPPDCEWKAQAGNPCAASFDWNCTGICNPVERMTEVHQRCWEGLGAEGHAQIRKR >Sspon.01G0036980-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:19939257:19941593:1 gene:Sspon.01G0036980-1B transcript:Sspon.01G0036980-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LNRLLRSFVRGTSFLPRSDLDSLIETLLPQPSPPSSQPSNLLAVLRLFGSLILFLPYGENAEHVAFVTLDATTVAGSTPLSPVVQRDEFMDPGHHIQQLATTANESSWSSQLGDSPEGFLLVVTMHLPSECVVLLESGELCWFNLDTQQGGKIKIDVGSKDDTREWLSCDYGAQPWMIIVASSKSILLIDLRFGDRGQHKVLARVGMPRRFETDPFAEADQYLAFCRAGFDHFHFSMVTEQYLILLDVRQPLMPALAWQHELESPSNVAMFRLSELRPSKEHEWASNSSFAILNSIIAYYVLPNDLPVFETSFTGFALVCLTALEKLEMQRYHASADSHDDIPCDESQHTDRASNLCNALVKHGSSINKEMGQIIVSEAMSEYAKDNSSSLPQPVSDFLCNVSTPMNIFEISCQRILNGLPSDILHVAFSNYKDMLARSTEKTLCEHLDVPTYLKNTKLRPFLLAKPSSISYNLINKLVPPNALVGPVLPIHVLLALEEKSKDAESSSEGVPIETDSINDRCREVFEAFDPVISIADTDNGNGWPGSQELNEEKSYFSYEPQIEYRFSMDRSTRKEEKEDQNQDDLHTSSTLYEDKIFTTFVCGKAKVLDSPEHAATSLFDFSPVRMDFDIPAMEIQPVKEK >Sspon.08G0001400-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:4852166:4857176:-1 gene:Sspon.08G0001400-1P transcript:Sspon.08G0001400-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSEQSSRFVQELVLYAASAALSCLVLFAGLRHLDPNRAASQKAQQQKKEIAKRLGRPLVSTTPYEDVIACDVINPDSIDVEFDSIGGLDQVKQALYELVILPLRRPELFAFGKLLSPQKGVLLYGPPGTGKTMLAKAIARESGAVFINVRISNLMSKWFGDAQKLVAAVFSLAHKLQPAIIFIDEVDSFLGQRRTTDHEAMTNMKTEFMSLWDGFTTDQNARVMVLAATNRPSELDEAILRRFTQIFEIGIPVQSERSKILQVVLKGENVEPNIDYDHIARLCEGFTGSDILELCKQAAFYPIRELLDNEKNGRQLDKPRPLRQSDLERALSTSRKGKKAASSGLQSPLWVRPTDSEDDQVQNAIFEISKLMSRIVQNSQSEPQEPSSP >Sspon.07G0030740-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:13421527:13426276:-1 gene:Sspon.07G0030740-2D transcript:Sspon.07G0030740-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SHELPDVHANGEIHSIVVLTATLSFPPHHSECVTIGIVTYQPDLDAPRRCHFTFWHPADEVAVYNVVPAAPVGLGLEQEDVMYYRHSFYFLTKGYPASDVGAISHPGRGCNDEQFVPARYLVASHGELLMVVRLAPHHHAPTFSFKVFRITPNEWRLMSTLDLERAVRIGSYKASQYPGLKDDIFFLDDRSFYDDEMMFNGVNERVVVRRAASPCRPLLPGAGNKEAFEFNHKTLGGLSIRDSQGSSSVQILAVGPGLAHCLTEEAWRRTTPTPTSQIQDLKMKHIVEVPRGLECKSIESPYLARQFLPQGYLGQLFGLRHMLSSTFGLFYSELALSVAIPPLSGSGPS >Sspon.01G0039800-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:8844151:8846159:-1 gene:Sspon.01G0039800-2C transcript:Sspon.01G0039800-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALFSTSLSPRFIALSSAKPAAPAATAFLPFRPPLRAVSAPGRRVFEPVAVAVSSEYETEGAEQEEEGAEEFSEDLKLFVGNLPFSVDSAQLAGLFEQAGSVEMVEVVYDRMTGRSRGFGFVTMSSAEEAGAAVEQFNGYTFQGRPLRVNCGPPPPRDEFTPRAPRGGGGGGGSFVDSGNKVYVGNLAWGVDNSTLENLFSEQGQVLDAKVIYDRESGRSRGFGFVTYGTVEEVNNAISNLDGIDLDGRQI >Sspon.03G0017740-4D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3D:42516130:42516892:1 gene:Sspon.03G0017740-4D transcript:Sspon.03G0017740-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Expansin [Source:Projected from Arabidopsis thaliana (AT4G01630) UniProtKB/TrEMBL;Acc:A0A178UWZ2] MLLLLLSLCASRLDGAAAQQYWTPATATFYGGSDASGTMGGSCGYGDLYSAGYGTQTTALSTALYGDGASCGACYLISCDASQTQYCKPGSPSVTVTATNFCPPNYGDPNGWCNSPRQHFDMSQPAWETIGVYQAGIVPVNYRRVSCQRSGGIRFGISGHDYFELVTITNVGGAGAVAAAWIMGTGTDWLTMSRNWGENWQSGAYLTGKALSFKVQTDDGK >Sspon.07G0014650-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:58032245:58033723:-1 gene:Sspon.07G0014650-2B transcript:Sspon.07G0014650-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQQAAHTHPDEAALPTTTPPAVTAAASSSSSSSSRLFTAWLVASWYASNIGVLLLNKYLLSVYGFRFPILLTACHMTACTLLSALVHHHRSSSSSRRGSSSSSSRSRAQLARVAVLGAVFCASVVAGNVSLRHLPVSFNQAVGATTPFFTALLAYAVAGRREACATYAALVPVVAGVVIATGGEPSFHLFGFIMCVAATAGRALKSVLQGILLSSEEEKMDSMDLLRYMAPVAVLLLVPATLAMELDAFGVVADLAREDPSFLWILLCNSCLAYFVNLTNFLVTKHTSALTLQVLGNAKGAVAVVVSILIFRNPVTVVGMLGYGVTVAGVVLYGEAKKRSK >Sspon.02G0027380-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:40112024:40117492:1 gene:Sspon.02G0027380-2C transcript:Sspon.02G0027380-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPLPRFTAAARLPLHYRPEFSTATAPAMAGSQEKPQSQPPAGGVAESIMPHLLNIYGSCATARDFEMYAANATFEDPLMRAHGVKQIKSAFYTLPKVVIENKQHYKVLGKPVDLTSLIRLQVQNGKVVKHEDWWDRKPLKNRETVGLPLVRR >Sspon.02G0039700-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2B:60538450:60540041:-1 gene:Sspon.02G0039700-1B transcript:Sspon.02G0039700-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDDGSSPLKLKASIVHGGTQKRLELGAESSKGDEQLESGVAKSGDNSERWLLWACLRLRGLCCPPRSTDLVGGEREDDGKDLISVLSDSILSEIITRLPTADAVRVPGGILAAHPGPSRRLKVDWPYNDGESYNCWFQSPALIGLQELEIKPVYSSRLEDMRALPRQALFQFAPTLHLLKIGHHTFPSAGAVASLRFLHLELISLCCVDISEGTLHGVLTGYPVLRTLVLDSCTGFATVRINSPTITSFVISPTDSSTTNDYDSYCFDYNLYAFDYENLRVRVMTWQVIIEDAPLLEKL >Sspon.01G0047920-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:108781761:108782260:-1 gene:Sspon.01G0047920-2D transcript:Sspon.01G0047920-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNRSLTKDEVAAFWRQQHGRPPPEPKAGSPRAEILVPLAAAKRRLEATRSLPPLPAGRGSTTTGSNDDLKCCSAYGYRAGDQQLVSSRSEPPSTACGEGFFLPENAAADSSGTIEPRLVLRKLP >Sspon.03G0028740-1T-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3C:13986990:13988114:1 gene:Sspon.03G0028740-1T transcript:Sspon.03G0028740-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRQVQGSHDWDGLLQPLHPLVRDEVARYGELVGACYKVLDVDPSSARYMCCNHPKERVLEEAGVAGAGYEVTRYIYATPDVAVAGGPSTSGRGRPSWVGYVAVSTDEMTRRLGRRDVLVSLRGTVTQAEWAANLMSALEPARLDARPDVKVEAGFLNLYTSSPGGGGMGSCRDQLLREVSRVIKSFSVDRPREDMSVTLAGHSMGTSLAMLLGYDLSQLGLNRDASGRRVPVTVFSFGGPRVGNAAFKDRCDELGVKVLRVANVRDPVTMLPGALFNEGTRGFLASWAAGDRYTHVGVELALDFLSLRDLGSVHDLGAYVSSIKAEAGGKVSESDNAVADSRGATVLAKAMEFVASQRAAAFAWPEAALGIGGV >Sspon.03G0021010-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3A:64762642:64765245:1 gene:Sspon.03G0021010-1A transcript:Sspon.03G0021010-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATAKLQLALSFLGTISILSRFCTAYTPADNYLISCGSSVNTTVGRRVFVADGDSSSGSGSVTLTAPKSAAVKALPDTAASGSDEAAPALYRTARVFTAPSSYSFRISRPGRHFVRLHFFPFACQGYDLAASTFKVSTQDVVLMDGFAPENKTTDGTTSPVRREFLLYVTRDTLVVTFVPLVAGGLAFVNAVEVVSAPDDLIAHPVQTSSDQLLDPAVMPLQTAYRVNVGGPAVAADGDTLWREWTTDERWLVGSAVTREEAYNRSLNYLAGEATRDDAPDVVYATARELTLSSWLDRSKQMTWQFDVDAQPSSYLIRFHLCDIVSRAPHLLRVDVYVDSYTVVKDLDLSTIGNGTLAFPYYRDFILDSSNPSGKMAIYVGSSSVMNISSTTTLQDPILNGIEIMKMHFSSGSVVVVEPTAGSKKQHLAVVLGSICGAFAIVSIAAVLVIFLRKKEEKVLPTSSSSRSQSPTPWMPLLNRLSLRSRRPDASGAGSSKFAVDRDMPGAIPIAASPVPNYRFPFAMLRDATNDFDEGLVIGAGGFGKVYRATLPDGTSVAVKRASPESRQGAREFRTEIELLSGLRHRHLVSLVGYCDEGDEMILLYEYMEHGSLRSRLYGASAATAALGWAQRLEACAGAARGLLYLHTALPKPVIHRDVKSSNILLDGGLAARVADFGLSRAGPELEETHVSTAVKGSFGYVDPEYVRTRKLTTKSDVYSLGVVLLEALCARPVVDPRLPKPMVNLVEWALHWQGRGELDKIVDRRIAAEVRPQALRKYGETAARCLAPRGADRPAMEDVVWSLQFVMRLQDDNGLEFSDVNSLSLVRELMPPLDSRRTSSDQKEAGCEEGEGVTDANFTDVDVSMR >Sspon.03G0014690-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:69165382:69167500:1 gene:Sspon.03G0014690-2B transcript:Sspon.03G0014690-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEEALGDTELLPLGVDEMSMQYSPMSEESDDYRYYDTQVNTNGNQTDVPGNSSTSPASSPHRPQKLHTWFSSACPYPLPSCSLPAVVCSPARRGCGTEQEGRIPSSPNDMCHGGDLRRAALLRSVQMRVQSPHPCDVLPSSGHEQEQGRSDLHADELEQDQKEAVGVQLDQRPLSCPKSTQDAMYQSPINPDQHPHHDVDFVEDQITDNLRRLGYKIAATIQANKTHES >Sspon.04G0001850-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:6481320:6483002:-1 gene:Sspon.04G0001850-2C transcript:Sspon.04G0001850-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLLFVPNKTLAPPSPAPIPRARHRLASRPPSAAAFFRGLFPTKPPAAKADLLRLIADQGRGLETQSDPSRLADIVSCIDALAAVSPGADTVSDVAKLSGTWRLLWTTEQEQLFIVRNAPFFRTAAGDVLQVIDVPGGALNNARSSSMAKSRSSRPSESISGRFTRAILRGNKWGVPFPPFGKGWFDTVYLDDDIRVAKDIRGDYLVVEGAPYSWNG >Sspon.06G0006410-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:22035253:22038246:-1 gene:Sspon.06G0006410-4D transcript:Sspon.06G0006410-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYLLPHLHSGWAVDQAILAEEERLVIIRFGHDWDETCMQMDEVLAAVAETIKNFAVIYLVDITEVPDFNTMYELYDPSTVMFFFRNKHIMIDLGTGNNNKINWALKDKQEFIDIVETVYRGARKGRGLVIAPKDYSTKYRY >Sspon.03G0021790-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:40380329:40385403:1 gene:Sspon.03G0021790-1P transcript:Sspon.03G0021790-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLGVMMSRRSKWRAVATAPLMACAVLVLCVGCAVAVDEQGAGLLAWKATLRGGDALADWKPTDASPCRWTGVTCNADGGVTELSLQHVDLFGGVPANLTALGSTLTRLVLTGANLTGPIPPELGELPALAHLDLSNNALTGPIPAGLCRPGSKLETLYLNSNRLEGALPDAIGNLTSLRELIIYDNQLAGKIPAAIGRMANLEVLRRAPYRDRQLLPAHHDRLAETSITGPLPASLGRLKNLTTLAIYTALLSGPIPPELGQCTSLENIYLYENALSGNLTDLELDNNQLTGSIPAVLGGLPSLRMLYLWANQLTGTIPPELGRCTSLEALDLSNNALTGPIPRSLFALPRLSKLLLINNNLSGELPPEIGNCTSLVRFRASGNHIAGAIPTEIGKLGNLSFLDLGSNRLSGSLPAEISGCRNLTFVDLHDNAISGELPPGLFQDLLSLQYLDLSYNVIGGTLPSDIGMLTSLTKLILSGNRLSGSVPPEIGSCSRLQLLDVGGNSLSGKIPGSIGKIPGLEIALNLSCNSFTGTVPAEFAGLVRLGVLDVSHNQLSGDLQTLSGLQNLVALNISFNGFTGRLPETAFFAKLPTSDVEGNPALCLSRCAGDAGDHERDARHAARVAMAVLLSALVVLLVSAALVLVGRHRRAARAGGEKDGEMSPPWNVTLYQKLEIGVADVARSLTPANVIGQGWSGSVYRASLPSSGVTVAVKKFRSCDEASAEAFACERAPRVRHRNVVRLLGWAANRRTRLLFYDYLPNGTLGDLLHGGGGTAGAAVVEWEVRLAIAVGVAEGLAYLHHDCVPGIIHRDVKAENILLGERYEACVADFGLARFADEGASSSPPPFAGSYGYIAPEYGCMTKITTKSDVYSFGVVLLEMITGRRPLDHSFGEGQSVVQWVRDHLCRKREPMEIIDARLQGRPDTQVQEMLQALGIALLCASPRPEDRPMMKDVAALLRGIQHDDSSIEARKAGGAEAEAGAGARKWADPKQPISPTKLMASRNQPRPGQVQGLRACSRTGSEMIWTDWAHCHCVMITGGHEFETQNA >Sspon.04G0014260-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:57167068:57175231:-1 gene:Sspon.04G0014260-3C transcript:Sspon.04G0014260-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNLVSVAPCAPAFPALMTPAAGSHRRFHTNAAASKSGLACRVARSGGGGGGARWLPVSCAAGGGAVGDAFVTEDTTNVKFPRELTVPGYTYPLVAVGTGYRDKFFVKVYAAAFYVDHSLRLDTEQWKEKIGIESFDAPVVKSLSIILVRAVDGKTFVNALNDVIARQIKNPNAEENLPCQPCRIPFLGAISNREQAYT >Sspon.04G0023020-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:16750221:16754192:-1 gene:Sspon.04G0023020-2P transcript:Sspon.04G0023020-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQSGAGHRVEVEPDPFLDGDDSGTEEEQAAFMAELERFHREHSLEFKPPKFYGKGLNCLKLWRQVAHLGGHEQVTICKLWRQVGETFRPPKTCTTVSWSFRIFYEKALLEYEKHKVRTGQLQIPLPGLPPPSGADREVVVNQSSSARVRRDAAARAMQGWHAHRLLANGTHGDNILKDKDSIPLSSRDKNLKGFGVLKRKKASSPEYALKSSRSKINKSQLRLPMLYDSFSGVVIPVLVCNGMHNFREDSMVIDVGEPADWVKINVRQTKDCFEVYALVPGLLREEVHVQSDPAGRLVITGDPEQPDNPWGITPFKKVVVNLPSRIDPHQTSAVVTLHGQLFVRAPFGHPDIIITAT >Sspon.04G0026940-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:58093495:58097774:1 gene:Sspon.04G0026940-3D transcript:Sspon.04G0026940-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVILSSAAPRTLTPPARPRRQPRTSGLRGGLAARLSGALGLAHAGAGAALAAPLSYEEALRLSSDSGGDGAGFALPDLDLNLNLDGLVDFVNENPLVVAAGVAAVALPLLLAQILGGGGSSKPYAVVSARAAYQRLLEEPDAQLVDIRPLKDAREAGTPDLKEAKKKAAAVPYNGEDKNGFLKKLTLRFKDPENTTLVILDKFDGNSGLVAELVTTNGYKAAFVVKDGAEGSRGWKSSNLPWKAPAKGFSFDLGELFGDGSDGLPVTIGLAAATGLGVLAYTEIETLLQFLGSAAVVQLVVTKLLYAEDRQKTLKQIDEFFNKKVAPKELVDEIKEIGQALLPLPGDAKCQPATATPVAATPTAAPTEAAPAAATPTPLSPYTNYPDLKPPSPPGSTVTEGPAVVNSAPVADASTKSSPPPTPRPQSPYPNYPDFKPPSSPSPSPP >Sspon.04G0000570-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:2158903:2173348:1 gene:Sspon.04G0000570-2C transcript:Sspon.04G0000570-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGGGAGPTAAFISGEPPPAAAEEVEENSGAEEEDEEVVVGQDDDELELGLCLGSKKQQPAPSPAPCRILTARDLQPGSLSPDSSVSSSSPAAGAGTAAPSKRAKADAAPNATTSPGTVASGHPQSFGVVGWPPIRTFRMNSLFNQAKENASAAGTKKPAVESDMQEDKEESKKGRVVGWVKVNMEGDVIGRKVDLNAHRSYKSLASALELMFMKPSIGLCTSSSSKSLKLLDNSSEYQLTYEDRDGDWMLVGDVPWEFSEEAQDHEDLRCQRSRSAIPRGSQTYSNLHKRQDLKTTDDERRRSGAHGGVHLRRAASSRREEVEENSGAEEEDEEVVVGQDDDELELGLASAPRSSSSSRAVPARAGSYGPGPAAGVPVAGFLRVLLLPRGRRSKRAKADAAPNATTSPGTVASGHPQSFGVVGWPPIRTFRMNSLFNQAKENASAAGTKKPAVESDMQEDKEESKKGRVVGWVKVNMEGDVIGRKVDLNAHRSYKTLASALELMFMKPSIGLCTSSSSKSLKLLDNSSEYQLTYEDRDGDWMLVGDVPWEFSEEAQDHEDLRCQRSRSAIPRGSQTYSNLHKRQDLKTTDVSVLIAVVCNATCNANHPDVWGTKCAVLLMAHFAFVRGCA >Sspon.07G0009020-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:31800:38685:-1 gene:Sspon.07G0009020-1P transcript:Sspon.07G0009020-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAASKKLFQTARSLFLSASQRSSAAAEGRTAALATLTNFGRKTLPTAYSYHRQGSHHAASGWGAIAAAVPAAVYMLQDQEAHAAETERTFIAIKPDGVQRGLISEIVNRFERKGYKLVAIKLIVPSKEFAQKHYHDLKERPFFDGLCDFLSSGPVLAMVWEGEGVIKYGRKLIGATDPQKSEPGTIRGDLAVVVGRNIIHGSDGPETAKDEIALWFEPKELVSYSSNAEKWIYGVN >Sspon.02G0041850-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2B:78768010:78770727:1 gene:Sspon.02G0041850-1B transcript:Sspon.02G0041850-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPAKAPSTAPDLRRRKPAGALADHHQRMDPPNHRTDLAVHRAERTPDEGKGIGPWRRKEKTIESLNVKTFQGTAKLTGIVLCLAGVIELAFYQGPELKSFNHHRLLHHISNSHAEVTAHAGPMLDAYPSKLLNTTLQVVFATTQSFFIALAVERDFSRWKLKLDLSLIAVLYSETSRLHDYY >Sspon.03G0018190-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:43149197:43154012:1 gene:Sspon.03G0018190-3D transcript:Sspon.03G0018190-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding TMALLCFLLDLRNIPPPLLHRLKQCLLDLANLYAATTSQPHAGAASPAALPDRLALGFVHAPSSKPSSSCSSSWPQLKIGYRPGEKFSLRDFHHAVNNIPLDGFLADQHGGSLLTADVSLTNLFSNKAIYSWATDDISKKVIAICMSAQNTEALRRSLQDAAEQCVAVDFIMLGAEAAFMYGGASENANSFVNRICDLENCVVRRYNPETQVLHGLVKRWLEELKDDKEDTLQAVFVFRDPIIDSVKHIYCNLYASTNQITVASSTAMLTQNTLTEQACKCHGHPIDLVTPNKAKTCPTTNRQLAASDVIDTVVRIGEQTVLFLPTSEGSANLRRVSTSISFDVIERTELASMNEGTHDCFLFRYKYQGLVCSSSCNIETMKIGTLQCYYLLQPSEKGPMLLQRLAGSEEILPLPDMTRDCSSKITMEIKNSIETSLSQIMLKDYNPLHHERGFHSELNRLVKDSLQFRSIAPSCAPKDPHNVGSFSEPQVPTCQDLEDSMFLDQPEDAAGGLNDLLHSFSESQTSTFITPSKNKLSIQSKKGKASPSISEEWEKLIIIDDLDDDFSSPAHPRPAVDKPPRPKPPSLVKPLDEKTSRILERLEPPRAKKQRANISKASTGAAMAPASKQIKKPLLPREPSASQPLRPSFNRLRRKLPT >Sspon.01G0044470-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1B:83059503:83060081:-1 gene:Sspon.01G0044470-1B transcript:Sspon.01G0044470-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPRMFPSGSDDRTDASDAGRRLYNPYQDLNMPYSYRTLYDLPTTPEFLFKEEAQAQRRSWGENLTFYTGVGYLSGAVGGAALGLRDAARGAEPGETAKIRANRVLNACGSSGRRVGNTLGVIGLMYAGIESAMVAARDRDDWINSVAAGLGTGALFRAANGPRSAAVAGALGGILAAAAMGGKQLAKRYVN >Sspon.08G0016610-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:65403462:65407180:1 gene:Sspon.08G0016610-1A transcript:Sspon.08G0016610-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKITSPPTDSEIALALRVLEGCCLLYSRCTALAHKYKAVKVLLNILASRGPAEQGVCLDTLISLMLDSPSNQMDFEEYSGLEKVAELLKDVQIEMRGVPIVAHWTLCITYMGSKPVWLHLGCRAKADDLANTSNESCGILGTLLGLIF >Sspon.04G0036730-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4D:45869311:45873665:1 gene:Sspon.04G0036730-1D transcript:Sspon.04G0036730-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGKKALGGKKTPSRPPSVLSDPVATPCLRPTSKTMAPGKKMLGGKNTPSRPPTVLPNHAAAMEIPEEEDRDLSSLRARNILRNNQFAHRLGVRRLAELLKSSVAKKKVVGKRQDVGNTGDCVKAVTGRTSKRVRAPENLEETMRCTRQRAARQVSTTSLIEATEAGLEPDLSANQIQGDTNNTEQGTLTGNTQVVPRGKSMGKEIDSISRGLGTMIPIIVKEGKRRPEAPMQAAKLASESGIIIRQHIPIYPNWTKYKNDGHQLENFKGKLKKQEKYKDSEPTAIDLFEELHCRKLKDDMHAREALASLSVEDGQHAKTSIEAVFKVLPKSNTFLRNVGIQQPATKTTNVMKELQAELDAKKLESARLEQELERLKAHTQESDAK >Sspon.03G0042660-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:71474100:71474351:1 gene:Sspon.03G0042660-1T transcript:Sspon.03G0042660-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RRRWGEVRAPFLRPCSGARRSRAPAGGRRKQRRRRSGATTGQGCGRAMTTTTMARTGTPTATSTGGPLSTSRGCTAECWPPANR >Sspon.04G0001180-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:4438635:4441496:1 gene:Sspon.04G0001180-1A transcript:Sspon.04G0001180-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVTRTHIVRGDSLEGMLGDYGGGKGAGKPTRSGARNTSRLVASLTCLQFAFAIYATFLLYYMSPTVDLRVNVKPDLAWATRIAQHWKQIITTQPGAGALSPEEVCEHESIDFEQKKSTDEVMIRLKRELYDEVLAFQRRSFGAETLPELLRMRSRWSASGPNVPRVTVILNHFKRRTLCAQLEQLRRQTLPFHRAWVLPNEASLRRIVESYNDSRVSFVASGYDFKYYGRFQMALQSESDFVYVLDDDMIPGARMLEILCHVAGTDKYASAVLGSIGRILPFRQKDFTFPSYRKFRSKEAGLYLPDPAYDITVDRIVQVDFLSSSWFLPADLVKTLFIETPFTFMTGEDLHLSYQLQKYMGAGSFVLPVDPNDKETWGDSEHRLAYVSETTVIFKDIVQVRDEQWWRALTSGYVTQWAAMHPQKVDALFYAHSLGEVRALAPLLERFRTTPGRKAYLVVSGGGHCPCEEAATVLKWPKVVCRDRRFKIFDLGIGALSGPSRSEVPVLQAVYASMRGIVQMHNPSVVVALADIDAKVKEALRMAADTAAVNRTALVLLPRNSVSKVLWMATLKPASLPNWNRMRISVNIITQNRAKSLLRLLTSLRSAYYLGDEVPISFNMDSRVDAATLKVVSSFDWPHGPKTLRRRIIQGGLIRAVSESWYPSSDDDYGLLLEDDIEVSPYYYLWIKYALLAYHYDPGVSLPELSSISLYTPRLVEVVKERPKWNATEFFKKVHPNTPYLHQLPCSWGAVFFPKQWREFYAYMAARFTEDAKQNPVQIPRSRTNGWQASWKKFLIDMMYLRGYVSLYPNFPNQTSFSTNHMEPGAHISAKGNVLKHDKMDFVVPLVADDFSALLPAGKMPPASKLPVINLFNQAVSLKGLKAAGAKLGQDVLECAAKELVAVDHITGLPTNCTAF >Sspon.02G0027120-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:95779466:95785341:-1 gene:Sspon.02G0027120-2B transcript:Sspon.02G0027120-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin response factor 2 [Source:Projected from Arabidopsis thaliana (AT5G62000) UniProtKB/Swiss-Prot;Acc:Q94JM3] MAAAGGGGAEAGGGGKDALFVELWKACAGPLSSVPPLGEKVYYFPQGHIEQVEASTNQLAEQQGTPLYNLPWKIPCKLMNIELKAEPDTDEVYAQLTLLPDKKQDENTSTTVENEEAEEEVVPHAPPATNEGPRIHSFCKTLTASDTSTHGGFSVLRRHADECLPPLDMSQHPPNQELVAKDLHGVEWRFRHIFRGQPRRHLLQSGWSVFVSAKRLVAGDAFIFIRTSPAEFVVSRDRYYESLKRNYSIGMRFKMRFEGEEAAEQRFTGTIVGIGASDPSGWADSKWRSLKVRWDEASSVPRPERVSPWQIEPAVSPSPVNPLPVRFKRSRSSVNASPSDVSTVTREVASKVMAESQQNNLPRALHNQGRTQLTGRYRDSSDVKTAQDLTMWSSGTEQQRNNIAAQTKRSLEGWTQSRTPEGYNQLFSAFQPLKDAHNPLSPFPSQISGTRSNTWDTANARYPAQQANHNMLPGPWSFMPHSSDFRMNQQNYLVMPEAAKFTGKSAFTSLQGHGTDQCSTGWFGHIESSSRTGHASSSLIRPQPLVIGNDVQKTKGTSFKLFGIPLGSPEKCEPLVSPPSVAYDGKLQTSPSEKGNQLDIVEVDICSDPSKTVKPLDGPQSDSITENNEPCPEATLNIQNKVQSSSTRSCKKVHKQGSALGRSIDLTKFTCYDELIAELDQMFDFDGELKSPCKNWLVVYTDNEGDIMLVGDDPWNEFCDMVHKIFIYTREEVERMNPGALNSRSEDSLSDSQGRGLTSKEPRGLLKW >Sspon.02G0027360-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:122901934:122907884:-1 gene:Sspon.02G0027360-3C transcript:Sspon.02G0027360-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMSISGGCVGGDSGTLLAPSASSLRPPLRRAAGPKWFDSSISHGLPKLVKSGHSCTFPHGASINHNRLCVEHQRCSRSSTQTYALSRKDFSPITQDVESFLLNVVNMGFLDRLKLAWKIMFPAPTIKDTSNANIAKQRLKMILFSDRCEVSDEAKKKIVEHVIEALSEFVEIESRDNVQVDISTDAGLGTVYSVTVPVRRVKPEYQESEEQYRGKIVGVDFKDTGESSGNVDVTFDFFKRLRSTSSGLMFKKAMRLLVTADRKQNTKAEDR >Sspon.01G0031520-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:108583021:108585186:-1 gene:Sspon.01G0031520-3D transcript:Sspon.01G0031520-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASWPAASRRQSSSSSRKSAELSGPGASYGHGRAASSPDAYGYGRQLSSYSTRSSQVSTSGSFSAAAKRVAGAFTSCFVPRRQVTTEEEEEKKKSRRSECHVSIDSGIGAVLFPLSPLRLFFSSSRFISIPWNPIMDEMKQTSLLKISTKQALNFRIMKSERVETWQISSAAGSWQEGRALTIADVSKATSNFSEKNMIRQGRSSTMYRGKLKDGSQIAVKSLLFCLVLTAELWRELETLEKIEHRNLARLFGFFERTVDSLVVAEYVSNGSLREHLDEHPVIHGGIRSSGVLLTDALTAKALQRCRAGEAVVAMDPRMRRSPASVAAVERMLALAAQCVATARDDRPSMRRCSELLWAIRRDYHRQDETRCAAVAEERSDEWVVR >Sspon.08G0008080-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:29330979:29332050:1 gene:Sspon.08G0008080-1A transcript:Sspon.08G0008080-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSRTCSWLVPLILSILLACTANGDHLKVGYYDKTCPDVQQIVHSVMASRVDADQSMAPAVLRLFFHDCFVDDSRGANRTGAEYGLPAPNSTLAELIDLFKQYDLDARDMVALSGAHTIGTARCHHYRNRVYGYNGEGGADIDPSFAELRRQTCQSTYDAPAPFDEQTPMRFDNAYYRDLVARRGLLTSDQALYGCGGPLDHLVEMYSTDGEAFAKDFARAMVKMGKIPPPPEMQVEVRLSCSKIN >Sspon.05G0011250-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:31986688:31993269:1 gene:Sspon.05G0011250-4D transcript:Sspon.05G0011250-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine protein kinase, Dehydration-inducible SNF1-related protein kinase 2, Hyperosmotic stress response, Abscisic acid (ABA) signalin [Source: Projected from Oryza sativa (Os02g0551100)] MERYELLKDIGSGNFGVARLMRNKDTKELVAMKYIPRGLKIDENVAREIINHRSLRHPNIIRFKEARYFFQQLICGVSYCHFMQICHRDLKLENTLLDGNPAPRLKICDFGYSKRIVSIQYQIPEYVHISQDCRQLLARIFVANPAKRITIREIRNHPWFLKNLPRELTEAAQAKYYKKDNSAPTFSDQTVEEIMKIVEEARTPPQSSTPVAGFGWAEEEEQEDGKKLEDDEQDGEDEEYDCEDEYDKQRPDGYLRSPCSVKLTHPRVAGLPPERKVNTEQAGGLVHAVATLGDHKLPQEL >Sspon.04G0006330-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:9676710:9678483:1 gene:Sspon.04G0006330-2P transcript:Sspon.04G0006330-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LAPSVSYYDYIIVGGGTAGCPLAATLSERFRVLLLERGGSPYDDERIGDMARFADTLSDTSPGSPAQRFVSEDGVINSRPRVLGGGSCINAGFYTRASDDYVRDAGWDLEATGAAYRWVEDVVAFQPELGPWQAALQSGLLEAGVAPDNGFTFDHLDGTKVGGSIFDADGRRHTAADLLRYARAEGLDVLLRARVAKILFINVRDHPLGGRHGQPQLLMLSGVGPADHLSSFGISIVHDQPGVGQGMSDNPMNAIYVPSPSAVEISLIQVVGITQVGSYIEGASGSNWGVRPSASGGVDRPRNFGMFSPQTGQLATVPPKQRTPEAIARAAESMRQLDDSAFRGGFILEKVLGPLSTGHLELRNRDPDDNPSVTFNYFSHPEDLRRCVAGLSVIERVIHSKAFENFTYSYFSMETLLNMSTGFPVNLLPRHDSDSTSLEMFCKDTVMTIWHYHGGCQVGRVVDAEYRVLGVDALRVIDGSTFNASPGTNPQATVMMLG >Sspon.01G0051030-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:10723438:10727445:1 gene:Sspon.01G0051030-1C transcript:Sspon.01G0051030-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Omega-amidase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G12040) UniProtKB/Swiss-Prot;Acc:Q8RUF8] MRAAAAATSTAAALLAPGLKLCAGRARVSCPYRLPLHRVAAMASAPNSSFRPEEARSPPALELPTPPLSKFKVALCQLSVTADKRRNIAHARAAIEKAASDGAKLVLLPEIWNGPYSNDSFPEYAEDIEAGGDAAPSFSMMSEVARSLQITLVGGSIAERSGNNLYNTCCVFGSDGQLKGKHRKIHLFDIDIPGKITFKESKTLTAGQSPTVVDTDVGRIGIGICYDIRFQELAMLYAARGAHLLCYPGAFNMTTGPLHWELLQRARAADNQLFVATCGPARDTSAGYVAWGHSTLVGPFGEVIATTEHEEVTVIADIDYSLIEQRRQFLPLQHQRRGDLYQLVDVQRLGSQ >Sspon.02G0020210-4P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6D:40740128:40740568:1 gene:Sspon.02G0020210-4P transcript:Sspon.02G0020210-4P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDDGSLGIRNWGFYDTVKGNLGLQLMSSVPPDRDTKSLLPNGAFLQHHGHHNAPHQLHMQHPQHSRGPLGVEPLLACLPSRNPFTWTSRAMKLGCTSCTTNVLLSRRSSTLALSDQLGMLGIQAMVAILRMVDILCVTILLAMG >Sspon.07G0006490-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7A:16861644:16861931:1 gene:Sspon.07G0006490-1A transcript:Sspon.07G0006490-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLIPFIYRAIVQYKKEGQVSVGDLFFDEPSPMSYFHLPGDSGRYQQVTTTTSDLFSQTTATSSGAVGVARRSPVRCPSHRRRPASGVPTTVTS >Sspon.02G0027750-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:121361749:121368899:-1 gene:Sspon.02G0027750-2C transcript:Sspon.02G0027750-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFFCNASDALDKLRYLSVMDPELLKDGPQLDIRIQTDKDNGIITITDSGIGMTKQELIDSLGTIASSGTAKFLKALKESQEAGMDSNLIGQFGVGFYSAFLVSEKRDDKGFAHPERIQKLLKNYSQFVSFPIYTWQEKGFTKEVEVDEDPAEAKKEGDDDTKTEPKKKTKTVIEKYWDWELANETQPIWLRNPKEVSTEEYNEFYKKTFNEYLDPLASSHFTTEGEVEFRSILFVPATRKDDITDSRKTKNIRLYVKRVFISDDFDGELFPRYLSFVKGVVDSNDLPLNVSREILQESRIVRIMRKRLVRKAFDMILGISCSENRDDYERFWENYGKFLKLGAMEDKENHKRIAPLLRFFSSQSNDELISLDEYVENMKPEQKDIYFIAADSLSSAKNAPFLERLTEKEYEVLLLVDPMDEVAIQNLSSYKDKKFVDISKEDLDLGDNNEEREEIKQEFSQTCDWIKNRLGDKVARVDISNRLRSSPCVLVAAKFGWSANMERLMRAQSMGDTSSLDFMRSRKVFEINPEHEIIKALNAACRNNPDDPEALKALDVLFETAMISSGFSPDNPAELSGKIYEMMTSAIAGKWSSQAQAQPADPILQHAAPVMSDEPLEAEVVESEPVEAGQQK >Sspon.02G0017500-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:50802895:50804238:1 gene:Sspon.02G0017500-1A transcript:Sspon.02G0017500-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RIMTKRFEMSMMGELKFFLGFQIKQVKNGTFISQTKYTHDMLKKFDMAKAKPIKTPMPTNGHLDLNEGKAMDIKLYVVVDFIFGLTVTERQCHSGER >Sspon.07G0016040-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7A:57078744:57079537:1 gene:Sspon.07G0016040-1A transcript:Sspon.07G0016040-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding IVLDSGDGVSHTVPIYEGYALPHAILRLDLAGRDLTDSLMKILTERGYSFTTSAEREIVRDIKEKLAYIALDYEQELETAKNSSSVEKSYELPDGQVITIGAERFRCPEVLFQPSMIGMEAAGIHETTYNSIMKCDVDIRKDLYGNIVLSGGSTMFPGIADRMSKEITSLAPSSMKIKVVAPPERKYSVWIGGSILASLSTFQQMWISKEEYDESGPAIVHRKCF >Sspon.01G0043520-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:70355862:70359853:1 gene:Sspon.01G0043520-2C transcript:Sspon.01G0043520-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Organic cation/carnitine transporter 7 [Source:Projected from Arabidopsis thaliana (AT3G13050) UniProtKB/Swiss-Prot;Acc:Q940M4] MVFPVKARAPFRISFPNPSGTDYGAKSRRNEKAQRSPGSSSVADARIRGPSFLHRPRIHSCTCGIRRLSQIQETHSGRGAGWAREDLTRRARTEARMEEGQSASYTVDDALLSSGFGRFQILILSYAGIGLIAEAMEMMLLSFVGPSVQLEWKLTSHQESMITSVVFVGMLIGAYSWGVVSDNYGRRQVQSFTYVMRGFLFTAIVTSGAGFLSAFAPNYVSLISLRFLVGIGLGGGPVLGSWFLEFVPAPTRGTWMVVFSAFWTVGTILEASLAWTVMPKFGWRWLLALSAIPSFLLLLFYAITPESPRFLCMKGRTAEAVDVLEKMARLNNVQLPSGRLVSDKNIELDEVSGSSESTTLLSGAEESDNLIEDQGSDFGGIKSVGKLLAPKLIRATLLLWMAFFGNAFAYYGIVLLTSELSNGNRICAKEDVESVNSTNASLYKNVFISSFAEIPGSFLSAMIVDRFGRKLSMASMLFTSCVFLFPLVFSRTDILTRISLFGARLCISASFTIVYIYAPEIYPTAVRTTGIGIASSVGRIGGILCPLVAVALVHSCQQTTAILLFELVIFLSGLAVSFFPFETKGCRLNDTEVDMN >Sspon.03G0019600-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:83374314:83375959:1 gene:Sspon.03G0019600-2B transcript:Sspon.03G0019600-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLNHQQVLIKKVLAKKTNTKRMSGFGLKPSAALLKSRPQQQQPPAPVQPRRRVRVLFEDPDATDSDSDDEEAGAPTGPAANTKRFSFEAATPTASSSSSTSTASFAHPSPSSVIESTKAAAPNPQSPELVATPTPPVVLPLPSTESAQVPDDPEFYQDLLRGLQLPDIDPMDFRAGLDALDVSDAAFCLDDEQDLLLGDFGDEELDIDLDLDDINDVFPELPGCDLGRGMDDFLQTVDFCV >Sspon.01G0049250-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:95340508:95342859:-1 gene:Sspon.01G0049250-1P transcript:Sspon.01G0049250-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKASAASRYAAYDSPSPSPSPSPRRAAPSAAAATQGGAHGSSRALVVAGRSGRDLLGAKPQPQAHGNLGSVLRRLISMDKKPPSSKNQLPVPPAAAAAAAAAAKNNGGGKLPGLSRKLFQKASSTEAAAPRKTKALTDVKNGGNNANTRTLGMVLRSERELLAQTKAQEDEIAALRLQLENKDREVERLKDLCLRQREEIRTLKDAVLFPDAEPEPEPDRRLRDEISTLTDQIQCLAQELAQVKAEKHSVRSCFDEDGYCSSPRTPGFNEETAFSLECSIGEAETPNYGSQDEMFSKDLNPCLTPCIAKSKSDVSAQFQSSSQFTKESSGSHRSSGKARGDCSYGSFGRPMSKSSDHHKPTSGTNNKRRVYKSDQDKINQNLF >Sspon.02G0012330-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:32537405:32538238:-1 gene:Sspon.02G0012330-1A transcript:Sspon.02G0012330-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding NAPPPPPRRAPPPPPLHRPRGHHHHNGGRGEDSRGSGAAGASERDGGDGQGRVQGVRGPDRGVPGRVVDVPVGRGGRPDGVLPLRRRRAGVPAQVQEPQRGRQGGAAAVPRRAHALLAGEPQVQQRAHEHAGHRRRRPELQLHGAEPGRRRHHQDGRRRRRARKGQVHGGRQGPARHLRHQRRGGARGALQARAGADACARARPRCRGRAHQGREGRRAPAPRAARRGRRARPRRGRQRGSCGPEGRQEERGQRRAVRPVVARRRAGGGRGRDLSIGL >Sspon.02G0001780-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:5865643:5867527:1 gene:Sspon.02G0001780-3C transcript:Sspon.02G0001780-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVTYIDDSHAEVIDPPKNEEMLDVTELVGDHIQHSPKPNVASCGNVRELLECPVCLSAMYPPIHQCSNGHTLCSGCKPRVHNRCPTCRHELGNIRCLALEKVAASLELPCKYQNFGCFGIYPYYCKLKHESQCQYRPYTCPYAGSECTVAGDIPYLVNHLKDDHKVDMHNGSTFNHRYVKSNPHEVENATWMLTVFSCFGQYFCLHFEAFQLGMAPVYIAFLRFMGDDAEAKNYSYSLEVGGSGRKMTWQGVPRSIRDSHRKVRDSYDGLIIQRNMALFFSGGDRKELKLRVTGRIWKEQ >Sspon.03G0036290-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:89131425:89133018:1 gene:Sspon.03G0036290-1B transcript:Sspon.03G0036290-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVELGLTLRTLPVQLLSVSLAASVLYLLHRSHGRKNPKKSSGKASRPPLPPGPPPPVFLAKLLSLMRRPRFDIGPFLRELHARHGPVITLRLLTTTVFVADRRLAHRVLVRDGAAFADRPPLVEPNPLFNAGNINSAPYGPYWRLVRRNLAAETLHRDRLGLFAPARRWACDALVASLLRHRDASESVGVVTARPFLQRAMSELLTYMCFGERLPPDVLDEIDKLERHALRTATSFPVFAIFPSVTKRLFRKRWAAHVAVRRRQEEVYASLIHATTAIAVANDNQPPCYARSLLALRVPDDRNRPLTDAEMVSLCSEFLNAGTDTTVTLLEWIMAELVNHPDVQAKVYEEVTRAKRELDDAALPYLKAVVLESLRLHSPGHYLVPHAVRSDAEIGGYTVPKGAEVNFMVAEIGRDESVWTAAREFRPERFLDGGEGNGVDITGSREIKMMPFGAGRRMCPGYALGMHHAEFFVGSLVRELVWLPAAEGETVNMEETVDFTTVMKYPLRARIIPRNKSSSPRTKI >Sspon.04G0002970-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:47219704:47222641:1 gene:Sspon.04G0002970-3D transcript:Sspon.04G0002970-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATHKHCVLLLLMALAAAMATPSTATRKLMFLVQPQPNLLTYHNGAVLSGDIPVSILWYGRFTPAQKALVSDFLLSLSSAALQGPSSSPAPSVAQWWSNINQLYLSKAAAISKNGAQGGAKQKNARVVLSGQVSDEGCSLGKSLKLSQLPALAAAARPGPAKGQDSGVALVLTARDVAVEGFCMSRCGHHGSYSYEDARSRPRAAVAAYAWVGNPADQCPGQCAWPFHQPAYGPQAPPPLVPPSGDAAMDGVVINVASMVAGAVTNPFGDGFYQGDRGAPLEAATACAGVYGKGAYPGYAGQLLVDAATGASYNAHGAHGRKYLLDPGAVRPRHVGVLHAGPAHVFLVKPDPIVLRDHGGALLTGNNLTVNLLFYGRFTPAQRAIVVDFVRSLSAAAAPRPGPGAPPSVASWWHTTSLYRGGGGGARLLLGRQILVDERMSLGRGRPLSLGNVTALAQAAGHHRGAITAVLTAADVPVASFCVSRCGVHDHDRGGAHGRAWYTYLWAGNPAQQCPGQCAWPFHRPTYGLQTPPLVPPNGDAGVDGMVISLAALLAGTVTNPYGDGYYQGDAAAGLEAATACAGIFGSGAYPGYPGKLLTDPTTGASYNTVGLGGRKYLLPALWDPTTSQCKTLV >Sspon.04G0027730-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4B:61325941:61326168:1 gene:Sspon.04G0027730-1B transcript:Sspon.04G0027730-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFAKQDDWTRTEPPVRHRRLGAEQATEEAVTPTAGMVRHRCGSSRDSTRWGVCGVALWALALPRGGRRAGPESN >Sspon.05G0009220-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:22142901:22149708:-1 gene:Sspon.05G0009220-2B transcript:Sspon.05G0009220-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding EYVVVLLRNGRRKDEAAKELQRASHPAVGAPCRLLQFAVRDAVRTVQPVTSSSEPASKRLRSVVSTLASDSTLDITHIKLQKTNSDLRIPGATAALRAAAEAAEDALKDSFSGSVFSRLGRMPTINSTEQAPARREQDLEGKEYENIDNIQAENQVEFYERNEHGGSDVYMRDRRTEEATGSVPNIDEYDHNSAVRCNGLGSRRSALPASGGKESLVLGYARGASEVRSRRLIVQGPHAGSGRRPSEKILNVSGNTNTQKLPTHATRDAIAFDPQVPMEKVADARKSHVKIAHANDISMMTDKSKDLIQPSSMLEAQKASSGAAGSNTTGQPEGGPDSRTVFVTNVHFGASKDALSRHFNKFGAVVRKSSTEATQLPGLARASWGSPFASRLIRTAYPRPMFPGAIRGRLPLRGVARSLQWKRDAADSTDAGKPSQTAPAAPGNQSITPTTRSFTYTRTEPKPNDGAMA >Sspon.05G0018580-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:80090875:80092411:-1 gene:Sspon.05G0018580-2B transcript:Sspon.05G0018580-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVTTTSVAASTKKMSRGAGKKKPSRSSSSWVARSMGFYYPSSTSSKDRAQPAPAAATDSKNGNGDKQSNGSSTGKKKRSISSIGRSMTCAGSSICSTKESSVMSRDHDRGAGRSASSRSLRAPDVDVVDAVYAAAISATSSFNSETTAATTVTSSSSPLSSALSSPLSSVASSFRGVQIRKLSGCYECHSVFDPRSFAAASAAFPCADCDEVFNKAESLELHRATRHAVSELGPQDTSRNIVEIIFQSSWRRKQTPVCRIERILKVQSSDRTVKRFEQYKESIKETASSDQGQGKKNPRCVADGNELLRFHCSTFTCSLGLAGSTALCQAPASAQCNLCSIIRDGFRVDGDGKIATMATSGRAHDMAQVLSDSDKRAMLVCRVVAGRVKKASDEKSSEDDCDFDSVSPSTEGVYSDLDELFVFNPRAILPCFVVIYSGY >Sspon.03G0027600-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:9920819:9925911:-1 gene:Sspon.03G0027600-2C transcript:Sspon.03G0027600-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potassium transporter, High-affinity K acquisition, Root-to-shoot K transport, K-regulated salt toleranc [Source: Projected from Oryza sativa (Os01g0930400)] MAEPQHTSSNGAAHGDANSEHASEKMPPKRLQRFDSLHMEAGMIPGGSTHAAKVGWATTLHLAFQSIGVVYGDMGTSPLYVFSSTFTNGINNTDDLLGVMSLIIYTVILLPLIKYCFIVLRANDNGDGGTFALYSLISRYARISLIPNQQAEDAMVSHYKLESPTNRIKRAHWIKKKMENSPKFKVMLFLVTVLATSMVIGDGVLTPSMSGQIVGISIAILIVLFLVQRFGTDKVGYTFAPIILTWFLLIAGIGAYNLIKHDASVLKAFNPKYIVDYFKRNGKQGWISLGGVILCITGTEAMFADLGHFNVRAVQIGFSVVLFPSVLLAYIGQAAYLRIYPENVANTFYKSIPGPLYWPTFVVAVAAAIIASQAMISGAFAIIAQSQVLGCFPRVRVTHTSTKFEGQVYIPEINYVLMVLCVAVTAIFQTTEKIGNAYDTHTTIILCRYCCCLCNVYNNTSGHFSNGYDMEDKSAMDCTLSSNNWWSRARILIFGTYKFTQGGYLPLAFAAILMFIMATWHYVHVHRYNYELQNKVSGIGFLYSELVQGIPPILPHLVERVPSIHSVLVIISIKYLPISKIEISERFLFRYVEPRDYRVFRCVVRYGYNDKVEDPREFEGLLIGYLKQFIHQESFYSPGGDNSAEEIEDAIEPSMRIQEATMSDSSSDRSTAAPSNGCIYEIQTIQREMEDGVVHMLGEANVVAEPNADLFKKIIVDYAYNFIRKNFRQPEKITCVPHNRLLRVGMTYEI >Sspon.08G0016700-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:65665401:65680732:-1 gene:Sspon.08G0016700-1A transcript:Sspon.08G0016700-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASHGAGTLKSTSINGVKLYSLTGSRYVAPWVVAKKKRALRKDKEYQRRLDLIHDLRFETATTKIKLTPDDQYVIASGIYPPQVKVYELKELSMKFERHLISEIVTFQILGDDYSKLAFLCADRSVNLHAKYGSHYSLRIPRMGRDMAYDCWSCDLLCAASSPDLYRINLEQGRFLASLSSQSPAINAVTRSKIHGLVACGGEDGAVECFDMRKKTSVGRINIPVVSSEDYYQEVTSLQFDEDQGYLMAVGTSTGKISIYDLRMSSPLRVKDHMYGSPILNIKWHQTLNSTEPKLITADKHIVRVWDPNTGNNMTSIEPDGGAINDVCIFRNSGLMLLALDNSQIPAHFIPALGPAPKWCSHLDNLTEEMEEKPDTTLFDEYKFLTKEEMERLNLTQYIGSSAVRAYLHGFVVRYELYKKQRAEVAPVEYETIKEEIKKKKIEAQRKSRITQVVKIPKVNRHIMDSIMEDEIDLDTENDFEIDVESKEYLALHPQAATKEPRLIEEHFDSVSEDDQQSDGNASDASAESDSDDGMHNSKRIRLYEVKDEHHAEAFVNSVSLSNEDAVPLGDRIAALERKQNSKALDAVKYGPGGSREISFISKSRRRHKEELSSDDEPKGVKKRGVQPLGLKQGKAEFYMFGGNRGRGRGGGGRGRGGRGRGGGRGRGRGRG >Sspon.06G0006040-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:20338338:20339980:1 gene:Sspon.06G0006040-1A transcript:Sspon.06G0006040-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dihydrolipoyllysine-residue acetyltransferase component 4 of pyruvate dehydrogenase complex, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G25860) UniProtKB/Swiss-Prot;Acc:Q9SQI8] MVVRAKIREIFMPALSSTMTEGKIVSWSAGEGDRVSKGDAVVVVESDKADMDVETFHDGIVAAVLVQAGESAPVGAPIALLAESEEEVPLALAKAQELSNGQPQQAPTAPTEDAAAAPPPPPAPAAASAAVAAGTKGIASPHAKKLAKQHRVDLAKVTGTGPYGRITPADIEAAAGIQPKSKPAPAAAAPLPVAAPSVGAVPQAAVLPPVPGATVVPFTTMQAAVSKNMVESLAVPAFRVGYPIVTDKLDELYEKVKPKGVTMTVLLAKAAAMALAQHPVVNASCRDGKSFTYNSNINIAVAVAIDGGLITPVLQDADKLDIYLLSQNWKDLVKKARAKQLQPNEYSSGTFTLSNLGMFGVDRFDAILPPGQGAIMAVGASKPTVVADKDGFFSVKSKMLYGKM >Sspon.02G0027270-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:98886279:98895901:1 gene:Sspon.02G0027270-1A transcript:Sspon.02G0027270-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIMIKGGVWKNTEDEILKAAVMKYGKNQWARISSLLVRKSAKQCKARWYEWLDPSIKKTEWTREEDEKLLHLAKLMPTQWRTIAPIVGRTPSQCLERYEKLLDAACAKDENYEANDDPRKLRPGEIDPNPESKPARPDPVDMDEDEKEMLSEARARLANTRGKKAKRKAREKQLEEARRLASLQKRRELKAAGIDTRHRKRKRKGIDYNAEIPFEKRPPSGFYDTVGEDRPLEHVQFPTTIEELEGKRRADIEAQLRKQDIARNKILQRQDAPAAIMQANKLNDPEAVTKRSKLMLPPPQISDHELEEIAKMGNAGDPALADELGEGSTATKTLLASYSQTPRLGMTPLRTPQRTPAGKGDAIMMEAENLARLRESQTPLLGGDNPELHPSDFSGVTPRKKEIQTPNPMATPLASPGPGITPRIGMTPSREGHSFGLTPRGTPFRDELRINEEVEMQDSTKLELRRQAELKKGLRSGFASIPQPRNEYQIVMPPITEDETEEAEEKIEEDMSDRLARERAEEQARQEALLRKRSKVLQRSLPRPPAASVEIIRQSLIRSGESRSRSTFVPPTSLEQADELINEEFLRLLEHDNAKYPLDEKTQKEKKIGSKRQQNGGALVPEIDDFDEDELKEASSMIEEEIQYLRVAMGHENESFVDFVKAHDACQEDLMFFPTNDSYGLASVAGNADKISALQNEFETVKKRMDDEAKKASRLEQKIKLLTQGYQVRAGKLWSQVQDTFKQMDTASTELECFQELQKQEHLAASYRILNLTEEVNKQKALERTLQSRYGELVSGFQKIQEQLEEHKKQLKVQAAIEAENRAQEEEVVAPNHVAEEEDESKPLSSEEKSQQTNITTDEEAAGSKGITEDQMDVDSGNVDGGFVGPIPPAPDTEDDNDEVSIQENTSNTQSSEGASMNDGADKIDQAKLKGQDKADDIMAADAGPQEEGKDELAPVGASISEENTTVSLDQAVSKEDEGRAE >Sspon.07G0008330-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:22794506:22797270:-1 gene:Sspon.07G0008330-1A transcript:Sspon.07G0008330-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPAAAAVLLDENMQIHKENSVDAPSAKPLKSSAKPERKALQDVSNIPKGTALKNRSTIKERSTLKERPELHDVSNNLKESHEAIKNPVNIFADDEETKRCHEWAKYGIEGTHFTGNDSQKLDKVVQDKRVKKKVAKVISALHSWSHEVFDPVMFPAAEVPKFSEESKELELEPEILPDVTWCLSTSGKRDDAPRAKPLKPLLKPKLQERKALQDLSNTVKGRPALRSHEAVKNPVKIFTVEETKKCHEWANDVSEGAQFAGNDPQKLDNDVEDKRDKAQLVEDSPTDDELDDYPFLELDDYPFLDNNPVNFELKDEP >Sspon.01G0015800-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:48512774:48515637:-1 gene:Sspon.01G0015800-4D transcript:Sspon.01G0015800-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATDEENALLLRFQPTPQVVASEYASDGSVDINKQPALKKSTGNWRACYPILGVGFSECMLFSAIATNLVTLLTTVLHESKVDAARNISSWSGVCYLTPLLGAFVADSYLGRYWTMVVFLPVYIVAMLVLVSSASLPMLFQSDVHPALVYLGLYLSAIASGGVKPCISAFGADQFDVNDPVELVKKGSFFNWYFFLTTTSSLLSGTVIVWLQDNVGWAVSYVIPTVLMLICFPAFLAGSRVYRFRKMGVSPLTSILQVAVAAVRKWHIKLPDDSSLLYEQASSPSTTEHRNKHTNQFRFFDKAAIVPSGNESTAQSSPWRLCTVTQVEELKMLLSTLPTWASFVVFYAVTAQMQSTMIEQGMLMDNHVGSFAIPPASMPIIGVLSFLICVAVYETILVPSARRFTGNEKGFSQWQRLGIGQALSILTMALAALLETRRLAIAEANGQRYQEVPVPMSILWQGPVSLCMMFGSIGMTEFFYDQAPVTMKSLCAAFGQLAIASGSYFNSAVLSVVAFATTRGSQTGWIPDNLNEGHLDYFFWMMAALSLLNLALFVRYSRRHRGKAT >Sspon.08G0024690-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:1213856:1215518:-1 gene:Sspon.08G0024690-1C transcript:Sspon.08G0024690-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTAGVASANPPLPTALCRLPRGFAVGKAFADWQSAKRRFPVVVVTKAMMRALALVTVLAAAFAVSARAAQCGEQADGALCADCLCCSQRLPEPVHRQLRRQHPAPNPSRASPPPPPPTPTPSPPSGGGGVASIISEALFERMLKNRNDAACPARGFYTYDAFITAADAFPGFGTTGDTEIQKRELAAFLGQTGHETTGGWPQAPDGAFTWGYCYKEEKGATDDYCDMAGVKAQWPCVPGKEYFGRGPIQLSYNYGLGYNYGPAGQDPTIDEDLLSNPELVASDAVVSFKTAIWFWMTPQSPKPSCHDVITEQWTPSAADEAAGRLPGYGVITNIINGGLECGHGYDARVANRTFFYTSYCDILGISYGDNLDCYNQSSFESTSLAGITTATLEHLADA >Sspon.02G0017820-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:10420259:10422594:-1 gene:Sspon.02G0017820-2B transcript:Sspon.02G0017820-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSGQPPDLKKYMDKKLQIKLNANRVVIGTLRGFDQFMNLVIDNTVEVNGNEKNDIGMVVIRGNSVVMIEALEPVAKAQ >Sspon.01G0062960-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:109127490:109127869:1 gene:Sspon.01G0062960-1D transcript:Sspon.01G0062960-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LANHKETLARWIPPSPTQAVRPYSRNGSCPPRLAAPPPPVLVPPVAAALHPFTAALAEVHAADKLYADVELRLDKPPFFVQAASRC >Sspon.02G0026140-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:90835117:90839942:1 gene:Sspon.02G0026140-1A transcript:Sspon.02G0026140-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLLFLLLLAALSSPPSVVLAADDDGTTHLHFFMHDIVAGSNPTAVQVVKGPAATGSSIVPGLAFGDTTVIDDALTETSSPTSAAVGRAQGFYMMSSQSGPVLMVCANLLLTSGDHNGSTIAVVGRDDVAADVRELSVVGGTGKFRMATGYVLWKTSSLSGPDATIELDVHVRTATATGSGTTGGIDGGGSTTTGATSGAAAKWVGAGWVSACVVAVVVAVVGSGVCRVEKRPPIECGTKVGAKGHGS >Sspon.01G0034300-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:2378548:2380719:1 gene:Sspon.01G0034300-1B transcript:Sspon.01G0034300-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAGHMVCGVAIVFATVLGSFCIIAVTASPEAQRYNFRFVRHARDAPLVSYYNYIVVGGGTAGCPLAATLSERSRVLLLERGGLPYASRNVSSEYHFADALADASPLSPAQRFVSEDGVVNARARVLGGGSCLNAGFYTRASGGYVRAAGWDHRLVNASYRWVERALVFRPAVPQWQCALREGLLQAGVTPDNGYTLEHVQGTKIGGTIFDRRGRRHTAADFLRRAHPRRLTVFLHATVSRILFRRAEGAAKPVAYGVVFTDPMGVQHHVYLRRGGGGAKNEVILAAGTLGSPQLLMLSGVGPRAHLEKHGIRTVHDQPGVGQGVADNPMNSVFVPSPVPVALSLVQVVGVTRFGSFIEGVSGSQFGIPLHGRGAARNFGMFSPMTGQLGTVPPKERTPEAMRRAAEVMRRLDRRAFRGGFILEKVLGPLSTGHIELRSADAHANPAVTFNYFRDPRDVERCARGIEAIERVVRSRAFSRFTYANHTAMDAAFRRAAGTAYFPVNLLPRHPRDTRTLQQYCRDTVMTIWHYHGGCHVGGVVDRDYRVVGVQGLRVVDSSTFRYSPGTNPQATVMMLGRYMGLRILKERWIRKGAEDKH >Sspon.01G0032990-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:111688922:111690431:1 gene:Sspon.01G0032990-1A transcript:Sspon.01G0032990-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLHSVNTLASFSDTLADFLDQWNSVVLDVASFAVLFPGPRSNPKPYLPAPEPTLAPQPEPGPNAAPAPEPRWEREPSPFPPEPAPTPQPESPAPEPVPNPDRERKDGGGDASAADLEHRCQQMNCRGLRRFVTAHVRDGGGEWLRQVGPGALRRAPDPAALVLRAVGRYYIRAESPDVEAACTLLLELYVRAGCPRLRWGQGRDAELLLLRQEAREAALTWRSRLLRVSGAVGDAGGAAGARGLAFFMAAFGVPVEFPAQELCDLVDAADVAACVEVLKASKLFVRKMRDVVIEMINKAMYLQAMRIILAFEFQEAFPLAPTLALIIEKLEHDTKDESEGQALERDEEDLALLSSISKCMEDHKLSPSEFTSFAAKIALLEERVGKPKQACTGVKRKRAEECVE >Sspon.02G0045180-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2B:108337752:108339557:1 gene:Sspon.02G0045180-1B transcript:Sspon.02G0045180-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKYLGAAHSNPLDSDREQVGPSIWPQPVHATALAGRKALGGLGFSILHGALGAGGLRQRQRQRKDREETMGRWRRVVTDGGADEGRAFLRLASSLHPPTVVGQYHIHTSADYQFVPSSSPIIDGSRFSVDDFLDKDEQYARWHIADCHGGLVLLSISDGPCAGSVPNLIVYDPLTRKHRSISGPPTRKEQGFRYGTREVFFCLTATTATSPYRISRCSTATSTTPAPPPPAYSPEHQLIVLHGRSAHPRGHESIPLSSFTVVHGAGGLGPASPQRTSIVHVYGEELELFRRVQGCGEWVLEHTIPNLSEATATRGLLVCPEKKGILMTWSTMASANADGAAFAVLSAYRSDIYDGRRWFFSIDVNTMELKVLPE >Sspon.03G0017320-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3B:78408770:78410144:-1 gene:Sspon.03G0017320-2B transcript:Sspon.03G0017320-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIAAGAASGLEYLHDKANPPVIYRDIKPSNILLGEGYHAKLSDFGLAKLGPVGDKTHVTTRVMGTHGYCAPEYALTGQLTVKSDIYSFGVVFLELITGRRPQDSDRPPEEQDLVAWARPLFKDQKKFPKMADPLLRGRFPSRGLYQALAIAAMCLQEKAKNRPPIWEVAAALSYLASQTYDRNNSAARRNRAGPSTSRVLDDQIGQDTTLANQHGAQMSMHGQTNHVMPEVKETSWSGNGSHRGGRGRVTPNGADRERALADANVWAEAWRRQEKASKMRL >Sspon.01G0007040-3C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1C:16548362:16549997:-1 gene:Sspon.01G0007040-3C transcript:Sspon.01G0007040-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VLLWLKQWDSSVFGSHIRATGDDVLSALRRHSSTIQKNASNRNFFSKSKGGPVASQDDTPLNAQSSNPEGLGGSFSKKSSVDNTPEQKVLLLCGPPGLGKTTLAHVAARHCGYHVVEINASDDRSASSIETKILDVVQMNSIMSDSKPKCLVIDEIDGALGDGKGAVEVILKMINAEKNNNFARGTNGEETQVRKASRKSHRTAKLLRPVICICNDLYAPALRQLRQVAK >Sspon.06G0001630-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:3737470:3739575:-1 gene:Sspon.06G0001630-1P transcript:Sspon.06G0001630-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVLTVLVAAATAVSTAAALNTDGLALLALKFAVSDDPGNALSTWRDADADPCFWAGVTCASSSSGATGRVSAVELANASLAGYLPSELSLLSELQALSLPYNRLSGQIPAAVAALQRLATLDLAHNQLSGQVPPGIARLVSLQRLDLSSNQLNGTLPPALAALPRLSGVLNLSYNHFTGGVPPEFGGIPVAVSLDLRGNDLEGEIPQVGSLVNQGPTAFDGNPRLCGFPLKVECAGGASGEDGPRIPDSNGAGGGVSDPGAAAEVGRRAPKPRRRSSPTVPVLAAIVVVALSLAWLCSGSAGGGKEKGSGAVTLAGSEDRRSGGGEEGEVFVAVDDGFGMELEELLRASAYVVGKSRGGIVYRVVPGRGPAVAVRRLSEPDDGDSDGSGWRRRRAFEAEAAAIGRARHPNVARLRAYYYAPTRSCSSTTTSPMAPSTPLSTQCILLSVSKKEKGGLLTPARALVGGPTASPTPLPWSVRLSIVQGAARGLAYLHECSPRRYVHGCIKSSKVLLDDELRAHVSGFGLARLVAGAHKAAGHHSKKLGSAALCALRGGTGAASYVAPELRAPGGAPAAAATQKGDVFAFGVVLLEAVTGREPTEGEGGMDLEAWVRRAFKEERPLSEVVDPTLLGEVHAKKQVLAVFHVALGCTEPDPEMRPRMRAVAESLDRIG >Sspon.02G0024080-1P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:82474212:82477489:1 gene:Sspon.02G0024080-1P transcript:Sspon.02G0024080-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAAAPQWRPCSLLLLAQSQLGGGCGGCRGGAAGVQGRAGGRERPPLDLGRRGRGPVRVGGIACSAAGDVTGVTLHGLNLHGGLSAAVCALPRLAVLNVSKNALSGPIPPGLAACAALEVLDLSTNALHGGNLLFGDIPPAIGNLTALEELEIYSNNLTGTIPTSVSALQRLRVIRAGL >Sspon.03G0044520-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3D:119781:120239:1 gene:Sspon.03G0044520-1D transcript:Sspon.03G0044520-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMGMGLAWEITGLSCTNRMNPSTSSTDYKRGIEETAMEWIERKGMVLLHLGDAKKRMEQRGHQRERAERLQRRHWRPQPSGLAAAAQRTAGIAPLLPDPSPSWGTDRGRGRGRTGPPWWQRVATGRAPPPRPIRLAAPPRGERGKEKGGRE >Sspon.06G0016300-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:76861786:76864730:1 gene:Sspon.06G0016300-3C transcript:Sspon.06G0016300-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MWVAGGGRESSAAAVLRVLLIATACGLVFALLHLPLPDGRARSAGPGESSDLPRSSRRANLVGSAGFSGALGRWEPALRAGGERGGGGARLSVHQGRIREARRLSVVTEEIDGQTDGQTDETAAEEDERISKSPPDTKEKIWMMQDQLIMAKAYLHFASPQGSVHLVRELKLRIKEIERAISHSSGGTHVPGSVLQKMKAMELTLSKAQSTYPRCSQMTSKLRAMMHNSEELVRAHQSESSFLEQVAVRTLPKGHHCLAMQLTAEYFSLDPMKREFPKRDSIQLDGYYHYAIFSDNVLASAVAVNSTIAASKDPGRIILHIVTDALNYPAMMMWFLTNPPTPAAIQIKSLDDLKWLPGDFSSRFKLKGVRDPRYTSALNHLRFYLPKVFPSLGKVVLLDHDVVIQNDLTGLWDLDMKGKVIGAVETCSSSEGYHRLDNLVDFSNPNIFNKLDPKACAFAFGMNIFDLNEWRKQGLTATYHKWFELGKIQKLWKAGSLPLGQVIFYNRTLPLDHRWHVSGLGHDFSIGRNELESASVIHYSGKLKPWLEISIPKYRDYWNRYLNYDNPHLQQCNIHR >Sspon.03G0002820-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:22707460:22708137:-1 gene:Sspon.03G0002820-2C transcript:Sspon.03G0002820-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDDDDAGFGACGRVLEWEHGLPTEEELTPLSHPLVPPALAAAFRIDVRGTAFTSPAFDCAVFTHNSPTSHLSFRCEDEDEDEDDEEGEGEDESEDATSGSGGSCRGGSGRAGKKARMVWTPELHHRFVEAVAHLGDKGAVPKAIVRLMNVEGLTRENVASHLQKYRIYLKRTRGPAKPQQPPPAFPPAYGSHFRPQQLSDTSNRSDYSAFSTSPAATARSANATK >Sspon.06G0009680-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:51657293:51661293:-1 gene:Sspon.06G0009680-1A transcript:Sspon.06G0009680-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFCISTITKEEIHSHTLHSHTVETGYVNNALQLLSQIKPHELPDPFALIKDEVSEVTDRLRSMVVAEVPELTSAAGYFFRAGAEGKRTCPTVLLLMASAISTDTSDPIVGLENKPRARHMRVAEITEMIHISSLIHDDVLDNAYTRRGMDSLNFTVGKKLAVLAGDFLLFRAFSAAVALDNTEVVSLLATAVNNLVTGELMQLTITPAQRCSMDYYLQKTYYKTAALISNSCKAVAVLSGQTTEVQALAYQYGRHLGIAYQLIDDILDFTGTSASLGKASFSDINQVIQLIAPVSLDTILHFLYINMQGIMYGQGIVTAPILFAMEEFPQLREIVEQGFDDPSNVDVVLKYLQKSQGIERTRLLAAEHAKLAAVAIEDLPASEDPVVLSSRQALKDLTQKFLRRTK >Sspon.03G0000540-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:1645742:1647083:1 gene:Sspon.03G0000540-1A transcript:Sspon.03G0000540-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMNISLVMIYGDLHPLQQTIHHAQDDCKWRQIPAFGDWNLWDDMPVTQYFQAGTFFFAAPAEKDDEDLFKVPQFPAKPYSYKKVKQNRTSLKISCVVRVKGEKETAVPPARKGGRRRYVNEQQKWKPKGAVDEDLYKISPQLLCKKKLLRNLLGGCLGMSCIA >Sspon.02G0056490-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2D:27315288:27316822:1 gene:Sspon.02G0056490-1D transcript:Sspon.02G0056490-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRGRGRGRGNIPPNPPPPPPPTIEQLLAVQTQLMQQLVQNQQNQPVAGAQPRDKRGEFLKGRPPVFTHAADPLEADDWLRAVEKQLNIAQCDDRQKVLFASGQLQGEAQDWWESYEYGRPANAPAQTPQRQSSHQKPAPNSGRVNHLSQETAVEEPEVMLGMDWMMQQQAEIQCKGKSVVLTTPKGDRIKVE >Sspon.02G0012510-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:32982793:32983225:-1 gene:Sspon.02G0012510-1A transcript:Sspon.02G0012510-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKAAAATAARWAEGYPWREKLAKYKGELGKGVWGYWELGAWKPLGISARKRARLRKEVLLAGEDWTYDAPRGEMRTKRKGHKCDRISAEKRANTVELMKKMPQMLLDYK >Sspon.03G0013600-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3A:38950147:38950994:-1 gene:Sspon.03G0013600-1A transcript:Sspon.03G0013600-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQFGKWLRRQIEQSLPEWRGQFLRYKELKRCVKVLSGVCPPLPAEEAEFVAEVEAETEKINAFFLDQEEEFIIRHRELQNDIKRALDRRAAGAVAPAQHEAEVAAIRREIVNFHGVMVLLLNYSSINYIGLAKILKKYDKRTGAMLRLPVMETVLQQPFFKTETVSQLVRECEAMMEAVFPEAPEGQAAAAALAVAEAEQSIFRNTVAALLTMQDVRKGSSTRGSHSLPPLNLPDSDWLRSFEPPFPIPTR >Sspon.03G0030130-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3B:19799820:19802411:-1 gene:Sspon.03G0030130-1B transcript:Sspon.03G0030130-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcriptional activator of gibberellin-dependent alpha-amylase expression, Regulation of nutrient mobilization in germinatio [Source: Projected from Oryza sativa (Os01g0812000)] MYRVKSEGEGEGDCEMMLQDQMDSPVADDVSSGGGSPHPHRGAGPPLKKGPWTSAEDAILVDYVKKHGEGNWNAVQKNTGLFRCGKSCRLRWANHLRPNLKKGAFTPEEERLIIHLHAKMGNKWARMAAHLPGRTDNEIKNYWNTRIKRCQRASLPIYPASVCNQSSNEDQQVSGDFNGGENISNDLLSGNSLYLPDFTSDNFIANPEALSYAPQLSAVSISNLLGQSFASKNCSFMDQVDQAGMLKQSSCVLPALSDAIDGVLSSVDQFSNDSEKLKQALGFDYLNEANASSKSIAPFGVALTGSHAFLNGNFSASRPTNGPLKMELPSLQDTESDPNSWLKYTVAPAMQPTELVEPYLQSPSATPSVKSECASPRNSGLLEELLHEAQALRSGKNQQPSVRSSSSSAGTPCETTTVVSPEFDMGQEYWEEQPGSFLSEYAHFSGNSFTESTPPVSAASPDFFQFSKISPAQSPSMGSGEQALEPKHESAASPHPENLRPDALFSGNNTADASIFNNAIAMLLGNGINAEYKPVLGDGIVLDSSSWNNMSHAFQMAEFK >Sspon.03G0030660-1P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3B:22933548:22941212:1 gene:Sspon.03G0030660-1P transcript:Sspon.03G0030660-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSRFMEYVLLVQVGHSPQYKVERKLGKGGFGQVYVGRRISGGTERTGPDAYEVMDILGPSLWDVWNSFGQTMTANMVACIAVEAISILEKLHAKGFVHGDVKPENFLLGQPGSPDEKKLFLIDLGLASRWKEAGSSGQHVEYDQKPDIFRGRLPWQGYQGENKSFLVCKKKMATSPDLLCCFCPPPFKLFLETVTNMKFDEEPNYSKLISLFDELIEPQHLRPIRIDGALKAGQKRGRLLVNLEEDEQPKKKVRLGSPANQWISVYNARRPMKQRPIRGPCMVLYVATLRVGPVMRISASVWYRASFFPPPLPSPVFWPPPPPGAPPPPGGQPPAAMSCWPPLSPPHPSSTSDPTPLPAVQDFVAPFARDERLPPRLPLQPLLPPANSPPAAPSPAGRAFPPGARAADGARPCEWGRSAVLHPHPLAGPHPLHQSPPTGPHSWAPVAPAADPRCCRRRPSFPAVRPLLLVGTFPPRHLLLGARQAVFRRELDGCQLLAGIWAVAATSARSWTRSGSRPSRRSGSRRQPRSSSTTTRSGSRSSSSQPPRLPALPPLWQRARALWPQARDPWAPLLTLTSPLRLVSDSPRRSSASIILPALPQLWQRARALWPQARDPWAPLLTLTSPLRLSSLPPQSELRPPAAMVTGAYPGFHPTSLWDTPPGASPGLVGRDPAALAYSFSTTTSPNWIADSGATFHTTPDASLLSSLRPPHPSCPSSIMVANGTCIPVSSVGTASSHGSFHIPDVLVAPGMVHNLLSIRRFTTDNSCSVEFDPSGLTVRDLASRVPLLRCNSTGPLYTLQFPASVSPSAPSSASASPSLPCSAAFATTPSSTTWHRRLGHPGRDALLQLSRSADIRCSRAHDDPLCHACQLGRHVRLPFQSSSSHATRVFDLIHCDLWTSPVLSMSGYKYYLVVVDDFSHYSWTFPLRAKSEAFPTLSHLFAWVSTQFGLTIKAVQCDNGREFDNSTSRAFFLSHGVQLRMSCPYTSSQNGKAERMIRTTNDIMRTLLLQASLPARFWAECLHTSTYLLNRLPSTACPAPTPHHALFGTPPRYDHLRVFGCACYPNTAATAHHKLAPRSTLCVFLGYSPDHKGYRCFDLTSRRVLISRHVVFDESAFPYSTSTPTPPADPAEASFFPTDPAILPPFSLYPAGTAPARSPGGPASPLPDSHPDLPPVPATAEAAPELPPSLPVASLPPVVPDAAVPIAGPRTPIPPPPGRFGLVYQRRREPSPPSPPPGRFGIVYERRREPAPSLSQPAPSSPVHAPPASPRSRADPPVYHPPLLHRDPRHTHPMVTRQASRPQALAAAACEPGISPVPSSVRAALADPHWRRAMDEEYAALLANQTWDLVPRPPGSNIVTGKWIWTHKRRADGSLERYKARWVLRGFTQRPGIDYAETFSPVVKPATVRTVLSLALERSWPVHQLDVKNAFLHGTLTETVYCSQPAGFVDSSRPDFVCRLNKSLYGLKQAPRAWYSRFATFLQTLGFTEAKSDTSLFIYHYGGETAYLLLYVDDIVLTASSESLLHRVVASLQKEFAMKDLGVLHHFLGVTVEPRPSGLFLHQRQFTCDILERAGMTASQSCSTPVDTQGKLSEAGGPMLGPADSTAYRSLAGALQYLTFTRPDITYAVQQLCLYMHAPREPHLAAMKRLLRYLRGTLGYGLLLGRSSTAELVVYTDADWAGCPDTRRSTSGYAVFLGGNLVSWSSKRQPVVSRSSAEAEYRAVANGVAEAAWLRQLLAELHRPLARSTLVYCDNVSAVYLSTNPVQHQRTKHVEIDLHFVRDRVAIGDVRVLHVPTTSQFADIFTKGLPSSTFSEFRSSLNVSSG >Sspon.01G0044920-3D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1D:79514285:79514548:1 gene:Sspon.01G0044920-3D transcript:Sspon.01G0044920-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLKPTRDSLGRNPLSDALHRHVQRRPPEAPVRSGVLKRADNVKSGRGQPKLTWDESVKRDLKEWNIPKDLAMDRSAWRLAINVPEP >Sspon.03G0002950-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:7455034:7456738:-1 gene:Sspon.03G0002950-1A transcript:Sspon.03G0002950-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPMGTDSHLDLNQMPSIGSKDVPGRAYRSILNSPSQAYNLDSSGFLSLSIPTTQPLTSYEMSSLVDGSAVTLAVQGLESDSVRDKGLEIDSVHEKGVEVSSTPDPVGVELESAPAKRGAELSSKNELHSTLGPLCYQIPNMEDVAPIHTPLRSERNGSHQTTQHFMSPNGYTSPSPTIGKVSSQLTVDSILRIAADSFPGTPSILRKRKRDKATPASGNEMKTGGVSNDSFYTPNGTNGKDTTSPRSFKTAASFLSLGSVDGLLTSVRSFDSSPPYQKRSKRIATIKSVEKQLDFSADGLDTSGTDLNLT >Sspon.07G0032850-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7C:55870421:55870714:-1 gene:Sspon.07G0032850-1C transcript:Sspon.07G0032850-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATVEREDAGRQVARCGEERCAGTVALDHAASAERTQRRVLVSGGGEIRRQTMALGRGAARHRVSAADSAVALEHTCGGVGTVAIREWVREWMQTE >Sspon.03G0006310-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:24619836:24624360:1 gene:Sspon.03G0006310-2B transcript:Sspon.03G0006310-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGPSSRAGSRHQQFRARAMTRVDDLQEVFSGLQSARKDSRPADAAVLEAQLQQMLREWRSELSAPSPASSLQGNARELSDPPSDTLRLLQLAAAEEEDDATSKMVEQQPPPPPPANQNQGHAQVRQDMKPEPREEAVDVAVEQQQPQLPGQGVLPNGAATASAVFHDQMYYVNQELTVEDFLYDEDYKIDLSGSNLDVLNNLEGIVQLEYPQFNLPQELTPNAYLDMSNSGQSAGGVFLHMTDLLTTMTSAPSAFLKPKCALWDCPRPAIGSERWHDYCSMYHADLAVQEEGPPGTMPVIRPRGIDLKDGPLFAALSAKIQGKNVGIPICEGAATAKSPWNAPELFDLYIFEGESIREWLFFDKPRRAFDSGNRKQRSLPDYSGRGWHESRKQVMKDFGGLKRSYYMDPQPSNSYEWHLYEYEINECDAFALYRLELKSSDAKKIAKSKLACNPMNEIQQQMVRLSADSPVDTKRTARSRTKANPIDANTNIYSVPTPQFKLMFQMLISQGHKWTR >Sspon.05G0002230-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:6985625:6988214:-1 gene:Sspon.05G0002230-1A transcript:Sspon.05G0002230-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQLISCASLSLVDNACDLARGDNKVLFADKVLKFTQSGKMKRRILVITDFALYLVDPDANILKRRIALAAVDKLCISNHSDNFFAIIVPTEYDCLMASTRKKEIVDVIVKAIKSTSEFEPEVASSNRFEYHAAAEVIKVVEFEEVDGGTKTRITNKENLYRNLNVSSFVLLCTILLEKLHAVGVPHSNGAHGFVDAPVTGQDQAIVALLNPWNTVKFDIRDSKSSKIVPRLATVGWVMT >Sspon.07G0001390-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:2628276:2632718:-1 gene:Sspon.07G0001390-2D transcript:Sspon.07G0001390-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFRGLEEEEPVSEEMGASSAPPPAPAPASGNQKPHRRGECLRNHAAALGGHVVDGCGEFMPGGDGDRLKCAACGCHRSFHRRRAPPPPAPAPGARAGVVAHDPGPARPAAPAAAHPHYAAHPHYAPPPFPYHGTPSGSGGGTTTESSSEERGPPSAAAAQAQAHGHVRRKRFRTKFTPEQKEQMLAFAERLGWRMQKQDDALVQHFCDQVGVRRQVFKVWMHNNKHIGRRQQPPPQGQQPQPQAGAVAMGKTQSSSIAAEKVWARRPLRRYVLKNLSGKDEI >Sspon.02G0016450-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:45381485:45382960:-1 gene:Sspon.02G0016450-1A transcript:Sspon.02G0016450-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASWYPEAETQTLPHIAIFPFLAKGHTIPLIHLTHYLHRYGLATVTFITTAGNAGFAREGLSGADAAIVELTFPTDVPGIPPGVESAEGLTSLASFAVFADATSLLLPQLDASLAKMQPPASLLVTDPFMHWTKALAARLGIPKVSFFGISAFAQVMREVRVRHDPCATLRPDDVDDDGHPATFTVPEFPHIKLTFEDFMAPFGDPASIAPMLELDGKLGKAIEESQGLIINTFHGLEAPYLDFWNQHVGPKAWPIGPLCLAQPTAARPKARPTWMEWLDDKAAAGRTVLYVALGTLAAIPESQLKEVANGLERAEVDFIWAVRPENIDLGLGFEERTKERGLVVREWVDQLEILNHSSVQGFLSHCGWNSVLESVTAGVPLAVWPMHADQPFNSRFLVDELKIAVRVHTSDRTIRGLVTSEEISKVVRALMLGEEGVEAAKRVVELSASAKEAMAGGPSWKSLKEMISELSLMKLNGNEEVSQEDKVDA >Sspon.03G0002860-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:16501699:16508774:-1 gene:Sspon.03G0002860-2B transcript:Sspon.03G0002860-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPSPMAARAAGGCSSAALAFFRLHPLRRAVRPAAAFAGGCSSGLHGGCRSRMAHTIVDSVMDELRSRRRIRVSAKIGLQSTKELPDNKIDKRTLQKGSLLEFQKDSQRSLLAVVERPDGKKNWMVTDQNGILSSIKPQQVTYVVPGIMNFDYSRIDEFLEKTQDLLDPTVLECAWMELSEKDKSITVEEFAEIVYGTKESLESYCAHLLLSRDVVYFVKVESRDYSMYQPRSPAQQILKAMGFTRTSSAALKLLINVGYFPVHVNLDLFRYDVRIRYTDEVLSAAEELLVDSPDADMHIRKDLSTLKVYAIDVDEADEGGNAQRNLNLSTNCYHSYVPREACHECYELAAGIAEYTLENSVIKPTYMLTYESATELLYMNLEEEEELRILQEAASIRAQWRHSQGSIDTAMIEPRIKVSNPDDTEPNINLYVEDQANPAMQLVSEMMILCGEAVAAFGSDNNLPLPYRGHSQSNTAVSVFSHLPEGPARSFANISVLRAAEMDFQKPVPHGVLGIPGYVQFTSPIRRYVDLLAHYQVKAFLRGDSPPYSAGDLEGMTFIASMHVKVARRLHSNSLRYWLLEYLRRQPKGRKYRALILKFIKDRMGALLLVEVGMQVTTTISRGKVGDEVSVTVETAHPRDDILSVREVVEDTEDSE >Sspon.02G0035370-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:18734819:18740964:1 gene:Sspon.02G0035370-1B transcript:Sspon.02G0035370-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEMVAFGPHRMGLGLELLRVLTAGDTVGLAELLRREEQTAGQVAINIQAAVAPGVVAPAPPGTSCLLGVTSNGNTALHLAASRGHAELAALICDRAPSLVATRNKCLDTPLHCAAKAGSSDVAACLLLKLRGGVDAETTLRARNHKGTTALYEAVRHGHARVVDLLMKEAPELASLATDGGFSPLYLAASVDSLQMVQSLLRPSQDGTPSPASFSGPEGRTALHVAAYSTQDGIHPMYVDKKLADLFQKKIESTKEEESNNVYYKAENGTIASVLIATVAFAAAFTVPGGFIADDHPNAGTAILAKRFAFRAFVVSDAVAFVSSIIATIFLIYGARHIPRSHRSCYNTVASELVPVAAQSFIAAFAFAFHLVLGHANRGLIVFVYTVCLAAVILCFPGIWVPMELGLAKAVWRRAGWRGLVNIHERPWSLLGLLDCFSTSLLFENLRSPLFVLLISASFIVAIALNIALPSY >Sspon.08G0018840-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:11445538:11456045:1 gene:Sspon.08G0018840-2C transcript:Sspon.08G0018840-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGQQDCASKSSSSSISSSTQESEEEVPVRSVTIGSLLAQANSSSGHSLGKRLSHLGSIPYTPRVNGKIPNVGNATLDHERLLERLGTYGLAEFQIEGDGNCQFRALADQIFRNPDYHKHVRKAVMKQLKEFRKQYESYVPMEYKVYLKKMKRSGEWGDHLTLQAAADRFGAKICLLTSFKDTCLIEIVPRDLTPTKELWLSFWCEVHYNSLYGIDDLLTRKAKKKHWLQGDLLDFAV >Sspon.03G0001120-3D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3D:8999500:9001921:-1 gene:Sspon.03G0001120-3D transcript:Sspon.03G0001120-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNLKFMKLNNHQIVLLLSSIWSQASLNDNSPANFEAMGLAYSIALLCSKSKVDPHLCLMDDCQLVNTSAESSNSEMVYGSEEDESDALAFLSAINKHDTELVETMMCHFKEKFENLPEKFNGIEEQLFQEFSLDDSFPLGAPLFMETPHSCLVYAEKDEQCFDEDTVPSELDDDDIIFEHSGSQSDRKTSGSMASSDVLTVNQLIESVHETARQVANVPVSANPVPYDQMKSQCEALVMEKQQKMSVLLSFKHSRTDSHGSAGVDGLETNESSLRSEPELRKGRMRRCDSASSESDCSFRLPPASPYDKFLKAAGR >Sspon.01G0010340-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1A:28928417:28930907:1 gene:Sspon.01G0010340-1A transcript:Sspon.01G0010340-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDATAGDTESQEPPKTLVDWALEILSTADPDEKARLGDLAASRWLSGEIPLPYDPSRPARPPPDRPARSAEVRLLPPSRMPKLGKGGSAQSRLAMLHSLAHTESWAVDLSWDIVARFGAAMRMPRGFFDDFARVAQDEGRHYTVLSARLRELGSHYGALPAHDGLWDSAMRTSHSLLARLAVEHCVHEARGLDVLPTTISRFRAGGDEQTARLLEDIIYPEEVTHCAAGVRWFRYLCLRSNGNHPTSYPVPELEPRFFLLPGDGTADGKTAREAEGEPTPILAKDVSNDDKMVQQVEDELPSRIAEDANGQVKAIEHVEDGLAKCKLDDSINKDEEAVIRIFHGVVREYFRGPLKPPFNTEARKAAGFEPAWYEPLAVKEACIEGETIE >Sspon.05G0010080-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:31365449:31366470:1 gene:Sspon.05G0010080-4D transcript:Sspon.05G0010080-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLKLLSPCLLLAFFAAAAYAAPASRAAAAVVRAAGLGTAPLQSSSDPENQCVYTVYVRTGSIWKGGTDSTIGVTLLGADGTGIRIRDLAAWGGLMGAGHDYYERGNLDIFSGRGPCMSQRPCAMNLTSDGTGAHHGWYCNYLEVTVTGPHLGCAQTLFTVEQWLATDASPYRLYAVVDKCSTKRRQEGEPTVTAL >Sspon.02G0023650-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2B:79946363:79948087:-1 gene:Sspon.02G0023650-2B transcript:Sspon.02G0023650-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LGSDTASVRLTGTPSAGSGTAPVRTRHAQPVLAFTSAASDRQHSRVGGDPLLLLLVRKLKHGVKLVSSDAVHDAAGCCPDAIGGPSSAVVTSAAATSSLIVVRLISPIDRSAAVSWARAGDKRKGIAILLAPLRVVRQFRSIHGELAIRHPPRSLPRDSSAQLFSSTCGHRRPHPPPPLSPSPGKILCRSHRRAALGHHHRSRATSLPSTAVIIVTWAPSSSLTPSLYARPSSSSSATHLHCRRAGALDLAHALLTPSAAAAVLNRCPVVALTPLGSSPCAASRAPSSASRGRSPSATSLPPHNAPSGASPSLPPRASIHQAGNHLATSTRHPPRTRAEDAKSDHASPTSPSLARRVVRLRLRPCLWWPSSVHSC >Sspon.03G0008180-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:36847192:36849716:-1 gene:Sspon.03G0008180-3C transcript:Sspon.03G0008180-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLYDISCFAAGLAGNVFALALFLSPVPTFKRVLKAKSTEQFDGLPYLLSLLNCCICLWYGLPWVSGGGGRALVATVNGTGALFQLAYISLFIFYADSRTTRVCNSIALLAVLDFNQLPLAVSDTSKTGPCSPFSPQLKITGLLVLVVFAFALIAHASIALFDQPVRQLFVGSVSMASLVSMFASPLAVMGLVIRTECVEFMPFYLSVSTFLMSASFAMYGLLLRDFFIYFPNGLGVILGAMQLVLYAYYSRRWKNSDSSAPLLA >Sspon.01G0033710-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1A:113257447:113259811:-1 gene:Sspon.01G0033710-1A transcript:Sspon.01G0033710-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GRNVVLDEFGTPKVVNDGVTIARAIELADPMENAGAALIREVASKTNDSAGDGTTTASVLAREIIKLGMLSITSGANPVSVKKGIDKTVQKLVEELEKKSRPVKGSGDIKAVAAISAGNDEFVGTMIAEAIDKVGPDGVLSIESSSSFETTVEVEEGMELDRGYISPQFVTNPEKSTVEFENARILVTDQKISSIKEIIPLLEQTTQLRAPLLIIAEDVSGEALATLVVNKLRGILNVAAIKAPGFGERRKALLQDIAIVTGAEYQSKDLGLLVENTTVEQLGIARKVTISSSSMTIIADAASKDDIQARIAQLKRELSQTDSAYDSEKLAERIAKLSGGVAVIKVGASTEAELEDRKLRIEDAKNATFAAIEEGIVPGGGAAYVHLSTFVPAIKETLDDPEERLGADIIQKALVAPAALIAHNAGVEGEVIVDKIRESEWEFGYNAMADKHENLVEAGVIDPAKVTRCALQNAASVAGMVLTTQAIVVEKPQKAPAAAAAP >Sspon.02G0010890-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:28180947:28186321:1 gene:Sspon.02G0010890-2P transcript:Sspon.02G0010890-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEKAVDDVMEAAVGAHFSGLRLEALRLSTSAPSSPSSSPAAAAHAHSNGAVYANGTAEAELPSPGAARQPFVIGVSGGTASGKTTVCDMIIQQLHDHRVVLVNQDSFYRGLTAEESAHAQDYNFDHPDAFDTEQLLECMGQLKRAQPVNVPIYDFKKHRRCSDSFRKVNASDVIILEGILVFHDQRVRNLMDMKIFVDTDADIRLARRIRRDTVERGRDISSVLDQYGRFVKPAFDDFVLPSKKYADVIIPRGGDNHVAVDLIVQHIRTKLGQHDLCKIYPNVHVVQSTFQIRGMHTLIRDREITTPDFVFYSDRLIRLVVEHGLGHLPFTEKQVITPTGSVYMGVDFCKKLCGVSIVRSGESMENALRACCKGIKIGNSVAQAIDLLIRKGVPEGRIVFLNLISAPEGIQCICKRFPSLKIVTSEIDYGLNEEFRVIPGLGEYGDRYFGTDN >Sspon.07G0036010-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:19933359:19938287:-1 gene:Sspon.07G0036010-1D transcript:Sspon.07G0036010-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSHCFENPPALEPASGGGEVVDDFGGQKAYVTGSAGSKAAVVLISDAFGDEGNGVGLPAGRGIACRGDEATVREWARGVETLAAGVEVGDEAGKTEEGHRAARVEIDGAGCEDGGRALQNDGLGRRRAETIAVPSWRAADGDANPNCARRGRGRWAGRVAARLGGEERAGGGGGGGEAAPVAKFGSLPASPSAISPKHLADWSHSRVLRGRLRL >Sspon.02G0023080-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:78007648:78009667:-1 gene:Sspon.02G0023080-1A transcript:Sspon.02G0023080-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPSVPRTGEHAAAPTGGDTILAVHDDDGTVHCDSLDATAPRDAAGREVRYRGWKAMPFVIGNETFEKLGSIGTAANLMVYLTSVFHMTNVRAAVALNVFGGTTNLATVFGAFASDLCLGRYATVGFGCVATLIGMIILTLTAGVPALHPAPCSAGAGGQQCAGTTKGQFAVLALSFLFIVVGAGGIRPCSLPFGADQFDPRTESGRRGINSFFNWYYFTLTIAVVGSSTGIIYVQSNVSWCIGFAIPAALMFASCVLFFAGAGLYVRVRPEGIPLASVFRVAVAAARKRRAPALEDPAASHFRTRHASSLVSRLPYTDQFRFLDKAAVVVEYDREVDDASGRPRDPWRLCSLQQVEEAKCVLRIMPVWATCIVYYVAFAQTNTYVVLQAAQADRRLGPGGFEVPPASFTIFPMLALAVWIPLYDRVVVPWLRRLTGVEGGITLLQRMGVGMVLSVVAMLIAGVVEQRRRESAVLHQAEANRELLSVTLVSPASAFWLVPQLAALGLSEAFNQVSQMEFYYKQFPENMRSVAGSLLFTGLALSLYLSGLLVVVVHRVTADPVLGDDGWLAENLNRGKLDWFYFLIAFIGAVNFFVFLAFAKWYRYKGLDDGEHGADGVHQWQPRTVEVDDCPEEGRV >Sspon.03G0029650-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3C:6982244:6988377:-1 gene:Sspon.03G0029650-2C transcript:Sspon.03G0029650-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRLRPPPPHPSTAAAAALSSFLPSTSFRRLIPPPRRRLSAAAKDSTGRLDPRQERYDVIVVGGGHAGCEAALASARLGARTLLLTLNIDRIAWQPCNPAVGGPAKSQLVHEVDALGGEIGKIADRCYLQKRVLNSSKGPAVRALRAQTDKREYAIEMKNVVESTENLFIREAMATEVLIGKNDSVEGVRTFFGMDFYAPSVVLTTGTFMSGKIWVGRTSMPAGRAGESASHGLTENLQLLGFETDRLKTGTPPRIDRRTVDFSGLEPQHGDEEVGWFSFDPEFHIEREQMCCYLTRTTKETHQIVIDNLDETPTYGGWVEAKGPRYCPAIEDKIVRFKDKESHQIFLEPEGRNVPELYLQGFSTGLPERLQLSLVRTIPGLENCLMLRPAYAVEYDYLPAYQCSRSLMTKKFEGLFFSGQINGTTGYEEAAAQGIISGINAARHSDGKSLIILERESSYIGTLIDDLVTKDLREPYRMLTSRSEHRLLLRADNADSRLTPLGREIGLIDDRRWELYQSKQARIKQEKERLKSTKVPGGEFAAEVTAVSNQPVKDSSTLEAILKKPHVQYKLLDKHGCGNENLSRIEKECVEIDIKYEGFIARQQSQLHQIVNQEHRKLPEDLDYHSMTNLSLEAREKLSKVRPQTIGQASRIGGVSPADMTVLLIWMESNRRMANHRRQQEQLRSAAVEADGSSEEVVHAGTA >Sspon.07G0020560-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:70142460:70148121:-1 gene:Sspon.07G0020560-2C transcript:Sspon.07G0020560-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVETSADHPPRLAIAAELARPISMMMMDSVHLMDIEGKLMLVDRQSNGSRQRRKFAVYCIDLDAKKMVPVHGLGGHAVFLGRQRSVSASPLVFSSISADTIYLGFDSLLTGAMDYSPIHLMDGTSEPRNVAKDIDGMPIYRPQRVDEYLSCLVEEVHAAVGDSFASFDKKNLKASPAPFLDDLDLPLFTAMAYLHILIVLLLFSLQVPGNSATATRDTISAGQALSIHDKLVSQNGRYALGFFEAGSSQNTTNWYLGIWFNTIPKFTVGWVANRDDPMKNHTSLELKISHDGNLVVTNQPTDSIVWSTQASMKRNNTIAVLLNNSNLILRDASNSSKILWQSFDHPTDTLFQWAKLGWDNVTGLNRRLVSKKNSISPATGFYYEELDPSGVNQIVLASLKSSKPYWSSGVWNGKRFNSSPEVSRNVGYLSFVETTHEKYHTYHVSDEMNIYYNLGVSGQINVFIWPEGSQDWVLAHAEPGSQCDVYAACGPYTICSDDALPHCTCLKGFTVTSIEDWELDDRSNGCSRNTALDCNFSNESSVRSMDKFLSIPCVSLAQSERKTEDAKSSGECAQVCLGNCSCTAYSFSNNTCFIWHEELLNVRQIQCGTTADSNGETLNLRLAAKDMQSLEKNKRVSTIGVAIGTSAAVLCLFAFIMLIMIWRNKRKSSNRISQTAQGCNGIITFRYIDLQRATKNFSEKLGQGGFGSVFKGFLSDSTAIAVKRLDCAHHGEKQFRAEVKSIGIIQHINLVNLLGFCCEGARRLLVYEHMPNGSLDIHLFRSHATLLKWSTRYQIALGVARGLAYLHEGCCDCIIHCDIKPENILLSDSFIPKIADFGMAKFLGRDFSRVLTTFRGTIGYLAPEWITGVAITPKVDVYAYGMVLLEIISGQRNTSVSCSCSSSSHDIYYPVHVARTIVEGDVMSLLDHRLNGEANSKQVEIACKLACWCIQDDESNRPTMAE >Sspon.02G0027840-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2D:104309296:104310907:-1 gene:Sspon.02G0027840-2D transcript:Sspon.02G0027840-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAARHLAAAGGRLFSAASSASASARGGHAGGAAGSGDTLGKRLLKLIYPKRSAVVVLRRWAEEGRTVQKYELNRVVRELRKYGRFKHALEVTCDAQPFHRRRFGSSPARHLLDICEWMRTQPEMRLLPGDHAVHLDLVAKVRGLPSAVKFFEDMPERAKGPSTCNALLHAYVQHGAREKAEAMMAEMARVGYLTCALPFNHMMSLYMASGELERVPEMIKELRRYTIPDLVTYNIWLTYCSKKNSVKSAEKVFGLMKDDRVVPDWMTFSLLGSIYINAGRHVEGRNALVEMEKRASRKERAAYSSLLTLYASLSDRGNLDRVWNKMRETFRKFSDTEYKCMLTSLTRFDDIAAAESIYREWESASGTRDSRIPNTILSYYIKNGMIEKAESFLGHIVEKGVKPSYSTWELFVWGYLGDNKTDKVLECLKKALSSLEKWEPNHELATAIFSQIEKTGDIEAAEKLLVMFRDAGYVTTEMYNSVLRTYAEAELMPLIVDERMEQDKVAMDVETRRLL >Sspon.03G0026830-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3C:8157539:8165772:-1 gene:Sspon.03G0026830-2C transcript:Sspon.03G0026830-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase TIO [Source:Projected from Arabidopsis thaliana (AT1G50240) UniProtKB/Swiss-Prot;Acc:Q2QAV0] MGIEDYHVIDLVGEGSFGKVYKGRRKYTRQTVAMKFILKHGKTDKDIHNLRQEIEILRKLKHENIIEMIDAFETPQEFCVVTEFAQGELFEVLEDDKCLPEEQVQAIAKQLVKALYYLHSNRIIHRDMKPQNILIGKGSIVKLCDFGFARAMSANTVVLRSIKGTPLYMAPELVREQPYNHTADLWSLGVILYELFVGQPPFYTNSVYALIRHIVKDPVKYPDNMSANFKSFLKGLLNKVPQSRLTWPALLEHPFVKDDSMGSAAESRPAPFEARGSEGRTTATNRDNDSDKPKECTALDKLEKASQTVEGANSIVEDNEALSTIFSPIKIWLTNTPSSPRELNIDGANQSLRIIKNLIDAGSCQSYAAIDISLLLEFTNLIIKTKLSNAYGLVVKCLAIARKLLDTSDEVILKSYDRHWLSLYELYSQILVSTVDPSGRISRESTACLALMLSRVISGLKASMSSENPKPVEESLLKVAVYHCLHNGLESAIHACLQYWTARDKVEMGGPLAIEQACSVGIPQLLIRLLTDGFSKEISDGKDSSTNRSGLSPLGVVWTLSALSQCLPGGVFREILYRREQLKLLTDLLSDTHLKALAAWTGLGGGKRGVRELINSVVDILAFPFVAVQSSPNMPLASASINSGFLLNVASPGGRIGTENKEMLKTIEQNMPQYIQVLLEVGIPGCMLRCLDYVDMEDLGRPLAIVAKMAGYRPLALQLHKEGLLDPSRVAALLEGPIAKETLLDFLMIISDLARMSKDFYVPIDKAGLVGFLKNFLSNGDPDIRAKACSAIGNMCRHSSYFYGSLAANKVIQLVVDRCSDPDKRTRKFACFAFQESQIELPVQFLPCQVGNAAYHNDMLYEELRRSIPQLTTLLLGPEEDKTKGNAAGALSNLVRNSDKLCEDIVSQGAIQALLKMVGSYSTVALSPSRRDALTESPLRIVLFALRKMCDHAICRNFLRSSELVPVIVHLRQSPDPTISEYASAIATRVSQA >Sspon.04G0030070-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4B:75639124:75640706:-1 gene:Sspon.04G0030070-1B transcript:Sspon.04G0030070-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTRIKCATIPIWLGHRPPVIKYVFVPMDKGDDSNIKSNTYIRAQVVVEWDSVMDVVGIGRRESREAAATDALQYPRCYSTAGTVHVGERERQNGG >Sspon.06G0016530-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:74130616:74132604:1 gene:Sspon.06G0016530-2B transcript:Sspon.06G0016530-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VTTVPAPVHPPTERKSNVHPQNPPPRENKKSFTPNPPPPIPSFLSAAAAAAQIRRHGVLPHLLGRRRGRRARPASARHRPRSRIQRPRPLLLRPSPPPQPPRLLRRRARLLRPAAALHRGPPLPPRRRQLRCLQRRRRRIRRGRPRGGRSWDREPDPFADSDPAPTSLSAPSDTPFEEHQNTGINFDAYEDIPVETSGRDVPPAVSTFAEIDLGEALNDNIRRCKYVRPTPVQRHAIPISLAGRDLMACAQTGSGKTAAFCFPIISGIMKGPPTGRPQRGGGMGMRTAYPSALILSPTRELSMQIHEEARKFSYQTGVRVVVAYGGAPITQQLRELERGVDILVATPGRLVDLLERARVSLQSIRYLALDEADRMLDMGFEPQVRRIVEQMDMPLPGVRQTMLFSATFPKEIQ >Sspon.03G0036180-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3B:88429863:88430594:-1 gene:Sspon.03G0036180-1B transcript:Sspon.03G0036180-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTTSSSSEKGSICSTHLATCCMSYRWYDDEDSLPPSPPPAAGVGSSGRVLVACPSSSSSSTIASSALSEDVPASPGATIRIDTSPGPAPVPGCWVPPPGSGRRMPEYSSSAVSGAWMKLDAEKCTPYLLDGAGGWGEGGGDGARCGWGCGGGGASIGAGRDGAQAEGSFQRRPPRRRRRRAGGAASGDEGEGGGDDVGAARRPHAARRRRRRWRGPVLVAVVVAVGGIFFREAWRIFCGLSF >Sspon.05G0024820-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5B:18348686:18349972:-1 gene:Sspon.05G0024820-1B transcript:Sspon.05G0024820-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTVLALGLALLCAAGPSTAQNCGCPPGYCCSQFMLSSGGVRAHQLGVAPRAPDRLRESIEFWTLAYTCRVQYLWSLPSNKYRTKGAITSLLSTRSKVRILVQRK >Sspon.03G0003830-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3A:9905541:9913622:1 gene:Sspon.03G0003830-1A transcript:Sspon.03G0003830-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLSTSETENGHSAFPTRPARSISVSRRAVQSTPMSSNGRSSVLNANISSVSSRPTTPSKRTATLTASKPSVPSSRPVPTRSSTPVKARLSTPTNTRPSTPVKNRPSMSNSTANSVVPKNTSAQSSRSSTPTSRSRTLSSSSSGSTSAVSRPSSSSGKVPGITRTSSSSSTVPSVSRSSSRSSTPTRQPAMRSSTPSAGRISCSNNMTSNGRPLASSGRSSAPSSAPSSRPSSPNTRTRAPVRPLDIPDFPSETPPNLRTKLPERPLSAGRARPGITSGVRSTPNAEPVLSAPVKKMSVPAITRSKFSDIQSKTSLTNGHQSRPSERSLLEGQTTRTSRSVTAADNGFSRTILRKSLDMAIRHMDIRQNLGGIRGTSLFPHSIRSTTTKGRPARSSDPGHSVSNGDRYFTDNGSSNGHFSGDSSGALSHNGGSSIGSPDRETFGTKEVLSELDIYANSRYEVMLLKEDTKNMSWLHSVDDKSDQSPVFDHRFEPLPEPFGNQSSRRLLKSMRQTAIEATPAHLSCRLRLRRRADDGRTSDAQRHHTDSHSSTAHSALPASARASTTPPTHPVTPTLRTEGAQPRRHENSSVAASSRVSSERAGGESGGWRGLPPRLYAAPRRNAGLPRGQIGLSRRRGSRISVFLAWFWPELGSCGGRREKGEWEPVVSWFSARQRETCYWWIVNTENVPVMDVVGTGRIEEEETDGATVPARLVLELQLNDDSARHKLNT >Sspon.02G0054710-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:117907823:117907975:1 gene:Sspon.02G0054710-1C transcript:Sspon.02G0054710-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPRALDGGTPMQGVAGRFSVAMAPSSSPTRSQLPAGGSKLAAAMAAPSV >Sspon.01G0037280-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:15939768:15946843:1 gene:Sspon.01G0037280-2C transcript:Sspon.01G0037280-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGGGGGCCPSMDLMRSEAMQLVQVIIPAESAHLTVSYLGDLGLLQFKDLNAEKSPFQRTYAAQIKRCSEMARKLRFFKEQMSKADITTSPTQLNETHLDFDDLEIKLGELEAELTEVNANNEKLQRTYNELLEYHTVLQKAGEFFYSAQRTAAAQQREMEANQSGQTSLESPLLEQEMSTDPSKQVKLGSLSGLVPKEKAMAFERILFRATRGNIFLRQEPVDEPVTDPVSGEKVTKNAFVIFYSGERAKTKILKICDAFNANRYPFPEDVSKQLHAVQEVSGKISELKATIDMGLAYRDSILKNIASDFEQWNHLAKKEKAIYHTLNMLSVDVTKKCLVAEGWSPVFASVQIQDALQRATVDCKSQVGSIFQVLNTKESPPTYFQTNKFTSAFQEIVDAYGVAKYQEANPGVFTIVTFPFLFAVMFGDWGHGICLLLATLYLIIQEKKLASQKLGDITEMMFGGRYVIMMMALFSIYTGLIYNEFFSVPFGLFGKSAYECRDPSCSDATTDGLIKVRDAYPFGVDPVWHGSRSELPFLNSLKMKMSILLGVAQMNLGIVISYFNAKFFRNSLNVWYQFIPQLIFLNSLFGYLSLLIIIKWCTGSKADLYHVMIYMFLSPTDDLAENQLFSGQKTVQLVLLLLALVSVPWMLIPKPLLLKKQHQQRHQGHQYAMLQGTDESVGAELGNIMRKHMTMRSLSSVNLAHSELSSVFYDKVLLTAWGLNNVFALIIGGIVFVFATVGVLLVMETLSAFLHALRLHWVEFQNKFYEGDGYKFAPFSFALIHEEED >Sspon.02G0046370-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:2835855:2837214:1 gene:Sspon.02G0046370-1C transcript:Sspon.02G0046370-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCCTGSARCANASTPFESKVTSRSTASNNSNSTTTANKLASRRSIGSSSALSGQLAGSDVQVQAASAGTGAGAGVGLKSFSMSELRAATKNFGSTSYLGEGGFGCVYKGWIDEATLAPTRPGVGRMVAIKKLKEESFQGHREWLAEVTYLGQLHHANLVTLVGYCSDSSANKLLVYEYMLRGSLENHLFRRATQPLSWPMRASIAIDVARGLAFLHSRDDSPVIFRDLKSSNVLLDSDYRAKLSDFGLARNGPTGDKSHVSTRVVGTRGYAAPEYIATGHLSTKSDVYSFGVVLLELMTGRRAVDDARGGSAATLVDWAYPQLGDRRKVIRIMDTRLGGQYPKKQAQGMAALALRCLQNDPKNRPAMADAVLPELEQLLQHNSHKSSSYTSSTPPPVHGRPGRPHS >Sspon.06G0004360-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:64133844:64136035:1 gene:Sspon.06G0004360-2B transcript:Sspon.06G0004360-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-prolyl cis-trans isomerase CYP18-2 [Source:Projected from Arabidopsis thaliana (AT2G36130) UniProtKB/Swiss-Prot;Acc:Q9SIH1] MYYKHAPKTCRNFVELARRGYYDNVIFHRIIKDFIVQGGDPTGTGRGGESIYGAKFEDEIKSELKHTGAGILSMANAGPNTNGSQFFITLAPCQSLDGKHTIFGRVCRGMEIVKRLGSVQTDKNDRPIHEVKILRAIVKD >Sspon.01G0051840-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:18503440:18508626:1 gene:Sspon.01G0051840-2P transcript:Sspon.01G0051840-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKDQGGGAASSGGGFFSSFAAGMRSWGTAVHKSVNGLLGYEGLEVINPDGGTDDAEEEALKGRWKQEDRDSYWKMMHKYIGSDVTSLVTLPVIIFEPMTMLQKMAELMEYCELLDKADECEDPYMRMVYASTWAVSVYFAYQRTWKPFNPILGETYEMVNHQGITFLAEQVSHHPPMGVAHCENEHFTYDITSKLKTKFLGNSVEIYPVGRTRVTLKKSGVVLDLVPPLTKVNNLIFGRTWVDSPGEMVMTNLTTGDKVVLYFQPCGWFGAGRYELDGYVYSAAEEPKIMITGKWNKSLSCQPCDQEGDPLPGTELKEIWRVAPTPQGDKYQYTHFAHKINSFDTAPKKLLASDSRLRPDRYALEKGDMSKSGAEKSRLEDQQRAEKRTREAKGEQFTPRWFNMTDVVAPTPWGDLEIYEYNGKYTEHRVAIDSSNVTDETDVTSIEFNPWQYGSSSSQ >Sspon.08G0008230-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8A:30197880:30201192:-1 gene:Sspon.08G0008230-1A transcript:Sspon.08G0008230-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKRILKELKDLQKDPPTSCSAGPVAEDMFHWQATIMGPSDSPYAGGVFLVSIHFPPDYPFKPPKVAFKTKVFHPNINSNGSICLDILKEQWSPALTVSKVLLSICSLLTDPNPDDPLVPEIAHMYKTDRAKYESTARSWTQKYAMG >Sspon.08G0020430-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8C:23698316:23701051:1 gene:Sspon.08G0020430-2C transcript:Sspon.08G0020430-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding YGEAPVFFRFQPTSSAPVSNLTTLRPGIITLHFHGYGATTVRAALLDSSALSQSPSLQRKKQRVVAATTAAKKRRLDPPGEWRRRRWWSEVTNKASVSLHPHLCLSASAPACAYASVSASASACVPSPARAAHAVTATLCSPSRKSKPQRNRPRPVSPHTDSDALPARLVACQSPHPLAGDAAAAGRGGAGHEGRAGKPEVEAEAEARGDGVAVAEAGGEVVAQGNATEAKEGSLADMIDRALEKEFPESEGEQGGG >Sspon.01G0016340-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:51485251:51486203:-1 gene:Sspon.01G0016340-1T transcript:Sspon.01G0016340-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPLPRCLLAGICAAFLLAAVVAHGAEHETASMVVGLAKCADCSRKNMKAEAVFNGLKVAVKCKNADGVFVTKALGEVDKSGAFSIPLAADLLREDGELKQDCFAQLHSAANQPCPGQDPSWIVGPTSDSQYDDDKMKKTFVAVAGKVHYPSKECASAFLCYHFFKKHLLHKKPIVIIPHIHKKPVPEYKPPTTTTPVPVYHSPVPEYKPPHPTPTPIYHPTAEDKTQNPETDPEKFKKLLPFIKKNPFFFPKFPKFPPGKEEIK >Sspon.03G0025280-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:76458993:76460703:-1 gene:Sspon.03G0025280-1A transcript:Sspon.03G0025280-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GWSWRCSRTGAVISPCASSGSRTASRRPRCPRTSKSNPCSRSSTPTTPTSSTSSSATTSSASTSVSMWCSGTHRTAWPILTSLRHPFSLGSRPRSPHPQPADPTASTSSSTSDHTQLRPTARSMKRRRRRTTRTRRRRPTSRGSTKAGAGAGVGRR >Sspon.01G0035220-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:8933477:8938143:-1 gene:Sspon.01G0035220-1B transcript:Sspon.01G0035220-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRYHRVEKPRPEAAAISENEIRITTQGLIRNYVTYATSLVQEKRVKEIVLKAMGQAISKTVAIAEIIKKRIPGLHQDTIISSVSITDVWEPIEEGLVPLEMTRHVSMISISLSPKELNKNSPGYSIHMDAAEVEAGHEEGAGVAGVRMVEAMVDTNMITKEVMVGMDTKVDMDIKVDMETREDMATTKVVMVVMVTTKVDMEAMKMEVGTTTGTEEVVVVAEDEATGAMVVLDMTEVAEAQVALAAGAMCEAVDEWVAAVGGAQPKLLDQGLDLPTRSCGSVWHWKRTKGEKKKIRHFPWP >Sspon.05G0018970-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:76171629:76175486:-1 gene:Sspon.05G0018970-4D transcript:Sspon.05G0018970-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFGVDTRPAAAASGGGSGSGVAGTTGEGALSFLSRGLREDLRLIRARAGELETFLSAPVPEPELFARLRRAYSSSASSARTRLDLSAFGKAFEADAWRGARAARWRWETEEAEEWEPVRMVKARLRELERRRQAQSPSDMLHKLKLSLKSMTFAPEASEDVPPLDLGELLAYFVKQSVPLFDQLGIKRDVCDKLVESLCSKRKDHPAYRFLSASEPSSLRNDNVGDELDLRIASVLQSTGHHYEGGFLSDGPKSDISGKRHVAIVTTASLPWMTGTAVNPLFRAAYLAKSSKQDVTLVVPWLCKSDQELVYPNSMTFNSPQEQETYMRNWLEERVGFKTDFKISFYPGKFQKERRSIIPAGDTSQFIPSKEADIAILEEPEHLNWYHHGKRWTDKFNHVVGVVHTNYLEYIKREKNGAIQAFFVKHINNLVARAYCHKILRLSGATQDLPKSMICNVHGVNPKFLEVGERIAAEQESGQQSFSKGAYFLGKMVWAKGYRELIDLFAKHKSDLEGFNLDIYGNGEDSHEVQSAARKLNLNLNFHKGRDHADDSLHGYKVFINPSISDVLCTATAEALAMGKFVVCADHPSNDFFRSFPNCLTYKTSEDFVTKVKEAMTRDPQPLTPEQRYNLSWEAATQRFMEHSELDKVLNSDSSECASSVTTESGKSRDTRMRRSASAPNMSDVVDGGLAFVHYCFTGSELLRLSTGAVPGTRDYNKQHSLDLHLPPPQVQNPVYGW >Sspon.03G0003860-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3A:9919255:9920223:-1 gene:Sspon.03G0003860-1A transcript:Sspon.03G0003860-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHCPATIADTAVGHAYAKLNQRLFNVALTRLVRYWLLLCYEKRHREPAVRQRAHGVRRTPREIASPRMNAITTAAGLPDVPRCRQGAQSRTATAFPARLQQQSRHAARACGDHDSWGPPAQVTRLRLSAPGVGKRTGTPNVVYERDSLNLEPALGVSGLRLRLCATTSWLVAGHGRQAYGCRPWRQRRRRPGLAIVAPAATSRFCTSISAFSCSPRWRTGKITT >Sspon.01G0020710-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:81712294:81713504:1 gene:Sspon.01G0020710-2B transcript:Sspon.01G0020710-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKKGKWSKEEDDLIINYIQRHGSIGRSWQALSDSLGLRRCGRSCRSRWLNYLRPGLKHGNFTLAEERIICEMYSKMGSCWSVIAARLPGRTDLAIKNYWNSTLKRKYLLPAARTAAGPARPAARRPTPPGFRRGASIVYSSEESSTAGSSSPVKPILAGPSPVPVAAAGQEPITAVPVSGPVVGIEQKPALVSRLPLEKTLPPPPPPAGDQVGERLTDLVCAPLSPIPLNFMEPELLACIDGFDDTDSFLP >Sspon.07G0011960-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:42848106:42848698:-1 gene:Sspon.07G0011960-1A transcript:Sspon.07G0011960-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAGRSIGVAVDFSSCSKNALRWAAANLAAPGDRLILIHVKTSYQYEQGVAHLWEHDGSPLIPLVELSDPRVGNIYGVAPDRETLEVLARAAAQRGVHVFAKVLWGDPARKLTEAVHKVPLQWLIVGNRGLSTVKRVLMGSVSTYVVNHAACPVTV >Sspon.03G0021150-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3A:65137719:65142383:-1 gene:Sspon.03G0021150-1A transcript:Sspon.03G0021150-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSADSSNLPLTCGAEPACSIKPRAERRRPHFFLTSQARTHSLQSPSPAGLSRFLRNSKTLAQDSADPSSTPLGWRGSEVGVGRPSFQRCGTARFGAEPVTGGVGRDEDGAKVGLPSLDLSLAFPQATPASIFPPSASDYYQFDDLLTSEERSIRKKVRSIVEKEIAPIMAEYWEKAEFPFHAIPKLASLGVAGGTIKGYGCPGLSITASAVTMAEMARAPGGWHIDGQKRWIGNSTFADVLVVLARNADTQQLNGFIVRKGAPGLKATKIENKIGLRMVQNGDIVFNKVFVPEEDRLPGITSFQDISKVLAISRIMVAWQPIGISMGVFDMCHRYLKERKQFGVPLASFQLNQEKLVRMLGNVQSMLLVGWRLCKLYESGKMTPGHASLGKAWNSRMAREVVSLGRELLGGNGILADFLVAKAFCDLEPIYSYEGTYDINSLVTGREITGIASFKPAALAKARL >Sspon.01G0004280-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:10806817:10808724:-1 gene:Sspon.01G0004280-1P transcript:Sspon.01G0004280-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SFFYPRKDESMISTDGGNEETDTDSLNVSRGLSHPGLSSSLSNKASVVPTPLLPSGPSDLRFNRLRPSIDESDCKYKRLFGCYVAREAVIDEEYWIAAWLRAEDHYENESGNRYVESFKRKFASKEFHALKKRCSKQHGEKYICFVAVKNDDLRRTVLNSVVGTLDVCVRHPLHGEKFPEEPGKSSLHCRIYQPDQPKFGYVTNVCVAKYARRQGIASNMLLLAIDAARLNGAENIYIHVHKDNLPAWRLYDQIGFKMVDQDGACCSSDLCLLSFSS >Sspon.01G0041910-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:58067533:58071735:1 gene:Sspon.01G0041910-1B transcript:Sspon.01G0041910-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRNRKESGYPTAALEEEQEEAEEQTEEGDEEEGEEQTEEGSGGEDQAEAEAETPAQEAVEPPKLAEGFYEIEAIRRRRLRKGQLQYLVKWRGWPESANTWEPLENLKACSDIVDAFNKRSRSPRSSRKRKRKTATTPTSDPNPSRGKRGRPPRSEARSMQGIHASEVKKLPCRTSSRRANCNSNKTSPGGLDASVNLLGQRVVQEGSSGVVSVGFLSQGAPLSVSLTDQQDVQHPANGSLKVDNSIRATPPQGGQVTGAKKRKSGCVRRFKQDEVTQEQGDIRDRTSDKPGNETVDSTEGETGDKNKGEDSGNQIHMPKIIKIIKPVRYFATVMDGVQQVAITFKALRSDGEEVFVDDKQLKAKEPLVLINYYEQHLRYNPTS >Sspon.03G0018430-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:43800314:43802673:-1 gene:Sspon.03G0018430-2D transcript:Sspon.03G0018430-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAMETDINAPPPPAPAGEGSSAPGPSSSSRKPNKRFEIKKWNAVALWAWDIVVDNCAICRNHIMDLCIECQANQASATSEECTVAWGVCNHAFHFHCISRWLKTRQVCPLGVCNHAFHFHCISRWLKTRQVCPLDNSEWEFQKYGH >Sspon.01G0050630-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:5447023:5455598:1 gene:Sspon.01G0050630-1C transcript:Sspon.01G0050630-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPYKHRPSSTFNAPYWTTNSGAPVWNNDNSLTVGARGNCWSAAGADYHLVEKLANFDRERIPERVVHARGASAKGFFEVTHDITHLSCADFLRAPGVQTPVIVRFSTVIHERGSPETLRDPRGFAVKFYTREGNWDLVGNNFPVFFIRDGMKFPDMVHALKPNPKTHIQENWRILDFFSHHPESLHMFSFLFDDVGIPADYRHMDGSGVHTYTLINRAGKVTYVKFHWRPTCGVRSLLDDEAVAVGGANHSHATKDLTDAIAAGNFPEWTLYIQTMDPEHEDRFDFDPLDVTKTWPEDVLPLQPVGRMVLNRNIDNFFAENEQLAFCPGLIVPGIYYSDDKLLQTRIFSYSDTQRHRLGPNYLLLPANAPKCAHHNNHYDGFMNFMHRDEEVDYFPSRYDPAKNTPRYPIPTVHITGRRDKTVIAKENNFKQPGERYRAMDPARQERFIKRWVDALSDPRLTHEIRSIWLSNWSQADRSLGQKLASRLSAKPSM >Sspon.06G0003790-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:9514152:9519793:1 gene:Sspon.06G0003790-2B transcript:Sspon.06G0003790-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGGGQGKAAGYYQYPASYAADEEGRWWPWLVPTVLVACIAVFAAEMFVNDCPRHGSALGGGAGCVAAGLLRRFSFQPLRENPLFGPSSATLEKMGALNWAKVVHEHQGWRLISCIWLHAGLVHLVVNMLSLLFIGIRLEQQFGFVRIGVIYLISGFGGSVLSALFLRSNYISVGASGALFGLLGSMLSELIMNWTIYSNKAAAIITLLFIIAINLAIGILPHADNFAHIGGFSSGFLLGFMLLARPQFGWMERSELPQTNQPPKYKLYQYVLWVAALLLLVVGFVIILVMLFKGKNGNDSCHWCHYLNCVPTSRWKCDT >Sspon.04G0017160-3C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4C:68824401:68826797:-1 gene:Sspon.04G0017160-3C transcript:Sspon.04G0017160-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQACLTGAGAEPIAIGAAARRRAREDDESYTCVITHVAGAGGGSVRKRVYFGFGDGGGWLVEADDEAPAPAPDFLSRCCLCDKRLDGLDIYMYRGEKAFCSSECRCQQMLMDDRADKCGSEAFIRSGDYSYSVSPHSAPMAFSPSVAAA >Sspon.01G0010820-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:32623972:32629300:1 gene:Sspon.01G0010820-3D transcript:Sspon.01G0010820-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHTMAGHRNSHGKRHSDYTENGGGKRRNPGDDTYAPGPDDTVYRYLCASRKIGSIIGRGGEIAKQLRTETQAKIRIGESVPGCEERVITIFSSSRKTNTIDDAEDKVCPAQDALFRVHERLATDESLGNEDGEEISPQVTVRLLVPSDQIGCILGKGGQIIQGIRSETGAQIRVLSNDHIPACAISGDELLQISGDTVVVRKALHQVSSRLHDNPSKSQHLLASSLTQPYPGSTHLGGSSTAPVVGITPVIPPYGGYKGDAAGDWSSLYQPRRDESSAKEFSLRLLCAAANVGGVIGKGGGIIKQIRQESGALIKVASSNSDPDDDCIITVSAKEFFEDPVSPTIDAAVRLQPRCSEKSDSESAEQSYTTRLLVSTSRIGCLIGKGGSIITEIRRTSRANIRILSKENVPKVAAEDEEMVQISGGLDVARHALVQIATRLKANFFEREGSLSAFPSVIPYHPLPSGASDEPKYLSRDTKPVGHYLYSSAFRTSDDMIPSDSYASYGGSQNFIR >Sspon.05G0005590-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:19541886:19543280:1 gene:Sspon.05G0005590-1P transcript:Sspon.05G0005590-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LLVKGCQMESVAVVTVPFPAQGHLNQLLHLSLQLASRGVPVHYAAPAAQVRQARARVHGWDEATLRSVEFHKLGISEYVSPPPDPTAPSPFPTHLMPLFEAYTSGARAPLAALLAGLSASHRRVVVVHDRINVYAGEEAARLPNGEAFGLHCLAASTLAGRTDAGLRLLRDRGLAFLDVAHFASREFAEYVAKRAMPTKEISPGAGILVNSCRALEGEFIDVVAGDLAADGKKYFSVGPLNPLLDLHADARRQSKPRHECLDWLDKQPPASVLYVSFGTTSSLRTEQIAELAAALRDSDQRFIWVLRDADRGNESVNDDESQNRHAELLSKFTDQTEGRGRVITGWAPQLEILAHGATAAFMSHCGWNSTMESLSNGKPILAWPMHSDQPWDAELVCKYLNAGILVRPWEKHGEVIPAEVIRQVIEDAMLSHQGVAVRQRAKVLGEAVRACLADGGSSRKDLDDF >Sspon.02G0009490-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:26714718:26730311:-1 gene:Sspon.02G0009490-1A transcript:Sspon.02G0009490-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMLRRHHEVHKEVSRLQAGDADVVSRYMELLGQGVSNLQITGAIALRRSSDDTGLAGTVLVGCGGGSGGKAMRLWMFSGGKMRGAKLGGDWAVLARRAGAGDVPQATALEAADWVTTLGDAVICGETSEAAASEGIYFGRRQGARRHHLDILIVASSCGREQSSSTSASAWIFAIPDELLWGAAWLRRASKDDTFLQYIQNNGKTLGAEDSSNEFGWDNKHAGLNVLVSKEFIEGDALSLQSYKEFADSFICTLIPESSSPHITYSPGGMIYKPGGSNMQHVTSISFLLLTYAKYLTKSSHTVNCGDVSVGPVTLRLQAKKQVDYLLGDNPMKMSYMIGYGDRYPQRIHHRASSLPSIKDHPQRMACKEGTPYFNSSSANPNPLIGAVVGGPGEDDAYEDDRADFRKSEPTTYINAPLRTAAIAQVKDITALPSWTSKAETKEVLAITISSHHSADCSAEQDKMFPFVLAAAGVLIVFLYAVKNRRGSKLPPSPPSLPLIGHLHLIGRLAHRSLRDLQIRYGGSGGLLYLQLGRRRTLVVSTAAAAADLFRNHDLAFASRPHSVSGDKMMYGCNNVSFAPYGGNWRRGKKIATVHLLSQRRVESFAPVRAAEVAALVARTRRAAEAGEAVELRELLYGYTNAVITRAATGAAGATAERLKQLLGNSAALMVGFQPEDVLPDAPARFVRWATGLDKKLDDMAEAWDEFLSEIVAAHKEKRGGDAGEEDEDFLDVLLRLREEGVDGLELTDDRIKGTLEDMIGGGTETTIQTLEWTMAELIANPRVMAKLKDEIARVVTADQPTISESDLNRMEYLKAVFKEVLRLHAPLPLLVPHESTAPAVVQGYEIPTKTGLYINVWEIGRDPAAWDAPEEFRPERFVGSSSPVDFRGTDYQFIPFGAGRRICPGISFALPVLELAVASLMRHFDWELPAGMHLADLDMSEAPGLMTPRRIWENVLAFVWASCQLLYLKSSKLKPTASFSNHLSQNLAILSAGNPTWGNYTLTIPFSSTTSNYSFHLTNVYAPSNHNETSAFLAELRNIQPPTSCPWLAVGDYNLTRSPTDKNTAGFNWALANRFNRIIDELALIELPLLDRLYIWSNKRDSPTLARLDRDFINTEFCYRFPNSSLSSRLGTPSDHIPLLVTVLTSLPKPTSFCFENAWLQKNNFLPTVLPAWSHCWVGVVDASGVVVTRIKAVRHAAKAWARKNRSPPAIYHNYSFLILLFDMFEEWRNLSVDNGAHKLLHRHPRERGHHVLGFDLQALYSGAEQAEGDPLIAPFTEGEAKKAVRGMNAASVPGPDSLGPSFYAAAWDTTKGAVMEFLQAFHAKSADMERINRALIVLIPKTTPALTPNAFRPVSLQNCLIKILAKILTFRLQQQVPRRIDIDQTGFIKGRSISENFVYATELVQSCYKRKTPTLVIKLNFAKAFDSVNWDSLNTVLQARGFPELWCRWMSQLLTTSRSVVLINGIPGPWICCRRGLRQGDALSPYLFLLVADVLQKLIKADDGIRHPLMEGPCPVLQYADDTIILVRGDSGDATRLKQKLDMFSAATGLVINFNKSTVTPMHVDSEAFQNMAQILQCREGSFPQVYLSLPLSNVKLRLSAFAPLIAKADRYLAGWKATLLSIAGHVVLINSVLDGLPTYAVGALMLPPGIKEALDARRRVFLWTAADKVSGAQCLVAWEKVCQPKEEGGLGAIWARSQTCLDSLHGNLAGTHWDTLRDLLLAHRKITRVDIGDGRDTSFWDDVWIGDCPLVEQYPALHSHWSMQGASVREAFASPLATQTQRRLSKQALEELQQLELLQDVSLSDTNDSRSCFFVDNNQRLLSVKFEAKDELTTGKAEQNPISSPAGCNILVIRAEKMSPYLLAAGALVVFLYVTKNRRSSKLPPSPPSLPLIGHLQLIGRLAHRSLHELQLRYGGGGGLLFLQLGRRPTLVVSTAAAAADLFKNHDLAFASRPRSVGGDKLMYGCSNVSFAPYGDNWRRGKKIAVVHLLSPRRVESFAPVRAAEVAALVARTRLAAEAGEAVEMRELLYGYTNAVVTRAATGAAGATAEKLKQLMGNSAALMAGFQPEDVLPDAPARFVRWATGLDKKLEDMAAVWDKFLSEIVATHKEKGGGDAGEEDEDFLDVLLRLRKEGADGLELTDDRIKATIEDMIAAATETSSQTLEWTMAELIANPRVMDKLQDEIARVVKADQPAISEPDLNKDGISEGSVQRGASAPRTSAAPRPARINNASRRSGIRDPGQDGALRQRVGHRAGPRCVGRTGRVPPGAVHERQSLGRLQRDGLPAHPVRRRPEDLPRHQLRAAGLGARACQPSASLRVGAPRRHAPGGPRHGRGTGADDAAA >Sspon.01G0004400-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:10444744:10446348:1 gene:Sspon.01G0004400-2B transcript:Sspon.01G0004400-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAEQQLQPAKAAAGGSRFAVTCGLLRQYMKEQGGSGATRCLAPAVAMGLMPEADAAAAAATEERTTVLELFPQQAGTLKDEQQRKRKEPADGRAPLTIFYGGKMVVFDDFPAEKAEELMQLAGSGDNTAPAAAHQNAMGQPSLTDMPLARKVSLKRFLEKRKNRLTAADPYPAAAPAVASESPTKQPPAVKDEGAPWLGVSSALSLS >Sspon.05G0024470-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:15468016:15470435:1 gene:Sspon.05G0024470-1P transcript:Sspon.05G0024470-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNPSGTILQLYHAGRLSAALRAFESLPSSPASASAPDFLSPAAYAALVAACSRLRSLPQGRLVHRHLLVSSARDPYLAHNTILSNHLITMYGRCAAPDSARVVFDGMLDRNPVSWAAVIAAHAQNSRCADAMGLFSSMLRSGTMPDQFALGSVVRACAELGDLGLGRQVHAQAIKSENGSDLIVQNALVTMYSKCGSVGDGFALFERIRDKDLISWGSIIAGLAQQGCEMDALQIFREMIAEGMHHPNEFHFGSVFRACSVVNCLEYGKQIHGLSVKYMLDRDSYAGCSLSDMYARCNKLDSARKVFYRIESPDLVSWNSLINAFSAEGLHSEAMVLFSEMRDSGLRPDGITVMLCYVRVLAVMLYIKHQHLEDVFKLFSLLHRSMPSLDRISLNNVLSASAELGYFEMVKQVHAYAFKVGLVGDAMLSNGLIDTYAKCGSFDDANKLFEIMGTSRDVFSWSSLIVGYAQFGYAKEALDLFARMRNLGVKPNHVTFVGVLTACSRVGLVDEGCYYYSIMEPEYGIVPTREHCSCVLDLLARAGRLSEAAKFVDQMPFEPDIIMWKTLLAASRTHNDVEMGKRAAEGVLNIDPSHSAAYVLLCNIYASSGNWNEFARLKKDMRTSGVQKSPGKSWIKLKGELKVFIVEDRSHPESDEMYTMLELIGLEMVIFVNCQRKSRFTRIAPPNLDK >Sspon.03G0023760-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3A:72637014:72645114:-1 gene:Sspon.03G0023760-1A transcript:Sspon.03G0023760-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MANIVLHQRNDHERLHNNQQNLSDLKSQRYIPPGGRNRSSEMTTSVKHMQPIEGASLQSSSNEHLGSNNDESQASSQLGNDNSNPKQITSAENGTSDTLQQKPQYADVVSQGQVAPARRLTVLSRPSIASSDPRPKATGQVDNGTSTSSTKLTLVQKAQGSCIMVPRSHAVSQNPEEPAHLLVSATASVKSHAGVEIKNECSDISEKLVLGDHKQLPESTVSHRLTAAQRMSGRTLPGNLSASYAKTQGSAGPHNLSDLNIKLVAQNQSQLVNQQEQVSSTGIARASFCRSTLDKNASLTDGESLHNRDTIRSGHIVSSHCSDSTMLSRPVSAVSSTDVASLHRKERRQACPPGFEKPHQYSDSDKACSGHCSASDALVQDCGIADQQDFTGWATDCLKDDGDVTQNLSMSISSPPSLTDTNRNRSQSHRQFPGTLFGWSNDPHYSFYPSGLLHAHHKAENRDGTTSSYMATGGYNVFSQGTASGMRGGMAGTLRQQPIMSSHDGWTVGSRDSGSNCPQVDISYRMYSLF >Sspon.06G0027770-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:89332619:89339200:1 gene:Sspon.06G0027770-1B transcript:Sspon.06G0027770-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASESAGYITDPDEQPFSPSVFLNLPPTPPDDGQDPAASSDDLVLPFISRILMDDDDDKLSYQFPDHQALLQAQQPFAEILSNTTTTTNTNVATSTFTVSHFFPNNAVSAFGTATWPYDPVELSLQLLSRTPYHVPDNVRRIGRDGLTLTTGGHGHANTTFLAEQDNNYTTSVGQFRRQNADKANMDKTTTPGGAGAGVNNSLFFGSGLMTQIKVTMDMLNQAFLKGMEEANKFLPTTNLLPIHSEAISGSSREHLSRDRHRIADGQVKEKKGTVDGITSSSMLQESGSDSIACKKDHNCWDDLEVEMDRKMADLSIIAVIAPESDQTREKKDKFILIKYQSLLDKMIAVDMEAEKNANKGKRKSVVAMSNEPAVDLRALLMQCAHAVATGNHLGATELLYKIKQHSSPMGDATQRLAHCYAQALEARLDGMGSQLYNSLMVKQLTSANEFLFLKAYQLCVPVSCFKMMAFMFSNLTILKAVTGSRRKKVHIVDYGEHYGFQWPTLLGNWATWEEEGRPLEVRITCLVLPKSGFPPSARIEETGRRLNNFARRRGIPFKFRSIVAKWETVCADDLNIEPDEVLIVNGLADFGRLMDESVHNIDSPSPRDMVLKNIQKMRPDVFILFIQNSSYNTPFFLTRFREALFYHSAVFDMMDAVAPRDNNDRILFEQNLLGRRAFNVIACEGWDRVERPETYKQWQVRNNRAGLRQLPLDPDIVKAVSKKVRDNYSREFVVNVDEQWILQGWKGRLLYAMSTWVANDGRQRMRMTAPRVFPGFTPASRVDAGAASSSSSSYLSRISLLMEEEEEEDDLADHPALLHAQQPFAQILSGGPFLPDQGPVFPGGSPEDKEYGTDMFTAAFFKGVEEASKFLPAYTAATLVSKDTSGVGGSKDSRDRHTVGGDDELEADAGRTSKLAMAESEEAGAREVFDEMMLRGFDACSKEMEGLTISVDNVPAKDDDKKKARKRSRARGKRRPTRVVDVHTLLIHCAKAVIDDRRSADELLSQIKEYASPTGDATQRLAYCFAQGLEARLAGTGSQVYRSLTANRTSLVEFLKAYQLFMSTCCFRKVAFMFANKTIFDASAGRSKLHIVDYGLHSGFQWPELLRLLGTRDGGPPEVRITSIDLPQPGFRPANHMAEMGHRLTNCARELCVPLSFHSVVAPWHTVCIDHLNVEPDEVLVVNDLFNFRTLMDESIISDSPSPRDVVLSNIRKMKPDIFIQAVVNGFYGTTFLSRFREALFYHSALFDMLDATMPRESQLRLVLERDIFGWVALNAIACEGEDRVERGETYKQWQLRNQRAGLRQLPLNGETVKMVRDMVKNQYHKDFVIEEGQQWLLQGWKGRILFAHSMWAADGVSSD >Sspon.03G0044070-2D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3D:54028985:54029615:-1 gene:Sspon.03G0044070-2D transcript:Sspon.03G0044070-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding QMQSRGSLHGLDEERTTGRDELFYGLQLYGLSMPGCSRDPPPRSTHLPQSRDGRGGLLGDGRGSRPPLQSPRVAASSRTRRPHLVSGGVGAPRCGGARSGGGCGAARIVEGCSTRRARRVATQGSRPHGSSHAAAEGRRIRPLRRSRAPTPPHTALDGAPPVGGRRGCGSGRRSLCARGTLARGTRALGLCRHALVPRPGWEGAAGVEG >Sspon.02G0000850-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:3986412:3989139:1 gene:Sspon.02G0000850-4D transcript:Sspon.02G0000850-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEALCNGVVAGRARGAAKPALAESLPVGGYATKSSFSAGRMSVSDTKPRPLSRSLEAAAAPGQMNLSFPKAMRWWEKGLHPNMREIESAQDLADSLLNAGDKLVVVDFFSPGCGGCRALHPKFAQFAEKNPDVLFLQVNYETHKSMCYSLHVHVLPFFRFYRGAEGRVSSFSCTNATIKKFKDALVKHGADRCSLGPARGLDESELVALAANRDLQFTYEKPGLVPLAEAIAKEAAAPGGPWLPLPASATQQLLSQGSDNSLFLSCPFFLVRIAAPSNSD >Sspon.03G0002710-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:6489000:6492712:-1 gene:Sspon.03G0002710-1A transcript:Sspon.03G0002710-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPKKSSPQHQPHAMEPKKSSPRGAGAAAATEAESPLSSLFYPPAPAANGKDQDLYNILYKGQSGSTQAGMTDGKPQWAPSKSRTAYAKDGKNSPPYDSVDTSCFGSSVHYGGREYFYGSSTTKKATESSTDIIMNYSALQQGFEFNYKGDKKDPAADSHGDWWQGIITATLFWGSVKSKI >Sspon.02G0022560-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:76271236:76273268:1 gene:Sspon.02G0022560-1A transcript:Sspon.02G0022560-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATGLSVGKSVLNGALNYAKSAIAQEVALQLGVQRDQAFIRDELEMMLSFLMAAHEERDNHKVVKTWVKQVRDVAYDVEDCLQDQGVRLGKPSRWCFLRTLVDRHRVATRMKELRAKVEDVSQRNVRYRLIKDTNGPKSDTELGHQAFLEARRHKDKAKVDLSRLINEGNEDLRVIAVWGTSGVLGQSVIIKRVYDDLKRSFSKSNRINGPGSSSSETTLGTNNSVMLTDEIVESQFVGDNEKIARKSLSRLGTMACALEESQLVGREKEKSELINLI >Sspon.03G0005950-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:16650975:16653215:-1 gene:Sspon.03G0005950-1A transcript:Sspon.03G0005950-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGGGGSGRGGDHHGVYHQHGYSHLARADADAGAEYVFHSSNDMESFFFNQPASSAGVDGSGSRAGAADELMLPYSSITDYLQGFLQDPSGLARHLDAPCPAGDAPIKHELSVDVSHDSQGTSGAPGEGAAMLTPNSSVSLSSSDREGEGGQPRRCKKGRPKAEDAEGDEKEQEDGENSTKANKSKKKAEKRQRQPRVAFLTKSEVDHLEDGYRWRKYGQKAVKNSPYPRSYYRCTTPKCGVKKRVERSYQDPSTVITTYEGQHTHHSPASLRAGGAHLFMSNVHGGLPPHLMPSSFGRPDLMSMMHPAMGANPSMFLPSMPPPPHMSTPSPGPPLQQHHFTDYALLQDLFPSTMPNNP >Sspon.08G0001890-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:2654961:2657601:1 gene:Sspon.08G0001890-2B transcript:Sspon.08G0001890-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFVDQRTMEGSFQLNPNASPFIPGSLGSFAHKAPEKQGGFELSDLLYSYEYTESSAGLIISAGSSSKGEASGSSFDPSQHEENDIDELALANMVFSMFPNVSTDFIDELLKANDFDINVTVDMLHELNSQDMLYDDAEATNDLHNGQGVPGADYHNAEVSESSSKMSQDLQNEKSATSDVKSVLPKFSEINLLHNDLGLPDDEKSAGTSIAK >Sspon.04G0030130-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4B:75741206:75741574:-1 gene:Sspon.04G0030130-1B transcript:Sspon.04G0030130-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGVLMTMRLGKEGIDTNILCKFVLHRIVGNMDGSSVITQKRCGGIARNPKINQQPSKSYNFCSSGGKCSKFGLCIGTRYNNLFLRLPGNRRRANNLFLGLLGNRRRAKKNTVGSIAQVESE >Sspon.01G0059800-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:68463629:68498910:1 gene:Sspon.01G0059800-1D transcript:Sspon.01G0059800-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding PRSLAGLHRGSSDLAGLHRGSSDLAPPPGEQHAAAPPGDEATLLHAGLAPPPSEHHAGLAPRHRSTTLAMLAGPAPSPVGEGRAAPSPDRPPLIRQPARRSLAGPPAAPSPPWLLATPSSHRVTQQPRPSETATPLAPPPESATPLPPLKVRAPWLLVGRGEQAGSNQQVAARVKSDDEKDEWFVVKVIHFDKETKEYEVLDEEPGDDEEITQKYERKCKLPMSYIIPFPKKGDPSSAPDFGRGRQVLAVYPGTTALYRATVASHRKRKSDDYILEFDGDEEDGSLPQRAVPFYRVVALPEGHRQ >Sspon.02G0038470-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2B:42003238:42003876:-1 gene:Sspon.02G0038470-1B transcript:Sspon.02G0038470-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTAWWWFVAMNAVVVAIAVLSSSCTRRPSLLLTPRRRRSSGVTRRTSSASAVLQSLLSFSLFSFPSACLSSSYLQPDAAAAATTDQETETEELVARSPPPTKPLPSASPRALPLAPPAPAPAADEADEEEEEEDPNAMSMDEAYALVLASQQRPEREREEEARRSEVDAKAEEFIRRFKEELRQQRLNSISNYTQMLRRRAPASSGRRQRPD >Sspon.04G0018480-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:75480593:75490572:1 gene:Sspon.04G0018480-3D transcript:Sspon.04G0018480-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSIDADLARTQEERRKMEEALAAGAPMAVSSVTFDTDLYGGGGADPNRFAGYDTSIPASEDDAAEDDTESANPAPRRLATYTGHAIAAADIPRSADGDDGLPKRSQRIIDREDDYRRRRLNQIISPERHDPFAAGEATPDPSVRTYADVMRDAALQKKKEDLLREIAKKKKEEEEKEKERKAAAPEQPAATTKRRNRWDQSQDGDAAAGAKKAKTSSDWDAPDATPGIGRWDATPGRVGDATPSVRRNRWDETPTPGRMADADATPAAGGATPGATPSGAWDATPKLPGGVTPTPGKKQRSRWDETPASMGSATPGGLGAATPAGYTPGPTPFGAENLATPTPSQIARGPITPEQYQLMRWERDIEERNRPLTDEELDAMFPQEGYKILEPPASYQPIRTPARKLLATPTPLGTPLYAIPEENRGQQFDVPKELPGGLPLMKPEDYQYFGTLLNEEEEEQLSPEEQKERKIMKLLLKVKNGTPPQRKTALRQLTDKAREFGAGPLFNKILPLLMQPTLEDQERHLLVKVIDRVLYKLDELVRPFVHKILVVIEPLLIDEDYYARVEGREIISNLSKAAGLATMIAAMRPDIDNIDEYVRNTTARAFSVVASALGIPALLPFLKAVCQSKKSWQARHTGIKIVQQIAILMGCAVLPHLKSLVEIIEHGLSDENQKVRTITALSLAALAEAAAPYGIESFDTVLKPLWKGIRSHRGKVLAAFLKAIGFIIPLMDALYASYYTKEVMQVLIREFQSPDEEMKKIVLKVVKQCVSTEGVEADYIRNDILPDFFKHFWVRRMALDRRNYKQLVETTVEMANKVGVADIVGRIVEDLKDESEPYRRMVMETIEKVVANLGASDIDARLEELLIDGILYAFQEQTSDDANVMLNGFGAVVNALGQRVKPYLPQICGTIKWRLNNKSAKVRQQAADLISRIAIVMKQCQEEQLMGHLGVVLYEYLGEEYPEVLGSILGALKAIVNVIGMTKMTPPIKDLLPRLTPILKNRHEKVQENCIDLVGRIADRGAEFVPAREWMRICFELLEMLKAHKKGIRRATVNTFGYIAKAIGPQDVLATLLNNLKVQERQNRVCTTVAIAIVAETCSPFTVLPALMNEYRVPELNVQNGVLKSLSFLFEYIGEMGKDYIYAVTPLLEDALMDRDLVHRQTAASAVKHMALGVAGLGCEDALVHLLNYVWPNIFETSPHVINAVMEAIEGMRVALGAAVILNYCLQGLFHPARKVREVYWKIYNSLYIGAQDALVASYPALEDDGDNIFSRPELAILGQWACIAAPIASSAVLFLEA >Sspon.01G0010040-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:28161681:28167576:-1 gene:Sspon.01G0010040-1A transcript:Sspon.01G0010040-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MISRIMTLQSCINILISLCLYWIMQCLFQSANVSASKVIDQGTQLHAKLGDLKFLVSGKHQQSMKESASGTLLHISQSTLDLEQKDPGKDNDEDHAKSSISVNISGIRMHFCFYYLESLCATTMSYKVFLKSILPPKKRSVQENASQKSTKKSKGAQLLKINVTQCSIVYDGDMRLEDMSIADPKRVNFGSQGGRVVIINEANGSPRMAYVNSTGLPDHKNVHFSTSLEIYQFGVSLNKAKHSMQVELENFRLTHKEDQLDNKPVEETKLFDVRKAKFVQRSGGLNDIAACSLINVTDIAVRWEPDPYLELLEVATRLKSVLHRMKLRYAVTEVKDETLNVDILSKKESPTDHGQQEKAQKKRESVIAIDLESLKISGELADGVEAMITVGYIFSENAKIGVLVEGISVSFCGAWILKSSRMQLSRIPISVSDSNSDKKLQSAAACDWVIQCRDVNICLPFRLQLRAIDDAVEDTLRAFKLISAAKTSVLFPEKKSSTTSSKKSKPKMAFRYVRVIVRDLIAEIEEEPMQGWLDEHITLMKNIFYESTVRLNLLDELSSGKNKDSPKAKLDTSSEKNSGCPDVDADVPGTHSIEKLREEIYRQAFQSYYQACQKLPVSEGSGACSSGFQSGFKMSTRRASVMSVCAKDVDVSLSKIDGGDEGMISFIKSLDPVCAKDDIPFSRLYGSNFSLKTRSLSVYLRDYTFPLFSGTNGKCDGRLVLGQQATCFQPQVRQDVYVGKWWRVNLLRSATGYTPPMKTYADIPLYFKKGEVSFGVGYEPVFADVSYAFTCALRRANLAKRWFFERPEPPRRERSLPWWDDMRNYIHGKFKLCFNETKWHLPASTSPYEKLDEMLIKTDFMEIHYVDGYVSLSSKYLRVYLTSLESLAKKSSLEIPHHPAIPFLETPFFFMDISIQWGCDSGNPMDHFIFALPAEGKPRDKVFDAFRSTSLSLKWSFSLKPSTTEPIEHQQKSNSYTTDSPTVNVGVHDLVWLMKWWNLLFLPPHKLRLFSRFPRFGVPRFIRSGNLPLDRVMTEQCIRFDAMQLQINNMPLQADDPAKGLTLHFTKFRYEIAFSRGKQIFTFDCKREPLDLVYQGIDLHLLKVFINRIPESSTSKDSKIENKSLLTKDKDSLGCEKGKKKTSPTEKSRDDGFFLYSDYFTIRKQTPKADAARLSAWQEDGRKKTEMPLIKSEFDGGDESDHDQSGSDDEGFNVVVADSCQRVFVYGLKILWNLENRAAVLSWVGGLTQAFQPPKPSPSRQYTQRKILEKKQLIKEAEMSKDGALSSVSSTSQPSEPQQIKSSESPPSNGSGKPDLTSSSENALKPSNNSDSEEEGTRHFMVNVVQPQFNLHSEEANGRFLLAAGSGRVMVRSFHSIVQVGQEMFEKATGSSNGATGGTGPEMTWSRVELSVMLEHVQAHVAPTDVDPGAGIQWLPKIHRRSSEVKRTGALLERVFMPCQMYFRYTRHKGGTPELKVKPLKELTFNSPDITAGMTSRQFQVMMDVLTNLLFARTP >Sspon.06G0025520-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:79866248:79867637:1 gene:Sspon.06G0025520-2C transcript:Sspon.06G0025520-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGMLPNTMEDMTSLNDLILTNNSISGSIPLGIRNCTSFEYLHLSSNQFSGQIPLQPRRLRVLDVTRNFFSRNLPLEFGAPNLERLILSFNYITGQVRGSICKSRNMKFLDLSHNLFKGELPHCSDMPNLSFLLLQSFSSPVFLDLSWNKFNGPLPRWIGQLVSLRILLLSHNMFDGDIPINTTDLKGLQYLNLAANNMAGPIPKSLSNLIGMTIKHRSGPNDDSDTSLAFDESQDTFSLVTKHEVLKKIPKNIGSMKSLESLDVSKNNFSGEIPPSLSDLTYLSYLDVWYNNLTGTIPSGRQLDTLYTENPSMYYGNSGLCGPPLQRNCSGNGSKKVKKIPIQRSFTMDLGFEMVLLVLFCVLLFKKAWRIAYFHLVDLMYDKAFIFLVVTWSRLARRNTSRN >Sspon.03G0022780-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:69571753:69573555:1 gene:Sspon.03G0022780-1A transcript:Sspon.03G0022780-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GMGKAARWFRSLLGGGRKDQERRASPAPAPAAPADRKRWSFARSSRDSAEAAAAATEGSVRGGTGGGCGNAAIARAAEAAWLKSLYDDTGRQQSKHAIAVAAATAAAADAAVAAAQAAVEVVRLTSQGPVFGGGGGGGAVLDPRGRAGAAVKIQTAFRGFKAKKALRALKALVKLQALVRGYLVRRQAAATLQSMQALVRAQATVRAARGCRALPSLPPLHHPAVRPRFSQQERYADDTRSEHGVAAYSRRLSASIESASYGGGYDRSPKIVEMDTARPRSRASSLRTEDEWSYAQSVSSPLQPPCHQHQHHLPPRIAVPTSRHFPDYDWCAPEKPRPATAQCTPRFAPPTPAKSVCGGAGGNGGYYGHHLAAGSPTCPGYMSSTQSSEAKSSSRSQSAPKQRPPEEQQPARKRVPLSEVVLEARASLGGAGVGMMHKPCNTRAAQPQEAFDFRAAVVSRFEQRASDATAAAAAERDRDVFFLQ >Sspon.03G0045540-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:20367480:20372511:1 gene:Sspon.03G0045540-1D transcript:Sspon.03G0045540-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding FHSSPSPSLPSRNRRGDRTRRGSEIYSPGRRSPIPKSQPDATQPNPPRPGCLRQRRRPPRHAPPRKVVESRYQMMDLSTTSVLAAKAYKYKAESLVKEYLLADSYVSYTAVLGGILMCKMAYDITSIISLLYYKGYGSLTKTQKLEWNNRGMSTIHAIFITVMSVYLVFFSNLFSDQLDGPVTFRSSNLSIFTLGVKDVSVGYFIADLAMIFWAYPSLGGMEYVSEYITNIFFIYISPCFQFLPRLFLDTAGMKKSKAYLVNGVMMFVAWLVARIILFVYLFYHIYFHYDQVKQMHTFSCILVFSVPTILLVMNIMWFAKILRGLKKTLAKRQ >Sspon.05G0013560-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:41148954:41153349:-1 gene:Sspon.05G0013560-3C transcript:Sspon.05G0013560-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGAAATALVRPARKVLILALLLLMAASMAGRASSDGVRYDYRAYTECKPHPEPALYNGGVLRWASKIADFRTEDEGNYSPAFVLYNMSAATAYSFSCWVKIDGPESAHVKAKILTLENAASQCIGTAIVRNDCWSFLKGGFILDSPSQTSVLYFQTASPNASTISIRSASLQPFSPDQWNQHREDRIQLIRKRFVNVHVSDGNGSRVVGADVAVHQITRDFPLGSAISKSIIGNKPYQEWFNARFNAAVFENELKWYATEPSPGKEDYAAADQLLHTRGTPAWVKNLTGSELRAAVAGRIESLLSRYKGDFVHWDVSNEMLHFDFYENRLGGNATAGFFSTAKRADPLATLFLNDFNVVEACDDLSSSADSYVSRLRQLADAGVTFEGIGLEGHFGKPNVPYVRAVLDKLGTLRLPVWLTEIDISAAFDQRTQAAYLEEVLREGFAHPSVDGIMLWTAMGANASCYQMCLTDANFTNLPAGDVVDRLLGEWQTKEVLGATNDRGSFNFSAFLGEYRLSVTYLNRTADATFSLARSDDTKHINIRLPA >Sspon.01G0004600-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:10654885:10655884:-1 gene:Sspon.01G0004600-2B transcript:Sspon.01G0004600-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding KSAKPLPPRGESGCLDLGASSNGGLRRRAARRAPAHHPAVAEEAAAHSPENVRGEDSGAGDDKRGQQRPGVCGTRVLEALLLLPQGPGRHHGRLRVQVSKRLLQRRMSVPPHSQRGAAGDGDASQEAPRRVPQTARGGAQDAGTKSAHHTAANRCTIIVR >Sspon.01G0032680-3D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:102386702:102392585:-1 gene:Sspon.01G0032680-3D transcript:Sspon.01G0032680-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RYKLLREIGDGTCGNVFMAYNVETNEIVAVKKMKRKFFQWEECVSLREVKALQKLIHPNIVKLKEVTMENHELFFIFEHMIPPRNLWELIPNASLEAIDLIQELLLFSGFENTPVKSGFWPLVPSDRPAGDVPVIPSWQQAYMVDSQATLPGFSGSPFGLTLQPNLLENHSLAPIRQLGRLAWPGSCQPSAESLTGSMAVKGAYRTDWLLVVARAQLIAAQALTASIASMAEWHGAAAAGKSTGRRWCCVVRSVPVARLCGGAEAARARLPEAKSQSKKGPSSGHHMMAEREGEDRGEPRLAGWHWWHSRAKMLMLLYDDAQQLWPIGIGCTR >Sspon.01G0035160-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:8402631:8403210:1 gene:Sspon.01G0035160-1P transcript:Sspon.01G0035160-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DGEGGGGGRAADAMLRRDPLGEAVAECGGRDGRRVAAGAGARQGGQRVAKRPRQRQLRLYETREPRLPIVAL >Sspon.04G0017990-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:65112706:65116653:1 gene:Sspon.04G0017990-1A transcript:Sspon.04G0017990-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSGLIPAVLLLLSLSLFSHASKPCVEQEKGSLLQFLAGLTRDSVSWRNGTADCCSWDGVTCDTNGTVVEVSLADRGLEGHISPALGDLTGLRRLNLSHNSLSGGLPLERLVSAGRVVVVDVSFNRLSGELGELPAASSATHGRPLPLQALNVSSNMFTGDFPSSSWKLTPNLVVLNASNNSFSGQVPSSFCLASPSSFAVLDLQYNKFSGAIPPAFGNCSMLRVLRIGHNNLSGTIPDELFKSTSLLERLSFRNAGLRGTLDGAHVAKLTRLVALDLGENNFTGKVPESIGQLRRLEELFLDYNQMSGELPPSLCNCTSLTDINLKNNKFGGELGSPPDHTEQMTSHLR >Sspon.07G0030590-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7C:9215158:9215294:1 gene:Sspon.07G0030590-1C transcript:Sspon.07G0030590-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAMGEHKRPSSSRQLRHRRAPLCLSPPAAETSLYLSCVAASGVG >Sspon.01G0028170-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:63389890:63391671:-1 gene:Sspon.01G0028170-2C transcript:Sspon.01G0028170-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASAAAGRTWDPTVSLRLGHPALVLLERCRGARPFRAILAHLLRLGLALETFPMSRLLHFATAVSSPRLTREAELLFHHFTPRPNLYIYNLMLSAAAARDSSLPPQAAALYRSMLSSSVLPDEQTFLALLRSVERLSAGRQVHAHVVVSGLHSRVYLRNSLIKMYIDAGDVETAELMFRSALVLDTVSCNIMLSGYVNEGCSLKALCFFRDMASRGIVVDQYTAVALLTCCGRLKTVLLGRSVHGVIVRRMDAGDNWLILVNALLDMYAKCGRMNAAKRVFGEASEKDGISWNTMVSGFANAGMFDLASRFFSEAPSRDLISWNALLAGYARYKGFNEVMKLFHGMLASCVNPDKVTAVTLISAAAGKGSLNHAKSIHGWVVKEFGHRDAFLASALIDMYCKCGNVKVAYYVFEKALDKDVTLWTAMISGLAFNGHGTEALELFWKMQTEVVAPNGVTLLAVLSACSHAGLLDEGCRIFYAMEQRYSIERGIEHFGCMVDLLARSGKLIDALSLARRMPMRPSRSIWGSILNASLAGQNTEVAEIASKELLRLDPTEEGGYVLLSNLYAAGGHWNHSDEVRQNMERKGVRKSA >Sspon.02G0015310-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:35615701:35620427:-1 gene:Sspon.02G0015310-4D transcript:Sspon.02G0015310-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Enhanced ethylene response protein 5 [Source:Projected from Arabidopsis thaliana (AT2G19560) UniProtKB/Swiss-Prot;Acc:Q8GWE6] MAAYLSMGEAHRRIGDYLSRVTNAISYSDGATLASLLSVSSAPASTPLSDALAAFPDFPRLAGDRFPHLADFLVPLLRAIHSHSVQRFADAYSSFEKASKFVPHPPDSEFRNWETPWAMEAIHTVALEIRLIAEKADRELATNGKNPDKLQAAGSFLMKVFGALAVKGPKRIGALYVTCQLFKIYFRLGTVNLCRSVIRSIETARNFDFEDFPVKDKADQKLTYALMHCNPQSESNLRSGNITFQYADVVTALKRGDLRLLRQALDRHEDHHIIQRQKEPAKAHQIKLDVVVRALKWLEIDMDVDEVECIMACLIYKNLIKGYFAHKSKVLVLSKQDPFPKLNGKPDSAVTIKISGSSAGHCRGSVLAKICKEIIT >Sspon.01G0054680-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1C:65366637:65370017:-1 gene:Sspon.01G0054680-1C transcript:Sspon.01G0054680-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMGKPKSSSSSSSSSSSWSALFGLGCFSSSHADRSNDGSGSGSKNAAKVASSSRPPAPAPLPSPEDLSLSLAGSDVLVFTVEELRVATRDFSMSNFVGEGGFGPVYKGRVDERVRPGLRQPQAVAVKLLDLEGSQGHKEWLAEVIFLGQLRHPHLVKLIGYCYQDEHRLLVYEFMARGSLEKHLFKKYSASLPWPTRLKIAIGAAKGLAFLHEAAKPVIYRDFKTSNILLDSDYTAKLSDFGLAKAGPGEDETHVSTRVMGTQGYAAPEYIMTGHLTTKSDVYSFGVVLLELLTGRKALDKNRPPREQSLVEWARPCLRDSRRLERVVDRRLLDGQHPTPTRAAHKAAGIAHQCLSDIFCSDSRVHGSRPHSNGPTLHLRILSLQQYLPVSPSSVPLHSPHHHRVHAAATLSTAPDRLSSSLSTALQCRRPPSFLSTRPSAHHGILHRVGRLLHRSYAPLCGIELRADELSIVQAARCCAEKRYVARVRFKCF >Sspon.01G0027610-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:96649409:96651978:-1 gene:Sspon.01G0027610-1A transcript:Sspon.01G0027610-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATQWFSNMMMDEPSFFHQWQSDALLEQYTEQQIAVAFGQGEVDHAVAAALSTMPMLQQQQPAAAEHLHRPRKAAKVNTSWDSCITEQGSPANSSSPTILSFGGHAAAAAAFAKAEPMHYAPNAAKAPKQEVVDAAAAALPFQQPHPAKRSYDDMAAVAEAANKAPAAATTRPASQNQDHILAERKRREKLSERFIALSKIVPGLKKMDKASVLGDAIKYVKQLQDQVKGLEDEARRRPVEAAVLVKKSQLSADDDEGSSCDENFVGTDASGTLPEIEARVSDRTVLVRIHCENRKGVLIAALSEIERLGLSIMNTNVLPFTASSLDITIMAMAGDDFCLSVKDIVKKLNQAFKSSF >Sspon.03G0019190-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3A:59334438:59334917:-1 gene:Sspon.03G0019190-1A transcript:Sspon.03G0019190-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTTVKARGTGWRYGGQRRSRASPPRRWRERSSEPRVSGTKRKGWGTTAAYRQPHRREGGSREVARVDRPRATRRCGGAPRWCGRDSESEGVAEVGLTCVGRQVTQGEIGGATSSLRDSQRGDCPSTHADTPLSARRRENAKISAGRDAKQNMVPPSTN >Sspon.04G0025270-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:29232551:29234108:-1 gene:Sspon.04G0025270-2C transcript:Sspon.04G0025270-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding STDGISCRCAGEGEGVGGGGEGDELLLRSSLGQDDIEIYQEVQRYGRAREVRPEDGNGLRHGVRSRADMLRAPNSCAHRHLGHHQQPPPHQRPRRPSGSSTSTASAGNDDDPAGHAVCTGGRPCPTQAKEEEEEEERPVHAFRAGARGRGRHGGKRRQCRQRLPPPRPAGRSVVLPGASGTRRVRVRVRPVRAVAAAAVGDAGHAEAARVLLQRVPVVLPDAGARGHLQHRHRRQRPPHHHLQRGEPQRLHHRLKS >Sspon.01G0053180-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:33082924:33086713:1 gene:Sspon.01G0053180-1C transcript:Sspon.01G0053180-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAVEDIVIAGAGLAGLATALGKGVRCLVLESSPALRASGFAFTTWTNAFRALDALGEIRCVKRDLLLQTLANELPEGTIRYSSKVAAMEEDGSVKTLHLADGSIIKAKVVIGCDGVNSVVAKWLGLPKPVLSGRWATRGLAEYPAGHGFGPEILQFIGHGFRSGVLPCSGTSVYWSYTWCPSPADGDAEESVAKMRSHVVAKLRGPKIPAEALEVMERSEMNDVASSPLRFRSPLALVRGSISRGGVCVAGDALHPMTPELGQGGCAALEDGVVLARCLGDAFAVARFGSHDQDQEGRVVKAALEKYAEARRWRSIQLITAAYVVGFIQQSNNAVVRFVRDRFLAGLLAKTLVAMADYDCGTL >Sspon.04G0005500-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:15819068:15836077:1 gene:Sspon.04G0005500-1A transcript:Sspon.04G0005500-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEPGREGDSPGPPWAPSESSAFRAFAAAVGERAEASPSGPGNGDSARSSNLRAVRKRPFVARLTTDIIQTFEKCNPEFKYSESLNPKRFLTNPAVPVHNDGLDNANSDLILYVNLELVNNKSSRRYVVQEMLGQGTFGQVAKCWDAETNNYVAVKVIKNQPAFYQQAIMEVSLLRLLNEKFDPDDQHHIVRMLDFFLCQNHLCIAFEMLGNNLYELLKRNSLRGLQMKYVRTFSRQILDALIIMKDAGIIHCDLKPENILIASTVKTAAGVKVIDFGSACMEGKTIYSYIQSRYYRSPEVLLGYPYTTAIDMWSFGCIVAELYIGLPLFPGASEYDVLCRMIEIIGGQPPDDLLREAKNTGKFFKHVGSIYPSSEARNGASSAYRILSEDEIEARDSKKPKVGRWYFPRGRLDRLIYTYPWKNLSEENLPETEKADCLALVDFLRGLVEFDPNKRWSPLQASYHPFITGEVFTGPYEPVQETPRIPVGRAAVVDHNPGGGHLLGAGLSPQVGSINRCLRFNNDLQPNMPFSYGSSCGSFGSHGSFNDNAGFSYGSYDFNSVNIYNSPMDPSGFNVRSQLGGSFLGSSPDIRRRPHLSHGGGIRLSPGGPGHMSLGASPSQFTPPNSQMQIPTGPNGKYGASPSRGGHGSSLGKVAAVGQYNRRRNQGYPPMPVPPHEHTSQLIQGHQGDGVSTARFDAYGRGNSTYLHNALPNSSHYSWRSQRGFGSGLPLDPSSSHGSFPPTNYNGFPLHSDASADTLPSTSSVPDPADWDPNYSDESLLQEDRSLSAGLSGLHLRDASGQTNRSSRLAPIQSHVTLDGYKHANYYQQSFPSYRGQPFQQYNNMASSYIRPMRAHHNGQPVWTNYSLTEPPPTTMGDGMPWERVQSQIPEPKHG >Sspon.06G0021400-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6D:15144805:15147615:-1 gene:Sspon.06G0021400-2D transcript:Sspon.06G0021400-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATMFARPLLAALLLPAFLLSAASAADSKNNPADQLVTLINSNRTASKASTVSDNQGLGCIALQYIKAYEGQCDQVDDKKPMESSFTDTFAPTCGVQATTLSKITGRLVSCQSKYVSPAEAFDILVNDAKGLQILHSKNHTEVGAAVSGTDRGGPYFWCVLFSDGKPTTSFKVDGEVPKTAIHPGCFSGNNDDCMGPTNGAISVNAGASRL >Sspon.07G0005990-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:13541768:13543477:-1 gene:Sspon.07G0005990-1P transcript:Sspon.07G0005990-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTASGPSLDCPQSKPVDQPHGAFVSLTTRYRSRVQRVYSTAPCNRHSQRQGKTHSCLSRVSAGVSGKPPTPESRATPTPPHQAPRGCRSAAVSGRLRRGFSTVASRPPWALIHPGPCLVRSAAPRASFWLAEPPGVSLLLVPGHLVDHRPFPDADSDIIPILAGVATSTSGDGLLLVRFFDGRATAPIVDTHGVTLGGRKVIGIDLDPDIMHFICNPLSGQLLRLPDIDGTKKTADCRNFGLLTRSTHGHGPPDRYAVAELSEDRGVEKRSFVMRRLLSQTGEWEKLVGLPSPLPLARPLDIHYEVSAFAGRLWWVDLSWGVVSADPFSDRPELRFVELPSASVLPASSTNAERLAARARNVYRRMGVSQGRLRYVELSEKKPFLLSSFVLDDDSSCWTLEHQVALGRLWAHGGHPSEEDIPRIGALDPLNASIMLITIGTHVLAVEMDRGEVLGCSLVGEGELRPSDLDAEF >Sspon.04G0009970-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:29775120:29779406:-1 gene:Sspon.04G0009970-3D transcript:Sspon.04G0009970-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPGSDDGVVSAAAVVCCMCGDHGLPHELFRCNLCRLRTQHRYCSDLYPRVAGPYRSCNWCLRQGGVAGGGDSPSPVKAAAAAGTRRRINDSRGDDRDNDESACGYGCSRSAFSADPGKPIKKPKKGRDRGRAVQRPEPVVTTTVSKKRRREVQPGKPRFKVKVRRYKLLTEPTRWIRRDEVAPCGVGPPARRRSGEGARICSHPGREGQRIRRVKEAPCAADPPTCHRDGEGARICSLWGQEGEQIRREK >Sspon.01G0001280-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:3712180:3712782:1 gene:Sspon.01G0001280-3C transcript:Sspon.01G0001280-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRYQLLALYTLHIYFSLDLLLATVHTVIHDLLGMEMEPQVDRPYLASSLRDFWGRRWNLMVPSILRPSVFRPVRARLGAAAGVLAAFLVSGLMHELMFYYIMWSAPSGEVTAFFLLHGACAAAEGWWASHAGWWRPPRAAAVPLTLAFVAGTGFWLFFPAMVKGDLDEMVLRECQGMVVLMEQAARRLAGATDLVSSTM >Sspon.06G0036210-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6D:90927407:90929598:1 gene:Sspon.06G0036210-1D transcript:Sspon.06G0036210-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SSVRYLIVI >Sspon.02G0016690-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2B:45334436:45334879:1 gene:Sspon.02G0016690-2B transcript:Sspon.02G0016690-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEQTIALAQLAPDFCNLGAKSYRNSAHGKKTHLAFSTLKRETEWRTDGRTVPRVDRRVRGTRSRLPNFGSEHWRQEPRKQRTVAQSLRRRVGEWPSLEPTPLPGVISWWSLRWVCWAGLGWLRSPSAGWAGIGSGRGGGLVGRCFF >Sspon.03G0021870-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:66718901:66719744:1 gene:Sspon.03G0021870-2P transcript:Sspon.03G0021870-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVADACFNARPSDVIVATLPKSGTTWMKSLLYATVHRREHPVDDGSAGHPLNSWGPHELIKFFEYQLYTKDKVPDLDRLPDPRLFATHVPFVSLPRSVVATPGCKIVYVCRDPKDHLISQWDFANKFRVKDGREPLPLEDAAKLFCAGLSPFGPYWDHVLGYWRAHRADPKKVLFFKYEEMQRDPAAHVRRLAELLREEDGGVVDAVVALCSFERMKGMAATKGGRTELSFGVVPNSSFFRQGVVGDWVNHLSPEMARRIDAITANKFKGSGLVV >Sspon.03G0002030-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:11669686:11673787:1 gene:Sspon.03G0002030-4D transcript:Sspon.03G0002030-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRSRRALLRRTAAALEQSAVAEAAANGRKRRLYGFSASLVVASWVAVLLLHSLVGHGDGQRDGGGSAVDLTVVEPTMNVGSINPVVQEEHGENLAVPGDTCVNSVENAVLSEDTLVQADQLCSNDEVRSENTEALTKDSQVELSGDQGGYLPQSDVDSGVQPGEKVESEDLPRPPRLSRVAPPDLDEFKTRAIAERRPGVSNQPGHVIHRREPSGKLYNYAAASKGAKVLDFNKEAKGASNILEKDKDKYLRNPCSAEEKFVIIELSEETLVDTIAIANFEHYSSNPKEFELQSSLTYPTENWETLGKFTAANAKLAQNFTFLEPKWARYLKLNLVSHYGSEFYCTLSMLEVYGMDAVEKMLENLIPVKNKKTEPDDKTKEPIEQIPLKEPAGGKESSQEPLDEDEFELEDDKSNSDPSKNGANDPVSETRALQAGRIPGDTVLKVLMQKVQSLDVSFSVLEKYLVELNSRYGQIFKDFDADIDSKDVLLEKIKSELKNLESSKDSIMNEIEGILSWKLVASSQLNQLVLDNALLRSEFETFRQKQTDMENRSLAVIFLSFVFACLALAKLSIGIMSKFCRFYDFEKFHNVRSGWVVLLLSSCIVSTILIIHYHQQ >Sspon.01G0017220-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:68096589:68102410:1 gene:Sspon.01G0017220-2B transcript:Sspon.01G0017220-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding METYTTDDALTAMGFGKFQALVLVYAGTGWLADSMELMLLSFVGPLVRQQWNVSPQHESLLSSVVFTGMLLGACSWGYISDKYGRRTALLFSIILTAGAGFISALSPNYISLLALRFLVGIGVGGTHVFSSWFLEFVPAKNRGAWMIVFSAFWTFGTIFEASLAWVVLSRLSWRWLLAFTALPCFVLLLFFVIAPESPRYLCVQNRISDATLVLERMSKTNRVALPPGALTYHKETQLVDHNGDALTSQNGHLPVRESDCTTMDNNAMTMSSKSGSGGIAALRKLFSRKLLRSTLLIWFVWFANSFAYYGLVLLTSQLSDANRRCTSAQKSQAHQKTSNLYKDVFITSLAGLVISAIIVDWFGRKATMWILLFGCCGFLGPLAVHQKESLTTALLFGARACGMGSSTVLCLYAPEVYPTSARSTGVGIATAIGKIGGIVCPLIAVGLLRSCHQMEAVLVFELVLGLAGVACILFPVETKGREMK >Sspon.01G0002740-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:70879835:70883980:-1 gene:Sspon.01G0002740-1P transcript:Sspon.01G0002740-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSPGAGSSGGGGGGHECSFKILLIGDSGVGKSSLLVSFVAASHLDADIAPTIGTHAIHRHASAALRSTSTPSLAGCPSPGPRRFRVDFKIKFLTVGGKKLKLTIWDTVYDVTKRESFSNLSDVWTKEIEANSTNKDCIKMLVGNKVDKDDERMVTKEEGLAFAEESGCLFLESSAKTRENVEKCFEELALKILEVPSLLEEGSSSVVKRNILKQKQESHAKNSSGCCQ >Sspon.01G0006470-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:16231078:16232441:-1 gene:Sspon.01G0006470-3C transcript:Sspon.01G0006470-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEANGGVVANEKGAGTVGVGRYVEMEQDQDSNTVKSRLSGLLWHGGSAYDAWFSCASNQVAQVLLTLPYSFSQLGMVSGIVFQLLYGLMGSWTAYLISILYVEYRTRKEREKADFRNHVIQWFEVLDGLLGRHWRNVGLAFNCTFLLFGSVIQLIACASNIYYINDKLDKRTWTYIFGACCATTVFIPSFHNYRIWSFLGLVMTTYTAWYLAVASLIHGQVDGVKHSGPTKMVLYFTGATNILYTFGGHAVTV >Sspon.04G0013090-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:53743329:53751473:-1 gene:Sspon.04G0013090-3C transcript:Sspon.04G0013090-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome c-type biogenesis protein [Source:Projected from Arabidopsis thaliana (AT1G15220) UniProtKB/TrEMBL;Acc:A0A178W6T6] MAAEDDVKQRQIIENRARNISHNVRCTECGSQSIEDSQADVAILLRKLIRDEIKAGKSDKEIYKKLEDEYGETVLYAPKFDLQTAGIWLSPVIVGGIAAGIWAYQKHRQRTNVHIMALNLVRGVPLTPREKETMLDILTPPPPPRKWWWPGK >Sspon.06G0013190-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:52399919:52400278:-1 gene:Sspon.06G0013190-2P transcript:Sspon.06G0013190-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MIEFAVPAVETGNITRILEVEAVARVAKIASECVRPRGRARPIMSEVVAELEWAVTLCEESVVAGAAAAAGGQNSSRHGGSDLSRSRSRSESDDPSPFHTRELGLGFGFGLGSSRPITHG >Sspon.05G0014560-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:46750043:46751311:-1 gene:Sspon.05G0014560-3C transcript:Sspon.05G0014560-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGASASIPCVALNTGHAIPVLGFGTGSSSTPEDLAATILHAVRLGYRHIDTASMYGTEGAVGAAVADAVATGAVASRADLFITSKLVMKDAHPDRVLPALRESLARLGLDYLDLFLIHWPLAADENNKPVPFDMEGVWRAMEECHRLGLARSIGVSNFSAAKMSRLLAFAAVPPAVNQVELNVGWRQEKVREVCAKNGVVVTAFSPLGAFGSMWGSNAVMESGVLQDVAARRGKTIAQVALRWLHEQGVCFVARSFNKDRLKQNMELFDWELNNDDKEKIMGIPQRRACRGEFILSPDGPYKTLEELWDGEI >Sspon.02G0030130-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:109618374:109639959:-1 gene:Sspon.02G0030130-1A transcript:Sspon.02G0030130-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARLGTWAGQARRGGRMNKSGTVFKACIAHHYWHHMDDPKRFFKIMIGDFKNGVTIPKKFVANIREQMSEQVTLKVPDGKTYTVEVAEEQQNELVLRSGWAEFANAYELEQFDILVFKNSGNSHLKVRIFDRSGCEKELSCILLDSIPCMQERKGSHGKQMQLPTGKRLAVGSPSGSRKTPKMNPIDSPSQKKTGHVPFSEGIHQEPINSGVSQKLIKSWTVCSMTSEQKAKVIALEQKIQPKIPFYIMAMYKDSLASGILAISKKYAIKHLATENGTIQLCQPDGSKVWPINLDITADDRCAVSTGWMGFIRHNKLQEGDICIFQPSKRKEGVKLIFHPFVQSHRLQPPGYVPSAKSPRLGAAKLPYILPRFTSPTDEQKSKVEEKLRGIQSEIPVYVAVMKNSNINSRLCILGISSAYAREYLPGGGENQLTMRMGGNLDDTWAPDLQVRNGRKQICGKGWRKFVTDNKLKPDDICLFNLRKNTKTLTMDIIPKKFVANIREKISEQVTLEVPDGKTYTIEVAEEQQKELVLWSGWAEFASAYELGLVGVRIFDRSGCEKELSCVLLDSIPCMQERKCSHGKQMQSPTGKRLAVGSPSNSRHVSPTKAHGVAKPPYMLPRYTSLTDEQRSKVQENVGAIRSEIPVYVTVMKNSNVNSRMCYLGISSAYAREYLPGGSMRMRLRRKEDAWEPRFQVKKFVTDNKLKLGDICLFNLVKNTKTLTMDTIPKKFVANIREQISEQVTLEVPNGKTYTVEVAEEQHELVLRFGWAEFASAYELELADLLVFENTRNSHLKVRIFDRSGCEKELSCVLLDRVPCMQERKGSHGKQMQSPTGKRLAVGHVSPTKRHGAAKPPYMLPRYTSLTDEQRSKVEEKVGAIRWEIPVYVAVMKNSNGISKAYAREYLPGGGGEKQLRMRMRLRRKDNAWEPEFQDKYGRQQILGKGWRKFVTDNKLKLDDICLFNLVKNTKMLTMDVHIIRKRSTLTPKRWSFGGRIALDGAVKKAKMSV >Sspon.05G0008870-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5A:26237047:26238462:1 gene:Sspon.05G0008870-1A transcript:Sspon.05G0008870-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLQSSSARCHLPRASKPSARDQLDRSPCSSATRRPPIAALSAPAAVQPRVQPLPAVAIPLRPQEAREEARDVRLPRPSAPQPPCSRASSRSAPPPAAAIPLRPQEARDEALLLCRGPSVLLRDTRVDHHRHHRCVARGSSAHDAGVRQPAGRPTIRVNVSGVCVQERRRTSAGSASDHVWRLTITEWKPAAFPEAMFRVLS >Sspon.04G0015650-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:64448989:64455987:-1 gene:Sspon.04G0015650-2C transcript:Sspon.04G0015650-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVTVMTVDEQILTLDVDPDESVENLKALLEVETRVPLQQQLLHFNGKEILNAEKLSAIGVRDGDLVMMLPTSERSSQDNLRINPADGTAVNPQAFQQHIRDDTNELQNILRSRHQQKTELKRKQEEELALLYADPFDVEAQKKIEAAIRQKGIDENWEAALEHNPESFARVVMLYVDMEVNGVPLKAFVDSGAQSTIISKSCAERCGLLRLLDQRFRGVAVGVGQSEILGRIHVAPIKGGDFEAKVTKLVELGFDRASVIQALKLFNGNEEQAAAFLFGDERSERVALGERGVLHLELHLEPLLLPLGAELEHRGGHQHGNAGGLDHDGPVRAGLADVLDPAPRRGARGGDVDDGDGRVVEVRDAVGRLAAGARVGRAVEHGHHRGVVLERAQEVEVVLVAEVVDEARVQGAGGVDVAGAVAEGARRPGRGVQDELSLVVHLNRCVFMRNDRQRPTNVTYVRPWRNGDRRGAAAYLWS >Sspon.01G0045920-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:1676733:1677349:-1 gene:Sspon.01G0045920-2D transcript:Sspon.01G0045920-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKQLISLFLALTILSTALALVHGCTTSCPTPPPPPPSGGGGGSCPINALKLEVCANVLNLLKLNIPPRNDQCCPLLEGLIDLDAAVCLCTAIKANILGINLNVPVDLMMIYRSYG >Sspon.04G0002980-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:10189287:10190279:1 gene:Sspon.04G0002980-3C transcript:Sspon.04G0002980-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPHSCFRGWQEHNTSHVALVLVSVLLLSSARLSVGARSLLELYKPPASALLTYHNGAVLQGRIPMSIIWYGRFTPAQKAVVTDFLQSLTTASPTAPSPSVSQWWNTINQLYLSKARSSSNGARSGGSAQVALVGQVTDEGCSLGKRLTLAQLPQLAARAGPKKGGIALVLTAQDVAVDGFCMSRCGLHGSDARAGTAYVWVGNSATQCPGQCAWPFHQPLYGPQTPALVPPSGDVGMDGMVINIASMVAGTVTNPFHDGFYQGDKDAPLEAATACTGVYGSGAYPGFAGNLAVDRTTGASYNANGAHGRKYLLPALFDPATSTCSTLV >Sspon.02G0002090-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:6840030:6854280:1 gene:Sspon.02G0002090-1A transcript:Sspon.02G0002090-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFASLTYNASSMIGHNLEGPLPVHIVKVLDLVVHLNHARPMSTAAPAAAQSKVPVGARKVGLKVVMMSPGFVYEPYSPRERIPFWKRAFAEIFNMIHLTFLSNVALNLSLAVGMLCALQIGLDKNDLDKAFIQLTLEFVTKQKFEAYNSKGAVVSGDKSKEGAACAGQLAAACLPACLFFSFFHAGVRATRPSSTAAMTTWWPWPWPWSWQASALAAAAWLCLHLAVARLMEALWWRPRRLERHFARHGVRGPGYRFFFGSSIELVRLMVDASSRPAPPQAPHDVLPRVLAFYHHWRKLYGPMHLIWFGRTPRLVVSSPELIREVLLSRSEHFDRYEAHPLIRQFEGLGLSNLHGDEWARRRKILTPAFNAENLKLLAPFVADTVQRMLEELVLLPSSAAAGGSSEVEVDVAEWYQRLPKEAITVATFGRNSDEGSAVFRLQAEHASYATEAHSKVFIPGYRFLPTRRNRRVWQLDREIRSLLAKLVAGLQSGGDDHRGSGRDHGRAGGMRDFMSFMAPAMTADEIIEESKNFFFAGLETLTSLLTWATVALAMHPEWQDSARREVVDVCGRRGVPTKDHLPRLRTLGMVVNETLRLYPPAVAMIRKAKRDVELGGCVVPAGTEVMVPIMAVHHDADVWGADATEFNPARFADDGGDRRPRQQMAFMPFGGGVRVCIGQYLALMEAKIALAMVLQRCEFRLSP >Sspon.02G0011660-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2B:29576112:29576942:-1 gene:Sspon.02G0011660-2B transcript:Sspon.02G0011660-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LKLPPATCGNAFRPTTISSSRVPPPSAYSRTKQRGPPQILSWLFPKSRKKAKPPEMTTSPTAIERGNMPQLLTEWGALSLESLKKELAEANAHRDAALREAAEVRSSLGELATKLVNLEAYCSELKKALRQATNSPSVSRRSTRSIEASRELPMPVSHEVMVEGFLQIASEARLSVKQLCKALIQQVNEESSDGLSDKLNLLLRPYQLALISSTAKHCSKAVLYHLEAIMNQAMFQDFENPAFQRNGSPRCLDPAEDRRQSSPPSWRCATLAVSLF >Sspon.07G0001510-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:2479556:2482172:-1 gene:Sspon.07G0001510-2B transcript:Sspon.07G0001510-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFVAAYLLAVLAGNSSPSAEDLTAILESVGCEVDNERMELLLSQLSGKDITELIAAGREKFASVPCGGGGVAVAAAAPAAGGAAPAAEAKKEEKVEEKEESDDDMGFSLFD >Sspon.02G0031560-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:115191470:115193815:1 gene:Sspon.02G0031560-1A transcript:Sspon.02G0031560-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPPAPRPPRPSPSRRSSRTRPTRARSAAWPRRRGRGWRRPAARTTPCASTTSPRAADLGPLLDPSAAVSALAFYSRGPVPRNLLAACDDGSLLLYDADGFALLATLRAFPRHEAVEGLAVHSSGRVALAVGRAGALAMLNLVRGRRSFACRLERPASAVAYAEDRDGGDRFVMAAEEKVSVHDSVDARIIHQMDCGKRVLAMAPAKNGVLYTGGEDRCVTAWDLSSGKVSSRIEGAHATRVKGVVVFDNRKGGSELSNLIASASSDGVIRIWDIRTIGNGKPTPLAEANTKARLTCLAGTLAELENLDIKEELKMKDLACNHYPGAFE >Sspon.02G0053380-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:104696982:104698170:-1 gene:Sspon.02G0053380-1C transcript:Sspon.02G0053380-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MECRVWPARDLCPRTLDSQPPIPAAVNVPPVHVGGKMYWPREPWLGTAAAEFAILTFDVCTETFEVVPAPPALLGDVDIADKMVLVELVVAGKLCAVNLSTSMETMTVWGKNVEGWGREHVIELQQWPEFSPRTTELAVMPMAVDPVNGRMILLDTGMALGSTPGPTWTLEESFCPACCKTLETSDNIFIDCPRSWRIWDSLRITATAGDHKRPSLIGTGLNLPSAVRLDVMLVVLWHIWKARNVLIFDSKNSTATGGLRSIHKDLCTCACRFRRNKELVNSWAAYIQSFASDM >Sspon.01G0017100-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1A:61813559:61815015:-1 gene:Sspon.01G0017100-1A transcript:Sspon.01G0017100-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTVEITRRAVLRSPPPASARGGGSKQSPLTPFDRASTDGYIPTVFAWNAPAPDNDAIVDGLLAAVARYPLLAARMSVDDRGRKCFLLNDAGVLVVEATADADLADVLVAHDVAAHINELYPKADKHALILCLWYAVQERVDEPLFQVQLTRYRCGGLVIGTVCQHLVADGQSMSSFYTAWATAVRNASATNLPSPFTDRAAIAVPRSPPVPRFDHRNIEFRGEHSSTHAVLPMDRIKNLAINFPEEFIADLKARVGGRCTTFQCLLAHAWKKITAARDLAPEEFTQIRVAVNCRGRANPPVPMQYFGNMVLWAFPRMQARELLSSSYAAVVGAIRDAVARVDAEYIQSFVDFGDMAERAGEELASTAAGPGTAFCPDLEVDSWLGFRFHDLDFGYGPPCAFLPPDLPVEGLMILVPSCAAKGGVDLFMALDDDH >Sspon.08G0011450-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:49943926:49947974:-1 gene:Sspon.08G0011450-1A transcript:Sspon.08G0011450-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDEEHEVYGQEIPVDGEDVDMAAGDDATKLQELDEMKRRLKEMEEEAAALREMQAKVAKEMQGVDPNATTSENKEEMDSRSVFVGNVDYACTPEEVQQHFNSCGTVNRVTILTDKFGQPKGFAYVEFVEVEAVQEAVKLNESELHGRQLKVAPKRTNVPGMKQPRGRGFNPYHGHPYMRPYGYSPYGYGRFPRFRRPRRPYF >Sspon.08G0012130-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:48423772:48431175:-1 gene:Sspon.08G0012130-2B transcript:Sspon.08G0012130-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding METLPSYAEDIDGGESPSISMLSEVAAARKITIVGGSIPEKASGKINIPGDIALKESDTFTGGQETTIVDTDVGRIGIGICHDIRFPELAMLYRSKGAHLICYPSAFNMSTGELLWDLMQKSRAVDNQLFVATCSPARDPNANSDYVIWGHSSLIGPFGEVLAAAGHEEATVIGEMDLSTIQENLPLEMQSRGDLYRLVDVLANDSMKSRSELCREMPA >Sspon.03G0010210-3P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:2678000:2680936:-1 gene:Sspon.03G0010210-3P transcript:Sspon.03G0010210-3P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ATPASNSLSQEDIEALTKRTQDGGTEVVEAKAGKGSATLSMAYAGAVFADACLKGLNGVPDIVECSFVQSTVTELPFFASKVWLGKNGVEEVLGLGELNDFEKKGLENLKGELKSSIEKGIKFAHGN >Sspon.03G0028400-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3B:9227941:9228332:1 gene:Sspon.03G0028400-1B transcript:Sspon.03G0028400-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding METTGSRHVRVGETHGRGRRAGDACSFAWIMDANAMPRRGKDGTYTKLCASNDHVETYYKDGWRPTGHCTAQSSIVVAAAACASMRHATHLMKLSCMDDRVGDPAPMEMDDGRPDLRSTCAPVIQLPLLC >Sspon.01G0003750-3C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1C:9700350:9700712:1 gene:Sspon.01G0003750-3C transcript:Sspon.01G0003750-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding PIEQRFLRLCQEREGQIDVCALVADPGTYEARYATFLRSVLRLKQWGDFKGLIVEFFISRASEIGDDILKEGGEKLVARFVEMHRNKAVDPQWRASYIRRVLQEQHNQHRQQQRWGGSAAA >Sspon.02G0043950-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:116788153:116794018:-1 gene:Sspon.02G0043950-2C transcript:Sspon.02G0043950-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMEVYERLTAAETRHHRGTRFDALIGLDEVEGSDEEEEEEEEERAAGAGLGDELPCPFCGEELDAVGLWCHMDDEHHAEANAGVCPICTDKVDKNLFDHISSKHRGFLKDKWRNQKGSSGARYSTLALLKRDLHERINGSSRAAPVSTVPDPLLSSFVGNFYEVDLPKDAKKESLAETEVGSDNLEQKAAESVDEPLLPEVKEERTRRSQFVQGLVLSLMFDDIL >Sspon.02G0032310-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:100322079:100322589:1 gene:Sspon.02G0032310-2C transcript:Sspon.02G0032310-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHRHLFVLSLGLALLLLIATAHAGGHARGAGATAITQGMDDDGVGSMMRSMVGSRPPSCAGRCWWCGGRRCEAVQVPITPQQQDKSRRHGSGGGGGSRSTRDGSRGASSSSAHLHERRRRPSYDDHSNYKPLSWRCKCGGG >Sspon.01G0031970-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:108896699:108900412:-1 gene:Sspon.01G0031970-1A transcript:Sspon.01G0031970-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVLGAFAAKLAGILMGIAKEEVEMLLGVPGEITKLEKTLGDLSAIMADAEVARIRSNTVERWVRELKDAMYDVDDILDLCQIMEKGTGGGEEDPMAAPSRTASSRCFNIFCFRNPVAAHEIGRKIKALNKRLRDIAERSSRFGFIVRELHSSILHSSNGAAAGSLLGSSDSIVRSGVVGDKIQRDVQDLVTLLLQDEVDADAHRTSSGNVIVSAAITGAGGIGKTTLARMVFNDDKVEQSFDERIWLSINKEVDQLSVLRSVIAALCGGGAAGDSRALLECALKQAVWQKKLLVVMDGVWSEGVWNGLLSAPLADAAAPGSRVLMTTRNDEVALKMKAHHVHRVGKLEGDDAWVLLKKQVVSDEIDEVEVDGLLKDIGMKIVEKCEGLPLAIKVLGGHLFHISKTRDAWARVCDHFAWSISGIDDDINKAVYLSYEELPSDLKQCFVYCSLFPNNEPIRREDIVNLWISEGYVNNKTTALSLLFEDVGLKHYRELISRNLLEPKKGSYGHSACTMHDVIRSFAQYITKHEGVLVAEGQDANIALAAAPKIRRLSISNKVVEPGILRKQVSLRTLMLFGSSVINSKELWNNLSSCLRVLYLDNVNLDELPDSICHLKHLRCLSLCATSISTIPEVIGDLQFLQGIELVKCSNISQLPNSILKLRKLRLLNIRETKITSVPRGFGKLRDLVIMGGFPTHSEDGAEGWCSLEELGSLTKLRVLDVIGLEKAPSGSVAARAKLCTKEHLKELNMKFTSQLGDNGELRSNISKEEQDQAEQVLGNLCPPTCIEELVIKGYYGLGLPQWARMMPALFRGLRRLVLEGYACCNQLPYGLGLLPFLDYLWVDQAPAIQCIGHTFVLTPSSNGQDDAAAGVATDLIMSRQLPASLISRGTGFAFPKLTALGFEGMSGWTEWDWEEHIPAMPALEGLTIDGCKLQRLPPGLSRHASATQLTLLDLRNVLNLVTVENFPALTELKLWDNPRLESVRSCPRLHKITICSCPMLKLLENVPAIGTAMWMDLGAETLPTYLQEAELSKLNVYCRPSLFKLISLQYDTPEWGKVQHVQRMKAYAMEAPEDGLKGYMDYTKE >Sspon.03G0019980-4D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3D:48314087:48315445:1 gene:Sspon.03G0019980-4D transcript:Sspon.03G0019980-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GCGSVLVVALPLLLALDGMGVVGACDARDGGSRPARGELCSPTLCGGVNISFPFGIVTETSCGVIGFQVRCSTNIPYFGLSTYSSRILDIFYNNSSLLIADVHEL >Sspon.03G0007070-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:19222725:19223478:-1 gene:Sspon.03G0007070-1A transcript:Sspon.03G0007070-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKGKIFKLAKGFRGRAKNCIRIARERVEKALQYSYRDRRNKKRDMRSLWIERINAGTRLHGVNYGNFMHGLMKENIQLNRKVLSELSMHEPYSFKALVDVSRTSFPGNRPVRKEGLAAIL >Sspon.05G0009010-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:17883674:17887347:1 gene:Sspon.05G0009010-3C transcript:Sspon.05G0009010-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCGSGSTGEVEGGKSAAAMASWHDSLAAPPRVLISHRPSDASGQGTVLSLQHPRSGDEMGYLFIDGQLQEINWFKERYGAWFLGDYVCEDGGLYYCTPVDPIFIFLPTFEAARMSNGKDPGKFRQLDEILYVEGYPGYQQLMNVASHHMELVCEVKEVSNMKFFRLDNSKVLSWLCCKVYNLKEVFPKLGKNYAAQTEKEQLKEAVQMIREYLKDEPWLTFLCKKLQLDVNEITVDATTKIGEASFYADSCPAPALPSESKTANGGSKSSKGRPAKKPKTEVGSKNIKDMFRRVTRSGSGS >Sspon.04G0023260-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4B:11449979:11453024:-1 gene:Sspon.04G0023260-1B transcript:Sspon.04G0023260-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPMIGAGAPSAPALFPAPMAPVPAFETLTIAVLNLQRQMDAWLATPAFAPPPPIHPPPPLQALVPSPLLTPVSPPAASPPPLVMLLPQDPDGVGRTEESPPSTPLGARPAPSAPSPHPSGAPAATLPAASPPPMPQPPAPTAAPPAAMVAVTNTDVEVVDLDLDDDDLMDEDAGPDPAPAPTAAPRLRSTISGDDAPRSTKARDFRVGAGEHADLDALPRSPRLDRAERQPPVIHPPLPSPPSPVTRVPPAPSAAPSPPRPHEPAPGDGVFPSGGAASGGTHPPRGATPGGGAAPPGGSAPDDGVVPPGGAASGGTDPTGGATPGGGAASPGGSAPRDDAIPPGGAASGDGAVPTRDAGPQQRHPRDAGPEQLHHREAGEVGTLTWDTLESLCHRLSPAELDEHCRPDLFHNHDEQVCATEKIVPAADTLVHLSHLDAGADVLISSSYQAMLAGFWSRGFSWDASEAAIIESDLVLTVSPHYVNELTSGPDKGVQLDGVLRTKPLETGIVNGMDVYEWDLSTDKYIGVKYDATTVTEARALNKESLQAAVGLPVDSTIPVIVFVGRLEEQKGSDILIAAIPEFGGENVQILVLATGKKKMEKLTPLEVKYPNNARGIAKFNVPLALMLFAWADFIIVSSRFEPCGLIQLQGMRYGVIPICSSTGGLVDTVTGFHMGSFNAECETVDPVDVTAVASTITRALQQYDTLAFHEMVQNCRAQDLSWKGTAKKWEEVSGGFYAPSPKTQGRVLPWSKMTNADLGTLSNSDEAQAGVQPLNKAVKRREKLGPLLRNMVPVLRPDPIALARALKTFIICYFVSSSGPTVILLTVCSEGAEAKPTQITKNAMEGAQNKHIVAIVVATAGKLQIDKSMMDELKEVKKAAQEAIAGMGDLMVQPGHILQQPELARRASLQRALWHVLPSWACPPDNAAWEPGDTFRMASPPLQLEDELLVEGGRSVMVGKR >Sspon.02G0013220-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2A:35401254:35401526:1 gene:Sspon.02G0013220-1A transcript:Sspon.02G0013220-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLSEGNGHEFCVEPVSWLSTNVTAFSGSQPIIPGALPLPSFIRHSSPWTAACSTPLVVKSNAAAGPPTACAQLTSSCLSASWLLDGSPLP >Sspon.08G0000440-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:243337:246031:1 gene:Sspon.08G0000440-3C transcript:Sspon.08G0000440-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAPTGCFKCGRPGHWSRDCPSSSAPAAASDADAPTNPSRPSAASRFNAKSRPPAAAAAAAAPEGDGTDGGALPQPQDGKGKKKKKKERATRPKLTPDLLLSDGGIGFVLRYFPKAFKPHARPGHEVEDLGNLIKLYTDWHSRLIPYYSFEQFVRKVEKLGAGNRVRRCVSELRDRVARGGDPTLLHEPPVYEDMPEGEPDGTAPEDPIFGTEAPLSDNHVTDPVQEDADPPMESNDVDPMEEDLLNEIYEKAADEPWIPAGEGTNKEIPEPSAPKEVNLPAQKPQDGAASDGPKRAKIELTEEQKARMEANRLKALERAATRARASQPT >Sspon.01G0020900-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:77164158:77166841:-1 gene:Sspon.01G0020900-1A transcript:Sspon.01G0020900-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSRGRILHATLRTPLLRAGARSAVLSSPRSLVWAEIRPGFLLMMGENKWMGKRWEDMDTDVLVKIFKELNLVELSPTRASPYIWVDDRSDKRLARILRVAMAISCGNVNCLIFHYNLFMKDEHLHFISERSPHLKRLVMPAWNRITKVAICQAIQRWQELESLTMPTIGHPPYIMEEIARSCKNFTELKIMGSFDQQFASAILQYLPKLKVLSLRCSKVSMDALQCLLNSMEYLEVLNISHCLLLVVAANGRKQVVHELDSQILERASRLREFHYCQSRLCVACQRMVVDEGIMRWYRYEDWFWRRDEVRSLDLLEDYGKLFDAGCERLTSVE >Sspon.03G0008260-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:22422389:22423827:1 gene:Sspon.03G0008260-1A transcript:Sspon.03G0008260-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAAATGIAQRPVVLYPSPGMGHLVSMIELGKILGARGLSVTIVVVEPPYNTGATGPFLAGVSAANPSISFHRLPKSKHHEALTFELVRVSNPHLREFLAAASPAMLVVDFFCNIALDVAEELRVPAYFFFTSGAEVLAFFLYLPVLHERTTASFQDMGEELVHVPGIPPFPATHSILPIMERDDAAYDRFLKSFRDLCRSQGLLVNTFRLLEQRAVETVAAGHCTPPGLPTPPIYCIGPLIKSEEVLGKGGEECLAWLDAQPRASVVFLCFGSIGRFSAEQIREVAAGLEASGQRFLWVVRAPPSDDPAKKFEKPPEPDLDALLPEGFLARTKDRGLVVRSWAPQRDVLAHPSVGGFVTHCGWNSVLEAVMAGVPMLAWPLYAEQRLNRVFLEKEMQLAVAVEGYDSDTGLVAAEEVAAKVRWLMDSEGGRRLRERTLEAMRQAKDALREGGESEATLAGLVDQWKRT >Sspon.08G0018190-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:9432200:9437598:1 gene:Sspon.08G0018190-1P transcript:Sspon.08G0018190-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIGQYPASSCSKEHQKIYQEWFALADADGDGRITGPDAIKFFGMSKLSRPDLKQVWAIADTRRQGYLGFPEFVTAMQLVSLAQAGNEITQDSLKRDDLVSLNPPVMEGLDALLAKSKHLVKRVDPEMDGYPQEQSTLTNKWFSSTSSKKIPLTAVTSVIDGLKKLYIEKLKPLEVTYKFNDFVSPLLTSSDFDAKPMVMLLGQYSTGKTTFIKHLLRTSYPGAHIGPEPTTDRFVVVMSGPDERTIPGNTIAVQADMPFSGLTTFGTAFLSKFECSQMRHPLLEHITFVDTPGVLSGEKQRTQRSYDFTGVTSWFAAKCDLILLLFDPHKLDISDEFKRVIGSLRGHDDKIRVVLNKADQVDTQQLMRVYGALMWSLGKVLNTPEVSRVYIGSFNDKPVNESAVGPLGKELFEREQDDLLSDLKDIPKKACDRRINEFVKRARAAKIHAYIIGHLKKEMPTMMGKAKAQQRLIDNLPDEFAKVQREYHLPSGDFPYVEHFKEVLSGYSFDKFEKVKPKMIQAVDDMLGYDIPELLKNFRNPYE >Sspon.04G0034040-1P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4D:73627575:73630686:-1 gene:Sspon.04G0034040-1P transcript:Sspon.04G0034040-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGEIPTEIGSLANLNVLDLGANQFSGTIPSSLGNLSALTILYAFQNNFEGSIPPLQHLSSLRKFGLGGNKLQGTIPSWLGNLSSLEFLDLQKNGLVGQIPESLGNLEMLRTLSLAVNNLSGPIPSSLGNLYALTKILLENNELEGPLPPLMFNNLSSLESLYIEYNNLNGTLPPNIGNNLPKLNYFLVSDNEFHGMLPSSLCNASMLQEIETLENFLSGKIPECLGAKQASLFVVSLSANQFEATNDADWSFVASLANCSNLYALDVSSNNLHGVLPNSIGNLSTQLEFLNIANNNITGTITEGIGNLVNLQTLSMPQNFLIGAIPASIGNLNKLSDLSLYNNALSGPLPVTLGNLTQLTRLLLSTNAISGPIPSTLSHCPLEVLDLSHNNLSGPIPKELFSISTLSRFINISHNSLSGSLPSEIGKLENLNGLDLSYNMISDDIPSSIGGCQSLEFLNLSGNILQGTIPQSLGNLKGLAGLDLSHNNLSGSIPEILARLTGLSILNLTFNKLQGGVPSDGVFLNATKILITGNDGLCGGIPQLGLPPCPTQTTKKPHRKLIITVSVCSAFACVTLVFALFALQQRRHQKTKSHQQSSVLSEQYMRVSYAELVNATNGFASENLIGAGSFGSVYKGTMRSNDQQRVIAVKVLNLMQRGASQSFVAECETLRCARHRNLVKILTICSSIDFKGHDFKALVYEFLPNGNLDQWLHKHIIEDGEPKTLDLTARLNVAIDVASSLDYLHQHKPTPIVHCDLKPSNVLLDSSMVARVGDFGLARFLHQDIGTSSGWASMRGSIGYAAPEYGLGNEVSNHGDVYSYGILLLEMFTGKRPTDNEFGEAMGLRKYVQMALPDRVSIIMDQQLQMKTEDGEPATSNSKLTISCITSILQVGISCSEEMPMDRVSIGDALKELQAIRDKFQKLHCSEEASSSH >Sspon.06G0014680-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:84168593:84191968:-1 gene:Sspon.06G0014680-2C transcript:Sspon.06G0014680-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEERVISQGSKRTLPVEEPAVAAAPPVPPLGDAFDDPARFDDYAILGLPASSYGSVLRDDDENGEAYDDMRDEDSDSSSDSDSDSSSDSDGEFEDHSNRVRFWDGNAAAGGETNLVAGHLTFLGRQTQFASFQGAAGFMRLSAAQGAHGGKEDREGGAIVVHYRLTRFSRIHQQSDGLGVELRDLGMGLHHIRYLVPFPVAATDPASSLRLVGATLVADVYPYCYYVQLQALWSSLVAVLPVRIPARATRLVVTVDVGVLRGEDHTPERMHEALAGLARENDGSPMACGLEQHLPTPVCCDDGSAGGDDWPAKRMKRFAVAGEVCAICHETLEHGLAAWPRCSHVFHGKCLEQLLVTVHRRCPMCRTKAMEEERVIPQGRTLPAEEPAVAAVLPVPPLGDVFDDDPASFDDYAILGLPASSYGSDLGDDDEDGEAYDNMSDSDSETEDHDNQVRFWDGDAAGSETDLVAGPLMFLGQMTRFASFQGAAGFMRYYVQLQALWSSLIVALPVHVPPRATCVVVTVDVGVLRSEDRTPERMERMRTALAALARKNDAASPMAFGLEQLLPVPVCCDEPSSGGEVAWPAKRRFNVEGEVCAICHETLEHGLAAWPRCSHVFHGKCLEQLLVTSIIEVLTFRKPSPSCILQAYRTSRGSETDLVAWRASDVPRPADAVRLLPGRGRLHAALRCTGRPRRRGGPRRRGDRRALPPHPLLEDPAERRTRSRGARLRNGLGVEVRDFGTALHHVRYLVPFPAAATAADPASSLRLVGAALAADVYPYRYYVQLQALWKSLVAALPVRVPARATRLVVTWSPSTLDYQVKTMEEERVISQGSNRTLPMEEPAVAAAPPVPLLGDVFNDDPASFNDYAILGLPASSYGSVLGHDDEDGEAHDDMSDSDSDNDSDSEIEDHDNQVRFWDGDVAGSETDLVQAEPLMFLGRMTRFASFQGAAGFMRMSATQASTVVEDNHEGGVIVVHYCLTRFSCIQSGRLRVVLDVGTDRHHVRYLVPFPVAATDPASSLRLVGAALAADVYPYRYYMQLQALWSSLVTVMPVRVPAQAMRVVVTVDVGVLRSEDRTPERMERMIEALAALARENDASPMVCSREQHLPVPVRCDDESGSGGDRPAKRMKRFAVAGEVCAICHEALEHGLAAWPRCSHVFHGKCLEQLLVT >Sspon.05G0007790-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:24316728:24320081:1 gene:Sspon.05G0007790-1A transcript:Sspon.05G0007790-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Enhancer of rudimentary homolog [Source:Projected from Arabidopsis thaliana (AT5G10810) UniProtKB/Swiss-Prot;Acc:Q96319] MAGRHTIILMQPSQNRSTRTFMDYDSVNHALDGICGLYERKIRNINPMVRNLTYDISDLYNFIDGLTDISALVYDDSLHAFLPYDRQWIKQKLFQHLKRLAQQ >Sspon.02G0005100-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:15474405:15477879:1 gene:Sspon.02G0005100-2B transcript:Sspon.02G0005100-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCVASGGTTTVTAAGAAGEDGRRRGRRWKAPREEQLGSVPGRIFSNDGRSRTAAVFTQQGRKGINQDAMLVWDVSHRISRPSLSLPCSSRRQFVLPRMVVVMVVECLSPWQGFGGEDDVVLCGVFDGHGPHGHLVARRVRDALPLKLMSAVRASKAGFDMPAAAWRKAFASAYKAMDKDLRSHAILDCFCSGSTAVTVLKLVRATGLDASLLSIDSSFLAETYVKSFLLGCMRGSALHSPLTSDFCTQGSDLCMANIGDSRAVLGSRNSGGGGGMVAVQLTVDLKPDVPSEAERIKKCRGRVFALQDEPEVPRVWLPFDDAPGLAMARAFGDFCLKDYGVISVPEFFHWSLTEKDQFVILASDGVWDVLSNQEAVDIVASSPSRSKAAKSLVEAATREWKTKYPTSKIDDCAVVCLYLDGKMDHERDSTASMDNISLDEGSVADPNEAQEQEPALTRNFTVRTVAGSVHEKALSGAVDAVVAGAAHDQNWSGLDGVTRVNSLVQLPRFSEEKAIG >Sspon.05G0017610-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:74825105:74835969:-1 gene:Sspon.05G0017610-2B transcript:Sspon.05G0017610-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein translocase subunit SecA [Source:Projected from Arabidopsis thaliana (AT1G21650) UniProtKB/TrEMBL;Acc:F4HY36] MAAASAGGSLSTASASLVPTPTSAPAPRLLRRRSTKPAPRASSTPRPKKPRPLSCAAAATPTPAPAAASKSAGSWRDLCSLNAWVVRDYRRLVDSVGALEPALRRLSDEQLKAKTAEFRGRLTRGETLADVQAEAFAVVREAARRTLGMRHFDVQIIGGAVLNDGCIAEMKTGEGKTLVSTLAAYLNALTGEGVHVVTVNDYLAQRDAEWMGRVHRFLGLTVGLVQAGMKSDERRASYRCDITYTNNSFSKAAHPLQELGFDYLRDNLSRNKEQLVMRWPRPFHFAIVDEVDSVLIDEGRNPLLISGEDNRDAARYPVAAKVAELLMEGVHYTIELKGNNIDLTEEGVAHAEIILGTDDLWDENDPWARFVMNALKAKVFYRRDVQYIVRDGKAIIINELTGRVEPKRRWSDGIHQAVEAKEGLKIQADSIIVAQITYQSLFKLYPKLSGMTGTAKTEEKEFLKMFKMPVIEVPTNLPNIRVDLPIQAFATARGKWQYVQAEVESMFQLGRPVLVGTTSVESSEYLSELLKVRNIPHNVLNARPKYAAREAEIIAQAGRKHAITISTNMAGRGTDIILGGNPKMLAKEIVEDNILPFLTHEPPDIDMEGESTSHKGLSNIELGPSSVGLLAKAAIMSKYIHKSERNEWSLSKAKSTIAESIEMGQMIGMEKLQQRMTEESEMYPLCDAIGLAYLSVLRDCEIHCSAEGAGVKKLGGLHVVGTSLHESRRIDNQLRGRAGRQGDPGSTRFMVSLQDEIFQKFNLDTEWAVRLISRITNDEDIAIESNVVVKQLLGLQINAEKYYFGIRKSLVEFDEVLEVQRKHVYNLRQVILSGDSESCSEQVFQYMQAVADEIVLLNIDPQKPPKAWNLVKLLDEFVGLGGKLLSEAFKDIQEQNLQSALEEMQGWGPVKADSFALPNMPMPPNSLRGIRKKTSSIMRWFAICVHDTSKKGRYTNTANLLRKYFGDFLIATYLNAVQESRYDDTYISGIEREVLLKTLDTLWKDHLVNMNKLSSAVSHALRCECSEFWTQKSSGRIQDRWLPLLHLNVECHTTSHRGIPSALLVVSHGIRRDLQHRGSIAEHIISSVDFSCKLKTG >Sspon.04G0011780-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:37439485:37443627:-1 gene:Sspon.04G0011780-2C transcript:Sspon.04G0011780-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKVAVDAYVAPEAVLAGQVTVHDGASVWSGAVLRGDLNKITLGFCANVQERCVLHAAWAAPTGLPAETLVDRYVTVGAYCLLRSCTIEPECIIGQHSILMEGSLVETNSILEAGSVLPPGRRIPTGELWAGSPARFVRKLTNEEIMEIPKLATAINDLMQSHFSEFLPYSNAYLEVEKLKKSFSIPL >Sspon.06G0004730-3C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6C:13267149:13268078:-1 gene:Sspon.06G0004730-3C transcript:Sspon.06G0004730-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFDEHDDGDEEMTPMPVSSIYDAPMQPGLVGLGGGGGGGGTPKPGDSGGGSFRTPGGVVGGGGGGGGGGGTRYRECLKNHAVGIGGHAVDGCGEFMAAGEEGSIDALRCAACGCHRNFHRKESDSPTGGSGADPAAAALSPAAITAYGAAAAHHHHYQFSPYYRTPAGYLLHQHQQLAAGHMQMQRPLALPSTSPHSGRVDEGDDMSGLIGPMVVAPMVGMSLGSGGGPSGSGGSGSGKKRFRTKFTQEQKDRMLAFAEHLGWRIQKHDEAAVQQFCEEVCVKRHVLKVWMHNNKHTLGKKPSFPGAGA >Sspon.04G0011260-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:33606047:33616275:-1 gene:Sspon.04G0011260-3C transcript:Sspon.04G0011260-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acetyl-coenzyme A synthetase, chloroplastic/glyoxysomal [Source:Projected from Arabidopsis thaliana (AT5G36880) UniProtKB/Swiss-Prot;Acc:B9DGD6] TEDSPGSTGASSSRDRSVITVYKEKKTPPVAIAAALPRPDLAASPAPFCKSPLPATAMGTANGQQPAAEGASSDKLRHVESMSELPSGAGKISGINAVVLGESLAAEENDLIFPSSQFSANALVSSPKQYREMYERSIKDPAGFWSEIAETFFWKEKWNPAEVCSENLDVTKGPVQINWFKGGKTNICYNAVDRNIESGNGDKIAMYWEGNEPGQDGKLTYSELLEKVCQLANYLKSLGVGKGDAVIIYLPMLLELPIAMLACARIGAVHSVVFAGFSADSLAQRIVDCKPKLVITCNAVKRGVKPIFLKDIVDAALVESEKNGVSVGLCLTYENQSAMKREDTKWQAERDVWWQDVVTKFPTKCDVEWVDAEDPLFLLYTSGSTGKPKGVLHTSGGYMVYTATTFKYAFDYKPTDIYWCTADCGWITGHSYVTYGPLLNGATVLVFEGTPNYPDSGRCWDIVDKYNVTIFYTAPTLVRSLMRDGTEYVTHYSRKSLRVLGSVGEPINPSAWRWFYNIVGDSRCPISDTWWQTETGGFMITPLPGAWPQKPGSATFPFFGVQPVIVDEKGQEIEGECSGYLCIKKSWPGAFRTLYGDHERYETTYFKPFAGYYFTGDGCSRDKDGYHWLTGRVDDVINVSGHRIGTAEVESALVSHPQCAEAAAVGVEHEVKGQGIYAFVTLVDGVPYSDELRKSLIMTVRNQIGAFAAPDKIHWAPGLPKTRSGKIMRRILRKIAARQLDELGDISTLAEPAVVDQLIALSDC >Sspon.04G0016350-3P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:67026839:67031013:-1 gene:Sspon.04G0016350-3P transcript:Sspon.04G0016350-3P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGGPRATGRFGNGRLPPDLISEALGLPPLVPAYLDPAYGIDDFARGVCFASAGTGIDNATAGVLSVIPLWKEVEYYEEYQRRLRERVGPSRAAAIVRGALHVVSIGTNDFLENYFLPLATGRFAQFTVPEFEDFLVAGARQFLARIHRLGARRVTFAGLAAIGCLPLERTTNALRGGGCVEEYNDVARSFNAKLQAMVLGLRDEFPRLKLVYISVYESFLDLITNPQKFGEHATTRGRSINNPWKFLHPAHTYFAIMNMNMAGLENVEEGCCATGRFEMGLMCNDDAPLTCDDASKYFFWDAFHPTEKVNRLMANRTLQVCYQEGVL >Sspon.05G0036470-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:87444519:87462156:-1 gene:Sspon.05G0036470-1C transcript:Sspon.05G0036470-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent Clp protease proteolytic subunit-related protein 2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G12410) UniProtKB/Swiss-Prot;Acc:Q9XJ36] MAPRRSYQYLHADALKVFSEMNTQLFPVACSSESSCPNVSAGFYTAVNRRISLGLSNKKATRARISMMPIGTPRVPYRTPGEGTWQWLDIWNALYRERIIFIGDNIDEEFSNQVLASMLYLDSIDNSKKIMLYINGPGGDLTPCMALYDTMLSLKSPIGTHCLGFAFNLAGFILAAGEKGSRTGMPLCRISLQSPAGAARGQTKGFGQYVSIINISHIFENGIAKYLRDINPDKNKKYNLIIYNVQTSITMLHDWLAKSPRTKGKSRAIDMPFGKAMRPIKKLQIHAHEMAVKIIITIPKTGNQIKNKLEKMCQQLNMNRQINVIFIVQATGLIIP >Sspon.06G0008740-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:46363441:46368515:-1 gene:Sspon.06G0008740-1A transcript:Sspon.06G0008740-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MYDIRLPVPHRPCRLRERTTAAAASVPSLRPPSQSRPVTPSPSPPPHPSAAADDDDDDGPPPHANPDPSPPRLLPSTTAASVSLRGLATKVEIIEIDLTEEDASSSAHGSSPSSPSVEVVGIRRLEEAIHGVMVRRAAPDWLPFVPGGSFWVPPLRRPHGVAELVGRIAAAGGSDGVAELVGGIAAAEGTEGVAGAVGGAVEVVELDAPMTEEQALCFSTTRGWPSSSFFVEGGNRGKAPTRKRSAEFDDSAMINVHMDGKEPIAGKPWHPLQLMLPHPSWKINL >Sspon.07G0002100-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:3701298:3704500:1 gene:Sspon.07G0002100-1T transcript:Sspon.07G0002100-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGNDWINSYLEAILDAGGAAGEISAAAGSGGGGDGTAGEKRDKSSLMLRERGRFNPARYFVEEVISGFDETDLYKTWVRTSAMRSPQERNTRLENMSWRIWNLARKKKQIEGEEASRLSKRRMELEKARQYAATDLSEDLSEGEKGETNNEPSIHDESMRTRMPRIGSTDAIETWANQHKDKKLYI >Sspon.08G0010320-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:45339193:45339495:-1 gene:Sspon.08G0010320-1A transcript:Sspon.08G0010320-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding TSSAGTPAGPPHAGLPCSLLFLSLLPPRANGRRDPAAIAGHDEGICRPQALAAAAVNEKWAKPRHVRGARSRSKSRQGNTELRNEMEERLHKFSFSEAGT >Sspon.01G0025000-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:88805233:88808869:1 gene:Sspon.01G0025000-1A transcript:Sspon.01G0025000-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:TTN5 [Source:Projected from Arabidopsis thaliana (AT2G18390) UniProtKB/TrEMBL;Acc:A0A178VQD7] MGLLSIIRKIKRKEKEMRILMVGLDNSGKTTIVLKINGEDTSVISPTLGFNIKTIKYHKYSLNIWDVGGQKTIRSYWRNYFEQTDGLVWVVDSSDIRRLDDCRAELHNLLKEERLAGASLLVFANKQDIQGALKPAEIAKVLNLEVMNSSRHWKIVGCSAYTGEGLLEGFDWLVQDVASRIYVLD >Sspon.01G0061020-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:86588029:86671605:1 gene:Sspon.01G0061020-1D transcript:Sspon.01G0061020-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMAHLITNCSFSTSPAVQTFSSSPNYCRSVGQLQNSKSSNLSLKSCSRRQKKSYVTCASAAVQGQTHLSSKPKKVMVIGGDGYCGWATALHLSNKGYEVAIVDNLVRRLFDHQLGLDSLTPITSIQNRVRRWKSLTGKTIQLFIGDICDFEFLSEAFKSFEPDAAVHFGEQRSAPYSMIDRSRAVYTQHNNVIGTLNVLFAMKEYSEECHLVKLGTMGEYGTPNIDIEEGFITVTHNGRTDILPYPKQASSFYHLSKVHDSHNIAFTCKAWGIRATDLNQGVVYGVRTDETAMHEELSNRFDYDGVFGTALNRFCVQAAVGHPLTVYGKGGQTRGYLDIRDTVQCVELAIANPAKPGEFRVFNQFTEQFSVNELAKLVTAAGAKLGLEVQTKSVPNPRVEAEEHYYNAKHTKLIELGLVPHLLSDSLLDSLLNFAIQYKDRVDTAQIMPSVLWKKM >Sspon.04G0013940-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4A:50495341:50499379:-1 gene:Sspon.04G0013940-1A transcript:Sspon.04G0013940-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AWVGLPSSRACLRDDILLACGATGQGNDTDGRVWTGDAGSMYAPPDNLASVASASCQDPSVPQLPLRPWQLLPLLPGMQPPLPRRVVLQPARALLPLLLMRVVLLLRARARPSARPLLLLPSSPPMPSTSQRRSPTGHHRRRPSAAVAGPSAAAAAGGPCALAYSFSTTASPPPAVPPAAGLRSASPDWIADSGATLHTTPDASLLSSLRPPHPSCPSSIMVANGTCIPVSSVGTASSHGSFHIHDVLVASGMVHNLLSIRRFTTDNSCSVEFDPSGLTVRDLASWVPLLQCNSTEPLYALRFPASVSPSSPSSASVSPSSPSSAAFTATPSSTTWHRRLGHPGRDALMQLSRSSDIRCSRAHDDHLCHACQLGGHVRLPFQSSSSHATRVFDLIHCDLWTSVLSMSGYKYYLVVIDDFSHYSWTFPQRAKSEAFPTLSHLFAWVSTQFGLTIKAVQCDNGHEFDNSTSRAFFLSYGVHLRISCPYTSSQNGKAERMIRTTNDIMRTLLLQASLPARFWAECLHTSTYLLNRLPSTACPAPTPHHALFGTPPRYDHLRVFGCACYPNTAATAPHKLAPRSTLCVFLGYSPDHKGYRCFDLTSRRVLISRHVVFDESAFPYSTTSPPTPPADPAEVSFFPTDPVILPPFSLYPAGTAPARSPGGPAWPLPNSHQDLPPVPDTAEAALELPPSLPVASLPPVVPDATVPITGPSAPTPPPRRFRLVYQRRREPSPPSPPPGRFGIVYERRREPAPPLSSPAPSSPVHAPPASPRSRADPPVYHPPLLHRDPRHTHPMVTRQASRPQALTAAACEPGISPVPSSVRAALADPHWRRAMDEEYAALLANQTWDLVPRPPGSNIVTGKWIWTHKRRADGSLERYKARWVLRGFAQRPGIDYAETFSPVVKLATVRTVLSLALERSWPVHQLDVKNAFLHGTLTETVYCSQPAGFVDSSRPDFVYRLNKSLYGLKQAPRAWYSRFATFLQTLGFTEAKSDTSLFIYHYGGETAYLLLYVDDIVLTASSESLLHRIIASLQKEFAMKDLGRQFTCDILERAGMTDCQSCSTPVDTQGKLSEAGGPMLGPADSTAYRSLAGALQYLTFTRPDITYVVQQLYLYMHAPREPHLAAMKRLLRYLRGTLGYGLLLGRSSTAELVVYTDADWAGCPDTRRSTSSYALFLGGNLVSWSSKRQPVVSRSSAEAEYRVVANGVAEAAWLRQLLAELHRPLARSTLVYCDNVSAVYLSTNPVQHQRTKHVEIDLHFARDRVAIDDVRVLHVPTTSQFADIFTKGLPSSTFSEFRSSLNVSSG >Sspon.02G0019490-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:63282981:63283214:1 gene:Sspon.02G0019490-1A transcript:Sspon.02G0019490-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQLVAAVAIMLLFLGSGAVTTSVVARSAPVASKGGSGSAVAQSETTAPATADSSARPSGCTNGSGPGGYCHPPSEH >Sspon.06G0000190-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:12335673:12338651:-1 gene:Sspon.06G0000190-2P transcript:Sspon.06G0000190-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DTDGHPHTPLVASRARARAPAAPGGLLRWGATPAPSRGRRRSSAAGRPPTSPTPPVRAARMVWDESKRLWGIGLPIAVGMLSMYAISSITQMFIGHLGNLPLAAASIGLSVFSTFALGFLLGMGSALETLCGQAFGAGQVAMLGVYLQRSWLILVSACVLMTPLFVFAEPLLLLLGQDADVARESARFSIYIIPSIYAMAINFGASKFLQAQSKVTVPAYIGFGALLANVLLNYLFVYVLGWGLPGAAAAYDVAHWVIALGQMAYIVGWCKDGWRGWSAAAFRDIWAFVRLSLESAVMLCLEIWYMSTITVLTGDLEDAQIAVDSLGICVRVSNELGSGRPRAAWNAVMVVVSEALLIGIVCMALILIFRDSFSIIFTSDATLQRAVAKIAGLLGLTMVLNSVQPVVSGVAVGGGWQGLVAYINLGCYYIFGLPLGYLLGYKFNFGVGGIWSGMLCGITLQTIILLVVIWRTDWKAEAAQALSRVQKWGGKGTDKVKPLLQ >Sspon.01G0029850-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:103727238:103729483:-1 gene:Sspon.01G0029850-1A transcript:Sspon.01G0029850-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mannan endo-1,4-beta-mannosidase 6 [Source:Projected from Arabidopsis thaliana (AT5G01930) UniProtKB/Swiss-Prot;Acc:Q9LZV3] MVKTRGNQFVVGDRPFYVNGFNAYWLMILAVDPSTRGKVTQVFQQAAAVGLTVCRTWGFNDGGWRALQKSPSEWIQEMAFHVKSIDPDHLLEVGAEGFYGPSSPARLPANPNTYAGQVGTDFIRNHRVLGVDFASVHIYPDTWMSGATLEAQLKFVTSWMEAHIADAEGTLGGMPVVFTEFGVSTKARSAAFNATTRDQFIQAVYGQLLNSTRRGGAGAGALLWQVFPEGTDYMDDGYGVVLPRAAATARIMSTYSKEIAVFNS >Sspon.06G0001580-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:5072894:5073268:-1 gene:Sspon.06G0001580-1A transcript:Sspon.06G0001580-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAISTAAAAAGGMLRARLLSASRVRGGGEGAGRWTTPGHEERPKGYLFNRPPPPPGESRKWEDWELPCYITSFLTVVILGVGLNAKPDLTIETWAHEKALERLQQQELASAAAVSGGGDADAE >Sspon.02G0023710-3C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7C:33721583:33722023:-1 gene:Sspon.02G0023710-3C transcript:Sspon.02G0023710-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding KLRRLPHIFAKVLELPFAADADVSVEEDAAALRFVAAAVDVDGLFSPAGARAHAVEIHPGVTKVVVRGLSSSGGGDDDGGAAAAFELDRWRFRLPPCTRPAMATATYAQGELVVTVPKGSGPDDGAGDGDAAAAALSGTERVLVLV >Sspon.05G0002800-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:11019199:11022159:-1 gene:Sspon.05G0002800-3D transcript:Sspon.05G0002800-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAAGASRWPGSRRRRVSARVCANRNRSGELPKKLSFCWWRSSFWWSGGLDSGDGGSELVGERNDYFSGESKKHSDVFCDISELDFFPYTTDLDSEELELKEQKPISKAQSGGDSSSNRSNDCYFPGLHDDLSQDCLAWASRSDYPSLSCLNKRFNLLINSGYLYKLRRKYGIVEHWVYLACSLMPWEAFDPSRKRWMRLPRMPCDECFSCADKESLAVGTQLLVFGREYTGLAIWMYNLLTRSWSPCTPMNLPRCLFASGSSGEIAIVAGGCDKNGQVLRSVELYNSEIGHWETIPDMNLPRRLSSGFFMDGKFYVIGGVSSQRDSLTCGEEYNLETRTWRRILDMYPGGTSASQSPPLVAVVNNQLYAADQSTNVVKKYDKVNNAWNIVKPLPVRADSSNGWGLAFKACGDRLLVIGGHRGPRGEVILLHSWCPEGGEDGADWEVLSVKERAGVFVYNCAIMGC >Sspon.04G0014420-2P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8D:23574632:23575186:-1 gene:Sspon.04G0014420-2P transcript:Sspon.04G0014420-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LGGPTWNVPLGRRDSTTASASLANSNLPAPTASLATLISLFGRQGLSARDMTALSGAHTIGQARCTTFRSRIYGDTNINASFAALRQQTCPRSGGDGTLAPIDVQTPARFDTDYYANLLSQRGLFHSDQELFNGGSQDALVRQYSASASLFNSDFVAAMIKMSNVGVLTGTAGQIRRNCRVVNS >Sspon.01G0010380-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:29093034:29116214:-1 gene:Sspon.01G0010380-3C transcript:Sspon.01G0010380-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRRFEAGFAWWRELGGIYGAGGWGLSKGEVHPPLGCGRARASQPGANADGSAQVPRVRVGVGFRPAKWAQAGRRRVAEANVGREKEFHTSLQKLRGEDADVSEEAIEIKPGAAASDCGSEGRPDAAGSLRMVLLSTAVAVCGSFEFGTCVGYSAPTQSGIVDEVGLSISEFAIFGSILTIGAMVGAVTSGRLADFLGRKMTMRISAIICIFGWLSIHLAKSAIMLYFGRILLGFSTGVLSYVCSDHVLDPQVPVFIAEIAPKNLRGGLATSNQLLICSGSSATYIIGALVAWRNLANVGREKEFHTSLQKLRGEDADVSEEAIEIKEYIESLRSFPKARFQDLFLSKNIYAVIVGVGLMVFQQLGGINGVGFYASYIFSSAGFSGKLGTILIGIIQIPITLFGAILMDRSGRRVLLMVSASGTFLGCFLTGVSFYLKAQGVFPEWVPTLALSGILVYIGAYSIGMGPVPWVVMSEIFSINMKAIGGSLVTLVSWLGSFAISYSFSFLMDWSSAASPLLLHCNAAPSVSFRHRRRWQRPTATRHDGRGAQQLQLLVARCLPAAVPPGANGACGAIAASGAGAAAGKAPYRGSKGQGSLWMVFLATAVAVCGSFEFGTCVGYSAPAQAGIVSDIGLSNSEYGVFGSVLTIGAMIGAVTSGRMADILGRKMTMRFAAVVGIFGWLTVYFAKVPVFISEIAPKGIRGGLATSNQLFICSGCSAAYIIGALVSWRSLVLVGLVPCAVLLVGLFFIPESPRWLANIGKEREFHASLQEFRGEDSDISEEATEIKDYIESIRRLPKARIQDLFQSKNMYAVTITKIQKLMVPVRQLLFLEEVRKKFRTNSVTLIFRWVSKLDNFCPLKKRGFSGKLGTTLIGIIQVQIPITFFGALLMDRSGRRALLLVSSSGTFLGCFLTGLSFYFKAQGLCTKLVPTLALCGILVYYAAYSVGMGPVPWVIMSEILSIDMKAIAGGFVTLASWIGSFAISYSFNFLMDWNPAGTFFLFSAASLVTVLFVAKLIWSATYAHLGLFHFL >Sspon.05G0002520-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:2806757:2810082:-1 gene:Sspon.05G0002520-2C transcript:Sspon.05G0002520-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEETGDDDVAIQILYCGICHSDLHTIKNEWKNANYPVVPGHEIAGLITEVGKNVKKFNVGDKVGVGCMVNTCQSCESCEEGHENYCSKIIFTYNSHDRDGTVTYGGYSDMVVVNERFVIRFPDGMPLDKGAPLLCAGITVYNPMKCHGLNEPGKHIGVVGLGGLGHVAVKFAKAFGMRVTVISTSPEKREEAMETLGADAFVVSTDANQMKPHGKMILLGLPEKPLQISAFSLVAGGKTLAGSCMGSIRDTQEMMDFAAKHGLTADIELIGTEEVNEAMERLAKGEVRYRFVIDVGNTLSAASLASSPVPAL >Sspon.01G0008970-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:27893689:27901951:1 gene:Sspon.01G0008970-2B transcript:Sspon.01G0008970-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MIDAAKIADLALLLIDGSYGFEMDTFEFLNIMQVHGFPKVMGVLTHLDKFKDVKKLRKTKQRLKHRFWAEIKEGAKLFYLSGLIHGKYTKREVHNLARFISVIKPIPLSWRMAHPYLLVDRFEDVTPTESVRLNRKCDRTITLYGYLRGCNMKRGIKVHITGAGDFSLSGVTGLADPCPLPSSAKKRGLRDKEKLFYAPMSGLGDLLYDKDAVYININDHLVQFSKSDENDAPKKQGKGKDVGVALVKTLQNTRYSLDEKLEQSFINFFGGRPAAQSKNSDAEGNVISASQDDQGDTNLQQVDNGNNSNAVTMESNEHSEGSSDSEEDNDDIQLRDRDVDLREEVEICNGRLRRKAVSANFLDDVDDEGTDEDGGDDEDSGDDQLSGDSASADDSGEASDSEDEFENTSKWKESLLARTLSRRSANLMQLVYGLPSTKLGGVALEENDDSEANSSDDEFFIPKGQKQAKNESPSFDDIDAEDCSKFFKAELRDWSNEDLIKSIRDRFVTGNWSKAALRGQDTDENGEDGEEIYGDFEDLETGELSDDEVDDVKKKSRQEQSNGGGYFDKLKEEMELRKQMNMSELNDLDEETRVDIEGFRTGTYVRLEVHGVPFELVEHFNPCHPILVGGIGLGEENTGFMQFSWRRLGVQALPHRLAEWAPRRARRAPRRARRVPRRLGGGTSPCLAFTLITATGWVQEFNNTARIVKKIKLTGTPCKIFKKTALIKGMFTSDLEVARFEGAAIRTAAKIEPGDMLKRKGENTEGIARCTFEDRILMSDIVFLRAWVNVEVPTYCNPVTTALQPREQTWQGMRTTAELRREKNIPTPHNKDSVYKPIERKPRKFNPVEIPAKLQQLLPFKSKPKDTPKQKKVPVENRVPVIMQPSEKKTHAAIQQLRLIKQEKAKKKKIKEQQKKKAYEAEKAKTELLTKKRQREER >Sspon.04G0023520-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:26751627:26755340:-1 gene:Sspon.04G0023520-2C transcript:Sspon.04G0023520-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTPAMLRWAAALAVLLAVAAPAAGFYLPGVAPNDFAKGDPLPVKVNKLTSIKTQLPYTYYSLPFCKPDTIVDSTENLGEVLRGDRIENSPYVFKMGEPKMCQIVCKAKIGEKEAKEFKEKIEDEYRVNMILDNLPLVVPVTRHDKNSIAYQGGYHVGLKGLYSGIKDEKYFIHNHLSFTVKYHRDDNSELSRIVGFEVHPHSVKHQVDDKWNGVDTRLSTCDPHASKFVTNSNNPQEVETDKEIIFTYDVRFEESEIKWASRWDTYLLMTDDQIHWFSIVNSLMIVLFLSGMVAMIMLRTLYRDISRYNQLESQEEAQEETGWKLVHGDVFRPPTYSDLLCVYVGTGVQFFGMLLVTMIFAVLGFLSPSNRGGLMTAMLLVWVLMGLLAGYSSSRLYKMFKGSEWKKITLQTAFLFPGVAFVIFFILNALIWGEKSSGAVPFTTMFALVLLWFGISVPLVFVGSYLGFKKPAMEAPVKTNKIPRQIPEQAWYMNPLFTILIGGVLPFGAVFIELFFILTSIWLHQFYYIFGFLFLVFVILIITCAEITIVLCYFQLCSEDYMWWWRSYLTSGSSALYLFLYAAFYFFTKLQITKLVSGILYFGYMLLASYAFFVLTGTIGFCACFWFTRLIYSSVKID >Sspon.01G0028010-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:64245638:64246960:1 gene:Sspon.01G0028010-2B transcript:Sspon.01G0028010-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGASGRLLRATTKAFQTDRNLPSSSTSGNTSPYRIPSLKFPFLWEAKKPLHLHRISRSAEQRAALITLGAARPSITPEKRQGVFLSESEVKSIDLLLPLAYEITRRMILRQFGAAQLALARQCCPKIIERIIHQVIINCQSFTLIGVAGSLVGSVPLFAEGCAVVMKSFFMRFHAMSRTVDQGETIRLLIEALDMFLIGTALLTFGMGLYTMFYGSQSIQKQARHVDTSHLGAFNLKKLKEGARIRSVTQAKTRIGHAILLLLQVGVLEKFKSVSLVTGLDMACFGGAVLASSASVFILSKLNMN >Sspon.01G0029450-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:102477617:102480314:1 gene:Sspon.01G0029450-1A transcript:Sspon.01G0029450-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding HEGDPAHPGRAVREPDRGQVLGGDLRRARDRRHGPLRGGLGPPARAHQRLLQRGQRRPLRPARRPHGPRAGTMDSVRSGPFGQIFRPDNFVFGQSGAGNNWAKGHYTEGAELIDSVLDVVRKEAENCDCLQGFQVCHSLGGGTGSGMGTLLISKIREEYPDRMMLTFSVFPSPKVSDTVVEPYNATLSVHQLVENADECMVLDNEALYDICFRTLKLATPTCKGLKMAGTFVGNSTSIQEMFRRVSEQFTAMFRRKAFLHWYTGEGMDEMEFTEAESNMNDLVAEYQQYQDATADEEYEDEEEEDA >Sspon.07G0026040-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7B:50014968:50015675:1 gene:Sspon.07G0026040-1B transcript:Sspon.07G0026040-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDLGALHHFLVISVTRSSTGLLLSQRHDAVDLLQWAGMAKCHPMTTPVDSRSKLPATDGDPVGDPSEYRSLAGALQYLTLTRPSHAYTVQQVCLFMHDPREPHLALIKRILRYVKGTLNVGLHLGVGNVSSITAYSDADWAGCLNSRRSASGYYIFLDDNLVSWSSKQQTTVSRSSAEVENRVVAQAVDKCCWLRQLLQELHISSAAYMTANPVHHHRTEHIGIDIHFVHEKVA >Sspon.05G0004840-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:14928016:14933097:-1 gene:Sspon.05G0004840-1A transcript:Sspon.05G0004840-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAPKPTAPSKTSPSEPQLPPKERERRVPMPRLRKRIANRLKDSQNTFAMLTTFNEVDMTNLMKLRSDYKDEFVTKHGVKLGLMSCFVKAAVSALQNQPIVNAVIDGDDIIYRDYVDVSVAVGTSKKGINNLAKKATEGALSIDDMAGGTFTISNGGVYGSLISTPIINPPQSAILGMHSIVQRPVVVNGDILARPMMYLALTYDHRLIDGREAVFFLRRIKDVVEDPRRLLLDI >Sspon.08G0026940-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:32894196:32914100:-1 gene:Sspon.08G0026940-2D transcript:Sspon.08G0026940-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cryptochrome 2, blue light photoreceptor, Promotion of flowering tim [Source: Projected from Oryza sativa (Os02g0625000)] MVGSEKTVVWFRRDLRIDDNPALAAAAKEGSVLPLFIWCPADYGQYYPGRCSRWWLKQSLAHLGKSLELLGCPLVLIRARTALLQLFWNPISLVLDDKIKNELSAHGISVQSFNGDLLYEPWDVYDENGQAFTTFNKYWEKCMNLPIEISQYLAPRRLMAAPGLANVRYCSIDDLGLESSKDVESSNALLSRAWSPGWRNAENMLDEFVSCGLLEYSKHGMKVGGTTTSLLSPYLHFGELSVRKVYQLVTMHHVKWQNEGKYEAEESVRLFLRSIGFREYSRYLCFNFPFTHERSFLGNLKHYPWLLDEGRFKSWRQGMTGYPLVDAGMRELWATGWTHNRIRVIVSSFAVKFLQIPWIWGMKYFWDVLLDADLESDILGWQYISGSLPDGHELSRLDNPEVQGQKYDPDGEYVRTWIPELARMPTEWIHCPWGAPNSILQVAGVELGFNYPKPIVELHMARECLDDAISTMWQLDTAAKLAELDGEVVDDNLNNIKNFDIPKVVLKKKLSPSTSSMDQRVLSTNGKNKKSQPTEVKAPYKQIIRDDMINASNMDDTGSTANLQVTRKRSSSDSAFNVPSSSSSLVMESRIHDNDNFMESRIHDNDNCSVRLQQTADRDGTDKVEDNDSEDSGTSISRPSKRPA >Sspon.01G0006310-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:16456760:16459168:1 gene:Sspon.01G0006310-1A transcript:Sspon.01G0006310-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLILVFLLLSSVDLRQISGATTDTLTLGQSLPWNQTLVSKGGNFELGLFSPGNSKKHYIGIWFKKVSKQTVVWVANRDRPILEPSASRFTLSDRGELLLRATPSNTLLWSSNASSPSPRTTVATLQDDGNLVVRSNATASSSGPVAWQSFDHPTDTWLPGARLGYDRARGVHSFLTSWTDSENPAPGAFSMEIDPHGQAKFDLLAGGTHQYWSTGVWDGEVFENVPEMRSGYFDGVPYAPNASVNFFSYKNRVPGIGNFVLEVNGQMQRRQWSPEAGKWILFCSEPHDGCDVYGSCGPFGVCSNTSSAMCECPAAFAPRSRGEWKLGNTASGCVRRTKLDCPNDGFLKLPYAVQLPGGSAEAAGARSDKMCAVSCLRDCSCTAYAYDAAKCLVWNGELVNLKTLPGDDGIAGAAVVLHVRVAASESEVPPPAAHHSWRKSMVILCSSVSGVVLLLAGLIIVVAVAVVLRKRRGKGKVTAVQGSLLLFDYQAVKAATRDFTEKLGSGSFGSVYKGTLPLPDTTPVAVKRLDGLRQGEKQFRAEVVTLGMIHHINLVRLRGFCCEGNKRALVYDYMPNGSLDAYLFKNGSSSKVLSWSQRFGIAVGVARGLSYLHEKCRECIIHCDIKPENILLDEELGAKLADFGMAKLVGHDFSRVLTTMRGTMGYLAPEWLAGAPVTAKADVYSFGLLLFELVSGRRNNGSAEAGPISAVYFPVHAAVRLHAGDVVGLLDEKITGDANVKELERVCKVACWCIQDEEGDRPTMGLVVQQLEGVADVGLPPIPSRLHMLAMMNNGSKLDTEV >Sspon.02G0024050-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:82324230:82325085:1 gene:Sspon.02G0024050-1A transcript:Sspon.02G0024050-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATADEADQVTVVDFWANGFGMRARIALRELGVAFRYVEEDLRVRERSELVRRMNPVHRSVPILIHDGRPVCGSVNILEYIDEVWGNNPTRACFLPHDPLQRAQARFWADFVDQKVFSTQTRFLKSKGDEKATAKAELLEHLKRLEEALGDKTFFAGDEFGFLDAVVIPFSSMFHGYEQHGGFDLDAECPGLMRWVRRCKERESVKGVLPDEDEMYELHKKWYGIE >Sspon.02G0024580-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:89084903:89086447:-1 gene:Sspon.02G0024580-3C transcript:Sspon.02G0024580-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPCPFVRVLVGNLALKMPASTTSAPRSAAASGSGVHPTTAPCYCRVRLNKLPYQTASAPLLPAAEEGPASCTGAFAAAFDVSKADLDRAAAKPALLLGARLRRRTARLKVAVYAGRGGGGVGASCGGGGAASGRLIGKLVVPLDLSAAMAKPVVFHSGWVAIGKRRAGRGKQAARAQLNLTVRAEPDPRFVFEFDGEPECSPQVLQVKGSMKQPMFTCKFSCRSNSDLRSRSVQSDPGTVGPRNWLAKFGSERERAGKERKGWSVTVHDLSGSPVALASMVTPFVASPGTDRVSRSNPGGWLILRPVDGTWTPWGRLECWRERGGSGGDTLGYRFELVPDHHTNTGGTGSAGVCVVESGVPASRGGRFAIDLTAAQPFGRSGSPACSPRGSGDFGHHGGGLWPFGTFRGFVMSAAVQGEGRCSRPTVEVGVAHVGCAEDAAAFVALAAAVDLSMDACRLFSCKLRRELSASRAELLR >Sspon.03G0005800-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:31722769:31727525:-1 gene:Sspon.03G0005800-2C transcript:Sspon.03G0005800-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Clp protease adapter protein ClpF, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G03390) UniProtKB/Swiss-Prot;Acc:Q67Y99] MQGISICGSVASPHGGNCRGACVARNDVRLPCEVNSVSQGLYSLHWCVHKPQMKANGRRRMNAAVRTNARWLFGGDGRSNDARLERSESANEDILIFYFQMDLQTRIQIETEIIRQREAKRGSPKTEAQDKALNLLRVRADLQKAIDSENYALAAGLRDDIAKLEAESLAVSAKALAYQNVKYAFRLGQKVLVDVYVDPELLVAYVAEENLSPAEESEKGRFDHPYIEFLFYGEDTAGDFIPIKQLREKYDQPRYEASGDESDNDGNTNS >Sspon.07G0010760-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:38208185:38213858:-1 gene:Sspon.07G0010760-2B transcript:Sspon.07G0010760-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:minichromosome maintenance (MCM2/3/5) family protein [Source:Projected from Arabidopsis thaliana (AT5G44635) TAIR;Acc:AT5G44635] MEAFSGFYVDEKAARVENIFLEFLKRFKESDGAGEPFYETEMEAMRSRESTTMYVDFEHVMRFNDVLQKAISEEYLRFEPCLRNACKRFVLEHRAGENRAPIISDDSPNKDINIAFYNIPMLKKLRELGTAEIGKLTAVMGVVTRTSEVRPELLQGTFKCLDCGNVVKNVEQQFKYTEPIICVNATCQNRTKWALLRQESKFTDWQRVRMQETSKEIPAGSLPRSLDVILRHEIVEKARAGDTVIFTGTVVAVPDVMALTSPGERAECRREAPQRKNGGVQEGVKGLKSLGVRDLSYRLAFVANSVQVADGRREVDIRDRDTDGDDSERQKFTEEEEDEVVRMRNTPDFFNKIVDSICPTVFGHQEIKRAVLLMLLGGVHKITHEGINLRGDINVCIVGDPSCAKSQFLKYTAGIVPRSVYTSGKSSSAAGLTATVAKEPETGEFCIEAGALMLADNGICCIDEFDKMDIKDQVAIHEAMEQQTISITKAGIQATLNARTSILAAANPTGGRYDKSKPLKYNVALPPAILSRFDLVYIMIDEPDENTDYHIAHHIVRVHQKREEALAPAFSTAQLKRYISFAKSLKPQLSSEAKKVLVESYVTLRRGDSTPGTRVAYRMTVRQLEALIRLSEAIARSHLERVVLPAHVRLAVKLLKTSIISVESSEVDLSDFQDAEDGTNVPSENDAGQPAEDAAPQQQGAENDQAVDNGKKKLVITEEHFQRVTQALVMRLRQHEESVKKDGDGLAGMKQGDLIIWYVEQQNAKGAYSSTAEVKEEVKCIKAIIEVSPAVLYLGM >Sspon.07G0021370-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7C:2321419:2321808:-1 gene:Sspon.07G0021370-2C transcript:Sspon.07G0021370-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIRLPAQHGRRIQCWSPNNYYHAPRYTYGENSGRTVTDRTQNSESYGCDRRGGTRPGDTDVTRQGGGRQSVPTSLHEGTSVADTALRSTKQRKLAGHLAMYKRLALTGSNKSMRLYGASYWTKFYITL >Sspon.01G0035110-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:12449976:12453927:-1 gene:Sspon.01G0035110-2C transcript:Sspon.01G0035110-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to Uroporphyrinogen III synthase [Source: Projected from Oryza sativa (Os03g0186100)] MAFSSSLAPFFAPSGTFGAHPAKRLRADAPGRFVASSSPPPDVVVTREQGKNARLIAALEKHNIHSLELPLIKHVEGPDTDRLSDVLRNDKFDWITITSPEAAAVFLQGWKAAGSPKVRVAVVGAGTARVFHEVSESDDPSLEVAFSPSKALGKVLASELPRSNENSCKVLYPASAKAGHEIQDGLSERGFDVTRLNTYTTVPVEDVEPLTLNLAISAPVVAVASPSALKAWLNLISKVDNWNNSVACIGETTASAAKKLGLKSIYYPTTPGLEGWVESILEALRVHRTSKEAPRC >Sspon.08G0010980-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:48243882:48247172:1 gene:Sspon.08G0010980-1A transcript:Sspon.08G0010980-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:12-oxophytodienoate reductase (EC:1.3.1.42), Jasmonic acid (JA) biosynthesi [Source: Projected from Oryza sativa (Os08g0459600)] MAASTDRSTPAEDQQQQQRPSLFSPYQMPRFRLAHRVVLAPMTRCRAPDAVPGPALAEYYAQRSTEGGLLISEGTIISPSGPGFPRVPGIYNQEQTDAWRKVVDAVHAKGAIFFCQLWHVGRASHQVYQPGAAPPISSTDKPISSRWRILMPDGSYGKYPTPRRLATSEIPEIVEQYRQAAINAIKAGFDGIEIHGAHGYLIDQFLKDGINDRTDKYGGSLSNCCRFLLDVTRAVASAIGADRVAVRVSPAIDHLDAYDSNPLQLGLAVVDRLNALQQEAGRLAYLHVTQPRYTAYGQTESGQHGSAEEESRLMRALRGAYRGTFMCSGGYTRELGVEAVESGDADLVSYGRLFIANPDLVERFRRDAPLNKYVRKTFYTPDPIVGYTDYPFLGQPKAR >Sspon.04G0036210-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:25020672:25026017:-1 gene:Sspon.04G0036210-1D transcript:Sspon.04G0036210-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEASCNAVSAMEAATNAKPAKENGCAPVVTEAAAEAVAAVVAQEEAAPVAAAADPRLQGISDAIRVVPHFPKQGIMFNDITTLLLRPGVFKDAVDLFVERYRGMRIDAVAGNNQQNPTDTSPRPSSRPSPSLPTGDYSLSLSLRRSVPEAARIEARGFIFGPAIALAIGAKFIPLRKPRKLPGEVISEKYVLEYGTDCLEMHVGAIERPGERVLIVDDLVATGGTLCAGIRLLERAEADVVECACLIGLPKFKDFYKLNGKPVYVLVESRESDK >Sspon.04G0003240-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:10637274:10640002:1 gene:Sspon.04G0003240-1P transcript:Sspon.04G0003240-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding NLALYTWEKGTMSSVSGTTNGHGKEAALYEEQLSKIGKVRTDLGQLSGKSALHCSDASIARYLIARNWDVKKATRMLKKTLKWRSEYKPDEIRWDDISDEAVTGKIYRTDYFDKIGRSILVMRPGCQNTKNPNGQVKYLVYCMENAILNLPHGQDQMVWLIDFAGNLSIQVTKMTADVLQGHYPERLGVAILYNAPKFFEPFWKMASPLLEKKTRNKVKFVYSDRPDTMKIMEELFNMDELECAFGGKNPATFNINDYAVRMREDDKKMPSFWSPDNSALASEPYQMSSQKP >Sspon.06G0014570-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:85595126:85597242:-1 gene:Sspon.06G0014570-3C transcript:Sspon.06G0014570-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHHTNVVYQLFMDATTTPLAQWQWLLLLLVPCVLLLLLASRRPLPRSNDKQRRQLPLSPPGLPIIGHLLLVGDRPHVSFRDLAAKHDDGGGGGLMLLRLGTVPNLVVSSARAAQAILRTHDHVFASRPASLLFDDLVYGSSNVAFAPYGEHWRQVRKLVTTHLLTVKKVNSYHHARQEEVCLVLDKLREAAAMDAEVDLSEMMNAFANDIICRAVCGKFSREEGRNKLFRELNHTTTVLLAGFNLESYFPGLAKLLGVFVSNRKVHQVHKRWDDLLEEIISDHERRRRTSKNGHEGDDEQEESTDFIDVMLSVQQEYNITRDHIKAILMDMFEAGTATSSSVLEAAMAELMRSPHLMTKLQAEVRKKTPKGQEMVREEDLAGMPYLRAVVKETLRLHPPAPLLVPHQSMADCDVDGYTIPSGTRVIINAWAISRDPRSWENPEEFVPERFVDGGAAADLDFKGNDFQFTPFGAGRRMCPGINFGLATINIMLANLVYCFDWKLPAGVEKEDIDMTEVFGLTVHRKETLILVPKPHA >Sspon.01G0007900-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:19129274:19132854:1 gene:Sspon.01G0007900-3D transcript:Sspon.01G0007900-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DA1-related protein 2 [Source:Projected from Arabidopsis thaliana (AT2G39830) TAIR;Acc:AT2G39830] MSLHTERRSGFMKWLCNFLKGPKPGEPNRRRPQVTAGEEEDALWHQRPVRPKNDPPRNDNEELDRAIAESLTEDVKPPKEKNHKGDNNDEDLARAIQDSLNMNPYTPYNPYAPSQAQPRGHRLATLTSPFHHTPRLYCTKTAQCRQCRCCRFTLLGTDPYHKLCYKELHHPKCDVCLQFIPTNRSGLIEYRAHPFWGQKYCPLHEHDRTPRCCSCEKMEPRNTKYMSLGDGRSLCMECLGSAVMDTGECQPLYHSIRDYYEGMNMKLDQQIPMLLVERQALNEAMEGESRGPHHMPETRGLCLSEEQTVSSILRRPRIGGNRLLDMRTQPQKLTRRCEVTAILVLYGLPRLLTGSILAHELMHGWLRLKGYRNLTAEVEEGICQVMSYLWLESEILPSSSRHAQPSSSYASSSSSSYPPTSSKKGGISHTEKKLGEFFMHQIANDTSTAYGDGFRTAYAAVNKYGLRQTLNHIRLTRGFPV >Sspon.04G0002430-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:8476333:8479093:1 gene:Sspon.04G0002430-2C transcript:Sspon.04G0002430-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLAPSPRALCVREAAAPPPHPHSLAAAACSTVGGGAAGRALWLWNGGGTGRRRRERRERVRAEAYFWDVSKPVEMEEIDSMEKLDDALRWSVENNQPIIIDWFYFIDVNKVPQAVVKRGNLWKDGEWKAEVIGGHKAWLVMDEQEATSSTCVFTGRKSKHVVVKNQIIQSFQFCSIEGPNKLPPRCPDPEVAAISILAGSPAAGDIDDDGEGEGSSVPTGTSESGASTRLTLMERMGRKLRDLSRPPSLEKTSEELRRMR >Sspon.01G0018650-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:71006843:71008472:1 gene:Sspon.01G0018650-1T transcript:Sspon.01G0018650-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKVLVAVAVAVLLVVLSKLKSLLVTKPKLNLPPGPWTLPLIGSIHHLVTSPSIYRAMRDLAQKHGPLMMLRLGEVPTLVVSSPEAAQAITKTHDVTFADRHLNATIGVLTYNGTDLVFGTYGERWRQLRKITVMELLSVARVQSFQRIREEEVARFMRSLAASAGAGAAVNLSKMISRFMNDTFVRESIGSRCKYQDDYLDAFDTAVQQTSVLTVADLFPSSRLMQILGTAPRNALKCRNRITRILEQIIHEQVEAMDRGEKTVHESLIGVLLRLQKEASLPIELTNDTIVALMFDLFGAGSDTSSTTLNWCMTELIRYPAAMAKAQAEVREAFTGKTTITEDDLAGAELSYLKLVIKEALRMHCPLPLLLPRQCRETCQVMGYDIPKGTAVFINVWAICRDTKPERFENTNLDYKGTNYEFLPFGSGRRMCPGANLGLANIELALASLLYHYDWKLPDGVKPEDVQVWEGPGLIAKKKTGLILHPVTCIAPAD >Sspon.01G0015800-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:28709985:28712350:-1 gene:Sspon.01G0015800-2B transcript:Sspon.01G0015800-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATDEENALLLHLQPTPQVVASEYASDGSVDINKQPALKKSTGNWRARYPILGVGFSECMLFSAIATNLVTLLTTVLHESKVDAASNISSWSGVCYLTPLLGAFVADSYLGRYWTMVVFLPVYIVAMLVLVSSASLPMLFQSDVHPALVYLGLYLAAIASGGVKPCISAFGADQFDVNDPVELVKKGSFFNWYFFLTTTSSLLSGTVIVWLQDNVGWAVSYVIPTVLMLICFPAFLAGSRVYRFRKMGVSPLISILQVVVAAVRKWHIKLPDDSSLLYDEQASSPSITEHKNKHTNQFRFFDKAAIVPSGNESTAQSSPWRLCTVTQVEELKMLLSTLPTWASFVVFYAVTAQMQSTMIEQGMLMDNHVGSWFSMHNSGEYEQSRPWKLYGQTRHK >Sspon.07G0021160-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7C:1091865:1092448:1 gene:Sspon.07G0021160-2C transcript:Sspon.07G0021160-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEQWSYHSSYPASVSSGQYPVKKSTVKQVQDLQPCQTQGAACFTGLSQKEIDRRRKIGAANKGKVPWIKGRKWSEV >Sspon.07G0014560-3C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7C:58457809:58458142:1 gene:Sspon.07G0014560-3C transcript:Sspon.07G0014560-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AAARRPGAKPRGGGGGEGRRSAWLAADGSKRWGEAFFLLYTPFWLTLCLGVVVPFKLYEVLLRPSHHLVFNSSRASRMILGWLVVGRSIDRLLDWILDDVATATTPRDRSR >Sspon.04G0002770-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:8517404:8520816:1 gene:Sspon.04G0002770-1A transcript:Sspon.04G0002770-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGSAADGARLPPPRAGSRLCVRCGERKAALKRPKTLEQICRECFYIVFEDEIHQTIIENSLFKAGERVAIGASGGKDSTVLAYVLSELNRHHNYGLDLFLLSVDEGITGYRDDSLETVKRNEIQYGLPLKIVSYKDLYGWTMDDIVKAIGLKNNCTFCGVFRRQALDRGAALLKADKIVTGHNADDIAETVLLNILRGDIARLSRCTFITTGEDGPIPRCKPFKYTYEKEIVIYPVYEISYILIFFYYTDFIQNGLIWLFHDPGIYSPNAYRGFAREFIKDLERMRPRAILDIIKSGENFRISTTTRMPEQGTCERCGYISSQKLCKACVLLDGLNRGLPKLGIGRTKAGAGADSDGKQRTKRSERNTSSLQGKHGNFDF >Sspon.05G0017430-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:73016707:73027612:1 gene:Sspon.05G0017430-1A transcript:Sspon.05G0017430-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFGKLAIAILVLAIACPHLVTSQRQPICRKIQKLKILHMCRAFTKKGSTIRAVSPQSKCCEEVRKVQDRDMRCVVSILTDKERSEHDTDKILALETLCEIPAPPNQNKTCGNAQRRLNVERTRGEHGIRKGQMAPKSGRSGVDGGEWSVELPILLYNQCCKAVREVNRAMSCIAYLLTAEEKNKYDVVRILLLKKICEPKTLQVAVAKHMDSQAHSKQE >Sspon.04G0017280-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4B:66621345:66625747:1 gene:Sspon.04G0017280-2B transcript:Sspon.04G0017280-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADDAKAEAARARPESSQEEEEDWKEAEGDVAEVDRAVTNGTGEGFVPTDRPIRVYADGIYDLFHFGHAKSLEQAKKLPPEFGKRGEARRNPKEEEGVRPPDPPEAAIHGADGARGGARCQGSRRAPGREGGREEKRLRVNMGLKNLRDKVKQHQEKVGEKWNTVAKLQEEWVENADRWVAGFLEKFEEGCHSMGTAIKERIQERLIKAQSSDFSSLLQYDSYDSDEANRDDEDEVFEDVK >Sspon.08G0004680-2T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:11333800:11343265:1 gene:Sspon.08G0004680-2T transcript:Sspon.08G0004680-2T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLLYPFSACVPPPRALLRRLSPPPPMAAVAPPPPAVRILPSVGTLTDLPALPPPPTDDFHWLDLFAFLNSPADTYHHQIPPQPPQDEEEEREAGLELELELELERHAEVERQRERARRAQHRRLRQRQVKAETEAWARAAEEYREIEREMLDRRLAPALPYVKSLFVGWFEPLRDAIARDQDVQQRKRVKHVYAKYLLLLPADKVAVIVMHKMMGLLMSSKDGTGSVRVVQAAHCIGEAVEREFKVQSFFQKSRKKKDQSENDPALEKEQAKCRKRVKSLVKRRKMSEAQKLVQQELELEEWGTEAQVKLGSRLIELLLDSAFVQPPADQTPDSSPDIRPAFKHVLRQPIIENGRLKKKHWVIECDHLVHQGFESTARHVDIPYLPMLVPPKKWKGYDKGGHLFLPSYIMRTHGVKDQKDAINSVRRKQLRKALDILGSTKWRVNRRVHDVVETIWSRGGGIAGLVDKANIPLPERPESEDPDEMQKWKWSLKKAKKTNRELHAERCDTELKLSVARKMREEDGFYYPHNLDFRGRAYPMHPHLSHLGSDLCRGVLEYAEGRPLGKSGLCWLKIHLANKYGGGVEKLSHEGKLAFVENQLLDIFDSAANPVDGNCWWTNAEDPFQCLAACMDLSDALKSPSPYRTVSHLPIHQDGSCNGLQHYAALGRDYMGAVAVNLVPGEKPADIYSEIAARVLNVVREDSMKDPATNPTASLARVLVDQVDRKLVKQTVMTSVYGVTYIGAHTKRLQEKGLIADDKLLYDVSCYATRVTLDALGQMFQSARGIMAWLGDCAKMIASKNQPVKWTSPVGLPVVQPYKKYKNYMIRTSLQCLALRREGDAIAIQRQKAAFPPNFVHSLDSSHMMMTAIACKEAGLHFAGVHDSFWVHACDVDQMNQILRQQFVKLYSMPILENLLEEFQTAFPTLEFPPCPPQGNFDVREVGNGTPVMSIGDRR >Sspon.03G0024570-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:74772231:74777242:1 gene:Sspon.03G0024570-1A transcript:Sspon.03G0024570-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTENPRPTRRPSKPAGKQRTNPDAEFPIPSSTSGPLLFLRSSSPCPHRVHTLRPGSNPPASADPDPARATMATRRRMLLKVIILGDSGVGKTSLMNQYVNNKFSNQYKATIGADFLTKEVQIDDRLFTLQIWDTAGQERFQSLGVAFYRGADCCVLVYDVNVTKSFEKLNNWREEFLIQASPSDPENFPFVVLGNKIDVDGGNSRTVSEKKAKAWCASKGNIPYFETSAKEGFNVEAAFECIARNAVKNEPEEDMYLPDTIDVGGAGRHQRSSGCEC >Sspon.02G0023470-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:82879607:82886662:1 gene:Sspon.02G0023470-3C transcript:Sspon.02G0023470-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDASDTPAGAPPRRAHEEEQAGTPAYGSVVLGGTFDRLHDGHRRLLKYAELIEPVDKRIKGVEDYIKSIKPELIVQVEPIEDPYGPSITDEKLDAIIVSTSFDIAMLLIFSKETLNGGLAVNRKREEKGFPLLKVEVVDLLSGGVEGEKLSSSALRKLEAEQAQQSETRTASLEPYLALFDPSLHSEIKKWLLPQHLETTGLGCLPRVRRRQAPEDQTTQVVFDSIWQPSRENLVVPHCSIALTLSSAPGRLAAEENSRTELLKPEGQKRAWSTQQWRSGAGAGQPGRADGCSRTEGAAAALQPPGRAAACSLHKGRPPASWGVGRRAWTQDAWSPGGNAGSHNGDASQLRRGRADALSHCRRR >Sspon.06G0010140-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:46947985:46953210:1 gene:Sspon.06G0010140-2B transcript:Sspon.06G0010140-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPAPATAGELLRVEPLELRIPFELKKQISCSMQLSNHTDDYIAFKVKTTSPKKYSVRPNTGVVLPRSTCDVVVTMQAQREAPDMQCKDKFLVQSVVAPAGITVKDVTGDMFTKESGNKMEEVKLRVTYVAPPQPPSPVPEESEEGSPSRASESENVDGPAGGFTRALRERIEPQDKSTEFDNGDLVRQEISKRRGGGFSFIIVIIVALIGIILGYLMKS >Sspon.06G0006760-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:23158932:23166472:1 gene:Sspon.06G0006760-3D transcript:Sspon.06G0006760-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSVVYSQSDLLRKEVFLVETMDNASSSRESMAHLKAVYFLRPSADNVQKLRRHLAMPRFAEYHLFFSSILKVPQIQILADSDEQEVAQQVQEFYADFCAIDPYHFTLNIQNNHMYMLPTVIDPPGMQSFCDRAVDGIASVFLALKRRPVIRYQRTSDVAKRIAQETARLMYEQESGLFDFRRTENSSLLLVIDRRDDPVTPLLNQWTYQAMVHELIGIENNKVDLRGFANVPKDQQEVVLSSVQDDFFRANMFENFGDLG >Sspon.01G0006440-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:20018671:20023307:1 gene:Sspon.01G0006440-2B transcript:Sspon.01G0006440-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MCTPMSFTGSAPEPSDSNKKPAKKQTRQWAAWTHQEEENFFNALRQVGKNFDKITHRVQSKNKDQVRHYYYRLVRRMKKLLGPRFSLDAKNSKDTIAAMLRWWSLLEKFSCSASKLHLKPRRFKTFVEALGKQLLKDSKSRRKRSRVDMCLPSPSPIVSKAPGNETPVKLLSVYAQNGSRVASPKGTIFKRVAEPISSKSGATKGDLSATRTVKQKRKAGGTVASAAYKKWERAAMAGVSLVADAAEELERNTVNPGMLCNVDARTLTSSSDRLSTVDGISTNHMKEADSQTPVKLKLQLFPINEATRKALERDDHNPHLELTLSSRKKISSVLEHLNRKWGNSNIASGELILFPYCANQEDLATYQRWTTRDTVVVADVFLSVNSPSVFRLRYGWFSLVELGAGVSEISLTHFEDCMIPEVIQVKSPSGDKACVQKDGTLLSNCTHEQYPCSSMLLHMTRSSTGKNSELPDQPANVPPSQFGSQKQVQVPVTQASEDDQGMNCVAISEVEWADTLTDISVGHLLTEASKGAHLDCVGNSVKNPLFLENPCSYDSFDAAVALHASRYQATEQPAHTPHSTIWGAEETCDEFSFNLSASRKQEGSNTPSSSPDTDNEVHPSNSEGFQGFLQDLTRGEADGDNPYNDDAKDEEEFYAKSPPRNDETNELKDQSLADLYWPDSLGPLDLDIPSVRYQADDILIVDSQNSWSRLMANSLDAFRNLSFFSDKNDSIPSIM >Sspon.08G0024790-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:1520669:1522869:1 gene:Sspon.08G0024790-1P transcript:Sspon.08G0024790-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATLARASFPAALRSLASRLWMDSLILPVLSVSFPRHTVTSAAAGGALQAEEAAAVPLRSVPVRVAYELQQAGHRYIDVRTESEFSAGHPERAVNIPYLFKAGSGTTKNMCFLEQVASICGKDDGIIVGCQSGRRSLMAASELSSAGFTTVTDVAGGFSSWRENGLPITQ >Sspon.05G0014910-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:48974204:48975497:1 gene:Sspon.05G0014910-2C transcript:Sspon.05G0014910-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative Peroxidase 48 [Source:Projected from Arabidopsis thaliana (AT4G33870) UniProtKB/Swiss-Prot;Acc:O81755] MHHHHHYKNTEEAIYLLAASIVVLTTVGLRSDATRSAADLSLDDDYGEEEDGGGGSDSSSSFSFTFPETSSPGLVFGFYDETCPNAEEIVSSTVRTLYHADPNVAAVLVRLFFHDCFIHVRRNKRTPCHPASHSHLPCDAHTPRRCLLLYVQGCDASVLLDRVDGRKSERDAGPNQSLRGMGAIEAIKQRVEKACPGTVSCADILALAARDSLVLVGGPTYPVLTGRRDSAQSFYHDVVAGGGIPPPNATYAMTLAAFARRGRQFTERETVALLGAHSIGKVRCRFFADRIYDFAGTGAPDDSIDPDMVGEMRAVCGGGGGGGDGDDGAAPMEMGYYRQGREVGFGAHYYGKLLEGRGILRADQQLTAGSTVRWEVFREDFAHAMVKLSALAPLTGSAGQPVQDK >Sspon.03G0036700-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:41493434:41498749:-1 gene:Sspon.03G0036700-2D transcript:Sspon.03G0036700-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGKSGKTVQSLPDTLSSLMGLNKYLTPSWIESVSHIIEELSPTKPKMKVMVQTAQNICPDDTESNAKVAKIQGNIRVFCRVRPFHHEEDYQSRTLFTLDERNVFLKVAETKIKQYKFDKEGKPTDLGVIPRAIQALFDRTSESNRRFLFTFSMLEIYMGNLRDLLVPGSKTQGFKKVPSLSIKTDPDGGIEIENLVAVTVNSFQEVKRLYEVGTRFRSTASTMANSTSSRSHCLIRIALTSFDAPERKRARNKLWMIDLGGSERLVKTKATGKRLKEGKAINLSLSALGDVIDALQTKKAHVPYRNSKLTQVLRDSIGCESKTLMLVHIRPNEDDLCETICTLGFATRVRSIRLENEEPQEVKARKEHLLMELEQEISDLEQECEGIIRKIKKLKETIEHFKGPQPSVETNFDISHPSSEELKTDMSKNTRNSKNQRDVSSRLPRFMKPTASSQHRIGLNKHIPVSNKTKPPVPPKRRPSSVYAESVRLPVNAATWQSECSSECSISMTSDMNWVPSIQDGTECSQDTSEYETKQVIFSEHEKPPQGQVISFTESAKKQDKTEEMGIIDIDSWIHQQIVENTGICHSERMLDIIEVNEYETYNSSTASPVQKEMTNGSKLSQDDDSDLNLPTQNVEDIKETRALNQFTRTELYTPPSEELCSNVKMKEHKNEKLACHGSCRRSLQEELGNWKPEQPDKEPKAVPNMQPENKFHDNEHHIGKLTKFIRALRTAWIGALLGLGTMNLGLEQDFFKSLTL >Sspon.08G0012740-2P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8D:49881195:49882421:-1 gene:Sspon.08G0012740-2P transcript:Sspon.08G0012740-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVELLTTELVVPAEETPAGAVWLSNLDLAARRGYTPTVYFYRTNDKPEFFEADAVKDSLARALVAFYPLAGRLGLDAATGRVQIDCTGEGAVFVTARSEYALDELLNEFVPCDEMRDLLVPATPAPNPPCPLLFAQVTRLRCGGVVLGLALHHSVVDARSAAHFVETWASIARRGGTGAGDAPLPPCFDHRLLSARPPATRAVLYDHPEYKPEPAPEHAVASGSSYASAIITLSKSQVSALKARCAGASTFRALVALVWQCACRARSLPADAETRLFSMVDMRARLAPPLPPGYFGNAVIRTSALATVGEVVGNPVGYAARRALAATSQGDDYARSLVDYLEGVDAMNLPRSGISRAHLRAISWMGMSLHDSDFGWGAPVFMGPALMYYSGFVYVMQAPGKEGAVAL >Sspon.03G0039680-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:19934892:19938956:1 gene:Sspon.03G0039680-1C transcript:Sspon.03G0039680-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHKLLPRAASLLDAAAAAAPLLLSPPRMPTLRLAGSPFVATCPGANPGRIPSPPWLRCDAGRRRGLCSAEAARRGGDTEERDKGGGGRAAPERKQRGRSDALVGSGELLAIPGVGPRNLRKLVDKGFDDVAQLKQFYRDKFFGKSNEKMVEFLQNSVGIIHKNHAESITLFIKESVDEELKGTDTSKLPKNKRLTFCVEGNISVGKTTFLQRIASETIELRDLVEIVPEPIAKWQDVGPEHFNILDAFYAEPQRYAYTFQNYVFVTRVMQEKESACGIKPLRLMERSVFSDRMVFVRAVHEANWMNEMEISIYDSWFDPVVSSLPASPDTCHKRMLVRKRSEEGGVTLDYLRGLHEKHESWLLPSKGGGSGVLSVSQLPVHMEGSLPADIRDRVFYLEGDHMHSSIQKVPALILDCEHDIDFNKDIEAKRQYARQVAEFFEFVKKKKESPTAETTDGDNKSINKQIVLPHRGGLWVPGSSPIPESALKSFDFRRTMSSFLST >Sspon.04G0027880-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4B:62281597:62285874:1 gene:Sspon.04G0027880-1B transcript:Sspon.04G0027880-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSGEKETMERAWNQASGNLVWPMLSRTNYQEWSAHVQCNLEAMYLWDAIESDKVERRRDRLALGAIIRGVPPEMHSMLLNKKSAKEAWEAIKSMRLGAERVKEVNAQKLLAEFESISFKPGETIDDFAVRIAKLATDLKGLGEESVTDTRVVKKFLRVVPPRYNQVAVTIEMFCDLKTLSVEELVGRLRAAEDRFEPSGDQGTDKTKPSLLLTEEEWMAKNKSRMVGSESSSSGGKGGSHFAKKNKAGARTSGSKNDRDKRDPGCHPSSGTPRRNGRCKKCGVFGHWARECLNKKPAKEAHEDAAHHVSGDTDKNPALLVAQVCNMHTPSTGRQGLFLNQERVFPSKYDEGAWVLDTGATNHMTGSRTSMADLDESVRGAVRFGDGSTVEICGVGAVTMAGKNQEHRVLTEVYYIPSLRCNIVSLGQLEEAGCRVEIDKGVLEVFEQGQTGVARSVVIRAERRNRLYVMKVNLTSPVCLLTKMEEEAWRWHARFGHLNFRALRDLGTKEMVEGLPLIRKVEQVCDGCALGKQHRTPFPQASAWRASQGLELVHTDLCGQITPPTPGGKNYFMLIVDDHSRYMWVELLSTKSEALACFKKFRAAAELESGCRLKALRTDRGGEFNSGAFVVFCNEHGIKHNTTTPYTPQQNGVVERRNQTVVEMARCLLKSMNVPSKYWGEAVRTAVYILNRSPTKSLRGKTPFEAWFGRKPGVKHLRTFGCVAYAKLTGPGVSKLTDRAVSGVFLGYEPGTKGYRIYDPLKDSLMVTRDVVFDEAKQWNWGGRDSRARDSRAVGTGTDVLNVPDVFQVEWENTVPHPTIDEAVPENSDNVAPEPGSPAASIPSSGGASNSPPLTPNSITDAPMIQWATPPTGQSVDSEGAPLRYRTIPNLLDTTDELQDFEYSGLCLVAAEEPRSVEEALTEQSWRQAMQTEMQAIEANQTWDVSELPPKHKAIGLKWVFKIKKDPDGNIIKHKARLVAKGYAQQFGVDFDEVFAPVARIETVRVLLALAAQGGWEVHHMDVKSAFLNGDLSETVYVQQPPGFVIGNGDKVLRLRKALYGLKQAPRAWNAKLDRELIALGFVRSKMDHAVYRRSNRNSFLLVGVYVDDLIISGPDVKDINVFKNEMKEKFSMSDLGLLSYYLGIEVKQDARGITLCQSSYAVKILEAAGMKDCNSCETPMECRLKLRKLKGEDPVKPTEYRSIIGSLRYIVNTRPDLAYAVGVMSRYMEAPGKEHWAAVKHILRYLKGTIKYGCKYDRGAKLKPILVGYSDSDFAGDVEDRKSTTGIGYFLGSSLVTWASQKQRIVALSSCEAEYVAAAAAACQGIWLNRLIADMLGTKEMTVKLLMDNQSAIALSKNPVHHDRSKHIDTRYHFLRQCIEEGMVEVEQVGTEEQLADLFTKALGRVKFVEQRSALGVVEVHQV >Sspon.05G0025840-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:27734414:27740222:1 gene:Sspon.05G0025840-1B transcript:Sspon.05G0025840-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVRAQKAQDIEEEYNSTLRSQSKEMEALLETQEIVISPVLHNMMVHQRTSSEIELAMANYFDATTEALEIQRHYPLTVKAVKKLSRALVVIAGGAAAAAAFGTGVPLLFFGLLIGTATTGALKTWLAARASTKKPSSKTMSSLLQLQEQLDTAAKGTYVVGQDLDTVSNLVARLSDAIERENAMARWCAERADERSSVLEMANELRRSCSSTKRLTDELEEHACLYLATIHRARNLVIQEISKKA >Sspon.05G0035310-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:71064005:71065422:1 gene:Sspon.05G0035310-1C transcript:Sspon.05G0035310-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPVIDFSKLDGPERAETMAAIAAGFEHVGFFQLVNTGIPDELLERVKKVCSDCYKLRDEAFKDSNPAVKALAELVDKETEGVPVRKIQDMDWEDGDDDGVPQGAEEAGGEDAGVMEELLGLEEGHIRKAFTNDGEFEPFYGTKVSHYPPCPRPDLVDGLRAHTDAGGLILLFQDDRFGGLQAQLPTAAGSTSSPSRTPSSSTPATRSRYARGDHAYSSYILTKIHAVLSNGRYKSAWHRILATRDGNRRSIASFYNPARLATIAPAIPAGGGGNDYPSFVFGDYMEVYVKQKFQPKAPRFTAMSTTT >Sspon.01G0012520-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:33710877:33712429:1 gene:Sspon.01G0012520-2C transcript:Sspon.01G0012520-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRGRPSPVQRTSPISLPTSTSPQSLHSLLHLAPKSFPTHLAHRGITASSACPTLPRYRWSPAAPLSPPCPVPRRAAFPARPHYASPITDATFIAPPSPPPWRHQEKVAAGRPGASAAAFAVAMAMAAIASTTSAQNTPQDFVDLHNRARAADGVGPVAWDAKVAKYAQDYAAKRAGDCKLVHSGGPFGENIFWGSAGRAWSAADAVKSWVDEKKNYHLSSNSCDPGKVCGHYTQVVWRKSTRIGCARVVCAANRGVFVVCSYDPPGNFNGERPFLTLDAAAK >Sspon.02G0009110-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2C:28636768:28637273:1 gene:Sspon.02G0009110-2C transcript:Sspon.02G0009110-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LDKIENNINHYWTKIKCPPTDGVNAWKSAWDSYGVCSGLKQLDYFKAALNLRKQADLLGALADQGILPDYKLYSTAKIKYAVTAKLGVEPGLQCRDGPFGKKQLYQVYLCVDTDAKTFIKCPKLPATLSCPASVVFHPFYTWMLNSTAAAAAAFDSKILLPTQTMLN >Sspon.02G0046100-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:13193617:13206596:-1 gene:Sspon.02G0046100-2D transcript:Sspon.02G0046100-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MISSSKLKSVDFYRKIPRDLTEASLSGAGLSIVAALAMELSSYLAVNTTTSVIVDRSSDGEFLRIDFNISFPALSCEFVQVDVSDVLGTNRLNIRKTVRKYSIDRNFIPTGSEFHPGPIPTVNKHGDDVEEDHVDGAFSLSSRNFDSFSHQYPVLVVNFYAPWCYWSNRLKPSWEKTAKIMRERYDPEMDGRILLGKVDCTEEVDLCRRHHIQGYPSIRVFRKGSDIKENQGHHDHESYYGERDTESLVAAMETYVANIPKEAHMLALEDKSNKTADPAKRPAPMASGCRIEGFVRVKRVPGSVIVAARSGSHSFDPSQINVSHYVTQFSFGKRLSPRMLDEFIRLTPYLRGYHDRLAGQSYTVKHGEVNANVTIEHYLQVVKTELVTQRSSKELKVLEEYEYTAHSSLVHSFYVPVVKFHIEPSPMQVLVTEVPRSFSHFITNVCAIIGGVFTVAGILDSIFHNTLRMVKKVELGKNI >Sspon.01G0050220-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:121843693:121845927:-1 gene:Sspon.01G0050220-1B transcript:Sspon.01G0050220-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine protein kinase, Abscisic acid (ABA)-activated protein kinase, Hyperosmotic stress response, ABA signal transductio [Source: Projected from Oryza sativa (Os03g0610900)] MDRAALTVGPGMDMPIMHDGDRYELVRDIGSGNFGVARLMRNRADGQLVAVKYIERGEKARFFFQQLISGVSYCHSMQVCHRDLKLENTLLDGSTAPRLKICDFGYSKSSVLHSQPKSTVGTPAYIAPEVLLKKEYDGKVADVWSCGVTLYVMLVGAYPFEDPDEPKNFRKTIQRILGVQYSIPDYVHISPECQDLISRIFVANPATRITIPEIRNHPWFLKNLPADLMDDSTMSKQYEEPEQPMQSMDEIMQILAEATIPAAGSRGLNQFLNDGLDLDDDMEDLDSDADLDLESSGEIVYA >Sspon.07G0037060-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:47997879:48003257:-1 gene:Sspon.07G0037060-1D transcript:Sspon.07G0037060-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLELILILCVGPSYVNREEDFFIVLHGILAQTEDVTELQPVPDAHVPVMKFKFHGISIDLLYASVSLLVVPAVSFLLDVSPS >Sspon.07G0007430-3C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7C:15303638:15304740:1 gene:Sspon.07G0007430-3C transcript:Sspon.07G0007430-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VHAQVTLFVVHCAGCLYYLIADRYPHPEKTWIGDGEVIPDFRQASLRIRYITSVYWSITTMTTVGYGDLHARNTVEMIFNIFYMLFNLGLTSYLIGNMTNLVVEGTHRTMEFRNSVRAASRFVGRNHLPPRLKQQILAYMCLKFRAESLNHQLMDQLPKSICKNICEHLFVPVVKDVYLFNGVSREMLLSLVTKMKPEYIPPKEDVIVQNEAPDDVYVVVSGEVEVILFDGIDERVEATLGTRDIFGEVSALSERAQAFTFRTRTLSQLLRLKQATLKEAMQSRPEDSVVIIKNFLEVTTYQYQSSRSSLQKCEQTAPRSVLVVITETVALLVS >Sspon.01G0017770-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1A:65804495:65809951:1 gene:Sspon.01G0017770-1A transcript:Sspon.01G0017770-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MYVYFMHGCGLSNILRRHEDLKERLSRDSDKLIFERLQKEFEAARAAQTEEISVDDEEWNDGLLATIREKVHMEADRKAMANQVNVPTDLSFQSRTTYRIRNKKFIDHLEEILQAYIDRREQVRLIKELYGNQIGELFHSLPYNMIEFVLEDFECIRRHCAGATPCSEEDFLLSGQ >Sspon.06G0031130-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6C:60131038:60132868:1 gene:Sspon.06G0031130-1C transcript:Sspon.06G0031130-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFPQVFLASTSWNSNEQLQQATTTSNINIPPASAVVAGEGNLLQYGQAMAAEALSEGGGSHDGSSPAAQANAQPKSMSERSKLLRIPKPEPGLKCPRCHSTSTKFCYFNNYSFAQPRHFCRNCHRYWTRGGTLRDIPVGVPHRRRRAKGSKPSAAAMTSSCITNVVALAPTPQQATASSSASPVLPQLYGLASMDTPNVGSNFSWPGAANLLVDSAGRRAVSESKMEQQWGMLSQSSQMHSLPVFSHAIDQQQGPAVAVPVTMATPPSLFHPGLWSGGYGGIYSGGEGQFHMITNRDEECPLWAMQADVNGYTTSYDPIPVILQASEGGGRFLVKHGAEILKSVALQFHQQGQRGDDECNLVII >Sspon.07G0019980-3C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7C:67996520:68002255:-1 gene:Sspon.07G0019980-3C transcript:Sspon.07G0019980-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGIFAYLNYNVSRERRYILEVLFNGLRRLEYRGYDSSGIALDAGGGQLPYPASPYAGAPPLVFRQEGKIENLVRSVYSEVDEKDVNLDAAFSVHAGIAHTRWATHGVPAPRNSHPQSSGAGDEFLVVHNGIITNYEVLKETLTRHGFTFESDTDTEVIPKLAKFVFDKSHDEEGDVTFSQVVMEVMRQLEGAYALIFKSPHYPNELIACKRGSQLILGVNELSGQQNGKSFHDVKTLTTNGKPKELFFSSDLCAIVEHTKNYLALEDNEICHIKDGSVSILKFDPHKEKPASVQRALSVLEMEVEQIKKGSYDHFMQKEIHEQPHSLKTTMRGRLKDGGVLLGGLKEYLKTIRRCRRVVFIGCGTSYNAALAARPFVEELTGIPVTMEVASDLLDRQGPIYREDTAVFVSQSGETADTLMALDYALENGALCVGITNTVGSTLSRKTHCGVHINAGCEIGVASTKAYTSQIVAMAMMALAIGSDQISTQARRETIISGLNNLSSNVSEVLKLDVEMKELASSLIDSESLLVFGRGYNYATALEGALKVKEVALMHSEGMLAGEMKHGPLALVDENLPIIVIATRDACFSKQQSVIQQLLSRKGRLIVMCSRGDASAVCPSGSCRVIEVPEVADCLQPVINIIPLQLLAYHLTVLRGFDVDQPRNLAKSVTTHRVVQILERIGFPAKPTGRTARPDMLSLFLFVDGCRRLVEDRHVAALDSEVEAGERRGLSGCWLRY >Sspon.07G0026830-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7B:55773890:55774513:-1 gene:Sspon.07G0026830-1B transcript:Sspon.07G0026830-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAMKKMEKEQKKATKMEKEAKKMAMVTLNGCRDEDDSSCSSESSESECEGQLVRMSRCATITAPEMPSPNTGLPIIVPQIPAPLAPEPSQPSELATAVQATRVSSVAVVETSTTSRIEVCMGGKCKKAGSLVLLQEFEKTVGTEGAVVGCKCLGKCGLGPNVRLRSGISADGCAKGCPLCIGVGFEDVGTIVAGLFGEADLGMTPT >Sspon.03G0006420-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:30930134:30932480:1 gene:Sspon.03G0006420-4D transcript:Sspon.03G0006420-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSTIRSISITVSDDDGAAAAPTRRARAVRRKAAARSLGQRAVRLVARWWPVLLLLPAVALLLFEASRLRASSPGPAPPVSSLGRLDPTTHLVHGVREPCLKLLSPKSLANLAFPEGTRLGSVVNRITYKSDDNDYDTYHSEANSTYLLQHAEATRFNLFTGFQTLAEREDSFKVVNETVSVHCGFYSDNGGFKISEEDRRYMRACKVVVSTCAFGGGDDLYQPIGMDNSSIGRVCYVAFWDEVTLSTQEAEGKIIGDDGMIGRWRIIVVRSLPFVDQRLNGKIPKMLTHRLFPEARYSIWVDSKYQFRRDPIGVLEALLWRTNSTFAISEHGARSNIYDEGKAIVQKHKATPEEVEVQLTQYRQDGMPDTKRLHGLKALAEASVIVRELTPAPNHFMCAWFNEVVRFTSRDQLSFPYVLWRLNMHGMSMFPVCTRRDLVNSLGHTRKVKPLTQTNPESSTS >Sspon.07G0000630-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:1363005:1365179:-1 gene:Sspon.07G0000630-1A transcript:Sspon.07G0000630-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTPMSPWNGRLRSHHATPQSLPKRRLPSQTKNPEEKEELQTLKKPQSLPKRRLPSQTKNPEEKEELQTLKKPQSLPKRRLPSQTKNPEEKEELQPLKKQAGPKKTSRGRQAGAAAQQPRARLRQSSRLARGDPDHPIVIDDDEHKARADQSAIMPLRRSPRFHVEDKSLGKSLLPPNRRETSANRKTETAHRKDKNQESLKRNRGSAGFPPRKDISDVSNKKSDKQELKSNHCKVQTGKRKRGTERRVSSKKQSCQDPEPLPAYCQEIAPRNEPSKSTHRRIEKDLSIEVKLKVGDERLTNIDENINKPSGTEREGMGNFCSSDDDWTKEQDMALRKAYFSARPSPHFWKKVSKMVPGRSAEDCFNRIYSDLSTPIPIGPRSRTSKTTFSPIGNFSLSDPKLPNILEPTVGRRKTAKQKSLVVQKTVKHLLQKHCRIDQAQEADHFSIFESSPSALQLNLSFEDSPGTPDSCMNSASLGKRSGSSSARKKPFSRLRTKPSEPSPAVLKPIKNVILHEKYIDQLARREGTKRPRRRTSAGSKAADSGKSLSEQQAGVKAAKNALISEATDFISSFKTLQANSLAHIVENSEDEIECDASDCSHDDKE >Sspon.02G0001130-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:4037669:4038488:1 gene:Sspon.02G0001130-1A transcript:Sspon.02G0001130-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRYARSGRRMASSEALLLLAAAVVAAACVFGRLGRLVITGVVPCNTGSLIDIATSPAFPSTSTHLYPIDMHASTSSALAHGGLDRLFLVRHPDADVELRCAGKVVAGATTNTNGTFSMELDMTNALAAFIGGCTLVVDTPLIKCNADLTDVGSLVSYLQGPLTRLLGGIFHLFPAGFSFHARRV >Sspon.02G0008770-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:18562861:18563322:-1 gene:Sspon.02G0008770-4D transcript:Sspon.02G0008770-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MELKNALTTSSEASLLSKHITYSITAASQGSMILPSSLVNPNSSCDSIRSSQKTTVPRYTSGTSNRCPSAEYTMQCPLPATDVEVLHDASLSFAEIGMTLFLVRVAILCHFLAICTSLLALIMVAGSPFLTEIQRAFVSGGVQPGVMLSLLMD >Sspon.04G0009060-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:26295192:26298487:1 gene:Sspon.04G0009060-1A transcript:Sspon.04G0009060-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:At4g24740 [Source:Projected from Arabidopsis thaliana (AT4G24740) UniProtKB/TrEMBL;Acc:Q1EBU3] MECIAEMPRAPLDSRPRKRQRLGWDVGPAEMYQVTRPRLGWDVGPAEMYQLGLCGQEVVNAISAAALGLSSGCLSSQINQEQPRDGSPPLREDDKDGHYVFAVGDNLTSRYKINAKMGEGTFGQVLECWDKERKEMVAIKIIRGIKKYRDAAMIEIGMLEQLGKYDESRSSCVQIRNWFDYRNHICIVSTLLDYGNYELTQKDNHVVFERLGPSLYDFLKKNNYRSFPIALVREIAKQLLECIAFMHELRLIHTDLKPENILLVSPEYIKVPDYKVSSRSPKEGSYYKRLPKSSAIKVIDFGSTTYDQQDQSYVVSTRHYRAPEVILGLGWSYPCDIWSVGCILVELCTGEALFQTHENLEHLAMMERVLGPLPYHMLKRADRHSDKYIRKGRLNWPEGCTSRESMKAVMKLSRLQNVVMQNVDQAAGDFIDLLQGLLRYDPADRLTAQEALRHPFFTEGCGRRI >Sspon.08G0013000-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:55289972:55294697:-1 gene:Sspon.08G0013000-1P transcript:Sspon.08G0013000-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VLRCLPPQSHDRWPQITTLGGALCHRCSMLVLVLAIQAYSQPHCQFFLMKMIFSDSAHGTPSMDDTSAKMKLLVDDPDEKDYKFDFDLRQIDDLLPDEDEFFAGITDDAEPIGQTNTTEELEEFDVFGNGGGMELDIDPVESITVSFANSSIVDGARGNGINPFGVPSTVGTVAGEHPFGEHPSRTLFVRNINSNVEDSELRSLFEVLTAAIQYGDIRTLYTATKHRGFVMISYFDIRAARNAMRALQNKPLRRRKLDIHFSIPKENPSDKDLNQGTLVIFNLDPSVSNEEVRQIFGAYGEVKEIRETPNKKHHKFIEFYDVRAAEAALRSLNKSEIAGKRIKLEPSRPGGTRRNLMQQLGHDLDQEEPRSYRHPHVGSPVANSPPGAWAQAHYSSPTDNNMLQTFTRSPTGNGMSPIGMPSLISNAPKIAPIGKDINRSKYDQVFSNGNQSLGAAFQHSHSYQDHNSEHMSSSPGTLSGPQFLWGSPKPYSEHSKSPIWRPPAIGPALSSSSRTQGQGFLYSSRQASLFGSSDQHHHHVGSAPSGAPFESHFGFLPESPETSFMKQVRFGNMGSVGPGRNGGGLMLGMASRASVNPGSSLIGSLTDNSSTNFRPILSPRLGQAFYANTPYHGPGTFGLDSSIDRARNRRVDSSVLQADSKRQYQLDLEKIRRGDDTRTTLMIKNIPNKYTSKMLLAAIDELHKGTYDFFYLPIDFKNKCNVGYAFINMISPVHIISFYQAFNGKKWEKFNSEKVASLAYARIQGRSALISHFQNSSLMNEDKRCRPILFNPNGQETANQEAFPINGICIHMPLEDDCLDNEEDKNQNEKTGESSTDMAGSL >Sspon.06G0023670-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:46907676:46914455:-1 gene:Sspon.06G0023670-1B transcript:Sspon.06G0023670-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVIGHLHHLAGVAPPHRALRDLARSYGPLMAIRLRNVPVVVASSPDAAREVTMTHDVALASRPVAPALRLLHWGAADAQELFAAPRVRSFRAVREDELGRLLRAVAVAGAGAALVNLTRWISRFLFDSAVRAIAGGSRSEHRDEFLRMLQEALRTLPKFSLLDFFPAVTPRHAHQLRAVSHLDAILDSISREHQERRDDAAATGVQDDGDEDLLDVLLRLQKDMDSQYPLTTLNIKSVIMVSSSCGYIAHAIHFANVRLAHAQDLFLAGGETSSTALQWAMIELMRNPAVMQKAQDEVRTAFAGQSKVTEDGLANLHYLQLVIKETLRLYIPAGAGTTTGVSQRVPGARIPRHTRRNGARERVGDRQKDVPWHGLRSGQGHVELALAALLFHFDWELPEGMAAEEMDMTEAAGITMPPRSDLVLVAIPRQVALDFPPLPTNLDPPALVVAPILAAAVLSAFLPLSLEPDSQPDH >Sspon.05G0024810-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:18264112:18267275:-1 gene:Sspon.05G0024810-1B transcript:Sspon.05G0024810-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSGTRSVRLLLLSLLGFCVALSHQESSSSTDSCATAKVAVASLVPFDSTSFRCNAAWKQQDFVLRYKNTGPSEWSFILSAPDKGSYVAVGFSGKGAMVGSSAVVGWASNGRGTVKQYYLGGKSPEDCAPNKGLLKLVRNRSVVVSRSGRLYLAFQLSTDYPQPYLIFAVGPDGNLPQSDSLRLPMHQSMASRSFNYTSGMSSNTAGSGGDAAFPTERKHGLLGMMGWGVLMPIGMITARYFRQLDPCWFYTHMAIQVSGYAVGIAGVVLGFRLNEDGLKNVDVHKALGIAILAMASLQVLAILARPDKTSKVRRFWNWYHHNIGRATILLAIGNIFLGLSIAQEISAYIVSYGVFVAVWVVAVAAFEMKRCYADDD >Sspon.06G0005300-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:16191107:16192506:1 gene:Sspon.06G0005300-3D transcript:Sspon.06G0005300-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPRRQSIFHLGDEGGASAVHHRVSVVAASSSMAMGVRRARERERERLVVGLQILVHHHHHHGRHGHAHEANVVLKQMVRPRAAAAAVGSRHGHGGHAFSCSFLKACFLCKRELSPDKDVYMYRGDQGFCSEECRWQQIMVDEAREREVAAAMSKQELQRRGQVQARHHSPHPTPIRGRPPPRKTLAVA >Sspon.08G0024390-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:63667812:63670292:-1 gene:Sspon.08G0024390-1P transcript:Sspon.08G0024390-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGSMDLPAKGGFSFDLCRRNNMLEKNGLKLPGFLKTGTTIVGLVFQDGVVLGADTRATEGPIVADKNCEKIHFMAPNIYCCGAGTAADTEAVTDMVSSQLQLHRYATGRESRVVTALTLLKSHLFRYQGHVSAALVLGGVDCTGPHLHTVYPHGSTDTLPFATMGSGSLAAMSVFESKFKEGLTREEGIELVSDAIRAGIFNDLGSGSNVDVCVITKGKTEYLRNHQLPNPRTYASSKGFSFTKGQTEVLSTKITPLKQKVEVAEGGDAMEE >Sspon.07G0009780-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:17821860:17826321:-1 gene:Sspon.07G0009780-1P transcript:Sspon.07G0009780-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRSYSNLLDLATGAADQAPAAAAIGALRRRLPRVVTNPGFIDDSPASPSTPARPRTIIVANQLPIRSRRPASPEEPWTFEWDEDSLLRHLHHSSSPLMEFIYIGCLRDDIPQAEQDAVAQALLETHNCVPAFLPTDIAERYYHGFCKQHLWPLFHYMLPLSPDLGGRFDRALWQGYVSANKIFADKVLEVINPDDDFVWVHDYHLMVLPTFLRKRFNRIKLGFFLHSPFPSSEIYKTLPVREELLRALLNSDLIGFHTFDYARHFLSCCGRMLGLSYESKRGHICLEYYGRTVSIKILPVGVHMEQLKTVLGLPETEAKVAELMEMYSGKGRVVMLGVDDMDIFKGISLKLLAMEELLRQHPEWRGKLVLVQVANPARGRGKDVAEVQAETYAMVQRINEVYGEPGYEPVVLIDEPLQFYERVAYYVIAEVCLVTAVRDGMNLIPYEYIVSRQGNEKLDRMLRQGKPEEKKSMLVVSEFIGCSPSLSGAIRVNPWNIEAVADAMETALVLPENEKRLRHDKHFRYVSTHDVGYWAISFLEDLKRTCSDHSQRRCWGIGFGLRFRVVSLDLHFRKLSLESILMAYRRAKTRAILLDYDGTLMPQAINKSPSTESVRILNSLCGDKNNVVYLCSGYDRRTLHEWFPCENLGIAAEHGYFLRCKRDAEWKTCVTATDCSWKQIAEPVMCLYRETTDGSTIENRETVLVWNYEDADPDFGSCQAKELVDHLESVLANEPVSVKTTPHSVEVKPQGVSKGLVARRMLVSMKERGQCPDFVLCIGDDKSDEDMFQLIASAACGDSLGSKADVFACTVGRKPSKARYYLDDAAEVVRLMQGLSYVSEELALANHRDEDEDSSLDVWE >Sspon.07G0000810-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:1861561:1862937:-1 gene:Sspon.07G0000810-1A transcript:Sspon.07G0000810-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding YCPCFSGGGYCSDKCGCQPCFNKEAFSETVQTTRKVLLSRQKRMSMKINRRPEANAEPMVAILTQLNDEDAHHSSSSTPPRRGCNCKKSSCLKKYCDCYQVRQDYQTHCYGQDGTGCSLFCRCDDCQNPFGKNEGIMADDSKRYLYTGADLDHSEGEHDFVVERSPRLQSPISKESSFHQTPPHLRASSRDTHVFPQAISQWQALPRSWQHCSNKRNSNDRAMDDSANYKNSNHDWQLSKHEDSYSISKCVQILNGMVELSQVEKSVAPDVFLQPGNREIFISLSGDVRAMWLKRKIQHLA >Sspon.04G0002310-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:7826855:7850494:-1 gene:Sspon.04G0002310-2C transcript:Sspon.04G0002310-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding CRAVQTWACKQFHYLNQSNTYDLEGTSNEDEYWKTKRAMDIVGISREDQDAIFRTLAAILHLGNIECDSDLLVSSLCSRSIHTREGIIVKALDCAAAAANRDALAKTVYARLFDWLVENINKSIGQDVDSKVQIGVLDIYGFESFKNNSFEQFCINFANEKLQQHFNEHVFKMEQEEYKSEEINWSYIEFIDNQDVLDLIEKKPIGIIALLDEACMFPKSTHETFATKMFRNFSSHPRLEKTKFSETDFTISHYAGKVTYQTDSFLEKNRDYIVAEHCNLLSSSRCPFVSGLFTSLPEESIRSSYKFSSVASRFKLQLQALMETLNSTEPHYGVLEAVRISLAGYPTRRTYAEFVDRFTVLVPELMIGSYDERMLTKGILEKMELENFQLGRTKVFLRAGQIAILDMRRAEVLDNAARHIQGRFRTFITRKEFVKTREASVSVQAYCRGCLARKMYAIRRETAAAVIVQKYVRRWLLRTAHLQACLAALFIQSYIRGFIARRYFSAIREHKAATVIQSTWRRRKVVMLFQNYRQATVTIQCSWRQKLARKELRRLKIAANEAGALREAKNKLEKKMDDLALRLTLERRRRAASEESKSAEILKRDKIIESLSAECAAAKSAAQNEHDKNLLLQKQLDNSLREITMLQSKKIMSAEAEKENSNLKNLVESLSMKNSILENELAVTRKSSDDTMEKLKDVEGKCNHLQQNLDKLQEKLTNLENENHVLRQKAFNMPTMNNLSVAPKTLSEKFSASIGLPISEPKHIYESPTPTKYLASLPQTLSASRRSRLPVERHEQNHEILLKCIKENLGYKDGKPVAACIIYKCLLHWRAFESERTAIFDHVIEAINDVLKENEADGRLPYWLSNTSALLCLLQRNLRSNGLFATPSRRSGGTLGKIAQTLRSPSKFIGRSDTLPQVDARYPAILFKQQLTACVEKIFGQLRDNLKKEISPLLNLCIQAPKSTRGQPGKTSKSPGVGAHPASNSNWDNIVNFLDLLMDTLRENYVPSFFIRKLITQLFSFINIQLFNSLLLRRECCTFSNGEYVKAGLSLLEKWITDVTEEFAGTSWHELNYIRQAVGFLVIHQKRKKTLEEIRQDLCPSLSVRQIYRICSMYWDDKYNTQGISTEVVAAMREMVNKDTQNLLSNSFLLDDDLSIPFSTEDLSMAIPSIDYADVDLPESLQHYASVQFLLRQQDPQPAQ >Sspon.01G0021390-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1A:78667703:78668207:1 gene:Sspon.01G0021390-1A transcript:Sspon.01G0021390-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GKESLCQAPHRGSGGTCRRRLPPPFEPPLPPPLLPLRHPPPSFPPELPLFLCPIFLFPVRTDNFGRPTGEPRPSGPDLPYPPQVCTVWMIGGIHRAALHDTTWMHASDLPLAQPPCCGAPEHGSPCTGVSAPGLPAPLVGRIPSVALRD >Sspon.07G0023720-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:18745502:18747790:1 gene:Sspon.07G0023720-1B transcript:Sspon.07G0023720-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SWRSTPVSAISKYEIHPLQRRCLLSSCCGLVVVVLVPCAATAAATALPVSRPIRLRSFCLEMLGVIGSWLED >Sspon.07G0016930-3C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7C:84654759:84655792:-1 gene:Sspon.07G0016930-3C transcript:Sspon.07G0016930-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding HVLPGTLFLAVGLWRVWSAVARFAADPPAFRVRAWCPLELPRAPRLLELYVVAGGAFLDMCLELGGGVLAGRGGGVAPESSLIYLEHAGMLLMFFLFGALALLSQKCTRYLPLTDGELCLVAATAFTSEFLLFSYHSATHAGLEGYYHHLLVILIGLCILTTILGALLPASFPVDVAAGTLIALQGLWFYQTALTLYGPMLPDGCDRNANGDQVDCRSCATEERAEQLANFQLFGAVFLAFVYVLGCYAVAAARYGHPDLVAMHGEHVAALECRGVGSGACAEECVV >Sspon.01G0030760-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:106163033:106166720:1 gene:Sspon.01G0030760-1A transcript:Sspon.01G0030760-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTARGVACLLVAVICLSCAAAAAARSPASRLHRHLKRLNKPAVKSIESPDGDMIDCVHISHQPAFDHPYLKNHTIQMRPNYHPEGLYDESKTSSSSGERPMVQLWHQNGRCPEGTVPIRRTKKDDLLRASSMRRYGRKRHTTPNPMSVNPTMLNEGGHQHAIAYVQGDKYYGAKATINVWEPKIEQPNEFSLSQLWILGGSFGEDLNSIEAGWQVSPDLYGDNNTRLFTYWTSDAYQATGCYNMLCSGFIQVNNQIAMGASIFPTSSYSGSQYDISILIWKDPKEGNWWMQFGKDYVLGYWPSFLFSYLADSASMIEWGGEVVNSQPDGMHTSTQMGSGHFPEEGFSKASYFKNIQVVDSTNNLKSPKGLGTFTEQSNCYDVQNGNNGDWGTYFYYGGPGRSSNCHAPNRKVEWAEFRFRPAALCATDGWVGNCLTGAG >Sspon.02G0002380-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:7759047:7765430:1 gene:Sspon.02G0002380-1A transcript:Sspon.02G0002380-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEKEFQGMLDLFPVVRSRDYCVNLLLLPPLPLPSPFPSACTAMSRGPILEHPVEEPDSDHEFRRQRRAIIRTHPLHKKLKMAAEKKIGATKAEFFCKAFEEAHEKLLRYGAAKPLAWQHTIPTHLTLEPKTSDKLADDLNQSTSVPKMSCSLKRSRKLDFSSTILRQKNSVAFLQSNWDQIACLVTLTRANSHHFSSIQLQQGQHCQAEN >Sspon.01G0042630-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1B:67624335:67624967:-1 gene:Sspon.01G0042630-1B transcript:Sspon.01G0042630-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKSWFPMNYLAKDYHSYKHEIIEASKDKTKGERLKKGKDNAVEDDEDGYPNIDGVMIIFRGPYAYEDHRREKVTRCLVFATMPLMDKGSSINILYKDAFEKLNIETSKLRPPTFSVPWDHPQTTSYDPRHDHSVCHFGDQVHYRKETLSFEVIDFEGPYHAILGRPYYAKFMAILRYAYLKLKMLGPCGIINIAGSFQDVYECERMAIE >Sspon.02G0018170-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:58199858:58203601:-1 gene:Sspon.02G0018170-1A transcript:Sspon.02G0018170-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEMMKLIKWRTDLLDSGAAGSSESDKDDDAIPMDDELAIVVANEPVALAIHCGDTDDDDGEVIPIEEHCRMSELLRHMSTTLDRIMASLEAKDERERRQYAYRHVDENRESAMARAEEIQSSLPAEHPSFVKHMLRSHVVKGFWLGLPKHFCDKHLPNRDVAIVLEDENGKDHHTTYLGYKQGLSAGWRGFAIDHDIKVGDVVVFELVKSTKFKARYTFLGLFHLLTTNPVYIVRANGFTTADIDPNLQNLEAGKNGEEQSCEDVITEEDTEDTTTNNREVPPSDGIGISDSEIDFDDVTSFSNVNVILDCLATDCEFHDRLRRTYYELCCSQKSLLHKHLLKQLHPTLVAGVIIETVSIADGIRACKAEASSREDFLVWKKTLESFELLGMNVAFLLNRVNSLLGVASRSRESYAEWQHKYEELKLERARAGDKMKALELQLSNVKDVLQKVDSEMEELQSSFKKSDEALQELASAP >Sspon.01G0005940-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:14882516:14884081:1 gene:Sspon.01G0005940-2B transcript:Sspon.01G0005940-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPKPAPRLFQYISNKSRKPPPPPPPAATSAAPASHDGPASDADAGEVYRIVTSAATPSAMESALAASAVPLSSPLLDAVMRRFRFAHGDPLRALSLLSLAADRGGVAPSPYAIDTALYVLGRARRFPHMWDLLATTRRICPDAVTPRTAMIVLGRVAKVCSVHETVASFRRLARMFRAVDTAGLFNALLRTLCQEKSMSDARNVFHALKYEFRVNRHTFNILLSGWKSAEDAEAFVAEMRELGVEPDLVTYNSLIDCHCKNRDVEKAYKLLDEMREKDISPDIITYTSLIGGLGLIGQPDKAKDLLKEMHELGCYPDVPAYNAAIRNFVIAKRLGDAFALMDQMASKGLTPNPTTYNLFFRCYYWAFDVGSTWRLYERMRSEGCFPNTQSCMFIIRLCHRYGKVVQALELWSDMVRNRFGSFTLVSDVLFDLLCDEGKLEEAERCFCQMVELGQKPSNVAFRRIKILMQLAKQEESIARLTEKMAQFGRLAPGDCQRVQHSVESRPSNGDAVGADILRAA >Sspon.02G0035220-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:19281554:19287366:1 gene:Sspon.02G0035220-2C transcript:Sspon.02G0035220-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFRAREMYKKVVRRVGGEGKLPAELMKSVKDMLPDSNVVMGRAKRGIFAGRHIRFGNKVSEDGGNKSRRSWKPNVQEKRLFSYIHDRHIRVKVTTHAIRCIDKAGGIDEYLLKTPYSKMDTEMGIVWKAKIEKMYSDLAEMEVGFFPPEEEAKIKEGFDEVRAAKRDFRREARRALAKQRQLEASSAGDDQTSEVTDTKEEIKGGSKSLDVPGQITAGLRLDINWGKVE >Sspon.01G0045790-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:92891482:92892171:1 gene:Sspon.01G0045790-1B transcript:Sspon.01G0045790-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQILGPNPIAGTGSLLRRQPYNQGWAHKPLWFVFTGQPSSHPSPLAVASSVPHPLYIQPCLRVLLSSVTTAIDVLPGGRRQGVRGRQGARGVGVPADVWRTAAWLRPVPEDDRAGRGVHSVLAQFATGVTPDAEDARLVLARVGVVTCSDCPEDAAFLEAELLERLETTTMTSCSS >Sspon.02G0008200-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:22523690:22539926:-1 gene:Sspon.02G0008200-2B transcript:Sspon.02G0008200-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATATAIAGPDRVEAAASSAVAALAVAVASQRLLGLLALAAWIVCDAMSTEKVRALGQVDDELQMLEHLVMCFQHHLSRFEMMQETTKFSIMLRMPKLHFIHALKDETNETSRTVSSSSQEKDLTLPKLKPPKTTATALDRSCKGMDLHRKNRNSAISRLSAYHPAPTAKDRNRPRETQTGWVTLSMLQMTKAREVAPMSLYRSANPFHGGHLSSKAPATSPSNSSSPLAPCSSSPTIPGIERFSTALANPPAPPPSPGDTLTTRGQLRRRCGRGKRGGSMRRRGRWRPLPRRSRWRSACSAEAVMLWRELRARGVERGVRILASSISSGLRPREEEKVCATVAL >Sspon.08G0015880-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:63270695:63273310:-1 gene:Sspon.08G0015880-1A transcript:Sspon.08G0015880-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGGLAHLLATLLLFLLLATPLAVGASAAAAGAEVDLVPAAPAQVVSKAAAEPDADAQQQHLLPRPLVIELPSARAAEEPDPDADVDDVPPDVRCASWRLAAEANNLAPWKVVPPECAAHVRDYVTGAAYRSDLELVARESAAYARAALPLGADGRDAWVFDVDETLLSNLPYYAEHGYGAELFDHHKFNEWVERGEAAAIPSSLKLYNEVHELGFKTFLLTGRSEGHRGVTVENLNKQGFHDWEKLILRAAGDRNKTATLYKSEKRKEMEEEGYRILGNSGDQWSDLLGSSMSARSFKLPNPMYYIP >Sspon.01G0014240-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:42417759:42425603:1 gene:Sspon.01G0014240-2C transcript:Sspon.01G0014240-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRPRERRLPPPAFRMENPFSVKVLQVFTGFGVGCGVGIGVGRPIYLVMSATRGATDAFSGVTRHVNSALRTAGLKNIEAGIGCGVGIGHGFGIGIALKPRVLHGIQSSVGEIMSKLTSKLKDTPEMPSSSNPMAHSLSSNQQTHSGMTMDLEAKTAESNLNHTPSYEMSRVQSTQPEALTASRTEKVIANFLQSPLFQNDTKIDFRDTVRAKTFLSVLKHQKVIEELREENENLRQILIEELKVSPTKLQIGHKTGVKAYYPCSDCFECRRRSRKTTR >Sspon.05G0026820-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5B:44472437:44473274:-1 gene:Sspon.05G0026820-1B transcript:Sspon.05G0026820-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRVRLENDTIILGYISGKIWSRSIRILMGDRVKIEVLVDSKAQEKHHPMLVKEQQ >Sspon.03G0019470-3C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3C:80348427:80352413:1 gene:Sspon.03G0019470-3C transcript:Sspon.03G0019470-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAVARSWSWSWICGCCVGRRNTGGAAGDTAAAAGASGRAGADEGDEWSLFIDLPVLEAATGGFSDDNLLGRGGFGPGVMENGQQIAVKKLSMGSRQGVREFLNEVRLLLKVQHRNLVSLLGCCASSGHFLLVYPYFPNGSLDHILFGESSSASNKCAQLDWPKRYHIIIGLARGLLYLHEESPVKIIHRDIKASNVLLDDQLNPKISDFGMARLFLEDATHVNTFRISGTYGYMAPEYALNGYLSTKTDVFSFGILVLEIVSGRKNIIRHPDDERIDLLNYTWKLFEEGRSLEIVDPSLSKSNPDSEQALLCIQLGLLCCQAVVPDRPDMHSVHLMLSSDSFTLPKPGKPAIHGRTGRWMTTTTGASGSSASASGASNTNTTSGSTFGTDTNTNTTGASVLANIAEDESRNSISISFTTEGR >Sspon.03G0011030-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:45718410:45721692:1 gene:Sspon.03G0011030-3C transcript:Sspon.03G0011030-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQHEPWRPGAEPAGMAPTTVDEASMERSKSFVKALQELKNLRPQLYSASEYCEKSYLHSEQKQMVLDNLKDYAVRALVNAVDHLGTVAYKLTDLYEQQASEVSTLELKVACLNQQVLTCQTYTDKEGVRQQQMTGAARRHHKHYIVPYAGNKRMQAFSDMQANADFDSTPRPYSSARTLQWHLVSEKNSKTSRPDQSEIAQGETKTTKPSSSGFRLGKESSASPLSRNVQSNITSLDIVSVGMKDQPTTRHLSSFSSLDNPRGRQIQKAPLRTKSMLAAFFVKHRSAKMKNVSVR >Sspon.01G0002210-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:6107285:6110533:1 gene:Sspon.01G0002210-3D transcript:Sspon.01G0002210-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKQQEGKRRCGEKDGKRKGTGKVVTVPEDAAPVTGCWIRFPRLRGCMSSSRAKVDSSTSAPRAWWRRRGVFMFESSLSVYHLTDNISTCVETKPATDDGCQDQSVPPASGSTTTSNTGSISPSSIVGEELKLAFQLRRFTFNELKCATRNFRPESLLGEGGFGCVFKGWIEENGTAPVKPGTGLTVAVKTLNHDGLQGHKEWVAEVDFLGNLQHSHLVKLVGYCIEDDQRLLVYEFMPRGKSLPLPWAIRMKIALGAAKGLAFLHEEAERPVIYRDFKTSNILLDADYNAKLSDFGLAKDGPEGDKTHVSTRVMGTYGYAAPEYVMTDPRLDGNFSIKGAQKTAQLAHACLSRDPKARPLMSQVVEVLKPLQNLKDMASSSYFQSMQHERRTALANPHGSQSMKAHSTFARNGQQPMRSL >Sspon.02G0014570-3C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2C:41326233:41326797:1 gene:Sspon.02G0014570-3C transcript:Sspon.02G0014570-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEIAGQPGRKGDDSSRAVLTPPPRGPGLLSPSTPPSGSGPIPSPPSAAAAVLALPVSAASQSAGLLASSRLAGEMDGGGEALLVRRSKGKKKRPQPAAPAERGSGGSGDRFRTLWRDYHDLLQVSGASLVDSCCAFAFSNFHAALLFRAVLNGFTAGLIAGFLVARAIPVPVASTRIRFVIVLPMLG >Sspon.08G0012910-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:54842519:54845326:-1 gene:Sspon.08G0012910-1A transcript:Sspon.08G0012910-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to terminal acidic SANT 1 [Source: Projected from Oryza sativa (Os06g0181300)] MPRVTPAGARLILDHVLGDPSVPAAAVGKLLAALPFPSDPTPRLRRAVLLRRLAADPVSESSLDTLQLLASLPAPASPIAAAHIAVAAFIAASASDFDAAARELFARPNGRARLAVDEGGSPALASDEVIATADQFEAAVGNSFSQTVLRGLWGDRSATEERVRELLAAEWAAIGPSLLVAAAERIVGDGAVETWRAADEATRVKLRILAGEEKTREILGKLEEPTCSANPISTPAVEKVIDALKTSCADLHSVVEDPLPAAKAVADEVLAARMEKAVSLNAEEVRGQPTTCGTAGPSAPNYKDKGPSTGKPHNLMDWNPTARTFQWEESPGPESSESSLRRPHLPSPRRAPVSPLPLGENKNRRRRARKWCLLEEETLRQGVEQYILLFDISQLSCSKTLVFRLLLLYVPRRYVVLVSCVGMVDLKDKWRNMINR >Sspon.02G0017160-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:48705704:48707984:1 gene:Sspon.02G0017160-1A transcript:Sspon.02G0017160-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRVALLAAVAVVASVVLPRPPSAAAAAADVTLPGCTSKCGNISISHPFGVEPGCYLPGFNVTCRNDSSVGHDGSPKLFLGDGTVELLEISIPSNTVRINASLAYFPGSAGHNNFLGPNPITSGTWSGALGNGGVYTLGWSRNRLLALGCNVQVLLEGDPNTTLSTCATFCNWDEHNQIFSFPLPTDDCSGIYCCQATIMEERSSYGFKIPTSATIQTRTPVMASATTLKAAMSATALQASWATLLYQMDAKTSTSVHIPIPTHATGFAQICPELSVASVRVEATGTPSRKGAANQF >Sspon.06G0003790-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:11586643:11590112:-1 gene:Sspon.06G0003790-1A transcript:Sspon.06G0003790-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGGGQGKAAGYYQYPASYAAGAAAADEERRWWPWLVPTVLVACIAVFAAEMFVNDCPRHGSALGGGAGCVAAGLLRRFSFQPLRENPLFGPSSATLEKMGALNWAKVVHEHQGWRLISCIWLHAGLVHLVVNMLSLLFIGIRLEQQFGFVRIGVIYLISGFGGSVLSALFLRSNYISVGASGALFGLLGSMLSELIMNWTIYSNKAAAIITLLFIIAINLAIGILPHADNFAHIGGFASGFLLGFVLLARPQFGWMERSELPQTNQPPKYKLYQYVLWVAALLLLVVGFVIILVMLFKGKNGNDSCHWCHYLNCVPTSRWKCDT >Sspon.01G0024660-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:85929367:85932250:-1 gene:Sspon.01G0024660-1P transcript:Sspon.01G0024660-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCRLFIQLQTKELYDLSYGHEPPEGPTRFGDYFVTKCGVLLMSLFVFFTTTMSVSFTLRETQSRMLRFTVQLQHHARHHLPTFQLIFVHVIESLVFVPIMIGILFFLFEFYDDQLLAFLVLTLVWLCELFTMISVRTSISMQFFPRFFLLYFLVFHIYFFSYTYGFSYLAFSATAAFMQHLILYFWNRFEVPALQRFMRSRAHIHQQTGVQISSTIYTSTLHIARVNVRDPGTINDGLGAAREADGLLVQDESTRNQQEGQQHGISEPAANNALQYQEQNPQQAGSTPAGSGSLNPFGSLLLWLLGGGASDGIVSFFSMFRDVRDHGQDYTDPPRNENDQVT >Sspon.02G0022080-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:72080497:72084464:1 gene:Sspon.02G0022080-2B transcript:Sspon.02G0022080-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMAGPVSSAPRTVEDIYKDYAGRRAGLVRALTSDVDEFYSMCDPEKENLCLYGLPNGGWEVSLPVEEVPPEMPEPALGINFARDGMKRRDWLSLVAVHSDAWVVSVAFFFAAKLNANERKRLFNMINDHPSVYETMTDRKGRENKPGVDNSSKSRHSTKRSNDGKTKKSRVAVVEDGYGDDEEHSETLCGSCSGLYNSSEFWIGCDICERWFHGKCVRITPAKAEQIKHYKCPDCSYKKSSRQ >Sspon.06G0012390-4D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6D:48990700:48991806:-1 gene:Sspon.06G0012390-4D transcript:Sspon.06G0012390-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LSLLGLPLAPAANPKYHNAKVDVGGEDLLRRFNWQVSRAGVMEEIRRRRRHEDARDKRKRKARSASRRYHRRRFKGPYPFNDDQGLKEQTSDDEENDNWELPAGELPSYW >Sspon.03G0009170-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:39443321:39443801:1 gene:Sspon.03G0009170-3C transcript:Sspon.03G0009170-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLASATRARTTSSTKPGHAAAGAVRGAGAGGSGTGTPVVVVTEAGQQEVTVSQFVAQLDEAARRRLDSMHQRLRLLEQQMETLEAEVGKASSTRMDTYA >Sspon.01G0013170-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:35973894:35977017:1 gene:Sspon.01G0013170-1A transcript:Sspon.01G0013170-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDISELHKLAFLRVKQMEPQNAGKILGCILLREPDDDEMVQLAYGNDAAVHAKISDAKATLAAIYARCSAHHHHHHQMGAAAAAGYHPAASAAAGARHHFAPAVTATTFGFHYWPEHAAPVPKAQQAEDFSLVDAEGHYALLPQQQQNHHGLVDDHQHNYAAAAGGYYYAAAEDAFHNGGAGAGGGLPPRAAARRANGLSTRRPCHYFIKGMCKNGQNCHYSHHYSHHHHQVNSAADGFADDGHHSGGGGGTTPGALEKLELEIIELLNSRHGQPLSIASLPTLYGERYGKGLQAEGYLTESQRHGKAGFSLTRLLSRLSKISIIERPHGQHSVVLAEDAARYTEFRGERGGDMGSVPASSHQIYLTFPAESTFIEEDVATYFGQYGPVRDVRIPCQERRMFGFVSFQNPETVSTILMRRNPHFICGSRVLVKPYREKAKCIERMLLCVQPNTSSSYPRTCVDKMKPMQYYPTRFVEIDDHPDFYPGNGQITLSPLYFYDYLQPLASSTTYLFALDEYEPSSRMVRKQLAEKRERMIELERKRFAGAVRLDSLPQQYAYFDCSIGDDGVNPLNCLPASDSKDDVDQLMDHPLMTPDSLEMVSTSQAPQIQSSNNNDDKESNQIELLPESPFASAVPGGNRISAIMREQWKTALAACRVRTERKDTLHLGPVWMET >Sspon.02G0001230-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:908303:913693:1 gene:Sspon.02G0001230-2D transcript:Sspon.02G0001230-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDTRRSSAAKRRGPSDEASPPPTQAEPASTPPPPPPPSRSRSGKRAKVAVARADDPGDKAGVDPAAVDVLDSSVENLHGVARPPAVNVAASSTVSNSAGRRKKNRPVRAFPTDEGTFWKTRPASGRAEAWGRLISQSSEYPSIPIYPAHFTIGHGGKCDLKLTETSPGSLICKLKHVKRGAALEIYMNKVVHVNGKALDKTAKVTITGGDEVIFVSLGRHAYIFEQLPEEKASTSSLCSKCVIQQEQYPVVKGTLDHLLSKGTKISTPFNFGNGRPPLIPHDKEIVSSLSKTMGEQSYCPSEENMTVGRHQLLKDDLKKAAISASDISESFDNFPYYLSNESVALNRAGKYQLPNENTKNVLLSSAYVNLCCKESTKWTKDISSLCKRVLLSGPAGSEIYQELLVKALAKSFGAKLLVIDYSLLSGGQPSKSKESEPYKKGDRVRYIGPPRSSGFMLEGPRYVCELHC >Sspon.07G0028580-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:79503434:79506588:-1 gene:Sspon.07G0028580-3D transcript:Sspon.07G0028580-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTVLQRPAAGTVQCFGRKKTAVAVAYTKPGRGLIKVNGVPIELIRPEMLRLKAFEPILLAGRSRFKDIDMRIRVRGGGKTSQIYAIRQAVAKGLVAYYQKYVDEAAKKEVKDIFARYDRTLLVADPRRCEPKKFGGRGARASCSMKFSVLSVESRIPDTATRAPPAAADNDLGRPWPIGHGTDTLLNTGPGPA >Sspon.03G0018260-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3B:79352092:79353362:1 gene:Sspon.03G0018260-2B transcript:Sspon.03G0018260-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQQQPQPQQEEMNNVRAGGHELPLGFCFYPSDNEIVSHYLTNKVHNTDFTCTAIGEVDLNKIEPWDLPKEAKWGEKEWYFFFQKDRKYPTGPRVNRATEAGYWKSTGKDKEVYNTTKGVVLLVGMKKTLVFYKGRAPRGDKTNWVMHEYRLEGSGRLFGPASASNSAANATTAMKASASASKDEWVVCRVFQKTTGIKKVTAPAYQVTMARTEIDQNQNNIPAILIPMPLQLPLPVPVPMPMQFPILPDFAMDLVAPYYPNVWAGMPPMMLPMAGIGSASGLRINGALFGNPIVMPSQINFYHQMGMWAAASQMDMGAAAGQIGMGVAVAQMDMGVAGAGGFD >Sspon.02G0030980-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:107250735:107254172:-1 gene:Sspon.02G0030980-3C transcript:Sspon.02G0030980-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQQYIPDWSGAMGDTFAPLGGGDDDGLIELLWCNGHVVMQSQAPLRKPSRPDKAAAPAAVQDEDAAAAAWFQYQVEDPLERDDLFAELFGEAQAAVDAGRGACCKEEAEERGGDEDVRQSSGMMPPPPREVKACPGDGAAARTYAGCCEATATATEGAESSMLTIGSSFCGSNHVQQTTRHARDAAAPPGVAKDVARARGAATDTSSATRSRSCTTKSEHPGPGAAAAAAHRSGKRKQSDATDAEVRSPPLPARPQSFHTAASIDDDRECMLDVEFESADVTCEPAQKTAAAKRRRAAEVHNLSERRRRDRINEKMKAPQELIPHCNKTDKASMLDEAIEYLKSLQLQLQVSSLPSSSRQNQGTRHRPTCDVCIVTVQMMWMGGGMAAAAAPVVFPAGVHQYMQRMVAGPPPHHVASMPGMPFMAPPAAVHGPPPPVPDLYARYLAVDHHHLPPPPPPLVAPPPYTVQQHCLQGTMGFYQRQNPALPPPPTAVPAGSPSDGILHKKYENCGKPEIQGMTG >Sspon.02G0013320-3P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:14645140:14651156:-1 gene:Sspon.02G0013320-3P transcript:Sspon.02G0013320-3P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLKDGQRSVAAGPGPLSRQGSIYSLTFDEFQNTLGGMGGGLGKDFGSMNMDELLRSIWTAEESQAIASASASASASASAAGAAGDGGAALQTQGSLTLPRTLSVKTVDEVWRDFVREGPPGTAAGGAEPQPNRQPTLGEMTLEEFLVRAGVVRDNPAAAAAAAAVPAQPVAPRPIQAVTNGASIFFGNFGGANDAGAGAMGFAPVGIGDQAMGNGLMPGVAGMAGGAVTVSPVDTSVAQLDSMGKGNGDLSSPMAPVPYPFEGVIRGRRSGAGVEKVVERRQRRMIKNRESAARSRARKQAYTMELEAEVQKLKEQNAELQKKQEEIVEMQKNQVLEVISNPYAQKKRCLRRTLTGPW >Sspon.03G0001080-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:14616504:14618045:1 gene:Sspon.03G0001080-2C transcript:Sspon.03G0001080-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MWEEAGDADDIFASPGGADAVADDEEVARVCAAVESTPEDEIASTLADMIVDFNEPLLAAVLLAAEQCSCKKLISLFNYAAKNNPAAKSLSNLEILVSKVADSDEIDKTDAYLLWDSIKEIGSVPGSVSTPLLNEMIAIFWKLEKSKAALEVFSKFHEFGCTPDSDSYYLVIEAARKKSMFRSACEICEKMIGSACFPNGEKVGRILTYLCEGKKVKTAHSLYLAAKEKKIQIPKSALDFLVGASARNDETIDTALELLEEYQGESLKHAGKSFATVVHALCRVNKMEDANNLLTRMVQLEQYQGESLKNAGKTFATVIYGLCRKKKLEDAKTLLMRMVDVGPAPGNAVFNFVITALSKHGEMEDAKGLMRVMESQGISPDIYTYSVLMSGYTKGGMIDEAHGLLREAKKIHPKLNRVAYHILIRGYCKMEDFEKAIECLKEMRKDGLLPNVDEYDKLIQSLCLKAMDWRRAEKLLEEMEDSGLCLRGINRSLIAAVKELEGEEMQSKASQEA >Sspon.02G0028590-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:125853159:125856081:1 gene:Sspon.02G0028590-2C transcript:Sspon.02G0028590-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA replication licensing factor MCM7 [Source:Projected from Arabidopsis thaliana (AT4G02060) UniProtKB/Swiss-Prot;Acc:P43299] MKNPDFAADKALAKDFLSNFADPHGEPKYLNILQDVANRKIRAVQIELDDMFHYKDIDEEFLQRVTENTRRYIGVFAEAMDEIMPEPTEAYTVDEDRDILMTQRVDEGADGGADGTDPLQRMPPEIKRFFEVYIKTFSKATPLTIRQVKASNIGQLVKISGIVTRCSDVKPLMQVAVYTCEECGFEIYQEVTARVFMPLIECPSQRCKLNKAKGNLILQLRASKFLKFQEVKLQELAEHVPKGHIPRSLTLHLRGELTRKVAPGDVVEMSGIFLPMPYYGFRAMRAGLVADTYLEAMSVTHFKKKYEEYELKGDEQEQIDRLAEDGDIYSKLARSLAPEIFGHEDVKKALLLLLVGAPHRKLADGMKIRGDLHICMMGDPGVAKSQLLKHIINVAPRGVYTTGRGSSGVGLTAAVQKDPVTNEFVLEGGALVRPTPPYDGIFYCISLFY >Sspon.03G0021670-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:84522519:84525635:1 gene:Sspon.03G0021670-3C transcript:Sspon.03G0021670-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAVGGGGRRRRAWRWAMRAVASAVVWTAVVQVASIAGLFRPRVVADCGGGGKGGGAAAAGLAALAGEDNAAARLSPPALLPKRIYKSNGYLLVTCNGGLNQMRAGICDMVTIARHLNLTLVVPELDKRSFWADPSDFGDIFNVDHFINSLRDELMIVKELPLKLQLRTKKRLYSMPPVSWSNETYYLKRILPLARKHKVIYFEKSDARLANNGLPIQLQMLRCRVNFEALRFTPQIETLGRKLISTLQRSGQFVVLHLRYEMDMLSFSGCTHGCSSKETEELTRMRYAYPWWKEKEIDSEVKRLQGLCPLTPEEITLVLKALGFTKDTLIYIASGEIYGGEKRLAVLKDAYPKLVRKEKILSPDELRPFQNHSTQMAALDYMVSLESDIFIPSYDGNMARVVEGHRRYMGFRKTIVLDRKKLVELLDLFQGGALSWDKFSDAVKEAHKSRMGQPTERKVIPGQPKEEDYFYANPQECLGSNGGLRDIS >Sspon.03G0019270-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:79883145:79884654:-1 gene:Sspon.03G0019270-2C transcript:Sspon.03G0019270-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEQGSRQLWLPEPQSRFPASSEFFSSACTLRPGGGLRLERVPVAVSPMETGEEKNSKKARVDPPVAPLVPCLVSIDKFQCPVCTHPLKPPILQCAAGHLACDACHGQLADKERCYRCAQSGGYSRNFPLEDVVRSTKVWCPYRVYGCPSPMMILYEIGDHQPKCPHAPCRCPEPGCAFFSSPAWLSYHLRATHSWPVNIIDYGKACQLQLPASKPRCLLVAMEDGRVFVVSVAARRGVSLVCLRANAAAGPHYTCKMSASGSAAAASGKVPNVSVEMEVPSSAVAGEAAATDTGAKVAAALVVPRKMLHGPSKELHLNVRIDKVQT >Sspon.03G0011510-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:38656024:38665745:1 gene:Sspon.03G0011510-2B transcript:Sspon.03G0011510-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEPQRVHRDADGDLPAAVTAPLLAHPPSPAEPSPATVGSPEITDEEIDAVSAACCRICLESDSEPGDELISPCMCKGTQQFVHRSCLDHWRSVKPQRVHRDADGDLPAAVTAPLLAHPPSPAEPSPATVGSPEITDEEIDAVSAACCRICLESDSEPGDELISPCMCKGTQQFVHRSCLDHWRSVKEGTAFSHCTTCKARFHLRVESLEDDICRRMKFRLFVARDVFAMFLVIQATIAAIGGMAYFLDKDGSFRNKFSDDWERFLSKHPVPFYYCVGVVAFFVLVGFVGLILHLSSYNNNDPCLVGSRDCCFGWGLVDLPASMEACFAFAVIFVIMLAILGVAYGFFAATEYVVEDLPGGYTPPKMDPEHEQRLKLT >Sspon.05G0024250-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:11285964:11293761:1 gene:Sspon.05G0024250-2C transcript:Sspon.05G0024250-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MYTQIRLSSSAMPSTLLRAARRASLASRAAAAAASRATAMASRSARAAGVAAGAARAARLGSGVLCPLKRCPTRGEASMKPHDGAPRRFASTADYAHLPDDAKTPTDMDLESDEALRSLYERWCKAFNKERDHAEMDRRFKLFRYSAKYVHYWNTYVPKDPEEAAIYLGKRREAELLLSKDEDISHFDECYLPIELGAFADGGDPYFNERDMSLLKAIEEPDSMKKVQQRKPFYILEEECQARRFWMYGRMLDSWLPSVELAIDLYIVLNYYITAISHSKQYLNVNVLT >Sspon.03G0026730-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:8222098:8224610:1 gene:Sspon.03G0026730-2C transcript:Sspon.03G0026730-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RPKTLNASVSVGRVRQTESGKPSYGRQPNRSKKKTMASGSAGGGSDFSVVVVGSDFASDAAAALLAPVDREVWHDCLPDLTEADSCFFDLEERQVVRVQGTDRAGRTIVRIVGKFFPASVIDGERLKKYVFYKLRTELPVGPFCILYMHSTVQSDDNNPGMSILRTIYEELPPEYKERLQVFYFLHPGLRSRLAIATLGRLFLSGGLYWKIKYISRLEYLWGDIKKGEVEIPDFVIEHDKVLEHRPLTDYGIEPDPLHLADVPAVGYSLGRYEDKWTPEDRWYSRNYM >Sspon.08G0026680-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8C:31432888:31433201:-1 gene:Sspon.08G0026680-1C transcript:Sspon.08G0026680-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GEASKCVEGCWRRSACRAAVRRPGRSAAAGWSAGCGWEMAPAAPEMFPAAPAGRGRRPWTSADPAGGAWPCTPAEGRRWAPASGVHGRRRQKGKTPPGPRMEK >Sspon.02G0044130-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:103769468:103769873:-1 gene:Sspon.02G0044130-2D transcript:Sspon.02G0044130-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDDGCVTTAAASKCKSLQHAVLLSFVLVRAQRLEQEKSRLSNEKRELERQLAEKTRAAQVSSTQVFTLGHKVRELER >Sspon.07G0020520-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:31248100:31249698:1 gene:Sspon.07G0020520-3D transcript:Sspon.07G0020520-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYWTALASLMGAFAFLQGVVHAMFPAELRAALARLLGRLTRAFSPYCYFDVTEMEGMSTNEIYDAVQLYLSSTAAPASGARLSLSRPLNASSFTFGLAASDRVVDTFAGCAVTWEHVVAPRQGQGFSWRPLPEEKRRFTLRIRRGDRDKLLPAYLDHILASAADIRRRSQDRMLYTNARGGAMDTRGLPWDPVPFKHPSTFDTLAMDPARKAAIMADLRDFADGSAFYERTGRAWKRGYLLYGPPGTGKSSMIAAMANFLGYDVYDLELTEVSSNAELRKLLMKTTSKSIIVIEDIDCSIDLTNRAAKPPKPTKQQLRLPSIDGTMPMVDQDGGAGAGRSITLSGLLNFTDGLWSCCGAERIFVFTTNHIEKLDPALLRSGRMDMHIFMSYCSFPALKILLKNYLGFQGDEELDRLSDSDTMRGLEDWVDAAEITPADVSEVLIKNRRSSKAEAMQELLDVFRARAEKRDRGFSGGAASAGKEAGGGCGENEEEEEEEKRALESPKEGKEQAGIDSCGDGQDEEAEGKKQA >Sspon.07G0003080-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:7577679:7586871:-1 gene:Sspon.07G0003080-1A transcript:Sspon.07G0003080-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRITSGRKKNQKPFDEDASQGSVAAAPARRCAPPPPRPPLPGLASHIDLLLPSRWPEFARPSPSPDSAFERSLELAPCRSPHWIRGPLQSPRRRLRRPHWIRALAGARANLLDHSPPPAAISQPLRGTAALSSPVGRPCPRDEDDALSYPLSLPPSRSDLEWLTFLFLTSSSPAEAATANRCQSSTSCCGTCGKVLDQEVYTDEPTFVKDNTGQSRLAGSILASIESGYSISHQRTLDKGKDEIRQIVNSLNVSGGETIVNKAYRLYELAVDRNFTRGRRTTHVAAACLYIACRQSKKAYLLIDFSDHLQISVCCFSPALPSFATRRSSSCSETCRPSLFIHRFTHRLLGKRDNAVSDTALRITGRKPSGLCGAALYIAALSHGKNYTKADIVSVVHVCEATLTKRLIEFENTDSGSLTIEEFLATADECNEEPVPKHSPKSGEILCKHKDQRDKGFEHFAHGLCEKCYNKFTKLSGGLEGGADPPAFQRAEKKRLEAAKRAEEAAAVKEAALEESLCDTQNSEVESTRTPRKGLSGHKSSTNGSGELINDSVPPKDPEEGGENCEGNADPESLSDIDDVEDYSIAQVDWYLHNEEETQYKKIIWEEMNKEYLEEQAAKEALAAELAARGIVVEEGKKKKRRRHEDTKSSTPAETPAEATYNMLKRKGLGSKINEGAVGELYKTKDEVGSASKEEEMDFDALFGPDNADGETVDDGYNYGGYNDDGAEAYNGIDDDFDF >Sspon.02G0019730-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:63825294:63825583:1 gene:Sspon.02G0019730-1A transcript:Sspon.02G0019730-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFCTRLTQKQAPVENLYPGFCVSSVTSVIHSLLSIKSVNLCFVFALDRLVVLLYPIYMFFPYYRCNIYSAQQCI >Sspon.07G0032400-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:49268160:49281656:1 gene:Sspon.07G0032400-1C transcript:Sspon.07G0032400-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVGFLLLPWCSSPPSSGETEHQAEAAPTGDEEDDHEDLTRVSIKEGLQPRSKRGEHLSKRKGNYTSARFLIVSRPESDPGVCATAVSFDMFMAWANAQATHRRRARMQTRGRKRKRVVDLSSQDDLDDLVDSENEDVMCDHFYDDDEFKDRSCSDDEMLCEDSSSGGSSVRNFQKSLYQEYLKDWKCVNSMRRKLRLALEAESKKKRSAPRSSECFTRQSISKFSTVIFELSEDRKDVIRRYGFGSLLLFDKCFVHKKFSKWLASLVEVKSGDLIVQGKVISLTARSVNEVLGIPVGGIPFPSNYSSGRDYVLSKIGKSSLPQVSFFASKLKAVDLSDEELIIYFLVVALHCFLCPNSNIVPSPRYLGVFEDIEHLQDYDWSGFVLRWLLDGGKNFNKGKKDADKNVGTLGGCMFYLAVIYLDHVDFSSRRLPDSFPRIKVWKQNMIRDFSDLDLKSGSVYGLRPLLDFEKICYHKAMTVDEESIPQASDDGDFCAKLEEACGCKVPECLQAAVLNVIEDHCKSCISPIPIDLVSLAALPADFKKLFSKLMNHVYGLKYNSQELVLKVLREFAVYEGSSDHGTPSPDIGNVSANVTVIPEMPDPEVTVEVVDRGSSFPKDPVVTKVGAKAGVDVASGSCSKRDQVKFDLDVSQKDISQPYDVLSKTARRVDGVGKFPSVAIPVRGRDDVIPVVEDDEMDYDGEPNNSTNASVPVPIVMQTIPFSDDKSPSITPKLGKKLSPVKLLDSSTKSGCSASVPINVSSPEVVVTGSTSLSEKMKSLVKKSKDVYNSNLKKTTSGSISARNSLSKTRGYPWPSPNQFAISSSKSDFKISDSSTGGKVPIHGPQRPVKPNHVISDEFEIERVKFKVNKSQIMNHKAICNLALSRDSGEDAVMSGDVRCTFWSLGESLKPGGKVNNFVMAAWCYHLYSQPNGHPDVSKCHYFFSNIGDQLMKDVESASEDTLTRAFTRSSKTRPLYRSDLLFFPCFYDDHWFVFIVDIKDHAFVFLDSYYVLQSEYQCEVRDKLIPNFKIWWEKIVNHDMGFQMYRVNYPYVPRQSAQNICDSGVYESDVPNLRIKVANDLLFSPKNSGRKDLVTSYKFG >Sspon.05G0004000-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:15766817:15769454:1 gene:Sspon.05G0004000-3D transcript:Sspon.05G0004000-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAAAARQLLPRVAKATAAALVRGPLDSFSSRFRTLEPPLLRPPALFSRYLSETAFDAQALDTRVPATVITGFLGSGKTTLLNHILTSQHGKRIAVIENEFGEVDIDRSLVASHSTVTEDIVMVNNGCLCCTVQGDLVKMLLKLVKQKGDRFDHIVIETTGLAKPGPVIETFCSDELVSKYVKLDGVVTMVDCKHAMKHLNEVKARWVVNEARSSRTNRVILNKVDLVDDAELEALTNKIKFINGMAQMKTAKFGDVDMDFVLGIGGYDLDRIEAEVQLQDSKETDHCHHGDEHGHHHDHVHDSAVTSVSIVSEGLLDLDEVNDWLERLVDEKGEDLYRLKGVISVNESTGRFVFQGVHSMLEGCPAKPREPDEKRISKLVFIGRNLDEAALRKAFNGCLL >Sspon.07G0009510-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7A:26760073:26760282:-1 gene:Sspon.07G0009510-1A transcript:Sspon.07G0009510-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MANKSIREFSAPTTDNIRTGPAGEIDRNFELKPGLINMVQANQFCGKPHEDASAHLQHFLEICSTFTMAE >Sspon.02G0046340-2D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2D:4972871:4973254:-1 gene:Sspon.02G0046340-2D transcript:Sspon.02G0046340-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPPAPPPKPPPPPAPAPLPKPPPAPAPPPKPPPDPPPKPPPLPPPKPPPAPPPKPPPLPPPKPPPLPPPNPPPAPPPAPPPPKPPPLPPPKPPPAPAPPPAPPPRPPPARVFFTDRFPVTAGRR >Sspon.02G0001200-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:4226504:4226848:1 gene:Sspon.02G0001200-1A transcript:Sspon.02G0001200-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATASLSAVAAPLSVAGLRKPIGASSFRPLQARPRAARMTVVRASSVKEKAAAGLTAAALAAALVVPDVAEAAQSLTPSLKNFLLSIVSGGVVLVAIVGAVVAVSNFDPVKRA >Sspon.07G0002010-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7A:4953758:4955097:-1 gene:Sspon.07G0002010-1A transcript:Sspon.07G0002010-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GVSAPLSRGPDPHRLAAAHRRSPLLLRGHTQPLIRPPPPAPHCSPRRRHNLRPAPPAPHHRERSVRCHAAALRAPASPWCYSYPRMAFAKGTSRFKSIL >Sspon.06G0021370-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6B:14448929:14449878:1 gene:Sspon.06G0021370-1B transcript:Sspon.06G0021370-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSAPSPPTGAPPLPPEFYCYECDATVSLPADPVAPSSARRPLCPLCHSDFIEESPGTPSPPPPPPPPPPPLLFSGSSSSSLSDDPDDPDVFDFVMDPDEARAYLSRLVHRHRLQDDDGPLDVAAAAAVSVAEPAAICAICKDDLPLASEARKLPCAHLYHSFCIVTWLQMHNSCPVCRFRIPSSADDEAAPSEQDPPPTTGITIRFTTTTRRRVRVGGDAQLAAPISASPTQLAQAITGDGAGGPANSGETVSSEWPPHPESDTVMSEAREGDAFFD >Sspon.06G0020640-3D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6D:9840181:9840876:1 gene:Sspon.06G0020640-3D transcript:Sspon.06G0020640-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFHLRSVSLPSKRFSNEAEVEAELQSLEAAVSSPSATIEAMCNGLRRLGDVYSHIEEIIHFPSNQACTIQQRKELDEEMESSLELIDLCNAMQENLAELKATIQDLLVVLRRGDDASIQAKIQSYIHLVKNAQKQFKKASKKTTTTTSEKEECKLVRLLLKARLVAASLLDSTLCLVSKQVAMPKRSLVSKALQKRSVVVCKEDQLQALECIVGDLELGAELLFRRMIQSR >Sspon.04G0012790-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:43491029:43494646:-1 gene:Sspon.04G0012790-2B transcript:Sspon.04G0012790-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSALLGGYLTAYPPPPLPPATAAAPSPSARLPAPFPARLRHAPLLVARRRAAGAGAGEALSASAAAEGDDEYEAALQEEGFPSWTGGGEEDDDYDHDPEIGEIMGDYFDDPKKAQTRMEDRMRKKRHKIVQTKTGSPNPMKVVFNKFDFSNSYIWFEFYNALLPKDVTLISDSLRSWHIVGRLGGCNSMNMQLSQLPLDCKRPTYDALEGANVTPTSFYNIGDLEIQDNLARVWVDIGIHEPLLLDILLNALTTISSDHVGIKQVQFGGLEFLNWSEDLKTEEVGYSVCKI >Sspon.02G0045520-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2B:109805406:109806443:1 gene:Sspon.02G0045520-1B transcript:Sspon.02G0045520-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MYNNYGNPPGMHMPPQNSQPVQFDNPLYGASSGLIRSGIGVYGEKFFGSSSEFMQSNINRYFSNPQYYFHVNDQYVRNKLKIILFPFFHRGHWTRISEPVGGRLSYKPPIYDINAPDLYIPFMAFGSFIILSGFTLGFMGKFTPEAINLQFTRALIGWGFQLMLLKGLLYSMGGGEVPLLDLVAYGGYLFAGLSLAVVARLVWAYSYYVMMPWMSLCMGVFLVRTMKRVLFTEMRSSERHSSDSITSFSSWQ >Sspon.03G0036880-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:90042855:90044358:-1 gene:Sspon.03G0036880-2C transcript:Sspon.03G0036880-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding EGALLRLRGGRGAPALLRRRGRPLRALRPRRARRQPPRRQAPPPPAPPARRRLRAQLRHMPGGPRLLLLRGGPRAALPGPATSPYTRPTPSSPRTAGSSSPACRSACSPTPPQPRRTLTRTHPPPPPQRIPSKLPRRPTGRLRPAAAVRRRSTATTTSTGRRARTPPASASASACRTGRSSTSSSAPRRCPDPRSRRSPERPLPSAAHGGRLPRRSPSRAAAALRRACRTGRSTSSLASRSTALVSASLRMARPRPTAGSWGAPTARRPAGRRRTRPKTSLGRCQSSTSGPCRSSRPRPRPRGSTGRTARATARPPPPTPTRPPCSCRTSRPRRTPSGATPPPLASRRRSAPGDVD >Sspon.03G0037880-2P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3C:8330806:8335144:-1 gene:Sspon.03G0037880-2P transcript:Sspon.03G0037880-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding CRSIETGLVFPTATTRTASAARPDRSAATPARASTARSAAAADTAAPSAAGAAIAPPATTNLSFLLLSFDAGVCRLELGVGQAVAMPMRMRLQTCRNGVAAAPTKRVVLRAGHVSLEAPPAATTAVLTAHAGAASDQLCENEEEMDAEGLLASAAINLGLALVALSLFSLLKKQPGNAPVYRPRRMATGDLGAGGGLLPLGHGRLTPSFRWIGAAFRLSEEDVLRRHGLDALVVVRLFKFGRHDQYTILVRGIPACPDHGTYGCYVDHFFSKHYQTYQSYQIVHDIGNIEALQKLASSIEKRIQRKRETRKCNLLGRIWSKFTSEATDIHNHKEKLKNLQETIRLLQCENILKQKEVPVAFVSFKSRLDAAQAAEMQQLVNPLSLVTTYAPEPTDIIWKNLSIPFWRMAMYKLGVFVAAFLLTVFFTIPVTAVQGIVQFEKIKRWFPPARAVQLIILSGSLLDQIGESFEHPKNIPNRLASAVSAQSDFFITYILTNGMSGFSLEVLQFGLLIWQFLKTHSLGHSEEPYLYGFPYFRVVPIVSVAILIGVVYAVVAPLLLPILLIYFLLGYAVYINQMEDVYEITYDTCGQYWPHIHHYIFLSVALMQITMIGLFGLKSKPGASFATIPLLVLNILFNEYCKVRFLPTFSHRPVQVH >Sspon.03G0040350-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3C:28947208:28947417:-1 gene:Sspon.03G0040350-1C transcript:Sspon.03G0040350-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAGLQLAAARLAGQAGQEHVINTPLICLRSPGLGGLPPSRGALWLAGLLAVRDWTAPHRIASHGSRRRR >Sspon.07G0020760-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7C:68236424:68239121:-1 gene:Sspon.07G0020760-2C transcript:Sspon.07G0020760-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VLIVMANISNIPLIVSYLHGTMHMGVKDASTTSTNFFGAICFFSLLGAFISDSYIKRFYTILIFAPIEIMVRLRAPSMPSTLPVASPAAVRHGQPPQPVHCGKRPQFELAHPGPVPDPCRRGLNARMCGSAGRRSVRRREPGELHAKISYFNWFAFSISLGGFVGLVFLVWVQDNEGWGLSFALAALMLFIGMVVVGCGLPFYRHQKPMGSPLTRILQVFVAAFRQRKLSIPENPMEMHELTDSTGKSVEFMERTPDFKFLDTAAVDDGDKRAWSLCTVTQVEEAKIILRMLPIFLSSILGNVSVPLLLSLTVQQGGAMDTRLGATSIPAASLFVVPIVFQMLTLVAYDRAIVPWLHRVTGRAGGVTHLQRVGVGFVFSVMALAVAAVVEGRRRSMAATGAPPVSVFWLIPQFFLLGVMDVTSFVGLLEFFYSEASAGMKSIGGAIVFCILGVSSWLGSFLIQVVNHATAHRGGGHGWLDGANLNASRLDLFYWLLAVFGLVSFFLYLLCSW >Sspon.08G0011260-3D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8D:46355143:46356198:-1 gene:Sspon.08G0011260-3D transcript:Sspon.08G0011260-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLVQKQHFPLSVRPHTTVTLLSLRHQCRLPTIRFSAAAAESASRAAPFAVEDYLVATCHLTPAQALKASKVLSHLKSPSRPDAVLAFLSGLGLSDADIAAAVAYDPKLLCSEVERTLTPRLAELRDLGLSPSQIARLVLVDPARFRRPTVVSKLQYYVPLFGSFENLLHALRSNAYLLSSDLERVVKPNVAFLMECGLDACDIAKLSIPVPRLITTNPERVRAMVERAEAVGVPRGTGMFRHALLAVAFLSEEKIKAKVEFLKTTFRWSDAEVGVAVSKLPLVLKHSKDRLRRMSEFLITKVGLEPEYIAHRPALLTYSLERRLMPRHYVVNYLKENGLLEQDRSYYTAVQ >Sspon.07G0003420-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:7934821:7936672:-1 gene:Sspon.07G0003420-3D transcript:Sspon.07G0003420-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVLSSSRFARALLPSLLDPASRPLSNSTPPCRRPRGAVHTLASSAHGPAADAAAPSSSSPPSLARLLAAALRGGRAGGELPDLAAAATATGGAGIGTLLMSTTAAAVTKARESPYLLALAANPTFVSGLVAWAVAQAAKALLTSVVERRWDLRMLFSSGGMPSSHTALCTALTASVALCHGVSDALFPVCLGFTLIVMYDATGVRRHAGMQAEVLNKIVEDLFEGHPISERKLKELLGHTPSQVFAGAILGILVA >Sspon.01G0047050-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:89584241:89587268:-1 gene:Sspon.01G0047050-3D transcript:Sspon.01G0047050-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homologous-pairing protein 2 homolog [Source:Projected from Arabidopsis thaliana (AT1G13330) UniProtKB/Swiss-Prot;Acc:Q9FX64] MPPKSDSVEGIVLGFVNEQNRPLNSQNAADALQKFNLKKTAVQKALDALADSGQISFKEYGKQKIYIARQDQFEIPNSEELEEMKKRNAKLQEELADQKKAISEVESEVRGLQSNLTLAEITSKETKLQSEVGPIFVQVQEMEEKLNKLRSGVTLVKPEDRKIIENSFAEKVNQWKKRKRMFKELWDNITENSPKDQKEFKEELGLEYDEDVDVNLQSYSDMLASLNKRRKISR >Sspon.05G0004210-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:12655156:12662680:-1 gene:Sspon.05G0004210-1A transcript:Sspon.05G0004210-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LMASEAIYGPDLVVLVVFKNKGGLRYFQIYIRYDVSDVIKVSAKLSSANMMDALMVQDMMMDQTSSILVLTCLLPPSYPNKDSPYFTITVKWMDEAQVSQLCEMLDNIWAELQGQEVVYQWVEWLRDSSRSHLWFDDKIRLGPDIVIHYHDNRAVSRTNSLESLIPLMMTYSSKKRYQAFLEDLHMCMICLNQSKGMLFPTNPGGSNFIKISCQHLFCAKCMETLCRMHVKEGTVFQLVCPDIKCNASIPPYVLKRLLTVEEFERWDRLVLKKALDSMSDVVYCPRCGIGCLEDESNNAQCPKCSFIFCSSCKEPCHPGKQCITPEDMIKRQQKATKCRVATVVSCSVSDAAGRSMAMTTSGSHQIINDGAVAYVLCMIDLTKYSKIGPFVCSLSYYSDVTPFERHMEEVQIGRRAKVQLSLIGSTIRCPKCRQRNFKDNEEYIFCWACWIHYCSLCRMRVEDKYMKSGHYGSSECGAWKLLALDLPQFFIII >Sspon.01G0023520-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1C:83054119:83054961:-1 gene:Sspon.01G0023520-2C transcript:Sspon.01G0023520-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGQVMEAQAARLQPPTMSPFAPLPHNTCKHDVHHHHLTATTTTMAATSGGTTNNVTTGAAADMAAYLQQLQDAEAANKSNGGTAARGEQCPRCASHDTKFCYYNNYNTSQPRHFCRACRRYWTLGGSLRNVPIGGSTRKRPRLAHHNHHQHQHQHASRRAPAAHAFGLGLPPPMMPPLQPSSSSSQGQGGGLLGSLFALGGAGSSSFDFDLGLGLPTTGPLHLGAGAGEAAAVQMQGLGLRGGGGSAAGSSSSFLWPAGLLLDNDSVDTWKMPPGAGAGA >Sspon.08G0008870-2T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:34029622:34031805:1 gene:Sspon.08G0008870-2T transcript:Sspon.08G0008870-2T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGPYALRLSPPPRLHAMLFPQLRPHLRCRVSARVAAGGTSWSPAAGDSEDGVGGWWFPEYEKPMKQGRRRIGFGRAVVVGLGASVAIALAGLAWRFPSSRKRIQQLIVAPLHYVQEKMSTMESTETIKEDASDREYDEIEVSSAAHDKKAEAITDDSRQNCRTATASHFPFGVPTDPVHEEAFSILKKLQL >Sspon.01G0021840-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:83558898:83562422:1 gene:Sspon.01G0021840-2B transcript:Sspon.01G0021840-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATATAMAIATISPSAPIPSAPFPSLSLSLSLRLRPQPLLLFAASRRLLLPVPKASSWDDSVAEEGGDAEESAAAGGEDDDEEDEKPRPEPVSSSEFQFAAPPEGYVEPAAFDELPPESPEDVAAAYESLYGPAFSGESLLGNNVYEVKVVDPMDMDREQRPNDDFTERVVQVNRVTKVVKGGRQLSYRAIVVVGDMKGHVGVGVGKAKEVTEAITKAAMNGRRNLVTVPLTKYSTFPHRADADYGAARVMLRPACPGSGVIAGGAVRVVLEMAGVENALGKQLRSKNPLNNARATIKATQMMKQFKDVAEERGVPMEELWK >Sspon.03G0045050-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:7093522:7095438:1 gene:Sspon.03G0045050-1D transcript:Sspon.03G0045050-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGSESVATGVYSSSVDPPAAATVASWPGGQAGATSSRVVPMSGAPGDGLPNWFQGAASDALGSDWLSSDIAAAHDEEEAVLPQVQTTPSARRRGGGRTAVKGSMRFRYRGLQNEDNLSIMFEDLRNTGDDHWGPSSGVAPSEAIPVDDEDEGENGDGSDPDDMTPSARPKRGKAQVNAKGKKTKTSGGQWFQEQMGKLVEMNERTTASYMDDNGSDDDELGDNERKIKALRVLQSAQNNIICTALLLN >Sspon.02G0054180-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:110517425:110517975:-1 gene:Sspon.02G0054180-1C transcript:Sspon.02G0054180-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTECRSVQLRIHKPTQTPHNQNQIQIRINRSQTRRRVSHTNPPPSDDARPPAAGSGPELVRRNGPPAAAAAAKKRRSFPAAALGVALALSRSSFALILCPTPFLTPLLARHRHSSGVHEAALCSGPFDLEKKDPLLPSPRLAKRYTSTSSVSFPPLPE >Sspon.05G0020680-4P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:79366842:79382672:1 gene:Sspon.05G0020680-4P transcript:Sspon.05G0020680-4P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPVAVVLLLFLFLQLASLYPNSVILADAVLGRKAGLLVIDADEPAESINAPAAAAGPGRYAVIFDAGSTGTRMHVFRFDNKMDLVGIGHSIEFFAKVKPGLSSYAGQPQEAANSILPLVKKAKRVVPSQLMEKTPLKLGATAGLRLIGDEQADKILEAVRDLVHTKSQFQYNPDWINVIEGYQEGSYLWVALNYLLDKLGGDYSQTVGVVDMGGGSLQMSYAISANDAAKAPKVPDGNDRYVTKEYLKGKNYNIYAHSYLHYGAFAARVKILNAKCGQFSSCMLRGFKGNYTYNGQQYDATASPEGAVYEKCREEITKALNLSAPCNTKSCTFNGVWNGGGGAGQDNLYLASSFHFLAAQVGIIDGKFPSAKSTPSAFRVVAKKVCQMSVKEAKAAYPSVQDIHVPYLCMDLTYQYTLLVDGFGLKSIKKVTLVSKIKPGLSSFAGKLQEAADSILPLLEQAKKIVPLWLQKNTPLKLGATAGLRLIGDEKAEEILEAVRDLVHSKSKFQYNPKWITVLEGTQEGSYLWVALNYLLGKLGGDYSKTVGVIDLGGGSVQMAYAISTSAAANAPDVPDGKDPYITKEYLKGKDYNLYVHSYLYYGMSAVRVEILKAKNGTFSHCVLRGFSGTYSYNGKDYDATASPEGAVYDKCREEIIKALNLSAPCKAKNCTFNGVWNGGGGAGQDDLYVASFFFDKASQVGFIDSEAPSVKSTPSAFKAAAEKVCLLSADEAKAGYPDLYDVPYICMDLIYQYTLLVDGFGLAPTREITLVSRVKYGEFYVEAAWPLGTAIEAVAPKKMNQDA >Sspon.03G0012730-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:51899518:51903806:1 gene:Sspon.03G0012730-3C transcript:Sspon.03G0012730-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEQSGKTTAKRLSQRFKTLVLEMDHNRRAQNAWDMLSDEQSQKHITTGSGVLNDILGGGIHCKEVTEIGGVPGVGKTQLGIQLAINVQIPVEYGGLGGKAVYIDTEGSFMVERVYQIAEGCIRDILEHFPHSHEKSSSGQKQLQPEHFLADIYYFRICSYTEQIAAINYLEKFLGEHKDVRIVVIDSVTFHFRQDFEDLALRTRVLSGLSLKLMKIAKTYNLAVVLLNQVTTKFTEGSFQLTLALGDSWSHSCTNRVILYWNENERYACLDKSPSLPVASAPYAVTGKGIRDVVSSNHKRARSGKIREL >Sspon.04G0031100-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:7085582:7088576:1 gene:Sspon.04G0031100-2D transcript:Sspon.04G0031100-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Autophagy-related protein 18b [Source:Projected from Arabidopsis thaliana (AT4G30510) UniProtKB/Swiss-Prot;Acc:Q8H1Q8] MASSSSPSQIICVSFNQDNSMFSVGTKDGFKIFDARNGRLCYEKNLGGFNIVEMLFGTNLLAIVGTGEQPAMSPRRLCLFNTKTGASKRDLNFKTSILAVRLSRKRLVVVLHDRTFVYDLNSTTILDEIETVPNTKGLCAFAPNSEECYLALPASTSKGSALVYKASKPELICQIDAHQAPLAAMVFSLNGLYLATASEKGTMIRVHLVAQATKSHSFRRGTYPSTIYSLTFSPSVDVPDVLVATSSSGSLHMFFLDASRNARRQANTLLSSVIPGSVTDALDPANHHVIHHVVTADIKSCLAVHSVENSQNSSKFPALKTVIYIVTHDGYFREYLINTTKSNESSWFLVREFNLLDSCSGSPKQNEQHID >Sspon.02G0042120-2D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2D:75659461:75659730:-1 gene:Sspon.02G0042120-2D transcript:Sspon.02G0042120-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLLPRTPSRAAWLPSATLHPAPFPLHHRATLHPPPRHAPAVAHHTPSPARARCYRGATDAAVPHQKVVATVDVMAAAMRNLSKEMLR >Sspon.06G0028980-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6C:12729338:12733424:1 gene:Sspon.06G0028980-1C transcript:Sspon.06G0028980-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFGYSFSYRKGPSLSGLIRESIPVVCPAHPIFVAIAVCPCPLGRESTCVCSCAAAVAAWRRHYPLFWALRPPVPPPPPLPQPPTRFFAASSSRRSTKRSAAKTPMDSAPAGEPFYVVRKGDVIGIYKTLSECQAQVGNSVRDPSVTVFKGYALRKDTEEYLAARGLRNALFAIDAADARDELFDDLVPCPFQETGPSKKHPKVDEQELPDSHLSCILEFDGASKGNPGKAGAGAIIRRVDGSVIAQLREGLGIATNNAAEYRALILGLTYAAKKGFKYIRAQGDSKLVCNQVQDLWRAKNENMAGLCKKVKELKGTFHLFQIRHVLREYNSAADAQANFAVELPGEAK >Sspon.03G0002670-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:14628292:14629280:1 gene:Sspon.03G0002670-2D transcript:Sspon.03G0002670-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GTTMAERRIGVAMDFSPSSKKALRWATDNLVRKGDTLVLLHIRHHGKDEAKNVLWSRTMAALIPLEELMDPPVRQRYDMPEDPEVFDMLNAVARDEQLCVVIKMYWGDPREKVCDAVEELHLESLVMGSRGLGQTCRILLGSVTNYVLSNASCPVTVVK >Sspon.05G0037690-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:19714454:19715550:1 gene:Sspon.05G0037690-1D transcript:Sspon.05G0037690-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AFVAGARAPVATVLARVSARYRRVVVLYDRLSSFAAPEAARISNGEAFCLQCVASSYDAAWTDAGQRLLRARGLDTPHPVACMPREFVEYIVGTQEDGQSPAFAGVVANTCRAIESDFIDLVAGDPAYRGKRVFAVGPMNPLLDVTAPAAGQSARHECLDWLDKQPPASVLYVSFGTTSSLPAEQIAELAAALRDSKQRFVWVLRDADRGVESRHARFLSEFTEETGGIGLVITGWAPQLEILAHGATAAFMSHCGWNSTMESLSHGKPMLAWPMHSDQPLVAELVCKFLKAGILVRSWEQHGAVTPAEAIREVIEKVMARDEGLAVRDRAKELMESIRASLAEGGSSRKDLDDFVAYIAR >Sspon.04G0020110-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4A:70805387:70806512:-1 gene:Sspon.04G0020110-1A transcript:Sspon.04G0020110-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVDETTPRSPAAAQATMLVPTASGPCLSSPAPPTPTSTTAPPHLIRLPPLTTHPRARRCRCRCRARPPRAGPAPTPPPPPAPARSSRPARDRVIEFGKHRGQMLGTLPPSYLRWVAAELDYGDTAPWADLARDVLDDPIYVDRVEWEHAHRFLRGDADGYDYAFDDGGDGPLQEMAERFGWDLSDEEGWGRLDFRLLGTSYGGRIPRKGARKSNSSTGGAKKGSLFDAPDGAGGKRDERRERVRMRREGQVRTAKMDMLGVNAGVADGGVLGSSSARKQAQIRTAKKEILGLGRGSRDGEMLDEKRASGKGGKGANPFPGRQAFLDKVRKLK >Sspon.02G0013450-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:35770158:35772524:-1 gene:Sspon.02G0013450-1A transcript:Sspon.02G0013450-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASWLLLPLPSFPWPPPPPPGSSSGRGGGGDGGGDGDWRPNVVAAFAGAQLGRSLRRRFACLLRSPEVRHLDALPKMGDFWFEGSEPFATFPILGAIGNVLSAPYVCSSALFSGNGSGGRYISNGKLLSRRPRGIDSKKRLWTNVLLVLNVLAYVAQVASQGKLLMWGAKINSLIDRGQFWRLATSSLLHADLTHLALTSYLLAVAIMMQFNCFSLNSIGPMVEMLTGPRRFLAVYFSSALAGSLMSYRCSASPAVGASGAIFGLVGAHAVYMWRHRRFFGNSRESLEHIGRVVVLNMGMGLLSRGIDNWGHLGGLLGGVAMAWFLGPAWQYQYVAKNGRAVFKDRAPVLRLIKG >Sspon.02G0013080-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:28594250:28595368:-1 gene:Sspon.02G0013080-3D transcript:Sspon.02G0013080-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding PMNFHTASFYASAAISTAPKIPAGLASGRFACPNQRSLDTRTFRSPASLLATTPTRCTLANALDPSSDIVLDTPFFRIYSDRRIDRLDGTDTVPAGFDPSTGVTSKDIVIDSDTGLYVRLYLPSTATGSDDSKKLPVIVYFHGGGFVSHSAASPPYQPFLNTLAAKAGLLIVSVNYRLAPEHPLPAGYEDSFRALKWAASGSGDPWLSRHGDLGRIFLAGDSAGGNFVHNVAMMAATSEVRIEGAVLLQPGFAGKERIDGEKPESVALTEKLWGIVCPEATDGVDDPRMNPLAAAAPSLRSLPCERVLVCAGELDFMRARNRAYYEALAASGWGGTVEWFESKGKEHVFFLHNPGCGEAVELIDRLGAFFAGN >Sspon.01G0032290-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1A:109481674:109482153:1 gene:Sspon.01G0032290-1A transcript:Sspon.01G0032290-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSALTNPLGAWPGTPRSSTKRARGPLARVPGDERVHDDGAPARRSVRPTSTGGGRPYLLGAQIPRNGDFNFNLEEINFCVDDEYMLRADESEQGRRGLQQPISEPKHDVEFNRLPCGPVVPVVAEASSVSYVLAGLARTSCRVCILVKAARKFLCIKV >Sspon.01G0033050-1P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:113896363:113897995:1 gene:Sspon.01G0033050-1P transcript:Sspon.01G0033050-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding EVIEKLKTTCGGGVCAEEKTAAAVATEEPAPAAEQQPQPQPQPKPAGAARRAGPSAPANPFDFSTMMNLLNDPSIKEMAEQIAKDPAFTEMAEQLQKTVVSPRQQAPAAQLDPQKYVATMQQLMQNPQFVAMAERLGSALMQDPAVSTMLGGLTNPAHKEQLEARVARMKDDPDLKPILDEIESGGPAAMMKYWNDPEALQKFGRAMGVGGGPSGEAGGAEHAEAEDDAGEEGEYEDESIVHHTASVGDVEGLKKALEEGADKDEEDSEGRRGLHFACGYGELQCAQALLEAGAAVDAVDKNKNTALHYAAGYGRKDCVALLLESGAAVTLQNLDGKTPIDVAKLNNQDDVLKLLEKHAFV >Sspon.03G0015160-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:72030565:72033290:-1 gene:Sspon.03G0015160-2B transcript:Sspon.03G0015160-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLEDDDEFEEFEIDQGMLTHTRAHWQHRIPRWGKRALPLYLLRQTFSCSSVSSCVAAATAISWTVWLVRRPPRSVPAPLSGPLGLPAAWTEASCATVVAARAAAGAELARGGTKLACTMAGAELAQRRPLALALARELSPVVTAVVAAGRVGSAFSAELGTMWVSEQTTPCASSAPTRRLPCGPSRARLRACAPGLDTDQLRARSRVLGVPGRFCLCRQRQHHFGVRVQGAAAMGLDQFLAESSSIWCHYRGGELCLGSHNPSRSQGRWRVYNYNICRGCFLDWNLRCRLCRGHGCPLRELARAMSRAELARRLPCPLAAPRPEPILAVAILFGTELARRHPRPFAAPRPEPTLAVAVAAAAFFGTKLASAMAGAELARQRPCPLAAPWPEPTLAVAVAVADGEAQARQEAGTQRRRQRVNAQWDDKEEGNEALQQWEDDWDDDDVNDDFSLQLRKELESNASKN >Sspon.06G0016830-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:91394162:91399414:-1 gene:Sspon.06G0016830-1P transcript:Sspon.06G0016830-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLRRLSLHLLQPAAPPAPGDQLALAACAGARARRVEGGADVAAALAAYLRGRHRATQMRLFDFFRARPDLQTPLRALVREAGVRPLTLMVTDPAEYFAVMEAAGGADISLGVKLGVQYSLWGGSVINLGTKKHRDKYFDGIDNLDYPGCFAMTELHHGSNVQALQTTATFDPVTDEFIINTPNDGAIKWWIGNAAVHGKFATVFARLILPLQGKGGQPADMGIHAFIVPIRDLETHAVLPGIEINDCGHKIGLNGVDNGALRFRSVRIPRDNLLNRFGDVSRDGKYTSSLPTINKRFAATLGELVGGRVGIAYCSVGVLKVAVTIAVRYALLRHQFGPPKQPEISVLDYQSHQNKLMPMLASSYAFHFATVMLVDKYSEMKKTNDEDLIADVHVLSSGLKAYITSYTAKSISICREACGGHGYAAVAGDLLKQYQEKFKGGTLSVTWNYLRDSMGTYLSQPNPVTARWEGEDHLRDPNFQLDAFRYRTSRLLHSVAARLQKHSKTLGGFGAWNRCLNHLLTLAESHIESVILAKFIESVKSCPDEKTREALKLVCDLYALDRIWKDIGTYRNVDYVAPNKAKAIHKLTDYLSYQVRLVARELIDAFDLPDLIIRAPIGMQSEAYAQYTQYGLAYLVGRKRFCHGKPVPAEDKYGRGDGLAGREGDLALAPASVLGWLQETLLEPCIEGLDIRFVSSDPVSEAGGEAVHLIPQMHCCLAAVLTLMQR >Sspon.07G0008030-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:21776992:21778929:1 gene:Sspon.07G0008030-1A transcript:Sspon.07G0008030-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LACMSTTAANDFCDRLASVGFTTNLISYLTLQLHLPLVQASNIITNYNGTANLTPLIGGLIADSFAGRFWTITFGSIIYQLGMVCLTLSAALPSLHPPPCAKHAADCQRASSYQISVLYLSLLCASIGTGGTRPCTMAFGADQLELNAHRHRGAKPKWSFFNLYFFAVELAKLAAVTAVVYIQDNVGWGWGIGVPTVAMVAAVITFVSGYSLYIRMPPGGSPLVRLAQVATAAYRKRKAVVPDPSLLYEDKELDADISTTGRLLHTDQLKFFDKAAIVTDGDVLPSGQPKLWRLSTVHRVEELKSIIRMLPICAAGIILVTSASHNHSFAIQQARTMDRDITPHFKIPPASMLIFTNLGMLLTLAFYDRVLVRVLRRFTGRPNGITHLQRTGVGLTIAMLSNVVAAVVERRRKSVAAASGLLDAPKATLPMSVFWLVPQYAIHGIANAFMDVGRMEFLYDQAPESMRSTATALYWLTFSIGSYLGTLLVTIVHDKTRRSGQWLPDNLNRGKLDNYYWLVVALEVVNLVYFFVCVKYYTFKPLEMVSEEEAVELDHHGSGTDDAKKQGASFK >Sspon.05G0033030-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:5C:25971719:25972072:1 gene:Sspon.05G0033030-1C transcript:Sspon.05G0033030-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPWHHMERLANRQQQSIMSHTILHTVASRVAQETAEAVAEAGGGGSRARGPSRPPPPLVSILRGQSPAFFASFRLLPADKRHGVTGSRNSGITDHHVVQATSVQDDHGASTDPTTL >Sspon.01G0061820-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:98056131:98060307:1 gene:Sspon.01G0061820-1D transcript:Sspon.01G0061820-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHDAQKIHDNRNLIGGARALFKAGLTNERKEGGGRGGAEELRRAVGFDFGRTTTATRTDRLVVGFYPSIGTLLPHLSTRCYCCMWGRHAHIASRTHEGTTSVYAYFPVWVTHLVVEVMRQPTWLGWAPRSRFAFKHVAASPSSLSVLCGEATYCG >Sspon.02G0005600-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:17739929:17742889:1 gene:Sspon.02G0005600-1A transcript:Sspon.02G0005600-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPAANGGAAREDDPTGLGEPLLTNVDDAGGFHPETLATVVVATTAPNGNAKVMKGSMKAEAKDDDRYWVDVHREVTTAADLESGGDGGRPLMFREKKVKPALLYPYRSLILTRLIAVILFIGWRIKHNNSDVMWFWVTSVVADVWFAFSWLLYQMPKFNPIKRSPDLDALRQYYDLPDGGSILPAIDVFVTTADPIDEPVLYTMNSVLSILAVDYPIDRYACYLSDDSGTLIEYDALVETASFAALWVPFCRKHSIELRAPESYFQQEGMIYTGKSPNEFINDYRHVHVEYQRYKARLEMLTSTIRERSDFYNSIKITERGCKGNLDGEWDTVARNMVVLQHPNGSKAQHDSNVNPLNFDGIDARLPMLVYMARGKSPCYDHNKKAGNLNAQLRVSALLSNAPFVINFDCDHYINDSRALRAAMCFMLDSREGDNTAFVQFPQRFENVDPTDRYGNHNRVFFDGAMYALNGIQGPSYLGTGCMFRRLALYGIDPPRWRPDDILVDSSKFGNSIPFLNSVLESLKQESRISPLNLDDSFIAEMMLVISSSFDIETDWGRGVGYIYEMATEDMVTGFRIHKQGWHSMYCTMDVDTFRGTAPINLTERLYQIVRWAGGSVEMFFSHNNPLLAGCRLHPMQQTVYLNYNIYPVTSLFLLLYALCPVMWLLPEEILIQRPFTRYVVFLIIIIALIHTIGIMEIKWAGTKWLDWWRNEQFFMIASLSAYPTALLHIVVKLLTRGKGIRFRVTSKQTKVEDNEDKYAEMYEMRWVPMLIPAMVVLFSNTMAIGVAIGKAIVYGRVWPTTQRLHAMLGLLFNVWLMILLQPFALAVIGRWSKKPSILFILFPAAFVVFALVYICIHVVVVNFFPSMEI >Sspon.07G0027330-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7B:59545176:59545610:1 gene:Sspon.07G0027330-1B transcript:Sspon.07G0027330-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRLLEKGRCHNRGEQGRHAPAGRGRKAAVDPGRGSAPPDLPPAAGGRAAAQGLPCPPMPEPPSVAGRSIDAIDDRGDEPCGGSTRGGGGLTAVTAFAVEPHRRRAASARGEPLAAGSARGGPPNALTWPRHRRWGQGEAHRSR >Sspon.02G0020260-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2A:66354190:66354585:1 gene:Sspon.02G0020260-1A transcript:Sspon.02G0020260-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPLLTPFSSVACLVLFFLSSSVGHDYTSLLVAMLCPVRPASEGWWCGRARVIRLNMVRARPHRGERVSSIMTRARPRPGRPSTCGQPRLAPMGGAGRAPAGARTRDNGRGEGIMNWGQDTDKRMLEAGA >Sspon.02G0030560-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:105971921:105978901:1 gene:Sspon.02G0030560-2B transcript:Sspon.02G0030560-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding PTTACLRFCLCACLGVGEPPHSPRRSAACFVAALPASGRAHPRGRHRRGGPSPVTRFGAGGCSRRCRRLLSLLPDPSPCPRQVPDPSPRHELRQAASDVPGSPVCRRLHARGAQRAPPPAGVVNQRLDGKRTFSIKEKFVAEAEENLDALSKIQRQGSGSDLPQVGEFVPFPVTRRANSYSIMMEADMENGRSYQERPRTFSTVRSKSSIPLAFRLLMRINPRALIILSLLVFSGVLYVGASTSPIIIFVFCICTLSLFFSLYLTKWVLAKDEGPPEMSEISDAIRDGAEGFFRTQYGTISKMAFILAFVILGIYLFRTTTPQQEASGLGRATLAYITVASFLLGALCSGIAGFVGMWVSVRANVRVSSAARRSAREALQIAVRAGGFSAIVVVCMAVFGVAILYSTFYVWLGVDSPGSMKVTDLPLLLVGYGFGASFVALFAQLGGGIYTKAADVGADLVGKVEQGIPEDDPRNPAVIADLVGDNVGDCAARGADLFESIAAEIISAMILGGTMAQRCKIEGKSLLFTLYLQVVADILGIINPSGFILFPLVVHSFDLVVSSVGILSIRGTRDSGLISPIEDPMAIMQKGYSVTIMLAVLTFGASTRWLLYTEQAPSAWLNFALCGLVGIITAYAFVWISKYYTDYKHEPVRLLALSSSTGHGTNIIAGVSLGMESTALPVLVISVAIISAYWLGQTSGLVDESGNPTGGLFGTAVATMGMLSTAGYVLTMDMFGPIADNAGGIVEMSQQPESVREITDVLDAVGNTTKATTKGFAIGSAALASFLLFSAYMDEVASFAQLPFKEVDIAVPEIFVGGLLGSMLIFLFSAWACSAVGKTAQEVVNEVRRQFIERPGIMDYKEKPDYGRCVAIVASASLREMIKPGALAIISPMAVGVVFRILGHYTGQPLLGAKVVASLLMFATVSGILMALFLNTAGGAWDNAKKYIETGALGGKGSESHKAAVTGDTVGDPFKDTAGPSLHVLIKMLATITLVMAPIFL >Sspon.07G0004150-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:10170613:10173490:-1 gene:Sspon.07G0004150-1A transcript:Sspon.07G0004150-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSSVFRRVNVKELISNASVYASATESSGGMSLVFRRWATKKTAGSTKNGRDSNPKYLGVKKFGGEKVEPGNIIVRQRGTRFHPGNYVGMGKDHTLFCLKEGHVRFERNKLTGRKWVHVDPVAGHDLHPVYTSGSTTAVDLEAQL >Sspon.02G0023890-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2A:81561093:81561428:-1 gene:Sspon.02G0023890-1A transcript:Sspon.02G0023890-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGFTKTLGVALRNRNRTGRWYAKPLKSLRARGPRGPSLQLQSEARVRDPGCQRNAYGSHEGRPATASSRPATGIVGASSVSATPATAHSHKPTQLTPSCSKSPLLRTAHV >Sspon.05G0009260-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:26804339:26807025:-1 gene:Sspon.05G0009260-1A transcript:Sspon.05G0009260-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAATCLPLFLLVSLLIAASTSPSSAAETPQELLLDFKASLQDPSGALSSWSRATPYCNWPHVTCTSATAAANATVSVSLSLEGLGLSGELSAASLCRVPGLVALSLASNGFNQTIPLELSRCASLAALNLSAGAFWGPLPEQLALLTSLVSLDLSRNSIEGQVPAGLAMLGGGLQVLDLGGNLLSGVLHPALLGNLTGLHLLDLSRNQFLESELPREIGGMSSLRWLFLQGSGFSGVIPESFLGLEQLELLDLSMNNLAGVVPPGFGAKFQKLMSLDLSQNGLSGPFPEEIARCTMLQRFEVHNNAFSGELPAGLWSLPDLCVIRAQNNRFTGRLPEFPGGQSRLEQVQVDNNSFSGGIPQSIGLVRTMYRFSASLNALNGSLPDNLCDSPAMSIINISRNALSGDPGNCRRLVSLYLAGNGFTGPIPASLGDLQVLTYIDLSSNALTGGIPTELQNLKLALLNVSYNQLSGRVPLSLISELPAVFLQGNPGLCGPGLPKDCDAPSRKRHQGLAVAATVASFLTARGAARRRSIRGLQESSPWKLVLFHPVRITGEELLAGFHDKNIIGRGAFGRVYLIELQDGQNVAVKRLLNSSGKLTFRVAKNEMKALAKIRHKNIAKMLGFFYSEGEQNCTKKPTHLMDVYSFGVILLELVTGKPAEQPVSDDDSLDIVKWVRRRANVTDGVSQILDPSIASAAAQKGMQATLELALRCTSVMPGQRPAMDEVARSLQALCFSVHPQTPLLS >Sspon.02G0002860-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:9765958:9769535:1 gene:Sspon.02G0002860-1A transcript:Sspon.02G0002860-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVDGEAQPFRLFSKRGKSKPKLKLEPEVQPQPEPDPTSPDPAAAAAAEADHPPSPTIVTETGQADDAEAAAGAAPATFADLGLSQWLVDACDALGMRRPTAVQRRCIPRALAGEDVLGIAETGSGKTAAFALPILHRLGEDPYGVAALALAPTRELAAQLAEQFRALGAPLGLRCLAAIGGFDSLAQAKGLSRRPHVVVATPGRIATLVKYDPDLAKVFARTKFLVLDEADRVLDVNFEEELRVIFGCLPKKRQTFLFSATMSDNLRSLLELSGNKSYFFEAYEGFKTVETLKQQYIHVPPEGKELHLWYLLSVMKGKKEDPIRSAIVFVSTCKVCQYLDLLLEELGYAAVALNSHKSQAQRLLALNRFKSGQVPVLLATDVGSRGLDIQTVDLVINYDMPMSPRDYIHRVGRTARASRGGLAISFVTQKDICLLHEIEDIVGKQLEAYECSDKEVTKDITKVFKARRLAKMRTRDEGHDEKVEARKEQKKRDRARKRKHED >Sspon.03G0020470-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:86271808:86276213:-1 gene:Sspon.03G0020470-2B transcript:Sspon.03G0020470-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PGGT-I [Source:Projected from Arabidopsis thaliana (AT2G39550) UniProtKB/TrEMBL;Acc:A0A178VS16] MGKRDFERLHHVAFFDAMATELPDEYASQEVNHLTLAYFAVGGLSLLRELDRINKDKIAKWVLSFQVHPEANDDKGVGLFYGFCGSRSTQFPLPNVKDPCCDVSHLASTYSALAILKIIGYDLANIDCKALLLSLKKLQQPDGSFMPTHIGAETDLRFVYCAAAICSMLDDWTGMDKLKAKEYILNCQSYDGGFGMVPGSESHGGGTFCAVAALHLMGFFQVDWASNLRDSTSIDICMLLEWCLQRQVTNGGFQGRRNKPSDTCYAFWVGGVLKILGAYHLIDSCALRGFLLTCQSPYGGFTKFPDDRIPDIYHSYYGLAALSLLEEEGLEPLCVELGILSAAL >Sspon.05G0007080-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:22187285:22192449:1 gene:Sspon.05G0007080-1A transcript:Sspon.05G0007080-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHVVGGKFKLGKKIGSGSFGELYLAVNVQNGEEVAVKLESVKSRHPQLHYESKLYMLLQGGTGIPHLKWFGVEGEYNVMVIDLLGPSLEDLFNYCSRKFSLKTVLMLADQMVFVIDYGLAKKYRDLQTHKHIPYRENKNLTGTARYASVNTHLGVGYQLDYVFDWTIMKYPQFRDKSKLQLKLCLEELDLVLVTIENTPSTEVF >Sspon.08G0001960-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:3737844:3742854:1 gene:Sspon.08G0001960-4D transcript:Sspon.08G0001960-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTPVVALALAAILSTPAPQADTFSNVPPTLSGGDGKQERIKHPRSAKALQCTTKCVGTCIRGGGGAPGEGPLNVRRPLVVFKDGFRSRQYWWIFNGTRQDVKAENQLRHSNDIHLASNDTGQSGCCFLKFETPMILGYKLTFPDILEEVAKPRIKWDDAERTGMSMEQRNSYIWSEFAGVAGASGRRRGEVLEAVLDEPPLVPLLDELKVQGDHLLLQLRDRGLQDADVGEQLLRLHRRDQNRTRTRPMSATAAGEARPGQTNRGRTYVGGEVGHVGERDGGAAQGALEDGVQRRLLLQRLAQPLHLRGRACIPCQGVSESRHGWRERGSVTHVLLQLRPAGGPRGLRLRLRGEPPPRLGQRQRHGGVVPASPSRMSPVSGPRRRGRSEERRHRRRRQQEQGEGRRQAMRLASGEATGREIFAWFDCLVRSFKF >Sspon.04G0028730-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:7925296:7926618:1 gene:Sspon.04G0028730-1P transcript:Sspon.04G0028730-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAVASNLPAAAPSAVPVTYGWLSPRVSFSRDATAAADLVVVDPVAMAVAATTPEPAISKDFIDFEFSLGGSATMLPADELFADGKLLPLRKAAAAPPLPNPETAAAAPPTALLPEEAMAMPAPSTEPIIKPLRAAAAAVAAADGTDPYAFSPKAPSCSSRWRELLGLKRAAAAAQSPSAKASASPSQSPAAAKTPAARATNSAAARSLKLLLQRNTTGRASGAAASDLASAPLLLRDSSDSEASLSLASSRFSLSSSSSSSGHEHDDVPRLSLDSAADPNPPRIRLVRRSSHSQSHHRHSTSSTSTRAGRSPARRRPSPPPPPRCLSVDSPRMNSSGKIVFQELERSSSSPCSFHAASKSRSRAAVDRSYSSGVRVAPVVLNVPVCSRPVFGFFKDKKDSGAAKDAAAAAAAAAAARSRSSLGRKAQAWSGELARSSG >Sspon.02G0034430-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:16265362:16267159:1 gene:Sspon.02G0034430-2C transcript:Sspon.02G0034430-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MESDASTSPRRRSTSCYSDSGDSSCSEPFSECGSDDLSFTPAAAAGIHRLLLSCAAEASEDAISSLVAELECPSPSLDSLRRAAMELRLLAKHNPDNRVRIAAAGGVRPLVKLLSHADPLLQEHGVTALLNLSICDENKAIIVEAGAIRPLVHALKSAASPAARENAACALLRLSQLDGASAAAIGRAGAVPLLVSLLETGGARGKKDAATALYALCSGARENRQRAVEAGAVRPLLDLMADPESGMVDKAAYVLHSLVSSGEGRAAAVEEGGIPVLVEMVEVGTSRQKEIATLSLLQICEDNAVYRTMVAREGAIPPLVALSQSSSARPKLKTKAESLIEMLRQPRSPSLRARPAAVVAAE >Sspon.07G0031310-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7C:21475734:21476050:-1 gene:Sspon.07G0031310-1C transcript:Sspon.07G0031310-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRADLRTRRPDPAPPRGRRAPRRAAAGRAWRATAAPSSSAAAHCGRTAPQHPPLHRPPLPPPPLPPAPPSAGVGCSRCCCPSPSSFPMPHPHALTRRRPQPLRPL >Sspon.04G0015110-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:56464053:56464274:1 gene:Sspon.04G0015110-1A transcript:Sspon.04G0015110-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHNNNFTGEFPEKVWSALPELTYVTIQNNSFTGNLPSVISSSITKIDMGNNRFSGALPTTAAGLKYFVAKNN >Sspon.05G0032570-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:5C:19778219:19778554:-1 gene:Sspon.05G0032570-1C transcript:Sspon.05G0032570-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLGLALVVAAAALVLAALLSSTPEVSAARVVPAPLADQTSSSWRGRGDEQPRKPAGDNAASSARLDASSWKAAASLGGSTSSPPSTVFDPDRMSKRRVRRGSDPIHNKC >Sspon.03G0021650-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:84506163:84509727:1 gene:Sspon.03G0021650-3C transcript:Sspon.03G0021650-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAPPPPQPHPQRAPSPPPPPQPPAPSRRYGVHFSASSFIQAPLTALLEYSGILRPDPGGGTQQPGTGAGPGEVSIRIVAPGEAGTSSERAEEAIVEEEEEDGHAMRTRPEEPTPAAGGGEGGRESSSSYQRYDIQQVARWVEQILPFSLLLLVVFIRQHLQGFFVTIWIAAVMFKSNDILRKQTALKRERKIPVLVGITILFVVHVSGFYWCYKNGDLIRPLMMLPPKEIPPFWHAIFIILVNDTMVRQTAMVVKCLLLMYYKNSRGRSYRRQGQMLTIVEYFLLLYRALLPTPVWYRFFLNKEYGSLFSSLTTGLYLTFKLTSVVEKVQSFLTALRALSHKDFHYGSHATSEQVVAAGDLCAICQEKMHVPILLRCKHIFCEDCVSEWFERERTCPLCRALVKPADLRSFGDGSTSLFFQLF >Sspon.06G0020880-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:11305293:11309269:1 gene:Sspon.06G0020880-1B transcript:Sspon.06G0020880-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGAVALAPTLAATSSSAAYTSATAASSLAPNPTSRGDPPTISSCRNAPATPLVPLAATRRHVRGCRGAPLVASPHHARNPRLRFASAAAAAEGMAAEASTADAASAAEAKPFAVLFVCLGNICRSPAAEAVFRTLVSKRGLDSKFLIDSAGTIGYHEGNKADSRMRAASKKRGIEVTSISRPIRPSDFCDFDLILAMDRQNYEDILNSFERWRRKEPLPENAPNKVKLMCSYCKQHTEYEVPDPYYGGPQGFEKVLDILEDACESLLDSIVADNASISG >Sspon.01G0033990-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:114363394:114366246:1 gene:Sspon.01G0033990-1A transcript:Sspon.01G0033990-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKANILLYCHTTEDARKLCDCRGVTTSRIIKLHSNRAACYLKLHDFHKHLPVQKRPFVKDELEQLIAEWPMKVAKRQKKDNRKIIRARNTIGALQAKRGSKEQAEITVKARNFYAKLTIKSSRKLLH >Sspon.06G0002960-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6C:7965709:7967570:-1 gene:Sspon.06G0002960-2C transcript:Sspon.06G0002960-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKHRASWDDPTCALFLDLVQQQKDICQWGHNMPSPIGWSNITHEFNKLTGRGYNKRTLQNKYHDLKRNYFTWRDGQTQIGLGRDPLTGEESSQKSGQRFSPPQCCEQMIRLLGRTPRDRGQLYTAGGNDPEETPPSDSPQTPAEPSVDAIRHRSGGSSSKRTIVGILNGRSDTDREYCADQCLVFKLKLRKTPLRRFLDSKYTNYALNIVDNNRSPIESLQKGNEWVRQFVSIVDQVLPILNEEAL >Sspon.01G0014400-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:43157885:43160678:1 gene:Sspon.01G0014400-3D transcript:Sspon.01G0014400-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPSRSQHVKHHLGLGGTGTHTRFRTQVLEAPLDPGSDPEYQDFQFRFIPEVFELQMGGVAVGGGGGGARIGDGKVTAEKVVAFEFDKVRISIASSDDEADGDAPPRSSFSGASHPPEPVDEMDTVFVAVDGREKPPVPKPVISWDASLPPSGAASPHSSIDSSGAAATVTSLAPSCTVTSRSAKTSVSSSAASDGSGWSNGTGSGAGTGGSAGKPHKGGDPRWKAILAARVRDGPLAMGSFRLLRRLGCGDIGTVYLSELSCGSAGSAGVARPCWFAMKVMDKASLESRRKLSRAQTEREILQLLDHPFLPTLYAHFETDRFACLVMEFCPGGDLHALRQRQPGKHFPEHAARNTQACAQPTCIQPTCFMPKLFGQRSKKSSGSTTAKKSKGAEPRQQQAPTGLPELVVEPTGARSMSFVGTHEYLAPEIIKGEGHGSAVDWWTFGIFLHELMYGKTPFKGQTNRATLFNVVGQQLKFPDCPGTSNASRDLIKGLLAKEPQSRLGVKRGAAEIKQHPFFEGVNWALIRCSTPPGVPRAVEPAAVALPAPAKPAPVERVEINSISKRMAGAAAGAESGGKFLDFEFF >Sspon.02G0041190-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2B:74918318:74918632:1 gene:Sspon.02G0041190-1B transcript:Sspon.02G0041190-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRDLTCFGETSVQVADAASSSSSSGNTGASGRAKGGGGSSAARSRSRVTCLYHARLAGRPCAVSVTWTRGGGGLVGLGQAAALGVVVAVDCASGDRLCRPTSGR >Sspon.01G0049380-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:112801404:112802571:-1 gene:Sspon.01G0049380-1P transcript:Sspon.01G0049380-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPSTSSKASSSLAVLVVAVAAASLAQPGAADLKLNYYASTCPSVETIVRGVVQQRLQATIRTVGSTVRLFFHDCFVEGCDGSVLIESTPGNQAEKDASDNKSLASEGFDTVRSAKAAVEAACPGTVSCADVLALATRDAISMVHMPHVNSRPDTSGGPFFQVELGRLDGLNSKASSVPGQLPEPNQTMDQLLAVFKAHGLNMSDLVALSAAAHSVGLAHCSKFANRLYSFQPGQPTDPTLNPKYAQFLESKCPNGAADNLVLMDQSSPAQFDNQYYRNLQDGGGLLGSDELLYTDNRTRPMVDSLANSTDAFYQAFADSIVRLGRVGVKSGRRGNIRKQCDVFN >Sspon.03G0020760-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:83370519:83371376:1 gene:Sspon.03G0020760-2C transcript:Sspon.03G0020760-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATNTTTTLSMKLLIDRKAQRLLFAEASKDVVDFLFSLLVLPVGAAVKLLGKEGVAGSVGSLYGSVEELDYTYIQPGAAKDALLRPAVLCSLDSSSLLRLLPPAPPSAAGQHPAATAARSLMLYRCTSIFNSSCRTYITDAYGKACPTCGNHMTAAAQYLPPAGGQMAVTGFVRGVVTYTVMDNLTVMPMSAISSFTLLNAFAVTDLAALQEKTVQLGYNEGLEILRASLQSKTVLSDVFLCRK >Sspon.08G0017080-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:66754608:66757993:-1 gene:Sspon.08G0017080-2D transcript:Sspon.08G0017080-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding NDKMTLLKPGSLQHIGDNMLAFLLHSAASSKFLKDAQQRKQGNTEQDKAVYFDILGKYMVVYPQRLATMFHNSIILQSLLIWGTSLLMGGHPALVSFAISCLSIILMLIFSICLPVVVAFALPYICPFPVPYVANPWLIIGLFGSPALLGAFIGQHIGFILLKRHLRRVYSITKTGLTLSMMENVIDLEAERWIFKSGFVQWLIALMLGTYFKVGSSYIALIWLISPAFAYGFLEATLSPARLPKQLKVVTLVLGLAAPVVSSAGLAVRMADVIVGSIVRIDRNPGGLPDWLGNVIVSVAIAVVVCSTFVYLLSYIHISGDKRILGLLLFISFGLSLALASSGIVPAFTEDVARSVNVVHVVDNTGIDEGNREPVSYISLFSNTPGKLTNELADLGDEGFSCGRNMTTDFVTFTMKYGCLSYKESNTGWSKSEVPVLLVESDSVTGGARQTVVSVDTKSSTRWTLGINKEEIDDFTVKVDSEKIVPLGDKSEIDGWHTIQFAGGKNSPTKFQLTLYWSNTETSQREAKQAVDAPLLIKLRTDVNRVTPQVARVLEKLPRWCTPFGKSTSPYTLAFLTALRVDI >Sspon.08G0011940-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:47595971:47598537:-1 gene:Sspon.08G0011940-2B transcript:Sspon.08G0011940-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVAVASPSSAAPAPSAGRLHPTYKEMIMQALTELRDPGGSSRTAIANYIADHFSGLHSRHDALLSVHLRSLRSHGQLRLVSGNYFVSTATQQPAPGQKRGRGRPRKIPDLAPSASIPAFQGPKRGRGRPRKNALDPVASSPSPLQGASAPPPPSGVKRGRGRPRKDALVPPPSSSSPLPGAIDPPPPSGVKRGRGRPRKSALVPVRSSFSQLLGSIAPPLPSGVKRGRGRPRKNAYPAVAPLAGVEQGPAGGQPQRNTTPLSPPPATVPHSGKSKPVRPFRVAVDVSALRGSSMNISACSNSVVGGKEKMQPESVRSADASLTKRGRGRPRKEKAVESSHLKAAQMTEGQQEALTAQAADQAGAVQNEVEAGDLQSLGTSFTEKRGRGRPRKRPLETETAEAGVPASTVKRGRGRPRKEKTLETGDLKVAQMTEGQHEALPAQAVDQGGPMQNEVEARILQSFGTPLMEKRGRGRPRKRPLETETAETQGDALVKKRGRGRPRKARPFETGSVETAVQVSRDLTEDGPEKDGDLVSGKKAEAPGVLLVEGIGNGPADAGCLVVSREEVPIAPMDAGGVLLSAEGAAIAPWMLGVQHQGLVRWMWASRGH >Sspon.03G0027990-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:7468581:7472073:-1 gene:Sspon.03G0027990-1B transcript:Sspon.03G0027990-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MECNRDDAVRSKDIAEAKFRENDIAGAKKFALKAKALFEPLEGIDQMIVALDVHVRAQTKIAGENDWYGILEVPPMADEEAIKKKYKKLAFQTHPDKNSSVCAKAAFNLISDAWNVLSDNAKRMVHDHRRRMHALAVHQNNFKATALFPQMKKHIPEKFCSTLQGNMHMQSLLSDEQMQQQGSMKLQRENEPGSRQPPALMAMDANHRELMELDVRSILIEKGKLQAQKLQELSSKKANVKNKEKQHKKELGDEVTGANPATEHHMPGKYGCLNQDPSHDEGSDKMPIPDADLYSVPDADFNSFGDHSESSFQNDQVWAMYDEEDGMPRYYALIRKVISTRPFKVRLAHLKANDCNEFGASNWISYGYSKICGEFKVDVSKHTDQVNIFSHKVNCDKGPGGIIRIFPKKGDIWALYQNWSPDWDQFTPDDMIYKYELRLDVRTPAPLPPVPCPALARPHLVCYARSQALGTASVFPRLTEAKQQKDEGERFNT >Sspon.08G0009550-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:40654643:40655399:-1 gene:Sspon.08G0009550-2C transcript:Sspon.08G0009550-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVHHASDIQQLQRFPWSFHLLAALGALYLGAVTFRLLAYLGLPNFLRRPTDLRRRYGAWAVVTGPTSGIGRSMAFELARRGLNVVLVGRDPDKLQDVSDTITKTHAGVQTKTVLFDLSFVSTAQGEEAMRRLRDAVDGLDVGLLVNNAGVAKPCAVYLHEFDVDAWVKMIRVNLWALTEVTAAVLPGMLARRRGAIVNIGSGSTEAIPSFPLYTIYAATK >Sspon.08G0007950-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:25599684:25605568:1 gene:Sspon.08G0007950-2B transcript:Sspon.08G0007950-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPDPKKVLVPIANGTEPMEAVITIDVLRRAGADVAVASVEPGAATVAASWGVKLAADALLADLAEDEFDLISLPGGMPGSSTFRDCKVLENMVKKHVEKGKLYAAICAAPAMALGTWGLLNGLKATCYPSFMDKLPSEVHAVESRVQIDGKCVTSRGPGTAMEYSVILVEQLYGKEKAKEVAGPMVMRPQHGVEFSIKELNATSWNVGETPNILVPIASGTEEMEATMIIDILRRAKANVVVASLEDKLEIVASRKVKMIADVLLDDALKEQYDLILLPGGLGGAEAYAKSDKLMDLIKKQAEANRLYGAICASPAIALEPHGLLKGKKGTSYPAMWNKLADQSECNNRVVVDGNLITSQGPGTSMEFSLAIVEKLFGRERALQLAKTMVFV >Sspon.01G0056180-3P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:86040458:86041747:-1 gene:Sspon.01G0056180-3P transcript:Sspon.01G0056180-3P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPPKDRPSGRLGRLLAALRPSRAGPLPVQTGFPTSLADLVVKNHGRLKKQPSPSSKRGKRGAAASTSPSSPTSPSPSPPPASPPPPAAAVAVSPSDRPRPADLPPAQPRRRGKGGGFGLGLGFLAVSGVVSLALLVIWSKKVVAAVTVASFSLYLLESVRSSSLPRPRRRPRPAVAERRLCLDGRGRVSPIREADAETEPSRPSCSDSDRGSDACILAVEESSGVLDESSNPKAKAKKKSWKKLLAASAKKLHRGRRSKEAGSSGSSFRSEGDRADDATARGGGGNAKAADSSGSRRVSASQTGAPAEDAAAAAAKEEADSSRGSRRSQGVEVDADAAPVEIDASVGDLIEEEEEEGEEKQAGIRFPALVLVAIVLMGLVAGKVVALALTVLCSAFLSSVSVQRSPCRGCGGGGGCSQGRRLELSMS >Sspon.06G0000470-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6A:2255270:2258406:1 gene:Sspon.06G0000470-1A transcript:Sspon.06G0000470-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKTNVHSVSSLAFSSYSSDPNDVDERNADKVNAYECQKCNLTFGDRTSYVRHHLSYHEMSAKRRRTGKFGEPVVGKDGKFECPICCKTFEEESRYFGHVGSHARYGGLTPEAFLDKATSRRATNDSSAEISFSLQELTESHGQNNKVSYGEAGFQHHNHSNEHGDNNSTVTEFFSTNYSDNFIRPSRPEVGPSFNDAPSVCRYTNFTGHADVTVPERALISNNQSVSNISSFSGVAMFSDQPGSNHVVRPTAFGTASHYQDQIIDRGRAAPRHDDNNTVKARDVNLNSCVNTISFPIANANNETSAALNEANRSSSTAKCFSGSFNNNDGASNASSCCGLTNKISSSFGTASKTQAVGSRCIGARYEPYGENFGALKDNPFASKNNTAVYQSNLGTQPVYAVATRADCFASGSMQTKNSDKELASSFTEKGFAQFSNSFTHTKPNSSGHCSVSAPKTPTNGSDINCIKGSSVSRGDVSFTKGSFVNRPINNNEPNVARLEVMGKLNNEMQNHYNDHAPGFDPHAAASASRNANGLVSVQTNFGRMSSTVQSVADVSVTSTSQDQNTVKHLVDHDDIIPT >Sspon.04G0017380-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:67232059:67235884:-1 gene:Sspon.04G0017380-1T transcript:Sspon.04G0017380-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGKIEIKRIENSTNRQVTFSKRRGGLLKKANELAVLCDARVGVVIFSSTGKMFEYCSPACSLRELIEQYQHATNSHFQEINHDQQILLEMTRMKNEMDKLETGIRRYTGDDLSSLTLDDVSDLEQQLEYSVSKVRARKHQLLNQQLDNLRRKEQILEDQNTFLYRMINENQQAALTGEVKLGEMTAPLAMLPPPAAFAHSTYYGGESSSSGTALQLMSAAPQLQHADLGFRLQPTQPNLQDPAAACGGLHGHGLQL >Sspon.03G0014070-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:63069778:63071134:1 gene:Sspon.03G0014070-2C transcript:Sspon.03G0014070-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSSLTLGIILSCALLLVLAKATHGLQVGYYKKTCPSAEVLVRAAVKKAVLANPGVGAGLIRMLFHDCFVESLASETGVFLPGPSSNLSSLVSAFAGKGLSADDMVVLSGAHSIGRSHCTSFVQTRLSAPSDIAASLATLLRKQCPANPTTANDPTVSQDVVSSDVLDNQFYRNVLAHNVLFTSDAALLSAPNTARMVRANARFAGSWEKKFAKAMVKMAAIGVKTGRDGEIRKNCRLVN >Sspon.05G0000300-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:1790038:1793925:1 gene:Sspon.05G0000300-1A transcript:Sspon.05G0000300-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATSTSPHSAGGGGTIGDDLHGFLRYGLRTHSSVLCAHAFLLRRGLLLGHAVPAGLLLTASACSAASPPAHILRLLLHHLPPPLPVFSLDAALRAVGPRIPFSALLSLFAALLRSHQPLFPDHFSFPPLLSAAASAASPRLHLRSALTLHGQLFRRGLLFSPPPHAANALLHFYATAGGVSSARYLFDEMPFRDIVSCNTLMTALAGTPGGIDAARQLFEGMHLRNWVSWNVMINGYVKAKRPEQALEVVRWMAGVGVRGTATTIVGAATACARLGRLGAGREVHCVFLRRYEDDNLLVWTALIDMYGKCRRAGVARKLFDRLRMRNLVCWNAMIIGHCVYGEPGDGIKLFQHMITPGNVQPDGVTFIGVLCACARLGLLEDGRAYFEQMSTVYNLKPTFAHYWCMANLYGSVGLLEEAEGLLKSIPEDLKARALGGLLGLCRFRGDWRLGERVALRLIELEPSNNAHYALLCNVYAAVGRWEEVHRVKAIMKERDERFRPGHRLVNLNEIVDEFKIRERQPENQEIYAIMDDLASRLKLDCKEKEQKSDNYGRVEMDRKNEEVLIATTVDVEEHTYRLATNMGTPVVTSSQ >Sspon.03G0000760-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:9784359:9784937:1 gene:Sspon.03G0000760-2B transcript:Sspon.03G0000760-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding KIPSPRRLFRSRSRSTTASVGSADICAMVAEHEKIEWEVRPGGMLVQKRRSPEEDAAAVEYILVRVSTGWQWHDVSIDATATFGTLKVMLSLVTGLWPREQRLLYRGKERDDYEHLHMVGVQDKDKVLLLEDPAVKERKLRS >Sspon.05G0004150-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:12558084:12562419:1 gene:Sspon.05G0004150-1A transcript:Sspon.05G0004150-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAWAEAALVLASPSPATASSTTSSCGVRPRVTVDSGRLFCKGFPCTIRSKAGFQIHNYRTRTIKIKAKAESGDGYTQLAPLRFESPSGQLLVQILQSHPHLIPATVDQQLENLQSEKAAQSEEASKVPQDLLYKRIAEVKEKERQNALEEIIYCWIIYRFMDNDISMTSALSPGGGPVRDISALPNQEDKLQSIHSADAFEMIQNHLNLIMGEKIAAPPDTIVEISNLNLGKLYAASIMYGYFLKRVDERFQLEKTMKTLPPNPKQQIVFENLQPNPFWDMESLVQITPDGEEISLDNEESNPNKLKSYVSQLDADTLQRYATIRSKEAVSLIEKQTQALFGRPDIKVLDDGSVNAKDGRMITITFTELNHLVLEAVAFGSFLWEAESYVESKYHFVNS >Sspon.04G0032060-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:20307244:20310359:1 gene:Sspon.04G0032060-1C transcript:Sspon.04G0032060-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHHHGGAGSPYMAPTTAGTGMGTAPFSSTPTGPAVSVTDIPAAPPTMQLQPELPAGGSGSGAGAGTGAAASIIQDDDMQADFGASGLGASGSGGHHRWPREETLALIRIRTEMDAEFRNAPLKAPLWEDVASYPVVYLYPATAFFSSAFGVMLPGFCEIGAQDDKDLSRRPGSRMPRRDCAHGSSRSLLQAITALFLFPLLLLKHTWTWMEHQVFRGGGRKEKHFAGCTRLPLAKKCKEKFENVDKYYKRTKDARAGRQDGKSYRFFSQLEALHAAAPPPHPSGMSMTTTCHGRRALRRWALPPEPACRTSASRRCQGQSDSDSDSDSDDYDDSDAGEQGLGRGDCDREMMAIFEGMMKQVTDKQDAMQRVFLETLERWEAERTAREEAWRRQEREQLARERAAAASRDAALIAFLQRVGGDKGSPAGAGVVPAPPISDYTPSSPRRHDAAATSLQQLVPAPLKAGSGPTSSSRWPKEEVEALIQMRNEKDEQYQDAGAKGPLWEDIAAGMRRIGYNRSAKRCKEKWENINKYYKKVKESNKRRPEDSKTCPYFHQLDAMYSKKHRANMATAVTVAAVAVAATVQDNPSQRELEGKSSNDVDNRKNDEQGNVHTSPGNGDTAPTTTPPGDGAKGKTAEDNVNETNVQHQQQFSADETDSDDINMARDYTVYTEEGNDEDKMKYKMGVQKPDVIGSSGNVPEPSPAAAAAATAAAPTSSAAPTSSTFLAHQCITTFSGP >Sspon.01G0039710-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:36113117:36114836:-1 gene:Sspon.01G0039710-1B transcript:Sspon.01G0039710-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDLAAHCGEMKKGRRKKERRRVLGAKSAPDDPLLDGADGHHGRRCCAAVAHVRDANSRRIPINGWDKTKQERPRRDSTLPAQAQVAAWAVRGVPEQVAGPRAFLCRWTERTRKQGHGPNAAAGLRAAENKELHAFILEDRKENELGTCDSDDENTKCKFYEKEGHCMRDCVLFKSGLKRNFFKDFRDIDQEKKIEQ >Sspon.02G0044460-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:101635454:101641138:-1 gene:Sspon.02G0044460-1B transcript:Sspon.02G0044460-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MREDRRPRTSSPVRNNGAPDLWLPDLLSLLDDGPDPLIAAALKVELRLLGEWLADPLSLFLTLPHLTLFLTDRSRRGCFRIGGGGESWPSPRRPPPRHPARWRGPQRALQRAVFSIHGGGATGPDARRSCRGEGTSVAPPCPSMMTIREVLSIHANTEPSLMEVEDISRMFRLLSRINGGLPPVSKTGVMGKYHVNSSCKLCKELFYRELPEMDDSLAVKLLPGCTTIDEVQSRFSSDMNCKYNGNLHVKDLKNSLYTQLAKL >Sspon.02G0036790-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:26980414:26985629:-1 gene:Sspon.02G0036790-1B transcript:Sspon.02G0036790-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLVWSRRRFSRPFDRTRGRRARDAAARAKRETGGERGERGGSADGVHTSFSLFSLLYHFPPNCSLSPLSISSPTALFPTSHPAPLPFPPSPSLAPAICSSTAGALCRSPPSTSLSSKRARQPLVLRSRIGGALPFGEAEQGRARTAPASLGNGAAGAASCDGGLLELRRRSRLRWPELRWRWPATRPASLFRAAMEARSGGVRFSLAPFLLLFSLCHGPRSILYRAAIPTPQTPGAESYQMVADFYRKKSPPASTPLAASKDTAMGSHAGENEPKASGGGGSHVLLLPYPSQGHVHPMLQFGKRLAYHGLRPTLAVTRFILATCAPDAAALQDLGSAGAVRLAAVSDGFDRGGFGECGDVTAYLSRFEAAGSETLGELLRDEAERGRPVRAVVYDAFLPWAQGVARRHGAAAAAFFTQPCAVNVAYGHVWRRRLRVPVDGGAVLRLPGLPALEPDGLPWFFKVGTGPYPAYFELVIRQFQGLEQADDVLVNSFYELEPEVSCVLVRPSSLSLPSVHGVHLQEAEYMASSWRAKTIGPTVPASYIGDDRLPSDTKYGLHLYELTSAPCIAWLDAHPPRSVVYASFGSLSDLDPLEMREIAHGLLDAGRPFLWVVRASETHKLPAGFEVEAEGACGLVVSWCPQLEVLAHRAVGCFLTHCGWNSTAEALVAGVPMVAVPQWTDQPMNAKYVEAVWRVGVRARAAAPDGLVRRGEVVRGIQEVMDGERRAEYRRSADVWMEKARAASREGGSSDRNIAEFVAKYASDAK >Sspon.07G0018330-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:76313017:76314540:1 gene:Sspon.07G0018330-4D transcript:Sspon.07G0018330-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAACRDLARACPASLARCADPLLRRFDALFAALVKRGAGIGGDPHGLRRAESARRVARQRQEVERLRAASLWARTFDYAVRLLARSLFTIVARIIEVFDLEPVALLRSASASVDDDRASRLSWSTSFVSGSMQLQSMVYPSDAATADAPRKTMLLRARSGKLTTPPAPATRVGSSSKSIRQQLSLRWPGAAGKHLIGCVVMDPSRSPGRDGWNHADDVAHLPLSFSYVSSAGGADDDFSGSGIISFHSQAAEGGGDARRRPSTTTTSVFHSSSRDLVTHPPESSLGAAALALHFANLIMFIEKLAASPLHICPDDRDALYGMLTDRVRASLRARLRPAAGTPCDDPVLAAEWSDTDPGWLAPLAHNMVRWQAERNFEQRNVASGDDATVLLLQTLHLADQRKTEAAVTELLVGLNYMWRHETDLEDKVRLESAAGRRRLR >Sspon.07G0013370-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:47585619:47594267:-1 gene:Sspon.07G0013370-4D transcript:Sspon.07G0013370-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTAGKGAWVVPAPAYREVEGWEGVGEDAPGFRCGHSLTVVAPTKGHGPRLILFGGATAIEAGASSGLPGIRLAGVTNSVHSYDVEKRRWTRLHPAGEPPSPRAAHAAAAVGTMVVFQGGIGPAGHSTDDLYVLDLTNDKFKWHRVVVQGAGPGPRYGHCMDLVAQRYLVTVSGNDGKRVLSDAWALDTAQKPYRWQKLNPEGDKPSARICFNLNHYRYATASARSDGMLLLCGGRDASGTVFVGARLHVTGGVLRGGRAIEGEGAIAVLDTAAGVWLDRNGIVTSRTLKSSNEHDAASDLLRRCRHAAASVGSQIYIYGGLRGVHIVSSADKKSIDMLIEASTAEAEAVSAVWRAAKEASAASSEDSLSEGIGSESPLSETSPMPEDFDDGGSLEPDVKLHSRAVVVAKEAVGDLGCLVRQLSLDQFENESRRMHPSNNDQSYPGRRTLNRQRSPQGLHKKVISFLLKPRNWRAPADRTFFLDSYEVGELCYAAEQIFMQEPTVLQLKAPIKVFGDLHGQFGDLMRLFDEYGFPSTAGDITYIDYLFLGDYVDRGQHSLETITLLLALKIEYPENVHLIRGNHEAADINALFGFRLECVERMGESDGIWAWTRFNQLFNYLPLAAMIEKKIICMHGGIGRSINTVEQIEKLERPITMDVGSIILMDLLWSDPTENDSVEGLRPNARGPGLVTFGPDRVTEFCKRNKLQLIIRAHECVMDGFERFAHGQLITLFSATNYCGTANNAGAILVVGRGLVIVPKLIHPLPPPVNSPESSPERGDATWMQELNIQRPPTPTRGRPQAAGDRSSLAYI >Sspon.04G0018660-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:7460815:7465810:1 gene:Sspon.04G0018660-1T transcript:Sspon.04G0018660-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGCVPGKKKQVALRGNNQIMSETGHTVQVTNLSSRVSESDLHEFFSFSGPIEHIELIRSEEYGATAYVTFKERFALETAVLLSNYQTCQYNTTPQEVFTVAQDIMKTMLAKGYVLSKDALAKARAFDESHGLTAAAAARAAELSKRIGLTDRVNAGVGAMRSVDETYRVTETTKTVATATGRTAAKVANGIVTSSYFSAGAMMLSDALTRAAKAAADLAAHAYAVQASTATNGASDEAILITIGTPPELADVVIPVVRVVVLDEDLAAAVARLARHEPDVAAGGARLHVPELPQGRGLAPLQGAPELARLVQEVHVLGAAEVLAVDEHARERGACEPGPEELRELGLEDGVHGDVALVQAHAVAAQDAAHGAAYTTAFRSPPGGHSGDTLASRPLLRLRSIFSWNAMMRARTSPESSGMSPVAGDIGMSHASASFLSFRSCSLGVSSSAVETTAGSDDGGVPSRASRNPDSTSGSKSKATGMSMSACIHCGSGCASKTNSCGDSSSGRLLYVARGVGTSSSSPAMSSHALITSGSLGGVSVGVRSFRGRFAASSARSTASAAWSLSPFIISSTDSVACLHEPE >Sspon.03G0014960-3C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3C:68237482:68240914:1 gene:Sspon.03G0014960-3C transcript:Sspon.03G0014960-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAILARRRAAEAVLRRPQAAAGAAVSAWRAYAAAGEESDVVVVGGGPGGYVAAIKAAQLGLKTTCIEKRGTLGGTCLNVGCIPSKALLHSSHMYHEAKSSFAHHGVKFSNLEVDLPAMMSQKDKAVAGLTKGIEGLFKKNKVTYVKGFGKLSSPSEVSVDLIDGGSTVVKGKNIVIATGSDVKSLPGITIDEKKVVSSTGALCLSEIPKKLVVIGAGYIGLEMGSVWNRLGSEVTVVEFAPDIVPSMDGEVRRQFQRMLEKQKFKFMLKTKVVGCDTSGDGVKLTLEPAAGGEQTILEADVVLVSAGRTPFTSGIGLETLGVETDKAGRILVDKRFMTNVKGVYAIGDAIPGPMLAHKAEEDGVACVEFIAGKEGHVDYDTVPGVVYTHPEVASVGKTEEQVKDLGIAYRVGKFPLLANSRAKAIDDAEGVVKVIAEKETDKILGVHIMAPSAGEIIHEAVLALQYGASSEDVARTCHAHPTVSEALKEACLQTFDKAIHI >Sspon.02G0029150-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:45983604:45988280:1 gene:Sspon.02G0029150-4D transcript:Sspon.02G0029150-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SMRRYSPPYRSPPRRGYGGRGRSPPPPPRRGYGGRNKEGSGSLLVRNIPLSVRAEELRVPFERFGPVRDVYIPKDYYSREPRGFAFVEFVDPYDASEAQYHMNRQVFFGREIAVVLAAESRKRPEEMRSRARVRGYSGHEGRRSSYLGRSRSRSRSPRYRGRPRSRSYSPASRRRDDYSASPRRKEAHRASPPRRPPKEHDEDKKHRSYSPASRDDADNGYDRRSPLPDSDGSPPHRRSPKEYSGSPPGSR >Sspon.04G0016020-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:58970529:58974297:-1 gene:Sspon.04G0016020-1A transcript:Sspon.04G0016020-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SWI/SNF complex subunit SWI3B [Source:Projected from Arabidopsis thaliana (AT2G33610) UniProtKB/Swiss-Prot;Acc:Q84JG2] MATTATTTAAAATANFVPTPTPPHPRPAAPPALRAPSTLGPVKSEAPPTPSSSTATAAAGAEDPSYIITVPSYSAWFSFDSIHDTERRLLPEFFEGEAAVASGCRGPHAYKYYRDSLIRRFRARPGRRLTLTEARRGLVGDVGSVRRVFDFLEEWGLINYGALPSGSKQAKEKREEAAQQSSLPSGTTVPRKLCTGCRTVCGLAYYACDKADISLCTRCYVNNNYRPGLSSANFKRVEITEDAKADWTDKETLHLLEAVLHYGEDWKKVSEHVGSRSEKDCIARFIRLPFGEQFMGPKEDRMGFENNDDNTDGPGADVSKRRHLTPLADASNPIMAQVAFLSAIVGSDVASAAAQAAISAQSRVDLNDGEIETSINSTKEEESSHTNGLSVNDLLKEAAANARAQLEKERNSIEQSLSNIVDVQMKEIQDKICRFEQKEMLMEKERQQLNCLRDLLFTDQLAVTQHQQRTPAVVTECKGDEKPKHVANMS >Sspon.04G0006330-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:8641880:8643486:-1 gene:Sspon.04G0006330-3C transcript:Sspon.04G0006330-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LSDTSPGSPAQRFVSEDGVINSRPRVLGGGSCINAGFYTRASDDYVRDAGWDLEATGAAYRWVEDVVAFQPELGPWQAALQSGLLEAGVAPDNGFTFDHLDGTKVGGSIFDADGRRHTAADLLRYARAEGLDVLLRARVAKILFINVRGRRPVARGVVFHDSEGRMHKAYLNAGRRNEIILSAGAMGSPQLLMLSGVGPADHLSSFGISIVHDQPGVGQGMSDNPMNAIYVPSPSAVEISLIQVVGITQVGSYIEGASGSNWGVRPSASGGVDRPHNFGMFSPQTGQLATVPPKQRTQEAIARAAESMRQLDDSAFRGGFILEKVLGPLSTGHLELRNRDPDDNPSVTFNYFSHPEDLRRCVAVLSVIERVIHSKAFENFTYSYFSMETLLNMSTGFPVNLLPRHDSDSTSLEMFCKDTVMTIWHYHGGCQVGRVVDAEYRVLGVEALRVIDGSTFNASPGTNPQATVMMLG >Sspon.07G0021250-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:1803385:1809119:-1 gene:Sspon.07G0021250-1B transcript:Sspon.07G0021250-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding PAMGVSRGFLNLIVDNGVQGGKSLRCIDLRRHKLFNTRTPVLPPPKGNRSESERPPQDDRKNYRQAAASSITRKIREIQLPQPTMNFRCSIVDCYWYMKCLPLAGRKLLCVDPSYRTVLFDVNTSQVETIPYFHVPKHEPLPLPCFVPLPSSADAAAGNGSFYIIEGRPYQEELQGDDEQLSNQYEWQRHFLPPPPFVHDPKHSKHPNITSYAVVERGGSHVIFVSAEDGGGTYCFDTVTHTWSHVGDWVLPFTGKVEYVPELKLWFGICTQDWQLGAADLSTMDSQSQPQLLGTWKELEAPGDWRQLKTPQLVSLGSGRFCITRFFFHALPNPLAFLLNPIAFYDYSDYYDDPVEEYFTVLTGIDVVPRVHDVHGTGIDSKGKVELQMIKHNSRRHMSYGTKSLCCMDLNLRRHSLFDTATRAPKNKTTTRAPKGNALAFNKIRLPRPSLSMRTSDSDLKDQRIHFFQAADRRVFCLDQLGRGFLLEADTPRMVMMPCLHRPKLEPIALYVPGAEPDFDDLDGGGGGDLFIMERRVAKPKPGGCGGFQSQFEALVYRKPSVSCSRFVPKTWRRERLPPPPPYIHGAADHSWLEISSYAVVGSQVCISVDGDGTYCLAVVSDTVMDTYSYSWSEVGKWTLPFQGKAHYAPELKLWFGFTDKDQNLAATDLSAMDSHSQPQLLDSWKELETPKGWQQVQDPQLVCLGSRRFCIARFFRTGTAMDDCQNVTVLTGVEVVRGVNVFPGKVGDLRMVKHKSLCHKSRCGEDTITAVF >Sspon.07G0015580-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:55721215:55727805:-1 gene:Sspon.07G0015580-1A transcript:Sspon.07G0015580-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Outer envelope pore protein 16-1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G28900) UniProtKB/Swiss-Prot;Acc:Q9ZV24] MPRGGFSGSLRSPKIDVVIDMGNPFLNRTVDGFLKIGAVGACKVAAEETFECLHRVVLHSTAQEPLLDAKSFDFAERVSKISMLKKMCQEGAYWGTVAGVYVGMTLTPSALMTLQKNAMIGGALSGALISGASNSHRNKIIKDAITAGAVATAVEFINCLT >Sspon.02G0034440-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:12703092:12708692:-1 gene:Sspon.02G0034440-1B transcript:Sspon.02G0034440-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRITSSEPKELAFVVDGWALEIILSHYTEAFTELSVLSKTALCCRVTPSQKAQLVKLLKSCDYRTLAIGDGGNDVRMIQQADIGVGISGREGLQAARAADYSIGKFRFLKRLILVHGRYSYNRTAFLSQYSFYKSLLICFIQILFSFLSGIAGTSLFNSVSLMAYNVFYTSIPVLTTVLDKDLSEKTVMQNPEILLYCQAGRLLNPSTFAGWFGRSLYHAIVIFLITIHAYANEKSEMEELSMVALSGSIWLQAFVVTLEMIPSHFCNFWPYGETSLPSMSSTSLSAVYPLLECTPSCSAFADNRHTG >Sspon.07G0022800-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:10627215:10635617:1 gene:Sspon.07G0022800-1B transcript:Sspon.07G0022800-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSARSWFQKFQPRDKSKSPVVAASHGKDPGKPPIDDAPSSATKQRVAAAKQYIENHYKTQMKSLQDRKERRWMLERKLKDAEVPVEEQNNILKHLEKKETEYMRLQRHKMGVEDFELLTIIGRGAFGEVRLCREKTSKNVYAMKKLKKSEMLRRGQVEHVKAERNLLAEVDSAYIVKLYYSFQDEEFLYLIMEYLPGGDMMTLLMRKDTLTEDEARFYIAETLSDFGLCKPLDSSNFPNLNEPDYTSGKVTKPLPDSTRLSNPSAPRRTQQEQLSHWQKNRRMLAYSTVGTPDYIAPEVLLKKGYGMECDWWSLGAIMYEMLVGYPPFYSEDPMSTCRKIVNWRSHLKFPEEAKLSPEAKDLISKLLCNVEQRLGTKGAHEIKAHPWFRGVQWEKLYQMKAAFIPEVNGELDTQNFEKFEETGTQIQSSSKSGPWRKMLPSKDANFVGYTYKNFEIVNDDEVAGIAELKKKSSKPKRPTIKTLFESMDEEEPVQGSFLGMLPPKEGQPSSHSSIPPEKYQPRRK >Sspon.02G0021190-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:70149575:70151193:1 gene:Sspon.02G0021190-2B transcript:Sspon.02G0021190-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVFRSKRRRKLEEEEERIDYISRLPDSILEDIISLLPTKDGARTQVLSSRWRQIWRSAPLNLDLNPDLDEPPIPRYIPTRVVLSIISTHQGPIRRLSIPEVYLYYKDNPAMTLDRWLQSPTLDRLQEFEFQHDHHCGRIPPLPPLPTLVHRFSSTLHVASFGGCSFLGGNSANGLHFPLLKILSLSNVNISESSLHSLLASCPILESLLLTGQMGCSRIQIVSSTLRSIGVRPYLNSELPQLIIIDAPCLERLLHFQNFNSMKTSILVISAPKLHVLGPISTHSFSIKFFNTFFQGPHFVSWTIVVRSVKVLALTQKHLSLDAVINFLKCFPCLEKLYIKTKMAGEKNVWCHKHMNFIGTCEIRLKKIVLTNYRGNASHVNFAKFFVLNARMLESMRLELFVGNPSSTWIDKQHKLLRLKNKASRDMHLDLVSNYRLTQPYPFVCAEQVHDLSTADPFQ >Sspon.06G0008100-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6B:33392580:33395282:1 gene:Sspon.06G0008100-2B transcript:Sspon.06G0008100-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALKAAVSVTPLLPSPPLRRLLLSPSSGPAAARRIARVSAAMATTAVQPAVVVGGGRVGQALLSMGPPGGDVLVGRGEKVPDDAPGPILVCTRNDDLDGVLEATPKSRWCADLVFFQNGMLDPWFESKGLVDANQVLAYFAISKLGEPPVDGITDTNPEGLTAAFGSWAPAVAARLQNGGLTCKVLEKEAFQKQMLEKLIWISAFMLVGARHPGATVGVVEKDYRSEAVSLIAELTSAAAVERGLTFDEGIEERLCAYSRAVAHFPTAVKEASPTNEDLLRS >Sspon.01G0046510-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:98022854:98025378:1 gene:Sspon.01G0046510-1B transcript:Sspon.01G0046510-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MENDVKEARQGQQQHRVFPWGMLKVFLLLGLGLSAAGMLMARHGHEVAAATLFRPWLGVSPAADADAAVAAAEGLEHSMTDEELLWRASFAPGVRRYPFRRVPKVAFMFLTRGPLPLAPLWERFFRGNEGRYSIYVHALPSYRANFTSESVFYRRQIPSKVAEWGQMTMCDAERRLLSNALLDISNEWFVLVSESCIPIFDFNTTYQYFLNSSQSFVMAIDDPGPYGRGRYSWNMTPEVELDQWRKGSQWFEVNRELAIEIVKDTVYYPKFKEFCRPSCYSDEHYIQTMLSMETPQSLANRSVTWVDWSRIAAHPA >Sspon.05G0014340-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:52581008:52583239:1 gene:Sspon.05G0014340-1A transcript:Sspon.05G0014340-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLCSASDFFSSAPIFRGRSTLSSLNTYVLHPPVLRLAVQHTRPQREDGGTLGSFQQLLRAANFGVLSASDYGSVTCGANPYLPHGGFSELPVDTVEEIVPEAVYDDGYSAGTESENDTPREVGSPDLFVEDNRSHSTIEYDDYIVSITDCIGAEEFMLGHDFDYGDFAVGIDDTDLTDLDSEN >Sspon.02G0047750-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2C:19371073:19371323:1 gene:Sspon.02G0047750-1C transcript:Sspon.02G0047750-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGPYCVWFNSISPRPRPASGDDGLEVELVTNSDLDLEAASTVAPAARDAPCLRRVRRRPTAATFSGFRLARVVTQTLRQMNR >Sspon.02G0029130-3D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2D:99298005:99299649:-1 gene:Sspon.02G0029130-3D transcript:Sspon.02G0029130-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial import inner membrane translocase subunit TIM9 [Source:Projected from Arabidopsis thaliana (AT3G46560) UniProtKB/Swiss-Prot;Acc:Q9XGX9] MDKSMLGDLDSLPEEDKMRMAAMVDQLQIRDSLRMYNSLVERCFTDCVDTFRRKTLDKQEESCVRRCAEKFLKHSMRVGMRFAELNQGVATPD >Sspon.07G0031880-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:34590925:34593752:-1 gene:Sspon.07G0031880-1C transcript:Sspon.07G0031880-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQAKCVEFCFPTAHIRALSGDIAWRVIVASNEGAATVTGNASAANSCGVGPDELGVVAAGLPTLTAVGVAVVVLPLPEPGEERVRGTAAS >Sspon.07G0033920-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7D:61841324:61847475:1 gene:Sspon.07G0033920-2D transcript:Sspon.07G0033920-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTAADPAAALPLTLDLEDFKVGFPPLSQPFPPFFLGFGFGFGCLLRDAISSSLALLPEYRGEDDAAPAPPPPPPVLGAAPPVFPAVDELLGLFKHSCKELVDLRRQIDKRLQNLKKEVAVQDAKHRKTLGELEKGVDGLFDSFARLDSRISSVGQTAAKIGDHLQSAESQRETASQTIDLIKYLMEFNSTPGDLMELSPLFSDDSRVAEAASIAQKLRSFAEEDVGRHGVTSAVGSANASRGLEVAVANLQEYCNELENRLLARFDAASQRRELSTMAECAKILSQFNRGTSAMQHYVATRPMFIDVEIMNTDIQVVLGDEGLQADCNSIADGLSTLYKEIADTVRKEAATITAVFPSPNEVMAILVQRVLEQRVTTILDRILIKPSLASLPPLEGEGGLLQPTTVAANMRSIFACLLDQVSQYLTEGLDHARESLNEAQALRDRYVIGTSVSRRVAAAAASAQEVAASAGESSFRSFMIAVQRCTSSVAILQQYFSNTISRLLLPVDGAHPSACEDMGSAVSVVEAAAHKGLLQCIDTVMSE >Sspon.04G0014340-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:59044405:59048665:1 gene:Sspon.04G0014340-4D transcript:Sspon.04G0014340-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNRIGGRRKSGVEERFTRPQGLYEHKDIDQKKLRKLILEAKLAPCYPGADDAAAGGGDLEECPICFLYYPSLNRSKCCSKGICTECFLQMKPTHTARPTQCPFCKTPNYAVEYRGVKTKEERSIEQFEEQKVIEAQLRMRQKELQDEEAKMKRKQSRCSSSRTVTPTTEVEYRDICSTSFSVPSYQCTEQGNECCSSEPSCSSQANMRPFHSRHNRDDNVDVNLEDMMVMEAIWRSIQEQGHLVNPVCGSYFPVIEPPSRERQAFLPAAPLEMPHPVAHTQSLT >Sspon.01G0008440-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:25236384:25241597:1 gene:Sspon.01G0008440-2D transcript:Sspon.01G0008440-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLAQHVAGLPCPPLSGASRRRPAAQRRPPSALVCGTYALTKDERERERMRQLFDDASERCRTAPMDGIAFSPDDLDTAVESTDIDTEIGSLIKGTVFMTTSNGAYIDIQSKSTAFLPLDEACLLDIDNVEEAGIRPGLVEEFMIIDENPGDETLILSLQAIQQELAWERCRQLQAEDVVVTGKVIGGNKGGVVALVEGLKGFVPFSQVSSLYDSLFDNVLFDLDQKTTAEELLDKELPLKYVEVDEEQGRLVLSNRKAMADSQAQLGIGSVVLGTVESLKPYGAFVDIGGINGLLHVSQISHDRVADISTVLQPGDTLKVMILSHDRERGRVSLSTKKLEPTPGDMIRNPKLVFEKARINKNNIFSCAEYVLGNKLTYCCFCSNQADEMAQIFRQRIAQAEAMARADMLRFQPESGLTLSSEGILGPLSSDVPSEDSEPTDE >Sspon.06G0000630-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6B:1101864:1102835:1 gene:Sspon.06G0000630-2B transcript:Sspon.06G0000630-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGNSVMWQHLEVEDLLLYHKEKILLYYKEKIHESGRAFVLREVHHEECARRLNEKYGTNFTWKQTYNKYHKLKGEWKLIMEAKSAKGASFDDVQKKIIYDEIEVVKMKAKGDKKAKYYNVPIPLYDEMEFVFTGKHAAGEFSVVEAPFVSSARQEGDLVGNVDPTQELADLNGYPSQHDSDTHPESENPNPVGSKRKHEEKEKKGKWAKQGVPIFMQALSEAVSFTHGTDPHEGIFKAIEDMDEYPLPVRLDLLTYLAQNRHIASMLKGRQEETFKQWVARWVAGHYPL >Sspon.04G0009830-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:28637038:28639760:1 gene:Sspon.04G0009830-1P transcript:Sspon.04G0009830-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARAPLLLTLLCACACAAALGPANAAGRKMVGVYELKTGDFSVSVTNWGATITSVVLPDSKGAFRLSSDPLSKNPSMASVLCAVRVRLLVHRHQRIYSASPTSFAGNLADVVLGYDTIGGYVSGKSYFGALVGRVANRIANARFVLDGKAYHLFKNDGNNTLHGGHRGFSQVIWTVKEFVGGGDSPYITLYYHSFDGEQGFPGDLDVYVTYQLASPYVLRVHMNATAANKATPVNLAQHTYWNLGGEGSGDVLHNTVQLFASRYTPVDSALIPTGVLAPVAGTPYDFLAPTPVGSRIRQVSGGKAGVYGYDTNYAVDGDAGALRKVAVVRDGASGRAMELWANQPGVQFYTGNFLQDVEGKGGKVYGQYGALCLETQGFPDAVNHPDFPSQIVRPGQAYKHDMVFKFSF >Sspon.01G0044430-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:82426099:82432157:-1 gene:Sspon.01G0044430-1B transcript:Sspon.01G0044430-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGASEASPPCARCGKPAQLQCPKCAELKLPRENAAFWAAWGSHKSVHPKPGALASQQSPEGWKYCVRKGRGRALELPRFDWTGPLRPYPISKMRVVPDEIEKPDWALDGIPKIEPDSDLQKRVEIKTPELIERMRETCRIAREVLDAAARVIKPGITTDEIDKVVHEETISRGGYPSPLNYHFFPKSCCTSVNEVICHGIPDARKLEDGDIVNVDVTVYYKGVHGDLNETYFVGNVDEASKQLVRCTYECLEKAIAIGDRDGM >Sspon.01G0004120-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:9752128:9753584:1 gene:Sspon.01G0004120-2B transcript:Sspon.01G0004120-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Basic helix-loop-helix dimerisation region bHLH domain containing protein [Source: Projected from Oryza sativa (Os03g0171700)] MSSSGRRGRISDDEINELISKLQALLPESSRRRNASRSSASKLLKETCAYIKSLHREVDDLSERLSGLMSTMDNDSPQAEIIRSLLR >Sspon.04G0018740-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:67208303:67218890:-1 gene:Sspon.04G0018740-1A transcript:Sspon.04G0018740-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPTPGMTQGTSASSRIPYVTDNNALSSSGGGMVPQNANMGASMQGPMSNGYQHLNTNIPQNSTTNNVQSTMGSVGVQRQLPHMIPTPGFSNQQNVPSNPDYSNGTGYFNGESAVAPHMQHQKQFPSNQNSNQIQHIGGHNNSGMHSSILDNSSAYGLSDGHMNGGIGVHGSNMQLTNRTTAPEAYMNISPYGNSPKPMQQQFNQHPQQRIPTSVDMAGSGSFYATGSTPLTTANSQSMNVANLQSRSRMNHMLVSNQLNIQSIQQQPQIKTEVLDQTEKVNFQSPQLTHDQLLRQHPMPQHQVQPNSQFVQNQYHINQQQPNPQHQQSMLRNNSFKQSQMVSTHSMQLPEQGTLPHTELVSSQASDPADLPSFQSQYQQRNALDNVKGGQMLGHLSSSQNFHPSASHGSQQLLPSNPQLDDGSNAVSYVLKGSQTEQMLRPQWQPQTMEKAPVTTNSTLEKQIQEDFCQRTMAQDGAQQPFSSDWRLSNCTATSIDPAVPKPAAEQVTGNIHYLRQIKWLLLLFHAKTCTYPVGSCKFHGCVQVQELLKHFQNCQRKDCSYRSCSKSKTMSHHYKTCVDEQCPVCSVVRKFLRQSTEQASKQKALESRKLAQQNVPQRIMNGIEGDRMDVDAVSAEAFDDQPSVPKRLKMHPPSPSGPENDISVVSNHHVNPGFALQETRPEQFEHNNRTAYLKREVDAKSDIQAPQKPIKNSYGIDGNVTTSRHNVIPGVPSEMNSHIKQENLSVDKETRETALEVKNETNDPTDATVSKSGKPKIKGVSMTELFTPEQIREHIDSLRLWVGQSKAKAEKNQAIGHSENENSCQLCRVEKLTFEPPPIYCSPCGARIKRNAPYYTVGTGDTRHYFCIPCYNESRGETIEVEGQTFPKAKLEKKRNDEETEEWWVQCDKCECWQHQICALFNGRRNDGGQAEYTCPNCYVEEVKRGLRKPLPQSAVLGAKDLPRTVLSDHIEDRLFKRLKQEKQDRAAAAGKNIDEIPGAEGLVVRVVSSVDKKLEVKPRFLEIFQEDNYPTEFPYKSKAVLLFQKIEGVEVCLFGMYVQEFGAECSFPNQRRVYLSYLDSVKYFRPEIRTVSGEALRTFVYHEILIGYLEYCKLRGFTSCYIWACPPLKGEDYILYCHPEIQKTPKSDKLREWYLSMLRKASKEEIVVELTNLYDHFFITMGECKAKVTASRLPYFDGDYWPGAAEDMINQLRQEEDDRKLQKKSKTKKIITKRALKAAGHTDLSGNASKDAMLMQKLGETIYPMKEDFIMVHLQYSCSHCCILMVSGRRWVCHQCRSFYICDKCYDAEQQLEDRERHPSNSRDTHMLHPVDIIGLPKDTKDRDDILESEFFDTRQAFLSLCQGNHYQYDTLRRAKHSSMMVLYHLHNPTAPAFVTTCNVCSHDIETGQGWRCEICPDFDVCNACFQKGAVTHPHKLTNHPSAADRDAQNAEARQMRVQQLRKMLDLLVHASTCRSGSCQYPNCRKVKGLFRHGMQCKTRASGGCVLCKKMWYMLQLHARACKDSDCNVPRCRFVDVVLFCAYIYRFCVYSYSIAICSRPDYLWDLKEHLRRLQQQSDSRRRAAVNEMMRQRAAEVAANE >Sspon.01G0045400-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1B:89493660:89494012:-1 gene:Sspon.01G0045400-1B transcript:Sspon.01G0045400-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GGGKGQDQQHISAALCHFAVGPGAADLAARVEKRETRGVPHPPTHALLIASQVATERNQGKAATSQTSREASASRDREHIPPAFDLRVTRAPPLPLPPPPTHARTPASAGAASRRS >Sspon.03G0016100-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:81925608:81928212:-1 gene:Sspon.03G0016100-3C transcript:Sspon.03G0016100-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFVSATAAAPATLFLSVLSAPQGAGACVLPQRRQPFLAPLHAAKGPNSAPVVLESKAKGKKKGSGVGNLAGALDVEIREAQEYLDSDEQDPVPDNFPFEIIDEEGMSVVILRRDYKDEKIEVTVSMPNLDGGPEFDDEDGEGDGESAVKDDEEAEEDDESAGDSSISLKVVVSKDSGPKLEFTCTAFREEITIDDMLIVEETEEMEKRSSPMRALSSPSSL >Sspon.01G0003150-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:7351281:7354383:1 gene:Sspon.01G0003150-2B transcript:Sspon.01G0003150-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FH protein interacting protein FIP2 [Source:Projected from Arabidopsis thaliana (AT5G55000) UniProtKB/Swiss-Prot;Acc:Q9SE95] MESPEPSSSSPVLLNIGGKKYATTVETLTQREPDSMLAAMFSGRHTLPQHPTTGKGMVFVDRDGKHFRHVLNWLRDGAIPVLSESDYQQLLREAEYYQLLGLADYINERLGWKKADSLEAELTRKDVIKSIQAQRVRFRGVNLSGLDLSKLDLSEVDFSYACIKNTDFSCANLYKAKFGVEALSSSFQNANLRECEFIGANLQGSILDGANLRSANLQDACLTRCSFIETDLHSAHLQGAKLSGTNLQDANLQRAYLREVDLRDTQLTGAKLGGANLLGAIR >Sspon.07G0030570-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:8945952:8947581:1 gene:Sspon.07G0030570-1C transcript:Sspon.07G0030570-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRASNFVPDPNQVLLDAKPLRSLAPMFPAPMGVNVNQSSTPPLVCVTPAPVLMVPLMPPLSLHTRQGSILLDGDDEPYSGNQTWHLNGSLGEAVLLVLVVVAQMVREIVEAVHMVFEALRRRHLQMDETQDASRRADLKAGAIMMASNIRANTGKRVGTVPGVEIGDIFYFRMELCIIGLHAPSMGGIDYMTTKFGNDEDSVAICIVSAGGYENEDDDTDVLVYSGQGGNSKNTEERHDQKLERGNLALERSLHRKNVIRVVRGFKDPFCLTGKIYIYDGLYKIHESWKERTRSGIHCFKYKLLREPGQRDGAALWKMTQRWIDNPATRGSVLLADLSSKAETVPVCLVNEVDHEKGPGHFTYTNQTLKWNCGPELIGEDSTYVSADEFEPLPIKISAKNMGNVSRFMNHSCSPNVFWQPVQYDHGDDGHPHIMFFALKHIPPMTELTYDYGVAGAESSGS >Sspon.04G0035250-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4D:6042592:6043341:-1 gene:Sspon.04G0035250-1D transcript:Sspon.04G0035250-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding IPGRSASELSGEASRSSPIHPSPKSNRRVAREERDAGRFRQGLFIPFVRFSSPRGTQVLTEAKPEEEERGVAGGAWDAPMRKFQDSVKALQADIDHANALASEFLWDYDGSVIQMRMAYSAVAHFLVQWTDCKLAGALGLLKIMLYKVSIALHPVSWPCSIRFLRRELAVELPFLLRQVYADGSSSALPDWEREASIRQFY >Sspon.06G0002950-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:8076227:8093529:-1 gene:Sspon.06G0002950-1P transcript:Sspon.06G0002950-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNVVPSVSSLPDTLPSRNPSYAKASYCQGCYSALRLNKKVHSKAVKLLQAIPQPFLSLHLRFEPDMVAYSRCAYTGLSSKSMDSIEAALGEGRKVLTGDAARLWRNRGKCPLTPSETAFILQALDIPTNTNIYLAAGDGLMELEGFTSVYKNIYTKSSLLAHEDFENMHGNTKAALDYYVSVNSDAYIATFFGNMDKMVTAMRTMRGLQKTLVLSRRAFANYTTAGLAGEQLAKAMWDAHREEYIRGRGSALPEYCFWYPNEDFKFKGKFSLPITISKQIEVGHVFDF >Sspon.01G0026660-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:94406270:94408254:1 gene:Sspon.01G0026660-1A transcript:Sspon.01G0026660-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRWGSSGQAADSFYQVRPDCSQNVPNTKFKVKAGKTLSVRKWHAAFTRDGCLDIASVLSRIQRGGVHPAIRGEVWEFLLGCFDPGSTFDERDQIRERRRSIGLDVLRTDRTMVFYENKDNLSKLWDILAVYAWIDKEVGYCQGMSDLCSPMIVLLNDEADAFWCFERLMRRLRGNFRCTQQSVGVENQLQHLASIIQVLDPKLHGHLETLGGGDYLFAFRMFMVLFRRELSFGDSLYLWE >Sspon.07G0025640-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7B:45889143:45889364:-1 gene:Sspon.07G0025640-1B transcript:Sspon.07G0025640-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSPYALAETSPRQEELAPASLVGEESVAGAPTRTSPRWGGIHSHRKEFAPTSLIGEQSKERERSLLCSCKEH >Sspon.02G0007250-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:15393165:15399292:1 gene:Sspon.02G0007250-4D transcript:Sspon.02G0007250-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSVAAMPHESASWRDPSRPTPSRGFFNILISSPDAAGAAGSASASSSASEPTPRRRRQILDRWAAAAAAVTASAAPEPADQRRRARDAELSELASATRPVAARAAVFREPSPAPSDASSTAPSFAAATAAASAPSELPPAGPRASSLIQRWREIEAVGPATPRPGTAAADPGGASDSDTGSPRGRVGCIVKKLSGASSLPEEELDDVAKSELSLSQSAPPSPAPMRDASSQYPYPSGAINCPRPPHLVVRTVRGRRAMEELVAAMAHRRRREVAALAERHAVSRFAHKGRIQSMLRLRLLRQRGTVEDELWSLLKPVKPYQPKHVGELRYESRDTDLREANNYSQQNNGHDEHFCNDRVPAEEKSIDVSAEGLVDGSGNLQCDEKMKTKGDTCQKDCKSFCVHSQKYSEASSFARYGEHSTIDENQFVEDISPSTTSTLHELETPSSRGDNLREEDNQSINGSWEERGLWISSLGWPAPIDTMSPDSWHQDTMGDIENHNNQIQFNDRPWIDSPNSWRSLCVVTQSDYRALSRNADICNLLESKKVSKSLESDFSNKMNQLLLTVLQKQRQQRMMDDFGGYYDERMYWRQNDEIHDADKEASAPCSLAPVSHLGAHQQESWQHSSFGSQHHDNQNLLEMEVRVRGEMSQIHHEIYELRKLVESCIASQVKMQHSIKEEVCSALREAGLMPSQPDTTAAKRECFVDGEDFYGDLDFKETRT >Sspon.01G0025280-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:89393579:89396179:-1 gene:Sspon.01G0025280-1T transcript:Sspon.01G0025280-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LQWRSAATQGSWSDGAGDDNIILNPEFDDGLDNWSGNGCKIELHDSLDDGKVLPANGKYFVAATGRTDTWNGVQQDVTARLQRKLLYEATATVRLHSRRRRAAPWRRARSQVSDKEWVQLQGKILLNSTVAKASIYIEGPPAGVDVLLDSLVVKHAQKAPPAPAPDFENLEYGANIIQNCNLDDGLNGWFPLGPCALSVHDGGPRVLPPMAQESLALDDEPLNGKHIHVTNRTQTWMGPAQIITDKLTLYATYQVSAWVRVGAQAQQASGAGAPQTINIAFAVDSQWINGGQVLARDERWYEVGGAFRVEAKPASRVMVYVQGPDAGVDLMVAGLQVFPVDRKARVKHLKRLTDKVRKRDVVLKVTGADGAAVKEADGVEVRVRQVSNSFPLGACIMRTNMDNEDFVDFFTKNFNWAVFGNELKWYWTEPQRGQVNYGDADDLLRLCSDHGMCVRGHCIFWEVDNAVQQWVKTLSTDDLSAAVSSRINGLLTRYKGKFKHYDVNNEMLHGSFYQDKLGKDIRAAMFKTASQLDPDALLFVNDYNVESMCDTRATPEAYIQQIIGLQEQGAPVGGVGLQGHVSNPVGPVIRSVLDRLAVLGLPIWFTEVDVSSANEHVRADDLEVMLREAYAHPAVEGVMLWGFWELFMSRDDAHLVDAEGQVNEAGRRLLQLKREWLTHSHGHADENGEYKFRGHHGEYHVDVTTPTGKVSQTFTVDKDDAPLVLNIK >Sspon.01G0063080-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:111082116:111082975:-1 gene:Sspon.01G0063080-1D transcript:Sspon.01G0063080-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GATAESSQPCARAAAAFPPPGRRARSRGRHRHRHRLRLQPDAGVPPCGAVQHGRPRRRHQRHLGRRGAARLRPVPAHPPPLPVAALGGGPGAAARRHRPAQRARRGPRDALRARAPALLALRPPPPRRRPAARRPRARFPARRAPRPSPPGPAARRCTTACSRAPGPPLPVDPASSPRCSGTRARPTPSGARTPTSTRPAWRPSSATSAGTWACRTCSSSRSGWPPGQGKFVDIVRQAQRRVSLHNVKYVDAKGLPVASDYTHLTTPAQVQLGKMLAASYLAATL >Sspon.04G0004840-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:13972159:13975890:1 gene:Sspon.04G0004840-2C transcript:Sspon.04G0004840-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:E3 ubiquitin-protein ligase makorin [Source:Projected from Arabidopsis thaliana (AT3G08505) UniProtKB/Swiss-Prot;Acc:Q6IDS6] MSRRVPCKFFLHGACFKGDYCEFSHDCNDQPDNICKSTTTLSAHQPAWAVDYHDHDTPDDTTSWPSYQAVQNQTSQHPAHLSNCSSAAAGTCPYGKDCSQMHGDLCASCERQCLNPNQPDERGVHVKLCKKNNRLLVAMRKSEDLECGVCLDRVLSKPTAAERRFGLLSDCDHSFCISCIRNWRSTSPTSGMDVNSTLRACPICRKLSYYVVPSITWYSSKEEKQEIVEGYKAKLRSIDCKHFDFGKGTCPFGSSCFYKHAYDDGRLEDALSNHRDADDASVAIARLMRLSYLLTRVHV >Sspon.02G0030430-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:109336561:109337224:-1 gene:Sspon.02G0030430-2C transcript:Sspon.02G0030430-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTYNPGDGRSSSTEEIPSDQQNHSENSLSERRSSQQVENGVPSTSPAYSDTDDDDCGLGLLYNELLDEPGPLLELVPMGIHWFGVGKNSVTRDALGR >Sspon.05G0016810-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:5C:64799303:64800370:1 gene:Sspon.05G0016810-2C transcript:Sspon.05G0016810-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVARLSARAARPPLPWLLLPSRFRNDPAFRAACVLSGCCVHPHHNHLSILPLPPGARFVGSYDGAWIFLHYGGSMRAHGLLNLRTGQSLAIPSSFLRWDDLYLNGMFILAATLSSSPDDPNCIGAAIVVAWRDPDPYAAIAAPLPPLRRFLAFWRMGWAVASEMVLGGAAANHPGVYHVEDVVHHDGAFNVLVNYGDHILVCTQAAPVHQGTCRWMKSELLRFRPGERIYGQFVRARHIVVSRGELLKVMRWKPRRDQPTSMFKVFRATKRPQMPDDADFPVAEFPWAWNELDTLDGRILFLGYGCSRSYDADQYPGFEAGIFFLDDGKFYDEGVFFRDHNLRPYPCRDNGKYSEG >Sspon.05G0002090-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:4732111:4732452:-1 gene:Sspon.05G0002090-1P transcript:Sspon.05G0002090-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLGSVVRWCGGWRERRARRQKQLRLRRQHSGGTVWLGRRRRSCGRLAVSRLVRWRLVAELLRPIRKALMEMVAGAALAGSGSSSAPGRRQLVTLPQLNFPFVGTLTLPAIA >Sspon.01G0055740-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:83508812:83509778:1 gene:Sspon.01G0055740-1P transcript:Sspon.01G0055740-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVRKCFLCAGIRSVDYVDISSSSHHETTESSASERFVRCRKVVPVIMGKLQKRLQHSHHLRSQEKIPSENKSSESDDLQIPLRQHYVLSRRNYLSEAQEERVIALIQEIQPESTAFVAVMRKSHVQPPCPYLIISKGYALAYFPHKSTNVTLQRPGKSRKWHPKFYKSKDEEMNMLKGSGWTLSVTIICRREISASFYRQWLGEDPHLQSI >Sspon.07G0006790-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:17579746:17583258:-1 gene:Sspon.07G0006790-1A transcript:Sspon.07G0006790-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGEAPEPRRLTRALSIGGGDGGWVPDEMLHLVMGFVEDPRDREAASLVCRRWHRVDALSRKHVTVPFCYAVSPARLLARFPRLESLAVKGKPRAAMYGLIPDDWGAYARPWVTELAAPLECLKALHLRRMVVTDDDLAELVRARGHMLQELKLDKCTGFSTDGLRLVARSCRSLRTLFLEECQIDDKGSEWIHDLAVGCPVLTTLNFHMTELQVMPADLELLAKSCKSLISLKISDCDLSDLIGFFQFATALEEFAGGTFNEQGELTKYGNVRFPSRLCSLGLTFMGTNEMPTIFPFSAILKKLDLQYTFLTTEDHCQLIAKCPNLLVLAVRNVIGDRGLGVVADTCKKLQRLRIERGDDEGGVQEEQGGVSQVGLTAIAVGCRELEYIAAYVSDITNGALESIGTFCKKLYDFRLVLLDREERITELPLDNGVRALLRGCTKLRRFALYLRPGGLSDAGLGYIGQCSGNIQYMLLGNVGETDDGLFSFALGCVNLRKLELRSCCFSERALALAILRMPSLRYVWIQGYKASQTGRDLMLMARPFWNIEFTPPNSENAGRLMEDGEPCVDRHAQILAYNSLAGKRLDCPQSVVPLYPA >Sspon.03G0016020-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3A:51216729:51217976:1 gene:Sspon.03G0016020-1A transcript:Sspon.03G0016020-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNEIRKLKRGISTKPSDHLVQNVSVMMRLRLPRGGYSFAGQHPSYEWEEQQRYWPSPRAPPVSPTESPRTPGGSQKKAVLGKVKSKAKKWMHMLHHKKKPAQEEMMWTPRAGPGPSAEDNKAKEERRHAEYRGTPRKLQQHAPSSGDPERASEVFLEASPRQNSPAPSPTTDQEQPYFKVSSRFESEMKEANEMLMESKKLRVNTTKPKTVTFAPTIERELGNEKSGWKDRELPEAASEVFRNAFATVYQMVLKMIAKIQEAMVAYNIDRRHMIEKLISVNRYLMLKLEPGEDDTLLSEVITDAILNLFDTWSENVERPL >Sspon.05G0016660-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:68414266:68415557:-1 gene:Sspon.05G0016660-1A transcript:Sspon.05G0016660-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAATADPPGYFVGRPLHYQDQQPQTVPPPQAPPPAAAAPAVDDQNAVNAQVPVGAFYEQVGNLTLCKAQLSQEFSHPMVCPSRKLGSGEFE >Sspon.02G0016670-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:46680964:46694895:1 gene:Sspon.02G0016670-1A transcript:Sspon.02G0016670-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPRPPIGFSNGKSCPSEPPGKPNPLNLHSQFPQQIAFSHPSYTMSAPHQQFPQQHLYPQNVQYVVVQPQYAPFSLPQLPPQPAGTMSMPPPASAGTMPLPALPLQPPIAGAVPFMSVSYSGTPHAVTGPDLQDTVSVDNEENAQPNRTSRRLRLNWTELESLRLGSAFLFSYMFVLELQINAWLNSFKLNSSRKNGVHWGNVTKLYNSSTPKDRRRSRNQLKLHWHKITMKMAHFYDCWCQIEKKYSSVQSDKMQLMDKTWVKFDKEARAMYLEEAKHHFTLGHLWKAVWDQPKWKSYISSLYSKGTKLSESGDCTSSSDDANDVSEKEMDDKDSMSAKKKREGKSKMSSPSIQLQQGIQSSVGPQNVLEKNNLVADTSRLYEFQPEKEKLMAGTLSFNELHHGNSVREDVPEKVRHPQDCKGLEHAMTVRCAPEKETHPQSSKMEKAKRKRRGNLSCPSSEVQEDIKRAMDLQRMLQKDREKMSEVQIQLSNEKLEMARLKHQEAKEKKETTLYEKYTELLMADTQRFNDFQKEEHQKAVKCMGVMLFGKDGNCPSEPPGKPNPLNLHSQFPQQIAFSHPSYTMSAPHQQFPQQHLYPQNVQYVVVQPQYAPFSLPQLPPQPAGTMSMPPPASAGTMPLPALPLQPPIAGAVPFMSVSYSGTPHSVTGPDLQDTVSVDNEENAQPNRTSRRLRLNWTELESLRLGSAFLFSYMFVLELQINAWLNSFKLNSSRKNGVHWGNVTKLYNSSTPKDRRRSRNQLKLHWHKITMKMAHFYDCWCQIEKKYSSVQSDKMQLMDKTWVNKGMYLEEAKHHFTLGHLWKAVWDQPKWKSYISSLYSKGTKLSESGDCTSSSDDANDVSEKEMDDKDSMSAKKKREGKSKMSSPSIQLQQGIQSSVGPQNVLEKNNLVADTSRLYEFQPEKEKLMAGTLSFNELHHGNSVREDVPEKVRHPQDCKGLEHAMTVRCAPEKETHPQSSKMEKAKRKRRGNLSCPSSEVQEDIKRAMDLQRMLQKDREKMSEVQIQLSNEKLEMARLKHQEAKEKKETTLYEKYTELLMADTQRFNDFQKEEHQKAVKCMGVMLFGKDDVFDEATPNAPSWLVAPSSTSARELQIIPGGGSAAAHSCLQRLRQPQLTKVQGAQASMRCGRRAAELACAFSEGVRRTGKEGSDKKLNDEVTLTQELKGNRGIKDSELILLK >Sspon.03G0009800-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:33132197:33132733:1 gene:Sspon.03G0009800-2B transcript:Sspon.03G0009800-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AMASTKQLAPVLAVLVSLHLLVWCGAALRHHAKPDPPCGSGGADDSESVPGMLHHKGGHPSCSSPAVSSKRGTPAVMTVNGFQKGESGGGPAACDGQYHSDHDKVVALSTGWYAGGRRCHKLIRITCTRTGRTVEAKVVDECDSTHGCRRNIVDTSPAVWKALGLDTNVGVVPVTWSDV >Sspon.03G0002230-2P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7B:15969794:15970132:1 gene:Sspon.03G0002230-2P transcript:Sspon.03G0002230-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTATAPRLSVPKSGASYQTAAAPAGKTVSFVGYTRRQAPHTSGLLRLSSRFVASAAAVHKVKLVGPDGAEQEFEAPEDTYILEAAENAGVELPFSCRAGSCSTCAGKMSAGE >Sspon.04G0018970-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:73387801:73390830:-1 gene:Sspon.04G0018970-3C transcript:Sspon.04G0018970-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Trivalent AI influx transporter, Aluminum (Al) toleranc [Source: Projected from Oryza sativa (Os02g0131800)] MLGSEYGLTVETDMQAGADFKYQLLWVILVGMVFALLIQTLAANLGVKTGKHLAELCREEYPRFVNICLWIIAELAVISDDIPEVLGTAFAFNILLKIPVWAGVILTVFSTLLLLGVQRFGARKLECIIAAFMFTMAACFFGELSYLRPSAGEVVKGMFVPRLQGKGAAANAIALFGAIITPYNLFLHSALVLSRKTPRSVKSIRAACRYFLIECSLAFVVAFLINVAVVVVAGSICNAGNLSPGDANTCSDLTLQSTPLLLRNVLGRSSSVVYAVALLASGQSTTISCTFAGQVIMQGFLDMRMKNWVRNLITRVIAIAPSLIVSIVSGPSGAGKLIIFSSMVLSFELPFALIPLLKFCNSSKKVGPLKESIYTVVIAWMLSFALIVVNTYFLVWTYVDWLVHHSHLPKYANALVSIVVFALMAAYLVFVVYLTFRRDAVATYVPVSERAQAQAEEGGGAQAVASAADADQPAPFRKDLADASM >Sspon.08G0002180-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:6767016:6774734:-1 gene:Sspon.08G0002180-1A transcript:Sspon.08G0002180-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSLFAAARRLLSLGRRGGLLPVLPSRAPPLTARRRESRRRGRRACGTRCGRWANRAHSLCRRLNCGRRGPETNLRDVDLRRIVKELRTRRRHRQALEVSEWMNLKGHIKFLPKDHAVHLDLIGHIHGVGAAETYFNNLSDKDKTEKPYGALLNCYARELLVDKALAHFQKMKELGFVFTALPYNNLMSLYTNIGQHERVPLVMAEMKSNGIVPDNFSYRICINSYGTRADFFGLENTLEEMECEPQIVVDWNTYAVVASQYIKGDLREKAYSALQKAEAKKGKKDLDAYRHLISLYGHLGDKSEVKRLWALQMSYCQRHLNTDYTNMLAVLVKLDEIAEAEDLLKEWESSKNELDFKVPNVLLTWYCQKGLLDKAEALLDGFLIKGKMPPSTSWEVVASGYTEKGDVAKAYELTKNALYMYAPNSGWVPSPSMIEMILKYLGDEGEVKDVEAFIDLLKVAVPMNSDMTEALSRARAREEKKAEEATEARREDIIA >Sspon.07G0028750-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:68608929:68613700:1 gene:Sspon.07G0028750-1B transcript:Sspon.07G0028750-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRGAPIISLILLLLLLLSFALEAQRGSGKPSSRRPSASASQEEYVPAKSVVYRPSSSVLPAAVSYEPFELCQGCRCCSSSNASSCVDTSCCYAIDCDLPGKPFGTCAFTPHTCGCGAATATANCTQPSSHIRPHLTLQDDPSVPWKTNRPSITWEMGERVKIPAAA >Sspon.03G0023100-4D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3D:55934779:55935041:1 gene:Sspon.03G0023100-4D transcript:Sspon.03G0023100-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding NPPVIEVESVPEQSWFPQPAANRHGQQPAQRSGSKVLLVLIRTNDTAEASRVVLVQLEMATGNSPSGDGSSSPSPRGQIFPIPVPV >Sspon.01G0033490-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:112747322:112749998:-1 gene:Sspon.01G0033490-1A transcript:Sspon.01G0033490-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MILAVLFANSEGNILIERFHGVPAEERLHWRSFLVKLGSENLKGSKNEELHVASHKSVSIVYTTIGDVCLYIVGKDEYDELAFKDVCGKPPTERLFLDKYGRICLCLDEIVWQGLLENTEKDRVRSYNHCAYIQSSGNDC >Sspon.03G0021930-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:85697874:85698434:1 gene:Sspon.03G0021930-2C transcript:Sspon.03G0021930-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTVRLLALAVLSLSFLHLSLPASSLPLLLQAAAAGEASEPDDAAAAAPALARRACTYTVQIKTSCSSPRSSADAVSLAFGDAYRNEVYAARLTPRYGFERCATDTFRVSGPCGYGVCYLYLRRSGRAGWTPEWVRVYEPTTSSTPSTFYYGDPLPDGVWYGFDRCVAAGAGASSEPGAAAQAL >Sspon.05G0037140-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:9833062:9834103:1 gene:Sspon.05G0037140-1D transcript:Sspon.05G0037140-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDLLQLSLNRNDIQFRRLDGSMCLNLREQQVNEFKTDPKVRVMLMSLKAGNLGLNMVAACHVIMLDPWWNPYAEDQAVDRAHRIGQTRPVTVSRFTVKDTVEDRILALQMVESAFGEDGSRGTATKLTVEDLRYLFM >Sspon.02G0013430-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:35749940:35751509:-1 gene:Sspon.02G0013430-1A transcript:Sspon.02G0013430-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLNSRFLIPCLEEVLITVRSPLNAEQKLNTLESLVKYSVKLRTMVIRISQMKNCHEAADEFFEEICVQALPHRLAEWAPRRARRAPRRARRAPRRLGGGTSPCLAFTP >Sspon.08G0022740-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:50813863:50814234:1 gene:Sspon.08G0022740-1B transcript:Sspon.08G0022740-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSDSDCGSDAGAEWVWVRRPSEAEAVAAAAGWPADEEARPLKVVFGSPAKYFTDAAPIGNGRLGAMVWGGVESERLQLNRKPPLPLHPCSWIVLGCFAPLSNITSRRGKKLCFFRSTSLLSI >Sspon.07G0034410-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7C:72464876:72466072:1 gene:Sspon.07G0034410-1C transcript:Sspon.07G0034410-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHRRRRAGDGRLRDNIRPVPVFRDGKHDDAPATVDYGTTSGQYPFPATGNMTTYSYVLYHSGNIHDAVIGPLQPSTTYYYRCSASPSRELSFRTPPAALPFRFVVVGDLGQTGWTDSTLKHVAAADYDVLLLPGDLSYADFVQSRWDSYGRLVEPLASARPWMVTQGNHEVEKLPLVEPTPFKAYNARWRMPFDAGAVPSGDNLYYSFDVAGGAVHVLMLGSYTDYGAGSSQLRWLRADLAALDRRSGRPAFVLALVHAPWYNSNEAHQGEGDAMRDAMEALLYGARVDAVFAGHVHADERFKRIYAGKEDPCAPVYVTIGDGGNREGLADKYIDPQPPISAFREASFGHGRLEVVNATHALWTWHRNDDDEPVVADQVWINSLAANPACNRSKYRM >Sspon.08G0008410-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:31252877:31258934:1 gene:Sspon.08G0008410-1A transcript:Sspon.08G0008410-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADTARDAFPDEAETAFTLDEYIEGMEAVELEADLVLGGDDGKDCTYAGGYLKRQAVFSCLTCVPDGVAGVCTACSLACHDGHEIVELWTKRKFRCDCGNSKFGGHLCKLCPEKDSENSANAYNHNFKGSYCTCGRPYPDPEAKEQVEMIQCSICEDWFHGDHIGLNSIEEIPRDEEGEPLYEEFICHKCSPVCHFLKLYPDTIWASGKQNLAVQTDASDPNVMEKPSGHANTEKHENGALVDQTVGKMTSIGNDSTKAIAVPEEANLGSSSGSNCKLGMDANTMPAVTDKSEPFFMSKGWRETLCRCETCSNFYTQRGIAYLIDKEDSIEEYEKIAKQKREKKLEQQQGDATNFLNSLNHVQKIEMLSGINDMKNEFQSFLESFDTSKPVTSEDIRSVFENLAKKKKQRLS >Sspon.08G0001460-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:4927640:4939620:1 gene:Sspon.08G0001460-1A transcript:Sspon.08G0001460-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTAGYLARRAAQKERVRLLYRRALKDTLNWAVHRHLFYQDDNLDVIDRLIDDAEAQYRNFQHPDPYIGKLSLVILFHGHQVAVNSQETPLLLKGGINRRGVKGREAARWPRMQAQRSPSMAGVAAPRRRRRGGGWDAVPGDCARAAAVRGHAMGAITLDLRPGLGVGPFTLGMPISDAFAQIERQPNIYDVVHVKYFDEEPLKLDFVISFPDHGFHLRFDPWSQDNLDVIDRLIDDAEAQYRNFQHPDPYIGKLSLVILFHGHQVAVNSQETPLLLKGRTQRNKPSRSKRTGGREVGPRMQAQRSPSMAGGGGAAAAAAGAAVGMPSQGTVPVRRRCEGTAMGAITLDLRPGLGVGPFTLGMPISDAFAQIERQPNIYDVVHVKYFDEEPLKLDFVISFPDHGFHLRFDPWSQGLSFAFPIPSQYTNLFTNGEVADLPLEFPDGTTPVTCRVCIYDSSTDSKVGVGSLMDKAVVPALPAGSLYMEEVHAKDVWTDLGRPCGIHQKQVDQMVIHSASEPRPRTTLCGDYFYNYFSRGIDILFDGQEILGDCGRAAIQTQGSMNNPFGSTFVYGYQNIAFEVMKNGYIATVTLFQS >Sspon.02G0024300-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:84984807:84996345:1 gene:Sspon.02G0024300-1P transcript:Sspon.02G0024300-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQVFVWSRVSRGWRMGAVLQSGHVGSVLILSCSSWLLKRRGSGAIDGCKDGGGVARAWGGVKADEVGAKELGHGSIRWDWKLRSDVLWVPDVWALRDRHRQSEGNRKLALQAEGGGAKKGIGTPGMEGIGGKAVTFGTAGIGGNAAAFGTAGTLGMGGKVATGTAGTDGMGGKVVAAGTAGTAGIGGMAPTAGTVVGTAGIGGRAPTAGTVGTTGGFGTAGMPGTTAAGAAAGVVSARWRAAWVVVVLLSMSATTSAAVARRSDAEAAMGTTVVEEFGEGRWMRRSRRHRPSAHEQTPFPADTIRRQTHTPRLYTITHASRHGKMLDYAEGGGAKNGIGTPGMEGIGGKVTLGTAGIGGKVTLGTAGTAGTVGTAGIGGRVALGTVGTAGIGGSAAFGTVGAAGIGGRVAAGTTGTVGIGGTVTAPSGGKAAVAGTAGTAAGAAAEVDMTRAAPGEHERHDERRQAMCDLDRTATTSTQRKSNDGKASANEPSDHGGKASANEPSDQGGKASAKKWTNSLSVAKHLPERGSKSKLPQTTKHPSGETGGGRRTLSSKASTKGAANDHGGQASAKGENDSGKASASGAGEQAQREASPQPAARRGHEPMHQRGDAFKKDLNIRRRQHTGMSTESFDDASMEVYDASNAIAAGPEEPDRVFTQLLSSMDANHSSTLDHRTGKPLAARDKAGHAAPATEERRPGRPSFQREPGNGCRRPGNKPPSQRRPHPRPHATNDDGAPYPRPRPPVGGRSSWCRPPGCVACLPRHGVVGIHHGAMRFGVVFWPVLKLRDKEWLTSHDGPRHMNWANVNKHGAVGIGAMHASHQKR >Sspon.01G0029610-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:103849234:103853035:-1 gene:Sspon.01G0029610-2P transcript:Sspon.01G0029610-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVQTVPSERTNPLLNRSPSPSVATRLQPDAGNGAGFYDGSAYSFLDTTKPCTTRFSSGSVTSEDSPALTPRLLSFKSSSSPDNCSSSAEWRDRAAASRSNRYLFDANAQARCAEYLDLMRLEVDAQLGKLKGGVTGLESYALPDNGRVIGGAHLGMSLDVMLIEIDERFNALKLLMGSVFRQAKEMLGSVNSSVSDLQSENELQLEVFSAVIGECVSGLQEELERKLYEQINITNTMSRNWKEAITQFAAMREDLGALCKLLLPLVPEAHISNGKNESPGNRSNRWKYNFFGKKPKEDRSPRAEDSKSFRKQKSFGAKDVISEKSDFRHLNGMTREQVISYFKSEISKLKRMHESALQEKTEELFRFKREKGSHSLKNDIEFEPLRKKIPEIVLRMDQIISKNIKIPAICMTHDELDERCRLMSRIDALFYENHHLRGLLADRMKDVKALSSQLSEASTELSLQLSSEEELLRQIDKVREDCEDLRIEGDVREGMYQTVTKQLLDDYKDNMDGAALNLSAKLSSLESAVSEKNKALCLYNEENHRLKVKLAELEKERLIHNHQEVPEVIKQESTEIVLRDIEVEPRTSPRGSNGNDLQYDELVKLNSSLEQTSGVLKEMDNKNMYRSSGLTRNEQEKQLECILVSVMKLSKEFVEIEKKLSAERTENRSEDLSDHCSHMVRQAVVLTKIGLWYKHMIEARRSELQKAEAKVMTLGDKITAQLSLLQKIYLTLDCYSPTLQQHPGLLESFLKTCKLVADLRSKQNEGDMT >Sspon.05G0010200-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:20461346:20462961:1 gene:Sspon.05G0010200-3C transcript:Sspon.05G0010200-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPLLRPHSHVAAAAVLHSARRADCHGRCPATVGRFHDHGCSMSGRSKRSGSVKVSAFPSLDVVPLMVTMVEHVDMSRDYVVTKSIWHLSDAALKSVYTFYAMFTVWGVCFFASMKASTHY >Sspon.05G0024010-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:10279669:10280217:-1 gene:Sspon.05G0024010-2C transcript:Sspon.05G0024010-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GDGRERRVQAQVPGAQVEAELPVHHVQDQRADAAGGGGQAGAARRHVRRLRRLHARQRVPLRRLRLRLHHRRELPEEQDLLHLQVPGHVEGEEQDAVRELQGPVQEGAGRHPAGAAGHRPQRDEHGHRQGASS >Sspon.04G0024870-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:22594528:22595581:1 gene:Sspon.04G0024870-1B transcript:Sspon.04G0024870-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MWTYHYWLLLRKLRDPAPTPSPFKTYLDPKSNPTHPGHAPASTRHVSFLTKSLRAQTVPRSRVLDKRRPLPTLHLSDPPVIDILPNRPTKSKRPPPPRHVLPHPFTAGPLADLLPADGPDPACTASAPSLRVTVASLLLVRVSPLVRKAKEKKDKQKLQHGDDSTRAARRKIKKRIRRGSTGRPPRAPRSVPLPSRSSSPTSPRVDAVASPPDPDRGVAAASDPALPGFEGCAVGLVRGWWFVLG >Sspon.03G0029220-3D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3D:12889737:12890081:1 gene:Sspon.03G0029220-3D transcript:Sspon.03G0029220-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAARKSAPATGGVKKPHRFRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSSAVAALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA >Sspon.02G0013350-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:40669378:40673377:-1 gene:Sspon.02G0013350-4D transcript:Sspon.02G0013350-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RQPLAGFVRPDGDPDTDLETDGLATSSSTAFTERQDDEAEEVLCGLKEEEWAQVQEPAKNPAGRATPECHNQRYRTEAAVLLHGRKGSKQRPASLDLGSPGFHGATFSPSFVIGGVGWMNKGLGASLIRSDVFHSPGTPNYPRHRASVLGCQKGWSSERVPHPSKGSRRYPGSSMAFPYSNGRTLPSKWEDAERWIFSPNSNDALGRTTIAHARRPKSKSGPLGPPGRLGGQYSSVSSVSLLDSGRAGPITANSPFAAGVLMPEHVCGGKNTNGSYSSRPIGDEINIGRGVKICPLNGGSRPIRTSRVRQRLDYAVESSASLPSTQESIPDEQVEITEDSASIASIISRKDAATQTSPELSRSSSPNNRPTFNCSLSTEQVKDSGSCSSDLDIRDVQMDDRVTLTRWSKKNVTRSSNKNSTNIIEWKEKTVESKSPSWGFAEAKCISRIDREDTKITAWENIQKAKAEAAIQKLQIKLEKKRSSSLDKILNTLKSAQRKAQVMREREHDAVTANQDGKGSRKANRRAQLSKNGQISSLSGCFTCHAF >Sspon.02G0015010-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:38671105:38672139:-1 gene:Sspon.02G0015010-2B transcript:Sspon.02G0015010-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:MYB transcription factor [Source:Projected from Arabidopsis thaliana (AT5G62320) UniProtKB/TrEMBL;Acc:Q9SNW9] MGRQPCCDKVGLKKGPWTAEEDQKLVTFLLTNGHCCWRAVPKLAGLLRCGKSCRLRWTNYLRPDLKRGLLSEEEERTVIDLHEQLGNRWSKIASQLPGRTDNEIKNHWNTHIKKKLRKMGIDPVTHKPLQPAPPPSQEPASPPPLTQQEQDTGGSPEEKEKEKAAVVAATPSIGHETFCTDEVLMAHLLDDIVLPPAAASNSGLTMASSPDSSSSSASPSSYSASVPASSSGGSSIADGEWPEWPPQMMEWPESMWLDDVVTGPATAWEFEDPFVTYQRIALLEQDHQAETWNNDGGVELF >Sspon.03G0031790-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:31024755:31026332:1 gene:Sspon.03G0031790-1B transcript:Sspon.03G0031790-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MIMNVGNTEQWNTKVSSTRPSSSYGYGCALTECSTRTLQAGRARANPSPPPPSGIAYYAAGAATQSRLTSHEREDPVPFCPRPSTSTRVDRRSERQHRERKRHQRYYHVPGGAAGPADLVLVPLALAAVAGYHLWLLWAILRHPTRTVIGLNAIARKRWVAAMMANTEKNGVLAVQTLRNNIMASTVLATTAITLVSVISVFIGVTSPASSPSSSKAPAPRLVYGSKAGEVFAAKYLAVSLCFMLAFVCNVQAIRLYAHASFLLGGLPPGPGDGDEAQAREEFACYVARTVNRGSYAWSLGLRAFYVSLALFLWTFGPIPMLACSVLIGSEPRLVLQQ >Sspon.08G0026230-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8C:18475265:18478584:-1 gene:Sspon.08G0026230-1C transcript:Sspon.08G0026230-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGAFDLMNLSDSSAIPTPVTIQQRNERTYLAIPARVTEQERPLAQSCHSRPPVPRRALLPSAPHPHHAPPEHLMGNFPSDEPTRERERKERTKCERVCAMEEMRVRPTAGVCQTAAAAAEGAEKNWSRVTSLGTWVVRGHGEGENWPREGPVRAPMAAATQAYNGEVLTGVGLRWGQNMVGCPSSNVRFYHSCYRLYVRPKYLFGPHNIRTVVVPWSPVTTDGMTRRGDFNSNGGQETSVVVVVAVDNACLDDPEDKDGSAVLRYQSQLAASPRAALPHSPAVTGRNVTKETSRRCDKPSSCPVASGDM >Sspon.06G0026910-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6C:69089557:69089922:1 gene:Sspon.06G0026910-2C transcript:Sspon.06G0026910-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAQAEVREAFKGKTTTITEDDLARANLSYLKCVVKETLRLHCPSPFLQRRCRETCQVMGYDIPKGTTVFVNVWAICRDSKYWEDAEDFKPERFENTNRDYKGQTMSFSRSGLAAECARD >Sspon.05G0004470-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:8152951:8155373:-1 gene:Sspon.05G0004470-2B transcript:Sspon.05G0004470-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SMAHAQEIQLQIKGIPDEESVHDDARDEPKAGTLRSTRLSFRWWMTVVVDMLMVLCGTTVATLLGRLYYNSGGNSKWMATLTQSGGSPLLVVPLLMTPAPSADERRPAASKMLAVYAGVGVMIGFDNLMYSYALQYLPVSTFSLVAATQLGFNAITSRLINAQRFTALIANSVVVLTFSASLLGVGSSSDETSSDVPRGKYPVGFVLVLAASAVFALILSLFELTFEKVIRVRTARWVLRMQMYTNLVASAVSVVGLLVSGDWRTIPGEMASFKDGRARYVLTLVGVAVSWQAAAVGLVRLIMRVSSLFANVTCTMALPLVPVFAVVLFGDKMTGIKVVAMLMAVWGFLSYMYQHYIDGRRAGNAECHVCATRARSDAILPA >Sspon.01G0007980-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:26240803:26242000:-1 gene:Sspon.01G0007980-2C transcript:Sspon.01G0007980-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAASEGNLSPALPLATLIGRELRGDGTEHPHVRYGHSGFAKRGEDYFLVKPDCLRVPGDPCSSFSVFAVFDGHNGVSAAVFSKEKLLEHVMSAVPQGISREDWLQALPRALVAGFVKTDIDFQRKGEMTPTHRHLVILGILAMPLGIWANLAEKMLTLGTGAGETSGTTATLVVVDGFTVTVASVGDSRCILDTHGGLVSLLTVDHRLEENAEERERVTASGGEVSRLNLCGGQEVGPLRCWPGGLCLSRSIGDTDVGEFIVPIPHVKQVKLPNTGGRLILHQMEYGTLCPQ >Sspon.03G0005800-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:20771895:20776299:-1 gene:Sspon.03G0005800-3D transcript:Sspon.03G0005800-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Clp protease adapter protein ClpF, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G03390) UniProtKB/Swiss-Prot;Acc:Q67Y99] MFQAHTMQGISICGSVASPHGGNCRGACVARNDVRLPCEVNSVSQGLYSLHWCVHKPQMKANGRRMNAAVRTNARWLFGGDGRSNDARLERSESANEDILIFYFQMDLQTRIQYALNIEQFDVAKQLREKLAEIETEIIRQHEAKRGSPKTEAQDKALNLLRVRADLQKAIDSENYALAAGLRDDIAKLEAESLAVSAKALAYQNVKYAFRLGQKVRHNIHGYRGVICGMDPVCCESKSWMETANVLVDVYVDPELLVAYVAEENLSPAEESEKGRFDHPYIEFLFYGEDTAGDFIPIKQLREKYDQPRYEASGDESDNDGNTNS >Sspon.04G0013840-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:57377221:57380744:-1 gene:Sspon.04G0013840-4D transcript:Sspon.04G0013840-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVLAPKPPPSLPTRAFPSCSPSPSRFAPACISPRHPLKRLLLRPIPCASSPPSSSVLMPDPGPDPDLEPEPAHDRDGDGAQDEAPESRPRRIALFVEPSPFAYVSGYKNRFQNFIKYLREMGDEVIVVTTHEGVPQEFHGAKLIGSWSFPCPWYQKVPLSLALSPRIIGEVARFKPDIIHASSPGIMVFGALIIAKLLCVPLVMSYHTHVPIYIPRYTFSWLVKPMWLIIKFLHRAADLTLVPSVAIGRDLQAARVTAANKIRLWNKGVDSESFHPRFRNMEMRSRLTNGEPEKPLIFYVGRLGVEKSLDFLKRVMDRLPGARIAFIGDGPFRAELEQMFSGLPAVFTGTLQGEELSQAYASGDVFVMPSESETLGFVVLEAMSSGVPVVGARAGGIPDIIPEDQEGKTSFLYTPGDVDDCVGKIEQLLSSKELRDAMGRAARKEMEKFDWRAATRKIRNEQYSAAIWFWRKKRAQLLRPFQWMLRLLRLTMPGAANVDAVAKQS >Sspon.02G0043320-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:95422807:95424810:-1 gene:Sspon.02G0043320-2C transcript:Sspon.02G0043320-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVGAVVSPANPSLTAAEVSRLLALSNPSVAFAVAGTRGKLPPGLRTVLLDSPTFLSFLHEPEDGDAEVVVRQSDPAAVLYSSGTTGRAKAVVLTHRNLIASNVTRAPAATETLMLTVPLFHIYGFTFCLRAALAAHTLVLHTARGRFEAAAVLAAMGRFGVTRLALAPPALLAIVRAAEEDAAAAARVATLKTVNCGGAPVAADLIARFSRLFPGVSVTQGYGLTETTSGFCRAVGEEESARVGSVGRLSWGAEVKIVHPETGAALLPGVPGELWVRGPFVMKGYLAEEDSTSEILDSEGWLRTGDFCYIDKDGFVYIVDRLKELIKYKGYQVPPAELESLLQTHPDIVDAAVVP >Sspon.06G0008450-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:38365981:38369735:1 gene:Sspon.06G0008450-1T transcript:Sspon.06G0008450-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding HLDNLLLTDDGRLFHVDFAFILGRDPKPFPPPMKLCKEMVEAMGGAESQYYTRFKSYCCEAYNILRKSSSLILNLFKLMERSGIPDISADESGGLKLQEKFRLDLDDEEAIHFFQDLINESVSALFPQMVETIHRWAQYWRLVQDGKSAWSMLSRSSRNCSGVSRPLRPHSSTKMRADSVAFLPIILALSDQSNTLPYLARIFSCTSV >Sspon.07G0025290-1P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7C:40226697:40231942:1 gene:Sspon.07G0025290-1P transcript:Sspon.07G0025290-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQQLLLLLPAPSRTFLKPLPSPFLSSLPRRHLVPVSAVRVAPAVRRGLLRYAAKRSGLVEELEIAKDKQPRSRRANGIFWILLLNFGIYVADHLFQMSWDWRKILEVLILGQFVVDKVMEAARATTITGQSFQVNNIAHVSGALIGAALVFLKMDPELGAIHAGTKVTQLGAVDVGAKPPCHLADDTELVLTWQHLGAVTIGAKLGAIVIGAKPGAMDLGVCGVKLDANDDGAKLGANGDGAKVLPRQRQLSVVGQGLGANVNGAKLCYLGASVDGAKPRVYFLESFRQRRI >Sspon.05G0010840-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:22159131:22166019:1 gene:Sspon.05G0010840-3C transcript:Sspon.05G0010840-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding HHPPARSPVVHPSTDPSHSTRLSRRPHPPLRCCLLCLLLAAATATVTAARCRGQPEERSIRGHLTCPGSDLPPPQRKPPRLTPRLLHATHAALAHPRLPFSSLPRRPGSHARGASELRRRVVGLPELSTQVGPVSCAEGLVVRVPTGIVVGADPWSSAARSADSAPLLHTWIARTAHLLLLVSLAICEASGLEDMAAAMDAPNSGAAAGSGGMPSDALYRELWHACAGPLVTVPRQGERVYYFPQGHMEQLEASTHQQLDEYLPMFNLPPKILCSVVNVELRVTLQFRPKLIQMKFMLKLCCNQKLLTSRSDFIVSVNKYLEAKKRKISVGMRFKMRFEGDEAPERRYGLDLLSFKHVIYAGRKINISVSDYRFSGTIIGTGSLPAMSKSLWADSDWRSLKVQWDELSSILRPDRISPWEVEPLDAANPQSPQPSLRTKRPRPPASPCMVSELPSGFAEEEVLPVVTAPGVGYEQTAASVELNSDKLSQPSDVNNSDAPAASSERSPLESQSRQVRSCTKVIMQGMAVGRAVDLTKLSGYSDLCQKLEEMFDIHGELGSTLKKWRVIFTDDEDDMMLVGDDPWDEFCRMVKRIYIYTYEEAKKLTSKSKLPVSSDSSKLSAVNSLSE >Sspon.04G0025300-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:25929988:25930896:1 gene:Sspon.04G0025300-1B transcript:Sspon.04G0025300-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding NSMAQGSDEGAGAAHSSWSRLRPSGGDADTPVAPTAGAAVAMTLGSILTVAGILLLFVVFALVLASLQYCFDASRDRDAGSGRRRRGPRPAAGGSSGGMRTSPEPANYATANLPASVLLGVSDHGAVTAVTTTTDVPRNPSTVVIEIPELAVPTHTLTPCDAAKSPGSASARLRSISRLWSFGRQGTGATSSCSCAGAGASEGVDLEQGI >Sspon.04G0010140-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:28823260:28824776:1 gene:Sspon.04G0010140-2C transcript:Sspon.04G0010140-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MELELSLGDSRAPAKNTFTPALTPIHAGEGEGHELALELGVGTAKRAEQDNQKTLVQAEDVQEEEEETRSYSESPVELSLICPLLPASAEIGTVNSEVCVRGFDVNTVLVDGDTAQGRSLSTSSLPLEVPVRQTADQEAAEDEAISGVGGGTRKKLRLSKEQSAFLEDSFKAHSTHFPQKQKSDLANRLKPYDPPRWRSGFRTEER >Sspon.01G0012370-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:24787608:24789109:-1 gene:Sspon.01G0012370-3C transcript:Sspon.01G0012370-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AVVSIYNYHKYRHIQAPGWNLGWVWAKKEIIWTMVGGQTTEQGDCSQFKGNIPHCCKRDPAVVDLLPGTPYNMQVANCCKGGVLNSWIQDPVSAVASFQISVGRSGTTNYTVKAPLNFTLKAPGPGYSCGVAHVVKPPTKFISQDGRRTTQAHVTWNVTCTYSQFVAQRAPTCCVSLSSFYNETIVNCPKCSCGCRNTRPGSCVEGNSPYLASVVNGLGKSSMGPLVECTPHMCPIKVHWHVKFNYKEYWRVKITVTNWNYRMNYSQWNLVVQHPNFDKVTTIFSFNYKSLNPYGVITKYRYFAADDTGMLWGIKYYNDLLMVAGPDGNVQSELLFRKDPSTFTFEKGWAFPRRIYFNGDSCVMPPPDAYPWLPNSSPVLLLKSSSLVLPIAIWMAMLFLRL >Sspon.02G0032910-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:2383197:2385281:1 gene:Sspon.02G0032910-1B transcript:Sspon.02G0032910-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGKDAAAGGKGRLFTVGLVTAWYSSNIGVLLLNKYLLSNYGFKYPIFLTMCHMSACSLFSYAAIAWLRIVPMQLPRSRLQLAKIAALSLVFCASVVSGNISLRYLPVSFNQAVGATTPFFTAVFAYLMTVKRESFLTYLALVPVVTGVIIASGGEPSFNMFGFIMCVGATAARALKTVLQGILMSSDGEKINSMNLLMYMAPIAVLFLVPATIFMEDNVVAITIQLAKKDINIIWYLLFNSSLAYFVNLTNFLVTKHTSALTLQVLGNAKGAVAVVISILIFRNPVSITGMLGYTLTVIGVLLYSEAKKRTKQ >Sspon.04G0026830-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4B:51316165:51318027:-1 gene:Sspon.04G0026830-1B transcript:Sspon.04G0026830-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQVMFNHVNGKPPFFDGVSSFDHWKRKMKQYLGNIHEKVWDVTNEGYIILDEENLTPNDRINKQCNTAALDTIYRAIDDKVFEQIKDLEKANELWIRLEETYEGTSVTGPVRPVVTSASGRCDRRVRSAFRKLAVARPARPVSWTSASENFKRLFTPPLAIKTFQLGISREGFDLVLATVGSMLPKVHCLPKSTYESQRLLHALKMPNDTIQACKNGCVLFRGDHETTTHCPKCKASRYMEVEGSDGNKKQSKIPELVIRHLPVLQRLQRLYMIEESAKQMTWHKNVKRYSDKMQHPADGDAWKHFDAMNPVKG >Sspon.01G0020030-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:72263643:72264134:-1 gene:Sspon.01G0020030-4D transcript:Sspon.01G0020030-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MANATLAVVLAVLVLVQASCGVARHHHDPDPCGGDSFGLLGHKDHGCSSPAVSAHGTRAVMTVNGFQKGEDGGDPSESDGKYHSDNDLIVALSTGWYAGGKRCHKKIRITSVQNGRTVEATVVDECDSRHGCKNNIVDTSKAVWKKLGLNTDIGEVPVTWSDA >Sspon.01G0029270-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:98484605:98490107:-1 gene:Sspon.01G0029270-2D transcript:Sspon.01G0029270-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MITEKPSWIRHEGLQIFSIDIQPGGLRFATGGGDQKVRIWSMKSVDKNNANNDSSQGLLATMRDHFGSVNCVRWARHGRYLASGSDDQVILIHERKAGSGTSEFGSGEPPDVENWKVVMTLRGHTADVVDLNWSPDDSTLASGSLDNTVHIWNMTNGMCTAVLRGHSSLVKGVTWDPIGSFIASQSDDKTVIIWRTSDWSLAHKTEGHWEKSLGSTFFRRLAWSPCGHFITTTHGFQKPRHSAPVLERGEWSATFDFLGHNAPIVVVKFNNSMFRKNFSNGQDTKAAPAGWANGTSKASSKEQQPYNVIAIGSQDRTITVWTTASARPLSPDGYSLFACSLDGSVANFHFEAKELGYKLSDSELDELKRSRYGDVRGRQSNLAESPAQLLLEEASAKQSASKKGTSIVQQFQAPQKVSADVPNPASVVQSKKAPEALPEAEKKTSGPAADDMNTVTRLSSPVKQREYRRPDGRKRIIPEAVGFPSNQDNIPSRSQNQVVDFSSLDQRMNGIRPSYGSNSNCNNSGVKDRAGVTARANITESLVIQKASTSAGSDGMLSVERIGSVVSGSLTCSSLSIHVLDKKDNEDSLPVCLEAKPVERAAGDMIGVGGAFSTKETEIRCTRGTETLWLDRISAKVTVLAGNANFWAVGCEDGYLQVYTKCGRRAMPAVMMGSAAVFIDCDDCWKLLLVTRRGLMYIWDLYNRTCILQDSLASLVASPDESSANHAGAVKVISAKFSRCGFPLVVLASRHAFLFDMSMKCWLRIADDCFPASNFASSFSYPQGGELGKLQIDLGKFMARKPIWSRVTDDGLQTRAHLETQLAASLALKSPQEYRQCLLSYIRFLAREADESRLREVCENFLGPPMGMLGSASSTEPKNPSWDPDVLGMKKHKLLREDILPLMASNRKVQRLLNEFMDLLSEYEAAKSKVDPMDVTPAPQPAPEANDNVVTS >Sspon.01G0033610-2P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1D:114686922:114688487:1 gene:Sspon.01G0033610-2P transcript:Sspon.01G0033610-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLESWLTKVRSAMSSARSSSGGSGAPPAGPRRKQSTVGILAFEVASLMSKLLHLWRAVGDAAVARLRHETIHLHGVRKVVSDDDHFLLGLARAELVDTLRAAADSVAALTARCADPSLRDFRDAFLELADTGRDRHRWAAPSWKEMDARASKMDKQVSATAALRRAMEELAEAEHGLRKLLVLQCAASNSNGCGHRRSLSASKISVAAEQQQLVFSKKQEVKHLKQTSLWGCTFDAAVASLARAAFTTLVRIKTVFGAGQEQRHPPLHRSLTLSSAVHPSADARSESDTPPVSRKSMSMEELLLFDVDQPSSFASKPKRQCGGFLEDSSAALTPPAGTLGAAALAPRYAGLVISIERMARSPRLVGPDERDELYGMLTASVRAQLRARLRGAVPAADPVLAGQWRAALAGILEWLAPMAHATVRWQAERSPEQRGPAAARGGSGTGSVLLLQTLQFAERDRVDAAVVELLVGLNYVWRFEKEMMICRALFAVHHRQQLPAMMEDTGGGNANGTVVSSCP >Sspon.08G0014080-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:53639616:53642932:1 gene:Sspon.08G0014080-2B transcript:Sspon.08G0014080-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMHAKSESDMTSLAASSPPRSPKRGGAAAAAAGNGGYYVQSPSRESHDGGYKSSSMQATPVYNSPNESPSHPSYGRHSRSSSVSRFSGNLRKGGGDRKVLNDKGWPECNVIEEEGPYEDLAGDSGLSRRCQIILGFLTFVLLFTTFCLIIWGAARPYEPEVIVKSLVMDDFYAGEGTDHSGVPTKLVTTNCSLHISVYNPATMFGIHVTSGPIRLIYSEISIAVGQVRRYYQPRKSHRMVTAVIHGEKVPLYGAGGSLMLSSTGGTVPLTLDFDLTSRGYVIGKLVRVTHKVHVTCPVVVDAKKTKPVRFSKKACAVYKA >Sspon.01G0019090-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:71480851:71482287:1 gene:Sspon.01G0019090-1A transcript:Sspon.01G0019090-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPCMIERFIYCKDQYLPTMSSVSLFAAVLAVGMLARPSDCARAFFVFGDSLVDNGNNNYLMTTARADSPPYGIDYPTHRPTGRFSNGKNIPDIISTAGRFPLSLSYVCGEHLGAEPTLPYLSPELRGQKLLVGANFASAGVGILNDTGFQFVNIIRMSRQLQYFGEYQGKLRALVGAARARQMVRRSLVLITLGGNDFVNNYYLVPFSLRSRQFSLPDYVRYIVSEYKKILIRLYAMGCRRVLVTGTGPLGCAPAILAQRSRNGECAAELMRAASLFNPQLARVLDQLNARFGAGTFIAANAFRVHFDFVSDPAAFGFATAKEACCGQGPHNGLGLCTAASNLCADRSKHVFWDAYHPTERANRIIVSQFMSGSLDYVSPMNLSTVLQMDATLD >Sspon.04G0029080-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4D:76145293:76146910:-1 gene:Sspon.04G0029080-2D transcript:Sspon.04G0029080-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor, Regulation of Pi signaling and homeostasis, Tolerance to low-Pi stres [Source: Projected from Oryza sativa (Os02g0139000)] MYSSSSTNSKLCQQAGALPFLPHPPKCEQKQQQTSGGQSSSSSSLLFGTDLNNGGPSEAEHSADLKDFLNLSGDASEGSFHGESDGMAFREQKEFQFLSEQLGIAITNNEESPQLDDIYDRPPQTSSCPVLSYSDQDDLQRTGSPVKVQLSSSRASSYNKPRLRWTLELHELFVKAVNKLGGPESRATPKGVLKLMKVEGLTIYHVKSHLQKYRFAKYLPETKEDMKSSSEDKISKSEIPGNNAGRETSLQLAEALRMQMEVQKQLHEQLEIYMASNETNFSLSSGAKAATGAHRRTCKVFAEDIRTTEGKEFLISYDNFNRKRAF >Sspon.05G0010120-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:29019376:29032933:1 gene:Sspon.05G0010120-1P transcript:Sspon.05G0010120-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGFAVAAGPSVDYGGRVTFSVVVTCLMAASGGLIFGYDIGISGGVTAMESFLSRFFPGVLRRMAAARRDEYCVYDSHVLTAFTSSLYLAGLAASLVASRVTRAIGRQAVMLAGGALFFAGAAVNAAAVNVAMLIVGRMLLGFGIGFTNQAAPVYLAETAPPKWRGAFTTGFQLFLSIGNLAANLVNYGTSRIPTWGWRLSLGLAAAPAAVIVAGALLIPDTPSSLLLRGRPVEEARAALRRVRGGKADVDAELEDVARAVDAARAHEEGAFRRILRREHRHHLAMAVAVPLFQQLTGVIVIAFFSPVLFQTAGFGSDAALMGAVILGAVNLGSTLLSTVTVDRYGRRPLLLTGGFVMIICQVAVAWIMGSQIGADGGNAMARPYSLAVLALTCVFSAAFGWSWGPLAWVIPGEIFPVEIRSAGQGISVAVNLGATFLLTQTFLSMLCALKYATFIYYAAWVAVMTAFVVAFLPETKGVPLEAMGAIWERHWYWRRFKYLFYHRNHFYTHSVAVHLKGGVTQMESFLVKFFPEVSSGTKNAKHDPYCKYDDQRLTAFTSSLYIAAMLSSLVASRVTRTVGRSAVMLIGGVLFLVGSAINAGAVNVAMLIIGRILLGFGVGFTTQAAPLYLAETSPARWRGAFTTAYNIFQVLGALAATVTNYFANRIPGWGWRVSLGLAAVPATIVVLGALLVPDTPSSLVLRGDTDRARVSLRRLRGPGAETDAELKDIVRAVEDARRNDEGAYGRLCAKGYGHYLVMVVAIPSFFDLTGVIVMAVFSPVLFRTVGFSSQKAIFGSVILSLVNLASSLLSSFVMDRAGRRFLFLAGGAAMMICQLAMSCILAGHLGKHNDEAAMPRDYAVAVLVLMCLYTFSFGVSWGPLKWVVPSEIYPVEIRSAAQALTVSIALCLSFAQTQVFVSLLCAMKHAIFLFYAGWVLVMTAFVAAFLPETKGVPLEAMRSSVWAGHWYWRRFVRDAKQEVQVNCL >Sspon.06G0010810-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:46755165:46759000:-1 gene:Sspon.06G0010810-2C transcript:Sspon.06G0010810-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFFPSQPDLSLQIGLPASATPHDHHHGAAALSARFLAAAAAVGGVSGGNGVPGGNPAAAMAPSLQLPMPMPLPLPVQLPMPMPMPPNAAAGAGGALYYHPEAAAAAGMLRPIRGVPLYQHPHTHAVPPTFSPHAAGAGPCFCEPCHVAAGAWRRAGCGVGARVAGLGFPPAKRAARAPRMRWTSTLHARFVHAVELLGGHERATPKSVLELMDVKDLTLAHVKSHLQMYRTVKNTERPAASSDQADGFENGSAGEICDDNSSLDLHGTAGRPEAAAARHEREDWTGFPSDSNTGSMSMHSRSLKDQTMQSKSLEILSDMNSCVSETTSCASELNLEFTLGPRTRH >Sspon.02G0031140-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2A:113683015:113683332:-1 gene:Sspon.02G0031140-1A transcript:Sspon.02G0031140-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding PVFPDEILEDIFLRLDAVEDLARASAACTTFRRVVSARRFLHRFRCLHPPPVLGVLDFDDEAFHPAEPPLPHAPSRRPPTSPSPSSAPLTAGWSATPAKAASSSTV >Sspon.07G0028790-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7B:69533582:69534314:1 gene:Sspon.07G0028790-1B transcript:Sspon.07G0028790-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTESFALLAFVQTKSKKAPAERSKEKQSPAGAAYVEVRRSGGVGPDGTKLRRLFIRARGRAPPETDRPAHNPKPGGLVAPWTLALLPRLKTSSCRCVAVPADGGRSARLVQEQRDRATTASSGHQFEFVAENDDDDGDDIPSPNFQAVFGFRSLAR >Sspon.05G0016960-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:70663985:70666400:-1 gene:Sspon.05G0016960-1A transcript:Sspon.05G0016960-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEERSVGACAVRGSPASAVCNGVLRHQHEMFAGDVSPLLDEDSIPCCCNLTTEVHQPSRHRGQRQRLSVQSAGRS >Sspon.01G0058840-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:36027297:36027875:-1 gene:Sspon.01G0058840-1D transcript:Sspon.01G0058840-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRELDFINAQSPAPDVAITAFVDLYAHDLPDRAVQAIKAVTRLGNKKLSAALAADAGVADGGPMNSKPQMQRRCTSSETMYPSLGVDWLKAEGVLLFVSRPNEGVHLAVCLLPEETKLDTRGGILEAWDRDVIDMAASARGTFVGVPWEQGARWSNRPDSRPANLEVDNNIELWL >Sspon.06G0008440-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:35390565:35396792:-1 gene:Sspon.06G0008440-2B transcript:Sspon.06G0008440-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQASSQQLQPPPSHAGMSDGGAFANAGNLEHCARYLNQTLVTFGFPASLDLFATDPVSIARTCNCIYALLQQRQRDIEFRESTNDQRQRMQSDISRLEAKIERMDAQLAAKDRELATLTRTEAKNTAALKSQIDKLQQERDEFQKMVIGNQEKLNQVLMEKKKESSRSGMEIMNLLQVDAYEVKKQELMQENADLRALLRSMQMDMREFLNAPNGSSQPTVTANGRQESGSPQSPLGGKTDVFDLPFHMARDQIEESLRTKMTSIKARMTQLQDAQKGAEVTSEATDRELELEAQLASIMSKHFTKSDKPSGRRHSGLDGEREASAEYDVQEHLLRHMANPAAWGGALHIHRRGAAVFAEGACVPGWEVCCARVAALGTDVCARAGAEGVKRKGWELMSAWLLPRSHRRSRGRRSSLPRHEERGSRATDLAAPPELAGELTAALGRRKGATKKGSCKAPEPRCRTRET >Sspon.02G0006160-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:18595709:18596560:-1 gene:Sspon.02G0006160-1A transcript:Sspon.02G0006160-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGRALLAAAALLASAVTLTLLPSAAAQTWVGPWPTCDGTTGNYSAGSAYANNIKQLIHNLQSNASSTPALFATGSAGAGADVVYGLILCRGDISPSDCFDCGTNAGQDVYRVCNGTRDAALVYNQCYVRLAPTDFLATTNNTGMVPLWNGNIVPAGVDVAAYDGAIIRLLNATVRYAVDSSTPSSPRKYFATGQMVGVDPQIPDGIWSMAQCAGDISPVRCRSCLNDLLAQWWEQVDRNQIGARLDGSRCNLRFETANFYTGSPMVKLQMNGEVAAPASPSTD >Sspon.05G0017380-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:68383096:68384727:-1 gene:Sspon.05G0017380-4D transcript:Sspon.05G0017380-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLTKRRKKALAVGPPPPAIDPADRLSKLPEEILAQILSFLPAQEAVRTINTWIHRVLECQIQMLRVDVAAPDRESLDAYLQINSMPLLVSRHLTRLELTGVWFVCHCLNLDVCPALEHLEINECCLAGVRKMTSLSLKRLVITSCESNGDRNRIRISVPRLVSLWLDDWNIRTPVLERMPELVEAKVHIGSYLDHCSCKYPTACYHVMRAGDTSDIDFDSNENEVVLGDYAGQNTTKCVVLEGLAQAKDLVLKANHSTYIFRRDLRWCPTFSKLKTVVLIEVYLCEPADCSALACVLEHAPLLEKLTLILDKIPQRGGKYKVEMKGYPDANVKSTKISQHLQIVEINYEGVSERFLNVLNFL >Sspon.05G0006790-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:21396455:21407651:-1 gene:Sspon.05G0006790-1A transcript:Sspon.05G0006790-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSPVAAVQDASDDADARVVVLVAGSPVDSASDSLPPPPVAATPAAEAKQEPVPVPVLGPEEPKQAAAAAAAGVQAMAVTVVRDVETGLDASTSDRDGDGAGDKPSWFTPKRLLVMFCIINMLNYVDRGAIASNGVNGTRKIISLCRYNPFRLIGVGLLVWTIATAGCGVSFDFWSITICRMFVGVGEASFISLAAPFIDDNAPVAQKTAWLGMFYMCIPSGIALGYVYGGLVGKYLNWRAAFWGESILMVPFVILGFVIKPLNLKGFAHNTRKEYDQMLNPQVQDQINNNGTKHVLPGGIEDLSGKVPQKFALSSFCHGLMTEIGRFSKDMKELLQEKVFVIVVLGYISYNFVIGAYSYWGPKAGQEIYNMGSADLMFGGITIVCGVVGTLAGGFILDKIGSTIPNAFKLLSGATFVGAVFCFGAFCFKSLYGFIPSFVVGELSVFATQAPVNFVCLHTVKPHLRPLAMAVSTVSIHIFGDVPSSPLVGLLQDKINNWRATALILTSILFAAAVFWFIGIFVPSVDRFNEESEDGLTVAERSNLRPLLDENDEPRTSD >Sspon.05G0021080-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:84317520:84322330:1 gene:Sspon.05G0021080-3D transcript:Sspon.05G0021080-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPHSLRFVRCPKCHQLLVEYPSIPVYKCGGCGTVLRAKHRAAPAARAGSESEERSTGSPCSLKGAPSQSSGSICSGEQRADSSVDRPHEATAHGSVSSTANNVDSCDGAVHKRTISAADSGVTHAKHPDEDTCSLTDDRNIQNSGVMVKEKETHNEDAGAGSTSDLTETVENVDMAEKVNGGKIGDIGTSELSTTLYEKSQAAHREERPRTYEGVHVESHKALIEELERSLSFSSDDEYFSDEAESSGLSDALRNQMGSRRFRANDAPRSDPHGRLIEELEMSFSDAEEPLEQHGMCAGRVHGNVLDKDPQALGAKSAHPCQESLSSFGSGHLKSEQTSHQESRAIGNGNQENEHIEDNNNTADSVHGSEHTVTADDEIADRFHEKEHGKDCQPANTESAYPFEGSTSPVDDGSIEVQQSFQPNDLTADVNREMEDDKITNGNLVSADSHEKEHGNDNLILVPADEDIAETVCVNEELTADGTQEMEDGYMENDEMTNCVHGNDNSMLADEKIAGRVHRNEDLTTDGTQEMKEGCMEDVNMANCVDVGDNLVFADEDTAERVHGNEETADGAEENEESCMENENVNVAVADEDIAKKGHENEHGKDRQSLEDESAHLYEGVITSFSAGYAKSEQSFQQDEPIPDATEEKEEDFMEDGNTASCIQENRAAVARFSSLPNKRNQSKLASFNKNKEQMAYRPRGSQLRQGRSLDSEDFHSIQNFMESQMDDTSSSLSSGSPSRGDLVHRTANKFKNNIRHERLKKMDELRDQLSRLSSQKGSERSYQKRGLEYQQQSNSYDVEHHLQSVDGDSVPSSCALESYYGHGRPPRYPPPNPFSPTHTYTHCHFGHAQTCLPRNYDPWEFNSYYQSSYAESTILDHESLRSSYKEQKRMVRKHILRPLSGASPFTICNSCFNLVQMPSDIYISKAKVGKMQCGKCSKILALSFPAVYNANAKNSVDVAQESYNLDDSIVAKNDDIASYYAECLTGGPVSISEDYGASYTRSLPTQAGSSSLAATKSGKKVSDSALHRLMGYDSASQLLRHSRVFEDGYESFESMVPVSSRISRRKNK >Sspon.06G0005570-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:18778525:18781854:-1 gene:Sspon.06G0005570-1A transcript:Sspon.06G0005570-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPRKNAGTDEDPNTATGVSVSVTTGKPKLKRARRKSSEPRESPSQRSSAYRGVTRHRWTGRFEAHLWDKDARDGSRNKKGKQGAYDDEEAAARAHDLAALKYWGPGTVLNFPLCGYDEELREMEGQPREEYIGSLRRRSSGFSRGVSKYRGVARHHHNGRWEARIGRVLGNKYLYLGTFATQEEAAVAYDMAAIEHRGLNAVTNFDISHYVNQWHRHCHGPSDDSLGGVMDVVPFQLPDDLPECPAAAIGLEETTGDAEFHNGEGYLQHHTSEPFGAQQQLPDAMGAPAHHMAPNSSALDMVLQSPRFKELMEQVSAAAAASAESSSGSMSGSSPSPSLSSFSPSPLQLPSPSLSSFSPSPLQLPSPPQQQRQPEFVEGGAPARCSFPDDVQTFFDFENENDMSFVYAEVDTFLFGDLGAYAAPIFHFDLDVV >Sspon.01G0009930-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1A:27972276:27972821:1 gene:Sspon.01G0009930-1A transcript:Sspon.01G0009930-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LQENSNAKQFRGKPLAFEDEL >Sspon.07G0011000-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:42432662:42435754:-1 gene:Sspon.07G0011000-3C transcript:Sspon.07G0011000-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPLLTRLFTCTHIAMASSPFSSSPSRRLAHLTCHLASSSSGELSSVGAPAAAAADAVPAKSPRSAASKVHAAVLVCLFEDPSSGPRILLTKRASTLSSHSGEVSLPGGKVEDGDADPKATALREAKEEIGLDPALVSVVTVLEPFLSKNGLNVVPVIGMVSDKALFKPVLNKAEVEDIFDAPLEMFLKDDHRRTKQMNWMGIDIPVQFFDYEADGKKI >Sspon.07G0012210-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7A:43663936:43667965:-1 gene:Sspon.07G0012210-1A transcript:Sspon.07G0012210-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGPPPPPSLYSYFPSHSSPTWAQILAGASAVSSPGAAALSQPPPLAASQLLPAGHLPPAGQHLAAGQPLAAGHPTATPGAARPPLLADPPLQRRPLPSSPEAAAAAAQGTAAAHALAASSDLGTVGDGTEDVAPAGVVQPGVVQPLLRPTADPGDPAGAGAGATAPAVGLLGGPHLLRAPGTDSTLAAALVAARAAAAEGQARVRAAALVWERERDAADALARQIAEAEQLLVPPASRDVGATSSASPRRPISHTTVIWHDPADPLVAQLHYQAGGVQNIRLLVPVVLEPESPSYARWRDLVVLTLRRYALDDHVLLDAPVAALTPSWLRLDSIVLSWILGTISLDLHDLVRTSPDARRAWLALEGQFLGNAEARALRLDASFRTFVQGDLSVGEFCRRMKTMADSLGDLGWPVEDRILVLNVLRGLSDRYAHLRTWITRQRPFPTFLEVRDDLVMEELTQGLQPGSTASPGASSTTLAATPPRPSATRPSATPPSSLLGPPPSGPSGGGGVVAAVVAAEGNPWSGRISMWPYHATGTASRPPVAMLTGAPPTGPPLGVFPATPPATPWVPPSGPSGPPSGVSGWDQAALARSFGTMGLTPPVGPEWIADSGATYHTTPDPGILSSIHSPSSSLPSSIMVANGSCIPVSSVGTAGAHGSFRLPDILVAPSMVHNLLSIRRFTADNSCSVEFDSSGLTVKDLASRRPLLRCDSTGPLYTLRFPAAPSSSSPPVLSAAFVASTSSTTWHRRLGHPGGDALIQLSRSSGLPCTRAHDEQLCHACQLGRHVRLPFSSSTSHAARIFDLVHCDLWTSPILSISGYKYYLVVVDDFSHYSWTFPLRAKSDTFTTLLHFFTWVSTQFGLTIKAVQCDNGREFDNSTSRDFFLSHGVQLRMSCPYTSSQNGKAERMIRTTNDTVRTLLFQASLPARFWAEGLHTSTYLLNRLPSAACPAPTPHHALFGTPPRYDHLRVFGCACYPNTAATSSHKLDPRSTLCVFLGYSPDHKGYRCFDLTSRRVLISRHVVFDEYRFAALRAGHRSRLPCESGPVVLRRGPVFSCWCRPGAFVPRFCPGGVVPVADAGSRLGTCTGASVEVRRAGTGIPAQAATTAARGPFSTGDTDTTDLVVYTDADWAGCPDTRRSTSGYAVFLGGNLVSWSSKRQPVVSRSSAEAEYRAVANGVAEAAWLRQLLAELHTPPSRSTLIYCDNVSAVYLSTNPIQHQRTKHVEIDLHFVRDRVAMGEVRVLHVPTTSQFADIFTKGLPSSTFAEFRSSLNITGG >Sspon.01G0037540-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:22594454:22595619:-1 gene:Sspon.01G0037540-1B transcript:Sspon.01G0037540-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding KGRRRLVFHLRDSYTQLPNSLETLAKTLCPHLGSKGSIAHDEVQVSSLQENRAQLLDYMELDISLLGDVMLRAKSIYWTRYNVDIGVCLTLSSLAKKIYRMKYYDKNGWPIYIPSSNQDAFIRRERRESTRLWGRFCNWITSTENRLYIGWFVDIDGILEPVSGSLLYGNNIISGAIIPTSAAIGLHFYPIWEAASVDEWLYNGGPYELIVLHFLLGVACYMGREWELSFRLGMRPWIAVAYSAPVAAATAVFLIYPIGQGSFSDATAFRNNAQHTDSCNNK >Sspon.03G0040240-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:27745873:27750579:1 gene:Sspon.03G0040240-1P transcript:Sspon.03G0040240-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMHSPASPAPLPDSRGRGPASAAGVGLAVVVVVTAVQAGPRERVVAQKFSQQRRRNLLRAQTIRDAMLEIVPLNAGNVLVIEDNEPAARWLVLINQSLNRPADIDANVFQHEPSPSVDSSSSRASSSLDTSFSDLSKTASGTTIFQKSLLKAISKSFMPVRRKQLKACNCPVEMTKTSYRDACFGCKKAYADETDSSGEDEEEKGKDKEKSRDSDGFVVDGITSGPSTRDQLKYNLIAKVIWLGDLNYRIALSYADTKKLLMENDWDALFEKDQLKIERDAGRVFKGWNEGKIYFAPTYKYSFNSDAYAGETATSKKKRRTPAWCDRILWRGDGIVQLSYYRGESRFSDHRPVCGTFVVEAEVLNKTKRRSSNADMRIDAKEFLPTARVKASHEILAGGCN >Sspon.06G0000530-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:977314:982011:-1 gene:Sspon.06G0000530-2B transcript:Sspon.06G0000530-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPALSGTLGASSVAALRPCAGRRAPSAASSVAPRGSGAVRCARWLRWEANRSRGRSLRVRCDAAVAEKPAGEEAAGEKFEYQAEMEFLIGARLPYTLRFLGGINVISNASDALDKLRFLGVTDSSLLADGGELEIRIKPDPDAGTITITDTGVGMTKDELKDCLGTIAQSGTSKFLKALKENKDLGADNGLIGQFGVGFYSAFLVAEKIVVSTKSPKSDKQYVEEDEEPKEGEEATEGEKKKKKTVTEKYWDWELANETKPIWMRNPKEIENTEYNEFYKKTFNEFLDPLAHTHFTTEGEVEFRSVLYVPGMAPLSNEEIMNPKTKNIRLYVKRVFISDDFDGELFPRYLSFVKGVVDSNDLPLNVSREILQESRIVRIMRKRLVRKTFDMFEEIAEKEDKEDYKKFWESFGKFIKLGCIEDTGNHKRLAPLLRFHSSKNEGDSISLDQYVESMPESQKAIYYIATDSLQSAKTAPFLEKLVQKDIEVLYLIEPIDEVAIQNLQTYKEKKFVDISKEDLELGDEEEETKETKQEFTLLCDWVKQQLGDKVAKVQISKRLSSSPCVLVSGKFGWSANMERLMKAQTLGDTSSLEFMRGRRIFEINPDHPIIKDLSPESPAELGGKIYEMMAIALGGRWGRSDTEKAEASMGEASAEADSSEGTVTEVIEPSEVRPESDPWRD >Sspon.05G0020540-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:79708355:79709266:-1 gene:Sspon.05G0020540-4D transcript:Sspon.05G0020540-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPPCCDKVGIKKGPWTPEEDIVLVSYIQEHGPGNWRSVPINTGLMRCSKSCRLRWTNYLRPGIRRGNFTPHEEGIIVDLQSLLGNRWAAIASYLPQRTDNDIKNYWNIHLKKKLQKQQAIGAIFAPPPPSEPSIIPVAVPTAVTGHADCHHDDMTLSKDSYARPASSTPAPADEVTQFIGQCSPPFAATNGDTFSSLYASSMDNISKLLNGFTKSSPTQDDAATNFKPSSVIDINPFDHKSGGALPPISDDVPLLMPPPQQQQALTGHGDDNKPKLQQLSSIEKWLFDEAPEQMEISDGCCS >Sspon.05G0001760-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:1390087:1391250:1 gene:Sspon.05G0001760-2B transcript:Sspon.05G0001760-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASETTMAGLVASLDRAVTRHPAFLELLRQAEEEYGFPAAAGPIALPYDEDRFLDVLHYVSSSASGRSCVPAAARRGRGDARPLLLGMAVEKLVW >Sspon.02G0030200-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:109948008:109950261:1 gene:Sspon.02G0030200-1A transcript:Sspon.02G0030200-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTGSVGVPTTTAPDLSLHISPPSPPAGGVEMPPEPRLMLGLELDDDTVAAAAATNKVDNAAAVQEHNLQVQRLRLHQPSQTAHGFKKSSSGTGGGSGGGGGRRSSRAPRMRWTTALHAHFVRAVELLGGHESMSNTKVSIGTDEREGSYTSTCQEPPAGKSASLLFYQHMYRTVKGTDRSCVAGHGHARDMVLLSTGVGEGDGFDVFNSNNNSVNTIPTLNNNTNRFALLHFFSD >Sspon.04G0029700-2D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4D:79391237:79391375:-1 gene:Sspon.04G0029700-2D transcript:Sspon.04G0029700-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SFGQHGRELITSEVALHLLYILTEKPKIAGVDLSSFEKLLENLVIK >Sspon.05G0022050-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:1889466:1894737:1 gene:Sspon.05G0022050-1B transcript:Sspon.05G0022050-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLKKVKEKVSSKIVDIMTEQCFNIQLDNPLTPEKLSTLHWLLAETYEPEKLQSRSFLEEEVSRSSCTVIVEVGPRMTFSTAFSTNAVSICKSLSLVEVTRLERSRRYLLHLEPGSGPLDESQLKEFAALVHDRMTECIYPKKLTSFQSDVVPEPVHVVPVIERGEAALEEINVKMGLAFDKQDIDYYTHLFRDDIKRNPTTVELFDIAQSNSEHSRHWFFNGKLVIDGEPMPATLFQLVKSPLKANPNNSVIGFKDNSSAIKGFPVNHLRPTIPGSTSPLSIMMRELDILFTAETHNFPCAVAPYPGAETGAGGRIRDTHATGKGSFVVASTAGYCVGNLRIDNSNAPWEDPSFSYPVNLASPLQILVDASDGASDYGNKFGEPLIQGYTRTFGMRLLNGERREWLKPIMFSGAIGQIDHAHISKDDPEIGMLVVKIGGPAYRIGMGGGAASSMVSGQNDAELDFNAVQRGDAEMAQKLYRVVRACAEMGENNPIISIHDQGAGGNCNVVKEIIYPKGAEIDIRSIVVGDNTLSVLEIWGAEYQEQDALLVKPESRSLLESLCERERVPMAVIGKIDGCGKIVLIDSAAVEHAKLNGLLPPTPVEELELEKVLGDMPQKTFEFKRVFHVTEPLDIAPEVTLLDALKRVLRLPSVCSKRFLTTKVDRCVTGLVAQQQTVGPLQLPLADVAVIAQTYTGLTGGACSIGEQPIKGLLNPRAMARLAVGEALTNLVWAKVTSLADVKASGNWMYAAKLDGEGADMYDAAVALADCMIELGIAIDGGKDSLSMAAQCDGEVVKAPGNLVISTYVTCPDITLTVTPDLKLGNDGILLHIDLAKGNRRLGGSALAQAFDQIGNDCPDIDDVLYLKKVFEAIQELLSQRLISAGHDISDGGLIVSVLEMAFAGNCGFKLDIDLEDRSLLEGLFAEELGLVIEVHSKYFNIVKKKLEATGISANVIGEVTCSPEIEVFVDGNLHLKEKTSDLRDLWEETSFQLEELQRLKSCVKLEKEGLKCRTSPSWSLSFTPKFTDEKLLIASSKPKVAIIREEGSNGDREMAAAFHAAGFEPWDITMSDLLAGKSSLTEFRGIAFVGGFSYADVLDSAKGWAASIRFNKPLVQQFQDFYHRPDTFSLGVCNGCQLMALLGWVPGSDVGGSLGKGGDMSQPRFIHNESGRFECRFTSVSIGDSPAIMFKGMEGSTLGVWSAHGEGRAFFPDENVLATVVKSNLAPVRYCDDFNNITETYPFNPNGSPLGIGALCSPDGRHLAMMPHPERCFMMWQYPWYPKEWQVEKSGPSPWLRMFQNAREWEVSGFSDVAITGKLLTVQKNPVPGDGINICDSYQQKITGGWDL >Sspon.04G0000130-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:197022:201628:1 gene:Sspon.04G0000130-3D transcript:Sspon.04G0000130-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSLLLLPSSTTTCRRLLPPPSRCFASSSHASFPLRPRHRIRLVCAAEQPNGAASPGSGSGGDATANPTNNGLPKNRRDILLEYVKNVQPEFMELFIKRAPAQVVEAMRQTVTNMMGTLPPQFFAVTVSTVAENLAQLMYSVLMTGYMFRNAQYRLELQQSLEQIALPEPKEEKDSPDYAPGTQKKVTGEVIRWNKATGPEKIDAVKYIELLEAEIDELSRQVARKSSQGSNELLEYLKSLEPQNLKELTSTAGEDVVFAMNAFIKRLLAVSDPEQMKTTVSETSANQLANLLFWLMIVGYSMRNIEVRFDMERVLGAPPKVAELPPGPGESI >Sspon.06G0024980-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:60189840:60191000:-1 gene:Sspon.06G0024980-1B transcript:Sspon.06G0024980-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASYIGNKTMITFTAALTILAVITMVEARDLSTSTGGYGEEAMKVRHQQWMAEHGRTYKDEAERVRRFQVFKANADFVDRSNAAGGNSYQLAINEFADMTNDEFVAMYTGFKPVPAGAKKMSGFKYENLTLSDADQQAVDWRQKGAVTGIKNQGQCGCCWAFAAVAAVEGIHQITTGNLVSLSEQQVLDCDTNGNNGCNGGYIDNAFQYIISNGGLATEDAYPYTAAQGTCPSSVQPVVTISSFQDVPSGDEVALAAAVANQPVAVAIDAHNNFQFYSSGVLTAATCGTPNLNHAVTAVGYGTAEDGTPYWLLKNQWGQSWGEGGYLRLERGTNACGVAQQASYPVA >Sspon.03G0032420-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:37641958:37655015:-1 gene:Sspon.03G0032420-1B transcript:Sspon.03G0032420-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTTHALRPCPLLSTIRSTPPRATAAARQGAAPALLVARCSSAGTPSAAQALKINSIPTKPVEGQKTGTSGLRKKVKVFQQENYLANWIQALFNSLPPEDYVGATLVLGGDGRYFNKEAAQIIIKIAAGNGVQKIIVGRNGLLSTPAVSAVIRKREANGGFIMSASHNPGGPDNDWGIKFNYSSGQPAPETITDQIYGNTLSISEIKTAGIPDTDLSSVGVVSYGDFTLEVIDPVSDYLELMENVFDFQLIKDLLSRPDFRFIFDAMHAVTGAYAGPIFVEKLGADPDCILNGVPLEDFGNGHPDPNLTYAKELVFTMFGTHAPDFGAASDGDGDRNMILGKRFFITPSDSVAIIAANAQAAIPYFQFGTKGLARSMPTSGALDRVAKKLNVPFFEVPTGWKFFGNLMDAGKLSICGEESFGTGSDHIREKDGIWAVLAWLSILAHRNKDKKVGERLVSVEDIAREHWKTYGRNFFSRYDYEVDGSTVSKQGLRFVFTDGSRIIFRLSGTGSAGATIRLYIEQFESDISKHSLDAQTALKPLIDLALSVSKLKDFTGRDKPTVIT >Sspon.01G0024630-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:85909596:85913665:1 gene:Sspon.01G0024630-3D transcript:Sspon.01G0024630-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASNGGGGGGPGPGPISADAESALGEAIRLVFGRWTALQMAVENQWGGRDSRAKADQFGESILFWFCRTKGPRYFEDLVDMMYDKISESFNADFEDNSVEEVAEQLLIIHEECLQNNYSSIEKLRNSHVQGNAVSQSRQVVADDDDDSDSSDDGDDTSMMDDQEAAPEDMAVDRPRPPRPTPTPDADGWTVVPPRRGGRRQN >Sspon.07G0001480-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7B:2270410:2270967:-1 gene:Sspon.07G0001480-2B transcript:Sspon.07G0001480-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRSAAPAAATAASSPAPKPQPQPHPHPQLRGSQLKQLREIFGRFDMDGDGSLTQLELAALLRSLGLRPTGEEARALLAAMDSDGNGAVEFGELAAAIAPLLTTQTHLVDQAQLLEVFRAFDRDGNGYISAAELARSMARLGQPLTFEELTRMMRDADADGDGVISFQEFAAVMAKSALDFLGVA >Sspon.03G0014150-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:63270449:63279002:-1 gene:Sspon.03G0014150-3C transcript:Sspon.03G0014150-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHNRKTILLDEGWPHMMAGFEKLKLILAGEPGVAFASDEYMHLYTTIYNMCTQKPANDYSQQLYERYKELLYGYITVTVLPSLQDKHGEFLLKELVFRWKNHKLMVRWLSRFFYCLDRYFITRRSLMPLKKVGWDSFKTRVFDNHKATVTSILIAMVDEDREGQIIDRTLVKNVLDIYIELDSDSGSKLYNEDFEDAFLKATIDYYSKKAQAWIVEDTCPEYMVKAEECLQKEKQRVAQYLHANTEPRLMEDVQGELLARHIEQIMRKQNSGCKVLLCDEKVEDLSRMFSLFSRINGGLTPVSKIFQEHVNEVGMSLLKQAIDAATSKKNEKKDVVSTLELDYVRKILDLHDKYMAYVINCFQNHTLFHKALKEAFEVVCNKTVAGCCSAELFAAYCDSILKKGGCEKLGDEAIEENLDKACSYTLVVKLLTFISDKDLFVEFHSSDFKILSMSKLDIYFSLGRRFDRSGNSDQERSLLSKLKQYFGAQFTSKMEGMINDVTVAKDKHTDLENYIRANPELNPRVDLSVQVKCVEIFSKFYHSNTDRKRKLNWIYSLGNCTVVGNFKAQKIDLIVTTYQDVQGELLARHIEQIMRKQNSGCKVLLCDEKVEDLSRMFSLFSRINGGLTPVSKIFQEHVNEVGMSLLKQAIDAATSKKDYVRKILDLHDKYMAYVINCFQNHTLFHKALKEAFEVVCNKTVAGCCSAELFAAYCDSILKKGGCEKLGDEAIEENLDKACSYTLVVKLLTFISDKDLFVEFHRKKLGRRLIFDRSGNSDQERSLLSKLKQYFGAQFTSKMEGMINDVTVAKDKHTDLENYIRTNLELNPRVDLSVQVKCVEIFSKFYHSNTDRKRKLNWIYSLGNCTVVGNFKAQKIDLIVTTYQAALLLLFNESERLSFSEIVTQLNLSEDDTVRLLHSLSCAKYKILNKEPNSRTISPKDIFEFNHRFTDKMRRIKVPLPPSDEKKKVIEDVNKDRRFAIDAALVRIMKSRKIMTHQNLVAECVQQLSRMFKPDIKMIKRRIEDLITREYLERDRDAPNSYRYLA >Sspon.03G0016050-1T-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3C:82004355:82005675:-1 gene:Sspon.03G0016050-1T transcript:Sspon.03G0016050-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARIVQVVAPLLLLLLPTGLRELLSPTDHQPSQGAANSGGGGTTTGRGEVLLHPLVLVPGLTCSELEARLTDAYRPSVPRCGAMKGKGWFGLWANCSDLPAHHYVQCFIEQMTLVYDPVANDYRNLPGVETRVRSFGSSRGFYRNPKSTFGGMVALEFVRSTPMAWRDAYIKHLILVAPVPAEGFMGTLHSFVSGSELMYVPTVTPLALTLRPMWRSFESAIVNFPSQAVFGDRPLVVTARRNYSAHDVEDLLAAIGFSAGVEPFRRRAVPKMRYFQAPMVPTTCMNGVGNDTPEQLVYWDGDFDAEPEIVYGDGDVTINLISMLAFDQKMRRQPKQNKLYKSIKLHGADHGTIVTEDWALKRVMQEILEANRI >Sspon.03G0013490-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:38301642:38302619:1 gene:Sspon.03G0013490-1T transcript:Sspon.03G0013490-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVVASRRQPGDWSCRSCQYVNFCKRDACQRCGEAKLGVDRTDYAALGGDWDVKPGDWYCYRCSVNNYASRGSCFKCGAAKNESPAAVAQGWGYTVAGQPGMKPGDWICPRLGCHVQNYANRTECFRCSMPKSYY >Sspon.07G0027520-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3C:3984517:3985668:1 gene:Sspon.07G0027520-2C transcript:Sspon.07G0027520-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSRMMSLALLVCMAALAVMMQSASSQGQGVPDIPSLTVGSNSLATTLKCTNTKTNKTTCSATCNKRCPHKCLIQCPSCKTFCLCDFYPGVSCGDPRFTGADGNNFYFHGKKDKDFCIVSDADLHINAHFIGKRNPAMSRDFTWIQALGIRFAHHHLYVGAARTAKWDAAADHLALAFDDEDLDVASQLPRFVGARWSPPTAPALSVTRTARVNTVVVELRGVFRIVANVVPITAEDSRIHNYGVTDDDSLAHLDLGFKFYDLTDDVHGVLGQTYRPDYVNRLNVTSKMPVMGGAPDYLSSDLFSTDCAVARFGGRHQAGTTAAVNSIAMVTDDME >Sspon.01G0039720-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:36121804:36126228:-1 gene:Sspon.01G0039720-1B transcript:Sspon.01G0039720-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding WTFSFVRHFGSSVCPSMRIASPSPPTPPTPRDSPTPPMPPLPRVRLLHLSPPRTRVPAKDARPPAGGHQGTGPTRTLSRGDFGSSVCPSVRNASPSPPTPPTPCDSPTPPMPPLPRVRLLLHFSPPRTRVPAKDARPPAGGHQGTGPTRTLSRGDRKPSRLPYARYPGFRWALRHVFAEPFAGDEHPTGSIATWLLALDSEKSGKEYKTWHALTEAASLGDKPLVKPNSFLNMLRDWVDNLQAREWLLRPVKPDRIWSPRTTEPQEKSEGKINSSGSNVIADLDAQSQSISVLLSRPIFALEFSSLRMHVDAPKIVVPHCKKKKIGYSST >Sspon.07G0027050-2D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7D:52734567:52735133:1 gene:Sspon.07G0027050-2D transcript:Sspon.07G0027050-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARMLMLMSHSHGQDQALALPVVVAGGRGGDRAAPERVFVCKTCNRVFPSFQALGGHRASHKKPRLDGDGDPSLAKPKLHGCSICGLEFAIGQALGGHMRRHRAMTSGMPIMPVPPATTRIVVDKKPDGDVIIHHGHDHGGVRPGGLWLDLNHPPCADDGCDAEVECGHINAAAAGITFHQFLDTATMA >Sspon.01G0016480-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:52910519:52912665:-1 gene:Sspon.01G0016480-1A transcript:Sspon.01G0016480-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIGDVTIMQKGKADVISDGQIGILENFFPANSERLCAETGLQFRRKFDAYHGVIDLEKTSENTSLAESLQLCRDWNTSHGTEPIGGKEISINDLVIKSPINSSCL >Sspon.05G0019970-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:80605419:80607545:-1 gene:Sspon.05G0019970-2D transcript:Sspon.05G0019970-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MCAPAPAPAPLSQQEHLVQDLCGCAGHVEAGAIERAGRCLARATGLAAAAGDGGPLWRLAVPMADALARRLVRLMVPAVADALIDPSEHLDPRCVRAARRSFFELSPFPRAAVAVANRAILEATENEKNVHIIDFAGPTAQPYQWIKLLHDFHRRPGGPPHLRLTIVHDDGDLLANISQLLTDEAEALDVPFQVHRVVGQVEALDPTDLHGVLGLKSGEARAVVCTLQLHRLLAAAEDPAGTFSAGHRFNPTASAARLQQMASTSCPPSVGACGGRGDDDDSDSSPATPMGFVSPPLSTPQLQMPPALASFLSAARALSPEVVVVTEQEASHGGVSFRKRFGEALGYYAAVYNSLDAAAEAYRRPHAERAEVERAVLGEEIRDVLLREGAHRRERHDRLQRWAARMELGGFRSVPLSYVAMRQGNDVLHRCGLSGCGAAPASREHGGCLLLCWSSWPLYSVSAWRPTDAQ >Sspon.04G0010880-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:33239287:33242130:-1 gene:Sspon.04G0010880-1A transcript:Sspon.04G0010880-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAGRPSRTALSPPAPPCNLAAACCFVLLVPILLAGQQVAVAESLSIGVNYGQIANNLPSPAQVSWLLRSMRISKVKLYDADPNVLRAFLGTGVEFVVGIGNEYVPAMVSPAAAQAWLQQHVVPYLLAGARITCVTVGNEVFKGNDTALQAAVLPAMQSVHRALGALGLQGRVNVTTAHSLDIMGVSFPPSAGAFHPAALAHLQPFLGFLSATRAPFLINCYPYFAYKDDPARVPLDYVLFRPDAAGVVDARTGLRYDNMLYAQVDAVYAAIQGLGYTDVEVKVSETGWPSRGDADEPGATPEYAGTYIRNLLQRIEMKQGTPLRPATPVDVYVFALFNENLKPGPASERNYGLFYPDGTPVYNVGLNGYLPPMLVSSSTAGRQ >Sspon.05G0002360-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:2515298:2518496:-1 gene:Sspon.05G0002360-2B transcript:Sspon.05G0002360-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Floral homeotic protein APETALA 2 [Source:Projected from Arabidopsis thaliana (AT4G36920) UniProtKB/Swiss-Prot;Acc:P47927] MWDLNDSPAAEAAPPSPSADDSGASSSSAAAPVEIPDDADDDSAAAAADAVVTRQFFPAAAAIGAAPGSSNARAGWLRLAATAAAPPAAGSNGPAAAGGKKSRRGPRSRSSQYRGVTFYRRTGRWESHIWDCGKQVYLGGFDTAHAAARAYDRAAIKFRGSTGLPRGSSKYRGVTLHKCGRWEARMGQFLGKKYVYLGLFDTEEEAARAYDRAAIKCNGKDAVTNFDPSIYAEELEPAAATGGGADDEHNLDLSLGSSAGNKRGSLDGGGDDETSDQRIPMAFDIDWQTAAPRSTKAKLDASSKQPQMLPPPPPPALQVAQHLPLPFSPRHPQFLSNGDPGTAGGLSLAIGGAGGGGGGGHWPPQLQHHQHQQRLHGGGTSWPPPPHPPPPITAATAAAASSRFPPYVVTTQGPAGWVQKNGFHSLARPT >Sspon.03G0002530-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:15464881:15468512:-1 gene:Sspon.03G0002530-2B transcript:Sspon.03G0002530-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPARPDPEPPPIHRLLELIKSEPDPANALSHLELLVSTRPAFPPPQPLIFHLLRRLSTSSPSHLPRLLGLLPRMRHRSRFSESAALVVLSAFSRALMPDAALAAFRDLPSLLGCNAGVRSHNALLDAFVRARRYSDADAFFASLSHGAFGRRIAPNLQTYNIILRSLCARGDVDRAVSLFSSLRHRGVAPDRVTYSTLMSGLAKHGQLDNALDLLDEMPNHGVQADAVCYNALLSGCFKTGMFEKAMKVWEQLVRDPGASPNLATYKVMLDGLCKLGRFKEAGEVWSRMMANNHQPDTVTYGILIHGLCRSGDVDSAARVYLDMVKAGLILDVAVYNSLIKGFCEVGRTGEAWKFWDSTGFSGIRQITTYNIMMKGLLDTGMVSEATELLKQLENDASCSPDKVTFGTLIHGLCENGYANRAFEILEDARNSGEELDVFSYSSMINRFCKDGRTHDANEVYENMVKDDIRTYASLIRGLCRDKKVDAALRIWDEILDAGLQVDVMVHNILIHGLCSAGKVGEAFCIYLEMKEKNCPPNLVTYNTLMDGFYETGSIDKAASLWTAILDNGLKPDIVTYNTRIKGLCSCNRTPEGVLLLNEVLATGLMPTVITWSILLSVVRNPARR >Sspon.04G0017770-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:68063549:68070336:1 gene:Sspon.04G0017770-2B transcript:Sspon.04G0017770-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDRSVEREFPSRERGDRPPPGILGPQRRGPAYKTKLCALWQRGGCSRDTCSFAHGHAELRRFPGSRTSFPPRAGRRDYRGGDFRDRFDRGARLMGGIPLTEIPGAIVPFMTEDQIPKKGVIKTEILLAESSYSRSPSRKSERRHEKKPDDGETNSSRSLSVSDNNDDRKKETLLSGDDKEDHEIQLKQIRQDMELLRDDKSHLEIILDEKNAEVRKISSRVNDLDLQLRKEKEECHRMTSKMKKFIKAHARFLKAQEELKRSQARFERLGDLLASDILKRGANEEVSSINVDDDPNGSYERSPNAATAKKRSIPYSTSEEAKAVKKRRERDSDTTRPDKYRSEGTIAEFEKPSKGTEPTKSLYLKKKLWEDEKDKIGNFVSSANTDKVKESPVKHVLPSTGMAAHAVDDLFEAVELEDRHDPINASIENDADDETRSPVMPPQPPPVVNTYEQYEADDEEVDVD >Sspon.01G0023130-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:89366663:89368582:-1 gene:Sspon.01G0023130-2B transcript:Sspon.01G0023130-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPRPKRGERRIDAAIDHLAQYGFAKPQIRKIINNLLQLYGKDGWVFLEEGSYRVVLDKLLEGQEQQDQKQEAAAAEEASPQNGMEVSRVHGEAPNESQSALELQASPNSSPPLEGVLPLPPAKGPPRARPPCYGWISEDSETESEPEDREILSDVPKKDIPNPVETLAAKRKRPSRWDVHPN >Sspon.07G0028810-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:69655859:69656221:-1 gene:Sspon.07G0028810-1B transcript:Sspon.07G0028810-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSSYLLAAVALAALFAIGSCGTELTLTIGKDSSSTKLSLITNVAISEVSVKPKGATDFSDDLKESPAKTFTLDSKEPIKGPISFRFAAKAGGYRVVDDVIPADFKAGTVYKTGEQV >Sspon.02G0011720-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:31293815:31301144:1 gene:Sspon.02G0011720-1A transcript:Sspon.02G0011720-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPPLQLAAAPLLLLLLVVVPGTRSSRVFSVADYGAAGDGSRYDTAAIQAAVDACAAAGGGRVLLPAPGNYLTATVHLRSRVVLEVPPGARLLGGTRQADYPPESRRWYLVLAENTTGAGVTGGGEVNGQGGAFVVTPSEVKNVMVSWNATGDCLGDECRPRLVGFIDSKDVRIHDITLNQPAYWWLYTCIARSLHLVRCDNTVIHNVSIFGDFNSPNNDGIDIEDSNNTVITDCHIDTGDDAICPKSTTGPVYNLTATNCWIRTKSCAIKFGSASSFDFKKLVFDNITIVDSHRGLGMQIRDGGNVNDVVFSNIKMSTRYYHPLWWGRAEPIYITTCPRHPDSKEGTISDIRFINISSVSENGVFLAGSKHGLLRNLKFKNIDLTYKRWTNYTGGLYDYRPGCQEMVKHKTGGMMLEHISGLEIDNVRMRWARGSLKGWNVNPILFRPSTIDKLSFHDWQSVDVQYMPEVHDIIAGRVIEGRLLRHLVDRFPATKGGDSITLI >Sspon.08G0003100-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:6787097:6790034:-1 gene:Sspon.08G0003100-2C transcript:Sspon.08G0003100-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MANDRDLLQVVRLLDDACKEAGFFYVKGHGIDELLMREVRNVTRKFFQLPYEEKLKIKMTPQSGYRGYQRLGENITKGKPDMHEAIDCYTPIRPGKYGDLAKPMEGSNLWPEYPSNFEVLLENYINLCRDISRKIMRGIALALGGAIDAFEGETAGDPFWVLRLIGYPVDIPEEQRTDTGCGAHTDYGFLTLVNQDDDICALEVQNRSGEWIYATPIPGTFVCNIGDMLKVWTNGIYQPTLHRVVNNSPRYRVSVAFFYESNFDAAIEPVEFCREKTGGAAKYEKVVYGEHLVQKVLTNFV >Sspon.04G0007650-3P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:22155295:22156501:1 gene:Sspon.04G0007650-3P transcript:Sspon.04G0007650-3P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKAGHEHVIGIPVNNRAFGIEEQDFPSNGAAKNATAAVGRAAKFGRTGDRVAQGLKEHVTLGPKLYETVKGKLSLGARILQAGGVEKVFRRWFSADKGEKLLRASQCYLSTTAGPIAGMLFVSTERIAFRSDRSLALTSPQGGGTVVRVPYKVAIPLRRVKTARPSENKDRPEQKYVQVVTDDGFEFWFMGFVRYQVSLQELEKAIAAQSQSQ >Sspon.08G0004640-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:14272488:14276629:-1 gene:Sspon.08G0004640-3C transcript:Sspon.08G0004640-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMANLGRTKWQAVTTFIVLCILSSICICKAQFTPADSYLVDCGSSKSTIVGRRTFAADGASPVKVSTSQDVLAGTSANGVASFDNSVLYQTARIFTVPSSYTFPIQKQGRHFVRFYFFPFTYQSYDLSLAKFTVSTQDVLLLSDFQQPDKTAPLFKEYSLNITRDTLVISFKPSNGIAFVNAIEVVSVPDDLIADNAQMVNPVQQYTGLSTQPLETVYRVNMGGPKVTPDNDTLSRTWVTDGKYLLNPTVTKNVSYGKDVNYKKGGATQLTAPDVVYGTARELAAANTSNAVFNMTWQFDVDAGFSYLVRFHFCDIVSKALNQLYFNAYIGGFSAQSNLDLSTISDSQLATPIYIDVVLSSNDASSKLGISIGPSTLSNVLPDGILNGLEVMKISTGGSAFTVGSSSGNKNWGVILGAALGEIELLSRLRHRHLVSLIGYCDERNEMILVYEYMEKGTLKSHLYGSDNPSLNWKQRLEVCIGAARGLHYLHTGSAKAIIHRDVKSANILLDENLLAKVADFGLSKTGPELDQTHVSTAVKGSFGYLDPEYFRRQQLTEKSDVYSFGVVLLEVLCARPVIDPTLPREMVNLAEWGMKWQKRGELHQIIDQRISGTIRPDSLRKFGETVEKCLADYGVERPSMGDVLWNLEYVLQLQDADSTVSDVNSMNRIVELPSQVQNVGALESISVTMAEAGASNEPDHDLSDVSMSRVFSQLIKAEGSRFGDYIQS >Sspon.02G0029070-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2A:105419753:105420066:1 gene:Sspon.02G0029070-1A transcript:Sspon.02G0029070-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GTGSGPSRCETGSTPAATVVQPVVHAVEGQTQDLAAYSVSLVDGFNVPVVVSPQAVRGGQCPALGCAADLNAGCRPRNAWLGQGAAPWWRARAPRGTSRSSAR >Sspon.02G0014170-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:37736578:37738676:-1 gene:Sspon.02G0014170-1P transcript:Sspon.02G0014170-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MANAAASAAAACATSDDSYLQELIRGSVPGPSSSRARVAPLTDDEIGWFCCGICTERHARCAHDFCIECVVRYIEGRVAYGAVPVPCPAPECRDGVMHPEACKKLVDIDVFDAWCVALCERAVGPARARDCGELVALEAADGGVVSEVECPTCSRAFCLQCEEPWDERHGSGSGGRGCVLAGLAVGNKWTRCPSCRAMIDKIDGCRRMVCRCGTSFCYGCGSPLSEKGCRCFSGKEDPALTLQKAGFECKSGVSIDKC >Sspon.01G0012060-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:32940997:32946005:1 gene:Sspon.01G0012060-1A transcript:Sspon.01G0012060-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAGGDGRWCVVTGGRGFAARHLVTMLLRSGEWRVRVADLAPAITLDREEEEGFLGAALREGQAVYASADLRDKAQVARAFEGAEVVFHMAAPDSSINNFHLHYAVNVEGTKNVIDACIRCKVKRLIYTSSPSVVFDGVHGIFNADESMPYPDKFNDSYSETKADAERLVMRANGREGLLTCCIRPSSIFGPGDKLMVPSLVAAARAGKSKYIIGDGNNYYDFTYVENVAYGHVCAEKTLSSADGAKIAAGKTYFITNMEPIKFWEFMSLILEGLGYERPSVKIPVSVMMPVAHVVEWTYQKFAKYGMKVPQLTPSRIRLLSCNRTFSCSRAKDQLGYEPLVSLKVSLSLLVVSILSSMSSQAAFKIGIALVFTGFKAYEKWEDSIDSMVGDACTILLNIGSAKKSSSQKQT >Sspon.07G0029800-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:69829239:69832925:-1 gene:Sspon.07G0029800-2P transcript:Sspon.07G0029800-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGTNDRAVISLVFFLVCMASRCLRTTEAVVEQSRLLVPSVYVFGDSIVDVGNNDFLPPPAPRARSPYGIDFAGTGMTGRFTNGYNLADLVARRLGFTNSPPAYLSLTPSTNLDLLKCQVGANYASGGSGILNTTGNGTLTLQKQIMLFSKTKARMWRCGRKLNYMISKSFFLISAGGNDFSAFSEMGMGEEDAPAYISSMVSTYVEHINALYKLGARRLGILDVPAIGCTPGSRVPMANGGCNDAANSMAQNFNKLLIGLRWKKK >Sspon.01G0004390-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:10379305:10381686:-1 gene:Sspon.01G0004390-2B transcript:Sspon.01G0004390-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAESRVEKPHRIYVPRDEAFEELKQGAFSSGRLRAVLHTLIPSMIATISAETHSFQGFHHVDNLYKEGLRLKLGLQEHLFQKIPLVQKIQESSEGMLRYDTPRILSKDKFAWLRDDEFARQTVAGINPVSITRLTVFPPVSKLDPAIYGSPESSITEAHIAGQLNGLTVQQAVDEAKLFILDHHDVYLPFLDRINAIEGRKAYATRTILFLTKAGTLKPIAIELSLPPSKAGEPRPSKVLTPPSDATSNWLWMLAKAHVSSNDAGVHQLVNHWLRTHAIMEPFILAAHRRMSAMHPVFKLLHPHMRYTLEINALARQSLISADGVIESCFTPGPVSFEISAAYYRNHWRFDLEGLPSDLPHGIRLLIEDYPYANDGLLLWSAIRNWVESYVQLYYQDAGTVQSDDELQGWYHETVHVGHADIRHAPWWPSLSTPADLASILTTLIWLASAQHAALNFGQYPLGGYVPNRPPLMRRLLPDPERDAAEYAAFLADPHRFFLNAMPGVLEATKFMAVVDTLSTHSPDEEYLGEGRDEPWTGDAAAVAVHAMFEADVRCAEEAIERRNADQRRKNRCGAGVLPYELLAPSSPPG >Sspon.08G0009660-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:37213141:37217420:1 gene:Sspon.08G0009660-2D transcript:Sspon.08G0009660-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATETLPETLPVVHDIQTLKLHSDTSLEASRLNKPSASVPTRLPEPNNLSKPSSEAEPQDQKPNDLLNESVDHTSLDKISADPIQDKLISECPVDEQKKDVNQGNMCRSLKPSSILDKECGLAKANESGRLIKRSDTGERGISSRYRPSNGSDISDESSCSSITKPHKANDSRWEAIQMIRTRDGIFGLSHFKLLKKLGCGDIGSVYLSELSGTKSYFAMKVMDKASLASRKKLLRSQTEKDILQCLDHPFLPTLYTHFETDKFSCLVMEFCPGGDMHTLRQRQRGKYFPEQAVKFYVAEILLALEYLHMLGIIYRDLKPENVLIREDGHIMLTDFDLSLRCAVSPMLIRLSNPDPESLKKYNQAHSTQQACVQPSCVMQPSCTAPTTCFGPRLFSKSKKVRKPKLEVVNQVSPWPELIAEPSDARSMSFVGTHEYLAPEIIKGEGHGSAVDWWTFGIFLYELLFGKTPFKGTGNRATLFNVIGQPLRFPEYPVVSFPARDLIRSLLVKEPQQRLGFKRGATEIKQHPFFEGVNWALIRCASPPEVPRHFDIEKPPKQPVSTSESAAQKGCDNYLEFDDGGVGLLDLLLLLTGEGDSGGVGSAVVSVNRNKFDDRVSNLIALDE >Sspon.03G0034350-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:68928700:68929688:-1 gene:Sspon.03G0034350-2C transcript:Sspon.03G0034350-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTCTAHAVASRGARVLLLERFDLLHDLGSSHGESRTIRATYPDARYLPMADAEAESGQRVLTPTPLLLMGPRSNASVLAAARNAGSEEVDVAARWGGSFRFPDGWVAAVSEHGSGVLHRLGMIKINYHDGPPCDSDDRDLVSGGGGDVVERVARWIDEFTHGHVDTAGGPVDQLSCMYSMTLDEDFVIDFLGGEFGHDV >Sspon.08G0012740-3P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8D:49869755:49870981:-1 gene:Sspon.08G0012740-3P transcript:Sspon.08G0012740-3P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVELLTTELVVPAEETPAGAVWLSNLDLSARRGYTPTVYFYRTNDKPEFFEADAVKDSLARALVAFYPLAGRLGLDAATGRVQIDCTGEGAVFVTARSEYALDELLNEFVPCDEMRDLLVPATPAPNPPCPLLFAQVTRLRCGGVVLGLALHHSVVDARSAAHFVETWASIARGGGTGAGDAPLPPCFDHRLLSARPPATRAVLYDHPEYKPKPAPKHAVAAGSAYASAIITLSKSQVSALKARCAGASTFRAVVALVWQCACRARSLPADAETRLFSMVDMRARLAPPLPPGYFGNAVIRTSALATVGEVVGNPVGYAARRALAATSQGDDYARSLVDYLEGVDAMNLPRSGISRAHLRAISWMGMSLHDSDFGWGAPVFMGPALMYYSGFVYVMQAPGKEGAVAL >Sspon.02G0026660-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:76409042:76416397:-1 gene:Sspon.02G0026660-4D transcript:Sspon.02G0026660-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASPSPSPSTAPASSSSASAAVVVFAVNGERVELREGDVDPGATLLEFLRTRTRFTGPKLGCGEGTHATPTSSLPPPRSSVSSFRDSSLTTRGCGACVVLLSAYDAASGAVSHAAASSCLTLVHGLHHRAVTTTEGLGAGRAGGLHAVHERLAGFHASQCGFCTPGVCMSLAAALAGAEARAKAQAGRRPDDPPEGFAARLTAAEAERAVAGNLCRCTGYRPIADACKSFAADVDLEDLGLNSFWRKGDAHASKLPRYDEGSIGVFPEFLKAEIRASSGVDDLYTPPALVGSASTWHRPRSVEEYYKLVGSELFGESRTKVVVGNTASGVYRETEVYDRYIDLRCIPELNSVSKEANGVHIGAAVSISQAIEILRVEAGGCHDVIFCKIADHMEKVASPFVRNTASLGGNLIMAQRDQFASDIATILLAAGSSICIQASSRRLTATLEDFLQMPPCDHKTLLLSIYIPRWTPIGSLSDGKTMDGTVSKIGTSVLFETYRAAPRPLGNAVAYLNSAFLAQVSSDETSSSLILRDLCLAFGAYGSQHAIRARNVEKLLVGKPITASVLLEACRLVKETIVPKEGTRHAAYRSSLAVAFLFTFLYPVTEGTLKPVKAVHLNGYVTSGTNGNPNCGPDAHVDVSLKKINDVNSGSCTNDHILEYSNQIIEINKDYLPVGIPAKKVGAEHQASVNFSTDTKPPHIGEAVFVDDIPSPKDCVYGAFIYSTKPLAHVKSIELDLSLKQLKTLGVVTVKDIPEGGSNVGANTIFGPEPLFGDPITQCAGEPLGVVIAETQRFANIAAKRAVIDYSTENLDAPILSIEEAVKRCSYFETPPFLLPQKIGDFSKGMAEADQKIYSEVKLNSQYYFYMETQAALAIPDEDNCMVVYSSSQCPETAQNVIAKCLGLPCHNVRVITRRVGGGFGGKAVRSLPVATACALAAFKLRRPVRMYLDRKTDMIVTGGRHPMKICYSVGFKSDGKITALHIDLFINAGMTKDALKKYNWGAFSYKAKVCKTNISTKSAMRGPGEVQGSYVAEAIIEHVASTLSADANLIRQRNLHTVESLALFYSECSEDAMGYTLHSICDQVTASENYQGRLETIESFNKNNKWKKRGLSFVPIVHKVLSRPTPGKVSILNDGSVAVEVGGIELGQGLWTKVKQMAAFGLGQLCPDRSQELLERIRVIQADTLSNAVMIGVDLSAREYYVPGPSGSYLNYGAATSEVEIDLLTGASTIVRSDLIYDCGQSLNPAVDLGQVEGAFVQGIGYFMNEEYVTNSDGLLISDGTWTYKIPTVDTIPKQFNVKLLNSGFHKKRVLSSKASGEPPLLLAASVHCATREAIRAARNEPHCSVFGPSPSHFDLEVPAVMPVVKELCGLDSVERYLESLLTSE >Sspon.05G0025580-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:32586955:32595353:-1 gene:Sspon.05G0025580-3D transcript:Sspon.05G0025580-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding FPHEPRNIATTNRVKGDESGKSDRRLSISSANVITRSQNKHSAVTQGTKNITSGRILEAIAGDGKSDKNDCRVASEFIYDKRDTSGQIVKHTDYGEEDQRNAVDNQRGEIVASKDKEVSDDEEYTLDIILPKSRHRDGSIYRDMDRWWKSLFHIADRNETRLEAMALSNPPSCIIRNGTCVKHYPRRMLQILSLELDGFLVDGGLVELYGYIAVRDQLDPLLNYVVNFSRDDPIVVEQGSLINMTGPKRGIDMMDLSLIEYDMRIKTGEEEKNDLQLIDGASLIGSAGEWDQPLTMRIPGNYGAVDITLSRFNSAVEATVEVLISEYNAVSICRSLLSKEIRLFHGAIAGSRGLQRSVVAIPWNSSINLKFKVGALSSGSNQHYCSFMAKVHGHDTQEINTLFALISVKVIWSMLPIALGCLY >Sspon.01G0016450-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:51184376:51188194:-1 gene:Sspon.01G0016450-3C transcript:Sspon.01G0016450-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSVLGASAGGGGGGGSSSGVASGGVGGGGGGPPCGACKFLRRKCVTGCIFAPYFDSEQGAAHFAAVHKVFGASNVSKLLLQIPPHKRLDAVVTVCYEAQARIRDPVYGCVSHVFALQQQVVNLQTELTYLQGHLNTMELPTPPSFAAQNQMPMTAAFCVSNLPSASDNIIPATVDVSTFFEPQTQQCHWVSQQQQVQQHQLNQQQYATVGEGPGTGVNGSGATGGGDLQLLARELLDRHGTAAVGSQSQPEPPCT >Sspon.02G0021510-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2A:72250884:72251070:1 gene:Sspon.02G0021510-1A transcript:Sspon.02G0021510-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRTLDHISALEISGRLSRSGAFTGLVSPFLSGSESVIQYYVPYLSGIQLYADPSKLSSRPG >Sspon.02G0027940-1P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:120178157:120179657:-1 gene:Sspon.02G0027940-1P transcript:Sspon.02G0027940-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding FWKRQLVVAKDARRVDNLCSRIYLSHRLLDGTTRFKELHQIVEDAKAKLESEVGPLDDTLPSACRFKFEDITASSLVLVLKEAVSSQYHNIKGYKLWYWNSREAPYTGEPAVFPKDQRRILISNLQPCTEYSFRIISFTEDGELGHSESKIFTKSVEIIHKNTEHGAEGCSSSAKRAGKRQNVRSLGFQVRQLGNVFRKAQTEENGYPSALCKDEIEDSCDQSDSVIPDKDQVPRGASRKLDLNETSVPDLNAEVVMPTECCQNENGCSSGKNAFTKSNGCGDSETCAEGHVGDAPAMESRSQSRKQASDLEQETCVDDSNLVARAARLFAPKLGQLDDNYEYCVRIIRCLECSGLIEKDFRMKFLTWFSLRSTEQERRVVITFIRTLIDEPSSLAAQLLDSFEDIVTSKRLRTGFCTKLWH >Sspon.02G0004750-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:346378:352332:-1 gene:Sspon.02G0004750-2B transcript:Sspon.02G0004750-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRAAALVVVLWAGVASAAVYEVGDKTGWTVMGNPDYAAWASSKTFHRGDTVVFTYNKQFHDVLAVSKADYKNCDASKPTATWSTGNDSVVLNTTGHHYFLCGFTGHCAAGQKVDIRVASSSAAPSGSPTAAPSPTPSGSKPSGGATAAPSPHPNAAPKALSASSVAASLLSLAAA >Sspon.02G0019430-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:58844104:58853185:1 gene:Sspon.02G0019430-4D transcript:Sspon.02G0019430-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:suppressor of abi3-5 [Source:Projected from Arabidopsis thaliana (AT3G54230) TAIR;Acc:AT3G54230] MAKQVSFENRFGERSDVGILVPLPRSPVSSPSRRCSPGAGRRPCRSGNDDGLQICNDDSLQIQSTSDDLDRPATCGHLQIQPVLKAPNGYGVINEPDFRSGGSYNGRRSVDEGFPRDSYGRGAFCQDTHDRNMYAPPPSVGGMWSQPRRNHDEEYATARDHRRHDADYRNDGNHHEFDSYRGVDRLRDNYHATDNYYESGSHRDFGVDRSKRIGSREHAEFHGEFEDRYRSSHQSREDSYERDHEYGRYGYDSDYDRGRRDSSWRRRDSCESERERSGLSREREESPYMRHSRSRSHGRDDRSRSRSRSRSPRARSHGRNQRDGLYDYNRFDRRRGYDWDDRRHGDSVVPSATVVVKGLSLKTNDDDLYQILVQAQWGPLRSVRVIKERNSGMSRGFAFIDFPTVEAARRMMEATGENGLEIDGRNVFFQYSSKPTGGMVGPSLGQENFTRPTYGHRTAAAPCDWICTICGCMNFARRTSCFQCNEPRTEDALPADATGSTPHFGRRGSELGKFCAFIVSFWNHVKYLRSFAGPTHVLVVRGLDENADEEMLRYEFAKHAPIKDIRLVRDKFTHVSRGFAFVHFHSVEDATKALEATNGITLEKNGQVLRVAYAKSTHGPASGGSQSNSLAAAAIEAASFAQQYDAIGWAPKEYNPDDKQSSNSESQKDGGTTQSGFVWDEKSGYYYDSASGFYYDGTTGLYYDSNSGVWYSYDQQTQQYVPCNDQNNTKAAGEAASENTKTSDSNSGKKVVISAPAATIKQSEKTSLPDAVQAAANAALAAEKREKEKAKEIKLASKSSLIANKKKMNNVLAMWKQRNQEGQVARVVLDDKEPSSSDDKFNHSHSGTGFSLKSKPNSDFENAMDNSIGQGIVSTHMLDSDIKPRPVSNSLGTTVMGVIRGSARGVIKSDTAFHALSDAGSTDSRTTISTRASGLMTSPEALVTPAPFKTDLSALVSNTSSGVSGSGKRRFSEAPGQSQYRDRAAERRNLYGSSLGTDTIGLDSTGDYPSRKGSSEIGSMPFPPGVGERSSGEIGNSENYEVITADRAIDESNVGNRILRNMGWQEGLGLGKDGSGIKEPVQAKSVDVRAGLGSQQRKADPSLEAQAGDSYKTIIQKKAMARFKEMS >Sspon.02G0015230-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:40287462:40292285:1 gene:Sspon.02G0015230-2B transcript:Sspon.02G0015230-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTKQGKRDVDAYTIKGTNKVVRVGDCVLMRPADTDKPPYVARVERMESDGRGGVRVRVRWYYRPEEAKGGRRPFHGAKELFLSDHFDTQSAHTIEGKCIVHSFKSYTKLDNVGPEDFYCRFDYKAATGAFTPDRVAVYCKCEMPYNPDDLMVQCEGCKDWFHPSCMGMTIEQAKKIDHYMCSDCAKENGAKRPSNSYPVSPNSDSKIESKRRKR >Sspon.03G0000410-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:1225458:1227144:-1 gene:Sspon.03G0000410-1A transcript:Sspon.03G0000410-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTRSGSRYSNGGEVVALVGQKRKRSASAVAGECDCGGRRKRLAGGPDYLDALPDDLVLSILTKLAASSSAPSDLLSVHLTCKRLNELGGHDMVFAKASPASLAVKAAAWSEPAQRFLKRCADAGNLEACYILGMIRFYCLGSRSGGAALLARAAVGGHAAALYSLAVIQFNGSGGAKSDRDLRAGAALCARAAALGHVDALRELGHCLQDGYGVRRDPAEGRRLLVAANARELTLALSSAAASRHAIAALPFAAAAGGVGVGGGGCPLLSDFGWSLPEAEPHAANQFMVDWWASRCAAQAGGKKPGGDSSGVDGDGDGAELRLCSHVRCGRRETRRHEFRRCSVCGAANYCSRACQALDWKRAHKAQCVPMDRWLLAGVAAVGGGGGEAQQQQ >Sspon.02G0007770-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:22681754:22685463:-1 gene:Sspon.02G0007770-1T transcript:Sspon.02G0007770-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRVASLSPSLSGDEVDVEEEEEDEGVDGYRKGGYHAVRPGDQFAAGRYVAQRKLGWGNFSTVWLAFDVQSQRYVALKIQKSAPEFAQAALHEIEFLSEITKRDPSNCKCIIQLVDHFKHAGPNGQHICLVFELLGDSLLKLVQYNRYKGIGLNRVKQICKSILVGLDYLHNELGIIHSDLKLENILLVSTIDPSKDPIRSGLKPNLERPEGNPNGEAGLNAIEKKLKMRARRVLAKLAEKRKSAVESSRSERSLDGIDLTCKIVDFGNACWADKQFTDFIQTRQYRAPEIILGAGYSFSVDMWSFACIAFELATGEMLFTPKEGHGYSEDEDHLALMMELLGKMPKKIATMGTRSKEYFDRHGDLKRIRRLKLRV >Sspon.01G0041740-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1C:48819681:48820793:-1 gene:Sspon.01G0041740-2C transcript:Sspon.01G0041740-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAKKSWPLNKVTDGAHWDAEDLFGRLCIVADAAFLSAGFLPCDAPETTPWSGSRRYAVPQLAHREDTVAAVLRISRRGRRRGCCGRGKIVMNAYVDCNGRQRNARRERLPLAALATVLSGGMEDAARALTTSSSSSPDDANGGAPWLWKLFADQLCRRLFLSICLHGDVPVLPSFACLPVELQMTIVCRLHVAEDVARLECASKELRCLVADHDAVLWKAKYESIGSLNSRLEQPVEFRPPPILTTKEPPYFTDEDMALMSWKERYAMTRWQTMLMTTWRFGMTPMPTWRPRVPPAKSARLQTIIDMVRRRAQRNSPQHHDRPADQPRTVAGTSSDHGWRGTTVDDRSSGRRMMATVVLSKPYRKGNGA >Sspon.05G0000530-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:1445471:1445920:-1 gene:Sspon.05G0000530-1T transcript:Sspon.05G0000530-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNYLTVEEARSALQSLITGEFSYATSHAKFLMKADVNQDGKLSLEEMLDDYVSFYSTVYTDDHYSNEVDSDSHDEL >Sspon.08G0003330-3D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8D:7170579:7176409:-1 gene:Sspon.08G0003330-3D transcript:Sspon.08G0003330-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGADEQPSPTRKRDREEEGEDLSDGGAAEKRPRAEGASLLGLATYEDEEEDEAARGHANGRRAVEPEVEEEEEDDDDEEDDVRRAPERRPRQVELRRDCPYLDTVNRQVLDFDFEKFCSISLSNLNVYACLVCGKYFQGRGLKSHAYTHSLEAGHHVFINLQTEKAYCLPDGYEINDPSLEDIRHVLNPRFTKEQVLNLDKNKQWSRALDGSNYLPGMVGLNNIKETDFVNVTIQSLMRVTPLRNFFLIPENYQHSKSPLVHRFGELTRKIWHARNFKGQVSPHEFLQAVMKASDKRFQIGVQSDPVEFMSWLLNTLHAKLKSSKKKNRSIIHDCFQGELEVVKEVHRKHIMEKREDGDELNGEAGSVVGTADGIVTETSKVPFLMLGLDLPPPPLFKDAMEKNIIPQVPLFNILKKFDGETVTEVVRPSIARMRYRVTRLPKYLILHMRRFTKNNFFVEKNPTLVNFPVKNLELKDYIPLPKPKENHKLRSKYDLIANIVHDGKPGEGCYRVFVQRKSEEACSAIVLRDDVVDTTSYFTATAIPGVPVVVLGAYPIWWRLAEGEANAGNGVGEDCGKGSTETERNTYQLTDEDTLALLVVEPSQSVTFYQKFCQLNEALDGMEHKIQAKYLPSASTAYGGSFACRWVVRRDDSPGTPGRQLVARLAVLDSRIM >Sspon.03G0016590-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:76421766:76424830:-1 gene:Sspon.03G0016590-2B transcript:Sspon.03G0016590-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDHYQTLGIRRDATKAEVKAAFRRSALRDHPDRHAGSTDAAARADAARRFRQASDAYHVLSDDRRRAEYDLRLRGSTSSYARTSSSGWASSSASYGYGYGYGHGHGGGSWRRTPPGAGAGASVGSIDWEFLLKAVTRRGFLINLGFASVLLSGAAFLDGSILEIWKMNNSGKSFEDAMEKVRKTQKGDT >Sspon.08G0018290-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8D:7183316:7184804:1 gene:Sspon.08G0018290-2D transcript:Sspon.08G0018290-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCIRAGHARPAHLVHLAVQETSPPRAGRRGERKLAAGFGFRSEAEPPFRWAYVTPASSDDKDQNPVAMQASIFSWMTAMTWAVVHTSWSKNHRYGNGSVSQQQPHCHCYRDDVAARIRSLTAHHVTIRRDDTSSPSLAARWHAKGRKERAISKVPDPDTTTSSGDQPSRQRRHSLADIERGAPGAHRTPAPPARDAATWPGGAVADLG >Sspon.07G0036500-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:36900903:36902105:-1 gene:Sspon.07G0036500-1D transcript:Sspon.07G0036500-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADQQQPQPKEMNILHASGLDLHLGFRFHPSDYEIVNDYFTNKVHNRDFICVLGEVDLNKTEPWDLPREAKITEKEWYFFSQKDRKYPTGLRVNRVTKAGYWKATGKDKEVYKPTKGEGVVLLIGMKKTLVFYEGRAPRGNKTNWVMHEYRLEGSGRLPGLASASSSATNATMAIEASASASKGCGSGNNTGMMYDEWVVCHVFHKTTKIRKTTVPAYQVAMLDAEIDQNQNNIPAMPNPMPLQLPQPVPMPMQFPVLPDFAMDPVPPYYPNPNASVGMPPMAGIGGADGLQINGALFGNPMVVPPPMNFYHQMGMGEPVG >Sspon.04G0010890-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:33258600:33263378:-1 gene:Sspon.04G0010890-1A transcript:Sspon.04G0010890-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPNDSEPCTQRLPAEDQLQPPPAASCGAASEDDRATAAGTSSEPDHRAAAPAVVPAEAEAEKKSSAAAGSGGGGELVARRPAAGAEESARERLKRHRTEMAGRVRIPEMWGQERLLKDWVDCAVFDRPLAATTGLLTARDALVAECATARRPGPAVSHGSTGRPLRGTNLRTDPTNDLHANVVNKKGTQKYGGEKCTVLMTENENTYLGVRNAVVAANLVEAEDLVELLPVDALRDGDLTLVVVACDDLQRVVPELIVAERPAEATTRYQGGGSGARPNCARERE >Sspon.07G0009920-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7A:29048195:29055612:1 gene:Sspon.07G0009920-1A transcript:Sspon.07G0009920-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDRADMLAYSQPGHGNDIAAPAYLLSLPLQQQSPKSHVTNKWVPLVIFIPNLQHDGRRRRCTSGSVARCRTASWARKQQRLEELRQVAAQLRAEKQELEARLQALARHDLAVRCQNARLRAEAAALALRRLAYAYALPQQQASGVGVVPVAPPAAAAAVELLASAPVRLRRSCCSRPCQRGCYGGAATELSRLRRAERVLVRVPTGSCSRHAGVATVSNISIHPSIISRIEAIEAEKIGISRRRSAAAAAVGRSAMASAVERRRSRSPTRDRERRGTPPRRRSSPERRKGSPARARSPPAKSSASHRDRDRSPPREKVKERVKSPQSPAKVSLSHKGRERSPPREKAKDQRVRSPKHTQEQSRSPSPARRHVSRSPSPRTKRLRRAQGEGEVAQVTGSDRRKASHREEQDTVRHREHDEGRDASRDRKVDNEAVQVTNGDRRKSLHREERDSRSKHREHDEGRDASRDRKSDREDTRGTAKDKKYDRDDGKDHSRERRAGKDDKSGASKETLPSRDDDRHGRPNRDDWKSASSREQRLDRGDRRDSTREKPTDHEESNGGSGRSSRRGRTVSPEEHRHQGRHESHPSPRVSRSAARTEDINSRGGEASRSGDPDALARMNATAEALQAKEKQKPSFELSGKLAEETNRVAGVNLLYSEPAEARKSEIRWRLYVFKDGEPLNEPLYVHRMTCYLFGRERKVADVPTDHPSCSKQHAVLQYRLVEKEQPDGMMAKKVRPYLMDLDSTNGTFINGNRIEPRRYYELFEKDTLKFGNSRLEIGQLELVACDFFTGV >Sspon.04G0020400-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:79948055:79951059:1 gene:Sspon.04G0020400-4D transcript:Sspon.04G0020400-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RHRQFFIDARTLTAPAVAVACLKHCSQLPAANALSLSVSLGAHASPLRSPWAWPAVAVPISWQLRPFDLRRTDSDDASRCTTDATSHLELQSQGRRETVRAGQGSRGSHTLPVPPPPVPFSSTADRSTDFVVSGAMDPVAGTAGYRGPAEVRARAPGKIILAGEHAVVHGSAAVAAAIDLYTRSSLLLRPTGEGGGADSGAVELYLRDSGLTFSWPCSRLRGALGEEISANPGAPAPCSPDQLATIARLLEDQEIPEAKIWLSAGLSAFLFLYTSILGCRPGKAVVTSDLPMGAGLGSSAAFCVSMSGALLTAAGAVSVGAHRGAEGWEVLEKCDLELVNQWAFQGEKIIHGKPSGIDNSVSTFGKMIKFKKGELTNLESRNPVKMLITDTRVGRNTKALVAGVSERASRHPDAMASVFHAVNSISEELSSIVELAAEDEIAITSKEDKLAELMEMNQGLLQCMGVSHSSIETVLRTTLKYSLVSKLTGAGGGGCVLTLIPTLSANIVLEKVTTELESHGYRCFKVEVGGRGLQVFRE >Sspon.05G0025180-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:21578983:21582200:-1 gene:Sspon.05G0025180-1B transcript:Sspon.05G0025180-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSERGDLMPPPPTAPRPRRRGREVSSRYLSTPVPSTPRLSTASSTRSRSPTPSPRGRQRAATPFANENHPPPPPTGTVARRRAVQKLFDETGAGNPRASVGSNSSASAVPAPTPRPLPRSTSRPAAPTARRGYPRLPTPARAGSSCPSSTAVESDAASCCSSSDTSSTATDFSEADVALGMAPAAPCESPPLLGRASCRGGRLSSELRSSVPESGGFSRASNPLCYRSLNSALSISTATAGKLTVAGRPPQPQGPKAADLKKAAIVGGRKVAGKQEDVHQLRLMDNSYLQYRFLNARAEAAGRAKAAAAEKSLYGLEEKIASLRVSVAEKRAEVERMRREHRLSSVVNAQANCEELTEVLKSASQLLEPLSPCIENFLPKVEQIDDVACNLAQVIATERNLIEECGNLLYQAQNLQLEESSDATEEQLEESSDATETK >Sspon.08G0005670-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:17557367:17560737:-1 gene:Sspon.08G0005670-3C transcript:Sspon.08G0005670-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Expansin-A20 [Source:Projected from Arabidopsis thaliana (AT4G38210) UniProtKB/Swiss-Prot;Acc:Q9SZM1] MLSSLPLFLLLLLLSAASFGGGVRLGNGGYEDWRLGTATYVKEFQSHPLNDGMYGRYMAGLSAALFRRGSACGGCYELRCVNHIQWCLRGSPTVVVTATDFCPANMGLADEAGGWCNFPREHLELSEAAVSCDRAGGMRFTITGSAHFLQVLITNVAVDGEVAAVKVKGSRTGWIPMGRNWGQNWQCDADLRGQPLSFEVTGGRGRTVTAYSVAPADWMFAQTFEGKQLA >Sspon.08G0015410-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:58738205:58743001:1 gene:Sspon.08G0015410-2D transcript:Sspon.08G0015410-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AMVKSFEVSELPVRSAKFISRKQWVVAGADDMFIRVYNYNTMDKVKVFEAHTDYIRCVAVHPTLPYVLSSSDDMLIKLWDWDKGWMCTQIFEGHSHYVMQVTFNPKDINTFASASLDRTTKIWSLGSPDPNFTLDGHQKGVNCVDYFTGGDRPYLITGSDDSTAKVWDYQTKSCVQTLEGHTHNISAVCFHPELPIIITGSEDGTVRIWHSTTYRLENTLNYGLERVWAVGYMKGSRRMVIGYDEGTIMIKMGREVPIASMDTSGKIIWAKHNEIQTVNIKTVGAGFEVSWSVSA >Sspon.05G0013500-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:799271:800167:1 gene:Sspon.05G0013500-2D transcript:Sspon.05G0013500-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPTWAPLALSSSAIIRGLAGFFLGWLGVFKVVLLAVGRGPLDPALPVLPFVFTTALPVKLIRCCGTGAAACSRAKSVSLVSCAVKVAVIAAILHAYQYVNQLHLYTRLALYGVHMYCFLDFLLPCIAAAGGALGMEMEPQFDRPYLASSLRDFWGRRWNLMVSAILRPSVYDPVRARAGKAPAVLATFLVSGLMHEVMMYYLTLRPPTGEMTAFFLLHGVCSVAEESCARRWAEWGRRPPPRPVATLLVVVFVAVTAFWLFFPPICREGTEEVLLEEWAAVGAFFNDAGRKLLPYV >Sspon.07G0024000-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:21142639:21157274:1 gene:Sspon.07G0024000-1B transcript:Sspon.07G0024000-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTAAGMPGSPVGSAAAAAGGPAAPEVAARDAVIGWFRGEFAAANAMIDALCGHLAQIGGGGPEYDPVFAALHRRRANWFPVLHMQKFYPVADVTAELRRVADARAAAVAVAGSCCYSEEATSTVIQEPMEDLPAEAEAEPEPELEPEPEHEQDPVQQDPAPAAEEADGTVIDPAVEYHEQDAEVDSSGDSSERKVPSTEDDTVADGRETFIFFNKQIKGNKREIIQLGVPLFQPTTEEANCHTEPIPLVLQAVIDHLVLWRLIPETRKPNSVIINFFDEDEHSQPYFKPPHLDNPICTLLLSETTMAFGRSLVTDSNGNYKGPLTLSLKQGSLLVMRGNSADMARHVVCPSSNRRVSITFARVRPSTPVDLSPLPSPTKAMTPWQPQPATASQVAAPACMTQKPPVSGAIIGYAPTPQAVLAPAAWGMAMRAPVMMVAAAPARPMVMASSGTGGGNISKRMGRSGTGVFLPWTVNVVKGLKIYEDVFTTSEIMKVAEFINEIRQAGRNGELSGETFIFFNKQIKGNKREIIQLGVPLFQPTTEEANCHTEPIPLVLQAVIDHLVLWRLIPETRKPNSVIINFFDEDEHSQPYFKPPHLDNPICTLLLSETTMAFGRSLVTDSNGNYKGPLTLSLKQGSLLVMRGNSADMARHVVCPSSNRRVSITFARVRPSTPVDLSPLPSPTKAMTPWQPQPATASQVAAPACMTQKPPVSGAIIGYAPTPQAVLAPAAWGMAMRAPVMMVAAAPARPMVMASSGTGGGNISKRMGRSGTGVFLPWTVGPKRYNKHLPPRIQKRRFSAMMSPIEAQG >Sspon.01G0051280-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:13297413:13297681:1 gene:Sspon.01G0051280-1C transcript:Sspon.01G0051280-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTCKRRGTSSGFGKGAKYPDGQIVEVPNLRTFTSMELKTATKNSHWTTSLVKTGWVDENTMALTRNDTGMAVAIKKLNMESM >Sspon.06G0021210-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:13032939:13036147:1 gene:Sspon.06G0021210-1B transcript:Sspon.06G0021210-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAVCGVTARPHLPSAVPAAARKLFFRCRAASTMNEASASSPDAEEKKTTTVFVAGSTGRTGKRVVEKLLAKGFGVVAGTTDVSRARGSLPQDPNLQLVRADVTEGVDKLVEAVRGVDAVVCATGFRRSFDPFAPWKVDNFGTVNLVEACRKAGVTRFVLISSILVNGAAMGQLLNPAYIVLNLLGLTLVAKLQAENHIRKSGINYTIVRPGGLTDQPPTGNIVMEPEDTLYSGSISRSQVAEVAVEALLCPESSYKVVEIIAQADAPNRPLKDMYAAIKQN >Sspon.01G0057030-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:96915194:96917744:-1 gene:Sspon.01G0057030-1P transcript:Sspon.01G0057030-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATCRKMARVDVAELKQRLVKRLGRERAAKYFAHLTRLLNLKLTKVEFDRLCLATIGKENIALHNALIRGIIGNALSGVPPPSRQAVTGQSGTTTAPSGQCVGVALPVVGNVGAVLDSGDGELARERGAPVGKVVSVEDGEEVEQVRSAPCVQSRSPITTPLGISVAGGSGMSVRRRLDDPVASCYDSGHLLDTSSLCEGLQRRLHSNGTGVTVQAVDALNRGLDEFLRRLIKPCVDLSRLGTLVARMTGLNATRLVVRGLHLMPMDSNGDSA >Sspon.01G0050990-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:816581:820840:1 gene:Sspon.01G0050990-1P transcript:Sspon.01G0050990-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPYHQPTSLEEVRTLWIGDLQYWTDENYLYSCFAHTGEVQSVKIIRNKVTSLPEGYGFIEFVSHEAAEKILQTYNGTQMPGTEHTFRLNWASFSSGERRPDSGSDHSIFVGDLAPDVTDYLLQETFRVNYPSVRGAKVVTDPNTGRSKGYGFVKFADENEKNRAMTEMNGVYCSTRPMRISSAIPKKSTGSQLQYSAAKAVYPATAYAMPQLQAVLPDSDPTNTTIFIGNLDPNVTEDELRQICVQFGELIYVKIPVGKGCGFVQYASRASAEEAVQRLHGTMIGQQAVRLSWGRSPASKQDPSAVWSQQADPNQWASTYYGYGYDAYGYAQDPSYAYGAYAGYSQYPQQVEGGVDAASVAGSHPSMEQKEEPYDPMNIPDVDKLNASYMAVHGRAMLGRSLWLKTNPLPQPT >Sspon.01G0013390-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:36495421:36497602:-1 gene:Sspon.01G0013390-2C transcript:Sspon.01G0013390-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MESCVPPGFRFHPTDEELVGYYLRKKVASQKIDLDVIRDVDLYRIEPWDLQEHCKIGYEEQSDWYFFSYKDRKYPTGTRTNRATLTGFWKATGRDKAVRGSKQGAGLIGMRKTLVFYTGRAPNGRKTDWIMHEYRLETDENAAPQARPNCVCTQEEGWVVCRAFKKRTMHPPRSVAGAGSWDPSYSYYHDPIVVASAARFKQESPEDGAAAAASAALLQYSSRLDELPQLESPPLTMPHQGSHRAVANGEGDSAATTDWRALDRFVASQLSPDEERSGQGLHPEYCGGKQPLGTHAGDNEDATDMAALLLLDGVRHGEAGLLGSVADPA >Sspon.02G0017460-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:54617501:54627316:-1 gene:Sspon.02G0017460-2C transcript:Sspon.02G0017460-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQDTIFDGNKYMGALFMAVAAINFNSMTELTMTVKRLPIFYKQRELLWLPGWAILCSIFLQFLVLFMMHQMSMSLYRLLASLGRTQVFHYENANTTGQAVLKEMRKHGVTEKKLQLLLDVSGAFRPGVLTALMGITGAGKTTLLDVLAGRKTGGYIEGIINIAGYQKKQETFSKISGYCEQTDIHSPYLTVYESLQFSAYLRLPSDVSPHQRDMFVEEVMGLVELSDLRCAMVGIPGLTGLSAEQRKRLTIAVELVASPSIIFMDEPTTGLDARAAAIVMRTVRRTVNTGRTVVCTIHQPSIEIFESFDEIFQYKKLTSFLLHNSKEEQDVFNILGIAYASALFLGLVNCSTLQPIVAMEKVVFYREKASDMYSSMAYVITQIGVEIPYMLIQVLIFSAIVYPMVGFQLTVTKFFWFVLYMILSFTDYTLYGMMAVALTPNIEIASGLSFLIFMIWNVFSGFIVTRKMMPSWWRWMYWADPAAWTVYGLMFSQLGDCTDLIHVPGQPDQPVRLFLEEYLGLQGDYFLL >Sspon.03G0029800-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:18298581:18299763:-1 gene:Sspon.03G0029800-1B transcript:Sspon.03G0029800-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPELPLHYSYYLLILIPLLAAIALGELHVVVASSADAAREVMRTHDLAFATRPVSHTARALLGDGSLGLVFAPYGDGWRQLRSICTTELLSAHRVRSFKTVREDEVRRLLHSVAAAPAPVDLSEMVSAYVADASSLPDLFPPSRLATLVSPMLRLLKRERHDMMAFIDTIIQEHQHSRGAAATDDDDEDLLDVLLRIQREDELDPPLTTENIKAVIIDIFGGSSETSATTLHWTMAELMRNPTVMRKAQDKVRRVLSEQETVTEDSLSGLRYLPLVIKEALRLHPPAALLIPWECRTPCRVLGFDMPADAMVLVNAWVIGRDPRHWDTPEEFSPERFEASG >Sspon.02G0056730-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2D:30830082:30830336:1 gene:Sspon.02G0056730-1D transcript:Sspon.02G0056730-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIVALTPTWPGRLRRCQNPNVILLSSPLLGRSAFPGHLLFLRSPEISGSPPPPPIHVTPERWKIRVERRKSRREEGEAGSLKS >Sspon.06G0001010-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:3467229:3471320:-1 gene:Sspon.06G0001010-1A transcript:Sspon.06G0001010-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDWMLPSPSPRTLMPSFFNEEFSSAPFSNIFGDDRSNKPLVEIEKSKSFIGSSVQETSQDMKDHPQTESNLFGANQKSTSPGGLAERMAARAGFGVLKIDTSCVSSSGAPIRSPVTIPPGVSPRELLESPVFLPNAISQPSPTTGKLPFLMPNNFKSTMPSVPEKSEDHSHEDSAFSFQPILRSKPSTLWTAEKGSSVVHQTQSLAKDRQELNLHANPTATKHETEENLVKPKTYDSMFDNDHPSPDVEPEQSEEIQNGEDSSAPVTGTAEDGYNWRKYGEKQVKNSQHPRSYYKCTHPNCPVKKMVERSLEGHITEIVYRGSHSHPLPLPNRRPSIPLSHFNDSEADGLENFSSKPGLGYNTATSQGIAPNGQFQDVHSGALETKLSGSLTTTKIGDTSVMESMDVSSTLSSNEKGDRAMNGGAIPSTNDMNEDETESKRRKMEVSVASNTTNTGIDIAAFASRTAREPRIVVQTTSEVDILDDGYRWRKYGQKVVKGNPNPRSYYKCTYAGCSVRRHVERASNDLKSVITTYEGRHNHEVPAARNSNGHPSSGSGAAPQGSSLHRRPEPPQFSMPQLNAAAAAYGSLSLPPQLSAASGGFSFGMLPPALAMPVPSLGNFMPAQMPGHGSPMQGCAGLMLPRGEEKVNPEQQSRLPVANENAVATYQQLTSRWPQGHQM >Sspon.02G0021580-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:72402342:72403770:1 gene:Sspon.02G0021580-1A transcript:Sspon.02G0021580-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMFLSSILSDLTTRSISFLIDKRLRSTSPPTVEEMLNNLQRLLLRVHVIVEEADERHISNQAMLRQLSQLREDMYRGYHTLDTFRCRVSPSLTTSTSIFSPAKRIRFSSDGSSSEQEQLSQVLGCLEAAIRDTSELVVFLSGCPHRCRQPYSMYLILDKCMFGRQMEMERIMEFLLQRRGSHRWKPRVRNHFSQIMCFKRCGTKDERTVASLSDCDVMKHRSLATGEDGRVLVIIEVVGNMEEDVWRKLYSDCKHRVAGGSKIIVASRSDKIARFGTTQALKVRLLTPEEYWYFFKVRTFGSTDTQDHPKLASIAMDLAHEMPLWSFFTASGFSALLKANFDAHFWSMALASVKRCKRVNPLIHGEQRDDFWHGTKPIKIRRVNKTSSEYLVILQDYETGFVQDTAQNEGPKMSILDFLFGSNSDRPRGRFEVVAWRSHIPPHYSYMWECE >Sspon.04G0017370-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:71844890:71851267:-1 gene:Sspon.04G0017370-3D transcript:Sspon.04G0017370-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSGGHAADVLVVSLFGVCVTLKRDGYGRVMLSLGVRSIRTSKQRTTDKYKMAISFKYWDDCLDPEDMKLMWQDPIVSKEWNDAGEEQGQKVHLSRDPDGEAYLTQTEMMAVAAITVHRHFKSQLDPYMISALAEIASGRRLFVDTYDRKTKETKVGIMQVAPEVAQWLGRELGYKSYDIEDNTNLLYWPLVNVYFGAAYAKWLFSCDGKQRTEEFVVRAYKGGKKKAAHKSTSPIFQRYLYVKENLLSMRQPEICHELTPDLENLSSAEAQLIYWDSKVSEADMDAMWKHPDVYKEWIKSGERRGNVRFSHDAKHRPYLSRVEVKAVAEIIISRHLSTRGVKPYALCPWSKYPDWIDGNRLPYFCLAFQAMISVDDLYNPFASMYFGASYLGWLSQYEGREQSYEFIVQAYLGGPENVSLQETGPFWNQFLEALTQYQDPK >Sspon.01G0016940-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:60287863:60292556:-1 gene:Sspon.01G0016940-1A transcript:Sspon.01G0016940-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLRACLLLAAALCALALLAAAPASASRDLRPLRAAFVVRGRVWCDNCRAGFETPASTYIAGAKVRVDCKSKTTGAKTCSFEGHTDRTGTYNILVTDEHEHELCESVLVSSPDKGCANVVAGRERAPVFLTSNNGVASNVRLANALGFQKDVALPRCAQILKMYQDEDDRV >Sspon.07G0013230-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:47801044:47811230:-1 gene:Sspon.07G0013230-1A transcript:Sspon.07G0013230-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMGLGALLKSGGLSVSDYDAIVSINIFIALLCSCIVIGHLLEGNRWVNESITALVMGLITGGVILLVTNGTNSRILVFSEDLFFIYLLPPIIFNAGFQVKKKQFFRNFITIILFGAVGTLISFVIISLGAMGLFKKLDVGPLELGDYLAIGAIFSATDSVCTLQVLNQDETPLLYSLVFGEGVVNDATSVVLFNAIENLDIANFDAIVLLNFVGKFLYLFFTSTILGVAIGLLSAYIIKKLGFARHTFATLSFIAEIFLFLYVGMDALDIEKWKLASSSPKKPIALSAIILGLVMVGRAAFVFPLSFLSNLSKKEARPKISFKQQVIIWWAGLMRGAVSIALAYNKFTSSGHTEVRVNAIMITSTVIVVLFSTMVFGLLTKPLLSLLIPPRTGLNTSSLLSSQSILDPLLTSMVGTDQLPQYNLQFILTAPTRSVHRLWRKFDDRFMRPMFGGRGFVPFVPGSPVERSVPEPYLGTVTEAEH >Sspon.07G0026960-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7C:58449328:58449717:1 gene:Sspon.07G0026960-2C transcript:Sspon.07G0026960-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMQEIGDPDKHNHNLSALLTIVLYISRNYSSATGNSSATGKVIGTQGRYIPQKQLRISTAARFWALFFAMAFTRKRAGCTVTTWSNPHVSDPTQQKRLWQRAKAKGTNRSYATGNTGKISSSSTWEGGK >Sspon.03G0001510-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3B:12321552:12324802:1 gene:Sspon.03G0001510-2B transcript:Sspon.03G0001510-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLYVSRDEESRSRRAKCFRVLCLCHMALCHLDRAQEFITEAEKFKILLHKKEDDEAIKLMKTMVDYVDFNPHFLTLLIHEAIICKSFRVAVASLTFLLGLYSAGKPMQMSEATVLRNLIALLLREPGSEAEILKYSRRAKLRMDELGVETFLGKGTVGLRELNWFAVSSWNMALKVAEELNKSPLSDSELKKGIEMLRKAGKLLPLTLPSAPATSDQLENNLPFLHIFNFYQLLNRLDTSAHPQQLQLVKSFAVSKACTPDHLLILGDMASKGAQPNLQVAEFLLKASISTALASRSPNYGVISAALRKLVCLSGLQDFSGSMSDAAYDVFQQAYQIVVGLRDGEYPFEEGRWLAITAWNKSYLPGRLGQHSVAKKWMKMGLDLARHFDRMKLYIPGMEECFEKFQKLSGKEPDECSQQDGEPSTSMSGTGSMSQPVLV >Sspon.02G0034110-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:13079513:13079993:1 gene:Sspon.02G0034110-2C transcript:Sspon.02G0034110-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAYLLHGPAAAVAAAPSAFPLRSLPTAKTPFLPSLPRPVSPRRAAAAAFVFNPAAAAAPIAASLLEGPVLVWAGRLCLYYALLHVGLAGSRATLSSPTRSAARRRRDSDLGFSKWAESSVAAPQ >Sspon.04G0019380-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:68835418:68838967:1 gene:Sspon.04G0019380-1A transcript:Sspon.04G0019380-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFITGGGGGGGGGSASRSYVPMATVDTTDLRYWLHWRVGLCGLWVLACMAVAGYLIWRHEGAGADRRPGGASSSSSASSGHSADGELQGSGKGRRPGVLYDDEAWRPCLRDIHPAWLLAYRLISFFVLLSLLVVIVISDGGSIFYYYTQWTFILVTIYFGLGTALSIYGCSKFTDENVAAVAADMELGTNYMPHGMAAKPTFDEHSGDREIAGFWGYLLQIIYQTNAGAVMLTDCVFWFIIFPFLTVKDYNLNFLLIGMHSVNAVFLLGEAALNSLRFPWFRIAYFFLWTALYVIFQWIVHAATPIWYLAVAVLQLPCYVVFRLVIKLKHHLLAKWFPVSYVRG >Sspon.01G0037270-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:21000438:21001181:-1 gene:Sspon.01G0037270-1B transcript:Sspon.01G0037270-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone-fold domain containing protein [Source: Projected from Oryza sativa (Os03g0251350)] MDNQPLSYSTGQPPAPGGAPVVPGVPGAAGPPPVPHHHLLQQQQAQLQAFWAYQRQEAERASASDFKNHQLPLARIKKIMKADEDVRMISAEAPVLFAKACELFILELTIRSWLHAEENKRRTLQRNDVAAAIARTDVFDFLVDIVPREEAKEEPGSALGFAASGTGVVGGGAPGGAPAAGMPYYYPPMGQPAPMMPAWHVPAWDPAWQQGAADVDHSGSFSEEGQAGFAAGHGGAASFPPAPPSSE >Sspon.06G0024600-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:56902570:56910675:1 gene:Sspon.06G0024600-1B transcript:Sspon.06G0024600-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospholipase SGR2 [Source:Projected from Arabidopsis thaliana (AT1G31480) UniProtKB/Swiss-Prot;Acc:Q8W5R2] MAAGPDESWGARGGNPTGDSHASTSRAPAGPGPAGEAEGASPDSLRNTPSNIARLEDAIGHCAARRKYLAHTKSPSDGKDVRWYFCKLPLADKVLSSSVPRTEIVGKGDYFRFSERDSLALEASFLEREEELLAYWWREYAECSAGPRGSLVESDDSAYLYKVEEERVGVPVKGGLYEVDLMRRHCFPVYWNGENRRVLRGHWFARKGGVDWIPLREDVSEQLELAYNCQVWHRRKFQPSGLFAARVDLQGSTPDLHALFTGEDDTWEAWLVFDTGPNIGSNTIKLRRGFSPSESASTNPSQDELRQTKEEEMDDYCSQMTACTKLYSSMGTSSMPECLALSINLTPKVPVGHLVFMVHGIGQRLEKANLVDDVVDFRRVTANLAERYLTSYQRSTQRVLFIPCQWRKGLKLSGESTVEKLTLDGVKGLRVALGATVHDVLYYMSPIYCQHIIDSVSNQLNKLYMKFLKRNPGYSGKVSLYGHSLGSVLSYDILCHQESLWAPFPTEYLNMETSDRSQGAKSANHVALHDSGAKDHDTSTLGHSCADNAHSVVDEDRTITDASHTDSILPSCVLEDSPNNHETVVPRGAVVAEQNEEENKVENHQTVYTGEGTTSGVKRLKARLDHLEQHNHLVSESTSGVEYHEGKSDNHALNSGKLFTAQGSTNQSYSPQIKYTKLNFKVDTFFAVGSPLGVFLSLRNVRIGIGKGKDYWQDENIIEEMPCCRQMFNIFHPFDPVAYRVEPLVCEDYLKKRPVIVPYHRGGKRIHVGVQEFREDISARSQAIARQLKSLKVKAVAAMLALSRNDTEEDGESANEKERSYGSIMMERLTGSPDGRIDHVLQ >Sspon.02G0011860-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:31744811:31750005:-1 gene:Sspon.02G0011860-1A transcript:Sspon.02G0011860-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATQTGVAASKVLILVGAGMTGSILLRNGRISDVLGELQEIMKGVNQGTASGPYDIALIQAQIRNLAQEVRDLTLSRPIAILNGKSDSGGGLSSYILPAAAIGAMGYCYMWWKGLSLSDVMFVTKRNMANAVQSMSKQLEQVSSALAATKRHLTQRLENLDGKMDEQVEVSKAIRNEVNDVKDDLSQIGFDIESIQKMVAGLEGKIELLENKQDVANTGIWYLCQVAGGLKDGINTKFFQGLEFFSESAKEQKVSDSKPIAVAIDAEKPEKTTAVKGTAVHRSIRFSYRKEGLAL >Sspon.08G0009380-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:41365066:41372300:1 gene:Sspon.08G0009380-1A transcript:Sspon.08G0009380-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHADVAPGEVTISFPSKASQTSAAAAMPMTTEKAIISKEKHLEDDDEVIDKTEVSANRYDLLCLEGLARALRVFTRTEASPVFQVSSIPRGSILQMHVKPETSKIRPYVVCAVLRGVTFDEARYNSFIDLQEKLHQNIGRMDKRKRTLVSIGTHDLDTLQGSFSYEALPPHEISFVPLKQEKSFRADKLMEFYKSDMKLKKFLHIIENSPVYPVIYDSNRNVFIECTATDLTKANIVLNTMVTMFFKYCETKFEVEPVEVFVIIVNWFNFCMASTKDVAIAYGFNNVPKSKPKCMAIGGRQPLNRFSDKIHAEVARAGYMEVLTFILSSHEENFDMLNRAEDKSKAVIIANPRTSEFEVVRTSLMSCLLKTLKHNIDHPRPIKIFEVGDVVMLDSSRDVGASNNRRLPALYCNRVSRFEEIMGLVDSIVKVVRAPHVNFGKNYYVPTDEPEFFPKRQCKIVTSDGKQVGYIGIIVHAE >Sspon.03G0005760-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:31692960:31696039:1 gene:Sspon.03G0005760-1T transcript:Sspon.03G0005760-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MANEAARTIPGRENGGNCDIKNLSRGSKVYLPVFVEGANLSTGDMHFSQGDGEVSFCGAIEMSGFLELKCEIIRGGMKEYLSPVGPTPLHVNPIFEIGPVEPRFSEWLVFEGISVDESGKQHFLDASVAYKRAVLNAIEYLSRFGYSKEQVYLLLSCCPCEGRISGIVDSPNAVATLAIPTAIFDQDIKPKHLRGRLGPKLIRLPDLLSCSNNGHLPVTQDQSGSRAP >Sspon.02G0017080-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:52587899:52590697:1 gene:Sspon.02G0017080-2C transcript:Sspon.02G0017080-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTQHILLPEEIQEDLRLSSGDFWRSSGGPSMPDGEGLPPGRCESMELTESWLNTEELNVSVGPHITPPGDISGRSGSEILKPLEANCSVTILHALARILSIRRATRHEEGDVSLDGQVVAKKDTFRYLGSMLQKDGDIDEDVRHRISAGWLKWRQASGVLCDKRVPQKLKGKFYRTAIRPAMLYGAECWPTKRRHVQQLSVAEMRMLRWCCGHTRRDRVRNDDIRDRVGVAPIEEKLIQHRLRWFGHVQRRPPEAPVRSGVLKRADNVKSGRGRPKLTWDESVKRDLKEWNISKDLAMDRSAWRLAINVPEP >Sspon.07G0031070-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7C:16338619:16338990:-1 gene:Sspon.07G0031070-1C transcript:Sspon.07G0031070-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGDGFDVWGSQPLENALGLADFDLNSQAALVEEFLGLGLYGAVLQGDANKLLPNRVRGAGLPPFRPPRAGAGDAWATPAAPYARQLNFGGSSSAAVGHSGSAAATAGHGAGNGAVFPGGLFN >Sspon.01G0039700-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:36105019:36107615:-1 gene:Sspon.01G0039700-1B transcript:Sspon.01G0039700-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCEPEVDVPNEKEGCKRESARVGTVEADARRCSSSWSGRLLVVRWCTPCSVASAGAAGAEPEIEKAGPAPMLVLRCAPPSAGGALATTLLSSGAHRPSLLSSPLFSSPTSVKVLHRPPLLSFE >Sspon.03G0031770-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:28840640:28853905:1 gene:Sspon.03G0031770-3D transcript:Sspon.03G0031770-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLSSPEDSKSLSVTTSSLFLSSIASLPSSHALSIPTSASTPLPPVPASVPPAALIPGSRFLVDAFRHAGDFSVAYFLSHFHSDHYSALGLSWRRGLVFCSAPTARLVSSVLSVPPQLVVSLDVGVRISVDGWSVTAVDANHCPGAVQFLFASPGPSVERYVHTGDFRYTESMTRDPSLLEFVRADAVFLDTTYCNPKFTFPSQEDSVDYVVNAIKRVKDESSVSGERVLCLIATYAVGKERILLEVARRCGCSIHVDSRKMKILTVLGFGGDNGVFTEDATGTDVHVIGWNILGETWPYFQPNFVKMKEIMMERGYARAVGFVPTGWMYETKKEGFAVRVKDSLEIHLVPYSEHSSYNELRDYIKFLHPKRVIPTVGVDAGKLDSKEAAALQKHFAGLVDETANKQEFLMAFHRRSIDATHSCKDVVAKCSSQHEWEDAALLPAITSASEQQDTLRENITQEMIKELSDFLPSWVSQEQITDLLMGSGGDVVKAASDFFERERDFFEEANVSCNDTPKSEKNHSSDHGSSADVISQQESPLFSQKPVEYSAKLVNLSPMRMKLNTPKKVNKRGSSTTNKPKKKGRLTSSTESGGRKQSTITNYFIRATEATEAAFKRGRTEKVTVEAHQNNVENGDQLADTAKTRDQSLDQLLQIVDGSMSREYAVSLLEKANGDVAVAVDIFYSSSESNNVIGVDKNIVLQNAQSETVDKCSKTDMASDSSQATPKMSNLHVGTSLAHADSANTSLPIEKYLPIEHACWTAGQPAPYLHLARTFDLVEKEKGKIKTTSMFCNMFRRFLNVYLFDCSIHVDRPPDMFITVGKCLTSYLLECSLLALSPDDVLPAVYLCTNKISPDHENMEPADLKYMKCTKLTVILVMLPKNVVRIKRYLLLPALSRFVICYVLGKYRDNRKDCGSGSAGRRKILVLHLLRSCRETEIKFLVRTLVRNLRIGAMMKTILPALAHAVVFDRNCAADPVVSLEGIKSQLKPRPLGGQRMSAQLRQQLFHPDGGMQSASSPPSSVQLEAASSSLSTRD >Sspon.07G0016030-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:57351038:57356819:1 gene:Sspon.07G0016030-1P transcript:Sspon.07G0016030-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTKGPSSDVLRASISSAPSTSSHGSAQDECDSLGDVYVWGEVVCDTSVRTGSDTVISSTGRTDILLPKPLESNLVLDVYHVDCGVKHAALVMKNGEVFTWGEDSGGRLGHGTREDCVRPRLVESLTVSNVDFVACGEFHTCAVTTTGELYTWGDGTHNVGLLGHGNDVGHWIPKRVSGALEGLQVAYVSCGTWHTALITSMGQLFTFGDGSFGVLGHGDLRSVSYPREVESLSGLKTIAVACGVWHTAAIVEVIVTRSSSSVKLSAGKLFTWGDGDKHRLGHGDKETRLKPTCVATLIDHDFYRVACGHSLTVALTTSGQVLSMGNAVYGQLGNPHSDGRIPCLVEDKIVGEQIIQIACGSYHVAVLTGRSEVFTWGKGANGRLGHGDIEDRKVPTPVEALKDRAVRYIACGANFTAAICQYKWVSGADQSQCSSCRQPFGFTRKRRNCYNCGLVHCNACTSRKALRAALAPSPGKPYRVCDSCFLKLNNASDPSAANRRKDPVPYQPVESNGDAKVGKASLPSNMDMIRNLDIKAARQGKKTDGLSFLRNPQVSSLLQLSDIALSGGLGMNRSASKAVRISAARSVTTSRAVSPFSRKPSPPRSTTPVPTAHGLSLSKSATDNIVKANELLNQEVERLRAQVDNLRNRCELQELELQKSAKKVQEAMTLVAEESAKSKAAKEVIKSLTAQLKDMAERLPPDHGAYNVNETKQSHILNGIESHVASYSSMNGIHQTRNELLNASIAHSPNSGRSSHCNGISGQHKLLGNVSEHSDCSTHSLRITSPHDSELPNRRARSSSDEMLTAGCRVDDNVSMDAMSLQSGEDGYKPRGTISLPSNQVQAEWIEQYEPGVYITLTTLRDGTRDLKRVRFSRRRFGEHQAENWWNENREKVYERYNVKSSERVSSAASTRSAY >Sspon.07G0000410-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:1035708:1037069:-1 gene:Sspon.07G0000410-1A transcript:Sspon.07G0000410-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding HMALLPVPPLFLVPVLLLGMLASRAPAQQPPATLHERDAAALRDLRASLRDLPASRFFDTWDDARSPCAYAGVVCAPDDDNPDPDSSSSTTVLRVSVLTLGTGLADSPGLTGTLPDSLSTLAALTDLVLYPGRVTGPIPSALGAGLRRLRLLSLAGNQLTGAVPASLAGLPDLHTLDLGGNRLDGAVPPGLLLPDSPSLKVLILANNGGISGEIPAGFASSGLFHVDLARNALAGGLPPLPATLRYFSVAGNAMQGTLDGAFGSGGSAGAGDDGSASPPPLPADLAFLDLSMNNFSGPIPASVFALPGLSSLLLSRNNFTGALSVPPAPSPEWAVVDVSHNGISGEVPEALAAAGSLYVNNNRLSGEVPAAVARSVLAGRMTTLYAQHNFLTGFPVPPEAPLPDSAALCLSYNCMDLPSASAADGCPTIGGPLEARPAEQCRSTTTSNGGGGDG >Sspon.06G0011790-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6A:64123495:64124113:-1 gene:Sspon.06G0011790-1A transcript:Sspon.06G0011790-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEKVRVQASLLAITIIATAAAAAFGTASGASYTVGEPGGSWDLRTNLTAWASTITFHPADQLLFKYDASAHDVVEVTQAGYRSCSAASPVSTALQTGSDAIRLDDGASRRRRYFICSTPGHCAAGMKMEVRVTDDDAECTNRLPPPGPAPPGAPSGITICSGRTTGGHLDAWRCLLRRSAEVLGRSRCCTCHRGHDGVAAAWPRN >Sspon.07G0003370-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:8155161:8159511:-1 gene:Sspon.07G0003370-1A transcript:Sspon.07G0003370-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding TMMSHPIHPSAVVEMLRANGVDRVKLFDADPWTVAALAGSGVQAMLAAPNDQLASLARDPRRARDWVRHNVTANVNAGVDVRYVAVGNEPFLKSYNGSFINITFPALKNMQRALDEAGFGQRIKVVVPLNADIYSSPENKPVPSAGSFRKDINTLMVDIVNYLHANDAPFVVNIYPFLSLYQNPNFPLNFSFFDGATKPVYDQGMVYTNVFDANFDTLVWSLRKAGVPDMRIIVGEVGWPTDGDKNANIKYAQRFYNGFLRKMAKNIGTPLRPGRMEVYLFALIDENQKSVLPGRFERHWGLFTYDGKPKFSMDLSGNGKGNLAEVKGVQYLPSQWCVFNKDTKDKYKDLPASVNYACSNADCTPLGYGASCNGLSHDGNISYAFNIYFQTMDQDVRACSFGGLAMITTTNASQGGCLFPVQILSASGRVLPLIFWPITLVQVWSRLQFRAGIPDRNCEGSNVVEPQTWSIHYEIPDKVTVRSLKLS >Sspon.03G0013880-1T-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3A:40268719:40269549:-1 gene:Sspon.03G0013880-1T transcript:Sspon.03G0013880-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRFFRGAALTAAAAVAGLSSTFSQSLSSPLPLSASPSSSPSTPIGPVAVSGHAALVRAHPGLRELGAMLTPASFLVDATQAFLAGALRCPPIYPETLRQGREYLNAQILSAEESEGHAAVEQAALARTNMALLDAREGRLDDARDAIVRLAAERPGDTTLRLFAAALCRVLGRHEEGAQWLHDSAVPNLSRLEHKIQFVQAVLVATVGSAPRAVASSEELVLVTTLGLLELSMWSIFTHGDLPERLQVLALMAFLRGVVARKLRRDDGPASMQGS >Sspon.05G0005460-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:17528397:17529803:1 gene:Sspon.05G0005460-1A transcript:Sspon.05G0005460-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDFKEGRIGKGNYDSVAFICFEGRKFDLRAGLSVLRGTRGSEGEIGFLVGFPWFWVLNSEIVLRQGL >Sspon.06G0023540-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:45657668:45658482:1 gene:Sspon.06G0023540-1B transcript:Sspon.06G0023540-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGNPGGLSRTPRTGRIATKVDTGKQERRAAGMGASTYLGNLWKHEHPVLAAAEVRSSSLHASGNAIQKQWSTAVSNGTSSC >Sspon.06G0018670-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:85088967:85091274:-1 gene:Sspon.06G0018670-1P transcript:Sspon.06G0018670-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLLHILGSLRRQSSHGLLHNIVMGVNTLSYPLVSYTIGRMNSSNCYVDDYAVWAVFMLLLLGSTDNLTAFRLDDVNNWKGIFVKHLFKGFLVVYIVVDITLLQNGRPFPYLRPLLAILFVGVLKCYVRIASMRMVSKSYLNKNMKVIAEYMQQENNLQPPGPFNPVTMDGYKYMVAGEKYCIKHPGRKAWCNEDDLKVTTVEQIWQCTRNLLLPERGGMLLKDMCLSMALSKMLNRRFAGFKLSEAGLEKTHDFVFKGLLVAGDKPYQRAFRVIEEELVFVHDIYYTRYSYLYLKGRYLALCLPTIMISLCCWLIYLIVKHRSPPTLITRLTIFITVVLAFLEAYQLYLYVASGWFKVALIRSYVTTPLLQRSGCFHEMIIGLLLRLKAFRPWKNRLGQYCILWELGRKSRVRNCLHYATLRLVEKKAKKGYKKSVKLSENVKKAVVDSLLRRDGHLTNGVASLQSNGVDKLSWACDATATDGSVTRTILVWHIATTLCKNQLDAHTKKEEEEEEDDAKRGDTVTTATTLSQYCMHLLAFAPDLLPDHSSISESILDQTIEEASKILKRYMNKENWCDELLKNSTHNGDGDEAQLFSPCIKTISYLNKCI >Sspon.05G0001750-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:5720646:5726244:1 gene:Sspon.05G0001750-1A transcript:Sspon.05G0001750-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLSIDPKWLIDPKLLFVGPRIGEGAHAKVYEGKYKNQNVAIKIVHKGDTPEEMTKREGRFLREVTMLSSVQHKNLVKFIGACLEPVMVVVTELLVGGSLRKYLVSLRPRSLEPHVAVGFALDIARAMECLHAHGIIHRDLKPENLLLTADQRTVKLVDLGLAREETLTEMMTAETGTYRWMAPELYSTVTLRHGEKKHYNHKVDVYSFAIVLWELLHNKLPFEGMSNLQAAYAAAFKNIRPSADNLPEELSEILTSCWKEDPNERPNFTQIVQMLLHYLSTLSPQETLAPRRTFSSENTILPPESPGTSSLMASRGDLGDTPKGKKEDKPRGFFFCFSECY >Sspon.02G0028060-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:101842302:101846948:1 gene:Sspon.02G0028060-1A transcript:Sspon.02G0028060-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAAQVLQKQLFDAHEPNLLDENDMHIFGSKPMADPLDLVCCSTCKKPVKASQYAVHTEQCSSGKVNTNDSVGVDHASPTKPLKKGRKIKLISNGSILLFEAACLFLFTVSAYLLLFFLNTHLKIFFVNWTLFVDLRMFAALTSDIDQKVHIKVKAKSQPESKNVANSFELDNGHATKVQPIDSTGDQRLGTSVDSSSTINVPTNHLRDAPVPLATKMYHSQGNYRLRMELGQLYRESCVQHLSGHTTPNVSHENRLMASRFTPCGNSALPASQQSLVPQPKPLASASEPCSGIPQQLAASRPNQSKESKLREQVHKHLQLKLKVHDPEAIKLLFPIRETKFTERLLLHSDAWKPSNVERAIALQTLW >Sspon.06G0020670-2D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6D:9871077:9871763:1 gene:Sspon.06G0020670-2D transcript:Sspon.06G0020670-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MACHLRSISLPSRPQSSEAAVQQELCILETIISSPSTCIVTMCDGLRRLGDIYNNVEEMTHLPSNQVCSSQQRKMLDGEIESSLELLDLCNTMQEIFVELKAIIQELQVALRKGDGAIVQAKIQSYSRLVKKAKQSFKKASKKVTSDKTDCAMIRLLTKAREIAISLLESTVQLLSKQIEVPKQSLVSKAFSKRKAVVCEEDQLQALECSIGDLESGAGQLFRILVQSR >Sspon.01G0000980-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:3023592:3027602:1 gene:Sspon.01G0000980-4D transcript:Sspon.01G0000980-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSGCARTKHGHPIYSSKAVRIRLGHSNLDPGTETFVSAEDENLTAIADENYTWTYTSPDVCHVQVMGRSLSPDLMIDISDPADYSNLKYLPGASNLRPEDLLSSDAEEDSSDWRLLVSRYRQMRELAMVYMLLGPVQFVDEQDEAEADLLHML >Sspon.08G0015680-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:62836022:62836716:1 gene:Sspon.08G0015680-1A transcript:Sspon.08G0015680-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNTVTSASVDQNLALAKQCSREAALAGFKAAAIGTVASAIPTLASVRMLPWAKANINPAGQALIISTVAGMAYFIAADKKILALARQHSYENAPDHLKDTSFQGTGRPHPVFFR >Sspon.03G0031540-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:28684824:28689189:-1 gene:Sspon.03G0031540-1B transcript:Sspon.03G0031540-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEVFEGYERQYCEASASLSRKCTAAFALDGEKKKQKLSEIQSGIEEAESLIRKMDLEARSLQPSIKAGLLAKLREYKSDLNNVKSELKRISAPNARQATREELLESGMADTLALHGVDDNIGKSKKILAAMSKRMDRNKWIIGGIITALVLAILLILYFKLAH >Sspon.03G0006420-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:17643125:17645471:1 gene:Sspon.03G0006420-1A transcript:Sspon.03G0006420-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSTIRSISITVSDDDGAAAAPTRRPRAVRRKAAARSLGQRAVRLVARWWPVLLLLPAVALLLFEASRLRASSPGPAPPVSSLGRLDPTTHLVHGVREPCLKLLSPKSLANLAFPEGTRLGSVVNRITYKSDDNDYDTYHSEANSTYLLQHAEATRFNLFTGFQTLAEREDSFKVVNETVSVHCGFYSDNGGFKISEEDRRYMRACKVVVSTCAFGGGDDLYQPIGMDNSSIGRVCYVAFWDEVTLSTQEAEGKIIGDDGMIGRWRIIVVRSLPFVDQRLNGKIPKMLTHRLFPEARYSIWVDSKYQFRRDPIGVLEALLWRTNSTFAISEHGARSNIYDEGKAIVQKHKATPEEVEVQLTQYRQDGMPDTKRLHGLKALAEASVIVRELTPAPNHFMCAWFNEVVRFTSRDQLSFPYVLWRLNMHGMSMFPVCTRRDLVNSLGHTRKVKPLTQTNPESSTS >Sspon.07G0037520-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7D:54283113:54283987:-1 gene:Sspon.07G0037520-1D transcript:Sspon.07G0037520-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVAPQDHDVGAHDRSMMTRAQRVVVGPNGEETTAVRRKGKTRHADAKAKMTDVFHFQIANSGKTTPFFRRRLQIHKDN >Sspon.01G0022380-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1B:93889649:93890770:-1 gene:Sspon.01G0022380-2B transcript:Sspon.01G0022380-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSCVSKKAARAGAGAKGKVAAPLPVAEKESANAQLPPVLEVGEEEVKEVVLSETPVPRPPRPPPPPEPVKRRKEQQEADDEAAASETCSAASVALAAKEKAKLHEAGGWERERVVEKRALDAPEKGKTTARRTTAPEERDRDRESRKPKGGAAGGVNGHGRARSPSPSSAHRRQQQSGGGGQQHHQPAPRPRREQPAVVSGIGCRSGRFSPSAARRAAESAAAVRRTHSAREADMALPPSSSKRSLLSLNAAAAINGNGNVAAGHGGGGASALSRRDPGERSGRRSDSPTAGSRRAPASPSPSAAIHRPASPVPKAAAKEHGTPERARPPRVVRDGGGLDAAGGEQKKKLAEGEENALGQNPSVAMECFIFL >Sspon.08G0018010-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:6106057:6107550:1 gene:Sspon.08G0018010-2C transcript:Sspon.08G0018010-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSASALLLAVSLAALHWCCRHGQGADASSGNGITAIYSLGDSITDTGNLVKEAPPGMFETIKHLPYGVTFGYPTGRCSDGLLMIDFLAQDLGLPFLNPYLGKNKSFDHGVNFAVAGATAVDPADQYNLTVPVPVAFNSLKVQLRWFKNFLKRLQTSLVLVGEIGGNDYNYAFFQHKPVAEVEKLIPGVVKTIIDAAKEVLDMGASRVIVPGNFPIGCVPGYLAMNAAKSEPADYDSAGCLRELNDFAAKHNSRLRGAVADLQASYPDAAVAYADYFDSFLTLLHNASTLGFDAASTRKACCGGGGGEYNFDWRRMCGFNGAAACAEPSTYLSWDGIHMTQAAYRAMSRLIYHGTYLQPQILSFPEKYGQT >Sspon.01G0005890-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:14361844:14363809:1 gene:Sspon.01G0005890-1P transcript:Sspon.01G0005890-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSASELFTARRAARGGRLSDPDPDPDPHADALRDQHGLGGRRQRRGCRPRRQLDAAGDVRQHLHTGAPPPRRRGSYTDQILSYIDNNNIGDSAARRNRLDRLMFRTNERLPGAVLQAQARVLERLRGISLGSSASRPSILLDEFSVSDVFRIIDFRNRETRHEANRPHSSSFHPSSESDEERPTISSTNSNRSAGLSKAAFLRLQIEIFEASKDDKREASPECSICLDGFYNGDELIKLRCGHRFHSTCLEPWVRKCADCPYCRTNIRSRS >Sspon.02G0003030-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2A:10046775:10047422:1 gene:Sspon.02G0003030-1A transcript:Sspon.02G0003030-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRKPLTALLKSATRPGHLLQLHALMLKCSHFPHHAFPTARLLASPLAPLPYALSLFAAVPRPTLFHHTAVLRALSSFPSAASLSASLSVLTSARTRLPELDEFAFQPLLALCAKIPNDAEAASVGKQVHTLVLRYGFLDIVSLRNVLCHFYCSSANMADARRMFEEMPEKDAVSWNTVIGGYIMLRDVGTALQMFTAMRWSGVDVNVTAVITLI >Sspon.06G0012520-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6B:54320989:54322255:-1 gene:Sspon.06G0012520-2B transcript:Sspon.06G0012520-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDLFVSVYRRDSVGDDPVSFVSTLADLAAQRISGRFGLYLSPAAVNVYQEEFLKNLYPDPEDDKEEEEAVTLQLPCFPRVTELSITFVGVDLGMPTTDTFAKLTKLFISGVRFTDGGEEISQVVSLCCPCIERLELHRIGGLRRLTVLAQSLVSMVLSRILVLEQLQVAAENLRHMLVDKCFVLSITRAFMFLSVPALEQLLWQDRCPEVIRHWMLPSCLRRLVVAELEFDYLFHAGGRSNFTRILQLFKCVDTLRLELPNAHDPTNEENLIENVNLPCYSELEFMVNHTGHKFGPTILNLLRRCSCVQKVMLQMFGSRE >Sspon.02G0000170-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:32205764:32207147:1 gene:Sspon.02G0000170-1T transcript:Sspon.02G0000170-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLEEKGLEGCREGSFRVLAAVEAAGPNRDGGTHALSSPQKEVTARARNGMREGRRGRRRSLRVVGSGETLPPTAGQWAAGADSVRFTALIAHGRAGIGKATAAEFVRNGARVIIADIQDDLGHAVAAELGPDAVRYARCDVTDEAQVAAAVDLAVQLHGHLDVMFNNAGIGGDMAPPPLGGIDLGDFDRVMAVNARGVLAGVKHAARVMVPRRAGSIICTASTAAVLGSVAPPAYSASKAAVLGLLARSGVRVNAISPHGIPTPLAMAAAAQWFPDKSVEEHMNEMVGPVLEAEDIARAALYLASDETNYVNGQNLVVDGGYTMSKAPRPAPAARR >Sspon.06G0002610-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:8338080:8339403:-1 gene:Sspon.06G0002610-1A transcript:Sspon.06G0002610-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHIVFLRTAAADLTAGKPPLRGVPASAPLSAAAAAIPASQEADVAVWRDGASPLAPATATVIGLLSSLDVVAFLASHLGDAAAAMRTPAGDVVAHEPALVREVEPHTRLIEIVELMKQGARRVLVRKNITEACTVVDKKPFAPFYKAVLKITGTPRAAASAKAVGRPPPPLAFGCDRYCCLTREDIIRFLINCLGALAPTPLQSISSLGAVNRGYAHVEASSPAIESSWRVPSEPRAVAVVQTNRDGSHKVLADVSAHRLWRRDYAAAADSMASLSSLNFAAGVDGHGMVAPGDESSRLGGRKAAFESSLVGQMMMASHGGNAALRCRSTSSLAAVMAQMLSYRTTHIWVTEGENDVL >Sspon.01G0018180-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:72577421:72583510:-1 gene:Sspon.01G0018180-2B transcript:Sspon.01G0018180-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AMSVSASSVGRSGEPPQAPSSSITAAAATGMHKLKIDSYSLTKSLPRGAHIKSSNFQAAGYSWYINYFPNGCGRLIKRARDYLSLQLVLDDAVAATAGAVMAQFTLSVINSAGLLERTTARKSPVHNLARGGAAGWWFFKYMSKADLESKFLDLKDDSFTLSCQGLKEKCFELPKSTGLNALIATDGFNHLSTASTIIASATSGYHVLKIEGYSFTKSVPNGKHIQSRTFRVAGHSWFIKYFPNGDRKEAADYVSFYLVLMDRVSDALMVHLSFSFIDQVDYQKPSYVRGLQASCFLTSPTSFGRMKFIKRDDLERSGRLKDDCFTVRCDIIVVGKIQAVHAAVVPSSAPAALVVPPPDWPQHFRALLESGQGADVRFLVEGRTFAAHRCVLAARSPVFSAELYGGMKESDTAHPVQVDEMRADVFKNRLAATGDDGGGARRRWRLVDGAASDMYDMERLKVICEDKLCRLIDVSSAGAMLLLAKQHRCRRLKEACFEFLSTSKTLNVGAATDGFQNLAKTFPFILFDLIAKLE >Sspon.02G0004870-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:15574082:15574279:-1 gene:Sspon.02G0004870-1A transcript:Sspon.02G0004870-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LLWRDRGRPPASTFARKGEEEAVGSRWIRLDWIRTGSPRAGKYCSLIGFLPRVDPAFESRDCSRF >Sspon.01G0025970-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:90600476:90606433:-1 gene:Sspon.01G0025970-1A transcript:Sspon.01G0025970-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MISRQLVLTYLYLLIYICLSSGVILFNKWVLSPKYFKFPFPITLTMIHMAFSGIVTFFLVRVFKVVAPVKMTFHIYATCVIPISAFFASSLWFGNTAYLYISVAFIQMLKALMPVATFIMAVLCGTDKLRWDLFLNMVLVSVGVVVSSYGEIHFNVIGTLYQVTGIFAEALRLVLTQVLLQKKGLTLNPITSLYYIAPCSFIFLFIPWYLLEKPEMDVSQIQFNYSIFFLNALSAFALNISIFLVIGRTGAVTIRVAGVLKDWILIALSTIIFPESVITSLNIIGYAVALSGVVLYNYLKMKDVKANQLPADNNSDRATKA >Sspon.01G0033150-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:111744366:111748801:1 gene:Sspon.01G0033150-1P transcript:Sspon.01G0033150-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQAVEEWYRQMPIITRSYLTAAVVTTVGCTLDIISPYHLYLNPKLVVQHYEIWRLVTNFLYFRKMDLDFLFHMFFLARYCKLLEENSFRGRTADFFYMLLFGATVLTGIVLIGGMIPYISETFARILFLSNSLTFMMVYVWSKHNPFIHMSFLGLFTFTAAYLPWVLLGFSILVGSSTWVDLLGMIAGHVYYFLEDVYPRMTGRRPLKTPSFIKALFADDNVVVAQPPNPGAGARFGAVGLDPQAQ >Sspon.04G0032150-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:23066002:23067255:1 gene:Sspon.04G0032150-2D transcript:Sspon.04G0032150-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding QENQDLNYLRNPPTPPRLRQFLPLSPKPSSPTLAAMGRKPSAAAAAVDHETLAATMPADLLAAADCGGIHGHALFFDALVQLIPPRFYLPSGDEDRPWYQGLSKAAKAAMKAQSRANVKAARRARLDPSAPPASTLDLLKKSVAGQAAEEEEDQEEKSEEESEESGEEASSEDDGDEEDGDEEDERPIAPAAVVSEDRSVTYEELRERLQRRIAELRGNRCTRPEFLNKPKKEKGKKSKAKNEKKGKGEGKKRKRDDDTEDVDGKKVKKEAEEKPDIMYANVFVDPKEARRRKKRRVKNKKKALEQAKRLQEAKKDPEKASKIAWDTARRRAAGEKVHDDPKLIKESLKKEEKRQQKHAAQWKERKKTVDKQRKEKQKKRTENIRDRAHQKKMRKIEKREKKLMRPGFEGRKDGYVNE >Sspon.03G0004370-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:11184592:11188192:-1 gene:Sspon.03G0004370-1A transcript:Sspon.03G0004370-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLHSSTRASVVLALLLCFCTVSFGAHGRSRSRLYIVYLGDVRHGHPDDVIASHHDLLAAVLGSKEDSLASMTHNYKHGFSGFAAMLTEDQAKQLAEFPEVVSVERSRTCTAATTRSWDFLGLNYQMPSELLRKSNQGEDIIIGVIDTGIWPESRSFSDEGYGPVPSRWKGKCEVGQGWNSSNCNRKIIGARFYSAGMPEEILKTDNLSPRDVNGHGTHTASTAAGSIVEAASFHGLAAGAARGGAPRARIAVYKSLWGSAGSGRGSDAAVLAAIDDAIHDGVDVLSLSLTAEENSFGALHAVQKGITVVYAAGNSGPTPQTVANTAPWVITVAASKIDRSFPTVITLGNNQQIVGQSLYYHGKNSSGSSFKPLADGDLCTADSLNGTDVRGKVVICASDIVSQLAPFSVASKNVVNAGGSGLIYAQYTKDIVDFTADCTGIACVLVDLTSIYQIDKYMGDASSPVAMIEPARSITGKEALAPTIAEFSSRGPSIEYPEVIKPDIAAPGASILAAEKDAYVFKSGTSMAAPHVTGIIALLKSLHPQWSPAALKSAIVTTASVTDEHGMPILADGLPRKIADPFDYGGGNINPNKAADPGLIYDIDPSDYNKFFGCAINKKYVRCNETSVPGYHLNLPSISVPNLRRPITVSRTVTNVGEVDAVYHAAIQSPPGVRMNVEPSVLVFNSTNKVHTFQVKLSPMWKLQGDYTFGSLTWYKGQKTVRIPIAARMTLHDFYADVA >Sspon.03G0023480-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:90452070:90453635:-1 gene:Sspon.03G0023480-3C transcript:Sspon.03G0023480-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAQVAAPPHHQQQQQQKAANLARTFTKLLRRKRVDAAAQGGAPEAPASVAGDDGLGEERTEPPPAIPSLSKLKLSGNLAAAYSFDAFFRNAAEKKAAAAGGPAGAVRQPAGAGEVTPEAAADALLANLFAGVSAVKAAYAQLQLAQFPYDAEAIQSADAAVVAELTRLSDTKRRYLRDPAGAARGAAAAGHTAFAAHAEEQRHLLKTYQITARKLESDLRARDAEADRARSSLTAELRAERALEARLHPGRTLASLDELHLSGLNPTHFLTALRHTVKSIRSFARSMLNSMQSAGWDLAAVAAAVHPGVPLRRAGDAKFVFESYVAMKMFANFHRRDFNFSFLDEREFYDRRRFFEEFTELKAEPASAFLDVRNPRWSGFGKFLRAKYLSLVHARMETAFFGRLEQRGIVSAGPGFPESSWFADFAEMARRVWLLHCLFFAFDGGAEEDGASIFQVRTGARFSEVYMESVSDGRAVDDFAAAAAEDRVVGFTVLPGFRVGRTLIQCRVYLSKPSKPGRRP >Sspon.08G0020680-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:25236867:25237753:1 gene:Sspon.08G0020680-1B transcript:Sspon.08G0020680-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGEPSVAQHASSAHFSRLALLGITKRARALRARPLTPSSLRLPPPSHPIPADPKPPAPRAPATRPRRPDAKFSSDSHAHGTKTPKSTAPSTPLRSFARKTQNPERDPGFSLLDYTSQIPHGHSRFPNPTSSVQPRRPTPALAPDSARSRHPSSRPGMAAGSAAKENAAPLTSDATASAVVVSRRPGYAVKSCGVKKRPSRARLLGRVPLRDITNLIEAISAVAGPEAPLGQEVPPAAATELTKPDAVLPAVDGDAAGPAAKAARYSLRKGFR >Sspon.07G0007050-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:18554641:18558184:1 gene:Sspon.07G0007050-1A transcript:Sspon.07G0007050-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADEDIQPIVCDNGTGMVKAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQAKRGILTLKYPIEHGIVNNWDDMEKIWHHTFYNELRVAPEDHPVLLTEAPLNPKANREKMTQIMFETFECPAMYVAIQAVLSLYASGRTTGIVMDSGDGVSHTVPIYEGYTLPHAILRLDLAGRDLTDHLMKILTERGYSLTTSAEREIVRDIKEKLAYVALDYEQELETARSSSSIEKSYEMPDGQVITIGSERFRCPEVLFQPSLVGMESPGIHEATYNSIMKCDVDIRKDLYGNVVLSGGSTMFPGIADRMSKEITSLAPSSMKVKVIAPPERKYSVWIGGSILASLSTFQQMWISKGEYDETGPGIVHMKCF >Sspon.05G0033790-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5C:42202401:42209242:1 gene:Sspon.05G0033790-1C transcript:Sspon.05G0033790-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLNLAVVSHTVPQLCFARRSLSPFLSYYIASVIPSTICSLGLQAAVGRGQDAHAASKDVYDWGKISTLSVVIISNLAHLPAAKGSRCVLLDDEDMKPEEEVNCEMSDRRDNRESVELTRSDIKCLDPGVYLSSPVINFYIQFVASWSSNRKLLLRVNLQGSHGPQPAADPYRPIWDEFSKLRRWWKGVNILNKAYIILPIHGTYLEKEWRQLSSILGATWEDLKSNILKESVEVPRQNNEYDCGIFMLYYIERFIEEAPERFTVDKLDMFGRSWFKPEEASDLRQRIRELLLEEFESAKLDDALSGADASDPDDSIKDGELKADAPSDSSEMVVEVVGGLGSTVKSNEGIKVVASEEASGESGDAGKSTEGIVAASEEKSIEGINVAEPEEAREESRDACKSIGGINVAESDEASREFGETCKTNKGTKVAVSEGASVSGYTDKSMEEISDSEPEVAVLDKAPTSSYKRKRKTTSGECVDAGKRIEGINVEANEESRDAGKSIGGISVAESDEASGEFGEAGKTSKGTKVAVSEGASAESGYVDKIMEDISDSEVAVLDKAPTSSYKREKKATACVLSEAASFSDSVKDEEGTVKADSGSSKAEKGDLIVIASPERSEGNDEIIGSLRIPDVVCDSCDSDTDAT >Sspon.01G0010360-3C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1C:28881803:28883460:-1 gene:Sspon.01G0010360-3C transcript:Sspon.01G0010360-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDSNVVVLAVGVAMLLLVASKLGSLLVTKNKKSKLNLPPGPWTLPLIGSVHHLVSNPVIHRGLRDLSRKHGPLMMLRLGEVPTLVVSSAEAAEAVTKMHDTAFADRYVHATLAVLTFNGTDLAFGAYGERWRQLRKICVLELLSAARVRSFQRVREEEVARFVGSLAASASAGAAVDMTKMISRLINDTFVRESIGSRYEHRDEYLDALDTAMRQTSVLTVADLFPSSRLMQMLGTAPRKALACRNRITRIMDEIIREKREAMAVDRGDKAAAHDALLGVLLRLQKEDTLPIPLTNDTIVALMLDLFVAGSDTSSTTLNWCMTQLVRNPAAMSRAQAEVREAFKGKSALTEDDLLAAAELGYLKLVVKETLRLHCPVPLLLPRRCRETVQVMGYDVPMGTAVFVNAWAVCRDPKYWDDGEEFRPERFEEKKSSGVDFKGTNYEFLPFGSGRRMCPGVNLGLANIELALASLLYHFDWKLPSGMEPKDVDDGEAAGLIVKKKTGLVLHPIIRFAPATAS >Sspon.02G0032430-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:120460472:120462321:-1 gene:Sspon.02G0032430-1P transcript:Sspon.02G0032430-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGEVRHWNADVNGVSIHVAERGPEDGPVVLLLHGFPELWLSWRHQMAALAARGFRALAPDLRGYGDSSAPSDPAAYSIFHIVGDVVVLLDHLQLTKVFVVGHDLGAQVAWHLCLFRPDRVRAAVVLGVPYFARHARPITEAFAAFGDGFYINQFQEPGRAESAFACYDVATVLKKFYSIQIDDLSAPPGVEIIDFLEASPSPLPWMSEEELGQYAEKFQKSGFTGPLNYYRMAETNWRLLAPWNEAKITVPVKFIAGDKDTGVQSFGTEKYIKSGAFKSIVPDLEVTIIEGHHFLQQEQAERVNSEIISYLVKVTSQEPSS >Sspon.01G0037650-1P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1C:89821625:89827988:-1 gene:Sspon.01G0037650-1P transcript:Sspon.01G0037650-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGSSNGEPSTAPQANRWYDLRLGSSCRDPSPTAKFCTLRYEFKPASIDKTQAGSLQSTKDNRVTVEFHNNQPGKPKVTFEGSQEEYKDNDGVLFFDGETFRLERLHRAVKRLRHVRVPGESAAVNLAATTTGMGAESQSPPLPKVSKSQTVSKPAVHSVPVEVERIDIGEPEKPENPGPKYNNRSTYQPVTIDPFARSPDPNEQENLDILGDDDGNASPNNLAAGQGASVHGFDINLPNQVDMDDEIADVDVNDEADEGLNAADALRAQVNAEGQQEEQDSSSSSGSSSSSSSSGSGSGSGSGSSSSDSDGSDADTASSGGDVDI >Sspon.08G0001910-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:3427283:3429064:1 gene:Sspon.08G0001910-2D transcript:Sspon.08G0001910-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAWDVVSLNRMITGFIRDGVSNRAPVVYKWMVASGFRETPHTFSAILSACNSCEGLQLHGRVLALGLCSNPFVRSALVNLYMRVEMPCAALLLYNEMPLQSTVMSNVVLGGLCNLKLAKDLLCSLLDMRRRGLELNGLSYCYAMKVCYQDEEWMEQGRQLHGLVLKAGWVPSNIFLSNSLVDLYSATGDLVDAKNSLDDIPSEDVISWNSIVSVCASKGRMKEAIDYLRQMLWHGKMPSVRSFVGLFASSGQTGDLRVGVQMHGIALKLGFSWSSAHVQTALINMYGKCCSFDCSLAIFNEIPSLALECCNSTITSSIRCKVFHSALEVLYCMTVEGVVADNVTLSATLKAISLSVSPSLISCQMLHSWVFKLGFETDMAVCSSLISAYARAGQVNSSHLIFESLQDPNVICFTSMISACAQYGDGAKGVELLNKMVSRGLKPDDVTFLCAMAGCDQAGLIEEGRLVVELMRATRELGPDERHLACMVNLLGRDGFVEEAMRMMEHSPLRHYTKAWSSLVQSCKAHGENVLGKRAANMLVDVGRKDAATNLQVSKYFYEIGDGENASRIKAMASWQQVKESGHSSVEISHGI >Sspon.01G0020800-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:74429535:74430391:1 gene:Sspon.01G0020800-2D transcript:Sspon.01G0020800-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding CTGFSSAASPRLPRVPLAASPSTSPSPSTSMSPTLRQPLPDDLYRRIM >Sspon.02G0052620-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:94937042:94939404:-1 gene:Sspon.02G0052620-1C transcript:Sspon.02G0052620-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MERDDPKLELVAAATAVVAAPVATAAAFVAAPEAAAASADDEGTLELAEVVEKTKMMKLTDEQVTSSAASQERRRGPLADAAVNSSVDVGAAVASVETREDAAHRHQIHHEEAADSDSKVPVSLVTQIRSRKKLLVLDLNGLLADINKDVHNARLSHGRCRGKLVFKRPFCDDFLKFCFRNFDVGDASKCSATGLYTLENKNKPLVLKELKELWSKEEADGEFSPSNTLLVDDSPYKALRNPPHTAIFPYPYSYKNRKNDSSLGPGGDLRLYLEGLATADDVPRFVREHPFGQPPITESHPNWNFYKRATRVEEAINHR >Sspon.07G0002670-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:4855529:4857674:1 gene:Sspon.07G0002670-3C transcript:Sspon.07G0002670-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAQESLSLVGTMRGHNGEVTAIATPIDNSPFIVSSSRDKSVLVWDLQNPVHSTPESGATADYGVPFRRLTGHSHFVQDVVLSSDGQFALSGSWDGELRLWDLSTGVTTRRFVGHEKDVLSVAFSVDNRQIVSASRDKTIKLWNTLGECKYTIGGDLGGGEGHNGWVSCVRFSPNTFQPTIVSGSWDRTVKVWNLTNCKLRCTLDGHGGYVNAVAVSPDGSLCASGGKDGVTLLWDLAEGKRLYSLDAGSIIHSLCFSPNRYWLCAATQDSVKIWDLESKHVVQDLKPDIQISKNQILYCTSLSWSADGSTLYTGYTDGSIRVWKISGYGYAG >Sspon.07G0022580-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:9290485:9294077:1 gene:Sspon.07G0022580-1B transcript:Sspon.07G0022580-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGAAFSIRYCIALVARPGLYYCTTLLAPMLLLPLTSEYAARARARAEGGGSWPFGGDAGPLPPVEVRRFLWWQDEAAAVEEEEVEVERRLAAKRRKRSVAELFAAVPRVPRGGGQQGSGKGKKAPKRKAEKDKGKLVLAVSVKTKKKKKVPAGIDVREKEKNSGVKVTSISISKSVQHSIKKREPKNSSSKKKEKQEVSVLLDKKSKKGNRKSVLERHKKDMTNSVQAQSICKNQSKAGFSTVLNTTDMRCKSDSSCKSKHVTFSDGTVKFRRTAHLPEDNTKQPQSVQTFQQPTQEGCDHRNTDEQQLVYQQAEAISGAVETTSSLSENLVPVGVCRTIPLTKPKDNTILGNSVDLNHCIETSHGSNCLNNASLACLSSKVPCQSFKGVDSHLNGDNSLSLDVECLGEQNHMTSQASFNPVSLAAKAISGDRSPLSQPSGSCLYDRSRSTFQERAVAFGKSVFHADPRFTPTAPMVPSSERTKIHYYTIPSNKYPCAKQQELSMECLCSECLGHHNPQQKLLGMRNHYLSQNFGQDTQHNAETTVRLMGKTVTLGTSSIQCTRLNNETPCSSKQIQAEDQFFQGTRTKVFPQLFHGRLVYPPSACRISDGERQPSGNPSHFSFVPAAVGAFVPGTSSLRTNGHNQQPELATANNRYVQPWTGATKVS >Sspon.04G0009840-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:24680752:24683549:1 gene:Sspon.04G0009840-2B transcript:Sspon.04G0009840-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VIICLSLSSSLPHFFLRPIRALVSFPAPRTSRRRRTAAMDGDGKEDANKQRLEWATMNLERGVVSGGEKKGDGNGSNASRKPPIGIVRLFLACMVSGGIQYGWALQLSLLSPYSQTLGISHSYVSLTWICGPIAGFVVRAFSCSCIYMILIPILILSQLGVQPIVGYYSDRCTSKMGRRRPFILAGCIIICLSVMVIGFSADIGRRMGDTKEHCSTFTGSRWYAAAVYIVGFWFLDFANNTVQGPARAMMADLAAGQHGPNVGQAIFSLWMALGSVLGYLAGANAKWHEWLPWLKTAACCDACANLKGAFLTAVILIILTMSVTLWLAGEELLDKANVDDASGGACSAFVDLFKSLKNLPPAMFSVLAVTAVTWLSWFPFIQYNTDWMGREIFHGEPQGAGGKANLYDAGVREGAVGLLFCSIALGVTSFLIPKLCRKLTSRVVWSISNLMVFVLMTAMVVLGMVSMKGYKPSLAASLSAGPDHRFKSGALAIFALIGIPQAVLFSVPWAVVSEVAAEEGGGQGLTIGVLNIAIVLPQLVIALSAGPIDGAFNKGNTPALGIGGVFALICA >Sspon.02G0036520-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:14830762:14842247:1 gene:Sspon.02G0036520-3D transcript:Sspon.02G0036520-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGNGNGEVDLDATMTTLGPEDDTSQGLILTNKQRVMYRPPAGKSVLGLDTLADKKRAAGGGSVFKPPPPKVAVAAGSIDEDEKPGATENDATSLSTAIRSNSSRRYRGSGSDDKTSLNEPTVTDKGERAPTPSHRDEPHRQETHISGSSRGSRPHGTPRGYDYYDDRGSRDKHGDHERSASIGYSSSGRRRYHDDRESHTRRDERGRSTSIEYTNKRSRHEHSSRSSRTPARSDWDDGRWEWEDTPRRDYRDNRPGSRRQSSTRSPMLAAASPDARLVSPWLGGNTPRSAASPWDNVSPSPAPIRASGSSKGSSYSHSSGRSHQLTFTSTTSSNVIDADRSPSNPDRNYEITEEMMQEMDYNADRAWYDCEEHTTMFDGDNSMYLGDDNSYKKKEAEMPKKLTRRDGSLMTLAQSKKLSQMTADNAQWEDRQLLRSGAVKGTEVQTEFDDEEERKVILLVHDTKPPFLDGRVVFTKQAEPVMPLKDPTSDMAIIARKGSSLVREIREKQSMNKSRQRFWELAGSKLGNILGVEKTAEQVDADTAVVGDQGEINFKEEAKFSQHLKDKAEAVSDFAKSKSLSQQRQYLPIFTVRDDLLQVVRENQVVVVVGETGSGKTTQLTQYLHEDGYTTTGVVGCTQPRRVAAMSVAKRVSEEMETDLGDKVGYAIRFEDVTGPNTIIKYMTDGVLLRETLKDADLDKYRVIVMDEAHERSLNTDVLFGILKKVVARRRDFKLIVTSATLNADKFSKFFGGVPVFHIPGRTFPVNIMFSKTPCEDYVEAAVKQAMTIHITSGPGDILIFMTGQEEIEATCYALAERMEQLISSSTKTVPKLEILPIYSQLPADLQAKIFQKAEEGARKCIVATNIAETSLTVDGIFYVIDTGYGKMKVYNPRMGMDALQVFPVSRAAADQRAGRAGRTGPGTCYRLFTESAYQNEMLPNPVPEIQRTNLGNVVLLLKSLRVENLLDFDFMDPPPQENILNSMYQLWVLGALNNVGGLTEIGWKMVEFPLDPTLAKMLLMGEQLDCLDEVLTIVSMLSVPSVFFRPKDRAEESDAAREKFFVPESDHLTLLNVYLQWKSNQYRGDWCNDHFLHVKGLRKAREVRSQLLDILKTLKIPLTSCHMEWDVVRKAICSAYFHNSARLKGVGEYVNCRNGMPCHLHPSSALYGLGYTPDYVVYHELVLTTKEYMQCVTAVDPQWLAEMGPMFFSVKETDTSLLDHKKRQKEEKTAMEEEMEKLRQEQAEAARMEKEKEREKRAKQQQQVAMPGLKKGATYLRPRKMGL >Sspon.01G0042260-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1B:64675228:64675462:-1 gene:Sspon.01G0042260-1B transcript:Sspon.01G0042260-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding CTCGRTRSTSRTWTCSWRRRGRRCGWSASGRSGRRRRGRRRRCSSGKSTSPSSTSRTRSRMAPSASSTAAPTTATTSQ >Sspon.06G0004480-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:14090293:14092026:1 gene:Sspon.06G0004480-1A transcript:Sspon.06G0004480-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIVDMANAQLQQAAGAGQHDLDEAAGDTNTTGCKRSEQLESSYDYGALALMKGVRHLSDSGITRLPDRYVLPASDRPGAVLAASSTAAGVAGRVKLPVVDLAGLRDPSQRAAVLATLDAACREYGFFQQPAEQLTCILLLLVQQPQVVNHGFGSDVSGGMLDVARRFFELPLPERARHMSADVRAPVRYGTSFNQAKDAVLCWRDFLKLVCQPLREVAPYWPQQPADLRDVATRYATASHALFMEVMAAALEALGIPQQTAGGGGGGGVLGELAAASSHMMTVNCYPACPQPELTLGMPPHSDYGLFTFVLQDHVEGLQVMHDGRWLTVDPVPGSFVVNVGDHLEIYSNGRYKSVLHRVRVNSTRPRISVASFHSLPAERVIGPAPELVDEQAGNPRRYMDTDFATFLAYLASADGKNKTFL >Sspon.05G0018090-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:73106828:73107683:1 gene:Sspon.05G0018090-2C transcript:Sspon.05G0018090-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LRWINYLRPDLKRGNFTEEEDELIIKFHELFGNKWSLIAGRLPGRTDNEIKNYWNTHIKRKLLARGMDPQTHGPLAATVASAPAGQQHYQLEPQKRAAAAGGVGPRGHPHHHHHHQAPQQDVISNSPEAACTSRSSDDEPRSATPTPQPRRHLDIDLNLSISLAAYQPPEETSSIKSPLMMMKQEETAAAAAGGTNATAVCLCLNSLGYRPGVECVCGGGGSSSQSQQWARSFLQAAAPCYRGQ >Sspon.06G0005010-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6A:16765423:16765629:-1 gene:Sspon.06G0005010-1A transcript:Sspon.06G0005010-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEELGGPPSLWGGLRRRQERAGRTSTPENKLRACRAAPCRSSASPRRSRSGAAPAHLFPGGSDWPPAKQ >Sspon.03G0029040-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:20086955:20090288:-1 gene:Sspon.03G0029040-1P transcript:Sspon.03G0029040-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADTASSSSAAGPSAVDRASLVAVAEAPPPEEMTLVVKWRGQEQTVRMVGDDTLGELKLRICEVTGVLPKRQTLLYPKLILKDIDDSTLLSSIPFKPNGKISMIGTIEEEIFVGQEDDPELLDDFDFEQNEATAIKDKDVYKQKLKRRASQYRIKLLNPCRKGKKLLVLDIDYTLFDHKSTAENPMELMRPYLHQFLTAAYSKYDIMIWSATSMKWVELKMEQLGVLSNPDYKITALMDHLAMITVQSENQSRKKTFDCKPLGVIWAQFPEYYNEKNTIMFDDLRRNFIMNPQNGLVIKPFRKAHSNRHDDHELVKLTHYLLSIGDLEDLSKLDHGKWESFVDESVKRRKRS >Sspon.03G0010360-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:43037696:43041155:-1 gene:Sspon.03G0010360-3C transcript:Sspon.03G0010360-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MISWNDVYTVLTAMVPLYVAMFLAYGSVRWWRIFTPDQCSGINRFVAIFAVPLLSFHFISTNNPYAMNLRFLAADTLQKLLVLAGLAVWSRLLPSRLAAAAPRLDWSITLFSVSTLPNTLVMGIPLLIAMYGPYAGSLMVQVIVLQCIIWYTLLLFLFEFRAARMLIADQFPDTAAAIASLHVDPDVVSLEGGRAETEAEVAEDGRLHVTVRRSSASRRSLLLTTMVTPRPSNLTGAEIYSMSSSRQHSPRGSNFNHADFFAMVDGSGAPPPPTTSAGARASSFGAAELYSMHSSRGPTPRQSNFDERSASARSSSRPAGAVPSCHDAKELHMFVWSSSASPVSEVSGLPDFTGGAGGGVNVGAKEIRMVIPAELPPQNGSAGKGTSAPRARVNTNASRHICFMLLRTVCESFFLSFSENESNGASVAAAAAEAEAFGFGGGKTTAEDAEAGEAGGLTKLGSSSTMAELRVKDVDGVANGGGGGYDPDDAGGGARAQQQMPPASVMTRLILIMVWRKLIRNPNTYSSLIGLAWSLIAFRWHISMPAVVAKSISILSDAGLGMAMFSLGLFMALQPNIIACGWRATGISMGVRFFAGPAVMTAASLAIGLRGSLLRVAIVQAALPQGIVPFVFAKEYNVHPAILSTMVIFGMLIALPITLIYYILLGLKPV >Sspon.05G0010810-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:33099425:33112029:-1 gene:Sspon.05G0010810-4D transcript:Sspon.05G0010810-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPAAVTRHPSPTVLASGPRYCRRGHPPSKVGFRSLAPRLKVNALFGWPKGDTTTRQPIPPAESYTLSGSASEVGAKPREVSISVASSIMDIPAADWDACACDPDDPENFNPFLTYAFLSSLEESGSAVKETGWLPFHVVARDENGHIIGVVPLYLKSHSRGEFVFDQSWAEAYYNYGLEYYPKLQSCVPFTPVTGQRILLRNTSYRDQVFDALVKGLMSLTTKMNVSSLHITFPSQGEFSKLKDSGLLQRIGLQYHWRNQNYKSFDEFLMDLKQPKRKNIRQERKKIPAQNLQMKRFRGDEIKSSHWDAFYKFYRNTTDNHWGRPYLTRDFFHLLGEKMGENVMLIVAEKDDKVVAGALNLIGGDTLFGRLWGCLPDAYFPNLHFEACYYQAIEAAIELNLSKVEAGAQGEHKIQRGYLPVTTYSCHYFSNPGFAAAIGNFLTHETAQVKRAIKVLHDSGPYKEDILKEFAAQQGIDL >Sspon.03G0016700-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:73187078:73189892:1 gene:Sspon.03G0016700-3C transcript:Sspon.03G0016700-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTGRSMLLSLLLFAVTLSLLEMYRAKFASSELMTIAGGFVCSLLFLLLLTFIGNYQEASGDRTGWGAVVVAQLVALIVAGTVHRVCITTCFLFSAGLLYEVDKLSGMILARSESKVRRH >Sspon.02G0025230-3C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2C:92944511:92945554:1 gene:Sspon.02G0025230-3C transcript:Sspon.02G0025230-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSGAGETAAAKRAKPPWNSATGVDLLSALHDDLLAKILCSVSSPDAVRTSVLSKRWRYVWKLLPDLHFPFLPEPAGSFGYSLDCHQVPLHHLKVEGHGHGQGGLPRSLATWLPAAAHRVSGSFELLINEAPMRNARPEDEDEDEAATLELPCFERAASISIGLWFLGRLAFPPATGVFSRLTDLWLEDVRFQDPSALGDAISSARCPCLQTFHICHGLGLEKLAVSSTSLVKLDLQRLGLRELTVEAPELKELHVVDCFSTPPGAGQLPPPVVSITAPGLVVLDWRDTITQDAIGFGHRLPHLRSLGTFLFFVYGDDDADSYNRDCLRILQGFRSIETLTLILVVM >Sspon.04G0011240-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:33580415:33585431:-1 gene:Sspon.04G0011240-3C transcript:Sspon.04G0011240-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVCCCSTSSSSVLAGGGHGGGARRLAAPAGRWGLAGFGRAVVLAHPLPRPMAAAPRRGRARRGVVVRAVFERFTERAVKAVVLSQREARGLGEPAVAPRHLFLGLVAEDRSAGGFLASGINIERAREQCRGIAAARDDADSPTAAPASSRPGSGLHTDVPFSATAKQVFDVAVVLSKNMGASFISPEHLAIALFTLDDPTTNNLLRSLGADPTHLTTVAVDRLQAELAKDGREPAEPSSFKVPKKAPAGTGRSAFSHSLTKKKDKGALDQFCLDLTTQASGGFIDPIIGREDEIERVVQIICRRTKNNPILLGEAGVGKTAIAEGLALRIANGDVPIYLVAKRILSLDVGLLIAGAKERGELESRVTNIIREVREAGDVILFIDEVHNLIGSGTVRKGKGSGLDIGNLLKPALARGELQCIAATTLDEHRMHFEKDKALARRFQPVLVDEPSQEDAVKILLGLREKYETYHKCKFTLEAINAAVYLSARYIPDRQLPDKAIDLIDEAGSRARMESFNRKKEGQSSILLKSPDEYWQEIRAAQAMHEVVLSNKAKYSPNENAQESGSANVEAPSRDNIESTSASSLSADEPVVVGTEEIARVASLWSGIPVQQLTADDRKLLVGLDDELRKRVIGQDDAVVAISRAVKRSRVGLNDPDRPIATLLFCGPTGVGKTELTKALAASYFGSESAMLRLDMSEYMERHTVSKLIGSPPGYIGYGETGTLTEAVRRKPFTVVLLDEIEKAHPDIFNILLQIFEDGHLTDSQGRRVSFKNTLIVMTSNVGSTSISKGRQSIGFLKEDTESSSYFAMKSLVMEELKAFFRPELLNRIDEMVVFRPLEKTQMLAILDIILKEVKGRLLALGIGLEVSDAMKDLICQEGYDKSYGARPLRRAVTHIIEDVISEAILFGEFKPGDTILMDIDAEGKPCMNHLDQQIVQVSDPTRTF >Sspon.01G0008040-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1A:22439069:22439398:1 gene:Sspon.01G0008040-1A transcript:Sspon.01G0008040-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding METNSTCIDGRRTTDAADVDGDSPRGWAVASHRYPGRESQLDVSIVGEKTHAATGRREGIGYLREKNGGEERKEEEAVIAGAPAGAVAAIATALRQERRRRRLGRERSD >Sspon.02G0050190-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:51666969:51670072:1 gene:Sspon.02G0050190-1C transcript:Sspon.02G0050190-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLDGLHRWADAMVDTGLAKLGYDYI >Sspon.03G0016380-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3B:85706050:85707964:1 gene:Sspon.03G0016380-2B transcript:Sspon.03G0016380-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding WRRSGSTLRNLKVRSPCPLPPSVLLSPWTGWHLTAFLCLCGGGAVYAARRGCAEGRGEGQGGRTGGG >Sspon.03G0028280-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3B:8734342:8740164:-1 gene:Sspon.03G0028280-1B transcript:Sspon.03G0028280-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPPPPVQTATTSSSSVAIII >Sspon.03G0032010-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:31854799:31855749:1 gene:Sspon.03G0032010-1P transcript:Sspon.03G0032010-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSRTVRIFWDDPDLTDSSGEEEACGARRVGRMVRELPPMAAAAAPAAAPVPDQCNAGDGDRGRRVVGVAGPGGVCSGARRRLAKGGGPGAASTKFRGVRRRPWGKFAAEIRDPWRGVRVWLGTFDTAEEAARVYDTAAIQLRGPNATTNFSAGSGAGHQQDPATPGGYESGAESSPAVSSPTSVLRKVPSLSSLAEDYSKDDSDAAAPCEPAVTECRSLGLAVLEEEELGEFVPFEDAPVYATSGFWDFEPDAGFLYAEPSSPEASWNAAAAAEPAASSDDAPSWAASSPMQENNDYFQDLRDLFPLNPLPAIF >Sspon.07G0001040-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:2246299:2251394:-1 gene:Sspon.07G0001040-1A transcript:Sspon.07G0001040-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LHLSSGDLPCRLPTFQFSWSRVFPETIDATSADQSPPLSALTRAATARRRRSAVPLLSRSKQGASGRRRRLPSPRFVLRLVSISRGPGPFQPPQQLRELEVNKVLSASMRVNLGLLLPLMDKYAAPTWAILISGFFMLLSVSLSMYLIFEHLSAYNNPEEQKFVLGVILMVPCYAIESYVSLVNPDTSVYCGILRDGYEAFAMYCFGRYITACLGGEDRTIAFLKREGGEDSGEPLLHHVSEKGVIHHHFPINYILKPWRLGVRFYQIIKFGIFQYVIIKTLTASLSLILQPFGVYCDGEFKWGCGYPYFAVVLNFSQYWALYCLVEWYTATKDELAHIKPLAKFLAFKSIVFLTWWQGVIIAIMYSLGLVRSPLAQSLELKTSIQDFIICIEMGIASVVHLYVFPAKPYELLGKQYSPTNISVLGDYAASDPVDPDEVKDISRPTKVRLPQLEPDEIVATNIKESVRDFVIGSGEYVIKDFKFTVNQAVRPVEKRFDKMKKNIKFSRQSQDDNWVSASTPERTIRGIDDPLISGSASDSGIVGKGKRHRRDPSSAAAVDSWEGTEQAPDGFVIRGRRWEIKKS >Sspon.07G0034020-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7C:68134743:68139041:1 gene:Sspon.07G0034020-1C transcript:Sspon.07G0034020-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDSKKQADGSSDSKEGVMIQRVIREVGGGSSYPVLTKTNYSEWASLMKVKLKARVLWNIIEVGGVAEHEEIMALDALGTAVPPEMVSVLVDKKTAKEVWDAIAKMQVGDDRVKKSTAVQLRRKFDLASFNDGETVEDYALRLQGMVATLATLGEVVDESKIVEKIIRSVLARFNTPRPTKSRPIRTRRGGGLTAACEGVVRILPHMHSTAAGAAHPISVGGERILLRRHLVATGTALPISVDEHSERMDLAAVVFCGRGTDPTVAVSHGGGVDGRGECADLVEGAEGKVTFVCKTDETRSFTGVYYIPRLTTNIVSIGQLDKVGYKVDIDDGIMRIREPGRHLLAKVRHAAIRLYVLNIKIAPLVCLAARDNAEAWRWHACLGHVNMATLQKLAQEELVRVLSKIGQVERVCEACQAGKQRRTLFPAQAKYHVQELLDLVHGNLCGPILPATPRGSKYFLLLMDDLSRYMWVAAIPSKDCAAAAIKEIQAREEGESGRKLRALRTDRGGEFTSTKFVEYCTAEGVHHQHTAPSSPCPTKSVDRMTPFEAWYKKKPAVHHLRTFGCIVYVQNTTPHLKKLEDKGRKMIFIGYERGTKAYRAYDPMAKSVHVTRDVVFDEQAQWDWGTGSNSSKAGDNDDVFTVEYSVMTQAPLVVEDTGEAPRAAAAVSGDEQTPPPSPHAGGAPGAEAVEFASPPGENGDNLDANYDEDDPLQYQRIDSILGPASPVGFVPRVLVAEELLVEMVEEMESIEDNQTWSLVDLPPGRRAIRLKWVFKVKRVEHGAVAKHKARLVVKGYAQRHDIDYDEVFAPVAWLDSLRLLIALAAHEGWEVHHMDVKSAFLNGDLLEVYVEQPAGFVIAGKEHKVLKLRKALYGLHQAPRAWNTKLDDTLVSLGFRSRPSEHAIYVRRNGGTQLVVGVYVDDLVITGASCEDIKLFKKEMAAAFKMSDLGLLHYYLGIEVRQGAGGISLSQGAYAVKLLEKSGMAGCNPNQVPMEARLKLSKESTAPLVDATAYRSIVGSLRYLVNTCPDLAFSVGKKKDQAQVTGFSNADADLTGFSDADFAEDVDARKSTTGVIFFLADSPITWQSMKQKVVAQSTCEAEYIATANADCQAVWLARVLSEVQGGTPRAPMLKVDNKSAIALIKNPVLHGQSKHIEVKYHFVRESADNFMSSVPRLACLM >Sspon.07G0004900-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:12345268:12347652:1 gene:Sspon.07G0004900-1A transcript:Sspon.07G0004900-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLSSANRCCCKNILSCNLLRCACCCSWIRSVCGRTTSKATQEASDTKSKNKRKWVRGFCGGAVQEAEEPLTSEAKKKRKNTAMIPEPEKCKWTEKIWRKKKRKDEQNGLATLVKEISLSNSPKHRAAAGEILRIGNHNIPSRVFTFRELVDATNSFCPENLLGEGGFGRVYKGCIPDTMEVIAVKQLDKEGLQGNREFLVEVLMLSLLHHPNLVTLVGYSTDCDQRILVYEYMPLGSLQDHLLDLTPNSQPLSWHTRMKIAVGAARGIEYLHEVANPPVIYRDLKASNILLDGSFNAKLSDFGLAKLGPSGDKSHVSTRVMGTYGYCAPEYAMTGKLTKTSDIYSFGVVLLELITGRRAIDTTKPTREQILVHWAAPFFRDKRKFVKMADPLLDRKFPLKGLYQALAISSMCLQEEASSRPLISDVVTALTFLADPNYDPPDDIKDPLPITVPNIGIETNQNETEGGKEQLQQNEEESHERKNEEDSHERKTEEDSQERKNEEDSHERKNEEDS >Sspon.06G0003250-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:10051186:10059829:-1 gene:Sspon.06G0003250-1A transcript:Sspon.06G0003250-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATALSVGKSVLDGALGSAKSVVAEVALQLGVQRDHAFIRGELEMMQAFLRVAHDERDNHEVLMTWVKQVRDVAYDAEDCLQEFSIYLRKPSSWWRLPSTLKMRRRIAKQMKELRARVEDVSQRNLRYQLVKSSGSKLPSFYEQPNMTSAAIFGIDDARRAAKQESSKVDLVHLIQQEGEDLRVIAVWGTSGDVGFASIINEAYENPDIQKKFPRRAWVRVMHPFNKNDFIQSLANQFRSTERIGILLEAEKTREELAQEFRRYVNQNNYLIVLNGLSTIEEWKGITTCFPNNKKGSRIIVCTPQAEVASLCAGQDSRVLELKQLSIDQTIYAFYEKVSFILQTTTSTINSIASSNEILENQSKCGNERMVVTKSLTRIKTMANALEESQLIGRKKEVVDMVKLISNELGQQFSVISVWGMGGIGKTTIVKDVYQNQNLIGMFEKRAFITIMRPFNLMELLKSLIVQLSAESLEKKGATNFWGGTRNKLAMMGEQTLREKLATVLINKCLIVLDDVSSTAEWDIIIRNFPKMENTSRIIVTTREDNIAKHCSEKQENIYILKILEYNNAFDLFTRKVFKEAIDWDKHPELLVQAKLILKKCNGLPLAIVTIGGFLKNQPKTAFEWKKLNENISTELEINPELEDIRTILSKSYDGLPYHLKSCFLYMSIFPEDHKVSRRRLIQRWTAEGYPREIREKAADEIADRYFMELISRSMILPCQKSIYSRNGIDSCQIHDLMREISITKSTEENLVLRLEEGCSLNMRGAVRHLTISSIWKGDKEEFEGMVDLSHIRSLTVFGKWRSFFLSAKMCLLRVLDLENTEGLWDHHLKEIGKLLHLKYLSLRGCGGIFHLPDSLGNLKQLQTLDIKDTNILKLPNTIINLRKLQYIYAGSETDSYESFYKDMPKLLQKKIFFLTLFSVAFCAFCCAPRIMDEDGTANRRDVCTGFCCTTFPIVFRELTEYGVALPRGLRKLRALHTLSTINIAVEKHILKDIKSLTQLRRLGVTGINKKNYQEFCSTLDDLSNLESLKVHSAGEPGLCGCLDGVSSPPKNLQSLKLASNLVKLPEWIAGLHNLVKLKLEKTKLSELDATIRVLGKLPNLAILRLLEDSFEGEDLHLTFHREAFSSLMVLVLECIRGLKSVVFAKEATPKLELLRFVGYPSRTSAEMFSGLASLPGLKEFTMDNDDYNEDFLRDVQDQLARNPNAPVLKKC >Sspon.07G0015050-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:52320673:52325963:-1 gene:Sspon.07G0015050-2D transcript:Sspon.07G0015050-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MERMADKYKKLKGVTRDFESVSRELTDMNAALVKLADRDKLDVQTKVWRDKVREMAYDIEDIIDIFMHHHDQAGDDKDGLFHKAARKIRKLRARFQIAGIIQDIKARVEEQSPEPRVVEVDPRLPAMYEDLKRLLTELLTKQYMQRKGVRRDIQFLCGELTDMNAALEMLAGMEKLDFQTKAWRNKVREMAYDIEDNVDIFMHKLGQEDDEKDGLFHKIAGKAKVLLQYYKFSNNIHELRARVEEQSQCRDRYRIGESIPESRVVEVDPRLPAMYEDAKRLVGIDGPREEIIKWLMEEADCHSGQLKVLSIVGFGGLGKTTLANQVYAKIKNEFECTAFITISRTPYMPKILKDILSGVGYSGTNEMEDDVQKLIEILRETLTNNRYLIIIDDLWSSTDWEIIKCAFMENNNASRVITTTRIQDVATASCIQSQGHVYQMQPLNGLHSRRLFFRRLFDTEDSCPEQFREISDQMLRKCKGVPLAITSIASLLANHMHIEAWEKIHNSMGSQMDTDPTLQWMRHVLSLSYNDLSHELKTCLLYLGMYPEDYKIPKEALVRKWMAEGFVREKHGLDQEEAAENCFNELINRSMIQPCFYEDGDFDEVWACQVHDLMLDLIILKCKEENFITIIDRNYPMNGASQVRRISHQFNNRDMALTVESMSASMVRSYISFPVADYMPLLSKFELLRVLDMKRSISMGPPMYLRVDGFSFKLPKKFGKLKHLMTLDTSRPWLERSSELQLSDFNSLSSLRHLSLLGPVAFKNGLSKLCNLRHLSGFEIGTNSIECIRDLGELTNLRNLQVVYDYSRADGVDPETILAASLNKLGNSYLRTLDFIGHLSDWAPSAQFWSNCLTCPRHLQSLSLSEVLMPKLPNWIAHADRLAHAVLEIQELRSDDVQVLAQLPCLINLELKANTIPEKKIIFDPNTFHSLKTFKFCSCDELTRLTFEPAAMLRLQRLDIQLDARGQGAMQLQEGSPVGGIEHLATLEEIILSIDVNCGQGSNVESACRDAISRHPKSQAMKSQVQQSAIPNVDDLGDGKQLPEEA >Sspon.02G0029830-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:96999454:97009594:-1 gene:Sspon.02G0029830-4D transcript:Sspon.02G0029830-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLLASLLPLFIWLLLQASPRKRTNGQGGRHTPSPPALPVLGHLHLLKKPLHRSLAALATRYDTEGAGLLHLRFGAKRAVAEECFTVHDVALADRPGLESRRVLTQDCPAIAMCSYGPLWRQLRRLATVHALCAHRLAATSGARDDEARAMAAKLWRRAGAGAAVGVKAAAYEFAANVIMAMVAGTRMTGDQVRRFREMTEAGLAAAGAANRHDSLPALRMLDFGRTRRRLAGIAEARRQFGQSILDDYRRRRHRHPGGADDEDDAGETARTVLGDLLRQQQEEEQSPEHLDDVVIRSVCLSLLQGGTDTTASTIEWAMALLLTNPSAAKKATVEIDAVVGTSRLLRESDLAGLPYLRCVVSETLRLYPLAPNHVPHEASRDCVVAGGHAIARGTMVLVDVYSMQRDPAVWGERPDEFVPERFMADDGSGGGGRRDGGGRWMMPFGMGRRKCPGESLALRMVGVALGVMMQCFEWEPEVGKEVDMSEGSGLTMPMATPLVAVCRPRAKMESLLK >Sspon.05G0006530-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:14608582:14611592:1 gene:Sspon.05G0006530-2B transcript:Sspon.05G0006530-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRVRDRTEDFKEAVRVAALSHGYTEAQLAALMSSFIIRKPSPKSAFTNAAIKTLESIRELERFIVKHRRDYVDLHRTTEQERDNIEHEIGVFVKACKEQIDILKNRIHEKEKNGSGKTWLGTRDESSRLDLIAHQHGVVLILSERLHSVTAQFDRLRSMRFQDAINRAMPRKKILKRPEIKPAEPSRSNLVLKSDVSEIVDQEVSTAPMRVQEQLLDDETKALQVELTSLLDAVQETETKMIEMSALNHLMSTHVLQQAQQIQYLYDQAVEATNNVERGNKELSQAIQRNSSSRTFLLLFFFVLTFSVLFLD >Sspon.06G0020830-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6B:11249231:11249525:-1 gene:Sspon.06G0020830-1B transcript:Sspon.06G0020830-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGSTNKMDAYLCNLISIDLRDPVGNCMQVIEADKTRMDGWMDLAALALVSAIHGPRWMCCASCCAHISSHHAPTFPLLASHRPSSSLFIFQVAGRPG >Sspon.02G0009320-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:26401130:26404598:1 gene:Sspon.02G0009320-1A transcript:Sspon.02G0009320-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSRTKPMKLLLSPELLTIFLADKQRPRQLACGSVVGSRITGCQSVTGLRKEQRGSKLGWLACRLQQVN >Sspon.02G0028950-1P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:105179152:105181194:1 gene:Sspon.02G0028950-1P transcript:Sspon.02G0028950-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMRAATAAATCFFSPSSVSPRRFSSATPPASLSIGRCIQRHRLRAFPSSEIPLEELNPSVDLLRKTGEAVGDFRKTPIYIVGTDCTAKRNIAKLLANSIIYRYLCSEELLEDVLGGKDALKAFKESDEKAYLEVE >Sspon.01G0040990-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:44565421:44568328:-1 gene:Sspon.01G0040990-1B transcript:Sspon.01G0040990-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding EVFTENSWKSILYCSRGIAEHYGPEADVWTAGVILYVLLSGVPPFWADTHEGVLDKVRDGHFDFESDQWHRISDSAKDLIRKMLCPCPSERLKAHEVLKHPWICDNGVATHQTLDPTVLSRIDKLSAANKSKKLALQVFAKHLSEEEIGRLRGMFKAVDNGNSGAITLAELKEGLRKCGLVFKNIEISDIMEADDYDNNININWEEFIAATVPLSKIEHKEHFTEDFTYFDKDGSGYITVDELQKASMEHKMEDTFLEDIIYEVDQNNDGRANFGEFVTMMQSDNSGLGWQTMESSMNVPLREAPEVY >Sspon.05G0027820-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5B:57014294:57015218:-1 gene:Sspon.05G0027820-1B transcript:Sspon.05G0027820-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ETKLDQLSVRLTSVLAVDTTIDLTTLHLGVSVSKLESSWAYNDALVFYKSEIGGGKSSGKVVVSDVSAGEMKFTVYLDVPAAEGQQDGTGTDGDSVMELLKVGGVYKQSWDKDKKKVPLGESVVTVVRTDGSAPASRGGDKDKELDWIEERVAYWCKVKLDLSAMYDKAEAEAGAKPVPSSGGEVTEAVALREASVDAINRAMYHDIYNAVLLTLKLRRGGDSGNRGSSAMKNSPPTPLHPAKTPENIPAVEEKNGRKHICVDENE >Sspon.07G0031420-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7C:22592559:22593437:-1 gene:Sspon.07G0031420-1C transcript:Sspon.07G0031420-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGFDEPLWDQIAKALADINAQQAHLNSRLVTMEIHQASSSAGVVPQSFPYWMPGYGTTALPTYTTTTLMPGSAADLFQHRSPASCRQHCFCCCRSFLRRGQRPLFKRQRHVTPAARHSAAAAVLREDMALRLPKARVSPAAIVPSPKDLYAYMSSTIAQMQAKADELLQLLQFKKMMEQETKMHTHQVLAAVRLQATTRGLLARRQARELRGLQRVPEPRTPSHHHQAKLCHMEGLDIVRRVVEIGRAVPTTGSELGIDSGGGWRGPGLVVQPRPNRFLPYSNSDIFNLVR >Sspon.07G0017540-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:67740993:67741703:1 gene:Sspon.07G0017540-2B transcript:Sspon.07G0017540-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gibberellin-regulated protein 6 [Source:Projected from Arabidopsis thaliana (AT1G74670) UniProtKB/Swiss-Prot;Acc:Q6NMQ7] MATKNKVAALLLCFLFLAAIVSAEIIDANGVDDGEELDKGGGDGHHKHKGHNKDGKGNLKPSQCGGECKRRCSKTHHKKPCLFFCNKCCAKCLCVPPGTYGNKDTCPCYNNWKTKKGGPKCP >Sspon.01G0013000-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1C:34932626:34933516:1 gene:Sspon.01G0013000-2C transcript:Sspon.01G0013000-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding CDFLPANTGGRVVDCCNGLILLNNSRNSGSGSGSGSEPRARYVVCNPATEKWTTVPGSTHAGKICSASILCFDPAVSPHFHVVQLLDRGVDEDDPWYTEEHVVELDPEADENDWYTEGSQFEGFNIYSSETGAWVFHPHNSHWSPVAHRSRRTFFNGQLHFITDDDGAVAALDMKGQTRRVIPVPRSGEVQLVGHSQGRLFYANRDARNASKLSIYVLGEGENGRWTLKHCVDTSGMFAGEEYLQSGLLVGVAAIHPHGDSIFLFDSLQGRLMSYGMGSRRGHLVHSVEESPLWSFV >Sspon.04G0017200-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:62602003:62604172:-1 gene:Sspon.04G0017200-1A transcript:Sspon.04G0017200-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPRPHGHVVRVAFALVFAFASTVLLSPAAEGVQEGDVLIAFRDTLRGPDGAPPGPLRNWGTPGPCRGNSSSWYGVSCHGNGSVQGLQLERLGLAGSAPDLAVLAVLPGLRALSLSDNALTGAFPNVSALAVLKMLYLSRNRLSGAIPEGTFHPMRGLRKLHLSSNEFSGPVPGSITSPRLLELSLANNHFEGPLPDFSQPELRFVDVSNNNLSGPIPVGLSRFNASMFAGNKLLCGKPLEVECDSSGSPRTGMSTMMKIAIALIILGVLLCATGIASGALGRRKRRPRRAAAERLGSGDQTPSNPKLNTAPAVNIENAASTSQPRAAATAGAAAAAGKRPRRDEHGRLVFIQEGRTRFEIEDLLRASAEVLGSGNFGSSYKATLCEGPAVVVKRFKDMNGVGREDFSEHMRRLGRLAHPNLLPLVAYLYKKEEKLLVTDYIVNGSLAQLLHGNKGSLLDWGKRLRIIKGAARGLAHLYDELPMLTVPHGHLKSSNVLLDGAFEAVLSDYALVPVVTAQIAAQVMVAYKAPECMAPQGKPSKKSDVWSLGILILEILTGKFPANYLRQGRQGNADLAGWVQSVVTEERTGEVFDKDITGARGCEADMVKLLQVGLACCDADVDRRWDLKTVIARIDEIREPDAAASDSS >Sspon.02G0034290-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:14809286:14813197:-1 gene:Sspon.02G0034290-2C transcript:Sspon.02G0034290-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSFEMNDRKSKRLLAHPLRFPLPFAGEIGLGLTGFGVLFSLLGIIMLFDKGFLAMGNSSVLFTDKHCCLSCCQILFVSGVLLTIGLKPTVQFFTKPKNHKWLLDNSCCLPAKEPFLRLDIPPPSCDFGMLITRFRGRRVP >Sspon.05G0005250-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:16619981:16622623:-1 gene:Sspon.05G0005250-1A transcript:Sspon.05G0005250-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCTRDGKLAFLTNVREPSSLIGAKTRGQLPVRFLQGSHGPLEYATEIANEADQYNGFNLILADVNSGIMVYISNRPGGDHVIQTVAPGVHVLSNAAIDSPWPKVSVKHQNAHAQYGTRSMVALAAKLEGEVAFYERYHLRYSDFEWILCNDSYM >Sspon.05G0018170-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:5C:73754880:73755266:1 gene:Sspon.05G0018170-2C transcript:Sspon.05G0018170-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAASRRAQPAWRSSCLALCLLPIALPLLLLCLPLLCVAVAVARFRRRRRRLLLTTVAGKSRRCCPGERSPHGEEAEGEGRRAAAALLHKYLEDQMELVGADAGHGDAPAAVAVDPTPSSQRSHPQQQ >Sspon.06G0006180-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:21046875:21052757:1 gene:Sspon.06G0006180-1A transcript:Sspon.06G0006180-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSSAAAGDGTQLCVFDLRRGQQEGQELDKILFFHPADCPILLQLSVIGLCEGIVTFTRIFSPEEDCEVIESEKHSHVVEKNKENELTWRCSALQGILKEAHSLFAMFHGPIRTLLDRQPSAELARGHLRTFLTDYLSDFNVGKKLQFPTYRDSLKERGTVQMLTVSREVALDVQSLTTVLGSCLGNVTCQSLVLFEDLLVSTTLPPDDTLNLYTYAVLRLTPRALYANASSWSYLRKGTSVSAGPTSSSSNGTTAGERYHSRSRDTSPGGQNQMRHNFRPLLRENLSKGKDGFIAADFAATEARGAVPLTPILWFQQAEERMYLCIYQHKSLTILLLVPASSLINGEEGITHVKKQMLENASQKIVTVEQKLTRGWGGENAYHVSGYRYLLVDPERRVSRASPPGKVTTLAKDSLLALNMLRQEVDLEKSRYRRGDPCHDKDFEACIRTKNNAWVIAKISRGRELYMALEKGGETLLYASTAVEKFSNRYCEGAFSTD >Sspon.03G0037960-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3D:2245940:2253980:1 gene:Sspon.03G0037960-2D transcript:Sspon.03G0037960-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGARQAAVEAAQGQVKESEAIFPGRHVSSDAYSPPHPLRRRGSSSPTCPNRMGHAASRPNDCAGDGEVLPSRFARFRRRLRHRLHRRRGNGDDSASASAKALAAQDFAGIARIRIVKADMQFKDKFFACLSLGERTYRTDTSDNTHRPVWDSEKKVIVETNGPHIARISVFETNRFSKNTLVGYCEVDLFEMLTKDLEEHSEDLPLLDPSSPKTNVGSISISCHIEDPVETEQSFARRVLAIVDYDEDGTLSLSEFSDLMKAFGNKLAVAKIEELFRQADTNSDGIVDIDELAALLADQQEKWMFKLSEWAHYSTYDVGLRSGSTASHILVFDRRTKRIVEEVVDGKIVLSMRALYQSKVGLTLINTGVKDLLKNLSEKQGKKMNSPESAKDIPKFLELFKGQINMDEVKNPIESFKTFNEFFIRGLKPGSRPIAHGDQDSVATCAADSRLMAFSSVDESTRLWIKGRKFSIEGLLGTSVHSDALSNGSLVIFRLAPQDYHRFHVPVSGTVEKFVEIPGCLYTVNPIAVNSKYCNVFTENKRVVSIISTSEFGKVALVAIGATMVGSITFLKKEGDYVHKGDEDAIQFDADLVANSERSLETLVSVGMTLGVSTRNKGLQVPDLQNFSLE >Sspon.04G0006400-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:10023668:10025371:-1 gene:Sspon.04G0006400-2B transcript:Sspon.04G0006400-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DETOXIFICATION 49 [Source:Projected from Arabidopsis thaliana (AT4G23030) UniProtKB/Swiss-Prot;Acc:O82752] MTSCAGDATVACRDDARPHGDAACVIGCPLLAKAGDEVHIPVAGDEAPAVPVLTSKSPGRLATAVKEALSVSLGMAFPMTPVVSSSDARGEARSILGLALPMILTGLLLYLRSMISMLFLGRLGGLALAGGSLAIGFANITGYSVLSGLAMGMEPICGQAFGAGNFSLLGITMQRTVLLLVAAAVPIGGLWMHMRPLLLLCGQDTGIAAVAETYILASLPDLVLQAFIHPVRIYLRAQSINLPLTVCAALAIAIHLPINYVLVTVLGLGIRGVAFASVLANLNLLLFLLAYIFFKGVHRRTGGFVLSRESFRGWGELVSLALPSCVSVCLEWWWYEIMLLLCGLLLNPQATVASMGILIQTTSLIYIFPSSLGIGVSTRVSNELGANRPEEASRAATVGLMLGFAFGGLASAFAIAVRNVWASMFTADPAIIALTASVLPILGLCELGNCPQTTGCGVLRGSARPKDAASINLRSFYLVGTPVALVLAFWLHYDFKGLWFGLLAAQATCMVRMLLVIGRTDWACEAKRSRQLTGAKDSDDKASRDEKSRLLLGDTDIEQANAHSDQC >Sspon.01G0034680-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:4916134:4930965:-1 gene:Sspon.01G0034680-1B transcript:Sspon.01G0034680-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGVASAAGSGIWSRRRDEITFDRLQKFWNDLPPQARQELLKLDKQTLIEQARKNFYCSRCNGLLLDSFAQIVIYGKSLQQEASDIGCLRTATESRITQGEQDGAQDPSVHPWGGLSTTKDGVLTLLDCFIKAKSLRVLQNVFDNARAREREREMLYPDACGEGGRGWISQGMANYRGHGIREMCALHTAHLSCDTLVDFWSALGEETRSSLLRMKEEDFIEKLMYRFDSKRFCRECRRNVIREFKELKELKRMRREPRCTSWFCVADTAFQCEVFEDAVVVDWRQCLSEPDESYDHFEWAIGTDEGESDIFGFENVGMNAQVHRNGIDLDQFEDYFITLRAWRLDGQCTELCVKAHALKGQSCVHHRLVVGDGFVTMTKGESIRNFFEHAEEAEEEDEDDAMDRDGNDFDGDGAHPQKHAKSPELAREFLLDAAAVIFKEQVEKAFREGTAQQNAHSVFVSLALKLLEQRVHVACKEIITLEKQNKLLEEEEKEKREEQERRMKRRTREREKKNRRKERLKEKENNKGKRLVEPKSPDDISSSAPSNSSTSINDYSTNTLDSRDSAMEEEDSAEVVNLCSPDSCVNQSSCTEINGENSVQCNAVTEFSPMDSSDLCTSDQSKSSRQSPRSREDFPRDQSCWYDDCQDESGRIGESQWKSRERTRSIDRSCNTASTSNNRTRERHSYNSCSCGHPEDYGVMDSCFLPTARSGREMKIARKSGVEKPRVQDHRCYTLDSFIVSKGNRAGCTQKNVIPKQVWEPMDARRKTNLHNTVHDSGSINNVDPLKHVVFDNSGSQKFGVGCESQPQASESSRDVCNSDQPCANGGRNQTTSCASTLLVNKQNCYSENEEGSRHDEELMTNSAGSDSSSSCMSEGDRESSSISTTSSSAQNPESSSESEESPERINSKVVTPSSRAASRSLLEACAGNGFREYQPKATRPAHNDMFGYNVSLSRTSCCITKACMHLNIRQ >Sspon.03G0008140-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:16837768:16838539:-1 gene:Sspon.03G0008140-2B transcript:Sspon.03G0008140-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRYLAALILVTALAASTNKSVDARVVHPIVGPVVGPNLEAAGPSPADDDDGRRLIGTTGHDELVALCQQMHYKTLCTTMTTLPGVTTPEQLLDASLRITAVKAAMAETKLDEAIKSGGAQGNPMMSSLETCKESYASLVDSINTSRDTLKNGGSNADLMTELSAAATYSTDCEDTFEERPELQSPIPGAQRHISRLVSNCLDLAATIKEQP >Sspon.06G0023120-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:41242234:41251741:1 gene:Sspon.06G0023120-1P transcript:Sspon.06G0023120-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPIKGTSLPATRRAGHFFLPPPPGPSSLSLLPCLRQIRRRTLLLRQPGCSAAPPFPCLVEWRSVGKVLPGNIIVRQRGTRFHPGNYVGMGKDHTLFCLKEGHVRFERNKLSGRKWVHVDPVAGHDLHPIYADGFATTADTEPLQ >Sspon.01G0038440-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:29971045:29972858:-1 gene:Sspon.01G0038440-1B transcript:Sspon.01G0038440-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:MYB transcription factor [Source:Projected from Arabidopsis thaliana (AT1G48000) UniProtKB/TrEMBL;Acc:Q94CJ3] LMDMAHERDASSEEEVMAGELRRGPWTVEEDLLLVNYVAAHGEGRWNSLARSAGLKRTGKSCRLRWLNYLRPDLRRGNITPQEQLLILELHSRWGNRWSKIAQHLPGRTDNEIKNYWRTRVQKHAKQLKCDVNSQQFKDVMRYLWMPRLVERIQAAAANANNAAGAPQQQQQPGAAADTPLSSSWQHGGADDGLYASPDELPTDACWPPADYYPAPQLLNNPAAAVPELSSTTAGSSSPSSDSGAGAQPCWLAPVGGAEWFTTACDASSAAAVLAGQQQQQSSCLLGETTWASSELPELERRGLRDRQLRRGEHLEHGPTTCGSRRHRA >Sspon.02G0036030-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:26707181:26711555:-1 gene:Sspon.02G0036030-2C transcript:Sspon.02G0036030-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGLPDADAAPLPAAPPQKRDEWSESGIVRLLEAYEAKWLLRNRAKLKWSDWVDIAREVSVHCADDAAAAGKPPGAGAGGNNAKTPNQCKNKIESMKKRYRAESAAVARAGPAAAGPSWRFFARMDGLLKGPPVCSAQVQQPERINSIDLRAPAKPEAAEVEADFATQLRDAVPGAFSDLMNIDTNGAVPDKAEKVDNSMQKEVRPADSDANVSSPRSKVANEDVEEVNNVWDRTKKRKSTDFDIAKSIELLASSFLKIEHARLEMYRETERMRAEAEIKKGEMELKRTEIMAKTHLQIARLFAKKLKQSSGKNGGSSSVTAEVDTLTKKGENGSG >Sspon.04G0009330-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:27744019:27745253:-1 gene:Sspon.04G0009330-1A transcript:Sspon.04G0009330-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEELGFGVVDAVIKALDDSGYNKQTAQNVMIQSTNSSVLVKFKQETKYNLVYMIDENVRDAAPSSLADIKKFANAVSVSTTSVFPETRYYLTNQTNNLVRTLQSAGLQVYVYVLMNEFGSQPNDFFADATAQINAYVQGANVDGVITDFPGTAHRYKLNSCTSMGKNAPQFMHPVQPGGLLSSMPPFAQPPAAAPMPLLTDADVTEPALPPVSNTTTPASPSRATLRMRADVSILVTLLMLCASLLI >Sspon.02G0033980-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:12001395:12006225:1 gene:Sspon.02G0033980-2C transcript:Sspon.02G0033980-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:3-oxoacyl-[acyl-carrier-protein] synthase II, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G74960) UniProtKB/Swiss-Prot;Acc:Q9C9P4] MAAVAGPLCTWLVAACLSAACDAEEYKQKHCCPAGSGAGGGVMLGQRRRLGARRRGLARSGMAMAVALQAERSVVEKKKPDIKQRRVVVTGMGVVTPLGHDPDVFYNNLLDGVSGISEIERFDCSNFPTRIAGEIKSFSTDGWVAPKLAKRMDKFMLYLITAGKKALENGGLTEELRNELDKTRCGVLIGSAMGGMKVFNDAIEALRVSYKKMNPFCVPFATTNMGSAILAMDLGWMGPNYSISTACATSNFCILNAANHIRRGEADVMLCGGSDAPVIPIGLGGFVACRALSQRNSDPTKASRPWDMGRDGFVMGEGAGVLVLEELEHAKERGATIYAEFLGGSFTCDAYHMTEPHPEGRGITLCIEKALADAGVAREEINYVNAHATSTQAGDLKEYEAIVRCFRQNPQLRVNSTKSMTGHLIGAAGGIEAVASVQAIRTGWVHPNLNLENPEDTVDVGILVGSQKERCEVKVALSNSFGFGGHNSSILFAPFK >Sspon.03G0031280-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:35020511:35022868:-1 gene:Sspon.03G0031280-1P transcript:Sspon.03G0031280-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAIGNGGEWWWNLPSLRRKSDSRRRGRRNTDPRGSRRGPPREPLSSSSSSESIEQGGGWPIEFPFRQAVTAASLTFTGDTIAQVRSRIVDRRRYCPDSDTKELIPDILLNHDWIRALRMSSYGFLLYGPGSYAWYQLLDRCMPKQTFVNLSAKVILNQIVLGPCVIAVIFAWNNLWLGKLSELPSKYQNDALPTLLYGFKFWIPVSIVNFGVIPLAARVAFMSSCSIFWNFYLSTTMSK >Sspon.04G0010660-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:28420468:28421751:1 gene:Sspon.04G0010660-2P transcript:Sspon.04G0010660-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding PPPIRSSSMEVSSSSAAAEVPHYFLCPISLDVMRDPVTLATGITYDRASIERWLFTDGHATCPVTRRALAPAEMDATPNHTLRRLIQAWCAAHQVERFPTPRPPLDSCRVAALLDEGRHGGDRQAAAALREIKAVVAESERNRRCVEATPGAVDFLASLVTKHSSKREGSQQDADDDFVLDSPTSTSSPAEDALGVLYSLKPSERSLAQIMERDGDFLDTLASVLRRPSYRSRAYGILLLKAMTAVMTPARLMTVSAGLVQEVVRVVSDRVSSKAVRAALHVLCRLCPWGRNRVKAVEAGAVAALVELLLDEGGRRVTELAVVAIDHLCGCAEGRSDLVAHPAGLAVVSKKVMRVSAAATESAVRALHAVARHSPTPSVLQEMLAVGVVAKLLLVLQVNSGERAGLRAKEMLKTHARVWKDSPCLQTY >Sspon.01G0032740-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:104129093:104130552:-1 gene:Sspon.01G0032740-2B transcript:Sspon.01G0032740-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding HQGVPKFGSWEDEGDHLYTQYFENARKGKSPGRSASQNDHSGDPEALSKDSPSAKASPLRTGSDPVVRKPKDERHANREDDLRRHEAPARRPYTDSATHKHGVNTSHDSAARKTGMERSPLHPHHQARVVNKGGVSSPSWERRGSSEGHRGMAPTTPGRSKMRPSGRGDETPERGSAVPKFGEWDEKDPSTGEGFTDIFNKVREEKQSGDAPVITSDTGYSRSNQGRKYESSVSPLQTYWHAAEFEYVEFTVLLLPCRVAHASVGSETDASVGSET >Sspon.07G0018220-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:65582415:65588552:-1 gene:Sspon.07G0018220-1A transcript:Sspon.07G0018220-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SMCTLCTVQRWSRRVATMLPWLVVPLIVIWAATQLLLPAAYRFEVTSPRLACVSVLLLTLFWYEILLPRLSLWRARRSARLREERRAHALELHKLRKTATRRCRNCSNPYKDQNPGGGKFMCSYCGHVSKRPVLDLNSAGKAPTGWPCAQDCGYWLDLRCSSGNNSSFLGFSWRLLSSFCSTAMRWLLRNIFRFTSSGDGEGLSIGGEQDREARRKAEEKRLARLEREMLEEEERKQREEMAKLVEERRKLRDEKAEAEERSKSATPVGEKDARKEAEKRRQERKKKEDKGSSKSNSDCEDIDRRLGREGDRKRDFDRKSDLDRREGYKPHYIDSNNHSNKTGESRSKYFGRMTGGFLSSSRGFGSGSFFGRSAQAPAPQASKVSRPVVPATDQGNAIKRDVQHAATQATGKSATAGETRNAWTNFNRQVSPNVQPHSTGLKKSWHQLFSRSASVSPCPDVATSAHDMIRKPEPNGAQINNAHTFLSQYPPLDYKPSSSQSMQFPGFPPLNGAPPSNPLPHFPAGHMPFYDDVESTLLEEPEQFEDPCYDPDAIALLGQDLDCGFLSSDVIKESHGRPSPIESPLSRSRTAEEKPIKPPHSSVARGPGGSILPETSSEQGTWQMWSTPLVQESLGLQGPQSQWLRQNTNQFNHSANLFSSGGGAISSLGTGLNDSDPWLQKAPFQQLPPDTPSLFLSHEMPGKLHNDLVFGSPNKSAREHPFGPPGSLWPREELALNGAQEGGGGHILSPSGTHVGSGAGLFSSTSPDVQSLWSFNETFNEKESIE >Sspon.05G0020260-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:87559332:87561729:1 gene:Sspon.05G0020260-2B transcript:Sspon.05G0020260-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRVSAAADRCLELERVITGRARSGSLGLDDALKLFDGLLTHARPASVITFNQILTAVSRASGRRSSTSESELVVSLFNRMIRECTIKLAPNLRTYSILIGCFCRMGRTEHGFATFGLILKSGWRVNDVVVISQLLKGLCDAKMVGEAMDVLTKRMPELGCMLNVVSYNTLLKGFCNEKRTEEALELLHMMADDQVRSCLPDVVSYSTVIKGFFTEGQVDKAYNLFLEMMDQGIPPNAVIYTTVIDGMCKAQVVDRAEGVFLQMIDKGVKPNNDTYNCLIHGYLSTGQWKQKMFARGFEPDCFTYGLLLDYLCKKGKCTEARKIFDSMIRKGIKPHVSTYHIMLHGYATKGVLSEMHSFLDLMVGNGISPDHRIFNIMFCAYAKKAMIDEALHIFTKMRQQGLSPDVVSYGALIDALCKVGRVDDAVLKFNQMINEGVAPSIFVFTSLVYGLCTVEKWEKAEELFFKMLNQGIRPNVVFFNTIMCNLCTKGQVMKAQRLIDLMEHVGTRRDVISYTTLIGGHCLVGRIDEAAKSLDVMLSVGLKPNEWTYNTLLHGYCKARRIDDAYSVFQEILRNGITPGVVTYSTILHGLFKTGRFSEAKELYLNMISSGKQWNIYMYNITLNGLCKNNCVDEAFKMFQSLCSKDLQLDIITFTIMIGSLLKGGRREDAMNLFGAISSYGLVPDAGTYCLIAENFIEEGSFEEFDGLFSEMEKNGTAPNSHMLNVLVRRLLHRGEISRAGAYLSKLDEKNFSLEASTTSMLISLFSREEYQHHAKFLPEKYRFLNEAKK >Sspon.08G0005680-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:15004718:15011672:1 gene:Sspon.08G0005680-4D transcript:Sspon.08G0005680-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GNLNYVLLQKDCKNICRTKVCDLPIEVPTIWPIISFVPTKAYQKSDLPKFSLLPDPEDGRQRSEWGKFMRFLSDNKRMLLEVYLQKALFWPSGSWVPWEQAAIARCGSSTFHILAPEPDECSNFPHAVLLYECGQNGPGDCKQMARTSGKHVNGPNASKRPFKSDFQYGSRNSKPPYFKEEVCDSLPKPKEMETSPKHHDPIVTSSPCESVEDGPRVLNPVVNKRTASPTKNFIVADPSYLRTLSQTHASWIFGAIAELIDNSRDAGASRLSISIEHLFSKKAQKKIPVLSVIDDGHGMTYPDMMRMISFGHKRPNEHREDQIGRFGIGFKTGAMKLGKDAIVLTQTKSSRSVAFLSQSFNEEKDNLEIPVVAYRKEGQYMEVDLSVQSDATAEYNLSAIKNFSSFNEYFIGEKLGLFGEDRTGTQIYIWNLDRWGTDCTLEWNSGKSSENPVHPGRGDILIRSRRVRSRPGQTSNKVLLDYSLQSYLEVMFLNPRMKISVQGSLVKSRPLAKTLNKTSVVSGEIMGRTILLTLGRSKVEWDRTNCGIFLYWHGRLIESYKRVGGQKHSTDMGRGVIGVADITNLIDDEDGNSWVLNNKQGFQDCEMYAKLEEWLGRKVDEYWDTKFDSLELRKGDERHKPDSDWVQCCSCRKWRMLNAGFNVDNLPEEWFCYMPPFNGKCEIPEQQMGRGVIVIGEKRSGHDEQNKAALQGGTPKKEMRPQDLEIQMITQDEEDVKVANDLEGMSSQTEDDAPLLKLKRLRRGLQRLPNVDR >Sspon.06G0005520-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6D:17180474:17181720:1 gene:Sspon.06G0005520-2D transcript:Sspon.06G0005520-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MACAKAIVAVLSVAVIASFLLAAAENRHIARKDLGVGLGGGGTLGAGTGGGGRAVPWHVQRVRRLGVDAGGGVGVGTGGGLGVGTGGGLGVGSGIGVGVGGDGGGSGSGSASGSYSGSGSGSGSGSGSGSGSWSGSSSGSDSRSGAPGSSAGSYAESGSGSNAGAGGAGSYAGSKAGSNAGSTGSYAGSDAGSYAASGAGPHGGGSGA >Sspon.05G0027480-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:5B:53931763:53932140:-1 gene:Sspon.05G0027480-1B transcript:Sspon.05G0027480-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNHVSQAPKIQGINRNHAIATLVMIPLGRPSFRTGRTRLASRLASCASRRTHLVSRAPCFSRFAPDPPRVAHPCFSRRTRLASRTPVKPDPPRACVPVHASRRTPPVPRVASPAPRLQAPLGGSM >Sspon.04G0010420-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:31780919:31784023:-1 gene:Sspon.04G0010420-4D transcript:Sspon.04G0010420-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger transcription factor YY1 [Source:Projected from Arabidopsis thaliana (AT4G06634) UniProtKB/Swiss-Prot;Acc:Q2V3L3] MQVARRRYRWVKEWVPQDLVVAGGPCTLYKWVREDRLTALKAKDKEQGAESATPEPNSEILFLCSYEGCGKTFFDAGALRKHAHVHGERQYICHYENCGKKFLDSSKLKRHFLIHTGEKNFVCPHEGCGKAFSLDFNLKAHMKTHSADNYHVCQYPECGRRFTQESKLRAHIRQQHEKAVGLQNPSASTMNHSAIGDPHQPPKPAKVSATPPAPSAERPYVCPYDGCDKAYIHEYKLNLHLKKEHPNHYQDGGPQGTASSKRSISKSSHRSKPDITSRMQPAKIPKHKGGYAAPSPTVNLPEEQQWPRKVMYEDDSEETEEEAGNNVEDRWNYNRAASSDDEETEDEE >Sspon.01G0057330-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:4703268:4709612:-1 gene:Sspon.01G0057330-1D transcript:Sspon.01G0057330-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribonuclease II family protein [Source:Projected from Arabidopsis thaliana (AT2G17510) UniProtKB/TrEMBL;Acc:F4INI6] MLQSKSFVKKTKQGRIQKVVREHYLRDDVYCGFVPCSACAAAAERKLAAAAAAILVVDTNVVLHQIDLLENPAIEDVVLLSVVLDEVKNKNLSVFNRIKALCTNKARRFYVFANEQHRDTYVKDMVGESSNDRNDRAIRVAARWYQSHLGESVKVLLITNDRDNKRKAIEEGLNAETVESYVRSLAQPGLLDLVVVPSSGDVTMEDVEDHRPSKKKVIYNEHKPMSEITSGLRCGIYHQGKLRVNRYNPFEAYVGSESIGDEIVIRGRSNMNRAFDGDIVAVELLPQDQWHESKSFIADDDEEEEDVHLVPNSADDAPRNTNSTQSTIGSSAPSVSSRPVGRVVGIIKRNWNSYCGSLEPMPMPAGSGGVAHALFVSKDRRVPKIRIQTRQLENLVNKRIVVAVDSWDVLSRYPSGHYVVLIENDINTRPFSTQVLACLPPLPWTLSPEDLANPNRQDLRQVRVFSVDPPGCETLMMHYIARYFQMGILKLERHPSPTKEMLEPLLRTASSVGLNLDVSSSKALAESLDNAKSNDPYFNKLIRILATRCMTQAVYFCSGDLTFSEYFHYGLAASLYTHFTSPIRRYADVVVHRLLAAALGIAKLPPIFQDGPQLTGIADNLNYRHRNAQMASRASVELHTHIYFKTRPTDTEARIVKIKANGFIVFVPKFGIEGPIYLTPKGDKGGDWVVDEAHQRVIKPGTNISYAVLQTVRIHMEVVEPQPHRPKLQLTLI >Sspon.03G0021930-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:53079083:53079643:1 gene:Sspon.03G0021930-3D transcript:Sspon.03G0021930-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTVRLLALAVLSLSFLHLSLPASSLPLPLQAAAAGEASEPDDAAAAALALARRACTYTVQIKTSCSSPRSSADAVSLAFGDAYRNEVYAARLTPRYGFERCATDTFRVSGPCGYGVCYLYLRRSGRAGWTPEWVRVYEPTTSSTPSTFYYGDPLPDGVWYGFDRCVAAGAGASSEPGAAAQAL >Sspon.03G0039620-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:18631156:18635170:1 gene:Sspon.03G0039620-1C transcript:Sspon.03G0039620-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hexosyltransferase (Fragment) [Source:Projected from Arabidopsis thaliana (AT3G58790) UniProtKB/TrEMBL;Acc:W8QNJ3] MRVYITATAATAGADDATQPKAAQPQQAARRGWRSAAVTGLLAGLLLFRAALLAIEAGASLCPSTTGCLDWRAGLGRWLYGDGGDGDGDATEEFMKEWRRHREATLLDPVVVEAAPDSLDALMAEMATMLASYDRIDMEAVAIKMMAMLLKMDRKVKSSRIRALFNRHLASLGIPKSVHCLALRLAEEFAVNSAARSPVPPPEHAPRLTDASCLHVALVTDNVVAAAVAVASAARSAADPARLVFHVVTDKKSYVPMHSWFALHPVSPAVVEVRGLHQFDWRDAGVVASVMRTVEEVQRSSLEYHQCDGSAEREHRRLEASKPSTFSLLNYLKIHLPEFFPELGRVMLLDDDVVVRKDLAGLWEQDLDGNIIGAVGAHEGSGVCVDKTFGDHLNFSDPEVSGRSSQCAWSWGVSVVDLDAWRRTNVTETYQFWLQKATFIMPVARSKQFVADGLAAGGSDTSLRHVQNRESGFRLWQMASLPPALIAFDGRVQAIEPLWNLPGLGSRVPHPDLVRFSAVLHFSGPRKPWLEVAFPELRQLWLAHLNASDSFLQGCGVVEWQ >Sspon.05G0003410-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:9920673:9922564:-1 gene:Sspon.05G0003410-2D transcript:Sspon.05G0003410-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:H/ACA ribonucleoprotein complex subunit 3-like protein [Source:Projected from Arabidopsis thaliana (AT2G20490) UniProtKB/Swiss-Prot;Acc:Q93XX8] MYLQYYINEKGDKVYTTKVKESPLGVPTQSAHPARFSPDDKYSRQRFLLKKRFGLLPTQKPAPKY >Sspon.07G0015550-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7A:55627285:55629006:1 gene:Sspon.07G0015550-1A transcript:Sspon.07G0015550-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVLARHQSLALALFIAAVSVTGPAGSSKLLNITNSCMHTVWPEISSATSSATPNTTGFELAPGQWEVVPVPSEWSGRVWCRNLCTSTDSSGNFTCVTGGPVTLAEFTLGGSGGMDLYDVSLVDGFNLPVMVAPAPQGGADPAGGNDCAPTGCMVDMNGVGACPPELRVTSSGSGTGGDAVGCKSACQALGSAEYCCTGEYYGNPNTCKPSAYSELFKKACPLAVSYAYDDGSDSIFTCGGGDTTYAITFCPSTTSGKLSSSPRSQPPSETGNLPHIDGTTPTPYTGGSRGVGAATPPWRIVLAVAVLGLASAALGV >Sspon.05G0016170-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:65824203:65825249:-1 gene:Sspon.05G0016170-1A transcript:Sspon.05G0016170-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)H-quinone oxidoreductase subunit T, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G09350) UniProtKB/Swiss-Prot;Acc:Q9SMS0] MAASTASPTFTPFLHRHQRRSHRRTNGVVVAAASNPGAEPTPATAADGAGPGKKKTVDTRIHWSNPDEGWVGGNAKKEGDGGRGGSKNEPLGGRFADLINNASESHYQLTPEADIEEIKAAYRRLSKEYHPDTTTLPLKVASQKFIRLREVYNVLSKEETRRFYDWTLAQEAESRRLQQLRSRLEDPYEQDIINYKSVPDMVDRLGGKNMKLSDQAMTAITFDIVIIIISICSIIYAVYFKE >Sspon.08G0030010-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:54285194:54288008:-1 gene:Sspon.08G0030010-1D transcript:Sspon.08G0030010-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEPVPMKVVPIRVLFETPYGFAFFGFNGDYVNVENPLEAIWPLEFEKFENKSDAIGTSGIDDPITRMIKDWYSSGETILVGKNEHKLAIETELKIACRCDEVAFEVMWGLENHLHILVPDEELELREEDGKYRSQGLHMFLRSLNYNIEPELVNGQIAETACFIYHCLEVNKELLQCLRMAGYPEKESIDTQGWDALKYVTAVQIMCMDEHSSNHCQMFLEELQKIDGGKGKYKGLIKDNFMVVYHRAVEVNQVKVDKLKELDVLVKRAHEKWGRVRKLQEELDKSESIAKKRKRE >Sspon.04G0018790-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4A:67341982:67345151:1 gene:Sspon.04G0018790-1A transcript:Sspon.04G0018790-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VKYELIDAITSGGMIDMNGGYGHVDFVGVNMIMPSIRGTAPISGRPGGAAAVCLRPRSGPTYHARFITLPGRSPSPRLLVLLSDEVIGRARRAAAATPSRSGRPPAVMSRSCESQKMDWGFQLNPYAAPFVPSSMTGFAAERFNQTTDSENNRTGRTDENNETTPDKSAEYHLPDSLSLDFYTESLAKLNVSAESFSKGEADDRALNSSEYLGSDLDTHLPGAVSYLSHMFPNVSVDFIIDALKLQEFDVDLTVDMLSHLCEADGYGHSAEDKRHYRDIQKSPKGAFATN >Sspon.08G0017830-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8B:3081133:3083655:-1 gene:Sspon.08G0017830-1B transcript:Sspon.08G0017830-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRESGVEEYRLFVTPARSHRHCRCSSAAGKVLCLRRLLCSDVAPPPGRCSASAAGKPLCLRCLLHSDAARLRAAAATGTLVCLLVSPESFHRDQTRPIARSALRPALPLWVPDWTLRFREGPDAPVANPSTRVPDCCEN >Sspon.03G0016000-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:74868687:74872105:1 gene:Sspon.03G0016000-2B transcript:Sspon.03G0016000-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFASGSGSASARDADAGASTLEFGRKEAEADCGHISASQTDLLARAHFLGGGGGGAVEEEEAEVFSTPPLTQQDPQRQGQSQRGQAGEGEDGITMCSVPFTQTQPSPSPSSSPPSDSRERKPRKPRICTRKMRGAKIRTPTPTPTRRRRRAPAPNSTLSPGSAGSCPGSGPCALARTLSQNDARVTASPMLNLNGSITRTSYHKWSKLCELSVGAAVLADKERKELVAVSAPHKAAEEHVALLGTRLHAQAVPRCWRVRQGQGWRRVRPALTLHVAAPPPGKETSMSRQSCEGSPA >Sspon.04G0033290-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4C:53101689:53104657:1 gene:Sspon.04G0033290-1C transcript:Sspon.04G0033290-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLVLLLVLPNLLSCAISMASQLDRQADMLLRWKSSLNDRWSHGCLETWSNNSSPCNWTGVTCSAAMVHHGHGRSDDDQVVTNISLPGCYLEGTLDQLHFADFSELSVLDLSNNKLFGYVPASKGGENFQKSSTKINLNQVMASTFGCQIGTFPFTYLGLPMGMTKPRVEDYTPLMDKIERRLTACSSLLSYSGRLQMVNSVLTATATYTMCTLKLPKGVIENIDRARKQCLWRGSDMSKKGGNWAAWPMVQKPKNKGGLGVINLSVQNDALLVKHLHKFYNKVDVPWVNLIWSKYYTDKVSHASREVGSFWWKDVHRLSYIFRNIARCSLCDGTTVTFWDDCWSGNEVLAHHFPRLFSFARNPSISVSKVMAAEDLDTLFSLPLSQEAFEELETLGQFLELQAFDEESKDTWIYQWGNMTYSSSKLYKLAFQNLPEHPVFSWLWKSKCTPRVKFFAWLVLVDRLNTKTMLRRRNLSDEDDDEHCMLCPAGTEEDLDHLFFDCPFAKRCWEKIGMYWITSLSLYPRIAHARQQQNIPFFMEVVTIAAWEIWKIRNERVFNNGQVNVNIWFANFKNQCLLQSVRFKEDLRSAFCFWLDAYSYVLYYLNFIK >Sspon.07G0029120-2D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7D:72703041:72703535:1 gene:Sspon.07G0029120-2D transcript:Sspon.07G0029120-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNRQLKPRPVYQIPLRYEPKRVYPAEQPSFPPPASPTPAPTARIRIQEEGKGRGSGGGTDPRDTHLGRAAGRGTGRAAATGGRAARVAEAPCGSGGEERGRRKRRRPDGRWRREEEGRRKGGKGRERTRQGCGGGGRALYKCTSRAERPQETTLAAPPGPHVR >Sspon.02G0015650-3C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:43805034:43807294:1 gene:Sspon.02G0015650-3C transcript:Sspon.02G0015650-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALLELFLGSSSAPVDWDSEAYPAYGDFAVLPLLVAFFPAVRFLLDRLVFEVLARKLIFGKGHDKLAETDDSRKKINKFKESAWKFVYFLSGELLSLSVTYNEPWFKNTRYFWIGPGDQIWPDQMIKFARVGSVVLALHDASDIFLEIGKMAKYSSCEWLAVVAFLLFVASWILLRLIIFPFWILRSTSYEVLLTLDKEKHRFYGPIYYYVFNCLCSHS >Sspon.06G0002280-3C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6C:5796881:5800019:1 gene:Sspon.06G0002280-3C transcript:Sspon.06G0002280-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKAQTRSKGPTLLVFRLAGRACGPQATIPYPVLKPLRAFLLLPLAHTPSRREIRPNPLGSGSGNSGRHSRAEAGPDPSTAAGGMTGGGAAAASQAYGEAWYWDERYRKEAGPFDWYQKYPALAPLLRLYVSPHHRLLLVGCGNSGESRFLSVASGGGPAGLDCLSSSRGEGGRPWPEWSAALVHTLFLPSSPGSLLSRVSPSACDCGDRMCDSEMVAVLVRSAWCAMSPSLFGENMIDDGYQDVVNIDISSVVIEQMKKKYHDKPQLKYMKMDVKNMSDFESGSFDAVIDKVWPKFPRECNEDARGGQQLLFTPPVCRILKENGVYMLITYGDPSYRLRLLKDMENWTVKLHVIERWEKSSNQNKWELTKPLPLDDDSTSVVALLGPKPDVHYIYVCVKGTGGASAGSKVGEA >Sspon.01G0042070-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:62131558:62132418:-1 gene:Sspon.01G0042070-1B transcript:Sspon.01G0042070-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSLMLFTAALAACLALAAADWSQGTATFYGGPDGSGTMGGACGYENLYNAGYGVNNAALSPVLFNDGASCGQCYVITCDASRPGGQYCKPGTWITVTATNLCPSNDALPNGGWCGPGRPHFDMSQPAWENIGVYSGGIIPVQYQQVKCWRNGGVRFSMAGNYYFLLVNIQNLAGSGSVAAAWIKGDKTGWIQMSRNWGANWQALAGLVGQGLSFAVTSTGGQYIQFLNVVPGWWQFGMTFNTNQNFNY >Sspon.01G0008020-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:22374192:22403865:-1 gene:Sspon.01G0008020-1A transcript:Sspon.01G0008020-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calpain-type cysteine protease family [Source:Projected from Arabidopsis thaliana (AT1G55350) UniProtKB/TrEMBL;Acc:F4I0A4] MIFAYKRGRMEEEGHHGVVLACSICGFLFAVLSPLSFWVLWAVNWRPWRLYSWIYARKWPTYVQGPQLSTLCSLLTLFAWLVVISPIAVLLVWGSILIALLERNIIGLAVIMAGVALLLSFYSIMLWWRTQWQSSKAVAYLLLLAVGLLCAYDFCAIYVTAGASASELNSPSGFFFGVSAISLAINMLFICKILFNVSGFDVDEYVRRSYKFAYSDCVEVAPVSCSPEPPDPSELYMTKSSRVLHLGLLYISSLLVLVAYSILYGLTSKEARWLGALTSVAVVILDWNLGLCSFRFELLKSRMIVLFVAGTSRAFLISFGVHYWFVFNINLFLCIDKHLRPTSVLLSAAVSSWLSISNPSVARIDALRSTVIKLREGFRRKGQNSSSNSSEGCGSSVKRSSGSVEAGQNGNATDSMYRSNSQSDGVNWNSIPFDRSNSCQEGRSSDKNIDSARASLAHRSNSCLSAVQDSENAVVSIDRHGDPTTSLVCSSSGLESHGCEPSGSATTSGNQQLLDLNLAAIFQDRLNDPRISSMLKKNGGLGDVELANLLQDKGLDPNFSYMLKDKVMDPRILALLQRSSLDADREHQDDVDVTATDSDRLDTTIANQISLSEELRRSGLEKWLNISRLIFHHLAGSPIRAFIVFTVMFIIETATVAIYRPEAIKVINATHEQYGFIAWLLSTCVGLFLSFLSKSSVILGLSLTVPLMVACLSFAVPIWMRNGYRFWIPGREFDNRENVSQAPGKKERALFVISIAVFTASVIGLGAIVSAKPLDALGYKGWDADKNSFYSPYATSMYLGWVLSSTIAVITTGLIPIVAWFATYRFSPSSAICVGLFATVLVSFCGASYWGVVNSREDGVPLKADFLAALLPLLCIPAFFSLFTGLYKWKDDDWKISRGVYLFVGMGMLLLFGAVAAVIVTIRPWTVGVACLVAILFLVFVIGVIHYWTSNNFYLTRTQMLLLLLSFNLLVNFAGKPFVGASIGYFSFIFLLTGRALTVLLSPPIVVYSPRVLPVYVYDAHADSAKNVSYAFLILYGIALATEVWGVIASLIMNPPFVGAGVSATTLVIAFSFAVSRPCLTLKMMEDAVHFLSKDTVVQAMSRSANKTRNAISGTYSAPQRSASSAALLVGDPALTLDRAGNFVLPRADVMKLRDRLRNEEIAAGSFLCGVKDCLVICPQSLSNVDYRRNMCAHARILALEEAIDTEWVYMWDKFGGYLLLLLGLTAKAEQIQVHICYVLYTSRLFLDSIGLSDLSAKEIKKWMPEDRRQFELIQESYIREKEMEEEALMQRREEEGKGRERRRALLEREERKWKELEISLLSSIPNTGSRDAAAMAAAVRAVGGDSALEDSFARDRVSSIANHIRKAQLARRAEQTGIPGTVCILDDEPRSTGRHCGELDLCLCQSQKVTLSIAVMVQPVSGPVCLFGSEFQKKVCWEILVAGSEQGMEAGQVGLRLVTKGERMTTVAKEWNIGASSIADGRWHLVTVTLDADLGEATSFIDGVYDGYQNGLPLPTDNVFGNLGLIFGLVLGHPQTWMPLVDSEGSDSKMQIMDAFLWGRCLSEDEVTVLHTAMSPAEYGFFDLAPGDAWHGSYSARVDDWESEDAYEVYDQGDVEWDGQYSSGRKRPVHDAVAIDIDSFARRPRKPRFETRDEVNQRMLSVERAVRDALVAKGERNFTDQEFPPEDRSLFVDPMNPPLKLQVVSEWMRPSDIAKEISISSQPCLFSGSVNSSDVCQEYFCAARIVVKGNLGHCLYPIVDFQGRLGDCWFLSAVAVLTEMSRISEVIITPEYNDEGIYTVRFCIQGEWVAVVVDDWIPCESPGKPAFATSRKQNELWVSILEKAYAKLHGSYEALEGGLVQDALVDLTGGAGEEIDMRSPQAQLDLASGRLWSQLLHFKQEGFLLGAGSPSGSDAHISSSGIVQGHAYSILQVREVDGHKLIQIRNPWANEVEWNGPWSDSSPEWTERMKHKLMHVPQSKNGVFWMSWQDFQIHFRSIYVCRVYPPEMRYSVHGQWRGYNAGGCQDYDSWHQNPQYRLRVTGRDALYPVHVFITLTQGVGFSRKTNGFRNYQSSHDSSMFYIGMRILKTQGCRAAYNIYMHESAGGTDYVNSREISCELVLDPYPKGYTIVPTTIHPGEEAPFVLSVFSKASIKLEAV >Sspon.08G0020760-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8B:25750997:25751775:-1 gene:Sspon.08G0020760-1B transcript:Sspon.08G0020760-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHRAQRRPTLPCRHSHPPSCLPPFCVVSCPTLPRPSRRHVHRAATRRRSLLAEGTMIGRRHHQLELPTTAGVAVGDILLGCRHAWVTMRALEDSRRMCNAHPWAGQVTRTHVWLPTCECSEEGRKQHGPCAMSSDRASDIWSGVRMFGR >Sspon.01G0003810-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:9926517:9928518:-1 gene:Sspon.01G0003810-2C transcript:Sspon.01G0003810-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQLVAMAMMAQPASPTSPHVAHKIPAGDGPYARAKHFQDLDASIAWFWKAISTGDKVDSALKDMAVVMKQRGYLTEAIDAIRLLRHLCPKQSQESLDNILLDLYKASGRTKEEIELLKQKLRKIYLGEAFHGKTTKRARSHGRKIHVSVKQETSRVLGNLAWAYMQQRNFMAAEVVYRKAQMIDPDANKACNLALCLIEQTRFADAEVVLADVLAGRYQARDQQDGKIVRKVEELLARIMAQTWPGGGANNGRRPDESGSESDDDDWVENQMLALLDVAVPYRKKSRRRLPVFEEISPVVYKEQMAC >Sspon.01G0035070-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:7693623:7696796:-1 gene:Sspon.01G0035070-1B transcript:Sspon.01G0035070-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVLEGLMSALAEKLRSLLGREYALQSGVRGDIDFLQSELQRIHAFLVKDRAREVQELAYDVEDAVDEFTHRVGPAPPGIPAKVVHLVSTFMARRQIAEQASALRARALEVSERHRRYDEYDPLPPPEAAPSHAPPLPPEVYAEITSQSSLVGVDGPRDEIIASLWMPERRMRLAGAVWHPLLVGKTTLAMAVYRGLEGRFPCRAFVTVSRPFDIKRVLRDILQQVRATESSPMVDTDLVSQLVGKVRENLQGKRFLRMLDFECCEGVDNRHLKNIGHLFLLKYLSLKSTWISELPMQIGDLKCLETLDLTQTNIRVLPKEVTRLQKLSSLSAPPDTLQSLKIKGGYGFLRVPKWISSLTHLADLELTVAAMDERDLEILTELPRLIRFRLTVKEPSAQGITIQESCFPNLKELYINCRIMPVFFSRDAMLKLQKFELQFHAHPEDLEFVQFSTEHFQSLKEFRFMVVCKGLSDPGIEFLKEAFKNAVFI >Sspon.02G0008490-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:24818664:24823636:-1 gene:Sspon.02G0008490-1A transcript:Sspon.02G0008490-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MCTEPCFLISSRTEVKHCVACVPPSGVVIVTKIRAVCEPVITTDAVRSEGRVTCICMEEGGDPQLPSISVARTTSEGAGAVDLDLLEQLLSGDNSWLEVVSRSPNSVAFPPPSAFFSADATATTATTTAAPAANASWWIQTGGASPSSVRERFSQALPYIRDTQSDGDVLVQLWVPVNRDDGKLVLTTSGQPFTLDHRSDSLIRFREVSTKYQFSADVNSGDTPGLPGRVFIGRLPEWSPDIKYFTSYEYPRVRDAQYLGVHGTMGLPVFEKGSYNCLGVIELIMTRQKLNFTSELNTICSALQAVNLRSTEVSTIPRIKFSTASYKDALAEILEVLRAACLTHKLPLAQTWVTCAQQGKRGSRHSDENYRYCISTIDEACFVNEAEMRGFHEACSEHHLLRGQGVAGKAFTTNQPCFLPDIGSSTKLDYPLSHHAKIFKLKGAVAIRLRCTRTGTADFVLEFFLPTDCEALEEQKTVLDSLSGTMRSVCQTLRVVTDREMEDEAVLEMNELNSFTPHGKDKAEELSFGGSSADCRGEASWTSLAGTSQQESELAALRMHGMFSPERQGPSLSDVQATAEGSKAKRRTKAEKTVSLQVLRQYFAGSLKDAARSLGVCPTTLKRICRQHGITRWPSRKIKKVDHSLRKLQQIIDSVHGAETAFQLNTLYKDLTNTSMSSDNNLSGSVTVPPTNQSNLTDFNKHQPHKSSNNVPSTSHSHSSCSHSSKSSPSCSGGATKHAQQGIIDLMKSENPVKDSSIQTLQTEKLSLYEHFSVHEAPIDLLQDVTEKANGGHHSSRSLSSPNPKQNIDANMRVKATFGSEKVRFRLNPECNFEELKHEIAKRLSIVDTNHLVLKYLDDDSEWVLMTCDADLQECFHVYKLADIQTIKISVHLAISPATRVTTGHT >Sspon.03G0010030-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:41898489:41906496:-1 gene:Sspon.03G0010030-3C transcript:Sspon.03G0010030-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFKRNQRSEILALRRCNAAGGGGEDEGGGDPRPRKRRRGDEFFPVELLGDVPASAIPYAAFGLRWSEEPEAPAEAAQPPPAARPPVVRTSRGRTQVLPSRFNDSVLIDPWKKEKPAKPPPPPPAVKTEHLVRKNGLLHSKGAIFDRSFALSEVDDDDEEEAMVECYRARRNFGGSRKYLASRSTLTSVHDEPYSNYHRKEVMLRHYYEEEDEEDEEDEQEEDEGCEEEEKEETFHCTEQLVYGDIVWAKLGKRQPMWPGVLVDPTQQAAADAMPPQPRGVAVLCVMLFGWCAEFSDEKKYVWVRQGLIFPFSDYMDRFQGQTELSSCKPADFRRAVEEAFLADQGFSEVVVDCSTKGQPVVCHSFPDDLHEQCRRALKCESCGNCFPNKDTNKMVYVMEQLACRLCAGILALKKYCGICLKSLQHKYGGRWLQILMGISNDQVCCHGCESWVHSECDENCSNLKDLQDNSYHCPYCRVKMNSTLPGKNTKFSDVRKDSSAQKGSKPDKVALVCFDLEGTYQPDLELISCHCGPCKGQKFLFNEWERHAGCRSKNWRSSIKLKGSLMPFGKWTAKHFDLQIDRHQPGVCPTNPSKRLSQKMKKQKLIDLLNVHQECYGVTGKQDFTSWPQVAFADELMEPAIGILNIQPLLFMKVVYLSYYNLCCLSGLTNKYYIFCAEMCVICKQIHGSCTQCYRCSTYYHAICASRAGYRMELHCLEKNGKQTVKKISYCAQHRSPNPDNVLIIHTPAGTFSSKKLAQSNGKVAASRLIRKDIPLDLPSEVEILENISAARCRIYVRKDLKRSRDGAIAHRVRGPCQHRWDEIDNLNPPREKRDPESFCTFKERLCYLQKTEHGRVCFGRSGIHRWGLFARRDIQEGEMVLEYRGEQVRRSVADLREEKYRVQGKDCYLFKISEEVVVDATDKGNVARLINHSCTPNCYARIMSVGHDESRIVLIAKKNVCADEADERKVPCLCQTANCRKFMN >Sspon.04G0009130-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:23213656:23231294:1 gene:Sspon.04G0009130-2B transcript:Sspon.04G0009130-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAMHAPVYFETYEVHGDMPTVPAEVIDSIRRNKVCLKGGLATPVGGGVSSLNVQLRKELDLYAALVNCFNLPGLPTRHDNVDIVVIRENTEGEYSGLEHEVVPGVVESLKVTPNLYGNLVANVAAGIAGGTGVMPGGNVGQDHAIFEQGASAGNVGNEKIVEKKRANPVALLLSSAMMLRHLQFPSFADRLETAVKRVIAEGNPAHPASRHPLLLSLLFITNPNKPTAAASSSFLSSQIAPPSTHPTGYPMASHLDFRYLDEGLGGERGKRKRREEAEAVAADSMDLDADAPRPSKLRAMPSLSDPSKPASFGQPTYDGVIAGRVSGRRWKEPRTRRASAVVVSRKPTPLEQRVREKSLKRASPRPPRHPPLPHPCTHVPSAPPMPAASEAVGASGGGGGDGDVEQEYEIRNDEGFVYKVARGLYPDAAPSSSQPAAGPDPKAAGLRRRRRALLRLRDKRLRDLARWEALASELLAPLLAPQPPASPPASSHPVAAAAASSSPHPVAAAAAASSSSSVLDDLLAQVETQTDFLKKARQWCDEANALCDAREAAIFDLIVPPLWGGNPAELMAGLCSPEENTASGNLDGQNGRQKAKIIKKSIPPPIFTESRKRKIVTNLSGSNNVASQASVEPKRFAGMNRSKFGVLRSVTIGGLAHCHQVYGPTTWSEMM >Sspon.01G0000240-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:42059492:42067633:1 gene:Sspon.01G0000240-2P transcript:Sspon.01G0000240-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAWEMHPLCCLAGEWPSSGCGGGLAPGDRSPPAEDADAAGEAPAVAGVLYKWTNIGKGWRPRWFAIRGGVLAYSKIRRRVAAEPHPASEAAGGVRLIGVARGAGGAGERPIGFVPLKVIDRLALISSFSESKSDDKRFYIITPTKTLQLRTGCAKDRATWIEALVTARSEYSFNGDLSGDQNDASFSTEKLRNRLHAEGVGEAYQTQMKQRCEEYLSFIGSLPREVEVVNSVDSTVPEKPQLELFKHDCSSSGKCSEYSNTESSDDVGKQEISELSDGDKFHFYDTRQSFSEFATSPADPRKGCLNNGNEDHKSVESLTVDKADKYMLSHSKRRSQLPEPIEKEKGVSLWSMIKDNVGKDLTRVCLPVYFNEPLSSLQKCFEELEYSYLLDRAYECGLRGNGLMRILYVAAFAVSGYASTDSRPCKPFNPLLGETYEADYPEKGIRFFSEKVSHHPMVMACHCEGKGWKFWGDGNLKSKFWGQSIQLDPNGVLTLEFDDGETFQWSKVTTTINNLILGRVYCHHHGTMNISGNRRYSCKLTFKEQSFLDRNPRQVQGVVKDADGSKVATLMGKWDESVHCIINNDASKVNSHGSHQSSGATLLWEKNEPPVNPTRYNLSAFAITLNELTPELKEKLPPTDSRLRPDQRHLENGEYEKANAEKLRLETRQRMARKMQESGWKPRWFQRDSEDGTYRYVGGYWEAREQRTWVGCNDIFGNLSSSQKMPPSALYTSSSI >Sspon.04G0022970-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:9649460:9652817:-1 gene:Sspon.04G0022970-1B transcript:Sspon.04G0022970-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VSNCTRYVGVPGRENLYPNRFRAHPVCCSGPNSTSRYLHASSHRSLQLYSTSSLSPVRSTCPRSPFHFNSHLPRRAAPAPMDLPVVDLAPYLRTAAGDAARAGEEELRALCATVSASLRDTGALLVMDPRCSAADNDRFLDVVERYFARSADAKRLQERPHLHYQVGVTPEGVEVPRSLVDKNMQEKIRSMPEEFQPATPKGPDPKWRYMWRVGPRPANTRFKELNSEPVIPEGLPEWKETMDSWGSKMISAIEVVAEMAAIGFGLSKDAFTSLMKEGPHLLAPTGSDLQRHGSEGTVFAGFHYDLNFLTIHGRSRFPGLNIWLRNGKKVEVKVPVGCLLIQSGKQLEWLTGGECLAGMHEVVVTKRTLDAIALAKEQNRSLWRVSSTLFAHIASDAILKPLGHFAETPDAHSYPPICAGDYVEQELSVINLKGKDGTQLLGSEKLWNLKDASPAVSLPCMTPGSTSACIRCSTHANEFCRGNSANSGDGKGSCIGSGQGSRSGRLGASDLPSHMST >Sspon.02G0002750-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:9759648:9763134:1 gene:Sspon.02G0002750-1P transcript:Sspon.02G0002750-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSDTDDAHDAGEDSPLFRRSGGSSSVPVSQSLIKAASNVCFSLFVLAVLVVTVVAVTYQPPDPWLQSSAAITTSLSRVLPNSTFLVPDDSLLPTGEDFNSSSSASAVPAPARDEDDAAAAVSAASAAAAGNRTCDPDAPLNCSDPRVLAAVKAFNAKAFFRKSIVFLSYETPVPGPKPGQCDVAWRFRNRREKSWRRYRDYRRFSLASGDGCALDIVSVGKFRSGTNAARRPYPKGPKRPRVSPPPVDAEINDTIPVVGSEAEFKKGKYLYYMRGGDHCKSMNQFIWSFLCGLGEAKFLNRTFVMDLNICLSGAHTVDGKDVDGKDFRYYFDFEHLKESVPVVEEGDFLKDWKRWDKKKGPGRITVRKVPSYKVTPMQLKRDKSNIIWRQFNGQEPENYWYRVCEGRAAKVIQRPWYAIWKSKRLMNIVTEIAGRMDWDYDGLHVVRGWKAQNKQMYPNLDSDTSPDALVDKVTKLVKPWRNLYIATNEPFYNYFDKLRSHFHVHLLDDYKELWSNTSEWYNETTTLSQGKPVPFDAYMRVIVDTEVFYRSKAQVETFNNLTRDCKDGINTGWHVRTQWNSSRDFATEVDVI >Sspon.02G0030370-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:110613660:110614838:1 gene:Sspon.02G0030370-1P transcript:Sspon.02G0030370-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MCYKVECSKCGKFTWNGCGKHVASVYEGIEKGKHCSCKPWPGVDTKAEGSTSAAKEGETPLLNFSSDVKFQYHRQLTSLLNFDCRDGLQVKRKLEFDGGDPLTIALLKSPIKAEVSTLSRLFRKTIMVLLQYCTAVKLQLKRALHGALGG >Sspon.06G0018310-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:98577662:98578219:-1 gene:Sspon.06G0018310-1A transcript:Sspon.06G0018310-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding NMHQHFENMLKTGKGTDVTFDVDGKIFHAHRCVLAARSAVFEAELLGPMKEKAIEGPIKVADMEPSIFEELLHFIYTDSISDSKNDDDDKNMSMQHLLVAADRYGLHRLRLMCELSLCHGIDVQTVATTLALAEQHHCARLKGACLKFVASQRDVHGVIMKTDGFKHLVASCPLIMVEILDKIAEA >Sspon.01G0011630-4D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:51147547:51150592:1 gene:Sspon.01G0011630-4D transcript:Sspon.01G0011630-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSLFYGLWKYVFTKDEFRVLILGVDKAGKTTLLEKLKSMYLKGEGLPPDRVVPTVGLNIGRIEDAKAKLVFWDLGGQVGLRTIWEKYYEEAHAIMYVIDAATASSFEDSKSALEKVIRHEHLRGAPLLIVANKQDLPGAIDDEELAKFLHKELDERPYTFQAVSAYDG >Sspon.04G0011340-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:34927887:34930767:-1 gene:Sspon.04G0011340-1A transcript:Sspon.04G0011340-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSAEAESAAAATERFQRHDSLYGDAEKVTNGKHHGSGDSWARTLMLAFQSIGVVYGDIGTSPLYVYSSTFPNGIRHPDDLLGVLSLILYTLILIPMLKYVFVVLHANDNGDGGTFALYSLISRYAKIRMIPDQQSEDATVSNYRVEAASSRLRRAQWLKEKLESSNAAKIGLFTITILGTSMVMGDGTLTPAISVLSAVSGIREKAPNLNQSEVVWISVAILFLLFSVQRFGTDKVGYSFAPIISVWFVLIAGTGMYNLAVHDAAVLRAFNPMYIVDYFRRNGKEAWVSLGGVVLCITGTEAMFADLGHFNIRAIQISFTCILFPSVALCYMGQAAYLRKFPENVGDTFYKSIPTPMFWPVFLVAILAAIIASQAMLSGAFAILSKALSLGCFPRVEVVHTSSKYAGQVYLPEVNFLIGAASVAVTLGFQTTANIGNAYGICVVTVFSITTHLLAVVMLLVWRAHPALSAAFYAVFGLVEFLYLSSILSKFAEGGYLPFCFSLVLMALMAAWHYVHVLRYWYELDRAVPAAELAAVLARRDVRRVPGVGLLYSELVQGIPPVFPRLVDKIPSVHAVFVFVSIKHLPIPRVAAPERFIFRRVGPVSHRVFRCVARYGYTDPMEGHREFAAFLLDRLKTFVQEEAAFAASSGSSAALAEEEQRFIDAEAERGVVYLMGEATVTAAAGSSLLKRVVVNSVYGFLRKNLRESHKALSIPKDQLLRVGITYEI >Sspon.03G0027710-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:2044:4831:-1 gene:Sspon.03G0027710-1P transcript:Sspon.03G0027710-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDSAEVKLVDEIAGEGGAEGDWGYLGSDGMGSGSYPGYPFPRDVLSTPTSASLLLSMDPAALFDFNGTFPPSSSAAATAGSALPAFHDFSCVNPFDDAGHFLGGPPPLPPAAAAQQQGQKGGFFAPPPGSDFNDTGMSWDDEDEIDQSVDTSSMAISASMENAAGAAAGEVAPAVAAGEARRKGCRPRTSWPSAAVARSSMTASTCCARWCPRSARASILGDAIEYLKELLQRISDLHNELESASSASFVGPTSASFNPSTPTLQTFPGQVKEELCPGSFPSPTGQQATVEVRMREGHAVNIHMFCARRPGILLSTMTALDSLGLDIEQAVISCFNGFAMDVFRAEQCADGPGMVPEEIKAVLMHTAGLHNAM >Sspon.03G0026200-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:7337046:7340911:-1 gene:Sspon.03G0026200-2C transcript:Sspon.03G0026200-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPPSSSLSAASPQPTPLHLHLTSRPGAGAPGRLPLLHFSRAALPPPLRARIPRTNLPAAPGTPFLRALPPPSASASASSSSSIAGGGLGSDEADDGHNHHGGAGDDGGGGDDGGHSDHGDEGGADESPGDARGEALFVLAQLGRKLDTLPSDLAAAIESGRIGGDIVSRFTELEANGFIKWLLQFDGFRERLLADELFLTKLGIECGIGLVAKTAAELQKRGDNFFKEIEVVISDVVMAIVADVMLVYLPAPTIGLKPPLARNASAIAKFFSSCPDNAFQIALAGRSFTLVQRLGAFVRNAAKLLAVGTTASFIGTSVTNTVLKAKAAVNKDLEDEVVEIPVVQTSVAYGVYMAISSNLRYQILAGVIEQRILEPLLHNQKLLLSAMSFIVRTGNTFLGSLLWIDYARWIGVQKAHDHEEA >Sspon.01G0040960-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:38042491:38048326:1 gene:Sspon.01G0040960-2C transcript:Sspon.01G0040960-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPYSGDHQRSPPPPPPRAVFSSSLSPSAAPFPAADPVGPGPGPGPGRDLPTAPSVYAAGGDWGAVSWMEPPASYMAPAATPPGYKGAEDTGPYPPRQDLNQYPFGSTINLDYFDCVWNEQKGLGYQTTDKQHGKWSNPDDKATVGNYSLSSPGENHLNPEHLGNGRPTQGSSEMKHDLGSFSSKVSSSESGFVQPRECSSEFPEVNNTSVDSPCWKGTPASYQPSFGIMENNGAPPVVIGTVGYINSHQNQKLPELNSEYPWRFCEHHEASGSENDPFKAFKLPERCKISKDHKEVPPIDVRLWRKKKALKKEVKTLLNVIPEDEEELLQSVIQNLTAASSKRSKVEQKIDDGLSNSSQMKLKNINCARNNFWRSMHEDSAQENADSELKATVSQVLTNHLEDKMLDNTEVSQASIYRNLWIEAEASACKLKYELQHARLKLETAKGLNDTVKANSLEGSKGSNSYMSSSKPQNHGKETITCAAACQGQGGDARDKQSPVVNRSIFNGVDADVFARFKVLQSRNDNVNSFSEIDCGEQQEASKRPYAVEDAVMARLKVLKSRPDNITSLSQENNKQQLDASTNSADNVDDAVMARLGILESHPNSAALLGQESSKQQLDARTNREDGIDDAVMARLRILKSRPDNETSMGDANKEQQDACSDQLNGDDLDVVSNGTISNTLSEKCSKFTHSDDSADHLGGKDSVGLETFGDGNCAREKKEVGGSADVATPMRCKGTSDEVSIESAVHGEDNLGENHVWLQNAGDSRVCTEGSQEAHLISSPIDQYGGSPTEWEHVLKENFFHPGK >Sspon.07G0014900-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7B:63731961:63732546:1 gene:Sspon.07G0014900-2B transcript:Sspon.07G0014900-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGSKVHEHEADVPASDLWAICTLRRRGAPAGAAPARARQGIPGLQSYKEKFIKVDNDNFIKEAEAIDGDILKLGFLAYMIRFEVISKGPNSSVIRSTIEYEIDDAHPELEAMVSTAPLAATAEKFSEHAKEKN >Sspon.04G0028600-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4B:66983245:66984138:1 gene:Sspon.04G0028600-1B transcript:Sspon.04G0028600-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLPWRSMYLPHPKSLASCPHFPRTTYTTEPWHATNTFKSSKCARMHRLLLLTPRVPAAMPSRQPPSSLPSSLPSSPGTAVLAPGAASCLPADQSCFTISASVGAPYSSRHDAVAAVVGTHACCTTTSYITVLGISFGSLLVILLILCAIRWYLVRRSASRGAAEATATAELEKKQPKGLDADAIAALPEFVFRKEDADGEERELECAVCLGTMADGDAARRLPSCMHVFHRGCVDVWLRERSTCPVCRAEVDVRSSAEGCDEKDQEGCLSRASTSMAWTAQEGAVDEGERDLEAQL >Sspon.06G0002550-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:6582315:6585222:-1 gene:Sspon.06G0002550-3C transcript:Sspon.06G0002550-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSRLVFRPAAMHWRAGFAFAAALVLLLAFSVSAADQVANGAAGNGTGVGADRLDRRTKMFLHHTAGARAAGVQDTGLGLFDAFFASLSMIVVSEIGDETFIIAALMAMRHPKSTVLSGALSALVVMTVLSTGLGRIVPNLISRKHTNSAATVLYAFFGLRLLYIAWRSDSKASQKKEIEEVEEKLETGQGKSTFRRVFSRFCTPIFLESFVLTFLAEWGDRSQIATIALATHKNAVGVATGATLGHTICTSIAVVGGSMLASKISQGTVATIGGLLFLGFSLSSYFYPPL >Sspon.04G0025220-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:28966705:28970834:-1 gene:Sspon.04G0025220-2C transcript:Sspon.04G0025220-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGFVDPFVPESAWPQDTMFIGSSWPGSAPSLADPAGTYLGAAAAPPDQFHLQTGSSTALLNVGAKEIVSPMELHEQFLSAHLPDDVAQGLTFEADSVLSTPCAISLADSAPVVCSSNDSSGSEQSGLPRFLLGEQPAWPPSTFPQISSLVGEETTQSFGFSGAVSNNDLLRDGKTYPQLGHVPSAPLQLHDDVEFNTGKMLSFTPGLGQQVNTSTNFGDLQVSQKEFSGLHHLNLSGQQLSSFNATGATHNPEQLRREKISDRMKNLQELVPNSNRTDKASMLEEIIEYVKFLQLQVKVLSMSRLGATEAVVPLLTESQTESSGGLLLSPRSGSGSQRAGGCSLSSSEARDGAAFEHEVAQLMETNMTTAMQYLQSKGLCLMPIALASAISDQKGASSAAVQPENAGAKEMLRAVKPLGSPIQGR >Sspon.06G0009460-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:50607246:50610096:1 gene:Sspon.06G0009460-1A transcript:Sspon.06G0009460-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPEDAAAQGTLGGGDAAGDRLSRLPDKILLWVMSHLKAWEAVRTCVLSKRWRHLWASANRLDIRKPCPCVDGDIGADHPRTTAFAEFVKNLLHRRRQLVPLDSLRLCWSHEARDGNANSWIAYAVRHGAEEIELSGEHHVVYPSPEYTSFVVADDDFIKTRLKILKLIHVRLDDTTLTQLSARCTCLEEIELKDCQIPEAAKIRTTKLKRLAMIKCKIPNGCLVYAPNLVSLCCSRPFGYVPWIENLGSPGIVNIIMHRTPDVYPEYTDPVSCNLKILQLSHVHLDDTTLRQLCSRCTSLEGLELKDCSVEGREIGSISLKYLTMISCKFAIGFRVHAPNLVLLRCIKPFQHFPQIQKMEFLVTAAIVLDDSCLLSDCQWPQEEDESDDNSNNESDGDSGDSKHNESDGSSIYYDSDRERSAPSDEEDDDCTLSYCVIAEDRYRESKYLINGHKRRGDEPVRSCDGEYGSKISSDFGGVGMLCSLSHVKTMDLLAHPAEVLLTRELKSTTEFKNLKILSLGEWCITPGFDELASILGHSPNLEKLFLHLDMAYNKRLGFIQSTRSFVCTKLKMVKITCCKRDVMVHRLAEFFSENSIPAEMIFVHRTACSGCQGRKAPGEAQGAK >Sspon.06G0018810-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:61075392:61077596:-1 gene:Sspon.06G0018810-3C transcript:Sspon.06G0018810-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPSQAIAPTDAELLQAQADLWRHSLYYLTSMALKCAVELHIPTAIHDLGGSATMPDLVTALSLPKNKLPFLGRVMRLLVTSGIFSSDGSSGDGAEAVYRLNPLSWLLVEGVESEDHTYQKYFVLGTVSRHYVEAGLSLADWFRKDLPEPQPSPFEDLHGVPLVHESTKLLDEELDRIVEEGVAAHDNLAIGTIIRDCSDVFSGLHTLTYCCGRQGNTSAKAIIRAFPEIKCTVLNVPRVVETTTPVAVPADDAVSNVTGDLFHTIPPAQAVMLKLVLHFWSDEDCVKILEQCKKAIPSREEGGKVIIIEILLGPYMGPVMYEAQLLMDMLMMVNTRGRQRTENDWRQIFIKAGFSDYKVVKKIGARGVIEVY >Sspon.02G0003880-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:12169028:12178792:1 gene:Sspon.02G0003880-1A transcript:Sspon.02G0003880-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLLTSSSLPALPLPPLAASPRSRLRVAASTAASAQDGAGATARGTSAAGFPSFLPQAVERIRDGAAIRLAKRIERVPVQASQFLPILVCYRFLGSAIPSSCVRPLKQQQDADPVVLLHGFDSSVLEWRYTYPLLEEAGLEAWAVDILGWGFSDLETRPPCDVASKREHLYQFWKSYIKRPMVLVGPSLGAAVAIDFSVNYPEAVFILKSLPLRFLATSLAFNETPNEFFDWVQMGANMINPKFSDLY >Sspon.07G0007520-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7B:17586757:17587884:1 gene:Sspon.07G0007520-2B transcript:Sspon.07G0007520-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSRVSSPGCLLAAHCALLLVLAGAARGHSPSAGAALSSAFYGESCPGAYDVVRRVIQDARVSDPRIPASLIRLHFHDCFVNGCDGSLLLDDDLPAIQTEKNVPANNNSARGFPVVDGIKRALEEACPGIVSCADILALAAEISVELYLFSYLLALTELTEKNRARTNWTQAGGPRWRVLLGRRDGTTTNVQSANNLPSPFDSLDKLKEKFRNVNLDDTDLVALQGAHTFGKVQCQFTRQNCSAGQPHGALENLDQVTPTVFDNKYYGNLLHGQAQLPSDQ >Sspon.05G0018970-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:83161176:83165301:-1 gene:Sspon.05G0018970-2B transcript:Sspon.05G0018970-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DGD1 [Source:Projected from Arabidopsis thaliana (AT3G11670) UniProtKB/TrEMBL;Acc:A0A178VKL1] MASFGVDTRPAAAASGGGSGSGVAGTTGEGALSFLSRGLREDLRLIRARAGELETFLSAPVPEPELFARLRRAYSSSASSARTRLDLSAFGKAFEADAWRGARAARWRWETEEAEEWEPVRMVKARLRELERRRQAQSPSDMLHKLKLSLKSMTFAPDASEDVPPLDLGELLAYFVKQSVPLFDQLGIKRDVCDKLVESLCSKRKDHPAYRFLSASEPSSLRNDNVGDELDLRIASVLQSTGHHYEGGFWSDGHKSDISGKRHVAIVTTASLPWMTGTAVNPLFRAAYLAKSSKQDVTLVVPWLCKSDQELVYPNSMTFNSPQEQETYMRNWLEERVGFKTDFKISFYPGKFQKERRSIIPAGDTSQFIPSQEADIAILEEPEHLNWYHHGKRWTDKFNHVVGVVHTNYLEYIKREKNGAIQAFFVKHINNLVARAYCHKILRLSGATQDLPKSMICNVHGVNPKFLEVGERIAAERESGQQSFSKGAYFLGKMVWAKGYRELIDLFAKHKSDLEGFKLDIYGNGEDSHEVQSAARKLNLNLNFHKGRDHADDSLHEYKVFINPSISDVLCTATAEALAMGKFVVCADHPSNDFFRSFPNCLTYKTSEDFVARVKEAMTRDPQPLTPEQRYDLSWEAATQRFMEHSELDKVLNSDISECASESGKSANTRMRRSASAPNMSDVVDGGLAFVHYCFTGSELLRLSTGAVPGTRNYNKQHSLDLHLPPPQVQNPVYGW >Sspon.05G0024990-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:19467318:19472391:-1 gene:Sspon.05G0024990-1B transcript:Sspon.05G0024990-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPAAKARAPKHLVALAVVAILGLVLVADYLWASSSAVWSSRLNLHQPRRFDAARREEAPELKWEEMAEAPVARLDGAAIQIKNLLYVFAGYGTINHVHSHVDIYNFSDNTWGGRFDMPKDMAHSHLGMVTDGRFIYVVTGQYGPQCRGPTARNFVLDTETKEWHDLPPLPVPRACVVANDKLLVIGGQEGDFMAKPGSPIFKCVRRSEVVYSNVYMLDDGTTWKELPPMPKPDSHIEFAWVNVNNSLVIAGGSTDRHPITKKMVMVGEVFRFNLDTLEWSVIGRLPFRIKTTLVGYWDGWLYFTSGQRDKGPKDPSPKKVVGCMWRTKLHL >Sspon.03G0000010-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:6856896:6867582:1 gene:Sspon.03G0000010-2B transcript:Sspon.03G0000010-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRWVLAIAILAAASAAALFIGAEAQAVQQGHQTERISAWFYDQVYSFPYLCAKPNAVGNKNAGSAGDVLEDNPVGRLKVYVYDLPSKYNKKLLKKDPRCLNHMFAAEIFMHRFLLSSAVRTFNPEEADWFYTPVYTTCDLTPSGLPLPFKSPRMMRSAIELIATNWPYWNRSEGADHFFVTPHDFGACFHYQEEKAIGRGILPLLQRATLVQTFGQKNHVCLKDGSITIPPFAPPQKMQAHLIPTDTPRSIFVYFRGLFYDTSNDPEGGYYASQSLQYCVLGLISDHSACSTTEVLVHHVLLVPIGLGSMEPRLVEAVVFGCIPVIIADDIVLPFADAIPWEEIGVFVAEEDVPKLDSILTSIPTDVILRKQRLLANPSMKQAMLFPQPAQAGDAFHQILNGLARKLPHGDNIFLKPGERVLNWTAGPPGDLKPCINQSHELPAASPVGSAGDVLEDDPVGRLKVYVYELPTKYNKKMVAKDSRCLSHMFAAEIFMHRFLLSSAIRTLNPEEADWFYTPVYTTCDLTPWGHPLPFKSPRIMRSAIQFISNRWPYWNRTEGADHFFVVPHDFGACFHYQEEKAIERGVLPLLRRATLVQTFGQKDHVCLKEGSITIPPYAPPQKMKTHLVPPGTPRSIFVYFRGLFYDTANDPEGGYYARGARASVWENFKNNPLFDISTDHPPTYYEDMQRAVFCLCPLGWAPWSPRLVEAVVFGCIPVIIADDIVLPFADAIPWEEIGVFVAEDDVPKLDTILTSIPMEVILRKQRLLANPSMKQAMLFPQPAQPGDAFHQILNGLARKLPHGEGVFLKSGQKVLNWTEGPRGDLKPW >Sspon.06G0006150-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:20168699:20173595:-1 gene:Sspon.06G0006150-4D transcript:Sspon.06G0006150-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA repair protein RAD4 [Source:Projected from Arabidopsis thaliana (AT5G16630) UniProtKB/Swiss-Prot;Acc:Q8W489] DGIYLHPVLQVETASPLGNARRKGRPKTESKDNSSVETCSAGSCEKNNLEEQTESLDNNDAAEMDWQEGHVEHIEYSDELRETITVEFNDVPSSTTKKGVRRPTAEEKELAELVHKVHLLCLIARGRVVDKACNDTLIQASVLSLVPYHLLWSLADVPNLKAVSLCSLVSWLNVLYLQFHRTFCVTAQSSNRGSFESNLAFTIQDHVGTAEEVCALSVALFRALNLTARFVTNLDVAGLKPDTKVKGTFSQDASRLCTRALPCSSTFAADNVISSPALLKDNSQGSVSMNQQRGDLGKSKQTSACKRSLSKTLSSIKSDHESSCASTSKDNSASDQFPSSNNAEVPKRKGDVEFELQLEMALSATAAETQNNKLATHMSQSTVSFQDSSPPLKKLRQNAEALSSTSAIWSRSAGAPLYWAEVYCGGQASTGRWVHVDVVNDLIDAERKVEASSAVCKKPLRYVVAFAGNGAKDVTRRYCLQWHRIAQGRVNSEWWDNVLAPLKQMELAATNNYEDMELQTRALTEPLPTSQQAYKDHHLYALERWLHKNQILHPKGPVLGFCKGHPVYPRSCVQTLQSRHGWLREGLQVRENELAAKVVTRPKRTFNAQSVQSSGNEDGLKPTLELYGEWQLEPLQLPHAVNGVVPKNERGQVDVWSEKCLPPGTVHLRLPRLFQVAKRLGIDYAPAMVGFDYRSGRCLPVFDGIVVCTEFKSAILEAYAEEEERRWAEERKQEEAQALSRWYQLLCSVVTRQRLKDSYKTPSHGLGNEGLPENDNVHRSTRSSGSSEREPSSSKLQTDDDHEHEFPEEDQSFDEETFVRTKRCPCGFSIQVEEL >Sspon.02G0007460-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:21885319:21890951:-1 gene:Sspon.02G0007460-1A transcript:Sspon.02G0007460-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRGDLIIWKLQRRRRPSNSPHCAAAVVPRSAPRKAPFRLSGSFVPSTVHSFRLSLAASPKLKVRVGWASTAAAFKIPEASNEIAKVLCVRNEISKLSRKLESMRAIITDAEQTVVQNETTRDWLKRSREVIYEAENIIDRCRIEKERFQTSQPQECNPSSVFKCCRDVGIDYIVTSDIHELNQKLDSIIEEDLDFAPDLEPDIVGREVENDCENLIELLIKRDDIPTRPNRPLLAIIGTIGVGKTTLARKVYHKTETFFEPRVWVHVSKDLQHMTMWSGERFSKGDTARLQAELRTWLQGNKFLLVIDDVWGENVWDGLLEIQAQHGSPGSRVLITTRNERVARRMGAVHLHHVKGLNEDDGWWLLRTRAFLDESTGNMQDIGRRIVQKCNGLPMAIRRIGCHLREVDLKADDWERIYSSDFCGLSSRIRNAINTSYLELPYYLKRCFLYCSLYPEGSVIDRQCITQQWIAEGFIVTQQNTAVEEEAKKCYEELLGRGLLLPENQTCGAERSKMPHLFRSFALLQSQDEYFIGNPQDIGDTLRPCRLTITTGGVEAIRNGIRKLKTLRTIILCGSPLNDTILGDIFQKCTHLRVLDLGDTQIECVARSLGSMMHLRYLSFANTQVREIPSSIENLRMLQFLILKNCTCLNALPESLGRLTNLRTLDISGSGLNQVKFGFSMMKELKCLQGFLVSSRGSENRNGWSFQELGSLYKLTSLKILRLERTLTMEDAVQSALEAKHHLKELELCCSTDDGKTEISRAAKIKDVFEALKPGPSIVSLKLENYYGHGFPSWLDPFHLRELKQLTLDGCLHCQYLPSLGQMKNLKFLSINGSNLLTYIGHEIRGTPDDVVAFPKLEQLVISKMSNLKSWQGLEKRDMPSLMNFMIIGCPKLDSLPSWLKHCMALRSLHIDHADNLEAIENIPALKELEVCENSKLKVISNLGRLEDLKVVACLLLDVVQDVPSLRTVHSNEKNSTKLPQWLQPEKPFMLRRLEIVGTEELLDSCSSATAPYWPIIQNADHVYANLPDGSFYFSYAKSSSYFHKSARNLARSSLLSSASFIVPILPQAEEVVSTDEIRNISEPTGQSSSQSWMRILFTVLLFVAAHIFSLSSEY >Sspon.02G0027650-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:105637730:105638656:1 gene:Sspon.02G0027650-2D transcript:Sspon.02G0027650-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SMGRHALAKSASLLREVKNKQRTNLVKRLEPAEARSPETSLWVPHPRTGIYYPKGFEWVMEDVPSSAASFQQSYWFRTGEAEPASSTTSKNDSASFDHPFA >Sspon.02G0030730-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:93069924:93073814:1 gene:Sspon.02G0030730-4D transcript:Sspon.02G0030730-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGTAAGAAEGAVRRWVDAAGGRLVHMDYLEAGANILITASYQATIQGFESKGFSKEQSEKLLTKSVEIALEARDMFLKEHLEKSIPIQHPILVAASIGSYGAYLADGSEYSGDYGEAGTKEFLKDFHRRRLQVLAEAGPDLIAFETIPNKLEAQAYVELLEECNINIPAWLSFSSKDGVHIVSGDSLIECTTIADKCAKVGAVGINCTPPRFIHGLILSIRKVTDKPILIYPNSGERYDGEKKEWVESTGVSDGDFVSYVNEWCKDGAALIGGCCRTTPNTIRAIQRTLNQGFNEQHLPVA >Sspon.02G0001850-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:5346664:5348016:-1 gene:Sspon.02G0001850-2B transcript:Sspon.02G0001850-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGARMLSVLLLLVVAGGAAASRSSSSSSCPATPPDAGNTLQVSHAFGPYSPLGPGMAAPSWAGFLADQASRDASRLLYLDSLAARGRARAYAPIASGRQLLQTPTYVVRARLGTPPQQLLLAVDTSNDAAWIPCAGCAGCPTSSAPPFDPAASTSYRPVPCGSPLCAQAPNAACPPAGRASCGFSLTYADSSLQAALSQDSLAVAGDAVKAYTFGCLQKATGTAAPPQGLLGLGRGPLSFLSQTRDMYQATFSYCLPSFKSLNFSGTLRLGRNGQPQRIKTTPLLANPHRSSLYYVNMTGIRVGKKVVPIPAPALAFDPATGAGTVLDSGTMFTRLVAPAYVAVRDEVRRRVGAPVSSLGGFDTCFNTTAVAWPPVTLLFDGMQVTLPEENVVIHSTYGTISCLAMAAAPDGVNTVLNVIASMQQQNHRVLFDVPNGRVGFARERCTAA >Sspon.03G0003900-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:9957493:9961787:1 gene:Sspon.03G0003900-1A transcript:Sspon.03G0003900-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWGDRAKASAAAVAADERAGGGEVLGGYVKVMTDEQMEVLRKQISIYATICEQLVDMHRTLTEHQDTIAGLRERLRRRLLPKPCQTPQFRRAPAKEPGGAGMRFSNLYSDHLIIPGGHKITARQRWQPTPMQLQILENIFDQGNGTPSKQKIKEITAELSHHGQISETNVYNWFQNRRARSKRKQAASLPNTSNAESEAEVDEESLTDKKPKSDRSLQDNKAMGGHSADRISGMHHLTQIMTKSVA >Sspon.03G0021810-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:85315660:85317478:-1 gene:Sspon.03G0021810-3C transcript:Sspon.03G0021810-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LRVKQKQKHHRAGGQPTAAVSSAGRGASLVSHRRRRPLPYRLARSPPPLRPPSAALWRCLPMSFRGRGRGGRGRGGGFRYDHPAKHAPHEDFPDITLPEMTCAKASKEEKALIVSTLKLEEFWRSSCYYLEEDAPKKRSRRGQASNKKLRWDKDSDEQAFEVFEKLEQKHKARASPCLFIFQILV >Sspon.07G0021620-1P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7D:4036891:4041588:-1 gene:Sspon.07G0021620-1P transcript:Sspon.07G0021620-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKAKGKQRQDKFYHLAKEQGYRSRAAFKLLQLDARFRFLPTARSVLDLCAAPGGWVQVAVNHAPVGAFVVGVDLVPIRPIRGAHSLTEDITTTKCRAAVRRLMDSNGVSAFDVVLHDGSPNVGGAWAQEATSQSALVIDALRLATMFLAPKGAFITKVFRSQDYNAIMYCLKQLFEKVEATKPSASRSTSAEIYIICLKYKAPAKIQPELLDIKHLFSVVPEQTKSRDVMDGRKKRHRDGYEEGNTTLRKVGLASDFIWSDAQAPLEFLGSYNAISFDNPESLPIKNHELTTDDEMEELTSVIDRKKKREKKRLSKRRAKDKARKATGMQIDATGDDYGDPDLFSISVIKGGKELEAVESAELDVEDEIGDSENEDTQAREDSDEEMDSDKEQQRYDAQLEEMLDEAYERFVTKKGGEIKQERKRAKRINPDADADLLEGGEDDGDVEMDQDFDEDQDQETNPLLLSLDEQRPTKEQIVKQWYSQDVFTEAATSVTEQSDTEDERESLQRNKKMDTGKKEKVAKAQCLQQEDFEIVPAEPVRNEDDSSSSSDESEDDLNGYRKAEVLAYAKKMLRKKQREQILDDAYNKHMFDDEGLPNWFVEDEKRHRQPMKPVTREEVAAMRAQFKEIDARPSKKVAEAKARKKRVVMKKLDKARQKADAIADQNDINERSKRKMIDQIYRKAMPKKPQKEYVVAKKGVQVRAGKGKVLVDPRMKKDKRAGGTGKKGKKGGKGAKGK >Sspon.01G0007320-3D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1D:29935951:29936049:1 gene:Sspon.01G0007320-3D transcript:Sspon.01G0007320-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MITALPAARPSSIIRKRSLGERKSLRYEHDNIY >Sspon.01G0006790-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1A:18159738:18163474:-1 gene:Sspon.01G0006790-1A transcript:Sspon.01G0006790-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKKRRTGEKLEKDVEDTKGTAAAIATGVTASAIAASYNDQIRPVLDAVDRLRHLKVTQEGIQLPTIVVVGDQSSGKSSVLESLAGISLPRGQGICTRVPLVMRLQDDPSADSPKLQLEYSNGRVVTTTEATVADAINAATAEIAGSGKGISDAPITLVVRKRGVPDLTLVDLPGITRVPVQGQPEDIYDQVAKIIKEYIAPKESIILNVLSATVDFPTCESIRMSQQVDHTGERTLAVVTKVDKAPEGLLEKVTMDDVHIGLGYVCVRNRIGNETYEQARVEEERLFKYHPLLSKIDKSMVGIPVLAQRLMQIQAAIIAKCLPDIVKQINERLSRSSAELDQMPQDLSNVADALRVFFHIVKQVCNSLEKVLVRGDFAEYPDERDFHGTARIADMLSGYAKSLPAQCPTNGNEPFLMEEVRILEETKGINLPNFLPRSAFLVLLKKKVETIDQVPQDLVNGVWEYVEELVMKVLLKHSDNLPQMQSPCRRAVQTLMDKARLRSAQHVKELIAMELVADYTANPDYMKTWADIMEGYKKFMEAVKDKSKPTRITLEGFGEVDVSHLRVYADLAGKAFDLRARLTAYWKSIVLRLVDGLALHVLLSVKLLVEKDLEEELGNELLSNKFAGVEKMLAPSPSTETKRERLKKSIVLLRQSKEVCTSLEKILVRGEFGGCPDDCYFHGTARIAEMLNRYAKKLPTECPRRSDENFLTEEMRVLEETMGINLPQLPAKIGVPCQDLVNEVWEYVEDLVMKVLLQHSENFPRVQSSCRCAIQSLMECSLMEKIYSRPLPKLQYHRPILRIGPTCPFLGLLQKTRARSAQHVKEMIEMELVADYTANPDYMKIWEAIMEGQKKFMDSVENKSKPSKITLECFGEVDSIVLRLVNGLALHVLCGVKRLVENDLEDELANELLGNNMAGVERMLSPPPSTRTKLDRVKKSI >Sspon.08G0024560-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8B:64635719:64636578:1 gene:Sspon.08G0024560-1B transcript:Sspon.08G0024560-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQLRGARLRGDCNSDGGAKEVPSRRWDGDWCWHAAHQAWAAISLRQPGGGHEIGRHRANGGGDLGIRTSRIADDDVSSSTLGIQKVHCYMVSYIMEAISSSGTNRNIRRRPARMSVRACSTASM >Sspon.06G0026180-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6C:74829043:74829525:-1 gene:Sspon.06G0026180-2C transcript:Sspon.06G0026180-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAKRQICLPRVPSAGNDVCHLLCVPAHGGGARRLFGVRCVDRHLHRAVPRGHRKRRRAVISAAVRRGRASFFSWFFYLCVDFGPIVSGLLIMWIQDNISWGLSIGTATAFAAFLARVEEFCYIAQLELFYAEAPDTMKSTCMSLALLSIALGSYLSSFI >Sspon.04G0030690-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:2162102:2166421:-1 gene:Sspon.04G0030690-1C transcript:Sspon.04G0030690-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQYKNLGRSGLRVSQLSYGAWVTFGNQLDVKEAKALLQACRDAGVNFFDNAEVYANGRAEEIMGQAIRDLGWRRSDVVISTKLFWGGQGPNDKGLSRKHIVEGLRGSLRRLDMDYVDVVYCHRPDASTPVEETVRAMNWVIDQGWAFYWGTSEWSAQQITEAWAVVNRLDLVGPIVEQPEYNLFSRHKVESEFVPLYGTYGIGLTTWSPLASGVLTGKYSKGNVPADSRFALDNYKNLANRSLVDDTLRKVNGLKPIAAELGVSLAQLSIAWCASNPNVSSVITGATKESQRITTALSKHVYMIVENMKALDVIPLLTPEVLDRIEAVVQSKPKRTESYR >Sspon.01G0001800-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:4771790:4775972:-1 gene:Sspon.01G0001800-1P transcript:Sspon.01G0001800-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GGGGSGGRRGARLLEAPDRDAGRDGGGPGAARLPRPVRAPPHPAQQVPRRRVLPPLEVRARAPRLREVRVRARHGADAQDAEDPRGAGGQGQGRRLHWPHPCHRKARLM >Sspon.01G0000300-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:1360353:1366028:-1 gene:Sspon.01G0000300-1P transcript:Sspon.01G0000300-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRRQKRLIRRQDNSGIGCMAGLIRMFYSRHDANKLLLDRKQGSSRRHTFGGFPGRSHSRKNSKDLDEIAEYDNDVEESSSSKPTTVKRLMEDELGKLKQLKIPNDEVQRILADLGHGACLDKSSTQNSKAKGNQNHSRSITMAAPSGSLDPTGSSCMKEAEENELEFALADFLGQIHREHDDQPHKNCKNNGELCTELKVLIQTKIAELDNPRCTLAYEQTPQGEEKDTADGKHLCSSSETQPKKFRDALEMLSSDTELFLKLLQKPNSHILESVQRHQNRLIGTRLEPTKMADNTDSNRDTKSLNQHELTTKTHGKESRHIFFWKKERSNRRQTAEGTSSSSQLVNKIVILKPNPRREIDHAVAVSSTQAPKLGATESSKFSIEEVRRRFRIVTSEATKGRPQCLKITSKKINIVSKLAEQTSEGKSSSTVIKDFRSSNSSRQKKRNDGSTEINSSIITSSKDEFVFYDEAKKHLTEILKDKRQTTKHPTLQISMSLVRMLSLPQSSTSSPRSSPRAKDCIYLSPEETSIHAIYKSKREEFLKEESQSGEFSESVVCDPSEALHEQAVQERCCVKEESQKPTQEGAELDTLCPEEIDKLDCMEKNRNAWCTPAKQCTYKPSQDMVEEAEPGQLPENDFEKLECQEPTTPRSSAPIEHISQFSPDGNHEKQEQPSPVSVLDVFFHEDVDSTDTENMIECELHKDILRTQYTTGDGSDQGIFWDWEDKDLRLGYIKELLELSELCTNQNLEVWYLEDELISPCLFEELHQGNQIEDTKLLFDCICEAVTEIQDIYFRSPPCLSSLRHSIRAPPVGQNLISEIDKHVKRHLHYQFPSTLDQLVNMDLEGGSWMDLLSESEEVTVIIWDCILDELLEEISKPCHIHAGQKRTESKGQRKIIPVMDDASHQQASSSVSIASGLSRIGSGRKLNGGWDLHAPQS >Sspon.03G0027080-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3C:17601414:17605637:1 gene:Sspon.03G0027080-2C transcript:Sspon.03G0027080-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At4g19220, mitochondrial [Source:Projected from Arabidopsis thaliana (AT4G19220) UniProtKB/Swiss-Prot;Acc:O49680] MRRHTPGLLAAKLLRASSLLRPPSVLAPRASLFHHAPNDAHHLLDETPHGRAAAIVRALRSAYSSDDTDCIACLHCVSIKSGAVLDPPVRTSLLAAYARAERGAGAGAALALFREAVAPDVILWNAAIGAMTMTCRYDDAAALFRRMARELGEFDSTTVVVMLSGASRASNLRRGMELHGMAAKRCLGAHCLSVWNALVDMYAKSGDFDSAEVVFQSMPCRDTTSWNSVISGSIFNGLAEDAAWYFREMICSIFQPDEVSLSSVLSACSRLDDLFSSGESAHSCAVKLGYETASCSVTNSLMTFYSEFGMPEAAEKVFASTLNRNLVSWNAMIRGLVQNERITEALAVLREMRLENRPDVATLVTIISGCGDQGLLSDGKALHGYIIRIGLLHEESSVGNSLLGLYLKCNEPSSASLLFRTMPRRDLISWNTMISGYSRNDLLREEAQLMFKELLSEGLSCSLTTMLAVIPSCSNPEELSFGQTLHSFILKCGFTCSGVSAVNALMHMYMSCGDPLAAFSLIERLIPVTDIVSWNTIIVGCLQNGLDKDALEAFQFMYCSLAIKPDSITLVSVLSACGNLNLLAQGKSIHCMILKHLLASNLRVKNSLLTMYFRFGDTRSAELVFYSMGDTNLCSWNCMISGFAQNNKGWRALQFYQKMEDSAPNEITVVGIICACTQLGDYRQGKSIHGHVVGSGLHNNVFISASLVDMYCKCGRLDIAVRVFEASAEKSIAGWNSMISAFGFHGHGLKSIELFWKMNDSGMKATKSTFIALLSACSHSGLVDEGWKYYRLMSEKFGIIPAPEHHVCIVDMLGRAGRLEEAHKFVESLPSQQAHGVWGAMLNACSSGSELKMGESIAKHLLHLEPGNSGSALGSYSTSWRLGEEDEGYRPEKKGDGRRRRQEAAASPAKPAASLESHSHHHHQGKLEDGRSAEGSRGRLAGVGTGTAAPSHYIVKLFAAGRPSYSQSHSSCPCRRSLLAASVSSSAALPFCF >Sspon.01G0019220-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:69451921:69465657:-1 gene:Sspon.01G0019220-4D transcript:Sspon.01G0019220-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLHSSACRGSRLLSSCSSSGGSSQFLLSRFASTPHKTLGAPRTLVVMSYRGGRGGGGPNSHRGRGRGGGGGWGGRGGGGGGRGEQRWWDPEWRAERLRQMHGEVEKVDENEWWNKIGQLREGSQQELVVKRNFGRDGQNILANMAQRQGLYFNAYNRGKTLVFSKVPLPDYRADLDDRHGSTQKEIKMSNQTEARVEDLLSRSKWNTNNSASTSTVSMRQFLPSASSSVVEPAAPIDKEKLSSQLRDLQNSRKTTASARSMQSFREKLPAFSMREEFLKAVAANQVLVISGETGCGKTTQLPQFILEEEINNLRGSDCSIICTQPRRISAVSVAARVAAERGEELGEAVGYQIRLESKRSAQTRLLFCTTGVLLRRLVQEPDLIGVSHLLVDEIHERGMNEDFLIIILHDLLPRRPDLRLVLMSATINAELFSKYFGDAPVMHIPGFTFPVAELFLEDVLEKTRYRINSERSSRRKRFSSVKSDPLSDVFENIDINKEYGNYNITTRQSLEAWSAAELDLSLVESTIEYICRYEAEGAILVFLTGWDEISKLLDKIKGNNFLGSPNRFLVLPLHGSMPTVNQREIFDRPPASMRKIVLATNIAESSITIDDVVYVIDCGKAKETSYDALNKLACLLPSWISKASAHQRRGRAGRVQPGFCYRLYPKIIHDAMQQFQLPEILRTPLQELCLTIKSLQLGAVSSFLAKSLQPPDPLSVKNAIELLKTIGALDDTEELTSLGRHLCTLPLDPNIGKMLLMGSVFQCLDPVLTIAAALAYRNPFVLPIDRKEEADAVKRSFAGDSCSDHIALLKAFVAWKEAKRSGRERTFCWENFLSPMTLKMMDDMRNQFFDLLSDIGFVSKTTGVKAYNHYGNDLEMICAVLCAGLYPNVVQCKRRGKRTAFYTKDVGKVDIHPSSVNAFVNQFPLPFLVYSEKVKTASIYVRDSTNISDYALLLFGGSLSPSKTGEGIEMLGGYLHFSAPKRTIELIQKLRGELDKLLQKKIEEPGLDIFSQGKGVVAAAVELLHSQNFNH >Sspon.02G0010440-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:28812935:28813833:1 gene:Sspon.02G0010440-1A transcript:Sspon.02G0010440-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRTDLWWPGGAACPCSSEPIFGDRAALLPPSWLSGSGRAASPPLTPVVFYPAFPHPAPALYDGGPPAKHGLGATDQGARSGPTHGRWAKYGGDQTNILPFDL >Sspon.05G0011190-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:26433975:26434586:1 gene:Sspon.05G0011190-2B transcript:Sspon.05G0011190-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNPSASPPPAAPATAATRRRLRRRRQLLPSSSYASSAVPTSASSSSSSSSCASSGLSFSFPSFSPAPSPFHHRFFLSPLRASAVPFSWEHRPGIPKTPARQGQAARGSGKTAKTAAAALPRPLPLPPSLLSSKVGAADAADGYFIVPDDDAKARRRRRRQRPPALAATLTDWLAVLSLYRSCTRSRDCLAGTPPPRPRAPAKF >Sspon.08G0027640-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8C:53441611:53442129:-1 gene:Sspon.08G0027640-1C transcript:Sspon.08G0027640-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQWIMHRIEQWTWAATILQSSNVAWQYGVSGPFWYASGATIQVLLFGVMAIEIKRKAPNAHTVCEIVRARWGGAAHAVFLVFCLITNVIVTAMLLLGGSAVANALTGINVYAASFLIPLGVVVYTLAGGLKATFLASYIHSVVVHVVLVVFVFLVYTSSHRLGSPRVVHDHL >Sspon.07G0011700-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:39593826:39598164:1 gene:Sspon.07G0011700-3D transcript:Sspon.07G0011700-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRMQIECGLSFSRQRTTCAVAGPERQLHDATPQAVVHAREDATCAVMARRAQTTAHHANGGRQCGHDEPIVWLATTRNVRDGAGTLAIHPSYPPCIRALICHLPCKACGSGKKESPVPRRPHGKSQESRGPAAAAGGHAPDSPVRPRFLACPPTRSPSRFSPFPRIKPRPDPDDRSRRTRPRPARSISTAESSSAASSGLDFEDTALTLTLRLPGSDPDVRSAPPPPPTPPPAAAAAAPRGPPRLRRPPRTYAAGSFLDFAGRFNLGLIRRRCRNRRNALPSRGKFVKVARKVDLEAYAGYDQLLAALQDKFTSHFTVRRKCVRRRDFSAACLVFLVGCCLSMTDGWIYAGRVGNEEMALVDVVSGAEYVPTYEDKDGDWMLVGDVASVGRIVSCRCSVYIVIASATKPRATDAL >Sspon.07G0024710-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:16703169:16704005:1 gene:Sspon.07G0024710-2C transcript:Sspon.07G0024710-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPGPDAPAGGGGTNSSAPAEAGPSPSSSSAAAAAAASSSSRQQAEQEAPPQQQAGAQQPAAAPAPPPAQPQAQPQPLAQQPPPAGLSRYESQKRRDWNTFLQYLRNHKPPLTLARCSGAHVIEFLRYLDQFGKTKVHAEGCAYFGQPNPPAPCACPLRQAWGSLDALIGRLRAAYEESGGRPESNPFAAKAVRIYLRDVREAQAKARGIPYEKKKRKRGTAAAPPVAPPPVVTAGTTSGAGGGEEEEDDDDEPSPSAGEPQQPASASAPPPASTSSAR >Sspon.01G0019360-3D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:70058718:70060392:1 gene:Sspon.01G0019360-3D transcript:Sspon.01G0019360-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding QEPVKTKHPQLHYESKVYMLLQGGNGIPHLKWYGVEGEYNVMVIDLLGPSLEDLFNCCNRKFSMKTVLMLADQLINRVEYMHSKGFIHRDIKPDNFLMGLGRKANQVYIIDYGLAKKYKDLQTHKHIPYRENKNLTGTARYASVNTHLGIGEHN >Sspon.01G0051070-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:11569433:11594500:1 gene:Sspon.01G0051070-1C transcript:Sspon.01G0051070-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRRRSGGGGGVLDVARRLMLLSLACCCSIWPGGRIFAAADTDPNDLNVLNTLFINLNSPGQLTGWQANGGDPCGQSWKGITCSGSGVTKIILPNLSLTGNLAYNMNNLGSLVELDMSQNNLGGGAQIQYNLPNMKLEKLNLAGNQFGGNLPYSISTMPNLKNLNHNQLQGNISDVFSNLYSLSELDLSFNSLTGDLPQSFTGLSSLKKIYLQNNQFTGYINVLANLPLETLTISHNLLASRNVANNHFTGWIPSQLKKINSIQTDGNSWSTGPAPPPPPYTAPPPPPNHWNGAGQNGDGSSSSGGRSGIGGGGVAGIIISLLVVGSVVAFFLIKRRKRKAAMEEHFEQHQPFTSFPSNEVKDMKPIYESTSVDIESLASPASINLKPPPKIERNKSFDDDDDFSNKPIAKKSNITPIKATVYSVADLQMATDSFSFDNLVGEGTFGRVYRAQFNDGKVLAIKKLDSTVMPFQSSDDFAELVSNISKLHHPNLNELVGYCMEHGQHLLVYDFHRNGSLHDLLHLSNEYSKPLSWNSRIKIALGSARALEYLHEICSPSIIHKNFKSSNILLDSEFNPHLSDAGLASFIPDAEFQAAEQSAGYTAPEVDMTGQYTLKSDVYSFGVVMLELLTGRRPFDSSKPRSEQSLVRWATPQLHDIDALDRMVDPALKGLYPAKSLSRFADVLALCVQPEPEFRPPIIWPGGRIFAAADTDPNDLNVLNTLFINLNSPGQLTGWQANGGDPCGQSWKGITCSGSGVTKIILPNLSLTGNLAYNMNNLGSLVELDMSQNNLGGGAQIQYNLPNMKLEKLNLAGNQFGGNLPYSISTMPNLKNLNHNQLQGNISDVFSNLYSLSELDLSFNSLTGDLPQSFTGLSSLKKIYLQNNQFTGYINVLANLPLETLTISHNLLASRNVANNHFTGWIPSQLKKINRLMEILGAQDQRHRHLRIQHRRLLQTIGTAQNGDGSSSSGGRSGIGGGGVAGIIISLLVVGSVVAFFLIKRRKRKAAMEEHFEQHQPFTSFPSNEVKDMKPIYESTSVDIESLASPASINLKPPPKIERNKSFDDDDDFSNKPIAKKSNITPIKATVYSVADLQMATDSFSFDNLVGEGTFGRVYRAQFNDGKVLAIKKLDSTVMPFQSSDDFAELVSNISKLHHPNLNELVGYCMEHGQHLLVYDFHRIGSLHDLLHLSDEYSKPLSWNSRIKIALGSARALEYLHEICSPSIIHKNFKSSNILLDSEFNPHLSDAGLASFIPDAEFQAAEQSAGYTAPEVDMTGQYTLKSDVYSFGVVMLELLTGRRPLDSSRPRSEQSLVRWATPQLHDIDALDRMVDPALKGLYPAKSLSRFADVLALCVQPEPEFRPPMSEVVQALVRLVQRANMTKRMLDGDTSRRPDDQDQDFI >Sspon.03G0000860-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:8317940:8322218:1 gene:Sspon.03G0000860-3D transcript:Sspon.03G0000860-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl serine alpha-galactosyltransferase [Source:Projected from Arabidopsis thaliana (AT3G01720) UniProtKB/Swiss-Prot;Acc:Q8VYF9] MNGQAPAQYKQVDFVGLGAVWLRYPAINKPAGIVHWLKHSPEADNVDWVVILDADQIIRGPIIPWELGAEKGKPFAAYYGYLKGSQLHTAHPEFCDKVGGILAMHIDDLRALAPLWLSKTEEVRQDKSHWSTNITGDIYGMGWISEMYGYSFGAAEVGLRHKINDDIMIYPGYTPRPGIEPLILHYGLPFKVGNWSFSKLEHHEDGIIYDCNRLFPPPPFPREVEMMESDPNIKRGLFLSIECINTLNEGLLLHHASVGCPKPQWSKYLSFLKSRRFSELTKPKYWKGQQVDSIVTMQHVALSKANSEYPKIHTLFSTECSSYFDWQTVGLMHSFRLSGQPGNITRLLSCTDEDLKNYKGHDLAPTHYVPSMSQHPLTGDWYPAINKPAAVLHWLNHVQTDAEFLVILDADMIMRGPITPWEYGAKRGHPVSTPYEYLIGCDNILAKIHTRNPSACDKVGGVIIMHIDDLRRFALLWLHKSEEVRADKAHYATNITGDIYNSGWISEMYGYSFAAAEINLRHIIRRDIMIYPGYVPLPGAKYRVFHYGLRFGVGNWSFDKADWRNADVVNTCWAKFPEPPDPATIMEQDLDARERDLLSIECGRALNKALYLHHKRRNCPRLDTIRTTSKTTDQVSASNKIERVAQMSRSISRGNIESMDEGREKTVERTAATVQPLHRSRRLARSSRMWIIAVWALSIVVFLLVISMFFTEQRRNVSRSRFSLAGNCQFVEHVISIQIQ >Sspon.06G0014630-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:80683957:80690420:1 gene:Sspon.06G0014630-1A transcript:Sspon.06G0014630-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VQTDAHTFPFPTFRLRPSSKRPKPQIRGFSFSSKFEVRTQPNETQGGTEKVKSKSTRRRLGGHPPPTPMDAPRGGGHTRRPGPSGAAADQRRAAAAQAMARMEEMMLAHAGAAGEFSIILDAPLPSLQQYRRNPAPPSGAPSAPSSTNSSPFRRGAQGGGGGRDEGVPARLRRDGSGSGHNALGDADTAARASRRGAVDGTGAARPRAGGARREREQEETVEAPVRLTDPRSVRRPVSRGATPPPRSTEVRRVAAQAEEEERAARREREQEEAVEAPVRLTDPRSVRRPVSRDATPPPRSRVAAQEEEEETLLQLLARGGRSSSATRPAEAPEASEAVAVASLPATRPSSRRSRRDVGVKQAVSEVASSVDSDVESVGRWSSRGSEDGGDEVSLPKPLAAVVARDRSRSNSPAISRNGVDSAAANRAPSTGRSTFAPPVGVSVRPLQAVEISNGTPRDRRAVYPDPTFAQSTRSRDSHDSSTLTEELELLKDENVANLGNGLSMEVKLMKRREEMLVRKEQEIRKALISKNGKSEELTTLQQQLQSSREEASAAVKKLKEAESETQELRTMTRRMILSKEEMEEVVMKRCWLARYWGLAVQYGIYPDISMSKHEYWSSLAPLPFEYVTSAGQRAKNGSETESKGLEDVDKLVHDLTVTAGEGNVETMLAVDKGLQELAFLKVEDAVLFALAQHHRSNVAGAADPDIKSSGDEKFTEAFDLSKEEEEDVQFKQAWLIYFWRRAKTHNVEEDIAEERLQMWIDRHGQQPTSHDAVDVEQGIHELRKLGIEQLLWELSRHEVNLAKDEPSDVEDLT >Sspon.01G0000340-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:1348446:1354016:1 gene:Sspon.01G0000340-1A transcript:Sspon.01G0000340-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Branched-chain-amino-acid aminotransferase 3, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G49680) UniProtKB/Swiss-Prot;Acc:Q9M401] MELHLPSRGALSAAPPLSGCLSRHSLSPWLRDSLAVVLLDNPIIPPTPWPDHLRSIQNHIYPMPSLCYKVPSTAKCHASVATSYMETSEVTGLDWENLGFGLIETDFMYVAKCGPDGILSKGEVLPFGPIALSPSAGVLNYGQGLFEGLKAYRKTDGSILLFRPEENAIRMISGAERMCMPAPTVDQFVDAVTQTVLANKRWVPPTGKGSLYIRPLLIGSGAVLGLAPAPEYSFIIFVSPVGNYFKEGLSPINLIVEEKFHRASPGGTGGVKTIGNYASVLKAQKVAKGKGYSDVLYLDAVHDKYLEEVSSCNIFVVKDNVISTPAIKGTILPGITRKSIIEVAQSKGFKVEERLVSVDELLNADEVFCTGTAVVVSPVGSVTYLGKRVEYGSQGVGVVSQQLYKSLTSLQMGNVEDWMGWTVQLNQSLLSWVPERQSCLCWQSCWPVAQLGAVFAL >Sspon.01G0015500-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:46687486:46691120:1 gene:Sspon.01G0015500-3D transcript:Sspon.01G0015500-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGSASATASLSVAAAAAAALRVRRPCARSQAWAPSQRPQKGALLSLKPCASLAPHAPMWRAESDAAGGGAGAGDVMGLLLRERIVFLGNEIEDFLADAVVSQLLLLDAIDPDSDIRLFVNSPGGSLSATMAIYDVMQLVRADVSTIGMGIAGSTASIILGGGTKGKRFAMPNTRIMIHQPVGGASGQALDVEVQAKEILTNKRNVIRIVSGFTGRTPEQVEKDIDRDHYMGPLEAVDYGIIDGVIDGDSIIPLEPVPERVKPKYNYEELYKDPQKFLTPDVPDDEIY >Sspon.03G0015400-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:57918154:57922096:1 gene:Sspon.03G0015400-2B transcript:Sspon.03G0015400-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTATMATAVGGAMLLYFVLSRRLAGEDVSVGGGGGGGGGVGSGKRRRGRSAARRPVQPPATWIEAVGTLAETLRFTYSETLGKWPIGDLAFGIKYLMRRQGNLHVASVYAGSECIELKGPEIMEELIVLRRLIDLCFLFSKKPFPVFRELAGFSQEDVLIEEPKAGILKPAHTILRDECTKSFLVLIRGTHSMKDTLTAATGAVVPFHLSLLDEGGVSKLVLGYAHCGMVAAARWIARGVTPCLREAVRQCPEYQIKIVGHSLGGGTAALLTYILREHKEFSSTTCVAFAPASCMTWELAESGKHFVTTIVNGADLVPTVSTASIDDLRSEVTASSWLNDLRDQIQQTRFLNVVYRSATALGTRLQSFSGARERVAGAGAFLRPVSNKTQVVMKQAQNVAQAVARSRSAFSSWSCMGARRRGVGVVTASSKDDMRAETHVTATVEQCGTKTIEELQCTAASVSVDDTADEEEALLSEHETSRENAEEEITEGELWYEFEKDLDRQAEVEARTREEEAAAAKEIMEEESAVLKGVEDRKSFTSDSLERQQFYPPGRIMHMVAMPPTDADPDDPVAADECSVGIYETPRDLYSKIRLSNTMINDHYMPMYKKMMEILIEKFAKDEDSYCTGSTVE >Sspon.02G0015400-3C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2C:43099962:43100450:-1 gene:Sspon.02G0015400-3C transcript:Sspon.02G0015400-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MIASSPPSPARPPHPAPVSPWGPNSSCRTCFSDFAAVLVVLVCFSCVGLCIHYAARVLLRCLDRRRRAARETQAQEPKPASDAGGASPVAAGVWAEAECAICLAELDDLEGGERVRVRVLPACGHGFHAACVEAWLATRASCPTCRAPSSSRSRPSRTTRAP >Sspon.08G0012450-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:53481006:53486409:1 gene:Sspon.08G0012450-1A transcript:Sspon.08G0012450-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding PAAPIHQLLSLFPASAERASEIQQSNASFQSSAPASKTAKATPFSSPPKPPPPLLSTSPLLSTSQGLTSVTSTDVHTRARSLYSSSSSPGNGSFTAALVSLKPSFKEHFGQRLSVPFLVVNMDFSAGSYFSSWPVNSASESYSLADGSVESYGGEGSMPPSSYFMTVRSDHNLKFSVHEQDSTMLPNEQLTYSGAGQTDLLPGDTLSRDKLCENLLELQRLQNNSNLQSNLVAPGVLQHNSTPGVFHPQLNTPGLSELPHALSSSIDSNGSEVSAFLADVNAVSSAPTLCSAFQNASSFMEPVNLEAFSFQGAQSDSVLNKTCHPNGDISVFDSATLASLHDSKEFITGRLPSFASVQEANPASSGFKTQKQEQNAMCNVPIPAFTARNQMSVAATHGALIPQKIPSWINENKSEGPVSHPSDVQTQPNSAGNGVGVKPRVRARRGQATDPHSIAERLRREKISDRMKSLQDLVPNSNKADKASMLDEIIDYVKFLQLQVKVLSMSRLGAPGAVLPLLTESQTEGYHGQPLSAPTNAQGLLDALDSEDAFVFEEELMETSITSAMQYLQNKGLCLMPVALASAISTQKGVSAAAIPPER >Sspon.02G0034780-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:17480045:17481935:1 gene:Sspon.02G0034780-2C transcript:Sspon.02G0034780-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPIHHCSSVLLAVFLYLSTCYVHGDHANGGHQDLRALLSFKAYNPNATALATWVGPNPCSGTWFGVRCYRGRVAGVFLDGASLAGTVAPLILGLGRIRALAVRNNSLSGTLPPLDNSTVSPWLRHLLLSHNRLSGSLRISLGALLTLRAEHNGFRGGLEALRVPMLRSFNVSGNKLAGEISGDLSRFPSSAFSGNLALCGQPLPKCAHAYDALGSDSSSNATASTVAAQSPNASVSSVSSSNGGFSKISLTALMATGIGNAVLITVSLAISVATFVYVRRKLRSAKDAPDAALCFEEEEKRDDRCHKTGGLVCFEGGDELRLESLLKASAEVLGKGVSGSTKVSVLDGGGARNLDWTARKSILFGAAQGLNYIHTFPARPALVHANVKPSNILLDERGGACVSECGLMRATNIQQCITPQATRTRCPPELFLDQASSGGWHGYAAPELASGAAARATQESDVYSFGMVLLEVVTGHKAADGGEGTDETMGMVKIGMLCTAEAPEERPTMAQVLAMMSEFM >Sspon.07G0006750-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:21828429:21830390:1 gene:Sspon.07G0006750-2P transcript:Sspon.07G0006750-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTLHMDPPAPPARRSVSTSCDLHPGETFTGFCAACLRERLQGLEASAAAASAPGRKSTSAIRSLFARPFAAGGSSASGPAEPPDLRRCKSFSCGRGGDALAAAAAAGVRADEPQRRSCDVRGRSTLWALFHQDDRDRVRDGTAFGAFPASSSTAAAALAVDVHPPPQAQCIPDDFLDEDIPVVMEPDEIVPVVEEDPVVPMDISGEVEAEGNAAQGGKAIKDHIDLESAQTKKASPKDLKEIAGSFCVAASVFSKKWQKWRRKQKLKKEAAVSKAAAAAMPPPEKPSKPSFLRRSRLRGEAGSELAGGRRSCDTDPRFSLDAARMSIDDAGFSWDEPRASWDGYLFGAGAGIGLGRAPPPLSRLPPILSVLEDTPTDIVERSDGQIPVEDDFDPEPPGGSLQTRDYYLDSSSRRRRSLERSSSVRRPSFEVTDPRPMPSAVNGMGRESPIGGSEFYHFRHAEDLLDRGFSSNSLIEDISASLEAALSGPAKKPRRWRKAWSLWGLIHRRAAGRRSGGPSDIADRSFSEPWPDLRVRGANPKMQRCNSNLSARSSFSSNSGGLGSSRRSYVDANGHVRRREEPHAQAQLERNRSARYSPGRAAADNGMLRFYLTPMRSGSGRPRGGGGGLPSKAGPGRPLATQSFARSVLRLY >Sspon.04G0015400-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:57756196:57756639:1 gene:Sspon.04G0015400-1A transcript:Sspon.04G0015400-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLQRSALTFRRSGSSGLVWDERFLTEAAAGRHQPELRHSKSVGSVAMLRRGGGGGEADRDDKKRKLLVLAKPNHKDHHQKQEELRVPVPGTGTPFRTRDVAPAAEPPSPRVPAGCCAACAIFRDAGAGAGGSSSSLPRRAKNKKR >Sspon.01G0034170-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:1100623:1107584:1 gene:Sspon.01G0034170-1B transcript:Sspon.01G0034170-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGKLLPLGAAVLAASLVADARPCSHTQTLLVSFSSVSRPNPDPTNPTPLTTTVVTVLRVRRLGPHQIRRPVALPAAEPEVAASSVQDRAKDILVVVSGLLFGFGCGALTAASMYLVWSLLAFTCASGYDDVYSDDEDQLSDSESPKKAGYVIIHDADEYGAVT >Sspon.01G0042260-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:94395059:94396884:-1 gene:Sspon.01G0042260-3D transcript:Sspon.01G0042260-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MYVRADKIDFKNLDAQLEKTRSQVWLERQRSQRSASPRPETPLLEWEIDLAKLDIQNQIAHGTFGVVYRGTYDGHDVAVKVLDWGHDGQDTAAKHREAFEKEVAVWQKLDHPNVTKVT >Sspon.08G0013240-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:63775073:63776814:-1 gene:Sspon.08G0013240-2P transcript:Sspon.08G0013240-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIPEGVTVTVAAKLVTLTRNFKHLNLDFQLQEGGRKLKVDAWFGTRRTMAAIRTAISHVQNLIKGVTKGYRYKMRFVYAHFPINASITNSNTAIEIRNFLGEKKVRKVDMLEGVTILRSEKVKDELILDGNDIELVSRSAALINQKCHVKKKDIRKFLDGIYVSDKGVIEEEQ >Sspon.01G0009980-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:28011034:28025424:-1 gene:Sspon.01G0009980-1A transcript:Sspon.01G0009980-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MENPRPQPPAPTPPMAPLPVPVHPPIAPIPVPPPRATAAAAAAAASMASTSTSSAGGGGEAEYEVSDDHRAARERHERAVQELLQRRRAYAMAVPTNDSAVRARLRRLGEPITLFGEREMERRDRLRALMVRLEADGQVDRLLRAQEDDQAARAGEEEEEEQIQYPFFTEGTQELLKARVDIAQYSLPRAKARIERAKRRHEDPDEDPEAEAELVVKQAGEFVLECSEIGDDRPLTGCSFSRDASILATSSWSGIIKVWSMPQITKVATLKGHTERATDVAFSPADDCLATASADRTAKLWKPDGSLLMSFDGHLDRLARLAFHPSGKYLGTASFDKTWRLWDINTGKELLLQEGHSRSVYGVSFHPDGSLAASCGLDAYARVWDLRSGRLFFALKGHVKPVLGVSFSPNGYLVATGSEDNFCRIWDLRKRQMLYSIPAHKSLISHVKFEPQEGYYLVTCSYDTKAALWSARDYKPIKSLVGHESKVTSLDISGDGQKIVTVSHDRTIKIWSCRSEAEYEVSDDHRAARERHERAVQELLQRRRAYAMAVPTNDSAVRARLRRLGEPITLFGEREMERRDRLRALMVRLEADGQVDRLLRAQEDDQAARAGEEEEEEQIQYPFFTEGTQELLKARVDIAQYSLPRAKARIERAKRRHEDPDEDPEAEAELVVKQAGEFVLECSEIGDDRPLTGCSFSRDASILATSSWSGIIKVWSMPQITKVATLKGHTERATDVAFSPADDCLATASADRTAKLWKPDGSLLMSFDGHLDRLARLAFHPSGKYLGTASFDKTWRLWDINTGKELLLQEGHSRSVYGVSFHPDGSLAASCGLDAYARVWDLRSGRLFFALKGHVKPVLGVSFSPNGYLVATGSEDNFCRIWDLRKRQMLYSIPAHKSLISHVKFEPQEGYYLVTCSYDTKAALWSARDYKPIKSLVGHESKVTSLDISGDGQKIVTVSHDRTIKIWSCRSSTQDNAM >Sspon.08G0022520-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:59165951:59168576:1 gene:Sspon.08G0022520-3D transcript:Sspon.08G0022520-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQYNFKKITVVPPGKDFIDIILSRTQRQTPTVVHKGYAINRIRQFYMRKVRYSQQNFYEKLSTIIDEFPRLDDIHPFYGDLLHVLYNKDHYKLALGQINTARNIIAKISKDYLRLLKYGDSLYRCKCLKVAALGRMCTVVKRISPSLAYLEQIRQHMARLPSIDPNTRTVLICGYPNVGKSSFMNKVTRADVDVQPYAFTTKSLFVGHTDYKYLRYQVIDTPGILDRPFEDRNIIEMCSITALAHLRAAVLFFLDISGSCGYSIGQQAALFHSIKSLFMNKPLVIVCNKTDLQPLEGLSEDDMKLVMEMKAEAMKTITQAGGPNEEGVLLTMSTLTDDGVMAVKNAACERLLEQRVDVKMKSKKMMDCLNRFHVAVPKPRDNKERPVCIPQAVLEARANAAAKEKKKLEKDIENENGGAGVYSASLKKHYILANDEWKEDILPEILDGHNVADFLDPDILVRCEELEREEGLRLEEQAAEDAFQIDGHELTQEQKEILAQIRKKKALLIQEHRMKKRTAESRPIVPRKFDKDRKFTTDRMGRQLSSMGVDPSAAMNRARSQSRGRKRERSVSRTAADGDGMEIDGQQSNKKLRLTSRSRSRSRAPEEVIPGEGFKDSEQKKKAIKKAKAATRNRNKDARRGEADRVIPTLKPKHLFSGKRTLGKTSRR >Sspon.02G0016390-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:44992312:44995374:-1 gene:Sspon.02G0016390-1A transcript:Sspon.02G0016390-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVRYAEHLPSVLRNISCTIPGRKKVGIVGRSLNKVDILKNVDILNIGLHDLRGRLSIIPQDPTMFEGTVRGNLDPLNEYPDHRILDKCQLGDIVRQNPKKLDSTVVENGENWSVGQKQLFCIGRVLLKRSNVLVLDEATASVDSSTDAIIQETIREEFRKCTVLTIAHRIHTVIDSDLILVFSEGRIIEYDMPSKLLENESSEFSRLIKEYSRRSHGFSGTANN >Sspon.07G0034330-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:72206470:72211044:-1 gene:Sspon.07G0034330-1C transcript:Sspon.07G0034330-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLELMTHGHDDVAAMPHVYGRLHPPTLILLQTREVDVRVDYAAGCATAEIALQRWTGRIGAAAAWPRHRRGMSRDRAARRFRSASIAPPGALPPRCPAAAPTRGEPSRAPRSLCGTGRVAMAWRSHDEVGRSDLTISKSAPESLASYLATFQALQMATFQALQMATPSPSLCLSKPLSHGGGAEAPTPRRRGNHGGAQWGEVALASAMAGQTRPWARKRGGPGPRPWQGEAPLAPGAGAAGSPTRDIAGSTVVNSRLVKSGRTLASAIAKAKQLILQLEFLNMEETQVEFQHRPRFSWVPSQTTTRHKASKSYTPSSQIGAVT >Sspon.06G0005670-1P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6D:17699377:17700175:1 gene:Sspon.06G0005670-1P transcript:Sspon.06G0005670-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAGTGVFAEILDGEVYRYYADGEWRSSASGKSVAIVNPTTARRSTGCKEEVNKAMDAAKVAQKAWARTPLWKRAELLHKAAAILKEHKAPIAECLVKEIAKPAKDA >Sspon.01G0008590-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:25048100:25050905:1 gene:Sspon.01G0008590-2D transcript:Sspon.01G0008590-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASRKEERNERIVRGLLKLPPNRRCVNCNGLGPQYVCTSFWTFVCVSCSGIHREFTHRVKSVSMSTFSTQEVEALQKGGNQRAKESFLKDFDTQKMRLPDSSNIGSLREFIKAVYVERRYAGGRFSERPPRDKQNQKAHEEEHRRPSSYHSFSQSPPYDCQYEERRNGKQSAFLSRKPGSYRGHEGKISGCSYSSHSLRRECLRMYLLVRVVDRGLLTAQGQARVIQLNLHHNHLITLIVYVSAPLCYKINRMYIRTVSAGNIDSTSLKSGKSSLFDLIFEDDNPSDDISAPNQDIFDSKATQEHHVTTMDQSVDLFSNMLTETPSADKVIPAASSMDNAGWATFDTSPEQKQPALTGLSYALNRDLFSFESNDDLTWFQSSKDDTSVTNKNQSTATSLDTGSSQPIEMIGTSPIRTNPACLLDRD >Sspon.04G0005140-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4A:14699188:14699532:1 gene:Sspon.04G0005140-1A transcript:Sspon.04G0005140-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADRSRPQRQQRPKQNFLHPTSACAASPLRPWHLLYAPPWPVCRIWMCLRRKNERRRREREEREDLSLVKGLVRNRWWVRIMGPHWFSGSYYAPLKAKIKGSVHGPPTHLAAS >Sspon.01G0035210-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:9781417:9805105:-1 gene:Sspon.01G0035210-2C transcript:Sspon.01G0035210-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKKRTSAPNPTPPPPDAARGPAAAAGKAAVIGGEDAAVRAEVDKALACLQRGSHARALRLMKDALARHGEASSPLLLRAHGTVHARAASVLDDPAARARHHRAALQAAQRAVELAPESIELAHFHAMLLYDAANDARAYEEVVAECERGLSIEVPSDPSPHSLRLPGPDVDQVQSELRNLIQKANLASISTWVKTLGGAGDDKLRLIPVRRLADEPMEGRLVPTAPSPRRPNEIKKATKTPEERRQEIEVRLAAMRLLQQQKEQSNGVVSATPASSQSQADEVPSSSQSSVGGHRADRRKGGSRKATGSSASERTDQVRAYWGSIPVEQRLAFLNTSISELKSHYASAIHKEKDAASAASDVLNEVIRFANKHGKWDFMVCGRCREQFADVEALRWHVMGEHVGLLSSELQEMVPQEIDADWAEMLIGWNWRPLDATAALKLFEEDQNDNLGTDRDKESNLLDNWSNKDKSDMSESSASPHNEECNGFGVVVREGDDKWPLSDDGERANILERIHSSFKILVKNHNLSVGNLNRVIRFAVEELRGLPSGSLLLNHSLDESPLCIRFLEASSLRKVAKFLQDLMQASGLNRNLEKAEGLGDDDSFPKNHNVLEKVTLNSDSSELIIDGQTFGGKFDSESVDTDALLSWLYAGSSIGEQLLAWNRMIDERSNQCVDLIQALGREFNSLQNSCERKLEQLRNEEAFISVEGLFCEEQTRREQVGRYGFQTFEELLRKRQEELLERNTEEQSDSNRSEIDAISAILKELHTSHFGYDETLSGMAPRLYDFDGAEEDEWRLHDFIHPNDSMVHMVVSKMKEQVSMEISKVDAKIMRNFSMIRQLEHKLGSVSALDYRMILLPLMRSFLQSHLEELVDKDARERSDAAREAFLAELALDAKKNANKGGDMKQSHEKSKDKKKFKDSRRSKELKVDLILIQAGVTSLSVAKTVLTRKQQRHCVPGAIYDDDDNDRASGPQFGSKAPRWSSSCKIAPYSNHSYQAGKQNHSAGTEKPNFEKVDSGAIPSADVCIEDDFDKRFQEDLDEAMRQSLGYDAYPAGTISTSNGTEVYGTGLKNAAGEYNCFLNVIIQSLWHIRRFRDEFLKTSSLHKHVEDPCAVCALYGIFVDLSKAAKGQGEAVAPTSLRIALSKSYPNSKFFQEVCYYGQHYHCFAFKDGRWVMYDDQTVKLLPLSPPRAHAGLLTCSSTPVVLGLRSHSAAAPSRRAQPLLARRNRNIRSDEETAAEPKIITLGRPGKSRRRRSRKQQTPLKEEADDDEDEEDDEDEDERDATIRRVSARRTGSPPNLIPMGRKKRTSAPNPTPPPPDAAQRPAAAAGKAAVIGGEDAAVRAEVDKALACLQRGSHARALRLMKDALARHGEASSPLLLRAHGTVHARAASVLDDPAARARHHRAALQAAQRAVELAPESIELAHFHAMLLYDAANDARAYEEVVAECERGLSIEVPSDPSPHSLRLPGPDVDQVQSELRNLIQKANLASISTWVKTLGGAGDDKLRLIPVRRLADEPMEGRLVPTAPSPRRPNEIKKATKTPEERRQEIEVRLAAMRLLQQQKEQSNGVVSATPASSQSQGDEAPSSSQPSISKVDAKIMRNFSMIRQLEHKLGSVSALDYQMILLPLMRSFLQSHLEELVDKDARERSDAAREAFLAELALDAKKNANKGGDMKQSHEKSKDKKKFKDSRRSKELKVDLILIQAGVTSLSVAKTVLTTKQQRHCVPGAIYDDDDNDRASGPQFGSKAPRWSSSCKIAPYANHSYQAGKQNHSAGTEKPNFEKVDSGAIPSADVCIEDDFDKRFQEDLDEAMRQSLGYDAYPAGTISTSNGTEVYGTGLKNAAGEYNCFLNVIIQSLWHIRRFRDEFLKTSSLHKHVEDPCAVCALYGIFVDLSKAAKGQGEAVAPTSLRIALSKSYPNSKFFQEVCYYGQHYHCFAFKDGRWVMYDDQTVKVIGSWGDVLVMCEKGHLQPQVLFFEAAN >Sspon.01G0002040-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:5724549:5726191:1 gene:Sspon.01G0002040-1P transcript:Sspon.01G0002040-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MELDEETFLDELMSLRREAASSAPWQQAAPCSAYPGGGMMMSDLLFFGGTTEGAATDATSMDLSPFHQDPLQAPMPPAAAPHPHEEFNFDCLSEVCNPYRSGGGGVPGAEAAAVPAPGQALAALHDAMVEEETSGDLDLQGQHYGGGGGGSPTFVFGGGAGESSVMPIIRGVGGPHPHHRSKLHGAPSKNLMAERRRRKRLNDRLSMLRSIVPKISKMDRTSILGDTIDYVKELTERIKVLEEEMGASPEDLDLLNTLKDSSSNSNEMMVRNSTKFDVEKRGNGSTRIEICCPTNPGALLSTVSALEVLGLEIEQCVVSCFSDFGMQASCSQEDGKRQVLSTDEIKQALFRSAGYGGRCL >Sspon.02G0002600-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1B:37797017:37797781:-1 gene:Sspon.02G0002600-2B transcript:Sspon.02G0002600-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MIYTQGCDASVLLDPTPANPQPEKLGPPNNPSLRGFEVIDAAKAAVERACPGVVSCADIVAFAARDASFFLGGRGVDFDMPAGRLDGRVSNASRTLDFLPPPTFNLSELVQSFAAKGLGIEDMVVLSGAHTVGRSQCSSFVPDRLAVPSDISPSFAASLRGQCPASPSSSNDPTVVQDVVTPDKLDNQYYKNVLAHRILFTSDASLLTSPATAKLVSDNANIPGWWEDRFKAAMVKMASVEVKTGNNGEIRRNCR >Sspon.06G0012270-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:52921225:52922792:1 gene:Sspon.06G0012270-2C transcript:Sspon.06G0012270-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHNVAIRLRKEGGIEGYGDMVSGFVLLHPYFWGKEPLGAEPMDPGYRAMFYPTWEFICGGKFVLDHSYINPMASPEEWRQLGSRCVLVTTAEQAYAERIKKCGWDGELEFYETKGEAHIFFLPKHGSDNAVKELAIVAEFDEDLNGVHVEQRDESKVARQRWVEILSSGHHKGDGEERHEMEGQW >Sspon.08G0011310-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:45371978:45376149:1 gene:Sspon.08G0011310-2B transcript:Sspon.08G0011310-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVKLQIRRIENNTNRQVTFSKRRNGLIKKAYELSVLCDIDIALIMFSPSNRLNHFSGRRRSVFGMPPPPPQQQQQQEEEQQGDLGINAFGGDVSSWFADGLPTSSIFAGPDPILSFRDQVIFDSMRRDPVVAGVDPGIASMCHVDQQVPSDDWQQAYTSTELLSALIPSTPFPLDDQVTWHGSGPSSSTSTTAALLSPELARYGVIQMQDAMAPVLTSPMVPPHVHEQVEAPPGSCSNVPTDGDCATATAAAQEHGLPGA >Sspon.03G0026470-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:2935648:2941092:1 gene:Sspon.03G0026470-3D transcript:Sspon.03G0026470-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MERVRRASHAGSWYTNNARKLEEELNGWLEAAGLTKSPDVRAVIAPHAGYSYSGRCAAYAFGNIDPTSISRVFLLGPSHHYYTPKCALTRASVYCTPIGDLPVDQEGLSATGKFEFMDLSVDEAEHSMEMHLPYLAKVFQGHTVKVVPILVGALSSQSEAMYGQLLSKYVDDPKNFFSVSSDFCHWGSRFSYTYYEKKHGAIHKSIEALDRMGMEIIETGDPVAFKEYLQEYENTICGRHPISVFLHMLKHCSTKIKIGFVRYEQSSQCKNFRDSSVSYASAAAKVDASGEEEKQD >Sspon.05G0020810-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5A:88018309:88019065:1 gene:Sspon.05G0020810-1A transcript:Sspon.05G0020810-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFWFFKRTSRLLLAFWLPSEQLLPVFGPQGEANLRWISALHVLALKTEHFYKTIIVGGAQPLHFDN >Sspon.06G0011720-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:64061585:64062391:1 gene:Sspon.06G0011720-1A transcript:Sspon.06G0011720-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLSFVPFGCRAGPVDDAPPADHAAAAAGDAAAAARRRRRRIAANRSALGAAAAQWSPSLGDIYEEYDSTDAAKAAAAAGPVRVRKVASWDVARAP >Sspon.04G0002330-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:8125982:8128017:-1 gene:Sspon.04G0002330-3D transcript:Sspon.04G0002330-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMNDKPVFPRRSRYVITTPRCYCILSRLPFFELHFGVLQSILMEERLEWLTDGVSMLTSLSLEESCENDICEGTEVTAQKQYLDSNTSDVDKSSESSMGVSSKELSDTDSSSGCRENQLDSVSKEQQQNSSCDKEQSDLKRAIVTCCDASEVSDHFVPEDTSSGQSGAKHHELDSASGIQDESGAKNCDDSPKGNVDDEELDLFITDTILPLMRSRLCEDCESSPSSQGSPSEGRNFDTQESDSEEPSSIGDGDLVRHNNILQWAK >Sspon.01G0040340-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:40193726:40194860:1 gene:Sspon.01G0040340-1B transcript:Sspon.01G0040340-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LEEEEESSSEDRSLSFEAVTPEQDAPYHDDNEESQMPVEKHHHILEEVDGELEMEDVAPPSDIEATTKCQPEQSDANCAPSDQRPSDVGPPLPVDQPPSPPPLPSSPPPVPPPPPAPVPQSAQMQPKLQMASDPTHRPRATYNVQSQQPHSIAEHPGNMNSSVASLPPPPFNNSGYGGQPNQIPPPPPMAPLNPPGPHGNFPAPPAPYHGNNYHRPPTTSMPNEGYHLQPPPPPPPPNQFPSVPPEHQHRPHHWGNNCPPYPERYRYNGDDRGHHRHDRRHHGHDRQHHYDDRGYHYDDRGYHYDDRGHYFDDRRHHFDDRGHHFDERAIRGPMHNEAADRGRYPFPP >Sspon.06G0006030-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:20337073:20337811:-1 gene:Sspon.06G0006030-1A transcript:Sspon.06G0006030-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTDITASTKPEYPVVDRNPAFTKVVGNFSALDYMRLSTISAVSVTVGYLSGIKPGIRGPSMVTGGLIGVMGGFMYAYQNSAGRLMGFFPNDAEVARYKYKL >Sspon.02G0015160-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2A:41317682:41317977:1 gene:Sspon.02G0015160-1A transcript:Sspon.02G0015160-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding CDRILWRGKGLKQVQYETCSYRLSDHRPVRAVFHAECDVLSEGCRSKQTAAHRAQQAKRLMVYEEKPCPFQPESDGMDDATGVSNRERVGRKIGPSE >Sspon.07G0005270-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:13494434:13497092:1 gene:Sspon.07G0005270-1A transcript:Sspon.07G0005270-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADQLTDDQIAEFKRPSLFDKDGDGCITTKELGTVMRSLGQNPTEAELQDMINEVDADGNGTIDFPEFLNLMARKMKDTDSEEELKEAFRVFDKDQNGFISAAELRHVMTNLGEKLTDEEVDEMIREADVDGDGQINYEEFVKVMMAK >Sspon.04G0033660-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:63587477:63591702:1 gene:Sspon.04G0033660-1P transcript:Sspon.04G0033660-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDEVNSVYVGGLPYEANEEMLRDAFGYYGTIVSVKVINDHSVKGKCYGFVTFTHPRAAEQAIAGMDGKKLGNRIVRVNEVRTRGPRDFGRDGFRRDPRRYGRDPYWDRRDRERSYDRERDPYHDRDSDRSREHDRERDYDHGGFNREIDYPMDRDDEVDERRPREHDRAAEMHNMDSDNDKDREHGTRKSRPKGRDSRDLSSSSDDLQNDVNQIKDKISAKEQHIADLQKKAQKLEDELAAARKVSSERQLAVTDLYKHFLQLQDYNDRVKTAEQRLQSLVDAAMVELDMAEDATTRDGSMYENGVV >Sspon.01G0003080-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:8098140:8101306:-1 gene:Sspon.01G0003080-1P transcript:Sspon.01G0003080-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGREKPMFSLLDVSLHPPEWNEEGGEIHWVLLDNQAYVAERHNNTTAFSETWRGEQIQVTLCLARPPRVSYLCVFCPGLEHSVFPVEPHILAMEEDLILLRIIVSSKTDIFKDADYYIYQATDEAVGGGPSLKRLPRLPRLPKLRSPYEFDSDQVGILRCGARHQRRYNALCPHSDTAGDFYIVAALCRAPNSVAAGEFVICLYNSNSPTIWITHKISVDENQHRLQYGCHFEHYNSKVIPIGGGSGTMGFVDLWRGILFCDVLKLQRGKTTPPFRYVTLPPPLLPGRANRGDARLARDITVVQQGRTIKYVELQVHWKPYPTFRGQYFRDGWMSRIWTRPVDADCAEDCWKPGCKQESSDIPVDSNPHFKLLPKILDHTGMTVKPFKGLFVCQPTLSLSDDDDLVYFMTKGKSMDGKAWVIAVDMKNNTLQGVDEFVAQRTIGTKGNLKRPGTVLLGSSNKKPPGFWMSMPPWDNEQKEHDTEMEVDGDDMILT >Sspon.01G0001530-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:4425040:4425789:1 gene:Sspon.01G0001530-3D transcript:Sspon.01G0001530-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSHEACCGTMFWVYLMSCFGLVMFAGLMSGLTLGLMSLSLVDLEVLSKAGTPRDKRNAARILPVVKNQHLLLCTLLIGNSLAMEALPIFLDTLVPPYVAILISVTLILAFGEVLYLLSFIHPLADSVPAATSPLSS >Sspon.01G0024840-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:97391242:97392585:1 gene:Sspon.01G0024840-2B transcript:Sspon.01G0024840-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMDLSPKKPNKAYGSDGGAYYDWSPADLPMLGTASIGAAKLCLSAGGLALPSYSDSAKVAYVLQGKLPCNAGKGTCGVVLPEATKEKVIPVKEGDSLALPFGVVTWWHNALAADLVVLFLGDTSTGHKRGQFTNFQLTGSTGIFTGLSIEFVARAWDLTQAAAAELVSAQPGAGIIRVKDGHRMPQARDEDRAGLVLNCLEAPLDVDIKNGGRVVEVGLGADLVRIDAHSMCSPGFSCDSAYQVTYIVRGSGRVQVVGIDGTRVLETRAEGGCLFIVPRFFVVSKIADETGMEWFSIITTPNPIFSHLAGRTSVWKTISPAILEASFNTTPEMEKLFRSKRLDSEIFFAPSSN >Sspon.04G0020110-3C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4C:76117494:76118627:-1 gene:Sspon.04G0020110-3C transcript:Sspon.04G0020110-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVDEVRDDPMCPPFLSIPSHRAGLSACDCDEWIIPSVRCGGSLPTRDHASVAGRRAAHATMLVPTAAGPCLSSPAAPTPTSITAPPHLIRLPPLTTHPRARRCRCRARPPRAGPAPTPPPPPAPSSRPARDRVIEFGKHRGQMLGTLPPSYLRWVAAELDYGDTAPWADLARDVLDDPIYVDRVEWEHAHRFLRGDADGYDYAYGDDGGDGPLQEMAERFGWDLSDEEGWGRLDFRLLGTSYGGRIPRKGARKKQSNSNSSTGGAKKGSLFDAPDGAGGKRDERRERVRMRREQQVRTAKMDMLGVNAGVADGGVLGSSSARKQAQIRTAKKEILVLGRGSRDGEMLDEKRASGKGGKGANPFPGRQAFLDKVRKLK >Sspon.05G0021800-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:5B:89436872:89437432:1 gene:Sspon.05G0021800-2B transcript:Sspon.05G0021800-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVKRKMRDEFDRLLPEYGLTEFYYRSFLRVHGYRSKVSAADVVYGVTALLESLNAESKGSKESSAAEQFWAAYSALSLTNVDQLQKGMQSAIEIQRAILRQGSSAITKTGFIRSAKKFRWVKLDDPVDTIKLCHPQALTKFCFFPMDALKERGARMKPLICACLAKEPEKVLVVGVCGKPRLGAAQ >Sspon.05G0007270-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:22762224:22763565:-1 gene:Sspon.05G0007270-1A transcript:Sspon.05G0007270-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHHLENALARQRTNSLPSADRSIHTAKWPAGFHVTMIYKSRHERSDLEEEASPPFPLSLSRVRAENREAETALDLRMLLRSSSTPFLHSFLSSSPSSRRSSLHLRRAFSDGHLPSLHPSSTPNDAAGSNKPPTSGGGLHTELSFSIYNTFGGKGEVVAAPLASSSQGPAQAQEEGAAADGGAAGSPGGAAVPGEGPRDRPHRLRLLHRRRRQDRLRCGGANMERVEEQDEAVAALDAQYKTMVDEQPGNALFLRNYAQFLHEVKGDTRRAEEYYSRAMLADPSDGEIMSQYAKLVWEVHHDPERCLGYFQKSVQAAPHDSHVLAAYASFLWEQDDDDDDCGEQGTGGAAAPQRAAGTGQAMELTSAAV >Sspon.02G0020270-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:66359612:66360697:-1 gene:Sspon.02G0020270-1A transcript:Sspon.02G0020270-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding FSTHVLAARRGEAATTSTVLDDDERRCLGAARSVTAPAHSTAACDDTVTVLPCWPCSPGRCREYMRISLTPDLEVKDASSNRPLSVPTLSSVSADAPGTPSGTAQVSSACGSTSSTSPACPCCRTPTHTVVRPSSAISLLPPMDSLLSCTRPWPPLAGRRLQQVDAEEKHGLQRRRSQPHTEFVEATSDARPSQCSSQATLSLTKRLQQYCNKVAGKVRLFCASSSNFLPMPLYLDDGSFSPMPTAVEPESASALGSYYPCDQKDEQG >Sspon.01G0042010-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:61802999:61804709:-1 gene:Sspon.01G0042010-1B transcript:Sspon.01G0042010-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLRRILTGGGGLAALRAARAVKETTGIVGLEVVPNAREVLIGLYTRTLKEIEAVPKDEGYRKAVESFTNHRLQICQEEDDWKRIEDRIGCGQVIEDDTPIPKHVPQHRPVALPEEFFKTLDAVKSDPALQGDAPPQVKA >Sspon.02G0029820-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:105377259:105382711:1 gene:Sspon.02G0029820-2B transcript:Sspon.02G0029820-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYGRSNLPPVLDEDSDEVDATGHDCISDWARRNVGGSHLGKRKMQMVPPKGHGKRHRGKGKADSGDDDSSDDDSDNDGAGGSDDGGGGGRERDDRPGMRRRDRGSREWPRGPATDEMRRASSKQSVLRTVVLPTHTVRGCDCASYSYVDAATPTGGLILVGRLAPPLLPAPTEFSISWWWWTPDPVHSLPSFLPPFPSPALHPHPHGMTNLPAPQAKFHPPIDVHLLTQTRYPPITDYRVVVVNSISCHVIQAQARVFDPETLPLHPSSYPDRSFDTGYKATTPLPYSSTHYHFQKQQPTPLFLAFVAKQQTCSTQPVQSSSSSREIDQSIGGSELITRRMAVEAVLDAAAAVMPSPSGRGDDVVVGAADQVATTSSSSGAVAEEVGVKAAVQQQGQERGQGLAKRKRSRRRRDREQQQLPKEAPTQEEYLAQCLVMLATGRRDGDVPAPAPATPSQEHACSVCGKAFPTYQALGGHKASHRTRPSPPSAATEVVGDHHEEQKPVLPSAASAGADNNKPAAAHECNVCGKAFPTGQALGGHKRRHYDGTIGSAAAPARASSSSSAAAATSSRATPPPPMPPVAFDLNLPALPERCSVPEDDEVLSPLAFKKPRFMIPA >Sspon.01G0042930-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:70009375:70010067:-1 gene:Sspon.01G0042930-1B transcript:Sspon.01G0042930-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRRRRHAKLSPRNKGLTRTPVRTNKRAPGRPRTLDVHCVLYLHCTAAPYARRDGLAERNTNLYYKKFSRESSTGRAKTTVVIRSPGLDAQSTIRGEAAAIGSLPVILQCTNMWPPAFLPHASLPYSPAIDQSRKKSPYPAALTCPNAAARPRPGPLPSTRWSRPGPPPPVPSARPCPVTPPPRLVAP >Sspon.07G0010740-9P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4D:19655761:19656408:1 gene:Sspon.07G0010740-9P transcript:Sspon.07G0010740-9P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVEDCSTSRSSDDKNDHITRSLDKNDNATSDASNDSTSCTLDGEDDGYESDASTSSSISSHGDTKVSIGGFVVDCDGPNFELLYKLSKALRNEMAKTSKLKNENSFLKTTCEQQKHLLYVTTCSHEELKLAHEELSVAHDNLAQEHALLTKKLSNEETKTSESSSFGSNDQSHITNPCDVGKKHVSTSCDDLLDMPCSSQLNVVLLLCLVRLTF >Sspon.01G0008340-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1B:62053959:62054429:1 gene:Sspon.01G0008340-2B transcript:Sspon.01G0008340-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTANATATTKTAAASSAQANATSGTTAKPSSCTTSTTQASTTTCSTTSAKPATTTSTKTSAKTTATSKATTTTETATTTSTKTSAKTTATSKATTTTETATTTGTATKAAASAKTTSNSTSQSTTCTATKAAAFAKTTSSSTTCTATKSTSGTTT >Sspon.07G0025550-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:43432345:43436073:-1 gene:Sspon.07G0025550-1B transcript:Sspon.07G0025550-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSPKPGSATGHEAGVYITATFIQRLIPVVALVQVPMALVVRWQKDHELQQSFSKFSNNGKTPCGESGSSDVAQMVRCACCGVAEDCTAAYIRRVRAAHCGSWVCGLCAEAVGERLRHEPGAGMEAALRWHMAVCRDFNTTTRLNPKLSLAGSMRDIARRSFNRRASSTATCHDELRTKRAISCQPRFFISAIYQGVQAIRSIQLAKDIKQHLSCDSELDPQLKELVEASDRMGSSISRMLQLKGVIAVSSSANQGEEGPSESSSLGVRRLRAGSSSPGVHRPRRPSICFYGPLWSNS >Sspon.05G0036500-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:87910934:87918140:-1 gene:Sspon.05G0036500-1C transcript:Sspon.05G0036500-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DHGHHSCIISSSCCKKVQLRRRSYSPLAPGARRHLVGAAVHGLLLPLLPRRLRRRQVGDEGRHRQGEAHGRLHPPSLLPRLLRAGSLPEGHGRAPRNR >Sspon.02G0037430-2D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2D:50116199:50116645:1 gene:Sspon.02G0037430-2D transcript:Sspon.02G0037430-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding QARSASKKALAEASKLSERAFNDLSTRLHPGFDPDAVLALLSNIGLSRADIADVVAADPLLLRSRVDKLEPHILALCDRVGLSVPQIACFLVIGSWELRNYGDVAPKIQFFVSLYGSFDQFLVVIKKTQSLLAMNVDRVIKPNIALLL >Sspon.02G0032220-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2A:117971863:117972375:1 gene:Sspon.02G0032220-1A transcript:Sspon.02G0032220-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTTSMSSVLFLLLAAFAAGASAATFTIKNNCGYTVWPAGIPVGGGTQLDHGQTWTVNVPAGTSGRFWGRTGCSFSGGSGHCDSGDCAGALSCTVSGQPPATLAEYTIGGTGNNQDYYDISVVDGYNQPMAFSCSTGVRLVCTYAQCPDAYLYSTDDTKTHSCSANSNYQ >Sspon.01G0033350-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:112377225:112377380:-1 gene:Sspon.01G0033350-1A transcript:Sspon.01G0033350-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQPLLSCYYIAMLLRSGIPGRLLLQCLPHEIRDLRGRRNTSLYAVEIVVL >Sspon.08G0029010-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8D:20065067:20065788:1 gene:Sspon.08G0029010-1D transcript:Sspon.08G0029010-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQERVVVCYSALQAGGGRLGPRGSCEFHSGIVRNSRNVSYRSLNRYRRAPIPYRVKYRSILANTGQIPVNTGHDCMTPRTCRWPNCFCEPCRFHIKQKRAQLSYQVGRKCIRSRWAAAICDPARQAGFT >Sspon.08G0014290-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8A:58630512:58633580:-1 gene:Sspon.08G0014290-1A transcript:Sspon.08G0014290-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCVQVINADTIDAAARLILHELKGDSNNVIYFDGWDGMGASAVLRAVAQCFDVASKVPMGLQFDQIIHVDSSKWQSKRALQRAIAEQLDLPAEVMGMFDRQDEEDDYYGVVQGSRAEIPKVLKAMYRRIKELNRRFLVIFHNGSSEEIDLGSYGFPLSEHYDNKVLWTFQGRLRLYPKMKVDRALNKSTEGRVATYLVLLASSHIEGRDPQEMWSSLVRQEAAEIITNTTGGPARVAEFFVLFMMRLCCISYNLMMDYDLATHACNYWVCDGIRHLQQGDSTGDGNDVSWRSADALHLEMQLDLDYYHYNHQYFPSHLLYRTSPFSGFLLLPNADLFQDLGKITVLKLSRRTFSFTSPPFVHCHNLKFLWVDHCQDQEMISSSIDGFLQDEKKKLTLDEDGAAAKEYIQQCFKRLWVLDIRYTPCNQILSAQMMDAMTQLRELNVMGAEDWDMGQVQGRLPNICKLRITKSAIRCPSGNDADLLLSGMDKVQLLDFSGNQIMKESGKKSLPTTSLPVASSLETVIINDGCVGLEKISFRGCAKLKNVLLKGSFQELRILDVSGTAVKTLDLSAVTAAQKLDELLLLDCGKLCAILWPPQEVIRRALLRKVCIDTTMQTSVSTAPRSREEKAKEGSPVATTTGPRAPSECDRFVSVRDARLLRSLVPLEEHFNFVVHVEISSPLSHPAIISGGGSKDADSSRSSEQPPLEDGNGGHMQQLMASEGGGRGEEAPTITQISSCPRAPNLPSQNCYTYIQDHGQHPMTFTIPDFICDRAMILHVHDSLSISSIPGPAPLQGSQWTNLKWCRVERCPMLECVFTAPRSLLGDGDACVFYSLSTFWASQLPKARFIWNWSSSSSTISKLPSGSFLFLAMLHLDCCPSLIHVLPMPIQGLRVLQTLEIMWCGDLVDVFPLEPNTAGHSTVEFHSLKHICLHELPKLKGICGRWRVYAPKLETIRIKGCWSLRHLPNVRGGSRVECSCEKEWWDSLQWERGNPNHNPSLYKPIHSPHYKKHLLRRTVLR >Sspon.02G0015570-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:43471647:43474366:-1 gene:Sspon.02G0015570-3C transcript:Sspon.02G0015570-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGSGGSAPPPPLHMEDFQLEGKKPVKNPFVPIGALVTAGVLTAGLISFRYGNSQLGQKLMRARVVAQGATVALMIGSAYYYGDEIKLFKKGSSP >Sspon.07G0012440-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:31218084:31218497:1 gene:Sspon.07G0012440-2B transcript:Sspon.07G0012440-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding HGGAFHDAGGGAPRNATAAAAAVPRRWHTDGGPVSAGRPRRAVAAGGARVRGARLLPGREPRRAPGPAARLDAAARTFFALPPHDKQRAGPPSPLGYGCRTIGFNGDAGELEYLLLHANPAAVAHRARSIDTDDPSRF >Sspon.01G0010430-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:35383509:35386223:1 gene:Sspon.01G0010430-2B transcript:Sspon.01G0010430-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPQPPASLDYWRGFFSGARASIFDAIDAAIRVAAADHPDALRARRDAIAERLYTALIALPAPEAPGHPTRGQPPLLLPEGAGSVPSLCSSDRAEVVNNGGGAAPRNKSDDGVVAEAFRVKAALSNAQEKSEAELLGLLGRLGQLEFTVDAIRATEIGMAVKPLRKHGSKQIRQLATVNEWVNNGDPIVDIRSDAKDGGQCNPASQESVNKQSPTGQWYDPEQNWKLDQSAMKQSRPNEAFNWQTRKQSNSGAQVKASSAAFGPGRPQSSHTGPKCSEVKPKQQQDVSVAQRRPKPTMPKPPTQHDDNSVQAKLQLAKDAKLEATKRKLQEGYQEFNNAKKQRTIQMVDPQDLPKQGNRNLALSSKPRNNNNNRNRLGIRR >Sspon.02G0046680-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:5952259:5955737:-1 gene:Sspon.02G0046680-1C transcript:Sspon.02G0046680-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVAAPAPDPASETKHIQTLAPTKEEKPSSSSKDKKEEQVLQQQTEEDMISKIVDDILLLILENVNLTTSVRASVLSKRWRHLPWLLSQLSIDIKDFLREPYDHDHPTVDDIDKAMTSLTEAVRSMLAPTRRKSFITRLCISFFITSGYSNEVGHLVNEAIENGMVKDIELTCGIQMMPATLSEEEMANHADGVISFFRNYPNVYCCLTSLSLYNARFDESDLHNLLANRCTELRYLYLNYCDTGFYTSFKIDVPDSKLNVLEFSRCTFDRVELFCLPKLKQVICGFWLCPCLPLTLGYVPCLKEIEFYGALTVHSEPFKLRFSNLRQLYLHDIFVGFGLMWTTTLLEAAPSLEILRVELYDHRRCQDEEKKKQIYGERTNAPWEVSKSSPPPHSSLKELQLFGFNATEQHMAFIGAVMERASNLQSVVLKEHYCKSCDAVIIPNFVECGFPKNKDEQDKIRNQFTSCAQIIFREDDFSSV >Sspon.02G0039950-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:64616786:64637082:-1 gene:Sspon.02G0039950-1P transcript:Sspon.02G0039950-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AMASLLSKLTGLLAQDFKLARSVKEDIVSLRDEMSTINASLMELSQMEEPIDDLHRELRGKVRELAYDMEDCVDISMHRLGDVSKAGFLRELKTLRARCEIAKLISVLKARVAQLGNRHKLIIQLPEHPRAVRVDPRIQVLYQDAANLQGIGGQKEKIVELLQDGAPQLKVVSILGTGGIGKTTLANQVYTAIKGKFDYTAFVSVSRIPDLAKVLSDIIILQSRWYSRRQEDENKLISDIRQRLQNDRRYDMSCILSLFQLRYLRTNGITSNEKLLTQLRKLEQLKTLEVVREYGNFVLDVQMLPSTLWHLILPDAVKLAGGISHLRNLRTLSEFEIKLHDVGRMKELGELTNLRELKLFQHSYGVGDPSEVLLSSLCKLVNLRSIVVRRGRLKEDVLARWSPPPRHLRRLHVLESIFSTIPADWITHLHNLSSLEILVRSLPSDGIVVLARLTLLVHLKLHVEERVPQEGFVVFHRAAFPNLRELWFRWEAPCLVFEGTMPRLRSLVIDCYVQGAQQAADLEHRHAVGWMFRGQRRLEPRESINFAGGVGRKVLLPSKKAVLMTCPMDLAAASSQSPASPTSKMLIAIRDWCLGKLRHVLENKGYRDGDTMFGAPYDFRYAPPSPGQTSEVYSRYFKELMELVEATRERKQKKAIILGHSFGGMVALEFVRNTPLAWRNEYIQHLILVAPTLSTGFMEPVSNFASGTNILFVPTTTPLSTRAMWRSFESAIVNFPSPAVFGREPVVVTRDRNYSAHDMEQFLAAVGLGEAVEPFRRRAVPKMDNFVAPMVPMTCINGVGTRTPLQLVYWDDDFDASPEAAAYGDGDGKINLISVLAFLRLSRMILPWTGSFEKS >Sspon.03G0005400-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:30852095:30854994:-1 gene:Sspon.03G0005400-3C transcript:Sspon.03G0005400-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LTGRAPGGHHRRRGLVHIPPRRLEAAAPRGRRRALARHRGHRRGAGDPARPAHPPRPPPPRCHAGRLRSLRPRHHEGTYAPFPRLGHGGVRGSRRAGVHRARTTSASKAHGAQGTNPSLSFSVPRAIWTGITINEKSESLALDLSGLIYGKQVGTWHIGSVQ >Sspon.01G0048080-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:107589690:107598418:1 gene:Sspon.01G0048080-2D transcript:Sspon.01G0048080-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPHYQAATLIASPSYPNAIAWSSDNLVAVASGHIVTILNPAALDGPRGLVGLRRSDPFPIGVVKREDLLEPCLLPTSLARETEPCARSISWSQQGFAPNSGCLLAVCTVDGHVKLYRSPIWELCDEWVEVADISQLLFNYYKTINFGEDNGSHLTSPKNTNTGETEVLESTCELQESLYFRGPGQRKRKPPRVDGFIYDGNEVDLDASKDADFSMEPCSKSEKKSLKKTVKPRHEVVAVHERNSTGNIKASLSSNGENKSLPLITAKQYACRAAHLSSLVATDLSAPVSSISLAIPARSQHEDNSARCWISHENHLEEIFVHSNFPELRESADLSEVSDRCYGLTLAPGEQMIAVVRSLDLNLLNQMYQVRTQKAVVEFIWIGGQFVGIPLDRSIDICSPQSADLSSSNLTWWGSNILWSLKKYENVEKRLVLWDVVTALQELKKSAPAFLETLVHSWVSALFSGDPLRVSINAPSCSMHGMVPYVSSRKLHLLNIICRKVMLSNHAQHGPGAQNGNEETTDFWNALLVRSETELRERLVGFTFAAVLKRTACLFNGTSTENCWFPVGVAQMDSWVSINYEKVHSHLQSIRSKIKDLGSRIDGYSDVESCPYCSSPVHFESPDVAICGERHTLSRCRASMILCSVVQPVWHCVCCGGTVDKLLPESFFTMQASTIHDEGSLDLSAASVALCPFCGILLQRSMPAFLLSTSPV >Sspon.04G0020480-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4A:71913976:71915568:1 gene:Sspon.04G0020480-1A transcript:Sspon.04G0020480-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGMEAVGAPGSSLHGVTGREPAFAFSTAAPEEHDDAAASKFDLPVDSEHKAKSIRLFSFANPHMRTFHLSWISFFTCFVSTFAAAPLVPIIRDNLNLTKADIGNAGVASVSGSIFSRLAMGAVCDLLGPRYGCAFLILLSAPTVFCMSLIDDAAGYIVVRFLIGFSLATFVSCQYWMSTMFNSKIIGTVNGLAAGWGNMGGGATQLIMPLVYDVIRKCGATPFTAWRLAYFVPGLMHVVMGVLVLTLGQDLPDGNLRTLQKKGNVNKDKFSKVMWYAVTNYRTWIFVLLYGYSMGVELTTDNVIAEYMYDRFDLDLRVAGTIAACFGMANIVARPMGGILSDIGARYWGMRARLWNIWILQTAGGAFCLWLGRASTLPVSIVAMVLFSLCAQAACGAVFGVIPFVSRRSLGIISGMTGAGGNFGAGLTQLLFFTSSTYSTGRGLEYMGIMIMACTLPVVFVHFPQWGSMFFPPSATADEESYYASEWNDDEKSKGLHSASLKFAENSRSERGKRNVILADAAATPEHV >Sspon.08G0003270-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:8762395:8767774:-1 gene:Sspon.08G0003270-1A transcript:Sspon.08G0003270-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASYFNHSSSSYPPPPPPPGTSPYGAYRHAYPPAPAPPAAYSAYYDRAEQALPARDELRTLFIAGLPADAKPREVYNLFRDFPGYVSSHLRTGKSSQVFSSPYPQPLRLSHARACTSAKECHS >Sspon.04G0004530-1P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4D:14441718:14442662:-1 gene:Sspon.04G0004530-1P transcript:Sspon.04G0004530-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIDTGSDVSWVQCKPCSQCHSQVDPLFDPSSSSTYSPFSCSSAACAQLGQEGNGCSSSQCQYIVTYGDGSSTTGTYSSDTLALGSNTVRKFQFGCSNVESGFNDQTDGLMGLGGGAQSLASQTAGTFGSAFSYCLPPTPSSSGFLTLGAGTSGFVKTPMLRSSQVPTFYGVRIQAIRVGGRQLSIPTSVFSAGTIMDSGTVLTRLPPTAYSALSSAFKAGMKQYPSAPPSGILDTCFDFSGQSSVSIPTVALVFSGGAVVNLDANGIILDNCLAFAANSDDSSLGIIGNVQQRTFEVLYDVGGGAVGFKAGAC >Sspon.08G0006430-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:17132430:17134999:1 gene:Sspon.08G0006430-4D transcript:Sspon.08G0006430-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SHS1 [Source:Projected from Arabidopsis thaliana (AT4G32400) UniProtKB/TrEMBL;Acc:A0A178UW29] KMAATMVAMTARSKNSVLSVEKKQGWPIQLPELRFPWDSHEDKGFSLSLQGSGPAHGGLFASVGLKVSTGAPAVAPGPGDKDIKIPFADHCMKYVSEAVGYKVISTKAEPVEEEVVDAKAKKAAKKRGLKLKIKIGNPHLRRLVSGAFAGAVSRTCVAPLETIRTHLMVGSNGDSMIEVFQSIMNTEGWTGLFRGNLVNVIRVAPSKAIQLFAFDTAKKFLTPKADESPKTFLPPSLVAGALAGVSSTLCMYPLELIKTRLTIQKDVYDNFLHAFVKILREEGPSELYRGLTPSLIGVVPYAATNYYAYDTLKKLYRKTFKQEEISNIATLLIGSAAGAISSTATFPLEVARKQMQVGAVGGRQIYKNVFHALYCIMEKEGIGGLYKGLGPSCIKLMPAAGISFMCYEACKKILVEDNEDSE >Sspon.03G0032300-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:45903114:45906987:-1 gene:Sspon.03G0032300-2C transcript:Sspon.03G0032300-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGGGGGRRRYSSEQLLFDVPTNAGAAGRWAQQVRLSASSRSARGPGFPVSPGTSSSAGRFVCVQRGGVRRGDGEIFVSVEPATPARLRGGDAAAGDSPGHRQQLSPGLLDLHAFDTELIPDFQVPGMYDGAQKFGYGGGLDESDMRFTANKLMSNSTVFPDGNYLKAFAEKEKAAPVAKIKVVVRKRPLNKKEVSKKEEDIIDIEQNSLTVHETKLKVDLTEYVEKHEFVFDAVLDEDVSNDEVYRETVEPVVPAIFNRTKATCFAYGQTGSGKTYTMRPLPLKASQDILRLMHHTYRNQGFQLYVSFFEIYGGKLFDLLNDRSKLCMREDGKQKVCIVGLQEYRVSDVETIKELIEKGSATRSTGTTGANEESSRSHAILQLAIKRRVDGHDSKPPRPVGKLSFIDLAGSERGADTTDNDKQTRIEGAEINKSLLALKECIRALDNDQTHIPFRGSKLTEVLRDSFIGDSRTVMISCISPSSGSCEHTLNTLRYADRVKSLSKGGNAKKDVSLAMPLRESSPSPLPSVVPSFSASEVMNDITERSNFGWPKQQYVKEQPALTFVERMPKVKDAVEFTSSNGAYSREQRSKGFMAPNIVEIPDIMYQQGRQPARKAKDTTLGNNIRNSIAYPTRRVEPDEDEHLNNLLQEEEDLVNAHRKQVEETLDILKEEMNILGEADQPGFQLDDYLARLNTILSQKAAGIVDLQARLEQFQRLLNENNVLLYDQSP >Sspon.05G0022780-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:3979593:3998149:-1 gene:Sspon.05G0022780-1B transcript:Sspon.05G0022780-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGGQSSSNLLPDNEQLPSAGQNFYQGLRDVCNAFGRCGTFVVCDAGAASASFCGCTRGFSLTSPAGWRMRDYSGGCRQNAVLDCAGGNGATAMTIAADGFLRLRGVKLPDAHNVSVDASVTTLEECGARCMANCSYYMLIHVPVTHSIQAIPAPIVPSVQLSSMKAATKDFQENNIIGRGGFGIVYEGILDDGTKVAVKRLIVHSSLTYDKCETAFMREVELMSKQRHGNFIQLLAYCKDGNERLLVYEYMQNKSLSFYIFGIHSSGYTALEFVMQRNLTLKCDLWSCDTEYNKWAKRKNHATTPALREYTDSQKFHIVTVHLISHEVGITLWLDLLQAWDCWSQHKIEDLLDSAMEEPEFWLLPALEKCVQIGLLCVQQLHDDRPTMSAVVTMLNSDDSEIYPPKMPMSDDHSSTGIKKTNRFLDRVSAMIFLVLLVFLRDSAAGIASDTLNNGGNITDGETLVSSGSSFTLGFFSPSGVPAKRYLGIWFTASPDAVCWVANRDTPLSNTSGGAGVLVVGSTGSLCLLDGSGQTAWSSNTTSSAPAVAQLLESGNLVMREQSSGKMLWQSFDHPSNTMLAGMRLGKDPQTGAEWSLTSWRAPNDPSTGDCRRVMDTRGLPDCVSWKGNAKKYRTGPWNGLWFSGVPEMVSYSELFSNQVVVRPDEIAYIFNTSANAPFSRLVLNEVGVLQRLAWDPASRVWNVFVQAPRDVCDDYAMCGAFGLCNVNTASTLFCSCVVGFSPVNLSQWSMRESGGGCQRNVPLECGNRTTTDGFRVVRGVKLPDTDNTTVDMGATLEQCRARCLANCSCVAYAAADIRGGGDGSGCVMWKDNIVDVRYVDKGQDLYLRLAKSELANRKRTDVVKIVLPVTASLLALLAVALYLVWICKLRGRRRNKDVQKKAMVGYLTTSHELGDENLELPFVSFEDIVTATDNFSEDNMLGQGGFGKVYKGMLGEKKEVAIKRLGQGSGQGAEEFRNEVVLIAKLQHRNLVRLLGCCIWGDEKLLIYEYLPNKSLDSFIFDAARKKLLDWPTRFKIIKGISRGLLYLHRDSRLTIVHRDLKPSNILLDADMSPKISDFGMARIFGGNQHEANTNRVVGTYGYMSPEYAMDGAFSVKSDTYSFGVILLEIISGLKISLTHITDFPNLLAYAWSLWNEGKAMDLVDSSLVKSCLPNEAFRCIQIGLLCVQENPNSRPLMSSVVFMLENETTALSVPKQPVFFSQRYSEAQETGENTSSSMNNMSITLVDTWKSINYGEKLYLRLASPPVKTKTNIVKIVVLVVACLLLPTCIALVYLCKFKGKWRKREIQKKLMLRYLSTSNELGDKNEEFPFVSFDDIVAATDNFSDCNMLGRGGFGKVYKVMADFHIKSYRIICVKRIIKTEERIKNAIMQGMLEGGKEVAVKRLSQGSGQGIDEFRNEVVLLVKLQHRNLVRLLGCCIHEEEKLLIYEYLPNKSLDAFLFDTSRKHVLDWPTRFNIIKGIARGLLYLHQDSRLTIIHRDLKASNILLDTEMSPKISDFGMARIFGGNQQLANTTRVVGTYGYMSPEYVTSGAFSVKSDTYSFGVLLLEIVSGLKILSTQLIMDFPNLIAYTWKLWEAGNATKLVDSSVAESYPLHEVLRCIHVGLLCVQDNPNARPLMSSVVFLLENETTLLPAPKEPVYFLPRNSETEETRRNIEGEVQVIQQIANDRQSSHSRWLVRDSVLLIDTAKAPDH >Sspon.01G0014460-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:96914953:96920845:1 gene:Sspon.01G0014460-2B transcript:Sspon.01G0014460-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVRPPVQGPVPGRPAMQVRPPCQVAPAASPSSLLAPAPVQGPVPGRPPMQVRPPAGQVAPASGPQIQGKAPAGQVALSAPAGTLPPASSKAMVFPARPGYGTVGRRCRVRANHVHVQLADTDIYHYDVTITPESVSRARNRWIINELVSLHKQHLDRRLPVYDGRKSLFTSGPLPFKSKEFVLNLTNPDRASQGEKEYRVAIKDAAKIDMYSLKMFLAGRNRDLPQNTIQALDIALREFPTARYTSISKSFFSQQAFGNGGNLGNGVECWRGYYQSLRPTQMGLSLNIDVSATSFFKAQPVIDFAVEYLNLHDTSRRLSDQDRIKLKKALKGVRVATKHRHDISMRYRITGLSSAPLNDLTFDQDGTRISVVQYFKRQYNYSLKYTHWPCLQAGSASKQIYLPIEVCSIVAGQRYSSKLNENQVRNILKLACERPSEREGRTLEVFHKNNSDDSYAKEFGLKVMDQLTLLDARVLPAPRLKYHDSGKEKVCNPSIGQWNMINKRMVNGGSIKYWACITFASRMHPNDIGMFCEHLVGMCNNIGMQMSTRPCVEIKKAHQDNLEAEIRGIHLRSAQVLAQQGLTNQQLELLIIILPDMSGFYGRIKRLCETELGLISQCCAPKNVRKGGIQYLENLSLKINVKVGGRNTVLDDALNRRIPLLTDCPTIVFGADVTHPSPGESSSPSIAAVVASMDWPQVTKYKCLVSSQGHRVEIINGLYTEVRDPQKGTVRGGMIRDLLVSFHKSTGYKPSRIIFYRDGVSEGQFSQVLLYEMDAIRKACASLQEGYQPRVTFVVVQKRHHTRLFPENHRARDQTDRSGNILPGMQGTSRPAHYHVLMDENGFSADALQTLTYNLCYTYARCTRSVSIVPPAYYAHLGAFRARYYIEDDNSDQGSSTGATRTFDQSVPVKQLPRVKEYVQQFMFYC >Sspon.04G0010610-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:32286856:32289511:1 gene:Sspon.04G0010610-1A transcript:Sspon.04G0010610-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTHGSPLTKQTVASSLFMADEEVTDPKALLEERSKAKCVSQWYEYQKCVKRVENDETGHKHCTGQYFDYWKCVDKNLSGAFWWGKI >Sspon.01G0056390-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1C:89000802:89001014:-1 gene:Sspon.01G0056390-1C transcript:Sspon.01G0056390-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSNRIDDGGGGWNSLGWWGWTHLIDPVIFLSRSLDHEPRCGRRRYTNTAAIIFEKIYRFHFSINRRA >Sspon.04G0001550-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:51533932:51538907:-1 gene:Sspon.04G0001550-1P transcript:Sspon.04G0001550-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLGAAARMEEKGAITGRRDRDQFPVGMRVLAVDDDPVCLKVLETLLRRCQYHVTTTNQAITALKLLRENRDMFDLVISDVHMPDMDGFKLLELVGLEMDLPVIMLSVNGETKSVMKGITHGACDYLLKPVRIEELRNIWQHVVRRKFSKRERSNLDIYKDFNKLPSTDPCHGHNQMIAGASDQSGRISKKRKEMHSDDEDDGEENDFQEGDEPSAAKKPRVVWSVELHRKFVAAVNQLGIDKAVPKRILELMNVEKLTRENVASHLQKYRLYLKRLSAVASQQASIVAAFGGRDPSFLHMGAFEGLQSYQPFAPCAALSSFSAHGLLGGTSATTFGVPELAPTMTVQTATNNGIMSHCAGDANKFQLSGLQENQQANLGQGSATSLGLPQLQQKWIQQETNDLSAVFSGSALANTLSGALQRVTSSPLPPQEFLESAQTKVSAQPPITMPSVSLELVERSVGVSANLQESSISQQGALPINDGFTADKLQLHDPFDSTAGTKFLVNMPVCPSGSLRAASNTKGGASSCSTVLLAPDTGRHPNYLQFGVAGNSRHDMNEIKQDHLHQGLSTGSFNHNFGACMTEQTNPNVSYLMPQVKPNTMASEDKLKQRNIYDLGIPKLHGGFSSSSCNFDGLLNSMIKAEKDDLSFTDNDLGCDFFPLGACI >Sspon.01G0000650-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:1560848:1563543:-1 gene:Sspon.01G0000650-3C transcript:Sspon.01G0000650-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVPASAQVPHTIRPVGSCFRLHQLSAQNLDRCRRWWGTGKKMILSTRGVLESSNGAHSGGLMKKRKIVEHIILLRAKPNVSDAEEKDMLDYLYTSQYQMRGILAVSLGRIEDPNSENFTHAVFMRFQQKEDIAKFQSSAYYSKVLDEHGSVSVDFESEVEDDIIPLFRRGEDFSYGVEFMLLISFFKTASREATEDALASLQKLISQCSSFIVQATCGCCLDHPDNEYNHAAVIRFPSFDDFKLFRESMEYKDMWASKFHPIVEKSLHLHFTVDPVGNQLM >Sspon.03G0013150-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3A:36561451:36561690:1 gene:Sspon.03G0013150-1A transcript:Sspon.03G0013150-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQGGLGLVLYPGGMHRDSRIKPTLNNGQDANSRSVENHHTKEEVDDMWARPAGQMVGPPGPTCQRLGVRFALVSSAVF >Sspon.06G0015880-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:78049206:78055367:1 gene:Sspon.06G0015880-3C transcript:Sspon.06G0015880-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAAANPKAKPTPMPPPAAAQKPQAGASRASSSSSSAADLNPNKRPNPGNAAAAAGAAAAAPEPTPSVNGEASRSPLIPAPHPHAPHPQQHPPPGASPLLSPPPPSRPLLTVAAVESVMAAIPPPPRYGLEDLDRRTVALSDGTVRTYFALPHEPPPQLRQPPPPIPAHLLAPPPPPLPLLRPPIERWAPPPPMPALLPAAGLLPVPLPKRKWEDQANGGVPGESSGRKQPQKSEARAAKQVKVEETGVDPKVLKTAFLKMVKLMNENEADKKNYRANGRLSQLKCPVCQSRLYAWFDYRDSVDLHALLNHAYYAKNQEHRADHLGFHKALCVLMGWNYSVAPVHKTAYQALSTIDAEANQGDLILWPPTVVIENTYKSKHDGQKDGMSDKDMESKLRGTSSMLAMSLRQSETDMFANFPEMGFAGVDVQPLPGKDGQRSMQAKFPASLDGLNNASRLVELFERQGHGRAAWARIRSNVPTSDGGNNNPMLVKVDGKGERTWVLYGYLATAWDLDILDPESKQNATVKSRKELDLD >Sspon.02G0029320-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:106769290:106773741:1 gene:Sspon.02G0029320-1A transcript:Sspon.02G0029320-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKHSNTKNGEVGNNVFRRMILNQIAGDLGLDEENVPCNTPRNSVHSAFRGSSGGRVVASTSGSRNTDSVSPGEYVGDPGSLLSLQPWIFRRNGSQNYGESVLASGSKAAGKGKNLVDALREGQAVEVSPRSPGLGSGPGRGCGALRSRRPRRNLMKPLVPMDNSYVPQLYSENFEIEECTFAPAPSPASVRPFIVTDGRRIISKSRCEPVPLPFNIGLDKEECRGTSVMPGSLIGIAPLPELKKLKHESRNSHDARLGLSGSQRSSKSHEQAGLRDRLLLFSIGVSIGILSSSLSNKKEFDTLKGTLKQMENLVQDLQDELEMKEGLTVKELPNETSSEHDDDNNKLHVVDPEPMSKIEAELEAELARLELNIASKRLQEETSDFNEVDEEFIGDIVCGELKADMIPRDHTDYSSECDHGRDSRESSPDYTCGANYPVSPRDLSIRLHKVIQRRLEDRIKELESAIAHRQKQTQVQMMVTDQIFSERICSNSESGSSSGQGSPIFIQESSLAEPYCLNLSGDALEAYDEAYEEFMRIADSPCTTSTNGKPQATEDYLVDRGLIWGMEDSSRKLKEVPTWERALKSDQESDRDESGDDDDDDDDCKVLIQQIVERTKQGSPAQACSDKDIHMNIM >Sspon.02G0034110-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:10496097:10498436:1 gene:Sspon.02G0034110-1B transcript:Sspon.02G0034110-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LATLKTEAPNTRAHRTRTLRLARIAYRHARLPPPRPSRRRRRGAQRLPPPLPPDGEDPVPPLAPRPVSPRRAAAAAFAFNPAAAAAPIAASLLEGPVLVWAGRLCLYYALLHVGLAGSPRNPFLSHEIGGEDGAGDSDLGFSKWAEKLRGGASGEKDAQDKRKQLANGSRQPEAH >Sspon.01G0062670-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:106417998:106420575:-1 gene:Sspon.01G0062670-1D transcript:Sspon.01G0062670-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLTFTKLFSRLFAKKEMRILMVGLDAAGKTTILYKLKLGEIVTTIPTIGFNVETVEYKNISFTVWDVGGQDKIRPLWRHYFQNTQGLIFVVDSNDRDRVVEARDELHRMLNEDELRDAVLLVFANKQDLPNAMNAAEITDKLGLHSLRQRHWYIQSTCATTGEGLYEGLDWLSSNIASKS >Sspon.05G0001240-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:2931203:2935052:-1 gene:Sspon.05G0001240-2D transcript:Sspon.05G0001240-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVDGAAGAAAAKPLTPEEEALRRSTDCVYFLASPLTCKKPIDGLFGAPTSGLPPVSAHYGAYNPGKQMVPCYYFQKGNCLKGDRCPFYHGPQTAGNNPAEQVAKVSSFPLEPSQAQKNEEAAAPNNSTQQEARITDNRTTAHVSRSGAGAIPADVASNAVKSGPNSEQAPSNTLAAKKSFTTEDHHMHYQNQVPVEGDAVQDWNQNFEMPPTDDLPQNSREADDFLGESSPGFDVLVDNDGDGATYLHDEEDFGRDMYPVEDYEYAPADFDIRAHHESEQFNNGMGENRRIGQYDGYERKRRRSSSERSLDRPYHPDRRFLHRELDRNDIDGSDLRHQLRRRRINGPSTAISPERANGDRHWRDERYRERPHGGHHTHRDRYQGPRGNTLSSRLQARIKLPRRSPDRVDIRFEDERDRRRLRERFSPVRRMDFHGGRHREAGQNQERSHRRSSEIVSTVRHADGLSARRDAVDSAHFAALRNLGEPRKANGIVESEASLDFEGPKPLSVILQRKREAAQGNNSSSNYEKSAEVSVMQTGSLVETEKKGCNNITSSADCKSGSGDEEYKEEDHIPVDAHRQFSSHGDKFEVEYAAEVDGEGNQEADNYDQREGESDDYETIEGHDYKSEDENAYQDDEDFDDDDDFARKVGVVFS >Sspon.07G0009540-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:26875650:26879335:-1 gene:Sspon.07G0009540-1A transcript:Sspon.07G0009540-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAEGLAAYRAVLRAARRTFAGDQLMLKESAVEIRRRFEDHRGLAPGSDEAARALADAREAAHFITHMIVQATRAPSGSFDTKHFELVSVYAVYTEVNCEPESVHAGATLEVPSEEILSKLK >Sspon.01G0016960-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:62928125:62942935:1 gene:Sspon.01G0016960-2C transcript:Sspon.01G0016960-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSVETHILCRVRLPLLLLFVCSWWLLPQSDARRTLAAPGLPIRAVNLGGWLLTEGWILPSLFDGIPNKDLLDGTQLQFKSVTQNRYLVADQGGGAAILADRVQASGWETFKLWRINETTFNLRVFGNQFVGVNSTGGVVATATTPGPSETFQLVRWDSDKTRVRIRAPNGLFLQAKTMESVTADHNEDTDWGDDDPSVFLTNNICNGYGITKATQVLRKHWSTYINQRDFSFIASSGLNAVRIPVGWWIASDPYPPRPFVGGSLQALDNAFRWAEKYKLGVIVDLHAAPGSQNPYEHSATRDGSQEWGTTDANIAQTVQVIDFLAARYASSHSLLAVELLNEPLAPGATLSSLTKYYQDGYNAVRRHTSAAYVIMSNRLSADATELLQFAGGFSGAVLDVHYYNLFSSVFNNLTVEQNIDFVRNNRSSDLAAVTNQNGRPLTFVGEWVAEWDVQGANKTDYQRFAQVQQDVYGRATFGWAYWTLKNVNNHWSRKSLLAIELLNELSGEVQLDAPQKYYKAGYNAVDRQKRPN >Sspon.02G0028460-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:103646586:103648834:1 gene:Sspon.02G0028460-1A transcript:Sspon.02G0028460-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKVKHLIKRIITKMKSNTLSARIIVLGCTGARWLDVGEDGARAPWLQRLCVEGKRWLGFTRLGFLPAP >Sspon.01G0018960-3C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1C:71814874:71815640:1 gene:Sspon.01G0018960-3C transcript:Sspon.01G0018960-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding TSSYPIKRSGPRGTRLPFPSSSAVGASSPRALCSESEPSPRTGGRAGPSFSQSPHPFSCPPLPCRRASLRFFLSSFLGQEESRRGRVVHMDSGAVQHGKSCAHYSRGCSVVAPCCGQVFACRHCHNDAK >Sspon.07G0000230-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6C:36769479:36770129:-1 gene:Sspon.07G0000230-2C transcript:Sspon.07G0000230-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Reticulon-like protein B12 [Source:Projected from Arabidopsis thaliana (AT3G54120) UniProtKB/Swiss-Prot;Acc:Q9M392] VWDVLLWRRGRADVSACLLAATVSSWLLFYGGYTLLSLASNVLLLLTVLFLWAKAARLLNRPQPPVPELRVPQQAVDEAAALLRSALDAALDAFRDIALGRDSLLFYRAFLCLWSVSIVGSLTDFPTACYASIVAALTIPALYHRHQECIHTYMSFAYMNLRMYEMVYQRMSMKCFLRIRDWVMELLKDP >Sspon.02G0011380-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2A:30470240:30470860:-1 gene:Sspon.02G0011380-1A transcript:Sspon.02G0011380-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGACVSFSRSPPAPSTAEASHSQRTAAATVKVVNLDGSMAQLAGPVTAREALAPGDGDGGRQRHHRVSSPPPRVILCSADELGYDAPPRALAAGEALQPGQLYFVLPASALRRPLSAKDMAALAVRAATALAAEAGLSPRRDKQGGTTAAGKRRRMRRQATARVAPLLVAVSKESPPDGGYAARAAVQDGERTVGTTRKGAAGYRTG >Sspon.01G0049940-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:117501544:117506281:1 gene:Sspon.01G0049940-1B transcript:Sspon.01G0049940-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQPRARYPPGYGSGGGGGAGRGGGGGNGGGGGGGGGNHNYYGRNPQPQQQHHYQQQQQHSQRNSSHQQQWLRRDQAPAVAGAASGNAAAKTAPQLDAVDSSSQDWKAQLNIPAPDTRYRTEDVTATKGNEFEDYFLKRELLMGIYEKGFERPSPIQEESIPIALTGSDILARAKNGTGKTAAFCIPALEKIDPEKNAIQVVILVPTRELALQTSQVCKELGKYLNIQVMVSTGGTSLKDDIMRLYQPVHLLVGTPGRILDLTRKGICVLKDCSMLVMDEADKLLAPEFQPSVEALIHFLPPSRQLLMFSATFPVTVKEFKEKYLPRPYVINLMDELTLKGITQYYAFVEERQKVHCLNTLFSKLQINQSIIFCNSVNRVELLAKKITELGYSCFYIHAKMLQDHRNRVFHDFRNGACRNLVCTDLFTRGIDIQAVNVVINFDFPKTSETYLHRVGRSGRYGHLGLAVNLITYEDRFNLYRIEQELGTEIRTIPPQIDLAVYCQ >Sspon.02G0054920-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:122229533:122231275:1 gene:Sspon.02G0054920-1C transcript:Sspon.02G0054920-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MISWSRRTSEVTQCVCMLLRFSTYDQDQLLEKPRACILSAKLVREGFQFKHNTLDECQRVRQGLGTFQPTSRVTDDFLLNIRGWTVEGLRLQYFGAGFWVGRSPNLFFNRNRWREYLSSGEKRAAAQGKHFSNSCSEKGHSSY >Sspon.04G0022700-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:13055184:13060296:1 gene:Sspon.04G0022700-2C transcript:Sspon.04G0022700-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLEAAGAAEAAHGKRRGESWRATLLLAYQSLGVVYGDVATSPLYVYKSAFAGNDIQHSEGNEEIYGVLSFVFWTLTLITLVKYVLIVLRADDGGEGGTFALYSLICRHVRAGLLPGGGTRDELMEEEKVTGRRGERPVSRVRAVLEKYRVLQRLLLLFALLGTCMVIGDGVLTPAVSVFSAVSGLELSLEKEQHKYIELPVACAILICLFALQHYGTHKVGFLFAPIVCIWLLCISAIGLYNIIHWDHHVYRALSPYYMYQFLRKTQTGGWMSLGGILLCVTGSEAMYADLGHFSQSSIQIAFISVVYPALVLAYMGQAAFISQHHNIESSYHIGFYVSVPETLRWPVLVIAILAAVVGSQAIITGTFSIIKQCSSLSCFPGVKIVHTSSTVHGQIYIPEINWMLMILCLAVTIGFRDTKHLANAQGLAVITVMLVTTCLMSLVIVLCWNKSIFLALGFLLFFGTIEVIYFSASLVKFHEGAWVPITLSFIFMVVMCVWHYGTIKKYEFDVQNKVSVNWLLNLGPSLGIVRVRGIGLIHTELMSGIPAIFSHFVTNLPAFHQVLVFLCVKSVPVPHVEPEERFLVGRIGPKEYRLYRVIVRYGYRDVQKDDLEFEKELVGNIAEFIRSSGEYDKNGFVEDTDKPSEKLSTISTGINMLEEDGELDASVSPHKEIDPHNAAPKRKKARFMIPKSAQVDSEVRRELQELMDAREAGMSFILGHSYMKAKSGSSFIKRIVINFFYEFLRKNSRGPSYAANIPHASTLEVGMVYQV >Sspon.01G0019080-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:71473251:71478184:1 gene:Sspon.01G0019080-1A transcript:Sspon.01G0019080-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chaperonin CPN60-like 1, mitochondrial [Source:Projected from Arabidopsis thaliana (AT2G33210) UniProtKB/Swiss-Prot;Acc:Q8L7B5] MYRAAASLASKARQAGSSSAARQVGSRLAWSRNYAAKDIKFGVEARALMLRGVEELADAVKVTMGPKGRNVVIEQSFGAPKVTKDGVTVAKSIEFKDRVKNVGASLVKQVANATNDTAGDGTTCATVLTKAIFAEGCKSVAAGMNAMDLRRGISMAVDAVVTNLKSMARMISTSEEIAQVGTISANGEREIGELIAKAMEKVGKEGVITIADGNTLYNELEVVEGMKLDRGYISPYFITNSKTQKCELDDPLILIHDKKVTNMHAVVKVLEMALKKQKPLLIVAEDVESEALGTLIINKLRAGIKVCAVKAPGFGENRKANLQDLAILTGGEVITEELGMNLENVEPHMLGTCKKVTVSKDDTVILDGAGDKKSIEERAEHIRSAIENSTSDYDKEKLQERLAKLSGGVAVLKIGGASEAEVGEKKDRVTDALNATKAAVEEGIVPGGGVALLYASKELDKLQTANFDQKIGVQIIQNALKTPVHTIASNAGVEGAVVVGKLLEQGNTDLGYDAAKGEYVDMVKAGIIDPLKVIRTALVDAASVSSLMTTTESIIVEIPKEEAPAPAMGGMGGMDY >Sspon.06G0000920-3C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6C:1324244:1325087:1 gene:Sspon.06G0000920-3C transcript:Sspon.06G0000920-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSGDTAIAQVHTGLDSSNKTLLKSEALYKSSSNDQRSRLLAQYVLDTSVLPHEPDCMRELRLVTDKHEWGFMQSSPDEAQLLRMLLKLTGARNTLEVGVFTGYSLLATALALPDDGKVIAFDVSREYYDIGRPFIEKAGVAHKVDFREGPALEGLDALLADEANHGAFDFAFVDADKPNYVKYHEQLLRLVRVGGSIVYDNTLWAGTVALPPETPMNDLDRRFSAAIRDLNVRLSKDKRVEVCQLAIADGITICRRLV >Sspon.08G0013480-1P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1A:48997957:48998214:1 gene:Sspon.08G0013480-1P transcript:Sspon.08G0013480-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHDPFYASNGYAAPHGVQMAAMAQQQQAFMLQQQMMTTMAPAPVPVVHHPMQMQQNPANPFGNPFAAAGAHPYGAAGMPLHAGPGN >Sspon.07G0008440-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7A:23088833:23092021:1 gene:Sspon.07G0008440-1A transcript:Sspon.07G0008440-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RELIMSGGIYTWTNNQDPPTLEKLDRILVSKSWEDAFPLALVKKLPRELSDHNPLILSTGAPRDRASIQFKFDLSWFNNDDFLPLVEKLWCKPLIWRVIAKIIGANNIPSSLSQCWEWCDFWLPDGKKFHLWAVSTICWAIWKARNKACFDGKIIKNPIEIICHAGASMRFWTGLYAEVDRKLLINGVNTMLKVASDLLLSQRQHKEQCKRLKQDCGQDEEDQHQ >Sspon.07G0021160-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:982560:985037:1 gene:Sspon.07G0021160-3D transcript:Sspon.07G0021160-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAVHCSRAYIPVSFVYGSGTSSRNKSACHKCCALVELALPHKELRKSSQLIQYREFVLPQSSLKELDCQQLAHGMDDQWSYHSSYPASVSSGQYPVKKSTVKQVQDLQPCQTQGAACFTGLSEKEIERRRKIGAANKGKVPWIKGRKWSEEHKKLIKQRTAEALRDPKVMSC >Sspon.01G0006290-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:14562973:14571502:1 gene:Sspon.01G0006290-4D transcript:Sspon.01G0006290-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VNGPRLFEKRAQIKEWREAHRLHLISLPNPNYPLLSTVASRSNGSHGFHHLLSVAPTATFLHIPASTSSSFVAAPGILAGRRAAPRALPLRARPPRRVTVVCSGAAAAAEASDAAAPVEKFRLDNLGPQKGSRRRPKRKGRGIAAGQGASCGFGMRGQKSRSGPGVRRGFEGGQMPLYRRIPKLRGIAGGMHIGLPKYVPFNLKDIVRGGFKDGDEISLETLKSRGLINPSGRERKLPLKILGDGDVSVKLNIKAGAFSASAKEKLEAAGCSLTLLPKRKKWLSQNYLKNQARAEEYFAKKKGGAGESDSGSA >Sspon.08G0016910-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:60911145:60911654:1 gene:Sspon.08G0016910-2B transcript:Sspon.08G0016910-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAADVDAKLESFLQWLQANGADLRGCTIRACGGKGFGVFSTAAPEPGSNDGVAMVVPLDLAITPMRVLQDPLVGPRCRALFEEGRVDDRLLVMLFLMAERRRPGSLWKP >Sspon.01G0009800-2P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6B:8611983:8612312:1 gene:Sspon.01G0009800-2P transcript:Sspon.01G0009800-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMLRWCCGHTRRDRVRNEDIRDRVGVASIEEKLIQHRLRWFGHVQWRPPEAPVRSGVLKRADNVKSGRGRPKLTWEESVKRDIKEWNISKDLAMDRSAWRLAINVPEP >Sspon.06G0010730-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:42243129:42245077:1 gene:Sspon.06G0010730-2D transcript:Sspon.06G0010730-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLRVLLRRRWPPFSVTAAGFFTSSGSNATAPPPPPPPAGAEGPRDEPGQEGSLARRVERAASVGAAMRGWMADGRAVHRGHVFHAINRLRRHRLHRTALQVMEWIVRERPYKLSELDYSYMLEFTAKVHGISEAESLFLRVPQEYQKELLYNNLVMAALDLDLIKHSYAYMRKMREFSLPISPYVYNRLIILHSSPGRRKTISKILSQMKADRVSPHTSTYNILLKIQANEHNIDGLARVFNDMKRAKIEPNEITYGILAIAHAVARLYTVCHTYVEAIENSMTGTNWSTLEILLILYGYLGKEKELKRTWEIMQDLPHIRSKSFTLAIEAFGKVGSIDQAEKIWVQIKSTKKLSLTEQFNSILSVYCRHGVVDKASSIFKEMRANGCQPNAITYRHLALGCLKSGLVKEALNTIDMGKKEVVTKKVRSSTPWLETTHLLLENFAEIGDLENAKRLYSELNESKYSRNSFVYNTLLKAYVKAKVYEPDLMRTMILRGAMPDAETYSLLRLIEQFKI >Sspon.02G0044190-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2B:100054364:100054615:-1 gene:Sspon.02G0044190-1B transcript:Sspon.02G0044190-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNRCWWLAAALQKHSMIFLLISDLATSASAHSAAFSSMSWFRDCDLGESARSRGRTAGGGGSAILYIGVSIQVRNPCLFPQL >Sspon.04G0001330-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:5882396:5892284:-1 gene:Sspon.04G0001330-3D transcript:Sspon.04G0001330-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:MAK10 homologue [Source:Projected from Arabidopsis thaliana (AT2G11000) UniProtKB/TrEMBL;Acc:F4IRD3] MERNHGSESYEQSEGLTFPPNLQDGELVHGENFSLFAAMSALEIMDPKMDSGIERSRYNSIEEAIEDGVAPIPLSLDRTLDVQRSIDVMDHLFSCEATWHKGHTLAQTVFTCIYLMRMQRTSSHAVLNSFCRILRATCNAVISVVSTARTHEEEDLFTMSFGLPLRDEGDEKCLSILNSVEETISRQLRACKAQALSKKKTLEGLESLQDNPDLEEDYCRALLCRLRFRKHFYHVVMCMRKPHGRGLELARKHVASCLTELNLMLKSREFLKSQSSTTLQQGDGNCTTASGCQPVGFDVTLNSRLLSPTPPRAVKVLSWSDAIRYFERLLHDLDVICALSLDPVLENVLHFIVQFQKTVPDLVPRAFLQTLLVQDGKLYGEHLFSDVISRALSLPDIIGDKEFQMNEFVVQLGQLVVNLIKILCTNTAWQRRKLGKSLQDWSTISIQLELALKREFGETRNVLQNENMCMRVSKQLLIWTQEHAYWVAFRFLTLGFELDLYSPGEYCMVYWYMYVVLTKLIEKMQLRVLASSETCNTKKGEKEEGSFEGFSSGYNIFILLFIASMLSLAIRGTLNGTYGKIWSFGNHSGAKHTSVQMLAVLRNESRSFQLPSIFNTEQERFMQHFDLLLKARVPEHISFFSFKESSSRAGISDLVKYNFFKEIQKIVPSLRGSFASEPEKLAEVRRIEQVAEHNRIALNIINQVGAGEPSLRVSFEFTHHPHFAVAVEKEEGME >Sspon.01G0010440-4P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:31709813:31713807:1 gene:Sspon.01G0010440-4P transcript:Sspon.01G0010440-4P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLAAVCTDPVILSCAFLCLLLHVALRSLLHPSSAAPSSGRRGGQLPPGPPGLPILGALPLVGPAPHAGLAALARKYGPIMYLKMGTTGVVVASSPGAARTFLKALDAKYANRPAVASAADITYGCQNMWKLMRKLASVHLLGARALADWACVRRDEAGHLLRGVAEAAAAGRPVVVPELLVCALANIVGQITVSKRVFDAQGDDSNRYKDMIMSLLTGTGMFNISDFVPALARLDLQGVQAKLRRVHHQFDGLITKLLAEHAATAADRARRGRQDFVDRLRATMDAGADDESGETITEVNIKGLIFDMFTAGTDTSSIIVEWAMAEMLKNPSVMARAQEELDRVVGRGRRLEESDLPSLPYLQALCKEAMRLHPSTPLSLPHFSFDACDDVDGYRVPANTRLLINIWAIGRDPAAWEKPLEFRPERFLPGGGAEKVDPMGNCFELIPFGAGRRICAGKLAGMVFVQYFLGTLLHAFDWSLPDGEEKLDMSETFGLALPKAVPLRAVVTPRLVPEAYA >Sspon.08G0002070-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:6512111:6512905:-1 gene:Sspon.08G0002070-1A transcript:Sspon.08G0002070-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKNKSGASNYLCVAPIFSSCVSSGKQQSNADAARSRLSFSFPERQQQQKQQPAEEQSNSESIIDPAASVIARKEKQKDGGKHCTVIVGTIFGRRAGRVTFCVQRDAAVPPPFLFELSVPMQSLATEMGSGLLRIALECHRTGTGGTGGGESRNVWKASCNGRDVGHAVRRQPTDWDRRVLESMRTMTTGVGALPPAVALEGQGPNGDGNTQQDCAGEVLYMRATYERVVGSRDAVSYHLINPGGTAGGSPPQELSVFLLRTRG >Sspon.06G0024650-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:57277197:57281004:1 gene:Sspon.06G0024650-1B transcript:Sspon.06G0024650-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MISARRFMLGTSAEKHTTVMGHDHISRIKMHMAEGSFGDRNRCQQPGCSEVVDGRVVYCKTHSGEFSCQQFSHLHSGMASSGLSVPPVNDSQFKGSISIAVPCTEQELHVKHEGDDRGKLKDSSGCTSGQTTQLVFSGAGLLCKNENCSKQAHENSIYCKLHSGVSKGCMVRGCTRGAHGGTPLCIGHGGGKRCVFPQCPNAACGQGRSDRCVRHGGGKRCKFEGCAKGAQGNTDYCIRHGGGRRCKFEECTKSAQGRTDFCIKHGGGSRCKFQGCGTSAKWGTDFCSVHRKSLLGGDNDIAEALPAPSEKRRRAKKPKKAAKPSETSQENVTTAAIAGNSTQHMGVLLIATPVTNRDILAKGVTVAGQAAIAPTQIVAPLSMKSPTPSGSVATTAEREAGASREMLGL >Sspon.03G0042010-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3C:48807355:48807866:1 gene:Sspon.03G0042010-1C transcript:Sspon.03G0042010-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VTVAGTVNANPNQRSRIRPYTVPRSTAYQKHPLHIIMIKKESQNPPPDGLPSPHRAAQHAPAAAAAAAGHQPPELLLASPRPGRHHCRRCSRPVARSVARSSAGPGFHERSLRGTNAATVVERRNRLPRAEPARHKRRHRRRAQEQASASRSCAPQTPPPSSALPTSRA >Sspon.01G0028650-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:100000814:100004624:1 gene:Sspon.01G0028650-2P transcript:Sspon.01G0028650-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKEHEPRKLFIGGIPRWGFTADMVRAHFARYGHVVEALVMAYPDGFGRGFGFVEFEDEEAVLRALDSKESDRHDAFFSCKVNVKRAEKKQETSSAQTSTTSNSNAGLKIFVGGFGDNIVTKDHLIDYFERFGTITDAVVISHRDTRKPKGFGFVTFDSKEATVKVLKDRFHYLNGIKVETKNAEPEDRRRHQNGHCYDSMDMVKGGMYSPHSRYYDSMDMAKGGMYSPQRLPYVPYYNGPYLAYPYPYPYHYTPYGIIDYGYMGNQMDNSYDSRLSHVKGSQHKIGIPASTGMKSDLVKSDSNNLLYSKSYAIACGIDYVD >Sspon.03G0031290-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:35196642:35198251:-1 gene:Sspon.03G0031290-2C transcript:Sspon.03G0031290-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding PCLTGPCALLRSLYVLPRALSLSSPHFIAASARLTGETPRRPQSSKAQTPQATEPHARASANTMVPAFIALLLSLLPVAADAAAAAAAMDPTERETLFRVMEAVSSDRDWRVESPDPCGAPWPGLECKPAAADAGAAAARMYVTRLDFGVEPNPTCKDTASFPPEVFSLPHLQSLFFVDCFTNPAAATTLTLPAPANLSAASGLQQLSIRANPALSGTMPPALASLRALQVLTVSQNGLVRGEIPQGIGDLTSLVRLDLSYNSLSGPVPTQIGQLKSLVGLDLSYNSLSGAIPSQIGELRQLQKLDLSSNNLTGGIPDTVANLTSLTFLALSNNGLTGHFPPGISALRNLQYLIMDNNPMGVPLPSELGSLPRLQELRLAGSGYSGPIPEAFGQLASLTTLSLQDNNLTGPIPAALSRLGRMYHLNLSNNALGGAVPFDGAFLRRLGGNLDLSGNSGLCLDDRSVLRGVGVGIGACRGSGDGDTPSSSARTSSGSRAPGRGLLTTSGSLLCLLSPACVAVCCLFA >Sspon.03G0010790-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:46075843:46078602:1 gene:Sspon.03G0010790-1P transcript:Sspon.03G0010790-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGASGVTTTLLGAAVGDAQQAPFPWKLVLLCAALAWCAVRALEWAWWRPRRLARVLRSQGLRGTAYRSLAGDAPLTERLNREARSRPLPLGCHDVAPRAMPLFHHTMKEHGKTSITWFGPVPRVTIAKPELVREVLSNKFGHYEKLKFRKLQRMLHNGLGSHEGEKWAKHRRIINPAFHLEKLKRMLPAFAACCTDLVKRWEGLVADGQPCEVDVWPEMQNLTGDVISRAAFGSSYLEGRRIFQLQGEQVQLVVQAMHKLHIPGYLYLPTKTNRRMKQIASEIEALLKGIISKRENALRTGSSAASDDLLGLLLESNMEHCRSDGNNSKAGITTDDVIGECKLFYFAGMETTSVLLTWTMIVLSMHPEWQDRAREEVLHVFGDKTPDYDGLSRLRIVTMVLYEVLRLYTPLTAVQRQTYKPMELGGVRYPAGVMLMLPLLCIHHDKDVWGPDASEFRPQRFAEGISKASRDAPAFFPFGWGPRTCIGQSFALLEAKMGLSMILQRFAFHLSPAYTHAPFPHGMLQPEHGAQVMLRPLP >Sspon.01G0052270-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:20790205:20791276:1 gene:Sspon.01G0052270-1C transcript:Sspon.01G0052270-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMGAAAAASTCIRSAFPGLPRCRVRAQATSWAGGAEALVRSGAVKAVRPRDAAEALGAEGFRLLDVRPEWERARASVRGSVHVPLFVGDDDMGPVTLLKKWVHLGYIGLWTGQGFTKMNDRFVEDVAAAVVAGDGSKDAKLLVACGEGLRSLIAVRMLHDDGYRNLAWLAGGFSKCADGDFTDVEGESKLQYATIGGASYIFLQILLLLGVVK >Sspon.02G0001000-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:3679307:3683596:1 gene:Sspon.02G0001000-1A transcript:Sspon.02G0001000-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPEFGVGTAPRKREPWRTTLLLAYQSLGVVYGDLSISPLYVYKSTFAEDITHSKGNEEIYGALSFVFWTLTLIPLLKYVTIVLRADDNSEGGTFALYSLICRHANVSLLPNRQVADEELSTYRLECPPEAAGRSGVKAWLEKHTKLHTALLVMVMIGTCMVIGDGVLTPAISVFSAVSGLELSLSKDQHEFYIPPFPSTTYLFIHYGTHRVGFLFAPIILAWLLCMSTIGLYNIIRWNPQVYTALNPSYMIRFLRKTKKSGWMSLGGILLCMTVYPSLILGYMGQAAYLSQHHNLDASYQIGFYIAVPGLAVITVMLVTTCLMSLVIMLCWDRSPWLALAFFLFFGSIEALYFSASLIKFLEGAWLPILLALILLAVMFVWHYTTIKKYEYDMHNKVTLEWLLALGDKLGMVRVPGIGLVYTDLTSGVPANFSRFVTNLPAFHRVLVFVCVKSVTVPHVLPAERYLVGRVGPPGHRSYRCIVRYGYRDVHQDVDSFETELVESLATFIKLDALFRCSDAGGEQRDSSYYERENALTVIGSNPLRRHMGLGYDDSHDGASSGSDRVDGIELAAAPAVVKKQVRFAVPPPRSPGVDESVLEELHELCEAREAGTAFILGHSHVKTKPGSSLLKRLAIGVGYNFLRRNCRGPDVSLRVPPASLLEVGMVYVL >Sspon.07G0023090-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:12606060:12607446:1 gene:Sspon.07G0023090-1T transcript:Sspon.07G0023090-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIVWFWKAINSGDRVDSALKDMAVVMKQQDRSEEAIEAIRSFRHLCSKQAQESLDNLLIDLYKKCGKVEEQIELLKQKLKMIYLGEAFNGKATKKARSHGKKFQVSIQQETSRILGNLGWAYMQQNNFEAAELVYRKAQTIEPDANRACNLGLCLIKQGRHEEARQALEDVRLRRIYGSEDEKVVARAEQLLRELNPLKCVSSPFEVGLSVHEEIMGKLDLVMNEWTPFRSRRLPVFEEIATFRDQMAC >Sspon.02G0023100-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:78066821:78068927:1 gene:Sspon.02G0023100-1A transcript:Sspon.02G0023100-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding KIIGARAYRQGYTGLSAVDTAGHGSHTASTVAGRVVENVGLSGLAAGSARGAVPGARLAVYKACWDDFCRSEDMLAAFDDAVADGVDLISFSIARTLPLPYFEDVAAIGAFHAMRRGVLTSAAAGNSALDGGRVDNVAPWILSVAASSTDRRFVGKLVLGNGKTIVGASINIFPKLKKAPLALPMNINGSCEPESLAGQSYKGKILLCASGSDGTGPLLADAAGAVIVTAQPNIAFLLPLPAVKITGDQFTEIMAYVNKTRHQPVGTIHSTETAFDSKAPIVASFSSRGPNLISPGILKPDLAAPGIDILAAWTPLSPVSRNLKDNRFTAYSIDSGTSMACPHATGVAAYVKSFHPDWSPAMIKSALITTVKLTVATPACVNEATPMDPSRNPGGGELVYGAGQLNPSRARDPGLVYDAREDDYVRMLCAEGYNSTQLRAVTGSNTTACPATANSGKRGTAADLNYPTMAHNAKPGKNFTVHFPRTVANVGAPRSMYTAKIAGLGPYVRVTVKPRKLAFSRLLQRLSFTVTVSGALPDANEFVSAAVVWSDGVRQ >Sspon.07G0008130-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7C:18065251:18070696:-1 gene:Sspon.07G0008130-2C transcript:Sspon.07G0008130-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding WLPRCRRRSRPCRRGASPAAPFYRNCRFVRPCDPMLPPLFDLRFWPMPTGSWVSHSWPELWGEVGQGEMERDRM >Sspon.01G0012160-4D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:36409295:36412823:1 gene:Sspon.01G0012160-4D transcript:Sspon.01G0012160-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SSTEKLAAQHRLVTCNANGHGAAHADNVIKSATATQAHDKEVKPSIVLKLEQSEGGQASLVGSHAVSGKSNQNTVDTAASGKNNFGGELCCSSSDPIHVPSPGSKSAGTFGAIKREVGVVGARQRPSNSAATNTSASNGLVKAVSAPKVSPHLEWKPKSVSPSSISHGVTAAPPAAPSPVDDGSKTEVSALSKKLSSHANVSHELVIIPEHIRIPDSERTHFIFGSFESEIDPKTSLTASYDIVAKEDLNDHTPSSLAALDSTSTDGTPNGRTDNVGSCSPLPQSESAVSVSEHPQSLTECVEVRSPGVVGEYGTNEMISSKVTHSQPRLQHQEATQNFKAFEPDSGYGMPFITKVVDGEAAQSIAYSSEAMILHSVNAYQLPASTATQQPVPQMFSQQFQVPQYPNFLPYRHVFSPQFGSPMVVPNYSSNPAFPQLPHASSYLVMPNGASQLAANGMKYGSNHQYKQVFQGAPAGYGYANHNGYPVSTGVIGGTGAIEDTNMSKYKDNSLYAPNPQVETADVWVQGHRESPNMPSAPFYNMVGQPVSPHAAYLPPHSGHTTFNPAPSHPAHLQYPGFAHALHPTSMTMVQNPQAMVHQPGAPPLAGNIGLDMAAMVPGSQVGAFQQNQLGHLGWTPNPFEILACFYDWISEISVPC >Sspon.07G0021800-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:4516517:4532028:1 gene:Sspon.07G0021800-1B transcript:Sspon.07G0021800-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGWNCDSNTWSAGLEIMDLFAIDSDSESYTGTSDSEDQECEFAYGDHAQNILSSLDESIGKIDNFLTFERGFLHGDIVCPVSDPSGQLGRVVGVSMLVDLETSSGDIIKDVNSKKLSRVRSFVSGDRVVMGPWIGRVIRAFDLVTLLFSDGAKCEILLRDSDVLKPVPPIIFEDAPYFYYPGQRVRIVDPSVSKSATWLCGSWRPSRDDCIVSHVDVGRVHVNWITSVTNVWGDKSSSPPNIQDPKKLTLLSCFPYANWQLGDWCALMADNNGCLWMDSGKSCILSEDHKCESHGHMYFESYGSDCSQTYVVAKTKSTVDVLWQNGTVSLGLEPQALVPVSTLGDHDFWPGQYVLEKLTVEDAARCQRTAIVRDVDALERTVNVKWIGPAHSDTVSYGSDPAVETVSVYELVEHPDFSFCTGEVVIRSAVNIDKSEVDLKNGSLASKESLVTSSGFLSCIGNVLGYKDNGIEVQWANGVISKEEEGSSFLFFGARLWARGTGSWERAWRRVGTATGLEIMDLFAIDSDSESYTGTSDSEDQECEFAYGDHAQNILSSLDESIGKIDNFLTFERGFLHGDIVCPVSDPSGQLGRVVGVSMLVDLETSSGDIIKDVNSKKLSRVRSFVSGDRVVMGPWIGRVIRAFDLVTLLFSDGAKCEILLRDSDVLKPVPPIIFEDAPYFYYPGQRVRIVDPSVSKSATWLCGSWRPSRDDCIVSHVDVGRVHVNWITSVTNVWGDKSSSPPNIQDPKKLTLLSCFPYANWQLGDWCALMADNNGCLWMDSGKSCILSEDHKCESHGHMYFESYGSDCSQTYVVAKTKSTVDVLWQNGTVSLGLEPQALVPVSTLGDHDFWPGQYVLEKLTVEDAARCQRTAIVRDVDALERTVNVKWIVQHIVTLYGSDPAVETVSVYELVEHPDFSFCTGEVVIRSAVNIDKSEVDLKNGSLASKESLVTSSGFLSCIGNVLGYKDNGIEVQWANGVISKVQHFEIIGLDRLLDSSSLESMNEEHTIGDSLDEAEQEEMHHEIALESTEGCTVSLCKATAFLFPKTVFDFLTNVAASLFGTHGSPSPSSVLVHPRYEIVKMAEMQPCAEELPKEKQIVKFVAQIEKPILSSGDAISNISKRFDVVTDCLDHHFVKENGHESVTRGWLKKVQQEWNILQKDLPDGIHVRVYEERMDLLRACIVGAAGTPYHDNLFFFDIFFPPDYPHEPPHFEKLVKEHFTCRAQHILDACEAYLGGDLVGHAHDKAYISEDGSKNCSTGFKIMLGKLLPKLVTAFCEAGITSDP >Sspon.02G0022670-4D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2D:59107733:59109185:1 gene:Sspon.02G0022670-4D transcript:Sspon.02G0022670-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDTQEALHIVVFPWLAFGHMIPFLDLSKRLARRGHTVTFVSTPRNAARLGTVPPELAARLRVVKLDLLAVEGMPEGAESTADVPPEKVELLKKAFDGLAEPFERLVTEGCTAAAGEAAAFSRKPDWIILDFAQNWIWPIAEEHKIACAMLLIFPVGVLAFVGTKQQNEAQPRTTTEDFMVQPPWIPFPTTMSFHRYEAEWIAAAFRPNASGVSDADRFWQLHHTSCRLIVHRSCPEVEPRLFQHVTDLFAKPVVPAGLLMPEDDDDDARGGDDGGGSFSDAMQWLDEQPKRSVIYVALGSEAPVTADQVRELALGLELSGARFLWAVRRPVGHSGALLPDGFEARVAGRGVVRAGWVPQVRVLAHAAVGAFLTHCGWGSTVESLFRFGLPLVMLPFIVDQGLIARAMAASGVGVEVPRDDADGSFRRDDVAAAVRRVMAEDEGEALARNAREMQK >Sspon.03G0004130-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:17434408:17436246:1 gene:Sspon.03G0004130-2P transcript:Sspon.03G0004130-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASGKTRGCGCHIVAVPFPGRGHVNAMTNLSRLLAARGAAVTFVVTEEWLGLIRSSSAAAEPAGIRIRTIPNVIPSEHGRAADHSGFLDAVATEMEAPFERLLDGLEGPPPAALVADAYVPWVVGVGNRRGVPVWSLFPMSAAFFYAYYHFDRLPAWLTDYEHAPDSGETIANSDQRLGQYIAGLASSSIRLSDLEPLIHDKRKMKHILAAISSARNAQSLLFTTMYELEASVIDSLRSVLSCPVYPIGPCVPYMTLEDQHTMSNGQVASQRDYFTWLDSQPVNSVLYVSLGSFVSVSASQLEEIALGLVASEVRFLWILREQSPQLQELFTGINNGMILPWCEQLKVLCHRSVGGFLTHCGMNSTLEAVFAGVPMLALPLFFDQPIDGRLIVEEWKVGLNFRDWASKDGLIGREDIARAVKKLMSSDETETKALRKRALELKEASRRAVDKGGSSYCNLSSLMETVCTTN >Sspon.07G0004620-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:8039447:8040331:-1 gene:Sspon.07G0004620-3C transcript:Sspon.07G0004620-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCCISKKCDEPFGVAAGEASRRRSEARDPPPPPPEEETVKEVLSETPKAKPRPRPRRVAASAGQEAGETAGKPKLGTARAKDGGGGVGGRARRAVGPARSGPSGDEKSEVASESSAATTATGPERSPAKASRRRAAASPGEVARSARRDRGVPGGGGRPGGGRASPSPPPPQQQPRRRDTVTGERPARRSPSPAAKRTQEQRRAGAGAGAASAASGTQRKPPVPPARPCGRVSPRRGQEAPPELSPPAQPREPEHCASPPLGLPEENAGSAGDGEGKESLDNPLVAMECFIFL >Sspon.02G0049470-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:50907102:50907506:-1 gene:Sspon.02G0049470-2D transcript:Sspon.02G0049470-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding WGTHTAAVARRLRRRRRHWKCRCTCASSCWCCSSSWASRGTRATSRPRSRSRTRRASCSWRRRSRCCWPCGCCPASPATAWGGAWATCWRCRCPSGTPSTAPAGRRGASASCSCCSSSWSPTSPTSGRNGSRSYK >Sspon.06G0033250-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:11319459:11321714:1 gene:Sspon.06G0033250-1D transcript:Sspon.06G0033250-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VDPNTGVAMYESDDIIKYLADTYGDGTVPIMLSLGLLTTFTAGLATLGRFGKGNSYTASKVPPQPIEIWAYEGSPFCRLVRETLVELELPHLLHSCARGSPKRQEFLKKKGVFQVAVTKAFAYAQQKKPSPSSTPGFPTRATGHLTRVTYAMGSASPPSSHRRWSWGSALAGAATTAAATALVLCRPRDPRFELISISLSAFHFRAPAALDIGLTLTVHATNPNVVPVRYGPSTVSILYGGAHLGTARLDAGEQPATSCRLLHLPARLDGVELAHRARAILSDVARRHMELDAAVEIAGEAAVLLWSRPFSVRIDSHITVDPVFLE >Sspon.07G0002750-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:6578764:6581287:-1 gene:Sspon.07G0002750-1A transcript:Sspon.07G0002750-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSALKDPKVSQSTNLENGEDNSVKSCISKPVLNGNNCANKEESSLSACPDAITNGNAAGNADVEYVDSENLVDLPDVDAVLSTLLKRLDSKDWVMTCEALNNVRQLAMYHKERLQELLEPLVPLIVKSVKNPRSAVCKTALMTCADIFKAYGDLMVDSIDPLLVQLFLKASQDKRFVCEAAEAALISMTSWISPLLLLPRMQPYLKNRNPRIRAKASVCFSKSVPCLDVQGIREYGMDKLIMIAATQLSDQLPESREAARNLALELQAFYEKSEASTSDENESEPSVSQDAESWEAFCQSKLSALSAQAILRVTSTTPKEGVASTTNTTKEGVASTTNTTKEGVTSTPKEGLAVDC >Sspon.01G0033230-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:111689578:111691257:-1 gene:Sspon.01G0033230-1P transcript:Sspon.01G0033230-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSALSLCDGTANSPCWSECSGSRLAAAPRVGRGAAVSCRWKKPGTLCLLRAKSPSLRRHSRKVQWAIGTMSDNSGDQSGNSTRLFSAIRSFWSMLSAKLKKARKGLPVKILFFLIGFYCATAFATVIGQTGDWDILSAGLAVAIVEVIGALMYRASFAVLGRMRNMITIFNYWKAGLTLGLFLDSFKYEVDEFLESCNPFNFQINIFTGLW >Sspon.01G0033680-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:114893129:114897522:-1 gene:Sspon.01G0033680-2D transcript:Sspon.01G0033680-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVAASSDQYRSSSSSASSPARRYYLPKPGALRRPISFEDSPDWDDIHPDDTIHLATASISIASSAYPSPSPSLPPGPSSASAACRDRKLAGATLVWKDLTVSSLAATTNRFSDRLVKSSNGYALPATLTVIMGPARSGKSTLLRAIAGRLSATERIYGEVFLNGAKSPLPYGSYGYVDRDDVLIESLTVREMLYFSALLQLPGFLSSKKSIVEDAIAAMSLGDHADKLIGGNCFMKRLPTGERRRCFCTALNGNIEETRKHRMHHHIHNHFSNAGFPCPIMQSPSDHFLRAINTDFDRIIAMCKNLQDDQGDFSSVSMDTAVAIRTLEATYKQSADSVAVESLIAKLTEKVRISAIFAFVSFVILLSASGVPAHIDEVKIYSHEEANRHSGTMVFLLGNFLSSIPFLFLVSILSSLVFYFLIGLRNEFSFLMYFVITIFVCLLANEALMMIIAYIWLETYKCTLTLIFLYVIMMLVAGYFRIRENLPYPVWTYPLSFISFHTYAVQGLVENEYVGTSFAVGQIRTIPGVQAVRGSYDISSSGNAKWVNLLVLLLMAIGYRIVLYLLLRLDVRKHARMLGNWRSWWPSVHSATGAK >Sspon.05G0020610-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:87496804:87498370:1 gene:Sspon.05G0020610-1A transcript:Sspon.05G0020610-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AMDLPVADPGTERGEGPLIQCPYCDSEAMHKLAQILLPGLAAVCVDGTTGDLFRNPSVVAVDLRKEMVDYITQRSDTFIADALIESEANQDGPEAEMPDDPFEIVSVFMDDFSSTKRNIIGHVSGWLLSDSRDDKIDDFVQEMEMTKFWPLERREAIAEVLLKNVDLKTKFHCPEKYENEERLADHKEQCSFRPVVCPNDGCRAKVSVRCMKDHDAACLYKVLQCEQGCEKRLLRRDMDRHCVTVCPMRPMKCPFGCDSSFADRDLEKHCVEFLQAHLVKVLKAIHKKGRSEEELKELAQKLEQFDADGKLAKALDARPLTNVVKDLEAKMKGEHSS >Sspon.01G0017610-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:9510769:9512797:-1 gene:Sspon.01G0017610-1P transcript:Sspon.01G0017610-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPHHHHHHHHEHHLPGAPPLHPHHNPFLPSSQCPSLQDFRGMAPMLGKRPMYGADVVVGGEEVNGGGGANEDEMSDDGSQAGEKKRRLNVEQVRTLEKNFELGNKLEPERKLQLARALGLQPRQVAIWFQNRRARWKTKQLEKDYDALKRQLDAVKADNDALLSHNKKLQAEIVALKGGREAGSSELINLNKETEASCSNRSENSSEINLDISRTPASDGPMDPPPPTHQHHHAAGAGAGGLIPFYPSIGGRPTAAGVDIDQLLHTSGPKLEPHGNGGGVQGAVETASFGNLLCGVDEPPPFWPWADHQHFH >Sspon.04G0027550-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4B:60026012:60032828:-1 gene:Sspon.04G0027550-1B transcript:Sspon.04G0027550-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGPKETRHHVRAYLPSDCNMQVPSPLRLMLLVPVHRHLILLLLLGLATIATLATGADDQFVFTGFTQSSLNLQGTAMVTQSGLLDLSSGTTNLKGHALYPTPLHFRKTPSGKVQSFSACFVFSIVNTYPLLSDDGMAFFIAPANNNFTEATPGMYYGVGVVPNSLQDIGRASDEAKRRPVAGGLGRSVRGFPRKSCVSAVIDFVYFIFLYIVHNKWYRSLGLRFMTTSMKFDLPLLNYNTRFSLWQVKMRGILAQTHDYDEALDNFGKRKAEWTPEETRKDQKALALIQLHLHNDILQECLKEKTAAELWLKLESICMSKDLTSKMQMKMKLFTLKMKEEDSVMTHIAEFKKIVADLVSMEVKYDDEDLGLLLLCSLPNSYANFRDTILLSRDELTLKEVYEALQSREKMKVMVQNDVTSSSKGEALHVRGRTENRPSNEKSYERRGRSKSKPPGNKKFCVYCKLTNHNVEDCRKVQNKEKRKNKSAGKVSVAAAASDNDSGDCLVVFAGCVAGHDEWILDSACSFHICTNRNWFSSYKPVQKGDVVRMGDDNPCDIVGIGSVQIKTDDGMTRTLKNVRYIPGMSRNLISLSMLDAEGYKYSGSDGVLKVSKGSLVCLKGDLNSAKLYVLRGCTLPGSDSAVAAVTNDEPSKTNLWHMRLGHMSHHGMAELMKRNLLDGCTSSKIKFCEHCIFGKHKRVHFNTSVHTTKGTLDYVHADLWGPSKKPSLGGARYMLIIIDDYSRRVWPYFLNIKMILLLLLRTGNLPSDHVPEKELQHMRMQVEHVDDDTGVQVEPVDEQDDHDNDVAENDAHDDVQQTPPILQLEEDLPIAQRKSKRTVAPPKRLIEECNLSYYALSCAEQVENVHEPATYKEAVRCGDSENWISAMHEEMQSLEKNSTWEVVPLPKKKKTISCKWIFKRKEGLSPSEPPKYKARLVAKGYSQIPGVDYNDVFSPVVKHSSIRTFLSIVASHDLELEQLDVKTAFLHGELEEDIYMDQPEGFIVPGKEKYVCKLKRSLYGLKQSPRQWNKRFDSFMLSHSFKRSKYDSCVYIKHVNGSPIYLLLYVDDMLIAAKSKIEITKLKKLLSSEFDMKDLGSAKKILGMEISRDRKSGLLFLSQQNYIKKVLQRFNMQNAKAVSTPIAPHFKLSAAQCPSTDAEIEYMSRVPYSSAVGSLMYAMVCSRPDLSYAMSLVSRYMSNPGKEHWRAVQWIFRYLRGTADSCLKFGRTDKGLIGYVDSDYAADLDRRRSLTGYVFTVGSCAVSWRATLQSVVALSTTEAEYMAICEACKELIWLKGLYAELCGVESCISLHCDSQSAIYLTKDQMFHERTKHIDIKYHFVRDVIEEGKLKDIGRASDEAKRRPVAGGLGRSVACAEPPRYGIYALARVSWRLDSLNNGKPSNRVFTVELDTYQNSELHDINDNHVGIDINGVTSLSSAVTGFYDDESGGVFKNLTLNDHREMQLWVDYDEGTTQINVTLAQLGVAKPSRPLLSSTYDLSAVLEDPAYIGFSATAGPINVRDYVLGWSFGMNRPAPPIDVSKLPKLPHVGPKHRSKLLEIILPVATAVFILTVGTVITMLVLRRRRYAEVREDWEAEFGPHRFSYKELWRATSGFQRKHLLGEGGFGKVYKGVLPVPGSSNNMDIAVKSMSHESRQGMREFISEVVSIGKLRHRNLVQLLGYCRRKGELFLVYDYMANGSLDKYIHCNGPTLNWSQRFQVIKGIASALLYLHEKWDKVVIHRDIKASNVLLDHEMNGRLGDFGLARLYDHGTDPQTTHMVGTMGYLAPELVRTGKASTHTDVFAFGMFLLEVTCGKRPMMQSTSTEGDDHQFFLVDWVLEHWKNGSLTKTVDRRVLDDDGYNADEACTVLKIGLLCSHPFPCSRPSMRKIMEYLDGDMPFPMLTPTKLNIN >Sspon.02G0014850-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:39797727:39799836:1 gene:Sspon.02G0014850-1A transcript:Sspon.02G0014850-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTAASSWRLLSPASSSPPPCPQQRPKRQATFAASPLQAQQSASSSAKFRLLCLLHDKPAAPTTPVQQSSSQLQRLATVLQCGAVWAAVRTTSLSLNSTRPCSLIGRYLLYHDHIERDIANAVCLYVEAPAALATVTGEEDLDLLGILPPIAVFAVFYLFVAPPLLMNWMRLRWYKREFVETYLQFMFTYLFFPGLMLWAPFVNFRKFPRDPTMKYPWSKPKEGTPLFKDRYPPIDSYKP >Sspon.08G0021410-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:38458721:38464817:-1 gene:Sspon.08G0021410-1B transcript:Sspon.08G0021410-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGACRWRCSNVYFSLSRTFLDCLKLKFLATILPALWQTFVSSFKTYHLSYIQGAEDLDSVSYDSDGSERSLESFEIQLFELWTTIVGNSRLAKVIGGNIKELAYYTIALQQITEEQVQSWSRDANQYVADEDDLTYSCRVSGSLLLEEIVTAYEDYGIDSILEASQMRFHESRELKEA >Sspon.07G0009630-2T-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7C:24202265:24202648:1 gene:Sspon.07G0009630-2T transcript:Sspon.07G0009630-2T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAHRLLLVAVAAAAAVSGGAFANPTAYEALADFDFPPGILPKGVVAYTLDNATGAFTATLDASASGTGSSVCEFSIQGSYSLRYQTKITGKITPDHLSNLQGVSVKILFLWINIVEVTRRGDNLEFS >Sspon.08G0019370-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:11694459:11704191:1 gene:Sspon.08G0019370-3D transcript:Sspon.08G0019370-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAPRVRSLNIAVPEAEARPVLVPGGNKARSGPANARKPSPKPLRKAAEPAAAATPEKPAAAAPKAEEGAKRNAVGGGGGGAPKGASPVPSPRRTPPGPPSRRSDAQLLHPSLPLSASSCSSDASAESVRVRAFTGKVEKGRSGPRPTTASKQGKAVGKAAESKPVVVEFDVPVTPEVVEGKRRCAWATPTTDPCYVTFHDEEWGVPVHNDREIFMEFDPAAVSKINEKKLVAPGSTAHSLLSEQKLRAVLENACQILKIVDEFGSFDRYCWGFLNHKPIVSKFRYPRQVPVKSPKADIISKDMMRRGFRGLTNDHLVSCFRFEQCNAIPTLCTSDIDRVNMKADPKKDEMTTKIYCEEITTNPEMPRTIDALIVLPDKEELHKVEIKPEMERERQKP >Sspon.01G0025370-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1A:89849306:89854719:1 gene:Sspon.01G0025370-1A transcript:Sspon.01G0025370-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGYYTAQLEMRPPLDLAAAVASFGAGGVQVQESMVVSPQAPEAVEENLEDEGLV >Sspon.07G0006570-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:12289395:12293099:1 gene:Sspon.07G0006570-2C transcript:Sspon.07G0006570-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVFVPPHPLIKHWVSVLRNEQTPCAIFKSAMAELGRLLIYEASRDWLPTITGEIETPIAVASVEFIDPREPVLVIPILRAGLALAELASSVLPATKTYHLGLRRDEETLQPSIYLNNLPDKIPEGTRVLVIDPMLATGGTMVAAIDLLIERGVTSKQIKVVSAVASPPALQKLNNKFPGLHVYTGIIDPEVNEKGSS >Sspon.04G0011940-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4B:33027196:33030385:-1 gene:Sspon.04G0011940-2B transcript:Sspon.04G0011940-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQLMVAVGLALCLIIISMYAFQLIADACRRLPPGPLPLPVIGNLMAIGRGNPQRSLARLAERYGPLMSLRLGVVPAVVVSSADAAREILQKHNAELADRPVLDAWHAHGHRSNSVISLPPHVRWRALRKLCATELFAPSRLKALQPLRQHKVEELVRYVSERAALGEPVAVREPLFTASMNIVSRTMFSVDLDSAGCRDTVQEAAVLAAKPNVSDFFPAIAAADLQGVRRRMEPLVAHSHQLLDEVFAQRLLEREAGEPPKNDMLDAVLDKEHEWQQKGAASIINRSTIEGLFTDMLVAGSDTSSTTVEWAMASLLENPEVMEKVKRELTRVVGTRAEVQESDIAQLPYLQAVVKEVLRLYPVVAMTYYRAEATVGVQGYTIPKGATIILNIWAVHRNADVWPDPHKFMPERFMDDGNNITADFSSKDCKLIPFGGGRRICLGMPLAYRTVHLILASLLHHFDWTLPEEARQNGIDMTEKFGIVISMATPLKAIAKKRIQIVLDAKVERVIEYDAPESKSTDADSPKTYQS >Sspon.07G0028630-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:67932171:67932612:1 gene:Sspon.07G0028630-1B transcript:Sspon.07G0028630-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYAFEFIINNGGIDTESDYPYKVTDGRCDANKKNAKVVTIDSYEDVPANSEKSLQKAVANQPVSVAIEAGGSAFQLYSSVAIS >Sspon.07G0021100-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7B:511449:512639:1 gene:Sspon.07G0021100-1B transcript:Sspon.07G0021100-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MENNGGESPSKVKKATDFFPNKAHVTDALRTILARLDASDPVLVYEKHMPPSDRSKGQNRLLMSCKCESKRAAFASIFTETEMPLVHRPEPLKTEDEKHDSKDKGKGKGKEKKDGGEDNKVCCGNNNGKHKTNDGGGKEDADKDDDEQGNKQRSTVQGLAVEAYDCDGRAYELNLKYLSCNTAYRIIGPDYTVFLKNNGLLVGGGGGVARKRSGHQQQKKRRSSLPDEEPNNERPAVRDDADDIQMELWAFRSPKLSTGEKDQPDGALGLLLLHHRGGEAGQVIRVGGAAATEEEQVAAPQEPEVVVVQHPRQDSHGGMATHGAAGDCAGDAATVVDDAAGNKLGDAAPRDMPLGPLERLIAAWLRFHSSDADHSHTHTDVNDNGEADANDKQGPN >Sspon.07G0001870-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:4502126:4504144:1 gene:Sspon.07G0001870-1A transcript:Sspon.07G0001870-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNMKLVLLILFLIPKFSTVISGSNPPQFVYNGFTGSNLTLDGSARITSTGLIELTNDTSRIKGHAFYPSPLRFRHSPDSTVQSFSLSFVFGLLSSFSDIRGHGLAFFIAPSTDFTGAFPIQFMGLLNSTDNGNSSNHIFAVELDTVQNTEFGDIDNNHVGVDINSLSSLNSSTAGFHDDSNGRFTNLQLRGSGPIQAWVEYDGNTTRIDVTIAPLGMQKPVRPLLSLTYNLSTVITEQAYIGFSSATGLSTGHHHSVLGWSFGMNRPAPIIDSAKLPRLPYLGPRPPSKTLEITLPIASALFVLLTGTIVVLLVRRHFRYKEVHEDWEVEYGPHRFAYKDLYKATKGFSSKNLIGVGGFGRVYKGVLPTSKSEVAVKRVSYNSMQGTKQFIAEVVSMGHLQHNNVVKLFGYCRRKGELLLVYDYMVNGSLDRYLYGEVGRTILDWGQRFRIIKGIAAGLLYLHEEWDKIIIHRDVKPNNVLLDKEMKAQLGDFGLARLYDHGTDPHTTHVVGTIGYLAPELVHRGKATTLTDVFAFGVFVLEVTCGKKPVTEDTQGHQLMLVDWVIQNWHEDKLLDAVDIRLQGSYDTAEVCLALKLGLLCSHPFPDARPNMRQVLQYLDGDVPLPELLPTHFSFHMLTLMQEGQVDSSTMSSYPSPRMMDFGSISISLDGR >Sspon.05G0003270-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:988793:996545:-1 gene:Sspon.05G0003270-2C transcript:Sspon.05G0003270-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPGIQLPAPSPGSRVLHGWAADLSPFRSWTACCLGVGIKGIGSLRAISNDADIIQLMLLLITKGSYCEKSPNVPLVLDQTLHGSSVGMKILVIQSCFHRIARATRVGIMSQILPRLQLSSESIATVHHLA >Sspon.08G0018610-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8C:7977519:7978355:1 gene:Sspon.08G0018610-2C transcript:Sspon.08G0018610-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVTFATPGGREFTVEVWYFATVREMKEAVHASEGIPVASQRLFLSGKEGEEDDQELDDARDTAHYGIVQGSRVVLVLPNDAPPSSSPSTSPAPAAGVRVAVSAPEIIGPRSVALDDVPASDTVARLKELLQDRTDGALPAARTALFLDKAEMEDGKTLADYGPPSDGMDVSAVVRPQAPVASGGGGGGGDGAGARNQQRIEVSVMFGEMAVALEVGAMDVVRDLRKEVERLRLPVRDGGYFFVYKQNVMDEDHTLRWHEVKNGDTIEIFNGTVTGGA >Sspon.02G0023540-3C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2C:83089989:83091239:1 gene:Sspon.02G0023540-3C transcript:Sspon.02G0023540-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGPPRQGASLRSGGGERKQAAPDWASLHAELTQLISVRVLATGGFLDYVRFRAVCSHWRAAAASPRGRALLDPRFHPRRWMLFPEGFGRFPGHRALGGHVRFFDLSAAGTIVRVPLPELKDHFVLDSPDGLLLLQRDGDSAIRLLHPFTRDVAEFPDLKSLAHRLYDMEYELPSLYHLYRCLYQARKLCAAVDVTATGAITVMLALHSIGRVAFASAGDAEWTISSWKMNQLDRALSYQGKLYVVNWEDGLTHVLQIDPPQLVPQCDGEDSSPVLALPPPKTIATCSSEETHLPCLVELDSEIMLVGYNDSSFSHILVLRLADLVLGRTVRVKSIGDHVLFVGARSLCVSPSWLPSIGGNSIVCFHAGENYLAQYHLGSGTWSVASDGHLMLSPPPRPCGLIHHIFTCCYPQFW >Sspon.03G0014240-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:66522985:66542392:-1 gene:Sspon.03G0014240-2B transcript:Sspon.03G0014240-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding WSASEKNYVHTGILHIVEQCIKYELLLKPNRPIYVIGDSFGCYLAISLAARNPEVDLVLVLFCVPKPHRNQYHCPLYKLFRVSFNFWFLTLANLENYVHTGILHIVEQCIKYELLLKPNRPIYVIGDSFGCYLAISLAARNPEVDLVLVLFCVPKPHRNQYHCPLYKLFRVSFNFWFLTLANLEEVGHIMKWDTIMWKVKLQEIGASYASSCFPHIQAEVFLLARDNLLPSGEVAERLKTMENCTVRYFRNYNNRLLLDDRINMLTVIKGANMYRRHRQHDFVTDYLPPTLNEFKKTFDEDFKPYLRLLSPVMLSTLTNGRIVRGLGGIPEKGPVLFVSCHALLGIDLGPMCGEFLREKKIILRAIAHPVFFAKSYASSSQELYVLNNISLYGGVPVSPFNMYRLLERNEFVLLYPGGIREALHRKGEEYKLFWPDEAEFVRMAALFGATIIPFGCVGEDDFLEIFLDYNEQKSIPYVHKLIESVNQNFSRIRDTVKGEDGNQVIHLPAVLPKVPGRLYYLFGKPIELKGMKNDLKDRKRAKEVYMHIKSEVENTIAYLKRKREEDPYRSITPRALYQATWGDSV >Sspon.05G0017640-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:73611444:73615445:-1 gene:Sspon.05G0017640-1A transcript:Sspon.05G0017640-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLVPDGARRQRLLQCEAPPSPAPAPASPSPSSSRTVVVGIRRDAASRELLTWALVKVANAGDRVLALHVAADADGLLVGLDERSRAADSLASVLAVYDGFCNLKQINLELKVCGGSSIRKTLVKEAAACGAAHLILGVANNSRSFGSSFTSVAKYCSKRVPASCSVLAVNNGKVVYHKDAGHGLQHELYQSTSTIPETPRRSYRKLLSTMIGDRLWDENGKDRRCMSRAITMPMRSPARPKEVSLALVPVKGCRHESPEVATGWPLLRKKFVPDQKASASDKSKMSVVQWAMRLPGRYSAVSPVHSEYRTTRPDSTSASHVLRDRVVVPSRSDSGKSCVVIEELEKETPEELTLLKEKFSSIYSSFSYSELAKITSDFSPECVVGQGGTSQVYRGCLANGRELAVKILKYSDEVLKEFVSEIEIVSSLSHKNVISLVGFCFKNDDLLLVYEYLQRGSLEEILHGEKECKSIFGWTERFSVAVGVAHALDYLHSDNNSPPVIHRDVKSSNILISKCFEPKANSIIQGGKLTQLVDPNLPTEGHTDEVERMILAASLCIRQAPQSRPEIDAVLKLLEGDTDILKWARSQVGLSCESSDSDEFVMTPPAPRSNANIQSYINLAFDVDDDSASVSSNDFIAANTSLEEYLKGRWSRSSSFD >Sspon.07G0030480-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7C:8469732:8473345:1 gene:Sspon.07G0030480-1C transcript:Sspon.07G0030480-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LQEAQDIFGDVDELLALRKQELEREAANSGELRGARLEDEFEPFILAEKYMTTKDEQIKENDVPERIQLSEELTGYPPTDKTMIEEESLWIHSHLTGDGFLSFFGNERTNKDIDQKDIVNVVTMLHVNKFEIPFIAMYRKESCPTLLKSLDSDEGYDEGNEDNKSDARKMKWHKLLWAVQTLDRKWLLLQKRKVALQLYYEKRFDDEKRRIDDVTRQELNSQLYNSIIEALKDAKSEKEVEDVDAKFNLHFPPGEVEEEGQFKRPKRKSLYSICHKAGLWEVANQFGRSAEQLGHHLTLTKIPEAGELESGRHSPEEVAANFTCAMFETPQDVLRGARHMAAVEIGCEPIVRKHVRGIFMNKAVVSTSPTPEGNTIIDPYHQLSGVKWLREKPLSKFVDAQWLLIQKAEEEKLLKVTVKLPEDAKKKLMSEARENYLSDCVSKSAQLWDEQRKMILDDAFFNFLLPSMEKEARSLLMAKAKHWLHMEYGKQLWSKVTVAPWRKKDADKKDADIDLDDESELRVMACCWGPGKPATTFVMLDSSGELVDVLYAGSISNRSQGVAEQQRKKNDQQRVLKFMTDHQPHVVCVGASNYNCRQLKDDIYEIDHATTDRRKLCANHPRWRQRGFQGCKFLALLASSPPVPLIFSFPPSLCFANFLVVVAGMPGIPARLIA >Sspon.05G0029440-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:78601491:78602069:1 gene:Sspon.05G0029440-1B transcript:Sspon.05G0029440-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFVSIEPCCCCGRLGRSSCTRSHFAFTVTTWTMMLTMDEPMTWVKEGVLDCEELWAMPVEKTLLVTRLALGGLYGCRHLTTQGSSKMTSPCLLTTKPWRKHQLRVSFRCAAPPAISSVCYDYTGTMPPNDENNHEDAVIAAHGDSIVL >Sspon.06G0006480-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6A:23283910:23284214:-1 gene:Sspon.06G0006480-1A transcript:Sspon.06G0006480-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ASISSADGQVVAIIYKGRVLLGAPRYSGSSISSSLVTDRKSWFRGDEFASYAAPNNGIGDILSSIHMHFEFVPKRWILRHVQCFGVGHWIRHKSISKRLV >Sspon.08G0014450-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:59188351:59191117:1 gene:Sspon.08G0014450-1A transcript:Sspon.08G0014450-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATATLRWVLQLHRDVPRAARFYSEGLDFSVNVCTLRWAELQSGPLKLALMHTNDSNLASQRVYSSMLSFTVPDINSTVSKLMALGAELDGPIKYEIHGKVLSRYSHNFHLQLYFQDLRIIYMMMSALSLFIHYSSQLHKVQPSRAPKSKGIISKH >Sspon.04G0006770-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:19279031:19280268:-1 gene:Sspon.04G0006770-1A transcript:Sspon.04G0006770-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding NAGEPGAVERLRAVPCADDEADERRRVVRAVSELRAAEDPVEEALLLLQSLGLEPWTSAEEELL >Sspon.08G0010140-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:44579885:44583539:1 gene:Sspon.08G0010140-1A transcript:Sspon.08G0010140-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPGRAALLAVALLFGAFFPSASAASSYPARIAGRLLSTTASAVAKQLWSLKSAASKTGNRRPVASTFPSSILGLFTRFFLPGVPVLRLSDGGRGGAVHGAVRGRVRGGHGVRRQQAGDRAARRRDHPAGDLLVLDSINSNIYRVQLPLSPYSRPKLLAGSPEGLSGHVDGRLREARMNHPKGFTVDDKGNIYVADAMNMAIRKISDTGGHIDGPSDDAKFSTDFEIQYISSSCSLLVIDRGNQAIREIPLNDDDCAYQYEAGFPLGFALLCAAGFFGYMLALLQRRLLGMASTTDEPQAPPRPSIASIPPYQKPLNPYVRQPFIPREETAKQETEEGFFTSAGKLIGGAKSSVAEIFGFKKKRVSSPYHQQQRRANPWPVQESYAIPHDEHPPALDTRAPTPQKNYSLITKEPEKIHYVRHGHPYFNSWDGHRHPQQQPNPQLYHQQQHLQQHRQYSAGPQTFYEQSCEATNEIVFGAVQEVDSKRRMVEIKAVNYGDTFYEQYGMRCRNNFIGYNNNNNY >Sspon.08G0006040-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:19180453:19185137:1 gene:Sspon.08G0006040-1A transcript:Sspon.08G0006040-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKAQECEKSSTIQISIYGSQSLLLSEGVRDRTIEVFCVIRLYVGDDYRYHLYINLILLLLTNERSQTLKCSHYVPAVIPENTALPCVVYCHGNSGCRADANEAAVILLPSNITLFTLDFAGSGLSSGDYVSLGWHEKQDLKCAVSFLRNNKQVSRIGLWGRSMGAVTSLLYGAEDPSIAGMVLDSAFANLYDLMMELVEVYKIRVPKFTVKMAVQYMRRVIQRRAKFDIMDLNVVQFAPKTFIPALFGHASNDMFIQPHHTERIHQAYAGDKNLIKFEGDHNSPRPQFYYDSVSIFFYNVLHPPQFPSTCTNKFDKYYSLGAFKGGAGTNESLLYEIINGLRAAGTDAGSSSAATANFTNATKSVVELLTERDKANRQTEECCSYTSSNRESWGRCSSLGGASDGSSSGEQPEIPNHKLKSMTLRALATPLRRVRRKPLPLTIPKEKKNRSLWKRLKKERQEMGENISQRLRLCLQGQARHKRTKSS >Sspon.07G0009170-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:24050239:24061757:-1 gene:Sspon.07G0009170-2B transcript:Sspon.07G0009170-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLHETKSGYQPKVFTFGSEGVPGAVFGKETGSTSDRSSEFFSANSNTSSSSSDFFSTANSNASNSANGTYYFPPEKTSDLNAGDGVMSQSLGSDNSNCPPQTFLFERNETRSSVSHSASIAMDDGGNFVNDENSDTCSSARGTVECAGALPEKMTKLNIGCGVPYHSRKDETATEPPEVFVFGCNVSSFSSAQTASKSNDPTTPDFCFQSKPEATSGYGAVPQTKVQESYPFTNLNNSCSSSTFVNAVPAFSFGAMNAETETAPDDHFSVKQDLPGYSRETLFGLDSIKSAYKDKREAHKGKMKNKRPTRLKQHAQLHQVVSKEACTNGEASDLAGDYSPMDCSPYPAEAEHVPTEAYVASDQPVHISDSVISNLNTSCAEDDVVSATEHLVIDADLPMFGDQGRGPKLDASESNFGSSFSSFEGDRSNASQHSFTNIGQDCNENTYRTPHDFVEAAAFQSSSSNFSGLNFRFGVSSSPEISAAAQRRNTRRKLRRKGSLASKPSTIGSFEQPKSSQDTKGMRFFGETSKNEETVKKQSSRDSSTSAALETCETWRTSGNKAYANGHFATAEDYYTRGINSVTHYGVSGHCSRALMLCYSNRAATRMSLGMMQEALQDCLTATSIDPTFLKAKVRAANCQLALGDLEDASRSYMSCLNSNTGSSDLKIFAEASDGLERVKRVTDWVSQCKELLEKRTSPEATKALELISNALHICPHSDSLKEMKADALLMLRRYEEVIELCQESVNPTERSSFLFKDNGEPKNSRVSEQTKFSGRYWRPYLICKSYFLSGKLDEALELLKKHEQVTPVKESDVSTYQEKFSSLSATIKELLSLKAAGNESFQAGRYSDAVKQYSAALACNSESRPFSAVCFCNRAAAYQALGQVTDAIADCSLAMVLDTNYPKAISRRATLYEMIRDYGQAANDVRKLISLLEKKVNVSGISPKAFNKHKDLKQAHARLSSIEDEAKNDTPLNLYLILGVEPSCSTEDIKKAYRKAALRHHPDKAAQLLVRNENAEDGFWRDIVKEVYADADHLFKTIGEAYNVLSDPGKRQEYNFEEDLRNARRVSSSRSMHRSPEQNYSNRGFNPRQWQSSRTSRSRTLLLNAWLLFGTGSLQQIS >Sspon.05G0023570-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:7778806:7779712:1 gene:Sspon.05G0023570-1B transcript:Sspon.05G0023570-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding EVPEVSYGLKWAIAGKGVIVKDKVFHNLETSELQKGDCCLVFHFMLEEMSLVVTFHLSSISCLYVQDGAVGSSAECDAKVRVISDNPSAVMLLSNVLWKISGRAISHDTSPLTIYATSSI >Sspon.06G0026690-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:80411596:80415086:1 gene:Sspon.06G0026690-1B transcript:Sspon.06G0026690-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSESPVVPKKEAAPLPLAHRRCRRRRLLSRACFLNAGCRSSAGCIPSSFDSDSSAGHGFFTRRSHYASSQDARYVGSLVQVPDQLHLVFYRQGTGDLTTVVLLEEIRRDVLSEPSQHKI >Sspon.02G0033160-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:4924874:4931471:1 gene:Sspon.02G0033160-1B transcript:Sspon.02G0033160-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMPPKPGDPPQRSPARSPNLNLPCPLPPVPGGAHAPPPPQPGAGLPPPRVGHHRRARSEVAFRFPDDLAGGGGGGFDEIGSEDDLFSTFMDMDKIAGADRDRAAETSSPPRPAKHRHSASFDGFGMGAGAGGPGGQQDGGGGVFGEVMEAKKAMSSEQLAELAAIDPKRAKRIIANRQSAARSKERKARYITELERKVQTLQTEATTLSAQLTLFQRDTTGLSAENAELKIRLQAMEQQAQLRDALNDALKQEVERLKIATGEMSKSNEQFNMGMQHVSYSPSFFQLSEQHAVQQHGNIQLPHHFQQPPPNVPSHQMLSHPNSLSDIMQQDSLGRLQGLDIGKGSMAVKSEAEVVVKSEGSSVSAGESNTTF >Sspon.02G0009080-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:16994604:16997453:1 gene:Sspon.02G0009080-4D transcript:Sspon.02G0009080-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:3-dehydroquinate synthase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G66120) UniProtKB/Swiss-Prot;Acc:Q8VYV7] LQPPHPHPARPSLPGSLVELRPPPPSPPAAASVPSPSRHSCYSLRASPARCHQSRFVASAAPTMQPPAESRVPTVVDVDLGDRSYPIYIGAGLSTTGPAAERVLVVTNTTVAPLYLEKVTWALTHNNPNVSVESVILPDGEKYKDMDTLMKVFDKAVESRFDRRCTFVALGGGVIGDMCGFAAAAFLRGVNFIQIPTTLMAQVDSSVGGKTGINHPLGKNLIGAFYQPQCVLVDTDTLNTLPDRELASGIAEVVKYGLIRDAPFFEWQEKNMLKLLAREPNALAYAIKRSCENKAEVVAQDEKESGLRATLNLGHTFGHAIETGLGYGAWLHGEAVAAGTVMAADMSYRLGWIDESLKNRVVDILKQAKLPIAPPEAMTVEKFKNIMAVDKKVADGLLRLILLKGPLGCCVFTGDYDRNALDETLHAFCDN >Sspon.02G0036340-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:51356492:51358113:1 gene:Sspon.02G0036340-3D transcript:Sspon.02G0036340-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLMMSWSASAISLSFVILSFFQGAVVGITFTFTNRCGGTVWPGVLANSGSSALQTTGFELGPGETRSLTAPSGWSGRFWARTGCAFDASSGKGACATGDCGSGEVECRGRGAAPPATLAEFTLGGGGSGGSKDYYDVSLVDGYNLPMVVEAAAPGCPVTGCLVDLNERCPAELRAGGGGAQACRSACEAFGTPEYCCSGQFGNPDTCHPSVYSQMFKAACPRSYSYAYDDATSTFTCTGTDYSITFCAPRAGTPNSQKATKDPLPTPQDVQLDGDSWLASLATGEMDAATSMAAASLLLQAALAAAAVVVLLVG >Sspon.05G0021990-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:1623193:1626936:1 gene:Sspon.05G0021990-1B transcript:Sspon.05G0021990-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQAVVPAMHCQVGVKAAAARARPAAAGGRLWGVRGRDGASGFKVMAVSTGVVPRLEQLLNMDTTPYTDKIIAEYIWVGGSGIDIRSKSRTFSKPVEDPSELPKWNYDGSSTGQAPGEDSEVILYPQAIFKDPFRGGNNILVICDTYTPQGEPLPTNKRHRAAQIFSDPKVVEQVPWFGIEQEYTLLQKDVNWPLGWPVGGYPGPQGPYYCAVGADKSFGRDISDAHYKACLYAGINVSGTNGEVMPGQWEYQVGPSVGIEAGDHIWISRYILERITEQAGVVLTLDPKPIQGDWNGAGCHTNYSTKTMREDGGFEEIKRAILNLSLRHDLHISAYGEGNERRLTGKHETASINTFSWGVANRGCSVRVGRDTEAKGKGYLEDRRPASNMDPYIVTGLLAETTILWQPTLEAEVLAAKKLALKV >Sspon.02G0018240-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:61454134:61455402:1 gene:Sspon.02G0018240-2C transcript:Sspon.02G0018240-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSPSCRGGGGKAADVDRIKGPWSPEEDEALQRLVARHGARNWSLISRSIPGRSGKSCRLRWCNQLSPQVEHRPFTPEEDDTILRAHARFGNKWATIARLLSGRTDNAIKNHWNSTLKRKYYAAAATGDAAAGAGGAADADDERPLKRTSSDGHPGLCFSPGSPSGSDLSDSSHHSLPSVMPSAGAAAAAAITSQQQQQQQQQHVYRPVPRAGGVVVLPVAPPLAPRPPSPLPPPQQPPATSLSLSLSLPGLDQQPEPSPAVPAPAPPQPPVQIHQQQPAPPQMPPPPPQAQPRLPFQLQPAPATNLTSPQPAAAPFSSEFLLMMQEMIRIEVRNYMSGSGFDPRADGAVQRREQAHDGHGQDRVVSVDSSRRPRYGIADRSAAASAWRGLETNRGRVVRQVKRRRAPSRRLLARAKPVV >Sspon.01G0025350-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:87963523:87971246:1 gene:Sspon.01G0025350-3D transcript:Sspon.01G0025350-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADKEGTLDAVLKEAVDLVNTLAFLLLGVGAVLGVVVYCADKAAVGHLSVGSWIAVARAENIPLKEVFENLRCSRGGLTSEQAQQRLQLFGPNKLEEKEVSYSWLQESKFLKFLGFMWNPLSWVMEAAAIMAIALANGGGKPPDWQDFVGIITLLLINSTISFIEENNAGNAAAALMARLAPKAKSALTGESLPVTKGPGDGVYSGSTVKQGEIEAVVIATGVHTFFGKAAHLVDSTNQEVPDGRKESPGGPWHFVALMPLFDPPRHDSAETIQRALNLGVNVKMITGDQLAIGKETGRRLGMGTNMYPSSALLGQNKDESIAALPVDDLIEKADGFAGVFPEHKYEIVKRLQARKHICGMTGDGVNDAPALKKADIGIAVADATDAARSASDIVLTEPGLSVIISAVLTSRAIFQRMKNYTIYAVSITIRIVLGFMLLALIWEFDFPPFMVLIIAILNDGTIMTISKDRVKPSPLPDSWKLAEIFTTGIVLGGYLAVMTVIFFWAAYKTNFFPRIFHVESLEKTAQDDYQKLASAVYLQVSTISQALIFVTRSRSWSFVERPGFLLVFAFLVAQLIATLIAVYANWGFTSIKGIGWGWAGVVWLYNLVFYFPLDILKFLIRYALSGKAWDLVIEQRIAFTRKKNFGKEERALKWAHAQRTLHGLQPPDAKLFPERVNELNQMAEEAKRRAEIARLRELHTLKGHVESVVKLKGLDIDTIQQSYTV >Sspon.01G0057050-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1C:95712937:95713841:-1 gene:Sspon.01G0057050-1C transcript:Sspon.01G0057050-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLDPPLCRTSHSPQPMAMDSISLRKRLKAPVSPSAAYSSHTYAVRRSKYPGHPQQFVLMAPPGPSRPFRDVLPPLINTLPGAAGPPPASEEAIGSGKNTGDLFSSIPVVAGDPVRAVVDALVSNLFWFPAKGGHGQERGEHASTATRLTPTAQWAARVNATVGGIAYSHNRTPAWASRAGFGALVGTWLWVAGLRP >Sspon.01G0005400-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:12812664:12814871:1 gene:Sspon.01G0005400-2B transcript:Sspon.01G0005400-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVNRGTDVSVPNSPSRQISNRNDDGTSYAYSVPTSPNASMNNYLAQGAAQQHSKKNPFSWHRYPFLSRFRSQSGEKKSTSNYVSSMDSECEGTPVNLESIMANNKFHFSFYKWAGKGALLVLPATAQEKPADIIGLRSFPQVVVQGIDLIDYEDNMSTATGTSKSQTDHEDSKSGKHSTNSVTKDGAIRLLSEDYMQGTKQSNDHTKNDVSSASLSSKISRSPSERSRSSRVKEKVKGFIKLFSPESSPKRKRALETQGQTSVGKNGSKAELQDKFSYPVWRPMRMW >Sspon.07G0034510-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:74105321:74111202:-1 gene:Sspon.07G0034510-1C transcript:Sspon.07G0034510-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LRIMINEVQWLCLEIYRECPPKAPQREMPNGDARFPTNTAYKLPEERLLSLSLSVNCASDTIQSPDGTFSFGFYNLSSTAFTISNYPSADRTIAWSANRDHPVHGSGSEVRLNKDGTMVLTDYDGTVVWQTNTSSKGADYAELMDSGNLVMKDQGGNILWQSFDHPTDTLLPTQPVIASTKLISHTLQATILCTSTTITFFHLLMMDQIFLILPNLNFSSWLNYRISYNGSRRGVLDNLGQFTANDNTSFVSADWGPGIKRRLTLDYDGNLRLYSLNDSDGSWSVSWMAFSQPCEIHGICGWNGICVYTPVPDCSCPPGYVVRDPTDWSKGCKPKFNISCSGDQQMGFVSLPQTDFWGSYFDYIPMTSLDACTTLCLDTCSCLAFEYKPDSNGCYLKSVLLNGKTAHLKVPQSLLSETISSVPQNSEILDCNTSSAKVEVLVFNPDAHSNGGNSTMWHYYGFLAAFVLMEVCFIAFGWWFMERKQSTQLKICEEGYRMVTDQDDHFRNFTYKELREATKNFKDELGHGRLLELKNSYEVDV >Sspon.07G0011350-2D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7D:36999071:36999550:1 gene:Sspon.07G0011350-2D transcript:Sspon.07G0011350-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAKVVLLVAAVVATGSSSCAAWDLNIIRMPTARAIEAAAARLQEGVAAPLIHALHPLLGSAGDLGRRAGVPCDSWRLAVEAYNKRDWKTVPANCEDYVGHYMLGRHYRRDSRVVVDEAIAYAEGLKLAGNGKEVWVFDIDETSLSNLPYYAKHGFGY >Sspon.02G0059430-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2D:92748595:92750428:1 gene:Sspon.02G0059430-1D transcript:Sspon.02G0059430-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPDYPSCSTTDNYTDGSQYKMNLDQPLAALPAAAGDNAWAPAGITTVCPGSRNVSAAYGACVLPYSSAPIPATAELDYVRRNMPLMNSTSAKVQAAWVPLMSRLTGDVTTSALRISKSSTPYSGSEMMYGLAQCTRDLNGSECSTCIWNYTARLGKMFPNSTFGTITGYSCYLRYQVGVFDVTLPPVPVPAPPPSPPAAPGFTATCLFLRRRERKAELNGEASKEEPEDEFEMEDEFEKGTGPKRFRYGEIANATNNFSDKQKLGEGYIISCLRHRNLVQLIGWCHGGGGELLLVYELMPNGSLDTHLYGGKDDVLPWTPRHDIVLGIGSALLYLHEEWEQCVVHRDIKPSNVMLDASFHAKLGDFGLARFVDHGGSYTTVLAGTLGYMDPDTAVVLDDAVIHLAWHVSVLHGQGRVLDAADPRLNGDFDAREMESLLLAGLWCTQDDRNLRPSIRQ >Sspon.02G0015740-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:43634001:43636970:1 gene:Sspon.02G0015740-3C transcript:Sspon.02G0015740-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAGRRTLALVNLAAIMERADEALLPAVYREVGAALGATPVALGALTLYRSAVQAACYPLAAYAAVRYNRAHVVAVGALLWAAATFLVAVSDTFAQVAIARGLNGIGLALVTPAIQSLVADCSDDNTRGAAFGWLQLTGNIGSVIGGLFSLMLASTTVMGIAGWRVAFHIVALISVVVGVLVGLFAVDPHFLHVESGEQLLRKSAWAEMKDLLREAKAVVKISSFQIIVAQGVTGSFPWSALAFAPMWLELMGFTHNKTGLLITTFALASSLGGLLGGKMGDHFAVRFPDSGRIVLSQISSASAIPLAALLLLGLPDNSSSGFLHGLVMFIMGLSISWNGPATNKQIVPERSRTSIYALDRSFESVLASFAPPVVGFLAEHVYGYNPISYGAADNNVGRDKSNADALAKALYTSIAIPMLLCCFIYSLLYRTYPRDRERARMDTLITSELQQIELERRHELGSYYSGRKDGATVIDMEYSEEDFDADDDEKGLMDQQAKQGDNVKWHRSDSQNL >Sspon.02G0024880-4D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2D:80131487:80135374:-1 gene:Sspon.02G0024880-4D transcript:Sspon.02G0024880-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALEKRGGHAPVIVRAAKPSSPSARQRRSKSDLDDRDAKGAPRSSQKASSQTKLVILQLEMHLKDQQVVRGALEKALGPDAAPPTLQHESPALKPATQLIREVATLELEIKHLEQYLLTLYRKAFEQQQQVSSDARRDREAAARKLSVSSRPDETPRPKGPMIRGGGGDPTMLHYSCPPLSGKGRRNNGGTVDDCSPSTCPRRTTADLVDTAGLRSQSALSFRGAWSSSSSRISPTEDSLARALRSCHSQPFSFLEEGETAPSGVVSLADYLGTSVADHIPETPNNLSEEMVRCMAGVYCRLADPPLLAHHRPSSSPSSSLSSAPSAVSPQQHLGGDADMWSPTSYCCRKDGAQLDSRLINPFRVEGLKEFSGPYSAMVEVPAISSDRTRHREAEDLLQTYKLILYRLETVDLRRMTGEEKLAFWINVHNALVMHAYLKYGVPQNQLKKTSLLVKAECKIAGRAINAAVIQGLVLGCSTHGSSGHWLRTLLHYPRTRTSRASKAGSEEWRAFAVRQPEPLLRFALCSGSHSDPAVRVYFPKRLAQQLEAAREEYVRATAGVWKDHRVLLPKLLDAYARDAGLSPDRLLDAVQRCLPETLRTAVHRCRGDGRSAGKVVEW >Sspon.05G0026400-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:35147158:35149413:-1 gene:Sspon.05G0026400-1B transcript:Sspon.05G0026400-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MESFYCKRSLLGILMPCVLLATSIVAELTTTGDDELRTFIVHVQPPEKHVFAIPDDRTAWYRSFLPEDGRLVHAYHHVASGFAARLTQRELDVLSRTPGFLAAQPNVAYQLLTTHTPRFLGLDVPQEGVSAINHTASGFGDGVIIGVIDSGVFPYHPSYSGDGMPPPPAKWKGRCDFNGSACNNKLIGARSFESDPSPLDNDGHGTHTSSTAAGAVVHGAQVLGQGRGTASGIAPRAHVAMYKSCGDECTSAEMLAGVDAAVGDGCDVLSISLGDTSPDTPFYQDSLAIGTYGAVEKGVFVSISAGNSGPNASTLFNDAPWMLTVAASTVDRLIGSQVRLGNGLSFDGESVNQPEISAAVFYPLVYAGASSTADAQFCGNGSLDGFDVKGKIVLCDRGNNIARLDKGAEVKRAGGIGIILANEFPDGYSTLADAHVLPASHVSYAAGVAIKKYINSTPNPTAQIFFRGTVLGTSPAPAITSFSSRGPSQRNPGILKPDITGPGVSVLAAWPFQVGPASSSANPGPTFNFESGTSMSAPHLAGVAALIKSKHPSWSPAAIKSAIVTTADPIDRSGNPIVNEQHLPADFFATGAGHVNPVKVVDPGLVYDIAPDDYVRFLCSVYTSRDVSIISRRAVDCSAIRVIPDHALNYPSISVVFPQASNSTASPVAVVHRTVRNVGEAPAVYYPYVDLPSSMVHVEPRSLRFMEANQEQSFTVSVLRGQSGNAKVVQGALRWVSDKHTVRSPISITFE >Sspon.01G0002070-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:5985152:5987539:1 gene:Sspon.01G0002070-1A transcript:Sspon.01G0002070-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At4g35130, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G35130) UniProtKB/Swiss-Prot;Acc:O49619] MATPLLLPSRAAHAASATTCASQHLTAATSKEPPPRTRPKHGEGGAPRPVPSSGSRPKSLVLSHVAAGRMDEAADAFAAVSRPDTFLHNVMIRGFADAGLPLDALAAYRAMLDAGARPDRFTFPVVVKCCARAGALGQGRAAHAAVIKLGLGADVYTANSLVALYAKLGLVGDAELVFDGMPARDIVSWNTMVDGYVSNGMGALALACFREMNDALLVGHDSVGVIAALAACCLESALAQGREIHGYAIRHGLEQDVKVGTSLVDMYCKCGNVFFAENVFAKMPLRTVVTWNCMIGGYALNERPVDAFDCFMQMRAEGFQVEVVTAINLLTACPQTESSLYGRSVHAYVVRRHFLPHVVLETALLEMYGKVGKVESSEKIFGQITDKTLVSWNNMITAYMYVEMYQEAIALFLELLNQPLYPDYFTMTTVVPAFVLLGSLRQCRQMHNYIVKLGYGDSTLIMNAVMHMYARCGNTVASREIFDRMPGKDVISWNTIIIGYAIHGQGKTALEMFNEMKCNGLEPNESTFVSVLTACSVSGLEAEGWKEFNSMQKEYGMIPQIEHYGCMTDLLGRAGDLGEVLRFIENMPIAPTSRIWGSLLTASRNKNDIDIAEYAAERIFQLEHNNTGCYVVLSSMYADAGRWEDVERIRSLMKEKGLRRTEARSLVELNDKECSFVNGDMSHPQSEKIHELSDILSRNIGEDLDSPSNLRDSDPFASGKTVLPNKHSVRLAVAFGLISSEAGAPVLVKKNVRVCNHCHHALKLISKYSGRKIVVGDTKIYHIFSDGSCCCGDYW >Sspon.03G0026670-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:8670940:8671509:1 gene:Sspon.03G0026670-2C transcript:Sspon.03G0026670-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GEDQDARAVPVPPPLQVAAAADAAGGRGQGQRVPSAGGDGGGGDGARIPQVRRERRRADLAVGAGGSVREPGPRGVRRRGGADDGRGGRGRRRLHQPGRVRGAERDGGRRRGRRRGGPPPRVPRLRRRRQRHHLRRGARARPQGPRRVRLRRAVPPHDRGRRPERRRPHLVRGVQGHDGRGRLLRQDRVG >Sspon.03G0014760-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3B:69526398:69529457:-1 gene:Sspon.03G0014760-2B transcript:Sspon.03G0014760-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RLVQHQPTQHPTSEELSIGKIKFKAFDLGGHQIARRVWKDYYAKVELDLSVLLIRAVWSDLRLARYVRHFGPLIENNVTRDIQGGIPWCMLFADDVVLVDDSRAGVNRKLELWRHTLESKGFRLSRTKTEYMRCDFSATRHEEGDVSLDGQVVAKKDTFRRDRVRNDDIRDRVGVAPIEEKLIQHRLRWFGHVQRRPPEAPVRSGVLKRADNVDAVVYLVDAYDKERFAESKKELDALLSDDSLANVPFLILGNKIDIPYAASEEELRYHLGLSNFTTGKGKVNLGDSNVRPLEVFMCSVVRKMGYGDGFKWVSQYIK >Sspon.06G0003640-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6B:8831781:8832506:-1 gene:Sspon.06G0003640-2B transcript:Sspon.06G0003640-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDELVIPASSSSCPSPASFSTAGHHQEHEFAPCDVLEGWLGGDGWLDEPPNGVKVTWGGEGSGSRSPGNDNDHLSGEPPAPALKRRGRKPGSRTNTNGPALCHVEAERQRRDKLNRLFCELRAAVPTVSRMDKASVLADATTYIAQLRQRVEQLEAEAKAKKAVAASATVAPSHSLSSSSLGEKLEVRMVGTEAAALRLTTTAAARHAPARLMLALRSLDLTVQHACVCLVGGMTVQDAVVE >Sspon.02G0016600-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:46174100:46175401:-1 gene:Sspon.02G0016600-1A transcript:Sspon.02G0016600-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEVQVVESSFVTPGAAIPRKGLWVSSLDLDRANRGYTPTVYFYRSTDAAAAGNVFDVTSRLKESMAKALVLFYPLAGRLDVDKDGRIEINCNNEGALFVVARSKLTMDDLKDLEPSPELTRLFVPSTEPSSIILAVQVTFLKCGGISLGTALHHVAVDGTSAFHFFQTWSAICRDGDGAAVELPCHDRTLLRARCPPSVHPDALSVFCPNMNFTEPSGPTASKVFVISADQLTSLKRLCGSETTFCAVTALVWQCTCVARRLPPAAEARVSFSANIRRKMRPPLPSGYFGNALVPVFADGAAGEIASEALESVAGRIRGAINRVDDELVRSAIDYHELFEIDYRLQRGILPETELRMVSWLGMPLYDADFGWGRPWRMSRAESVRGGHVHLMN >Sspon.01G0014660-3C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1C:22437492:22440332:1 gene:Sspon.01G0014660-3C transcript:Sspon.01G0014660-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSSSRRRPLRPFVPGLLLFLLAMAPPPPASAVGVNWGFASSHSLPAAQVVRGLLLPNSVPRVRLAAASSDALAAVAGTGIAVSVGVPDALLRPLASSTKAAAAWVHDNVTRYSSSVRFEYIVVGDEPFLLRHGQNFHPFVVRAAENVQQALVHAKLSGKMKVVVPCSADTFQNASTLPSKASFRPDVNKTMADLLSFLANSSSPFVVELDPFVSFQHNKNISLDYYLFQLMSHPVKDGQNKYDNYVDASIDALVTSLTKAGFSDMDIIVGRAGWPTDGAVNATPAIAQSFTTGLVNHLAKKSGTPLRPKVPPIEMYLFSLLDEDQRSIASGGYERHHGIFTFDGQAKYYANIGQGPKSLKNAPDVNYLPPKWCVVDNNKDLSNVSSSFSSACSNGDCTSLLSGGSCSGLAWPGNVSYAFNNYYQQHDQSEDSCYFNGLDTFLVSLHLGKFNQQK >Sspon.01G0053370-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1C:35524510:35527736:-1 gene:Sspon.01G0053370-1C transcript:Sspon.01G0053370-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLWTGRRGESGCITGAQRKERSHRGDRSGGRSGDGSSDPRWRSPRGGHHRFPPVVHAQRWVGHPGRMLARSSSQGAGGWGRKVRGGRVGAEGSGAATSSGGGKEGGCSCRPRQSSGARPRQGGGFRPRKSSSGSRSGGSKEIGPGRGGEGREHREVGRGRWRAAGHGPLAAEEAGGCRCLERESKKPRNRRPASGRAPNRERGPDREGASPVRSATGGANFHHGSEGKCRISQKVARS >Sspon.04G0018490-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:66450070:66455255:-1 gene:Sspon.04G0018490-1A transcript:Sspon.04G0018490-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGFARATASAPTSPAAALLTGERLVVFLFAARVALAAPAHLAAPLAILVAAALAVELAVDGSAPLRRFRTRPGASSGILLGATTLPSVMLSRLIQLSRLLPTDPNEPKEFAYLEMQYWAASISCLSVLALFLWHLRKSRSSGISKHLEYGSLLIVLYLMTFFLSFLLKTDGGLMVMTSMVYMLCHGVAAVILIKHILEKFPSCSSFGEALLVSSGLILYFGDMLAHTLSKMEFSVSSKAFIRTPGTRSDMTAIIQGILLGLFLLPLLYKSSLQVWYSCRMKGKQQTQAAEEHTRKRIGSAVFYISLLVVLIFLLPSWTHHVQGLKVHPFVWILNYMFTDSHERLALCAYWICVIYVSVRRFYSISKQSKTERILLRKYYHLVAVLIFSPAVIFQPAFLDLAFGAAFAVFLVLEMIRIWEIYPLGHLVHQFMNAFTDHRDSEILIVSHFSLLLGCALPKWMSSGLNDRPLAPFAGILSLGIGDTMASMIGYKYGVLRWSKTGKNNRRHGSGHNFSASSLLDPGVTLSFQWNWLSLLIAVTLSGLLEAYTAQLDNAFIPLVFYSLLCL >Sspon.05G0008780-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:20048481:20057393:-1 gene:Sspon.05G0008780-2B transcript:Sspon.05G0008780-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SWI/SNF complex subunit SWI3A [Source:Projected from Arabidopsis thaliana (AT2G47620) UniProtKB/Swiss-Prot;Acc:Q8W475] MSPPAAGAAATSGDGPPPSPRELYTIPASSGWFRWDGIHETERRALPEFFGGAGGAGFGTATRNPRIYREYRDFIIAKYREDPARRLTFTEVRRALVGDVTLLRKLFAFLDSSGLINFSASSSSSGPASRKQEVGVVVEAPVGLQVMPRPPASYFAEEKRGAPGGEMENGFRLPPLTSYSDVFGEWAPGKAPICGFCGEECNGAKVETLQDGFKVCSKCSKSNNDNNKEEANKCPGDKKDSMENHASSAWTDVETLLLLEGVLKHGDDWDLIAQHVRTKNKSECIARLIQLPFGEHMLGTINGKSVSRLHINQATDGKTNQHIMKESSSHTTEMADGMQIDGNEDSADKSVEEYPTKRRRLFSSMDATTSLMEQLALLTTTASPDVVAAAADAAIKVFSNENPQAMKAFRLSEREYKTKAFASNHIQQIDRKIGDKDVKMHGQPDKQEKKFIANAYQLRAAVATAVGVAAARAKMLADQEEREMELLMASVIETQLRKMQYKIKHFEELESVMDQEYTTIQQMKGSLMNEWLKVLEHAFRAGVSLPRDELLTKLFLN >Sspon.02G0007470-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:21892999:21893905:1 gene:Sspon.02G0007470-1A transcript:Sspon.02G0007470-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GPYLPRGRKICPQPPEKYAPNRSAHDGDDIAAIAAGVRAVLLVVLLDLLLPALLSNFPRAPPAYRCLVWFARLGTTWRWLTTCATGRSRRTAGTTAFLANSETNSKIVHVTGERGQGDAKTQQGWTGVEETTPAGQRVQGSNHSRVVECQGIGTERGSSTGRGHSVVEGNEAIGCSGLAAAG >Sspon.08G0005930-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8A:18791965:18792906:-1 gene:Sspon.08G0005930-1A transcript:Sspon.08G0005930-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GDVTIQVDGNLLATTDLSQYKGNWIEILRVDNLVITGKGTLDGQGPAVWSKNSCAKKYDCKILPNSLVLDFVNNGEVSGITLLNSKFFHMNVFQCKDMLIKDVTVTAPGDSPNTDGIHMGDSSGVTIVNTVIGVGDDCISIGPGTSKVNITGVTCGPGHGISIGSLGRYKDEKDVTDINVKDCTLKKTSNGLRIKAYEDAASVLTASNIHYENIKMEDSANPIIIDMKYCPNKICTASGASKVTVKDVSFKNVTGTSSSPEAVSLLCSDKIPCTGVTMDNVKVEYSGTNNKTMAICKNAKGTATGCLKELACF >Sspon.02G0004230-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:12071134:12086473:1 gene:Sspon.02G0004230-2B transcript:Sspon.02G0004230-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGDDFTTEGGKLPEFKLDARQAQGFISFFKKLPQDPRAVRLFDRRDYYTAHGENATFIARTYYHTMSALRQLGSSSDGISSVSVSKAMFETIARNILLERTDCTLELYEGSGSNWRLTKSGTPGNIGSFEDILFANNDMQDSPVIVALFPMCRESQLYVGLSFLDMTNRKLGLAEFPEDSRFTNVESALVALGCKECLLPADCEKSIDLNPLRDAISNCNVLLTEKKKVDFKSRDLAQDLGRIIRGSVEPVRDLLSQFNYALGPLGAVLSYAELLADDTNYGNYTIEKYNLNCYMRLDSAAVRALNIAERKTDVNKNFSLFGLMNRTCTVGMGKRLLNRWLKQPLLDVNEINNRLDMVQAFVEDPELRQGLRQQLKRISDIDRLTHALRKKSATLQPVVKLYQSCSRISYIKGILEQYNGQFSTLIRSKFLEPLEEWMTEDRFGRFSSLVETAIDLDQLENGEYRISPLYSSDLGVLKDELSVVENHIINLHVDTASDLDLSVDKQLKLEKGPLGHVFRMSKKEEQKVRKKLTGSYLIIETRKDGVKFTSSKLKKLSDQYQALFGEYTSCQKKVVDDVVRVSGSYSEVFENFAAVLSELDVLQSFADLATSCPVPYVRPDITASDEGDIVLLGSRHPCLEAQDGVNFIPNDCTLVRGKSWFQIITGPNMGGKSTFIRQVGVNVLMAQVGSFVPCDQASVSVRDCIFARVGAEMLETASILKGASDKSLIIIDELGRGTSTYDGFGLAWAICEHLMEVTRAPTLFATHFHELTALAHKNDDEHQRVSNIGIANYHVGAHIDPSSRKLTMLYKVEPGACDQSFGIHVAEFANFPEAVVALAKSKAAELEDFSTTPTFSDDSKDEVGSKRKRVFSPDDVTRGAARARLYLEDLAALPMDEMDRSKIVEMVTKMRDDLQKDAADNPWLQQFF >Sspon.07G0001620-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:3908218:3909780:1 gene:Sspon.07G0001620-2P transcript:Sspon.07G0001620-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGGAAPPSAASAATAAATPPHRRLPDFLQSVNLKYVKLGYHYLITHLLTLMLLPLMAVILLEAGRTDPDDLRQLWLHLQYNLVSVLVLSAVLVFGATVYVLTRPRPVYLVDFACYKPPAHLQVRFEEFMRHSKLCGFSEDALEFQRKILERSGLSEETYVPEAMHAIPPQPTMANARAEAETVMFGALDNLFRSTGVKPKEVGVLVVNCSLFNPTPSLSAMIVNKYKLRGNIRSFNLGGMGCSAGVIAVDLARDMLQVHRGTYAVVVSTENITQNWYFGNRKSMLIPNCLFRVGGAAVLLSNRGADRRRAKYSLRHVVRTHKGADDKAFNCVYQEQDGEGKTGVSLSKDLMAIAGGALKTNITTLGPLVLPISEQLLFFATLVAKKLLSAKMKPYIPDFKLAFEHFCIHAGGRAVIDELEKNLQLSPAHVEASRMTLHRFGNTSSSSIWYELAYMEAKGRVRRGHRIWQIAFGSGFKCNSAVWHALRNVKPSPDNPWEDCIDRYPVELVDGFPTHKPQQ >Sspon.07G0023930-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:20575683:20576432:-1 gene:Sspon.07G0023930-1B transcript:Sspon.07G0023930-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MERHAEKPLLVLLVLSCLLLLPSSPPSHAKKPAPGEPPAAPAGSEAHFFSGGGDRAAMNMGRPTARMVVEVNDYQPSAPTTAMTHPRVPVELERRAQLDDPIILLVGFTSRQSASASAPVF >Sspon.01G0055790-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:83115313:83116802:1 gene:Sspon.01G0055790-1C transcript:Sspon.01G0055790-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMASSSSEESALFKLHAYLPRIVHHLLLNSVVKFCTGEDILSETCYLSKAQKKRVMELIQEIQPEFTSFISIMRRGNVQPPGPFLGITRDYASAHFPNESTNVTLETPGKSKKWHPKFYKRAESRNYMLIGQWLDFVRDNHVQEGDICLLVPTKDEIRCTFMVYVLHETTHSRGGAGFQMGGPCPGASSAKMASEIHIEEEPTAGEHVSSESDMQEIPHEPLEGGDSDDPFVPPYIVPCKSPLSKSQKRIVEERVRAIQSEIPICVAVMKNNNVHDMVRYISQLKGKPYGSSAG >Sspon.03G0008650-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:38012736:38014372:-1 gene:Sspon.03G0008650-2C transcript:Sspon.03G0008650-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATSNQEPGRCGGAGDGDGDGDDEAKRKEAALASSRLLDPGFKPSKLSQDRLDKFKELHKKRLQITEKPKYKRKPKGTCLSCMSSCLAMLVFYSPIRGSNSLGTTGRSIKVTSDYKFTDKDACADSSPGDIHHMSSVTGIQG >Sspon.06G0002160-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:4001326:4003058:1 gene:Sspon.06G0002160-4D transcript:Sspon.06G0002160-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMVSGGGGNAWAKEMTIRRRIASIFNKTQEHFPTLKDYNDYLEEVEDMTFNLIEGIDVEAIEAKIARYQQENAEQIYLSRAKRAEDLAAALKASRMNPVKAEANDTAAGSSQGISGGAGVQGQYAPAAVLGGVAQPRPTGMAPQLIGSRSDPLQGDDEETKRLRAERAARASGWTAELSKRRALEEAFSAIFI >Sspon.05G0019460-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:84963218:84966507:-1 gene:Sspon.05G0019460-1P transcript:Sspon.05G0019460-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRAELATTDHQTPNNGQNASTHQQKQEVTLELRKYILLLASLVATVTYAAGFSPPGDVWQDTSAGHLAGDPIIRDTQHKRYVAFFYCNATAFAASLVVVVIILILAYLDDKQQEPNSNGDEETNSKVACFLQDDKKKHRIALRTLQAAMVLDLLSLMGAYAAGTCRDTLTTIYSSLLVGFVFFYVVAQVTVASCVTDTGSGGVPEGQVEERQPVPDDALASVAGGNPHHSGPGGVVAEVKEEEERHPVPDNGAVEKEEEWKKAKEMFRKVKVLMAALASVPGNNPQSSSGISVPNNVEQDKAKERFRKVLMLLATFAHHVLGRAEHAGGFWDSAGAGHRPGDAILKDRHNTRLAVFFCFNTMAFVASLLIIVVLLDTKPHRHLRLGFIVVTLFSLIGAYNAGSCRRTDTTVYVFSLVPAVLVYILFLYFVADHIHAIWEAMKKLFRVRQGKTEKEKAADKARSLVLLLATLAATITYQAGLEPPGGVWQDNSGDHTAGDPILLTTNPRRYKAFFYCNSVSLKKLLLKHHVLEAVMILDLFGLIGAYAAGSCRDVNTSIHAMALAGAVLVYVVIHVIFITLDDDTRTRSESDKEKDNELVEKTRKRLLLFAILAATITYQAGLTPPGGFLLQDDQFGHHAGDPVLLYNFPRRYKAFFYCNSVSFMLSIALIILLVNPNLYRPAIRSHALSVCTAVGLFGLMGAYAAGSTQHLKTSVYVFVLIAVVLVCIALLFLVFLLTSGGGRAKAPGQAGSRDDTATTQGTAMEAGTEMAAPTISNINATAAAAVAQGAAISETTNTQLAVTSSNNNNEKATEENKREHANRKYLMVLGILVASVTYQAGLEPPGGAWQSSSGGYEAGNPVMHDNRRPRYLAFFYSNSTSFMASIVVILLLLIPPENLIGTGNKERRKKWLVVMNTTIVLDLLGLLGAYAAGSSRRWNTSVYVLLLVIAVLVYMAFHLLLSCIIRRSQSAQPLTGDSSVKPEANATSLV >Sspon.01G0028050-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:98193366:98195586:1 gene:Sspon.01G0028050-1T transcript:Sspon.01G0028050-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADSGARRQPTFTKVDQLRPGTHGHNLIVKVVDSKMVVQRGREGGPQGRQMRIAECLVGDETGIIVFTARNDQVDVMKPGTTVELRNAKIDMFKGSMRLAVDKWGIVKAAESSAEFTVKEDNNLSLIEFELVTVVE >Sspon.02G0023060-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:77893273:77894499:1 gene:Sspon.02G0023060-1A transcript:Sspon.02G0023060-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPPSPLVVLPLLLLLAAVSHPAAAANAAGAGTPAPVPTPWPEQFHAVMFTNLTESGGRLELIDLYYDWPGGRNLNLIRDQLSGDPLYDVEWTNGTSYFFDSASCRTVRFPVGILPPDWLAAGAVYLGREHVDGFDCHLWTKVDFVWYYEEVATGRPVRWNFFNGMQQHAMSFECWKTPSGRRLLTASMVAMPTPPNVAADGVDSDTAKVDVMNSLIRFAGAPAAAVAASFDH >Sspon.08G0026330-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8C:20311747:20312122:-1 gene:Sspon.08G0026330-1C transcript:Sspon.08G0026330-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVMGSKSPPLLLSLAYLLCVCVAHVTSLSFDYNFSIPGVLNSANIKYMSDATPGSDRIDLTNDTIWSSGRVAYGQPLQLWDDAGRVASFTSNFTFAIKPHNSTNQATQLPKKLLSLISPCSQL >Sspon.01G0040950-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:44213285:44214982:1 gene:Sspon.01G0040950-1B transcript:Sspon.01G0040950-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTSSMALLAHRIALFFLLARCLPCSRADVDFTYNGFRDSANLTLDGSASVLRGGALRLTTDRGHLMGHAFFDSPVRMLSGDAVVSFSTAFVFEIVTVGRGGGAGLAFVVAASKVLPGASPGLYLGLLGQDTMGNSSNHVFAVEFDTTQAAALLNETNDNHVGVDLNSLVSNVSEPAAYFADDGSNIPVPLESMQPIQAWVDYDGRTKILNVTIAPVSVASRPRRPLISQPVDLLLIFKQDMYLGFSASTGKLASSHYILSWSCCTRGLAQSIDLSRLPEVPKPPPPPLSRSDVFKIVALSCVTTLAAIVAAIVAALWLRQRAALAETLEEWELDHPHRFPYREIYKATKGFKNSELLGAGGFGKVYRGVLRRSGDVVAIKRISSNGSQGMREFVAEIASLGRMRHRNLVELRGWCKRGQDLLLVYEFMANGSLDTHLFGRAGQRRQLLLSWEERVRILRGVASGLVYLHEAWEQVVVHRDVKASNVLLGADMSARLGDFGLARLYEHGADPATTRVVGTLGYMSPEIVVTGRATTATDVFAFGVLLLEVACGRRPIDQATTKF >Sspon.04G0033090-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:44975008:44975964:-1 gene:Sspon.04G0033090-1C transcript:Sspon.04G0033090-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQRADKERTPTPPARHRAEARAQGLRAITLASHRPHTPAAANEAEAEASKQNEDQNHIANSSPVSSREPTPAALCPFHRSFLRPPRLARAPPTVAAAAASCPPPAPLVSHHDCPRPMRARVAGRHWGPGAGPAPMVLVSRCSYGRSAASVRLAGDPLPEFGVAWVGSGGARVRPRGISFELRTVAVPKFATPRSGGDRGKTLAWLVVLSSEFRIRELRA >Sspon.01G0036180-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:17313490:17316100:1 gene:Sspon.01G0036180-1B transcript:Sspon.01G0036180-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIDKVDAKEREKIEAVRKLLRKQAPLSAKQAQYCNDACVERFLRSRGESVKKAAKHLRTVLSWRETVGADHIMADEFSAELADGVAFVSGHDDDGRPVVVFRIKQDYPKFHSQKSFVRLLVFTLEVAVACMSRFVDQFVLLFDASFFRSASAFLNLLMGTLKIVADYYPGRLHRAFVIDPPSLFSVFWKGVRPFVELAPATAVVCSLDFEDSLEDASFTAYPRTASLRYEPAVSAAAVVGKAGVGSASSRFSVTPTDNPIKPWYLSTIPTSVGSRSVVPTSSSPSLVGASPLSARSFSFASPATLRSTAATPPPFPRGVGAPPLTPSSAAKAPTRPRRRRQCS >Sspon.04G0018620-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:75972413:75974226:1 gene:Sspon.04G0018620-2D transcript:Sspon.04G0018620-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGGEHHEKDEDYYGDAATIGALRQLYAGYAWADVASLGPESSGRAVRVRGAAQAVRAVGRRVAFLVLRQGAATVQCVVAGDGMARFAAGLSRESVVDVAGAVSLPREPVRGTTQQGVEIRVEKLHCVSRAVPALPIGVDDAARSEEDVARARAAGEQVVHVGQDKRLDYRVIDLRTAANQAIFRVQCEVENVFRQVLLSEGFVGIHTPKLIGGSSEGGAAVFKLDYNGQPACLAQSPQLHKQMAVCGGFGRVFEVGPVFRAEDSNTHRHLCEFVGLDVEMALRDHYTEAVPFEPLKYLRTTLRMDYDEGIRMLQEAGVHVDPMGDLNTEAEKKLGDLVRDRYGTEFYMLCRYPSAVRPFYTMPCPEDPRYSCSFDVFVRGEEIISGAQRVHVPELLAAQAAARGIDVASIATYIDAFRYGAPPHGGFGVGLERVVMLFCGL >Sspon.03G0009510-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:25871928:25877827:1 gene:Sspon.03G0009510-1A transcript:Sspon.03G0009510-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LTFLDSIVLPTRPSTLDSRHNPFDGSGTRAPYSGRPHAGHRVPLGLQPQTAHLTFFPKLTSTSLSATAAANAPPPHIVVVGSANADIYVEVDRLPLIGETVAARAGRSLAGGKGANQAACGGRLALGPTYLVARVGDDANGRLLEGALADAGGVRTDRVARAADAPSGHAVVMLMPDGQNSIIIVGGANMEGWAAGIGPEDLELIRKAGVLLLQREIPDWVNAQAAQEPIINISNFCQAAKSEGVPVIMDAGGMDAPVPGELLSLVDIFSPNETELARLTGMPTETFEQISQAAGECHKMGVKEVLVKLGSQGSALFVEGEEPIRQPIIPATEVIDTTGAGDTFTSAFAVALVEGKPKKECMRFAAAAASLCVRVKGAIPSMPDRKSVMKLLDSVKIE >Sspon.06G0017200-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:75279831:75285138:-1 gene:Sspon.06G0017200-2D transcript:Sspon.06G0017200-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MWGRHYWGGGRRPSWAPGGDGAGGVVVMFAWLSSQERHVRAYVELYAAHGWACLVCHSDFLTLFFPEKAAMLADRVIGELVKVLKITPAPVVFASFSGGPKGCTYKVLQLIERRCEGQLSLDEYQLVRDCLCGQIYDSSPVDFVSDLGTRFLLDPSVLKMSEPPRVLSWMTKGIASGLDILFINKFEEQRKDYWETLYSSVHFGPILILCSEDDQLATYSVVQNFGQHLLELGGDVNLIKWHSSPHVGHYKYHPEEYRAAVTELLKKASALYMSRKQLNGYDVGTSEHSDTPSTSNLPRTAASSVGRLRRAPIDPTDQFFLPSSMEYHESSEGPQPELFNMPSLESLSLHGVLGQVLYDVCVPKNVEGWDLKPSASMNGRHIHAAAPRHGSFNPLKCVRRSRL >Sspon.06G0022720-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:46205625:46209549:1 gene:Sspon.06G0022720-2P transcript:Sspon.06G0022720-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MACRGFFECVLKLLNLVVMAVGLAMVGYGAYLLVMWLQVAPPSPAPAPVAVPPSGGELVRLGRPLLLLVDASLSDADGGTVERLSSAWFIFAFIGVGAILFITSIFGCAGARNGCCLSIYSFLIILFILVELGAGGFIFFNHSWKEVIPVDKTGNFDMMYSFLKENWRIAKWVALGAVVFEALLFTVAIIVQSGNQVDYDSDDEYIGARSGIRQPLVNQQAAAADPRVPNLDYRPIRNDAWSQRMREKYGVDSFDPNRFQQATISPGEQRNRCTIL >Sspon.03G0024660-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:98534519:98545576:-1 gene:Sspon.03G0024660-2B transcript:Sspon.03G0024660-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSMVDRATSDHLIGPDWAKNMEICDICNRDPGQSKDVVKALKKRIGHKNPKVQLLALTLLETVIKNCGDILHMHVAERDILHEMVKIVKKKSDPRVKEKVLVLIDTWQEAFGGPRARYPQYYAAYHELVRAGAEFPKRLEKPAPLFNGQSQAARNMRSPDQQDEAESSAANDFPALSMSEIQNARGIMDVLAEMLNALDPGNREGLRQEVIVELVDQCRTYKQRVVQLVNSTTDEELMSQGLALNDDLQRVLAKHDAIAAGIAVRVEKKPKSLQALVETEDSANQDSKKEQGLIDIEEPTSQDAAKEPNQSTSDQSPFEQLALPAPPVSNGAATSAPKSDLGIDLLSWDDTPAPATAQNSLALVPVTDPLADSTSSNQNALAIVDTFSQNSTANSNAQPADPFGLNPSSAIPGSQPYNTPTQQPFQSQQPPQQAALYPNGGAVNPGTSYDQASQFNNVSSGWNGQAANPAVSPPEQALNYDDQSGNLPPPPWEAQPAASNEVPNGQLGGMQPLPGPAGQIGSMQQPLPPQVNHMGVPQSQPMYSNQPGVGLPQQAMQPGQVAATQMQLGFGNQQFGSLPPTSMMGMQFGGMQPPQMYGGSQPVMMYPQQMPVAQFGAMPQQQPMYGGRLAGYMQHPAVAAAHYYNNQGTAGMYGYPGANGLSQSMYGLSVQDNSSMGMSSSYQTTTVPSAGQPMKPTKPEDKLF >Sspon.05G0027960-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5B:57743158:57744016:-1 gene:Sspon.05G0027960-1B transcript:Sspon.05G0027960-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPQPTLPPPGDPQALDETLAASSSPPPAAAQLSGCICRYVAIFFPYFLSWLLSQIRKKKTGDGDRRTPGVEGRRRPASSRDGASQKPDTLPTPCWDARRRRLRSLLSRHRWHVGDGMGKTAAAQHCGEEEMNLSCTEDDVSPYIYTRVTAKESN >Sspon.03G0012830-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:52360037:52366000:-1 gene:Sspon.03G0012830-3C transcript:Sspon.03G0012830-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWRRVLTQIARHRPTKAIYNELVASSPLGALQSDITAGARIRNLQERNQSSYIGSLARRVRDLESPSETSLLKEIYRSDPERVIQIFESQPSLHSNPAALSECCFLDKGEESFSSIPALIGAGQVTKDGALGTANAPIHMVTAETGQFKDQLWRTFRSIALTFLLISGIGALIEDRGISKGLGLNEEVQPSMESSTKFSDVKGVDEAKSELEEIVHYLRDPKLPKGVLLVGPPGTGKTMLARAIAGEAGVLSSLAVEASLRRSRRVRDLFAAAKKRSPCIIFIDEIDAIGGSRNPKDQQYMKMTLNSCLSKRGIIVIAATNFPESLDKALVRPGRFDRHIVVPNPDVEGRRQIIESHIQRNTRISGADLANLVNVAALKAAMDGAKAVTMNDLEYAKDRIMMGVSGNRQLSLTNAGRGHALVAIHTEGAHPVTGYLLSTPGMALGMVAQLPDKDQTSVSRKQMLAKLDVCRRPWQKSLYLGYEVTSGASSDFQQATAMARAMVTKYGMSKQVGLVSITTRTMERA >Sspon.02G0013820-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2D:30100822:30101670:1 gene:Sspon.02G0013820-2D transcript:Sspon.02G0013820-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADCVSAKDMMELVLKLEAKPQLSVILLLWLWWDERNKYREEGRRRSAVEVAYVTAALADRFQEKGAQPQLSESRQTMRLGVGGILGCDTSHLPVFPIASHRIFLASRGQARPPRATSIVLTGSGGGRASPASTSTPTQSECLHPELRSESIRKYESV >Sspon.06G0006800-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:25134781:25136212:1 gene:Sspon.06G0006800-1A transcript:Sspon.06G0006800-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGQSLISILSALHNSVCTLSIQAPKRTANFTQDEDEQLCISWESVSTDPIIGNEQPSKAYWTRITEHFHANRTFESDRTKNSLEHRWGTIQKECQKFQGIFEDVERRHPSGVPYQEHVRNSAKFQALNPKKRKVAEANKKKTSNATASNPINLDEVEAGEGMGSPQTPDSSQMAQRPIGRKRAKEQLKNKGGDDGLYKKVVQELLVEKKEEKKMKDLRWQEAKAMQERRISIEEKRLMWEQEQKIMFCDVNTLDIDQKNYVLAMRAQITAQKMAEFSQSLGGSSGGSRDVGDDGLDGDPSI >Sspon.07G0013330-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7B:53683292:53683765:1 gene:Sspon.07G0013330-2B transcript:Sspon.07G0013330-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDCTRQPIPNPQPAPPAPAPQEATGDSESDTPVSASTVGDRPSVAAAYAEDDAESCSGAGGDNSRVDAASLDDDDAGRGGDDVAVEGDESEVDSRMSVPWWRRMVQHAAGGASGGGCARPQAAATDGGAAAVVAGPGGHTAESNRLFWEACIAHGY >Sspon.02G0021460-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2B:70788843:70789439:-1 gene:Sspon.02G0021460-2B transcript:Sspon.02G0021460-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAYRSLALAFVLAATAAAAVPGTLAVDSRSATTKGLQKACNATSSPRICLKVLVRASSESPTASPRRLAELAFRYLEKRGPALLAEARRETAATRNRSMRYCLREFNDNIRVYAKWLHGLAPDRGGDVEFVEAKRRLEALLEAPSNSGISCRGGQFQEKPVIRRIFDYEAMMQVTLDFMNAAARSNPALADPPSSDYG >Sspon.06G0027450-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:71759435:71766107:-1 gene:Sspon.06G0027450-2C transcript:Sspon.06G0027450-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLPAFLAAAARLAVLVAAAVTAANAASFARYRRRHLRRIPNPIDESADPIADFRALPSNSASAAEEATEDGDFFFGLATAPAHVEDRLEDAWLQFAVEHSCDDKEAVRDHKTADAVMASAAGDGGAQLASRSRGDEKAGDGEKRKPLKVAMEAMLRGFEMFADSGDSGSSDNCSHNVAAWHNVPCPQERLKFWSDPDTELKLAKETGINVFRLGIDWTRVMPKEPTEELKSSVNFAALERYRWIIQRVREYGMKVMLTLFHHSLPPWAGEYGGWRMEKTVKYFMDFVRLVVEHVSDLVDYWVVFNEPHVFVMLTYCAGAWPGGDPNAIEVATSALPTGVYNQALHWMAIAHAEAYDYIHSESKSKRKPIVGVAHHVSFTRPYGLFDVAAVTLANSLTLFPYIDSICDKLDFIGINYYGQEVISGPGLKLVDDDEYSESGRGVYPDGLFRILIQFNERYKSLNIPFIITENGVSDETDLIRKPYILEHLLAIYAAIIMGVPVLGYLFWTMSDNWEWADGYGPKFGLVAVDRANNLARKPRPSYYLFSKVVTTGKITRQDRLGAWRELQQAAFQKKTRPFFRAVDKHGRMYAGMWCKLTLFMGGLMMTVKSKYQWMQKDQLFLTTRGALSMRTTGFGAAQFIFTFSFSGTWKADAGKVL >Sspon.07G0017680-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:63553552:63563220:1 gene:Sspon.07G0017680-1A transcript:Sspon.07G0017680-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRWDKERFSNELHKFLQHKSYVPISLKHLVRLLHLKYLEICQTPVDELPKEIGHLKSLQTLVLDDIGLDELPPVVCSLAQLMCLMAEGFKKFPADRMGNITSLEELRLETVVGRSATEDLVVELGKLKRLRVVTITFSEELDESLQKALVQSLIIPAGATMWEDWEAPRQLRSLLIVGITFSRLPRWINRSRLPLLYFLSLSVFVVEVLDLDNLGRLPELSYLKLVGSSWPPGYTVGTDGFRNLRFCNVGTALKFHTGAMLRLEELQFGVYAGYWSWVQRGVPLEQFPTKDVIEDLDLGLDNLVSLEEVIVEVYCLGATAAEVQEVEAVVKRAVENHPNRPTIKMNRVYEENILSDERRVALLQHHIELHCRVLQLKDEPEAVFISGLRSYRRLEKAVISIDCAGASLCEVEKVEEAHRHAAELHRNHPTIQQIRTNTDKMVSSSYHPDTKLLETLALSNLLPLCQLMCLKAEGFRRFPTDKMGNLISLEELRLKSVVGRSATEDLVAELGKQTRLRVVTITFSEELEESLQKTLVQSLCNLQELQELELSFTGLSQQGATVWEEDWEAPRQLQRLLIVGITFSRLPRWINHSRLPLLCFLSLRVYVVEVLDLDNLGRLPELSYLDLVGSSWPPGYTVGADGFKNLRFCCVGTALKFHMSTVPRLEELHFSVYARYWSWEKNGVPLEQLPTKDGIEDLDLGLDNLLSLEKVTVTVYCSGATAAEVQELEAMVMRAVENHPNRPTIKMDQVCEGNILSDEEREDLLQHHIETHFGVLELKDEPDASFISHLRLYRRLQKAVISINCAGASMCEVDKVEAAFRHAAELHPNHPTIQLIRMNTEEMVSSSDHPDTEECKL >Sspon.03G0012890-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:52734010:52748813:1 gene:Sspon.03G0012890-3C transcript:Sspon.03G0012890-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial carrier protein CoAc1 [Source:Projected from Arabidopsis thaliana (AT1G14560) UniProtKB/Swiss-Prot;Acc:F4HW79] MGSSQESTFSSASAAAQVNASALDLLPVYAKELIAGGAAGAFAKTAVAPLERVKILLQTRTEGFQSLGILQSLRKLWQYEGIRGFYKGNGASVLRIVPYAALHYMTYEQYRCWILNNFAPSIGTGPVVDLLAGSAAGGTAVLCTYPLDLVRTKLAYQMGSSQESTFSSASAAAQVNASALDLLPVYAKELIAGGAAGAFAKTAVAPLERVKILLQTRTEGFQSLGILQSLRKLWQYEGIRGFYKGNGASVLRIVPYAALHYMTYEQYRCWILNNFAPSIGTGPVVDLLAGSAAGGTAVLCTYPLDLVRTKLAYQVSNVGQPGNAFGNSGQQQTYNGIKDVFKTVYKEGGARSLYRGVDLKSQVPEDYKNSVILKLSCGALAGLFGQTLTYPLDVVRRQMQVQSKQPQNSSDGFRIRGTFQGLLLIIRCQGWRQLFAGLSLNYVKVVPSVAIGFTTYDMMKTLLGVPPRERVHASGGNK >Sspon.03G0031950-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:30080206:30080709:-1 gene:Sspon.03G0031950-2D transcript:Sspon.03G0031950-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MANHLPDADAAGFKLFGKVIQPPDAHHRAADEGAAPPPLAPPPTTATVLPPHPPPPPSPPQPPLPLQQAAGAAGGTGGGGEPLPCPRCGSRETKFCYFNNYNVRQPRHLCRACRRYWTAGGALRRVASASPGRRRPRPTNARSAAAAAAAAAASSASAAAEEVGGER >Sspon.07G0035170-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:2333471:2333767:-1 gene:Sspon.07G0035170-1D transcript:Sspon.07G0035170-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding PMAGGRKREWLRKKMATAVAGTPGAGGQQSSPRWALRVRALSAALRRRRHGHGAAAGLPRVDFLRRLYESVVFHLLWVIESAVVLATLCFFFLRFGFRL >Sspon.02G0041410-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2B:75281442:75281598:-1 gene:Sspon.02G0041410-1B transcript:Sspon.02G0041410-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding TCARWSRFPEEAEIPYGVDVGQLITDFGDTANAVATVLSVEQVIKDTPREG >Sspon.07G0023870-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:20343265:20344470:1 gene:Sspon.07G0023870-1P transcript:Sspon.07G0023870-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTARLGAVVACVVLAAVAVAPRPAAGILDPVDFLALQAVRRSLDDMPGSEFFDGWDFTADPCGFPGVFCDGDRVAALALGDPRAGSPGLTGRLDPALGRLSALTELSLVPGRVEGELPASLASCSSLRFLAVSKNLLSGQIPDGFSALSNLRTLDVSFNQISGTIPPSIAALPSITNLILCHNQLTGGVPSFPDSSPLLRLDLKHNALSGGVPTLPSGLQYLSVSANKLTGTVDQVLPRLTRLNFLDLSMNQLEGPIPASVFSLPLSVLQLQRNFFAGPVQPSSDVTIPMVDLSYNRFWGQLSPLLAGVGQLYLNNNRFTGEVPARLVQELVGSGGLQVLYLQHNFLTGIEISPSSSLPSTVSLCLMYNCMVPPVYAPCPIKAGSQNTRPADQCPEWRG >Sspon.01G0028950-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1A:100867645:100868226:-1 gene:Sspon.01G0028950-1A transcript:Sspon.01G0028950-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTASDQRSRLMAYFPRPFGARLGKSNKKQKKKNSKDKPGEPIHGPPSPSMRRQRRRSSLVSPSACRALDLLARHDRIRLHRVGEEGKSPSEGRGRARWRRGNRPVSRRRPSPYPATPSSSLAGSATHPPDLELEVVDSLPLLGREGVAATHPPDPPPLLGREGAATAARWRSSLGHGRGGGESGAVAPSRAS >Sspon.04G0011450-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:35476322:35486454:-1 gene:Sspon.04G0011450-1A transcript:Sspon.04G0011450-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSLQADHLASGSTGLQGNGTQITARKNQAVNANHLLNFQYDPISRPQPRGPRTYPPRRQRKIKPYNKDLFLQANYKFVVLDTGNYQIESMDPDKMLQWEDIICVRYYSPSEVQCPICLESPLCPQITSCGHIYCFPCILRYLMMGKEDYRGECWKKCPLCFMMVSTKDLCTIQITQVQNFCAGDVATFTLLSRSRNSLTPSIKISSSENSTADEDPYNVFSKCILTSDVELSVREAKLDLSNWLHMADLGLVDDLEKLPYVSAALEQLEEKMKYWIEYRNYGGSPPSKDSFSPGSSFKSRNSFDVNTSHQISGHTISSVSDRDMVSGISELFMSPELSKSSGKGTMSKVNEKCTTTVDSNEHDPYTFYQVSDGQHLILHPLNMRCLLNHFGGSDMLPPRITAKILELETVTQSEAVRKRYRFLGHFSLTTTFQFCEIDLSDIVSPSSLAPFLDEIKKREKQRKRAAKKEESERAKAEVAAAVQASAMRFEYTNFSQSHNDVMFSLDDFEALGNNAGPSTSPPVSERKLFSDVTRLGFASAQDSPPLRHGTGDANGQSENSRDKGPSALSFASIISSSRAVAAADNSEMQKANAAGKKGKKATRVLLSTGGGRRY >Sspon.01G0037260-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:15853092:15853490:-1 gene:Sspon.01G0037260-2C transcript:Sspon.01G0037260-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GMSYYGDRRAESSIVEAFTLSPLPYPVILILLMVTLLLGVSWFFTYEDFIEEASQQLSWALLAVPIALVLLIRWISSVDSFEGYFGFYPRESRWKGYERPPAEGSSPWGVAMVVLLLLVLASFHSTIQDMWRP >Sspon.05G0020050-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:87468698:87474700:1 gene:Sspon.05G0020050-2B transcript:Sspon.05G0020050-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GMERLESYLKEHFDVPAKNPSEEAQRRWRSAVGLVKNRRRRFRMVPDLDRRNQDEAQRQSIQEKIRLALYVQKAAITFIEDYRITEDIIKAGFSINPDELASITSKHDVKALKMHGGADGISKKIRSSFDHGISASDLDTRQNIYGINRYAEKPSRSFWMFVWDALQDMTLIILMVCALISAVVGLASEGWPKGMYDGLGIILSILLVVMVTAISDYRQSLQFKELDNEKKKIFIHVTRDGSRQKISIYDLAVGDIVHLSIGDQVPADGLYIHGYSLLIDESSLSGESDPVYISQDKPFILAGTKVQDGSAKMIVTAVGMRTEWGRLMSTLSEGGEDETPLQVKLNGVATIIGKIGLMFATLTFVVLMVRFLIEKGLTVGLSKWYSTDALTIVNYFATAVTIIVVAVPEGLPLAVTLSLAFAMKKLMNDKALVRHLSACETMGSAGTICTDKTGTLTTNHMVVDKIWISEVSKSVTSSNSLEDLTSAISPATLSLLLQGIFENTSAEVVNEKAGLDAEDRTCTKVKVEPFNSVKKKMAVLVSLQNGVYRWFTKGASEIIVQMCDMMIDGGGNSVPLSEAQRKNILDTIYSFASDALRTLCLAYKEVDDFDDDADSPTSGFTLISIFGIKDPLRPGVKDAVKACMSAGIIVRMVTGDNINTAKAIAKECGILTDGDVAIEGPEFRSKSPEEMRDLIPKIQVMARSLPLDKHTLVTNLRGMFREVVAVTGDGTNDAPALHEADIGLAMGIAGTQVAKESADVIVLDDNFTTIINVARWGRAVYINIQKFVQFQLTVNIVALVINFVSACITGSAPLTAVQLLWVNMIMDTLGALALATEPPNDDMMKRPPVGRGESFITKVMWRNIIGQSLYQLVVLGVLMFAGEQFLNIKGADSKSVINTLIFNSFVFCQVFNEINSREMEKINVFRGMVTNWIFIAIIAVTVVFQVVIIEFLGTFASTVPLNWQLWLLSVGLGSVSLIVGAILKCIPVTKSDGVPASPNGYAPLPSGPDDI >Sspon.01G0023410-2T-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1D:81831387:81831623:-1 gene:Sspon.01G0023410-2T transcript:Sspon.01G0023410-2T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMLRRPSSVRKKGLTWNSCSLIGRLDAPVRPFDRSSDEYPMVYTFLSVTPSSPASSSSSSNLSVAPRRLRPPRARPS >Sspon.02G0035750-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2B:21509126:21510481:-1 gene:Sspon.02G0035750-1B transcript:Sspon.02G0035750-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPERWGIERLISMCAQEEERIKSSQSESAHFVKDNKRKNFNNKNSKPQGKPKWDKASSSQGKKPQEPENQQSNSYGGAEKDQCKHCFKKGHYKRDCPDFLKSLLKRGDEFITFVDESLYLCYAKSTWWVDSGATTHVANSLQGLSGTRTLQRGERTIKVANGVQADVEAIGDLSLELNNGFVLRLKEVLFVPSLRRNLISVSKLDDDGIDCHFGDGKCKILVNNECVGLAFRQDKLYLLSLDENANNVCDENMNDSPSANVIKKRKRIDDASSKLWHCRLGHISRGRMERLIKESILPHLEFSDLEQCVDCIKGKYVKKIKKNAKRSTGTLEIIHTDICGPFPVKSVDGYDSFITFTDDYSRYGYIYPIKERSEALDKFKIFKAEVENQHDKKIKIVRSDRGGEYYGRHTPYGQIPGPFAKFLQENGIVAQYSTPGSLSRMEWLKDETVP >Sspon.08G0007830-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:24562226:24565746:1 gene:Sspon.08G0007830-2B transcript:Sspon.08G0007830-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAASHLALRLLLLVGVAAAGVGAAAVGVSGGGQLWCVAKNNAEDGALQSAIDWACSVDGGRADCAAIQQGGACYDPPDLQQHASYAFNDYFLRSGGAASPAACDFSGAAALTALNPSHGSCVFPSSASPKNGSFTGTTTYGPTGADFSNSFSWKLNFWSLLLHISSSVMFCAATNKQGSS >Sspon.03G0045780-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:24777450:24780787:1 gene:Sspon.03G0045780-1D transcript:Sspon.03G0045780-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Copper-transporting ATPase RAN1 [Source:Projected from Arabidopsis thaliana (AT5G44790) UniProtKB/Swiss-Prot;Acc:Q9S7J8] DDAACQLSVVAASRLADGHLFPAQPPKHRGRPLTASTQELQTYRALRHGSTNMDVLVVIGTTASYVYSVCALLYGAFTGFHPPIYFETSAMIITFVLFGKYLEVLAKGKTSDAIKKLVELAPATALLLLKDKEGKYSGEKEIDASLVQPGDALKVLPGSKVPADATGVGASHGVLVKGGDTLERAQNVKYVIFDKTGTLTQGKATVTTAKIFSGMDLGDFLRLVASAEASSEHPLAKAILDYAFHFHFFGKLPSAKDNINRRKEEILSQWLLEVADFSALPGKGIQCWINGKKILVGNRALITENGVNIPEEAECFLVDMELNAKTGILVAYDGDFIGLIGITDPLKREAAVVIQGLKKMGVHPVMVTGDNWRTARAVAKEVGIDDVRAEVMPAGKTDVIRSLQKDGSVVAMVGDGKRMA >Sspon.02G0036620-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:14433022:14439608:1 gene:Sspon.02G0036620-2D transcript:Sspon.02G0036620-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AAVAVTIAVAVAGEAPARAPEGRRHPVPDAAPPPPPQRRPARRRRRPAARSARAAPPRPPPARSSTTSSPSPPRRSRPAPTPAPTVAPPAPRPPTGAGASSSSSSSSPSCPRTSTTAGPHLPPPYSDGSDGAEELAGDGSGDEEQVKPPKKRRIEPRADRSRHREVGGGGRSDPASAPRTKRPSVPGTARTTPLPDRKALEMILDKLQKKDTYGVFAEPVDPEELPDYHEVIEHPMDFGTVRKKLARNAYRSFEQFEDDVFLICSNAMQYNAPDTIYFRQAHSIQELARKKFQELRDEGIPTENPIKIEHKIRSNFCSGDPVKKPVLRYPDDLDFLSRKEQVKRPNSNNVDDDMSFKNQVKKTMSKNSQDQSCLLQKERIKKPIPRNSVDNLSSSFHKERPKKLISRHSEDGLNSPSRKEQVRKVISKNSENDASSPFHKHQVKKSTPQSSKDDFSSQKKHIKKPIGRNGEDPVFSTCKKPIEDPICTNVEDAGFSSMKRLSEKPISINREEDLDHCHQESSKEPSCRVEQDDQGYSCDEEAVKKPVCMDSHDAQGSDISAATIASVGDGSNVLSMSQPNATEPTDCTLANGVIDKDISSPPDEIRSEKTDDISAKPSYKPIVVDETRRKTYDACEEQPPMESDPVFDVFSAEPKELVNVGLDAEHSYAYARSLARFAGSLGAQGWRIASDRIRQALPADVKYGRGWVGEYEPPLPSILVVNDQPRYLKSSETNRRRNAPLPRDNERLRPTETNNPKDMRRITTCNNVVGVPGPLESPEFKPRLFGVTTEPQHRSTDTSSLHENHRVSGNVAKTKRTTNDQMRKGNSSSSARPLEMQLQKGASSGALDIPASNKMAGQPRPFLQPPESTRPQQMRKIDSSKSKIPIEMVPQQVECAKGAASGVHDTPSSNGQPKHFFQSQVAASSGVHDMHSSNGQPKHYFQSQAAASSGMHAMPSPNGQPTHYFKSQAAASSGVHDMPSSNGQPKHFFQSQAVASSGVHDMPSNGQSKALFQSQEAAVLQPKNEATWVYHGRPGDGKVGTSNKSRPSTSIGFINKNQSVNAATFAMNLNGQKIGSDHTKSAGTTTMPAQANIPNRGLDAPRNMFSAFPAAVRENQSIPSAPVAQSWISFGATTENKPTIVSSTFLDNNCGWKMPFANVQPSDDTKISAVPQFFRQPVQVVRENSVQNKGLVIFPQLVQPDFLRSQGQPQWQGLVPHMQQKPGKDVLRPDLNIGFPSPGSPPARQSSGINLEAQQPDLALQL >Sspon.06G0011380-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:44832528:44834062:-1 gene:Sspon.06G0011380-3D transcript:Sspon.06G0011380-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTPRSKSAREIDAGDRISGLPDEVIHHAMSFLPARDVVRTCVLSTRWRHLWASSRCLSVDTRDFINQRRFIKFVTSLLLSRGCRPLDSFRLDANGPGIFLENFRDTAYLWICHALRSNVHTLSIVDHDLKDKYDYIEEGEEDGEGEGESEERPDAFWLGHCPFMSLYLKKLHLHSVCMDKCFVKNLFSGCTALEDLDMINCVILATEFSSATLKRLSIDYHCFWRRKVYGYGDIVINMPSLVSLHIGALCAMLSLVDVQSLITASVCLDDGKATFAGACNILGALSSVKNLELLFPSCVGGEYSLQSDIQLCRVEFTNLTTLSISDWCLHDNCKVLLYMLEHSPNLVGLTLKIRGFFGSESFALSHNIAGVDSLCKETMSQFHCEKLKKVEIICPQGDRRVGILVTILLTRIISPLEISIKASSDIS >Sspon.02G0035660-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:68494206:68494974:1 gene:Sspon.02G0035660-1B transcript:Sspon.02G0035660-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRKREQERKAARLALHMMKKTVDIDNSDFLKDLENLCQKWQLNPPSKLIVDFVHGIELPQGLGSPLEALGLFMKKDIEEEVEHEMEDSVSTSQNADVEEGEISCCQ >Sspon.06G0026670-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:80390869:80391559:-1 gene:Sspon.06G0026670-1B transcript:Sspon.06G0026670-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VNNAALLMLKPPAEYTAEDYSRIMATNLESCFHLSQLIFEYFFYKFAMLHLKCFSALGTEDAVEERGDVGGGRVLHSILVAGTTVLGTGERRSVLFPRGGRTGLRGERRSIRVLFP >Sspon.03G0017050-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:53506169:53509477:1 gene:Sspon.03G0017050-1A transcript:Sspon.03G0017050-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEMEPSNGDHMAEDQPTPAASAAEEPAMDVDTGGERRSAAALVGLLRGFLAVQQRRAEAYSTLRRGFSEYMANGGELAYQKLCGSITAEFNDCSKQVIEIISLLSMPEFSRSDLADLLKGVQAQEKEKLHLTAKIQVLKKAGRPSERLVNHEDCRSRSMAQHVCVHVKEITEAAGTEDAEADAEYDAALKEAIQGVQEAVTSINEHMEEVRYEIDGLEAETIGCKWSEVEEAFPGTLSIK >Sspon.08G0025060-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8C:5405389:5407118:-1 gene:Sspon.08G0025060-1C transcript:Sspon.08G0025060-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVAQCYLTELTQRSLLQITERNACGRARTFVMHDLVREVTSIIAKNEKFGIAYGDVGISKVHDFQQRSLNCFGATKFLGNICHLKNLQALQIVSANKDLVSQLGNLKLMRSLAIVEVRQSYIAELWNSLTKMPNLNRLVISACDMEEILDLKMLKPLSNLIFFKLAGKLEAGNLKAVPYGIKYIRTLHQMYLTDMSKEFLGSLQGSERPIVQHIRNIHIFESSDSEAVNKFCLAPYLATKFGPGATKYAPTHLACDSHRELFYEPTQQYAVDLGARA >Sspon.07G0036850-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:43532802:43533269:1 gene:Sspon.07G0036850-1D transcript:Sspon.07G0036850-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTYNYACLKCIEGHVMCSPCRAKLEATGNAKCHVCREEIDEDDSRCYAMERVVESIRVPCPNAAHGCAARLTYQGVSARAVQLLWSGCGFVGSLGALLDHVASVHTNNEAMQQFWKEELSSLMARLRLRMAAANIGMGVDGQGRQG >Sspon.03G0026910-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3C:12657203:12658396:1 gene:Sspon.03G0026910-2C transcript:Sspon.03G0026910-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKQGVASMLALALLLLAASMLAVALLLGAFGGGAIPTGVQSIGVCYGVNGDNLPSASDVVQLYQSNGINLMRIYFPDTNALKALSGSNIGVIMDVPNSDLSSLASDPSAAATWVQSNVQAFPGVNFKYIAVGNEVSGGDTNSILPAMQNVNSALANAGLGGIKVSTAVQSGVTQGFPPSQGSFSQGYMGPIAQYLQSTGAPLLCNVYPYFSYTGNEAQMDLSYALFTSPGTVLQDGGNAYQNLFDALVDTFVSALENAGAGNVGIVVSESGWPSAGSDAATPGNAQTYNQNLINHVGQGTPKRPGAIETYIFAMFNEDQKTGAETERHFGLFNPDKSP >Sspon.02G0058200-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2D:71438821:71439041:1 gene:Sspon.02G0058200-1D transcript:Sspon.02G0058200-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GRTTRPRLARAPYPPLSPATPRSFPP >Sspon.05G0034050-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5C:48016837:48022177:-1 gene:Sspon.05G0034050-1C transcript:Sspon.05G0034050-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCLMSRHSLTPPLLHPHLPLALQRLPSSPTDPAIPPPFSLYPAGTAPAHSPGGPASPPQDLPVPDTVEAAPELPPSLPVASLPPVVPDAAVPIAGPSTPTPPPPGRFGLVYQRRREPRPPSPPPGRFGIVYERRREPPPPLSSPAPSSPVHATPAPPRSRADPPVYHPPLLHRDPRHTHPMV >Sspon.04G0026720-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4B:49423784:49424044:1 gene:Sspon.04G0026720-1B transcript:Sspon.04G0026720-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRHILGLGDLPDDDRLDLDKLNGLNLELDDDWLDLVISTTGGLGLGNSGMDLDKLNDGGLGLNKDGEVATTSSQAQQSRMLTEAS >Sspon.08G0013580-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:56676533:56681011:-1 gene:Sspon.08G0013580-1A transcript:Sspon.08G0013580-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQHGKNPPLNSVDFGMVKAQSKECATFGGAVFLCNHLTRKECFDKKIFGLPRFCADFVEKVKVGTTLFLYDVDQCKLHGVFEATSDGAVNIIPDAYVTSGKGSSIPFSHDTIFPIRFKRIWFCKPLMLSEFQDAVQNYSVKNKFGYGLSHQQVAKLLHLFSSQNRLQLPENPRLQDDLPGDLETSSLAKVTDVQSSPNSSSCGSFRSTCQTCSSTTLGEHTASLGEKLIDPVALVHRGIQSDISDVAKSKRSKSPLQSGADMATVTVPGNQEAMHDQSTDDYIPLSQEEDTFEGVDDLFALLKDESHSSESKGSSDSENHTNFHQVCIRKEDECYSPILDYKLCADSEGRTSVFSRLVRKNEIYNHRKRFKTEAFPPRSAEFSNALSQSKKQQKAQHNRPFPCRNDGMLDIPSADRLNRAPASNRSFVWRRSTKYSGGKQSGIQTGLGPFLCEDGNKRDVSVKQPARYNTCKKSFVPQGCPKLIVSCDKGLNMPTVFAGVPGCNEDNIKEMRTPFLNCKWPAKLVCSEDVEGEGRKKKRQATASFHQEYPSDTALIPEGTKTMDMLAVPDENFKEKSITLSSKDTHTQLTIPYLDAKVLLQDEQQQSFQGSFEYGEDVTCDSSLILEGSKTMETLAEQSFGVRKSLLSDDTQSHVAADYLGTGTSFQQKETPSIRSCHRVVNGDNILLLGKSETMDFLLGHDKDCENKKILPSDESDRLATSCHLETEMPLLQKQTPNVLSCSEVVHDDEVLIPEIPEVMSPKSDADCGNQVTSLCSGYREEVCHVVKNYHEVVPSDAAPDREGYGPLNNFPQLHGDSAKRNFLFDKTSEHVSTGHQDTIMLPHDEHYHSCCGDTSTVLECTILDTGSGDGGSEHKNSCDEKDDGTLYLVTGSKDTFSCDGSCSCPPTNDQVCSEVMLLKEEGQYQNLQSNSNSVDSFAVSSEGCGSKSGISVDLLGTNAESRTSFVNDFSSESAKTFSAAALGSEEADRNVNRSEAYAEPPILQHDPGESMTQL >Sspon.07G0023250-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:13620061:13623595:-1 gene:Sspon.07G0023250-2D transcript:Sspon.07G0023250-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding KPEILQELLKETNIPSFLAGLLSRKDHHVLTSSLKIIEILMQKLPDAYLGFFIKEGVVNAVEALLNQEDCSKSTHLPDDMQQPETQPVIRNKTTCFCYAFDARRSEAAEKRTCRIGKDSLFTFARHVKTTYFTKDVVSSEMGLTEILQKLKTCCAVLNETTDKSSEQCNLQNEEYLSTILSEVMMELHGGETMTTFEFLESGLVKSLSNYLSNGKYLQVENNMNCSSDHFLAVVKRFQSFARMSFSRMGQGWGDVLLTLLVRKLQNALTSLDNFPVIMSHNFKPRSSISDIPTRHSTITPCIRVRLKKDEDETNLSSYDSAVNVEISSSLHTIEEFLWPKVSIDVNSKKAESPPSGTALESKYADDDSQERDSTSSQKADSPSEGLTCENQNPPLETSPKQGTSSSGPAERNTTILSDNTVQQKLVFSLNGKELDRSVTLYQSILQDQINAGSDIILDMQFWRTVHDITFRAANLEANRTAVNPEANDSPRHSSTALSSINENITGFTWQMLPFFSKGLNRYSFHLVSDERNCSFAHGRITNLDDLKAEVFSIPQQEFVSAKLTDKLEQQMHDPLVSKSCCLPLWCTELMSACPFLFSFEARWKYFQLTTFGSLKNHHGHMMDASVNSVAERVSSHSRKKFKVDRDDILVSAAKMMKSHAKSNALLEVEYKEEVGTGLGPTMEFYTLISHEFQKSGLGMWRGEFPCESGTNDAHVSGFVVAPNGLFPRPWSASADSASFQEVSERFHLLGLVVAKAIKDNRILDIPFSKAFYKLILG >Sspon.01G0003590-3C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1C:9108344:9110479:1 gene:Sspon.01G0003590-3C transcript:Sspon.01G0003590-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AAASPRASHLPPPPPLLLLYCLSPPPSSPSRAPAAPPVRRRPHHLHKPWRHRGIGGASGNPFDPTQLLRDDPVAITASLWVSSFRAASASSSSSSGSGSGSGSGSCTPAPPQSLTPFLSRLELWVLAYQKAYADETGSYLPRSSIPASTLASLLTLRNAVLDARFRFGNRLTPFLQSPRAANAPDPATLSKRKLRALLTTPGPPPFQDRVVQELLLLLLEPVYEARFSPKSFAFRPGRSPHAAIRTIRRSFAPYLWYIKGDLSPLLHSPDPALVVGALIRDVRDKKVVDLIRSALLTPVVTASEDAAAKKKKTKRKYQKKKVLPEGEPKPDPYWLQTFFGFAPEEAQTQPDWGHCGVLSPLLANVCLDELDKWIEEKIKEFYKPSKSDVVGGDDGIEQGNTSWPEFVPTSGSDKTRKVDYIRYGGHFLIGVRGPRADAAVLRKQLVEFCDQRFRIKLDNESLPIEHITKGVMFLDHVLCRRVVYPTLRYTATGGKIISEKGVGTLLSVTASLKQCIKQFRKLEFLKGDREPDPQPCFRMFHATQAHTNSQMNKLLLTMAEWYRYADNRKKVVNFCSYIIRGSLAKLYAAKYKLRSRAKVYKIASRNLSRPLKDKKGQSPEYHNLLRMGLVDSIDGLQYTRMSMVPDPDYTPLPSGWRPDHEKILLEYIKLTDQQTLEEQRNCIREEGLITPQDYISMLVWGYKKNAVLLPSK >Sspon.04G0026980-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:54271404:54277053:1 gene:Sspon.04G0026980-1B transcript:Sspon.04G0026980-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGGSGEPGVGGGSAPVCSFVRKPPKNMRKRPAAPAGSDDDDGGSGALAAARSKKGPPSSTAGKLVFSTADASSEPRRFQYESSRTIQSSDSRATAVLETETEFDRDARAIRERQLKQAEEFLKKNPSGASVSASASSSASASGEVYKGIHGYTDYKAGFRREHTVSSEKAGGSHGPLRAAAHIRVSQRFDYQPDICKDYKETGYCGYGDSCKFMHDRGDYKSGWQLEKEYEEAEKARKRRIAMGGGDGSDDEAADEDEDDEEALPFACFICRQPFVDPVVTKCKHYFCEHCALKHHSKNKKCYVCLKPTGGIFNAAQEIRKKMAQDKKQQE >Sspon.07G0005820-2D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7D:13152420:13152680:-1 gene:Sspon.07G0005820-2D transcript:Sspon.07G0005820-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAAPGGGGGGGGAETVSQQQQQQGTPWISPDAPFDDHPHDIAMYIDFDAVSDMVPFYPGIKREDCQRERFDGDAVHSPLWALRD >Sspon.08G0006290-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:18838307:18847987:-1 gene:Sspon.08G0006290-3C transcript:Sspon.08G0006290-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVANQLYELCEAVGTEPTRGDLVSAYVRLLCDNEAEVRIAAAGKVTKFCKILDPQIAIEHILPCVKELSSDSSQHVRSALASVIMGMAPVLGKDATIEQLLPIFLSLLKDEFPDVRLNIISKLDQVNQVIGIDLLSQSLLPAIVELAEDRHWRVRLAIIEYIPLLASQLGVGFFDDKLGALCMQWLEDKVFSIRDAAANNLKRLAEEFGPEWAMQHIIPQVLEKINNPHYLYRMTTLQAISLLAPVMGPDITCQQLLPVVIASSKDRVPNMKFNVAKVLQSLVPILDQSVMEKAVKPCLAELSEDPDVD >Sspon.02G0041460-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2B:75702021:75702416:-1 gene:Sspon.02G0041460-1B transcript:Sspon.02G0041460-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLQRSSVSFRRQGSSGRIWDDPLRGLDLKGLSTTTPKAAPLHHDASVLAGDPSPRVVSRSLMRHGGGGGARSAGVIVESPEVAASASPAAASVVVRADGGERQERPARRRRRISAAFCACMGHPPASHAQ >Sspon.02G0023310-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2A:79175158:79176367:1 gene:Sspon.02G0023310-1A transcript:Sspon.02G0023310-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding REVAVAESASKKTCHALSSTPSSAPGPDVWADLLDSLLLQIIVLVSSFHDLLAFIGTCRSWRSVLSSLPPAFTSNIPPLHLRPDGYDSHPHRRYIKHSPLSNISWQLVDPAKRTSSLSCSAPQNLQVRMHYLGCSYGYLIFSKLEQCLLVDVYSGATVRPPKLKFTDNRNVYYGALVAPINLSNSRLLFCSRSSMFQWQVGSDFWSEHPLGVQCIVQIVSFKGEIFAIDFLQRLHRIQLEPQLSMQEVAVVWDADMFLGLWNAPWLVVCGDMLLLVDFSVSIDPFSRLSGTFNVFRLDFQVEPAKWVKVDDLGDNALFVSFDRRNPTFSCLGPEKWGGKRNCIYIANPSADSNELWSVVEVGQVVLDPKLCTNSAEKNLPRAQWRQLQSLWELPSFVYGVGQ >Sspon.06G0020170-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6C:8728763:8733304:-1 gene:Sspon.06G0020170-2C transcript:Sspon.06G0020170-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATVVSVGKSVLSGALGYAKSALAEDVALQLGVQRDHAFIRDELEMMLAFLMAAHDERDEHKVVKTWVKQVRDVAYDVEDSLEDFAVCLGKTSWWQVFRTLLDRRRVAKQMKELRAKVEDVSQRNVRYRLIKGSDNKPATGTDQSNMTGETMSGTEEARREKDKAKGDLLRLINKMDENLRVIAVWGPSGVMLETSIIKFAYDDLKRSKKFECHALVQIMHPFNLTQFLKNIISQLYVDSLEETVMTSQQDSTPGAQDLRRMGMMTEGNLVGVFKKYLQRMGMMTEGDLVSAFKKHLNNKRYLVVLTDLSNIQEWDQIKAVFPSNKKGSRLIVCAEQVQVASLCVEPETALPEHKKLSSNQVLYAFYEKGSQGGTDSTREGSSASTMDIIDSKSFLEGKRLTRMETMLAAFKESQLIGRENEKKDIINMISNYCHSQDFEVISIYGMGGLGKTTLVKHVYQSQELNTMFEKRACVTIKRPFNPSELITSLARQLLDKQDATQMGEKQEADGDKLASLLHGKRYLIILDDMSSTTEWDAVVFGTVTHMDEQYPELADETTLILKKCKGLPLAIVTMGGFLAKQPKTLMEWRKFNEHISARLEMNMELQRIPNVLIKSYEGLPYHLKSCFLYLSIFPEDYNISRRRLVQRWIAEGYTSEARGKSLEEIADSYFMELIDRSVILPVRKTFHSRKGIDSCQLHDLMREISISKSMDENLVFRLEADSWGNLRQLQTLDMKGTCISKLPKAITELTKLQYLFVGDFSPVCVNPDERLPDNLAKLCGACCAPKLLKGVERLNGDPNWHDVCTFWCHVVFPTLASRRLNPFGVVVPRGVRKLKALRTLGVVNIRGSGKAVLRDIRKLTQLSKLAVTGINEKNCRELWSALAELSHLESLSMKSTDESGMHGCLDGVSSPLKNMQSLKLEGTLLKIPEWIGGLQSLVKLVLLRTMLKEGDATMQVLGKLPNLAILRLTWRSFYVDGWLRLTFHREAFPSLVVLDLEEI >Sspon.02G0007730-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:16224554:16226457:-1 gene:Sspon.02G0007730-4D transcript:Sspon.02G0007730-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVLLCICVVLLLVSAASAASERCVRQGKAAYSPSLSPVPHGSSGACGYGAMAAEINGGFLAAGGPRQHRGGLGCGRCFQMRCRDAKLCSAGGVRVVLTDFHRSNRTDFLLAGPAFAALAKPGMAQELNRLDALSVEYKRIPCEYKEKNLSIRVEEASDKGRGNLVVKLLYQGGQTDVLAVDVAPVGPSAEWRFMTRVYGPVWSTPRAPAGPLQFRAVVTGGYDGKWVWADQEVLPADWRPGQVYDTGVRIADVARDDACRGCAAATAAAEMDDWK >Sspon.04G0014690-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:55025256:55026266:1 gene:Sspon.04G0014690-1A transcript:Sspon.04G0014690-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding EMPPPPPPPPPPPFGGPAAGGGGKPDRKTVERNRRNQMNALYSRLDTLVRAGSSPSSAAAAVQRGPPAMTRPDRLEEAAAYIMQTTERVERLKERKRELMASARASSSQGSGSSSAGAAEVEVQHLGSGLHAILVTGAPPSEGASFHRAVRAVEEAGGEVQNAHFSVVGARAIYTIHTLVAEGGIERVVQRLKAALRGDA >Sspon.08G0002040-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:6326184:6326624:1 gene:Sspon.08G0002040-1A transcript:Sspon.08G0002040-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEYQQVQQQHQGGRGSNKIRDIVRLQQLLKKWKKLATVTPSAASGGNGGGRSSVPKGSFAVYVGEEMRRFVIPTEYLGHWAFAELLREAEEEFGFRHEGALRIPCDVGSFEAILRLVQQGGRKKEPAAMCDCSCSSSSETEILCR >Sspon.03G0007320-1P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6A:71576091:71578606:1 gene:Sspon.03G0007320-1P transcript:Sspon.03G0007320-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LKRAFQKLQCPVPCLLEATTAAAVAPSATQPLQGLSRRRAAFADQQPNRSEAGATTSLASALARERIRRRGAGPEEQEALPFWQRTWFLALLLAMAAASFALALLLYLGLDLPEAAPAQSYAADPDTVVEITYGSVIKLMHERTKFRLHSHDVPYGSGSGQQSVTSFPNVDDANSYWIVRPQPDSSAKQGDPITHGTTIRLQHMRTRKWLHSHLHASPITGNLEVSCFGGENESDTGDYWRLEIEGSGKTWRQDQRIRLRHVDTGGYLHSHDRKYTRIAGGQQEVCGVGDKRPDNLWLAAEGVYLPVIQRK >Sspon.03G0006310-3P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:6480255:6483225:1 gene:Sspon.03G0006310-3P transcript:Sspon.03G0006310-3P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDPAAGGGGDAHPASGSKSSRSSTRHRQFRDRAKVRVDDLQEMFCGLQSARKESRSADAAVLEEQVHQMLREWRAELSVPSPASSLQASPPNPSPPAVPPFPFRARLVLTGCCGAAQNSQGNNREASDPPSDTLRLLQLVVPEEEDDATSKLLVPQSTQQAPPSHQSHEHGQDSRMANTDQQCEAMDGGTAPAQHSLGQGVEGDCGEVTAVANAMFNDQMYYIDNEVSIDDFLCDDDYKINISGSNEDQFNNLHGIGQLEHQQFDLPLDLPPTHSYADANSSEQNTGDVFVHMSDLLTTIWPSPSQYLGPKCALWDCGRPVGGTEDSGDYCNPYHAGLALNDDGLLGTRPVMRPKGIDLKDGPLFAALIAKVQGKNVGIPVCGGAATSKSPWNAPELFDLSLLEGESLREWLFFDTPRRAFESGNRKQRSLPDYSGRGWHESRKQVMKDFAGLKRSYYMDPQPSSSHEWHLFEYEINASDALALYRLEYKSSDSKKSAKSKLSSSPLNEIQQQMGRLTADSPVENKRTARSKPKANTKDTNANTHPHVNNPSQVNAPNAYQTTSQVNQMTFLNGNVVYGPHLPHSENVVYGPHLPQSENVVYGPHLPHSENVVYGPHLPHGYSAEGSSFFWNPSDGT >Sspon.01G0020490-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1A:76317154:76321161:1 gene:Sspon.01G0020490-1A transcript:Sspon.01G0020490-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMVDSWCDGVENEKIGSIRSILQAFRRASHYGEDQGDNSTPKLSVMSGSVLDKVMHFVLKHMDRVLRQLLCAPSFGGKKEAISELMLSKPWKRHGNLMRIFLSNALHMITEMTDEQMIAFTIHRVRASAVFLAAFPSLLRKYVKKSYQKIYDWQYIFCLELWTSVVCGCSSEEEFRPLAYPLTQIIHGVACLVPSARYFPVRLRCVRMLNRIAEATGTFIPVSSLLLDMLEMKELRGRPDGGVGKAVNLFSVKQVDKKTVKTRAFQEACIYSVVDELAKHLAQWSYSIAFFEMSFIPLVRLRSFCKTIKADRFRKEMKDLIYQIEKEERCSPLSKYVATLHQRAQDRMDALDETSVIVGADSSTFSRRLSEAQKQQDEQDDDEGTIAFSKNWLAENKKPKYVLPSLFFPLLGMENTLSYHCLVHRTPKENKKRPREEDDVATEEDRVEDLVLSSDEEDGNNQEPEDGFVPVE >Sspon.01G0015350-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:45440351:45442659:-1 gene:Sspon.01G0015350-3C transcript:Sspon.01G0015350-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVYVTEEQELIMEPCLKWAANPNVTVVIKAYGLKATVQIVDLQVFALPRITLKPLVPTFPCFAKILVSLMEKPHVDFGLKILGADVMAIPGLYRFVQETIKKQVAIMYLWPKTLEVPIMDPSKASKRPVGILLVKVVRAQNLRKKDLLGKSDPYVKLKMSDDKLPSKKTTVKRSNLNPEWNEDFKFVVTDPENQAFEVNVGKHEKMGMNMVLLKDLPPEETKVTTLNLLKTMDPNDVQNEKSRGQLTLELTYKPFKEEDGEIEDPEGTNVIEKAPDGTPAGGGLLYVIVHEAKDLEGKHHTNPYAKIIFKGEEKKTKVIKKNRDPRWEDEFQFVCEEPPVNDKLHVEVLSKAPKKGLIYGKETLGYIDVSLADVISNKRINEKYHLIDSKNGQIQIELQWRTS >Sspon.08G0004710-2P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8D:11452660:11455131:1 gene:Sspon.08G0004710-2P transcript:Sspon.08G0004710-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFGFRAGDRRPRHPSPDRRFTPPQAGPFHGEPPPSPFEWEVAARRERIIREEVERRLIEEEVRRELALARARFHGGFGPVPFVGPDGHTIVPPPPPGPFYMPDGPFMPPMPLPLMPVAVGMHPNCPPPALFGSWEGFGPRRLPGFGQPMTRPVPPPKRRHQLQLREIAPSESSEVLSSETKVGVKRKADASSATTKPTKLQNAARDWSCALCQVSATSEAGLNQHLEGKKHKSKLVQCGAIKDTGKSGLQVTTGNNNVAGTSDARKKIHILVDGEMHQVVQKSNCVWCERCRVSCTNAAAMVDHLRGKKHSLLNKVWTSLKAVRMNKEVKEDSAATCERK >Sspon.08G0022460-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:50877872:50885750:-1 gene:Sspon.08G0022460-2C transcript:Sspon.08G0022460-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSAIFVFLLVSALHMLECILDLVKRRGSISDEQLKLRVQITELLKEASALSTPSTFAQAAKLKRLAVQELNIKGKQSLYEQYGKVLLATKFYALNYLLHTVSGVSSNPHAIGSNLRTPVTTVPKHLLQPFGWMFSWRGVDASTGRVVVGILPWLFLTSHVSKLLSEKLAPIFLHP >Sspon.07G0018630-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:74568086:74572051:-1 gene:Sspon.07G0018630-3D transcript:Sspon.07G0018630-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLSAAYGATSDDEDAADPPSSAPAATGSASFASPPLKRPRWESHQYLPPTHCFPQQRLLDAAPPLASPSSGRYVSKRERALLASSQAHVESGSPLTPLTRAEFDCAVGSITYPNLRADILHPLRCQPKPGPSTSFPLKLSVCLKGHTKAINCVDWSPSHGHLLASAGMDHTVHVWNVWDKGNTTARVLKHHTAAVKDVRWSLHRPFLLSGGLDCSLQLVDVVEGKVMEVFKDDQAVEVIKFNPSNPDLFLSGGSKGSLRLWDIRCGLVTKEFHRSLGTILDIDFSADGRQFISSTDTTRSNISENTIIVWDVSRQVPLSNQSRECIFAVTGIGLLPAGGKLTDSPFVYTEAFTCPCVRYHPREASFVAQSNGNYIAIFSARPPFKLNKYMRFEGHGVWGFPVKCNFSLSGRELASGSSDGCIYFYDYKSARLLRKIEAFKEACTDVAYHPVMPNVIASCSWTGEISVFE >Sspon.01G0011910-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:32370597:32373632:-1 gene:Sspon.01G0011910-1A transcript:Sspon.01G0011910-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSERDDGSESETRWCAVTGGQGFMARHLVAALLRAGEWRVRITDLAPTVVLGPGEMEGLLGDALRDGRAVYASVDVCNLEQLIKAFEGVDVVFHTAAADPSKNDLQLHHKVNVDGTKNVIDACKICKVKRLIHTSSSGVVFDGVHGLFDVNESLPYPDKFPDAYAQTKAEAEKLVIKANGINDLLTCCLRPGAIFGPGDIVIPTLDRYGWTHVIIGDGKNCDDFVYVENVVHGHLCADKTLSTIEGARTSGGKAYFITNMEPMNMWDFTYLVQEELGYK >Sspon.03G0018690-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:57796548:57808964:1 gene:Sspon.03G0018690-1A transcript:Sspon.03G0018690-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRGARDDALMGSQLKRPNVARSDPSAQPQHNMPVPGSASAAAPPPQAGAAPPAQPQQPSGAALTNQKLTTNDALVYLKAVKDKFQDKREKYEEFLEVMRDFKSERIDTNGVIVRVKTLFNGYPELILGFNAFLPKGYAIKLQEEKKPVDFVEAINFVNKIKNRFQHDEQVYKAFLDILNMYRKDNKSIQDVYQEVALLFTEHKDLLEEFQHFLPDTSVAPQAVASRGGLVKREDRGSLVPPANRTLHNDKRDRAYLSHADRDFSVDRPDVEHDRQRRRLDKEKERKVERDRRDYEREDKDGEHDSRDLEIGQRKRKPFPRKMEDNAGAEAHQGGPSENHGIHSVSASSYDDKDALKSVYTHEFHFCEKVKEKLEHEAYQEFLKCLHIYSQEIITRSELKNLVNDILQHYPDLMEGFNEFLEHCENIGNLHALYIWMMDFLPVSSTKVGPTARVVKTEDKEKDRDRDREDRDRDREKERERNEKGSIKDQHSIQRKYPMPPASNRTDLGASVLNDHWVSVTSGSEDYSFKHMRKNQYEESLFRCEDDRFELDMLLESVNAATKRVEELIEKMQDNSVKPESPIRIDEHLTPLNLRCIERLYGDHGLDVMDVLRKNASVALPVILTRLKQKQEEWSRCRSDFNKVWAEIYAKNYHKSLDHRSFYFKQQDTKNLSTKSLLTEIKEINEKKRKEDDVLLAIAAGNRRPIVPNMSFEYVDSEIHEDLHKIIKYSCGEVCNSSDQLDKVMRIWTTFLEPILGVQRKHGSEDPDLVKPKSRTTKSGLANVGESNTGAGIVSKQSNGDESEQGPSSRARLANGVAADTQNGFHDADRTARRGEEPSNAILNGRVHGAISADETPSLSTQNIASTERSAENAAVVRTEQHKANSELTPGLFCYFNVLCAQNMLISFFFKSAAPTYFFVFFINPTQKFIPPRIRTWPAAVLLRSSNH >Sspon.01G0034040-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:619217:622884:-1 gene:Sspon.01G0034040-1B transcript:Sspon.01G0034040-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MELQLAAVLASLALGGAVLVLFFGKWWQPLAGTDRRVKELADAVEALLRQRAEVLGHDPAPSSDPVRAWLRRVQEAQDELASIKARHDGGQLYVVRLVQYLFLPTGPVAGLAEQQLKAVWALREQGAAILDAALATPQAPPPLLCDPEELEGLPAEAGPARAYLNEALRFLGDCDAALGIWGAGGVGKTTVLKLVREVCGRVARFDHVLLVAASRDCTVAKLQREVVSVLGLRDAPTEQAQAAGILSFLRDKSFLLLLDGVWERLDLERVGIPQPLGMANGKVRKIIVASRSEALCADMGCRNKIKMECFNEEDAWSLFQANVAAECKCLPLALVTVGRAMSNKRTPEEWSNALDTLKASLPSGTPGLDKSTHTLLKFCYDNLESDMVRECFLTCALWPEDHNIFKEELVQSWIGLGLLPDLGDIEEAYRFGLSVIAILKDARLLEPGHNHRYNMFPSDTHVRLHDVVRDAALLFAPGKWLVRAGAGLREPPREALWRGAQRVSLMHNTIEDAPAKVGSALADAQPASLMLQFNKALPKRMLQAIQHFTKLTYLDLEDTGIQDAFPMEICCLVNLKYLNLSKNKILSLPMELGNLGQLEYFYLRDNYYIQITIPPGLISRLGKLQVLELFTASIVSVADNYVAPVLDDLESSGARMVSLSIWLDTTRDVERLARLAPGVRARSLQLRKLEGARAIPLLSAEHAPELGGVQESLRELAVYSSDVEEIAADAHMPRLEIIKFGFLTKLSVMAWSHAAGSNLRDVGMGACHSLTHVTWVQHLPCLESLNLSGCNGLTKVLGGAEDGGSATEEVVVFPRLRVLAYWGCRSWRPSEPRGSARSRSCGAYRRGGARG >Sspon.01G0028680-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:100045926:100046393:-1 gene:Sspon.01G0028680-1A transcript:Sspon.01G0028680-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GMSRGSKLRWLWRAPARALGRARDLYVRGLTGCARYMPSDTAFGYPVFVPAPLSRSHSVDWGAGSSADEDLRELVRAASQRRVEQRRAELQAVARSQSMAASLSMARIDEDAPCEFGTAAGDGPGALYPRSQSCVDDAAGRRAHAHRGHRKVVALV >Sspon.02G0021210-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:70744699:70745267:-1 gene:Sspon.02G0021210-1A transcript:Sspon.02G0021210-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDSTDSIGCKLIRIVSPTLWSNGVRVCGEDDILLDQLIIEDATSKKISTSWGSSVVNSTTVLPGVKVLALTHISLSMDEVIGFIKCFPCMENLYIRISKAYNEVTKHTM >Sspon.04G0020750-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4A:72901127:72904138:1 gene:Sspon.04G0020750-1A transcript:Sspon.04G0020750-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AVLGGPLLGWDNKIVGMSLDIYDPGDANLRYTFLPMDLLCKRLKHFQILKLKSMAIPFHHPLCSNIEVFLPPNQRAGGTLEFCNLDYNIAIVSLKKNFNAICPDDIFTRAQNTSEKVVAIGRDAKFGILMAASGEVKHGNKDCKLDCKDVKLSTCKIKKAGIGGPLINLDGSFVGMNFYDGSGVTPFLPRQKIVEVLNGVDSLPSEWYYSHFDADCGYNHPMPVNVIGGTKKGIQKNSRLERRLRHEHCAIGANLLVPAMRVHLDDFLVGVTPAISRGFVYGFWELIS >Sspon.02G0030250-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:110514527:110516137:-1 gene:Sspon.02G0030250-2C transcript:Sspon.02G0030250-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARRLLFLQSCRSYSTSASAEDMVISSLRFLPSPTITNPPPLPTILHSTDSPPDPHPPLTAMLLSAADRLRGVFLLKPPGRTALHHALSSTGIGAAMALSPDVLANVVNAGDLSGAATVTFFDWAVTNSKPPPSVHTCNIVIRALGRKKFFDFLDDALQIMRRNNIFPDLTTLEIIIDSLVAARHVSRAVEVLGTDQFGFGIGKTCHRKEAFTVLIRCLCRRSHVGLASSLLQAARKELLGLDSDVYNDVMCGWARFGSVDKLQVVWTKMQEDGLVPNEVSHCHLIEGLGRVGQTEDALREFENMVHGRYGPTTMAYNALIFNFISVGDLDSCIKYYKDMLEKNCPPNIDTYSKMIKAFLRGRRVADALHMFDDMLAQGVVPNTGMITSFINPLCTFGPPHAALMIYKKSRKAGCVISLKAYKLLLERLAKFGKSGIVLDIWEEMQECGYQPDKEIYEFIVNGLCNVGKVDAAVSVVEESLRNGFCLGRVVCSKLNNKLLEMDKVETAYNLFKKVKHARALSNSRNYCRANGWHS >Sspon.01G0021590-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:83193276:83200933:-1 gene:Sspon.01G0021590-2B transcript:Sspon.01G0021590-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Electron transfer flavoprotein-ubiquinone oxidoreductase, mitochondrial [Source:Projected from Arabidopsis thaliana (AT2G43400) UniProtKB/Swiss-Prot;Acc:O22854] MQRAIRKAAAATRRALSAAARASPAPPRGPLAPGWGATRWLCSGREALSYDVVIVGAGPAGLAAAIRLKQLCRAADADLSVCVLEKGSEVGAHVLSGNVFEPRALDELIPKWRQEDSPIRVPVSSDKFWLLTKNQAWTLPSPFDNKGNYVISLSQLVRWMATKAEDLGVEVYPGFAASEVWEIEEGKHKPGSVIHTVGWPLDTKTYGGSFLYHLDDRQLAIGLVVALNYRNPFLSPYDEFQKFKQHPAVRKLLEGGTAIQYGARTLNEGGFQSIPYPVFPGGAIIGCSAGFLNVPKIKGTHTAMKSGMLAAEATFKSLVEGSSMELYWENLKKSWIWEELHKARNYRPAFEYGFIPGMALSALERKPSILPEQSVSSVSNLSKHCHRKETFHLLANLTWQTCPRSNTNHEHDQPPHLHLRDPTIPERVNVPLYAGPESRYCPARVYEYVK >Sspon.08G0019270-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8C:13369574:13370492:-1 gene:Sspon.08G0019270-2C transcript:Sspon.08G0019270-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKSQFLAADDWASSSVTEKKLEELVEDGLLRPRTSRSRPEWIAPPPTHREPAPPAGYVVSFVSFHERGFGIPSSVFMRALSTTTGWSSIISPPTPSRRPPSSRRSARGISGWSRSGTCGFTSSRPSSSRGTRGKGRLLRSAGRELRPAVEVEPGGPVHPGSPHLLEQRVARGLVLPPQRRQPAAGVHRPRADHPGGALGVRCAGRREAASGPVAGGAAAATCSGLTAAAVATAFHRRRVMPLCRRRLRLDQMTPEASLEGSRMSHESLTLEEGLRRARRMVGTFKAEEADRLPMRPTEGFEPVV >Sspon.02G0000710-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:2557923:2558893:-1 gene:Sspon.02G0000710-1A transcript:Sspon.02G0000710-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LVKAYVQQLESSKLKLAQLEQELQKARQQGIFISSSGDQTHAMSGNGALTFDIEYARWLEDQNKQINELRTAVNAHASDSDLRLIVDGIMAHYDEIFKVKGVAAKADVFHILSGMWKTPAERCFLWLGGFRPSELLKLLANHLEPLTEQQMLGLNNLQQSSQQAEDALSQGMEALQQSLAETLAGSLGPSGSSGNVANYMGQMAMAMGKLGTLENFLRQ >Sspon.03G0006560-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:18017916:18019836:1 gene:Sspon.03G0006560-1A transcript:Sspon.03G0006560-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRARASVRLGADRPEDWRTPPAPVRAPGKLKHRKEGHANGRVKEVLGKWSVDRSQLLIGHRFASGAHSRLFHGIYKEQPVAVKFTRQPDDGEDAELAAQLEKQFNTEVTTLSRLNHPNVIKLLGACSSPPAFCVITEFLSGGSLRAFLHKLDHKALPLGKIISISLDIARGMAYIHSQGVVHRDVKPDNIIFDEEFSAKIVDFGIACEEEYCDPLANDTGTFRWMAPEMMKHKAYGRKVDVYSFGLILWEMFSGTIPYEDLNPFQAAFAVFDKNVRPAIPTCCPAPVRVLIEQCWASHPEKRPDFCQIVQILEKFKTVLDRDGTLDNMPGSICQETHDRKNWLAHWVHKLKHSHIMSNFKTKIMSFEPAAPLQ >Sspon.06G0011650-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:63823265:63825716:1 gene:Sspon.06G0011650-1A transcript:Sspon.06G0011650-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLWGSAASAPPAAEGDTARAPSGSAGGGGVKVIRSLLPTRRRLRLDPPAKLYFPYEPGKQVRSAVRIKNISKSHVAFKFQTTAPKSCFMRPPGGVLAPGESIIATVFKFVEHPENNEKPLDKCKVKFKIVSLKVKGPVEYVPELFDEQKDQVAVEQILRVVFLDAERPSPQMDKLKRQLAEAEAALEARKKPPEDNGPRIVGEGLVIDEWKERRERYLARQQIEGVDSV >Sspon.01G0006260-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:16214066:16217835:-1 gene:Sspon.01G0006260-1P transcript:Sspon.01G0006260-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAKSVTPGAVSHILAHPSTGSDGAVPDLVVQVLDLKSIGTGSRFSFMATDGKDKIKAMLPTNFASEVHSGNLKNLGLIRILDYTCNLVKGNNDKVLIVVKCELVCQALNAEINGEAKKEEPPIVLKPKDEGVVVAEQANAPPLVMKPKQEVKSASQIVNEQRGNAAPAARLSMTRRVHPLITLNPYQGNWVIKVRVTSKGNLRTYRNARGEGCVFNVELTDEDGTQIQATMFNEAAKKFYPIFELGKVYYVSKGSLRIANKQFKTVQNDYEMSLNENAIIEEAEGETFIPQVQYNFVKIDQLGSYVGGRELVDIVGVVQSVSPTLSVRRKIDNETIPKRDIVVADDSGKTVNISLWNDLATMTGQELLDMVDSSPVVAIKSLKVSDFQGVSLSTIGKSTLVINPGLPEAQNLKSWYDSEGKDTSLAPVGAEMGATRAGGLKSMYSDRVFLSHITSDPAMGQERPVFFSLNAIISHIKPDQNMWYRACKTCNKKVTEAFGSGYWCEGCQKNDSECSLRYIMVLKVSDPTGEAWVSVFNEHAEKIIGCSADELDRIRKEEGEDSYVLKLKEATWVPHLFRVSVTQHEYMNEKRQRITVRSEAPVDYAAESKYLLEEIAKLTAC >Sspon.06G0007170-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6B:23582275:23582541:-1 gene:Sspon.06G0007170-2B transcript:Sspon.06G0007170-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASVGWQLLEELVLKILLAAGTNARCGDGSCSHGTRTYYFFACCCPPRSHMLLLLTRARASLPSSRISMIPRHWSAFNWTPMAKVRR >Sspon.02G0033550-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:7491610:7493909:1 gene:Sspon.02G0033550-1B transcript:Sspon.02G0033550-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPPLGPDIDHITPQPSDLIPRKNLRASGCLLGWQRLGPENHLIQSVNPSVSEAPSAAVVVPRGGDMEYGWLLSAALAAALAAWAFDALVRLVWRPRALARSLRAQGVRGPPYRFFHGNLGDIRRLRAAGAGLRLDVADHDFTPIAQPQFREWIPLYGSQQLVTYLPYHASFRLAGRPDAVRDDRAAGRVFLYWFGSTPNICVADYAMAKQLLAERTGLFAKNRSNANLLRLLGEGLVLANGDDWHRHKKVVHPAFNTDKLKMMAATMADCALSMVTSWEAQLASQRKKHVTIELSDQFEELTADVISHTAFGSSYKEGKRVFQALKELQFIAFSTLFSVQIPGFRYLPTKKNMRVWKLDKEVRSTLTRIIENRLGAKDKAGYGNDLLGLMLEACAPEHGGDQLLSMDEIIDECKTFFFAGQETTSHLLTWVMFLLSTHPEWQEKLRAEVLRECGGGGRDRRAPTHDILGKLKLVCLVVFCLSACDLMDRRMLIRVAMQCKCCPQMNLFILETLRLYSPVPLIRRRTRCPVELGGVVVPADALLTLPIATMHRDREVWGDDAGEFNPLRFDAATTKAAPKNLSALLAFSSGPRNCIGQNFAMVETRAVVAAVLQRFALTLSPEYVHAPTDVITLRPKYGLPMIVTSVDDA >Sspon.04G0008290-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:14670494:14671854:1 gene:Sspon.04G0008290-2P transcript:Sspon.04G0008290-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMSTGLKRSGKSCRLRWVNYLRPDLKRGKITPQEESIIVQLHALWGNRWSTIARSLPGRTDNEIKNYWRTHFKKGKPSKNIERARARFLKQRREMMQQQQQQQSLIGQLDEDGNQHGVGTCTTGATADEDDRGSATTGDACAAPTLTVATEAGDLIMHDDAMDCFLCPMSCDDLLVLHGAGGHQGGGTGSCCGSTASDEYGSSEEDGGATWGSLWNLDGAGEVFMLW >Sspon.01G0017330-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:59996080:59997508:1 gene:Sspon.01G0017330-3D transcript:Sspon.01G0017330-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPFSRRLPAAPLLVVLLVAAEAFFAYADTDDCGRYSRVFAFGNSLTDTGNSAIFPVTAGGPFTRPPYGETYFGHPSGPASNGRLILDFLGTLVTIDTHTHAVEELKVPQPTPYLAGKTAADFLNGTNFALGGATVLDPAFLASKGITSFVPISLGNETTWFQNVVQLLNSSHGYGTRKIMASSVFYVGEIGVNDYFFALSNNSVDVAASLVPHIIGAVRSALTAMIAAGARTVVITGMLPIGCEPQQLALFPGDQGDYDPITRCIARFNEVAKQHNRALRTMLSELRRDYSCHGRSLSLLYADIYNPVVKAVAFPAFYGFGDRPLSACCGGGGGPYNFNFTTFCGTPGSTTCADPSKFVSWDGIHFTEAANRLITRTMLKELKLPL >Sspon.05G0020120-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:85858944:85862897:1 gene:Sspon.05G0020120-1A transcript:Sspon.05G0020120-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GPPRRALSRGDAPQRRLRHLRRRRRLRGRAGGGLRRSQDLGDEQPRGKVRRHTSFGAEASRRMI >Sspon.01G0001940-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:5455242:5457051:-1 gene:Sspon.01G0001940-3D transcript:Sspon.01G0001940-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIMAGAPSMEVEQDLPGFRFHPTEEELLGFYLSRVVHGKQLHFDIIGTLNIYRHDPWDLPAMAKIGEREWYFFVPRDRKAGSGGRPNRTTERGFWKATGSDRAVRSSADAKRVIGLKKTLVFYQGRAPRGTKTDWVMNEYRLPDHAAAGRAAPPPPKEDMVLCKIYRKATPLKELEQRASAMEEMQRRSSAARAASLVQAAGSAGDDYLSVSSDDAHDSSFLQLPSSSSSAPSGDSYGAPAPREAKTEAADAMAITVASTSIMAAVQPPAVRHADLPTLQVPTNNLGVADWTQMQDPFQLRSPWQDQLFFSPLAHLLY >Sspon.02G0029140-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2C:114492791:114493216:-1 gene:Sspon.02G0029140-2C transcript:Sspon.02G0029140-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTYLPLAALLLATAVAILAVTSAVPVVAATAAATDKYSGRMVIIHAPGSSRVVSAGGALNKWQQQRRRLVEDEVAPELGGLLGMGDPGIPRPRPEPPGVPERQLRQPGTALHRKAVHVHRSTHYCPHTATAGHARAVHV >Sspon.03G0000260-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:6134567:6136817:-1 gene:Sspon.03G0000260-3D transcript:Sspon.03G0000260-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMAFALAGAFKGLSLAPTTTTFLRGDRASLSVGGAVGVPVPARRLTIQMAHKKGAGSTKNGRDSKGQRLGVKIYGDQVAKPGAIIIRQRGTRVYPGNNVGMGKDHTLFSLIDGLVKFEKYGPDKKKVSVYPYEKEPENPNSYRARKREYFRMRRERKKARAEGATEPQLVIAAVDENSEVSADC >Sspon.07G0016720-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7B:64289036:64290404:1 gene:Sspon.07G0016720-2B transcript:Sspon.07G0016720-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding FSANNRSLALSLSISFNGLSAAFYTLFANALSPSSPAVYLLLNAILPFGVSILALPAILLCHTNDSHLQSAPRHDRRVFLGLYILAFITGIYLVVFGSFTATGSTAWVILTGAMVLLALPLIIPACSSCSYVDTDGPDPASPLNHDDPHKPLLISNNHQMESNAMVQKPMEHQTQGNCCGTIVGKGRLAALGEEHSAKKLIWCVDFWLYYTAYFCGATVGLVYSNNLGQIAQSLQQQSQLTMLLAVYSSCSFFGRLLSALPDILHRKVSLARTGWLAAALVPMPMAFFLMWNQQDASTLVAGTALVGLSSGFIFAAAVSVTSELFGPNSVGVNHNILITNIPLGSLLYGQIAAMVYDGNGQKMTVMDNSTGIVDTMIVCMGMKCYSTTFFLWACITFLGLASSIVLFIRTKPAYAAAASRSSCKHLHQVSS >Sspon.02G0045380-1P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2C:123203760:123205082:-1 gene:Sspon.02G0045380-1P transcript:Sspon.02G0045380-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRGSSAVPPPPSARLHAGALLAGLARRATTPAAARQLLVRGLLLPARAAVALIASSHSPRHARAVFDGAVPAASENVYLWTATIAAYARHASSSPSAAEQALALFRLMLRRGVPRPNAFTASSVVRCCSALRAVRVGIQVHGFLVNAGLGRAAHVGAALVDMYGNLGRVADARRVFDEMPTTSVVLGNTMVACYVRAGDVEAGRDMFDRMVERDPISWNTLMMGYLRQGEAVVARELFEEMPERNVNSWNMVIAACSREGAWADALAVFNRMRHARFQPDPATMAVLMSACAQLGSLSVASQVHGILRKGCVEMNFHVLNSLIDMYAKCGSVSQAHLLFVETHLKDTVSYNVMICALAHHGHGRDALQIFNEMAEEGLQPDAVTFLGVLSACAHAGLVHDGKFYFESMRTNYAIEQSPITMRAWWISMAELDSLRKHTN >Sspon.04G0000890-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:3661509:3663223:1 gene:Sspon.04G0000890-1A transcript:Sspon.04G0000890-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLGLPVAATVNCADNTGAKNLYIISVKGIKGRLNRLPSACVGDMVMATVKKGKPDLRKKVMPAVIVRQRKPWRRKDGVYMYFEDNAGVIVNPKGEMKGSAITGPIGKECADLWPRIASAANAIV >Sspon.08G0005180-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:15392097:15393945:-1 gene:Sspon.08G0005180-1A transcript:Sspon.08G0005180-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VMAATAVASRKRGTAAAFLDDPFSLPAADLPLLHTKRGRCSSSIVAADLGISFPLEFDPVEALHLIFPGEDPQVLQNYLQASGNVLDAAIRAYKDYLAERSTEFASARNHVPSDNEEGDSILSECAATVNLNVTASMAIAVDLTVEAIPTNCSGWAELIVKEMFSASDLTDAKNRAFKILNFLEKSAARTSSPDEKSKVNQEHKIVKQMLGSLLHQNGVLKRAFLIQHNRLKEYQEMVQERSQFNQILEKYQKQIKALQLRTKMVKERTCPCGVANLQLVYVSLYVSLDCM >Sspon.04G0002260-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:7968508:7968840:1 gene:Sspon.04G0002260-2D transcript:Sspon.04G0002260-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDAEADGGSSAPWDYYYSVAHDAGGVLGAAATSAAEVVAPVRADGSIDWRPIMAHPPPLFSPTGWGSNAYDFLQVPPPAAAVADEDVDDGIHGATASLWSFDRDSYFRY >Sspon.01G0047050-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:93330836:93333526:-1 gene:Sspon.01G0047050-2C transcript:Sspon.01G0047050-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homologous-pairing protein 2 homolog [Source:Projected from Arabidopsis thaliana (AT1G13330) UniProtKB/Swiss-Prot;Acc:Q9FX64] MPPKSDSVEGIVLGFVNEQNRPLNSQNAADALQKFNLKKTVVQKALDALADSGQISFKEYGKQKIYIARQDQFEIPNSEELEEMKKRNAKLQEELADQKKAISEVESEVRGLQSNLTLAEITSKETKLQSEVQEMEEKLNKLRSGVTLVKPEDRKIIENSFAEKVNQWKKRKRMFKELWDNITENSPKDQKEFKEELGLEYDEDVDVNLQSYSDMLASLNKRRKISR >Sspon.01G0048260-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:107926376:107937884:1 gene:Sspon.01G0048260-1B transcript:Sspon.01G0048260-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKRDLAKSILLELEREHHLKVQEEVRNKLASLEKYAESKKERQKTRCTLPEKNKCLINTYLWSKGIMNKNPRLLNVKSEMMQLLKKPKEKSKLSRKKSIKVFADKYALEAESQRRALVQNQVPENIHLSKEFSKYDRQIAKSISKLMPTTDSVRARASELIKALDGQDCPCPISCCLFANKIISIVKSRNTKDKTFGNLAFAYFLSN >Sspon.02G0040570-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:70755230:70759355:-1 gene:Sspon.02G0040570-1B transcript:Sspon.02G0040570-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPALPRLAAPPPAAAPSVRSRFLTPPPPPPPRPSHCSSSWNSRRRSPLRPSATAAGAPREGPLPEPEELDQLLLAALRAARIRDEESRRSDPLFIDPYAAVLLSHDVAHHDVGCLDSHAVPWQDHYRLTTRYIDDKLQNLINNSEDIRQIVLLTDGMDTRPYRLNWPRLSVIYDVSPGKVFTAATQQLRGTGAKVSRNCVLLHTSLESHDLQAGLRKNGFNGNKLSLWVLQGLPLSTTTSLENLLLVISNLAMKGSIFIGELPHFPDCTAPMDMRLEQDNMEKLFFTQGFRVSFVQYDNVAKEIGLDLATPWEQCGRMLFVAEQLRFSDAQMESFRIHFERMEENADEEGFEEL >Sspon.01G0051520-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:16271628:16273410:1 gene:Sspon.01G0051520-1C transcript:Sspon.01G0051520-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAATTCSHLLLLSRQQQQAASLRCRLSVLGQSRRPAGRVTAQQAPAANVRCMAAVDTASAAATETTSPKSSSYEIVTLTTWLLQQERTGAIDNEMTIVLASISTACKQIAALVQRAPISNLTGVQGAVNVQGEDQKKLDVVSNEVFSNCLKSSGRTGVIASEEEDVPVAVEQSYSGNYIVVFDPLDGSSNIDAAVSTGSIFGIYAPNDECLANVEDNETLDSVEQRCIVSVCQPGSNLLAAGYCMYSSSVIFVLTVGTGVYVFTLDPMYGEFVLTQEKLQIPKAGKIYAFNEGNYALWDDKLKKYMDSLKEPGDSGKPYSARYIGSLVGDFHRTLLYGGIYGYPRDKKSKNGKLRLLYECAPMSFIVEQAGPPKSASLHRERGGSGEGGEIPGLNVPARCHVPELSDQMNPRRQTVQYIL >Sspon.05G0001180-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:4035034:4038958:1 gene:Sspon.05G0001180-1A transcript:Sspon.05G0001180-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At3g50420 [Source:Projected from Arabidopsis thaliana (AT3G50420) UniProtKB/Swiss-Prot;Acc:Q9SCT2] MSLRLGFHSLEPALAAAAESLFGTRSPPRAVLRRARALHALLVVSSLPSTPRPPTFLANQLLALYCHLYAVPDALALLRSTPCPSVVSYNTVLSALSRTPRHAPDAFRLFRDLHASGLRPTAPSLCAVLRAAGALRDGRAGTAAHAQAAALGFLASDIVPTALLQMYSGCGSPRDANQVFDEMMTPDVVAWNCVMHCNVRYGYLDRALGKFCRMVSAGLAPTESTLSSVLSGCGRSGDLHHGRALHGWVVKSEELDPDLPLQNALLDMYCCCCDLDTAVRVFQRIGTPDLVSWNTIIAGFSGVGDGWSAMQAFVQLKAVSSEWLAPDEYTFASVVAAAAPLPAMCSGKPLHAGVIKAGLESSIFVANTLVNMYFTNEDLGSAQILFDSVMVKDVIMWTEMVAGHSALGEGELSLKYFIGMLEVGHKVDNFSLSSALNSTADLAGLKQGEMLHAQVVKSGYEGSICVSGSLVDMYAKNGLLEEAAELINKSASAKRYPELWRILLSSCVTFKDLSVGVYAAEQALEQDPDDLSTHILLSNLYASIGKWDSVSEIRRRIRGLMIEKEPGLSWIEVKKMGVPWIPKREARGGSPLTTNGDKVAGGGNGRPPARGCGEEREGEGGRLRGGLESGKG >Sspon.08G0006100-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:16352328:16357571:1 gene:Sspon.08G0006100-2B transcript:Sspon.08G0006100-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDSLYDEFGNYIGPELADSDADDDSDAGGASPSPSASGSPSPAARSPSGSPSRPGALMDVDDDEGDPSQQAVVLAEDKKYYPTAEEVYGPGVEALVMDEDEQPLEMPIIAPPRVVKFEVGTRAAATSTYASTDFLLGLAGNPALVRNVTLVGHLQHGKTVFMDMLVEQTHEVDTFDSEGERHVRFTDTRVDEQERQVSIKAVPMSLVLEGGNGKSYLCNIMDTPGHVNFSDEMTAALRLADGAVLVVDAAEGVMVNTERAIRHAIQERLPIVVVINKVDRLITELKLPPNDAYFKLRHTLEAINDLISSCSTTVGGTQLVDPAAGNVCFASGAAGWSFTLQSFAHLYLKIHGSQFDHEKFASRLWGDLYFHPDSRTFKKKPPKEGANRSFVEFILEPLYKIYSLVVGEQKGNVESKLAELGVTLSNAAYKLNVRPLLRLACRSIFGTATGFTDMLVKHIPSVKDAAARKIDHIYTGPQDSSIVDAMKKCDPNGPLMVNVTKLYPKSDCSVFDAFGRVYSGTIQTGQTVRVLGEGYSPDDEEDMTVKEVTKLWVYQARYRVAISKAPAGSWVLIEGVDASIMKTATICPMNIDEDVYIFRPLRFNTLPVVKIAAEPLNPSELPKMVEGLRKISKSYPLAITKVEESGEHTILGTGELYLDSIMKDLRELYSEVEVKVADPVVTFCETVVDTSSMKCFAETPNKRNKITMLAEPLEKGLAEDIENGLVSLDSRQKEITDFFRQRYQWDVLAARSIWAFGPDKQGPNILLDDTLSIEVDKNLLNAVKDSIVQGFQWGAREGPLCDEPIRNVKFKILNANIAPEPLHRGGGQIIPTARRVVYSAFLMANPRLMEPVYYVEIQTPIDCVSAIYTVLSRRRGHVTADVPKPGTPIYIVKAFLPVIESFGFETDLRYHTQGQAFCLSVFDHWAIVPGDPLDKSIVLRPLEPAPIQHLAREFMVKTRRRKGMSEDVSINKFFDEAMMNELAQQAADLHLQMM >Sspon.01G0044280-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:81358477:81367340:1 gene:Sspon.01G0044280-1B transcript:Sspon.01G0044280-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MELTSCKQKICLGFTKKNRLVVLNESDEADVDGLKGIGIKIVENCDCLPLAVKYIIKDEGALISEGQDANRILISSPKLRHLSISNKTVMKDSLQKQASLRTLMLFGSTTVELKDLLNHLSCLRVLYLDNVNLVELPDSICHLKHLRHLCLLDTSMPTIPRDIDLKFLQALDLAGCTNVSQLPNGITKLRRLRLLNLRGTAITSAPRGFGKLEDLVAMCGFPTHSNDSTDGWCSLEELGALSKLKALEIRGLEKAPSGSMALKQCLAINIT >Sspon.06G0005440-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:17116356:17122407:-1 gene:Sspon.06G0005440-3C transcript:Sspon.06G0005440-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASRALLPSPPQASASPARARVPLAVPPSLITLAARGGAANSTRLRVRCAVLSSPAAPVAEPSKPPAHRISRSSSDGALRPKPTVLVAEKLSEAGLAVLRQFADVECAYGMSPAELLAKVSQFDALIVRSGTKVTREVLEAGRGRLRVVGRAGVGIDNVDLQAATEVGCLVVNAPTANTVAAAEHGIALLASMARNVSQADAALKAGKWQRNKYVGVSLVGKTLSIMGFGKVGSEVARRAKGLGMHVIAHDPYAPADRARALGVELVSFDEAIGRADFISLHMPLIPTTSKIFNDESFAKMKTGVRIINVARGGVIDEDALVRALDSGKVAQAALDVFTVEPPPKDSKLVLHENVTVTPHLGASTVEAQEGVAIEIAEAVVGALRGELAATAVNAPMVPAEIMSELAPYVSLAEKLGRLAVQLVAGESGIKGVKVVYTTARGPDDLDTRLLRAMVTKGLVEPVSSTFVNLVNADYTAKQRGLRITEERVAHDSPAAEAPLESVQVRLSNVQSKFAGAISDGGDIVLEGRVKYGVPHLTLVGPYEVDVSLEGNLILCRQIDQPGMIGKVGNILGQRNVNISFMSVGRKFRGKQAIMAIGVDEEPDKETLENIGVIPAIEEFVFLEL >Sspon.02G0012640-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:31626659:31628819:-1 gene:Sspon.02G0012640-2B transcript:Sspon.02G0012640-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRPRGGSPSSLAAMTNPDDDGYGVVGMEADADADEEMMACGGGGGGGGEKKRRLSAEQVRALERSFEVENKLEPERKARLARDLGLQPRQVAVWFQNRRARWKTKQLERDYAALRHSYDALRHDHDALRRDKDALLAEIKELKAKLGDEEAAASFTSVKAEPAASDGPPPVGVGSSESDSSAVLNDADPPVPEAPVPEVQGGTLLDAPCAVAGPAVAVNHGGVFFHGSFLKVEEDETGLLDDDEPCGGFFAVEQPPPMAWWTEPTEHWN >Sspon.05G0010450-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:29722414:29723843:-1 gene:Sspon.05G0010450-1T transcript:Sspon.05G0010450-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTGAAAAVPEVALRSGDARPMPMVGMGTAQFPLVHEATKNAVLAAIEVGFRHFDTAFMYGTEKPLGEAVAEALRRGLLQSREELFVTSKLWCSQNHPDLVLPSIRETLKNLQMEYVDLYLIHWPVCVNGPPRFPSNKEDAVPLDFEGVWRAMEECQRLGLAKAIGVSNFTTKHLDRVLAVATIPPAVNQVELNPVWQQRTLRAYCADRGIHVAAYSPLGGQNWDGQGNAVLDSEVLAEIAKARGKTVAQVALRWIHEQGVMCIVKSYDKERLKQNLEIFDWELTEEDRLKISQIPQKKVIKAISGLFSEEGEFTSVDPAELNIVEE >Sspon.02G0038340-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2B:40868920:40869756:1 gene:Sspon.02G0038340-1B transcript:Sspon.02G0038340-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHGFLAPHSMGPHAYARLLTCPFAPAQRCPNTGHTPPKCHPTAVGGGCDRWVPLQHRMDAHRRVEVTGVLAGGWSSTAMWSWVVAHREGEVGAARAMVAQRVSWWRVEVISVLACSASSLTTRS >Sspon.05G0031250-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:3732755:3735707:-1 gene:Sspon.05G0031250-1C transcript:Sspon.05G0031250-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHKGMRIPVSLNSTGQPIGKEAATLTSFLGALARDGILAPLTYHNWKLVPEKNKDVMCHIVKLKFDIAPFPKSWIVKSLAKKWRTWKFQLKQQHFDTHETEEERLADRNPRVLKEHWRFLVAYWSTEKAQALSAQNKAIQAKVTTYQTSGTKSFARRIEEEKQKWSNKDEPTVEDLFILTHTPKDGRPVTKAAADAIARRLHELRQKQSEGPKRRRGKAALKASLDEAMEAKRKAEDEAAALREKLIAMEESQKKLQEATAIKKSAVVYA >Sspon.04G0011960-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:37285344:37291525:1 gene:Sspon.04G0011960-3C transcript:Sspon.04G0011960-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRIDNTKTAKMDKLSGSARLMIVSDLDHTMVDHHDEENLSLLRFGALWESVYCEDSLLVFSTGRSPTLYKELRKEKPMLTPDITIMSVGTEITYGEAMVPDDGWEQYLNNKWDRNIVVEETASFSELKLQPETEQRPHKVSFFVDKKSAQEVIKSVAERLDKRGLDAKIIYSGGQDLDILPQGAGKGQALAYLLKKLSSCGKPPNNTLVCGDSGNDAELFSIPGVHGVMVSNAQEELLQWYAENAKDNPKIIHANERCAAGIIQAIGHFKLGPNISPRDVDFPYAKEASFRPSDAVVKFYVLYEKWRRAEVPKSDSVIKYFKNITHANGVIIHPAGLELSLHASIDALGSCYGDKQGKKYRAWVDRLFITQTGSDSWVVRFDLWESEGDVRVCSLSSLALVLKAESPEGFVLTHIQKTWLNGYSSGVEQASKV >Sspon.05G0022560-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:7913633:7914200:-1 gene:Sspon.05G0022560-1T transcript:Sspon.05G0022560-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSDSLALVISSFTSTPGSGLNVVSTFTTLPPSAAGSTLMCDVAVSMPTPMPPPSRSVCLHSHLSRSRKSSLSFVKLNGMTARAIDRTRENMLVWTCRGHLPEVKLNCLTLPTPPSPSTGWALATTWEASLGARVCQSNTAARNRRGAATGDAISRVQLLAEAITSVIVGRSTKLGSS >Sspon.08G0003390-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:9018903:9022538:-1 gene:Sspon.08G0003390-1A transcript:Sspon.08G0003390-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRVDELGDRCEAVDRISALPDELRQHILTHLPLKDAIRTGALARGWRDLWRGRWVHRASVEVRGRSRGALQRELDALAREPRPRRHLDRFSLIVETSKLKSSELRSFTEYAAECRVEDLSIEMRKSSKLNFHLPLSSPLLARLTLRRISISSKYYEDAQPFHALEVIRLYSVHIKVGFDKIMELCPSLITLDLCGCHCDLERCWVHENLRSITIAECDGNTRTGLACVPRLGSFCYSGGFLDMPYIVQGHTALAHLYIRFTDPVREVDDTLKYHSLPKDLLFGLNVLTICCNALTVSVIFLAIYWSKCPRPSLNLHNLKELQLLMLEMEAVNLADLYVFLKTTNALIWRGSVCRCTFNVHSIASVILSYYCLILPTFKYGPTEGSIDDVREEPPVDCLDNLVMVKVTNFNWCCIEVQLLCFLLRKACSLRKLLIVSPNVTPLDLSGVPEAGVVLLKEALASGKIVFREFDDGATGPYHSET >Sspon.04G0008930-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:26314866:26319033:-1 gene:Sspon.04G0008930-2P transcript:Sspon.04G0008930-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFHFVGEALSRVCRRGSTGILVAELIPKIRNHLHRCVPPDHRAISPEMIQHVCQSQFWFNMVEAMRDQHSIERLTEELLRQLASQHISDEEAYWILWTLFNQSFKRLTVMRAMFVDKFLLWKTFPLCCLRWILHYTVFECPPNSTTEILMQRTPNFFGILQSLISIWSKKEFIQSYSVEQQAYITAAIGLCLEKLTKKELETTKDVLNSILQGSPIDLIRKMASAVALTFSKVVDPKNPLYLDDNCCEIVDWDFGVLSPKEIKAPLDAVESKTKPKACENKRNAIEKKAKAIKHDIPDVRAKIVEINSIDHDQMCDTATNGQFEEEECDEESMNMDAYSDSSLEPYDLSDDDTDLQKKFSHLSDLAAALRKPDDPDGVENALNYAEKLVRASPDELRYNSGDLVRALVHVRCSDVAMEGEEDSAEEKRQKALVALLVTCPFESLDVLTKLLYSSSVDIGQRILIIDAMTEAAQELAETKTVKTEQRRGNLITDTSPSWLVPSNIGPPGAGPWREVSEPGTLLSWSHRYEREVPSKSGQLKSGKSRKWGLGKAKDLQVEWSKNRFPLYAAAFMLPVMQGYDKRSHGVDLLNRDFVVLGKLIYMLGVCMKSMAMHPEASALAPALLDMIRSRDVSRHAEAYVRRSALFAASCILISLHPSYVASSLIEGNQDISTGLEWIRTWALQVAEADPDTECTSMAMTCLRLHSEMALQTSRALESADHSKTGRAIPSKLDSIILPFGNMM >Sspon.03G0003570-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:9229034:9230709:-1 gene:Sspon.03G0003570-1A transcript:Sspon.03G0003570-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRALLFLLHGVRRHGIRQMRTGWADGPEFVTQCPIRPGGSYKYRFTIEGQEGTLWWHAHSSWLRATVYGALIIRPRENKTYPFAKPSREVPVILGLHPLLLLLLLLLLLLLLPAFASPLLVCCFWEWWDANPIDVIREAQKTGGGPNVSDAFTINGQPGDLFKCSQKETTAIPVKAGETALLRFINAALNHELFVTIAQHKMTVVATDASYTKPFTTSVLMIAPGQTTDVLVTMDQAPTRYYVAARAYVSGQNVAFDNTTTTAIIEYDCGCASDFGPKIQPAFPALPAFNDTGAATAFAAGIKSPNRVKIHENVDEYLFFTVGLGLFNCKPGQLCKGPNNNTRFTASMNNVSFVFPKTDSLLHAHYYKIPASSPPTSRHTRRFGSVVQVVLQDTSIVTPENHPIHIHGYDFFILAEGFGNFDPKKDVEKFNYVDPPQRNTVAVPVNGWAVIQFVATTQ >Sspon.03G0028950-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:15375076:15375414:-1 gene:Sspon.03G0028950-2C transcript:Sspon.03G0028950-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRSARRRGDPNYRRSAMHGAVEQQKQHRGRPQGTGAAAPLPVPPGYFTAELVLAFLFVAVSLAFLPLVLPPLSPPPFLLLLVPVGLLAVLLALAFVPLDEHSHLAVGSSR >Sspon.05G0019520-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:5A:83628126:83628725:-1 gene:Sspon.05G0019520-1A transcript:Sspon.05G0019520-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSGGEKGGELDKPGRCLLIPSATGPNGNDVMISLGECFAINQVIDNEEKRGAIKRSFPRHGHGYAHPANEEVRSRNEDIVYGNKYVSTPVMEVTSGKSYSMGTTSKVTDSKQDARRSVGDIITLCGDGHSSDSEVDVSEGEDYENSADEEDIPCYDDDIVPNSLCMFDDSSHRDGSIYRGTLAWKEVYRIADRNESK >Sspon.03G0018740-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:78171568:78177091:1 gene:Sspon.03G0018740-2C transcript:Sspon.03G0018740-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSAATTSDGNQGLKARDVCIVGVARTPIGALLGSLSSLPATKLGSIAIQGTYPPRHSLASNGALKRAKVDPALVQEVFMGNVLSANLGQAPARQAALGAGLPYSVPCTTVNKVCSSGMKALQCESLILERYHQLVAAVMFAAQSIQLGINDVVVAGGMESMSNAPKYVAEARRGSRFGHDVLVDGMLKDGLWDVYNDFPMGMCAELCSDQHSISREEQVEIPSGRGKPPVVVDKDESLAKFDPVKLKKLGPTFKTNGSVTAGNSSSISDGAAAIVLVSGEKAKKLGLQVIARIRGYADAAQAPELFTTAPALAIPKAISNAALQNSQIDYYEINEAFSGKLNLSGGAVSLGHPIGCSGARIIVTLLGATFFAYSFIIVKLVQAN >Sspon.02G0011340-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:30423921:30425610:1 gene:Sspon.02G0011340-1A transcript:Sspon.02G0011340-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWKDSFLDLVLIPLSLLLPMAYHAWLWREVRLRPLRTAVGINAATRRLWAIGMMKDNAKNAVTVVQSVRNVIMGSTLMATTAILFCTGVAAVLSSTYTIKKPLSDTVFGAHGEYMMALKYVALMLLFLLAFLCHSLGICFLNQASFLINTSGFLFAASASADSDSEAVSGLPLPHTRDYIGDVLERGFTLNLVGNRLFYAGVPLLLWIFGPLLAFLSSMVMIPILYSLDVVNLRGHSGCVVSGKSAEMNGSDCTHTLEDVSVYFTTTAATGGGSCPGSCYLLASPSTGPKQRQRQQRLAGQPER >Sspon.03G0010670-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3A:29112804:29114562:1 gene:Sspon.03G0010670-1A transcript:Sspon.03G0010670-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGRAFRTSREPQGFLFKIEGPKFMVPIWATIAPKVKENFEMTAFEGMELLNKETNRLRDEEFENLIPKLKNTKRRQGPRKLTQALAERSGGQGSATPCTEQQGPEQKTHQATATTNTWRKEQSEGAKDLLRDENAQSRTPQGTSRVQGRWTPWPEQPVRQWAAGLGAALARNPTFYFKHPTAQMGGMGGRSEDAGRGGAGSAMARRQRALVLDPGARQPDFSNSHLLYLLDGGNRGRLACHRRAPPASHPHRECEFESEWAAASPSEGNAAERRARTFLMSDDCIFVENPVTDNEWNGQRSRAESVDGWLRTIRCTSCMLSAADEDPNRESLHWFVRSFPDTNAWLAPSAPAGRRRGILIITTPVRAR >Sspon.02G0012030-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:30300411:30302305:-1 gene:Sspon.02G0012030-2B transcript:Sspon.02G0012030-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding TMFPGCCLAAVLALAALASPHRLAAAAAASGEGSETTTSWHVVSVKSLLPNTVCTATKGPAAPSSSALTVVHRHGPCSPLQSRGGGAPSHTEILRRDQDRVDAIRRKVTAVTAAPNPNKGVSLLADWGKSLGTNNYVTSLRLGTPATDLVVELDTGSDQSWVQCKPCADCYEQHDPVFDPAKSSTYSAIPCGARECQELGSSSRNCSSDRKKCPYEVSYDDDSYTVGDLASDTLTLSLSPADTVRGFLFGCGHSNAGTFGEVDGLLGLGRGKASLPSQVAARYAAGFSYCLPSSPSAAGYLSFGGAAAVPANARFTEMVIGQDPSSYYLNLTGILVAGRAIKVPPSVFATAAGTIIDSGTAFSRLPPRAYAALRSAFRSAMGRYRYKRAPSSTIFDTCYDFTGHETVQIPSVALVFADGATVHLHPSGVLYTWNDASQTCLAFVPNQDETDLGILGNTQQRTLAVIYDVGNQKISANH >Sspon.03G0006030-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:32032458:32034979:1 gene:Sspon.03G0006030-3C transcript:Sspon.03G0006030-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:HD-ZIP IV family of homeobox-leucine zipper protein with lipid-binding START domain-containing protein [Source:Projected from Arabidopsis thaliana (AT1G79840) UniProtKB/TrEMBL;Acc:F4HQC0] MTRAFKESPHPDETQRQQLSEQLGLSASQVKFWFQNRRCQTKVTQERHENSLLKPELEKLREENRVMRELIKKSLRSQGQLLRVENAKLKAEIERLRRALGNNAAVFPTSTGGIQIRSRNSLDDVGHDKTKFLELAGRALDELTAMCSSGQPLWVRSVETGRDVLNIDEYARLFRHHDDDSGDRRGVWSVETSRETGVVYCNATKLVGAFVDVNQWKEMFPSMITKAATLEVIHAGENDHRDGIVQLMFAEVQTLTPLVPTRELHFLRHCKKLGTNKWAIVDVSLDNFEPGAQTSSTLCMCLKKPSGCIVEEQSLGRCCKVTWVEHVKCREAAVPSLYQAVTASGLAFGARRWVAALGLQGERMVFSVATNVPTWGSNGVATLLGRQSVLKLAQRMTSSLCRVIGASRDLAWSKASNHGGTREIRAMCRKRTGEPRGLIASAVLSVWLPVKPAALFGFLADESRRHEWDLMLPGQSVQSYVTVRKGDHRGNRVTAYAVQPSAEELRGKWILQDSSTSPCESTVAYAPIDAAVLQPVVYGDDSSAVAVLPCGFVVMPDGREARPAVTTSRKDKEEGRTAVESAGSLVTLVFQALASSSATDAALPTDAVKTVTRLVFCTLGNIKKALRC >Sspon.08G0000320-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:1387610:1388407:-1 gene:Sspon.08G0000320-1A transcript:Sspon.08G0000320-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPRAAGGRSTPPDPPTARPEAAESEGNLRQGAADAMWLQTLSEPELDVLVILRELAVTRASNAGHPALADTVFHLRALRALGIVLVEELKERLRQSSANANALDRLALLNDPETEAVRPSQDHQMPVPN >Sspon.07G0012930-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:46828296:46830960:-1 gene:Sspon.07G0012930-1A transcript:Sspon.07G0012930-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGSGEAAMSPPSSGGSGSGGGKRGRDPEEDVYVDNLHSHKRYLSEIMASSLNGLSVGDSLPDNIMESPARSETASCFRDEILSQYSPMSEDSDDYRCYDTQLNPSGNHPDAMISPSTSPMSSPHRHQRPQSPLLPSNPYPLPSCSLSSVVCSHARRGSDNEGRFPSSPNDMCHGADLRRTALLRSVQMRVQGPHSYDLSFGMRQGQEHVHEHEDEHEHEHLEDLERTERSPSCNKSIVDEISYQRPDHDFGRPEHEIDYINNCTSDDCPSDLKFKQEDKSHSKFDARMDKNT >Sspon.01G0025330-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:87654760:87662283:-1 gene:Sspon.01G0025330-4D transcript:Sspon.01G0025330-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVGGGRDDEEVSRKLKSMDVDKLENGGGEESPRPPRPAVKYHGWKAMPFIIGNETFEKLGTLGTSANLLVYLTQVFHMRSVDAATLLNGLNGTTSLAPIIGAFLSDAYLGRYLALAIASVASLICGVGETCEKATSYQFAVLFIAFAFLVLGSAGIRPCSMPFGADQFDPNTESGKRGINSFFNWYYFTFTAAMMISATVIIYVQSNVSWPIGLGIPTALMFLACVLFFMGTRLYVRVTPEGSPFTSVVQVLAAALKKRSLKQPKDPKQDLFDPPHTSAIVTKLAHTDQFRCLDKAAIVVSQDEVRSGGAAPADPWRLCSVQQVEEVKCLIRIVPVWSTGIIYYVAVVQQSTYVVLSALQSDRHLGRGGFQIPAASFTVFAMLAQTLWIPFYDRLLLPKLRKITGKEEGFTLLQRQGIGIALSTVAMVISAIVEDRRRAIALSQPTLGTTITGGAISAMSSLWMVPQLMILGLSEAFNLISQIEFYYKEIPEHMRSVAGALAFCNLALGNYLSGFLVTIVHRTTGSGQNWLAQDLNKGRLDLFYWTIAGIGVFNLIYFVICARWYRFKGASN >Sspon.06G0003690-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:10034636:10035724:-1 gene:Sspon.06G0003690-4D transcript:Sspon.06G0003690-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PCF2 [Source: Projected from Oryza sativa (Os08g0544800)] MEAQAQDNRAEDGDGARQHHVAGGVATATAAAAGMVMGAIPMHGFMIPKPEPVECFGGMAMVRSKPPPRNRDRHTKVEGRGRRIRMPAACAARIFQLTRELGHKSDGETIRWLLQQSEPAIVAATGTGTVPAIATTVDGVLRIPTQSSSSSSPSSLTTVVDGDDAYAAKRRRKLQPTRAAAGASPLATAAPAAAYYPVIADPLLQGTGGAAISVPSGLAPITASGAPQGLVPVFAVPAAGSPGGGNRMIPQATAVWMVPQPGAAAGGAANQPTQFWAIQSAPQLINLGGAQTAVFPAAVNVADFQHQHQPISSMSHNGNSEQLHLQHPGSGSHEQQRGNVDHPEEEEDDDDDEPVSDSSPEE >Sspon.06G0022450-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:31240515:31244135:-1 gene:Sspon.06G0022450-1B transcript:Sspon.06G0022450-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDHEARGDDFEKKAEKKLSGWGLFSSKYEDAADLFDKAANSFKLAKNWSRAASVYIKIANCHLKGDSKHEAASAYVEAANCYKKLNMAARYSKDIGEIYQQEQDLEKATDYLERAADLFDSEGQSSQSNIIKQKVAEIAAQLE >Sspon.03G0001230-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:14901968:14902747:-1 gene:Sspon.03G0001230-1T transcript:Sspon.03G0001230-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTMRAPKHLVQQCTPLPLFSVFLIALCFAASNLPPASSQSSADSCSNGLSLGSLLPFNTTGLTCFQAWPSQDFVLRVRSGSTLSFGKAAPGSNAWSFVLSAPDNGGYISIGFSPTGRMVGSSAVAGWVTAAGAGSVRQYYLGGTTSRSCPPDQGKLALASGAAAPTIVSKGSRLYLAYQLAGQPLTNVVYAVGPSGTLPGSNGLLPQHQDMAAGTISLSGGTSGGGSPATGGGTYAKSD >Sspon.06G0008000-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:36064012:36067844:1 gene:Sspon.06G0008000-2C transcript:Sspon.06G0008000-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding NMATGDEAETASEEARDWSDMTPVCLGEAFSRLALEDLWRRAMACCRSWRDAARSRPGLFAVLDLEPGFAESTPGAEVAAWWTPAFQRRVDTMLRSVATLAAGELCEIHVRHCSDDALAFAADRSPRLSILSIKTSPAITDRSMLTVGACCPMLTELDISNCYEVSYKSLEVIGQSCQNLRVLKRNIFNWIDPSEHVGIVPEDYLRECPEDGDREAITISKFMPKLKHLELRFSKLTAVGLSSIPEGCKDLEVLDLFGCANLTSRGIDQAAANLKNLVTLVKPNLYIPRSSFHMGRYGHWQLYDERFQTNVFQI >Sspon.06G0027400-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:84140745:84143312:-1 gene:Sspon.06G0027400-3D transcript:Sspon.06G0027400-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVNKRGIFAELSMAPLSLDDERASFLINMAALELCTTSNFQEAEPEDSAVCSYLLLLSLLVHREEDVQELRTKHLLQGGAGLINKDALTFFTRLQSLPLRGSKCYVRIMMEIEKYKVNRIKQVVVLGVEKA >Sspon.07G0005660-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:9848644:9851486:1 gene:Sspon.07G0005660-2C transcript:Sspon.07G0005660-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SMTLSPPQPPPSSSPRHAAIQELRRGTQLAELLRQQVELIPEPNRRHAAVVNVGEISMAMESSLSILQSEMEQPPFSESEVMAAPTAYSDGGTSRERNGLMARTRRVRHRRGRAGAELPSKEILTEAPENDRFHWRKYGEKNILYAEYPRLYYKCACSDDDHKCPAKKYVQQQSNSCPPLFLVTLINEHTCDTLFRDEPRSGSSGSQVLDFTKASLSPPLMADASGLK >Sspon.05G0001240-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:4165830:4170303:1 gene:Sspon.05G0001240-1A transcript:Sspon.05G0001240-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVDGAAEAAAAAAAKPLTPEEEALRRSTDCVYFLASPLTCKKGNECDFRHSEGARMNPRDCWYWLNGSCLNPKCAFRHPPIDGLFGAPTSGLPPVSAHYGAYNPGKQMVPCYYFQKGNCLKGDRCPFYHGPQTAGNNPAEQVAKVSSFPLEPSQAQKNEEAAAPNNSTQQEARITDNRTTAHVSRSGAGAIPADVASNAVKSGPNSEQAPSNTLAAKKSFTTEDHHMHYQNQVPVEGDAVQDWNQNFEMPPTDDLPQNSREADDFLGESSPGFDVLVDNDGDGATYLHDEEDFGRDMYPVEDYEYAPADFDIRAHHESEQFNNGMGENRRIGQYDGYERKRRRSSSERSLDRPYHPDRRFLHRELDRNDIDGSDLRHQLRRRRINGPSTAISPERANGDRHWRDERYRERPHGGHHTHRDRYQGPRGNTLSSRLQARIKLPRRSPDRVDIRFEDERDRRRLRERFSPVRRMDFHGGRHREAGQNQERSHRRSSEIVSTVRHADGLSARRDAVDSAHFAALRNLGEPRKANGIVESEASLDFEGPKPLSVILQRKREAAQGNNSSSNYEKSAEVSVMQTGSLVETEKKGCNNITSSADCKSGSGDEEYKEEDHIPVDAHRQFSSHGDKFEVEYAAEVDGEGNQEADNYDQREGESDDYETIEGHDYKSEDENAYQDDEDFDDDDDFARKLNATRAEEMPMRKICLHSCALVRYSTVELTEILFPKKEIRNG >Sspon.02G0010830-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:24043445:24044062:1 gene:Sspon.02G0010830-3D transcript:Sspon.02G0010830-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DGGPCATGVTATAADAAARRHAGRAARRVVQPPRPPQAPGRRRRQRRRRRRPPRRGGEAPHAARRHPRRGRGPPPSRRRLRTPRRSPRPRARRHGYRHGHRGAGVRRAGGEPEGVPDGAAGADGAAAVDVEARGRRGGSGAGGGGGKGEGRRRGRAVLRVRRAGQGRGLHPLRPHLLPRLRARAPRRPRSLPALQRHHPRGPQPLL >Sspon.08G0011070-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:45821689:45824468:1 gene:Sspon.08G0011070-3D transcript:Sspon.08G0011070-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVPPLPTAAAEEERRSVATGPCLPRLLSGVLSGALTGIFAVAGGLTGAFTGALAGRASDSGVLRGAGLGAFAGAVLSIEVLEASRAYWRADRSSPQSTSSM >Sspon.01G0039990-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1C:31289363:31296454:1 gene:Sspon.01G0039990-2C transcript:Sspon.01G0039990-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AAVEGQAHLSYDVGLTPEEQGPASSTANGESPQNRPVLTVVGERTRDFESLKTRTAMGLKLWQNKLGPPLETSIHRRYPGLFPGPRFRAGPLEVPRRGRARRGSRVTSHDLRRHLGRLRRRHLNCRCRPSPTDQIQHILAQRRHIQPKQQCRQIVSMMSAAETMERSQWMYNTSRLAPSYAKGVIKFVDNARKHALSVNRKHMRISIFQGWWQDGHGDHDGHIWIWTQPYVIKAKRVRSLFHCVLLRLDQRVRSVTELAHLVELRVSGRCVERVRSVLRESSRCVIGASEFLKGYSPPLAIKTFQLACMTEIDSAGWVDADAGERSARREQCAMQTARGTSGCTQHGTHAARDGSDVTVGAYGARQVRPVRTHGRPNRSITIKGLLFAGLSCPLLPKRSLGEILDPTQQKIVCF >Sspon.03G0025350-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:61082056:61084591:1 gene:Sspon.03G0025350-4D transcript:Sspon.03G0025350-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKAHLGVGGGLLALDASPRPLGFLSLLPPTTSPPFHRTRRTTMEAADDGNGTPPGRGRRSIEVDFFSDDKDKKTAAANNKTCRTLSPEPAPPVGSGSAASCLAIKKEDLTINLLPGTGSNANDDEAATRLRLLDQDKQSRNTNELSAMQAELARMNDENQRLRGMLTQVTSSYQALQMHLVSLMQARPGGPAQLMPPPVAQALPSATDGAAVLPLPRQFLGLGPAEETSNSSTEVGSPRRSSSTGGNRRQDQQAERGDSPDPSTRQQQQQEASMRKARVSVRARSEAPIVSRLSEFSFFVMWLPEALTSTAMDTDGCTTQIADGCQWRKYGQKMAKGNPCPRAYYRCTMANGCPVRKQVQRCADDRSILITTYEGTHNHPLPPAAMAMASTTSAAASMLLSGSMPSGDMMTSNFLARAVLPCSSSMATISASAPFPTVTLDLTHGPPAAAPPARPQPHFQVPLPPHQQVHAGHHHQLQAAAALYSSHQSSSKFSGLHMSSSSTSDNNNNVGTTSRAAVAAADAPPPHMDTVTAAAAAITADPNFTVALAAAITSIIGGGGGGGHPIPIAVHHGHGQGQGQGQQQGPTPTPTPTSNSNANNNNAVVTSSSNNTATSNSETQ >Sspon.04G0026180-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:44429082:44432111:-1 gene:Sspon.04G0026180-2D transcript:Sspon.04G0026180-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:L-type lectin-domain containing receptor kinase S.6 [Source:Projected from Arabidopsis thaliana (AT5G42120) UniProtKB/Swiss-Prot;Acc:Q9FHX3] MTTRPSVLTLLLLLAVQLPPAAAAAAKHRHASESAPAPPASYLLVSWASNLTLLGSASLHPGATAVALTTPSRDGVGAGRALFSEPVRLFVPSSSAAASFSTRFTFRITPAPTYGDGLAFLLTSSRTFLGASNGFLGLFPSSSASDEGEADLRGVTTVAVEFDTHRDVALRDPDGNHVALDAGSIFSVASASPGVDLRAGVPITAWVEYRAPRRRLSVWLSYSSFRRPEKPALSADADLSGLLRTYMYAGFSASNGNDAALHVIERWTFRTFGFANSSRASPPSEPPAQPNKALLPPNKPLLLTGNHQHHHLIYKVLGGVLGGMVLLVFAIVASVVWLSRPARRPSEERTVPSGDKPYGTMSMEVVRAATKSFDSGNVIGIGGSGATVYEGVLPSGSRVAVKRFQAIWPCTKSFVSELAAMLNCPNHPNLVRLAGWCCSKDELVLVYEFMPNGNLDCALHTMGGATLPWEARFRAVLGIASALEYLHDGCDRRILHRDVKSSNVLLDGEFNARLGDFGLARLVSHGGLPLTTQPAGTLGYLAPEYVHSGVATERSDVYSFGVLALEVATGRRPTERGISVVDWVWVLWGRRRLVDAADQRLQGRFVAEEMRRILLVGLSCVHPDCRKRPGMRRVVKMLDGTAPLTMVPDKKPPVMLQTQVNQGSSMNSADTVNTAFYSCTGSWYVVRYLRETFTLRVENEPVNPGRGRRTRVEDRRW >Sspon.01G0006710-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:17657943:17661034:-1 gene:Sspon.01G0006710-1A transcript:Sspon.01G0006710-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNAGSNGGGAAAGHRRRSSGHGHGHPHQAPPPPQPQETAPNRYVFAAATPYPPQYPNPNPPQYYPQYGNYYPPPPPSVPVPLPAPYDHHHRPPTAAPGEFPPPPPAHPHQYPGWAGRYPSYGPHLPMPTPYVEHQKAVTIRNDVNLKKETLRIEPDEECPGHFLVAFTFDATVAGSMTVYFFAKEELNCNLTAVKEDLIKPVTVSFKEGLGQKFRQPSGTGIDFSVFEESDLLKQGDMDVYPLAVKAETALSVDHPPEGDDQKMKTPNSQITQAVFEKKENGDYQVRVVCQILWVNGTRYELQEIYGIGNSMEGDADANDPGKECVICLSEPRDTTVLPCRHMCMCSECAKVLRYQTTRCPICRQPVERLLEIKVSNKSEEQQQTPQSPPLPAPAPQQEE >Sspon.07G0014810-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:58216420:58218084:1 gene:Sspon.07G0014810-2B transcript:Sspon.07G0014810-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MERHAGMALLELVAKQVEKVDPGAPGFWRDFLLGMLKPVAATAVVAMAVALSFSQRLGLEGEMLYATARAFLQLSVIGFVLQFIFTQKNALWSLLVYLFMDTIVSLTNALLQVTVAGYTAGQRAKQVPRGKYIACVSILVGTAITVLLPILLGVFPFTPRYIIPVAGMMVGNAMTVTGVTMKKLREDVKIQRNLVETALALGATPRQATLQQVKRSLVIALSPDIDSAKTVGLITLPGTMTGLIMGGVSPLEAIQLQIVVTYMLMSASALSSILSTYLCWPAFFTKAFQLDDKVFAD >Sspon.03G0006050-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:21285257:21286087:1 gene:Sspon.03G0006050-2D transcript:Sspon.03G0006050-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEGKAVVEDTDMPAKMQAQAMSTASRALDRFDVLDCRSIAAHIKKEFDAIHGPGWQCVVGSSFGCYFTHSKGSFIYFRLESLRFLVFKGAAA >Sspon.02G0027240-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:98772507:98773745:-1 gene:Sspon.02G0027240-1A transcript:Sspon.02G0027240-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAVRPAAEGEEAQAQEQEQAVREEAAEVKREVAKAHEEEAAPEEKDVAVVGEEAEAEAETEGEGEAEAGASAKKNRIQVSTNKKPLYFYVNLAKRYMQNYDEVELSALGMAIGTVVTVAEILKNNGLATEKKILTSTIGTKDESKGRLVRKAKIEILLCKSENFNSIMSSKKSDRPKSAEEEIKV >Sspon.01G0059190-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:42789449:42798587:-1 gene:Sspon.01G0059190-1D transcript:Sspon.01G0059190-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPFNPAVAAEVRALIQGAEDSTFDPIYRELSQLVDCSPDGCVLLLQVCVDEMLLNIGGAKNHQLKHDLVATIFRYCVDKPYFSTSFCEALRAIPVSDGFLETLSNELELSAAERVGVGLALSDSENPDLNLEGRKFTIAQIEELCSNHAHSVSNERIQEIVVFLLQTEGLSKHMDSFTKIVSQLNVEEGPFYFPVPLQQANSDQANSLRYTEMCIGSSDDDFDSLLSEIGKQISLPDIISELGYGCTSDTTHCKEMLSLLEPLDDMGISKLLGAVVCTRIGVGEAQNTYSVFLSAFGNNQTIDSSQLTAWNIDVLVDSINEIVRLDYLCFWCSFVFFSISFFYSTCKLQAPGTNWTHVIENLDHEGFTIPDEAAFRLLMSIYSRACKDPFPLHAICGSLWNNTEGQLSFLKHAVALPNDTFTFAHCTRKMAFPDLGNRNQGNQAWYCLDLLEVLCQLAELGYAKPVRAMLDYPLINCPEVLLLGVSHINTAYNLIQHEVLSCVFPAVVKNTMHSSLMNYLWHINPYLTLRGFVDAHSDISCLLRTVEICEDQKILATVLDSTPFAFSIRLATAAFRKDHSHLEKWLTEKLSAQRVTFLEECVQFLKEIMISTNYNAVEGAIQHLQATLSNICWDSCPVFIKVLRSHSGQLLSNQLVDELRRVESVYESSNRGDVGRDTPSSDGGSEDIEAQANIYFQQMFAGQISIDAMIQMLARFKESKDKRELSIFNCMISNLFEEYKFFPKYPDAQLKLAAVLFGSVIKHQLVAHLALGIALRGVLDALRKSIDSKMFMFGTTALEQFMERVIEWPQYCNHILQISHLRGTHAELVSAIEQALAKISLSQNEPNLSPMLPVDQRVSGSQSIENIEVHSHPLGQEGNIQLSSEASWQFINSTPTQLDRTISSFALQQRNQGFLGERSKGSTNTSQTKTIMAIGQPPLTSTSDLGVNSKVLYDVTFPCRVMLDKRRNLYSVLLHLLNIQFNTMFSLIVAKSEHVQATVSLSSQASPHHSSSVSALSQSSGFVRSRSSAPSGILRQPSYTTGFGSALNIETLVAAAERRDTPIEAPPPEVQDKIFFMINNISISNMEAKAKEFNEVLQEHYYPWFAQYMVMKRASIEPNFHDLYLKFFDKVNSKSLNKEIMKATYENCKVLLRSDLIKSSSEERSLLKNLGSWLGKCTIGRNQTLRAKEIDPKILIVQAYERGLMIAVIPFTSKILEPCQSSIAYRPPNPWTMGILSLLVEIYNLPNLKMNLKFDIEVLFKNLSVDMKDVKPCSLLKDRIRQVVGNPDFSNKDVIASQTPAAAEVSSGIIPSLNHVELQPEINSTSRATSLPNMLSQSTSVVQYAAPIRLPPNSMVEDDKVALVMPEQVSSHSLTQIAPPQTPSPSPFSLSQLMAAIPRADIYFRINEKLNSLGPQLQYSKIMDVALDKAIKEIIGPVIQRSVTIASRTTKELILKDYAMESDDGTISRSAHLMVGTLAGSLAHVTSKEPLRVALLSNLRSLVQNLISNSETIEQISHILVNDNLDLGCALTETVATRK >Sspon.04G0031620-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4C:14669159:14673951:1 gene:Sspon.04G0031620-1C transcript:Sspon.04G0031620-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATMAMLGRGPPLCMRERGPPPTSSTCVREAKAERWRREKKRWSRSDEPKHKNASPLSATQIWVKRPVPGSSSLSLSLLFSHPHPTPASHPPEGAPPVPTSVWRLEWREERRSELKRPAGAEGGGRSGPRTTTARRDRGTGRARPGRRDLLGSSAGREALPSSLGDGAAMASPIPTGGRAAAQRSPPSVTKGMCLRARGGREEAAGLARGGRKEDALLCPLLEKGGCLPHIVSLLRMLSLVRGGPPPVPDKRRSSANSGRTFLADDTADSAAICFVSDSSKDLSRAAGGGVEGPEGVVCSEHYLIKTKRPQRRVPNLLAEGTGEQDGTRRSFNLTRACIVDSRDEEQAVARARTTNEDREDDCLVNR >Sspon.01G0015660-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:45495296:45501604:1 gene:Sspon.01G0015660-1A transcript:Sspon.01G0015660-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CW7 [Source:Projected from Arabidopsis thaliana (AT1G59520) TAIR;Acc:AT1G59520] MAAPPSPSSPSPSGEVAAHRFSGTMDASSSPSRTELLTMVKKHSHLIGWTIVDAEDDASDVGMDDKFWHEMLDLFFVRGRQSKRSEEDDLVFFVNNMKLHGYGFNDNMEDPPPFFVRRWATTVTKTVYASPSRVNFHLDRRKAVETVPAYPNIFFSVDDFDDTFDAVVLSDPEHCYCVILNAHDGAAFPDETESKNPVSNIQPGVSCGTAQEKPPKVLLVIQSNEERPSVIAFANLWKKVYERTLFSGYVSYQNVREAYDAGRSKFGSFLSLGHDHNKLDRLFMRGPEGRGEVEVAVSSIADQSREKSKKDPGDSFRVLVHKAASAASKLAKHAYESASANKQMYDELVPLKCCLMSVSLPWDYIAHDLLHKDTPPLDL >Sspon.03G0005850-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3A:16424835:16425191:1 gene:Sspon.03G0005850-1A transcript:Sspon.03G0005850-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAASSAAGAGKSLFQGLRRFLKKPWEITGPCASPEYRSALPDALEYRVKCPATVRDDRDKAIVPTSDPETVYDIKYFTRDRRRNRPPVRRTLLRKPELERYMATKQFDPTKDFPVPY >Sspon.07G0014900-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7A:53618121:53618705:1 gene:Sspon.07G0014900-1A transcript:Sspon.07G0014900-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGSKVHEHEADVPASELWAICGTLRAAELLPELLPHVLAKVELVSGDGGVGTILQLTFPPGIPGLQSYKEKFIKVDNDNFIKEAEAIDGDILKLGFLAYMIRFEVISKGPNSSVIRSTIEYEIDDAHPELEAMVSTAPLAATAEKFSEHAKEKN >Sspon.06G0013310-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:59025429:59030475:1 gene:Sspon.06G0013310-2B transcript:Sspon.06G0013310-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRTLVQPVGQKRLTNVAVVRLRKHGQRFEIACFPNKVLSWRSRVEKDIDEVLQSHTVYSNVSKGVLAKSKDLTKAFGTDDLTQICIEILEKGELQVSGKEREAQLSSQFRDIATIVMEKTINPETRRPYTITMIERLMHEIHFAVDPNLTSKEQALKVIKKLTEHFPIKRAPLRVRFTAPKPNFPGLMEKIGEWNATVISKDELSTQPSIVCEIEPSLLHSCEERLKDVQGRVEVLSVSAHAESGPSVEQHDSVEVPQAAPVKEHDAVAQISETMQKQSISTESQGSAQGKQQRRCKECDVLVEDKLYREHCKSGWHKHNYTRHKNGLPSLSQEECMVEMELADSKKDLKDYDF >Sspon.06G0021600-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:17846881:17849916:-1 gene:Sspon.06G0021600-1B transcript:Sspon.06G0021600-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAGLAGVSGAARPLMRLVTMSSMPVLQQLHLEEQLLRCTPDNWCIINDGTAPATIVMGVSGRVSELVEIQPVLRDQVPVVRRFSGGGTVIVDQGTVFVTFICNKNAVTGLQPFPRDIMSWSGQLYGKVFDRFGEFHLRENDYAFRHRKFGGNAQSITKNRWVHHTSFLWDYDVKNMDYLKIPKRAPEYRLARNHTDFLCRMKEYIPSRSVFTDGVIAALGEHFSVQPMDLQVILSGDEEFVPNTKLLSQHDLEEIVSSKESLRV >Sspon.02G0010800-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2A:29235302:29236675:1 gene:Sspon.02G0010800-1A transcript:Sspon.02G0010800-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSNKFSSYRLAAALRRELDPTAALRLFLNPPASATPFRYSLRCYDLIISRLAAARLFPAMESILSRLASSSSGPRPREQLLCRVISAYGRARLPAAARRAFTHPAFPGPRTARALNTLLHALLACRAPLRDLLAVCRDAGVPPDACTYNILMRAAAASGSLDHARHLFDEMLQRGIAPTVVTFGTLVAALCDAGLLEDAFEMKEVMVRQYDVLPNAYLYTSLIKGLCEKGDVDAAVTLKEEMAGKADLVLDSAVYATLVRALFQVGRKGAVVGLLEEMKGRGIVAERVVYNAMIAGFCEDERDFGAAFAVLGDMHKNGCKTDAVSYNTLVAGLCKLGRWRDASELVEDMPRQGCHPDVVTYRMLFDGMCAAGEFLEANQVLDEMVFKGFAPSKDGAQKFVQGIEREGDVALLESVLCRLAKVNALVSSGWEKAVSGVLNDPTELRLEKQLDSLRIA >Sspon.03G0021140-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:87810362:87811431:-1 gene:Sspon.03G0021140-3C transcript:Sspon.03G0021140-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVRLFHLGSSPHRLLHFIACTEQVTLTMQAMRRLVRVLVVLGVVAATASAQGPTAAPATPTPATPAAPVTPAAPAPKAATTSSNITGVLAKGGQFNTFIRLLKSTGVASQIDNQVSNGGNGITVFAPTDNAFTSLPSGTLNSLSDQDKNALVQYHVVSTAIPMSQFDTVSNPLRTQAGSASPGEYPLNVTSEGQQVNITTGVVNATVANSLYSEDSLVVYQVDKVLLPEKLFGTAEAPAPAPLAPAKKKGKTPATVADSPEAEASPDATTASLAPARLTTGGGLAAALALAGVCSVRSPIRP >Sspon.05G0031980-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:12074747:12076332:1 gene:Sspon.05G0031980-1C transcript:Sspon.05G0031980-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSETLEKDKKLGQEEEEVEEEEYVLLELGDCLYSDISPGAPFVLSGLDTLTPTLIVGNGLKMIGEYEETVGTCYLFSESEAQPKTRSNETPPSEENTDNPTSSGKEAPSKEVNHLASVEKILKFRPVNAERPQHLEYQHKDKEI >Sspon.06G0007970-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:41218361:41221182:-1 gene:Sspon.06G0007970-1A transcript:Sspon.06G0007970-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPLAAALRPHLSRLGQTLSSRFLRLFSSHCPSDQTDFEPDPDHLIPSVPDNDDGELASFLHRLSSAASSTSSPKEALSLLLSLSTGPSPASPALLVRALWELRRDPDAAALALRYGDECSAVDGADGAGLPPPADAWHLAVWAAGKARRFDLAWAVVRRMRNRGVLTRRAMVILMERYAAANEVNKAVKTFDAMEKFKVESDQSVFYSLLRALCKSKNIEDAEELLLLRKKFFPLTAEGFNIILDGWCNVITDVAEAKRVWREMSNHCITPDGMSYTLMISCFSKVGNLFDTLRVYDEMKKRGWIPGIGVYNSLVYVLTRENCVKDAHNIFSKLTDEGLQPDVETYNNMIVPLCESCKLDEARMVMESMILKGIVPTISTYHAFLKQEGIDKSLKLLQKMKEDGCGPKSDTFLMLIDKFFLLNESGNALRVWNEMRKYEISPVRSHYMTVVEGLVKHGCIPRALEYYDEMKEKGFASDTQLDKEFKTFLLNNRDHWRGAGKYNIIPQRGYSSQFAYKE >Sspon.06G0017290-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:93989642:93992853:-1 gene:Sspon.06G0017290-1A transcript:Sspon.06G0017290-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGFVRRLAGVPWRSIAGDALSRAFLVAQAYCTVHVVDQHLCSLAIVRGPSMLPAMNLAGDVVAVDRVSARLGRVAPGDVVLMISPEDPRKSVAKRVVGMQGDSVTYLVDPGSSDASKTVVVPQGHVWVQGDNAYASRDSRQFGAVPYGLITGKIFCRIEGF >Sspon.03G0002460-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3A:6101556:6102212:1 gene:Sspon.03G0002460-1A transcript:Sspon.03G0002460-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLDRTNNASKNDCENANTHAHGDDHLVVLAHLRRRWSLSSREGLGSSLPPAVLARRDSDVFKELKRLFSTTPEVGEETEANGGHRGDRTLDRTRSLFDRTRPVSVQHMRVRSRPTGRVRSLRELTGLQPDVGTVASGQFCSASSCYFVGARLRLDQRVRSVTGPARPVVLRASGPRDQRVRLVLRESSRCVIGASGPRDQRVWSARLLLNLVPNGYI >Sspon.06G0012350-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:53773778:53777436:-1 gene:Sspon.06G0012350-2C transcript:Sspon.06G0012350-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLCRSAAAAAAAAARSAALRSRPPMARPFLASTSPVSLPRIRRPLVAAALASLESLMPLHSAVASARLRSCIAAGSACWSCLSQDFALPR >Sspon.06G0013040-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:51699879:51703069:1 gene:Sspon.06G0013040-4D transcript:Sspon.06G0013040-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSLVRNVCRAGSRGAAARLLELAAAPVAAAQPGAAQPSSAVKHLRHPYGFARPVGVDQSVLVQSQALPAAAVSGFGLCAKAPPATRGLSTVGNAAEVASDSDDSTSPAVEHPPRIKFKRPDKTARHIMNILNKEAVEKVRSEREIPDVQPGCMIQMRLQVPENKRRELTLRGIVLGRRNAGINTTFRLRRLVAGVGVESVFPLYSPNIKEIKILDRKKVRRAKLYYLRDRVNALKK >Sspon.03G0026050-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:1795905:1797568:-1 gene:Sspon.03G0026050-1B transcript:Sspon.03G0026050-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALASAPRALFPRASPAALLVATAAAARRRSAAPICRASAAAGEETPPAAPKAADATAAPKKILKKKPVYSMKKGQIVRVDKEKYLNSINYLSVGHPPFYKGLDYIYEDRGEVLDIRNFETGEYALIAWVGIPTPPAWLPTYMLIKSDKLDYERI >Sspon.03G0045990-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:31303990:31304328:-1 gene:Sspon.03G0045990-1D transcript:Sspon.03G0045990-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIKKKHRDNGVIPDEYLHHARIASTGKPSHPIRAGSYPKSTVHCHLGVTHPCIHLKRQNSTPRGTHRWGHRSHDAGPPQLLAFANDLDMHSPVHKTIRFLILKKSNNLRFN >Sspon.06G0000970-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6B:1853407:1856142:1 gene:Sspon.06G0000970-2B transcript:Sspon.06G0000970-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDDRKAPSFLDVPKDIPIATAKPLTIRTSAAASGCGGGGSDRSCPISPAISITPHLYSPSPPSSAFVSALQSPYISPRVLDPPPPPEPEPQPRQETKAAGAGASVTTTAAPSPTSCSNGSDIDAPSASRTPPSERYDSSGIDAAKISDGGGGGGGGGGAGALPPRVSFSFPVPRVSFTRGSVASPSSNAKLRSCDVYIGYHGNGGLSRFCKWLKSELELQGIASFVADRAKYSDSQSHEIADRIICSVAFGVVVVTMSSFLNPFSLEEIRFFAQKKNLVPILFDTEPAEIAGLFDGKLEDKEGKEAFEGLMRCHEFKLEANESNSRSCVSRTVTLLRSKLGRKNIAEKESEASEGLPFPRNRHFVGREKELSEIEGMLFGSTVDIQEVDCPRASSTNERSSGVSDGFADEDSDTARKSNARYISLEMRKCKEPTLEAWIDPVIELSSGKSRSLQKQRSRHRRSRLRCNSKGYSGANVICINGSSGIGKTELALEFAYRYSQRYKMVLWIGGEARYLRQNILNVSMNLGLDISAEAEKERGRIRSFEEQEFDAFQRVKRELFRDVPYLLIIDNLESERDWWEGKDLHDFIPRNTGATHVIVTTRLPRVMNLEPMQLPQLSYIDAMALIQGKRKKDYPPEETEVLRKFDERLGRLSFGLWVVGSLLSELMIAPSTLFEAVDRISLSENLFPIGANDDGFCRNNSFLIKVLVFCFALMDRAKGGSLTSRMVIAGSWLAPAPVSSTLLAATASKLPMKGSGMHLFGESLKTAFLCGTHCFLAPNGRKAEVESALLLVNLGLARKANRHPGCWIQFHPITQLFGKIRGGLAPTTAAVNGVMRAGNPSVYSDHLWASAFLVFGFKSEPPAVQLKAVDMVLFIKKTALPLAIDSFMSFSRCGSALELLKVCTNVLEE >Sspon.07G0033600-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7C:61968550:61968837:-1 gene:Sspon.07G0033600-1C transcript:Sspon.07G0033600-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATFYFKPQPCSSSSRRCHIAPAPAAASQLLRRCPSISWRWQQPWRQHAIMLQCHQRQQDEGDYRLHQNTGILHPSIWGDFFLGSSTNPAASSQQE >Sspon.03G0013890-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:52757874:52759707:-1 gene:Sspon.03G0013890-2B transcript:Sspon.03G0013890-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SGVTTVPPPFLAPAAWNSSAATEVLVPPTVDLSLPRCQAVMLVGAAARSCGFFQVTNHGVPAGTIESALSAVRAFNEQPLAARSAYYSVSTAGPAVYTTMPIPPRNAGQPANAPLLPWRDTLVLHFVHGKSHLDHLPAACLDTLLEYRRSLTALGKVITGLLSEALGVGSEQLDRAVQVEATLMQCHYYPPCPRPERVVGSRIHTDGDFFTVVAQDGVGGLQVWLDSHGDAWVDVVPVAGALLINIGDVISNDDYKSVEHRVVIKSTQEARVSIALFFNPGKCGDSDFFGPLTELVTQERPARYRSLTLPQLFRYRKQLGHARLSLDKFKSVSVYLCLALLPFSHLG >Sspon.05G0007490-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:24747861:24767125:1 gene:Sspon.05G0007490-2D transcript:Sspon.05G0007490-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRVLVSSLVAATPRWLPLADSILRRRRPRCSPLPMLLFDRRTWSKPRKVSRGISVASRKANKQGEYCDESMLSHIMWWKELLSLPHASRPFLLLFIDPILSDVSENGEVQKTIICTVDSEACVFEYIRVGSEFKKWKVSLKDGTLNMEILLFKSKFPREVLLCRVGDFYEAIGFDACILVEHAGLNPFGGLRSDSIPKAGCPVVNLRQTLDDLTRCGYSVCIVEEIQGPTQARARKSRFISGHAHPGSPYVFGLAEVDHDVEFPDPMPVVGISHSAKGYCLISVLETMKTYSAEEGLTEEAIVTKLRICRYHHLYLHNSLKNNSSGTSRWGEFGEGGLLWGECSGKSFEWFDGSPIQELLCKVREIYGLDEKTVFRNVTVSLEGRPQPLYLGTATQIGVIPTEGIPSLLKMALPSNCGGLPSMYIRDLLLNPPSFDVAAAVQEACRLMGSITCSVPEFTCISAAKLVKLLESKEVNHIEFCRIKNVLDEIMLMNRSAELSAILNELLVPGSVATGLKVEADMLMNECSIISQRIAEVISLGVESDQEITSLKYIPKEFFNDMESSWKGRVKRIHAEEEFANVDRAAEALSIAVSEDFMPIISRVKSVMTSNGGSKGEICYAKEHEAVWFKGKRFIPTVWANTPGEQQIKQLKPAIDSKGRKVGEEWFTTSKVENALARYHEACDNARNKVVELLRGLSSELQDKINILVFCSTLLIIAKALFGHVSEARRRGWMLPTISPLSKDCAMDLVGLFPYWLDVNQGNAILNDVHMHSLLVLTGPNGGGKSSMLRSVCAAALLGICGLMVPSTSAAIPHFDSIMLHMKAYDSPADGKSSFQIEMSEIRALVSRATARSLVLIDEICRGTETAKGTCIAGSIIERLDNAGCLGIISTHLHGIFDLPLSLSNTDFKAMGTEVVDGCIHPTWKLMDGICRESLAFQTARREGMPDLIMRRAEELYLTMSTNNKQTASMVHNEPPNGSPSVNGLVEKPESLKNRLEILPGTFEPLRREVESAVTMICKKKLLDLYNKSSIPELVEVVCVAVGAREQPPPSTVGRSSIYVIIRSDNKLYVGQTDDLLGRLHAHRSKEGMQDATTLYILVPGKSVACQLETLLINQLPSRGFKLINKADGKHRNFGISRISGEAIATQLN >Sspon.04G0013950-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:45400298:45401186:-1 gene:Sspon.04G0013950-2C transcript:Sspon.04G0013950-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRLDMMDSIDGRREMTNKPRIEVHSSSSDDSDREREEDEAQQDEVAEAKKTPPLGWNHNHHEAAVGIGNNRRRLLSKQLSMKETTREAKWEKRRRQILRRSSMVSVNDDGGGGGNKSAVEERHHHHHVMRSSSERAMRCLTDEDLDELRGSFELGFGFDEETGGAHLRDTLPALEFYFAVNRQLSDPKLRTPAAASPTSTLLAVSSSSTLPDIPSPRSPNAGATASGGADTWKIFTPGDNPQLVKTRLRHWAQVVACSIKHG >Sspon.08G0016030-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:58174120:58178597:1 gene:Sspon.08G0016030-2B transcript:Sspon.08G0016030-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLFLEELPSTTPLQESIPDSAPTVPHETIGSSSSTATSTCQKPVAAAADSTYAPGLQEPDGPSLDEFLDNSSGQKLVEGVVSSLEKLHDTSTSYEESNIADPQTMMQNTIDGSLRTLPFRSEKFVAGAADSTSILTLQEPDVSSSDEYLDSSSEEFITASPRTVPLNTIDDSPPTLGPNILIYYPANSFEVFYIRIDRRGSIWIYPNVGGPFQSIDETENAIISFLHLWQCETRISTTKGGPNSLSSENTCSEKWHLAQAILDQFNDQDNIFENLAHELEDLLRKQIIYENHRWHYHFNFTTKQKEADDDHSTGNKLFFAEVSHMQGKKVWEVNCCCMIEFESKGGHCFGCKNNGSPDMQHPVEADAYTGGHLDGYLPFDFDALSSSDDDDGEYEYLYKDEDEEDEEEEFEVWLGCIFCDICYL >Sspon.07G0011160-4D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7D:35473616:35476349:-1 gene:Sspon.07G0011160-4D transcript:Sspon.07G0011160-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGGAELSSSPRHDGGCRQEGSKPDKRKAVYRRENNAAQSSLWREGLPMPRKSHGRGDEKQTPLHPETETKNSDHKKFKENEEQERRPHPLVDRLAVLAGAVATRAAAVDGLGLLGSSATQTQDRVGHEGQRDHGEDENQEQRAILLCGRHGCCDLPPLSPADRLRYRRILRRQSRIWQWSEGRRYGAGGSEVAGTRGDEIREAHRAWAGFGSEGRGPASGGEGRAPASGLRARGECETGGDGCGCRTAGCG >Sspon.06G0025230-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:64038866:64039759:-1 gene:Sspon.06G0025230-1B transcript:Sspon.06G0025230-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRAHPLLVQPDLGLHLVQDGRPTGVRHPEDGVVVGDPQRLERLLQRALDVLGDEPGDVLEQVEREPDLAEVAIDGALGVRQDGLRRRHELEQRPLHALVGVGAHDDRRRAVAEQRLPNHGVEVGLAGPAERDGGDLGAHHQHARAAVVLREVLGHAQHRAAREASLLVHHQAVHGGAQAQHLGELVVGARHVDAGGGADDEVGDLGFRLPPLLDRLLRRGLPQLGDLHHHDVLAGVQRRRHVRAHVGVLRQVLLRQVHVPLPDLRLVACMHAPGMFTSDSQSQNQTTVVSHQGVP >Sspon.05G0027810-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:55776283:55786198:1 gene:Sspon.05G0027810-3D transcript:Sspon.05G0027810-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRSGSGHGEDAYRAITATVRWWQQEVQMTATTCQGRGGDGAAAGVEDQPWHGGGVEEHPWASLNVGGSDHGSRDGCRSTAPLAAARRHAALARDPSTKGTSRCGTSMNLALIPTSGLIRLTTAWAGITSGGEVVTTAAMVVVGGTEAIDKDATTATTASSAGTSAIAEHASEPAVVAIPTTAGGLGADKQEPNDKGQQHIGNKGDQSQSASQVLKGSSSAPRAADVRTEDLETSPQPRAAVRPLRHPPAAFRRHPLGRARAGWRRAPALGNRGGQLWRAMRKEQLNLRLTVLSEARTKAQAMGLGRVCRDWMLQQPDGRLLEKKGCLLQPYRQGSSHYRGISCRCLGELAAPPGSRTAVLDLPWQELAVVFVAVLVSGEVAAAAYEKESERMRTRARRPFLLVTWLAGWGWGRGRAHQTMPRSEEKELALSVFRAPHHVGPMPGLGFSRACLHSLGDVPATGPRRPDVTQQLQQPCWHGAGRRCIEGGCGGGKGGGGVEGGWVAPKGHRCAYRRRRAHRQRGARRRRTDGAVGAPRAAMTGWDVPCRPTATRRLWNSRVCAKQSRAGRCGEHGKACEDTGTPANPPSLIHPPPSYKGSHLFLRPRRASINRAIDCLPFSNPATTTKHSIRFSNNSRATMASADVHMAGGAETETFAFQAEINQLLSLIINTFYSNKEIFLRELISNASDALDKIRFESLTDKSKLDAQPELFIRLVPDKASKTLSIIDSGSQAGGSFTVTLDTTGERLGRGTKITLFLKDDQLEYLEERRLKDLVKKHSEFISYPIYLWTEKTVEKEISDDEDEEDGKEKKEGDVEEVDDDEEKDSKDNKKKKKVKEVTHEWVQINKQKPIWLRKPEEITREEYASFYKSLTNDWEDHLAVKHFAVEGQLEFKAILFVPRRAPFDLFDTRKKLNNIKLYVRRVFIMDNCEELIPEWLGFVKGVVDSDDLPLNISRETLQQNKILKVIRKNLVKKCIEMFFEIAENKEDYAKFYDAFSKNIKLGIHEDSQNRAKLADLLRYYSTKSGDEMTSLKDYVTRMKEGQKDIYYITGESKKAVENSPFLERLKKKGYEVLFMVDAIDEYAVGQLKEYDGKKLVSATKEGLKLDEDDEEAKKRREERKKQFEDLCKVIKDILGDRVEKVVVSDRIVDSPCCLVTGEYGWTANMERIMKAQALRDTSMGAYMSSKKTMEINPDNGIMEELRKRAEADRNDKSVKDLVLLLFETALLTSGFSLDDPNTFAARIHRMLKLGLNIDEDAAADEDADMPALEEGAAEESKMEEVD >Sspon.01G0013560-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1A:37326434:37327818:-1 gene:Sspon.01G0013560-1A transcript:Sspon.01G0013560-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RHLSEQVERMLLHTLEHGSSTTKAARRRHGLASAGNSKGGAICITRFGSTKHYPSAASSSPRSRRCGDAPRPRAHCAVAPHRWLTLMRRPSWPALHLGRASTTPPPPSVVAPLRLGRSDAPPLPCVITPPRDPASGLLPLLLRQLRPRRVAVPRPPQLACCNSDAVVEEQERRGLRGRGSRISARAADPTAGGLTGYVALPTARESQPLSRITRFGSTKHYPASSAAPSVASSSPVVPLQWRRRAHCVHRCLRPHHPVSPHYAAAPARWLTLIPCPSRPTLHLGRASTTPPPPSVVAPLRLGRSDAPPPLCVITPPHDPAVGLLPLRLRQPRPRLPRPLQLACCNSDAVVEEQERRGLRGRGSRISARVRDDSQVPGLTG >Sspon.03G0017850-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:79465230:79468328:1 gene:Sspon.03G0017850-2B transcript:Sspon.03G0017850-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable uridine nucleosidase 2 [Source:Projected from Arabidopsis thaliana (AT1G05620) UniProtKB/Swiss-Prot;Acc:Q8LAC4] MAAVEGTTKKKVIIDTDPGIDDAMAIFVALRSPELEVLGLTTTFGNVHTALATRNALHLLEAVGRTDIPVAEGSHVTIKKVTKLRIASFVHGSDGLGNQDFPPPATKPVDQSAAAFLVEQANLYPGQVTVVALGPLTNLALAVELDHSFPKKIGQIIILGGAYSVNGNVNPAAEANIFGDPDAADIVFTCGADILAVGLNVTHQVVLTDADREKLEQCDSKYARYLCKIMGVYFDYHKDAYFIKGVYLHDPTTLIAAVNPSLLTFTEGVVRVQTVGITKGLTVFDNTKKRYGEITAWTGMPTVKVAVTVDAPAVVELMMQRLMTDD >Sspon.01G0023490-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:82121203:82122406:-1 gene:Sspon.01G0023490-2D transcript:Sspon.01G0023490-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDAFVQATEHRPVSPIPQATGVPVIDLWARRAGTGASSWRWGHGVPEATVARAVEAGRAFFALPPERKAAVRRTEQAPLGYYDAEHTKNVRDWKEVFDIFPRELPPSQAGAADDELVFVNKWPDDGDLPGFRAALEEYAAAMEELAFKLLELIARSLHLRPNRLHGFFGDGQTTYMRMNRYPPCPRSDLALGLGRHKDSGALTILRQDDDVGGLDVRRRPDGAWVRVEPVRGSFVVNVGDVVQVWSNDRYESVEHRASVNSEKERFSIPYFFNPAMGTLVEPLEEMVSEENPSRYDAYSWGEFFRTRRRSNFRKLDVDNIQIAQLRKDK >Sspon.01G0032690-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:104399438:104400255:-1 gene:Sspon.01G0032690-2B transcript:Sspon.01G0032690-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDRRGSSGGGDRFAVFPFSMGCMSQSAVSVADPSEKKAQAQAQGDPSSSSSAAAVTTATMAAQGSSEGGTAGEAVKAAATPGLVAAGVSRLVKGIRSLSQMFAAYDGEEEEEEEREMVIGYPTDVQHVGHIGWDGHNSTVGAGAAMASMVNAFSLPSSLSLRHLDMAMDRAAAHASA >Sspon.07G0003110-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:7320849:7322024:-1 gene:Sspon.07G0003110-4D transcript:Sspon.07G0003110-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor bHLH87 [Source:Projected from Arabidopsis thaliana (AT3G21330) UniProtKB/Swiss-Prot;Acc:Q8S3D2] EDFNGGWSMQYAAADPCLPSRPGDDGGLLLGAFLGGGFDLHSDHGGLNLPSSHPVQNLMPCHGGATLSVSDGFMGLDTADMLASVIGALDDGGLLDTFAYGSDVVVAEAEEPAQPTASSNAFSGGYSSTTGGNYGNISSGESNTCGGGGGHDTEVASSPCALSRSALPQATAAPASKRKLGKYPAIAETTSTEAQVAAPRRGAKRDSATSSSSTSITFAAGHGVDHHAAGGSSSLAGGGYEYEPDSEALAQVKEMIYRPAAMRPVHQLVCGAGSEPSPSSQSKPRRKNVRISSDPQTVAARLRRERVSERLRVLQRLVPGGSRMDTASMLDEAASYLKFLKSQVKALQRASPSNGGYHNGSLLPQSYTASLGVGGDGTGVAFGRDGAIGGYV >Sspon.06G0005800-3C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6C:18058664:18059742:1 gene:Sspon.06G0005800-3C transcript:Sspon.06G0005800-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPDLIDDATAEILLRLPPDDPACLVRASLVCKAWRELLSSPAFLRRYRTFHGAPPLLGFLHNTYDEGPCARFVVVVAAAATTTIPFSAPAFDRFGWWFVECRHGRALLQTFERHALARLVVWDPITGDQQYLPMPVSGYYFCRSAAVLCSADGCDHLDCHGGPFLVVAIGAYEEDTHMWASVYSSETGVWTTSSSIQLDVYIEERPSLLAGDALYFSAQQGKMILKYDLVGQNLGVINAPDMFEPTEGIVVTAEDGGLGLAGVKDGNLHLWSWQAGGPHGIAEWVHGRVVKLRMLFTILNPLASLDVIGFQEGTDTIFISIDMDVFAVMLSSEQVKKVGKTGSKYAMAPYVSFYTP >Sspon.01G0029880-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1A:103893418:103893926:-1 gene:Sspon.01G0029880-1A transcript:Sspon.01G0029880-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ATGGPGTSWSPPNKRRRRHVSGPPLLRRFYEKKYRVLVYQNSAGRSDSDQDTAQARQAIELEREAEEDAGSFRCGLGVVSICTSRDGTTGKASVGVGGFIHVWSMGPGTWDTMRRPRPRPRPRPRQARRRVRKPTATASVRAPCPRGRQAINSEQVLMLMFVYAIGSGF >Sspon.03G0013980-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:42551683:42553468:1 gene:Sspon.03G0013980-1A transcript:Sspon.03G0013980-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AATAAQLGPLPRSAIRFLRLRSTQTSNLAIPTRTAAPAPARLRCHLSHSSDSTSTPTPALTTSDPERDPDHESESEDTLRFAFACGGAGAGGRVYSAIALADELHASLPSSRSLILGAPAPSLESSAAAAASYPFAPVPLHCLPCGILAAALHLRRFRPHVLVATGGAPALPACLAALMLRLPFVIQDQDAYPAPATRLLAPFARRVFLAFNAPVRLLPKRRCAVYGNPVRMSILKCSASKTEALARFFPRAGLLGEHEAQVVLVLGGAEGSPEINVAVLNVYYEILRSKDRYIIWQTGTETFCEMESLVRGHRRLFLTPCLHELEMAYAASDVVISRAGAMTCTEILATGKPSILVS >Sspon.01G0050280-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:94725825:94730388:1 gene:Sspon.01G0050280-2D transcript:Sspon.01G0050280-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PHD finger protein-like protein [Source:Projected from Arabidopsis thaliana (AT1G54390) UniProtKB/TrEMBL;Acc:F4HWW1] MAIARTGVYVDDYLECETYAPPISRIIEFATPSYYSSTLAGDLQRILSTMRELDDRAHGIMGQTKEQIKYILGVSSHGYDRSNMDDDESERMKKDIEASQDNALNLCTEKVLLARQAYDLIESHIKRLDEDLGQFAEDLKHEGKIPSDEPTVLPLVPVVSRDDKRRFGFSTPQASKKFREREWDRERGMDFDLMPPPGSSKKAGTSMDVDQTIDPNEPTYCICHQISYGDMIACDNENETHFPLVTSASLLNSTKAVGARARMEPGMIYMGWDSSN >Sspon.07G0037930-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:65179215:65186318:-1 gene:Sspon.07G0037930-1D transcript:Sspon.07G0037930-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVKDASTTSTNFFGAICFFSFLGAFISDSYVKRFYTILIFAPIEILGYMLLAYQAHFPSLHPPPCDMINNPSDCTPVSGRNRSLLTLGLYLIPIGESSLRTCAAALGGDQFDEDTPEELPGKISFFNWFEISISLGAMVGVVFLVWVQDNVGWDLGFTLAALMVLVGTLGVAVGLPFYRHQKPSGSPVTRILQVFVAAFRKRKLRVPENLMEMHNKVTDGTGTSVECMERTAGFICRDFDPFFSVQGSLTRRRWTTATRGRGRCARWRRWRRPKLSSAWFPSSSAPSSPTSRSRCSSPSPWSRQGPWTPGWAASTSRRRASPSSPSRSRSSSCWSTTAPSCRGCGARRGTRRGDAPAAAGVGFASSVLAIATAALVEGRRRRRSGAPPMSAFWLTPQFVLLCVLDVTSFVGLLEFFYSEVAAGMKSIGGSLVFCILGVGSWLGSLLIQVVNDATARRGGXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXVITLDMGLLVAGTKYRGEFEERLKKLMEEIKQSDEIILFIDEVHTLIGAGAAEGAIDAANILKPALARGELQCIGATTLDEYRKHIEKDPALERRFQPVKVPEPTVDETIEILRGLRERYEIHHKLRYTDEALIAAAKLSYQYISDRFLPDKAIDLIDEAGSRVRLQHAQVPEEARELDKELKQITKQKNEAVRSQDFEKITAFIDKSKELSKAEEESGETGPMVNEADIQHIVSSWTGIPVEKVSSDESDKLLKMEETLHKRVIGQDEAVVAISRSIRRARVGLKNPNRPIASFIFAGPTGVGKSELAKALAAYYFGSEEAMIRLDMSEFMERHTVSKLIGSPPGYVGYTEGGQLTEAVRRRPYTVVLFDEIEKAHPDVFNMMLQILEDGRLTDSKGRTVDFKNTLLIMTSNVGSSVIEKGGRKIGFDLDSDEKDSSYSRIKSLVIEEMKQYFRPEFLNRLDEMIVFRQLTKLEVKEIADIMLQEVFDRLKAKDISLQVTEKFKERVVDEGYNPSYGARPLRRAIMRLLEDSLAEKMLAGEVKEGDSAIVDVDSEGK >Sspon.01G0033260-1P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1D:111625616:111626359:1 gene:Sspon.01G0033260-1P transcript:Sspon.01G0033260-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPKPPSPPHNSGSPAGAGDSTSSQLAATYLGVSFALFLATLPSGTAARHVASLQSRGRILASRLLAAEDQLRQLRARRRDDARANARAAEIFAGHRAAWMEAERRLLARAQAAGDEAASLRARLADAEADAAAVRARAERLEREAAERDELLNALLAATSCAGDSGGGGPLFRARARDGEEEEQEQDARGEQLDHAADTTDAEALAAAAALYAQQRQKHDDDFYTAATAASGMPPWMEMDRSKGWQ >Sspon.04G0015490-1P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8A:19894390:19895013:1 gene:Sspon.04G0015490-1P transcript:Sspon.04G0015490-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLVERSKRRVWLGTFETAEEAARAYDEAAVLMSGRNAKTNFPVPRTATGELAPVPAARSGSSSAAPGGGGGGGTTTTTSLSQILSAKLRKCCKTPSPSLTCLRLDPEKSHIGVWQKRAGARADSSWVMTVQLNKDAPAGDEPVPSDGAAATTPTSTSTSSTVTTGSPPPAMMDDEERIALQMIEELLGSSHSHGMLQGAAGSLVI >Sspon.04G0015380-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4A:57746713:57747405:1 gene:Sspon.04G0015380-1A transcript:Sspon.04G0015380-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRKPGDWDCRACQHLNFSRRDICQRCSEPRGVTDRGSGGGGDYANFGGRGGSSFGGGFGAGSDVRPGDWYCSCGAHNFASRSSCFKCSAYKEEAAVNSGAGGFDSDMSRSRGYGFGSGAARTNRPGWKSGDWICTRSFISNMLE >Sspon.05G0004280-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:12786902:12802414:1 gene:Sspon.05G0004280-1A transcript:Sspon.05G0004280-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin family protein / WD-40 repeat family protein [Source:Projected from Arabidopsis thaliana (AT5G16750) UniProtKB/TrEMBL;Acc:Q9LFE2] MTSTHGLKKNYRCDRSLQQFYTGGPFAVGLAPGGDGEGGAEAEAFLACACGGEVRVVSAADASAIGEPVDGDSEAITALALSPDSRLIFAAGHSRLIRVWDLASRTCIRSWKGHDGPIMAMACHASGGLLATAGADKKVCVWDVDGGFCTHFLRGHTGVVTTIMFHKDPKCLLLFSGSEDGTVRVWNLETKKCVAVLKEHFSAVTSLTLSDDGQTLLSAGRDKIVTAWDIRKYSSKKTIPTYEMIEAVSFIGSGSELLACLGIELANIKEKAAGYFLTVGERGVVRIWCLESSLCVFEQQTSDVTVNSENEETRRGFTSAVMLPNDQGLLCVTADQQFLFYCPKRTDDGTFELSLYRRLIGYNDEILDLKFVGEEEQYLAVATNLEQVRVYDVASMSCSYVLAGHTEIVVCIDTCVSASGKTLVVTGSKDNTVRLWDADRKSCIGIGKGHLGAVGSVAFSKKTKNFFVSGSSDRTIKVWTWDDTLSDAEDEVPLKAKAVDRTACIWKLPNLVSSVVLKGHKRGIWSVEFSPVEQCVMTSSGDRTIKIWSVADGLCLKTFEGHTSSVLRASFLSRGTQVVSCGSLVDPVCLMFLNVGSDGLVKLWTIKTNECIATYDKHDGKVWALAVGMKTEMVATGGTDSVLNLWHDCTMEDKQQDFRKKARRVLRGQELENAVSDSDYAKAIQLAFELRRPHRLLDLFSQLARRADAEDPIEKALLGLPKDGLRVLLEYVREWNTKPKFCHVAQFVLFRVLRSFSPTDILEINGISELLEGLIPYSQRHFSRVDRLVRSTFLLDYTLMRMSVVDPDVDSGTIKDEMNGSSVENGLLAEPGPASPVPEKSSKKRKSRKSSKKGKEKKVKVASSGHSNDNYRCDRSLQQFYTGGPFAAFLACACGGEVRVVSAADASAIGEPVDGDSEAITALALSPDSRLSSPRGTAGLLGSGTSRPELAYAAGRDMMVLSWPWHAMLLVVCLQLPEQTRRSVYGMSMVDFAPISLEAIQLFSGSEDGTVRVWNLETKKCVAVLKEHFSAVTSLTLSDDGQTLLSAGRDKIVTAWDIRKYSSKKTIPTYEMIEAVSFIGSGSELLACLGIELANIKEKAAGYFLTVGERGVRAHLVLGEYMQSMAFLVFYSSLCVFEQQTSDVTVNSENEETRRGFTSAVMLPNDQGLLCVTADQQFLFYCPKRTDDGTFELSLYRRLIGYNDEILDLKFVGEEEQYLAVATNLEQVRVYDVASMSCSYVLAGHTEIVVCIDTCVSASGKTLVVTGSKDNTVRLWDAERKICIGIGKGHLGAVGSVAFSKKTKNFFVSGSSDRTIKVWTWDDTLSDAEDEVPLKAKAVDRTACIWKLPNLVSSVVLKGHKRGIWSVEFSPVEQCVMTSSGDRTIKIWSVADGSCLKTFEGHTSSVLRASFLSRGTQVVSCGSDGLVKLWTIKTNECIATYDKHDGKVWALAVGMKTEMFATGGTDSVLNLWHDCTMEDKQQDFRKKAKVLRGQELENAVSDSDYAKAIQLAFELRRPHRLLDLFSQLARRADAEDPIEKALLGLPKDGLRVLLEYVREWNTKPKFCHVAQFVFFRVLSFSPTDILEINGISELLEGLIPYSQRHFSRVDRLVRSTFLLDYTLMRMSVVDPDVDSGTIKDGMNGSSVENGELAEPGPASPVPEKSSKKRKSRKSSKKGKEKKVKVASSGHSNDVSVE >Sspon.06G0022870-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:37929140:37930484:-1 gene:Sspon.06G0022870-1B transcript:Sspon.06G0022870-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSGSAAPGARIDMGRNPSGDVEVGSAVGDLGGQQGSVGIIMATFALVHGYKFGSEKASALNTYVVMKSGGGTGPGARIDAGHGDLGGWGAPPPATSTLV >Sspon.05G0010470-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:32073636:32075996:-1 gene:Sspon.05G0010470-3D transcript:Sspon.05G0010470-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPTRMVGTSNSEIPEFLVGPAGRPVPAVGLGTASFPFVEEDVRAAVLAALELGYRHLDTASLYRSERAVGDAVAEAARRGIVASREEVFVTTKMWCSQCHPELVLPSLKESLRNLQMDYVDLYLVHWPVAAKPGEPQFPIKREDIMPVDLSGVWRAMEECHRLGLARMIGVSNFTTKKLQELLAIAEIPPAVNQVEMNPIWQQKRLTEFCKDKGIHLTAYSPLGGQSMSKANPVLQSEVLQEVAKARGKSVAQISLRWIYEQGTSMVVKSLKRDRLKVNMEIFDWELTNEDRQKISQISQHKRVTVLGILSPDGVSSVDLAELDIVEM >Sspon.01G0009430-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:29601283:29605059:-1 gene:Sspon.01G0009430-1P transcript:Sspon.01G0009430-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to Photolyase/blue-light receptor PHR2 [Source: Projected from Oryza sativa (Os03g0343400)] MAAASDSDAGAQPPRDDPSLLPFASFSLALSIRAPATPTLASVSSTIHLPTQISTLAVCLHPSAAQPPSRRPTRLNSATSSVIAPLPSSTPGLSRSFPSGAPAAAGRRRTLVWFRADLRLHDHEPFHAAAGASSSLLPVFVFDPRDFGKSPSGFDRTGPYRANFLLDSVADLRRSLRARGGDLVVRVGRPEVVIPELARAAGAEAVYAHGEVSRDEVRAEERVQKAVEKEGINVKYFWGSTLYHVEDLPFHLEDMPSNYGGFREAVKGLEVRKVLEAPEEVKCVPMKNVLEPGTSPRLLSSANCATSHGTARAHSIVYTDSKPAVGSTLIGGETEALERLKKFAVECSMQPNKSDKSNTRDSIYGANFSCKISPWLATGCLSPRFMYEELKKHATSNSLLPRAIPLGSTPKNNDGTSDAGTNWLISQKGSLYLILSTFLQMTVQCNDVVSNLSAVV >Sspon.06G0005730-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:17891895:17892912:-1 gene:Sspon.06G0005730-3C transcript:Sspon.06G0005730-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMRVVRNLDLERYAGAVVRDRVLPVHPKTGTNTRATYTLNPDGTVKVLNETWTDGGRRGHIEGTAWRADPASDEAKLKVRFYVPPFLPVIPVTGDYWVIHVDADYQYALVGQPSRKYLWILCRQPHMDESVYNELVERAKEEGYDVSKLRKTAHPDPPPESEQSPRDGGMWWIKSIFGK >Sspon.02G0024580-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:86109783:86111326:1 gene:Sspon.02G0024580-2B transcript:Sspon.02G0024580-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPCPFVRVLVGNLALKMPASTTSAPRSAAASGSGVHPTTAPCYCRVRLNKLPYQTASAPLLPPTEEGPASCTGAFAAAFHVSKADLDRAAAKPALLLGARLRRRTARLKVAVYAGRGGGGVGASCGGGGGGAASGRLIGKLVVPLDLSAAMAKPVVFHSGWVAIGKRCAGRGKQAARAQLNLTVRAEPDPRFVFEFDGEPECSPQVLQVKGNMKQPMFTCKFSCRSNSDLRSRSVQSDPGTVGPRNWLAKFGSERERAGKERKGWSVTVHDLSGSPVALASMVTPFVASPGTDRVSRSNPGGWLILRPVDGTWTPWGRLECWRERGGSGGDTLGYRFELVPDHHTNTGGTGSAGVCVAESGVPASRGGRFAIDLTAAQPFGRSGSPGCSPRGSGDFGHHGGGLWPFGTFRGFVMSAAVQGEGRCSRPTVEVGVAHVGCAEDAAAFVALAAAVDLSMDACRLFSCKLRRELSASRAELR >Sspon.03G0005480-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:30987346:30996716:1 gene:Sspon.03G0005480-2C transcript:Sspon.03G0005480-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSLCLLARQVARRRLLSNVPESTVYGGPRPQESSAARRVTVTTLRGKHRRGEPITVVTAYDYPSAVHVDSAGIDVCLVGDSAAMVVHGHDTTLPITLELMLEHCRAVARGAPRPLLVGDLPFGCYESSAAQAVDSAVRVLKEGGMDAIKLEGGAPSRITAAKAIVEAGIAVMGHVGLTPQAISVLGGFRPQGKTVDSAVKVVETSLALQEAGCFSVVLECVPAPVAAAATSALKIPTIGIGAGPFCSGQVTPKFCKQFGNVGDVINKALSEYKQEVETQTFPGPSHTPYKITHTDVDGFANALQKMGLSDAADAAAAAAQDSEKGGEPKGNC >Sspon.02G0005150-3D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2D:10473910:10475048:1 gene:Sspon.02G0005150-3D transcript:Sspon.02G0005150-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLQIGLEVSVSPASSVVKDTPSSITKESENGEISTDSQDEEKVTLGDALSMNRPSEAPPTSVEELQNLAGGADI >Sspon.04G0005560-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:16784288:16793244:-1 gene:Sspon.04G0005560-4D transcript:Sspon.04G0005560-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGPLNSSSGHNAAAGGGGKTIEEMYQKKTQLEHILLRPDTYIGSVEKHTQPLWVYEDGAMVNRSVTYVPGLYKIFDEILVNAADNKQRDPKMDALRVEIDVDGCCISVYNNGDGIPVEIHQEEGVYVPEMIFGHLLTSSNYNDNEKKTTGGRNGYGAKLTNIFSTEFVIETADGHRQKKYKQVFSENMGKKSEPQIIKCKQGENWTRVTFKPDLAKFNMTHLEDDVVALMRKRVVDMAGTLGKTVKVELDGQRVPIKSFCDYVDLYMKSANCDRTDKTIYEKNDRWEVCVSQSDGQFQQVSFVNRIATIRGGTHVDYITNQIANHVMAIVNKKNKNANMKLHNVKSHLWVFVNALIDNPAFDSQTKETLTTRQGSFGSKFELSSVFLKKVETSSIIENVLTWANFKLNKELKKTDGSKKSRISGIPKLEDANEAGGKDSEKCTLILTEGDSAKALAMSGIAVVGRDYYGVFPLRGKLLNVREANHKQIMDNAEIQHIKQILGLQHGKQYESAKGLRYGHLMIMTDQDHDGSHIKGLLINFIHSFWPSLLKVESFLVEFITPIIKATRGQTTKSFYTMPEYEEWRRNLGASASSWTIKYYKGLGTSTAKEGREYFKAITDHKKDFVWEDDQDGNHIELAFSKKRIADRKQWLTNFQPGTYIDQQEKKVKYSDFINKELILFSIADLQRSIPSWLMALNQVRGRFCFAHSRGILLRKLRLSVVAQFSGYVSEHSAYHHGEQSLASTIIGMAQNFVGSNNINLMYPGGQFGTRAQGGKDAASPRYIFTKLSHITRSIFPKDDDTLLNYLNEDGQSIEPTWYMPILPMVLVNGSEGIGTGWSTYIPNYNPRDIVANLRRLLNEESTVPMHPWYRGFKGSIEKTVNTKVAGSTYTVTGIIEVVDNTTLRITELPIRRWTQDYKDFLESLCPDKHNKDKVSFIEDVTAQGDNEDIYIELQLSEGNMNIAKEEGLVKKFKLTTTIGTSNMHLFGSDGKIRKYDTPEQILEEFFQLRLDFYVKRKEALLKSIKLDLKKLENKVRFIRCVVDYEIIVNNRKRADLFLELRQKNFDPFPKKKKRAEPAAVGALEDENEESPEAEGAEPSDYEYLLAMSIGTLTLEKIQELNAEKQKLVDAVEELKKTSPNHFDLDAFEKELDVLDQMDLDEERQREKRIKEGKKGASKAGPKNQRKKAAVKKEKIETEDDDAAEPVVPKRGAQRKKASKKAPVDEEEVDMPSLKDRLANIYINDSSPDHSAMETETTEQQNEKIATKGPSKRGGGNKASSSLAMIPSDDEDDDFTLEEVSEVQAQKKGRGKKPAAAVKPKAPATRKRVPAQGKAVQKKIDEMLKPTEDNNTSPEKKVRKMRPSPFNKKSGSILQRGSTAASANSETTAEASPLSGSSAEPVGAAQPRRTARATKKPVYVTDSDPEDEVVELTDDSDFDVDGDSDDKLLGPAEIEQEEIWTPSVTHVSQFCGYMWQNTLHTAMAEESLGDKLIGMAQRFVVTNNTGGHFGTRYQRRGDGCDTENMFTKLSPITRSIYLEDDDGPLNYLQRDGKSIEPTW >Sspon.02G0011030-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:24133456:24140128:1 gene:Sspon.02G0011030-3D transcript:Sspon.02G0011030-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPQFLLCFLLAAAAPLLVATTKKSGDVTELQIGVKHKPESCSIQAHKGDKVKVHYRGKLTDGTVFDSSYERGDPIEFDLGTGQVIKGWDQGLLGMCVGEKRKLKIPSKLGYGPQGSPPTIPGGATLIFDTELVAVNGEPANQSDSEL >Sspon.06G0009400-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:47764081:47768189:-1 gene:Sspon.06G0009400-2B transcript:Sspon.06G0009400-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MCQDALRYKDGNDSGDIKQIVVSFIQLAKRLGNPRYISERNEAAAQDSLDISQYSFDTKLKKKQNKTRGANSVAAGADNSSRAFDLEIKRSLSKLKKKDVYSGSETSDDDDGYSEGDETESETTVSDTESDLDVNSGAWDLKGNGLKLIEPGEPVTDDRILGARMTKASLVPPVTRKYEVIEEYLIVADVEEVQRKMRVALPDDYSEKLLSQKNGTENLELPEVKDYQPRKVAGDEILEQEVYGIDPYTHNLLSDIMPSDLELSPTDKHIFIEELLLNTLNKQVRHFTGSGNTPMTYCLRPVIEEIQRSAEDSGDRRTSKMCLGMLKAMRNRSDQNFVAYRKGLGVVCNKKGGFGVDDFVVEFFGEVYPSWRWYEKQDGIKHIQNNSEDQAPEFYNIMLERPKGDRDGYDLVFVDAMHKANYASRICHSCNPNCEAKVTAVDGKYQIGVYTLRPIAEGEEITFDYNSVTESKEEHEASVCLCGSQVCRGSYLNFSGEGAFEKVLMEFHGVLDRHSLLLQACETDSVSQQDLIDLGRAGLGTCLLAGLPGWLVAYTAHLVRFIYLERQKLPDEILRHNVDEKRQFLIDINMDSEKNDAEVQAEGVLNSRLQQIVHTLDKVRYVMRCIFGDPKNAPPPLVRLSGKGLVSAIWKGDNSIVAELLQSMEPHVEEEVLSDLKAKIRAHDPSDSEDIEGGIRNSLLWLRDELRTLPCTYKCRHDAAADLIHLYAYTKCFFRVRDYKTVKSPPVHISPLDLGPKYADKLGPGFQEYCKTYPENYCLAQLIYWYSQNSEPESRLTRARKGCMSLPDVSSFYVKSAKPSQERVYGNRTVRFMLSRM >Sspon.02G0022070-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2B:72087462:72087813:1 gene:Sspon.02G0022070-2B transcript:Sspon.02G0022070-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VSSSENDGQPESPKAIPVESMTLEDLRVDSANTSKNNGVETVDSEKDRSGNNVSVSTKDNNMKAPFTQTSGGAESNVPLQAKRSSKKPAARAKVPFEKGHSQMDWLKLTQTHPDLA >Sspon.07G0009470-3C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7C:23393230:23393415:1 gene:Sspon.07G0009470-3C transcript:Sspon.07G0009470-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVPTPTRPCSCSCRHVLLLVSLQLLLVAPWQGETAARALNFTRQDFPRAFVFGAGTSAYQV >Sspon.01G0021310-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1C:79067403:79068577:-1 gene:Sspon.01G0021310-2C transcript:Sspon.01G0021310-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVQRYRFFCCGCGANAAAGDREGDEDGDFGAFDDKVAEKGVEAGPRGLSWAQVEAMTGGFTSAVVGEGGFSTVYLARLSGALAAVKVHRSSERLHRVFRQELDALQRVRHPHIVRLLAFCDQQEEGVLVLEFAPNGNLHERLHGGGKAVGTMPWARRVSVALQVARALEYLHDRCEPQVVHGDVKASNVLLDASMSAKLCDFGSARMGFSAAVRPRSSAHTMMGSPGYVDPHYIRSGVVTKKSDVYSFGVLLLELLTGMEAFCAEEGRLLTAVLAPRLRAGGDPPSCDARGMVDERLGTAYDAAEAAAVAALAAACVGENPSLRPSMADVVRTLEQSAQGSISAVGRRSDGHGKV >Sspon.04G0024410-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:19143708:19146539:-1 gene:Sspon.04G0024410-1B transcript:Sspon.04G0024410-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAGFQLGVIGSLTLSVASSVAIVICNKALISTLGFPFATTLTSWHLMVTFCTLHVAQRLRFFEPKAIDGQTAAHAQIQYVLQMTKLAIIPFTVLLETIFLKKRFSETIKFSLLVLLLGVGIASVTDLKLNCLGSVLSGLAIATTCVGQIGAHSACLSSPCALTLNITYDCLLWCILNLLSEAYKYDTEKLKVSSTQLLYQSAPYQAAILFATGPFVDHLLTGRSVFAHKYTFPVVSFIILSCLIAVSVNFSTFLVIGTTSPVTYQVLGHLKTCLVLSFGYTLLHDPFTARNILGILVAIFGMALYSVFSVREGKKKSAGDALPVSQMPDKETEPLVATKDNSDTKKANGNSVSVTKAFISSKHLGSNVTPFFRHAPRLTISGLLCNVK >Sspon.03G0003120-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:15456333:15459178:1 gene:Sspon.03G0003120-3D transcript:Sspon.03G0003120-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVVAGSRARAEQARLQWREQEPRSPEMAAPPRPPRPRPARAAVVYYLARNGHLEHPHFMEVALSCPDGLYLRDVIDRLDALRGKGMARMYSWASKRSYRNGFVWHDLADDDYIHPVVGREYVLKGTERLHPVPPALLDAAAASSSSSGSQDTPTSSSSARWEAHGRPAHRMKSASAADLGEYAVYKGEERAADAATQTEDGGRGHGHSQRRVLAPAAQEELSREETSPPTASTSPETLEALIKADGRVVAAVSGSGRARASSVLMQLISCGSVSVRDARASPVMPRAHHHSHHHRAHARPPRPPASAAVEVPSYRAKIVEDKEYFSGSIIETAKRSPADDVTSQDLAVLRRSSSYNAERVIKLEMAKEAGDLHDRCIPRKPKGKKDGYL >Sspon.07G0007110-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:16677410:16680804:-1 gene:Sspon.07G0007110-2B transcript:Sspon.07G0007110-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSRVMASSSPPQHAGAGAGAGSSSSDLARFRSSSGIGSMNMDDIIRNIYGPEAVNAAAGVGGGPAVAPEAAAAAAAARRTSEEVWKEISAAGGLSAPVLLPPPPPPAACSGTGGARGGGSGAAEMTLEDFLARDSCARAAVMDGNMALGFPDADGDAAGSVAGAVGVGGGRGSRKRALLDPPDRAVMQRQKRMIKNRESAARSRDRKQAYVAELESQVAQLEEEQAELLREQEDRRQKRLKELIERVVPIIRKKSSQDLRRTNSMEW >Sspon.05G0012820-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5A:40347792:40350175:-1 gene:Sspon.05G0012820-1A transcript:Sspon.05G0012820-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMGKLGERARAFWTNRWLVFVGAMWMQSMAGTTYIFGAISPVVKARLGYDQRQVAALGVAKNLGGCLGLLAGALSATWPPSALLAIGAAQNFAGYGCLWLVVDGKAPALPLWLMCVVIFIGTNGQTYMITASLVTSIQNFPKSRGPTVGILKGFMGLTSAILTQVYAVLNTPDHAALIFMVAVGPSLVAVGLMFVIRPVGGHRQVRPSDKNSFMFIYTVCLLIASYLVGAMLVQDFLQPSYDVVVFLTVILFVLLISPIAIPVILSLTPEKAQHLMEDALLSEPLTGEASTSQQKEDQPEVILSEVEEEKPKDTDSLPPSERRKRIAELQAKLVEAAARGGVRIKRRPHRGDNFTLMQAFVKADFWLIWLSLLLGSGSGLTVMDNLGQMSQATGYKNAHIFVSLMSIWNFLGRVGGGYFSEIIVRYRR >Sspon.01G0022560-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:82227969:82234387:-1 gene:Sspon.01G0022560-1A transcript:Sspon.01G0022560-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRLKIGESPSNPLLRSPNGFLGRVVWEFDPAAGTREERAEVEKLRQEYTRNRFTQRQCSDLLMRMQKYTKQNLHSTNLPAIKIDKDSEATGETILVVLRRALSQYSSLQGPDGHWPGDYSGILFILPLMIFALHVTRSLNEVLSIEHIREICRYIYNIQNEDGGWGTHTLGPSSMFGTCENYATLRILGEVLDGENDALSKGRAWILSHGSATAAPQWAKIYLSVLVLLSDGLHANGLHLWKKFVGPITPTILAIRNEIYNIPYNEINWNKARNSCAKEDLIYHPSWLQSIAMAYLNKFIEPLSNLWPMNKLRERAMSNLMEHIHYEDETSNYVGLCPINKCYPMQL >Sspon.08G0007080-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8C:22585498:22587717:1 gene:Sspon.08G0007080-2C transcript:Sspon.08G0007080-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAKFSSISTRKLSKALSVLTHDKTMVATSDYGDFHKMVKRYVMTSMLGTSGQKQFRDTRNMMADNMLNTFHTLLTDDPNSPLNFREVFKNELFRLSLIQALGEDVSSVYVEEYGKVISKEEIYQATVADMMMCAIEVDWRDFFPYLSWIPNRSFETRVLTTDARRTTVMQALINQQKQRIARGETRISYLDFLLAENALTDEQLLMLVWEAIIEAADTTLVTTEWAMYEIAKHPEKQEYLYQEIQKVCGNKTVTEDHLPELPYLNAVFHETLRRHSPVPLVPPRFVHENTNLAGYEVPAGTEMIINLYGCNMNKSDWAEPEEWKPERFLDGRFEAADMYKTMAFGAGRRACAGSMQAMNISCTAIARFVQEFSWRLKEGDEDKADTIQLTTNRLYPLHVYLTPRGRK >Sspon.01G0027260-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1A:95698064:95699491:-1 gene:Sspon.01G0027260-1A transcript:Sspon.01G0027260-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MERPALGRAKRPMTLHQRDWTRQSACTEGTERVQHGALDEFEGSRGLALSACARCCAVVTCPSPTKAADDRRIQPRPRCATNAPTVLGRPPVSCTQTLKRKELPRKATKEGDGYNDVYDLEYLDVNELSHLQGRSNSPVIAPPKEMSFRALETGVRLV >Sspon.01G0030780-3D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1D:105193215:105196932:1 gene:Sspon.01G0030780-3D transcript:Sspon.01G0030780-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding REGQVLHNEGFTISLRWKWVRLW >Sspon.02G0012230-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:22631888:22632782:1 gene:Sspon.02G0012230-2C transcript:Sspon.02G0012230-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding EPPPLRHPPRSLLLRRPPRRRSLRVVVTATGGVQRRRRDGAGRAAGQRRRQRPDAPRVGHEAALLRRRRPGFQALERRLGPPRAPGRLRAVQRGEPGGPVLRGRRRHPVHARPPRPLLLHQRRPGALRGWPSDGRARRGSPQQHQRRHDPDPDAGAGSGDPARRHPVQAPPPPPSADYRADADSGGGTRVRGRIHYNVLDRWALHMLSLV >Sspon.03G0041300-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3C:39327773:39329576:1 gene:Sspon.03G0041300-1C transcript:Sspon.03G0041300-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MELTGVCTSTRRTLRPKPKDASIATVHDRWFLKARGHVVASGGVRCTVQRLSVCDRCVRRSRTELNGSIRREKATAMDIRGKKTDEGSSSAHAVQKNPQNSHKKKFQQ >Sspon.02G0034480-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2C:16169031:16169960:-1 gene:Sspon.02G0034480-2C transcript:Sspon.02G0034480-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPRRVTKSHKQLRLAVLLQRPGLYKKSWSSPTQGEVANGMREKMFCGAGSFKDVDKEEGTAGAGKLAKAKTKAGGKAKGRNNNPYASRGLDKFSVVLSELESKREKILRRVGSDVDADHLMVRFVQSEKKGWVPIVVKLPHEEEQQAAAGAKKRQGKPASQSSTPPTEPASPKEDPVAVQAKATVPPAKKSKAGGVRWSWAWGRKMMRPCYYWPLAMTLLLLCLVVFGRVFAICCTSIWWYVLPILSGEEALGAARSPATKPRKVVGFKAGDRLAVAPPPSHGKRRSSEGTAHEMISPRSLAHRKKG >Sspon.07G0002680-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:4866024:4867153:1 gene:Sspon.07G0002680-3C transcript:Sspon.07G0002680-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSLYKQLGLSGAGSPLTGRHVALLILGAGFLALTVFVVHPNEQQQLRRPPRPGRSCCGGRPGPDAAAAAVASPHGATTTTEKEAAARAPAPAPDDDDVRVLIGIQTLPSKRARRHLLRDVYSLQAQEHPSVAGSVDVRFVFCNVTSPDDAVLVALEAIRYGDIIVLDCAENMDNGKTYTFFSTVARLFNGSSGGGDRRPRRYDYVMKADDDTYLRLPALVASLRGAAREDAYYGLQMPCDTENFYPFPPFMSGMGYALSWDLVQWVASSDLARREQDGPEDMWTGRWLNVAGKAKNRYDNAPRMYNYKGASPDSCFRHGFVPDTIAVHMLKDDARWAETLAYFNATAGLSRSGGLYHLPLPAASP >Sspon.02G0027000-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:44028213:44032545:-1 gene:Sspon.02G0027000-2D transcript:Sspon.02G0027000-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRVDWGAGACGFALIAGSPPDLSSPDGAFQARGGVWDGLLPEMPSTKVDIRCKGKSRHSKCCHLEEMPVIPEQAMEFLSRTWSPSSSDLFQILSPSDPEQDEARGDGGEDKEKHLGTVRFNGGTTNQLFNQTCVSQPLSSPGGRPNCGQRRHKLVQPAWAVLRGFLLDSLPVTGSQRRRRRDELRLHSAQAHAAVSVAQLAAAVAGIVSASDLRPAASASAGDRSLGTVLASAAALVATVCAEAAETAGANRGRVTSAVRTGLESRSSADLLTLTATAATCLRGAATLKQRAADLRGISSSSSSSNAMAMSVSAGIQKGTTLRVCLPCGSVRVRTVSVFPRRGDGAAVVLRLGKKRLHGAFATFKDSSPHARQALVPVWRLSGCPADMLVSSDPINLPGPVQSHVISAVGDGGGEAVVEGRPAFPVALITSEKGVTVQLLFEHQTHCKVWKAAIEGMLAEKKLKRDNQLN >Sspon.01G0061370-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:93389057:93389626:-1 gene:Sspon.01G0061370-1P transcript:Sspon.01G0061370-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPASVRFDSVPAPQPSTAAVGRPRWQAQTLRRASSYVGAEHDGTTASSVPVPVPGAALAPVPFKPITLDFLRSLVDTNCSMTPVAPDAEATAPPPPQMVALRVVVTSAVELDARQTELIARKMRRLTGFVNLKVENVVDTSLIAGFVVCYGTDDSHVIDLSVKAQLAALKNRVDSIDQTVHAHGHPHHC >Sspon.08G0002410-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8B:3813166:3813609:-1 gene:Sspon.08G0002410-2B transcript:Sspon.08G0002410-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMMGARRRPTTPRSGEEEAIAHTSSGEEAGHAQIDEEEASRAHIRWGGGRLRLDLVRRRPATLTSAGRRPAVPRSGEEEAGRAHIRWGGGRLRLDPVRRRPAALTSEGRRPAVPRSGEKEADRAHIPRALLLHGWWRASPPPVRVA >Sspon.08G0030360-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:58620944:58636601:1 gene:Sspon.08G0030360-1D transcript:Sspon.08G0030360-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LSSQSSPEHGSRGAVSGSELALYPPERVRNFSIIAHVDHGKSTLADRLLELTGTIQKGHGQPQYLDKLQVERERGITVKAQTATMFYRHVTASQDSDMPKYLLNLIDTPGHVDFSYEVSRSLAACQGALLVVDAAQGVQAQTIANFYLAFESNLSIIPVINKIDQPTADPDNVKDQLKRLFDIDPSEALLTSAKTGKGLEQVLPAVIERIPSPPGKCDAPVRMLLLDSYYDEYKGVICHVAIVDGALRKGDKIASAATGRAYEVLDVGIMHPELTPTGVLYTGQVGYVISGMRSTKEARIGDTLHQAKSTVEPLPGFKPAKHMVFSGLYPADGSDFEALSHAIEKLTCNDASVSVTKETSNALGMGFRCGFLGLLHMDVFHQRLEQEYGAQVISTIPTVPYIFEYGDGSKVQVENPAALASNPGKRVAACWEPTVIATIIIPSDQRALLKYRLPLKEIIVDFYNELKGITSGYATFDYEDSEYQQSDLVKLDILLNGQPVDAMATIVHNQKAQRVGKELVEKLKKFIERQMFEITIQAAIGSKVIARETLSAMRKNVLAKCYGGDITRKKKLLEKQKEGKKRMKRVGSVDIPQEAFHELLKFIGNVHGDEPVGREVLMHLANWLCDNYLKDSLATLIVENIHLHILPTMNPDGFALRWRGNANNIDLNRDFPDQGALVANYPWDGTRDTSKHYYGCPDDKTFRHMASVYSWSHYNMSLSKEFEGGITNGAFWYPIYGGMQDWNYIHGGCFELTLEISDTKWPKADELPVIWEHNRMSMLNLLASLIKSGVHGRIFAADTGRPVPGSVMIKGIDSKVSASSTFGDYHRIVAPGETYEVVASMEGFRQKSTRIMLEQEAVSLDFILDPDGTDGQMKLPRNDQGCHCDNAKLFHVHEAHLWLYLLIISVLLTLYLVFKRKTASRLASRLLTYRYSSLRRPVAV >Sspon.01G0003790-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:10659362:10659844:1 gene:Sspon.01G0003790-1A transcript:Sspon.01G0003790-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLHQGCSWGSVWIRRRESASAHQSAPPSCRTSPRIPRRPPERPPPLRSPAGQPATTSPWPQTPRSKKVVKEEDHSTHWVCECARLVFTHWSSPPAPPPRLLPQTSPLFLLLPFLDSRILWAGPWEERQWEGVAARLQWSFWRMACYYNGCGSSQALLSA >Sspon.05G0032510-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:18478430:18478973:1 gene:Sspon.05G0032510-1C transcript:Sspon.05G0032510-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVHDSLEVLDGRCDDDGHPRRTGNALNSRAPPLNLLASPTRLSQPSTARDGVCVRRTAWTCAAHIITAVIGSGVLSLAWSVAQLGWVVGPACMFCFALVTYVSAALLADCYRRGDPEKGPRNRSYLDAVRVYLGILYRYRYTILYVYLSPHYLLLASTRTKHSSSYKRTTREKLLN >Sspon.04G0013110-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:46056078:46057641:1 gene:Sspon.04G0013110-1A transcript:Sspon.04G0013110-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIPPQQPPPVPPYGPGTWFPTPPTQSTSCAPWWLAGHQQLGMAGSSTQGASWVPPASASSCPMAKNDDDSGVQAWGPNLYPPGGFMSFMNSTASPAGQMSNENKSQAINIADDDNDGNCGRTEKRLLWTKKEDLRLVSALLKSSNDPIQSNYKKNDQYWKGVADIYNSTTPKNRVRQVKQIKDHFARIKKRVAWFCEHKKDGPFMFKHCWEVLCKEPKWDAYLERLEDLEPEKRKFCEEEDVGKCFSLDDDADERPIGGKQAKEQRKKKKKGQPCIIDLEDELNNFLDAQKTANEGRKEMLETQRHVSSENLEARRLAYLAAKEHKESVMLETYRALLMKETTGMPEDVKSEHVLALKCLREKLFNKND >Sspon.01G0009560-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:27149758:27154486:-1 gene:Sspon.01G0009560-1A transcript:Sspon.01G0009560-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTASAPAFFPNRRKRHLDASPLPRHFHGPQSHTRLSQRLRRWFLPLPPEARSFAFDMGNYISSVKPSDDGRGLFSRWVRKRQDLTVAMDEEAGTGLPFVDRRRVTDPRKVTLEAEAEAVKPPQQWKKREPYYKKAYEATTQHNRRLEEISIDVKFQEEKLSEIRKSDKAVKEDLSELFKPLTDEEESELHDCFYSRGPSSKVLVLHEPSNIEISKEKFQCLRPRCWLNDEVINLYLELLKEREKREPKSYCICRMFTSLFHTKIRMCLRIFSLKKFFSFFLHELLACGKNGYDYKSVKRWTSHKKLGYELVECDKIFVPVHKDVHWCLAIINMKENTFQYLDSLGGMDHNVPRVLARYISEEVKDKSNRVINTSLWHEELVDDIPLQQNGWDCGMFMLKYIDFHSRGLPLSFSQEHMEYFRKRTTKEILRLRAD >Sspon.01G0023450-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:82920430:82926238:-1 gene:Sspon.01G0023450-2C transcript:Sspon.01G0023450-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGSGASRIQKPCDACKRYLDHLDGKNQNVRSFLSPMTASSKHSMVVPKRFLKHFAGKLSGTIKLESPNRGSYDVEVTEHCNKVVFRHGWGQFVESHHIKENDYLLFRHVEGSCFEVLIFDTDGCEKVFSCAGIRSVDYVDISSSSHHETAESSASERFVRYQKGSSCHHGKFAKKVAAFSSSEESGEDIPSENKSSESDDLQTPLRQHYVLSRRNYLSEAQEERVIALIQEIQPESTAFVAVMRKSHVQPPCPYLVISKGYALAHFPHKSTNVTLQRPGKSKKWHPKFYKRKDAQLYMLKGQWMDFVRDNHVQEGDICLFLPTMAGRRSTFTVYLIQATSTCSRSGSGKRGSLSRRKETAKKAATSSLYEESGEDSLSGYESIQSDHVKAFSERNYVLSACCHLTAEQEEKIVALVKKVQPEIPFLVIQMKKSNVNHLRSDLVICKGYAAQHFPQESQSITLECPEGKKWRSRLHVRPDGRGYLLTTRWQNFVRDNHLQEDDICLFQPMPSEKGFRVMVHLLRERSTRSSSSDGHVHGLHSHIKRGVTSTAHVHEKSGSENSGSLDLHKCRAVQQVHQVLSDCGWPSSSKPPLYVVLGGTCLTPAQDKVVQEKAMTIKAEVSIFVATMNKNIVGYNSEAFIILDFSDAAEYLPDGKQALTLRQQGQGRAWRTNLHNRLMLATGEWHEFVHDSGLEDGDICLFEPMKNERLAMLVHIIRSKLYS >Sspon.07G0002350-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:5771149:5772252:-1 gene:Sspon.07G0002350-1A transcript:Sspon.07G0002350-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRAAQTGGGGAGAGAGAGGAARLKASPRALFSCGIFSTCTHPALSPTATPNNNVVPGSGGGGCGGIKGGGSGGTPCAEASSASPVAVEAAAAAATPRPPQRQHQRAQQRNVGPSSSSSSSSSSASQSFTQWRLPVHHPPHASAAASGAGASGDALLSAEEKFATGEVVAALRTVEREMEAAARPVPAGVVAGVVAAVREPATARLAAKVLLVVLLEEGNRETAVEAGAASAAVEAVAASGPAGATAERALAALELLCTAPGGAPAVRREALAAPVLARAVEGMASRGRECAIGVLAAIYGGGGGGGGAGGEDGASSSPPPPEVVKAVVAAMQGECSARGRRKGAQLLRALQEGGRLGLAWDGVGDQ >Sspon.02G0050680-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2C:65641936:65642187:1 gene:Sspon.02G0050680-1C transcript:Sspon.02G0050680-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding EVAAGGGAEAATGWVAEAAAGGLAEVAPGPARSVLARLPACRSWPPPPSQNPKHAGREVAQVMWHGDGVPWPLDLPSQDPAKKK >Sspon.01G0046890-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:92985526:93003585:-1 gene:Sspon.01G0046890-2P transcript:Sspon.01G0046890-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Outer envelope protein 64, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G17970) UniProtKB/Swiss-Prot;Acc:Q9LVH5] MASSGAAANLWVLLGLGIAGVFLAARRLRRPARPDHGAFIARLELLPPPQPPPPQAPHPLTGLCFAIADALHVSGYITSFGSLEWAKTRNAEVQTSPVVSALVNGGAICVGKTVIDEMAYSIHGENKYFDTPTNTAAPDRVPGGCSSGSAVAVAGGMVDFALGIDSIGGVRVPGAYCGVLAFRPSHAVVSSSGVIPVAPSLDTIGWFAREPSVLHRVGHLLLRLPYAGIRQPRNFYIADDCFELSKIPARRLTQVVTKSVEKLFGRQVRRVNLENYMSSRISGLSNYSNGHKNGDSKFPLLLALCNAMRSLHKREFKDQHMEWINSVKPAVDARIASDLSEDGDLDIDGCQDVRKEARSALSELLKDDGILVIPTALGCPPKLNAKELSSESYNSQTLCLMSLASMSGCCQVSIPLGTHDKCPISVSLIARHGGDRFLLDTIQTMYTTIQEQVEILAKSSVSSKQAMNEEAAEAAKEKGNAAFKEKQWQKAVNFYTEAIKLNGKVATYYSNRAAAFLELTSYRQAEADCTSAIDLDPKSVKAYLRRGTAREMLGYYKDAIDDFNHALVLEPMNKTAGVAINRMMDSGDSNCFGVPPKLNAKELSSESYNSQTLCLMLQACNHLSPLAF >Sspon.03G0029210-3P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:12950647:12951558:-1 gene:Sspon.03G0029210-3P transcript:Sspon.03G0029210-3P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVTKEDVEAAITSALSPSNLVVTDTSGGCGASYEIEVVSEKFEGKRLLERHRMVNTALAPHMAEIHAVSIKKALTPAQAQPQPEPPPISPRLK >Sspon.07G0035370-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:6473625:6474002:1 gene:Sspon.07G0035370-1D transcript:Sspon.07G0035370-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEEEHDDALPDDAEPGHLLPQRPAESAASSSPALPLLATSTPRLVHRPGPGRPDGRRRVNRGTEGEEPSTKAPADDALALDLHLGRCCGVLNPARLVGLYRGWEAHVIARCLPWAFHATMPMSP >Sspon.01G0011390-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:38590663:38595215:1 gene:Sspon.01G0011390-2P transcript:Sspon.01G0011390-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:COX6B [Source:Projected from Arabidopsis thaliana (AT1G22450) UniProtKB/TrEMBL;Acc:A0A178WPQ4] MATEAKTPSLAEEYSLPPQEVAVEKAAEEKPSSGTETEAAPSTNDEAPPSVEDKNETSEVQDTAEKSEAEETNTAAEETPAAEEASETAEEEEAEKPEIKIETAPADFRFPTTNQTRHCFTRYVEYHRCVAAKGEDAPECDKFAKYYRSLCPGEWVDRWNEQRENGTFPGPL >Sspon.02G0025950-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:90182737:90184082:1 gene:Sspon.02G0025950-1A transcript:Sspon.02G0025950-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SWFQEQGRCLAISCVPQQASLLVAGVVIIFVLICRFWECDCNNPSIRILSTEGEPCGRERRDPRREAVHGHQPGHPCRLQPRDHRHRFHTLLGAVPKLPDPVPHAAAGGWAHLRRRQLQRVACSSQDCAAVHERLGVLSGCIEETDTCLYSLRYGSGPLAQYSVGRLGRDRLRLALTDDGGGVDGFVFGCSDDVRFNGREAGIVGFGNEAFSFLNQVLAAQRQQQRNGGGGYSYNAFSYCFPGSHDAEGFLSIGPYARDNDDDAKLEFTNLIFGYAGDDRRRFVYSLQQLDMMVDGQRLDVDPSVYTMQMMIVDSGTPVTFLLAPVFDALDKAVTAAMAAKGYARARHQLQDEENTLCFWVPGETVDWSDLPTFTAGTGLKLPPQNAFDQRFVDSDGRLFCLPFQPRAAGVNGVQILGNKATRTFRVVFDFQARMFGFQPDAC >Sspon.08G0006950-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8A:21614380:21616791:1 gene:Sspon.08G0006950-1A transcript:Sspon.08G0006950-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMGAVSKLVFLLTLIHLILQISALNVLMSGSSLSVERSSDVLLSPDGTFMCGFYSISPNASTFSIWFTNASERTIVWTANPVHPVYTWGSKVKLSFDGSMVLRDYGGQIVWSNNVSSSNAEQAQLLDTGNLIVKGKGDTILWQSFTSPTDTLLPTQIITAPTKLVSTNRLLVPGHYSFHFDDQYLLSLFDDEKNISFIYWPNPSRTIWEKRRVPFNSSTSGALDTWGHFLGSDNATFTAADWGPGIIRRLTLDYDGNLRLYSLNTEDRTWSVTWMAFPQLCKVRGLCGENGICVYTPVPACVCAPGFEVINPSERSKGCRPKANISCDVQMVKFAKLPHTDFFGYDMTVHHFVSLDFCKNKCLHDCNCKGFAYWEGKGDCYPKFALVGGVTLHDIGTTGTMYIKVPKGVEVLEASIPQSQPFGPKYGPDCSTTDKYFIADFLDMLKRQQSESKFLYFYGFLSAIFLAEIMFVVFGWFILRRERMVLGGVWPAEPGYEMVTNHFRRYTYRELVSATRKFKDELGRGASGIVYKGVLEDNRAVAVKKLAEINRSEEEFQHELAVISRIYHMNLVRVWGFCSDGPHRILVSEYFENGSLDKFLSDRKSSEILLGWKQRFDIALGVARGLAYLHHECSEWVIHCDVKPKNILLDENLMPKITDFGLAKLLNRGGSNINVSKIQGTRGYLAPEWVSSLPITAKVDVYSFGVVLLELLKGARVSDMENNEDEEVEMVLGRIVRMLNENLQLDDTEQSWIPDFIDARLNGDFNYLQARIMMMLVVSCLEEDRSRRPTMEDVAQMLVSVDE >Sspon.01G0001520-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:4493959:4496971:-1 gene:Sspon.01G0001520-1A transcript:Sspon.01G0001520-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSPLGGWPSYNPHNFSQLVPADPSAQPSNVTPATYVATHRNDPPPNQVITTEARNILLRHFYQKSEEKLRPKRAAPDNLARENNNKQPRGPVGDVGGQSSARS >Sspon.04G0030180-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:75970353:75971840:1 gene:Sspon.04G0030180-1B transcript:Sspon.04G0030180-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMQFEKDGVMSGHMISYLTSMAAQAKILQLGQKLGLPDKSVHDPLDRSTSSQGVHHLVQQDHRHDQQQPTSSGRQK >Sspon.07G0017160-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:65994181:65995245:1 gene:Sspon.07G0017160-2B transcript:Sspon.07G0017160-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPSGVPRPRPLRNNGGAPPMILYSPPHHMVPSDTARSGDLRLPPPYAAALPYPPYYGGPAGFGNPAPMPAVHAVQVQLQSVTAANFAAELDIIGSLLPSYPYVVIDTEYPGTVHRPPAGRRDGDLSPDERYALVKANVDELPIVQLGITLCDAHGNLPCVPDYRYGYYHGYAERAWEVDFSDFDVSRDRHAAQSVAFLRSQGVDFDAARAHGVSSAAFGAKLAEILSAPRGDVELTWVAFGGAYDLAYLVKMLDGGQPLPETRQGFMERVKDLLGGRVFDAKFMAENCGRADLRGVGLRSVAANLGAAMPAADLPWLAGTKSVTAYRIHTILRLHVLSQDTAAGFEGVIDGLQ >Sspon.06G0006150-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6A:20989547:20993938:1 gene:Sspon.06G0006150-1A transcript:Sspon.06G0006150-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA repair protein RAD4 [Source:Projected from Arabidopsis thaliana (AT5G16630) UniProtKB/Swiss-Prot;Acc:Q8W489] MDWQEGHVEHIEYSDELRETITVEFNDVPSSTTKKGVRRPTAEEKELAELVHKVHLLCLIARGRVVDKACNDTLIQASVLSLVPYHLLWSLADVPNLKAVNLRSLVSWFHRTFCVTAQSTNRGSFESNLAFTIQDHVGTAEEVCALSVALFRALNLTARFVTNLDVAGLKPDTKVKGTFSQDASRLCTRALPCSSTFAADNVISSPALLKDNSQGSVSMNQQRGDLGKSKQTSACKRSLSKTLSSIKSDHESSCASTSKDNSASDQFPSSNNAEVPKRKGDVEFELQLEMALSATAAETQNNKLATHMSQSTVSFQDSSPPLKKLRQNAEALSSTSAIWSRSAGAPLYWAEVYCGGQASTGRWVHVDVVNDLIDAERKVEASSAVCKKPLRYVVAFAGNGAKDVTRRYCLQWHRIAQGRVNSEWWDNVLAPLKQMELAATNNYEDMELQTRALTEPLPTSQQAYKDHHRYALERWLHKNQILHPKGPVLGFCKGHPVYPRSCVQTLQSRHGWLREGLQVRENELAAKVVTRPKRTFNAQSVQSSGNEDGLKPTLELYGEWQLEPLQLPHAVNGVVPKNERGQVDVWSEKCLPPGTVHLRLPRLFQVAKRLGIDYAPAMVGFDYRSGRCLPVFDGIVVCTEFKSAILEAYAEEEERRQAEERKQEEAQALSRWYQLLCSVVTRQVEGLLQNTFTRAW >Sspon.08G0015870-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:57870540:57874011:1 gene:Sspon.08G0015870-2B transcript:Sspon.08G0015870-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDASHTGSSSAAGEAPTTGEHRMGTTIVGVCYEGGVVLGADSRTSTGMYVANRASDKITQLTDNVIQLGQPATVKVAANLIRLLAYQNKNMLQAGMIVGGWDKYEGGQIFSVPLGGTILKQPFAIGGSGSSYLYALLDHEWKEGMSQEEAEKFVVKVVSLAMARDGASGGVVRTVTINADGVKRNFYPGDKLPLWHDELEPHNSLLDILAAGNPDPMVQ >Sspon.01G0019350-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:73446864:73449872:1 gene:Sspon.01G0019350-2C transcript:Sspon.01G0019350-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAP4 [Source:Projected from Arabidopsis thaliana (AT1G03900) UniProtKB/TrEMBL;Acc:A0A178WMR3] MASSLAEDEEAFEHTLLVVREVSVYKIPPRTTSGGYKCGEWLQSDKIWSGRLRVVSCGDRCEIRLEDPATGELFAACFVLPGQRESAVETVLDSSRYFVLRIEDGRGKHAFVGLGFNERNEAFDFNVALSDHEKYVKREQEKETAGAGGEETGGGEIDIHPAVNRRLKEGETIRITVKNKPSTGSGMLSAAGLSGGTTAKPKTSMLLAPPPGAAGKLRSPLPPPPNDPAAARMNSGHTAEIRAPKEPTKRNNDPFSDLSAIKQNLPSSAEPGQTKSTGAGWAAF >Sspon.08G0005950-3C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8C:18548184:18551316:1 gene:Sspon.08G0005950-3C transcript:Sspon.08G0005950-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTAERKWEWQLIWDEVGESDEDRDKMLLQLEQECLDVYRRKVDQASSSRSRLLQQLDNSKSELTRLLSALGESSISGIPDKTSGTIKEQLAAISPSLEILCRKRESRVKEFADVQLQIQIIRDEISGNLHVGEHLETPHVNEDDLSVRKLNEYLSELQALQKEKSTRLRKILESVSSVHDLCSVLGMDFVGTVTKIHPSLDDSVGVQSKSISDETLSKLSKMVIVLQEEKSKRFAKIQALASQLSDLWNLMDAPVEERQPFHHVTCNMSSTLDDVTIPGALALDVLQQAELEVERLDELKASRMKDIAFKKQTELEDIYARAHVAIDSSAARDRIMSIIESSSFEPSELLADMENQILKANEESLSRKDILERVDRWMSACEEESWLEDYSRDDNRYSATRGAHLNLKRAEKARVLVNKIPAIVDTLVAKTRAWEQENGTAFTYDGVPLLAMLDEYKILRQEKEDEKRRMRDQKKMNDQLAAEQEKLFGSKPSPARPLSSSRKVAGARTSGVGCAANGTPVRRLSALQSGGRTASRDGRRDASRPVAPGELCRHRQGRCGLAGVQQL >Sspon.05G0018730-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:78271393:78273495:-1 gene:Sspon.05G0018730-2C transcript:Sspon.05G0018730-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRIPVAPLKSSSFSAATSREEKLARNLSLGPIKLTEHIKDAQKEKAAAADNAGEAGADAVPEEAAEPDLAALSAEVDAFLAAPRDGDAPPAVSEVTLDRFASAVEQEIAQSEGTDNKWAPNEAGDAPPLLAAIKRLAALASALTSDKQVAATYTIGVHRVTGVLHRTMTFVEDEFHGMLEDPRVAKVAPLAADTGSATGRSMKRPPSFGHGAELDRCVVPTAFGDASPPFPPETVGRLRAMAEAMFAAGYETECTQVFLVARRNALDASLQSLGYEKASIDDVVKMPWEALESEIATWIKAFRHTVEVDLPGEHDLCARVFAAGGQQRCLGRDIFADLAHCAMLHLLNFTEAVVLTKRAAEKLFKVLDMYEAVSDAVPLVDAFLVPPADGDGEGEAARAPAAAADEDGGGSGSASTALVDLKHELTSVRTRLGESAAAIFCDLESSIRADAGKQPVPGGAVHPLTRYLMNYLKYACEYKKTLEQVFQEYRRPDDDDDAEHGGGDPFAAQLMEVMELLHSNLEAKSRLYKDPSLSSIFLMNNGRYMLQKIRGSPEINAVVGEAWSRKRSTDLRQYHKNYQRETWSRVLNLLRDDGVITVKGHVQKQVLKDRFKHFNAAMDEIQRTQGSWVVSDEQLQSELRVSIAAVIVPAYRSFLGRFSQHFTAGRQTEKYVKLSGEDLEAIIEELFDGNAVSMPRRRT >Sspon.07G0023750-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:17783046:17785162:-1 gene:Sspon.07G0023750-2C transcript:Sspon.07G0023750-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATDAAVADEDGSLKKTKQGGFKTMPFILGDCPCFHRSFLSSDRNSSTFPSLFLSIYILLRTTDANSGHACPSCSCTSANEICDRFATAGFNANMITYLTQQLHLPLVEASNLLTNFNGTAAFTPVLGAIIADSCAGRFWTIAGGGALYQLGMLGLVVSALVPALRPASCVAGAGAAATATASSLCQRASGGQLAMLYVSLLLTALGGGGIRPCVVAFGADQFGFSLRGSRPGGEQKWSYFNLYFFSMGLAVLLALTVVVYIQENVGWGWGFGIPAIAMFVSVLSFVVGFLDRASVLTTGDVSDSGEPDLWRVSTVHRVEELKSIVRMLPLWAASITLVAAASHNFTFAIQQARTMDRHLTPRFQIPPATMIIFTTLTMLVSLALYDRVFVPVARRYTGRRSGITYFQRMGAGFAVSVLGVLAGALVETRRRRVAAEHGLLDSPGAVVPISVFWLVPQYALHGMSDALSTVGHMEFLYDQSPESMRSSAAALFWVAGSIGNYLGTVLVTVVQSATRGVWLQDNINRGRLDYYYWLVTFLLVLNLFYYIVCFHFYTLKTFEVDAGRINGGGEEQVGERQAEAVEPCHVQ >Sspon.02G0018810-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:60362190:60365917:-1 gene:Sspon.02G0018810-1A transcript:Sspon.02G0018810-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histidine phosphotransfer protein, Cytokinin signaling and stress respons [Source: Projected from Oryza sativa (Os09g0567400)] MVHATAAVHVYRHIEPRAPKRKTPEKKEKGKRGEGNIGKEEREIREGKKHRQSTRQQKGKARRNPSNSPVTIAVVARGLDRIQLTYPTPPPRFLPQLCLLPTPPPPHSGPGSGSSSKQAAMAAAALREQLNALLSSMFASGLVDDQFQQLQMLQEDGGTPGFVAEVVTLFCDDADRIISELAALLYALFVPDYPVLEQPIVDFDKVDAYVHQLKGSSARCIMALAVVRNEFYDLRNKFQTMLQLEQQIQAQQ >Sspon.01G0040300-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:93587798:93589256:1 gene:Sspon.01G0040300-1P transcript:Sspon.01G0040300-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRILNDALRTMVNADRRGNATALLQPISGVMVSFLNIMKHRGYIKNFEVIDPHRVGKINVELHGRIKDCKALTYRQDIRAKEIEQYRVRMLPTRQWGYVVITTPNGVLDHEEAIRQNVGGQ >Sspon.03G0040420-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3C:29008033:29008380:-1 gene:Sspon.03G0040420-1C transcript:Sspon.03G0040420-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVEKERARVSPLVSARSFHHPTPCVEIFLSPTRERTIFLSPTRERTVLPPSHALGGDLSLPMGWSGVDRTVIHGDTRTAKCTFSTNMGAVLVPWEAGFGGYSTSIHGLPNEP >Sspon.03G0024220-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:97334222:97338554:1 gene:Sspon.03G0024220-2B transcript:Sspon.03G0024220-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLYDCMLLVKPTVTREVIADLVTRVARRACQRNGVVTDVKSFGKVNLGYGIKKLDGRHYQGQLMQMTMMVPPSFPQELHYLNKEDRLLRWLVVKHRDAVYGLEFINEDDGRNELSGYSQAHKKDDYDIEEMYLIAWPGSTIRSAGEPRA >Sspon.04G0020610-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4A:72516291:72518122:-1 gene:Sspon.04G0020610-1A transcript:Sspon.04G0020610-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding METRRHARGSAPASLVGGRAVAPQRGGWETAATRHAVLQQRLGGVELAAPAEKVMADPVEKAVAARKNHEVVVSLVLIMALPVPLLVPRHGLLRLQAPAGLLLLRLHASGWLRETAAGCDDGRKPAQLWWLRASDGLR >Sspon.08G0017880-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:3235736:3245281:1 gene:Sspon.08G0017880-1B transcript:Sspon.08G0017880-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEMLPPTPTAAAEVLSILRGADPVHLLPASGIAPTPELLQHLRPALPTLPDSAIPALARWAGAATAVSLLASSRLFAAAWRFLLLPPAASSLPPPLAAFAPLLRRYARLGRTDSAARAFRFLQRHPDCYAVESDDGAPSAEAAVSPLILAVDALCKEGHPRAAARLVAQVRREDDGWTPDVRVYNVLLNGWSRARRLDKVEKLWAAMRDEGVRPTVVTYGTFIDAYCVMHRPDQAMALLDQMREEGIQANLLTCNPIVYALAQAGRFGDAHKVLEKFPLYGVSPNISTFNSLVFGYCKHGDLAGASGVLKAMLGRGISPTARTYNYFFMVFARNRSIELGMNLYAKMVSNGYAPDRLTYHLLVKMLCEANRLELTLQMLQEMRNSGFEPDLATSTMLIHLLCRRHHFEEAFAEFEQMFERGIVPQYITYRMLMKELKRLGLVKLVQKLADLMRSVPHSTKLPGSYRDKEGDDAIEKKKSILLKAQAVSDVLKDCKDPKKLHELKDPEETDVQIADRIVANIRRRTDRGSTLDELVEYLKSLEMQDLTAGHPLPAGHPLAAGHQPPAGHPLAAGHHPLPPGAARPSLPHVPGTTRPPLAAERHLQQCPLPSSPAAAAAAQGTAAAHALATSSDLGTVGDGTEDVAPAGVVQPEAVQHLLRPTADPGTPLVPVPLEKKKSNLHY >Sspon.04G0028690-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:67366135:67367996:1 gene:Sspon.04G0028690-1B transcript:Sspon.04G0028690-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding HMALASDDVLRTLREMRARSAMGGFEFMAPPTPDYYDGVRRRAGDVLTEAQIKECQELGVLVDRDDQGVLLQIFTKPVGERSFKGSGAWRRMRRGKNTRKLSKLQQQLRDPRTELEDERLLWHFVSWNKNNQIIRR >Sspon.02G0014130-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:40150487:40151674:1 gene:Sspon.02G0014130-3C transcript:Sspon.02G0014130-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPRPSQRSIFHLGEEGVDDHRSADEHVKNTDATRILAGRRDHGRQRRKQDSAVDAVGVGLQILVQNRHHTRAPSPSPSHIVLKQVVVLPMVARHRRGRPAGSSFLSACSRCRRELSSKDVYMYRGDQGFCSEECRCQQILADEATEREAMIKKERMRRGLPHHLHHGPRSATGAIGGASRRLVAIAY >Sspon.04G0009580-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:28518741:28522972:1 gene:Sspon.04G0009580-4D transcript:Sspon.04G0009580-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SSNLPRLVEIGIGAQLIPAPGQLCVIDAFVAVLLRSLEMLDKMVEDKNSSQDTVQKSGHIVLQNVSYDKDVVEIKLADDIDSDNYGGNFVKDVCVDEGALLHRMTSEEKPLDRRSSPNFSCQMIDADSDIRYGKKDYSTISVHKLNPEVVSPVDFAPQCNNEKQHSSGKEYDLEDRIDTGFIAGNSSEKKISLQELLLLESAEESRHSGIINSESSEKHKCPLHEEAVGQTSKDGDPNIQTSLANTSEYVSCGISSKENASGCPATTPGDHVTATALDVREPQKIDRYNPFLDHRSLEETSVPECSITGITDAASTDSTCSIHNVTGGTTGLDEAETSEPGADTLSTSSSAIQSSEKSNDHSESIFSKAIAGAVDETAVATSSTPNSAEPSDAYGKNQEKHDEIDEEHSIGTDDGASKSSTSTLAQDGSAVEQTVPGSSKSTARVGNENTYEPNFCGPSIMSGPVSMSGHIAYSGNISLRSDSSTTSTRSFAFPVLQRECISSPVRMAKAERRRSSRRHRVWRKGIICCKF >Sspon.04G0027060-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:55524426:55525202:-1 gene:Sspon.04G0027060-1B transcript:Sspon.04G0027060-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNPHHQRPLPGARGNDSSLVCYGIVVAAASLLLLAILAATVSIVKACALAGAVAVVFIAAGCVSRRCAADGAAPALPTTATTMPAARARAAACGLVDAAIDALPAFANHS >Sspon.02G0027450-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:106832520:106845354:-1 gene:Sspon.02G0027450-3D transcript:Sspon.02G0027450-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNYIIGAFKPPCDISITFSDARTRKQVSVKKDNGKTTMVPVFQSLETISGEVSIAPVPGKRIEHTGVKIELLGQIELYFDRGNFYDFTSLVRELDIPGEIYERKTFPFEFSTVEMPYESYNGTNVRLRYILKVTIGRNYVGNIVEYRDFCVRNYSPVPTINNSIKMEVGIEDCLHIEFEYSKSKYHLNDVIIGKIYFLLVRIKIKNMELEIRRRESTGSGSNTYVETETLAKFELMDGAPVRGESIPVRLFLTPYELTPTYRNINNKFSVKYYLNLVLVDEEDRRYFKQQEITMYRLLESPPAS >Sspon.01G0023990-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:86101726:86104964:1 gene:Sspon.01G0023990-1P transcript:Sspon.01G0023990-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPNMETYTTDDALSMMGFGKFQVLVLFYAGMGWVAEAMELMLLSFLGPFIREEWNVSPENESLLSSVVFAGMLLGACAWGFVSDKYGRRTGLIFSTLFTSGMGFLSALSPNYLCLVALRFLVGVGVGGSHVFISWFLEFVPAQNRGTWMVIFSLFWTLGTILEASLAWVVLPALNWRWLLIFTALPCFLLLPFFGLTPESPRYLCAQNRMSDATAILERMANANQSALPPGILTYNRQTKFDNDALAPESECLLPVREKECDNAKSPNLAVLLHCAYYYRANCSDQLFFFGLFSMQIPLLITVLLTSQLSDANKSCASGVNVGLHQKVTNLYKDTFITSLAEIPGLFLSAVLVDWFGRKASMWSMMFACCAFLGPLLLQQNELLTTILLFGARACAMGSFTVLCLYAPEVYPTVVRSTGAGIATAVGRIGGVVCPLVAVAMLRSCHQMEALIVFEVILCLAAVACIFFPVETKGRGMD >Sspon.06G0033470-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6D:15600269:15601408:1 gene:Sspon.06G0033470-1D transcript:Sspon.06G0033470-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LRARQKELEEERLQLEKAHARLEHEIERCGDSGRKHATARDVNRRICKDDEGPPLFAQGNEPWPMARRLSARLSSWWRDTDYFIIRNLPLFLADSARTWMEHLPSNRIQNWSDLKEIFVGNFQGTYTCLGNPWDLKNCRHKSGETLREYIRRFFRHCNELPDVADTDVIGAFLSRTTCESPVHKLGRKGPRTTKELLDIATNHASGEEAVGAIFDCPRGKAKRDEDAGEGSSNRSNKKKNKQRHGGSL >Sspon.08G0011900-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:50394148:50407079:-1 gene:Sspon.08G0011900-4D transcript:Sspon.08G0011900-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSDRSRESLLPSFLYSPAAARSFAGASRFPASPAAAPSLAGGAPLPFTIQAPNEKIEMYSPAFYAACTAGGIASCGLTHMAVTPLDLVKCNMQIDPAKYKSITSGFGILAKEQGVRGFFRGWVPTLLGYSAQGACKFGFYEFFKKYYSDIAGPEYAQKYKTLIYLAGSASAEVIADVALCPFEAVKVRVQTQPGFARGLSDGLPKFIKSEGALGLYKGIVPLWGRQIPYTMMKFASFETIVELIYKNAVPVPKSECSKPFQLGISFAGGYIAGVFCAIVSHPADNLVSFLNNAKGATVGDAVKKLGLWGLFTRGLPLRIVMIGTLTGAQWGIYDAFKVMVGLLFDPKAPVARVDDQPAAMDGRDDFFGDFMVLRPDKGGVRSLLHLLCSCKVADNDAVDCPVGTEVAERQRRWAIFVSLVVQMLLLSVKTPLAAFGRAVEYWMNLLTDNGSGVIGLVRNAVQGKVRTPDRTSPNYWSFIGLVDTRIELDKKIKPRDSSYNAALGIMAAKLAYENKLVIKNVVESNWKVQNSAMTFLEFFNCWNEFRGDYTTQAFMLADKPADAELAVVAFRGTQPFDAEQWCTDVDFSWYEIPGVGKVHGGFMKALGLQKNTGWPAQPTEAETKRQYAYYAIREKLRAFLAANPRARFVVTGHSLGGALAVLFPTVLALHGEDALLERLAGVYTYGQPRVGDAQLGRFMEARLDRRYFRFVYCNDVVPRVPYDDATLLFKHFGLCLYVDSLYRPAAMAEEPNKNFFSPLFVVPKYANAAWELLRGFLIGHVCGAVYAEGWVMRAARAVGLVVPGLPPHSPQDYVNATRLGAASLELLL >Sspon.06G0005920-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:19911135:19912608:1 gene:Sspon.06G0005920-1A transcript:Sspon.06G0005920-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSPCCEKIGLKKGPWTPEEDQKLLAFIEEHGHGSWRALPAKAGLQRCGKSCRLRWTNYLRPDIKRGKFSLQEEQTIIQLHALLGNRWSAIATHLPNRTDNEIKNYWNTHLKKRLAKMGIDPFTHKSISGTLTGTTNNKSAKAAASLSHMAQWESARLEAEARLARESKIRTATPTPTALHAQPTNVPASAASPCLDVLHAWQGAKIDLESPTSTLTFTGSNSGILPTPRTNRLEVSESNSVMWHQRSDELEGEENDWQIFRKHQVLELDSKEGEDDFIGCEEPWFSGMAGVGSGFTGMLFDVSNEHDASECW >Sspon.03G0015300-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:72358924:72363111:-1 gene:Sspon.03G0015300-2B transcript:Sspon.03G0015300-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFKKFSSEDISGQNQVKASVQRKIRQSIADEYPSLEPLLDDLLPKKSPMIVVKCQNHLNLVVVNNVPLFFNIRDGPYMPTLRLLHQYPDIMKKFQVDRGAIKFVLSGANIMCPGLTSPGGALDDEVEEETPVAVMAEGKQHALAIGYTKMSAKDIRTINKGIGVDNMHYLNDGLWKMERLE >Sspon.02G0038830-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:49802653:49806283:-1 gene:Sspon.02G0038830-2C transcript:Sspon.02G0038830-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQEVGAEHSADTAEADEDGHQKLTHLIVLAETPSAIHWTDTIRNTTAYRQPRNRAKWHQPFNGFQKKARLSTAQEALHSCSSILEKYGSDDCNIGEWRSWSDGEGRADSGLCKCKPLMTWLLRASGGRPIGNVSRAITVEGESRLG >Sspon.08G0024520-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:64295513:64303198:1 gene:Sspon.08G0024520-1B transcript:Sspon.08G0024520-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRELAFALQSLSEISASPGRTRSGRPISSLPDPSASASLKRRKRSDPSAPAPAPAADLVSPPTPPIDAEPPNQPLRDIIQPLHGSNPPTASDHRSDSNAAQEAIPQSMLEAPQPSHADIATKDPAMEVIAKVNLPAAEDSASETATEDSAMEVIAKPNVPAEVGASKPNVAAEDTAAVATTLLETTIADSASKPNVAAEDTAGVPPTLLETTIAAGNDQCDNTNSNGASLQQQAVTIAAGNTSVITQTQMEQQQAVDNVLLSNTMLAEDAATPAAAAELKPARRFTRSLLKNNKPDQEESAACESQATPDGSKDASFDFALLLEKPQRRFTRSLLKTKAESSLVGSDDALDSTSDSPSSVKKMEMKMSKKVACLTKHPGNIRELLNTGLLEGMPVMYIIPHSKKAVLKGVITGCNIRCFCLSCNGSKAVSAYYFEQHAGSTKKHPADYIYLGNGNSLRDVLRASVRSPLEALEKTIRSSIDPVVKRSRINCLNCNEPVLPSSQTENVLCQVCLESKQPQDPLTTSYTCNGSSSLTPSSKEALLKNISSGKKGGSAGKVTNKDNRLHKLVFNVLLDGTEVAYYVDGQRKVDGYIKDQRIYCNHCNTVVSPSAFEAHAGEGSRRKPYDNIFTSNGVSLHELAMKISKDMELSERETDDLCRECGQGGDIFPCKICPRSFHPACVGLSKVPSEWYCDNCRNLVQKEKALAQNKNAKAAGRQVGVDSIEQIMKRAIRIVPISDDLGGCALCKQKDFNNAVFDERTVILCDQELPEGEWFCCSSCSETRSSLDKIISDGAQLLAEPDLEIIRKKHETRGLCMDTSKDLKWQLLSGKRATEEGRILLSAAVPIFHQSFDPIREALTGRDLIPEMVNGRGPKEGMPGQDYSGMYCALLTVGSAVVSAALMRVMGGDVAELPLVATSQDVQGLGYFQALFSCIERVLVSLKIKHFVLPAAHEAEGIWMKKFGFSRILPEELEACLNGAHLTIFHGTSYLYKAVPMS >Sspon.06G0025060-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:88378909:88379633:-1 gene:Sspon.06G0025060-2C transcript:Sspon.06G0025060-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIPTTVMFRVVVAAFAVTTAAAAGNTTASPSSAANSTSSPTKNTTAPLPPFGTNHAVGDGTGWFFDWKANASAANYSAWAANRTFYLGDYLSFMTDTGNTVVHTTNATVYKLCSAGVAASGGGGGSGWKPEGAFLAVMLTAEGANYFFSDAWNGEHCQKGMRFQVSVVRGRGLPSVPPSYYEPLSGAAPAGTRRGGTVAVWVVAMAAAFA >Sspon.02G0044420-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:105209601:105214082:-1 gene:Sspon.02G0044420-3D transcript:Sspon.02G0044420-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPTRTSCIACNGTADEKEEKQKWELIGYEALPEWLKDNEFIHGYYRCEWPMKETILSIFSIHNETLNVWSHLIGFLLFLCLTIFTAMVIPRNGSSSSSRSGTAYQLGDLVEMAKANMTGSTGNTAATMDAAGDPITRWPLFAYLCGAMVCLLTSSACHLILCHSERTAYVTLRLDYAGIAALIVTSFYPLAYYSFLCDRALQRLYMGSITALGAAAVTASLVPAFQAPELRPLRAALFSCMGASGAVPIAHKLLLYSGTAPGAVASAGYEALMGALYALGVAVYAARVPERWAPGRFDLVGHSHQLFHLFVIAGAYAHYLAGLEYLKWRD >Sspon.07G0033940-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7C:67313286:67313954:-1 gene:Sspon.07G0033940-1C transcript:Sspon.07G0033940-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MACHTVPPLLLFLPFLLAMAATAADIAPGPSPPPPELNLTSILENGGQYTTLLRLLNATRINEQIASQLKNSYDGLTFFAPNDSAFTKLRAGTLNALTDQEQIQLLLYHVLPRYYSLTTFQTASNPLHTEASGPGGMYSVNVSTSTTSPLVNVSTGVVDVPISSTLFAHFPFAVYSIDDVLQPPQMFGSSHKASAPAPGPAAAAAGRQKGVPKSDVAAEPSEA >Sspon.08G0020550-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:23271748:23273281:1 gene:Sspon.08G0020550-1B transcript:Sspon.08G0020550-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAKSSATLLLLVFTQSSPSFTSVLVSSIRNPRFFTPATVRPRWIVTPTNASHVQAAVVCGCRHGVRLRVRSGGHDYEGLSYRSQRPEVFAVVDLSSLRAVRIDKWSSTAWVDSGATLGELYYAVGQASDRLAFPAGLGGGFGTLLRKYGLASDNVLDAMLVDAKGRLLDRTAMGSDVFWALRGGGGESFGIVLSWQVRLVPVPPTVTVFRIPVAAGEGAVDVVTRWQEVAPALPDDLFIRALLQNQSATFESLYLGTCDALVPVMRRCFPELGMNRTHCQEMTWIETVPYFFLGAGATVEDILNRTTSLSTSTKMTSDYVRRAIPRDAWASIFVKLAQPNAGLMILDPYGARISAVPESATPFPHRAGVLYNIQYVSFWLANGDGSAHTKWVRDLYAFMEPHVSSSPREAYFNYRDLDLGENVVVGNVSSYEAGKVWGEKYFMDNYKRLAMVKGEIDPDDYFRNEQSVPPLVPAN >Sspon.07G0027030-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:58585549:58589881:1 gene:Sspon.07G0027030-1B transcript:Sspon.07G0027030-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPDDGPAPAGAGGRKFWRSSRRVPPPPPLTPRSMSSKARSCLPPLQPLAITRRSLDEWPNAGSDDVGEWPNPTTPGASRPSSAKPGEGLRLDLSSLRSQGRKDQIAFFDKECSKVAEHVYLGGDAVAKNRDILRKNGITHVLNCVGFVCPEYFKSDLIYRTLWLQDSPTEDITSILYDVFDYFEDVREQGGRVFVHCCQGVSRSTSLVIAYLMWREGQSFDDAFQFVKAARGIANPNMGFACQLLQCQKRVHAIPLSPNSVLRMYRMAPHSQYAPLHLVPKMLNDPSPAALDSRGAFIVHVLSSIYVWVGMKCDPVMEKDAKAAAFQVVRYEKVQGHIKVVREGLEPQEFWDAFSSTPPNSDSNTKISKDQIDSASKTNPGSRRIESYDADFELVYKAITGGVVPAFSTSGAGDETHLPARESSWSLLRHKFISRPLARVYSDSALIKDFDPRVDRVQHLAAEASTSPPFLSPSSLSSDSSVSSKYSSDSPSLSPTTSSPPSLGLSPASSNLPHALVPSSRSPLSQSSNEGASKPSGMESIRSPSKTSSIAERRGGFTLLKLPSLQKGLVLPPRVPSSIRRTEEASDKSSTNGVKQLTGGFCSEKCTGNSSSSHSETRLTERTDSNSEACSNAQLLVYQWPSMEKLTTFARKDLDPKSVLIFVTSNAIRREAVKMVYVWVGGENESSKSVDAVTGDFLHRKGLSDALPVKVFKEHETENLLELLNVS >Sspon.08G0017650-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8B:2463451:2466108:1 gene:Sspon.08G0017650-1B transcript:Sspon.08G0017650-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNLQHKPCRKAGLVAGRTSAAALFHTDSEWTAQISLAAGPPRGHRAAIGPPATTSMCSSGHHISACTVLGRRPRHRALRAQTAGVEMEEREGALRARIAGVEMEGGEGRGGTGEERAMHAANPWQAGGRTEHCTARSAMWVAVEKEMAIAAIYVGTGVVWQPDTARVARSREHTRGSRGTAGARSCCSVGVRTRGSSGAAGASGERCGGARDGGAMSKRQGKCGSSESEWVCGVRTDGRPRPIISDAGKAKIEENASELRGYGSWLPLIEVGVALEELLRRTPHPLVEVAAEDAFRLSLTEVAATCRMALEASL >Sspon.02G0035700-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:21156570:21159340:-1 gene:Sspon.02G0035700-1B transcript:Sspon.02G0035700-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDSDLDSAALWAAIDSAAAKASSRVRCAASDDDHRGEVLQPARPFKSPRLASASHVTPPPPRRCPCPRPCLGFMPAARNRLQGVESPPPPELWRLPNPMGSPIAAAYDGGLLPSLSVANFRKYQDVALSILEKSDYTSISGNPYIKKSGWRKISCFFNISFEIKDHSIEFDENRNVNRAEFLVADSQMVGAPVIDERKNSTNLTMTFPAQLKPELRTRLARIFLELGVQDENGGHWTPKWSPRGVILFFSDRNSKR >Sspon.04G0006000-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:13103111:13104622:1 gene:Sspon.04G0006000-2B transcript:Sspon.04G0006000-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSRQAQHPAPAAAGVSSIPLPSPPPRALVHLTCRLLPPPRHPPPRPAASLLFSEPYLKAGTAASETKRSSSCLPPIAPAQTHAHLQAAAAAAGIFWPKVRKAGAPAPSQISLRSAPAPASAPRRQSPASTHPPIYRAGRGNAPRRLRRHETRRDGVGKGMRCKKHPYQAGGGVCATCLRDRLLALEAAQNGDDESPPPPAPACAQAPPVPLEPLAFPRSVSPYVSRRKSDTSGALRHHPSLLFFRTPQVGPAYGGGGVLEEGDIAYEYEKRRAHKFSVLATLFGHHHHHHRSAEEKHQQEAGAKERKKRSWFAGIIPRRRKKQAAAAAPASVTSPQSAPPRRSSCRARAVSNRGLSPERDSHGGSGDESSSSPAADPPWRPSPSPMRRTPCRRRQTNSMPSGFAVCLSPLVRPSPGRRHRPGVQPPDPGSFSCELRPSPLHKLSSAASVTRCRSRKLADGGRFR >Sspon.03G0009900-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:41745097:41749745:1 gene:Sspon.03G0009900-3C transcript:Sspon.03G0009900-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SQEFNIEKLQLVEAEKKKIRQEYERKEKQVEVRKNSEYSMQLNASRIKVLQAQDDLVNKMKDDAMKELLRVSHNHHEYKNLLKDLIIQGLLRLKEPAILLRCRKEDHHHVESVLHSAKHEYASKADVHEPEIIVDHDVYLPPAPSHHDAHGQFSSGGVVLASRDGKIVFESTLDARLEVVFRKKLPQIRKLLFGQTAA >Sspon.03G0011860-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:39468442:39470249:-1 gene:Sspon.03G0011860-2B transcript:Sspon.03G0011860-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTAAGYGCCSAGLRPSALWPGILSTRREPPTPPRVSLAAASSKLRAPAPRLAVSYRPWRLVVFASSSGEANSDAVPSPTEATIDIKLPRRSLLVQFTCNACGERTKRLINRVAYERGTVFLQCAGCQVYHKFVDNLGLVVEYDLREENEVQGENVVNTNSED >Sspon.05G0032840-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5C:23066148:23066621:-1 gene:Sspon.05G0032840-1C transcript:Sspon.05G0032840-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTCDRESVIDRCHGDDDKDKNEVDGQEGGAKWQVKAKQEYSEKKSREAVVKHGIKPICNTLKF >Sspon.05G0011040-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:23083515:23086456:-1 gene:Sspon.05G0011040-2C transcript:Sspon.05G0011040-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARHLHHHHGQELVAHLRASSPLADLLRSAPNLSGARATHARVLKSPLAGETFLLNTLVSTYARLGRLRDARRVFDEIPVRNTFSYNALLSAYARLGRPDEARALFEAIPDPDQCSYNAVVAALARHGRGHAGDALRLLAAMHADDFVLNAYSFASALSACAAEKDSRTGEQVHGLVARSPHADDVHIGSALVDMYAKCERPGDARRVFDAMPERNIVSWNSLITCYEQNGPVGEALVLFVEMMAAGFFPDEVTLSSVMSACAGLAADREGRQVHAHMVKCDRLRDDMVLNNALVDMYAKCGRTWEARCIFDSMPSRSIVSETSILTGYAKSANVEDAQVVFSQMAEKNVIAWNVLIAAYAQNGEEEEAIRLFVQLKRDSIWPTHYTYGNVLNACGNIADLQLGQQAHVHVLKEGFRFDFVPESDVFVGNSLVDMYLKTGSIDDGAKVFERMAARDNVSWNAMIVGYAQNGRAEDALLLFERMLCSNENPDSVTMIGVLSACGHSGLVDEGRRYFHSMTEDHGITPSRDHYTCMVDLLGRAGRLKEAEELIKDMPMEPDSVLWASLLGACRLHKNVELGEWTAGRLFELDPENSGPYVLLSNMYAEMGKWAEGGGKFGNSYTHLEGICDAKA >Sspon.05G0018940-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:80858100:80864253:1 gene:Sspon.05G0018940-1P transcript:Sspon.05G0018940-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DAEEDGERRGSDRGGQAAHRLVGALHLRHLLRPHDTSKSMWTNVPMSILILAFLRYLSFKVEFRWREQPVRKQTYLSQASKRQLSANDHRLSTVPPVSRWRRKVGSPSVEAAFESFIENILRDFVLDLWYSDITPDREAPELIRGLVLHALGEVSGRVKEMNLVDMLTRDMVDLIGNHLDIFRKNQTLIGVDVMRTLSSEERDERLKQHLIVSQELHPALLSSEHEYKVLQEIVGGIMALVLRPQDAQSPLVRCFSRELMTCLVLQPVMNFASPVYINELIVYLLNSQDTGNVGGNTNMANKELVPYKGGSQGCQMESRNLTVEPSSLIPPNNSGMRSLVTSECGKSKMSEDDNDSTIQPRQPDWAVVLDAATKRSTPDIEKRYLAKSNQPMVPSERLNVRKNQDERGAGPASHVEVLMHVPKIRCRVVGAYFEKLGSKSFAVYSIAVTDADNKACVDDYLVHQRCILLDKYLQDLLSIANIAEQHEVWDFLSASSKNYSAGKSTSVMKTLAVNVDDAMDDIVRQFKGVSDGLKRAVGTSPSSATAHFADNRMPLSWNQEEKDNHNLHQRNLESAHSLSDGDSNYEDHTSSMNSGCHSDNEVNNRGHTSNDVKHIETYSSLDKQASDQIGKPTRAYSDSSNMSSLNTFEDPTGIPPEWMPTNVSVPLLNLVDKVFQLKRRGWIRLVPLY >Sspon.04G0016700-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:61279002:61279202:-1 gene:Sspon.04G0016700-1A transcript:Sspon.04G0016700-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRRPGTACARRRRTRARSGAGCTGRRHQWMPRRRRRPRPRKPRRRRPRVRSSSG >Sspon.04G0013460-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:48310232:48312355:-1 gene:Sspon.04G0013460-1A transcript:Sspon.04G0013460-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding QWYVLSKTLAEQAAWKFSRDNGFEIVTINPAMVIGPLLQPTLNTSAEAILKLINGSSTYPNLSLGWVNVKDVALAHILAYEVPSANGRYCMVERVVHYSELVNIIRNMYPTIRLPDKCADDKPFVPTYQVSKEKIKSLGIELIPVETSVKETIESLKEKGFVSFDSSNL >Sspon.02G0023780-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2B:80855554:80856078:-1 gene:Sspon.02G0023780-2B transcript:Sspon.02G0023780-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAEASRTSEARRDAVFGRWVVFSPARSRRPTDLKSHSPANPSPAGPAGADAPEPPKPSCPFCAGRESECAPQIFRVPPDGTAPWRIRVIQNLYPALRRDVEPPPPVLPEGEALPDEPGERAVPGFGFHDVVIETPRHDVRLWDLDSEGVRDVLLAYAERVRQLGEHPVVKYVQ >Sspon.02G0025240-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:87679406:87682063:1 gene:Sspon.02G0025240-1A transcript:Sspon.02G0025240-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMVTRATRRRQEEEERLADRISSLPDGVLGDIVSLLPTKDAARTQVLSSRWRHIWRSAPLNLDLHDDPVGILASDISSILSAHHGPGRRFIMPRRYRAMEYPTSTTRDGWLRSPSLDNLQELEFSSNSPLLPLPSVHRFSPSLCIARFSDCRFLAGGNDAGPLHWPLLKQLTLFNVSISESSLHAWLAGCPVLESLLLLHNSGLSRLQIVSRSLRSIGVHSSSIQQLVIEDAPYLERLLYFGGTQMNVTVMSAPRLAILGKLFDGFPMLQLGATVFKVYTCIMLMHPRSLSPSRGIQIKPFFFPIMCDVLILPCLTQGSTIVNMSGAVVSSVKVLALYDVKLCLDAVINLLQCFPHMQKLYIDLSLHTKVCASAFFLSLLEHQYCSKFRFTIDAISTFTLLFQITKVSRNDWCTYRKLTSTLDIGLRKIVLKNYRGNKSHVNLARFFVSNATVLELMRFEIEGVNFSNKWIGRQERLLQIKKGASRGAQFDFVFSGTLIGSHEDRRDEQVHDLSADPFDSNALGGTSLNGFSLSSVQNSELVIEEKFALRSYLNCMHVWPAHQAVRRPSCIVALPLHLSPLDVAVPKKYKEKMNDETVLCAHCVRQNRSEV >Sspon.07G0010230-3C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7C:27210490:27212821:-1 gene:Sspon.07G0010230-3C transcript:Sspon.07G0010230-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVWSRAAAAAITAKMTWAMAEGARYRGWDAARFLGVVSSDSHDTGHGRGREGGRERFGVHMTREGERDDSFAISRFTQAGATITWDLVVGGWDLEYGAEYVPAAEDSYTLCVEKTRMVSATAEEPVHNTFTAREAGKMVLSIDNSGSRKRKVAAYRYFVRKPSV >Sspon.04G0009610-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:27651673:27662944:-1 gene:Sspon.04G0009610-2C transcript:Sspon.04G0009610-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRPRPQTELHSPSASTRRRERWLVVLGVALHAVYMLSIFDIYFKSPIVHGMDPVPPRLSAAPAKRLVLLVGGVSVVIWLGFLVGAADGLRADKFFEPDERGRYRAPFLRGVIEEKGRWGVSHARPPTESRPGHVSLIAGFYEDPSAVTKGWKANPVEFDSVFNQSRHTISFGSPDIVPIFCSNLPHSTWGTYPHEYEDFATDASFLDHWSFDQFQGLINRSFDDVKLRQLLLQDKLVIFLHLLGCDTNGHAHRPYSSIYLNNVKVVDQIAESMYNLMENYFNDNQTAYVFTADHGMSDKAWGAGIRSPKFLAYTEKPDDGFRFVDDHKHDTPTPKDWALEGFERVDVNQADIAPLMATLVGLPCPMNSVGSLPTPYLKLSKADEVEAVLANTKQILNQFLRKSQLKESSSLYFKPFKPLANFSLVLSQIEDLISGRDYETAMEQSEELRRLALAGLHYFQTYDWFMLMTAIALGYVGWMVNLIIHVLQSYTSFPAILLKRAQLYPKNTSMKVYIGGCFFMGLSSIILLLEKSPLLYHAYVFMTIFLWTRIVQNFEFLKAVWRELANMPKYIFNLLTGSVVALLVLEFLVMSFFDRKIYTWCFLVLGILGSTYVALFIQASPALAIYIWLACWFLSVFTLMPAEIPENNNLVIFSGGLIILIGLASRWIKSNSSSFWLYLTRANKRDPQSFKLYFIQVILVAISSIMVWLSTSHRSQNRELHSLHQLINWSVAGVAMVLPLFSPPSVLSRLTSIFLGFAPPFLLLSIGYEAVFYSAFAMVLIGWIFVESANLYCSEESGLVHRRSLVDDSVFGSEERHLRLSDLRIPLLFVILFNVAFFGTGNFASIASFEISSVYRFITVFSPFLMAGLLIFKLFIPFMLVICTFSAITKVVRIPRLGCYFLVILLSDVMTIHFFFLVRNTGSWMEIGNSISHFGIVSAQVVFVLLLFALTNIYTRDILVSSRQLTARKVM >Sspon.08G0002880-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:6259904:6262450:1 gene:Sspon.08G0002880-2D transcript:Sspon.08G0002880-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding WLDCRTLDMAEVILSHFTTSVLHKVASFGTEWAVNEVKSAWNVKKEIRKLERSLRSICAVLQDAESKQSSSHALQEWLDNLKDAVYNIDDVLDDVATRVLEQEVHKGLGSQFQHVLVFPFQLSRRIKEVRDNLDEIAANKSQFGLTETLIDIPATRSICRETHSFITEPNIIGRDGAKEEIVARILGAADSMSPLSVLPIVGLGGIGKTTLAKQIYNDVQITNKFDMKLWACVSDVYDLKKILDDIIQSSTVWDNQDFSLEMKFPRPLRRACRARTFISRYNYGTVSKAFLEDLFSTFKHLRVLVFSDAGFEELPSSIGNLRHLRYLDLQWNSKIKYLPNSLCKLVNLQMLHLSRCNQLVELPRDVHGLVNLTCLYLTSKQKYLLKHGFCGWPSLASLQLNGCLELTSLTEGLGSLAALKELRIFNCPNLASLPSAMSQLSKLQLLVINNCVELDLMEPKEAISGLCSLRTLNLVALPKLVGFPESFKSAASSLEYVWIGDCKGLKRLPSVVKDFTSLKKIVICDSPALRRRCSVDSGEDYHLISHVLEIWIDGYGWGLKGIIIGCGLLAG >Sspon.07G0009900-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:28969129:28973862:-1 gene:Sspon.07G0009900-1A transcript:Sspon.07G0009900-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQRSGSLLAALAFAICILIPCCSSSKEVMELFERACHCFDDPNIYSQCAEEFRLNAEGAFHVQRNEVDEYCGGPCLEETQLALQCVEEVAAQSFKFSNGASVLAVKQAIGTGCSYGPDRGTFEIRERKECVRGADESYYHKSRDHEQEKPVGGRYYGEGSQQPYEQGAGYGESEEYCYGYGAAGRLGERRDFLQMMILQLNHPI >Sspon.02G0010410-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:28757554:28761039:-1 gene:Sspon.02G0010410-1A transcript:Sspon.02G0010410-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAATALPFTAAAAFPAAAGRVRAARCARPRTRRSVVAKLEGGLGKGVPTTNYVVPLDKATGMTRPLVEILRDLNKRVPDKIIDPDTDTVHWYHANRMLSFYAPGWCGEVRDVIYSENGTVTVVYRVILKGTDGEAYRDATGTAQVHEGRREDAVAAAEEAAFCKACARFGFGLYLYHQDDTHHDDHFH >Sspon.07G0015470-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7B:58928100:58928570:-1 gene:Sspon.07G0015470-2B transcript:Sspon.07G0015470-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGGAKVKKAAAGRKLGGGGPKKKPVSRSVKAGLQFPVGRIGRYLKKGRYAQRVGTGAPVYLAAVLEYLAAEVLELAGNAARDNKKNRIIPRHVLLAIRNDEELGKLLAGVTIAHGGVLPNIHSVLLPKKAAERAEKAATATKSPKKAAAKSPKK >Sspon.08G0000390-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:367048:375618:1 gene:Sspon.08G0000390-3C transcript:Sspon.08G0000390-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVLDSFVKRCTESLENFTSQEACAALGIGDDVRGLLATLSRIDTIISHEERRRVLSAKADAWVVQVKDAMYEIDDVIDVCMIEGAKILADDRPPTPKVRCFSCFKPSGPRKFRHEIGFTIRDIDLRLREVEEEMPRLPAGSVHSDAKRDSFSHNVCKNCSDAMKPQAVGSQVQKAVGGLVPRMLREGKKKVDVFAIVGAVGIGKTMLAREIYNDARMTENFPICVWVDMSKDLSELDFLKMIIRGAGANVGDTENKEELLILLGSALSKRFLLVLDDLDSPSIWDNLLKDLLGDGVARGRILITTRNEEVAASMKATIHRVDKMDPENAWALLCKQVDPECNLEELATLKDVGIKIAEKCDGHPLAIKVIAGVLRSRGNSKAEWEMVLNHDSWFMSPVLPEVPQAVYVSYVDLPSQLKECFLHCSLYPEEYPIQRFDLVRRWIAEGIVNARYNKLLEESAEEYFVELISRNLLQPDPESVERCWITHHLLRSLARLLIADESILIYSQQKLNTSLSKPRHLTLCNIENSLDGPISLKQQMSLRSLMLFKSPTVRAIDPLIESASCLRVLDLSNTAVEALPKSIGNLVHLRYLNLDGTQVRDIPSSIGFLINLQTLSLQGCQSLQRLPWSIRALLELRCLCLEGTSLSYVPKGVGELKHLNHLDGLIIGHDNNAPEGCDLDDLKALSELRHLHVESLDRATSGAAALANKPFLKDLYLSEQAPAIENQESLEEEDETEKEEKEEQEGSNDQCRGDESAKASEKIWDELTPPISIEKLVIKNYKGVKFPNWIRGPKLGASFPSLVFLDLENCMACTKLPSLGLLSQLQSLQISNADSVITIGSEFLGTTVLSPATSFPKLEVLKLRNMKKLEEWSLTVEDNQIQWCPKLKALPEGLKHVALCELHVEGAHSLTEIKDLPKLSDELHLKDNKVLQRISNLPMLHSLIIDDCSKLKHVAGLDALQRLRLVFPPSTETFYFEELIIFWSIAFPRWLELLIQKCKGLRRFELQCGLSLLRSCLNGGKNWHLVQQVPEVRIISCDGKRNHNILLHLVSFQATMASRLSFKRTDSIAESMPDALRQSRYQMKKCFQRYVSKGKRLLKNQQLIEELEKSLDDKVEKEKLVEGFLGYIICSTQEAVVLPPYVAFAVRMNPGIWEYVKVHSDDLSVEGITPSEYLKFKETLYDENWAKDDNSLEVDFGALDLSTPHLTLPSSIGNGLQFVSKFMSSKLGDKPETGMKPLLDYLLSLNYRGEKLMVNNTIDTVNKLQTALLLAEICIIPKVSEQNAVFRFQEWGLEKGWGENAERCKETLNCLSEVLQAPDPINMEKFFSRVPSTFNIVIFSIHGYFGQEKVLGLPDTGGQVVYILDQVRALEEELLQRIKLQGLNVTPKILVLTRLIPDAKGTKCNVELEPVENTKHSHILRVPFKTEDGKELRQWVSRFDIYPYLERYAQDSCAKILDILEGKPDLIIGNYTDGNLVASLMSSKLGVTQGTIAHALEKTKYEDSDVKWRDLDQKYHFSCQFTADMIAMNTSDFIITSTYQEIAGSKEKPGQYEHHYAFTMPGLCRYATGINIFDPKFNIAAPGADQSIYFPFTQKQKRLTDLHPQIEELLYSKQDTDEHIGYLADRNKPIIFSMARLDKVKNITGLVEWYGQNKRLRDLVNLVVVAGLLEASQSKDREEIEEINKMHSLIDKYQLKGQIRWIKAQTDRVRNGELYRCIADTKGAFVQPALYEAFGLTVIEAMNCGLTTFATNQGGPAEIIVDGVSGFHINPMNGREASNKIADFFQKCKEDPSYWNKVSTAGLQRIYECYTWKIYATKVLNMGSTYGFWKTLNKEERVAKQRYLQMFYNLQFRNLAKTVPRLFEHPPQAPAGTGPSTMTVARPKERQDHDQLDGAEVFYFSTIEPKRCDEYA >Sspon.03G0028990-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:19962561:19969161:-1 gene:Sspon.03G0028990-2C transcript:Sspon.03G0028990-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRNWKKRRLTLLGLLAKRLRWEELEDWPGCVLETAVGCSEEDKEARVPLPWELLQPVVRVLGHCLLAPLNPGEVRDAAAEAVRVVYARACHDLLPQAILAARSLIELDKSARKAAKAAAVAAPGQIVAVSTAGSNASSSRPSSKPNTPSKQWKPDTLLWVATNFLHKDKEVLSSLQKILRYMLCSYKLDLNGITSCGYDNISDSELYRQNNTYLGDAIFHAVKAKANPVF >Sspon.08G0026400-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:22074862:22081495:1 gene:Sspon.08G0026400-1C transcript:Sspon.08G0026400-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNESLASLLLLTLIHLLSFVSAYDFLSAGSSLSVEHSSDVLHSPDGTFTCGFYSISPNASTFSIWFSRSSERTIVWSANPLHPVYTWGSKVELDVDGSMVLKDYNGQIVWTNNVSASDAGHVQARLLGTGNLIVKGKDGAILWQSFDSPTDTLLPTQIITAPTKLVSTNRLLVPGHYSFHFDDQYLLSLFDDEKNISFIYWPNPSRTIWEKLRVPFNSSTSGAFDTWGHFLGSDNATFTAADWGPGIIRRLTLDYDGNLRLYSLNMEDRTWLVTWMAFPQLCKVRGLCGENGICVYTPVPACVCAPGFEVIDPSERSKGCRPKTNISCDAQKVKFAKLPHTDFFGYDMTAHHLVSLDFCKNKCLHDCNCKGFAYWEGKGDCYPKSVLLGGVTLHNLGSTGTMYIKIPKGLEVSETSIPQSQPFGPKYGPDCTTTDKYVIADFLDVLKRQQSESKFLYFYGFLSAIFLAEIMFVVLGWFILRRERMELGGVWPAEPGYEMVTNHFRRYTYRELMSATRKFKDELGRGASGIVYKGVLEDNRAVAVKKMAEINQSAEEFQHELAVISRIYHMNLVRVWGFCSDGPHRILVSEYFENGSLPITAKVDVYSFGVVLLELLKGARVSDMENNEDEEVEMVLGRIVRMLNENLQLDGTEQSWIADFIDARLNGDFNYLQARIMMMLVVSCLEEDRSRRPTMEDVAQMLVSIDEVTNATRAEGAVDVYSFGVVLLELLKGARVSDMENNEDEEVEMVLGRIVRMLNENLQLDGTEQSWIPDFIDARLNGDFNYLQARIMMMLVVSCLEEDRSRRPTMEDVAQMLVSVDEVTNATRAEGAV >Sspon.04G0003090-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:6039228:6044928:1 gene:Sspon.04G0003090-2B transcript:Sspon.04G0003090-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRPLYRGVSGIGGKLAAADEAYYDPKEPSENGIGGGGGGFGRGGTQKRHLAAAAVKIGVLLLAAAALVGSVAWAGTLYAGRGAAARAAAAAAHRGYRRLQEQLVTDLLDIGELAGGGVRAKEAEVCPPEYENYVPCYYNVTDAVDVSDLGAGVVISYDRQCTRDGRVTCLVAPPRSYRIPVRWPSGKGFIWKDNVRISGQEFSSGSLFKRMMVEEDQISFPSDAHMADGVEDYAHQIAEMIGLRNEFNFNEAGVRTVLDIECGFGTFGAHLFERDLLTMCIANYEASGSQVQITLERGIPAMIGSFATKQLPYPYLSFDMVHCAKCNIEWYKNDGIFLVEVNRLLRPDGYFVWTSNLNTHRALRDKENQKKWTAIRDFAEGLCWEMLSQQDETITSGELTELFYRKSGPELCGHDPESPYYQPLSPCISGTRSQRWIPIELRSTWPSQARQNSTELDIHGVHSEVFADDTSSWDSMVRNYWSLLSPLIFSDHPKRPGDEDPQPPFNMLRNVLDMNAHFGGFNAALLKAGKSVWVMNVVPTNAPNYLPLIFDRGFIGVQHDWCDAFPTYPRTYDMVHADGFLSLEKNHKHRCSTLDIFLEVDRILRPEGWVIIRDTAPLIEAARSVVTQLRWDARILDLDIASDEKLIFAAVKTDALTSTSSDD >Sspon.08G0008170-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:29625503:29630546:1 gene:Sspon.08G0008170-1A transcript:Sspon.08G0008170-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSLLLCLLFLSAAVAAAAGAVVNPRRSLAAQTAKSGDMASLAAGSPMVAGLMNERLKALTTSFAQQMGREFHYCIKNMDREWNTAFNFSSDPAFLTTCMKETNGDLPQRVCTAAEMKFYFESFLEGNGRKNYVRPNKNCNLTSWIDGCEPGWSCSAGKDQEVNLKDAVNIPSRTIDCRGCCAGFFCPHGLTCMIPCPLGAYCPESTLNKTTGVCDPFYCRKGSTLETKCFHKGSCKPNSTNQDITIFGALLVGALSLVLLIIYNFSGQLLMNREKKQAKSREAAARHARETAVARERWKTAKDVAKKHAAGLQSSLSRTFSRKKSLRTHESSKGGLGGGGLPSTDDQPTNEAGGGKKDSSLTDMVRSIEDNPEKGEGISVQIGGGAGEKKKAKGKHAHTQSQIFKYAYGQIEKEKAMEQESQNLTFSGVISMATDEDIKKRPTVEIAFKDLTLTLKGSKKKLLRSVTGKLMAGRVAAVMGPSGAGKTTFLSAIAGKATGCETTGMILINGKTEPIRAYKRIIGFVPQDDIVHGNLTVQENLWFNARCRLSADMSKADKVLVVERVIESLGLQAVRDSLVGTVEQRGISGGQRKRVNVGLEMVMEPSVLILDEPTSGLDSASSLLLLRALRREALEGVNISMVVHQPSYTLYRMFDDLILLAKGGMTVYHGPVKKVEEYFSGLGIVVPDRVNPPDYYIDILEGIVKPNSKEPVNVKDLPIRWMLHNGYEVPRDMLQSSSDSESSFRGGGDHAKGGDAGQSIAGEVWGNVRDIVGQKKDEYDYNKTSENLSNRRTPGILRQYKYYLGRCGKQRLREARIQGVDYLILGLAGICLGTLAKVSDETFGALGYTYTVIAVSLLCKIGALRSFSLEKIHYWRERASGMSSLAYFLSKDTIDHFNTIIKPIVYLSMFYFFNNPRSSIWENYVVLLALVYCVTGIGYTFAIFFQPSSAQLWSALLPVVLTLIATQQKDTFFANLCYTKWALEAFVIANAQKYSGVWLITRCGSLLNSGYDINDRILCIVVLVANGVIFRCVAFFCMVIFQKH >Sspon.04G0015760-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:58595899:58600082:1 gene:Sspon.04G0015760-1A transcript:Sspon.04G0015760-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:3-oxoacyl-[acyl-carrier-protein] synthase [Source:Projected from Arabidopsis thaliana (AT2G04540) UniProtKB/TrEMBL;Acc:A0A178VUN0] MSCLRRAPRLLRGLPFSSAAEAEALPPPRPSAGRRVVVTGLGAVTPLARGVGATWDRLVAGRCAVRSLAAEDLRLPEEAAGRTLEQLPSRVVAAVPRGKGEDEFDEDAWTKDKSISGFISYALCAADEALRDANWLPSEDEKKEITGVSIGGGIGSISDILDASQMIIENRLRRLSPYFIPKILINMASGHVSMRYGFQGPNHAAVTACATGAHSIGDATRMIQFGDADVMVAGGTESSIDALLRALSTKYNSSPLSASRPFDCGRDGFVIGEGCGVMVLEALDHAKERGAKIYAEIRGYGMSGDAHHITQPQNGGRGAILAMKRALDQSGLHANEIDYVNAHATSTPLGDAVEANAIKSVFGDHAASGGLALSSTKGAIGHLLGAAGSVEAIFTVLAIHHGVAPPTLNLEQPDPLFEGVFTPLAAPKKGPIRAAISNSFGFGGTNTSLLFSCPP >Sspon.03G0029750-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:17972470:17975085:1 gene:Sspon.03G0029750-1B transcript:Sspon.03G0029750-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding HGIHDFTDNGELNGSCDKNLKSSIDEEYLKGNRIQPFPESADPDEAYEFRNVASLNADVQHSTALKAEEDAETNIDLALSDFHREYEVFELRIIHRKNRTGFEENKDFPIVLNSVVAGRYYITEYLGSAAFSKVVQAHDLQTGMDVCLKIIKNDKDFFDQSLDEIKLLKFVNKYDPLDEHHVLRLYDYFYHQESGGEVYFTLPRIQVIARQCLEALVYLHRLRIIHCDLKPENILIKSYSRCEIKVIDLGSSCFLTDNLCLYVQSRSYRAPEVILGLPYDQRIDIWSLGCILSELYTGEVLFPNEPVPMMLAQMIGIIGPIDMEMLELGQETQKYFTDDYDLFTKNEETDQLEYLIPEKSSLRRHLQCPDSEFVDFLSYLLQINPRKRPTADEALQHPWLSFAY >Sspon.07G0036330-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7D:32138990:32139206:-1 gene:Sspon.07G0036330-1D transcript:Sspon.07G0036330-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding KCSGAYLSQKSSDGGRATLEDLHRPPLRLRSPPALAPRAALPGPRELLPGSLLAILYDAEV >Sspon.01G0013420-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:43746182:43750383:1 gene:Sspon.01G0013420-2B transcript:Sspon.01G0013420-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding EMAGGGDELKLLSTWFSPFGSRVKLALHLKGLSYEYVEEDLTNKSQLLLESNPVHKKVPVLFHKDKALCESMVIVDYIVEAFADAGPSLLPSDLYDRAVSRFWVAFIETQLVEPWFKMLDGTRTRAEMGEGVKQMLAAVATLEGALAQCSKGRPFFGGDSVGYVDVALGGLLVWVRASEVLLGVKFLDAARTPLLAAWAERFAELDAAKAALPDFGQNPHSLTAKPMAGRGADKNQELKLLGVWSSPFVIRARVALNLKGLAYRYVEDDLDSKSELLLASNPVHRKVPVLLHDGRPVCESRVIVEYVDEAFPASVPRLLPADPYHRAVARFWASQLFTTWIPVYNGRTSEDRVEAARQVVAVLEKFEQAFEECSGGKAFFGGDAAGLVDVVLGGFLGWLRASEVMCGVRVIDPAKTPLLAAWADRFAALDGVREVIPDVHRLLEYNKMRRARRGLP >Sspon.03G0038830-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3C:8087239:8089788:-1 gene:Sspon.03G0038830-1C transcript:Sspon.03G0038830-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHPRTKAEQQHAADGHGRVVEVHPGDGVRHWQAEQHGDKGHPEDGDPADDKAAAASEMKRPRDERVPRRRHPEEDGQGVCDVHAQRRDGHHCLERHLAPQRLPRHGCMQLHFGDQDGLGLRRTCMHVVGVRSRGGPKPTSKMLRVPFMGGDARRTHGGLSWFGQEKALRPAGGRGEVNGSSIPTRGHASRSLPDGRTGLARVGVRSRYFVVRSGALLKRPPSRVPRTSRRRSAVEALRRAGLVRGGPDVRSKRAAFYYGFSRSSFSLSMNVGTPYCWYRHTYTHREGHDECHRDGEPDDVERHLLLVDTVPHPRQRHRAVPGEGVPHPRVAGHGRRAAEEYGDGHGRQAQHAPDAAAELLAEGGVQGLRDGVPAGVVGWVQERRDVGHHGCQGHQIPESSKLAEKGQPIDGEAIDKPVQDEDDAVDGKHDVFGGQITTAGAKQDDDLDKLCQ >Sspon.06G0003710-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:11370817:11373978:1 gene:Sspon.06G0003710-1A transcript:Sspon.06G0003710-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGAQGSDAAAAAAAGTGEVNMAAWLVAKNTLKIMPFELPPLGPHDVRVRMKAVGICGSDVHYLREMRIAHFVVKEPMVIGHECAGVIEEVGAGVTHLSVGDRVALEPGVSCWRCRHCKGGRYNLCTDMKFFATPPVHGSLANQVVHPGDLCFKLPDGVTLEEGAMCEPLSVGVHACRRAGVGPETGVLVVGAGPIGLVTLLAARAFGAPRVVIVDVDDHRLSVATALGADATVKVSTRDEDLDAEVECIREAMGSDVDVSVDCAGFSKTMSTALEATRPGGKVCLVGMGHNEMTLPMTSAAAREVDVVGVFRYKDTWPLCIDFLRSGKVDVKPLITHRFGFSQRDVEEAFEVSARGRDAIKVMFNL >Sspon.02G0052030-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:86948160:86949587:-1 gene:Sspon.02G0052030-1C transcript:Sspon.02G0052030-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPTVVLVPVWGAGHLMPMLEAGKRLLATNGGRALSVTVLVMRPPTEKHASELETHIRRAEEAAGGLDVRFHRLPAVEPPTDSAGPVEFISRVVQLHAPHVRAAVSSLACPVAALVLDLFCTPFVDVARELAVPAYVYFTCNAAALSFFLRLPALCEEVAGEFGEMDGAADIPGLPPVPPLSLPTPIMERKKPECTWYAYHGRRFMDADGIIVNTAAELEQGVLSAIAEGRCTRGTESRPAPTLYPIGPVISFPPPADPPHECVRWLDTQPPASVVFLCFGSGGFFTAPRAHEVARGLERSGHRFLWVLRGAPAPGTWSPTDADLAQLLPEGFVERTRDRGLVWPTTAPQKEILAHVAVGGFVTHCGWNSILESLWFGVPMAPWPLYAEQHLNAFALVAGMGVAVAMEVDRRRDNFVEAAELERAVKELMGDGTEGRKVREKAAEMQAACRKAVEDGGSSTATLSKLWNDICRQ >Sspon.01G0057030-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:95488789:95496671:-1 gene:Sspon.01G0057030-1C transcript:Sspon.01G0057030-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLVRLDLSIRACIIRVPTAASAGAAFRERVMATCRKMARVDVAELKQRLVKRLGRERAAKYFAHLTRLLNLKLTKVEFDRLCLATIGKENIALHNALIRGIIGNALSGVPPPSRQAVTGQSGTTTAPSGQCVGVALPVVGNVGAVLDSGDGELARERGRQSGRWCPWKMERRWSRSAPCVQSRSPITTPLGISVAGGSGMSVRRRLDDPVASCYDSGHLLDTSSLCEGLQRRLHSNGTGVTVQAVDALNRGLDEFLRRLIKPCVDLSRVRASSRRISKANERFAGRMNSLQQPNQGQCTTLQDFAVAVQSNPRLLGPNWPTQIEKIQTICHIPARNLGSQDDRAECNQVSGSWFAPHAHGQQWRFSKILIGAESKEDMILVTNNGLCCKSSLMKFPYRYAATRMARNRMTGLDANQVENILNSLLEAWKDQGDYVLPSSSHAHSKWFQLYSS >Sspon.04G0007440-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:17868690:17872122:1 gene:Sspon.04G0007440-2B transcript:Sspon.04G0007440-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTESGELLGIDPIELRFPFELNKQISCTLQLTNKTDKQVAFKVKTTSPKKYCVRPNNGMVAPRSKADVVVTMQAQRDVPPDMQCKDKFLVQSAILAKEIMPKEVTGDLFTKDSGNIVDEVKLKVVYVVRSSSQSEGFEDGNLGSLSYQEETKEPKESGKIISEPFALISKLMEEKNSAVELNNKLRQELDLLRRDISRQHGGFSLVLVLVVAILGILLGFLMKR >Sspon.02G0028040-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:103449964:103452831:-1 gene:Sspon.02G0028040-3D transcript:Sspon.02G0028040-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHSLAASFSPAAAARRQVTNVISSRSSVCFQSHRMTSVSIRSRPSSLRFKICCSAKKETVDKVCSIVKQQLALPDGTALTGESKFAELGADSLDTVEIVMGLEEAFNITVDETSAQDIATVQDAADLIEKLMLEAPPKVV >Sspon.04G0034620-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:77159174:77163829:-1 gene:Sspon.04G0034620-1C transcript:Sspon.04G0034620-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTAGGQLKRGGDFAEAEPASARFLLGQLHSLFVSQSPCILISPSLTSPSPSPTLCSGLFLTSSSPLFLLLLLPCRERTQRGERALREPEMGNICGGKDRVAEDFRPSSPGTTMTSKTSGSITTSQSTTGKLSSMGSSFMASTGSRSTSGGFDEGAKYPDGQILEAPNLRTFTFMELKTATKNFRPDSVLGEGGFGRVYKGWVDEKTMAPTRNGTGMVVAVKKLNSESLQGYEEWQSEINFLGRLSHPNLVKLLGYCLEDKELLLVYEFMAKGSLENHLFRRGCAPLSWELRLKIAIGAARGLAFLHASEKQNYNAKLSDFGLAKLGPTGSNSHITTRVMGTYGYAAPEYVATGHLYVKSDVYGFGVVMLEMLSGQRALDPNRPSGQLSLAEWAKPYLADRRKLARLMDPRFEGQYNSKQAFQAAQLTLNCLAGEPRSRPSMKEVVETLEQIESMKSRAREARSGGSSRDRHHGRTGGTGAHQRSPRAGGETRGRGASRAANGHAGRAAR >Sspon.05G0014210-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:5C:44625517:44626071:1 gene:Sspon.05G0014210-2C transcript:Sspon.05G0014210-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAGCCWAFSAVAATEGIVKISSGKLISLLEQELVDCDVHGEDQGCNGGEMDDAFKFIIKNGGLTTESDYPYTAQDGQCQSGSNSAATIKGYEDVPANDEASLMKAVTSQPVSVAVDGSDMTFRLYSGGVMTGSCGTDLDHGIAAIGYGKDSDGTKYWLMKNSWGTTWGENGFLRMEKDISDKRG >Sspon.01G0001830-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:4322764:4323670:-1 gene:Sspon.01G0001830-3C transcript:Sspon.01G0001830-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNDTVILTTLNSAWSEPGSVLDVFLESFRSGESTRELLDHLVIVSLDMTAHARCRQVHRHCFALVTDGVDFSGQKNFMTDGYLKMMWRRIDFLREVLEKGFSFVFTDTDIVWFRNPLPHFYPDGDFQIACDHFTGDPSDLSNAPNGGFAYVRSNTETTEFYRFWYAARERHPGLHDQDVLNAIKRDPYVAELGVRIRFLSTELFGGLCEPSQNMSRVCTMHANCCIGLRRKISDLNAMLQDWRRFRALPREDKHSVSWTVPRNCR >Sspon.06G0012940-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:67901737:67904820:1 gene:Sspon.06G0012940-1A transcript:Sspon.06G0012940-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLQDDDLQRHRQQILAQNGSTASSVSKRKGSPCSEDGYADSQAAKILGYSTPVLPEDIWRHIHSLMPLRDAARAACVSHAFLCSWRCLPNLIFNEDSLRSNAHALEHDFSHTIDRILRKHSGIGLKILKLELFDIAYRDLDDWLQVAVKPGIEELTVMLSRFKTKYDFPCPLLSEGIRNSIRSLEVGFCAFHPTAELGNLRSLTSLCLFHVRIEEDELEGLLSNSPVLEMLDIAQCDKIIFLKLPCVLEQFICLKATTCSRLRVIENRAPNLSSLYISGNVTITGETLQVKNLSTSHSKVVCYSRAELPSIMPNLETLEIRSRGEVVNTPMLRTKFVHLKHLDISVSSGRASLPYDYFSLVSFLDASPSLETLSLDVRRSFIPSCKDIFYEFVGPINSIVSMENKKHESVIGHSSPWRHMDEHPHCSLKSVKITGFSSAKSLVELTCYILKNAVSLDCLRLDTLYGSRCSDENYDRCHSMSKGILTETSRALVAVRTFIEYKVPTKVKLIVLEPCSQCHAFVWEGGKQKESQVRPSFKPCIE >Sspon.06G0010480-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:57199762:57205625:1 gene:Sspon.06G0010480-1A transcript:Sspon.06G0010480-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQPQPQPHVVVVPYPGSGNINPALQLAQVLRHHGVFVTFVVTEHNLRRAQQAADTEGAVSGREGFRIETIPDGLVDADRDQQDYDLGLSKATTHRCAAPLRELVARLRGDGGGGATPDVPPVTCVLPTALMSFALEVAWELGVPSMVLWTSSAAALMGHMRLRELRERGYLPLKDDSCLTNGYLETTIIDWIPGMPPISLGDISSFVRTTDPDDFGLWFNDTEANNCTKAGALIINTFDALEPDVLAALRAEYPRIYTVGPLGALLRRRHHDDEAAAGGSLDLSLWKHDTECLAWLDAQAPGSVVYVNFGSLTVVTAAQLAEFAWGLAATGRPFLWVIREDLVAGGPATSALPPAFAAETAARGRVAAWCPQERVLRHRAVGCFVTHNGWNSTCECLAAGVPMVCWPVFADQFTICKYACEVWGVGRRLDAEVRREQVAARVGEVMESVEVQRSTARWKAVAEEAAGAGGSSHENLLAVVEALGVNSESSEA >Sspon.04G0005840-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:12693298:12695444:-1 gene:Sspon.04G0005840-2B transcript:Sspon.04G0005840-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFASVASPFLSFTAEDSQFSSYKDYYKVLEVDYDASDDTIKLSYRRLALMWHPDKHKGDNDVTAKFQEINEAYKVLSDPAKRLEYDVSGCYEIDQYTLREYLAKFKGMILTCNGLGIDHPSSKWARHLRELKPH >Sspon.03G0001480-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3A:3567155:3567676:-1 gene:Sspon.03G0001480-1A transcript:Sspon.03G0001480-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVIAGVRVQHKARRRRVPAFGEWNYSYYGSAELATPAAAVPFERYAAELEARSDVWFKYSPPPRKPPPVSRKVRRPAERSYGGGGGKRPRAVTTPARPRASDGVVPSSVPRTPAKSTGTARATRVVQRVDADLYQVPPPEFVHDDDLRPRVCMSANIVGLVLSNSGLFGGRS >Sspon.08G0000070-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:540122:545169:-1 gene:Sspon.08G0000070-1A transcript:Sspon.08G0000070-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MECSREEALKAMEIAAKKLENRDFAGAKRIALKAQRIFPEVENIPQLLTVCEVHCAAEAKVNGILDFYGILQAEGTADEMTIRKQFCKLVLLLDPDKNSYAGADSALKFVAEAYSTLADKTKRYVYDVKWRVAFKIAPKQATQPTQASEPTRVTQPNQATQPKQAAKPKQATQPNLAEESERATQPKQATQPMKTTEPINKTDANRSNTARYGPSGSSPTDGCTFWTVCIHCKTKYKYHGDILNLRIRCRNCRKKFFEHQISTEDVTSVFSSKTANSDAQQGCLPTQQGCSRYLSSRENKETSPVKNAAQCDEQMKRSSKPGGEGMVDHTETSRKGVEFSATNPSKASAPNGNDRADGKMQTDTTVPYFGDGKNQSSGVDTSAVPGAAGIPTPQRCFRRKAFVDANNTLNSPKKKSRTLKDWSSNATCSPNEVFADNVAHADGQISESHVYSKTDNQDKSCTVNEGNKSTCDTPAGKPCNAGSFTYPDSEFFDFGIRSKRHFEIHPQVGEIWAIYCNWSPGWVPSSKDVCEYAIGVITARTEAITKVDGYRTIFRPDNERGILEVPTKDDLRFSHRIPSFQLTKEKGGTLCGFYELDPAARCGGEQSVVGNGGQRRGEKRGVVGDRECAGDGDEVVGWGVSAPGPTTGKRRSPENGALECG >Sspon.03G0045560-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:20429362:20433462:-1 gene:Sspon.03G0045560-1D transcript:Sspon.03G0045560-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAEIGGFTAAALRPAAHPAPAAAVAPPQPRRAVAAQSLRTTATETLTADLAGTTNGAVHAQMTSEATSEITSQAVISRRKTKIVCTIGPSTNTREMIWKLAETGMNVARLNMSHGDHQSHQKVIDLVKEYNTQNTDGNVIAIMLDTKGPEVRSGDVPEPIMLKEGQEFNFTIKRGVSTEDTVSVNYDDFINDVEIGDILLVDEKDWEDIKFGVENGVDFYAVSFVKDAKVIHELKDYLKGANADIHVIPKIESADSIPNLQSIIAASDGAMVARGDLGAELPIEDVPLLQAEIVQTCRSMEKPVIVATNMLESMIDHPTPTRAEVSDIAIAVREGADAIMLSGETAHGKYPLKAVKVMHTVALRTESSLYNPTTSPSLVASAQLSKMFGSHATMMANTLRTPIIVFTQTGSMAVLLSHYRPSSTLFAFTNEERVKQRLALYQGVIPIHMQFSDDAEETFSRAISSLLKAQYVKKGDYVTLVQSGVTSIWREESTHHIQVRKVQV >Sspon.03G0023890-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:91346901:91350970:-1 gene:Sspon.03G0023890-2C transcript:Sspon.03G0023890-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPILMKGHERPLTFLRYNRDGDLLFSCAKDHTPTVWYADNGDRLGTYRGHNGAVWTCDVSRDSARLITASADQTAKLWEVSTGKELFSFRFDAPARSVEFAIGDALAVITTDNFMDHVPTVQVKHIAEDIDDQTDESALVITGIKGRINRAVWGPLNRTIITAGEDATIRIWDSETGQLLKESDKESGHQKTISSLSKSSDWSHFITGSLDKSAKLWDTRTLTLIKTYVTERPVNAVDISPTHDTVVLGGGQDAMNVTMTDRRAGKFEAKFYHKILQEEIGGVKGHFGPINALAFNPDGRSFSSGGEDGYVRLHHFDSDYFNIKMLFETQLVQFLGSDVAG >Sspon.07G0007740-3C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7C:16367843:16372339:1 gene:Sspon.07G0007740-3C transcript:Sspon.07G0007740-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEGEAAALEFTPTWIVAAVCSLIVLLSLVAERCLHYLGKTGLGRVLQTLKKKNQKPLFEALLKVKEELMLLGFISLLLTVFQGMIQRTCIPEHWTFHMLPCEKPDEKSGEAATTEHFVAVGTLGRIGRRLLSEGAAGAERCQKKGKVPLLSLEAIHQLHIFIFVLAITHVIFSVTTMLLGGAQIHQWQQWENGIQKDTTGNGPKKVTHVRHHEFIKKRFKGIGKDSIILSWLTHCPGNPKFDFHRYMLLLAIGTKLEHVIAQLAHDVAEKHTAIEGDVIIKPSDEHFWFGKPRIILYLIHFILFQNAFEIAFFFWILMGSCYKKEIFNEHVQQGVLGWAQKVKMRKGLKKGAAAASKDESTINADSAGPSVKIEMAKAGEDVEVVGNTE >Sspon.07G0007350-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:14408881:14412775:-1 gene:Sspon.07G0007350-4D transcript:Sspon.07G0007350-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSSNTAFFYVSLYLVAIAQSGHKPCVQAFGADQFDATDPNESVSRASFFNWWYMGLCTSATVTIALMSYVQDNIGWALGFGVPSMAMLLALAVFVLGTRTYRFYGSPGGVSASTFSLVRKAFVAWRKKRSREAAGSVELGHGDELPQDAVLAEELKGLARLFPIWATCLLYGVVFAQPPTLFTKQAATLDRRVGQSFQIPPAALQCFLGVSIVTCIVLYDRVLVPVARGFTGVASGITMLQRIGTGIALSLVTLVVAALVEMKRLRAARDAASGLVVVDVDGSGTSAVPMSLWWIVPQYVLLGAADVFTMVGMQEFFYDQVPGALKSLGLALYLSVLGVGSFISSFLITVIDAVTARNGGTSWFADDLNRGHLDYFYLLLAALTALELLAFAYFSTSYYHDKALRRIIKLQPRGAQNCPTREQSESNNLEVQAEKREQSSPAPGSLLRLGGRVGQRGQHRVLAREARVAVVPRLFAVDAVQLAQREEGEGVAADLPAHAIQVHPVGNELALARRVDAVEAGAHDGRARDPHVHLPRAGVAEQPHDLARGGAAHQGVVHDHDALAGDDAAHGGELQLHGEVPDRLRRLDEAAAAVVPADEALLELEPRRACEPERGVEARVRYRDDDVGSRGRGLLGQRLPEGGAALVDGVGEHHRVGQREVDVLEDAGAAGAVRDEAGRGHRHVAAVDHDHLPGLHLALVGGVDEVQRAGLRREDDGAVPAAAHHQRAEPMRVAHGEELVGREEQERVGPREALAGVADAGEQGPRQRRRDEVEDDLRVRGRVEDGSPGLELVPQRLVVDEVAVMRHGHLPEPVPGQERLHVAQ >Sspon.01G0002390-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1A:6530975:6533360:1 gene:Sspon.01G0002390-1A transcript:Sspon.01G0002390-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRTIPSYGSKGAQVAPPLYTSSNGNHLQPSVPSYGLVQPAWPHASQPAQVSGHVSAETFQRYPPQFPVGAPMGYQGHQYRPPSYPQLAPNTVVGTGVPLSRCSSLNTQAQGTQRPQVLSPGILSKESLANLARQLGLSDYNTNQPLGQQSTSTPQYMHMHPTDILLAQGARKEAYVKKSYVAATSQIGASSHNSSNHAPGGTVVSSGSVQIQQPLCQLKPGTVVSSGSVQIQQPLCQLKPGTVLSSGSVQTQQPLCQLKSQSSVTPSGTAPNTLYLPQP >Sspon.01G0006430-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:16980474:16982770:-1 gene:Sspon.01G0006430-1A transcript:Sspon.01G0006430-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MESAVNPKAYPLADAQLTMGILDIVQQAANYKQLKKGANEATKTLNRGISEFVVMAADTEPLEILLHLPLLAEDKNVPYVFVPSKQALGRACGVTRPVIACSVTSNEGSQLKLQIQGLKMPLHPGGLVSVEIPTIIVTVDFDGFENWID >Sspon.07G0023660-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7B:18398573:18402934:1 gene:Sspon.07G0023660-1B transcript:Sspon.07G0023660-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At4g14050, mitochondrial [Source:Projected from Arabidopsis thaliana (AT4G14050) UniProtKB/Swiss-Prot;Acc:O23266] MDREISKGLVLACYTDSKVAVRLSPTEQPKRDPNAFWLAYSVLGTKNSLQRRMQTEHSFCVRAEPERKDASSRLSPTTQSSCAGSGGPRRQGGAAADDDGNVLPRTTTARRGGGRVRTATRSHHPPEPCQGGLSCPRWEVPRRIRAGRPWPAGSRRGGAAAASVTWWSQWWAVEGGRCRQRPPPPQAPHPRAPHAVLLLAVEVERPAKGDRTAPGLLPQRAPPVPPRGGVGPQGSGPALPHVRLPAWRWVGGWPRGGGAGAPPRACPSGGQPEVTRAAVGRSCPVQSPELAHALGPDLAHALFPRELGRGGSLFSDKLVSREGGGRRMQRGGEGDGRTSGAPPLPSGCWKTKFLGRKRRRAVGASSSAAAVRIALPSFGSFPCMLPPAAAAAIRATAGSPRAVRRVHARLLKEGLADLLPAPALLVSAYARSCLLPDARRAFDDAPGRDLHLYSALLAAVSRSSTPELVLPFLRRMLSDDALRPDHFVLASLASAAARLRSLRLGRQLHAHFAASPYRDDDVVKSSLIDMYCKCGVPDDARKVFDSIGVKNSVVWTALVSGYASNGCTGEAIDLFRSMPARGLFTWTALISGFVKAGNNTGAVGLFVEMRRDGVRIDDAFVLATAVGGAADLAALVLGRQLHGFALRLGFLSSMIVGNALVDMYSKCSDIHSSREVFEGITVRDVISWTTILVGEAQHGRVEEVLALYNRMLLAGMKPNEVTFVGLIYACSHAGLVQKGRQMFYSMKREYGIKPGLQHYTCYLDLLSRSGYLSEAEELITTMPYEPDEASWGALLSACKKHNDTQMCLRVADNLLELRPKDPSTYILLSNVYAVNCKWDSVAKVRKIMAEMEVRKEPGYSWIEAGKESRMFHAGEVPLDVGEEITGFLEELVSEMHKRGYVPDTSSVMHDLEEHEKEQHLFLHSERLAVAFGILKSPPGSVIRVVKNLRVCGDCHTVMKFISEIAQRKIIVRDASRFHHFDDGNCSCSEFW >Sspon.08G0023040-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:52618060:52625089:1 gene:Sspon.08G0023040-1B transcript:Sspon.08G0023040-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLTLTTSVRNTDRAPRSSGCRNNVVSWISEVKDTSNVAIRKKRGTRAPPSAKRLHDKRVDKAIESDGAVDSDSDDTDGQVGKSQPSAHIEEQEGPSSASEEQDHSTNSKDMLQKASSQNTKKVSRSSTSSKQGASHLEQEGANEDGSHVQVAAVYKDIGNERSSQEIRDDVSDAQVDTTSSNDKSSEEVEDVKVCDICGDVGEEEKLAVCSRCNDGAEHTYCMRVMMEEVPDSEWLCEDCQTAVESEKENRLEKSQVKVGTSKELSLEGEINRPPIAAKSRNSSDCKLKAENNQAPQPRGQLSKSTSFNNSKVPKVKQLLNEVPQKPKILKESWSSIIKKEGPISMTTKSATFKKPKPCEPANKAKSSVLPPAEEPRVTNQLVSHNVTNGQCSSILGPPSATASMVAPVLLKTDTTAQPLSTRNNTADSNNLGAVHVQAGKNSLGNSELKKPPLAKVPGSTMLSNAERSSCGILGSGAHRIVIQNSDSSHQDTKIKDQTGFRQGASSSNRNVKKPSLSTQANEQDMRYILSIPGSGVDYSKLKFKDNHPSLSATPGISSDNGCIMPNDHRDEPAQGVSAGDEPLFSTVPELDWIWQGGFELQRTGRSPELCDGFQAHLSCSAAQLVLDIVKKFPSKVQLEEVPRQNSWPTQFQENGPTYDNIGLFFFARDVQSYEKHYSKLVENMLKNDLVLRGSVGTVELLIFPSNILSKNFQRWNMFYFLWGLFRVGKKDSSNLPSYVSTSRLERNFNDDPQAMDWSTTALSSAHSFSQNRSGFGEILVKSTNFAPSLEATHEVCLNGENFLNQPVCGRGLDDHLDSDTETSSTISNGGMGPSSMSMQRKHQKLDYPEHQDKMRDTFGGNVSERVFDVNTVPVTCSVSLTHLQEEPGTVSTAINLNDADNLMDIDHVNTYEVSAGALDRSHASGGADKRSFEMAKVADEVDEVPEHKKIKLDNVVPVNSDLCKSACNGRLSSKVHSLSASSVNDGTSNKPMAGSSSSDGKCVFPLDLNVVDDAVSENIVNHY >Sspon.02G0009390-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:24949802:24961384:1 gene:Sspon.02G0009390-2B transcript:Sspon.02G0009390-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTPKQRLPYSTSGGGGGGRRAASGSAALPPVVVLVFLFVVAPSLFFVVRNGGRGHVHVASDPKGKNGNQEAAAMKNLKSILPKEVFDAITASQQESGTLSLDFFRNHASPSWKTDDLVTEKSMDVDDKAKAENSLPEHDLPTNRSPKDPDEHQVDKAAKVARRKLREKRREKRAMDLVHKDDEARVKLENAAIERSKAVDSAVLGKYSIWRKENENENSDSTVRLMRDQVIMAPHLRKSEQWVKFYQRLEKNFMIRLRAMLQSADEQVRSLKKQSTFLSQLAAKTIPNSIHCLSMRLTIDFYLLPLEERKFPRSENLENPNLYHYALFSDNVLAASVVVNSTIMNAKEPEKHVFHLVTDKLNFGAMNMWFLLNPPGKATIHVENVDEFKWLNSSYCPVLRQLESAAMKEYYFKADRPTTLSAGSSNLKYRNPKYLSMLNHLRFYVPEVYPKLDKILFLDDDIVVQKDLTGLWDIDLNGKVNGAVETCGESFHRFDKYLNFSNPHIARNFDPNACGWAYGMNIFDLREWKKKDITGIYHKWQTMNEDRVLWKLGTLPPGLLTFYKLTHPLDKSWHVLGLGYNPSIDRSEIDSAAVVHYNGNMKPWLELAMTKYRPYWTKYIKYDHPYIRGCNLSE >Sspon.01G0030290-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:105193327:105197056:-1 gene:Sspon.01G0030290-1A transcript:Sspon.01G0030290-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQILFNPTVSINPESKSRQNNREVLNELIKLHGKTALGGKLPAYDGRKSLYTAGSLPFESEEFVVMLVDPEKKEKERAEREYKITIRIAGRTDLYHLQQFLRGRQRDMPQETIQVLDVVLRESPSWKGDIGEGLECWRGYYQSLRPTQMGLSLNIDISATSFFKPVSVIKFVEEYLNMRDTSRPLSDRDRVKVKKALRGVRIETTHQQDQIRRYKITGVTSIPMSQLIFPVDDKGTRKTVVQYFWDKYSYSLKYGSWPCLQAGSDSRPVCKILEGQRYSKKLNDRQVTNILRATCKRPQEREQSIRDMVLHNKYADDRFAQEFGIKVSSDLVTVPARVLPPPLIMHRTTKVKRQDVFRQNALGVISKRTIIHMKFTQFHTNDTKEGIRQGDIPCWSRGVDGEMTPK >Sspon.02G0045580-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2B:109923229:109924839:-1 gene:Sspon.02G0045580-1B transcript:Sspon.02G0045580-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRRERRIVMHGQVGLSESKRAEDPPNGPKTMASYVTKQWALGIIVPVSIGTIHIGPSGFRTQEPAGTASASGISTHHSVLELSAASTSTHLMQPHQLSWYGRDEMQEPQLCSPSRSCEAAAALIRSSQGNGAVSWSWHPFDHHGLSSGAPPMDRPGQQITNVLWGSGAPLMTPPIDVHGTRRLIACRGYPYFFQGQGGGRSRAGGSSGDAMLASVSSTTFDLHHVSEIMCLYQLLP >Sspon.05G0017330-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:5B:72905615:72905986:1 gene:Sspon.05G0017330-2B transcript:Sspon.05G0017330-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSSTTSAGSFSALLLLASSSFVAAGLTTGEEEEGPVTEEEYVPFFFTATDEASTAFCFLNPGGRTGMSSTSTFTSTTGTATDDEGVAAEEGRMVRAAHQGGCRWECWGPADGCSGRGHARS >Sspon.01G0061230-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1D:91950151:91950726:1 gene:Sspon.01G0061230-1D transcript:Sspon.01G0061230-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAPPPHPSFYSHFPSLSSSSPWAQVLAAPSPAASRGPHAHPPGGAAPDLGAAQAAAAFSPPLLAAGGFSPLPQQVQPQPLGAGQPHPLQPLPLGAVLHGAATRPSLPAALTGGATRHTLCSGPHLSSPAAAPSPAAAAALPPGAATNHTLCSGPPLTAPEAAPSPAAAAALPPGAAAAALGAVAATAAAQ >Sspon.02G0032990-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:3632543:3635779:1 gene:Sspon.02G0032990-1B transcript:Sspon.02G0032990-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATYFSVKLDVCEDREDMRVTGEKPCTHQELDVDQTNSSSLNNSSECENQTPSNDEISGSESNLEIAKTEGDVPSGEKVLKKPDKILPCPRCNSMDTKFCYYNNYNIKQPRHFCKSCQRYWTAGGSMRNIPVGAGRRKSKSSSANCRSMLIPGSSIATPGGEASLFPLSIKGNQAAVSFGSDSPLCNSMASVLKIGGEQIKSSNPASAAQPRNGENQTCPPSTTSSDGPGNESQKGAASAHQNGIVGQSNGVTSVHPIPFFPGPPFVYPWSPAWNGIPTMAAAVCPAPAEAANSSENGTTSSNVQWNVPPIVPVLPPGFCGPIPVPVMPPSVWPFITPWPNGAWNAPWLGPNSTVSASSPTSSSTCSDSGSPVLGKHSRDSKPQGDEKAERCLWIPKTLRIDDPVEAAKSSIWTTLGIEPGDRGMFRPFQSKPERQEQISGAARALQANPAALSQHAPTYWVHDQKPAGYTAGQFMYGANSKYKALGFLEL >Sspon.07G0021300-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:2548300:2548656:-1 gene:Sspon.07G0021300-1T transcript:Sspon.07G0021300-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVGGSSSSSRAWTQRQNKQFECALAVYDRETPDRWHNIARYMGGTKSADEVRRHFEQLVHDVTQIEAGRVPFPRYGYGSAPPVAGGGLDDMAATRYTYLVIINQFCSSELVRQFGT >Sspon.03G0040040-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:24756091:24765338:1 gene:Sspon.03G0040040-1C transcript:Sspon.03G0040040-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SSSLLRSLTRRGRAGPGAFAYRHQHQQPWSPFSTAATGAAVAGTRDEAAKGFSGLGPTAKGEKARVVVLGTGWAGSRLMKDLDTKGYDVVCVAPRNHMVFTPLLASTCVGTLEFRSVAEPVARIQPAVSKSPGSYFLLARCTGVDPDGHTVRQILGEMLKGHLCYYSAYQLSTFSVHTGGVSGVSWVQVWCETVTDGEKDSLEPWKFKVAYDKLVFACGAEASTFGIHGVTDHAIFLREVHHAQEIRRRLLLNLMLSDVPGMPEEEKRRLLHCVVVGGGPTGVEFSGELSDFIIRDVKQRYSHVKDYIHVTLIEVCEILSSFDVRLRQYAINQLIKVNVRLVQGIVKDVQPNKIILDNGEEVPYGLLVWSTGVGASPFVKSLPLPKSPGGRYNVNEWLRVPSVRDVYAIGDCSGFLESTGKEVLPALAQVVERQGKYLASLLNHVMKAGGGHANSETEADLGPPFVYKHLGSMATVGRYKALVDLRQSKVSIPESILDLFQFHCEGYKVQVK >Sspon.06G0004840-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:12310729:12316669:-1 gene:Sspon.06G0004840-2B transcript:Sspon.06G0004840-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATIAQGALRTLPDNLKLVTVLSIDGGGIRGIIPATILAFLEAKLQELDGPDARIADYFDVVAGTSTGGLLTAMLTAPDANERPLFAAKDLARFYIEHSPKIFRQNGMRSKLASTLRMVCGPKYDGKYLHGLLRRYLGDTRLDRTLTNVVIPTFDIAYLQPTIFSSFELKHRPWKNALLSDISLSTSAAPTFFPPHYFETKDENGKRRAFNLVDGGVAANNPTLCAMNQVSQDIILGDDNLFPVMPADYGKFMVISLGCGSNRNRRYCAKAAAKWGIFSWLFKDGNTPIIDMFNSASADMVDINLCVLFRALRSSQNYLRTNAEQLTKFAKQLSDERRRRQDEGPGDWI >Sspon.02G0004600-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:14463582:14468260:-1 gene:Sspon.02G0004600-1A transcript:Sspon.02G0004600-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKGLFTNDGSFMERFKQMQQEAQEKEKAAAAAASSAPKQANPKQGFAVAANKRPFELKKAGPVASAGKLAFSLKKAKVAVAPVFSADDEDEDAADVEREEPAKRQKSVQADAPAAAAPAGAVAPPPPNDMTVRQVADKLASFVAKNGRQFENITRQRNPGDTPFKFLFDKHCPDYKYYEYQLAEEEKALAQSKEAEASKTNSGIASFKAPGGTHRSSFEQKSNYQTPASALYGAYEGSSSQGDHMTPPSDPVALMEFYAKKAAQEERKRPPRQSKDEMPPPPSLQGPPKKGHHMGDFIPPEELEKFMARCNDAAAQKATKEAAEKAKIQADNIGHKLLSKMGWREGEGLGSERRGRADPIMAGDVKKDHLGVGAVQPGEVTSEDDIYEQYKKRMMLGYRYRPNPLDLLRPNILFP >Sspon.01G0016080-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:49148595:49170161:1 gene:Sspon.01G0016080-1A transcript:Sspon.01G0016080-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding METAIAAVAGELVSRFISFAFNRFWSSSNYEGLEEKWERLWHLVTRAHTVVEEADARYITNSGIYCSSSSYEDEGWDEKRERLRHLLMRAHTIVEEADARYISNSGMLMQLKILSEAMYQGYHALDTLTFQLQHEVSDSSISLCSATRLKRPRTVLASAKKDKVHAALENLQTAVASISEFVALLCGCDERLSRRPYDAYLYIDNFMFGRHAEKQKLLNFLLQPNPSDDHAPPPVLPIIGALAVGKKTLVAHSMESAIAAVAGELVSRFISFAFNRYCSSSSYEDEGWDEKRERLRHLLMRAHTVVEEADARYISNSGMLMQLKILSEAMYQGYHALDTLTFQLQHEVSDSSISLRSATRLKRPRTVPASAKKDKVHAALENLQTAVASISEFVALLCGCDGRLSRRPYDAYLYIGNFMFGRHAEKQKLLNFLLQPNPSDDHAPPPVLPIIGALAVGKKTLVAHVCADERVRSKFASILHLNGDNLLTIVDHEKALVGMSSLVVVEFVSDVGDVEWNQFYSFLKRTTSTRSKLIIISKLARLARFGSVRPIFLDALSYEELWYLFKNLVFGSADPAQHPRLVHIAEGIAKELHQHGSLVAANALADVLRSNLNAQVWLSILNRCRKVIEKNLFAYGQQPNLLFQQGREVDMTDFVSSPAINPIRILPCTSSSALAKTELPQVAFRELLLDPSVRPKGEFRLLSWESRLPPYTSFIHFVPNGTQDMPQGHSLSGRKRHTMPF >Sspon.01G0017730-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:71160298:71165016:-1 gene:Sspon.01G0017730-2B transcript:Sspon.01G0017730-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTSAAPSASATEPAAATDPPATVLPAGEGGPEIAFFDVETSVPQRAGQGYALLEFGAILVCPRRLVEVASYATLVRPADPVSAVSAASVRCNGITRDAVSGAPPFRDVADAVYNLLNGRVWAGHNIVRFDSARIREAFAEIGRSPPQPKGMIDTLPLLTQRFGRRAGDMKMASLANYFGLGRQRHRSLDDVRMNLEVLKYCATVLFLEASLPEVLTVENLVEGATTRSRANGTASPDLPKPEAKSPPDSSKRQRTVSPVECVMPEEGNQRTSDPSTNRESVELVSHIEEMKLDTTTHMDASSSGYSGFLEPHDVSIECIEISVAPLHQFGRRSSIQHRDCPLQLCCAGLKVQFGVSTKFLDNAGRPKMSIVVEIPESLSKVLEFCDDLARKLSQESGSSSEWRPLIKKYGYVNRPTVRLNIPTISNSDATAYSTDICQKEPSGSTQKLDFSNVATTELDSLFVKGGKVDAFFSPELYHYQQNAGIRLVAKRLVTSERTLEREIFIWPDQLHFNQ >Sspon.03G0036540-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:53585006:53590635:-1 gene:Sspon.03G0036540-3D transcript:Sspon.03G0036540-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAELPLVYHIGLVLAALWAAAAIGFRGSLLYLLAFLYLYMVNARCAVRLRKRIQHEEMKSAYQRRLLSDAESVRWLNYAINKMWPVCMEKIVSQLLRPIIPWFLDKFKPWTVSKAGIQELYMGRNPPIFTSMRVLPETSDDDHLVLELGMNFLSGEDMSAVLAMQLHKSVGLGMTAKMHLTSMHILVGVKFVRSWPFLGRVRLCFVEPPYFQMTVKPLINHGLDVTEFPGISGWLDKLMDTAFGQTLVEPNMIVINVENLHLLLQFPSVIFSMVPISFHSAENNWFSIEERPPIAYVKLEILEGTDMKPSDINGLADPYVKGRLGPFKFQTQIQRKTLSPKWFEEFKIPITSWEATNELVMEVRDKDPCLMTRLGGQRHDKWMSLNNVKKGRIHLAVTVEDISEDQSLDESLKQADTEVPVSTSVYSKVDSGELPEEKKVLMDEVEHINIDGQEQPGGLYSVTELDPKLPQTPRPNLKELGEKRTSIKIVVNEEASPADKVGDAENSGEDVAKVIEKNAGEPGRSLTSTLSRKFSRKRADDRLSDIAEQIEPHGSESVSEGPILVRGEPMIAEGHPTAETEQDAAGAKVATQAS >Sspon.02G0044240-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2B:100232609:100232815:-1 gene:Sspon.02G0044240-1B transcript:Sspon.02G0044240-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GRGHAYACQFRSLGWEPAASGGTRAPLASSISGAHKHHCWAWVGRAGGTRDERSEHHQHQRAQRGASE >Sspon.06G0001730-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:5559427:5560788:-1 gene:Sspon.06G0001730-1A transcript:Sspon.06G0001730-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSAGPPPPPWSRMEGQVVLVTGASSGIGRDFCLDLARAGCRVVAAARRADRLRSLCDEINASAAAKAPRAVAVELDVAAGGSVLEAAVQRAWDAFGRIDALINNAGIRGGVHSPLDWPEDEWDRVIKTNLTGSWLVAKHVCRRMRDAKLNGSVVNITSIAGLSRGHLPGSTGYASSKAAVHYATKIMALELGAYGIRVNSIAPGLFKSEITAPLFQKKWLSTVASKIVPLKEHGSTDPALTSLVRFLIHEASSYVTGNIFIVDSGATIPGVPIFSSL >Sspon.02G0025660-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2A:88990913:88991216:-1 gene:Sspon.02G0025660-1A transcript:Sspon.02G0025660-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEADKCWKVLADFWAEMLLYVAPSENVKEHIETLTKGGEFITHWWALLTHAGILERPPRNVSNDIENPWEGSSYGCPSTTQAAPAACATNQQPMTGNHSVQ >Sspon.02G0021330-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:39693713:39694351:-1 gene:Sspon.02G0021330-2P transcript:Sspon.02G0021330-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AMCCFDDCCCCGGCYDSFCDRCCPCISYDTRETIFGCCICFLVLAAVVLFVVLLAAYGFIRHVEVAVEAASLTRFALVTSPATALAYNLSLTLTVRNRNWAMSIKNTEPLEADYSFDGQRFDRIKLAGEGEVHPAGKTRVYHLDSGADGLYVALGNAGEAEFRKENATGLFQVEVALAGEVRYQAHFTKCKLAATCPLKLQLAPPGTTAVVFQ >Sspon.01G0001240-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:3637590:3638356:-1 gene:Sspon.01G0001240-2C transcript:Sspon.01G0001240-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEEEEREVGEYKLQRVVGKGSFAEVHRAAHRRTGARVAVKAIDRRRVDKRVHDGILQEREILRSIDHPNILRLLDTIDTKKMMYLVLEYCDGGDLDAFLHKHGRLPEAVAKDLMRQLAEGLKVLRGRNIVHRDLKPQFSWLVGDSNVHGWMDLAPDCRYYYLGWMIV >Sspon.03G0004480-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:30032701:30036842:1 gene:Sspon.03G0004480-2C transcript:Sspon.03G0004480-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRTSQLFPLLVAAVAVSFLPAAAASNTTTSSLQSLLAEVSQWRDTHLGDPSSHPGDGASHAGVRPNTVVAWALSFLAASVSSAGGVGGGSLFLPILNLVAGLSLKRATAYSSFMVTGGAASNVLYNLASSTGGGGRLIDYDIALLFQPCLLLGVSIGVVCNVVFPEWLVTLLFSLFLAFCTAKTCCAGVKIWRSESGGAGAGAGAARGDQHHGKEPLLLRLPRGTSDADAEGGGRGNGAGFPWADVALLVMVWLCFFALHVLIGDKHGKVRTARRPIGSPRWPRRVPSRVSFCLSIGLSSLTNQKKKSSKGLKPNGLSKPCGVAYWLITLSQLPAAVAFTGYIIYAKRKKHVVHSQDQEDGKVASWQFYLYYTVVVAKLKAIWNDDDDDMVVQADLVDTGVETLSSLTLPLAAFVTGALSGLFGIGGGLLLNPVLLQIGIPPQTAAATSSFMVLFCASMSMVQFILLGVEGIEQASIYAGICFIASVIGVAVIERAIRKSGRVSLIVFLVIAIMALSTVIVTCFGALDVWMEYTSGEYMGFKLPC >Sspon.01G0002710-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:7275860:7278647:-1 gene:Sspon.01G0002710-1P transcript:Sspon.01G0002710-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pheophorbide a oxygenase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G44880) UniProtKB/Swiss-Prot;Acc:Q9FYC2] MPEGLEARAVRSPKACAIKFPTLVSQGLLFVWPDENGWEKATATKPPMLPKEFDDPAFSTVTIQRDLFYGYDTLMENVSDPSHIEFAHHKVTGRRDRAKPLTFKMESSGAWGYSGANSGNPRITATFEAPCYALNKIEIDTKLPIFGDQKWVIWICSFNIPMAPGKTRSIVCSARNFFQFTMPGKAWWQLVPRWYEHWTSNLVYDGDMIVLQGQEKIFLAATKESSTDVNQQYTKITFTPTQADRFVLAFRAWLRKFGNSQPEWFGNPSHEALPSTVLSKREMLDRYEQHTLKCSSCKGAYHAFQTLQKVFMGATVVGCATAGIPADVQLRLLIGAAALISAVVAYAFHELQKNFVFVDYVHADID >Sspon.01G0025670-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:90160248:90163863:-1 gene:Sspon.01G0025670-1A transcript:Sspon.01G0025670-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAPCPRAHPVDLRWLLSVAAGGLFALLLLLATSPSFRLPSSSSTSRLFLGPASRPSSAPPPLFVEPALSRPAPAPPAAASLPRFAYLISGSAGDAGMMRRCLLALYHPRNRYVLHLDAQAPDADRAGLAAFVAAHPVLAAAGNVKVVEKANLVTYRGPTMVTTTLHGAALLLWGDGRGRGADWDWFINLSASDYPLVTQDDLMHVFSKLPRDLNFIDHTSNISWKAFARAMPVIIDPALYMKTKGDLFWMPERRSLPTAFKLFTGACTALSLARGRLLSARPSSLGARRTGEHAAASTSSAGGRRRSTAAARRLFGGRARLLGGRPASPSPPAASRAAGACALLRPVAPAPAMEGRGRPCSLRRRVELGQRARGGWSLRRPDLGGAPPSPSPTSAGALPPPFPTAMHLLLLPVPRGEPREDARALAHGSAWMVLSRPFVEYLIWGWDNLPRTALMYYANFISSPEGYFHTVACNAEEFRNTTVNSDLHFIFWDNPPMQHPHYLTVDDWDRMVASDAPFARKFLRDDPVLDRIDADILGRRGPGMVAPGGWCQAAAAAGGGGQNSNGTNDDPCAAVGNAAFLRPGPGAERLQRLVTSLLSEENFRPRQCV >Sspon.02G0031160-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:113766003:113767394:1 gene:Sspon.02G0031160-1A transcript:Sspon.02G0031160-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADVEACSPPVDPSRGCSKPLRTTLHVVMVTTLFFVIMFAYIYLYRHNGGDTNSYAVASLVIQLITYLALGCLYIYNSSGRQEKIISAGYLVLIMSSFGIHSDGGTYLIELVSWANMVALSSYCLWKCSFILHYCRLKKLVRTILHGAIVAILLVVIISAYSLYRYLYFGVIIVSLLIQLPTTPLLSYTFATPSGRKEKVISGGLLVLVLFVFLFCSFANIDIRTDLIFGANIVAISSYCIWKLYPWIRRWLDALDAHTETEPLHKTSFHIKDLPKEFSSDEIQSITQEFGNRIGHGASAQVFRGSLDDGTAIAVKRIHMHSGRTEAGEEEFRREVSIIANVHHRSLVRLLSYCLRHGGGLYLVYPFFQNGSLDRWIFNRSDEQRRLLTWPKGFCIAINMVQALAYLHQDCHRRILHLDIKPGNILLDSDLRAHVCDFGISPYPSPAT >Sspon.01G0000910-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:1841660:1843838:-1 gene:Sspon.01G0000910-2B transcript:Sspon.01G0000910-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRAVRYLLGSPGASGFGSTSTAEEVTPDLGATTAIITGATSGIGAETARVLAKRGARVVIPARSPKAAEDVRARIVAECPAADVLVLPLDLSSLASVRAFADRFLELGLPLHLLINNAGKFSHGQLALSEDGVEMTFATNYLGHFLLTKLLAARMAETAAATGVQGRIVNVSSSVHGWFAGDWAEYLHLVTRRKIPYDATQAYAVSKLANVLHTRELAARLQEMGANVTVNCVHPGIVRTRLNRDREGVLTDLVFLLLSKLLKTIPQAAATTCYVAAHPRVAGVSGRYFADCNEALPSPAATDRHEAARLWRVSEAIIDGCTGTSSGHPQQDCTTAPLLRFLPAQTQTQTGASSSPRRRAC >Sspon.02G0021600-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2A:72426796:72428010:-1 gene:Sspon.02G0021600-1A transcript:Sspon.02G0021600-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTRKAGLPALSKPAKPPPPPLLARPKLPVPTNTATDATSGKDCTKKPPPEATDSHPSSSGAGDVLRLMDALGIPPDEDIYISLLRDCADAAEVASVDAHMTARCASDGLPWPVANRVLLSYAACGDIDAARRVFDGMPTRNGMAWATMVSAYSDGCFHRKAMRLFADMCHGTPILDGDCCSHAIVAVLRSCTRVGELRLGEQVHALVIKKGRIRGDIGSSLVQLYCESSGLHRSARRVLVTMMQHHRQEPIPEAAWTSLITSCHRDGQLSEAIDVFRDMASSGVPRSSFSLSSILAVFAESQNQGCCGQQVHADTIKRGVDTNQFVGSGLVHMYAKQGRLTDAAMAFEAIGGKPDAVCWSALAMAYARGGRYREATRVMYQMKAAGMNPSQEMANAVRLACFR >Sspon.06G0014540-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:80243631:80247775:1 gene:Sspon.06G0014540-1A transcript:Sspon.06G0014540-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYFPEEVVEHILGYVTSHQDRNAASLVCRAWYDIERHGRRSVLVSNCYAVRPERVHMRFPNMRALSVKGKPHFADFNLVPAGWGATAEPWVDACARACPGLEELWLKRMVVTDECLKSLSLTFTNFKSLVLVCCEGFSTAGLADIATNCRFLKELDLQESCVKHQGHQWINCFPKPSTSLECLNFSCLTGEVNVVALEELVARSPNLKSLRLNPSVPIDVLPRILSRTPMLEELGTGSFVLGNNAGAYISLYRALGKCTLLKSLSGFWDAPGLYVQGILLPICKISALTCLNLSYAPLIQSDQLISIVRLCTRLHVLWVLDHIGDEGLKVMSSSCPDLQELRVYPSDPNPNPNAPARTTVTEEGLAAISSADAVTGQPLDEGFGAIVQSCKGLRRFAMSGLLTDNVFLYIGMYAEKLEMLSVAFAGATDDGMVYVLNGCKNLKKLEIRDSPFGDAALLAGAHRYESMRSLWMSSCEITLGACKTLAATMPNINVEVISEAGASVVVTDDGISNNARKVDKLYLYRTIAGPRSDTPGFVSI >Sspon.03G0011270-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:30614456:30619014:1 gene:Sspon.03G0011270-1A transcript:Sspon.03G0011270-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAAFPSGLLFPTRPSTPPLPSSSSSSRPSHSRPHLRIRSPNPTNPAAAPASSRMEVAQPQASDAQGGGAEPAMKLLFVEMGVGYDQHGQDITAAAVRACKDAITSNSIPAFRGGSIPGVNTDQMKLQIKLGVPRSTQHLLDAERIKAVFPYGKIISFEVVDGGMICSSGVCLEAMGDKNDDCYIVNAAVYVGY >Sspon.03G0024240-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:73875652:73877071:-1 gene:Sspon.03G0024240-1A transcript:Sspon.03G0024240-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPNTVRVAVGILGNAASMLLYTAPILTFRRVVKKGNVEEFSCVPYILALLNCLLYTWYGLPVVSSRWENLPVATINGVGILLEISFIGIYRFALQLVLPVLALFGLTATLSSFMAHTHRMRKVFVGSVGLVASISMYSSPMVAAVIIFHSDNICLLRSVKCSNPRIFWTERLRLQKRVIATKSVEFMPFYLSLFSFLSSALWMIYGLLGRDFFIASPNFIGVPMGMLQLLLYCIYRRGDGAAAEAEVRVHGAAADEEKGLKVAVPMAVQVQPQETAASTTVNQNV >Sspon.01G0024620-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:87846578:87851612:-1 gene:Sspon.01G0024620-1P transcript:Sspon.01G0024620-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVVYYQYKSEKEICSMPVPHAFISVSELKQLILTSGKHGRGRTRGRATEDIVISNAHTGEEYADERASVPQNTTVLVRRISIPGQLSEKIVLSPTRKVTEECSVPCKSVVTDSSSKSCSSTVVQDEDAAIVAVIDAAELKLEQHPSKRGQGSGRFTSGRNYGPLEGETPPPGYVCRSCGVPGHFIQHCSQENKTPPPGYICYRCRIPGHFIHHCPTIGDPKFDNNKMSRSLVPVVTVSPVDGILDSLVPAAPVSAVDDLPAELHCRLCKKVMRDAVLTSKCCFDSFCDRCIRDYIITESKCICGVKTLADDLIPNHTLRSTISNMLGTQTSSGGSGTTRHRSSSGSNSDPKILSHTASAASAREAKQSTDHQLPAASAPDDVLQVATGGALVNQPLEKLAATARILSKDEGNSAEVSAEKAAANAEATKVNDGSESTSKATTVSGALKHNSTRTDQLKKKRKKADLTKIVQPNNVGYGYNVPFDPAYYNPFNNGYPWATEPYMYSSMGMPYTGYPMDPYCVNTFTGMPPQVLAMQGYPASYQRPGTEPMHRGGTAAARALSKHPERSERPKDTRLQPQSPEHKRQLVSSHGSESRTRNRTRSSSERREHGNSDRASVEDHSSRKRMCDSSPKYDDKQSSRRSRHGSRSMMTREEDASDDERNFKRRWGRRSSGGVDTRH >Sspon.07G0003780-2D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1D:96031316:96035648:1 gene:Sspon.07G0003780-2D transcript:Sspon.07G0003780-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRSGQVHGR >Sspon.03G0012720-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:45698228:45702463:-1 gene:Sspon.03G0012720-2B transcript:Sspon.03G0012720-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFHHQQELLQAEAAAADRESSMSNLTSSASGGLNAPPAPAPPPPASAGNNKRKRNLPGNPDPEAEVVALSPATLMATNRFVCEICGKGFQRDQNLQLHRRGHNLPWKLKQRGTGKEAQRKKVYVCPESSCVHHDPARALGDLTGIKKHFFRKHGEKKWKCDKCSKKYAVHSDWKAHSKICGTREYKCDCGTIFSRRDSFITHRAFCDALTEESAKAIGLNAMAAPAHHHHPLLFSPPPAAHVMQQDVALLQEHPHHHQQEVMHPPPPLQQHCNYAMKTEMPPWPPAMAYDHPLLQQPLCNAAAAQSSATSAPPPPQVPAASAHLSATALLQKAAQMGATIGGAGAGYTQMAGPATSAPGSAATFGLGLPGLQNAQQQDGGVMAGLARTASHGRGGEEGADGMTRDFLGLRAFCQRDILGLAGLDSSCMGALTANASMSCYEPQQQHAQAQAQAQQHQHQHQQSSNEPWHGMGSHS >Sspon.03G0019390-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:60271092:60274799:-1 gene:Sspon.03G0019390-1A transcript:Sspon.03G0019390-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGERRLPAGACLLAVAMVLQAAAVVLVLGEESDGAGGLLDPRQLEKFVDELPDMPRLRGYGVTEGGALVAGNLTIGMYDTTWKFHRDLPATRVFAYGTSKETATVPGPTIVAMRGVPTHVTWPNHLPARHFLPWDPTLTTAAAPGGRGIPTVVHLHGGVQHSSSDGHSLAWFTSGLAATGPTFSPPPYAYPNWQPPGNLWYHDHAMGLTRVNILAGLMGAYRVASPAEEAPLNLPSGEAFDRNLVLFDRDFRAADGALFMNRTGNNPSVHPQWQPEYFGAVVVVNGKAWPYLRVRRRRYRFRILNASNARFFRLSLSGGLRFVHVASDSVYLARPVATDKFLLAPSEIADVVVDFAESAADADEVVLSDDAPAPYPGDPGEKAETVAVMKFVIEGGATMEPDTSTVPATLMPHYPRPDAREAATTRLITMYEYTKAGTDEPTHLYLNARSYMDPVTETPREGTSEVWEVINLTDDNHPLHVHLAVFAVLEQRSLRRVDEFRDCMKRRNDARACGLARHLAGGRRHVVPRQERGWKNVFKVRPSAVTRILVRFKPLTDAASPESRFPFDVTTGPGYVYHCH >Sspon.04G0008870-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:25882228:25886551:1 gene:Sspon.04G0008870-1A transcript:Sspon.04G0008870-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytosolic Fe-S cluster assembly factor NBP35 [Source:Projected from Arabidopsis thaliana (AT5G50960) UniProtKB/TrEMBL;Acc:A0A178UBK9] MENGGGKGDVPDDANERKESFPPCPPGASSFLFPYCPGTQSEEAGKADACAGCPNQQICATAPKGPDPDVVAIVERLATVKHKLLVLSGKGGVGKSTFSAQLSFALAEMDHQVGLLDIDICGPSIPKMLGLEGQDIHQSNLGWSPVYVESNLGVMSIGFMLPNPDDAVIWRGPRKNGLIKQFLKDVDWGEIDYLVVDAPPGTSDEHISIVQYLQATGIDGAIIVTTPQQVSLIDVRKEINFCKKVGVPVLGVVENMSGLRQPLSDLRFVKSDEAGETDVTEWALNYIKEKAPELLSVMACSEVFDSSKGGAEKMCHEMGVPFLGKVPMDPQLCKAAEEGRSCFADQKCSVSAPALQNIVKKLIKTK >Sspon.08G0002080-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:6498545:6500260:1 gene:Sspon.08G0002080-1A transcript:Sspon.08G0002080-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALDLMAVFPDLVRNIKKASIDNNMEWFEDKFSTLRHLEGHGFSVQSLHSTLTKLVKIKSQDTICLGEIDKLDVEIEVETASLTRMGALLDEKDSSIAELEQKHGALRQETQKIAAEMETKGTKLLRLKSKHSKFKEAYGAAKLEFHSDRIAVRSSLISMRHKGINSCTNYIYYY >Sspon.07G0008240-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7A:22531673:22534432:1 gene:Sspon.07G0008240-1A transcript:Sspon.07G0008240-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHCGRLHRFLSSAAARAAAPIPARHVPRSPGTSAQSARIRELGRLGRLREAREVFDAMPFRDIIAWNSMIFAYCNNRMPDAARSLADAISGGNLRTGTILLSGYARAGRVRDARRVFDEMGVRNTVAWNAMVTCYVQNGDIALARKMFDAMPSRNVSSWNTMLTGYCHSQLMVDARNLFERMPEGNLVSWTVMISGYVLVEQHGKAWNMFRTMLCEGMPPEQPNLVSVLSAVRHLGKPGILESIHVIVHKTGFERDVVVGTALLNVYTKDVNMLDTAVKFFEGMAVRNEYTWSTMIAALSQAGRIDDAFAVYQRDPLKSVPSRTAMLTGLARYGRINDAKILFDQIPEPNVVSWNAMITGYMQNEMVDEAEELFNRMPFRNTISWAGMIAGYAHNGRSEQALVLLQALHRKGMLPSLSSLTSSFFACSNIEALETGKQVHSLAVKAGCQFNSYVCNALITLYAKCRNIGFVRQIFDRMTVKDTVSYNSFMTALVQNNLLDEARDIFDNMPSRDVVSWTTIISAYAQADQGNEAVEIFRSMLHERELPNPPILTIVLGLGGSLGAPKLGQQIHTVAIKLGMDSGLVVANALVSMYFKCGSADSLKVFDSMEERDIFTWNTVITGYAQHGIGREAIRMYQLMVSAGVLPNEVTFVGLLHACSHSGLVNEGRQFFKSMSIDYGLTPLLEHYACMADLLGRAGDVHGAEQFIYDMPIEPDSVIWSALLGACKIHKNVEIGTRAAEKLFSIDPSNAGNYVMLSNIYSSQGMWDEVAKVRKLMKEQGVNKDPGCSWMQIKNKMHSFVTGDEEHEQIQDIYATLWELYTSLKATGYVPDTDFVLHDIDEEQKESSLLYHSEKLAVAYGLLATPKGMPIQIMKNLRICGDCHTFIKFVSYVTKREIDVRDGNRFHHFRNGSCACGDF >Sspon.07G0004250-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7B:9676202:9676788:-1 gene:Sspon.07G0004250-2B transcript:Sspon.07G0004250-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVELGFTGGGEGRGVFFYSVLFQAVSARRRSRRRWRITSPHISFFSAAFFSNAYGEIRELEKLGRSPGRWVIADGFIPFPMTGVYFDSFQSQGVMGLFQLMVVFGFFFDGGSQPRGGRSRMVRKGSRGIEIIFFLA >Sspon.07G0014160-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:56610720:56613377:1 gene:Sspon.07G0014160-2B transcript:Sspon.07G0014160-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCSFLCPPYSCNLTHLRSRSCELTGDLRVRARCRCACACSRSPARRFAPSRASASDRAGATTAGLAAAEEEEEELDELLFVQLSSDILETELSLLKADAPPAGGDSSLGITAVENGGSEDGRLSGGRETAAYPAAMNALYAACLAGNVTEQLWNFTWPAALATLHPSLLPVAVLGFFSKLVVFAAGPLVGDLVSSLPRIPAYRSLTVIQTAAHLVSAAMVTYAFTAPRASSASALLLQPWFAALVASTAVDRLSCVSLGVIEERDFVVQLAGEGRPIALARANAALSRVDLLCETAGASIFAVLLSRNDLVTCVRLSCAISLCALPLLFFLCGAMNRLADGVFDRSAASASPGPLERSGRHGRSTAVGEAWETIRRGWTEYLRQPVLPASLAYVLVCFNVALAPGALMTTFLIHHGVSASVLGAFGGSSAVVGILATFVTPYLVKELGILKAGAAGLVVQSALLGAAVVVFLTGPVSRRGTLFAFLSFIVASRLGHMAYSVIGLQVVQTGNPVGKAKLIGATEIAVASLAELAMMAVATVAGDAAHFGCLVVLSAASVAAAACLFCAWLANPTDDLRRIFPC >Sspon.05G0010880-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:30967367:30982936:-1 gene:Sspon.05G0010880-1A transcript:Sspon.05G0010880-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Smg-4/UPF3 family protein [Source:Projected from Arabidopsis thaliana (AT1G33980) TAIR;Acc:AT1G33980] MKDPAHRTKVVLRRLPPAIVQQAVLDQVDARFAGRYDWACFRPGNASQKNHRYSRLYLNFKRPEDVVEFAEVFSGHVFVNEKGAQFKAFVEYAPSQQVPKSNIKKDGREGTIMKDPEYLEFLELISKPTEHLPTKNMAQRPGSRLSRKVAGVVTSSSSPKRSSEKRRSSTYVVRDSTKEKPTYIMVSKREEHTHREKTAAGNSGDATSGGTSGSGQAAEAKRDKIVILKGRGRVDSNTPDGATQQSSTPVKNVPPSSSRLDQRPEASGRIIKTILSNKEVRSSNPSQNPSQHEQEGHMFNTEKDKRLPRALNPRTIVKDQVVENAERSHFDEKTSHIHGSAPIGEKVERHARNRDRPDRGVWAPRRYDKSTSGGGSHASSSDFPQMQSHSGDNVSQLADGHGDRKTDTRGHGGSRGVPVENGHRHANRRGPPRAPKETEISASILPSKQVLQVVEEVLDSAAHSSLLAVDPAKRRAACVPMIRGVRHLELEVTDDGLCIGTPGTQVGDGGFQHSDAIVTLRVDVFHVRHV >Sspon.02G0045680-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2C:104273293:104273547:-1 gene:Sspon.02G0045680-2C transcript:Sspon.02G0045680-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKSVVLPAMVVIAMVLLFAASAQARPYGALPDDLAHEDDVLTNQFHHGAFDLNQAGATFPVPQEVTGFALDGERPDLTIDTSG >Sspon.04G0007430-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4B:17800420:17801724:-1 gene:Sspon.04G0007430-2B transcript:Sspon.04G0007430-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thylakoid lumenal protein TL20.3, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G12250) UniProtKB/Swiss-Prot;Acc:Q8H1Q1] MFPLVDDCTFVVCLVVLELNQRLSLFRRLLRVNCIRHAVVLNEANLTNAVLVRSVLTRSDLGGAIIEGADFSDAVIDLPQKQALCKYASGTNPITGVSTRKSLGCGNSRRNAYGSPSSPLLSAPPQKLLDRDGFCDPATGKIQDVDEIWALSTECHM >Sspon.06G0035640-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:79656649:79657959:-1 gene:Sspon.06G0035640-1D transcript:Sspon.06G0035640-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAGRERWSLAGATALVTGGSKGIGHAIVEELAAFGARVHTCSRNAAELDECRRRWVEKGLQVTVSICDVAVRADREALMDTIKDVFAGKLDILVNNAAQVIVKPAVECTAEDYSRLMATNLESCFHLSQLVHPLLRKSALSLLTLVWRTGGMNQLTRSLAAEWAQDKIRVNCVAPGMVMTDMVVKNVRT >Sspon.04G0000780-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:13382247:13385677:-1 gene:Sspon.04G0000780-2B transcript:Sspon.04G0000780-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Receptor-like serine/threonine-protein kinase ALE2 [Source:Projected from Arabidopsis thaliana (AT2G20300) UniProtKB/Swiss-Prot;Acc:Q8RWW0] MRHHSHKHPHHRESNQGPSPSSSPPPEGCSSYICTEPLTATPIGSPCGCVEPISVIVDLDLAPYLLFMSIAELEVEVAAGTFLKQSQVKIMAAVASIQDDQKTRVTIYLVPLREQFDTYTASLISDRFRDKKVQINSSIFGDYKVINISYHGLQSPPSSLPGGSDPSGTEDPITAEVPGQKKKHKKSDILIIVVVLVSSFGLLLTCAVVLVLLVRWKKLGRLHEAMSPATTQAVNRRYGTRSTLSTSRVSSASASVFSTMATCTTSVKTFSLAQLQKATDGFDSKRVLGQGGFGRVYHGTIEDGNEIAVKLLTREDRSGDREFIAEVEMLSRLHHRNLVKLIGICIERNKRCLVYELIRNGSVESHLHGADKAQGKLNWDVRMKIALGAARGLAYLHEDSNPHVIHRDFKASNILLEEDFTPKVTDFGLAREASNATQPISTRVMGTFGYVAPEYAMTGHLLVKSDVYSYGVVLLELLSGRKPVSISESKDPENLVTWARPLLSHKEGLEKLIDPSLDGKFNFDNVAKVASIASMCVHTDPSQRPFMGEVVQALKLIYNDPDEACDDSYSPRNSSDQDGDYEGDLVFESGSWAFEASGCLDYRNSL >Sspon.01G0002440-4P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:6541052:6543122:1 gene:Sspon.01G0002440-4P transcript:Sspon.01G0002440-4P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGADGRQAIFTAECSHTFHFHCISASVAHGHLVCPLCNAQWRELPSVRPSQPSSMPPTLPRQPLPRMELMHGVQQPPPPRQQPVPAVQSPSQPAEPEVFDDDEVELPSGEDNQRQAAASSGTLAVKTHVEFSAVARDSSHNNFAVLVHVKAPAYEAAAGDRDAPRAPLDLVTVLDVSGSMHRNKLALVKQAMGFVIGSLGPHDRLSVVSFSSGARRVTRLLRMSDTGKSLATEAVEALRAGGTTNIAEGLRTAAKVLAERRHRNTVLVPPSFVPGTASTGELSAPIHTFGFGSDHDAAAMHVVAEATGGTFSFIENEAVIQDAFAQCIGGLLTVVVQEARIDIACGHPRVRISSVQSGRYESRVDEDGRSASIAVGELYADEERRFLLFMAVPTVEAADVGESETLLLKARCSYREAAGGTYVDVTAEDTVVARPEHAADAERSAEVERERARVEAIEDMAAARAAAERGAHQEAAEILGNRGRAMARAAERVGGYPNGALRAEVSRMRKRVANRESYERSGRAYVLAGLSSHAQQRATTRLLRPLQAVVQPSAGSVGGGEATNSVADAGDAEAVDMGKDKNLMGYFGVGAPAGEAGTSDAASADVESHEADAAAAYLTPAMRAMLLRSRQAREASAEQQQQPKAAEEAGSSGSKEVAEAE >Sspon.03G0005150-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:13500310:13504825:1 gene:Sspon.03G0005150-1P transcript:Sspon.03G0005150-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHKLLPRAAAAAAPLLLSRPRMPTLRLAGSPFVATCPGANPGRIPSPPWLRCDAGRRRGLCSAEAARRGGDTEERDKGGGGRAAPERKQRGRSDALVGSGELLAIPGVGPRNLRKLVDKGFDDVAQLKQFYRDKFFGKSNEKMVEFLQNSVGIIHKNHAESITLFIKESVDEELKGTDTSKLPKNKRLTFCVEGNISVGKTTFLQRIASETIELRDLVEIVPEPIAKWQDVGPEHFNILDAFYAEPQRYAYTFQNYVFVTRVMQEKESACGIKPLRLMERSVFSDRMVFVRAVHEANWMNEMEISIYDSWFDPVVSSLPGLIPDGFIYLRASPDTCHKRMLVRKRSEEGGVTLDYLRGLHEKHESWLLPSKGGGSGVLSVSQLPVHMEGSLPADIRDRVFYLEGDHMHSSIQKVPALILDCEHDIDFNKDIEAKRQYARQVAEFFEFVKKKKESPTAETTDGDNKSINKQIVLPHRGGLWVPGSSPIPESALKSFDFRRTMSSFLST >Sspon.04G0033820-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:35374254:35380093:1 gene:Sspon.04G0033820-2D transcript:Sspon.04G0033820-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding KPGTDNDCFFAGDARNSKGTVTMTVLQHFMEEEAELKKQIEATKLRGEELKAREKNIDPKLVRVMNGDFEGIGLEELKKFHDMLVEIKS >Sspon.05G0031950-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:11428782:11432033:-1 gene:Sspon.05G0031950-1C transcript:Sspon.05G0031950-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVLRSPFAGGDLPAGDADPDYLYFLEHIRLDGDSYTLELPAHGDSQASLLKYEAPLVSSSDGECVSDPSPGRLSSNRRAVEERESSESASLETAPAWYDSLDDVDEDYRLFLQHTSLVDGQLVLEIGGVVVNYDELVAAGSRGEKGTQRAEEAAFASPGEGVGVAAGSDEVASGAPATVVPEQNASDWRADPSPRREVNDGGDEGLSDANTLKGAYREASSSDGRRAGHPTNSGGKVEKEGIIWPTHITRRPDSDFKRRLIKALTKPVAPKEYYRLFETVTIRTPLMKLRQVRNETKSYPAEEMGKSYLEHYPDLADQIMKSGSRNGLALMRGFLFWLQNNVHEDQFKPWVDDSKEQEDDENRMQNRMVKTEDLV >Sspon.06G0022990-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:39727964:39729096:-1 gene:Sspon.06G0022990-1B transcript:Sspon.06G0022990-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MCHSDLHSIKNEWNNAMYPMVPGHEIAGVVTEVGKNVTKFKPGDRVGVGCMVNSCQSCDSCAEGFENTCRGGIIFTYNSVDRDGAVTYGGYSPAVTVHERFVVRFPDAMPLDRGAPLLCAGITVYSPMKYHGLNKPGKHVGVLGLGGLGHVAVKFAKAFGMKVTPPQPPPTPGSANAVISTSPAKKQEALDWLGADAFVVSRDAEEMKAAASTMDGIINTVSANIPLAPFMGLLKPNGKMIMVGLPTKSLEIPPFDLIMGNKTLAGSCIGGMRDTQEMLDVAAKHGVTADIELVPADYVNTAMERLAKADVRYRFVIDIGNTLQEF >Sspon.06G0004030-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:12173415:12176063:1 gene:Sspon.06G0004030-1A transcript:Sspon.06G0004030-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSFNICPQIDPLVTTRVPGVALDMLFLVIIQALAVIFLAKFIHLFLRRYNQPSAVSQILAGVAVGGMGLRNAILHVDVDDVEDMYGGYICAARVVYMFLVGLDLDLAALRNATRRCVALAYATVAASLLVAAIVSTGMYGSMMHSPVKTPELLAATLMLAITNTSSITVARVAGELNLTVTENGRLLVAAAIITNLICVVGDAVLSSTALAKEKSQDLYHTSPQIKKGFLALAVAGVAVWQVRPLVTRINQRNVGQHHVRTRHLVAILFGIWFISNIQQLLGFDGMPTSLALGMAFPREGPAARSVADALVPPVNGFVLPFYFATIGMRLDYNSMSGAIIVPGLLLTLLGLVAKAIGAASASTYLNIPISDALRYSVLLNVKGHVDTMNMKFAKSEGVWAEQALYAMIIGNLISTVIAGPAAAAVLRREKEEYRTRHQAMESLGAEQELRMLVCAHSAHAAPGVLSLVELLVITPQEQPAVPVLHFFEAPRDRSARTPYHQRARGDEAAEGKGGPDPVTQMNMVVDVFSKTTGIFFRQVDVVSLGASRDAAVACRGAEEAHAGLLLLPCYKEQRFDGKMACRLEERWKLNHDVLERAPCTVGLLVDRPYRGSGTSFQTPIGIAPESGRTLVHPCSDRTVTHVIAAVFLGGPDDREAVSFACRLAEHPAIGLTVFRFVKRSTYDTVTSSTSRAAAGGDELDVPFQEGDVDERFLWRFYENYASRELAMYVEKLVESPADVVETLEGMAGMFSLVVLGRGGRQPVELMAGLERWSEGGSEIGPVGEILASNESLEMGSVLVMQQHTVATPPPCQ >Sspon.04G0028660-2D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4D:72079898:72080247:1 gene:Sspon.04G0028660-2D transcript:Sspon.04G0028660-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGARPSSCVSTVNAASMASCGTIGRAARRHRTTAGAPRRLCAVKAAVLGDLARDPCASAMAAASWRAVALAMATAGWLLLGGGGAWAFLAEDLVTWLPGQAPVTFRQFAGYVDVD >Sspon.02G0033270-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2B:5771198:5771395:-1 gene:Sspon.02G0033270-1B transcript:Sspon.02G0033270-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDAPALAPKRQYTRLHNKCEDRVITSDAADTTTIFFTPAVTVCGVDVSLYIVVASMRRVPAPRS >Sspon.03G0023180-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:94376056:94383207:1 gene:Sspon.03G0023180-2B transcript:Sspon.03G0023180-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEFDSGGRSGDRPGAAAAGGDDGAAPPLPQTVQIGNSPTYRLERKLGKGGFGQVYVGRRISTPRLSDRNPGSNALEVALKFEHQTSKGCNYGAPYEWQVYNTLSGNHGVPRVHYKGKQGEFYIMVMDMLGPSLWDVWNNNSHSMSVEMVACIGIEAISILEKMHSKGYVHGDVKPENFLLGPPGTPEEKKLFLVDLGLATKWKDAGTGHHVEYDQRPDIFRGTVRYASVHAHLGRTGSRRDDLESLAYTLIFLLRGRLPWQGYQGENKGFLVCKKKMATSPESLCGICPQPFRNFVEYVVNLKFDEEPNYAKCISLFDGIVGPNPDIRPINTDGAQKVGQKRGRLIVDENDDEQPKKKIRMGMPATQWISVYNARRPMKQRYHYNVADNRLLQHIQKGNEDGLFISSVASSSNLWALIMDAGTGFTSQEWIMEQWERNFYITALAGANNGSSLVIMSRGTQYAQQSYKVSDTFPFKWINKKWKEGFYVTAMATAGSRWAVVMSRNAGFTSQVVELDFLYPSEGIHMRWDNGYRITATAATWDQAAFILSIPRRKPTDETQETLRTSAFPSQHVKDKWSKNLYLASICYGRSVS >Sspon.05G0017750-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:74452318:74457512:1 gene:Sspon.05G0017750-1A transcript:Sspon.05G0017750-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRLLKAATSGDAASLKRLASHDPGVLFWTTPPGNTCLHISSIQGHDELCESILAMDQSPALLSAVNKDGETPLLAAVARGRASLASALLSAALSEVILKQDRHGCNALHHAVRRGHGKLALELIEAEPALSRAVNGRSESPLFIAAMRNLTEVLDRLLEVPDSAHSGAFGFNVLHAAVRSGNLAIAKKIMKTRPWLATEENENRHTPVHLAAYDGEINALAALLEHDPSLGYLTSTNGDPLLCVAASQGHGGVARELLEHCPDAPYCDASGSTCLHIAVLCGHTEFVKSILGLQQLGHLINVLNGSGETALHLAVRNCKPDMVAALQLHQDIDVTVLNSAGDPGSRVLPDAANHTKPLISTMAHETGTAAVNSTGAAITTPSDGATIMNSSSGAPTTPPPLSVSLAIHQRLLGAAVCGNSAEMKHMALQTPGVLLGTTPQGNTCLHIACIHGHERFCKDVLALTNNQQSPAAASLLGAVNADGETPLLAAVTSGHVFLASFILGRCREEQLNEAILTQDKRGFNALHHAIRSGHRKLALELIDAEPGLSKAVNKYDESPMFIAVMRNYVDVSEKLLEIPDSAHGGAYGYNALHAAVRSGNPVVAKRIMETRPELAREEDKHEATPMHMAVHWDKIDVLRVLLEHDWSLGYVFNSDGAPILASVASRGYVGAARELLKHCPDAPYAPANGVLTCLHQAVKGGHMELLEFFLGSKHLRKLVNMRDITEETPLHDAVRKCNPKIVNALLQHPDTDVTVLNSSGNPATWLLSPMTEHAKTLNW >Sspon.08G0010680-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:44016165:44019053:-1 gene:Sspon.08G0010680-3C transcript:Sspon.08G0010680-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRGVWQLQKLVVNYCDWGGSSRGIRAFMEAHLPAFKEKNPHLEVVTELVRGQHPNLKGIYKNHNERVVCVRNLPPEEILLQATRLRNSLGRKVVKLRTRHVTKRPSVQGTWTTELKM >Sspon.08G0010180-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:44678941:44688878:-1 gene:Sspon.08G0010180-1A transcript:Sspon.08G0010180-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAMMGTEGVAATVIEDPEPSIPPGFGPFTAPASWGIQNNVKPADAHSSSVLASQSIDDDVEILEYLSSSVDRQSDAGCSISGSNTCRKSLRNRPPIDYSRFGQIAYEDSDAEVADKGVSSVKHRQQFPKGVLRGCPECADCQKVIARWNPSGARRPVLDEAPVYYPTEEEFQDTLKYMESIRSTAEPYGICRIVPPASWKPPCLLKEKNIWECSKFSTRVQKVDKLQNRKASKKSRRGGMMKKRRKISETEEINQNQIGMQQNQERFGFEPGPEFTLQMFQKYADDFSDQYFMKDKCRDSPPSVEDIEGEYWRIVERPTEEIEVLYGADLETGTFGSGFPKLCPEMKSDVEDKYAQSGWNLNNLPRLQGSVLSFEGGDISGVLVPWVYVGMCFSSFCWHVEDHHLYSLNYMHWGAPKMWYGVAGKDAVNLEAAMRKHLPELFEEQPDLLHNLVTQFSPSLLKSEGVPVYRCVQHEGEFVLTFPRAYHAGFNCGFNCAEAVNVAPIDWLPVGQNAVELYREQARKITISHDKLLLGAAREAIRAQWEVLFLKRNSADNLRWKSICGPDSTICKSLKARIEMELAQRQNISSPCQSRKMDTEFDSTDRECALCYYDLHLSASGCPCSPEKYACLVHAKQLCSCDWNKRFFLFRYDVNELNILADALGGKLSAIHRWGVSDLGLSLSSCVKREKVQDSKSVRRLTDGPRRSYMSQASTVSLVPSSVSTEQKNNENKTLDLGCPGTNLLKISSEANNACPLTKQIKSENVSQLKEPCVKNELSCPTSNGTSRQHNGGIGGHKVAAPSLMVPSGQSFPANAVTRPLITSGESVRNTHVLAVFKESRETSCQTGDCTSSLTLREYHNRPLSMIDNGANMKPDLENLDNSHRLMASPDFNATLCHSYKDQTFLTPETNTSVMTEKDSSQARTASQQFVNTASRTQNVSQEPLCSVTAPKQLIDPQVVKNSYGVFGSGSVHLGHPTVGNQQLNERWHQRQSDTLSSVEVRARGHSAMIVQPALENHSRNGVAQKGPRIANVVHRFKCSVEPIEIGVVLSGKLWSSSQAIFPKGFKSRVKYFSVVDPVQMTYYISEILDAGQQGPLFMVTVENCPGEIFINISPTKCWNMVRERLNMEIRRQINMGRANLPTLQPPGSVDGHEMFGLLTPAIVQAIEARDRDHVCTEYWRSRSHVTTENRDNQNMPPQDPLLIALRGLFQRANCDELRALRSLLMSNRTLDDIIASGINKHEATAASPARKSKGPFSYDSAAALENHSRNGVAQKGPRIANVVHRFKCSVEPIEIGVCAIWEVVVLKSSNLPKRVKYFSVVDPVQMTYYISEILDAGQQGPLFMVTVENCPGEIFINISPTKCWNMVRERLNMEIRRQINMGRANLPTLQPPGSVDGHEMFGLLTPAIVQAIEARDRDHVCTEYWRSRSHVTTENRDNQNMPPQDPLLIALRGLFQRANCDELRALRSLLMSNRTLDDIIASGINKHEATAASPARKSK >Sspon.02G0029880-3C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:111823544:111824807:1 gene:Sspon.02G0029880-3C transcript:Sspon.02G0029880-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKRKASDSAADIDGDGDGNDVLQYSPPLLASTDTCAWASSGGGGMAAAVAAAAAAQQQQRGRKRFVGVRQRPSGRWVAEIKDTIQKIRVWLGTFDTARRPPAPTTRPRASSAAPTRAPTSGRAPRRLPPLHQAPALPSKVTNLLLLRLRARNNQHQQQLLNATPARQQEAALLQGPLGAEPYGGGEECAFQVDDFLSYDCGSDENSSQEIEDEEEEEEEELDFQFMDQQAASPAAGCEGGGLCSPFEVVAAELGGAVEAGGVGGEPATAFHELMMSRMDYERKISASLYALSGVSECLKMRLGAAAAGGGHAVRDQLSGLREACRKKQRETVQQQHEHEQKPSTETPEDVKPSREECSPSGGAPEATSSSSEASDGDCDGDVLLWSSLDLAPIC >Sspon.02G0021350-3C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:72898895:72899934:-1 gene:Sspon.02G0021350-3C transcript:Sspon.02G0021350-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:IAA-amino acid hydrolase ILR1 [Source:Projected from Arabidopsis thaliana (AT3G02875) UniProtKB/Swiss-Prot;Acc:P54968] GTVKLIFQPAEEGQGGAYYVLQEGVLDDVSAIFGLHVDPALPVGVVSSRPGPFAATSGRFLATVTGKGGHAAMPHESVDPVLAAATTIVSLQQIISREIDPLQGAVVSITFMKGGEAYNVIPESVTFGGTLRSMTNEGLSYLMKRITEIVEGQSAVHHCTASVDFMEDTMKRYPAVINDERMYAHAKEVAESLLGEKNVKLGPQVMGAEDFGFYAQRMAGAFFTIGVGNKSTMETIHSTHSPYFVIDEDVLPIGAAFHAGVAIEYVKKNHAST >Sspon.03G0024630-3D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3D:59511191:59512656:1 gene:Sspon.03G0024630-3D transcript:Sspon.03G0024630-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASHGPVMLLHMGRVPTVVASSAAAAQEVMKARDLAFASRPRVSMAERLFYGRDMAFAPYGEHWRQARRVSVLHLLSQRRIHSFRRAREQEAAAMVGRVRRAAGGRGDDAVVNLNAVLISYSSGIISRAALGIGDVQSYGLDGTARSGGEGERLTKLFDDFEELLGTVTVGELLPWLAWIDTLMGLHAKAARTSAEMDAFLERVIADHRQRRRAGHRERREGGGDDHRDFVDVLLDVNEAEHDDAARGVLFDNDSIKGIILVRNACIHVLNNYYKSESGLREQNMFAAGTDTTYTTLVWAMAELINHPHEMRKVQDEIRAAVVGRGGDDVTEDHLQDLRYHRRVIKETLRLHAPVPLLLPRETMENTELLGYHVPARTRVVINAWAIARDPGHGSARTSSCRSAGRRGCPGVGFAAPAMELALASLLYHFDWELPAGGPPKVEMDELKGLSVRLKTTLHLTAKP >Sspon.04G0022650-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:12932228:12933682:-1 gene:Sspon.04G0022650-2C transcript:Sspon.04G0022650-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSAQLRRLKPLYQLVVNNILAIVAVTLAAAVLLKAASVGPEEILARALALRPAHMFLCAFLPAAATVLYLMLRPRAVYLVDYACFRTNPNCRVPFATFLEHSRVWPGFDERSVRFMTRLLERSGLGEETCLPYAQHYIPPSRDLESSRAEAELVIFSAIDDLLAKTGVSPQDIDILVVNCSLFAPTPSFTDMIMHRYKLRRDVRNVHLAGMGCSAGLISVELARNLLQVAPRGARALVVSTETITPNYYMGKERAMLLPNCLFRMGGAAALLSTDGAGARFRLAHVVRTLRGASDSAYHCVYQEEDDGGNVGINLSKDLMNIAGDALKANITAMGPLVLPASEQLLFALSFIARKVLNNRIKPYIPDFRTAFEHFCIHAGGRAVIDELQRSLTLSDEQVEASRMTLHRFGNTSSSSLWYELAYIEAKGRMRRGDRVWMIGFGSGFKCNSAAWECIRPAANADGPWANCIHRYPVHIPDVLKH >Sspon.07G0007900-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:18761145:18765061:-1 gene:Sspon.07G0007900-2B transcript:Sspon.07G0007900-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGSYLTLYTTSTTHCLEQPRTLAQTVYGALHALETFSQLCNFDFNAKLIELHSAPWTILDAPRFPYRGLLIDTSRHYLPVPVIKSVIDSMTFSKLNVLHWHIVDEQSFPLQIPSYPKLWNGAYSYSERYTFDDAIDIVQYAEKRGVNVLAEIDVPGHALSWGVGYPSLWPSATCKEPLDVSNDFTFQISARFLSSSLSIWGEMKLLQHGMNESDAYRYFVLRAQKIAISHGYDIINWEETFNNFGDKLDRKTVVHNWLGSGVAEKVVAAGLRCIVSNQDKWYLDHLDATWEGFYTNEPLTNIYNPEQQKLVLGGEVCMWVNTLMHQTFSRPFGHVLQQLQRLWTPIEKLAKDTRSVTARLARFRCLLNQRGVAAAPLAGYGRSAPSEPGSCLRQ >Sspon.03G0046530-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3D:38928874:38929069:1 gene:Sspon.03G0046530-1D transcript:Sspon.03G0046530-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RAHGCKKYPFTFQEHGKWSEILDIVECFYVSIHVTSPEYSFVCPIVTVVASMTVGLYCMGSLVV >Sspon.07G0001940-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:5365740:5367056:1 gene:Sspon.07G0001940-2B transcript:Sspon.07G0001940-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNYMSCTLAKAPGHGGGKCARVILPDGGVRQVPLPATAAELMMDAPGHFLVDARAAGLGARLAALPADQELQLGAVYATFQMKRVGTPLAAADVARLAAAATREARRSSAKVANAVVVAPPVAEVVAVPEDAPRLRLEDLVDDAAAAAEICELKHRASNARSRRPTLETIEEENYMSSIYVDSPW >Sspon.01G0023500-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:83003530:83004686:-1 gene:Sspon.01G0023500-2C transcript:Sspon.01G0023500-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGLTMDQAFVQAPEHRPKPIMTEATGIPLIDLSPLTADGGDAAAVDALAAEVGAASRDWGFFVVVGHGVPVETVARATAAQRAFFALPPERKAAVRRSEAEPLGYYESEHTKNVRDWKEVFDFVPREPPPPAAVSDGELVFENKWPQDLPGFREALEEYAKAMEELAFKLLELIARSLKLRPDRLHGFFKDQTTFIRLNHYPPCPSPDLALGVGRHKDGGALTILYQDDVGGLDVRRRSDGEWVRVRPVPDSFIINVGDLIQVWSNDRYESAEHRVSVNSARERFSMPYFFNPASYTMVEPVEELVSEDDPPRYNPYNWGEFFSTRKNSNFKKLNVENIQIAHFKKSLVLA >Sspon.02G0044450-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:101434893:101443054:-1 gene:Sspon.02G0044450-1B transcript:Sspon.02G0044450-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heat shock protein 90-6, mitochondrial [Source:Projected from Arabidopsis thaliana (AT3G07770) UniProtKB/Swiss-Prot;Acc:F4JFN3] MIGASRRSLSAAAAARSRVAAGAASAVSADAAASVPPRPVSNGAPGVPQQQKRLLSVLAAPKVAGTSNVVSLKLMDGALIGRRYESSAAAVDSTDLPAEKHEYQAEVNRLMDLIVHSLYSNKEVFLRELVSNASDALDKLRYLSVTDPELLKDGPQLDIRIQTDKDNGIITITDSGIGMTKQELIDSLGTIASSGTAKFLKALKESQEAGMDSNLIGQFGVGFYSAFLVSEKVVVSTKSPKSDKQYVWEGQADAGSYTIREEKDPEKLIPRGTRLTLYLKRDDKGFAHPERIQKLLKNYSQFVSFPIYTWQEKGFTKEVEVDEDPAEAKKEGDDDTKTEPKKKTKTVVEKYWDWELANETQPIWLRNPKEVSTEEYNEFYKKTFNEYLDPLASSHFTTEGEVEFRSILFVPATRKDDITDSRKTKNIRLYVKRVFISDDFDGELGVVDSNDLPLNVSREILQESRIDYERFWENYGKFLKLGAMEDKENHKRIAPLLRFFSSQSNDELISLDEYVENMKPEQKDIYFIAADSLSSAKNAPFLERLTEKEYEVLLLVDPMDEVAIQNLSSYKDKKFVDISKEDLDLGDNNEEREKEIKQEFSQTCDWIKNRLGDKVARVDISNRLRSSPCVLVAAKFGWSANMERLMRAQSMGDTSSLDFMRSRKVFEINPEHEIIKALNAACRNNPDDPEALKALDVLFETAMISSGFSPDNPAELSGKIYEMMTSAIAGKWPSQSQAQPADPILQHAAPVMSDEPLEAEVVESEPVEAGQQK >Sspon.03G0020180-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:62652154:62654010:1 gene:Sspon.03G0020180-1A transcript:Sspon.03G0020180-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding YIENLHTVVLFIDCQQDRMREAQLGSHTIQTHGVRLARKHTHDWVVLILLAALVVALHYAPPFSRFVGKDMMTDIRYPVKPSTVPAWAVPMISILCPWLIFISIYLARKDVYDLHHAALARRLADRHVAEYFVRINLPGVLFSVLITAVFTDVIKTAVGRPRPDFFWRCFPDGKHLYDQVTGDVICHGEKNFLKDGRKSFPSGHTSWSFAGLGFLSLYLSGKIKAFNRQGHVAKLCIVILPLLLASLVGVSRVDDYRHHWEDVFVGGLTGFIMAVLCYLHFFPPPYHDQGCRPYAYFHMMEELQAANSNNAQNQQSTGGHHIAMSEQQHNRTSRNDLESGSV >Sspon.04G0016260-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:68831724:68836964:1 gene:Sspon.04G0016260-4D transcript:Sspon.04G0016260-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAVTSTVAARFAFFPPSPPSYGVEQPQPQPPQPPPAPVAPAAPEAAAAAKAGEGKGGGAVVELTGVPRRGNVEARRLRTKRGTEVVAMHVRQAGAKLTLLYSHGNAADLGQMYELFVELSAHLNVNLMGYDYSGYGQSTGKPSEQNTYADIEAVYRCLIETYGAAEDNIILYGQSVGSGPTLDLASRLTRLRAVVLHSPILSGLRVMYPVKHTYWFDIYKGTADEVVDCSHGRSLWELAEVKYEPLWIKGGNHCNLELYPEYIKHLKKFVGAIERSPPPPLIDESTESSGPSGCTLTTEPECSAEDSRKSTDCRDKTRPSIDQRHSTDRREKPRGSTDRRDKTRKSVDHPDKPRASVDQSDRPRKSIDRFGGMMKSVRLCNIDCFKVTATSGS >Sspon.04G0000730-4D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4D:22078360:22078700:-1 gene:Sspon.04G0000730-4D transcript:Sspon.04G0000730-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLGGITRAMVDRARATANFRLVVIRGRAYIERIAPAFQTRDLFTIWGILQLLRRYPGRVPDLDLMFDCVDWPVVHADQYEGENATVLPPLFRYCGDNETLDVVFPDWSFWG >Sspon.08G0021500-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:40202115:40203640:-1 gene:Sspon.08G0021500-1T transcript:Sspon.08G0021500-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MIATTGSSAKAAAAAVGGKAARACDACLRRRARWYCAADDAFLCQGCDASVHSANPLARRHERLRLQPASPLRTPPRTGAAANNKRERHDEVVPAWFRRKARTPRGGHAKSVGGQALSRRLVVVPHAAAGGDSPDDGRNGEGEFEVVEEEQLLFRVPIFDPALAEFCSPPPLEDAAALASSCNEDGAVEDRAKPDPATPAAAPVVQFFPDGGHANFEPTDAELREFAADMEALLGHGLDDGNEEGSSFYMETLGLLDDPAEVGDDATRVKVETDGGTACEASGTLACALELLDPEGSDEMLLDIDFNYGSPLDTTTDEKAASSDTGAADAQFLQTSLSLTLNYEAIIQSWGTSPWTGGGERPHVKLDDSWPHDYTNMWVVGGVVGHGGEDLLATARLGMDGGREARVSRYREKRRTRLFSKKIRYEVRKLNAEKRPRMKGRFVKRATAGGNLAIAGLA >Sspon.06G0001150-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:6913845:6916330:-1 gene:Sspon.06G0001150-2C transcript:Sspon.06G0001150-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNPEMQRFLQQEQQKAMMNEMVGKLTSVCWDKCITSAPGSKFSSGESTCLTNCAQRFLDMSVLIAKRFEMQ >Sspon.08G0001430-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:2549617:2550288:-1 gene:Sspon.08G0001430-2D transcript:Sspon.08G0001430-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDYVFKIVVIGDSAVGKTQLLGRFTRDEFFLDSKSTIGIEFQTRTVDIARHRVKAQIWDTAGQERYRAVTSAYYRGALGAMLVYDVTSRRTFDHAARWVAELRAHADKSIVVMLVGNKADLAGAASRRAVAAEEAAAFAEEQGLFFSEASALSGDNVERAFLTLLQEIHANVSRKTLEAAPDEESGAAAVLALKGTKLSLADEISIMETSALRRASSCSCT >Sspon.04G0026780-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4C:53006898:53010172:-1 gene:Sspon.04G0026780-2C transcript:Sspon.04G0026780-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVGAAPAAPSISSSSTRLVINCPSQSVAPKKPHHRHNSSHFGVVSAAETQTTSYLLVHGGERHSIFPEDPGQLLRDSAIKKRGLPDEQDESCEEAKKDLRSRGLCLVPVSCTLDVGDVIAGPGDYWAAAAPAFGML >Sspon.01G0008930-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:27410362:27411536:-1 gene:Sspon.01G0008930-3D transcript:Sspon.01G0008930-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSRTLAPWRQVMLLTLLPQLFLSTTVVVARQWQCLWPGQASDDAGCLSWRVMVEANNARGWRTVPAQCVGYVNGYMTRGQYQRDLAGVMEQASAYADEIAADADGLDAWVFDIDDTCLSNLLYYEAKQFGVYDPLAFKAWASREACPGIRPVLGLFTTLLDKGFKVFLLSGRDEETLGSCTAANLEAEGFSGYERLIMRTPEYRGQSSSIFKSAIRRQLVDEGYRIRGNVGDQWSDLQGDSAGDRVFKIPNPMYFVP >Sspon.02G0003460-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:11240472:11244542:1 gene:Sspon.02G0003460-1A transcript:Sspon.02G0003460-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGPVVSGNTGTTRWCLVVYRFEELAHGFGRDVLSEAMAYDDHAVRLSIEADDVRLAVSAHPSFTHPWAGTLHMWPYGRPPPPPPAFIAAPQYGAPFGGVPGGSSVHGSSHPYPYGGGAPYGGGVPSTPAFQGMPYQPPSTPWNPAHGGAWNQDSLVQNFNTMTLTPPAPSEWYADSGAGSHMTADAGKISTVSLPNSSTPSSIIVGNGDLLPVTAIGSHTFSFPHRNLVLNNVLVSPHIIKNLISIRRFTTDNNCSIEFDPFGLSVKDLQTRNVIARCNSSGDLYPFFPPTASTSALLAAPTSIWHRRLGHLGREALSKLISSSVISCNKDDLHHLCHACQLGRHTRLPFSSSNSRAANNFDLIHCDLWTSPIVSVSGYKYYLVILDDLSHYIWTFPLRLKSDTFSTLANFFAYVHTQFGTTIKSVQCDNGREFDNPPARTFFLSHGVAFRMSCPYTSQQNGRAERSLRTLNNIVRSLLFQASLPPVYWVEALHTVTYLVNRLPTKTLASSTPYYHLHSTQPSYDHLKVFGCACYPNMSSTAPHKLAPRSSLCVFLGYSSEHKGYRCLELGSNRIITSRHVVFDESFFPFTDMSTSPMASSALDFIDDSDLTAPIPGARFVHAGTSPDARGAVEPPTPPPASSSAGLWSPAPHAGPGASYHSSAGTPSAGPRAPGSSSAGIPVGAATSSAPSPTTSQAGAAAPAPPAPVVASSAAATGRTLATRPISIAPVANAHSMHTRGKAGIAQPVDRLNLHAVPMSPLPRSVRDALSDPNWRSAMQAEFDALIANDTWSLVQRPPGVNLVTGKWIFRHKLHADGSLDLYKARWVLRGFTQRPGLDYDETFSPVVKPATVRVILSLALSQDWPIHQLDVKNAFLHGTLTETVYCVQPSGFVDSSHPDLVCRLNKSLYGLKQAPRAWRHRFASYLSSIGFVETKSDSSLFICRRGSATTYLLLYVDDIVLTASSVEFLQHIIGALQREFAMTDMGQLHHFLGISVTRSADGLFLSQRQYTQDILERAGMSACKPCNTPVDLHSKLSADGPPVGDATQYRSLAGALQYLTFTRPDIAFAVQQICLYMHDPREPHLAALKRILRYLQGTTTLGLTMRRSPPAELVVYTDADWAGCPDTRRSTSGYAVFLGDNLVSWSSKRQHTVSRSSAEAEYRAVANGVAEATWLRQLLLELQRPPGRATLVYCDNISAVYLSSNPVQHQQTKHVEIDLHFVREKVALGHVRVLHVPTTSQYADIFIKGLPTSLFQEFRSSLIISDAPD >Sspon.06G0001830-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:4517663:4519939:1 gene:Sspon.06G0001830-3C transcript:Sspon.06G0001830-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQAQPAGKGRERRVSIEEVAKKLSLWHTATFRPILTHAELEPILCAAGFVALPPAPAPATPKQQQQDDRERERERPGVAWREYAFLGGGNASPAAAAPRRWLGPRPRLPYPRVDGLHLKTYEAFLGAVEAYLGAHRVSNLFHVRCVRRPLMPVTSPHDRAFDKVFRPMRNCSPEEDGLIVYREGTLDDLTVETCSHHAATGDDFGGHVIPGISCSDLGCLRKVDGNCHEEGCRRAGSHDFFA >Sspon.07G0020380-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:70928956:70931222:1 gene:Sspon.07G0020380-2C transcript:Sspon.07G0020380-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding TMERFGVLGTRLGLDGVGGGGGELPPGFRFHPTDEELITYYLLRKAVDGSFCGRAIAEIDLNKCEPWELPDKAKMGEKEWYFYSLRDRKYPTGLRTNRATVAGYWKATGKDREIRSARSGALVGMKKTLVFYRGRAPKGQKTHWVMHEYRLEGTYAYHFLHSSTQDEWVIARVFQKPGEVPPARKHHRLGGLSSAGGGESCFSDSTSASIGGGGGGASASSAPRPLPLTVTDASSLSLFASAAAANAADGDSSSYCGGAANNANNGNNLVTGRELVPCFSTSTTTGAGGLDAAALGIGQPYNAAVPLPLAFEPPPPTPAFFPNLRSSLQLQVQQDNNLQLPLFLSAGGGLSAAMLGMGSLGGGALHHWPLAGMEVKVEGRSAPPQMAVGPGQLDGAFGWGY >Sspon.05G0012760-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:32769066:32770694:-1 gene:Sspon.05G0012760-3C transcript:Sspon.05G0012760-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEENKQRRDGHGGKNKYAVACSIIGSIISILMGYDTGVMSGAMLFIKEDLKTNDTQVQVLAGILNVCALVGSLTAGRVSDWIGRRRTISLAACIFLAGSVLMGLSPNFGTLLAGRCVAGVGVGYALMIAPVYAVEISSAQIRGSVTSLPEICISFGILIGYVANYLLAKLPLVYGWRAMLGLGALPSAVLAVSVLAMPESPRWLVMQGRVEQALAVLRRVSDTAAEADVRLAEIKAAAGLAADDAAGAPRQPRGVVVGRGVWKEMFLHPTPPVRRILVAAFGVHFFQHLTGIEAVVLYSPRIFKAAGIATRSDILAATIGVGVTKTVFIMTAILLVDRIGRRPLYLSSLAGIIASLACSRSAPRHSPTWAVVLSIATVFTFIASFSVGVGPITWAYSSEVYPLRLRAQGASVGVAINRVMNAGVSMTFVSLYKAVTIGGAFFLFAGLAVLAATFFYFLCPETQGRPLEEIEEVFSRGWRTRLPSSEAAVVELPVSNVTDGNGKARP >Sspon.03G0031170-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:24754272:24754667:-1 gene:Sspon.03G0031170-1B transcript:Sspon.03G0031170-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVSTTDLSAPPHSVNLPGCMASSSSPSSVVAPWPRSGKHRGAAAVVRRAQLVLSRDVGWRLAQETRCICSSPTAATGRPITFGYDAASYAKNFDDGRSPARAAAAVVVAANAADKPSGN >Sspon.01G0006830-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:24466975:24470072:1 gene:Sspon.01G0006830-2C transcript:Sspon.01G0006830-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKKRRTGEKLEKDVEDTKGTAAAIATGVTASAIEASYNDQIRPVLDAVDRLRHLKVTQEGIQLPTIVVVGDQSSGKSSVLESLAGISLPRGQGICTRVPLVMRLQDDPSAHSPKLQLEYSNGRVVTTTEATVADAINAATAEIAGSGKGISDAPITLVVRKRGVPDLTLVDLPGITRVPVQGQPEDIYDQVAKIIKEYIAPKESIILNGQPEDIYDQVAKIIKEYIAPKESIILNVLSATVDFPTCESIPGDHTGDRTLAVVTKVDKAPEGLLEKVTMDDVHIGLGYVCVRNRIGNETYEQARVEEERLFKYHPLLSKIDKSMVGIPVLAQRLMQIQAAIIAKCLPDIVKQINERLSRSSAELDQMPQDLSNVADALRVFFHIVKQVCNSLEKVLVRGDFAEYPDERDFHGTARIADMLSGYAKSLPAQCPTNGNEPFLMEEVRILEETKGINLPNFLPRSAFLVLLKKKVETIDQVPQDLVNGVWEYVEELVMKVLLKHSDNFPQMQSPCRRAVQTLMDKARLRSAQHVKELIAMELVADYTANPDYMKTWADIMEGYEKFMEAVEDKSKPTRITLEGFGEVDVSHLRVYADLAGKAFDLRARLTAYWKSIVLRLVDGLALHVLLSVKLLVEKDLEEELGNELLSNKFAGVEKMLAPSPSTETKRERLKKSIVLLRQSKEMPPDLINTGDAVRLFFHIVKKVCTSLEKILVRGEFGGCPDDCYFHGTARIAEMLTDMQRSCQRNAQGAATKNFLTEEMRVLEETMGINLPQLPAKIGVPCQDLVNEVWEYVEDLVMKVLLQHSENFPRVQSSCRCAIQSLMECSLMEKMAQLVLQRC >Sspon.02G0052020-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2C:86934609:86934969:-1 gene:Sspon.02G0052020-1C transcript:Sspon.02G0052020-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSTTDDRWTHPTRLNLTHPCRTPPYPFLDRSLLQRSRSFYAALTPNSLLPHPRQAPGLLAPPGQTPRPRPARCRPIRSRSLPPSLPLSPRPPLLPCAPNYSAPAPPTGDKDDGDSSDEL >Sspon.01G0055280-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1C:76931468:76936441:1 gene:Sspon.01G0055280-1C transcript:Sspon.01G0055280-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding QALRHVPINSDGMDVKLVSAIYVNRASTMHAWYRKGMVKTALKNYSSAVHDLEVALSLEVTSSGKNNIEQELKLIFQKHESVNEAGTSNCDSKDEDLPLAVNSIAIVRVRSVDGSPELTVNSGVSGAEGANMCSVEQDVSKVDKDMENVAKSLLGNIGVSLNIDHGCCTSCRSHIDVSSALATSHREESTINRNCKFGVSSIPGIVITTALDRLKKLTLLDKTLISEALQSLKLLKKLRHPYSKALAQAEDTIAEAFAKVGDQERARKHCEASIQILEKLYHPKHIIIAHELIKLVSILLSLGDGASAAATFAQAEAIFSVYYGSHVEKTLTYMGALKKAVSD >Sspon.04G0016580-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:61017170:61021207:1 gene:Sspon.04G0016580-1A transcript:Sspon.04G0016580-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWASCCWSDGAEPGRRKKKKDTTWRIFSLKELQSATNNFNYDNKLGEGGFGSVYWGQLWDGSQIAVKRLKSWSNKAEIEFAVEVEILARVRHKSLLSLRGYCAEGQERLIVYDYMPNLSIHSQLHGQHAAECNLSWERRMKIAVDSAEGIAYLHHYAMPHIIHRDVKASNVLLDSNFQARVADFGSDCVTEERSPKADAIEEATDSSETVPSA >Sspon.07G0003200-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:7799761:7803406:1 gene:Sspon.07G0003200-1A transcript:Sspon.07G0003200-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDGKDSLDLSALGAAIPNSAELSAEDKAHLVASIKNTLEGLASRHTDVLENLEPKVRKRVEKLREIQRYEIVNGVVEVEGVTEESAAETPAEQKSGDETSAEQKEEKGVPAFWLNAMKNHEILAEEIQERDEEALKYLKDIKWYRISEPKGFKLEFHFDTNPFFKNSVLTKTYHMIDEDEPILEKAIGTEIEWYPGKCLTQKVLKKKPRKGSKNTKPITKTEDCESFFNFFSPPQVPDDDEEIDEDTAEQLQNQMEQDYDIGSTIRDKIIPHAVSWFTGEAAQDEDFEVMDGEDDDDEDDDDEDEDDEDEDDDDDYDTKKTKGTAGGEGQQGERPAECKQQ >Sspon.07G0009270-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7B:24496267:24497856:-1 gene:Sspon.07G0009270-2B transcript:Sspon.07G0009270-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLENSNSKGKAVVKEEVCPLTPVEQKPFAVMSNQDDKAMLKKEVEEVSLPILETAAPHHHQTPSNDVSGSDDNNDVLGGTSGVQHVGSSSASAAHMMQQAAIQWGAVVAPTVILPAFQQLDSSRILLIKEVMQHCVTALATGDVLAANTGLVIMSTLASADGDPLQRVAFAFAEALGRRALQQMLPGLYGGLLQLDFPPQPAATGYTGATRLCFDALCPLLRVAGSVANHAIVTAMEGEEHVHVVDLGGASPNQWLELLHLFAVRPEGKPSSLRLTVVSEEEGLLSCTAWLLHREAARLHITFTFNPVRSHIDRLSPHDVASFGVHGGEALVITSTLQLHRLIADVTSVDLPAAADHKHDGKKGKGKKQPKHQITMADAFLRVLCDLSPKLMVLTEQEAYHNGASLGDRIRNAFDYYAALFNDLEAGGAPRESAARAAVERMLLRQEIMDIVARDGSSRRERHESVMEWAQRMGMAGFRPMHLQVRRFDAFADPGLLALQLSLHGTLRYWVAQDNTCFIIYANMTPMFS >Sspon.01G0023440-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:84552967:84561271:1 gene:Sspon.01G0023440-1A transcript:Sspon.01G0023440-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNFLTSIRSSMLLLSKHVTQTTSTQSECVVPQGVKAAGFQISADELASIVENRDAEKLTVLGQLDGIADKLATSLTDGITTDVSSLNQRQNMYGVNKFTESEVRSLWEFVWEALQDTTLVILIACALVSFVVGVATEGWPSGAHDGIGIFTSILLVVSVTATSNYQQSLQFRDLDKEKRKISIQVTRDGFRQRILIDDLLPGDVVHLAVGDQVPADGLFISGYSVLINESSLTGESEPVVINEHNPFLLSGTKVLDGSCKILVTAVGMRTQWGKLMAAVTESGDDETPLQGKLNGVANTIGNIGLFFALLTFVILSQGLVAQKYSDGLLLSWSGEDVLEILEHFAIAVTIVVVAVPEGLPLAVTLSLAFAMKKMMNEKALVRQLAACETMGSATVICSDKTGTLTTNRMSVMKACICGNTLEVTSPPVLSSFSSKLPEFALQILLESIFNNTAGEVVINQDGNCQILGTPTEAALLDFALSIGGDFKEKWQEAKIVKVEPFNSTKKRMNIILKLPGGGYRAHCKGASEVVLAACDKFIDARGTIVALDKAATKKLSDIIETFSKEALRTLCLAYREMDDSFSINEQIPLQGYTCIGIVGIKDPLRPGVRQSVATCRSAGIAVRMVTGDNINTAKAIARECGILTEDGIAIEGAEFREKNPKELLELIPKMQEILMCQFTCVLKVLARSSPLDKHTLVKHLRTTFNEVVAVTGDGDAPLTAVQLLWVNMIMDTLGALALATEPPDDNLMKKSPVGRAGKFITKVMWRNIVGQSIFQFVVIWYLQTQGKYLFGLEGSEADTVLNTIIFNTFVFCQVFNEISSRDMEEINVIKGLPQNSIFMCILAGTITFQFILVQYLGDFANTTPLTQLQWLVSILFGLLGMPIAAAIKLIPVEPHEDGYNNVGMLLPRIVIINKLISDIPINLKEILTKKVTGKLQLFQC >Sspon.01G0023750-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:84126836:84137302:-1 gene:Sspon.01G0023750-3C transcript:Sspon.01G0023750-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable prefoldin subunit 4 [Source:Projected from Arabidopsis thaliana (AT1G08780) UniProtKB/Swiss-Prot;Acc:Q9M4B5] MQQGDGTEAQVTWEDQQNINRFGRLNNRLHELQDEIKLAKNINRFGRLNNRLHELQDEIKLAKETNENLDDAGNELILSDEDVVRFQIGEVFAHMPRDDVETRLEQMKEDAAKKLERLEEEKESILAQMAELKKILYGKFKDAINLEED >Sspon.05G0035170-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:69610033:69611652:1 gene:Sspon.05G0035170-1C transcript:Sspon.05G0035170-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAISQHLKTLKVKCEVYEERINNVLTFLSKQLNILEKMFMSPHYGQHLSSSKTMQSVKYCDNDFWVSEWDAHCLAPSPLRAAVIIVLSEIWYN >Sspon.05G0026520-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:36239738:36251896:-1 gene:Sspon.05G0026520-1B transcript:Sspon.05G0026520-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKPAAAVPETVGQAVIPLVNSLQDIIARLDGDAAAGLELPQVAAIGGQSSGKSSVLEALVGRDFLPRGPDICTRRPLVLQLVRHASPEEWGEFLHAPGRQFHDFEQIKREIQLETDKEAGDNKGVSEKQIRLKIFSPNVLDITLVDLPGITRVPVGDQPSDIESRIRAMIMQYIKHPSCIILAVSPANADLANSDALQLARLADPYGSRTIGVITKLDIMDRGTDARNFLLGNVIPLKFGYAYHGLAHCCGVPQLAKKLNMILLKHITNMLPGLKTRINAQLVAVAKEHAAYGDTVESAAGQGVQLLNILRKYCEAFSSMVEGKNRVSTDKLSGGARIHYIFQSIFVKSLEEIDPCKSITDEDICTTIQNSGGPKGAMFLPEVPFEILVRKQIGRLLDPSLQCAQFIYDELIKISHGCLISELQKFPVLKKRMSEVVCSFLRDGLRPAETMITHIIEMEASMDYINTSHPSFVGGTKVVELAKHEVLPPKTSASLSGRKDGTIVGSEMQKTAERSQKSQTSLTGGSQIGNSQVGGNSSSKLPSVIPLKEPPITLKPSETEQDATEVAIVKLLIKSYYDIVRKSIEDAIPKAVMHFLVNHTKRDLHNFLIRKLYRENLLDELMRETDEVLIRRQRIQEMLEILKQAHRTLEEFPFEADKIEKGYNLSEHATGLSKIHGVSGDSTNGIYTSSPNC >Sspon.06G0023510-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:45988178:45991181:1 gene:Sspon.06G0023510-2C transcript:Sspon.06G0023510-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNEAILELAKLNFNLQQLVFCEELKHCTMWWKEFLAKSKMTFVRDRIVETYFWMNGACYHPPYSHSRIIQTKITSFITIIDDMFDTYGTAEECTKFVEAIGSAVPLLPEYMKGFYFFLLDTFHSFEDELGPEKGYRVLYLKQAMERLVQQYYNEIKWRDEDYVPETMSEHLQVSMESIACIPITCAAFVGMGDIITKETLEWLLSFPQFLRSFGIYVRLSNDVVSTMHEQTKDHSASTVHCYMKEHGTTMDDACEKIKELAEDKWKDMLEQCLALTEIPKVTPRTVFDFARTIVNMYKNDHDGYTSSEALKEMIELL >Sspon.02G0027310-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:123058020:123064778:1 gene:Sspon.02G0027310-2C transcript:Sspon.02G0027310-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQPMPMQPQAPAMTPAAGITTEQIQKYLDENKQLILAILENQNLGKLAECAQYQSQLQKNLLYLAAIADAQPQTAASRPQMAPPGALPGVGQYMSQVPMFPPRTPLTPQQMQEQQLQQQQAQLLNFSGLMVARPGMVNGVPQSIQVQQAQPPPAVNKQDAGGVASEPSGTENHRSTGGDNDGGSD >Sspon.06G0004220-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6B:10900503:10900763:1 gene:Sspon.06G0004220-2B transcript:Sspon.06G0004220-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MIIAPTKVNWGLVHSIKLDLSVLLIGSTSSDNGYSLRRGFQVTYLFGEKSEKRVLFPLFLKVVHALSQSVEVSPDEEEKKWLLLPS >Sspon.07G0014430-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:51406881:51411159:1 gene:Sspon.07G0014430-1P transcript:Sspon.07G0014430-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MYPYRMSNVMIGYLNLATLLASIPIIGAGLWLAKGSTTTCSSILQTPLLVIGFVVLLISLAGFVGACFHVAWALWLYLVAIILLIAFLLGLTAFGFAVTAGGGGTQVYGRPYREYHITDYSSWLQKHMQDIKYWRPALACVVGSKACPKIENWTPMDYLQHSGCCKPPTACQYSGGMPVGAQDEDCYRWNNAPDILCYQCNSCKAGVMEQIRQDWHKIAVLNVIVLAALICICACGCCAFRNARRSLSEYPYGVNQMSKINPRWDYYWYSKQNSSVVYSLLSHLAPLSRKIDFITENVKIYRQKDKVSSTQTITSLILNTSKKTNSKHGLMKIVSKPIPAFDITIQRSGTTSLPPQEL >Sspon.02G0008020-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:12824396:12826138:1 gene:Sspon.02G0008020-2B transcript:Sspon.02G0008020-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAAKVLMVGAGGIGCELLKTLALSGFSDIHIIDLDTIEVSNLNRQFLFRQSHVGQSKAKVARDAVLKFRPNINITPYHANVKDSHFNVDFFKQFNVVLNGLDNLDARRHVNRLCLAAEVPLVESGTTGFLGQVRQPNTAVVVPKSLVLVYAYSCCCC >Sspon.02G0042840-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2B:86720023:86722338:-1 gene:Sspon.02G0042840-1B transcript:Sspon.02G0042840-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGRDLDLSNCSHAALPYGDKCFPDIEPAFFRSIQRKVWRVDAMLVMEAVLAGIIIWIGAYGQRYRHHPFTRFIFLGATTLFLPIISSVVSTISNSTTPNYVQRLTIHARDYAQLLLSYRRLYALVATCDARSHYNALVVCALLVQIVMVNTSTIAATEGRERRRRIGPPIGLIVQVIWTIYLGVTFVAPSMVDSHDYWGLFVILIAPFILLCAKIVLKCYAFEKARRSFALGRNPGLTFVYMEKLQVQEANQQNKLPICSDPPPPPLLVMREDEKEVEIQPCGYAFKDDSGTVLRNNLGLVTFDRIWQLDSIPPISTPQLKGLCLSFALFKLLRCRFARYQLTNVGSMGTLIFFRRLSLQSGKHDMIFKVKVTVAVKICIINALRSVINGSLGKGAASLHRSQVCEMFLWACDGKGTSGTILVWHIATSIVLVRHRSLGKASDSDHKTVATQLSQYCAYLVSWCPELLPDNRAWSTSLYRSVKKDAEGALAGYYVAEYMLENERTLDDYSMAVESESSTAEDERALSENPVADQSSKREDGYQKLIRLLSENSKHEVLKDGVKLGKQL >Sspon.08G0028240-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8D:7162213:7166784:-1 gene:Sspon.08G0028240-1D transcript:Sspon.08G0028240-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSNAELLRQVEEAQRAADEATAAAQAATAQAQAALARADAATARAEAADQRVIEYENAEHAQLHAQAIAVINIKHMIPLVLEQTSTFYSRWRSLFLTTVTKYALDGLVLTDDDFSTDPHWNRMDCTVRTWLYGTVSPDLIDAVSVGVSPSSRSIWLGLEEQFIGNKETRAIILDAEFRTLVQGDLSITDYCNKIKRMADTLGALGEPVLDRTLVLNVLRGLNDRYSHIAAMIKRARPFPSYSDVRADLLIEEVTMASKASTPTALVVSSSPTRPPAPRPSAGQGGGHGQGSSQGGSGGVHNSGYGGGRSRRRWRNPTGGSAGRPPVPWAPQHWNPLTGTFAVWPGQHGQHGARLHPPLAPLPPRPQAFIASTPQQQQQFAASTPQQQQQFASPLSAAGSSYYGAPSPASSSWTWDSQALASNFNTMTLTPPPSDNWYMDSGASSHMVSNSDLLTQVHPSTSFTPSNIIVGNGSFLPFGTTIKAIQCDNGREFDNSTARTFFHAHGTAIRMSCPHTSPQNGRAERIIRSTNNILRSLMFQANLPSSYWVEALHTATYLLNRHPTKTLNFQTPYFALYGIPPTYHHLRVFGCKCYPNLSATSPHKLAPRSTPCVFLGYPSDHKGYRCLDLATNRIIISRHVNFDESSFPFAELPAPLPSSNLDFLSEFDYVYSPVPSPFVAGSGVAGSGGVAAGVTSAAGPSSAHGAGSSGAAPSPARSSGPARSSGAGAAITPSSAAASGAAATGAPAGTIGATAAPLPSGAVPVTPTVNDHVMVTRGKHGFRQPRTVMNLQAISLSPIPKTYRGALADPNWRDAMHEEFTALQANHTWDLVPPPSGINIVTGKWVFRHKLHPDGTLDRYKARWVLRGFTQRPGIDFGETFSPVVKPATIRTVLSLAVSQNWAVHQLDVKNAFLHGTLEETVYCAQPSGFVDSSKPDYVCRLNKSLYGLKQAPRAWYSRFASFIRSIGFLEAKSDTSLFIMRQGSDMAYLLLYVDDIILTASSGALLTSIVSSLTAEFSMKDLGHLHHFLGMTVSRSSTGMFLSQRHYILELLDRAGMTDCKPCSTPIDTNAKLSAEGSPVADATDYQALAGALQYLTFTRPDISYAVQQICLYMHDPREPHLALIKRVLRYIKGTLDYGLKILRSSPCDLVAYSDADWAGCPDTRRSTSGYAVFFGDNLVSWSSKRQQTVSRSSAEAEYRAVANAVAEISWLRQLLQELHFCPRRSSVVFCDNVSAVYLSTNPVQHQRTKHVEIDLHFVRDKVATGDIRVLHVPSTSQYADVFTKGLPTSIFTEFRSSLNVSHPSSVGVPTAGGC >Sspon.01G0043710-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1B:76666455:76666789:1 gene:Sspon.01G0043710-1B transcript:Sspon.01G0043710-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VEVSHKSFSCQSECIFESETAATEWDRSLPHHAAHLGNTNLLATTSHVGLIANSMGARHAGYLGNTNLLATIYDVVSSPTPWSPPVLASSPISWSQLAYSPTKPLSSTSN >Sspon.07G0005850-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:15256519:15259974:1 gene:Sspon.07G0005850-1A transcript:Sspon.07G0005850-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQHLASSRRLLQAALAPAPARANSSLSAAAAAVAVAAPAPENGAAAVPKMPAFDYTPPPYDGPRAEEIFRKRAQFLSPSLFHFYDRPLNIVDGKMQYLFDEDGRRYLDAFGGIATVCCGHCHPDVVEALVNQEKRIQHSTVLYLNHAIADFAEALASKMPGDLKVVFFTNSGTEANELALMIARLYTGCNDIISLRNGYHGNAAGTMGATAQSNWKFNVVQTGVHHALNPDPYRGAFGSDGEKYARDVQEIIEFGTTGRVGGFISEAIQGVGGIVELAPGYLPVAYNMVRKAGGLCIADEVQAGVARTGSHFWGFEGHGVIPDIVTMAKGIGNGIPIGAVVTTPEIAQVLTRRSYFNTFGGNPVSTAGGHAVLKVLEKEKLQENAFVVGSYLKEQLNKLKEKHEIIGDVRGKGFLLGVELVTDREKKTPAKVEISHVMNHMKDMGVLVGKGGFYGNVFRITPPLCFTKDDSGKTCYQLKHSSLI >Sspon.04G0017930-2P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8D:6826344:6829731:-1 gene:Sspon.04G0017930-2P transcript:Sspon.04G0017930-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSVDPSFVNDTFIIIADLSFYGVICKQIPLTAVTSVIDGLKKLYIEKLKPLEVTYKFNDFVSPLLTSSDFDAKPMVMLLGQYSTGAHIGPEPTTDRFVVVMLLEHITFVDTPGVLSGEKQRTQRSYDFTGVTSWFAAKCDLILLLFDPHKLDISDEFKRVIGSLRGHDDKIRVVLNKADQVDTQQLMRVYGALMWSLGKVLNTPEVSRVYIGSFNDKPVNESAVGPLGKELFEREQDDLLSDLKDIPKKACDRRINEFVKRARAAKIHAYIIGHLKKEMPTMMGKAKAQQRLIDNLPDEFAKVQREYHLPSGDFPYVEHFKEVLSGYSFDKFEKVKPKMIQAVDDMLGYDIPELLKNFRNPYE >Sspon.04G0017010-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:11267894:11279157:-1 gene:Sspon.04G0017010-2P transcript:Sspon.04G0017010-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LHQHKYLLYGPLVAKVAQAWRETGSLPLGSSWCLHLLLLLALRSLTFQLWFSYGNMLFFTRRRRVVKDGVDFRQIDAEWDWDNMVILQTLIAATAMGSPAFPGVSELRVWDPRGWGLALLLHVTVSEPVFYWAHRALHRGPLFRQYHAKHHSSPVTQPLTGTYVPGFGTPLEALLLTLAMGGPLAGAFLAGAGSVSLVYGHVLLFDYLRCMGYSNVEVISHKAFAAFPPLRYLIYTATYLSLHHRERDCNFCLFMPLYDALGGTINSKSWELQKQVDQGMNDRVPDFVFLAHVVDVVSSMHVPFAFRSCSSLPWATHPVLLPLWPVAFGFMLLQWFFSKTFTVSFYFLRGRLHQTWSVPRYGFQYFIPSAKKGINRQIELAILRADKMGVKVISLAALNKNEALNGGGTLFVSKHPNLRVRVVHGNTLTAAVILNEIPSNVREVFLTGATSKLGRAIALYLCRKKIRVLMDDGMPCFQMLTLSTERFLKIQREAPSEFQQYLVQVTKYQAAQSCKTWIVGKWLSPREQRWAPPGTHFHQFVVPPIIGFRRDCTYGKLAAMRLPKDVQGLGSCEYTMERGVVHACHAGGVVHCLEGWEHHEVGAIDVDRIDVVWKAALRHGLTP >Sspon.05G0021380-3C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7C:84909785:84912512:1 gene:Sspon.05G0021380-3C transcript:Sspon.05G0021380-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Double-stranded RNA-binding protein 1 [Source:Projected from Arabidopsis thaliana (AT1G09700) UniProtKB/Swiss-Prot;Acc:O04492] MIATGHHHRLAATMAHHGCFAATAAATLLCAAAAATLLCTAAAHRTTGALNALPAARCCSPTRRSWRIGEKTVTGDGECLLPRQQTLTYTRGERKDSFPQEAPHRNRTLSAPRTLTFQGGGAMDGGGAASPDAAICIAPGPGPVVAVAPAGIRVENCYVFKSRLQEYAQKAGLPTPEYHTLKEGPSHEPVFKSTVLVNNTRYESLPGFFSRKAAEQSAAEVALMEIAMSAPGAETRSIPAVQETGLCKNLLQEYAQKMNYAIPSYICTKQASGVAPFICTVEIGGIQYIGAAARTKKEAEIKAARTALLAIQGQSEGCANGAKKYIVVPGQRPVKETDKKPIETPKPLKVKKRGSRRKWNKRKIMGMADQIVDAEKHVEEGLGMLLHYDYEEARRIESELSRDAAMVQFNKEVIMLQPGEGDRAVQPESPRDPAKVQHNNEARSVEQYALSNAEVVMPNNEAITIEHESVSAYVALQSNGDSTDVKEAPSNASLMQGEESETTMQEAPHTGEQVQPN >Sspon.01G0027830-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:99037746:99041968:1 gene:Sspon.01G0027830-2B transcript:Sspon.01G0027830-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRARARGLWALLLVALAAAPGAVLAQGNLTSRSDLVGLYALRGSLGLRARDWPRHADPCTAWAGVGCRAGRVVSLNLVGLRRTRLGRLSPRFDVDGLRNLTRLEAFNAAGFGLPGSIPAWLGAGLAPTFQSLDISDCAVSGEIPASALVGLGNLTTLNFAGNQLSGQLPATAFSGLTRLRTLNLSDNAFSGALPDAVWSLPGLTVLDVSRNNLTGALPTAGLALPANAQVLDLSANFFYSVVPETFRRLFAQVLLANISGNYFDGKLGVSDGGGGNVSFQLNCFLDVLGQRTQADCQQFYARRGLPYNGPVMPPAPQPAPSPAREKHKNLKYILIGAIGGGLLLIAVVAAIVFCFVCSQRTGRRNDQRESGASPSAPSGVSATGTAAATGGTQPSALSANTAKVGDSFAYDQLANATSGFGEERLIRHGHSGDLYHGVLQDGTAVVVKRITSRVARKDAYLAELDLFTKGLHERLVPFLGHCLDKEEEKVLVYRFVRNGDLSSALHRKSREEDEGMQSLDWIKRLKIATGVAEALCYLHHECSPPMVHRDVQASSVLLDDKFDVRLGSLSEVCPQEGEGHQNVITKLLRFSSTADQGSSGSPSATCPYDVYCFGKVLLELVTGRLGISASNDAATSDWLDATLRYVNIYEKELMSRIIDPTLIIDEDHLEEVWAMAIVAKSCLNPRSSKRPPMKYILKALENPLKVVREDNGNSSARLRATSSRGSWNAALFGSWRHSSSDIGPSRDDNILKRSETIKSSGGSNGDHSSSRRRQSKEIFPEPSGSRDTED >Sspon.02G0013220-2T-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6B:13197493:13198702:-1 gene:Sspon.02G0013220-2T transcript:Sspon.02G0013220-2T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AGYMPGRLYDLNASRYGTEAELRSLIAAFRGKGIEAVADIVINHRCADKKDGRGVYCIFEGGAGPDGGGRLNWDA >Sspon.01G0044330-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:81569403:81573295:1 gene:Sspon.01G0044330-1B transcript:Sspon.01G0044330-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding KKKTSIWWTPCGPTPHGVWRKSLLISEEKVLRVRESRCSQANPENGGFLPLPARIPFSLQCIPTDSGRHSHSTAITLAPSLALSRTRSSSLSLSLCLLPMSSPLLTLTSLLFLVHSAAAAGDTRRALHEPLFPIEWTPPPSTTAPPAPGFAADPSTPVPPVDNGGPALLPAPPPPNTVAADASSSSTGPAPRLHGGGGGTPKATIVVASAAAAAVLALLAFAAAFLLTGRLARRHPAWAHKPPGLAAAAHPGPASAVVLHADAVGTSAAASSSGAGATPYRKARSERARRGMCRDVDTVPSPELRPLPPLRRAGSSDEDAAYYTPGQPSAGSAGGEGAGTWSEATASSPRTTTPSRRSLPSLTSDFFPPTPAAAVPAATVAPPPPAPPAPRSRRTQPRTRFSAGSASDMIKQMVSPPSNPPHPPPPPPPPPPPAPRCTNAIPKPPPPPREPSAAPLSTRRFHKLEQTEGPSVAVPRAPVMAVNKDNDGMPIRAHDDPASDEARPKLKPLHWDKVRACSDRDMVWDRLKLDEDMIEVLFTNNAANAPPRDTLRKPGVPQCSAQEKVLDPKKAQNIAILLRALNVTLEEVSDALLDGNAECLGADLLETLVKMAPTKEEELKLRNFTGDLSKLGSAERFLKALLDIPFSFKRADAMLYRANFESEINYLRKSFETLEGACDDLKGSRLFLKLLEAVLQAGNRMNVGTNRGQAKAFKLDTLLKLADVKGTDGKTTLLHFVVQEIVRSEDAKSEKAVEDEARNIVKDEMFRKQGLKVVSGLSNELGNVKKAASMDFDVLHSYVSKLQAGLGKINSVLLLEKQCTQGQNFFARMRDFLKEAEMEIKQVRCDEERALGRVKEITEYFHGDAAKEEAHPLRIFMVVRDFLSMLDHVCKEVSQQDRTVVGSARSFRMSAITAMANLKMYGQHARDDDDEDSWSL >Sspon.03G0029910-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:25758805:25761059:1 gene:Sspon.03G0029910-2C transcript:Sspon.03G0029910-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVWQIHAKAGGAVLRRGHHLPVRRPVLGLQRQRLRLQGEEYCVAPFRVVETRTSGSILR >Sspon.01G0001420-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:3953701:3962429:1 gene:Sspon.01G0001420-3C transcript:Sspon.01G0001420-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLVLLLLATFLPPTVLAAFSPAFSFFLACGAGSTVSFPRDNPARNFTPDDGYLTTKRVPAVTNASPNSATSPLYAAARASSSAFSYRFPVPEPAGQAAFHVLRLHFFPFSPSSPSTVSISSARFEVSVQLQDAYTVLSSFSPPSAGVVKEFFVPAGSSGDFRVTFTPDDGSAAFVNAVELFPAPAELLWNGSVTPVGAGAAASDIAAWRKDALETVYRLNVGGPEVTEENDTLWRTWLPDDPFLFSPAGFSMLKNTSTPIIYDAIHTREVAPDVVYKTQRAAANESAAGATAVPRRFNVTWTFSAEPGSYYLVRLHFCDYELLSSVVGVGIVFDVFVAQSIGTRDFTPTQSETQANTALYTDYAATAPISGNLTVSIGMSDKSSPGEGGFLNGLEIMKVRPSDSSLAAGSRASNRRVLIITLSAVLGASVLAFAALCLFFVVRRKRRMARAAPLEKESSKPLPWSQESSGWVMEPSSRSGEGTTGAMQRVSTQLHIPLEELRSATDNFHERNLIGVGGFGNVFGYLDPEYFKTQQLTDRSDVYSFGVVLFEVLCARPVIDQALERDQINLAEWAVGWQRRGQLDRIADPRILGEVNENSLRKFAETAERCLADYGQERPSMADVLWNLEYCLQLQETHVRRDAFEDSGAVGAQFPEDVVVPRWVPSSTSFMTTADPDDTVVTDVGVANSKLNKSSTKSTTTSPSATAMATPPTSRRLALGLILIGALCSAAAAARFAPADNHLLACGAAAPAVLPDGRRFVPDSGCASTRLRSSSPSLSSAAPPGSPPPPSPLHASARVFSCRASYDLAVRRRGHHILRLHFYPFAPALAASRFHVGAAGLLLLHNFTASSPVVKEFVLPVDSDVLVLTFVPDSGSTAFVNAIELVSAPEELVGDIGTLVTSGGAAQIHGLSSQVFETLYRINVAGRKVTPFNGTLWRTWVNDEKFLVDTDSANYRAWSFSGRIAYPKGSRQMTREVAPDNVYNSARSVRPGANVTWGFPVPAGSRYLVRMHFCDIASKALYELYFNIYVNGDLAVKDFDLSSVTGFLAYPYYIDFIVDVKDEGILKLAIGGSKKSLSDEVSGILNALEIMRMNKTSGGMDGDFPIDLDMEYVVGKGIGEFARSLLCGFIFAGLFVALVMLVLRLRTELRNNGAAWSWRPMDSGEGKLARAYQLVP >Sspon.08G0001050-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:2013778:2023178:1 gene:Sspon.08G0001050-2C transcript:Sspon.08G0001050-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRHQAINGGVGAAVTLAMATTISIQLAALAAAGALRPTTTAVPAVYVFGDSLLDVGNNNYLPGADVPRANMPYYGVDFPGGARPTGRFSNGYNVADLVAKAMGFERSPPAYLSLSRRSGRRHRLVARGIGGVNYASGGAGILDSTQVRNFDATKAQMVLKLGATTAKHLLSKSLFLIAIGTNDMAAFATSLANNGQMQSHAVVAAFYSDLISNYSATITGLYRMGARRFAVINVGRIGCAPIERLQSPTGACDDGADALAAGFDDALGSLLSSLAAGDHRLDGLTYSLGDLYGLMQAIIADPPAAGFADVDSACCGGGRLGAQSFCGQPNSTLCGDRRRHLFWDYGHPTQRGAEVIVSAFYDGPEQFTAPVNFEQL >Sspon.01G0004490-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:10575804:10578711:1 gene:Sspon.01G0004490-3D transcript:Sspon.01G0004490-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRGRSVKDRSKKKRVHALEVATERWKVLSKVLAVVDALKKEEEHVTPLKRLEILRPQLGLVKPHKVAHFVRRSPHLFEVCRDSRGVMWAGLSPQAEALVEEEARLLEEHFPTAAQYVTRMLMMSVDRRLPVDKIAHFRRDMGLPHDFRTRWVHMFPEHFRLVRLEDGEYLELVSWNPSWAVTELEKKAAVSTGDANASPPGELSLPFPMKFPPDFTSYYRFRGKAHHYVKTGNTEQFQKVTYLSPYAEAKGLTPGSPEFDKRAVAVMHEILSFMLEKRLVTDHLTHFRREFVMPQKLMRLLLKHYGIFYVSERGKRLSVFLTEAYDGTELIEKCPLVQWREKLLQLTGYRGRLKNLGKFDVSSDSDDYLLGRDDDDVLDVESENSDDMTYLASAFTGRFIEKIQHHLCCKHGHMAIGGAA >Sspon.02G0011090-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:51849262:51854777:1 gene:Sspon.02G0011090-4D transcript:Sspon.02G0011090-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPDDEALKAARAPPQQRRGISYSQPLSRDAASARRAALRNHSLDDEHILPASHSLNYPLHHDPSGMAPMGYHPPLPPHQHHPSASYSSNTRRSAGGVSEGSMTLERAMSEYGGGQGTLPEFVGAGGGKGIFRVPLRAAMHPGRPPPLEVRPHPLRETQAGSFLRSLAADPQRRQLWAGAESGIRVWSLDEVFAEWGAGARRGDEESAPFREGMPAPPALCVVVDRANRLLWTGHKDGRIRSWRMDLDAAATAPAPPAAGAGGDGGSVGGSSHGGGSNNAPVFREALTWQAYGRTPVLSMVVTSYGEVWSGSEGGLIKAWPYDAIAKSLSLSPEERHMAALLVERAYIDLRNHCTVGNVCSLPASDVKYMLADHSRAKVWTVTSMTFALWDARTRELLKVFGMDGQVESAKLETPVMPEQPMEEEINPNPKAKPSKKDKSQGSLNFFQKSRNALIGAADAVRRVATKGTFVEDNRRTGAVAQVMDGSIWSGCTNGAIIQWDGNGNRVQEFQHHTSSVQCIKALGERVWVGYASGLIQVMDAEGNIIAGWTGHSCPVIRMAIGCSYIYTLAHHGGIRGWPLTSPGPLDDIIRTELSNKELSYTRMEKINIMVGSWNVAQGKASAESLRSWLGSVASDVGLVVVGLQEVEMGAGFLAISAAKETVGLEGSANGQWWIDNIGKALDEGTSFHRVGSRQLAALLIAAWARKSLKPYVGDVEAAAVPCGLGRAIGNKGGVGLRIRVYDRKMCFVSNHFAAHLEAVSRRNADFDHIYRTMAFNKPHGSTASATSVQLHRTVNVNGNQVEEVRPDLAEADMIVFLGDFNYRLYGITYDEARDMVSQRSFDWLREKDQLRAEMKAGKVFQGMREGIIKFPPTYKFQKHQPGLGGYDSGEKKRIPAWCDRVLYRDSRSVSVAECSLECPVVASITSYVACMDVTESDHKPVRCTFSIDIARVDELIRRQEYGEIIESNEKVRSLFQDACFVPDTTVSINEIILENQENVIFQITNQCETSKAAFEILCDGQSTKKEDGTKSELLPRASFGFPLWLEVQPAVGLIKPGETVEITLHHEDFYTQEEFVDGIPQNWWCEDTRDKEAVIRINITGSSSTETKTHTINVQHRCPPSSAPPPMMNQPVAAAPPSNVLASEGTQSAPPRRASPSTGNNSSSSRITRSLGARRYMTCAACGALETCTNYSYITTKEYGY >Sspon.03G0020570-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3A:63925098:63925853:-1 gene:Sspon.03G0020570-1A transcript:Sspon.03G0020570-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTLFKKAKRKNITVQKALQDNKWIEHIGPVFSPTELHEYVLLWNAVQQVQLDESREDSIVWCWTADGEYTTKSAYRIQFQGTFSKLKLTPVWRAKAESKCRFFAWTLLHKKILTANNLIKRHWPNDPICKLCSNELETPTHLCKDRDFTKQAWAFLKQWLGLTVINNIGINGSPHSYWRRFRNKIDKSQRKTFDGVMIYFWWNIWKQRNRRTFQNKSLQPKEVAFLCKEDVEQYQTATRSYEQINQPQQ >Sspon.04G0019750-1P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4A:69866404:69866611:1 gene:Sspon.04G0019750-1P transcript:Sspon.04G0019750-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MIHKDRPDVQIPVLVVGTQVPPDYDDKRVRLFVYNDYNLK >Sspon.07G0006830-3C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7C:13184130:13188295:1 gene:Sspon.07G0006830-3C transcript:Sspon.07G0006830-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DALPPRAAAALADGDWAQVPGLLRSSLAEPRGTHECDRCWWCKHAAGSRPGGRNRPASFSPSYVANGGFGPKLKTRLACRAKNAKSHVTARHSVSSDPVQQVAGAAAREIRSSATQGVTGHVTSNGRRPTTRIQPQAAVPHSPSRPTPNVSLCRARTRQSSRRHLPPRHRKPNPHGSPVLRPWPTGERHPKAPDPRDRARSRRARHRITGAAKRRVVPCEGRHRDRSPAMESATAAVRRASLARARSALVYGGARGARRVVGAGPAAARARRRALVAVAVASHHQEHLEPLPSRAQEGGTVAVTTTQDDEAEVHANDAAAVAAETETSSPQLDFTAPFMFFAPLPVPGKTVRVRFVLQKQCTFGQSVCLVGDDPALGLWDPLDAFALKWAEESHDWILEKDLPANKPIQFKFLLQDSTGKLHWQDGPNRSFRTGETANTLVVFEDWDDVKNQRIAEEEGVASTGIEQTVVSNDSKNTMDAVLEDELQADDNQVKEDESVVAKEDKKPAVGTDAPVQSMLHEETEVLDELLGKENMENSSISSTDENYAEKSGGANILSEDGVPVENGLATAYEHDLLWGWKALQQLMMSLGFKMDTT >Sspon.01G0038740-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1B:31698836:31699336:-1 gene:Sspon.01G0038740-1B transcript:Sspon.01G0038740-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQPPSMTFSRLSLAVLTCLALLAASTATHRAPPTAFAPSSSPSVRGLPALAERLEGAEAQQCWEALVEIKSCTGEIIILFIKGEAFLGPGCCRAIRVIEQSCWATDSMLSIIGFTPQEGDMLKGYCDAGDDDNAGRGGQSGSPPPRGAAAARESFAAVAGRKSSMH >Sspon.01G0001620-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:1177422:1179808:1 gene:Sspon.01G0001620-4D transcript:Sspon.01G0001620-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GMANGGGYGWALAAGFNAALAAISAKFFSTQLLKYGMVILFNVTMWGCYVNSLKALSSLQATVTNFAANFISSGLAGYFLFEEPLPSSGLQVPVLSFLASLSSASQASRINRTQI >Sspon.06G0011440-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:45852118:45856139:-1 gene:Sspon.06G0011440-3D transcript:Sspon.06G0011440-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGGGGRKAAGPGGEEAEVEALIRAAQDAVLLKLQANSHLVSSTPAASASSPPPSLDAAALDPLDSDLARRFDALKSRAAAPKPKPAGAGAGAAVGGMDELEARFAALKGAAGPEKDTRVRLEDLGGESSEDEADEVDKVMRWAMDAARLDVAAAGAGGKAKRADEAEEEEEKDEKSNVSSEDDDEEEDERLRLEMARKKEMAKTKSKNKWRKPGSY >Sspon.08G0005600-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:16941704:16946601:-1 gene:Sspon.08G0005600-1A transcript:Sspon.08G0005600-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAKSVCVTGAGGFIASWLVKLLLSRGQYTVRGTVRDPGASKNAHLKALEGAGERLQLLKADLLDYSSVASAIAGCEGVFHVASPVPSGRSSNPEVEVIGPAVVGTTNVLKACYEAKVKRVVVVSSIGAVFSNPNWPKDKAFDEDCWSDEDYCRKNEDWYYLSKTLSEREAFAYAAKTGLDVVTICPALVLGPLMQSMLNASSKVLLNYFKGDRETVENRLRNMVDVRDVADALLLAYEKPEASGRYICSSHPIKVSDMINILKTLYPTYPYPKNFVETDDNSIYSSEKLQKLGWTFRPIEETLHDSVESYKAFGILN >Sspon.05G0016080-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:60399533:60405940:-1 gene:Sspon.05G0016080-3C transcript:Sspon.05G0016080-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRCGRDKGQELIDLDSDTEDGEAFRHPVPPKSPLSLCCAAPEIERVRRCVRLRFWATSSPGVAVTKYLRGSEMETGRGQKYKEEENSQQCQSATAPMDLPYQSDQVNNGRSAKDRNTALQMRTRGEAQIKSGSMLHELGTMGGKGSECQSKRSRTSRTTTLKTSGRSKNVLPSFYGNLPQRRVSRHGTSRRDEANQDKLNTDIFELYMEDLWKHIDEDKKSAYAYLDSLWFNMHYHGSNIPNVLKWIKSKRIFSRQYVFVPIVCFGHWSLLVLCHFDDANCSDIKKGPRMIVLDSLNTTGPTRLQSAIRKFIADIYKTEEREESKQFINNIRLEFPKVPQQNGDECGIYVLYFIHCFLQNKKLAEVLENKRLEEDFTQLLDDVWFNPEELENFRKDIHSFQASAQHWKTQLP >Sspon.06G0032450-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:58255029:58255694:-1 gene:Sspon.06G0032450-2D transcript:Sspon.06G0032450-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTYAVKPLTVLFFFLAVSLRGTNGEPCGTSSIQVQTMNTGVTAAGGDTVFEVQVKNLCPCSVRNVRVDGGGFATTVGVDTNLFRPVDDGVYLVNAGEPIPSMGAVSFRYAWDHFFQMTPRSLEVEGQC >Sspon.01G0044900-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:53701363:53706165:-1 gene:Sspon.01G0044900-2C transcript:Sspon.01G0044900-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DMIENVLEIKDTQVREVMTPLVDVVAIDAIATLIDFKKLWETHQYSRVPVFEERIDNIVGIAYAMDMLEYVEEVEKLNEITVKKIAHMPTYFVPDSMSVWNLLREFRIRQVHMAVVLNEYGGTIGIVTLEDVVEEIVGEIFDENDSKEEIQKKTGYIVRRGDGTFDVDANTSIDHLSEELGIKIPEGHHYETVSGFVCASFGYIPEEGGKMLVILEKDYREENGEYQEEGSDRQDDREKTQAYELEIVEANARKVGKVLFKPISSECVGVDNKGVNRLVSKKIIKRKKQGSDDSSGDEYPDITENGCPAEVLSYSDDNSDLLEDASSSSAKR >Sspon.01G0024350-3D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1D:85211445:85214527:1 gene:Sspon.01G0024350-3D transcript:Sspon.01G0024350-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVQDGRQGILVSGRAHVSEKLGFVRECEANDNDLDNGDGYATDAPESLHIESTALRNSIVSGFQIATNAGPLCDEPMWGLAFIVEPYIFADGSDAANHSDQYNVFSGQVITAVKEACRAAVLQNKPRLVEAMYFCELTTPTEQLGATYAVLGKRRARVLKEEMQEGTSLFTVHAYLPVAESIGFSNQLRSLTAGAVSALLVLSHWEAIPEDPFFVPKTQDELEEFGDGSSIGPNLAKKLMNSVRRRKGLHVEEK >Sspon.04G0018960-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4B:71019256:71021937:-1 gene:Sspon.04G0018960-2B transcript:Sspon.04G0018960-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAEVRAALGLGPPLLFDLRESERERERWGWEERRNGGGAEAPLCACSPAALRRPFRQDLLDPCTDLKARADPAAPAAPPRRSPSPHRLSLPPRGFDVGSLAMNSLLRPPLPGPTPILSARRRVSPPPAPVRVGSAALVGGGRRCRRGLAVAASAAPSWMEEAGLDVLEEGGRWNPSVSDSYRPAGLPRPNATVLEAQARVCTGPAQTRPLGEEQAMRVLDTILRSAMGELKEEPVSSAQLGAFFAGMTIRANSFPEATQWSEGERRAMSIFWPRLVQVLPPEVKFIADPEGTIMGANGLTGPRYVGQGTGEMRLVGALREVLAGGHLGYEEVQCVLKDILPIGSSSDLTEVSEALLAAFLIGQRMNRETDRELKAYCLAFDDELGPPPVADVKSLTHYGEPYDGNTRFFRSTLFVAAVRACYGESCLLHGVEWMPPKDETAGFAYLNLQEACPPLYSIIGLREHIKKRPPLATSEKVQQFVRIP >Sspon.05G0023630-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:8090505:8092260:-1 gene:Sspon.05G0023630-2C transcript:Sspon.05G0023630-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIPHQTPSPPPVVSRHSSPRLTGPLVGAQIYPTPSRLRSVMDDSAGEAGSQSQVRRIRGASLSSAPQPLSRSKYGTRRSNPSRPLAYQRFLSLQVHACSSYPLSFPSQPPDIKNWFSSYVYDSPEVPELVADHDGGNGSETQDPFEDGGVALRENCLGGQSEPEVFSGKYLVSVDGNAMKPALKRKQSLRALFGASFLDEAEEANETDSHGLFPVQLNALKHVSDCTSLPLNEICEAHSEKKDCATSLPDSTRHSQEGTTEHSKVLVDCDRISSADTQESTPADQEVECKKSANCDHACLADIGEGFEEDVINHIELPVSFNSTILAGTEKKIPDGVDNSTSLVSRNSLSLADTEDNSPLGETGNEENSPLGGTDRCKLSLDSKRRQEMVASDGFIAIKRKEKQPEEHKTNKILRHPTGKEKEKENLKENVIIPNQKVLVQEQRRPLADRTNFSEVNASPVPEPSRKWKCPRKGKPYVGRPMKQLRLEQWVRRVN >Sspon.02G0033040-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:39699162:39700488:-1 gene:Sspon.02G0033040-1P transcript:Sspon.02G0033040-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLVEPPQWRRKATDFFSTSSVKLKQAGQSAGDNIADVAGKVGSVVKSRWAVFQETRQQQQRPPHETVQERIITAAASTGLLFRKGISETKEKVAVGKVKVEE >Sspon.04G0024730-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:25399552:25407523:-1 gene:Sspon.04G0024730-1T transcript:Sspon.04G0024730-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRDQVRADLRQYLHCDEVKEMTRFAVDVGIRGAMLRELRFKWAREKLDEVEFYRGLDDKRADAEAGADPAPAPVPRLTALPKRKGEVKFTMYGLDMSDPKWAEVAERVAEAEAHFVPEEAKAVEGRAKKAEERLLSVDPRRGDPVPAIEEWKEDLRPNRVDWIALLERIKARNVELYLKVAEILLAQESFEANIRDYSKLIDLHAKANHVESAERVLGKMKEKGIAPDVITSIVLVHMYSKAGNLEQAKEAFEFIRRRVLNQI >Sspon.01G0027520-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:96352821:96353755:1 gene:Sspon.01G0027520-1A transcript:Sspon.01G0027520-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MYPYTLRAAASSSSSSTGLGFALGRIGGTTTRGAGGAAAPGLVVPAAGVARGRSVSSTPAGNAPVPGDQGVGMEQQQPQVPPQDAAGDKNKRRDDMHKTTGDVMTHSFGEGYSTRSDEEGFGGVYGGNDPVERPGTEIHPNHPDYDTSQGSEVKEKEKARHLKDDKHATA >Sspon.02G0041830-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2B:78546667:78547050:-1 gene:Sspon.02G0041830-1B transcript:Sspon.02G0041830-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VKMGEDSLKRVMSSLTSIPIPELPSSDVPVPTQDDIINYFTTTPADDALVNNSFMPMPDPLQLQLQAEEPNHQWNSEYHREKPNRYALRGAMELIQETMGAMPTSSGSTLQESELLGPNETINMHMY >Sspon.07G0020790-4D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7D:64964929:64971121:-1 gene:Sspon.07G0020790-4D transcript:Sspon.07G0020790-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWCRI >Sspon.01G0032040-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:105059856:105082522:-1 gene:Sspon.01G0032040-2B transcript:Sspon.01G0032040-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAANGDASHGGGGVPRPTNPMVTPLLTDLYQFTMAYAYWKAGKHLDRAVFDLYFRKSPFGGEFTIFGGLEECIRFIANFKFTEDEIKFLRSVMPTCEDGFFEYLRSIDSSDVEVYAIPEGYVVFPKVPLMRIEGPVAGLDEITNKALTSSDGSKKCEDFVSLVQNWLIRIQESSSLHGTFGETSQSELAAFTSYALAFPNSFLALVDTYDVMRSGVPNFCAVALALNDMGLDSGDLAYLSIETRKFFHAIEKEFGVVGFRKTSITASNDLNEETIDALNKQGHEVDAFGIGTNLVTCYAQAALGCVFKLVEINKQPRIKLSEDVTKVSIPCKKKCYRLYGKEGYPLVDIMTGEDEPGPKAKTI >Sspon.08G0005280-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:63580631:63585098:1 gene:Sspon.08G0005280-2P transcript:Sspon.08G0005280-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GMAGYPEDNQHALNGYDEEEVDEEGHPGRRGGRDGASGYGDAGGEDGRGTGGDSSRKIFVGGVAWETTVESFSKHFEKYGAITDSVIMKDKHTKMPRGFGFVTFSDPSVIDKVLEDDHVIDGRQVEVKRTVPREEMTTKDGPKTRKIFIGGLPPSLTEDSVERVISEGRMRDLGGKQVEIKKAEPKKHGSDHSSNGRSSHGGGGYRNSYRSGGGAGSASSGSSGGGGGYGYGGAYRSAAAGYGYDGGAGAGYGYGRGYGYGGNAGFGSGFSGGYGGSMYGGAYGAYGAYGGGAYGGGAYGGGAYGGGAYGGAPGGYGTGGYGSYGGAGGAAGGTGGGSIGKNGTKNMPHRGQLNTLLPKGTAMSFSPRPL >Sspon.04G0026610-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:46877454:46884726:-1 gene:Sspon.04G0026610-1P transcript:Sspon.04G0026610-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MCALQLELPEYDYKKNAFLEADKQCSNAIHNMEKKIRAACTAPGVKVSAVTQVLETALAEYETSCTGPAKWRMLAAFLRHLEGPILDLCLKLINEAESERTSFTLRCRSNEDQLELLKKQLEANEAHKSEYLKCYEAAVSEKQRATADLSGNLTNLRTKCSTLEERCVSISKELDHVRHECTDWRVKYEQSVSQHKAEQDRFVAQLASLESRHSSAEGKLGAAREQAASAQDEAAEWKKKYEMAAVQAKTALERLASVQEQINKIAQERESAIRAEFASHLEEKEEEIRKLVAKIREAESEESVLTERLLVAESKTQGHNKETACLKDEIKELTSKLEFLRDRAVSYEKQARMLEQEKNHLQEKFLSECKKYDVAEQRYKSAERDVKKAIELADAARTEAIASQKEKDEAQRLSMEKVAVIERVQRQVDRLEQEKVNLFGEVQRMRNSESDAWSNVTLLESRVAEREKEMDDLLSRSNEQRSSTVHVLESLLATERLARAEANKRAEALSVQLQSAQGKLDILHQELTSIRLVETALDSKLRTTTYGKRLRDNEVRLDSIQDMDIDPPERSRKRTKSNTTPLKPVQTEDGGSVHMREDSVTVSTDVKDGNPDGYKKFTIAKLKEELTKHGFGAHLLELKNPNKKDILALYKKHVLC >Sspon.01G0027630-2P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:91451499:91452567:1 gene:Sspon.01G0027630-2P transcript:Sspon.01G0027630-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GKEIVDLCLDRIRKLADNCTGLQGFLVFNAVGGGTGSGLGSLLLERLSVDYGKKSKLGFTVYPSPQVSTSVVEPYNSVLSTHSLLEHTDVAVLLDNEAIYDICRRSLDIERPTYTNLNRLVSQVISSLTASLRFDGALNVDVNEFQTNLVPYPRIHFMLSSYAPVISAEKAYHEQLSVAEITNSAFEPSSMMAKCDPRHGKYMACCLMYRGDVVPKDVNAAVATIKTKRTIQFVDWCPTGFKCGINYQPPSVVPGGDLAKVQRAVCMISNSTSVVEVFSRIDHKFDLMYAKRAFVHWYVGEGMEEGEFSEAREDLAALEKDYEE >Sspon.05G0021580-4D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7D:83698899:83699776:1 gene:Sspon.05G0021580-4D transcript:Sspon.05G0021580-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMAPDRPLEAFITAARGAIAHLHLPVIHVPGSNSTPNPNPKQQEEPEADCLLHLHVVVTNFLHKPLKSFTRCFKSKRRAGKHYPPLHWDHSNGATPQQQLELMLCIAFDAFSHNLHLLEDACRQKGEEFGVATRQFEQFVVLRKIIDGKRADFNGFLSNLGFAKVGAPPPRARIVSGASPVPAPAPVSDQEDGGGIGDSNQEDGAGIGDSEAVNNASGTQQPAQRLPARLLNIPLSNVERLRSTLSAVSLTELIELVPQLVSRSSISADAHPDKKKLFSVQDFFRYAEIEG >Sspon.07G0019830-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:69684100:69685346:-1 gene:Sspon.07G0019830-4D transcript:Sspon.07G0019830-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALETCFRAWALHAPAAGSKDRLLVVGSSGSGSNLVLPSKRAASAVAPLSVGRVATRRPRHVCQSKNAVDEVLVADEKNWDGMVIACETPVLVEFWAPWCGPCRMIAPVIDELAKDYAGKITCCKVNTDESPNVASTYGIRSIPTVLIFKGGEKKESIIGAVPKSTLTTLIDKYIGSS >Sspon.07G0017630-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:79295053:79312325:-1 gene:Sspon.07G0017630-4D transcript:Sspon.07G0017630-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VIKWMLSKGRGNTMRTYELLACALEKDNRAEEAHRIWQKKIGHDLHSVPWRFCRLMLAIYYRNNRLDRLVKHGMKGHQHQSSSPPPPSKRRCSGLAAAVPALVVCSTLLPLVYLLVLHRPAAGYGSDDRAAVVISTELAGVGARGKRHLENGGAMKHKLLKDVSKKVSGSTGISAERSTRSKSNKDLAIKSKAKLKGAFSLTRLNNDTFKSKGPRTSKRYQLKDLTWRSKDTTVNGKENYGQETVHEENTKSCEHEYGSYCLWSTEHREERFTRELKQNIQEHERMLSDTITDADLPPFFAKKLEKMEHTIERTKSCEVGCSNVERKLRQLLDITEDEAYFHTRQSAFLYHLGVQTTPKTHHCLNMRLTVEYFKSRSTHMDQLNEQKLESPTFHHYVIFSRNVLAASTTIHSAVMNSQNSDHIVFHLFTDAQNFYAMKHWFDRNSYLEATVHVTNIEDNQKLSKDIDSLEMQQLWPAEEFRVTIRNHSEPSQRQMKTEYISIFGHSHFLLPDLLPSLNRVVVLDDDLIVQKDLSSLWNLDMGGKVIGAVQFCEVRLGQLKPYMADHNVNANSCVWLSGLNVIELDKWRDMGITSLYDQSFQKAVRFSSIGYSCTTRFRKPQVLDLISQTSSYHFSQSRLMAMAAAPANGDSESGPQRNYQVVVAATQDMGIGKDGVLPWKLAGDLKFFKQLTLTTSDPAKKNAVIMGRKTWESIPVKSRPLPGRLNVILTRSGSFDFATAENVVICGSMKSALELLASTPYCLSIEKVFVIGGGQVLRENLNGPACEAIHLTDIQSSIECDTFIPPVDFSVFQPWYSSFPVVENDIRHSFVTFVRVRKSVVETHDSNGKESTEVNTKNDKFETENFSFLPKMIFDCHEEYHYLNLVEDIIRYGAQKNDRTGTGTLSKFGCQMRFNLRKNFPLLTTK >Sspon.03G0042350-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3C:61657911:61664203:1 gene:Sspon.03G0042350-1C transcript:Sspon.03G0042350-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRWLLIGHLGGAPAHVIVTVSDSVFQSSVVADQPQAVVDAWPRGGDRTPAAAPHVSPRRVQRPPRRTELLHFLPLPRSAPKRRTSATITASPPVSSSALATASQTTKNHPQLRLEPLFILHLAVESIVRRAEPPSNSSEPACRGRGPPLPSPATLATVRTPLDGVPEGVTLLEFEQAIPEEIQEQPEEPEEPAGGGVPAEDLPECPNHLPSTFLKGKPRYATEFVDTDAKKIASFKRGLIPKLMKTLANNKSATFNEFVSDALTQENQNNIYLALKNRKRAYEAGASQAKAPTAIRPQFRPPTSKYRPPQKKA >Sspon.04G0035590-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:14108700:14108984:-1 gene:Sspon.04G0035590-1D transcript:Sspon.04G0035590-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RPDGGWRPDLACHRGASPCGGAPQRVSPSRWCAPISCRARSACPSLFMFVRSSHFLRPSASDTAIFVLPATVRVYCVRGFSVTDFVFSCERKLVS >Sspon.02G0034830-2D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2D:9727069:9727419:1 gene:Sspon.02G0034830-2D transcript:Sspon.02G0034830-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLVHQASMPAMAPPCDEELVPQGFSCFGRSLSRASSSSRLEYRALQHEQGEERRAAAGQDARSARAKLRWKAVAHEIMAKGSGGARRRKKQQQLAAFSYDSRSYALNFDQGADE >Sspon.05G0012790-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:33232068:33239393:-1 gene:Sspon.05G0012790-3C transcript:Sspon.05G0012790-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding WGCSGRRLAWPVWRCGGISRAPRLAPSPPAVPPSRAPCRRATSTPPARAGSLPPAPRAVPLSRLTDSFLDGTSSVYLEELQRAWEADPNSVDESWDNFFRNFVGQAAATSPGLSGQTIQESMRLLLLVRAYQVSGHLKAKLDPLGLEERPVPDVLDPAFYGFSEADLDREFFLGVWRMAGFLEENRPVQTLRSVLERLEQAYCGTIGYEYMHIPDREKCNWLRDRIETVNPREYSYERRQVMLDRLIWSTQFENFLATKWTTAKRFGLEGAETLIPGMKEMFDRAADLGVESIVIGMPHRGRLNVLGNVVRKPLRQIFSEFSGGTKPVNEGEGLYTGTGDVKYHLGTSYDRPTRGGKQIHLSLVANPSHLEAVDPVVAGKTRAKQYYSNDRDRTKNLGVLLHGDGSFSGQGVVYETLHLSALENYTTGGTIHIVVNNQVAFTTDPKSGRSSQYCTDVAKALDAPIFHVNGDDLEAVVHVCELAAEWRQTFHSDVVVDIVCYRRFGHNEIDEPSFTQPKMYKVIRNHPSALEIYQRKLLESGKISKEDIDKLNKKVSTILNEEFQNSKDYVPNKRDWLSAYWTGFKSPEQISRIRNTGVKPEILKRVGEAMTTLPENFKPHRAVKKIFDLRRQMIETGEGIDWAVGEALAFATLIIEGNHVRLSGQDVERGTFSHRHAVIHDQETGEQYCPLDHLVMNQDEELFTVSNSSLSEFAVVGFELGYSMENPNSLVIWEAQFGDFANGAQVIFDQFLSSGESKWLRQTGLVMSDDNPYVIPEMDPTLRKQIQECNWQVVNVTTPANYFHVLRRQVKIFAQFPLPPVKPSDVIHRDFRKPLIVMSPKNLLRHKDCKSNLSEFDDLAGHPGFDKQGTRFKRLIKDQSNHKDLEEGINRLVLCSGKVYYELDEERKKTERTDVAICRVEQLCPFPYDLIQRELKRYPNAEIVWCQEEPMNMGAYSYINPRLRTAMKALGRGGIEDIKYVGRAPSAATATGFYSVHVQEQTELVQKALQRDPINYPF >Sspon.04G0015970-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:58938160:58941433:1 gene:Sspon.04G0015970-1A transcript:Sspon.04G0015970-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGERGESSGERQGTVERRMLRSRYHAVKNLISGRRPLALSLVLSARVCSRFGSGVWVLTFEGGSCVCADERDEMARADSDRFTAIIQQVDCLHELVQRPREQIADAEALLGIASTLVTSVRSQSSEGITPSDFITALLKKFGQQGTPDDESVSLRWGDLGHSVSHIFRPMPGCCTMLGPMDTEVKQRKVAAFSRKRTARPTENTCPEELADSSEEVKSDTDRNVLVIFDVLRRKKSTKLENLVLNRLSFAQTVENIFALSFLVKDGRVEINIDNNGHHIVRPRNAPAASAIASGEVSYSHFVFRFDFKDWKLMKEAVTEGEELLPHRTSQSALCNEENDQPNTEAHAQITPIRKLSRNRGLILQDHVVEETPEENQTSKRRRLFRDQD >Sspon.07G0002600-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:6289430:6291655:1 gene:Sspon.07G0002600-1A transcript:Sspon.07G0002600-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASVVAALIVLGVASVATAQLETCNGDLPPVLAANYSGLACQPVWNNFVLRYHQDKNNVLRIVLSTMYSTGWVGMGFSRDGLMIGSSAMVGWIGKKGLPHIRQFALRGKSSSKVVVDRGFLVSNDHDHTVVVQQAKIYLAFQLRFSYRLTHQHIIMAFGNSIPVKNKLTRHQDKTSFTFDFTTGRASVDGSFPYGLRRAHGALNVFAWGVLMPIGAILARYFRRMDPLWFYLHVGVQFVGFIIGLAGVVAGVALYNKIQADIPAHRGLGIFVLFLGILQILAFFLRPNADSKYRKYWNWYHHWAGRLVLFFAAVNIVLGIHVGGADNSWKIGYGFNLAVILVAVIALEFMLWTRWSKNSTSTPTY >Sspon.04G0007240-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:13434716:13435703:-1 gene:Sspon.04G0007240-2P transcript:Sspon.04G0007240-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to Homeobox-leucine zipper protein HOX22 [Source: Projected from Oryza sativa (Os04g0541700)] MDRPDHHQHQFFMPAPVQVPQPQQQLCVPMMDELSSFLAGMGGGGGPPSSAAARGERKRRFTEEQIRSLESMFHAHHAKMEPREKAELARELGLQPRQVAIWFQNKRARWRSKQLEHDYALLRAKFDDLHARVESLKQDKLALTTQPLSDPRLIKFANLLLGACVHDQLNELSERLREREDRAGGATTASSSCCNGGGGDAEAEDDKRNVVLGCVNNMEPPPESCVLVGSCAMPADVVSVESECDDHYLDYGDGFPESYCAMPELWEPWPLVEWNAVA >Sspon.04G0009560-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:27535407:27538502:1 gene:Sspon.04G0009560-3C transcript:Sspon.04G0009560-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTKTSRSLQKSGRGNQVQGEGPNWVLVAGVVLLSTLSVKIGCKLKQLFDGKQQNNTSKAKRRPEACERHSDLYRFSDQTGCYYCMSGLANGVEIKQARASPVPKSVESSLPLVKIPTPESSKENSGVMWISSPDRLEDPRRPFQYSNSSGSPCVSESGSDIYSKREVIQKLRQHLKKRDEMIMEMQTQIADLKNSLNIQVTQSTNLQSQLDAANRDLFESEREIQHLRKIIADHCVAEALSHEKPLQAGHWQSDAANGHSNGYADGSVDDADLHCVSIEKRKGEVERVEMLKKEVVELKEVIEGKDFVLQSYKEQKVELCSKIRELQEKLSAQVPNIL >Sspon.01G0042890-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:68770309:68775381:1 gene:Sspon.01G0042890-1B transcript:Sspon.01G0042890-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLPPVVDAKKIKPTYFFNAVMLGLSTSPVPCCSALVCEKNPPIYATARSISIAAASFPTQELSTDEEADQQRILNEDSCRYMERHGGVNGSDGTAAAERDDLDAGRTTRRRTKKRSSQGAAVASGEEAAPGGRSGARAGAELREWTTSWTRSARPGSRATSASTRARSPSGSRTAARAGRPSRSSATSPRSARHDALRAECDALRRDKDALAAEIRELRDRVEKQMEVKLESAEELLPVATRTAAAAAAADGSTDSDSSAVFNEEASPAPYSGAALDQQQAAATNGPPAGGLHGFHLLLGLLFPFHIPWDSHLDQEADGFFSAGAGADGFFAEEQSTGIGSWYGGE >Sspon.02G0016740-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:51392093:51394513:1 gene:Sspon.02G0016740-1P transcript:Sspon.02G0016740-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding FWKKVPPNEPYRVILSDVRDKLYNTRERSRELLSSGHSDIPEEATLTTVEQLLEPLELCYRSLCACGDRVIADGSLLDFLRQVSTFGLSLVRLDIRQESDRHTDVLDAITTYLGIGSYREWPEERRQEWLLSELNGKRPLFGPDLPKTEEIADVLDTFYVIAELPADNFGAYIISMATAPSDVLAVELLQRECHVKTPLRVVPLFEKLADLEAAPAALARLFSIDWYRHRINGKQEVMIGYSDSGKDAGRLSAAWQLYKAQEELIKVAKDFGVKLTMFHGRGGTVGRGGGPSHLAILSQPPDTIHGSLRVTVQGEVIEQSFGEEHLCFRTLQRFTAATLEHGMRPPNAPKPEWRALLDEMAVVATEEYRSIVFKEPRFVEYFRLATPETEYGRMNIGSRPSKRKPSGGIESLRAIPWIFAWTQTRFHLPVWLGFGAAFKHVLQKDIRNLHMLQEMYNEWPFFRVTIDLVEMVFAKGNPGIAALYDKLLVSEELQPLGEKLRANYEETQKLLLQVAGHRDLLEGDPYLKQRLRLRDAYITTLNVCQAYTLKRIRDPDYHVALRPHLSKEVMDSTKAAAELVKLNPGSEYAPGLEDTLILTMKGIAAGLQNTG >Sspon.07G0034550-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:74964829:74968118:-1 gene:Sspon.07G0034550-1C transcript:Sspon.07G0034550-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTTNIVLVHIFDHILKMNIRKTELLVEHGEPKISGLERGILGGAREEEILRLEVPVHDAERVAPLHHPNNRPDELRGGALCVVALGDDAVEEFAAGAELHDEVHEERVLVRAADADDVGVLGEVVHDLDLAPHVLVVLAAQQLALGDGLARVLGAVRLAHALWSRTLSVLCGSTDAGRPPDPAVTGGGSRTCALAPPAFPLPGPCGSGCIAFGGGGPSPDQEP >Sspon.05G0004590-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:16917219:16918419:-1 gene:Sspon.05G0004590-3D transcript:Sspon.05G0004590-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAYVLFARGKEEVVSKFEEQEEDIGCPSESSAARSTSSSSDGVDLADDASSSGSNSHFEMASLMTHLPIKRGLSKFFDGKSQSFTSLAAVGGLEDLPKPPAKRLKTSRSCGVDLKDAHRGPNPATGKKQAVLGSAPRRLVRARPLVTARPAAAGKPLLFA >Sspon.08G0030500-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8D:60396071:60399976:1 gene:Sspon.08G0030500-1D transcript:Sspon.08G0030500-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAGQSQGSVASSTQRRQEADLAAAEKRERAAADAAAAAARASRLAAAELAAARAEVEAAAATDAARAAAAEVEVLRGSNSSSIAADGSADADLELLEREASRGRAAQWAAVHTHERGGSPDRCRHVGGAPEGGAHGGGAPGGGAHSGGGRVDGERGLHRQRGSLSPVRYRGRHEYQAVVRDVGPGGGWPTLTKTNYVEWAAVMRVKLQVRHMWDVVRYGDVDYDQDRRALDALIAAVPPEMQFSLTNKRTAKEAWDAITAARIGSDRARKSTLQALRKEWENLAFKPGENVDDFALRLNTLLQKLVQFGDDTYGEERAVEKLFRCVPENYKQMARSIESLLDLSTMTIEKALGRLKVVDGDEPQRLSGPVTIGGQLLLTREQWAAGQGDRKKGEPSFTTGGRKRGKRGKPRKDAQAGARGRAEGDDRGGAQGGAAGKQKSARDDPCYNCGKLAAPAATALLHLDEPKAHALLDDSSGNDKTDGWCLDTGATHHMTGRREFFIELDPSVRGTVKFGDASAVEIKSVGSVVFVAKTGEHRLLTGVYYIPALRNSIISLGQLDESGSRVEIEHGVLRIWDHRHRLLVKVNRSNRLYVLHEQVAQPLCLAARRDDDAWRWHERFGHLNFEALRQLGSKEMVRGMPHVDHASFRAKEKLELVHGDLCGPVTPATPGGRRYFLLLVDDVSRYMWAVLLDAKAAAADAIKHLQAAAEAECGRKLRVLRTDNGGEFTTAEFAAYCADEGIHRHFSAPYTPQQNGVVERRNQTVVATARALLKQRGMPAIYWGEAVMTAVHLLNRSPPRPSTARRHTRPGTAQARGEPPSRLRCLAFVKELNHVGKLDDRSTPGVFIDYAEGAKAYRVLDPATRRLVLTELAYPTTSFATTSGESFTTATTSSGKSTGSGESTTSTAGHASFSYTGPYLFRLGTAASAHDEQRTVEFATPLSNDEDRIDAYHGGEPLRYRTVDNLLGEQPVPGLAQHDFEAELHLAQDDGEPRSFAEAERDAAWRAAMQMEMDAVERNKTWELADLPAGHHTSLSRGGLRASAAGFAIPGKEGKVLRLRKALYGLRQAPRTWNAKLDSTLKGMGFEQSPHEAAIYRRGSGGKALLVGVYVDDLVITGTKE >Sspon.04G0019490-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4A:69005004:69007795:-1 gene:Sspon.04G0019490-1A transcript:Sspon.04G0019490-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MERSPSPPRPHEEAEIDFDDEEEDPEEVEPWFTSSSDSEPEREPKRPAPSPTPSPAPAWTEPEQQPAPITAEAEADKKGDGEEDARPRWPGWPGASVFRLVVPADKVGGVIGRRGETIKRLCDETRARVRVLDAPLGASSQIVLVSAKEEVEAELSPAMNAAIKIFMHINEIEVINSDGTLSASAPEICSARLLVPFAQAVHLIGKQGVTIKPIQESTGTTIRIIDEDELLSHETVDERIVEIRGASLKVLNALKSVLELLRKFLVDHGVLHLFERKNQEVVQPQDTSNYPLAVNQDFLLSDQRSHGNPISS >Sspon.03G0032440-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3C:46649142:46649506:1 gene:Sspon.03G0032440-2C transcript:Sspon.03G0032440-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ALKQLPREQVQVATKFGILRDESGNPTVCGRPEYVRACCEASLRRLDIDCIDLYYQHRIDTTIPIEETVSAACLKPVGVVGVFAHVNSLFEITADWRAQEVGGGGEGQVHRIVRGEPGHH >Sspon.01G0006870-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:16998255:17001376:-1 gene:Sspon.01G0006870-3C transcript:Sspon.01G0006870-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPGAAAVAATSQQADCCGHGPSWRGGCDVPGGASCSYLPLRKRLSVDGKFQAPRICIWECDGEAGDITCDIVAAPLRRSCSARAMPPPPPGSLFRRMMTPPQREVEDDVAARRPGETISKGHRSYGLMLNLQLGISYSVGKSSALPFRKLSSSDFDPREKVWTRFPPEGSKLTPPHHSVDFRWKDYCPAVFRHLRKLFGVDPADYMLAICGSDTLRELASPGKSGSCFFVTQDDRFMIKTVKKAEMKVLIRMLRSYYEHVCRYKSTLLTRFYGTHCIKQAGCPKVRFIIMGNFCCSEYKIHRRFDLKGSSHGRTIDKAEEKIDETTTLKDLDLDYAFHLQRFWYDELMRQIQMDCTFLETQGIMDYSLLLGVHFCNDFSMSKIGVPQFIGLPKSTGKRKSFEGGGDVCELCFIESGCKDRDFIVDSRKPLVQLGKNMPAQAERRSKFLLNKRHLFITTSSGGFRDVYLYFGIIDILQDYDITKKLEHAYKSFQVNPGCISAVDPKLYSRRFQDFIRRVFIREQ >Sspon.02G0019990-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:65230550:65232815:1 gene:Sspon.02G0019990-1A transcript:Sspon.02G0019990-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRPESDFTNYQEFENSTLPVEGSIVLGECHDAMQSSAEMKCPLCRGSVCGWIPAGDVRQYLDNKLRTCSHDSCKFTGTYEQLREHARIAHVLTKPAHVDLSRKRSWDRLEREQEVGDVISAIRSQVPGAIIVGDYVIETRDDMSPDIDSGDESSEWYSDHVESPDNILDSPMVWPNEALGSPSIWSDERRNLARLPQNNRVSPRLSLGSRRTLHSGWQGVRRSSTRSLLQRGFSNHHSGHRSNYRGHRHMLLDRSYAGARDSGTGRSLNPSVVPSRRQRLRYTHRKRCLELYFDQLCKPPAFSQPVSCSNGGTPNASIGASWQCIIVGQLGMRI >Sspon.04G0027600-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:65375332:65383370:1 gene:Sspon.04G0027600-3D transcript:Sspon.04G0027600-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LFLFLLLPSCYPDDRLVVGKPLSAGGVIVSDGGSFSLGFYSPTNSTPAKLYLGIRYNDIPELTVVWVANRETPLITATGSGSSASAPKLAVTNTSNLVLSDANGRVVWATNVAAGRTGSPPSPSDTPAGSGAVATLTNDGCLVLRAKDGTTLWQSFDHPTDSFIPGMKFRFRMRNNTTTTSGGGGDRLVSWKSPSDPSPGSFTYGLDQVTSLQIFVWNGSRPLWRSTVWTGYTSSIHYMPNISGHVYLGVNAADGEIYMGFSVSDGVSRARYVMSSSGKYALHAEYPTQMVASARLSPNVSLRKSSRQSTSPYAMYGVSADACDAKPDAFAFPGRSWNNASLRWEELIAWPSYECSCYGYCDNTDVAAAVPTCKCLDGFEPASSEDWSGGNFSRGCRRREALRCGDGDDRFLALPGMKAPDRFVHVRNRSYDECAVECSGNCSCVAYAYANLNTSTINGDSTRCLLWVGELIDAEKISVDEAGDETLYLRLSGLDTTGNKHKRQKHKTLVFGEVNAAEGFDFPVLRLKNVIDMTNNFHKSFMIGQGGFGKVYK >Sspon.06G0006100-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:20555703:20565092:1 gene:Sspon.06G0006100-1A transcript:Sspon.06G0006100-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEAPYKGSIGSKGAVDMNGSPVSTAIAGRPSNLMIKFCTCAGRLSSSSPHNLENTDISMTCKGCTGESTADTGGPSCSGKLNNMGLELPRPLDLEVRWKTGNRRQRATRRARTSFGEGTSRDGIGSFYLFGCATNQEMSQDAAVSEYEKLGVSILGRRFSDPMENVPIKKRRFHMDCSPSPPPTPLLVDPYEKILGSSSKAVRSYEKHCKLKMQGIEYKEENKGRFGADDFSGIDILASAACESEMGGEILNGECSKLAHPPEERKLENTTGSSKLSLLHNMEDKLNIPGTSHCIYDRPLGSSNSAPDIKSLFVATPTISENLVESAYAPKVNCSSYSALNSADKTEIVSDAKSSSVAVANSSDNPEKTIGCSQDTVVQTNHANATRDSRLHWDLNVAMEAWDTNCGDVDDPLVSTVIDHHDARNDMNKPGTSHHFESRDGSVADHSVDTIHMADAQKHVSANTKDTCDCPADGLSHPSQNLQLLESGSVGNDALAETMDLPDQQNSRFASVMDSDIRSDPEPAIIMEHFASAANVEKTDGSHPQPVHSEGLSNMSSMDVHVGSNSLQTSELGTTLKPLASRLVSEDSTNLPKAVMDSHIRSDPEPALIMEHFASAANVEKIDGSYPQPVHSEGLSDMSSMNAHVGSNSLQTSELGTTVKPLASRLVSEESTNLPTVGTFLKKVTDFGWSDNKVEEASEQSISESKNQELLDVDSGTSKKGEHETDIFYANKRAKDAEDLTHPEDNHGSSDCDMAHAHEEDGAVAMINSKDSLITCANSSTLETYCISDAAPQVPGPSSECHKPEFITDAGSTVDSKAAAHSYQNGCKNELGKVVSNVCSDQCYETDTSHISKNLAGVEKVDVEEDDSQYEDGELRESDDCYWVGDGYEEVKHANWHYQVSEDYKNEEATPGLAPLHTDSIAKNVVISVANYNGTQSRKEDVAVSPVSSKRSWLTNCLDGGPIADGKAQSIHSRGDTKMYGSNTGRVAARSATTISQSERCNDALGDDMLNIRMKNTGWDMMPEDQKHSQHDARDVTDSSNRCGLSSDAARDDESLRKKGLSNRDLQRVERQKSFDRPQRNELSRSDDGYGSGSKSERTMDSHRLHGMYGASQHVQTSGRGEWVENSKHPRPTLPKSPEYYNYGPSGPRNAAEAAVAKMESSGFVVAHDGTLVRAVDAANAGQMPRRMRNTLSSSGRLISGQGSPIDRDGACGMSRGPVHAREASPERHFGANSNRSSRYGPEMEKDHTTDGNLSSVRCSLSSRQRGTPASRASLNLSHAHRRSPSGSRSRSPHDWAPRNRSKIMTNGGSTLRRHSRSPPNHMAKGRIVRMASPQRQPGYDDRAMRYSPLSRNNTYSQHASTWVDGRNGSAVNISDDHNKRYSRRSPPLRIASRNDRFDVMDSQGRPRSGEFYRPTQGRLLYGYDRENKHGRNGEDEREYTNRYVNHSVKPYDRSGAVKQFRNNTGDKFRTRISAPRSPELQRRVSPRRFDRISFNVMKLTLMSFSQEKGWLSVFDLLYIKEDAKLVVSAGVGNNQTLAHNNLWGTSRNSVAEAWNKACTIS >Sspon.01G0018640-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:70266733:70267610:-1 gene:Sspon.01G0018640-3C transcript:Sspon.01G0018640-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding METPKPLAVLFLAVVAMAAAPAVDAWSRGTATFYGGSDASGTMGGACGYGNLYATGYGQYTAALSQVLYNDGASCGQCYQISCDPQTDARWCRQGAGPVTVTATNLCPPNYAYSGSNGGWCNPPRPHFDMSQPAWLQIGIYRGGIIPVLYQRVSCVKQGGVRFTITGFNYYELVLISNVGGSGSVASAWVQGSNTNRVPMSRNWGANWQSLARIAGQALTFGVTSTGGQSIVFLNVVPQNWAFGMSFTSNLQFSY >Sspon.02G0027160-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:98642461:98643570:-1 gene:Sspon.02G0027160-1A transcript:Sspon.02G0027160-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHPSPSPSSSSSSRRLSEVLGEQQEPFSLDLYLLERGCSPAFLDATVCGGGACSTCWPKTRGTGRTLRRPARNKKGSRRSGVLRMLLSKILSGTTVPAATKTRQQRADIGWRRVAEKQRTPTDSFELALRSASPGAGAVEAHMEDDDGEQEDDDEDESSKKQLSPVSVLEQRLFEHSPPPPHAPKALAIFGELVESAYRPNTLLEDVLAIARDRSSSTQSKDGRRRRSSTDSATPPPTPRAMRRRKKKHARRKEDVALEKDLARLTSLVASEMAGASVRAEDLRPSVEEVGALIAAAVLEALTEETAAELMGMDRGCAVDTTTRWCRRC >Sspon.08G0001640-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:2764606:2768810:1 gene:Sspon.08G0001640-3D transcript:Sspon.08G0001640-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Floral homeotic protein APETALA 3 [Source:Projected from Arabidopsis thaliana (AT3G54340) UniProtKB/Swiss-Prot;Acc:P35632] MGRGKIEIKRIENATNRQVTYSKRRTGIMKKARELTVLCDAQLAIIMFSSTGKYHEFCSPGTDIKTIFDRYQQAIGTSLWNEQYENMQRTLRHLKDINRNLRTEIRQRMGEDLDTLEFDELRGLEQNVDAALKEYHVITTQTETYKKKVKHSYEAYKNLQQELGMREDPAFGFVDHTGAGGWDGAAAALGGGAPDMYAFRVVPSQPNLHGMAYGSHDLRLG >Sspon.06G0020640-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:56314277:56315002:-1 gene:Sspon.06G0020640-2C transcript:Sspon.06G0020640-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFHLRSVSLPSKRLSNEAEVEAELQSLEAAVSSPSATIEAMCNGLRRLGDVYSHIEEIIHFPSNQACTIQQRKELDEEMESSLELIDLCNAMQENLAELKATIQDLLVVLRRGDDASIQAKIQSYIRLVKNAQKQFKKASKKTTTTTSEKEECKLVRLLLKARLVAASLLDSTLCLVSKQVAMPKRSLVSKALQKRSVVVCKEDQLQALECIVGDLELGAELLFRRMIQSRVALLNILSS >Sspon.04G0000230-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:877038:879324:1 gene:Sspon.04G0000230-2B transcript:Sspon.04G0000230-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKKNNLAKRKKQYEFDLQSATSLLLPPLLSLSNFDRRLGEDSGLIDGGEKKRKGGQFKVGKKKVKTKLSALAKAKAAQAMEVDK >Sspon.03G0024060-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:76957252:76963091:-1 gene:Sspon.03G0024060-1A transcript:Sspon.03G0024060-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIFDLFSKPSRHIVGTMPPHKIETGHQDVVHDIAMDYYGKRLATASSDNTIKIIGVSGNSHQQLATLSGHQGPVWQVAWAHPKFGSMLASCSYDGRVIIWKEGSKPDEWALVHTFAEHKSSVNSIAWAPHELGLCLACGSSDGNISVFTARSDGGWDTTRIDQAHPVGVTSVSWAPAMAPGALISAGSSGQFEYVQKLASGGCDNTVKVWKLNNGSWRMDCFPALQMHKDWVRDVAWAPNLGLPKSTIASASQDGTVVIWTAAKEGEQWEGRVLYDFRTPVWRLSWSLTGNILAVSDGNNNVTLWKEAVDGEWQQKRLDGGFEGSLVAGGRRRKLPIKYLDSAHDKAVEFIEDVHAIFYGPFTDDEVPNNDDCYVITESSPTSIEKELVGPNTEPSTPASFISMENSSTGCDTDAHQTESFSTKSTDSSEEVILWNPVTSVKPQRSH >Sspon.07G0017290-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:62453129:62456204:1 gene:Sspon.07G0017290-1P transcript:Sspon.07G0017290-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ARALRRCDFQISPTGREPPQRSGTSKMSYMRGDLLTKMRKLVKGLARPEPRWLKAMEEAPPVTFPRPDGKIKKIEFPEDVYVRKFNKKHPDSLYHDAIKISGFDPPPARVFAWRVLELKEQGVNEDDAMAVADMEYQTQKKAKKKAYKELKEIARSEGKKPPPNPYPSAIKEIQAEEKKYVMDRLYNPKVIEIANKMKEERDKLRQDRAAGQW >Sspon.04G0014040-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:54096000:54098061:-1 gene:Sspon.04G0014040-2B transcript:Sspon.04G0014040-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEMDGGVGVVGGGGQTPAPRRWRLADELRCDLRAMETDYVRRFHRHEPRDHQCSSAVAKHIKAPVHLVWSLVRRFDQPQLFKPFVSRCEMKGNIEIGSVREVNVKSGLPATRSTERLELLDDNEHILSVRFVGGDHRLQ >Sspon.07G0015710-1P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7A:56614040:56614270:1 gene:Sspon.07G0015710-1P transcript:Sspon.07G0015710-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTAAVTTAPGSGGAKTSWPEVVGLSIEEAKKVILKDKPDADIVVLPVGSRVTDDFLPNRVRIFVDTVAETPRVG >Sspon.03G0029540-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:16427927:16428190:1 gene:Sspon.03G0029540-1B transcript:Sspon.03G0029540-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclin-dependent protein kinase inhibitor SMR5 [Source:Projected from Arabidopsis thaliana (AT1G07500) UniProtKB/Swiss-Prot;Acc:Q9LNX4] AMESSVGVEKAAAAVAVGGGGGYGCGGWETPKREECRIPVTLPCPAAPRKAVPDFGKRRSPPKNGYFQPPDLEALFALAPRRQASSCA >Sspon.03G0014090-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:63114319:63117931:1 gene:Sspon.03G0014090-2C transcript:Sspon.03G0014090-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGRGACSKGVIFYWSTGCSASHICSLGKLDEVDVLKAICSRFDIATEPAICVTKPVQNACEAEEASKGFVPEDVRERWEISDNPSKQEQEAKKLMESTDETKKRLAAKEVEAQKAGQEHPQCPKITTDWQHNA >Sspon.05G0005020-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:15537648:15538607:1 gene:Sspon.05G0005020-1A transcript:Sspon.05G0005020-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPALAKPASRPAKTAAAPKPKPAAAKPKAAAAGASHPPYFEMIKEAISALKERTGSSSHAIAKYMEDKHGASLPANFKKMLSIQLRGFAAKGKLVKVKASYKLSDAAKKASPKPKAKPAAAKTAAPKPAKDAAKPKKKTAAAAKPKKAAAGTKRKAPEKKVVAKPKKSPAAKAKAKPKTVKSPAAKKARKVSA >Sspon.01G0015690-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:47840878:47842099:-1 gene:Sspon.01G0015690-4D transcript:Sspon.01G0015690-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSTASHKWLAELENEEVGELDFIDALTMQQLAESLAEELWDQPIIHHQEHEQQQVELLDQRQQRTNTNTNMTGFPFHGDMSRGSYAEADEGFLPTAAAISTGGNDSLFSFTGGGKSEQLMSFSTSREPKQKESNGGGTTAAGTPLTTTMEGSSKGGRPRASSGVHEHVVAERKRREKMNHQFAALASIIPDITKTDKVSLLGSTIDYVHHLRGRLKALQEKRQSSTGSTAESPPMDARCCVGSLDDDVDSSVTTMNPKIEAEVRGTTVLLRVVCREKKGVLIMLLKELEKHGLSTINTNVLLLDGSSLNITITAQ >Sspon.01G0028320-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:99039057:99040294:-1 gene:Sspon.01G0028320-1A transcript:Sspon.01G0028320-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPSTSSKASSSLAVLVVAVAAASLAQPGAADLKLNYYASTCPSVETIVRGVVQQRLQATIRTVGSTVRLFFHDCFVEGCDGSVLIESTPGNQAEKDASDNKSLASEGFDTVRSAKAAVEAACPGTVSCADVLALATRDAISMSGGPFFQVELGRLDGLNSKASSVPGQLPEPNQTMDQLLAVFKAHGLNMSDLVALSAAHSVGLAHCSKFANRLYSFQPGQPTDPTLNPKYAQFLESKCPNGAADNLVLMDQSSPAQFDNQYYRNLQDGGGLLGSDELLYTDNRTRPMVDSLANSTDAFYQAFADSIVRLGRVGVKSGRRGNIRKQCD >Sspon.03G0018160-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:79766636:79769595:-1 gene:Sspon.03G0018160-2B transcript:Sspon.03G0018160-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHPYAPPELELPGFVPLKLSQAEILVSYLGASLFVLLAAWLVSGRCGRLSKTDRVLMCWWAFTGLTHIMIEGPFVFTPDFFKKENPNFFDKVWKEYSKGDSRYVARDAATVTVEGITAVLEGPASLLAVYVFSSPTRLTSSYADYAIASQKSFSHILQFTVCLGQLYGCLVYFITAYLDGFNFWVGPFYFWAYFIGANSFWIWIPMLIAIRSWKKICAAFQAEKVKTK >Sspon.06G0010030-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:54315495:54317134:1 gene:Sspon.06G0010030-1A transcript:Sspon.06G0010030-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQGKMPEAGTVAGARWEAPPPSAYGGIEAGRLVLLAKPLPSGAGYYQPFAVVRFEDLPEELRRQIAGASGHGAGATARPPSAAPMAAPLRGEGDARRYHAALPVDVVVPEEGLRRQLLGLVSSGPTDEPTVKFAGDGNSDAVVKDYSERRILRLMDEDGKVVVCLDAARLQVLGDMEGSLFQKEKGFSHAWMGHSGDEGCAARAYMGAFYDNGLAEREDAAPVVVAFLLGNNRIAGPYAINSSDDLAFPREDAVSSVETLAGNGRATDQGVYYHDVLVPPENVVPAAEALRAPRPPTYAVKVWVDAAAGGKAGDPTAVGVKAAGAGAGHEYGGSLSAVLGIVVASSAATALAAGTVGPATAFGLFAALVGGLSLAMASVRGR >Sspon.05G0036130-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:84114226:84121341:1 gene:Sspon.05G0036130-1C transcript:Sspon.05G0036130-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLPVLRARLLLLLFLTHFVLQLQCSNGLQSEPKQIYIIYLGEREHDDVDLVTASHHDLLASIVGSKEEALESIIYSYRHGFSGFSALLTKSQSRKIAALPGVVSVTKNQFYRTHTTRSWDFVGLDYNQPNGLLTKAKNGEDIIVGVIDTGIWPESLSFAEDGYGPPPQKWKGICQAGASFGPNNCNRKLIGARWYAADDIDKSLLDGEFLSPRDANDHGTHTASTAAGNLVHNVSFNGLAPGVARGGAPRARLAVYKACWGAFPTHGSCSGAGVMKSIDDAIHDGVDVLSLSIGGPSEYPGTLHAVANGITVVFSAGNDGPVTQTVENVSPWLLTVAAATVDRLFPTVITLGNNQRLVGQSLFVATEGADRFYEVLDYDAETCDPAYINSTDVKGKIIFCITPSKMSPPPKLSDIISILLKNGGKGFIFSQYNMDTLDQWQYTSTRIPFIAVDLEIANQMLQYLKTTSDTPKAKISLTQTTIGTGVRAPKVAAFSSRGPSPTYPGVLKPDIAAPGVTILAAAPQIPIYKALGVHYYFSSGTSMSCPHVSGIVALLKSVHPDWSPAALKSALMTTAHSTDNNGLPIKADGTTVKIADPFDYGAGFVNPSKADDPGLIYDIDPSDYLKFFQCAGGLGVNNNCTTPKASIADLNLPSIAIPNLKASETVMRTVTNVGQPDAVYKAFFQPPPGVEMSVEPSFLVFSKERRVQSFKVVFKAMRWIQGDYTFGSLAWHDGGSHWVRIPIAVRIII >Sspon.01G0006910-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1A:18449896:18450080:-1 gene:Sspon.01G0006910-1A transcript:Sspon.01G0006910-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GHLQRRRRRPTAPPLHPQGRRAHHRAHAPSRRHAPQGHPHPRRLRAPGGGRHLPVQGRPSE >Sspon.02G0021350-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:71784803:71785972:-1 gene:Sspon.02G0021350-1A transcript:Sspon.02G0021350-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:IAA-amino acid hydrolase ILR1 [Source:Projected from Arabidopsis thaliana (AT3G02875) UniProtKB/Swiss-Prot;Acc:P54968] MLLGAARILQARKSDLKGTVKLIFQPAEEGQGGAYYVLQEGVLDDVSAIFGLHVDPALPVGVVSSRPGPFAATSGRFLATVTGKGGHAAMPHESVDPVLAAATTIVSLQQIISREIDPLQGAVVSITFMKGGEAYNVIPESVTFGGTLRSMTNEGLSYLMKRITEIVEGQSAVHHCTASVDFMEDTMKRYPAVINDERMYAHAKEVAESLLGEKNVKLGPQVMGAEDFGFYAQRMAGAFFTIGVGNKSTMETIHSTHSPYFVIDEDVLPIGAAFHAGVAIEYVKKNHAST >Sspon.08G0019610-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:16672696:16672905:1 gene:Sspon.08G0019610-1T transcript:Sspon.08G0019610-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding WASLRWRCSCSSARARRRHCRLTRRRRRRRERSCRWTGNPRSSSSCPATTRRPSSPAPSRSAVTARRQCR >Sspon.06G0009510-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:50842917:50849160:-1 gene:Sspon.06G0009510-1A transcript:Sspon.06G0009510-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDGNFSSKLTGSIHFSSAGRKRRSKSKVWEEFSAVLRGGKIQSAECKHCKRCLSGTSTGRTTHLRQHLKICPARPATGRMQQQRSSPHPGSTVENIWKFDQGRSLELLIKVLVSNLFSSPLTSSTTFRQLWAGICPTNDMVSQAAIEEKFLSIFQNEKLKLKEEIALTPGGVFLTAESSSLETKNFIFLTVHFIDKEWNLNRKTIRCCFTRCEDFDAEYFVSMFPTLQSYNNFTNGNVRAAEEEIVKEVVQNWRLEWKLLGISSPRSLGDAAVPALEKNLTEQNYLLAKCKLLNLPCIIDALNDLFGWEIKEYVLSANQMWFQYMTCTPLRRDKYKEILSRLQISRPSFGSQRWYLTFHSLEAALQFNNEMPNPQLIDSRSYPAKPSFAQLKAAENFCDLVRSIYHAVKVVSRPRNGTLNSQFHAIWNLKMALLRSSTKENINLVFNIEQMKLKFDQLWRKWYLWLSLAVVLDPRYKIRFLVVCFKEAFRSHAKRYISEVRGKLYELFLQYSCHVDQQNDESFDQRTNDLQLDTHGSIPVHDASQNYIEQAAHEELGEVIRYLEGELIPQNANFNILKWWKENASIYPTLARLARDVLAIPGSAVSAESAFDETDERTSLFNRKLSPELVEALICTQDWIKSSVDRYKGTILKAQDMTHKIRKNSYQFEFKKKLLQIRVFTKHKMITICTGTVTITIKTILTRVICNVTIFIGVVITINVTTIIIIILVTKPESRVTKSISSFVLMIVIIFISGFSKFILAEVFKIINEGIVIKYDSACNKRPHVLK >Sspon.07G0010790-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:28786470:28789386:-1 gene:Sspon.07G0010790-2B transcript:Sspon.07G0010790-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHPPPPPVVQNISLRFSESFSLQGTGTTGAFTTPPALPSPPRTGATRLLLAIIHSFMAKLVNKLVDSFDHDDTTPDVGCVRAVLAELVLTFLFVFTGVSAAMAAGSGGKPGEAMPMATLAAVAIANALAAGVLVTAGFHVSGGHLNPAVTVGLMVCGHIAKLRAVLYIAAQLLASSLACILLRYLSGGMVTPVHALGAGISPMQGLVMEVILTFSLLFVTYAMILDPRSQVRTIGPLLTGLIVGANSLAGGNFTGASMNPARSFGPALATGVWTNHWVYWIGPLLGGPLAGFVYESLFIVNKTHEPLLNGDI >Sspon.04G0028670-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:67348769:67354394:1 gene:Sspon.04G0028670-1B transcript:Sspon.04G0028670-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFNLAGDPNFVNLLSCASFIQGLLEVTFTSKDDAVLESAISIMGKLVLGNEVIRQLVLNADPQLEVFLRLLRSNELFLKAAVVLYMMKPKAKQMLSLDWIPLVLHILECGDEVQSLFSVKCAPKIAAFYFLDQLLMGFDVDRNIENAKQMIALGGLDLLISRLEAGDARESRHCIALLTTCIQADGSCRHYLADNLKKEPLVQLLVGNQKKASSASLNLMSELVCLNRTTKIVEFVKELKNSGCLNTMHILLVYLQQTPLVQHPLAAIMLLQLDLLGDPLQYSVYREEAIEAIIAALEHSSQSRKEEEKLVEERLKKLALMLLKSGGKSMDEQLLSPLRGCNDFQPLACSILASKLLDSLSYDRVLEERVLASLSLLNVVRHPDSSEKKEFYESTAPDLLPRIRRDKFLEVPQIIWGLNNQKIAFARACLTARFLNRSLLMPSLSASLFYKEVDLLQPINFDKVFDFNKFNARCHGFVRLAQYSEVSNRTEPFKLQKGTGRRWTADRDLDQLQQLVGSNVDDSEVIEIIGKNPFLWPDHWPVKDYAKIFDCLVLVPEIETEVVKVISKIREAGQRARHEAGSSHSKQRRDGSTNPPVPYVAVHMRIEKDWMIHCKKWEQRSKSHEICSSKEEIIHKVSQITDLRRPVVVYLAVADSLLEDDSITSGWRVGMVAYEKKKLGVTDIYDRQPYLIKSAIDFEVCSRADVFVGNSFSTFSNLVVLSRTE >Sspon.04G0014340-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4A:53509171:53513792:1 gene:Sspon.04G0014340-1A transcript:Sspon.04G0014340-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNRIGGRRKSGVDERFTRPQGLYEHKDIDQKKLRKLILEAKLAPCYPGADDAAAGGGDLEECPICFLVSTRGEISPHPLTPPSLSLSLSRFPPRANFGGGVCCCDAILSKPQPFKVLLKGDMYRVFSSNETDSYCSAYTEEQKVIEAQLRMRQKELQDEEAKMKRKQSSDDNVDVNLEDMMVMEAIWRSIQEQGHLVNPVCGSYFPVIEPPSRERQAFLPAAPLEMPHPGGYSCAVAALAEHQPASMDFSYMAGSSTYPVFDMICRPCNMSSGSLCGVENSSLDTWSGIAPSCSREAVREEGECSTDHWSEGAEAGTSYAGSDIMADAGTIQPLPFAENFTMAPSHFRPESIEEQMMFSMAVSLAEAHHGRMQAQGLAWL >Sspon.02G0044360-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2B:100726304:100726571:1 gene:Sspon.02G0044360-1B transcript:Sspon.02G0044360-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding PASCRLAVVAEAPARLSTPPGATAALARHRLSGAPHGAHALASGSPPPPARPAVSYGLPAPSSRPSLLPRWHTGWSRRVAAARRALAA >Sspon.01G0062750-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:107205620:107209414:1 gene:Sspon.01G0062750-1D transcript:Sspon.01G0062750-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SRRSHSRRSSPTRSTQSTTAAAHHPSSPPSPPSSPASAPHPDTMSEPTMADVMEMLKALNLEMSTMKSDVAAMKDKASSSTDSSAGGRPDGARDLDRPPKFQKLDFPRYDGKTDPLLFINKCESYFRQQRTMAEERVWMASYNLEDDLLNLWFGPPLRSAPLFELAECRRTGTIEYSNRFQALLPRAGRLEEAQRVQLFTGGLLPPLSHAVRIHNPETLAAAMSLARQVELMEADRPAPAPARAPARGILPAPAPRPALPAPPAQLALPAPPAAAQQGRGEGNQRRLTPDEMAERRRLGLCFNCNEKYTRGHNRFCRRIFFVDGVEIEDAPDAADDAKHDAAAPCFFPTGSGWGPRGGHYADRRGSRYRGPCRPAGLRKHAQLHFGGGGSTLWSTPPPAASPHRLGVPGRSLRHVAGGYDVVLGTRWLGELGPIVWDLGSRRMTFQRHGRPVAWTGVACPSVPALRATAHAPSASLLDELLATFSDVFVEPTGLPPPRARDHSIVLQPSAPPVAVRPYRYPAAHKDELERQCAAMIAQGIVRRSDSAFSSPVLVKKADGSWRFCVDYRALNAVTIKDAFPIPVVDELLDELHGARFFTKLDLRSGYHQVRMRPEDIYKTAFRTHDGHYEFLVMPFGLCNAPSTFKALMNDVLRAYLRRFVLVFFDDILIYSSSWADHLRHLRVVLTLLRQHRLFVKRSKCSFGVDSVSYLGHIISAAESGVTNTVADALSRRDTDEDPAVALMAISGSRFDFISRLRQAQATNPALVAIHDELRTGTRAAPWGLADSMVTYGGRLYIPPSSPLLQEILAVVHGDGHKGDFVRQCVTCQRYKSEHLHPAGLLLPLPIPTVVWAHIGLDFVEALPRVNGKSVILSVVDRFSKYCHFIPLAHPYTTETVAQAFFTDIVRLHGMPQSMVSDRDPVFTSLFWRELMRLMGTKLHMTSAFHPQSDGQTEAANRVIVMYLRCFTGHRPRQWLRWLPWAEYVYNTAYQSSLRETPFRVVYGRDPPTIRSYEPGETRVAAVARDMEEREAFLADIRYRLEQAQGVQKRHYDQQHRPVHYQVGDWALLRLRQRTMASLPQAQTDKLKPRYIGPYRVCEIINDVAVRLELPPQARIHDVFHVGTLKKFAGTPQTLHRLCHTSTMVRWLQNPAGLSMLAWREAFVSCSSIGAANLQSRPHGKMLNPSASSFQTSSSRTS >Sspon.01G0000330-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:12584830:12588053:1 gene:Sspon.01G0000330-1T transcript:Sspon.01G0000330-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQDAGFKRKGHSGLGAGPETLKASCCTSEENESRNRRYHQLKCSESNSGQLHLDYIPNFHCKSLPTRSRKTNAEQSVIGKRGSMYQSSSEISRIRKIQEGRRKIDSAFDGDAFLSFDIVDASSRPSTSEAYLHSHQNRRSGAKPSVETARKINRASKDFLDLSFRELPDENFKLDRPRLDSTLLKNDGDDGFLEISLEKEITKGGPCRNAAPVLLNTESGKCTQTNYLHKTRGCPSENNCGERGRDSASSSKSTPVKASSFDGTCQSNGVQHHIIENNTKARSSPFKKMLDPIMKSKSLRSPVLMEKGDSNSITGIGSKKNSMSRKSLLGDFSRTEHCQPNGQTQRVKSALSPAHLQAVLRLDSKNGVQVFEFCVEGPEESISARNWKTGDELKSIYTFHSGGKRSSAAGRISKDGGLNLPPIVGQVQVSSYLCSEVGKDGTVNNSVITEFVSYDIAHARRIVEEKTQCTETLNSHCAVSLINQSPRINPMEENKIGRNNSDASTSCPWSEEDLYPHLEIAATVIEVPFSKDKSKEMKNGSSPCTVKVVTPSGLHGSPSDDEASPSPLLDRWRYGGGCDCGGWDMACPIEILGNAYDNNWAESITTNAKHPMELFVQGSKEELPVLSMKENGRGQFLVDFHGRLSALQAFSVCISLLHCSEASIAISLEKGKQKLYSSSLKLLLEEDVRHLIEAVTAEEKKQQKKKRREKAPPSVLLDPPFSPIGRGSWNAVPVPGLGGVICLTAVGLGQEVGVKAVRNVVGILRVVGSCHVRTRSAEEWNPMV >Sspon.05G0021430-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:90064229:90064586:-1 gene:Sspon.05G0021430-1A transcript:Sspon.05G0021430-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDARYVALGFFLVLVLHANPTLAETCRQFVKVHPFCLKPMCKANCFIEGKCSDGSYVKGYRCESHAFHSVCVCYLCKH >Sspon.04G0015660-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:58353102:58354028:1 gene:Sspon.04G0015660-1A transcript:Sspon.04G0015660-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQRHQALAPAAAAVPHPKPSDGEAGSCTEASSLQRYRHRHRHRAGSSSSCPLRILGAKRRRTVEGLGGSRRGPAGLIAERVLANDVADYVRFRAACLPWRRCCADPRARGVLEDPRLYPRQWIMLRDDYESSRPPPPAPKPPPPVPKHPHRPVDVPELRDHGVLRATADGLLVLHCKISKAVRLLNPLTRQVAELPPTTGFVECTPCCAGLVDHRTVYLYSYGRMAIARPATTAGAARRRRRSRADQVHGVFRWPLLRRHGQWRPRDGGHGRSRSRSRRSPPGGGSRGAQAVYV >Sspon.03G0044560-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3D:924924:925217:-1 gene:Sspon.03G0044560-1D transcript:Sspon.03G0044560-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGILQATSSTRVSNLRTPAGKDQEPLTTLGEGSNNLQLRAQSPPLLQAVYRVGKHGRVTRNPQQLEDQVPLECNSQAMHLNLTQSHSRCAINQRDEWR >Sspon.04G0037630-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4D:75615003:75615696:1 gene:Sspon.04G0037630-1D transcript:Sspon.04G0037630-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding QAAASLLGPPAKQPGPADRALARSPARRGRSPARAATRTRPRRHRAPRGRHAPLAVAIWPPVAPASARPALLNRLRSAPTPPLRISSPRPSSAPCRANAAPREHRHRRTRRPNWPTVSRHSSPSHAPNFALFSDIPCAPCCRLPSPAGADPVLSPPAAMAPLRVRPPAGVTPRYPSRFSITENGFASFPRTCCSLFHTRAWPAMATGRSSRGRDVAVTGASGCSSPGLLA >Sspon.07G0006370-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:23201589:23204342:1 gene:Sspon.07G0006370-2B transcript:Sspon.07G0006370-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLIFAIAILAATTAAVLFHGSDAQELTQSHQTERISGTGGDVLEDDPVGKLKVYVYDLPAKYNTEPLEKDPRCLTHMFATEIFVHRSLLSSAVRTLDPEEADWFYAPVYTTCDLTASGHPMPFDSPRMMRSAIRLIAERWPYWNRSEGADHFFVTPHDFGACFHFQEEKAMARGILPVLRRATLVQTFGQRNHVCLKDGSITIPPYAPPWKMEAQLLPPATPRSIFVYFRGLFYDAGNDPEGGYYARGARASVWENFKSNPLFDISTVHPTTYYQDMQRAVFCLCPLGWAPWSPRLVEAVVFGCIPVVIADDIVLPFADAIPWADIGVFVAEDDVPRLDTILTSIPWRWC >Sspon.08G0015130-3D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8D:57517852:57520711:1 gene:Sspon.08G0015130-3D transcript:Sspon.08G0015130-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding EGANHYRFPKETNQLCTTSPSTPLLSPARLLRAPARNPAPPPDILPMATPRKPINLTLPSQETTIGKFLTHSGTFKDGDLRVNKDGLRIVSQSEGDEAPPIEPLDSQLSLDDLDVIKVIGKGNSGNVQLVRHKFTGQFFALKVLKGLIHLHHERHIIHRDLKPSNILINHRGEVKISDFGVSAIISSSSGRDTFTGTYNYMAPERISGKKHGYMSDIWSLGLVILECATGIFPFPPRESFYELLEAVVEQPPPSAPSEQFSPEFCSFISSCIQKDANDRKSAQVLLDHPFLSMYDDLHVDLASYFTTAGSPLATFK >Sspon.04G0035010-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:587206:593238:1 gene:Sspon.04G0035010-1D transcript:Sspon.04G0035010-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDAAAAAAVHKRKRPDESDPGAGELDLSAADAVEVLDLRAAKRLLLAFERRLRDNLEARMKYPDDPARFADSEIALHAETDRLRLLAGAPELFPDLVPIGLASSLASLLTHDNVDLAAAAASLLADLTDSEDPSDLAAVQALADALVDANALDLLVHNLSRFSETDSDEAEAVHNTLAVLENLLDLRPNLADKVCDGTKLLRWLLSRLKAREFDANKQYASEILAILLQNSPANQKRLGQINGVDALLQAVAMYKSRDPKTSDEEEMLENLFDCLCCVLMPLENKERFVKAEGVELMIIIMKQKKLAYSSAIRTLDFAMTKFPPACERFVDIPVNKKNKKESYQEELEERIISLIASLFGGITKGSRRMRLLGKFVENECEKIDRLMEFYTRYSDRVKEETERLDSLDLEDLEMDDDERYNRKLEAGLYTLQLIALILGHIWHSGNSQMRARVELLLKQNKLTKQDVKDVLEEYHDNIGDLDGPEEKERAQARTKEIIAAFLARHAIMYYPQPAVSVAAAAAAAVALRSHSHSHSPRASSLLHCRRSSAPPPWVRTRRRRTPIAAVSDDFFTVDLDAAELELEPESESDDDGLPSPWEGALVYRRDAAVHHLEYATTLERLGLGDLSSPDSRARAANLGLGLAGGGQDSTAAQSQTPVLVSLDVTRRRGRLRLDGIVRTVITLGCFRCAEPAPEGIFANFSLLLTEDPVEEPDVVDLGTIFEEDIAKGASLAGAMDDEDDDQDIDWDDRLHFPATDKEIDISKHIRDIIHLEITLDAVCSPNCKGLCLTCGANLNTSSCTCSKDKPKEPKDVKGRGPLKELLKPMQNR >Sspon.06G0008290-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:34218053:34226455:1 gene:Sspon.06G0008290-2B transcript:Sspon.06G0008290-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEVVSQILEKQVLSAAKAVEDKLDDEIAALDRLDPDDIEALRERRIQQMRRAAERRAKWRALGHGEYTEVPEKEFFAAAKASERLVCHFYRDNWPCKVVDKHLSILAKQHVETRFVKVHAEKAPFLTEKLRIVVLPTLAIVKNAKVEDYVVGFDELGGKDDFSTEDLEERLAKSQVIFLDGEGSAYAAKQAAATKRSVQQSDTGNSSDSE >Sspon.08G0009610-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:42311276:42315623:1 gene:Sspon.08G0009610-1A transcript:Sspon.08G0009610-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVPSGGAGACKDEAALGLPWSEMFRSASRRRPKQESNDAPPMKPALKTARAKEMKSKPASVGAGAGADIAGLSLEPDARLALYIAMAHAGLATALLVLYGLYLLLADFLRPLQWALLCSVPLRETQRALVAFWEPPLRGGLSAALLALPLAALRSSTATLADARAALLRRPLPSSPAFPRLLRWLVSFFFFLVLFERLGAATALLLLLLALVFFAASPKLKRAASSRISSRPPSSRGLLLTGGILRHLKTLVALGLMLGMIAGFITGSIFFSYKIGLEGKDAVMSLKSHVEKSNYSEKIGLKKWMDDNDIPGLVDQYSAKIYDTVWEQIDQLAVQYNLTDFTSGFRHFLISQSVDPKSKALISSRPHPYSMKLQSIATHVKNREWVDIYRELDSFFRELLITREDLVVKAKELALQGTEIAKRLLSSSTSVLGGSANLMLSIALRIVSGAAEVVNFLSQLMVFLWVLYYLITVEGGGATEQIIDLLPVSKQVKDRCVEVIDHAISSVLLATAKIAIFQGGLTWLLFKFFKVHFVYTSTVLGFISALVPILPFWLSSIFATGELLMEGRYVLGLVVTVIHLMLMDYGTATILEDIPGYNGYLTGLSIIGGMTLFPNALEVAFCWASWKGPVTNCLEELFISTRDPGRQLSRVGSRRGLEVADCNYFDTLSRE >Sspon.06G0003710-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:9258954:9261468:1 gene:Sspon.06G0003710-1P transcript:Sspon.06G0003710-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding EMGKGAQGSDAAAAAGAGEVNMAAWLVAKNTLKIMPFELPPPGPHDVRVRMKAVGICGSDVHYLQEMRIAHFVVKEPMVIGHECAGVIEEVGAGVTHLSVGDRVALEPGVSCWRCRHCKGGRYNLCTDMKFFATPPVHGSLANQVVHPGDLCFKLPDGVTLEEGAMCEPLSVGVHACRRAGVGPETGVLVVGAGPIGLVTLLAARAFGAPRVVIVDVDDHRLSVATSLGADATVKVSTRDEDLDAEVECIREAMGSDVDVSVDCAGFSKTMSTALEATRPGGKVCLVGMGHNEMTLPITSAAAREVDVVGVFRYKDTWPLCIDFLRSGKVDVKPLITHRFGFSQRDVEEAFEVSARGRDAIKVMFNL >Sspon.01G0060100-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:73960659:73961763:-1 gene:Sspon.01G0060100-1D transcript:Sspon.01G0060100-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MACSSLQMVMVPAISLVCGFRPEASHSDRLNRPIYALSPLSTPSTSDSRQKSPTPRFAHCGSPRHSPAPSHLAAAPPSHVEPRHVCRCAVLHPPPRPLHLVASRCCRRCRPPPPKEVVPAVDASTAAILDLSS >Sspon.02G0015480-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2B:40650438:40651898:1 gene:Sspon.02G0015480-2B transcript:Sspon.02G0015480-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSAPAPASTLSCGGAHDAQAQLPHVVIFPFMAKGHTIPLTDLTHLLRRRQLATVTFLTTPGNAAFVRAALAGADGVAIVELPFAADNVTDPGAPPRPECVEALDSLSSFPAFVESVSQLRPRFEEALAALWPPASAVVADAFLYWAHAAAAAHDVPTLAFFGTNVFAHVVREVVLRDNPASVLTRGTTPDDAVFTVPEFPDVQLALADIPFPFNDPATTGPIREMDAKIGYAIANSHGLIVNTFDAMEGRYIQHWNRHVGPRAWPVGPLCLARTAPEAPWHWHGDVAKPAWMRWLDEKAAAGRAVLYVALGTMVAVPGSELREVAEGLDRAGLDFIWAVRPVDADLGPGFEERVQGRGKVVREWVDQRAILAHQCVKGFLSHGGWNSALESISAGVPLAVWPMGAEQPLNAKLVVDELGVGIKVPPKSEAVSGMARSEQIARVTCDLITGETGVEVARKMAALAAKAREAVAEAGSSWRAVEELI >Sspon.07G0028770-1T-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7B:68698482:68698955:-1 gene:Sspon.07G0028770-1T transcript:Sspon.07G0028770-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLHSNRRRRRQEGVFHGAGAAASLHDEQVLSSAEQQRQRRGPHVSSRAGSAAASSSSSSLSCKHPQAVAAAPGYRVEGSFSRRSCKIRRGSDGREAARITRKSAGVASRPVATLGDDVFSLVVQPGVDVATVMAIVVVMDRICRKPYTPMVCSSQ >Sspon.07G0037700-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:61632495:61641404:1 gene:Sspon.07G0037700-1D transcript:Sspon.07G0037700-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding EFLNRLVTDHGSIDLEWLRDVQPDKAKDFLLSIRGLGLKSVECVRLLTLHHMAFPVFCTKRTVGQLKWSANYPKHAVSDNHQPIIEEPLSPEPEPENVEAKEGAIEDFFCEDPNEIPTINLNIEEFTQNLKNYMQANNVEIEYADMSKALVAITPDAASIPTPKLKNIPCRTAMRGSFPLNGTLMLVKGL >Sspon.02G0011260-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:28885028:28887796:1 gene:Sspon.02G0011260-2B transcript:Sspon.02G0011260-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RRIVSGRPSSYVADVEVYKSHPSDLPERSALQTGDRQWFFFSRMDRKYPNGSRASRTTGDGYWKATGKDRFICGGGRAVGNKKTLVYHHGRAPRGERTDWVMHEYTLLADALPPAAQGREFYALYKLFQKSGAGPKNGEQYGAPFREEDWLDDDDEGVTADAAANSVPNTSNPPSTVEEHAITDRELPIEDLDELLSNFGNDQEGFSEAQPASSQGWLGEGGDKAQVVDASISNGAVVVAENTCTDLPLGDIEQLLMQISDDQQNAELFSDLSTSVPELQFQCDDRHVWLDADRGHEVCAADLTASSGAVVTAECTDTELPLGDLEGLLLQIANDQDMVEPQSDLFAPISHHNFNQAGIGDLHEPHGAPVGNLSCIVQESTFFEPWTEPSSQFPQSNVTNLPFSGETNSPEGTSVAHSVSGLISYHSEDVDEEFLEINDFFDLEDVGQNANCTTTEYLTTNGMFDNSEYSDAPSFLPGPFDTVGLVAENQLFDIGNSGIQNQGYQYTTEVRTHNQAALNLRSHMKHDHVVLSSHASGTLNILAANELHNQSSTASQSWFNAALSALLDSVPSSPALAAEIENTVINRTLQRISSFRSQQTAGEENTFINRTLQHISSFRSQQATREEPGTPSIQVTRGGRLMFISLLVILASIIWTFTSGSALNFCKGLWKSSST >Sspon.04G0022920-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4B:9468650:9470803:-1 gene:Sspon.04G0022920-1B transcript:Sspon.04G0022920-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGVEAISCSKVDVPAGPEPEEAAKKAAAPAEHGDATPNGKCGEAAPRCHEEDDEEDAPKVIDLGPRVSIKEQLEKDKDDESLRRWKEQLLGSVDFNSVGETLEPDVKIMSLSILSPGRPDIFLPLPVEPNAKGVWFTLKEGSPYRLKFTFSVSNNIVSGLRYTNTVWKTGLKVDRAKEMLGTFSPQLEPYTYVTPEDTTPSGMFARGSYSARTKFLDDDRKCYLEINYTFDIRRDWPSTS >Sspon.07G0006640-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:15227729:15235899:-1 gene:Sspon.07G0006640-2B transcript:Sspon.07G0006640-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:partner of SLD five 1 [Source:Projected from Arabidopsis thaliana (AT1G80190) TAIR;Acc:AT1G80190] MYARRASQLVKEIGSSEAGQLAPFNTDVFDQVIRECSEHNSQFQSPIRKMVEQNLDIETTRNEDHYGAAIHHLSLLRNKRCLIAYMYNRAEVIQSFRWKVGPILPHDIQEKLHFSEKEYFKNHSAAIKAYISEMDIDLTVDMVPPKDPYIQVRVLEVIGEANNDKD >Sspon.07G0015520-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:61043213:61047208:1 gene:Sspon.07G0015520-3C transcript:Sspon.07G0015520-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPSPSPSAPASHHLRLWWRRRGRAGAVGATFAVALLAAALLLALSSYASVVFPASGGRRGPALVGLTLVRRASEKGAREFLHALVLNFNFKFRTAVRSYSYRRTYGTSMCLDGSAPGYHLQRGSGSGSQSWLIHLEGGGWCRNLKSCASRQRSMLGSSRYMEGQVEFTGILSDDESQNPDFYNWNKVKIRYCDGASFSGNVKDELQNGTRFFFRGQRIWEAAFLTGCSAGGLATYIHCDSFRALLPKDSRVKCLADGGFFLDVEDISGRRTMQSFYSDVVRLHGLRERFSHCNSNMEAGQCLFPREVVKHIVNPVFVLNPAYDAWQVQHALAPESSDPQHSWLDCRLDISKCSSEQLEILQGFRKELHDAISEVKQKRDWGFYINSCFVHCQSLNSLTWHSPTSPRVNNKSHFCDTLPAILILVIFPLLMEQSIAEAVGDWFFDRREVKEIDCEYPCNPTCHNLVFARPFKI >Sspon.07G0012530-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:44640262:44645457:-1 gene:Sspon.07G0012530-1A transcript:Sspon.07G0012530-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LLAPTEEIHPYTDPPSPQHPPLDAAAAQPDEQRKPERVASLDVFRGFTVAVSGNSIQIMLVLCSAAPKFRRGLWLRAQMMILVDDAGGAWPGINHAPWFGVTVADFVMPAFLFVIGVSAALVFKRIAIGYFVAAMSEIWLVNNNLVDSPVSFVKKYFMEWFMAIAITVLYVALVFGLYVSNWEFEIQTSNSTLSIPSNSIETKMIHCGVRGSLGPPCNAVGLVDRVLLGENHLYKNPVYKRTKECSINSPDYGPLPPNAPDWCLAPFDPEGLLSTLMAAVTCFVGLFFGHVLIHCKNHSQRMLIWLLASVVLMISAFLVLLLGMPFSKPLYTVSYMLLTGGVSGFLLLLLYYIVDVIHIKKPFVLFQWMGMNALIVYVLAACELFPTLLQGFYWRSPENNLVDVTESLLQAIFQSKQWGTLAFVLLEIVFWCLAAGFLHMKGVFLKL >Sspon.05G0008110-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:24820995:24824072:1 gene:Sspon.05G0008110-1A transcript:Sspon.05G0008110-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding EDLWLSAFPVGTEWENIDKIKEFNWSFENLEKALEEGGELHGKTVYMFGSTELYDDSIKQFVYGSNILLSISGWCGSHLTLELFYFADCPFPPSDKIGINSVQRENEEIVPMKAMKMAWVPYVPLEDRLSRVDSLKTKIFTLGCTQRRSALKHLKIERVKKFDYCMPYYMPLQPLEDEDDTVINFLYPLEPPIVDEFDWEMDDYEDFADQKVQEGSLPEGEKEKFKEFLKEKVRERKRELKQAKEARKKAIDDMDPKMKEAFENIKFYKFYPVKTPDTPDVKNVKARYINRYYRNAHYLM >Sspon.01G0000450-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:835696:836088:-1 gene:Sspon.01G0000450-2B transcript:Sspon.01G0000450-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASPEFFKPAGAPAFSPACAAQPPLVFGGDDDDDYYCKTPTGSRISYLREPTTCPPAPRKPKPPPPCRKRLFQPQPQAQGDQPAAAESSSVPVISLRLDELERLFRSHPPPTSDAAPAPPPPPPTNTAP >Sspon.05G0007940-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:26131517:26135643:-1 gene:Sspon.05G0007940-4D transcript:Sspon.05G0007940-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVPTPPNRAGCNSNISNIGSPMQSFDDPFGVAAMTSLDGYSELCSPSVADQIFSLLNDPSSVQQMFAMWSSSGSSPRASAVREDMSFDAYPGPVDATPSLAQRINSASALNPTGVDRGLKDSDGLVPNNGSQQGSDIIPRSIGNFLADRMLMALSLFRKSLSDGVLAQVWMPVEHNGRVMLSTSDQPYLLDQDLAGYREVSRNFVFSVKEEPGLHLGLPGRVFISGVPEWTSSVMYYSKPEYLRMEHAVIHEIRGSLAMPVYDPSKGSCCAVLELVTNKEKPDFDAEMDIVYSENQKSAFTEILDVLRAICHAHMLPLALTWVSTSNGITSDFCVGKNIGVDSQPGKAVLRIHESACYVNDAKMQGFLHACAERHLEKGQGIAGRALKSNLPFFSPDIRGYSIEDYPLAHHARKFGLHAAVAIRLRSTYTGNDDYILEFFLPVNCKGCGEQQMLLNNLSSTMQRICKSLRTVSETEIENVNATAPMCEKTNGSSLPTGNSESSSHDDQPITESAFQDLSLGDKHGDGEPDKARSSSMRVAEKKRSTSEKNFSLDVLRKYFSGSLRDAAMSLGVCPTTLKRICRQHGISRWPSRKINKVNRSLKKIEKVIKSVHGVDRSLQYDPATGSLVPATSHPDKMPFSSCDTLPTSSVGKTVEEKSSPKSEQDFSSPDGWQRETSQFHVSSIPKREGDEVQMLANNNKGSRNYVSCIANIILHSNSEETQGPLYPIGAVNSSHTRETGYIDSPASLHPRMDSIEGQTTGMNSPFVQQTDVTMVDGHDTKEQTHPSTSGTTDSSSGSASSQPTFKGNPRALKDRSSPTLTVKATYNGDTVRFKFLPAMGWYHLLEEIAKRFKLTTGAFQLKYKDDEDEWVILANDADLQECMDVLDSISSRNMKLQVRDLPCLISSSGSSSCLQVVGHSS >Sspon.01G0031390-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:25543168:25545802:-1 gene:Sspon.01G0031390-2C transcript:Sspon.01G0031390-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKMVLEFEVADDHEVWVHDSSVDHRGRSPSRATTGSWKAAMFIILIEFSERLSYFGIATSLMIYLTKVLQEEMKVAAKNANYWMSVTTLMPLLGGFLADGYLGRFKTVVVSTAVYLLGLTVLATAQLAPRLRPDHSPRLHEALFFAGIYLVSVGTGGHKPALESFGADQFDEAHAAERVQKMSFFNWWNCALCSGVLLGVTAIVYAQERVGWGAATVVLAAVMAASLAVFLAGRRFYRYRVPEGSPLTPLLRVVVAAFRKRRLPLPADAAELYEVKPAQSGKKRLLCHTYQLRFLDRAAIVEPGAGEDAFGPWRLATVTQVEETKLVLAMVPIWVCTLPFGMAVAQVSTFFIKQGSVMDRRLGPHFELPPASIFALSAIAMIATVAAYDKALVPYLRRATGGERGISILRRIGIGMAFAIAGMGVAAAVERRRLLSASSAAQPPSVLWLVPQFALMGVADGFALVGLQEYFYEQVPDGMRSLGIGLYLSVIGAGSFLSSLVITAADRASSRVGRASWFAKDLNRSRLDLFYWLLACIGAVNLAFYALVATKCSYKQTVRAGRVGDDKSAPAGADVECAAGFVAA >Sspon.06G0014700-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:81060731:81063851:1 gene:Sspon.06G0014700-1A transcript:Sspon.06G0014700-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHNLNHFGGVGNLQQYAQFSPGYQGFQQVPNFGFPSEMFVSAAGGASSHGSDSATPQSQIREPEKDEEKEDSSASSPDEGRTVRINYSEDENLRLVSLWIKHLVDPIRGIDQSREVYWNKIAEAFNSGQAEGARRRSKGQLKSHWGRINAVVTKFNGVYGRMTYCSRESDDMLMDKAHAVFKRENKKAPFTLEYVWKILRKEPKCIPGQDCSEKNKRTKGDSFKEVRPEGQKKAKARMRGKGKGKALPQSPLGSPPDEDMVLFHDAMLKRASALEKTAEASKEQVRMKKIEKYMKQLDKDTSNMSPAILKLHEELLQDLYQRMAEDSENQSITSEYLQNLTMEEINDPMVACVEAKMQARIEAKLQARADKRPDYVLHLYTET >Sspon.07G0005540-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:18657177:18658919:-1 gene:Sspon.07G0005540-3D transcript:Sspon.07G0005540-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPAFAAAPSSSICGVRIPTVCRLEAQGTVSTPRFLRNRVAARTRSASAWSLKAGLWDSLRSGFLKSNNSTETVEPPPALLEVEEPLPVEIVLLERTLLDGRTEQILFSSAGDVDVYDLQAL >Sspon.02G0006990-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:20462966:20466069:1 gene:Sspon.02G0006990-2B transcript:Sspon.02G0006990-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MECLLGLLKVRVVRGVHLAICDPLTHSSDPYVVLRHGQQKVKSSIKYRTCNPEWNEELTLSITNMMNPVKIELFDHDTFTKDDSMGNAEFCILNFVEIAKQDLSDVPDGTVMKSIHPEKGNCLATESHITWKDGKVSQDIVLKLRDTETGELVLHLTWVNIPSIGGDGARA >Sspon.05G0029890-1P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7D:75039042:75040205:1 gene:Sspon.05G0029890-1P transcript:Sspon.05G0029890-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFNIPHCKSQAPVAAAAVAAVSSIRFSSSSSSLPALVPPPPPAPLHEENPFAALLASDPPPPEPLRQVLATGDVHSALRGLPGLARQLFRWAETTPCGFPRSASAFAAVLVPLARANHIRAAYPVSLRALHLGLLLPLVSLLLSAPFSPAPQSLLSLLLRLSTKFSKECKARDATPDTCSTLCLSAFREMASHGVAPDVKDCNRVLRVLRDAARWDDICAVHAEMLQLGIEPSIVTYNTLLDSFLKEGRKDKVAMLLKEMETRGSGCLPNDVTYNVVITGLARKGDLEEAAELVERMRLSKKASSFTYNPLITGLLARGFVKKVDDLQLEMENEGIMPTVVTYNAMIHGLLQSGQIEAAQAKFVEMRAMGLLPDVITYNSLLNGYCK >Sspon.01G0044990-3D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:80492163:80494165:-1 gene:Sspon.01G0044990-3D transcript:Sspon.01G0044990-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWALALHGGAGDVPRTLPLESREPRLATLRRCLDIGTAALREGRTALDVVELVVRELEDCPHFNAGRGSVLTSDGTVEMEACVMEGATLRCGAVSGLSTVANAVSLARLVMEKTPHIYLAFDGAEAFAREQGVETKDPSHFITDHNIERLRQAKEANRVQIDYTQPMKGQNTQDPEDDNSQTGTVGCVAVDAAGNLATATSTGGLVNKMPGRIGDTPLVGAGTYANALCAVSATGKGEEIIRHTVARDVAALMEHGDALPLRDAAARVVAGAPRGTVGLVAVSRQGDVCMAHNTTAMFRACATEAGHTEVGIWTDADDDADGKTVSVAF >Sspon.04G0026220-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:41084063:41085654:-1 gene:Sspon.04G0026220-1P transcript:Sspon.04G0026220-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDIARMLVRGLDFFMMDGNMLSLTKTAFTNLPHPPAATTAPLSGAVRALDGVDRISFLPGRILRDIVSRLPVKDAARTTVLSMRWRRVWHTTPLVLVDAHLLPSASIGTGRSLLGADPRDIADAVSTVLAAHPGPFRCVYLTGTPMETHPDELAHWLQQLAAKGVQELIFLSCTTKFDSTVHLPATLFRCTSLTKLYIGFWWFPPTTALPPTVAFPYLRELGLFSLVMTEQDLAFVLNRCPVLEKLLMIGCRWPVCVRIRSHSLRLVEVCQCIAPEITVVNAPRLERLLLWEAWGGGGLTNMSSKIKIGHAPKLRFLGFLVPGMHQLEIGNAAIKAETKASPNTTVPSVQMLAVQVKLGTRIEARMLPSFLRCFPNVETLYVQSENDDFKFWGPQAAGTGKLNLKFWKEAGAIECVQRHIKKVVLREFRGTRSELDFLKFIAEHAQVLEKM >Sspon.04G0022350-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:93096934:93097302:1 gene:Sspon.04G0022350-3D transcript:Sspon.04G0022350-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding HAARRRGEEGEGEERVAGGARRGGGRRGRLPAVRHPHRVPVPPAVPPPAGGRARRLRLRLLRGPAAPALLRRRVPPPARARRPGDAGGGAGLLLVLAPPRARRRRVTRTLLPLPVHPRQSHLM >Sspon.03G0046610-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:41712142:41716091:-1 gene:Sspon.03G0046610-1D transcript:Sspon.03G0046610-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPAVRKSRHWHASFPRRPRDHDNPCKFAVIMIIPDYMRVMLIKQLKRELHKGIKWDNASSTKIRVYHVDTKDYNWAWVRFLSYHLKRGTSIVGVFVDSDIS >Sspon.04G0019030-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:67782777:67784901:-1 gene:Sspon.04G0019030-1A transcript:Sspon.04G0019030-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVIWLKEFKTFKNKATVFNHTGVNKELAEMIHRWHKPGQLLAVGNPEHKKIIESKLVNSPVSKEDQLQMSLGTDKILRRHGIVVTPEMGWSLMKLATALMILSIPEEDIPSDPELDYSDEEVSKLKNDVFKYDGALLKNTCLRIYSEMVWAREVLAETVKKLKLWTTETNEASEEPELWS >Sspon.07G0018350-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:75843704:75849072:-1 gene:Sspon.07G0018350-2P transcript:Sspon.07G0018350-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGDDALARKRNRVRRKRLRSSENAVSARVAAIIASKRRRKSGKRRGCEGMCFSLPTPDDPFNERHGKKRKVDDEPTEDTAAAAKDGDNPKKGANTKKQQPLAKAGAEAKAKSKAVRERATGAEEDRVDFDRPSKFLVVCLNAIRDAVAPEDGGGSIHGAGDWGVELWRCCSAQAPSDVLDASGACATVDQTAWLVSTACDIVARKERLGMVVSCPFLLYLVPSQEKAAQVRSICKPLKPLGIHSVSLHPGASIEHQISGLKTCEPEFLIATPERLLELVSLKAIDISSVSMLITIFTDPSDKKVATMAKSLLRGRITKLSINDSVSSRSAFIAQHVHFCPSEELKTSKVKEILEQILQNHPKKTSKVLLVVASDQKAQHMSSSLKLKNCTVIDDSHGSSFTICSSVGLMNVIVKDRENMVATDVEEFETVLVVDLPLSVDEYVEILAGAALHVIGGEVHSIFCKTDASIAKPLAELLADCSQVVPEFLKILESS >Sspon.05G0006140-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:13401032:13404149:1 gene:Sspon.05G0006140-2B transcript:Sspon.05G0006140-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transporter of the Copper-Nicotianamine Complex, Fe(III)-deoxymugineic acid transporter, Copper distribution, Allocation of iro [Source: Projected from Oryza sativa (Os04g0542800)] MNSQLRLHTAAAAVSELELLVPRGPGAGAMDQFQHDPAAGAHEIEKTTPAERAAAPDMESEPAAARVAERVPPWREQITARGMVAALLIGFVYTVIVMKLSLTTGLTPTMNVSAALLAFLALRGWTRALERLGIASRPFTRQENTVVQTTVVACYTIGFGGGFGSFLLGLNKKTYELSGVNTPGNVPGSYKEPAIGWMTGFLLAVSFVGLLTLLPLRKVLVIDYKLTYPSGTATAVLINGFHTPQGDKNAKNQVRGFLKYFGISFLWSFFQWFYTAGDNCGFAQFPTFGLQAFKQTFFFDFSLTYVGAGMICSHLVNLSLLFGAILSWGVMWPLISKQKGNWYSANASQSSMSGIYGYKAFLCIALLVGDGLYNFVKVIAISVKNIRERSRRKNRDNIPTWLSYTGYAALSVIAVIVIPIMFREVKWYYVILAYLLAPALGFCNAYGTGLTDMNMGYNYGKIALFMLAAWAGKDNGVVAGLVGCGLVKQLALISADLMHDFKTGHLTLTSPRSMLVGQAVGTLMGCVLAPLTFMLFYRAFDVGDPDGYWKAPYALIYRNMAILGVEGFSALPTHCLELCAGFFAFAVLANLARDLLPRRIARFVPLPMAMAVPFLVGASFAIDMCVGSLVVFAWHKLDSKKAALLVPAVASGLICGDGIWTFPSSLLALAKIKPPICMKFTSGS >Sspon.04G0024540-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:23951266:23963391:-1 gene:Sspon.04G0024540-2C transcript:Sspon.04G0024540-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALDKAKEIVSSSPVVVFSKTYCPFCTRVKQLLAQLGASYKAIELDVESDGAELQSALAEWTGQKTVPNVFVKGERIGGCDGDGAELQSALAEWTGQKTVPNVFVKGERIGGCDATMAMHDGGKLVPLLTEAGAIVTVSATATVTPSL >Sspon.06G0032230-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6C:81197227:81197913:-1 gene:Sspon.06G0032230-1C transcript:Sspon.06G0032230-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding KAFTSGGVPPCKVSDRTAGQGETNLAQTGKDVADIDITEKISSTVIDTVKVGTGLQSSNANLSPSSRKNSESLTNRDDIPSFDLFKEGDPDFNFMYGDDMVGTKAGAATKMDGGGNKMSSSSLEKKNRRKRAALELNPSKKPKRIKLEEDARLAYKTYVVKKLVIKGPSTWASCEL >Sspon.04G0028770-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:68268334:68271282:-1 gene:Sspon.04G0028770-1B transcript:Sspon.04G0028770-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSKRGLFSPNKTKEDVSAANLKYLLILYYLMEMIGKIVQEDRIPVLKASQDHLKLETKMTLDDDGEEEREHISNLNDLWYTISF >Sspon.01G0024700-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:88016528:88017149:-1 gene:Sspon.01G0024700-2P transcript:Sspon.01G0024700-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSWKRKSGGGGGESPVRGAEEEKVVVPRGHVPMLLAAGGGNGEEGERVLVPVRLLSDPSVAELLDVAAQRYGYGQPGVLRVPCDAGHFRQS >Sspon.06G0005610-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:18994991:18995334:-1 gene:Sspon.06G0005610-1A transcript:Sspon.06G0005610-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPAIHTPLLLCTMALLLTGLVSPSTAELSLDTVRDFLTREEDTIVFSLIERAKYPLNRPAYAPLHFGGAEPGRHLNASFAELFIRESEAVQSKLVIGK >Sspon.02G0024100-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:79085095:79085574:-1 gene:Sspon.02G0024100-4D transcript:Sspon.02G0024100-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DAADGGAAGGVGRHGGRGGRGGRHGHGGVRGAALPQPPPRGAAAAAVGAGGVRAGGADGERERGGGVQRQRLLHVPRRQAPAAGPRRRPHRLRARPDGRHRRRREGDPGGAGAAAAAGAAARAGGVRGRPAPGRRREGDGVPHQRHPRPAPQAGRRALAL >Sspon.01G0044090-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:78024153:78024440:1 gene:Sspon.01G0044090-2C transcript:Sspon.01G0044090-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNLCLRLLGGGKKRKKKTFTTPKKGKHEHKNPGLDAVLGRYRVERLRKECPNAECGPGVFMAAHADRLACGRCGLTYVVENQSAADS >Sspon.02G0045930-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:112860768:112862477:-1 gene:Sspon.02G0045930-1B transcript:Sspon.02G0045930-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATATAFAAQVLRGRWFMVHMAPSSSCRPPAPPTSRHLLQGHQVDAGVHAGAAQHRGILQGRGRNVGIHAGLVAELAPPWLVLAIGAAMNLGGYLMLYLSVTGRAGVRPAATPLWLVCLYIAVGANSRPSPTPARSRHCVKNFPESRGVMLGLLKGFVGLSGAIFTQLYLAFYGASGDTRPLILLVGWLPAAVSYRAFCAFLYVSLALAAYLLVAIVLQKRFQFTRAEYGVSAAVVLSMLLLPGFATVLREEAALSKNTPDEAQAESSPELSLEVTVDAKQPPAPPRRTSMAGHRLPLSLRPPPRGEDYTILQALVSVDMLLLFTAAVFGVGGTLTAIGNMGQIGESLGYPRRSVATFVSLISIWNYLGRVTAGPLCPGTASRGLILAGVLLLTVPGHLLIAFGVPGSLYVGVGGDRPLILASVSELFGLRYYSTMYNFCGTASPLASYVLNVRVAGRMYDREAARQGNGVTCIGVRCYRESFLVITAVTVAAAVVTLALAWGLASSTRETSTPSSRREQLVVVVVVLMPLLVETR >Sspon.02G0012560-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:49722406:49726642:1 gene:Sspon.02G0012560-2D transcript:Sspon.02G0012560-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTAHAAAAALALLVFFSAQARDAGVHVVVDAAAATLSQGQSLGAGDKLVSAGGTFELAFFTPTGASDPSQRYLGVMYAQSVEQTVPWVANRDAPVTAGSAYSATVTAAGELQVLEGERVVWRTNSATPASSSSATNVTLTLLDTGNLQLTAGDGGGATVIWKSFDYPTDTFLPGMSITLDRRDGAAVRRTLFTSWRKPGDPGTGDFTLGQDPLGSAQLYIWRTTSGGNNSTYWRSGQWANTNFVGVPWRSLYVYGFKLNGDPYNGSGVMSYVFNTYNSSEYRFMLHSNGTETCYMLLDTGEWETVWSQPTIPCQAYNMCGPNARCAAGGDDGQRAICTCLTGFEPRNVSEYSSGNWTQGCVRSPPLTCSEANVSSGGGGGDGFADLPGVKLPNFAAWGSTVSDADACKQSCLANCSCGAYSYSSNTGCLTWGQDLLDIYQFPDGEGYDLQIKVPAYLLETGSKRRLWTTVVVAVVIVVVVLAGCGLLLWKCRRRIKEKLGIAGREKTKTTQPSLLPLREARQDFSGPKQPDQEEAEGGKKCELPLFSFETVAAATGDFSADNKLGEGGFGHVYKLWNADKGEQLIDPAILPACPVREALRCVHMALLCVQDHACDRPDISYVVMALGSDSSVLPMPKPPTFTLQCTSSDMEGGIFPDRVDESYSACDLTVTMLQGR >Sspon.05G0022640-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:11184395:11188397:-1 gene:Sspon.05G0022640-2D transcript:Sspon.05G0022640-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVTPAPLQLQSAAAAVHACAGDAAVMEKLLAVSAAAEGPICGMPDFKMRGKKSDELEPVDAGDEDDGDDNGDEDGDFGEGEEDISEGEGYDNPKGNENKRKQRGDAEENGEEDEEEPEDQEGGGGDDDDDDDDDDNGDDEDDDNGDDNGDDEEDGGEDEEDDDQDEDDEEEDDDEDSLQPPKKRKK >Sspon.03G0026460-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:2741220:2746399:-1 gene:Sspon.03G0026460-1B transcript:Sspon.03G0026460-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:A-type response regulator, Cytokinin signalin [Source: Projected from Oryza sativa (Os01g0952500)] MTVVDAESRFHVLAVDDSIVDRKLIEMLLKNSSYQVTTVDSGSKALELLGLRDNGAEDASSPSSSSPDHQGSSSLKDIPVVIMSSENVPARISRCLEDGAEEFFLKPVKLADMKKLKSHLLKRKQPRKPQAVEPEQQLDPQPAHEPEVTAAEPVAAAPNGTAVGDCNCNGSNKRKAAAMEQQQEEEGLTVTVTAPESTKPRLSTSSLAVET >Sspon.07G0007670-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:20650553:20651203:1 gene:Sspon.07G0007670-1A transcript:Sspon.07G0007670-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSREASGGAGTGRGAERHRCRYRGVRRRAWGKWVSEIRVPGTRERLWLGSYAAPEAAAVAHDAAACLLRGRPAGHLNFPDRAACYGAYGGHAPPLSPRSVQRVASDAGMAADAQIVDARAAALAAQQPTPVQPAAFAGIGSAAALGGGGVTAQGAAACAPLPPPSYSDGASSSSSAYWSTPSASASASASRTSSSAGSEQPLVYGDISVDDIEILI >Sspon.05G0003480-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:9709961:9718435:-1 gene:Sspon.05G0003480-2D transcript:Sspon.05G0003480-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGGRKKTACVTGGNGYIASVLIKMLLEKGYAVKTTVRDPDDMEKSSHLMDLQALGPLEVLRADLDNEGSFDDAVAGCDYAFLVAAPVNLHSKNPEKELIEPAVRGTLNVMRSCAKAGTVKRVVLTSSAAAVSSRPLQGSGHFLDEESWSDVEYLTANKSGPWGYPVSKVLSEKEACRFAQEHGISLVTVCPVLTVGAAPASKIHTSVPASLSLLSGDEAAFGVLKGIEMATGCVSLVHVADLCRAEMFVAEEDAAAGRYVCCSVNTTIVELARFLADKYPQYTVKTDMLSGEVLEKPRVILSSARLVSEGFEFEYETLGEIYGDVNSHLKDLQALGSLEVFRADLDEDGSFDDAVAGCDYAFLVAAPVILHTKSPEKELIEPAVRGTLNVMRSCVKARTVRRVVLTSSAAAVSSRPQLQGDGHVLDEDSWSDVEYLRAHKPSGPWAYPVSKVLLEKEACRFAAEHRISLVTVCPVATVGAAPAPKAMRQSSRCWTPSRGPLGAFRWSTSTFVAEEDAAAGRYICCGLNTTIAEVARFLADKYPQYGVKTNLLSGERLQKPRVRLSSEKLVKEGFEFRYRTLDDMYDDMVDKRARPGRGGKVDQRNSHLKDLQALGSLEVFRADLDEDGSFDDAVAGCDYAFLVAAPVNLHTKNPEKELIEPAVRGTLNVMRSCVKAGTARRVVLTSSAAAVSSRPQLQGDGHVLDEESWSDVEYLIAHKPAGPWVLLEKEACRFAAEHGISLVTVCPVLTVGAGPAPTVRTSVPNCLSLLSGDEAEFAVLDAIERDAGCVPLVHVDDLCRAELFVAEEDTAAGRYICCGLNTTIAELARFLADKYPQYGVKTNLLTGERLEKPRVRLSSEKLVREGFEFRYRTLDDMYDDVV >Sspon.02G0053640-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:107402362:107404060:1 gene:Sspon.02G0053640-1C transcript:Sspon.02G0053640-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAPAPARTAPTLPVEILEDIFLRLHEAPDLARASAVCSSFRRAACDRGFLRRFRSLHPPPVLGVLDFRNPGVLLHAEPPHRSAPAARALSQAADFSFSFVPKPRDPEYSDRDWRVWDALDGRVLLWNATFEDLGFLYGRYRNTAFEHLVVCDPLHRRNNTLDLYCKTWWNNDDGAEDWQHKIIPLPELGYPWFLDPTVAAEGVGIGDRRLGARLTVLGRRGLIREAAAAALGVLEEGDNVREAGVTVDGP >Sspon.07G0020660-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:79315503:79324874:-1 gene:Sspon.07G0020660-2B transcript:Sspon.07G0020660-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQMEGPADQIAAGWFAAEPAAERPVGLPRTGSSSRLNAQAPEFVPRGPPAPAPAVVVPPPPQVIRMFAAPPPPPRAAFFAAPPPRPFEYYAPVGGRSGFAAKEQQAPEPEPEADMLPPAAVKAEPVVDGLDDEVVHKITKQVEYYFSDINLATTEHLMRFITKDPEGYVPISVIAGFKKVKASVHNNVMLAAALRTSSKLVVSDDGKRVKRQEPFTESDLQELQSRIVVAENLPGDPSYQNLKKIFSAVGNVISIRTCYPQTPNGTGPATNRSAKLDMLFANKLHAFVEYETPEDAEKAILELNDEKNWRNGLRVRLLNTCTVKGAGKGKKGVHETDGNGEEDVSTSNHSNEKQFEESSQLLDVLPEHLFDENFNDKEVPRRGKGRGRSGRGRGRGSHQYNNNHHQQNNQQHYNNHGNNHLGGNRGGPHPVGTPPHNLITKPEQHLQLPIGANKLPPGPRMPDGTRGFTMGRGKPQAVLPGLCAVGTSVGGE >Sspon.02G0034910-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2D:10266553:10269135:1 gene:Sspon.02G0034910-2D transcript:Sspon.02G0034910-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVPVRPLAPSAVGGGGAFSAGLVRSVREWRGRTCRKVISFSSAGKGGEEAARRETPEETRKRLEELDALLEGLVEPKMRPPTPPPPPDPYLDRAVITGRGSTDELPEISPSYVAFSTLGLVILTIFTNVMFNIYIKPSVDGFDPPERIQRAPLVNPADRPSE >Sspon.08G0010070-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:44139378:44140402:-1 gene:Sspon.08G0010070-1A transcript:Sspon.08G0010070-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding TMATLKALILAILGFAFFCCAARAARDLNDDSAMVARHDQWMAQYNRVYKDATEKAQRFEMFKANVKFIESFNAGGNRKFWLGVNQFADLTNDEFRATKTNKGFKPSPVKVPTGFRYEQRPSTRGPRALSLPSRIKVNVAGCCWAFSAVAATEGIVKISTGKLISLSEQELVDCDVHGEDQGCEGGLMDDAFKFIIKNGGLTTESSYPYSAADGKCKSGSNSAATVKGYEDVPANNEAALMKAVANQPVSVAVDGGDMTFQFYSGGVMTGSCGTDLDHGIAAIGYGQTSDGTKY >Sspon.05G0021100-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:84482993:84486256:1 gene:Sspon.05G0021100-3D transcript:Sspon.05G0021100-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALDSVPPYPCDLGSSSRAAATARTQQRIRKDERTWTSDTYAPYDDGHQWRKYGEKKLSNSNFPRFYYRCTYKNDMKCPATKQVQQKDTSDPPLFSVTYFNHHTCSTSSSAIGSARDVASQSSSKKAVSICFSPHAASEQPSFLTSPATPRSPIMHSYSANQQPDRSAYTYQQLQWTGGVPSHASNGPAKMEVDESAQPSPLSGSNSALSRTLLPIGQSRCIEYFHFL >Sspon.03G0020340-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:63357854:63366252:-1 gene:Sspon.03G0020340-1A transcript:Sspon.03G0020340-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQARAAATTDGNRANGQPLLVNDQNKYKSMLIRTYSTVWMIGGFAFIVYMGHLYIWAMVVVIQIYMARELFNLLRKSSEEKQLPGFRLLNCPLSLWQTYLKGYSVSKCNGSFPLVDMRKKAPFGGFFASGFKRAFKIKDFGDSIPGHGGITDRMDCQVLYSLSTGAALVLLHSPFIVSALYL >Sspon.02G0007650-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:22264708:22268085:1 gene:Sspon.02G0007650-1A transcript:Sspon.02G0007650-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKVKNMLKPRPTPQQQLREWQRRLRNECRVLDRQIRDVQREEKNVEKAIREAGKRNDMGSAKALAKEVVRSRRAVNRLYENKAQLNSVSMHLGEIVATARTVGHLSKSTEVMKIVNNLMKAPELATTMQEFSKEMTKAGVMEEMVNEAVDSALDSEDMEEEIEEEVDKVLASVAGETASQLPDAARTQKIHEASTSRVPEERQAVAEGADDDEEDLEEIRARLAKVRS >Sspon.02G0040620-2D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2D:65243494:65243742:1 gene:Sspon.02G0040620-2D transcript:Sspon.02G0040620-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MIDAASTLPPPLPLRPSIPPRSSAAAGILPALRFETEGCPTRRRAERGFAVPEGHLTSCSAPSGERSALFLASSSALDSPCP >Sspon.06G0022100-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:23240331:23245297:-1 gene:Sspon.06G0022100-1B transcript:Sspon.06G0022100-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHQAPGLLPPGPSASPAARAVAAARSVTRLQEHRYHRRCLQERRHHRRCLQERPLKRACAVRYPQAHAAQLPSSPTRGPLSDATAARKKAEVRRPERSRRSWPELMAARMSGALQMLMLQAAKATTETIDALRTGAAAMKAMQKAGLDVAQVVTI >Sspon.01G0031330-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:107664360:107664998:-1 gene:Sspon.01G0031330-2P transcript:Sspon.01G0031330-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTNTQPRSSDTTMWTRSSPAFPCAHCSPAEAAPQAATAPRRRGNRDPRSPGTFRPREKRAGKIPKAAAAVRETPAERGHGASHAAGCGGARERAGHERGVEGAREEPPLYGPGEEPGGAQMGPDGNLGTGCSGTAANDSELSNPEEAAAADEAVESSGRRRRTEVESGVETLALSAAPEMARNVVASSAALASATRSSSAEKGTSTLSGRT >Sspon.02G0010740-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:29139003:29141563:1 gene:Sspon.02G0010740-1A transcript:Sspon.02G0010740-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPDGLAKAFVNHYYTTFDTNRAALVGLYQEGSMLTFEGDKYVGATAITGKLTSLPFAACKHQVTTVDCQPAGPTGGMLVFVSGALQVGEGEHPLKFSQMFHLMPVGPGNFYVQNDMFRLNYG >Sspon.05G0005950-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:10774022:10792055:1 gene:Sspon.05G0005950-2C transcript:Sspon.05G0005950-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRDGKETRRRSRKRSRDASPSPSSDSDPPSSASSPSSSPERRSRSSKRNRSSSSHRHRHRHSRKDSGRSRSSRDEDRRRPHRRRRDQERKRHGGDGGGSSDTQSSEEDRAEEAREIVRDILRELPAVAEELRQLLQMIDSGEGIDISGISDKPLVKRLKKLFRSLRLKESASGAYLLPPKNVPTLGIVGSLLLESSRLSDNKSGKSVSPNREEPPQANFDVQNKDKDDIISEEPKVIDVEEEPPKRRIIGPAMPSRELLAAAAEMTEALRCRDAELEADDDLLIGPPPPAVVAEAASANEAERFEEVTRILAADTNSPYDVLGVNWKMSTDNMKKRYWKLSLLVHPDKCPHPSAQEAFVKLNNAFKDLQDPDKRCNRREDKKKEEMEQFEIELKAMREAAEWRRLQGVSLAGDDELLAGPKESQGPKRDEWMTTLPPERKAGVPMHSTKSFSMNGKEGRGDTKAYNKTKAIAEGDDVKSKNPDASIVDKYNTSKRSVSLVQKHRDSKKEKKKQKQHEKEEWEGNHPWKPWDREKDLTAGRQKLCKGPNAEQTHLRKELKGHPKSKGLNPLWTSSSTTTVTLHSLLLGVMTRAHSYAPLSLWVQAVPTWQPKYQNQKEDARKSIRLDKRYRDPKIPNTT >Sspon.08G0025180-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8C:7082052:7084622:-1 gene:Sspon.08G0025180-1C transcript:Sspon.08G0025180-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MWAAADGWSGIGTVAAHALPVPSPCGRAALPPTKRHASCSTLQDCSSASDWHGGTDASRSWRLAPPCRRALPFAAWCRWHTASARCSPDRLRHDPFTSVSSNAMVMMAARTWEVRNGSGVGGTRTLPLKMARGRKNLWTREHRTRWRWGRLYVVALFATDAICGHCQPVVAFHPILALGMVNQAPLTGVVRGLGRTMPICPALLATCAPRYGLSCPRVTSRHTCSLPGRAPVRPALLPETESAALWSGEAGSAPPGSGETEPAPPRSGFPFIPPRHLVTPISRRQLASGPPLLSTRASHTPDPASSCRCTRCWPPSYRCTLMPAAVNPSSAVLPAPTLAGSRPPTHRSPHASRHRCHLPHSPPSLAPRPLSPPCRPPSLPRRPPSCRRPCRDGRHAGMPAGKKCPRIAGAGT >Sspon.08G0002780-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8A:7984476:7985720:1 gene:Sspon.08G0002780-1A transcript:Sspon.08G0002780-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VKWGKPRKQDARRVQLTDTVIEKLKQKGSIDIKVFLAKREMPVGDPAALAPLQSAVKAEAGAGAAAENASTRKRGRLSPAAVLSQRQPLPTSPPAGLAPIRASSTGGRSGHIPPAGFAPIGASSTGGRSGHIPPPGLAPIRASSTGGHNGHIPPPGLPPIRASSTGGHSGHIPPSQQRSFGESTSTPWQSRAMRARAQDVSIRGSSEIAPPAPHTMCASDVLQLWEARANAGEQAAMIAKLNQELHLVKTWNTQWQKELKAAGAKHAAEIAELIAHLRAANDRNNHLNEELVRLDKEYVAERDQLIGHLRTASVEVARLQAASVEVAQLKEEHAAESAQLKEELAAEVKQLKEELADDAEQLKHEGYTMGASDMRDLALAMCKGVISPDELKVELLLDHSHQIQGASPVVVEDQ >Sspon.02G0004990-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:15742645:15747553:-1 gene:Sspon.02G0004990-1A transcript:Sspon.02G0004990-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DQAPTSNKGGLSACLESAELTLKSAKPTPTCSKSARPTSQLARPTAPTAYSESARLISQSARPTTSAACPESAKPASQSAKPTAPASAQVGLTDFQSAKPTFLVLAAADVSSGDLTPLVDWFLEKKSNIMQIKDIHFSNMVNKRDVEPSSEDKIVVHELQIEDTREDSNVIIVSEGTGGQVKSLQLKQKPIDPIEQGESTKDPPLKHVDQDEEKKEDAHKADGGKGQDKLKNRRPKLSFKVLLAKYEKIAEANVTNRPKKVQSSKSPPKHKFQEWNWQKDRSHVPATYSPFEQPIPMSYGSQPAYFHPCSYWGWFDQEAHVPSYFRPQYIEYAAPRHSERSSSYKDRFDQNRSRAQPKKKVVKQVYRVKYDGRKKESSDLNSTIEKPITLLKNLANDGKEVGKSSIDIIGAKSEQKNVRVPKVKNDLLLSKTEIKPICSIGLPKWQEKKLQKLSAEKLKEKGLAWVPKQRIQAQKDDAQASVATKAKERRRFKKQLPSWRHENQKANMLAQQASGYDVGGRNFHIQEQPMHEDLYFFHLSAEESAKPTALVGLADPDSLASQSAWLTLPVGLADSPVASLANVHDELSNRPNGQKTYMERPIWSSDERVMPPRKCMNYRYYRSEITGTTGPSRFSADMNYRYYRSETGTTGGWQRKTQFCVKINYRYYRWSLTGSTGSPADLGQPGDVFALTGFLPSKSFGGSFASLLEN >Sspon.04G0006040-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:16583811:16584540:1 gene:Sspon.04G0006040-3C transcript:Sspon.04G0006040-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGAGHSVHFLDACFLCRKPLASNRDIFMYRGDTAFCSDECRSAQMAADEAAERRKDRARTVTHGALPAREAEGPPQERGKVRAGSILAL >Sspon.07G0031380-2D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7D:9943639:9943995:1 gene:Sspon.07G0031380-2D transcript:Sspon.07G0031380-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSWCRGLRLLFVASLLVLSAAALQQPSPRAGSRPPPPEPSAAAADALLARMCDPRGAHPAPPSWCHTLHLRRRAGAAGHRRHNHHHHRPVPMPLPPPGRDGGGGEEIDVRYGVAKRL >Sspon.06G0007050-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:24991866:24995036:1 gene:Sspon.06G0007050-3C transcript:Sspon.06G0007050-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-prolyl cis-trans isomerase CYP38, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G01480) UniProtKB/Swiss-Prot;Acc:Q9SSA5] MAAALASSRCCCSRPSLPPLPPRGRRSVARCALSGGEKTNSLSWKECAISVALSVGLITGAPTFGSPAYASPLEPVLPDVSVLISGPPIKDPGALLRYALPIDNKAIREVQKPLEDITDSLKVAGVRALDSFERNVKQASRALNNGRSLILAGLAEPKRANGEELLNKLAVGLEELQRIVEDRNRDAVAPKQKELLQYVGTVEEDMVDGFPYEIPEEYSTMPLLKGRATVDMKVKIKDNPNIEDCVFRIVLDGYNAPVTAGNFIDLVERKFYDGMEIQRADGFVVQTGDPEGPAEGFIDPSTGKVRTIPLEIMVDGDKAPVYGETLEELGRYKAQTKLPFNAFGTMAMAREEFDDNSASSQIFWLLKESELTPSNANILDGRYAVFGYVTENEDYLADVKVGDVIESIQVVSGLDNLVNPSYKIVG >Sspon.06G0001880-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:3579490:3581389:1 gene:Sspon.06G0001880-4D transcript:Sspon.06G0001880-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPASGWGRAVGNTRSFVGNALGGLRGWSNLASWTVAGTLAYYLWVKPARQLQKEQEERAALAAASDPYRYVEKRKPIPDPQDTGLIYGKKREPTKSED >Sspon.07G0006100-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:13728270:13728842:1 gene:Sspon.07G0006100-2B transcript:Sspon.07G0006100-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GSAGGRRGRRGPAAGGDAVAVRGRGRQQLRVARARGAAAGGPAVRRRLLRRALPVPSLEVPPLRVRRRPGGGGRVLLVGSGGRGGVAAWPRRRRHPRAARHAVPPACGGAAALRRPRGGGRRRRPGGGGAVLHLHQRAGGRREGEGAPAVRPLLPPGLRRRLAPVPAQLPALPVPPRRLRGRRQGRCQRRR >Sspon.05G0001050-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:3515136:3519106:1 gene:Sspon.05G0001050-1T transcript:Sspon.05G0001050-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MCAFSGTLRTMVKQEKIMKKAGRKEAVSIKLAGFPGGADGFELVARFCYNNGRILLCPSNLPVLHCAAVFLEMTEEVCACNLLAQAEAFVNGLCYWTWGDVLTAVRSCEPFAAAADASGLLERLISALFSKITATPETPAAAAAAVGTPNQSSSSCSSSPDTVGFGRSSSSATKTPESMRPCAGREWWFDDMTSLSPPTIEKVMRVLGCYGVENKNLILTRFLLHYLRAATRRPPALALCEGGREGAGALAGLADTAVHGVALVGGTAFSCRGLFWVLRIVSAVGLSRECRHKLERLMGLMLDQATLDDLLVSGDDGGVYDVNLVMRLVRVFVASEEEADAPSQRMRKVGRLLDKYLGEISPDHGLKMSKFLAVAEGLPDSARDCYDGVYRALDIYLEERTTLCRCLNYEKLTLEACKDLAKNRRIPPGVAVQALASQQCKLQISEPGPSAAAAKERRADPSACSQSQTPRRRVARRATRSVDLGGGRGGGRGDDDEKELLRLNLQRMQSRVVELERACKEMKGQMSKMAKGKSFSFGAGAASCHQTGSRGLPRLC >Sspon.02G0016790-2D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2D:48971529:48972785:-1 gene:Sspon.02G0016790-2D transcript:Sspon.02G0016790-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVGIAIGLSVGLGILLLCLSGVFLIRRWRKDLQRKLRKKYFRQNKGLLLEQLISSDENQSDNKIFSLEELQKATNNFDPTRILGSGGHGTVYKGILSDQRVVAIKKPKIINEGEINQFINEVAILSQINHRNIVKLLGCCLETEVPLLVYDFIPNGSLFKIIHGDQSNNNEFSLSWDDCLRIATEAAGALCYLHSAASVSVFHRDVKSSNILLDGSYTAKVSDFGTSRLIPIDQTHVVTNVQGTFGYLDPEYYHTGQLNEKSDVYSFGVVLLELLLRKEPIFTSDSGSKQNLSNHFLLEMRSRPITEIVAPQVLEQASEDEVTTVASLAEECLRLRGEERPTMKQVEMKLQLLRNKGLRSGNGTTESSYEIQPPVPIRLAIHHYQSLPTNGNNRANAASSGCYSLEQEFLSSASLPR >Sspon.06G0002830-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:6404060:6408936:1 gene:Sspon.06G0002830-2P transcript:Sspon.06G0002830-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEAGPVSKVLIVVAMQTEAMPLVSKFKLVEAPAHESTFPKGAPWVRYHGNYKDLHIDLVLPGKDAVFGVDSVGTVSAALVTSFSIQTLKPDLIINAGTAGGFKAKGASIGDVFLASDVAFHDRRIPIPVFDMYGTGARKTFAAPNILKELNLKVGKLSTGDSLDMSPHDEEVIRSNDATIKDMEGAAVAYVADMFSTPAIFVKAVTDIVDGEKP >Sspon.06G0007700-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:36110438:36117396:1 gene:Sspon.06G0007700-1T transcript:Sspon.06G0007700-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGIQTRDVVWRRTLRNTPTASMPTNTHTIRYSTGKKSLFNVHLMSVKFEDLYGFMVEGNVDDVNVLNEVRERIREQGRVWWALEASKGANWYLQPKVSSNEGVISVTSLKLSVLTNTITLRRLIRKGVPPVLRPKVWLSVSGAAKKRSTVPETYYDELIRATEGKTTPATRQIDHDLPRTFPCHPWLNSDKGQASLRRVLVGYSFRDSEVGYCQRLQGLNYVAALLLLVMKTEEDAFWMLAVLLENVLVSDCYTDTLSGCHVEQRVFKDLLAKKCPRIAAHLEAMGFDVSLVATEWFLCLFSKSLPSETTLRVWDVLFNEGAKVLFHVALAIFKMREDDLLHIQHIGDVIDILQTTTHHLYDPDELLTFAFDKIGSMTTNTITKERKKQETVVMAELDQRTRRLSSLKMDA >Sspon.05G0032550-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5C:19692252:19697209:1 gene:Sspon.05G0032550-1C transcript:Sspon.05G0032550-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPQKAKEKILWLRSSDNEKFEVNESAAIQSVTLMKMMEDDCADKVIPLPNITSHILVKVIEYCNKHAEPTGPGDAVGATNKSAEDWLFDADFVNVEQDTLIDLMLAANYLDIKGLLNLTRQTITDMVMGKMPEEVCKVTIQKKLSTSSTSALTTTMSSKRKQMEAGFDAYLSQMQETAMEMLTGFAVRGEECRKLGHPPEQPPLDIVNYPELMERAWGWDSILPYFPVNSWSLYMTYLQEYYKRNSPEVLARQVEANAATIPDLNGDDGNDVTTLFNSCINLEDQLLNTLSYCDKKHNVDEISLKDKLTSFAHQTTIVEYAGFTTTSVALKCIMAETYLLFELLKSKTGMVPEVIFCSRIRKLAFGFMTYKGPRCVEAAATMMAITKEAKLMRELLKNKCEESNGPFSWSVFIRERTFDAMFRISKECSAVEESTGGNTASKLISDESGDKNPSEQELVNKDNLLQRNKMNQNTKIYSKEELPKENHLDERNEAGSEMGIVGDNVGEMNVAKQTTKVCNESDDKVSLKENVVANTKGLMKRIKAKGTQGLRPA >Sspon.04G0000790-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:3312508:3314605:1 gene:Sspon.04G0000790-1A transcript:Sspon.04G0000790-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATAMDHDGGGDVVTPGELLGNSLTLAAGRGAYADGRSVRASVTGRRRIVPPAPGSDDQRSTVEVVGHKAHGAVPQPGSVVIARVTKVMARMASADIMCVDSKAIKEKFTGMIRQQDVRATEIDKVDMYQSYRPGDIVKAMVVSLIKANDTLSLGDARAYYLSTAKNELGVVSAQSIAGGTLVPISWTEMQCDLTGQIEQRKVAKVE >Sspon.07G0000240-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:657814:658817:-1 gene:Sspon.07G0000240-1A transcript:Sspon.07G0000240-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLDSEDEEEELNIPVIKENGKADGKEEQKSQEKAVAAASKSSLASRLVEKKSKDDDDDSDEDETDDSDEHETDGSDEGEGLSPEEGDDDDSSDEDDTSEDEEETPIPKKPEAGKKRVAENALKTLLSDKKAKVATPSAQKTGGKKGATHVANPYPAKQASMTPAKNDKSKEKSPRSSGSVPCKSCS >Sspon.04G0013760-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:54709307:54713196:-1 gene:Sspon.04G0013760-3C transcript:Sspon.04G0013760-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVRAPLGAVAVAALVVAIFMPAAAAAQAPAPAPTSDGTSIDLGIAYILMLVALEPVLKEITLALKGDRLKNLGQ >Sspon.01G0008530-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:24837386:24840261:-1 gene:Sspon.01G0008530-3D transcript:Sspon.01G0008530-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Guanylate kinase family protein [Source: Projected from Oryza sativa (Os03g0320900)] MLLARRFSCALARAPSLVRGRPLPPCAARSTPPAPRPPARRLMSSSSAGWQHSSHRPPPPPPPPPHPGADKDQLFRGLEAALGTTFSSEPLAPPPQPMILVISGPSGVGKDAVIKRLQEEREGIHFVVTATSRAMRPGEVDGKDYYFVSKEEFLTMIEREELLEYALVYGEYKGIPKQQIRDYMAKGCDIVLRVDIQGAATLRQILGESAVFIFLVAESEEALVKRLIHRKTETSDMLLVRVATAREEVKRMKNFDYVVVNAEGKLEEAVKQVESIIDAEKAKIHKRHVNI >Sspon.01G0026970-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:95237487:95244705:1 gene:Sspon.01G0026970-1T transcript:Sspon.01G0026970-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYAQLVIGPAGSGKSTYCSSLYDHCQTVGRTIHIVNLDPAAEHFDYPVDMDIRELISLDDVMEEIGLGPNGGQIELFTHVPVLRNFVEHLKRKNFNVCAVYLLDSQVDDYSMVNFIPLDLRKESSIQYVLSYIDTCIQYGEDADVKVRDFEPIEDEDYQGLGPLFSTCQSLGYHGYCHIMKQRSGCGIIARCLPSGEQRAAIPSGEPLGLKG >Sspon.02G0024740-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:85319839:85322662:-1 gene:Sspon.02G0024740-1A transcript:Sspon.02G0024740-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQYTIGCLSQCYMEPTDMRSCSFGSGCCEVDVPVDMGCFQSYFNPDYNATTGCGYTVVMEAKAFSYSTTYRNSTSFLDSYNGNVPVVMDWRIGSSSTCEDASKNLSSYACVSDKSQCVNSANGPGYRCKCLNGYQGNPYVRDGCTDIDECLHDNANNCTAIGATICQNTQGSYRCLCPQGKTMANDKCMARSLSPGISIVLGVGSGIVLLLLVLFGIIITRKHKQLKTKRLRQKFFKQNRGQLLQQLVAQRADIAERMIIPLEELEKATNKFDKARKLGDGGHSTVYKGILSDLHVVAIKKSKIAVQREIDEFINEVAILSQISHINVVKLFGCCLETEVPLLVYEFVSNGTLYHHLHVTEPKSLAWNDRLRIATEIAKAIAYLHSAVSIPIIHRDIKSTNILLDDTLTSKVSDFGASRHIPFDRTGITTKVQGTIGYMDPTYYYTRRLTEKSDVYSFGVVLIELLTRKKPFSYVSSEEEGLIAHFIDLLESCRLTEILDWQVIKEGGKQVEQVAILAATCVKLNPDQRPTMRQVEMALESIQAMEQFLDNVAGEELVASIRRSHLEVRSVQDMTRQYSLEEEYLLSSRLSQ >Sspon.01G0032670-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:109997301:110002674:-1 gene:Sspon.01G0032670-1A transcript:Sspon.01G0032670-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVAAATTAEDEARLLRLEEQAEHGGGGAWEYLCLARRLRARRPAHVLRVGLALLNNASARSGLASEQWTLYEQVAVAAMDCQRLDVAKDCIGVLSKQFPGSTRVARLEALLFEVKGEWTEAERAYALILENNPFDQLVHKRKIAIAKAQGDMSIVVDYLNKYLELYKQAAFCYEELILAQPTIPLYHIAYAEVLYTMGGLENLQTAKKYYASTIQLTGGKNTRALFGVCLCTSAINQLTKGRNKEEEGSELQSLAAEVLLKNYKQQAPSKKIDWSNHVTLTPDKAKKLDEYMARCKGLDLPSLIANCLDHIGYVCVI >Sspon.02G0027100-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:95501857:95503526:-1 gene:Sspon.02G0027100-2B transcript:Sspon.02G0027100-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SSMEGKDGKHDVPATTAAPPPAGAPQPAAPAPPAESARWGTRQMGPPAAPGAHPENQEAARWTAARGDQELPPYVIMGEPVAAPPQQQRGKGDSPMEHILDFFNTWSRKAEELASNIWFPVKTAPSMSDAAMGKLSLGAKALSEGGFDKLYKQTFSSSPEEHLKKTFACYLSTATGPVAGTLYLTNMNVAFCSDRPLSFTAPSGQTAWSYVQVMIPLGKIATVEPVTMKENPPEKYVHIVTVDSHDFWFMGFVSYDKAVHNLVEAVSQRSQSQHGVGVATA >Sspon.05G0033220-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:37249510:37250367:1 gene:Sspon.05G0033220-2D transcript:Sspon.05G0033220-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIRSSGDFFVQFVVTNVFTRVRRLEGKVALITGGASGIGECTARLFVKHGARVVVADIQDGAGSALCAELGGTDVATYVHCDVTVEGDVAAAVDHAVARFGALDIMFNNAGIGGAACHSIRESTKEDFERVLSVNLVGPFLGTKHAARVMVPAGRGGCIIGTSSLASAVAGAASHAYTCAKRGLVALTENAAAELGRHGIRVNCVSPAATATPLATKYVGLEGEAFEQAMEAVSNLKGVRLRVADIAAAVLYLASDDARYISGHNLLIDGGFSIVNPSFGIFKD >Sspon.05G0006420-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:20457563:20460178:1 gene:Sspon.05G0006420-1A transcript:Sspon.05G0006420-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plasmodesmata-located protein 8 [Source:Projected from Arabidopsis thaliana (AT3G60720) UniProtKB/TrEMBL;Acc:A0A1I9LQV4] MHLWRSRVVAAVVFAATIIIGLGAAGVGEAATGTFIYAGCSPSKYQPGTPFEGNLDSLLASIASAASNAGYNSFTAGANGTAAYGLYQCRGDLGGGADCAACVRDALAQLSQVCPAAYAASLQLEGCYVRYDSTNFLGTPDAAMVYRKCSTSSSSDGGFLSSRDAVLGDLQQVQGAGANGGYKVSSSGSVQGVAQCLGDLAAADCTACLAQAVGQLKGTCGTALAADVYLAQCYVRYWANGYYFRPTQDYSQDDVGRTVAIIVGILAGLALFVVFISFLRKTCQAP >Sspon.03G0021460-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3A:65719765:65720241:1 gene:Sspon.03G0021460-1A transcript:Sspon.03G0021460-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVRVLSLDAARPAATEEDMDVDGRPAPGGARHRQEGSGCSCGCAGTVAALLPSPGAKRKRACGGSGVDAGSTSRGEVDAVQPQKRRHLAWMRSHQGLLSGFGRVSSAPREPPPVTLSRSRRARTVALAMSRIRRRIGKPTTTGHRREPSLGRQFSRIT >Sspon.08G0003520-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:8206197:8212420:1 gene:Sspon.08G0003520-3C transcript:Sspon.08G0003520-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSQSRSRLCCRLLPLLLVLHFSGRAATAAAAAGGGKVPALIVFGDSTVDAGNNNFIPTVARGNFPPYGRDFDRGVATGRFSNGRLVTDFLSEAFGLPSSVPAYLDPGYTIDQLATGVSFASGGTGLDDLTAEIASVISLSQQLEYFREYKARLQLAKGESAANDIIAEAVYIFSIGTNDFIVNYFTVPLRQAQYTPPEYVAYLVGLAEAAIRDAYGLGARKMEFTGLAPFGCIPAARTLNRDEPGECNEEYNRMAARFNTGLQEVVRKLDGELAGARVVFAETYSVVSDIVANPSDYGFENVEQGCCGTGLIETSVMCGLDEPFTCQDADKYVFFDSVHPSEQTYKILADHILNTALRVFL >Sspon.04G0000800-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:3365443:3370069:1 gene:Sspon.04G0000800-1A transcript:Sspon.04G0000800-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAAAPHLLHCGGFGRVAHLPALPGRRRRGQFPRVRAVATEPKPSTSTSSSSSSRARTRTRNDLSDTRFGDVSKEIQRVRKQMEQDEQLATLMRGLRGQNLRDEQFAADNVRLRLVEVNLLDPTSVESADNNEGLPLVYSPEIISAYWGKRPRAVATRVVQLLSVAGGFISHLISDLINKKLKENEVARAIELREIVTSLGPAYIKLGQALSIRPDILSPAAMTELQKLCDKVPSFPDDIAMALLEEELGQPWQAIYSELSPSPIAAASLGQVYKGRLKETGELVAVKVQRPFVLETVTIDLFIIRNLGLVLRRFPQVSVDVVGLVDEWAARFFEELDYVNEGENGTYFAEVMKEDLPQLLDTGFFHADPHPGNMIRTPDGKLAILDFGLVTKLTDDQKYGMIEAIAHLIHRDYDAIVKDFVKLGFIPEGVNLDPILPVLAKVFDQALEGGGAKNINFQELAADLAQITFDYPFRIPPYFALIIRAIGVLEGIALVGDPEFAIVDEAYPYIAQRLLTDESPRLRSALRYTIYGKTGVFDAERFIDVMQAFENFIRAAKSGGGENLKGNMAELADLGGQPSTSLVPVFPMAIAQPEQPVKARAALAFLLSERGNFFREFILDEIVKAIDAISREQLIQIAASFGIGNATPVFSMVPVRARALLPTITEEDRVILNNVEKVVKFLTSGTATPTVGGDVNMVSVVQELLPVLPGISSKILPDVLSRLSSRVFARLIREAFL >Sspon.06G0028260-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:3810215:3811614:1 gene:Sspon.06G0028260-2D transcript:Sspon.06G0028260-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SMRRRRRVTDSNAPITRDASSSWASLPEDLVDLIAWRVLAVDLRDYVRFRAVCAHWRSSTASPRGRGIVDQRFHPRRWMMLPEGHGLYPGHGKLRGFVRFFNLSTGAFVRVHLPLFRDHCVLDSIDGLLLLQRDHDTAARLLNPFTGDILDFPPLETLLPYVGTLPHECKWIYIRHVSATSMNVSADGVVSLMIFGGCGWSKIAFATSREQRWRISSYFVDQYYSPLSFQGKIYVLRCTDSYAKPDILEIGPPQLLQGMEEPWMPPPRSIAKCPLPYSSDETGTNHHSLAECRTEILVVSMSNDYTKVLVHRVADLMLGRSVPVTSIGGNCLFIDRRKLYVSSKAFPTIVDDTIVFYNGYKRILAQYHLTSGILLPATNIPKAEDAGPSPCSIICHIYTCCYRQNRNKGHIAFRGGNDRWRVKKKWRI >Sspon.04G0028450-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4B:65849264:65849836:-1 gene:Sspon.04G0028450-1B transcript:Sspon.04G0028450-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGPNTKAFQQGFLIKNMILSLQACSEFCISSSASGGAAMMSLQQRKCAIKSSADIAMATARGGGARWPQAVLASSSSPSRRPSKPSSSPGKKNTRVCKKIVRRCLHTRRRSRGGTGAASSLARTRTAMIGSREIARRLVRKRTKVLRKMIPGGELLDEISLLHEAVDYVAHLHAQVDVLRRISNAVQRG >Sspon.07G0010290-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:27587245:27594926:1 gene:Sspon.07G0010290-3C transcript:Sspon.07G0010290-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRPPGVSFKLVLLGDGRVGKTSLVLRYVNNVFSDKQEATVQASYLTKRLVVEAALLVYDITDSDSFLRVTKWVKELKQMASKDIVMAIAANKSDLVRLKNIDTQDAVSYAESIATNLFVTSAKAGTGIDDVFSDIAKRLLEKRKNSADALSPPQPKKGILIVDDEPEKETPPKGVGAAHYVVKNERKGNGDNTPWGNADMNRDDGGAPHDGGAPHVFHIRIPCC >Sspon.01G0055660-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:82203378:82204922:1 gene:Sspon.01G0055660-1C transcript:Sspon.01G0055660-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYAFRVITLLPLILRTMGKQGSITLQDKHFGEDMTKSTVRLLNHSANFASRTSQDAVDGLSDESDGESSTKKKSAPRRGRKKATAETSGGEGDENQIITGQTAAEETKLLLLQAQRRKDKAKEPKKRGRRKLKTVEESSDDDGGHRSKDLMLYNEGEDQTQLLNSAMFWKSVLHEDIGDVDDLIPLVCCFGPAKYSFIPSGRPANRLIDHEIHDRMKDMFWSPDKFVRAPGGSSSNVALALAAIGGRVAFMGKLGDDDYGQSLLYHLNINGVQTRAVCMDPSAPTAVSLMKVRTEGSLKTNCVKPCAEDCFLQSDINPAVLKE >Sspon.07G0012190-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:43657024:43672633:1 gene:Sspon.07G0012190-1A transcript:Sspon.07G0012190-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTSGSKSIDGVGPVSGVGAAAAGLGGAGGEGGEGGAAEAWHGGAQLYVSLKMENAEISGDLVPHVYGSEPIIGTWDPSRALAMERELASMWELSFVVPPDHETLDFKFLLKPKDAETPCIIEEGPTRLLTGGMLEGDVRVALFKLNGDDEVLEFRVFNKADLVSPLELAASWRVYKENFQPSRVRGIPDISINVAPTNATEEGSAATLELDLEHYVVPSPPTAPPNGYAANHAATPASMIQTGALWTNDVLLSDGIQSPSSVSAKLEDRSNDKKNIEAWATDSSKNSGLIESKSVGTFTPLQKLDGQKGLFVDRGVGSPMLPKSASACSLASGFSFGSAKTMPEAAGAVAAAAVADRLHGSKEDRKLAIVLVGLPARGKTFTAVKLTRYLRWLGHETRHFNVGKYRRLKHGANQPADFFRDDNPEGIEARNEVAALAMEDMIDWMHGGGQVGIFDATNSTRKRRYMLMKMAEGNCKIIFLETICTDPNIIDRNIRLKIQQSPDYADQPDYEAGLEDFKERLINYEKVYEPVGEGSYIKMIDMVKGQDGQLQVNNISGYLPGRIVFFLVNSHLTPRPILLTRHGESLHNVRGRVGGDTVLSETGELYAKKLANFIEKRLKYEKTATIWTSTLQRTILTASPIVGFPKIQWRALDEINSGVCDGMTYEEIKKNMPEEFESRKKDKLRYRYPRGESYLDVIQRLEPVIIELERQRAPVVVISHQAVLRALYAYFADRPLREVPEIEMPLHTIIEIQMGVLGVEEKSPVPM >Sspon.01G0015310-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:45502471:45505439:-1 gene:Sspon.01G0015310-2C transcript:Sspon.01G0015310-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGKALGKCGSNINSLKRKRESPAAYDADVFRTSELHQHPVNDSAVRFHVDQDRKAKIVCHFNKQVLQSYKNFMSSAPPKRILLRKGAVWKDIPEKIVKLAQADFRAKKTITETGYQNHLFLLDFAHMTFIDTKTGLQRPIAWIDENGKRYFPESFMQDQKLFIKKDFGNGNHEYISVEPNGTLEINDQLGTSESSAESSNFDSSTEDVSSPKRARAEKNSIVKNYCDMGEAIGENEPCTLLTTACNLLPHQANLGEVSRAQRTIEAVEKLLLQGMGSVIESKDIIGIFRTPLLDDHKQVRYHIHQKQVQVTGFHRGNANVRYAWLPCSKSTVHEMMLNGVLQVHKPPIKCAAYGEGTLLTPANRSDACVKYSDVDENGIVHMMLCRVIMGNVEIVHPGSNQHRPSSDYFDSGVDDLKNPQHYIVWDMNMNRHIYSEFVVIVKLPSKTKDSLVSQECQNSSDLSLVLNSSSPDCIQEEMNLEAPPALGGGCAAPMLGDSMEKAPSSPWMPFSMLFAAISTKVSPENMDMVISCYEEFKNKKISRGELVKKLRHVVGDRVLISTIMRLQDKLPPVERREAPDASAAKMVVKP >Sspon.02G0022460-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:76570083:76572267:1 gene:Sspon.02G0022460-3C transcript:Sspon.02G0022460-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADRGGERGGERGGDRGGFGRGFGRGGRGDRGGRRGGRRGGRQQEEEKWVPVTKLGRLVKEGRINKIEEIYLHSLPVKEHQIVEQLVPGLKDEVMKITPVQKQTRAGQRTRFKAFVVVGDGDGHVGLGVKCAKEVATAIRGAIILAKLSVVPVRRGYWGNKIGQPHTVPCKVTGKCGSVTVRMVPAPRGSGIVAARVPKKVLQFAGIEDVFTSSRGSTKTLGNFVKATFDCLMKTYGFLTPEFWTETKYIKTPFQEFTDLLAKPTKGLLIEAPTETVEA >Sspon.04G0004750-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:13868047:13869442:-1 gene:Sspon.04G0004750-1A transcript:Sspon.04G0004750-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQKKKHFQHLNSSGLYLTLHHPRSPCSPVPLPADVPFSAVLTHDHARIASLAARLAKTPSSRPTTLRGSSSSSFPDEASLASVPLGPGTSVGVGNYVTRMGLGTPAKQYVMVVDTGSSLTWLQCSPCVVSCHRQSGPVFNPKSSSSYASVSCSAPQCSDLTTATLNPAACSTSNVCIYQASYGDSSFSVGYLSKDTVSFGSTSVPNFYYGCGQDNEGLFGQSAGLIGLARNKLSLLYQLAPSMGYSFSYCLPTSSSSSGYLSIGSYNPGQYSYTPMASSSLDDSLYFIKMTGITVAGKPLSVSTSAYSSLPTIIDSGTVITRLPTSVYSALSKAVAGAMKGTPRASAFSILDTCFQGQASRLRVPQVSMAFAGGAALKLAATNLLVDVDSATTCLAFAPARSAAIIGNTQQQTFSVVYDVKNSKIGFAAAGCS >Sspon.03G0001000-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:14231849:14235034:-1 gene:Sspon.03G0001000-3C transcript:Sspon.03G0001000-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATAPPSQSADLMPPPPPPPPVPPPTAPRPRRRAREVSSRYLSTPVPSTPRLSTASAASSTRSRSPTPSPRGRQRAATPFANENHPPPPPPPTGTVARRRAVQKLFEETGAGNLRASVGSNSSAVSAATPRQLPRTTSGPAAPTARRGYPRLPTPARAGSCPASAAVESDTASCCSSSDTSSTATDLSEADRALGMAPALSCESPPLLGPASCRGGRLSSELRSSVPESGGSSRASNPLCYRSLNSALSISTAMAGKLTAAGRPPQPQGPKAADLKRTAIVGGRKVAGKQEDVHQLRLMDNSYLQYRFLNARAEAAGKAKAAAAEKSLYGLEERIASLRVSVAEKRAEMERMKREHTLSSVVNAQVPYLIQWGEIEGGHASCLRGATTALSNASLRLPIIGSVNANSEELTEVLKSAAQLLEPLSPCIENFLPKVEQIDDVASNLAQVIATERTLIEECGNLLYQAQNLQMRESSLRSQVMQLKQNEAK >Sspon.03G0036440-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3B:89940315:89942682:1 gene:Sspon.03G0036440-1B transcript:Sspon.03G0036440-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFITRGTDTTYTYLLRFKHKQHTTSPRTTSVCFSTSPSHILTAMASATQALAHNNLDASGRMTHFVLVPLMAQGHTIPMTDMAYLLAKHGARVSFITTPVNASRITGFIDHAAAAGLAIQFIKLRFPALEFGLPEGCENADMIKSRDLFRNFMDACAALREPLAAYLSQQRQSPSCIISDLSHWWTGDIAREFGIPRLTFNGFCGFAYLARYIIVRDNLLEQVEDENELISFPGFPTLLELAKAKCPGSLSVPGLDQIRKNMYEEMMRFNGVVINSFQELEALYIESFEQITGKKVWTVGPMCLCNQDSNTMAARGNKASMDEAQCLQWLDSMNPGSVTFVSFGSMACTAPQQLVELGLGLESSNKPFIWVIKAGDKFPEVEEWLADGFEERVKDRGLIIRGWAPQ >Sspon.03G0046620-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3D:42194118:42194402:1 gene:Sspon.03G0046620-1D transcript:Sspon.03G0046620-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRNFAESISSSIHSPSCRNARSCNCIPDEARRRRRRHPRTAEQQSARTPVQEPAKPDSHLHTLAAGAGEERHRDREEHERTLFLGAATSTPSP >Sspon.02G0017710-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:47314655:47316534:-1 gene:Sspon.02G0017710-1P transcript:Sspon.02G0017710-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSESTGDLHHVGIVINLQGEPDLAAAGSNVDSGGGITSASGGSAAVDANPMHRTMEVSVAGDSDNKEGCEQRKAPTRACQLQVRVQFQGRLRRLLKGLVNRYSIHTFNSYEEAKEFYNLYSWEIGFGIRVSRARQNGNEYTTRRDLVCCCEVFCKNPLAASFRTGCKAMLRLHRTESHGWIVTKIIPEHNHPLSDSCGQKNQLGSHGDIDPLTKDFIKKLRENNVTLGRVCSIIGVMSASTIASVRKQAVKNLCAKLAQEDIKDNIGKTMQVLESMKREDKDMQVRFQKDDEGGITTMLWCTGKNQVDYKHFGDVVTFDTTYRTNLYNLPFGIFVGVNNHYQSIVFGGVLLRHERTEDFEWEFSNFIEIMGGKQPQTILTDQCQAMAAAIKSTLKESRHRCVAGDAPVTDPEADEQQEAKAKGANSEGTFQEDGPAAVKNSTWAKKKNVCTTRPEWIN >Sspon.02G0005380-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:15752433:15755282:-1 gene:Sspon.02G0005380-2B transcript:Sspon.02G0005380-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VNKVWAIQSVQEHLNLRSLQSPIVGKYFGLLVCFLLGNGCLFAWNSMLTIEDYYVYLFPKNHPTRVLTLVYQPFALGVSALLAYHEAKINTRLRNLTGYTIYILRRGGFGAFVGICVTSAAFGIADAPAQGGMIGDLSLMCPEFIQSYLAGLAASGAITSALRLITKTAFENSQDGLRKGAMLFFSISCFNELLCVLLYTFVFPTLPIVKFYRSKAASEGSKTVAGDLAAAGVPTQDDEQAMEDPKQYVQLSTKQLFLQNIDYALDIFLIYVLTLSIFPGFLSEDTGSHGLGTWYALVLITMFNAWDLIGRYVPIIVKLKSRKCTLAAALARFLLIPAFYFTAKYGAQGYMIFLTSFLGLSNGYLTVCVLTEAPKGYNGPEQNALGNVLVVFLMIGLFSGVVLDWLWLIGK >Sspon.03G0017400-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:75739714:75747968:-1 gene:Sspon.03G0017400-3C transcript:Sspon.03G0017400-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGVVVVEEGNQQQEDAPPPPRPPLAVEALRDKIVEKVKANRVTLIVGDTGCGKSSLVPQFLLEENMEPILCTQPRRFAVVAIARAIAESRNWQLGEEVGYHIGHSNVSDLNSKRSKIVFKTAGVVLEQMCDRGIAALRYKVIILDEVHERSVESDLVLASIKQFLMKKSDLRLVLMSATADITRYKEYFRDLGRGERVEVIAIPCSPRTSIFQRKVLYLEQIADILKINSQSLSTKYCSGLDASADAEINYDVYELIHKLLLHIHQNEPDVHILHRSIDTDEALQTMKISKSCRKVILATNIAESSVTIPGVAYVIDSCRSLQVYWDPIRKTDAAGLVWISKSQAEQRKGRTGRTCDGQIYRLVTGTFYSSLNDHEYPAILRLSLREQVLMVCCAEPRSINDPSALLQRVLNPPDPDAIEDALESLVQIHALEITSSGRYEPTFYGCLLNSLPLSFDSSVLALKFCELGAVHEGILISIMLDIQPLPIVQPFGYQALRQKYRENYFKGNGSVQIGKKEATTMGNLCAFQFWERVFKDKHCLEYLKDVAKTQEPEESHTFLTKPEEEWCAVHNLVPAAFKNISEIYDDVMMQLHRFRPSFLVKINPPKFLQPSEFNHTCLYHKILDLEVDMDSLSLEAENSHSDSQKRCAATPYVSPTDFGTSTIVELLKTLVKEMKTQHAEEKTISYKGQLGSNVKPTLRTEACVFFANGSCNLGAACRFSHSSLAPKPVCKFYLTLQGCRSGNSCPYSHDFGSLVSAPVTSGVCSQEGRATSLCCTRLLPADGDGYILVMNDKTLEFSSKLSQFYDACKIVAGTPGLQSAESHSVPKGLKILENLADPSSLITGLEHKLPVPWTQLKRVFWFADFDNDESATEHALLHKFFTNIAIKILSERLSDLQVILIMKNTRYVQLQVERLARECFFFLSESFMFDEATLGWFSDISRHPRGMQVAAPVTYVFNMHPPSATQFGDYPAELRAALCRD >Sspon.04G0007700-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:17177972:17180736:-1 gene:Sspon.04G0007700-2B transcript:Sspon.04G0007700-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPEKAEEAAKQPMEAEAGDSVDPRELVSSDDEIDYSVEPEFYDPDLDDVDERWVDRQRKGRTSDAVLSCPACFTTLCLDCQRHEKYVNQYRAMFVRNCKVKTDQILREGKSKRKNRKGRAADPTAASEGESKGQAYHPVCCEVCSTEVGVFDEDEVYHFFNVIPSNS >Sspon.01G0039830-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1B:37120147:37121403:-1 gene:Sspon.01G0039830-1B transcript:Sspon.01G0039830-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTATSGSACPSAASSSASSSARASASTPTPPRTVIAGREHSPTHQDCLDEMDAFFHGAVAELFARHTSLGLGPRDVDVLVVNVSTFHPAPSLASRIVRAHGMRDDVAAYNLSGMGCAAVLVAVDLARSTMHARSRSQSPRRPTLALVVSAKCITPNCYAGVDRSMMLGRCLFRCGGSAVLLTTDPALRGRSKMELRVVERTTVADDDDAHSAIVQRKDGDGLVGISLSKSLPKVAVRAFAANMTRLAPRILPVRELARFAAVVACRKLLRCRRSSSSAGSATSNNKVNFKAGADHFCLHPGGVAVIDAVKRDMGLEERDVEPSRMTLHRWGNTSTSSVWYVLSYMEAKGRLKRGDRVLMVTFGSGFKCNSCVWEVTGDMADKGAWADCIDSYPPESLTNPFLGKYAWMNGGEQADDAPS >Sspon.02G0013220-3C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:37970940:37972203:1 gene:Sspon.02G0013220-3C transcript:Sspon.02G0013220-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSLLVLVLLCLGSQLAQSQVLFQGFNWESWKKQGGWYNYLRGRVDDIAATGATHVWLPPPSHSVAPQGYMPGRLYDLDASKYGTHGELKSLIAAFHARGVQCVADVVINHRCADYKDSRGIYCVFEGGTPDSRLDWGPDMICSDDTQYSNGRGHRDTGADFGAAPDIDHLNPRVQEELSGWLNWLKSDLGFDGWRLDFAKGYSAAVAKVYVDSTAPTFVVAEIWSSLRYDGNGEPSSNQDADRQELVNWAQAVGGPAAAFDFTTKGVLQAAVQGELWRMKDGNGKAPGMIGWLPEKAVTFVDNHDTGSTQNSWPFPSDKVMQGYAYILTHPGTPCIFYDHVFDWNLKQEISALSAVRSRNGIHPGSKLNILAADGDLYVAKIDDKVIVKIGS >Sspon.01G0019600-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:73219555:73224103:-1 gene:Sspon.01G0019600-1A transcript:Sspon.01G0019600-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MWIWHDPSHFREGLGYKAATGHGQSGTTPSLPNLLVPSYKSLWDFCLREGKVVEHLDGHTDIIHKKLRGDWGMRKRDLLLRRYWRREDDGTYGGGYVISPVNQGRQSVVKHMLAIDWKFWKSYLFTSSAKYITIRMLGRVAALREFFRAKNGNCACMEFSSGELTRDMGLPQGENQRINLEIQQENENRRLEGPTEGSVGGSNRHLSSTGSFIQLNDAADEFFDVPDESEYDQREVMFPSDESTHASDQRHAKLSTAAVFVKRLHDLAVQKRGYVDLQGAADADNGPCCYGYTLPKDSSYTVPSTWAMTDPTTFLIRGETYLHDRLKIKANSTLMQMVGADWIKSDKREDDLAGRPGD >Sspon.02G0048310-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:24204983:24207690:-1 gene:Sspon.02G0048310-1C transcript:Sspon.02G0048310-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding FAWILRGCRDECSASDQLKQVRDVFKAKEAVLQKKISQEMERAKEFTKSGNKQAAMQCLKRKKYYESQMNQVKITNS >Sspon.07G0015230-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:60115486:60117895:-1 gene:Sspon.07G0015230-3C transcript:Sspon.07G0015230-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMELPLFLGDGPDAALFSSLWSSFPDDLQQPPQESVAELKQTLLATTLELEAAREELKRKEQSIAKLAELVHEVAKERDDARDQLQSLRLLAAQAAAAAAAAAPPTAPPLVTSSVTDSDCSLASSPVDPFFDPVTSADRRCKLSPATPPAAAPKQQCQPGGGVGVGSAADAVLDMLASKRPLPHKGRLLAAVMEAGPLLQNLLVAGQLPRWRNPPTVRAPDTLPLGTARAGYVAAPVAAADAVTLASSACMKRPMSMLPLTPMLPAASCSPGFIAKRQRLH >Sspon.08G0020840-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:28071150:28077581:1 gene:Sspon.08G0020840-2D transcript:Sspon.08G0020840-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MWPRRPRGPPPDRVVPLPTRLLHLSLSSSCPPPPTPRPARFPLPPRLRETLARLRNGRLGASAPRSSRPSQTCKLW >Sspon.01G0008530-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:28771861:28774405:1 gene:Sspon.01G0008530-2B transcript:Sspon.01G0008530-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLARRFSCALARPPSLVRGRPLPPCAARSTPPAPRPPPAASCLPPPPGGSTPRTVPRRRRLLLLIPALTRGLEAALGTTFSSEPLAPPPQPMILVISGPSGVGKDAVIKRLQEEREGIHFVVTATSRAMRPGEV >Sspon.06G0031540-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6C:68986747:68987256:-1 gene:Sspon.06G0031540-1C transcript:Sspon.06G0031540-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKVRTEIDTHVGTARLVEESDIASLTYLQCVVKETLRLRPVGPIIPAHEAMEDCTVGGFRVRRGTMILVNAWAIQRDPAAWDAPDEFRPERFLDGGGKVTAAAVPMLPFGLGRRRCPAEAMAMRLVSSTVAALVQCFEWDVGEGRTIDMTEGGGLSMPMATPLAAVCRP >Sspon.03G0038450-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:4201505:4203712:-1 gene:Sspon.03G0038450-2P transcript:Sspon.03G0038450-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEACYNYGFPPADRGRHQPPPPPPYGHGPLPHTAEDGELWEYLPCPFCYIEVEVPFICSHLQEEHCFDTRNAVCPICANNLGKDMAAHFRVQHSHLLKRRRPYKPSSCPAAATSSASGKGTATYEVNSYYEEPEPQHYRMSSRPYKDPAPDPLLSQFICSVPQADDAVPSDGNAEKGVSDDQRLKLNKGAAASDDASSKLGLEERLQRIDFLTEILMSTIL >Sspon.05G0020510-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:84470882:84475594:1 gene:Sspon.05G0020510-3C transcript:Sspon.05G0020510-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAATAAEQEKEKELLSSVVDDIRSYSGSDPLRPWLRYSTPAPTRRSLPPSLPFRALRIPAVSFLGMRKMERALPPATLREKLPRFLQKCAQEFQADARYRDDPRYLRVWIQLMDYVTDAKPLLKKMERNGIGLKRASFYMAYALYYEKHKRFNDAEKMYRLGIQNFAEPIGELQKAHEQFLIRIESYKRRKDKVYFSIIYYNSLYHGESRNCKELKSNTVQKSRSSSNPSVGCYPPLGPAKVGMLCRGNSGAKKNLPRCNSDDTVVVRFVGSALVGKSETEDACHHGLVEPTINTKEAMDAINSMFLEPVEPETMLKRRSKPKKPNYGQQASAFGIFVDEDEPKGNPNVLHNNSMKQDHPKFSQQTGGFAIFVDEDSPDGNDQNVRQNKNSNKENVKLNQETSAFEIFVDENEANGNVQNATCHRKNRSPPRPLCDSSKNRGESDFQKPFVGGFAILPDDEEEQCEKSDDGMKMNSRTVQPTNDNNTLLCPVQDDSGTRYHEGSHPVSSGLGEDTVIHRFVGSTIDDEPKVENACHHGLVEPTVNLKEAMDDINNMFGRPLNFKGDRTKRKANALSDRKTAPASGFSILADDDLKDNPTSKANQKNSCKFDAVDGLFEPTITTRDVMAEINDIVITDEATVQLRTEIENLADVEIEKHERLSLIILIANLPSDKSQTVSHVQVKQAMEEQLFGFLQKDRRMKISSPRGAGVPCPPPSPSDLFDPVDCRARHHRGDGVGLGLRPRQRRAVQPLVGVAGEGEHDAPRLLLLAVGACGLQDEGLPLTVEERDSGIRGEVATLAGGPAAAAAGRHPICYGPAVWKGFHWSSGVLP >Sspon.02G0017010-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:48312746:48313499:1 gene:Sspon.02G0017010-1A transcript:Sspon.02G0017010-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGYMGRTGIWVRRFDHRNTRWYAIPDGYPSSMGAGMNSYPQTFSWAGKNTRKLDELHGRPAKGITSEDDCDLGETS >Sspon.04G0007500-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:22457464:22458855:1 gene:Sspon.04G0007500-2D transcript:Sspon.04G0007500-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALLSHVVSDLCIGRPRVLTLPPSTPFAAALAALRTGTGADPFVFVFVDAEPAACRAKTTTATVYVKVSVADILCYLCGDAGNLRDPAAALGRPVAVVAAAVAAGHGVTHRVDPQTRLIDAIDVLLTDGCQGLLVPLPARARKRHHHAPSSDAAGGALATPDCCCVLTREDIVRHLFGSISRFSPVAALTVASLGLVRRDVHVVHADDDGLDVIPLLRRAVSDGTAVAVVADDSALVGEICPGVLASCDVETVSAAFAALSAGDAMAYIDCSLSHSPPEFLVRAIRAQLAGKGLDAMAELVECAGKDAASLPLSPSLSSLSSTSSSDEDSSSLGRARRPRRMSSGSFGWRSTEDVVACHSGSSLVAVMAQALAHRVGYVWVVDETSGALVGVVTFADVLAVLREHLRPQSQVLCR >Sspon.01G0025440-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:90401285:90403418:-1 gene:Sspon.01G0025440-3C transcript:Sspon.01G0025440-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AASARAAPFWLMAALLVAAASAQSGSGGSSSDDCTSALVSLSPCMDYISGNGTSAPSASCCSQLKSVVQSEPQCLCAALGSDGASSSLGGVTIDRSRALALPAACNVQTPPASQCNGNSAQSGPGVCTRWRLVSLSPCVDYMCRDEATAPLGRCCSQLRSVARSRPQCLCAARGVDRTSALSLRVVCNVQTASESECSGSVRAGSTQATPYLGITR >Sspon.08G0016570-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:65250626:65252229:-1 gene:Sspon.08G0016570-1A transcript:Sspon.08G0016570-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTLCPRFVSCTEPHHGADGGGCNELTSERESERAAASEEEEGEMPGKGQRRREKNFLAAHGGDTRLPPPPKHRELEAIPSKLRRLIAFQNKHNANANANADASSGGAPRKQDDGLRKNKQPTDKAKDKKTKKQTLEAPADSKASEIKGSEDGSAANENVNAEGSKRKRKRGKAKDLRFEELDKNISVSKKQRRKKHLDEKKKKRKGNKAETVPDFPGREKVKFGEVVEAPPKLSFPKVKSALDASREMLRKEAIENYRNIKGWTSRPGLQLPTLAENTFLSQ >Sspon.03G0016490-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3A:52192822:52193966:-1 gene:Sspon.03G0016490-1A transcript:Sspon.03G0016490-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to 50S ribosomal protein L40 [Source: Projected from Oryza sativa (Os01g0278900)] MALLLSPTVSFLVSPSAPRSRALYAAANVSYPASRLQCKNLSSLQSPLNVTATCASFAEKRPVLVHATAEGSEAGAEQPEEPKPATKIEDMPLESKQKMIMEQRARMKLAKKLRQRRKRLLRKRKLRKKGRWPPSKMKKLKN >Sspon.01G0015930-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1A:47466019:47466177:-1 gene:Sspon.01G0015930-1A transcript:Sspon.01G0015930-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSPTLTKTVRARLLVGLLILSDVRGSSARWFYPRLLPDIVPARGEDSEAR >Sspon.03G0040940-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:24754627:24755061:1 gene:Sspon.03G0040940-2D transcript:Sspon.03G0040940-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding KMEGDAVLSSMDSLWFYSSVFLRPSSKHKESECAEEPQQDSASETNKTTSGRGRPCQPPKCVKEAAAQTGRRAEGGMVRIAAARGCSWEWDERMVVWQKEQRRRARVAAAARARCSQATMPPPGEGVAMKALLRSWAHAVACSVR >Sspon.03G0022840-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:69717564:69718702:-1 gene:Sspon.03G0022840-1A transcript:Sspon.03G0022840-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMMGEGVSVPPWSHHVPVSGVDVGGGCGDEMTPYLLAALRQYLPCNDAAGAEAGDEDAAAAAAMASGPTTGPSAPSRTRGRRPRRRDPRKYHYSGTACPDFRKGGCKRGDNCDFAHGVFECWLHPARYRTQPCKDGTACRRRVCFFAHTPDQLRVLPTTQHQQSSPRGAACSPLAESYDGSPLRRQAFESYLTTKSIVSSSPTSTLMSPPKSPPSESPPLSPDGAAAFRRGSWPGVGSPVNDVVATLHQLRLSKANSSPSGGWGGYPSCAVAYGSPTAGGFYSLPSTPRATPTTLATASGYMANLEPLDVSFGGDEEPVERVESGRALRAKVFERLSREGAVSGDFTAGIGGPDV >Sspon.01G0026180-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:99546703:99549424:-1 gene:Sspon.01G0026180-2B transcript:Sspon.01G0026180-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSIELTKEYGYVVLVLVAYAFLNFWMSFQVGKARRKYKVFYPTMYAIESENKDAKLFNCVQRGHQNSLEMMPTFFVMLLLGGLQHPTIAAGLGVLYVVARFFYFKGYATGVPDNRLKIGRLNFLAVFGLIICTASFGINLAIRETL >Sspon.01G0006560-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:15450851:15454779:-1 gene:Sspon.01G0006560-3C transcript:Sspon.01G0006560-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cpn60beta4 [Source:Projected from Arabidopsis thaliana (AT1G26230) UniProtKB/TrEMBL;Acc:A0A178WH16] MSRMAPPSPPLSMKPPTLPFSPPKKPPPMPVYKDLHFNRDLSATKKLQAGVDLVARLLGVTLGPKGRNVVLGNKYGPPKIVNDGETVLKEIELEDPLENLGVKLVRQAGARTNDIAGDGCTTSIILAQGLIAEGMKVLAAGINPVQVARGIEKTAAALVSELRLMSREIEDHEIAHVAAVSAGNDYVVGNMIYDAFQRVGRKGMVRIENGRGTENSLEVVEGMQFERGYLSPYFVTNRGNMTVEFTDCQILLVDKKISDASEIIRILDSAVKENYPLLIIAEDVEEQAMADLIKNKLKGTIKVAAVKAFSFGEQKTQCLDDIAIMTGGTLVRDDMGHILEKAGKEVLGFASKVVITKDSTLIVTDGSNHQAVEERVAMIKGQIENSKERYNKKILGERIARLCGAIAIIQVGAQTIIEMKDKKLRIEDALNATRAAIEEGVVVGGGCSLLRLSEKIDAIKESSLDNIEQEVGADIFKQALSYPTSLIANNAGVNGDFVIKKVLLNDDANYGYNAAKNCYEDLMAAGILDASKVVRCCIEHAAVVAKSFLTSDVVIVEAKEGKPIRIRPPMPPRNLIPPMPASISGIRV >Sspon.02G0008220-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:22549516:22552847:1 gene:Sspon.02G0008220-2B transcript:Sspon.02G0008220-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSFGHRANAVATFGVTILAAMCFAASFSDNFNTPTPTASVKILNINWFQKEANGNDEVSMTLNISADLSSLFTWNTKQVFVFVAAEYETPQNALNQGSNLKGKEFNLTMHWHIMPKTGKMFADKIVMTGYRLPEQYR >Sspon.01G0053660-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:40479904:40487608:-1 gene:Sspon.01G0053660-1C transcript:Sspon.01G0053660-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVISMSSKVAAVAALFVVLAMYADAASPNGGWQAAKATWYGAPNGAGPDDNGGACGFKNTNKYPYMSMTSCGNEPLFKDGKGCGACYQIRCLNSTHDACSGKAKRVIITDMNYYPVSKYHFDLSGTAFGAMAKTGLNDKLRHAGILDIQFRRVPCNYKGLNVNFRVQVGSNPNYFAVLVQYAGKDGAVVQLDLMETDKATGKPTGVWTPMRVSWGAVWRLDTKRPLQPPFSLRVRNGSGKTLVASNVIPADWKPLTDYPSSMAVAISIKVAALVALVSVFATHGARAQPSYNASSARRELYYSSTTGGSWQPAKATWYGRPNGAGPDNNGGGCGYSGTNLYPFNSMTSCGDKNLFRDGKGCGSCYQIKCVSRNNPACSGVPQTVIITDVNYDTSLGPNRFDLSGTAFGAMAKPGLNGKLRDAGALSIQVPCNYKGLNVRFHVMGGCNPFYFAVIVYYAGSDGAVVQVDLKEANSRIWKPLYESWGAVWRIDPGYPLKPPLSLRVRSDGGKTLVAYDVIPVNWRGNADYRTIAKFY >Sspon.07G0018690-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7A:67472221:67472751:1 gene:Sspon.07G0018690-1A transcript:Sspon.07G0018690-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DDRCCPAFAGDGAAESATTIDDLPADVLALVIRRLDGASLAALGCACAAFRDLAADPAAWRALCLALWPSLRDVPLRRDANTDAKAQAHHHQRLFADAFPFPAVPLAALAPSPLPARLVSAVDLRQGGVCVMSRAVETDASSAWFLGAPFRIDALAQEGFTLPAPAPIVPAELELSW >Sspon.02G0026550-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:94183044:94183872:-1 gene:Sspon.02G0026550-1A transcript:Sspon.02G0026550-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIFMASRNQRSAPRALVVEDIKVDCLILMHMLHKLNCEATAVENGKEAVDLFLEGKTFDIVLSDKDMPIMSGPEAVAKIRAMGATEVKIIGVSADFGAQKAFMQAGADAFVPKPVKLETLQSMLEVVISKKNMSG >Sspon.02G0009020-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:25775611:25777977:1 gene:Sspon.02G0009020-1A transcript:Sspon.02G0009020-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLDAKPFTVIAISIKSSSFSGLRKDNVAFRLQPVPQRFALVDYTGAIFFKLKFVLPNDYDLSIVAVKYSLDQAKKETVDEVCKIVKKQLALADGTEVCGSSKFQELGADSLDTVEIVMGLEEAFKITVEESSAQSITTVEDAANLIDELVAAEAAKAK >Sspon.05G0016830-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:69726122:69735723:1 gene:Sspon.05G0016830-1A transcript:Sspon.05G0016830-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDEEACGISAAAAEAEVKKKAAPPLPDDAEEMAAWKVPAAMVLVQLFNTGMVLLSKVAIGGGMFVLALLTYCSLFGAAILLPIALLRGRGKWKEMDWHAAGWIFLNAFMGYAIPMSLFYYGLHDTTASYATIFLNLVPLATFILSFSKVMK >Sspon.05G0006410-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:20401819:20406072:-1 gene:Sspon.05G0006410-1A transcript:Sspon.05G0006410-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQLNRHHQCIGKDIYSQEDYVLAAADSVAKPLELFEDEVADQPYHLLVDLGHPYSIRLRNLQLVAPRTARADVHDLVRSSLSKAFDRLYTKHKASFGGLLRAAVVLLTFVDIGLFQRSRLDVYDRADVVVTYVLLCCTASLEFVSACLVLGSGLPKPDDQLAQYNLVGYLARGEKHPWFSALARLLGARLQLDRLWCTAPPEPSLGITRLVYDHVAAGWKDYIRKPTLTDGVGAYRRFNDSRGQWTLQREGCRVRIRKAIEESLRTPFDESVLLWHLATEFCYFDHVDTGHDATRHSRMVSNYMAYLLFVEPEMLIPGARSKLFRATYHKLRKMLKPPPEDEEAIELEPTEKKAPPPRAKNEMVRKVIQKVKSTIGLGSEDLVHKAWAIAHELMEFAEDKKSEFIRSMEEEEKRKLAELVKLCMKKVEEPIGEEGNQAEKAKGNRFAKLKKFENADEFTNEDLKKFVMEKDLMDLMESAKVKAEEFINRAKSLAEEKKNLPEEKKKVEEERKKAEEEEKKLIELVEFAKDKEAELFDEEKMKAVDDNKRSELMRRKKLIKVNEELRMNKAKEYADDKMWAVIQGVWVEMLCFSAGRCRGYLHAKSLANGGEYLSYVWLLLSYMGMETMPEKMQRPEPPMVGDTGALVKIPTDEEMDDEPPQPRAAATTPPASSRPGGTTTESSAGTAQAQQRLVVMPMPAMSATVVPLGDDIV >Sspon.01G0017510-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:12957305:12961600:-1 gene:Sspon.01G0017510-3D transcript:Sspon.01G0017510-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDGQAPTATESCSVLLLHHQRSGLNPPDAMPTGLPSHHTTYCSQIRPSLSFTTQVGIGSDSSLVTKNAMQGLLYNANRFSTKDEPSVIADQDSEFDDLANNDETPPSHLTLGRRSKSLDRDKA >Sspon.02G0016560-2P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:48941459:48943316:-1 gene:Sspon.02G0016560-2P transcript:Sspon.02G0016560-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNWKKNQAKHQLGTHPVQTRAWIWPSHRRPGEEEARASYLTTTNPTTSPRASTHSVANTIAADRWPKASTQETPKSEAPDSVNLHLTSPSLAPPALGQKTARTSVRTSTGDIRHGHHHCRCSLELPTRLQLLNRRRLRFSASAAPPTSSAGFGWADALRVAGDSGHGDESDLSGYFRKIKTCNRGMDKKGQFVEFSVEDQVVGYIHKR >Sspon.05G0017940-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:42492334:42497021:-1 gene:Sspon.05G0017940-1P transcript:Sspon.05G0017940-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRKASLTSDSRLKWRKRKRNPDASPSKPSTSAAAAAADHSSDSDSADEDAAAVHGAAGDGAAAGDDDEASEDPAALGGLREAEVLPSAETISAFPAAKRRVVNRPHPSVLALLEAERSASSGDVPTVAPPVLENISHGQLQVLSGVLPDHPSLVTDPDKPSLYVCTPPPLMEGHGVPKQFQGRLHVVPKHSDWFSPGTVHRLERQVVPHFFTGKSPGHTPEKYVMLRNKVIAKYLENPSKRLAFAECQGLVGSTAELYDLSRIVRFLDTWGIINYLAAGSVHRGLRMATSLLREEPTGELQLLTAPLKSIDGLILFDRPKCSLPVEDVSSMAASSSNSETVDFDAAFADLDGKIRERLSENSCSYCLQPLPSLHYRSQKEADTFLCSDCFHDARYITGHSSLDFQRVDGDNDGSENDSDKWTDEETLLLLEGIEKYNDTWDDIAGHVGTKSKAQCIYHFIRLPVEDGLLENVEIPNAPIPFRPQSNGYQHSDSNGSTSGAPVQNIQQGNQLPFINSSNPVMSLVAFLASSIGPRVAASCAHAALSFLTRDDDSRVNSEGMHVDGMGNGANPNFRNHNGASPSISPENVKHAAMFGLSAAAMKSKLFADQEEREVQRLAATVINHQLKRLELKLKQFAEVETLLLKECEQVERVRQRISAERVRMRSALLGPTGSGLPGGSSTMPPNPPGMSPRPVGVPGSMPQTSMPAPYANNMQGHGHPQMPQMPFLHQRPQMLSFGPRLPLAAIQTQPSPQASNIMFNSGMPNSIAPNHHQLLRSSSGNNSSAG >Sspon.07G0015890-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:61903606:61906250:-1 gene:Sspon.07G0015890-2B transcript:Sspon.07G0015890-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMKKTKAYVVAMVIQVIFASTIIISKAAFNRGLSTFVYVFYRLLAASLFLLPLTILLERFLSVLLSFSLLSSVANTLSMSLYNGSLKYTSATVASAMCNSIPVITFFLALLMRMEAINLKRSSGIGKAAGIALCLTGILVTALYVGSSLSPLNHSHHGILAGHGDGQATRPGHVSKGLWITGTFLMLLACVAWSLWIVFQQGLLLKEYSNKLVATQMQCLFGTAQSCVVAVTIERDPSRWKLGLDLSLVAVAYSGIVGTGVCFYLQTWCVDMKGPVFLAMWNPMSLLLTVLCSSLLGDTTHLGRHPLSLSIYIYLSIYLSISAICISFYFKLPGILGGILLVGGLYCVLWGKNKEETTHTTMASDLEDQNSREQGDADAAI >Sspon.03G0027480-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:6443835:6445406:-1 gene:Sspon.03G0027480-1P transcript:Sspon.03G0027480-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDWLFYSLTTLLCLLCSLLLRARAPGSKARHADSSSALPPLPPGPTPLPVLGPLLFLARRDFDIEPVLRRIAREHGKVFTFAPLGRARPGIFVADRAAAHRALVQRGAAFASRPPSTASSAVLTSGGRNVSSSPYGATWRALRRNLASGVLNPARLRAFSPARRWVLGVLAARVRADGRHGEAPVAVMEPFQYAIFCLLVYMCFGGDRLGDDALVRDIEATQRELLANFLSFQVFSFLPSVTKVVFRRRWEKLVSLRRRQEELFVPLIRARREAGADGDCYVDSMVKLIIPEDGGRPLTDGEIVSLCSEFLSAGTDTTATALQWILANLVKNPAMQDRLRDEVSSVVGGADGEVREEDLQAMPYLKAVVLEALRRHPPGHFVLPHAVHEDTTLDGYRVPAGAPVNFAVGEIGMDEEVWKAPVEFQPERFLPGGEGEDVDLTGSKEIKMMPFGAGRRVCPGMALALLHLEYFVANLVREFEWREADGEEVDLTEKLEFTVVMKRPLRARAVPLRSPPPAVAAA >Sspon.08G0008640-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:35023733:35024902:-1 gene:Sspon.08G0008640-1A transcript:Sspon.08G0008640-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQQRTTNSPHLLAAEKRSAEIQMRLSLRQAAAAATSSPLAATLRGKSSPSSLAHGRLSFSHTSLQTTPDHRRHRAGWAVRVLPLTEENVEKVLDEVRPSLMADGGNVALHEIDGLVVVLKLQGACGSCPSSTMTLKMGIETRLRDKIPDILEVEQIVDTETGLDLTADNVEKVLDEIRPYLSGTGGGGLELLQIDGYVVKIRISGPAAGVMTVRVAVTQKLREKIPSILAVQLTE >Sspon.03G0014050-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:43575490:43576640:1 gene:Sspon.03G0014050-1A transcript:Sspon.03G0014050-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLRWKDRYVKERLQGLAGLSCSSVASTSVVASTGRAIDRHSPRLRDPHRRLPPSLPKPPRPSSPFNSAIDSSSSMKQHQLCCYDDDGKDKRKKKSTAAAAGRGSSSTALSEHRKNKKKAVQLQQVSPASSSRFLLNSSRLMMQSDDEITVVDSLPPLPSPRPYFIDDAGDDIGIFPPSHGGAVLPAVPSRPQLVAPPVDLFAEPSASGAGASSSSSEIGGRSHVVAGDNTVMNRPAAGENVASCPASSMVKKHISKMEGEFSLILSLSFTSSVTSFDIDIATKKVTVVGDVTPLGVLNSISKVKSAQFWTDSRSYLTTPPRASASF >Sspon.04G0014010-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:69727689:69732001:1 gene:Sspon.04G0014010-4D transcript:Sspon.04G0014010-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRDRSSRRTRDDDGHHRSRDRDDDRHRRRSHHDNDAHHRHDGGDEDRRRRHRDKDGGGGGGEDDRRHRHHHDKDDSRSHRHRDGGDDDRRRGNRRSVSPSESPPPSAKRDRSSSRPRESVERRDSTDREPRSSSRKRKGHEGGGDGDEPGREGGKRARASVDPPPPKEERPRRERRRFEDVDGNGKNGDVSKLGKEISSHEQKKGELSINGDSQSGAARNAGSQQPLSAVSVPSSVPVPSKVSSIYTNNANEGVSIRSDEVTVKSSTDGSATSAAGKSSNLSLDALAKAKKALQLKKELSEKLKKLPMLNNKPGTAVTATQVSKEEAKTSVSAVDAQLFSKGDAKPTDVSGTLAIGGAIGIPGLTNIPNLDSVKRAQELAAKMGFRQDPQFGPLINLFPGTSTEVTVPQRPAKAPVLRLDAQGREIDEQGNVISMTKPTNLSTLKVNINKQKKEAFQIIKPDLDSLAKSSVHFDERMGINQKKLFRPKRPGFQFVEEGKLTRQAELQKIKSQFGEAQAKELKVKQAQLAKAKAEVDMNPNLIEVALGVRAPKQKQKEEIPEIEPWDAKILLSATYEDISVEKLNMDKITIYVEHPEPYDPPAEPAPPPPQPLKLTKKEQKKLRTQRRLAKEKDRQEMIRQGLLEPPKPKVKMSNLMKVLGSEAVQDPTRLEMEVRTAAAEREQAHVDRNIARKLTPSERREKKERKLFDDPTTTVETIVCVYKIRDLSHPQTRFKVDVNAQENRLTGAVVITDSISVVVVEGGKKSIKRYNKLMLNRIDWATAVGGDEDAEVEGDKPVNSCVLVWQGSVAKPTFHRLTVHNCRSEAAAKKVFVDAKVPHYWDLAVNFSEDSS >Sspon.01G0000590-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:1156764:1160408:1 gene:Sspon.01G0000590-2C transcript:Sspon.01G0000590-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCASGVFLADHASFVKEIAATDPPDHLNSLLNVLQARGETVIHRILVEADINADTGDDLWAAVGEAGWKCLLWKFVKQYIHRILVEADINADTGDDLWLLWAKLVGLFPDVIERKTLRHLEKGDNVSALITGEFYSRDQFPGFGRPFVFNAEILKRVGRTSEAKDSARVALKSPWWTLGCAYEEAAELAGWEDEQLEFIREKVTEEGKREDLKKGKAPEQVVLDEAAFLMDLASVDGNWDEVVDRIAECYREAGLHDIANFIAYRE >Sspon.07G0007960-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:18288533:18289476:1 gene:Sspon.07G0007960-3D transcript:Sspon.07G0007960-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSSSANAIPPAAAPLKLFGSWASSYTHRVQLALRLKGLEFDYVEEDLGNKSAELLRHNPVHKKVPVLVHGGRALPESVIILQYLDDAWPETRPLLPADAFDRALARFWCHFADDKLGPAVGAVFTSTGEDQEAAVRQVHENLALLEAELRDGAFRGRRFFGGDEVGLLDVVLGCGSYWLAVFEDVTGVRLVDADAFPRFHAWLRDFEALDEVRETIPAVERLLEYARGLRHMLLGLAGAAAAPAADAAPTAAAPAPAADIA >Sspon.02G0008770-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:25126038:25133928:-1 gene:Sspon.02G0008770-1A transcript:Sspon.02G0008770-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVHHAERCRWKWHEDPMFVGANVEHEGQIQPGKEGEATMISAKRLVQMAKKWQRMAALARKRLTLMPAKETEASFGTSSTAMASKGHCVMYSSDRRRFEVPLAYLGTVVLGELLRMSQEEFGFASDGGRITLPCDAAVMEYAMCLVRRDASEEVVRALLSSMVRPCHPVSVSGVAPSMELKQQCCRNDRDNHESDRSREDTKWIGSDLDPIRPGCRDEIQEAQGGGYVPMFSNLFLPALSRPKIFASLLHEYKHRLVRRNGRGDGRGSGAQLSDITVSKLLALDPHGGPAAKKPPPPSRGWRHERRAKTPLRKIPSARSAHKRFRTAPGTPLYRCVRRACTAPRGRATAAPSMSRASSDGGEEGSSVADLSPEAWGARVGGLRVAAGAATYGATWFAEWYDIAMVLRNAFSSSVEAPLFSKHKAYSMTMASQGSVILPLSPVKPNSSLDIYRSSVKTVVPRHTKGTSNRAPSAVQKSRRSNHDQCQETCSDGKEVVENGCPGKEAAHDNTQERS >Sspon.02G0024180-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:82849323:82850008:-1 gene:Sspon.02G0024180-1A transcript:Sspon.02G0024180-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRKELMIMHGVLILGWFIASAAAGRITPQEKAESVTPIPTLSPPEGNMTFIDGVTWCVARPGATQEDLQNALDWACGPGGADCSQLQPGGRCYQPNTLLTHASYAFNTFYQQNGNSDIACNFGGAGAL >Sspon.01G0022810-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:83129763:83136158:-1 gene:Sspon.01G0022810-1A transcript:Sspon.01G0022810-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVKDAAKPRLPPGFRFRPTDEELIVHYLRRLVNSHQSGRGPNVGRVVRQDANVRVGADFVAGYWIGWWLAGFSEQERYFFTCKEAKYVKGQRANRATGAGYWKATGKRETGGRGSPGGSGRRPWPGRACGHEALAGVLPGEAPDGEQDGLGDARVPARGRRARPVPPRDSNSAAGRRRRRGGVAAGRGLGAVSRVPEERAPPPWQDRAKTRATATARRLARATARARALSRLHLSPSPLGSTTLAVAVPAAAKVAVPAPPKAAVLRRGAAHPVATPPGRAPAGPCPLRRAIGKSRRPQAKPPSSRAVPTCYTTRRRAKCIAKSLQPNNLATTSPKLRKKATNSPKKKGIAKKDIATKIHYGSNSEGDCGAHTMPKPKGSNHDV >Sspon.06G0003610-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:10903226:10910280:-1 gene:Sspon.06G0003610-1A transcript:Sspon.06G0003610-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPAGARKEWRAVPDSSLRSNGAEDAAEHGKLGQSEDRAIYEEGAGGLEDFCAITIDGSGGLSEDILQQRLQSLARQREELQQVEIELRAQAIAQPQIIEAQQSFQAAAKKHAAAVAKIKEQLHEREQYILELEMKLNDKDRELNALKIDHQTVWANQDLIREQTKELATVRRERDNSEAERTQHLKQIHELQELLREKESQFIALEEQHRAAQDNILYKDEQLREAHAWVSQVREMDALQSQSLQVELRERMEQFNQYWISFQQQYAEMQRSLLHTIQQLQQELAEARERSGAQKDGLQVSREGSAESSHVQSIANSVASNGSATADGNQQLLKNNGSVDASIKGNNASAVPVQSLLGIGGPAAHIAAMHSFMIHPQGIPQPLASPNSGVPQFGSFQSQSMVQPNSHWPNQQEVQNVSQPQHETNYQTSQSDQTALQQGSINTDDLSSKPSQGIHPDHLNAHGKQQQSPSSAPTESTHELTVGETNVAELVAYNEQQKALKEQDSSSNMNSHIGTVEHQEQNTESKDDRAASDKQPEPVSRQHKPSNFPPSTTQIHLKNSATENPNVVNQVDTMKSVASGFGSQLPRVPKEPALLDERSLLACIVRAVPAGPEGGIRISSTLPNRLGKMLAPLHWHDYKKQYGKLDDFVASHPELFVIEGDFIHLREGAQQIISATTAAAKIAAATASSAPYSSLLPSVAVTPVAQSTRQKRGPAVDSRSSNAIPSGNGFTDQFNIVQGMKFELGSHLCSQLLPMVQDTTKVQIISDMVMEESNRGGQLGQHTFPEDEMLLVFEGADAVGNHTSGNVLASKWSILKMTWIKIILDLFSFAPLLFTVPVWGPLVLAKLY >Sspon.01G0024860-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1A:88470949:88475484:1 gene:Sspon.01G0024860-1A transcript:Sspon.01G0024860-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MYYRGAAAAIVVYDITNPASFTRAKKWVQELQAQGIVLETHFAFGFRNGSAVEDSLSNWWIKIDGNPNTIVALAGNKADMLDARQVPAEEAKTYAQENGLFFMETSAKTAINVNDIFYEIAKRLLQGQQAPSPQAGMVLNQRPNERMIYTWHRWGKQNSVLDLRYKPNWL >Sspon.03G0035710-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3B:83876720:83877133:1 gene:Sspon.03G0035710-1B transcript:Sspon.03G0035710-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKQRAAPLRPLLEASSGGGGDRLPASFGCAVGCRRRKQAEREAGTGCRQALAALRAAAVASGRRGRRGQASNGCRPSMGSGWEEGAGRRGKRLPASFGRASVRRRCRRGALAGRGTGRRGRRQAQANGGAVGDGAG >Sspon.01G0004540-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:10554698:10558209:1 gene:Sspon.01G0004540-2B transcript:Sspon.01G0004540-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSKLSRSGEETVAKPRDDRSSRDQTECAAKLAPRLLQKGVLRTNCIDCLDRTNVAQFAYGLVALGRQLHVLGLTEAQKIELHDPLADDLMDFYERMGDTLAIQYGGSAAHNKIFCEQRGQWKAATQSQEFLRTLQRYYNNAYTDHEKQDAINMFLGHFHPQQGKPALWKLDSDQHYNIGRQGTLKEEIGRSFIKRSLSDGNIMLENSLPITNCNNETNNTELLPMQQLDDIREPSDSAPEISICEPNPCSSMNYGTVPGRHSMSEERQSYLKRLGFPELHSSNFLDLDLLSSSGNSCDEEVFERSSLINSPLDVISVESSTSYSEQGHIDEGRDDTDLSRSSSQLSDVRDYSDRFAHWVANGGMLCY >Sspon.05G0020530-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:87024064:87030746:-1 gene:Sspon.05G0020530-1A transcript:Sspon.05G0020530-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Long chain acyl-CoA synthetase 8 [Source:Projected from Arabidopsis thaliana (AT2G04350) UniProtKB/Swiss-Prot;Acc:Q9SJD4] MPEVTTKTMGEVGDDNSDMSFLKRIATSDVPLLKEYGVNGVVVALLLAVVTPVLLSMMFGKKTKQRAVRADVGGEEGFAMRNSRFSSLVEVPWEGATTMSALFEMASKKYSRRRCLGTRKLIKREFIESSDGRKFEKLHLGEYEWDTYAEAFNRACNFASGLIKMGHDLDSHAAIFSDTRAEWIIAAQGCFRQNLTVVTIYASLGEDALVHSLNETQVSTLICDSKQLKKIPAISSKLQSLKHIIYIEDEPVEAETLNQVKHLTTLSFTEVEELGKTSHVDPRLPSSSDTAVIMYTSGSTGLPKGVMITHGNMVATTAAVMTIVPNLGMNDVYLAYLPLAHVFELAAETVMLASGTAIGYGSALTMTDTSNKIKKGTKGDVSVLNPTLMISVPAILDRIRDAVFKKVGEKGGLTKKLFDFAYKRNLAAIEGSWFGSWAPERMIWDKIIYKPIRAMLGGRGNVFRLAYLKLQFIVSADRMDGIIVPVGQGYGLTETCAGAAFTEWDDTSVGRVGPPLPCCYVKLVSWEEGGYKISDSPMPRGEVVVGGHSITKGYFNNEAKTNEVYKVDERGIRWFYTGDIGQFHPDGCLEIIDRKKDIVKLQHGEYALEKWAQNSGINHKGFEELCQNDQAVKEVQQSLSKAAKAARLEKFEVPAKIVLLPEPWTPESGLVTAALKLKREQIKTKFKDDLDKLYH >Sspon.07G0008040-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:21779630:21781768:-1 gene:Sspon.07G0008040-1A transcript:Sspon.07G0008040-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATDAAVADEDGSLKKTKQGGFKTMPFILGDCPCFHRSFLSSDRNSSTFPSLFLSIYILLRTTDANSGHACPSCSCTSANEICDRFATAGFNANMITYLTQQLHLPLVEASNLLTNFSGTAAFTPVLGAIIADSCAGRFWTIAGGGALYQLGMLGLVVSALVPALRPASCVAGAGAPATATASSLCQRASGGQLAMLYVSLLLTALGGGGIRPCVVAFGADQFGFSLRGRRPGGEQKWSYFNLYFFSMGLAVLLALTVVVYIQENVGWGWGFGIPAIAMFVSVLSFVVGYPLYVKVKPQGSPFKRLLQVVVAAFKKRKESVPEDFGLLYQNKELDAPIAADGRLLHTDQLRQVPTIDFFRHWFLDRASVLTTGDVSDSGEPDLWRVSTVHRVEELKSIVRMLPLWAASITLVAAASHNFTFAIQQARTMDRHLTPRFQIPPATMIIFTTLTMLVSLSLYDRVFVPVARRYTGRRSGITYFQRMGAGFAVSVLGVLAGALVETRRRRVAAEHGLLDSPSAVVPISVFWLVPQYALHGMSDALSTVGHMEFLYDQSPESMRSSAAALFWVAGSIGNYLGTVLVTVVQSATRGVWLQDNINRGRLDYYYWLVTFLLVLNLFYYIVCFHFYTLKTFEVDAGRINGGGEEQVGERQAEAMSRLEACSDSV >Sspon.03G0013560-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:50841854:50844891:-1 gene:Sspon.03G0013560-2B transcript:Sspon.03G0013560-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKHGFLFLLTLFLQHHYASASFIDQPRRSIASTAEWQPLHCNDVSINPSCGSFLYVTPQGRNLSEIVSVFNGNASLIQTIKRLSGSEDLLMGVACKCQAISNTTTAFFHDTQYKVESDDTPDKVKSNTFSGLAMNVGDGLQLTPGNTIMVHLPCGCSSTASEGVLSYSVQEEDTLITIASLFHSSPQDILNLNPSVTNPDFIKPGWILFIPMGVAGSSKKRVRSMTIIIAASISAAILFFCVFTVILRLRRRSSQQNVEAPEIKMERAPSNTSIAAWRAILTHSKQRGLLKVVGDATANFDEKRKIGEGGYGSVYLGFIGAHEIAIKKMKASRSKEFFAELKALCKVHHINVVELIGYAAGDDHLYLVYEYVQNGSLSEHLHDPLLKGHQPLSWTARTQIALDAARGIEYIHDHTKACYVHRDIKTSNILLDNGLRAKVADFGLVKLVERSDEEECMATRLVGTPGYLPPESVLELHMTTKSDVYAFGVVLAELITGLRALIRDNKEVNKMKSIISIMRKVFKSEDLESSLETVIDPNLKDSYPIEEVCKMANVSMWCLSEDPLNRPEMRDIMPTLCQIHLTSIEWEASLGGDGEVFSGVSYGR >Sspon.07G0012570-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:43219612:43220139:1 gene:Sspon.07G0012570-4D transcript:Sspon.07G0012570-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGCDCIEPLWQADDLLMKYQYISDFFIALAYFSIPLELIYFVQKSAFFPYRWVLIQFGAFIVLCGATHLINMWTFTTHTRTIAVVLTVAKVATAVVSCITALMLVHIIPDLLSVKLRERFLKAKAEELDREMGIIRTQEETGRHVHMLTHEIRSTLDRHTILRTTLVEMGELLV >Sspon.05G0026400-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:30019161:30021111:1 gene:Sspon.05G0026400-2C transcript:Sspon.05G0026400-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGFVTAVPNQVYRLLTTHTPQFLGLELPQSGRNYTSGSGEGVIIGVLDGGVDPFHPSFSGDGMPPPPANSACNNKLIGARSFKSSDPSPLDRDGHGTHTSSTAAGAVVPGAQVLGQGAGTASGMAPRAHVAMYKVCSDHECSNADILAGIDAAVSDGCDVISMSLGGETRPFYTDSIAIGTFAAVEKGIFVSLAAGNAGPAASTLSNDAPWMVTVAAGTMDRLIAAQVRLGNGLTFDGESVFQPNISTTVTYPLVYAGASSTPNASFCSNGSLDGFDVKGKIVLCDRGNLVDRLDKGAEVKRAGGFGMIMANQFTDGYSTIADAHVLPASHVSYVAGVAIKKYINSTANPVAQIIFKGIMLGTSPAPAIASFSSRGPSIQNPGILKPDITGPGVSVLAAWPFQVGPPTLGPTFNFESSTSMSTPHLSGIAALIKSKYPDWSPAAIKSAIMTTADPDDKSRKQTVDEQYVPANLFATGAGQVNPDKALDPGLVYDIAPAEYIGLLCGLYTSKEVSVIARRSIDCSTITVIPDRMLNYPSITVTLPSTTNPTAPVVVSRTVKNVGEVPAVYYPHVDLPASVQVKVTPSSLQFTEANQAQNFTSTDAKIVEGSLRWVSDKHTVRSPIPISFA >Sspon.07G0014650-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:51665278:51667043:1 gene:Sspon.07G0014650-3D transcript:Sspon.07G0014650-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQQAAHTHPDEAALPTTTPPAATTAASSSSSSSSSRLFTAWLVASWYASNIGVLLLNKYLLSVYGFRFPILLTACHMTACTLLSALVHHHRSSSSSSSSRSRAQLARVAVLGAVFCASVVAGNVSLRHLPVSFNQAVGATTPFFTALLAYAVAGRREACATYAALVPVVAGVVIATGGEPSFHLFGFIMCVAATAGRALKSVLQGILLSSEEEKMDSMDLLRYMAPVAVLLLVPATLAMERDAFGVVADLAREDPSFLWILLCNSCLAYFVNLTNFLVTKHTSALTLQVLGNAKGAVAVVVSILIFRNPVTVVGMMGYGVTVAGVVLYGEAKKRSK >Sspon.05G0018820-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:82691440:82692753:-1 gene:Sspon.05G0018820-2B transcript:Sspon.05G0018820-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGNDLPPGNMLQGVPYDTSELRGSSMQKHAPNSGKQIFSSSQMPGTFTMSMTRATEPDDFPGFQFIEHGKSDDHHHQHHSHHSKNCKSDGEEHDVAEDATDTPSTKGKKGSAWHRMKWTDSMVKLLITAVSYTGDDHGADSGGGRRNFTIMQKKGKWKAISKVMGERGCHVSPQQCEDKFNDLNKRYKRLTDILDADEDDQSADSDYEENDEEQHPVHTNMREPSTHKRKHHSDVALVTSSSHEGSERSDDPHGVTVDINKAFTDATNMVLLQQDLASQAIEIQKRRLQIEAKELELTKQRLKWERFRKKKDREIEKMALENEYMMIENKRLELELRHKELELDLKLKGQGNHP >Sspon.08G0023130-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8B:52939946:52941430:-1 gene:Sspon.08G0023130-1B transcript:Sspon.08G0023130-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVPAAVREREEESLMHRLRLQLLRSATIVEEAEGRRVTNRGVLRQLRVLSDAMVRGHYVLDTVRYGAGGGDWREEEDGSKEVVPAFASSRFTPAKRARCESSSETTATAPPAHRRDASLQLQQMVRSLEAIIADAKELVVLLTACPPVSCRQPYSAHLFLDKCMFGRHAERDQVLEFLLQAEPPVPVTAVNPGTGVLPIVGPALIGKSTFVEHVCNDERVRGHFSLILLHAAAGSDGVGGLGTMASLRDNCATKHQSNTEDQRWLVVIELSGDVDDEAWNNLFYSCGRRCMPRGSKVILTSRSEKIERFGTTRAVRLKCLSTEAMWYFFKLSAFGSADPEEHPKLASLAMDMAVEARGSFMTANIMAAMVRADLSSRQLWCKVLATARHYMRKNLVLFGEYPDDLKAKDRPRRCWSMNKLLKPNECFLIYETYQKCSAQPQEHAHPDPEVTIMDMTSGRTQPRGRFEVLFWKSTLPPYFSYICPCEIRGTYAQ >Sspon.03G0040720-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:31920789:31922712:1 gene:Sspon.03G0040720-1C transcript:Sspon.03G0040720-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRCSLSLSPPLPSPASGTDVDLCLPARSSLLAGGSGASMHVSVPPPLGSVQSEAVGGHGRPWHGGGLWASNGAAHCLLHACSPCCLRGQSRIRMTGMVGALFISYSPLQGICTSFFHGLLLQIRAPSTSFHSIRPAYKNLDEIKFLKYANKHHHADKYHLLCLYDYF >Sspon.07G0004260-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7B:9679338:9681594:1 gene:Sspon.07G0004260-2B transcript:Sspon.07G0004260-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLPPEAAADVATLQQCACTVDATEEAQLRADAVSGRTDAMAASANTLLPADADATAAAASSGFVTVHAGSSTSRPSTPPPPPSAAVGASSGTWTPSLLGLDAWNAAATPGGLGTTLLDAPISSLSPAAAPFFPGCMGRRSKSRRWTDRDGEESNDDHPRPLFARRDRPPCLLCVPRFVWPLVWGVVERTPSRGLCEDSGSATGHALSLCTTCQPGRWMVGCLPINASARKDRSLPPTPIGGVRFYLDWKRDLCLPRLGLATALARTLRGFPHNSVANVSTASPSRT >Sspon.05G0017000-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:5A:70831098:70832099:-1 gene:Sspon.05G0017000-1A transcript:Sspon.05G0017000-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRVCRGWRSAAATPAFASARAQAGANEDLVYLMQFGNPSAADDGPKDDDGPANTPAYGVAVYNVTTGEWRRERGAPPVVPVFAQCAAVGTRLAVLGGWDPRTFEPVADVHVLDAATGRWRRGAPMRSARSFFACAEAGGKIYVAGGHDKHKNALKTAEAYDPAADAWDPLPDMSEERDECDGMATVAGDRFLAVSGYRTARQGGFERDAEWFDPAAGAWRRLERVRAPPSAAHVVVKGRVWCIEGNAVMEWMGMRRGWREVGPYPPGLKAGTARAVCVGGGEKVVVTGALDGEGGGGRHALWVFDVKTKSWTVVRPPPEFAGFVFSVASVRI >Sspon.04G0027090-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4C:57210108:57213212:-1 gene:Sspon.04G0027090-2C transcript:Sspon.04G0027090-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding METAKIVTTLLLNLALLSPCLYAATSTFNPIKSIGSMPIHHDYTRFAGVERHCQSVLSSAADAELKVDAGRGSRLMYQLSFMNGDWSQDAGQAPLLPFHGSYADPAVAAGPELLEAVPLASFRLTHMETAPRRGRGARAAFNVSGVLSLTIARNRSCSWSHMEMEPSPSPEFELRPGIARLQVLFQGVYTEMRSSPGGGGRDDGGGERMLCMVGDAVLPVRGSNSTDPWDWAKNRGGGTNLEPPVVSDGNILLVLRYPKTPSLTTRAVHGVMMSTNAKSDGAYFDTIRLVSQLSAGGYGSSYQFRQEEDAESSDVAWCTKDDSPFHEGDAMDMEQHLNSGDSLGDFIHDSQMMEVVPNWNCKGTDEFCSQLGPFVSTPPATSRALEDMAFTRPAIAVSMGFQSKPFGAGSMDGRAAATARVAAVFRYVPPWEHQPTAAKRTGMSSMTLSAEGVWIPSMGRVCMVGCLGVGVAKEACHYRVSLAVGTTMSMTRRGIIAGQITAMDGESYPPLFFQQRVDPRSYRPLLRRMSYSYTKVQQAQELLLRLRASEPAGFRNSFVARSLLSYPSIAAGDEMVSLADDLDLRFQCMAKPPFVPQWIEATFFELQIVSIGTMVGRNSYRQSQTQSMPRIELLSRVHGVEKQHIYHKKKKQHILLNVSAEFMAYTNTNCLRPSPVMSLEGVYNPEDGRMYLIGCRNVDAPQRVLATIRDLEDGMDCSIEVTVEYPPTTTRWLISREAKVFIASTRDDDDPLHFNRTELHTLPVIYRDQRQNELTEPIVEGLLCVTMLSATIAATISQLRYIKSHADVAPYISLAMLGVQALGYSATLVADAKMLPAWPSQRFGRPYPHHLGWNILDCSVKALTLAALAVTARLAQKVWRSRARARARAPLEPDRVPDDTVVLLYSFGVHWLSTYGTSATTLPPWVVYDEAQGTVMERYVGVVKEWFLLPQVIGNAVWRVNCKPLAGGYYAGVTAAWLLPRIYGYLRPPVVKMYRDTDDDVMDFYYKASTVVIPVVGVLFAMVVYVQQRWNYKII >Sspon.04G0015540-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:67035448:67040696:1 gene:Sspon.04G0015540-4D transcript:Sspon.04G0015540-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGAAVQAVEPRPAAAATTTAASPAATAQPAAAAAAAMGCGGPARAAGTAAATMEHVLLALRETEAEREARIRGVFGFFDAAGRSHLDHAQIVAGLVALRVPEGTTGSAAAEDYARALLRACDRDRDGRVGYDDFRRYMDEKELELYRIFQAIDVEHNGCILPEELWHALVKAGIEIDDEELARFVEHVDKDNDGIITFEEWRDFLLLYPHEATIENIYHHWERVCLVDIGEQAAIPEGISKHVSASKYLIAGGIAGATSRTATAPLDRLKVNMQVQTNCTTVLDAVKGIWREGALLGFFRGNGLNVVKVAPESAIRFYTYEMLKEYIMKSKGENKSDIGTSGRLMAGGLAGAIAQTAIYPIDLVKTRLQTYEGHRIPSLGALSRDIWIHEGPRAFYRGLVPSLLGMVPYAGIDLTVYETLKEMSKTYVLKDNDPGPLVQLGCGTVSGALGATCVYPLQVIRTRMQAQPANSKDPYRGMTDCFRRTLRHEGVSGFYKGLVPNLLKVVPAASITYLVYETMKKMYRASPAPCT >Sspon.01G0023420-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:84486932:84492153:-1 gene:Sspon.01G0023420-1T transcript:Sspon.01G0023420-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQMTMPSFAVTSPSSHGGTLSALHARHSQRLHKRHVRSQVRAVTQAQLQYQKLGDSDLLISEVTLGTMTFGEQNTEKEAHDMLSYSFDKGVNILDTAEIYPLPFKKETQGRTDLYIGRWMQLKPRDKIILATKVAGYSERFTFLRDHAEVVRVDAANIKESVEKSLKRLSTDYIDLLQIHWPDRYVALFGEFGYNPTKWRPSIPFEEQLKAFQELIDEGKVRYIGVSNETSYGVMEFVHAAKAQGLPKIVSIQNCYSLLVRCCFEADLVEVCHPHNCNIGLLANSPLAGGVLTGKYLDDNTGISKGSRLNIFPGFMARYNASLAQEATNEYIRLAKKHGLTPVQLALGFVRDRPFTTSSIIGATTMDHLTENIDAFTSAPRPLPQEVLDGIDDLFKRYRDPAIL >Sspon.01G0027370-4D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:90589216:90591606:-1 gene:Sspon.01G0027370-4D transcript:Sspon.01G0027370-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GMSILTLSASVPMLMPPSCEGSFCPPASPFQYIVFFLGLYLIALGTGGIKPCVSSFGADQFDDTDPAERIQKGSFFNWFYFSINIGALISSSFLVWVQDNVGWGLGFGIPTVFMGLAIISFFSGTSLYRFQKPGGSPITRVCQVIVASLRKWNVPVPEDCSLLYELPNGVSTIEGSRQIEHTDELRCLDKAATVTEVDVKTADFNNPWRICTVTQVEELKILVRMFPVWATTIVFSAVYAQMSTMFVEQGMVLDPSLGSFKIPPASLSTFDTLSVIICVPMYDYIVVPIARRFTGNERGFTELQRMGIGLVISILAMSVAAVLEIKRLAVAREAHLVDQNVPVPLSIFWQIPQYFLIGLAEIFTFIGALEFFYDQSPDAMRSLCSALNLLTTAGGNYLSTFILTMVAYFTTRGGNPGWIPDNLNKGHLDYFFWLLAGLSFLNLVVYVICAGKYKGKKAA >Sspon.04G0032360-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:25593398:25595515:1 gene:Sspon.04G0032360-1C transcript:Sspon.04G0032360-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVGGTLGLLRSPVREEQAAAGGREREMVAGGREREMEAGRASERDCAAATEEASGGGGHGRGGTMTSQAPVMTS >Sspon.01G0034320-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:3295814:3297082:1 gene:Sspon.01G0034320-2C transcript:Sspon.01G0034320-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPLPRLVRAPLRHVPPRAAFSGRRSVPHGSDADDSSDAAASDAIVRLVAAGGGGLESDLDRLFLAALSHGLVSSALRALTDRGVPAERFFAWASSVSRGFSPGPRAHNLLVENAGRLGDSGAMSRALALMSERRLPLTDRAFAFLAPSSGSSRSGSVEDAARAVLRTLDGVGGPCRASGVFSLVKALASIGEFDAAVSMIEETARKVRYYNVLVAAKCKAGDFVGAREVFDEMRRSGSDPDANTWNYLLGCLLKNGRLAEGCGLVEAMERFKCNEIPNSLTYEILTYHACKAGKMDSAMQILDQMFSENLTPRITIHSAFIKGYFYAGRIEDACKYVSDMSTRDRHSVNRNYSLLAKLLRKSGRTVDAGRVLYELMEKGLRPDHSAYVKVAKDLHKMGRGDLASELKMMFQRFSVQADMGR >Sspon.07G0022560-1P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7C:6320510:6321171:1 gene:Sspon.07G0022560-1P transcript:Sspon.07G0022560-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDEGWLCPACDCKIDCIDLINDLQGSDLSIEDSWEKVFPEAAAMANGSKQDDAFDLPSDDSDDNDFDPNMPEEHVVSKEEGSSEDEEDEDGGSDSDDSDFLTCSDDSEPLMDKKKVDDLGLPSEDSEDDDYDPAGPDSDKDVEKKSSSDESDFSSDSDDFCKEIAKSGGHDEVSSPPLPDAKVGYGKEHCSG >Sspon.06G0030310-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:45173755:45177541:1 gene:Sspon.06G0030310-1C transcript:Sspon.06G0030310-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLPHGLLRRARAPSALPPRRHLSRLLDRYGFVAPDSLTPAPREPPSDTAAAKKRRAKKPPYRPPYTESTPASKPIGLREPKYSPFGPGRLDRPWTGLCAPAIDATLRDVEAEDPLPDAERGLEEARRRERESVLGEPLTPAERAFLVDKCQKNRTKRQINLGRDGLTHNMLNDIHNNWKTCEAVRIKCLGVPTVDMQNDKTGGLIIHRHGSLLILYRGRHYNPKKRPVIPLMLWKPAEPVYPRLIKTTIEGLTVEETKQMRKKGLQVPVLTKLAKNGYYASLVPMVRDAFLMDELVRIDCKGLPKSDYKKIGVKLRDLVPCVLVSFDKEQIIVWRGKEDGSLQDQTQKSFLSFIDSDGASVKDETSDQVSRGEEYGFLHLTLKLEALILGLANISGDGPFKMRY >Sspon.07G0026710-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:48732732:48735920:-1 gene:Sspon.07G0026710-2D transcript:Sspon.07G0026710-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AMAAVTNADVEVVDFDLDDDDLMDEDAGPDPAPAPAPASRLRSTIAGDDAPRRTKGRGFREDPNSSAPRDSRFGAGGRADLDSLGGPGPIPAIEGWIVLVTGVHEEAQEEDLQNAFQEFGQVKNLHLNLDRRTGFVQGYALIEYEKFEEAQAAIKELDGAELYKQTMSVDWAFSSGPAKRRNTRNRSPPRARSRTPPRRRH >Sspon.07G0017080-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:61546446:61549802:-1 gene:Sspon.07G0017080-1A transcript:Sspon.07G0017080-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAWNRSRAVNSDPEHSGRTSRPPLPRPDNGDNVRPVPLWEREFCRNAYDIPWETFCENKRFIEILFKNVMDWDDSGALKNFEDAKERFRAKYFGEPYEVPVLDPDIYIDEVDNHCKVDPELVAGLDKIAGLDLVADRGWGGMGNMTPMDWGTPIGNLIPPGWGQPVPNLKLTGWGEPANPTPVTAWGGQRNQIPEPMRSVGRQQQGQRGRKMEWRPVQRNKAPKDDPAA >Sspon.03G0011940-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3B:39774673:39775909:1 gene:Sspon.03G0011940-2B transcript:Sspon.03G0011940-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAPAPAPGVVVVFDFDRTIIDWDSDDWVITKLGAADAFQRLRPTMRWNPLMDRMMAELHARGTTPEDIRDCLRSAPLDAHVVSAVKTAAALGCDLKVVSDANTFFIETVLAHHGVLGCFSEIVTNPASVDADGRLRISPFHDSAAAPHGCSLCPDNMCKGKIIERIQATASDKKQHFIYIGDGKGDYCPSLKLGKGTMSCQRRTTPCGISSATTSSLSRLRFTRGIAARNWKRHYLS >Sspon.03G0016620-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:39394092:39404004:1 gene:Sspon.03G0016620-4D transcript:Sspon.03G0016620-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLLVGALLLCAAAAVEPKPPLDTLGIPPQDEAYYRGGVIKCRDGSGRFSRDQLNDDFCDCPDGTDEPGTSACPEAKFYCKNAGHTPVMIFSSRVNDGICDCCDGSDEYDSNVTCKNTCWEAGKAAREKLKKKVATYKNGVVIQKQEVERAKEAFAKDEAALAKLKGEEKMLQGLVDKLKEQKRLIEKAEEEERLRKEKEEKRIKEEAEKQAAVEKGAPDASRDVDSKETQDHVQEDENKVAEQHDGHATDHDNHVTESGASAEQHGSVSKDGITAEAGTVDELPQESAAPTLEKDLSSDNPEGLSREELGRMVASRWTGESVNEVSKDDKKGHEDEQEIPEPAEEALEDELEIPEPAEENYGGYHSEVEDDRHKYEDEEFDHESEDEYVDDHDDHVESYRSDDDQKGDHHSDLTEPGHASWLDKIQQTVQNVFQKFNFFKTPLDLSEASRVRKEYDDASSKLSKIQSKISSLAEKLKHDFGKDKEFYSFYDQCFETKEGKYTYKVCAYKKASQAEGHSSTNLGRWDKFEESYRVRLRCGLSNELNDVDEPSRCEYVAVLSTPAVCVEEKLKELQNKLDAMSSKQPGHDEL >Sspon.03G0042090-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:50454844:50458367:-1 gene:Sspon.03G0042090-1C transcript:Sspon.03G0042090-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKLAQLRAQAARAAEFASKHGGSYYKEVMEKNKQYVVQPPTVEKCQELSKQLFYTRLASLPGRYEAFWKELDSVKQVWKNRKDLKVEDLGIATLFGVELYAWTMRVVKLLLASTSIHALLLLVCPSVASGSSLLPLPAKRQSRRSHASLESARHAKKRLMDSTAISLFCSVSCTLRISSTLHLHIQQQIQSVATLAIG >Sspon.01G0003620-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:8180628:8185968:-1 gene:Sspon.01G0003620-4D transcript:Sspon.01G0003620-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSAEANGGAGAARVPVPPARPFLDTFRGNLKETFFPDDPFRGVVRERGAGRRTVAALRYFFPFLEWAPAYRLGTFKSDLIAGITIASLAIPQGISYAKLANLPPILGLYSSFVPPLVYALMGSSKDLAVGTVAVASLLIGSMLGREVSPTENPALYLHLAFTATFFAGVFQASLGLLRLGFIVDFLSHATIVGFMAGAATVVCLQQLKGMLGLEHFTTSTDVVSVMDSVFTQTHQWRWESVLLGCGFLFFLLLTRFISKRRPKLFWISAAAPLTSIILGSGLVYLTHAENHGIQVIGYLKKGLNPPSVTSLQFSPPYMMLALKTGIITGVIALAEGIAVGRSFAMFKNYNIDGNKEMIAIGTMNILGSFTSCYLTTGPFSRSAVNYNAGCKTAMSNVVMSLAVMVTLLFLTPLFHYTPLVVLSAIIISAMLGLIDYQAAIHLWQVDKVDFCVCVGAYLGVVFGSVEVGLVVAVSISLLRVLLFIARPRTTVLGNIPNSMVYRRMDQYAAAQTVPGVLVLRVDAPIYFANASYLRERISRWIDDEEERTKGKGEMGVQYVVLDMGAVGSIDTSGTSMLDELKKSLDRRGVQIVLANPGSEIMKKLDSSKVLEQIGHEWVFPTYD >Sspon.01G0053390-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:36039408:36042256:1 gene:Sspon.01G0053390-1C transcript:Sspon.01G0053390-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein COFACTOR ASSEMBLY OF COMPLEX C SUBUNIT B CCB2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G52110) UniProtKB/Swiss-Prot;Acc:Q9FJ81] MPVHARAPPLHRSSHLLPVAAARLRPCAAPAAKPCRRTRSSLVRASNSDPPQQQVNLSVLRFTLGTSPLLPFPPRIPGLDESYLPRWIGLGFGALVVLNHLLSPSPTPAQLVGPRQPTLHLPPSPFFTRNRPRLTGCARELAEVRGSGAVPGRVLGDAAVPRKGADAASRVPLPEGSNQVFVMSENLSAVQKEDMAWASYVLLRNTNTTSVVIAIGDLFCVRGYWNPPANTSKYAMIEWFKSQMQQVGLVDLKDALYFPNSSDSQLVKILPDGILSVLAQPILSSDPANNETKTEGVILLASNANYAYSEKDRAWIRTVANKFQHFAFAASCTK >Sspon.08G0010460-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:46057307:46063574:-1 gene:Sspon.08G0010460-1A transcript:Sspon.08G0010460-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEVSSSSSHQVPSRSNTRSGHETVVPVPVLTSHYLIPLPGPPIAFHQEGMCPRQTLTISMRPEGGGSCLKSTASSENTLQPSMSLASVATALAVVLACCITAGLPGAVAAGDTVSARRPLRGNDTVVSAQGKFELGLFSPGGSGRFYLGIWYKNVPEQTVIWVGNRVNPLSGVASTELRVSSSDGNLELVGLSPSSATPGVVWSSNLSSSSSVSSSPGSNVAVMRDNGNLVLLDGGNSSNVLWQSFDHPTDTLVPEAWLGEDKRTGEYQTLTSWRNAEDPAPGMFTNTVDRNGSSEFFYLWNGSRAYWRSGVWTGRVFANLPEAVNNVLFNQTYVETPAYRRVTSVLYDNATVTRMVMDLTGQTKQFIWVPGSQSWQFFWAAPTVQCDVYALCGAFGVCNQRSQPPCQCPPGFSPAADRDWGLSDWSAGCHRSLPLQCGGNGSTDGFLELPGMKLPDDSLSVAGAQSKAECELACLNNCSCQAYTFSGGGCAVWHDGFRNLQQLFPDDAGGGGSSSSSLYLRLSESELQHLRGANNGKKNRRRRLWLALGIVLACIAALGVSAVAAWILVSRRRRRAEMANHKSSSSLVVYSYGDLRSATSNFSERLGGGSFGSVYRGVLNGDGHTQVEVAVKKMEGLRQGDKQFRTEVNTLGLIQHVNLVRLLGFCSSGDEKLLVYEYMPNGSLDSYLFGTGSCPSWRDRYGVMVGIARGLAYLHEGCRERIIHCDIKPENILLDKGFTPKIADFGMAKLVGRDFSRALTTMRGTIGYLAPEWISGLPISAKADVYSFGMVLFEIISGRRNAEGHGAASDDRDGGDRESPSTFFPVWAAVRVAEGDTAAVADARLRGDVSENELERACRVACWCIQDQEAHRPAMAQVVQALEGVVDVDMPPVPRALQHLATLT >Sspon.01G0038410-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:29783244:29784725:-1 gene:Sspon.01G0038410-1B transcript:Sspon.01G0038410-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKAVPPQPKRASSCPPAYVMRPPTGARPDRRPEQIRAYVCPFSSSRPRSSGSGLWLRCVAESGADCQVCPVEYAVPLGNSDGDVVRPVGRSSSLSSWPTVATPSSSRMAAFTARFVIARCGGSESFRSKG >Sspon.02G0032290-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:119786937:119790016:-1 gene:Sspon.02G0032290-1A transcript:Sspon.02G0032290-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Xylulose kinase 2 [Source:Projected from Arabidopsis thaliana (AT5G49650) UniProtKB/Swiss-Prot;Acc:Q949W8] MSDDCCAMGGAGGDCCLLRLPDDSLFLGLDCSTHSPPPLPAAALASLDFTTALTSNQPTRSLKATVLDAGLGIVATDSVHFDSDLPHYGTRGGVLRDQDPDERGRIVSPPLMWAEALDLLLGRLRSRADLRRVAAVSGSAQQHGSVYWAKGAGAALAALDPAAGEGLAPQLALAGPESPVWMDSSTAAQCREVEAAMGGPLRLARLTGCRAHRRCTGPQIRKMHQTPAAGAMAPNLEERIGKLAPAHAVAGKIAPYFVQRFQFSSSCLVIQWSGDNPNSLAGLTLSNPGDLAISLGTSDTVFGVTNSPEPTLEGNIFPNPVDPKTYMVLLCYKNGSLTREGGKLGFYYKEHEILPPLPVGFHRYIVKNFTSGPLDEMVEEEVDKFDPPSEVRAIIEGQFMSMRGHAEQCGLPVPPKRIIATGGASSNPAILKIMASIFGCPVYTSQRS >Sspon.03G0006330-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:17429928:17435462:1 gene:Sspon.03G0006330-1A transcript:Sspon.03G0006330-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable lipid-A-disaccharide synthase, mitochondrial [Source:Projected from Arabidopsis thaliana (AT2G04560) UniProtKB/Swiss-Prot;Acc:F4IF99] MLLRWIAAEGRRRARPPRPLARALSYGRVVDAAARDGELRVFVVAGEVSGDSLASRLMASLRALSPVPVRFAGVGGELMCKEGLQSLFPMEEIAIMGMWELLPHIYSIKRKIEDTANAAMLFQPHAVVTVDSKGFSFRLLKQLKCKGRSNQKVQSPLHIHYVSPSFWAWKGGESRLSKLHNFVDHMLCILPFEEEICRLNGLPATYVGHPLLDDAIGLNMLLIVWSIHAHFLMKNTPLLGATIITMLPGSRMQEVVRMLPIFLHTVQNLRQTFNELSLVIPVAPHRHVRTYIEKVVQSGPFPVVLIPGGSLKERYDAFSASRAALCTSGTAVMELMLARLPCVVAYQAHFITECFIHLRKKINFISLPNILLNSPIVPEILFRACTAKNLAAKLSEVISNDQIRQMQIESADQVFKVLYEPIKKRDTLLREEIGYSGLSSNFLSPSTIAALTVLYMDKHQNTACRNLVV >Sspon.06G0000040-3D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6D:12474507:12476504:-1 gene:Sspon.06G0000040-3D transcript:Sspon.06G0000040-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSPPPPPPPPRPQIDHLLSQFPITPKPDPDGPTPAQTHVPVAARSALMLALTPELCNLLHRELAPSPDDDPFFARCLRQSQLRLEALAASLRPPSSSSSAAAAPLARLPPPPPSLPPQQELQVRLPQEPGAGGGSNPSSSKKRARAATEVVRATHLSPADHLRYRALVRRTRLTFEALRSTYQRQETSSGVRNRHDLRASSQMLSAGHWLHREVRIVGDIPGVLVGDAFYYRAEICVVGLHTAPQAGIGYIPGSLLDEGHPVATSIVSSGGYLDDEDTGDVLVYTGSGGRQRNRLDHHANQTLERGNLALHNSYLYGVEVRVIRGHDIDQGPHRKVYVYDGLYRVISSTFGPGKTGHDVCKFKLVRLPGQDDLASKTWHTAKLLKESMDARIRPPRYISLDLSKGAEVLRVPVCNKLDDDRSPLLFEYIAHPEFPMPPAHASVKQHRGCHCATGCGSKCRCERKNGGGPVYTEDDTLVMGRPVVYECGALCGCPMTCVNRVTQRGMKHRLEVIRSNETGWGVRALDLIQPGAFVCEYTGHVVVMDDQPGSALMEGRSIIDPRRFPERWREWGDASAVEPNMKRRQFTKLAGPGYVLDVSHKRNVACYISHSCTPNVFLQFVLRGNEDESFPHLMVFAMETIPPMRELSIDYGIDGEILELMGS >Sspon.01G0010730-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:29812926:29823576:-1 gene:Sspon.01G0010730-1A transcript:Sspon.01G0010730-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRDAHLGVEVEGDAKEKNAAADALAADGGGGHEGAGGAGSGSGGGRKLSLVPLIFLIFFEVAGGPYGAEPAVQAAGPLYALLGFLIFPFIWAIPEALVTAELSTAMPGNGGYVQWADRAFGPLPGSLMGTWKYVSAAINGAAFPALCADYLARVAPAVSEGRARVAAIVSFNVALTFVNYTGLTVVGWSAVGLGVASLSPFLVMSGAALPKIRPRRWRVVAGERDWKLFFNTLFWNLNYWDSVSTMAGEVDRPGKTLPKALVSAVSMTSLGYLLPLLAATGALDVAPDSWGNGFFADAAGAKAHLTRCRNVTNAGMIAGNWLKYWIEVGAVLSSIGLYSATLSSAAFQLLGMADLGLLPRVFAARAPVFRTPWLSIVATAAITLGMSFLSFDSIVAAANFLYSLGMLLEFAAFIWLRVKQPGMARPYRVPARLPAAVVLCLVPSAFLVFVMAIAGWKVYAISAAFTAAGVAVYYLMRFCKARGCLRFSDGGDHGTAAVYQQDGSNAGDAAAKMTTTQGALAGAGSDKASPLHSSASPAKSQDLDTGDPITAAAGSGPVAQARGPNKLTLFPLIFLIFFEVAGGPYGAEPAVQAAGPLFALLGFLVFPFVWAVPESLVTAELSTAMPGNGGYVVWVDRAFGPFAGSLMGTWKYVCSAFSAAAFPALCSDYLARVAPAVSHGGARVATIVTFNVALSFLNYTGLSVVGWSAVALGLASLSPFLVMSGVAVPKIRPHRWAVTAGEKDWKLFLNTLFWNLNYWDSVSTMAGEVDRPGKTFPKALLSAVCMASLGYLLPLMAGTGATDSPPEAWGNGYFADAAGTHTSTCGHTYSTHATRYSRIVILYSYPIIILARVTSEFFYITIVDLYIHAGLIAGKWLKYWIEVGAVLSSIGLYSASMSSAAYLLAGMADLGLLPPIFASHDRAFGTPWASIAVTGAIALGMSFSSFTSIVAASNFLYSLGMLLEFATFVWLRIRWPALPRPYRVPMGTVGVAAMCSVPSAFLVLVMAVAGWKVYVTSAAFTLAGAAVYYVVAFCKTWGCVKQSGRADVEGGCQGSHGDGDARPTSRHVHVLHCTGHFAIRSASKPSAHHREPDLLGCSHRDVPTTMNQGIHGSDNTSPLHSKAFPGKSETLPGGHPTHLPITGVAGTGSGSGHARSRNKLTLFPLIFLIFFEVAGGPYGAEPAVQAGGPLLALIGFFVFPFVWAVPESLVTAELSTAMPGNGGYVVWVDRAFGPFAGSLMGTWKYVCSAIGAAAFPALCSDYLTRVAPAVSRGGGRVATIVTFNVALTLLNYTGLSVVGWTAVALGLAALSPFLLMVGAALPKVRPRRWGATAGDKDWKLLLNTLFWNLNGWDSVSTMAGEVDRPGRTFPAALVSAVCIGSLGYVLPLMAATGAIDAPPEAWGDGYFADAAGLIAGKWLKYWTEVGAVVSSIGLYSSSMSSAAYLLAGMADLGHLPSLFAARAPAFDTPWVSITVTGAIALGMSFLSFDSIVAVTNFLYSLGMLLEFAAFVWLRVKRPDLSRPYRVPMGTAGVAVMCAVPSAFLVLVMAVAGWKVCMAGAAFTGAGVVVYYVMAFCKARGCFKFGHAEGREYQ >Sspon.03G0020740-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:83339886:83355385:1 gene:Sspon.03G0020740-2C transcript:Sspon.03G0020740-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLLRASPFSLLSRLKPRPSALHLRRLLPLSSTSTSSSVPAPQLRTLASAAATGAAATPPEEAAAPAAAGAKVERLQPLQWPPRDALCGELGAGDAGRRVRLCGWVALRRAHAGLTFLTLRDRSGMVQVTTLPEYPEVYNIVNKLRVESVVAVEGVIQPRPVDAINADMKTGAIEVVADRVLVLNSVTRSLPFPVTIADTVKEKFPEEIRLRFRVLDLRRPQMQSNLRLRHNVVKFIRRYLEDEHEFVEIETPVLSKSTPEGARDYLVPSRVQPGTFYALPQSPQLFKQMLMVSGFEKYYQIARNAALYGSYRCFRDEDLRADRQPEFTQLDMEIAFTSMEDMLKLNEDLMRHASDVFLGSSFKVFADTLENGGVIKALCVPGGATVFSNTDLKKGTVYTEASKAGAKGLPFLKVMENGELEGIGPLVSSLKPEKKEQLVELLDAKAGDLILFALGEQSSANRILGRLRLFIAHKLEVIDTSAHSVLWVTDFPMFEWNSDEQRYEALHHPFTAPNPEDMNDLPSARALAYDMVYNGVEIGGGSLRIYKSDVQQRIFEIIGISPEQAEEKFGYLLECFDMGAPPHGGIAYGLDRLVMLLAGESSIRDVIAFPKTTTAQCALTKAPSAVDPQQLKDLAFPKSTS >Sspon.07G0030760-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:13681573:13688814:1 gene:Sspon.07G0030760-2D transcript:Sspon.07G0030760-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADNALAVTFQPAFEGAVEWKGASLAVGRECAGHKFWVRVDDFIALSPWDSKKLIGKSRGKVMAASTATRRLLCNLRERYGTDVFRRILQSFCPSIYGHELVKAGITLALFGGVQKNSMDQNKVPVRGDIHVIVVGDPGQEPTPPSCSRCFPRGIYVCGNTTTKAGLTVAVVKDSMTNDYAFEAGAMVLADRGICCIDEFDKMFSDHQALLEAMEQQCVSVAKAGLVASLSARTSVLAAANPIGGHYDRAKTVNENLKMSAALLSRFDLVFILLDKPDESLDKRVSDHIIAAAAAILKDFYLKLRNRSTSADGTPITARQLESLVRLAEARARVDLRDEVTEEDAQDVVDIMKESLYDKYVDEHGYVDFARSGGMSQPKEAKRFLNALNKESELQQKDCFSKTELCTLADKINLRVPDLNALVEKINIEGYLIKKGSGMYQ >Sspon.03G0003570-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:18426446:18431033:1 gene:Sspon.03G0003570-2B transcript:Sspon.03G0003570-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRALLFLLHGVRRHGIRQMRTGWADGPEFVTQCPIRPGGSYKYRFTIEGQEGTLWWHAHSSWLRATVYGALIIRPRENKTYPFAKPSREVPVILVLVTETLDGGRSMAGEWWDANPIDVIREAQKTGGGPNVSDAFTINGQPGDLFKCSQKETTAIPVKAGETALLRFINAALNHELFVTIAQHKMTVVATDASYTKPFTTSVLMIAPGQTTDVLVTMDQAPTRYYVAARAYVSGQNVAFDNTTTTAIIEYDCGCASDFGPKIQPAFPALPAFNDTGAATAFAAGIKSPNRVKIHENVDEYLFFTVGLGLFNCKPGQLCKGPNNNTRFTASMNNVSFVFPKTDSLLRAHYYKIPDVFTTDFPAYPPVQFDYTAQNVSQALWQPVPGTKLYPLRFGSVVQVVLQDTSIVTPENHPIHIHGYDFFILAEGFGNFDPKKDVEKFNYVDPPQRNTVAVPVNGWAVIQFVADNPGVWLMHCHLDVHITWGLAMAFLVEDGYGELQSLEPPPVDLPISGRREEGDEPAGGVSIGRSARIIKEEVLWNAAGAVRGDDGAPDKQPGDDERSHGGEEEGEHRRDDKAEQRLAVGEGAAEEHDGLVGGAEDVEEAPGAEDAEEDEEGEGVRQERGREGEGDDGGVVDAEVAEVAAQPRGGLGEGVRAGEGRPVQQLRPRPPVRERAPRRLGQPAHEDAEGRRGERRVGEARGGGRRGAAGGSGVGHGEQRRR >Sspon.04G0033580-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:61192471:61202718:1 gene:Sspon.04G0033580-1C transcript:Sspon.04G0033580-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEREPMSPDDRPESSAAAAAQQQPQPLEWRFAQVFGERAAGEDVQEVDIISAIEFDKSGNHLATGDRGGRVVLFERTDISDNASRRELERQEYPVARHPEFRYKTEFQSHEPEFDYLKSLEIEEKINKIKWCQTANNALFLLSTNDKTIKYWKVQEKKVKRVSVMNLDASQSSDNGSTSSPGTSSCRALLPNGGCSEKMYSPNNNISFPPGGCASLRLPVVVTGQEFNHVARCRRVYAHAHDYHINSISNNSDGETFISADDLRINLWNLEISNQSFNIVDVKPANMEDLTEVITCAEFHPTHCNTLAYSSSKGTIRLIDLRQSALCDNHAKLFEEHEAPGSRSFFTEIIASVSDIKFARDGRHILSRDYMTLKLWDLNMDSGPVATFQVHEYLRPKLCDLYENDSIFDKFECCLSGDGLRVATGSYSNLFRVFGCSPESTEASTLEASRNPMRRQVVNPARPARTLTSLTRAVRRGGENTSIDANGNSYDLSTKLLHLAWHPTENSIACAAANSLYMYYA >Sspon.04G0003300-3P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:46540748:46543460:-1 gene:Sspon.04G0003300-3P transcript:Sspon.04G0003300-3P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGKEPIEVKFRLFDGTDIGPSKYDPNTTVAALKEFVLARWPQDKEIVPKTVNDVKLINAGRILENSKTLAESRVPVGEVPGSVITMHVVVRPPQSNKSDKQQSNSPKPNRCGCTIL >Sspon.01G0053890-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:44185498:44187930:-1 gene:Sspon.01G0053890-1C transcript:Sspon.01G0053890-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLARWNPPLPPAPLAAGAAAPTARPLARRRIPRRAATVVSPRAFGGADFDGFVRRAWRSANAGAERLAFEARQAAQRLDGRFSISRRLAEASRAARARAVEIDAELGIGRRWRSFSVDFSRNWPRYRREINDFMETPIGRALATIFFLWLALSGWLFRIFIFGTFVLPFAVPLLLGPLANRVAIEGTCPACKRRFVGYRNQVIRCMNCQNIVWQPNNSSSGGTRSSRSSEPDVIDVEYEEK >Sspon.05G0008820-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5A:26194864:26199303:1 gene:Sspon.05G0008820-1A transcript:Sspon.05G0008820-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGCVALSTEPYRLLSPAWLRCSSPVHLACASGEPTRATAVALRPAFQVFVGALPATRTHHTRIMARRGHLDGLTAQAPALMRHGSFAAGSLSSHSPLQSSSTLEMLESKLAMQTAEVEKLIMENQRLASSHVVLRQDIVDTEKEMQMIRTHLGEVQTETDLQIRDLLERIRLMEADIHSGDAVKKELHQVHMEAKRLITERQMLTLEIDNVTKELHKLSAPGDGKSLPELLAELDGLRKEHHNLRSQFEYEKNTNIKQVEQMRTMEMNLITMTNQAEKLRGDVANAERRAQAAAAQAAAHAAGAQVTASQPGTAQATAVSAAATDPYAGAYASYPSAYQQGAQAGAYQQGAQAGAYQQGTQAGAYQQGTQVGAYQQGTQAGAYQQGNQAGAYTYAYDAATAYAYAGYSGYPVAGYAQSAVPNYSYAVPPQPSSGAATDAASLYGAAGSAGYPTGQVQPSSVTANAAQPPPPPLPTAPYPSTYDQTRGAQR >Sspon.03G0046200-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3D:33621324:33621491:-1 gene:Sspon.03G0046200-1D transcript:Sspon.03G0046200-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding KVEWRKQKVLRCDQIKAIQEAFSGFLMDEVIDPKGAYYYDPKELLPSSHTTEDSS >Sspon.02G0048140-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:22041757:22042659:-1 gene:Sspon.02G0048140-1C transcript:Sspon.02G0048140-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding QDRKKADLARAASSSVLVLHSTVAVIVMASDADLGGAGRRTSAASLVVVVPPLPLRLLPILRGPSWRQWRRLLLVGSSDGDDLEPLLVRSGSGPQTPANHAHRGGDRGAARRTTGLATTPGRGDEDESKTRRTRARARGRTGLARARSPRAGATTPHLGGALTAPSRSGAGVRACRAAAPGRPRRGSGLPRADARDRCPP >Sspon.04G0006710-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:19130858:19134619:-1 gene:Sspon.04G0006710-1A transcript:Sspon.04G0006710-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKEEDVRLGANKFSERQPIGTAAQGAGDDKDYKEPPPAPLFEPGELKSWSFYRAGIAEFVATFLFLYITILTVMGVSKSTSKCATVGIQGIAWSFGGMIFALVYCTAGISGGHINPAVTFGLFLARKLSLTRAIFYIIMQCLGAICGAGVVKGFQQGLYMGNGGGANVVAPGYTKGDGLGAEIVGTFVLVYTVFSATDAKRNARDSHVPILAPLPIGFAVFLVHLATIPITGTGINPARSLGAAIIYNRDHAWSDHWIFWVGPFIGAALAAIYHQVIIRAIPFKSRS >Sspon.07G0002990-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7A:7390184:7390669:-1 gene:Sspon.07G0002990-1A transcript:Sspon.07G0002990-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRFLLCRIARIQVRFKKMCQSLTKLPKVTTPRELLLASMRVAAEKAKEAKSRVDVYAARSHGGRPMESILGACSTGYDNVVQTLEETQKIVAKQGTQVDMNTQLSDAVTSAGDCDNAFQDFPEMKDPFLAMQRNVWRLVDNVLNIAVVVKQSGDANAHGH >Sspon.08G0000180-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:1031409:1036376:-1 gene:Sspon.08G0000180-1A transcript:Sspon.08G0000180-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTWFRAASGAARLALRRSLASRASPAATRCGRGFHSTALRPRSAAPVPRAVPLSRLSDSFLDGTSSVYLEELQRAWEADPSSVDESWDNFFRNFVAQASPSAGVSGQTIQESMQLLLLVRAYQVNGHMMAKLDPLGLDDRAVPEDLHLGLYGFTDADLDREFFLGVWRMSGFLSENRPVLTLREILSKLQQAYCGPIGYEYMHIPDRDKCNWLREKIETAKLKEYNKDRRLVMLDRLIWSTQFENFLATKWATAKRFGLEGGETLIPGMKEMFDRAADLGVENIVIGMPHRGRLNVLGNVVRKPLSQIFSEFTGGTRPVEGEDGLYTGTGDVKYHLGTSYDRPTRGGNRIHLSLVANPSHLEAVDPVVIGKTRAKQFYSNDADRTKNMGILIHGDGSFAGQGVVYETLHLSALPNYTTGGTIHIVVNNQVAFTTDPRAGRSSQYCTDVAKALNVPIFHVNGDDLEAVVRVCELAAEWRQTFHSDVVVDLICYRRFGHNEIDEPSFTQPKMYQVIKNHPSSLKLYEQKLLGTGEVSKEDVQRIHDKVNRILNEEFAKSKDYVPNKRDWLSAYWTGFKSPEQISRVRNTGVKPEILKRVGQAITTLPENFKPHRAVKKIFDLRAAMIESGEGIDWAVAEALAFATLIVEGNHVRLSGQDVERGTFSHRHAVLHDQETGAKYCPLDHVAMNQNEELFTVSNSSLSEFAVLGFELGYSMENPNSLVLWEAQFGDFANGAQVMFDQFLSSGEAKWLRQTGLVVLLPHGYDGQGPEHSSARLERFLQMSDDNPFVIPEMEPTLRKQIQECNWQVVNVTTPANYFHLLRRQIHREFRKPLIVTAPKNLLRHKDCKSNLSEFDDVEGHLGFDKQGTRFKRLIKDRNDHKQVEEGINRLILCSGKVYYELDEERKKSERGDVAICRVEQLCPFPYDLVQRELKRYPNAEIVWCQEEPMNMGAYSYICPRLFTAMKALGRGSFEDIKYVGRAPSAATATGFLSVHVQEQSELVKKALQPEPIKFP >Sspon.05G0008780-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:17668002:17671940:-1 gene:Sspon.05G0008780-3C transcript:Sspon.05G0008780-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SWI/SNF complex subunit SWI3A [Source:Projected from Arabidopsis thaliana (AT2G47620) UniProtKB/Swiss-Prot;Acc:Q8W475] MSPPAAGAAATSGDGPPPSPRELYTIPASSGWFRWDGIHETERRALPEFFGGAGGAGFGTATRNPRIYREYRDFIIAKYREDPARRLTFTEVRRALVGDVTLLRKLFAFLDSSGLINFSASSSSSGPASRKQEVGVVVEAPVGLQVMPRPPASYFAEEKRGAPGGEKENGFRLPPLTSYSDVFGEWAPGKAPICGFCGEECNGAKVETLQDGFKVCSKCSKSNNDNNKEEANKCPGDKKDSMENHASSAWTDAETLLLLEGVLKHGDDWDLIAQHVRTKNKSECIARLIQLPFGEHMLGTINGKSVSRLHINQATDGKTNQHIMKESSSHTTEMADGMQIDGNEDSADKSVEEYPTKRRRLFSSMDATTSLMEQLALLTTTASPDVVAAAADAAIKVFSNENPQAMKAFRLSEREYKTKAFASNHIQQIDRKIGDKDVKMHGQPGSDKQEKKFIANAYQLRAAVATAVGVAAARAKMLADQEEREMELLMASVIETQLRKMQYKIKHFEELESVMDQEYTTIQQMKGSLMNEWLKVLEHAFRAGVSLPRDELLTKLFLN >Sspon.02G0031230-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:106316427:106326770:1 gene:Sspon.02G0031230-3C transcript:Sspon.02G0031230-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHAPLFSDMELELSLGVSPALAKGTVKHMLTCTRAGDGEGHELVLELGVRIAKGDELDNLKTSMQPEDMQEEDPYKGCPLPTASAETGSVNSYLQVEVPVRQTAKDTGGFGGRTKKKLRLSKEQYGFLEDSFTEHSTLTPTK >Sspon.07G0021300-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:2000879:2001184:-1 gene:Sspon.07G0021300-1B transcript:Sspon.07G0021300-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGGGSSSSSRAWTQRQNKQFECALAVYDRETPDRWHNIARYMGGTKSADEVRRHFEQLVHDVTQIEAGRVPFPRYVYGSAPPVAGGGLDDMATTRYTYI >Sspon.03G0016200-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:75266813:75268848:1 gene:Sspon.03G0016200-1T transcript:Sspon.03G0016200-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRHSCCYKQKLRKGLWSPEEDEKLMNHITKHGHGCWSTVPKLAGLQRCGKSCRLRWINYLRPDLKRGAFSQEEEDLIIELHAVLGNRWSQIATRLPGRTDNEIKNLWNSSIKKKLRQKGIDPNTHKPLAEVEHSKAAPTISTERTSESSDVDPSSGGALGNLSHLLSETAQSPELLPVLGKHRKETTSLAHLRVPPKELFLDQLVSGHENLTSCRSTGPIPNFPFHQLMCYNNEFGNKNGGSNNSFWFNQNESSGSTISTVMPPVSPSTLSTSTGLNRSPDNPHSGGTGLQNTQFYWETANPSSSSSRGSSGSNGLGFELQSTSSLLESSVFPWTDLTPDKNSQVHLEEELKWPDLLHGTFTDTPATMQNLSQSLYEDVIKAESQFNIEGLCAAWSQNLQPQQHLQVVSDMYDKDLQRINSWMNHLHIHGKAK >Sspon.08G0026960-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:28930442:28930813:-1 gene:Sspon.08G0026960-2D transcript:Sspon.08G0026960-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VMPLGTMNPCMQYCMMQQRFASLLVWPAQPYQTPMTMSSMMPPMMMPSMMPPMTMMPSMMSPMMMLSMMPLPQCHCDAISQIMQQQQLPFMFNPTAMAIPPMFLQQPSVGSAF >Sspon.07G0032150-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7C:46501077:46504784:1 gene:Sspon.07G0032150-1C transcript:Sspon.07G0032150-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MESEMAEESPAAAAARARPLEAVAEEGQEVAEQNPVAPVCSTKTMERVAAAKKFIEDHYRAQMKNLQERKERRLILEQQLASSQVPREEQINLIKDLERKETEYMRLKRHRICVDDFELLTIIGKGAYGQVQLCREKSTGNIYAMKKLKKTDMLVRGQARGELLAVEGDEQGGEQPAGEGNSPWGVGWPARRVAPSGSSAGGEERGRRGAGLAGRGAPSGSRSGGEERGRQMNFPSGARCSGARRRTSGSAVRAALRAGLDESSTVLRYSSRAACNFSRARRGFSARFAVAEKIGSAAGPPAYAPALGACAPQNRRRGAAERRLGDAPA >Sspon.04G0008700-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:25305276:25308655:1 gene:Sspon.04G0008700-1A transcript:Sspon.04G0008700-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NIC1 [Source:Projected from Arabidopsis thaliana (AT2G22570) UniProtKB/TrEMBL;Acc:A0A178VQ19] MGTEADAAAVDLLRSQILFQTDGEFVLPPRGVGLVLVDLCNGFCTVGAGNLAPVAPNKQISKMVEEAARLSKLFCDRNLPIFAFLDTHYPDKPEPPYPPHCIIGTGEENFVPDLEWLEKEPNVTIKRKSCIDGYISCIEKDGSSVFVDWVGKYQIKTVLVLGICTDICVLDFASSTLAARNIDRVPPLQDVVIYSEGCATYDLPVEIAMNIKGTLAHPQDLMHHIGLYMAKGRGAKVVDRVVI >Sspon.06G0024360-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:54065270:54068445:1 gene:Sspon.06G0024360-1B transcript:Sspon.06G0024360-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGNDNNRDLNWDRDRDRSSSRHHRDRHHERSSSRYRRDDRDFDRDRGRDRRHSGEKDQGREERERAQWHEERRDRERPKQRDADGEDKDGGRDRKRRRVSSHHHLRDTEPKAAPATRDQERGMGAEQKRLDDEMERRRIRVKDWQEKRREQQGATGAEAGGCAGAGKKWTLEGEESDEEEDDTDLGGSSVMDVDCNNGGNGTSGPTDVEEEEIDPLDAFMNTMVLPEVAKLESNPASVDGVREVAFSTGGKKGLKMAMGRIMQGDDLDSDDDGDGGAGLEDEDDVEFMKRVKKTKVEKLGVVDHSKIECQPFRKNFYIEAKDIREMQSEEVAVYRKELELKVHGKDVPKPIKTWLQSGQTSKLLDTIKKLGFEKPMPIQVQALPVIMSGRDCIGVAKTGSGKTLAFLLPMLRHVKDQPPVAPGDGPVGLIVAPTRELVVQIHSDIKKFSKVLGISCVAVYGGRMIDILYTSNGKITNLRRVTFLVMDEADRMFDMGFEPQITRIIQNIRRDRQTVLFSATFPRQYGYQCLSLHGGKDQADRESTVPDFKSNVCSLLIATSVAARGLDVKELELVVNYDVPNHYEDYVHRVGRTGRAGRKGSAVTFISEEEERYAPDLVKALELSEQTVPEDLKALADRFMAKVKQGTERTHGTGYVGSGFKFNEEEDEAQKSTKKAQAREYGYEEDKSDSDFDEEEVHKAGDDLDMKAVSEPGANDEARLHALEALARIQRHAVPDHYEAELEINDFPQYARWRITHKDALGPIQEGGVAITIRGTYIAQGKIVGANERKLYLFIEGASESCVKRAKAELKRVLEDCVNQVKFSCWCMGTRGKKVPVISFCYSYNGFHAAMVSLACYFQYSDCPRLWRCATLFPNIVIIRQLMFT >Sspon.01G0002020-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:5653353:5656390:-1 gene:Sspon.01G0002020-3D transcript:Sspon.01G0002020-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPLPPDPIEPCAPAPAMDAALPAAVVATILSRLDVRSLLLAAAACRGLRACASHALAFLPSFHLLEVALTHELLRPLLPLNPSLRSLRLDCARLEDAAIACLARPGLHELLLLNCDNISGRLLCELGTTCRDLRVLSLSSLGARRGLVVNFSDLQELLNGCSQLESLRLALDFSTFDDPNFGHVWASASESLSSLEIGYIPMTMLLELLAAVTEVQQCMDYVKAPVFFPSLQKLCLAVDFITDHLIGSISVALPSLTHLDLQDAPIVEPNSSSDLTNAGLQQINPHGKLKHISLMRSQEFLVTSFRRVNDLGILLMADRCSNLESVCLGGFSRVTDTGFRAIIHSCSGLHKLRVSHGSHLTDLVFHDIIATSLCLTHVSLRWCKLLTNVGIERLSCNKDLNVLDLRDCRSLGDEAVRALSCLPKLQTLTLDGTDISDQSLKYLGLGTCPLTSLSLRGCRKLTNDCITLLFAGPVKQSLQVLDLSRIPSITDDGIMLLARSRTPLIELRMRENPKIGDASVMALASMQLDGGTYGSSLQLLDLFDCGGITPLATRWFKKPYFPRLRWLGLTGSLNRVMVDALSRSRPFLHMACRGEELGTMLWDTSSDWNRHNDDDLDELEQWLLEGEPVSDDDTIMEE >Sspon.01G0044900-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:86062472:86075527:1 gene:Sspon.01G0044900-1B transcript:Sspon.01G0044900-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRQREPPPSGPRLRLRPRAARVGDGRSPTAGGGWLGGRGGRSREESSCGGGVRALAAAWCRRAMAVGAAAAAGAGPGAGGGGGGRGDWRDGAARAGPGCCREQGLVLAALLGLSAFFSMAETSITTLWPWKVRELAEKEPENGVFKMLRNDVTRFLTTILIGTTVVNIGATAIVTEAATAMFGEAGVSAATGVMTVAILLLTEITPKSVAVHNATEVARFVVRPVAWLSLILYPVGRIATFLSMGMLKILGLKGRSEPYVTEDELKLMLRGAELSGAIAEDEQDMIENVLEIKDTQVREVMTPLVDVVAIDAIATLIDFKKLWETHQYSRVPVFEERIDNIVGIAYAMDMLEYVEEVEKLNEITVKKIAHMPTYFVPDSMSVWNLLREFRIRQVHMAVVLNEYGGTIG >Sspon.01G0040380-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:36571047:36573505:-1 gene:Sspon.01G0040380-3D transcript:Sspon.01G0040380-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MELSTTTTVLLGLMLILVSFLGHKHKARARSMNRRPPGPWALPFVGCIHHLLTSQPQAALRDLAQKHGPVMYLKLGQVDTIVISSPMAAQEALREKDLSLASRPSLLGSEIICYGNRDIAFSPYGDYWRSLRKMCTIELLNASKVRQFAAIRDSETMSLVREIRRTAATGEPVNLGSLLLSCSNSITGRAAFGNSCSRELKEDFLSAISVAISNISGFCISDLFPSLRFVDALTGTKRRILRAHQQLEDVFGRIISDGEARREERKGTAAGEDDDLLSVMLRIRDEGQFEIPINNTNIKAVILDIFTGGTETTSSVAEWLMAELMRNPDAMQKVQEEVRQAFSHKSPDEHESQMDKLHYFKTVIKETLRLYPPLPLLLPRQCRETCDIGGYEVPKGSRVIVNVWAIARSPAHWDNADKFMPERCEHDSTAGYYKATPTQFEYLPFGHGRRICPGIGFGLSTLEILVARLLYYFDWSLPDGMQAEDLDMDMTVGASARRTNKLHLVASPYQVPM >Sspon.08G0003040-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:6522909:6526692:1 gene:Sspon.08G0003040-3D transcript:Sspon.08G0003040-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNWWRAAVTWLEGTTAGGRAGGGGVGVELRGELAVLRGHVAWRGFLAGPGSDGVPLLASSLARFWPGDEAAAAAEDEGTRRPEEVELMATGAGGERRLGAEGAASREHAREEWSFFAAWASAQQLKRSLARWTDGFDGPSFWAADTTTPDPDPRGTLARQPICGGGARWGNVSSQRNRSDPPTRPSAIPNQARARGLQEHGCTADGAGGETEAQKRPKKRGETRVGEEPSRPAPEQGRKNKLPRHAPRRVRSPPPAPPLPPPPQPQPSPSPEIRYRGVRRRPSGRYAAEIRDPAKKTPIWLGTFDSAEAAARAYDAAARSLRGPTARTNFPSAAAPAPRHRAPSASAAAATAATSSHSSTVESWSGGAPRAAALPRSAAAPMEEDDDEDCHSYCGSSSSVLCEDACGDDAAASRAPLPFDLNLPPPFDAAAEADQMGARYDTLLRL >Sspon.05G0005700-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:19936529:19938432:1 gene:Sspon.05G0005700-4D transcript:Sspon.05G0005700-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable pectinesterase 68 [Source:Projected from Arabidopsis thaliana (AT5G47500) UniProtKB/Swiss-Prot;Acc:Q8LPF3] MARILLLLLVTIASLLPPIASQQAATKCEYKRHSGHRYKHPVGVRKIVVDASGAGDFVSIQQAVDSVPEGNTMRVIMQINAGTYIEKVVVPASKPYVTFQGAGRDVTVLEWHDRASDRGPDGQPLRTYNTASVTILANYFNAKNISFKNTAPAPMPGTQGGQAVAFRISGDKAFFFGCGFYGAQDTLCDDAGRHYFRDCYIEGSIDFVFGNARSLYKDCELHSTAQRFGSVAAHGRRDPCERTGFAFVNCRVTGTGRLYVGRAMGQYSRIVYAYTYFDSVIAPGGWDDWDHTSNKSMTAFFGMYRNWGPGVDAVHGVSWARELDYFAARPFLGKSFVNGYHWLTPDV >Sspon.01G0048030-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:107909360:107913243:-1 gene:Sspon.01G0048030-2P transcript:Sspon.01G0048030-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMPALLLLAAAAAAMMLSPADARIPGVYGGGGWQSAHATFYGGSDASGTMGGACGYGNLYSQGYGVNNAALSTALFNEGLSCGACFELKCENQPGWRWCRPGSPSILVTATNFCPPNYALPSDDGGWCNPPRPHFDLAMPMFLHIAEYRAGIVPVSYRRVPCRKSGGVRFTINGFRYFNLVLITNVAGAGDIVRASVKASSTGWLPMSRNWGQNWQSNAILVGQALSFRVTGSDRRTSTSWNAAPRNWQFGQTFEGKNFRV >Sspon.01G0024160-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:96906491:96908819:1 gene:Sspon.01G0024160-3C transcript:Sspon.01G0024160-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPQSFLIALAVVLSFAGPAVVVVVGQPYIPLGPYCSTTGNFTTASDYQVNLGDLMSSLPQSAIANRGFDKGSSGQAPDEVFGLIMCYADRNWTQCQNCLRAAAAGVQQICPFSREMKACYDACVVQYSNVSFFSVADLTVAFYVWANAFVTDMASMNATRWSLMTRLAEEAAGNGNSLRLANGSQAYTDSQSSSHVMYGLAQCTRDLNGSECARCLAKFVAELSSSRPNNTYGTVKGYSCYVAYNIDESLGITIPPETAAPPRPPPSPTTVQVRPPAIIFFLLRRRRRRKARERQLDVFDDEPLDDESFEKGTGPRRFRYSELAMATSFFSEKEKLGEGGFGILDAADARLDREQLDGEEMERVMVTALWCAHPDRALRPSIRQAVNVLLLEAPLPCLPANMLVATFLPQFNRLQAESGAVTGSSSGSGGTTRSSIATEASSLLR >Sspon.07G0033360-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7C:59678748:59680048:-1 gene:Sspon.07G0033360-1C transcript:Sspon.07G0033360-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRQWMYNADRRSLEFIRGVHDFLNVAKADMQNGFMCCPCALCKNEKDYSCQRKIHEHQFISGFMPNYICWTKHGEIGVIMEKDDEQEGDEEEGEDDEEEGPGSRLSYPHGAQIRHHRPRRRLDPDPSSSGSSPERLVLSSASSSPLGFAASPHQPRRPCLVTPARPRLNPAASLATVCRHRPRLSSPRWPHLITPAVLATVPPPRGLASPRHRHISSRRPVGLSSIATHCTVLAIATTPSSSSPHWPTSLRPTGSTRRHPPCPRPRQSGG >Sspon.02G0017350-1P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:50188042:50189254:-1 gene:Sspon.02G0017350-1P transcript:Sspon.02G0017350-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding TQVLLIGGSTGTMLEALDVVGDENTSIENYEDNNGYMPPSYEEGTSSGGGLRMKLKQFHKSTTSFTALDKNYLTPFFTSQTDDDDDDFSE >Sspon.03G0001180-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:3060135:3065005:1 gene:Sspon.03G0001180-1A transcript:Sspon.03G0001180-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATAPAFTGNLKKALAGLRRINLDGLRWRVFDAKGQVLGRLASQIAVVLQGKDKPTYAPHVENGDMCIVLNAKDISVTGRKMTDKIYYWHTGYVGHLKERRLKDQMEKDPTEVIRKAVLRMLPRNRLRDDRDRKLRIFSGNEHPFHDRPLEPFVMPPRQVREMRPRARRAMLRAQKKEQANRAKEEDAKKAAAEVTA >Sspon.04G0010660-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4A:32603565:32604659:-1 gene:Sspon.04G0010660-1A transcript:Sspon.04G0010660-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDPVTLATGITYDRASIERWLFTDGHATCPVTRRALAPAEMDATPNHTLRRLIQAWCAAHQVERFPTPRPPLDSCRVAALLDEGRHGGDSQAAAALREIKAVVAESERNRRCVEATPGAVDFLASLVTKHSSKRADSQQDAADDFVLDSPTSTSSPAEDALGVLYSLKPSERSLAQIMERDADFLDALASVLRRPSYRSRSYGILLLKGMTAVMTPARLMTVSAGLVQEVVRVVSDRVSSKAVRAALHVLCRLCPWGRNRVKAVEAGAVTALVELLLDEGGRRVTELAVVAIDHLCGCAEGRSDLVAHPAGLAVVSKKVMRVSAAATESAMRALHAVARHSPTPSVLQEMLAVGVVAKLLLVLQ >Sspon.03G0034710-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3B:76110386:76111958:1 gene:Sspon.03G0034710-1B transcript:Sspon.03G0034710-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLHIYKPQVYLSICNWNSYIGIEVSRYCQAFGTSTTILHAQVALRGTSPWWRVETTRLQQMALISKYGKSTTPHSALIRRRTASLERSGVCFVEGQPLGEESSSRREPDEESHHEAQEEDLYRERSQASPENQNTTSSERSGPSLRRESDGLGGEEPRRPMRRTGAMPRERGTTLGGPHRDGGGGAASDANG >Sspon.07G0002870-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:6364051:6370166:1 gene:Sspon.07G0002870-2B transcript:Sspon.07G0002870-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLQEKTTPTHQGKEKKKGSAKKKERGRKRTSSVLDSTNGTVISEEMPEYNLDEPTMEEKLATLNLINRENGMSDTEKQSLSMAPPSADSVHILLKQALRADDNVALLTCLYNRDDKVITKSISLLTPADVVKLLKFFVLQIQSRGAVLVCLLPWLQTLLNRHMSSIVSQEFSLSLLNSLYQLIDARTSTFKSALQLSTTLDYLFSEIADDEADEEVSPPIIYEDKDTDDEESEVDAMETDREEAEELGDVTDASENSDGSEIMSD >Sspon.01G0060210-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:75013654:75014701:1 gene:Sspon.01G0060210-1D transcript:Sspon.01G0060210-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTTPHVDEPTVIGRDDDKNNIVKMLLSMDGSHAEKLYQFFLSLEWGRKTTLAQLVYNDRRITKQFDLMGWIHVSENFDLKNIMSKIIMSFTRKPCQITELDQLEYMLMDQVAGRNQRKDLWDALLSPMSTAQLGAILVTTRNVNVSSVIRQCSLPCGLLTFDESWQIFKQMAFGHLDQNIEKAFEEIGRKIVQKCGACLWHVLRFEENEEKWSDILDSELWDLPTGEDTVLPALRLSYVRMPIDLKRCFILFTLFPKGHIFMKENVVYLWISLGILKQNNHRHLENIAINTLMTCCRELWFSEYKLMDDTIALPYMTFSLIWPNLSQVKTF >Sspon.07G0022080-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:5386211:5387923:-1 gene:Sspon.07G0022080-1T transcript:Sspon.07G0022080-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPATATVQRRRRRPKKFPYRPKPPPEPHPFLLHLKSLPSPVAAAASLLSAPRHLHDHPFAACVLYRLARARLFPLIPPLLTALRSLRVPLQPTAFAALIDHLGAASRPDAALHVFRAVPAFCSHSNATFHALLHSLVCNGRVDAARDMLPEAPKLGVRTNAVSYNIILKGVCHRDGFSGARVVVDEMLARGVRPTVVTFNTLVGSACREGELAAAERLVDEMAHRGVAPNAVTYALLMQGLCDTGRYDDAKKLLFDMEYRDCQPDVTNYGVLMSACGACGDAGGIRGLISDMRKRKLTPDDASYNVLIRCLCDAGRVDEAHRALVEMQLKDGTAPSAATYRVLLDGRCEAGDFDLGLRVFNAMLASGHCPMAPTFRSLVRGLGKDSKAEEACFVLEQMGQRGMRLDAQGWQSVASCVCSSISATEMNLVDHLFTLMKCKQKEKLKRLINETFLVGKDVQEIF >Sspon.02G0041940-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2C:83481501:83482037:-1 gene:Sspon.02G0041940-2C transcript:Sspon.02G0041940-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARAVTTVAASAVVLAVLLAGGASAQSSPSPSSQCTTVLVSLSPCLNYISGNESTAPATCCAQLGKVVQSDPQCLCVALSADPASLGLTVNRTRALGLPDACKVKTPDVSNCKGGAAAGAPVTSPGTTTTPTTGATGSKTTPTASTASVPGAAAASPRGSAGLVAGFVVAAVVVAGFA >Sspon.05G0009350-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:27001349:27002647:1 gene:Sspon.05G0009350-1A transcript:Sspon.05G0009350-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:MYB80 [Source:Projected from Arabidopsis thaliana (AT5G56110) UniProtKB/TrEMBL;Acc:A0A178UM75] MGRIPCCEKDSVKRGQWTPEEDNKLLSYITQYGTRNWRLIPKNAGLQRCGKSCRLRWTNYLRPDLKHGEFTDAEEQTIIKLHSVVGNRWSVIAAQLPGRTDNDVKNHWNTKLKKKLSGMGIDPVTHKSFSHLMAEIATTLAPPQVAHLAEAALGCFKDEMLHLLTKKRPTDFPSPAVPPDMAIAGGSGAGAAPCGFPAPPQTDDTIERIKLGLSRAIMSEPGAPPGKQQQQQPWAPADMTEGLAGMYATYNPAAHGQEEFRYDNGTVPEYVLGGGAGAGDADQGTSIWSHQSMYSGSSGTEAAPRPAAVLPEKGNDSVGSSGGGGGDEEADDVKDGGKGGSDMSGLFGSDCVLWDLPDELTNHMV >Sspon.05G0004450-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:16542809:16542985:1 gene:Sspon.05G0004450-2D transcript:Sspon.05G0004450-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLKNFSDVSRPISDTSKDRDKTHRALPIDSAMYTEKRRSNPTVGVVAVVGGDAHAIQ >Sspon.05G0036640-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:89181954:89184750:1 gene:Sspon.05G0036640-1C transcript:Sspon.05G0036640-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEARRRSSSTPSFPFCVSVDSDLDWVPLQLQLRFAPGTWALACSALSIPNPFHQARLREARSSCCFHSSELLLIS >Sspon.02G0057350-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2D:57120544:57122684:-1 gene:Sspon.02G0057350-1D transcript:Sspon.02G0057350-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VGIQATNASLRADRRCRQCLSGNPKTGGAGECDRRAADFATDAGAGTVAASIDEGFALARAGLRDRRWTASVGAAADASVDTRPHFAPLCIASVERQTLRENASDASNAHGHSTLLLVAKEICFPELCASIGVHAHRLSKIKVTHTNGDPSGESSLPMTDNMAT >Sspon.07G0010400-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:29360672:29362369:-1 gene:Sspon.07G0010400-2C transcript:Sspon.07G0010400-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AZG1 [Source:Projected from Arabidopsis thaliana (AT3G10960) UniProtKB/TrEMBL;Acc:A0A178VPT2] MANSIIPSTSSSGEHAAASPSATTKLGQLNAAVERSWVGRRFRLAARGTTFTTELRAGTATFLTMAYILAVNASILSDSGATCTVDDCDAPSPGCKFPPVDPGYAACVARARRDLIVATAASSVIGSFIMGAFANLPIALAPGMGTNAYFAYTVVGFHGSGTLSYRKALAAVFLEGLIFLLISIVGLRSKLAQFIPKPVRISASAGIGLFLAFIGLQSNEGVGLVGFSSSTLVTLGACPASQRASVAPVLTFPNGTVALMPGGTVSGGILCLSGRMTSPTFWLAVVGFLIIAFCLIKSVKGALIYGILFVTFVSWPRHTAVTAFPDTAAGDDSFHYFKKVFDVHRIQSTAGALDFSGIGHGYFWEALITFLYVDILDTTGSLYTMARFAGFVDDVTGEFEGQYFAFMSDATAIVFGSLLGTSPVTAFIESSTGIREGGRTGLAALTTAAYFTAALFITPVLASIPSWAVGPPLVLVGVMMMRAVAEVDWDDMRQAVPAFLTLALMPLTYSIAYGLVGGIASYILLHSWDWACQATRRLGCRKEIGGGAERTNGGGEEQRRDMESA >Sspon.02G0010180-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2A:28250463:28250770:-1 gene:Sspon.02G0010180-1A transcript:Sspon.02G0010180-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding YGDCRSDENFDVPACLPVRWTRRKAHEQAKANMMWEHEIKNANSDCTELTTVAELTPDDGDPRLKTTAPRRSRAVASALRGAKDSRDDGGANGKMERAKVE >Sspon.08G0024160-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:62447255:62453888:1 gene:Sspon.08G0024160-1B transcript:Sspon.08G0024160-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVLATMVVGPLVSMVKEKASSYLLDQYKVMEGMEEQHKLLKRKLPAILDVITDAEEQAAKNREGAKAWLEELRNVAYKANDVLDEFKYEALRRKAKAEGHYKEFGMDVIKLFPSHNRFVFRYRMANKLRMILQEIDVLVAEMNTFRFKFKPQPPMSLKWRQTDPCIPSHSMNIASESRAEDKKKIVAMEKFQSALSGKRYLLVLDDVWNREAGKWGKLRSYLQHGGTGSSVMTTTRDENVAQLMGTIEAHKIKGLDLNIIEEIIKQEAFGSQAKVPTEFKKLVGDVGKRCSGSPLAATALGSVLRNVENASREKGSLAIQTLICDESRIQHVQHLSKYCRAVRALKTQQYSFLKPKYLHHLRYLDLSLSYIEALPEDITILYHLQTLNLSNCKKLKQLPKAMKYMTALHHLYTHGCDMLKSMPPNLRHLTSLQTLTCFVLGTGSGCSNMGELKNLDLGGQLELSQLENATATDAKAANLWDKKRLEELTLRWAYYHNKETDKEVLEGLRPHDGLKAMRIYYCRSSGIPTWMLELQGMVELELRDCQNLKNLPALWQLASLQFLYLCYMQNLHCLFSGGAPAKFQKLKRMILLNMPKFETWWDTNEVQGEEPLFPEVEYLSIWNCESLTALPKASAVTQSSVKDDTECHSAFPALREMELRGLEKFHRWETVEGTLGEHVTFPQLEKLVIGECAGLTTFPEAPKLSTLVLYKCSEEASLLAAIRYITSLSSLSLEASHNSDYNKEENSIEVVVRDHESPSPLGDLELTGCNLFFSHSSAPALWNYFGQLSQLKICGCDDLVYWPEKVCQGLSLKSIAFGQQQETVLVSGGAGVVQQAETSSSLIAAGSSSNEDESTVSTAVVLKLSSSSASSNHCFFPCLESLEIEWCDGLIDVTNLPPSIKTLKISDCGSLVSLSGEVSSLEELIIIACARLESLPNGPHQVYSSLRVLKINYGGIKQLFPPSLHLQQRLNHLDEKDLDHHLQEQVELDSKPESMSDGASTSVVLKQSSPTRSNHCFFPCLESLEIRDCGGLTEVANLPLSIKTLKIWHCCSLVSLSGEVPSLEELIILNCYNLESLPNGPHQAYSSLRVLRIEYCSGIKQLPPSLQQCLDHLEEKDLDTHLLQANLAIKYSKVISGEEAKGEAYYEEGERSKAQSLGRKAI >Sspon.01G0047030-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:93263302:93264201:-1 gene:Sspon.01G0047030-1T transcript:Sspon.01G0047030-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQGWGSARGSSAAVLALVLLCVLLHGEFAESAVYTVGDRGGWTFNTANWPKGKRFRAGDVLVFRYNAKAHNVVPVSAAGYRSCSAPKGVRALTTGNDRVTLKRGANYFICSFPGHCQAGMKIAVTAA >Sspon.07G0015140-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:54227473:54238293:1 gene:Sspon.07G0015140-1A transcript:Sspon.07G0015140-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLLYTLLGSLLLLCSLQHAPLHSVIVAAAANGDTLAAGQTLAAGDKLISRNGKFALGFFQFQPPVPSSSISKSTDVTPPPLPAVFTPVWVANREKPITDAELKLTQLKILQDGNLAIILSGNATTTESIIWSTTTHFINRSTETSTNTTSAALRNNGNLALVAHKYPSSGSNEVLPLWQSFDYPTDVGIPGAKIGWNKVTGFKWMYISKKNLIDPGLGSYSLEIDTNGVLFLGRRNPPLVATWSWPPGNLADKLLTVLNGLLDSDPRTKGLFKPSYVNNNEEQYFTYTSLNESSSSFASLDISGQVKLNVWSQANQSWETIYAQPSDFCNTYAVCGPFTVCNGNSGPFCECMETFSPNSPQDWGLGDRTRGCARNTPLDCTTSNKNVTSSTDVFQPISRVTLPYDPRSIESATTQSQCAEACLSNCSCTAYSFNNSKCSIWHGELLNVNLDDGNAIISEDTLYLRLVATKDFQIFKKNDKIKPRAVIVASIASFGSLVLVILLMIWRNKFKCCAATLQEIQVSGGGIIAFRYIDLGHATKNFSERLGGGGFGSVFKGVLDNQTIIAVKRLDGVRQGEKQFRAEVSSIGLIQHINLVKLIGFCCEGDKRILVYEHMPNGSLDAHLFQSKVAVLSWSTRYQIAIGIARGLCYLHQSCRECIIHCDIKPENILLDASFAPKIADFGMAVFVGRDFSRVLTTFRGTAGYLAPEWLSGVAITPKVDVYSFGMVLLEIISGRRNAPEVSDSNNCHVAYFPVQAISKLHEGDMRSLVDPKLQGDFDLAEAERVCKVACWCIQDNEVHRPTMGEVVRCLEGLQELHMPPMPRLLEAITESCDVASHAPLHSVIVAAAANGDTLAAGQTLAAGDKLVSRNGKFALGFFQFQPPVPSSSISKSTDVTTTFSPGWYLGIWFNKIPVFTPVWVANREKPITDPELKLTQLKFLQDDNLAILLSENATTESIIWSTTHFINRSTETSTNTTSAILRNNGNLALVAHSNPSSDSNEAPLWQSFDHPTDVGIPGAKLGWNKVTGVKWQYISKKNLIDPGLGSYSLEIGSNGILRLGRRNPPLVATWSWPSGKLATLLPVLSGLLESDPRTKGLFKPTYIDNDEEEYFTYTSLNESSSSFVSLDISGQVKLNVWSESSQSWKTIYSQPADFCTTYAICGPFTVCNGNLGPNFCDCMETFSQKSPQDWELGDQTGGCVRNTPLDCTVSGKNTTRSTDVFHPIARVTLPNHPRSIEDATAQSDCAEVCLSDCSCTAYSFNNSRCSVWNGELLDVKQNDGLDNTSEDVVYLRLAAKDLESWRTRNKRKPSVGVIVIVSSIIVILVILMPLLLFMIWRKKLNWCCMPLHGIEGSGGGIIAFRYTDISHATKSFSERLGGGGFGSVFKGMLDDQTIIAVKKLDGVRQGEKQFRAEVSSIGLIQHINLVKLIGFCCEGDNRMLVYEHMTNGSLDAHLFQSNAVVLKWSTRYQIAIGIARGLCYLHQSCRDCIIHCDIKPENILLDASFVPKIADFGMAVFVGRDFSRVLTTFRGTAGYLAPEWLSGVAITPKADVYSFGMVLMEIISGRRNSPEVVHTSDNNPHAAYFPVHAVGKLHEGDVWSLVDPRLQGEFDLAEAERVCKLACWCIQEDEIQRPTMGEVVRCLECLQELEMPPMPRLLAAITKCSL >Sspon.01G0015040-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:44368790:44373258:1 gene:Sspon.01G0015040-3D transcript:Sspon.01G0015040-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAEIGGFTAAALRPAAHPAPAAAVAPPQPRRAVAAQSLRTTATETLTADLAGTTNGAVHAQMTSEATSEITSQAVISRRKTKIVCTIGPSTNTREMIWKLAETGMNVARLNMSHGDHQSHQKVIDLVKEYNTQNTDGNVIAIMLDTKGPEVRSGDVPEPIMLKEGQEFNFTIKRGVNTEDTVSVNYDDFINDVEIGDILLVDVSFVKDAKVIHELKDYLKGANADIHVIPKIESADSIPNLQSIIAASDGAMVARGDLGAELPIEDVPLLQAEIVQTCRSMEKPVIVATNMLESMIDHPTPTRAEVSDIAIAVREGADAIMLSGETAHGKYPLKAVKVMHTVALRTESSIYNPSTSPSLVASAQGLQNEEFSPSQLSKMFGSHATMMANTLRTPIIVFTQTGSMAVLLSHYRPSSTLFAFTNEERVKQRLALYQGVIPIHMQFSDDAEETFSRAISSLLKAQYVKKGDYVTLVQSGVTSIWREESTHHIQVRKVQV >Sspon.02G0010910-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:23832252:23836124:-1 gene:Sspon.02G0010910-3D transcript:Sspon.02G0010910-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proteasome subunit beta type [Source:Projected from Arabidopsis thaliana (AT3G60820) UniProtKB/TrEMBL;Acc:A0A178V6R9] MSRRGDWVYENNGGTCVAIAGADYCVVAADTRLSVGYSILTRDHSKICDLADKCVLASSGFQGDIKALHKNLAARELLYQHQHNKRMSCPAMAQLLSNTLYYKRFFPYYAFNVLGGLDSEGKGCVFTYDAVGSYERTGYSAQGTGSTLIMPVLDNQLKSPSPLLLPARDAVTPLSESEAIDLVKDVFASATERDIYTGDKLEIVVINKAGTKREYIELRKD >Sspon.04G0020170-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4A:70932811:70937244:1 gene:Sspon.04G0020170-1A transcript:Sspon.04G0020170-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPDGGGGGMVTMIPPDDHLRSRSRLAAVLAPLLLFLAAVLSFPSTLRLAAIPLPTPLQRPVLMTPHQPPRRSATTSTSTAPSQPPRVAVCLVGGARRFELTGPSIARHVLAGGAFAVPASAAAPGHNSSNQTAVDVFLHAPLDADAYKLSLLARAVAGTSNSSNNVSVAAVRVFRPEHLDVTTPPARAQVLTALNSPNGIQGLLQYFRLVEGCLDLIRDRESRGNFTYAAVLRTRLDGFWAAPLRLDDDDLLLPSASSTDYYVVPEGSRYSGLNDRLGYGGRAATEAALSRLSMLPQLAAAGYEALNSEAAFAAQLKVSGVAARERRLPFCVLSDRAYAFPPVPGYGVPVASVGSAGPLSGAKCRPCRPACVGACAERSVARLQSGWSWTEYRNGTVELCDASGPWEDGWEALFDAAAGDDAAR >Sspon.06G0012100-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:52800732:52804382:-1 gene:Sspon.06G0012100-2B transcript:Sspon.06G0012100-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDVKPAAAATTVTKAAAGDSPSPAATPAPTPAPAPVVANSNGTPQKPPPIPAAAFDMPKPNLRGLNKPKCIQCGNVARSASRLAALQKLPQHFLKSLQTKKSLTKKDVVGINKWRFMKLKEHMQGDIDAENEAYERYTQNVGLLEETFCPMEDADVEPEAEATSSEEERMDLLVSEAKVRLKSDNETADSFKERVATILDQKLKKLLDSQSANEDDKPSDPNQDDHPSPMKFSTKQKMERTVKLNELLGKLTRARSEDDLKPCRDLIEQLFGKENGASVDKPDRMETEPGDQEPTAAVARPYSIPKLCTRMEVDEDFALRINVEFSSLSQVVQL >Sspon.01G0042120-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:58315199:58315834:-1 gene:Sspon.01G0042120-3D transcript:Sspon.01G0042120-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AMGFFESEAFDGWKWWEVIAIGAAALLILGGIIAVLIIAFVVVYPPKATADDAVLQRFALAPGSPADNSTISYNLTATLSLRNPNMYRGIDYETMAVALSFNGTRFDESGKKVPAFLHKARKTATFRVTMGGASRSIKLSAEGVREFAAENVTGKFDVELKLDTVLQYKGRKAKCPLVVICPLKLQLVDPEVAATAYQKTKCTVLRAKKSGC >Sspon.01G0001430-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:4364275:4365334:1 gene:Sspon.01G0001430-4D transcript:Sspon.01G0001430-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVAPPPLQQHLSDSFSRGWLMKRGARAPSLERLVADADLGHSFGSSRSFSFIDMDPGELFSMRWTGTATAPPESDFDFGLIPGVGGAGSDPPSPVLVSASRVIRDGRLLPGDPSGLQERGAADPPLFRSAHSTPTSPSPSPSSCSSGRTRGGGASSWKILLQYLRFLGPLYRKVRFLRRFPAPRRRPRVAPASPARASTSSLEWCHGNADTAVRDAILYCKKSSGQDAYTTLT >Sspon.04G0020170-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4C:76202522:76207381:-1 gene:Sspon.04G0020170-2C transcript:Sspon.04G0020170-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPDGGGGGMVTMIPPDDHLRSRSRLAAVLAPLLLFLAAVLSFPSTLRLAAIPLPTPLQRPVLMTPHQPPRRSATTSPRVAVCLVGGARRFELTGPSIARHVLAGGAFAVPASAAAPGHNSSNQTAVDVFLHAPLDADAYKLSLLARAAAGTSNSSNNVSVAAVRVFRPERLDVTTPPARAQVLTALNSPNGIQGLLQYFRLVEGCLDLIRDRESRGNFTYAAVLRTRLDGFWTAPLRLDDADLLLPSASSTDYYVVPEGSRYGGLNDRLGYGGRAATEAALSRLSMLPQLAAAGYEALNSEAAFAAQLKVSGVAARERRLPFCVLSDRAYAFPPVPGYGVPVASVGSAGPLSGAKCRPCRPACVGACAERSVARLQSGWSWTEYRNGTVELCDASGPWEDGWEALFDAAAGDDAAR >Sspon.03G0033450-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3C:54340319:54340630:1 gene:Sspon.03G0033450-2C transcript:Sspon.03G0033450-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFARHNMPEPEVYVGHIIIADELSIEREIAFLKGRASAEDQQMVHQAPEILHRHLLIWVAAPHTEARGGFPEVAPSAGEHASADGLRRRQEAKDVFKESIKQ >Sspon.04G0034490-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4C:75540150:75540624:1 gene:Sspon.04G0034490-1C transcript:Sspon.04G0034490-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPIRPPRAQNAVTATNRSGQGRLRPPSIPRVKGLFGTNYQIPGHSTGRIRKIPPNFSRCP >Sspon.07G0030030-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:1453712:1459311:1 gene:Sspon.07G0030030-2D transcript:Sspon.07G0030030-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Adenine nucleotide transporter 1 [Source:Projected from Arabidopsis thaliana (AT4G01100) UniProtKB/TrEMBL;Acc:F4JHS4] MASEDVVGKSRGDTAVTTIVNLAEEAKLAREGVKAPGHQILTIGKSLVAGGVAGGVSRTAVAPLERLKILLQVQNPHSIKYNGTVQGLKYIWRTEGLRGLFKGNGTNCARIVPNSAVKFFSYEQASKGILWAYRQQTGEEDAQLTPLLRLGAGACAGIIAMSATYPMDMVPYVGLNFAVYESLKDWLLQTNPLGLANDNELHVVTRLGCGAVAGTIGQTVAYPLDVIRRRMQMVGWNHADSIITGKGKEALQYNGMIDAFRKTVRHEGVGALYKGLVPNSVKASSESEACPPPEPRRRGDDTAEFKWPSDLILCVRLMICGGLTTPIDLDFSIDLDFSGGPAPPCNLSPIAKRKMSTRSRMDRRLAC >Sspon.02G0008750-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:25139596:25141205:-1 gene:Sspon.02G0008750-1P transcript:Sspon.02G0008750-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSGVAGKWHEDPMFVGANVEHEGQEEFGFASDDGRITLPYDAVVMEYAMCLVRRDASEEVVRALLSSMVRPCHTVSGMAPSMELKQQLALCV >Sspon.08G0017630-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8B:2444490:2444879:1 gene:Sspon.08G0017630-1B transcript:Sspon.08G0017630-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALSCSIPSTDDGPAPRDHGAIILDRGGTLPHPLPTPAAAPFPISTALRACLSATGSRPRALDPCRADAAVAVLSMDVDELQLFGTGGSRPRYELGTKSVLLFSRSDHGEVKDDVHTYLILAPSATHD >Sspon.03G0006710-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:23352483:23356430:-1 gene:Sspon.03G0006710-3D transcript:Sspon.03G0006710-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEGSDPASLASAPPPLPLPKRQKIEPPRRTRPSQVTLDKDKSVASSNSSSIPGSLPARVDLNKVIEAKRFAVLQAQHEGCLGSYKSFDSLFGNYLFPVIPTNDFFDQAGTKP >Sspon.05G0016150-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:65741391:65741984:1 gene:Sspon.05G0016150-1A transcript:Sspon.05G0016150-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRSTVQVEAALCPSLPYHTMAKSKLSTVQLQLILISMALAAAAAATTHLQFYMHDIVTATAESPATGVRVARGTTPLPGDPNTYFGDIHVIDDPLTEVGRAQGSYPFADQQELAVIFSLNFVFTAGKHNGSYLVVNGKDAFFDEVRELAVIGGAGSFRGATGYALLTTHYFNSTTKNAVVKIDMHLRM >Sspon.02G0015860-3C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:46420067:46424264:1 gene:Sspon.02G0015860-3C transcript:Sspon.02G0015860-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWRPRLLCCLFLSLTLAGLARAATVHQEWEISYQFKSPDCVRKLAVTINGQTPGPTIRATQGDTVVVRVKNSLLTENVAIHWHGIRQIGTPWADGTEGVTQCPILPGDTFTYTFVVDRPGTYMYHAHYGMQRSAGLNGLIVVAAAPGGPDAEPFRYDGEHHVLLNDWWHKSTYEQATGLASVPFGWVGEPQSLLINGRGRFVNCSSMAAGACNATLPECAAPVFAVVPGKTYRFRIASVTSLSALNFEIEGHEMTVVEADGHYVKPFVVKNLNIYSGETYSVLIKADQDPNRNYWLASNVVSREPGTPTGTAVLSYYGGRSSPRKPPPTTPPTGPAWNDTTYRFRQSVATVAHPAHVEPPPPRADRVILLLNTQNKIDGHIKWALNNVSFTLPHTPYLVAMKSGLLGAFDQRPPPETYAHQGYDVYAPPPNPNTMVSDGLYRLRFGSVVDVVLQNANMLAANKSETHPWHLHGHDFWVLGYGIGRFDPAVHPASYNLKDPILKNTVAVHPYGWTALRFKADNPGVWAFHCHIESHFFMGMGIVFEEGVERVAKLPQQIM >Sspon.07G0025210-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:38930454:38936136:-1 gene:Sspon.07G0025210-1B transcript:Sspon.07G0025210-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DUF1620-containing and WD40-like repeat protein, Scaffold protein for assembly of the restoration of fertility comple [Source: Projected from Oryza sativa (Os05g0230600)] MIWETNLRTSVASNPQLHVMSNNKEAKDNLVLVSTGRWIYAVSSIDGAISWEKEFSIDGLEIKQILQSPENDVVYALGLAGSSKLALYHLSAKTGEMLKDIQQSLLGELCGETVPGSDNVLVALDKARSSLLLIEFKGERISYNKVHVSDLVQDLSGSFKLQSLSNGVISLQTSSSISLLKLKGTDGLEVLQRFDQPAAVSDSLTITEKDEAFAVVQHLGSEIEFIVKFTSDLSSEIIREKVNIDQNRGNVEKVFLNSYIRTDKSHGFRALVVMEDHSLLLIQQGEVVWSREDGLASIVDVTTSELPVEKDGVSVADVEHNLFEWLKGHMLKLKGTLMLANADEVVAIQALRLKSSEKNKMTRDHNGFRKLLVVLTKAGKVMALHTGDGRVIWSNLLPSLRASRFGGMPSALRIYQWQVPHHSVMRENPSVLVVGKSGAESSTPGVFSILDSYSGEELNSMELDHSVVQIIPLTLKDSSEQRLHLIVDSNSNAHLYPKSPDALNVFLHEMSNLYFYSVDIQANVIKGYSLQKSCGLNLGDEYCFSTKELWSIIFPSDSERIAISETRKMNEVVHTQAKIIGDHDVMYKYLSKNLVFVATLSPKAAGDIGSALPEEASLVAYLIDAVTGRILHRVTHHGAQGPVHAVLSENWVIYHYFNLRAHRFEMAVIEIYDQSRADNKDVMKLILGKHNLSAPITSYARPEVAVKSQSFFFTHSVKAMAVTQTAKGITSKQLLIGTIGDQVLALDKRYLDPRRSVNPSQQEKEEGIIPLTDSLPIIPQSFVTHSHQVEALRGIVSIPAKLESTTLVFTYGVDLFYTQLAPSRTYDSLTDEFSYALLLITIAVLVGAIIVTWIWSEKKELRDKWR >Sspon.02G0007440-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:24358851:24359164:-1 gene:Sspon.02G0007440-3C transcript:Sspon.02G0007440-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLTGVLGVSSPPLAPSHCSCSGAKKQSCSLRPPRQQQRRRLRAVEVGAPSAGAPEPEQVEEPSIDFAFVSRRDSAGSAHDVRAFVIG >Sspon.06G0010500-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:57428055:57433137:1 gene:Sspon.06G0010500-1A transcript:Sspon.06G0010500-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPPAHHSSKAEELRKATTFHPSLWGDFFLTYQPPTAPQQAYMKERAEVLRENVRKVLKDSTELPETLNLILTLQRLGLDYHYENEIDKLLHHIYISDYNDKDLNLVSLRFYLLRKNGYDVSSDVFLNFKTEEGSFPYADTRSLLSLYNAAYLRRQGEKVLDEAIVEMTFVITGLIAIIDDMFDTYGTTEECTKFAEALGRWDESAIHLLPEYMKGFYLFLLETFQSLEDVLGPEKSYRMERLLQLYCKEIKWRDEDYVPTMSEHLQVSAESIGSIALTCAAYREQTKDHSASIVHCYMKKHGTTMNDACEKIKELTEDSWKDMLEQCLALKELPKVVPQIVFGFSRTTDNMYKDLDAFTSSEALKLSLYILSVS >Sspon.05G0018940-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:80921305:80927407:1 gene:Sspon.05G0018940-1T transcript:Sspon.05G0018940-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKRMESVEDLIEEAKLRTVWWALCIFAISYVLTHTSKSMWTNVPMSILILAFLRYLSFKVEFRWREQPVRKQTYLSQASKRQLSANDHRLSTVPPVSRWRRKVGSPSVEAAFESFIENILRDFVLDLWYSDITPDREAPELIRGLVLHALGEVSGRVKEMNLVDMLTRDMVDLIGNHLDIFRKNQTLIGVDVMRTLSSEERDERLKQHLIVSQELHPALLSSEHEYKVLQEIVGGIMALVLRPQDAQSPLVRCFSRELMTCLVLQPVMNFASPVYINELIVYLLNSQDTGNVGGNTNMANKELVPYKGGSQGCQMESRNLTVEPSSLIPPNNSGMRSLVTSECGKSKMSEDDNDSTIQPRQPDWAVVLDAATKRRSEVLAPENLENMWAIGRNYQKKMIKVDQPSRLKGYGGSDNSPSAGAGAKELSSNFNERIASVDDKYMVNLMQSKNRNAQSTFVTGSHPLALQNTNEVKPKEGSQVHFSSKEKPHETSNSVKAQLKRSNSTPDIEKRYLAKSNQPMVPSERLNVRKNQDERGAGPASHVEVLMHVPKIRCRVVGAYFEKLGSKSFAVYSIAVTDADNKAWFLRERNIYRNFERLHRQLKEIPNYSLHLPPKSFLSSSVDDYLVHQRCILLDKYLQDLLSIANIAEQHEVWDFLSASSKNYSAGKSTSVMKTLAVNVDDAMDDIVRQFKGVSDGLKRAVGTSPSSATAHFADNRMPLSWNQEEKDNHNLHQRNLESAHSLSDGDSNCEDHTSSMNSGCHSDNEVNNRGHTSNDVKHIETYSSLDKQASDQIGKPTRAYSDSSNMSSLNTFEDPTGIPPEWMPTNVSVPLLNLVDKAFQLKRRGWIRLVPLY >Sspon.05G0005110-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:10009684:10013268:-1 gene:Sspon.05G0005110-2B transcript:Sspon.05G0005110-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGSGLNLVSAALGFGMTAAFAAFVCVRFICCRERRGDTRASPSPDLVAELDGPIEHTRTGLEPLVIAAIPTMKYNCEAFSSKDDVHRFN >Sspon.06G0007020-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:26458055:26476244:-1 gene:Sspon.06G0007020-1A transcript:Sspon.06G0007020-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLKEKTFPPTSQPSCSEESIVNVSSPRLKEENTKFALWRKMSGAPKRLHEEGSHSTPTKRPLDDSSLYSSPGKVIQSSGSDFHGSFEHDGRFAKIQRVEPRDDKRPSVPHRMPVGSTNFADHPISSDSRLESKQNKDARDNKADDRETKADARDVHSESRIEFQANKIESDVKVDNRADESEIRADRRGHPDYRSDIKFDKDNHSTVPANINWKDNKEHRGKRYFEQPADTVDWRLPRPSLQSIDEAPKGPISVEERNSKDANESAGDNKAEPKSEDRFRDKDRKKKDEKHRDFGAREGDSRRTGVQLGSSGVERREMQREDRDAEKWDRERKDSLRDKEGNDREKDSVRKDSSVVIEKDNTILEKASSDGAVKSAEHENTITESKAPKDDVWKAHDRDPKDKKREKDVDAGDRIEQRSKYNDKESDDNGTEGDMEKDKEVFGSVQRRRMVRPRGGSQASQREPRFRSRMRDAGECMQELLKSWKEFDVTQDATNAESLQHGPTLEIRIPAEFVTSTNRQVKGAQLWGTDVYTNDSDLVAVLMHTGYCSPTSSPPPSAIQELRATVRNALRQQRFVREVTIQYNLCNEPWLKYSISIVADKGLKKSLYTSARLKKGEVIYLETHFNRYELCFSGEKPRIIGSSSNASESETEKHQSSSHHSQNGDRGYVEHELRDVFRWSRCKKAMPESAMRSIGIPLPADQLEVLQDNLEWEDVQWSQTGVWVSGKEYPLARVHFLSANANAYWLLLPYILPPPSAIQELRATVRVLPPQESYTSTLRNNVRSRAWGAGIGCSFRIERCCIVKKGGGTIDLEPRLSHTSAVEPTLAPVAVERTMTTRAAASNALRQQRFVREVTIQYNLCNEPWLKYSISIVADKGLKKSLYTSARLKKGEVIYLETHFNRYELCFSGEKPRIIGSSSNASESETEKHQSSSHHSQNGDRGYVEHELRDVFRWSRCKKAMPESAMRSIGIPLPADQLEVLQDNLEWEDVQWSQTGVWVSGKEYPLARVHFLSAK >Sspon.04G0007450-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:20907950:20909535:1 gene:Sspon.04G0007450-3C transcript:Sspon.04G0007450-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGAVPKPSEKRVALVTGGNRGMGFEICRQLASSGLTVVLTARNEARGAEAVDRLRGLGLPDVVFHQLDITEPASAARLADFVRSKFGKLDVLVNNAGIMGVTMEVGDEAAIKEMMVGKDQNEIAEWLKQRTTQNTEQAEECIRINYHGTKTVTEALLPLVQSSSDGRIVNVTSAFGLLRFFSGEELQQELSSIDMLTKRRLDELSSLFLEDYKSDKLEPRGWPTDRVYAAYQASKALVSAYTRILARENPALRINCVHPGYVETEMNCNTGNLTAAEGAQVSTAVALADQGGVTGAYFDRTEIASFV >Sspon.08G0001680-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:5373152:5376420:-1 gene:Sspon.08G0001680-1A transcript:Sspon.08G0001680-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AMSKTESRKLSDDYEVADVLGRGGFSIVRRGVSKSEGKTQVAIKTLRRLGPAMNGMQQGSKGGLPMWKQVSISDALLTNEILVMRRIVENVAPHPNVIGLHDVYEDAHGVHLILELCSGGELFDRIVGRDRYSEFDAAAVIRQIAKGLEALHKANIIHRDLKPENCLFSDKNEDSTLKIMDFGLSSVEDFSDPIVTLFGSIDYVSPEALSRQDVSAASDMWSVGVILYILLSGCPPFHAPTNREKQQRILQGEFSFQDHTWKTISSSAKELISRLLSVEPYKRPTASDLLGHPWVIGDCAKQDLMDAEVVSKLLKFNARRKLRAAAIASVLSSKVALRTKRLRNLLGRHDLSSEELDNLRAHFARICADGENATLAEFEQVLKAMKLESLVPLAPRVFDLFDNNRDGTVDMREILCGLSSLRNSRGDDALRLCFQMYDADRSGCISKDELASMLRALPEECLPGDITEPGKLDEVFDEMDANGDGKVSFDEFKAAMQKDSALQDVVLSSLRPPAPGQ >Sspon.03G0029970-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:19250693:19255181:-1 gene:Sspon.03G0029970-1B transcript:Sspon.03G0029970-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSGFSWTLPDHPKLPKGKQVAVVVLDGWGEANPDQYNCIHVAQTPVMDSLKNGAPEKWRLVKAHGTAVGLPSDDDMGNSEVGHNALGAGRIFAQGAKLVDSALASGKIYNGDGFNYIKESFESGTLHLIGLLSDGGVHSRLDQLQLLLKGASERGAKKIRVHILTDGRDVLDGSSVGFVETLENDLSELRGKGIDAQIASGGGRMYVTMDRYENDWDVVKRGWDAQTVKFGHVTFFWNGNRSGYFDETKEEYVEVPSDSGITFNVAPKMKALEIAEKARDALLSGKFDQVRVNLPNGDMVGHTGDIEATVVACKAADEAVKIILDAVEQVGGIYLVTADHGNAEDMVKRNKAGKPLLDKNGGIQILTSHTLQPVPVVIGGPGLHPGVKFRNDIETPGLANVAATVMNLHGFQAPADYEQTLIEVADN >Sspon.01G0023760-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:84140593:84152729:-1 gene:Sspon.01G0023760-2C transcript:Sspon.01G0023760-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPGPTSPPGPDKSPSPPPDEVVSQQAPAPLPAAADADAEARADDDDDDVVKAAVGAAREDHGERRRATTASARGRKRRRRGGGGPSYYSASSSSPAAAAAGTRGVVMVKRDLLARCMTCPLCRRLLRDATTISECLHTFCRKCIYQKFDNEEVECCPVCEIDLGCTPTEKLRADHSLQDVRSKLFPFKRKKINAEEVSSSISLPTKIKERSISSLVVDTPKVKPTGLTGRRTRAVARKAAAAAAAAALRELGPIIEDPVKKEIDSCDSHSHNSNFPANSSKAPQTRRQISPNAEASNHSSNKDTEGDSKDELADKSELWRPLNCLVEAANRTKSFRSSSQSPVVKGEQINGSTSGTFASKAKARDNLEKSKTEDDKKDVPTPPVLPKRRAQGTARKRKDLQAPTDVKPDVAAAHSAKKFSSIWFSLIASFDQQGDPPLPQIPSHYLRIKDGNVPASSIQKYLMQKLSLPSESEVEIKCCEQPVNPSQPLCNLVELWLKGRVTQTTQTMTGSSAKEFVMVLTYGRPKAPAM >Sspon.07G0007530-3C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7C:15801776:15802535:-1 gene:Sspon.07G0007530-3C transcript:Sspon.07G0007530-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSGTASEPAADVARDDAAAAAASSMRGADSATNASAISFGFAATAVLVSMFLLMAIFEHLIKPGLASSSSSSSSRGSRSHDDDDGDDDIREGRGHGRRLGLPPARQRERDASPDKLSHSPKVEPVAAVVDLTVLMPGQRYPTFLAQPAPLAPCPREGVLWPSHDHRRSFVPP >Sspon.05G0021580-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:59156757:59161553:-1 gene:Sspon.05G0021580-1P transcript:Sspon.05G0021580-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMAPDRPLEAFITAARGAIAHLHLPVIHVPGSNSSPNPNPKQQEEPEADCLLHLHVVVTNFLHKPLKSFTRCFKPKRRGGKHSPPLHWDHSNGGTPQQQLELLLCIAFDAFSHNLHLLEDACRQKGEEFGVATRQFEQFVVLRKIIDGKRADFDGFLSNLGFAKVGAPPPRARIVSGASPVPAPAPVSDQEDGGGIGDSNQEDGAGIGDSEAVNNASGTQQPAQRLPARLLNIPLSNVERLRSTLSAVSLTELIELVPQLVSRSSISADAHPDKKKLFSVQDFFRYAEIEGKRFFEELDRDGDGEVTLEDLEIAMRKRRLPRRYARELFRHTRSNFFSKSIGWKQFLSLMEQKEATILRAYTTLCLSKSGTLHKNQILTSLKGAGLPANEDNAAAMLRYLNSDSEGSISYGHFRNFMLLLPSERLEDDPRNIWFEAATVVAVPPPIEISTGSVLKSALAGGLASALSTSLLHPIDSMKTRLQASTLSFPELISKLPQIGLRGLYRGSIPAILGQFSSHGLRTGIFEASKLVLINVAPTLPEIQVQSMASFCSTVLGTAVRIPCEVLKQRLQAGIFNNVGEAIVGTMRQDGPKGFFRGTGATLCREVPFYVAGMCLYAEAKKAAQHVLKRDLEAWETVAVGALSGGLAAIVTTPFDVMKTRMMTAPPGTPVSMQIIIFSILRNEGPLGLFKGAIPRFFWIAPLGAMNFAGYELAKQAMIKDDNKFSDSTQENLPRKQ >Sspon.01G0020550-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1A:76449741:76450112:-1 gene:Sspon.01G0020550-1A transcript:Sspon.01G0020550-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSRCRVGKRKPSHGLRLRERKEAAGSSAPPKVPSTVSRATPASHAHRREEPRRRHHHPQLRLPRADAATACQAALREELAGSEREGEEEIGQRGAVGAAVASQPEKGLHRRHGVENEREGKK >Sspon.03G0016060-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:80864972:80866421:1 gene:Sspon.03G0016060-2B transcript:Sspon.03G0016060-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARIVQVVAPLLLLLLPTGLRELLSPTDHQPSQGAANSGGGGTTTGRGEVLLHPLVLVPGLTCSELEARLTDAYRPTVPRCGAMKGKGWFGLWANCSDLPAHHYVQCFIEQMTLVYDPVANDYRNLPGVETRVRSFGSSRGFHRNPKSTTACFEVLRHELERVGYRDNDTLFAAQYDLRYAPPVPGQRSEVFSRYFFQLTRLIEDASEKNENKKVILFGHSFGGMVALEFVRSTPMAWRDAYIKHLILVAPVPAEGFLGTLHDFVSGTKWMYVPTVTPLALTLRPMWRSFESAIVNFPSPAVFGDRPLVVTAQRNYCAHDAEDLLAAIGFSAGVEPFRRRAVPKMSYFQAPMVPTTCINGVGNNDTPEQLVYWDGDFDAEPEIVYGDGDSTINLISMLAFDEKMRRQPEQNKLYKSIKLHGAEHGTIVTEDWALKRVMQEILEANRI >Sspon.03G0037590-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:99646621:99648948:1 gene:Sspon.03G0037590-1B transcript:Sspon.03G0037590-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEGGGEHEHEHGRDKEHEHDEASVEALFVGQSPAPWWRQVTVRSVTASVFIGTVFSFMAMRMGLTIGLVPSFNMSASLVSFFVISSWTRLLGRCGVATQPFTRQENVVVQTCVIACATLALYGGFTSFLPAMSAPVAKSAGGPGTGNNVYSLHLGKMMAFSFLTGFTSLFITLPLTKVTICLSSDSFKNKQMILQCKANMPLMTWLAMHVHAGHDHGLQAAVPIGFGDSWTCQQLPHASGRSNSKMATMLRSLGGSFSWAVFQWFYTGGDGCGFQAFPLFGLEAYKKRFYFDFSPSLVGIGMICPPMVNFSLLFGAVVSSAILWPILQGKAGHGTTPSLAVQPQRTQRYKVPMGISLVLGDCLFQLAAVSIRTARSFHRAQGGGQQDSAAADDQQSLSYDDRRRIHNFQSEGMPIHVALAGYALLAAIATVFLPGIFPQIRFYHVAASGGAIAGLLAGGVVVVVIGNSSELMHDFKSAYLTLTSPLSMFASQVIGTTLGCIINPLLFLGFQEMAGGKDHLGEAGSLYAAPMAMAYRGIADLSVEGMKMLPKHSFMLCIPCFVVALCFDALSAIATANDWRIKSYVPNIMAMSIPFFVGPTFAIDMCLGSLLVIFWRRRNKQTANLLSVVVASGLICGDGLWALPSSLLAIFKVEPPICMKFLSIYQTEQIRKHYPDT >Sspon.04G0021710-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:1409484:1413624:-1 gene:Sspon.04G0021710-2P transcript:Sspon.04G0021710-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKPFAEVVAGTTSKDSEFPALQQMRPPKPGGRKDERQMNIGDQISGRGHAAVSQGPVAETESAKASRNKSSENSDGKPFLQIGSNIVPVIVGNETRETSLPVQQFVVYVGFEHECPYGHRFLLSEKHMEEIDSSLSKTSCKQRSRGKHAQKLLQNASGLIASAVDINSARKNSKPLQSSGRNSQQQSLQLRVDAETSQPSPWLSDPQNGKRGEHYFPSITIDDGGEAFSLMNRNLPIYMHCPHCKISERKEHQDVKFAGAVSQLQRIFIVTPDFPVLLASCPLGSCLPSNVSDHDREGSFSIGCRVILPPESFLTMRLPFVYGVETKEGSTFPFKNFEQQPELTAWLVGGTALQIVSVGDVTEKETIMNTGIFRSLGEKKKMGLRSEVLITGKHRVVLEYGGGSRLGAAASGRLAIGLENVEAGRKRTNNSCLTSVKEAAGSCVGGRTGTLRASIDAVRLCLSASALCTCRVPRAGNEEVAGRLAGANILLLTLAVALALLATWRQFVPGIKLN >Sspon.03G0035260-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3B:79656706:79657330:-1 gene:Sspon.03G0035260-1B transcript:Sspon.03G0035260-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSYFGILWRSSYCKLRLPVHRYVLCLKSVSLRRFTDSRHRYPLGAGRSMDGGQQWPTWGEDMKIGGKELAHRI >Sspon.05G0027320-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5B:51822660:51833846:-1 gene:Sspon.05G0027320-1B transcript:Sspon.05G0027320-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPLKAQELSEASMFQSEGTNEISESASSVLVISSGGGETAVTKNKCALKGKYRSTLSQRFKYAYVSIEKEKIEEQENKNLSSSSVITTVSEQNEISRPLLKVEFKDLTLTLGKNKLLRSITGELQPGHVTAVMGPSGAGKTTFLNAIAGKVAGYKINGSIRVNGKYDKIRSYKKIIGFVPQDDIVHGNLTVEENLWFSAKCRNSMVGTVEKRGISGGQRKRVNVGIEMVMKPSLLILDEPTSGLDSSSSQLLLKALRREALEGTNICAVIHQPSYTLYSMFDDLILLAKGGLIVYCGPVNTIEEYFTTLGIHVPDRVNPPDHYIDILEGIVKSDSGIKVKHLPLHWMLCNGYEVPNDMKDDLEEIHAGNRTSLPGSTAYHLLNIRNTYVEEQGHHQCDLSRPKYMLERRTPGILIQYKYFLGRVTKQRLREAGLLAVDFLILCLAGICLGILAKFNDPTFGMPGYMYTIIAVSLLCKIAALRSYSLERLQYKRERESGMSSFAYFLARDTIDHFNTVVKPVVYLSMFYYFSNPRSTMADNYTVLLALVYCVTGIGYTLAFCFNPASGQLMESHSGFVLSETVLHLTKV >Sspon.03G0038760-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3C:7229587:7230770:1 gene:Sspon.03G0038760-1C transcript:Sspon.03G0038760-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPTCRASPFSLSPPLSRAVFVHARPAPAPAAVAPGPRLPRPRRRPSATPLCPSPPGSLSALPAAGRDVSDWIFIAQRKKVHAGRVEVLVVEEAVHVERRRQAELFRCEQRRPAELFRCERRRPAELFRCCSGTTGVARSVVSHRGQRLPALEPGRSSPSKTTSIGIGGLAVAAAAAQQQQQTHVGAVRPRLQVRRPDAVHALVQMAHAAVVPCMVPADTSLATAPMGKVNTLKTKSTNSLPASAKYIPPDMWVPRAEGHCQRATSPYRVG >Sspon.07G0022680-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7B:9750933:9751292:-1 gene:Sspon.07G0022680-1B transcript:Sspon.07G0022680-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHYLAPWAHCQARAGSALTCHHSKVGGDKHSCTEIWCSRTEGCHRHHQDPLWQDPEAVGCPCKVLPHPPACVGHPTLHPPPPPASRLRLRRMVVAARREREQGAFAEREKERKGRRER >Sspon.07G0002740-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:6556799:6560724:-1 gene:Sspon.07G0002740-1A transcript:Sspon.07G0002740-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGASDHHATAKPASPPATASPTPTPAPANRTRLHDFAFPTLSWGAHRLLRCSKDGGPASPPPHPQTPSPDKEKQPHQHQHPHPQGSSPGAASASQPPRPWNLRTRRSATVAPLASRSDAAGKAPAAGGQAQQQLPPLASPPPAAAAVPRKRAFSAALTRDEIAEDFAAIRGTRPPRRPKKRPRAVQRQLDVRISSTASLTFWSAARIFGPRCSILSGFAAIDRLQMLYPGLSLADVNLDSYKIDECKAEQDEVALAPK >Sspon.03G0045630-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:22152439:22153100:-1 gene:Sspon.03G0045630-1D transcript:Sspon.03G0045630-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFKKTKNKRGGGPETETDGTMISRITKPHTYQEEALRAIDMPGPAHGFVLELNKPPSRPGSRVSSRIQLRQPPARSPTSPTACPPSAASLAVVVTESRLWSAFCFPQLQALVASGDEWIPVAMLCVAPSPEGNQVAVTPTAVIRPKLPTPFRFSHTFGLWACPWQIMASTSQSAYTYILQASASNTIAIAGQLK >Sspon.06G0002970-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:9490884:9494712:-1 gene:Sspon.06G0002970-1A transcript:Sspon.06G0002970-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESLVLPVVRGVLGKAADALVQKVTRMWGVDNDRRKLERHLLSVQSLLSDAEVKSETNPAVKAWMRELKAAAYQADDVLDDFQYEALRREAQSGDSKASKVRRYFTLQSPLLFRLTASSNLNKVLKRINELVTEMRTFGLVERAEAPQPLYRQTHSALDESSDIFGRDNDKEVLATHARCDLPDSDTIELLRGKLVEVISRKRFLLVLDDVWNEEQQKWEDDLKPLLCSSNAGPGSMILVTSRSKKVASIMGTIPPHELACLIEDDSWELFSKKAFSKGVQEQSELIKIGKRIVNKCKGLPLALKTMDFKALKKLKLTSLRALSWGIQSVIHTKLINTTHLRYLDLTCSGFVRLPSSVCMLYNLQSLILNHCGELEVLPEGMQTMRKLTHIYLIGCDRLKQMPPKLSLLQSLCTLTKFIVDSRDGFGIEELKDLRHLGNRLELFNLRKVKSGSKVNLNEKKNLTELFLHWGRDRNYNPLHDEVISNNEGEVLESLVPHAELKTLGLHGYAGLSISQWMRDPQMFQCLRELYISNCPRCKDLPLVWLSSLEKLYLRCMDSLSALCKNIDMEATRHNTSLAIFPKLKEMWLEALPELERWAENSAGEPISLVVFPQLEELCIIDCNKIATLPESPALTSLHYHSKPVEVFNSSKLQLGLRDCLAFVERLDLWSCNNIVRWPVEEFRCLVRLRSLVIGLCTKLEGKGSSSEEILPLPQLERLNIFDCHSLLEIPKLPDSLGELDIASCRSLVALPSNLGDLAKLRHLNLQVCRQLKALPEGMDGITSLEQLVINSCPGIDKFPQGLLQRLPTIKSLYIRDCPDLQRRCREGGEYFDCVCPIPYKDIPAATEPQKKWRFLPLCGGGSLRN >Sspon.01G0060390-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:104256648:104259726:-1 gene:Sspon.01G0060390-1D transcript:Sspon.01G0060390-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding PMEPSPAVTAELWCPPHLAAGGGRQVEATSAVTEKSSGGRVGGSAVRRRPRETPASEEDSSRIVSTSGGGGQDQTDPEAKRSKTNKSSNNNGSLRTEAETDSRSAGKAVSKSLPAAEPPKQDYIHVRARRGQATDSHSLAEQARREKISERMKVLQDLVPGCNQVIGKASVLDEIINYIQSLQCQVQFLSMKLEAVNAHANQGVEAFPVKQYGAQTYNTAPGLTFDTQTSREYAQGTSTSEWLHMQIGSGYERVS >Sspon.02G0041700-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:71864490:71876546:1 gene:Sspon.02G0041700-3D transcript:Sspon.02G0041700-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRRYEQLEMEGKLLEGEDLDFAFDRISAFSDNGENQEYKFLTEESLEDIRESKLALRAEVSDLEKQLASLEWKLDTLTAQATTINQGKKSRSSANTRANGQLTGLDEIFAKRSLEMNAILGKLTATTQELSYYHSEADIGVYLSYCDFQTYVSSTLTCTKELNKWFSKKFEKGPLQLVVQDDKLRGDSVNSHHFVVELSRINSIFAKSKRRYIEAQVEHAKEEAILSVLRTQLASQQSYIHQDSHSLRRKNSELAEELKDLSHHVQKYLSETVTGLCADLAQLSGANILEGDHNLKLLRQECYISHQKKFIIHLVNQLAAHVFLKITCQLEEQTKISSAYSFLKAVELELQGYFSTVDGRLGRYHLIDQAASEMFEEGSVDDSDSYLHAVRDILSSHSSSQAMSPEYVSSYGLIEQITELQDELQYLQHEAENVLPRERGRCTDELIKTTNASQLNLTLTNPFCSNNMLAPWIIQTLEQILAVPLSDEQPKLTPWPLAQSLEDLDLVSQQVSASVSEVTLARDEKAEMLKQPSRNAQQERQVFSDFFCHPKRLENQVEELLSRFRALPE >Sspon.06G0034570-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6D:49153537:49153926:-1 gene:Sspon.06G0034570-1D transcript:Sspon.06G0034570-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLVGCRARVKGIPQEYMGIAVTSADVVSKGLGWAAWLLNRTVAAFDEPSVRGGPRVVGTGYQVVRLRGVAQHGGGPRDGPHRELAAVQRLRKRLRLGPTGGSPQWRREQDGRKGDRVRGVRRRGKHGGG >Sspon.01G0002050-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:5137615:5138703:1 gene:Sspon.01G0002050-2B transcript:Sspon.01G0002050-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWTAAVVGVGLVYWFVWVMGAAEVKGKRAVDLKMGSITRDKVQDKYTQYWSFFRRPKETATTAASAEKVPAFVDTFYNLVTDIYEWGWGQSFHFSPSLPGRSHRDATRVHEERVADLLGARPGHRLLDVGCGVGGPMRAIAAHSGSNVVGITINEYQVNRARAHNRKAGLDSPRCEVVCGNFLSMPFPDASFDGAYSIEATCHAPRLQDVYGEVYRVLKPGGLYVSYEWVTTPLYRAEDPDHVECIHGIERGDALPGLRRQDEIASIAKEVGFEVVKEQDLALPPALPWWTRLKMGRVAYWRNSLVVRVLTMLRIAPKGVSEVHEMLYETAQHLTRGGETGIFTPMHMVLLRKPVSTEEAK >Sspon.04G0006360-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:17318300:17326858:1 gene:Sspon.04G0006360-3C transcript:Sspon.04G0006360-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIETDGRFGNKRVHNRLGPGSGGAPSSTNGKVCNYWRAGRCNRFPCPFLHSELPEAAPPKRPTGPGGNVWRNPHTGGRGGGGHSRWGKGPGGGSGIASHKPPDRPCKYFLAGTECSYGERCRYPHSFCISDSITMLTPLKGHEKGVTGIALPAGSDKLYSGSKDGTVRMWDCQTGQCAGVIPLGREVGCMIIEGPWLFVGMPDAVKVWNMQTAAEMSLTGPTGQVYALAVASELLFAATQDGRILAWRFSAATNCFEPAASLDGHKLAVVSLIVGGMRLYSASMDKTIRVWDLATLQCIQTLSDHTDVVMSVLCWDQFLLSCSLDQTIKVWAATESGNLEVTYTHKEDQ >Sspon.05G0012360-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:37698118:37700874:1 gene:Sspon.05G0012360-1A transcript:Sspon.05G0012360-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPVELDFLGLRPAAAAADHHHHGSTTGGTTTTATTFSSSIRGMKTSAIASIGAHQLRRVIVGDEAPNKQPQAPMTVFYNGAVATFDGVSQDKAEAIMKMAMEVTTSNGGRRVVRGDAFAGNLTKDMPLTRTKSLQQFLQKRKERLSRLGPYQLGMGPGGTSRVGAATTNRSFHVKEEAAAYELSLPWRPLQQPPSY >Sspon.01G0021940-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:79263801:79264583:-1 gene:Sspon.01G0021940-3C transcript:Sspon.01G0021940-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSKEKEKEKEEQARKAHALAEKCFLAGNVLAARQWMQSAVRLAPDLPGTPQIVAAYNVHAAAARSSPTDWYAVLGLNPGGGVTHDDVKKQHRRLCLLVHPDKNPCAAADGAFMLVQAASHALLAKHPPPGTAAPAPPPPQRQAAPPTPRAPEPQPRPRPQVVQMARRPAPPPTPRPAAPMPPTYSQQAKQAKPTQKSRRRRGSTPPRPQFTLPIADKCPVCGARVNNGGGSNYRCINCQYSPLDGRHDDDYGDDFFDY >Sspon.01G0015070-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:43993047:43995086:1 gene:Sspon.01G0015070-3D transcript:Sspon.01G0015070-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DAR GTPase 2, mitochondrial [Source:Projected from Arabidopsis thaliana (AT4G10650) UniProtKB/Swiss-Prot;Acc:O82497] MTAAAAAEAVSRRLGAAVRDLSGAWYGRHMAAAERAIRARLPLVDLVLEVCDARVPASSSFEPLRRRGPLEPDRRRVVILNKADLADPSETEEWVAYIKKQTSCPCIAVNSHSRESIKQLLNVVQTRIKEIKHGENNCTGTALLVGIPNVGKSAIVNAMHQIGRIAAAEKGKLKHAIVSSHPGETRDISGYKVASHPNIYVLDTPGVLLPRFANDGSGPRLALTGTSYFEVYGFNLTTYYYLMHTHAFAGAIKDSLLEEYDIVQFLLAIVNLGKEYRKWENLNKAGDNFSCGNTIWSMGQNNKRRSASDHTQDFMVKAVRQVLFETLSSFNGDLGEVDEFKRLIGYQLGNLQEVFRVSTESSEDMCKPIAMKLLNLYRTGRLGNYTLEHVPDVRHEVVA >Sspon.02G0008690-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:27666051:27667610:1 gene:Sspon.02G0008690-3C transcript:Sspon.02G0008690-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHLVQEYYLLAWCWWLSNSSQPFSYATYPSNEVSNVTFPDSTPFAVFTRPIMPGLSTLGFAVRAIMRSFCNMEPTAVKGISCRFLHRVYPGETLVTEMWLEGQRVYYRTKVKEHGRAVLSGYVLLQNVPSPL >Sspon.08G0017670-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:3258822:3259927:-1 gene:Sspon.08G0017670-3D transcript:Sspon.08G0017670-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSIMDPNMKQLQEALVDIETDAEKVILARHQLVENDKVRNANREALTALRKQSRTTKTSVPSSPFEVIMKEMEGSSAKQLIKEICPTCGDHDPKEHTWLMFPGSDIFARVPFHVAHTVVEKDQERLDLDTKKLQSFVKEKSLVIAKKGALAGKFGVDTVKSLVSLTDTTKSTREGGE >Sspon.02G0012850-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:27268562:27269729:-1 gene:Sspon.02G0012850-4D transcript:Sspon.02G0012850-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKGVKVFGMWASPMVIRVEWALRPKGVEYEYVDEDLANKSADLLRYNPVTKKVPVLIHDGKPIAESTIIVEYIDEVWKGGYPIMPADPYERAQARFWARFAEEKCNAALYPIFTATGEAQRKAVQEAQQCLKTLETALDGKKFFGGDAVGYLDIVVGWYAHWLPVVEEVIGASVVTDEELPLMKAWFDRFLAVDVVKAALPDRDRLLAANKARREQLLSA >Sspon.01G0025890-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:90510858:90517870:1 gene:Sspon.01G0025890-1A transcript:Sspon.01G0025890-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSWSLLLRFALVVLLLQPPPRRGGRLHGLQQPAAGRERERERSRGRSPRLDRRNAVKRIEYEAGAGASASVGASWSSSSSAEQQRSPGLRPSRSLDLAPGADLRISGSVEGEVDELCRSLGLSGPEDFAVPVAAWEARKSRSNSDRLPRSRLDPSTPADEPSPIARAVSAPVVPPTRSVPAPIPEESLHSSSASTATDSAEDPTAAAPEESPKAARAVAVVAPAGDLPLPSPRRGGGEVGIRGARPPLLSPPPPIGALAPPPVRRSFVDDYMTGSAWDIVQSFAPSPREQGSELGEHVDTRCNSDTEEENEGEDGVAAVEGELKELRIGETFEGFTGTSSLSTTNDDDASSTTTEAMFIISPNGKFKRKIKSEGAFFAVKEVSLLDQGSNAQQSIVALEQVINPKKMYGPSADIWSLGCTVLEMLTRQIPFPNVEWTNAFFMIGRGEQPTIPNYLSKEAQDFIGQCVRVDPESRPSASQLLEHPFVNRPLRASFESSSPPAIGL >Sspon.06G0002990-1P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6A:9665436:9667068:1 gene:Sspon.06G0002990-1P transcript:Sspon.06G0002990-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GTVNNETLGYFIGRVYLFLTCLGIDKGRLRFRQHLPNEMAYYAAGCWDAEIECSYGWIECVGIADRSAYDLKAHTEKSGVPLVAHEKFSKPREVEKLVIVPSKKDLGLAFKGNQKMVLEALEAMSEKEAFEMKAELETKGETNFKVCTLGKDVVITKKMVSISMEKKLEHQRVFTPSVIEPSFGIGRIIYCLFEHSFYTRPSKSEEEQLNVFRFPPLVAPIKCTMFPLVKNQEFDAAAKVIAKALTTAGISHIIDTTAISIGRRYARTDEIGVPFAVTVDSATNVTIRERDSKEQIRVDINEVASVVKQLTEGLGRCFCKVSCPCWPPR >Sspon.02G0057940-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2D:68723757:68724151:1 gene:Sspon.02G0057940-1D transcript:Sspon.02G0057940-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPARLGARWGGEHEGGKRSSGGGPLRRHVRACGGRLPFAVPWLRACAEAAVTCAISSRQDKEKAVNVQSSSVAVGSGPRSAALIPRRCFRRPFSDDELRNNALQVVTCNDYQR >Sspon.02G0049390-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:38412966:38413460:-1 gene:Sspon.02G0049390-1C transcript:Sspon.02G0049390-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPACPPWLRQCAWDQNYAVSKADQNQSSSVLWLRPRVDSSRKRGASRCHVLKPSIVSMRYCVRSNVYFNWRQHMSLRMPSINTLLHASVAPPNNTYLQEFSRECRQHRPHSCTAFGQ >Sspon.01G0044200-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:78126780:78128026:-1 gene:Sspon.01G0044200-2C transcript:Sspon.01G0044200-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSFLTSSSSSASSHLSYLIPARPPPPLAMGQGQGQGQGYGGATGGIISVPTTGVVANAMNAAAAPPPPRQGRASRNAGAGHPPLPRPPPRQCPRCQSANTKFCYYNNYSREQPRYLCKACRRHWTEGGTLRDVPVGGGRKNRRGAKGSAKASASAAAASATQGGNVLGAGADTFPDLLRQLVQFPSAAAVGGGGYAIDLSAWQQMTAATAPPPQGTGDASTLGAAGMAAEAEANCAALQYWSEWQQDGMPGLDGAC >Sspon.06G0003820-1P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6B:9621244:9624469:1 gene:Sspon.06G0003820-1P transcript:Sspon.06G0003820-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPQRQRSPEPDHRLPIPTQPAHPNQTPLQTGPRPAQAPPRGAGHRLPSLEPLLYAGLLRRASRGRSLPLARLTHSHMIRTGYRPGLFLSNNLLAAYVRCADTRSARILFDGMPRRDVVTWNTLIAGYSTQGSARLALGAFRDARRDGAVAVDRFTYAAVLAACGCAGDWRSGRAAHGLAVVSGLARTAFVANSVIDMYAKCGMIDEVRLAFDRAEERDEVSWNLLLSAYVRMGWPEVAVNVLVWMHRSGVKLDAFALGRILKACSELEDSEDVRRMLHGCVIKVGLDLDVFVGSTMVDMYAKNGGLEEAIKVFGCIPCQNVVIYNTMVAGFARLGNDPCPEIRMEAVRIYSNMLRRRIRPSKFTFKSVLEVCNLTNAVRCWRQIHAHVILFGFEDDDFIGNALINLYSKARLVDDSLRCFYRTPMQEILTWTSMITAFVHNEHSDKALNLFRELRYTGMEPDQFTMSSVMNACADLSMPTACEQIHCYAVKSGFDRFTLCGNSQIEMYRCAGDLKAAKKTFERIPSLDTFSWSQMILSYAVHGHEREAVQLFKKMRDCSVMINEFAFLAVLVACSHQGLIDEGFRHYESMVSDYSFVPDVKHIACMVDLLGHVGKVADAEDFINSSGLENDAVLWHTLLRACRIHGDKDRGIKTGEKLMMLEPFAASSYVMLYNLYMDAGKISLAMRTRGQMRERGMTKESGVSWAEFGGSCHHFVDGDNSCSQKDATFTRLEELLVRVKQKTERGSMNVWELGFQSRKVSENSIDKHGELLAVALGLSTLPNTAPVRVMKNQKMSWEGHETLKLLSESENREIIIRDPARFHHFSQGSCSCQGYW >Sspon.07G0012040-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7A:43022975:43023496:1 gene:Sspon.07G0012040-1A transcript:Sspon.07G0012040-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSLRCCLACVLPCGALDLIRIVHLNGRVEEYGRPVAAGEVLAANPNHVLSKPCSQGVAVRRILIVSPDSELERGEIYFLIPASSVPPEKKPQQQKSVTAGSHGDQLVVKKASAAVHGKTTAQPKSNGRRELGDALSQKRSSSSSSSHRQRRSGGCRTAVWKPHLECIVEDA >Sspon.01G0011630-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1A:31693421:31696406:-1 gene:Sspon.01G0011630-1A transcript:Sspon.01G0011630-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:At5g52210 [Source:Projected from Arabidopsis thaliana (AT5G52210) UniProtKB/TrEMBL;Acc:Q38921] MFSLFYGLWKYVFTKDEFRVLILGVDKAGKTTLLEKLKSMYLKGEGLPPDRVVPTVGLNIGRIEDAKAKLVFWDLGGQVGLRTIWEKYYEEAHAIMYVIDAATASSFEDSKSALEKVIRHEHLRGAPLLIVANKQDLPGAIDDEELAKFLHKELDERPYTFQAVSAYDGRGIKSGIDWLVDQIEKSKRTETLQARAGVPGQI >Sspon.03G0001440-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3C:15141581:15141769:-1 gene:Sspon.03G0001440-2C transcript:Sspon.03G0001440-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANGMKVALHRQVSGGSMKHNSELRRQASLESPRTGRATSRFLFGRQSSMDPNRRRGRSQSP >Sspon.03G0025400-3D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3D:50841992:50842486:-1 gene:Sspon.03G0025400-3D transcript:Sspon.03G0025400-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAPVDIAEDATATTILHRPLQPDPLVKAMCVCTSPPARFGSVDPMLEEFALLVAARPVAAQAPSGRSTLGQASSWDPSSTAPQSTTDKTTPTSPVLGMPSAPFTGTDSPTVEDSPSPGEAARRLARFTEEIRVVRPPPLITSPPKQPPPKQLVPKRSRRIAAQ >Sspon.04G0019560-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:69171964:69177448:-1 gene:Sspon.04G0019560-1A transcript:Sspon.04G0019560-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPPVSILTGMPTSLTTLLLGYAVQIRQTLCLHSSSLSASTQTEDCCLWEGIGCSHSSSGHHVVTALDLSGFRLNSDGIHPVLFNLTSLRMLDLSLNNFRGYDIPSVGFERLSLLTHLNLSTSGISGQVPTGISKLTNLFSLDISYRYIDIEDAEDDDSDIDAISVAPNYGLSVPYFHNMVANLSNLTELYLDYVSISLPVQDCFKALAKYVPHLRVLSLEGCSLQGQIDSSLSRLKSLAVINLSDNDGITPGPFPEFLMNFPNLRVLQLSGINLQGWFPRRMFLSENLRVLDLSLNSNLSGHLPSFSNAASLETLRIDWTNFTYVTKSSYFSDFKALTELGIDGKIISMEFHPLFGMLASLHELFVTQLDSPRQLETLFSWLEGIKNLRSLSFSDCELSMTIPSSIGNIRNLTSLFILESNFTTQTLSSVTNIRNLKIFEIYCGYSDYIFVAGKLPSAIGNMSNLEKLDISACQLSGPIPHEVGALKKLKSLVLPYIGLSGRIPSTITNLTQLTELLLEGNYLSGEIPASLFTLPMLGYLDLSENQLSGPIHEFDGACSCLRSVYLEMNNLTGQIPQSLLVLPNLTELDIKGNNLMGSVDLASLWGEKLTSLCLSYNKLTVIEREDISNSSSTYPHQLVELGLARCNMTKIPKLLMHAKYVTYLDLSSNKISGDIPSWIWDRWNYSLTWISFSDNMFTGMELNSYVIPFSNTLYSFNLSSNRLQGLIPMPSSSAQILDYSNNSFSSLLPNFTLYLGQTSYLRLSNNNISGFLPHSICDSPLEESSFDGNAGLCGPPLSKKCGTPYIPKEAHLKCSSHNIDVVLFLFVGVGFGVGFAAAILLKLDYWIRRSFHIFRILYWVCIPLTTSPDTVTG >Sspon.07G0014060-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7A:50854137:50856531:1 gene:Sspon.07G0014060-1A transcript:Sspon.07G0014060-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVGVARSSSSLGFQNGTSSSSDADRLPNELGNMSIRDDKVISSPPNVSSTIAFWQVLSLYLVLVFVLETMQDVEDIVVNGNGAEPGHIIVTSIDGRNGQAKQAKCLETGETVAIKKVLQDKRYKNRELQTMRVLDHPNVVALKHCFFSKTEKEELYLNLVLEYVPETAHRVIKHYNKMNQRMPLIYAKLYMYQVNPHTHQLKLCDFGSAKVLVKGEPNISYICSRYYRAPELIFGATEYTTAIDVWSAGCVLAELLLGQPLFPGESGVDQLVEIIKVLGTPTREEIKCMNPNYTEFKFPQIKAHPWHKVGMLYWIVPPLFLSLTPTKLEALIHPFFDELRDPNTRLPMAVFFRLSSISNPMDGDLWLRGRLED >Sspon.08G0005070-1T-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8B:12316388:12319125:-1 gene:Sspon.08G0005070-1T transcript:Sspon.08G0005070-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding TRQFFEIQAWVYVSVKFDIKTVGQSIICQLDKSSSPAGITLQATHNRLKNIVEGQRFLIVLDDTWEVDPDELEKLRTLLRGAKAGSKIIATTRSVKVAKLMNRSLTVELGALPDNYCWELFRAKAFPDGKVDVDKESWYIEKSSLIQQWIALGFVQLHGESLTAQQAGERYFEDLREMSFLQDVAGMSPTLKLLPSGLFEYMKKLLVLNLSGCTSLEVLPEFYSNDAGYLMLEILELSDCTNLAALRSPVPIFV >Sspon.08G0002430-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:3866632:3869699:1 gene:Sspon.08G0002430-2B transcript:Sspon.08G0002430-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSTKPDRPGHAAAAAGSGEKSWREEAVAAGSLRLVDLDRGANGWASPPGDLFHLRARGYFNCGGGKRGKAPSAADWLLRPAGVDWLRSHARLDHVLARDDNRVAAAFRRARLRKDPTAHFLLAVNLQVPGRPDAYSAVFYFAAEAPIPPDSLLGRFIHGDDAYRNARFKIANRIVKGPWLVRATVGNYAACLLGRALTCRYHKGDDYLEIDVDIGSSAIASAILHLALGAVTSVTIDMGFLVESQSEEELPERLFGAVRIAQMEMGAAKYVELPPDEAMPETAGRAGAGFRVSSAKVVNHSRQQDHAGGKVGRSMSCLDRESGGVTECPYFTDVNEKKTEPPPARKIGAKYAGALQKETIHRWESYGENRKTKAGQPQHIKR >Sspon.02G0015310-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:40265284:40273036:-1 gene:Sspon.02G0015310-2B transcript:Sspon.02G0015310-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Enhanced ethylene response protein 5 [Source:Projected from Arabidopsis thaliana (AT2G19560) UniProtKB/Swiss-Prot;Acc:Q8GWE6] MAAYLSMGEAHRRIGDYLSRVTNAISYSDGAALASLLSVSSAPASTPLSDALAAFPDFPRLAGDRFPHLADFLVPLLRAIHSHSVQRFADAYSSFEKASNAFLQEFRNWETPWAMEAIHTVALEIRLIAEKADRELATNGKNPDKLQAAGSFLMKVFGALAVKGPKRIGALYVTCQLFKIYFRLGTVNLCRSVIRSIETARNFDFEDFPVKDKVTYMYYTGRLEVFNENFLVADQKLTYALMHCNPQSESNLRRILKFLIPVKLSIGVLPKRTLLERYNLLEYADVVTALKRGDLRLLRQALDRHEDQFLKSGVYLVLEKLELQVYQRLVKKIHIIQRQKEPAKAHQIKLDVVVRALKWLEIDMDVDEVECIMACLIYKNLIKGYFAHKSKVLVLSKQDPFPKLNGKPV >Sspon.08G0009580-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:42086503:42094144:-1 gene:Sspon.08G0009580-1T transcript:Sspon.08G0009580-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRKERRLAAMAAAGRRVKLDLFLDPSPGEASHNDGIGGENRDQQTVVPTSPSSSDKKENPLALLGQYSDDEEEDEEAADQPTGEAKGSPGDASAQHADTTGDNGVARTEPSASVGDQQEAPQAGDIKNYTQSVTEENTIAPEPTIQESVKATESVPDSSGMQIVSDVGGNWKAVMHEQSNQCYYWNTVTGETSWDIPNGLASGAATASVPSDVDYSMEAQTHVLPHSTLEAYPSDMSVGNGSATYANFGMACGSAQVTQDAYAYAAPIASHEPMDIDPLYLAKYGGDLLQRLNLLERLCGSNEGLELIRREIGVRISDCNALSSYGSSLLPLWLHAEVHLKQLDSSISKLEMNYHADTEPRNSKTEVAEHKAPDEADMTTPSNGEALKSEVSAGITIDENVEIDKPASTSSAQNSQDRDLAAVPPKVESDNDEDMDVEMEVDEDNVEEQAHCSPVPKKEHPPSEQLNSSNLPSFEDPTPPEDNSVPPPPPEEEWIPPPPTENEPAPPAPQKSQLHHMFRWIQFLSHI >Sspon.08G0020150-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:17306252:17307426:1 gene:Sspon.08G0020150-1T transcript:Sspon.08G0020150-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVLPLAAASASAAPRTCISGGLVPVPFLGTRMRLRVHSPPRGVACALRRRPSKYKTKIQSEEDVVAAEDVMDDDDEMSETMDALEALFKQLEEDLENDDLSVDDDDDGISEEDMARFEKELAEAIEDVSGVDESAGGSLLSSGDYGIDEQIDGSERPELKTWQLRRLARALKIGRRK >Sspon.02G0038700-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:44210353:44211934:1 gene:Sspon.02G0038700-1B transcript:Sspon.02G0038700-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLQVIESSFVAPKEATPTKGLWLSPVDLAATRGHTTQVRFYRSGAAFFDVTRLKQAMAKALVAFYPVAGRLGVDNDGRLEISCNGEGVLFVVARSSLVAEDFDFSKPSLELRRIFVPRIEPPSLIMAIQVTFLKCGGVALGTASNHAAMDGTSASHFMQTWSAFFRDGDGAAIELPCHDRTLLRARSPPVVHPDALSVLYPKVTLSQSSSRPNATKVFPISRDQLVTLKRLCGGASTFCSVSALVWKCAGFVRRLPPDTTARLSFPVDVRRPGALEPPLPARYFGNALVSLCVAGAARDIASEALASIAARISGAVARMDDELVRSAIDYLELADKDSRPQRGSLPEAELRIVSWLSFPRRDADFGCGAPQVMSRAESVRGGFVHIMNDGPVHHRGGSAVRVVVCMEAANIKEFERLLYANIAKQASKL >Sspon.08G0012080-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:51823087:51823923:-1 gene:Sspon.08G0012080-1A transcript:Sspon.08G0012080-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAAREPTKKKRFVQKRGQVLKRVLGSLFSWLPRCRLPLFRKNRAPRGRRGNRVPANAGHVEPEPDVANNEPES >Sspon.02G0024760-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2A:85360957:85362046:1 gene:Sspon.02G0024760-1A transcript:Sspon.02G0024760-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPSELIDDAIAEILLRLPPDDPACLVHASLVCKLWRRILSDSTFPHRYREFHRTPPLLGFLGSTFSCASKASRFDPITTRIPFLEPAYGCRALDCRHGRVLFDMVGGTRGSLVVWDPITGDHKVLSDPDPDSYCHSGTVLCAAASCNHRCGCHGGGPFQVVFVSTSYNDDGTNAPARAWVYSSETAAWSAPASVQLPEDSHLYTTKRGALVGDQIFLLTDTGILKYDLGKHCLTTICLPGKYTNCHPGILITMDDGSLMGFVIIKDLTLHLWTRKVNPDGSMGWVQDRVVLLNNLVPIIRSADTVGVVNVIGFAEDVDILLLGEDASGFMLDLKSGRFKKLSNPEYHYYGVFPYLSFYIP >Sspon.03G0028500-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3B:9712360:9713793:-1 gene:Sspon.03G0028500-1B transcript:Sspon.03G0028500-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MELGEGMDEARRPWVSKPSWKVGRPRKNRIAWTELACDGQEAEAASLCKASGIGGMVTGRSDDSDV >Sspon.08G0016150-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:58337358:58342466:-1 gene:Sspon.08G0016150-2B transcript:Sspon.08G0016150-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLYLLVSTGSKGQKSTSNEKLVSAVAETASAITLQEAADSSSKELLDATSLQESISASPGTVPHNIVDGDDALWRSSTFSCEKLVAGASAQTLGEADVSSLEELINTASLQELATASPRTAPCNNTLDGSSTTSPFRHEKTVAGVAESAHAQAIELDQFIEELLGYSSSKIGPQQVHEQCSITPLMAAINGRMDRQGSFHMYPDLGGPFQSLQEAEDAISRHYDELWRQQWHNVQVRAPDGGPYSSSRTKNKDDDYRYLVKALLDQYNEDNNLFGNLAHELDEDAVMEQWIYEDHTWYNHYNFTTKKKEADDISLGWCYGCKNNGSHGMRHPKNSDAYTGGHKDVFLPFDLEEPSSSDDSSSTPPHPGPREHARRAAIPAPGRHGKVNRDLGGDLIDDGRGRVTEREGHPLQRRRRAGEQELYDPVLFAVRASEPVDAHLAKAVETNPALFIRADVTPLPLLLLSSRRRRLFALLR >Sspon.06G0000710-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:1269926:1272342:1 gene:Sspon.06G0000710-2B transcript:Sspon.06G0000710-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPAESQQVELSHLEGRRRNPSSRPGTNGGSARTDDDDSAEKEEVDDCPIEEVRLTVPITDDPALPALTFRTWFLGLLSCALLAFSNQFFGYRQNPLYISSLSVQIVVLPLGKFMAACLPSKTVQIMGWSFSLNPGPFNLKEHVLITIFANTGSNSVYAVGIITIVKAFYHREIHPLAAMLLTQTTQLMGYGWAGLFRKFLVDSPYMWWPANLVQVSLFRALHEKEKRPRGGTTRLQFFLTVLAASFAYYIVPNYLFPTISTISVVCLIWKNSVTAQQIGSGVYGLGVGAFGLDWATVAGFLGTPLSTPAFAIVNIMAGFFLIVYVIVPLAYWSDAYGARRFPIISSHVFMANGSRYDVNRVLDPTTFQFSQAGYDGAGQIHLSIFFAFTYGLSFATLAATLSHVALYHGRSIWEQTKATVRAAGGDVHARLMRRNYAAVPQWWFQVMLVVVLGLSLFTCEGFGRQLQLPYWGVLLAAGIAFFFTLPIGIITATTNQQPGLNVVTELIIGYLYPGRPLANVAFKTYGYISMSQAIMFLQDFKLGHYMKIPPRSMFAVQLVGTVLASSVYFATSWWLLESVPNICDPTKLPEGSPWTCPGDDVFFNASIIWGVVGPLRMFGRLGLYSKMNYFFLAGALAPVPFWALARAFPDSAWAPWLRLINMPVLLGATGMMPPARSVNYLMWGAVGLVFNYVVYRRYKAWWARHNYVLSAGLDAGVAFMGIVSYAVLQSRGINGVDWWGLQVDDHCALARCPTAPGVSAPGCPVH >Sspon.01G0005980-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:14854416:14856457:1 gene:Sspon.01G0005980-1T transcript:Sspon.01G0005980-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQWLSGALVLILCIVKRGEFSRQVVTKLGSSFSTVAFVIVVATCTILAMVATVPLVQLLCFHILLVKKGISTYDYIIALREQEDQQEVPGHQSPQMSIISSVTGFSTASSFGPLHRGSWCTPPRLFLEDQFDAIPPEVGISQNSGSKKTKEEEGARRKTGAVKISPWTLARLNAEEVSKAAAEARKKSKILKPIAKHGAPDNGSKPDHMPSYKRRLDRRGFPAELSLDPLATLSASGTESNYSDTATEICGSLAPLQLEARSAFQPSTAVSTRNVASSPESSFDSPDLHPFRTSSATADEMQGAMTHSAHKGIEFKRSSSDGYEASGGEDSDRIPSRIVHRSSNWANVFLNSSQGGPADVLTTSSE >Sspon.06G0029330-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:20180249:20182511:1 gene:Sspon.06G0029330-1C transcript:Sspon.06G0029330-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RSMAVNCYIGNALILQPSSLDATHIGMSHGSMDLNMIGYAIIVQASSCCSLGTWLPISFSFGFLLHKTLQRFSGIFALSSQPSYSIDQ >Sspon.02G0018730-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2B:62004404:62004673:-1 gene:Sspon.02G0018730-2B transcript:Sspon.02G0018730-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SSRRFCAWASQWATCRWRRSKSSKTSDERHFLVSLLKNWQNVRCLYIKSTMGKPNRVF >Sspon.05G0018550-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:74680462:74684808:1 gene:Sspon.05G0018550-4D transcript:Sspon.05G0018550-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPYFLGFILPFVASLLLTKRKSEKKRGVPANVGGEPGCAIRNHRFERPIETRWEGITTLAELFEQSCKQFACMPLFGTRKLIAREMVVAADGRSFEKLHLGNYEWKSYADAFKTVCNFASGLLRIGHLKDERVAIFSDTRAEWQIALQACFRQNITVVTIYASLGEGALCHSLNETEVTTVVCGRKELKKLIDISGQLDTVKHVIYINEEGVSAELSLAQNCTSWTVKSFEEVESIGLQRPVEENLPLPSDTAVIMYTSGSTGMPKGVMMSHQNVLAVVSAVMTIVPGLGKKDVYLAYLPLAHILELAAEAIITGVGASIGYGSPLTLTDTSNKIKKGTQGDASVLKPTLMTAVPAILDRVRDGVRKNVDAKGGLAKRLFDIAYSRRLAAVNGSWLGAWGLEKLLWDMLVFQKVRAILGGQIRFILAGGAPLSGDTKRFINICLGAPISQGYGLTETCAGGTFSEYDETSVGRVGPPLPCSYIKLVDWAEGGYLTTDVPMPRGEIVIGGPNVTKGYFKNEAKTNEVYKVDERGMRWFYSGDIGRLHPDGCLEIIDRKKDIVKLQHGEYVSLGKVEAALSVCSYVDQIMIHADPFHNYCVALIVAAQSELKSWASKQGITYSDFSDLCQKQGTVKEVLQSLVKAAKQARLEKFEIPAKIKLIPEPWTPESGLVTAALKLKREVIKKAYEMDLVQLYS >Sspon.04G0005180-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:10696716:10703246:1 gene:Sspon.04G0005180-2B transcript:Sspon.04G0005180-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFTPQGKGWTGWSTPTAANQRSGGGAPAASAPLAKGKGRVAELEQELHEYQYNMGLLLIEKKEWAAKFEEISQVLTQKEEILKREQAAHLNAISEYERREENMRKALGVEKQCVADLEKALRDIRAEIAEVKFTSEKKITDAQSLEASLEEKSLEIEGKLHAADAKLAEANRKKSQADRDLEEAEARQRRLEKEKLYFETERKAREKQLKEQEESLQEIKQDELEEARKTVEAAKVTLKVRENDINRRLNELHSQEKDADSKRSALEEREKKLVEREAKVTTREKWTDLALSLVFQEGLQKLLEDHQVELEYRRRDFELELERERKSFDQKMTQNEADLLKREKDVKSLEVKLSKSEQALNDKKKSMESLQNDLDAKSKALKRWDESLKNDEKRLLEEKQQLNHEREQLETYKLELERIKSALEAEKERISEEQNNLKLTEQERQEHSLLTAKLKKEIEEYRMRSNSLSEEMEDLRKQRQKFEEEWEQLDEKRALLVEEDKKLKIERMDLERWRDNEEKRLNDVKLEMDEKYKDQLESLERKEKALTDDIKHKQMENDEFLKGERADLQRKLQLKQHELEMEMEQKQASKEKELEEKDNELNKKMDFVENKLQHAIELNESKIQKILVEKRELQMERSLLLEERKKLETDKADIRRDIESLHSLSKSLKERREKYNRDRKHLIDLSEKYKACKNCGISIFEVSDSLLLKDSAEIEHPSLAVEGDDHALTTDTSGLDTGTLVNSGGPFSLLRKCSTLFKFSPRKKGEQSSEQPAEKNIPFGARLEEATQSDGDYEPTPIYEIAHDSFDAEDELPSDGGTRENEESERHDIADDAQMESSVGVADNSIDILGTQSFDGTNDMAVEATIASVDQNGKDSAAPAEAGVQPETSKQGRRQQNRRGRGKGGVKRTRSVRAVVEDAKTILGEKFEEKNDGQGDKVMMGATRKRRFAGAAISEQDEEGSEAHSESVSLGGQRRKRRQTAGAVTEGPGERRYNLRHSRVANAAAATAQADKKKSAKAGNKRTVEATADDTEGTSKVDEEPAPESKKASESADYGASQLHEFSQAEVGDAHAPVEGAGEEDGDIVDGQGALPDVPMTPSGSELGAEQEDEDDDDSERRNQSISKKLWSFFTT >Sspon.03G0038790-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3C:7256692:7257280:1 gene:Sspon.03G0038790-1C transcript:Sspon.03G0038790-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding EGREREVQLEKPALGLATPDLVMSQAEVECRGATGVDSGHDLLVANGSVSGTLANFGYNSFGSTCTCRHLNVGTNVSQSASPSKLNFRFVGSPIVLHWEGHNSSIRSAIEVSPQYHIGKVFKSLRHSAAISVGRYGVVVGLLFTLGTQAQVRARPKKMENT >Sspon.01G0008090-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:22512360:22514513:-1 gene:Sspon.01G0008090-1A transcript:Sspon.01G0008090-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPEKGGAKVIGGGGGGGGIFNLFDWKRKSRKKLFSNSPEGSKLVKRSDETLLSGRLHLGDEDEGIGVSSFKGSSDYSCASSVTDEEGREMKAPGVVARLMGLDAMPTSGVPEPYCTPFRDTRSFRDSHSLKRSPEYSLNDQFGHVPRRVDGYIRKPLDLRVPKMPSSPIERFQMEALPPRSAKHLPMSHHRLLSPIKNPGFSSARNAAQIMEEAAKILQPRAQASSREKICSFSPARIPLRVSEPRESIPPSQRAVPLKPQSSRTAPELPDVRFSRAQQMNRSWNSEEDIVIFSSSIDSYEINNPSCSNSKNNKGKSISLAVQAKNNVQKREGVTGSGRNSGLQKEHDEQRANQPCRSQSNLQRNKQQKKPSSSGTSSPVLRQNNQKQNSLVTRGKVAPNKTVSTQQGRKLMAGDSSSGKIKSGSKMSKVGGRKDIVESISGDREGSSSNNKDFPQKKRLIERNSTNEKGTFVPEKPVGKLKKQVQPNVVMDEHIKWNKESKDTTDVVSFTFTSPLVKPLTGPSRLAGKWDARSNLDMDAGCDKDDSDNKAEGLSSVGLNFVNGDALSLLLEKKLKELTLKIDPSITFTRGDTFVPATFTLEEPPTSSCSNWVSESGVFDCSPAEVKPSQYDYCPSAQSSTKGQIFRGSKIK >Sspon.03G0006970-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:18991356:18992075:1 gene:Sspon.03G0006970-1A transcript:Sspon.03G0006970-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHTTSHPLLPEVGSFSYSWPTSKPKPQPVERCSCQGDITTSSIATDPASASQCSFDFRLSVSEQTEAMAVADQIFLDGLLLPLHRASQHGQDDGHAARKQPLLTRSLSLDSSQRMIASETTSKQHPPTRQSVSQNSSPFNLRGGHDTVTTASARGAVFRMTKLGLPSFGRWRKQHRWISFRFLVPFYRKMVRCIWRGKATEEKEKDAPQHTGSAKAKLCDLGQESAIRDAILHCKRSL >Sspon.01G0029240-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1A:101629003:101630459:1 gene:Sspon.01G0029240-1A transcript:Sspon.01G0029240-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFIDLEKAYDKVPRNVMWWALEKHKVPTKYVTLIKDMYRDVVTFVRTCDGDTSDFPIKIGLHQGSALSPYLFALVMDEVTRDIQGGIPWCMLFADDVVLVDDSRAGVNRKLELWRHTLESKGFRLSRTKTEYMRCDFSATRHEEGDVSLDGQVVAKKDTFRYLGSMLQKDGDIDEDVRHRISAGWLKWRQASGVLCDKRVPQKLKGKFYRTAIRPAMLYGAECWPTKRRHVQQLSVAEMRMLRWCCGHTRRDRVRNDDIRDRVGVAPIEEKLIQHRLRWFGHVQRRPPEAPVRSGVLKRADNVKSGRGRPKLTWDESVKRDLKEWNISKDLAMDMSAWRLAINVPEPPGLQSPPSRARASMVNTRSAALDIQKKGKKGKKLSTSALGFKVESTRIMMGEIVHADE >Sspon.02G0015600-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:42513015:42519990:1 gene:Sspon.02G0015600-1A transcript:Sspon.02G0015600-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription initiation factor TFIID subunit 10 [Source:Projected from Arabidopsis thaliana (AT4G31720) UniProtKB/TrEMBL;Acc:A0A178V2H6] MMSSNSGGGAGGPGGGMGPGVGGGGDGRHDDDAALTEFLSSLMDYTPTIPDELVEHYLGRSGFHCPDLRLTRLVAVATQKFLSDIASDSLQHCKARVAAPIKDNKSKQPKDRRLVLTMDDLSKALCEHGVNLKHPEYFADSPSAGMAPTTREDLFTLGTSTSGFRTDHADRHGSAGAAVTGIEWQAETAALG >Sspon.06G0002580-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:6598328:6602301:1 gene:Sspon.06G0002580-1P transcript:Sspon.06G0002580-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGGSSGADGEVDAGFAKLQGEDFEYYMQTYSIMLGRNSKKSTVDVDLSSLGGGMNISRHHARIFYDFQRRRFALDVIGKNGCLVEGVLHLPGNPPVKLDSQDLLQIGDKKFYFLLPTRSIFASAAAARHAPIIPPQLPPPSYAHARPGRPRLSEFHDRSFEGDYGREVDEIGNGINETVMRGKLIKRNKKSSVDLDIYGGHRINVEPIGTLGEDSRSEIRSRGDRDIDNQQALQAEEKDVVSSVATVLSDLCGPGEWMPMAKLHTELLDQFGNVWHPSRVRKYLTQDDWSPTETKGRPWFGLLALLRKYPEHFVINTRSKGRMTSEFVSLVSLLS >Sspon.02G0026770-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:73204550:73206571:1 gene:Sspon.02G0026770-4D transcript:Sspon.02G0026770-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding CPPPPPRPARNSAAATSWPELLAPFDLSRLRATLSSHPLTPRRLARLLALPLSPATSLLLLDWYASSHPALSLSSLPLRPILAVGAAGDPDRALALLDSLPPTRLPPLRESLLLPLLRSLPPGRALHLLDQMPRRFAVTPSFRSYNAVLSTLARADCHADALLLYRRMLGDRVPPTTFTFGVAARALCRLGRADDALALLRGMARHGCVPDAVLYQTVIHALVAQGGVAEAATLLDEMLLMGCAADVNTFNDVVLGLCGLGRVREAARLVDRMMLQGCTPSVATHGFLLRGLCRTRQADEAYAMLGRVPEVNVAMLNTVIRGCLAEGKLARATELYEMMGSKGCPPDVHTYNILMHGLCKLGRFGSAARMLDEMEEKGCAPNIVTYSTLLHSFCRNGMWDDARAVLDQMSAKGFSLNSQGYNGIVYALGKDGKLDEAMRLVQEMKSQGCKPDICTYNTIIYHLCNNDLIDEAEHIFGNLLEEGVVANGITYNTLIHALLRNGRWQEGLRLANEMVLHGCQLDVISYNGLIKALCKEGNVDRSMVFLEEMMTKGIKPNNFSYNMLINELCKAGKVRDALELSKEMLNQGLTPDIVTYNTLINGLCKVGWTHAALNLLEKLPNENVHPDIITYNILISWHCKVRLLDDAAMLLDKAISGGIVPNERTWGMMVQNFV >Sspon.01G0023940-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:84756091:84761076:1 gene:Sspon.01G0023940-2C transcript:Sspon.01G0023940-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RDAGHRRRPDPSRRGLPGGGPAVVPGRLLPHRGAHRRRPLLSRQVAPAPPRVRRDHGGVRQGRAQEPEARGAARGGGGEAVPGDAARDRPVHGDGRRRPRHRGADHHRRQLLRAGPQHHRPRAAQALGVRRAAPPLPRARRRVAARGRLQHFAARLFGEAQRHLQDIGGCDIQKQEIREAVELPLTHHELYKQIGIDPPRGVLLYGPPGTGKTMLAKAVAHHTTAAFIRVVGSEFVQKYLGQGPRMVRDVFRLAKENAPAIIFIDEVDAIATARFDAQTGADREVQRILMELLNQMDGFDQTVNVKVIMATNRADTLDPALLRPGRLDRKIEFPLPDRRQKRLVFQVCTAKMNLSEEVDLEDYVGRPDKISAAQIAAICQEAGMHAVRKNRYVILPKDFEKGYRTNVKKPKTDFDFYK >Sspon.06G0021910-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:21983765:21987333:1 gene:Sspon.06G0021910-2D transcript:Sspon.06G0021910-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSAVSFNSGGARRGGGGAQMLLFGGGGSANSNGFFRAGGQWSCGAGVPMVVLGMDDATRVGKRPFFTTHEELLEEEYYDEQAPEKKRRLTAEQVQLLERSFEEENKLEPERKTELARRLGMAPRQVAVWFQNRRARWKTKQLETDYDHLKAAYDALAADHQGLLADNDSLRAQVVSLTEKLQGKETSPSATTAAQEVDQPDEHTAASGTEKLLAQQLKDDLHSSGDCTGHGALSSEEEDGGVVSDEGSFDLPDAMFAAGVTHHGADAEEAQLANWTS >Sspon.01G0051380-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1C:15130354:15130728:1 gene:Sspon.01G0051380-1C transcript:Sspon.01G0051380-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLIGCLYGPRISHPLALCLARADPPTLPVRSARYAPLLRDEPRPRPRRPHPRRVPSSGLAPPVAATLHPPAPAPAEVRAANELHTKRPPRHSAASSSVSASSSVSTSLYSYVSKCFRCFRGML >Sspon.01G0038310-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:26089840:26093344:-1 gene:Sspon.01G0038310-2D transcript:Sspon.01G0038310-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARHKASPPAAVRRRIGGAGASAIRWALRVATSIVAWTLLLHLFTFLGIPRPPLPIARPSCLGGRSNSTAADSVVAAGEAGHLAPPALPPRRLYKSNGYLLVSCNGGLNQMRAAICDMVTVARYLNLTMVIPELDKQSFWADPRCAFCPMLSWSITELGKMILGDIFDVNHFIDSLRNEVKIVKELPQKFSEKVPLSMQPISWSSEKYYLRQILPLVRKHKLVHFSKTDSRLANNGLPLKLQKLRCHVNYNALRFTPSIEVLGNKMISTLRTTGSFIVLHLRYEMDMLAFSGCTHGCSDEETEELTRMRKEIDSEKKRFEGLCPLTPGETTLVLKALGFPRDTRIYIASGEIYGGEKRLAVLKTEFPNIVRKEMLLSDDELQPFQKHSTQMAALGYLVSVASDVFIPSNDGNMAKVVEGHRRFTGFHRTIRLDRKKLVELIDLFEDQELSWEEFSVAVKELHRGRMSPPTRRRIIPGQPKEEDYFYANPHECLGPAKKRRDRLKHMEI >Sspon.01G0037500-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:23452943:23455517:1 gene:Sspon.01G0037500-2C transcript:Sspon.01G0037500-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAGNKRGHPLLRGGGANRERYTHGFSASQMAALTAICGALVPSLPPDRRNGHQQQEDGGHGGGDGGNKVVEEFLLASAADPPVPGEVAEQMSRKCLPEALALVRTVLWLLGTRLGSLALRGAPCLSWRFPFVRRFDELPLEQREAALRRWSRQTLLPPLRMFFLIIKSFCLHVFYSWTDENSKNPHWRAIGYSTPLADDEPAPAESERPEKRPLDDGVVETTKETDASLPALLAAKGLTVADDSAQNVCRVECDVVIVGSGCGGGVAAAVLAGAGHKVVVMEKGNYFTARDYTAIEAPSIEQLYEGGGIISTLNGSAVLLAGSTVGGGTAVNWSACIKTPDDVRGEWARDQGLPLFATDEYAAAMDKVFERLGVTAGCAEEGLQNKVLRKGCEKLGYKVESVSRNSSEGHYCGSCGYGCRTGDKRGTDRTWLVDAVSRGAVILTGCKAEKLLLERTGTGGADGRAKRCVGVVARSTNPAITRTLEVRARATVSACGSLLTPVLLRASGLSNRHIGKNLHLHPTALVWGYFPDTMPDLKGKTYEGGIITSLHKVEGAPGSPARAILETPAMGLAGAATQFPWVSGSDMKERMLRYGRTVHLFSLVRDRGSGTVHGERRVAYHLDATDGENMREGLRRALRVLAAAGAAEIGTHRSDGQRFACRGATEAALEEFLDGVDVVRGPQSKAEAWSLCCTAHQMGSCRMGATARDGAVDARGESWEAESLYVCDGSVLPSAVGVNPMVTIQSVAYCLATGIAESLRRGPVPEEI >Sspon.07G0009630-4D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7D:24573116:24573514:1 gene:Sspon.07G0009630-4D transcript:Sspon.07G0009630-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAHRRLLLLLAVAAAAAASGTAIAKPTAYEVLADYDFPPGILPKGVVSYTLDNATGAFTATLDGSSSCEFSIQGSYTLRYKTEISGTIATDHLTDLEGVSVKVLLFWLNIVEVTRSGDNLEFSIGIVSADFG >Sspon.07G0022560-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:6277627:6280379:-1 gene:Sspon.07G0022560-2P transcript:Sspon.07G0022560-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeobox protein HAT3.1 [Source:Projected from Arabidopsis thaliana (AT3G19510) UniProtKB/Swiss-Prot;Acc:Q04996] LDKIRPEKELERAKSEILRCKLRIREVFQNIDSLLSKGKIDESLFDSEGEISCEDIFCATCGLKDFTLGNDIILCDGACDRGFHQNCLNPPLRTEDIPMGDEGWLCPACDCKIDCIDLINDLQGSDLSIEDSWEKVFPEAAAMANGSKQDDAFDLPSDDSDDNDFDPNMPEEHVVSKEEGSSEDEEDEDGGSDSDDSDFLTCSDDSEPLMDKKKVDDLGLPSEDSEDDDYDPAGPDSDKDVEKKSSSDESDFSSDSDDFCKEIAKSGGHDEVSSPPLPDAKVGNMEKSTAQAKTASSADDPMETEIDQSVVLPVSRRRQAERLDYKKLYDEAYGEASSDSSDDEEWSGKNTPIKSNEEGEADSPAGKGSSVVHHNNDLTTQSTKKSLHSLHGSVDEKHGDLTSNGSNSTARKGHFGPVVNQKLYEHFKTQQYPSRSVKESLAEELGLTFRQVSKWFETRRHFAKVASSRKGISPDKHSPENTNSPVTPSMQPKEPEGTVMEESNVSINGDATISKKAVSSKVGSRKKHGKNSPGSDVGGSKVDSAEDQNPGSDLAAEKARQKAVQQELKKKKMGR >Sspon.06G0008330-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6C:37873038:37873912:-1 gene:Sspon.06G0008330-2C transcript:Sspon.06G0008330-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVERHTTGRPTARRRRSAVGERWSTPEKIWVKSATLRPTSPLRRPATTPRRSGKLRMLVTSTAVTNHALLFVP >Sspon.07G0036630-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7D:39910787:39911955:1 gene:Sspon.07G0036630-1D transcript:Sspon.07G0036630-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MYVPLFPSLVAFLKSVKLLHGRPDTPDARRTGTRPTPRVIRPRGHDRLPSRSLLSLPILSPHPVCFFTALACSPSTLLVGRRPLLPPRLPHCVGGDYQRDALPFPPSLSSMASVTSMPPVSGATVAASVESRASLPPRLFSPRAATSMEPERRATVAAVACRRGRWGAPLDLSLLPPTTYPPPLDLMSPPPFPPPPPPPTPPLGLDLKDAVSVFVHVVRATLGAGGFRARERRKGIPISTSSRCGGERGVIQSGRDVVR >Sspon.03G0026310-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:2376083:2380972:-1 gene:Sspon.03G0026310-1B transcript:Sspon.03G0026310-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSMEASTAPENGSAAGGGAGGTACNGADAASNGGGMERRLRSSAASASWAAHLPLEVGTRVMCRWRDQKLHPVKVIERRKGSASSSPTDYEYYVHYTEFNRRLDEWVKLEQLDLDTVETDVDEKVEDKATSLKMTRHQKRKIDETHVEQGHEELDAASLREHEEFTKVKNIAKIELGRYEIDTWYFSPFPPEYNDCPKLFFCEFCLNFMKRKEQLQRHMKKCDLKHPPGDEIYRSGTLSMFEVDGKKNKVYGQNLCYLAKLFLDHKTLYYDVDLFLFYVLCECDDRGCHMVGYFSKEKHSEESYNLACILTLPPYQRKGYGKFLIAFSYELSKKEGKVGTPERPLSDLGLLSYRGYWTRVLLEILKKHKGNISIKELSDMTAIKADDILSTLQSLDLIQYRKGQHVICADPKVLDRHLKAAGRGGLDVDVSKLIWTPYKEQG >Sspon.05G0028160-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:63124952:63128382:1 gene:Sspon.05G0028160-1B transcript:Sspon.05G0028160-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MELAVLIIGAGPAGLATAACLRQRSIPYLIVEREDCSASLWRYRTYDRVKLHLSKEFSSLPYMPHPDGAPTYIPKEDTWTATPNILTSSPGIALPLSAAYDEETSRWIVAARDTVAGTEILYAAKFLVVATGENGEGRIPEILGLESFHGEVIHSSTYKSGSSYAGKRVLVVGAGNSGMEIAYDLASHGADTSIVARSPVHIMTKGLIRLGMTLVQYIPITIVDLFIANIADFVFGDLSKYGIVRPRIGPLLLKSKTGRSSVIDVGTAGLIKKGIVKVFKGISKIIGNNVQFECGNDGEFDAIVFATGYKSTTNLWLKDDKCMLNSDGRPSKGYPNIWKGENGLYFSGFARMGLAGISKDAYNIANDI >Sspon.05G0013220-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:37209849:37211609:1 gene:Sspon.05G0013220-2B transcript:Sspon.05G0013220-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHQATIVIDHLTANSPLLKAVFPLLVPVLLLFVLLRYFSTGTTTINNKQGKKLPPSPPALPLIGHLHLVGAHPHVSMRGLAGRHGGEDLMLLRLGTVPTLVASSPRAAQAVLRTHDQSLASRPRSIFGDTLGYGPSDVGFAPYGDGWRQAKKLVTTHLLNTKKVQSYRTARDEEVGVVIDKIHRAATAGEAVDLSELLSSFTTDMVSRAVVGRSFRVDGLDKVFKEVLDASMAVLAGFNLENFYPGLANVAGGVLMWPGRRKAERLRDRWDEVLDKVIDQHASVAAAGAPPAHHESDFTHVLLSVQEEYGLTRDGIKGILSDLFAAGTDTAYLVLEFTMAELMLHQDVMARLQAEVRSSMPKDQEVITEEYLTGMPYLKAVIKETLRLHPPSPLLLPHQSLEECTIDGYVVPAGTTVFVNVWAIGRDPRLWGDAAEEFMPERFINKGATEGVDFRGIDFQFLPFGSGRRMCPGMNFGLANVEIMLANLVCHFDWEMTGGADILLLFVFNASNNG >Sspon.04G0008110-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:23264971:23270854:1 gene:Sspon.04G0008110-1A transcript:Sspon.04G0008110-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETVFTPSLEAMKHVKAENGIILTKPFLDVCKQILPVLDKFGAAMAIVKSDIGGNITRLESKYSSDPSKYERLYSMAQEEVQNKTAKGSSSCTNGLLWLTSFVLLDQHAKYVTFIYSKIPHVRAMDFLVELFRNLLEHPDWTMSQACTDSYTKTLKKFHGWLASSSFTVAMKLAPNRDKFMEVISGTGDINADIEKFCTTFSPFLKENHEFLVCCFATSISCEIAQEAAILAGECRCLTKQTR >Sspon.02G0020030-3C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2C:69767123:69768037:1 gene:Sspon.02G0020030-3C transcript:Sspon.02G0020030-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAMATNRSPLQLPLMALAVAALFIVGSHAGSISIYWGQNKGEGSLADTCATGNYKFVNIAFLAAFGNGQPPVFNLAGHCDPTNGGCASQSADIKSCQSRGVKVMLSIGGGAGSYYLNSSADARNVATYLWDAFLGGQSSSTPRPLGDAVLDGVDFDIEGGTPLHWDDLARYLKGYSNSGRRVYLTAAPQCPFPDAWVGGALNTGLFDYVWVQFYNNPPCQYTSGSTTDLADAWKQWLTIPAKRIFLGLPASPQAAGSGFIPADDLKSQVLPLIKSSGKYGGIMLWSKYYDDQDDYSSSVKSDV >Sspon.06G0007650-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:33588619:33600551:-1 gene:Sspon.06G0007650-1P transcript:Sspon.06G0007650-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSSPAMASPPLAAPGTHRGPGFSTLCLSPSPSWYPPLSASSSPAISTVSASTGLKPKPRGLGLRCQAAEESPPPVRGKPPLKVMISGAPASGKGTQCRMIVEKYGLVHISTGDLLRAEVSSGTEIGKKAKEYMDNGKLVPDQVVTDMVVSRLSQLDVQERGWLLDGYPRSFSQAQSLENLKIRPDIFIVLEVPDDVLINRCVGRRLDPVTGKIYHLTNFPPENEEISARLITRSDDTFEKVPLMPT >Sspon.02G0030830-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:106697075:106700582:-1 gene:Sspon.02G0030830-2B transcript:Sspon.02G0030830-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPSEKAFSAAVSALSIYNKDGIVVYDGKGLFSAARLCHACYLDNRMFRVFGHDKVWVLDGGLPQWRASGYDVESSASSDAILKASAAGEAIEKVYQGQSVKENIKTQTHQLIDARSKPRLTDLKLQFRFDGAVPEPRKGIRSGHVLDSSQKLLPPDELRKRFEQEDIQNRRGAEAPICGHLSRVLVSVVLDRVVPVSVLLLPPPVVTEDV >Sspon.01G0061280-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:92508311:92512211:-1 gene:Sspon.01G0061280-1P transcript:Sspon.01G0061280-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQQHLMQMNQNMIGGYTSPAAVTTDLIQQYLDENKQLILAILDNQNNGKVEECERHQAKLQHNLMYLAAIADSQPPQTAPLSQYPSNLMMQPGPRYMPPQSGQMMSPQSLMAARSSMMYAHPSMSPLQQQQAAHGQLGMASGGGGGTTSGFSILHGEASMGGAAGAGAGNSMMNAGMFSGFGRSGSGAKEGSTSLSVDVRGGTSSGAQSGDGEYLKAGTEEEGS >Sspon.05G0003150-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:9371240:9372391:-1 gene:Sspon.05G0003150-1A transcript:Sspon.05G0003150-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNNRPSNSSSVDNHQMLPETWSTCRTQAITGTHNFVVTDFSPLDVMGPGDYVSSGTFFVGGCEWSIQLNPGGEGAKEDDKPAAYTTVRLNLLDGPPGMRVHISLLFDKDSQAPSSGKKGKRKKKGSAGQGDKQVAIIARTLFTDTRTYDTEHSNNWGWSDFIEKSKLRELPHGFTIRCAVTVEACLRFIAWPEMLGAVLQTVGFKDLIASCPLIMKEILDQIASAKA >Sspon.02G0009910-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:22011814:22014776:-1 gene:Sspon.02G0009910-4D transcript:Sspon.02G0009910-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLRLVATLGPSSPPRAPRPELRRPPSAVRLTGGVGLVAAAAVAVAGAAAASPPALAALSEPANALSLPTWAVHVSSVAEWVTAMWLVWDYGERTGLKGWKGLSWGMVPLLGGAMCACTWHFFYNSESLEVLVALQGALTVIGNITMCIAAYRIFKASQEGSKTS >Sspon.08G0022970-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:50357378:50362812:1 gene:Sspon.08G0022970-2D transcript:Sspon.08G0022970-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MCVEEPEDAERLGFGDAGVEEPAKFPLAQMERVCENPTSADFRQKLSNFVPVIRSGDWSDIGGRQYMEDTHVCIPDLAKNFGFPSLDNEVVSFYGVFDGHGGKDAAHFVRDNLPRVIVEDSDFPLQLEKVVRRSFMQIDCQFAETCSLHRATSSGTTALTAMIFGRSLLVANAGDCRAVLSRCGTAIEMSMDHRPCSLSEKLRVESLGGYVDDGYLNGLLGVTRALGDWHLEGMKEVGEPGGPLSADPELKMITLTKDDEFLIIGSDGIWDVFSNQNSVDFARKRLQEHNDVKLCCKEIVEEAIRRGATDNLTAVLVSFHLEAPAQIKVDRPGRVHGAYQLKGSIASGYSWVGSSRILVAIRDKMKNSLPLVVVVIVLFLVTARVQGIRLDAESHEAFNNQMVHKPGEMGVRYTDNEPSGGKMEESISEEKAR >Sspon.01G0027530-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:96372720:96373504:1 gene:Sspon.01G0027530-1A transcript:Sspon.01G0027530-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMSLRKRQRSASSSRLAAFCSPPSPPPPSSTSTSPDSLTFPNADVFLRLHLDPSSPDDVDAMPDTDYHLSAASSGTFLDLHVSSASLLRSRYFAALLSDRWPTAASGRLSLAVVAPPSCPRPFDAHIQVLRLLHTLDFAGAIRSPGDALDLLPVALLLLFDACVEACIRFLEAVPWSEDEEARVIDLARLLPADEAADLLARVSPPPSPQVLLPLRGSPSEAMLHGLIHSAIHGHPVHAATKAFVAMLLKDYPSRDC >Sspon.08G0012610-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8D:52459322:52460368:1 gene:Sspon.08G0012610-2D transcript:Sspon.08G0012610-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DQIIRSPLSKIVVVIWCFAVLVVVQSYTANLSSMLTAKMLRPMVTDLNQLLHNGDYVGYQYGGFTRSFLIKQGFPLNRIKAYSNQQEYAEALRKGSKNGGVSAILDEIPYLTYFLSNPQYKKEFQMVNRMYKTLGLGFAFPLSSPLVHNLSIAILSLTGDYEGPQIEERWLGPAAPSVGDSPISGFTALTLQSFSGLFIITGCISALMLLITIVRLAYAKYKRSKGSELQNADGYAGSVCLGESVELQNDRGDGSVPDQHLHEIRDNNYHDSKEGNGSAADIEAGPMQNSMYNGPVPADCVRIEMESTGQGVGMSV >Sspon.02G0003630-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:10467102:10469284:-1 gene:Sspon.02G0003630-1P transcript:Sspon.02G0003630-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPVDVGVAEHNHHHHGLHYPTPASATKISIPVSSGEAALFGKGRYKAWALAAIALLALWSMFAASVTLRLSSGELAATFGDVSDLLIDDLDPLEMEQREKLVRRMWDVYTRTDNHVRLPRFWQEAFEAAYEELAGDDTLATDAAISEIARMSIHRPEERNSRTGRAFGRVELAG >Sspon.01G0026670-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:94444508:94445150:-1 gene:Sspon.01G0026670-1P transcript:Sspon.01G0026670-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLVETSLEFAYGGSAEADGLSAGFDVPDMELASFAADMESLLMGVNDGFDDLGFLDEEKPQVNADADLVAMAAPATEREDKKRKRPEMILKLNYEGVIASWVRDGGSPWFHGERPHLDPYELWSDFPAGSRGLFGGAVTAVTGGEREARVSRYREKRRTRLFAKKIRYEVRKVNAE >Sspon.08G0022510-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:49339383:49345529:1 gene:Sspon.08G0022510-1B transcript:Sspon.08G0022510-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLVSVFGNDVDAYYDRLLAGESGIGPIDRFDASKFPPIRGFSSEGYIDGKNDRRLDDCLRYCIVSGKKALEAAGIAHGSKPMEKIDKTRAGVLVGTGMGGLTVFSDGVQNLIEKGYRKITPFFIPYAITNMGSALLGMDIGFMGPNYSISTACATSNYCFYAAANHIRRGEADIMIAGGTEAAIIPIGVGGFVACRALSQRNDDPKTASRPWDKDRDGFVMGEGAGVLVMESLEHAMKRDAPIIAEYLGGAVNCDAYHMTDPRSDGLGVSSCIKQSLEDAGVAPEEVNYINAHATSTLAGDLAEVNAIKQVFKDPSGIKINATKIFIHCPFLMADVIFHLQSMIGHCLGAAGGLEAIATVKAITTGWVHPSINQFVSNSTKPEEAVEFDTVPNVKKQHEVNVSRIPLDLEVTILSYCGLVVGVPYALK >Sspon.02G0058620-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2D:81345510:81346237:1 gene:Sspon.02G0058620-1D transcript:Sspon.02G0058620-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VWTPVVAGMTGVILFHTMAPMPPYIVHGRACPIHGGGRHGRGPRGSQLHLRALPVPRARRKYAEGVGCFHAYVAGVRRGRDCSRQVRQKLFAEPSWPLLGASGGDRPPASFWPLYGLPPSQACGEGGEDRPAMGAGHRRALAMQRPGGRADRRCRRLPASSSRAGWEEGAGKEL >Sspon.01G0011100-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:31033682:31035642:-1 gene:Sspon.01G0011100-1P transcript:Sspon.01G0011100-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKHGVVLEDVAAARHFSFPPPRTATVAGSGDSCRKLAAQVVDLSPAVVGSWLDSMKASSPRHRLMAPLPGAADAEHDDWMERHPSALDRFDALAAAAKGKQVAVFLDYDGTLSPIVEDPDRAVMTDEMREAVRGVAARFPTAIVSGRCQDKVFSFVRLAELYYAGSHGMDIRGPTADPNHHGKAESSVLCQPASEFLPVMEEVYAALVSQVEPSIPGAKVENNKFCLSVHFRCVEEHAWAALFEQVRAVLKDYPGLRLTQGRKVLEVRPMIRWDKGKALEFLLSALGFADAAKDDVFPIYVGDDRTDEDAFRVLRARGQGAGILVSKFPKETSASFTLRDPAEVKEFLRKLVVVNANMTNSIDLCQANSTRPPHGGRWLPGRTHL >Sspon.02G0021960-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:73287639:73294627:1 gene:Sspon.02G0021960-1A transcript:Sspon.02G0021960-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFNPPVPQQDSDWEIRVAVQVSLLLQVFLIFVGPMRKRSSSPIPRFTVWSCYLLADWVADLALGLLLNNMGNIGGGGNSSSSFGLKRGGGGVAGNNNNASSGSSSPIIFAFWTPFLLLHLGGPDTITAYSLEDNELWLRHLIGLLFELFSACVIFFCSLHGNPLIHATALMFVVGIIKYAERTYSLYSGSVDGFRNKILDDPDPGPNYAKLMTEFDAKEKAGLVVEIAIADGEASKAHKEMERQETQRLVLDKEKSVEARAYEFFLIFRRLFVNVILSFKERRLSQAFFLRDTEEIRRSSDDEPTIIRKAFEVIEVELNFIYDMVYTKAPVAYSSWHCWGPGPGWVLRCICSCCLVSALVIFFFLDKPGHQILPVDVGITYALLLGGLALDVAALLMIFFSNRAKVYLETKSRRFRWLARLREAAKCWRTRRWSGKTSQLNLISHALGKPDQLGKRAAFCLGLNKIAWCLRIEDIVDQFVFIRRVRLQKDEEEERCGCLGRFFRERLVPKCCRNEENVSESLLFGFIFRGLKDAVQKLKKEQERKAQKLKMEQEERTEAAAAAAGTGTTPEQEASTEEADKDAMAEKDTIAEVCRRRGDGVVKSHKDDIIKLVKATTDGDGVVKSHENGIKRFKVILDSVVQSDFDESLLLWHIATDLCLLRDKQGPMPEKTMDMQAIAETLSEYMLYLLIKQPDMLAATAGIGLLRYRDTRAEARRFFDSMAAWKPDHTDARRMLLSVNTTKKPVQVKGDRSKSVLFDAVILAKALRELDDDQLMWEVITGVWGEMLTFAAAKCRGSMHVRQLSRGGELITLVWFLMAHMGLGDMYQIQEGDAKAKLIQDSSWDVQVAVLLSFLLQVLLIFLGPTRRRSSAIWSRLTLWSCYLLADSIAVLALGLILYNVGNISLGGSHMMSAFWTPFLLLHLGGPDTITAYSLEDNELWLRHHISFLFELFSAAVIFFCSLQAGNPMIHATVLMFVRRGLPQEDPWPPEPGPNYAKLMTEYDSREKAGLAVQIAIAADGEAEQAHKQETTRLVQDTNKSVEARAYEFFRIFRRLFVNPDGGGSTRPPRSCTSRTSWTTSSSSAACHYASPQDDKQDGEDAPPVLKFIFDGLKPAAEKLKGKEDIMEMCNCRGKRVIQRHATEIKKALGEKIKAEVVEEKFKVILDSVVQSDFDESLLLWHIATDLCRYRANDVIVPAAAGDHAVGTLSQKTMEMQAIGETLSEYMLYLLIKQPDMVAATPGIGLLRYGDTCEEARRFFRSLEAWIDGHDDARRMLLGVNTSEKPSVVKGDRSKSALFDGVILAKALRELDEELMWEVITGVWGEMLMYAAGKCRGSMHVRQLSHGGELITLVWLLMAHMGLGDMYQVQEGDAKAKLIVKDQ >Sspon.01G0003610-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:8812028:8814258:-1 gene:Sspon.01G0003610-3C transcript:Sspon.01G0003610-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKAGRWLRSFLSGKKDKPPQAVDPPAAPKDKRWSFRRPPAAQEGRAAEPAGRGGPPDQDQHQGPLGFPAPGVEFDQKKHAVAVAVATAAAADAAVAAAHAAAAVARLSSRGAPRMELPPCLVEDAAAVRIQATFRGYLARTALCALRGIVKLQALVRGQLVRKQANATLRCMQALLAAQSHLRAQRMLALQHHDHHPTPPRPRQSPQHPRHRRSYEMDRSCEDNAKIVEVDVGEPVRRSSGKDRQLFADHHHAGRSSPAPSAVTELMSPRAYSGHYDEFSVANTAQSSPRHQASEACPGYMANTESSRAKARSQSAPRQRTDALERLPSRRKGTPPRTAKMQRSSSLAGGAGATPRGAGHYSSPWSSGARLDVSTASVKDSECGSTSSVLTAATVYSRTRSLVGFEVRRGLY >Sspon.01G0010000-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:34503640:34507546:-1 gene:Sspon.01G0010000-2B transcript:Sspon.01G0010000-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SKU5 similar 3 [Source:Projected from Arabidopsis thaliana (AT5G48450) UniProtKB/TrEMBL;Acc:F4K1P9] MPPLLLLLLLAAALAPAPARAGDPYAYYDWEVSYVSAQPLGVKQKVIGINGQFPGPPLNVTTNWNVVVNVHNALDEPLLLTWNGVQQRKTAWQDGVLGTNCAIPAGWNWTYTFQVKDQVGSFFYFPSTPLHRAAGGYGAITINNRDVIPIPFGFPDGDITLFIGDWYNRGHSELRRALDGGTLLGAPDGVLINGLGPYQYNESVVPSGIVYERINVEPGKTYRFRVHNVGVSTGLNFRIQNHNLLLVETEGSYTSQQNYTNLDIHVGQSYSFLVTMDQNASTDYFVVASARFVDSAVVDKLTGVAILHYSNSQGPASGPLPDPPNDQYDTAFSINQARSIRWNVTASGARPNPQGSFHYGDITVTDVYLLQSRAPELIDGKLRSTLNEISYIAPSTPLVLAQIFNVPGVFKLDFPNHPMNRLPKVDTSIINGTYKGFMEIIFQNNATNVQSYHLDGYAFFVVGMDYGLWTENSRGTYNKWDGVARSTIQVFPGAWTAILVFLDNAGIWNLRVQNLDTWYLGQEVYVNVVNPEDSSSTLPDNAIFCGALSSLQKEQSHRFQYSEAAPVPQWGETI >Sspon.03G0007710-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:25822980:25826172:1 gene:Sspon.03G0007710-1P transcript:Sspon.03G0007710-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSPGKWIKSVLLGKKSTKSGSTKVNEPADNNRYSTGEDRTLSENSPVISEPVLVNVHKNVAVNGKDENASDRAGQQDLQNQSIVESKSSAPGQLGEDQAAAKAQAAFRGYLARRSFRTLERHHKTSGTDSRASCKEAGCINPSYNMVDCEALVRGRNVRLSGVHMQFIVKFGQRNFGGVGSSDAWKEKLSSNAYVRKLLSSPIVLEPLHFQYDKRDPNSTYNWFERWTIGCIWKPAFQPKRVADGKPLVKKASYAMETESAKLKRNIRKGSAAIAGSFHTSGESDKVKRNPKKFSSFPADSVPDSQLSELEKVKRNLRKVTDSMAEASKISSSRVDSSKVCDSAADVPKESNPVAEISKIPSLLSGISDHQDIQCENTREASFPLETQECSDNDHLLRYSNMDSLDLVPGLKSDQEIQLDSLSVGENVDDPTVVAPAVEEMPLQNIDIEDNVLWKKDEARSKEEHLSNGSLRTSKRKSSFPSKSEYVENGTHATPVQPRQPSYMAATESAKAKLRAQNSPRLDSDSSAEKNGFTRRHSLPSSTK >Sspon.02G0011840-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:24497608:24509745:-1 gene:Sspon.02G0011840-2D transcript:Sspon.02G0011840-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:E3 ubiquitin-protein ligase UPL5 [Source:Projected from Arabidopsis thaliana (AT4G12570) UniProtKB/Swiss-Prot;Acc:Q9SU29] MSAALACLRRTSKRRRDAPDHALPPSSKQPLMAHAGADAAESSSASASGGDGASAAAAVAAASLPPASPREVHFFVRATDSRTIAMHAGWDDTVGAVLDHLGACGYGRDLRLVYAGRQLAPEATLAELRLLPDSTLHLLARLRSTQHPHAWQLASHIASTAAAAESEPSRIPAAAFALDELVKEFILRAHRANLGLSRHDRASTGEDRAAADHAAEYLDIFLQAGAALALVRLYLSKSPFRSYAESAIKCFVATDPSSLPMDVLVVTAPVLLEFCRLLSLAAGKKELLYRSCRRTLASVLSTRPRLPPSMNSPTRLIEQVLPFARETVDVVLDGLASMPMIISPSDLDEFSNFLKVMCQQARHWISGEGPMPRNLYSRESEHGDTWIWRFHNMSMDMLKRLDECLKTLEMDLSLSSESTGVMDSQTTWAARSHILVMLTELDFISAIYEDVGHNLQLVLLAHRAPLNALVRCSKRNERLHWLVKHKNLLCFEARRNLVFMMLPEGKDDFGELHEMLIDRSHLLDESFNYIAQAKQTELRGGLFMEFKNEEATGPGVLREWFCLVCQALFSPKQVLFSPCPEDKRRFYLNETSAVDPLHLKYFTFAGRIIGLALMHKVQVGVVLDRTLFLHLAGRSITLEDISVADPVKYASCKKILEMDAAEIDSLYLTFSRGAHELGTEKVIDLCPGGQDISVNIRNREHYIDLLIKNIFVDSISSQLTHFTEGFADILVNPKRRGEFFECLDLEDLDRLLGGNNNAINLQDWKSHTQYNGYKEKDRQITLFWKAVERMSIERQRQLLFFWTSVKYLPSDGFCGLSSKLYIYKTSDSPDRLPSSQTCFYRLCLPPYTSLKMMENQLQKITQEHVSCSFGT >Sspon.07G0020210-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:71571812:71573483:1 gene:Sspon.07G0020210-2C transcript:Sspon.07G0020210-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGGPSAAGGGAAAAAPKDSWPEVVGMSSEEAKKKIKEDKPGADVQVVSADAFVTMDYNTGRVRVFVDSNDKAIPEIDESYAKME >Sspon.01G0050110-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:119909910:119913000:1 gene:Sspon.01G0050110-1B transcript:Sspon.01G0050110-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSKSAHRSVQPKKLSFQLLEEITDNFSEELILGRGGFGVVYKGKYRSGEEIAVKMLNPMKELDDKRFWNEFQNLTRLKHPNIVELVGFCNETEKKTKLFNGRPVTAEEIHRALCFEYMHNGNLRKHLTDPHQGLDWHTRFNIIKGVCDGLRHLHKELEAPIYHLDLKPDNILLDKNMVPKIADFGLSRLFGNENTQKTLSSLGGTRGYMPPEYIRDKIVSGAFDIFSLGVIIIKIMMGPTGYYQVDDMEASEFIEF >Sspon.04G0008720-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4C:25893136:25894230:1 gene:Sspon.04G0008720-2C transcript:Sspon.04G0008720-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRNRNSIVTAAGMEVLTVGGKMSSQQLLRKRLASELDALRGLLKKAELISQRGACKGGTPTAGKKDRFLASNQRPKPIEEDFNAPSVKRRKISTLVEQKQKQVRTPRMSPEERNQLAGRLSSLSGELPGHIVEFLQKHFGDADSHGEIEINIDSVEDSILFELKTLLDKLAEESKDDKLFEKESKGEVVLEQQEEEYIDICGLSPIIETGNVPSSSSSGDSDSDSDSSSESDSGSESDSDQMMGISAQQPSEPASGTAQSTVQAESVSGESTGGPAPLTVAASEVAQSAEAKKVQAVQRAAPKAVYMPGLIYRANLRRQLLEMERAALPDESIHPRDLQRLGIAEYGCPSVMRQIGLVLKADA >Sspon.03G0018120-1P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3C:77138744:77141469:1 gene:Sspon.03G0018120-1P transcript:Sspon.03G0018120-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKIFGAKKDKGPPPSIQDATERINKRGETVDEKIKKLDEELARYKEQIRKTRPGPSQEAIKARAIRLLKHKRMYEEQRNMLYNQTYNLDQVAFAADGLKDAQQTMNAMKAANKELKGMMKTVKIDEIDNMQDEMTDLMDVSNEIQETLGRSYNIPDDVDEEELMGLDALESDMEFESAAVPSYLQPESDFDADLNLPAAPTRPAAVPAGGLQEDELGLPAVPRASLRS >Sspon.07G0014090-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:50899349:50900589:1 gene:Sspon.07G0014090-1A transcript:Sspon.07G0014090-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SMDGIGMDMAMAMVGFEFEDLDQVTAMSPGSSATSSTPAAAATAAAPPAATGDGSSEADAASTGDLRRGPWTVDEDILLVNYIAAHGEGRWNSLARSAGLKRTGKSCRLRWLNYLRPDVRRGNITAEEQLLILDLHSRWGNRWSKIAQHLPGRTDNEIKNYWRTRVQKHARHLRCDVNSASFRHVVRHVWMPRLRERAQADSEDLQPVAAATPLAVQAAPATTTVSAPPACFNYYGMREKTLPRTGRSRETTLAVDMTKKQEKHISN >Sspon.02G0049220-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:35104345:35105162:1 gene:Sspon.02G0049220-1C transcript:Sspon.02G0049220-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTVVLSLMLHFRFPLHDDDYRRKQSSEKKPLHGGMACRPAAKWYDGDGTTARMNAGRRDQAVALSPLPVGPFDATPIRQVWIRNRTQKAGRRRARDGSTTQKAGRPPCTRCQDVKCG >Sspon.01G0003660-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:10287469:10288097:-1 gene:Sspon.01G0003660-1A transcript:Sspon.01G0003660-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLLSLQRSPQQPPCASLTTARLPSSSLHSPSQRGLGCAFSEFHDPEPLDAPRHLPWPLPSLATCTRDPPLPWPCPLAVSACSGPLAQHRSGGGGRRSGVASGPMVSMQEPQGSVSV >Sspon.08G0006060-3C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8C:18934192:18936064:-1 gene:Sspon.08G0006060-3C transcript:Sspon.08G0006060-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAFVGKYTDELIKTAKYLATPGKGILASDESTGTIGKRLSSINLENVESNRQALRKLLFTAPGVFDYLSGVILFEETLYQKTSDGTPFVDVIRAGGAVPGIKVDKGTVEIAGTNGETTAQGLDSLGARCAKYYEAGARFAKWRAVLKVGPAEPSELAVRQNAEGLARYALICQEFGLVPIVEPEILTDGGHDIKTCAAVTERVLAAVYKSLNDHKVLLEGTLLKTNMVGAEVIAEYTVAALRRTVPPAVPGVVFLSGGQSEEEATQNLDAMNKLEVLKPWTLSFSFGRALQQSTLKKWLGKKENVAAAQATFLVRCKANSEAGLGKYTGSGAGDAAASESLYVKGY >Sspon.05G0001900-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:5745507:5745896:-1 gene:Sspon.05G0001900-4D transcript:Sspon.05G0001900-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin-responsive protein IAA33 [Source:Projected from Arabidopsis thaliana (AT5G57420) UniProtKB/Swiss-Prot;Acc:Q9FKM7] MSSGGAASASASGSFEQHAKRRPPAGPPPRKLLTLSVQDGDVAAGVVPPVTVVLDGRCICHRVHLNRHTGYRSLAGTLRRMFVDADDHDRQRGGEDDDDLDLANAVPGHVVAYEDLEDDLLLAGDLKWK >Sspon.03G0009210-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:39364550:39368828:1 gene:Sspon.03G0009210-2C transcript:Sspon.03G0009210-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MANSNLPRRIIKVRARSDHPGADLSPASDTIRCVLASPGISASPSEENMRYFNVMILGPAQSPYEGGVFKLELFLPEEYPMAAPKVRFLTKIYHPNIDKLGRICLDILKDKWSPALQIRTALLSAPNPDDPLSDNIAKHWKANEVEAVETGLNNQYIMACLDGVMVT >Sspon.01G0046460-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:91083655:91089585:1 gene:Sspon.01G0046460-2D transcript:Sspon.01G0046460-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPHPHPHPQEDKSDRHSAGAGASREQERRPSKAWGILIFGLIGVTTASFAPASPRQDATMRCLLSTPLRRGLSTAAADDPSLASSAEHAYRLLRRHHSDPQRLAAALSASGLDASSPHLLDAVLRRCGAASSLALHFFHWCSPSLPSPLPPSPRLLRAVPVPPRAAPSQLLGPSLLCPVLRRLPQPRLLPFALSLLSARPDHDQPALFLSLLESLSKAGHVVTAEQLVEELQPRFPLSLRHYTALLYGWCRQGKLDEAKHVLARMKAADVALDVVAFNTLLAGFVADGRFEDAFELAGQMELRGCPPNAVSYTTLIQGLGSRGRVDEAMRVFVEMRRKGCAPDAVTYGTLVGTFCKADKISQGYEFLDAMSRDGLRVDAAVYHGFFVVHEKKEQLEECLELMERMRECRCPPDLKIYNVVIRLACRLGETKQAMTLWNEMESGGLSPGVDTFAIMVSGLVGQGLLIEACSYFKDMVGRGLFVAPQYGVLKDLLNALVRDEKLELAKDVWECIVSKGCELNVSAWTIWIHALYAKKHVKEACLYCLDMLEAGLMPQPDTFAKLMKGLKKLYNRQIAAEITEKVRKMAEERHVSFKMYKRRGVRDLEEKPKAKRRGQKQRRRRQPGHGQSSRNADILDASDEVEFSG >Sspon.02G0027340-3C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:122999272:123003110:1 gene:Sspon.02G0027340-3C transcript:Sspon.02G0027340-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPDAAQNPSPVPPPISAYYQTRAEHHAVVSSDWLAHAAAAAAAFPGAADAADAAAPPPSSPGAGGGVIEEFNFWRRKPEAAEAVAAIMALAAVIRSSRATTMMELEIELKKASDKLKSWDATSISLSAACDLFMRFVTRTSHLEHEKFDAAKSRLIERGEKFGEISLKARKTIAMLSQDFIYDGCTILVHGYSRVVLEVLKLAASNRKLFRVLCTEGRPDRTGLRMSNELAALGIPVKVLIDSAVAYSMDEVDMVFVGADGVVESGGIINMMGTYQIALVAHSMNKPVYVAAESYKFARLYPLDQKDMTPAHRPIDFGVPVPTGVEVETSARDYTPPQYLTLLLTDLGVLTPSVVSDELIQLYL >Sspon.01G0006700-3D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:18152132:18158862:-1 gene:Sspon.01G0006700-3D transcript:Sspon.01G0006700-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLSRELVFLILQFLDEEKFKETVHKLEQESGFYFNMKYFEDEVINGNWDEVERYLGGFTKVDDNRYSMKIFFEIRKQKYLEALDKHDRSKAVEILVKDLKVFASFNEELFKEITQLLTLENFSLNWQHQLCKNPRPNPDIKTLFVDHSCGQPNGARAPSPANNPLLGSMPKPGGFPPLGAHGPFQPAPTPVPPLAGWMSNPPAVTHPAVSGGAIGFGTPTNPAALLKHPRTPTTANPGMDYPSGDSDHISKRTRPVGMSEEQVNLPVNMLPVTYPQSHNYQQDDFHKTVARTLSQGSTPMSMDFHPLQQTLLLVGTNVGDIGLWDVGTKERLVVRNFKVWDLSKCTMALQIDAHNGGVNDIAFAHPNKQLCIITCGDDKTIKVWEATSGVKQFTFEGHEAPVYSVCPHYKENIQFIFSTALDGKIKAWLYDNLGSRVDYDAPGHWCTTMAYSADGSRLFSCGTSKDGESHLVEWNESEGAVKRTYQGFRKRSMGVVQFDTTRNRFLAAGDEFMIKIWDMDSTGLLTTIDADGGLLASPRIRFNKEGTLLAVSTVDNGIKILANADGLRLLRTLENRSFDASRNATETVTKPLILTAAANAAAASSSVAAAPSAITAMNGDSRSLVDVKPRIADESLDKSKVWKLMEITESTQCRSIKLADNMRTSKISRLIYTNSGLAILALTSSAVHLLWKWPRSDRNSGKATASVSPALWQPPSGIFMTNDTTDNNPEEAVHCFALSKNDSYVMSASGGKISLFNMMTFKTMTTFMPAPPAATFLAFHPQDNNIIAIGMDDSTIQIYNVRIDEVKSKLRGHSKRITGLAFSNVLNVLVSSGADAQLCVWNTDGWEKQKNRFLQIPSGRQSNILDTRVQFHQDQMHFLVVHETQIAIYETTKLEPVKQWPVRENSPPITHATFSCDSQLIYASFMDATVGIFNASSLRLQCRILPASYLPPNISPSVHPVVVAAHPSEASQFALGLTDGGVFVLEPLESERKWGNPPPVENGSASNLSTPLLMELQVLINQKD >Sspon.02G0028110-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:102150955:102157611:1 gene:Sspon.02G0028110-1A transcript:Sspon.02G0028110-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Golgin candidate 6 [Source:Projected from Arabidopsis thaliana (AT3G27530) UniProtKB/Swiss-Prot;Acc:B0F9L4] MGKFVFGNEGIESKEDSYVERYLDRISNGTIPDDRRSAMTELQSLVAESRSAQMSFGAMGFPILLNILKEDREDVELVRGALETFVSALTPIETSQGPKTEVQPASVNSDLLSRETENISLLLSLLSEEDFYVRYYTIQLLTALLTNSLKRLQEAILLIPRGITVLMDMLMDREVIRNEALLLLTYLTRDAEEIQKIVVFEGVFEKIFSIIREEGYSDGGVVVQDCLELLNNLIRHSASNQMLLKETMGFDPLISILKIRRGSAFNFTQQKTVNLLGALNTVELLLMGGPSSEAGKDANKNANQTALAQKNILDHLLLLGVESQWAPVALRCMALRCIGNLVLRNPQNLDSLARKQVGEEPHVQPALNAIFSIILRTSIAQEFAAADYVFKCFCEVRNPNGQALLASTIAPHPNQGDTHGPASDMPFGSVLLQALVSSDVNGDMEACCRASSVLSHIIKDNLQSKDRVLQIQLETPTPSLGRTEPVLHRIVTCLSIAASTDGENDQNNQPEEPYIQPVILRLLIIWLVDCSNAVNCLLESAVHLNYIIELASSKRYTACVRGLAAVVLGACILYNASREKGRDAFAVADAISQKIGLTTYFLRFDELRKSLAHPLSEQHHRKELSRSSANSMSDFQEIEEDETNKDDQHPVLSEIFDSQFVNFLSKLEADIRENIMDIFSRTKTATAVLPTELEQKNGEVDGEYIKRLKSFVEKQCNEMQDLLARNAMLAEELVRTGGATTDTSQKPSSGRERVQIEALRQELEGAKRQIEALKAEKSQIEAEANNQRNLSVKLESDLKSLSEAYNSIEQANYRLDAEVKTLRQGGSVPYPDLEAIKAQAKEEAEKDSEAELNDLLVCLGQEQTKVEKLSTRLAELGEDVDTLLQGIGDDTAIPDDEDEDEDEE >Sspon.07G0019980-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7B:66549736:66554777:1 gene:Sspon.07G0019980-2B transcript:Sspon.07G0019980-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVMRQLEGAYALIFKSPHYPNELIACKRGSQLILGVNELSGQQNGKSFHDVKTLTTNGKPKELFFSSDLCAIVEHTKNYLALEDNEICHIKDGSVSILKFDPHKEKPASVQRALSVLEMEVEQIKKGSYDHFMQKEIHEQPHSLKTTMRGRLKDGGVLLGGLKEYLKTIRRCRRVVFIGCGTSYNAALAARPFVEELTGIPVTMEVASDLLDRQGPIYREDTAVFVSQSGETADTLMALDYALENGALCVGITNTVGSTLSRKTHCGVHINAGCEIGVASTKAYTSQIVAMAMMALAIGSDQISTQARRETIISGLNNLSSNVSEVLKLDVEMKELASSLIDSESLLVFGRGYNYATALEGALKVKEVALMHSEGMLAGEMKHGPLALVDENLPIIVIATRDACFSKQQSVIQQLLSRKGRLIVMCSRGDASAVCPSGSCRVIEVPEVADCLQPVINIIPLQLLAYHLTVLRGFDVDQPRNLAKSVTTQ >Sspon.04G0014160-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:56700945:56706208:-1 gene:Sspon.04G0014160-3C transcript:Sspon.04G0014160-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLPTQQQAVDAGYVQPQFSAGDMAVPMSAQIAAAVTPGRTLLQRRPLTVSVIHNEAFEKEKRTPKANQLYQNSEFLLAKDRIPPSDSHGRKKSKHHKKKHRTLESRGADFDAERRLYSHAFKKSSSLLSRLMKHKFGWVFNKPVDPVALGLHDYFIIIKHPMDLGTIRGRLSHGQYRNPKEFVEDVRLTFHNAMTYNPKGQDVHFMAEQLSGIFEAQWPEIEAEVNYLASCPPLPKKFPPPPIDLRFLERSDSMRHHMALDTNSRPISHTPTYTRTPSMKKPRAKDPNKRDMTIDEKRKLSENLQNLPPEKLDAVVQVIKNKNLSVMQHDDEIEVEIDSMDAETLWELDRFVANYKKNLSKQKRKAERAMLARQDAELRAQHPIQPPQPAPGPQEPVGEKSPKQAAKDSLAGEQLPTSAPEQNDENRQNARQLITVCTKGGTFRSFVE >Sspon.02G0021840-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:71804627:71806783:1 gene:Sspon.02G0021840-2B transcript:Sspon.02G0021840-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPSWQGHAAAVVSVSLLILVVAPSSSTGIGVNYGTKGDNLPPPTTVASFLANRTRIDRVKLFDTNPDMVRAFAGTGIALMVTAGNGDIPKLATKDGAAAWVAQRGAIMDTGDKALISNLVPAMRALKAALVAAGYPKIRVSTPHSLGILAGASEPPSASRFRDGWDRAVFAPMLAFHRQSRSPFMVNPYPYFGYNGATLPYALARPDNHLGVTDPGTGITYTSMFEAQLDSVFSAMKRLGFDDVEIAVGETGWPTKAMDGQIGVSNAEAAEYNRYLIGEAGGGSGTPLMPKRTFETYIFALFNENLKPGPVAERNFGLFYANLTPVYDVGLMKDGTGAAPSPAPAPAATHLASAPEPKAAKKDDVEAAAAPEETAASASAPAPSSVDDEGSSKATGPSPSSEAPSQSDSTDEDKTPEFDFFLCAWLTVCAGGEGGDAPPPATAAASGPADESSETAAKDGDAAEGGGKTPAPAGAASNGSNLRVPVSSVLTIALSSLALGI >Sspon.06G0032120-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6C:79223331:79223652:1 gene:Sspon.06G0032120-1C transcript:Sspon.06G0032120-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding EYGAGNMVSAHGDIYSYGILVLETITGNRPTDSRFREGLSLREFVVLALRNRTMDAVDTRLSVELENELHTIGDSSSYKRKTDCIASLLSIGVSCTQELPSSRMST >Sspon.02G0032800-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:15828875:15832213:-1 gene:Sspon.02G0032800-2C transcript:Sspon.02G0032800-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRRESPLMRGGGAGGPPLSRGSRIAAAVVVGVALGCLCAFLYPDGLFPRASDSAVHWPRRAELVACDTSREVGRLKSRLVLLERKNAEFKKQINELSMKLQLAGQGKNEALYKAGPFGTVKALRTNPTVIPDLSINSRLANILEQVAVKKELIVALANSNVKEMLEMWFTNIKRAGISNYLVVALDDNIENFCKSNDVPVYRRDPDDGIDNIGKTGGNHAVSGLKFRILREFLQLGYSVLLSDIDIIFFQNPFDHLYRDSDVESMSDGHNNMTAYGFNDVFDEPSMGWARYAHTMRIWVYNSGFFFIRPTIPSIELLDRVAYRLSHEPKSWDQAVFNEELFFPSHPGYEGLHASKRTMDIYLFMNSKVLFKTVRKDAHLRKLKPVIVHLNYHPDKYDRMKAVIEFYVNGKQNALERFPDGSE >Sspon.07G0013030-2P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7C:53904941:53905834:1 gene:Sspon.07G0013030-2P transcript:Sspon.07G0013030-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVPVIDFSKLDGAERSETLAQIANGCEEWGFFQLVNHGIPLELLERVKKVCSDCYSLREAGFKASEPVRTLEALVDAERRGEEVAPVDDLDWEDIFYIHDGCQWPSDPPAFKETMREYRAELRKLAERVMEAMDENLGLDRGSIKAAFSGDGRHEPFFGTKVSHYPPCPRPDLITGLRAHTDAGGVILLFQDDRVGGLEVLKDGQWTDVQPLAGAIVVNTGDQIEVLSNGRYRSAWHRVLPMRDGNRRSIASFYNPANEATISPAAVASGGGEAYPKYVFGDYMDVYAKQKFRPRSP >Sspon.07G0013770-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:49703429:49705355:1 gene:Sspon.07G0013770-1A transcript:Sspon.07G0013770-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPPALRSLPAAQLLPPSRPRRRRLVLSRPARGSRPSCDLSRGASARYGPHPQPLPRLVAAESPPSTSLAAVGAIRRDSETGLALLLVVLAVALQNMETAANKLAKVFAEEVPGTLSSGKLSFMEINDLTSQLKNLR >Sspon.07G0007560-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:15952221:15956323:-1 gene:Sspon.07G0007560-1T transcript:Sspon.07G0007560-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCRTSRLDATDVSPAAALCRERRDLLRAAADHRARLAAAHAAYFRALPRVADALARFASHHQAATPPPGSPVLTLPPPSDHDDAAADADEPKKQRSGSATPHTDSGHSHIHFHSDDASESDPDSSADDPCAGPGGCGGGHGEIPPQPAPALRPGVPLPDRGHGEPQERPIQDPGFGRPEMPWEYASYDPYPSFPNTAFQNATFPSYYYMKASSTPANTVYQEPYGYGNFATSSSYMGYNYGYSNPMYGVPLPPEGGRPVEDRGREPAAAPAPPPPMPMPETTPWDFFNPFDSYEQDLPQYKGKGYDSNGSFTSSPNSSEVRAREGIPELEEETELESMRESVKARKAVESTASNRIGNVDVSAKVKVSMEHKEVEIESVYSASVLESGDESVCSCDCDHANARTEGPVQVPQEMIMGRKVSSEDHSSIVVGEDVRPPEFTGTRDVAEVVDEIKEQFKSVAACGDDVARILEVGRMRYRSRNRILRLVFSRMMGTFALSFSSISETPVKSLEQSAINSSKGNQNSSKRFDLPSDVELNTLSATMDRLYVWEKRLHKEIVEEEKLRITYDKEWKRLKELDANGAEPYKIDTTRASIRALLTRINISIRSAKVICRRIHTLRDDELHPHLVTLIQGLVRMWKFILECHRKQFHTILQTKSHILIPKNGPERSSSKVTLELEMELLNWCSCFRNWILSQKAYIETLNGWLVKWLPQEKEETPDGIAPFSPGRLGAPAVFITANDWCQAMKRIPEGSVADTMEAFAVNVHMLWERQDEEQQQKLKADYLSRDFAKRLKSLQMEHGLQGHFEADKPVLPIADNGRAVDNRMVALDTLHKRLDEQRARHEETVKQIREASAADLKAGLAPIFEALESFSVETLKGYENVRIPVEGVGG >Sspon.02G0054950-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:122622155:122622719:-1 gene:Sspon.02G0054950-1C transcript:Sspon.02G0054950-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVQRSQQIAHVGNDNHKKLGERARAVRRLTKVNPSEVRKCRIQQPADRGPVASELRGGSLDKAMCYLPERILQEPGKKGSCNGESDIYGGTRNKGTGRVTLALIWSQEQSICPRCETGDLKRVLIYVEHELWLRLTTLLACIARALS >Sspon.04G0002040-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:50182367:50185145:-1 gene:Sspon.04G0002040-1P transcript:Sspon.04G0002040-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKGRTGQRVRLYVRGTILGYKRSKSNQYETTSLVQIEGVNTKEDVAWYAGKRMAYIYKAKTKSSETRYRCIWGKVTRPHGNSGVVRAKFKSNLPPESMGRKTMSLIKKCQLHREDSISRLECDFP >Sspon.03G0028860-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3B:12144023:12144313:1 gene:Sspon.03G0028860-1B transcript:Sspon.03G0028860-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLEILSSHSSDEVYLGQRDTPEWTSDAKAQEAFRRFGTRLTEIESRVMTLNADPRLKNRNGPAKFPYTLLYPNTSDKKGDAAGITAKGIPNSISI >Sspon.01G0003010-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1A:8088759:8088998:1 gene:Sspon.01G0003010-1A transcript:Sspon.01G0003010-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding STPFELHDDSYVLKEMRFCGREQHDGAQESTSNGAESSSMSNIYWQQVQHLERPNNGTVRLPSSPPIPGILKGRVKHEH >Sspon.03G0009840-2P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:5A:39978750:39979685:-1 gene:Sspon.03G0009840-2P transcript:Sspon.03G0009840-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRDVVTFVRTCDGDTSDFPIKIGLHQGSALSPYLFALVMDEVTRDIQGDIPWCMLFADDVVLVDDSRAGVNRKLELWRHTLESKGFRLSRTKTEYMRCDFSATRHEEGDVSLDGQVVAKKDTFRYLGSMLQKDGDIDEDVRHRISAGWLKWRQASGVLCDKRVPQKLKGKFYRTAIRPAMLYGAECWPTKRRHVQQLSVAEMRMLRWCCGHTRRDRVRNDDIRDRVGVAPIEEKLIQHRLRWFGHVQRRPPEAPVRSGVLKRADNVKSGRGRPKLTWDESVKRDLKEWNIPKDLAMDRSAWRLAINVSEP >Sspon.08G0011390-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:47689907:47691609:1 gene:Sspon.08G0011390-1P transcript:Sspon.08G0011390-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MERRAHAMLFPFPCSGHINPTLKLAELLHSRGVYVTFVNTEHNHERLRRRTGGGLRGREGFRFEAVPDGLSEEDRVAPDRTVRLYLSLRRSCGPPLVELARRLGDRAEGVPPVTCVVLSGLVSFALDAAEELGVPAFVLWGTSACGFVGTLRLRELRQRGYTPLKDESYLTNGYLDTPIDWIAGMPPVRLGDISSFVRTLDPQCFALRVESGEANSCARARGLILNTFEDLESDVLDALRGEFPRVYTIGPLAAAMHRRAQQGQQQCHGHGSAGLSLWEEDSKCMSWLDAQADGSVLYVSFGSLAVLSLEQLAELAWGLAASNRPFLWAVRPGLVVGDRGADALPEDFLAETRGRCFIAEWCAQEQVLRHRAVGGFLTHSGWNSTTESIWSGVPMLCWPGFADQYINCRYACEEWGIGLRLDETLRREQVTAHVEELMGGDTDRAREMRRGAAEWKAAAEAATAPGGSSYESLDRLVEELRLGVGEADAEAELDRHGHAAAGPVIG >Sspon.03G0008150-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:36760476:36765653:1 gene:Sspon.03G0008150-3C transcript:Sspon.03G0008150-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAVSALFLLDIKGRVLVWRDYRGDVSALQAERFFTKLLDKEGDSEVLSPVVYDDAGVTYMFIQHNNVFLLTAARQNCNAASILLFLHRVVDVFKHYFEELEEESLRDNFVVVYELLDEMMDFGYPQYTEAKILSEFIKTDAYRMEVTQRPPMAVTNAVSWRSEGIRYKKNEVFLDVVESVNILVNSNGQIVRSDVVGALKMRTYLSIQIDVYLSNHEVKPLIWVEAQIEKHSRSRIELMVKARSQFKERSTATNVEIEVPVPSDATNPNIRTSMGSAAYAPERDAMVWKVKSFPGGKILDRFRFWPFHGVCSPGHSSMSCPATASSVPLLGRRGSWEAGRDHVELFVSVAIEQGMKPMTSRMQ >Sspon.04G0005130-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:15506020:15511510:-1 gene:Sspon.04G0005130-4D transcript:Sspon.04G0005130-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone-lysine N-methyltransferase SUVR5 [Source:Projected from Arabidopsis thaliana (AT2G23740) UniProtKB/Swiss-Prot;Acc:O64827] MKQYFSLHPAGNVGNFEKTNCYDDPALDQQGSRKRPKLEVRRGEIQISHMGEADYRTPTEDPNQNNHPSNSVMHENVGALGATDQNNAVTLPGSSGTNENTISSSANAALQNARLDLDSFKSSRQCSAYIEAKGRQCGRWANDGDIYCCVHQSMHFLDHSSREDKALTIEAPLCSGMTNMGRKCKHRAQHGSTFCKKHRLQTNLDAMHPENLLDPSEVLHMGEEPPNKWVEEISKSQAMYSIDLEKDKNVQAAVQVKLMTTVAIENSGEKGAMEKTDVCAASTSMTNTDDTSLCIGIRSHDSIVECQDYAKRHTLYCEKHLPKFLKRARNGKSRLVSKDVFVNLLKGCTSRKDKICLHQACEFLYWFLRNNLSHQRTGLASEHMPQILAEVSKNPDVGEFLLKLISTEREKLANIWGFDTNTSKQIYSENKEGSVVLQEEGTNLSSGPKCKICAHQFSDDQALGLHWTTVHKKEARWLFRGYSCAACMESFTNKKVLERHVQDVHGAQYLQYSILIRCMLCNSNFLNTDLLYPHIVSDHAQQIMLLDVPQRPNGQSAQQTEGTSGLPLYDSHNVEDDDGSQKFICRLCGLKFDLLPDLGRHHKVAHVDSGAVGHIPLGRGKYQLNRGRHYYSAFKKSLRPTSTLKKRSNSGIEKNFKFQSSGLTSQIVEPETSSLGKLQDFQCSDVAQTLFSKIQKTRPHPSNIDILSVARSVCCKTSLLAALEVKYGSLPENIFVKAAKLCSDNGIQIDWHQEEFICPKGCKSRYNSNALLPMQLTAVDFPDFPEAPSVDPLNDDEMWGMEEYHYVLDSKHFGWKPKNERVVLCEDISFGREKVPIVCVIDADAKDSLGMKPEELLPHDSSVPWQGFHYITKRLMDSSLIDSENSMPGCACPHPECSPENCGHVSLFDGVYGSVVDINGTPMHGRFAYAKDSKIILQEGYPIYECNSSCTCDSSCQNKVLQKGLLVKLELFRTENKGWAIRAAEPIPQGTFVCEYIGEVVKADKTMKNAESVSSKGGCSYLFDIASQIDRERVRTVGAIEYLIDATRSGNASRYINHSCSPNLSTRLVLVESKDCQLAHIGLFANQDIAVGEELAYDYQQKLVAGDGCPCHCGAINCRGRVY >Sspon.04G0014660-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4B:58516025:58519991:1 gene:Sspon.04G0014660-2B transcript:Sspon.04G0014660-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial carrier protein CoAc2 [Source:Projected from Arabidopsis thaliana (AT4G26180) UniProtKB/Swiss-Prot;Acc:F4JU70] MEARAREAAEASGPDLPLAVRELLAGGVAGGVAKTAVAPLERVKILFQYCDFKGLYDMKGLRKWLVSLFLRLNVLPDKKSRVSWFWIDWILPNNLSDRRGNGASVARIVPYAALHYMAYEEYRRWIILGFPNVKQGPVLDLVAGSIAGGTAVICTYPLDLVRTKLAFQVKGAVNVGFRESKPSEQVYKGIMDCVKTIYRQNGLKGIYRGMAPSLYGIFPYSGLKFYFYEKMKSHVPEEHRKDIIAKLGCGSVAGLLGQTITYPLDVVRRQMQVQALSSSSLVGKGTFESLVMIAKQQGWRQLFSGLSINYLKVVPSVAIGFTVYDSMKVWLKVPSREDTAVAVLTEERSNTAPIPSS >Sspon.05G0020560-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:87096388:87098307:-1 gene:Sspon.05G0020560-1A transcript:Sspon.05G0020560-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MCNHYDEVIRNVEQQSPCASKRLTWLQPPRHAWHPNPGEQAALLASWKGDPVAMNQLTSEDVHRWSQFMSPEQPPTSERVRKSGYPVRAGKMCSEAQQRRVPRKVKAALTKHLLQDGKPAYDLQIISCMNENIGGPEYCVTAERVRCLYCEAAGARVVHPTSTEFHGGGDKFEKMIRGEHSLTNAWLICKNNFAVERMCAVEEDFMYVDVN >Sspon.08G0003980-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:4083054:4085577:-1 gene:Sspon.08G0003980-4D transcript:Sspon.08G0003980-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRAQGVERAARGRGGGADRGQRGRAAADDGQPRGVAGTEYVDKINIPSALVNREFGESLKKMAQKVASGDGAGEEVIVKLDWRESMPHPDERVEYELWTNSNDECGPGATSRWRSCAGSAATRSSWSAGYARFTPHYITWYCPEAFRLTQQCRSQCINHGRYCAPDPEGDFGAGYEGKDVVVENLRQLCVHRVANDTGRPWAWWDYVMDYKIRCSMKEKKYTKTCAEDVVTALGLDLKKVLECMGDPEADADNAVLSKEQEDQIGSGSRGDVTILPTLVINNVQYRGKLERTAVLRAVCAGFKEGTEPRVCLSHDIETNECLHRNGGCWRDEATNVTACQDTYRGRVCECPVVNGVRYEGDGYTDCKPIGPGRCALNNGGCWSETRGHQIFSACTETTLTGCRCPSGFQGDGHKCEDLDECREKLACTCPGCQCKNTWGNYECKCKGNQLYIRGEDVCIANNMSKLGWFITIAAVACVVGIGVAGYVFYKYRLRSYMDSEIMSIMSQYMPLDSQNNENQPLRQHDSEALR >Sspon.03G0015900-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:50666383:50666823:1 gene:Sspon.03G0015900-1A transcript:Sspon.03G0015900-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPESWRPAEANASSQPAAGTSGTSARSPGNGAKGGSRGAAEATATATATRVPFHKLFAFADSTDVALMLLGALGAVANGAAMPFMTVLFGNLIDAFGGALSIHDVVNRVSMVSLEFIYLAIASAVASFVRKFTSHPKSQTLSAHAD >Sspon.06G0021820-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:23778585:23794966:-1 gene:Sspon.06G0021820-1P transcript:Sspon.06G0021820-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASPDQFRGQARLPHFAAPLRYDLRLRPDLAACTFTGAAAIAVAVSAPTRFLVLNAAELDDLAPTDVAQFEEDEILVIGFDRELPLGEGVLTMDFTGTLNDQMRGFYRSKYLYNGESRNMAVTQFEAADARRCFPCWDDPAFKAKFKLTLEVPSDLVALSNMPVAKETVSGSVKTVYYEESPLMSTYLVAIVVGIFDYIESSTSEGTKVRVYTQVGKTNQGKFALDVAVKSLDLYKDYFATPYPLPKLDMIAIPDFSAGAMENYGLVTYRDTALLYDELLSSASNKQQVAITVAHELAHQWFGNLVTMEWWTHLWLNEGFASWVSYLAVESLFPEWNNWTQFLDETTSGLKLDALAESHPIEVEVNHASEIDAIFDSISYDKGASVIRMLQSYLGAERFQAAYLAVMRTVSTSSRSGYDALLKIYREASEPQEKSRVLGSLSSSPDKDIVLEALNFMFTDEVRNQDSFYILGGISLEGREVAWTWLKFTSEEKAAEVTEFFAGRIKPSFERALKQSLERVRISARWIESIRSEPKLGQTVQELLQADA >Sspon.01G0014350-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:40565529:40567110:-1 gene:Sspon.01G0014350-1A transcript:Sspon.01G0014350-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGGGRGKPKGTKAVSRSSKAGLQFPVGRIARYLKTGKYAERVGGGAPVYLSAVLEYLAAEVLELAGNAARDNKKNRIVPRHIQLAARNDEELSKLLGAVTIAAGGVLPNIHQTLLPKKAGGKGISGKIIEGTFRYLTVDSLTPEYFMTASFDEKTDMFAFGFPLLEIVTRTGVLTAPSKAFYD >Sspon.07G0007080-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:16602775:16604233:1 gene:Sspon.07G0007080-2B transcript:Sspon.07G0007080-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQENGATNEHAAEEHQDVMEVEPKQRRAPRLNERILSSLSRRSVAAHPWHDLEIGPEAPAVFNVVVEITKGSKVKYELDKKTGLIKVDRVLYSSVVYPHNYGFIPRTLCEDNDPMDVLVLMQEPVLPGAFLRARAIGLMPMIDQGEKDDKIIAVCADDPEYRHYNDISELSPHRLQEIRRFFEDYKKNENKEVAVNDFLPAAAAREAIQYSMDLYGQYIMQTLRR >Sspon.07G0012770-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:43536324:43537704:1 gene:Sspon.07G0012770-2D transcript:Sspon.07G0012770-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAALSSRRQIHRYVRILLQQRPLPPPLPPLASPTGVTRRKKNPDKDGSCRIERNAVDFEEEEDEALGRDIVHQHEEGSPEPASAAAAPRDVRARTRTAVANVTVGDGDALECGVCFLALRPPIFQCEVGHVVCSACRDKLEATGNGVCHVCGVATHGYRRCHAMEQLLDCIRVPCPYVAHGCDTTPPYHGQESHRQVCLHAPCHYPGESCGFIGSTAALLDHFAGAHNWPCTTKVRAGETFSIILRVGFNFFLLEDHCCGDGDQALPVLRLFLMNVTWERLGRAISVLCIHPHASTTTAANGFQELPLTQCELVFSHYGDRSLCHSQSVFKVVCTDLSNGLFNPEGCFQFFVSNAVLGVGDDKNSTQIKARIIN >Sspon.03G0038410-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:4716367:4717431:1 gene:Sspon.03G0038410-2P transcript:Sspon.03G0038410-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSRRASTLLCTLLLLSLLCFQLASAHGGIDDGDASTPPADADPSSVHRHLRSKGLIAVKVWCLVILLVFTFLGGVSPYFYRWNEAFLLLGTQFAAGVFLGTALMHFLADSTSTFHGLTKNQYPFSYMLACVGFLLTMLADCVVAAVTKRSGGGGGAQRVVNEAAGEEGDAHRYQTQTKEEDAAHAHRPTLVTVRTASFEDAVLLIFALCFHSIFEGIAIGVSATKSDTWRNLWTIGLHKVFAAVAMGIALLRMIPKRPFLMTVAYSLAFAVSSPVGVGIGIGIDATAEGRAADWTFAISMGFATGVFLYVAINHLIAKGYRPQKPTRVDSPSFKFVAVLLGVAVMAVVMIWG >Sspon.08G0030320-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8D:57745208:57749480:1 gene:Sspon.08G0030320-1D transcript:Sspon.08G0030320-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDANPLFDADVSDAESSSSAGGASYTGAVATFTAAPPTSAVLQTVNVKSHVPVVLELTEPNYTEWRTFFDAFIGKFGLGDHLSSPPTAEQRRDPEWRLIDQCILSWLYNSVAKDILAIVRVPKPTAYVIWTGIEEQFRDNQLHRAVYMEAEFRNLVQGDMDISTYTGRLKQLSDALRDVGQPVSETSQVLNMLRGLSTKYRHAIPAITAKQPPHTFLSARSYLLLEEHYDKEHAKAAVHHALVASGGSGSGLSSSRLPTPSPSDGGSGSSSGGHQNAPKPPATTPGANARSDNRRGRGRGRGRGAPPGGHASGSNSVRPPAAWTPGLNPWTGMVQAWPMPWRVPGSGVLGSRPGPPSHQAYFAGQHPPPAVVQSPPPMDPWNHQALLAALNAANSTATAPQTAEWYLDTGASSHMASNAGNLSHPTPIPHSLPITVGNGNTLPVTHQASSTIRTNSSPLLLNNVLVSPSLVKNLISVRSLTRDNNVSVEFDPFGFSVKDLPTRSVILRCNSSGELYPLVPSSPEALAVSAPSVDLWHQRLGHPGRHALHQSLQHLEFNPSASSAHTCVACQLGKHVRLPFSSSSSVSYVPFQIIHADVWTSPVPSLSGFQYYLVLIDDFSHYVWTFPLHSKSDVLQCLLHFHAYVCTQFQLPLLAIQTDNGKEFDNHALRTYLAKHGIALRLSCPYTSAQNGKAERILRTLNDCVRSLLLHAGMPASFWAEALATATYLLNLRPLFGCLCYPNQASTVPHKLSARSTPCVLLGYPADHRGYRCFDLRTRRVITSRHVVFDESQFPFKSSSFQSAPTMAGRATVTEFDSPVLIQYPAAPQTSPAAHRTPSSTRAAAPVASHTTPSIAQTSPLLSEPSPVAGDVRSPTPFASQHNAPHPMITRARAGVYKPNPRYALTTAASAISPIPASARAALKDPNWRAAMALEFDALQRNRTWRLVDRPPGANVVSGKWVFKHKLNPDGTLERYKARWVVRGFTQRAGVDFDETFTPVVKPATIRTVLTIAASRRWATKQLDVSNAFLHGNLQERVLCQQPTGFVDADQPDAVCLLDKSLYGLRQAPRAWFTRFADYAIKLGFRATRSDSSLFVLRRGTDIAYLLLYVDDIVLTGSSSGLLQHVVDCLRTEFAVKDMGALRFFLGIDVKRTADGFFLSQERYAEDILDRAGMTMCKPVSTPIDSKGKLPADGAAIDDASSYRSLAGALMYLTTTRPDLAYAVQQACLHMHDPRAPHLAMLKRILRYVRGTTSHGLHLRASSELDVTAYSDADWAGCPDTRRSTSGFCVFLGASPVSWSSKRQPTVSRSSAEAEYRAVANAAAECIWLRQLLGELQCPLSKATVAYCDNVSAVYMSSNPVHHKRTKHIELDIHFVRERVQLGDSASSTFPPANNTRTS >Sspon.07G0013920-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7A:50148461:50149019:1 gene:Sspon.07G0013920-1A transcript:Sspon.07G0013920-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSFPFARPAGNRANQLDSFPIDASRRAIKQAAPLPYSNFNSFRLFELAVKPENPSSLPSSGFHLPTPHRRIWLAVGRLRVVWKLPAPVVYLLNPRLTHRVTPYLQGLSRPPSSPARRPRFTFAFIFSSQARSPPMMARHRVAIFFGRSAAPFSLF >Sspon.02G0023520-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:73828438:73829287:1 gene:Sspon.02G0023520-2P transcript:Sspon.02G0023520-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATAMASMSSVAFATAGARGAGAFPASALAPRRRALVVVRAQSEDVETTPKAKAAIPGLWDALAFSGPAPERINGRLAMVGFVSALAVEASRGGGLLSQAGSGSGLAWFAATAAVLSVASLVPLLKGDSAEARSGAVMSANAELWNGRFAMLGLVALALTEYLTGAPFINA >Sspon.05G0009340-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:22185143:22194099:1 gene:Sspon.05G0009340-2B transcript:Sspon.05G0009340-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALGEGGDHGAATLPLIADQAKHGGGIVRSGSMWTAAAHVITAVIGSGVLSLAWSIAQLGWVAGPAAMLVFAAVTALQSTLFADCYRSPNPEHGPHRNRTYAKAVDRNLGSSSSWVCMLLQHTALFGYGIAYTITASISCRSRTGTGAHAMAVHDSVEVLDSRCDDDGHPRRTGTAWTCAAHIITAVIGSGVLSLAWSVAQLGWVVGPACMFCFALVTYVSAALLADCYRRGDPEKGPRNRSYLDAVRVYLGKKHTWACGSLQFVSLYGCGVAYTITTATSISITGVQMRTPMQKVWRVSQAIGDIAFAYPYSLILLEIQDTLKSPPAENKTMKRASMISILVTTFFYLCCGCFGYAAFGSDAPGNLLTGFGFYEPYWLIDFANACIILHLLGGQPIFQFADRFFAERFPDSGFVNDFHTVRFACLPACRVNLLRVCFRTLYVASTTAVAVAFPYFNEVLALLGALNFWPLAIYFPVEMYFIQRNVPRWSARWVVLQTFSAVCLLVSAFALVGSIEGLITQKLG >Sspon.02G0018410-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:60951526:60955173:1 gene:Sspon.02G0018410-2B transcript:Sspon.02G0018410-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAKLLYIVVVDDNASSFRYTRSLIHSTLQLMGCKPRHAFEISRRVFDVIRGDHQGHGDTATSARVQRYEVAEATPTTSPRQFQFELYKRRTTVLLPRDLFLDLVLDALALYKYVAPNQRADLMLACRIRERKESITVLLCGTSGCGKSTLSTLLGSRLGITTVVSTDSIRHMMRSFVEEKENPLLWASTYHAGECLDPVAVAEAKATRKAKKRSGVSSSSNIDYEKSGSLSKKVDGKSIGKKQMAIEGYKAQSEMVIDSLDRLITAWEDRKESVVVEGVHLSLNFVGMFKLIQRLGSSRKLMAIINVDGSVSKAWPVESSEGDGKCSSDNSNKKSVGNPIYGPLSIGRTESVNLQFGTFGISAWPTDTGCTSQAGNADESFTNAAEGSSRHVLSSSGSPKKSDGHCKEIKESSAAYGSDEEEEEEADVRPNSGSDEDLSEEDNREIDGEMEGSVNEDCNRSDEEYDDLAMQDSLENGYLTDDGIFHSGLSKSSSGKFFGSNQRSHSMPKKHEESVGVPETARSSSAAVPAGTSSKRHAARKWKRSLSDSFRSRPRSAPELVSVCKGSPPVPVAPDER >Sspon.02G0045680-1P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2C:104076174:104076422:1 gene:Sspon.02G0045680-1P transcript:Sspon.02G0045680-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKSVVLPATVVIAMVLLFAASTQALPYGALPDDLAHGDDVLTNQFHHGAFDLNQAGATFPVPQEVTGFALDGERPDLTIDA >Sspon.04G0032000-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:19916423:19921624:-1 gene:Sspon.04G0032000-1C transcript:Sspon.04G0032000-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQATMGTSREQQRRERTLVVLALALLVSAFALVQCADVVDGEKEGGGGGPAVAEPATGQTLPPGWSGDSGSGHGSSPGGGSWEYGWSWAAGPDGKGGGFGFGYGGSGGEGGGGGGGGGGGGGSGKPFGFGIGGYKGHHGGFGGGGGGSGGYGGGSGGGFGSGGGFGGGSGGGNGGGFGSGGGGGYGGDNGGGFGGGDAGGFGGGQHGEAGNGGGGYGGGDGAGGGYGSSGAGAGDGDELHGVSGSSGDGGGAGYKGKGLFSGGGWSKRGHFLLFGSRIAVDTTQAAILSVDRAPPLLFGTSISPSVAGLSPSTPLPSFRETEGTSLVAVWQLIWSVSMPPRFPSETTPRSSPAARSRSGSATARAPCAAAR >Sspon.08G0012510-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:50075870:50077056:1 gene:Sspon.08G0012510-2B transcript:Sspon.08G0012510-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTYLKKPSPYTVSLIVLLPLTLIICFTFLLPLSAYLRNPLATTAVATTTSACIDTTAERVVRAAPAATVDDGDGVGNNQRPADELMSVLVGVHTMPGKHSRRHLIRMAYALQQQATPALRAAAARVDVRFVLCARPMPPEHRAFVALEARAYGDVLVLDCAENAEDGKTYTYFASLPAMLGSGGAGRPYDYVMKVDDDTFLRLDALVDTLRSAPREDMYCGVGLPFHDREFPPFMLGMGYLLSWDLVEWIATSDMVRREAKGVEDLTTGKWLNMGHKAKNRVNIFPRMYDYKSAKAEDFLQNTIGVHQLKQDLRWAHTLEHFNLTLLEPSRKLHNF >Sspon.07G0032930-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:56201615:56204091:1 gene:Sspon.07G0032930-1C transcript:Sspon.07G0032930-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLVQDDRSHIGSGLLLLRLADASTRDGEKQSELLSDSDSAASSHEWLIDPETEEDPPTAVPIHGRLITAVRCYSWPLERPQSSIRSISSQILHRRSNAGKLPAPRLNYLVGSARMQD >Sspon.02G0008920-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:24023907:24027296:1 gene:Sspon.02G0008920-2B transcript:Sspon.02G0008920-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRPPRAPLLRRLLLLAAVAASCSYYLLFLQAQASVPPRYDGFAYGGGAAAAWKDAVLVEAFLDPLCPDSRDSWHPLKLAVERYAPRVSLIVHPFPLPYHTYAFHACRALYIANKLNSSSTYPLLELFFKNQEKFYNSATSSLSSPSVAVEMSKMAVQTVGNSVSEFLSGFSDTKTDSAARVSFKYGCTRGVYGAPFFFVNGFLQPGGGSPIDYSTWISILDPLVSQNGERIEMFTSM >Sspon.08G0003470-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6C:62444536:62445225:1 gene:Sspon.08G0003470-2C transcript:Sspon.08G0003470-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYGSQPAYFHPYSSWGWFDQEAHVPSYFRPQYIEYAAPRYSERSSSCKDCFDQNRSGAQPKKKVVKQVYRVKYDGRKKESSDLSSTIEKPITLLKNLAIDGKEVGKSSIDIIGAKSEQKKVRVPKVKNDLLLSKTEIKPICSIGLPKWQEKKLQKLSAEKLKEKGLAWVPKGSIQAQKDNAQASGATKAKERRRFKKQLPSWRFAPNHQNHWSWHHSYSLPKLMWNSFP >Sspon.08G0003110-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:8504514:8508598:1 gene:Sspon.08G0003110-1A transcript:Sspon.08G0003110-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GCDWQRNGHRVKPLPNLTAHRLFKLLAVQHRVILVLVISGKEEQDDRQDKEHTEMVLAEENDKLLRQPHGRQRATRHIPGAMGPRRQEPGGYSDPTKDTENLVNIMMQQEMEHQAYAQENVPLPDESAFVAAHREDIPPPTMHTTTRVGRAATRGSRGRKGGRGHGRENQESGFPSNGDGRQGQEEEVAEDVEDQGDEVAEYMRTTLISI >Sspon.04G0000840-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:3563784:3564611:-1 gene:Sspon.04G0000840-1A transcript:Sspon.04G0000840-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPPGNVVDESLSSPARPPPSSPLHLLEVTVISAQDLHRRRLARRVRAYAVAWADAGHKLRTGVDLVGGAVPTWNDRFLFRVDGAFLRSDTAAVTVEVRGAGGLGADPVLGVTRIVVSTFVRPGGGPGGPQVAALQLRRPRSLRPQGIVNVAVALLDAARAPPLYGAPGSPDAVAVKDLVMKSPAASLCKVGEASEEPGVDDGQGQQARSNPELVGQSGHLDPRGAAVEQRKLELTLERWKAELWPGLKEGRRSGRRRRRRAASCFRGSGDWDR >Sspon.02G0017130-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:49067697:49074996:-1 gene:Sspon.02G0017130-2B transcript:Sspon.02G0017130-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMARDRRGPGGRGRFGDGPPGDERPVRHGVRVEEDPYFPLPRRGSGWGVAPPSRHLWVGGLAPSVTASDLSELFLRCGDVEDVARQPGRNFAFVSFLREGDAVAAVRELQGARLAGAPVRIEFSKGAESQQLNLGFTALPQCQIGSKQDKAPGSSMDDRYTQHADERHSIERGRKRHLSPENTIDKYKRNRSTEPSEVLWIGFPPGLKVDEAHLWEAFSPFGKVLRVTTFPGRTYAFVQYTSVAAACRAKEALQGKLFNNPRVSICFSRNEGAAPEVGKRSFVAPYSPQPSARPVIRDHDFEDFPRARPFDSPPRDFRMSTPHFGPNRLSRDADDVGFSKGNYFEQDAGIELGHASNIEPFRTRELGQERRLPEELYEPHRQSPTARSDVPWKPWNNIPFDRPQRPLPMEASWDVEDNSYLISKKLKGAQVHDSELPEYPFSEFDRGKVYPEYQRRPHHDLSEDGLHSRTSSFTDMHDRHHVHPLKNITPLTNKHEPWRSQESFDRHLGKMDRSTPDHHEPALKDEWKWNGTIAKGGTPICRARCFPVGKVLNFMLPEFLDCTARTSLDMLSKHYYQAAGSWVVFFVPENDADMTAYNDFMSYLGDKQRAAVCKLGERSTLFLVPPSDFSEQVLRVPGNVSISGVILKFQQSNPDYSSPNRKSLESAHQSSASNFNTDVSNCEDLHALRRLNPPDISTFPQGPDYVRSSGVSYTPASADFIPPYKPESAPPYVISQLPQERPPADLPMGIARGHQQLPNRLLSGWSNNLDDPNPGSGNFSLEQDAISCALNNRSQDPYTFASGVVPTGTASGYAPGEASNSMSWPSMQPNSQQVARSDQPTIPVSLPPDQLAQLAALLAQQNQPRKVGLPVDSSNNQSGFIQNSNPHGHATMMPGNSGSIPVQNSLPPVPLSMQQLHTHVPVPPIGSLPANPLNALPTSAPILCNTTLPMPPIHALVNPAHSSMPMRPFVPPLPEGPPPFQQQTSSAPTVQPLATSGQQQSSQQLPAQDLDGDPQKRLQATLQLAATLLKQIQNQSNP >Sspon.07G0000460-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:1121607:1122386:1 gene:Sspon.07G0000460-1A transcript:Sspon.07G0000460-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRLVVLRSPSGSSMAVVLLLAVAVVLSNVPSSALASSSSSTTGSSGGGDEQEAPAPPSEDSDDKEQEMDPEKQKQKEKERQMAKEQAAAEEKVAQQELLKFAEEKHIVSPTNGAGWYKGIAREFVDAHNELRARYGVPAMKWDRKLARQARRWSNAMRKDCQLLHSGHEYGQSVFRSHDDWNATAREAVIWWGKEEAIYDKQREKCLDGKSFKECGHFALMVAKRSTKVGCARAECFKGGVFITCNYYASDLKDKSN >Sspon.02G0046460-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:3458530:3463475:-1 gene:Sspon.02G0046460-2D transcript:Sspon.02G0046460-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMEAVAATAAALCCALALYLYHALWVAPERVRAALRAQGVAGPRPSFPYGNRADMRRAMTTTAVQSAGVVHDYRQALFPHYERWRKDYGPIFTYSIGSMVFLHASRADVVRDLGLCVSSLDLGKSSYMKVTHRPLFGDGILKSSGEAWAHQRRLIAPEFFPDKVKGMVDLMVGSATALVASWEDRISRIGDRGAELELKIDDDIRAYSADVISRTCFGSSYVKGKRIFAVIRELQKAVSKPNLLAEMTGLSFLPTRTNREAWRLNRVVRDLVLDVVRESGDDDRNLLNAMLRSAAASGGGDRVAAAAVEEFVVDNCKNIYFAGYETTAVTAAWCMMLLALHPEWQDRVSDEARRACAGAALDFASLHKMKQLTMVIQETLRLYPAGAVVSRQALRDVTLGGVRVPAGVNIYVPVSTVHLDPELWGADAGEFDPGRFADDARAHHQRQPHAYLPFGAGARTCLGQAFAMAELKVLLALVLSRFQISLSPAYVHSPALRLIVEPEHGVRLVLRNVEPRC >Sspon.02G0014360-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:55257910:55263193:-1 gene:Sspon.02G0014360-2P transcript:Sspon.02G0014360-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ALLASWPWDNLGFYKVRTYAPLVGKAVASRAWEAASPDRWILLLLLLFGLRALTYQLWSSYSNMLFATRRRRVVRDGVDFHQIDKEWDWYVRLILHVLMAATALYAFPSLHHLPLWPVDARGLAVALLVHAAATEPLAYLAHRAFHHAGGGRLYARYHSLHHSTRVPQPFTAGLATPLEHMVLGALMALPLAAACAAGGSVALAFAYVLGFDFLRAMGHCNVEVIPPGGPGPRYLIYTPTYVWIHHTKKEANFCLFMPLFDLLGGTIDPQSWEMHKKMSAGTHSVDEVPDFVFLAHVVDVMQSLHVPFVLRTFSSTPFSVQLFLLPMWPFAFLVMLAMWVWSKTFVISCYSLRGRLHQIWAVPRYGFQYFLPFAKDGINKQIELAILRADKMGVKVLSLAALNKNEALNGGGTLFVNKHPDLRVRVVHGNTLTAAVILNEIPKGTAEVFLTGATSKLGRAIALYLCKKRVRVMMMTLSTERFQKIQKEAPAEFQQYLVQVTKYRSAQHCKTWIVGKWLSPREQRWAPPGTHFHQFVVPPIIGFRRDCTYGKLAAMRLPKDVQGLGACEYSLERGVVHACHAGGVVHFLEGYTHHEVGAIDVDRIDVVWEAALKHGLRPA >Sspon.01G0000040-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:501143:502582:-1 gene:Sspon.01G0000040-1A transcript:Sspon.01G0000040-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSIVVRRKRSRSPPHGFDSGRRTRPRPTPSCPDVGRKRSRSPPHCSHREGPKRPRPVPSSADVGRKRSGSPLCGFDSAPCKRARQAMEVEPTGEGQAAAAAAAALPDDMLLEVFKRLPPPRDVIRCAAVCRRWRRVVSGAGAACLPRPPTHFGFFRNYGPSPQPPFVPTAGLSLDIGFLPVPPACGAVLVDARNRRLLLRELGPGYPRELRLLVCSPLEKTYVRLPPLFIAGHRVACCVLVPGEGVAFRVVVVLFGTDPNHFEVLVYSSVSSAWESATGPVHRNLVPRQGPSVVVGDVMYKLQGEEKYIMVIDAVQMTLSAVPLPDARTLLYAGNHWIGKTLDGRLCFFVIREQLTLVTWVLQAPGKWVEQQPVDLRTLMHPAFVGDLAHMKLSVKMSDQLRGFKLVSFAAFCEGTGTLFFVMADWVVMLHPRTRTLLRLWRNTDDSRPLGDVYPCEMLQWPPVLKNYGGSDEAGGV >Sspon.01G0030000-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:104183576:104190055:1 gene:Sspon.01G0030000-1A transcript:Sspon.01G0030000-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKEAGAAESSSTVVLAINGKRYEAAGVAPSTSLLEFLRTQTPVRGPKLGCGEGGCGACVVLISKYDPATDEVTEFSASSCLTLLHSVDRCSVTTSEGIGNTRDGYHPVQQRLSGFHASQCGFCTPGMCMSIFSALVKADKKSDRPDPPAGFSKITASEAEKAVSGNLCRCTGYRPIVDTCKSFASDVDLEDLGLNCFWKKGDAEVSKLPGYNSGAICTFPEFLKSEIKSSLKQGNDVPIAVSDDGWYHPKSIEELHRLFDSNWFDENSVKIVASNTGSGVYKDQDLYDKYIDIKGIPELSVINRSSEGIELGSVVSISKAIEVLSDGNLVFRKIADHLNKVASPFVRNTATIGGNIMMAQRLPFESDIATVLLAAGSTVTIQVASKRLSFTLEEFLEQPPCDSRTLLLSIFIPHWGSDDVTFETFRAAPRPFGNAVSYVNSAFLARTSGGHLIEDICLAFGAYGVDHAIRAKKVEDFLKGKSLSSFVILEAIQLLKDTVSPSEDTTHREYRISLAVSFLFNFLSALANSSNAPSNIDTPTKSYTNGTTNSSTVDSPEKHVKVDSNDLPIRSRQEMVSSDEYKPVGKPIKKVGAEIQASGEAVYVDDILAPKDCLYGAFIYSTHPHAHVKSINFKSSLASQKVITVITAKDIPSGGENVGSTFLTVLGDEPLFADPIAEFAGQNIGVVIAETQKYANMAAKQAVVEYSTENLQPPILTIEDAIQRNSYFQIPPFLAPKPVGDYNKGMSEADHKILSAEVKLESQYYFYMETQAALAIPDEDNCITIYSSTQMPSSHKTACACALAAFKLRRPVRMYLDRKTDMIMAGGRHPMKAKYSVGFKSDGKITALHLDLGINAGISADVSPIMPRAIIGALKKYNWGTLEFDSKVCKTNVSSKSAMRAPGDVQGSFIAEAIIEHVASVLALDTNTVRRKNLHDFESLEVFYGESAGEASTYSLVSMFDKLVLSPEYQHRAAMIEHFNSSNKWKKRGISCVPATYEVNLRPTPGKVSIMNDGSIAVEVGGTEIGQGLWTKVKQMTAFGLGQLCPDGGECLLDKIRVIQADTLSLVQGGWTAGSTTSETSCEAVRQSCAVLVERLKPIKESLEAESNTVEWSALIAQASMASVNLSAQAYWTPDPSFTNYLNYGAGTSEVAAPVLLKLTFHWKFATDMQVEVDILTGATTILRSDLVYDCGQSLNPAVDLGQIEGCFVQGIGFFTNEDYKTNSDGLVIHDGTWTYKIPTVDNIPKEFNVQMFNSARDKKPSGEPPLLLAASVHCAMREAIRAARKEFSVSTGPANSAVTFQMDVPATMPVVKELCGLDVVERYLESVSAAGAGPNATKA >Sspon.02G0046270-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:2073474:2077033:1 gene:Sspon.02G0046270-2P transcript:Sspon.02G0046270-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSLFYGLWKHVFSKTEFHVLILGVHKAGKTTLLEKLKSIYLKGEGLPHDCIVPTVGLNIGRIEDANAKLVFWDLGGQPGLRTIWEKYYEEAHAVIYVIDSASASSFEDAKSVLEKVLRHEDLHGAPILIFANKQDSPAAVMEEELARHLHLKELDERPCMFQAGSAFDGTGIKHGVDWLVEEMERSKRTEALRARTEAAGKI >Sspon.02G0044390-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:121149565:121150710:-1 gene:Sspon.02G0044390-2C transcript:Sspon.02G0044390-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTEEAAGGYDYDRLSELKAFDDTKAGVKGLVDAGVTTIPAIFRHHRQHGPQLSSSSSSSGSTISSIPVIDLSAASAAEAGAREEVVAQVKAAAETVGFFQLVNHGVPDELLSEMLASVRRFNEAPHEAKLPYYTRDARRKLRFNSNFDLFQSPAANWRDTLFCEAAPEPPRAEELPPAVRHVMLEYGGAAREVAVRVLALLSEALGLSPGHLAGMGCAEGLSLVCNNYPPCPEPDLTLGCSAHSDPSFLTVLLQDAHAQGGLQALLAPGWVDVPPVPGALLVNVGDLLQLVSNGRFRSVEHRVVANRSRDTARVSVACFFNADIARSTRLYGPIAELITSGDGPGRALYRSVTVPEFLAHYDKKGLDGRPALHHFQLLQ >Sspon.08G0010740-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:44228149:44234784:1 gene:Sspon.08G0010740-1P transcript:Sspon.08G0010740-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVGYGDIHAVNIREMIFIMIYVSFDMILGAYLIGNMTALIVKGSRTERFRDKMKEVIRYMNRNKLGKEIREQIKGHLRLQYESSYTEASVLQDIPISIRAKISQTLYKPYIESIPLFKGCSAEFIQQIVIRLQEEFFLPGEVILEQGSAVDQLYFVCHGALEGVGIGEDGQEETLLMLEPENSFGEISILCNIPQPYTVRVCELCRLLRLDKQSFTNILEIYFVDGRRILSNLSESEYGGGVKQLESDITFHIGKQEAELTLRVNSAAFYGDLNQLKNLIRAGADPKNTDYDGRSPLHLAASRGYEDVVQFLINEGVDIDLTDQFGNTPLLEAVKQGHERVAALLFAKGAKLNLKNAGSHLCTAVAKGDSDFIRRTLAYGADPNCRDYDHRTPLHIAAAEGLYLIAKMLVEAGASVFATDRWGTTPLDEARKCGGRTLGALLEEARANELAMFPERGEEIRDKMHPRRCSVFPYHPWRAATGEERRMEGVVLWIPHTIESLVASAQEKLDLPGPASRLRLLCEDGARVLEVDMVNDGQKLYLVGGEDDDQKDGQ >Sspon.01G0010560-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:34634532:34640049:-1 gene:Sspon.01G0010560-2B transcript:Sspon.01G0010560-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDVPMTPELEQIDGEIHDIFRALQNGFQKMDKIKDSNRQAKQLEDLTVKMKECKRLIKEFDRILKDEESNNPPEINKQLNDRKQFMVAMTNQQLIDTGRNQMDQTDQAIERSKMVTSLTKHPCPVCFSSLPWQILQDILLVVAQTVETGAQTAATLTAQTEQMKRIGNELDTVHFSLKKASQLVKEIGRQVATDKCIMAFLFLIVVGVIAIIVVKIVHPNNKNIRDIPGLAPPAQNYQIHNRRLLWAEAFIRL >Sspon.03G0012770-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:45286076:45292351:1 gene:Sspon.03G0012770-2B transcript:Sspon.03G0012770-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GYETHLIYLKMAITLANSRNDLATIRDALEVSAEMYRKDPNNVQDYVQRHLLSLSVWEELRFWDGYFEYLMENCSNKSANYVTLVTAQLILMATHMAGLGLPDIDSWNMIEKIAERNNLGYKQLIKLRALLTHLQQLRIGHCSGMASPHAVDVSDESQQPSEASGLGRSWVQSMFSRDRSLRATSFNRGDANAVATTGKTDISAAQKKTQTNMRTLRGHTGAITALHCVTRKEVWDLVGDREDAGFFISGSTDCTVKIWDPSLRGSELRGTLKGHTR >Sspon.01G0012400-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:28395994:28398855:1 gene:Sspon.01G0012400-2B transcript:Sspon.01G0012400-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASVFGGGEAFRLSAAPGAGVLKLHKGDITLWSVDGATDAIVNAANERMLGGGGVDGAIHRAAGPELVQACRKVPEVKPGVRCPTGEARITPAFELPVSRVIHTVGPIYDMDKHPEVSLKKAYENSLKLAKDNGIQYIAFPAISCGVFRYPPKEASKIAVSTAQQFSEDIKEVHFVLFSDDLYNIWRETAQELLSQFEK >Sspon.03G0026300-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:2353436:2358321:1 gene:Sspon.03G0026300-1B transcript:Sspon.03G0026300-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-specific phospholipase C2 [Source:Projected from Arabidopsis thaliana (AT2G26870) UniProtKB/Swiss-Prot;Acc:O81020] MAAARSRPRPRSLAPPVAVLLLLLLLLAGPAGSSTSTTSPIKTVVVLVMENRSFDHMLGWMKRLNPEIDGVTGREWNPANTSDPSSGRVYFGDGAAYVDPDPGHSFQEIRQQIFGSDDASGPPRMDGFVQQASSIGGGNMTDAVMHGFAPDSVAVYRELVSQFAVCDRWFASVPSSTQPNRLFVHSGTSGGATSNNPTLLAKGYPQRTIFDNLHDAGFSFGVYFQDVPAVLFYRNLRKLKYLLDFHPLRPSFADHASRGVLPNYAVIEQHYLDSKLDPANDDHPSHDVYQGQMLVKYVYETLRASPQWNQTLLVITYDEHGGFFDHVPTPVAGVPSPDGIVGPPPYNFTFDRLGVRVPAILVSPWIEKGTVVHAPQGPTPTSQYEHSSIPATVKKIFNLPQDFLTKRDAWAGTFEGVVQGRTEPRTDCPEQLPTPTRIRQTEADEEAKLSEFQQEIIQLASVLNGDHQLASLQDRIRDEMNVREGIDYMKAAVKRYFEAGASARRMGVDGEQIVKMRPSLTTRIQRP >Sspon.02G0008370-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:24618761:24619998:-1 gene:Sspon.02G0008370-1A transcript:Sspon.02G0008370-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RLSVRKTKAEKDPNKPKRPPSAFFVFMEEFRKDYKEKHPNVKQVSVIGKAGGDKWKSLSDAEKAPYVSKAEKLKAEYTKKMDAYNNKQSGGPTASGDSDKSKSEVNDEDEE >Sspon.08G0013290-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:54572994:54575649:-1 gene:Sspon.08G0013290-1T transcript:Sspon.08G0013290-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ESAGGEARPPVLLPPVQELAGQLVATNDVPARYVTRAGAVNDDRKAMETAPVPAIDLARLCQSGGDGGADDEASKLRLALQSWGHFLVTNHGMEASLMDAVMDASREFFRQPLLEKQKHSNMIDGKHFQLEGYGNDWVPSEEQVLDWTDRLYLKVEPQEDRKLDLWPTSLRYVLHEFTTKCTRVKDCLLPEMAKLLKLGDGYFIDQFGDKADTYARFSYYPPCTRPDLVFGLKPHSDGSFVTILMVDNSVGGLQVLRDGVWYDVPTRPHTLLINLGDQIEVMSNGVFKSPVHRVVTNAEKERLSVALFYSIDPEREIQPADKLIDENHPALYKKV >Sspon.01G0038610-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1C:16511842:16513403:-1 gene:Sspon.01G0038610-2C transcript:Sspon.01G0038610-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSGTQQKCKVCTKTVYPMDQLSTDGVVFHRSCFKCQHCKSTLSLSNYSSFEGVPYCKAHFEQLFKETGSYNKSFQSQSPAKITPEKLAPELVTVEEKAYHKSCFKCSHGGCAITPSNYAALEGILYCKHHFSQLFKEKGSYNHLIKCASVKRAAEAQPEQPASDSS >Sspon.08G0020030-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8B:16902104:16902338:-1 gene:Sspon.08G0020030-1B transcript:Sspon.08G0020030-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding QQECAARRGSSLLLLMAAGAIIFPRKHARSNQDPAAEAHGRSNRERINLANYNSGLDQSVPKGGLSASKLDQSVPRA >Sspon.06G0014000-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:89520082:89536741:-1 gene:Sspon.06G0014000-3C transcript:Sspon.06G0014000-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPPPQQPPPPPFAAQNPTAQGPVQGPPGSGAGALPGAFANFQISRGAAPPPGAPRGLTPQQAPPAFAARPGPSPAAARPAFPGSPPAPPFVRAPTAATSASPPFGGQPGLVSQPPPPFRGPPGAASQPPPSFGVPPGVVSQAPPPFGGPPAAASQAPPPFGGPPATASQAPSPFVGHTVAASQAAPFGGPPFAGSHPPPFGGPPVAGSQPAVPLRPTFPGQSGPMAAAAAWTQPTPPSFGAPQQPPPPFGSRPVGQPPFATQSAPVAQQLPFMGPPRANAPAFGPPSWQAQGAGSGAMQPPMRMPGIPGSMQPNTLGPPGTPTIPYSPHAGTQVSTPSKIDPNQIPRPMPESSVIIYETRQGGQATIPPAASSEFIVKDTGNCSPRLMRCTVNQIPCTGDLLTTSGMPLALMVQPFSLPHPSEEPIQLVDFGEMGPIRCSRCKAYINPFMKFVDQGRHFICNLCGFRNDTPRDYMCNLGPDGRRRDADDRPELSGGTVEFVATKEFLVRDPMPAVYFFLIDVSMNAIQTGATAAACSPRTMVGIATFDSAIHFYSLKRAQQQPLMLIVPDVQDVYTPLQTDLILPVSECRENLEQLLESIPNMFENNRVADSACGAAMKCCHHLGLVLYLQGKLKAEQTLQLVCVDVFLTTQSYVDIASISVVPQTTGGRVYYYYPFSALSDPAKLFNDLRWNISRPQGFEAVMRVRCSQGLQVQDYFGNFCKRVPTDIDLPAIDSDKTVMVTFKHDDKLPENVECGFQCALLYTTVYGQRRIRVINLSLSCTNLLANLFRCADLETQFACFLKQAANGIPTSPLPRIRDEATNTCINILQSYRKHCASVTSSGQLILPEALKLLPLYTLALVKSVGLRTDGRLDDRSYWISLVSSISVVLAVPLVFPRLIPIHDLISRDDDDSLVPSPLMLNSENVQEDGVYLLENGEDGLIYVGNMWALDQFDNELSRKVNEVVNEIRRQRCSYLRLRLCRRGEPSGQGTWRLLLRGVPCACPQANPEQDDLRAQIL >Sspon.08G0010530-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:46728843:46734585:1 gene:Sspon.08G0010530-1P transcript:Sspon.08G0010530-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVSCGLEWVVCLGCTRWAWKRLTYIGAYDSETWPPASPDEFEPVPRLCRAVLANYDEDLSNPKFAPPGRGYHDIDPRGIVKRATYEDVGNACPPYLVYVDEAHKEIILAIRGLNLVRNADYKVLMDNKLGMQMFDGGYVHHGLLKAAKFILERETETLRDLLRRYGPEYKLVLTGHSLGSGIAALMTVLVVNNRKEFDNIPRSRVKCYALAPARCMSLNLAVKYADVINSVVLQDDFLPRTPTPLEYIFGSIFCLPCLLFLICLRDTFKQDKRKFKDPRRLYAPGRMYHIVERKFCRCGRFPPEVRTAIPVEERFEHVVLSCSTTSDHAIAWIEQESQKALELMKDSKKEMTPPPQQKMERLQSFEVEHKNALQRAKTLDVPHAADLSEEEIQEDDSPAPPSDTLSETTTETKSAGRTSWDELMEKLFTRDEDGKLVVNKDAMAREIVVE >Sspon.06G0006830-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:25493316:25497356:-1 gene:Sspon.06G0006830-1A transcript:Sspon.06G0006830-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLVFWHWFFLFFVAVSTSQAMSSDGLALLALSKSLILPSSIRSNWSASANPCTWNSVGCNGRNRVISLNLSSSEVSGFIGPEIARLKYLQILDLSANNISGLIPSELGNCSMLEQLDLSQNLLSGSIPASMGNLKKLSALSLYYNSLNGTIPEELFKNQFLEQVYLQDNQLSGSIPFSVGEMTSLKSLWLHDNMLSGVLPSSIGNCTKLEELYLLYNQLSGSLPETLSEMKGLRVFDATSNSFMGEIPFSFEKNCKLEVFILSFNYIKGEIPSWLGNCRSLQQLGFVNNSLSGKIPNSLGLLSNLTYLLLSQNSLSGPIPPEIGNCRMLQWLELDANQLEGTVPEELANLRNLSKLFLFENRLMGDFPENIWSIETLASVLIYSNRFTGKLPSVLAELKHLQNLTLYNNFFTGVIPHELGVNSRLVQIDFTNNSFVGSIPPNICSGKALRILALGFNYLNGSIPSNVVDCPSLEQVIFENNNLDGSIPQFINCANLSYVKLSYNSLSGNIPPNICSGKKLLYLNLESNRLNGSIPYDLVLDCQSLERLYLRDNNLSGSIILVILEFESRWCSPSCSVQESHAAKFHDIYLERF >Sspon.02G0011890-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:31843754:31847879:1 gene:Sspon.02G0011890-1A transcript:Sspon.02G0011890-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVSHADEHEISLCDPNSEDDRRRRKIGSLPRKAIHALRKKRARRRVTDFRFPAAISIEDVRDAEEERAVAAFRDRLAAHRLLPDKHDDYHMMLRFLKARKFDSEKAMQMWSEMLRWRKEFGADTILEDFEFDELDDVLQYYPQGYHGVDREGRPVYIERLGKVDPNKLMQITTVDRYIKYHVQEFERAFRERFPACTLAAKRHIDSTTTILDVHGVGFKNFSKTARELVQRMQRIDSDYYPEVLGSNYQSRLIEAIDSSDISNAESESDVDDVGLTVVQKSTDHSLLTPVHEEVKGSDSSIFCSSGRNTYISLHGTSAGRTLENLVTGLIAVWIRISSFFHFFVYRQERLLENVHPHAATEQPKPQVVREEDMSACLQRLKKLESLCDHLMSKPPDMPKEKEFVLLQSFDRIKSLEAELDMTKKALQAAVEKQMELVETVEALQHRPGVFAVHRSKIVVVPSMSGLADAAGAIFFLLDF >Sspon.01G0056910-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:90117676:90119695:-1 gene:Sspon.01G0056910-2D transcript:Sspon.01G0056910-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MIWTAISGWSHHWVSKRKYHFIIPAVVDWDQPIRADALLGHTDHLLHGLIHSNGFGHLVTLHGRDGGSTFLSGCQIMDIWDQLCAALRVRAVSVVDLTQKHSVDLRLLLGVAQGKTWFTRWGYCLAKGCFSVSRSTYVAALEALATLPVDCLRSRHVRRVVTIYRRLSNKPLATVREFLLCLLDWKHLEAPLSPPPMKTSPRLMFLLPKSCMVKRLRQPCQRFEDVVDLLECRWSKKRLLSAAEVVVEKLREHADGTRITRQAVRDAARGAIGDTGLLDFVIKSLNDTVVGNHIVRRVPDPENRVLHFSLEEYAEPEPQPQADHELEPVEVDAEHSPPAVRWPNTAEAERDLRAVCRAMVEARSEAAQAVLDCKHWVKWWGLRDESDDQLRFLVEWRPQPWEATELTRPMPPGDIVVVPLHTSIGELLVEAEHALRDTYCFFEDFQAESLDGIAGDKWDPVMLGGAESGDTIGVHGHRADMETGL >Sspon.01G0038150-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:28356102:28359972:1 gene:Sspon.01G0038150-1B transcript:Sspon.01G0038150-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQPEHADPPGRAFPPPAAPAPAAARGAHHRRARSEVAFRLPDDLGLGGGGGPNGDAFDEIGSEDDLFSTFMDIEKISSSGPADRDRDRAAETSSPPRPKHRHSSSVDGSGLFFSPGIGGGAGKDAAASLAEVMEAKKAMTPEQLAELAAIDPKRAKRILANRQSAARSKERKARYITELERKVQTLQTEATTLSAQLTLFQRDTTGLSSENAELKIRLQAMEQQAQLRDALNDALKQELERLKHATGEMTNSSETYNMRFQHVPYNSSFFPLSQQNASPHLGSTQLPPPFHPPHPNVPNHQMLSHPNTLPDIMQQESLGRLQGLDIGKGPLVVKSESSSISASESSSTF >Sspon.01G0006250-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:16558853:16561110:1 gene:Sspon.01G0006250-2B transcript:Sspon.01G0006250-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mediator of OsbZIP46 deactivation and degradation, Negative regulation of ABA signaling and drought toleranc [Source: Projected from Oryza sativa (Os03g0214200)] MEGFWRDLLCGIGKGDEPPPEQRPGQLREQMEEVELSLGLSLGGRFGLDRKGDKLPRSSSVAAMLTPPVEVPAPRALPRTSSLPVLAEASEVGRQQGQAGWGSCRDGGGLGVEHAVRLPASGSPSSASSGGDGQRLQGTLMRTSSLPAVIEAAGNDERKKRKEAQSLKRLEVKKKRIERRNSLTCNTSKEAAGQIPEEMNAHADKLVSSDEAVVMNNENHSSGKHLVKGLPPKYQATIASQDSLSAVGKKPNSAFKAITKEHSPSSSVPSSDEAISNVTAASPPPSSLPPRTATLGSRGDQSILGRAASRANSMGDVERRIMQEMPGVFTKGLPNGNRVEGFLYKYKKGEDVRIVCICHGSFLTPSEFVEHAGAGKVDNPLRHIVVSPTPNL >Sspon.01G0014280-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:93759395:93762496:1 gene:Sspon.01G0014280-4D transcript:Sspon.01G0014280-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MANGESPNDPAFGGTRKVAPDPPRKNRSHLISLAPLSLAASASRRARTYSSPRPSPSLLLHTHPSLPADRAKRESGRPGKQTSKWGERGELTNHTGRCGGSWPEEEEGRVKRVVSSYIHTCGGDVVVRRMSASASSAACRVCGGGVGECACHGHGIGARCGVAVADLNRGFPGMWHQPAEEEPSGVVGSGAAAAGLHEFQFFGHDEDHDSVTWLFNDPAPHLHRGPAPAAAAVANGVAAKSEQRRAPPPLFDNGYAHAHAQYGQLPGHGLTFDVPLSRGGDVASAAVLEAGLGLGGGGGGSNPATTSSATIMSFCGSTFTDAASAVPGDAAAAAANGSASGGADPAVDREAKVMRYKEKRKRRRYEKQIRYASRKAYAEMRPRVKGRFAKVPDGEAPAPPAPAAAAGYEPGRLDLGWFRS >Sspon.04G0036790-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4D:48841147:48841517:1 gene:Sspon.04G0036790-1D transcript:Sspon.04G0036790-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSSMRSSRRTRQVVAACTSDKAGLDVRTGLASTRPSARHAVHFTVLFSLGCSTVLTTTRSLRRTFCSSLTLPTMLTPVRACDARALPMMPNNTLPLQPFLQRKGAAPRAPHPLAHCGVLQLG >Sspon.05G0023220-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:11389765:11395252:-1 gene:Sspon.05G0023220-2D transcript:Sspon.05G0023220-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEMRQRRKPLVLASTQALLDSLPGDRPPPPPKEPVRLRAGVLRFPSGAGAGAEFGELASFVALPASALRRLAVVTGTPVLVKNTDTNVGRIVKAVLFDNPPLDESRSEHTEQVVPASPSDRAMGFLPCRTFPTTGFASMDEDVAYVSPLLAFNLGLHISCLKLLIQRGGQPFKFCSQAEETDATASAGSDLSLHLDLLPCPQVPKYALHLRVSVVRIPDCGVLASLKINSSFGGSDYQDMVDQALNEYFKFDRFLARGDVFCIQNSWNCGASCCLACNKQDNKLHPHNMMYFKVTSMEPSDEPILRVNCNETALVLGGAASAAIPPYSLFAASGNSVPLHGEIVEHLASIIAPALCPSDILPKIKFSTFLYGPSGCGKRTVLRHVANHLGLHVVECSCHDLMTSSESGAPAALATAFKEAQKYSPCIILLRHFDAIGNASSNEGPQSEQSGVASNIESVIKQYTGQCWVAKDSLPGKDVNGSSYLMEPECVSSLQIILVATADSSEGMQQSIRRCFRHEIDMKTMDEEQRNKLISETLQGIPKVADESIGDKFLKDLAAQTSGFMPRDILALVADAGVSFAHKIASGKDSKGISKHEEILPESSSATQNEEKHFCKEDIMSSLERAKKRNRAALGTPKVPNVKWEDVGGLEEVKKVILDTIQLPLMYKHLFSSKLRKRSGVLLYGPPGTGKTLLAKAVATECSLNFLSVKGPELINMYVGESEKNVRDIFEKARSARPCVIFFDELDSLAPARGSSADSGGVMDRVVSQLLVEIDGLSDNSQDLFIIGATNRPDLLDSALLRPGRFDKLLYVGVNTDASYRERILKAQTRKYKLHKNVSLLSVAQRCPPNFTGADIYALCADAWFHAAKRSVKTFEIDTSRSNDASAEDVIVEIDDFITVLGDIAPSLSLEELQNYEQLRQKIEGPSR >Sspon.01G0023760-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:82853281:82864294:-1 gene:Sspon.01G0023760-3D transcript:Sspon.01G0023760-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPGPTSPPGPDESPSPPPDEVVAQQAPAPLPAAADADGEARADDDDDDVVKAAVGAAREDHGERRRATTASARGRKRRRRGGGGPSYYSASSSSPAAAAAGTRGVVMVKRDLLARCMTCPLCRRLLRDATTISECLHTFCRKCIYQKFDNEEVECCPVCEIDLGCTPTEKLRADHSLQDVRSKLFPFKRKKINAEEVPSSISLPTKIKERSISSLVVDTPKVKPTGLTGRRTRAVARKAAAAAAAAALRELGPIIEDPVKKEINSCDSHSHNSNLPDNSSKAPQTRRQISPNAEASNHSSNKDTEGDSKDELADKSELWRPLNCLVEAANRTKSFRSSSQSPVVKGEQINGSTSGTFASKAKARDNLEKSKTEDDKKDVPMPPVLPKRRAQGTARKRKDLQAPTDVKPDVAAAHSAKKFSSIWFSLIASFDQQGDPPLPQIPSHYLRIKDGNVPASSIQKYLMQKLSLPSESEVEIKCCEQPVNPSQPLCNLVELWLKGRVTQTTQTMTGSSAKEFVMVLTYGRPKAPAL >Sspon.06G0026440-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:79096811:79108414:1 gene:Sspon.06G0026440-1B transcript:Sspon.06G0026440-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATAPFSSASSSHPPRLPSKTLTPRHSRPLHHRSPPAASAPRPLLLSLSAPARRCGAALRASAAQKISADYQFDEEEEEEEYEYDGEEEEEWEEDEDEDEEMDVEAMEEEARGAAADLAKRLARELHIDDDVREKRRNIRVKTSVSKHIPDNLLPKVAIIGRPNVGKSALFNRLVGGNRAIVVDEPGVTRDRLYGRSYWGDQEFMVIDTGGVITLSKSQAGVMEELAVTTTVGMDGIPLATREAAIARMPSMIEKQAVAAVDEASVILFIVDGQAGTGDLLDLVCSELTKFEGFNAVEEEKNKVPAIAIVGRPNVGKSSILNALVGEDRTIVSPVSGTTRDAIDTEFTTADGEKYKLIDTAGIRRRAAVISAGSTTESLSVKRAFRAIRRSDVVALVIEAMACVTEQDYKIAERIEKEGKACVIVVNKWDTIPNKNHESTTHYEQDVREKLRILDWAPIVYCSATNGTSVEKIISAAALVEKERSRRLGTSILNQVIREAIAFKPPPRTRGGKRGRVYYATQAAIGPPTFVLFVNDAKLFPDTYRRYMEKKLRSDAGFPGTPIRLLWRSRRRPDKRGKSADNRTQSPGTPSRMVLAAN >Sspon.05G0019390-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:83265801:83266712:1 gene:Sspon.05G0019390-1A transcript:Sspon.05G0019390-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding QEMAAPLAAADGGLRRLFEKPLPENPTLLEALSAWNRNVHHHHPRSKPIDTASITEIFGELHFQEKPQQPDHRGDVLLLPPTSPPPPPRSPPSRMPSWLDIAAEAENKSKDDSSLDALLRPKPAATVATVKRSASFCAKKGSSSASLLLCTEGLGSESTVDADDMFKDGDAEAEAEAAALKGTDETADGANAGAAEAVKEEKERQPKTFPPPIRSIGRGGKPYVCFMSFREDGRFVLLERVIPGKELLQATREGGRLRLQFAAAAAAAAAAAAGVSVDEVVHGGDDDDHRSKNSCIDDDDDDGE >Sspon.07G0003770-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7B:8102841:8103059:1 gene:Sspon.07G0003770-2B transcript:Sspon.07G0003770-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALGPFRGESKREQRGSGEDEGQQQRVVLFTVASMRRVKESMARGIHVATLLCARSTTEAGLTTAIRHPDVD >Sspon.01G0051660-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:6442018:6442662:-1 gene:Sspon.01G0051660-2D transcript:Sspon.01G0051660-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHISDIKLIRTDTTLDLSQKAEKDSERRHPHNPRACRALSMPEEAKLRSRSSAPEDANANSDLGFVHRHPHLVRPQPQP >Sspon.01G0020980-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:82494211:82498741:1 gene:Sspon.01G0020980-2B transcript:Sspon.01G0020980-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFKSRWRGGGGKAKAVPELGWEAMMVVVTPQDAGRPTARSEPAEVTDGACRWAAPIMEATKLPAGKDKIYQFLVYETGSSKAALLGEATVNLAEYADALKPSAVTLPLKGSPGALLHVTIQRVVGGGGGCGDDASEDGDASPVAKTPQRRTTLQSQLSRFEDEDGEKVRAATDAMSPVQKTSGMRFPSRRNVPMSVDPVGHLQNGSSFDAVSVSGSDGSSGRYTPKISASMHNSFLQDSSNALSPFANNGTVRNPLTSSGDWSGSSAPDASTDGSTSNSGEAGLRGEDDDVEKLRSEIATLTRKLDVSDMELQTLRKQIVKESRRGQDLSKEMSSLREERDALRRECEGLRGAKKTIHDSNGSGKRLSVSDGDDPWSHIEELKQDLSHEKNLNADLRLQLQKMQESNSELLLAVKDLDEMLEQKNREISILQEDRDDPQEAEYEHALSNVHNSGHKIALSETSSYQEKEDELMLDALAKKRDDISTSELEKKILELNNEIELYKKDREDIEMQMEQLALDYEILKQENHDISTRLEQTQLREQLRMQYECSAHLAIISDLEANVESLDNELQTQAKKFEADIAEIMSAKVEQEQRAIKAEDSLRKIRWNNATTAERLQEEFKVSSAFSANERHLVQARKEVAELQLQKSQLEELLQKAQGDLGSVQDQHRVKDMQLQDKTAEITSLNKELVSLKDQVKMHLDELHNLKCLKNEKEETIGKLQIDIGSLKLQCDNLKTLLSKKESEKDNLASQVLKLRRSLETREGAKANGQNSDVKDNQHTNNKRIKHNTGSTGSTTALPGTNRQGGDGDCNGHDMRSAADQSAKELASLKERNKAMEEELKELHERYSEISLKFAEVEGERQQLVMTVRSLKNSLR >Sspon.01G0025880-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:90455577:90460339:-1 gene:Sspon.01G0025880-1A transcript:Sspon.01G0025880-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMPFARRDIEAAGAGAGPGSDDSPAAKKGKPELAGARPALTRSEAFAFAAVLALFTAGIFCVFLTAPRGEFGQILRLPRSLADVRLLKDNLAVYARDYQTNFILGYCSIYIFMQTFMIPGTIFMSLLAGALFGVIKGGILVVFTATAGGSSCYFVSKLIGRPLVSWLWPEKLRYFQSEVSSAMPVLLVNIAKRREKLLNYMLFLRITPTLPNTFINMASPIVDIPFHIFFAATLVGLIPASYITVKAGRALGDLKSVRELYDFKTLVVLFLIGSVAVVSTLVVTLNYGCCRCFTKIWKTVCKLQETEDIRAISYDEVSGMVSLRPTGAPCKLRRKAGCLIRDIQLVATELRLTPQRPAMLPKPPGPAPAPSCCCGCEGYDYGYGCGCQACACMNYPPCYGGLPKDRGHLRGGILSSVQDH >Sspon.07G0030270-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:4733079:4738252:1 gene:Sspon.07G0030270-1C transcript:Sspon.07G0030270-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKQRPRHAEERRPPATNSSHGGGGEEYDPWTAWLYKPHTISVLLVGACLLIWASGALDPEGAAAHSSVTSIKRILIRPHPAVWRLVHGLAVVYLVALTFLLFQNCRRDLMEPIAVCIWAGMHTVRYFDGKTYEWVGLSRQRSIMGKVKRSLSQFTPAQWDKDQWHPFMGPLRFIQVLFLCVVFMTVELNTFFLKFCLWIPPRNPLVVYRLILWWLIAIPTIREYNSYLQDRRCTYLI >Sspon.04G0026480-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:55731710:55736600:-1 gene:Sspon.04G0026480-2D transcript:Sspon.04G0026480-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLAAGLGYALIALGPAFSLFAGVVARKPFLVLTLLSSTLFWLISLIVLSGIWRGFLPIKSGAWWAYAILILTSVALQEGTRLVFWRLYKKMEEMLDAFADRISKPRLSLTDKMLISLALIALGFLVIHTFSMIIAFNAYDERKKSDQVFVPVIHLAAAVMTLINLAPGGCLIGTPLLLVMAALTLQHCCRVACQRLTEHQHQQHNSN >Sspon.08G0011550-2T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:46474519:46477545:-1 gene:Sspon.08G0011550-2T transcript:Sspon.08G0011550-2T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQQAAKEVIPLMTPYKMGQFQLSHRVVLAPLGRCRSYGNVPQPHAAVYYSQRATKGGLLIAEATGVSATAQGYPETPGIWTQEQVEAWKPIVDAVHRKGAIFFCQILHVGRVSTNEFQPDGQAPISSTDKQISPDAESGMVYSKPRQLRTKEIPGIIDEFRRAARNAIEAGFDGVEIHGAHGYLLEQFMKDSCNDRTDEYGGSLENRCRFAVEVIDAIVREVGVHRVGIRLSPFVDFVDCVDSDPVALGHYMIQQLNKHEGFLYCHMVEPRMAIVDGRRQIPHRLLPFRKAFNGTFIAAGGYDQEEGNKVVAEGYTDLVAYGRLFLANPDLPKRFELNAPLNKYDRSTFYTQDPVVGYTDYPFLEDGSDNDES >Sspon.05G0009930-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:30836828:30841985:-1 gene:Sspon.05G0009930-3D transcript:Sspon.05G0009930-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MANGGAASRGPPDGSPAALQGLVQQPLLHANEARKDPEAHGFGPAEPDGGVGLLSIPYALSEGGWLSLALLLVVAAVCCYTGLLLQRCMDASPAVRGYPDIGALAFGRGGRLAASAFLYAELYLVATGFLIMEGDNLDKLFPGTSISLGLGGDGGAALLVVSGKQLFVALVALVILPTTWLRSLGVLAYVSASGVLASAVVVVCVLWTAIADGVGFRARGRMLNVSGLPTALGLYTFCYCGHAIFPTLCNSMKEKKRFSRVTLNLPNGKIVSKLAIYITLINPFSKYALMVTPLATAVEERLLVATGSGPGRSSKRSVNMLIRTLLVVSMVVVALAVPFFGHLMEFVGSLLSVMAAMLLPCIFYLKIFGVVRCSKAEVALIATIIVLGSVVAATGTYASVKKMFSTTEEEMHV >Sspon.03G0007040-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:34326902:34327826:-1 gene:Sspon.03G0007040-2C transcript:Sspon.03G0007040-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFCTVAIDVAAKVGVPVYMFFAANAGALAVLTQTAALLAGRQTGLKELGDTPIDFLGVPPMPASHILREMLEDLDDEVCKTMAEIWKRNTDTRGVLMNTFYSLECRALQAFGDPLCVPGKVLPPVYSIGPLVGEGGTHREEAERHECLTWLDAQPERSVVFLCWGSRGLLSGEQLKEIAAGLDKSGQRFLDRGLVIKSWAPQVDVLNNPAIGAFVTHCGWNSTLEAIAAGVPMLCWPLDAEQKINKVLMTEAMGIGLELEGYNTGFIKAEEIETK >Sspon.01G0013130-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:35505088:35509524:1 gene:Sspon.01G0013130-2C transcript:Sspon.01G0013130-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETVDDKVSYLQAVTGISDTDLCTEILAAHNWDLQLAVSSITANPSSPSASASASTSSSADPAPSAPLAADAEFVAPPPPPPMPMPLPPQQQQQPGIAWRLVTLPFYVVSGGVGLVAGTFRLGAWVAGGVLSRSLSLLGLAGQAGSGDRLLELPPSAAEAADFVAEFEREFGAGRGPRFVAQGFADALQRAQREFKLLFVYLHSPDHPDTPAFCGGCLCSEPVAAFIDENFVAWGGSIRRTEGFKMSNSLNASRFPFCAVVMASTNQRIMLLQQVEGPKSPEEMITILQRVVEECTTSLVAARIEAEERLNNQRLREEQDAAYRAALEADQARERERIEELERREREAAEAERKRKEEEEALARAAQEAAEKEAALARRRQEKAMALGAEPEKGPGVTRVLIRFPTGERKERRFHSSTTVTSLYDYVDSLDCLKAEKYSLVSNFPRVTYGPEKHSLTLEEAGLHPQASLFIEIEQ >Sspon.05G0015940-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:59376872:59386295:-1 gene:Sspon.05G0015940-3D transcript:Sspon.05G0015940-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNMVSYLRGTMNMGVAAASTTSTMFLAVMQMFSIPAAFVADSYIRRFYTVLIFAPIEILGYILLAIQAHVPSLHPPPCQVPNNCELVHGSNLSLLLLGIFMICIGEGAIRACLPALGGDQFDKSDPVEQRLEASFFNWYTFAVSFGGLVGLVLIVWVENNKGWDVGFAVCAGIVLLGLAVWAAGFPFYRNRLPSGSPFTRILQVLVAAFKKRNLQLYNNPDGLNQITGGNAKGVEVLERTKGLQCLDKAAIDNGKGGSWSLCTVHQVEETKIVIRMIPIFITSALGYMPASIILTFTVQQGNTMNTRLGAINVPPATLFVIPTVFQLVVLVVYDRLIVPFLRKKTGYVGGVTHLQRIGIGFVAAIMACGVAAIVEMKRKSVAEQSGLMDSSAPVPMSVFWLVFQFFFVGVVDVTSFVGLLEFFYSEASTGMKSIGSSLFYCMIGVAAWLVTLLIQLVNRVTRDGGRKQGWLDGANLNRSKLDSFYWLVCVIELLSFLGYLYWARRYVYRNDQRVVDKDNNPGMARQRSQHQQQHEHGELPAWHNEHGSRGRLYHQHHMFSIPAAFVADSYIRRFYTVLIFAPIEILGYILLAIQAHVPSLHPPPCQVPNNCELVHGSNLSLLLLGIFMICIGEGAIRACLPALGGDQFDKSDPVEQRLEASFFNWYTFAVSFGGLVGLVLIVWVENNKGWDVGFAVCAGIVLLGLAVWAAGFPFYRNRLPSGSPFTRILQVLVAAFKKRNLQLYNNPDGLNQITGGNAKGVEVLERTKGLQCLDKAAIDNGKGGSWSLCTVHQVEETKIVIRMIPIFITSALGYMPASIILTFTVQQGNTMNTRLGAINVPPATLFVIPTVFQLVVLVVYDRLIVPFLRKKTGYVGGVTHLQRIGIGFVAAIMACGVAAIVEMKRKSVAEQSGLMDSSAPVPMSVFWLVFQFFFVGVVDVTSFVGLLEFFYSEASTGMKSIGSSLFYCMIGVAAWLVTLLIQLVNRVTRDGGRKQGWLDGANLNRSKLDSFYWLVCVIELLSFLGYLYWARRYVYRNDQRVVDKDNKSPVDCDMDEI >Sspon.01G0022340-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:84994754:84997792:-1 gene:Sspon.01G0022340-2B transcript:Sspon.01G0022340-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGGSTTPFYRGSTTALATNPSAAAANGAQKGDREAAVDAEIARVNNLPAHSSYAIHRMKVLNKLRHLMSIKRTTSQDEELELLFASLSI >Sspon.05G0031170-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:3531172:3535971:1 gene:Sspon.05G0031170-1C transcript:Sspon.05G0031170-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGEKKGERKRLTVVTDREAERCVCFPVPSHHPHSTTLSLIHRLHYFLSPPSPPPSPFILSPLPCCGTALGRLLSPLTFSTSPIPQPHEQSSLTKRRKKGERRRQTRLRDSNATCLPFSRGAHARCKSYCKKGSCACC >Sspon.08G0011980-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:51449112:51452345:1 gene:Sspon.08G0011980-1T transcript:Sspon.08G0011980-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFSMSGNHPYHKTCYKEQFHPKCDVCKQFIPTNMNGLIEYRAHPFWLQKYCPSHEVDGTPRCCSCERMEPRESRYVLLDDGRKLCLECLDSAVMDTNECQPLYLEIQEFYEGLNMKVEQQVPLLLVERQALNEAMEGEKAGHHHLPETRGLCLSEEQTVSTILRRPRMAGNKIMGMITEPYRLTRRCEVTAILILYGLPRLLTGSILAHEMMHAWLRLKGYRTLSPDVEEGICQVLAHLWIESEIMAGSGSSAASSSSGSSSYTSSKKGGRSQFEHKLGDFFKHQIETDASMAYGEGFRAGNRAVLQYGLKRTLEHIWLTGTFPF >Sspon.05G0016920-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:65510371:65511672:-1 gene:Sspon.05G0016920-3C transcript:Sspon.05G0016920-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LIMVSIVPLQRRPEAPAASASARSIFSLERRRREEDDGSGPGGGMDLSGTQGELAIPMHANVASPYGGGGNVSGHVLQQLHGRDHGSNNNGQQSPAAAAALTPSPPAAAEETESSGKKRGPAAGGIGGATSSAVKYRECLKNHAAAIGGNATDGCGEFMPSGEEGSLEALKCSACGCHRNFHRKEVDDDDDDVYGAADGFSGLGLGHGHGHRAAHRLLAPAMAHPHHKSGGGLLISGADPYGAYAAARALPPALPPPPGHGHAHAHHQYVMPLNMMHTSESDEMEGGGGVAGDAARGGSGGGSSSSRKRFRTKFTPEQKARMLEFAERVGWRLQRLDDGMVQAFCQEIGVKRRVLKVWMHNNKHNLATKRLEASPAAQEQQAMAGMASPPPPPPPQHMHLQMLAGVMPPPPQQQQQAGPSCHRGGPGSPLPLKL >Sspon.04G0019980-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:75502913:75515083:-1 gene:Sspon.04G0019980-3C transcript:Sspon.04G0019980-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone deacetylase complex subunit SAP18 [Source:Projected from Arabidopsis thaliana (AT2G45640) UniProtKB/TrEMBL;Acc:A0A178VW39] MAGMGDMPMRPARPGPPMQHRGPPPMARLRPEPIDREKTCPLLLRVFTRVAGHHQNEEFAVRGKEPKDEVQIYTWKDATLRELTDLVKEVALPARKRNARLSFAFVYPDKNGRFVVRQVGSTFAYGHGRGDDAKTLAELGFQIGDYLSVAIM >Sspon.01G0021470-3C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1C:78550702:78551256:-1 gene:Sspon.01G0021470-3C transcript:Sspon.01G0021470-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTELLRSPASMAKVCDELATVIGPRESIEESDIGRLPYLQAVVKETFRLHPPAPLLLPRHAQVDVKIIGYTIPKGSRVFINVWAMGRDKETWPEPEKFMPERFLGKTVDLRGGDFDLIPFGGGRRICPGMPLAIRMVHLLLASLLNQFTWRLPAEVERDGVDMAENFGLTLTKAVPLCAIATTI >Sspon.04G0015870-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4A:58767018:58771425:-1 gene:Sspon.04G0015870-1A transcript:Sspon.04G0015870-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRGRGRGRGGANPPVTMEELMQTQNQLMQMFMQHMQNNPPVGGPPPVQVRDKRGEFLKGRPPVFSHAADPLEADDWVRAVEKQLNIAQCNDMEKVLYASGQLQGTAQTWWESYQAARPDNAPPITWNEFVRDFRARHIPEGIIELKQEEFRNLRMGQMSGNNYASFQELVNKAIVLDNERREMDRKRKMKVQGSGSNNRQRTNSQHGFHQKFQGPVSQWNRNSNQRWSQNWQNNQSQQRSSYPQRQQYQQTGQQTPRTGNPNATPMKNTPSTPIRCFVCGKEGHLSYNCPEKQNQQTPQSQKSNQRQSGTGRYGIKGVEFKITPIVLRTSGIDVILGMDWMMQNQAEIQCQQKVVVVTAPNGDKINVDVAMQKQPTAVVNQIEDTIKENSVIEEFPDVFPDELPGMPPDRDIEFLIELLPGTAPIAKRPYRMGVDELEELKKQIKELQEKGFIRPSSSPWGAPVIFVDKKDGGQRMCVDYRSLNEVTIKNKYPLPRIDDLFDQLRGACVFSKIDLRSGYHQLKIRASDIPKTAFTTRYGLYEYTVMSFGLTNAPAYFMYMMNKVFMEYLDKFVVVFIDDILVFSKTEVEHAEHLRLVLQKLREHKLYAKRSKCEFWLKEVSFLGHIVSNGGISVDPGKVKDVLNWKPPTDVSEIRSFLGLAGYYRRFIEGFSKLAKPMTSLLEKNAKFVWSDKCQASFEELKKRLTTAPVLVLPDLSKKFSIYCDASRQGLGCVLMQEGRVVAYASRQLRKHELNYPTHDLELAAVVHALKIWRHYLIGHKSDIYTDHKSLKYIFTQSDLNLRQRRWLELIKDYDIEIHYHPGKANVVADALSRKSYANEIRTLSMTSELCAEFEYLNLGIVTNAMELVIEPTLEQEIYKGQLKDEKLKKIAEDIVIGKSPGFRMDDNGILWFGKRLCVPEDQAIRQAILREAHESAYSIHPGSTKMYLDLKQKYWWVGLKRDVAEYVALCDTCQRVKAEHQRPAGLLQPMKFLNGSGRKLTDGQTERTNQVLEDMLRACALQYGTSWDKSLPYAEFSYNNSYQQSLKMAPFEALYGRKCRTPLFWDQTGESQVFGTDVLRNAEDQVRVIRENLRVAQSRQKSYADNRRRDLVFEVGDYVYLKVSPMRSVKRFNMKGKLAPRYVGPFKVIARRGEVAYQLELPENLSGVHDVFHVSQLKKCLRVPEEQIPLEELTVKEDLTYEEIPIKILETAERVTRSRVIKMCKVQWNRYSEAEATWEREDELRKSYPQLFE >Sspon.05G0009550-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:30087721:30092851:1 gene:Sspon.05G0009550-4D transcript:Sspon.05G0009550-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Poly(A)-specific ribonuclease PARN [Source:Projected from Arabidopsis thaliana (AT1G55870) UniProtKB/Swiss-Prot;Acc:Q9LG26] MQMQGRLRRVLRAPPPRILLYRAISSPPPGGDGDGGGGNGVAVKQVTRGNMAEALEKLRGRVREAAFVGVDLEMSGVTSAPWRDTFELDRADVRYLKLRDSAERFAALQLGVCPFRWDPAKSAFVAHPHNFYIFPLKELPSDCSSHEFLCQTTSIDFLAKYQFDFNTCFREGVSYLSRAQEEEALQKFNMLYHGQTATSSTNSEEDRDIPLKSASDILFTERMKMNFKEWRDVIVSKAMVDNHLSGNIKCCAGQFQTVFFKMRPAIMLNGFSSHQLKLIQQVLRKNFRDLVFVCTFGEDDTSEKKVVYSDTDEDRILLMKDVQEDLLKNREERVKSAIGIRHVIDLLSSERKLIVGHSCFLDIAQVYSKFVGPLPSSIKEFALSFHKIFPHIADTRHLMSVNQAVQKLMKHKSKSLSSAFSLLCPASYSSAEKPSSHSPVTIEVEGDETTSSCFISGAKHEAGYDAYMTGCIFVQLCAYLGIKFEQFSPLDNLATNTKLQKHINFLSPSWNSGTVIDLSTGMERPEPGYMRRYPAAVYDNIIVIWGFPSKVRPKEIKDCICKVFGSGSVTTVFSIDSTAALVQFKKQESVNDFLDLKAVLERADSAISILHPLSTILEGGQTRAAKYDTYRDICSSSESKYLFADQAEAVCATSKNQLKENVDDNLISGVLDGVALASVTEGDGIISVSNNQDVPDVCHDILDALHDGKALLGRRM >Sspon.05G0008770-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5B:20045815:20047786:-1 gene:Sspon.05G0008770-2B transcript:Sspon.05G0008770-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKSKGPAAAAAADETGVSSPQGSSAHGSEGGGEKEGTFLLGEPAWEDTGGGRWRCAETGHELPEREKEAYARSRACRLALIDHAVARKKPPLNAFKPHPEHKSKLVCKITGDTVNKLEDHIWKHINGKRFLNKLEKLEDQMASGEMADGEPVKSNEVAKKSKSRKKDKKKANVASPSLPREPKPEMDDSDDPDFWVPPVGSRWDDDDGKDRWESSPGKPNSAKNEGGSDDDGAGDTVSGDEDAMADKDDAESRELASRYLHTFCSIQ >Sspon.04G0026600-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:51370692:51377883:-1 gene:Sspon.04G0026600-2D transcript:Sspon.04G0026600-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MILPVAKLGTLLLKTMSKPIATRLKTEASRHPKFRQLIINLAQANHRISTNIQRRVYGHSTSVEIRPLNEEKAVQAAADLIGELFVFSVAGVAVIFEVQRSARSEARKEEARKKEIEAIRQKEEQLAQDLLIVKQKLSELEHVANSRGLSGLFRSSSVPEQRPHD >Sspon.04G0024230-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:22485144:22485590:-1 gene:Sspon.04G0024230-2D transcript:Sspon.04G0024230-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSARRQCPVCKAAVSPDALVPLYGRGGSSDAKKPPRGLASIPPRPALRQSAQDSGGAAAPSARRDGRASRPDAEAPRRRPRRAVRRPPPHAVRRPRHDAPDGRRDARRDGGGRPPAGAPWAGAAAGHVLLGHLSSDEPQAETVAYGG >Sspon.07G0017960-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:69488092:69492563:1 gene:Sspon.07G0017960-2B transcript:Sspon.07G0017960-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMGSDAAAVLFPFSTATTTSPRLCGTAVSHRRIFSDVAEDVTFPLVSRCGRVRKMVVDSKDPDLLKLELVNVPGGAFAFELAAKFCYGSNFEITTANVAHLRCVAEYLEMTEDYQEENLIFRTETYLNEIVLKNLDKSLEVLCNCDGLDPVVEEVGLVDRCVDAIAVNASKEQLVSGLAHLECDVGSAKLRMHCQDWWVEDLSALRIDHYRRVIAAMRRNGVRPESIGTSINHYAQTSLKGVERRHVWDSGPFVGDSQRMIVETLIDLLATENITTVTLSFLFGMLRMAIEVDASLDCRIEVEKRIGLQLEMASLDDLLIPSTQTSDSMFDVDTVHRILKKESLLADHVLLRKTQAHPSLTESECKKLCKLIDCQKLSQDASSHAAQNDRLPIQMVVRVLYFEQLRLKSSFSGGGSGCVSGLGLGADGSFSQRFVCSSSGVPSSCVSPQRDNYASLRRENRELKLEISRMRVRLTELEREQGLMKQQGRMRGDGGGGRPGEPGRAFLASLSRGFGRITMFGVGGPAAADRRRKKSGRSSHQCSDGKARRRQKASFAYD >Sspon.08G0022700-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:50598302:50600477:1 gene:Sspon.08G0022700-1B transcript:Sspon.08G0022700-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTPILSLAPISAALTPSVAPFFLHTAPIYSSQSELTAAILDKFRWRKAILVYEDSSYGVGILPELVYALQGYNAFIADRVALPIDATENYLDTVVYSLRENSTQVFIVHMLPDLAARFFRQASVADMMSDGYVWIASAGFGSAVDCLGPDRIDDMQGVVTFRPYVQSTDRIMNFTVRFKEKFQMENPGIRDVPNPSLLLLWAYDTSWSLAAAVNSNRVSSSTPGRTLLGAVLNTTFDGLAGRFRLVNGLLQVSTYEVVNIIGKGARTVGFWTPESRIFKNLKANNEKGLKQINMASCSERVGRVFNWKHTSYYCAFKTWVQSACGGYCIDVFDVVMKNLPYPVTYQFVPFSDYKTYDDLFSLMYEKKADAVVGDTTITMDRMNKVAFTLPFTDTGLSMIVVLKKDSSTSMWIFLQPLTHTLWITSLGFFFLTGFVVWAIEHRINPEFRGSPGQQFGIVFYFAFSTLVFSHKEKLESNLSRFVVIVWVFVVLILTSSYTASLTSMLTFQQFQPTVTSVQDLLRNGHFVGYQRGSAVKYWLEEMGFHKKNLLGYGTVEEYAEALQMGSGNGGVSAIFDENPYLKIFLSKYCEGYTMVGPTYRLGGFGFAFPIGSPMVHEISKAIMTPGVQEERRG >Sspon.05G0025270-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:22587690:22590409:-1 gene:Sspon.05G0025270-1P transcript:Sspon.05G0025270-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VLPDQKTSPEKQVDQKVSINFDSSEYTNGDLREEISAGSTKTAYELKSEIVILPVMCDTDGNTGEQNSSCKKHDLEDNNTADVSTNSNDEELNPKQLPCHEVAQDCQDVGSVICEINENQDRLLTGEATHQVSSNDCYETGIDIASETSNIIHNDLPVESAAADFSVVIPEEVAVSAALDMEGSNQVNHYNPFIAYGSLDETWEPNYSLPTIVDAASIAPICPVEKTDSFSDLVNRALEGFDPIEIDEAIIEENRLDSVEESSSTLDVQASEQCNDQGESLTNNDVKTDVAHEMGTAISLSTSNGEHSDVKSEQGQKHEIDGQDINDFNPRDAELGTKVSEDITDSKSSTPVQTESVVQQNGPDSAKVTAQTVIRNPFESSFSGPSITSGPLTPSGHIPYSGNISLRSESSTTSTRSFAFPVLQNEWNSSPVKMAKADRRRLKEDRGWGYRILCYWAEQVVQLEG >Sspon.01G0041790-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:50912261:50923221:1 gene:Sspon.01G0041790-3D transcript:Sspon.01G0041790-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSRRRRGGGPERVADNWERLVRAALKRDRDHLRVGGAPAAVGGQRLADAVPASLGRTTNIEQILQAADDIEDEDPNVARILCEQAYTMAQNLDPSSEGRGVLQFKTGLASVIKQKLAKKDGAPIDRQNDIQVLWNFYLEYKSRRRVDDMQREQERLRESGTFSTEYGLSSVKKIMMMGNRAREMKKVFATLRALLDVLENLVGQSPTDRLHRQILEEIKKIKRSDAALRGELMPYNIVPLDAPSSVANIIGFFPELNKQKKYLCVDENYSMQLYQVRAATAAIQNCEDLPRFPFDTPQLRQKDIFDLLQYVFGFQKIDEMAVTEVFCKVLDNYIKWCRYLGKRVAWTSLEAVNKNRKIILVALYFLIWGEAANMAKELDGILDSSDAETAKSCTSEGSTSFLERIITPIYDTMAAVTLML >Sspon.02G0010090-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:28087210:28088856:1 gene:Sspon.02G0010090-1A transcript:Sspon.02G0010090-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRVKKFTGMAKGTPAVPVRRDEDESLVLFGELYKHEKERDMNLLEPMFSVEFEAIQGDGRMFKLPSGKRDYLLPDSEKHDYDWLKTPPATPLFPSLEMEANSSQMIFQKELPILQPIRTSRFSSKPDSTTASTSESPTSSSTTSVTPTARPSSSSSSKKKLNREAPTPSKDQDSAYRMDKKSSYTPLTNRQHNSIPAAPTATTTTTATKASKKTLGYKSQPSNAVKNVAGLDKAPKKNVTATTTKPRLNDSSAGAKDQKVDAGTTRRLSCPPAGTTDNAQVTATLKGRSRAATSAVPTARKGAGTTATDAVLKGRRRAGEQEQRPKLGSHAKK >Sspon.07G0033480-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7C:60322066:60322337:1 gene:Sspon.07G0033480-1C transcript:Sspon.07G0033480-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VHKDAAHGLAVASGRCRAVAFFSGRAVPAHGLRGQPKHGLSSGPGQLGPARLRAGPGLGRAKIMGHGPGRRALGCMEIFNREYGESLGVR >Sspon.02G0026000-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:90301992:90305567:-1 gene:Sspon.02G0026000-1A transcript:Sspon.02G0026000-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSLPRVSMEITDEVVKSMKIGLVFQGYNGRVSSMDFHSKDTKYLVTASDDETIRLYDIQDAVCLHTINSKKYGAELVCFTDNPSIVLYSSNNGWDESLRLLSMNDNRFIRYYKGHVDRVVCITLCSGKEFFLSASLDRKVLLWDHRAEKSQGVLRVQRRPAVSYDDQGMVFAVAFGGRIRMYDTRKFGKEPFATFSVGTDDSEPHVIKFSSDGRRILLTTKAGRVHVLDSFEGNRIARFHAKPILTNSTLEASFCPEGNHIISGSGDGSVCAWNVESGKVACWKSTDTKPPRVRWSPGSLMFVTGTTELSCWVPDLSKVESFTITDPQAPADTDTE >Sspon.01G0052320-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:21716941:21720723:-1 gene:Sspon.01G0052320-1P transcript:Sspon.01G0052320-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIPLRSLLVASLVLSSVVLHVAAAKTIDPYKASNFCVLGVDKNASQRDIQKAFHKLSLKYHPDKNKGKGAQEKFEEINNAYEILSDEEKRKNYDLYGDEKGNPRFGGGNFGNHEGYTYFGGGPKSSHFTSGNGWQTMGGQGNTKTFSFSFGGKPGASGGNPFGGGLDLGDVFSNLFGGGSMGDSHFGGSTGSARANTGTSGQHSGTAKIQDITTQVFNKEVADQGTTWLLLFYTPQSKGQFVLESVMQDVVHSLDGALRAGKVNCDNEKSLCKRSGVSIGKWARLFIYSYATTEKGSLHEYSGEHDAKSLKTFCQEHLPRFSKRLDIGQLSFPLNVLPNLPQVLLLSSKKDTPAMWRAISGMFRNRLIFYDAEVHDVSHPLLKSLGVKNLPAVIGRTVNGEELLLKDGISVKDLRSGIKELKTLLESFEKKNKKLSSNQANKKPSSQSEENKVPLLTASNFEEICGEKTSVCIIGVFGSNKAKGQLETVLSEISKKTLIRGQNYNSRNAVSYALLDKDKQSAFLSSFDKSRYKSSDRLLIAYKPRRGRFAVYDDKVTLEEAERFVGSVLNGDVQLSPTKQKPVLR >Sspon.04G0007670-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:22954320:22957035:-1 gene:Sspon.04G0007670-2D transcript:Sspon.04G0007670-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DEAD-box ATP-dependent RNA helicase 47, mitochondrial [Source:Projected from Arabidopsis thaliana (AT1G12770) UniProtKB/Swiss-Prot;Acc:Q8W4E1] MPSLLRFEGKNNFGAVIDFTVRSEMRLSIGQVHRNVLALASSRMLSQPRVAGFHQTAWRGSQILEDNRGGPLTLASLEVQSRVGYGKKEKMARTGGSKPSLRASSLNVKPKVSSNVKPAKSALMKSAGIKKTLKVDEALFSAKAWFATLLVDQLSKEGLTAPTEVQSAAIPIIAQKHDVVIQSYTGSGKTLAYLLPILSEIGPLKRTMERDNSEKRSGVEAVIVAPSRELGMQIVREVEKILGPSDKRLVQQLVGGANRSRQEEALKKNKPIIVVGTPGRISEISAAGKLHTHGCRFLVLDEVDQLLSFNYREDMHRILEHVGRRSGATSRDVLGPLARRSERQTILVSATIPFSVIRAARSWGHDPVLVRAKSVVPLDSVAVPRPVLSQSDANPTSPSQSVNQAAVGSLPPSLEHYYCTAKAQHKVDTLRRCIHALEAQTVIAFMNNTKPLKDVVFKLEARGIKATELHGDLGKLARSTVLKKFKDGEFRVLVTNELSARGLDVPECDLVVNLDLPTDSTHYAHRAGRTGRLGRKGIVVTICEENEGFVVRKMRKQLAVAIKPCEFTEGELVVHKEEDVE >Sspon.04G0018000-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:69009568:69012816:1 gene:Sspon.04G0018000-2P transcript:Sspon.04G0018000-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIQSASDSRTCFDASFIHQEAMQQQPVHHPSCEKYSNGFLKPFLGLALALLLLAFLPPTTSSCSEQERSSLLQFLTGLSQDGGLALSWQNGTADCCAWEGVGCGTDGTVTDVSLASKGLQGHISASLGELTGLLRLNLSHNLLSGGLPMELMSSNSIVVLDVSFNRLNGGLHELPSSTPRRPLQVLNISTNLFTGQFPSTTWEVMTSLVALNASNNSFTGQIPSHLCSSSPALAVIALCYNQLSGLIPPGLGNCSMLKVLKAGHNALSGSLPDELFNATSLEYLSFPNNGLHGMLDSEHIINLRNLAHLDLGGNRLNGNIPDSIGQLKRLEELHLNNNNMSGELPSTLSNCTNLITIDLKVNNFSGELQKVNFFNLPNLKTLDLLYNNFTGTIPESIYSCSNLNALRLSSNNLHGQLSPRIANLKHLVFLSLVSNNFTNITNTLQILKNCRNLTSLLIGSNFKGEDMPEDETIDGFQNLQVLSISNYSLSGKIPLWLSKLKNLQVLLLHTNQLSGPIPAWIKSLESLFHLDISSNKLTGEIPTALMEMPMLTTEKTATHLDPRVFELPVYKNPSLQYRITSALPKLLKLGYNNFTGVIPQEIGQLKSLAVLNFSSNDLSGEIPLELCNLTNLQVLDLSNNHLTGAIPSALKNLHFLSALNISYNNLEGPIPNGGQFSTFSNNSFEGNPKLWGPILLHNCSSAGAPTVSTKQHSRKAIFGIAFGAFFGVLLLLLLVYLAASFKGTSLINKSKTYNNEDVEATSHMSDSEQSLVIVPRGESEENKLKFTDIVKATNNFHQGNIIGCGGYGLVYKAILPDETKLAIKKLNGEMWTMEREFKAEVEALSLAQHENLVPLWGYCIQGDSRLLIYSYMENGSLDDWLHNIDDDASTFLNWPMRLKIAQGASRGLSYIHDVCKPHIVHRDIKSSNILLDKEFKAYVADLGLSRLVLANKTHVTTALVGTLGYIPPEYGQGWVATLRGDMYSFGVVLLELLTGRRPVTGLSLSKELVKWVKEMKSEGKQIEVLDPHLRGIGHDEQMLKVLEIACKCVDHNACLRPTILEVVSCLDSIDPNLRMRNSVRI >Sspon.02G0048960-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:31461511:31465568:1 gene:Sspon.02G0048960-1C transcript:Sspon.02G0048960-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSVPRHAPRLAAICAVDHPTDGLRSGRRPRGARVSVWVRHGRASAINSRLSQYSTRRAVPSPAPPLSFLARHATQRIGSSPRKAAALRLRATKGGRGDEDAVEGVLNRGLLPPALLLLRRPHDEGAAVLAAKRAEAMAREATVVWNRYPLGHATKDTVWSGSCFWRRAVPLQHDQVSSSLQIELVIGSEKDKDKIPGIDITLKEGDSWMFAGHQVLVLETPGHTSGHVCYYFAGSGAIFTGDTLFNLSCGKLFEGTPQQMYSSLQKITALPDDTKVYCGHEYTLSNSKFALSVEPGNKALQEYAANAAELRNKNIPTVPTTIGREKECNPFLRTSNPEIKRTLSIPDHFDEDRVLEVVRRAKDNF >Sspon.01G0031700-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1A:108371822:108372469:1 gene:Sspon.01G0031700-1A transcript:Sspon.01G0031700-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHAAVAGPACNANDPAAGPSSINREREGAAAVTNCNEQWARARAGTEQEREPPTTRTQDTSVGRSIAGAGISGAAASVPSPLLTCSRRRRRRRGAPGRDWTGVRVRHRGRAQCGGKGGRDPIMPRCERRRGWLTALGPGCAPVAAPPWSCHCCTCTWMVVGCCRQCVMCDGGWRRGGGAAQAQGLPLPPPGSKQSRAEQGSQRRDGGRVRLRQA >Sspon.03G0022120-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:53431703:53436596:1 gene:Sspon.03G0022120-2D transcript:Sspon.03G0022120-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein ABCI12, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G21580) UniProtKB/Swiss-Prot;Acc:Q944H2] MAAAVHLRPLHSFALPLSAAKAAPSWFPLPAKPGARRRGVALLLCSASAPAPSPSPSGGDGAAASAASKWVEWIPRAAAGAGSAAGPEQVLRLISGAAATPICQFVDRPRTFLHSVDPRVKLVWLLALVVLPARSNIYMRFGLVVFLSLLSMWILPKHVWKDQLGRVALLSGFLFIMLGFGADGAPSLVQTRTPPPSVVGIPNIPCSTSGYSYTILKLGPLQFTRKGLSVASTSASLSFAVRPSLNLQ >Sspon.03G0019290-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:45767577:45768805:-1 gene:Sspon.03G0019290-3D transcript:Sspon.03G0019290-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AEEQQPKMPSPSGEPSISKKTKVVLAGSSCPVSSGAVKEEPEQRVVGDGGGGSSAGAAVAEQASQALERPRINISVDVQLLHCAVAECHRPLKPPVVKVEAGHLLCGACLDGGHCRKCDRATAFAHCGPELDLFVGDARVPCPFESYGCGASVAYHATAAHQDACAYAPCHCAVPGCPFTATPPRLRDHLAVDHAWPLDTLPAYGKALPLRVPAPAMSEPEQPQQHHHRLLVVEGDERSLFALSVRPCGAGAASSCAVSVSCVRTSAAAEAGPRFTYMLWAKSPAAAPGMPPGSAGRRLMMEADVASCAVPGGAAVEEGMALYVPPPMLSGPSNSKEMHLRVRIDVVDSAPAPQRAASSSSPRV >Sspon.05G0014920-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:45304061:45307674:1 gene:Sspon.05G0014920-2B transcript:Sspon.05G0014920-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLPPLPLPPSPSPMRRRHLLLLPLPLLLLHLLFAASSSAAGSGPSSEVAFLTAWLNTTAARPPDWSPAASSPCNWSHVSCAGSGTGTGTVTSVSFQSVHLAGATLPASGLCAALPGLVSFVVSDANLTGGVPDDLWRCRRLAVLDLSGNALTGPIPPSLGNATALETLALNSNQLSGPIPPELAALAPTLRNLLLLDNRLSGELPPSLGDLRLLESLRAGGNHDLAGLIPDSFSRLSSLVVLGLADTKISGPLPASLGQLQSLQTLSIYTTALSGAIPPELGNCSNLTNIYLYENSLSGPLPPSLGALPQLQKLLLWQNALTGPIPDSFGTLTSLVSLDLSINSISGTIPASLGRLTALQDLMLSDNNITGTIPPELANATSLVQLQVDTNEISGLIPPELGRLTALQVLFAWQNQLEGAIPPTLASLSNLQALDLSHNHLTGVIPPGLFLLRNLTKLLLLSNDLSGPLPPEIGKAASLVRLRLGGNRIAGSIPASVAGMKSINFLDLGSNRLAGPVPAELGNCSQLQMLDLSNNSLTGPLPESLAAVHGLQELDVSHNRLSGAVPDALGRLETLSRLVLSGNSLSGPIPPALGQCRNLELLDLSDNELTGNIPDELCGIDGLDIALNLSRNGLTGPIPAKISALSKLSVLDLSYNTLDGNLAPLAGLDNLVTLNVSNNNFSGYLPDTKLFRQLSTSCLAGNAGLCTKGGDVCFVSIDANGHPVTSRAEEAQRVHRLKLAIGLLVTATVAMVLGMIGILRARRMGFGGKSGSGGGGSDSDSGGELSWPWQFTPFQKLSFSVDQVVRSLVDGNIIGKGCSGVVYRVSIDTGEYGYMMKITEKSDVYSYGVVVLEVLTGKQPIDPTIPDGLHVVDWVRRCRDRGDVLDPALRGRSSSEVEEMMQVMGVALLCVSAAPDDRPTMKDVAAMLKEIRLEREDVANVDVLLKGGSSPPPPHHVHANAAAAIAAKATSSTSSTPPCRQGPSNSCSSSSFSAIYSSSNKAKSPFG >Sspon.04G0014550-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:60713080:60718241:-1 gene:Sspon.04G0014550-4D transcript:Sspon.04G0014550-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNCSSCCAAAVPSPPVLLARPRGGLAASCSTRTDQKVLFLGSNQFPRITYSPLSRASSRLSRREVIAFAGQQPWDLGRFFKTLYFFNGPPNPLKIVESIISSFTGPASSETPKKMETSDVVLVTGATGGVGRRVVDVLRKKGIPVRVLARNGDKARSMLGPDVHLIIGDVTKEDTLDPKLFKGIKKVVNAVSVIVGPKEGDTPDRQKYKQIKGPSPEMVEYIGMQNLINAIKNSVGLSEGKLLFGLKGNLSGKIVWGALDDVVMGGISESTFQILPTGSESSGPTGLFKGTVSTSNNGGFTSIRTKNFTVPEDLSAYDGIELRVKGDGRRYKLIIRTSYEWDTVGYTASFDTTKGEWQSVKVPFSSLKPVFRARTMTDAPPFDASNITSLQLMFSKFENDGILNPTFTEGPFELPFSSIRAYINEPITPRFVHVSSAGVTRPERPGLDLSKQPPAGEDLIRESGIPYTIIRPCALTEEPAGADLIFDQGDNITGKISREEVARICVAALASPNAVGKTFENLLSIIDKANGGNSYDQVKSTVPFSEPYVIDPSNPPSEKDYEVYFKELKEGITGKEALEATPAQ >Sspon.01G0051630-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:16974243:16979021:-1 gene:Sspon.01G0051630-1C transcript:Sspon.01G0051630-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSTDPEAPSPSPSPSPAKPSPPSADGRQLRRCVQSKLSWGLVKPAGGGSQGGGKGGGAGEAGRADADAVPPVPAAEEAAATEVREEPEKGKKKRKPRKSENGKKPSSNKEKHGQDPANKDEVILVGPGSCQQLHINQIEAGSPVAAPVIIDVDLMSTPSKAGHANPVNQDISPLKVDLRSEAKMAAEEIRRLSSGKKMHPFFASRKMNKCANQDQDVVNIENVNGLCESERDPPFYPIHVVYQSEVCGNSEHVKFLAEWLKGWDEKGHKIGAANGDTNDSSYQDESDSDYSERASDCENTLLITGPVG >Sspon.03G0011610-3D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3D:36153973:36158683:1 gene:Sspon.03G0011610-3D transcript:Sspon.03G0011610-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPAGEMLVGPARALFMDEISTGLDSSTTFQIVNSLRQSIHILGGTAVISLLQPAPETYNLFDDIILLSDGQVVYQGPREEVLEFFDSVGFRCPERKGVADFLQEVTSKKDQKQYWVRPDEPYRFVSVKEFVTAFKSFHTGRATANELAVPFEKSKSHPAALTTTRYGVSGKELLKANIDREILLMKRNSFVYIFRTFQLMLMSIIAMTLFFRTKMKHDTVNDGGIYMGAVFFGVLMIMFNGMSELSLTVFKLPIFFKQRDLLFFPAWSYTLPSWIVKVPITFIEVGGYVFLTYYVIGFDPNVSRFFKQYLLLLAVNQMAAALFRFISGVSRNMIVANVFASFMLLVFMVLGGFILVRDKIKKWWIWGYWISPMMYAQNAISVNEMLGHSWDKILNSTASNETLGVQTLKSRVVFTEAKWYWIGFGALVGFTILFNALFTLALTYLKPYGNSRPSISEEELQEKHANIKGEVLDGNHLVSASRHRSTGVNTETDSAIMEDDSSSTKKGIILPFDPLSLTFDNIKYSVDMPQEMKAQGVQEDRLELLKGVSGSFRPGVLTALMGVSGAGKTTLMDVLAGRKTGGYIEGDIRISGYPKKQETFARVSGYCEQNDIHSPQVTVYESLLFSAWLRLPKDVDSNKRKIFIEEVMELVELKPLRNALVGLPGVNGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFEAFDELFLMKRGGEEIYAGPLGHHSSELIKYFEAIQGVSKIKDGYNPATWMLEVTTTSQEQILGLDFNDMYKKSELYQRNKALIKELSQPAPGSSDLHFSSKYAQSSVTQCVACLWKQNLSYWRNPPYNTVRFFFTTIIALLLGTIFWDLGGKVSTSQDLMNAMGSMYAAVMFIGIMNCTSVQPVVAVERTVFYRERAAGMYSAFPYAFGQVVIELPYALVQDILYGVIVYSMIGFEWTAAKFFWYLFFGYFTLLYFTFYGMMAVGLTPNYNIAAIVSSAFYAIWNLFSGFIIPRPLIPVLASRYLKKTPIWWRWYCWLCPVAWTLYGLVVSQFGDVMTLMDDKRPVKVFVEDYFDFKYSWLGWVAAVVVAFAVLFATLFGFAIM >Sspon.05G0001300-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:5349213:5352306:1 gene:Sspon.05G0001300-1P transcript:Sspon.05G0001300-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALRRGLGLLLLAALFLAVAGAASAAPGGMSIISYNEEHGARGLERTEAEVRALYELWLAEHGRAYNALGEHDRRFRVFWDNLRFVDAHNERAGEHGFRLGMNQFADLTNDEFRAAYLGARIPAQRETAVGEMYRHDAPRSCPRASTGGRRGPLRRSRTRGNAEVAGLSLHSVESINQIVTGEMVTLSEQELVECSTDGGNSGCNGGLMDAAFDFIIKNGGIDTEDDYPYKAVDGKCDINRRNAKVVSIDGFEDVPENDEKSLQKAVAHQPVSVAIEAGGRQFQLYKSGVFSGSCTTNLDHGVVTVGYGTENDKDYWIVRNSWGPKWGEAGYIRMERNINATTGKCGIAMMASYPTKKGTNPPKPSPTPPTPPPPVAPDHVCDENFVCSAGSTCCCAFGFRNVCLVWGCCPIEGATCCKDHASCCPPDYPVCNIRTGTCSVNKNSPLSVKALKRTLAKLNTA >Sspon.01G0002350-1P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1C:6588059:6589138:-1 gene:Sspon.01G0002350-1P transcript:Sspon.01G0002350-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SSFHFNRVIISRNKRRGGNGTGERNKAPARWWARGARRGAEARQPTTKQEQASRERGSSGPVSSPVHSHSTPSPPPPPRRGGREQSREGECGGRGRGHEAERSPGPGGEMGIRRARRFLFLAVAVLLLHAPPPASCADIYALIYKGCANQSFPGGVAPASIAALSATLSAQSASAKFYKTSSSSASTASSTSVFGLFQCRGDLSATDCAACVSRAMSSWPGVCGASVAARVQLAGCLALYEVSGFPQVSGIQMLFKTCGTGGGGGDFEMRRDTAFAALEGGVATSSGGFVATSYQAVYAMAQCEGDLSTGDCSQCVTQAVQHVEVECGGASSGQVYLDKCYISYSYYPHGVPHGGGAGGQ >Sspon.01G0026280-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:91321002:91323321:-1 gene:Sspon.01G0026280-1A transcript:Sspon.01G0026280-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETVGFTSGDAAAWRAALAAYDRRLAALDKPDLVAVDSFYRHDLPALLRCRDPDPFLAKPELVRLLQWKLSRGKWRPRLMDFVKGLDDAVVESASRKAFAALPDLRTAITELTVLKGVGPATASAVLAAYAPDVAPFMSDEAMLAALGNAKEYTLKQYLAFADKLQAKAKRALWSSAIASKSPASGNPKSESKMHGKRKR >Sspon.01G0058570-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1D:30040266:30040544:1 gene:Sspon.01G0058570-1D transcript:Sspon.01G0058570-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKAEAAHGMRRGEGCPMTAAGGLRDAGVESLWTGRVTEESNCRETRDAGGNEQDERKRQDEVWRAASRQDEVWRAASGRMPVEHYRNLRFF >Sspon.06G0032740-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6D:1150411:1152690:-1 gene:Sspon.06G0032740-1D transcript:Sspon.06G0032740-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALGTMGLLGAAVTLVAWGAWSDNATKGPSGPWLRATWIWSSTTQISRRKHGQSYPPAGQGHHSGQRRPSGTSLVTLDSRRWCVLMFYHQQPTTGIPGTVVRASPYAELDAPEPVSRFFCEERTRIEGPFVVFLGGLSECARCRYIHLMGRRLLHITLEVLLPLVDKVA >Sspon.01G0038280-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:26568867:26572899:-1 gene:Sspon.01G0038280-2D transcript:Sspon.01G0038280-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPADAGDFLLDEDDDDFFGEFNPHPYQGGYDLAATFGTPLPPSSNICYPVSSSAATAVPAIPSPPSPTPEPEGPRPEEPYGNEEALRDLAHEIPPNGAARKGKVRRGGRGFWKKCVRVLDYLFCYKEPYEERRIVVDSYVVPVCAIRKESGEDALSVEVEVAPPSVGIVEADDATGELVQTNDLSWHSNNRDEADTYSQSMSNSYYTPSFAQSYGLHGVLGKPDWFLNFSYSESHQAEELQHEAALSYGIECKISDQPIHCYHHHCYIQSLDVQVEPPEPVSSERLEYYEHSLISGEYGGIGSLFISPFYPGETELFQLAPGHEHASFEHNWHNLRYRNMPMDDVSLITQSAEDSYSMMNGSSWPFEEHSAYNV >Sspon.01G0010270-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:31254516:31257357:1 gene:Sspon.01G0010270-3D transcript:Sspon.01G0010270-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AMASGDNSKQMNEEEWRAVLSPEQFRILRQKGTRLPGTGEYNKFYGDGVYNCAGCGTPLYKSTTKFDSGCGWPAFFEGLPGAINRQPDPDGRRVEITCAACGGHLGHVFKGEGFKTPTDERHCVNSVSIKFSPSS >Sspon.02G0023900-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:81563315:81563587:-1 gene:Sspon.02G0023900-1A transcript:Sspon.02G0023900-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding PHEFGVPVHWRTSASAHWKERRWPRPEPLPAGSTHPTATRSKASELQDQES >Sspon.01G0037660-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:24231416:24236086:-1 gene:Sspon.01G0037660-1B transcript:Sspon.01G0037660-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAEGEVRRDMWGQEYRTSSADCAAALDAYYAAFLSFGRGRVAAVLRAAAADPTCALAAAHAAHAVAPRDPAGAAAFLAAAKDNLGKATEYERAVFGTLSALMGEGWDVEVAIERHFELLKQFPRDILSLKRAQLICFYLGKPDLSLKFVQQVLPKNQDQNFIYGMLAFPLLELGRMDEAERAARKGLAINKNDFWSQHNLCHVFQQECRFREATEFMESCSPSWEACTSFLLTHNWWHIAVCYLEAESPLCKILEIYDHNIMKELEKSDCEAAEVYLNALGLLLRLYIRGHIDSAKERLTTLLDALKNESIWHVEWLLDLLILWALASMSELKSAHNMLESLKSRVHSMDRNRQQVMQKAIKLAEAAYEYGKGDHMKVFDTLGPDFDALDYKMIGASDEQVDVFNEVWYTVLINAGETSKAIEILGKQIRKREGAPFLWRLLEKGYSLDGRSADASVASKKANALQSAYNH >Sspon.05G0031670-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:7526475:7528436:1 gene:Sspon.05G0031670-1C transcript:Sspon.05G0031670-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEQQFQTSVDVSVSNMQRDGVDVPDPLTLGTAMMLMYSYDAIPDPPVSPAAPLASAIAARAPADGVDRISLLPDSLLRNVVSRLPAKDAARTAALATRWRGLWRSVPLAVVDAHILPDSVPADHTMPGGEDVLSWAVAVVASRVLDAHPGPFRCVHLSRCHMASHQADIERWLELLAAKGVQELVFFNRPWPIDHPLPGALYGCTSVTRLHLGMCRVPSTAGLPCTTHFPYVRELVLDTVAIEERDLHFLIDRSPVLEVLTITTNQTGAQVRLISRSLRCVQVTTSAEVHITVVDAPRLERLLMWMITPVVPGESCSRIKIDHAPKLCMLGHWQPGYELEIGNTILKVGTKMSPSTMVPSVKILALEVGFKVHNEVKMMPCFLKCFPNVETLYVFVRLANPISFIWHSLNDAPSGNVDPKFWKGKEAGCIDCVQRHVKKFVFQEFRGKKSELAFLRFIAERAQVLEKMVVMVASKCFSSADAVNAKLKPLTSAIWASKDCKLIVFKSPYSDGASPAWASKTASDFSCSDPFDLLTAYAELYSANNIQAKSKKIGNVSASIIDV >Sspon.01G0014280-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:103249095:103249634:-1 gene:Sspon.01G0014280-2B transcript:Sspon.01G0014280-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASASSAACRVCGGGVGECACHGHGIGARCGVAVADLNRGFPGMWHQPAEEEPSGVVGSGAAAAGLHEFQFFGHDEDHDSVTWLFNDPAPHLHRGPAPAAAAVANGVAAKSEQRRAPPPLFDNGYAHAQYGQLPGHGLTFDVPLSRGGDVASAAVLEAGLGLGGGGGGSNPATTSSATI >Sspon.03G0023530-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3A:72075239:72075829:1 gene:Sspon.03G0023530-1A transcript:Sspon.03G0023530-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGIAGEQVPLCPGVHILDDELPTGIDSLKTLNGLVDTRLKVGEFGLVDEIWMPASHAGSGAMYRPGLGGVEFTIVGLFAPCHGGWTVASSQSVFIRGVRADGELRGGVTGALIEPPWINSGGRSGCFFDGVPFENVLSHGRIGLPSIPPLTISLGGRKGEDAPLGNEEEVGLALYDARGLTWYEEQGLGDTEQPI >Sspon.02G0048800-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:21231885:21232265:1 gene:Sspon.02G0048800-2D transcript:Sspon.02G0048800-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LVFRCFHVRACRDQQMVTVAERFPREVSSEAVFRCVRLGPVDQPEAEVAYQTTVSIGGHVFKGILHDVGPHGLPAAGGGGGAIEYHFRHAGDGSPPSTTAAGEAGGVGNVIVSSAVVMDPYPTPGPY >Sspon.04G0014930-6P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:8613163:8616814:1 gene:Sspon.04G0014930-6P transcript:Sspon.04G0014930-6P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVLHTGAGNKNAFKALIAAEYSGVKVEVTKNFEMGVSNKTPEFLKMNPLGKVPVLETPDGPVFESNAIARYVARLKDDNPLLGSSRIEQAHVEQWVDFAATEVDPGVAWYLYPRLGYIPYAHTTEETAIASLKRSLGALNTHLASNTYLVGHSVTLADIVLTCNLYHGIARILTKSFTSDFPHVERYFWTMVNQPNFKKVIGEVKQAESVPPVQKKAAPPKEPKAKDVKKEAPKEAPKPKVVEAPAEEEAPKPKPKNPLDLLPPSKMVLDDWKRLYSNTKTNFREVAIKGFWDMYDPEGYSLWFCDYKYNDENTVSFVTLNKVGGFLQRMDLARKYAFGKMLVIGSEPPFKLKGLWLFRGQEVPKFVMDEVYDMELYEWTKVDISDEAQKERVNAMIEDQEPFEGEALLDAKCFK >Sspon.02G0006420-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:20229197:20231722:-1 gene:Sspon.02G0006420-3C transcript:Sspon.02G0006420-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Xyloglucan endotransglucosylase/hydrolase [Source:Projected from Arabidopsis thaliana (AT1G11545) UniProtKB/TrEMBL;Acc:A0A178W0W2] MTTEPPRRPWAGALLLPLAAAAVAAVLSCCCCHGASAAAASFGDNFEITGAEDHVKTSADGQTWYLYLDNKTGVGFQTKQRYLFGWFSMKLKLVGNDSAGVVTAYYMCSDVDAAPQRDELDFEFLGNRSGEPYIIQTNVYHNGVGGREMRHWLWFDPTADFHTYAILWNTKHIVFFVDKVAIRVYPNDASKPGGGSGFFPISKPMYIFSSIWNADDWATRGGLDRTDWAKAPFVSSYRDFATDACAWPADSNAPPACAAATGDSWWDQPPAWALDAGQRLDNAWVARNLLIYDYCDDRKRFPTPPEECALRAAATTSSALPP >Sspon.01G0051610-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:16874260:16875968:1 gene:Sspon.01G0051610-1C transcript:Sspon.01G0051610-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARVLHRRLLLLAAVSFTVAAALLRPAAAVRPFVLVLSGEDFLKDSAAHPSLPSADSADDDGWDDFADDSPAADPLLSPSSWPSPSGDETDSPADALFVAGARAMLSAASDGDDAAFATAAAQIEAAAEAGHPGAQSALAFLTGAGMTRPASRSLAFLLHKFAADAGDLQSKMALAYSYFRQEMYEEAVILYAELAEAALTSSLISKEPPVIEPIRLHSGTEENKEA >Sspon.02G0000230-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:6566767:6567168:1 gene:Sspon.02G0000230-2D transcript:Sspon.02G0000230-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GCVDGRPLECDQATLADQVALLTKGRRQSKVGALSCRGTRGAIGRHRKPGLQVAHVNAHLACGDAQSLSAVPNSLLFQDDGIQVLQHDHCQCYRREEEVAHCRLWHTSWALVAKLAWHFVYLGRWTTGGEDHRH >Sspon.07G0007700-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:18310499:18313333:1 gene:Sspon.07G0007700-2B transcript:Sspon.07G0007700-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGGSRPAPVALFLLAASGICAQFTAVLAGDPQTSNDDKKAEAQPKGHTGKTVLFVLLGVGSVILLSFFIFKYWQKKKREEQHARLLKLFEEDDDIEVELVYSKSILADKVLLACLQSIFMLM >Sspon.03G0012520-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:34642770:34644024:-1 gene:Sspon.03G0012520-1A transcript:Sspon.03G0012520-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVSSYLSLDDVVDDVAGEECYRPLGEEAAVAAAELQAVQQGHQEPLFFATLQAEDGYCISGGGVAQSRPGVSSVLSSTALTSDNHDMINLTQDGGSRRLLRREHGKIAFKTRSEVDVLDDGYRWRKYGKKLVKNSPNPRNYYRCSSEGCRVKKRVERERDDARFVITTYDGVHNHPAAAPPRSPAYRFGDMPHGHHV >Sspon.02G0009290-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:26373071:26373544:1 gene:Sspon.02G0009290-1A transcript:Sspon.02G0009290-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDASGAGGKAKKGAAGRKAGGPRKKSVSRSVKAGLQFPVGRIGRYLKKGRYAQRVGTGAPVYLAAVLEYLAAEVLELAGNAARDNKKTRIIPRHVLLAIRNDEELGKLLAGVTIAHGGVLPNINPVLLPKKVAEKAASGGSKAAKSPKKAAKSPKKA >Sspon.05G0038020-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:5D:30961477:30961728:1 gene:Sspon.05G0038020-1D transcript:Sspon.05G0038020-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLTVAVVESFPRRMLRGRSLPTRRPTPGMSSPVRSLHDGRGRADLHDGRRRAVPPRAAARYPLLSSRRPASTGGATCISRR >Sspon.01G0055680-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:82353761:82355337:1 gene:Sspon.01G0055680-1C transcript:Sspon.01G0055680-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLRGRKHWCKLCRKSFTSYMSLGGHMNLHSGKRKQKKLSRTTPSLPNIRDGTGGYGLRERRHSTWLLCDSSDDEYLTPVPKTECQLCFKVFASRGALGMHMRAHTRRERKMVAEEVPRESDGYCDHNVPVSTPVTLTYGMEEVNAARVLMMISGHSGMDTAYEHCGEDYETDDNLAYPVQKSEMELDYSGHRQTGDAELMPESRISDVKMKFISLSHVLKATATHDCKLCGKVFTSSKGLAGHKKFHKVHDHEKLMQWTLSHTAANFDAKHRKC >Sspon.02G0024010-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:82201128:82203328:-1 gene:Sspon.02G0024010-1A transcript:Sspon.02G0024010-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLASGKLQRLCSEDPLASHGSPPKPWERSGAEGTSGPAPFKPPSGGSTSDVVEASGTAKPGENVTATERNVSSNVNSTVSRPVPQRPWQQTGYGNTYGGYGGSNVYSLYGGFGNTYGSGGLYGNSMYSSYGGGYGGGGMYGGGMYGGGMYGGMGGYGGYGMGGMGGMGMGPYGNQDPNSMGPPASPPGFWVSFLRVMHGVVNFFGRISFLVEQNTQASYFFMTAMLQYSYQISHSFSYYKIVVIMLFDRSGMLYGELARFVLRLLGVKRKPKKGSLQGPQQAQAFEGPSQQFIEAPKANNWDNVWGN >Sspon.01G0000790-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:2253352:2276152:1 gene:Sspon.01G0000790-3C transcript:Sspon.01G0000790-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRSGSMRRSSAPTPARAAAPAFTVSPADYRLLEEVGYGANAVVYRAEFIPTGRTVAVKCLDLDRVNSNLDDVRKETQTMSLIDHPNVIRSYCSFVVGHNLWVVMPFMSEGSCLHLMKVAYPDGFEEPVIASVLKETLKALDYLHRQGHIHRNILIDNPGVVKLGDFGVSACMFDRGDRQRARNTFVGTPCWMAPEVLQPGTGYNFKADIWSFGITALELAHGHAPFSKYPPMKVLLMTLQNAPPGLDYDRDKRFSKSFKEMVAMCLVKDQTKRPTAEKLLKHSFFKNAKPPELTVKSILTDLPPLWDRVKALQLKDAAQLALKRMPSSEQEALSMSEYQRGVSAWNFDIEDLKAQASLICDDDPPEIKEDDDTGRITDIDKQEKSIWLMCNERSSAAANPCQNGPETSEVLSFNNGSAYSERKADGPKNPVSENDSLPSTSKHDPDGKDCRSEVRQKQRTYSGPVLQSGPHNSSMTERDHIIESCNQSFKEMVAMCLVKDQTKRPTAEKLLKHSFFKNAKPPELTVKSILTDLPLFGTLKDAAQLALKRMPSSEQEALSMSEYQRGVSAWNFDIEDLKAQASLICDDDPPEIKEDDDTGRITDIDKQEKTFFCGSKSMSKWPRNKRSIVFNNGSAYSERKADGPKNPVSENDSLPSTSKHDPDGKDCRSEVRQKQRTYSGPVLQSGPHNSSMTERDHIIERDAGVQSVSDKQKNGTRRANNLSGPLSLPTRASANSLSLSRTNNLSGPLSLSTRASANSLSAPIRSSAGYVGSLGDKPKRTMVEIKGRFSVTSENVDLAKVQEIPGSNAPRKLQESTNHQRKELCNSSVSTSILIPHLQNLVKQTAFQQDLITNLLSSLQQNERVDAAQSRVQSTGSDTV >Sspon.07G0034110-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:66315184:66317231:1 gene:Sspon.07G0034110-2D transcript:Sspon.07G0034110-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKKATVEELRRLNKAREFKRKRNMLKAAGAGPDNKVARVFQCEHDDCARFYHPKCVAQMLYPDTIAKSFLSSRSLPERDSLVLCMNEVENKNDKSMQFAVCRRCPTVYHRKCLPSDILFKPKKGPKGAMRRAWKNMLPHRILIFCIDILFKPKKGPKGAMRRAWKNMLPHRILIFCMKHEIVRKLQTPARNHIIFPDANVLKTFQAAPMEQDTPDQKEAPDHSLPEQTHSSPPPAASDQNQCCCSGPFDSFAPSSLFMHPHPGSCGWLDD >Sspon.06G0019040-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:89353373:89356572:-1 gene:Sspon.06G0019040-4D transcript:Sspon.06G0019040-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRAGGGDEEDVQVLVERPSSFSHEDRHQQQQGAASLPTSAAARAPHHHRQQQVIRARPYYRRWSPWLVSAFTVACVAIFLVTIYVNDCPRHSSNCAAGFLGRFAFQPLRENPLLGPSSATLVKMGALDVPKVVHGRQGWRLITCMWLHAGVVHLLINMLCLVIIGIRLEQEFGFVRIGLVYLISGFGGSLMSALFIQSNVSVGASGALFGLIGSMLSELITNWSLYANKVAALLTLVLVIVVNLALGLLPRVDNFAHIGGLISGFLLGFVFFIRPQFAWLSQHRRVSAAAAEAPQPGGQPTTTTAAVQPAVSVKRKHKTYQYVLWLAAAVLLVVGFTVATVLLFRGYNANEHCPWCHYLSCVPTKRWRCDASPTTCTGTLQENTLTVVCAGGRNQTYVVASSADASQDRINDLCNQLCT >Sspon.02G0006720-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:21085492:21089739:1 gene:Sspon.02G0006720-3C transcript:Sspon.02G0006720-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQCCSRATAPDSGRGGTNGYGYSNQAKPAQAPPSYNTPQQVAEVRYTPPAMNAPVVPPVVAPPKPTADTILGKQYEDVRSVYSLGKELGRGQFGVTYLCTEIASGRQYACKSISKRKLTSKADREDIRREIQIMQHLSGQPNIVEFRGAYEDKSNVHVVMELCAGGELFDRIIAKGHYTERAAATICRAVVNVVNICHFMGVMHRDLKPENFLLATKEENAMLKATDFGLSVFIEEGKMYRDIVGSAYYVAPEVLRRSYGKEIDVWSAGVILYILLSGVPPFWAETEKGIFDAILHEEIDFESQPWPSISESAKDLVRKMLTRDPKKRLTSAQVLQHPWLREGGDASDKPIDSAVLSRMKQFRAMNKLKKMALKVIASNLNEEEIKGLKQMFMNMDTDNSGTITYEELKAGLAKLGSKLSEAEVKQLMEAADVDGNGSIDYVEFITATMHRHKLERDEHLFKAFQYFDKDNSGFITRDELESALIEHEMGDTSTIKEIISEVDTDNDGRINYEEFCAMMRGGMQQPMRLK >Sspon.01G0032610-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:113211969:113218988:-1 gene:Sspon.01G0032610-2P transcript:Sspon.01G0032610-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding IFKKQYAGQIVAVFGVDCASGDTFTDGTVKYTMTSMNVPEPVMSLAVSPISKDSGGQFSKALNRFQKEDPTFRVGLDAESGQTIISGMGELHLDIYVERIKREYKVDAKVGKPRVNFRETITQRAEFDYLHKKQSGGQVYLVLGSLIGHPVENLRIVLTDGASHQVDSSELAFKLAAIYAFRQCYTSAKPVILEPVMKVELKFPTEFQGTVTGDMNKRKGIIVGNEQEGDDTIVVCHVPLNNMFGYATAIRSVTQGKGEFTMEYLEHNIVSQDVQMQLVNSYKAAKGTE >Sspon.01G0024570-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:87749295:87751016:-1 gene:Sspon.01G0024570-2B transcript:Sspon.01G0024570-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding FMAVTRGRARTAPAVPSVCFLLLLVLLLTSSSLLAPTSQGPEGGSILLGAASSSSGKGRGNSCEELPSISGGEARCAYLRAHSPCSPAGYVDYLRLFYCAFAGAPAAPCAALALWLVVLFYLLGDTASEYFCASLEGLSAALRLPPAVAGVTLLSLGNGAPDVFASVVSFASGDGGGVGLSSALGGALFVSTVVAGVVALAVGGASGGVAVEWRGFVRDLCFLLLALCYLLAVLVNGEITVWVAVSFVSLYVGYVVLVWTSHCCAENGKPDAASLSAPLLLDDDDNDDGVVPSLPSHSKTTEAPGTATSRVRAMLHWLAGALCMPLYLPRRLTIPDIAGHRWSRPYAVASAALAPVLLAFTWTSSQRHNPMSSHSLAVLVGGAVLGLLLASLAAATTDVNSPPRGRRRRVPWLAAGFVMSVLWAYTLARELVALLVSIGYVVGIKPSVLGVTVLAWGDSLGDLVSNVAMAVHGGAGGAQTALSGCYAGPLFNTVVGLGLSLALAAGAQHPAPFVVPADAAAYEAVGFLAAALAWALFVVPVRGMRIDRVYGVGLIAIYLCFFAVRVLETLGLWS >Sspon.02G0005320-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:16108591:16110880:1 gene:Sspon.02G0005320-2B transcript:Sspon.02G0005320-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GRRRGGERRRGKGLPRQAHHVRALRLHRRRHRRAHLRIRHRHLRWCDVDEPVPDEVLPVGVPQGAGGGAEPEQPVLQVRQPAADHVHLLALPRRARGLLLRRHRHPRGRPQVVHVRRRRHVPGRRRAQRRRQGRRHAHPRPRPPRHRRRLRQPVRPRLPLGDGAGAPPRDAQHRVPAHDHHRHPVRQPHQLRHRQDQGRVGLARQPGARGRAGGHHRRGRAVPARHPKLPHRPRLHRRRQADAQARAWHGGRGGGVQRPGGRQRGVQAGGAPLAQHPAAAVPAAARHGHRHPHVPAAHGHQRHHVLRAGALQDAGLRRRRLPHVRRHHGPRQRLRDLRVHRHRGPPRPPQAVPAGRHPDARMPDRRRQPDRRQVRLLRRGRDPQGVRGHRGALHLRVRGRLRLVLGTPRLAGAQRDLPAGDPVGGAEHQRVRQHALHLHHRAGVPPHALPLQVHPLLLLRRLGRRHDHLRRALPAGDQERAHRGDGARVEGALVLGPLHTRRGRARRRRPRDALRQRQRQG >Sspon.05G0025910-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:28688609:28694904:1 gene:Sspon.05G0025910-1B transcript:Sspon.05G0025910-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding METPTARSRQLWQVDGGACDGAGRVWVAGTGEAATTDGWRRPRCGGGGHDKRMDGDDDDKDRVGRGSDDDAEGGWGWRRKLGWEGFVAAWISCGPTRARVDVATRSGGVVGAVNTLTGGVGKLSVGELGEYYWTEAIQEALSQAYVLPNPRRAVGEGRAASGVAGEARGERRRAAGRRSNGAQPVAERRALRGRVASGAPRSHRPVATEPGEGRAAQAGGGHRAGQLGGGHRAARPGGGHCVVRPLLGGHGPVTAEPSTRRQQPSPTPRSSGCPWNSMVVDSDTCNFKDFVDDPGYDELVAVAYYDSCQASRGIMQPFKGRPLQGGASNNFFGFLPRRPAPPSGPSRQHNSVGLESQRQKQP >Sspon.02G0039650-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2B:59035823:59042992:-1 gene:Sspon.02G0039650-1B transcript:Sspon.02G0039650-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQWSIYSPATHPPGVRPQAAETKGSLSGCSLLARPHPGQVMIGTWNVAGRVPSDDLDLDQWLCTQEPADLYVLGFQEVVPLSAGNVLGAEDSRPIQKWEALIRQTLNRSQNIKTICKSYSAPLSPLLRPVASGDGHEYTKSKPEDRVTGSLTQLRDWQTSKSKLHCNWFDETSSLEWPEYPLDTPSKVLVSVYFSPTVSRPSVRAGPGFGGFSVGLLRSFFLSGMPWGSQIFWFGDLNYRIDLPDSEVRYLVAMKKWDDLLKSDQLTKELISGNTFIGWKEGLINFPPTYKYERNSTRYVDHRPVSAVFLVEVEVFNQQKLERVLNFNPGFISNGNIT >Sspon.02G0038420-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:43657514:43660496:-1 gene:Sspon.02G0038420-2C transcript:Sspon.02G0038420-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSAAWREGRRTLVLVNLASIMERADEALLPAVYREVGAALHATPTGLGALTLYRSMVQAACYPVAAYAASRHNRAHVIALGAFLWAAATFLVAVSGTFLQVAISRGLNGIGLALVIPAVQSLVADSTDDDNRGTAFGWLQLTSSIGSIFGGFFALMLAQTTILGIEGWRIAFHLVAIVSVIVGILVWFFAMDPHFPTDNAASHAAPVSQKSALDEARELIIEAKSIIQIPTFQVFVAQGVSGSFPWSALSFLSMWLELIGFSHEDTAIFTTTFAVATSIGGLIGGKMGDFLAQRYPNAGRIILSQISAGSAVPLAAVLLLGLPDNPSRSSGVAHGLVLFIMGLIISWNGAATNGPIFAEIVPEKQRTSIYALDRTFESILASFAPPVVGLLSQHLYGFKPDDKGSSPEQDRENAASLAKALYTAISIPMVICSSIYTFMYRTYPRDRERARMQSMIQSELDQIELGGSSFGGGDDRFELFESVHDGDKPDEADGSYGAEESAEADAGTEKLLGNHEL >Sspon.01G0019130-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1A:71659221:71665810:-1 gene:Sspon.01G0019130-1A transcript:Sspon.01G0019130-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxin-12 [Source:Projected from Arabidopsis thaliana (AT3G04460) UniProtKB/TrEMBL;Acc:A0A1I9LLU9] MAEAAEERTEEVDEVTTEEVDEVTVEGIEAAAKTAIACWSRLASLVPRRTGKRHPDPRDGEAVPRGNSVGRLQRPRRRRSSGERGQPSSRLRLRGPTLATSRDAGGGGAMLFQVGGQGARPTFFEMSAAQQLPASLRAALTYSLGVFALRRPLLHKVLDYEDEFFALLMGVLESHSLRTTDGSFSESLYGLRRRPVKVSVKRKGPGTESSDKVYDSALRKRQKILSVVFLVVLPYFKSKLQSIYNKEREARLQATLWGQDDVRFDEAGFVLDQEQTSQAQTEPTTGEVSNLTRFKKNFVSLIGVCYPWIHATNEGFSFAYQLLYLLDATGFYSPALHVLGLHVCRATGQELMESSSRISRIRNRELERLRGPPWFKAVQQVFLSCVYTTLDYAQTGLIAAVFFFKMMEWWYQSAEERMSAPTVYPPPPPPPTPKVAKDGVPLPPDRTLCPLCCQKRANPSVLSVSGFVFCYSCIFKSVSQHKRCPVTLMPASVEQIRRLFHDL >Sspon.02G0039530-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:54573737:54578287:-1 gene:Sspon.02G0039530-1B transcript:Sspon.02G0039530-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DYEEAIFLWSIDKKDFFLWAITFITTLVFGIEIGVLVGVAFSLAFVIHESANPHIAVLGRLPGTTVYRNTLQYPEAYTYNGIVVVRIDAPIYFANISYIKDRLREYELNLPSSNKGLDVGRVYFVILEMSPVTYIDSSAVQALKDLHQEYEARRIQIAIANPNQQVHLLLSRSGIIDLIGLGGALCECMMLCKYACSMYRIHLQMR >Sspon.02G0020820-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:67754400:67755653:-1 gene:Sspon.02G0020820-1A transcript:Sspon.02G0020820-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATEAARLSHSQPVASAAFLYRRSHPSLSAPSYAPSPMPPRRSSASDKTRPLSSSAAASVEDANLLSVRSLFGRPLRPLPGLPLVDQYEPHGEHLMVIVLSRDASPSSCPAATHIRRRHASPSKLLVPCHQHHRSPTTDLPAAQLAGSSAPLPLPAICWHHQSGLHPWPFL >Sspon.02G0033090-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:4309388:4310701:-1 gene:Sspon.02G0033090-1B transcript:Sspon.02G0033090-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding YKGNVLMHKYEMGKLLGQGTFAKVYHARDTKTSESVAIKVIDKEKVMKVGLIDQIKREISVMKLVRHPNIVQLYEVMATKTKIYFVLEHVKGGELFNKVQRGRLKEDAARKYFQQLICAVDFCHSRGVYHRDLKLENLLLDENSNLKVSDFGLSALAECQRQDGLLHTTCGTPAYVAPEVINRKGYDGAKADIWSCGVILFVLLAGYLPFHDKNLMDMYKKIGKAEFKCPCWFSTDVRRLLLRILDPNPNTRISMEKIMENPWFRKGLDAKLLRYNLQTKNAPQVDKNADFDSLSTNITSESKQQEEKKPTNMNAFDIISLSTGLDLSGLFEESDKKREFKFTSTNTSSTIISKIEDAAKNLRLKVAKKDGGLLKMEASKPGRKGVMGIDAEIFEVSPNFHLVEIKKTNGDTLEYQKVLNQEMRPALKDIVWAWQGEQ >Sspon.05G0033530-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:33646124:33648566:-1 gene:Sspon.05G0033530-1C transcript:Sspon.05G0033530-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVAEAPAPAPDEDALDERGVALHLPRLLAGVVSGALTGLFALAGALTGAVTGAVAGRASDSGGVLRGAGLGAVAGAVLSIEVLEASRAYWCSDRLGSHGASSMVAGFIEQLLRARFVQEQFTTSGYASYRWQVSISDFGHDDLYDIFGDILSKGLSQESLKKLPHYVVTDQMRDSFGEILSCPICLQDIVAGETARSLPNCSHTFHQPCVDKWLVDHGSCPVCRQDV >Sspon.02G0060130-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:109131047:109139791:1 gene:Sspon.02G0060130-1D transcript:Sspon.02G0060130-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAVLEEAMRRGAGSVGDDDVAARRAEEAAIRRHEAASWLRKTVGVVCARDLPEEPSEEEFQLGLRNGIVLCNALNKVQPDAIPKIVGVPSDTAVPADGSALCAYQYFENLRNFLVVIQDFGLPTFEVSDLEKGGKSVRIVDCILALKSFSESKKTGRQAACKYGGISKPLASRKYFILKNSDAFMNKNARIHSEEATQNGFPGEQQLSPDCSPESYETTSDSLSAVVRTILLDKKPEEIPLIVESLLSKVIQEYEHRFANQNLMDEEKQNILTTKEEANLAVNGSNAAQKFQLKEEINFDLQHKQIKELRGTVSSIKSGMEQLKWHYSEEFTKLGKHLYTLSNAASGYHKVLEENRKLYNQIQDLKGNIRVYCRVRPFLPGQISSLSSVAGMEERTITIMTPTKYGKDGSKSFTFNKVFGPAATQDEVFSDMQPLIRSVLDGFNVCIFAYGQTGSGKTYTMSGPKVLTEESLGVNYRALNDLFNLQAQRKGTIDYDISVQMIEIYNEQKGLAVPDASMVPVTSTSDVVELMNQGQKNRAVGSTAINDRSSRSHSCLTVHVQGRDLASGTVLRGCMHLVDLAGSERVDKSEVVGDRLKEAQYINKSLSALGDVIASLSQKNTHVPYRNSKLTQLLQDSLGGQAKTLMFVHISPELDAVGETISTLKFAERVASVELGAAKPNKEGSEIRELKEQIAFLKAALAKKEGEPENILSTQSSPSIYRIRKGNATPAAPKDRQPMEEVGNLEVQNVFTSAQKRSKMHLSGILTENNSSNSVQNCNGPQKEIGLGGWVDKMALGGNHFENSNSILEHTFYPALLHTKRLGDAFKNSLSRRIDKPQPQPEHLGAGEAGVIGEGCILKDSLASIELQCQIP >Sspon.04G0017060-3P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:13992265:13994055:-1 gene:Sspon.04G0017060-3P transcript:Sspon.04G0017060-3P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MELHKYWGVGGRRCGSCEAAPAAVHCRTCVGGSFLCTTCDARPAHARLGHERVWMCEVCELAPAAVTCKADAAVLCAACDADIHDANPLARRHARVPVAPIGSEAAAAAVEAMLFGTGEAAAASEADEHNAAAAEQQQHAHAHAHAHALNLNVEAKDMKLDYLFSELDPYLSVEIPRFQHADSVVPNGAAAAVELDFTCGIGVKHSYSSYTATSLDLAHSGSSSEVGVVPEAFGGGGGSFELDFTRPKPQAYMPYTATPQSHSVSSVDVEVVPERGDLPAVRPVPLMGESREARLMRYREKRKNRRFEKTIRYASRKAYAETRPRIKGRFAKRADHDGDADADDAEAEAAVPSSYVLDFGYGVVPSFA >Sspon.06G0022480-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:35415340:35419372:-1 gene:Sspon.06G0022480-2C transcript:Sspon.06G0022480-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGEATNAGGGGFRARMEHYLYSGEKKHVLAGIAIFAAVFGVPWYFMTRGAKHQSHQDYMEKANKARSERLSSGQPSALKE >Sspon.06G0026040-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:72630896:72632101:-1 gene:Sspon.06G0026040-2D transcript:Sspon.06G0026040-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MELEDAFRKRARASGVVGGSSAGGPDRLSSLPDCLLHTIMSFLKARQAVQTCVLSTRWTHLWRSVPCLDIDFDEFNKAPLLTPTLLDDDTSSSDSDRWQPHPFIKYKDWEDFEDFAVTLMRRCNIAQLDSFRLNIVRSRAPVFGNRLAAGWLRRAMKYCTPDRASKLGLSSGSWRLKRLHLCHVLLDDHFVKRVSSVCRSLENLELDDCSCQIQSITSHSLKTLVLKKCRWRSLSEIISPTLKTLVIDGGSNTAACALVILVPALAYLHLAVDVYRFCGGVSLNEVPSIGKAFIHLLRHKYNHARSKLDGDQFKLLCSISNSTNLELSGVRTRVLGMGPRFQEFKNLKNLLLDNCDLSDISVNLSKNNIKLTK >Sspon.02G0049810-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:43723680:43724711:-1 gene:Sspon.02G0049810-1C transcript:Sspon.02G0049810-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MANKLRMGQRLVPVVGALVRVHVARAQRLQPQRHRHAHDRLLGGQLPRRPSRGQDGRPTRRAVRRYPDAGRIVLSQMSPLSAVPLAAVLLLGLPDNPPKGVSYGAVLFIMGVFMSWNSSATNFPIFAEIVPEKSRTSIYALDRSFELVLSSFAPTIVGMLAERVYGYRPNDNGESVEQDWGNAASLAKALYTYPRDRERARMQSLIESELQQMEHEHESSCLELEDGGDGVPK >Sspon.01G0005940-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:15442067:15443536:1 gene:Sspon.01G0005940-1A transcript:Sspon.01G0005940-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPKPAPRLFQYISNKSRKPPPPPPPAATSAAPASHDGPASDADAGEVYRIVTSAATPSAMESALAASAVPLSSPLLDAVMRRFRFAHGDPLRALSLLSLAADRGGVAPSPYAIDTALYVLGRARRFPHMWDLLATTRRICPDAVTPRTAMIVLGRVAKVCSVHETVASFRRLARMFRAVDTAGLFNALLRTLCQEKSMSDARNVFHALKYEFRVSRHTFNILLSGWKSAEDAEAFVAEMQELGVEPDLVTYNSLIDCHCKNRDVEKAYKLLDEMREKDISPDIITYTSLIGGLGLIGQPDKAKDLLKEMHELGCYPDVPAYNAAIRNFVIAKRLGDAFALMDQMASKGLMPNPTTYNLFFRCYYWAFDVGSTWRLYERMRSEGCFPNTQSCMFIIRLCHRYGKVVQALELWSDMVRNRFGSFTLVSDVLFDLLCDEGKLEEAERCFCQMVELGQKPSNVAFRRIKILMQLAKQEESIARLTEKMSQFG >Sspon.08G0008240-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:26937952:26945091:1 gene:Sspon.08G0008240-4D transcript:Sspon.08G0008240-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPDFSRASGGPSFEFAFNSVNFSDRVLRIEIVAGDDALGAKGAAGEGCSSLADWARHRKRRREELRRGKESGKYMPDPGICKVEAEECDAYDEGNEPVAMIEESPPDIEPDGEDGESSDSSWSMECTQVLRVKSIYISSAILAAKSPFFYKLFSNGMKESDQRHATLRITASEENALMELLSFMYSGKLTTNQPTALLDILMVADKFEVVSCMRHCSQLLRGLPMTTESALLYLDLPSSISMAAAVQPLTDTAKEFLANKYKDLTKFQDEAMNIPLAGIEAILWSNDLQVASEDAIYDFVIKWARAQYPKLEERREILGTRLLPLVRFCHMTCRKLRKVLACSDLDHEQATKCVTEALLYKADAPHRQRALAADVMTCRKYAERAYKYRPLKVVEFDRPYPQCIAYLDLKREECSRLFPSGRIYSQAFHLAGQGFFLSAHCNMDQQSAFYCFGLFLGMQEKGSTSVTVDYEFAARTRPSGEFVSKYKGYYTFTGGKAVGYRNLFAIPWPSFMADDSLFFIDGVLHLRAELTIKQP >Sspon.02G0027450-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:126036157:126043723:1 gene:Sspon.02G0027450-2C transcript:Sspon.02G0027450-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNYIIGAFKPPCDISITFSDARTRKQVSVKKDNGKTTMVPVFQSLETISGEVSIAPVPGKRIEHTGVKIELLGQIELYFDRGNFYDFTSLVRELDIPGEIYERKTFPFEFSTVEMPYESYNGTNVRLRYILKVTIGRNYVGNIVEYRDFCVRNYSPVPTINNSIKMEVGIEDCLHIEFEYSKSKYHLNDVIIGKIYFLLVRIKIKNMELEIRRRESTGSGSNTYVETETLAKFELMDGAPVRGESIPVRLFLTPYELTPTYRNINNKFSVKYYLNLVLVDEEDRRYFKQQEITMYRLLESPPAS >Sspon.02G0027540-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:122437715:122441713:-1 gene:Sspon.02G0027540-3C transcript:Sspon.02G0027540-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNAJ protein JJJ1 homolog [Source:Projected from Arabidopsis thaliana (AT1G74250) UniProtKB/Swiss-Prot;Acc:Q9C911] MASAAAGAPKRCYYEVLGLSRDCSPTDIKLAFRRLALSLHPDKQPPGSDLAAATAAFQELQHAHSVLSDPQERAYYDSHRSQILFSDPASAGAKSASPVPDLFAFFSSSAFSGFSDTGRGFYKVYGDVFDRVFAQELAYARRMGVPEPAAPPVIGNLDSPYAQVTAFYNYWLGFGSVMDFGWAAEWDAARGENRRVRRLMEEDNKKAMRKARREYNDAVRGLAAFCKKRDKRVVDMALKKKAEEEKRKAEEKERKKEEEKRKKERAMAYQEPEWARAEEEEGLYDEDEEEELRAKKEELYCVACNKKFKSDKQWKNHEQSKKHRDKIAELRMAFKEEEESLKEAEEEGEGDWNEVDVGFDFKPTQESDDESVFSDAAEELAEEFEEGLEVHDKEDGDKVFDSAEQEVGSYDEASVLEAMLSGRKNRKGGYVAPEEALLGAAEDDDDHRSSDVNNAKRKGRRRRAAKEEKDEGNYADNEHHGKSEVQPEGSGPGNDVDDMMEGPSFSNDNSGSVSKGDKQNGENTNPKKNKKNKKGTEKKTTVSTDQKSTSKADQKSTSKGKKQKEVSKAPSNDCETCGGTFETRNKLFSHLEETGHAMLKTRQKNRR >Sspon.01G0013070-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1A:35682862:35684385:-1 gene:Sspon.01G0013070-1A transcript:Sspon.01G0013070-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRTLQRTSISTNIKERLDFSCALFGPDGGLVANAPHVPVHLGAMSSTVCWQLNFWGDNLHEGDVLVTNHPCSGGSHLPDITVVTPVFDHGKLVFFVASRGHHAEIGGITPGSMPPFSKCIWEEGAAIRAFKLVERGVFQEEGIVQLLQSPCSDELAGYKIPGTRRIQDNISDLHAQVAANQRGIALIKELINQYGLVTVQSYMNHVQKNAEVAVREMFKTVASKVAKENGSCVIEDEDYMDDGSVLHLKLTLDAIKGEATIDFEGTSPEVYGNWNAPEAVTTAAVIYCLRCLVDVDIPLNQGCLAPVKILIPKGSFLSPSDKAAVVGGNVLTSQRVTDVILMAFQACACSQGCMNNLTFGDDTFGYYETIGGGCGAGPTWDGTSGVQCHMTNTRMTDPEIFEQRYPVLLHTFSIRENSGGSGLHRGGDGLVREIEFRRPIVVSILSERRVHAPRGLKGGRDGARGANYLVRKDGRKIYLGGKNTVTVSAGDILQIFTPGGGGFGSP >Sspon.04G0020410-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:80246413:80251674:-1 gene:Sspon.04G0020410-3D transcript:Sspon.04G0020410-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPVPPEKQKRKLARLCDLVSSSLLLHLRATPLRTPALLPSSPVTSLYLTPFAPLRAPLAPQEPGPSPPRQLTRKDERQLLLALSTVNKTIRRWDQEERTCELVQGTLPDSGEVHNCCLLLEEHPYDGFGCLANMVSVLESIWVQFIELVWVAIHAASKCVHSTSHSTTDSNSDDITSSSTIITSFMTVLNERRLNISGQTMSSLVRVLHAILKFLKHSDSDLKDDFICLSIHHIHKMPWDSFHQLHARGLANCAKDSRFSFCNDVAQSGILTGSLLQLLGSLLDQSYLEGTDGQDMFVKLVDIVPNIAFSLHGQHDGPKSLYQYLKHKILMVMMRLKPYIQQDCSHIVSWLKLLRHYFEDLLHEPISQHIAKPENCLEGSPFLLDMVDLVESQDNSTRHLQRQAIYLFLSCSICLSYNRNDGALQCSCKRDECLLGHKVQGCSNHCNCFGLSEFSDWFQKCYLNWSLDSNSSSFALSFLELYMEEDDMLFSILLQLLDAPLIFLKIANLETTELVGVKLFSSIFEPVHLFHLLLFLLHYDHMVLVDYLISKDVGVHCAQYLLRCLRLVSQSWHAFVDDSVYSTKIDKLDCKRQRTSGDKDSDRASASKQYKNGSGCDKEAKNSQKLFLDAKECLYSLKRTVEDLQKKDLFPYNPKPLLRRSMYVNMLGLQSLKS >Sspon.04G0005400-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:15599354:15603193:1 gene:Sspon.04G0005400-1A transcript:Sspon.04G0005400-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LLCPAAPQLVCCLARLAAPARLASPSPSPSRRLLLFCRSHKFESKRATVAAAAVGKEKQKKGQRRLLFLDAREGGGAQLPPLGFPIFSVEAPRLRDPNPARECQAEGDSGVEEAPINGKNEMLLKGKGSVSAKNEQEQPEFEFQHGSFEALVRPVNVGRPLIGGAPKNDESDTFLELQDSMSVASNTEADDAGTQERWWKPSSPLGTSVGTPGAEFYDAFEEISSDGGTRSSRAMDDDLREMRLSLLMEIERRKQAEEALEIWQKEWKKLSHHLSHVALSLPSPSIAEDTDDSSVDPGAELCQQITVSQLVAAAIAQGFARAEVESEMETVIAAKNFEIARLSDRVQYYEAANREMSQRNQEAIEMSRQQHKERKKQQKWFWGSVGLAVTLGATAIV >Sspon.01G0016690-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:30862406:30863929:1 gene:Sspon.01G0016690-4D transcript:Sspon.01G0016690-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIQDHGAEGRGNIARCQRRLLLVDVERRLMEFQHYRDNGMEEEARCKFGLVLYTLDRLCKAVESHAKETGEWLSLREDIFDLAKLDMGTPDKMIVVSRLKWMYNCLLPFSSSRLPRL >Sspon.01G0061670-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1D:95979558:95980058:-1 gene:Sspon.01G0061670-1D transcript:Sspon.01G0061670-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFAAPKPHVMVLPFPAQGHVIPLMELSHRLVDYGFNIDFINTEFNHDRIFKSMQNKGAIPEGLHMLSIPDGVDPDDDHTDIGKMVGGLSAAMLSPLEEMIRSKKIKWVIADVSMSWVLELTNTVGVRIALFSTYSASVFALRMKLPKLIEEGIIDESGKKESNHL >Sspon.08G0008250-3C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8C:31624182:31625466:1 gene:Sspon.08G0008250-3C transcript:Sspon.08G0008250-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GLFRASSWLPPSLAAAGLNAACNNVISSRRQPSLPPVSDLQVAANCNSFFRCDLQHQPRLQVAMGFKAMSPPPPSTTASVGFKPVVAAISGSEGRSRCFEQSSPSTTLVKSKRALPSRSGLVGDAIVVLLALRAALPPPVPLPSPAPTHPNCQSSPPLASSQLGGCCGCALIKSASYSAVTVTFMKPFFFAREGSTWRASISNMVELFGPCSPVWRSPTPVILPSLAEACTSIGSLVHEETCATSADSITCEKIIEQAIAVPLEPPTVQLIERTLLDAVTLVEDVLVEDTSDDEEVVFHVTVDPVFLITIKDTPTLSTAK >Sspon.01G0031310-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:107521452:107523322:-1 gene:Sspon.01G0031310-1A transcript:Sspon.01G0031310-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGATALAYGLCCAPCLSPGLLTTEKVLKPSTKQKLRFEGDSYKKTLAEFLQVVPAFLGGKCSCPQCEKPRISSVVQAGEGSKNQPRLVSVDDGSPVTDFDFDEEEIPSAYSCENAIRAAIIGLLMVCIFIAFLAGMNDPASVPPSA >Sspon.01G0012280-1P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2A:93864817:93865360:-1 gene:Sspon.01G0012280-1P transcript:Sspon.01G0012280-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCDFSATRHEEGDVSLDGQVVAKKDTFRYLGSMLQKDGDIDEDVRHRISAGWLKWRQASGVLCDKRVPQKLKGKFYRTAIRPAMLYGAECWPTKRRHVQQLSVAEMRMLRWCCGHTRRDRVRNDDIRDRVGVAPIEEKLIQHRLRWFGHVQRRPPEAPVRSGVLKRADNVKSGRGQPKLT >Sspon.01G0038270-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:29320533:29321363:-1 gene:Sspon.01G0038270-1B transcript:Sspon.01G0038270-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPGTSRGCVAVSLLLDVMARRRHLIHDALLRPRAVLLPWRRHSIQLAWAAARSWAVAAASASRCPFPSHVIPGFFLFVRSGVLGSCSLHPSPFPGRASSREDHLKPLALHLIGEQPLHLCTCFSEFLDEHLQAVNRELVQQLVQSLIVPCF >Sspon.02G0015480-4D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2D:36484071:36485531:1 gene:Sspon.02G0015480-4D transcript:Sspon.02G0015480-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSAPAPASTLSCGGAHDAQAQLPHVVIFPFMAKGHTIPLTDLTHLLRRRQLATVTFLTTPGNAAFVRAALAGADGVAIVELPFAADNVTDPGAPPRPECVEALDSLSSFPAFVESVSQLRPRFEKALAALWPPASAVVADAFLYWAHAAAAAHDVPTLAFFGTNVFAHVVREVVLRDNPASVLTRGTTPDDAVFTVPEFPDVQLALADIPFPFNDPATTGPIREMDAKIGYAIANSHGLIVNTFDAMEGRYIQHWNRHVGPRAWPVGPLCLARTAPEAPWHWHGDVAKPAWMRWLDEKAAAGRAVLYVALGTMVAVPGSELREVAEGLDRAGLDFIWAVRPVDADLGPGFEEHVQGRGKVVREWVDQRAILGHQCVKGFLSHGGWNSALESISAGVPLAVWPMGAEQPLNAKLVVDELGVGIRVPPKSKAVSGMARSEQIARVTCDLMTGETGVEVARKMAALAAKAREAVAEAGSSWRAVEELI >Sspon.07G0005260-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7A:13492665:13492985:-1 gene:Sspon.07G0005260-1A transcript:Sspon.07G0005260-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRLVVANGLVRGDMSICFSMGAVADLAVGQEGLVPPLPVSRQGSPPKPLLEISAIVVQEGGATVAFRGRRRGSLAFRDEAFRFWPTTLDMIQGFEDVLKQSIMYD >Sspon.05G0031020-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:13196245:13199838:1 gene:Sspon.05G0031020-1P transcript:Sspon.05G0031020-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLLSTPSRTLAPAPAGVRGRAPAQAHLPRGGLGVAVAPRRSHALRVRASVAIEKETPESEPPPTFLREDGQGAGSGSVRARFEAMIRRVQGEVCTALEEADGGGARFVEDVWSRPGGGGGISRVLQDGRVFEKAGVNVSVVYGVMPPDAYRAAKGEAGKNGAAAVDGQKPGPVPFFAAGISSVLHPKNPFAPTLHFNYRYFETDAPKDAPGAPRQWWFGGGTDLTPSYVIEEDVKHFHSVQKQACDKFDPSFHPRFKKWCDDYFYIKHRNERRGLGGIFFDDLNDYDQEMLLNFATECADSVLPAYIPIIERRKDTPFNEEHKAWQQLRRGRYVEFNLVYDRGTTFGLKTGGRIESILVSLPLTARWEYDHKPEEGTEEWKLLDACINPKDWI >Sspon.06G0007450-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:85462208:85463701:1 gene:Sspon.06G0007450-2B transcript:Sspon.06G0007450-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHARNRVDMHACAAMYIVFVVGHRVDRQLIRRRPYVCMQWLTAGRGVVHAEMPAGQGVQRGINIWINLSAADKMVEPRYQDVASHDIPAVVTADGVSVKVIAGECLGTRSPLRPGTPALCLDVALRPGARLRQPVPRGWSACAYVIHGEAAFASNDGSTVAAAARTLVVFGGDGDGVEVRAQADDAAEQGQGARVLLVAARPHGEAVVRDGPFVMNSTEEVEQAREDYRRRRNGFEMADGWTSDHASTVATH >Sspon.01G0002510-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1A:6745464:6749371:1 gene:Sspon.01G0002510-1A transcript:Sspon.01G0002510-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAGVRVRNREEGGYSPPTDLRDLKEQNTRMAKLPIGLHRPESGLRGGEKVRRVLGDRPAAIDVLTVPDPRHRVEDPVDGDIHRVLDGLQLGPRVGVQDPRDEPDHLLPHPLHRRRRDRPEHGLDAHVALPHVRVRDVHRVPEVVVHREVPPVLLVCRPRVEEHRDAPSHHGVERRSPRAGVAGRLQAEGGLLEQPHDVPGGVALGAAGGARAPQHGAGAGRLALRDHVVADADVDGHVRPRRAHERHEPRPLLAAHTVLPPEHVGVRPGVEVGRVRDGVVVAVQHRRLQHEHRHVPAGRHDEEVGAPVRIHVVAPPEVPPRPAGDEEVVAASHAPPLGPRHEPLRQVHRRGVPGGVVVAPVVADEVEASVERDEHGGGHGGVVGQALVLLHPVVEDGIQRELVRGVPPGLALEHTVGAVGERPSKAGVAEGAAHVPPVVLGLAAVADDVGVEARRQVVHVDVPPPARVGAVVIPVAHLHRAADHGEMEVEEEGPRCHGKNGEESQWWQRVRRRLL >Sspon.02G0026590-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:20611479:20617602:-1 gene:Sspon.02G0026590-2C transcript:Sspon.02G0026590-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEMAAAAPAAGKGKRKRHLSEDDVYLLLHRYAPGTILTALQEVAQHAEGRRIDWRAVVGKSATGITSAREYQMLWRHFAYHHDLDDSVDAGDQPLGDDSDLELELEPNPIPTKEALSEASALAKALISGSSREQASGHRINLDPPVLNTQNEKIVRVPSEKQLAQSHRITNVTGPVANSKQPSHIGPSPGHLDPNGASKKRKKPKAWSKEEDADLAAGVQKYGEGKWEDILHKCNFDSTRTPDQLSQRWALKRPGGSTKPASAKHASVGSEERSAALKALSLAVGPMRRSAFAPKMPEVRSAATPSPAPALAQALPVPVPVAMPLRVAAQVQTPLHQGQQAPVQAAPPKLSNASNKTRKKQAAQPNPTIVPSSIQAAAIAAGGRLATASTAASFLKAAQSKNVVHIKSLGATSLKSSASSKASIVVEHGTQPGGSQHLEPLNPSAVHGVSGVTVVNQSGPPAGARSLETKKALSTTLAPVPCEEDDSEFCVITIDDLFPEDAKQPEVVDAKQPETVDTKAKQPETTGLKAQQPENADPKAMQQETMDPKSKQPDTLEVEIVDPKDKDMLEFDQYVASQGGHLNTDDLNKSKCTDSASQAQCLVGSQKKPQKLIPADGKGNPVTVVGKVKPVTAGVAATGKKTKIPVSHSAAGTPRGIVDTVNANAPNKTLVRRAATPVPAGCQVPPLKHAVNTKGNQMTTSNATVFSSGVPASSQTSVVAKGASKANPPSSSSQAKPNSVAVNGANRVVNPTSSSQASAAVNNTNRAAIPLSSSQASATVDGANRAANPPPSNQASTAVNGAANKGNPPAAARQ >Sspon.01G0015020-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:44066531:44075847:-1 gene:Sspon.01G0015020-2C transcript:Sspon.01G0015020-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAACRGFFECLLRLLNFILTVAGLAMVGYGIYLLVEWMKISEDGSGGGLTAEVLVSGRPLLGAVPLGDSFLDELPKAWNCEVIPVDKTHNFDVMYDFLKENWEIARWVALGVVVFELSLVKYRLAMNKPAEYDSDDEIIAIGRSPTMRQPLIHAQNVPATGVPVPTLDQRASRNDAWSQRMREKDITEDDWISWVFRIQNQVKNNPTGGVSFGRDGKRGRPPSHTATCSASCFFRKPLRSHTLIGSESNFFMDKKSRTRTARLLLPERPEPPAIPRRIPDQGYHLQPAEPLLALDFYWIWINAFQY >Sspon.08G0025790-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:12902054:12903613:1 gene:Sspon.08G0025790-1C transcript:Sspon.08G0025790-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAGAVAVAVSVGAGAGATTAGLLMWKNGKRSPWERRQIILDVPIEFEPEYHVNFGNPDIDEKPPMALEEMLQKM >Sspon.01G0043020-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:70609325:70612138:1 gene:Sspon.01G0043020-1B transcript:Sspon.01G0043020-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPFRSPPTPPAASEWCCVRCTFLNPDDSDSCEVCEASRPVEVGIDSPVVVGAALALASPKRGRRKKERCASPPPQRFGRKRERDASPDVVELCDSADKGPAAKKGSLAALSTALAFWGQIYKLSKLPVKESDCIPFSNSIMGRELCFTSVITGEMKKLTLATTHLESPCPAPPKWDQMYSKERVDQAKQCLEILGRFPNAILCGDMNWDDKGDGPFPLQDGWTDAWVELKPGEDGWTYDTKANGMLSCNRKLQKRMDRFVCKLADFKIDSIEMIGKAAIPGVSYYKEKKIRKESQMVELPVFPSDHFGLVLTITQKENDSF >Sspon.03G0003240-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:13716333:13734567:-1 gene:Sspon.03G0003240-4D transcript:Sspon.03G0003240-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFRTALALLEFYGPALVTTKDAGDAVTLLQSLAGSTFDSSQLVLTARMGYQSVNETILQELSNKHRPPVISAMEERVKGLGVWTDTNGLASKLYNFKRDPEPLVSLSDSADQLSDVGDGDANQESDPGNMDDEYGGVTVNSEIDSLPDPKDQVAWLKLELCRLLEERRSAVLRADELETALMEMVKQDNRRQLSAKVEQVELEISELRQALSDKQEQEQAMFQVLMRVEQELKIAEEARISAEQDAAAQRYAANVLQEKYEEAMASLAQMENRAVMAETMLEATLQYQSSQQKALSPCPSPRPSMLDASPTQASQNSSHEFQPRRKNLLGPFSLSWRDKNKEKQNNADDSANTKFTNNDEMVETSNIDDEKQRETQDLDNEQRAESPKEHGQMRAEMPEKDNDLPGVQLATDNLNGHHEQMQEIKLD >Sspon.06G0026380-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:76955884:76957088:-1 gene:Sspon.06G0026380-2D transcript:Sspon.06G0026380-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ISTLLTSTVGKSTNILWHDCPIGQNERQNLLNQKGCVVWITGLSGSGKSTLACALSRELHIRGHLTYILDGDNLRHGLNRDLSFKAEDRAENIRRVGEVAKLFADAGLICIASLISPYRSDRSACRSLLPKSSFIEVFLNAPLEVCEARDPKGLYKLARAGKIKGFTGIDDPYEPPSDCEIVIQCKVGDCPSPKSMADQVVSYLETNGFLLD >Sspon.03G0017390-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:54453442:54458793:1 gene:Sspon.03G0017390-1A transcript:Sspon.03G0017390-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRLPLSLLKTAQGHPMLVELKNGETYNGHLVNCDTWMNIHLREVICTSKDGDKFWRMPECYIRGNTIKYLRVPDEVIDKVQEETSKSRSGTYTIIGSHQVLAAEEEGGTLVLNLEAEALDAAKMMEVEAVVAVEGVELVPKVVTKVGAVAVAEAGGHMGQCRFLRPVWITVS >Sspon.01G0030660-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:104960998:104961911:-1 gene:Sspon.01G0030660-2D transcript:Sspon.01G0030660-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIADNGIQKKLRAGEYSWCADDCSKIAAGLSLPTSPPATRFRCLEPRRQCPTPDPPRPLPDPPLRESLLVDPPGPPLDPLLGESLLLDPPLGAPPTESAGLALHRIHLAHAVARALQQIPLAHAASRAATNVLHRICIAHALHQIR >Sspon.04G0000920-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:1428730:1440288:-1 gene:Sspon.04G0000920-2B transcript:Sspon.04G0000920-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSQHTTYTAYIVFRVDDGCSGLHYPCQETSVSIGGRKFTQNVCLGGHDVCISWLLVMRGDIPDDSKFPKKRDDGWMELEMGEFHNGEGDDGEVSISLKEMSVVKSGLVILGIEIRPKGLLSTSIARKRPAVWLAFHAPCNLPSLADGEVSTPLMSKKALFLRLLDGPVLLADGLTSLWLDKDTGGMCYMLSARKLSIAWGDTPTYWHWIPITGSRFSEAAQLHHFQWLEIHGKIDSKILSQHTTYVVYIVFRVDARWHGLDYPCQETSINLGKSNLTHNVCLAGHLVHAWLMAMCDVIPEDTLCPNGRDDGWMELKMGEFHNNEGEDGEVSISLTETSTVKSGLVVLGFEVRPKKEVATPGVPASCESKITRLSEDLLLASFARAGPVAACRAAAVCQAFHAASDTDALWACFLPHDLPPFADMELSPPPPSKKDLFMWLSDGPVLLADGLTVRNSTELLFAYIYGVHILYSLIGCWCGSLCLHRETGAMCYMLSARKLSIALGGDSDTDTPAHWRWIPVTGSRFSEAAELRHFRWLEIGGKIDSRMLSQHTTYAAYIVYKVEENSWYGKYADIFHDSKFPKERDDGWMEVEMGEFHNDKGKDGEVSIGLRTMPYAKIGLVALGIEIRPKGLLSTSIARKRPAIWPAFRAPCHLPLLADGEVFEPLMSMKALFLRLLDGPVLLADGLTSLWLDKETGGICYMLSARKLSIAWGDNPTYWHWIPITGFRFSEAAELQKAKWLEIRGNINSKMLSQHTTYSAYIVYMLAIEYYGLTEVVWACSLGGSSKSTGHVCLDDDYNYSHWQVMREYLPEDTHFPRYRGGGGMMEAELGELRIGEGGDGEVSFSLMDTFRSKCGLVVVGIEIRPKKQGSTCEIARLPDDLLAASLARTGPLDACRAALVSPAFRAAADADAVWSSLLPRDLPQLADGELPADPQPTKKQLFMRLSDSARPVLLADGLTSMWLDRATAAKCYMLSARKLGIIWGDTPQYWRWIPLTDSRFPEGAELLNVCWLEIRDKIHCNMLSQNTTYAAYMVFKMSDESYGLDYPLQVAEVSIGATKSTRQVCLGYDNEGEDGEEVPQNYRSFRPIGSFRPRVGRRNRRVPPGVQVQHPQTRADGWMEMEMGEFMNEEGEDGEVSISLMETRGGNWKKGLIVQGIEIRVKK >Sspon.01G0008260-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:61932215:61936310:-1 gene:Sspon.01G0008260-1P transcript:Sspon.01G0008260-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATATATSSSSLTTPLLRPNPNTNPTPRSLQLLRSRRCARAVTAAVAGGPVPYGAAPRRGIWSIRDDLVVPRSPYFPVESAAGQERGPSPMVMERFQSVVSQLFQHRIIRCGGPVEDDMANIIVAQLLYLDAIDPTKDIIMYVNSPGGSVTAGMAIFDTMKHIRPDVSTVCIGLAASMGAFLLSAGTKGKRYSLPNSRIMIHQPLGGAQGQETDLEIQANEMLHHKANLNGYLAYHTGQPLDKINVDTDRDYFMSAKEAKEYGLIDGVIMNPLKALQPLPASS >Sspon.02G0029880-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2B:105411239:105412461:1 gene:Sspon.02G0029880-2B transcript:Sspon.02G0029880-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKRKASDSAADIDGDGDGNDVLQYSPPLLASTDTCAWASSGGGGMAAAVAAAAAAQQQQRGRKRFVGVRQRPSGRWVAEIKDTIQKIRVWLGTFDTAEEAAAPTTRPLAAAAHPAPPPPPPTPVVSASGSPTQAPALPSKVTNLLLLRLRARNNQHQQQLLNATPARQQEAALLQGPLGAEPYGGGEECAFQVDDFLSYDCGSDENSSQEIEDEEEEEEEELDFQFMDQQAASPVAGCEGGGLCSPFEVVAAELGGAVEAGGVGGEPAMAFHELMMSRMDYERKISASLYALSGVSECLKMRLGAAAAGGGHAVRDQLSGLREACRKKQRETVQKQHEHEQKPSTETPEDVKPSREECSPSGGAPEATSSSSEASDGDCDGD >Sspon.01G0029720-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:103225542:103229016:1 gene:Sspon.01G0029720-1A transcript:Sspon.01G0029720-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSACARGGGGDGGSSRGRRSSGRGRRRGQRAAGRSQQGAKRGRGGAVRGGEADAATAAWGQPPPPALPPPPAPGAGSRIYRVRASGGKDRHSKVYTAKGIRDRRVRLSVPTAIQFYDLQDRLGFDQPSKAIEWLINAASTAIEKLPELDPAAFAALPAPGDADAAVKDKQQQQQGSKSAGSSTSETSKGSELSLSRSDGRGAAAARDREVTVASTSAQAASFTELLTGVASAGSISAAEHKQSWHQQQPNVSAAAADCVGIAHHGKGAHGLSAHGFSAPAAAKFGNAPPFGLVPAQPFNFTSPIEMPHFSLGQDTLAASSAAAGDYSLNFSMPSSPAAPEAGWPDLVWSRSRCGGGASRIREPADGIRGVTAVGRAPALRHEGEEQELIAHSSTIAYKGMI >Sspon.07G0022670-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7B:9738825:9739139:1 gene:Sspon.07G0022670-1B transcript:Sspon.07G0022670-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRPAPICYCDLIVVAVYDLHHGHDVREPKDTDFCHVVVALLHVQEAPPRAAAVPPLSTRAPSPVASLRAPPSSNSYRRRALVREQLMRARAGRDRGHAWIYT >Sspon.03G0021730-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3C:57179085:57179267:-1 gene:Sspon.03G0021730-2C transcript:Sspon.03G0021730-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MELDGADLDTHGTKDKKRDKDKEKKHKRRHHETDDVSSERDDKDDAKKSRRHSSDRKKSRK >Sspon.02G0027270-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:10349979:10353509:1 gene:Sspon.02G0027270-2P transcript:Sspon.02G0027270-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIMIKGGVWKNTEDEILKAAVMKYGKNQWARISSLLVRKSAKQCKARWYEWLDPSIKKTEWTREEDEKLLHLAKLMPTQWRTIAPIVGRTPSQCLERYEKLLDAACAKDENYEANDDPRKLRPGEIDPNPESKPARPDPVDMDEDEKEMLSEARARLANTRGKKAKRKAREKQLEEARRLASLQKRRELKAAGIDTRHRKRKRKGIDYNAEIPFEKRPPSGFYDTVGEDRPLEHVQFPTTIEELEGKRRADIEAQLRKQDIARNKILQRQDAPAAIMQANKLNDPEAVTKRSKLMLPPPQISDHELEEIAKMGNAGDPALADELGEGSTATRTLLASYSQTPRLGMTPLRTPQRTPAGKGDAIMMEAENLARLRESQTPLLGGDNPELRPSDFSGVTPRKKEIQTPNPMATPLASPGPGITPRIGMTPSREGHSFGLTPRGTPFRDELRINEEDSTKLELRRQAELRKSLRSGFASIPQPKNEYQIVMPPITEN >Sspon.06G0019320-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:882880:887567:1 gene:Sspon.06G0019320-2C transcript:Sspon.06G0019320-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Elongator protein 2 [Source:Projected from Arabidopsis thaliana (AT1G49540) UniProtKB/TrEMBL;Acc:F4I1S8] MSPAAGEQLSGAHREGTAVEARRVFIGAGCNRVVNNVSWGACGLVAFGTQNAVALFSPLVCRLAPLSFFSCSFSYLKVYPTLVSICPNSCSCEQRGEIVTTLPGHKAPVNCTLWLPTKKDVLQVRGREAHYLLSGSADGTIMAWKIVSGNGEWSHALQLPGMHKKGITCLAGRMVSDTIAIFASTSSDGIVVTWEMAIEPTPGGKIAYLWIANITVQSLIFCHADLTIQTLILILFYDFDGSGECALHLYLTYLLSLRRRLQSVLPAFSVRWFETNVLPEQGGHLILAMGGLDHKIHIYCGDKAGKFIKACELKGHSDWIRSLDFSLPVMMGGEKHNLFLVSSSQDRTIRIWKMTSEAASSGSSVQLRKGTIEMTSYIEGPLFVAGSASYQVSLESLLVGHEDWVYSVEWQPPTLLTGDEAHQPMSILSASMDKMMMIWKPEKNTGLWINSVTVGELSHSALGFYGGHWQPDGRSILAHGYGGSFHMWRDVGLDSENWQPQIVPSGHFAPVSDLTWARSGQYLLSTTRIFAPWRNQVNPGDMIYWREIARPQIHGHDLNCVTFIQGSGNHRFVSGADEKVSRVFEAPLSFLKTLQQATLLKPDISENFDNVQVLGANMSALGLSQKPIYTHGVKEFPSGNSNDGPDSMETIPDAVPTVFTEPPVEDQLAWNTLWPESHKLYGHGNELFSICCDYEGKLVASSCKAQSAAVAEIWLWEVGTWKAVGRLQSHNLTVTQMEFSRDNAFLLSVSRDRHLSIFSIRKTEEGAEHRLLAKLEAHKRIIWACSWNPFGYEFATGSRDKSVKIWCVEDASSVKLLATLPQFRDSVTALAWMGHDRASNAGVLAVGMDNGLIELWSVSGGRASAGSTPDSPLSVACVLRFDPVLCHVSTVHRLRWRNPSSTDEESALELASCGADHTVRVFEVPGTI >Sspon.07G0037000-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7D:47714447:47716633:1 gene:Sspon.07G0037000-1D transcript:Sspon.07G0037000-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SDGDSGNVETDDEFSMRSEDENNSESLNLGEDSNPPDDEIDPVGAVEALKGLRGVMSGMRGRARRFIVDKRTGKNTSHVE >Sspon.06G0011490-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:62696354:62697139:-1 gene:Sspon.06G0011490-1A transcript:Sspon.06G0011490-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGRAARNRPMQGDDESYNLVLNFKEAVFGVEKEIEITRLEGCNTCDGSGAKPGTKATTCKTCGGQGQVVSSTRTPLGIFQQVSTCNTCGGTGEFSTPCNTCGGDGRVRRTKRISLKVPAGVDSGSRLRVRSEGNAGRRGGPPGDLYVFIDVLSDPVLKRDGTNILYTCKVSYIDAILGTTVKVPTVDGMVDLKIPSGTQPGTTLVMSKKGVPLLGKSNARGDQLVRVQVEIPKRLSSDEKKLIEELANLNKAQTANSRR >Sspon.01G0049770-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:95984679:95987707:-1 gene:Sspon.01G0049770-2D transcript:Sspon.01G0049770-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GNVKRNERIQLNPKMPAIDASKLPWISLGKSPGSRRAMIQSTITTNPTLALADTIICNTFQEIESVALAHLPIPSVAIGPLEAPKSAAASHFWPQDVTCLRWLDAQVPGSVVYVAFGSFTVFDAERLQELAGGLALTGRPFLWVVRPNFADGVGEGWLDGFRRRVFGKGLVVSWAPQQRVLSHPSVACFVTHCGWNSTMEAVRHGVPLLCWPYFADQFLNQSYICDLWGVGLKVCADGRDIVTKEEIRDKVERLLGDEGVKARTLSFKSAACASVADGGSSHQDLIKRKEGPASLSVQCDTGGDTSPPAAAPPPSRYRVSSTLEAAAGKPVQLPRMAAARSSTHTGSASKERFSSSHSSSVSYLGPYKACTGRRPSARIRPDPELPYRFPATSSPGPVAVLPSRGALVGQLWPRRGRGRPATGSGRLRQPTAGSGKIGRWARASKGWRPRSDPTALCPDLALLLLSPSLLLRLRRGALSGRRGSWGVGKAAGNVAARRVAGWPSSGAVRAVWPRACRRRGSSPSLYAALFRRAERCLGRATALATSVSVGAACWRRRCMPPIVAGVQKRLRVVWSWPAPPSLAGARLPPPWASPGTAPHGVAVAGTPPPTGPDMDACRCAPPIVAGESGNNSVRCGGGRHPSPSRRGRLLVRVSHRRGRVQERLCAVWRWPAPRLSVQAWTHASALLPPSRASPEKAPRGVAAAGTPTLCPGTDTCCCTPSTFAGESGNGSALCSGGQHPPPSWCGRLSVCASLRCRRVNRSTVKGSG >Sspon.07G0021360-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7C:2323888:2324310:-1 gene:Sspon.07G0021360-2C transcript:Sspon.07G0021360-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SQLRETEGGCGCGCGGRLQEQNRKTTGGGESSGGGRGKASYGQAQSREEEGVAVFFLCQSCLGIGATRGGSQRCGYGQKRKLLHAAGLPLRLLALTRAYGHPLPATATATASQRTDAATATARGGKDRIGSAGRRRRSGP >Sspon.08G0008130-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:29538603:29563570:1 gene:Sspon.08G0008130-1A transcript:Sspon.08G0008130-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGRHEGTKKGSGSAGRAAMWLLLPPLLVLIVLKTDFLPQVARFRETRFMKDTDEMVHTVPYTGFDNARWQQHPHDPEAVKDYNQQNQILATNGPKDSSSINSEKSSVIYADMGASVSKLTCNFSNPHSDTCTMEGDLRIHGKSATVYVVAASTHRPENSTFIVRPYTRKWEQETMSRIREVTMRSLPPAFSFIIPPKCTVRHDVPAVVFSTGGCGGNFFHAMSDLIVPLYITSREYNGRVQFLITDYQPQWVAKFRPILAALSMYPVIDFDADTAVRCFPSAHVGLESHNKMLAINPSLSRNGYTMMGFRDFLRSVFSLQRPWSKPISRSSGQKPRLVMVLRRRSRELTNEADAITAMEDLGFEVVAAGPEDVSDMGHFAGVVNSCDVMVGVHGAGLTNMVFLPHNGTVVQIVPWGGMKWACWYAFGEPVPGMGLRYVEYEVTAEETTLKEKYPRDHPVFTDPQSIHRQGKAWATFLNGQNVTLDIDRFRAVMQLMRGRHEGAKHGSSGRAAAMWLLLPPLLVLIVLKAGFLPHMTGRHEGTKKGSGSAGRAAMWLLLPPLLVLIVLKTDFLPQVARYMGASVSKLTCNFSNPHSDTCTMEGDLRIHGKSATVYVVAASTHRPENSTFIVRPYTRKWEQETMSRIREVTMRSLPPAFSFIIPPKCTVRHDVPAVVFSTGGCGGNFFHAMSDLIVPLYITSREYNGRVQFLITDYQPQWVAKFRPILAALSMYPVIDFDADTAVRCFPSAHVGLESHNKMLAINPSLSRNGYTMMGFRDFLRSVFSLQRPWSKPISRSSGQKPRLVMVLRRRSRELTNEADAITAMEDLGFEVVAAGPEDVSDMGHFAGVVNSCDVMVGVHGAGLTNMVFLPHNGTVVQIVPWGGMKWACWYAFGEPVPGMGLRYVEYEVTAEETTLKEKYPRDHPVFTDPQSIHRQGKAWATFLNGQNVTLDIDRFRAVMQLMMGRHEGTKKGSGSAGRAAMWLLLPPLLVMIVLKTDFLPQIIFLTQEKSSVIYADMGASISKLTCNFSNPHSDTCTMEGDLRIHGKSATVYVVAASTHRPENSTFIVCPYTRKWEQETMSRIREVTMRSLPPAFSFIIPPKCTVRHDVPAVVFSTGGCGGNFFHAMSDLIVPLYITSREYNGRVQFLITDYQPQWVAKFRPILAALSMYPVIDFDADIAVRCFPSAHVGLESHNKMLAIDPSLSRNGYTMMGFRDFIRSVFSLQRPWSKPISRSSGRQKPRLVMVLRRHSRELTNEAETITAMEDLGFKVVAAGPEDVSDMGHFAGGGELLRRDGWRAWCWAHQHGVPASQRHRCADCSLG >Sspon.08G0008320-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:30779373:30783216:-1 gene:Sspon.08G0008320-1A transcript:Sspon.08G0008320-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPAEENVASPPPTPAAPAEGASDPPLQPAADGASTEKVSAPAPEVRSRGFRLLGEDTSVHKALGGGKTADVLLWKDKKTSAVVIGGATVIWVLFEVLDYHLLTLISHVLIGVLAILFLWSKATTFIKKSPPDIPVVQIPEDLVVNVSRALCNDINRALHLFHEIAMGHDLKKFLFVIVGLWVNSVFGSSCDLLTLIYIAVLLLHTVPILYDKYQDKVDHFAGRAHTEALKQYEVLDAKVLSKIPRGPVKSKKQN >Sspon.06G0021340-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:14590342:14593265:-1 gene:Sspon.06G0021340-3D transcript:Sspon.06G0021340-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA polymerase epsilon subunit B [Source:Projected from Arabidopsis thaliana (AT5G22110) UniProtKB/Swiss-Prot;Acc:Q500V9] PWRRRRRPRAGNCSASSACEASPSRWTPSRRPPPSSTASPTPRTTRSTSSSTSSTRNQCSRRYWIGTRSGAWWRCWSRLRRRSMASPAITSARSALRVVDAFLVPRFHYDPIKKVFYEHTGRLAVHGEAGDKASLYRDRYQVLLQRLSRDKYFSKPAFDTVATEDSSCEITSIQSLIGCTGRRWIMGVISQLEERQFYLEDLTGAVNTCGFPPLEDREASVSLLMGLDFFGGGVIPTEEALRLSSLEKKAINDMFVILSDVWLDNPEVFLFYISSLFFVSVIIESYSQDVPMCYLVEKTMEKLAVVLDGYDSVEVVPSLFVLMGNFCSRPCNLAFNSFEELRFQFGKLGEMIAARSRLKEHSRFLFVPGPDDAGPSKALPRCALPKYLIEELQKHIPNAIFVSNPCRFDMKLMLKIPTDVRIILIGRCTR >Sspon.07G0017090-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:80899009:80899440:-1 gene:Sspon.07G0017090-3D transcript:Sspon.07G0017090-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPAAEPPAAAWPPWTSLLLRALSRRRTWVALFLAVYAALLCSSWSLLASVRAWYYSTTSTPASAPAWPAALYASVMYGAVFGLLSMAAALAVAAPAMLVTWTTVLVLLAFAGRPPRSLVAEGRRATRDIAGLALRVLLREGNA >Sspon.03G0037620-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3C:95136406:95138936:1 gene:Sspon.03G0037620-2C transcript:Sspon.03G0037620-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPSWIILSTTPRVSDTPLPHDFALSLKVQAPPRVSFLTVAPWVSGDRDPLIEALDPSGLLLVYTCPTYCVLDVPAATLSYRLPEDPPTCFDEYRNLGVIADPGGAAGAFLLADFHFTAGSEGEDNTLVRYWSRTRSWRTELEASPDEHEDEVPDRYWVFGDVISHGGNIWWIDTKAGLLTCNAFRDIPPFLYVPLPDGDDDDEEDRLPSDFKTKFETGMVGRRYVQLSDGKFRCVQIRKPKGHAHGAGAAPTFITMRTLNDPKTGDWTDPEYTLSFADIRASDTFKAAGLPDKDPVFALIHTKNPDVLYFFLDDYLFAFDMRAKKLIECEAHGLGNNPSSGSVRAWELNQKPETQPWPWRRRRPGSSSTPPCASPTTTTSRAAPTSPSRSPRLAHLTVSTRVSPADPDPHARIHSPHVLTADPSGLLLAITPPPLSAQDPGEERVHRGRDGVERTFTISYISKPDYAVLDLASATAYLLPAHDVFSAACLGVIAAPASDAAAGFMVVEFQSMFGGARATLHCFSSHTGAWVTKPVRNPLPRWIWTFHDVVSHSGKLWWVDTAAGLLACDPFADAPDMAYVPLPRPRDDDDDDADAASRCACYYCSERMIASRRVVQLSNDSFRCVHITSARHGAAPTVTMRTLADPETADWTLDYQVSFADIWADDTYKAAGLPDKEPVVALIHPINPDVLYCFLDGYLFGVDVRARKVVQCQAHGMAQDSVSSSSVLACKLPPARTATAAAPGINTNPPPIYLLGDQTPMLCD >Sspon.08G0026810-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:34279175:34280306:-1 gene:Sspon.08G0026810-1C transcript:Sspon.08G0026810-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAFHAASPATVPASPSPLPSKKAGGGLANLQWLLRKRANKVQQGRHVAAERDADDGCDDDECASMFAGATPYIGPVSGAGPTTPDDAPAGRKRRGEALSRLRSAILAVLARARRGRGRRPLGSCATVTGTIFGRRRGRVHLALQTDPRSPPALMVELAAYSTGALVREMASGLVRLALECEKAPAPPQQTGDHHHHRRPRQQASALVEEATWRAYCNGRKCGYAVRRDCGADEWRVLRAVEPVSVGAGVLPDGDNMGTGGAAAGEGDLMYMRAKFERVVGSRDSEAFYMVNPDGGSGPELSIYLLRV >Sspon.07G0001440-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:3404757:3405674:-1 gene:Sspon.07G0001440-1A transcript:Sspon.07G0001440-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AMEERFPQPREARGPTFAEPAPADPPCDADDALAPVVQLLSSSSGEDSSDDEGERDFEFPFVSRDSPAGTAAPADELFADGRIRTFYPVFGRSAGCVACGSSGTSSSHGSCTALPAAPPPVRGQLGQLFLEETRERNSSTSSTASTSSSSSTGAATDDDGLEGAAPESYCVWRPNPSASPSPPPRKSGSTGSMARWRRISDLVSRSHSDGREKFLFLAAAPPHDAPRRHKKPAPAPAPAPAPEGARKPPAAADADAAAHRIAYLGRGGVPVPPGGTPRRTFLPYREELVGFFANVNGISRSHHHPF >Sspon.03G0000300-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:11142361:11148228:-1 gene:Sspon.03G0000300-3C transcript:Sspon.03G0000300-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDDVIWHCIRHNHCSFMAKITTGIFCRNPYNATGICNRSSCPLANSRYATIRDHDGVFYLYMKTAERAHLPNKSWERVKLPKNYEKAMDVINKHLEFWPKLLVHKIKQRLTKMTQYRIRMRKLQLKVREKVMTMPRKQTQRDLRRLDKAERAAQVERNLENELKERLTKGVYGDIYNVPFKEFGVVLDMEKGEVAPEEEEEEEGEMEYVEGDDMEEMDDMEDMEDFEGLSDGGTDEDDVLDDPVSKKKGSSSNSNQNAGKRSRKVMTELSDTKVSSSSNLEEEDGTEDENGEWKFVGGCSRRMTSDPATNLHPMGARSKFWALADDDDSDEEVTSQSPLTPDLVRHAAVHGFSRAQLYEAEMALQDSSVHWRVEEPDSLGSTDTKVVMVRNIMKALTDVRQTAVKPWFGKLPRPRYRRR >Sspon.06G0009880-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:54350585:54353720:-1 gene:Sspon.06G0009880-1P transcript:Sspon.06G0009880-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATEAKMEIHPAPQNEEDVHRHKYHSSLWGDFFLHHVPCPPLQYLMMKDKVEIMKEEVKKMLMDVDSFDLSHKLDCIDTLERLGLDYHYTEEIDKLMCNVFEAKDQDLDLPTTSHLFYLLRKHGYHISSDVFLKFRDDKGNIVTDDARCLLRMYEAAHLRVKGEEILDNILIFTKSQLQCIVDDLEPQLKEEVKYALETPLFRRLKRVQARQYISIYEKNTTHNKMLLEFSKLDFNILLTLYCEELKDLTLVQTQANTSIYARDRMVEMHFWMMGVFFEPQYSYSRKMLTQLFMI >Sspon.05G0026850-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5B:45147445:45150286:-1 gene:Sspon.05G0026850-1B transcript:Sspon.05G0026850-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPAASVTPTSAPFATPSAPRSRLLHSLQPSTDSCGRASILLQVLKRSNGGGARMSQAVMPQGGRDNVAATSGDGCPAATVTIALTLFLYVSDISMDTYAGLLVEHILGVLEANFIEPAHDKQDFERTTLLARLEARLVQMQKDYWSGNAHRIGYVAPRSGRNSEAGDGGK >Sspon.01G0008260-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:23106529:23110393:-1 gene:Sspon.01G0008260-1A transcript:Sspon.01G0008260-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATATATSSSSLTTPLLRPNPNTNPTPRSLQLLRSRRCARAVTAAVAGGPVPYGAAPRRGIWSIRDDLVVPRSPYFPVESAAGQERGPSPMVMERFQSVVSQLFQHRIIRCGGPVEDDMANIIVAQLLYLDAIDPTKDIIMYVNSPGGSVTAGMAIFDTMKHIRPDVSTVCIGLAASMGAFLLSAGTKGKRYSLPNSRIMIHQPLGGAQGQETDLEIQANEMLHHKANLNGYLAYHTGQPLDKINVDTDRDYFMSAKEAKEYGLIDGVIMNPLKALQPLPASS >Sspon.05G0005620-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:9848115:9849506:1 gene:Sspon.05G0005620-3C transcript:Sspon.05G0005620-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVMRGHLGEVTCCSVSPDLGLVASSSNATGVLLHSLRTGRLIRRLDVDEAHAICLSSQGIILVWNETKKTLSTFTVNGLPIATSVLLPFSGQVSCIDVSTDGHFALIGTSLFNNYKCDSSTETGNHELGPNGTDDVSKNSEQSETEQSVHVPSICFVDLHNLKVFHTLKLAKGQDVTAIALNNENTNLLVSTADKQLVVFTDPALSLKVVDQMLRLGWEGDGLLQ >Sspon.08G0014590-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:59464080:59470597:1 gene:Sspon.08G0014590-1P transcript:Sspon.08G0014590-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDNIPAEDYVEVRRVVGRCPGDSGARVSLTRRQGSVPGLRLEEATGLAFRFVIGKSNSKNKMAALNREVEEYDDFVLLDLEEEYSRLPYKTLAFFKAAYALYDSDFYVKADDDIYLRPDRLSLLLAKERSHPQTYIGCMKKGPVFTDPKLKWYEPQSFLLGSEYFLHAYGPIYALSADVVASLVALRNNSFRMFSNEDVTIGSWMLAMNVNHENTHALCEPDCTESSIAVWDIPKCSGLCHPEVKMLELHQRKECTGGPTVAAEVSESED >Sspon.02G0018830-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:60432686:60436868:-1 gene:Sspon.02G0018830-1P transcript:Sspon.02G0018830-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEKHFKYVILGGGVAAGYAAREFAKQGVKPGELAIISKEAVAPYERPALSKGYLFPQNAARLPGFYVCVGSGGERLLPEWYSEKGIELILSTEIVKADLSTKTLTSAAGANFTYEILLIATGSSVIKLTDFGTPGADFNNILYLREIDDADKLVAAIQAKKGGKAVVVGGGYIGLELSAALKINDFDVTMVFPEPWCMPRLFTADIAAFYEAYYTNKGVKILKGTLAVGFDADANGDVTAVKLKDGTVLEADIVVVGVGGRPLTTLFKGQVAEEKGGIKTDAFFETSVPGVYAIGDVATFPLKMYNELRRVEHVDHSRKSAEQAVKAIKGKESGEPVPEYDYLPYFYSRSFDLGWQFYGDNVGETILFGDSDPTSSKPKFGSYWIKDGKVLGAFLEGGSPDENKAIAKVAKTQPPVANLEELKEGLQFASKI >Sspon.01G0051910-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:18569467:18576821:1 gene:Sspon.01G0051910-1C transcript:Sspon.01G0051910-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNGITKNPCFSGDPYAAAIVSDPLPDDSQGHSFTYVPSGAAFDQPTTAAAMSSESSFFSLSGAAISANQVTPASMPSFRLYNEMTWPLSIACMFESSRSFTAVPLQAASPRLSMSGPVQFTSGRFSETSGSASTTTDSPFMSGSLDQSSAASSSVAVGVQPRVSQLIAERRAARSRLRDERSLLQFFVRTASKLQLGSPRYGRGPQEHPAEPIKVCFSDGDYRSPPNDNVEWAQGMAGEDRFHIAVSEEHGWVFVGIYDGFNGPDATDYLFANLYIAVHNELKGVLWDDIQTGDGARRGQQEASAGNAERLCLAGADGDSAEAKRRATEVPMPGNNATTPVHRDVLRALARALKKTEEAFFAAAEERAADSPELGLMGSCVLVMVMKGRDIYVMNVGDSRAVLARRPEPDLKNVLGKASQDLQQFKAEITRELEAHDIDGLQAVQLTAEHSTAVQEEVMRIKGQHLNDRHAIVNGRVKGKINVTRAFGVAYLKQPKWNSRLLEAFKINYIGTDPYVTCTPSLCHHRIIGLQDKFLVLSSDGLYEFFTNKEVVDQVEAFTATKPDGDPAHHLVGELVHRAARKAGMETRRLLAIPRGERRHYHDDVSIIVISLQGRIWSGQVASLNHVHARXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXSPFMSGSLDQSSAASSSVAVGVQPRVSQLIAERRAARSRLRDERSLLQFFVRTASKLQLGSPRYGRGPQEHPAEPIKVCFSDGDYRSPPNDNVEWAQGMAGEDRFHIAVSEEHGWVFVGIYDGFNGPDATDYLFANLYIAVHNELKGVLWDDIQTGDGARRGQQEASAGNAERLCLAGADGDSAEAKRRATEVPMPGNNATTPVHRDVLRALARALKKTEEAFFAAAEERAADSPELGLMGSCVLVMVMKGRDIYVMNVGDSRAVLARRPEPDLKNVLGKASQDLQQFKAEITRELEAHDIDGLQAVQLTAEHSTAVHRGPGVIFFLWHWQPKWNSRLLEAFKINYIGTDPYVTCTPSLCHHRIIGLQDKFLVLSSDGLYEFFTNKEVVDQVEAFTATKPDGDPAHHLVGELVHRAARKAGMETRRLLAIPRGERRHYHDDVSIIVISLQGRIW >Sspon.03G0016560-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:76352528:76358919:-1 gene:Sspon.03G0016560-2B transcript:Sspon.03G0016560-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGEDGSASVSLLAPPERRHVGCPGCRLEEANKTSTGVPYLNFFYIWIVCLTNTLPIQSLFPYLYFMIRDLKVAKQEQDIGFYAGFVGASYFLARTFSSVPWGIFADKYGRKPCIMISILSVIVFNTLFGLSTTYWMAIVTRGLLGMLCGMLGPIKAYAIEVCRKEHQALGISIVTTTDAIALVVGPAIGGFLSEPAEKYPNVFSKESIFGRFPYFLPCFVISILAMGSCIACIWLPETLHFHIDEKVEATNEVEAQVGDSTIQVGKAKQSSDTSTIKNLLKNWQLMSAIILYCIFCLHDVAYAETFSLWAVSNRKFHGLGLTSQEVGTVLAISGCGLLIYQLFVYPFIVKYLGPIRPLRPAVATINIACNILQNTAVAQEQRGVANGISMTLMSLFKGVAPAAAGILFSWAQKHMNGLFLPGDQIMFLTINMVSILGLILTFKPFFSMSNALRHS >Sspon.02G0012770-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2A:34197057:34197917:1 gene:Sspon.02G0012770-1A transcript:Sspon.02G0012770-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVEAARKDLTTPTTRRAKVMHRLLVALNCGMLALGTTAGPLLTRLYYDKGGQREWLSACLQSVGWPLLLIPVAASYAARRARDKQGAPVLLTPPRVLLAAAGLGVATGADNYIYAYSLRYLPVSTSAILISTQLVFTVFFAFLIVRQRLTPATVNAVALLTVGAVVLGLHVSSDRPAGVNRGKYLLGFALALGAAALYGLILPLVELAYKRAAAGSGRAVTYALVMEMQLVMGFFATAFCTVGMIADKDFQVRAHISHVAYVPLLTMQVGPHIAASLIERPLDRP >Sspon.02G0045600-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2B:109926725:109932002:-1 gene:Sspon.02G0045600-1B transcript:Sspon.02G0045600-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AEKDKTQPDKDDDGGDGGDDDLGDDGEEDDLLDDSPSKEQNHHNQGASADTSEKKTPISKINGNTGYKTVDVGVFETEQLDQDRCLKECMETISLPLAFDTELRNVVVKDISESELVLKNQMGQGISPQKKLTSDVGCSVGKMSKIVSEESYIKAISADEYNSMGEQVYPLPVIGHLSDFQTRGFYEDSNEKGQEWTWPEQDLGSKGANLDLEASKQLYKHYWPKMNEQMLNEVGAGPEEITPKCIMNKGSDCHIVGRKILNTMDEESRHSRWEEFRRFASRDTTTEDCSTLLKRMELEASDDEEEMVNVEDMMTLEESGVLPTSELEENTSQEETEKKVQKRKTSWGPVLRVPRPRRGPEDGKMVMQRAQELKKEKNLEKVKLLRKKVRGWAINVNANIRKLKKELLEEFQSLDSQSEKWDLNPRERDRLDEINKDLEAIWRMEEIKARQRSRDRQVKEGDRNTAYFMAVANQRNRKKRIEGLKGPEGWIEDNKGMLDHAVDFYKKLFGLEPDSNVKLRSDFWEEDELVTDDENGFLEAPFSEEEVKEAVFSSYAEGAPGPDGLPFLFYQTFWDTVKNDFMNLVRKFETGELKIDRLNFAMITLIPKEPEARTLKKFRPISLLNCSFKIFGKLLNNRLIAVADRLIASNQTAFIKGRYILESVVAAHEIIHEVHRSKEAGVILKLDYEKAYDRVSWHFLLDMLQARGFGTTWVSWIKKLVEGGSICVRINDENSGFFKPGKGLRQVGASPFWKGVVWAMQAARMGIHWNLGNGEKLRFWEDQWLGKTVREVWDGENLMLTFRRTVSEQGAKVVGHEGGTFQGCQDVEKVASNDAAGCVGAYRGGDSSSGTQSIKAAAADVVQSGGSSSGLAPLGVQWSASSNATNRRVMDLSSGRLNLVSVSNVSLTNVVLSPED >Sspon.05G0015880-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:63763204:63767643:1 gene:Sspon.05G0015880-1A transcript:Sspon.05G0015880-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLLLFAVLAAVAAFLLFKFATVVDGEVLAMQFANLGAKLILSARNKDELERVKKNILSKNPDSRVEVLPMDLSAGEESLKEVKRGALEESEQGLKATLNVNVFGTITLSRLLAPYMLDRGMGHFVVMSSAAGKVPAPGQAIYSASKHALNGYFASLRSELCTKGIKVTVVCPGPIETPQSSAAASQKREKRVSVERCVELTIVAATHGLKEAWISYQPVLTVMYLVQYMPTVGYWLMDKVGAKRLDAAAKKGNTYSWNLIFGSKKSA >Sspon.02G0023620-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:80519996:80520992:-1 gene:Sspon.02G0023620-1A transcript:Sspon.02G0023620-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SAQLPLPYLQRFPPLPPAAPALASPPVVEIELPPVDAAAQVSHGTLSRRWRQRRPWRTPPSAIPSRLWLCLPLSRRSGLAAPRPLHPARCSTRSIRPARRCPTRSVRPLAPYPVCMWRERESTSPPRRGRPSPLTLLTFSPPSRRSRPPGEEADCEENAAAQQALGPSSPSAPPTATSFSLDPPTAGSSPLVCAHCDSSDGGRLKMTGHGQRGFGHRVICRELARGTNPLTGRPGTGHWTPKNGRSKSDDGT >Sspon.04G0026540-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:69590028:69593125:1 gene:Sspon.04G0026540-2D transcript:Sspon.04G0026540-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTLPVAAGRGAVACAATPVPRRSLLLSTAVAVRAAKSRASTDAAQAATFASTDEAFSWAKKDNRRLLHVVYQVGDLDKTIKFYTECLGMKLLRKRDIPEEKYSNAFLGYGPEESHFVVELTYNYGVDKYDIGAGFGHFGIGVEDVAKTVELIRAKGGKVTREPGPVKGGKTVIAFVEDPDGYKFEIIERPGTPEPLCQVMLRVGDLDRAISFYEKACGMELLRKRDNPEYKYTVAMMGYGPEDKNAVLELTYNYGVTEYAKGNAYAQIAIGTDDVYKTAKVVKLSGGQVVREPGPLPGINTKITAILDPDGWKSVFVDNIDFAKELE >Sspon.02G0010290-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:31801575:31805256:1 gene:Sspon.02G0010290-3C transcript:Sspon.02G0010290-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSARLLRRVLQEREAAPQDTDVADDDQPAEEEASPPRSSARNLFDLLDDGNGDGDEEDKEVMEDETEIIQALSYTEQKHSVQKKANVVPETNKKSKKKKKKSKAEPSSTKSKDAQSLDSILEAIEKNTIQQRSHQSDRAAGTELETNEATHGASSVLAIDPKHLKAENEMRRIFGSKVVDSFENQRNMPSSSRQVRGARRVAHNPRKTLLVSPPSYWPPWDKSISMDLLEMKNGLNYFRYTYDPSVSHVQELFEAAKAANDLNAIAAILGKYPYHPESLLTFAELFKYSGEHQSSADAVEKCLFALECAWHPLFSPLQGNYQLKFSHDTNKPLFTALFSHMKNLDRRGCHRSALEVCKFLLSLDSDDPKGALFCIDYFALRSQQYEWLEQFAEEYQCDNSLWLFPNFSFSLAIARFYLERDAASEGSDDADKSTSLDLMKQALMLHPMVLRKIVDKAPLKDSSWTQILRNVFFGSAKPGSPSLEHMINIYVERHYIMWRFPELQNLLKEAALLVIESLKQDNREAQDWACVRKEAFLSEKNEYSHLLVSDFSDTTPSLPPEELRPFMVGPGMVHEMPPVEQEAGPERLRAPREVAGRNPAVVFLESLLPWVDYGDNHHDANDDDNDD >Sspon.04G0007300-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:47730559:47732262:-1 gene:Sspon.04G0007300-4D transcript:Sspon.04G0007300-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVQCDVCAAEAASVFCCADEAALCDACDRRVHRANKLAGKHRRFSLLNPAPPSSSGSSAQPPLCDICQEKRGLLFCKEDRAILCRDCDVSVHTASELTMRHTRFLLTGMRLSAEPAACPAPPSEDENSSGSFCCSAGGGDAAAAPPSAAPATSSHGSGSDNGSSISEYLIKTLPGWHVEDLLVDEAAAAAATNIGVSSADASYLQGGLARIGGRQDGYGYSAWMAQEQFFYEDSAAAAGGGGARGSREQWVPQMAMYSSTGLAGAGSKRSRATSAASSYSY >Sspon.01G0047430-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:89367535:89380283:-1 gene:Sspon.01G0047430-2C transcript:Sspon.01G0047430-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLARAPPSPPPLSAAANFPANLERPLRVRGLPSFRAGVATATAAAKPASSSLGGQKRKQVASVANPLVKHCVKLRLSAAYRRSCRRLLLVGLAPILEVCRFELDAIDYLLLLDGVEVPEALREFSGDVVYVSAAVMKKVSGMQSVDSTEAIAVMHMPNHFRDLGSHEDGDALDGLFSYPKRILVLDGIQDPGNLGTLIRSAVLSNGMEYSFFRLVVIHSMKRLFVQPVEPLCSFLLSVYGMKMMAGHPESSSDASKEIYSLSKELADSLLNESLCLVLGSEGNGLSAETLQACELVNIPMEVVLASLPGLGCERVSCAIFENDEHGAPI >Sspon.08G0012420-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:53289716:53308875:1 gene:Sspon.08G0012420-1A transcript:Sspon.08G0012420-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKEKGKKEDGTQNGKKNNMRRKQTECYSLLEPGGILILDTWSTTNSKLIFVSSMESLAQLEVLCEKLYNSRDSAERAHAESTLKCFSENSEYISQCQYILDNASTPYALMLASSSLLKQVSDRSLSLKLRLDIRNYVINYLATRGPKLQNFVIVSLIQLVCRITKFGWFDDDRFRETVKEATDFLGLASQDHYFIGLKILNNLVAEMNQPNPAMPLTLHRKIASSFKDQFLLQIFQISLTSLNQLKSEAPDEFGHIPLDLALKCLSFDFVGSPVDESSEEFGTVQLPASWRPLLQDPSTLQIFFDYYKVNDIRVSKEALECLVRLASVRRSIFVEDPARSQFLSHLMLGTKEILLTGQGLADHDNYHEFCRLLGRFKVNYQLAELLSVEFYGEWIGLVAEFTTRSLLSWQWASNSVYYLLSLWSRLVTSVPYLKGETPSLLDETVPKITEGFITSRINSVQAILADNSLENPLDSVEVLQDQLEFLPFLCRFQYQSSSLYIINIMEPLLQVYTERSRLPAPGDADELSVIEGQIAWMVHIIAAIVKVRQVTGASQETQELIDAELSARVLQLISMTDTGAHAQQLYGRLSELLGLNDHLILLNVIVGKIATNLKCYAESEDVIDHTLSLFLDLATGYMTGKLLLKLESVKFIIANHSPENFPFLAEYKCSRSRTTFYYILGSLVFMEDSPVKFRTFMEPLQQVAFKLEATPDAAFRTDGAKHAFIGWMRDLRGIAMATNSRKTYGLLFDWLYPTRMPLLLRAISLCTDEPEVTTPLLKFMYEFVLNKAQRLTFDSSSPNGILLFREVSKIIVAYGSRIILLPNGTDIYGSKYKGIWISLTVLSRALCGNYVNFGVFELYGDRALADALDISLKMTLSVPLSDILAFRKLSKAYFGYMEVLFNNHIKFVLNLDTNTFIHIVSSLESGLKGLDTGISTQCASAIDSLAAFYFNNITSGDSPPSPASVNLARHIGEYPNLFPQILKTLFEIMLFEDAGNQWSLSRPILSLIMTSEQMFSELRAHILASQTVDQQQRLSQCFDKLMTDVNRNLEPKNRDRFTQNLTAFRRDFRLK >Sspon.06G0020670-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:10558654:10563627:1 gene:Sspon.06G0020670-1B transcript:Sspon.06G0020670-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQESELERSGGVIDYQKGRHYSISILYLLCKEDTEAVQEANQESCRVVKLLGEARDIAVSILELSSHFLSKHIKASISLPSRLHSSEAAVQQELCILEAIISSPSTSVVAMCDGLRRLGDIYNSFAPPSKKKKLDGEIESSLELLDLCNTMQEIFVELKAIIQELQVALRKGDGATVQAKIQSYSRLVKKAKQSFKKSSKKATSDKTECAMIRLLTKAREIAISLLESTVQLLSKQIEVPKQSLVSKAFSKRKAVVCEEDQLQALECSIGDLESGAGHLFGILVQSR >Sspon.06G0021670-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:18699545:18703287:1 gene:Sspon.06G0021670-1B transcript:Sspon.06G0021670-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAVAAAVRPVSHSHRRLGALLRAPVPCGLTTSRVAAPFPRRTDSMKISQLQLTATRFSKENNSDEDDELLSELRDKLPGLLELVGLSYSGWFVYRYLLFQENRKELAELIDDIKSRIIGDDD >Sspon.04G0025710-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:32686224:32691742:-1 gene:Sspon.04G0025710-2C transcript:Sspon.04G0025710-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPIRLPEPPGVDGMETPEIFSGTGSGTGGATVVRRAVLIGNGSPGAENQCLGLARALGLADNLTLYRVTRPRGGINEWLHFLPISMHKFIDQVLRQFFSNTRFAIVIQGRKPYRVLNAGSVGLSAVLEADVKKIIQHPRSRLDRFDLVVTPRHDYYALTASGQQEIPRLFRRWITPQEPPRSNVVLTVGALHQADSAALRLAAIAWHDELAPLPKPLLIVNIGVPTRNCKYGVDLAKQLITSLYNVLDSCGSVRISFSRRTPWKVADIVFKEFAGHPKVYIWNGEEPNPHMGHLAWADAFVITADSISMLSEACSTGKPVYVVGTEHCKWKFSAFHKTLRERGISNSWSYPPLNDAIEVATRVREVIAERGWTVGR >Sspon.02G0043040-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2D:79836892:79840324:1 gene:Sspon.02G0043040-2D transcript:Sspon.02G0043040-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGTCLYRQELEEERGASACMGADASKEVPPGIATTVHMSMYIHHEYEPMVHHRDVKASNVMIDSTFQGRLGDFGLTCVLADGKNSYTDQGAPGTIGFRVPEYLYSGKATRKTDIFAFGVLILEIVTGKRAVGRDLVQKIIRKSVPPRDMPLSKPQLAWPPQGWESSLTSDYSTSTSNFNTTALTFMVETAGGEHISTENEGVRFTGYPSRGRRSSQEAVSVYHSANATAS >Sspon.07G0020920-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:84029476:84030276:-1 gene:Sspon.07G0020920-3D transcript:Sspon.07G0020920-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MYQQHHHWANSSCYCVDGELKGSDAILSSRPDALLASGGAFLPSPSPLPPPSSYMPHYYSQPAPAIVPPPMFSQLHAIPHLPPTTPTSGMAAATSSPALSTGDLIVRDREEEQRVATRYSAEERRERIDKYRSKRNQRNFQKKITVCLLSMHIGWFQLALAAYSNEWRRMQYTCRKTLADSRPRVKGRFARNGGDYTETADAVADHHVHVPAAAHQSESESESPAATAAAPEWWPPVQEEGINLAELCADDDEMLAAYLGVSSISIA >Sspon.01G0005820-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:15202623:15206318:1 gene:Sspon.01G0005820-1A transcript:Sspon.01G0005820-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFMKLGSNPDTFQDDGNEVSIAASELVSDITVRIGTTKFYLHKFPLLSKCARFQKLIPTTGDENIEIHIHDIPGGAKAFEICAKFCYGMIVTLNAYNVIAARCAAEYLEMNETVDKGNLIYKIDIFLGTSIFRSWKDSIIVLGTTKAHLPWAEDLKLVSHCIDSVASKASIDVSKVEWSYTYNRKKLPTENGHDSPWNGVKQQQFVPKDWWVEDLTDLDIDAYKQVITAIKTKGMVPKDVIGEAIKAYTYKKLPSLSKVSMIHGDAKVRAMLVTITCLLPSEKGSVSCSFLLKLLKATNLLKCGEMCRKELMKRIARQLEEASVSDLLIPTVDGDTTVYDIDLILSIVEEFVRQYSKNAQRHNGGEVNDHVSAPSASMITVAKIVDEYLAEVAKDPNIPVYKFFSLAETVSANSRPVHDGLYRAIDMYLKEHPSLGKSDKKRLCALMDCKKLSPDACAHAVQNERLPLRIVVQVLYHEQTRASAAATIRADSIGIGSYESSRSGATTNTEDEWDGVMAVEDLSLSKTTKLDKCDTASTVVEKNHGGNKGANGRVNGGATSKKALGKMMLSKGQAGERSSSDSSDSAILPSQEHPKRTPARSTTKSAA >Sspon.05G0014010-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5A:50536156:50537965:-1 gene:Sspon.05G0014010-1A transcript:Sspon.05G0014010-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MYVDDGKARRKSPAHLIPPSTLSIHHPPPATGTGVATLLSPPPFRDVIPLCRPTPLLPSPTTTASSLLRRHTLAAPASAPSLSPPQLRSQVAFLPAAPPVACGLLAASRPPPPVVPPAGSEALDLGAHRLSSA >Sspon.07G0009380-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7A:26512109:26514026:-1 gene:Sspon.07G0009380-1A transcript:Sspon.07G0009380-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GTETLYLYGNSDVSWEVRPQKLFMPLGQPEPTMTGIKLEYANVQFSYAKSYWLVVEQNEDEDEGCGPEPTICASCGSRYHANGFWICCDVCDRWFHGKCVKITAAQAERIEHYECPECCSNKKEHGYNVDPMLSVLSKRY >Sspon.02G0024350-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2A:83263222:83263513:-1 gene:Sspon.02G0024350-1A transcript:Sspon.02G0024350-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATASVMTSLVVVLVALAFSRAAAQGNGCSSVMMTLSPCMDFISSKAPEPGISCCSVLDGVVQTDPRCLCMVLDGTATSFGISINQTRAMELPGVCK >Sspon.02G0012840-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:27270264:27274431:-1 gene:Sspon.02G0012840-4D transcript:Sspon.02G0012840-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPCAPPAAAARGVNPAKPLRRAPSSSTPARFPHLFMSSSSPRTPPLAAAAGGSGAAAPSSLLAADPGHREAVLLAARAAMGNCLGETRLDLAVSGLRLAAKGKVRDVYECGEHLVLVTTDRQSAFDRVLASIPFKGQVLNETSLWWFNQTRHITPNAVVSSPDKNVTIAKRCTVFPVEFVVRGFVTGSTDTSLWTVYNKGVRNYCGNALPDGMVKNQKLSANILTPTTKAADHDVPITPDEIIKSGLMSKEDFHEAGSKALSLFAYGQKVALENGLILVDTKYEFGKTADGTIVLIDEVHTPDSSRYWIANSYEDRFKSGLEPENVDKEFLRLWFKNNCNPYEDAVLPEAPEELVCELAWRYIFLFETITKTKFEILETQEPIHERISRNVAQALRDL >Sspon.06G0009260-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:38680372:38687629:1 gene:Sspon.06G0009260-4D transcript:Sspon.06G0009260-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MIAQGLRRSSAASSQVSRLVKYIASAGSLQGGHSFSESPARYYSSQPSLQATEENGFKGHSMLAPFTAGWQSTDLHPLVIERSEGSYVYDINGKKYIDALAGLWCTALGGNEPRLVKAATEQLNKLPFYHSFWNRTTKPSLDLANDILSMFTAREMGKVFFTNSGSEANDSQVKLVWYYNNALGRPDKKKFIARSKSYHGSTLIAASLSGLPALHQKFDLPAPFVLHTDCPHYWRFRLPDETEEEFSTRLANNLENLILKEGPETIAAFIAEPVMGAGGVILPPKTYFEKIQAVLKKYDILLIADEIRGLGLILGTEFVDNKSPNDPFPAEWGVGSIFGAECEKRGMLIRVAGDSIMLSPPLIMTPNEVEEVRPFYIVQSLLPYRYNHLTNMKLTEDHIDMKAVVM >Sspon.01G0002440-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1A:6600937:6602931:1 gene:Sspon.01G0002440-1A transcript:Sspon.01G0002440-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRNRLIIWCLCFLLLWWVQSNPCAICLGNMGAGGGQAIFTAECSHTFHFHCISASVAHGHLVCPLCNTRWRELPSVVQPQPAPVPRTLPRPEPMHRVQPLPMRHMPLHGWQPQHQPDEPQVFDDDEPVEPPSSGDGDGGGDQRQAAASSRSGGTLAVTTHTEYSAVARNSSRDNFAVLVHIKAPGMTADGEAAAGDAPRAPLDLVTVLDVSGSMTGAKLALLKQAMGFVIDNLGPHNRLSVVSFSDRARRVTRLLRMSGDGKATAKSAVESLVARGGTNIAEGLRTAARVLEERRHRNTVSSVILLSDGQDTYTAPRWSRGAGAAPNYEALVPPSFMTPGTRDWSAPVHTFGFGNDHDAAAMHVIAEATAGTFSYIENEAVIQDAFAQCIGGLLTVVVQEAHVAISCGHPGVRIGSIKSGRYESRVDEDGRSASVRVGELYADEERRFLLFLTVPAVEATDGETALIKVSCSYRDTAGGAHVDVTAEDTVVERPEQVADAERSTEVERERVRVEAAEDIAAARAAAERGAHQEAVGILESRQQAVAQSEAALGGDATMQSLGAELHEMRQLVSNGNSYARSGRAFMLSGMSRHAHQRASANMLNMAGDMNMGAEEASSFATPAMRAMLLRSRRAREESSVEQPPPSKAGKEAESSAVHYQNQK >Sspon.02G0001750-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:5735410:5736351:-1 gene:Sspon.02G0001750-1A transcript:Sspon.02G0001750-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSAASTTTAAFAAATVSRLLSRCRSLAAVKQLHAHFLARSNRSFPYNHFLSKLLSFSSSSSSSSSDAAAADYVLLLLSSHPAPTAFSYNVALRFFASSRPDTSLRLFLSMLRAELRPDAYTLPFLLLAAARCPAPALARSAHAFLEKLGLRDHDHTVHSLITMYSCLGDHLTARRVFDGIPHRDVVSWNSIMKAYERAGMVAEVEGMFRLMVSESAVAPNGVTLAVVLTACRDAGNLVLGKWVEEWVRSAGMEVDSLIGSALVGMYEKCGEMEEARRVFDGISNKDVVAWNAMITGQVYEDTLLLLLQTNAD >Sspon.03G0015130-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:48468823:48470421:-1 gene:Sspon.03G0015130-1A transcript:Sspon.03G0015130-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRGGGVGSAAMLGLDMHLAPQQMHPAAAFQHQQQGGFQVQQPAPVAVRQQQAPPSFSPYSNTSSSRVVVSAAAVGHDDDMVGNNKGGGMASAACPWTRMKWTDAMVRLLIGVVYSVGDDGEGVATAGGAGAGKAGRAGSSGHGHGHAAAAQQQKKGKWKSVSHAMMDKGFVVSPQQCEDKFNDLNKRYKRVVDLLGRGRACRVVENHALLDTLDDLTPKAKDEARKLLSSKHLFFREMCTYHNNLLPHAGGGAAGDAACLHHPPPAPMAAAASSAARHPYAQQQAAPSPPGMKDSSADVADDDDEDDDSDDAVSDNGDEDEDDYDEEEDGGHMYHSNNRPSRQHSGGGRSKRGRGENGAADEDLDDEAEGGGRGRRARRRTAARVAPDPSAMLRQVKSELASAAMDPQQARSWVRRRALEVEEQQIRLDSHACYLDGQRLKWERFRGDKERDMERARLQNDRLRMESRRLLLMLRRKDIELDMAEANSSSVDHQPGASPLAAHQQPIGSSPSPSTAGHPNPSPSTAGHPN >Sspon.08G0009250-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:39514882:39516924:1 gene:Sspon.08G0009250-1P transcript:Sspon.08G0009250-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAISMKLLSITIHLFLIVGFIPEFSIAASDDEQFVFTGFGGSNLTLDGAARITSTGLIELTNDTARIKGHAFYPSPLHFRQSPDGTVQSFSLSFVFGILSSFGDIRGHGFAFFISPSKDFTDAFSIQFLGLFNSTNNGSLRNHIFAVELDTIQNTEFGDIDNNHVGIDINSLNSLKSYTAGFYNDKNGTFTNLSLIGSGPIQTWVEYDAKKTQIDVTIAPLGLEKPVTPLLSLAFNLSTILTEEAYIGFSSSTGLSTGHHCILGLSFGMNSPAPTIDSTKLPKLPYLGPRPPSKLLEIILPVASALLVLVIGTTVVILIRRHFRYKEVREDWEVEYGPQRFTYKDLFHATKGFNSKHLIGVGGFGRVYKGVLPKSKSEVAVKMVPYNSKQGIQQFTAEVVSLGHLQHNNIVQLHGYCRRKGEFFLVYDYMVNGSLDKYLYDEEGRGTLDWGQRFKIIKDIASGLLYLHEEWDKVVVHRDVKPNNVLLDKNMNGRLGDFGLARLYDHGTDPHTTHVVGTIGYLAPELVNRGKATTLTDVFAFGVFILEVTCGKKPINEDTRGNQLMLVDWVIQNWHKDSLLDTIDIKLLGKYDIDEACLALKLGLLCSHPSPDARPSMRQVLQYLDGEMTLPELLPAHFSFHMLALLQNETRLNTSTVSLYPSPIMMMDFGSVSFSLDGR >Sspon.08G0030350-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8D:58524939:58525097:-1 gene:Sspon.08G0030350-1D transcript:Sspon.08G0030350-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMGASRRWKRRAGTDAAGSGREDGKSRGLRRRRANGGGGPPDLADGRSGGGR >Sspon.04G0002440-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:51870299:51875598:-1 gene:Sspon.04G0002440-1P transcript:Sspon.04G0002440-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSLWKQSGGLVCADIWPLSPLGSRSRRRARLTLYAFAAAFAAFTAYVAFASPPSSAGAGAGASWFGSVYASTAPYRSQISSLFSSILPADSQGPAPSPEPPRVTSGGSGGGGGQVTRDASTVQVGSAAGSNGSAAAGSSKQLGSGGAAPIGNAGAGSVPAAADLAGTGIGGGGAPTNSSASTDGAPNDAVDLNKRSHGGVSTGGGSGSPASSSALHGTAAKVGENSVDGSNKQSGSGSGALSNAAAGHGSPVRAEAKVASDVSTNSSAGTGSLGKVDLSTGSSNNLAGSGSGVSGSDSAAGNSSTVKPHAGDAIRAGSRGSSGNGTDTKVDLNKGSDAQPGNPNGGASHTSDVSSSLGKSKDTMAVISESSDAQPDSGSGDASHQSPGSSSLVKSNAGDGEVEGSKGNVSVVPTSNQTGSLALVGQKDVGSPRNNNTVVASPPVKNQEQTGSPVAPSGSSGADYNCSVEFFRSPFLVQEWEMQVSSGKKKETLRLDLVEQSSLKYKDADFLIFNTGHWWTHEKTALGKDYYQEGNHVYNELNVMDAFHKALLTWSKWIDANVNPRKTAVLFRGYSASHFSGGQWNSGGSCDKESKPITNEQYLSTYPPKMSILEDVIHKMKTPVVYLNITRMTDYRKDAHPSIYRKQNLTDEERRSPERYQDCSHWCLPGVPDSWNELHVNEF >Sspon.05G0013960-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:60818313:60819926:1 gene:Sspon.05G0013960-2P transcript:Sspon.05G0013960-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHDHKVLDALDAAKTQWYHFTAVVIAGMGFFTDAYDLFSISLVTKLLGRIYYFDPSSKTPGSLPPNVSAAVNSVAFCGTLAGQLFFGWLGDKMGRKKVYGMTLMLMVVCCLASGLSFGSTPKGVMATLCFFRFWLGFGIGGDYPLSATIMSEYANKRTRGAFIAAVFAMQGFGNLTGGIVAIVVSAAFKARFDAPAYKDDPAGSTVPQADYAWRIVLMFGAIPALLTYYWRMKMPETARYTALVAKNAKQATSDMARVLNVELADEQQKAKAEEALERRREEYGLFSRQFAKRHGLHLLGTTVCWFMLDIAFYSQNLFQKDIYAAVNWLPKADTMNALEEMFRISRAQTLVALCGTIPGYWFTVFFIDIIGRFAIQLGGFFFMTAFMLGLAIPYHHWTTPGHHVGFVVMYAFTFFFANFGPNSTTFVVPAEIFPARLRSTCHGISSAAGKSGAIVGSFGFLYAAQSTDPTKTDAGYPPGIGVRNSLFMLAGCNVIGFLFTFLCRSPRGSRWRSSPARTRTRRQHLPRVTSRPCRQI >Sspon.06G0018320-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:82140147:82143743:1 gene:Sspon.06G0018320-2B transcript:Sspon.06G0018320-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFHGEERPANFAFLWFNLCFQQNLVLWLHFTLLSGVGQVASIAQLAGVDAYGLISMIVEAAKTVKRNRETCQLLAHRARMIGDLLLQLERTQLMQHMETRNPVEHLEETLQHAYVLITSCRDSSYLYSCCMGGNQADRLREVQNEITFYLQLFPLVSFVDNTRTWERLLTRACPLCTTKNYRATDKGGLKELSYKCHVAKRTFLQAAPDSWSSLVAWGLSNKEEGEAAGREGGATGK >Sspon.02G0015080-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:40899796:40902045:-1 gene:Sspon.02G0015080-1A transcript:Sspon.02G0015080-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSAGMTRRDRKLRSMVARSLVSSADDDLLLMAPGWWWCGERCHHDRRGRVGHGGGWPQRHDRFVGPFRCRLGKPRLDGQTPTQLQRAGAVRELLLSALLQTFMASEAAVVHTGGRGPASVVAWICNCSNCSMRGNTHFIVPAAKFKLQAGADEFITTYTFGTHTAKHTFCKVCGITSFYTPRSNPDGVAVTVACVDPGTEVRWGELGELFQDKRHRWILQGQGGGGGVVGRSVRVLTLTKAFRHDHPAIEDHPWLCQQDQMKKEAMRNEAGSGGDTAKM >Sspon.01G0028570-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:96581997:96586574:-1 gene:Sspon.01G0028570-3D transcript:Sspon.01G0028570-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAAAAVRHPRLFPPSPGRAARHRRAPSTVRMALREDGPSVAIVGATGAVGQEFLRVITDRDFPYRSLRLLASERSAGSASPSRTASTPSRTSRPRGRSTASTSRCSAPAGASAGSTGRRPSPAAPSSSTTVLRSGWTPRCRSSSPRSTPRPWGTSGSGRGRLWQIRIAQPSSASWLPRRSIATLSKWCWCCGNGRTQAADSGGLGREGANMQHFQTAGCSGLQIEYAFNIFSHNAPVLENGYNEEEMKMVKETRKIWVSDKDDVAVGRIRQDLSLDDKKGLDIFVCGDQIRKGAALNAVQIAEMLLK >Sspon.07G0038600-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:82835609:82842748:-1 gene:Sspon.07G0038600-1D transcript:Sspon.07G0038600-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSFPPPGAVTICEINRDLGKRPANRSPHYPVAFLRSFDSIRLPLPLAVAADALSDARAKDAYGDVLGMVFAPIPFQPEALLPSREPAAPAADQAEPAETAPAPGLAATISEFFRRMVFPPLDVSLIVISESLTLNPNLLEEFDTQKVSWNPYKHCLAFVSGKNQVTVQGFEDSDAKEPCILTSDHQTDVKAVEWRPNSGKMIAVACKGGICLWSASYPGNVPFMKPGITSSSSSAFPRSSGGQWILVDVLRGSSAELGANWDPEGRVALLSFSNSTTLGSIHFSSKPPSLDAHLLPVELPEFSSLIFSRGIEKLAWDASGERLALSFKDGNEMYRGLIAVYDVRRSPLISLSLVVAPHWFRTECFNYCWCLGRLNVSMRLGEKQSMKCIPSSASEARRLPLPASFGSINLFM >Sspon.01G0008960-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:24977556:24988092:-1 gene:Sspon.01G0008960-1A transcript:Sspon.01G0008960-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSKKKRNKKKKGNQGKNAADVTSNAGEAAPQHHNHKSAPIDHHKGYDADDAMSSVGEGVPQYKNHLPNLQADHNGRNAYDTTSSIGDGIACYQNNEPMMTQENHKASNAVPADQRSVGLSESSVELDMQRLYEGKLSTMEGELEKLHSKVGFHAQNEVLLEGKLNSLQSGYDVLVKKEALLEERLSGLEETNKALLVQVKVSEEASNNSFEENQMLVKKLDELDSRLQALEAKAAPSEASMIEKGNKLIAERGLSSSVKINPDNSYGQIYDIPSNAYASIYPEETSIQLPEIGTSNSIVQGHVDVNEHRFDGPRTSEEIVPVPLDDIQIHEDDPRQLGAADETDEVPFSDAPIIGAPFRLISFVA >Sspon.01G0018910-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1A:70819827:70820072:-1 gene:Sspon.01G0018910-1A transcript:Sspon.01G0018910-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRILAKEVAAKGITANVVAPGPVNTELFFAGKDEAFLERVKKTIGRIAETGEIAPVVTFLASEASSWVNGQVIRVNGGVA >Sspon.04G0027170-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:57753372:57754331:-1 gene:Sspon.04G0027170-2C transcript:Sspon.04G0027170-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AGVQGPGAGLRRALQPVPREPPPRRHVPALRPRGQRPPHRPRPRRRRARGRPGAGLLLPDLRRALRLRVVRVPRLPLRRRVRGRLRAPLRRRAPAGAEPRAPPPRARAGGARPRLEPRPPGRLHLRLLGRHAQVLVPRPPGLRPHLPGPRVLRLRRRLVRTPPGRLRLRLRGPDRARLGRPGPRAHAHPARARPRGALARLGQVRPLHPRHRLRRQVHPRLGRARAAGARRAARRTRLRRQAGPFLAAPSGHAHVLLLRYDRLHVGLPQRGCSARQVQPPHRVRRRHRHERPRRRPARQHRMGRDGLHLAIWDRSKSHVA >Sspon.03G0005150-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:19900622:19905145:1 gene:Sspon.03G0005150-3C transcript:Sspon.03G0005150-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHKLLPRAAAAAAPLLLSPPRMPTLRLAGSPFVATCPGANPGRIPSPPWLRCDAGRRRGLCSAEAARRGGDTEEREKGGGGRAAPERKQRGRSDALVGSGELLAIPGVGPRNLRKLVDKGFDDVAQLKQFYRDKFFGKSNEKMVEFLQNSVGIIHKNHAESITLFIKESVDEELKGTDTSKLPKNKRLTFCVEGNISVGKTTFLQRIASETIELRDLVEIVPEPIAKWQDVGPEHFNILDAFYAEPQRYAYTFQNYGHAREGICVWNKTSSADGKKRFSDRMVFVRAVHEANWMNEMEISIYDSWFDPVVSSLPGLIPDGFIYLRASPDTCHKRMLVRKRSEEGGVTLDYLRGLHEKHESWLLPSKGGGSGVLSVSQLPVHMEGSLPADIRDRVFYLEGDHMHSSIQKVPALILDCEHDIDFNKDIEAKRQYARQVAEFFEFVKKKKESPTAETTDGDNKSINKQIVLPHRGGLWVPGSSPIPESALKSFDFRRTMSSFLST >Sspon.04G0000350-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:2406253:2407285:-1 gene:Sspon.04G0000350-1A transcript:Sspon.04G0000350-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSTTVVDAAIPMATTAPPAPVQGDDCYVMKLYMSGRYVIVEHRPCKYIAWCFLTCGGGELADRRKVVMGTATTVSATTAAMIQGSFLPAVELCGTQDNTADEQEPSCMLFMDDFHGRSTDGKAASGPASCQIAEA >Sspon.03G0008490-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3A:22850314:22850775:-1 gene:Sspon.03G0008490-1A transcript:Sspon.03G0008490-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding APFPWATERPAQHGTLQSLLRRGVTSVEGQARCKRCGGRNAIAYDLESKFREVREYIVANRHAMYDRAPKAWEFPALPDCDACGHEGAMWPEIAADKHEINWLFLFLGQMLGCCTLEQLKYFCMNNGRHRTGAKNRVLYYAYIEISNQLLSFD >Sspon.02G0020600-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:26978110:26979360:-1 gene:Sspon.02G0020600-3C transcript:Sspon.02G0020600-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVAAAEGSGRSRRDAEAELNLPPGFRFHPTDEELVVYYLCRKVARQQLPVPIIAEVDLYKFDPWDLPEKALFGRKEWYFFTPRDRKYPNGSRPNRAAGRGYWKATGADKPIAPKGSGRVAGIKKALVFYSGKAPRGVKTDWIMHEYRLADADRAPSKKGSQKLDEWVLCRLYNKKNNWEKVKVEKQEPEAAAHHHRQSAAEDSMSDSFQTHDSDIDNASGGMQQSCFGNMVQGQAMAMRNGIGTVTVKEDNDWFTDLNLDDLQASYNMAHMVNPINPVQTVNLAAGQGYGYLQSMSSPSMKMWQTILPPF >Sspon.04G0030620-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:3267290:3269983:-1 gene:Sspon.04G0030620-2P transcript:Sspon.04G0030620-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATPAHAAAAGGEAAPSHGRIGVRPGPAPSRLGFLAKPSSRWAAISLPPGPRRAAPAAAAARERVAEADGPVWVELEPIASEQQLDRVLADAQQLDIPIVLLWMASWCRKCIYLKPKLEKLAAEYHPRIRFYCIDVNCVPQKLVNSAGVTLWSDSRKQAEVIGGHKSWLVIDDVRRMIEQEE >Sspon.05G0011420-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:34686526:34695557:1 gene:Sspon.05G0011420-4D transcript:Sspon.05G0011420-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding HKRQTEEDIAVCECQYDILDPESPCGDRCLNLLTNTECTPGYCRCGVYCKNQEEECVEAPSYIHIDSNNFSYRKHKRQTEEDIAVCECQYDILDPESPCGDRCLNLLTNTECTPGYCRCGVYCKNQRFQKCQYARTRLVRTEGRGWGLVADENIMAGQFVIEYCGEVIPWKEAKRRAQAYETQGLKDAYIIYLNADESIDATRKGNLARFINHSCQPNCETRKWNVLGEVRVGIFAKQNIPFGTELSYDYNFEWYGGVMVRCLCGAASCSGFLGAKSRGFQEATYLWEDDDDRFSVENIPLYDSADDEPTSINKDILLSSHGMVTEYSNISTVQSTENPGNAGSNEFAPIIVDKVTASSNGLAPMNVDPLTASSNEFTAMTIEPLNAMPMVAHLAENGSTEYGVQDTHDASQNSVPKAANHVNQTGSQNNSNHSALVPVKPAPKRRGRKPKRVLHKQLDIPDICDRLTSSVACEEILYCEEVKNQAVSEIDALYDEIRPAVEEHERDSQDSVSTSLAEKWIEASCCKYKAEFDLYAAIIKNIASTPLRSKDDVAPREQNGLKYLENGSADHWD >Sspon.08G0002090-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:3513522:3515026:1 gene:Sspon.08G0002090-2P transcript:Sspon.08G0002090-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIRLHVLASAARHAVSSSVLVCRLIAFHLTPLLLHLSYFLAVDLHGSLALVLLKPSKPGYHPRYVDVFFMSTSAVTVTGLATVEMEDLSSAQLVVLTLLMLLGSEMFVSLLGLVLESSRKRRQQQRDHQDHDGRVMAAAVCDDLGPDLEEANGPAAAPSADSFGDGGDRKESCRTVRSLALVVSAYMAAILVVGSVLVFAYVATVPTARDVLARKRINAALFSVSTTVSSFTNGGLLPTNESMAVFAANRGLLLLLAAQILAGSTLLPAGGRRHERASEGVVLVHRARRTRRGARAHGHGEERRGGGVRSPAAVRPRAASLAATVVVVAAAAAALLCCLNWNSAVFAGLTAGEKLTNAVFMAVNVRQAGENSVDCSLVAPAVLVLFLAMMSVTLLRAKLKHTTRQHVVRSLTDFACQPTTFRCIPASATLLSVHDGGGERKRSGTGEPERKDGAEKKKRRLSLNRMLLSPLACNAAAVMLACITERRSITGDPLNFS >Sspon.06G0029040-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6C:14374774:14375128:-1 gene:Sspon.06G0029040-1C transcript:Sspon.06G0029040-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIVFYRSDYDSFASGTIISFGSLDYVTDQPGDLYLQDPDSTMQEEELHSLYIFLTRLEDSMVDGAPTLARHMDLYTLGLFIKHGCEHILGRAIEFLLRSPLWRSNATQEGLPHDTSS >Sspon.02G0006720-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:20169889:20173303:-1 gene:Sspon.02G0006720-1A transcript:Sspon.02G0006720-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAPVVPPVAAPPKPTADTILGKQYEDVRSVYSLGKELGRGQFGVTYLCTEIASGRQYACKSISKRKLTSKADREDIRREIQIMQHLSGQPNIVEFRGAYEDKSNVHVVMELCAENFLLATKEENAMLKATDFGLSVFIEEGKMYRDIVGSAYYVAPEVLRRSYGKEIDVWSAGVILYILLSGVPPFWAETEKGIFDAILHEEIDFESQPWPSISESAKDLVRKMLTRDPKKRLTSAQVLQHPWLREGGDASDKPIDSAVLSRMKQFRAMNKLKKMALKVIASNLNEEEIKGLKQMFMNMDTDNSGTITYEELKAGLAKLGSKLSEAEVKQLMEAADVDGNGSIDYVEFITATMHRHKLERDEHLFKAFQYFDKDNSGFITRDELESALIEHEMGDTSTIKEIISEVDTDNDGRINYEEFCAMMRGGMQQPMRLK >Sspon.01G0052830-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:27638684:27640369:-1 gene:Sspon.01G0052830-1T transcript:Sspon.01G0052830-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSGISDVPAARAAVAANHLNEARDGVARLRAFLLHLDDQRAPWAQLEIDGVLTKLSSAMSALDVSAGSDGARPQQSGSSCGNKRKQSSSKSRSQRPSDKKITATLEDGHVWRKYGQKEIQDSPYPRSYYRCTHKSDQGCSAKRQVQRCETDTSKYVVTYYGEHTCRDPSTIPLIDHAAGALAELDHANNLISFGPSSTNNSANAAAAASNGAGAASSSQYLQAMGGSAAADQLSTSWCTSDDVFSSSAGSFMQVDELIGAVVGSAGVVTSAAAPDRGVLGGVASGGRRGTASFPPSPNSLGFVVGSLGSIGGGEDDDDMFRLDP >Sspon.06G0024220-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:52483988:52485511:1 gene:Sspon.06G0024220-1B transcript:Sspon.06G0024220-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASHHRCTSVASELEGTLLISGSLFPYFFLVALEAGGPLRALLLLAAYPPAALLGAAFSSDNLPLLAMTFLSTAGLGVGDVTAVARATLPRFFLADLRGSAFRALARHATGGDERYVVTRLPRLVAEPFVREYLGADVRVVGTELRVVAGKRFSGTVVAYPGVVGGGDRSLGALVAVLGRDRTVDVGLYCSGGASTGGDRQPAFLQVCQERRVVSAPEKAPATPLPRSEYPRPLVFHDGRLVRRPDPLACVAIFLWLPLGVLLSVTRLLLGFLPHGAGLLLAAATGFRIRGTLGGAAEPAGPGRGTLFACNHQTLMDPVILSTVLRRKVTAVTYSLSSFSELIAPITTVRLTRDRGRDSRIMQGELTRGDLVVCPEGTTCREPYLLRFSPLFAEIVGEVTPTAVRAGGAMFHGSTVRGHKWLDAVFFLMNPAPWYEIRILTPVATCSGSSDGGTSSLDVANGVQRVIGDALGFECTGLTRRDKYRMIAGHDGVDARSSPPKPPPAS >Sspon.02G0015980-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:43906102:43910805:-1 gene:Sspon.02G0015980-1A transcript:Sspon.02G0015980-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLLPPPALSTNPPFLSALRPARLPCVRCTRTTTATASVSSSPPASASSPSTSSPVASDGAGGGKSKKKRRPLKPSFEEQALRRWSARAPSQRASVPWEQPQQQSPLPPSLPHRAGRESGGAGGQNRSGGGSSATLRSIVDYFAGGSSEDDGVRAEEGACDTTAVRGEAAREQDDGSHFRPSYLLGSQPVSAPWIHGEESTSDKWVPGPVAEGEEGVDMSDVSDDELGLADRDKEEMDSGEELLTGSSEEELYDDYATPTVNSSYGVDLSVDRDSYGGRFDRSMRQGSVNTIVKTLRDSMEESDPNAAIELSNSEDFVQKLGPVLLPWEREEEDDEAFSGGRAGRRSNTELAERTIPEPELRRLRDTALRMKERIKVGPGGVTQDVVESIHRKWKVDEVVKMRFEGPPSLNMKRTHDLLEDRTGGVVIWRSGRSVVLYRGMNYNLQCVQSYAKFIEIDSGKEVGDANSAVSSRGGHNLQDSRADGAKPLRSTDNFSLESSETSDIDNFLDQLGPRYRDWSGRGPIPVDADLLPGVVHGYKPPFRVLPYKIKSTLRDKEMTALRRLARQTAPHFALGRNREHQGLAAAMVKLWEKSAIAKIAIKRGIPNTCNDRMAEEIKKLTGGVLLSRNKEYIVFYRGNDFIAPKVRQVLVEKQEQAITQQDEEELARLKASASIITIPKDIKGPLVAGTLAETTEARSRWGKPLNDKQREEEMKHLSLLKHTSLLKNLKRKLILAKTKVAKAERALAKVQEFLSPAELPTDLETVTDEERFLFRRIGLKMRAFLMLGRREVFDGTVQNMHLHWKHRELVKIIVRGKSFAQVKHIAISLEAESEGVLISLDKTTKGYAIIFYRGKNYRQPQIMKPRNLLTRRQALARSIELQRREALKHHISSLQSKIWKLQSQLVQTKDASEKQDLKLLQTVEDDLLSSSDDDVEDDGEEAYLQTYSSADEEDAEDDTNEYI >Sspon.02G0029790-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2A:108305191:108305697:-1 gene:Sspon.02G0029790-1A transcript:Sspon.02G0029790-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAKPAGPGGDMAPLLRLRACASCAYLLLLLALLPCSDVWLAAAQSQSQSQPTAEADHEAQLLLHIKSARGDPPVLDGWKNTLAVGGHCSWPYVGCDAAGRVVNITIASASVKGPFPDAVGGLLALVHLDVSNNSISGAFPTTLYRCARSIAVLCSTTRTSAAAWRQI >Sspon.08G0004420-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:12601363:12604842:-1 gene:Sspon.08G0004420-1A transcript:Sspon.08G0004420-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGTWRKAYGALKDSTKVGLANFNSEYKVMTTMPPLRCPIDRCPSIHPPRRAPPPGLPSHGVRLSSSLPSLRHIMAIVKAFNFLNSLQDLDIAIVKATNHVECPPKERHFRRILFATSGHRPRADVAYSICTLARRLSKTKNWIVALKTLIVIHRLLREGDGTFKEDFLTYSYRGNILQIPQFKDDSSPLAWDCSAWVRTYALYLDERVECFRVLKYDVELDRLLKLPQASGKVALEVKSCGIGIVNCLLHVNAMLNLGKFQAHSRTRTLPLGELLDQLPALQKLLLRLIYCQPEGAACTNYLVQYALALVLKESFKIYCSINDGIINLVDMYFEMPKYDAIKALEIYKRAGQQAEKLSNFYDHCKHLELARTFQFPTLRQAFTHGLLITTMQPPPSFLVTMEEYIREAPRADIEENHEENQPSDNEEAAPQEAEKPVEDEKQESAEPEAEPQPAAGPLEEPVEPQPRATTGDLLVFPLCFKKNLHFSSIPASSKPHVLLIYELQNLDEEVNPMIADLEESNALALAIVAPGNENKMSTSRDLFALDKAGWELALVTAPSNHTNKQVDNQLSLRRRGKETTNSSVTYTGSLAANPFDPNDPFAMSNSFAPPSNVQLAMMAEQQQYYQAQQQQYYQVQQQQQMVMLPPQTYQQQSQYSAPSSQPGLSNPFGDPFSSLVTMANPPKQSNSNL >Sspon.04G0031860-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:25014875:25016686:1 gene:Sspon.04G0031860-2D transcript:Sspon.04G0031860-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MINLFKIKGQKKADAANTIGKHAAKKQSPGELRLHKDIAELNLPKTTKITFPNGKDDLMNFEVTIKPDEGYYMGGKFVFTFQVPPAYPHEPPKVKCKTKVYHPNIDLEGNVCLNILREDWKPVLSINTIVYGLNLLFSQPNDEDPLNHDAAAVLRDDPK >Sspon.05G0001060-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:2690540:2692006:1 gene:Sspon.05G0001060-1P transcript:Sspon.05G0001060-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALASTSATAPAAVLKTPFLGARRALANAAAAKPAPRRALVVVAAVAPKKSWIPAFKSDAEFINPPWLDGSLPGDFGFDPLGLGKDPAFLKWYREAELIHGRWAMAAVLGIFVGQAWSGIPWFEAGADPGAIAPFSFGSLLGTQLLLMGWVESKRWVDFFNPDSQSVEWATPWSRTAENFANFTGEQGYPGGKFFDPLGLAGTVKDGVYIPDVDKLERLKLAEIKHARLAMLAMLIFYFEAGQGKTPLGALGL >Sspon.03G0018920-1P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3A:58774993:58775970:-1 gene:Sspon.03G0018920-1P transcript:Sspon.03G0018920-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQMIVPMRYAYTDIVAITGHFRDKLGQGGYGSVYKGVLLPGEIHVAVKMLGNSNCNGEEFISEVATIGNIHHFNVVRLIGFCSEETSRALIYEFMPRGSLDKYIFSSERTFSWDKLNEIALGIARGINYLHQGCDMQIVHFDIKPHNILLDSNFVPKVADFGLAKLFPRDDSFVPLSAMRGTIGYIAPEMVSRSFGVISTKSDVYSFGMLLLEMTGGRRNADPNAGSSSQAYYPSLVYSQLSQEDMGGISGVDMHELEKKLCIIGLWCIQMKPQDRPTMSEVIEMLEAGVDGIQMPPRPFFCDDEVDGSYSISSELNAIEEEDE >Sspon.01G0016710-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1A:57672993:57674858:1 gene:Sspon.01G0016710-1A transcript:Sspon.01G0016710-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSGRGQALFTAECSHKFHFNCISTNVQHGNKICPICRAVWKELPFQGHQLAASAAHGSGRLNPSIWPHMSMLSVNPLEDELPVFVIPEPAVFSDDEQIYLQPETAVGGGDYEIPPLLEITTYTAFPAVQESVAQEQFAILVHLKAPHVPAWVRTRAPLDLVTVLDVSGSMSGPKLALLKRAMRFVIENLDPSDRLSVVAFSSSACRLFPLRRMTAFGRQQSLQAVDSLVADGDTNIAEGLRKAARVVEDRQARNPVCSIILLSDGVDSHNLPPRDGSAPDYAPLVPRSILPGSEHHVPIHAFGFGMDHDSRAMHAVAQMSSGTFSFIHMVGSIQDAFAQCIGGLLSVVAQETRLGVECADQGVLLTSIMSGSYASGVDGDGRGGFVHVGRLYADEERDFLVTVRVPPSRVSTALIRPSCTYRDAVTAEMVRVGGDPVMLLRPEFPVSSGMSLQVEREWHRVHATEDMAAAQAAAEDGDYTRAASILGARRLLLESCASLSWDQQTQALVAELREMQERVLNPQLYEGSGRAYILSGLSSHSWQRATARGDSTELTGLVHRYQTPSMVDMLNRSQALQPEVPEALSRSSSISSSRSPAPTPAPASGSQRPRRGLRSFRLRS >Sspon.02G0007500-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:21551850:21554408:1 gene:Sspon.02G0007500-2B transcript:Sspon.02G0007500-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGLLLLWAWAAAVWLAVSAVAHAHPGGGGVGEQPLSRIAVERVVLAVNDAAHVKASPLVLGHKGENSEWVDVDFFHPNPSGDDWIGVFSPANFSDAICESENTGPPVLCTAPIKYQFANFKNDGYNNTGKGYLKLQLINQREDFSFALFSGGLSKPKLIAVSNKVAFANPKAPVYPRLAQGKSWNEMTVTWTSGYDITEAVPFVEWGEKGGRWLLAPAGTLTFDRNSMCGSPARTVGWRHPGYIHTSYLKDLWPDAPYTYRLGHRLMNGTRIWSKSYSFKASPYPGQNSLQRVVIFGDMGKAEADGSNEFNDFQPGSLNTTYQIIRDLENIDMVVHIGDICYANGYLSQWDQFTAQIEPIASTVPYMIGSGNHERDWPGTGSFYGNRDSGGECGVPAQTVFYTPAENRAKFWYATDYGMFRFCIANTEEDWTGDRAVQVIEQCLSSVDRQKQPWLIFL >Sspon.03G0034740-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:72777112:72781127:1 gene:Sspon.03G0034740-2C transcript:Sspon.03G0034740-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AMAAPGGGLDQDRDRGRGQDAAIKLFGRSIPVLHSSVVAATASQVSTNLANDVRSNDVMPCLPNMSLIVKASPFPSKNNMKNDLQAISSQHGKMEADSKSEEAKTESGGSGQEKVLKKSDKILPCPRCHSTETKFCYFNNYNVNQPRHFCRNCKRYWTAGGTMRNVPVGSGRRRNKDPSHHHHVTKPCDHIITASGDVSDATQRQSLAVKPSVLQASGKQNETACKSVSPVLNIKEQNNADIISLVSGDNKEEKSCASSAAVSDSSENWMPENTVKKEEDNTSAYGNGVKEPDPNTQSHHAGPVSVFSRNPAAVMVTNQCSADGIHGPENGTVSPLSLPPPPMVATPGICAPAVPFPLVPAFVSCIPGWPSAVWGAPWPGSSGPTLLSLPPNSLAFSGSNSRVLGKHTRVANLQEEHKAEKKLWVPKALRIDNPEEAAKSSIWASLGIKPDERIIFKSFQSKDLKNSETKTPESLQANPAAFSRSQTFQERT >Sspon.01G0022590-3D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:79927656:79935595:-1 gene:Sspon.01G0022590-3D transcript:Sspon.01G0022590-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMLLEYGGRSCDEDNLEVSDGDGSDEMDDGGEAWTRVIPGPKIYPLTREAYWARDRGRTNPQEPMTIESRDPHRYRRHGSVASGIVLRSPSAPSTTYSCPSLGADGNTNCGHGRDDYMERDEFSFGNRGGVVDWDAEEGDEEAAAVAGGEANAVAALMAMPSMSVMTRLILIMVWCKLIRNPNTYSSLIGVIWSLVCFRWNFEMPAIVLQSISILSDAGLGMTMFSLGRAPIPALVFVIISSYCCVRVECPRPPPRADPASAPPPPPATPIPAGEMAAWCSAESTKPVFVGIYGAVLGGFAVSALFFLLSSFSSLSAPTLPLPAAAIAGANLSAPTLTQPETMYNRPIWKPPPRRARMPSPRAFRLTRDMVAARARDGVIVVTFGNYAFLDFILTWVRHLTDLGVDSLLVGAMDTKLLRELYFRGVPVFDMGSRMATEDAGWGSPTFHRMGREKVLLINALLPFGYELLMCDTDMVWLKNPLPYLARYPDADLLTSSDQVIPTVTDDSLENWREVTGAFNIGIFHWRPTEPAKRLAKDWKDLVTSDDKLWDQNAFNDLVRKKFGQPVQGGDLVYSYDGKLKLGVLPARGFLSFKPNIPKSLLLDGAHTVESHFELVNYQLKQIRTALAVASLLKRTLVMPPLWCRLDRMWFGHPGILEGTMTRQPFLCPMDHVFEVHVMLKDLPKEEFGPHIDFREYSFLENPSLPKELLDLLSPYKDVKIIQFSSMVDAFGGFADAAVEKKFRNRVKRSVGLWCCVEFREIGHIYYDMYWDEKPGWKPHPPETREQDHPPWS >Sspon.02G0034080-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:12679928:12690688:-1 gene:Sspon.02G0034080-2C transcript:Sspon.02G0034080-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PEX10 [Source:Projected from Arabidopsis thaliana (AT2G26350) UniProtKB/TrEMBL;Acc:A0A178VWJ2] MRASPPAGDAGPSSGAGAGSSTPARPRRFPGAAQPEIMRAAEKDDSYAAHVTEACRDAFRHLFGTRVAVAYQNEIKLLGQSLYYLLTTGSGQQTLGEEYCDISQVATLHGLPPTPARRILFILYQTTVPYLAERISSRIVARSIVLNDSQFDDHPEVIILVVTLHNPSQIVAFLQQLKCFCSVKMLPYAQDVIQLAIRTNLMFFYFEGLYYHLPKRAAGIRYVFIGKPMNQRPRYQILGIFLLIQLCILGAERLRRSNISSIASSINQISSGSYPSSTDGNIISDICSGKAADIASHSEASSGKSKCTLCLSTRQNPTATTCGHVFCWICIMEWCNEKPECPLCRTPITHSTRNLHAEMVILKGEKSTRPLQEVKDQTKLHT >Sspon.06G0027870-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:89597874:89600566:1 gene:Sspon.06G0027870-1B transcript:Sspon.06G0027870-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MELQQEQESAGEHQEGGEEQLAQDLLHCFQRILHDDPLIDEVGFLHPTQFCSLQVQDDDSNSQHTRYLWCRDHKLAISAEILPRLYRAARDAYSNARDAPLSPTHLMRHTKALLILCPDLLTAWNSRKMVLSAEYDFTKLKDELQLCALILSYSPKNESTWSHRRWVIKQVAEQHQDMSEIIENESILVKEIAEKSKMNYRAWRHRCWLIPYMTRKQVLDELKKSTRWSELHVADNCCFHYRRSLLVALLESHLGNGEDSLSWESETYLLWKEELRWDEMLIRRYQGRESLWNHRRFLSQWWIQRLLTVEETCPSTMSQVDLFVTQEIYLLSECLNGPEDEFDESRVQAELSALYILWISKATTTPFAHPGIEREAGREAAFHFHGEAAGRGGKSLQAGEEAVVDESAGLG >Sspon.07G0023120-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:9358804:9361028:1 gene:Sspon.07G0023120-2C transcript:Sspon.07G0023120-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding PMTVHCLQQCGSNNTDDDRVSQAFKRCCSTSANCGASDASPSTSYVENLCRSGNLVDAVGVLRQLHDEQVHVGLHTFNMLLQHTAEANSFTLCAKVFRYLLLSKLAPDSTSYMNVAKALQKLDDCELILKFVSELLEITHHRDPTVMNRIIFATAQYGHIDKSLVIFEELKKYQTSLDVVTFNTVLDMLGKAGRVDQMLREVKLMEELGHFPDIVTYNTLINCLRRLGRLDLCKSFAGEMVERGITPDLRTYTALIDSFGRSGHITDALEMFQKMKKSHQPSVYVYRALISNLKKAGQLELAQKLTEDMNSSSSELIGPEDFKPKNKGIRMHVDVLDLQYL >Sspon.06G0008460-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:44618118:44624361:-1 gene:Sspon.06G0008460-1A transcript:Sspon.06G0008460-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatidylinositol 3-kinase VPS34 [Source:Projected from Arabidopsis thaliana (AT1G60490) UniProtKB/Swiss-Prot;Acc:P42339] MSEKKALTKFVRSVDWSDNQEAKQAVELIGKWEMIDVADALELLSPDFESDEVRGYAVSVLERADDEELQCYLLQLVQALRFERSDKSRLALFLVNRALSNIEIASFLRWYILVELHSHAYARRYYGTYDMLENSMMKLVGREDGDEDGFRLWQSLTRQTDLTAQLCSIMKDVRNVRGSAQKKIEKLRQLLSGVFSELTNFDEPIRSPLAPTLLLTGVVPQESSIFKSALNPLRLTFKTANGVTSKIIYKKGDDLRQDQLVIQTVSLMDRLLKLENLDLHLTPYRVLATGQDEGMLEFIPSSSLAQILSEHRSITSYLQKFHPDEDGPFGITAQCLETFIKSCAGYSVITYILGVGDRHLDNLLLTDDGRLFHVDFAFILGRDPKPFPPPMKLCKEMVEAMGGAESQYYTRFKSYCCEAYNILRKSSSLILNLFKLMERSGIPDISADESGGLKLQEKFRLDLDDEEAIHFFQDLINESVSALFPQMVETIHRWAQYWR >Sspon.06G0013060-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6A:68265671:68266197:1 gene:Sspon.06G0013060-1A transcript:Sspon.06G0013060-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRWNQRARYLRGGRRRVRRRQITGAQIPPRSPKHGTGVQEGLGKPDGEASSARKDWGGFGLCLLCLPQLRLTVGPRPGLLGLLRLHDSPRTASHVAANANGRPCPIAPQFPRRRQPRQLHVPTGGTPPPRVRRLLLPTRRCGDIGGPTPHRPAAKP >Sspon.05G0013940-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:50107343:50109304:-1 gene:Sspon.05G0013940-1A transcript:Sspon.05G0013940-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWQRLLLLAAAVALTVTAAAAGATFQFEEATLGSIQDGFKNGSLTSTALVRYYLDQIARLNPQLHAVIEVNPEALRDAARADAERASGDDYHRASSSSGGLLHGVPVLVKDLIATRDRLNTTAGSFALLGSVARRDAGVVARLRRAGAILLGKSNLPEWGNMRSARGLHGWSARGGQAMNPYVLEMDPCEASTGSAIAAAANMAAVTLGTETIASILCPASVNAVVGIKPTVGLTSRSGVIPFTLRQDTVGPLCRTVADAVHVLDAIVGYDALDAEATMAASQYIPKGGYKQFLKIDGLCGKRIGIPNGFFHFETQTVMTTVYKQHIETMRRYGAIVIENLDIANLSESRGNTKSGFLVAAPAEFKINLNNYLSNLSDTPVRSLAEIIAFNAIHPVEEKLIEYDQQLLLLSESTTGIGPLQRAAIHRMEELSANGVEKLMKEHQLDAIFTPDSSVATVLAYNGLPGIEVPAGYDENGVPFGVTFGGLRGYEPRLIEMAYAFEQATKVRRPPTI >Sspon.01G0036870-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:14571476:14577696:1 gene:Sspon.01G0036870-2C transcript:Sspon.01G0036870-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGSSVILQYVPTAQTSHREWLAAKTLPTDYLPRATSRGAVRSCSRCAKAMAKGGAATVLAVLAAAVLLCLCDPAAAALPRLEHPAKNDGSLGLLVVGDWGRKGACNQSRVAEQMGRVGEKLDIDFVVSTGDNFYDTGLTGVDDDAFEQSFTDIYTAKSLQKPWYLVLGNHDYKGDALAQFSPALRKIDSRFICMRSFIVNTVKPGKKCRFLKILRMRANSDMILSEIADFFFIDTTPFQLKYWTHPKDNHYDWRGVAPREKYIANLRKDLDEALKKSTGKWKIAVGHHTMRSVSHHGDTEELLQLLLPILKINDVDFYINGHDHCLEHISSRDSPIQYFTSGGGSRAWRGFFQPNEDKLKFFYDGQGFMSLQLYQDQAEFTFYDADGNILYQYSQWSLRETYLRPSYVAKE >Sspon.03G0014470-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:43265800:43272806:-1 gene:Sspon.03G0014470-4D transcript:Sspon.03G0014470-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMTRAPMGPMEGAAVDEVVRRLVEGGRGGRQVQLSEAEIRQLCVEGKRVLLSQPNLLRIHAPVKICGDIHGQFVDLLRLFDLGGYPPASTYVFLGDYVDRGKQSLETICLLLAYKIRYPEKIFLLRGNHEDAKINRVYGFYDECKRRFNVRLWKIFSDCFNCLPIAALIDDKILCMHGGLSPELTSLDQIKDIERPAEIPDYGLLCDLLWSDPSPDGEGWGESDRGVSCTFGADKLVEFLEKNDLDLICRAHQVVEDGYEFFAQRRLVTIFSAPNYCGEFDNAGALLSIDESLMCSFQILKPTDMGPPHARKQIPNKPARG >Sspon.06G0020790-3D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6D:11683432:11683680:1 gene:Sspon.06G0020790-3D transcript:Sspon.06G0020790-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RLGLGAKATPGVKRAAPTDPVERRLLGKVNAQKRKALEDENRTAKEVNEASDDDEPESRTSAFNKKKPLPSFTSTPLGKKAK >Sspon.03G0021590-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:65899879:65903017:-1 gene:Sspon.03G0021590-1A transcript:Sspon.03G0021590-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVAAEKFQLGTVGALSLSVVSSVSIVICNKALMSSLGFNFGMRSNLMTKLAIIPCTVILETLFFRKKFSRNIKLSLSVLLVGVGVATVTDLQLNTVGSVLSLLAIITTCIAQIFFIVLSCLISVSVNFSTFLVIGKTSPVTYQVLGHLKTCLVLTFGYVLLHDPFSWRNILGILIAVIGMVLYSYFCTRETQQKPAEVSPQVIQAKEGESNPLISDSLSAAENGGSATDDEPLKVPMWSSKYSKA >Sspon.04G0020860-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4C:79346321:79350115:-1 gene:Sspon.04G0020860-2C transcript:Sspon.04G0020860-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding METRDTTAPLPYSYTPLPAADAASAEVTGTGHRGGGRSRRSSLCAAALVLSAALLLAVAALAGVGRRVAVVVPRPTTAVGETASVGVVPGAGTPQATSTRSRSRGPDAGVSEKTSGAWSGVVDDGGRLRTDAGGNAFPWSNAMLQWQRTGFHFQPQKNWMNDPNGPVYYKGWYHLFYQYNPDGAIWGNKIAWGHAVSRDLIHWRHLPLAMLPDQWYDTNGVWTGSATTLPDGRLAMLYTGSTNASVQVQCLAVPADDADPLLTNWTKYEGNPVLYPPPGIGPKDFRDPTTAWFDPSDNTWRIVIGSKDDDHAGIAVVYRTSDFVHFELLPDLLHRVAGTGMWECIDFYPVATRGKASGNGVDMSDALGKNGAVVGDVVHVMKASMDDDRHDYYALGRYDAAANAWTPLDAEKDVGTGLRYDWGKFYASKTFYDPAKRRRVLWGWVGETDSERADVSKGWASLQGIPRTVLLDTKTGSNLLQWPVEEVETLRTNSTDLSGITIDYGSAFPLNLRRATQLDIEAEFQLDRRAVMSLNEADVGYNCSTSGGAAARGALGPFGLLVLADKHLHEQTAVYFYVAKGLDGSLTTHFCQDESRSSSANDIVKRVVGSAVPVLEDETTLSLRVLVDHSIVESFAQGGRSTATSRVYPTKAIYANAGVFLFNNATAARVTAKKLVVHEMDSSYNHDYMVTDI >Sspon.06G0020910-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6B:11605591:11607182:1 gene:Sspon.06G0020910-1B transcript:Sspon.06G0020910-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVDGKRSCFGSSGNGKRVSTPPAGGAGPGPGPSETRRQGRGHGCRSCTALPLLLAAALPTLRLTHFSSMDAPTTSSPFPGTSFVILSVSIVGILATSLLLLAYYLFLTRCGLLFFWRPGGTHDDDDVAAGHHRYRHVVVTVHEPAPPRRSGLEEAAIRRIPTFRYRHGHLVLAVAEAKQAGAECAVCLADFRDGERLRVLPPCLHAFHIDCIDAWLQSAATCPLCRAAVSDPAAIQCYHHLDVPVPVPRAATDDIVIDVIGTTISPSAAADEPAAAPSRETAHRNSSCRMGGGDGCFLPMRRSLSMDSSTDKRFYLALQSILRAEFRRLTGCHGRRSGRQSGEQQCRRRRHWPTIVEEAAPVLLLVQPEQGIP >Sspon.03G0008330-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:29583550:29585625:1 gene:Sspon.03G0008330-2B transcript:Sspon.03G0008330-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding WPPLPSSRSQQNHHGYKLMIQPENCKLQLSNPTSNMSSMEEPLLPLVQRNQKYISKEDRRRSCDVPSRCATSFSPNSYLKANFSTLNHLPPTDGSTNMVSSPNIQRVHSSPSIFTSSKEAHCVDELDGQSHAAATQYTPSIARQAIASVILYISIGVLVYMTNVDGFKGKSTFNLCTIGYGDIVPCTNFTKVFTCLFLLVGVRFIDLMLNGLLTNVLDKQRTVLLSTMDDNKLNKVFDTYMIDARKKRSRGRMKVILALAVVAGTISICTIIVHEVEGLNWIDSFYLSVISVTTVGYGDKSFATTAGRLTATVCLLVSTLAVAKAFLFLTDLRMDKRNRRTTKWILQKKMDNEPLVGDLDNDPAVSKSDFVINKLKEMGKIDEKDIKMISDQFDQIEFGKCERIPLADIIGKL >Sspon.01G0053720-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1C:41209758:41210309:-1 gene:Sspon.01G0053720-1C transcript:Sspon.01G0053720-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTYYPPCKEAEKVVGLSPHTDGTGLTLLLQANDVQGLQIRMDGKWVSVNALAGAFIVNVGDILEILSNGRYRSIEHRAVVHPSKERMSAAVFHQPCKDAIVGPLPELVEKDGEARYSSIGYMDFIKRFFATKLDGRDHLESLKS >Sspon.05G0006590-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:14412460:14439956:-1 gene:Sspon.05G0006590-2B transcript:Sspon.05G0006590-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEGMGAAAVKKLKGLAFSDVLFHQLDITDVSSIARLANFLNTRFGKLDILVNNAAVGRVVYSQDPRLEWLWRNCRETYDAAKEGLQTNYYGTKHVIEALLPLLKASDDGRIVNVSSDFGLLITLTIPIRPLIGQSPIGPVVVSRLNRSSSSIIQHFRNEDLKQEVNDVSKLTEERLDELLDQFLRDFKAGTAEARGWPVAFAAYKVSKAAVNAYSRILAAKQPALRVNCAHPGYVKSDITLHSGLLTPEEGASNVVKQRGHRRSDRQGRDEGCRGCPQAHRAGAPRCHLDVTDALSISRLADFLKSRFGRLDILVNNAAISAVELVDDPSFGPQPTVEKLCGMDGHERVEWMWKNCRQTYDAAKDGLQTNYYGVKHITEALLPLLLSSSDGRIVNVTSGFGLFRVTHHRNTSQNSDFFRSDELKQELNDVDNLDEERLDGLLDAFIKDFEGGSVETRGWPAEFSAYKVAKAAMNAYSRVLARRHPALRVNCVDPGYVRTDMARNSGLLTPEEAGGTVVAVALLPVGGPTGAFFDGGAGKEISVAVVTGGNKGIGLEVCRQLAGKGVTVVLTARDEARGAAAVENLRDLGLSDVIFHQLDITDAPSIARLAEFLKTRFGKLDILVNNAAIGGIEYVHDQDCGSLSGMDMDQRLGWLWQNCRETYDDAKTGLRTNYYGTKQVIQALLPLLQASSDGRIVNVSSHFGQLRLFRNEELKRELNDIDSLTPERLDGLLDTFLKDFEAGAVESNGWPMYFSAYKVAKAAMNAYSRILARRHPELRINCAHPGYVKTDMTIHSGLLTPEEGGSRVAMVALLPEGGPTGAFFEDFAESSTDMTIHTGPLTPEEGAGNLVKVTLLPKGGPTGAYFALGEEAPFVEITCSTVVYFGRVAVVTGGNKGIGLEVCRQLASNGITVVLTARDEKRGAAAVEELTDEGHSDVIFHQLEVTDAQSIASLSGFLKARFGKLDILVSSHLSSLVILKVNNAAIGGVQSLPIENPAEVTYMLRYVFSDFSARRPQSELIYICWCMEQIKGMDAFQMAAWMWKQSRPTSDAAKAGIQTNYYGMKKVTEALLPLLQASADGRVVNVSSNFGLLRHIRNEGLKQELDDIENLTEARLDELLSAFLRDFEAGALDAHEWPTEFSAYKVAKVALNSYSRLLARRHPEVRVNCAHPGYVKTDMTRQTGLLTPAEGAANLVKVALLPEGGPTGAFFALGQEAPFAPIVR >Sspon.01G0027700-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:91473105:91473701:-1 gene:Sspon.01G0027700-2D transcript:Sspon.01G0027700-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGKLWPIMHNTIVFSLVLTQVIAIGVFTIKHSPVAGGFTILLLVGTVLFNEYCRHRFSRIFDAYSAQDVIELDRDDEQSGRMQEIHQHLLDAYSQTPPGEGSSRGGSQVPIELIMEDPAQEASESSQELCDTVQEVSEAHDHDHDHIVEDKAHSV >Sspon.08G0006340-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:16831958:16834472:1 gene:Sspon.08G0006340-3D transcript:Sspon.08G0006340-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSCRSLSTWVRRLVACMGGCFGCCAKPTPITAVDEPSKRLRIQGRSVRKASLSEDFWSTSAHEMEKSGIQSQRSMSSISTVAQSSDQHAAGSSSNPNEFVNQGLMLWNQTRQQWVGSKKRHSRSQQPREPKLSWNTTYESLLASNKPFSQPIPLGKL >Sspon.06G0016350-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:88517472:88522176:-1 gene:Sspon.06G0016350-1A transcript:Sspon.06G0016350-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADKIKTVVVLVQENRSFDHMLGWMKSLNPEIDGVTGAEVNYSVAGDATSTPVHFGNASQYVDPDPGHSFMAIYEQVYGDPFTWGSTSPATKPGVTLPPMSGFAQQAEKEKPGTPHAVMNGFRPDAVPVYRELVREFAVCDRWFASVPTSTQPNRMFVHSATSHGLVGNDKKLLREGMPQRTIFDALHDAGHSFGIYYQFPPAVLLYRNMRQLKYIGKFHEYDLHFKRHCREGKLPNYVVIEQRYLDWKLLPGNDDHPSHDVAHGQRLVKEVYEALRSSPQWNEILFIITYDEHGGFFDHVPTPVEGVPSPDGIVSAAPINFTFDRLGVRVPAMFISPWIEPGTVIHRPSGPEPTSQYEHSSIPATVKKIFNLKEFLTKRDAWAGTFDNVLTRTTPRTDCPETLPEPVRLREAEAEEDQHREVSEFQAELVQLGAALNGDHATEAYETDKLVKGMTVAEASEYCQSAFARFREECQRCHESGMDECHVPKLQPPTATASATAPSVSKLCGCLPCFGA >Sspon.06G0007000-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6A:26355499:26355729:1 gene:Sspon.06G0007000-1A transcript:Sspon.06G0007000-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAATAAPAEIVTAVTATTSEMEATATAITTAAAAGPPPAIPGERPRFGLRFSLPFVSCASAVVWGGIVASRDRA >Sspon.06G0009040-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:48531142:48531546:-1 gene:Sspon.06G0009040-1A transcript:Sspon.06G0009040-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRSLMIILSTKTMTMQCFNKSMALLFFLGICSPRSIIAQPSSVPVVPPPKFNPNFWIISTMQRVESSSNTSIGQGDTTFLPVLHNSGFSYGTYFGFYTMDSHSFILVIALSGPQGPIVWSANPDNPVSPSAILT >Sspon.06G0012890-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:55737304:55745498:-1 gene:Sspon.06G0012890-3C transcript:Sspon.06G0012890-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At1g19720 [Source:Projected from Arabidopsis thaliana (AT1G19720) UniProtKB/Swiss-Prot;Acc:Q9FXH1] PIMAAFVQAPPLSLPFQEATRSSSSQPPRPSTRPRPPVHRPTTAQPPPPGPFHSSYASSEPLIVSETKLITVHSCAGRLGDARKVFEGMACRDLLAWSAMIGAYAIRGLYREVVALAVTMVREGVVPDRFLITRILQACAYTEDLELGVAMHSLAIRSGFMAETARDVPVGNSVLAMYVKCGEVGRARVVFEKMGQRDLGTWNSMIFGCCQSNEWEEARRLLDDMRRDGMEPGVVTWNTLISSYARSGELDVAMEVLEQMEESGVAPDVVTWTSLVSGFVHSDRGGEALQCFMRMRLAGVEPNGMTIASAISACASLRLLSQGMELHCHAIKVGSVNNVLSGNSLVDMYAKCGEIVAAKTIFNEIPEKDIFSWNSMVAGYAQAGYCGKAYELFCKMESLGVRRNVITWNIMISGYIRNGDDERAFELFQMMESYGVKRDTASWNALIAGSVHNGHSDRALRIFRQMQSLLVRPDYITILSIIPAFANLVAFSKVREIHACIFHNNLETDGKIANALINAYSKSGDLAGACAIFDRHSSRNIISWNCIILAHLLHGFPSEALDRFCEMKQEGVRPDHTTLTAVIKAYGLQGKISEAKQIFYNMTHDYNITPDLDHYAAIVDLLGRSGSLQEAYEFIDNMPLIPNLAVWEALLTAATIHGNARLANMAARELSSLDPSDPRIQRLVFNYWDLTGKSVDVPLMTVYNKGRELEGVDSCSVEIKNKVYLFSTGDNLALESTVAELKLIMIQIRMSLLNICNGTDAEEEKEELSGIHCEKLAIAFAISNSPPFRSIRIIKTLKMCSRCHIFAKLVSEKYERQILIKDSNCLHKFKDGKCSCEDDRKIIEALEDMSSKLRGLNRWSLCPPGRELGLGQRPPSEHCQRLSRRCEPVVLRGLPHEEPDGVYELSSGAELGGVGLLAAVVGCVAQQLHPHTGYHLQHVDGGQAPPVAEQHPGEPRSVFIGWPVVDVVSTFLADAGNVLT >Sspon.01G0004210-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:10691226:10693418:-1 gene:Sspon.01G0004210-2C transcript:Sspon.01G0004210-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQFGHQMPDYDSPSTQSTSESHQEVSGMSEGSLNEHNDHSGNLDGYSKSDENKMMSALSLGNPETGYAHPKPDRTQSFAISYPYADPYYGGAVAAYGSPAIMHPQLVGMVSSSRVPLPIEPAAEEPIYVNAKQYHAILRRRQLRAKLEAENKLVKSRKPYLHESRHLHAMKRARGTGGRFLNTKQQPESPGSGVGGSSDAQRVPTNGGLFTKHDHSLPPGDRHHYHARGGGA >Sspon.08G0012760-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:54473727:54474426:-1 gene:Sspon.08G0012760-1A transcript:Sspon.08G0012760-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GPVLVLLPVPGAAVLRPPPAPRRLPPPRLLLRGVLDQRAAHHPRLPPRRPLRRLRHLFRRPPQPPRPRRRLRLRRLT >Sspon.08G0017280-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:1535428:1538874:1 gene:Sspon.08G0017280-2D transcript:Sspon.08G0017280-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVALLANAKDSSCQQDGSPQGCKRRRYSGPDLPEDEIARDFNSKVDQILKKHSGIGLKALKIDLCGYNADTYSYLNNWLEIAITPELEELTLHLLPNKAKYSFPCFLLSNGRRNSIQHLKLAWCTFRNTVGLDCLKKLTSLYLSNVSITGNELGCLLSNSTALELLDLSECYKIVRLKIPCLLQRLSCLRVSTCERLKMIENKAPNISSFHFSGLDGEFSLGESSL >Sspon.08G0022380-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:51624204:51626866:1 gene:Sspon.08G0022380-2D transcript:Sspon.08G0022380-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polyadenylate-binding protein-interacting protein 7 [Source:Projected from Arabidopsis thaliana (AT2G26280) UniProtKB/Swiss-Prot;Acc:O64843] MSSLNKVVSNSGDVCSVLPSKVTSLNPNAAEFVPSFVKPSLGSTTVPDVTKSDFRGSSGKTILDRSESSKSNNSDDEAHQFWRKQLPDDIIPDFTSFEKVEQGPEELSLAGLSLNAPPFYGTTSSRFSREHELSSPATKGLELEHTNLLYEDNYLGSTNWEQNYIGDLHIANGNQDLHYDSETGVSFSDSFASEYAASSDGLVAPLEYLASQFPGFSAESLAELYYANGCDFNHTIEILTQLEMQVDATPNHTLNLAHSAPNFSTGDFPALPTVEDQNGFNKGNVDVLGMFNGRGSSAMPTGAGDFVSAVRKLASQNSGQWNKYVLRIKGEARDFARVRNACFEQARQAYLVGNKALAKELSMKGQAYNVQMKAAHEKAREAIYRQRNPVSSQCGGDRLIDLHGLHVNEAIHILKGELTALKSAARAARERMQVMVCVGTGHHTKGSRTARLPIAVEQFLLDEGLQYTQPQAGLLRVMVY >Sspon.01G0035810-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:14636865:14644679:1 gene:Sspon.01G0035810-1B transcript:Sspon.01G0035810-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQDQSAAVGSADSGAAAFDFATGNPPSSAVTGGSAVAPDGGAQAADASAYQAEHTALNGTAGDMANYQTGAAENGEAVTNEMGEPVPEPSYEEAVLSAEEARLWSVVTANSLDFNAWTTLIEETEKNAENNILKMRKVYDSFLAEFPLCFGYWKKYADHEARLDGVSKVIEVYERAVLAVTYSVDIWYNYCQFAISTYDDPDIIRRLFERGLAYVGTDYRSNILWDEYIKYEESLEAWSHLAVIYTRVLEHPIQQLDRLKELASKHSFSEILTAEEASVYVVMSETSAQAPDGEAHPDDVDKPGQPEISSSTDAENLAKYVSMREEMYKKAKEYESKIIGFELAIRRPYFHVKPLDNPELENWHNYLDFIEKEEDINKKQPEIHLFSARFKELNGDISGARAEYQHLYSDLCPGFLEAIVKHSNMEHRLGEKELSCTVYEKAIAAEKGKEQSQLLPTLLIQYSRFLFLAVRDLEKAREILNVLHDLLNVTKPVLEAVIHLESIFPCEKRIEFLDSLVEKFVTPESSQGEVASLVDKEEISSIFLEFLDLFGDAKSIKKALTRHTTLFSCKRSILPSKKRKADDAVVSDRDKFSKTGATQSATGTDPNASNPPVWPATSEASGQQWGASYAQQAAYPAYGTYDYSHQMPQPAPQAAAYGAYPPTYSAQAYTQQSYAQPAAIPAAPVPTVVPAATPASAYPQQPAAAQPYYGTTYY >Sspon.06G0013480-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:58471729:58472705:1 gene:Sspon.06G0013480-3C transcript:Sspon.06G0013480-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAC domain-containing protein 30 [Source:Projected from Arabidopsis thaliana (AT1G71930) UniProtKB/Swiss-Prot;Acc:Q9C8W9] MDEQQQQQQAPCVPPGFRFHPTEEELVGYYLARKVTSQKIDLDIIREVDLYRIEPWDLQERCGYYGSGGAGGQDQEEPTEYYFFSYKDRKYPSGTRTNRATAAGFWKATGRDKPVLSSTTTSRSSAGSISVVIGMRKTLVFYRGRAPNGRKTDWIIHEYRLQSNEHAPTQEEGWVVCRAFQKPMPNQQHIRHACYAAAGGGYLPGSYGSTVPATYYYDGPVPAPRLLMSGGAAAAPPPAAHDRGGLAAESKLQVQLLADMPPLQSPSVDGVVQHRSYNADHVAAAIAAESS >Sspon.05G0027570-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:54572241:54575332:-1 gene:Sspon.05G0027570-1B transcript:Sspon.05G0027570-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAVLKLVFAVSPVMSGPNVPSWDEYSDPPGVAVGALGSSSSNLEQARGYTSSPLAALQPKMSAPGSRSLHTSRPLSSPVANRPLSPHLPLKKPQFSATFSISHRIFGVALGVAVISVPLATKFSLMFGLDGIEIDLCFVAVIIIMKRISYGPSKSTKELDQLSMLLPKYDIL >Sspon.01G0017190-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:59009230:59014170:-1 gene:Sspon.01G0017190-1T transcript:Sspon.01G0017190-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAWAAWLLVLMCFVAWTPRQILVAAATDANDVTALNTLFTSMNSPGQLQGWKVSGGDPCGESWQGITCSGSSVTAIKLPNLGLSGNLAYNMNTMDSLVELDMSQNNLGGGQQIPYNLPNKKLERLNLAGNQFSGAVPYSISTMPKLKYLNLNHNQLSGDITDIFSNLPSLTTVDLSSNSLTGNLPQSFTSLSSLKTLYLQNNQLIGSINVLANLPLDDLNVANNRFTGWIPNELKKINSLQTDGNSWSTGPAPPPPPFTAPPQARNRRKSPGQRSNGSNNSSSGGSSGIGAGAIAGIIISVLLVGVVVAFFLIKRNQRKGAMPEHYEQRQPFNSFPSNEVKNMKPIEEATTVEVESLPSPAAVNLKPPPKIERNQSFDDDDFANKPVAKKSNAAPVKATVYSVADLQMATDSFNMDNLIGEGTFGRVYRAQFSDGKVLAVKKLNSTALPRPSSDDFYELVSNISKLHHPNLSELVGYCMEHGQHLLIYDFHRNGSLHDMLHLSDDYNKPLSWNSRVKIALGSARALEYLHEICSPSIIHKNFKSSNILLDTELNPHISDAGHSSFVPDAEFQASDQGSGYSAPEVDMSGQYTLKSDVYSFGVVMLELLTGRKPFDSSRPRSEQSLVRWATPQLHDIDALDQMVDPALKGLYPAKSLSRFADVVALCVQPEPEFRPPMSEVVQALVRLVQRANMTRRMIDGEEASRRPDAQEQEFV >Sspon.03G0044290-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:57337808:57339794:-1 gene:Sspon.03G0044290-1T transcript:Sspon.03G0044290-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CAT5 [Source:Projected from Arabidopsis thaliana (AT2G34960) UniProtKB/TrEMBL;Acc:A0A178VPZ9] MAPAEMSSTAEYDQGAGKAAATAPERSYWRWHRDDFFPEPSFASWGAYRRRWARPPPASGTACGALGALRRRSEHEMRRCLTWWDLTWFGFGSVIGAGIFVLTGQEAHDHAGPAIVLSYVASGLSAMLSVFCYTEFAVEIPVAGGSFAYLRVELGDAAAFIAANLILESVIGTAAVARSWTSYLASLINKPASALRIHAPGLAEGYDELDPIAVVVIAVTATLAMLTAKGTSRVNWVASAVHVVVIAFVIVAGFIHANPANLRPFMPHGVPGVFRAAAIVYFAYGGFDNIATMAEETKNPSRDIPLGLLGSMSVITAIYCVMALVLSMMQPYTAIDRSAAYSVAFASVGMHWAQYVVALGALKGMTTVLLVGALGQARYTTHIARSHIIPPVFALVHPRTGTPVHATALIAVCSACIALFSSLDVLSSLLSVSTLFIFMMMATALLVRRYYVRGVTTRTHALRFVALLLLIIASSIAIAAYWGTSPERWQGYVVLVPAWAAGTLGIQLLVPAARAPKVWGVPLVPWLPSLSIATNLFLMGSLGKDAFIRFGVCTAVMLVYYVLVGLHATYDVAHGACGGEEDADGEKKVVAAADVEKADAAGGVISIDPTALSSPVRLCHGARRLTDTCCLNRTRCCTITNHARIPGDEESSPLG >Sspon.02G0013140-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:28797462:28803080:-1 gene:Sspon.02G0013140-2D transcript:Sspon.02G0013140-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYWPTPEETKKVNFSSGRYFSGNPKETASRLPFDAGFEVPAKHLLPVPRPDPEVVAMLTSGLTASIGLEKAGQMTSGKVVLVTAAAGGTGQFAVQLAKLAGNKVVATCGGESKAELLASLGVDRVINYRNERVKDVLKKEFPRGVDIIYESVGGEMFDLCLNALAVHGHLIVIGMISQYQGEDGWKPKNYTGLCEKILAKSQTVAGFFLVQYAHLWQDHLNKLFDLYASGKLKVSLDPKKFLGVASVPDAVEYLHSGKSVGKVVVCIDPSYSQIVAKL >Sspon.06G0030860-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:55336580:55337817:1 gene:Sspon.06G0030860-1C transcript:Sspon.06G0030860-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDILHHIYSLLPLCDAARAAYSSHAFLRFWRCHPNLTLNWHILGSNANASQENFMCTIDNILRNHSGINIKILNLQLYAIYDAYYWLQVAIKPGIEELTIELCYRVNMKHNVPYTLLTDGVQNSIRYLQLSCCAFHPTSELGPFRNLKSLLLCSVHILDNELECFLSNSHALENLDKILTRSKARNLSYFILKGESVKVSLGETLQMKNLCMGRSNLICYARVKLSSSMLNLKTLSISSHYERVNTPMLRTKFLFLKWLSIYLRLTSCPSYDYFSLVSFLDASPSLKTLDVAEESMQHESRLLVVEADA >Sspon.07G0013680-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:49364401:49365968:1 gene:Sspon.07G0013680-1A transcript:Sspon.07G0013680-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRHSCCYKQKLRKGLWSPEEDEKLMNHITKHGHGCWSSVPKLAGLQRCGKSCRLRWINYLRPDLKRGAFSQDEEDLIIELHAVLGNRWSQIAAQLPGRTDNEIKNLWNSCIKKKLRQKGIDPNTHKPLTEADRSGVAPTISTERTSGSSDVNPSSAGALGNLSHLLSETAQSSMLMPVYDKNRTETPNLARPKVPPKELFLGQLTAGHEGPSTCRSSGQTLYFPFQQPLGYNSESGSGDGANMNSLWFNQSDFNCSTISTVMPPVSPSALSTSMGLNLPPDNPRHGGTGIGSTAVDSFYWDGTNPSSSSSTGSRGSNSMGFEPQSTSSILENSVFPWTDIGQEKDTRAHLVEELKWPDLLHGTFAETTTAMQNQSQTLYDDVIKAESQFSIEGICASWFQNQQPQQQLQAAPDMYDKDLQRMQLSFENI >Sspon.01G0055020-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1C:72427249:72427879:1 gene:Sspon.01G0055020-1C transcript:Sspon.01G0055020-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRTLIRWLILSSSRWFETYCRDLTGGGSPSKSEEWSSCHWLACARSVLSALCHPAPPASGGYGFCRRNIGGSLLREYDILIYPIRSSFAIKDASHSLAAHAYQCRAPCGLILWAEPSPMAWPM >Sspon.06G0024480-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:54809139:54810359:-1 gene:Sspon.06G0024480-2C transcript:Sspon.06G0024480-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGELACTYAALILSDDGIAITVRGGAAPVAAAAPAGGAAAAAAPAAEEKKEEVKEESDDDMGFSLFD >Sspon.05G0011780-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:34188226:34188573:-1 gene:Sspon.05G0011780-1A transcript:Sspon.05G0011780-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHSNKKNSRGLDLKLNLSLPATGGSSRRAMADEESSPSSCLSSENEHGLQWSNSPETTSMVLAACPRCFIYVMLPQDDPRCPQCKSPVLLDFLQDNNNNSKNNSNNSSRRSGRG >Sspon.02G0028800-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:92948729:92952446:1 gene:Sspon.02G0028800-1P transcript:Sspon.02G0028800-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKKIREYDSKRLLREHLKRLAGIDLQILSAQVTQSTDFTELANQEPWLSSMKLVVKPDMLFGKRGKSGLVALNLDLAQVRQFVKERLGVEVEMGGCKAPITTFIVEPFVPHDQEYYLSIVSERLGSTISFSECGGIEIEENWDKVKTIFLPTEKQMTPDACAPLIATLPLEAWNSLLLVRTKIGAFIRGVFSVFQDLDFSFLEMNPFTLVNGEPYPLDMRGELDDTAAFKNFNKWGNIDFPLPFGRVLSPAESFIHELDEKTSASLKFTVLNPKGRIWTMVAGGGASVIYADTVGDLGYASELGNYAEYSGAPKEEEVLQYARVVLDCATADPDGRKRALLIGGGIANFTDVAATFNGIIQALREKESKLKAARMNIYVRRGGPNYQTGLAKMRTLGAELGVPIEVYGPEATMTGICKEAIDCIMAA >Sspon.03G0033320-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:48248125:48257633:-1 gene:Sspon.03G0033320-1B transcript:Sspon.03G0033320-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEGGSQGIKKVHFRDSSSQHPIVTYKRRRQQKPQTQQQLPQSPPPQQQQPEPQPQLQPLPLQQVEPQPQVEPEPKAGDVPAQQSKDTFWKSRDMGWKYGIMIDENRQHWKCMYCGLIRYGGGVSRLKRHLAGDLDVKMCPKVPADVVEEIREHLRKKRERRRKRAAQNGGDNVKTKSPSGDADVEKDLLPSDSVLPDGTGTNVLEEVTNQTSSVHHDTTSRFPMLRARDIGWEHAVDLDGNKRRWQCKFCSLCRSGGVTTLKAHLIDDSCPNVPKEISKKVSNFIEEKRATRLLLNNYVFNVDEDFNTQVQGEGTVEYVNEQQPLRNATYVQILDKGAINEIAAGSKQCGAESSGQPVERCDQPEEQCTMDYGRMDQVTSNKNQILDKNTENSKNTKMLKPCRKSEFNTRKHIIIVDQRHWRCRYCGMDGYGKKFWLRHHLAGAFRQPKCPNVPRQVFAKARRHALTKRRLKKNKSEQQIPSSPHILGQSGEERQNNDPLCGNQSQLSINNEPREAHNYPAVLRDSAWEHSLIYEKENGNWKCKWCSIEGDHGLTRLKWHLVGWQDHPQCPNIPMDVAEKMKDQMMSKEEQKARSGLFDGNGYCDVLCSSKSSQLDQDHLTATIHDRCSSQAFDQANSELKGCNMLSSTSLLSQESSNPQVHHEDPQVCHEQERKEVATTSEPGCEQGQGMQWQSQNKPMMEEGPHGNGLCGDTNKLEEQRSDFGISDCWRYVLDGLMHLPDVQEDAGIGTCIRDALLYGCAEFGTVADKVEMDHDKTVNANTAKCQNILMDVLRSENFALLCSVLCRTVHQDGERTRYFDFGVIDSRMKNGNYGHEPELFVHDLKLLWEDLKVAGQDIIHLANNLSSLTEDSYEKLARSCGGQTVSATNVEKKQELVAFSNVIGACYLATFHALRLLIHPFQLEGGVVKTAALALRNQLKETWS >Sspon.04G0029090-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:76700434:76701255:1 gene:Sspon.04G0029090-2D transcript:Sspon.04G0029090-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPPPAPRLDTVLSFSDAPASPDATLAFSDAGSGSDTDAEDADFEFAFAPPLSPRAGAYPALAPADDLFANGRILPAYPLFDRHLLVADHDDQASSPDHHPQQQASTAPPSADTYCAWAPRSAPGSPSRDDSPAAAAFPKSSSTGEARRFWSLRDLVAGSGGRSHSDGKEKFVFLQSCDGKEKHAANANAKAAAPLQKQGKKKKGGNGKAAGATEMDMATAHRLFYGKPGAAATALAGDRTKQQQSYLPYRPGIVGFFATARALGRSHHPY >Sspon.02G0000250-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:1278168:1279250:-1 gene:Sspon.02G0000250-1A transcript:Sspon.02G0000250-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LQIINWMQNRFNGKHEKRRPEAAAISSARESCRQDHAREDKIPNGDWPPQGLLSIGTLGDDPPPAAGDGGGGPPRASQADVLDFTIEEVKKLQDALNKLLRRAKSKSSSSRGSGATDEDRASQLPLDRFLNCPSSLEVDRRISLRHAAGDGGENGEFSPDTQIILSKARDLLVNSNGTAIKKKSFKFLLKKMFVCHGGFAPAPSLKDPVESRMEKLFRTTLQKKMNARPSNAAVSSRKYYLDDKPSGRRMIRDGHHDQEDDEKGSDRIKWDKTDTDCKNIYAFKFQPHYAH >Sspon.02G0057230-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:50568658:50573198:1 gene:Sspon.02G0057230-1D transcript:Sspon.02G0057230-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Albino or Glassy Yellow 1 [Source:Projected from Arabidopsis thaliana (AT4G01800) UniProtKB/TrEMBL;Acc:F4JG57] MAPHASAATAAATPALRFPHTLSATGLSSSPLAGGCSGCRARFRPSRRGPGMQGRREGGSHVARVGGLLGSVFGGGGRDDGEATRKKCADTVARINSMEPEVSALSDADLRARTAALQDRARSGESLDSLLPEAFAVVREASKRVLGLRPFDVQLIGGMVLHKGEIAEMKTGEGKTLVAILPAYLNALSGKGVHVVTVNDYLARRDCEWVGQVPRFLGLQVGLIQQNMTPEQRRENYSCDITYTVDELVLRNFNYCVIDEVDSILIDEARTPLIISGLAEKPSDRYYKAAKIADAFERDIHYTVDEKQRNVLLTEEGYADAEEILDINDLYDPREQWASYVLNAIKAKELFLKDVNYIVRSKEVLIVDEFTGRVMPGRRWSDGLHQAIEAKEGVTIQNETITLASISYQNFFLQASAELFCLELLLLKNYWNPPTKSFL >Sspon.02G0032910-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:5799796:5801823:-1 gene:Sspon.02G0032910-2D transcript:Sspon.02G0032910-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGKDAAGGGKGRLFTVGLVTAWYSSNIGVLLLNKYLLSNYGFKYPIFLTMCHMSACSLFSYAAIAWLRIVPMQLPRSRLQLAKIAALSLVFCASVVSGNISLRYLPVSFNQAVGATTPFFTAVFAYLMTVKRESFLTYLALVPVVTGVIIASGGEPSFNLFGFIMCVGATAARALKTVLQGILMSSDGEKINSMNLLMYMAPIAVLFLVPATIFMEDNVVAITIQLAKKDINIIWYLLFNSSLAYFVNLTNFLVTKHTSALTLQVLGNAKGAVAVVISILIFRNPVSITGMLGYTLTVIGVLLYSEAKKRTKQ >Sspon.06G0001020-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6A:3475267:3476640:1 gene:Sspon.06G0001020-1A transcript:Sspon.06G0001020-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLGALRKLCAAFDAVALTVIAAGLSRPPSGRHPFSSAHAHSPHPADFPTIAACRAAVSASKGDRRGRRPGGGQPSPAAAPAEEKAEEEERPVLVRIKHERDPERLYQLFRANAHNRLLVENRFAFEDAVARLAGARRNDLVEEILEQHKALPQGRREGFVVRIIGLYGKAGMPGHALRTFQEMGMYGCPRTAKSLNATMKVLLRARMFDEALWLFEEGPEKYGVELDDISCNMVVKMYCDMGDLRAAYRVMQGMEGAGVLPDVVTYTTLMAAFYKCGRREVGDGLWNLMRLRGCEPTLATYNVRIQFLINRGRGWQANELVRKMYAAGIKPDEITYNLIIKGFFMMGEHEMAKTVFGAMHGRGCKPNSKVYQTMVHYLCERRDFDLAFRFCKDSMEKNWFPSVDTINQLLKGLMAISKDRNAREIMKLVTGRKPSYSDGEMKVFKDILSHRKTGR >Sspon.03G0018280-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3A:56046571:56047230:1 gene:Sspon.03G0018280-1A transcript:Sspon.03G0018280-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DVRIVRQKTQSPWDRGRENRRIISNVVVLTLRAAARQTKHTTPPSAASSSMELERIPAASAMDWSIDLDRSLRSRQPGRVDRPNPPSPSISSIPSLTSALVRPQQRASAPSTPPAHASASSALAPSPRARRLRVRRPSRGGARLRGDHAPPPRHEFRTADGAMRARIVKTLLNTATGPGALAGARVAEPDQLLRRVKVVYDTGNKRDRALALRVFGVSR >Sspon.02G0007190-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:21473550:21476041:1 gene:Sspon.02G0007190-1A transcript:Sspon.02G0007190-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDAAGNILASLGRVHLVDLMASEGLPSDNYKMCVSTLMQSLAQYSAVIIQLSPADGALLRSGLDSARFFFHQRGYNSNEAVHSDDSREWCKTSGYYVDPQMCLEMYDYRPGVTATDPSGEMELPPSGLPDIFSVLGKVSRDILDAISFSLNLRSCAFTEILDNIPLRSQEVSSSVLSACCHSRPSFEGAHQHSIASQDNDHLLMFSEQEQQIDKTLLTLVKPDRSGLYVKDLHGRWILVDGDIGPHDVVVYPGLALYQETAGYVNPAVHKTEVDNIHGCMFGRCSLMFKLMPRSVARLSGSEMRAAGHGVDAQFQVPIPVNDFMQTDHFADQLFPKTEPSLHTEQDSVSFNSVMNKKKGNARTKPLPPSKRLRLEAQRVLKERVQDIADKKGIKLRFCNLKECESHIQSLDSPCENTRTEIGWPQGVPFVHPHDLPNKAKLGFLEAYEPGWTASQQEMEL >Sspon.01G0058210-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:23801734:23805850:1 gene:Sspon.01G0058210-1P transcript:Sspon.01G0058210-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSESRGSIAFFTNYRPPVALDIFCCPVPPSSRQVELHLTDGLSYNYYCRPIPPAALKTIIKCLRLVPEAVIDDDVDSGRLTGLVFVSEREHNLETLHVGLRFIGNNEVKVFSLADIYGSELFSGARLGDNGCIAGGYEVDGSTIDHYLVYVSTNQSKNAAAPGTLFTKPTLEPVKLSGSPHQVRKVQDIWGVFRYNLSTGETVRVTPEAFDAVTPAAIGETRVAVATIRQKSEFTDVRKEAQYRHIEIFDMSAQEQPLQITQNTRAKADHFNPFVMDGGKYIGYHRCKSDLLKHGDDVPRHFHKVQSPHEDVGVFRVSGVFPTFSKDGSKLAFVDNEFKAVCPDSIFSPVWNQKKDILYVCMGPSFKANETLEIHAIPDVSRTKFVFRSTRDGGDKNYKNLYIMEDAEFGEVGGGKVTRLTEGSWIDTHCQWSPDGNLIVFASNRDKPADALERDHGLDPGYFAVYLMNVIFLSVVRVIRSGYDLSRHVNHPVFSPDGRSIAVTSDLVAVSADPSLPIFLHSVRPDGDIFSVDIDPDDMAKNKDLDKFVCVTHSRYENSTPAWTVFSTHDPHAQWNLLVMEDEYTPACPYAHPDGGESWHMTGQICIPKRHC >Sspon.01G0018480-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:74182134:74183236:-1 gene:Sspon.01G0018480-1P transcript:Sspon.01G0018480-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATATLLSSSFAMPAAARRAYSSSSSTSLGFATSQLAGLSLSAGAATPTAVALLPKRQQLQPIVARRVCPFTDKKTNRANKVSFSNHKTKKQQFVNLQYKKLWWEAGKRFVKLRLSTKALKTIEKHGLDAVARKAGIDLNKK >Sspon.03G0038020-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3C:2864518:2865112:1 gene:Sspon.03G0038020-1C transcript:Sspon.03G0038020-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RRRRTRPSWWRSQVPPPTSKVPTLLSFLAKINLDVTDLAALSSGGHTVGIAHCSSFDNRLFPTQDPTLNKFFAGQLYGTCPTNTTVNTTVNDIRTPNTFDNKYYVDLLNREGLFTSDQDLLTNATTRPLVTKFAVDQQAFFEQFVYSYVKMGQINVLTGSQGQVRANCSARNGDGGGEMPWSSVVETVVDAAGSLVL >Sspon.03G0022970-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:92380877:92382023:1 gene:Sspon.03G0022970-2B transcript:Sspon.03G0022970-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLYVNVELVDANTRSDIERAVSFREKPRTNMAPRPTMRDSRKSLEAATYDDVLDLKQLLDLAEKEGRVAVYGNKRNSDTSSVSSISSSSSSSSTISISSASTSGGASPEPASTSKRRFLPWMRRSRDFDKRSTESLSQELHMKCMDDDPSGSWETREFTSRDAETKLRTPVFFASIDQRDDSAGGESACTALVASSRRRSTPTTRRCPPGRNWTRSSGTARRVEEAL >Sspon.06G0016820-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:76020057:76022662:-1 gene:Sspon.06G0016820-3C transcript:Sspon.06G0016820-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPDAVKSTLSNLAFGNVIAAAARDLQKEMVAKDKAQAAPASHDEVDLDELLDDPELEKLHAERIAALKKEAEKREVLKRQGHGEYREITEGDFLGEVTGSEKVICHFYHREFYRCKIMDKHLKALAPVYVETKFVKLDAENAPFFVAKLAIKTLPCVLLFKKGIAVDRLVGFQDLGSKDDFSTRALENILKMKGIIDEKKDDDDDDEESESKNRRVRSSTAQDSDSDST >Sspon.08G0010330-3D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8D:43524878:43526881:-1 gene:Sspon.08G0010330-3D transcript:Sspon.08G0010330-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMGRRDGGGCRAIPARELNDAGALGEGDVGDAEDGEDAAHGGGLLRLGLSPSVVACCCLLAPPPAPSPVSLPTPKAPRRAASGCEEVSRVTDGEMGAVQLSRATDVWGTDVCGGHRHWVKVGTFSVGLGAGWSESWTAPRTEILPSPRDEVDSAGPGRACAAAGLPLVGFELATARFRNVFFLLAAVLLRCVHSLWSEGTAGVGALPRCDDKNQRNTMTTHAARASPACVTVSG >Sspon.03G0026110-1P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3B:1940762:1945212:1 gene:Sspon.03G0026110-1P transcript:Sspon.03G0026110-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQAMSGAGAGGPGQFGDTTFTKVFVGGLAWETHKEGMRAYFQQFGDILEAVVITDKNTGRSKGYGFVTFREPEAALRACIDPYPVIDGRRANCNLAYLGVNKSKTALLPPYLQPYAHVYGGGSNMRATMKSFQTAGAGGASLMSFVPAADHGIQQGIPTYSLYAGYSPYFSDYGYPLSYYQPYAGLQQEAQLQQYAILGGGGAAAATAPAGLTMAPAANPSTLYPYFQYAPVSAVAAGGYSMVQYPQLYQYAAAAAVGATTGATTLAAVAGGLQQYGGAVAFTPNSIAQAGMTMSLTSPTLAAPTAQQYQYGRLVPSPLAAAAPDQKPSLA >Sspon.05G0008030-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:19028148:19030485:-1 gene:Sspon.05G0008030-2B transcript:Sspon.05G0008030-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRDPLVVGHVVGDILDPFIKTASLKVLYNNKELTNGSELKPSQVANEPRVEISGREMRNLYTLTSRNQQILAMVGNEIVSYENPKPTAGIHRFVFVLFCQSVQQTVYAPGWRQNFNTRDFSALYNLGPPVAAVFFNCQREN >Sspon.04G0014250-1P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4B:55581547:55582236:1 gene:Sspon.04G0014250-1P transcript:Sspon.04G0014250-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCCLRVYGLAIANMVCVGGTGFLVYALVKLARTPHSTGGIVVVSIFLVFWLGVNASIYPAFCGSLFPWSALGRCLEPPLSAVLWLLCLPGRCASAARRRLVGGGGGNDDEGGALPQFIAQSHQGYSIGIGVLPREPPAPAGSRARVAATAIAADIPAYEQPDGELPDGDGSPDCAVCLGAVAKGEMVKRLPVCLHKFHQECIDLWLRNHSTCPVCRCNVFAPMPDQLV >Sspon.02G0023040-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2B:76849102:76849515:1 gene:Sspon.02G0023040-2B transcript:Sspon.02G0023040-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLASLAAEKWWLLPAFLAMYAAIYCVGQLVVFQRWAPRQRLEGASCLILLFHGTPAMLAAAGAILALPVVARSFAAPNARLQDHILDYSIAYFTMDLLHYLVFLPEDILIIVHHLATLFVLVTCRYLICDGAYAL >Sspon.04G0003230-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:9764668:9769004:1 gene:Sspon.04G0003230-1A transcript:Sspon.04G0003230-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGRARFSLHVAAAALVVVLLQLHGGAASEPPYTCGAGAPPNIPFCDAGLPIDRRVDDLVSRMTVAEKISQLGDQSPAIPRLGVPAYKWWSEALHGVANAGRGIHLDGPLRAATSFPQVILTAASFNPHLWYRIGQVIGVEARAVYNNGQAEGLTFWAPNINVFRDPRWGRGQETPGEDPTMTGKYAAVFVRGVQGYDLAGPVNSTDLEASACCKHFTAYDLENWHGITRYVYDAKVTAQDLEDTYNPPFKSCVEDGHASGIMCSYNRVNGVPTCADYNLLSKTARQGWGFYGYITSDCDAVSIIHDAQGYAKTAEDAVADVLKAGMDVNCGGYVQEHGASALQQGKITEQDIDRALHNLFAVRMRLGLFNGDPRRNRYGNIGPDQVCTQEHQDLALEAAQDGIVLLKNDGGALPLSKSGVASLAVIGFNANDATRLLGNYFGPPCVTVTPLQVLQGYVKDTSFVAGCNSAACNVTTIPEAVQAASSADYVVLFMGLDQDQEREEVDRLDLTLPGQQQTLIESVANAAKKPVILVLLCGGPVDVSFAKTNSKIGAILWAGYPGEAGGIAIAQVLFGEHNPGGRLPVTWYPQDFTRVPMTDMRMRADPATGYPGRTYRFYHGPTVFNFGYGLSYSKYSHRFVTKPPPSMSNVAGLKALETTAGGMATYDVEAIGTETCDRLKFPAVVRVQNHGPMDGKHPVLVFLRWPNLTDGSGRPASQLIGFQSLHLRAMQTAHVEFEVSPCKHFSRATEDGRMVIDRGSHFVMVGEDEFEMSFMA >Sspon.03G0006960-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:24291957:24299609:-1 gene:Sspon.03G0006960-2D transcript:Sspon.03G0006960-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polynucleotide 3'-phosphatase ZDP [Source:Projected from Arabidopsis thaliana (AT3G14890) UniProtKB/Swiss-Prot;Acc:Q84JE8] MSTTPAAAATISVEYAKSGRSTCKGCSGAIASGALRLGASARDPRGFDATKWYHVSCLPSSSHPLGSIESIKGFDSIKDHDREELRELEKNHKRDNTAVSPLEEPSPKKAKIQMSSPAEGVPDKVAISVEYAKSGRSTCKGCSEKIAKGALRLGASLPDPRGYENNKWYHVACFPTSSYPLFPMENLKGFDSIENHKSDGNVADELNESNLKKEMHGGLKRKDLEGVKVHCMGESEEGAEKNLEEAKLPAGNRTIGPSISFSLSDIKKEYKDATLPAHWKVFDTVIFRQQEDGLHASSKIAAFDFDGCLAKTSVRRVGADQWSLQHKSIPEKLQRLYNDGYKLVIFTNESNIERHKNKRQQAVDSKVGRLDNFIEWKGKDIPDDPYRKPNPGMWWLMAQHFNSGIEIDMDRSFYVGDAAGREKDHSDADIKFAKAIGLKFHVPEEYFGC >Sspon.04G0031150-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4C:7284945:7285268:1 gene:Sspon.04G0031150-1C transcript:Sspon.04G0031150-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RPPSVLQFAHLGGAVGNTSSHGSESSSRCSAQQEKQSINIEELGDSSERGIYDTPDDNTYEQAQSSPNLTGLAHGPIYGFTDVLDKDMEIHDRSTHNHLKEDLIEHI >Sspon.08G0017390-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:2573300:2575334:-1 gene:Sspon.08G0017390-2C transcript:Sspon.08G0017390-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRCCGCSVRCCCWLLVLTLLALAVTAAVVFARYKDGGNVFPIPGVPDPKYAEALAVALRFFQVQKSGKLVNNTIPWRGDSALRDGEEAKLDLSKGMYDAGDHMKFGFTLAFTGTMLSWSILEYGDAMRAAQQRDAAMDALGWIMEFLVNAHPSDDVLYIQVGDPKSDHKCWERPETMSEKRPLTKITAKSPGSDVAAETAAAMAAASLVYKPANATYASSLLDHAERLFAFADKHRGSYTRTFPELSAYYNSTTYQDELLWAASWLYHATGNHSYLSYATGKNADEFADLGNPRYFSWDDKRAGTEVLLSRVRFFAADGSDAGEDEVLGSYKDTAEAVMCILLPESDTAAFRTEGGLLYVAEWNSLQHPVASAFLANVYSNYMATSGKSELTCSGKSFTALDLRKFAKSQADYVLGDNPMKLSYLVGFGDSYPQRVHHRGASIPADVDTGCDGQKWLKSPDPNPNVATGALVGGPFKNDSFVDDRENVQQNEPTTYNSALVVGLLSGLLSTAPVAKSL >Sspon.08G0003280-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8A:8790601:8791285:1 gene:Sspon.08G0003280-1A transcript:Sspon.08G0003280-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELRHATVAAAATRAASSPSKRDAEAASASSPLVASPRVSGGGKDGLRPHQRWSLPPPVRSLLALEDPRSPTASASYRILVAAIACFALAALFSAPSVWARLVSSWFPDRFFLSYSLRFIEISNSLLTLMLLLDPRTRRACAARRGFGSTAPGSASGTLCGRILMQQRLRGSRVLSVRAMRSQASTKSEVF >Sspon.06G0007430-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:26677060:26679447:1 gene:Sspon.06G0007430-2C transcript:Sspon.06G0007430-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VRRVVAEETIQQIVHNLEPLIRRVVREEIQNMFSHHQDHMPLRSLPLSIQEADVLPSPLKLVFAKQLKLPIFTNNKLVDIANNPIEIQLMDTRTNYMIAPPDTHLGSSSVKLEVLVLDGDFQCDDRDVWTADQFNAEIVKAREGKRPLLVGTLSVPMNNHGVAVVDDVSFTDNSSWIRCRKFRIGVRIMPGGHLGARVKEAVSGSFTVKDHRGELYKKHYPPLLTDNIWRLKNIGKDGPIDKRLESEGIRNVQDFLKLNTIDPEKLKALVGMSDRPWNATLNHAKTCDMGRKCYVFKTVGCDITFNPIGEVLAARIGDQTFALQELHPQQQFHVKQLATQAYQMWDQLDEVANEMTLAANKSFVPRSNSGREPSESQESMISSGSQNAKYLDFTGTATSSAAAMSTNSSSTSDSTAAAPANDAMFWSPSIAPPECDFSWQDSAGCWDQVD >Sspon.03G0014640-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3C:66092806:66093663:1 gene:Sspon.03G0014640-2C transcript:Sspon.03G0014640-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AFPVIGTLIGLCSVIRTVTHFTTAVGGGDAATAVSCEEYCNRLESSLDFLAGITALLFLGLEGLALEGQINSTKAIIHDRLTKPIGTSFIVCVVCVSLMLLETMPSRKPIRNLTETIDIDISMGFAVSLVMFFIMYALMELRALLLLVAPFLILMMYVFYAAIGKDDGKGSNSHRGAANGAEESPVSSSADSDKPASLELTKVTFTGFLAVSIPSISNGSVNMSTERFLHLAAAAIVSGLVWRLLTHYKSQTTIAAIADIASFCTHFCVAVAVIPFTIMAGKALS >Sspon.01G0058610-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1D:30737331:30737618:1 gene:Sspon.01G0058610-1D transcript:Sspon.01G0058610-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLDHPTLRPRLHRRHGRRRTHPGEVRRGVWAQLGGVEFRLRVVFVDSFEPEEETESDLELDEESWERGCWSDGGDCRHGQDPAALISDDEEGAA >Sspon.07G0008110-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:17965848:17968078:1 gene:Sspon.07G0008110-2C transcript:Sspon.07G0008110-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVKDAGESLMQRCKPYVAMISLQFGYAGMNVITKVSLNHGMSHYVLVVYRHAFATLSIAPFALVLERKVRPRITPWVFLQIFVLALLGPVIDQNFYYAGLKFTSPTFSCAMSNMLPAMTFIMAVLFRMEKVNLKKTRCVAKVVGTLVTVAGAMLMTLYKGRVVEMVWTKHMHLHGAHPDAAAAAAADKDWFTGSIFLIIATLAWASLFILQAATLKRYDAPLSLTTLICFVGTLQAIVVTFVMERQTSVWRIGFDMNLLAAAYAGIVTSSIAYYVQGLVIQSRGPVFASAFSPLMMIIVAIMGSFILAENIYLGGIIGSVLIVAGLYSVLWGKHKENLEKEAQAAMEIPVAIKAVDGNGRIMDIVELDEVQLEKAQANASANMAVAVTVPAEEARMQGKDQA >Sspon.04G0034010-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:69159081:69164211:-1 gene:Sspon.04G0034010-1C transcript:Sspon.04G0034010-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tubulin-folding cofactor E [Source:Projected from Arabidopsis thaliana (AT1G71440) UniProtKB/Swiss-Prot;Acc:Q8GRL7] MSSAAAAAFHLGQRVHAAGDPRRVGTVRYLGPVEGHAGDWVGVDWDDGAGGRHDGSLAGRRYFVAAGECSASFARPTSLSGGISLPDALRLRYRVQDFTKEEQDEMYVFSTSQKRVSVEFVGTDKVQEKLNNFNELTSASVSYMGDISSLCQALASLEVLNLTNNTMENDVVETPMLKNIRILVLNNCGVTWELVEKIKVSFSCISELHLMSNKLNMIMLPCASDDNREHIETPDGKFVQGFNTLRLLNLEDNHIDSWDEIVKLSYLRSLEQLHLNKNRLKHVKYPSNLSPDGALDDAASVPFENLQVLLLGSNDIDDFSSVDSLNLFPSLRDVRLSDNPIADPAKGGAPRFVLVARLGKVGILNGSEISPRERRESEIRYVRLVMGKIESNDPEEIKRLHPRFAELKSFHGIEDEKLTSSTSGPQKMASGLISVTLKCVGPSMGEKQPLTKKLPATTTVGKLKSLCESFFKLKDLKVRLFVEEEGCPLPQLLEEDTASLMELGIGSGTTIIVDEES >Sspon.07G0002170-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:4584028:4589443:-1 gene:Sspon.07G0002170-4D transcript:Sspon.07G0002170-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAARKRSLLAAALAAFLALAAVPAVLCQAPGPSAPKGPPNVTAILEKGGQYTTFIRLMKSTQQDTQLNSQLNNSFGSGYTVFAPTDNAFASLKPGTLNKLSQQEQVSLVQFHVLPQFYSLDSFETASNPVRTQASGSDGPYTLNITADSNSQVNVSTGVVATRLGTPLRATQPLAVYSVDTVLLPNELFGVKPPASAPPAPSKKPAKGGSVAEAPAAGDKADAPPSGAAARAGWSSLSALLLGSIRSTATATTSSSSMARLAILVVLLAASSALSAAQKESPKPAAKAAPGPASSGGGAADASPPTDINKALKDSQFSEFKQLLHDTRVDTQINAQLTDSYNGLTIVAPTNAAFDKMKAGVLNGLSPQEQIQMVLYCVMPRFYSLSMLGTLNGKVTTQGSGHDGPYKYDIERSGNNINVSTGVNWMLLGSPVSKDFPLAIYPVDKVPLPYELFGPKPPTPAPAPAPAPAKSKTKKKKKSAGIAEPPTADDDTSTSDDQKAAAAPGVGGVATRWVVAALSVGAA >Sspon.04G0026070-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:42536380:42540913:1 gene:Sspon.04G0026070-3D transcript:Sspon.04G0026070-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VLKESYERFMEFIKRSNAVSPTVTMETATALQLKLEQVTRRAQEQLQLVLEEQSRFGLDIDLDAPKVRIPLTANQPSLGNEYFVLDFGHFTLHTRDGRHDEERQSLYSGFYITGRDMAAFLICDLAQDIYSIPENLDQDTLLGHTSDDNQFSSLLDRCGMSVIIEQIKVPHPNYPSTRVSFQVPNLDIHFSPKRYCKIVELLGVLSHMKGSNNEHSNNHESGSLAPWYPADLAGDARTLVWRGIGYSQAEWHTCYVVLSGMYLYILESEFSPNYQSMASRQVFEVPPTSVGGSLYSIGVCSRGGDTHKALELTSTLVIEFRNEIEKAMWMKALVQATYQASAPPDVNILGDPVSHPPESSTPRLNSLGSVDLVVNGSVIETKLSLYGKLDRKNNDPQELLMLELLGNGGK >Sspon.08G0011190-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:10071275:10072839:-1 gene:Sspon.08G0011190-1P transcript:Sspon.08G0011190-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGARASARRRAVLAAVITLFLLASVSFLLSATATSSASAAANSPASRLAIVQRHAEDHAAVLSAYTAHARHLSAASASQTDAFLSISSRLSALAARLSLSTVGALEKEIKAQVKRARSLAGGAKEAFDTQSKIQKLSDTVFAVGQQLLRARRAGVLNSRIAAWSTPKSLHCLAMRLLEARLANASAVPDEPPVPQPQLADPSLYHYAIFSDNVLAVSVVVASAARAAAEPSRHVFHVVTAPMYLPAFRVWFARRPPPLGAHVQLLSVSDFPFLNATYSPVLRQIEDGNRDVALLDYLRFYLPEMFPALRRVVLLEDDVVVQRDLAGLWRVDMGANVNAALHTCFGGFRRYGKYLNFSEPVVQESFSHRACAWSYGVNVFDLQAWRREQCTQQFHRFMEMNENGTLWDPTSVLPVGLMTFYGKTKPLDKSWHVMGLGYNPHIRPEDIGGAAVIHFNGNMKPWLDVAFNQYKHLWTKYVDTEMEFLTLCNFGL >Sspon.06G0002500-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:6511096:6512174:-1 gene:Sspon.06G0002500-3C transcript:Sspon.06G0002500-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LQQQKNAHVPKFGNWDNDGNVPYTLYFDNARKGKGAGGKMINPNDPAENPEAFSMAAPSPNRSEVPTPTPPRHERRPSDAPPAPAPVSPNPYAGSPYHHRHGGRGGGRAVGGGGGYSVEQSPVHPYSSESAGYGLVANSVDRSRAKGGSRGNETPTRGSAVPKFGDWDSNPASADGYTHIFNKVREEKQTQAGKPEAYGKDGARGNGAKQHHDDGYVSSKFSCFGWCK >Sspon.05G0017980-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:76948950:76954148:1 gene:Sspon.05G0017980-2B transcript:Sspon.05G0017980-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASSSRGRSGSAVLKRRRGVGAATGSGSTAQSLNDDILRSVFSRLDDHFDLPAAPPSAAHVCFSPRNRIIETAHLMRDLYYKRNPPAKGSSSNISVKSYFEMLAMDEHASSFSRGPAEAFQWIGHPIRATLCRMKSGSILTGVGDKVLRLWSAESCKFMNEYNVPNSKTLVDFDFDENKVTTVGALSVSVIWVSLAVIVGLTSSQICIWRRSEPRSIFQSGGASFNHGLCMSYADPEVVIGCEDGRAFVYDMYSRSCSSIYRLHSSPVTCLALTDDQLIVGGSTFGTVAIADQTSGQKLGVLKSAYAPLAIRSLSFCTNSHMIFAGSSAGYAHCWDLRTLRPLWEKRVSPNVIYSTRHLPGDTATLAVGGIDGVLRVICQKTGEPIRCLVVDADRPAEAAASRSRSQIEKKPVRRIGPDAQVDSIPRRLRPQITSLSVGMKKIVTTHGENYIRVWKFRPKSS >Sspon.05G0027260-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5B:50736640:50738562:-1 gene:Sspon.05G0027260-1B transcript:Sspon.05G0027260-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding YVSTAIWNRLVAGLNAQLRTVRQGSVRSTLGPVVSWINSHGNPQLERHGVRVELGWFQSTASGYYQLGIVVAVNENFYKSLHHHEHAPEFSERLRFEKEIPTTGCVIDIFVYVTCAASRVEKTMNGGYYLLSFFSSNHCKLD >Sspon.02G0051760-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2D:73021560:73025756:1 gene:Sspon.02G0051760-2D transcript:Sspon.02G0051760-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRKFEAYEKQMKAARKSGSKAAQDKVKGQALSKAAKEAAKNKGKGKSAADDDDDQKLVAVPQKWRDYSVEFHFPEPTELTPPLLQLIEVGFSYPGRPDFKLSGVDVGIDMGTRVAIVGPNGAGKSTLLNLLAGDLTPTEGEVRRSQKLRIGRYSQHFVDLLTMEENAVQYLLRLHPDQEGMSKAEAVRAKLGKFGLPGHNHLTPIVKLSGGQKARVVFTSISMSQPHILLLDEPTNHLDMQSIDALADALDEFTGGVVLVSHDSRLISRVCEDEQRSEIWVVEDGTVNKYDGTFEDYKDELMEEIKKEDQLAKALADINAWLAAIESRQAAFARQVSAAVRLQAAARGLRARQRLQKMRQKMREAVLAAVRLQAAARGLLARRQAREMRGLQLVPVPRASLLRHQAALRHMEGPDLVRCVMEIGRAIATSGGELSVYSAGVWGRGCVATHRRTLISAAVLRHRPPQGRLR >Sspon.03G0017510-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3B:78837028:78839227:1 gene:Sspon.03G0017510-2B transcript:Sspon.03G0017510-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLVDWLYGVLASLGLWQKEAKILFLGLDNAGKTTLLHMLKDERLVQHQPTQYPTSEELSIGRIKFKAFDLGGHQIARRVWKDYYAKCFHVLFLCGFALEFWLRHSSPPIFAGKHQILVSTLLQLEQWRLAMAEDWWRCGDSHA >Sspon.03G0009670-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:4063075:4068641:-1 gene:Sspon.03G0009670-2P transcript:Sspon.03G0009670-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTRGVALVLLAAVLLQALLPAPAAAEGLVRVALKKQPVDQNGRVAARLSAEERQRLLLRGANALGSGGDDSDVIALKNYMNAQYFGEIGVGTPAQKFTVIFDTGSSNLWVPSSKCYFSIACYFHSRYKSGQSSTYKKNGKPAAIQYGTGSIAGFFSEDSVTLGDLVVNDQEFIEATKEPGLTFMVAKFDGILGLGFQEISVGNATPVWYNMVKQGLISDPVFSFWFNRHADDGEGGEIVFGGMDSSHYKGDHTYVPVTQKGYWQFNMGDVLVDGVSTGFCAGGCAAIADSGTSLLAGPTAIITEINEKIGAAGVVSQECKTVVSQYGQQILDLLLAETQPAKICSQVGLCTFDGTHGVSAGIRSVVDDEAGKSNGGIKSDPMCNACEMAVVWMQNQLAQNKTQELILNYINQLCERLPSPMGESAVDCASLGSMPDIAFTIGGKKFKLKPEQYILKVGEGQVAQCISGFTAMDIPPPRGPLWILGDVFMGVYHTVFDYGKLRVGFAESA >Sspon.08G0012400-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:53229260:53232284:1 gene:Sspon.08G0012400-1A transcript:Sspon.08G0012400-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPMISRLGARLLRESRAETRAGWYLHFPLSSFTSVIGGILRNVLLSTATSGEQDESSQPKDKSRLGCQVIAKPELDVRVASDYLVEGGFVH >Sspon.01G0001650-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:4853836:4858683:-1 gene:Sspon.01G0001650-1A transcript:Sspon.01G0001650-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPDPCADLVVTPKTAAKDEASCSVATPTPPKVTPDEVRAVAQKFADQPIQETEPGVWALLTAISKKARLRPQGMNILLSADEHCLGRTVEERFQILAQQISGKHCKIYKDTVLGELNRHEPVPVYLKDTSSNGTFVNWTRLKKNSSPVKLNHGDIISFSSPPHDEEGGSGSKRLKGLGIGSPDGPVSLDDVRRLEKSNADLREQLEAHVVTIETLRAEIKTAQVQHGKELEELRETTSSSYLDQTKSLRSALEEKHKQIDSLSTSNTELQNSIKDLDERLSASKQSRADADEIISSQKAIICELEGQLSEERNLRREERDKAAHDLKSALHKVQAEAQEEIKKQAESYLRQQREQKEVISKLQESEKETRLLVETLRSKLEDARDNLVTSEKKVRELEAQLQDEQLVSANNRKKSENLETELRKLKKELENEKAAREEAWAKVSALELEIAATIRDLSIEKQRYQGARERIILRETQLRAFYSTTEEISSLFAKQQEQLKAMQRTLEDEENYESTFMSVDLNKVPLATVATDNAGMKPVGCSKNTVGASSASPENTQVSEHSSSDEDANITEQHDDDGTADGGSTQGLECTSPERSERLRPGFQGNPVSAAPEREVTDTEQVPETESQAGNVGCDDQRCDNMGGETMPLEDEVQLPENKEPTALLKDAGQPQANVVPIPIPNDGTGHCSEEKHEGAFSESKREETHVGAIGTADLLASEVAGSWAVETAPSVNGENESPRSLGDAADATEQDEDGGSMAADALLTLVNSEGQAAGSQNNVEHASSKITDDHRVLSAMIGIVDPEFRKQMSRSGVGNEEPMSDAETDEGIEEGDSDSGSDGSDSEEAMVEDSVG >Sspon.03G0021150-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:51731607:51736807:-1 gene:Sspon.03G0021150-4D transcript:Sspon.03G0021150-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MITDTSSDYYQFDDLLTSEERSIRKKVRSIVEKEIAPIMAEYWEKAEFPFHAIPKLASLGVAGGTIKGYGCPGLSITASAVTMAEMARVDASCSTFILVHSSLAMVTIALCGSEAQKQKYLPSLAQLTTVGCWALTEPNYGSDASSLRTTATKAPGGWHIDGQKRWIGNSTFADVLVVLARNADTQQLNGFIVRKGAPGLKATKIENKIGLRMVQNGDIVFNKVFVPEEDRLPGITSFQDISKVLAISRIMVAWQPIGISMGVFDMCHRYLKERKQFGVPLASFQLNQEKLVRMLGNVQSMLLVGWRLCKLYESGKMTPGHASLGKAWNSRMAREVVSLGRELLGGNGILADFLVAKAFCDLEPIYSYEGTYDINSLVTGREITGIASFKPAALAKARL >Sspon.03G0011540-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:47584367:47586990:-1 gene:Sspon.03G0011540-1P transcript:Sspon.03G0011540-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPPFLPVSLLSRGTQPALLSLCCHTTQAATGTDPPAIRFADSNLQTFPPSEARGKIAGAYRPPTDADDTFSSKGGGAGSGGRGGSAGSDDAAQGGWFRMFSVAAYKPYFDVDTSDVVERIWESVFPFRGTFTEKTSENPDLYGPFWTCTTLIFVAASIATFVTYLSHKWHKKEWNYDINLVTWSAGLFYGYVTFVPLLLYVILKYFSAPAGLVQLWCLYGYSLFIFIPASLLSIVPIEIFRWVIAGVAGFMSATFVAVNLRAHIVNSGERWFMIVAGIFLLQLGLAVLLKLYFFTITV >Sspon.08G0022710-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:50677699:50689625:-1 gene:Sspon.08G0022710-1B transcript:Sspon.08G0022710-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATADAARPAAPAGSGRDHVVVFPFMAKGHTLPLLHFASALAAHHGGLRVTVVTTPGNLAFARRRLPARVGLVALPFPSHPELPEGVESTDALPSHSLFSAFLRATALLREPFAGYLASLPAPPLALVSDFFLGFTQRVAGDAGVPRVTFHGMSAFSLALCFSLARRPPPAESIQDGAPFRVPRFPESVTITADEIPHGVVQAANVDNPVTRFVFDEVRYWEYKSWGVLVNSFDALDGDYAAIIESFYLPGARAWLVGPLFLAVGESPEGGGDDDEDPEGCIAWLDERRPGSVVYVSFGTQVHVTVAQLEELAHGLADSGHAFLWAVRSSDAWSPPVDAGPEGKIVKGWVPQRRVLAHPAVGGFVSHCGWNSVLESLAAGRPLLAWPVMAEQAANAKHVVDILGAGVRAGVRAGANVAPELVGRAQVAEKVRELMDGGEAGRRMRARAEHVREAARAAVGEGGTSRLALRRLGHTLPLLHFASALAAHHGGLRVTVVTTPGNLAFARRRLPARVGLVALPPELPEGVESTDALPSHSLFSAFLRATALLREPFAGYLASLPAPPLALVSDFFLGFTQRVAGDAGVPRVTFHGMSAFSLALCFSLARRSPPAESIQDGAPFRVPGFPESVTITVDEVPHAVAQAADLDDPVTRFLFEEVRDWDYKSWGVLVNSFDALDGDYAAILESFYLPGAHAWLVGPLFLAAGESPEGGDDDEEDPERCLTWLDKRRPGSVVYVSFGTQVHVTVAQLEELAHGLADSGHAFLWAVRSSDAWSPPVDAGPEGKIVKGWVPQRRVLAHPAVGGFVSHCGWNSVLESLAAGRPLLAWPVMAEQAANAKHVVDILGAGVRAGVRAGANVAPELVGRAQVAEKVRELMDGGEAGRRMRARAEHVREAARAAVGEGGTSRLALRRLVDELQRTYNGGRSNEQCN >Sspon.07G0023200-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:13496872:13498376:1 gene:Sspon.07G0023200-2D transcript:Sspon.07G0023200-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARALAAVVAFFLAVFLLASTLATAAPTSGIPAVFAFGDSTLDPGNNNGLATLVRADHAPYGCGFPGASATGRFSDGKLITDYIVESLGVKDLLPAYRDSGLTVAEASTGVSFASGGSGLDDLTAQAAMVSTFGSQIGDFQDLLGKIGMPKAAEIANRSLYVISAGTNDVTMNYFILPVRTGSFPTIDHLYNLGARNFMVSGLPPVGCLPVTRSLNLGSGGGCVADQNAAAERYNAALQQMLTMLEAASPGATLAYVDVYTPLMDMVTQPQKYGFTDTSQGCCGNGLLAMGALCTSALPQCRSPAQFMFFDSVHPTQATYKALADHIVQSHITKS >Sspon.03G0015780-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3A:50313454:50313708:-1 gene:Sspon.03G0015780-1A transcript:Sspon.03G0015780-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VEPAVATSNQQADKSAATEVGRLRWFLAREEKEDMENDQTVMDSRKGIHDDVEKIEMNLGVEAEFAGDVRDGRKSSPNHRWKFA >Sspon.05G0036180-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:84714192:84716901:-1 gene:Sspon.05G0036180-1C transcript:Sspon.05G0036180-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLESDTTCVHTYLLLIDDIWSAKTWESIRNCLPDNKKCSRIIVTTRFQAVGAACSRRERIDLLHRIDFLKEDESKSLFDQSVSESISSKHSEKVQEDKHRVQDQDKHSEKVQDEDKHSERVQDQDKHSERVQDQDKHSERVQDQDKEIWKICGGLPLAIVTIAGLVACNPNGGESSGSKPGDSTKGMNLSQVRSLTVFGSLNQLPFHSFNNGIIQVLDLEGWKSLKEKHLDDICKMLVLKYLSLRRTEIAKIPSKIGKLVYLETLDIRETNVGELPKSVGQLKRISSILGGNKNPRKGVRWPQETRKGASLQEKHKDALKSLRILSGIEIVGESTAVEGLHQLTGLRKLVIYKLSIQKDGQAFTELQSAIEYLGSCGLQTLAINDEGSDFINSLDSMSAPPIYLVALELSGKLERPPRWITKLHSLNKLSLSLTVLQTDTLELLRSLPSLFSLTFSLIGAKADQDIEDILEKNKTSSDGEVFVTAGFEKLKLLRFFAPVVPKLVFSDNAMPELEMIEMRFEAFHGIFGTGTLNKLQEVHIRVNDHADGMTRIFIDDLKNNERMR >Sspon.02G0009530-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:21386406:21393001:-1 gene:Sspon.02G0009530-3D transcript:Sspon.02G0009530-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MENAAANPSAAAAAAAAAAAGNGVQASGAGGERPEDASKQNLAQVTSSIQKTLGLLHQLNLTVSSFNSASQLPLLQRLNALVAELDTMQKLAEGCNIQVPMEVVNLIDDGKNPDEFTRDVLNSCIAKNQITKGKTDAFKSLRKHLLEELEQAFPEDVEAYREIRATSAAEAKRLAQSQGTLPNGDVKVKAEH >Sspon.01G0001570-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:4544708:4545493:-1 gene:Sspon.01G0001570-1A transcript:Sspon.01G0001570-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKATTWRAAPGTLPDSAKKKRKKKAPAPRGFMCGCGGTRSVSVDISAVTMAPTRRGALTTAAPAGETASASKTTAGCARDADADASAEGTPSVDSLLRQLRELERGVRALGVREREEDGDGGGVGGSGSSAPGTATSTARPPPRSRHARSASVVGRLDSESVAVVTESADPLGDFRRSMAQMIVENGITGGAELRELLRRFLALNAACHHHVILRAFADVWEELFAAAATAAGSGSHAAPSHGGSGGRASKRPRGRADTLS >Sspon.04G0004370-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4D:13831355:13834725:-1 gene:Sspon.04G0004370-2D transcript:Sspon.04G0004370-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding FELGREIESLLFLDKKGSREAKEDKKLALGVKRKQLKRKKDRALECAVDSEPAAGHGVKEDKELARRKKIPLVKQKKKNKHANVKSNHDRADDVVELLSDSKDAAMPKLKKKSKKKLMEISSPAVVYESSVVTDDAGAPKLKKKKRKVKGGKSSAGITEAEEILHENQNEETQSANIYASDVNQLAALSEDVDNEEPQKSKRGKKMEVKKPGKAKKKDKHASRKDNNNLERHVEVSTANADEIPSVDEDCSRGMKKWILEYKQKRPGLKALQERIDEFIVAHEEQQEKERKEREARAAEDGWTVVVHHKGRKKTTDAETGTAVGSVSLTAMQEKMANKKPKEVDMNFYRFQKREAHLSELAMLQSKFEQDKKRIQELRAQRKFKPY >Sspon.05G0020640-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:89733510:89735309:-1 gene:Sspon.05G0020640-2B transcript:Sspon.05G0020640-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVERSVKSEHGVDLFLPPGFRFHPTDEEVITSYLLQKFLNPSFAPHAIGEVDLNKIEPWDLPSKAKMGEKEWYFFCHKDMKYPTGTRTNRATKEGYWKATGKDREIFKQPGHELVGMKKTLVFYMGRAPRGTKTNWVMHEFRLDGKSRHTNDSNLRFNPKDEWVVCKVHHKGGEEASSKKTAAGEEQHSSAAGTPNVSSVEVGEDGDEFLVDSLLDYSSYFNSAAPPYNADCCYPVHTTAATGMTTTTPTTTTSSCFVGLPTDASNSQHAAAVANSAAAAATNNNDSSSWNMLRHAPDQQAMGTNYSLQHQAMVAKALGGGGVATPNFGAGLPAAAAAGIAQHSSQNVLLQQRLAGYYGGNYAGGYHTSK >Sspon.06G0003910-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:11964685:11966943:1 gene:Sspon.06G0003910-1A transcript:Sspon.06G0003910-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARYDRAITVFSPDGHLFQVEYALEAVRKGNAAVGVRGVDTVVLGVEKKSTPKLQDSRSVRKIASLDTHIALACAGLKADARVLINRARVECQSHRLTVEDPVTVEYITRYIAGLQQKYTQSGGVRPFGLSTLIVGFDPYTQKPALYQTDPSGTFSAWKANATGRNSNSMREFLEKNYKETSGKETIKLAIRALLEVVESGGKNIEIAVMTNKDGLRELEEAEIDEYVAEIEAEKAAAEAAKKGAPKEN >Sspon.07G0021620-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:3449033:3454048:1 gene:Sspon.07G0021620-1B transcript:Sspon.07G0021620-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKAKGKQRQDKFYHLAKEQGYRSRAAFKLLQLDARFRFLPTARSVLDLCAAPGGWVQVAVNHAPVGAFVVGVDLVPIRPIRGAHSLTEDITTTKCRAAVRRLMDSNGVSAFDVVLHDGSPNVGGAWAQEATSQSALVIDALRLATMFLAPKGAFITKVFRSQDYNAIMYCLKQLFEKVEATKPSASRSTSAEIYIICLKYKAPAKIQPELLDIKHLFSVVPEQTKSRDVMDGRKKRHRDGYEEGNTTLRKVGLASDFIWSDAQAPLEFLGSYNAISFDNPESLPIKNHELTTDDIKNFCEDLLLLDKNSFKHILKWRIRLRKALSVSSQVTPKVSDAVENTKVTDDDVLLQEMEELTSVIDRKKKREKKRLSKRRAKDKARKATGMQIDATGDDYGDPDLFSISVIKGGKELEAVESAELDVEDEIGDSENEDTQAREDSDEEMDSDKEQQRYDAQLEEMLDEAYERFVTKKGGEIKQERKRAKRINPDADADLLEGGEDDGDVEMDEDFDEDQDQETNPLLLSLDEQRPTKEQIVKQWFSQDVFTEAATSVTEQSDTEDERESLQRNKKMDTGKKEKVAKAQCLQQEDFEIVPAEPVRNEDDSSSSSDESEDDLNGYRKAEVLAYAKKMLRKKQREQILDDAYNKHMFDDEGLPNWFVEDEKRHRQPMKPVTREEVAAMRAQFKEIDARPSKKVAEAKARKKRVIMKKLDKARQKADAIADQNDINERSKRKMIDQIYRKAMPKKPQKEYVVAKKGVQVRAGKGKVLVDPRMKKDKRAGGTGKKGKKGGKGAKGKGGPKGMRGKAGKKAGKAPR >Sspon.04G0028210-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:64573820:64575432:-1 gene:Sspon.04G0028210-1P transcript:Sspon.04G0028210-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEPLPYLLLLPLVAIPLVILALSRRRDAQRRLPPGPWALPVIGHLHHLAGAPPHRALRDLARRHSPLMMLRFCELPVVVASSPDAAREIMRTHDVDFASRPIGPMLQLVFRGAEGLIFAPYGDAWRQLRKICTLELLSARRVHSFRPVREDEVARLLRPVASAAAAGLPVNLSERITAFVADAAVRAIIGSRSEHRDEFLRLLVDGIKIIPGLSLPDLFPSSRLAMLVSSVPGKIERRRRGLLDIVDPIILEHQEKRAAGGIDEDEDLLDVLLRLQKDMDSQYPLTTDNIKSVLIDMFGAGSETSATTLKWAMAELMRNPRLMRKAQDEVRGALAGHSKVTEDSLVNLRYLRLVIKETLRLHPPAPLLLPRECRSPCQVLGYDVPRGMMVLVNAWAIGRDPALWDAPEDFVPERFEESGRDFKGMDFEFIPFGAGRRICPGMAFGLAHIELALAALLFHFDWKLPEGMVAEEMDMAEAVAITAPPRSDLVLLPVTRLSVSID >Sspon.01G0057140-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:98327512:98333637:-1 gene:Sspon.01G0057140-1C transcript:Sspon.01G0057140-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVANAENTSSLLPLDGRVALVTGGSRGMGREVSSHLAALGARVVVNYASNSARADELVEELASRGHQAVAVRADVSDPDAVRALFDRAEEAFGSRRTSSSAAQADKYPALADTAVDDFDTMFAVNVRGTFLVCREAANRVPANSAGRIVTFSSSIVGTLLPGYAAYTATNAAVEAMTKILAKEVAAKGVTANVVAPGPVRTELFLAGKDEAFLRRVEQQSMGRIAETTDVAPVVAFLASDAAAWVNGQVIRVNGVANAENTSSLLPLDGRVALVTGGSRGMGREVSSHLAALGARVVGRRARRGARLPRPPGRAVRADVSDPDAVHALFDRAEEAFGSPPHIVVCCAGVLSDKYPALADTAVDDFDTMFAVNVRGTFLVCREAANRVPANSAGRIVTFSSSIVGTLLPGYAAYTATNAAVEAMTKILAKEVAAKGVTANVVAPGPVRTELFLAGKDEAFLRRVEQQSMGRIAETTDVAPVVAFLASDAAAWVNGQVIRVNGGFV >Sspon.06G0006490-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:23286713:23290546:-1 gene:Sspon.06G0006490-1A transcript:Sspon.06G0006490-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMLLSRFSQWIWPGSRTRNRRGREPPVVSTAVTKGLFPDSPSGFREPDAVGRPGSGAARPRKGKIHLRGGRAEDRVDGERGMVIVQSDGDGCLSDSDSDGSDWSIGWLEPLAPDLQSDADSEGSFAVLVPCYRHGRADRPARPDDNKNFVEQWVSSLQN >Sspon.06G0000920-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6B:1637909:1638541:1 gene:Sspon.06G0000920-2B transcript:Sspon.06G0000920-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSGDTAIAQVHTGLDSSNKTLLKSEALYKSSSNDQRSRLLAQYVLDTSVLPHEPDCMRELRLVTDKHEWGFMQSSPDEAQLLRMLLKLTGARNTLEVGVFTGYSLLATALALPDDGKVIAFDVSREYYDIGRPFIEKAGVAHKVDFREGPALEGLDALLADEANHGAFDFAFVDADKPNYVKYHEQLLRL >Sspon.03G0029790-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:18206184:18212535:-1 gene:Sspon.03G0029790-1B transcript:Sspon.03G0029790-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKAPEVLMREGSRKRDCPDSELESHQILAHEEKSQQLLAHEEKSQHILAQEERSRQLLARLAIEDAFWDHLDESNGVRPVRTDANHAEQKPFGWKVDLPSVLKKGEEKEADDDDEDNDDPDLEALRFRKRWNRIWSRRCGCFDDTTLIPPMRYTDEPPIDRIYPGDTLQIFSAMIAGIGGGFQWPLCVFGLVAIRDHVDHNRNIIFQRSRSECQTLTKEDPYLVLTGPARAVVFSAPVTIEVYLKVKGPTELEDKTLCCLANEMRDKGVPYSYMFHQTCSGKFSTVEFTLGHITSSVEATISVRVISGSWPHGFQGEFAACLVRTGDIFHGKHEGPVIGGRTRSTSVDHKKITLLSFGDEKVPVTGDGVIELSRSVVSAKSNSNLEVSVRAWQDGDNAVQALAAFAAKEAGRSSCTLDIGSCKMVVIVAWSLILDEPEPRRIY >Sspon.03G0046830-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3D:48305495:48307540:1 gene:Sspon.03G0046830-1D transcript:Sspon.03G0046830-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPLLLLLLASFLLLLPAASAAESSGCWPKACGGLNITYPFWLEEPGRPPCGPLSFQLKCNSSGAFWTKSVYQAWQVLSIFSNNNSFHVVNHNLPLRTGCPAPTMNISLLFSPRTFVFSKTNKELLFLGKCTGFQPADSAGFHSLPCDNSSFVRLGDGRKFSSHGIQGGIPPGCLFTAVPFLGFPDGNGDDYVASMKNGFLVEWKGVPDDCPKCMARGGECTYGGNNGTKFACDCSGDKCGR >Sspon.03G0000150-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:348086:353930:1 gene:Sspon.03G0000150-1A transcript:Sspon.03G0000150-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIMIKGGVWKNTEDEILKAAVMKYGKNQWARISSLLVRKSAKQCKARWYEWLDPSIKKTEWTREEDEKLLHLAKLMPTQWRTIAPIVGRTPSQCLERYEKLLDAACAKDENYEANDDPRKLRPGEIDPNPNEKEMLSEARARLANTRGKKAKRKAREKQLEEARRLASLQKRRELKAAGIDTRHRKRKRKGIDYNAEIPFEKRPPSGFYDTVGEDRPLEHVQFPTTIEELEGKRRADIEAQLRKQDIARNKILQRQDAPAAIMQANKLNDPEAVTKRSKLMLPPPQISDHELEEIAKMGNAGDPALADELGEGSTATRTLLASYSQTPRLGMTPLRTPQRTPAGKGDAIMMEAENLARLRESQTPLLGGDNPELHPSDFSGVTPRKKEIQTPNPMATPLASPGPGITPRIGMTPSREGHSFGLTPRGTPFRDELRINEEVEMQDSTKLELRRQAEPRKSLRSGFASIPQPKNEYQIVMPPITEDEKEEAEEKIEEDMSDRLARERAEEQAKLEALLRKRSQVLQRSLPRPPAASVRQFLIRSGESRSRSTFVPPTSVEQADELLNEELFRLLEHDNAKYPLDEKTQKEKKKGSKRQQNGGPLVPEIDDFDEDELKEASSMVEEEIQYLRVAMGHENESFEDFVKAHDACQEDLMFFPTNNSYGLASVAGNADKISALQNEFETVKKRMDDEAKKASRLEQKIKLLTQGYQVRAGKLWSQVQDTFKQMDTAATELECFQELQKQEHLAASYRILNLTEVNKQKALERTLQSRYGELVSGFQRIQEQLEEHKKQLKVQEAIEAKNCALEEEVAAPNHAAEEEDERKPLSSEEKSQQTSRATDEEAAGSKGTTEDQMDVDSGNGDGGVVGPIPPTPNTEGDNDEVSIQENTSSTQSSDCTSTKDGADKINQVKLGGQDKADDSMAVDAGPREEGKDELAPVGASRSEENTTVSSDQDVSKDGEGMAPE >Sspon.02G0012620-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:34058638:34066739:-1 gene:Sspon.02G0012620-1P transcript:Sspon.02G0012620-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVPSLRTSQAGLVQLFRGSYLGVIDKIPHLLELGVNAVELLPVFEFDELELKRFPNPRDHMVNTWGYSTINFFAPMSRYASAGGGPVAASKELKQMVKAFHNAGIEVILDVVYNHTNEADDANPYVTSFRGIDNKVYYMLDLNNNAQLLNFSGCGNTLNCNHPVVKELVLDSLRHWVTEYHIDGFRFDLASVLCRGPDGSPLDAPPLIKEIAKDSVLSRCKIIAEPWDCGGLYLVGKFPNWDRWAEWNGKYRDDIRRFIKGDPGMKGVFATRVSGSADLYQVNNRKPYHSVNFVIAHDGFTLCDLVSYNSKHNDANGEGGRDGCNDNDSWNCGIEGETNDLNVLSLRSRQMKNFHVALMISQGTPMMLMGDEYGHTRYGNNNSYGHDTHINNFQWGQLEEKKDGHFRFFSEMIKFRHNHPILKRDRFLNKNDVTWHENCWENQDSKFLAFTIHNHNSGGDIYLAFNAHEYFVDAVIPPPPHHKSWNRVVWILSDSANMFILSNLLFSPRMFNYLTIAISPPQVDTNLESPKDIVPEGVPFSGSGYRIAPYSSILLKAKP >Sspon.01G0021000-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1A:77389286:77391723:-1 gene:Sspon.01G0021000-1A transcript:Sspon.01G0021000-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MERFFLKKDASTQESRVVQKRPRIELDMNDIVADPGLRKPIDEFHPDIRDDARRAYLQMGPYQPNCEYERTAGKSQTRGFVKSWFTQFDWLEYSPIKDAAYCFYCYLFKPPPTSNFEENEAFTRVGFKNWKKGKASLLKHTQLINGYHSAARKRAIDFKNQRQSVEHVWAVTTAAEEEAYKARLTIMLGIARFLLLQALAFRGHDESKTSKNKGIFLEMLDWYRQKDPKAALVTAENAPGNNQMSCPTIQKDLVRACAEETSELIKSEIGDRRFAVLVDEARDASIKEQMAVVVRFVNDKGSVIERFLGLQHVSDTTSSSLKEALVSMLARYGLSIAKIRGQGYDGASNMRGQFHGLQRLVLNENPFAFYIHCFAHQLQLLVVAVARCCPSTDDFFNYVTSVVNTVSASCKRKDQLLQKNHDALVQQLDSGEISPGRGKNQETSLARPGDTRWGTHHKTLVRLMLMWESVLEVLENISDDGTDGEKKTIASGLIEKIESFQFVFILHLMIRVLGITQDLSQCLQRKNQNIVRAIGLIGSVMRNINAMRESGWDDLLEKTKAFAAKHNIDIPNMEDMIPMRGRSKCRGAKYVTYYHHIHHGIFNVVLDQVIFELHNRFPERSTQLLRCVACLDPTNEFANFEIERLVELAKIYYADFSDYECEKLRTELENFIDEVKHDEEFFSCIDLGGLAEKMVKTDRHTYFPLVYRLIELALILPVATATVERAFSAMNIIKTDRRNKMNDDWMNNSMICYIERDLFASIEDDKILKRFQGLRNRKINLPPKVP >Sspon.01G0054060-2D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1D:48165314:48165742:1 gene:Sspon.01G0054060-2D transcript:Sspon.01G0054060-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNIQWCPKFNNLTTLILSQRCLHPDFYPLIVFLQNSPSLENLTLELRGVHGSLHMSIDRYPCTEVDACAIKMKLVCFLTFQVGHTHQIFIGELEERSFSCEHLDSVDIVSWGVQEHDPVLDDLVELLTENGIERDEIHINI >Sspon.01G0053940-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:44376442:44377755:1 gene:Sspon.01G0053940-1C transcript:Sspon.01G0053940-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKIVEVEEQHDAGPDDDCCEISLEEFAKKVSLMEDDDVILIASKGKIVKVEADQLEDSAKAYAGDCMDNPYKIDEDETSLLKVKVEDMFVIEKPSDGGNKNERSCNAASVALRDFPHPRHLCGNFPFDTTLHKNHCSKVISTVIRCISSFMSLVLAGIYDIHANKQLPCMMQCYCSLCEVPASNCLNWEGTGGHCHATHRNK >Sspon.03G0018310-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:77521564:77522951:1 gene:Sspon.03G0018310-3C transcript:Sspon.03G0018310-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVKSKILVVGGTGYLGRHVVAASARLGHPTVALVRDTAPSDPAKAALLKSFQDAGVTLLKGDLYDQASLVSAVKGADVVISTLGSLQIADQTRLIDAIKEAGNVKRFFPSEFGLDVDRTGIVEPGKSILGGKVAIRRATEAAGIPYTYVVAGYFAGFALPSIGQQLAQGPPTDKAVVLGDGDTKAVFVEEGDIATYTVLAADDPRAENKTLYIKPPANTLSHNELLSLWEKKTGKTFQREYVPEEAVLKQIQESPIPLNIILAFGHAAYVLGEQTGFEIDPAKGVDATELYPEVKYTTVDEYLNRFL >Sspon.07G0019310-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:75538397:75543552:1 gene:Sspon.07G0019310-2B transcript:Sspon.07G0019310-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:At1g71990 [Source:Projected from Arabidopsis thaliana (AT1G71990) UniProtKB/TrEMBL;Acc:Q0V7Y9] MLFRKRISYVAPMLASAAILLLLLSGYFELPSISSTALSTPAPLLAARFPTALDSVGSRDRDAFTSLLEAFNSWDAAVGCPRIRAKLAAAATATAGLGLGLLPPGAGAGANDTAAEDPAAASVTGGAAWRGAATARCEDLPARHVGVLVKGWTWVPDALDGVYTCRCGVSCVWSKSAAAVDRPDALLFEGATPPPQRMKGLPLRVYLDLEASRKPTGFEDIFIGYHANDDLQVTYAGKSFHTSRRCTYLLVIFKCLPHRDKLAKDFLSLVPHHSFGRCLNNVGGPDMALSMYPVCSTNDNGTPHWWDHLHCAMSHYKFVLAIENTKTESYVTEKLFYALEAGSVPIYFGAPNVWDFVPPNSIIDASKFSSLKELASYVKMLANDPVAYAEYHAWRRCGILGNFGRAREMSLDTLPCRLCEVVSKRGGDFVWLIYLDPDIIDVNVVPCKLRCDAIFWTEESGNRGSVRVTGAND >Sspon.03G0014420-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3B:67503252:67503695:1 gene:Sspon.03G0014420-2B transcript:Sspon.03G0014420-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGAQEDEEETTMVAEGGVREEEEAAAEGAGEEQQLGQGGGGEGGEEKAAPVMSCSICLDTVVAGGEERSTARLQCGHEFHLGERLRCLEISRLFLDCATHVFGRWEVAEAGCFLNYFSGEFKGSVGGLGLGKIGIWEWVSVWDII >Sspon.04G0013910-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:50269305:50272654:-1 gene:Sspon.04G0013910-1A transcript:Sspon.04G0013910-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plastid division protein PDV1 [Source:Projected from Arabidopsis thaliana (AT5G53280) UniProtKB/Swiss-Prot;Acc:Q9FK13] MWWDAAEAEAALERIWDLHDRLSDAILAASRAHLLLPAPPAPPVPSAPFAKGTHRVGGGGGRNGCVFVKSGGGGGGDALAAAAEAVAEARSLHAIRSALEDVEDHLEFLHTVQSQQRAERDAAIARLEQSRLVLAMRLAEHQGKKYRVIDEALAFVGEVSDKSQFVSPEDVRATHSQSEDNAEDNRGNGSRIMSNVLSCSLSLAKNSFRLDKIGSALGNAAVFAVSMLAFLQLHQITFGSKAPAMEYRKRIEYNFHSGSSQPNGKGKLLEDLHAALLQSL >Sspon.07G0000690-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:1509988:1513361:1 gene:Sspon.07G0000690-1A transcript:Sspon.07G0000690-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFCPGHNLVIIEGFKSYREEISTEPFSPKVNVVVGANGSGKSNFFHGNFNHVALLYYHLSALMREYSPDLFILNAPAIRFVLSDMFQNLRSEDRGALLHEGAGHSVVSAFVEIVFDNSDNRIPVDKEEVRLRRTVASKKDEYYLDGKHIASLTLMKDSERLDLLKEIGGTRVYEDRRKESLKIMTETANKRKQIDQVVHYLEERLRELDEEKEELKKYQQLDKQKRSLEYTILDHELNDARNELASMDDNRRKISESMSLADNEAVDVREMIKSFDKEIKVSTKGINDTKAQKEGVEKRRTEALKVVAQIELDLRDIKDRIVNEKRAKDEAARDLQSVRRESEKSKSELAEISKVHQTKLKEEEEISKSIMDREKRLSILYQKQGRATQFANKAARDKWLQKEIEDLKPVLLSNRKQ >Sspon.04G0015470-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:63959220:63962155:1 gene:Sspon.04G0015470-3C transcript:Sspon.04G0015470-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFGKSLNNQIVETLPDWRDKFLSYKDLKKRLKQIGDGDGAGAGERRSKRQRVGDGGGAGSSPAMTPEEAGFVALLDAELDKFNAFFLEKEEDYVIRLKELQDSVVSAVEMGSAEELLRVRKEIVDFHGEMVLLENYSALNYTGLVKILKKYDKRTGALIRLPFIQNVMQEPFCATDVLYKLVKECEEMLDQLLPRNQRSVPSEDDGKEDSDSDDKPAKPSASLANGNGTRDMELEEIEDMESMYTKSTVAALRALREIRSGSSTVSAFSLPPLR >Sspon.02G0043940-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:101461058:101465300:1 gene:Sspon.02G0043940-3D transcript:Sspon.02G0043940-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLAPVVPTAAGGGGGGGQVASPRWRRLRQTLLVVLFLLQRYVDRTFNKYHEMISRLEANQEHIAVNGSNTNMRLCFLNHLEPPVYTDENLTSQNHAAIKLAMFEGDKMVTSGALSEAKIEILVLRGDFSNKCRDNWTQDEFDKHILQGRDGHDLVLGTVWLTNGMAELSQIRFREGSSRKNVIMAARVCKSKKTSGRVQEAIMKPVKVLDRRNKPNEKRHPPRLDDDLYRLEEIARDGAYHKRLQEVQIYKVGGFLKALNEDSNKLHPQNAWAKLTEHARECVLEDQQELKQYHSKQGNVVLFFNCVHELVGAAFPQEYVACQRFNTAQKALVNKWKLHAYEKLKDISPDFVMKGNIPEPVSSSTDVAAAPSVLAVGASQQISANQLAPYQGTGATEYLAHNEHVMGQISPIANCGPTVPNNGPNTHYYQVMYLPGTGTAENLPQSEHGAPYQIADCDPIVADPDYLNTRYCQDQGIPLHGQQQVISPWPQNPQAMMGFAYPIELAGMNFDLYQDSGASTSAQAQLMFGPRNPTQPESTSPAAAPPWMSSIAEQDQGPDCSGFLRSVHPNDFQ >Sspon.07G0033970-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:62626297:62627480:1 gene:Sspon.07G0033970-1P transcript:Sspon.07G0033970-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTEFLRVKKFHQEAARSLSLQKRMELQPELSLGPVWPGFATGGLAAKSSSSESDGSSRKKRKHYTASWEEPQQPPASLELQLNDPLPLDWEQCLDLHSGRMYYLNRKTLKKSWVRPWEQSVNLDLNISTTAAVDSCADDGAAAAAPDEDEPRKPTGPSLFSGGNMVAVPCANCHLLVMLCKSSPSCPNC >Sspon.07G0003030-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:6950764:6955111:-1 gene:Sspon.07G0003030-1T transcript:Sspon.07G0003030-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTATIKRTTRVIGWYHSHPHITVLPSHVDVRTQAMFQLLDTGFVGLIFSCFSEDAQKVGKIQVTAFQSEGGQQHALPLATIAPVIDLDSSFSSSDNVFASHSASVEGMEQDTGDSRASKNNKAWRRSMDFYSHPDTNHSTNYQPRENTLILYNPDNTQEASVDPYDSDMTPSLQEALHRSTMDISGAEYRGKEVPLFVLPTRYLLKLDTTMTSYCEMQRVLFEEEQSAYNQAMQQNICDGKIHPLTSIHHTSTYNSSLCKLMEYCLSPAVTTLQDRLKENELRLSMLQEEAKQLEADTQSMRNDSPHRLMNHGAGGNSSPMTQSRHPFSNQGSPRSPRGGSRRRAC >Sspon.04G0013400-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4A:48106928:48107923:-1 gene:Sspon.04G0013400-1A transcript:Sspon.04G0013400-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGRAKLDRTRWSRDGPDTLVKPEPRCPPPWGSRPSSPPASEPLSDATRRPLGEHRPPN >Sspon.08G0000950-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:3611874:3615098:1 gene:Sspon.08G0000950-1A transcript:Sspon.08G0000950-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVASIWRRAWKRLRSRGSSMAAAYRRLHHQFRRYSLHRQHHHHHHHHPPPPPQSIRSEKRSLVISMHPWLRPRRPWKKTRTRSLICRPGDRGRQGGEARASQGPGGAIPWRQSQCLGEVALGAGRPLAPGLSTSPPEHMNLQGASLPRTASNFLSRSGSWLYARSVDPSLQALMDGAARGRTRTRMKERASNHLLQKLQVLIDMHDALSMASQHVLSLLSCYVQEECSDDKMRELLSADLIKLDEAIWDTFVEMRNNIMAWTWTDDGGSSLTLSYTKVLWANCKSVNRILHDAVLRGEFVSENKNLNHVTNLITQMVSSLEREPSTNSSPGSFPDESLRFLFLINNFHYLLQEFQTIQRLGFNMVSFTYDINYYINRYLHMGSNPPVTLKKCLQDPATPRCFTTYSSPMPKFESKFQKTYSTQKLWKVPDPEMRRRLRKAIIDKVLPVFPQFLEDNQIATPPGFTPMEVEKMLGELFEG >Sspon.06G0023840-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:48566313:48573169:-1 gene:Sspon.06G0023840-1B transcript:Sspon.06G0023840-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding HKFNKVEGNKAALLNLSKEDKAGGYIFEFDNVGNRDLCRDFVARVLGKHQGIVPPRPTVTPENSVASAALEQLSAAEVERRVKLLREDSELQKLHKKFVLGNILQESEFWATRKNLLDDEANKRSKQRPGFKNALVDIKPMTDGRTNKVTFQITPEMIHQIFAEKPAVRRAYLDFVPKKSRVLVRTKNTAAATAEAAGDEELAIFLKNDDILAKEAKMKIKRVDPTLDMEADTGDDYIHLPDHGIHRDGNKETVDADSELARRTLSQDLNRHAAVVLEGRSLDVESTDPKTLAEALVRTPSTSIVDDANRERLVKVARMTEIEDLQAPQSLPYAPLCIKDPREYFDSQQANALRSLGGSNDGRKARTCSLSTEEAFHHLMDQMSSIKVNKLNCPIIQSDMALKVQRVKEAMTQIYQKLQLIKESAQPDVRHEISRLVKPMTQALDAAFNHDLEQQQKSSKAGNRHNGF >Sspon.05G0006820-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:21361700:21366318:-1 gene:Sspon.05G0006820-1A transcript:Sspon.05G0006820-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGSKPLGDSVFAGHAAAGAAAISASAVAVYPLDTLGAAGQKQKMGLRQVVDRLLASSGPAGFYSGIGWSIMGKLPGLGARFGAYELLTAFYKDGREDNYVYYSEAMLAGIAAGAVEAVFCTPFELFKLRNQVSSVIPSKAMGPANVAQESFPLLSKLLPGYVPDLRVWNNTVSLLSDLSPKHPDMLGALKQHPWMLTGSGKPPLPSDVHLPSRVILLEGWGALWRGLRSGIARDCVFGGMFFSTWQFLHTAILTWKAVNMSPEPRNLEEAGPVPPFASSVAAGFAGAVAAAASHTFDTAKSRSECTVVPKYIAMERKFLKWKPPGTWIERKTGISPADRNVLFRGIGLRMARSGIASFVLVGSYYLAVLVDSDLAGSIPWDLVKQIFCCSEWIGTPAKLQVYWKLSPVIHMSKGNVSVYVFKIELKPNNARMFLKSTEPQFQRHLRKYMLNCAV >Sspon.03G0027800-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:10522800:10534842:1 gene:Sspon.03G0027800-2C transcript:Sspon.03G0027800-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding HRKGELLVSLAALDAAVWDPPRRPLLRSFASGLVDGEGGFGGAGPEPDVHGRHSPEPAAAAGVEELVLRRSARCLNRPTRSSYADQEPPKKPGGRGGGRGKRKRDEEKPELAAEQQGAKSPGRKYSKVEAAERKPMPVIAAVPSAKLRVKETLRAFNSHYLHFVQEEQKRAQAALQEIEAKGGLKRQTKGGKKMQEMNAVLYPEKRIGHLPGIDVGDQFYSRAEMVVLGIHSHWLNGIDYMGMKYQGKKEYEKLTFPLATCIVMSGIYEDDLDKADEIIYTGQGGNDLLGNHRQIGSQHKDNGNPIRVIRGHLSKNSYTGKIYTYDGLYKVVDDWVQNGVQGHVVFKFKLKRLEGQPSLTTSEVRFTRAEAPTTISELPGLVCDDISGGQENIPIPATNLVDDPPVPPSGFTYLKSLKIPKDIKIPSSIIGCDCEGDCATNKNCSCAQRNGSDLPYVSYKNIGRLVEPKAVVFECGANCSCNHDCVNRTSQQGLQYRLEVFKTASKGWGVRTWDTILPGAPICEYTGVLRRTEDLDGSQNNYCFDIDCLQTMKGLDGREKRAGSEMHLPNLHPENDSDAPPAPEYCIDASSIGNFARFINHSCQPNLFVQCVLSSHNDVKLAKVTLFAADTILPLQELSYDYGYRLDSVVGPDGKIVKLPCHCVLSIAGKASGVGVAAGIMLAAENRKL >Sspon.05G0002050-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:6640115:6641890:-1 gene:Sspon.05G0002050-1A transcript:Sspon.05G0002050-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPDPRTVFLVFIVILAIVIVILLGICWKFLKPDIMRKLMRPRSPGSDRAILTVVPDVPEYFSGNMSGNLRTITYFDYATLKKATRDFNQKNQLGRGGFGPVYLAWRLYEQSKILELVDPKVQADGLDEKEVQQVCQIALLCVQPYPNLRPAMSDVVLMLTMKGDQSVPAPMKPAFLDRKSLKDKNVTSDTAMEMRSASYWMNTPSPMVDKPYDMSCGI >Sspon.04G0012050-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:38247471:38256263:1 gene:Sspon.04G0012050-1A transcript:Sspon.04G0012050-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAVAEAEAESAADLGRLGISPATPDIVRKPMRASGTTAADGSCCTALYTSAAACPRKVDSDCLASVPSHAQEHSQSAMLEDLGQVDCDLVGTQESSTLPPLVGESSGNLAQQEQQQQHEEEGIASGSDAGAASPAHTPTPEKVEPTPRRWWKKSTKGVQRLKVAKDKVMKPKVTTPSTVKKNKKKMPEDGNQRVAAARSNSARRKLDLDSSESKTCFSRAELMGNLKSLAKIHGLNSEPTTCKRSKRGKKRKLMVGHKESGQLALLPYQAASFALEPLRYSTELDIPCPQNHGKVQAKVLGLTDETLRVFAVLTNWDRSDSESFEGFDIGSGPEWDTTRRTFEQYVDIFIAEMFDLIGPRKYSQWGGSLIDSAVGTFLTQNSADNLSSQAFMNLAAKFPPRNSNEAFDTFDFVDSHFDGYVDSKEEVDYDTEVKGHYGEDENYNRLIGNFAASMKEKNISTWNSDLMNLVKDKSGNPVCTEANLKKILASLRQPDTASNWKELREEAYKKGYNGKSRTETSDVVDWESVLNAPFSEVAKCIACRGQHNILSVRIREFLDHVQKAQDGSFDLDWLRFISREKAKYPLLDDVQRYILPDDHLILEEIPCRTANRGKFPLNGTYFQENEVFADYSSSRNPITIPRECIGMLKRSIVYFGASIHSITKGQTREDIQECFKEGYVCVRAFHRRTRIPLRLCATLHATNTIKKPAGEKPVKQGRTSPEGKRKKNETKASSTK >Sspon.07G0017720-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:64052939:64053634:1 gene:Sspon.07G0017720-1A transcript:Sspon.07G0017720-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKAKVHPNAADPEHAAPVTVVTPDEAEPPTVLTVWRRSLLFNCDGFTVYDDRGDLVFRVDSYDGSGRRRAEVVLMDATGTPLLTVRRKRRLGMMAEHWVIYDGDAACEEPSNSKPLLSVRRHRRASSSSSKAKALAYVTPLLVSSSSYVVEGSYGRRACAVRDHSRGDAVVAEVRRKEAVVGDDVFRLVADPRLGATLAMGLVIALDEMFAGGRRSARSSSLLRSRTWSV >Sspon.01G0015620-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:47238035:47241675:1 gene:Sspon.01G0015620-4D transcript:Sspon.01G0015620-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calmodulin-binding receptor-like cytoplasmic kinase 3 [Source:Projected from Arabidopsis thaliana (AT2G11520) UniProtKB/Swiss-Prot;Acc:Q9ASQ5] MNVSSYEVSMSSEKVPPTPHRIPPSPSRFAPSPQIARVGSVDLSIQQILRATQNFSSSFKLGEGGFGMVYRAVLPDGHVVAVKRAKKDQFAGPRDEFSNEVDLLAKIDHRNLVRLLGFTDKGNERIIITEYVPNGTLREHLDGQHGRVLDFNQRLEIAIDVAHALTYLHLYAEKTIIHRDVKSSNILLTDSYRAKVSDFGFARSGPSDTEKTHISTKVKGTAGYLDPEYLRTYQLTPKSDVFSFGILLVEILSARRPVELKRTPDERITIRWTFKKFNEGNTREILDPLLEDHVDDEVLEKLLSLAFQCAAPTRDDRPTMKEVGEQLWEIRKEYGKSIRKTLELPDALLVEFDSTCWELGPQRRLQLHGSLQL >Sspon.01G0043950-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1B:78710097:78710332:1 gene:Sspon.01G0043950-1B transcript:Sspon.01G0043950-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GGWRPWARTWRDHGESWPRGGGRPHDGPGCGSGRGAKLGMRTATSYDGAWRGVAGRAAAMRDLAVTMVHVAAVVHVT >Sspon.05G0021620-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:59274581:59281086:-1 gene:Sspon.05G0021620-1P transcript:Sspon.05G0021620-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SUMO (small ubiquitin-related modifier) E3-ligase, Abiotic stress response, Stress adaptatio [Source: Projected from Oryza sativa (Os03g0719100)] MITHPCTPIKKQEKTINWLVVLLLLYYCHKLVLNLVPKEQDGENFDDALARVRRCVGGGAEANNADSDSDIEVVADSVSVNLRCPMTASRIQIAGRFKPCAHMGCFDLEAFIEINQRSRKWQCPICLKNYSLENIIIDPYFNRITSLIKSCGDDTSEIDVKPDGSWRVKGRAELKDLIQWHQPDGTLSVATDTAAKPEICIVKHEVKEELLSEEVGCLKLGLRKKSNGRWEISKIGDADVVPSSGNDHSRYNENKNCITLSSNIGDTNIANEGYNLEPATNGDPTTHVHDLDSSSSDQNGPPASTGQDIIVLSDSDDDDVMVLSPGAVNCGSTHDTGSLFPLNTPENLGVCGEQTGVCPKESSFVALREGFGDLGLSFWECPGSPRDDPTSQILDTSTKATDNPGEVENYPANDQPKQGPVSGAVAANPVPVEDGHGSALQPCSSNERDSAMGLANLGADTQTCVDVHSDGQTDASTSGSDEDLTTAKIASKKRSDPGDRITALDGMEEVFTPFASPFVHGTVNSDPVASIPVWPRQRMEKRHGK >Sspon.04G0016590-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:70095552:70098579:-1 gene:Sspon.04G0016590-2D transcript:Sspon.04G0016590-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLSSTSSPAAGAAAAAAVRTASPPLRAATHVLFRQKLGFLAAFQAQRAKCSPHLIRYIVKSSRLDINDGDNGTTEPARELLERLFAKTKSLDPSASQDRELSMSIEVLKTEFEAAISILKKKERDLRDAEKKVSVDRSRLNQTKQDLDQREEDIIKAYSRQHEMEKALMKASRDLTLQVRQINNLKVLVEEQDKKIVSSQDALSKKVIEVDKLKQEMLKKNDEAALLRSEIESKEQELLVANQAIAHQEATIREVQSEIKRKETEIERLNELTKANEEKLKVAEQELEKQNSGWIAAQQELKELAQMASKDKDNIKNTISDFKRVRSLLDAVRSELIASKEAFTFSRKQVEDQAAQLSNQVQELTDQKTLIISYTRNLEAAQLEIQGKTNELTAVQSRCSELESQFLEETKKVESLEAMLTKERESLEWKTKEVDLLQEELVQKEKDYFNSQKLVETKETELLEARHEVEDMKLKVDSIQFAVQEKDLELLETQRKLDEVNSEVIELQQLINSKEDQLVQVRTELQDKEQCIQLMQDELDKMRLGRSQAESVVQKIVELTGNLIGSVEGEEVDIYSLLDDEILSTSTALESNLHKHSQLKADIDMLKESLRQKDMDLSAAYKALDAKDRELKAVVGRLDIRDKELDKLEELSIDPYDIRRLSSVADEATKDNIVGEVELQKHELESVEAEALAASTLLKKLANVTKEFLRSGRTDSGTNLVASQNSNISEGASKMEPQRKINVILEAKKEIVGLFSLTEELVAGAQMKDAEEP >Sspon.02G0035850-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:21977552:21978454:-1 gene:Sspon.02G0035850-1B transcript:Sspon.02G0035850-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin domain 2 containing protein [Source: Projected from Oryza sativa (Os07g0476900)] MASTATFLSTLATRSAATGSLRSAEPSGAKIVRFLPAQMSRTGRAAVLPTPRAAVSGAEKAQPAPSSKHERVVRVHSTQEFDDALKAAKNRLVVVEFAASDSESSSQIYPTMVQLSRTCGDVDFLLVLGDESEATKELFRREGITQVPHFNFYKGAEKVHEEEAIGPERLAGDVLYYGDSHSAVVQLHSREDVEALINEHRGDKGKLVVLDVGLKHCGPCVKVYPTVLKLSRSMVDNTVFARMNGDENDSCMEFLRDMKIVEVPTFVFIRDGQIVGRYVGSGKGELIGEILRYNGVRVTY >Sspon.01G0052300-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:21753117:21756042:-1 gene:Sspon.01G0052300-2P transcript:Sspon.01G0052300-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTSSSSPLPQPPAAAAAAASPGAASPSEERSAGGGGRPPVPPYVKAAAGSLGGVMEACCLQPIDVVKTRLQLDRAGAYRGIAHCGATVARAEGVRALWKGLTPFATHLTLKYALRLGSNAVLQSAFKDPTTGKVSAHGRLASGFGAGVIEALLIVVKIRLQQQKGLSPDLLRYKGPIHCARTIVREEGIFGLWSGALPTVMRNGTNQAAMFSAKNTFDIVLWKKHEGDGKVLLPWQSMISGFLAGTAGPVCTGPFDVVKTRLMAQGRTGDIKYKGMVHAIRTIYGEEGLRALWKGLLPRLMRIPPGQAIMWAVADQVMGLYERTYLQPVHV >Sspon.03G0016290-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:72084059:72089226:1 gene:Sspon.03G0016290-3C transcript:Sspon.03G0016290-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DEDGADGVQGDGAAYGVHVSLDAATDGVQVPSVQGDAAASDGASTNGGDVDGQQGASTNKRVQWNEIFNFVGIKQIRDLKVAKEEQDIGFYAGFVGATYFLGRTISAVPWGMFADKYGRKPCIVISILSVIVFNTLFGLSTTYWMAIVTRGLLGLLCGILGPIKAYASEVSRKEHQALGISFVTSSRAIALVIGPSIGGFLSQPARKYPKIFSEESIFGRFPYFLPCFVISVLAVGSCIACIWLPETLHFHDDDKVEAIEELESQVGGSKSTENLLKNWQLMSAIIIFSIFSLHDTAYLEIFSLWAVSSRKFQGLSFTSQDVGTILSIILLTTYPFMSNLHGLELKILINMASVLKNATITIACNILQNTAVVHINPIWQTQKQRGTANGISVTMMSTFKAVAPAVAGIIFSWAQKHISGLFLPGKWDIHGSSNFAIFALGFQKGNQILFLTLNMVSLIGLVLTFKPFLSLPNPMRH >Sspon.01G0006920-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1A:18518063:18520160:1 gene:Sspon.01G0006920-1A transcript:Sspon.01G0006920-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MESRTTPIQEREDDEDIATLDTPTLWSFPSCNSSPTQLPRHPRIQQTRRHCFVITLAYDIETGRSWMRWKGDNDAVVLDLVPAPEGCRVPHEFADDGMKSRATPILKGGDDEDIAKMESRTTPIQEGEDDEDIATLDTPTLCRHVVTVLVITLAYDIETGRSWMRWKGDDDAVVLDLVPAPEGPWIILCDHGKVLRHLFGPTWPCIVSGLKPKLWASNPWPSSTLGKAFLARSIKLCLVDNQRSSGVTIRVVEFVSVVESCCWFRIVFVVVVQSPVAVIFVFRRYPIHCSQTTSRSHHITRLAPASRLV >Sspon.03G0008960-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:39070581:39071063:-1 gene:Sspon.03G0008960-2C transcript:Sspon.03G0008960-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ITVPTTGIKSLTYDEEKSTLTVVGDVDVVVIVEHLRKAKHPAEVVSVTDEKKEAEEKKKKEEEEKKKKEEEEKKKKSCCLRVVHAVPSAAVPAAVPSAAVPAAVPVPVPVPQAVLHPHRGRVPRALHHRLRPREQHHGHPYQFLADGKATTHSSSRIEGT >Sspon.03G0026780-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:4889461:4891993:1 gene:Sspon.03G0026780-3D transcript:Sspon.03G0026780-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVELPVAGDADRARLQQLGYKQELKRGLSAVSNFAFSFSLISVLTGVTTTYNTGLRYGGPASMTLGWLIVALFNGCVALSMAEICSAYPTSGGLYYWSAKLSGEDWASLASWWTGTASVDFSLAQLIQVMVLLGTGGANGGGYMASKYVLLAIYAAILVVHGLINSLPIQWVSWLGKLGVFWNTSGVFVLVILIPAVAKERAGTEFIFTHFNTDNGVGIRDRAYIFAMGLLMSQYSLLGYDTSAHMTEETKKAGWSGPMGIVASVALASVFGWVYLVALTSAVTDDIPYLLDAGNDAGGYAVAQALYDAFRRRFGSGAGGIVCLGIVAVAVFICGSTNVTRMAYAFSRDGAMPFSRVWHRVNRHAVPFNAVWFSVAVAFVIALPSLGSQVAFQAMVSVATTGLYIAYALPILFRVTTARESFVPGPFHLGRRCSLVVGWVALLTVLFSLPVAYPVAEVNFNYTPVAVGGVLLLSVGTWALHARFWFHGPVTNVAAG >Sspon.05G0012050-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:29876978:29878411:1 gene:Sspon.05G0012050-2B transcript:Sspon.05G0012050-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGGGGGVEQPMQMVLRVKHPSSLGSSAGDEDEGEGSSRSALSVFKAKEEQIERRKMEVREKVFAHLGRVEEESKRLAFIRQELEGMADPTRKEVESIRKRIDTMNRQLKPLSKSCVKKEKEYKEVLEAYNEKSKDKALLVNRLIELVSESERMRMKKLEELNKTVDSLY >Sspon.02G0006940-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:22374026:22377359:1 gene:Sspon.02G0006940-3C transcript:Sspon.02G0006940-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLMSPFPGMDLSKMDAPTLTLLGAAGCVMLTMHFTVQLVSQHLFYWKNPKEQKAILIIVLMAPLYAFSSFVGLLDIQGSKTFFTFLDAVKECYEALVIAKFMALMYSYLNISISKNIVPDEIKGRELHHSFPVSLFLPRNVRLEHKTLKLLKYWTWQFVVVRPVCSILIIALQLLGLYPSWVSWTFSIILNFSVSMALYALVLFYHLFAKELAPHKPLAKFLCIKGIVFFSFWQGFALDILTEAGVIKSHHFWLDVEHIQEAIQNVLIILEMVVFAVIQQYAYHVAPYSGADRAKFEKKNE >Sspon.07G0020500-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:70516118:70520591:1 gene:Sspon.07G0020500-3C transcript:Sspon.07G0020500-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGAAFPGTPPVPRSPEDVFRDYRARQAGLIRALTTDVEKFYVMCDPEKENLCLYGLPNETWEVNLPAEEVPPELPEPALGINFARDGMNEKDWLSLVAVHSDSWLMSVAFYFGARFGFDKESSLMESPSGGLPCRKRLFTMINNLPSIYEVVTGTAKKEPKEKTPKSNNKTNKSGSKPSRQAEPNSRVPKMPPPKDEEESEGEEGEPQEDHETALCGACGLGYDDFWICCDLCETWFHGKCVKITPAKAEHIKQYKCPSCTGSKRAKA >Sspon.01G0009960-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:28080054:28084623:1 gene:Sspon.01G0009960-1P transcript:Sspon.01G0009960-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Very-long-chain 3-oxoacyl-CoA reductase-like protein At1g24470 [Source:Projected from Arabidopsis thaliana (AT1G24470) UniProtKB/Swiss-Prot;Acc:Q9FYL6] MALAADAPWPISSPPPAWFAALVAVGLYIVVRSAATFLLWLHRAFLRPGRDLAGRYGTWAVVTGAMDGIGRAVALELARAGLHLVLVGRSPDKLARVAKEVLAAAPPSSCKVRTVAFDLAVTGDDARRGVARVAAAVEGRDVGVLVNNAGATYPCAAYFHEVERPVWEAVVRVNVEAATRITRALLPMMAARGRGAVVNVGSGSSVVVPAFPLYAVYAASKAYVDQFSRSLSAEYKQYGVDVQCQIPLYVATKMSPVKGASPFIPSPEEYARAALRCIGYEARCVPYWRHSVQWFLASLMPDAALNHWRLQTGIRKRNEMKAQALLGEKAC >Sspon.05G0028690-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:71694904:71697385:1 gene:Sspon.05G0028690-1B transcript:Sspon.05G0028690-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probably inactive leucine-rich repeat receptor-like protein kinase At5g48380 [Source:Projected from Arabidopsis thaliana (AT5G48380) UniProtKB/Swiss-Prot;Acc:Q9ASS4] LCYGTVTDIQCLKKLKASVDPDNKLEWTFNNNTEGSICGFNGVECWHPNENRVLSLHLGSFGLKGQFPDGLENCSSMTSLDLSSNSLSGPIPADISKRLPFITNLDLSYNSFSGEIPEALANCSYLNIVSLQHNKLTGTIPGQLAALNRLAQFNVADNQLLGQIPSSLSKFPASNFANQDLCGRPLSNDCTANSSSQTGVIVGSAVGGAVITLIIVAVILFIVLRKMPAKKLKDVEENKWAKTIKGAKGAKVSMFEKSVSKMKLNDLMKATDDFTKDNIIGTGRSGTMYRATLPDGSFLAIKRLQDTQHSEDQFTSEMSTLGSVRQRNLVPLLGYCIAKNERLLVYKYMPKGSLYDNLHQQNSDKKALEWSLRLKIAIGSARGLAWLHHSCNPRILHRNISSKCILLDDDYDPKISDFGLARLMNPIDTHLSTFVNGEFGDLGYVAPEYTRTLVATPKGDVYSFGVVLLELVTREEPTHVSNAPENFKGSLVDWITYLSNNSILQDAIDKSLIGKGNDAELLQCMKVACSCVLSSPKERPTMFEVYQLLRAVGEKYHFSAADDELTMQPQNANPEDELI >Sspon.06G0011360-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:61893271:61896103:1 gene:Sspon.06G0011360-1A transcript:Sspon.06G0011360-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHHRPSSAAAAAGSSLPLSPADGFLRVKDGVDGMIKYVANEPSVGLYFVQQHARASMPLLLDVKGELAEKTHEVTLHTEDMEDSICAVRSMADFGLPLADDMIKDINRSLQIMSKTQPKRGLIQNPSWGFQSRKSSGTWDELGTTNGSSSRNYFSSMFNTAKQKASSLRWPQPDFTTKDDGSENSASSAAPDSSQAGGQGASTPDTEKDEIPISSRLSDGTTAMNCSLPATGVSETVEDYNKFKEEQELKLQEWLRDSEEAVGNKD >Sspon.03G0036980-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3B:96121205:96121792:1 gene:Sspon.03G0036980-1B transcript:Sspon.03G0036980-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRLGRRVIHFANLPLKLMLPPAPLSSVQEFAVRTVPSASKVDIRRCLESMYGFSVAEVRTLNMEGKKLRRGPFLAAKPDYKKAYVTLRAPLAVSRDLFPIGAILAERERKASAAAARRKAVEGAEVEGEGKGKHWMEDEREGFSRAGCGKVVYGNPGRLGRKRRGGGKVKEEAGDEGAKFPWTGMRLATEKPR >Sspon.05G0002170-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:6127651:6136481:1 gene:Sspon.05G0002170-1P transcript:Sspon.05G0002170-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTRPSSTPASRFPQLLLVVVIACAVPGVRCSDRRFPHLDRVRELHRREGSSSAEQEAAARGLLARLLPSHSTSFEFRVISTEQCGGKACFVINNHPLFDGEGTPEILILGVSGVEISAGFHWYLKHYCAAHISWYKTGGAQLSSIPHPGSLPRVPDGGVLIQRPIDWSYYQNAVTSSYSFAWWDWYRWEKEIDWMALQGINLPLAFTGQESIWQRVFQRYNISKSDLDDFFGGPAFLAWSRMANMHGWGGPLPQTWLDDQLALQKKILSRMYAFGMFPVLPAFSGNIPAALMSKFPSAKVTHLGNWFTVDSNPRWCCTYLLDASDPLFIEIGKLFIEEQIREYGRTSHIYNCDTFDENTPPLSDPNYISSLGAATFRGMQSGDNEAIWLMQALLHSVPVGKMIVLDLYAEVKPVWINSDQFYGVPYIWKVGVGMSMEGIEQNPIVYDLMSEMAFHQRQVDLQVWVETYPTRRYGKPVKGLQDAWWILYQTLYNCTDGKNTPGLYVNTRQMYSTMPLKNYIGKDASSDAYDHPHLWYDTNAVIHALELFLEHGDEVSDSNTFRYDLVDLTRQVLAKYANDVFLKIIESYKSNNMNQVTILCQHFLNLVNDLDTLLSSHEGFLLGPWLESAKGLARNSEQEIQYEWNARTQITMWFDNTETKASLLHDYANKYWSGLLRDYYGPRAAIYFKHLLLSMEKNAPFALKEWRREWISLTNKWQSDRKVFSTTPTGDSLNISRSLYIKYLNTADPLELEGTGSPGKSASL >Sspon.04G0007650-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:15014976:15016263:1 gene:Sspon.04G0007650-1P transcript:Sspon.04G0007650-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKAGHEHVIGIPVNNRAFGIEEPDFPSNGAAKNATAAGGRAAKFGRTRDRVAQGLKEHVTLGPKLYETVKGKLSLGARILQAGGVEKVFRRWFSADKGEKLLRASQCYLSTTAGPIAGMLFVSTERIAFRSDRSLALTSPQGGGTVVRVPYKVAIPLRRVKTARPSENKDRPEQKYVQVVTDDGFEFWFMGFVRYQVSLQELEKAIAAQSQSQ >Sspon.02G0036470-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2B:24969301:24969451:1 gene:Sspon.02G0036470-1B transcript:Sspon.02G0036470-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVHLRVWSLFEARLMLQTRCGTFTVHWLVLMMWRKSRERADRTLGASG >Sspon.01G0037460-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:21673939:21674980:-1 gene:Sspon.01G0037460-1B transcript:Sspon.01G0037460-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLVFCLLLCLLGAGQQAVATAAAIHVPKHESSAAAFDYIPLPTASPAPRAWPSTGPYAGVSDGRVLRWGGSARGWTTFAYSTSYVHNPSCKASPARPDDAEDFNVRTGDLYIADAYHGLLKVGPAGGEAKVLAAKADGGAFTFVNGVDVDQSTGDVYFTDSSTSYTRRHNTQILLNRDSSGRLMKYDARAKRVIVLQDALPYPNGVAVSADRTHVVVAHTGPCQLFRYWLKGPKAGTYELFADLPGYPDNIRRDSRGGYWVALNREKIDGADAAAGKHIVGVRLDAKGVQREEMTADDKRVTLSDIAEKDGKLWLGSVELDYVVVVDQKLIN >Sspon.01G0024780-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:86497166:86497853:-1 gene:Sspon.01G0024780-2D transcript:Sspon.01G0024780-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPAADGDVHGQRRDGEKHAPVVSLRELGLADVDAFMAWASDDRVMRYLKRPLCATREQAVAQIRDTVLGHPWFRAVCVGDGRPVPVGQVSVWPYADESGRRANIGYALAHDQWGRGIAAAAIRMVVGRVFDDLPGLERLEAVTDVENVRSQRVLEKAGFHREGVLRRYIAGRGAGEARDAAIYSFLSSDRA >Sspon.05G0017070-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:71919574:71921267:-1 gene:Sspon.05G0017070-1T transcript:Sspon.05G0017070-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSSEEKVVQNKKVVLRRHVTGFPTEDDLEITVDTTKLCVPAGLTAVLIKNLYLSCDPWMRFRMSKPEDGGLPPEFVIGEALINFTVGKVVDSTHPEFNAGDLVWGMSAWEEYTLVTQLESLHKINHTELPLSYYTGVLGMPGLTAYACFFEVGKPKKGDFVFVSAASGAVGQLVGQLAKIAGCYVVGSAGSDEKVSLLKTKFGYDDAFNYKTETDLGAALKRCLPDGIDIYFDNVGGAMLDAVLLQMRHRGRVAEEMAGYIKDGKVTVVEDVVEGIDSAPAALIGLLSGKNVGKQLVAIARP >Sspon.08G0006780-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:55662:57302:-1 gene:Sspon.08G0006780-1P transcript:Sspon.08G0006780-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATAAAAAATTTNGALAMTNDTVATANGARTNGTPATTPNGASATAYGSPASHHGANGAGDGVPVPGSGRDHVVIFPFMAKGHMLPLLHFATALSAQHGRSHRLRVTLVTTPGNVAFARSRLPASVDLVALPFPSFPPLPAGVESTDALPCPTLHLTFMHATGLLRGPFAEFLASLPSPPLALVSDFFLGFTRRVAADAGVRRVVFNGMSCFASAICKALAASPPASGFEPGAMIQVPGMPEHVVVRAEEVPDGVTKRADPDNPFTRFFMDEIGDSDVRSWGVLSNSFAALDEAYVPALESFYEAGARAWLVGPLFLAAAGDDIPDGEKEQDPEGCLSWLDERAAAQPGSVVYVSFGTQAHITNAQLDELVHGLLQSGHPFLWAVRSDTWSPPVDVGPNGRIVRGWVPQRSVLAHKAVGGFVSHCGWNSVMESLAAGKPMLAWPMIAEQHLNARHVANIIGVGVRIALKAGADVVGSAEVEDKVRELMDAECKAAKQMRERAAWAQQAARSAVSHSGTSAMALQKLVEELQETYDDVVGKGENGV >Sspon.02G0012030-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:32014072:32015655:-1 gene:Sspon.02G0012030-1A transcript:Sspon.02G0012030-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MESAMFPGCCLAAVLALAALASPHRLAAAAASGEGSETTTSWHVVSVKSLLPNTVCTATKGPAAPSSSALTVMHMHGPCSRLQSRGGGAPSHTEILRRDQDRVDAIRRKVTAVTAAPNPTKGVSLLADWGKSLGTNNYVTSLRLGTPATDLVVELDTGSDQSWVQCKPCADCYEQHDPVFDPAKSSTYSAIPCGARECQELGSSSRNCSSDRKKCPYEVSYDDDSYTVGDLASDTLTLSLSPADTVRGFLFGCGHSNAGTFGEVDGLLGLGRGKASLPSQVAATYAAGFSYCLPSSPSAAGYLSFGGAAAVPANARFTEMVTGQDPSSYYLNLTGILVAGRAIKVPPSVFATAAGTIIDSGTAFSRLPPRAYAALRSAFRSAMGRYRYKRAPSSTIFDTCYDLTGHETVQIPSVALVFADGATVHLHPSGVLYTWNDASQTCLAFVPNQDETDLGILGNTQQRTLAVIYDVGNQKIGFGAKGCA >Sspon.01G0030490-2D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1D:104575162:104575794:1 gene:Sspon.01G0030490-2D transcript:Sspon.01G0030490-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to Germin-like protein subfamily T member 1 precursor [Source: Projected from Oryza sativa (Os03g0804500)] MSKPSSASLLLLLLSATLLATTCRADPDPVQDFCVAVAPGGSSNASYSTTYPGFPCKPTSSVVSDDFFFAAHAGGASTDNPNGAAVTPGNVEAFPGLNTLGLSINRVDLAPGGVNPLHIHPRSAELVHVEAGEMLVGFVSTEGKFYSKVVRAGESFVIPRGMMHFQYNVGTGAARAMTVFNSQLPGVVLAAQSLFGAEPEIPDAVLAKSFQ >Sspon.01G0055140-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:73600768:73604363:-1 gene:Sspon.01G0055140-1T transcript:Sspon.01G0055140-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MYHCQLLNGAPQGYASPRHARARPPRERERDLAASYAREIGACVRERRWGAACEVFASMRTAGAAPDRFLLPQVLRACASLGAPRLASAAHAIAAKGGAALAGDPVVGNAIVAMYAALGDVASARAAFASLPDRDVVAWTALISAHADAGELEEAFDLFEEMQESGVRPDMISWNTLVSGFARSGDLVAALHLFDEMRQRGVDPGVNSWNCIISGCVQNALYDEALEVFQEMCESERPDAVTVASILPACAGLQALGIGKQLHSYVLRCGIKISVYVGASLISLYSECGEFDDARVVFSTIEEKNVNVWNELVQSYIREGRMDKAWEAFDLMQEDGLEPDIVTYNSFIAAYAKVRSSDGKAKGWTFLDNCGPIQPTGTTITGVLSLLVDLKLDRLGKEVHCYALKNGLTSNIYISSKLVDLYGKAGDITSAANVFQRIGNKNVVTWNSLMAAYKHNWKPEVTLKLLGEMLQSNLHPNLVTVHIALMSCACKQEGVFEEAQSYFYNMEDVYGIKPSLKHYTCMVDIMGSAGLLAESLTLIQKMPLKPDACLWSTVLKACKLHSNLEIGEKAAKALIELEPNNPSNYMVLSNIYADTGLLDATEAVRDAMTEQGLHVERQCSWLYNGTTVHSFEAGNLSHPAIDAILSTWKHLTIRMEQSGYSTEDIGPYYNVEVDPLSCHHTEKIAVCYGLISTYDHQPIRISKNFRMCMECHSSIKFISRDKNREIIVSDGCTYHHFKDAAEMHGKRRELTKIVHQYRPGDICTLALSVMAGISNQCHHLEAFNTSVYIRFALLGARAADMTSFMFLKRSNQRPSIIIISYLSYQVFEKKYLRYQ >Sspon.02G0020240-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:61538198:61542034:1 gene:Sspon.02G0020240-4D transcript:Sspon.02G0020240-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEAAAKRARESGEDAAAAGAGAGAEAGAGEISAVIPGWFSEISPMWPGEAHSLKVEKVLFQGKSDYQNVMVFQSSTYGKVLVLDGVIQVTERDECAYQEMITHLPLCSIKDPKKVLVIGGGDGGVLREVSRHSSVEQIDICEIDKMVVDVSKQFFPHLAVGFEDPRVSLHIGDGVAFLKNAPEGTYDAVIVDSSDPIGPAQELFEKPFFQSVARALRPGGVVCTQAESIWLHMHIIEDIVVNCRQVFKGSVNYAWTTVPTYPSGVIGFMLCSTEGPAVDFQHPVFNIEEDEHSTKAKGPLKFYNSEIHTASFCLPSFAKRVIESKAN >Sspon.06G0026980-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:68444379:68446854:-1 gene:Sspon.06G0026980-2C transcript:Sspon.06G0026980-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MANSLYIITKRATALPFIAMATTPEEFFIKDLMEQPPASPPVFLDLPQKTNVSNEVWHRVPNNDMMLPYISHMLMEDDIDDELNDHPALLQVQQPFAQILSCPSLGTNTNNYEGPKEFLHEGHGDESALNSHLSKGASVLGASLKVMEEANMLLPKDNDIRGDELVMNQIRESNIIDSRLKKRYNRDHLIDEEVRSTNKAVTMSKEPEEKHLNEMLDEMMLHTYETCIKGMVHVTMGKRNMKSGRIKVVRDSVVDIRKLLISCAQALAADDEMTTRELLKQIKQHASATGDATQRLAHYFAKGLEARILGTGSQLFQLLMLEYPSAIEFLKAYKLFSEACCFINVTFIFSAMTIMQAMAGKSRLHIVDYGMRFGFQWAGLLRFLASKEVSLPEVKITAIARPKPMCSQGEQIEKIGCRLMKCAHELGLPSFKFHAIMKKWEDTSIIDMHKDADEVLIVSDVFSFSILMEESIFFDSPSPRDTVLHNIKKMRPDVFIQNVMNRSYGSSFLSRFRETLFYYMAMFDMLDATIPRESKSRLVLEKVLLGCHTMADKNQRAGLRQLPLKSSIVNVVKDEVMKHYHKDFMISQDGQWLLQGWMGRVLCAHTTWVANEDASSG >Sspon.06G0010800-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:46066298:46071337:1 gene:Sspon.06G0010800-2B transcript:Sspon.06G0010800-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding PMLSGCSVSSLAARFAFFPPEPATYAVRKDEATGRLVASGVPRDSAMDVLLVNTTRGNKVVAFYFRNPCARLTLLYSHGNAADLGQLYDLFVQLKVNLKINLIYDYSGYGASTGKPSEENTYADIEAVYQCLETEYGISQEDIILYGQSVGSGPTLHLASRLPRLRGVVLHSAILSGLRVVCHVNFTFCFDIYKNVKKIKKVKCPVLVIHGTDDDVVNWSHGKELWKLAREPYDPLWIKGGGHCNLELYPDFIRHLSRFIREMENITTKIRLKKIRPSLQPRKKAHRPNTATTTTFTANCCCRIRVRKPTCPSCNFSCGCCGARNCFPFRFSRCSCPTTCFTSCGGGGCCSCMSCFKCCCCGDVR >Sspon.08G0016120-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:58394755:58396894:-1 gene:Sspon.08G0016120-2B transcript:Sspon.08G0016120-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVGKIIPHFNGLIRNGLDGAQLIVTFVCRRIQPIKKRRHRMSEFTGISDPTRERSIKLTEDDILSRVAQLVSTDNICTNGKPLPCHHKRPPENDLSKYLSATLEEDEEGVDMPQATVGATIKRESDEAIACSTPDPGLQDLSSLGRVAIYKREEKLSKDVMLPCLLLHSSASPTTEVSTSASREAADLTAPHREEMPDNESVPLLPPQAASPSPTPQSEPRPLQSLPACQSPPTTTLSALTVPESIEMTHVPCRPLPCDNLGSSQQSVGFATDSVEVEGEVHGKTAQEEEPGMLYVQMKDMESNLLWEIDDEMERVEVDWEKVKEATTFFETRLTEITLKFKRASKLIVKLKQENKELEVKLKQENKELEVKLKQENKDLEVKLKQENKELEVKLKQENEVTRAFEKKASDLSKELDIVRADRDSLSRRFQDAKKKAADLCDAFNAPCNKFSIDNSTPDGVEVVTERLGNFPTELTDEAVAAAADGGHCRIGTQLALATRG >Sspon.05G0014300-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:51137027:51139486:1 gene:Sspon.05G0014300-2P transcript:Sspon.05G0014300-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATADSHATARSEFIDSYTLYIHVSEPKLQENLSDWAGKLLPLASPIKWAYDCDMQHSKRQPSTKKETYVIFMASRNQGSAPRALVVEDIKVDCVILMHMLHKLNCEATAVENGKEAVDLFLEGKTFDIVFSDKDMPIMSGPEAVAKIRAMGATEVKIVGVSADFGGREAFMQAGADVFVPKPVKLETLESMLKVVISKNMSG >Sspon.04G0000750-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:2365624:2367039:-1 gene:Sspon.04G0000750-2C transcript:Sspon.04G0000750-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPTWRLVSLALCLGALAVLQAARGAGAARAFFVFGDSLVDNGNNNYLITAARADAPPYGIDTPDHRATGRFSNGKNVPDIISEHLGAEPVLPYLSPELDGHKLLVGANFASAGVGILNDTGIQFGVGPIGCVPAELALHSLDGTCDPELQRAAEMYNPRLMALLQSLNARHGGQVFVGVNMKRIHDDFIEDPKAYGFATATEACCGQGRFNGMGLCTVVSSLCADRDTYVFWDAFHPTERANRLIVQQFMSGSVEYIAPMNLSTVLAIDLQKQQLR >Sspon.01G0057900-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:17205054:17207943:1 gene:Sspon.01G0057900-1D transcript:Sspon.01G0057900-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFSSGIHKKRGHDEAVATSNSVKAPSPPKGTSSQIQFSNQIQTMDLDPTEGFRIKMRAQARTLNKYMTMCMNPMRVTLMITNVANQRNNAIQGKDHKRRTCLFTYYSKRRQHTVFLQSTSI >Sspon.01G0039670-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:36076693:36077816:-1 gene:Sspon.01G0039670-1B transcript:Sspon.01G0039670-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLDSYTCELCGLGIEETIDHLFLQCPLAQHCWGLINLTTSLDQGTLENFAAFKDHINSQFFVVAIILMCSTIWLARNELIFNGNQTVAAGGDLQSCESYLRSCDKQLRRCDGGGSSWWCRVCGRRILRRRCRICEDDASFGGDAESVKTAGGVLEACLIC >Sspon.03G0042870-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:74179492:74181644:1 gene:Sspon.03G0042870-1P transcript:Sspon.03G0042870-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding NGASGGGGGGGGCDHRRRGAVGAGGGGMPVPARRACPGPGARRLRGLAVAEARLRPAPPPPGQEVQRAPPRAAPGIGADVPPPRRVRGVPGRVRGAVRRPHPPPPRGPVRAVRPRHRAVGGGGRGPRRRHGRGRAVRGEVPGGGVRGERREVRSRGARARGVPRRGDARRRVPVGGGDAREGRARRRVGQLRHGDRLRPRGRRRRHLHRRPQRASPGDQGDLERGDGAVPVPAGVGHRQAGAAHVRRRVRRHVQARPPPPRRGALHHEAHNPGLPRRRRRHLRQDQDRRDPGAPRRRQERPRERRRVRGRETTPLRRHRLRHRLPEHRHAVAQERGRADWRRRDGGAELSGALEGGERAVLRRDGAEGHLRQLRGRGAHRRRHQQAAAPPAGAGAGAGQRLL >Sspon.06G0000260-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:388560:390730:-1 gene:Sspon.06G0000260-2B transcript:Sspon.06G0000260-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLTMQPIGPTPAPAAQEDQRRGENPPTADGTDLSDIEPGWVILKNSDIVSADLAAAAISGSQRLGSSTIPSWARWVVGGVVCTVVPFYNRVRHLEDETVGFVENAVEVVEHVAEVTEKLAANVAKQLPEDGSLQKAVEEVEHIAEVVDDDAEKFEAVAEKIDKVSDEIDAAVEPVIEELEKELDQSTTSDDGVNTKN >Sspon.08G0001300-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:4531450:4535316:1 gene:Sspon.08G0001300-1A transcript:Sspon.08G0001300-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEEAVSDNTKGLALAVASSAFIGASFILKKIGLLRAAKCGARAGGGGYTYLSEPLWWAGMTTMLLGEVANFIAYIFAPAVLVTPLGALSIIVSSVLAHFVLKERLEKLGVLGCVSCIVGSVVVVMHAPEEHMPNSVKEIWNLATQPGESLHPVMRITFSQNVSILGCRSLAGFLAYALTTLLLVGTLVLFFEPRYGQTNILIYLGICSSMGSLTVVSIKAIGVAIKLTLDGVNQAAYPYTWLFLMVAIVCGVSQINYLNKDWAGQSFSSIASELCGLITILSGTILLHTAEEGANNSAALLPWPLDKGSISWCISLSSDNLLKNVEEDYFAALQSSPAPLDELKFAAPPLNLDFCQTGARMSLANLSKYPPTD >Sspon.02G0043140-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2B:89490490:89491394:-1 gene:Sspon.02G0043140-1B transcript:Sspon.02G0043140-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SGARRQPARLAGAQGLGA >Sspon.02G0017030-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2A:48357875:48358111:-1 gene:Sspon.02G0017030-1A transcript:Sspon.02G0017030-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIRVQCGCGESSCPEWAVVELQGVVQPQASFASDIRGLHIGRLCSAPSPASASSKARQLLLIPTCLLASVFLDRVGS >Sspon.01G0020170-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:78068096:78070215:1 gene:Sspon.01G0020170-3C transcript:Sspon.01G0020170-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGSKCDSRKWRSWRWLVASWVLAVLLERGGAEVTELDLEERRDERRDLLVLRDTLRSALDLHSNWTGPPCHGERSRWRGVSCDGDGRVVGVALDGSQLTGTLPRGALRAVSRLEALSLRGNALHGALPGLDGLSRLRAVDLSSNRFSGPIPRGYATSLPELARLELQDNLLSGTLPAFEQHGLVVFNVSYNFLQGEVPGTSALRRFPASAFDHNLRLCGEVVNAECREGPTSSSGAPAYGSSSSPVVRPAGDGGRAARKHARFRLAAWSVVVISLIAALVPFAAVFIFLHHKKKSQEVRLGGRARAAVTVAEDIKDKVEVEQGRGSGSRSTESGKGTELQFFRADGASFDLDELFRSTAEMLGKGRLGITYRVTLQAGPVVVVKRLRNMSHVPRRDFTHTMQLLGKLRHENVVDLVACFYSKDEKLVVYEHVPGCSLFQLLHGNRGEGRTPLPWPARLSIAQGMARGLAYLHKSLPYFHRPPHGNLKSSNVLVFFSAPHGTQQKQAVPKLTDHGFHPLLPHHAHRLAAAKCPEFARRGGRRLSSRADVYCLGLVLLELVTGKVPVEEDGDLAEWARLALSHEWSTDILDVEIVGDRGRHGDMLRLTEVALLCAAVDPDRRPKVQDVVRMIDEIATGDRPEELAWR >Sspon.01G0026550-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1C:76520856:76521179:1 gene:Sspon.01G0026550-2C transcript:Sspon.01G0026550-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMTRRVAAAGAGGEAEEMKRRNAELERAVAEAAAREERLRRELEAALARLAVAEEAEERLCVQLGELEAEAVEQAIEYQERVRALSERLAFADGVLRSSGIRGFAAGG >Sspon.04G0007860-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:22151761:22153701:1 gene:Sspon.04G0007860-2C transcript:Sspon.04G0007860-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSSSRVMVCAMAFVLACLAAMPAASTASGDDGGILYIPSAASIAHCPSSCGDVNISYPFGIGAGCFRQGFELTCNRTTQPPKLFLGKSTTQITDIYGSFIYVPAMFFNSSSEESGTNTYNISWDAPAKGITIRRYNNLFFLGCDFDVDLFDYVRNPIGSCTSRCHGKVLPNQGPCNGFGCCSISLRNDISDFQGAIVRAGNIAAQSDPLHPGIMAFMSEQDYTENATDLFSSWTNASKIYDALLEVAIMDQPSCKSAQMNKAIYACGTESVCRNASRGGYTCHCTNYNYYYYDDDDTANNPYLSEGCLKADYNPKPKEHCQRSCGNMPIPFPFGLEEDCSGNGRFQLICTDANETLFSTKFTQYHVTGLSVEDGTLTVSNMLNNGSSMKEMIDEFDFSMEHDIVIRWAVANSSCEQAMHGNRSKYACRSVNSDCQNVTHGTIVMGYRCKCSHGYKGNPYIQDGCTDIDECSLPNYCNGTCQNIPGSYRCTPSSHTLLGIAIGTSCGLGSILIVL >Sspon.07G0011830-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:42292850:42299720:1 gene:Sspon.07G0011830-1A transcript:Sspon.07G0011830-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GQQGDGGGLAQPQRVRHDPTRRRRARPGTAKPAKSANGQVCQICGDTVGVSATGDVFVACNECAFPVCRPCYEYERKEGNQCCPQCKTRYKRQKGSPRVHGDEEEEDVDDLDNEFNNGKGPEWQLQRDDADLSSSARHEPHHRIPRLTSGQQMSGEIPDASPDRHSIRSPTSSYVDPSVPVPVRIVDPSKDLNSYGLNSVDWKERVESWRVKQDKNMMQVTNKYPEARGGGDMEGTGSNGEDMQMVDDARLPLSRIVPIPSNQLNLYRIVIILRLIILCFFFQYRVSHPVNNAYGLWLVSVICEVWFALSWLLDQFPKWYPINRETYLDRLALRYDREGEPSQLAPIDVFVSTVDPLKEPPLITANTVLSILAVDYPVDKVSCYVSDDGSAMLTFESLSETAEFARKWVPFCKKHNIEPRAPEFYFAQKIDYLKDKIQPSFVKERRAMKREYEEFKVRINALVAKAQKVPEEGWTMADGTAWPGNNPRDHPGMIQVFLGHSGGLDTDGNELPRLVYVSREKRPGFQHHKKAGSMNALIRVSAVLTNGAYLLNVDCDHYFNSSKALREAMCFMMDPALGRKTCYVQFPQRFDGIDLHDRYANRNIVFFDINMKGLDGIQGPVYVGTGCCFNRQALYGYDPVLTEADLEPNIVVKSCCGRRKKKNKSYMDSQSRIMKRTESSAPIFNMEDIEEGIEGYEDERSVLMSQRKLEKRFGQSPIFIASTFMTQGGIPPSTNPASLLKEAIHVISCGYEDKTEWGKEIGWIYGSVTEDILTGFKMHARGWQSIYCMPPRPCFKGSAPINLSDRLNQVLRWALGSVEILLSRHCPIWYGYNGRLKLLERLAYINTIVYPITSIPLIAYCVLPAICLLTNKFIIPEISNYAGMFFILLFASIFATGILELRWSGVGIEDWWRNEQFWVIGGTSAHLFAVFQGLLKVLAGIDTNFTVTSKASDEDGDFAELYVFKWTSLLIPPTTVLVINLVGMVAGISYAINSGYQSWGPLFGKLFFSIWVILHLYPFLKGLMGRQNRTPTIVIVWSILLASIFSLLWVKIDPFISPTQKAAALGQCGVNC >Sspon.01G0038400-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1B:29782167:29782601:1 gene:Sspon.01G0038400-1B transcript:Sspon.01G0038400-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVSTIVFRGRGSRLVSCRRLRSRTNDHPFQRAYRRSTVSSLRGSDVRPGTVVSACCMRLSSWLPWPAGRQGHEATHALRVVATIPWMVQRPAAGTRKRGRRTTRGSSRQVARTDRVERKLRATAIRSGCRPARTCGVRWFRLD >Sspon.04G0023620-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:17302470:17303985:-1 gene:Sspon.04G0023620-2C transcript:Sspon.04G0023620-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGAGGIRASSPDLPAARQRLANSARRPRVYSAASALPRLPRRPSIRRGAAVVACARGSEQQSSPAVAAKARPEPDTLSFEFRTRDGCRLGIARYPDFAYNAQGGRGVGAGRGAKSGEDGTVLVNFDVATLYIPSMSGATTRFLGLPLPPFLKIDILPEALGGTIDRATGQVDLKFRSRFCFSVGSVYKAPPLFVETTLTSEESRGVIRSGTGERMDEEGRCKLVGVAVVDPIDDLFMNTFLSLPTECIAYLNATISIATAR >Sspon.03G0013860-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:40247121:40250805:-1 gene:Sspon.03G0013860-1A transcript:Sspon.03G0013860-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MACRVLLRAAAFGLAAAGAGSLHAVSKWTPPREISPFLPSVKLMLLESANGLQSALLRAHPLSGAHLRDVRARAELDLARVDVEIGHGGDPAAAADLRLLLALLATRDGRADDAMRLYAEAARDAPFDPRPRALAYNLCFLSGRDDESAAWRAAYHRLVPGSSQHPGWESHETRELVRELVVAATLGGVHALGYPEDRSLVMRVASSAVDKGLVAALQDKALSATERLQFRLLRVFLDTKVRRLVKEEELAMADIGDEASSGSSYIICGI >Sspon.02G0041920-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:74235162:74238172:-1 gene:Sspon.02G0041920-3D transcript:Sspon.02G0041920-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKRVVIVGAGVSGLTVCKHLLELGCRPTVFEADTVLGGVWARALACTKLQTSRSMYQYSDFPWPESVTEEFPSHRQVVAYLDAYARHFGVLGCIRFGHRVVGMEYHGVGEQAMAAWEEWAGNGQAFGSGTGEWRLAVADADGHVEVIHSMDYAKMGTSKAKEMIRGKRVTVVGYMKSALDIATECAEVNGTAHPCTMVVRTKHWILPDYSVWGINGSTFCFNRFAELLIHKPSEGLLLSILATLLTPLHISN >Sspon.08G0010480-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:41240756:41242373:1 gene:Sspon.08G0010480-2B transcript:Sspon.08G0010480-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALVAVVVLALATVVAGEASTPTAAATTTSKSPPVIYIFGDSMSDVGNNNYLLLSLAKCDYPWYGIDYKTGYPTGRFTNGRTIGDIMAAKFGSPPPVPFLSLYMTDDEVLGGVNFASGGAGLLNETGIYFVQYLSFDNQISSFEQIKNAMIAKIGKKATEETINGAIFQIGLGSNDYVNNFLRPFMADGIVYTHDEFIGLLMDTIDRQLTRLYNLGARHIWFSGLAPLGCIPSQRVLSDDGECLDDVNAYAIQFNAAAKNLIEGLNAKLPGARMYLSDCYSVVMELIDHPQKHGFKTSHTSCCDVDTSVGGLCLPTAQLCPDRKDFVFWDAYHTSDAANQIIADRLFAEM >Sspon.05G0019400-3P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:72750419:72756663:-1 gene:Sspon.05G0019400-3P transcript:Sspon.05G0019400-3P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATTALALSSSLATARRSPEKAAAAPLRLRHFSATSSVHLRAAQSPALSSHPSPFQGWRRRGRAGGLVVRAEMFGQLTTGLESAWNKLRGVDVLTKENIAEPMRDIRRALLEADVSLPVVRRFIESVSEKAVGTDVIRGVRPDQQLVKVVNDELVQLMGGEGKSCMLVAADVYRPAAIDQLTILGKKVGVPVYSEGTEAKPSQIAKNGLKEAKVNKADVDKAMMNELKEVKKAVNPTEVLLVVDAMTGQEAAGLVSSFNDEIGITGAILTKLDGDSRGGAALSVKEVSGKPIKFVGQGERIEDLEPFYPDRMAQRILGMGDVLSFVEKAQEVMHQEDAEELQKKILSAKFNFNDFLKQTQMIAQMGSFSRLIGMIPGMNKVTPAQIREAEKNLKFMESMINVMTLGERERPELLAESRERRKRVAKDSGKTEQQKMMGAIQGKESPDMDELMESMKAEEQAAAGTGRRRRKYGNLRQRQLDAMRGFRRR >Sspon.02G0051230-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2C:74808829:74809149:1 gene:Sspon.02G0051230-1C transcript:Sspon.02G0051230-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MWSCSGLAAVRVAWSHGVRLKRSLSLLLPEETEPHGADEGGLERSRSSTLPEETEPAEVDTSDPAALPPGDFNLWRSWDLTTPRDALILLWQYDTDYALPRSYATG >Sspon.01G0032440-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:112931171:112936267:1 gene:Sspon.01G0032440-3D transcript:Sspon.01G0032440-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSATYSASATTVVAMAKGNGNSKGVRGGGSAGTNKVTRPPRITSNVKQNLRILKFWKEFERRQTSGPQPATRYRKKKVIKEVLPDDTDFYEDPSSTLHLSGLTNEGLEIASPVILVDGYNVCGYWGKLKKDFMNGRQEIARQTLIDELVSFSAVREVKVVVVFDAANSGLSTHKETYKGVDVVYSADLSADSWIEKEVEALVADGCPKVWVVTSDALEQQLAHGEGALIWSSKRLVKEIKESEKELDLELKETRSTSLQGKLLQHKLNPKVVHALKGLRNKLEEQERRKK >Sspon.01G0031090-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1A:106852687:106854064:-1 gene:Sspon.01G0031090-1A transcript:Sspon.01G0031090-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DRPTTAYTRAEEAHTPIPVQVLPQRSRLPRPQARNAPPPNCHAQSAGAASVAGLGFPVDGVRRSQSQHRHPRSRICVASRSHREDAPPLPRPLVARPPGGEESPCPLLTHAARSSPSLAWAPPPVPWPLKRDRPGAPCLLVSSLPALRSTDQIHPTPPKPAMPAPPPPLLPPAGEPFRVFVGYDPREHEAYEVCRRSLLRRSSIPLDVRPIRQPELRAAGLYTRARGPTESTEFSFTRFLTPYLAGYRGWALFVDCDFLYLADLAELLAAAVPPGSADAADRIAVACVKHEYQPAEATKMDGAIQTVYPRKNWSSMVLYNCAHPKNVAALTPQAVSTQTGAFLHRFAWLDDDEIGELPFAWNFLVGHNRVDPADPATRPRAIHYTSGGPWFERYRDCEFADLWLKEAEELRAEKDKLKLLKDKDDADVKQGEEAAQEKGK >Sspon.02G0022480-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:76716340:76718020:1 gene:Sspon.02G0022480-1P transcript:Sspon.02G0022480-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MELRITVHVKALEYVGLAPQGSERVSNFLEKAAEGLVEGGKKEIFTPMYFFLVRKPLSE >Sspon.01G0020150-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1A:74726322:74727126:-1 gene:Sspon.01G0020150-1A transcript:Sspon.01G0020150-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTGERPGRRFTVGRSEDATHPDTIRAAISEFIATAIFVFAAEGSVLSLGKMYHDTSTAGGLVAVALAHALALSVAVAVAVNISGGHVNPAITFGALIGGRISLVRAAFYWVAQLLGAVAATLLLRLATGGARPPGFALASGVGDWHAVLLEAVMTFGLMYAYYATVIDPKRGHVGTIAPLAVGFLLGANVLAGGPFDGAGMNPARVFGPVLVGWRWRHHWVYWLGPFLGAGLAGL >Sspon.01G0008540-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:23727714:23736918:1 gene:Sspon.01G0008540-1A transcript:Sspon.01G0008540-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIAEALQAYTGLTPGAAATILALMVATYLLVSSLFVAPAPAPPPKPPQQQREGQKAQEEEPMPFVYPDPVEVGEVTLEQLSAYDGKDPAKQILIAIRGQVYDVSRGRLFYGPQGPYSLFAGRDATRALALMSFDPNDLTGDLDGLSPDELEVLQDWEEKFKE >Sspon.06G0035130-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:67553722:67557534:1 gene:Sspon.06G0035130-1D transcript:Sspon.06G0035130-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLRKDPNNILQQFIWNGTRPHRRSPVWTSYFLLGGYMDNLHSTIYMALHRGADDEVYMSFGVPIASLSLLIRMEINYSGKVNILSWDSNMSVWTGLYTQPAHKCNEYGYCGPYGYCENTGTAPTCKCLDGFEPNDDEGWVSGRFSQGCRQKTVLRCGGHGFLTLPHMKVPDHFIFLRNKSFDECAAECWSNCSCVAYAHANMSTKGINGDDTRCLIWTGKMIDMERYSQGGETLYIRINKSSDKQGSTEIRNRLMLGDMSTANEVSGESVELSLYCFKVIATATNNFSDSTILGQGGFGTVYKGTLGDKEIAVKRLCKGSGQGAVEFKNEVALIAKLQHRNLVKLLGFCIHADEKLLIYEYLPNKSLDAFLFNAARKSLLDWPTRFMIIKGIARGLLYLHQDSRLTVIHRNLKAGNVLLGAEMNPKISDFGLARTFSVNEQQSSTNQVIGTFGYMAPEYALEGIISIKSDVYSFGVMLLEIVSGSKVSTTGPVTGSPNLIAYAWKLWKDGNIRNLVDPSIVDDSSLGESLRCIHIGLLLVQDNPNARPPMPWVVTSLDNEGIELPQPREPAYFARRHYETGEAGGGESCVIDMTLATLEGR >Sspon.02G0036550-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:25704389:25706829:1 gene:Sspon.02G0036550-1B transcript:Sspon.02G0036550-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALRKQASKFKEQVAKQQQAVIKQFSTTGYERSDSVVIDEVELQRHQQLEKLYTSTRSGRDFQKDIVRAAEGLVSIGNKHIEVGTKFSEDCYRYGGENNASDEALGKAASLYGGALRNIEKEYE >Sspon.02G0038930-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2B:47202389:47203057:1 gene:Sspon.02G0038930-1B transcript:Sspon.02G0038930-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEKGLQLLDFWVSPFAQRCRIALAEKGLAYESLPQDLANKGELLQRANPVHKKIPVLLHDGRPPVCESLIILHYLDEAFPGTPPLLPGDPVARAHARFWADYAEKKVFDCGTRLWKPKGPPQAQARTEMVEALRTLDAELGDKAYLAGEAFGFVDIAVVPFATWFLGYGRLAEFSVEEVCPRLLAWAKRCGERESVAKNLHPPEKVYEFIGYLKDTYGDK >Sspon.06G0014020-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:73393947:73396500:1 gene:Sspon.06G0014020-1A transcript:Sspon.06G0014020-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVPSVAVPVVASGFPAAGGADSRRPPPSSVAATDKNNWNGRSIQAAQNGGPMEAPLRPLDFGEAMDMLREGKTVQSAMYVPLLHGCVETGSLGGARALHGHMVKTGTSADMFVATSLVNAYMRCGASQDARSLFDQMPEKNVVTWTALITGYTLNSQLVEALEVFAEMLEAGRYPSHYTLGAMLNACSASNNADLGNQVHGYTIKYDALSITSIGNSLCQLYAKSGSLESAMRAFRMVPDKNAITWTTMISACAEDENYKELGLTLFLDMLMDGVIPNEFTLTSVMSLCGTRLDLNLGKQVQALCFKIGCHTNIPVKNSTMYLYLRKGETEEAMRLFEGMEDVSIITWNAMISGFAEIMETAKDDLHARSRGFEALKIFRNLKRSAMKPDLFTFSSILSVCSVMMALEQGEQIHAQTIKTGFLSDVVVNSALVNMYNKCGCIEDATKAFVEMSTRTLVTWTSMISGYSQHGRPQEAIQLFEDMRFAGVKPNEITFVSVLSACSYAGLVEKAEHYFNMMKEEYKIEPVVDHYGCMIDMFVRLGRLDDAFSFIERTGFEPNEAIWSSLVAGCRSHGNMELAFYAADRLLELKPKGVETYVLLLNMYISNGRWHDVARVRKLMKQEDLGILRDRSWITIKDKVYFFRANDKSQELSDELYQLLENLLEKAKTIGFEPYQNAELCDSEDDEKPPAGSVKHHSERLAVALGLIQTPPGATVRVTKNITMCRDCHSSIKFFSLLVNREIVVRDSKRLHKFKDGQCSCGDFGALL >Sspon.03G0022450-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:68201908:68206227:-1 gene:Sspon.03G0022450-1A transcript:Sspon.03G0022450-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAGAAAGGRCLLLCRPSPLRLRLLRAALSTAAPTVGPTSTPAPPPRHELLLERLRLRHLKDASSPGAPRPASRGAERSSQQGKGKRVEAAESFEELGLGEEVMAALGEMGISKPTEIQCVGVPAVLAGTSVVLGSHTGSGKTLAYLLPLVQLLRRDEAMLGMSMKPRRPRAVVLCPTRELTEQVYRVAKSISHHARFRSTMVSGGTRLRPQEDSLNMPVDMVVGTPGRILDHIKDGNMVYGDIKYLVLDEADTMFDQGFGPDIRKFLAPLKNRAAKPGDQGFQTVLVTATMTKVLEPSLAKGNKVMVFCNTLNSSRAVDHFLTENQISTVNYHGEVPAEERVENLNKFRNEEGDCPTLVCTDLAARGLDLDVDHVIMFDFPSNSIDYLHRTGRTARMGAKGKVTSIVAKKDVALATRIEEAMKKNESLEALTTNNVRRAASPQSASTKGRPSRLVKTSNALKVVNQKGRRGLRYLANHPGLPRIQLQPEGAHRRRARQRQQNRQPLGKPSW >Sspon.06G0017800-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:72281032:72282225:-1 gene:Sspon.06G0017800-2C transcript:Sspon.06G0017800-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRLLPVLAALLLLAAASPAPPTADATLHPVDYLALQAVRRALTDLPGSRFFASWDFTGDPCLFAGVSCSPDGRVATLALGDPRAGAPGLSGTFPSAAIATLPALTSLSLVPGRVRGTLSPAVTALPALRFLALAGNLLSGDLPSAFAPALRTVDLSKNGFTGRIPPSLLQLRDLRTLVLSHNALSGEIPRAVRAPLLHLDLRSNRLSGGVPPLPGTLVYLSLAGNRLSGPVGGVLRRLPRLSFLDLGRNWFSGEVPGEVFAFSRIGYLQLRKNAFSGELRPAGRVPPGATVDLSHNALSGRVPPELATASAVYLNGNRFAGDVPREVAAAAEAGRMRVLFLQDNFLTGIAVGGVPASAAVCAHWNCVAPPPAVVAACPAKGGRGRRRPQTQCRGRA >Sspon.04G0001250-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:1762381:1763042:1 gene:Sspon.04G0001250-2B transcript:Sspon.04G0001250-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKVHGSLARAGKVRGQTPKVAKQDKKKKPRGRAYKRMQYNRRFVTAVVGFGKKRGPNSSEK >Sspon.08G0012610-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8A:53958089:53959955:1 gene:Sspon.08G0012610-1A transcript:Sspon.08G0012610-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding EGTHGRELARKNSGTVSRGCEKKLRIAVPHKPGFKAFVNITHPNTERQKVTGYCIDIFKAAMEMLQPSPQYEFYAFNGSYDELVFDAAVGDVTITPERIREADFTMPYAQSGLSLLMLSENDSKTIQWIFLEPLTKELWFATVGGFLFTGFVVWMIELPKNPEYQGSRLRQFSTASYFAFSTLTFSHDQIIRSPLSKIVVVIWCFAVLVVVQSYTANLSSMLTAKTLRPMVTDLNQLLHNGDYVGYQYGGFTRSFLIKQGFPLNRIKAYSNQQEYAEALRKGSKNGGVSAILDEIPYLTYFLSNPQYKKEFQMVNRMYKTLGLGFAFPLSSPLVHNLSIAILSLTGDYEGPQIEERWLGPAAPSVGDSPISGFTALTLQSFSGLFIITGCISALMLLITIVRLAYAKYKRSKGSELQNDDGYAGSVCLGESVELQNDRGDGSVPDQHLHEIRDNNYHDSKEGNGSAADIEAGPMQNSMYNGPVPADCVRIEMESTGQGVGMSV >Sspon.01G0015720-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:47945224:47949346:-1 gene:Sspon.01G0015720-4D transcript:Sspon.01G0015720-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAEAAAIWSRRRDEITFDRLHKFWSALSPRARHELLRLDKQTLIEHARKNLYCSRCNGLLLESFTQIVMYGKSLLHEGSCEPRIQEVEAEEVQDPSVHPWGGLSTTKDGILTLLDCFINAKSLHVIQNVFDNARAREREREMLYPDACGGGGRGWISPVIPNYGRGHGTRDTCALHTARLSCDTLVDFWSALGEETRSSLLRMKEEDFIESLMHRFDSKRFCRDCRRNVIREFKELKELKRMRREPRCTSWFCVADTAFKCEVFEDAIL >Sspon.07G0030800-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7C:13539612:13541855:-1 gene:Sspon.07G0030800-1C transcript:Sspon.07G0030800-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSGPMDRHRALFGTAWWPDMPCPENGKKKCLPDPMHEPEPEENSAHAPGRPGLMCGAGELGFSLLTLTPSPNQQPQPPPSRSHEWRPSPSLSLSPPVPLSHSSLPNVATSQVPLSLPPRPPLSSPQRSGLAAGSEDYAGAGPVEAAAVAGSSLSDQPPSRRYTAEPGRSGRTQSGSDGEELSGGRFGEDESAGGGSGGDELASDGSGGEELSGGGSSEDEPGGGGSCGDKQAGAGSGGEDLSGGGEELSVDHHGGEHGPDVAPASEHA >Sspon.06G0008180-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:33769673:33773929:-1 gene:Sspon.06G0008180-2B transcript:Sspon.06G0008180-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASASRLLPPVPPQAAQLRGPRDRLAVPRLRCRAAAAAAAASATDGAVLLERGGLAAVAVREFVTLDELRAAVRLRVRTFYEYAVDSVGTEVNEDIQTRAYLSNVCVAKELQKKGLGYTLVDKSKKLAREWGITDLYVHVAIDNIAGQKLYEKSGFVYEGEEPAWKARYLGRPRRLLLWLDMSEVPL >Sspon.01G0011420-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:31178192:31182303:-1 gene:Sspon.01G0011420-1A transcript:Sspon.01G0011420-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MERAAPVRSSHTSTADLLAWPQPQGPAPAATPSPPRRPGQPSEAIRKVVFGGQVTEEEADSLTKRKPCSAPKWKEMTGSGIFAAGSNGEAGEAAAASKPARSASRQVISTVSHISFAEDGTDPPKKPTSVAEVAKQRELSGTLQSEADSKMKKQISNAKSKELSGHDIFADTPDSRSNRARNSSNGSTASHTPVKNTNVSTFSFGEANADSAPKTAKKITGKKVNDLTGNDIFKGDAPPASAEKHLSTAKLKEITGSNIFADGKEPI >Sspon.06G0011180-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:47998871:48000372:-1 gene:Sspon.06G0011180-3C transcript:Sspon.06G0011180-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAIRNKQDVFSLLGIDYIIAPLKILQSLEESVTDPDVKYGYVPKLTPAFGKTYNFTEEELVKWDQLSLSAAMGPAAEELLASGLEGYVNQARRVEELFGKIWPPPNV >Sspon.01G0020760-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1A:76896326:76897727:-1 gene:Sspon.01G0020760-1A transcript:Sspon.01G0020760-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGKELVVKRNGPVDIREIAAKATLREVRQSGHTYVELRRVGKRVIFFCTICLTECFSDNVLFDHLKGNLHSRRYAEAKVTLFGPMPWPFNDGVLFFNNSREKDPLLLDSSSQSTRELALVPQPEVSRNDTEVTSRLRDGSSSCNGVKGARNGGKGRANGRVAPVSENYALSNSGTDGPLVIPGVLLKDVVSDLPVHLLGYGNISYRICEASKNCKKISKIWCAWVGQEGSHAESCNTNEQSGFAIVNFSYTYDLGRKWSSDEQDLSISAGSFFVIDDAGHRGKRMKKSFSDQEASSEESNGQNSSPQGSSQAIVTSSPAGTSHNLQVGLLSSLSSLSHFLPFTLDYIVPIRDAE >Sspon.02G0032020-4D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2D:88028481:88029760:1 gene:Sspon.02G0032020-4D transcript:Sspon.02G0032020-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIDVQHVVRRDTSLIRRRSSSQPDVAAAPAPSPAVTIIPTNGSSNPATPPGTLDYSVLVSYGTPEQQFPVFLDNSFGTSMLRCKPCASGSGDCDPAFDTSRSSTFAHVLCGSPDCPTNCSDGSVCPFDDLYTTTKATFAEDVLTLAPSMAVHNFRFVCVDVDEPSHGLPEAGILDLSRDRTSLPSRLSSSWLAPAATFSYCLPQSPSSQGFLALGGDATVGHDDYNLSSHAPLVSSAYPELSSMYFIDVVGMTLGGEDLPIPAGTFGGNASTTLDMATTFTMLAPDAYAPLRDAFQKEMSQYNRSVPGFAGFDTCFNFTGLHELIVPVVNFKFSNGESLGIGDQMLYYDDPAAGPFGMTCLAFSSLDAGDDVFSAVIGTYTLTSTEVVYDVAGGK >Sspon.05G0008830-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:5A:26200874:26201063:1 gene:Sspon.05G0008830-1A transcript:Sspon.05G0008830-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding WPGSGWSPWREPCSCGRSLWGGSSPTLRRLVCPRARSSCPLSATTGGAGTCCWSSPTPTTSPC >Sspon.07G0011890-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7D:39935370:39937956:1 gene:Sspon.07G0011890-2D transcript:Sspon.07G0011890-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARILLHGSLHVTIFEAEELSNSSRPSSQAPGFLRKLVETVEDTVGVGKGTSKIYATIGLGKARVGRTRTLTDETASPRWYESFHVYCAHLASDVVFTIRAKNPIGASTVGVAYLPVRDIFDGHEVDRWLHLCGDDKDRTPLESGGKVHVKLQYFDISKDRSWGRGVRSGKYPGVPYTFFSQRQGCRVTLYQDAHVPDGFVPRIPLDGGRCYEAHRCWEDIFDAISGAKHLIYITGWSVYTEITLLRDGARPPRPGGGVTLGELLKKKAGEGVRVLMLVWDDRTSVGVLKKDGLMATHDEETMNYFQGTDVHCVLCPRNPDDSGSIVQDLQISTMFTHHQKIVVVDHDMPVPRSSQQRQRRILSFVGGLDLCDGRYDTPSHSLFRTLDGVHHDDFHQPNFATAAIAKGGPREPWHDIHCRLEGPVAWDVLYNFEQRWRKQGGKDLLIQLRDLADEVIPPSPVTFPDDPETWNVQLFRSIDGGAAFGFPDTPDDATRAGLVSGKDQIIDRSIQDAYIHAIRRARSFIYIENQYFLGSSYCWKPDGIKPEDIGALHLIPKELSMKVVSKIEAGERFAVYVVVPMWPEGIPESGSVQAILDWQRRTMEMMYTDIAQAIQAKGIDANPRDYLTFFCLGNREAKKAGEYVPTEEAEPDTDYIKAQHNRRFMIYVHTKMMMVDDEYIIVGSANINQRSMDGARDSEIAMGAYQPHHLAAASRPARGQVHGFRMSLWYEHLGAVDDAFTRPDSLECIRKVNAMADRYWDLYAGDGPERDLPGHLLTYPVAVAADGSVNQLPGTEFFPDTQARVLGAKSDYLPPILTT >Sspon.04G0013580-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:49151265:49155024:1 gene:Sspon.04G0013580-1A transcript:Sspon.04G0013580-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SADFHQLHHCPRRQRRRPSLAGADGTAASTRWTDLSLSLFLFLFTAAAASFAAACEEEKFTEELLLRPLPDRKALAHFYFRSSAPPAASVGRHHHLFPKAISQLRVGAIFHPEQWGGFDPMSTNNAKPPGVELWAVFDLPFSEIDATWKKLTHALSGLFCASINFLESSTAFSAPCWGFKLNEGNLRYGALPREAVCTENLTPWLKLLPCRDKTGIASLLSSKSLGIILDQTLTVVLQPNTVSGEQLHSNHGQLQPNWHEASFQQKVAMF >Sspon.01G0019330-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1A:72489151:72490101:1 gene:Sspon.01G0019330-1A transcript:Sspon.01G0019330-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKTVPLDVKSTDTIDQIKSQISALEGIDSSQQALFFAGNQLEKDNRLADYNIMENSCVDLYVTDGMQISVSIPSVGKTIKLNLKKSQSVADVKAVIEQKGGIPLDEQILMYECQKLEDNKLLSQCGLSNGHTLHVLVCPTDKLRISVDVDGERTINLDVKGWYTVADVKLMIDTLEGLPASTQILMRTQPGGANTVLKDIETLQNQRIKNNDIVTLYLKVNFFIKTYEGRTLMMSMRTCDTAEEVMKVIEEKLEVNRGVYYLHYRGRVLSLGDTLRKHKIGNNSTVDVRLRNSYVPQRNKRKPKGLLGVRPRGKT >Sspon.04G0017370-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:63033539:63039908:1 gene:Sspon.04G0017370-1A transcript:Sspon.04G0017370-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSGGHAADVLVVSLFGVCVTLKRDGYGRVMLSLGVRSIRTSKQRTTDKYKMAISFKYWDDCLDPEDMKLMWQDPIVSKEWNDAGEEQGQKVHLSRDPDGEAYLTQTEMMAVAAITVHRHFKSQLDPYMISALAEIASGRRLFVDTYDRKTKETKVGIMQVAPEVAQWLGRELGYKSYDIEDNTNLLYWPLVNVYFGAAYAKWLFSCDGKQRTEEFVVRAYKGGKKKAAHKSTSPIFQRYLYVKENLLSMRQPEICHELTPDLENLSSAEAQLIYWDSKVSEADMDAMWKHPDVYKEWIKSGERRGNVRFSHDAKHRPYLSRVEVKAVAEIIISRHLSTRGVKPYALCPWSKYPDWIDGNRLPYFCLAFQAMISVDDLYNPFASMYFGASYLGWLSQYEGREQSYEFIVQAYLGGPENVSLQETGPFWNQFLEALTQYQDPK >Sspon.05G0026460-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:5C:34854962:34855483:-1 gene:Sspon.05G0026460-2C transcript:Sspon.05G0026460-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHLLPGPPVRERTAQQPAGARRTPPSYSPYSCSAPRPPIPRLPSPLTAAVAYVHPSMLHHHQPAVPTSSNHTRHHPRQGGTAHARCPAGPGLDLDLDLEQIDTYTHAYTIHSSTTRVAGGALTHARPIIPVPRPSVSISPPHSCELRCDAPEQKLQSPMMAAPARASSTRSS >Sspon.01G0022260-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1B:84667698:84668267:1 gene:Sspon.01G0022260-2B transcript:Sspon.01G0022260-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKSPRALQELMTAVDAGHGADVNVDSASSDSSKLPGPRRRPTYNGRGTGGARKSAPAVAVVGASTDASFEFSAVVSYSSASPASMVFSDGQLRAHQFPAVRSAASAGSSQATSPVRSSSVGSSYSSTKQQQAGVTGSKKRVSFAAEGADKAGGGQGKKSGGLLGCMGSVCGLSSRNEAVEPVARNDNRK >Sspon.02G0052490-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:92994642:92994830:1 gene:Sspon.02G0052490-1C transcript:Sspon.02G0052490-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding TMWEDTSRPEELINSHVKLLAGARRSQAAWVCWRCCGPPSCSSAASSPCCRSRSSGFSLHSAS >Sspon.07G0003650-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:8794461:8795818:-1 gene:Sspon.07G0003650-1A transcript:Sspon.07G0003650-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAWASDDKGEPARPLAVPSPTVYQASSAHDAEAAVAAGSRSTPYLRKRRCALCCGGCCVTTIVVIGVIILVLALTVFKVKQPRLTVNNVWLTAISAGPGSSPGIPAPGTRTVPAPVATNATLTADVSIKNPNAAAFKFSQTETDVYYRGQTVSVAYAPAGRVGAHGSVRMNVTVDLLADRLARVMNGTGLVFGQEYDLDTYTEINGTVNVLGIIKKDIEIKLNCFVVVQVGGAAAALEYGVASTVQSKSISCLADVTMTVLDLYLGCPEYHIRYDNAH >Sspon.06G0031890-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:74576566:74577481:-1 gene:Sspon.06G0031890-1C transcript:Sspon.06G0031890-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKTLVFYKGRAPRGDKTNWVMHEYRLEGNDRLPGPTSASNLATNGATALKASASAFKVRGSGDNTGMDEWVVCRVFEKTTGMKKMTAPTYQVAMDGADIDQNWNNIPAIPMPLQLPLPVPMPMQFPILPDFAMDPVPPYYPNTGAGMPPMAGIGDAGGIQINDALFGNSIVAPPQMNFYHQMGMGAAADQMGLGAAAGEMDMGVAADQMGIGAAVAQMGMGAVGAGGFDVAALESRPSLMVSQKDDQTNAAKISSMMSVTGPRPATTTIEMDDIWKY >Sspon.04G0004200-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:8610055:8611014:1 gene:Sspon.04G0004200-2B transcript:Sspon.04G0004200-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MANDSLAHHLLLPLAGGCWSDVDEEEKEPGNVSFPSFWPPFPALSSDSDSDAASFVRPRMDRPRETAASSFFGLGFHDGDDDEWAPPDEDGELALPLCWDCLQLEDHDDDHRRWDVGVSDADEWEQVAGREEEEEEAAAASAVRSLEWEVLLAANSLGSLAVDDGDGDGDDDLDAGIETYFLDDADDLLFGQLAAADADHEPPGKCGRPAAKAAVEALPTVVVAEADAARGDAQCAVCKDGVEAGERARRLPCAHLYHDGCILPWLAIRNTCPLCRHELPTDDPEYEKWKARRAAGDGGDADRRGTAAGMMSSSGYLDEW >Sspon.07G0006000-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7A:15659943:15664456:1 gene:Sspon.07G0006000-1A transcript:Sspon.07G0006000-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable DNA helicase MCM8 [Source:Projected from Arabidopsis thaliana (AT3G09660) UniProtKB/Swiss-Prot;Acc:Q9SF37] MFPSRIQELASADNHEEGRVPRTVECELTEDLVDCCIPGEIVTVTGIVKVLNNYMDVGGAGITLALFGGVQKNSMDQNKVPVRGDIHVIVVGDPGLGKSQLLQAAAAVSPRGIYVCGNTTTKAGLTVAVVKDSMTNDYAFEAGAMVLADRGICCIDEFDKMFSDHQALLEAMEQQCVSVAKAGLVASLSARTSVLAAANPIGGHYDRAKTVNENLKMSAALLSRFDLVFILLDKPDESLDKRVSDHIIALRNRSTSADGTPITARQLESLVRLAEARARVDLRDEVTEEDAQDVVDIMKESLYDKYVDEHGYVDFARSGGMSQPKEAKRFLNALNKESELQQKDRFSKT >Sspon.01G0038350-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1B:29752720:29752998:-1 gene:Sspon.01G0038350-1B transcript:Sspon.01G0038350-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLNHSPFYTTIRNPVAPLESTIAISGGSSLVTTMYRKVPGDGSLAAFQDWRYHSVSIHRLRPIFTSGTSVFVGWSNDCHGMPPLLPSRTTRL >Sspon.07G0007630-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:20426926:20430837:-1 gene:Sspon.07G0007630-1A transcript:Sspon.07G0007630-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGAQLVGRWVESYSGMSTDNIKGLLLALSSSLFIGASFIVKKKGLKKAGASGVRAGVGGYSYLLEPLWWAGMITMIVGEIANFAAYAFAPAILVTPLGALSIIISALLAHIMLREKLHIFGILGCILCVVGSTTIVLHAPPERQIESVAEVWDLATEPAFLFYAAVVLAAAFVLIFHFVPQYGQTHIMVMSVKALGIALKLTFSGMNQLVYPQTWVFSFVVISCIVTQMNYLNKALDTFNTAVVSPIYYTMFTSLTILASVIMFKDWDRQNPTQIVTEMCGFVTILSGTFLLHKTKDMVDGLPPNLLIRLPKYADEDGYAAEGIPLRSAADGIPLRSPRATDSFRSS >Sspon.07G0010860-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:33240770:33247038:-1 gene:Sspon.07G0010860-4D transcript:Sspon.07G0010860-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPTAAPAPTTPSAPPANATTPPPATPSAPPPAAPTPPTRTPPTPSPPAPAAPPPSSSSTPSVPAPATPAASPPAPSSSTPATPSAPSPSSPGTPPATPSPPSDTPSPPSSGGGSRSPPSSGGGGDRSPPSSHSPPKSHSPGGGGGGSNGSSGPSTSLVVGVAVGGLVLLLLASFICLCCLRKKRRRAQPPPQHYGYPPPPPPYKEDPYGGTYQSWQQNAPPPPPPEHVVKMHPSPPPAYANRPPQPPPPPPPAMLNSSGGSGSNYSGGEILPPSSPGAALGFSSKSTFTYEELLRATDGFSDANLLGQGGFGYVHRGLLPNGKEIAVKQLKLGSGQGEREFQAEVEIISRVHHKHLVSLVGYCISGGKRLLVYEFVPNNTLEFHLHAKDRPTMEWPTRLKIALGAAKGLAYLHEDCHPKIIHRDIKASNILLDFKFEAKVADFGLAKFTTDNNTHVSTRVMGTFGYLAPEYASSGKLTEKSDARPLLMRALEDGEYDALVDPRLGKDFNPNEMARMIACAAACVHHSARRRSRMSQVVRALEGDVSLEDLNEGVRPGHSRFGSYSSSDYDSGQYNEDMKKFRKMAFNNNYTSSQYSAPTSEYGQIPSASSSEGHQTQEMESGAMKKGGYSGYSSGYSGAS >Sspon.01G0050630-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:5248733:5253008:-1 gene:Sspon.01G0050630-2D transcript:Sspon.01G0050630-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Catalase, Hydrogen peroxide-induced leaf cell deat [Source: Projected from Oryza sativa (Os03g0131200)] MDPYKHRPSSTFNAPYWTTNSGAPVWNNDNSLTVGARGPILLEDYHLVEKLANFDRERIPERVVHARGASAKGFFEVTHDITHLSCADFLRAPGVQTPVIVRFSTVIHERGSPETLRDPRGFAVKFYTREGNWDLVGNNFPVFFIRDGMKFPDMVHALKPNPKTHIQENWRILDFFSHHPESLHMFSFLFDDVGIPADYRHMDGSGVHTYTLINLAGKATYVKFHWRPTCGVRSLLDDEAVAVGGANHSHATKDLTDAIAAGNFPEWTLYIQTMDPEHEDRFDFDPLDVTKTWPEDVFPLQPVGRMVLNRNIDNFFAENEQLAFCPGLIVPGIYYSDDKLLQTRIFSYSDTQRHRLGPNYLLLPANAPKCAHHNNHYDGFMNFMHRDEEVDYFPSRYDPSKNAPRYPIPTVHITGRRDKTVIAKENNFKQPGERYRAMDPARQERFIKRWVDALSDPRLTHEIRSIWLSNWSQADRSLGQKLASRLSAKPSM >Sspon.04G0007780-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:18732424:18733134:-1 gene:Sspon.04G0007780-2B transcript:Sspon.04G0007780-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding KHMAQTMIQIDRRIPDGLRAAFGISRSKLPLLWLPGQQDEAANFAAVLLAPRDDDGASDPMDCYEAEPEGDLGMAFASCYIKDHDEDAHFGHAEAGVIGVADGVGGYRGKGVDASAFSRALMHNAFAEVAMTAVPGTRFCPRALLERAHQMTAAAHTPAASTATIVSLAGRTLKWAYVGDSGFVVLRDGRILLRSRPQQHYFNCPYQLSSKQDSRRLADADVGEVAAKEGDIVILGT >Sspon.03G0002900-1P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7B:73849041:73849439:1 gene:Sspon.03G0002900-1P transcript:Sspon.03G0002900-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDGDGDYVLHPQPGAADDVAVWPGELDEQLITELLSDDSLLLGALEQVPAGDSEQHCSPDTGVSSAPAAPCISGGGGTAAEHQELLPQPEAVSRALCSVYTGPTIRDIEKALSTSRPYPWGSRRYSPTMHL >Sspon.01G0039050-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:32546350:32548734:1 gene:Sspon.01G0039050-1B transcript:Sspon.01G0039050-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RGAMSRFVDKLPFFDRRSSPMEEAGDIPRNGLLHIHHHQPHHSGLLMQPQPSPPAKQSSFTLAQLLKRVNEARSDASSPTSSPTHSHYTIELGGSVPGSTGSDLSERMRGGGDRGSDGPLLPFVLKFTDLTYSVKQRKKGTCLPALPFRRGDGEPAEPEVPRMKTLLDNISGEAREGEIMAVLGASGSGKSTLIDALANRIVKESLHGSVTLNGESLDSNLLKVISAYVMQDDLLYPMLTVEETLMFAAEFRLPRSLPTKEKRKRVQALIDQLGLRNAANTIIGDEGHRGVSGGERRRVSIGVDIIHDPIVLFLDEPTSGLDSTSAFMVVKVLQRIAQSGSVVVMSIHQPSYRILGLLDRLLFLSRGQTVYYGTPRALPSFFNDFGKPIPGNENPTEFALDLIRELETMPDGARDLVEHNKKWQTRMAPKAKHHDGHGEKPSLSLKEAISASISRGKLVSGASDGSVSVHSPGELAAPAAPVSKFANPFWVEMGVLTRRAFLSTKRTPEIFIIRLAAVMVTGFILATIFWRLDDSPKGVEERLGFFAIAMSTMFYTCSDSLPVFLNERYIFLRETAYNAYRRSSYVLSHTIVGFPSLIVLSFAFALTTFFAVGLAGGADGFFFFVAIVLASFWAGSGFATFLSGVVTNVMLGFPVVVSTLAYFLLFSGFFINRDRIPKYWLWFHYLSLVKYPYEAVMQNEFSDPTRCFVRGVQMFDNTPLAALPGVLKVRVLRAMSQSLGVDIGTNTCITTGPDFLRQQAVTDLTKWDCLWITVAWGFLFRILFYISLLLGSRNK >Sspon.06G0019870-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6C:5179634:5184353:1 gene:Sspon.06G0019870-2C transcript:Sspon.06G0019870-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding EFAPESIDLLSPVRRSVDRSVRGLGPPRGSDLVLASPEEEMNGAGGSHHQQQQQRLRQQQQQQALLMQQALQQQQQYQSGVLAAAAAAAMTQVVPRILASSRSAGLRAHWAAVMRADLLMEPISNGNLPPGFDPSTCRSVYVGNVNPNVTESLLIEVFQSAGLVERCKLIRKEKSSFGFVDYYDRRSAALAIMTLHGRHIYGQAIKVNWAYASTQREDTSVMLESCGTTKLDAPGVMEAETAITEMTGKWLGSRQIRCNWATKNNSEEKPETDNHNAVVLTNGSSSSSAMDASQDAGSKENPENNPDCTTVYVGNLGHEVNRDELHRHFYNLGVGAIEEIRVQQDKGFGFVRYSTHGEAALAIQMGNGLVVRGKPIKCSWGNKPTPPGTTSKPLPPPVAPYQPAVAMPGVPQGFTAAELLAYQRQLALSQAAAGQIAGQHGLAGQVSAGLLAAAGSQALYDGYPNQSSAQQLMYYN >Sspon.03G0038280-5P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3C:3980111:3981304:1 gene:Sspon.03G0038280-5P transcript:Sspon.03G0038280-5P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAVALLLALSSCGVASARGPIRRPHPPPKKTLPRGKKPPPNSKFFPITPGRFGHKRNYEASCADENGPSCYVGCPSDCPNSCLVFCDYCLAFCPCDLWPGSACGDPRFTGGDGNTFYFHGKKDQDFCIVSDADLHINAHFIGNHNPALNRDFTWVQALGVTFGDDNHRLYVGARRAAEWDEDEDHIQVTFDGEPVDVDAVRNARWASKALPGLSVRRTKDVNAVAVELDGVFSISASAVPITEKDDRIHKYGKTDRDSLVHLDLGFQFHNLTDHVDGVLGQTYRPGYVTKVNISAKMPIMGGAPKYLSAGLFSTDCAVSRFHRSDAIAAAGAVTTYAS >Sspon.07G0012640-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:45045178:45047408:-1 gene:Sspon.07G0012640-1A transcript:Sspon.07G0012640-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding PPHRQHRPPQLSSSSPPNSHSQFALLKSGASRIRDPPARRSGIRRRPGTRVIPLARPPAAKLAPQRRSQRLAGGGAGSAAAAGPPGAASGGAQAAMGGDSGDAVMARWLQSAGLQHLAAASSAGAGGAADYRGGMPAGLGGAGAGSMLSSLLMQGYGPESIEEKQRLYTLLRGLNFNGDSAPISMSEPYTPTAQSFGGGNPVEGFYSPELRGELGAGLLDLHAMDDTELLSEDVASEPFEPSPFMPKDIDDDDEDVISGSQQVPVDNYGVVTSEKESTARENNVAKIKVV >Sspon.01G0024390-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:87396618:87400523:-1 gene:Sspon.01G0024390-1A transcript:Sspon.01G0024390-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPMTNPPLLSPSPCPLLRRLLHLSRASRRRNPTAGPPPPCTFRRRLLPTAMSSDSTTAPDSVVADPTTLARKVADIRAAGPAKLQVIADFDGTLTRYWYDGARGQSSHGLLRQGNVEYDAKREALYQHYHPIEICPDIPLPEKAKLMEEWWEKTHGLLIEGGLTLEAITKSVSDATIAFREGVVELFEYLEERDIPVLVFSAGLADIIEEVFRQKLHKSFKNIKIVSNRMVFNEEGHLVAFKGKTIHVLNKNEHALDMVAPVHGNVGDPNGFIDDYSLVKKRTNVLLLGDHIGDLGMSDGLNYENRIAVGFLNANIEKSLKDYSSSFDIVYLNDAPMQGVVKLVSELCP >Sspon.05G0001360-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:4491304:4507150:1 gene:Sspon.05G0001360-1A transcript:Sspon.05G0001360-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding HCRAEPELGSCPPRCAGAAPTQQKPLSRTVGRDKHGKQLLTPPFSPPKTHTEPRHQAPASVMAVASTSPLSATAPSPPAPAFGFRALRYRRGPRLGRATAWRRLRVDAIRTQREKQGTEESVPAPLDGVGADDPMVPSADESDWVVRLEQSFNIFATDSVIMVLKGVYRDRYYARFFALETIARVPYFVSLHEFNLLMDSSLGSYQELGGNSLWIDCFLARFMAFFYYFVTVAMYMLSPRMAYHFSECVERHAYSTYDEYLKLHEEELKRLPAPEAALNYYLNEDLYLFDEFQASRSPGSRRPKIGKLAVISFLCRRRLRVEAIRTQQEKQRTEVPVEESAPVREAAAPLDGAGADDPMVPSSDESWVVRLEQSFNIFATESMIMVLDGVYRDRNYARFFVLETIARVPYFAFISVLHMYETFGWWRQADYLKVHFAQSWNEFHHLLIMEELGGDTLWTDRFLARFMAFFYYFMTVAMYMVSPRMAYHFSECVEKHAYSTYDKFLKLHGEELKRLPAPEAALNYYLNEDLYLFDEFQSARIPCSRRPKIDNLYDVFVNIRDDEAEHCKTMKACQTEGNLRSPHSMPNCLEADSDCNT >Sspon.03G0007050-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:34474629:34476041:1 gene:Sspon.03G0007050-1P transcript:Sspon.03G0007050-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKKSVVLYPGLGVGHLTPMVELAKLFTQHGVAVTVALAEPPTKSSDFSAAAARAAASNPSVNFHVLPPPPPDSNSDDTHSDRVVQMLGYLKAMNAPLRDLLRSLPAVDALVVDMFCGDALGVAAELNLPVYYFYASGASALAVFLNLPRMMATDFQLETNGGDSVLSFPGAPPFKASELPELIRNGSQAGKAVLRMLYLFPEANGILVNTFESLETRAVRALRDGLCVPDRSTPPVYCIGPLVSGGGEKENECLRWLDMQPDNSVVFLSFGSLGTFPKKQLEEMAIGLEKSGQRFLWVVRSPRNNPQDVSQPLPEPDLKSLLPEGFLDRTKDRGLVVKSWAPQVDVLCHRATGAFVTHCGWNSTLEGIMAGLPLLCWPLYAEQRMNKVFIVEEMKIGVEMNGYDEEMVKAEEVETKVKWVMESQGGRALTDRLAEVKDRAVKALKEGGSSHAAFVEFLKDIDSMAHLHP >Sspon.07G0009910-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:27439818:27454876:1 gene:Sspon.07G0009910-2B transcript:Sspon.07G0009910-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MENGGIEEADDALPVPAPPNGQRYRPVGSSDRAVIQMTSMETGSSSATIDAVVTPQPPSMREGSPDDHDTSSGSQGDSKLELFGFDSLVNILGLKSMTGEQIQAPSSPRDGEDVAITIGRPKQSGPKFGTMMGMAGVWQSLVLVSFCGACTFLTSISLSAIATNGAMKGGGPYYLIGRALGPEVGVSIGLCFFLGNAVAGSMYVLGAVETFLDAVPSAGLFQKSVTVVNNTLVNGTETAGTSTISTPSLHDLQVYGVIVTILLCFIVFGGVKIINKVAPAFLIPVLFSLLCIYLGVFIAPRHNAPKGITGLSITTLKDNWGEDYQRTNNAGVPDPSGSIYWDFNALVGLFFPAVTGIMAGSNRSASLKDTQRSIPIGTLSATLTTTAMYLFSVLLFGALATREELLTDRLLTATVAWPAPAVIYIGIILSTLGAALQSLTGAPRLLAAIANDDILPVLNYFKVSEGFEPHAATLFTAFICICCVVIGNLDLITPTITMFFLLCYAGVNLSCFLLDLLDAPSWRPRWKFHHWSLSLVGALLCVVIMFLISWSFTVVSLALASLIYYYVSIKGKAGDWGDGFKSAYFQLALRSLRSLGANQVHPKNWYPIPLIFCRPWGKLPENVPCHPKLADFANCMKKKGRGMSIFVSIIDGDYHELAEDAKTACCQLDAYIDYKRCEGVAEIIVAPTMSDGFRSIVQTMGLGNLKPNIVVMRYPEIWRRENLTQIPSTFVSIINDCIIANKAVVIVKGLDEWPNEYQRQYG >Sspon.02G0008870-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:27874484:27875722:1 gene:Sspon.02G0008870-2C transcript:Sspon.02G0008870-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGSSPLQAVLASPGVRDRKVLAFKRDALKDKHDAVSGLVHSILASTSPAQRSAFYVFDLAKVVDLFRAWRRALPDARPCYAVKCNPDPALLGALAALGAGFDCASRAEIEAVLALGVQPRDIVYANPCKPEAHLEYAAEVGVNLTTYDSEEEVAKVRRCHPGCQLLLRLKGPDGGDVRVDLGTKYGAHADEVVPLLRAAQRAGVTVAGVSFHVGSGASNFGVYRGAIQAARAAFDAAAALGMPPMRVLDIGGGFVSGPTFDDAAAVINDALAQHFGDLPCVEVIGEPGRYFAETAFTLAARVIGKRTRGEVREYWIDDGLYGSLNCIIMDHYVPTPRPLASPRPGDKTYTSTVFGPTCDSLDTVVTGYQLPEMSVGDWLVFDDMGAYTTGAGSNFNGYATSDIKIYVAYSS >Sspon.04G0007650-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:21453678:21454782:-1 gene:Sspon.04G0007650-1T transcript:Sspon.04G0007650-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKSSGSQHVIGVPVTSTAYAIEDTSTRDRPAANKDGDRLAVSLTHPSPYTSFGYKHSSKGQVIHWVSKLGRRAQSFRDHVTLGPKLSETVKGKLSLGARILQAGGVERAFRHAFSSSAEKGERLVKALQCYLYTTGGPIAGMLFVSTRRIAFRSDRSLAVTSPAGGDAVVARVPYKVTVPLRRIKRVRPSENADKPEQKYIQVATVDGFEFWFMGFVSYQRCCKYMQQVISELS >Sspon.05G0015070-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:57943355:57947433:-1 gene:Sspon.05G0015070-1A transcript:Sspon.05G0015070-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAPDPATARPRSGLWARSPRPDAHPSSSLWTPAHPALCTALPRVERQPPIQSTLTREEMESMRMRADSYLRLCPVVSDDPSAATVTTSPSWPRGEPKRCSWGRGGGGRSTDGGGVGKAGHRRQARGSAAAGEEGEEARCRAEAVINWERPKRSSHTHEPTSAAIPIALKATASSMPENTTPPSTHVSAPEASTPASTLEAPLVASEFSSKDLAEFISLSLEVCAGGRLHGGHPTSGWAPSASGEAAITLRLGFLDSQALSTGTGPKDAAFVASTAASHAHALDSERHSFKSTRRRLGDHKARQGDALPF >Sspon.03G0021660-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:84512941:84517249:1 gene:Sspon.03G0021660-3C transcript:Sspon.03G0021660-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSQVSTSRGLHPPGKLGTFESPHSWPMPAPTAQPEAGRGDDQEVRLLSVAWNQDCGCFAAGTSNGFRIFNCEPFKETFRRDLKSGGFGIVEMLFRCNILALVGGGSNVQYPPNKVMIWDDHQSRCIGEFAFRSDVRAVKLAKDYIVIVLERKIFVYNFTDLKLLYQIDTLSNPKGLCCLSHHSNTSVLACPGVHQGHVRVEHFGLKMAKTIPAHDSHISCMSLTMDGLLLATASTKGTLIRIFNTMDGTRLQEVRRGLDKAEIYSIALSPNVQWLAVSSDKGTVHIFSLRVRVAGEDASNEQRALERMDHQNSSSSIDPLIQANTGSNASSSLSFMRGILPKYFSSEWSFAQFHLPEVTRYIVAFGAQNTVMMVGLDGSFYRCSFDQVNGGQMLQKEYFRFLKSDSPPFRTAAA >Sspon.02G0016620-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:46311819:46317269:1 gene:Sspon.02G0016620-1A transcript:Sspon.02G0016620-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQVLDLSYNSLPQNLKACILYTGLYEEDIIIWKDDLVNQWIAEGFIEATEGQDKKEIARSFFDRLISGKLILPVDVNKNGDVLSCVVQRMVLNLVIRYKSIEENFVTAIHHSQATTTLCDKVRRLSLQFGNAEDAIPPTNMRLSQVRTVAFWGVLKCLPTVVQFTLLQVLILHFWDDKDSINFDLNRISELFRLRYLKVTSNVTLELGNQMRGLHTLETLTIDARVNAIPSDIVHLPSLLHFSVPPQTDLPNGIGHMTSLRTLGYFDLSTRFPDPARRQASSSRAAGLLPPAMAGRHPSPSPSSYLHFPPLSSPWDPLVPRPFSYAAAAAGHVRPPIQGAPPDGPPPGLLHPGAPSLPPIHPTAASSYRPSLPSGLLPSAVISDGAAALQGTAASTSSSVQPPPLPVAAAAGQPAMAGAPPPHPSISCSSPVLWAQQPPSPAPAHAQPPRLLELPMAGRPPSPPPSTTILSSLLPIYPSLSRAPPSPAVVLPPAPCPSPTALPTAAGLLAAAPAVCHAATLAASGSPPAAAATAAGAAAGAGVRRPRAGATAAASRVTRRTLSAPYPLPSAPSPSPSQPGHPPGAANTMAATAGAAGAGGPGVATPGFPPNSLWATVASSFSTTASTPPVSPDWIADSGATFHTTPNASLLSSLRPPHPSCPSSIMVANGTCIPVSSVGTASSHGSFHISDVLVAPGMVHNLLSIRRFTTDNSCSVEFDPSGLTVRDLASRAPLLRCNSTGPLYTLQFPASASPSSPSSAAFAATPSSTTWHRRLGHPGRDALMQLSRSSDIRCSQAHDDHLCHACQLGRHVRLPFQSSSSHAPRAFDLIHCDLWTSPVLSMSGYKYYLVVVDDFSHYSWTFPLLRLTIKAVQCDNGREFDNSTSRAFFLSSGVHLRMSCPYTSSQNGKAERMIRTTNDIMRTLLLQASLPARFWAECLHTSTYLLNRLPSTACPAPTPHHALFGTPPRYDHLRVFGCACYPNTAATAPHKLAPRSTLCVFLGYSPDHKGYRCFDLTSRRVLISRHVVFDESAFPYSTSPPTPPADPAEASFFPTDTAIPPPFSPTLQVLLRRAPLVVPRRPRTFRCLTRSRQPRSFHPRSLWLRRLPLCRMLPFRSRDRAHRPHLLPGASVSSTSAGGSRVRPHLLPDVSGSSTSAGVSRLRRSRPSAVVASACSAGIPTLSRRPAGVPPTSSSPGPSSHSPDGDEAGVSPAGPHRRRLRAGDLSSTLFRSRGLGGSSLAPRDGRGVRGPPRQPNVGPRASSAGSNVVTGKWIWTHKRRADGSLERYKARWVLRGFTQRPGIDYTETFSPVVKPATVRTVLSLALERSWPVHQLDVKNAFLHGTLTETVYCSQPAGFVDGSRPDFVCRLNKSLYGLKQAPRAWYSRFATFLQTLGFTEAKSDTSLFIYHYGGETAYLLLYVDDIVLTASSESLLHRIIASLQKEFAMKDLGVLHHFLGVTVEPRPSGLFLHQRQFTCDILERAGMTACQSCSTPVDTQGKLSEAGGPMLGPADSTAYRSLAGALQYLTFTRPDITYAVQQLCLYMHAPREPHLAAMKRLLRYLRGTLGYGLLLGQSSTAELVVYTDADWAGCPDTRRSTSGYAVFLGGNLVSWSSKRQPVVSRSSAEAEYRAVANGVAEAAWLRQLLAELHRPLARSTLVYCDNVSAVYLSTNPVQHQRTKHVEIDLHFVRDRVAIGDVRVLHVPTTSQFADIFTKGLPSSTFSEFRSSLNVSSG >Sspon.01G0000600-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:1211640:1225340:1 gene:Sspon.01G0000600-2B transcript:Sspon.01G0000600-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPSPPPASNAGLRILLSKDRPTPSPPPTAAVSSHADRDRIIAVFRSALSRNEPPETFSLQTVQEAIKPQKETVLVLEENQSLENALRRLLQELVSSAVQSGKKIMQYGNSLDSGESNCLITRLLDIVLYLCERGHVEGGMVFQLLEDLTEMSTIKDCKDIFGYIESQQDVLGKQELFGRGKLVMLRTCNQLLRRLSKANDVVFCGRIIMFLAHFFPLSERSALNIKGVFNTSNVTKYEKDAMDGISVDFNFYKTLWSLQEHFSNPALTSTNPAKWQKFSSNLAVVLSTFEAQPLSDDDGKLNNLNEEEDAAFNIKYLTSSKLMGLELKDPSFRRHILVQCLIFFDYLKAPGKNDKEGPTGSMKEEINSCEEHVKKLLEIIPPKGKEFLKSIEHILEREKNWVWWKRDGCLAFEKPPFEKKPGQAGGRKRKPRWRLGSKELSQLWKWAEQNPNVLTDPDRVRMPSITEYWKPLAEDMDPSAGIEEEYHHKSNRFSDYGIEGVVPSELLPPEVNARFSSKPAEKVKRTRREDSKGVSAQPKEQQVAATPETDGGGSGGDPEEGVAPMDSDNGAVEDSQKRSPGEVSGPESGQCEPEADADDNVKTETTSSFKPLEMNEFAGGVEQQHMSSSSVADERAVHSEWRVTSAAVATGQWVMHGLMESCWG >Sspon.01G0014270-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:40257541:40261933:-1 gene:Sspon.01G0014270-1T transcript:Sspon.01G0014270-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Autophagy-related protein 3 [Source:Projected from Arabidopsis thaliana (AT5G61500) UniProtKB/TrEMBL;Acc:A0A178UM04] MQVKQKVYELYKGTVERVTAPRTVSAFLEKGVLSVPEFILAGDNLVAKCPTWSWEAGDPSKRKPYLPADKQFLVTRNVPCLRRAISVEEYDAAGAEVVLDDDEDGEGWLATHGVQASKREEEEDIPSMDTLDIGRSDGIKSIPSYFGGGKEEEEEDIPDMDTYEDTGNNLAAAEPSYFVAEEPEDDNILHTRTYDVSITYDKYYQTPRVWLTGYDESRMPLKPELVFEDISQDHARKTVTIEDHPHLLAGKHASVHPCKHAAVMKKIIDVPMSGGVEPEVDKYLFIFLKFIATVIPTIEYDYTMDVDLGSTS >Sspon.02G0013180-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2C:37875822:37876358:-1 gene:Sspon.02G0013180-2C transcript:Sspon.02G0013180-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CML30 [Source:Projected from Arabidopsis thaliana (AT2G15680) UniProtKB/TrEMBL;Acc:A0A178VPG8] MSHLSILSFKYNLAKLRSKAGPLSARDRQFSDLSTYKPDDEEMKKVFNMIASQPRGINKKDLQQLLQKLGKADAATEARRMMCVADHNKDGYMDLEEFMEVHRNGVQLRDIRRAFFVFDRDGDGRISAEEVMTVLHNLGQSCSLDDCQKMVREIDRNGDGFVDMDEFMVMMTRPRRKP >Sspon.08G0004830-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:59742560:59746031:-1 gene:Sspon.08G0004830-3D transcript:Sspon.08G0004830-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLWRWYQQCLATHPVRTQVVSSGILWGLGDIGAQAVTHYSARPDRRGHASSPPEDKDNKDNKEFKVDWKRVGITSSFGFAFVGPVGHYWYEYLDRFIRRRFQPNTFKFVASKVAADGFLFGPLDLLLFFSYVGLGQGRSVEQVKEDVKRDFIPALVLGGTIWPAVQIANFRFIPVRYQLLYVNLFCLLDSCFLSWIEQQGDASWKQWFTSFQKIEDQKSKV >Sspon.04G0011130-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:36990200:37000004:-1 gene:Sspon.04G0011130-4D transcript:Sspon.04G0011130-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFAVTGAGARLGVVRPGGSARSGGERRSGVDLPSVLFRRKDAFSLELALEFAGTVLSCAGAPGKVLVPGGGSDDLLSSAEPAVDTPEQHEELQIPDAELVVEEKAYSSAAQATSGAAEASSEVEADDGAAIKAKAPLVEEKPRVISPPGDGQRIYEIDPMLEGFRGHLDYRYSEYKRMRAAIDQHEGGLDAFSRGYEKLGFTRSAEGITYREWAPGAYSAALVGDFNNWNPNADAMTRNEYGVWEIFLPNNADGSPAIPHGSRVKIRMDTPSGVKDSIPAWIKFSVQAPGEIPYNGIYYDPPEEGFFTCKTQEKYVFKHPQPKRPKSLRIYESHVGMSSPEPKINTYANFRDEVLPRIKRLGYNAVQIMAIQEHSYYASFGYHVTNIFAPSSRFGTPEDLKSLIDKAHELGLLVLMDIVHSHASNNTLDGLNGFDGTDTHYFHGGPRGHHWMWDSRLFNYGSWEVLRYLLSNARWWLEEYKFDGFRFDGVTSMMYTHHGLQVAFTGNYGEYFGFATDVDAVVYLMLVNDLIHGLYPEAVSIGEDVSGMPTFCIPVQDGGVGFDYRLHMAVPDKWIELLKQSDEYWKMGDIVHTLTNRRWLEKCVTYCESHDQALVGDKTIAFWLMDKDMYDFMALDRPSTPQIDRGIALHKMIRLVTMGLGGEGYLNFMGNEFGHPEWIDFPRGPQSLPNGSVIPGNNYSFDKCRRRFDLGDADYLRYRGMQEFDQAMQHLEGKYEFMTSDHSYVSRKHDEDKVIIFERGDLVFVFNFHWSNSYFDYRVGCFKPGKYKIVLDSDDGLFGGFSRLDHDAEYFTADWPHDNRPCSFSVYAPSRTAVVYAPAGAEDE >Sspon.03G0008670-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:23274167:23281995:1 gene:Sspon.03G0008670-1A transcript:Sspon.03G0008670-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALCSLCRHRLPLPFLLAVLFAASHGDPSGDDYNTSICQLQPYTCGGVDIHYPFYLSDETADVLGNNSSCGYPGLAIDCVDDEYPILQLGSSPDDSYNVTGIDYTTPPSPSSTSMSSTAMRAARSTTTSPCRPPCGSTYCQSTPLSTSSSSPTAPSPLSLGSLTSTRSHFFGWLLFFCDSFGFGGAPTNFVTGVQASYPSAGASERLIDDRSAMEHNGYRVALEQGFQLGWNSSRRSELCIKCEGSNGGCAYSRYGEFVACLCTNGRVSDQECTKATMPSLSHQDRGRLLLALLLLAAAVASRGDDDTYAVSACRSRPYLCGGVNISYPFYLATDTNAVPDHDGESYCGYPGLAVSCDGGDNKPVLKLGDDNYTISDIDYANLIVSLADADTAGNTGCPVVDHNVTVPQDVRLSLILHSVDYLFFFVGCSFGPEAEPAPKPPKPPTIKPITCGDMDKPASMTFVLPRGEVPPGDWSSACRQIFEVPVLKSSVPSKAVAEDPNDGYGKALRAGFQLSWDRSSVGPCGQCEQSSGKCGYSPAGEFLGCLCADGRVHDGGCSKISADSSALSWPGTVKKENSNYCWCRGWWWCWCCRACRCHIFVHAQRKQKKVINSSSKLLKYSGSGGTPRSQVGDMESGSIEDPATHLFTYEELEEATNCFNENRELGDGGFGTVYKDPEYHQCYQLTDKSDVYSFGVVLVELISSKPAVDITRHRNEINLAGMAVSKIQKCQLEELVDLGLGYETDPATKKMMTMVAELAFRCLQQNGEMRPPIKEVLEVLRGIQGECLMEKDGDKNKDGPVSPTTVHAPWDSRATTPNTSK >Sspon.04G0019370-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:77162975:77165093:-1 gene:Sspon.04G0019370-1P transcript:Sspon.04G0019370-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGEAFRMGGAHLAAAYPSGAAMEDPNQSQNQGQFLFSAKSAAPLQLPRVGPAGYCNYTGNTHLPVMNQARTTNIDAGTEKLLKLQMSLNDYHQQNADRLARVGNPSAVSTGLRLSYEDDEHNSSITSGSGSMTSLPTTMSSVDDLMAELDKENREISYYLKLQAEQIGKQMKEANQRRMISFLANLERAVGKKLREKELEAEAMNRKSKELNEQIRQVAMEVQSWQSAAMYNQSVANSLKTRLMQVVAQSTNLTREGTGDSEEVDNAASSQNPDAGVAAAPAGFFQSGLLGGGGGRGRGTTTAATVGLGACRWCGGKEASVLVMPCRHLCLCIDCERVSDVCPVCRFPKSGSVEINMS >Sspon.01G0003240-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:8896417:8898912:-1 gene:Sspon.01G0003240-1A transcript:Sspon.01G0003240-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGGEGGAGGSGGGAGGAAAGGGGESAAGTGARTLPRVPTWRERENNRRRERRRRAIASKIFTGLRAHGNYALRRHCDNNEVLKALCEEAGWTVEPDGTTYRKGCKPPGSSDAYMAGFIPGCSPVSPGMVSCPVSPRAYNGLSSPSSPTHFGGRGSSFFYGGASSSRGTGTGGGLLPWFNNISHSDDAYADGYSFSAPVTPQNGSPPRRKMARWAPDNATGAAGGGSNVQSPWATSPGPSRYASLPVTMPHTPVRGKAVAADPVSLLTGLQISAAAANKSPAYSMFDFDAGSYSSRPGQSSSAPWAAAAARGAGDGDTQMAPHGFSFGWSGGAVNAWEGEKATGAFNAWEGEKASGAFSAWEGEE >Sspon.06G0012480-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:66231065:66231679:1 gene:Sspon.06G0012480-1A transcript:Sspon.06G0012480-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AMATIATMALLKPAKIVARSAPSTSGASSAAASSVSLRVPTQAKKAVLAVSSTATPAAAAALAGAFFSALASSDAALAAQRVADVAAADAGSDNRGQLLLFVVAPAIGWVLYNILQPALNQLNRMRSQAVVAGLGLGAAAAAGMATAPEASAAASAQDLAALAAAAPADDSRGLLLLIVVAPAIGWVLFNILQPALNQLNRMRSD >Sspon.07G0026970-1P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7B:63460383:63462890:-1 gene:Sspon.07G0026970-1P transcript:Sspon.07G0026970-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MYYKRRPEVIRSVEEAYRAYRALAERYDHMSGELHKANHTIATAFPDQIQYSLLEEDDDNLPKAFTTVDRRKIHKSTVEGLMKKKHGQKSGLKDSGKKSAAPISKVNAKAEISRLQKEILVLQTEKEFIKSSYESGIAKYWDLEKQINEMQEEVCYFQDEFNESAAIEDHEAQALMTATALKSCEGAIINMQEQQKSFFRQAMIESERVKVSRDKLKGIFRAHGKSLTYSGNSADENVNNDASARKDELFSMKQEKTELQELVDKIRGYFEMSSDLSVEYIAEKIDELVNKVVDLELMISTQTAQINRLCLENNELEKSLQKLEEEKTEQTSDPGELNDKLKQAEEELIRVHNLEASYDAEERIVYTNFTETINSFCDISHMLQSPLIEHQAVSRCMLTDEATASTDTEPSSVHGKTSPSEDPEMDETASKAHVDGFPNRPDTSEPSIFHDDCQSSCCHYEIKAEKHSHVDKTEDLWCCEFEDKFSTSASVDVGTTENADHNLSADNNNGGPEHVDEMTSNNKRSVQPYIVHSHENSTQCNNMSESRSEQNMDLNEAEASYITKNPSSSNGEVANVGDQKDVMINLQQLLMTGLQDKEKVLLDEYTSILRNYKNAKQRLAEVETKNQDCLNEMRAMISELECANGMKDAEIRSLRELLESLTYKDASQRGHQLNSTMSLSEKNGMVRGHRRTPSILQLHQRAQSVSSIPRRIENNSSLKNNLSTNSSMEPDAAHNAVTNQESINLEDLTSKNVVEMEKASSLEEKFRRNIDAFLEENLAFLMKLSMSFQQIQGFQTKHDQLQSEISKLTNGKLKPNKDDTNEYPADPEMEATKRG >Sspon.02G0019920-3D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2D:60289369:60293249:1 gene:Sspon.02G0019920-3D transcript:Sspon.02G0019920-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding PVQPRSMDPSKDLAAYGYGSVAWKERMESWKQRQERMHQTRNDGGGDDGDDADLPLMDEARQPLSRKIPIPSSQINPYRMIIIIRLVVLGFFFHYRVMHPVNDAFALWLISVICEIWFAMSWILDQFPKWFPIERETYLDRLSLRFDKEGQPSQLAPIDFFVSTVDPLKEPPLVTANTVLSILSVDYPVEKVSCYVSDDGAAMLTFEALSETSEFAKKWVPFCKRYNLEPRAPEWYFQQKIDYLKDKVAASFVRERRAMKREYEEFKVRINALVAKAQKVPEEGWTMQDGTPWPGNNVRDHPGMIQVRVSAVLTNAPYLLNLDCDHYINNSKAIKEAMCFMMDPLLGKKINMKGLDGIQGPIYVGTGCVFRRQALYGYDAPKTKKPPSRTCNCWPKWCFCCCCFGNRKHKKKTNKPKTEKKKLLFFKKEENQSPAYALGEIDEAAPGAENEKAGIVNQQKLEKKFGQSSVFVTSTLLENGGTLKSASPASLLKEAIHVISCGYEDKTDWGKEIGWIYGSVTEDILTGFKMHCHGWRSIYCIPKRVAFKGSAPLNLSDRLHQVLRWALGSIEIFFSNHCPLWYGYGGGLKFLERFSYVNSIVYPWTSIPLLAYCTLPAICLLTGKFITPELNNVASLWFMSLFICIFATSILEMRWSGVGIDDWWRNEQFWVIGGVSSHLFAVFQGLLKVIAGVDTSFTVTSKGGDDEEFSELYTFKWTTLLIPPTTLLLLNFIGVVAGVSNAINNGYESWGPLFGKLFFAFWVIVHLYPFLKGLVGRQNRTPTIVIVWSILLASIFSLLWVRIDPFLAKDDGPLLEECGLDCN >Sspon.03G0027820-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:6750557:6762762:-1 gene:Sspon.03G0027820-1B transcript:Sspon.03G0027820-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKVDSVAPVPSPTFMDATPLNPPPPLVVEELVLRRSARCLNRPTRSSYADQEPPKKPGGRGRGRGKRKRDEEKPELAAEQQGAKSPGRKYSKVEAAERKPMPVIAAVPVSCAGVAAEDDATGTGKSAKLRVKETLRAFNSHYLHFVQEEQKRAQAALQEIEAKGGLKRQTKGGNHQGTMLIFAILLRYQAVPLYEFAMLAGLIVIVKIPDARNECRALSREEDWAFTRLIFYFSPTKKNKEYEKLTFPLATCIVMSGIYEDDLDKADEIIYTGQGGNDLLGNHRQIGSQHKDNGNPIRVIRGHLSKNSYTGKIYTYDGLYKVVDDWVQNGVQGHVVFKFKLKRLEGQPSLTTSEVRFTRAEAPTTISELPGLVCDDISGGQENIPIPATNLVDDPPIPPSGFTYLKSLKIPKDIKIPSSIIGCDCEGDCATNKNCSCAQRNGWWSPKRLYLNVALTAVATMIVFKTASKGWGVRTWDTILPGAPICEYTGVLRRTEDLDGSQNNYCFDIDCLQTMKGLDGREMLLEHLFLNTHAMYSVLQKRAGSEMHLPNLHPENDSDAPPAPEYCIDASSIGNFARFINHSCQPNLFVQCVLSSHNDVKLAKVTLFAADTILPLQELSYDYGYRLDSVVGPDGKIVKLPCHCGAPDCRKRLY >Sspon.07G0000500-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:717527:720198:-1 gene:Sspon.07G0000500-3C transcript:Sspon.07G0000500-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRNQFDLLGDVDNDDPAHLAAAEKKAAAAPKPAPAKLPTKPPPPAQAVREERSYGAPPRDGPGRGGPGRGRGGRGGRTGPRRDFSDADANGFEGGYGGGGGFGDGGVARGENGEGRQAERGRGPRQPYRGGGRRGGYTDGQSGDEFGRPRRAYERHSGTGRGYEMKREGAGRGNWGTPTDEGLAHLRLRRTRGAENEEEEKEAEDKEMTLEEYEKVLEEKRKALLALKAEERKVEIDKELQSMQQLSVKKDADEVFIKLGSDKDLKKKENAEREERAKKVSDIFTSLHISLIIRVAVVLLTAMFPVSLVPASLKSLSINEFLKPAEGERYYNSSSRGRGRGRGRGERGGFRGGYNGGYRGPAAAPAIEDQAQFPALA >Sspon.06G0006070-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:20421788:20426483:1 gene:Sspon.06G0006070-1A transcript:Sspon.06G0006070-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPRATAVLAWGSGEDGQLGMGGNEEKDWAHCVQALGPYAVTAVVAGSRNSLAICDDGRLFTWGWNQRGTLGHPPETKTESSPGPVDALAGVKIVQAAIGGWHCLAVDDKGRAYAWGGNEYGQCGEEPQRTEDGTRALWRDIPTPQRCAPKLKVRQVAAGGTHSVVLTQEGHVWSWGQPWPPGDIKQISKPVRVQGLEKVRVIAVGAFHNLALTEDGILWAWGSNEYGQLGTGDTQPRSHPICVEGLSDLSLVDIAAGGWHSTALTIEGEVELLAGENIVQYGRGDHGRLGYGRKVTTGHPMEVPIDLPPPKTSSSPDGQWQAKYVACGGRHTLAIAEWTEATD >Sspon.04G0027680-3D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4D:69886729:69891255:-1 gene:Sspon.04G0027680-3D transcript:Sspon.04G0027680-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding TGEMLVGPAKALFMDEISTGLDSSTTYQIVNSLRQSVHILGGTALIALLQPAPETYELFDDIVLLSEGQIVYQGPRENVLEFFEAMGFKCPERKGVADFLQEVTSRKDQHQYWCRRDEPYRYISVNDFSEAFKAFHVGRKLGSELKEPFDRTRNHPAALTTSKYGISKMELLKACFSREWLLMKRNSFVYIFKVVQLIILGTIAMTVFLRTTMHRRSVEDGVIFLGAMFLGLVTHLFNGFAELAMSIAKLPIFYKQRDLLFYPSWAYALPTWLLKIPISFLECAVWIGMTYYVIGFDPNIERFFRHYLLLVLISQMASGLFRLLAALGREMVVADTFGSFAQLVLLILGGFLIARDNIKKYWIWGYWSSPLMYAQNAIAVNEFLGHSWQKVVDMTHSNDTLGVQILKARGIFVDPNWYWIGVGALLGYIMLFNVLFVLFLDWLGPLGQGQAVVSEEELREKHVNRTGENVELLPLGTASQNSPSDGRGEIAGAETRKRGMALPFTPLSITFDNIKYSVDMPQEMKDKGITEDRLLLLKGVSGAFRPGVLTALMGVSGAGKTTLMDVLAGRKTGGYIEGDISISGYPKKQETFARIAGYCEQNDIHSPHVTVYESLLYSAWLRLPHEVDSEARKMFVEQVMELVELTPLRGALVGLPGVNGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFEAFDELFLMKRGGEEIYVGPLGRNSCHLIDYFEGIEGVKKIKDGYNPATWMLEVTTSAQEDILGINFAEVYRNSDLYRRNKALISELSIPPPGSRDLYFPTQYSQSFLTQCMACLWKQHMSYWRNPSYTATRIFFTTVIALIFGTIFLNLGKKIGTRQDLLYALGSMYAAVLFIGIQNGQTVQPIVDVERTVFYREKAAGMYSALPYAFAQVLIEIPHIFLQTVVYGLIVYSLIGFEWTAEKFFWYMFFMFFTFMYFTFYGMMAVAMTPNSDIAAIVSTAFYAIWNIFAGFLIPRPRIPIWWRWYSWACPVAWTLYGLVASQFGDITDVRLEDDEIVKDFVNRFFGFEHDNLGYVATAVVGFTVLFAFVFAFSIK >Sspon.02G0029400-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2A:106918252:106919280:1 gene:Sspon.02G0029400-1A transcript:Sspon.02G0029400-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRAGLARAAGHGAATAAGRATRVMRAAVSAFFAGYHCFTSVAALLALPFSAAVLASEAMMAPSSSGTLRAVAARLRAVFAAAGFPPSPFFALLEAKLSQTVFTFAATLPFALTFLLLAKACVAAMLRVDDDGASPSRRRSHLRIAALPPCGAVARAYPAVVATHLLNAFLMLSANAAVFSLLLLAFGAADLLGLTSHFWTLVLSAAGAIVYSLAVGVATVVCNLAVVVAATEPGCAGHAAVLRACVAIRGRVSTALALALPTNLGMAAAEALFGLRVVAQRRRDGRLKPGVAGEAFSIAYIHAICVVLEIIVSCKFYRSCKRSEADELRELEPEEKGDLQA >Sspon.08G0001820-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:5570226:5572543:1 gene:Sspon.08G0001820-1A transcript:Sspon.08G0001820-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEVTGSGGGIGRKMAAGEVELKEKSGTAWSHSYLNQKPWHPLSYPNQRRKWIAEQVHANRARRQEEVQREFAQEQEFFRQTALFSKKDKEKMEVMKAVSFMYVRPPGYNAESAKAAEIEDEKKRSDPGDMAQGTASASTSSIPDKGPEKTQSGADKKNRPKDVFGRPLATEQEFEVLKNAPRLDTGAPARIKPFGVEVRNVRCLRCGNYGHQSG >Sspon.01G0027000-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:95279070:95280287:1 gene:Sspon.01G0027000-1A transcript:Sspon.01G0027000-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSITRLAASYVDPGTGVPLRRAILYVVVLLASNLATFLLSSHYSSCATTPPAAAAAAPKMATPSPRTTTIPEHPVDLPLEFHAFAGPHALPYGRNANWGTAELRPPVGHPCLAFPDLLAAFMSYPVNGSCPDDELPAQRLLLRGCEPLPRRRCRPAAPPDPAPPLPFPDALWSTPPDRSVHWPAYTCKSFRCLVDRARSPRFDDCKDCFDLAGGPERYRWLNATGKKRNPLNFSIDEVLASASPPGSIRIGLDIGGGSGTFAVRMREHGVTVVATTVNLNGPFSSFVAARGIVPLYVSVAARLPFFDNTLDIVHSMHVLSSWIPPLALQFALFDVYRVLRPGGLFWLDHFFCGEAEMAAYVDVVESVGFGKLRWVTGRKLDRGPKRKEMYLSALLEKPLKNSWRH >Sspon.01G0000770-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:2658470:2659210:1 gene:Sspon.01G0000770-2D transcript:Sspon.01G0000770-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMPSPPPRLDIRAFYLRLSSCSPAATAPAELTLAYHPAIGGAAMGLNGRALPPAAPAEVTLRRVASGDAYAYASADRVAAAEGARFEVLAGEEVAAEGVFLRRRGGEGWRVECRRGPASASASASVAEVVVLAEGGVLMRDKARAAGRGRMRCGATRLEGIPEEDTDLGGWGRCECGACEDDIDDDGWEVVGDASDDGEPWKHGQEEEEVEMETVRWTMEMGVWAVCLGVGLLATARRFRRKRAFW >Sspon.04G0012110-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:38951197:38951982:-1 gene:Sspon.04G0012110-1A transcript:Sspon.04G0012110-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATHAFLLAAALLALSCFHAIASDPSLLQDFCVADKMSTVRVNGLPCKDPKDVVAEDFFFPGLHMAGNTTNKQGSAVTPVNVPQIAGLNTLGVSLARIDYAPYGLNPPHTHPRATEILTVLEGSLYVGFVTSNPDNKLFTKVLNKGDVFVFPEGLIHFQFNYGTKCAVALAALSSQNPGVITVANAVFGSKPSISDEVLAKAFQVDKQTVDRIQAQF >Sspon.04G0011790-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:37025553:37027819:1 gene:Sspon.04G0011790-1A transcript:Sspon.04G0011790-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding EGAVPQARQGRQDPPVARRGPHRGGVPAPPGRHPRPRRRAVPRGPAGAGPPRHALVPGGLGRRHGAAAGAAAGARRRRRWRPAPPSTRPPADRRVPVLRVLRQLLVAVGLLPAARPHPRRAVPQARQDPPVARRGPHRGGVPAPPGRHPRPRRRAVPRGPAGAGPPRHALVPGGLGRRHGAAAGAAAGARRRRGPAPPSTRPPADRRVPVLRVLRQLLVAVGLLPAARPHPRRPRGLRGAPQRRRVRRRRHRHPSLLLQAPRQGQARQGSTACRHYTSAAAAGAEQPRVAGARRFRGGPGTPLVAAPSFLPIYLGSLGLPLREQGECPGRDCRRGGGPSGGGMRRRRGGGGGRGGEEARVGGRDWRGAQPPPLGDLEPRRGERRHLG >Sspon.05G0019930-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:85437733:85440433:-1 gene:Sspon.05G0019930-1A transcript:Sspon.05G0019930-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMTVLAMLAAAVVAAALLLSSPDDSRCDVAVLEIGGGDGRVELVPVDAGAAGPESLAFDGVGGGPYAGVSDGRVLRWVPGERRWEEHSSSCAPELYVHVSPSSDLVSDECFRSFFRMHALQSGSLVSLRSALLQRCSEGILFKIYVRACRLDSCRGSQDPGREHECGRPLGLKFNHQTGELYVADAYHGLRVVSPDADKVSRPVALQWWQGKGRTFCFANGVEVDPDTGAVYFTETSTRLQRREFLRIVISGDTTGRLLRYNPKSGKVEVLVDGLAFPNGLAMSRDGTHLLLAESTTGRILRYWLRRPAAKANAPALEEVARLPWFPDNIRMSPRGGFWVGLHARRGRLAEWCISYPWLRRLVLSLPTRHVQRASWLLNRLGRQVIAVRLSEEDGKVMEMVSVHGDLQKVFRSVSEVEERNGSLWIGSVMSPFLGVYKL >Sspon.04G0010760-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:34501774:34504059:-1 gene:Sspon.04G0010760-1P transcript:Sspon.04G0010760-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTAADMAAALLLMAVLLHCTGTAMVWASHAVYPELQSLEAEKVDETSRTGYHFQPPKHWINGPMYYKGLYHLFYQYNPKGAVWGNIVWAHSVSTDLIDWTALDPGIYPSKKFDIKGCWSGSATVLPSGVPVVMYTGIDPDEHQVQNVAYPKNLSDPFLREWVKPDYNPIIAADNGINASAFRDPTTAWYGPDRHWRLLVGSKVDDKGLAVLYRSRDFKRWVKAHHPLHSGLTGMWECPDFFPVAVHGHSRRHHHRGVDTAELHDRALAEEVKYVLKVSLDLTRYEYYTVGTYDHATDRYTPDAGFRDNDYGLRYDYGDFYASKSFYDPVKRRRILWGWANESDTVPDDRRKGWAGIQAIPRKLWLSPRGKQLIQWPVEEVKALRAKHVNVSDKVIKGGEYFEVTGFKSVQSDVEVSFAIDDLSKAEQFNPKWLTDPQALCKKRGAREKGEVGPFGLWVLAAGDLTERTAVFFRVFRTNSNGSRLVVLMCNDPTNSTFEAQVYRPTFASFVNVDIAKTKTIALRTLIDHSVVESFGAGGRTCILTRVYPKKALGDNAHLFVFNHGEVDIKVTRLDAWEMKTPKMNAP >Sspon.03G0027200-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3C:9204618:9204950:1 gene:Sspon.03G0027200-2C transcript:Sspon.03G0027200-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQMGTWTGSLPVAVDPMLADGKNWTFTILSATHEVVQQTLCFAFVEMGAGTAAAYAVPDSPAVVDGGRQMENNLLEFNLKKGVLGYTGLMFDKRMGACSNFNFENGLGH >Sspon.06G0018220-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:69573249:69574747:-1 gene:Sspon.06G0018220-2C transcript:Sspon.06G0018220-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFWVSLAAYTVALLLAFTIATLHRSLQSKRTSATALNKPPPPPGPAGHPLLGSLLYVIGPLRHNPHRSLVALAEAHGPIVYLRLGLTRAMAVVSSAAVAHEALVKNDAALAARLVPDNVRALSYGATSMVFLPSSDQLWRQLRVLIGAGFSSSQIRHVLERRAGQLAEHVRACAVSGRPVNIREAVNGTVLNVVSNVLFSEDVVDLREQQKAQTFKSLVVPVLEEWSKPSVSDAFPFLGPLEHLLGSRRRISTHLAKLFRFFDEVIIEKRLACGKKHNDILDALLSRMAMAKLTRQQITTFLTDMFIAASDTSTVTVQWAMAELLHHPDKMKKVNAELAEQLGSKDFVTEGDLSKLPYLHAVVKETLRLHPAVPLIPREVVADDVSLGGFHVPKGTGVVVNLWAIGRDKSAWPRPEEFIPERFLVGQEVHSSMVKEAYRPFGAGRRVCPGMDYTARSVPLLLASILHRNEWRT >Sspon.02G0053940-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:110380581:110381117:-1 gene:Sspon.02G0053940-1P transcript:Sspon.02G0053940-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTSATLIVLFVAVVCGGSSAVGSANSALDQVCEFVGASYVTPELCASALCYDPVSPCRDARDYAAVASLAARLLARNGTATRDSVAVAARAANATAGLKSCLQLYDGLVPALEWAAGSVAAGRAYGAARELMQATQFAQRACAGMVGAEMPRENGGFVTMATVAHAVLSTSVPKTD >Sspon.02G0003590-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:11706043:11709764:1 gene:Sspon.02G0003590-1P transcript:Sspon.02G0003590-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTRKSKTTSAWRSFLGGCLGGGGEGRSAGDRQRKVRPGGGGGGRLSFTDLSGAADQDLSVSLVGSNLHVFSVAELREATRGFVSGNFLGEGGFGPVYKGFVDDGVKKGLKPQAIAVKLWDPEGAQGHKEWLAEVIFLGQLRHPNLVKLVGYCCEDEHRLLVYEYMEHGSLENHLFKQIPAVLPWSTRLNIAVGAAKGLAFLHDAEKPVIYRDFKASNILLDSDYKAKLSDFGLAKDGPEGDDTHVSTRVMGTHGYAAPEYIMTGHLTAKSDVYSFGVVLLEILTGRRSVDKTRPSREQNLVDYARPCLKDPLRLVRIMDPAMEGQYSARAAQSAAMVAYRCLSSSPKNRPDMSAVVQALEPLLDLNDDVPVGPVGPVGPVVLFVAPAEERAPRKDVRRRRPMSPKASPRKRPGAGPKEEFWVWHLPAEQKA >Sspon.01G0019270-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1A:72233261:72234179:1 gene:Sspon.01G0019270-1A transcript:Sspon.01G0019270-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSGHIFHFRKNSWPAEEYVGRTALQLLDFDGGAPPEQAWRRRLNSHANILKEFSVTFMEAMRMKAPIDPFTKERCKPSASQGVPLGGMGSGSISRGFRGEFKNWHIIPGLCESSPVMENQFSVMLLSYLAVACY >Sspon.06G0020020-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:5816836:5820333:-1 gene:Sspon.06G0020020-2D transcript:Sspon.06G0020020-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding TAQVDLVLGRPQALLGLYGATTHPDGVQTPDARKNILSVQTEYAFRINPRRGGTFREPSLSSLRPPRRRRLRVTYSDTTVAKSGNGRDAVGAGRGAEMALRGLAATRAAVGLCRIAASASSSLPSAAAGGRRERELPFALVAERGMVVGGHRGMGMNAVGAPPGAPVGAARERENTLLSFGRAAEHAAVAFVEFDVQVTKDGCPIIFHDDFILTQGIGAVCERRVTDLLSEDFLSYGIQKESHKVSKPLLRRGGDGRVLSWTTEDDDSLCTLQEVFQRVSPRLGFNIELKFDDSIMYHRKDLECALKAVLQYARSRSVFFSSFNPDAAQMMRELQSSYPVCLEHDLHGIVSEVRGVLKNPSAVVRAQESNLALLTYGQLNNVSEAVYIQYLMGVHGVIVDRVEEISNAVAGFGKPDLGQSGAGVDGAKHQAFSQQQLGFLLRLIPELIEQRD >Sspon.04G0018500-3P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:4490276:4497760:-1 gene:Sspon.04G0018500-3P transcript:Sspon.04G0018500-3P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAGGAGADHSPPPRSLVFAFYLTGHGFGHATRAIEVVRHLIAAGHEVHVATAVPEFVFTAEVRSPRLRIRRAILDCGAVQADALTVDPLATLEKYREAAVVPRESILRAEAEWLSSIKADLVVSDVVPIVCRVASDLGVCSVCIGNFSWDFIYAEYIMEAGHHYRSIVWQIAEDYSYCDILLRLPGYGPSMLNYIRLLFFLKIVYSLFFGFQENRVLFHSIVPAFRNVIDVPLVVRGLRKSRSEVRKELGIEENAKVLVFNFGGQVCGASDSQDVPPNFIKLAKDAYTPDVMAASDCMLGKIGYGTASEVLACKLPLVFVRRDYFNEEPFLQNLLEVVAQILQDTAVGKKCISDKSSGARRLQDAMVSGYVLQRAPGRNAGIPDWYSLSETETGAGPTSKNVATKESAASCIENFEILHGDLQGLTDTIDFLKSLSELSGNDLMSPKKQRLERTAASVLFNWETEIYVARAPGRLDVMGGIADYSGSLVLQMPLWEACHVAVQRNHPSKQKLWEHTKARQLENAGLVPVVQIVSFGSDLSNRAPTFNMDLSDFMDGGKPISYEKARELFCQDPSQKWAAYVAGTILVLMTELGAQFTDSISILVSSAVPEGKGVSSSASVEVATMSAIAAAYGLNIIPRDLALLCQKVENHVVGAPCGVMDQMASACGEANKLLAMVCQPAEVKELVSIPTHIRFWGLDSGIRHSVGGGDYGSVRVGTYMGRKMIKCAASDLVSESLTSAPPVQSDCYKENGMGVLKSEAALEYLCNLPPHRYEAVYAKDIPEMISGEAFSEKYGDHNDTVTVIDPKRSYSVKAPTRHPIYENFRVEAFKTLLAAGNTDEQLSALGELMYQCHNSYSACGLGSDGTDRLVNLVREMQHQKTSEGGSPSLFGAKITGGGSGGTVCVIGKNCARSSEEIAEIQHRYKAETGYLPVLFDGSSPGAGKFGYLKIR >Sspon.03G0009770-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:33059565:33060173:-1 gene:Sspon.03G0009770-2B transcript:Sspon.03G0009770-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETYVTAVTFGNDEITTTVTSSGQAVERWIAEILSVHRPGGIGYNITVGLDVEWRPSYRADQNPVATLQLCVERSCLIFQLLHADYVPGALAEFLGDRGIRFFGVGVEADAERLSDDHGLQVANAVDLRGRAAERMNRPDLRQAGLRALVQAVMGVDLVKPQRVTMSRWDAYCLSHEQIRYACIDAFVSFEIARRLLDGEY >Sspon.07G0035030-2D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7D:81711376:81713115:1 gene:Sspon.07G0035030-2D transcript:Sspon.07G0035030-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAGSDDHAPTTGGHHGASVRLHSYRLPTATPQLTEHLLLDGKPHCLEELPSFWRPKSGGDPPAAARHVVDWASVRGTCKEWIANPMNIALVLWLLCVGVSGGMFVLLLLGLLDGAFPAAADRNRWIEINNQVLNALFTLMSLYQHPALCHHLFLLCRWRPADAAELRADYCKDGAAAVPRPGDRAHIAVVVVLLHVTVVSQYVLCGLYWGYTRRTRPELAEDSFFVLGIVAPVVAAVYTVCSPLGKGDRCHELAASSGPASAATKSTQPTTPIGHVVVEPEWAGGMFDCGGGGEDAAATGCLALSCTFCVFGWNMERLGFGNACVHAVTFALLCFAPLWVFGVSALHIHNVVIGDAVGVAGVLLCAGGLLYGGYWRIQMRRRFGLPGSTACCGSKSLTDYARWLFCWPCALAQEVRTASMYHVDGEVFYSKVVDDDDHAGSGQPLLAVSKHRDVFSTTDTVSVSQQSSANDHLVVVHDEITMAPHVQVVVVQMEDECSVVRHGETSSSSVSSSAMATASEEDDVSLLEAKSNRETLEDADRSMSSDESWRVEKVKRLINMVTLVSLLILLYARGIIL >Sspon.02G0001360-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:2127200:2131696:1 gene:Sspon.02G0001360-3D transcript:Sspon.02G0001360-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPAVAASAFPFRLFSAEARRNTRSGRGKRSAARPLKSSPPPRPPPSSSSVSGGGVAATTFTRLPLRDAPESAEVTLDRFPTAPANPGAPALTRGSVQRLDGEEEFEAGLGPNSVARIPLRDSTDGVELTIGQFEARAAGRKSTGGRGFTRQMVERLGDGGEGEELVVSSLDVFEVKRGRRARALVPEVLDDDDDVVVFDPDYGVDSDEEEEEEEEFEMFPFDQSHHEETGAVPRAELGEVKYGDGEEDDDDDDEEVVVFHPDYDEDDEDEGDFEDDGYEDEGAEGGEGEAKEKGVPAVMRCFDTAKIFAKAGDGGNGVVAFRREKYVPYGGPSGGDGGRGGDVYVQVDGEMNSLLPFRKSVHFRAGRGAHGMGQQQAGAKGEDVVVKVPPGTVVRTSDGGLELLELMKPGQRALLLPGGRGGRGNAAFKSGTNKVPRIAEKGEKGPEMWLELELKLVADVGIVGAPNAGKSTLLSVISAAKPAIANYPFTTLLPNLGVVSLDFDATMVVADLPGLLEGAHRGYGLGHEFLRHSERCSVLVHVVDGSSQQPEYEFEAVRLELELFSPSLVDKPYVVVFNKMDLPEASERWNTFREKLQSEGIDPFCISAINRQGTQDVIHAAYKLLQKERQRMKETEGWSGPENLNHVSDAIKKERRAPMNEFEVFHDKGTNTWNVVGAGIERFVQMTNWQYSDSLKRFQHALEACGVNRALSKQGVKEGDTVIIGEMEMVWNNETDRARPSKTMNTQDDAVRWPEFG >Sspon.07G0019450-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:74195380:74200724:1 gene:Sspon.07G0019450-2C transcript:Sspon.07G0019450-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPSSGGGRGRGRHLLLGLALALAALLALASASESDHKYKTEEPVKLWVNKVGPYNNPQETYNYYSLPFCQPSENPTHKWGGLGEVLGGNELIDSQLEIKFLKNVEKGFICTLELDAKKVQQFADAIESSYWFEFFIGFVGETDKNSENKHYLYTHKNILVKYNDNRIIHVNLTQESPKLLEDGKKLEMTYSVKWVATDVSFARRFEVYLDYPFFEHQIHWFSIFNSFMMVIFLTGLVSMILMRTLRNDYAKYAREDDDLESLERDVNEESGWKLVHGDVFRPPRSLMFLSALVGIGTQLAALILLVIVLAIVGMLYIGRGAIITTFIVCYALTSFISGYVSGGLYSRNGGKNWIKAMVLTASLFPFLCFSIGFALNTIAIFYRSLAAIPFGTMVVMFVLWAFISFPLVLLGTVVGRNWSGAPNNPCRVKTIPRPIPEKKWYLTPSVISLMGGLLPFGSIFIEMYFVFTSFWNYKVYYVYGFMLLVFVILLIVTICVTIVGTYFLLNAENYHWQWTSFSSAASTALYVYLYSIYYYHVKTKMSGFFQTSFYFGYTLMFCFGLGILCGAIGYLGSTLFVRRIYRNIKCD >Sspon.08G0024450-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:63921662:63925218:-1 gene:Sspon.08G0024450-1B transcript:Sspon.08G0024450-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVAAANESELQAAAAALEPAPTTAAAAADARRPLATLDPRLLMAARHGDSKALKDLLQFKDDDGNDDEQGQGSRPDDEGSGTPTTAAAAAASTTTSMAAAPSISPPHIIVEVDPRRPHDDAVAAPLPPPPVPVLHEDEATIEGESLLVHSETVEQVVEPAPLHDDDAAALPARQPPPPAAAPAGHSAVPVVLDDGGVTMEGDSLLHVVAACGDTQEFLDCVDVIVRNKEKKSGAGGKRRALEARNNKGDTPLHCAAGAGNANMISCLVALVTGDDEAGAAAVKKQPFLRMQNECGETALHQAIRTAAANHKLKVACIDHLMDVDPELACIPPKDGASPLYMAISLGEIEIACQLYVKSKGKLSYSGPDGPVCKMILEWLKDTKPKEDSGSVSLVSQLTSQKDNKHKGSTPLHLAASLWGWRTARLLLDANESIAYQADDEGSYPIHVAAWSHGLGVVMALLERCPDYATLRDGKGRTFLHVAAEKERLDVVRYVCEQMPQRLSSMILNAQDSNGDTVLHGAVRAGNLAVFNCLLRNRQVRLDVANKDGMTPLDLSVTMIPVGFNYRLNPRSIVRSSLFAAGAPYSRGRPSEFFTENKHKPKRDLDEESKKYTEATQVMSIVTALIATVTFASAFTLPGGYRADGEPVLAGSYAFNAFILADTLAFICSISSTCTLVYAGLPAMDIAIRNWYFNVSAFLLQSAARSLVAAFGLGLYLLLAPVHDTNACAVAACVIVFASSLYGNMAAWQIIRMATTVLARIGIRRYALVSYARRIFLLVLVHFWSYVIIFGFPAISKWVIPAIR >Sspon.06G0020000-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:23886135:23888502:-1 gene:Sspon.06G0020000-2D transcript:Sspon.06G0020000-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLYFALGLGYGSVRWWKLFTPDQCDAINRLVTYFAVPFFAFDFAARIDPFALSYRVLAADALSKLAVALALGAWATAAARRGGGGGGKGKDRALSWCITGFSLATLNNTLVVGVPLLDALYGKWARDLIVQLSVVQFIVYFPALLLAFEARRAAGGAGKLAVAEEAAGDVDESGGGVGGETAVQSSFWPLVRAVWTKVARNPNIYAGILGVSWACVTNRFAWHIETPSIIQGSVLVMSKTGVGLAMFSMGLFMALQEKIIVCGAGPTVLGMALRFVAGPAATAAGAVALGLRGDVLRLAVMQAALPQSITTFVFAREYGLHADVLST >Sspon.07G0014460-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:57817921:57820618:1 gene:Sspon.07G0014460-2B transcript:Sspon.07G0014460-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHHASPSSSAAARPGTEEFPVDIVSDEEMALIDAALAAAAAGARPLLSAAARRAAAPLSSAAYPPAAGDIEDTPLPWRSRLARFRERRALAVTDITATVTPPPKARAFRISLSCLLGRSDEWCEKQMEFVLERGKPEKTVAMKVGSDRHAQLEQEVVERVDVAIRSAEELWAVKFMNFIVGTNQLMFEGMTREIPVIGVVEGSWMIGIIDEIQMPMIGIPWQPMLVDTKTRSTPTVPSEAQKRNGRLQLMCYKYLWDNLTTEKFPSDNFFSYFDLDPNYLLSDDVKGYISSLGFDAKTFEDVLKYFKVTCHTLPRSQEQLLLRYELQEDHSLLEEYRFTYDARWFKDKIQEVLSFWIGSREPKFVAEEERWKCRFCKFVSDCPMIASMPRNNKCNQLAGTVNLI >Sspon.01G0032520-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:109813135:109816632:-1 gene:Sspon.01G0032520-2P transcript:Sspon.01G0032520-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSYSFPKYKPAEIVDALHSYGIAPSPNLCAEDVENPRPGFVAEVLQLFLANFVWYAPATTCPVSPGSGLISAFACFGWHFACCSDDPDEQLQFQALQALDNPEHHMRALRFSRIFKRANAFLQSIQFRDLNLRDLLRADGPRVVHILSALINFLHFRQDRLSVLLPVVQEYGALEERHKELRAKIAELQKTKDDHLLKEQMEAPVVQQLEKEVNALKQRLHDYNTEQLSLRHASKALDEKREETLRKINQADFELVKVMQEKQKLSAKIVHSPEKLQRNLEEKKAVRDELKNLEKMALQKVQEKTNTLEMYTKVSEKLAKHLSKISAVLEKSAAAKASEKDVKAHKEKISDQNLEIKALRNKAAEWEMKVLENEAKLKAKEKERDQRVGENKRKMTALKSEVESEHKCLEEKQRKIKEKIDKVMLLSW >Sspon.05G0027500-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:53121263:53124244:1 gene:Sspon.05G0027500-2D transcript:Sspon.05G0027500-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSNLDSIRGSLEEHVGKHHHFHPPDYESMVADIEDDEFYLRRAHSMFHVCKRAIVDSWVASPDEKKEDDHQSSYWDREEEEKQLPAEDQQSLEIQLDIKTELNSYKGMGMWTLMEMELSLMYDVLYTKAGMIHTWPGYGIRVASSLAAAASFLLFQFSSKDGHSRVDVAVSYTLLSGALILEMASLLRALGSTWTYAFLCNIKWSWLRYTALCSGRWDRLHCRRKRAYESLLGRIARMLGYEDWFIRGNWGRRVFLQHEEIELYRSLTFGNNYLGAEFQECVIIWHIATDVFIAKSRGDDAGHDDAGDADLVRDIRTLSNYMAFLLVDRPYMLPGLAQSMLYRKTCDNLEEIRSQNPDRHKKDLCTMIKEFFRLRDDPNSDGLMHVDGLAGILSKEEPPLNLTVPRLYFSYKFSTKDGHGIVDVAVSYTLCGAFLLETASLLRALWSTWTYAFLCNTRSSWLRYAALCSGRWDRLRRLIKAITGRGGLDKRSARRWSGKIGQYNMLHVCSRRSRAYQPLLGRLARMLGCQEWWIRYHYSGTVEFSDDLRHLLFEYTERLTRRREMNMQGVIRKSWGRHAFELEDEMPLYISLKKHKINYLGVEFQESIIIWHIATDVFIAKSSGDDAHDAEDRLVKDIRTLSNYIIFLLVERPYMLPGLAHGMLYRQTSDNLVDIQDHNPDCQKRGVWAKLKEFFSLHDYPNSDGLMHVDDLANILYKKKPAPSLAVPRLYFSCRVAEELLKRMKTKGREDLLRLLLHVWMDFLVYAANRCSRESHAKKLGNG >Sspon.04G0006250-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:13969595:13971300:1 gene:Sspon.04G0006250-2B transcript:Sspon.04G0006250-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAAAGGGGKESPAASLLRFVLLLLLPLTVLYIFYTLHVILSSSPSCPPADPVTGKGTSNALAVSHLTNNYNLTSSTPSPPSPPTPPPPPPPAVLTATTLQHVVFGIAASARLWEKRKEYIKIWWRPGGGMRGFVWMDRPVRPSSVPEGLPPIKVSADTSRFPYTHRRGHRSAIRISRIVSETIRLSLLDVRWFVMGDDDTVFLPDNLLAVLSRLDHRQPYYIGSPSESHLQNIYFSYGMAFGGGGFAISQPLAARLERMQDACIRRYPSLYGSDDRIQACMAELGVPLTRHPGFHQYDVYGDLLGLLAAHPVAPLVSLHHLDVVRPLFPNARSRPAAVRRLFEGPVMLDSVGVMQQSICYDEAKRWTVSVAWGFVVMVARGVISPREMETPARTFLNWYRRADYKSHAFNTRPLARNPCERPALYYLAAARRAVARGGETTVTRYQRWRRRDEARPVCRWKIPDPDTLLDSVLVVKKPDPALWDKSPRRNCCRVLSSPGAGEDGNKTMTIDVSACEDWEINQRK >Sspon.02G0041590-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:77250093:77253503:-1 gene:Sspon.02G0041590-1B transcript:Sspon.02G0041590-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTAPLLSPQHVRPAHLPRRLPPLHLASHLDVPARRVLLRRAPPPCTAKFGKFDASDAPAEAEGAEAAADGGVAQPAEEDDSWSSSTRKEHRLNSGRYQRFSWTRLYKRQDSYCHFPDAGAAALLKYQWKDAQFKCASLSDRKPVDPEDEVAVMIIPDHQMLEAVERIASQLSDDPIRPLVMWNPRLVSGDVGVGFNVRNLRRNFLSTFTTVYSMRPLPTGAVFRCFPGQWKVFYDDPNRPNRYLLARELTSRPDATDIERIFGGADEQSEEAPSLMNNVMGVFSSVSRFMRVISK >Sspon.03G0035490-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:79667977:79669003:-1 gene:Sspon.03G0035490-2C transcript:Sspon.03G0035490-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTTRQKSKAPMESPMGHANPQVQIPEEEPQESAGETAVVVAVNGAAAAVEITVRIAKARLHCPVCTLPLKPPVFQCAFGHLACGVCHLTSSGAGRCSVCGDGGGYARSTAMEDIVKSAKVLCPHDAYGCRTYVTYYEAAEHQSACPHAPCVCSEPGCGFAGTPAALRDHLAGAHSWPVDGIRYGAKLQLRVPESDPAQHRRLLAARDDEGGEVFFLAVGAIRDRPFRVVSLVCARPGAAAAAGPRYACTIRAAQQGSAESVVLEMAPVPSSAAPGETSIEEAASLVVLRRTLPPGAAAGEMHLTVRIDRI >Sspon.05G0013870-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:60449222:60450102:-1 gene:Sspon.05G0013870-1P transcript:Sspon.05G0013870-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVNSLLLVLLVVAIRSATLALGQTVKVWSSCSPANYTPGDAYDANLRGMLKDLVTVTASYGGNGNSTLGDDDGPADQSSYGLAICYADAPPEVCRLCLAMAAGNVTLACPRAVAADMMYNNCLLRYANASFPARPDMVQRFSFYNNLTRAGDAAVSAAALARLMDRLAPAAAASLPSFAFGRTNMSPEQGLYGFVQCVAYLSSDDCRRCLQRIAASLPIWTRGGRAYSLTCYTRFEVVPFYTPPNTQTIVVAPARHRQSRRRRTHQRRRSPE >Sspon.02G0001450-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:8171961:8176555:1 gene:Sspon.02G0001450-2B transcript:Sspon.02G0001450-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLGVVSELVRLGVLSLTSDHASVVSINLFVALLCACIVLGHLLEENRWVNESITALIIVSTHASSPPAVSLPRRMPMLIIMSAFACPATGACTGVVILLTTKGKSSHILVFSEDLFFIYLLPPIIFNAGFQVKKKQFFRNFMTITLFGAVGTMISFFTISLAIGAIFSATDSVCTLQVLHQDETPLLYSLVFGEGVVNDATSVVLFNALQNFDLNHIDLLDLSGILTVFFCGIVMSHYTWHNVTESSRVTTKHAFATLSFIAETFLFLYVGMDALDIEKWEFASDSPGKSIGISSILLGLVLVGRAAFVFPLSFLSNLTKKSPLEKITLRQQFTRSGHTQLHGNAIMITSTITVVLFSTMVFGMMTKPLIRLLLPASSNTVPSEPSSPKFLHSPLLTSMQGSDLETASAQIVRPSSLRMLLSKPTHTVHYYWRKFDDALMRPMFGGRGFVPFSPGSPTEQSVHDGR >Sspon.08G0010370-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:45390827:45393707:-1 gene:Sspon.08G0010370-1A transcript:Sspon.08G0010370-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMEETSKMTGRKKGGLRTMPFIFANEVAEKLAVVGFSTNMLTYLTTQLHMPLAKAATTLTNFGGTSAATPLIGAFLADACIGRFWTIAAASVVYQVGMALLTVSAALPQFRPPPCKPGGAVTCQEAAPWQLAVLYVSLLLNAVGAGGYRPCIVAFGADQFDESRAAERARSWGFFNWYYFCNGASMLLAVTAVVYVQDNVGWGWGLGVPAFCMGVSVAAFVAGYPMYRRLEPAGSPFTRLAQVVVAAVKKRRLPAADVDPARLYENDELDAPISMYGKLVHTDQLSFFDRAAIVTDGDLVTLTDADSSKPSPAPVPNPWRLSTVHRVEELKSVIRMGPIWAAGILVITGSSTQHTFSLQQASTMDRRLAPGLSTFQIPAGSMTVFGLLAMLLTLFVYDRVLVRVARRFTGLDRGISFLHRMGVGFAISVLATLVAGFVERHRRDAAAATAGATDAGTSPLSAYWLVPQYALHGVAEAFTSVGHLEFMYDQAPESMRSTATALFWLSISLGSYASTLLVDAVHHWSAGPGGANWLPDNINHGRLDYFYWVVTMLQVMNLVYYAICAKRFRFKPVQLHKKEEEEGGKALVELQEKV >Sspon.04G0001250-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:5618879:5619844:1 gene:Sspon.04G0001250-4D transcript:Sspon.04G0001250-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPETELQKKRKHLGDAIVGESEQLQRPLGNPRLKEGITHPAAPGTPAEACSLSSELLLSSVSLLAGTNAAAAAAAAAEVGGSVCSRLARRNAAGYRRISRRLEAAEMRAPRDIYMGLKAAGPPPPPQQAESLVRSSEHHSSGSAAAAAAAMGSDILVFVHGSLARAGKVRGQTPKVAKQDKKKKPRGRAYKRMQYNRRFVTAVVGFGKKRGPNSSEK >Sspon.07G0019860-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:73271455:73277224:-1 gene:Sspon.07G0019860-3C transcript:Sspon.07G0019860-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTGCCGLQVAGFSYEYAKPDVVFKEAALNSTLNLQDVQGLVTWVIGDGMLPSWVFVKNKPLIPKVVLLYVPGLDAALYMSQTRLLSSLKELCGNPKPVLASSCIPDERHTIDALLTCRVKRKRDVKTSNQSSKPDIDGFVPTVSAPSVSSPHKVLALDCEMCVTEAGFELTRVTLIDIKGSVVLDRLVKPANPIIDYNTRFSGITAEMLADVSTTLQEIQEEFVGLVYKETILVGHSLENDLMALRISHGLIIDTAVLYKYNRGSRCKIALRVLTKKFLGREIQNTGSGHDSVEDARAALELAILKIKHGPDFGSQPSLSRRKLTSILHESGKKCSLIDDVSVLERYSDTSCNSIAVFSDDDALSRSMKEVKNDKVSFIWTQFSGLISYFHRRAQDPEKLKSCVAEAIALKTCDGKTASKKARNYGKCFTMKKKQQIAGKLLFKLWEIYKPKLKLLYASAALNFNWHL >Sspon.02G0044220-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:120595441:120603281:-1 gene:Sspon.02G0044220-2C transcript:Sspon.02G0044220-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRGLPAAGAARGPHLAAVGRGLLLAALLAAAASFLPVADSSCPRDNSRVRDISQMQQSNYGREGFSHITVTGALAHGMKEVEVWLQTFGPGQRTPIHRHSCEEVFIVLKGKGTLLLGSSSLKYPGQPQEIPVFQNTTFSIPVNDPHQVWNSDEHEDLQVLVIISRPPIKIFIYDDWSVPHTAAKLKFPYFWDEDCLPAPKDEL >Sspon.03G0030170-3P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:27368448:27370242:1 gene:Sspon.03G0030170-3P transcript:Sspon.03G0030170-3P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSIGTGYDLSVTTFSPDGRVFQVEYATKAVDNSGTVVGIKCKDGIVLGVEKLVTSKMILEGSNRRIHSVHRHLGLAVAGLAADGRQIVSRTKSEAASYEKVYGEPIPVKELADRVASYVHLCTLYWWLRPFGCGVILGGYDRDGPQLYMIEPSGVSY >Sspon.08G0020090-1T-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8C:19794987:19795634:1 gene:Sspon.08G0020090-1T transcript:Sspon.08G0020090-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVFSRSTAFLALAIVLAVLGCAAAQQLSPDFYSKTCPNLSTIVRSGMAAAVQKEKRMGASILRMFFHDCFVTGCDGSILTTGNAAFAEGFRLCRGPNIGHSAKALFAEGRPKEPSAKTGPRQRGLCRGPALGKETALGKKKIFAEGLPIGPRQRVFAEGLPYGPRQRDFSKYILRH >Sspon.02G0029660-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:108084625:108086716:-1 gene:Sspon.02G0029660-1A transcript:Sspon.02G0029660-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTKKQGFFSALREEVARGLSPGRARRRSASNAAEVAAALRRLGGSGEALAPLMEGPDPEACPGANCGGAGARREGWGQWVRGQLQLARAPAGAAGAGADAGTGAAAAARRNDLRLLLGVMGAPLAPVHVCAAEPLPHLSIKDTPIETSSAQYILQQYLAASGGQRLLSSIRNSYAMGKVRMVATEFETGGRVVRNRMAAQRAEPGRFVLWQMAPEMWYIELAVGGSKVHAGCNGKLVWRHTPWLGAHSAKGPVRPLRRALQGLDPLTAASMFAGARCIGERKVNGEDCFILKLCADPETLRSRSEGLAEIIRHVLFGYFSQKTGLLVHLEDSHLTRIQSTTGGDAVYWETTINSFIEDYRPVEGIMIAHSGRSAVTLFRFGEAAMSHTKTRMEEAWSIEEVAFNVPGLSMDCFIPPTDIKSGSISETVELPQGEKSKVGLLPCHRAKVAALEKADDNVAWSGALQLDCK >Sspon.04G0034930-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4C:80068691:80068978:-1 gene:Sspon.04G0034930-1C transcript:Sspon.04G0034930-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GGVLAGRPTGQDTVGQPFSARACTACLPPANSPCPHAYCVVVVSVRLARNPPCPPRFNPPPSAQPCPLPLAPPRRHTPLRRAPTTDPKCATRHVR >Sspon.03G0038690-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3C:6990520:6992964:1 gene:Sspon.03G0038690-1C transcript:Sspon.03G0038690-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MILRRWPKCTAECFRRRKLFPSEVPSCPYSTIATSVQFDDSRGDEKLNFAPDNEPIQKSHRSLTSDSVVQTLRCLRRKPAVAFAYFKDTHSLGFHHDFSTYSEIIQILSHSFQGKMLVSLFCEILSGTGSGGPEILPLIDHLRKTCATSHVLSYAVNCLIKAYTTCHDAQETVEMFCHLCRLGFVPTLWACNFLLKFVSQSSDSHMVVAAYDRMKCFQLTLDAQSLNIVTRSLFQANKADEALQVWVGMIEMGVKLDVHGYSSFIIGLCDCGKYDLAYNMVRRYAVLQEISQERVPIEAFAYNMVIDGLCKEMKLEEAEKVLEIKIRHGSPPDLYGYSYLIHSHCKMGNLEKAWYHIEDMVSHGIEINCYIVGSLLQCLRKLGMISEVIVHFQKFRDLGLHLDGVLYNVAMDAYCKLGNMNEAVKLLNEMMAGGLVPDKIHYTCLINGYCLKGETENAWQVFEQMLKANIKPDVVTYNILSSGYGRNGLVMKVFDLLEHMMDQGLEPNSLTYGIAIAGFCRGGNLSEAEVLFNIVEEKGIDNIDVLYSSMVCGYLHSGWTDHAYTLFLRVAKQGNMVNHLSCSKLINGLCRDEKVQEASTVCSMMLEKNIVPDVISYSKLISAYCQSRDMHNAHLWFHDMVERGLSDVIVYTILMNGYCKVGRLQEACELFVQMINLGIKPDVVAYTVLLDGHLKETLQQGWQGIAKERRTFFLRTKHKALLSSMKDMEIEPDVTCYTVLIDGQCKAEYLEEARGLFDEMLAKGLTPDVYTYTALINGYCSQGEIAKAEDLFQEMIDKGMKPDVLAFQYYIREP >Sspon.05G0002930-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:5446578:5456597:-1 gene:Sspon.05G0002930-2B transcript:Sspon.05G0002930-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVENAFVEGNILWLVQTILESTSSGKLGEWIRHVGLGEGMEKLTSEIQRIETVVAAVKGRATGNKPLAESLACLKELLYDADDLVDELDYFRLQEQVEGGTCSTDRSMLVSFGTDTIACANEAADGDETEQVEGCGGNPDLGSSKKRKNRCKEWDHFYEIRDGSGKLQRARCKYCYPHVEIRCDNGTKGLNKHFNSKKCQRNRPANHQPPNPTSATDAAPNDITDATRDSFRGKRMRINEASTHNTASNTCPCDKAEFSNAIHQIVTELQGLRGKVCEFLKDSVACPDQCQSNTDTRIRTSSFAPRKVYGRDAEKEYIVRVITVAESDSITVLPIVGIVGVGKTAVAQFVYNDPSVKSEFEQIWVWVPDSFDEVRLTREMLDVVSLESHEGSPHNKESHERIGNYSKLQEILKEHMGQRSRRFLLVLDDVSDRINNTQWKQLLAPLESNDKKGNVIVITTRSLSVARRLGTVEPIKLGALENHAFWLLFKACAFGDDDYEGHPSLTVIGRQIAAKLNGNPLAAKTAGEVLNKNLTIDHWSSILKSEEWKSMQLNKSIMHALKLSYDKLPYNLQQCFLYCSVFPNNYEFIAEDLVFTWIAQGFMRRINSSKTPEDIGRDNLNFLVDLCFFEHVERKDSTLGNQLCYVMCGIVHDFARLISGTECATIHGMEYKEMLPTIRHLSILTDSAYCKKDQHGNLSRNLKFEEKLRDVVTSATKLRTLVLIGKYDSFFFQSFADVFQKAQYLRMLQISSTYADFNSFMCKLVNSAHLRYLKLENKSGIEELHMPMSNFYHLQVLDVGHPTITSGINGLVSMRRLAVKKGAQVTDQHSIICFEILQSMNELVRLDVYQLENVSRAEADGAKLRDKQHLENLHLSWKGVGANNILTSEQFTNTAKEVLEGLEPHQNLKHLRVSGYSGATSPNWFSSVVLFTCLQTLHLEDCGEWQALPSLERLPFLTKLKLRNMSKVTRVSVPPLEELVLINMPKLARCSCNSTRGLNSSLRVLIIERCDVLKAFPLFESCEKLRIEHKSWLSDLSKLTIHDCPQLIVSNPLPSSSSNCKLSMVRVSTLPTVKGSSNGELIIGGMTELITRAGPRIDKNSNFLRKLDEKVLSFHNLRALTRLQIRGCANLSFVSLKGFKQLISLKSLLIDDCLKFFSSDVLPENAHEDMETANFNAFPALKDLRICGSRISGEWLSMMLRHAPVLEKLLLARCEEISGFLIERKETCLSNHNPSPRCSSPGNPNDASTSSTREGLVHIPSNLVSSLKKLTILDCHALTFLEKKASFSAFTSLEELRIWQCPKLISHVAPKDENSGHANGGCLLLYSPGETGIVQLESLQKPQPCPRMSVNCLIKLNIGNNKDLESLKLDSYTKLEELKINFFHSLTTLEGLQSLRGLRYLEVGYCPRLPPFLERLSGQVSELFPRLESLYIDDYSFLTTSFCKHLTSLQCLQLRTGYKYNPVEGLTGEQDRALQLITSLQDLKFECDTLVDLPVGLHSLSSLKRLHISNCCRILRLPEKSLPPSLEELEIRYCSKERPEPTGHEKMVVGAVVDAAIGWLVQSILGNLFTDNLEAWTRRVGLGGDGCQGKRNMGYVQMVLAAAKGRKIDSEPLLRSLVDLKEQLHDAEDVMDELDYYRLEEQVASGNSGSSTVANNTATSSMWNSTFRLGKRKRQDCHKSEFSQRIKDIAGELRHLGQDIPFLVRVK >Sspon.01G0012570-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:33686779:33691874:1 gene:Sspon.01G0012570-3C transcript:Sspon.01G0012570-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVWLQYQIEMHKLHWYRRAPTPSEFWIPLAAWFTVGLVGLWTFFHFFSLWRRKISLSWMKIIARSKRKNFERNHEVPTAEHVWNTESWIRAKGMKCCVCLESLSPAQPLGQMMTSENMVHRCNVCGAAAHIICSSNSQKDCKCVSMFGSKHVVHQWTVVWTDVADQSEEGQYCCYCEEICSESFLGGPPIYCCMWCQRLVHADCQSAMATETGEICDLGPFRRLILSPLFVRAISKPGGILSSITHGANEFASTVRGRLNRTKKEKHHNRFPSDSNDDSSSDTTLNSNQRAGELKETGGSAQRSPENEHYSSESDGRELISESRKISNNETGEVKLKYALSELPADSRPLLVFINKRSGAQRGDLLKHKLHFLLNLVQVFELSSSQGPETGLFLFRKVPHFRILVCGGDGTVGWVLDAIDKQNYESPPPVAILPAGTGNDLSRVLSWGGGLGAVEKQGGLCTVLHDIEHAAVTILDRWKVTVEDKKSKNVLLVKYMNNYLGIGCDAKVALDIHNLREENPEKFYSQDSEGVLVANIPSYMGGVDLWQNEGENPENFDPQSIHDKMLEVVSITGAWHLGTLQAFMLRRAIEEPLGHAAAMITDVLEHAESSRVITASQKKALLQEMALRLS >Sspon.04G0004820-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:39580568:39583164:1 gene:Sspon.04G0004820-1P transcript:Sspon.04G0004820-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAERKQRPGGARKDEVVTREYTINLHKRLHGCTFKKKAPNAVKEIRKFAQKAMGTTDVRIDVKLNKHIWSSGIRSVPRRVRVRIARKRNDEEDAKEELYSLVTVAEIPPEGLKGLGTKLVEDDE >Sspon.02G0000950-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:3563549:3567560:1 gene:Sspon.02G0000950-1A transcript:Sspon.02G0000950-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAGGGAVAAAAKRCMNPACGGPASSVVGAGGDWRKGWPLRSGGFALLCDKCGYGPPPPPPPPPPCPLCLSYAPPAPSCEWGGHLDWMGELELASGQVVPKPFPCPSNLRFFGKSDELLSSRKFEQPPSLMLDSRNVDIAIVNKSNHLFMVKGIEAGQSSNILRQKEIENGSRQIKWEQPTLSIGDMGRPFLTRSQSALESLQCTRRDDNKDPTTDSPTSESFSEACLSMSLGIASNGNRMEATSTAERPMLSPTTAIAEGRELATTLSPFQQAQRARHFLTRPPRVGEVTFSRIDPGGKLVMGFRKATNTVSLPDSQISAIANGSLLSETLFSTANENIGVLTGMSVGIRQINSQQAR >Sspon.07G0012080-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:49260542:49263692:-1 gene:Sspon.07G0012080-2C transcript:Sspon.07G0012080-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVLEENNFVGSIPVLSNIGSPLQYLTLQSNGLTGTIPSTLGNFSSLLWLTLDGNSFHGSIPMSIGKIANLQVLGMTDNVLSGTVPDSIYNMSALTDLGMGMNNLTGEIPANIGKNLPRIVNLIMARNKFTGQIPTSLANTTNLQIINLWDNAFHGNIPLFGTLPNLIELDLTMNRLEAGDWSFLLSLTNCRQLVNLYLDRNTLQGVLPKSIGNLSSTLEVLFLSANEISGTIPDEIERLRNLKVLYMGKNLLTGNLPYSLGNLPNLFALSLSKNKFLGQIPVSLGNLSQLNELSLQENNLSGQIPGALGHCKNLDKLNLSYNSFDGSIPKELFTLSSLSNGLDLSHNQLSGQIPLEIGSFINLGLLNISNNMLTGQIPSTLGKLRNHLHCGSCPMFCHYSYVMFKKLRAEKSVCLFVFEWIMYMSCVVVMPLDMVWETRLVSAE >Sspon.01G0056220-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1C:87005688:87006805:1 gene:Sspon.01G0056220-1C transcript:Sspon.01G0056220-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASTSPWASSLPDDLVRLLASRLLAADLVDYVRFRAVCAPWRSSTASPRGRGVVDPRFHPRRWMLLPEGHGLYPGHPKLHGYVRFFHLDTGAFVRVHIPLFEDHCVLDSFQGLLVLQRDDDTAVRLLHPFTGDIVDLPPLSTLLPQMHQYPSGERSARRKILYLRSISTAATFTDDGVVAVMLAFTKRIDRVAFATSQDHQWTMSTWSYPICRSPAPFSYHGKTYVVNVDRMEHTYSIVKIFQIDTPLPGELLQPPKLIATCDTLWPRVCMVECNSEVLVIGHSDTLCSKQLVYKLADLVMGRCIPVTCIGDNAIVSLKRTLSASAKALPMLEAGTIIRYNPTRYCLMKYHLSSGSWSPAMDPCSLDGMEA >Sspon.01G0050930-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:9918016:9919846:1 gene:Sspon.01G0050930-1C transcript:Sspon.01G0050930-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEITSMECLRIRTTHPSPDYAGAAAFLLPYAASLGLQTTTLHFTPCKTKPLLLLTWRGSDPSLPSVLLNSHINSVPAEPEHWAHPPFTAHRDPATGRVYARGAQDDKCLPAAGFAPARTVHISLVPDEEIGGADGFDKFAQSEEFRALNIGFMLDEGQVSPTDVFRVFYTDRLVWRLIVKAAGHGSRMFDGTTVDNLMDSVETIAGFRDAQFRMVKSGERGPGEVVSVNPVYMKAGIPSPTTLDQQSKHRQAAALFNQHRAPSGCQPSITNTSPSGCTPASSSHTQEAPRRTGQQKQLRSFVDALNKVGTQFFSNLLSGLHRRIE >Sspon.03G0015370-3C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3C:69461485:69461941:1 gene:Sspon.03G0015370-3C transcript:Sspon.03G0015370-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPAPAPAPAATPGQVYLPAWRRAYDRLVKMLRQAYAQAEELSVEREHLITELQLLQSGLREREEISQARLQQICKHEELRKRVVEAETAARLGGKELQIHCYQKLA >Sspon.06G0032630-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:89090364:89091337:-1 gene:Sspon.06G0032630-1C transcript:Sspon.06G0032630-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKKSNRVLVLVLLLIVLPAISIGGRQLADEKDHKEHSTAASEKGATASGDMVKTNDYGRYDPTPAFSKPGFKLIPN >Sspon.06G0006290-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:20923614:20934097:-1 gene:Sspon.06G0006290-3D transcript:Sspon.06G0006290-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPAMVPLRQLFVGGEWRPPAQGRRLPVVNPTTEARIGEIPAGTAEDVDAAVAAARAALKRNRGRDWARAPGAVRAKYLRAIAAKVIERKPELAKLEALDCGKPYDEAAWDMDDVAGCFEYFADQAEALDKRQNSPVSLPMETFKCHLRREPIGVVGLITPWNYPLLMATWKVAPALAAGCTAVLKPSELASVTCLELADICKEVGLPSGVFNIVTGLGPDAGAPLSAHPDVDKVAFTGSFETGKKIMAAAAPMVKPVTLELGGKSPIVVFDDVDIDKAVEWTLFGCFWTNGQICSATSRLLIHTNIAKEFNERMVAWAKNIKISDPLEEGCRLGPVVSEGQYEKIKKFISNAKSEGATILTGGVRPAHLEKGFFIEPTIITDITTSMEIWREEVFGPVLCVKEFSTEDEAIELANDTQYGLAGAVISGDRERCQRLSEEIDAGCIWVNCSQPCFCQAPWGGNKRSGFGRELGEGGIDNYLSVKQVTEYISDEPWGWYQSPSKL >Sspon.01G0015490-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:46691321:46693887:-1 gene:Sspon.01G0015490-3D transcript:Sspon.01G0015490-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSAFRRALNEPMCLEETVVQQGIERCPFLRNINEPTSFSFSSVNFPVPARGAKGPIFEDGPNFDMAFRVFHGRDGVVPLSEGSLAQIEKPLPKPNPEFNPLAAKAATISLSAFGGFFSFGDFSNKHNKKNSNKKNPNNLPQNQNKGQSNNHEALSNEWLETGQCPLAKSYRALSGVVPLVAKMMTPPAGMKLKCPPAVVAARAALSRTAFAKGLRPQPLPTKILVIALLGMAANVPLGIWREHTKKFSVQWFAAVHAAVPFIGMLRKSVLMPKTAMALTIAASILGQTIGSRAERIRLKRAAAAELARESQGDAADCIKAPMSLKSGNHSVQFWDPLSLRVESTMGAGAPAVLVPAVSAFN >Sspon.07G0027470-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7B:60271916:60272545:1 gene:Sspon.07G0027470-1B transcript:Sspon.07G0027470-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGANLSYSVWQRKWYQNPRSKWDLFRSSESRDGGFEIDSSPEVVRVGDADEAEEADDGAAAEAVAPGEVVEGRDERCVLRVDAVGEQEAVPRRRRAEAGAVGVGHVRHVRHLQHGAAPRRGRTLTTQHRRHGIPRQVGLQPSPHVDVPEPGGREVVEWRNRG >Sspon.02G0031580-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:105778381:105781245:-1 gene:Sspon.02G0031580-3C transcript:Sspon.02G0031580-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRHLTNNPLLPRRCRLLLHPNRLRATATSSAAAGETAPAPAANETTLEDDLAEELRSRLVRDTCRLLELRDSWSAKLEAQLRHFLRAMTPPQVRAVLRAQAQRDARAAFEFFRWADRQWKYRHAPEVFDEMLALLSRTRLHDPARRVVRLMIRRGMRRGTRQFAHLMLSYSRAGKLRSAMRVLQLMQKDGCAPDICICNVAVNVLVVAGHVDKALEFAERMRRVGVDPDVVTYNCLIKGLCSAQRIVEALEMIGSMLQNGCPPDKISYFTVMSFLCKEKRVAEVRNLLERMRNDAGIFPDQVTYNMLIHGLAKHGHADEALSFLRESEGKRFRVDEVGYSAIVHSFCLNGRMAEAKEIIGEMISKGCHPDVVTYSAVVDGFCRIGELEQARKMMKHMYKNGCKPNTVTHTALLNGLCKVGKSSEAWELLNKSEEEWWTPSDITYSVVMHGFRREGKLKESCDVVMQMLQKGFFPTTVEINLLIHALCKERKPADAKDFMEQCQSKGCFINVVNFTTVIEKLCAFGKLSEAYNLLSKVLRTASKRDAQTCHILMDSFLNRGLSLQSYNVACRMFQRNLIPDLKLCQKVDSQLALVGEKQAAGKLITKFVERGVNMHNGEEVTVNL >Sspon.04G0015910-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4A:58805444:58805713:-1 gene:Sspon.04G0015910-1A transcript:Sspon.04G0015910-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MITSRKELEVGKKQDKESRWMEIKALEERKVKIEEDKLETKKMKQECKIMFMDISGFDEMQKTFVETMRAQILVSRMGVNGSGGQNRSA >Sspon.07G0010760-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:35086256:35091985:1 gene:Sspon.07G0010760-1A transcript:Sspon.07G0010760-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:minichromosome maintenance (MCM2/3/5) family protein [Source:Projected from Arabidopsis thaliana (AT5G44635) TAIR;Acc:AT5G44635] MEAFSGFYVDEKAARVENIFLEFLKRFKESDGAGEPFYETEMEAMRSRESTTMYVDFEHVMRFNDVLQKAISEEYLRFEPCLRNACKRFVLEHRAGENRAPIISDDSPNKDINIAFYNIPMLKKLRELGTAEIGKLTAVMGVVTRTSEVRPELLQGTFKCLDCGNVVKNVEQQFKYTEPIICVNATCQNRTKWALLRQESKFTDWQRVRMQETSKEIPAGSLPRSLDVILRHEIVEKARAGDTVIFTGTVVAVPDVMALTSPGERAECRREAPQRKNGGVQEGVKGLKSLGVRDLSYRLAFVANSVQVADGRREVDIRDRDTDGDDSERQKFTEEEEDEVVRMRNTPDFFNKIVDSICPTVFGHQEIKRAVLLMLLGGVHKITHEGINLRGDINVCIVGDPSCAKSQFLKYTAGIVPRSVYTSGKSSSAAGLTATVAKEPETGEFCIEAGALMLADNGICCIDEFDKMDIKDQVAIHEAMEQQTISITKAGIQATLNARTSILAAANPTGGRYDKSKPLKYNVALPPAILSRFDLVYIMIDEPDENTDYHIAHHIVRVHQKREEALAPAFSTAQLKRYISFAKSLKPQLSSEAKKVLVESYVTLRRGDSTPGTRVAYRMTVRQLEALIRLSEAIARSHLERVVLPAHVRLAVKLLKTSIISVESSEVDLSDFQDAEDGTNVPSENDAGQQLKMLLLSSRVQRVTQALVMRLRQHEESVKKDGDGLAGMKQGDLIIWYVEQQNAKGAYSSTAEVKEEVKCIKAIIEVSPAVLYLGM >Sspon.07G0001570-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:3590170:3590637:-1 gene:Sspon.07G0001570-1A transcript:Sspon.07G0001570-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GQPRVAAAPQHQDVLGAEELPAPGLAVAAPQGGPPGRRRQVGGLLCRRVVVVEGHPVGAPRRGAAGGAGAPAREAPGRVRRRDGGAGRVRRAALRRAGQVAQRRRGRAVGQAGAAGAPGEREREQTRRRRLRAPDDGAHIQHGRHAGAAVRHQGLM >Sspon.02G0016360-4D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2D:38593478:38597483:-1 gene:Sspon.02G0016360-4D transcript:Sspon.02G0016360-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHNFSGELPPDFADLPYLLHLDLSRSLFHGGVPSQWARMKLQGLLLMGNRLSGPFPMVLTNITTLTHLDIEGNGFYGPIPPEIGHLTHLERLRISDLRGSGSPFPDLSGMSSLNRLDLSFNKLSGQIPASFANMGRVDYIYLTANSLTGDIPGWLLRRNKIVSNVQSCLKRNFPCVASNGQYRSSLHINCGDKETTINGVKYEADTTPKGASLLYVSPGSNWAFSSTGNFMDDDITDDSYIATSTSKLDMPYSELYTKARLSPLSLTYYGLCMFSGSYTVKLHFAEIVFTNDSTFCSLGKRRFNVFIQVN >Sspon.06G0031180-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:61876574:61888017:1 gene:Sspon.06G0031180-1C transcript:Sspon.06G0031180-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKILYDKSSPGKLSFAGPNRQNALHAAVLRHREWNETHLCPQNKDPTTQRDENGSTPLHFAAAIKFRIKFGPSRICRQVLEANPDALYQPDHAGFFPIHVAASVGALSNVDMFVKKCPGSAGLRDAKGRTFLPVAVEEKELNITRSACRKRSLSWIMNMVDNDGNTALHLAVKAGNLRMFCHLLANLQVNLNLPNNRGETPLDISRYKVPKGIFYNFQQRNGGAERVDWSCVLVLIATVTFGVTFAVPGGYIADDRNNGGSPILARRHAFDAFIWSNTLAFILSTIATIALMRSGNPLFNLWSRKTNLHIASSYVSLSITCLAAAFALAAYVVLAPMARNTAQGIPVLTCLVLLYNQLEFIHTRLILLKPLHKRKGLIRACVLSALTIMGAMVSQYWPLIFIFGLAKSASQSPKIEAPAPAPLAST >Sspon.07G0002160-1P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7A:5266183:5266458:-1 gene:Sspon.07G0002160-1P transcript:Sspon.07G0002160-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIVDLDVCSASDVGKLRPNDDRRGSAEIFVVLLLLLDLCPPPRSTCKWASRRSRLSKERAWLPGIMNLRNRRRFCKRPEGELSFKGKGNL >Sspon.05G0018730-4P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:72886809:72887816:1 gene:Sspon.05G0018730-4P transcript:Sspon.05G0018730-4P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AAHAQLHGGRGHDQARRGEAVQGAGHVRGGPRRVPGHRGLPVRRRAGRRRAQSQPSLGAGGAQVRDRRRAVPARRVRRRHLPRAGELDPRRRREAAGARRRGAPADPLRDELPQVRVRVQQHAGAGVPRAPPRQWRRQQPVRGAADGGDGAAARQPGGQVAAVQGPVAEQHLPDEQRAVHAAEDPRVAGDERDARRGVGAEAVDQPAAVPQELPAGGVEPRAGRAPRRRRADGEGPRAEAGAQGAVQAVQRRHGRDPPDAGRVGRQRRAAAVRAARLHRRRRRAGLPLLPRPVRAALQRREADGEVRQAQRRGRGDHHRRALRRQRHVHDQEEDMT >Sspon.01G0050670-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:5895455:5899559:-1 gene:Sspon.01G0050670-2D transcript:Sspon.01G0050670-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATATALSLSGGGGGTPLLRGHAALASGRCCAFPRSRWRTPRLSASRADDSSPAPFEMTVEGALKLLGVAEGASFDEILRAKNSVLASCKDDQDAVAQVEAAYDMLLMQSLSQRRAGKVANNSIRYADVKPVKSAGAGTVPKWMQATMKNAPITFETPSSSSLGIQSCVYGALMVFTYASGSSTSLPSAYTSPDVPGFILATGFGASLYFLAKKNMNLGKAALITVGGLAAGATVGSAVENFLQVDIVPFLGIHSPAVVVSEFILFSQLLVSLFVR >Sspon.03G0012550-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3B:44842854:44846721:1 gene:Sspon.03G0012550-2B transcript:Sspon.03G0012550-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGDHRRQAAAAVTVTQAARAQDSPARRLIAWLRLLFRAFVHRYGKLASWDAAGRPVFVILAVFFLQRSLRRRYLSWKESSRLRLMAAAVTVQATVRAMAARRELSDRKQTRAATRIQAQWRAHRAVWSYLTTKRAALICQRAWRQCIASKELMKLRQENVEREMLDEMCRLREMVDVLQQAVNDAETRATNEREAAKDAIAQLEAASVVMVEDTEKVKALNAEVDRLKDLLGAEMSETFAAKKALAEAELRNEKLARLLGVEEVKNKRLQDSLKRMEEKASNLDEENRMLRQAVASNPAIVKLPSSENHEAPGIQATPDNEKSANGTVKPIIVDREANIHEKSAEQPSSNGHEAEKQQQELLIKCLSEDLGFSIGRPIAAYLIYRCLVHWRSFEEERTVVFDRIIQKITAALEARDNNETLAYWLSNSCTLLVLLQRTLKINGVAALARQRRRASPLKVPQENPDRHVSDGGLISGLEVYQVEAKYPAIAFKQQLTALLEKVYGMIRHNLKKELSPLLGLCIQYAGSAWDELKHIRQAVTLLILEEKHSRSLTEITDDFCPALSMQQLYRISTMYCDDKYGTLGIPSEVISSMRTKMIEGSSSPSAHDDINSFLLDDDFSIPFSVDDFTKLIVHVDIADMDLPPLIQDSNGSKLGH >Sspon.06G0000350-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6C:435053:435662:-1 gene:Sspon.06G0000350-2C transcript:Sspon.06G0000350-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVATLIIAGALVVSAWPAAAAAAPPPTPTPYGNGSSCQRRCGDLEIPYPFGIGRGCYHYTGDGDITFELTCRRTAGGGYQAFSGEDVEVIDVSVRRGQARVRNGIQPWCYNRTSRSMGDNSLWWTDLSDSQFRLSNEGNRFVVVGCNSLAYVQSVNTGTVYMTGCMATCPDAGTLVNGSCAGMGCCLLPGGHPPGHQHLRGA >Sspon.06G0029030-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6C:14186460:14187032:-1 gene:Sspon.06G0029030-1C transcript:Sspon.06G0029030-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQKRRRRLQWQAQVRARRGALKCAWRRPALKCARWWPLRRPATAAAREGGGRARLRPARRCLVLGPHVGGRLLRPDARGSTGLGGGGRSWPRAATAAHPSTRAQLAGVASPRGGSTPRGPAAAVETRPKAPCTSLGLARLLRSTAGTAAATRPMAAGYEPSARVRLWCSTTCSGDPIYNPGTSHAGSDA >Sspon.07G0019840-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:77666186:77670035:-1 gene:Sspon.07G0019840-2B transcript:Sspon.07G0019840-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGHQHHHRLHASPSPPGLLHHISLPVRSLHRTRPPSVSFRPHLPRPPQSLPSPLPKKRSDHEAAADFGELGAGGAVADDSTEAEEQLEYGGGGVYTPSVGAGLPALLRAGRAGPAGDPVFFLLTAVAVTTSVAFSGMVAVAIPTMLAMRRAANSFTMLADAALEELPSTIAAIRLSGMEVTDLTLGLSDLSHEIADGVNKSAKVAQAVEAGMGQMQDIAMSMVKERASLRTIPTAGLDNKSHKSSRQQRRQERD >Sspon.01G0023120-4D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1D:81137687:81139048:1 gene:Sspon.01G0023120-4D transcript:Sspon.01G0023120-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAAAAAAVPGDAPAGTTVLDSLGEDITRIVYPVSACMLLVVLLVSLLSSPSSPSPLSASIAAATGGVSGGGDDDIPTALITALTFVVAVTAATFLLALLFYLRCTPCLRAYLGFSALAVLLVLGGQVALLLLSRLRFPLDAVSFALLLPNAAGALALAALAPASVPIALHQAALVAVAVLTAFWFTLLPEWTTWALLVAMAIYDLAAVLLPGGPLRVLLELAIQRNEEIPALVYEARPVDPRHGRNWRLWREGRQSGADLDASSTVEVIGEVLGRNLDANSGNSSSSHDNEAAGDVSNSRPRVTLVAAFSSSGSTVAQAGEVSALPEHRVAVAEMSVPLIQSRPQRSGEEAVEDEDGIGLSSSGAIKLGLGDFIFYSVLVGRAAMYDYMTVYACYLAIIAGLGITLLLLSFFRKALPALPVSIALGIVFYVLTRTLLEEFVVQCSTNLLMF >Sspon.01G0056600-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:89295642:89302841:-1 gene:Sspon.01G0056600-1P transcript:Sspon.01G0056600-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAAVRTRRPRPPRPSPEVAKAPISPTTRSKPEKDLSPLTLPIRAFKLRLANGPPLAPTAKAFKSYSETCASLLRHCRATRAASTATSAYAPSSGFLPLVLSLHAHTLRSGLAADRSVASNLLTAYAAFARAADRDQAFRDCVAADAASSFTYDFMVSEHVKAGDIASARRLFDRMPEKSIVSYTTMVDALMKRGSVRDAVELYERCPLYSVAFFTAMIAGFARNELHKDAFLVFRKMLSCSVRPNVVTLICVIKACVGAGEFDLAMGVVGLAIKCNLFEKSIEVQNSLITLYLRMGDAAGAHRVFDDMKVRDVISWTALLDVYADLGDLEGARRVLDAMPERNEVSWGTLIARHEQKGDPSEALRLYSQMLADGYSWNGKMVEAEDLFKKMPARNSASWNTMISGYAGNQRFGDALNYFCAMLASGQIPGEITLSSVLLACANLCSLEMGKMVHAEIVKLGIEDSIFIGTALSDMYAKSGDLYSSRKMFYQMPEKNNITWTAMVQGLAENGFAEESILLFEDMIANGIAPNEHTFLAILFACSHCGLVEQAIHYFETMQAHGIPPKDKHYTCMVDVLARAGRLPEAEELLMKVPSKSDTNSWSSLLSACNTYRNKEIGERAAKKLHELEKDNTAGYVLLSNMYASCGKWKDAAETRILMQGASLKKDVLKTVLEQWNANQALKLIGLESRCFWYTQFWLFAHMATWEG >Sspon.07G0016380-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:62462506:62467187:-1 gene:Sspon.07G0016380-2B transcript:Sspon.07G0016380-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQLLGPGKNVILPADICALIFSDDHGDRPRPLPKIKELQGATDITERKGRPSWDYDEKDGWKWMVPPPVSKKPVLSGDPETDKQIRRATKRAHLSVAERLLKGSFYDVAVKVWSQDLFVYPDPAEFGCSICRAVIKEPLTTPCAHNFCKTCLLGKYDSQSSVRERSRGGRTLRAQKIVKTCPSCPTDICDFLENPQINREMMELIETLQRKAVEEGKVASDDAEECGDGDQRKMMGEDDSGLNEEDQDSADADANADGSVKIVVEIKEGGKDDKKSKMGATEVVDVLVDEDAAEQTKKRKVDEDAAKQTKKRKGDAETGTNGAKRMKSSAAVEEVAVCGTPVKRTMKSGDMDAEGNGSPAVSSGRRVTRSSSVNATGADDSPARRTRSRAGADAGR >Sspon.03G0003670-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:25929848:25937202:-1 gene:Sspon.03G0003670-3C transcript:Sspon.03G0003670-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPKLATLALAVLLAATVVAPPAAVRAAMSCSTVYSTLMPCLPFVQMGGAMPPQPCCGGIRSLLAQADNTPDRRTICGCLKNVANGANGSGTYISRAAALPSKCGVSLPYKISTNVNCNTTTSYQAPAAMSRSRCRTKLRNDDEEDGSASSTKFTETATGWHVVKVESYCQISGLGVARRLKSCPFVVGGHAWCIAYFPDGVTEDTADCISFALRLEDRCAGTRREAVMVRTTFSLLGVAGGLAPSLTVSCGVWTFSRIGQSCCHVRFMERKEFESSYVKDDEFCIIK >Sspon.03G0019630-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:47124036:47138257:-1 gene:Sspon.03G0019630-1P transcript:Sspon.03G0019630-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLATATPTTSSHSSAPLLPSFHMPRRNLTAPLHRIRRSRLLNVVASVPDPAAGPVEYTPWLIAGLGNPGNKYYGTRHNVGFEMVDRIAGEEGITMNTIQSKSLLGIGSIGEVPVLVVKPQSYMNYSGEAIYDDMSLPNGVLRLQKKGGHGRHNGLQNVIENLDGRREFPRLSIGIGSPPGKMDPRAFLLQKFSSEERVQIDAALEQGVDAVRTLVLKGFSGSTERFNLVQKVTTSMWDGLELLVPVIHSKQCDGKYNDKKTIALRCPGCGSKAIHHRMERYCMASSSWCHAREDKQLIEPRSIS >Sspon.03G0041430-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:40425859:40428267:-1 gene:Sspon.03G0041430-1C transcript:Sspon.03G0041430-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGYGTCLAFVLLLAVVPCPSAAEPAQHTLGTGSSLSVEDHGRPFLTSPDGTFSCGFQDAGENAFSFSVWYTDAAEKTAIWTANPGAPVNGRGSRISFRRDGGLALDDANGTTVWESKTSGGGGDLTISLLDTGNLLISDPSTGGRTLWQSFDWPTDTLVPSQPLTKDKKLVAGYFSLYYDNDNVLRLLYDSPDISSIYWPNNPINDPFKNGRTTYNSSRIGVLDDNGVFLSSDNLGVHASDFGPGVKRRLTMDQDGNVRIYSMNASTRGWAVTWVALGQPCSTHGLCGQNALCEYQPRLRCSCPPAYEMVDRQDWRKGCKPMFKVTNCSQPPSSSPEQQFKFLKLPHSDFYGYDLQFNQFVTFEYCKKLCLKMCLCVGFSYKLEGQGVCYPKSILFNGFTSSAFSGTIYLKVPIDFDASAPLVTARSTAGLACNPNISVIVQRSEGTFSRTGNGTKWPYLFAFAGVLGVLDIIFIATSWWFLSSKQSIPSSLEAGYRMVTGQFRRFTYRELKDATGNFKEELGRGGSGVVYRGVLDKGKVVAVKKLTNVAWGDEEFWAEMTVIGRINHMNLVRIWGFCSQGKHKLLVYEYVENESLDRHLLGTDRTLPWRERYRIALGTARGLAYLHHECLEWVIHCDVKPENILLTREFDAKIADFGLAKLSKRDGAAGDSLQLSHMRGTTGYMAPEWALNIPINAKVDVYSYGIVLLEMVMGCRVCDQTTAGGERLEMSQIAQALRQVVATGNVVPLVDGRLQGQFNLRQALEMVRISLSCMEDRSNRPTMDDVAKALTACDDEDEHPAY >Sspon.03G0007770-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:20967047:20968574:1 gene:Sspon.03G0007770-1A transcript:Sspon.03G0007770-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHMALSSRSSFTAADGLLPAGMAYRQPCSGDSATSSSYFGSRPAAPFPFGTAAQLDVFECLSDEGGGAVPAAVPGAFAPPPPPLMPAERVVPDAAGYSSHARSAAAAAADEGPARRTDRIAFRVRSDDEEVLEDGYKWRKYGKKSVKNSPNPRNYYRCSTEGCNVKKRVERDKDDPRYVVTMYEGVHNHVSPGTIYYATQDAASGRFFVAGMHHPGH >Sspon.07G0008650-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:20648806:20650396:-1 gene:Sspon.07G0008650-1T transcript:Sspon.07G0008650-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding IQSFLAGVPYVVVGFRNDAGILVRTERLRTKDITQKVKAKNYWQGGVCLAFADEVLCWLYGTVKENEDYVLQFVHPFNRLELLRAQSPCPEAITLHVQQLSGAAD >Sspon.03G0003640-2T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:609034:610257:-1 gene:Sspon.03G0003640-2T transcript:Sspon.03G0003640-2T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPAKGKMRRTSSSLLLRITDICKVHSVAVAENVGEKPNAGSTGGSSEDGGHLKIYPQQVSDHESCSGTSTARYEDAVVEKLLDAVSGLKLAYVKVQQAHVPYDPEKVAVAGEHFVSELEETAGLKDLYFGVSKWSNPMYQSHVSSRIHEHQKLALELQADICKKDSELVLLRAEFEELERRNMELKEKVDRRALLMHREISFDIGKGGSIDMFIELFENSSKCIHDFTKLVISLMKISGWDLNYSKFPVDKSVVFEKRTHKKYCVEAYFARAMLMVTKEEYFSMDSFYHVMSFKDPFDALVESPNSTFGKFCREKYLVAVPSNMEDSFFGNLDHRAFVEMGGHPRTQFYQTFARMARYVWALLTVARFLKPRAEMFFVKSGVQFQKKHMESVPAKLTQRKQRSVLGL >Sspon.07G0019200-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:69809513:69812080:1 gene:Sspon.07G0019200-1A transcript:Sspon.07G0019200-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAEGKRWRFAMVCSSNMNRSMEAHSQLGRAGLDVESYGTGTHVKLPGPSLHEPNVYDFGTPYGAIYDDLRRKDPDLYKRNGLLPMLKRNTSVKLAPQRWQDNAGDGVFDMIMTFEERVFDLVVEDMSNREPRLMKCVLIINMDVKDNHEEAGVGAKLAVELCQKLEAIDDDWEDIIDDLITAFEKQHKRRLAYSISFY >Sspon.01G0048110-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:107277255:107279579:1 gene:Sspon.01G0048110-1B transcript:Sspon.01G0048110-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNGSRATNGEHCREEGRWQRSGAVDRKDGSCLGVVESTAMEHATAVRARWRSHDAAVKRKLKVIMLTKANTTSEVNSTREHLKEEASAQEEEEDEEEEERL >Sspon.08G0004980-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:14552629:14562109:1 gene:Sspon.08G0004980-1A transcript:Sspon.08G0004980-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQSVLVERATSESLIGPDWSLNLEICDILNHDPSQAKDVVKTIKKRIGHKNSKVQLLALTLMETLIKNCGDFVHMQVAEKDILHEMVKIAKKKPDYHVKEKILILIDTWQEAFGGARARYPQYYTAYQEMLRAGAVFPQRPESTVPIYTPPQTQPLRNYPPPALRNTDYRQDVPESSSAPEVPALSLTEIQNARGVMDVLAEMLNAIDPGNREGLRQEVIVDLVDQCRSYKQRVVQLVNSTSDEDLLSQGLSLNDDLQRVLAKHDAIAAGIAIRVEKPKSVPDRADSSPTKPEPTKEADQRSAKVASSVTPFEQLALPAPPSSNASKSHGESAVTPNIDLLSGDEFFKPEPVHSQALVPLVTQPAASASSSPSTLDLLDMFSDSNAINNTSQNPTIPSISNTNPNLSVQAYPAPQQPVPPHHPSPYANGLNSDTMTPYDQGSNLTSASSWNGQFAHGMIPSQQAPNYGQDEQSNDLPPPPWEALPAETEQLEADHPGGLSALPQFGVSQPQPVQITHPGQQVLPSQLMPTGQPGAQFQPGLAQQPYATQNTQYGGMYPPVQGNQLVGIYPQQMAGDVYQQQMFSGQMTGYGYGQQPGGYYVPNAAYAYASANDLSQRMNGLSMQDNSLYGTPASSSLQQRNRPSRPEDSLFSDLVSIAKTKPSKTASNKAGNL >Sspon.03G0019200-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:45242697:45247560:1 gene:Sspon.03G0019200-4D transcript:Sspon.03G0019200-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFRAAANAVAVFAAAVLAVAAGASGDSLADLGGAAREIESAPEVKNLGPWAKGLLKGMPAAAAGPAAVAPVAKYPLVLAEDRTRRPDVLRHLKMYEGGWNVTNKHYWASVSFTGVSGFLLGALWFIFFGIAVAGRCYFGSRMSKRKSWVHCPFYGQSKFHEEATQTVDFVVNQSDFTIQTLRNVTEYLSFAQTITVAALYLPSDVQSQIDNLKGDLNKAADTISLKTAENYKRIRKVLHIIFVTLCWKVVAALFILLGIFLILNSAAKDTCEAMDQWAQHPQAETALSNILPCVDESTTNRTLYQSKEVVVRLVGIVNRAISALSNRRPHHKHPGQFMPYLCSPYDSNLNDRPCKYREVTFENATTAWLNYTCMAQDTDLCSGNKTLTYDIYGQLVLAANVSYALYHYAPFLLNLQDCKFVRATFSAIASQYCPPLEHDLGLVSAGLALIASGFVLYLIWMLFADRPQRREEVSDLASGSRITPVDSSSPS >Sspon.04G0011940-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4A:37731748:37734626:1 gene:Sspon.04G0011940-1A transcript:Sspon.04G0011940-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VRALSHGHRSNSVISLPPHVRWRALRKICATELFAPSRLKALQPLRQHKVEELVRYVSERAALGEPVVVCEPLFTTSMNIVSRTMFSVDLDSAGCRDTVQEAAVLAVKPNVSDFFPAIAAADLQGVRRRMEPLVAHSHQLLDEVFAQRLLEREAGEPPKNDMLDAVLDKEHEWQQKGAASIINRSTIKGLFTDMLVAGSDTSSTTVEWAMASLLENPEVMEKVKRELTRVVGTRAEVQESDIAQLPYLQAVVKEVLRLYPVVAMTYYRAEATVGVQGYTIPKGATIILNIWAVHRNADVWPDPHKFMPERFMDDGNNITADFSSKDCKLIPFGGGRRICLGMPLAYRTVHLILASLLHHFDWTLPEEARQNGIDMTEKFGMVISMATPLKAIAKKRIQIVLDAKVERMIEYDAPESKSTDADSPKTYKP >Sspon.06G0018780-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:85872364:85877494:1 gene:Sspon.06G0018780-2B transcript:Sspon.06G0018780-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPARALAADKRSHPLRLLILSPISISLCFHWVQLVLPDYKGLGRGFAAAAAAPGRAPAAGVDEAAPKPTNLPSQRGALQWGDKPMPPAPNAWGSSSLLSLKKGEGSGSFVNIDDRPSSPGSSSMSTDESDFLDSPVSCGRTSNDSVTAISRPQSAELRSGSWKFAHSQISFLDVLKAPLRTIAKKRPTSHRKGFTICADDFPVLDSKNSQSNGQQGGDPVSTANFFWEPEQAQLHATQTPDICMPPPCIDYWHPSPDHPPDRNGIRLGGMVSYGPCKPADKAVSFPVEPFTHDGQSVLNQGGEERHGPHGVYHPENNDSCYAHVPVDTFVRSLPHLILEKVKDNHSDALEKQHVMKKDVALLEKIKCLPHHILGKVKVSTTNNHEDQPLAGNCSQQVHARTANDLLKSPYEIQCNKNSKSIHLAMMHMKATAREEHIAKVSKIKNA >Sspon.03G0046110-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3D:32055938:32056651:-1 gene:Sspon.03G0046110-1D transcript:Sspon.03G0046110-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGVKVPQLHPVTWARDLVDPDVFPAKNVAVVLCGMWSLWMSRNKKRHGERDVPVKVAVQWAIDTAFDLWQILHPAKPAAAMANTQRSWQKPPPGWFKCNVDASFHAGNRNAASGVVLRDENGRTCGGKAAWYDHCLNALMAEAMACRDGLIFARTRGVRKLQLETDCQVLVNLWTNRTSQKSEINPILQQMEDLSRSFEAFDLVFINRKCQAGASKHTHELRRVMFMRLRLCAFCW >Sspon.02G0009640-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:26989824:27000622:1 gene:Sspon.02G0009640-1A transcript:Sspon.02G0009640-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKALRRSSTSSSPSSSSSPTAASSPPSSSWIHIRSLLVAAASSSSSSSSSSSSSSAAAGSAVALVSAAAAVSSSSSPASSSPHSDRGGIKSPWSRRKRKRALSREQWEHLFSANGKLRDGGKKFLKKVRSGGIEPSIRAEVWPFLLGVYDLNSSEEDRNSVKIKKRKEYEKLRRQCQQILSGYKGNGLKAITEVNNEECCSLEGTAEGSESPCFEDANAICAPASLEELKPEQSEADQPENIPCAVVECMEEDADELAYAYPCIAESESSDSESSDEDDPGRISVSGEENCDPDPKFTRSTSFKADFFRSSRTSEDFATWQRIIRLDAIRQTAEVPKERALQSAASVGLKDYDHLEPSMIYHAARLVGLLEAYAVYDPEIGYCQGMSDLLSPIIAVMEEDDEAFWCFVGFMRKARHNFRLDEVGIRRQLKIVSQIIKRKDSHLYRHLQKLQAEDCFFVYRMVVVLFRRELTFEQTVCLWEVMWADQAAIRAGIGRSTWGRIRLRAPPTDNLLLYAIAACVLQRRKLIIEKYSSMDEILRECNSMAGQLDVWRLLDDAHDLVVNLHDKI >Sspon.08G0011440-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:45533327:45534296:-1 gene:Sspon.08G0011440-2B transcript:Sspon.08G0011440-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQSLMKLFFESTTPARNNLSVVMLGLDAAGKTTILHRLHVGEVLSTPFSWMRHAEAESLCLLLYVWQMDRVGVAREEFQAIVKDPLMPSSVLLVLANKQDMVGNSSGTKQNRGRERLSHLCSFLLAQKGAMSPSEVGQRLGLYDLKNRTSRAVGTCALTGEGLHEGLGWLAATLKDAHTWGTSVRF >Sspon.02G0014690-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:42077687:42078784:1 gene:Sspon.02G0014690-3C transcript:Sspon.02G0014690-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAMDVKYKPVMFPNGAALKKQVKPAAVAPAGVGGEPLYRECLKNHAASLGGHAVDGCGEFMPSPGANPADPTSLKCAACGCHRNFHRRAVEGSPPPPAPAPLALPAPPPPSVLHGQPHRGGEETPEDRHHPGVVDADDSDSDSEGSEYDEERSVSPPPPPHHVPAPVAQQPPPPPPSYFATAPHMLLSLGSGAAVAAAAQRLQAPAAQQLTPSSAPPPGGAMPMPRKRFRTKFTAEQKQRMQELSERLGWRLQKRDEAIVDEWCRDIGVGKGVFKVWMHNNKHNFLGGHSARRSASASAGAEPLQTPGGAAAPSSFNPSRITPPPPVLTSSPTSATGFNINSAASSAPTVTADHTDNAANGASSP >Sspon.04G0030640-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:2039878:2045010:-1 gene:Sspon.04G0030640-1C transcript:Sspon.04G0030640-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEEAPPPPPPKLLYIAVSDGGGRRAFRYTRPVLQSTLHLMGCKPRHAFKISKRVFNVMKSEFLAASKSDGATKQENYPALGLGDGTDTPKMLERDCTDTPKMLERSNSSIPFELYKNQTTVVVSREEFVSVVCDALSLYKYVGPNQKADLLLACREKGIGDNTLVWDKRMWQVHFIIFAVSTDSIRHMMRGFADEKQNPLLYASTYHAGEYLDPIAVARAKAKRKANKPRVVSHPNTSGGKDVTSDDKSQQGSSELPPRAELIGNKQMAVEGYKAQSEMVIDSLDRLITSWEEQKESLIQRKGSSRNLMALLNTDGSVTKAWHVGASDGNGDLNGITNSKKSAENPMLDASQIGKAEAVNLQFGPFGISAWMSDTGGTSHTGSVEDLRADSVETGGRNYSSCCSSPKMSDSTSKELMEDYSVYGSEEEADDPRDAETDEDLTDEEKDMHEIDAGSVDEHSTKSDEEYEDLAMRDEMENGDWSDDEEAVSKTKNSPAPESIHGSGAAEDDGIKGRYHHNLDLFLKMSKERLSFIYIKGFGSLF >Sspon.04G0036270-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:27568136:27569903:-1 gene:Sspon.04G0036270-1D transcript:Sspon.04G0036270-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SKHGRAAAALLGSLAVSVVVWFLLLRRGGDGGKGKRPLPPGPRGWPVLGNLPQYGPLFRLRFGSAEVVVAASARVAAQFLRAHDANFSNRPPNSGAEHVAYNYQDLAFAPYGSRWRALRKLCALHLFSAKALDDLRGVREGEVALMVRELARHQHAPVVLGQVANVCATNTLARATVGRRVFAVDGGEEAREFKDMVVELMQLAGVFNVGDFVPALAWLDPQGVVGKMKRLHRRYDDMMNGIIRERKAAGEGKDLLSVLLASMREQQPLADGEDSRINETHIKALLLNLFTAGTDTTSSTVEWALAELIRHPDVLKKAQQELDASDLPRLTYLTAVIKETFRLHPSTPLSLPRVAAEECEVDGFRIPAGTTLLVNVWAIARDPEAWPEPLQFRPDRFLPGGSHAGVDVKGSDFELIPFGAGRRICAGPSWGLRMVTLMTATLVHALDWDLADGMTADKLDMEEAYGLTLQRAVPLMVRPAPRLLPSAYAAE >Sspon.01G0024260-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:84587358:84601706:-1 gene:Sspon.01G0024260-2D transcript:Sspon.01G0024260-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLRRPPRSSSGGVEPKIRQVGFFTPDASAPSEPLPPAVPAPSAQLQRPAAGSPPAPDDLSAGRLSPVMIPPPRHADHLAPGPPSPAAADVVLATSAPVRSSPRLDVASEIGDDDSWSRAPSAVELEENKRGLTEVSNEGAPASIPQKQKTSKAERRAIQEAQRAAKAAAKEADKSGKSAGAAMSKQAKPAKTAQKKDVPQAASTVASEKKATERPPERERKLDAPHPRMQFDDVHKVEKAKKRAVVNQSEARNRVELFRHLPQYVHGTQLPDLESKFFQLEPMHPSVYKVGLQYLSGEVSGGNGRCIAMLLAFREAIKDYTTPPNKTLSRDLTAKISSYVSFLIECRPLSISMGNAIRFLKSRIAKLPHALSESEAKTSLQSDIDRFVNEKIVLADKAIVSHAITKVRDNDVLLTYGSSSVVEMILDYAHELGRNFRVVVVDSRPKLEGQGLLRRLVAKGINCTYTHINAISYIMHEVTRVLLGASSILSNGTVYSRVGTASVAMVAHAFGVPVLVCCEAYKFHERVQLDSICANELGDPDVILKIPGKAEDHLKNWADNADLQLLNLTYDATPPDYLPPTSVPVIVREYRKEQLWI >Sspon.04G0005890-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:7902812:7903684:1 gene:Sspon.04G0005890-2P transcript:Sspon.04G0005890-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPLAISGGQPTWVPYEPTKDCSQGLCSMYCPQWCYFIFPPPPPFDVGGPSPDDSSGPVFSPLVIAIIGVLAIAFLLVSYYTFVSRYCGTFGSFRGRVFSSNSGGGARRRGNGGGGQGQSRSQESWNISPSTGLDETLISKITLCKYKRGDASVHTTDCSVCLGEFRDGESLRLLPKCSHAFHQQCIDKWLKSHSNCPLCRSHITFVTVGMGMATQEAEVRGPGESVGRDAAHEVVVVMDDLEIMCDEQQSMAGSTDGDGDGQEANGGQERMDEADSKAEIREERPRR >Sspon.02G0023780-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:81194956:81198563:-1 gene:Sspon.02G0023780-1A transcript:Sspon.02G0023780-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RSHRSANQPYPNSQVSSLLSQPVKLPGGRVLRRTQTQRRAALSPLHSSGITTAFSRGFGMATVEASRTSEARRDAVFGRWVVFSPARSRRPTDLKSHSPAGPAGADAPEPPKPSCPFCAGRESECAPQIFCVPPDGTAPWRIRVIQNLYPALRRDVEPPPPVLPEGEAPPDEPGERAVPGFGFHDVVIETPRHDVRLWDLDAEGVRDVLLAYAERVRQLGEHPVVKYVQALDLGSLLKTMLQKLSKQLNDPPFNFMIHSAPFGLSSSCLPYTHWFLQIVPQLSVVGGFELGSGCYINPVFPEDAAKILRELDCSV >Sspon.04G0003490-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:10064870:10066121:1 gene:Sspon.04G0003490-2C transcript:Sspon.04G0003490-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEIRSDDIEKQDEVMLPGFRFHPTDEELVRFYLKRKIQKKSLPIELIRQLDIYKYDPWDLPKLASTGEKEWYFYCPRDRKYRNSTRPNRVTGAGFWKATGTDRPIYSSDGSKCIGLKKSLVFYKGRAAKGVKTDWMMHEFRLPSLTDPSLPQKKPLEKTIPPN >Sspon.02G0045690-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2B:110731437:110734314:1 gene:Sspon.02G0045690-1B transcript:Sspon.02G0045690-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSCSTGIGLVCTDPSCPDAYQYPTDDTKTHSCSANSNYQLINRHQWHPRPSTRLYLLLTAFAAGASAATFSINNGCSFMVWPAAIPVGSGTWLDLGKTWTLDVPAGTAPAGSWAAPAVPSMAADAATTTPAHSPA >Sspon.08G0028810-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8D:17716420:17716824:-1 gene:Sspon.08G0028810-1D transcript:Sspon.08G0028810-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNGGGGPELNTGHHAIHQRSAASSPRAPLCRSPTARNREEEQRRLLDSVCLVKKQASAAANSISVLRFTNLDHQQRSWATRTRQQQQLAWPLGPRTPLRPSSPMQQHRPGKAAQQQHSRWRPRSQQMERQQLC >Sspon.06G0007230-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6A:26914053:26914580:-1 gene:Sspon.06G0007230-1A transcript:Sspon.06G0007230-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEKRRGGAENHDSTSTMQDHSVVDTVPGWDVAAADARGGGGSDGYVISNK >Sspon.05G0038230-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:5D:35958950:35959189:1 gene:Sspon.05G0038230-1D transcript:Sspon.05G0038230-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTLEATPQRGAPGKCDGERRAVFRRQRACARGPDATREKAHPLAIRNCHVIDGLSQGRSCHVIGGLHKGLKPTQIRRV >Sspon.01G0010540-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:31941430:31944553:1 gene:Sspon.01G0010540-4D transcript:Sspon.01G0010540-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSCLVVVAYMGADQWPWPWPWAPPSPPPLLAAALLVLLLALWRRLVWQPRAVARSFARQGIRGPPYSFLAGSLPEVKRLARASRRRVPHLDVGCHDIMPILLPPFHRWVVEYGRTFLYWIGPTPAIFSVDLELIKEVLTDRSGLFAKDFMIPVFKVLLGNGLILANGDDWKRHRKVVLPAFSHERIKSMSAVTAEATEQMAQRWCEQLLHSGARQAAEIQMDRAICDLTAEIIGRAAFGTSHQEAGEVLVLMHEMQKMGAAAMLDGPILWHLPTRRNLTVRRLDKLLRTKITAMMEARVAAASCGSGRGGGYGDDLLGLLLEAWSPEPPERQAGSDDEGTTTTLTTGEVIDECKTFFGAGQETTATLLVWTMFLLSTHPQWQDKVREEVLREFPGGDGDVPNSDTLCRLKLLHMVLLETLRLYPPIVYIQRTTASDAVLRGIEVPQGTVISIPIGLLQRDREVWGSDADEFNPLRFSNGVARAATDPHALLSFSLGPRACTGKSFGIIEAQIVMAVILRKFTFSLSPTYVHKP >Sspon.08G0005100-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:15632608:15635886:-1 gene:Sspon.08G0005100-2C transcript:Sspon.08G0005100-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSVCHGHLLLFLLVAVASACLGTAAAHQAGSGEGYTIAGRVKIDGASAKGFGLPAKTSNTKVILNGGQRVTFARPDGYFAFHNVPAGTHLIEVSSIGYFFSPVRVDISARNPGYIQAALTETRRVLNELVLEPLKEEQYYEVREPFSVMSLLKSPMGLMVGFMVLMVFVMPKLMENIDPEEMKQAQEQMRNNPVSFSGLLSRAQG >Sspon.06G0010230-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:55843663:55850352:-1 gene:Sspon.06G0010230-1A transcript:Sspon.06G0010230-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:A member of the somatic embryogenesis receptor kinases (SERKs) family, Brassinosteroid (BR) signaling, Regulation of plant architectur [Source: Projected from Oryza sativa (Os08g0174700)] MAASRRRWAVWALLLLLRLLHPGALVLANTEGDALHSLRTNLNDPNNVLQSWDPTLVNPCTWFHVTCNNDNSVIRVDLGNAALSGTLVPQLGQLKNLQYLELYSNNISGIIPSELGNLTNLVSLDLYLNNFTGSIPDSLGKLLKLRFFFANNPNLCGPGTTKPCPGAPPFSPPPPYNPTTPAQSPGSSSSSTGAIAGGVAAGAALLFAIPAIGFAYWRRRKPQEHFFDVPAEEDPEVHLGQLKRFSLRELQVATDGFSNKNILGRGGFGKVYKGRLADGSLVAVKRLKEERTPGGELQFQTEVEMISMAVHRNLLRLRGFCMTPTERLLVYPYMANGSVASRLRDRPPAEPPLDWQTRRRIALGSARGLSYLHDHCDPKIIHRDVKAANILLDEDFEAVVGDFGLAKLMDYKDTHVTTAVRGTIGHIAPEYLSTGKSSEKTDVFGYGITLLELITGQRAFDLARLANDDDVMLLDWVKGLLKEKKLESLVDEDLEHNYIDVEVESLIQVALLCTQSNPTERPKMSEVVRMLEGDGLAERWEEWQKVEVVRQEVELGPHRTSEWILDSTDNLHAEQLSGPR >Sspon.05G0032650-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:20645155:20646441:1 gene:Sspon.05G0032650-1C transcript:Sspon.05G0032650-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRRFRTLEDKIEKTAEVAIEVIDKVAEATEKVTDEVAEEFPGNENIKKAASKIKKVMDVIEEDADKAEALIEKVRADLVV >Sspon.01G0034590-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:4472838:4476935:-1 gene:Sspon.01G0034590-1B transcript:Sspon.01G0034590-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCCGSSLRAGTHPEKKPPGRAAGALPPHRSSYSPNQHQAPAPSAARAGAGGRQVPPLKEFSLAELRAATGGFAAENIVSESGEKAPNFVYKGRLEASRHAIAVKKFTKMAWPDPKQFATIEWAMRLRVAYYIAEALEYCSTEGRPLYHDLNAYRVLFDENGDPRLSCFGLMKNSRDGKSYSTNLAYTPPEYLRNGRVTSESVIFSFGTILLDLLSGKRIPPSRALDMIKGNNIQVLMDSHLEGNYSTDEATTLVDLASQCLQYEPRDRPNTKKLVSILEPLQIKSEVPSYEMLGIPKYEEEAPPPPQPQHPLSPMGEACSRMDLTAIHQILVNTHYRDDEGSNELSFQEWTQQMRDMLEARKRGDFAFRDKDFKAAIDCYTQFVDVGTMVSPTVYARRSLCHLMCDQPDAALRDAMQAQCVYPDWPTAFYMQAVALSKLNMQSDATDMLNEASQLEEKRQKNTKP >Sspon.03G0034810-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3B:77037293:77038245:-1 gene:Sspon.03G0034810-1B transcript:Sspon.03G0034810-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLGLLVLAIVAVLEITRADSWNGGRATFYGGDDASGTMGGACGYGNLYNAGYGKNTAALSTALFNNGQSCGACFEIRCTGSGSCLSGSAIVTATNFCPPNHALPNNDGGWCNPPQPHFDLAEPVFTQIAIAGAGVVPVQYRRVPCVKQGGIRFTINGHSYFVLLLITNVGGAGDLSAVSIKGSRSGWQTMSRNWGANWNIGSLLDGQALSFQVTASDGRTVTSENAAPVGWSYGQTYTGKQF >Sspon.05G0011700-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:25740518:25745975:-1 gene:Sspon.05G0011700-3C transcript:Sspon.05G0011700-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQSRLKEFERRSLSSDMFAGFRARGEFVEKFKLSMKSLNKDYQESKINLAYGEVWIIIVYLDSPVLVLVADHLILTNVMDRPLAENITDELDLRIARVLESTGYHTDEGFWNDPAKYKISDNRRHVAIVTTASLPWMTGTTINPLFRAAYLARSAKQKVTLVVPWLSKSDQELVYPNNITQFARRARNLYKELAERIGFEANFKISFYPGKYFVFFPWDFITHEMLFLQFSKERRSIIPAGDTSQFISSKEADIAILEEPEHLNWYHHGKLHTNYLEYIKREKNGAIQSFLVKHINNWVTRAYCHKVLRLSAATQGLPRSVVCNVHGVNPKFLNVGEKIAADRECGQKVFSKGAYFLGYRELTDLLSKHKNDLEGFMIDVYGNGEDSEAVQNAARKFDLSINFFKGKDHADDSLHGYKVFVNPSVSDVLCTATAENLAMGKFVSFPNCLTYRTLEEFVARVKEAMTTEPQPLTLEQRYSLSSEAATESSEQKWPPWTNGKINEARKIPLLPKLSDVVDGGLAFAHHCLTGNEILRLATGAIPGTRDYDKQQCMDLNLLPPQVQHPQRLLIQIFSMSNTEHH >Sspon.01G0014020-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:38729074:38729553:-1 gene:Sspon.01G0014020-1A transcript:Sspon.01G0014020-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPRRNGSGATALAAVLALGHFLLLATHSDACGSSSCPKPTPAPAPCSPTAPKAQGGGGARCPVNALKLGACASVLGGLVSLELGQQQRPAASSSSSTQPCCQLLGGLADLDAAVCLCTALQANVLGIVQLGAHVELSVLVNYCGKKLPQGFQCARAN >Sspon.04G0022140-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:4279697:4283776:-1 gene:Sspon.04G0022140-1B transcript:Sspon.04G0022140-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLKDLLPAPKTSASTFYDHSSDPWFKERYGGESAQAAAAARPAAAARPVPPYGKRTGFVPRRPEDFGDGGAFPEIHVAQYPLGMGRRDDKGGSKILALTVDAHGSVAFDAVVKQGENAGKIVYSKHSDLVPKIATADSQATSDDEEEQKKIEETTERTKAALEKVVNVRLSAAQPKNVPTHDSESKFIKYKPSQQSAAFNSGAKERIIRMSEMAVDPLEPPKFKHKRVPRASGSPPVPVMHSPPRPVTVKDQQDWKIPPCISNWKNPKGYTIPLDKRLAADGRGLQEVQINDNFAKLSEALYVAEQKAREAVQMRSKVQRELMLKEKERKEQELRALAQRARMERTGAPPAPSGMPAGGGRGAVEAVDEDMDMEQPREPREPREQRRESREEREARIERDRIREERRRERERERRLEAKDAAMGKKSKITRDRDRDISEKIALGMASTGGAKGGEVMYDQRLFNQDKGMDSGFATDDQYNIYSKGLFTAQSTMSTLYRPKKDGDSDVYGDADEQLEKVMKTERFKPDKGFTGASERTGKRDRPVEFDKQEENDPFGLDQFLTEVKKGKKAVEKIGGGGTMKASGGSSMRDDYDGCRKKLNSDKKERGTCAVIARLPFWDMDGLNMAICCHGMGIAAQESSLL >Sspon.03G0010310-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:27925302:27928778:1 gene:Sspon.03G0010310-1A transcript:Sspon.03G0010310-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MESKSTGTAIARMGVFSASALHEKDESAKASRQISRSKFFVVVLACSFAWYAVPGYLFPTLTSISWVCWVFSKSVTAQQLGSGMKGLGLGAFTLDWTAVASFLFSPLISPFFAIANVFFGYVFFLYVIMPIAYWGFDLYNAKTFPIFSSHLFMSNGTNYDVKSIVNNQFELNWDAYKKNGKVNLSIFFALTYGFSFATIAATITHVGFFYGKEIYHRFKSSQKEKPDIHTKLMKKYSEIPAWWFYSLMALSIIVSLLLCTVLKRESPGLNVITEYAMGLIMPGSPIAVVCFKVYGYMSMAQAVAFLSDFKLGHYMKIPPKSMFLVQFVGTIVAGTVNIGTAWWLLGSIHDICSDSLPPDSPWTCPGDRVFFDASVIWGLVGPRRIFGPKGNYGALNWFFLIGLAGPVIVYAFHRMFPNQKWIPLINLPVLFGATAYMPPATAVNYNSWLFIGTIFNFFVFRYRKRWWTRYNYILSAGLDAGVAFMGIVLYFSLTMENQSIDWWGTAGEHCPLASCPTAKGVDLGDGVCPVF >Sspon.03G0013600-3C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3C:55142841:55143674:1 gene:Sspon.03G0013600-3C transcript:Sspon.03G0013600-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQFGKWLRRQIEQSLPEWQDQFLRYKELKRCVKALSGVCPPLPAEEAEFVAEVEAETEKINAFFLDQEEEFIIRHRELQNDIKRALDRRAAGAVAPAQHEAEVAAIRREIVNFHGVMVLLLNYSSINYIGLAKILKKYDKRTGAMLRLPVMETVLQQPFFKTETVSQLVRECEAMMEAVFPEAPEGQAAAAALAVAEAEQSIFRNTVAALLTMQDVRKGSSTRGSHSLPPLNLPDSDWLRSFEPPFPIPTR >Sspon.07G0010280-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:32811289:32812539:1 gene:Sspon.07G0010280-1P transcript:Sspon.07G0010280-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGLLLLGSLNGAFPRRSIRNRWIEINNQVLNALFTLMSIYQHPALFHHAVLLLRWRPGDAKVLREAYCRKGAGAGARRGERVHMSVVVALLHAACFAQYAMCGLYWGYSRRARPDDALTSLTVVGTVTPVIAGLYMYFSPLGRKRGGQSVHQEPGERSAASPDHNGGIVAVEVAAGAEWAGGLLDVGDDPTACWLSCLCTFCVFGWNMERLGFGNAHVHTAMFALLCFAPLWVLSAAALSIRNDDVSFAVGATGVVLCALGLLYGGFWRARMRRRYGLPATNACCVASPSLADYGQWMFCWSCALAQEVRTADILLDVEAGSVSRPDSDGRRVDAADAQALLPLPRESGVKSFHQGGSSHLAKSATIDTHSVQLASYSTSRGDESPLLLHDQGSSASSGEMTPPVPPSIPEGERR >Sspon.04G0002890-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:34886693:34890107:-1 gene:Sspon.04G0002890-1T transcript:Sspon.04G0002890-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MACSFAAATTVSSAPTPAARPLAAAPQSVSVARSAVATAARPLRLAASRSARATRLVARAGGVDDLPLVGYKAPDFEAEAVFDQEFINVKLSDYIGKKYVILFFYPLDFTFVCPTEITAFSDRYEEFEKLNTEVLGVSIDSVFSHLAWVQTDRKSGGLGDLKYPLISDVTKSISKAFGVLIPSQGIALRGLFIIDKEGVIQHSTINNLAIGRSVDETMRNLQALQYVQENPDE >Sspon.02G0052240-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:88957048:88959961:-1 gene:Sspon.02G0052240-1C transcript:Sspon.02G0052240-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPATRGSRPPQRPAARSCSASLSDIMDLSSDPKRKPRCLSKVVMLALLAAMFVVMLTQPPCHRRTPPTPTLFSIHEPGVTHVLVTGGAGYIGSHAALRLLKDSFRVTIVDNLSRGNIGAIRVLQNLFPEPGRLQFLQADLGDPEAVNRIFAENAFDAVMHFAAVAYVGESTLEPLRYYHNITANTLVVLEAMATHNVKTLIYSSTCATYGEPEKMPITEETPQFPINPYGKAKKMAEDIILDFSKSKKSDMAVMILRYFNVIGSDPEGRLGEAPRPELREHGRISGACFDAALGIIPGLKVKGTDYETPDGTCVRDYIDVTDLVDAHVKALNKAQRGRVGIYNVGTGKGRSVKEFVDACKKATGVDIKVDYFPRRPGDYAEVYSDPAKINRELNWTAQRTNLHESLRVAWTWQKAHRSGYEPPQAMIL >Sspon.03G0017190-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:53811446:53816425:1 gene:Sspon.03G0017190-1A transcript:Sspon.03G0017190-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPADRGTRLVRIYCKMEVLRDMHLPPGFGFHPSDPELISHYLKRKILGQKIEYDLIPEVDIYKHEPWDLPAKCNLPIKDNKWHFFASRDRKYPTGSRSNRATLAGYWKSTGKDRAIKLNKRTLGTKKTLVFHEGRPPSGRRTEWIMHEYYIDENECKVSPDMKDAFVLCRVTKRNDWALDNDNEVGNRNSHLEQLDDAATSAVSTVKPEDAAASVICPEESNHAATPVGSAELSNDGAQAAITPDSTSPNGGNDLETWLEELLDPSPSFNLVADSGSADLSLTEQCAESSNPGSVAPNIGPGHASPIQDGTDATDYLFIDDLPEDLYSMLYPGTDQFNGSIFLEQAGQEGIAFPTNQAYMMGTDAYALPNNFENGTANAELQLDQENNKMNLSNGNIDNGIIIRSRRATTSPANNSLAAGRFKMQVGIKKMVTSNSESINQTMKFTDNSGRCLDLRTDVEHQKKNTNNVISAKQSDAANPEGHSNHLKGFKRCSSAGFKAYIFVAFFVVGVAAAAVLHYHCSGANL >Sspon.06G0014830-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:81646123:81649114:-1 gene:Sspon.06G0014830-1T transcript:Sspon.06G0014830-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGATVTVEEVRKAQRATGPATVLAIGTATPANCVYQADYPDYYFRITKSEHMTDLKEKFKRMCDKSQIRKRYMHLTEEYLAENPNMCAYMAPSLDARQDIVVVEVPKLGKAAAQKAIKEWGQPKSKITHLVFCTTSGVDMPGADYQLTKMLGLRPSVNRLMMYQQGCFAGGTVLRVAKDLAENNRGARVLVVCSEITAVTFRGPSESHLDSMVGQALFGDGAAAVIVGADPDERVERPLFELVSAAQTILPDSEGAIDGHLREVGLTFHLLKDVPGLISKNIERALEEAFKPLGITDYNSIFWVAHPGGPAILDQVEAKVGLDKERMRATRHVLSEYGNMSSACVLFILDEMRKRSAEDGQATTGEGFDWGVLFGFGPGLTVETVVLHSVPITTGAAAITA >Sspon.01G0022920-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:81798401:81806143:-1 gene:Sspon.01G0022920-2C transcript:Sspon.01G0022920-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DSEPLDVKATIGFPSPSSHPSYPRNRAPNPPHPPPAPPCSFSTEWEATDPSPSSCGTVRGAGRRGARLRWPHAWQGARAPAGRRVEGERVSGLRAPKAERTGGQCGEMYRGGLDRFKKAQTLEPFSVKESGTKNAAAAAGTAKAPPAPLTLPQNSNFVPGQNHQSPQGTSSRVAGQDGGAPGHVGTQVGGGQSTWQPPDWAIEPRPGVYYLDVVKDGEVIDRINLDNKRHLFGRQVPACDFVLDHQSVSRQHAAVIPHRNGSIYVIDLGSVHGTFVANERLTKDNNPVELEVGQSLRFAASTRTYILRKNTAALFPTPSLPSDVSLPSPPDPNDEDAVVAYNTVLNRYGISKSDILSSSKDSSGGASGANDDKHAVERPLKRSKKRRVSFRDQVGGELIQVVGISDGADVGTEPGPIGVKEGSLVGKYESLVQVTVIPKGKEQASPKEYTSTSTTGVTDKLQEALKKVKSTTRPGIYDDLYGDSVPAKVGSSWAYKSDDLSDKAQPVDEKTHSMNLNMNSADDSDDLFGDS >Sspon.04G0004050-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:7756260:7760169:-1 gene:Sspon.04G0004050-2B transcript:Sspon.04G0004050-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLTDLVNLSLSDTTEKIIAEYIWIGGSGMDLRSKAKTLSGPVTDPSKLPKWNYDGSSTGQAPGEDSEVILYPQAIFKDPFRRGNNILVMCDCYTPAGEPIPTNKRHNAAKIFSNPEVAAEEPWYGIEQEYTLLQKDTNWPLGWPIGGFPGPQGPYYCGIGADKSFGRDIVDAHYKACLYAGINISGINGEVMPGQWEFQVGPSVGISSGDQVWVARYILERITEIAGVVLTFDPKPIPGDWNGAGAHTNYSTKSTRNEGGYEVIKAAIEKLKLRHKEHIAAYGEGNERRLTGRHETADINTFSWGVANRGASVRVGRETEQNGKGYFEDRRPASNMDPYVVTSMIADTTILWKP >Sspon.01G0039480-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:29122795:29129253:-1 gene:Sspon.01G0039480-2C transcript:Sspon.01G0039480-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPRLLFARRLCSATASDPAGALTPSTISNAADLAAAAALRTPRPRFQSCLLSLVPRAVLLHPDFARLTLSRLLPAVAPSLLFLRSLSPHLPAPAPAPETAPANVYPPLPGVGKFLLRLPPHLAADVAELLTSHLGIHLSLHAFNVASRSALCAGRPDLVFRLFSAFSSSPEFPGNATTVGLLARAYAAEGRPLDGLGLLRDAARRGSPPSAKAAADLIGAFAADGNFGKVSDTLHLMISTGCTPDKMWDEMVDRGIKPNEYAYCSLVTYYCRVGDLEKARKVGKLDSAIQMYEWLLSSGLEPTVSTFSPLIGAMCENGQVHAAVDLINLMRAKGLEPLVWSNDRIIHGFCKINRSDEGMAWLAGHSSADQAGPPEQAPLRLPRSAPQLPIHPSPSWPEAGSLISSHVGRNKNKNTMGMVRAADDVEAGVVGAATTTEPLLLRHCHGSKEEDHQESKIQGSPEAAASDCGSEGRPDAAGSLRMVLLSTAVAVCGSFEFGTCVGYSAPTQSGIVDEVGYLSPSDYLHLWLAFYTSGQERYHALLWENLTGFQYWSSILCAIDLFWKLSYIHHRSPGCMAQFGACWVIALCPPASRAFLHSRVAQMAGPGKQEKELLSSKH >Sspon.08G0016900-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8A:66109512:66111030:1 gene:Sspon.08G0016900-1A transcript:Sspon.08G0016900-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MERLISSPPLLRRAPIVAAAAAARPRAHRPLSILAHSVSIFRGGGGRLIRPPLASLLVARHRPTAAVPAGAAPSAAAADGEEDGGASTASRSFFHKSVSAASASCVVSSCGWMLCVTSAAAVTLLSATILTLVVQPSWAPPALAHFHPTAKAGTTTLFKSEVLGSAWTGFLAGCLHTLSGPDHLAALAPLSIGRSRVESAAVGALWGCGHDAGQVLFGLLFLGLRDRLHIEVIRTWSTRVVALTLLVIGALGIREASEVPTPCVALDNAGGHGHHPTMETLQSGKKK >Sspon.02G0042660-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:88802536:88810220:1 gene:Sspon.02G0042660-2C transcript:Sspon.02G0042660-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATPDRANADLRRRLAVDTPPPPQIAREKQGLDTEIPLSPQWLLKVGENKDPVSQGIRSDVSKTSGTGEDPGYNTKKKDVFRAPVLDGETGRRDRWRDDEREPNSTHRWSRWRETDKEHGDARKVERWSDDTPSILWMERWGDSNNKEGSYDQRRENKWAARWGTNDKWGDSGKEGDASRGKGFSHYGKDANSYEKDTEKDDNVSRSWKSSYSAGRGRGDLPHYPSQTPQKSSATYGYGRGKPDNDFANFPNNRGKFTSGTSAISSGSSRPFHLGLLSDRPGGVSDRSAFRYSRMKLLDIYRSCDVTDFKLSVDCLEELSVFMQEDALEPLALSAPVAEEAAILKAIDKGDIVNSGVHQASKDGSVGKAGREEQPGGVEVSGVAGNTDSTARGESMRPGTSTYVVPQRSQFIGEHKLGPSEFGHQIPSFLNQETKTVGMMGFDSFASPVQPHPNPESLSLYYKDPQGQIQGPFSGADIIGWFEAGYFGIDLLVRPVNAPPDVPFLMLGDVMPHLRAKARPPPGFATSKPSDMLAPETQPTGKLVSSSMQAGSAGIGIFDSGPSRKDNAVEAQNRFLESLMSNSVRNPLADAITGGMNELGSSSFGNISIRGGESGVNMNYLLAQKGLLERQNSLQNPVPYWTGDAAPASQAHPMADTSRQSLQSQNADLLAMLQPKEKPQVATGNSGLPLWPNYPEARNVNPNMHGGDLAQGALNMRQDLQNSQNIGIGIQQHNLMPQNRPALPHLAPEKLAEISQDPQLRMQEAIEVDRKLPIHGMQVGQQPSQSIMNFRNMDGVALSQSSVTTLPVPHETAVGALPKEHHSRPGMLEDFANVDLQLKSTMVNSKMVEVADINEGFKSHEVDTAAEKNKMSAEDLDSGSTRIIGSATDEAKDLHEPPLDPKSENVVSDISGQVQELNLSAENTSSGIATAVATEVKVTDTQETKKAEKKKKQKKKQAAADAGKGASKAVSAQQPRQETEVDSSDLGGNKHDLPDDTEELFWGSPIRVQNEILPPKEYDADKAESQFSSLSSDPHSMASQRAWKQPTQGLRPKSLLEIQAEEQLRAQKGLATDTAKPAASVPSIPWNGMAISSEQHYGGSSKSLGSMESAGERNKRSQLHDLLAEEVLARSSIADNENIRNANDAFYPPLSPAAVQPQPDAPALDDNDFIEAKDKKNKKKAAKAKASTVKAPSPVGSFDPPAISMPSEKGKSAKQAQQELEMLPAPPSGPSFGDFVLWKSDQTSSVPAPAWSNDSAKRYPERTRKENRFYPATSTLTNSSKGGAEPKKSRECFFLASFWIISITAVAPVQMSSNAPSRSKSSAEDDLFWGPSEHSKQDKKQSEFPTLSSQSRSSMMKDQSPLNRQKSQAGRLPASSAATANQSGKGKAEAANKQTEAMDFRDWCESEWARLTGTNDISFLEFCIKQSTTEAEMLLHENIGSLDRSHQFIDKFLNYKAFLSADVIDMAFQAPSTRGTRGDGAGRANAAAAARGGTSADAELDGVGKKKGKKGKKVSAAVLGFNVVSNRIMMGEIQNVD >Sspon.03G0029830-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:16362003:16363637:1 gene:Sspon.03G0029830-3D transcript:Sspon.03G0029830-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLMAQAHPVLLVLLLFLSPTAISSSKRTQPKFSAIFYFGDSVLDTGNNNHLPTLAVANHVPYGRDFPGKKPTGRFSNGRLIPDLLNEKLQLKQFSPPFLDTRLSNNDMVTGVNFASAGSGLDDQTSQLSNTLPMSKQVDLFKDYLLRLTDIVGDKEASRIIASTLIFISSGTNDFSHYYRSSKKRKMDIGGYQDIVLQMVQVYVKELYGLGGRQFCLAGLPPFGCAPIQITLSRDPDRACVDEQNWDAQVYNSKLQKLLATLQGSLHGSKIVYLDAYRALMEILENPAKYGFTETTRGCCGTGLREVALFCNALTPICKNVSSYVFYDAVHPTERVYMLKSFSNATHHVMHDVLMCYWITEQWIYEH >Sspon.02G0003350-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:10859155:10861394:-1 gene:Sspon.02G0003350-1A transcript:Sspon.02G0003350-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding PMKNSTVQGAAPLIECKCGMPLCICEAPKPEPTPVKVDVNPFFFYTLLDFHPKPNDRMQQNISTVPSTTQSNPRPKKPANTQQKASATSSSNSSPFLNIGLMSNDNNDKGLSDYEVSGQGLREAIKGGDVKGVKKLLTQAALFNQTEIALILMDHGANVESKNGPGETPLDCAPAMLQYKMRQRMEELAASRRRLE >Sspon.04G0032730-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:34247185:34250896:1 gene:Sspon.04G0032730-2D transcript:Sspon.04G0032730-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTHGSPLTKQTVASSLFMADEEVTDPKALLEERSKAKCVSQWYEYQKCVKRVENDETGHKHCTGQYFDYWKCVDKNGTPHGLLLEWKSPVEC >Sspon.03G0021720-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:84554710:84555615:-1 gene:Sspon.03G0021720-3C transcript:Sspon.03G0021720-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKPIHADAGANGAEANKENERKGLWSPEEDERLFNQISYHGVSTWSSVAQLAGKIQFLGTRFHFSYWTIHTVQLINMFLNADECAGLRRSGKSCRLRWMNYLRPDLKKEPISKREEETIIYLQKSLGNRWSTIAARMPGRTDNEIKNYWNSRIRKRLNAAARGSAAEPAAAAAGAKEGSANAAPPPADQPVPIPALFPVFGCQLLELDGAGGGMSSAGSGSGESPQSSTTNSTQQNTGDESEVSVGGDGGGGGGDSNMIHFLSFDDLDFYPGDLLVDVPGAMDAWESQLYSANWMSSLC >Sspon.02G0038050-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:38538617:38539710:1 gene:Sspon.02G0038050-1B transcript:Sspon.02G0038050-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEDGAAPPPPPPPLPSYPEMIVEAIRALGLENGSNKTAISDYIEGRYGSSLPVQHNAVLTGHLARMKATGELAFLRNNYLLPDDDDDEEEEASPLPTDGHKDPAAAADAEDDSAGMLDPTSFFLDFDDDELLAPPIVLDADDIDVPASAPVITADVNPVPTKRGRGRPPKPKDPVPEGSSGLPATAPVAGADAAAVPVKRGRGRPPKPKNPVAEDSAPTAASVVTADANAVPVPVKRGRERPPKPKDPIAVATDWATSGMLSPRGRGRGRPPKKAKVAVEDPSGEPAAGPGVVADASAVPVKRGRGRPPKVRPAVVGKPS >Sspon.08G0024100-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:61734854:61737918:-1 gene:Sspon.08G0024100-1B transcript:Sspon.08G0024100-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMADHSRRDVATKLMREKRLGAHSDHVGTLDDDGEGPEWSGHVRAVVAMAATRALRAVEVGATRRLRWNGLRGRRWLEGGDGERLSCEKLNHDGARYIKGDENGLKATCGNWRLRAHLQDVRSSPASAGGGALRHAARRHRVIATKAHLTPGPRISILLASSPIRSASPLVPARDPPRRPPVGKDCSGPLLPLASEVSDLLRTAPGSGIGLVGLWESRGVGIRNFTSRDSPEAAAASSL >Sspon.01G0026300-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:91392842:91396783:1 gene:Sspon.01G0026300-1A transcript:Sspon.01G0026300-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPITRPVASSFHRSAAKHLHRAVASAQRNGVSRRCLLTLLTSTAAIPGGNESRKALLQEYLKKSEENKEKNDKERLDDYYKRNYKDYFGLIEGPAREKKEEERTESEKRILEWLDKNK >Sspon.01G0011020-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:30433667:30436690:1 gene:Sspon.01G0011020-1A transcript:Sspon.01G0011020-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALASRAAAAAAAVACVLLALAASAAGAGSHSPAPAPAVDCVSQAASLLDCLDYVQTGSTTRRPSAACCGEVKTAVASPVIVGCLCSLAGSKNLGFPIDMKRVLALPGACGASNAAFSKCNISALSPTEAPAPSTGGGSSSGGAAASPPKAAAASSPMTATALVAAVAAPLLAYCYLF >Sspon.01G0035430-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:11079711:11083179:1 gene:Sspon.01G0035430-3D transcript:Sspon.01G0035430-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRGGRAAASSGSEDEEEEVGFSRSYFLAKEKEPSSGKKRARAAAGKLSDLNLVDEQVLRASLAEIPLKHKEEVEALTRSYKDQYRNWLFELRCGFGLLMYGFGSKKQLLEDFASTTLTDFTVVVINGYLPSVNLKQVIATIAEMFWDQTKAKRKRQPGTRSQLSQQFPSQSTEDIISFLMRQTSDDVDDRVCLLIHNVDGPALRDAESQQCLAQISCCPQVHVVASIDHVNAPLLWDKKMVLKQFKWSWYHVPTFAPYKVEGVFYPLILASGGHAQTTKTALVVLQSLTPNAQSVFRVLAEYQLANEKEEGMPVSSLYTKCRERFLVSSQVTLNSHLTEFKDHDLVKIRKHSDGQDCLRIPLVSDALEKLLQELA >Sspon.06G0016610-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:52563746:52564349:-1 gene:Sspon.06G0016610-3D transcript:Sspon.06G0016610-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRFHDGLEIAVKRLASHSGQGFREFKNEIELIAKLQHTNLVRLLGCCSLGDERLLIYEYMPNKSLDFYIFANILLEIP >Sspon.02G0003710-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:10757150:10760428:1 gene:Sspon.02G0003710-2B transcript:Sspon.02G0003710-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-arabinopyranose mutase, Pollen wall morphogenesi [Source: Projected from Oryza sativa (Os07g0604800)] MASGSHATTPLLKDELDIVIPTIRNLDFLEMWRPFFQPYHLIIVQDGDPSKTIRVPEGFDYELYNRNDINRMLGPKASCISFKDSACRCFGYMVSKKKYIYTIDDDCFVAKDPSGKDINALEQHIKNLLSPSTPFFFNTLYDPYRVGADFVRGYPFSLREGVPTAVSHGLWLNIPDYDAPTQLVKPLERNTRYVDAILTIPKGTLFPMCGMNLAFDRELIGPAMYFGLMGDGQPIGRYDDMWAGWCTKVITDHLGLGVKTGLPYIWHSKASNPFVNLKKEYNGIFWQEELIPFFQSVSLPKDATTVQKCYLELAKQVRAKLGKVDGYFNKLADSMVTWIEAWDELNPPKGGAPTQMALLRSKTRYSINFTCSCEPSY >Sspon.02G0042830-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:89912322:89915836:1 gene:Sspon.02G0042830-2C transcript:Sspon.02G0042830-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGNSTGHHCSYDALSLFDKSMRLRLWLVNALLLAGAILGGVRVGIGVFGQRYRYHRLTRFIFLGATTLFLPVMSTVVPLVAGSNDYVTGGQSGMRSQLATKCDPGVQSIMVVIWASLVQIIMINTSAIVAVDDREGRNIGPPFELLVQGIWTFYLGISYVINDAISGGDLKFVRFTTGIEGMPFALTCAKIFFKYYAFEKARRSFALGNNPHLIFAYMQQPPLGQAGTSHRREAAVITYEDAPPPPPLLVMGEGKRHMEKQSHGYVWKGDSGHGKDGLVTIDSVWKMTACFFPFSALQRLQDLCLSFAFFKLLRCRFARYNVNNDADASSDMFTFFWSLLLKDGGQNRVFQVISDEISFVHDYYYTSMPISYSKCWLPIVGIFISLLTVAYCIFVAVMFSSALVEEYHAFQLLCDISCTENLLETKLDRSYGSRLFSRVPLYWLLVLVFIAEVKDMASFICSNWTKVALMCRFLNRASSSSKHSLCIQKCAGRLLRCRCKLLRTHWDEKIGQCSVLVLQPRATPLGLLWHLFPLLPDQKRKVRVPAAVKVCIIQALRRTTDDGGQLSNGAASTGKSLKEVHTTSTQMGVSRDTLHCKLGGDVGEVVALQWRE >Sspon.03G0032460-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:35122382:35131507:-1 gene:Sspon.03G0032460-3D transcript:Sspon.03G0032460-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MACDGGMKEQEGPSAASAAPSPAAVARARARAARPPRPRSRKGLGVRHPLKPYRILAALQRANARVREAGEATLVAALAAAQKESERENEVVPDVCGGWKSEDGSLNCGYSSIRGRRATMEDFYDIKSSRIDDKQINFFGVFDGHGGTHAAGYLKQHLFENLLKHPAFVGDTKSAMSESYKKTDADFMDAEGNIQVGSTASTAVLIGNHLYVANVGDSRAVMSKAGKAIALSDDHKPNRSDEQKRIEDAGGVVVWSGTWRVGGILAMSRAFGNRLLKQFVVADPEIQDLEIDGDVEFLILASDGLWDVVPNE >Sspon.03G0017260-1P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3A:54130794:54132179:-1 gene:Sspon.03G0017260-1P transcript:Sspon.03G0017260-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIHQASSSAGAVPQSFPYWMPGYGTTALPTYTTTTLMPGSAADSFQHRSPASCRRHCFCCCRSFLRRRQRPLFKRQRHVTPADRHSAAAAVLREDMALRLPKARVSPAAIVPSPKDLYAYMSSTIAQMQAKADELLQLLQFKKTMEQETKMHTHQVLAAVRLQAATRGLLARRQARELRGLQRGLDIVRRVVEIGRAVPTTGSELGIDSGGGWRGGRAVTSRRTLITAVVPRRRTPPGRLRWSSLGQLTGHGACSSRLPLLCATGTGDRLATRSSSSAAVPRHRPPRGRLRWSQLTAPCSEGVAAWDAVRLVPSSLVRMRQVLARELDLWWATAILGRFPFESAHMQPMTMEFPWEPGGLQLHVYDSITNACLYKSRLGPKFCRVSSLACRMRLMASSHEFACVSLLQFNIKAAAPSLLRAGLSLFKLLDGGHLRIHIVSQG >Sspon.03G0008030-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:25075717:25076592:-1 gene:Sspon.03G0008030-3D transcript:Sspon.03G0008030-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LMASASLSSRRAFLAVATLVAAAALLVPARHAMAADDKARAPTSAYDSAMPPSPSPVVNNGASPPPSSSPGDNALPPSAYNNATPPLLPLVPPPPPLPFVIVEGTIYCKSCKGKGYNTGIDASPLPGATAMMVCYGRKVVNATGTVTDANGYFLIMFYDMKNFNARTCKMYLLSSPTPQCSKPYYPPNQWIGLSLVRETRTIPPAGLQGIYTPTSVLFYAPAAKGQCPY >Sspon.01G0040390-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:40381895:40384138:-1 gene:Sspon.01G0040390-1B transcript:Sspon.01G0040390-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding NGGGRGGHRHRRRGPRRPRHGAREGGEVPGAGIVAGAARVGVRVHDVDQRLPRPRRPRRWGQDPGAPSAPRARATGNPVREAGLPAADARERAARGHHQVLVQGCGHGGRRQREDPALGRWFHHKGQGRDRVRRRELGGGEMAGPAEAGPLGPLGHQGPRRVPGGPRLRPRDPAVHRPRLPLRRAALLRHLRLLELHLVPFPGRRGRRGERGQDAEPRGGQAAGPQDPGGGAGGDGAKRDERRGVVAAAVPVPTGAGPRQHQPGRRVRGGRRAAPDDAGARAGRVRRARGWRRPGPVPGRCLRRRPLRQPRPGSGGAGRQGGAGEVRGGEAVAQHPADHCRLRRRLHPAEQQRRGQVRQGQVPGWTAGQDASRHGGLRLWHAM >Sspon.05G0009530-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:22401652:22402294:1 gene:Sspon.05G0009530-2B transcript:Sspon.05G0009530-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKVVAKAFCFALTLILTDALLLRSQTIFRILTDASLGSSLLLQQKIVIRLGVPDAKNRSKAMQLASKSVGVNSVAITGDAKDRLEVVGESIDIACLINHLRKKICGADILLVEEVKDKKEEKKKEEEETKKKAEEELNKLCTYPPPCTGYYGRPLPTVFCEDQPGACHIL >Sspon.05G0001360-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:3350257:3367850:1 gene:Sspon.05G0001360-2D transcript:Sspon.05G0001360-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquinol oxidase 4, chloroplastic/chromoplastic [Source:Projected from Arabidopsis thaliana (AT4G22260) UniProtKB/Swiss-Prot;Acc:Q56X52] MAVASTSPLSATAPSPPAPAFGFRALRYRRGRATAWRRLRVDAIRTQREKQGTEESVPAPLDGVGADDPMVPSSDESDWVVRLEQSFNIFATDSVIMVLKGVYRDRYYARFFALETIARVPYFAFISVLHLYSTFGWWRRADYIKVHFAQSWNEFHHLLIMEELGGNSLWIDCFLARFMAFFYYFVTVAMYMLSPRMAYHFSECVERHAYSTYDEYLKLHEEELKRLPAPEAALNYYLNEDLYLFDEFQASRSPGSRRPKIDNLYDVFVNIRDDEAEHCKTMKACQTHGNLRSPHSTPNCLEDDTECVIPENDCEGIVDCVKKSLTNKIRAPPVMAVASASPLAAAPSAKPATAPSPPAPASGFLALRARSGGAPRLRPVAAWRRLRVEAIRTQQEKQRTEVPVEESAPVRETAAPLDGVGADDPMVPSSDESWVVRLEQSFNIFATESMIMVLDGVYRDRNYARFFVLETIARVPYFAFISVLHMYETFGWWRQADYLKVHFAQSWNEFHHLLIMEELGGDTLWTDRFIARFMAFFYYFMTVAMYMVSPRMAYHFSECVEKHAYSTYDKFLKLHGEELKRLPAPEAALNYYLNEDLYLFDNLYDVFVNIRDDEAEHCKTMKACQTEGNLRSPHSMRNCLEADSDCVIPEDDCEGIVDVSKKSLTKHHIKSGSYITEVSVDTIMQQNQISNIEQMRIQSVKHCHMACRAVNELRNQMALLAMKGGHTP >Sspon.01G0044100-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:78018680:78019456:1 gene:Sspon.01G0044100-2C transcript:Sspon.01G0044100-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLAAVGAASLLLLLLAAAATAGAVTFEVINNATTTPGGQRFDRDYGVSYAAQVLSDASCFIWAVFNQTSPADRRPVDHVTLVVNAVDGIAYTTGSTIVLNAGYVNNYTGDVKTEVTGVLYHESVHVWQWGLQDYDVYWWIYEGIADFVRLRAGYAPAHWVQPGQGSSWDKGYDVTARFLDYCDSLRPGFVAVLNAKLKNGYSDDYFVQILGKCVQELWQDYKAKYGQ >Sspon.04G0023370-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:15855170:15856268:-1 gene:Sspon.04G0023370-1P transcript:Sspon.04G0023370-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEAGPVLSMCPAFAKEPTPAKPGSCGTVVRNAELKVVDPDTGLSLSRNLPGEICIRGPQIMKGYLNDPEATARTIDVDGWLHTGDIGYVDDDDEVFIVDRVKELIKFKGFQVPPAELEALLIAHPSIADAAVVPQKDDAAGEVPVAFVVRAADSDIAEDAIKDFISKQFLCARVRRADFQVVFYKRLHKVYFTPSIPKSASGKILRRELRAKLAAAATT >Sspon.01G0011990-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:32691401:32693213:-1 gene:Sspon.01G0011990-1A transcript:Sspon.01G0011990-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHCSISDIITFGCTLFPLIILQVKICSSEANTVALYFSASWCAPCRLFTPKLVETYQELLELQQQGKSRLEVVFVSRDRDEESFNAYFAKMPWLAVPFSDSECVKRLMSRFKVNGIPNLVILGAETGEIYTKQGVKFISEYGAGASPFTPERVSELKEQEKADKDSQTIHSILGTPTRDYLISSRGDRVPISELEGKHVALCFLARPMNEFTAALAEIYEKLRQAGEKFEVVAVYFRCDESVFQESFASMPWLAIPHGDSMCEKLVRYFDLRALPTLVLVGPDGKTLNNNIADVVEEHGVDAWEGFPFDDEKMEVLISRSKAKAATQTLESLLVSGDLDYVVGKDGAKVPVADLVGKTVILYFSAKWCAPCRAFLPTLVKEYGKIKEKTSDFEIIFVSVDKDQSAYDEYFSAMPWLALLLEDERKASLMKKMKIRAIPSLVAVGPSGATLTTDAKSHIVAHGADAFPFTEEVLEELGRKLDEEARAWPGKVRHELHELHELALTRRDAAVTYTCDECEGLGSSWSYRCDRCDFDLHPKCAVGKEEEAKAGIEQLLPAVAYVCEG >Sspon.01G0040770-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:42749750:42750148:-1 gene:Sspon.01G0040770-1B transcript:Sspon.01G0040770-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VLLLPARQHLIPNHVHESSSPDAAPPARRRRGACGGPCTTARRRVVPDQERERPAHPGAGRLGGDGARQAGQRRAEVRRGDERGAAGGVRDELQARPRRHGRRREARGVRGLRVRAVVDQHPRARILRVGGLI >Sspon.05G0034320-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:54052067:54055143:1 gene:Sspon.05G0034320-1C transcript:Sspon.05G0034320-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AGVNVLCGVGILSTPYAVKQGGWLGLVILAVLGALAWYTGILLRHCLDSKDGLETYPDIGHAAFGTAGRIIISIILYMELYACCIEYLILESDNLSKLFPNAHLIIGSLTLDSHVLFAILTALIVMPTTWLRDLSCLSFISGTNSLVASIVYFTKYTCLFHSLPTKAILRGLILQFVHLAGGVVASIVIVSCLFWLGLVDHVGTVKSEGTALNLPGIPIAIRLYGYCYSGHGVFPNIYSSLKKRNHIALSTVLFAGAAIMGYIMFGESTESQFTLNLPPNLVASKIAVWTTELLPPNQQTYPNIMMLRSALVAYILPCACFLSILRSKVTWYQVVLCVFIIAVGLCCAGVGTYSSLSKIIQQYH >Sspon.06G0002930-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:9336717:9346492:-1 gene:Sspon.06G0002930-1A transcript:Sspon.06G0002930-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MYFAKLDDSPMFRTQIQSLEESAELLRERCLKFHKGCRKYTEGLGEAYDGDIAFASSLETFGGGHNDPISVAFGGPVMTKFTIALREIGTYKEVLRSQVEHMLNDRLLNFVDIDLHDVKDAHKRFDKASLSYDQVSYLIMLFLIREKYLSLKKGTRPDITTAIEDELHSARSSFEQARFNLVTSLSHVEAKKRFEFLEAVSATMDSHLRYFKQGYELLHQMEPYINQVLAYAQQSRERANKEQASLVERMHEYKRQIDRESRSSINGLNDSYNGDGIQTIGRSSHKQIEAVMQSTSKGKVQTIRQGYLSKRSSNLRADWKRRIISPTKIYTLQAESAVDQMDWIEKITGVIASLLSSQSPEQCFMSSPKGSGHDRSTSDASSFTSSVEFEPSVIDDLVLEKNSGNGQHDVRGTHHHRTSMKPEKPIDLLRKVDGNNMCADCGASEPDWASLNLGALLCIECSGVHRNLGVHISKVRSLTLDVRVWEPSVINLFQSLGNMFVNNIWEEMLSDDNSSADGSDTSQYLSVSKPKHKDVFSAKEKFIHAKYVDKEFIRNHGMDENQLAQQMWNSVAANDKKAAYSLIVRSRANVNLVYGEMPSSPFLTLGKALQQEQPASPHDGSPRFFDCNSHDKISPREPLSPASTSSRTDDMEDSCEGLSLLHLACRVADVGMVELLLQYGASVNMNDSRGRTPLHHCILKGRHRHAKLLLSRGADSQAMDRDGRTALQYAIDGGTSDEDILALLEDHSR >Sspon.01G0003270-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:7334064:7337294:1 gene:Sspon.01G0003270-2B transcript:Sspon.01G0003270-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ca2+-permeable mechanosensitive channel, Regulation of plasma membrane Ca2+ influx, ROS generation induced by hypo-osmotic stres [Source: Projected from Oryza sativa (Os03g0157300)] MASWDNLGELSNIAQLTGLDAVKLISLIVRAASTARLHKRNCRRFAQHLKLIGGLLEQLRVSELRKYPETREPLEQLEDALRRGYLLVNSCQDRSYLYLLAMGWNIVYQFRKAQSEIDNYLRLVPLITLVDNARIRDRLEYIERDQCEYSFDEEDKKVQDALLNPDPCTNPTIVLKKTLSCSYPNLPFNEALRKESEKLQVELQRSQSNMDLGSCEVIQHLLGVTKTVESTIPEEETNAKASEKKGSNYSESKGDSAKSFDDDDDYPKKQKDTCSTQRCSSQVSYGHDLVSSRGSYSDEWHADLLGCCSEPALCLKTLFFPCGTFSRISSVAKDRPMSSGEACNDIMAYSLILSCCCYTCCVRRKLRQKLDIAGGCCDDFLSHLLCCCCALVQEWREVEIRGAYSEKTKVTPPPCQYMEH >Sspon.01G0025260-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:94237805:94242983:1 gene:Sspon.01G0025260-2B transcript:Sspon.01G0025260-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding KEMKVGGLLTSAGINIGLCVLFLSLYSILRKQPQNVKVYFGRRIAEEHNRLRDAFILERFVPSPSWIVKSLRCTEEEILATAGLDAVVFNRILVFRYASCPDSVSIIRDIYNRKCGRKIKMEYKHIARLRLYHISRATSNPSHFTVLVRGIPKSSTESFSRTVERNVTLLAAPSESPESGAKKLLPSDYEQESEKSDVNDSSSSIPDEECGAAFVFFKTRYAALVVAKILQTSNPMRWVTTLAPERDDIYWSNLWLPYKQLWIRHIVTLLGSIVFMFLFLIPVTFIQGLTQLEQLQQRLPFLRGILEKKYMTQLITGYLPSVILQIFLYTVPPTMMLFSTLEGPISHSERKKSACCKVLYFTIWNVFFVNVLSGSAISQLNALSSPKDIPMQLAKAVPVQATFFTTYVLTSGWASLSSELMQLFSLTWNFARRYLLRMKEDSDLLYSFPYHTEVPKVLLCGLLGFTCSVLAPLILPFLLLYFCLGYVVYRNQFLNVYCTKYDTGGLYWPIAHNATIFSLILTQIICLGVFGLKESPVAAGFTVPLIIFTLLFNQYCRKRHLPLFKTFPAQNLIDMDKEDQQSGTTEDLHQRLHSAYFQFHDTDDVPLEGVHSTACRDEDGSGSSGESSRKESADDELKSGLSHPTLDGLPVSRLRNAVRSLGSVLRPQKRELPV >Sspon.05G0012370-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:38303603:38309321:1 gene:Sspon.05G0012370-1A transcript:Sspon.05G0012370-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGALVQSAIVPTVYRSSSGRLRARARARTNATMVRNMPTRTLTLGGFQGLRQTNFLDTRSVIKRDFGSIVASQIVRPRGSASRGVVRAMFERFTEKAIKVIMLAQEEARRLGHNFVGTEQILLGLIGEGTGIAAKVLKSMGINLKDARVEVEKIIGRGSGFVAVEIPFTPRAKRVLELSLEEARQLGHNYIGSEHLLLGLLREGEGVAARVIRMVGESTEAVGAGVGGGSSGQKMPTLEEYGTNLTKLAEEGKLDPVVGRRDQIERVTQILGRRTKNNPCLIGEPGVGKTAIAEGLAQRIANGDVPETIEGKKVITLDMGLLVAGTKYRGEFEERLKKLMEEIKQNEDIILFIDEVHTLIGAGAAEGAIDAANILKPALARGELQCIGATTLDEYRKHIEKDPALERRFQPVKVPEPTVDETIQILRGLRERYELHHKLRYTDDALIAAAQLSYQYISDRFLPDKAIDLIDEAGSRVRLRHAQAGELRDREMELKAQITAIIDKSKEMIKAETESGEVGPLVTEADIQHIVSSWTGIPVEKVSSDESDRLLKMEETLHTRIIGQDEAVKAISRAIRRARVGLKNPNRPIASFIFSGPTGVGKSELAKALAAYYFGSEEAMIRLDMSEFMERHTVSKLIGSPPGYVGYTEGGQLTEAVRRRPYTVVLFDEIEKAHPDVFNMMLQILEDGRLTDSKGRTVDFKNTLLIMTSNVGSSVIEKGGRKIGFDLDYDEKDTSYNRIKSLVTEELKQYFRPEFLNRLDEMIVFRQLTKLEVKEIADIMLKEVFDRLKAKDINLQVTEKFRDRVVDEGYNPSYGARPLRRAIMRLLEDSLAEKMLAGEVKEGDSAIVDVDSDGKVIVLNGGSGVAEPLEPAL >Sspon.08G0013200-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8A:55945398:55948335:1 gene:Sspon.08G0013200-1A transcript:Sspon.08G0013200-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVAAAANESELQAAAASLEPAPTPAAAAADARPPATLDPRLLMAARRGDSKALKDLLQLNDDDDQHGEGTRPDDERSGAQQPTTAAAASTTTSVAAAPSISPPHVIVEVDPRRPHDDDVAAPLPPPPVPVLDEDRVTTHTDGGSLLVHSQIVEQVVELAPPHDDDAAALPARQPPAAAAAPSGSSFPASVPVVLDEDGVTIQGDSLLHVVAACGDTQEFLDCVDVIVRNKEKKSGAGGKRRALEARNNKGDTLLHCAAGAGNANMISCLVALVTGDDEAGAAAVKKAFLRLQNECGETALHQAIRAAANNDKFKVACIDQLMVVDPELACIPHEDGASPLYLAISLDEIEIARHLYAKSKGKLSYSGPDGRNVLHAAVYFEQAVCKMILEWLKDTKPKEDSGSVSLVSQLTSQRDKKHKGSTPLHLAASLFCENARLLLDANESTAYQADDQGSYPVHVAAWFDHSLVVVKLLLERCPDCATLRDGKGRTFLHVATEEECHAVVEYVCREMPQRSSSMILNAQDSNGDTALHCAVRAGNLAVFNCLLRNRQVCLNVPNKNGITPLDVSRTMLPEEEFYYGLNPRNIVHMSLHAAGAPYSRGWPSEFFTEKHMRKRDDLDEESKKYTEATQVMSIVTALIATVTFASAFTLPGGYRADGGPALAGSYAFDAFILADTLAFICSISSTCTLVYAGLPTMDIAIRFRYLTVSAGLLQSAARNLVAAFGLGLYLVVHHTASAIAACAIVLASSLYGHMEVGRIIRMATTVRARIGIPRRAVVICLRAIFVHVLAHFWSYVIIFGLPAIRKRVNQKQL >Sspon.05G0019670-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:65822139:65826419:1 gene:Sspon.05G0019670-1P transcript:Sspon.05G0019670-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASILEPSKTSFFPAMTKIVGTLGPKSRSVDTISSCLKAGMSVARFDFSWGDAAYHQETLENLKLAIKSTKKLCAVMLDTVGPELQVVNKSETPISLEENGTVVLTPHQGQDASSTLLPINFAGLAKAVTPGATIFVGQYLFTGSETTSVWLEVSEVKGDDVVCIIKNTATLAGSLFTLHCSQIHIDLPTMSDEDKDVIKKWGAPNKIDFLSLSYTRHAEDVRQAREFLSKLGDLSQTQIFAKIENVEGLNHFDEILAEADGIILSRGNLGIDLPPEKVFLFQKSALHKCNMAGKPAVVTRVVDSMTDNLRPTRAEATDVANAVLDGSDAILLGAETLRGLYPVETISTVGRICAEAEKVFNQDLYYKRTVKYVGEPMTHLESIASSAVRAAIKVKASVIICFTSSGRAARLIAKYRPSMPVLSVVIPRLKTNQLRWSFTGAFEARQSLIVRGLFPMLADPRHPAESTSATNESVLKVALDHGKASGVIKSHDRVVVCQKVGDSSVVKIIELDD >Sspon.07G0010280-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:29507093:29508642:-1 gene:Sspon.07G0010280-2B transcript:Sspon.07G0010280-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVDNSRHDQDHRAAAAFSVELEVEHVGERKNGAAPARTVLEQEEPEPRTEGRRRPGRARRLARRLHPATVARACWRWLKHPAHLALVAWALCVAASGSMLGLLLLGSLNGAFPRRSIRNRWIEINNQVLNALFTLMSIYQHPALFHHAVLLLRWRPGDAKVLREAYCRKGAGAGARRGERVHMSVVVALLHAACFAQYAMCGLYWGYSRRARPDDALTSLTVVGTVTPVIAGLYMEETRGQSVHQEPGERSAASPDHNGGIVAVEVAAGAEWAGGLLDVGDDPTACWLSCLCTFCVFGWNMERLGFGNAHVHTAMFALLCFAPLWVLSAAALSIRNDDVSFAVGATGVVLCALGLLYGGFWRARMRRRYGLPATNACCAASPSLADYGQWMFCWSCALAQEVRTADILLDVEAGSVSRPDSDGRRVDAADAQALLPLPRESGVRSFHQGVSSHLAKSATIDTHSVQLASYSTSRGDESPLLLHDQGSSASSGEMTPPVPPSIPEGERR >Sspon.01G0005920-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:15400733:15402662:1 gene:Sspon.01G0005920-1A transcript:Sspon.01G0005920-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MELNARSSKGKAKAMAKILEEEEEEEEEEDDDFFSSSGCGCFLCAIKEPDARLRRASLSAFFRELPYCEDDGGSNGQSCAAAVGAVWRAAMSAPDDPELPSLGAIRCMSLLLARALADAAWLRRGDNVYVPYYAAHVIGSYTIRSSAHAELAVAAGAVRPLLALLGGALTWVEQRAAARALGHLASYEPTFPAVARHAAEAVQLAVRSASTCIGDVYANFVALAPSRRPKYQRDLMTCGLGGGADAEDRKAEEWASQLQCWSLYLLSCLASRDLSSHAMICQDALFLSELSRMWGGLANGDSPAGVGLLRLLCRSPVGRAAIAACRDALSSLCDLARSSDDWQYMAVDCLLLLLDDRATWHAVADATAPCLSDLVDLRHLGPRRRLGDAIATALLLDDGHVAGDRELELKGERKEREEAIPRDELLNREILAKEKKRQGNDSFMHGDVDKAIDHYTEALELCPLSRRRERLVLHSNRAQCRLARREADPAVSDATRALALARPANAHARSLWRRAQAYDMKGMARESLLDSASEAARGANPKLPYCQMSVTGLFAGVTMKDNKVGSDDFMPRCSENNDGDDEDNEDNDPDDDDESEEEFADKAGLKMRTSG >Sspon.03G0046150-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:32778560:32783617:-1 gene:Sspon.03G0046150-1D transcript:Sspon.03G0046150-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LSIAKSDADVYIGLVTLRPRASIRLVGPLRRRARLPELIRHAFLSLRERRTRAPLAGGCGGGCGATIGCSGASGGLLSARVQLRGLAGGHRQLPVPDTLGLPFVRPYLSGRSAEDFACGANFAVGGATALSPDEIRSRGFHDMGNQVGLDMEMKWFRCSDMMNQSLFLVGEIGGNDYNAPLLSGVPLEKIRTITPSVVAKISSTIRIAIYDELSLQELIQLGAKNLVVPGNLPIGCVPDYLMIFKSDKEEDYEPQTGCLRWMNEFSQYHNKLLVEELKKLRKLHPGVTIIYADYYGAAMEIFLHPEQYGIEHPLVACCGGEGPYGVSPTTKCGFGEYKLCDNPEKYGSWDGFHPSESAYRAIATGLLLGSYTRPSIASTTTSCPQLMELGSSAEYKPLYDL >Sspon.07G0013320-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:54350968:54352893:-1 gene:Sspon.07G0013320-3C transcript:Sspon.07G0013320-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AKKKVALLLQKLKKYELPDLPAPRHDPELLTAEQLQAYKKIGFRNRNYVPVGVRGVFGGVVQNMHMHWKFHETVQVCCDNFPKEKIKEMAAMLTRLSGGIVINIHNTKTIIMFRGRNYRQPKNLIPFNTLTKRKALFKARFEQALESQKLNIKKIETQLRRKGINPEDPVAMASIQRVASTFFRAIDEQQGTPYIFCGDAQPSAGTSEIKEPRDEPSEDSDQEELDRFISEIESAAEKQWEEEEAAEKEESSRMRYWEREDVGDRKGFNRSYENSDYEDRGQGRYGREHNNNRRTSDVRKWDDDSEFEASGEEWDSGDDRGNALGFNNDRDSTDEHPRLFGSKRNEKSRSSRTQDFVPRGDVGERKGFNRSYGNSDVHDRGQGRHRRDNNNNKRTSDARRWDDDNEIEASGEDWDSGDDSDNVLGLDNDIDATDVHPRQFKGIRNEKSRSSGRQNSIPGGFRGSNQIPGNSVDASDGTTFRGSNSDELDTEDDDLWVSDYKGEETNSRAPRVNFPNFHSSSEDSDDNRKNDGKI >Sspon.07G0000860-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:1904787:1908665:-1 gene:Sspon.07G0000860-1A transcript:Sspon.07G0000860-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLAELGGSIARALARMSNATVVDEKVLADCLNEICRALLQADVRFETVRDVKANIKRIVNLDALAAGTNKRRIIQQAVVDEIRRMLDPGKPSFTPSKGKPNVVMFVGLQGSGKTTTCTKYADYHRRKGFNPALVCADTFRAGAFDQLKQNATKAKIPFYGSYTESDPVKIAVEGVDMFRKEKCDLIIVDTSGRHKQEAALFEEMRQVSEATKPDLVIFVMDGSIGQAAFDQAQAFKQSASVGAVIVTKMDGHAKGGGALSAVAATKSPVIFIGTGEHIPDFEVFEVNPFVSRMGDLSGLVNKIHEVIIPNNQPPKIVPQLVEGTFTLRLLYEMFQSLQSMGPLGQVVSMIPGFSAQFIEKGKEKEGQAKIKRYMAMMDSMTDAELDGTNPKLMNQSRINRISRGSGRLVEEVVHMLEEYKRIAKMWKKLPLPTNNRRLNTNRDIRPIANAIPPNMLNQLGGLVGLQNMMKQMGAQSR >Sspon.01G0012280-3C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4C:69404727:69406289:-1 gene:Sspon.01G0012280-3C transcript:Sspon.01G0012280-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQHFFFHLPAVISLLELDDSFDDTNRRFVRRIQEAETGEALKRMKGGKAMGPDGIPIEVWRYLGARAIVWLTKLFNLIFRSNKMPEEWRRSILVPIFKNKGDVQSCTNYRGIKLMSHTMKLWERVIEHRLRRVTHVTQNQFGFMPGRSTMEAIFLLRQLMERYREQKKDLHMVFIDLEKAYDKVPRNVMWWALEKHKVPTKYVTLIKDMYRDVVTFVRTCDGDTSDFPIKIGLHQGSALSPYLFALVMDEVTRDIQGGIPWCMLFADDVVLVDDSRAGVNRKLELWRHTLESKGFRLSRTKTEYMRCDFSATRHEEGDVSLDGQVVAKKDTFRYLGSMLQKDGDIDEDVRHRISAGWLKWRQASGVLCDKRVPQKLKGKFYRTAIRPAMLYGAECWPTKRRHVQQLSVAEMRMLRWCCGHTRRDRVRNDDIRDRVGVAPIEEKLIQHRLRWFGHVQRRPPEAPVRSGVLKRADNVKSGRGRPKLTWDESVKRDLKEWNISKNLAMDRSAWRLAINVPEP >Sspon.02G0048820-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:29501416:29504671:-1 gene:Sspon.02G0048820-1C transcript:Sspon.02G0048820-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPLGFFFLLLLLQLGPSSCSNVYIVYMGERNPELHPALVRDSHHGMVAALLGSEQAAKDAILYSYRHGFSGFAAVLTDSQAARLAVTTGIRTDISTRRLRAMYPGSHFAGIWPESASFRDDGIGEVPRRWKGQCVAGDRFNASNCNRKIIGAKWYIKGYEAEYGKMNTTDIYEFMSARDAVGHGTHTASTAAGALVADASFRGLASGVARGGAPRARLAVYKVCWATGDCTSADILAAFDDAIHDGVDVLSVSLGQAPPLPAYVDDILSIGSFHAVARGIVVVCSAGNSGPYSETVINSAPWIVTVAAGTIDRTFLTKVTLGNNSTYVGQTLYSGKHPGKSMRIVYAEDIASNNADDTDARSCTAGSLNSTLVKGNVVLCFQTRAQRSASVAVETVKKARGVGVIFAQFLTKDIASSFDIPSVQVDYQVGTAILAYTTSMRNPTVLFGSAKTILGELIGPEVAYFSSRGPSSLSPSVLKPDIAAPGVNILAAWTPAAAISSAIGSVNFKIDSGTSMSCPHISGVVALLKSMHPNWSPAAVKSALVTTANVHDTYGFEIVSEAAPYNQATPFDYGGGHVDPNRAAYPGLVYDMGTSDYVRFLCSMGYNTSAISSMTQQHETCQHTPKTQLNLNLPSITIPELRGRLTVSRTVTNVGSALSKYRARVEAPPGVDVTVSPSLLTFNSTLRRLTFKVTFQAKLKVQGRYNFGSLTWEDGVHTVRIPLVVRTMV >Sspon.04G0017670-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:65729580:65730566:1 gene:Sspon.04G0017670-3C transcript:Sspon.04G0017670-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVTAASSASMASEAEMVGEAGGGGERKRKKTLGRRKIDIKPIKCMEARHVCFSKRREGLNKKASELCALTGAKVAVIVYSPAGKPYSFGHPSVSAVVDRYLDLDPVSSAAANDAFEAPPPPMMYEFDGQRDRLCEAIAAEARRKDALDAAARAAGVWTDDVVRQAEMPELVAMLAALERVKDDADHAMRQHQCAAAGAAAGACDACYYDLGDGTFAADDYGGASSSSHHHQQQAAMDAQTMALLMGSSAVGHAAAHAPMLLPPPDLPPPTVAPVPLAFNYGSDHNHITGYEGYAYDLGDGGGYGHGGAAFETEGCYFGPTATCNFFG >Sspon.01G0046570-3D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:91542574:91545188:-1 gene:Sspon.01G0046570-3D transcript:Sspon.01G0046570-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQRRPGGAGPAAPGRPAGAEAPPDGAAVGAGAPGGAAAAPGCLGQLLPSGREEQGRRLTPAPARARAVLAPGGGAARPDPGDGADPGRRAVAGEAGAGGAGEELGRKMVGKRGAMAGGGSARGSACGWAEQRREGGGAGYLIFISILAGFWGQCLVRKIVHVLKRASVIVFILSSVIFASALTMEHFNDQQPRIHGVPRLLRVTQSHHQTVDPSGRIQASARRAGGARRCRCRWRQVDSRGGDAAEPGAAADGLFGSVEESYTDYKPLNWRCRCADRRALDP >Sspon.04G0010990-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:25780044:25786617:1 gene:Sspon.04G0010990-2B transcript:Sspon.04G0010990-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVGFLGLGIMGKAMAANLLRHGFRVTVWNRTLAKCQELAALGATVGETPASVVSKCRYTIAMLSDPSAALSVVFDKDGVLEQIGSGKGYVDMSTVDAATSTKINEAVKTKGGAFLEAPVSGSKKPAEDGQLVILAAGDKALYDGVVPAFDVLGKKSFFLGEIGNGAKMKLVVNMIMGSMMNALSEGLCLADKSGLSPQTLLDVLDLGAIANPMFKLKGPTMMQGSYNPAFPLKHQQKDMRLALALGDENAVAMPVSAAANEAFKKARSLGLGDQDFSAVYEVVKGAGGSGSGQA >Sspon.01G0054070-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:48257853:48260395:1 gene:Sspon.01G0054070-2D transcript:Sspon.01G0054070-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding PSCSASRPNGRHMAEGEEQWRRPCTSHCAGGSIAFLVSGGSEDMASTGALLSASSPPQVIANKADSVQAAAHSSAFTDSRPVYEPYTCNGSVDIKGNPASKKHTGKWRACYSILGSEFCGSLAYYGVGTNLVSYLTKVMVLLTFAAVIPASIHTLDISPQDALPSLGLFLTALGLGGIWPCVPTFGADQFDDTDGAEKMQKGLYYNWYYFAVNGGFFFASTLLVYVQDNWGWGWGFGIPTLFSVIGIAGFLACMKLYRYQKPGGSALTRICQVVVAAVRKVHVDVPNDSSLLYEIPGKEAAIVGSRKLMHTEGLRYFDRAATISSPDETSSADVPSPWKLCTVTQVEELKILARMLPVLLAGIIFNMAEAFFPLFIEQGEVMDNYIYGFSIPPASLTTFNCLCILILAPCYNKVIMPMLSMITGKKRGLSDLQRIGVGLVFAVLSLVSAALVEMARLKIVDERGLVHRNTAAPMNILWQAPQYFFVGVAKVFTVVGFIEFAYEQSPDAMRSLCQACSLIMITLGSYLVSVMLKFMDSATVGLGRGRHGWIADNLNEGRLDRLFWLMAGLQLLNLLTFAYCATR >Sspon.07G0002510-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7A:6173087:6174519:1 gene:Sspon.07G0002510-1A transcript:Sspon.07G0002510-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aspartic proteinase NANA, chloroplast [Source:Projected from Arabidopsis thaliana (AT3G12700) UniProtKB/Swiss-Prot;Acc:Q9LTW4] MALRGNLIVLVLLFAAAATASGKSARLDLVPAAPGAPLASRVRDDWRRHSYISARLRSRRGGSGSRRVAAEVAASSAVSLPMSSGAYSGTGQYFVKLRVGTPAQEFTLVADTGSDLTWVKCAGSSPPGRVFRPKTSKSWAPIPCSSDTCKLDVPFSLANCSSSASPCSYDYRSLSPPLRASSAIEGSAGARGIVGTESATIALAGGKVEQLKDVVLGCSSSHDGQSFRSADGVLSLGNANISFASRAAARFGGSFSYCLVDHLAPRNATGYLAFGPGQVPRTPATQTKLFLDPQMPFYGVKVDAIHVAGKALDIPAEVWDAKSGGVILDSGNTLTVLAAPAYKAVVTALSRHLDGVPKVSFPPFEHCYNWTARRPGAPEIIPKLAVQFAGSARLEPPAKSYVIDVKPGVKCIGVQEGEWPGVSVIGNILQQEHLWEFDLKNMQVRFKQSNCTR >Sspon.04G0004080-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:12559984:12562005:-1 gene:Sspon.04G0004080-1A transcript:Sspon.04G0004080-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMGRAPRRPASPAAAAAVPSLLLFAVLFVGRAAAFGDPQGAATTELYKHASFFDRDGDGVVSFAETYGGELLVPSPRLLLRPRSWLACNSSGLVRSLHRQRFGLSGLDSACPASALPSSMAPLAATHMQQNATSSKLDIYVEDIYKGKHGSDSGSYDAEGRFVPEKFEEIFAKHAKTVPDALTSDEIDQLLEANRQPGDYSGWAGAEAEWKILYSLGKDKDGHLHMDVARSVYDGTLFHRLAPNWKSPEREKLSVIREN >Sspon.05G0012390-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:38043132:38048563:1 gene:Sspon.05G0012390-1A transcript:Sspon.05G0012390-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPAPSAGGAGAMSRDAFRAAVTNTLERRLFFVPSFKIYGGVAGLYDYGPPGCAVKANVLAFWRQHFVLEEGMLEVDCPCVTPEVVLKASGHVDKFTDLMVKDEKTGNCYRADHLLKDFCKDKLEKDHTLSPEMVEEYNRVLAILDDLSAEQLGAKIKEYGIVAPDTKNPLSDPYPFNLMFQTSIGPSGLSPGYMRPETAQGIFVNFKDLYYYNGNSCHSLQPKLISPRQGLLRVREFTLAEIEHFVDPEDKSHPKFGDVSDLEFLMFPREDQMAGRSATKLKLRNAVSEGTVNNETLGYFIGRVYLFLTQLGIDKDRLRFRQHLPNEMAHYAADCWDAEIECSYGWIECVGIADRSAYDLRAHSDKSGEKLEAHEKFAEPREVEKLVITPSKKELGLAFKGNQKMVLESLEAMTETEALDMKAVLESKGEVEFKVCTLGKDVTIKKNMVSINIEKKKEHQRKFTPSVIEPSFGIGRIIYCLFEHCFYQRPGKTEDEQLNVFRFPPLVAPIKCTVFPLVKLEKFEAVAKKISKALTAAGISHIIDMTGNTIGKRYARTDEIGVPLAITVDNTTSVTVRDRDSKDQIRVEVDEVASVVKEVTDGQSTWADIMWRYPAHTASAADEEESQP >Sspon.03G0003590-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:16402024:16404049:1 gene:Sspon.03G0003590-4D transcript:Sspon.03G0003590-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAANYGSGRKRTPIWKAATVYSCFLGTSANLRYQVIAGLIEHRLGEDLMAYYNQPLLASLVSFVSRTVNSYWGTQQWIDLARATGVQSTKKELPSPEVSSASEMPLLECGTTEVQNVDDSSNNQSNDLT >Sspon.04G0024300-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:15202071:15205671:-1 gene:Sspon.04G0024300-1P transcript:Sspon.04G0024300-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAGTATGSASGGSAVHDDAEAMELGHRHAGAGAAGGEADDRIGSAAAAAGKEVAPLSVEQAFADQPVPSWREQLTVRAFVVGALLSIVFNIILMKIDLTTGINPSLNVCASLLSYFLLRTWTRAIGCMGLLKQPFTRQENTMIQTCVVSAYGITFTGKINPKSAKPALICSSNFIPETRSVNHPAQKQYNHIIELPLKNYNLTGGFSSYLYGMSGTIAKKTEANDPQDIKDPHLGWMIGFMFLVSFVGLFALVPLRKVDASLSHCILQGYSAKRFHDYQLEYTFQVMIVDYKLTYPSGTATAYLLNGFHAPQGTERAKKQVRTLGKYFSLSFLWAFFQWFYTAGDHCGFGSFPTLGLEAYKNRFFFDFSPTYIGVGMICPYIVNVSLLLGGIISWGIMWPLIRTKKGSWYPETLPDSSLHGLQGYRVSYNLVLLACKLLLSATNVLPRTENITLQVFITIAVILGDGLYNILKVFYRIIEAFTSRYRNRNTNTLPVSNDGTPANTTEIESFDDKRRLEIFTKDQIPKTIALGGYVVLAAITIGCLPLIIPQLKWYHILAAYIVAPALAFCNAYGCGLTNWSFASAYGKLAIFSFGAWAGASHSGVLVGLAACGVMMSIVGTAADLMQDFKTGYLTLASPRSMFISQVIGTAMGCVIAPCVFWLFYKSFDVGASNGAYPAPYTYMYRNMAIMGVDGLSLPSHCLTLCYIFFAVSFAVNLIKDLVPKKVAKFIPIPMAVAIPFYVGAFFTIDMFLGCMILFIWERKNKAEADSFGPAVASGFMCGDGLWALPEAILSLANVKPPICMKFLSRSVNAKVDSFLGN >Sspon.06G0030980-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:57397979:57398810:-1 gene:Sspon.06G0030980-1C transcript:Sspon.06G0030980-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQVKDQGIRRLTVVVDLRDVEDDTKLNVSMSRNLSHIRSLTILEYYDWTPPLLDLKFLRVLSLRTNCYRIVVDLTCINQLSQLRYLNVGGKLRLPYQIRGLRHLETLDLSQSSVVTAINNSTLEIVDVPCLTNLLLPRNTASNVNLVCTLESIIGVGELNTHLLYLGFHLPKRCAPLAKATWMAALSTSLEKLSNLRELDLYYDEVACCADELSSLSPSFNKLVQLDMQGCTFSRVPRWIGHLRNICVLRLGVKQMGHRPYSDFS >Sspon.02G0009150-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:26089820:26091151:-1 gene:Sspon.02G0009150-1A transcript:Sspon.02G0009150-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding NGDSSDASHFYPSELTLRGRGVRDDVHADQLLRLHGVAGAAVERGVAAAAHHGLRAGAGGVARRGRARGVVGPHMGPHAVRRGRPGLRPVRLRHGRVRVGDGGVRGRRGRAAHHAGGVHAGRRRRERLLRREPGGRVQPADGRGAAGRRRRGRRHLRRHGVPGGPERAVPRRPQGGGLRRRRHRLQERVRGVRAAPGLLQRRLRHPRHVPAVRQLAVLQERVPARLQLRLRRRHVHLYLHLRHRQLPHHVLPKHVQPQVVREQQQRQHEPGRPASGQRHGHLRRPGRRALVPVRLRVGAAPVASMPPRRARSRRVHVALRCPAPAAAIV >Sspon.07G0004210-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:9512235:9514873:1 gene:Sspon.07G0004210-2B transcript:Sspon.07G0004210-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MELESPQLLASLLLVLLAAAAAAVPVRSSPTPEAIRLPSTAGHAQQTCTAAPADPAVYDRPVISIVTHPGDGTYEMHTHCPSSYIAASYVKFVQSAGARKLGLVNGVLFTGGSQKNGSYFETIKRVFQDNNILESFHALNQPSTLQFPNYSFLEGSVFQRFNHELIRKLSTDCLVMQNHGYGISPKRLQENVALSSFFKILTTSPDENGKVYVSTVQAKNYPIICTQWHPEVCKFLLQWSPQSYG >Sspon.07G0011500-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:55424577:55441505:-1 gene:Sspon.07G0011500-1P transcript:Sspon.07G0011500-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding TNHQISPSAAVPVSPDRSKLRLPLTQGRRENAPPHQSNPAQNPADRLPRADSNPPGEAPTPTPSRPAEAARGETLAMAASVDAAAAAVAVAPPTAVEEAGGAVEQARTLIGALNLLSRNLPLPPDVLRAVSSIYHDGSAEEEEEEEEGEEREAEKEGDDKETAAADGVAEAQVDPADGATTKRMSRLEEEEKNQADIRKRKFFAEILNASREHQVQLATTFKQRKQRNDGVQAWHVRARQRISRQEKSRLNLLKIGDQEAYMKMVEESKNERLKMLLDKTNELLEGIGKAVQRQKDAEHVSQPEGSEVPKGSESEDCSQISGVKSESPGESPSDDDADFAGPADESKFNAGRRLDFTVHSIEEKVTEQPSALEGGELRPYQLEGLQWMLSLFNNNLNGILADEMGLGKTIQTIALIAYLLEKKEVAGPHLIIAPKAVLPNWSNEFKTWAPSIGTILYDGRPEERKLLREKNFDGLQFNVLLTHYDLILKDKKFLKKVHWHYLIVDEGHRLKNHECALARTLVSGYQIRRRLLLTGTPIQNSLQELWSLLNFILPNIFNSSQNFEEWFNAPFACDVSLNDEEQLLIIHRLHQVLRPFLLRRKKDEVEKYLPVKTQVILKCDMSAWQKAYYEQVTSREKVALGSGLRSKALQNLSMQLRKCCNHPYLFVEHYNMYQREEIVRASGKFELLDRLLPKLQRAGHRVLLFSQMTKLLDILEVYLQMYNFKYMRLDGSTKTEERGRLLADFNKKDSEYFMFLLSTRAGGLGLNLQTADTVIIFDSDWNPQMDQQAEDRAHRIGQKNEVRVFVLVSVGSIEEEILDRAKQKMGIDAKVIQAGLFNTTSTAQDRRALLQEILRRGTSSLGTDIPSEREINRLAARTDEEFWLFEKMDEERRLRENYKSRLMDGNEVPDWVFANNNDLPKRTVADEFQNIIVGAKRRRKEVVYSDSFGDQWMKSDEGFEDVPKATPRPKKTAYSSDIQVEFSERRKRPRSVENSADGASNPTWTPDKGRAGISSYSKDETEDDGEDEVITSGLQKGNSFTWNTLGRRRSSHFSSSSDSKGRPSF >Sspon.04G0003810-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:11966561:11966827:-1 gene:Sspon.04G0003810-3C transcript:Sspon.04G0003810-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRSNSFGTSWADQWDYGGDPSPRARGRQDGGGGKKQGGVEKTKAAAATGLRKVKEGTASGFQWIKDKCQKKGGKKQGAHEDSGIAGY >Sspon.07G0018170-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:79908528:79909497:-1 gene:Sspon.07G0018170-3C transcript:Sspon.07G0018170-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding CNGCSTPRPGRRGGGPGAAGSIQDGVGGGELRGCDLVGGAVPRVRDGHRVVALRGVLQRGALAQQPRDVHGGPPGDLRLPQEHDEQARRRRRRQHGQRCQHPRQVRRHRRRAHQPQRRLLQDQ >Sspon.02G0002890-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:7773272:7776027:-1 gene:Sspon.02G0002890-2B transcript:Sspon.02G0002890-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGRHLLKPLPLLTPKPASRPPAAHFRARAAAHPPTPRRGPLAEPDVGISRFASSIPGFRGALKQRYSDFVVHEVARDGALVRLTSFDLPDGEEDGDPDAAADPSRALESFRLLCGEADYDALRGFLESVSEGGDGDMSPIILSADADKAHRSSGCPERGFSECLDDMCVTCSVVIVFGAGGARVHQENFKFLNTDTVEHIDGIQKCIRVRLGSGPRGGRGRNRRGMDSSGWRDDKPFDSRGSTSWPYHLGKFLRFHLYKENKDTQEALGVIGKMLGVQPRSFGFAGTKDKRAVTTQQVTLFKVHASRLAALNSKLAGIRVGDFSCKWLFSYVKEGLTLGQLRGNHFTITLRNVIAESADVINAAVNGLSKNGFINYYGLQVQETCFNGSVPTHFVGAALLRGEWRHAVSLILGTRVHYKWHGAVDAALRSMPRHLTVERAIVDSVSN >Sspon.03G0035230-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:76867002:76873453:1 gene:Sspon.03G0035230-2C transcript:Sspon.03G0035230-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sphingosine-1-phosphate lyase, Disease resistance respons [Source: Projected from Oryza sativa (Os01g0100900)] MEAAAELALRLRAAANDRLARYEPLALVAAPLLALLVARALHSAANAVANRGLVAIAIAAVKLLPGVSGYIAAEKKKVVDKMQSGGAKNDRRAELPTIGLAEQVIEELETLKTKDVDWQGKCSGTVYIAGSESEGHFELINKAYSMFSHTNPLHQDVFKSVANMEAEVVAMTAALLGSKEKSSGGQICGNMTSGGTESILLAVKTTRDYMRSKKGITKPEMIMAESAHSAYDKAAEYFNIKVRRVPVNKEFLADVKGFKRCINGNTIMGVTSISSDVHKYGLAPKGTSIVLYRNHEIRKLSRYEHVGNIAGRTVSFTEWTGGLYVSPTMAGSRPGYLDSTSRIMEVSKKIQRGIGEIPGLFVIGKPDMTVVAFGSDVVDIFEVKANPGPISGGMAPIYGAAGKMPDRGTVYSVLTL >Sspon.03G0036630-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:45901876:45903074:1 gene:Sspon.03G0036630-1P transcript:Sspon.03G0036630-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASLGLNPEALFPSYSSAYSSSPFMSDYEVSFPAAANAVDYSATAFSAELDDLHYFDYSPAPIFAGAGAGAGGDRDEKMMWCEGGGDDKRLRSSGRIGFRTRSEVEILDDGFKWRKYGKKAVKNSPNPRNYYRCSSEGCGVKKRVERDRDDPRYVITTYDGVHNHASPGAAAIIQYGGGGGGNSGFYSPPHTHSGSPSGASYSGSFVF >Sspon.01G0005420-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:12763549:12766539:-1 gene:Sspon.01G0005420-3D transcript:Sspon.01G0005420-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLRTKRPEWKSLMPLQLSRLSRKSAMRFFLFPKVQSAGQSPDDTPVYLNVYDLTPMNGYIYWAGLGIFHSGIEVHGVEYAFGAHDFPTSGVFEVEPRQCPGFRFRKSIFLGTTCLDPIQVRQFMELQSVNYNGDTYHLITKNCNHFCKDMCYKLTGNKIPKWVNRLARIGAICNCLLPESLKISPVGHNPNSQSEDSEKRRLRNPFSCFSSISSHRQLPSSSPFPPSPVKEGLSHCSSRKLSTASLKNR >Sspon.01G0035980-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:13629906:13634103:1 gene:Sspon.01G0035980-2D transcript:Sspon.01G0035980-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGVGGSDDGDKSAWDSEGEVARSLDGAASPVAPSRNKLKDAPGPSLLHGDGKVNGAGPSVSLVEDFVGMGLIKENGHGGAESLLELLLTYKDFLQGLSQKDEKIESLVRMGFPKDEAEMAIVRCEASEDGYYGNFSDHEDDSFGGRKEKRKGSKDAEQGSRGPLDGSHDELMPLPNPMVGFNLPNVSLRSVDRSLPSKSIGTPFFYYENVAIAPKGVWTMISRFLYDIQPEFVDSRFLCVAARKRGYIHNLPIENRGIGRTKRFKSLGNSFHVDTAAYHLSALRDMFPHGMNVLSLFSGIGGAEVALHRLGICMKTVVSVEISEVNRFVLRTWWNQTQTGTLIESTDVQSLTTERLESCIRRIGAPCNNLAGRNRFHRDGLEGEQSSLFYHYYRISDTVKSMAR >Sspon.03G0030210-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:19936384:19942898:-1 gene:Sspon.03G0030210-1B transcript:Sspon.03G0030210-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGKAAGALALALVLALAGANSEGDALSALRRSLRDPGGVLQSWDPTLVNPCTWFHVTCDRDNRVTRLDLGNLNLSGHLVPELGKLEHLQYLELYKNNIKGTIPSELGNLKNLVSLDLYKNNISGTIPPALGKLKSLVFLRLNGNRLTGPIPRELAGISSLKVVDVSSNDLCGTIPTSGPFEHIPLSNFEKNPRLEGPELQGLAIYDTNC >Sspon.02G0020770-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:63164829:63166545:1 gene:Sspon.02G0020770-4D transcript:Sspon.02G0020770-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVPRPRSKSKKPRVAAEGGAGVGGHEQQPECLAGGPDLISDLPDAILGTIISLLPTDDGARTRALSTRWRRLWRSSPLNLCDGDIRGSSRDITAIVSRVFSAHRGPVRRLSLGWPWSLVMYPDLDSWLRTPALGNLQELELWHGFTRPYPMPPAAFLLSSSLSALALSGGDGPFGPFSNDGDYLKFPADDVDRLHFPNLKQLTIKCVIIAESALHTLLNKCPVLESLVLSANVGFGRLQISSPTLRSFGVSDNRIKLWDPERLKEVIIGDAPLLQKFFIGVQHYSERKGLSVLIFGAPKLQFLGSLTHDIATLELETAILKETISVNSTAVVRSVKVLVVHMSPPSIDDVIGLMKCLPCLQKLYVKVSLKGRSKRVQHHNPLDYLECLDLHLKKLVLINYQGIKRDVEFANFFLMNAKVLEMVELRTQRPSCDSKYLTKQHTKLQLKNRASKDTQVLFMCISPRYSNDLIDIRHMHDMSIVDPFDLLLCSCR >Sspon.01G0003200-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:7395677:7400345:1 gene:Sspon.01G0003200-2B transcript:Sspon.01G0003200-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVAPPPAPAPAAPAPAAAAAAPAPAPATVPVADQTTDLLQNLSLDTQPKAAADATGPAAGAKKGAVTSQPLSVAIPPERSITPVLQDFMDPNLFYLPAYYYGGYDSSMSEWDDYPRYLNSDGVEIAPAVYGDIYGYGYAPYGAYSPATSPVPTVDGQMFGAQHYQYPTAYFQPPTPVPSTTQSDLQSSNNPEKPAAKADPAKTTANSVPNGTAHSNSGTVPLASSQQNSSLTPDGTYRAPLLGGVPSAGYLDTTYGYDSTGAHFAWYDGSAYASGQQRTTTTNHYPSSAFSGNGSSARNQNMSSTTQQMGMQNRRPTTASAAPTYPNRMYPSSRPYTQYGNSIKTGLPYGSNGYDSRIYGRWGLGMDNRYRPRGRNGYYGYGNESQDGTIELNRGPRSGRFKNQKLYGHTVTIAVKGQSLPSGESKNDSAVPDRAQFNRDDFPVQYDAAKFFVIKSYSEDDIHKSVKYNVWASTTNGNKKLDAAYQEAQSKGSACPIFLFFSVNTSGQFVGVAEMTGAVDFEKTLEYWQQDKWNGSFSVKWHIVKDVPNNILKHIILENNENKPVTNSRDTQEIHLEQGLQMLKIFKDHVSKMSILDDFAFYESRQKLMQDKRSKQQQVQKQVWDSRTPISVTSEQQQEAANGKPNPSDVPNGVTAEVKAVKAPAEKPVVANGIITTPAVSYAAKVAQTATEKPILANGVAKTG >Sspon.04G0024280-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:21470847:21472359:1 gene:Sspon.04G0024280-2C transcript:Sspon.04G0024280-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSITINFLVGLALAGLVLVAFPSLCRGGDPAQRRELADDECQPVGTLRPTQNHSCEDCCEAGHSYKTYRCSPPVVSGSGGGGTKAIMTLNNFTEGGDGGDPSECDGKFHRNSERVVALSTGWYAEGKRCGDSIRIRAKGRSVLAKVVDGCDSLHGCHREHGYQPPCRPNIVDASQAVWDALRITGDDVGEYPIAWSPTSGVDPPGCTDYMIAQ >Sspon.04G0014890-3C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4C:61874275:61875605:-1 gene:Sspon.04G0014890-3C transcript:Sspon.04G0014890-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEKKKFYHHCVIESNVTNIDMGILKDELKMFWKLSGDWELRRECNMTFLASFSSEGDVISCLKNPKMETLLENKEVKLTVTRWKEGDDGSLDLVEEWLLVSGVPRIYRNWKELYQVASAFGVLIDVDEESLEVGDKEPIRLKTTFRSFDGAPFSYYFAFGWSSKLVMVTTVQDKTDGMKHKNKESLYKEHKKELHAAKSILLEEPKGIEESISNGKAKENKISAPAATITKSETTITESSKPEGVESIAPRPRQIIGEEHFRGIQKPPIKHVFKRRGKKQQVTEAIIKSPSNKMDKEVAPHSSSNAMRTGASTGCDMFDEKDCSEMEPKRELNMEEITPVEESKKSKKLGACRETEYNNVAAPTINSKKSQISGSRTPNNELITRHTKGE >Sspon.01G0056630-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1C:91111734:91112102:1 gene:Sspon.01G0056630-1C transcript:Sspon.01G0056630-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SIESLAAGDAQHNLFQTLGPTLVISMAYIDLGKWLVAVDAGSRFGYDLMLLVLLFNFSAILCQYLSTCIGMVTGKNLAKVRLTEHFVVLASETICRTFASHYNHRAEISLILADILELTSLT >Sspon.02G0038990-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2B:47244274:47245747:1 gene:Sspon.02G0038990-1B transcript:Sspon.02G0038990-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEANGGHSGERTLDRTRSLFDRTRSVSVQRLRVSQSFDRTRSASGHCRPDASCSSRSLLDSNRTLALWRPDWWLERFSFSVTGRKVVRETAAENAEEEEAKAMGKLGGEEGS >Sspon.04G0001300-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:1843971:1847814:-1 gene:Sspon.04G0001300-2B transcript:Sspon.04G0001300-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:26S proteasome regulatory subunit 6A homolog B [Source:Projected from Arabidopsis thaliana (AT1G09100) UniProtKB/Swiss-Prot;Acc:O04019] MSSSAPAPAAMAVDDAEDDQLASMSTEEIIRASRLLDNEIRVHKDELQRSNLELESIKEKTKENQEKIKLNKQLPYLVGNIVEILEMNPEDEAEEDGANIDLDSQRKGKCVVLKTSTRQTIFLPVIGLVDPDKLKPGDLVGVNKDSYLILDTLPSEYDSRVKAMEVDEKPTEDYNDIGGLEKQIQELVEAIVLPMTHKDRFQKLGIRPPKGVLLYGPPGTGKTLMARACAAQTNATFLKLAGPQLVQMFIGDGAKLVRDAFQLAKEKAPCIIFIDEIDAIGTKRFDSEVSGDREVQRTMLELLNQLDGFSSDERIKVIAATNRADILDPALMRSGRLDRKIEFPHPSEEARARILQIHSRKMNVNPDVNFEELARSTDDFNGAQLKAVCVEAGMLALRRDATEVTHEDFNEGIIQVQAKKKSSLNYYA >Sspon.01G0005780-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:15122176:15123599:-1 gene:Sspon.01G0005780-1A transcript:Sspon.01G0005780-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSEATWHSFDPSVAVEDSEAMAQLLGVHYSGNEQKQPTPTPTAMMYWPGQEADQYYSPAAYPYYMHMQQPNSGASCYDHGYYGSGNTFTMTGDFFVPEEQMAADPSFILDLNLDFEYQDGEGTGRGGGGNTPAVCKRKLEDQKGESTTCTVPKKKSRSTAVPAPKKGKKAQKGACNRGNQEESNGDDGNVAHQQQCTSNYLSDDDSLEMTACSNVSSASKKSSSSAGGKARAERGAAIDPQSLYARVDISTMLEEAAQYVKFLQLQIKLLSSDDMWMFAPIAYNGVNVGLDLKISPPQQ >Sspon.05G0039470-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:71081135:71106966:-1 gene:Sspon.05G0039470-1D transcript:Sspon.05G0039470-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVYLPMAYLFGKKFVGTITPTILELRDELYSVPYSEVDWIKSRDTCAKVDLIYPRTMAQNLVWTCLNKVIEPMLKCWPVNKLRDIALKNIMEHIHYEDETKNPNSDSFKKHLPRIYDMLWLAEDGMKAQIRENHPDYKTYYRHKSKGSWTLSTADNGWSVSDCTAEALKNNDGTFSTYECKRTTPLLED >Sspon.05G0027130-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5B:49458243:49467249:1 gene:Sspon.05G0027130-1B transcript:Sspon.05G0027130-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHESRNKLNCGIRVCAHPIVEIARHDPNLPLPRALALSPAAPVSIPLHRAVLALPSRSSPSPWEIGPGHLSSPPHVAYHILEEASTSSRGGEHCEIVYHLHGLQSKEDPTTTKAKVPVGVAGTGTLHRNNAKLLRLCLHIFLPVLIFLHVQKGVTSQRVESEEDVSHTFSTIEVKGDKLFLAVSFDGDVRKQEVVARVLVGTVAHFGKRMIQWSLGFNSPKDGEEVGPVSSHRDRTRLIAHDRTRRAFGRLYDRLYPSGCLTGCSGSVRDRTRQLQTLIPACQTAEELTGRVRSNRDRVWTTGSDDPSLPVLGSDNQKIIGGARTGSDDNYHCRFVPPAGSDDNSTYHCRFKPRTDSDLILSLSVLG >Sspon.04G0038050-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4D:81835360:81835784:-1 gene:Sspon.04G0038050-1D transcript:Sspon.04G0038050-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ERGPPHLVPNRAPSPSPSQISAPARSAGGRAVSLALAGSGGSCAVGGRAGRCLLRWRAAAAPARLAAAPAAGGRRAAVPAQLRSSRGALGADLDHAATVDEVSPSADLPCIRPSPSSCEGCRSAQRRLPSGLTAPSSSIF >Sspon.03G0000650-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:2031865:2033200:1 gene:Sspon.03G0000650-1A transcript:Sspon.03G0000650-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RTMALSMKNNIDWGSTNYWQAQGMLMCEELKKVVHKEFDSVLEMVNRAIQHMLPSSSKEQVGNDDSVTAWLRVMALEKLKDCIFESQVSDAIKHKNRNNSIADVKAEGGGNVEDKEDHEGDVLHGRQLVAAGRRLSAQLRWR >Sspon.02G0040940-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2B:73187321:73190227:1 gene:Sspon.02G0040940-1B transcript:Sspon.02G0040940-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPLRVPRTVHTAVPPMIPPKQSRSIGEIAARNRIERAAMGTSTDPAPCIFTYKVFGSTNAKWLSGLAFMDNHHHQRLRRGGNGGARLPWIPQQKLLRAEPMRRLRRADRTCRRRQGQRNFSSTRRGPSRAPAAIALRFPRPSPRHTLSLRFPSACMAPQQLQQEAAAGIEARHHMKLTGVELAGGAELAALVEKATTGSVEKAAAGERRSGEGGRQAAVLWRGGDAGRRSGGSVESAVTVVAGRAVEHGQGALSRCCGEHSDLAVHIVLVRPPVRGSRKSGWRHTALPCAIHLRRRAPSSGWSFEWCVVLPALLCMCRLPIIPIRGTLLRANAPTKLMCADGLLFHRIRTTGTHAVAIQTARSTP >Sspon.08G0005850-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:15651253:15653317:1 gene:Sspon.08G0005850-3D transcript:Sspon.08G0005850-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYGLGPFLTRKRINQTMNRVSGTALVLDEIINHVQSLQRQVEYLSMRLAAVNPRVDFGGLDSFLTTECGRIAGFNCKNGIDLEQVTWPEMGVHGARQLMQLQQQFWHGDLAHPHQVASQWEKRGDGHPPVFSNSNPSLFGYDLTSS >Sspon.03G0044710-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3D:2908570:2909786:1 gene:Sspon.03G0044710-1D transcript:Sspon.03G0044710-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLATGGVAEEWLSAVAGPPGNSTPPKVSNRKGEAHGEQRHAARVVERLVRDARAGRQAASGVVLVRGGVPLPHKWLGWLVRCVLCTSAGTAGHCATGTPGELPLSLQLSPEELMRAATVRDGQGIRPGSEGRPRGEPGRAENFGLV >Sspon.04G0024880-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:26125318:26128438:1 gene:Sspon.04G0024880-2C transcript:Sspon.04G0024880-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKSCCYFPLRWESTGDQWWYASPIDWAAADGHYDIVRQLLHLDPNLLIKLTSLRRIRRLEALWDDDARFADAARNRASVARSLLLECECRNPNHHRPAGGGENTLLRAGYGGWVLYTATSAGDAAFVRELLERDPLLVFGEGEYGVTDMFYAAARGGSADVFRLLLDHAMSPRCSTNCRNGQGGAGRSSVFRLEMMSRAVHAAARGGSVEMLRELLEEGPSSVSTYLDIRGSTVLHAAAGRGQLQVVKYLLASFDIINSTDNHGNTALHVAAYRGHQPVVEALVAASPSTLSAINNAGDTFLHSAVTGFRTPGFRRLDRQLELMRYLIRERTADIQKIINLRNDAGLTALHLAVVGCAHPDLVELLMTTPSIDLNAEDANGMTALALLKQQLRSATSDRLIRQIVSAGGVLNSSILRTRSAIASQIKMQGGIASSPGTTFKVSDAEIFLFSGMGAVESLRPSSCSSNGKDDPTYADADCAENHGSSEKRLSSASRAKDRLKMMLRWPCHKTPKKSEDSSPLDSIKKLSEHGAETPAPLRQKFTKTTVLNGKRTLAVKSYTPSSSSTKKKLNTKLIHGIMEAMPQLAPSTARSGSPTDTLLRTSISSTPQPLAKLKDICLDDEISMVTPPIGKLKDIVLDSDDATEDPSCSNSSMDDGCGTGAAESAARKHGCGNGRLINICFGAQGLTVEDSASGQQTSKMFKQQCLRVS >Sspon.03G0018940-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:78681010:78684472:-1 gene:Sspon.03G0018940-2C transcript:Sspon.03G0018940-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSHKFLLAVLLLLLLNYTSYAATSWEDDDFLKTCSSHRCHKHGPEIRFPFRLPSHPPSCGAPGMQLSCSGHDTILDHPVLGSCKVTAIYYRHRVMNIILPVDSSSHCPLQKLVTRNQSTDVYTPVTHQYPDSVLVGCSREGTNQDGIVGPSSCLSLSNNASQLWYLVLPDTDMSTLPMGCEVVAKGIPIPYTYDKNGPKEQTFFGRSLFSGKANRAINFGEVAFNWHLNNITSACQRCEQEGKHCGFSSNRGQVFCLHHGIVFQPRSHDVCATTSVATFIALVATALYLSLKKRYNEAIHLKVEMFLKTYGTSKPTRYTFSEVKKIARRFKEKVGQGGFGSVYKGQLPNGVPVAVKMLENSTGEGEDFINEVATIGQIHHANIVRLLGFCSEGTRRALIYEFMPNESLGRYIFLHDPNSQELLVPEKMLDIATGIARGMEYLHQGCNQRILHFDIKPHNILLDYSFSPKISDFGLAKLCARDQSIVTLTAARGTMGYIAPEIYSPNFGGVSYKSDVYSFGMLVLEMVSGRRNSDPGIENQNGVYLPEWIYERVVAGQELTLSREIADQDKETVRQLAIVALWCIQWNPKNRPSMTKVVNMLTGRLQNLPIPPKPYA >Sspon.07G0031150-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:18369385:18372105:1 gene:Sspon.07G0031150-2D transcript:Sspon.07G0031150-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWTSTCPVDFSIDDFLLRSPDRGDDGDDSGEGSAAGSGPAASSSASPATSGANSAAANAGVREVKHEDSDEGRSGAAPNWSLKRKQASPGGATSDAAKCRRSGDGELSPSASASRAAAEDSDERGAGGEEEDKRRTTRLMRNRESAQLSRQRKKRYVEELEEKVKSMHSVINDLNSKISFIAAENATLRQKLGGAGVSGPPPGVYPPPPLPGIHFPWVPGYAMRPHGSHVPLVPIPRLKPQQAAAAGKVSKKPEVKKSVESKSRTKTKTKKVASISLLGLLFVALVFGAFVPGFNHSLGMRGRSDNVMFGNFGHSDARVFSVTNHGKGPKGGLNSSDIISTDPGMITGHADGAGQKHRAANDSSEILPALLYVPRNGKHVKINGNLIIHSVLASEKAVAHKASNVQSVKDRKETSVAIARYLSPPGKDMDSKETFPPDAPLPQWFREGMEGPVLNSGMCSEVFQF >Sspon.03G0024270-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:74012802:74014968:1 gene:Sspon.03G0024270-1A transcript:Sspon.03G0024270-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPARPVSPARLEIRHGTAASATRKAVRNMGAGGELDAGRCADGYALGLSVGRRFAEVIRSRMRQDLVLREQLLPFASTAEAQPLLAALQSANRERYPRYWDELVGTADGSGVPLLHQVILANFRKEVLPFIPKDPKEGGDRSREEEVEEEAEADGDCSDVLIVSDSTAIAAHNEDGNVALLGHTYLVRATLPDGASFTAYTYAGELPSCAFGFNSNGVAFTLNSVPPVNDEIVAGAIARNFVSRDLLEAKNLEDAMHRVCSPTVSVGHSYNLMDVRGRRIVNVETASGNRFAVREVDAVPFFHANMYRHLQVKQDENSMSREKRAAQCSVDSKETALSLLGDTADEKYPIYMTGPTLYTLCTVLVDLDEATMSIYKGNPKNGNAAHVLQML >Sspon.02G0004050-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:21078094:21080310:1 gene:Sspon.02G0004050-2D transcript:Sspon.02G0004050-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSLVNLLLMEVAAIVSIVLLAILVVLSSYRRRSSHPALRLLVWAASTLFLPLVSYAVSAAAKWDASARVPLLLAWTVFLQILRNTIDTARSSTSTISSSGSNGSKFRPSVEQLARMGWVAFLIISSGGAAGSPLLTGVLLWLWVLSLLKVIHRLVAAELAKNSFAVGLNSYLVADYMKQLHGHGHGQAGQGGLEVPPPYFLKGEEKLPIKPRPHGYHIDRTTAAPLSLSMDLNAGHVITVDRIWSLSSSGDPLLASCPQIKNLCLSFALFKLQLRRFIGCPLAEAGCHRAMAFVQDGLLAGSGSPERVFRVVEAELSFLADFLYSKLTVFYASGWWFPALNSILVLATWISCLAAGGAIVHDMTNPGTALAVDYQNLRNYLQHHDTVFHVIVGLDILVSVSFIVSIVFTEGWEIANYVCSDWIKVSAICEYARRPTWRKSPWARRKLSRLLWLRPMQQWDDRFCQVSILQLRFCYCGCVSRQVDRIVKKTVAVPAAVKSAIVVTLRTNKGRLGNGERSLQGNGVAGNLFWACRIQAGDDNAVDSLSEQILVWHLATRLVELKRSEGAHGGKLDNDNNDTDGESDLDLVVVATRLSRYCAYLVALKPALLPDHRAWTEELYEGVVEEVTRVLARCAGPVVTYERAATCLGGSMNATLRKASKLGRQLVEEVSDEELLWKVLADFWAELILYLAPSENVTSHSKSLYHGGEFITVLWALLGHAGIVGRPETDSTDISA >Sspon.02G0005770-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:17559749:17563279:-1 gene:Sspon.02G0005770-2B transcript:Sspon.02G0005770-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPASLLPSTFLPHHLRRLVPAGCTTSPAASSSASVPASRYDFEPLLAYLSSPSVAASLTSPSPPASVPAPEHRLAATYSAVPSHEWHALLRDLAASDASLPVAFALLPFLHRHRLCFPLDLLLSSLLHSLSVSGRLLPHSLLLSFPPSLSDPPSPLLLNSLLAASAAASRPAVALRLLSLLREHDFLPDLASYSHLLASLLNTRDPPDAALLERLLGDLRESRLEPDAPLFSDLISAFARAALPDAALELLASAQAIGLTPRSNAVTALISALGAAGRVAEAEALFLEFFLAGEIKPRTRAYNALLKGYVRIGSLKNAEQVLDEMSQCGVGPDEATYSLLVDAYTRAGRWESARILLKEMEADGVKPTSYVFSRILAGFRDRGDWQKAFAVLREMQASGVRPDRHFYNVMIDTFGKYNCLGHAMDAFDRMREEGIEPDVVTWNTLIDAHCKGGRHDRAMELFEEMRESNCPPGTTTYNIMINLLGEQERWEGVEAMLSAMKEQGLVPNIITYTTLVDVYGRSGRYKEAIDCIEAMKADGLKPSPTMYHALVNAYAQRGLADHALNVVKAMKADGLEVSILVLNSLINAFGEDRRVVEAFSVLQFMKENGLRPDVITYTTLMKALIRVEQFDKVPVIYEEMITSGCAPDRKARAMLRSALRYMKHMRVA >Sspon.07G0028250-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7B:65095978:65096481:-1 gene:Sspon.07G0028250-1B transcript:Sspon.07G0028250-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPKIRRKIAIDIRDIKSRVTEVHDRRRRYEVNHTFDKLVKVDSRSLVRYEKVTKLVGIEESRDEVIKFLIIDGNEASKEQNKIVSIVGFGGLGKTTLANVVYEKLRAHFDCSAFVSVSQTPDMDRLFKDMFYQLAKYSTASINVIDEIRGFLLEKRYGRHSTKTIH >Sspon.04G0012320-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:40442984:40446680:1 gene:Sspon.04G0012320-1A transcript:Sspon.04G0012320-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATEYGGPGPSRRVRCSLALRALSPPHLPDPGVPSIAGSGAYIDLWRAMGSIGAVFHGGSPTSLASPTSTVLCPVFPSVPSPRLGIPTSSGYGIHSARKVKAVAVCAQDPLSVAAGKENIKLTEDDEINKRLEYWYCDLFWFKVSTISIQYLE >Sspon.06G0033510-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6D:16546459:16546698:-1 gene:Sspon.06G0033510-1D transcript:Sspon.06G0033510-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGMVYWYDLVTLKKKGDGHEHDHYQRDDMVCMPNNKCGVRRRNSIVLAAGGGLRIVGKSTFCPGNIVVQELDVGGQFE >Sspon.04G0015470-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:19914530:19918373:1 gene:Sspon.04G0015470-1P transcript:Sspon.04G0015470-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFGKSLSGQIVETLPEWRDKFLSYKDLKKRLKLIGAGNGAERQPKRARRDDAGEADASASSSAAAAPAMTPEEVDFMRLLEAELDKFNSFFVEKEEEYIIRQKQELQDRVAWAAGRESKEELMRVRKEIVDFHGEMVLLENYSALNYTGLVKILKKYDKRTGALIRLPFIQKVLQQPFFTTDLLYKLVKQCEAMLEQLLPVSEASVSSEDVKGDSNDEEKLAKPSSSLVNGGGIPELDEIEYMESMYMKSTIAALRSLKEIRSKSSTVSMFSLPPLQGNNAQGSYQIRAEQTKLDEEPDRWSKVTVIEQAAK >Sspon.07G0024660-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:25458301:25461234:-1 gene:Sspon.07G0024660-2C transcript:Sspon.07G0024660-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATASPRPREHSVAGCGGSWRLVSGESHLRHHLTRLQAATRSQQIKVKPAKTAIMICHQAITNERSITGSSKKQGVTQHQSPKRKQLNIKVCQIIGKTSDKLWDSDIIIVGCGIIMASDTLKTAWEYYHLQLARVRTQPRRRLQ >Sspon.03G0021280-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3B:87817018:87820142:1 gene:Sspon.03G0021280-2B transcript:Sspon.03G0021280-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTSGHHRKPPPQLLVFLIHVFLGIQLSYSLGTSYSNQTSLPVVRCRPDQASALLRLKSSFSTDGWGPFDRGDVCTALASWRAGTDCCGWVGIRCGDADRGEATLAFPGARAPCVCTRVIFELASPLDADGRVTTLDLGECGLESGALHPALFDLTSLKHLDLAWNSFNGSQLPADGFERLTDLVHLNLYSCRFDGQIPHAIGHLTKLLTLDLSTEMYVFDEYDDFYSLASWSRDWELVEPNIASLVANLSNLKELYLGSVDLSGNGALWCSTFSNSTPQLEILSLPYCNLYGSICESLSSIAPLTEINLQYNGLQGPIPKSFTDLPSLSVLKLTKNQLEGWFPSRIFQNKNFTIIDITYNFKLSGSLPLNFSSNSILTDLLVSSTNFSGPIPSSISNLKSLNKLGLAAVDFSHKLPSSIGELRSLSSLLVSGAGVVGGIPSWVANLTSLVWLQFSDCSLSGEVPASIDNQIHGDIPHWAWENWGQMTVLNLSHNKFSIIEYSSINMVDISLIDLSFNLLEGPIPLPGPDTQLLDCSNNRFSSMPLNFGSQAGSISYLKANANTLSGKIPQTICEAKNLILIDLSDNSLSGSIPSCLMEGTVTVLNLKRNQLHGELPNNVNQSCAFKELDISVNQIEGKLPRSLVACRDLEVFNIGNNHINGTFPCWMSMLPKLQVLVLKSNKFVGKVGRSALGGKNSCEFVKLRILDLASNKFFGTLQDGWFTTMKSMSTKSVNESMDNQVTQLGQTYQFTAAITYKGHEEVMLSTILETLVQIDFSDNEFHGAIPESIGDLGLLNGINMSHNAFTGPIPPQFGDLNQLESLDLSSNNLSGEIPQGLASLNFLSMLNLSYNELVGRIPDSPHFLTFTNLSFLGNIGLCGFQVSRACNSTTPYAELHHSEKKS >Sspon.05G0010010-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5A:28579567:28581717:-1 gene:Sspon.05G0010010-1A transcript:Sspon.05G0010010-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARVQLLALLCLAASLLSLGAPATTGPDARVGVYELKLGDFSVKVTNWGARLMSVVLPDCKGSSGQSSLSIFLLSPLHIYGLMWSSPSPSPSPLVAAVLSSIGSQHRLKFRIVPGFAGNLADVVLGRDTLAEYFNETTATTRFTVRSPSQRIAHYSATKQSFIHLQLYLFVHSGGGTAFSKSAWTVKEYVGGGDSPYITLYYHSFDGEQGFPGSLDAYVTYRVSGPYTLGVHMNATARDKATPVNFLLHAYWNLGGEGSGRDVLGHTLRLHASRYAVLDAELLPSSGRVAPVAGTPLDFRTPTPIGARIRQVTGGKVVGYDANYIVDGAEGMMRPVAQVRDGASGRALELWANQPTMQLYTGNWLNHTEGKGGEVYDQYAGFCLETMGYVDAVNHPEFPSQTLLPGQEYKHDM >Sspon.06G0022140-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:24830270:24842794:1 gene:Sspon.06G0022140-3D transcript:Sspon.06G0022140-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEKTLPGANAGRTVLVTGGAGYIGSHAVLQLLLAGFRAVVVDNLNNSSELAVRRVAALAGDYSRNLSFHKIDLRDKGALEMVFASTRFDAVVHFAGLKAVGESVQKPLLYYDNNVIGTINLLEVMSAHGCKKLVFSSSAAVYGSPKNSPCTEDFPLTPNNPYGKTKLVVEDICRDIYRSDPEWKIILLRYFNPVGAHPSGYLGEDPRGIPNNLMPYVQQVAVGRRPALTVLGNDYATRDGTGVRDYIHVVDLADGHIAALQKLFENSSIGCEAYNLGTGRGTSVLEIVQAFEKASGKKIPLIFGERRPGDAEILFSETTKAERELNWKAKYGIEEMCRDQWNWASKNPYGYGSPDSTKQNGHQTNGSADSKQNGHHTNGSTDSPKRNGHYANGSADSPKRNGHYVYGSSDLKQNGNGHLH >Sspon.02G0011970-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:31979564:31989181:-1 gene:Sspon.02G0011970-1A transcript:Sspon.02G0011970-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding METGVAVAAAPHVASATGSGTVEEQAAGVGILLQISMLVLSFVLGHILRRRKFYYIPEASGSLLIGMIVGGLANISNTQKSISLAPKPFFSNFDAIITFAILGTFIASIVTGLLVYLGGLLYIVYRLPLVECMMFGALVSATDPVTVFATTYQILKEFVHELGTDTNLYALVFGESVLNDAKLFLTSYPLFNFFLLMLIKFSVPFYGLSFKVAISLYRTMASIRTHHSGKNFFLVILRFLENFVGSMSSDSEQPIILSLIRSNLFKYAALGVEKFLLVTIICTRYMLAEGIGLSGIVSILFTGIVMKRYTFSNLSDDSQRFTARFFHLLSSLAEAFVLHMQTTLDDELAVLLFRFIYMGVDIAMERQSWAANVFSCAYILNLARPPHCQIPRQYQQALWYSGLRGAMAFALALQSVHDLPDGHGETIFTATTSIVVLTVLLIGGSTGTMLEALQVVGDSNRYRQLYEESTRYSLYLSRRPKVESATRRGT >Sspon.07G0013800-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:49889176:49892933:-1 gene:Sspon.07G0013800-3D transcript:Sspon.07G0013800-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MELTGATLRRSALPSASPVAARVGRRRRPARVACVGGGGFAEEGHLRYYEAAPRRKAVEAVARDLGKLRAMGLVAGDAAKEKVLSEATDLLLQELSQMKDAEYKTKKVEKEEKAAMKALKKQEKDMKKTAVTMMKCEEDESSSESSESDCEDEATVNVEQGMMISTAVPEGVASSISAVSTMECDKAAMKAMKKMEKEQMKAMKKMEKEQKKAAKKAMKMEKEAKKMAMATLNGCRDEDNASCSSESSESECEGQLVRMSRCATITAPEMASPNTGLPIIVPQIPAPLAPEPSQPSELATAVQATRVSSVAVVETSTTSRIEEFEKTLGTEGAVVGCKCLGKCGLGPNVRLRSGISAEGCAKGSPLCIGVGFEDVATIVAGLFGEADLVFHCWGEINPDAKENESQE >Sspon.01G0012450-3D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:36874878:36877755:1 gene:Sspon.01G0012450-3D transcript:Sspon.01G0012450-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRPSPPSPSYFPSLPSPSWAQVASQPPTTAATLPAVPAAATLQPAAATLQPLFPADPAAVTILWLLMIFRIIRGLFLSFGLTIKAVQCDNGREFDNSTSRAFFLSHGVQLRMSCPYTSSQNGKAERMIRTTNDTVRTLLFQASLPARFWAEGLHTSTYLLNRLPSAACPAPTPHHALFGTPPRYDHLRVFGCACYPNTAATSSHKLDPRSTLCVFLGYSPDHKGYRCFDLTSRRVLISRHVVFDEYRFAARRASHLSRIPSGSGPVVLGRGPVLSGWCRPGAFVPRFCPGGSCRSPTPDPVPAPAPAPPSRFAAPVRVYQRRPRPPPLAVPSPPGTPTPPQQSPPARGAPPVYHPPLLHRHPRHVHPMVTRHAAGTLPPRALEASTGDAVVSPVPSSVRDALLDPHWRRAMEEEYAALLANQTWELVPRPPGANVVTGKWIWTHKRRADGSLERYKARWVLRGFTQRPGVDYDETFSPVVKPATVRTVLSLALARSWPVHQLDVKNAFLHGLLTETVYCSQPAGFVDTTRPDMVCRLNRSLYGLKQAPRAWNHRFAAFLLTLGFVEAKSDTSLFVYHHGADTAYLLLYVDDIVLTASSDPLLRRIIAALQQEFAMKDLGELHHFLGVTVEHRPAGLLLHQRQYTRDILERAGMSDCKPCSTPVDTQGKLSEAEGPPVADPTAYRSLAGALQYLTFTRPDITYAVQQVCLHMHDPREPHLMALKRLLRYLRGTLDYGLLLHRASSTDLVVYTDADWAGCPDTRRSTSGYAVFLGGNLVSWSSKRQPVVSRSSAEAEYRAVANGVAEAAWLRQLLAELHTPPSRSTLIYCDNVSAVYLSTNPIQHQRTKHVEIDLHFVRDRVALGEVRVLHVPTTSQFADIFTKGLPSSTFAEFRSSLNITGG >Sspon.07G0009280-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:22600572:22604252:1 gene:Sspon.07G0009280-3C transcript:Sspon.07G0009280-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQRRWVAAAVFMWLLVLCSGRELKTKHAPIYDPALARTLAEYTSAVSSSDLLDAVIFHIHVYTADLTQLFTWTCERCCDLTEGFEVIELIVDVKNCLQAYVGFARDMNAVIVVFRGTQENSIQNWIEDLFWKQLDLDYPGMPEAKVHSGFYSAYHNTTMRDGVVRGIKSTRELYGDVPIMVTGHSMGGAMASFCALDLVVNLGFKDVTLMTFGQPRIGNAIFASNFKRYLPNAIRVTNEHDIVPHLPPYYHYFPQKTYHHFPREVWIHNVGLGSLIIYSIEEICDDSGEDPTCSRSVSGNSVHDHIHYLGISMHAESWGHCRIITDNRTLPQQYKMDPDGNIILSKQPGLSVNELYSAQ >Sspon.03G0027440-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:9740025:9741190:-1 gene:Sspon.03G0027440-2C transcript:Sspon.03G0027440-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding EMAPLKLYGMPVSPNVVRVATVLNEKGLDFEIIPVDLTTGAHKQPDFLALQPFAQIPALVDGDQVLSESRAINRYIATKYASSGTALLPATPSAKLEVWLEVESHHFYPNASPLVFQLLFRPLFGGAPDPVVVDKHADELAKVLDVYEAHLASNKYLAGDQFTLADANHASYLLYLTKTPKAGLVNERPHVKAWWEGIAARPAFQKTAAAIPLPPPPSA >Sspon.06G0024630-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:57084713:57088322:-1 gene:Sspon.06G0024630-1B transcript:Sspon.06G0024630-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLSVEELASNLSTYKEQLREVKKLIKEKKDDPGISEYIDMEKELQEVITLTEEILATAKQTESAQNAAGLSSPNYSAGVQSEGLDDLSHSHKFAVGTRVQAVWSEDGEWYNATVEALTPNGYYVAYDGWGNREEEAEATKMAIKRKIEQAATSDFQARSLPAKLRIEPSDPEDVCALQAATDLNLVKAAKRKKIHAFKSKARFEQLEFAQNKRQNAWQQFQTKGKSKKVGFFSGRKKESIFKSPEDHRGKVGVTGSGKGLTDFQRREKHLHLKGGSGMQRMTRNKPNRHVTLLACGVSPNRHFHGCCLVPTWPPGEPVLFNLWKLEISMLCHSIYRDAVAFRR >Sspon.02G0035220-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:12212881:12216683:1 gene:Sspon.02G0035220-3D transcript:Sspon.02G0035220-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFRAREMYKKVVRRVGGEGKLPAELMKSVKDMLPDSNVVMGRAKRGIFAGRHIRFGNKVSEDGGNKSRRSWKPNVQEKRLFSYIHDRHIRVKVTTHAIRCIDKAGGIDEYLLKTPYSKMDTEMGIVWKAKIEKMYSDLAEMEVGFFPPEEEAKIKEGFDEVRAAKRDFRREARRALAKQRQLEASSAGDDQTSEVTDTKEEVSDAAAKV >Sspon.01G0012610-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:37483008:37491388:1 gene:Sspon.01G0012610-2D transcript:Sspon.01G0012610-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLVVKRYRCTHSASCACLKGHVREDAMYLVFKHMNWNPKMIAILSSVCKWFDEFAKRVLWKEFCNARAPKMMKDLHSDGSHIVDGNWKALGKLLIYCAGCPSGDLFSNIQDPIPGHFVYRTRFSRALGKSLLAPQCRSDALYVSESCEHLDQGEEGDLGLFRGIFKSFAASKMKKMLIERQAKFHPNEMCPYCKTKLWNLMQPNMIPSSAAVRLGAEDGSAEYYVCLNGHILGSCTLIPISDSEDTKEEVAALIELQRVHTVVASTADKPGGEGWK >Sspon.03G0007380-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:20114185:20116690:1 gene:Sspon.03G0007380-1A transcript:Sspon.03G0007380-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vacuolar fusion protein MON1 homolog [Source:Projected from Arabidopsis thaliana (AT2G28390) UniProtKB/Swiss-Prot;Acc:Q9SKN1] MVVWAWAQEATLSPTTNQSNRKVGCGIYLTSDEVLIPSRGRRNGDGRVPFLSFPFAGRRRRARGEFGGGKAWRTAMDPPNPNTNPSPNPTDDAPSPPAESAARLAALSLRGTRDLPPDFHTAEIHDLDDDDDEGYLTAASRGGSSTSAWKEAPEGLHDDDKDGDDVSQPSPSSSGYAGERGSSLDDDPDPEPAQDWPRDKKHLHEDDASSSWRKRKKHFFILSNSGKPIYSRYGDEHKLAGFSATLQAIISFVENSGDHIKFVRAGKHQIVFLVKGPIYLVCISCTEESYEGLRGQLELMYGQLLLILTKSVNRCFEKNPRFDMATLLGGTDAVFLSLIHAFSWNPATFLHAYTCLPLAQSTRQAASAVLQDVADSGVLFALLMCEHKVISLVGAQKATLHPDDILLLANFILSSESF >Sspon.05G0011070-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:23151717:23154418:1 gene:Sspon.05G0011070-3C transcript:Sspon.05G0011070-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPEAVRKSLEPTAAAEKITGSTPARLHFYDPFVLSGVSIEAAEHGRLLCSFVVTPRLASPVGYLRSGVTATLADQLGSAVFFCSGLPSSGVSIEISVSFVDAAAVGEEIEVEGKLLRAGKSVGVVSVDFRKKKTGKLMAQARHTKYLVASSKL >Sspon.03G0038440-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:4196715:4201724:1 gene:Sspon.03G0038440-2P transcript:Sspon.03G0038440-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGFSASCGPAADSFARSATHLCFTLFPLSISSLVCGTLSISSESRTEETAATQLKTEVSSRTNRTEMVDPLPSSFFPPPPPVSLSLPDDCWAAATLGQVLRLSLVSSPATSTHQEDEVGAISWCPSHSSYHRHYASVEQCVKVAARRSPSIILFPLFQAMAKLPVLARSAIRKPNEGMRLIVVTIVGAVFGFFIGISFPTVSITKLHFPSSIVSYIEDKNSGLSAQAILNHAWTAARNARGNGSEPISSDNFKIYVPTNPRGAESLAPGIVVPESDFHQHRLWGNPDEDLPFKPKYLVTFTVGISQKDNINRAVKKFSNDFAILLFHYDGRVTEWDEFEWSKRAIHVSVRKQAKWWYAKRFLHPDIMAAYEYVFIWDEDLGVDHFNGDEYNTISLSALYPSTWLGLVDIFFLLQNDLVHGWGLDFALRKCVEPAHEKIGVVDSQWIVHQVVPSLGNQGQAENGRAPWEGVRERCRKEWGLFQTRMAEAEKAYYEMMGVTPPNVTFVS >Sspon.03G0045120-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:9989749:10005079:-1 gene:Sspon.03G0045120-1D transcript:Sspon.03G0045120-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLRLQEQCSLLRISSSHHPNPLDAPRNPRKNQLLLPNAAKIANASEIPVPRTPTSASKGAVLAPKVAPWRDALVPVTAALASWPLPSLAAEGDGKVSLESIVVAIDDFNNRNPFFVAGVVFVWLVVIPLVQEYVFKKYKPVSAIDAFRKLRDVPEAQLLDIRRGKSVRFMAPPNLKLVDKSTVQVEFDEDDDKGFVKEVLARFPDPANTVVCVLDNFDGNSLKVAELLVENGFKEAYAIKGGLRGPEGWQAVQENYLPPSVHVFPRKRKGARLAHTDASNDGTYGQQQGSEESSAPPSRFVVNTGDESKDVYENSNGSTTAAKHATRRPLSPYPNYPDLKPPSSPTPSKPTKAQALRRGATMALLRLQEQCSLLRISSSHHPNPLDAPRNPRKNQLLLPNAAKIANASEIPVPRTPTSASKGAVLAPKAAPWRDALVPVTAALASWPLPSLAAEGDGKVSLESIVVAIDDFNNRNPFFVAGVVFVWLVVIPLVQEYVFKKYKPVSAIDAFRKLRDVPEAQLLDIRRGKSVRFMAPPNLKLVDKSTVQVEFDEDDDKGFVKEVLARFPDPANTVVCVLDNFDGNSLKVAELLVENGFKEAYAIKGGLRGPEGWQAVQENYLPPSVHVFPRKRKGARLAHTDASNDGTYGKRGIICSSKQYPDLKPPSSPTPSKPTK >Sspon.01G0036030-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:12769013:12771491:-1 gene:Sspon.01G0036030-2C transcript:Sspon.01G0036030-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MANSRIGRYKMSNLSDPSKEDTSPEGSGTIQRTGAWSNTLNTLLQQASVYGVAAGYCLSASLLSIINKWAVMKFPYPGALTALQYFTSVAGVLLCGQLKLIEHDGLNLRTMWKFLPAAVMFYISIFTNSELLLHANVDTFIVFRSAVPIFVAIGETLYLHQPWPSLKTWLSLSTILGGSVIYVFTDNQFTVTAYTWAVAYLASMSIDFVYIKHVVMTIGLNTWGLVLYNNLEALMLFPLELLIMGEFDQMKVDSSKVSNWLSFDVVLPVALSCLFGLSISFFGFSCRRAISATGFTVLGIVNKLLTVVINLLIWDKHASFVGTIGLLICMSGGVLYQQSTTKPKAPKVEPKEENDEEQQKLLQMQGVQESNSTQK >Sspon.02G0004900-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:15194179:15200689:-1 gene:Sspon.02G0004900-1T transcript:Sspon.02G0004900-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQGVLSRAPATDAAAAAAMKAKRAAASPGEEEEGDGRSARGKRQQLLALGPATALASAAAEEGPEKRGLRLLSLLLRCAEAVAMDQLTEARELLPEIAELASPFGSSPERVAAYFGDALCARVLSSYLGAYSPLALRPLAAAQSRRVAVAFQAYNALSPLVKFSHFTANQAILQALDGEDCLHVIDLDIMQGLQWPGLFHILASRPRKPRSLRITGLGASLDVLEATGRRLADFAASLGLPFEFHPIEGKIGHVADAAALLGSRQQRDDEAIVVHWMHHCLYDVTGSDVGTVLLLRSLRPKLITIVEQDLGHSGDFLGRFVEALHYYSALFDALGDGAGAAEEESAERHAVERQLLGAEIRNIVAVGGPKRTGEVRVERWGDELRRAGFRPVSLAGSPATQARLLLGMYPWKGYTLVEEDACLKLGWKDLSLLTASAWEPTDDAAASAPTTTSA >Sspon.01G0045180-3P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:86368176:86368935:-1 gene:Sspon.01G0045180-3P transcript:Sspon.01G0045180-3P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEDGYEWKKYGQKFIKNIQKIRSYFRCRHRLCGAKKKVEWHPRDPSGDLRIVYEGAHQHGAPAPPGGQPQGGGGASDSNRYELGAQYFGGA >Sspon.08G0017910-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:3706984:3709531:-1 gene:Sspon.08G0017910-1B transcript:Sspon.08G0017910-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARQSALSLARDQAKVGALKRLTLSSSKTAGRNSSGRITSFHRGGGAKRLQRKVDVKRGTSSLGIVERIEYDPNRSSSIALVRWVQGVHFRRPKTLQEPSAKPPVLESVTADVSARFSLAALSGRVHKEKEASALYSSLGNGDIASVKSGGSLPRIALAGAKPTFYAQVRGNEEEKQTFSLSGIQKWAADDALWTQRMKRQAALSWQNDLKKKPLLQAKANRFSSLAAKSIGMSKGPKGKADCVPVSYILASHQCMPGSTVMNYDSSKPSKSTSSSPYSSANQFDIIDLNSKVGNCIPLANARIGTWVHDIECRPGQGGKMVRAAGTYAKVVQEPGAQCVLRLPSGAQKIVDSKCRATIGIVSNPSHGARKLRKAGHSRWLGRRPVVRGVAMNPVDHPHGGGEGRTKGGRPSVSPWGKPTKAGYRSPNVASQDGKG >Sspon.06G0013280-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:57390635:57396153:1 gene:Sspon.06G0013280-2B transcript:Sspon.06G0013280-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGGTLQINWHDQQPVLSLDFHPASRRLATAGADHDIKVGCPRLISSPLLHRHCLALADSRFAPSPFRRRRSVTGSGLQIWEVASDGSDDKLPTATFKYALVPNNTAHSSAVNVLRFSPSGEYLASGADGGGIILWKLHPVDGGEAWKIHKTLLFHHKDVLDLQWSHDSAFLVSASVDNTCIIWEASKGTVHQKLEGHLHYVQGVAWDPLGQYIASLSSDRTCKIYANKPQGKSKNVERLNFVCQHTLVKVEYQNHDESKAFLNTLPKSSDAKYLAVSSRDGYCTIIEFENEELGELHILPGSKEVAKGNLTPETKKPVSSDSMKVDNSASKLKMEASPVPVAVRAPLLPTRTSQVAEAVRSYIVIARTGELAEGNLACENKKPVTVDSMEVDVDDNKVKEATIPVAVEVTPPPVSTKNSASSPREVVMRRCLPSCCGVRGLANGVLLLTASWNLTSSSTDSLTTLAPQDLAWSPCGHGHDR >Sspon.05G0038680-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:5D:52556644:52557039:1 gene:Sspon.05G0038680-1D transcript:Sspon.05G0038680-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding QETLAISNKGEGNRGEAHLGLTVGSRSGVCWRARASSIEVGMAPAPSPIAPNPRESPSPIKDGDSGLTHGQKEEPRGSTPAYPMGQQGRALLARFYFEAGLHGPMALLAWVGSTTQWVDPARCHLDPFACT >Sspon.03G0013220-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:53583370:53599206:-1 gene:Sspon.03G0013220-2C transcript:Sspon.03G0013220-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASPLPMLAASRFLSLPPLLAPTCPRRTAPFVRAATQTLEAPEAPKPPRPSPRRSAVAEVKGAPDPIAALNRFEEVLQTQDCNIILRHCGDTRRWDDLSKVFEWMQEREMTNAASYSSYFKYLGLSRDPAKALQVYGAIKDRMMRVHVSVCNSVLGCLVKNGRLDSSFKLYDEMIREGLSPDPFTYSTLLSGCMKLKQGYTKAMGLINDMKSRGLQMDIVIYGTLLAICASHNYCEEAEVYFQKMKDEGHSPNLFHYSSLLNSYSENSDYGKAELLMKDLRSSGLTPNKVILTTLLKVYSKGGLFEKAKELLTELEASGFAQDEMPYCILIDGLVKGGKIQEAKILFNEMKEKGIKSALHRGGDHEESKQLVKEFESENASYDLDIFGRAIKRYIRKPDKKQLLLCLLDWMIGQGYSVDSRPDFQVPKQIIVLINFPMV >Sspon.02G0013480-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:49978837:49986358:-1 gene:Sspon.02G0013480-4D transcript:Sspon.02G0013480-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGRMGLLPRLLLRRHVHRRAKPSPPPPPPNPPRPPQKPEPVSIHGETWHDSYAWMGALSDAAAMRHMDVHMEAEEKYAEACLAACGADRLARKLQLEMASCLASDSCTPPVRWGPWLYYRRADEGKQYPVLCRRSAALHSEFVSYSDPSAGFDFTAGKRIEQKLVDYNKEAERFGGYSYEELSEVSPDHRFIAYTMYDKDKDSFTLMVRDLVTGTLYDKPCVDRVSNISWAMDGKALVYIVTNEERRPYSMIGSNKDDILMLEEHDENIFLNIRHTKDFRYITLNVFSDTHSKMLQEKVPTHLSDLHPIDLPLPSDMPDAVVDYNLLNGQWQIVQQQNMLHERTRALYGTSFAANMGKQSSDKADFSNDDFVGCAWNELSEYYACEYYDVPSKDGVLVPLTLVYSRKHKQDGNPGLLHGHGAYGEILDKRWRSELKTLLDRGWVIAYADVRGGGGYGKKWHQDGSRTKKMNSVYDFVSCGEFLLEKGIIQENKLAGWGYSAGGLLVASAINSRPDLFRAAVLKVPFLDVSNTLLHPILPLTAIDYEEFGFPVDHEEFLAIRKYSPYDNIQKDVPYPAVFVTSSFNTRFGVWEAAKWVAKVREFTQYDPERPVILNLTTDVVEESKYLQTKELALETAFLIKMGVEGVREGTLVTRRCVAQWEIESGGRAGAG >Sspon.05G0037640-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:18651211:18654226:-1 gene:Sspon.05G0037640-1D transcript:Sspon.05G0037640-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADVLLLCLICFLCCAAVALQVCTLLIEGGYAQEGGGAGGPAAADAEGVSLTDAAGLPELACSVWSTVFMTSHLSPANHGMENTKVKQTFLTARRTGSAIGVLSTELDIHGGSSGIRMFAIQLAKHLGIKRKNSAWIGRCLKELMSSSIEPKNWATTGKCLAYAWQHIVSTDARLKVSYVKSLLIVLRRAANQEVEHAYWSDSSSSSGHSSEEPFYLMRGIPTTAAATPF >Sspon.06G0005560-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:18757427:18761381:-1 gene:Sspon.06G0005560-1A transcript:Sspon.06G0005560-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRKPSGDYLSHTLTKSSVLSLLINRIWKLKANHLHIRKVTVMQLKVDASAVTTTQPVKVVVPAVKCSNKPFRREKKIEIVKTQQCGWGAIALETIGKDDFVIEFVGEVYSVLYLFSVPFARMDLTIELFIVPKLSHIPIIDDAMCEDRLLDMRQRRDQNFYMCKVGKDFVIDATFRGNACRFFNHSCQPNCRLEKWQVNGKTRLGVFASQTIKVGMPLTYNYREKDGSIRNYTTVV >Sspon.05G0005120-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:16057476:16059874:-1 gene:Sspon.05G0005120-1A transcript:Sspon.05G0005120-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to Heat stress transcription factor Spl7 (Heat shock transcription factor) (Heat shock factor RHSF10) [Source: Projected from Oryza sativa (Os04g0568700)] MASPAAGTPPFLTKTYAMVEDPTTDETISWNDTGTAFVVWRPAEFARDLLPKHFKHSNFSSFVRQLNTYGFKKVVADRWEFANDGFRRGEKHLLGGIQRRKGTGAVAAVPMSGIPTGIPISSPPTSSGGEPAVSSSPPRGSTAGVSGAVAELEEENARLRRENARLARELARARRLCDGVRHLLARYDQGRGGDEDLPDGDEGHGGGGEGPSGPKPMLFGVAIGAKRIRGADGDDAEDGEDGTAEENGEEHNDEEQDEDDQRHAMRERGIKAARRNETSDLDVLTLSEVATQIIFDGSSLRSFAHRCSRSSFTWSSP >Sspon.07G0006410-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:14076361:14079525:1 gene:Sspon.07G0006410-1T transcript:Sspon.07G0006410-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidylprolyl isomerase [Source:Projected from Arabidopsis thaliana (AT3G55520) UniProtKB/TrEMBL;Acc:A0A178VDC9] MDSIDRLARGIPHFVMMSDFVAALFWRHTTTRSVKTSLLGAKVMAETIDLSGDGGVLKTLVRKAKDDAIAPSESLPLVDVHYEGTLAETGEVFDTTHEDNSIFSFEIGQGAVIKAWDIALRTMKVGEVAKITCKSEYAYGAAGSPPEIPPNATLIFEVELVACRPRKGSSVGSVSDEKARLEELKKQRELAAATKEEEKKKREEAKAAAAARVQAKLDAKKGKGKGKGK >Sspon.03G0038840-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:8234158:8237245:-1 gene:Sspon.03G0038840-1C transcript:Sspon.03G0038840-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFCWSCFGLFQLVSAYSLSHNTIESAEICRLSYLPNQPIVRSLSRDVDERTEAIAVLLDLSDIPQVRQRIGRIKGCIVMLVTLRNAHESGTVDDAEKLLSILSSNPQNVLLMAEAGYFLPLIQYLKQGSDMNKVLMATAISKMFLSEHMKSSLGEDGAIEPLVDMFKYGNLEAKHSALGALRNLSSSLQNAEILINSGVTGPLLQLLFSVTSVLMTLREPASAILAAIAQSERILFHKDVAPQMLSLLNLSSPVIQLHLLRALNSISGHANAKRARRKIRQNGGVQLLLPFLTEKNVDIKIAALHLMFHLSKDSSQELAEQFRETHLDILVKIISSPTSGDEKAAAVGILSNLPATDKKVTEILMQANLIPTLITLFEANIAASVTPQRMWLLEGIAGVFIRFTVTWDRKLQSSAVGYGVVPCLVKLLSEGSVDAKSKAATSLAQLSQSTMALRKSKSPRWLCVPPSAESYCIVHSCQCTVKSTLCLVKAGAVNPLVQILEGEERGADGAVLEALGTLMQDEIWENGSRVIEKASGIHALLRIAEAGELSSQDKAIWMLERMFRLEAHRERYGEIAQALLIDLAQKGDPALKPIIGKILAHLELLQTQSSYF >Sspon.03G0025580-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:26785335:26787925:1 gene:Sspon.03G0025580-2C transcript:Sspon.03G0025580-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-conjugating enzyme E2 11 [Source:Projected from Arabidopsis thaliana (AT3G08690) UniProtKB/Swiss-Prot;Acc:P35134] MQGLIMASKRILKELKDLQKDPPTSCSAGPAGEDMFHWQATIMGPPDSPYAGGVFLVNIHFPPDYPFKPPKVSFKTKVFHPNINSNGSICLDILKEQWSPALTISKVLLSICSLLTDPNPDDPLVPEIAHMYKTDRPKYEATARSWTQKYAMG >Sspon.02G0057800-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2D:64370180:64370349:-1 gene:Sspon.02G0057800-1D transcript:Sspon.02G0057800-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MCRSMPDAAKQSARCAIVAELPKLRHGRPAVAGVERKSRRRVDDDRRLDFFRSPRA >Sspon.08G0001050-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:4011252:4013156:1 gene:Sspon.08G0001050-1A transcript:Sspon.08G0001050-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRHQAFNGGVGAAVTLAMATTISIQLAVLAAAGALRPTTTAVPAVYVFGDSLLDVGNNNYLPGADVPRANMPYYGVDFPGGARPTGRFSNGYNVADLVAKAMGFKRSPPAYLSLSRGSGRPHRLVARGIGGVNYASGGAGILDSTFAGKNIPLSKQVRNFDATKAQIVLKLGATTAKHLLSKSLFLIAIGTNDMAAFATSSANNGQMQSHAVVAAFYSDLISNYSATITGLYGMGARKFAVINVGRIGCAPIERLQTSTGACDDGADALAAGFDDALRSLLSSLAAGDHRLDGLTYSLGDLYGLMQAIIADPPAAGFADVDSACCGGGRLGAQSVCGQPNSTLCGDRRRHLFWDYGHPTQRGAEVIVSAFYDGPEQFTAPVNFEQL >Sspon.03G0035270-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3B:79675912:79677217:1 gene:Sspon.03G0035270-1B transcript:Sspon.03G0035270-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPLVDQAASKEFLATSGLDAGRRPWVMRSTGARANGCSCISWPCFNSAVILCILLYASRFPMAVPYGTAGFFRVCGAGNTGALARLDNQVHSPCSSLPDHAICCDRSDENADVCFMSGDVRTDAASLSLLLFPLRALGATAGAEEERIRPYTHKWDGKVMGDIQEVRLRVARPGEADAHRCDVRHDAPHLRRRVVLAVLSYSPRWAGAYREVISGLSGYPFIDLLRDTRTHCFPEAIVGTRFHGYLAVDPARLRDNKTIVDFHRFLAGVYDPLSQSQGSGEPTERARQLHLRRPRLGIVSRRGTRMIENQAVVVELAASVGFDVDILETGNDNAMAPPPPLSAVYAFLFLRPDSGALAQITPLGVTQLSRNLFGTPAVRMGLHYE >Sspon.06G0005170-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6A:17277179:17277385:-1 gene:Sspon.06G0005170-1A transcript:Sspon.06G0005170-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNWQCHCQQQVVEDGYEFFADRQLVTIFSAPNYCGEFNNAGALMNVDASLLCSFQILKPYRGKAQTE >Sspon.01G0046280-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:87304051:87310927:-1 gene:Sspon.01G0046280-2D transcript:Sspon.01G0046280-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFNKIIKRGNRKGARGDSAEPAARPAAPSSSSGSGGGGGAGGAAPVTVNHASRATAPSPSSPTSPHVAPSVLATNQAAGAASPPLLEPLPLLRDVAAADRPSLLLRKLRLVAAVFDLSDSLKHPREKEAKRQALLELVDYVQAPAQAAGANAQTRLPDHVQEALVAAISANIFRPLPPALHESAAAIDPGAAPDEEEEPYLDPSWPHLQLVYDLLLRYVVSPDTDTKVAKRYVDHAFVLRLLDLFDSEDPREREYLKTVLHRIYGKFMVHRPFIRKAINNVFYRFIFETQRHNGIGELLEILGSIINGFALPMKEEHKLFLARALIPLHKPKSVAIYHQQLSYCIVQFVEKDYKLADTVIRGLLKYWPVTNCQKEVLFLGELEEVLEATQPAEFQRCMVPLFKQIGRCLNSSHFQVAERALFLWNNDHIVSLIAQNRGVIFPIIFEALERNIQSHWNQAVHGLTANVRKMFLDMDSELFEECQHQYMEKQAKAKEMQEQRESAWRQLEAVVAAKAAGDDMVLVN >Sspon.01G0039070-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:19896200:19898468:1 gene:Sspon.01G0039070-3D transcript:Sspon.01G0039070-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutathione S-transferase L2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G55040) UniProtKB/Swiss-Prot;Acc:Q9M2W2] MASALPVSPKEALPSPLTSASEPPPLFDGTTRLYVAYHCPFAQRAWIARNYKGLQDKIKIVAIDLADRPAWYKEKVYPENKVPSLEHNNQVKGESLDLVKYFDSNFEGPSLLPEDPAKKQVAEELLAYTDAFNKALYSSLLSKEDVSEETVAALDKIEDALGKFNDGPFFLGQFSLVDIAYVPFIERFQIFYSNIKNYDITKGRPNLQKFIEEVNKIDAYTQTKLDPQFLLEQTKKRLGIA >Sspon.04G0028640-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4C:69428988:69432106:-1 gene:Sspon.04G0028640-2C transcript:Sspon.04G0028640-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLRCTTAHHSLLGSPTCLARPRRWACPVVRAAVAVEAGAEAKVSLIRIGTRGSPLALAQAHETRDKLKAAHSELAEEGAIEIVIIKTTGDMILDKPLADIGGKGLFTKEIDDALLQGRIDIAVHSMKDVPTYLPEGTILPCNLPREDVRDAFICLTANSLAELPAGSVVGSASLRRQSQILYRYPSLKVVNFRGNVQTRLRKLKEGDVSATLLALAGLRRLNMAENATAVLSVEEMLPAVAQGAIGIACRSNDDKMMEYLSSLNHEDTRLAVACEREFLAVLDGNCRTPIAAYAYRDKDGNCSFRGLLASPDGSKVFETTRSGPYSFDDMVELGKDAGHELKAKAGPGFFDSLQ >Sspon.05G0029460-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:73853479:73865249:1 gene:Sspon.05G0029460-1P transcript:Sspon.05G0029460-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGGAEGAASGAARGAAVVHHVMERVRWQYPSGLRFKGNVFSQRLGGYVKWSDLAALEEKVWEWSKDLPVLWNTGIGPAASFVPTLTRLLLERTKDIYGPYDQITHVRLPPAEGASSSAAQHRLAFEVLTEILMPEDSKHLQELKQLAAEESYFGYGKISDNLMLQAFGWTYIDLFASDESVQRDPIIYRQPHRSPILERIREALEGKRHLLLVENLHVPVSLDVLVLILGKRWPSISRNRRWLISVTSKDVCEKSRDAKGAFLGIHLTREYYSAPPFGDLHGRDWAVLINEALRDAARSIYITLQDRGDEKFWLHVAQHCLYYAILYHPLQVQGEGGAGHEATNTSPVTSDELVRCWVAENLIFSTAIPTRIPAYTGQKQSNYYRSAYEAGKVVMQALQEYSLLPIYSVSTTTVSTSSTQGVITGVSKLADNVPRLGQDELNTYLEYNQLRWVSFMNDDGRHVSWGWYPRKTRHVKMTSLILRGCSNMSVFHLDKLLTPHLHVLDLSYTPINSLPPSFSRLLNLYLLSLRGCSQLQTLSPPPSTSEKQTSSPLAHLENLEVLDMNAVPLLELTQQDGRNKRNLHYLDLSGSKVTTLPSEFFCEMSSLEELIFGNCTNLRELPPSMAQLSNLLVLHVEGTQITSFPEHMFQKMQSLHTLKLINNVLLMSLPMSLSEAKCLKLIHISNCISLRSFPSLMSKAKGLKELHISNCISLRLHALWELLSFLEDLYIQTWEGVEDIKINGHPNLRIFSLSGPWVRCLSLRGCNKLKYQKTCGDENPPQGKTTNTAQFNINDPRMFHSFNADAVNKLVGEGQFLQSFNVQVIPCSVRGKEPRSNQVELRTRMQRHSTYGDVQYSEAASIVSMMKLQTKQRHVEISAVNQYPNGLRHILSVTNSIFITDDAFVRCLTDLNYYLNELKECQLQRCHQMTVVFLMRSNGSEALPHLQILQVFDLNNLLSLVEPSVLQYSELMTLKLLKYIHIEHCPRLEKLFPCSLSLPALETLVILFCSNLKTMFYKQTGYNSEVAPSPLPKIERIYLQELPQLLHIHDDVTFRFETPKWEKIFVRGCQSFHHLPLLKMQHRRSKVEVSGEREWWDRLQLKLPEQSHYYMHIPPPEFVSRRKHIIRSYLR >Sspon.03G0012160-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:43808707:43811670:-1 gene:Sspon.03G0012160-2B transcript:Sspon.03G0012160-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPGKTFETRDPRTGDVLAHVAEADKADVDLAVKSARDAFEHGKWPRMSGYERGRIMSKLADLVEQHTEELAALDGADAGKLVLLGKIIDMPAATQTLRYYAGAADKIHGEVLRVSGKYQGYTLKEPVGVVGVIIPWNFPTMMFFLKVSPALAAGCTVVVKPAEQTPLSALYYAHLAKLAGVPDGVINVVPGFGPTAGAALTSHMDVDSVAFTGSTEVGRLIMESAARSNLKMVSLELGGKSPLIVFDDADVDMAVNLSRLAIFYNKGEVCVAGSRVYVQEGIYDEFVKKAVEAAQSWKVGDPFDVTTNMGPQVDKDQFERVLKYIEHGKSEGATLLTGGKPAADKGYYIEPTIFVDVTEDMKIAQDEIFGPVMSLMKFRTVDEAIEKANCTRYGLAAGIVTKSLDIANRVSRSVRAGTVWVNCYYAFDPDAPFGGYKMSGFGRDQGLAAMEKYLQVKSVITALPDSPWY >Sspon.05G0012740-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:39977533:39984449:1 gene:Sspon.05G0012740-1A transcript:Sspon.05G0012740-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEGEITGPLLASGDGAPAPEAVPPWREQVTVRGLAVSAVLGVLFCLITHKLNLTVGIIPSLNVAAGLLGYFLVRTWTAALERFGVVSTPFTKQENTVIQTCVVACYGLAFSDYPGNRAVDVKNPSLGWMIGFMFVVSFLGLFSLVALRKVMVIDYKLTYPSGTATAMLINSFHTTTGAELADKQVRCLGKYLSISFIWNCFKWFFSGVGDSCGFDNFPSLGLAAFENTFYFDFSPTYIGCGLICPHIVNCSTLLGAIISWGFLWPYISTKAGDWYPANLGSNDFKGLYGYKVFISVSVILGDGLYNLIKIIYATIKEIMNARAKQGRLPLVQVQDGDEGSKLSAEEKHLNETFIKDSIPPWLAGSGYIGLAAISTATVPMIFPQIKWYLVLSAYVVAPLLAFCNSYGTGLTDWNLASTYGKIGLFIFASWVGQNGGVIAGLAACGVMMSIVSTAADLMQDFKTGYLTLSSPRSMFVSQLIGTALGCVIAPLTFWLYWSAFDIGNPDGAFKAPYAVIFREMSILGVEGFSALPQHCLAICSFFFIASLVINLLRDITPKNVSRFIPIPMAMAIPFYIGAYFAIDMFVGTVILFVWERMNRKECDDFSGAVASGLICGDGIWTVPSAILSILRIDPPICMYFKPSLSS >Sspon.01G0012010-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:11790915:11800823:1 gene:Sspon.01G0012010-2P transcript:Sspon.01G0012010-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEFARAVEDGLKLSKRLVLPGGVPPPRPPAGMDRTVSAAAASGPDPRLLPTAPMAYAVVTDPAAVDTPDVPSYQPYVYGHLDPPALIPLQMKEVDLAVDCALDAAHVTLRARWWLHCITRSRECDVRLVVPLAEQGSILGAEVTIGRRSYNTQVIEVEDTLENHAKIEIGGLLKPHLFFLTIPQVEGGADICATFRWSQKLLYDSGCFSVEIPFRFPYFVNPLPKVFMKKEKIQLTVNSGFSKEVLLQGTSHPLKEKSRQGDKLFFHHEAIVENWSCKDFNFSYSVYSGDLCGGMLVQPATLRDYDERDMFCIFLLPGSGNRKVFRKAVVFIVDTSGSMQGRPLENVKRAVSTALSELVEGDYFNIITFNDELHSFSSCLEQVNDKAIASATDWMNENFVAEGGTDVMHPLSEAMALLSSVHDTLPQIYLITDGSVDDEHNICQTAKTELTNRGSKSPRISTFGLGSYCNHYFLRILASIGKGHYDAALETASIENRILKWFRRASSTIVANISIDAMTHLDEFEVDSEYIPDLSANSPLCVSGKYQGKLPDAVKAKGYLADMKEISIELKVQQLKEIPLDKVLVTQQIDLMTAKAWLSADKQLERKVIKLSIQNGVPSEYTDMILLQINLDKVDGTQKVKQKLKGQKGRDEQRILLHGLKLGFGNKDATRENLITGFGDMNPAEKLVMLQKGNGCCSRVADCLCCMCCIKACNRMNDQCAILMAQICAALACLGCYECCAEVCCGGSES >Sspon.01G0038310-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:29644709:29648780:1 gene:Sspon.01G0038310-1B transcript:Sspon.01G0038310-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKHKASPPAAVRRRIGGAGASAIRWALRVATSIVAWTLLLHLFTFLGIPRPPLPIARPSCLGGRNNSTAADSVVAAGEAGHLAPPALPPRRLYKSNGYLLVSCNGGLNQMRAAICDMVTVARYLNLTMVIPELDKQSFWADPSDFGDIFDVNHFIDSLRNEVKIVKELPQKFSEKVPLSMQSISWSSEKYYLRQVEDQLALILTKLDDQSKGINETNCRILE >Sspon.06G0016440-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:89037828:89042014:1 gene:Sspon.06G0016440-1A transcript:Sspon.06G0016440-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MREGGPHRLAAGSLSWVAANFDFGDKTSIPERFGDDVAPAADDDVAAASGEELILCACKNNNGDVTPM >Sspon.04G0014090-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:51980747:51992503:1 gene:Sspon.04G0014090-1A transcript:Sspon.04G0014090-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGGAGNGLNGAVQAKGSGGDGSAKPLPPCCVKARAATPESEAKCHATVVSGWFTEPRLRCGKASKVQYYNNPMWPGEANSLKVEKILYQGKSPYQEVLVFESSTYGKVLVLDGIVQLTDKDECAYQEMITHLPLCSIPSPKKVLVIGGGDGGVLREISRHSSVESIDICEIDQLVIDVCKDFFPHLSVGFEDPRVQLHVGDAVEFLRNASEGTYDAIIVDSSDPIGPAQELVEKPFFETIARALRPGGVLCNQAESMWLHTHLIQDMLSICCETFKGSVRYAWTSVPTYPSGVIGFLLCAKEGPSVNFMTPINPIEKVEGATKAGREIRFYNSEMHRAAFVLPAFAKRELEAYCASTETEQAEETAATPLKLTLASKSEILTAS >Sspon.08G0006070-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:16356805:16358727:1 gene:Sspon.08G0006070-2D transcript:Sspon.08G0006070-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAFLIKTAKYLATPGKGILASDESTGTIGKRLSSINLENVESNRQALRELLFTAPGVFDYLSGVILFEETLYQKTSDGTPFVDVIRAGGAVPGIKVDKGTVEIAGTNGETTAQGLDSLGARCAKYYEAGARFAKWRAVLKVGPAEPSELAVRQNAEGLARYALICQEFGLVPIVEPEIITDGGHDIKTCAAVTERVLAAVYKSLNDHKVLLEGTLLKTNMVTPAEYTVAALRRTVPPAVPGVVFLSGGQSEEEATQNLDAMNKLEVLKPWTLSFSFGRALQQSTLKKWLGKKENVAAAQATFLVRCKANSEAGLGKYTGSGAGDAAASESLYVKGYKY >Sspon.02G0022520-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:77239141:77250520:1 gene:Sspon.02G0022520-3C transcript:Sspon.02G0022520-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSTAAAAATAATAAAAAPVLLVTNDDGIDAPGLRFLVDQLVAAGRYRVLVCAPDTDKSGVSHSISWRTALRCKRVDITGATAFGASGTPADCASLGISGKLFDGLVPDLVLSGINIGNNCGYHVIYSGTVAGAREAFLYGIPAISMSYDWVAGRSSVNDLKVSAEVCMPLINAIVTEIKNGTYPQGSFLNVDVPTDAAHHKGYKITKQGTHMARISWEQTVYKKPAVESYQTANMDVDGEKDSELVTSENDLLFKRVIVGRSSDGVEGEEMDHNSLVDGYITVTPLGALSRTEPDAIPYFKACVSRL >Sspon.07G0035920-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7D:17839336:17839838:-1 gene:Sspon.07G0035920-1D transcript:Sspon.07G0035920-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARVKTTPRKKTGPQGVPRHQLAARVDGASSSRNPNPDSESEVARLTSEVERLKRNMRFWKQFQNESLEKETEPGLVFAVEDDVGAVIVPGVEDNDEDEPMMESGDDASHVTGASAPEVEDGVIVISDDDEE >Sspon.03G0003590-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:9241435:9247311:1 gene:Sspon.03G0003590-1A transcript:Sspon.03G0003590-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPHAPSRTPGAFRFKPHLPTKPPLLTSSSTPAPAPPRPRPASASTRGRLCAAAAVTRRDLLVLVPSLVAASTVLQSLPLAASAAAGDDKPAPPPPAQAQAPAAPTSPPPPAEEPALSRVYDATVIGEPQAVGKDARRRVWEKLMAARVVYLGEAELVPDRDDRVLELEIVRKLAAACAEAGRSISLALEAFPCDLQEQLNQFMDGRIDGNSLRLYTSHWAPERWQEYEPLLNYCRDNGIKLVACGTPLEVVRTVQAEGIRGLSKAQRKLYAPPAGSGFISGFTSISGRSLIDKISSTRGSPFGPSSYLSAQARVVDDYTMSQTIMKEITNGDPSGMFVVVTGASHVMYGPRGIGVPARISKKMQKKKQVVVLLDPERQSIRREGEIPVADFLWYSAAKPCSRNCFDRAEIARVMNAAGRRREALPQDLQKGIDLGVVSPEILQNFFDLEKYPVMAELIHRFQGFRERLLADPKFLHRLAIEEGISITTTLLAQYEKRKGRFFEEIDYVLTDTIRGSVVDFFTVWLPAPTISLLSFADDGSGESVELLKGILGSVPDNAFQKGIVGQNWNINQRFASVLMGGLKLAGVGFISSIGAGVASMSYMVPILKPSASMEVGRKRTPIWKAATVYSCFLGTSANLRYQVIAGLIEHRLGEDLMAYYNQPLLASLVSFVSRTVNSYWGTQQWIDLARATGVQSTKKELPSPEVSSASEMPLLECGTTEVQNVDDSSNNQSNDLT >Sspon.01G0009160-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:25801200:25805199:-1 gene:Sspon.01G0009160-1A transcript:Sspon.01G0009160-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAPSTAAASTLLLPVLLLIATATHCTAADSSSSPDAAALLNLSAAVADPSGYLSTHWTADTALCSWPHVSCDATDTRVISLDLSGLNLSGPIPAAALSSLPHLQSLNLSNNILNSTAFPNEIIASLKSLRVLDLYNNNLTGPLPAALPNLTDLVHVHLGGNFFSGSIPRSYGQWSRIRYLALSGNELTGEIPEELGNLTTLRELYLGYYNNFTGGIPPELGRLRALVRLDMANCGISGEIPPEVANLTSLDTLFLQINALSGRLPTEIGAMGALKSLDLSNNLFVGEIPASFASLKNLTLLNLFRNRLAGEIPEFIGDLPSLEVLQLWENNFTGGIPTNLGVAATRLKIVDVSTNKLTGVLPSKLCAGERLETFIALGNSLFGDIPDGLAGCPSLTRIRLGENFLNGTIPAKLFTLPNLTQVELHDNLLSGELSLDGGKVSSSIGELSLYSNQLTGQVPTGIGGLSGLQKLLLAGNRMSGELPPAVGKLQQLSKADLSGNLISGAVPPAIGRCRLLTFLDISSNKLSGSIPPELASLRILNYLNVSHNALEGEIPPAIAGMQSLTAVDFSYNNLSGEVPSTGQFAYFNATSFAGNAGLCGAFLSPCRSHGVATSGFGSLSSTSKLLLVLGLLALSIIFAAAAVLKARSLKRSAEARAWRLTAFQRLDFAVDDVLDCLKEENVIGKGGSGIVYKGAMPGGAVVAVKRLPAIGRAGAAHDDYGFSAEIQTLGRIRHRHIVRLLGFAANRETNLLVYEYMPNGSLGEVLHGKKGGHLQWATRFKIAVEAAKGLCYLHHDCSPPILHRDVKSNNILLDADFEAHVADFGLAKFLRGNAGGSECMSAIAGSYGYIAPEYAYTLKVDEKSDVYSFGVVLLELITGRKPVGEFGDGVDIVQWVRMVTGSSKEGVMKIADPRLSTVPLYELTHVFYVAMLCRPTMREVVQILADMPGSTSTSIDVPLVIEPKEDGSPGEQQQQGPHESPPQQDLLSI >Sspon.07G0000210-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:417980:419344:1 gene:Sspon.07G0000210-2B transcript:Sspon.07G0000210-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKTTTTTSRRRKRGGGDEGDAADGQASNKRRPATSVLTSLDVNDAPRPELPLFQLSRPRQQAGQDDDDEEVTGGGGGGAGSSSSSAPAAAVVGNGHGPHQQRRLWVKDRSRAWWDQCSSADYPEADFRAAFRMGRATFAMLCDALGAAVAKEDTALRAAIPVRQRVAVCVWRLATGEPLRLVSKRFGLGISTCHKLVLEVCAAIRGLLMPRFLRWPDAAAAEAFKARFQAESGIPGLVGAIYTTHIPIIAPKTSVAAYFNRRHTERNHKTSYSITLQGVVGPDGAFTDVCIGWPGSMPDDQVLDRSALQQRAAAGMMAGSWVVGGASFPLTDWVLTPYAQANLTWAQHAFNEKVAEVRRVAVDAFARLKGRWACLQKRTEVKLQDLPVVLGACCVLHNICESRGEGMDPALRCDIADDETVPENPVRSDGATKARDDIAHNLLHSGRAGTKFF >Sspon.08G0015760-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:63025725:63028922:1 gene:Sspon.08G0015760-1A transcript:Sspon.08G0015760-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nudix hydrolase 19, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G20070) UniProtKB/Swiss-Prot;Acc:Q94A82] MAIHLRAHAFAANPLRGVSAATTAVSPSAAAEVLRSLLDPSSASASAAASPQSYLSKILPFRRGRPLARSTDPPPASAASAAPAWCLAWLPPSRVPGFAPDAFVFLGAHVEGGGKEAAAYWAVDVSEGEGATVGGPADGDGPSAFVDLRTLMVATDWSDKDAMGELAIAGHARALLEWHSTAKFCGACGAKAVPTEAGRRKQCSNESCKKRIYPRIDPVVIMLVIDKENDRALLSRQSRFVPRMWSCLAGFIEPGESLEEAVRRETWEETGIEVGQVVYHSSQPWPVGPNTMPCQLMMGFFAYAKSLEIKVDKQELEDAQWHSREDIKKALTFAEYEKAQRTNAAKVNQICKGAERGQSLSDDFKVESGEPAPMFVPGPFAIAHHLISAWAFEGAPKLPIVNG >Sspon.03G0035350-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:79973179:79974490:-1 gene:Sspon.03G0035350-1B transcript:Sspon.03G0035350-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGHGHPYSPAELELPGFVPQRLSQGQILAPFVGTAVFSVLGAWLISGRCGGGRLSKTDRLLMGWWVFTALTHIVFETPFLFTPDFLSKENPNYFDEMFKEYSKGDSRYASRNTAVLALEVITIGYAIASRKSYSHILQFAVSLGQLYGCLLYFITAYLDGFNFWVSPFYFWSYFIGSNSSWVIIPTLIATRSWKKISAAFQAEKIKTR >Sspon.04G0017530-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:72223212:72223880:-1 gene:Sspon.04G0017530-3D transcript:Sspon.04G0017530-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRYCKLLLALLVAATAASPLALAYDPSPLQDFCVADTVSSVFVNGLVCKDPAQVSAADFAFSGLQNAGDTSNAFGSKVTLVDVSALPGLNSLGVAMARLDIAPGGLNPPHTHPRATEVLTVVQGQMYVGFLATDGTLFAKVMSRGDVFVFPKGLVHFEFNCGASPAVGIAGLSSQNPGLIRVADSLFGATPAVTDEVLAKAFRIDAATVQRIKAQFATKK >Sspon.02G0033390-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:7446041:7458373:-1 gene:Sspon.02G0033390-2C transcript:Sspon.02G0033390-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRYGTPLEYLRIVVVGHQPVRPSIVISPEGEHGGVSILESDDSAITMELELQWDGNPNIVLDIQTTLGISLPVQVKNIGFTGVLRLVFKPLVAEFPCFGAVCCSLREKSKVEFTLKVIGGEMTAIPGISDAIEGTIRDTIEDTLTWPNRIIVPIVPGDYSDLELKPTGVLEVKLVEARDLKNKDLVGKSDPFAVLYIRPLREKTKKSKTINNDLNPIWNEHYEFVVEDISTQHLTVKIYDDEGLQASEILGCARVALADLQPGKVKDLWLDLVKDLEIQRDKKPRGQVHLELLYYPYAKHEGVPNPFANQIQLTSLEKVLKTESNGYDVNQRKNVIMRGVLSVTVISAEDLPPMDIGGKADPFVVLYLRKGETKKKTRVVTDTLNPIWNQTFDFMVEDALHDLLMVEDYIGRCILTLTRVILEGEFQDTFVLQGAKSGKLNLHFKWTPQPIYRDRDRD >Sspon.01G0033240-2D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1D:114086489:114087721:-1 gene:Sspon.01G0033240-2D transcript:Sspon.01G0033240-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ELHKIPIYYASPLAKRCMAVYQTYINSMNERIRNQFAQSNPFHFKHIESLNSIDNFHDVGPSVVMASPGGLQSGLSRQLFDKWCSDKKNACVIPGYVVEGTLAKTIINEPREVTLANGLTAPLHMSVHYISFSAHADFPQTSNFLDELRPPNIILVHGEANEMSRLKQKLIAQFDGTDTKIVSPKNCQSAEMYFTCEKMAKTIGRLAEKVAEGGESSSGLLVKKGFTYQIMAPEDLRVFTQLSTANITQRIAVPYSGSFEVIRYRLKQIYESVESATEESDVPALIVHERVTVRLDSESYVTLQWSSDPISDMVSDSVVAMILNIGREGPKVVPVEEAVKTKEETEKVAQKVVYALMVSLFGDVKVAEDGKFVISVDGTVAHLDGRSGDVECENATLKERIKMAFRRIEGA >Sspon.02G0002560-3C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:8562116:8562858:-1 gene:Sspon.02G0002560-3C transcript:Sspon.02G0002560-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MCMSWPAVGAAQLGARGRGTLGSDADADADALPTHALLGLGVLASSGHVPTADPGPPPALLRLYKPSPSGGASSGSQLIAFTTTRTPTSPAFFLLSDIFGRARCRPPSAVDRHGVLACRARHEERLEGSRTHKQVEFWICLILTFFGYLPGIIYAVWAITK >Sspon.02G0028260-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:103202817:103204659:-1 gene:Sspon.02G0028260-1A transcript:Sspon.02G0028260-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDPSFARGRNLVTYAVGLLMESKSRYGYISGVLILGRVTLVRGRSGLMKQLLTGSASFSHVVQRLLETFGPRSQYSTEIRARAARIVENVAGSIRLDQFPEGMMIECISSLLDTFEEHSWRPEGYERDTDLPKEYERYWLLDEEEQWYSYRVDAIPKATAADSKTPESDSGNPLQGYKGLVVQGLRILQKLAANENNYRVISNSEGLLSKTMAPLISEQVHGDHHDEWSGIAAESLEFMNRFMATLGGGTETTKMRSEISSNIQSIFRTLGCHKCRVLLKRQAAQVLLQLSPDTPPSIVPRRSSSIIFAWTLLDIFLLPDRWKRGSTHLAKKSSDIVRLAGEKMQAMISSKTEASGTSMLPSVVGDVIGSLARTVAGAENKAYRIHAAMILGHLCLDYTKEDENLQELKKAMSSVMPEVLKEIVHWLTTEEIQVVTEANNGGKVLLIEGPDLEQGGVPHGDDNGEESSSTPYYQQKGEQHQGIVELQEALLNLCWAINFWWIDKDQDLAHQFNDIAAKICSGQGMPHKTFRELVGEAQDKLREKGFRIGGWTYQLISQVKQKPE >Sspon.03G0025180-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:60713067:60720439:1 gene:Sspon.03G0025180-3D transcript:Sspon.03G0025180-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVKVISRSTDEFTRERSQDLQKVFRNYDPSLRSQEKAVEYTRALNAAKLEKIFAKPFVGAMNGHIDAVSCMAKNPSHLKAIFSGSMDGDIRLWDIAASSPILQPSAVYTWKHAFWGADHQWDGNLFATVGAQVDIWDPDRSEPINSFEWGKDTVLSVRFDPGDCNILITSSRMDIDYSPTGREFVTGSYDRTVRIFNYLGDHSREIYHTKRMQRVFCVKYTYDGTYLVSGSDDTNLRLWKSKASEQLGVLLPRERKKQEYQDALKERYKHLPEVKRIVRHRHLPKPIYKAANLRRTMIEAENRKEERRRKHSAPGSMPVQPFRKRRIIKEVE >Sspon.02G0029550-2D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2D:97487928:97488419:-1 gene:Sspon.02G0029550-2D transcript:Sspon.02G0029550-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding QVHELHRLYRIQRELMSDLTRDAPALTMTTRRRSKQPRRALNLQLPADEYIVSADEDEDAAGGGTELELTLAIGGRCSSAAGRRKNHRRRQRDNAGSGGPASPFGSDCSGASVLSSSPPSSAEYYSDDGPAPPAVFHAPPPPCQRAVAFDLGEGMMVRQHAPWL >Sspon.02G0056450-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2D:26851596:26851997:-1 gene:Sspon.02G0056450-1D transcript:Sspon.02G0056450-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding QPKVPLTLSPDPIAKALADLAQQMVSLWGHLEALESRPSATTTTMLPVFPYGLPAHPLSFSIGSPPLFPVRWHPVGRRHGSRAGAHHVAPLHFAVDCRGGASAGHYCGSTTFAAFSVMRMLTRDGHGDNPDRE >Sspon.03G0019880-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:62284452:62285836:-1 gene:Sspon.03G0019880-1P transcript:Sspon.03G0019880-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MIWSNILSLYTKFNIYNKNCRWPLNLNANITTSTLMLVSISVAASLLLPYIYVLVWRRQSLNFFLSRSTSNTTERNIEALILSHGSLAPKRYKHSEVTKITSSLNNKLGEGGYGMVFEGKLDDGRLVAVKLLHGSKGDGEEFVNEVMSIGRTSHINIVSLYGFCLEGPKRALIYEYMPNGSLDKYIYLENPKAILGWDKLYTIAIGIARGLEYLHHSCNTRIVHFDIKPQNILLDQDFNPKIADFGLAKFCRSKESKLSMTGARGTIGFIAPEVHSRTFGVVSTKSDVYSYGMMLLEMVGGRKNVKSLAQESSEKYFPHWIYDHFGQDGGLQACEATCENEGIAKKMSVIGMWCIQILPIHRPTITKVLEMFQRGLDELDMPPRQNFSQILEDQAYNFNAESMSTSTSTKTQLSSEVLKMKEISI >Sspon.02G0052590-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:94436792:94439999:1 gene:Sspon.02G0052590-1C transcript:Sspon.02G0052590-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKERTCEVDGGKEMRAGDCYHGYLMQQLCGLCLQGIDITASSKPVVVICYHPFTVLFGCDNSYVTAGSKFEPTLLSM >Sspon.03G0042630-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3C:71011759:71014958:-1 gene:Sspon.03G0042630-1C transcript:Sspon.03G0042630-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding QERQEGKKEKKMTFKKKKKRSGYVVTWDSDCTNDSDDDDSSDDDKKSIKKALASIVIHNKSILFDTPSTCLMAKPTNVKYDESDDEYESDDCRSDEEDYYSKDELIEISKEHLSTKKTAFAPHKTSFVKNNACKYKVKGLGKIAISNDLNISNVLLVESLNFNLLSVAQVSDLGFKCIFGIDDIEIISVDGSNLIFKGFRYGNLYLIDFDASEAKLSICLLTKSSMGWLWYRRLGHVGMKQLNKYTWVAFLVDKSDVFATFKTFIKKVFGCKCYILKNGTRLSKFEKKSDECFLVGYSTTSKAYRVWNLASGTLEKVYDVEFDETNDSHEEDENLDDVRGTQLANAMKKMDVGDIRPREVIEVEDDKDQVLSKSNVQASDSHDQQVASTSSPPNDKSNVSNQVQVLQPTNVARDHPLDSIIGDISRGVQTRSRLTSFCEHFSFMSSIELKKIDEALMDVDWVNDMHEELNNFTRNQVEGLDFGETYAPVARLEAIRILLDYAYAHNIKLYQMDVKSAILNGYINELVYVEQPPSFEDENKPNHV >Sspon.04G0029480-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4B:72333912:72336600:1 gene:Sspon.04G0029480-1B transcript:Sspon.04G0029480-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRPPLSPPHPSSSTSSPSLTRATPHAELRPSADLPLLPRWPASPPALSSAPHRPGSASPAPTSAPVASVLQCRAPPPRRLSSSKPASAPAAFARTLAATPSGGGGDLAGDWRWWLLSPQPGAMAIACPPGGGGCALAHNRETGGARLDPARSHSQLGMGPLGQHDVLSSLGLKPPPPSSSPAAARYYCNQLHAVVSSAAGRGGHEYEAAASGATNPMDARSSSSHGGGGAAAAQPPPYPFPAPPQPGCCPPAPPTAGAGQGRAVAAGGPGATAFTHLGPSAFRAPPPPWPRGPGPLFLHQLVLIGSPTRAPLSTPRPMRVYFLLSVLPHPSCPSSIMVANDTCIPVSSVGTASSHGSFHIPDVLVAPGIVHNLHGRVRAAALVWERERDAADALARQIAEAEQLLVPPASRDVGATSSASPRRPISHTTVIWHDPADPLVAQLHYQAGGGVQNIRLLVPVVLEPESPSYARWRDLVVLTLRRYALDDHVLLDAPGDLSVGEFCHRMKAMADSLGDLGWPVEDRILVLNVLRGLSDRYAHLRTWITRQRPFPTFLEVRDDLVMEELTQGLQPGSTASPRASSTALAATPPRPSAPPPSALLGPPSGPSGGGGGRGGCRRRGGGRGGGRGAPTQAPAPGGPQGGGTMALFPEPVVWAHLHVALPRHRDRLASTGGHAHRSSSCWSSTRRLPCYAAGYAVGSSLRTFRTSTRGLWLGPGGPGSLVRHHRPDTSGRTRVDR >Sspon.08G0009640-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:37181936:37185311:-1 gene:Sspon.08G0009640-4D transcript:Sspon.08G0009640-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALASNNKVVASSNSPMASGGAQSHSHSPTVAGAVADEALGSNNKKPAASKPPPSGSSTEYQLRKYLLLLATLVATVTYVAGLNLPGGAWQEDTDDGWHHAGDPILQYAHLHRYLTFYYCNATAFAASLVVSLLLLVLDGKNTGWWEALLRVVMVLDLLGLMGAYAAGSCRDKFTTIYSALLVCAVFAYVVVAFILYLFSSDGGGLEILLHSRKQRLMVDAGTVFRDLKNRKRLTGAADAEKQAGGVSVTATYERQELHEVLMLLATFAVTITYVAGLNPPGGFWGDTKDGHQMSDPVLQEHYSSRYQAFYVCNTTAFVASLLIIILLVDKKLTTKLSIRFVALYGLTITALLGLVGAYAAGSCRQLDDTTYVICLIAGVLAYIFLLVAVAGCSCFRTVGINHDEGVGEAVEMDPSKKAVEKDPSKEAVEKAKQSLVMLLATLVVSITYSAGLDPPGGLWPDTRDGHRNGDPILLTTHPTRYKVFFYSNSAAFVASLVVILMVQSRFLLKGHTLEAAMILDLFGLISAYAAGSCRDETTSIYVVALAGMVLVYVVIHIVLFTLDHGDNRHRQDEDKLENRREALLLLAILAATLTYQAGLTPPGGFWSEDEDGHRAGYPVLHDNYRPRYTAFFYCNAASFMASVALIVLLVNPNLYKPGIRCYALYVCMVVGMFGLMGAYAAGSSRDLRTSIYVLTLVVAVFAFVALEVVIFWVYPYLRDHWKQWWRCRKDAAAADSSGQAEPDDDATKQNQQETEIQESKTQGEMQEAGEKNMREYLMLLGVLAASVTYQTGLKPPGGLWQDNSDSHSAGSPILHYSNKRRYHAFFYSNSTSFMASVVVIILLLPETLHKYRLPLWPMHTAILLDMLGLLGAYAAGSTRDWETSRNVIYLVIPVLAYIAAYAAVSIFRKKRWCQCSGSRTNS >Sspon.02G0031330-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2A:114576468:114577688:1 gene:Sspon.02G0031330-1A transcript:Sspon.02G0031330-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MERRYKNCEPVASEEPRCVIHDVPDDLLRLILLHLDSPLWLVRAACACKRWRRVVDGGDGGRAFLRLARSLHPPVVVGHYNNYVGGGHRFVPSSPTPIRLSSIDFFPQEIAGKSPAHHAMDRWQVADCHGGLVLLREGSEGVVSDLVVCDPLSCRYRDIHHLPNQTSGPRVFLLDGGDDGNISLSNFRVLYCSYSYPDDVFKVYSFSVTDGAEWRLVRQSSTTAVLNHDKLFCSYISMGRVIGRIDRSLYLDSPGGSVRVLDNANARLELSEVDLPTRVRKSGLDYTAFAVVHGTSPEPTASPATAYIVHVCGEDLEVFRQIPSSGEWVLEHSIPRLSEATRGLQGHPEPSRWRAVDVIAAGTRLAALRVYLSCGSWLFSLDVDTMQLQVVPEHDVAAYPSRAMDPK >Sspon.01G0052370-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:21908667:21912655:-1 gene:Sspon.01G0052370-1P transcript:Sspon.01G0052370-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKEFSVPPVVFTPSTPTHRRHPAPGVGASPPPAFAPPRPSTSSAANPLPFMSFDVSAAAASSSSAPPLFAGPIGVGGSGASFEDEPPLLEELGINTRQIWRKTISILHPLRSADPSLHADADLSGPFLFLLSFGLFQLLAGKFHFGIVLGWVTVASLFLYFVFSMLSGGRRGDLDLYRCVSLVGYCMLPMVIFSAVSLFLPRGGGLIFGVGMAFVIWSTRVCTRLLAELASSGDEHRGLIAYACWLVYMLFSLLITV >Sspon.07G0033290-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:59410042:59411092:1 gene:Sspon.07G0033290-1C transcript:Sspon.07G0033290-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHATAQTVKTALGPGRTARGVGAHLMATVALRPMGAARALGMIVAQAPRATTAMTSAVVHQEESWCIRRASPPDTRGLMDPREMPTTVQIWWPNFLHSWHTFGLWNMS >Sspon.08G0015610-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:59485646:59487031:1 gene:Sspon.08G0015610-2D transcript:Sspon.08G0015610-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKKAKILAGAAGVVLVALELTLFLCFRLSRPFYLSTAVILSAVLAGTVTVLLCHALSPHGRAERMVRRPVLDGGEEVSAVRVEYSYFRKVAGLPSRFSLEALEAATDGFQYVVGRGSSGMVFKGILDDGTSVAVKRIDGSAHVDKEFRSEVSAIGSVQHVSLVRLLGFCLVRNGPRFLVYEYMENGSLDKWIFPQHGAGGGGRCLTWLQRYQVAVDVAKALAYLHHDCRAKVVHLDVKPENILLDDRLRGMLSDFGLSTLMGKEQSRVVTTVRGTTGYLAPEWLLGAGVTEKSDVYSYGMVLMEILGGRRNLQAEPGPSGGSRRWSYFPKLVAERAREGRVIEVLDRRLLSSAVDEASVRRLAHVALWCAQEKPGTRPTMARVVEMLEARGGAASVDPPPPSDMVLVDLLALDPAQTHRGAGPFGLPALPPGGPGSAGTAASSAMSMGESFALSYLSGR >Sspon.07G0004830-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:12891663:12893065:1 gene:Sspon.07G0004830-2B transcript:Sspon.07G0004830-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAKAKPRKKLRILLMPFFATSHIGPSRTSPSTSSQPGLKTSRPPLQSLRQTPCSSSHISPGVAPATVKVATYPFPSVDGLPPGVENQSTVKATDAWRIDSVATDEKMMRPGQESLIRERSPDLVITDVHFWWNVDVATDIGVPCMTFHVIGTFPTLAMFNLSHAAGAIDAAGGGLVTLPAFLAPEIQVPTTELPEMLGRQQITGDCAIENRMDSAHKRCFGLIVNTFFDLEHRHCDMFVGNGQVKRAYFVGPLLLPSPPQVAAGTYNSRCIDWLDKKPPQSVVYLCFGSLTHISKAQLGELAFGLEAFEKPFLWVIRSETWVLPEGWKERVGDRGLVITGWAPQNVILAHRAVGAFVTHCGWNSVLETVVAGVPVLTWPMVFEQFITERFVTKVLAIGERLWPEGAGVRSTRSEEHGLVPAEAVAQALTKFMEPGGAGDARGVESRSSLRRLVQPWRRRLFPP >Sspon.07G0033780-1P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2D:107474844:107476529:-1 gene:Sspon.07G0033780-1P transcript:Sspon.07G0033780-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding PSQQPLLLRFAAPVRVYQRRPRPPPLVVPSPPGTPTPPPLSPPARGAPPVYHPPLLHRHPRHVHPMVTRHAAGTLPPRVLEASTGDAVVSPVPSSVRDALLDPHWRRAMEEEYAALLANQTWELVPRPPGANVVTGKWIWTHKRRADGSLERYKARWVLRGFTQRPGVDYDETFSPVVKPATVRTVLSLALARSWPVHQLDVKNAFLHGLLTETVYCSQPAGFVDTTRPDMVCRLNRSLYGLKQAPRAWNHRFATFLLTLGFVEAKSDTSLFVYHHGADTAYLLLYVDDIVLTASSEPLLRRIIAALQQEFAMKDLGELHHFLGVTVEHRPAGLLLHQRQYTRDILERAGMSDCKPCSTPVDTQGKLSEAEGPPVADPTAYRSLAGALQYLTFTRPDITYAVQQVCLHMHDPREPHLTALKRLLRYLRGTLDYGLLLHQASSTDLVVYTDADWAGCPDTRRSTSGYAVFLSGNLVSWSSKRQPVVSRSSAEAEYRAEANGVAEAAWLRQLLAELHTPPSRSTLIYCDNVSAVYLSTNPIQHQRTKHVEIDLHFVRDRVAMGE >Sspon.07G0037040-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:47931927:47934839:-1 gene:Sspon.07G0037040-1D transcript:Sspon.07G0037040-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MYAASQEINCHGFCIAILVNISLYPGYMLMITNVVIHLFLVTVKARLEVMGKKAKNTTYISGNEAGRISREVPKIILDVPSVGGRFMLVDMAGSKNIEAAGQTGFEAKMQ >Sspon.02G0058290-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2D:72037396:72041745:1 gene:Sspon.02G0058290-1D transcript:Sspon.02G0058290-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPTLADIIQEMRNMNAMITSLQSDVASIKEKTSTAESSDGRRSDAPRELEFHPKHKKWDFPHYDGTTDPMLFLNKCDAYFRQHCTMAEERDDEGTPTWGNFKDLLSLRFGPPLRSAPMFELAECRRTGTVEEYANRFQSLLPRAGRLDEAQRVQLFTGGLLPPLSNAVRIHNPDTLAAAMSLARQVEQMEATRPVPAAPRAPPRVPPPPAGRGALPPPPPLLALPAPPAAAEGTKRRLTPDEMAERRRLGLCFNCNEKYSRGHNRFCRRLFFVEGVEIDDAGDEAAAAEDAAEAPCFSLQAVAGVPMAGTMQIAVSLGATSLVALLDSGSTHNFISEDAARRSGLPLQQQPRLTALVANGERVACAGVIRDAPLLIDGESFPADLFVMPLAGYDVVLGTRWLGALGPIVWDLSHQRMSFQHQGRTVSWTSMACPSPPALRATMEAGALLEALLLAYGGVFADPSGLPPKRAHDHRITLKPDAQPVAVRPYRYPAAHKDELERQCAAMMEQGIVRRSDSPFSSPVLLVKKPDGSWRFCVDYRALNALTVKDAFPIPVVEELLDELHGAQFFTKLDLRSGYHQVRMRPEDVHKTAFRTHDGLYEFLVMAFGLCNAPATFQALMNDVLRPFLRRFVLVFFDDILIYSRTWADHLRHLRTVLDALSHHQLFVKRSKCSFGAPTVAYLGHVISAAGVAMDPTKVQAIVDWPTPRSPRAVRGFLGLAGYYRKFVHNYGTIAAPLSGLLKKEGFSWDDATAAAFAALKAAVTSAPVLAMPDFTKLFIVECDASTSGFGAVLVQEGHPVTFFSRPVAPRHRSLAAYERELIGLVQAVRHWRPYLWGRRFVVKTDHYSLKYLLDQRLATIPQHHWVGKLLGFDFSVEYRSGATNVVADALSRRDQDDGEATDPALADLHRDLQAGTRAAPWTLVDGMVAYEGRLFIPSAFHLLREIVVAVHDDGHEGVHRTLHRLRRDFHFSNMRRLVQDFVKACVTCQQYKSDHLRPAGLLQSLPVPSAVWADVGIDFIEALPKVQGKTVILSVVDRFSKYCHFIPLAHPYTAESVAAAFFADIVRLHGVPQSIVSDRDPVFTSAFWQELMRLTGTKLHMSSAFHPQTDGQTEAANRVIVMYLRCFTGDRPRQWLRWLPWAEYTYNTAYQSSLQETPFRVVYGRDPPSIRSYEPGEARAVQKRSYDQHHRPVDYQVGDWAFLRLRQRAAASLPRTSARGKLKPRFVGPYQVTEVINPVAVRLQLPPGARLHDVFHVGILKKFMGSPPAAPPSLPPILHGAVVPAPSRVTQGRMARGVRQVLVEWEGQPPTSASWEDLDDFHARFPSFQLEDELDFEAGRDVMCGVPYTRRRRARDVRRAAERAASLGQAAHVLEPQQQRG >Sspon.03G0024310-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:74067359:74074975:-1 gene:Sspon.03G0024310-1A transcript:Sspon.03G0024310-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDATDVQQSMGFMESRGGMPKFFHALGPALLISMGYIDLGKWVAAVEAGSRFGFDLVLLALLFNFTAIVCQYLAACIGTVTGKNLAEICHQEYNQPTCIFLGVQAGLTSDYIFGIALGFNLLFEYDDLITGICFATVVPNLLPYAISHLAKKMEGTVNACIAGFALLSYVLGLLVSQPQIPLTMNVIFPKISGESAYSLMALLGANIMAHNFYIHSSVVQGQKKSSTVGLGALFHDHLFSILFIFTGIFMVNYVLMNSAAAESTNTLLITFQDVVELMNQIFVNPLAPTICLVVLLFSSHIISLTSAIGSQVISQHLFGINLPLSGHRLLLKVFAIVPTLYWAKVAGAEGIYQLLIICQIIQAMLLPSSVIPLFRVASSRSIMGAHRVSLHLEILVFLAFLLMLFSNIIFVAEMLFGDSVWINNLKGYTGIPVVLPYTFFVLVACVSVAFSLYLAVTPLRSGSHEAESHEWSVHSQRELLNTPQEREDVKVDNVTYEEDQRSDVGPSPRDVPDSHPELAMDYIDTSDTAVESDHDSQQSTAYASTAPETCPSPSFTREESKSVVAVNWPEPLEKVPASTVIEESTVESVVSRITTVRDVLVETDVFLGKDKEDMHALESEKSIVDSTPCVSDDGPPSLTFSRGKGSDAGSGNGSLSRLSGLGRAARRQLAATLDEFWGHLFDYHGKLTQEASTKKFGILLGIDLRTPSTAVRTDKQAVEIPKSPLVRDSMRGAAFLSSSVDLMSPKNETSNLELAYGLQRGPSMGLSSWSQGMQLPNTQLQSSSNSLLEQSARLNSNFNAPSYSDNNQFYQPATIHGYQLTSYLKQMNANRNPYSSMPLDPQRLPKSSVSAVPTYVDSMMNARNHNLLASLGATPSQIPATSRVGSMMPERSYYDPSSVDGNENAGSPAYSKKYHSSPDMSGIIAASRAALLNEAKLGGDIGPQSYLSRLASERSQYANSTARPAAPLAFDELSPPKLQSDIFSAQSNMSPSARSLWAKQPFEQLFGMSSVELSKGDFNLSGRSGGMAKDDFSYKESETKLLQSLRFCIMKILKLEGSGWLFKQNGGCDEDLIDRVAASEKLLMQGTTENQLLHGDLQQHSSDQVGIQYMRTLPNCGEDCVWRASLVVSFGVWCIHRVLDMSRVESRPELWGKYTYVLNRLQGILDPAFSKPRSALTICACLQKDIRALNSPPHSGLTAMGPIPIPIRGTFTTAGVVLEMIKDVETAVSGRKGRSGTAAGDVAFPKGKENLASVLKRYKRRLASKGQ >Sspon.03G0036600-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:87433150:87437753:-1 gene:Sspon.03G0036600-1P transcript:Sspon.03G0036600-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FACT complex subunit SSRP1 [Source:Projected from Arabidopsis thaliana (AT3G28730) UniProtKB/TrEMBL;Acc:A0A178VCP0] MGVTPDEKQLSVSGHNWGGIDIDGNMLTFMVGSKQAFEVSLADVAQTQMQGKTDVLLELHVDDTTGANEKDSLMDLSFHVPTSNTQFVGDENRPPAHILWETILKFADVGSSEEPVVTFDGIAILTPRGRYSVELHLSFLRLQGQANDFKIQYSSIVRLFLLPKSNNPHTFVVITLDPPIRKGQTLYPHIVIQFETEAVVERDLALSKELLVDKYKDRLEESYKGLIHEVFTKVLRGLSGAKVTRPGSFRSCQDGYAVKSSLKAEDGLLYPLEKGFFFLPKPPTLILHEEIEFVEFERHGAGGASISSHYFDLLVKLKNDQEHLFRNIQRNEYHNLFNFINGKNIKIMNLGGDGQGASGVVTDVLRDTDDDAVDPHLERIKNQAGDDESDEEDEDFVADKDDSGSPTDDSGDEESDASDSGGEKEKSSKKEASSSKPVQKRKPKGRDDEGHEKKKAKKKKDPNAPKRAMTPFMYFSMAERGNMKSSNPDLPTTEIAKKLGEMWQKMSSEEKQPYIQQAQIDKKRYEKESAVYRGEATADVDSGNESD >Sspon.05G0019940-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:80663028:80665552:-1 gene:Sspon.05G0019940-4D transcript:Sspon.05G0019940-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding IMSSEDSLKSLSLDYLNLLINGQAFSDVAFSVEGRLVHAHRCVLAARSLFFRKLFCGLDPNHQPPPTPGSSAAGARAPELVIPVSSIRYEVLVLVLQFLYSGQASVAAPKSGPLPGCGARGCWHTRCGAAVDLALDTLAAARSFGVEQLALLVLQKQLEAMVKEASVDDVMKVLMASRKFEMQELWATCSHLVARSGLSADLLAKHLPIDVVAKIEEIRAKSPVAVSTGGGPRSPFLTHHYLPINAVSSAADRDHRIRRMRRALDAADIELVKLMVMGEGLDLDDALAVHYAVQHCGRDVVKALLELGAADVNSRAGPAGKTALHLAAEMVSPDMVSVLLDHHADPNARTLDGVTPLDVLRSLTSEFLFKGAVPGLTHIEPNKLRLCLELVQSAVMVATRDDGAGTGGDAGRGGSDGGGSFPRSDAADD >Sspon.04G0001970-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:3538463:3539974:-1 gene:Sspon.04G0001970-2B transcript:Sspon.04G0001970-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAATSPTRISWRPAVPATSASAAGGCFRVGVKHFAGLQTASTCPHRVTRLTTAHVKSGEAEGRPSTEESAASGGGPDEDSLRRELQTAIEDEDYARAAALRDELRVLQEDGRSAVLAANARFYAAFKDGDLVAMHRAWAKGDHVYVVHPSAGRISGYEMVMQSWEMVCDAGYEFPLQIDLRDVEVRVRGGVGYVTCLEMVRTKGSTSWGKQLATNVFEKVDGEWLMCVHHASHFDE >Sspon.06G0028650-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:9425492:9426171:-1 gene:Sspon.06G0028650-1C transcript:Sspon.06G0028650-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTRERQQVDAQTEIEERQHDSAGSDLSSNPPAAAVGLSERTARRRGRKPGPRSDNPGISHVEAERQRREKLNRRFCDLRAAVPTVSRMDKASLLADAAAYIAELRGRVEQLEAEAKQQVAARKLGLEEKLEVRMVGRHEAAVRLTTASTRHAPALLMGALRSLDLPVQNACVSRVGGSATVQDAVVDVPATLQDEGCLRAALLHVLQQDEMSA >Sspon.05G0024870-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:18582619:18587264:-1 gene:Sspon.05G0024870-1B transcript:Sspon.05G0024870-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAPSLLVQCFPGLLPSKATSCVPIISEKDLQLPSPAVEIIPSKSAHPYKYAGEKVDVQGLDIFKGKVSVADMIAFSPSEVASSKYDGTLKYWESSITLVNILKNEIRDGQLSFRGKRVLELGCGSGLSGIFACLKGASTVHFQDINAETIRCRTIPNVLANLEQARDRQNRPSESPVTPSRQLLAPNVHFYAGEWDELPTILSVVQPPAPPTNLSFSEDDFMDGCSSHDGSSIVGHDYCPRRSRKLSGSRAWERANETDQADGGYDVILISDVPYAVNSLKKLYALISKCLRPPYGVLYVASKKNLVGSNGGARQLRALMEEEGVLGGHVLTEVCDREIWKFFFK >Sspon.01G0017450-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:60345872:60355660:-1 gene:Sspon.01G0017450-4D transcript:Sspon.01G0017450-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SLOMO [Source:Projected from Arabidopsis thaliana (AT4G33210) UniProtKB/TrEMBL;Acc:A0A178V148] MADRGRMKGVQGAGGGAGDEEEGNEEAREELELALSLGRRGWHLPPRQEPAPRSLNWTAVLPEWNPDAAGSSQGAERALGGQSISSLGFRDMLSGILDGPHAGGSVEVGWDNLDEEDEDRDLQNKRLRVRRFGEESPLHSGASATPFGSDSSFLPISDECVHLKLSRFPEHELEFGLSLFPNDGSESPRDANNEQVDNAENSGARNSEDVGIRMDLSDDLLHLIFSFLGQKDLCRAGVTCKQWRSASVHDDFWKCLKFDNTRISLQNFVNICRRYPSVTELNLHGVINAETLVLEAIMFLRHLKTLTMGKGQLGEAFFLALAECPLLTALTVTDASLGSGIQEVTVNHDGLRELHILKCRALRISVRCSQLQILSLRRTGMAHVSLNCPQLLELDFQSCHKLSDNAIRQAATACPLLAKLDMSSCSCVTDETLRDIASSCPSLSVLDASNCPNISFESVRLPMLIDLRLLSCEGITSASMAAIAYSRLLEVVILFLWYNFVNFLLQELIGLLCHLWQALQLDNCSLLTSVSLDLPHLKNISLVHLRKFADLNLRSPVLSYIKVSRCSALHRVSITSTTLQKLVLQKQESLSSLSLQCHNLIDVDLSDCESLTNAICEVFSDGGGCPMLRSLILDNCESLSIVELNSSSLACLSLAGCRSMTFLRLSCPNLQHVNLDGCDHLQSAAFCPVGLESLNLGICPKLSVLRIEAPNMSILELKGCGVLSEASINCPRLTSLDASFCRQLVDDSLTRMAEACPLIEYLILSSCLSIGIDGLSSLHCLHKLTLLDLSYTFLVNLKPVFDSCPQLKVLKLSACKYLSDSSLDALYREGALPLLVELDLSYSSIGQNAIEDLLACCTNLVNVNLNGCTNFQELVCGSDDSSSVDMPVDFCPPSSSPIKSEEISERSGRLLEVLSCTGCPNIKKVVIPSIANFLHLSKINLNLSTNLKEVDLTCSNLFMLNLSNCSSLEVLKLDCPRLTNLQLLACTMLQEEELESAISLCSALEILNVHSCPKINALDFGRLRLVCPSLKRIQSSRIS >Sspon.06G0002180-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:3924341:3928020:1 gene:Sspon.06G0002180-4D transcript:Sspon.06G0002180-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCRGRRRPGPWRWPAALRRLSRLARPAPAAADPVVVRVDASNVARLGAPKPGPRPRQLLSLPPFPAGPDPLPGRKAAPRRVTAVSWVKHYFADVPQEAVQAHFNRRMVFSECSDHEVSADSIRTQNHHLKKIKHNDAMEPGMRIHLPVSVAEGEIKKRYETIPTATLHPNKDEIEYLRRLVIHRDSAILVLNKPPKVPMKGHLPVHNSMDVLAAAALSYGNEEGPKLVHRLDRESSGLILMGRTKESLTRLHWLFTSVNLAKTSSQTWNKACEAYVQKYWALVIGTPKEREGVIDAPISKVLLDDGKAERVILAHPSGIDGAQEAVTEYRVMGPTINGCSWIELRPLTGRKHQLRVHCAEGLGTPIVGDYKYGWFVHQTWKQNPQPDFEPFTGEPYKLRRPEGLEIQKGSVLSKVPLLHLHCREMVIPNIAKFLSSTGEWHKNGAPWAKEKPNLLRFVAPMPPHMKISWNIMSSYLVYVSVVPENLVSPMLLPEVDAEQLVVRPPPLPGGGLQQHLGAGVEAALAERLEHDGIRGHDGLGVAVKPVERERQVPREAALEVGVDEAAVRDEVRRDAVAAHVIGAKVEVPEHAHLGEGCGADVEGGEVRPEPGGHHLQERALQGLHLEVGREGEEVQVPEQVAGVAPSEAGVGHERAEPIVLLLGDVRGRHGADDATGDEQPGLLVPA >Sspon.02G0048080-2D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2D:14131818:14132594:1 gene:Sspon.02G0048080-2D transcript:Sspon.02G0048080-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding WSGPHSQPNWSYALARSPSRHLGSGGSRTRRPAAYKCAAGCTERQTLTKIPLPSLPHLPPRLSFFSHQVRTREEVSCAPSFPLLAARRVRGPVPSMMAKARRPPFSAAERFLGFPRGGPSPGAVAPAPDDLPDLAEADVWYTADVSRQVEAEGRSAGQRGAPRRGVQGGLSQAFGDGPAGRQMAASAPVQVPAWPARFAVPDAEPALLFEMEMGDDNDEDGKRGAGGWVPPHVYLARRQARASVVEGAGRTLKGRDMSR >Sspon.04G0008270-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:16009047:16010651:-1 gene:Sspon.04G0008270-2B transcript:Sspon.04G0008270-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETADAPLLTSHEQAKLAKAPSIDDTIETYIGATGSMQLLKAVLLAFAWAFDAQQVFISVFTDAEPEWHCTGASASCSPAAPPASPCALPSGAWAWDRPAETTVVSEWALKCAGPALVSLPASSFFAGCLAGGFLLTTLADSLLGRKKMLLTSLVSMSVAGVLTAFAPNVWAYAALRFVSGFGRSMVGTCTLVLSTELVGKRWRDTVSVAGFIFFTVGFLSLPALGYTFREASWRNMYLWTSVPSLCYSVLLYFLAQESPRWLLVRGRKQDAMETLQQIASLNGNSITSSFSMLHACNMQEDDGGAGGAGGVFSTMRAMWERPWALRRLAAIMTIGFGVGMVYYGMPLNVGSLGTNLYLSVTYNALAELPSAILSLIFIGRVNRRSSVVALTVAAGAFSLACVVIPEGSAARMAAELLSFFSTITAFNLILIYSIELFPTSVRNSAVGLVRQALVLGGVVAPMLVALGRERSFWSFGVFGLCIGCLGLFAACLPETRGRSMSDTMEEEEHKEAAAAACTGATDIATKDDSDLV >Sspon.01G0025940-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:89147959:89148657:-1 gene:Sspon.01G0025940-3D transcript:Sspon.01G0025940-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AMALRRWKPFFPAFGAIDTAIEAATEGCSRDKYRQVRSDLVEMLCDCDAADSDDDVRAEGLCRLLDRAMAEALLTLRAVPVPVTPTMLATTDVAKAVGGLLRHEAGWVRALARGILAQWSSSIEAEAASTEAGGAALDTLLQISGPETTVLQAESIKQGAKISDLECKRPKKMPFPGVPIAGGDRVRNEQTGDAKRKHLGAGGYYREADDVKRQRKVP >Sspon.07G0009620-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:27431576:27433876:-1 gene:Sspon.07G0009620-1A transcript:Sspon.07G0009620-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVYGAGRGIPHGSSSPPPACMPSPPRTASMPSPPRAASMPLPTLPTPHVASMPARAELPRARRGAGEIGEYEASMDTVCEGLEEVPTSKDPHALSRSGRPPNRSVLENRRSGRPPEARGARAANSRKQLSSHRTNPASMTAVDRPTDLQKLRPDAMHRDPCAVCASK >Sspon.05G0018790-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:80256242:80257272:-1 gene:Sspon.05G0018790-1A transcript:Sspon.05G0018790-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding YVGQPHLSPAARTVVSFTPAAGAVRGARPAGTHIQVPGGQSAAGSHMVPIRRGLTASQLASAVHPHVRCVPPSPRRPSFLYIAFGCLLRPRASDSLFIPINCVLPQYINLLWPFVNRAYPATYARFIRCSGYSSINLPFL >Sspon.04G0006440-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:18271485:18277559:-1 gene:Sspon.04G0006440-1A transcript:Sspon.04G0006440-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHVWASAAAVPGHGAAPAGTASARRHSCPAALTAPTVWQQPEQLQQASSESIDTCNAFYCSYFTPNKRHRLTPQSQKTINTHRHLPGLHKPQPKKATTDR >Sspon.05G0008610-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:25833926:25835373:-1 gene:Sspon.05G0008610-1A transcript:Sspon.05G0008610-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEHMGRRTVGGLLFTKGGSILLFREDGSRPKAKNCCSRHGCSGQHSTDKAKGKEVHRVAAPSESTPATPGRSQIFRKPNRKPPHESSASGSISRDAGGSCSETGSRSRDTPGRDLLARLKERVNTSRKRSLNRENSPQSPSGFSASSPSNSRSVTRPSHRAASRIRKADEGANAGAADGMHRNGAGDARRSSERSDDDLLLVEQVTRNHVPSEGFLSGFMARYRSGLQGELSSLDDSMEDSNGYLRFDVGGIEELENYFIFNDRHRGMRMDIDGMSYEELLALGERIGTVNTGLSDCALSTCLNRSLYMPTASAGEEVGKMACKHYYHLSCIQHWLRQKNWCPICKSVALKIN >Sspon.01G0008270-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:61936726:61944983:-1 gene:Sspon.01G0008270-2B transcript:Sspon.01G0008270-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RLSLFLGFSLSRGIASALAYPRTPAVQPSLPPLPLPPQISPLTRRFRGPLHFGFWGRLRVGFGVGRRRRRSWEGEGLRYPAGGSLGALSAAGEARGEIYEGRCSVLAGPAEDMGFLSAKLLPSCESMCVCCPALRPSSRRPVKRYKKLLAEIFPKTPDGAPNERKIVKLCEYAAKNPLRIPKACDDDVVNHCLFLLHCLLACQIAKFLEQRSHKELRSAHVNFVRIITEAYSKLLFICKEQMAYFAISLVNVLTELLESKQENIHILGCQTLANFINSQVDNTYARNIESLVHKVCALSRQQGEEHRHLRAASLQCLSAMIWFMKEHSYIFADFDEIVHSVLDNYRMEESNGGGDDRHALQHNWVDEIARSEGRPGVGGGNDVNINTTTIRLRPARNSSALTRDERDTPEVWSHICVQKLAELAKESTTMRRILDPMLSYFDMKKQWAPRHGLALLVLSDMAYLEKSSGNEQLILTTVIRHLDHKNVSHDPQTKSDIIQTATSLARQLRSRGFAVELVVAGDLCKHLRKTLEAVESGNVEDQNLNESLQNFLEDCLMEVVRGINDVRPLYDMMTITLENLPSMPTVARATLGSLLILSHIISLTSVSSNSPMVFPEALLQQILKSMIHTDIDTRVGAHHMFSAIIVRGPSHLRSESEYLYETKKQSRTTSVFASATALLEKLRREKESLSSDKTRNIMHDDVKEMHEEDKRKNPAYFSKLVSSFIERCAKRSSSVEETNIAMLTEDQTNQLLSSFWIQTNQTDNTPFNYEAIGHSYSLTVLSSRLKDSSNGNIIQFFQLPLSLRSVALTPSEVLPASCQRSIFTLAVSMLAFAGKVCHITELSDLLRCFLSSKMDPYLRIGEDLQLYVRLQSDLGSYGSESDQEVAKSMLSDCRTKVGINDQRVLDVIASALSNFIEMGKDVLTKELTEMFTPEEMPLFGSNSALDWANFNAQAFSDESLSFDEESSRTSSVDCGLHESPITNTASSISKITLPQSVPHVLGVGQLLESALHVAGQVAGASVSTSPLPYGTMTSQCEALGLGTRKKLSSWLVNGHESTPDNPMPSLPTAHHSAIPKVNPAMFRTSSEPCSAVKLPPASPFDNFLKAAYRTQPEL >Sspon.02G0006310-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:18860424:18862446:1 gene:Sspon.02G0006310-1A transcript:Sspon.02G0006310-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYANNTINVFVGIGEQAILKLRQMSLAIQSVINLWSMEGGNLGFSQYDFSHIKGATNNFSVDNKLGEGGFGPVYKGQLRSGIKIEVTKLEACSLQGLLEFQNEIQLIDKLQHKNLVKLLGCCTRGDQEKMPIYEYMENKSLDYFIFGKDRSTPKLVKSLHIINWIAQGLLYLHNYSWLCVVHRDLKASNILLDSEMHPKISDFVMARIFCSNVKESNITRIVGTHGYIPPEYAFHGVCSIKSDVFSYGVLTLEIASSKRTAQFYAYNGKLYNLISYLGDLIYSPPGNVRKEIERHIHVALLCVLESAEHRPDMERVVTMLNNKDVSLPKPMQPAYFHVNPSEEENTSWAEPEPLKFQPRVLNNKIGCSDPKTNAF >Sspon.08G0008070-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:15958053:15964032:-1 gene:Sspon.08G0008070-2B transcript:Sspon.08G0008070-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable E3 ubiquitin ligase SUD1 [Source:Projected from Arabidopsis thaliana (AT4G34100) UniProtKB/Swiss-Prot;Acc:F4JKK0] MAEIAERAVAGELPEEPRPPHGEEEEEEEEEGDVCRICRNRGDEDHPLVPVRLQRQHQDCLLQWLDHSNSRQCEVCKHAFSFSPVYAENAPTRLPFQELIVGVGMKACHVFQFILRLAFVLSVWLMIIPFITYWIWRLTFVRSLGEAQRLFLSHISAQLILSDCLHGFLLSAIIVLIFLGATSLRDYIRHLRELGGHDAERDDGGRERHGARAVRRLAGPNNRVPADGNIDELAEAQGIGPGELLRRNAENVAARLERLEAQVEQCLMVWMTQMVQRMFLLMSLLVLASNAIFLIVVIFVPFSLGRIVLYYLSWFFSSASTPMLAKMMPFTETAISIANDTLKSALNVVKNFSSDSNNEGVIGHVIEINATGLSVIQGTGKSSLMKGTTIGSSYLSDLTTLAVGYMFIFFLVFLYIGSLALLRYARGERFTIGRLYGIATILEAIPSLCRQFFAGMKHLMTMVKVAFLLVIELGVFPLMCGWWLDVCTLKMLGTTIAQRVEFFTMSPLASSSIHWLVGIVYMLQISIFVSLLRGVLRNGVLYFLRDPADPNYNPFRDLIDDPVHKHARRVLLSVAVYGSLIVMLVFLPVKLAMRVAPSIFPLDITIFDPFTEIPVDVLLFQICIPFAIEHFKPRATIKSLLHHWFAAVGWALSLTDFLLPKPEENGGQENWNGRAERRDRGHGGREMVAPQVEQRMIQHVAAEDNVRGNANEANDATEESDVDDQGDSEYGFVLRIVLLLVLAWMTLLIFNAGMIVIPISLGRLVFEAVPRLPITHGIKCNDLFSFSIGCYILWSAAAGTRYAIDYIRSRQLGFLVQQICKWCSIVLKSSFLLSIWIFVIPVLIGLLFELLVIVPMRVPIDESPVFLLYQDWALGLIFLKIWTRLVMLDQMAPLVDESWRSKFERVRDDGFSRLRGLWVLHEIIMPIVTKLLTALCVPYVLARGIFPVLGYPLIVNSAVYRFAWLGCLIFSTLFFCGKRFHVWFTNLHNSIRDDRYLIGRRLHNFGEDSHSPEPSESGATIGSDDQDRALVLRDQEEEVGLRMRRNNMRANQQPRLAL >Sspon.08G0014490-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:59261779:59268031:-1 gene:Sspon.08G0014490-1A transcript:Sspon.08G0014490-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQWLCFRFSDDGYKHCEGVVRSWADSSAGREEDGDRLSLKDLLFFLHIPRTGGRAYSRWYIRWTGHQFGSHFLKKLYINAQQCPRSYDKLRFDPSHPDCKLVVSHDDYSLTSKLPRDRTSVVTILRNPVDRVFSTYEFSVEVAARFLVHPNLTISTLDTWPWKYLVPWMREDLFARRDARGIDQVHSSKKIKTYTYDVEDMVMPLHQYINDPVAHEIIHNGATFQITGLTNNSYFDGAHDVRHCVRKHPDLGRIVLEVAKNRLDQMLYVGLTEIHEESASLFAHMLGAQVLSQSGTLNLDLKEDLPSEIDSHPSMVEHEDEETNEHLV >Sspon.02G0039950-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:63277628:63278736:-1 gene:Sspon.02G0039950-1B transcript:Sspon.02G0039950-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLVYDPAINDYRNLPGVETRVPNFGSTRAFSQKIPLKSDWCLGKLRHVLENKGYRDGDTMFGAPYDFRYAPPSPGQTSEVYSRYFKELMELVEAARERKQKKAIILGHSFGGMVALEFVRNTPLAWRNEYIQHLILVAPTLSTGFMEPVSNFASGTNILFVPTTTPLSTRAMWRSFESAIVNFPSPAVFGREPVVVTRDRNYSAHDMEQFLAAVGLGEAVEPFRRRAVPKMDNFVAPMVPMTCINGVGTRTPLQLVYWDDDFDASPEAAAYGDGDGKINLISVLAFDKEMGGQPGQRKQFRSIKISKAQHSTIVTDDFAWDRVIREIIEVNQHIPS >Sspon.01G0000500-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:1940704:1942755:1 gene:Sspon.01G0000500-1A transcript:Sspon.01G0000500-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEMEWKQHNDLLHIALARSACVTACKAAALACEMMSERNLRLARRRALPPAIAVVVVLLLGHHHPRALVQAQPSPGYFPSSTVRSTAFSEDYDNLWGPQHQTLSQDKMALTLLMDRTSGSGFKSKRSYRNGYFGVSIKVQPGYTAGVNTAFYLSNNELYPGKHDEIDMELLGTVPGEPYTLQTNVYVRGTGDGARLVGREMRFHLWFDPAADFHHYAILWNPDEIVFLVDDVPVRRYAASAAGAAAFPDREMWAYGSIWDASDWATDGGRYRADYRYQPFLVGLFMVRLVRLLGKTQPRPTAGCEAAASAGCRPVPASPAGAGLSVQQRDAMRWAQQRSMVYYYCQDYTKDHSLYPECSLAT >Sspon.01G0031310-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:115697420:115703109:-1 gene:Sspon.01G0031310-1P transcript:Sspon.01G0031310-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MANASKHLQPSSKAGNDTKYQGTLVASPAKVISPKCVNRIVPSKQLILGGDSIGHVASFLIKVVALEAVRRVSKARCPFIWNSVQALQILVYPPFSWIQRWAPLKFLVQGIQKLSMPLLFLSVTTTVSELSSKHDDEPDNNTEHSETPSETNEVASTSGTSDAADGTKEIVQENWLVLLFRELEKQGITLPERFTEDELRRFYVAANGDFSSLLTSVKKTIRWRETFHILTLQELDKWSHLVFWHGFDTMLRPCLVIRLGLACSSIPPRDRPRFGQAVVSQIDHGVINLTNEEDSRITVLLDCHGISPFRFPMQMMRSFITVVQENYPNRLGVLFVVRLPPVVRVIAQTFLQVLKPSTKQKLRFEGDSYKKTLAEFLQVVPAFLGGKCSCPQCEKPRVSSVIQAGEGSKNQPRLVSVDDGSPVTDFDFDEEEIPSAYSCENAIRAAIIGLLMVCIFIAFLAGMNDPSSVPPSA >Sspon.02G0036780-2D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2D:22755508:22755724:-1 gene:Sspon.02G0036780-2D transcript:Sspon.02G0036780-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GKAKAATTIVRAGLLLHLLFFQWTNQTGLGLGLQLVVLVAICYNHHATRTGALDIPGPGQTSASEINVWGS >Sspon.02G0026880-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2A:95915661:95916533:1 gene:Sspon.02G0026880-1A transcript:Sspon.02G0026880-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPQARTGSAHSPTTSSSSSSSRSAPAPPPRQASSPTAGAASGLSSRCSASLPSLSPTGCATPSTPMRCLSAALFVGADGATPESLAVWLPAAARRVSGDLTLYSHRPAKDEEEDQAAPRGAFEFPCFEKAISISLVLAFQGLAVPPTGVFTRLTQLHLSHVWFHGPGDLGDVVSSPRCPCLQRLTVDDNRGLCDLALHLDSMLLMELRSLRALSQLTIVAPVLMELTVALCFSKSRPVANISAPQMVNLDWNDEYDPTSVHFGKMEHLRSLGTDCYLVYGIETFLRLF >Sspon.02G0034560-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:13235760:13242500:1 gene:Sspon.02G0034560-1B transcript:Sspon.02G0034560-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSPNPSSGDLPAGAGGSPEKPVLADRRVAALAGTGARYKAMSPARLPISREPCLTIPAGFSPGALLESPVLLNNFKVEPSPTTGTLSMAAIINKSTHRDILPSPRDKSAGSGQEDGGSRDFEFKPHLNSQSAAPAVNNQNHHDTPMQNHSSNHASPSSNLMTENKPLCSRESSHTANVSGAPNQPVSIVCPSDNMPAEVGTSEMHQINSSENAAQETQTENVAEKSAEDGYNWRKYGQKHVKGSENPRSYYKCTHPNCEVKKLLERSLDGQITEVVYKGRHNHPKPQPNRRLAAGAVPSSQGEERYDGVAPIEGKWSLLVSMLP >Sspon.03G0014810-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3A:47442280:47447130:1 gene:Sspon.03G0014810-1A transcript:Sspon.03G0014810-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAGQSQGSVASSTRRRQEADLAAAEKRERAAADAAAAAARASRLAAAELAAARAEVEAAAAADAARAAAAEVEVLRGSNSSSIAADDSADADLELLEREASRGRAAQWAAVHAHERGGSPDRRRHAGGAPEGGAHGGGAPGGGAHGGGGRVDGERGLHRQRGSLSPVRYRGHHEYQAVVRDVGPGGGWPTLTKTNYVEWAAVMRVKLQVRHMWDAVRYGDVDYDQDRRALDALIAAVPPEMQFSLTSKRTAKEAWDAIAAARIGSDRARKSTLQALRKEWENLAFKPGEDVDDFALRLNTLLQKLVQFGDDTYGEERAVEKLFRCVPEKYKQMACSIESLLDLSTMTIEEALGRLKVVDGDEPQRLSGPVTIGGKLLLTREQWAAGQGDRKKGEPSSTTGGRKRGKRGSRAKTPRQGREDVPRVMIVEAPRAAPLASKSQHEATLATTAASLVIGPRIVDSHDVARPTSRRRKRRSSRLCSLLMRASNYLQRHRPQRLSSTLTSQKHTPSSTTAPATTRPTGARPKRSRHRQVRRRLRVEIKGVGSVVFVAKTGEHRLLTGVYYIPALRNSIISLGQLDESGSRVEIEHGVLRIWDHRHRLLVKVNRSNRLYVLHAQVAQPLCLAARRDDDAWRWHERFGHLNFEALRQLGSKEMVRGMPHVDHVEQFCDTCVLTKLRRLPFPRQASFRAKEKLELVHGDLCGPVTPATPGGRRYFLLLVDDVSRYMWAVLLDAKAAAADAIKRLQAAAEAECGRKLRVLRTDNGGEFTAAEFAAYCADEGIHRHFSAPYTPQQNGVVERRNQTVVATARALLKQRGMPAIYWGEAVMTAVHLLNRSPTKALDGKTPYEAWHGRKPAVSHLRVFGCLAFVKELNHVGKLDDRSTPGVFIGYAEGSKAYRVLDPATRRVRITRDVVFDEGRSWTWGKMVDDGSTPTTSDFVVDYVHFKEAGGASSSSSPSSPTPPPRSPPPPASPSPPPPPAPASPQAPASPPPAPPATPRSPTPDPTSSGSAPAASAHDEQRTVEFATPLSNDEDRIDAYHGGEPLRYRTVDNLLGEQPVPGLAQHDFEAELHLAQDDGEPRSFAEAERDAAWRAAMQMEMDAVERNKTWELADLPAGHHAISLKWVFKLKKDETGEVIKHKARLVARGFVQQEGIDFDDAFAPVARMESVRLLLALAAQEGWRVHHMDVKSAFLNGDLKEEVYVHQPPGFAIPGKEGKVLRLRKALYGLRQAPRAWNAKLDSTLKGMGFEQSPHEAAIYRRGNGGKALLVGVYVDDLVITGTKEVEIEAFKEKMRATFQMSDLGPLSFYLGIEVHQDSSGTSLRQTAYAKRIVELGGLTDCNPAHTPMEERLRLSRESTEEEVDATQYRRIVGSLRYLVHTRPDLAFAVGYVSRFMQRPTAEHQQAVKRILRYVAGTSDYGLHYPRCPGAAHFIGYSDSDHAGDIDTSKSTSGTLFFLGKCPISWQSVKQQVVALSSCEAEYIAATTAATQALWLARLLGDLLGRDAEAVELRVDSKSALALAKNPVFHERSKHIRVRYHFIRSCLEEGSVRANYINTQDQLADFLTKSLGRVKFQELRARIGMAQIPHKEPHKT >Sspon.03G0011980-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:32932484:32937526:-1 gene:Sspon.03G0011980-1A transcript:Sspon.03G0011980-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCLQTKHARRSPHLLDTREAVALAAETSFTVSEVEALHELFRKISNSIIKDNLIHKEEFHLALFRSKKKKNLFVDRVFDLFDQKRNGVIEFGEFVRSLSVFHPDTPEEQKVAFAFKLYDLRQTGFIERHELKEMVLALLDESDLDIASDAVEMIVDRTFDQADTKGDGKIDQEEWTAYAKDNPYVLRNMTLPYLKHYHILVKKELTKEYVVQDLRGGYTPPKMDPEHEQHLKMLRLILPDRLQRF >Sspon.01G0012230-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:32822960:32836252:-1 gene:Sspon.01G0012230-3C transcript:Sspon.01G0012230-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glucose-6-phosphate 1-dehydrogenase 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G35790) UniProtKB/Swiss-Prot;Acc:Q43727] MICRIDHYLGKELVENLSVLRFSNLVFEPLWSRNYIRNVQLIFSEDFGTEGRGGYFDNYGIIRDIMQNHLLQILALFAMETPVSLDAEDIRNEKVKVLRSMRQLKLEDVVYKGHSKGGRSYPGYADDPTVPKGSVTPTFAAAALFIDNARWDGVPFLMKAGKALHTRRAEIRVQFRRVPGNLYRRNIGTDLDKATNELVLRVQPDEAIYLKINNKQPQPALLRALPREIPDAYERLLLDAMEGERRLFIRSDELDAAWAIFTPVLRELEDRKVAPELYPYGSRGPVGAHYLGANYNVSKMSDEELRNTISMTLTCRIDKRENCGDKMEQFLKRCFYQSGQYNSEEGFAELDRKLKEKEAGRLPNRLFYLSIPPNIFVDVVRSASRTASSSSGWTRFIVEKPFGRDSESSGELTRSLKKIDHYLGKELVENLSVLRFSNLVFEPLWSRNYIRNVQLIFSEDFGTEGRGGYFDNYGIIRDIMQNHLLQILALFAMETPVSLDAEDIRNEKVKVLRSMRQLKLEDVVVGQYKGHSKGGRSYPGYADDPTVPKGSVTPTFAAAALFIDNARWDGVPFLMKAGKALHTRRAEIRVQFRRVPGNLYRRNIGTDLDKATNELVLRVQPDEAIYLKINNKVPGLGMRLDRSNLNLLYSERYRREIPDAYERLLLDAMEGERRLFIRSDELDAAWAIFTPVLRELEDRKVAPELYPYGSRGPVGAHYLGANYNVRWGDITSDDAAFYAIWESYTSTAYISTTYDRVQV >Sspon.03G0036310-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3B:89351972:89352317:-1 gene:Sspon.03G0036310-1B transcript:Sspon.03G0036310-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDTTQLEYWLNWRFLLCALWVYSCMALAFFLIWKYEGPSSPDSNGDNGEDREEALPRVGPGVVYLEDCWKTCIDGIHPGWLLAFRLVAFFVLASLLVVDIVTDGWSIFLFYTQ >Sspon.07G0009960-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:27548148:27550055:1 gene:Sspon.07G0009960-1P transcript:Sspon.07G0009960-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVKPTSITAATAAAALHGDQQVAAALLAAAGALRGDGDAVPVPGVLPPRPHHHLHRTHCPLSPLLPHPSCTPRLPAPLPPPHLTSCSARIAGVVLPPRGALLLLPPRSRSLTGSPLHATPACGAYDVFWGFAAGRFLSTSAASDEDGDSSEQAAAAASNPEVVGRVCAAIADVVAAGADANLEAALSALSPPLCEAAVLAVLHRFKHAHRPSYRFFRWAAASGGFTHTTITYCKMLHILGKTRQFESMVVIIHEMGKAGALSMDAFKVAIKSFAAAGEIKNAVGMFELMRKNGFDDGVESFNCLVVALANEGLGREARQVFDKMHGQYSPDLRSYTALMLAWCNARNLVEAGRVWNEMLEKGMKPDVVVHNTMIEGLLRGQRRPEALKMFELMKAKGPPPNVWTYTMLIRDHCKRGKMDMAMECFEEMQEARCQPDVATYTCLLVGYGNAKRMDRVTAVLEEMTQKGCPPDARTYNALIKLLTNRKMPDDAARIYKKMIKKGLEPTIHTYNMMMKSYFLGDRNYAMGCAVWEEMHQSGICPDVNSYTVFINGHIRHGRPEEAYKYIEEMINKGMKAPQIDYNKFAADFSKAGKPDILYELAQKVKFAGKSDVSNVFFQWADRMKSRVKRTVPNQI >Sspon.02G0028000-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:101761574:101766326:-1 gene:Sspon.02G0028000-1A transcript:Sspon.02G0028000-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPGYGDARQPLPGRYGLYHFGTSGAAVAAATAVTHPLGYLVTGTRTKNRVHHHFRPADVIKVRLQMQLAGQRGNLVGMGTIFTQMVEAEGTRSLYLGLAPALTRSVVYGGLRLGLYEPCKYVCSYAFGSTNFAFKFASGVVAGGLATALTNPMEVLKVRLQMSKSSTSTIGEMRKVLAHEGFKALWKGVGPAMARAGCLTASQMATYDEAKQALMKWTPLEEGFQLHLISSCIAGTAGTLVTAPVDMIKTRLMMQRECKGARVYRNGFHCAYQVVVTEGVKSLYKGGFATFARLGPQTTITLVVCEKLRELAGMTAIYYPQQPIFERMFEYDT >Sspon.04G0015590-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4B:62275262:62275521:-1 gene:Sspon.04G0015590-2B transcript:Sspon.04G0015590-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding TCRLWTTRHFPISAARRALDHQSIHLL >Sspon.04G0014960-3P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:19762765:19764311:-1 gene:Sspon.04G0014960-3P transcript:Sspon.04G0014960-3P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RGMAVSNNITACINFLVLLCTIPIAATGLWLASRHGGEDCFRLARWPVAVLGALLLLVALAGFAGAYWNRRGLLACYLFAMAALVTLLLALLVFAFAVAHGSGAYPVLGRAYDDYRLQGYSSWLRGYVADDPQRWEGIRACVAASGTCRKLAADSSFIVPEQFYMSHLSPVQSGCCKPPTVCGYAHVSPTVWTSPANPAADADCAAWSNDPNQLCYACASCKAGVLGGLRQQWRKANVALLVATVALIFVYVIGCSAFRNAQTEDLFRRYKWG >Sspon.06G0027940-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6B:90101087:90101778:-1 gene:Sspon.06G0027940-1B transcript:Sspon.06G0027940-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DQQVKAMKIKVVAMATVYDQLYNTPSDLDQQVKAMKIEVVAMDKTRYSSFMSAFGGQMKTSIMKRFRALRYFLFLVITESSDYIFLKQ >Sspon.03G0028950-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:12468670:12469017:-1 gene:Sspon.03G0028950-1B transcript:Sspon.03G0028950-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRSARRRGDPNYRRSAMHGAVEQQKQHRGRPQGTGAAAPLPVPPAPPGYFTAELVLAFLFVAVSLAFLPLVLPPLSPPPFLLLLVPVGLLAVLLALAFVPLDEHSHLAVGSSR >Sspon.06G0004900-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:13486898:13490955:1 gene:Sspon.06G0004900-3D transcript:Sspon.06G0004900-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AFNARLFDYLIATDDTKTKEEKQNNMDKENKKEPKLSRKREKQTNKENKKESKVSHKHLQQALDAEFGVVRGIDFKNVVNFDMPLDAAGYVHRVGRTGRANKTGASISLVSQEEDSTFKEIEHMLQDVEKKDMDCISPFPLLTKDAVESLRYRAQDVARSVTTRDIQEARRQDIKNEILNSEKLKSHFEENPRDLDLLKHDKLLSNKEIPAHLRDVPDYLIDPKTKEASNVVKLSRAAMGIDKPQRRKRQGFKGGSGKSRDPLKTFSAEGKRRRRRKDREGEPDRTKKSRKAES >Sspon.06G0029500-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:23852426:23858592:-1 gene:Sspon.06G0029500-1C transcript:Sspon.06G0029500-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASPDQFRGQARLPHFAAPLRYDLRLRPDLAACTFTGAAAIAVAVSAPTRFLVLNAAELDDLAPTDVAQFEEDEILVIGFDRELPLGEGVLTMDFTGTLNDQMRGFYRSCFTSTPIVYTRDIWMVQQSFLRLPTTGSTSVAIKLMIPFSCASLLSLSHFLLHALPLVVVVMEEEEPVMMTRRSNQCPSKYLYNGESRNMAVTQFEAADARRCFPCWDDPAFKAKFKLTLEVPSDLVALSNMPVAKETVSGSVKTVYYEESPLMSTYLVAIVVGIFDYIESSTSEGTKVRVYTQVGKTNQGKFALDVAVKSLDLYKDYFATPYPLPKLDMIAIPDFSAGAMENYGLVTYRDTALLYDELLSSASNKQQVAITVAHELAHQWFGNLVTMEWWTHLWLNEGFASWVSYLAVESLFPEWNNWTQFLDETTSGLKLDALAESHPIEVEVNHASEIDAIFDSISYDKGLGVAMAMVVSKK >Sspon.07G0001220-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:2721328:2722674:1 gene:Sspon.07G0001220-1A transcript:Sspon.07G0001220-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPLARLVLVLLAAAVPLLAAPAAAGATVFPKEALPTKSGYLPIAPANASLFFAFYEASDPVTPPASTPLLLWLQGGPGCSGLVGNFFELGPYFVNPDGETLSRNPFAWNRRFGLLFIDNPLGTGFSAAPSPADIPTNQSVVAAHLLAALQSFYALDPTLRARPFFLTGESYAGKYIPAAGAHILDANRALPEAQRVNLRGVAIGNGLTHPVAQVATHADSAYFLCLVNARQKRELEALQSEAVSLTLAERWVEASDARGKVLSRLQNLTGLATLYDYAKQRGYATDAVGTFLNRAEAKSALGARGDVEWAECSDAVGAAMHADVMRSVVPQAESLLRRTRVLLYQGVRDLRDGVVSTEAWLARVRWDGLRAFLDAQRAVWRTEGGELAGYVQRSGSLAHVVVYGAGHLVPADNGRAAQEMIEGWVLGTGPFGRGSDGDCGGIRSAA >Sspon.08G0018380-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:7570752:7571180:1 gene:Sspon.08G0018380-1P transcript:Sspon.08G0018380-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQQPKIEADFLDPTLLLDETHYQEGYKNGYNDGLASGKEEGRQVGLKTGFQVGEELGFIQGCLDVWTSAILIDQNAFSARVRKNIEQLAALVSSYPLSDPENEQIQDVMEKIRLKFRVITASLGVKLEYEGHPTSSKQDVED >Sspon.08G0015370-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:61604541:61617568:1 gene:Sspon.08G0015370-1A transcript:Sspon.08G0015370-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carboxypeptidase SOL1 [Source:Projected from Arabidopsis thaliana (AT1G71696) UniProtKB/Swiss-Prot;Acc:Q9M9H7] MAISHLQPLVILLVLRAFASLPTQAAARGGHDPSDDGAHESFSRKLLQDKPPQITEEMVRGYMSNAELEAAVHAFGSRCSNISRVYSIGKSVNHFPLWVIEISDKPRQREAEPAFKFIGNVHGDEPVGREVLMHLANWLCDNYLKDSLATLIVENIRLHILPTMNPDGFALRWRGNANNIDLNRDFPDQFFSVNNDIDYRQPETRAIMNWVKQEHFTASASLHGGALVANYPWDGTRDTSKHYYGCPDDKTFRHMASVYSRSHYNMSLSKEFEGGITNGAFWYPIYGGMQDWNYIHGGCFELTLEISDTKWPKADELPVIWEHNRMSMLNLLASLIKSGVHGRIFAADTGRPVPGSVMIKGIDSKVSASSTFGDYHRIVAPGETYEVVASMEGFRQKSTRIMLEQEAVNLDFILDRDGTDGQMKLPRNDQDCYCDNAKLFHVQEAHLWLYLLIVSVLLTLYLVFKRKTASRLASRLLSYRYSSQRRPVAV >Sspon.05G0014480-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:55592050:55600123:1 gene:Sspon.05G0014480-1P transcript:Sspon.05G0014480-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAMALAHLLLQLSAAGVNGASRNRMVVPAILLALGVDGAASFSFTNACKHPVWVGALHGASSPPLASSGFYLAPSATSHLDAPSSGAWSGTFWARTGCGVDSTTGRFSCATADCGTGDVACQGRGPAPPVSLVEVTLAAPGSGGPDFYDVSLVDGFNVPVRVAPSGGGGDCRPAACAGDVNAMCPADLRVVASSGSGGVVACKSACGAYGSARYCCTGQYGTPATCGPTNYSQVFKSVCPSAYSYAYDDASSTFTCSSASTYDLN >Sspon.01G0049480-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:113176566:113179417:-1 gene:Sspon.01G0049480-1B transcript:Sspon.01G0049480-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQAADIAILSLVLLFLLHRVHGFAKSMRLPPSPPGAIPFLGHLHLIKKPFHATLSGLAQGHGPVFTLRLGCRDAVVVTSPACAGERFTEHDVTFANHSLLPSQRLVTFDGAALGTASYGPHWRNLRRIAVVQLLSARRVGGMSGVICDEVRRLHRATTTTADGGAARVELKRRLFELSLSVLMEAIAETKAARPESDGDDDTDMSPEVQEFKQVIDEVFPYVSSVFVGLPAGAAVVRRVRLEEQDPGRGEQKGLIDAERRRTVDGVCSEKKSVIAVLLTLQKLEPEVYTDTMITAFCSGRRKGKAPPDLHHPPWIHQGGPLLHGITTGESPMPPSRWGRRARVEKDHHAAGSASPLRGSTTGSPVHHRSATGEPTMPPSWWGRARQGGGYPPRRWICIASHRSTMGRAVLHGSTGGEVHHGVSRCRPQLRSMKVADGSGGGSDETRREQRSLRGKGGSRREEGREGWLASGAAWRAQGRGNLFGVGTETTSTTVDWAMSLLLNNPGTLEKARAEIDAAVGRSRLINVGDLPRLGYLRCIIAETLRLYPAAPLLLPHESSADCKVGGYDVPRGTALLVNVYAIHRDPAAWEEPGRFVPERFEGGKAEGLFVAPFGMGRRKCPGEALALQTVGVALGSLIQCFHWSRVDGVEVDMSEGSGLTMPKAVPLEALCTTREA >Sspon.03G0030720-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:30814268:30816355:-1 gene:Sspon.03G0030720-2C transcript:Sspon.03G0030720-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MELDPRLYENVVRSLLHALPSSVEEKFEALSLSFSNLTSPLEIKENTLASCITNACGQLLRVVHLHGHQVEKGGLGCMIGWGQGGERLAMGRGSRVEKRPQAYDAVVGHGDSGCGRKSLWNSAGAVEPLRS >Sspon.03G0005120-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3C:19746759:19747583:-1 gene:Sspon.03G0005120-2C transcript:Sspon.03G0005120-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFELHKHNDDATQQRVSHCGVLEFVAEEGMIIMPYWMMQNMRLQEGDAVHVKNATLPKGTYAKLQPHTTDFLDISNPKAMLEKTLRNFSCLTTGDSIMVAYNNRQYYIDVVETKPASAVCIIETDCEVDFAPPLDYKEPEKPRQPTVPASSKAPAAEDGNTTVVEDELKFKPFTGSGKRLDGKASKLQASDDVPSTALSAPSGSNKRENQQISAPAASAASNYSRQKTGKLVFGSSASNNKELQKASVKEEEPAKKDEPRFQAFSGKSYSLKR >Sspon.04G0018470-3P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:4948888:4952444:-1 gene:Sspon.04G0018470-3P transcript:Sspon.04G0018470-3P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGMAPEGSQFDAKHFDSKMQELLSTGETEEFFTSYDEVFESFDDMGLQENLLRGIYAYGFEKPSAIQQRGIVPFCKGLDVIQQAQSGTGKTATFCSGILQQLDYGLVECQALVLAPTRELAQQIEKVMRALGDYLGVKVHACVGGTSVREDQRILASGVHVVVGTPGRVFDMLRRQSLRPDNIKMFVLDEADEMLSRGFKDQIYDIFQLLPAKIQVGVFSATMPPEALEITRKFMNKPVRILVKRDELTLEGIKQFYVNVEKEDWKLDTLCDLYETLAITQSVIFVNTRRKVDWLTDKMRSRDHTVSATHGDMDQNTRDIIMREFRSGSSRVLITTDLLARGIDVQQVSLVINYDLPTQPENYLHRIGRSGRFGRKGVAINFVTRDDERMLFDIQRFYNVVIEELPANVADLL >Sspon.03G0036200-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:85063314:85064108:-1 gene:Sspon.03G0036200-2C transcript:Sspon.03G0036200-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:LOB domain-containing protein 25 [Source:Projected from Arabidopsis thaliana (AT3G27650) UniProtKB/Swiss-Prot;Acc:Q8L8Q3] MASPSSTSNNSALSPVAASGTTTPGAGAPCAACKFLRRKCLPGCVFAPYFPPEEPQKFANVHKVFGASNVTKLLNELLPHQREDAVSSLAYEAEARVKDPVYGCVGAISVLQRQVHRLQKELDAAHAELLRYACGDVAAGIPTALPVVSAAPRLSTAMTTTSPRQFAAAAATAHAAAGMYCSSRRLGVLDGVAAPPPPPPAGGCYFMRNHSNVLSSPPGADVAPVLPYASVANWAVNAISASTTTTSGSESIGLDHKEGGDSSM >Sspon.07G0014610-3C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7C:58442916:58444573:1 gene:Sspon.07G0014610-3C transcript:Sspon.07G0014610-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding KKRPSRLSELESKVSQLQDELKKAKEQLSSSEARRRHVQQEAEEAKMQEQVATSKLEDLQRQLDESSAAEESRLQELRKISQERDRAWESELEAVQKQKSVDAAALSSAMSEIQRLKQQLEASAESDAARAKQCEYVEAEIEGLKQEMEIRLATIEGLKVNVSESDKAAAEANAMANETKQQLETAKATIDSLVAEGVRMQECLRSKDMELSESKACIASLQEDLKKAQDMANTDVSFGNPEPEALKKVLITTSDANVNMGCESSDPEIEQLRTALEVAEIRYQEQQTRMTIETKGLYEILESVKAECAHKLSELRLELKNKNDTLMEAMAAGACAAGKAQQDPHRPDVMQQPELEAKLMKSITDVAELKACLMDKENALQSLAEENETLKMQAGRTEAELQHKYEAAVAELELAKAAEQDVRMRLGLVTEEADKSSRHAARASEQLDAAQAASGEMEAELRRLRVQSDQWRKAAEAAAAVLGGGGEKNSNGGRTVERTGSLEPEYNNSIGGKLMSSPFSDEVDEESPKRRNSSGGSVLRRMSGLWKKSPK >Sspon.04G0010700-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4A:32798440:32799384:-1 gene:Sspon.04G0010700-1A transcript:Sspon.04G0010700-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DAFSDSDCSDPEYEPDHEIVDDDDNDDVPICSYDVDEPCVDVGCLFPDVNQCKSTLTQHAILNDYGFRTMKKDNERFRAKCFRADQGCEWTFFASTSKKKFVGCKVKTNGPKHKCTSVNQCGDTMATNNWVVERVVEFLLEDSTMGPMELQRRMKKKYGFEVPYNKVFRGKEKALDMIFGKWDDSYDLLPTYRAELLKSALGSIVELDTEVHQGDACFRRFFVALKPCIVGFLQGCRPYIAIDATHLTGRSRGQLAAAVAIDGNNSLFPVAYGVIETESTKS >Sspon.03G0014180-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:66219904:66220146:1 gene:Sspon.03G0014180-2B transcript:Sspon.03G0014180-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRVTKLASQRAVVIFSTSSCCMCHTVTRLFRELGVNPTVVELDQDPRGKEMDMALARLLGRNPAVPAVFIGGRLVGSTDK >Sspon.06G0012150-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:65033092:65038544:1 gene:Sspon.06G0012150-1A transcript:Sspon.06G0012150-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKATEAALRLGLSATAGQSQARRRRQRLLLPPLASRLAPSPPSSAHRPATLRCVASPTIANSKDQTDAEVAMGYTMTEICDKFIEFFMYTKPETKDWRKLLVFREEWRRYREHFYKRCQVRIDMETDPSLKQKLVVLARKVKKIDDEIEKHMELFTELRENPADINAIVARRRKDFTGEFFRHLNFLVNAYNGLDERDGIVRLGAKCLSAIHAYDCTLEQLDVESAQLKFDDILNSSSLNGACDKIKSLAKAKELDSSLILLINRAWAAAKESTTMNDKVKDIMYHIYTTTKESLKNISPPEMKLLKYLLNIEEPEERFGALATAFSPGDEREAKDEDALYTTPNELHKWIKMLLDSYHLNKEETDFMDARKMSDPVIIQRLTLLKETIAEEYMKQYIHPEEEESMDDN >Sspon.07G0027060-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:52766666:52769158:1 gene:Sspon.07G0027060-2D transcript:Sspon.07G0027060-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSSSQSQSSVGGGAGAGARPATVGPRGTAAAAAGMRRRRATSSAGGGGFSGGGGSNMLRFYTDEAPGLRLSPTMVLVMSLCFIGFVTALHIFGKLYRSRTAAASAEIRAEGLNLSEDFIGEERVFSGFSLLILLLIP >Sspon.03G0038000-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3C:2853827:2855171:1 gene:Sspon.03G0038000-1C transcript:Sspon.03G0038000-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPPTQRSICLATASSVLCTNTTMMTTPNAMYRTACHRNGASSFHFQFHFAGGGGVSSSGLAAAFSSWWFCCPSFPCPSSRSMSSIAERCLPEIDLLSSSILVGLETYRLLERRQGPIGRPEADKPPPRGQVPAARARPVVLLDSTPTQPSEQLSPPNLTLRPAAFKAVNDIRARLEQACSRVVSCADIVALAARESVALKIASSPNVFGMASPWRPLLEPEPMLISIY >Sspon.05G0011190-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:5A:31984380:31984985:-1 gene:Sspon.05G0011190-1A transcript:Sspon.05G0011190-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNPSASPSPAAPATAATRRWLRRRRQLLPSSSCSSSAVPTSASSSSSSSSCASSGLSFSFTSFSPAPSPFHHRFFLSPLRASAVPFSWEHRPGIPKTPARQGQAARGSGKTAKTAAAALPRPLPLPPSLLSSKVGAADAADGYFIVPDDDAKARRRRRRQRPPALAATLTDWLAVLSLYGRARGPATASLARRRRAPALRR >Sspon.05G0016750-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:68937170:68940222:-1 gene:Sspon.05G0016750-1A transcript:Sspon.05G0016750-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMGAAVRAAAADAVVTFLWVLCASALGASTAAVTSYLGVQEGAGGHYALLVTASLLAVLLFTFDLLCGALGGASFNPTDFAASYAAGLDSPSLFSVALRFPAQAAGAVGGALAISELMPAQYKHTLAGPSLKVDPHTGALAEGVLTFVITLAVLWVIVKGPRNAILKTLLLSVSIVSLILAGAEYTGPSMNPANAFGWAYVNNWHNTWEQLYVYWICPFIGAMLAGWIFRAVFLPPAPKPKTKKA >Sspon.01G0004760-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:11288420:11291035:1 gene:Sspon.01G0004760-3D transcript:Sspon.01G0004760-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPEVEVAAATAMETEAPAAAGQKREREEGDDPAADGGEAATEEAAAAAKKPKVEGEAKEAEEAEEGKAEETEEGKAAEADGKPVKLGPKEFASAVEMFDYFFALLHSWTPQLEFNKYEQMVLEDLLKKGHADPAKKIGAGVEAFEIRNHPVWQSRCFFVRRIDGSADDFSFRKCVDNILPLPEDMKIGNGKKSGGHHKSGGGGGRGGGRGGGGRGGWRGGRGRGRRGG >Sspon.02G0036390-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:24640018:24643928:-1 gene:Sspon.02G0036390-1B transcript:Sspon.02G0036390-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDSFCPDCKKQTEVAFDHSAGDTVCTECGLVLEAHSVDETSEWRTFANESNDNDPVRVGGPTNPLLTDGGLSTVIAKPNGAQGEFLSSSLGRWQNRGSNPDRSLILAFRTIANMADRLGLVATIKDRANEIYKKVEDLKSIRGRNQDAILAACLYIACRQEDRPRTVKEICSVANGATKKEIGRAKEFIVKQLEVEMGQSMEMGTIHAGDFLRRFCSTLGMNNQAVKAAQEAVQRSEELDIRRSPISIAAAVIYMITQLSEDKKPLKDISLATGVAEGTIRNSYKDLYPYASRLIPNTYAKEEDLKNLCTP >Sspon.02G0039890-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:62751006:62758886:-1 gene:Sspon.02G0039890-1B transcript:Sspon.02G0039890-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVYCMDILGSTIPSAQFSVNGLGFADESFPLSHHLYFFSNFLDGLPYHISWPLVISIACCVFYSHCGNRTVSRDKSIDRRTASWIVFSLWTKHDDNTLISRLLRMHKFKEQICSSWFAPVGSASDYPLLSKWAIYGELSSNGSGSSNEISPVYSLWATFMGLYIANYVVERSTGWALTHPLTISEYEKLKKQLKPDFEDMVPWYSGTSTDLFKTVFDLMISVTLFVGRFDMRMMQAAMNKTPDEANSHGLLYDHLDGKDELWFDFIADTGDGGNSTYAVARLLAQPSLVIKSDDSRLTFPRGQLLLIGGDLAYPNPSSFSYERRFFCPFEYALQPPAWYKPEHIALEKPELPLGVSELRRYRGPQCFMIPGNHVAHYSISLISTEDLVIVGESDSVIVITHEPNWLLDWYWGDSTGTNVAYLIREYLRGRCKLRMAGDLHHYMRHSCIESKEPVHVQHLLVNGCGGAFLHPTHVFENFRVFYGNKYETKSTYPSYNDSSKIALGNILKFRRKNWQFDVIGGFVYFVLVFSMFPQCDSFRILHEDSWAGRINGFFSAMWNAVFEILERSYVSLGGVVTLLMATIPFMNGTEKWKANIFLIPRDFALVSSIGPLDFTLHVMAVTRSTICRKGIESLPRGGAIIYYVCVFLYFWVLSTPVVSLVFGSYLYLCINWFHIHFDEAFSSLRIANYKAFTRFHIKKNGDLEVFTLAVDKVPKDWMLDPDWDMEPKQPLQMSYTRKFPSKWRAASGLDPINAVRIVDRFVIPRTPSSPRTPSSPTTPGGSVR >Sspon.03G0028920-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3B:12314469:12315194:-1 gene:Sspon.03G0028920-1B transcript:Sspon.03G0028920-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTTTACHHRNDDCTNARTKRRARNREHLREEHQCARQIATKEQKEVTAWSKEDNDHKGSAKEDKEHKDNPKVEKEYEDSTKEEFHHNANFSNMKTEDEAS >Sspon.02G0013960-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:36958419:36961880:-1 gene:Sspon.02G0013960-1A transcript:Sspon.02G0013960-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLETAAAAASPRAAAAAVAAVAAPAVSSPRRGGGAAHHHRRWGPASFRAYLIALWLVGFALVILWQRTSVGRVRLYTHPPMPKRAAASLSLGQWVASPPVYDLREFGAVGDGRTVNTAAFESAIAAIAERGGGRLTVPVGRWLTAPFNLTSHMTLFLAAGAEILGIQDERYWPLMSPLPSYGYGREHKGPRYGSLIHGQDLKDVTITGHNGTINGQGQSWWEKFRRKLLNHTRGPLVQLMRSSDIIISNITLRDSPFWTLHTYDCKNVTISETTILAPIAGAPNTDGIDPDSCENVVIKNCYISVGDDGIAIKSGWDQYGIAYGRPSANIAIQNVVIRSMVSAGVSIGSEMSGGVSNVLVENVHVWDSRRGVRIKTAPGRGAYVTNIMYRNITLENVRVGIVI >Sspon.06G0011810-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:3991202:3991838:1 gene:Sspon.06G0011810-4D transcript:Sspon.06G0011810-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAAAVLLAVAAAVVMVEVATAATYTVGVPAGLWDMQTDYAEWVKTKTFHPGDNITFTYSPELHDVVEVTKAGYDACSSANNISAFRTGNDVVSLTAAGTRYFLCGLTGHCGNGMKIRVDVVANAASSAPGPAAAAGPVSSSAAVPTSASRAPAGIAAGVAAASLLLLHQQQHAV >Sspon.03G0002600-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:15790613:15791359:-1 gene:Sspon.03G0002600-2B transcript:Sspon.03G0002600-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAHAFESKTVANPPPFDNTTATAVVRYKGVPDYAPAAMPALPPYTDVVTAGRFYWSLTGLVRAGDPVVPRTVDHSLVVEFGLDQAPCAPEQTKCQGFAVVASMNRYSFLFPDKVSLLEAFFRGVPNVYSEDFPGAPPPVPAPRKVTSVRKVNFNDVVEVVLQSQEYSTALGTENHPIHLHGFNFFVLAQGLGRFDPSMKSKYNLVNPQVRNTIAVPAGGWAVIRFTADNPGERGFATDRLVHQNLGR >Sspon.08G0015290-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:56508725:56511347:1 gene:Sspon.08G0015290-2B transcript:Sspon.08G0015290-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATYSLQDELHGGSIGIGIGVGGGGQGLYCGATPTPAPPPAATGGGGDGAAKSNKRSRKREPSSSSSLVTMSNGGGKDEAVAGGGDKSASSNSNATKRSSRFRGVSRHRWTGRFEAHLWDKGTWNPTQKKKGKQVYLGAYNEEDAAARAYDLAALKYWGPTTYTNFPVVDYERELKVMQNVSKEEYLASIRRKSNGFSRGVSKYRGVARHHHNGRWEARIGRVFGNKYLYLGTYSTQEEAARAYDIAAIEYRGINAVTNFDLSTYIRWLKPSGGAAAAGEDGAAGTPTSGVRGPGIPPASSLSLQAGGLLQHPHCAAPGMLQVDVDDLYRGHLAAARGAALFPGGGLDDVGSVYAGSAGPSPTALCVGRPSPSPSPSSSTTALSLLLRSSMFQELVARNAGGDAQQQQLVLAGEGAVSPHVVVDAKVEQHDELEAEGELGHGGELYGAAGADEDEDALACSMYELDDSFARIEQSLWGCLRSSDGSDLNL >Sspon.03G0023520-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:90365074:90366517:-1 gene:Sspon.03G0023520-3C transcript:Sspon.03G0023520-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRGSAAAGAMEEGQAGYQSSEDGSAAGSGSGSNRCNDDMISVQFMQKIFAEVLGTYFMVFAGCGSVVVNLSTNGTVTFPGICAVWGLVVMVLVYSVGHISGAHFNPAVTVAFATCGRFPWKQVPSYAVAQVLGSTLASLTLRVVFGGATAHDHFFGTAPSGTDAQAVVLEFLISFYLMFVVSGVATDNRAASSLRWAEWVCEAADAFALVLTQIGELAGLAVGATVLLNVLVAGPITGASMNPARTLGPAIVAGRYRSIWVYMVGPVCGTVTGAWAYNLVRFTDKPLREITKSGSFLRAAGRTS >Sspon.05G0010750-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:21642186:21645885:1 gene:Sspon.05G0010750-2C transcript:Sspon.05G0010750-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPLAHPAGHRHLHLHLPPATLQRAPAPGQAYLPSRRGARTLARARPPSPSAGAAGTEATSTTSGSVLSFLCPLLKLLGGGDPSQQRNDVVEVTTSSISSLARLPWGSKVATSSGENIDSAISTPTLQLYEFEACPFCRRVREAMTELDLSAEVYPCPKGSLRHRDVVKKIGGKEQFPLLVDASTGISMYESEDIVKYLFRHYGQGKSPSPGLLESTIFTGWVPTLLRAGRGMTLWNKAGAVPAEKLELFSYENNSYARIVREALCELELPYVLQNVGEGSSKTDLLLRKSGSKQKKGEARVTSNSRITLVLQVPYLIDPNTGFQSSDHKKILAYLFQQYSASS >Sspon.03G0038230-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:3705509:3707085:-1 gene:Sspon.03G0038230-1C transcript:Sspon.03G0038230-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVEDQVVYDLVIEILRACCELGRGAEKHAPAVHQPPLNPIHLSCAAGCSARHPFQFQPRAHASSSSPRSPDLPTPTSTLPPPSTHQNTASDPRRAARSPADGMPPPQPNSAPRLALPAMARSRSQTQSQADLDFPSLISDLTSLLLHSPAGAASSSSGPVFSSSSLSIPTPTPKPKPKPNPSPTSTTATATPTPLARAAIGACAGAAAGAFTYAALLPIDAVKTRLQVQAAAAPSATSWQVFLDILRTDGPLGLYRGLSAVILGSASSSAVYFGTCELAKSLLRPHLPPFLVPPLAGASGNVSSSAIMVPKELITQRLQSGAATGRSWQVLLGILRADGFFGLYAGYAATLLRNLPAGVLSYSSFEYLKAFTLKRRAEESLTPGESVLCGALAGAISAALTTPLDVVKTRLMTRVGAEGSRTVLGTMREVVAEEGLVSLSRGIGPRVLHSACFAALGYCAFETTRLAILQCYLERCQINAKAAAQPEMEPGVAAAAT >Sspon.02G0023000-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:77719222:77721606:1 gene:Sspon.02G0023000-1A transcript:Sspon.02G0023000-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding IGARVYGIGLNDSAGLSPLDKGGHGSHTASIVAGREVCNVSLGGLAAGTARGAVPGARLAIYKVCHHGGCHDADILAAFDDAIADGVDVISYSIGDVVPSQYFMDPGAIGSFHAMRRGVLTSAAAGNSGLDGGHITNVAPWMLSVGASSIDRGFVDNIVLGNGRTIVASINTFPPLQNATLALPINGYCEPQGLAGGSYKGKIVLCPADNSSLNDGTGPFKAGAAGAIMVGYYPNLALTVILPALMVTQDQFDEILAYMKSSRCPVGTIDTTETMFDPQAPIAASFSSPGPNLITPEILKVDLAAPGIDIIAAWTLLSSPTGEPATTGESVQHRVRYVSMACPHASGAAAYVKSYHRDWSPAMIMSALITTGTPMNTPANSGYSELKYGAGQLNPSKARDPGLVYDASEGDYVAMLCAHGYNATQLAIITGSNATSACDGAAVPRASDLNYPTMAAHVVPGANFTVSFTRTVTIVGASPDAAYVANILLLSKRPSVSVIVSPDRLEFSGQNEKAKFCVSMSGEGLAADEVVSASIVWSDGKHEVKSPLVVYT >Sspon.01G0014650-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:31774743:31778584:1 gene:Sspon.01G0014650-2P transcript:Sspon.01G0014650-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRIPSVSLRGGADEDSAAASPPPDPAALSRWVRAFCVIRFDLERGQLVEACFPPDALTAPGGGLDRLVAFSSFPDSMSHHLPRHRSSVHDSLFSFRVPDPSSPRRAFLYGFVFNRQRQDERLPRGGEQKSVVILSHAPYSSLFRLLLQILGPLCFDVGPSALAMVASHVAVWPAPAPGRPMELPIGSAALRVHLPPAADDPGPPPALLPANPSVPYGLFHDADLFAAFRGLLLHLWTLWELMVVGEPVLVVAPSPAQCSEAVAGLVSLVAPLLYSVDFRPYFTIHDPDFARLNALAEGEVFPPMVLGVTNLFFLKSLKSIPNVVSVGSPNPNSTRVLPVGGQSPGNGMNGTPGKLKLEKLAINKFSPTSLLNSIKLRREGPLSLMTEHKEALWSTYSPTTKPDTSVLNRLIDAGVSPRIEESMSVVNNEILRRHFLELTTNFLAPFGPYLRTTTPSEGSSPFVDPPLLPPFHADEFVNGLAARGPGKFLSKRMRSNWLDLYRRFLEGPNFMPWFRQRRAAAEQEQQRLWRQARMNVDIEKLMSNMSELERIDSFNAVERYLLREMENPGKGSADSIGACQKLKVDLQAAFNVLPKDMQQLLLSNPKRAVLLQGSQEKALGANGIVIQTSL >Sspon.03G0011700-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3A:31920035:31922693:-1 gene:Sspon.03G0011700-1A transcript:Sspon.03G0011700-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VQGSKKRKKPVSSSG >Sspon.07G0015520-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7B:59837485:59840720:1 gene:Sspon.07G0015520-2B transcript:Sspon.07G0015520-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPSPSPSPSAPASHHLRLWWRRRGRAGAVGATFAVALLAAALLLALSSYASVVFPASGGRRGPALVGLTLVRRASEKGALCLDGSAPGYHLQRGSGSGSQSWLIHLEGGGWCRNLKSCASRQRSMLGSSRYMEGQVEFTGILSDDESQNPDFYNWNKVKIRYCDGASFSGNVKDELQNGTRFFFRGQRIWEAVMNELVVKGLRNAKQVIPCKEDISGRRTMQSFYSDVVRLHGLRERFSHCNSNMEAGQCLFPREVVKHIFNPVFVLNPAYDAWQVQHALAPESSDPQHSWLDCRLDINKCSSEQLEILQG >Sspon.08G0001270-3C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8C:1093739:1098646:-1 gene:Sspon.08G0001270-3C transcript:Sspon.08G0001270-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIIINSLYSNKDIYLRELISNASDALDKIRFLSLTDKEVLGEGDTAKLEIQIKLDKEKKILSIRDRGIGMTKEDLIKNLGTIAKSGTSAFMEKMQSGGDLNLIGQFGVGFYSVYLVADYVEVVSKHNDDKQYVWESKADGSFAISEDTWNEPLGRGTEIRLHLRDEAKEYLEEDKLKDLVKKYSEFINFPIYLWSTKEVDVEVPADDGETSDEEDSTPETTEEEETEDGEEKEKKPKTKTIKETTSEWELLNDVKAVWLRSPKEVTDEEYSKFYHSLAKDFGDDKPMGWSHFTAEGDVEFKALLFIPPKAPHDLYESYYNSNKSNLKLYVRRVFISDEFDDLLPKYLSFLRGIVDSDTLPLNVSREMLQQHSSLKTIKKKLIRKALDMIRKLAEEDPDEYSNKDKTDEEKSELEEKKGQYAKFWNEFGKSIKLGIIEDATNRNRLAKLLRFESTKSDGKLASLDEYISRMKPGQKDIFYITGSSKEQLEKSPFLERLTKKNYEVIFFTDPVDEYLMQYLMDYEDKKFQNVSKEGLKLGKDSKLKDLKESFKELTDWWKKALESENVDSVKISNRLHNTPCVVVTSKYGWSANMEKIMQAQTLSDSSKQAYMRGKRVLEINPRHPIIKELRDKVAQDNESEELKHTARLVYQTALMESGFNLPDPKEFASSIYKSVQKSLDLSPDAIVEEEDEAEEQPEVEEKEPAKEESEPSYDKDEL >Sspon.03G0026420-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:1425070:1426080:-1 gene:Sspon.03G0026420-1P transcript:Sspon.03G0026420-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHQLFDDPFGSSGISSLEADIFSAGGGGQLPSPPWPDLDLDLDDDYDIHDLSAPAANAATSSGGGYGSGGSGSHRKLSHNAYERDRRKQLNELYSSLRSLLPDADHTKKLSIPTTVSRVLKYIPELQKQVDNLERRKKELTNANCKPGVLNTKEIVTPIVSATCLNDTEIMVQVSLHSNVAATALPLSKCVKVLENEGLHLVSSSTYSTFENKTFYSLHLQVHDS >Sspon.07G0012570-2T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:43221557:43223254:1 gene:Sspon.07G0012570-2T transcript:Sspon.07G0012570-2T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQNIALDAARREAEMAICARNDFLAVMNHEMRTPMRAIISLSSLLLETKLTAEQRVMIETILKSSDLLETLSNDVLDISKLGDGSLELEIAPFNLHATFTDVVDLIKPVAAVKRLSIMVHLAPELPTCAIGDRKRLMQIILNVAGNSVKFTKEGHISISASIARTYAPDLHPVLSDGSFYLAVQVKDTGCGISPQDMPHTFTKFAHPQNATNKVQNGNGLGLALSRRFVALMQGNIWLESEGVGKGCTATFFVKLGLSDKPNANLRRIVPPVQPKQGTADPDASSIINVDMAILPHRYQSMI >Sspon.02G0043860-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:97364121:97372448:1 gene:Sspon.02G0043860-1B transcript:Sspon.02G0043860-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMLAAAAASALLASPQAASLVRGRLSGAWTLRLRPAASHGVAALRVQNFVPKCYITNLEVDVSTVNKEETFSDHPSLPSVCSIPVVNLRGDVLDSSPFPLHDRASCPSDFEELPVLSEGEQHTLASTPAHPAGLYALYASYLFGNLVEQLWNFAWPAALAILHPNLLPVAIVGFFTKLSVFIGAPIVGKLMDHFPRIPMYTALNAVQVATQLISAATVIYALRNLSHGSTTAVVLRPWFIVLVAAGAIERLAGLALGVSMERDWVVLLAGTNRPVALAQANAVLNRLDLVCETVGASVFGLLLSRYHPVTCLKIACGLMICSFPVLVMLGQLINRVSCHALDSSRTATDESICTDLLDVRRIVQNSLSAIKHGWNEYKQQTVLPASAATVFLNFNVALAPGAIMTALLMHRGISPSIVGAFSGLCSIMGLVATFISSSLVKRVGILKAGAAGLIFQASLLSIALTVYWAGSISQRTPLLIFLASIALSRLGHMSYDVVGTQIVQTGVPASKANLIGGMEVSIASLAELVMLAMAIIANDVSHFGFLAILSVSSVAGAAWMFCRWLTNPTDEQRELFMFDPLYQPQPQLPGGKRLDGAGVLRLAMSAVRAKAAEAKAAATALANDPKTQPLARNPLHDCVESFDDIAYSLDQAQKALASGDRDTTGTMLDTVRTDVDTCDQGFEEREELTPVMAKHDAELAKLPATASPSPPPPACARPAAIYRS >Sspon.08G0011440-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:47802626:47803596:-1 gene:Sspon.08G0011440-3C transcript:Sspon.08G0011440-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQSLMKLFFDNSCQKQVKVTTAASCRCYRQCYDRALSMALVVMLGLDAAGKTTILHRLHVGEVLSTVPTIGFNVEKVEYKNVAFTVWDVGGQDKLRPLWRHYLSNSDALMYVVDSTDRDRVGVAREEFQAIVKDPLMLSSVLLVLANKQDMVGNSSGTKQTEAENASLICKGAMSPSEVGQRLGLYDLKNRTSRAVGACALTGEGLHEGLGWLAATLKDAHTWGTSVRF >Sspon.03G0017740-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:79377306:79378134:-1 gene:Sspon.03G0017740-2B transcript:Sspon.03G0017740-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Expansin [Source:Projected from Arabidopsis thaliana (AT4G01630) UniProtKB/TrEMBL;Acc:A0A178UWZ2] MLLLLLSLCASRLDGAAAQQYWTPATATFYGGSDASGTMGGSCGYGDLYSAGYGTQTTALSTALYGDGASCGACYLITCDASRTQYCKPGSPSVTVTATNFCPPNYGDPNGWCNSPRQHFDMSQPAWETIGVYQAGIVPVNYRRVSCQRSGGIRFGISGHDYFELVTITNVGGAGAVAAAWIMGTGTDWLTMSRNWGENWQSGAYLTGKALSFKVQTDDGKVVVADNVAPANWQFGSTYQAS >Sspon.03G0031920-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:32110982:32114223:1 gene:Sspon.03G0031920-1B transcript:Sspon.03G0031920-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MYERMEAAKQRHAEEMERQRIKFLKDLELKRMQAFVDMQLQLARAKHARKGDASSEMLILLGANGMMSLSDEDRSGLGGRLVVALRYIDGLKGSGKLK >Sspon.08G0024010-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:60849944:60852016:-1 gene:Sspon.08G0024010-1B transcript:Sspon.08G0024010-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCCGGHGRRHRAASAAAVADRRPRGHGVHGLVHPPEREPLAVALRLHAVVARREHPRDHAPLHRLPVADAGVLEPAFLHAPPPPGEGLVPLEAVPGLERHRVADLPREHLLRLGPRGARVCQPRRVHGVERRGVVPQRAREPRLPAPGVEGVLVLAQEEDGGACAAREPEEVGDRGAHLVAEQAVRGEDAVHGDALAALDVEHPQADVLEAVHHGVELERKPERSGHAPQPRAGLLQLLPRLADAGDPHVRGGPRRARGEALQEARPLQPLLDVEVDDVDAVVAVERAEHPLVEGEVQEARDRGDGVEHPQRRGVVVVSDGAVGELPRRGDVEHVGPHANHAQRERLGEVGGHAVHRRGPRGGGHLAQPRQVVVGGVVVAGGEGVGAGGERDDQLHGLHPLRRGAVMRVELLRPQDRRRAAVRAARRGRRVGRRLAELLLLGRGQRRARGGGHAVGERRGARHQEDLLFLGFLSIAGTSRLHCPCNGSMEPYLISVPAHPRPLGANDGAAVLVARVLLMGPLNGPAPLPGCSAIAAGLRLGSPGHRLGDRWRRVAAEGAGGAAAGPGVGRRDARRGAGAGPVAAVARPLGEAVGEDVESLRGAHLMPRRREGATSQHPGDAAGSGVARRDLLSSSVRFLWLWGLVFVFFVDLLLSVDSLLTTLTVSLCYLATGLEDLSFPVSCEVSCCI >Sspon.06G0014850-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:15093141:15096639:1 gene:Sspon.06G0014850-4D transcript:Sspon.06G0014850-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRETLKRSWICLLEVLVQLTRRLFLWRRDKMAPPASSLPSRPTPAMVCARQGRLRQRYEGCYRLVSGCIPYMLKDKEDAGEKICQQDMIGRLQVLMISTPKRSDLIFPKGGWEDDESIDEAACREAFEEAGVKGIISATPLGEWIFKSKSKQNSCGLQGACKGFMFGLQVTELLEIWPEQVTHGRRWVPVEEAYSLCRYDWMREALDKLKEQLQFESNEFRPLPSPELVDSSSLYIW >Sspon.02G0023230-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:78840717:78846149:-1 gene:Sspon.02G0023230-1A transcript:Sspon.02G0023230-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SEC12-like protein 1 [Source:Projected from Arabidopsis thaliana (AT3G52190) UniProtKB/Swiss-Prot;Acc:Q8GYE0] MAGGGGEASAAGGGKVACAAWIRRREEKAAAAAAARVFAAYGRAAGVAGSPPALEVLGFDAKECSLSPEPLARAVLGEGGAGDAPRGIAVHPAGDELVCATATGCRLFKLIFEEFTVRIIPRDAPPLESVGPQKCLAFSTDGAKFAIGGEDGHLRIFHWPSMNVLLDEPKAHKSFRDMDISLDSEFLVSTSTDGSARIWKIDEGAPLVNLTRSSDEKIECCRFSRDGMKPFLFCTVAKGSKVVTAVWNISDWKRIGYKRLLGKPISTLSVSLDGKYLALGSHDGDFCAVDVKKMEVSHWSKKVHLGSLVTSIEFCPTERVVISTSHQWGAELTKLNVPADWKEWQVWLVLLALFLVSAILFYMFYERSDTFWNFPMGRHQPAKPWNVLKESPPVPEDQNPW >Sspon.05G0014310-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:51378750:51380549:-1 gene:Sspon.05G0014310-4D transcript:Sspon.05G0014310-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPVVDAEYMAEIERARRDLRALISSKNCAPIMLRLAYVLLMATMSDLPVTCSVTMASFRRCRWHDAGTYDAKTNTGGPNGSIRFPQEYSHSSNAGLKIAIDLLEPIKQKHPKITYADLYQLAGVVAVEVTGGPTIDFVPGRKDSSDCPEEGRLPDATKGASHLREVFYRMGLTDKDIVALSGGHTLGRAHPERTGFDGPWTKEPLKFDNSYFVELLKGDSEGLLKLPTDKVLVEDPEFRQYVELYAKDEEAFFRDYAESHKKLSELGFTPARSCKSGNKQKSLLVQAAAGVAVAAAVVAWAYLCESNKKFI >Sspon.06G0026170-1P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6C:74815095:74815784:1 gene:Sspon.06G0026170-1P transcript:Sspon.06G0026170-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKIFALLALLALSVSATTAFIIPQCSSVTPAGYEHPVVRAYRLQQVLAASILQQPISQLQQQSSAHLLVQTIVAQLQQQQFMPVLSQLAVANPAAYLQLQQLLPANPLAAVNAIAYLQQQQLQQFLPALSQLVVENPTAYLQQQQLLPFNQLALPNAAAYLQQQQPLPFTQLTVAAAAAYHQQQQLLPVNPLAAGFLQQQQLLPFNQMSLMNPALSWQQPIVGGAVF >Sspon.01G0046250-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:96698555:96733994:1 gene:Sspon.01G0046250-1T transcript:Sspon.01G0046250-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPVKFFSVFLAVSVVGWVVFTFASRLLAWFLSRVFSASVGFRVAGFNCLRDVTIKFCKGSVESVSIGEIKLSFRKSLVKLSFGVICSKDPKLQLLINDLEIVTRSSSQNKKIRKSARPRSTGKGKWLLTSSMARLFSVSVTDLIIKVPKGAVDIKELTVDTFKIAGPNHILGVKLHLLPLNIHFGDLGLTTDPMGSCSLLDAFQSDQASVSNSEKSLAPFVCEDLLVTCNLGHEKEKGIKIINLELKCGYAIANIDERMFCKKHTIPECNTVSLNTGDSITDTSAIKQTSKSKSILPLLKKQMLAFPDKVSFSVPKLDVKFRHLHEGLSVDNNITGIQFTCAKSLPQDDFEEVTPHFDVQIDLSEIHLIRDGSSSLLEVLKVFAIASLDVPVDPFLPIRAEIDAKLGGTQCNVMLSRLMPWMRLHSLRTKGRKLSKTSSNQEVTQKREIKPILWTCMVAAPEMTVLLYSLNGLVLYHACSQSSHLFANNIASKGIQIHTELGELLVHMEEEYREFLKENIFGVDTYSGSLMHIARMSLDWGYRESDVQDMVETSRHALVFSIDISDIEVKFGFKHLESLVLNLMSFRTLFKSLQSSGGSAKEKNLERRGEKKMKGVKKLKLSLQKLSITYCGDANIVNMPIADPKRVNYGSQGGQVIVSVSADGTPRRASLTSVLPGCHLRFSASLVLSHLSMCIDKESKTTEVELERVKAIYEELPEDHSGVSVTLLDMQNAKIVRRSSGHTEVAVCSLFSATDIYLRWEPDAHLALYETFIRFKHFLHHESQKSEKMTNTEVASMEANEHGNMTASSVKPQKSDRKGSVFAIDVDVLRVSAELADGVEANMHVQSIFTENAKIGVLSEGLSLTLNGARVLKSTRIQISCIPFSTGSLLDAKVEPSSKRDWVVQGLDVHICMPYRLPLRAIEDAVEDMIRALKLVSSAKRSIICPDGKEKSRKVNSGGSKFGSVKFVLRKLTADIEEEPIQGWLDEHYHLMRSKICELGVRLNYLEEAISGSVDPSNRSSERKVLYNGVEVDIHDTAALQMLQEEIHKQAFRSYYVACQNMVHAEGSGACSEGFQAGFRQSSRRASLLSFSASDLDVTLTRIDGGEIEMVEFIKGLDSVCQEQNIPFSRLYGSDVSVLAGSLVVQLRDYTSPLFSSLSGKCQGRIVLAQQILCPSSLLFLTLSTFQATCFQPQIHQELYIGRWQKVTMLRSASGTTPAMKMYSNLPIYFQKGEISFGVGYEPSFADISYAFQVALRKVNLSNRASCSGPAVQPPKKERSLPWWDDMRYYLHGKIILYFNETKWKFLATTNPYEHVDKLQIVSEYMEIQQTDGCVDVSAKEFKIYISSVESITKNRRLKVPSRVPRPFIYAPLFSLNVVIDWQCESRNPLNHYLHALPVEGEPRKKVYDPFRSTYLSLRWNFSLRPLQSQYGNGPSPPFYGNNSMLCGTMSGSPCKMADDDFPTMNLGAHDLAWVFKWWSLNYSPPHKLRSFSRWRRFGIPRATRSGNLSLDKVLVEFFFRVDATPCCIRHAPLSEDDDPASGLTFKMSNLKYELCYSRGKQQYTFDCKRESLDLVYRGLDLHMPKVYLMRDSNLSKVENVSKVRTTVQQSQGKFVHDRCNMGNFQEKHEDGFLLSSDYITIRRQTRKADPERLMGWQDTGRSHELTYVRSEFEDDSESDHPLSEPSDDDDDFNVVLADNCQRVFVYGLRILWTIQNRDAVWSWVGGISKAFEPPKPSPSRQYAQRKMIEERNAEGSKLAQDSSSSIHVGSPSLQHLDASGSSSSLHSKANHSSDVAVKHDIFYDSDKGGTAQYMVNVITPQFNLHSEEANGRFLLAAASGRVLARSFHSVVHLGKEILEQALGTSSAHILELQPEMTWNKSELSVMLKDVQAHVAPTDVDPGAGLQWLPKVLGSSEKLKRTGALLERVFMPCQMYFRYTRHKGGTADLRVKPLKELRFNSPDITATMTSRQFQVLFDVLRNLILARPPKSGISDELEMLVPNEHYPSDDEDIEEEADEVVPDGVEEVELAKITLEQREREIKLLLDDIRSLTGNGDNGTDHCHSSEKGDCLWMINNRKASLVEGLKRDFANLQKSRISASSALRKALSNASQSHLEKEKNKTTSCAMRISMKISKVVWSMLADGNTFAEAEINDMVYDFDRDYKDIGIAQFTTKYFVVRNCMVNAKCDTLLSAWNTPPGKINMLRVDAKQGAPKNGSYPLELFQVGQFMVEIYPLRIYLSEAMYKMMWEYFFPEEDDSQRRQEVWRVSTSTGPRRTRRLSSGVDAVTSSSYSVRDHELPGKSATTVSTSTNVSSWQGLLSDNPQSIKANMVCGSHQELHRSSSFERNWEESVSESVASNDVVSFMNSSTISSKVDASNSVLENPVVGSEMWRSKTKDSKPAKPGRLSHEEKKLGKSIDEKKTKPRKSMEFHNIKISQVELLVTYEGSRLAINDLRLLMDTFHKAEFTGNIATVFGYIIPICLGKKFSNHRETLEGSIPENDLNLSDSDVGHHGRHDQLTASWLKRPGEGAGDGFVTSIRGLFNTQRRKAKAFVIRTMRGEGHNNDEYQDEWSESDGEYPFARQLTITKAKKLIRRKFRPRGQKNSGLSLQDSLPSSPRETTPYQSDSSRSSYEDFHEQ >Sspon.07G0009330-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:26281632:26287672:-1 gene:Sspon.07G0009330-1A transcript:Sspon.07G0009330-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding METNGRGNQQEEPHPQHHFVLVHGLCHGAWCWYKAATALRRAGHRVTAPDMAGCGAHPARVDEVRSFQEYSRPLLDAVAALPPGERAVLVGHSHGGCSVALAAERFPDKVAATVFVAASMPAVGRSMAAATTDEFLKFVGAEPDFFLDTKELHQENPNIPGRPVIFGPKFTAQRLYQLSPPEDLTLALSLIRPANRFNEDALMQDEKLLT >Sspon.01G0017310-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:67750955:67752723:-1 gene:Sspon.01G0017310-2B transcript:Sspon.01G0017310-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SPX domain-containing protein 3 [Source:Projected from Arabidopsis thaliana (AT2G45130) UniProtKB/Swiss-Prot;Acc:Q5PP62] MKFGKRLKKQVEESLPEWRDKFLAYKRLKRLVRLVSATASSSSSSPRRRGSAAEAAFLRLLDAEVDRFNAFFLEREEDFVIRHRARSTFCLPSDPPPYQNFAGLAKILKKYDKHTGRLLRLPFIEKVLGQPFFATELISRLVRECEATMEAVFEASRGHAGAPAGTAPLAAVAAAGQGIFRNTVAALVTMGELRSGSSTYGHFSLPPMAPPESDLL >Sspon.01G0048870-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:100709562:100711759:1 gene:Sspon.01G0048870-2D transcript:Sspon.01G0048870-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRGTVRSAGGGAGVPHQGIRRIFQRPAAVLRRCPLRADRREVGSSGVEVDSRTGSASGRRKHGAGETVWTAVMAEMGRRMAGKEAAECRMAAEAEWWCLCHCAAMGRRLSQVSQTLWPCCLLGWASPNRQSTSFLVLAFASRPAVPPFPCGLRPLPAALAKASPASQIRRAPGRARGRSLSRPERHSVLPLLLASVAASMALKVVSRIRFARRHLLSGRLTYCSCPDSPSVRASADRASSFEFDSAIRVLKDNLRPESLALVLDSTSDSSLALRIFRWVSHQRYNIHTVDTYSCMISRLTAVENRDEMDSLLSEMVRLKVPALEQALSKLVECLSSKNRLDEALLVIQHASSVKLKLSVSACNGVLHGMVKQGRGLRLCMLAYMEIVKAGILPDVETLNLLIEALCESGRLDLALIQLDRMSKKSRAGEAVKLFDKMLQLRCSPDSSFHAQVMPPFCKFSKVKEAIMLYRMRKEDNIQLSLQLYSALVRCLCENQLLDDATIVLKEMITSGHAPMASTYVDMINCYCTNAKFHNAVIFLEENDVTETEPYNVLLTWLCRNDRLQDSVSYLEKLRSRGFVDCESWNIVITQFCNEGNIRRASELIGTMVVSSFAPDENTYSAVISCYCRLGLYIDALSMFRR >Sspon.02G0047220-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:12885653:12888245:1 gene:Sspon.02G0047220-1P transcript:Sspon.02G0047220-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSGQPPDLKKYMDKKLQIKLNANRVVIGTLRGFDQFMNLVIDNTVEVNGNEKNDIGMVVSNCLNSAISNALLAVYLIWKYFCQFILMVIRGNSVVMIEALEPVAKAQ >Sspon.07G0001780-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:4271516:4276177:-1 gene:Sspon.07G0001780-1A transcript:Sspon.07G0001780-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding YPGRTRSSGPVLIIDTCLVTWLQPLAPLPRPFPPLLPTPLPRRLSPSHHLPPPGLSLSFALAHLLIGPIWPRDSGCSVAPRCRAEPPPDLARLAGTVMAQDEAVATQKTGNTASPSKDYPTPSPYPDWSTMQAYYGPGVLPPTYFAPAIAPGHPPPYVWGPQPIMPPPFGTPYAAVYPHGGAYPHPFVPMCLLAVVASSGNSKRTMSSSEDYSAEGSSDVNDQKVNKTSRKRSSDDGPGTETTTGANTECVLAPNHTLGNAAILPHHCSPAPVIKPSATNIANSRAIGTAISPSAGVMVPAHTAVQSDLSIKDERELKREKRKQSNRESARRSRLRKQAETEELATQVESLTAENTSLRTEIGRLTESSEKLRLENSALMVKLKDTAAPTPADKAATSSSSPCAAAENFLSMIDSAKAAGVSRHTEHGEPKLRQLLDSSPAADVAAVS >Sspon.02G0015500-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:42312673:42315435:1 gene:Sspon.02G0015500-1A transcript:Sspon.02G0015500-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLKSYTNLLDMCCEDVFQQPLRSLPRVMTSPGIISDPDCESSNDGNLVGSTPICFKRKIIVANFLPMICAKNEATGEWSFAMDDNQLLVQLKDGFPIDNEVIYVGSLNVQVDPSEQDRVSQKLFKEHRCIPTFLPADLQQQFYHIFCKQHLWPLFHYMLPVCHDKGELFDRSLFQAYVRANKIFADKVVEAVNSDDDCVWVHDYHLMLIPTFLRKKLHRIKVGFFLHSPFPSSEIYRTLPVRDEILKSLLNADLIGFQTFDYARHFLSCCSRLLGLNYESKRGHIGIEYFGRTVSLKILAAGVHVGRLESTLKLPATINKVQEIESTYSGKLVILGVDDMDIFKGISLKLLGLELLLERTPKLRGKVVLVQIVNPARSIGKDVEEAKYEAVSVAQRINDKYGSTNYKPVVLIDYSIPFYEKIAFYAASDCCIVNAVRDGMNLIPYEYTVCRQGNEEIDKLRGVNKSSSHTSTLIVSEFVGCSPSLSGAFRVNPWSMEDVADALYNATDLTQYEKNLRHEKHYRYVRSHDVAYWAHSFDQDLERACREQYSQRCWTTGFGLNFRVIALSPGFRRLSLEHLTSSYKKANRRMIFLDYDGTLVPQTSHNKSPSAELISILNSLCNDMKNTVFIVSGRGRDSLSEWFVSCENLGIAAEHGYFIRWNKAAEWETSLSGFHSEWKLIAEPIMRLYMETTDGSFIEQKESALVWHYQNTDHDFGSCQAKELVGHLERVLSNEPVAVRRGHQIVEVKPQGVNKGISVDKIIRTLVSKGDVPDLLMCIGNDRSDEDMFESINKATSLSEPAIPEVFACSVGPKASKANYYVDGCSEVIRLLKGVTAISPQKDAVSHSHVVFKDTLEVVS >Sspon.01G0011850-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:39292279:39298866:-1 gene:Sspon.01G0011850-2B transcript:Sspon.01G0011850-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sucrose synthase 2 (EC 2.4.1.13) (Sucrose-UDP glucosyltransferase 2) [Source: Projected from Oryza sativa (Os03g0401300)] MGEAAGDRVLSRLHSVRERIGDSLSAHPNELVAVFTRLKNLGKGMLQPHQIIAEYNSAIPEAEREKLKDGAFEDVLRAAQEAIVIPPWVALAIRPRPGVWEYVRVNVSELAVEELRVPEYLQFKEQLVEEGPNNNFVLELDFEPFNASFPRPSLSKSIGNGVQFLNRHLSSKLFHDKESMYPLLNFLRAHNYKGMTMMLNDRIRSLSALQGALRKAEEHLSTLQADTPYSEFHHRFQELGLEKGWGDCAKRAQETIHLLLDLLEAPDPSTLEKFLGTIPMVFNVVILSPHGYFAQANVLGYPDTGGQVVYILDQVRAMENEMLLRIKQCGLDITPKILIVTRLLPDATGTTCGQRLEKVLGTEHCHILRVPFRTENGIVRKWISRFEVWPYLETYTDDVAHEIAGELQANPDLIIGNYSDGNLVACLLAHKMGVTHCTIAHALEKTKYPNSDLYWKKFEDHYHFSCQFTTDLIAMNHADFIITSTFQEIAGNKDTVGQYESHMAFTMPGLYRVVHGIDVFDPKFNIVSPGADLSIYFPYTESHKRLTSLHPEIEELLYSQTDNTEHKFVLNDRNKPIIFSMARLDRVKNLTGLVELYGRNKRLQELVNLVVVCGDHGNPSKDKEEQAEFKKMFDLIEQYNLNGHIRWISAQMNRVRNGELYRYICDTKGAFVQPAFYEAFGLTVVEAMTCGLPTFATAYGGPAEIIVHGVSGFHIDPYQGDKASALLVDFFEKCQTDSSHWNKISQGGLQRIEEKYTWKLYSERLMTLTGVYGFWKYVSNLERRETRRYLEMLYALKYRTMASTVPLAVEGEPSSK >Sspon.05G0007160-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:24141121:24146209:1 gene:Sspon.05G0007160-4D transcript:Sspon.05G0007160-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLRLLLLLFPLLSSVAGLRRSDFPPSFLFGAGTSSYQIEGAYLEDNKGLSDWDVFTHIQGKIEDGSNGDVAADHYHRYKKDKGAGSSLQKIESHSKSHWQEDIEIMHSLGLDSYRFSLSWSRILPKGRFGGVNLAGVKFYNSLINGLLGKGIQPFVTINHYDIPQELQERYGSWLSPEIQEDFTYFAELCFKMFGDRVKHWATFNEANFLAKLKYFMGKFPPCHCSEPYGKCDSGNSSTEPYIATHNMILAHAKAVNIYRKYYKAKQGGSVGISLNMRWFLDPLFFGDYPHQMRQILGPNLPKFTEGEKQLLKNQIDFIGINHYKTLYIKDCIYSPCDLDTYAGDALVSESAERNGIPIGKPTPVANTYVVPSSMEKLVMYINQRYKSIPLYITENGGLLGYAQIGNSSTTAEELINDTERSSYIHDYLTYLSYAIRKGADVRGYFVWSLMDNFEWLSGYTTKYGLYHVDFKSLKRTPKLSAKWYSKFIKGYEQIEMASEELPKHMVS >Sspon.08G0000270-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:1478606:1482646:1 gene:Sspon.08G0000270-1P transcript:Sspon.08G0000270-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAFLLLGLGFLLGILSLAIAEGLALLWAIRSLTRRSPPQLPVHPTPPRSVSRHGLNRQGFLWMLEQQKTPPGPEDSINRPSNGGSPGIRDKKLIVEVFPVKMSATLEGHSLTLSGPDDASQLTIDLLSCTVVAVSASNLPSRKWAKRYPIKLESKESDICRGSKEHVLVDNTVKTDGSSKLRGFLKRLAKKASVKASQESKTGMVPSKQDIKQPSTPSSSTSFNSQLPDSPNAKVDEKLADDGTLCWNLLISRLFFDAKMNDEMNKAIKARIQRTLSNTRTPAYIGEITLTDLNLGKLPPYLHRMRVLPPDLNESWAFEVDFEYSSGIVLYIETRLEVQAPELEKDILKTDIKDDSNGDVSSDFLDSLDQYGNQFRHSEALDSAAEVNDEADTLRKSKSTGWASTYMSRWKSIMNSIADQVSQVPLSLAIKISSLRGTMRIHLKPPPSDRVWYGFTSMPEIEWELESSVGDRKISSSYIASLIGNRIKASVRQSLVMPNCESFPISWMISDTDDWVPRRIAPFIWLNHEPTETSTRRAADTTREMPGEAFASKAIAKNKSSPLPPSRRITNGSWNKTNIGVDGPEQAEASTSWQTWLVSASGAPLQSNEDATREQLRVPPLSSSGDERAGLVEEQTSPSAGEEGDAKKRKRGVRRARVMDLGRRMGGKLEEKGKHFIGKMRENARNNRLLLPDLEQATTPPAPAPS >Sspon.05G0006900-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:12834763:12835726:1 gene:Sspon.05G0006900-2C transcript:Sspon.05G0006900-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFAELRPNMTRHSSLEGSNNALVELEQNEHVAAARKGGELENEDRIEDIAVPVGSDEEETVEVRKKKVQVDPKDQEDFDRELKAILLESLESRKLELARPTVNMKEPMSTFKGSKDLMTTEAADKENVCDELVKSGSGGASVVCFKVLVKKGHKQQTKQMLIPGDCPLVQSTKQQSAAELEEKQNIKRKILEYNEREEELNATSQGSGNGGQGGRTDETPADRVTWVGPSRGGVRQHYWVAGGFYRGYGRK >Sspon.01G0007740-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:14687713:14690911:-1 gene:Sspon.01G0007740-3D transcript:Sspon.01G0007740-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMPAPAVGLAVLVLFAAALPALYADDLNTDAQALQALRSAVGRSALPSWNSTTPTCQWQGVTCESGRVVELRLPGAGLMGSLPSGVLGNLSALRTLSLRYNALTGPIPDDVSRLSELRAIYFQHNSFSGEVPASLFELKNLVRLDIAGNKFTGEISPDFNKLIRLGTLYLDGNSFTGEIPKLQLPALEQFNVSYNQLNGTIPTTLRKMPKDSFLGNTGLCGGPLGLCPGESAPTPAGSPESQPGAGVPADVGGGKKKKLSGGAIAGIAIGSVFGVLLLLALLFFLCRKRSNAPRSAATVEKGRELGMEPMDVEPKGQNGSAAGPGGHNGAAAAVAVPTAAAAAAATASAAKTVGSTGSKKLIFFGPMAAAPPFDLEDLLRASAEVLGKGAFGTAYKAVMENGSAVAVKRLKDVDLPEPEFRERIAVIGAVQHELVVPLRAYYFSKDEKLLVYDYMSMGSLSALLHGNRASGRTPLDWETRSAIALAAARGVAHIHSTGPTASHGNIKSSNVLLTKNYEARVSDHGLPTLVGPSFSPTRVSGYRAPEVTDIRRVSQKADVYSFGVLLLELLTGKAPTHAVVNEEGLDLPRWVQSVVREEWTAEVFDQELLRYQNVEEEMVQLLQLAIDCSAQHPDRRPAMSEVATRIDDIRRSSLGGGDRQAPDSAEGDEPSL >Sspon.05G0011370-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:32507873:32512176:-1 gene:Sspon.05G0011370-1A transcript:Sspon.05G0011370-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLANKRGVDDITQFSNKNKRTSGFGESLISDLPGNNQGILSQLNEEVASNLSRSVVLLVLTSGCTKHQFSGIAIECRSNATKFVTSGSLVRALKLYRLENKLEVHCEGNVVIARVEDYDSDRQLAVVKVDSSLDVYCVRLNHGMDFMPHKENIAAIGRDLFGNLIVTTGLLSYDDDEDAECLVFSDADKCSEKNWLGAAFFDFDGNFVEYDFADMEQIWAPEYGFVDKEQFQNLGYPIPSRSMVNSGWVLVNSFEDKFGSSVYPEGVWKAFKGRVASEISRSVVALASFKGKTRFFACSGVFVDFDDECPCILTSASLVRDRYVHYKIVEGLRVGAHDTLIFIFPRYTLSPLYYLQIEVLLSNKQHVDGTLLHYSLHYNVALVSVKNCRARYPVNLKHAIPMDLDDRIDTEVVAVGRIFKSGTLMATSGVITADTDSHDCSALSYSTCEVTKAGIGGPLFDVNGNYIGMNFVVLNKEIGTAFLYRERLRGILEYFKTKSTTYLKTSGMKAVVIEDGQRPINMYA >Sspon.08G0000780-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:2652541:2662410:-1 gene:Sspon.08G0000780-1A transcript:Sspon.08G0000780-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Branching enzyme-I precursor (Starch-branching enzyme I) (1,4-alpha- glucan branching enzyme I) [Source: Projected from Oryza sativa (Os06g0726400)] MLCLASPSSSPTPLPPTRGSRSPADRAAPPGIAGGGNVRLSVLSVQCEARLPGLRKVKSKFATAATVQEDKTMATAKGNVDHLPIYDLDPKLEKFKDHFSYRMKRYLDQKGSIEENEGSLGEFSKGYLKFGINTNEDGTVYREWAPAAQEAELIGDFNDWNGANHKMEKDKFGVWSIKIDHVKGKPAIPHNSKVKFRFLHGGVWVDRIPAWIRYATVDASKFGAPYDGVHWDPPASESGEKPAVSTYREFADNVLPRIRANNYNTVQLMAVMEHSYYASFGYHVTNFFAVSSRSGTPEDLKYLVDKAHSLGLRVLMDVVHSHASNNVTDGLNGYDVGQSTQESYFHTGDRGYHKLWDSRLFNYANWEVLRFLLSNLRYWLDEFMFDGFRFDGVTSMLYHHHGINVGFTGNYQEYFGLDTDVDAVVYMMLANHLMHKLLPEATVVAEDVSGMPVLCRPVDEGGVGFDYRLAMAIPDRWIDYLKNKDDSEWSMGEIAHTLTNRRYTEKCIAYAESHDQSIVGDKTIAFLLMDKEMYTGMSDLQPASPTIDRGIALQKVPFLLKFWLNLMIHFITMALGGDGYLNFMGNEFGHPEWIDFPREGNNWSYDKCRRQWSLVDTDHLRYKYMNAFDQAMNALDERFSFLSSSKQIVSDMNDEKKVVVFERGDLVFVFNFHPKKTYEGYKVGCDLPGKYRVALDSDALVFGGHGRVGHDVDHFTSPEGIPGVPETNFNNRPNSFKVLSPPRTCVAYYRVDEEAERLKAKAETTSSRKTSPEIIDVDATPVKTSTATKEDKEAAFGGKNDDSSKKGWKSGQVSSTEGQAGVT >Sspon.04G0007580-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:18367581:18374699:-1 gene:Sspon.04G0007580-2B transcript:Sspon.04G0007580-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRPPSPMLSVPEKKTAAAELFRDRHFFGAASFSDIRDARAAVAVPNPQAQPPASRRALLFRYHRLLFSARDDPCAFDETLCFTWHDAFRPHLKHAAASLRFEKAALVFNVGAAASKIAAAVSRATEEGVRAACGEFQRAAGAFRAVGEMMEEEEATTVDMSSQASAMLERLMLAQAQECCFERALAAGKSPPVCSKVARQAALYYEEAYAALVAPPLQNHFERSWVSHIQLKAALFNAEACYRYTIELHGKTEIGEEISRLQVGIKALVDAKRTARGAPGP >Sspon.06G0023370-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:43095286:43096715:-1 gene:Sspon.06G0023370-1B transcript:Sspon.06G0023370-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAELLLPMAVDDTLPGSYVRPESQRPRLAEAVTGARIPVVDLASPDRAAVIAAIGDACRSHGFFQVLNHGIHADLIAAMMAVGQDFFRLPPEEKAKLYSDDPARKIRLSTSFNVRAYFKEVRELGFRLCAAISENAAYLKQTPLGHEVQHVAVNLYPEPELTSGGLPAHTDPDALTILLMDQDVAGLHVLHGGGKWVAVDRRPGALVVCIGDQLRALAERSRDLSIDGAVFQREPGALGQGVLDPNGSVSVHALLCYSDGLVLPLDLESIIAARDTFMRSSPDAVLVQVRVDESVGAKAGDPFVLGGAGAKATGEDDGRSKGRRGSRENPLGILGIMAASLAVTAAVPAAAGAITPAMAFGFAVLMFTAVSMAMASIRGA >Sspon.07G0008420-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:19869730:19870994:-1 gene:Sspon.07G0008420-3D transcript:Sspon.07G0008420-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSHCFENPPALEPASGGGEVVDDFGGQKAYVTGSAGSKAAVVLISDAFAALGAVRAHQAPLLACLTTALRMLGWLRSEAMA >Sspon.02G0056980-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2D:40556870:40557280:1 gene:Sspon.02G0056980-1D transcript:Sspon.02G0056980-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPYMARARATLSRHPKTYSRPKDEVEAGIPSGEASSPRILSQGTDIRTTTPWHFEERLRATSRLLTTGARTLTRISPLAAHRRSPDSPKRGSTTFPTPPPPLRRKSVRAGQRKTAGTPIDLVRARLGGSAASTTP >Sspon.06G0012200-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:65116152:65118915:-1 gene:Sspon.06G0012200-1A transcript:Sspon.06G0012200-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTGDSLAGPPPPPRPPPSPSRPPAETPTPTPNHAAISSPLLEPAAPGADAPLSRWLRRLEAFLSAAGLAASTPLGVAAAASALAVVGLALPAAAVTLSPCRAHRGGGCDDFEVEVFEVCVLLSQAAAAAVALVCVSRKMAMYGLRKFLFVDPDLGMRIRFQKEYVARIQDFFRTLTWWIVPCLVVKVTREFFRFAHIFQESVWRACVVFFASIMSWMYLTTIILSSCMLFNLVCNLQVIHFDDYGKLLEQDADPLVYLKEHLQLRHNLSKISHRFRMFLLLLFLSVTASQFAILFKTTAYSGPINFTNGGDIAVSSVVQVVGLVLCLHAAAKISHRAQNIASLASRWHALATCSTDSTYVNTPNSSGNLVPFPAHLFLRDFSESDLESLDSGSLHGSSHGTAQLASYMSSYHKRESLVLYLLANPGGITIFGWIVDRAFLNTILMLELTLVLFVLSKTVVIPAKTLVHSYIRFP >Sspon.08G0013310-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8A:56091160:56091518:-1 gene:Sspon.08G0013310-1A transcript:Sspon.08G0013310-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RRPNGWTPPPKKISHSTSHDRSKHCSPSATAIGDPLTTTASSALGLSPAYTMLGSVAGVTTPAHPCPHSQHQHRRPPHHLLQRLKMAMASRPCHTSRPPRPSRLLVSRCPSRRTLQDML >Sspon.03G0011580-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:38830513:38836437:-1 gene:Sspon.03G0011580-2B transcript:Sspon.03G0011580-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSDSSSWARALVQISPYTFSAIGIAVSIGVSVLGAAWGIFITGSSLIGAAIKAPRITSKNLISVIFCEAVAIYGVIVAIILQTKLESVPTSQMYDPESLRAGYAIFASGLIVGFANLVCGVCVGIIGSSCALSDAQNSSLFVKILVIEIFGSALGLFGVIVGIIMSSQATWPAKA >Sspon.06G0015890-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:69249293:69251195:-1 gene:Sspon.06G0015890-4D transcript:Sspon.06G0015890-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPPRGRGGGGRFGGGGGGRGGGGGRFGGGGRFGGGFRDEGPPAEVVEVSTFLHACEGEAVTKLTNEKVPYFNAPIYLQNKTQIGKVEEIFGPINESYFSVKMMDGIIATSYKEGDKFYIDPMKLLPLSRFLTQPKYEPIYLLSLLHWDNLKEDLEVAAVVEGVVAEAVEVVLSVAAEDHQGVVAEVGVEVVVVLEGEAGSRCSLSLMFFLKHHSCPIGNFHVLVT >Sspon.07G0016140-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:62263929:62267320:1 gene:Sspon.07G0016140-2B transcript:Sspon.07G0016140-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATFELYRRSTIGMCLTETLDEMVNNGTLSPELAIQVLIQFDKSMTDALENQVKSKVNIKGHLHTYRFCDNVWTFILTEASFKNEETTEQVGKVKIVACDSKLLGQ >Sspon.06G0003360-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:10206083:10210395:1 gene:Sspon.06G0003360-1A transcript:Sspon.06G0003360-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSTEPEGVPPAAKGTKGEANRKRSSEQGEGGQGGAGSGGGGGGWQKRKKKKEVFIYGNYKNYYGYRIDRNVGEDPRLEVFKKQWFENKDCLDIGCNQGLVTIGLAMKFNCRSILGVDIDSGLIETAKWNLRRIMRQDKVATKNVKAQELSDSPSQSSPGQVASELLNGNKHQDLFKIVSFRRENFVESMDGCSEQYDTILCLSVTKWIHLNWGDDGLVTLFVKIWRLLRPGGVFIMEPQPWSSYKNNRLVSEVAKENFSTICIYPETFREVLLDKIGFRSVELIADRLVGTVSGFNRPIEFPTYLTAGCAISSTTFSSLTLSERRTLRDGGGPAWRFCGPAVARTAAQQHAVVPVVTRPAKRSVTIHSSAFWVR >Sspon.01G0054260-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:50871247:50872753:-1 gene:Sspon.01G0054260-1C transcript:Sspon.01G0054260-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding IVGYDWADILFSPSGDYWRKLRQLCATEILSPKRVLSFRHIREDEVELRIEEIRVAGPSTPVNLSVMFHSLTNSVVSRAAFGKKRKNSAEFLAAIKAGVGLSSGFNIPDLFPTWTTVLAKVTGMTRSLQNIHRTVDAILEEIIAERKAIRDDKIKSGAENAEENLVDVLVALQEKGGFGFHLSNSRIKAIILDMFAGGTGTSASALEWGMSELMRNPAVMKKLQGQIREAFQGKAVVTEADLQASNLRYLKLVIKEALRLHPPAPLLVPRESIEECELDGYTIPARSRVIINAFAIGRDPRYWDDAEEFKPERFEDGAVDFTGGSYEFLPFGSGRRMCPGFNYGLASMELALVGLLYHFDWSLPEGVEDVDMEEAPGLGVRRRSPLMLCATPFVPACM >Sspon.01G0020220-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1A:74831971:74836610:1 gene:Sspon.01G0020220-1A transcript:Sspon.01G0020220-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPILLIVLFGRLTLPETALCNIGTEEADVREQSCSHRIAGRRRTEGKKKSRTWPRLRRAPLLLYSLTGSQPPASGPALEASRAVPPPATMLAAARPVSAHLLLLVPLPSPARLSHPAPTRRLYALAPARRPAAAAVRARRESSPPSAPSPPAPAPADSLDCVGAGSDVECFVDAGAEDAASPLLPTRSPAPGASKDDGKNEGDAAVSASSPAGRELWEWASLVSPFFFWGTAMVAMKGVIPRTGPFFVAALRLLPAGALLVAFAAARGRKQPSGWEAWLAIAAFGLIDAACFQVHTRRHVDSSRRELLAPVPPRVCLWFLESKARCLFLVASLAEGLQKTSAGLGSVIIDSQPLTVAILASLLFGESIGAIGVGGLVLGVVGLLLLEVPALSIEGNDTAIWGSGEWLMFLSAQSMAVGTIMVRWVSKYLYLGETFSPVQLGGALLTLVAIYMVNYKSIIGEK >Sspon.05G0027320-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:44354789:44360994:1 gene:Sspon.05G0027320-2C transcript:Sspon.05G0027320-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDARAPQLRRRGQGVVLSLLLPPLLLLLLLAGAASGQQQVQPPPADLPDVERQLNNLTDNVAATISDKFSFCVADAQEDWNEAFNYTSDLSFVDRCLTETQGSQVADWDVVTLKRDLPQRLCTPDEVKFYFSSLYDRDGDKNINLKTNINCNISYWEKGCDAGWACATDPGLDPRNRDSNGIPLRTSNCQACCEGFFCPRGLTCMLPCPLGSYCPRATANQTTGLCDPYKYQITPNSTNSCGGADMWADIQSTEEIFCPAGFHCPSTTKKDNCSSGHYCRLGSTAEEKCIIKGSCGENTENENIKILGACIVGALCLLLLIIYNFSDKFLSIRERRKARSRENAIELARQQLKAQEGWKAAKQFARRHVNGMQGHLSRTFSRRSFRQQVDPDPDNSSHRLMGQVKMQEMSDSAVFASESTNEITEVMPSVIVDVSGDGEVVATKEKPVPKGKHRSTHTQVFKYAYGEIEKEKFQQQENKNLTFTGVIAMVKDQQKEITRPLLKVEFRDLTLKLGKKKLLRSINGELRPGRVTAVMGPSGAGKTTFLNAVTGKVSGYKMTGSVLVNGKNVNIRSYKKIIGFVPQDDIVHGNLTVEENLWFSAKCRLSASMKHRDKVLIVERVIDSLDLQGIRNSLVGTVEKRGISGGQRKRVNVGIEMVMEPSLLILDEPTSGLDSSSSQLLLRALRHEALEGVNVCAVVHQPSYTLYNMFDDLILLAKGGLMVYNGPVKTVEEYFTTLGIHVPDRVNPPDHYIDILEGIVKPESGIKAKHLPAHWMLHNGYEVPSDMQDDVKEIGEQTPQFKSSSSISGSTPHCLPIRNAFAEERDRLEQHLSKPKDLSSRKTPGIFMQYKYYLGRVTKQRLREARLLMVDFLILGLAGICLGTIAKLSDKTFGMPGYIYTIIAVSLLCKIAALRSFSLERLQYFRERESGMSSLAYFLARDTIDHFSTVVKPIIYLSMFYYFNNPRSTIGDNYVVLLALVYCVTGIGYTFAICFSPGSAQLCSALIPVVLTLLSTQRSTPTFLKRLCYSKWALEGFIIGNAKKYPGVWLITRCGLLFQSQFDIHNYKLCILVLFMYGLFFRMVAFAAMILLKKR >Sspon.08G0010740-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:44183449:44185248:1 gene:Sspon.08G0010740-3C transcript:Sspon.08G0010740-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRASAAAARWGRCWRRRGPTSSPCSQSGARKSGTRCTRDGARCSRTTRGGRPQARSVVWRGWSCGSRTPSRAWSRRRRRSSTCRARLRGCGCCARTGRGCSKSTWSMTARSSTWSEARTTIRKMVSRFDMVYVTLLLAELPAWHCMPAVVFRGYICVCSKRKSHQVHHPCWTLYRTTNWQLCKMQCEKATN >Sspon.06G0018180-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:98215406:98217283:-1 gene:Sspon.06G0018180-1A transcript:Sspon.06G0018180-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALHLLHVVYQFILAAATPLAQQPLWFVVVPLTLVLLPVLCLRLHHHPNAGAGDDERKQSKPILPSPPGRLPVIGHLYLVGDLPHVSLHDLAVKHDRGGGLMLLQLGTVPNLVVSSPRAAQAVLRTHDHVFASRPTTKVLHNFLYGSSTIAFGPYGEHWRKVRKLVTTHLFSVKKVNSFRHARQEEVRLVMAKLKKAMATGMTVDMSETMNTFANDIICCVVSGKLFREDGRNKTFQELIEMNSALYAGFSLANYFPGLVNSLGIFTRFVSKKADKTHERWDEVLENIMSEHERRSFNYRGGDRAEQEEGTDFVDVMLSVQQEYGITRDHIKAVLMDMFDAGTVTSSLVLEFAMAELMRNPHLMTKLHAEVRSKTPNGQEMVKEENLPSMAYLSAVVKETLRLHPPGPLLLPHQSTVDCDIDGYTIPSGTRVIINAWAVCRHLESWEKAEEFMPERFMDGGSAATIDLKGMIFTGRRMCPGINFGLATVEIMLANLMYCFDWGLPAGMDKEDIDMTEVFGLTVHRKEKLMLIPILPGSASCA >Sspon.04G0028710-2D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4D:72257341:72259764:1 gene:Sspon.04G0028710-2D transcript:Sspon.04G0028710-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At4g20770 [Source:Projected from Arabidopsis thaliana (AT4G20770) UniProtKB/Swiss-Prot;Acc:Q9SVH0] MANLAAQLAAVLQACIKRSGGPRPSRAHAKAAHARVVAAGLAADTFLLNRLVELYSLSGLPCHALRAFRALPRPNVYSYNAAISAACRAGDLATARDLLGRMPDRNAVSWNTVIAAVARSGSPGEALEMYQGMLQEGLAPTNFTLASVLSACGAVAALDDGRRCHGLAVKVGLDGNQFVENGLLGMYTKCGSVADAVRLFDGMASPNEVSFTAMMGGLAQSGAVDDALRLFARMSRSGIRVDPVAVSSVLGACAQACTGDYIVARAIRLAQSIHALVVRKGFGSDQHVGNSLIDMYAKGMKMDEAMKVFESMSSVSIVSWNILITGYGQLGCYERALEVLDFMKESGFEPNEVTYSNMLASCIKARDVPSARAMFDKISKPTVTTWNTLLSGYGQEELHQDTIELFRRMQHQNVHPDRTTLAVILSSCSRLGILELGKQVHSASVRLLLHNDMFVASGLIDMYSKCGQVGVAQIIFNMMTERDVVCWNSMISGLAIHSLNEEAFDFFKQMRENGMFPTESSYASMVNSCARLSSIPQGRQIHAQVLKDGYDQNVYVGSSLIDMYAKCGNMDDARLFFNCMIVKNIVAWNEMIHGYAQNGFGERAVELFEYMLTTKEKPDSVTFIAVLTGCSHSGLVDEAITFFNSMESNYGIKPLVEHYTCLIDALGRAGHFAEVEAVIYKMPYKDDPILWEVLLAACVVHHNAELGEFAAKHMFRLDPKNPSPYVLLSNIYANLGRHGDASAVRALMSSRGVVKGRGYSWVNHKDGARAFMVADHLGMNVGEPTMFSDNEDTSGMTEGHLDETCAG >Sspon.08G0021230-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:35020267:35022767:-1 gene:Sspon.08G0021230-2D transcript:Sspon.08G0021230-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SAVQKWMKPVVEEAVTDLMKKFTNTSRSMVIADLGCGSVPNAVPPELCVLLNDLPDNDFSSVAKRLAPEDLRKNGIPMHDYDEGLRQASRPLVLEAYARQFRKDFTLFLNLRAQELVPGGQMATVPVTVHASQIYYDGTAFMLNDMASRGVIDRERLDSFYIPMYGTSDKELREIIQDEGSFMINKILARDVISGMDKKKLHDPKDGSSRS >Sspon.02G0016780-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:42652895:42657040:-1 gene:Sspon.02G0016780-3D transcript:Sspon.02G0016780-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLASASKAFGGLPDGAPLSAWRGVRACRGLWARHRRKILVSLGVAGVGYAAYRFYDARRAQLVRVDQLRAMEERAAEDLVKNQLQAHFEKVKRICDTTTLPLAMHHLSDKITSELDISKLTDKLRQGKVDSSALTPKEKYGTWEEIKIKSFTKTVSSMWAMTLLSLYVRVQVTILGRHLYLDIARGTNGAQLQAESDTFSENGHKSFLAMADYLVTDKITAFITQMQRAATEVLKEKQLKDRMNMDQVLQTVLQILDSFMGLCEDNSWIHYLVPENPLVYAQLMAVSSSGFDDSSLLNDFRKLDQLMSETRIVLASDDFRNIMEKSLRKIAEVVVEDLALQIGTPGSGLPLATLLPKVGHLSSPLLEEPNKNKHIQIIRSMPEVELFYTFLYANMPPET >Sspon.07G0013670-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:71743242:71745287:1 gene:Sspon.07G0013670-3C transcript:Sspon.07G0013670-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSTETPPPPTLRDVIGHDDDDDHFIEEEEDEEEEDEEWDMSKRMSRLSMEGSDGGDADDEDGGDDDEEEEEEEDEFEVRSDVNAAATTYRPWPPSDYEPRGAPSSASLPGTPERGGAQATAQSSPWWPGPSAKDKEYASETEARWPPDAAGRGGRRQRRARMAREVWLERAWRMRKQRRQLQLREEAPVVVLGGGDSPGSRGGVAMDMEEVRACRDLGLDLPCDWTVEIPCCYALSGVDTASSGGNSPASGSWRISSPGDDPKDVKARLKVWAQAVALASASRLGS >Sspon.01G0059880-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1D:69455260:69455601:1 gene:Sspon.01G0059880-1D transcript:Sspon.01G0059880-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPVTAKSLLSFHPDHHRHCSAGGPPGATRSSLAPLPPRHCRIRINSVIVASPALRRARPAAYASPSFGVSARTPPGPPPDPGFGVPEAKDVHAYICRAFHILPDCLFVCHPV >Sspon.08G0002110-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:6553269:6553814:-1 gene:Sspon.08G0002110-1A transcript:Sspon.08G0002110-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSVEVQDSISSVVLDSVVAMESVDALVSVNKLDSDVVPNSVEALDAVALDSVVLDTVDPLHIVEEVPDSVEEVPDSVEEVPDVDEVVDCPRYGTFHAGGVFGEACYQARRNAQRCVRCGLLHEDYDNTARFLHDMDKFDCEFFIPYVEKLEMRGETIIVPEQVVKKLEKMKQAKNSPQAK >Sspon.05G0012960-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:40878207:40879162:-1 gene:Sspon.05G0012960-1A transcript:Sspon.05G0012960-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGISLAVAPRSDPDHGSERQPTAMLGGVMGSLRVIELQLVAFIMVFSASGVVPLIDLVFPVATTIYLLAISRLAFPPLHSKLDAARSPAASQEIFRGSKLFQVYVVLGTTVGLFLPLAHVLGGFARGDDAAVRSATPHLFLLSCQILTENVVGSLGAFSPPVRALVPLLYTVRRVFVIVDWVYDVWANRALTRAATAQEAVWMWFGRYLAAANLLYFSANLFVFLIPRFLPRAFEKYFRMRDEVCAKTAEDKHARGHLSSSSPAPAEQQEGVVGAAKSDVSKKAD >Sspon.06G0012950-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:67910404:67912496:-1 gene:Sspon.06G0012950-1A transcript:Sspon.06G0012950-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAKRMSAAKNLVRLLVLVQVAAGLLAGPGGSVAALSMDYYGMSCPFAEMMVRSVVYDALTKDPTLAGSLLRLHFHDCFVQGCDASVLIDSTDGNTAEKDALANKSLRGFEVIDRIKEVLESQCPGIVSCADILALAARDAVFLARGPYYGVPLGRRDGTRSLDSDTFTALPPPFFNTSSLIKLFGSHGFTVQDLVALSGGHTLGIAHCDNFKARLKETDTLDATLGSSLSATCTANGDAGTAPFDRTSTRFDTVYYRELQMRRGLLSSDQTLFESPETKGIVNMFAMNQAYFFYAFQQGMLKMGQLDLKEGDEGEIRHTERGGGPACGKERDRDGGG >Sspon.07G0020090-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:72111846:72113565:1 gene:Sspon.07G0020090-3C transcript:Sspon.07G0020090-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCGPKVPMEQGSPGSQQLNTRIDTSPGRRNGRITENSQTHLASEMSSTTLLFSPSSSLFLTKPSPVTKGRTAVADVRCSSGPLLSVGHEEEWEREGVAVVGRRRALASAAAAVCGAPVLGFAGLGLAATQGLLAGRIPGLSEPDENGWRTYRRPDDKSGGHGVGWSPIIPYSFKVPDGWDEVPVSIADLGGTEIDLRFANPKEGRLFVIVAPVRRFADDLDDATIEKIGNPEKVITAFGPEVIGENVEGKVLSTATAERSGRTYYQFELEPPHVFITATAAGNRLYLFSVTANGLQWKRHYKDLKQIAESFRVV >Sspon.01G0003030-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:6819640:6825891:1 gene:Sspon.01G0003030-2B transcript:Sspon.01G0003030-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:4-coumarate--CoA ligase-like 7 [Source:Projected from Arabidopsis thaliana (AT4G05160) UniProtKB/Swiss-Prot;Acc:Q9M0X9] MVSHNIRVWRPLYIIVGTWERRGGTKRRRTSNSPPRTPLPRAQQNKSTEQETNATPSGATEQIDRARDNGRTAAPAAGYGADGVYRSPRPAVRIESDPGLSLNDLLFRRADACPSALALVDSATGQSLTFAAFRSAVLTTAVALSSRAGVRRGDVVLFFAPNCFLYPVCFFAVTALGAVATTANPLYTPREVAKQVTDSRAKLAITVSELLPKIVKLGLPTILLDVDVDGGAASATASKPLGVSVTLYSDLISGARETDYRRPPTKQRHTAALLYSSGTTGASKGVILTHRNFISAAAMMTAEQDALGEGPNVFLCFLPMFHIFGLSVITFAQMQRGNSVVVMSRFDMDSVMAAVQRHRVTHLFCVPPVMIALAKVGSVGKYDLSSLRFIGSGAAPLGKDVMEGVAKNFPEAVIAQGYGMTETCGIISLEYPEKGQVRQFGSTGALVSGVEAKIVM >Sspon.05G0019870-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:85263667:85267285:-1 gene:Sspon.05G0019870-1A transcript:Sspon.05G0019870-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DWF3 [Source:Projected from Arabidopsis thaliana (AT5G05690) UniProtKB/TrEMBL;Acc:A0A178UQC4] MDAGGPPPPLFLLAAATALLAAAALGWLLLLGGGRAAAAGRRRRSASAPGPRLPPGSTGLPLIGETLRLIAAYKTPNPEPFIDERVARHGSGVFTTHVFGERTVFSADPAFNRLLLAAEGRAVSCSYPSSIATLLGPRSLLLTAGPAHKRLHSLTLTRLGRPASPPLLAHIDRLVLATMRDWGRPGAPAVRLLDEAKKITFNLTVWQLVSIEPGPWTESVRREYVKLIDGFFSIPFPFAYLLPFTAYGQALKARKKVAGALREVIRKRMDEKAETSGASKNGEDEEKREKKDMVEELLEAEGGSFSVEEMVDFCLSLLVAGYETTSVLMTLAVKFLTETPTALAQLKEEHDNIRGIKGKDQPLEWSDYKSMPFTQCVISETLRVANLISGVFRRANTDIHFKDYVIPKGCKIFASFRAVHLNLEHYENARTFDPWRWQSKNKLQNAEGASLFTPFGGGPRLCPGYELARVVVSVFLHHLVTRFSWEEAEEDRIVFFPTTRTLKGYPINLRRRPDYDDF >Sspon.01G0016090-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:49149262:49150230:-1 gene:Sspon.01G0016090-1A transcript:Sspon.01G0016090-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHKRPYDTYIYIDNFMFSRIVEKQELINALLQDNSCVGAPAVVPVIGAHRAGKKSLVGYACNDDMVRSHFSSVLHLKSNSFLQVRRETFMPVRTLVVVEFISDVDDSEWVKFYSDASSQMGAGSKVIIVSRFQEIARFGTVKPIILRSLSDAEFSYLFKVLAFGGTDPENHQQLASIAMELAMNVSGMLLVANMLADLLRKNQNVQFWFHILKMFRKSIERNFSRFGEHPKQLVERDHPTDITMLVPPSSATLRLMPPHDDTSLLPKVKLADLVQGSTTIRPNEEFQITIWESRLPPFANFVANCIAEEHLCTSSDKKRHKST >Sspon.03G0028240-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3B:8537353:8538044:1 gene:Sspon.03G0028240-1B transcript:Sspon.03G0028240-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPAGACQRVRTGEGGGGFPIPNLPSRAVPSLFTSSLHLAYQLSFPLPIPPLIPSLLQSPLLLRLHCAERTATTETLEQAAMHSPVPLLLLLLCTAALAASDGSQSQAQAPGAARRGMVPVAPAEAELGAMALALNDTRRRLGGSFQLCAPCTCCGGASGACVLAPCCYSINCNIPNRPFGYCSFTPKSCDCSGCN >Sspon.02G0003680-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:11744397:11744624:-1 gene:Sspon.02G0003680-1A transcript:Sspon.02G0003680-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALGGAAAVASLLAGAVISYFLWPVAAPAAAVAMMKAPGAGGLLISRAAFAANPQLYYSLLRTAGTAAAVAAFAA >Sspon.07G0006950-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:18107809:18113402:1 gene:Sspon.07G0006950-1A transcript:Sspon.07G0006950-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVRATVSRFPATPEALESCAVQWGVAVTPFAAADERGQPPTTGAGGDRVPRCEHCWAYLSSHCDLERWGWTCALCGTLNGFDDETERRFQRPGACPELNSSFVDLEIPVDEAEGGGDGVQALPVYVAAVDLACSEEFLELIKSALLAALEALIPGSLFGLMTFSHKIGLYDVQGPIPLVKNVFIPPDLEEDGLPVALEDAMPLHSFLAPVDTCKDRIAAALETLRPTSSWERGAASGQEEDTVLLGGRGFGTAMSALIEYLSSEYGSTFALARVFAFLSGAPDYGAGLLDTRRYGEQYASKGVDADLALLPEQIPFYRDLAAVAVQSGVCIDIFAVTDEYTDLASLKFLSIESGGSLFLYANTDDSTLPQDIYCLLSRPYAFGCVLRLRTSSDFEPGNSYGHFFPDPQYENVQHIICCDSFATYAYDFEFAHNNGFSSVIEPVKETSGNGSQSPASYKFCLKRRLRIRTLQYRPASNISEIYDSVDPEVVLHILVHKVILESLDKGVREGRHQVHAWLSLLAARYNQALSSDVRTPLSSIDIDFSQCPQLQTIPQLVFALLRSPLLRLHEEGVHPDYRIYLQCLFSALEPSSLAKAIYPVLVSYSSPDKQAFPRHTLSRAALIMSESPIFLLDTFTNLIVYYSSTADPSIPFPPPRDCLLRTTINRLKQDRCITPKLTFIHGGNDDSTLFESYLIEEQDVDGSGFTTGSGFVAFRESVRNVAGEIIQEEIGS >Sspon.05G0021910-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:4493415:4497716:-1 gene:Sspon.05G0021910-2D transcript:Sspon.05G0021910-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RRP4 [Source:Projected from Arabidopsis thaliana (AT1G03360) UniProtKB/TrEMBL;Acc:A0A178W3N1] MKDRHLSLNQTQRVRLEAALHELQSLAPAAASAAAVTVADTIPVNQEDNILKGHGTSDQDGEVVATLCGVVERVNKLVYVRTLRARYKPEVGDIIVGRVIEIAPKRWRLEINFSQDAVLMLSSMNLPDGIQRRRTAVDELNMRSIFEENDVICAEVRGFQHDGSLHLQARSEKYGKLERGQLLMVPPYLVKRKKQHFHHLEQYNVDFILGCNGFIWVGEHVVVGEKTKTTGQQRFSTEAENFTPLETRKHICRLANAVRVLSALGFTLTIELIIETMEASSSSNVEINDMLGAEFYVQTAEREAKRRADLLRKKNGR >Sspon.06G0005460-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:18507700:18507894:-1 gene:Sspon.06G0005460-1A transcript:Sspon.06G0005460-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLRRRPFQLMVLRRLRELKKIADVDVVLRQTAEYICALELKVAILRRLSDIYGV >Sspon.01G0001700-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:4914312:4915487:1 gene:Sspon.01G0001700-1A transcript:Sspon.01G0001700-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GDAAARGGGGAAGLPPAPGAAGARAQHHGHPPGVQGVQAVQPVPIGDEGVRRDQLAAVDVDDHPGALQRRHDHAGQGRRGLAPGHQERAAPPLGARLLRPQEGEEVRRRERRHAVPGHGRRRGNHGQRQGGGPGGQELRLLRRHGGRQDLHRHQGGGDAPVQVRHPRGHGAHRVRRSLRRPFHRQPHPPPGARRLQGVRVAGRLHGRAQVVRGRHGQGPHPVRRQRRRVQRQGRARRQDHVQSQPHQAPPAPRPAFLQHQDVAQVCQGPAPHAGRQGRRHGGRQGRRRLARHRQDKVPRRVHRRRQRPVLSAHHGQPPGAAGTLRRRPGAGTGASGRATGVPARGGCTVGGLGPRGSQVQEAVGVVRRRVAPGGRARRGSRVFRRARVPLVI >Sspon.07G0006250-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:16485941:16487635:-1 gene:Sspon.07G0006250-1A transcript:Sspon.07G0006250-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPSKLYLVCYNSLQALGWFVALLRLLPCLSPPFPVHSAYAVAGDLICFLQTCAILETVHAAIGLVPTAPLLSFLQWGGRTHFILAVVRQIPEVIRYSHYALTTLKVCPGWLTYLR >Sspon.01G0036500-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:21783426:21784753:1 gene:Sspon.01G0036500-1P transcript:Sspon.01G0036500-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPPRVRTMVLARALLVVAALLAQPLGSRSGDAGAGDLHPVVLVPGYGSNQLEAMLTAAYEPPAPACAAGVADQGWFPLWPNHTAMRDASQVPCFADQMSLVYDAGADDYRDADGVATRTPFFGSARALIGWDRLVQQLEGMGYRDGETLYAAPYDFRYAVAPPGHPSAVGDRYFRDLGRLIQASRLNRGRPAIVVAHSFGCALTYQLLLSRPLAWRRRYVKHVVLLGPALGGFAAGMYVLSAGMDYGLPNVTRPTMLRLARSQQSALWRLPTPLVFGDRPLAVTTTATYSARNMSEFLEAIGFADGVRPYLTRVLPMWEALPAPMVPVTSVIGFGVRTPETYVFGTDGLEGDAEVLYGDGDGDINMVSLAAVERWSEVEGQVLK >Sspon.08G0004080-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8C:11996840:11997745:-1 gene:Sspon.08G0004080-2C transcript:Sspon.08G0004080-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MWNVDGYEWEVRVYPEHDYNGWLALKLIIHSKPRTVDVRVNFAGRLVDPSGRLEPSEESSMCHVFYHRRDQTKALLLEKRHEIAPGYLENDSLTVECAITVLRELPEVVFPVTKEEVPPPTPSSDLHKHLGELLEGQKGADVTFLLAPGGERFPAHKSVLAARSRVFMAEFFGGMEERTSRVVEVQDVEPVAFEAMLRFVYTDVAPPELDDDDEPVASVTMAQHLLAAADRYGLDRLKVICEAKLAGCVDVGTAATTLALAEQHGCALLKAKCVDFVTASPETLDAVLATEGYAQLAASSPL >Sspon.08G0005240-1T-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8C:15854008:15854331:1 gene:Sspon.08G0005240-1T transcript:Sspon.08G0005240-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding CSGLADPSEVEVVSFDKCEGIVKKYEHLEDFHWAVRPLFIAIGFFTALKLGVFVGKSIARPRSRKVASISDKSGDYEQQQVQNNANGAWLPPPVANMLFGDVVGTSG >Sspon.01G0017750-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:67277311:67280415:1 gene:Sspon.01G0017750-3C transcript:Sspon.01G0017750-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSISYPPDDYLPAVEDNMGRLFIRSLSFDDMEAAAESPLSSPSTSPSALPLAFGSGKLIIEGSLSFKRREADSIQMQNVLSIRSPKPPDREACNIISAGAATSGSSRFGPIGDRPPDYDYPMVGMDSPKHQAAAVRLQKVYKSFRTRRQLADCAVLVEQRWWKLLDFALLKRNSVSFFDIEKPETAVSKWSRARMRAAKIDPRHRYGHNLHFYYHRWLHCQSKQPFFYWLDVGEGKDVNLEEHCPRSKLHKQCIKYLGPEEREDNQICRLVLVQKERENYEVIVEDKRLMYKLSRQIVDTTGSAKGTKWIFVLSTCKTLYIGQKQKGVFQHSSFLAGGATSAAGRLVVEDGILKAVWPHSGHYRPTEQNFQEFMNFLKERSVDLTNVMLSPSEEGEEDGDFSLRGSHSQLDLTQLCQQEESQEQEAQSAQHHGKDEDEAETCSHEPTLPSTETCSTPATATIRKTSSDNRLQGKRPPRLLISSNNIAPLPPTTHSSNARPSPGVKDVDPDSAMLGECLDFCKRNLFAEDGYEDHYLDDLAEVPEALILSRINSKRAMHSYQLGKQLHFHWSTGAGPRIGCVRDYPSELQFRALEEVSLSPRGGGGRPARFPSPRPGALTPNSIPAAKCGSLMAEGDGVHASLKPRQRSATWTAF >Sspon.07G0015180-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:54298586:54305480:-1 gene:Sspon.07G0015180-1A transcript:Sspon.07G0015180-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVEPVEVCVKAAAGKPDTLGDCPFSQRVLLTLEEKKVPYEVKLIDLGNKPEWFLEINPEGKVPVLKGGDGKCIADSDVITQVIEEKFPTPSLVTPPEYASVGSKIFPAFVKFLKSKDASDGSEKTLLDELQALDEHLKAHGPYINGENVSAADLSLAPKLFHLQVALEHFKGWKIPENLTNVHAYTKALFSRESFVKTKPSEEHVIAGWAPKLGLATPTPMAAGHKNTTTAALLVLLLSLMLSLTVSVAHNLQWRLSVATAVEVCVKAAVGAPDKLGDCPFSQRVLLTLEEKKVPYQIKLIDLSNKPGWSVLFTFPVYFPEQYPAGFLKISPEGKVPVYNGGDGKWIANSDVITQVIEKKYPTPSLITPPQYASVGSKIFPSFVKFLMSKDGNDGSEKALLYELQALELHLKAHGHPYISGKNVSAVDLSLAPKLFHLVVALEHFKHWKVPESLSSVHAYT >Sspon.01G0011200-1T-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1C:25391014:25401546:-1 gene:Sspon.01G0011200-1T transcript:Sspon.01G0011200-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLTFSLADAVAPPRRPIKDGPLYRAIRRAPETHTTVPMTFRMPSLLKMLIAST >Sspon.04G0021480-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:663973:666441:-1 gene:Sspon.04G0021480-2D transcript:Sspon.04G0021480-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGGGMGNPVNVGIAVQADWENREFISNISLNVRRLFDFLLRFEATTKSKLATLNEKLDILERKLEVLEVQVSSATTNPSVFN >Sspon.05G0014370-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:5A:52863699:52864043:1 gene:Sspon.05G0014370-1A transcript:Sspon.05G0014370-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIPPPERAARVTRFLKPYLLRMHFTNKYVSAQVIHTPTSTVACSASSQEKLLRPNMESTRDVAAATKIGKLLGERLLLKGIPAVSIHMKREQKYHGKVKAVIDSVREAGVKLL >Sspon.05G0030370-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:82674073:82689316:-1 gene:Sspon.05G0030370-3D transcript:Sspon.05G0030370-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASGVVFPRRHTSHSQPKQASRVSVDPGGGISLIQPRAPCRPSRQEDLTTSLQLPRTRPSIRQYAAALRCKIILEVEDPGNLIKLYTDWHLWVYHLRSPSKVCAKLPMMDVLPDGALEHILSFLLAPEAVRTCVLARRWRQLWRFTTCLRVGCRHEDEVALVKEHREFLDHLLLLRGGSPLDVCEFGFTGFQDDDVPRVNLWFHHAMMCRVRALKLHMFSMFYLVLDDLPLVSQHQTRLDLRGVQLHSSFLNFSSCPALEHLELVDCGLSTANKISSKSLKHLSLTDCGFDSVNSVCIYTPSLVSLCLDDLCETTPTLDSMPSLVKAFVRITKECADVCAKLLDPEILDCTCQLCNSSENTDGSGSSVLLRGLSQAKSLVLISEPDQIIFRSDLRWCPVFNNLKALFLNDYWCTPDFNALVCILEHSPVLEKLTLELFCECQDDDERVLKVLKFLSTINICNVYCGQKIDLKS >Sspon.04G0028310-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:65014717:65015013:1 gene:Sspon.04G0028310-1P transcript:Sspon.04G0028310-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MESPSPSSLSALRHRLRATVCCCFGQGGLGERVRWRRRAGVGEFRYDPLSYALNFDEGDLDEEEKEEEQGVHARRGDGLLYHSFSSRLSTPAAVVEVA >Sspon.04G0017920-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:73635924:73639638:-1 gene:Sspon.04G0017920-3D transcript:Sspon.04G0017920-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIQRVKELAVSIEGKSLEEKKTLLAKCAATTLSSKLIGGEKEFFASMVVDAVLAIGNDDRLNLIGIKKVPGGTMRDSFLVNGVAFKKTFSYAGFEQQPKKFLKPKILLLNIELELKSEKENAEIRLSDPLQYQSIVDAEWNIIYDKLDKCVKSGAKIVLSRLAIGDLATQYFADRDIFCAGRVTEEDLQRVAAATGGTVQTSVNNVIDEVLGSCEVFEEKQVGNERFNIFSGCPSGQTATIVLRGGADQFIEEAERSLHDAIMIVRRALKNSTVVPGGGAIDMEISKYLRQHARTIAGKSQFFVNSFAKALEVIPRQLCDNAGFDATDVLNKLRQKHTSGEGANYGVDINTGGIADSFANFVWEPAVVKINAINAATEASCLILSVDETVKNPKSESAQGEAAAGAMAGRGGGAMRGRGGRGMRRR >Sspon.08G0009320-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:40879026:40879962:1 gene:Sspon.08G0009320-1A transcript:Sspon.08G0009320-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVEKVDAALADFDGHFDRFFASPDAASDGKVKLLLFLADREPDSSLTWCPDCNVAEPVIYERLEEALQGRDAVLLRAYVGDKPTWRDPAHPWRVDPRFGLKGVPTLIRWEDGAAAARLGDDEAHLKDKVDALLCAAGGN >Sspon.06G0005230-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:16492289:16496327:-1 gene:Sspon.06G0005230-3C transcript:Sspon.06G0005230-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVSASHGALGPLLGKLTALLADECGRLKGVRREIRSLKSELKSMQAAVQKYSTLQDPDVQAKAWISLVRELAYDTEDVIDKFIHQLGDGGHQSQSAFKEFFRKTIRGLKTLGSRRGIASQIDDLKVRVKEVKELKNSYKLDDTARSTCEHSAVDPRLSALFVEETHLVGIDGPRDHLVTWMMEEENSSAKHRRVLSIVGFGGLGKTTLAKEVCHKIQGHFDCHAFVSVSQQPNVKKIMKDVISQVPCKKDFIEDIDTWDEKKFIGKLRELLQDKRYLIVIDDIWSIAAWDTIKCAFPENRFSSRIIATTRIGDVARSCCLGGNDRMYEMEALNQDLSHVRSLRVITSSCIKHLPSLDKFETLRVLDFQGCQSLQEYDMNGIDKLFRLKYLSLRNTDISEIPSGIVRLYDLETLDLRDNHIEELPSRIVQLTKLHYLLIATSSFLFSNTGLTKIPTRIGNMSNLRVITGFNVTKSSLGAVAELGNLTSLNKLHIRLDSRGSGEYRRHEEMLLSSLCKLGSSKLQSLQIDSSVDSASLQFLDSWSPLPSCLQRFEMTTDYYFPKMPKWIAPALAHLEYLDINLVKATEEDLGILGEMPALIFLLLTFNTNPEERLTIRGHGFPCLKELNIYNRCVANLLFEEGALPKLEKLDLPFMVQWAKAHGFYLGIGHLPCLKYATIILHNDGGASSSENRAAAAAIRNEANAHSNHPRLTIYGEMEKVEEDGDTNQEEFEKENDTNEEES >Sspon.01G0029680-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:99531524:99532898:-1 gene:Sspon.01G0029680-3D transcript:Sspon.01G0029680-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFPTTMPMEADTQRVSAASAGLTSLALRLTKQLAANKSSEQGGNLVFSPLSIYAALALVAAGARGAALDELLALLGAATRDDLAGLVRGALADGSGSGGPLIASACGVWHDDEMVLKPAFRATAVECFKAELRAVDFKTKGEEARQEINSWVSEATKGLITDVLHHGSVDAKTGLMLVNAIYFKGKWVTPFTKRCTEVEDFYLLDGTAVETPLMRGCGSYLVAVHGGFKVLKLPYQASPAFSPFGGGMRMAKVARRGGVGTMYSLCVFVPDARDGLWSLVDELAASGPAFLHDHLPWREVCVGKLRLPRFKMSFSSDLTNALREMGLQATLDPRDEGATDLTDMAERRGYDGVSPKIDKVCHKAIIELNEEGTEAAAVTYVGFPAPGAAPPGYRPETVDFVADHPFLFFVMEEVSGAV >Sspon.06G0004480-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:11086735:11088967:-1 gene:Sspon.06G0004480-2B transcript:Sspon.06G0004480-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLVAAPMAIVDMANAQLQQAAGAGQHDLDEAAGDTNTTGSMSCKRSEQLESSYDYGACLMKGVRHLSDSGITRLPDRYVLPASDRPGAVLAASSTAAGVAGRVKLPVVDLAGLRDPSQRAAVLATLDAACREFGFFQQQPAEQLTCILLLLVQQPQVVNHGFGSDVSGGMLDVARRFFELPLPERARHMSADVRAPVRYGTSFNQAKDAVLCWRDFLKLVCQPLREVAPYWPQQPADLRDVATSYATASHALFMEVMAAALEALGIPQQTAGGGVLGELAAASSHMMTVNCYPACPQPELTLGMPPHSDYGLFTFVLQDHVEGLQVMHDGRWLTVDPVPGSFVVNVGDHLEIYSNGRYKSVLHRVRVNSTRPRISVASFHSLPAERVIGPAPELVDEQAGNPRRYMDTDFATFLAYLASADGKNKTFLQSRKLPTPAAAAAACL >Sspon.05G0024610-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:16702264:16706156:1 gene:Sspon.05G0024610-1B transcript:Sspon.05G0024610-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding PILVLLLGAELCQRHGVLQQWIPCSGGNPDEDAEASVSDPENVFLSISTPSLSHEAAAPSSSSSGGLPELTLQETRKTYGRFAEIVEPPREGYVLTLKLNFSGLTRPKGTFEELINASSLRFHQLVSLLQSVVLSSQLKNMLGNLGSSPGTMRLVYNQREPFFVSRTADETINAIFPMRFRDDTDLAIATSFFQELQDVGNSFARAPKCSWSPIPPPELRGENVHHLTTNGGFVSFVVLSRHVRGKRAAKTAWILLNFQSYVKYHIKCTRSHVQSRMRERLEALTEAVHNARLRGTNSDDKTRSSQVVKKRNKRRLISLSKASKKLHKGFRAVLDKMKRLRQRIRVKGLDRLQRQFRCRCFAVPRLPAPPRTRSRKERRYHKLA >Sspon.07G0015320-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7A:55002804:55004966:-1 gene:Sspon.07G0015320-1A transcript:Sspon.07G0015320-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKI >Sspon.04G0025130-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:29918038:29922163:-1 gene:Sspon.04G0025130-3D transcript:Sspon.04G0025130-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQDTPMLKPGWMQRDKAAGAANIWATASSHSDYQAAGGSLRNHSSGHYRDHGSQQSSSGRSSGSNGSRRPDRDGMGKSRDYFNFRKFKDRDRQRDFDSRDWESRPAAAVCDDFKSFSTCKSERDRMNRTRSKADTWNKGSGSTSRNNAVSSSRSNDKSSTVASTGTVNSVRNAALGTSISNASVRTSVSNTSISNAVSNASNAASITFEREFPQLSSEDKNGRQSISKVPSPGISTAIQNVPMISPDGWSSMLADLPLLSDPKKSLATSSLLHIAPSKQTEVVPNSGTALSMAETVMQAPLRISIRPQLSTEAQKIEERTLKQLTLRPITPPASKSSALSSLKIKGTRLGDPTGPGKTSQLLKVQSANGSTRAPVKADVSKLSQPGSFQVLSREQNGTAHTTKDCPVKPVSPPAPLVSMETQKKPVVSQKLKFGTNERPLPLQGPSRDRKSNARDKVRFFEMLRTKASNGSSTVDVKQDSSLNLGNDFSLFHSEMKCTGHGKCFCEEANSSEGSQRHLSDTEEHIPSLKSVVGRMPQQPLVESREADTSSEPADTGDEGFQSSLLGSTEGSLSSTPADSDDGWNRSQSGNEEASSLSEDTEPDDEYHPAHISPEDKHFMMLLGWKEDEIVQVAPLDFDEIADTVKGCEELKKKLQSMESNEDIKSILLHIELQQHKSTGA >Sspon.02G0038640-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2B:43719178:43721407:-1 gene:Sspon.02G0038640-1B transcript:Sspon.02G0038640-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ARTHGSEV >Sspon.05G0025280-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:22586776:22587470:1 gene:Sspon.05G0025280-1B transcript:Sspon.05G0025280-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSCIRRTSAMSLVVVILLIVSLLTLHLPTACARHVVVLNPNSNGLDNRGNEVSENAQSTLIVGCSDHRAESKLNLVILVHVHILLKLLEDLDDCTQNLAKALASTDDDDLAANKVISERKLGAPNNKEGTKATVGATTTTTSAGSRPRTVEMRAAAKHGDAVTEMYDMLRRDYASRASRRRPINNGATPLQVNKKP >Sspon.07G0020710-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7C:68666065:68669865:1 gene:Sspon.07G0020710-2C transcript:Sspon.07G0020710-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding NQLQPPHFQLPLDTFHFLLWLCSPSQPPAPPPASPPPEACISSGRGLPLWIVLAGVRSYVCASLRRPRQLHSCLSPASKAPSAPPPPPVSASLSLLPCLLRHHRPLMSPPERWILMRSSPPTPMARCSKMNPYLNGWFVPGCLQLQSRRGEVDFTLRWRDPAAGEGPDEDLSKGLTKTTAHMAFDGERGGVKEGVRQVWEEVWQSGGVDSREAWRRRGQGGSSDGAGLGGNSGSLRMGKAHMSAQKRTARGRVTRSASPRVVDRSSSVTILPFRCHEDESVSVSDRTDGTKSCKGSKEVEQPSPVSILEPPIDDDICFPGCLNYDDLQGMAKKQRDGHQYHNESEVTMSSDDEDHSFYQSLEAFQVEENWDFSYLLDILICSGIIVADWQLICKSWYLPGCPVGPHVFDRLERKYNKITTWAKPERRLLFDLVNSILSEVLAPCVDVHPWVQPSRHRMALWGPEGPVEKVWQTIVRQREDCVTGNPDEMVLDTNWLEVGNDINTVGKQISRMLHGDLLEELACSPTPAPPPPLGLGPSLPPALSPFTWASGPFVARAAKPSRPARAAPPSTLTDKWTPPTPLLPSLTSGPAPSAFSYLRTSSLRRNGRAGPSRPRDPATLRTEQSGATEPRPLSSRVYRTRPSAQPFATSSRSP >Sspon.05G0009960-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5A:28426772:28430841:-1 gene:Sspon.05G0009960-1A transcript:Sspon.05G0009960-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGATAVAAAVRLRVEREKQRNEIGVRVQGFDTFVVNYNIQPEKWDLERCMAMCAQEEERIKAANGGTLNFVKDNKRKNFNANANSPSKPKGKAPMQHQPQQNKFTVSKDQCLHCKKTGHYKKDCPDFLKMIMAKQGENMITFINESLYVQYSKSTWWIDSGATVHVANSLQGFRSTRTTQRSERHIKVANGVQADVEAVGDVSLELADGFILLLKDVLFVPTLQKNLISVSCLDNDGFDCHFGDGKCEILCNNECVGLAFQKQDLYLLSLRENVNSVCDANDNVFSFVNANRKRKRTQDASSKLWHCRLGHISRGRIERLVKNDILPPLEFSDFEQCRECIKGKYTKQIKKDAKRSTGILQIIHTDICGPFPVKSVDGFDSFITFTDDYSRYGYIYPIKERNEALDKFKIFKAEVENQHNLKIKVVRSDRGGEYYGRHTPYGQVPGPFARFLQENGIVAQYSTPGEPQQNGVAERRNRTLMDMVRSMMSYSDLPLSLWMEALKTAIHILNRVPNRYTKFVETRHAVILEDEMMRGSMVAREIVLEEKRVCVPNPMIQEPFFELPVLVAPTVPDTVVPTPVVSSPVVTINNDEEPVLQEPIQTDATDEGEQQQPQTEDVPNVEAPRRSQRVRRSAIPDDYEVYNTEEFQMEGDPTSFEEAMRSDNSSKWLEAMEDEIKSMSTNKVWDLEPIPKGAKTVGCKWVYKTKRDSQGNIERFKARLVAKGFTQREGIDYNETFSPVSCKDSFRIIMALVAHYNLELHQMDVKTAFLNGDLEENVYMAQPKGFVVEEKNEWDAA >Sspon.05G0002260-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:5A:7024765:7025247:1 gene:Sspon.05G0002260-1A transcript:Sspon.05G0002260-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMFFLVALSASHMASSLRPGAGLETCRASGYLPGRSGNCEKSNDPDCCEDGKRYPQYRCSPPVTASTKAVLTLNSFEKGKDGGGPSECDNAYHSDEEKVVALSTGWFSNMARCGHQIKISANGNSVYAKVVDECDSVHGCDDEHNFEPPCDNNIVDASPA >Sspon.02G0009880-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:27347065:27348822:1 gene:Sspon.02G0009880-2B transcript:Sspon.02G0009880-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPLLCLATAALAVVAVLISATEAWRATPPPLPVLPIPSAPQLKWQRREVIMFFHFGMNTFTDSEWGTGSEDPSLFRPAGLNATQWMDAARAAGASLVILVAKHHDGFCLWPSQYTAHSVRASPWSGGRGDVVREFVDAARARSVDAGLYLSPWDRHDERYGEEVAYNEYYEAQLHELLTGYGSVSEIWFDGAKGKNATNMTYHFQEWFQTVKQLQRSINIFSDDGPDVRWVGDEKGYAGTTCWSTVNRSMITIGEAGIEKYLNEGDPRGRDWVPPECDVSIRTGWFWHKNETAKPLSQLLEIYYNSVGRNCVLLLNAPPNSTGLVEDADIARLREFGAAVATIFGTDLAAGSEARASSERGAGFAARNVLDGRDDTYWAPSTAGDGRRNGYWIELRLRRRPDRQARAFNVVRIQEHVALGQRVERHAVYVDGAPVANGTTVGHKRLHRLLSPVAGTTVRVWIAARRGPPLLSAVGLHYDPFVAADTM >Sspon.07G0032360-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7C:48506960:48509552:1 gene:Sspon.07G0032360-1C transcript:Sspon.07G0032360-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPSKGVTRKSLISRTLERCKSGLNRIGTAGRARSSPAVAGCFPVYVGPERVRFVVRAEYASHPLFRGLLDDAEREYGHAARGPLALPCDVDAFLDVLSHMEHGDDGDDDGDEVRTAVSSSPICGLRSFSKNRAAGYRMMNPRSSPVVARIADKAAVASDGEDRISALPDDALHHILSLLPSDDAVRTSILARRWCHLWRSTRSMRVTPRQRHGGGDRDPWTSSTLTNFVNHFLLLRGAAVPVHECDILRGQLHGDYEEEKEDLSDAYKHKLDDELNRAACLWIHHAVANWQALVLRVCFQARRRLCLDRVPFASPLLTRVELTYATFKDPSQSIDFSRCPALEDLTMSGCQICSNRISSTSLAHLTIADCYFSRRLDT >Sspon.06G0013110-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:7086215:7088924:-1 gene:Sspon.06G0013110-3C transcript:Sspon.06G0013110-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MWGGDSNAKQMLKSRGGTGGGLPTAGDEESDYFPPTPRKDYWWSTGLLKLVTATVIFMGGVVLGLSVSGSVARYYYNASHAELFFPATTYGCDPRDRDCGMGLAFRAFVHPPRLAHSMTDDELFWRASLVPKAEEFPFQRVPKVAFLFMARGPIPFAPLWDKFFRDHQGLYSVYVHTVPDYKLNVSKNSAFYGRQIPSEEVSWGSITLVDAEKRLLANALLDFSNERFVLLSESCIPVFNFPTVYEYLINSAHSFVESYNIDTPQSAGRYNRRMAPHIMADQWRKGSEWFELNRELAVQIVADYKYYSIFRKHCRPSCYPDEHYIPTYLHLFHGPLNANRTITWVDWSRGGPHPASYGAADITEEFIQGIRNNGTQCFYNSKPTSVCYLFARKFAPNALGRLMNMTSTVLDF >Sspon.08G0027430-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:48366832:48368174:1 gene:Sspon.08G0027430-1C transcript:Sspon.08G0027430-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADEYGRSGAYGRSGAGDDYDSGYNSKSRTDDYGRGEGGYNKSSGDDYGRGEGGYNKSGGDDYGRSGGDVYDRSGGEEYGRGTGGGGYNKSGKDDYDGGYNKSGTNDDEYGRGTGGGYNSKSSGDDAYTGGGGGYGKQSGGADDSEYGSSRDDDSEKYRKEEKEHKHKEHLGEMGALAAGAFALYERHEAKKDPEHAQRHKIEEGVAAVAALGSGGFAFHEHHDKKEAKDAAEDAEEEAESGRGEGKKKHHFFG >Sspon.06G0002830-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6C:7464468:7464758:1 gene:Sspon.06G0002830-2C transcript:Sspon.06G0002830-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GKTGPVLSSRRSNNAAPTIKHRSSGAVTEASLAVIHHFVNPAHDLLLLIAGWCEWVGRGGLAREGNSRLGYTVTQSAQQHLAPKFCGPAQWVFTPA >Sspon.05G0022260-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:6994064:6998540:-1 gene:Sspon.05G0022260-3D transcript:Sspon.05G0022260-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Synaptotagmin-5 [Source:Projected from Arabidopsis thaliana (AT1G05500) UniProtKB/Swiss-Prot;Acc:Q8L706] AATIASFSKMTIEDSRKLLPADLYPSWVVFSSQQKLKWLNQELTKIWPFVDKAASELIKTSVEPVLEQYRPIILASLKFSKLTLGTVAPQFTGISIIENTKESGIVMELEMNWDANPSIILAVKTRLGVALPIQVKDIGFTGVFRLIFKPLVEELPCFGAVCFSLRQKKKLDFRLKVIGGEISSVPGISDALEDTIKNAIEDSITWPVRKVIPIIPGDYSDLELKPVGTLEVKLVQARDLTNKDLIGKSDPFAIVYVRPLPDKMKRSKIINNDLNPIWNEHFEFTVEDADTQSVTVKIYDDDGIQESELIGCAQVRLKDLQPGKVKDVWLKLVKDLEIQRDRKDRGQVHLELLYCPFDMKEEAPNPFSQQFSMTSLERTMTSMENGSGGSSFDRLSSRKKREIIIRGVLLVTVISGEDLPAMDMNGKSDPYVVLSLKKTKTKYKTRVVNESLNPVWNQTFDFVVEDGLHDMLMLEVYDHDTFRRDYMGRCILTLTKVLLEEEYKESFNLEGAKSGKLNLHLKWSPQPIMRDSREADSLRFR >Sspon.03G0036990-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3B:96393455:96393731:1 gene:Sspon.03G0036990-1B transcript:Sspon.03G0036990-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding HAFGRGTSTLLLCLCPCARPQPLHCHIHARGPSFHRNPPSLTRPHPAPQPHPHQFASPPLAHPRPVVPRHDPLERRAPRPSTCRAPFPDAT >Sspon.03G0020580-4D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3D:50638589:50642673:1 gene:Sspon.03G0020580-4D transcript:Sspon.03G0020580-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSARVADFGLSRILPDNGRGTLQNSTSTTAVRGTIGYVAPEYGEGSFISTQGHVYSLGILLLEMFTGRSPTDQMFSDSLDLHKFSENALPERVWEIVDLTIWMHIDANNRTTRSGIQNCLVSVVALGISCSKKQPRERIPIQDAAIEMHAIRDLYLKGVFGDHLPEITEDVFEASVLEFFKTDVQTLIGLSKVPRRSIGAIQPSNLAASRSEGKDPADAAMRSRSEVCLLSVIRHGVSCSKKQRRKSSWTSVRFSLAPSPATGNALCQLFVCPFPGTRNEDKMAALPLATAEACDANAALIMNGDLRALQPIFQIYGRRQIFAGPVVTLKIFEDNVLLREFLEEKGHGRVLVVDAGGSTRCAVLGGNLAQLAQNNGWAGVVVNGCIRDVDEINGCDVGVRALSSHPIKSNKKGV >Sspon.07G0028460-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7B:66715173:66716551:1 gene:Sspon.07G0028460-1B transcript:Sspon.07G0028460-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATSSFGDDADLMVADNLYLIAVCQGINQADATGLMAISDEEYAAELQLQEVLVSSAMAVIVNSGMAATAAQSSLMPQLDSAVVVHTANNDTAAAETPVLAVAEYSCCSSSSPPPPFAVAAPPTGEDDATALATCKICLDYVLPSHLHHASRGCAHAFCAACLSGYISTKTQGGRISDIKCPGDGEGCCNVLDPELCRAIIPGEAFEALCASLCRSMVEGAGNFCYCPFNDCSEILVDDRGGDVPESECPACRRLFCARCRVPWHAGISCAEYGQLAPGDKGKEDLVVLEMAKGEKWKRCPNCMFLVDKRDGCVHMTCRCGFQFCYACGEPWGQSHHCNTA >Sspon.06G0015990-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:69503479:69505604:-1 gene:Sspon.06G0015990-4D transcript:Sspon.06G0015990-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPGVELARRRRVHYRGDVASSAGAAEHHYYAHAHHAAPAAPTGVAGPALAARIRLEEKLRGAALPLASPSRWSRLMRDGRSTPRHPQSTRRRDEQDVVPATGADREPAPWRPAPPDPAALLQAAADMPAASASTRRQRRAELTRTLSKVDVCAVCLDEVREERRQRVTRLPCSHKYHSECVLPWLAIHPDCPCCRALVPSADTLVNV >Sspon.01G0029830-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:99678502:99681289:1 gene:Sspon.01G0029830-2D transcript:Sspon.01G0029830-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGRAPKKSDNTRYYEILGVSKDASQDDLKKAYRKAAIKNHPDKGGDPEKFKELAQAYEVLSDPEKREIYDQYGEDALKEGMGGGGGMHDPFDIFQSFFGGGSPFGGGGSSRGRRQRRGEDVVHPLKVSLEDLYNGTSKKLSLSRNVLCSKCNGKGSKSGASSRCAGCQGSGFKVQIRQLGPGMIQQMQHPCNECKGSGETINDKDRCPQCKGDKVVQEKKVLEVVVEKGMQNGQKITFPGEADEAPDTVTGDIIFVLQQKEHPKFKRKGDDLFYEHTLTLTESLCGFQFVLTHLDNRQLLIKSNPGEVVKPDSFKAINDEGMPMYQRPFMKGKLYIHFSVDFPDSLSPEQCKALEAVLPPKPVSQYTDMELDECEETMPYDVNIEEEMRRRQQQHQEAYDEDEDMPGGAQRVQCAQQ >Sspon.03G0013330-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3A:37457158:37457421:1 gene:Sspon.03G0013330-1A transcript:Sspon.03G0013330-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPALPVGATQSAPLGAPASAAISIAGAATLPPQQARRGQPAGVDPRRAPPSSGRGSIFAMQRRAELSGDGRPRLGIWWGSKARSSRH >Sspon.07G0014110-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:50556631:50561457:1 gene:Sspon.07G0014110-4D transcript:Sspon.07G0014110-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LLPPRHDAAAANARPPRRGVAADAQGVQPGRPVEVVPDEAGLRGAHFAAVVVGPSAKPRGGYTVEYDSLLESEDSDRRLREALPARSLRPRPPPPASGSGGPLPAEHAAVDALIDDAWWLGVALGRAAGAPGGKVRVCFPETREVMEFDAADVRPTSIVAKTIWKNNLLVDYTISKCYSTALSEEIVDVKHVRPCPPQASEISFCINDEVEAFQGDRWWVGVITNVHPEFKYTFKSAHLGTEVEVNQKSLRLRYDWVDDQWEQVSKNVAKLKFTQGVKVEVCSDDEGFRGAWFEATIVKPVGSKFLVEYATLKDDDDTKPLKETVEARHIRPCPPDIPVTDGFKLLDEVDAFCNDGWWVGVVSKVLGEKRCMVYFRPWKEEMEFEHAQLRLHYDWMGGRWMRASPALEM >Sspon.07G0012860-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:53748031:53749032:1 gene:Sspon.07G0012860-2C transcript:Sspon.07G0012860-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARARDAALHLLLLAAVAPLLLAVVSQATLVPPSVPAAADPEDPRCRAMAPRRGALAVYPSDMEQLQFLLNAKFVEAEWFLHAALGRGVDFLDRNLSGGGPRPSGARKADLDFRTTEVAAELGYQEVGHIRAIRQAVGGFPRPPIDLAPDRFAMVMDDAMGVRLDPPFDAYAGPVNFLLASYVFPHVTAAAAMGIGPSLMGYASKRLQASILAVEAGQDAVIRLLLYQRADEAVPPYQGHTVADFTRRISDWRNRMSGCGAKDEGVKVLDRKQGAERRTISNILGAGEDSLGFQRTPAEVLRILYGSRNEQIPGGFLPRGANGTIARGFFQLA >Sspon.05G0016280-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:66050457:66053623:1 gene:Sspon.05G0016280-2B transcript:Sspon.05G0016280-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPPPAPPAAAQDEVRPLPPMKVKLLFRYAVSSVFGGIGRAWRKALVGLHVVPCIIKILSFTADPEVACFWIPIFRFWVYLGLDAMVLMTGVDIVYYMLKLLFPYAVTCVFSGMGRPRGMAMIGLHIVLCIVKVLFFTTGLEVVRFWIPIFRIWVYLGLAAMTLTTCVDIGYYLYGLYALKFDPLQQQLAAAAVQLPPPPPEMDMLCDEGINGKDQCAEKIRAEKILLSCPTCRSKDRGQMVAYISESTSLDVSLGKIEEDMDKII >Sspon.02G0022380-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:75047156:75048875:-1 gene:Sspon.02G0022380-1A transcript:Sspon.02G0022380-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSAAAAPTATAFASGRLSASSPARPRGPSSTSLPSTFVGAFPRAVAARRRRRAAPARAGITVRAEAKKSVLIVNTNGGGHAVIGFYFAKELLAAGHAVTVLTVGDEGSDKMKKPPFSRFSVRILYNLCRRVASVPCSVCCTALGAGGWFRSWGAFDRLDCLCVVQELTSAGGKTVWGDPADVGAAVGGASFDVVLDNNGKDLDAVKPVADWAKSAGVGQFLFISSAGIYKPTEEPPHVEGDAVKESAGHVVVEKYIAEQFGSWASFRPQYMIGSGNNKDCEEWFFDRIVRNRPVPIPGNGMQLTNIAHVRDLSRMLSLAVEKPGAASGKIFNCVSDRAVTLSGMAKLCAAAAGVAAVDIVLYDPAAAGVDAKKAFPFRNMHFYSEPRAAKEALGWRSSTNLPEDLKERYAEYAASGRGEKAMAFDLDDKILAAVGKAPASVAV >Sspon.04G0035650-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4D:14390792:14391292:-1 gene:Sspon.04G0035650-1D transcript:Sspon.04G0035650-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVPKLLLLLFFLSYRSPIAYAGDDGSYKILSIGSQSLRTKSVCSEPKGARFGRRPVPLHHRHGPCSPLPTKKMPTLEEKLHRDQLRAAYIQRKFSGGVKGGRGAGDVQQSDATVPTTLGTSLNTLEYLIT >Sspon.03G0045600-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:21268706:21269098:1 gene:Sspon.03G0045600-1D transcript:Sspon.03G0045600-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding KGKETQKEASRDKREAGRRPVLATARRRWAVSCVRNRSRPPPLVSLPPSCAACPRTPRTRNLFSNRFRPGPADEARRNQPLTESACGGLVILTDEIVFLG >Sspon.04G0000460-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:2630497:2632107:-1 gene:Sspon.04G0000460-1A transcript:Sspon.04G0000460-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKEEDVRLGANRYSERQPIGTAAQGTEEKDYKEPPPAPLFEAEELTSWSFYRAGIAEFVATFLFLYISILTVMGVSKSPSKCATVGIQGIAWSFGGMIFALVYCTAGISGGHINPAVTFGLFLARKLSLTRALFYMVMQCLGAICGAGVVKGFQQTLYMGAGGGANAVNPGYTKGDGLGAEIVGTFVLVYTVFSATDAKRSARDSHVPILAPLPIGFAVFLVHLATIPITGTGINPARSLGAAIVYNRSHAWNDHWIFWVGPFIGAALAAIYHVVIIRAIPFKSRD >Sspon.06G0005530-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:17185157:17189462:-1 gene:Sspon.06G0005530-3D transcript:Sspon.06G0005530-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPVVGIVVSNKMQKSVVVAVDRLFHHKMYNRYVKRTSKFMAHDEDDSCNIGDRVRLDPSRPLSRHKHWVVAEILRRAKVYVPPAATASSEHDSKSQQAVQVHTYTHNGLLTDTNNGKR >Sspon.01G0006190-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:16091556:16092118:1 gene:Sspon.01G0006190-1P transcript:Sspon.01G0006190-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding NYLLLDKQGSVYVFIHKQATPADVLRSFVHGLVLASSTQNSKSQHLEARRWMDEMYTNFISKLQSEGYSTERLLSHSILWRAHWLHAQRDEKLK >Sspon.07G0020490-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:76375407:76377078:1 gene:Sspon.07G0020490-1A transcript:Sspon.07G0020490-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bbx23 [Source:Projected from Arabidopsis thaliana (AT4G10240) UniProtKB/TrEMBL;Acc:A0A178UTH9] RMKIGCDACERAEASVLCCADEAALCRSCDAAVHSANKLAGRHHRVALLPSSTAHPSPSPIVDGSGGGGHPACDICQEKTGYFFCLEDRALLCRPCDVAVHAAGAHVSSHRRFLITGVRVGDVESPSHHVPGSDGVSPSASSGNGSCSAPCCSSGGNRMTTTMPDKARPSSSVHATAATTEGSGGQGQQWLWSEFLADDVGVAMEQCCHAELSEPGSSGLTRC >Sspon.04G0030510-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:3565894:3567297:-1 gene:Sspon.04G0030510-1P transcript:Sspon.04G0030510-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding PMAATTKVLDRLKVGVSPPAPGGVLPLTFFDVPWLFTGPVERVFFYPYAHTAEHFAAHLLPSLVSSLSATLHAFYPMLGRVRPSPDGGGGYEFFCSAGGEDGEAIELSVAESSDDFDELSGGGWRDVARLYALVPQLPPPEADGSFALAAAQVTVFPARGVAVGVSIHHVACDDSSYMHFVKTWAARCREAVGGADAVPVPPPPPLLDRGVVADPEGLAARTLDEMRQLAANGPPPPPPAPAGPPPKLVIASFALTRVRIDALKRLVAAKVADGGGTGRVHCSAFTVACALAWACLARVGGGGGTERPRAHLLFSVECRRRLAPPIPQEYLGNCLRPCFVEVGAAELLGGDGVAAAAAAIGAAVAGLDGGVLDGAGGWFHKILSLVPERPMSVGGSPRYGVYETDFGLGRPAKVELVSIDKTPGTVSLAEGRDADAQAAGVEIGVVLPEADMARFSSCFADALEELCD >Sspon.01G0014060-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:40153880:40156434:-1 gene:Sspon.01G0014060-3C transcript:Sspon.01G0014060-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSASLRLAVVGAGAAGLVAARELRREGHAPVVFERAAAVGGTWLYTPPAATSSDPLGAAATHSSLYASLRTNLPRETMGFLDFPFAAAAAVGSRDPRRFPGHEEVLRYLEAFARRFDLLRLVRFETEVLSVRREDDGGRWAVTSRKLGEKGSGEEEFYDAVVVCNGHYAEPRIAVIPGVDAWPGKQMHSHNYRVPEPFLDQVVIVIGASASAFDISRDIASMAEEVHIADRSAPASTCKKQPGYDNLWLHSMIDHAQEDGTVVFRDGSSIKADVIMHCTGYLYDFPFLGDDSTITVHDNRVDPLYKHIFPPEVAPHLSFIGLPWKVIPFPLFELQSKWVARVLSGRIKLPSKDKMMEEVKAFYFKLEARGWPKRYTHNFSNHQFEYDDWLAEQCGHPPIEEWRKQMYAVNAMNKAARPESYRDEWDDDHLVAEANEDFKNFL >Sspon.01G0041600-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:46654684:46655742:-1 gene:Sspon.01G0041600-2C transcript:Sspon.01G0041600-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSANKQWLAELEKDDELGELELIDPLSMQQLAESLANELRSLQPPPKEKRPTTGTGFSFVATGVGGNSVLMSFTDDGGSSSSSTTVGTGNNCCPLLTTPPPLTEKKAATVSGRRPSSIVKEHVLAERKRREKMHHHFATLASIVPDITKTDKVSVLGSTIEYVHHLKDRLKTLQKEHHHFAGSGGGSTTADSDAQCCTTGTGSKGEAVNKSDDESPKIEVDLRGKTILLRVVCREKKGVLIMVLAELENHGLSIINTNVVPFAESSLNITITAQ >Sspon.01G0026190-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:98136011:98139121:1 gene:Sspon.01G0026190-2B transcript:Sspon.01G0026190-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSIRDAMLRTEDEATPLKKKLDEFGTFLAKVIAGICILVWVVNIGHFRDPSHGGFVRGAIHYFKVAVALAVAAIPEGLPAVVTTCLALGTKRMARLNAIVRSLPSVETLGCTTVICSDKTGTLTTNMMSVSKVCVVRSVHQRPMTDEYSISGTTFAPDGFIYDAGGLQLEFPPQSPCLLHLAMCSALCNESTLQYNPDKKSYEKIGESTEVALRVLVEKVGLPGFDSMPSALNMLTKHER >Sspon.02G0047190-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:12312815:12313917:-1 gene:Sspon.02G0047190-1C transcript:Sspon.02G0047190-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLPAVLPHHQFITHRNNSLTRTYARAGDDMHGRPGDDEQSTACPPLQAGEMIDTSLELRHGDAHRVEAPATDSQPAAMSATARCLEATEGPGSGSSRRLLFPRKEHRTSVTASEFKRWLKQFDGDGDGRISRRELREAIRRRGAVKAWCAVRHADKDRNGFVDDCEMEKRAGLPHFQGAVTSDV >Sspon.07G0011700-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:41662830:41665910:1 gene:Sspon.07G0011700-1A transcript:Sspon.07G0011700-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEGPARSSTAESSSAASSGLDFEDTALTLTLRLPGSDLDVRKRAASTSTSDPAARGRGSSSPQASEAPPAPKAQVVGWPPVSRNRRNALPSRGKFVKVAVAGAPYQRKVDLEAYAGYDQLLAALQDKFTSHFTVRRRVGNEEMALVDVVSGAEYVPTYEDKDGDWMLVGDVPWSTESC >Sspon.02G0029310-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:106762862:106764397:1 gene:Sspon.02G0029310-1A transcript:Sspon.02G0029310-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQEVFDSSQFTSRCAPARTVGWRHPGYIHTSYLKDLWPDSPYTYRLGHRLMNGTRVWSKSYSFKASPYPGQDSLQRVIIFGDMGKVHVSFHVYPSFSQITNWYFHSQIGIWFKCSGNHERDWPGTGSFYGNLDSGGECGVPAQTVFYAPAENRAKFWYATDYGMFRFCIAHTEEDWRPGTEQYKFIEQCLSSVDRQKQPWLIFLAHRVLGYSSCAYYESEGTFEEPMGREALQELWQKYKVDLAFYGHVHSYERTCPVYQSQCVVDASDHYSGPFQATTHVVVGGAGASLSEFTASKIQWSHFTDFDHGFVKLTAFNHSSLLFEYKKSRDGNVYDHFTISRDYRDILGCSVDNCPRTTLAS >Sspon.06G0011360-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:48483094:48485715:-1 gene:Sspon.06G0011360-3C transcript:Sspon.06G0011360-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHHRPSSAAAAAGSSLPLSPADGFLRVKDGVDGMIKYVANEPSVGLYFVQQHARASMPLLLDVKGELAEKTHEVTLHTEDMEDSICAVRSMADFGLPLADDMIKDINRSLQIMSKTQPKRGLIQNPSWGFQSRKSSGTWDELGTTNGSSSRNYFSSMLNTAKQKASSLRWPQPDFTTKDDGSENSASSAAPDSSQAGGQGASTPDTEKDEIPISSRLSDGTTAMNCSLPATGVSETVEDYNKFKEEQELKLQEWLRDSEEAVGNKD >Sspon.05G0020090-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:82771152:82773890:1 gene:Sspon.05G0020090-1P transcript:Sspon.05G0020090-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWKAAEKLIRHWKILRGDNVMIIRGKDKGESGLIKRVIRSQNRVIVEGKNLVKKHIKQGEGHTGGIFSIEAPLHVSNVQVVDPVTGKPCKIGYKYLEDGTKVRYARGMNASGAVIPRPEILKERRKPRPTSPGPKDTPIELVLEKTYDEKAGIGMPHL >Sspon.01G0041070-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:45757332:45759372:-1 gene:Sspon.01G0041070-1B transcript:Sspon.01G0041070-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GVKFHSSKLSPEQVKLFRRIEHEKVDKQLDGYVHQCLKMKVKCEKLIFEKEDVVAGLVELIVLHKVTKLIISGAADRQYSRKMGKPKCKTATEIMQRADPSCKIWCVCKGQLICTRGEEEEIAPSATPFLPDFDHQALQLVPYQKEDDVKSELGLYDELKEACIAAENLMKRALNESSRRQKADGEVASALQKAKEYQELYLEETRKREELEGALARAHREIARLRKTNQVPVDEQNTATDELQEAMSERSSLEGHAVDVDAVLGTAGQVTEAQKEHVQIQMDLGTGERDLELEIQALLNQSKLAAFSPSSVIESPYDEDRVPSYFLCPILQEPMRDPHVAADGFTYEGDAIRGWLDAGNDASPVTGQPLAHREAGAGTESRAWCRDPRLHGDEAAAVPIRRFA >Sspon.06G0000490-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:693207:693737:-1 gene:Sspon.06G0000490-2D transcript:Sspon.06G0000490-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSSYITGEDDDAGEGCNSCESGWTMYLASPMHMHGHDDDAAGSGKSSGSQGSSVHDGYGYMISRGKKQGYQDYADADDDDSLASDASTGPAKVKSPSSSLPEHRHGKQDDDDDDGGHHHGKEQEEDGARTKLATSSRKKAAAGNGKVDKGGGEGNSSRRGHSKRGGGSSRRSFFL >Sspon.06G0007540-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:29732728:29734023:1 gene:Sspon.06G0007540-1A transcript:Sspon.06G0007540-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDLQARMELKRKRRMESNRESAKRSRQRKQQHLDDLNSQVNKLRTTKQQLMTALNITTQNYAAAEAQNSVLRTQMMELESRLCALREIICYMNANHVANAATTMNAHPATIMSGAANYDTFGASATAWNSGMQMVQQPIDHLLYQCF >Sspon.01G0051440-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:17954092:17959264:-1 gene:Sspon.01G0051440-2D transcript:Sspon.01G0051440-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGIGRPRATTASLAPADLVLKAACERCGAALELYLTSCRHTTLCSDCGKALARARGRCTVCNAPVTKLIREFDIRVDTSAEKEKTHSIGRFTTGLPLFSKERSAENRWTLRKDVPQGRQLTGNMRDKHYSKRPWILEDETGEHQYQGQTEDPPATYYSLTLKGNDMVAVQVGSWYNFSKIAQYKQLTLEEAEEKMNRRRSSASGYERWMMKATANGAAAFSSGVKRLDDVNVGATNGIHPKKGDRNENGNQSDKVDVEEGGAARKNRLGLTMKGMDEDDEEGGKDIDFDLDDEIEKGDDWEHEETFTDDDEAVDVDIEERPDLADPEAAPPEIKQDDNENELGGSDNLSKSGQELKKLLRRAAGENESDVDDKSTDEDDLPSPELAPKQLVPKSEPVDSNPAKPTPSAHAQSATPLSKSTQKRKSGGGDANTSNGATSKKIKIEPETRTLVVKDEKLSSLEPISKPSLSERRESSPITEEEVRAVLRAIEPTTCQDLVLRFKARLITQEDKKTFSDIVRKISHKNCHGKVVLRKEQNCLKQLGRIYWLEKVSVPS >Sspon.06G0026370-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:76659348:76662611:-1 gene:Sspon.06G0026370-3D transcript:Sspon.06G0026370-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNYIIGAFKPPCDIFVTFSDERTRKQVQIKKDNGKTVMVPAFQSLETIAGEVSIAPVPGKRLEHTGVKIELLGQIVRELDVPGEIYERKTYPFEFATVEMPYESYNGTNVRLRYILKVTIGRNYVGSIVESRDFCVRNYSPVPTINNSIKILELNIWMFSLMSIMVLPFQMEVGIEDCLHIEFEYSKSKYHLKDVIIGKIYFLLVRIKIKNMELEIRRRESTGSGPNTYVETETLAKFELMDGAPVRGESIPVRLFLTPYELTPTYRNINNKFSVKYYLNLVLVDEEDRRYFKQQEITMYRLLETPQSS >Sspon.05G0032350-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:16386877:16391507:-1 gene:Sspon.05G0032350-1C transcript:Sspon.05G0032350-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASALLSYVQALWPFSALLREEDDLRASARLIGSLSVPEETKQFVLALQEPGGSPQDRHRDGAGVIYILAAQNLSEQSASDAERLIREVRPRAVVTQVARPALEDVRIEEDCLAGHGGGGVPVPASPFQVIKRCVTEKRSKDQYVKAAACQVLQEIFGVGFYGHLLAAKRAAEETGSCFLLLESPYERNCGGGGSVSRNSAADNNSAQSLQASCSLAHSTMDDDSGLQLQASCLIPRSAMISHGRNICLMDDNGGQLLKSLAPTLNFLMSQAVPFNAATECRPSECKPTGRYEAPPFAQSVYPLLADLYHIFVDIPSIGRAMASAQKLLTQVHEGEPISSDMLSDVYVFRIAIEALRMGLNNAARSHIDTRDKHGSKDLDFSELQSDEKCHILLVQALRSQLREFGSVVAIVDASCLAGIRRHWNTPVPSEITQLASRCFNHYGAENDDKIEVPSADSTDKKSWISEKPVVAVGAGGTALLGFSSLSKSLQASAFLKLAPYKSPVVLKYGLMQLQRHAAIVLSKMLPHGVVTAGSKASALQFTASAEKIRAVAHTVISSAERTSLLAMRTSFYEIMQKRHRQPFRITPWATFGFSMVACAGLVMHGDGIECAAEAAPSVPMIASLGRGLESLRLTSQEVVHHESGWLPDYEWYAAAAKDEAKISSCAAIRSHRLAEETDEQKQFIFIASAELPDLVDAHSQRLELLAVLLPRLCGVVGHEHQPLALKRHTSSSSSESSGSDD >Sspon.07G0007530-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:17863025:17863805:-1 gene:Sspon.07G0007530-2B transcript:Sspon.07G0007530-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRFAGQISRPWVGMAGSGTASEPAADVARDDAAAAAASSMRGADSATNASAISFGFAATAVLVSMFLLMAIFEHLIKPSLASSSSSSSRGSRSHDDDDIREGRGHGRRLGLPPARQRERDASPDKLSHSPKVEPVAAVVDLTVLMPGQRYPTFLAQPAPLAPCPREGVLWPSHDYRRSFVPP >Sspon.02G0027350-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:121438578:121443501:-1 gene:Sspon.02G0027350-1P transcript:Sspon.02G0027350-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGPKRSQLRVRLRVTARRRGADGDGAGGAAGPGGRKRRMEAPVLNSAAKLQRREIGGRQLAARGGGPAAAVPERFRNMQLQEEFDTYDDNAHLFVKLHPDEVIRSLFYNKNNDSLITVSVYASDNFSTLKCRTTPIEYIRRNQLDAGFPLFESESLKWPGFVEFDDVNGKVLTYSAQDGIYKVFDLKNYSFLYSIPDENVQEIKISPGIMLLIYDRTPSYVPLKILSIEDGKPLKFFKHLLHRNKKIDFIEQFNEKLLVKQEDENFQILDVRSSELIEVSVSKFMTPSAFIFLYENNLFLTFRNRTVAVWNFRGELVTSFEDHLLWHHDCSTNNIYITSDQDLIISYCKSEAVAEDGTVIPVGSINMSEIMTGKCIAKITANDPALSVAPRRNGRNKLWSTVPEALEDVTALFYDEDRNEIYTGNSHGLVHLVVVLCL >Sspon.07G0001190-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:2009396:2010736:1 gene:Sspon.07G0001190-3D transcript:Sspon.07G0001190-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPLPLVLLAVILPLPAAAGAPVVFPKEAMPTKSGYLPVPPANASLFFAFYEATDPVTPPASTPLLLWLQGGPGCSSLLGNFFELGPYFVNPDGETLSRNPFAWNRRFGLLFIDSPLGTGFSAAPSSADIPTNQSVIAAHILAALQSFYALDPTPTLRARPLFLTGESYAGKYVPAAGAHILDANAALPEAQRVNLRGVAIGNGLTHPVAQVATHADSAYFLGLVNARQKRELEALQAEAVALTLAERWVAAADARGRVLARLQNVTGLATLYDYAKQRAYATDAVGKFLNRAEAKAALGARGDVAWEECSDAVFEAMHGDVMRSVRPQVESLLRRTTRVLLYQGVRDLRDGVVSTEAWLDGVRWDGLRAFQAAQRAVWRTGDGELAGYVQRSGALVHVVVYGAGHLVPADNGRAAQEMIEGWVLGTGMFGSGSRGGGNGRRRAA >Sspon.01G0014010-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:38722504:38726146:1 gene:Sspon.01G0014010-1A transcript:Sspon.01G0014010-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GIHTTPLLALSELEAPRALPSCRSGSVGPMWSAKASVRTKRILTQWNPQGRNTCPICFQFSPLDTQHTRPRTKPTQLPSASSAADEPRNRTHYTTDDSHRSEGERARDGREANRGGSPHPGRRRRTRARGSALRHRAGMGLPVGRHILVWDNGTPFPEPCIDRLAPHIGKVALAWLCGGLGFFAALGVAAAVNDKASKIPYVTTDWLLLLCGGIGLIRCELIQGDDIWWLLPALDDASP >Sspon.04G0024840-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:22306182:22310089:-1 gene:Sspon.04G0024840-1B transcript:Sspon.04G0024840-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFLEYTPFDSINLFLEQLNLGDCTIRGNLEAFSCKHTATDRRLSISLEHEGNTILFQAKLNILDYLGKSADSDPSSPVEHLSSRSSRKTLIYLVLTLGHIYPDYDFSAVRAHLFFQEEELESFKQMVDTYLSDASRQWAATNEGSSLLDSMTKAIDEVIKIRECDIYSYNPDSDADPVLEKGAIKLSGDDFLTGVVSDGEEEDALIDMDI >Sspon.07G0034300-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:68808206:68810183:-1 gene:Sspon.07G0034300-2D transcript:Sspon.07G0034300-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPGLVAAALLVAALAAFCGTDPLRMGSMVDFPGFEAHFIDLPDPADMPPHADERERLRGAEVRFRGEVQGPESVAFDPQGRGPYTGVADGRVVFWDGERWVPFATASPRWTQELCGGPKASPLEYLPNEHICGRPLGLRFDKKTGNLYIADAYFGLLKVGPEGGLATPLAAEAEGVRFNFTNDLDLDDEGNVYFTDSSIHYQRRNFMQLAFSGDPSGRLLKYNPQTKETTVLHRNLQFPNGVSMSKDGSFFIFCEGLRNRLSRYWLKGEKAGTVDLFAIVPGFPDNVRTNEKGEFWVAIHCRRSLYNRLMSPHVKLRKFFLSLPIPAKYHFRMLIGGKLHAVIIKYSSEGQVLDILEDTKGEVVRAVSEVEEKDGKLWIGSVLTPFIAVFDLSKAS >Sspon.05G0011160-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:52535809:52539107:1 gene:Sspon.05G0011160-3D transcript:Sspon.05G0011160-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDIEDVLGPAGLSGGGAPPGLRLPLAAVAVKPKRRSSRVAQAPPQPEDRIPGTQSDSEYMSGQLSAFGYAITEEPERADLWLINTCTVKNPSQSAMTTLISKCKNANKPLVVAGCVPQGSQGLKELEGISIIGVQQIDRVVEVVEETLKGHEVRLLCRKTLPSLDLPKVRKNKFIEILPINVGCLGACTYCKTKHARGHLGSYTIDSLVDRVKTVVSEGVREIWLSSEDTGAYGRDISTNLPNLLNAIVAELPVDQSTMLRIGMTNPPFILEHLKEIAAVLRHPCVYSFLHVPVQSGSDAVLTAMNREYTVGEFRKVVDTLCELVPGMQIATDIICGFPGETDQDFSETVNLVKEYQFPQVHISQFYPRPGTPAARMKKVPSNEMKKRSRELTSVFESFSPYQGMEGKVERIWITEIATDGVHLVGHTKGYIQVLVIAPDSLLGTSANVKITSVGRWSVFGDVIEGSVAVGEAPKQTSAKLQKEHGQNQVEEAGCCATDSCGTCACSGEAQQCGPERCEDTSHAPETCGDVTCQEALQQPTLVRRSVEGTTKESDSSAAHSLGKEQQVKVVTRRGVNIDTILWCGLAVSFAVTIALLVILTSKISSTSS >Sspon.04G0022930-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:15045046:15046468:-1 gene:Sspon.04G0022930-3D transcript:Sspon.04G0022930-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADQANQPTVLHKLGGQFHLSSSFSEGVRARNICPSVSSYERRFTTRNYMTQSLFSPSMSVSGGINVPVMQTPLFANAPAEKGGKNFMIDFMMGGVSAAVSKTAAAPIERVKLLIQNQDEMIKSGRLSEPYKGIGDCFKRTIKDEGFSSLWRGNTANVIRYFPTQALNFAFKDYFKRLFNFKKDRDGYWKWFAGNLASGGAAGASSLFFVYSLDYARTRLANDAKAAKGGGDRQFNGLVDVYRKTLKSDGIAGLYRGFNISCVGIIVYRGLYFGLYDSIKPVVLTGNLQDNFFASFALGWLITNGAGLASYPIDTVRRRMMMTSGEAVKYKSSLDAFQQILKKEGPKSLFKGAGANILRAIAGAGVLSGYDQLQILFFGKKYGSG >Sspon.07G0014170-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:56633384:56637466:1 gene:Sspon.07G0014170-2B transcript:Sspon.07G0014170-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAASVTTTAPSPPALLKASPSSLISFRPVSRRCKHLCVKTKATENDQSAKKPQKVNSILCQDCEGNGAIACTKCEGSGVNSVDYFEGRFKAGALCWLCRGKREILCGNCNGAGFLGGFMSTFEETAQ >Sspon.08G0003710-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:11603746:11616616:1 gene:Sspon.08G0003710-1P transcript:Sspon.08G0003710-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLREPLLLTFVFLACSPGSVSTSNTTDHGALMSFKSHVSMDASGALMQWGNLSVPMCQWPGVACGLKGRRLGRVVALNLVGLNLVGTITTALGNLTYLRQLDLSSNHFHGILPPELGNLHDLETLLYLGENRLVGKIPSSIGSIASLEELVLRYNNLSGEIPIEIGSIVNLTRLSLGANQFSGTIPASLGNLSALTILSLAENELEGSIPPLQGLSSLRFLWFGANKLEGTIPPWLGNLSSLVFIDLRENSLVGQIPESLGNLELLTKLSLTKNNLSGSISHSIGNLHALYELYLNHNNLEGSLPQSMFNLTSLEILAINYNNLTGVLPTDMGSKLSKLKTFVISNNRFHGVLPSSICNASMLQNIQIVSTFISGKIPQCLGTHQMNLSSVALEESEFEATNDADWGFMSSLTNCSHMWELGLASNKLKGVLPNSIANFSTGMEFFSIQENMISGTIPGGIGNLVNLKALGMDNNILSGTIPSSLGKLKNLNILYLSTNTLSGPIPVALGNLTQLSQLFLSDNDISGPIPSILKNCPLQVLDLSHNNLSGSIPKELFFISTLSISMNLANNSLSGALPSEVGNLKNSQKTKAINIQRSTLSEQNVGICCSDEVPTDRPSIRDALKELQAIRDNNTTDHLALLSFKSHVSMDPSGALLQWGNLSVPMCQWPGVACGFKGRRLGRVVALNLARLVSLKELVLQYHNLTGEIPTEIGSIVNLTRLSLGVNQLTGTIPVSLGNLSALTLLSLVRNELEGSIPPLQGLSSLGVLQLGENKLEGTIPPWLGNLSSLVSIDLQKNSLVGQIPESLGNLELLTTLSLSRNKLSGSIPHSIGNIYSLNGLYLNFNELEGSLPQSMFNLSSLEILAINYINLTGVLPIDMHSKLSKLKTFSISVNQFHGVLPSSICNASMLQEIEISSTFISGTIPQCLGTHQMNLSVVVLAGNEFEATNDDEWSFMSSLTNCSNILELALDSNNLKGVLPNSIANFSMGMEFLSIENNKITGTIPEGIGNLVNLEALGMGDNILLGTIPSSLGKLKKLNILYLLNNAFSGPIPVALGNLTQLTKLFLSGNHISGPIPSSLKNCPLELLDLSHSNLFGSIPEELFFISTLSIYMNLSDNSFSETLPSELGNLKNLNEIDFSNNRISSWDLLFRLDTNESPINWRCSKRVASN >Sspon.03G0006960-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:18971701:18978259:-1 gene:Sspon.03G0006960-1A transcript:Sspon.03G0006960-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polynucleotide 3'-phosphatase ZDP [Source:Projected from Arabidopsis thaliana (AT3G14890) UniProtKB/Swiss-Prot;Acc:Q84JE8] MLVVPFSYLHIARNPIRLRLLLPVSAARFTRLAMSTTPAAAATISVEYAKSGRSTCKGCSGAIASGALRLGASARDPRGFDATKWYHVSCLPSSSHPLGSIESIKGFDSIKDHDREELRELEKNHKRDNTAVSPLEEPSPKKAKIQMSSPAEGVPDKVAISVEYAKSGRSTCKGCSEKIAKGALRLGASLPDPRGYENNKWYHVACFPTSSYPLFPMENLKGFDSIENHKSDGNVADELNESNLKKEMAHSMEDSKECADKDLEGVKVHCMGESEEGAEKNLEEAKLPAGNRTIGPSISFSLSDIKKEYKDATLPAHWKVFDTVIFRQQEDGLHASSKIAAFDFDGCLAKTSVRRVGADQWSLQHKSIPEKLQRLYNDGYKLVIFTNESNIERHKNKRQQAVDSKVGRLDNFIECVKAPIQVFIACGLGKGKDIPDDPYRKPNPGMWWLMAQHFNSGIEIDMDR >Sspon.02G0008170-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:17539923:17542575:1 gene:Sspon.02G0008170-3D transcript:Sspon.02G0008170-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADPLLALLAGALLAAALAPAAAAPSCPHTNLTANFSADLTMLQHQLRGTVRLADGGKCALELTRFDLLAASPSARFWAADGPAMADLAAGRAFSPLPLNKTFRNASLELPFARPLPRLLALYDPDTSSDFGHVFLPNGTGPDLDTAAEPAPTMFDNCIPLSETETYRLRWTLNASAGTVEIGLEAAVGSEYYMAFGWADPKANSPAMIHADVVVAGFTEEGTPFAEDYYISDYSECTLGKEDSPVSGVCPDKVYEDGKNDSVLVYGHRRDGVSFVRYVRKLDAEDASMMCQWVPRRRWRCFGPLVSCGHRTQCAHTTSHRTMGGQGTQPWTVDNCLGPLDADNKEDQERIIADRKTPLVVTSGPAVRYPNPPNPDKVLYINKKEAPLLKVERGVPVKFSVQAGHDVALYITSDPIGGNATLRNKTEVIYAGGPDAHGVLATPKELVWLPDRNTPDLVYYQSLYDQKMGWKVQVVDGGLSDMYNNSVVLDDQQVTLFWTLSADYKSISIAARGEKKSGYLAIGFGSGMVNSFTYVAWVGNDGVGRVKTYWIDGKSAAGIHPTSENITFVRCKSENGIITFEFTRPLNPSCTGKVECKNIIDPTTPLKVVWAMGASWSGDDLTDSNMHSVTSSRPIRVLLLRGSAEAEQDLRPVLAVHGFMMFVAWGILLPGGIMAARYLKSLKGDGWFQIHVYLQYSGIAIMFLGVLFAAAELRGFFVSSVHVKFGVLALLLAVLQPLNAKFRPSKPANGEVPSRNRILWEYLHVITGRSAVIVGIVALFTGMKHLGHRYDSENVEELTWALMLWVLSVIVVVLCLEYKEVKRRIGDRSTRGHWVLGNTEEDDSVDLLHPDGTARNSESSASGVMEVQLEPLTR >Sspon.01G0047100-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:93369443:93378006:1 gene:Sspon.01G0047100-2C transcript:Sspon.01G0047100-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein CASP [Source:Projected from Arabidopsis thaliana (AT3G18480) UniProtKB/Swiss-Prot;Acc:Q9LS42] MEPSPAQAVASDRDRSPPPPPPPPSQSSTAATISSPLGVVCSFWKDFDLEKERSGLDEQGLKIAENQETSQKNRRKLAENTRDFKKASSDEKLSLFNSLLKSYQEEVDNLTKRAKFGENAFLNIYQKLYEAPDPYPALASMADQDQKLSELETENRKMKLELEEYRAEAAHLKNQQATIRRLEERNRQLEQQMEEKVREMVEMKQRSMAEDSQKTLEALKDRERSLQDQLRQATESVKNMQKLHESAQSQLFELRTQSEEDRAAKEAEVSLLMDEVERAQARLVSLEREKEKIISELNAELRNIENTLSSEREMHVNELKKLTTLLTEQERPTQKLVDDLKKKVQILQAVGYNSIEAEDWELATNGEEMSKLEALLLDKNRKMEHELTQLKVKISEKTSHLEEAEKRIAELTSKVEEQQKLILKLEDDILKGYSSTDRRGSLLNDWDLQEIGSSEASEGSDPRHASADQDQSSMLKVICNQRDRFRARLRETEEELRRLKEKYEMLTVELEKTKADNVQLYGKIRYVQDYSQTRLAPKKYAEDLESGSSDVEAKYKKMYEDDINPFAAFSKKEKDQRYKELGLRDKITLSSGRFLLGNKYARTFIFFYSIGLHLLVFTLLYRMSALSYLNTTTQHDEIILDAGNQTLSHML >Sspon.03G0024680-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:59600447:59602255:-1 gene:Sspon.03G0024680-1T transcript:Sspon.03G0024680-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALEPQVGPVALLLTVLVAAPLAYFLLVVVAGGKKKSASAAAPTSRHDGRRLPLPPSPRGFPLLGHLHLLGALPHRALASLARSHGPVLLLRLGRVPTVVVSSAAAAEEVMRARDLAFASRPRSAMAERLLYGRDVAFAPYGEYWRQARRVCVVHLLSARRVGSFSFRRVREQEAAALVARVAARASGAVVDLSELLTEYANAVVSRAAFGDESACGLFDEFDSGRRQRKVITDFQKLIGTVPVGELLPWLGWVDAITGLERKIRRTFEALDGLLEKVIDDHRRRPRGGCGDGDGRDFVDVLLDVHRNDKEHGIQLETNEIKAIILDMFAAGTDTTTTAMEWAMAELVSHPRAMRRAQDEVRAAAAGSTGVNEDHVAQLVYLKAVVKETLRLHAPVPLLVPREPPADAEILGYHVPARTRVLVNAWAISRDPTTWERAEEFVPERFLGGAAAASVDFRGQHFELLPFGAGRRMCPGIGFAEASAEIALASLLYHFDWEAAGGGGQGSRNREGTPTPSLDMTEVNGIAVHIKSGLPLLAKPWVP >Sspon.06G0023010-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:38421601:38425740:-1 gene:Sspon.06G0023010-2D transcript:Sspon.06G0023010-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEMVVAARAPAPAAGRWGAAPPQELLERLKDYGQEGTFAFWDELAPEERDHLIRDIESLDLPRIDRIVRCSLRSQGAPVPTFEPVPESSVSTVDDRTPEDKERGQDLAVLTLRDVSVSDFHLGSHFSNYKLNGFCVFRNWLLNALTVTFFQQGTVPCVSHDGRFIMETPYKVAKAPDGNGGVYAALKSKRLLDDMAAKGVKYVDCYGVDNVLVRVADPTFLGYFIDRGASAAAKVVRKAYPQEKVGVFVQRGKGGPLSVVEYSEMDAAMTTEINQTTGRLRYCWSNVCLHMFTLDFLNQVTNSLEKDSIYHLAEKRIPSVHGYTSGLKLEQFIFDVFNYSPSTALFEVLREEEFAPVKNANGATYDTPDSARLMLLRLHSRWVVAAGGFLTHSVPLYMTGIEVSPLCSYAGENLEAICRGRTFHAPSEISF >Sspon.01G0032470-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1A:109751629:109752760:1 gene:Sspon.01G0032470-1A transcript:Sspon.01G0032470-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPMALLPLSSTSAALPHFRPCPPAALLLRLPSHSLNARARAVSTGYAASFYGGSAMSAAGGADEEEVGDESGFGPGLGLGGGGLGMSAAEAALALEEREMPPCPPGLRQYETMVVLRPDMSEEERLAFIQRYEELLVAGGAMYVEVFNRGVIPLAYSIRKRNSRTGLPSTYYDGIYLLITYFTKPESMDALQLRLNADDDVIRSTSFKVRQRKAV >Sspon.01G0037140-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:15152123:15164977:1 gene:Sspon.01G0037140-2C transcript:Sspon.01G0037140-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MELFYELLLTAAVTLLAAFLLATLFAANDPPRRTDRAAAAIAEEVAAEEERIIEVDEVMVRSEGRAAVAPPEAEGWVEAEKAPAVVVAEAKEPESLPEEEEGVPVKAVREVRLAAGLEEGKDAGGGVRRPYLTSAAAVAAVGAEASPQASGAEVVPREVFYVAGLEERTVQDVAVKQHDLGAEVALAPVEVLEAGPDKQGVEVIGVAQVLPLESEDVEVKQHHLVAEVAPAEDVLDVGLVDKSVQAIEVRPDELDSETALEEILDVISEKEEQVVEVKEHELPAGAAPQPVLNAPLAEEEELKHHQPVDEAAEVHEEVQCKEEAKCEAHPVDQQEELVPEEELVAKKIDDVNVSHECSFSDQVVTELPAEAVTLQGLPKDDPEADMEFEEWEGIERSEVEKRNEDSSSIGEGRPITSPNPEKGQSSDIPAE >Sspon.02G0004030-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:12453492:12454835:1 gene:Sspon.02G0004030-1A transcript:Sspon.02G0004030-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VMDVVELAAAARHGCKVCGKSFLCGRSLGGHMRSHISLVEAAMEVHADDELRRASPNGGRSCNGVVGYGLRENPRKTRRLSDFANDDEDGGHGVGDGDGEQHKACRECGKLFSSWRSLFGHMRSHASGGRYGDDEHDVDVEEEEFVPVPEEAEAEETEMVTPIEAPVAAAPAALTVLSAPPRRRRRTMRVAAPAPVPPPPPMLSGFEKEPEDVALCLLMLSRDTGMWSSPAKEEPFESAEKQAGLPRSGYAYNSDDDSALHQSADAKIKGRVAKRRKRGSPKQRRDLVAPKRTRYECPGCGKVFSSYQALGGHRASHKRINASCSSPKVTPAAASSTPEPSTEMYASFNTLSPSASPDSVVIGFGKSKDDEAVADAAVEKFECAVCFRLFASGQALGWHKRSHLMPSESDDVELYYVNGAGADHQEQHSAAADGFLDLNFPPAAPEEA >Sspon.08G0001290-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:4507637:4513585:-1 gene:Sspon.08G0001290-1A transcript:Sspon.08G0001290-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GVRVLEAVPGGRAAGAGPAGGRLRRAPREVRARGLRLRRARHPAAQAAPLPQGVSDTGTFLGRASIAGLEKAVEVLDTLGSSMTNLNPGSGFLSGGTNRGNKACILAFEVANTIAKASSLWRSCSDESIEELKKEILHSDGVRILVSSNTIELLHIAAVDKREELAIFSREVIRFGNLCKDPIWHNLGRYFDKYASEKLVTDNTPQDHSKESMEATVQKLINLAQNTSELYHELHALDRFEQDFKRKFHEEESVPAARRESIMILHSELKRQRKLVKNLKKKSLWSSTLEDIVEKLVDIVIFLHKQIRDSFNEAGTEFCASEQTQNKRLGSCGLALHYANIINQIENIVSRPLSLPPSARDNLYHGLPITVKSALRSRLQTYNTEEERTVAQIKAEMQKTLRWLLPVAENTVRAHQGFGWVGEWANLGSDMSKKSGSQHVIRIQTLHHADKAKTEHYMLELVVLLHHLVTQVKNRGYGTSKSSRHDASSRSRKVAPDLQPDTETRHNTSPVNSCVALSPLSDCERAALDHLCFKRTSYGRSQSCEPPPGRRNKSHRSWDSCRSQGSSPAREFGRTHAVDRDTARDLDVIDGLDRLTSFLHPSSPTFC >Sspon.04G0033430-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4C:57563483:57563610:-1 gene:Sspon.04G0033430-1C transcript:Sspon.04G0033430-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ELELLSDGANVYKLIGPVLVKQDLAEAKANVKKRIEYISAEL >Sspon.02G0015820-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:43168314:43169820:1 gene:Sspon.02G0015820-1A transcript:Sspon.02G0015820-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVGTINLFLVVVLGWSSQTALSQILLQAFNWESWNKGGPGWYDYLQSQVDDIAAAGITHVWLPPPSHSVDAQGYLPGRLYDLNVSQYGNETQLRALIAAFHGKGVKCIADIVLNHRTAESKDGRGVYCIFEGGTPDGRLDWGPHMICRNDSYSDGTGNADTGLDYKPAPDLDHLNDVVRSDLTGWLQWMKSDAVGFDGWRLDFANGYSPAVAGMYINSTTPDLAVAEIWTDLAYEQDGRPRADQDAHRQVLADWVDAVGGPAAAFDYTTKGLLQAALNFSQLSWMKDAQGRAPGLFYDHFFDPNMKDSITTMMKIRTRNKIGPASKLRILLAENDAYVAEIDGSVLAKVGPRYDVSKYVPDGLQVSTSGSDFAIWRK >Sspon.03G0039100-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3C:9649614:9650193:-1 gene:Sspon.03G0039100-1C transcript:Sspon.03G0039100-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGNGSVGTCSRPPTCQKQPAQLWPHVPRRARGQGASPYINAARQARHVAMKRRSSAHRHRRAGAASKRMGHIDRPPSRAVLAVAFTAAALLLLAAGGLVAAGQTTTTVDPDSWADRGAACTGTVEECVGTGGGGMARRELGYGGYISYDAMSRGR >Sspon.04G0013190-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:46744857:46746178:1 gene:Sspon.04G0013190-1A transcript:Sspon.04G0013190-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MIIMGEQRNPAIRQVSSSLLALAFASSVLVGLAAGGSFYEECDATWEPQNCWAYDDGNRLSLALVSSSSGSMIRSKRQFVYGTVSTMIQLVPGDSAGTVTTYYTSSLGDNHDEIDFEFLGNVSGQPYTIHTNVYAAGVGNKEMQFKPWFDPTADYHNYTISWTPCMIVWYIDGVPIRVFRNYAATHGVAFPTSQPMYAYSSIWAAEDWATQGGRVKADWSKAPFVASYHGIDLDVCECDGGGCVSTCAAAFAGGDCSSLSDAQVGKMQWVQSSYRIYDYCVDPKRLVNGQKPVECGLPQY >Sspon.06G0002320-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:7384348:7390557:1 gene:Sspon.06G0002320-1A transcript:Sspon.06G0002320-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVVEAYFAGTKLDPCDDVSQMSDSAASLIIEGIAQNTTGTVFLPEDGGAAEITGSPTEKAILSWGLKIGMDFHDVRTKSSVIHVFPFNSEKKRGAVAVQSDDGVHIHWKGAAEIVLSSCKSWLSVDGSVQSMSAEKHDEFKKSIEDMAANSLRCVAFAYCSFDIEKIPEEDIASWELPEDDLTLLGIIGIKVRMVTGDNIETAKAIALECGILDANSVISEPVVIEGKVFREMSESARGDAADKIIVMGRSSPNDKLLLVQALKRKGHVVAVTGDGTNDAPALHEADIGLSMGISGTEVAKESSDIIILDDDFTSVVKVVRWGRSVYANIQKFIQFQLTVNVAALVINVVAAVSSGDVPLNAVELLWVNLIMDTLGALALATEPPTDNLMKRNPVGRRHALYQVAILLIFDFAGVRILRLQNESRSAAEKITNTFIFNTFVFCQVEYLFFNNSRNITEHYCRQIFNEFNARKPEEKNVFKGVTKNHLFMGIIGITTVFQILIIQFLGKFFKIVRLDWRLWLVSVAIGLVSWPLAYLGKFIPVPVRPFPDYFKPLCCRKSSRRDEEEGGQT >Sspon.05G0013240-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:42545410:42559606:-1 gene:Sspon.05G0013240-1A transcript:Sspon.05G0013240-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALTRQIEASGGEAITFGGDVSKEADVESMMKAALDKWGTIDVLVNNAGITRDTLLMRMKKSQWQDVIDLNLTGVFLCTQAATKVMMKKKKGKIINIASVVGLTGNVGQANYSAAKAGVIGFTKTVAREYASRNINVNAIAPGFIASDMTAELGEELEKKILSTIPLGRYGQPEEVAGLVEFLALNPAASYMTGQVLTIDGGMVM >Sspon.07G0008950-1T-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7A:24972143:24973930:1 gene:Sspon.07G0008950-1T transcript:Sspon.07G0008950-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLESLNIQKTANGKLASGGVPCRDEACVHGCVTRSSKRAGSDLQMEDDEISELYLLSRFAPSSSTPRRQLRAAQIAMDQDLSSELDPALLMSTSTSSSSPPGSASPSSSFSHPSPPHYTFAVNNLSCPAPRRRAAANLLPSFLSSSSSASPAPDAAGAAGLLNSVSFTASSSNILAVVGPSGAGKSTLLRILSGRGTGSEIAKPGTVSLNGHAVASRAQLRRLCGFVTQDDNLLPLLTVRETILFAARFRLRAAATAGERRERVEALMQELGLSEVADSYVGGGDGCGLSAARGVSGGERKRVSIAVDIVHDPPVLLLDEPTSGLDSRSALDVLQLLHDVSRARRQVVVLSIHQPSYRMLHYISSLLLLSRGAVAHFGTLKSLEDALARLGHKIPMQLNPLELAMEVTGQLEEDRSRIAVHGGDHHADDEDEVSRLVISGRRLDVPDQGYCSRFTEVAALTVRCWRTMYRTRELFAARAAQAVVGGLGLGSVYFRLSPDSPDGVALRLGLFAFTLSFLLSSTVEALPILLHERRVLMREASRRAYRLSSYVVANALVFAPCLLAVSLLFSAPLYWLAGSAPRRSPLSPSSSSPSGS >Sspon.08G0012540-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:50123078:50125825:-1 gene:Sspon.08G0012540-2B transcript:Sspon.08G0012540-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKGRTGQRVRLYVRGTILGYKRSKSNQYETTSLVQIEGVNTKEDVAWYAGKRMAYIYKAKTKSSETRYRCIWGKVTRPHGNSGVVRAKFKSNLPPESMGRKTMSLIKKCQLHREDSISRLECDFP >Sspon.08G0024150-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:62137260:62144050:1 gene:Sspon.08G0024150-1B transcript:Sspon.08G0024150-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLRNTDRAPRSSGRRNNVASWISEIKDTSNVAVRKKRGRRAPPSAKRLRDKRVDKAIESDGAVDSDSDDTDGQVGKGQPSAHIEEHVDGRTGDDLAKAGHSGNSSDGPSSASEEQDHSTNSKDKLQKASSQNTKKVFGNSTNSKQGASHLEQEGANEDGSHVQVTAVYKDIDKESSQKIRDDASDAQVDTTSSDDKSSEEVEDVKVCDICGDIGEEEKLAVCSRCNDGAEHTLEKSQVKVELKADNIENKELDTTNEGNDTVKTRTEEDAAMASSIRDTIPETGGLYMGADSRKRLQPSREIFVSDADKGKQPSHQVATSLAVNALKNQAPQSRGQLSKSNSFNNSKVPKVKQLLNEVPQKPKILKESWSSIISKKEGPISMTTKSATFKKPKPCEPANKAKSSILSPAEEPRAMNQLVSQNVTNGQCSSILGPPSATASMVAPVLKTDTSAQLLSTRNNTADSNNLGAVHVQGGKNSLGNSELKKPPLAKVPGSMMLSNAEKSSGGILGSGAHRKVIQNSDPSQRDTKIKDQTGFRQGAASSNWTIRCQRCNEAGHSAQSCGYEKLRLSSVKPLSERTLKDASAKRNKTSETSTLASSEKASSREENQSEHIVKCGTYQNLINGPKDVLPAPFSHVKKPSLSPRANVQDMGYLLSIPGSGVDYSKLKFKDNHPSLSATVGTSADNGCIMPNDHRDEPAQGGGFELQRTGRSPELCDGFQAHLSCSASQLVLDVVKKFPSKVQLEEVPRQNSWPTQFQENGPTYDNIGLFFFARDVQSYENHYSKLVENMLKNDLVLRGSVGNVELLIFPSNILSKNFQRWNMFYFLWGLFRVSKKDSSNLPSYASTSRLEQNFNDDPRPMDWSTSALSSTHSFSQNRSDFAELGPNLKPDYPAHQDKMRDTFGGNVSERDFDVNMVPVTCSVSLTHLQEESGKVSTTINLNDEDNLMDIDHVNTCEVSTGALDRSHASGGANKRSFKMAKVADEVDEKPQHKKIKLDNEVPMNSGSCENAYNGRLSSKVHPLSASSLNDGTSNKLMAGSSSSDGKCVFPLDLNAVDDENIVNIPSSDDEELPEPVPLQVGKQTKGDLSLSLAFPSGKELGSKPQFEPQRHLPERSNRNNASSIWGQQ >Sspon.01G0026670-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:100686199:100693963:-1 gene:Sspon.01G0026670-2B transcript:Sspon.01G0026670-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLVETSLEFAYGGSAEADGLSAGFDVPDMELASFAADMESLLMGVNDGFDDLGFLDEEKPQVNADADLVAMAAPATEREDKKRKRPEMILKLNYEGVIASWVRDGGSPWFHGERPHLDPYELWSDFPAGSRGLFGGAVTAVTGGEREARVSRYREKRRTRLFAKKIRYEVRKVNAEKRPRMKGRFVKRTTLPPLPRPPPQQQQQQQKQLARTLPHVGMVLAPPPVANGRFHQF >Sspon.07G0011060-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7A:37302873:37303867:-1 gene:Sspon.07G0011060-1A transcript:Sspon.07G0011060-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTVHLAIYQIRRLELDPINSALDQAARALHRVGPTPALGFSMLTRQRPSSGPALLGCHPTAAAGGCERLVSPRRSPVCSLVARSSPTTRSWVAAHRWRVWGVEVTGVLADGAELASHTELGGGAQRTRWGGTGGSGVEGGWARRRWRKEWVKRPDVECPG >Sspon.08G0012040-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:47765742:47769284:1 gene:Sspon.08G0012040-2B transcript:Sspon.08G0012040-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lysin motif-containing protein, Pattern recognition receptor, Peptidoglycan and chitin perception in innate immunit [Source: Projected from Oryza sativa (Os06g0208800)] MPTPATALLLFLAAAAAFRGATAKTTIEPCAGADACPALLGYTLYADMKVSEVAALFGADPAAVLAANALDFASPGAANRILPKGTPLRVPTRCACADGVRKSVAVRYAARPSDTLGSIAEVVFAGLPSADQIRTANGLAAEDPDAPLSPGQKLVIPLPCVCFNSTDNNLPAVYLSYVVQVGDTVESIAASHTTTVTDISNVNAMGSPIVAPGDILAIPLPACASAFPNSASDYGLLVANGTYALTAGNCVECSCGPADLNLYCTPASLTASCSSMQCSNSSLILGNVTAQPTTGGCGVLSCNYAGYVNGTIATSLSSGLQPMCPGPHQFPPLTAVPTVANHGSYSPSPAPGPGDAGGAVPGGSSVSPSNGPAGNASQAPAINQPCRFLLIFILSLTLSLRMWIPV >Sspon.06G0010100-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:55360205:55366328:1 gene:Sspon.06G0010100-1A transcript:Sspon.06G0010100-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKPPRAKNPAAAAGADGDEAVFLELSRELKEEATRLFNRGDFEGAAFKYDKAVQLLPAGRRVEAARLRASVAQCYMRMSPAEFHRGIHECNLALEAAPRYSRALLRRAACFEALGRTDLAWGDVRTVLRWEPGNRAARQISDRVRAALEDKGVSVALDEEDVVQTQDENEIASAKGEEKRKKSHKKRLDSVAGEQEGENGNHIAPLESACTEKQADPRQTNGIGNHQDHIEDSESNGLEKLEQNTVMEENDMGNKRGRHTAGKKLRRGESKQQKHSAVKPVNHCADNIGVKAEAMKDVKLVFGEDIRCAQMPVNFCLSQLREIVQNKFPSLKAFLIKYKDKEGDLVTITSSEELSWASYLADLEGPIRLYVVEVNPVQELGVDGVRRRPSFATLERNRDIMLDNGTVWHDVEHKYYADDWMVQFVQIIKNHVGFSSDAYLDLHDHGLRLYYEAMEDAIESEEAQEIFEVAESKFQEMAALALFNCGNVHMSRARKRPCLPEDSSQEFILEQVKVSYDWACTEYAKAGAMFDKAVKTKSDFFEGHIALGQQQFEQAKLSWYYALACKINMETEVLELFNHAEDNMEKGMDMWERMETLRLRGLSKSSKEKVILEKMVLEGSVKDISADEAFEQASSIRSHINILWGTILYERSVVEFNLGLPSWEESLTVAMEKFKIGGASQADINVIVKNHCANETTQEGLSFKVEEIVQAWNEMYDAKNGTNGPLSFRLQPIFRRRAPKLHHILEHLHYA >Sspon.04G0012470-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:42518019:42519701:-1 gene:Sspon.04G0012470-2D transcript:Sspon.04G0012470-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSEDGDILALLSEPSLKEEQLEASESDDMFPAIMEAIISNKNVVELSPEEAAWADSCFVQTSELSDNDWGAMRSALLDAIEKPTESPDDISESAHNVISEAKLHSLPAENISEHGDIHMEQINNTDDNQDGIEACEIADVIRGAQEHGKQMDSYIAEAGDELASSEVLEQTHSTGSIFKVWDLEVPFSDDDDGELELIKDLKKLLKEKDSLPEDVYPTLPPDDAAKPLSQINIDELVAGLSDLISNSIAALRSLKIFRRHNWIRRIVADAKFKFGPNS >Sspon.06G0029050-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6C:14684707:14687499:1 gene:Sspon.06G0029050-1C transcript:Sspon.06G0029050-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRQGTIDSMPKNTLAEAKLLNRPRLRDAFRLARAPMTSSLSTQIRAHVPPILDGTEAHRTKHGHNMLHQRMERPKD >Sspon.07G0038570-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7D:81967793:81969072:-1 gene:Sspon.07G0038570-1D transcript:Sspon.07G0038570-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEILADAVEQQAKDMDSKKESPATSPGIHPTVGSAIRWMRFVESDFTGISFFSPKCSRSASGYMWFLANSRYLES >Sspon.04G0015490-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4A:57958028:57958556:-1 gene:Sspon.04G0015490-1A transcript:Sspon.04G0015490-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding KRRVWLGTFETAEEAARAYDEAAVLMSGRNAKTNFPVQRSSTGEPTPAAGRDARAGSGSSTANLSQILSAKLRKCCKAPSPSLTCLRLDPEKSHIGVWQKRAGARADSNWVMTVELNKGATSTDVASQSTSATTAPPATPMDDEERIALQMIEELLSSSSPASPSHGDDQGRFII >Sspon.03G0000650-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:13302485:13312873:1 gene:Sspon.03G0000650-2C transcript:Sspon.03G0000650-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSMKNNIDWGSTNYSMAQGMLMCEELKKVVHKEFDSVLEMVNRAIQHMLPSSSKGQVGNDDSVTAWLRVMALEKLKDCIFESQMLRQREAVTWKTKKTMKETCFMVVSWLLPVAASRRSCGGASEQAPEKARGKGGRVSATATTKPAAPLNFTLPILENPQS >Sspon.08G0008490-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:28602596:28605535:-1 gene:Sspon.08G0008490-1P transcript:Sspon.08G0008490-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAGVIVLGPIPEDPAFLPICFNGSRSPHCSSGSQLQDSILIFLAVPGMPPMPMSVLGSESIASVKLRIQRFKGFVVTKQRLVLDGHELARNNCPVKDYGLAEGNVLHLVIRLSDLRVINIETATGKKFQFQVDQSRNVKFLKNKLAAEGDEDIGNLEDHKLEYDGEELEDHQLVADISKRDDAVIHLFIRKPAKVRTQQVDRDTLVTVVNPQEKGNLQNEVRAMNSAKSVGVRPAPVEPIVNRKAKLSPEVMEMINSTIAGLEKGHLPVMSAEGSGGVYFMQDAAGQKNVAVFKPIDEEPMAKNNPRGLPLSTDGEGMKRGTIVGEGAFREVAAYILDHPVSDSKSGHSVGFSGVPPTTLVQTLHRGKSFKIGSLQMFVENNGSTEDMGPRPFPVKEVHKIAVLDIRLANADRHAGNILVCKEGEGGNYKLIPIDHGYCLPEKFEDCTFEWLYWPQAREPLNDETIEYIKSLDAEEDIKLLKFHGWELPPQCARVLRISTMLLKKGAARGLTPHDIGRILCRETVNRASEIEDIIQEAEDAVLPASSENMFLETVSEIIDHHLDKE >Sspon.03G0006120-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:21489277:21492571:-1 gene:Sspon.03G0006120-1P transcript:Sspon.03G0006120-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRADRAMGDGGGSNHNQRIDLGAPLRSARLAAAPPPQYKEGLNSGPLRHPGAVPFAWEQRPGQPKSVRTRRAAPPTPPRQTSSCTRPGEEIGSGSPYHDALGELDLRALHGVADRGSRTVAASRKVVVVVEDEAAVAAESTEVVKKHEALPVAAVLRKQHGDGGVEDEEEEERFSDALDTLSRTESFAMNCSVSGLSGAPDPGQGAEPALAGLHDGPLPAGRAGCRRGLPAVHLSEGRRRGWHRQRWPRACARGCCAGNGEDRMRRAPVQLPYQHLPPNYLSCAYPRHEEHEEEDEGDDDDYDVHSTRGFSAKGCGLLPSLCVKTSLLLLNPMPAMKRGKKVRGWQLPCKGRGQMAQSPLARSSQNKHLGSILEDVYKHKLEQKYLGQGGDGRSKLTSESNQLTFWSDSPTGDGSSPYYHSIAGGMSPYRSYPVMSPSSKPNGSSGTGDKDDKASRSNGSGSFGKDHDRTSLVGSDRSSFKGSSSMSSGPDGAVHEDSMDHHGDTDSDTCHLGVLVDTKAALNANIHDSQPGRQKIVGRNSIVKDQVNGPLTEKISERREPTFPLDDGKDLQHDASHELPQHLEDNNVAKKETIPLQSLLPLPVPKSPSESWLSRTLPSVTNKPPLPSFLGIQVHSKKQALWTTMQPKENDHKPSRPRQIRFADSVDALKAIRVIYFTGTQRAPQMFLLFLQMCCPL >Sspon.05G0004880-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:15071243:15075528:-1 gene:Sspon.05G0004880-1A transcript:Sspon.05G0004880-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQFADSTKHHELSCVISLSENIDILIGTRHICSGLTRSREVEAKFYGGYAYHGNSFEQTYRCYPASFFDKPHLEGGDKGKGGFLHIEYPMLFELHNDATQRISHCGVLEFVAEEGMIIMPYWMMQNMLLQEGDTVHVKNATLPKGTYVKLQPHTTDFLDISNPKAILEKTLRNFSCLTTGDSIMVAYNNKQYYIDIVETKPASAVSIIETDCEVDFAPPLDYKEPEKPRQQTVPASKAPAQDGNTVVEDEPKFKPFTGSGKRLDGKALKVQASGVPSSSLSAPSDSNKRGNQQISAPAASGASNYSRQKTGKLVFGSSASNNKELQKAPAKEEPAKKDEPRFQAFSGKSYSLKR >Sspon.01G0051850-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:18312756:18318539:1 gene:Sspon.01G0051850-1P transcript:Sspon.01G0051850-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSHEQRNHQLLTNAPVNFKQPKRRAEMVFQKRSSSEMESCGGGQVAEMPRVPKSARGKRSVRKKEAQSPAQMSAFDLLATVAGKLLDEGVGSLGNMSAGAPALAACAKDVRVKQEQCDEEMKQFKHEVTDQDSCNESAILPHIAFQRAVNNARIEDPKAKSEAQDKESSMISCTKAELGCNFGVIADRWSPESVESGAFTGDAVASLMPTAPAGFHKNAPEMYNLLDSMDVDAKPPPLVSSDSTGEMPLYGDKIHRSTSLPRGPKGVGGFAVDRDDDDDKSSGCTHPSTTTNRDFRSNCTAEYSRVRKLLTSKYRKVAPARIHKSDLSYSDVERKPSFRNKKMHYTRQRTQRSTFKRRKLFDRHSVLASEFGAANGKGNTKVTGRDSHAEANKGTTSMPFQKSCVSNDCHVKLRIKSFKVPELLVEIPESATIGSLKKTVLEAVTAILGGGLRVGVLHHGKKVRDDSKTLIQAGIGQDDMLDNLGFSLEPNCTQNPQVQAPEDISFLETIDTTEPLARIAPADSCSKHGEVDVSQELALTPLAMNYQGSDHDSVHSPGGVSSPDKVSTNSRALVPVAAADPNAGAIVPANKSKRSPEQGQRRIRRPFSVAEVEALVLAVEKLGTGRWRDVKLRAFDNAKHRTYVDLKDKWKTLVHTASISPQQRRGEPVPQELLDRVLAAQAYWSQQQAKLQPKTPPLAEARLLT >Sspon.06G0024250-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:52553272:52557271:-1 gene:Sspon.06G0024250-1B transcript:Sspon.06G0024250-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:2-succinylbenzoate--CoA ligase, chloroplastic/peroxisomal [Source:Projected from Arabidopsis thaliana (AT1G30520) UniProtKB/Swiss-Prot;Acc:Q8VYJ1] MPPPRHCQGHIAQCLGRILACRGDTTVAAAGPAGGRRLSGAEFVDGVRSLAAGLVDRGLRPGHVVAAVALNSVEYVQLFLAVTYAGAIIAPLNYRWSFEEAAQAVELVQPSSFVFDGAFTSWALRLMESDRFPSIGLYLLLGDPCSTSHAAADFESVAHIKRSLRGAPVTEPMSAPGDVALICFTSGTTGRPKGVVISHTSLIIQSLAKIAIVGYDESDVYLHTAPLCHIGGISSCMAILMAGGCHVLIPKFDAKSAFDAIQEQGVTSFITVPAIMADLLSYARKEMISDWGKTVTKILNGGGGLSLDQINGASQLFPHAAIFSAYGMTEACSSLTFMALNIPKLQEPKNQPCSHYGGVCVGKPAPHVEIRIGMDGNNTSSSPTGNILTRGLHTMVGYWTNNRVDSSDCVRNGWLDTGDTGWMDKAGNVWLMGRQKGRIKTGGENVYPEEVELVLSQHPGVARAVVVGVPDSRLGEKVIACVSIKDGWKWVDARTKHQGKGKEVSPRILHEHCRTKKLSRLTLINGKSHEIQNI >Sspon.03G0019170-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:81871946:81875529:1 gene:Sspon.03G0019170-2B transcript:Sspon.03G0019170-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein-lysine N-methyltransferase At1g66680 [Source:Projected from Arabidopsis thaliana (AT1G66680) UniProtKB/TrEMBL;Acc:Q9C9M1] MVSDDDRSVAADSWSIKSDYGSTLDDDQRYADTAEVLLASSSASSAAAPSASVAVHPSSDFSFDKDVPDSSDVEPRLLGLQNFQDGAYAEDLANFHERSHADDWFGTEIMDIRVGWTKNLCSSWDLPSCSVLDIGTGSGRLLQQLAKQGFSDLTGIDYSEGAIELARNLAIRDGFEHINFLVDDVLESKLERRFELVMDEGTLDAIGLHPDGPVKRMMYWQSVASLVSPGGILVITSCSRTKDELVQEVENFNQRKLGAMGSEGLPASEAAVFSYLDHVQSYPSVDSSCITTVAFLHK >Sspon.04G0006050-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:17148423:17152493:1 gene:Sspon.04G0006050-1A transcript:Sspon.04G0006050-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAAAWRLSQRAVTSPLLGIGRSQIRNPFSTMASLSPAAASPKRLRVYSSAVADGDGTGSGKRVGTHNGSFHCDEALGCFLIRLTSQFAGADVVRTRDSQILDTLDVVLDVGGVYDPSRHRYDHHQKGFSEVFGHGFNTKLSSAGLVYKHFGKEIIAKELGVNEDHEDVHRLYLSIYKSFVEALDAIDNGINQYDTDQPPKYVNSTHLSSRVGRLNPDWTDPDQSPEKENAAFEQAMILAGSEFMESVRFHVKSWLPARSIVLECLLSRGKIDPSGEIMVLDRFCPWKLHLFELEEELKTDPLTKYVLYQDERSKSWRVQAVGVAPDRFESRKALPEKWRGMRDDELSAETGIPGCVFVHMSGFIGGNKTYEGALEMARAALKC >Sspon.04G0019950-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:78616193:78623227:1 gene:Sspon.04G0019950-1P transcript:Sspon.04G0019950-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGCRSEQHVHVKPYQLPPPPSRPLACVPHHTTPHHFGGDLALRRPAPQQQHEGNKGRGGAPLERTTTTLLRLSSLPFPTRILYYDGRTHAAMSAHLRLLSAAHRPPAPTSLHRLRLRLRLPGPLPPSAARIRLLLRPPRHGGSQARFARAVRCAGEASAVARAVEDAGGGGEEAGIWEQVRDIVVFAGPALGLWICGPLMSLIDTMVIGQTSALQLAALGPGTVFCDYLSYIFMFLSVATSNMVATSLAKKDEELAQHQVSMLLFLALACGIGMFLFTKVFGTQVLTAFTGSGNYELISSANTYAQIRGFAWPAVLVGLVAQSASLGMKDSWGPLKALAAASVINGVGDIFLCSVCGYGIAGAAWATMVSQVVAAFMMMQNLSNKGFRAFSFTIPSVRELLQIFEIAAPVFVTMTSKVAFYALLTYSATSMGAITLAAHQVMINVLCMCTVWGEPLSQTAQSFMPELIYGANQNLTKARMLLKSLVIIGAITGLTLGAVGTLVPWLFPSVFTNDQMVVQQMHRVLAPYFSVLLVTPSIHSLEGTLLAGRDLRYLSQSMGVCFSIGTLLLMVLRNKGSLPGCWWIRLVSSRFGSALLRLISPTGMLFNKNFNQAEYIEAKAT >Sspon.08G0030420-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:59604470:59609326:-1 gene:Sspon.08G0030420-1D transcript:Sspon.08G0030420-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWPLPTVTMILQHITATTTYGVLSNSFAKPGCPDKCGNVSIPYPFGIGENCFHEGFNVTCNDEDQAAYLGTQKTFKVFDIDLSHGEARVQKYIAFSCDTDNEYTGLPKYDQESSFRYGHPFTISNTKNKFTAIGCATIAIIQGKNQHEYTSACGSFCSEDSIENDTECTGMGCCQTSIPGSLRHYHISFLTVQGMNYAAVQNFSPCSYAFVAEANWFKFNTSYAKSTTFGELYYGDGDRGVPMVLDWVVDNKTCGEAKKMKSYACVAMNSSCIDAQNGLGYRCNCARGYEGNPYLKGGCQGDIDECDHPSLYPCKGNRVPPLADTALYKTDTAPTLA >Sspon.05G0009950-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:28409091:28417234:1 gene:Sspon.05G0009950-1P transcript:Sspon.05G0009950-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQCLPCGPSTNAPAAHAAARSISRRRVVVEGVASATHDTDDTEEAPPRSMRTTCDLGAMSSKASLLVSKRKLIALSAFCLSLHSSRYFPALALGDTSVKIEDVTPKIFPSGPLFPTEKRIAELFETNTYSVVNIFDATLRPQLNVTGVVEIPEGNGSGVVWDDSGHIVTNYHVVGSALSKNPKPGDVVARVNILAAEGIQKNFEGKLVGADRAKDLAVLKVDAPTDLLKPINVGQSLALRVGQQCLAIGNPFGFDHTLTVGVISGLNRDIFSQAGVTIGGGIQTDAAINPGNSGGPLLDSKGHMIGINTAIFTQTGTSAGVGFAIPSSTVLKIAPQLIQFGKVRRAGLNVDFAPDPIAYQLNVRNGALILKVPGGSAAAKAGLAPTGRGFAGNIVLGDIIVAVDGKPVKGKSDLLRVLDDYGVGDQVTLTIRRGSETLEATLPLEEANIIGEPASDAYAA >Sspon.07G0029390-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:75895145:75905402:-1 gene:Sspon.07G0029390-1B transcript:Sspon.07G0029390-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASMFLLILAAAAVSASASAAGGDKKTHIKLYWHDVASGASPTVVEVARAAVTNTSKSFFGAVMVIDDPLTKGPDLNSSSSSRLLGRAQGTYISAGKDELSHEHELRVPGRQMGLNAVAAAFYAFPVLVSVLTVRFFYVLWHSGQPASRPHATGLRCLIVLGSGEYILRSFLSNALRTSLGYGGHTAEMMNIVTTLQKDRFTPRYYVAALTDNMSLQKAQVYEQSLIQSDGMKTAENAYFMQIYRSREMGLNAVAAAFYAFPVLVSVLTVRFFYVLWHSGQPASRPHATGLRCLIVLGSGEYILRSFLSNALRTSLGYGGHTAEMMNIVTTLQKDRFTPRYYVAALTDNMSLQKAQVYEQSLIQSDGMKTAKNAHFMQIYRSREIFCNGPGTCFPLCISAFLLKVLGLGWSSIFYIESIARVKKLSLSGLLLYKLRIADQFFVQWPSCSISILEHAMKAISVMPASSSQACALKLLDKKIEISSIGFRLSAAWQM >Sspon.08G0015710-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:57275669:57276470:1 gene:Sspon.08G0015710-2B transcript:Sspon.08G0015710-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTVSRASLDQKLALAKRCSREATLAGFKAAAVATVASAVPTLASVRMLPWAKANINPTGQALIISTVAGMAYFIAADKKILSLARRHSFENAPEHLKNTSFEGTGRPHPAFFRP >Sspon.07G0020590-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7C:69904378:69904907:1 gene:Sspon.07G0020590-2C transcript:Sspon.07G0020590-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRREGVGEEGEEGGGARGRLPRGRRAGVGSGRRRRSRRRPAAPGPGAPFRAPRRHRAVAGDLGVHAASVLPPPVPAAVPGQAHQGVPRVPASASQVPRLPVEVPILEHGAGGSGGAGQVERELDKSFGYDRHFAAKYELGKEVGCGHFGHTCLVRARKGDMRGQVLAVKVISKAK >Sspon.03G0026440-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:2803256:2806733:1 gene:Sspon.03G0026440-3D transcript:Sspon.03G0026440-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein LONG AFTER FAR-RED 3 [Source:Projected from Arabidopsis thaliana (AT3G55850) UniProtKB/Swiss-Prot;Acc:A0A1I9LN01] MPLPLAAQSALLAAAVAIATATVLLPFPWTPQRDRFADMVLANATIYTADPARPFADAMAVRGGHVLRVGTYESVKELKGRHTHELSLSGNVVLPGFVDSHVHFIDGGLQLARVPLRGVSSKDDFIGRVKAAVRGKHPGQWILGGGWNNDFWGGDLPAAAWLDDISPDNPVWLSRMDGHMGVANSLAMKIAGMDKNTNDPIGGTIIRTTEGEPTGLLVDTAMRLIFDVIEKVSNRERREALLRASRHALMRGVTTVVDVGSYFPGASTEKTWQDFAEIYKWAHSMEKMIMRVCLFFPMPTWSRVSDLINEHGRSLSQWIHLGGVKAFLDGSLGSSSALFHEPYEGDPDNYGLQVTDLDSLLNRTLESDKFGLQVAIHAIGDKANDMLLDMIDKVVDLNGVKDRRFRIEHAQHLAPGAANRFGKHGIIASVQPDHLLDDADSAGNKIGVERADRSSYTFRSLLDGGAQLAFGSDWPVSDINPLQAIRTAMFRKPPGWVLPWIPAERLTLDQSLKVCYHCNAKLCRLIVFPANEHTMSAAYACFLDHAVGSLSEGKYADFVVLPSTSWDEFSNDVPEQVLATYVSGRQAYP >Sspon.02G0030110-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:109480278:109494322:-1 gene:Sspon.02G0030110-1A transcript:Sspon.02G0030110-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELVGPRVYSCCTCRNHVCLHDDIISKAFQGRNGRAFLFSHAMNVVVGAKEDRQLMTGLHTVADIYCNDCREVLGWKYERAYEETQKYKEGKFIFEKSKIVKENWIPEQSVRRATNGRIFYLEEANGNVDHQGYIFPRRRGESDKALSPVCT >Sspon.03G0018470-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:73601309:73602244:-1 gene:Sspon.03G0018470-3C transcript:Sspon.03G0018470-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHEMACFFGPAPPVAALSPFHEGQAESHGHSSRRTGHARGHWRPAEDAKLKDLVAQYGPQNWNLIANKLHGRSGKSCRLRWFNQLDPRLNRRPFSEVEEERLLAAHRACGNKWALIARLFPGRTDNAVKNHWHVLMARKQRERSGSGAPRRRKPSSSSAVATRHHVSSPLPFRAGIHPEAATRARAYSDDGESEESECTSGTDLSLGSVCGAVPCFHHQSSYDAGATCLLGSSPFLHSMLCSVPSSARPRAAASDDGCGKAKLALPFFDFLGVGAT >Sspon.04G0020830-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:79253008:79255637:1 gene:Sspon.04G0020830-3C transcript:Sspon.04G0020830-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding HGGGRGARLALPLRPPAGLRRHRRPPHPPLPRVPPPSALRRRRPRGASTSSSSSTSSSATASSSGGQAQAAASVINSRQEGGAAAAAGAAAAGVAGGGGADRRLRRGGRGGPRRLQHRVPRQAPGRLARRRQGAPLVRRRATPAGLPAGARPAPPPPTPQHRRAPRLLRRQRGRGRAGAGVPRRRHAGGRAPRRGGGGRRPATVVGAAHAHPPRRGVRAGAPARRRRHLHRGGARRRVGVQRAPRRQRHRRRRAALRPGLRLRGLLGGGGGRRRGQARRGVRGGAARAPAVDGAGARRHRGEGGQVHRQGGLRRPYPAQQAAGAHM >Sspon.04G0004640-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4A:13750715:13752391:1 gene:Sspon.04G0004640-1A transcript:Sspon.04G0004640-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCFDLTKSFCDQISTIVCPYWWNQQEGKHKIHWLHRDTLMRPKKEGGLGFRDIHAFNLAMLAKQAWRLWEKPNSLCAQILRAKYFRDGTMLDAKPKSAMSYTWRSILRGIRLMKQGMVWRLGDGRGLKIWNDPWLPRDASRMPITPRGTSLVTDVDELIDPVTGDWDVQMIKDLFWEDDQQQRRVRWQKPPEGYLKLNCDASFINETKAGSWGFLIRDHVGEVVMSGRGRIGYALSAFHAELIACMQGVQAASDLGIVNLIMETDAINVEAALQSHSYDVCPEGSLIEELKSFTNFNFSNFICNFLGRAGNKAAHVLASLGYDCVAGEALFTSVIPDDIVVIVSNDLSGE >Sspon.03G0018250-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:43236942:43240034:1 gene:Sspon.03G0018250-4D transcript:Sspon.03G0018250-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFPHHHHHGHRRDDDEDDRRGPPPPAYGGYGQPPPPDPYGHPPPPDPYGRPPPQPAYGGGGYGNVVHVAHEAGDERPHYGGGGLGGAYGGGGVPEYGHEGRPHHHGGSEYGHETRPHHGGGGGGAAPVRQQTYRIYCKAGEDQYSLAARDGKVCLVRTDRNDDAQHWIKDMKFSTRVKDEEGYPAVVLVNKATGEALKHSLGQSHPVPLTRYDPDKLDESVLWTESRDVGDGFRCIRMVNNIYLNFDALHGDKDHGGVRDGTTLVLWEWCEGDNQRWKIVPWSKPGSWEPEHELSLINHHASSARVSPFIHGY >Sspon.07G0016320-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:54740442:54743287:1 gene:Sspon.07G0016320-3D transcript:Sspon.07G0016320-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding IVHNLSRATNLDVRLTRLEERSRHVQLTDESICDSCRARLGTKLFAMYPDDSVVCYRVYMD >Sspon.02G0008900-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:25530803:25533236:1 gene:Sspon.02G0008900-1A transcript:Sspon.02G0008900-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAAAEVVAPRPPSAKRRSTTLKFLFELEKPDGLLPAGTAKLPPPSPEPEADSLIDKIASCNRVFTFADDASEREEERDAKRERLVEVLGAVRSSGSGGNKQQPLDHRVMVALVKMVGANLFRAMPPSAYPSLLPPDGVDEEMNVMVLAPSWPHLQVVYDILLSVVTVSDAKTLRHHVDRAFLSSLLALFRSEDPRERDRLKTVYHQLYSKLTCERAFMRRSMAAAFLRFIYEAPAAERHCGAAELLEICGSIINGFAVPLKEEHRAFLARVLLPLHRTRWMHTYHRQLAYCVLQFVHKEPGLADAVVTDILRHWPVTNCQKEVLLIEELEEILQVLEPKHFQKLAVPICSRIARCVSSCSSQVAERALYVWNNERFVELATASPGVMEKILPAFVASVESNLELHWSKCVQQVTASVRSLLQQVAPDLYARCADDLATRRSEAEVAAAVRDARWRKLETAAAAAAS >Sspon.03G0006510-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:25231452:25233409:-1 gene:Sspon.03G0006510-2B transcript:Sspon.03G0006510-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGTSAASHRPIKSSPSSHAPGRLTLSLTSPFASPLLLLLAASAAAVFLYSLTAARSYESRSEVPSLSSPTVETIESARTFWELPAASPARAVLFVAHGCRCRPENFWLPSPRCPGCVGLPEDVAITDRALRRGFAVLAVASARECWSLGKEVNATKRVIRSWAAKNGLEGLPVVALGASSGGYLVSRLAVKMSLAAVVIMIAEGAFGGSAGALPAVYPPAMFIHMPKDKRRAALVDKNSKMLMKNGVEVKELQSLELPLTPTLLSERIPGLDRGLSERIWTAFREEGFVDEKGYMKEDGRETPWKDALVKRGFWKEVSALADHIQEELNLAYGYHEMTSLQADEIDVKVAGYWASSSLELFFWSCQQFSQPSFYGS >Sspon.08G0014620-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:56732402:56733449:1 gene:Sspon.08G0014620-3D transcript:Sspon.08G0014620-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding NEAVDRAVRGLHHGGGVRGGRLGRVARQPLLPLRRRRAARLQRLQHALGGAGGHARRRQHRRPRLRRRRLLRRQRRRAAPPRQRAPARAPRRVQGQPPHHLRAPQEAVAVGGRHPHHAAAGGRERASAVPVRARLLRPAGTHQRGGGVVRAGVPGGGAAVRAQGHRHLVQDAEVPRLGEVVPQGRAAPDAARQPGAVRGGGVGAQGRQPQPGGAARRPAALRRHGPRQPGQVVRGPRV >Sspon.03G0025830-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:856132:859751:-1 gene:Sspon.03G0025830-1B transcript:Sspon.03G0025830-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIVQQLMHLAGWGNLPSPPSPWLHNYAPFMSPRGQPLLLSPSARSPSPPIRPPPSSFASGAPGASGSGSSVAGRGAVVVHGSAVAGRYGPSPGGGGASCRKPPNLRPLQITDAATVAARSASKKKAPRQQQMARCNAFPLCSPCRPRPRQEARAQGQGQGQQQAGVVQEAQAEGRQEAAQRTAGDKDTVAAADAKKLVVENQPPASNDLQIVPVLPPPTPSNRRKRKQNAAASTSSGGRCNVVARRSGGVAAATATAKRHTILTWLIDASFLSDREKVFYVPMDGGEGKVVSGAVTRTGVHCGCCDAVVPLPAFEAHAGRDPGQQRSWEKLLLVSGSSLLNRMQEAWEKERVKIFLVQEKARAALEQEQEKSAQAKRRLLAKQKKGAVEGVITSPRIRTKLRSGEKDSSDDACGVCADGGELLCCDSCPSTFHPANGRGNGAYCSETCKKVSAQLSDMIGVTNQTEDGFSWALLKIQKDEPVSSENSPVVLECNMKLAVALGVLNECFNPVKDRRTKIDMLHQAVYSLRSEFKRVSYEGFYTMILEKDGEIISAALLRIHGTKVAEMPFAGTLPVYRKQGMMRRLVNAVEQVLASVQVEKLVIPAIAALVDTWKRSFSFKPLEPELKEEIRRRSLVVITGTTLLHKPVAAAPPSPLSPPKQTEAAAAAKSGAEPWWWKYAYGAPPLTDDERAFLDTDTAPLGCSFTDLVTGKASSLHKALCAGNSSPSVPCCSSGSPAAGPGSGGGPRLSFVR >Sspon.05G0019140-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:67567444:67572603:1 gene:Sspon.05G0019140-2P transcript:Sspon.05G0019140-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKGAALASSDDAVHHHPPGVTASAITGTGSSSSSSFASWRAYGRSLAQTPRRLARRAFAATAAREEMSRVRARSGADMARALRWWDLVGLGLGGMVGAGVFVTTGRAARLYAGPGVVVSYAIAGLCALLSAFCYTEFAVDLPVAGGAFSYLRVTFGELAAFLTGANLIMEYVFSNAAVARSFTAYLGTAVGVDAPSQWRITVHGLPGGFNQVDLVAVAVILLITESSVVNMVLTAVHVAFILFIIGMGFRHGDARNLTRPADPSRSPGGFFPHGAVGVFNGAAMVYLSYIGYDAVSTMAEEVQRPARDIPVGVSGSVVLVTVLYCLMAASMSMLLPYDAIDPEAPFSGAFKGRERCAWVSNVIGAGASLGILTSLMVAMLGQARYLCVIGRSGVMPAWLARVNPRTATPVNASAFLGVFTAALALFTELDILLNLVCIGTLFVFYMVANAVVYRRYVGSASEPGGGARWPTLAFLLVFSLAALAFTLAWKLAPPEPRGVRAGLLVACAALAVAAVAAFQALVPQARVPELWGVPGMPWVPAASVFLNVFLLGSLDRPSYVRFAIFSAAALLVYVLYSVHASYDAEESGRLAVDGGGKVQDEACTV >Sspon.05G0010090-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:28962955:28965795:1 gene:Sspon.05G0010090-1A transcript:Sspon.05G0010090-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSSTSLTALHSCLFLSSTPSSPYMAALLPRRRRARARRYPRIRAIDLDQNTIVAISVGVVSIAVGIGVPVFYETQIDNAAKRDNTQPCFPCSGSGAQVCRFCTGKGIVTVARLKNHSASTARDRLFDMHYMPRHWNPTTLS >Sspon.02G0020970-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:63725971:63731927:1 gene:Sspon.02G0020970-3D transcript:Sspon.02G0020970-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVEYDVIVLGTGLKECILSGLLSVDRLKVLHMDRNDYYGGDSTSLNLNQLWKRFKGEETPPAHIGASRDYNVDMVPKFMMANGALVRVLIHTGVTKYMSFKAVDGSYVFNKGKIHKVPSNDMEALKSPLMGLFEKRRTGKFFLYVQDYKEDDRSTHKGYDLTKLTTKELISKYGLDDNTIDFIGHAVALHRDDSYLTEPAIDTVKRMKAKSVARFQGGSPYIYPLYGLGELPQGFARLSAVYGGTYMLSKPECKVEFDSEGKVCGVTSEGETAKCKKVVCDPSYLPDKVKKVGKVFRAIAIMSHPIPNTAESHSVQIILPQKQLGRRSDMQQRSCVHTRYVFCCSYSHNVASKGKFIAFVSAQAESDNPEKELKPGIDLLGTVDELFIDTYDRYEPSNDSSADNCFISTSYDATTHFESTVMDVLSLYTKITGKTVDLSVDLSAASAAEDDI >Sspon.02G0035740-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:24606702:24614990:1 gene:Sspon.02G0035740-2C transcript:Sspon.02G0035740-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-ureidopropionase [Source:Projected from Arabidopsis thaliana (AT5G64370) UniProtKB/Swiss-Prot;Acc:Q8H183] MATSNGKAAQGEEGKTPPPAGSIGGCCTTEFKYLAWTINMLLVTFILTDATYGYEASRLLLGLNCARPLEAISLSDATTALAETHNFDVQAFRFSADEEFLRQPRVVRVGLIQNSIAVPTTCHFADQKKAIMDKIKPVIDAAGASGVNILCLQEAWTMPFAFCTREKRWCEFAEPIDGESTQFLQELAQKYNMVIVSPILERDVNHGETIWNTAVVIGNNGNIIGIHRKNHIPRVGDFNESTYYMEGNTGHPVFETAYGKIGVNICYGRHHPLNWLAFGLNGAEIVFNPSATVGELSEPMWPIEARNAAIANSYFVGSINRVGTEVFPNPFTSGDGKPQHADFGHFYGSSHFSAPDASCTPSLSRYRDGLIISDMNLNLCRQIKDKWAFRMTARYEMYASLLSEYLKPDFKPQVIVDPLIGSTLSESASPRESSKNSKAFPLFQSITGRTSKTCIAAVSFISFKSTPCQRFSFTGVYLSSMTQSEARRNPMHQATYGFGSQEKALALVKRQHALKPVAST >Sspon.03G0011290-1P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3C:47107171:47110361:-1 gene:Sspon.03G0011290-1P transcript:Sspon.03G0011290-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GSLHEATTSCRLLRRVLGPQMGARHLHVLARLPLPPLRLHPPRRRRLPAVPAAHGGGVGPILQGAAEGGPGVVATAGPPVARRTMLLRRRQARSHHQQAAALL >Sspon.02G0009430-3C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:29395775:29396755:1 gene:Sspon.02G0009430-3C transcript:Sspon.02G0009430-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding IAVKRLKAMTAKAEMEFAIEVEILGRVRHKNLLSLRGFYAGGDERLIVYDYMPNHSLLTHLHPQRGAPSSQQRQPLDWARRVSIAIGAAEGLAYLHHEANPHIIHRDIKASNVLLDADFVPKVADFGFAKLIPDGVSHLTTRVKGTLGYLAPEYAMWGKVSESCDVYSFGVLLLELVSARRPLEKLPGGVKREIVQWAAPLVDRRKWERIADPRLAGRFDAQQLRAVVEAAMLCTQSNAESRPAMAEVVEMLRFSGERRTTKEIVPVVAASSELTTPDLQDVTGSSEPLDRRSWK >Sspon.06G0003530-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:8560893:8563599:1 gene:Sspon.06G0003530-4D transcript:Sspon.06G0003530-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MERQSSIRLGALEKLKSFRGMEKQKSFRGIMSLERRSRDSPGKRGDTPLHLAARSGSVAHAQRILAELDRALVAEMAARQNQDGETPLYVAAEKGHAEVVREILKVSDVQTAGIKASNSFDAFHIAAKQGHLEVLKEMLQAFPALAMTTNSLNATALDTAAILGHVEIVNLLLETDASLARIARNNGKTVLHSAARMGHVEVVRSLLNKDPGIGLRTDKKGQTALHMASKGQNAEIVVELLKPDALVIHVEDNKGNRPLHVATRKGNIIIVQTLLSVEGIDVNAVNRSGETAFAIAEKMNNEELVNILKEAGGETAKQQVHPPNSAKQLKQTVSDIRHDVQSQIKQTRQTKMQVNQIKKRLEKLHIGGLNNAINSNTVVAVLIATVAFAAIFTVPGNFVEDLSQAPPGMSLGQAYVASNPAFIIFLVFDALALFISLAVVVVQTSLIVVERRAKKRMVFVMNKLMWLACLFISVAFIALTYVVVGHDDLWLAWCTMAIGTVIMLTTLGSMCYCIIAHRLEEKNSRKIRKKASASQSRGSWSRSVDSDEEILNSEYKTKMYAL >Sspon.07G0006900-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:17979872:17982838:-1 gene:Sspon.07G0006900-1A transcript:Sspon.07G0006900-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLRACAIMEGSFSVPYYEWLKPRSSSSSSPPPSPSSSSTTSSTTLSTPSIDQLLAVDGGHDERHAMTCLPLLGRLEGRAATPDRGHNPIKEELRIGTREEQAAGVDLNIGLPATGGYSSEEAPMDEEDDDEEDEEEEEEDDKARRHEKCKVEEEAGGEEPQHGEMVVESDERSSDYLRVGGEEGIKGFVGSRGRRYWIPTPAQILVGPVQFICHVCSKTFNRYNNMQMHMWGHGREYRKGPESLKGTQAATLALLKLPCYCCAAGCRNNVAHPRARPLKDFRTLQTHYKRKHGDKHFGCRRCGKPFAVKGDWRTHEKNCGKRWFCACGSDFKHKRSLNDHVRSFGGGHFSVTPDQAAAAVPPLLMKPKERIIRFDQGAAPWNGAHAHQTGY >Sspon.03G0034940-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:74039770:74043170:1 gene:Sspon.03G0034940-2C transcript:Sspon.03G0034940-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rab escort protein 1 [Source:Projected from Arabidopsis thaliana (AT3G06540) UniProtKB/Swiss-Prot;Acc:Q8LLD4] MAEAAADSSNSAVDGGGGSVQNDYPTIDPTSFDVVLCGTGLPESVLAAACATAGKTVLHVDPSPFYGSLYSSIPLSSLASFLSPEASPPTSSAAAAASDSRTVVVDLHRRSVYSDVETSGAAPEPARRFTIDLVGPRVLYCADEAVDLLLRSGGSHHVEFKSVEGGSLLYWDGCLYPVPDSRQAIFKDTTLKLKEKNILFRFFKLVQAHIAAASASADETGQRDASAKIPEEDLDLPFVEFLEKQGLPPKMRAVVLYAIAMADYDQDGADPCEKLITTREGIQTIALYSSSIGRFANAEGAFIYPMYGHGELPQAFCRCAAVKGALYVLRMPVSALLMDEEKKHFVGARLASGQDILCQQLIIDPSYKIPTSDVPFDGSDSHLPTKVARGVCIISKSVKQGSSNVLVIFPPKSLEEQQVAAVRLLQLSSNLAVCPPGMFMSYLSTPCTDVSAGKQCIKKAIDALFSPQASDGSEGHVEIASESTDDVKPTLIWSCVYVQEITQGTSGSLLSCPMPDEYLDYRNILGSAKKLFASIYPDEEFLPRKSAPVYGDDDSDSAE >Sspon.07G0016550-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:58937858:58939786:-1 gene:Sspon.07G0016550-1A transcript:Sspon.07G0016550-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALEVGREEELLAQLRALLFLPSPAPAATTPAAPAVKVESAGGRPLAAIMSSSGGRRPAAVSSSAMAAADADASSCGGGGRRRRRPRQSSKRDRDDEGKAKDEQHEEEPAAAQPYHSPPCKRRKKQQQSSKSLVTSVPDFDGYQWRKYGQKQIEGAMYPRSYYRCTRSAEQGCAAKRTVQRNDDGGDPATTAAAPEYTVVYVAEHTCTANDSLEAPVILETTTIVVPAANTTSTTTKGPRDDYHHTYTDSIVPTTSAGSCSTTTAASTSITTGTESPAISGDDITCWSSTSGASSSDYNYADDYYCGGLFAAAHGSGWTTGPMDASSSSLQETEDLTGPIRSPVHVPAAGWTIDQLLLQLVNEPAVCHF >Sspon.03G0034570-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:37971518:37982344:-1 gene:Sspon.03G0034570-3D transcript:Sspon.03G0034570-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protoporphyrinogen oxidase [Source:Projected from Arabidopsis thaliana (AT4G01690) UniProtKB/TrEMBL;Acc:A0A178UVN5] MLNGLYARAQVDSGLKDDLVFGDPNAPRFVLWEGKLRPVPSKPADLPFFDLMSIPGKLRAGLGALGIRPPPPGREESVEEFVRRNLGAEVFERLIEPFCSGVYAGDPSKLSMKAAFGKVWRLEEAGGSIIGGTIKTIQERGKNPKPLRDPLGSKVKLSWKLTSITKSDGKGYVLEYETPEEVVSVQAKSVIMTIPSYVASNILRPLSSDAADALSKFYYPPVAAVTVSYPKEAIRKECLIDGELQGFGQLHPRSQGVETLGTIYSSSLFPNRAPAGRVLLLNYIGGATNTGIVSKTESELVEAVDRDLRKMLINPTAVDPLVLGVRVWPQAIPQFLVGHLDLLEAAKSALDRGGYDGLFLGGNYVAGVALGRCVEGAYESASQIYDFLTKMTKSEHTPTQSANPGLAAHCRGYNNAVDE >Sspon.01G0028580-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:99676826:99679351:-1 gene:Sspon.01G0028580-1A transcript:Sspon.01G0028580-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASSMLTAASLSFSPLPAPRLRAAASLAPPRRAAAALVVRAAAASSKSPAAAEAAPKKKRATGITQPKPVSPALQAIVGEPVIPRTEALKRLWAYIKERNLQDPSDKKVVVCDEKLKVLFAGRDRVGFLEIAKLLNPHFVK >Sspon.04G0033120-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:46384493:46388136:-1 gene:Sspon.04G0033120-2D transcript:Sspon.04G0033120-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSSSPSPLPPPMIGRAGNLTVFITPPSPASTPRGASRAPPPESRRSDFSTSTPQRAAPSPSPSPSPRNHESPVAAPAVVFTPPPPPAPVKVAPPPVQVPPPQYEKASVGGKHDGSAFGFFWDAVARVQEAHASLDEYVATWFGLDQSKYQWALNDYYEATGKVAE >Sspon.01G0016580-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:51097650:51099393:-1 gene:Sspon.01G0016580-2B transcript:Sspon.01G0016580-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTPQPSPSRSKQQSPPSQASASAPKSSTNSPSPPKGESTSSPALKSSSLSPPPPRKSASASSSSKDGKKSSSSSSSDHTSAVITGVVLGVVGFALLLSIVACVCCAKKKKKRPPPMNMPFYTDEKGNVYYPNAGLPPMWQQYGSNGSIPPPPGWHHGGGNPLSPSPGSMAAPLSGEMYSSGPHGPPLPPPSPNVALGFSKSSFSYEELAAATSGFSSANMLGQGGFGYVYKGVLAGSGKEVAVKQLKSGSGQGEREFQAEVEIISRVHHRHLVSLVGYCIAGNQRMLVYEFVANNTLEHHLYAKDGPVMDWNTRMKIALGSAKGLAYLHEDCHPRIIHRDIKAANILLDNNFEAMVADFGLAKLTTDTNTHVSTRVMGTFGYLAPEYASSGKLTDRSDVFSFGVMLLELLTGRRPIDTTNYMEDSLVDWARPLLGAALAGETGFAELVDPRLGGEYSAVEMERLAACAAASTRHSAKRRPKMSQIVRALEGDASLEDLHQDGVKPGQSVLFSGGSSDSISRLRQIAFDSGEYGDYTSDYSTDSSIGGRPPRRP >Sspon.08G0010230-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:39590215:39591395:-1 gene:Sspon.08G0010230-1T transcript:Sspon.08G0010230-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPKFKGEWSASEIEMVKSLIAKDNANNNGASDMNKKHNQIVDELQAMFPSKEKHQVTNLYVDVFFLRIDLYVDVMVEMMQTLQSGNQHVEASSNLMNQPFGVFVGDPSMGNKEAFNGYQQVEMFGVKNVKDTPRRKPTPRKESQHTGRFWTTDEHRQFLRGLHVYGRGNWKNISRHFVTTKTPVQVSSHAQKYFLRKENSTKKQRYSINDIELHDFEPLSQTNASAWEGPTFGGGVYKTNHYSFGGHPTSMNNAQAWSPFLYHTSHGSSSNSQMVTLAIGQQQEQMGASSSLVAPTMEADGGHLDWTSDKLGDLLDTQWMMN >Sspon.02G0034460-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:16141094:16151651:-1 gene:Sspon.02G0034460-2C transcript:Sspon.02G0034460-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPSASAPLLRSHPHCGSLFPSSPRHRPAAASLLEFPPRRPASSRRFPYTVVRSSSTASPSAPVEEAPAAAVPTGDAEEERVVLPTNESSETLLRIRHTCAHVMAMAVQKLFPNSKVTIGPWIENGFYYDFDMEPLTDKDLKKIKKEMDRIIRQNLPLVREEVSREEAQKRIEALNEPYKLEILESIKEEPITIYHIGEEWWDLCAGPHVESTGKIDRKAVELESVAGAYWRGHEKNQMLQRIYGTAWENEDQLKAYIHFKEEAKRRDHRRLGQDLDLFSIQDDAGGGLVFWHPKGAIIRHILEDSWKQIHLQHGYDLLYTPHVAKGDLWKISGHIDFYKENMYNQMDVEDEMYQLRPMNCPYHILVYKRKLHSYRDFPIRVAELGTVYRYELSGSLHGLFRVRGFTQDDAHIFCLEDQIKDEIRGVLDLTEQILGQFGFRYYEINLSTRPEKSVGTDDIWEKATIALKNALDDKGWEYKVDEGGGAFYGPKIDLKIEDALGRKWQCSTVQVDFNLPERFDITYVDSNSEKKRPIMIHRAILGSLERFFGVLIEHYAGDFPLWLAPTQARILPVTDNELQYCNEVASELKSRGLRVEVCHGERLPKLIRNAETQKVPLMAVVGPKEVQARTLTIRSRHNGEIGTMAVDEFITRLQLAVADKSSL >Sspon.05G0015950-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:59343991:59367483:-1 gene:Sspon.05G0015950-3D transcript:Sspon.05G0015950-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSSGLVDWRGRPVNTKKHGGVRASIFIHALVLLSNAANIANILNLVSYLREEMHMDVARASTMASNFFAALQMFSIPAAFLADSYVKRFYTVLIFGPIEILGYILLAVQAHVPSLHPPPCVAGQQATTTCESRQESSFFNWYTFAISTGGFVGLVFIVWVENSKGWYLGFVVCALFVILGMLIWIAGLPFYRNQLPTGSPITRILQVLVAAFKKRKVALPANPSELKRQDDAGALEILHRTGGFHCLDKAAVDTGKTGAWTLCSITQVEETKIILRMVPIFLSAVLGYIPVPLILNFTVQQGNTMDTRLGVVHISPATLFVIPTVFQMVILIVYDRFIVPFLRRITGYVGGVTHLQRIGIGFLSTVVATSIAALVEAKRKKVAEDSGLVDATTGIPMSVFWLTIQYFLLGVVDVTSFVGLLEFFYSEATMGMKSIGSSIFYCILGVSAWLGSLLIQLANRFSRHSDGTGGWLDGTNLNKGKLDRFYWLLAVLEVVSLLVYAFFAWRNDQRVVVDGDNKAPSDGAINCLEQVVDTGVAYSREVLCQDKIELKKIGMDAEMPMGLGHGGG >Sspon.07G0005360-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:12522037:12524340:-1 gene:Sspon.07G0005360-2B transcript:Sspon.07G0005360-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTKKSKKSTESINNKLQLVMKSGKYTLGYKTVLKTLRNSKGKLIIIANNCPPLRKSEIEYYAMLAKVSVHHFHGNNVDLGTACGKYYRVCCLSIIDPGDSDIISTTPGTQ >Sspon.06G0014300-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:75369622:75370423:1 gene:Sspon.06G0014300-1A transcript:Sspon.06G0014300-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTHRCKGTSNAGAQPPTSSSHSSTPTRHGHRLSDRPPLPTHKSQHMHMHPPSIRPQFCHATHALSTGPTLLLRHTKQQAITRSTSAELARKQHHTEAAECCVIAMADWGPVLIGLVLFILLSPGLLFQIPGKGRIIEFGNFQTSGLSILIHAVIYFALLAILILAVGPSSSPAPARGGAAERGWRAAAWRPSSSLTSGWVD >Sspon.01G0002780-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:7510957:7514567:-1 gene:Sspon.01G0002780-1A transcript:Sspon.01G0002780-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAGTSKVVLGCIAFGIFWVMAVFPTVPFMPVGRTAGSLLGAMLMVLFRVISPEDAYAAIDLPIIGLLFGTMVVSIFLERADMFKYLGNLLSWKSRGSKDLLFRVCIVSAFASALFTNDTCCVVLTEFILKVARQNNLPPQPFLLALATSSNIGSAATPIGNPQNLVIAVESGISFGQFLLGVFPAMIVGVLTNAAILLLYFWKYLSVEKDQEGGQPTGPEVVADDEVTSHRFTPARMSHVSSLNPDDVDCISEPIIRSNSVRSSVNENLRSRSVNSEADIQLAIKSLRASSMSHELVEVSTVSDRKDEGASSRKFTRTASQQRSVIIEDLAPSSPDINGEKEKETEVAEKRWKIVVWKTAVYLITLGMLIALLMGLNMSWTAITAALVLLALDFTDAQACLEKVSYSLLIFFCGMFITVDGFNKTGIPNTLWELVEPYSRIDSAKGVALLAVVILILSNVASNVPTVLLLGTRVAASAAAISHGSERKAWLILAWVSTVAGNLTLLGSAANLIVCEQARRAQFFGYNLTFWSHLRFGVPSTIIVTAIGLLIVISY >Sspon.05G0026660-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:37533485:37534962:-1 gene:Sspon.05G0026660-1B transcript:Sspon.05G0026660-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LQDVQVPVPSAKKNELLLKLEAASINPIDWKMQKGMLRPLLPCRLPYIPVTDVAGVVVDVGSGVDGFQAGDEVVAMLNPFSGGGFAEYAVASVKLTVKRPPGVSAAEGAGLPIAAGTALQSLRSIGAKLDGTGKPLNVLVTAASGGVGLYAVQLAKLAGLHVTATCGARNVELVRSLGADEVLDYKTAEGASMRSPSGRKYDGVVHCTVGVGWSTFEPLLSATGKLVDITASLSAFLRAALHRVTFARKRLVPLLLWPNKADLEFLVGLAKDGKLKTVVDSRFPLGEASKAW >Sspon.01G0050740-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1C:7347151:7350069:1 gene:Sspon.01G0050740-1C transcript:Sspon.01G0050740-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MWLKMATCVRKVASEVFGVQRAIRDKECFKRLHHDKSAANIEGYKIAKRVAKRAASVAKGQAYDGFYQRLGMKEGEKDIYRMARIRERKTRDINQIKCIKDGTDRLLVKDEEIKDRWREYFDKLFNGENEGPALGLDDSFDDTNRRFVRRIQEAEIGEALKRMKGGKAMDPDGIPIEMPEEWRRNILVPIFKNKGDVQSCINYCGIKLMSHTMKLRERVIEHRLRRVTEVNRKLELWRHTLELKGFRLSRTKTEYMRCDFSATRHEEGDVSLDGQVVAKKDTFRYLGSMLQKDGDIDEDVRHRISADWLKWRQASGILYDKKMPHKLKGSQDLDLLLGSLASATISGGSFARAFSTREATSEAAAAACAPAAAAAARKRVRAAGREGGATVNRKGGEEERELQNCSNGGGCCSWTGELPIRKTLVFHVLYILSPTPRV >Sspon.03G0015340-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:72507696:72514952:-1 gene:Sspon.03G0015340-1P transcript:Sspon.03G0015340-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPIPKRPEHLLVVLIVAFAAAATSSAASDDAGTVPFYPSAEAAAAAHCDGTLYPELCLSTLADIPDLHKKPLPDVICAAVNRTEDVVTATSTNCSTYLQDRSLSARDRLAINDCLELLSTTMDELRATTADLESPAARGSGGNGSAAAPSVGARRATMDHVMTVLSAAITNQYTCLDGFAYQNGGRVRHYIEPTFHHVSRMVSNSLAMAKKLPGASPSAPTTETAAAARQPFMGYGQMVKGFPRWVRPGDRRLLQAPATAIAADAVVAKDGSGGYTTVSAAVAAAPTNSKKRYVIYIKAGAYMENVEVGKKHVNLMFVGDGIGKTVIKASRNVVDGYTTFRSATVAVVGNNFLARDLTIENSAGPSKHQAVALRVGADLSAFYRCSFVGYQDTLYVHSLRQFFRDCDVYGTIDFVFGNAAVVLQGCSLYARKPLPNQSNIFTAQGREDPNQNTGISIHRCKVAAAADLDSAATKTYLGRPWKQYSRTVYLQSELDSLVDPAGWLEWNGNFALDTLYYGEYMNTGPGAGTSGRVKWKGYRVITSATEASAFTVGSFIDGDVWLAGTSIPFTTG >Sspon.01G0018490-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:24936779:24942596:1 gene:Sspon.01G0018490-2B transcript:Sspon.01G0018490-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMGVPSWPNLQCLVRRKPATASAAGWAEDADRGGGQRLARTLSVPHLAAIGVGSTIGAGIYVLVGTVAREHTGPGLTLSFLIAGVAAALSALCYAELSCCFPSAGSAYHYSYICIGESVAWLIGWALILEYTIGGSSVARGMSPNLALFFGGQDKLPFFLAQVHVKGLDTPLDPCAAILVLIVTALLSLGIKESSSVEGIITTANIIVMLFVICAGGWLGFRNGWVGYKVPEGYFPNGVSGVLSGSATLFFAFIGFDTVASTAEEVKNPRRDLPLGMGLTLSLCCFLYMMVSAVVVGLVPYHMMDPDTPISSAFARYGMQWAEYVVSSGAVLALVASLIGGILPQPRIIMAMARDGLLPPLFSDVNRKTQVPILSTVLIGICAAILAFFMDVSQLAGMVSVGTLLAFTTVAISVLVVRYAPPYEMPMEVALAGSSESLASFSGHLEHDEQNSEDPFGNVQEALTVIEVASKVRRQKAIGSIILICVGAVILISAVSVSSLPFYVQTIACTVGGLVVLSSSIVLLCIGQDKRFLGQTGGFMCPLVPLLPICCIIVNLYLLMNLGSHTWIRVSIWLVAGALIYFFYGLKHSSLAGMAYHRISSPL >Sspon.01G0059000-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:38072205:38075375:1 gene:Sspon.01G0059000-1D transcript:Sspon.01G0059000-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNVSLVINKENFTATLIVLESLKIRVVLGNGWLCAHKGVIHGTRCTMLLTTPTGKRIEYQGGRLLPEGDENDQLEDRYTKDSKVDHEFTEVIAEEQKLWRSSIGEMIMFRQISQSGYEKLSHDSERKTHLGLLPRADRFLLPHRSSLGRAQLRPPAHNRASALPFPRFPSLTAWTRLSGSPSSSRRHRKPFQKPPPTESAGNLPLSFLEATFGL >Sspon.07G0001290-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:1746557:1747766:-1 gene:Sspon.07G0001290-2B transcript:Sspon.07G0001290-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFAQSSWFLANLENIEVIKLISQVIKDFASGEIKQASTLFDCDVTLDDYLLKSYYKTASLIAASTKSAAIFSGVDTTICEQMYEYGRNLGLSFQVVDDILDFTQSAEQLGKPAGSDLAKGNLTAP >Sspon.04G0011160-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:29797479:29803102:1 gene:Sspon.04G0011160-2B transcript:Sspon.04G0011160-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKDAKWPHAAASVGGRRGPPASSSAAGPSYTLLASSPPTSATNDGCSPHYPPAPASHDDGPSSFDASVAAAKLPFQQQPHRNSPQLGVADWLRLQRQSSGSSVGGDDGEVSSTVSSTLANAAEYRDKGDADRPPSSSSKSWAQQAEEAYHLQLALALRLCSEASSAADPNFLDSSTAAADHLQHIASPQSLSHRFWVNGSLSYSDKVPDGFYLIQGMDPFIWTLCNDVHDGGRVPSIESLKAVNPTDSAIEVVIVDKVADYDLRQLISMAIDVSRNRADSKEIATRLAAVVSTKMGGSVAATEEHELGPRWRDSVGFLKISSGSVVLPIGKLSIGFCCHRALMFKTLADSINLPCRIVNGCKYCKAGAATSCLVRFGHDREYVIDLIGNPGFLSEPDSLLNGLSSISVSSPLRPPKHDSADISDNFKLLAKQYFLDCQSLNLMFSDPAAGTVIDLDEPMGSNLGPNSSHATNNDCQATFSHLKDGTQRGSQDGNFIMQRSSPEDTQSGLSDPFSDMSLEIEDLIIPWSELVLKEKIGAGCRSKDSNGAGLPPRASQRIFERGCNYEKFATSNIVLLMGAVTQPPNLSIVTEYLSRGSLYRLLHRHAARENLDERCRLSMAFDVAKGMNYLHKRNPPIVHRDLKSPNLLVDKKYTVKVCDFGLSRLKANTFLSSKTAAGTPEWMAPEVLRDEPSNEKSDVYSFGVILWEIMTLQQPWSNLNPAQVVAAVGFKGRRLEIPSSVDLKVAAVMESCWIREPWRRPSFASIMESLKPLIKTLPPNQLLEEN >Sspon.07G0010470-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:32869363:32870938:-1 gene:Sspon.07G0010470-1A transcript:Sspon.07G0010470-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDKEKEKVKEKEKHDGTDVEEEEEDEEGNKRIVVLGPQVPLKEQLELDKDDESLRRWKEQLLGQVDTEQLGGDYVLIYHAVLMFIWSIWIEPWCIPEQMNIFGAETAEPEVKTGSGPSDPIPGDEKGYAFALKDGSPYSFRFSFIVSNNIVSGLKYTNTVWKTGVKVENQKMMLGTFSPQLEPYVYEGEEETTPAGIFARGSYSAKLKMLHQSLMPHSQFVDDDGKCYLEMSYYFEIRKEWPAGTQ >Sspon.07G0026410-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:54150592:54154920:1 gene:Sspon.07G0026410-1P transcript:Sspon.07G0026410-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPPSVAILVAVAAITTTIPSIVDGQADTAQTFVDLQNAARGEVGVAPLAWDDTVAAYARAFAAKRKGDCALAHSGGPYGENLFWGSAGANWTAADAVSAWVQEKQYYDCGSNACAAGQVCNHYTQVVWGSTTKTGCAAVACDGQGGTFIVCEYDPPGNLVGQRPYASCGEFNRSAQDSPQDFLSLQNAFRAGLGVGMLSWDSTLAAYAESYADERKKDCQKTPSRGPYGENLFQGSGGSGASDALFSWFGERQHYDCDTNSCESGEACGDYTQLVWANSTRVGCASVTCDGGGTFIACNYDPPGNVPGERPYVGCGKAEFNTPGNDNGNSSSIPKANGNSSTGNNSSQSRNKSNAPILAITLAVVTVLGLVVSAILVCIWRRSRLKRKQSCPTTHSEDIEDLKSVLLDPSVIRAATQNFAEGNKLGEGGFGQVYKGLMPDGQEIAVKRLARGSNQGIRELKNELLLVAKLQHRNLVKLIGACLDGEEKLLVYEYIPNKSLDSFIYDDEKRDELAWGTRYRIICGIARGLVYLHDESRVKVIHRDLKPSNILLEMDMNPKISDFGLASVFDDDHSKHITRRVWENWTRGTITEIIDPSLRHSCAENIMVLKCTHIGLLCVQENPGDRPNMSSVILMLVGRSTTLPAPSRPAFLFRLDGANQSHHGGITDRPGRSNKSNLSLNKVTITELVPR >Sspon.04G0003450-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:6913330:6924158:1 gene:Sspon.04G0003450-2B transcript:Sspon.04G0003450-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPMMLTFFLPQFNGAAYVYEHFVRPMIVNQQVVNIWYIPKKDESSRPDDVISAAQRYIEQNGSKAFENLVNKV >Sspon.05G0039940-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:82489383:82495416:-1 gene:Sspon.05G0039940-1D transcript:Sspon.05G0039940-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNSATAAACLHRALEVVDRLEKEEELICSTNMNNIEHACRHIYSDDDFFSPASSAFLLVSKDLLEKLWRWDMLLQKGTSVSLSVYSTYLEEYHRQNVHAVTTDTSISALAETERARSVVHTAGCSFSGVSGAALLVCSFFSDPGLTCIAKEADLTRELLRRDAHPADDELISQGTTIRMCALSLMNCARDNSVSASAVMLGMANEAEMMCSWMHINNKLVDFYVDPLPNEIWEQRQSHH >Sspon.05G0023940-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:9468520:9473713:1 gene:Sspon.05G0023940-2C transcript:Sspon.05G0023940-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVNIGLLVPLMAQYSAPIWATLVAGFFMLLALSLSMYLIFEHLSAYNNPEEQKFVLGVILMVPCYAIESYISLINPNTSVYCGILRDGYEAFAMYCFGRYITACLGGEDKTIAFLKREGGSGSGQPLLHHASEKGIIHHHFPVNFVLKPWRLGTRFYLIIKFGIFQYVIIKTLTATLSLLLEPFGVYCDGEFNLRCGYPYFAAVLNFSQYWALYCLVAWYTATKDELAPIKPLAKFLSFKSIVFLTWWQGVVIAIMYALGLLRSPLAQSLELKSSIQDFIICIEVFILPECLFIIYIRLCLKESISFILPECLLLYMIAPCLPPGQMGIASVVHLYVFPAKPYALLTNQSPGNISVLGDYVSSDPVDPFEIKESNRPTKMKLPQLEPDERSVTNIKESVRDFVVGSGEYVIKDFKFTVNQAVRPVEKRFDKLMKKNDKHKKSQDDNWVSAATPERPVRGIDDPLLSGSASDSGVTKGKKHRRVVSSAAAADSWGGGDQASDGYEIRGRRWAVKS >Sspon.03G0016110-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:51305267:51309406:1 gene:Sspon.03G0016110-1A transcript:Sspon.03G0016110-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALFAAARRAAASSVPILLRASASSGSHRSAALLRPLAAAVARPKPRSMPFSSAPATRPSADTELLSVIDSEIKYAEDCDDHGRVEEIPDKFPFKISDEKGTNVVTLKRTYQGEQIEVVAHMPSLVTGDEPDHDRDGEDEGEDKEGDDNEEDEGQKPEQSSVPLTVTISKGDGPVLEFTCTAYPDEVLIDSLSVTQPSGDDEHDMIAYEGPDFNDLDENLQRAFHKYLELRGISPMTTNFLHEYMINKDSREYLLWLRKLKDYFKQ >Sspon.03G0029930-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:16539639:16542444:-1 gene:Sspon.03G0029930-2D transcript:Sspon.03G0029930-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTATSRAPRRPRIRPRGPPPAPTPIRTARGARSAAADERVLAEFLEASLRVPDLSLPPRKRFNFPPAPAPAPPPESDGISSRALISGDADAALRAVTAATESGAFRVTGAIDAREVRDAVEAAAGAVFAAPEEVKRDLGRWFRRRERAAGEEFFWFRPMSADEDRALHAAFHGSTYRAFREKIDTLASKMEDVAKVVIRVLSDDVKNPKASAQFREAPSILCLTLYRSNMSRTCWNEFDNTTAPNSHALSIHLCGHDRRICLRNLGGSTFCSLPAGCMLVTIGKQIQEWSNGQLKTSVGEVLFEMTDEPGPFISVELMYCPDDLHLSE >Sspon.08G0025470-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8C:10183944:10184627:-1 gene:Sspon.08G0025470-1C transcript:Sspon.08G0025470-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRWIASPLECREASAARAVHAGGIHVPSPLPVPGKSTNRGGHAAVCLEKKQTSPRARSDSSSSRFHSNSDKEKIPEKTTGKAGRHRQKGSRSSSLPSISFSCSHSTAGLELEDTRRQSPADHSHSLLIKLPQRPAVSCADRAPAWTFLRAHAMAWPPPPSTHFFFFLLLSLLAAAQAGYRVVSVSGAGGQLSARLELAGAGHKAELGPDVQRLSLTARQVRSSWIDY >Sspon.04G0037640-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4D:75616713:75616961:-1 gene:Sspon.04G0037640-1D transcript:Sspon.04G0037640-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VNNKLFANRGRNAPGLASLKGARTVVGALRKLFTGLLFCFGQLRLWHLGLIFWVLGNYCRGHFLRSCMMQKYECSCIGALQE >Sspon.06G0007250-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6A:26921581:26926061:-1 gene:Sspon.06G0007250-1A transcript:Sspon.06G0007250-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SRRSSSSHHSQSSTAASPPFQPPPPSPNSPSTATSALPNMGDADPPTTADVMKMLQTLTTNMSQMQSDMAGMQEKLSSTSESSTHHDGQHHTDRPPQFQKMDFPRFDGKSDPLIFINRCESYFRQQRTMPEEKVWMASYNLEDVAQLWFIQLQEDEGTPPWGRFKELLNLRFGPVLRSAPLFELFECRRTGSVEEYSNRFQALLPRAGRLEEGQRVQLYTGGLLPPLSHQVRIHAPETLAVAMSVLAALPAPVTPTPALQALPASQAQPLALPAPPPRAGGKRLSMEEQAERRKLGLCYNCNEPYSRGHNRVCRRIFYIDGVVLDEEVPKEEASVYSLHAVAGVPVCGTLQLEVQVGAATLLALIDTGSTHCFIGEAAAQRAGLPVKPQPRLTATVANGEHISCPGVLRQAPIVIDNRPFAVDLYTMPLAGYDLVLGNQWLATLGDIVCWRSVAPPTPPRLHSTSVAEPLLEELLAAFADMFAEPRGLPPVRGRAHRILLKPGTEPVAVRPYRYPAAHKTELEQQCATMIANGIVRRSDSAFSSPVLLVKKPDESWRFCVDYRALNAVTVKDVFPIPVVDELLDELHGAKYFTKLDLRSGELRQHILFVKSSKCAFRAASVAYLGHTISAAWVAMDSSKGPEATSAFLALKEAVTSAPVLAMPDFTKPFVVECDASSHRFGAVLIQEGHPIAFFSRQVAPRHHVLAAYERELIGLVQALLGFDFSVKYKPGATNAVADALSRRDTVEGELLALSSPRFDFIAKLRQAQLEHPALVALQDELAAGTRPAPWALVDGMVQFAGRLYIPADSPLLQEIVGAIHEDGHEGVQRTLHRLRHDFHFLNMKQVVQDQVRTCEVCQRYKSEHQHPAGLLLPLPVPQGVWTDVALDFVEALPRVRGKSVILTVVDRFSKYCHFIPLAHPYFAESVAQAFFNDIVRLHGVPQSMVFDRDTVFTSSFWQSDGQSESANRVIIMYLRCLVEDRPREWLRWLPWAEYLFNTVYQTSLRDTPFHIVYGRDPSSIRSYEPGDTRVPAVAKTMEERAAFLADIRYRLEQAQAYQKRFYDRAHREVTYQVGDWALLRLRQRTASSLPQAVGGKLKPRFFGPYRVVELINEVAVRLELPPRARIHNVFHVGLLKKYQGTPPTEPPQLPLLHHGAIDPEPERAVRYRLARGVHQALVQWKGASAASATWEDVATLRSKFPQFQLEDELALDGEGDVMFKQVYTRRHRARDVRMPRNALLCARPAPRGRPPAVARRESRKSISYFG >Sspon.03G0010200-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:34213128:34220676:-1 gene:Sspon.03G0010200-2B transcript:Sspon.03G0010200-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DMMNQSLFLVGEIGGNDYNAPLLSGVPLEKIRTITPSVVAKISSTIQELIQLGAKNLVVPGNLPIGCVPDYLMIFKSDKEEDYEPQTGCLRWMNEFSQYHNKLLVEELKKLRKLHPGVTIIYADYYGAAMEIFLYPEQSGIEHPLVACCGGEGPYGVSPTTKCGFGEYKLCDNPEKYGSWDGFHPSESAYRAIATGLLLGSYTRPSIASTTTSCPQLMELGSSAEYKPLYDRGVSRLACPKSDSLNSVSSTAASSSACRRDSVRKEAMPSSAPGRGGRQLILPAAAAAAAVAVMVLVGAEPAAACYPRVFSFGDSLTDTGNFRFYYGNNSGEPALRPPYGETFFRRATGRFSNGRLVLDFTADTMGLPFVRPYLSGRRAEDFACGANFAVGGATALGPDFFRSRGFEIGDGRVHLDLEMKWFHDLLELLCRSDRSGCSDMMSQSLFIVGEIGGNDYNLPLLSRVPIEKIRSFTPSVVAKISSTIQELIGLGAKTLVVPGNLPIGCVPKYLLIFKSDDKEDYEPETGCLRWMNEFSEYHNKLLLEELEKLRKLNPGVTIIYADYYGAAMEIFHSPERLGIEEPLVACCGGEGPYGVSLSTACGYGDYKVCDNPDKYGSWDGFHPSEAAYKAIAMGLLRGTYTQPSIASTTSSCPQLTELGSSVEYKVLYDL >Sspon.01G0050770-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:7788616:7790707:-1 gene:Sspon.01G0050770-1P transcript:Sspon.01G0050770-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding HGNLQPSLVNSQEECNGGCNWRLNFRPLCDLHFGDWRIHVSYFYHRQQRLGRYYDFNSYISIGQWTTRLCCSPYSNSLVFWFVL >Sspon.01G0043210-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:72225823:72226692:-1 gene:Sspon.01G0043210-1B transcript:Sspon.01G0043210-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADHHSAWTSGARHPLLSYALMALVSFVATGAGPMVLADAIVFHVANKCPFPVWPASAPNTGHPVLAGGGFYVPPGKSRRVVAPATWNGRFWARTGCNFTANGMGATGCLTGDCEGRLACNGSVGAPPATLVEVSLHEDPAKGSSYDVSLVDGYNLPVAVSATGTGADPNKCAIAGCAKNVNAVCPPELQVTATGSGGGKTVVACKSACLAFGLDAFCCRGAYATPATCRGTVYSRLFKDACPAYYSYAYDTTAATPSGCYAQEYVITFCPSRWGDEPGDRAGAGAAQI >Sspon.01G0005410-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:12770377:12774038:-1 gene:Sspon.01G0005410-3D transcript:Sspon.01G0005410-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDINLFRTDKGGNPDLIRESQRSRFASVELVDEVIALDKAWRERQFELDKIRQELNATSKKIGKLKASKQEEEAKKLMESTDEIKKRLAAKEVEVQEAKSTLDAKVTTIGNIVHESVPVSDDEANNKIVRTFGEKRVEENLKNHVDLCRMLDIVALEKGCRVCLSQPFTYLHFAGADVAGGRGFYLKGDGVFLNQALINFGLAFLRKRGFEPMQTPFFMRKETMAKCAQLAQFDEELYKVTGDGEDKYLIATSEQPLCAYHLGDRIYPAELPIKYAGYSTCFRKEAGSHGRDTAGIFRVHQFEKIEQFCITSPNGNDSWEMHEEMLKNSEDFYKEIGLPYQVVSIVSGALNDAAAKKYDLEAWFPASKTFRELVSCSNCTDFQSRRLGIGYGQKKNDEQSKQFVHMLNSTLTATERTLCCILENFQKEDGVEVPKALQPYMGGIEFLPFKQPLDVKQAADSKSNKSKSKGKAP >Sspon.03G0046090-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3D:32004815:32005288:-1 gene:Sspon.03G0046090-1D transcript:Sspon.03G0046090-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRYAIQIDFTDPTPTNNIAEYEGLLTGLRIAISLGVKRLLVKGDLEVVAKQTSKDYRASNKNMAAYLLVYRRLESKFDELEVQYILRKLNSDADMLASWAAKRQHLPGDVLVEVLTKPSIPMPKSVSNPKLDPLSDEAILSEFLGKSMWEIRLVLPL >Sspon.02G0021540-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2B:70951011:70951873:-1 gene:Sspon.02G0021540-2B transcript:Sspon.02G0021540-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DSSTNVCIEDCYIRNGDDIVVIKSGWDEYGISFAHPSSNISIRNITGQTRNSAGLAFGSEMSGGISDVRAEGIRIVNSVHGIRIKTAPGRGGYVKNVYVADVNFDNVSIAIRITGNYGDHPDDNYNKSALPTISNITIKDVVGVNIGVAGMLQGIPGDNFSNICLSNVSLSVRSTDPWNCSLVEGFSNSVSPEICEQLRITPGPGQICYGGNYPAAAAQPQPPQKSSASRLYHVLLLFRSLFVGGM >Sspon.05G0016920-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:66125315:66126604:-1 gene:Sspon.05G0016920-4D transcript:Sspon.05G0016920-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSIVPLQRRPEAPAASASARSIFSLERRRREEDDGSGPGGGMDLSGTQGELAIPMHANVASPYGGGGGHVLQQLHGRDHGSNNNGQQSPAAAAALTPSPPAAAEETESSGKKRGPAAGGIGGATSSAVKYRECLKNHAAAIGGNATDGCGEFMPSGEEGSLEALKCSACGCHRNFHRKEVDDDDDDVYGATDGFSGLGLGHGHGHRAAHRLLAPAMAHPHHKSGGGLLISGADPYGAYAAARALPPALPPPPGHGHAHAHHQYVMPLNMMHTSESDEMEGGGGVAGDAARGGSGGGSSSSRKRFRTKFTPEQKARMLEFAERVGWRLQRLDDGMVQAFCQEIGVKRRVLKVWMHNNKHNLATKRLDASPAAQEQQAMAGMASPPPPPPPQHMHLQMPSGVMPPPPQQQQQQAGPSCHRGGPGSPLPLKL >Sspon.04G0021890-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:5666711:5673156:1 gene:Sspon.04G0021890-3D transcript:Sspon.04G0021890-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASGPPLEDCLRLLRGERDEQKLAGLLVAANVCRAGDADAVAKVYRAVGPRFLRRLLSTGLGKVEGGKEEEREAYLRLAVTVLAGLARVPEVAADEGVVSTVPLVAEVVAKSTDPAITEECFELLSLIAISSEDGAYKFCEAGVIDMIFLQILSLTDGSKCIELAINLMQLLVHKLKVDTMSLEKLQGMTSMVTCLARLFAVLHTAVKFHALHMLTTLLSQKESPLHDSLRSIPASIWESHIRVGITAILQNRVVSSEKLHALLLAECMMSILGEDWLSEDFEVQDNQNVLPVDKIIKMISNASSGEGAPIQTIRESTIMQAITGLNETISLVLDFLQDAKDHGQRKGDDLLAAARIVGSYLAEAPYACKEKTGNLLEFIFSIEGQDESSPFYSICFMLPMLSQITMEVDGCKTLASFGSYKAVIDCLVKMTEQDGMMIDNGSMFLACDTIINFMSNRKSVHIPVDSRFIRLLKALVTWAGRTTDASSVTMTASCLCAMLLDLTSEEFLLSCSHFDTKTLGSLSELIIRSLQQDIPDDDREQFNQKQIIVSGYRRWADRFPHVKNVVEQHVSV >Sspon.05G0008770-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:26067625:26069761:-1 gene:Sspon.05G0008770-1A transcript:Sspon.05G0008770-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKSKGPAAAAAAAAAADETGVSSPQGSTHGSEGGGEKEGAFLLGEPTWEDTGGGRWRYAETGHELPEREKEAYARSRACRLALIDQAVARKKPPLNAFKPHPEHKSKLVCKITGDTVNKLEDHIWKHINGKRFLNKLEKLEDQMASGEMADGEPVKSNEVAKKSKSRKKDKKKANVASPSLPREPKPEMDDSDDPDFWVPPVGSRWDDDDGKDRWESSPGKPNSAKNEGGSDDGGGGDTDGGDEDAMADKDDAESRELASRTKRMSIEAVGPSSFASRKKKTKKEQ >Sspon.07G0030100-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:2647098:2647607:1 gene:Sspon.07G0030100-2D transcript:Sspon.07G0030100-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGASSAPPPAPAPASGNQNHTAEARYHECLRNHAAALGGHVVDGCGEFMPGGDGDRLKCAACGCHRSFHRKDDARRPHQLLLPAPAPVLSPTTPAPRVPLLLPPPHPHYAPPPFPYHGTPSGSGGGTTTESSSEERGPPSGAAAQAQAHGHVRRKRFRTKFTPEQKEQML >Sspon.01G0017940-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:72451469:72454591:1 gene:Sspon.01G0017940-2B transcript:Sspon.01G0017940-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATLPSASAKVAVRNLARAVSSRQPLLLLHASSRRGRGRGLHPLPLAASASSQGAHLRRALSFSASASAAAGGNNGAAPRAAEREYDYDLFTIGAGSGGMRASRVASALYGARAAVCEMPFATVASDALGGVGGTCVLRGCVPKKLLVYASKYSREFEESRGFGWVYETDPKHDWRTLITNKNLELQRLVGIQTNTLKNSGVTVIEGRGKIVDPHTVSVDGKLYTAKNILVAVGGRPSKPNIPGIEHVIDSDAALDLPSRPEKIAIVGGGYIALEFAGVFNGLKSDVHVFIRQKKVLRGFDEEVRDFVAEQMSLRGVKFHIEQSPEAVTKSDDGLLSLKTNKETVSGFSHVMFATGRKPNTKNLGLEDVGVKMDEHGAIVVDEYSRTSVDSIWAVGDVTNRLNLTPVALMEAGAIARTIFGNEPTRADYRHSCKLLLAINFELNLYFVLFDSAVPSAVFSQPPIGHVGLTEDEATETYGDIDIYISNFEPLRATLSGLPDRVFMKVIVCAKTNKVLGVHMCGEDAPEIIQGIAIAVKAGLTKQNFDSTIGVHPTTAEEFVIMRNPTRKVRRDTAAE >Sspon.06G0024550-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:55960531:55962539:-1 gene:Sspon.06G0024550-1B transcript:Sspon.06G0024550-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPRSSAAPPAATSLARHLALAQTRSTNACASTLSLERCLQQRCPPGPGASTGDSLTHPRSGEAGAYGTHLRTEAQRAPDAAAAPGAPLGSKRGAGFRHGGPVPWARGAATTPWDLRTALRSHWPFKGMMVSFAKNVTDLTSSVGKNHIVILSALDSGKTRINDFMMI >Sspon.07G0000540-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7A:1230617:1231181:-1 gene:Sspon.07G0000540-1A transcript:Sspon.07G0000540-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALSPTSQPQYVTFVCSVRGQPGRHAGFLFYAISRPQWSGIVGMPPLRSNLGKGRQDEGKRRDGSAS >Sspon.01G0003120-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:8175731:8177528:1 gene:Sspon.01G0003120-1T transcript:Sspon.01G0003120-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAVEDIVIAGAGLAGLATALGSMHADCRADGRAGGLVSCLVSCRKGVRCVVLESSPALRASGFAFTTWTNAFRALDALGVGDKIREHHLLYERMIAFSAATGEAAAEVSLKIQSKSGPHEIRCVKRDFLLQTLANELPEGTIRYSSKLAAMEVDGSVKTLHLADGSIIKAKVVIGCDGVNSVVAKWLGLPKPILSGRSATRGLAEYPAGHGFGPEILQFIGHGFRSGVLPCSDTSVYWNYTWYPSPADGDAEESVAKMRSHVVARLRGAKIPAEALEVIERSEMSDVASSPLRFRSPLALVRGSISRGGVCVAGDALHPMTPELGQGGCAALEDGVVLARCLGEAFSGHEQDDEGRRVKAALEKYAEARRWRSIQLITAAYVVGFIQQSNNAVVRFVRDKFLSGLLAKTLVAMADYDCGTL >Sspon.02G0012830-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:16120679:16123873:-1 gene:Sspon.02G0012830-2C transcript:Sspon.02G0012830-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding PQFRSSAPLRSPHVPTPQKPRPLRHLDATSLRAPPAEPLPRLATQSDPRCCPDPSSPTPTADARCAGAGGGAAKTQARSGRFRSSARARAMEPVPRKRKGAPPACSAARSLQDLASRKRACRGSEPQQSPRRADVAAPAVVMTAPAASGASASAGVFLPGRGLKRKVGCIDSATRIGRRKRLESEYDLGEEIGHGKFGSVRVCRPKAGAGAPRGGEDEFACKALPKNGGDTAHREVEIMQHLSGHPGVVTLRAVFEDADAFYLVMELCHGGRLLDEVAREGKLSERRAANVIKELMAVLKYCHEMGVMHRDVKPENVLLTKSGRLKLADFGLAVRVADGQQLIGVAGSPAYVAPEVLLGNYSQKVDVWAAGVLLHVLLMGTLPFQGNSVEAIFDAIKTVELDLHSSQWASVSMLARDLIGRMLNRDVSSRPDAEDVLRHPWVLFYTECPQKAEFSNLWGTNKTATPMIHRERVRFDYCKSSSSESSSDNSEEQDECGIVDALATAITQVRISEPKRTRLFSPANGLLPPSRNTLRT >Sspon.02G0037530-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:34386628:34388289:1 gene:Sspon.02G0037530-1P transcript:Sspon.02G0037530-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRRPRKKRRIPDSTAMPVGAVDADALLSLPQEILDEVLARLDLRDAVRTSALCRAWRRRWETLPSIDIYIPYGKQALWTVDCVLPRCPGRIRRFHVSLDELSARRLDDWLLVLSRRGGVEDLYLSPEPPYEFFSLHSTVFSWRRLISVDLFACHIPPLPPNFEGFPDLKVLSLANVKFQQNGEYQLEEIIETSPLLEKLILCEVCIEGDDFIEWEIRAPNLRHITICSNIDYGWNFAELPCLHSAVIDLWEYVGDRDFANFLAGLVQVRKLRLCMFYAPVNGIKILETLPCTFDNLKSLKLFMHFCELPPILLLFCFLKSMPNLEKLKIQIYYGKVQKIELNGEFLNAQWADGMCANLQILEMTGINWLPNEMSFMKLILSKARLLRTLCISHHDDCSVSYVDPLHELVACGKASAQAQVLFK >Sspon.03G0046060-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3D:31998870:31999001:-1 gene:Sspon.03G0046060-1D transcript:Sspon.03G0046060-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GDPFEHGWHGLQGDCTSPLPHKLWQVGPLNLLMATRGVGAEAV >Sspon.05G0006430-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:20460635:20465318:-1 gene:Sspon.05G0006430-1A transcript:Sspon.05G0006430-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAWLCGLLSLLALAAAAASAEDGAEGEWEPLIRMPTEKGDDAAAASAAPAVEDDEVGTRWAVLVAGSSGYGNYRHQADVCHAYQILQKGGVKEENIVVFMYDDIAHNILNPRPGVIINHPKGENVYNGVPKDYTGDQVTTENFFAVLLGNKSAITGGSKKVIDSKPNDHIFIYYSDHGGPGVLGMPNLPYLYAGDFIKVLKKKHASNSYSKMVIYVEACESGSIFEGLMPEDLNIYVTTASNPVENSWGTYCPGMEPSPPPEYITCLGDLYSVSWMEDSQTHNLKKETIKDQYEVVKTRTSNSNKYKEGSHVMEYGDKTFKDEKLFLYQGFDPANANMANMLLWPGPKGAVNQRDADLLFMWKRYEQLNGESVEKLRALIEIKETVQHRKHLDSSIDFIGRLVFGFEKGPSMLEAVRTSGQPLVDDWDCLKRMVRIFESHCGSLTQYGMKYMRAFANICNSGISKMKMRESSISACSSYNSARWSPMAQGHSA >Sspon.03G0023010-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:70228648:70236266:-1 gene:Sspon.03G0023010-1A transcript:Sspon.03G0023010-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPLALVALLLASVLGSRGTTLAPSPAVTDSPANQGQDSSPPQPAFTHGPVTVPTGQTTPSASPSPETAAVSPAAPTEPQHAPSPVTPPKEYNAPPPIEVTPPDPTDEVPPPVAPPRAAVENPTPILPGTPALLPSVQAPAPSVVLKANPPVASPPSVVLKTNPPVVSPPSANNQPRRPVGSVPPPTTSPVIAQAPQRQADPPSRDYKNGNTVPPANTYPPTNLKKHHVPHASPPKESTGQTVPGHKSPVTGSAPATSPLPQNTSMPSILKNASSVSHAQPPPPSVAPELAPTGRSHARGWKSSNPNNGTNTSSAPSYPPPRAQGPKVSRAPRQTGTKSHTHHAPPPIPEGYPSFPVHPPSPSPASSRGPANRKKRHRISPTLPPIPPLPEPKAPAAHPIWTLPPPPPNSDCNSLSCPEPLTDPPAEAPCACVLPIRVGIRLSVDLYSFFPLVSDFAEEVASGVNMAQRQVRVMGANVAGDQPDKTMVLVDLVPMQVKFDNATAFSAFESLWSKKVSLKPSVFGNYEILYVVYPGLPPSPPSGPEGVGDGAFGNNRNARAMKPLGVDVRSPKRKVNGSLITIAVLSTVIALIICCLAAWLLILRFRGPSDTAQGFPHTVFPKFSRSSGTGHIILAGAGRYSSPSGPSGSLGSSIATYAGQAKTFKFAEIDKATNGFDDSKVLGEGGFGCVYQGTLDDGTTVAVKVLKRYDGQGEREFLAEVEMLGRLHHRNLVKLLGICIEENARCLVYELIPNGSVESHLHGIYVAPEYAMTGHLLVKSDVYSYGVVLLELLTGRKPVDMTRPAGQENLVAWARPLLTNVLSLRQAVDPLLGPNVPLDNVAKAAAIASMCVQPEVAHRPSMGEVVQALKLVCSEGDDCLASGRFSQELPMQTTTIYDATGMEAERVLISEIYGSTPVFTPAADSDSFRKQSSSGPLMTGKNRKFWQRLRSLSRGSMSEHGVSPDYETRSQYSG >Sspon.04G0019530-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:74454395:74459139:-1 gene:Sspon.04G0019530-1T transcript:Sspon.04G0019530-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEITEASPAPAAQPAPAPAPALEATTTPTTTPVSSQPAPLRRLRRPWRQERGGETGRGSGEGRGSTGRTGPAAAAECDADLGVGAGRRGSGAVHPAAAVGAVMKRGRGRPVGFISRVTPISVAVTAAAPTPAVVVSAPAPAPAPHSSQLAPLGELVACASGANFTPHIINVAAGEDVNMKVISFSQQGPRAICILSANGVIANLLMSGGTRSRSGGMSVSLAAADGRVIGGGVAGLLVAASPVQVVVGSFLPSYQLDQNANKKPVIEIMTVPPPPATVGFTISSGDMDDAYSGSQQPRSVAAKGSSATALFKVENWTAPAPDQAKKTPPPPPTSEAKVPVPGG >Sspon.05G0024460-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:12715528:12716999:-1 gene:Sspon.05G0024460-2C transcript:Sspon.05G0024460-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding METTQLPKPHLVVIPSPITSHIIPTVDIGCLLAAHGAPVTIITTPASAELVQSRVHRAGQGSSAGITVTAIPFPGAEAGLPDGCERLDHVPSVDFLQKFFDATKLFGEPVAQHCRNMAPRRPNCFVAGMCNTWAHSMARELGAPCFIFYGHGAFSSLCFEYLHTHRPQEAVASLDEPFDVPVLPPFEVRFSRRQLPVFFQQSTNIKDDILRGIRESCNATRPRASPRPRARPCSPLLESDSRAGTGSDEARRCVAWLDAKKAKSVLYVSFGSNGRMPPAQLMQLGLALVSCPWPVLWVIKGADTLPDDVNEWLQHNTDGDGHSGLRQCLVVRGWAPQVPILEHPAVGGFLTHCGWGSTLESVAAGVPMATWPFFAEQFMNEKLIVGVLGIGVSVGVTKSTENLLNGVKGEAKAEVGTEQVKRALNKLMDGGAEGEDRRSKARELKAKAKAALEIGGPSYMNLEKLIQFAA >Sspon.01G0016450-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:52540011:52548191:-1 gene:Sspon.01G0016450-1A transcript:Sspon.01G0016450-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RRRWRWRSSGVASGGVGGGGGGPPCGACKFLRRKCVTGCIFAPYFDSEQGAAHFAAVHKVFGASNVSKLLLQIPPHKRLDAVVTVCYEAQARIRDPVYGCVSHVFALQQQVCMQRVNVVLASTSTCHHHRITMVLSDLCADQPPPMGYRTYTSLPYALDIFVLIIHSLFVYDLRSKNPACLPACLITNVTRPGAMSSSVLGASAGGGGGGGDSSGVASGGVGGGGGGPPCGACKFLRRKCVTGCIFAPYFDSEQGAAHFAAVHKVFGASNVSKLLLQIPPHKRLDAVVTVCYEAQARIRDPVYGCVSHVFALQQQVVNLQTELTYLQGHLSTMELPTPPSFAAQNQMPMTGAFCVSNLPSASDNIIPATVDVSTFFEPQTQQSHWVSQQQQVQQHQLNQQYATVGEGPGTGVNGSGATGGGDLQLLARELLDRHGTAAVGSQSQPEPPCT >Sspon.08G0005930-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:16083004:16084251:-1 gene:Sspon.08G0005930-2B transcript:Sspon.08G0005930-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTDNAMRALFLLALVCAAQAGKDKKESSSAPAEGGDAKASGPGGSFDITKLGASGDGKKDSTKAVQEAWTSACGGTGKQTILIPKGDYLVGPLNFTGPCKGDVTIQVDGNLLATTDLSQYKGNWIEILRVDNLVITGKGTLDGQGPAVWSKNSCAKKYDCKILPNSLVLDFVNNGEVSGITLLNSKFFHMNVFQCKDMLIKDVTVTAPGDSPNTDGIHMGDSSGVTIVNTVIGVGDDCISIGPGTSKVNITGVTCGPGHGISIGSLGRYKDEKDVTDINVKDCTLKKTSNGLRIKAYEDAASVLTASNIHYENIKMEDSANPIIIDMKYCPNKICTASGASKVTVKDVSFKNVTGTSSSPEAVSLLCSDKIPCTGVTMDNVKVEYSGTNNKTMAVCKNAKGTATGCLKELACF >Sspon.06G0012920-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:51468676:51473815:1 gene:Sspon.06G0012920-4D transcript:Sspon.06G0012920-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNYLNIYLTRVHGLRRRISDGLDFQLIRQTLTDAAEFLSSQLDTKELLRLYAYWAKLERSLGKDLSAARGVWENAIKKSGSVFEVWEQYISMEIEMGHVHEARSLYKRCYSKRFAGSGSEEICHSWIRFEEENGTLDDYDLAVKKVTPRLKELMTFKSQEEAKVEAYPNPNDNSNANDSSQKRKPSKMANKQQPPAKKRKENPPKSTMPSDDQGSNVQSGHSGAVTAVEVGEASREKVVASMEMKVDGDSQTGKSSSNEPKPSFYNDKCTVFVSNIDLKANEDDLRRFFSDIGGATAIRLLRDRFTKKSRGLAYVDFSDNKHLEAAIKKNKQKLLGKKVSIARSDPSKGKKSREAGQASQDNLPQSGGDDAKATGTSGPDNEVPKGDAKPTGKNTRFAPRAVIKLLGSTNEDEKKPEGAGELKSNEEFRNLLLKK >Sspon.02G0012730-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:34029545:34030537:1 gene:Sspon.02G0012730-1A transcript:Sspon.02G0012730-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MANSLLLLVALVVAGCTALASATTYTVGDSQGWTTNFDYSTWASGKSFAVGDKLVFSYMSKAHSVTEVSKSGYDTCSGTNPLSDDESGSTVITLQTPGTHYFICNVPGHCANGMKLAVAVAATPSGTTPSAAGALQVPAMASVVAAAAGAAIKLALF >Sspon.08G0019100-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:10378747:10383452:-1 gene:Sspon.08G0019100-1B transcript:Sspon.08G0019100-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMPGSPARPSFSALRGARWRADLGVIPGSAAVSTDELRRAAADSRRRYANLRRRLLIDPHLSKDEEGAPDLIVENPLSQSPGSTWGQYFRNAELEKMLNQDLSRLYPELGDFFQTSTCQSMLGRILLVWSLRYPEFGYRQGMHELLAPLLYVLHADVQHFRQVRDLHEELLGDDFDGQTFPDRSKLNRSDRKNNVAGRTAKIRSLADLDPDTRDLFLINDAYGAEGELGIILSEKFMEHDAYSMFENLMNGAQGVVAITDFYSLSPAPESSMGLTPVREASSAIYHLLASVDSSLHSHLVELGVEPQYFALRWLRVLFGREFSLDSLLFIWDEIFSSPNNSYCTDISSRADYQFKVLCSPRGALILSMAVSMMLHLRSSLLGSEHATSCLVRLLNFPEDIDLKSLIEKAKLLQSFALEANLPSSPMRGNSLLTTPNYWEETWKILQPSMDRKGGGVFKMKGRGFLRRSLSNTELNVSRSKAVNFENNDMTSTRQSTTDELHNADVDPAELINSVPHMLIEKQKHHVGKGTAEAIESNSKNACETGQHDGYCSTSGEIRDPLGAASGYLSRSSSTTLSCGTEYDHDTHHLEEPCGPCDDRVVNEPDPLFVHNGRTDEAATTDRTSGIVDTYPVQQHRLCSVDGKPKIKDDQNSTSDKGGQKETLAICSITNVADKELSRTLRSLGESMVENIQDIEMLFQPNSLSTSVEKLEKAIPRSTEQAKAVAALKELRKISDLLRQI >Sspon.05G0015210-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:55758139:55761767:-1 gene:Sspon.05G0015210-2B transcript:Sspon.05G0015210-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALATLLLPSSGSTATKAGTGDHLTDSRRHHGSKRKKKPPPSPQRSLPSSAPRTPPAGARSHRVVMAASSSSSSSRKSPMAPSAAAGKNNHHAQYQQRRGHQQPTTKAAASSSSSSWEQVKSLLSCRSATAAARVHDPSAPSALARLRGAGGAGTCGASLCAMRDVVDAASSAASDTAPLNRRRAHRGAGSSSSAASGAGNSSHHSSLRARGLSGCYECRAINVEPMSRCRPSISAPFPYDLHRFQGAFIALLSELGPEDSGRNIVEIIFKSSWQKRDHRSICHIDRILKVHNAPRTVARFEAYRDAVRSRCRAVVAARAAADGNELLRFHSAPLACALGLSGATALCCAGGGGAADASAAAAASPCGVCTAIRHGFAPWVGAHPLGVRTTASSGRAHDCGSSSASSSSVQQQPHQLASDVNAPAACRAMLVCRVIAGRVRRDGDGDGATSSAADEDPEGPFDSVAGEDASSSSVYGNLEELFVANPRAILPCFVVIYR >Sspon.08G0020160-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8B:18059771:18060030:-1 gene:Sspon.08G0020160-1B transcript:Sspon.08G0020160-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSPFQCACTAEEHYRRDQGGVHQEFRMWLASGAERLGFCSHRRKAGARQAATNWPDAEHGGGGDLTPDPLAAHTCLQLQAGLMFV >Sspon.02G0032160-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:117824068:117825138:-1 gene:Sspon.02G0032160-1A transcript:Sspon.02G0032160-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSPLKRASASGGRLRRLLASLRPPARAGPLPVQTGFPTSLADIVVKNHGRLWNPRRRHRAPGAAAPPPAAAAEPMPVPPSQERRELSAAHVAAAAATVTARPAKGAGFSIRPGLLVAGGAVALALLVIWSKQLVAAATLASVALSWIEYARTVRDRRRPESKEEVDSGRRRGRGSVSPIRSAAESPRLSCGECESDNGGSDAASLCSPDADSGDLGCDDTSASVNNPKQSRRSLRKLKLLANKLRNGKTPRATDSRHGGEAGEYEHPETPRRLNAEPAAAAAAPAEGTPAPPPEAITDDYRCRRRRGRALPLPALVPIILAGLVAGKLPALALAVLCAAFSSSVERVPAGQASR >Sspon.04G0014210-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:58947276:58947560:1 gene:Sspon.04G0014210-2C transcript:Sspon.04G0014210-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPYNLASHGVHLCHKEICNKQGDDHSESASFSGTSSASLCSSASNVSDDATSSPPGHPCEPSSASSSTLHLDAEGPLYELSSLLDELPIRWI >Sspon.04G0037170-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4D:62722310:62722800:1 gene:Sspon.04G0037170-1D transcript:Sspon.04G0037170-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIGAEPFAIEEDEGDVRRRQGPPDQPTKLDISVDAHAHCYLLTAETRRPLYSRPSHRRRTRGHALASALGCGQTRAVRWSPYVDIESVRTKEITGLLFIVPKSGPTGKQRCPLDTP >Sspon.03G0006990-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3A:19080622:19081254:1 gene:Sspon.03G0006990-1A transcript:Sspon.03G0006990-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATEIQGYSSDDGIYVLSFDEDCFDATLTESGGRVESWVAETYRIHRSCRHPLVVGLDVEWRPAAPVPGPVAVLQICIVRRCLVFQILHADYVPDALSRFLADPRFTFVGVGVRDDAAKLRVGYGLEVPRAVDLRALAADTLGKPHLRRAGLQALVREVMGVQMDKPHHVRVSDWDTRNLSEDQFKYACADAFASMEVGWRLYTCNCDDA >Sspon.02G0002810-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:8680785:8684718:-1 gene:Sspon.02G0002810-3C transcript:Sspon.02G0002810-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LCKRGAWLRALVERDTAGVRHQPTTASSGGSNGVFVGLTLIQSAAAKGAVCLDGSLPGYHLHRGFGSGANSWLVNLEGGGWCNDVSSCVFRKGSRRGSSNHMERQLQFTGIMSNRPEENPDFYNWNRVKVRYCDGGSFTGDGSDAAAGLYFRGQRIWQAAMDDLMVQGMRYANQALLSGCSAGGVSTILHCDEFRGLFPSNTRVKCLADAGMFLDTVDVSGRREMRSFFNGIVRLQGSGRSLPRSCTSRMDKTSCFFPQNVLPTIQTPTFVLNTAYDVWQLQQSVAPRTADPQGLWSKCRTNHAFCNSNQLQFLQGFRNQMLDAVRGFSASRQNGLFINSCFAHCQSERQDTWYANNSPRLGNKCACLFWQSGNHPDLDPGPRHAWLLACYREAPVTCDR >Sspon.07G0007330-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7A:19320430:19321781:-1 gene:Sspon.07G0007330-1A transcript:Sspon.07G0007330-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding EPWLMCLMAFHVVLLLTAVAFRRNANFQLFLLFLAYSGVYLAEKMNIYLGEHWKSFSSRNYFDRSGVFISVVWSGPLIFISIVSVISSLIALCRLMVKWKRAELRHRARLARDKKD >Sspon.04G0008400-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:25187536:25188491:1 gene:Sspon.04G0008400-3D transcript:Sspon.04G0008400-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SCSTSNNPKALSPFYISIHPSQASSKASTCNCNKPAAVTGLSRKAHSNPYHPPATIAAAEGKKSGEQMTVVRGLDVARYMGRWYEIASFPSFFQPRDGRDTRATYRLLEDGATVHVLNETWSKGKRDYIEGTAYKADASSDEAKLKVKFYLPPFLPIIPVVGDYWVLYVDDDYQYALVGEPRRKNLWILCRKTSIDEEVYNQLVERAKEEGYDVSKLHRTPQDDPPPESDAAPTDTKGVWWFKSLFGK >Sspon.03G0030640-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:22146595:22156823:1 gene:Sspon.03G0030640-1P transcript:Sspon.03G0030640-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIQGLLPQLKSIMAPISAEELRGQTVAVDTYSWLHKGALSCADRLCKGIPTTRHIEYCMHRVNMLQHFGVKPILVFDGGLLPIKSYQETKRARSRKENLERAREHEAAGNSRAAFECYQKAVDITPRIASELIEVLKKEKVDYIVAPYEADAQMTFLSVNKLVDAVITEDSDLIPFGCSRLDFNGFTRQMLLEMCILSGCDYLPSLPGMGVKRAHALIQKLKCHEKVIKHLRYGAVSVPPQYEEDFKKAIWAFKFQRVYDPATEDIIHLSSNIARGIALGNIDPLTKEPFEIKQECSAPAVHKVCPTREPIAPSNGRRKLDLPVQKNILTNYFCLASLGAKRKFRAPKVTHKQQISNESLPSPQTQGSDTPDSVEDTRLPTDHIQASQCSSEHLSSEPPQNDPISVGSQCSSERFSCEYPLDDSANISPQCSSLDGGNLPWTPESFLISHNVEPSIPVQHHTESIVASKNNDITVRSSYFKTVNKRICTDQEDQLDDDYDVGTGNLPGDQLRKSGMLKRRKLSGIQNFEDETLQPIHSDDSPPVVDEGQDTDDLDDTNIRTERRFGCNVSHVNTYSGIAEKSMDKFAALVSSFRYPGPRASGLRAPLKDVKNTLSVRSILKAPEQGTFRRTAKKTGLGPPSKSRYTTDKESAVSPPDISTFAYKPMKTAASDQGKTTGKATDSTDGPADLGTFAYTSVAPTVCYPDRSKYAGTATRIADSPPDLSTFAYKPVKAAVRNFDGSRFTGTTLKATGGPSRSQFK >Sspon.08G0012460-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:51381229:51399785:-1 gene:Sspon.08G0012460-3C transcript:Sspon.08G0012460-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGGAILSDIIPPPRRVTAGHLWPESKKPRRAAGAGRRRAPVEEEEEDFEADFEVFEVESGESELESEDEAKPFAAPRSGVARDGLNTSPAGVDGPAANSVKRKRKNQFRGIRRRPWGKWAAEIRDPRKGVRVWLGTFNSPEEAARAYDAEARRIRGKKAKVNFPDEFSTISQKHRAGPTSLKVPEMDVEEKPIIKMANSNAYHYPVVGHNMPEPFMHTQNMSFAPLVNSAAPVQEPFVNLSSDQGSNSFSCSDFSLENDTRTPDITSVVVVQFKWLLSQTTATAMLGQREILLEFSHHTSLTAGGFPLLIWIRGKKAKVNFPDEFSTISQKHRAGPTSLKVPEMDVEEKPIIKMANSNAYHYPVVGHNMPEPFMHTQNMSFAPLVNSAAPVQEPFVNLSSDQGSNSFSCSDFSLENDTNIIGSCCSVQMAAESDHGNCNAWAARDPSGVLSPYKFNRRAVQNNDVALKIIYCGVCYADVGWTRNMHNDSKYPLVPGHEIAGVVTQVGADVKGFKVGDHVGVGTYVNSCRDCENCNSSLENHCPERVFTFNGIDTDGTVTMGGYSTHIVVHERHEIAGVVTQVGADVKGFKVGDHVGVGTYVNSCRDCEHCNSSLENHCPKGVYTFNGIDTDGTVTKGGYSTHIVVHE >Sspon.06G0007330-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:25279320:25283527:1 gene:Sspon.06G0007330-3D transcript:Sspon.06G0007330-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSRPLLRRLAELARGRVRANHRMLSSASSSTAAIERASQSPAEAQAVRMTEGCVGRLKELHAKEPSAEGKMLRLSVEAGGCSGFQYSFALDDKKNSDDRVFETDGVKLVVDDISYDFVKGATVDYEEELIRSAFVVSTNPSAVGGCSCKSSFMVK >Sspon.03G0031940-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3C:39301622:39304375:-1 gene:Sspon.03G0031940-2C transcript:Sspon.03G0031940-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAPRENDHSTQQAPNHEVTLLPLHQVNGMQSPAAVQVQTSNGFVLQHLVPVTLSTQHDQQQLNQAPVYYVQSPDHAKSTEGKALEPLVQVVQPLIHNPEAMVQVELPQKSSQATELYPQPQNHRLQMPTQQVDSHTWHPQQPMVQQQQYIIQQVSRHIAQQQSSSPQSQSAQATPVFPPFSSPKPASSNTEPITRSMAGQPPYSSSQQQQHEVAHSFYGHGNTILLPVADHNAQHQQPQSVQLHSQGPCPPQPSKPSHCSVASYAVQGNGQTYSSTYKNPSNCPATVVALLPQPPATASMAYHPLGPQVVHSHPFGNMVETASVVGYPRDQVEILPVVTAAQPVMVDKLNAGSNVTSPREWSA >Sspon.06G0017990-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6A:97510364:97511137:1 gene:Sspon.06G0017990-1A transcript:Sspon.06G0017990-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKVAQHLTLANWGRGDPRRRRRTQGDRAVSGSSCSTGPQDPDYPDRMNRSRSQQLRLKFGSGGEGGASEDPSLGTGWGPTFRQAPLLVGQIRCPVHHEVGPWSIGHARPQPRLHLPSTMTSPAPRVVCTTSWAAEDFGTQQGPTEAATLQQEELVTSDGPLPRGSPPLGRGALVGGLVSDLVLHAGLDASPKPAQPHNAGSPTAPPLDVSPRPAQLTTVPDFNDVDTSTVAVAGFSFNPSQSKTSSPASSCRLWNR >Sspon.06G0010590-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:41881009:41891203:1 gene:Sspon.06G0010590-4D transcript:Sspon.06G0010590-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GAAASPPRFGGSAPLLCPDISLSVVVTSTTAAAASASPTATSTSSPSLVSTAISKEAMERVSGTECGEGKVVMSNKEEEKECQITKEENGRKKGKKHKDKGRGGDCEDKAVMSNKEEKDCQITKEEKGRKKGKKHKDKDRGGDCDSSIHSGDGNHSIEMEQADVSATMAENPCLEHAEGVMSKSSVKKHRKKKKKDKEVEINGQTQVLDADENVGSEDAERNNGEREHDRKSKKRKRKHQDDETSSDGSGNRIVIGKDKRRKKECLVTLDLEEGNKSDMSKIGKKTESKKKMRKERNNIGIDLRQNAPAGEGKNGKGNKEKKVCENKNDGDDREKENMAKRKDKGRRVSFTDDVEVFNIGGSDDVESDGSGETELVHGRRFTSEEDAMLMEAMVKYAEMKQLGEKGLEMIGDCIKNPELRGCWVEIAKSLPHRPAKAVYKRARILLQRSAERKWTEEEYEIVRRFVEKNGRNWRVLAKELGKNEIHVKDTWRRIKPKNLKRGPWTQDEYQNLFDLVNLDLHVKAHQNFDPGHRQIRENISWEAISEKLTTRSNHDCCKKWYQQLASPLVKEGIWADTDDYLLVE >Sspon.03G0023360-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:94679136:94691039:-1 gene:Sspon.03G0023360-2B transcript:Sspon.03G0023360-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVATATEASAPAASGGAARQTHPFPWLDAAISEPYYFLHLLAFFSYFAARSAALSADNGGELHDRLLRREIQAVLVFLVLFVVKIVKEETWETFIADSLLYAKGLLLAVTLVIDYWLAFAYFLGFLVIYAVAQQPPYDGIGHSNHLTPLQLESLLTEEPTSRFWLVEFRTSFSAKCIQASSVLPELSVMYSNKNISFGIIDLGHFPNAAAKFGISMWDHLPTYILFDKVTEVSRFPEITSESKVFVPKVTKKLLCQHFDLDRRLIEYLST >Sspon.07G0020420-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:76192147:76193250:1 gene:Sspon.07G0020420-1A transcript:Sspon.07G0020420-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLHRGTLVIPERAQFLQIQRLPVHFQYVRLKAWGLRAVLPHVREEGGERIMRGPTLIRTCHPPTPSAALLCSLVLLLPSMAAHAGTLVPHPLPVRLVVAHPRPVTPASCSLRPRIPRLTVARAASRGNGDGGPPAEGGKEQRAPSLPALSEIRWGELLSPDPANAAAVVLTGALAWAGASLLLQLALISAAIFAAAIKYSFVAALLLFVLIALL >Sspon.01G0034320-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:3121644:3122912:-1 gene:Sspon.01G0034320-1P transcript:Sspon.01G0034320-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPLPRLVRAPLRHVPPRAAFSGRRSVPHGSDADDSSDAAASDAIVRLVAAGGGGLESDLDRLFLAALSHGLVSSALRALTDRGVPAERFFAWASSVSRGFSPGPRAHNLLVENAGRLGDSGAMSRALALMSERRLPLTDRAFAFLAPSSGSSRSGSVEDAARAVLRTLDGVGGPCRASGVFSLVKALASIGEFDAAVSMIEETARKVRYYNVLVAAKCKAGDFVGAREVFDEMRRSGSDPDANTWNYLLGCLLKNGRLAEGCGLVEAMERFKCNEIPNSLTYEILTYHACKAGKMDSAMQILDQMFSENLTPRITIHSAFIKGYFYAGRIEDACKYVSDMSTRDRHSVNRNYSLLAKLLRKSGRTVDAGRVLYELMEKGLRPDHSAYVKVAKDLHKMGRGDLASELKMMFQRFSVQADMGR >Sspon.02G0027360-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2B:102471148:102471731:1 gene:Sspon.02G0027360-2B transcript:Sspon.02G0027360-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GHFCPFPHGASSNHNRLCVEHQRCSRSSTQTYALSRKDFSPITQDVEGFLHNVVNMGFLERLKLAWKIMFPAPTIKDTSNANIAKQRLKMILFSDRCEVSDEAKKKIVEHVIEALSEFVEIESRDNVQVDISTDAGLGTVYSVTVPVRRVKPEYQESEEQYRGKIVGVDFKDTGESSGNVDVTFDFFVPNENY >Sspon.03G0025380-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3A:76868179:76868598:1 gene:Sspon.03G0025380-1A transcript:Sspon.03G0025380-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVLIPRNTTIPTKKEQVFSTYSDNQPGVLIQVYEGERTRTKDNNLLGKFELSGIPPAPRGVPQITVCFDIDANGILNVSAEDKKTGQKNKITITNDKGRLSKEDIEKMVQEAEKYKSEDGSTRKRLRRRTPWRTMPTT >Sspon.02G0041470-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2B:75904404:75910937:1 gene:Sspon.02G0041470-1B transcript:Sspon.02G0041470-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSCQSLHAAAQDGDECTGLGCCQTSIPANIRRVHVFWRHSRNDTTPINPAWRYSPCSYAFVAEKSWYHFRRRDLTRVGNKNFTDRIGDRSIPLVLDWAIRSNGSCRIQLEDDNGRESAKPVALACVSANSHCVNATQGSGYLCRCSKGYKGNPYFVGGCTRTFVASVLACLVVMEIKRRKQKKFFDKNGGEILKSMGINIFTEGQLKKITNRYSTPIGEGAFGKVFMGIIDATQRVAVKRSRVKGKALRQEDFVNEITIQFRISHANLVRLVGCCLETDIPMLVFEFVPNGSLYNVLHGAGMTHMLSLPVRLDIALGSAQALAYMHSHGSHNHVHGDVKSGNILLDENLTPKTGRFTEKSDVYSFGVVLLELITRKTAKYDRCRSLPIDFVMSCKDQGKRRAMYDRDMLCEDVDDDQSYVNMECLDRVGELVVTCLKEDVEERPTMVEVVEVLKQVKSIGCSCSHASYETCTVKLN >Sspon.02G0025150-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:87060706:87061050:1 gene:Sspon.02G0025150-1P transcript:Sspon.02G0025150-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding TTMDVKSASICLFLVLLLVQIPSSFAEDQNCFFTTDRVKTWCVNWACKFGCLIDAKQYHTKYKNSWCEGSRKGICYCQFC >Sspon.06G0005700-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6B:16968628:16969218:1 gene:Sspon.06G0005700-2B transcript:Sspon.06G0005700-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RAPAVRHGGAGLHLAVQLPGFDPHTDPSSNDSIDLLRRSGIDFDRFAAEGVDSTRFAELMMSSGIVLNDDVQWVTFHSGHDFGYLLRLLTGREMPNTLDEFLKLTKTFFPVLYDIKHLMKFCGGGLYGGLSKLGELLKIERVGIGHQAGSDSLLTLQCFMKLTQLYLKESVKLYDGVLFGLIPGEVEIKPAAPPIE >Sspon.02G0011470-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2A:30653541:30653791:-1 gene:Sspon.02G0011470-1A transcript:Sspon.02G0011470-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding YRLDWHWLYELSSVVLSCSNSLAPTPHHRTVALVAVPKTLAVVTSSLSLSVSLRQEERTQIHGIQAFSHGDATRDVCGRTRH >Sspon.01G0050380-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:2208365:2209833:1 gene:Sspon.01G0050380-2P transcript:Sspon.01G0050380-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dual-specificity tyrosine-phosphatase CDC25, Arsenic metabolis [Source: Projected from Oryza sativa (Os03g0108000)] MARRGVSYVSATQLVSMASDVRVAIVDVRDEERGYDGHIAGSHHYASDTFAERMPELAQATGAKETLVFHCALSKVRGPSCAQLFHDYLSEAKEDSGVKNIMVLERGFNGWELSGRPVCRCKDTPCKGVCS >Sspon.06G0013770-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:42154431:42159658:1 gene:Sspon.06G0013770-2D transcript:Sspon.06G0013770-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MCRPFSGAVKISLTLVLSVLLNRCLRRWRGRGRPAAVLAVVVLACALGARADGSDHKYKEGDHVPLYANKVGPFHNPSETYRYYDLPFCAPEHPKDKKEALGEVLNGDRLVDAPYELDFKEDRNSKVLCKKVLSKEQVAKLRDAVAKDYYFQMYYDDLPLWGFLGKLDKDKEQGDAKYLLFKHIHFDIMYNDNRVIEINVQTDPNVAVDITEDKEVPIEFSYSVTWKKTDIPFEKRMNKYSKSSSMPQHLEIHWFSIINSCVTVLLLTGFLATILMRVLKNDFIKYSHEDESLEDQEETGWKYIHGDIFRFPKQKSVFAAIIGSGTQLLALAIFIFLLALVGVFYPYNRGALFTALVVIYALTSVAIAYSATAALPFGTIIVIILIWALVTSPLLVLGGIAGKNSNTEFQAPCRTTKYPREIPQLPWYRSTIPQMAMAGFLPFSAIYIELYYIFASSTGIFIFFYCIYYYHARSDMSGFMQTSFFFGYMTCVCYGFFLMLGTVGFRASLFFVRHIYRSIKCE >Sspon.01G0016340-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:51701740:51702938:-1 gene:Sspon.01G0016340-4D transcript:Sspon.01G0016340-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPLPRCLLAGICAAVLLAAVVAHGAEHETASMVVGLAKCADCSRKNMKAEAVFNGLKVAVKCKNADGVFVTKALGEVDKSGAFSIPLAADLLREDGELKQDCFAQLHSAANQPCPGQDPSWIVGPTSDSQYDDDKMKKTFVAVAGKVHYPSKECASAFLCYHFFKKHLLHKKPIVIIPHIHKKPVPEYKPPTTTTPVPVYHSPVPEYKPPHPTPTPIYHPTAEDKTQNPETDPEKFKKLLPFIKKNPFFFPKFPKFPPGKEEIKA >Sspon.07G0019350-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:75689107:75695124:-1 gene:Sspon.07G0019350-2B transcript:Sspon.07G0019350-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAPGVGPGAGAGGGGATAGGLKTYFKTPEGRYKLQYEKTHSAVLHYSHGGKTVSQLTVAYLKEKPASQGSQPSTPSSSSGMRSAAARLLGSGNGSKAHSFGGGNGASRAVAGSSRIGGGGGLGTSTGLGGSQGATNYDGKGTYIVFNAADTLFISDLNSQEKDPLKSIHFSNSNPLCHAFDPEAKEGHDLIIGMGSGDVYSMSLRQQLQDPGRKPVAALHYNKGDKDVSPNGSRCTSVAWVPEREGIFVVSHSDGNLYVYDKNKDGNTDCTFPAVKDQSQFMVAHAKSSKSNPVARWHICQGSINAISFSPDGAYLATVGRDGYLRVFDFSKEQLIFGGRSYYGALLCCTWSSDGKYLLTGGEDDLVQVWGMDDRKIVAWGEGHNSWVSGVAFDSYWSPPSSDGNGENVYRFGSVGQDTQLLLWDLALDEIVVPLRHPSSASPTFSSGSPSAHWDNACPPTGVLQPSPRMRDVPKLSPLVAHRVHVDPLSGLVFTNESILTICREGLIKIWVRPDQSENNQQSNSSEFVLGPVSKDRVITSSNKASSSSFKKPSSVLVT >Sspon.03G0000220-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3A:587652:588004:-1 gene:Sspon.03G0000220-1A transcript:Sspon.03G0000220-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGRREKCMRAATGCFCYSWIVVRRVVMDFYLHFSRVVSKLNGGLSCSEDLPARDHPQFFPQSHGVDDRHSLLSDFQELYGLVDCDPYVGMLILQVYNS >Sspon.03G0021140-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3A:65126098:65126601:1 gene:Sspon.03G0021140-1A transcript:Sspon.03G0021140-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fasciclin-like arabinogalactan protein 11 [Source:Projected from Arabidopsis thaliana (AT5G03170) UniProtKB/Swiss-Prot;Acc:Q8LEJ6] MQAMRRLVRVLVVLGVVAATASAQGPTAAPATPTPATPAAPVTPAAPAPKAATTSSNITGVLAKGGQFNTFIRLLKSTGVASQIDNQVSNGGNGITVFAPTDNAFTSLPSGTLNSLSDQDKNALVQYHVVSTAIPMSQFDTVSNPLRTQAGSASPGEYPLNVTSEGQQ >Sspon.04G0022930-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4B:9474912:9475405:-1 gene:Sspon.04G0022930-1B transcript:Sspon.04G0022930-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADQANQPTVLHKLGGQFHLSSSFSEGVRARNICPSVSSYERRFTTRNYMTQSLFSPSMSVSGGINVPVMQTPLFANAPAEKGGKNFMIDFMMGGVSAAVSKTAAAPIERVKLLIQNQDEMIKSGRLSEPYKGIGDCFKRTIKDEGFSSLWRGNTANVIRYFPT >Sspon.03G0006130-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:24196572:24197192:1 gene:Sspon.03G0006130-2B transcript:Sspon.03G0006130-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding METIKCCIACILPCGALDVVRIVHSNGRVEEISGGPVLAGEIMKAYPKHVLRKPPSTCPADGGGGGIVVQKPVILPPNAELQRGKIYFLMPVMATTPAPAPEKPAAKLQPPPPPAGQTAAAAASVARRRRRRKDHTAARDGGAAAACCSGTRAAAGPAPAEDEKERLLANERYLSEIMKEKASTARDRRRGRVAVWRPHLESITEDD >Sspon.03G0008230-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:22277924:22279325:-1 gene:Sspon.03G0008230-1A transcript:Sspon.03G0008230-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVAVSGHWRRLRTLGRGASGAVVSLASDAASGELFAVKSAGASDAATLRRERAVLSGLSSPHVVRCVGGGEGAEEGSYQLFLEYAPGGSLADEGPAADVWALGCTVIEMATGSAPWSDMGHDVLAAVHRIGYTDAVPEVPFWLSAEAEDFLACCFARDAADRWTAAQLLEHPFVAFADHDDKARRRASPKSTLDAAFWESESESEDDAADEVSSGNASERIKSLASSACALPDWDSEEDGWIDVLGVGEQQQVEEACAAVQVAGCAPGKVSNALAVPAGEMAVGGGSGGLGLPHRDDELEADDVPFGGDDVPATADASVERQEKHDLSSHCHVALSCHYGFPQIFAVLLCSIPLVMILCPCGHA >Sspon.05G0026570-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:43501593:43504368:-1 gene:Sspon.05G0026570-2D transcript:Sspon.05G0026570-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLRLLAEVSPQDLLVSLSELQIHVHGYIKSMALKCAVDLSIPETIHRRGGAATLADIAADTKIHPAKVPDLHRVMEVLSTTGIFSVTVGKDSGDAVYGLTTACRFLVGYRNLSPMVPFLVSPLVVSSFFSMSDWLRKEPAAAGSLFELAHGCSQSEMANQDAAFSSLLNDSVAADSQLFLEVVIMDKGRIFRGLSSLVDVGGGHGAAAQVIASAFPRIKCMVLDLPHVVSQATANDGNMHFIAGDMFESIPPADAVLLKNILHDWSDENCVKILQRCKQAIPSRTAGGKVIIIEMVRGSSQGDSKINEMEVIRNMFMLGINGVERDINEWKKIFSDAGFSDDYKIMPVLGPFSVIEIYP >Sspon.04G0023280-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:15166523:15168600:-1 gene:Sspon.04G0023280-2C transcript:Sspon.04G0023280-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVASVAALRTAGSGRCRGAGSPQAGLNGGRFLMMQRRELVTKAGIALAVSCSMATSSASANGSAQGLEVLPFKPDGYNFWTWRGRRIHYVEQGAGQPIVLIHGFGASAFHWRYNIPELAKKYKVYAIDLLGFGWSEKALVDYEATIWMEQVSDFLREIVKEPAVLVGNSLGGFTTLFTATEVPELVRGVVLLNSAGQFGDPNKPAAAPAEEEEEGSPLSRFIVKPLKEAFQRVVLGFLFWQSKQPARVEKVLKSVYIDSSNVDDYLVGSITAPAADPNAGEVYYRLMSRFMSNQSRYTLDRLLGKLSCPLLLLWGDLDPWVGPAKAARIQEFYADTAVVHLQAGHCPHDEAPEQANRALLEWLAALDARAKPAEPSLQTV >Sspon.02G0032530-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:86213888:86220682:-1 gene:Sspon.02G0032530-3D transcript:Sspon.02G0032530-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKEGNLEAVLKEAVDLENIPLEEGKPPDWQDFVGIITLLVINSTISFIEENNAGNAAAALMARLAPKAKSALTGESLPVTKGPGDGVYSGSTVKQGEIEAVVIATGVHTFFGKAAHLVDSTNQVGHFQKAILDALSRLHVLTAIGNFCICSIAVGMFVEIIVMYPIQHRAYRPGIDNLLVLLIGGIPIAMPTVLSVTMAIGSHRLSQQGAITKRMTAIEEMAGMDVLCSDKTGTLTLNKLTVDKNLVEVFERGISQDQVILMAARASRTENQDAIDTAIVGMLADPKEARAGIQEVHFLPFNPTDKRTALTYIDSDGKMYRVSKGAPEQILHLAHNKSEIERRVHAVIDKFAERGLRSLAVAYQEVPEGRKESPGGPWHFVGLMPLFDPPRHDSAETIRRALNLGVNVKMITGDQLAIGKETGRRLGMGTNMYPSSALLGQNKDESIAALPVDDLIEKADGFAGVFPEHKYEIVKRLQARKHICGMTGDGVNDAPALKKADIGIAVADATDAARSASDIVLTEPGLSVIISAVLTSRAIFQRMKNYTIYAVSITIRIVKIFHVESLEKTAQDDFQKLASAVYLQVSTISQALIFVTRSRSWSFVERPGFLLVFAFLVAQLIATLIAVYADWAFTSIKGIGWGWAGIVWLYNIIFYFPLDIIKFLIRYALSGKAWDLVIEQRIAFTRKKDFGREERELKWAHAQRTLHGLQAPDAKMFPEKAGYNELNQMAEEAKRRAEIARLRELHTLKGHVESVVKLKGLDIETIQQSYTV >Sspon.02G0047650-2D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2D:10958672:10959136:1 gene:Sspon.02G0047650-2D transcript:Sspon.02G0047650-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTILSDSSAPPPTLPAADDDCAASTDAPRFAAEEQGLATCSLSSMAVPSVTAMYALFATTNLIFFFCVPKLRRAIAALPVRLCVLTLKNVSAHGGVSRAECARVLTCRAFMSTCQWPMVLKAAQKTSGRANHWFRQTGSSRRHRVHGMVPDEAI >Sspon.07G0013140-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7A:47724949:47726117:-1 gene:Sspon.07G0013140-1A transcript:Sspon.07G0013140-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDMWLEVEAHQLHPAAIVIVVYCIFASYLGRERNQAAIDENVEKLKKVLEVYEARLSQSKYLAGDFLSLADLSHFTIMHYFMATEYATLVEALPHVNAWWEGLAARPAAKKVAEFMPVDVPGSPKKQE >Sspon.04G0013410-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4B:49378840:49379153:1 gene:Sspon.04G0013410-2B transcript:Sspon.04G0013410-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RNGGGKRSSKDRPGAQQNLKDDLHLLLLEIEGVGALVSLAREGSRRFQEGARKGKGRTRSLPHHLLEIEGVGALVYLAREGPRRRFQEGAWKGKGRTRSLPHR >Sspon.02G0041870-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:73625062:73626273:-1 gene:Sspon.02G0041870-2D transcript:Sspon.02G0041870-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPAAKSSSLLPALVIQAATAAAAAEPECPTKCGDVDIPYPFGIGGVCSHGKDFEISCINNGTTAVLQSDFHTMQVLSLSVEPPLAKVMLPVAYKCYNPETGYPVRESDGHVDLWSHGIFRISDDRNMFVVLGCNTGAFTMNSGEKRGGGGRYSHQYYAGCFTYCSEPGSPQDGRCASVGCCHVDIPPGLTDNAVHFESWPHDGMEHSPCDIAFLVGKDSYEFRASDLRMDVSQSSMPVWLDWAMGRRGDASCDWEYACENPNTECVDSLNGPAHYSAL >Sspon.02G0028400-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:102032756:102034984:-1 gene:Sspon.02G0028400-4D transcript:Sspon.02G0028400-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSPAASSSSYTDSTGSSSDSGSTSSGSDRRRRHRRHRSSSSRKEGASSSALKARKDRRSRHKRRRREREHSRRRSPSDDDSYSSTSSYDSDREVSGRSRKHKKSSRSRKSRERERSKDRHHKQDKSKHKEKKESEHADGPVQLSKFLGRDKEKEEGPQRSAISGKKIMMKLEKTKEDKAAESKRNELLKFLNASYD >Sspon.01G0010450-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:29239290:29254195:-1 gene:Sspon.01G0010450-1A transcript:Sspon.01G0010450-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-prolyl cis-trans isomerase PASTICCINO1 [Source:Projected from Arabidopsis thaliana (AT3G54010) UniProtKB/Swiss-Prot;Acc:Q7DMA9] MAHDAGDGGGELPPPAKKKSPAEEEAEKRRKKLTPGSLMKGIIRSGSGDATPAEGDQVILHCTTRTMDGIIVNSTRREHGGKGIPLRFVLGKSKMILGFAEGFPTMLKGEIAMPKIHYAEDDCPVAAPDGFPKDDELQFEIEMLDFFKAKVVAEDLGVVKKIVEEGKGWETPREPYEITARITARTADGKEIIPSKEEAYFFTIGKSEVPKGLEMGIGTMARKEKAIIFVSSTYLTKSSLMPQLEGLEEVHFYVELVQFIQVRDMLGDGRLIKRRVVDGKGEFPMDCPLHDSLLRVHYKGMLLDEPKSVFYDTRADNDGEPLEFCSGEGLVPEGFEMCVRLMLPGEKSIVTCPPDFAYDKFPRPANVPEGAHVQWEIELLGFEMPKDWTGLTFKEIMDEADKIKNTGNRLFKEGKFELAKAKYDKVLREYNHVHPHDDEEGKIFANSRSSLHLNVAFCYQKMGEYRKSIETCNKVLDANPVHVKALYRRGTSFMLLGEFDDARNDFEKMITVDKSSEPDATAALLKLKQKEQEAEKKARKQFKGLFDKKPGEISEVGVESEGGKDAGGARGSDDATSADRGANIMDSPTREPEYAFEEERPGLLGRLWPSARRIFSSLGMNRCTIL >Sspon.08G0026000-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8C:15572219:15573274:-1 gene:Sspon.08G0026000-1C transcript:Sspon.08G0026000-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RSEAEKINLVGKEELHMLGLEWSSKCDMIDNVVLEKLQPHQNLRRLLIKNYPIDTGFPRWIQSLPNLLVSMELSNVQVGHLHLDHLQNLEQLHVSSFSSLSELIESPFNTSSRHITKGFDNARSLLRISIMQPQKKLRRVIMEGVGKLVWESSCLSSENIFPGLEYLKIHCCLNVKFEPSIPKSAMYIISGSELRPWVFRWPSFNQVMGLSTSASSSKMEIKYSEELSEKLLSGLLDSLRQLDNEELTIDACTDTVPLPECIRGWKSLRKIEILNCENIKELPDWLGDMASLRELIVETHWGMKTLPPCIGRLTSLQTLALLKCTKGFKQRCSKGGDDWSKIKHIENLTVEV >Sspon.07G0009160-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:23964477:23968148:-1 gene:Sspon.07G0009160-2B transcript:Sspon.07G0009160-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PrfB3 [Source:Projected from Arabidopsis thaliana (AT3G57190) UniProtKB/TrEMBL;Acc:A0A178VAE2] MAADTSPAACARASASGLRTGRLAVRAAALLADGRGGDGAASYKKLGLYSLKKRIEDAVVRVETTASSALELEEARRIKQEEVLRNRNLWDNPAKSHETLSALADAIRVVDHLKDLRFKAEEAKLISQLSEMDAINGELFKQAYRSSVDASEHLDRYQMYKLFKGPYDKEGACVIVTAVSNGVTSELWAEKVFGMYTSWAHKQGYKVGLIEKIFSTSGHIQSAAMEIESEYMFGTLSGEKGMHQMIYSSLENSDIDQLAGLIS >Sspon.07G0017170-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:83698794:83700973:-1 gene:Sspon.07G0017170-3C transcript:Sspon.07G0017170-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVSSSSSSEQQASALTLLLLLPVLYISYHLITRALTTTKKTKKPTTHGLKSHPLLGHLPAFLKNRHRFLDWSTELIVASPDHRMGFWIPGMRTGIVTGNPADVEHVLRANFANYPKGEHATAMLRDFLGRGLFNSDGEQWLWQRKNASHEFSSRSLRRFVVDVVSDEVAGRLLPLLRRAADGDGRVVLDLQDVLERFAFDTICMVAFGHDPGCLCLADVGGAGVCWADDSKSEFMRAFGEAQDLIVGRFLDPVEVSWKVKKWLNVGTERRLKKAIADVHAFAMGRRPVAHSDETLRDIVLSFLIAGRETTSSALTWFFWLLSSRPAVTARVLAEVRAARASTGTRPGQPLGFDALRGMHYLHAALTESMRLYPPAPLDSQSCAADDALPDGTHVGKGWSVTYSAYAMGRLPAIWGDDCAEFRPERWLGDDGAFRPESPFRYTVFHAGPRMCLGKEMAYVQMKSVVASVLEEFVVDVRKEVAGGGVPEHVLSVTLRMKGGLPVQKIANSVEDA >Sspon.01G0021830-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:83552394:83554637:-1 gene:Sspon.01G0021830-1P transcript:Sspon.01G0021830-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEKLWDDVVAGPHPETGLEKLRKATTARPLVIDKEADAGAAAGSYKRTQSMPSTPTTPVTPSSSTTTPRGASNVWRSVFHPGSNLATKGLGANLFDRPQPNSPTVYDWLYSDETRSNHR >Sspon.02G0034150-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:12981626:12986795:-1 gene:Sspon.02G0034150-2C transcript:Sspon.02G0034150-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MWTRKRATLMRSSRTGEWSTAMGQTGFVSRLLEKPQARRMRTWRRMMTTMTTLRRRMIWGEEIDEDVDDEDAVVEVHDVPSSDEEEDGVEEEEEDEEDDEEDEEEEVEDEGEEAEPQSSARVAM >Sspon.08G0008790-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:32739932:32746064:1 gene:Sspon.08G0008790-4D transcript:Sspon.08G0008790-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRPVEEALLAAGEPEAEEEILSVREELKKQLWLAGPMIAGALLQNVIQMISVMYVGHLGELPLAGASMANSFATVTGLSLLLGMASALDTLCGQAFGARQYYLLGIYKQRAMFLLTLVSLPLAVVWFYTGEILLLFGQDADIAAEAGTYARWMIPLLFAYGLLQCHVRFLQTQNIVLPVMASAGATAACHLVVCWVLVYPLGMGSKGAALSNAISYWVNVAILAVYVRVSSACKETWTGFSTEAFRDALSFFRLAVPSALMVCLEMWSFELIVLLSGLLPNPTLETSVLSISLNTATIVWMIPFGLGSAISTRVSNELGAGRPQAARLAVRVVVLLAVSEGLAVGLILVCVRYVWGHAYSNVEEVVTYVAKMMLVIAVSNFFDGIQCVLSGVARGCGWQKIGACINLGAYYIVGIPSAYLFAFVLRVGGTGLWLGIICGLMVQVLLLMIITVCTNWDSEATKAKNRVFSSSSPASET >Sspon.02G0006690-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:20132564:20136302:-1 gene:Sspon.02G0006690-1A transcript:Sspon.02G0006690-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFNGLGNSSLDFIANLNDSKVKVGQNLEEDTQSIINMTMNVTSGWCIVKEELIFPAGGAPFSSCHASTIVQIDEQNFLVAYFGGSREGAPDVKIWLQRYSDGHWHPPVVADEQDGVPMWNPVLFQLPSRELLLFYKIGQEVQKWSGAMKRSLDGGATWSQREQLPPGILGPIKNKALSWNLMPTSPRQPFLLEDGRLLCGSSVESWNSWGAWLEVTKDAGRTWRKYGPICIEGQSLGVIQPVPYRTDNGTIRVLLRSFDTIGHVCMADSLDEGVTWSYVHETQLPNPNSGIDGVKMKDGRVLLAYNTFSRGTLKIAISSNDSDSWDEVMILEDTKGMEFSYPAVIQSMDELIHVTYTYNRTQVKVTKDAGRTWRKYGPICIEGQSLGVIQPVPYRTDNGTIRVLLRSFDTIGHVCMADSLDEGVTWSYVHETQLPNPNSGIDGVKMKDGRVLLAYNTFSRGTLKIAISSNDSDSWDEVMILEDTKGMEFSYPAVIQSMDELIHVTYTYNRTQVKHVVLQPNAMVKLTPLEHRNFTETV >Sspon.01G0031230-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:107115884:107116986:1 gene:Sspon.01G0031230-2B transcript:Sspon.01G0031230-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VGKSCLLLRFSDGSFTTSFITTIGIDFKIRTVELDGKRIKLQIWDTAGQERFRTITTAYYRGAMGILLVYDVTDESSFNNIRNWIRNIEQHASDNVNKVLVGNKADMDESKRAVPTSKGQALADEYGIKFFETSAKTNLNVEQVFFSIARDIKQRLSETDSKPEDRTIKIKAEGEADAAAAQKSACCGS >Sspon.02G0011530-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:30759806:30762695:1 gene:Sspon.02G0011530-1A transcript:Sspon.02G0011530-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF296 domain containing protein [Source: Projected from Oryza sativa (Os09g0491708)] MEVSPSPEQGVMAGREPFGLPKTPVTPPSSGGTQSLRMAYTTDGTAIFTPVSSVPPATATYQPVAASSLAGVGGNGGAPVHPGGAGEPVAKKKRGRPRKYGPDGSISLALVPASMAAAPAPAPAPPAPGASGPFSPNDPKAPNTALSASPDGAKKRGRPKGSTNKKHVPALGPAGAGFTPHLIFVKAGEDVSAKIMSFSQHGTRAVCILSANGAISNVTLRQSATSGGTVTYEGRFEILSLSGSFLLSENDGHRSRTGGLSVSLAGPDGRVLGGSVAGLLTAASPIVVGSFDADGKKEPKQQKLAPSPSDPSPAPLKLAPPTTGVAAGPSSPPSRGTLSLSESSGGAPSPPHAGASGGHGQQQPQPGGFSGLSWK >Sspon.02G0011440-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:24554621:24558544:1 gene:Sspon.02G0011440-3D transcript:Sspon.02G0011440-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetraketide alpha-pyrone reductase 1 [Source:Projected from Arabidopsis thaliana (AT4G35420) UniProtKB/Swiss-Prot;Acc:Q500U8] MPPESINIPVDAYKRRLVWIGAQHHLPLSENMVSSNKGKVCVTGASGFVASWLIKRLLESGYHVVGTVRDPGNHQKTAHLWKLPGAKERLQIVRADLLEEGSFDKAVMNCDGVFHTASPVLAKSDSSSKACRICFKQSTLSSVITIMLHMHLNHPTVVFSFRVLCINVKLNCFHVIFMLQEETLVPAVNGTLNVLRSCKKNPFLKRVVLTSSSSAVRIRDDDQPNISLDETTWSSVPLCEKMQLWYALAKVFAEKAAWEFAKENNIDLVTVLPSFVIGPSLSHELCVTASDVLGLFQGDTARFSSYGRMGYVHIDDVASSHILVYEAPEATGRYLCSSVVLDNDELVSLLAKRYPIFPIPRRLTEQPLRQAVVSAEHVEAAGAGHQVQRSAGDVRRLCPVAQRSGPPAGVPPVMKIEGERGLVPDKNRTRWLPVNLFQ >Sspon.02G0028960-4D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2D:99655152:99657994:1 gene:Sspon.02G0028960-4D transcript:Sspon.02G0028960-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARCLALVALLLLGLAAAAAADAPFVVAHKKVSLSRPKPGVERVAVSLDLYNQGSATAYDVSINDDSWPTEAFELVTGEKSKTLERLDPGATASHTYVLETKTQGRFQGSPAIITYRVPTKTALQEAYSTPIFPLDILAERPPEKKFEWRLVAKYGSLVSVVSFVGLFIYLVATPSKSSSKASKKRR >Sspon.01G0014060-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:46338923:46339988:-1 gene:Sspon.01G0014060-2B transcript:Sspon.01G0014060-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSASLRLAVVGAGAAGLVAARELRREGHAPVVFERAAAVGGTWLYTPAATSSDPLGAAATHSSLYASLRTNLPRETMGFLDFPFAAAAAVGSRDPRRFPGHEEVLRYLEAFARRFDLLRLVRFETEVLSVRREDDGGRWAVTSRKLGEKGSGEEEFYDAVVVCNGHYTEPRIAVIPGVDAWPGKQMHSHNYRVPEPFLDQVVIVIGASASAFDISRDIASMAEEVHIADRSAPASTCKKQPGYDNLWLHSM >Sspon.08G0016670-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:62036638:62037333:-1 gene:Sspon.08G0016670-1P transcript:Sspon.08G0016670-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSLRFLRPTKNGGTGGGGGYFDGVALEVTVLSADSLRLPPSYSPLPRRLRPYVTVSSSDAPGSACSTAVAPSGGTVHAWGDTLVVPVGAGFLEGRAHVHVAVLSEPACRLVGATPLGWCGIPAADVLDGLRPPRALRRLSYSLRCPRRGGGAPPGSPAWGHGVVHLAVRVLGLRDDAPATTTEPAAATTTPVQQGWCRVAMGIPVSGPSSAAASAVVGMPLSSWGASATS >Sspon.03G0035880-1P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3B:85540111:85540935:1 gene:Sspon.03G0035880-1P transcript:Sspon.03G0035880-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDLLPSCFMSPFSADGGHHNLTYGAMDDLLPPCYPPCSMSPFSTDDHHQSPAAEFLSCDALEKWLLGNDDVLDNHEDEDPQDTGSSLPPQPAAAPLTERKRGRKHASGSRAAGTTITHVEAERLRRDRLNRLFCCLRAAVPTVTGMDRASLLTDAVGYITKLRGRVEQLEAEAEAKRTTAASLSQLPLLIGGSRQELEVRMHGRDAAALRLMTTAARHAPAHLMAALCALDLSVQHASVCRVGGVTVQDVVVGVPAAGLRGEDSLRTALLHKLL >Sspon.01G0012290-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:36457164:36460693:1 gene:Sspon.01G0012290-3D transcript:Sspon.01G0012290-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARATNAHHHHRLLLLCLSLAAAATTAWAHGGGDGDSDADADADADGGAGAARPDLRARGLVEAKLWCLAVVFAGTLLGGVSPYFMRWNEAFLALGTQFAGGVFLGTALMHFLSDANETFGDLLPDSGYPWAFMLACAGYVVTMFADVAISYVVSRGRTAAGSGSSAAGLEEGKIGTTNGTISDPTPPETHGSDHSAASMLRNASTLGDSVLLIVALCFHSVFEGIAIGVAETKADAWKALWTISLHKIFAAIAMGIALLRMLPNRPLLSCFAYAFAFAISSPIGVGIGIIIDATTQGRVADWIFAVSMGLATGVFVYVSINHLLSKGYRPRRPVAVDTPVGRWLAVVLGVAVIAVVMIWDT >Sspon.08G0012880-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8B:51452289:51455027:1 gene:Sspon.08G0012880-2B transcript:Sspon.08G0012880-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEAAGRERPPWRCTVAVQAALCLALYAAFSLGEPQLIPRGGGAGGVDALGRGARGGGGVAFLSVAGGARGPIEKARLLRQVRAFALIHSLRDLFCLTRTFRRLVPPVPGGSVLHLVPSVCDFALSLFPLLRDVRHFVRGPLVDLTSSPRLHFALSTCVHAPVLLTSDAFTYMEMETIAKVYEVKFVLDVAQLGEDDPLWQNGSLYFQALNIPWYSTKLSHGRRVGNFMKKVKMPYDQVLDITGLNTWALQESMLINVEGKLVQRSVVQQHGTGAM >Sspon.02G0024700-1P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2C:89988618:89988830:-1 gene:Sspon.02G0024700-1P transcript:Sspon.02G0024700-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFGSGYFRSVFILIREAVLRPRQQQLRVGAGVRPLHAGGVERLHAIGCARVVCDNNAGVFITCNYNPRGN >Sspon.02G0028050-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:103198437:103201029:1 gene:Sspon.02G0028050-1T transcript:Sspon.02G0028050-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAEERGPRRSRVAFVLVDGIGDVSVPSLGGRTPLEAACAPRLDALAAAGVTGLMDPVEPGLACGSDTAHLSLLGYDPRVYYRGRGAFESMGAGLAMAPGDIAFKSNFATLDESTGVIVSRRADRHFEEEGPILCAALDGMKLTSFPEYEVRVRYATEHRCGVVVKGPKLSGNISGTDPLKDNRLHLKAEPLDDSEEAKNTAAVVNELSKEITRILVSHPINAKRAAEGKNIANVVLLRGCGIRIEVPAFETKHGLAPCMVAPTKIIAGLGLSLGIDILEAPGATGDYRTLLTSKAKAIAKALSAPMDTPPRVFVPGEDEYKAGRENGYDFGFLHIKAIDDAGHDKAVKLKVRGLEAVDRAIGQLARLLWEAEKAGHYQYFLCVTGDHSTPVEYGDHSFEPVPFAICRLRDYAAAIGEDNVINTQLDDFPLPSVKSGEDLLDDIESLERKPDQLKAFSGDAVCEFNEVATARGCLGRFPGSEMMGIIKKFIKAKND >Sspon.01G0030330-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1A:105470796:105472256:-1 gene:Sspon.01G0030330-1A transcript:Sspon.01G0030330-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPAAAGSSMLSGLSSGVVLSLIAVLWTVVWRNLQHLQLQQFFARHLRRHARRLAAMVDPYLSVTIAEYEGGRMKRSDVYEEVKAYLSDATARDVRHLRAEGAKDADKLVLSMSDGEEVEDEFQGANVFWEAYSKQPQRSDGAVAFWGGGGAAREERRFYRLYFLERHRSLVLDTYLPRVRQLGRAVMVKNRQRKLFTNIYSSQWSHVAFEHPKTFATLAMDPVEKKRITDDLDMFKNGKDYYARVGKAWKRGYLLYGPPGTGKSAMIGAMANYLDYDIYDIELTSVHSNTDLRKLFIETTSKSIIVIEDIDCSLGITGARKKAAAAEEDDKDKKDGGPSKAGVKKDTSSKVTLSGLLNFIDGLWSACGGERIIVFTTNHYQKLDPALIRRGRMDKHIEMSYCGFEAFKFLAKTYLDVDSHPLFDAVGELLREVQMTPADVAENLTPKSLDDGLDSCLEDLVKALEEAKEKKASGGDQQDQQDEEE >Sspon.03G0027540-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:5969022:5974027:-1 gene:Sspon.03G0027540-1B transcript:Sspon.03G0027540-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTHSSAYLLPAPTAPSNPSGGADTTTYALVVLNQRLPRFAPLLWPARLRVCADGGANRVFDGMPELLPGEDPAEVRTRYKPDVIKGDMDSIRPEVKEYYSNLGTNIVDESHDQDTTDLHKCVSFITSDLPVPEKSNLCILALGALGGRFDHEMGNINVLYRFSNIKIILLSDDCSIFLLPKTHSHEIHIEKSVEGPHCGLIPMSGPSNITTTTGLRWNLDNTSMRYGGLISTSNIVDDDKVTVTSDSDLIWTISLRN >Sspon.01G0022600-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:82369917:82381446:1 gene:Sspon.01G0022600-1A transcript:Sspon.01G0022600-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAITPATMLLLHLLLLSFSSVPISLALAPGVAKSDDTAALLAFKAAAVGGSSTSGGRGAMLASWNGSAAGPCTWDGVTCGRRGRVVALRLPSLGLSGTLSPAVGNLSSLRLLNLSSNWLRGEIPASLGRLRRLRTLDLSVNTLSGAVPGNLTACTGLTRLVLASNKLTGPVPAELGGALKRLEVLVLINNTLTGRLPASLANLTSLRYLVLGSNGFEGPLGRGMARLECVNLCGNRLRGELPPSLYNVSSLMFLDVGQNTLHGGIPAEIQAQLPRLQFLVLLENQFSGAIPSSISNLTQLRVLELSGNGFSGFVPLSGETATGGNMLQAGKKKKMEGWEFIDSLANCSMLKVLGLGHNNFTGDLPVSVANLSTTLEHLYLDDLAISGSIPSEIGNLVGLKVLILANTIDISGVIPDSIGKLENLTELHLDNNNLLANLNTLSLSGNQLWGQIPTTIRNCIVLEVLLLDSNSFQGSIPQPLGDIKGLRVLNLTMNRFSGVIPDALGDIHSLQQLYLAHNNLSGLIPTDLQNLTSLSKLDLSFNDLQGEVPKEGSFRNLSYLSIAGNKNLCGGIPQLRLDPCPMSVVRKNNKSKRGLKYVKIALATMGALLFLALFIAVIQFIYKNCKQRQKRSNPLAPVISGEQYERVSYKELSDGTKGFSDANLLGKGSYGTVYKCTFIDEGTIAAVKVFNLEQSGSTRSFLAECEALRSVRHRCLIRIITCCSSIDRQGQEFKALVFEFMPNGNLSSWLHPKSNEPKNTLSLTQRLDIAVDIMDALDYLHNHCRPPIVHCDLKPSNILLAEDMSSRVGDFGISRILSESACKAYPNSNSVAGIRGSVGYVAPEYGEGCAVSILGDVYSLGILLLEMFTGRSPTDDVFGDSLDLHRFSEDGFPDRILEIADPNLWVHPDADDNSITRKRIQECLLTVIRLGLSCSKHQPKERMPIRDAAMEMRAIRDEAYLMFTGSLVVNVE >Sspon.02G0013440-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:35765087:35768629:1 gene:Sspon.02G0013440-1A transcript:Sspon.02G0013440-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKPVDVELGGGAGGLEIAGGGGGGGGPWGGRVFGAIGRAVSFRCVFMLLLAAGVLVPALFLLVPSRQELGYLSDDHDVLAAEIKVGFTLEKPVSFLTSHMDKLGNDIFEEIGVPNSKVSIVSMDPLTSKYSTHVVFGVLPYPKDASISLPALSVLRSSLIEMMLQQVNLSLTPSLFGHPSSFELLRFPGGITVIPAQSGFTWANTDPLFNFVLNSSIYQILGNLTELKDQLKLGLNLRSYEKIYLQFRNQIGSSVEAPATIVASVLDGSSNLLPDRLRQLAQLIREPDARNLGLNHSVFGKVKGVQLSSYLQHKISDLSPSPSPAPAPSPSQSLSPSMPPLLSPFGSIPYPAPRPALAPSWHRHPCFPCFRCINPSHAGSSMLKPPCIVRDPIMPSPPKYLHPADPPPAHIDPPRPLPNSNHFPKAVSGPTSQMMPTPSPPRPVSQHSMPPRKKRNSRTSKSSSIAPSPY >Sspon.02G0033280-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2B:5774562:5775104:1 gene:Sspon.02G0033280-1B transcript:Sspon.02G0033280-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding KVYAVSRRPLPPWSLAPSLAVTHLHLDLANTAVSEALGPLTDITHVFFVACTSRTTEAENQEDNTAMLRNVLSVVVPNCSALVHVCLQTGHKHYVGPFEAIGKVATPDPPFTEDMLRLDCPSTSSLLALQYLIAMIGLFVEASRDFAGNWTRHQPMGRIWIWSLCFLDSGGYLLEGSIQL >Sspon.05G0028420-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:63268512:63279416:-1 gene:Sspon.05G0028420-2C transcript:Sspon.05G0028420-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLESSQLPLLVSDPDPIRLDHIQKLPLHPASNLIPYVWFFDIDLPNLDPSSSLLQNFPICAKICVVLCSCVKSPGMASKSSLLNTLPTNPPAQFLKEITDGFSDARKLGEGAFGTVYEGILQDGEMIAVKKLSDNAPVSPEKQFTIGVGNLMAMQHQNIVRLLGYCHEPQKKVIEHSGRYIIVDRDACFRPDWKTCFEIIKGICQGLRYLHKEMDRPLVHLDLQPSNILLDENMVPKITDFGLSRLFGEEQTRINTINVRETWTDEHIASKHSALLDAGSLQEVRTCIEIGLKCVDVDQNKRPSIVEIDPSCTLQLGLGSFKCSSPGGSSRRGRMIAVKRLAENAPVPAGITFETEVTNLMALKHENIVELVHYCHESQKKVVQHNGRYVIVDVIESCLCYRYLPKLSLDKHVYDSATSINWDTRFKIVKGICQGLHFLHKELVGGPLIHMNLVPNSIWLDDNWVPKIADFGLSRLFGKEQTRMYTVNVKGHNGYIAPEYLYRGEISTMSDIYSLGMIILEITTRERNYSASEDKSARQFVDNIHQNWKTDEQIMYKYPLLDPNGLQQVKACIIIGLKCVEADRNKRPSTADIVDKLNGKRVQIFDQVSPSQRA >Sspon.01G0004940-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1A:13296049:13298949:-1 gene:Sspon.01G0004940-1A transcript:Sspon.01G0004940-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLMLLICRPSVRTDLYKSPAHIVPRSRRLRPRHLLAQRAVRLCSGRTPPTPRALHKLAPPVAVAFHPPALAPIEVHVVDEFYTDDLRASVELYIDQLHSSKRLRLARLRAANGSGRPSSGRVTGGRGNRRRVYSDEHELVSAHAAFDRDGCVPASHIHMPCEGDSDQVSVPLASSGLVVSHSRAVPAAPRRPLLSGRHRTREKKKFKNVVQMVIWRDARTISVVFRCGSRELNVIDQTCEAVFCGFCLRSADGGVPPILLHVEALEKVHSCGSVTGASVLLIVIVKDGSVRRPKE >Sspon.01G0060530-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:80883508:80883878:-1 gene:Sspon.01G0060530-1D transcript:Sspon.01G0060530-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQAAEVTNAPNAKRGAQANPAALDTLRPSPHAPAAAHSFASEELQFWSLARA >Sspon.05G0018120-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:76192263:76198875:1 gene:Sspon.05G0018120-1A transcript:Sspon.05G0018120-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GRSVHAPCHGIDGNNQSPKARAPPRRAFSNPASGSPFPFRVSPLPSPRRRVRNSIPHTFPSREQKQQPFQRGRSPLPAPSIGPSPTAAAPRPRPPAPRRIYSERASVTRGFRRRHRHPPARRPLASQVKQKIPTQPPPRPGACCCASLYLNQPSATATPTTPPAASPASSPSPPTIHASKRRRLGTAATGNCHPKGGGGSAPRCRSSTTPPPRLSQPEAGFDPLDPDADPPPRLLTADELRRCNKALKALENKLGRPAKLAKEFYSLPVYRTELQSAQKFSVARKQENRGRNRYTDVLPCSRTRVRLESSTGNDYINASHIEVKGRNLTKFISTQGPLANTIEDFWQMVYDNHCPVIVMVTKFDGLKVLEYLPLSKGQDIFGKFTIKITKVRKDGQLVLRGVEIRRDEVNIYKVVYMLSVLGKVRSLLHIEYPEWPDHGVPNGSADVRRILKRLYYIPRERPVVAHCRFVIGRTGAYVTIHNTIERILLGEQGAVDLVETVKKFRSQRPGMVQTEVWTSYSTVASPVLKSSQWVRSSAYPRAKRNAPVPPTTGSSRTASSGQLRPSSASVLPL >Sspon.03G0020950-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3B:86715178:86716221:1 gene:Sspon.03G0020950-2B transcript:Sspon.03G0020950-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSDTAPRVVEDYRGVIQLLSDGTIVRSDPAVLRPPDEHFPDVPAVQWEDVVYDAAHGLSLRVYRPAAATAGDAATEKKKKLPVLMYFHSGGFCLGAFSQPNFHSGCLRLASELPAVVLSADYRLGPEHRLPAAIDDAAAALSWLRDQHATAVGVADAHHHPWLAESADFTRVFVAGESSGANMSHHVAVRHGSGHLALAPLRVAGHVLVTPFFSGVQRTAAEASPSPAVSPSFTTEMADKMWRLSLPVGATRDHPVTNPFGPDSPALDAVAFPRVLVVSAGRDILHERVLRYAARLEEMDKPVEVAVLEGQEHAFFSRQPWSEGTNELIRVVKRFVYRENDATSAE >Sspon.07G0001490-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:2265336:2269479:-1 gene:Sspon.07G0001490-2B transcript:Sspon.07G0001490-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHPTPAAPSGVLLPSLAHTTRPHAGTLAVATSALIRLLILASPTSPPLPSHPSLSLHDSTSRPPRARSPHPTLPPGPSHPSIAVAMQLASEHPAGGGGSAEGEAFVPRGGPQQGLRRRTGPVPLDFSSPRSGRAGDGRRSTFREDVGHAAAETYLVTGLAFTLLGYLGVGYRWISQLIALLVYAVLLMPGFIKVGYYYFFSSQVIRSVVYGEQPRNRLDLYMPRDNSKSSPVVAFVTGGAWIIGYKAWGALLGRRLAERGIIVACIDYRNFPQGTISDMVSDASEAISFICNNVVSFGGDPNKIYLMGQSAGAHIAACALLEQAVKESKGESTYWNVAQIKAYFGLSGGYNIQNLVDHFHERGLYRSIFLSIMEGVESLPRFSPEIVAKKLSAETIYLLPQIVLLHGTADYSIPSSASETFADVLKQAGGKVELQLYEGKTHTDVFLQDPLRGGRDKMLEDVLSVIHVDDASARERDASAPTPERLVYEWQIKLARQISPF >Sspon.08G0009440-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:41445453:41465666:1 gene:Sspon.08G0009440-1A transcript:Sspon.08G0009440-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAATEPCCISHAFERAARQEPGRLAVIHAAASGGDGEERRFTCGDLLAAVGSLSRRIAAALGGTPTDTRHDREQGPGFRAAGAVVPRIVGVYASPSVEYVAAVLAVLRCGAAFLPLDPWWPEERVASAVSASNAALVVSSVGSRGATLFESSACPVLRLDGGIRRWFQDEYGGDGGEELAWPCEREWRRKFCYVMFTSGSTGKPKGVCGTEKGLLNRFLWMQRRNPLCSDDVLLFKTSVSFVDHLQEFLSAVLTCTTLVIPPPSEWRANPASLANLIKVYHLSRMTLVPSLMEIILPTLAKKLSDGCNPLKILIFSGEVLSVSLWKRVYEVLPEATIINLYGTTEVSGDCAFFDCKDLPTILEREKLNSVPIGFPITNCEVSLVTDNGLADEGEISVSGACLFTGYLADPMTSNCPEGSEILAYYRTGDFARRLKTGELIFLGRKDRIVKIYGQRFSLEEVESTLREHPDVSDAAVTFQSNGSLDFKAYLVLKSNDQFPKCTQGFSGLNSSKDVIAPLRNWLITKLPLAMVPRLFIPMKSLPLTLSGKIDYVKLSSLDCALEPCEIEPESSPVDPHMHVIKKAFSDALLVDEVSEYDDFFTLGGNSITAAHVAHKLEIDMRLLYIYTTPSKLFNALLGERSHVVPPTPEFHNRKRLRKSASISDSFDPVSAYKDNNFHGQGQISKEGAYNQFAGNHVNETDGQLNKSMTYDAYRAKNLCPDTCSNDEISSGSPWILNFDLQKKWSIGRCNRFMHGYEQMLQLEDMFSYVPFNKKGFLMKLWSILLDSCVDASPLLVMSNGMMSIFIGSHSHLFLCIDGYSGSVRWSVKLEGRIECSATITGDFSEVVVGCYKGKIYFLDMSTGKLSWTFQTDGEVKMQPVVDKMRNLICDRAMVVGVNGLVMALNSQGSIVWKATVGGPIFAGACLSPTLPHQVSGALLWVYKVGDPITASAFVDELLTSESSRPSERFACICTSSGKVHVIRIRADAKYNQEQTGEGVKSEELVQGVASIDLPGDIFSSPLMVSKSDK >Sspon.05G0007690-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:16076838:16077766:1 gene:Sspon.05G0007690-2C transcript:Sspon.05G0007690-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIQCDACEAAAATVVCCADEAALCARCDVEIHAANKLASKHQRLPLGDGDAAPSLPRCDVCQEKPAFIFCVEDRALFCRDCDEPIHVPGTLSGNHQRYLATGIRVGFSSVCGAAGAEGIPPPAPPKGGSKPAAVGAPAAGGATKTTTVKDTLPQEVPSSPFLPPSDSPLGFKELEWFADIDLFHAHSPAKTTTAEVPEFFASPQPASNAGFYKTNGVARQSKKPRMEVPEDDEDYFIVPDLG >Sspon.03G0025070-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:94313381:94314951:-1 gene:Sspon.03G0025070-3C transcript:Sspon.03G0025070-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASVWQQLPPHRLHSPPLLSLPSNISSSACPPVYKYKKHAAPGRGNLLLCSASGAASSVVTKEQEEAASDPSSEEGSPEPEIYNYKDDPNFRGCKGCGREEVERGCNGEGRIQGGIAAVPGFGWWPIKAYRPCPGFVASGGRYRRQGQSMDDVASGRGKKKPSPAKNKSDNK >Sspon.06G0029690-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6C:26786033:26786910:1 gene:Sspon.06G0029690-1C transcript:Sspon.06G0029690-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ELIPGCTRSSAPGLTGAWAPGQRDPPVSRSRAGTALTGGSLAAGEVSGDGEGTNVLPAPRRTQRTNPEERGSSGGSSPAEMAARRRWCTSTGQCRSRERPRKRARAPRARAAELARARDGGKRGGAEAGRNWPEKRLRARAAVAELRRRAVARASATTSLLLLASGEANEGSSRGGRARGGSRRARGTRGPLEGACRAASPSFSHAAADFCHGATASRAWARAGGDRRGRGPGWLRIAGQKGGRGPTR >Sspon.02G0009220-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:26246282:26250190:1 gene:Sspon.02G0009220-1A transcript:Sspon.02G0009220-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:TIM [Source:Projected from Arabidopsis thaliana (AT2G21170) UniProtKB/TrEMBL;Acc:A0A178VN28] MAAAPSSLASSHLSRLADLRRAAAVATPAVPQQLRIGCSRRRAQRVVAMAGSGKNGTKDSISKLVSELNSATLETDVDVVVAPPFIYIDQVKNSLTGRIEVSAQNVWIGKGGAYTGEISAEQLVDIGVQWVILGHSERRHIIGEDDEFIGKKAAYALSQNVKLIACIGELLEEREAGKTFDVCFKQMKAFADSISNWADVVIAYEPVWAIGTGKVATPEQAQEVHAAVRDWLKTNVSPEVASSTRIIYGGSVNAANCAELAKKEDIDGFLVGGASLKAPDFATIVNSVTAKKIAA >Sspon.03G0031180-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:33054835:33061767:-1 gene:Sspon.03G0031180-2C transcript:Sspon.03G0031180-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDCVVEDGHGHHHSEAEAVEGAVPLTVAVELDERGESREDGGGQRKKVGGIRREPSFSRWCRDPSVAAASNTAAAAATSDSDDSEEFELPLLPSSSGGGSSPMDIEAGAMARSDDLPISPRLLAKVIGLIACWYTLSTCLTLYNKEMLGKHMWKFPAPFLMNTVHFTLQAVASRTIVWFQQRGLEGGPSKMSWKDYCLRVVPTALATALDINLSNISLVFITVTFATMCKSASPIFILLFAFMFRLEKPSFSLLGIMLVVSFGVLLTVAKETEFNLWGFIFIMLAAVMSGFRWSMTQILLQKEEYGLKNPFTLMSHVTPVMAIVTAIISIVMDPWHDFRASHFFDSSAHIIRSSLLLLLGGALAFFMVLTEYVLVSVTSAVTVTVAGIVKEAVTILVAVLFFHDPFTWLKALGLAIIIFGVSLFNIYKYKRFKKGHHNEDTGTNIQSSNGTSKYMKINTYM >Sspon.02G0022680-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:76645020:76646622:-1 gene:Sspon.02G0022680-1A transcript:Sspon.02G0022680-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETQHQQEGGDGASPDLHVVVFPWLAFGHMIPFLELSKRLARRGHAVTFVSTPRNAARLGAVPPELSARLRVVALGLPDVEGLPDGAESTADVPPEKVGLLKKAFDGLAAPFADLVTALACADAAGGTVAVATLGVGFSRKPDFIVLDFAQNWIWPIAEEHEIACAIFLILPASIMAFLGPRHENETHPRTTTEDYMVQPPWIPFPTTMAHRRHEAEAIAAVFRQNASGVSDMDRFYEMQRPCCRLIVLRSCPEAEPRLFPLLTDLLAKPVVPSGLLLPDEVADDDDDQSFADVMRWLAEQPPRSVIYVALGSEAPVTADHVRELALGLERSGARFLWAVRRPAGHPSGGALPLLPDGFDARVAGRGVARTGWVPQVRVLAHAAVGAFLTHCGWGSTVESIFRFGLPLVMLPFVADQGLIARAMAAHGVGVEVPRDEHDGSFRLPRGRRRGDGAAGDGGGRGSGARAQCQGAAEGCRG >Sspon.02G0031240-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2B:108055900:108058195:1 gene:Sspon.02G0031240-2B transcript:Sspon.02G0031240-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDSDPVMDEFYRSCEFPPVPDSEPETVSSAKPYCSAPIQDVLHVQKEEPPSKVVKSDSSSLDEIKRIMDGSNHYEVLGVPRNRTIDQKTLKKEYHRMVLSDFTKKNSYDEQLRKEESLKMTPRSRVASQQSGVEFLPDESRRIQCTKCGNFHIWICTKRSKTRARFCQGCGQFHQAKDGDGWVETSFETRFSTSIKMEIPRAFVCAESKIFDVSEWATCQGMECKPNTHGPTFMVNMVGTDRMPQRSYSSRYPFSLDAEMIPEDEFELWLQQALASGVFADSPKRRKSWSPFKLPQKGIKSWRRSS >Sspon.01G0055950-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:85429672:85431465:1 gene:Sspon.01G0055950-1C transcript:Sspon.01G0055950-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DDITIEVIIKRIEEILGLPHGTEEGESVLLPTSAPNQHPEILKKAISKPIFKQMLIGATDLQKLMTKVDSKVKVRCFLMILLNRASLTWDLNCVAKIDWCKLVFEHLSDCIKNKHKDNNFSDCCLRALVPSQCPKTEPSVLGYDNATLKHLLNLIKRTVGIKKECDTDVSMEENRSFPESEEEIHAIMKDMADHLNVVKYMLPTEFCESIQRTLETFDALTKRFRERTIFLRGLREDKNIMSRLVIDENE >Sspon.06G0007280-3C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6C:25684862:25685100:-1 gene:Sspon.06G0007280-3C transcript:Sspon.06G0007280-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GRGGGPRRGHGGARPPPEAPPPGPLPVRTLRRGGPCSWRRRAVPAAEGRRSGLPLPGRRRRTPSHTHQALLLTLHPFR >Sspon.01G0061540-1P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:94744410:94752394:-1 gene:Sspon.01G0061540-1P transcript:Sspon.01G0061540-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSLYPLDLPLDDVKTITDEGTDKMYYELPVGNRRPMCPGKGLPYCSSKKGEANQLRGSDRHPTPLLCTSLYIPCPLRFAWFLHQASGLGPPPRLPFPPVRVRIRAASQPPAHRSIDILLLTCSQFRSIDRAPLVLCAVSSCRWVVANDDEELIDPSPPADRPPDARLDSAIELICLLLYGVVIRTFIDRRLMIMQGTPVNIIVGSHVWLEDPGEAWVDGVVTEIKGGGDATIATTSGKTVVASLGSIYPKDTEAPPSGVDDMTKLAYLHEPGVLHNLSCRYGLNEIYTYTGNILIAVNPFQRLPHLYDVHMMEQYKGASFGELSPHLFAIADACYSSSSSFFLVAVDGKNFRALINDQGSQAILVSGESGAGKTETTKMLMRYLAFMGGRSGTEGRTVEQQVLEFVEIQFDKYGKISGAAVRTYLLERSRVCQVSDPERNYHCFYMLCSAPPEDVKRFKVGDPRSFHYLNQTNCYEVANVDDAREYIETRNAMDIVGIDQEEQDAIFRVVAAILHLGNINFSKGQEIDSSKLRDDKSVYHLKTVAELLMCDEKALEASLCQRVIVTPDGNITKPLDPDSAALSRDALAKTVYSRLFDWIVDKINNSIGQDPDATNIIGVLDIYGFESFKINSFEQLCINLTNEKLQQHFNQHVFKMEQEEYTREEIDWSYVEFVDNQDVLDLIEKKPGGIIALLDEAWYDLCMFPKSTHETFAQKMYQTYKAHKRFSKPKLARTAFTINHYAGDVTYQADQFLDKNKDYVVAEHQALLNSSRCPFVANLFPPLPEETSKQSKFSSIGTRFKQQLQSLMETLNTTEPHYIRCVKPNAVLKPGIFENYNVLNQLRCGGVLEAIRISCAGYPTKRTFDEFIDRFGMLAPELVDSDEKAACAAICDRMGLKGYQEARETGALKEAKDKLEKRVEELTWRLDVEKRLRADLEEAKGHEIEKLQSALQKLQENLEEAHVAIVKEKEAAKLAIEQAPPKIVEVPVVDNAKLEELTTQNKELEKSSHIHIWIQDELSTFKQKAEDLENKLLELQKQSDELSQETQEQASKVTQLQELIERLEASLSNMESENQVLRQQSLVVTSADEDKSKQIERLESKIATLESEIELLRSNSTLAVQEVVTPEMNQTTVIEELDKGHQLEEVKTVNEQVVVPPVKNLSKQKSLTDRQQTMKHTED >Sspon.06G0009770-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:43218103:43220556:1 gene:Sspon.06G0009770-3C transcript:Sspon.06G0009770-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCAKCEKKLGKVIVPDKWKEGASNTNESGGRKINENKLLSKKNRSVRNVWEASVGHEALQAKQ >Sspon.02G0002090-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:6657542:6660832:1 gene:Sspon.02G0002090-3C transcript:Sspon.02G0002090-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTWWPWPWSWQASALAAAAWLCLHLAVARLMEALWWRPRRLERHFARHGVRGPGYRFFFGSSIELVRLMVDASSRPAPPQAPHDVLPRVLAFYHHWRKLYGPMHLIWFGRTPRLVVSEPELIREVLLSRSEHFDRYEAHPLIRQFEGLGLSNLHGDEWARRRKILTPAFNAENLKLLAPFVADTVQRMLEELVLLPSSAAAGRSSEVEVDVAEWYQRLPKEAITVATFGRNSDEGSTVFRLQAEHASYATEAHSKVFIPGYRFLPTRRNRRVWQLDREIRSLLAKLVAGLQSGGDDHRGSGRDHGRAGGMRDFMSFMAPAMTADEIIEESKNFFFAGLETLTSLLTWATVALAMHPEWQDSARREVVDVCGRRGVPTKDHLPRLRTLGMVVNETLRLYPPAVAMIRKAKRDVELGGCVVPAGTEVMVPIMAVHHDADVWGADATEFNPARFADDGGDRRPRQQMAFMPFGGGVRVCIGQYLALMEAKIALAMVLQRCEFRLSPAYVHAPRVPMILNPQHGAPVIFRPL >Sspon.08G0013740-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:55361476:55365777:1 gene:Sspon.08G0013740-3D transcript:Sspon.08G0013740-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSPKRAAGREGCGCWAAVARGLRGACFRPAAPADGDGGGSAKGSHVHDAGALPLPWSNRMKIALGAAKGLAFLHGGPKPVIYRDFKTSNVLLDAEYNAKLSDFGLAKAGPQGDKTHVSTRVVGTYGYAAPEYVMTGHLTSKSDVYSFGVVLLEMLTGRRSMDKKRPTGEQNLVAWARPYLNDRRRLYQLIDPRLGLNYSVKGVQKVAQICHYCLTRDSKSRPSMDEVVKQLTPLQDLNDMASASPRPRSTQRGKVHR >Sspon.08G0009860-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:42967766:42970697:-1 gene:Sspon.08G0009860-1A transcript:Sspon.08G0009860-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRLRLVGPTPSTDADSAQNPGISGKAAEEGERVGEAKTPEPPRREVTDLGGGSEVVYISRFVAREKAWEWFDYLDKSIPWTSPEIRVFGRSAKQPRDVCYVADEGLTVLKYSGHQPHAHSWDEFPVLKDILKVVLEALPGSYFNSLLLNRYKTGSNYVSWHADDEPLYGPTPEIASVTFGCERDFLLRKKPTKSQATSASGEAGPKRLKVTASQKQHSFLLKHGSLLVMRGYTQRDWQHSVPKRAKVSSPRINLTFRHVLS >Sspon.05G0032940-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:48372541:48373317:1 gene:Sspon.05G0032940-1P transcript:Sspon.05G0032940-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEKHHHHLFHHRKEEESSGEVDYEKKEKHHKHMEQLGEFGAIAAGAYALHEKHKAKKDPENEHGHRIKEEVAAVAAVGSAGFAFHEHHEKKDAKKHGHN >Sspon.06G0014510-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:80151850:80154535:-1 gene:Sspon.06G0014510-1A transcript:Sspon.06G0014510-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCGPSIPEKYSIRGKGRKRRSIIQEVAVFVPTIRIPVASDIAHPLRGIVSKDLADRLSTLRAHVVALAEEIYSADVSAVQELQHALEEYLPVVLGLTMKESRLESSVQFTWKTLDDDQECCLASAWYEVLSVVHMMAMLALFEANLLLIPKNSQVGGERKVSEDAKKDVVDSLLRASGCLDYSVHRILAQIPAQVSSPVILIYLFFLYYGAEFVIILYCPNCTKQCVEIQLGLASECEKATLSVKRRLACEQVSYFSQLFRRLTIFRLIYAQAHYCLSGCDTSDSYGKKLLLFLKWKCMEAKAVAYYYHGLVLDKGSEPANHISAVCCLSAADDLLSDSKRACLSFCLANPVTRVPPPWGVMKNMHKRIPDVAYKKFQVYGHLFEQNKKSTLQSVPDLPEFALSLRPEGYELPSTDSIWENVNGQPQIQSLKEHLNDGED >Sspon.04G0013550-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:48746648:48753891:1 gene:Sspon.04G0013550-1A transcript:Sspon.04G0013550-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding QMQHAARPGVLGRRAPASRPDAISRGGFGGSEIFLIGGGGGLSALRLLHCSPSPSPSSRVLPRRWPKRPSRGPPPATSATPPSRHARTVASAARHRAIHIQSIVMIYDARAAGQISPILIDMLLQLTQIELDGDLEQDFNKLRMTSVPSTNL >Sspon.08G0012270-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:7858218:7859127:-1 gene:Sspon.08G0012270-2P transcript:Sspon.08G0012270-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMLFRCFVGSEPAAAGSGSGDEKKAVRRMRSATARLRSLSLDDLSRTLASSGLHAFTQPELSAATRGLSSSNFLGEGGFGPVYKGFLDERLRPGEIEPQHVAVKYLDADGPQGHREWLAEVVYLGMLRHPHLVKLIGYGCQDEQRMLVYEYMARGSLEHHLFKSKLSLLIYYCYDLLSTLPWCTRLKIAVGAAKGLAFLHEADTPVICRDFKASNILLDSVSTSWLMHS >Sspon.08G0004990-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:27232604:27233242:-1 gene:Sspon.08G0004990-2D transcript:Sspon.08G0004990-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKPGKKTSRGRQKIEIRRIEKKESRQVTQCKRKGGLLKKASELHLLCGAHVAIIVFKRTEPEGKEVPVARRGKRDDGSIAFAMGTPSVDHVLRRCALLHAVEGLTAVEDVGAVAAERAVMEARARETEQTRALVEAEKARNDAIGEKVLQAVEVSGRRFWWEVDVGVLGEAELPVFTSQLQRLRESVQLQANKSQTSATPAAVAAPWHQLG >Sspon.03G0015550-3C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3C:69973503:69974021:-1 gene:Sspon.03G0015550-3C transcript:Sspon.03G0015550-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTAAVAASGAGRRIMVAVDEGEESLHALNWCLANVVSPAGGDTLLLVHARRPRPVYAAMDSAGYMMTSDVLASVERHANAVSAAAVDKAKRVCADHPHVKVETVVESGDPRDVICDAADKMAADLLVMGSHG >Sspon.01G0004700-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:10837920:10840711:1 gene:Sspon.01G0004700-3D transcript:Sspon.01G0004700-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVVVTGATGYLGGRLCAALAGAGHAVRALVRPSSNVSGLPRGVELAYGDVTDAESVAAAFDGCDVVFHVAAAVEPWLPDPSVFLKVNVGGLENVLKAAKRTPTVKKIIYTSSFFAIGPTDGYVADETQMHPEKEFCTEYEKSKVLADRIALQAAADEVPITIVYPGVLYGPGKLTTGNLVSRILIERFNGRLPGYIGDGYDRESFCHVDDVVNGHIAAMEKGRANGISSLVKYVIREIFNMVANITNTKAPMFHVPLWLIEAYGWISVFVSHITGKLPLISYPTVRVLRHQWAYSCDKAKTELGYSPRN >Sspon.08G0014860-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:60035599:60043933:1 gene:Sspon.08G0014860-1P transcript:Sspon.08G0014860-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRSPSSLRSLLHLSRRRLLPTNPRLPRLPSSPLSARPRFLSSSTSPTPGGAGWATYDPLTDSLSASAALPSASDSEAPAVSDAWGVYDPVSGRIVKQGSPPPSSSTTVVEEEKDEDEKDDEEKEEEEEEEEEGEEAGELEDKDKGKRRARALGGNGQVRWSSVAAARRPAGKRGREKSSYVCSNCGEGDSQWWGICRHCSAVGTLVEYVAGSDGGGASPEGSHSHHIARSWIPKKAKEMVPQSLQEVNKGVDQAEWRIPLWGSFGMEVSRVLGGGIVPVGGDPGVGKSSLMLQLASNILEGFKAKESSPVVYVSGEESIEQIGNRADRMSITSSNLYLYSGTDIEDILDKIQPLSPKALIIDSIQTVYVRSFAGSAGNLSQIGHVTKTGDIAGPRLLEHIVDVVLYMEGERCSSHRLLRSVKNRFGSTDELGVFEMAEFGLQAVLNPSQMFLTEHDSDSEILAGLAVAVILDGSRTFALEVQVLMKQAGLKLQDSTIFLNVVSGFKLTETAGDLAIAASICSSFLEFPIPNDVAFIGEVGLGGELRTVPRMDKRVMAIAKLGYNKCVVPKTSEKLLRQLDLDIEILPAAT >Sspon.02G0008980-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:24178498:24179212:-1 gene:Sspon.02G0008980-2B transcript:Sspon.02G0008980-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIDMEDDEDIWANTASSPSASPPQPVAVSTCSAFISTQLSLNSRLHVLSSAAAGGGSSPAGRGVGGGAYAADDGVRHHMVLGGGFRNAVAPSPASSFFPYAADVAPFDAGAARGVLEDEMCLGAGAATWAGGGSDRRKKRMIKNRESAARSRARKQAYVRELERKVQMLQDENESLRVKYDQLRVSVEVAVPIVKKTLQRMPSAPF >Sspon.03G0010200-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:42426263:42436388:-1 gene:Sspon.03G0010200-1P transcript:Sspon.03G0010200-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSASARGGRGLLLPAAAAAVVVLVLLDAVAPAAGCYPRVFSFGDSLADTGNYPFVYGNDSGAAALRPPYGETFFHRATGRASNGRLVVDFIADTLGLPFVRPYLSGRSAEDFACGANFAVGGATALSPDEIRSRGFHDMGNQVGLDMEMKWFRDLLDVLCPGNLTGCSDMMNQSLFLVGEIGGNDYNAPLLSGVPLEKIRTITPSVVAKISSTIRIAIYDELSLQELIQLGAKNLVVPGNLPIGCVPDYLMIFKSDKEEDYEPQTGCLRWMNEFSQYHNKLLVEELKKLRKLHPGVTIIYADYYGAAMEIFLYPEQYEYKLCDNPEKYGSWDGFHPSESAYRAIATGLLLGSYTRPSIASTTTSCPQLMELGSSAEYKPLYDLFMGDGSQLTKVKKSSIVDVEAIPATMHEGEDIYPQELMIRRSSTQED >Sspon.01G0051130-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:11863992:11877554:1 gene:Sspon.01G0051130-1C transcript:Sspon.01G0051130-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SVP [Source:Projected from Arabidopsis thaliana (AT2G22540) UniProtKB/TrEMBL;Acc:A0A384KDB8] MAGKRERIAIRRIDNLAARQVTFSKRRRGLFKKAEELSILCDAEVGLVVFSATGKLFHFASTSMKQVIDRYDSHSKNLQKSEALSQLQSHIDDGTCSRLKEELAQTSLKLRQMRGEELQRLSVQQLQELEKTLESGLGSVLKTKRMELIEENSRLKEQVTRMARMETQLGVDSEIVYEEGQSSESVTNTSYPRPSTDTDDCSDTSLRLG >Sspon.07G0007190-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:18928662:18933078:-1 gene:Sspon.07G0007190-1A transcript:Sspon.07G0007190-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ETLQHKFIIWGQRKEDAGKHRPRKQMYHQHQGPSELFTTRTSFPMEQHLFLRGGNAQGDSGLVLSTDAKPRLKWTPELHQRFVDAVNQLGGAEKATPKTVMRLMGIPGLTLYHLKSHLQKYRLSKNLQAQANVSTSKNAIGCTSVADRVPGPSAATMSSTNVVPQAEKTIQIGEALQMQIEVQRQLNEQLEVQRHLQLRIEAQGKYLQAVLEQAQETLGKQNLGPANLEDAKIKISELVSQVSTECFSNAITDVKGSSSVHRLEPRQIQFVESSTNSYLSVAEGFIKEHRLQHHGVLKAYDDSSLFCRKRSHEHEAQFALNRSLSERRMAHLQNEAGYSKAEFGYESDTEMAHEYTAPQKNDGGSTTSSASGSKVDAEKLYLEEQNCARQAVEYPRESKLVDFEHPCSGKKLDLNTHNVDDTDQAYRHFDLNGFSWS >Sspon.08G0001790-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:5534584:5537651:-1 gene:Sspon.08G0001790-1A transcript:Sspon.08G0001790-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGGAGAEAEVEDAGSGWESSKPTLLQRWSSSVWAVSSSGRLIWAGNEAWRAHVGMAFVQVAYGGYHVLTKSVLNVGMNQIVFCVYRDLVALALLAPIAFLRERSVRARRPVTPRLLASFALLGFTGIFGNQLLFLLGLNYTNASYAAAFQPAIPVFTYLLAAIVGVEVINIFTKDGVVKVLGTAVCVFGAVLMVFYRGPSLIGMGGTTAADAAALAGTWSSSNAYSPQWLTAAVLRNGMETWSLGVVCLIGNCFLMGAYLVIQAPVLIKYPASLSLTAYSYSFATLFMVVTGVFATNGLHEWALTTTELIAIVYAGIVASCLNYAIMTWANKILGPSLVALYNPLQPACSTLLSTIFLGTPIYVGSVIGGLFIIAGLYLVTWARYNEAQRVLGARYLQPLLVEGLPTAKAEGSSFRGSIDP >Sspon.01G0031660-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:108306982:108308971:1 gene:Sspon.01G0031660-1A transcript:Sspon.01G0031660-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVAADPARRGKVVAAFRQAADHGLNLARTWAFSDGGETPLQAAPGVYDEAMFQGLDFVVAEARRHGIYLLLCLTNNFHDFGGKRQYVQWARDAGHRLATDDDFFNSTVVKDYYKNHVKTVLTRVNTLTGVAYKDDPTILGWELMNEPRCDAEPTGALVQAWVEEMAPYVKSIDGGHLVTAGLEGFYGAGAHESKDLNPWGIYYGTNFVETHRARGVDFATIHLYPDVWLWGSAADTQLAFLRNWTRSHSRDTALYLGKPLLVTEYGKFLWEGVAGANRTQRDYFLRLVLDSIYASAARGGPLVGGAFWQLLDGGMDTLRDGYEIILPEDQLAATIIGNHSRQLAQLSLITGQGVEKEAAAIRRRRRRSTHRKIHVRSVGGPSGSDSYYTQTRQLLHILLVRFMSLFTSISSLFSSV >Sspon.02G0007630-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2B:39810176:39811766:1 gene:Sspon.02G0007630-2B transcript:Sspon.02G0007630-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding WQLRRLLLVVLAAAAAFHGAPPWCRPCCCWRRWPPPPSTRSLPRGTTRARGTGRCRSTAPTPTSGARSTRRSPATIPPSPSGSTGPAVCCKHHAADLTDTYWVLALYTGPGLTHVHIYPYSCPTTRRTPVSTTRSTWSSWAPSRASPTRCRRTCTCAAAATAASWGARCGSTCGSTPRRGTTRTPSCGTPTPSPSSWTTSPSAATSAAPSSPSPTAPCGCTAPSGTPPTGPPTTAATAPTTATSPSSRASTASSSPGAP >Sspon.07G0028600-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7B:67856190:67860515:-1 gene:Sspon.07G0028600-1B transcript:Sspon.07G0028600-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLSSNAPNDQDHSFQSYNSNSEAIPHQLHSVSTLIPPNSIISSTGLEQSLENEQGLMHMFLTTPVSEDTRKKALSMEPQSIESLLQGDPMAILQAHLDIARVSDPGPIFHDLALHVPKEIQKCFSKNDLKWDAHFQDFEHHHNSCVIALEVAAKIYSNAGAQYFVYLSVDASTRLCPTGQEEDGGEDGDGDLTGVRVLASPAPMLSLSSSPSSVRKEGDLGEVRAGDLHSRSSLWKEGEGKKAAAAGALGFHRSSADTPTKP >Sspon.02G0025320-2D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1D:38684672:38684980:-1 gene:Sspon.02G0025320-2D transcript:Sspon.02G0025320-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSPEPRKGEREVDRISSLPDDVLGDIVSLLPTKDNAYTQILSSRWRHLWRSAPLNLYLHNDDPVGPRIHSSEVFHILSAHHGPYRRFVMPFCYVSYDYPTT >Sspon.03G0003400-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:8490777:8495735:-1 gene:Sspon.03G0003400-1A transcript:Sspon.03G0003400-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPGLDQVMAFLTDHGFAGAASALRDDVLARTAAGDGSHAAALDPHLPPLRMPASAAGAGAGTPAPASPGSSSGSASSSAFVSMRSTPSGLLNPYGLWSPRHSQSDSSSSEMEFGTARQYDSTDLFFQEDWLYDDHLFPSKLDDEDDEGKEEDKFVLDAQDGSEQVEIGKLGAGHNHRHEHIGGDGCEGCAEVYTCSSPLCGCCGGGLKNDGLDVARSSSSTVYGRYQIMDDQTEILDDCAQDGFQLKQGGDIMFKCGMPRGDDDSELSVVEKELQMLSSFDTDAVVNHGIHDFTDNGELNGSCDKNLKSSIDEEYLKGNRIQPFPESADPDEAYEFRNVASLNADVQHSTALKAEEDAETNIDLALSDFHREYEVFELRIIHRKNRTGFEENKDFPIVLNSVVAGRYYITEYLGSAAFSKVVQAHDLQTGMDVCLKIIKNDKDFFDQSLDEIKLLKFVNKYDPLDEHHVLRLYDYFYHQESGGEVYFTLPRIQVIARQCLEALVYLHRLRIIHCDLKPENILIKSYSRCEIKVIDLGSSCFLTDNLCIYVQSRSYRAPEVILGLPYDQRIDIWSLGCILSELYTGEVLFPNEPVPMMLAQMIGIIGPIDMEMLELGQETQKYFTDDYDLFTKNEETDQLEVILGVNP >Sspon.03G0010880-3C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3C:44644951:44645355:-1 gene:Sspon.03G0010880-3C transcript:Sspon.03G0010880-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RFFPTQNNRRMNEINREIEGTLRGMIEKRERAIENGEASSNDLLGVLLQSNMESGKGCLRMSTEDVIEECKLFYFAGMETTSVLLTWTLVILGMHPEWQDRAREEVLSVFGKDKQPNFDGLGRLKTASVSLQYH >Sspon.05G0015350-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:56370555:56375127:1 gene:Sspon.05G0015350-3D transcript:Sspon.05G0015350-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGEHEAEESSSQRRERLLALRSAANASPAGDPPPAPAGSLLPDPDLPGDQAASVCPPPPQRFDYYTNPAAAFTSSYSGGATNPRWSHKRKSPPACYAPPPPAYAYTITSSLSIPDATRCTREQSMAKSYAVPGSYVRIPRSSSRSTSLGLTFWSSRSRHPNPSRGGSPMNYGPRGGPYSSYGRGREPNYFGSPGSRGRGGRGGVGFQNHPGRQGRSYFNKSMLDDPWLDLQPAVGNILIPRAEYDSNKSWLPESLRKEETPAQGQIKSTSGLSLAEYLDLSFNELHS >Sspon.04G0013650-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:54032889:54040992:-1 gene:Sspon.04G0013650-2C transcript:Sspon.04G0013650-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Adenine nucleotide alpha hydrolases-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G24560) UniProtKB/TrEMBL;Acc:F4J7P7] AMPPLLFSLRPSPRSGFPLRRLLLFRCSASSATRSSSLAPYHASFARRMALAGIHPHHRTAVGVSGGPDSMALCVLAVAWKKAAARKPGDEEGPVASAFVEGLLQVLSVRLLSVSGQMVVQSKGRCKRPPARYQKLLDICIKQQIGILLIAHHSDDQAELFVLRLSRQSGVLGLAGTAFMSQLFASNVKYDGENFRRYGILLVRPMLEFSKDDMYQICQGSNQSWVEDPTNTSMKYARNRIRASLRKLSTAGMFLSGVHKLISACRLTRSFVDCACSMIAKQSLSISQYGYAIIDLENLDPLNVDDLSLSQYLAYILQFVSQRHRPLRGRSARLLLDYIRSSPCQAALTVAGCYLCAVPRSKGTKVLVCCSVDWMESSSAETSYKCYYEEQAPPVPDIDQIVLEGRLQANQSIQNHSNIHFLYSKSSIDVLNKAKDLNIIDDFTLEKLHYLRTDEHDKFIVKEHKHEEQDLEETKFPDCNVLSLCPGETCHFMSKFLITWKAPEDVNEICLLENKESLSKFCTVNLGGSLEVRHVVDTDWLFLAEVCNTPSVEENLSDPKASCTSMKMDPAPHHSRFLQQSAQKALQILRSIPAAARRTLPVLTNAQGDVVCI >Sspon.07G0022650-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7B:9711998:9712950:-1 gene:Sspon.07G0022650-1B transcript:Sspon.07G0022650-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSPPLDVHLTRTTHRVVASHRCACASPASAPGQQSPAGRPSTPAPGLLDQYGFVAPDSLTLTPRQPPSNDAAAKKRHVTKPPYRSSSSVDRSGESTLASKPIGLRETFLPTHGRRRRLPERSGAHTYQLGAPRRLPHAVARCIWRRPRPRDVPHPDARPWWPSPTPMTAAVGFPRCNGDLRLWMGMDGARGEIHSLD >Sspon.07G0015480-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:55411457:55415491:-1 gene:Sspon.07G0015480-1A transcript:Sspon.07G0015480-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PPa4 [Source:Projected from Arabidopsis thaliana (AT3G53620) UniProtKB/TrEMBL;Acc:A0A178VKC0] LMAPAVEAVKETGSFQKVPALNERILSSMSRRSIAAHPWHDLETGPGAPTIFNQVIEIPRGSKVKYELDKKTGLIQVDRVLYSSVVYPHNYGFIPRTLCEDSDPLDVLVIMQEPVIPGCFLRAKAIGVMPMIDQGEADDKIIAVCADDPEYKHYNDIKDLPPHRLAEIRRFFEADKKNENKEVAVNDFLPASDAYEVIQHSRDLYATYIVEGLRR >Sspon.06G0004490-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:11541620:11546405:1 gene:Sspon.06G0004490-3D transcript:Sspon.06G0004490-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSRGPLAALLLLACAAAAGAAGTPDGSEEWGYVEVRPKAHMFWWLYHSPQRVDNGTTPWPTVLWLQGGPGASGVGYGNFMEIGPLDEDLKPRATTWLAKADLLFVDNPVGTGFSYVEGGDKSLMAHTDDEAARDLVTLLCALYRGSPRLRASPLYIVAESYGGKFAVTTALAALRALSWGPLLYQVSRVDEKRLQQCNSVAAKIKEQLEKKQFADAEASWSELENVVSANSNSVVRTHAAPYLIPLLIVPCCILLMTLNTTTTMQNFYNFLKDELSGDSSTTTAAAVSTLASFRRRNGYSGYLKSMAAAAASSSEQEGGFDGLMNTVIKKKLGIIPKDLNWGDQSDDVFVALEGDFMKPRIQEVDQLLKLGVNVTIYNGQLDLICATKGTMDWVQKLKWDGLNSFLSAPRTPIYCDKGGQSGTQAFVKSYKNLNFYWILEAGHMVPIDNPCPALKMLADITRSPAK >Sspon.03G0000280-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:6190117:6193674:-1 gene:Sspon.03G0000280-4D transcript:Sspon.03G0000280-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVLFETPAGFALFKVLDEGKLDKVEDLWKEFTTSDSARKVVELKAFNKFENTSDALSAATLIIDSKPSKGLRKFLQKHCEGETLAVADSKLGNAIKEKLKIDCLHNSAVMELMRGLRNQLTELITGLGAQDLGPMSLGLSHSLSRYKLKFSPEKVDTMIIQAIGLLDDLDKELNTYAMRVREWYGWHFPELTKIVADNIQYAKVVKMMGNRANAVNLDFSEILSDEELETQLKEAAVISMGTEISDLDLLNIRELCDQVLALSEYRAQLYEYLRSRMNTIAPNLTALVGELVGARLIAHGGSLLNLAKQPGSTIQILGAEKALFRALKTKHSTPKYGLIYHASLIGRASQKHKGKISRSLAAKTALAIRYDALGDGEDNSIGTESRLKLETRLQVLERKELGKSAGSTKGKPKIEVYEKDRKKGAGALTTPAKTYNPAADLVLGQSTEETPKKSELASKKRKHHEVETTPSAEPEEEAIQEDGDQEDRKKKKKKKKSKETEETPAVEADGEKKKKKKSKEGEEPAVAASEGEKKKKKKKTSDVDGKDVAMQTEASGKKDKKKKKKRHADDE >Sspon.03G0005760-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:31674997:31678075:-1 gene:Sspon.03G0005760-3C transcript:Sspon.03G0005760-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MANEAARTIPGRENGGNCDIKNLSRGSKVYLPVFVEGANLSTGDMHFSQGDGEVSFCGAIEMSGFLELKCEIIRGGMKEYLSPVGPTPLHVNPIFEIGPVEPRFSEWLVFEGISVDESGKQHFLDASVAYKRAVLNAIEYLSRFGYSKEQVYLLLSCCPCEGRISGIVDSPNAVATLAIPTAIFDQDIKPKHLRGRLGPKLIRLPDLLSCSNNGHLPVTQDQSGSRAP >Sspon.01G0021930-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:80519013:80523627:-1 gene:Sspon.01G0021930-1P transcript:Sspon.01G0021930-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMPPSRPWAELQHDLLVAVMNRVGAPDLLSGGASRACSSWRAAARDPLAWRRVDLRDWVALTSGRRAARPGHSSSGVPVHAALFGILKVAAKSAEGRIEAVLLPEFADEDHLLFLAERCPNLQYFSLPSTCMTYDQFCKAIGGLHSLKGMAVDETLINYDVLLHVHQCCPDFVELKVSALYVDEEMASVICNSLPNLKKLEIPSADMSSAAITKFLDCLEELEHLDISGYETSAISSSVLEKASRLKVFLWNSKFELGEFMDCSNCGEHNINPQEPCKCMMEHKVMDWLAGPSQAS >Sspon.07G0027810-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:63599632:63600658:-1 gene:Sspon.07G0027810-2C transcript:Sspon.07G0027810-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGDLLLVAALLVSCLVATASSSSSSMQMQCHEEDQEALLAVNSALGSPYHFASWTPDTFCCDWYDVDCDNTTGRVVGLTVFGDGNLTGAIPDAIANLTNLRTLVLHHLPGLSGNIPDSLALLSNLPAHHLLHGRLRPGALTELTLMDLSFNFFEGSIPASLADLPSLCSIDLSRNRLSGPVPSLLLSKCADQQAYLRLSHNNFSGAIPAGFAAVSFAHLDLSRNAFTGDASGVFGKGKPLQHLDLSRNGFAFSLTAVELPEQLTYIDLSHNAIRGRIPAQVADLAGLQLLNVSYNKMCGVVPTGGNMAKFDAYSYQHNKCLCGTPLPSCGHRFY >Sspon.05G0011320-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5A:32307716:32310040:-1 gene:Sspon.05G0011320-1A transcript:Sspon.05G0011320-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVMVTQCLFSVVQSFLAAVVAERDFSMWKLRLDIGLLAIFYSGFVVAGVNYYLQAWCMEMRGPVFLTAWTPLSFVLTIFCSSFFLGEMVHLGSIIGGILLCGGLYSMLWGKSRETKTVQCNIEATTVDNGAQDEVH >Sspon.08G0014960-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8B:55119414:55122493:1 gene:Sspon.08G0014960-2B transcript:Sspon.08G0014960-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHDLSVTARSFVTTIAITQCRPSLWVQQAGRAACRQEMQGTQFIFGQQRTTSWPPAASAQRKPARRPSTRSRRHPEKPGNHSRSLGAPASNPDAVLALLSSAGVSHADVAAVVAADPLILCSYVNKIGPRLLALRDRIGLSAPQIARFLLVGSRKLRSGDVCPNLQFLISSFGSLEPVLAVMKGSKNILSLDLDRVIKPNIAQFAKRVKDFLLRAEDLGVSRGSPMFKHMVAAMSRTNKEKNAATLEFLKRSLGCSESEAAFVVSKTPSILGLSDECLLPKIQFLINEVGLEPQYILQNPSLLTYSLEKRLVPRYCAMKILRAKGLMNSNFCRLAQIGEQKFRLKFIDRHKDSVSGLAHAYATARAGLVPSGV >Sspon.02G0052330-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:90773197:90773855:-1 gene:Sspon.02G0052330-1C transcript:Sspon.02G0052330-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPLTDLIEDVTAEILLRLPPDEPEHLFRAALVCKPWLRILCDQGFLRRYRAFHGAPPLLGLLHIRRLLAAIGEPIPRLVPTTSVPAFPLPADADRTFTRLIDCRHGRVLIRTLLGDFQYLVWGPVTGDSPALPDIPWTRCTAAVSCTAQGCNHLDCHGGPFRVAIFAAHTNTIFASTYASETGAWSTPTSVCIGSAVYFYVMRGTILGDE >Sspon.03G0004160-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:17497162:17498165:1 gene:Sspon.03G0004160-4D transcript:Sspon.03G0004160-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPSLSQNRAAATTERPAQIGEAEGRLVARSSISESSTDDSQSPKQEKAPFGYTRKDVLLIGLGVTAFGVGLKYGLEVRRSHRIHFYCRNLARFPSAVGLFAGKRYARAAPGSRPLQAGNVVQLLVVLGMTVGWISTYMFRVANKDMTYAHQLRDYEKQVMEKRLESLSEAELQALLEQVEEEKQRLPPVPEEPNAITFKKK >Sspon.02G0013650-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:33826041:33827823:1 gene:Sspon.02G0013650-2B transcript:Sspon.02G0013650-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLNQDFTPHLASARTYLPSKMDASTLLWFLYISLASCLLYKLFASTKTSSGKARRRAPGPAPLPLVGNILELRGEPHHALARLAETHGPVMSLRLGTTDAIVVSSAAAARDVLQRYDHVLAARSVSDAGRALGNHEHSVIWLPCTSPLWKRLRAVCTNDLFSARALDATRAAREEGVRQLVACLGRHAGAGETVDVGRAVFSCVLNLVSRALFSEDVVADLSSDRAQELEMLVRDTVEEVTKPNLSDLFPVLARLDLQGRRRRSAEFVSRFYDFFDPIIARRMEARGSGEKIDDDFLDVLLQLHSTDQLSLQTIKSFLLDLFAAGTDTNAITVEWTMAELLRHPAVMSKVRAELRDALCSKPHPDESDIGRLPYLRAVVMESMRLHPPSPMLMPHLAMADGAEVGGFEVPAGTKVIINLWAIMRDPASWGEPEVFVPERFVGATDADFRGKDRLEFMPFGAGRRACPGTPMATRVVTLLLASMLHAFEWKLPEGMQPGDVDVRDRFGTSLNMVTPLKAVPVPSHR >Sspon.04G0007900-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:22355816:22359798:-1 gene:Sspon.04G0007900-1A transcript:Sspon.04G0007900-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPAEAEAEAEAGAPAAAEAKEKGKRGGVLGRLWRALFGGREDFEKRLQYLSKEEAAVHARMRRRTQFSRRAVRNLIVLSVLAEKYDLDPAAKAAAASVLASKLGEETGLKFHVGEEPKLDAAVARSNDVEIVPSDGLRNRKQPNARGSRTGSPAAHTPAQGTESLPASAGLETAPAPMVVEHHQGSGANDGGGWIGKIAALLVGEDPSQSYALICGNCHMHNGLARKEDYPHVTYYCPHCHALNTSKQSMGQYSGSNSGRSTPVVLADGLSTSSSVQETELSNLTTLQELPEKGNAEKQEVEAS >Sspon.01G0009690-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:33671994:33673416:1 gene:Sspon.01G0009690-2B transcript:Sspon.01G0009690-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding QSIEDAAELEELLPPKAGPSFKFHSDESNENTNGQKLSKELVGLIRGKKTTHDIILWVEEQIIPTNGIEFALDVVSQTLLDMGSKSFTHLITILERYNKIISKLCPNEEMQLLLMNGVSAYWKNSTQMTAIAIDRMMGYRLVSNLAIVKWVFSPANVEQFHISDRPWEILRNAVSKTYNRISDLRKEIQSLKKGLQVAKEASAKSIKELEEAKSVLEIAEGQPAPAERPGRIRRLELRVKNAEEEERTIEESLEVKGAFLARALEESKDLLKLLFKSFVDVLTERLPPVSVDGEIPNLRTGDQSLNFAPQDPEAAAMDIDNENGADNNRSV >Sspon.04G0005170-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:15733297:15734466:-1 gene:Sspon.04G0005170-3D transcript:Sspon.04G0005170-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVREHGGYGGFDSAEAAAFDALGYGYGHGALLGFDTSAALFGEGASAYVSDGGDAWTGAGASTVLAFNRATPAAAAAVGEEEEEEECDAWIDAMDQSYGAAAAPDALTASVGFDASTGCFTLTERASSSGGAGRPFGLLFPSASSGGGGTPERTTAPVRAPQKRTYVSAEPQAVSPKKHCGAGRKASKAKSPSTTPTKDPQSLAAKNRRERISERLRTLQELVPNGTKVDLVTMLEKAISYVKFLQLQVKVLAADEFWPAQGGKAPEISQVREALDAILSSASQREQLN >Sspon.02G0024660-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2A:85046059:85046802:-1 gene:Sspon.02G0024660-1A transcript:Sspon.02G0024660-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLGGLLPAAPPFGQQNGTGKEGAREARERRREGGREARGRAEEVGHQTSACGRLASERPALARSSSGHGGVRCEAPEGSKREREVEQWMGREKQGSSAVSTPAWKLRRLRASATMASARGAPAEAGRGPPALGLGSDSDFVDLPFACSKLGSGASRQGRRALGRPHRRRFRTPGRGGVLVDGNSRWLFIAPGVRLEQPIFSGKWRFLPAAADRWDCSGKTAGTSAHCLIFPSSGTEGGGDIFQGR >Sspon.01G0014080-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:38967105:38971274:1 gene:Sspon.01G0014080-1A transcript:Sspon.01G0014080-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRRAEVAVVGAGAAGLVAARELLREGHAVAVFEKSGRVGGTWAYDPRADADPLGRDPGAPGAVHSSMYASLRTNLPREIMGFSGFPLEGRVFAGDPRTFPGHREMLAFLDAFAVDSGVAAHVRLGAEVLRVRPLCRGQAEQWTVAWRGEDGGVTEEAFDAVVVCSGCPQQFQSDTLSLQSVVIVGLGASGIDIASEISHVAKELHIAARYSEDRLGKIELFNNAWMHGEIECIQDDGQVCFAEGSSVAADTILYCTGYRYHFPFLDLDGFTVDDNRVGPLYKHVFPPKYAPNLSFVGLPYKSIVFQSLELESKWVAALLSGRATLPSEEDMMADVQEDYQRMEDAGKSKRHTHTLWPRWVEYLNWLADQVGEPHVEPRRAEMFEKAL >Sspon.07G0014200-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:56680229:56682975:-1 gene:Sspon.07G0014200-2B transcript:Sspon.07G0014200-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRRRRPRAAHRHRSPFLTAAAVSINIHLLLLLSAVSAAAGADDAAGTPAILDTVCAATQAADPEAFDVSFVTTMEMIYQNVTRSGFGAAGSGAGNNTVFGLGQCMSYLSPTDCQLCYAQSRVKLPHCLPADGGRIYLDGCFLRYGADNFTAAATDASDTAVCSNATATVASGFAAAAAALVRNVTAAAPGAKDYYYAASSASAASAYAAAQCWRSLNASACAACVASARDRVLRECLPAAPEGYGLNAGCVVRYSTRPFYLPANAAAAGSGSSGRRIAVIVVASVFSAVAVMGIAFIWTRMKSRSRDDLHDDMDGSGEMIRAIAASQLSFKYGELRAATDEFSQMNKLGQGGRVQEDRAGLGAEIWRHYNAGTLMELLDPNLREQCTEEDALRVFHVGLLCAQASPNLRPPMWKVVEMLSGRDHKMVLPRPTQPPFINVKGSKNAKSDDSSGSASFLSNSDKSPFSLNQLSVSGVQA >Sspon.08G0000870-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:1150117:1160163:1 gene:Sspon.08G0000870-2C transcript:Sspon.08G0000870-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIWQRAWSGGSWQPVSGSTTSTSGTTTTLSTATNTTSFAEEDLHQERAMELKQEVAKTRLHMRGLVEEFCAGANGNVTSPNRWLSELHVAWLLRLAELGAAAQRIFISRQLQRLVRSWTPALQVISTSICRYFSSELHSEEEASVGPPAASELAQFVKATLVKMFTFVHAIAALAPRNVDDPRGQQHVFSSSVVGAAETLRALLDVRDALSDCSHSVPRRQLLFMGYYSDPAIINRHPQDVSDHCPLLLQTNMGQMSKARFHFELMAAACNAWNLVRELQRWSATRIWDIKAQLLPADGARTTASANAGGGEGLELPPVNCSSSSLPVLPPPHLGVFRSPDSEPDARASTCCACSPGRALAPRSSRLRRPRVHLCWLPLRPHRPARPNGEDGCEATKAKDRFPQKKKAKDRARSFGLRCLCLGCDFKRKFSGHDIRSSGRSCLWAAVGTLPHVDPDPVLMTPEGRGCYALDGIVSPLAGRFTPLGGERAVPACSMGLRAAGGDMHAEDGVRRAARPTLRPMRIGRMPTVINLNGCSSVLRPTIAPKPTAISASTASIDREIASCAAAPAGKFEQAGVLPTPFSSFSMEGRNGSRSPSWSWSYREETGSTVSCSSGTVSTAYASSFSRLSSFSTEAAAVILFELGIHGDEDVPWDWEREETQEEEEHIKSLVQEFFVAASADPSIKGGDTSVVERWLTELGVSWVLQLQVADGGGFKIEHPPDEAQQVWIRALFKIIKTIRTKASLFPDLSVRTTKKQQQLRLRVVFRINSNEVPALYQKMNTLLCLRGALSEALSHIRLPSSSQVRRVQGEMADKASKAVWNTMEDIWDRIMVMEDDDSEDCTPADQTPHGSSSDIHKGLRSLFLLNNSRYIWQQLRDHTTNGSNFLSSYFTALGHRPEESARHSGRWPQSFAQGCRLWVLRTVEITRRALAREAETTVSDAKPVASGRYSTDEWMTTLAGASSWLERRR >Sspon.08G0022920-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:53874950:53875577:-1 gene:Sspon.08G0022920-3D transcript:Sspon.08G0022920-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSHKTFRIKKKLAKKMRQNRPIPYWIRMRTDNTIRYNAKRRHWRRTKLGF >Sspon.04G0014870-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4A:55699228:55700358:1 gene:Sspon.04G0014870-1A transcript:Sspon.04G0014870-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEPVSKALHLQIGHVECPCSHWSMHCRWNTCRHSGSRFAVSSSSTSAKQITQSISSSHGGRWMASSSAPTRALPRAAGGSRGSAAIMRLVLARSPWLCTRCCAHGYHGYSGGGGRGHRRHRHALRRARAVPPSPRETRPSRDYHHGLRTSATTARAGARTGTATAAGHHRRREHVLRRRALPVPAGERETRRRSQTTTAAAPAARALPGPVGGGHGAGSGAGDEVGADARVVGGADGDPEDEDEAQREDGPVAARRRDPDDGVDERAGQADARRRGDGQRPHAGHAVRRHGWIIPGRTTGLEVGTYASLLLCSWIWSPVGEMAKLPPPRGSRARERVGVGVTQHMHSGTPVTNDGTSYFATLVCVWGPRPTPCLR >Sspon.08G0030840-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:66110232:66122139:-1 gene:Sspon.08G0030840-1P transcript:Sspon.08G0030840-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSCVTLGCSVSRNEIPPSSKAEQKDALNNIVLSAYLHLQSEGFLIASNGLWVAPGNSEEVAAALSQALRNSLERSLKGLSYARFGDVFTKYNPPTRNQNSFRRAQPTVEFVFAATEEAIFVHVVISARYVRNLCSDDIEKVLTHSPPSIGEGLPVVVAPSGMLGRLVGCCPSDLVRQVYSSKSSAPNLPGFSQPTVCQLRGQSYYVEVALGFPAASADKVSESEHIQIKKELDPAKDAQVGADGLRKNVFTGLAGKFIMGGMAVLEFFSVFLLSEQVSKRPRSEVTEVSSHTGKDVGENIQGANGQIGRSWGWDDEGVVMDINILLSEFGDFSDFFQEEELDFGEPPGTAESHALVMPASDCGDVTFTDSPSTAMDIPEQRLSPVGFTSLDAFDHQIMAPAQDVVSKVQEPHKDTATPAQSHSLVLSSGRFDYLTKAEAKLTFAPEYAAVEISIAEAPTPLFTNPYFPRSKKPGSSSFSSRVYSYDVAQSSQIESTGDKPDKPSKLTSGNHLHDVGASNLYTLVQGGKKESDKSLKSTNIQPSKGETSPPISGVTSFSSSLVSQKKSDSMFNAGYFLLSMKTALATEIECITFQAAMCRIRHTLLSLRSKASAEFNSATSSFMQTNVSNKSDLTPKYDMRKKEIMTVRLSNDVDHEMFDRSLMDNVGVWRPVVTPKGPKSLESLSVNTLAGASPSLSIQRQPVVDLLCAMALLVQQSTSFVDMSLDMDDGDGSFFWLSLDEQKRRGFSCDPSMVHAGCGGLLGTCHSKDCAGVDLVDPLSAEVSDSSMISLLQSDIRGALKAAFANMDGPLSVTDWCRGRGSAAESAGTGDAYSFQYSTGDILEPSSSLSIGGDSMSPPQPTSSNRGISELEYQKGYHRVRPTIAVLPSPSMLVGYQDDWLKASVNSLKTWEKAPFEPYALPKPVTYYALCPDIDMLTSAAADFFMQLGTVYEVCKLGTHSPQNNGGQMELSPGKYLPSGLVLVECPEQLKKVGCGHLSPISSTSDYLQAFSKHWSVKSFVTSISRILKDIKLTSNISTNQKESSSGPCT >Sspon.05G0010090-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:20160455:20163754:1 gene:Sspon.05G0010090-3C transcript:Sspon.05G0010090-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSSTSLTTLHSCFFLSSTPSSPYMAALLPRRRRARARRYPRIRAIDLDQNTIVAISVGVVSIAVGIGVPVFYETQIDNAAKRDNTQPCFPCSGSGARETEESQCVNCEGIGSLTCTTCQGTGIQPRYLDR >Sspon.07G0018440-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7A:66794211:66797143:1 gene:Sspon.07G0018440-1A transcript:Sspon.07G0018440-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQLVSSNQSAFIKTRVIHDNFMYVRNLARRLHISNTLALLFKLDITKAFDSVCWDYLLDLLHRRGFPTRRRNWLAAIWSSSTSKVLVNGTPGEAIQHGHGLRQGDPLSPLLFVLAIDPLVKPLECATQEGLLTPLRGHHAQLRISLYADDAAIFLDPTSQDDTNLRSLLELFGTTSGLSTNLEKSSFVPIRRRGLNLNQILDSFPAARATFPLKYLGLPLTLMRLRKVDCHPLLDKASSKLAHWQGRLLTTSGRTTLVKLVLSAQPIYFLTSLRPPEGILKELDAKQRCILWTGGTRLAGGKCKVAWKQVRRPTKLGGLGVLDIYKFSRAMRLRWLWQSWKSENKPWVGMEIPCTNTDRRLFNAATKITIGNGAKALFWHSTWLDGICPKDLAPTIFALSRKKNRTVRQAVAGNVWDQDLNDYILDVLLDHTPKEKQHTKIEMGMRSMDGCHVK >Sspon.03G0012780-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:35425388:35428846:1 gene:Sspon.03G0012780-1A transcript:Sspon.03G0012780-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AIRTISSDRGKIVSGADDQSVIVWDKQTFKLLEELKGHEAPVSSVRMLSGERVLTASHDGSVKMWDVRTDTCVATVGRCQSAVLCMEYDDSTGILAAAGRDVTAHVWDIRSSKQMFKLQGHTKWIRSMRMNGETIITGSDDWTARVWSLNRGTCDAVLACHAGPILCVEYSPSDKGIITGSTDGLIRFWENEGGIKCVKNLTLHTASVLSISAGDHWLGIGAADNSMSLFHRPQERFGGFSNAGSKVAGWQLYRTPQKTAAVVRCIASDLDRKRICSGGRNGLLRLWDATTSI >Sspon.04G0011780-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:37014668:37015078:1 gene:Sspon.04G0011780-1A transcript:Sspon.04G0011780-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding HHGGRLPRAPLPPRHHLRRGGGALPPAPPLGHLHRPRRGIRPAAAPQRGRRRGRGSGSGAVGLPRAAAARASGTVDAQGGRGRVRRPRGRARRPGHRPRRRLSLERRRPAGGPQQDHARLLRQRPGAMRPPCRMGGA >Sspon.08G0000960-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:1561573:1562493:1 gene:Sspon.08G0000960-2C transcript:Sspon.08G0000960-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTSGGGHGSLLPVWNPNRLRADLVDYSSGTGGGGTTTTGTETALSTPTDEVASLLSSKQGGLDEAIWNMMEEMSRTRLTKDNDGSCWGVQTPQGSSSDIHELTRAMVSYIVLLSTNWATAHQLVDEAAQLRGYVPRFDKVSPLTSLVMETVLCLEEKLAEESRSFQNQSLRFLFLTNNSYFIWEQLNPTLLLESHMAALARKIENYIQTYLQVSWAPVLSCLFNSTPLCMGRYSSPAKFESEFQKTYNAQKFWKVPDPNLRRRLRVAVIDKVIPSFQKYLEYNGISPSRITPHDLMDMLQELFEG >Sspon.01G0049560-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:113420464:113423119:1 gene:Sspon.01G0049560-1B transcript:Sspon.01G0049560-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RLSCTALWFVSLSPYLRVLTHRSGPRPPGAKRTRSSHARIDGQGMATARRQGRNARQASSILHLWSLRAGPTGSVSWLARPDAAHLFRVDISTRTVVPERPAALRRSCSLSACIAPRPGTGGPLLPLSIADDGPFGWVADDLAQSGAEKFPKISAGSIGKAGDGDTVARIFCGASARPLLVPPSRAGPTLRRRCLLFVLQRAAARDATDARVRRHWLRFLFTCTKVKVTQPPNRSQDFVVREALIPCGRWKWNPTESEATIQPKDSSSHHKESGASNRGPLSLAAPAAGV >Sspon.05G0038870-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5D:55427848:55428934:1 gene:Sspon.05G0038870-1D transcript:Sspon.05G0038870-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding KGSQGSSKIAGGAYLTLRDGMKQEYLNCPWNTSLGDWYKWFYIHEEPDSATFCDVRYIPDKRVAWNERPELAGQVEELMALLPWKRLDRPAVAESFISRCVQHCQRRVHAGYEYQGSADQTRMRSEDLDHDKVKRRIGELFNLADQNYSPPSRIQHAYKLIRPAPKVHGVERHNLFVSLAPGVKHPQSVEPPPLNAQRCYMHVEDDHASEARAVARKSPVAADQSKKGAESSRANESPKDSTRKRRRMVRDWSEDEEDDASAYMLNPRKKRSEQTRQGGSNPPLMVPTEGTMGKRSETTLEVPQEGQTPPVGPTPSVMAAEELARPPPQDRG >Sspon.03G0037540-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:99443945:99447482:1 gene:Sspon.03G0037540-1B transcript:Sspon.03G0037540-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQGAGRDPELFAELWRACAGPLVELPHTDERVFYFLQGHLEQLQEPTDPALLAEQIKMFQVPNKILCKVVNVELRAETETDEMYAQITLQPEPDQVDLPTLTDPPLPETSRPVVHSFCKILTPSDTSTHGGFSVLRRHANECLPPLDMSMPTPTQELITKDLHGSEWRFKHIYRGQPRRHLLTTGWSTFVTSKKLIAGDAFVYLRSETGEQRVGVRRLVQKQSTMPASVISSQSMHLGLSQSQYIVSLNKYLESSKIGFNVGMRFKMSFEGEDVPVKKFSGTVVDKGDLSPQWQDKMLNVFSIFLVKWDEATNFNGPERVSSWEIEPFDASAPAINIPVQPSMKNKRPRETAESLDIHALEPAQEFWLSRRPEQHEKTKFCSNWELVQGLQFLKQRASPSLPEISQKLFQVTSNDARVPPWPGLSAYQAEEPSSKLSCNTAPCSYQTEEVAPKFSIAVKEKKEPGMFRLFGVNLVNHTRSSATADKTTVGVGETLMRGAGSFEDSGQLSALSRVTKDHTHLVNESPREIQSHQSCSGRSRIKVQMHGNAVGRAVDLGNLDGYEQLMGELEEMFEIKDLGSKEEWKVTFTNDENETMEVGAVPWQEFCQVVRKIVIHPIGDGSHMEACPCPEQDGKRGF >Sspon.05G0000530-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:2307433:2310953:1 gene:Sspon.05G0000530-1A transcript:Sspon.05G0000530-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDWQELGQAAAIGLLFAFLVAKLISTVIAFKEDNLRITRSPPSSPTAAPDTPVPAAPPLPAHDGSTDGGSGSDSDWEGVESTELDEEFSAASAFVATSAASGTSVPEEAQLRLYGLYKIATEGPCTAPQPSALKLKARAKWNAWHKLGAKPTEEAMQEYITIVQELFPNWDAGASAKRKDEDNIASATASKGPMGPVFSSLMYEEDEGNDSELGDIHVSAREGATDDIAKLLAAGVEVNVRDTEGRTPLHWAVDRGHLSAVEVLAKANADLNAKDNEGQTALHYAAVCEREDIAELLVKHHADLQIKDEDGNTAQDLCPSSCIRELPYNEALQCRACFFHRQNVLLVHVGVQFSELLFGFGTLKITATVVVMCTGAGDVANNQVRLGDKLLQA >Sspon.01G0052770-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:26065788:26070579:1 gene:Sspon.01G0052770-1C transcript:Sspon.01G0052770-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:LL-diaminopimelate aminotransferase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G33680) UniProtKB/Swiss-Prot;Acc:Q93ZN9] MAAAAPAGAPAITASSSFLSSPPFFSLKASMTSHRPPAGRVSVNIRCVNSPPAVDTSYKTNVPRNANMAKLQAGYLFPEQIARRRAAHLLKYPDAKIISLGIGDTTEPIPNVITNAMAERAHALSTIDGYSGYGAEQGEKKLRAAIAATYYADLGIEDSDIFVSDGAKCDISRLQVLFGSNATIAVQDPSYPAYVDSSVIMGQTDLYQQDVQKYGNIEYMRCSPENGFFPDLSTVPRTDIIFFCSPNNPTGAAASRDQLTKLVKFAKDNGSIIVYDSAYAMYISDDSPKSIFEIPGAKEVAIETASFSKYAGFTGVRLGWTVVPKELLFSDGHPVAKDFNRIVCTCFNGASNIAQAGGLACLSPEGLKAMHDVVGFYKENTEIIVDTFTSLGFDVYGAKNAPYVWVHFPGRNSWDVFAEILEKANVVTTPGSGFGPGGEGFVRVSAFGHRDNIIEAARRLKQLYK >Sspon.07G0019910-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:82886603:82890840:-1 gene:Sspon.07G0019910-3C transcript:Sspon.07G0019910-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPAAASAVKKALVVAVCVVLLLHSSAGHQPPMSAQPPPPHCHYTGQQPPPPPPPSPVPTSPPPPPPPSLTPMPQPPPPPSPTPTPTPVPAPMPTPTLPPAPVVPPSTPPPTLPPPPVPLPTPTPAPAPVNCSHIDCASQCSPICQANHDAGIAKCESDLVTNFNGCYDVCTSHVCPGDSCVNSGCGYGHCPCDNANATSCCQGCGSGVYPQYLSCRNYYDRAVGYCMMDCQDTCYKNCTSDGQQTPTPTPPPPVVPAPTPTPTPTPTPTPVPAPMPTPALPSPAPAPAPSPISCNYSDCASQCTPSCEAGYHPTNCTALLEGAFNGCYESCTNQTCPGKSCVHSGCGFSTCSCDNPHASVPTVPESQRKVYGVLYDELPGQVLQELYPAGRSRGTQAMGPACMDAVARTIPIEGTWTHGTQHSLKEGNARASHRDSSAHPRAAVVVTAARRNQDRVHARIRPPAVGSRRPSWDPASRCRIETPAAGIQSPAAGSRHLPPDLEVEGKGERPTTKIRPPSVRLHAFLLEVARSGRCSGPPTTRRASALGPPATEPELATRPRQPCRREGETLGEGEGPPECGSGGRDRRETLREGEGRLSEG >Sspon.07G0000870-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:10840190:10845504:1 gene:Sspon.07G0000870-2B transcript:Sspon.07G0000870-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Single-stranded DNA-binding protein, mitochondrial [Source:Projected from Arabidopsis thaliana (AT4G11060) UniProtKB/Swiss-Prot;Acc:Q84J78] MPLAFGPLVYGRVTEPPPFPLAVSLSHQRGEAPLPAAPGAATPKPISDGPSTTFHHDSCQRRIAEGLEEGHGTAKGFNRQSRVWSSTVSFSDVDEKVDMEYDDNYPDSKRELRPQSVDPKKGWDFRGVHRAIICGKVGQVPVQKILRNGHTVTVFTVGTGGMFDQRVIGPNDLPKPAQWHRIAVHNDQLGAYAVQKLVKNSAVYVEGDIETRVYNDSVNDQVKNIPEICVRRDGKIQLVKSGDSAANISLDELNERLCAGVVLLNAWIMRCLN >Sspon.07G0002670-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:5485694:5487967:1 gene:Sspon.07G0002670-2B transcript:Sspon.07G0002670-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAQESLSLVGTMRGHNGEVTAIATPIDNSPFIVSSSRDKSVLVWDLQNPVHSTPESGATADYGVPFRRLTGHSHFVQDVVLSSDGQFALSGSWDGELRLWDLSTGVTTRRFVGHEKDVLSVAFSVDNRQIVSASRDKTIKLWNTLGECKYTIGGDLGGGEGHNGWVSCVRFSPNTFQPTIVSGSWDRTVKVWNLTNCKLRCTLDGHGGYVNAVAVSPDGSLCASGGKDGVTLLWDLAEGKRLYSLDAGSIIHSLCFSPNRYWLCAATQDSVKIWDLESKHVVQDLKPDIQISKNQILYCTSLSWSADGSTLYTGYTDGSIRVWKISGS >Sspon.07G0027970-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:63888910:63895275:-1 gene:Sspon.07G0027970-1B transcript:Sspon.07G0027970-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPRKRGVDAGEVQDLHNKAPRAAATAPAQDKNKEVAEMAGRAPEIDEDLHSRQLAVYGRETMKRLFGSNVLVSGLQGLGAEIAKNLVLAGVKSVTLHDDGKVELWDLSSNFFLSEKDVGQNRAQACVPKLQELNNAVIISTITGDLTKEQLSNFQAVVFTDISIEKAIEFDDYCHSHQPPIAFIKSEVRGLFGNVFCDFGPEFTVLDVDGEEPHTGIVASISNDNPALVSCVDDERLEFQDGDLVVFSEVHGMTELNDGKPRKIKNARPYSFTLEEDTTSYGTYVRGGIVTQVKPPKVLKFKTLKEAIKEPGEFLMSDFSKFDRPPLLHLAFQALDKFRTELTRFPIAGSGDDAHKLIDLAISINETLGDSKLEEIDKKLLQHFASGSRAVLNPMSAMFGGIVGQEVVKACSGKFHPLYQFFYYDSVESLPVEPLEPSDLKPESSRYDAQISVFGAKLQKKLEQSKIFMVGSGALGCEFLKNLALMGISCSQNGKLTVTDDDVIEKSNLSRQFLFRDWNIGQPKSTVAATAAMAINPKLHVEALQNRASPETENVFNDAFWESLDAVVNALDNVTARMYIDSRCVYFQKPLLESGTLGAKCNTQMVIPHLTENYGASRDPPEKQAPMCTVHSFPHNIDHCLTWARSEFEGLLEKTPTEVNAFLSNPGGYATAARTAGDAQARDQLERVIECLETDKCETFQDCITWARLKFEDYFSNRVKQLTFTFPEDAMTSSGAPFWSAPKRFPRPLEFSSSDSSHLNFLLAASILRAETFGIPIPDWAKNPKQLAEAVDKVIVPDFQPKQGVKIETDEKATSLSSASVDDAAVIEELIAKLEAISKTLPPGFRMNPIQFEKDDDTNFHMDLIAGFANMRARNYSIPEVDKLKAKFIAGRIIPAIATSTAMATGLVCLELYKVLAGGHKVEDYRNTFANLAIPLFSMAEPVPPKTIKHQDMSWTVWDRWTVTGNITLRELLEWLKEKGLNAYSISCGTSLLYNSMFPRHKDRLDKKVVDVAREVAKVEVPSYRRHLDVVVACEDDDDNDVDIPLVSIYFR >Sspon.01G0005330-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:14518132:14520482:1 gene:Sspon.01G0005330-1A transcript:Sspon.01G0005330-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEATPTTAVSSSGGAAQLPPPGPPAKKKRALPGMPDPDAEVIALSPKTLLATNRFVCEICNKGFQRDQNLQLHRRGHNLPWKLRQRSGKEARKRVYVCPEPSCVHHDPLRALGDLTGIKKHFCRKHGEKKWKCDKCSKKYAVQSDWKAHVKTCGSREYRCDCGTLFSRRDSFITHRAFCDALAEESAKARAEDGGSAAAVVPPPAPPPPAPPAPHHAEQRNEPETNATEPVQFAPPPPPPPPQVPVLSQTSVSAANVSAGSSSSVAGTSQSLLGGMFAPSSMASAPQFPDLVGGVGRPERALPAKPPSLCLATDASSSIFSAPVSAERQQFAPPPPPSPSPSPHMSATALLQKAAQMGATSSSSSFLRGLGLDVSSSSPGASSSGQQQHHQDAMQVSLPDTSLPQWPPRLEPEPAPMLSAGLGLGLPYDSTGAQVCLPELMMGQSSLFSGKPATLDFLGLGMSPTGAPASRGLPAFIQPISGAVGMAGTGAGAADTFGAGRGAQATPWERNPSSSPIL >Sspon.01G0029060-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:117029612:117032753:-1 gene:Sspon.01G0029060-2B transcript:Sspon.01G0029060-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGISTIIIFFSSVCCYYLAAAPVAALFFNYDSFSPEDLKDIRVEGDAYISSGWIEVTKNRLSGIGHSTGRASYSARPMRLWDKDTGEVASFTTRFAFVIDPPGDRGIDNKGTGMAFFLAAAYPSSLPSGSYAYNIGLTNQSADAVAAGDARFVAVEFDTFNDTIAHDPNDTYDHVGIDVNSIRSVATQTLPSFTLIGNMSAEIRYHNVSSVLEMTLWLGDGRDTPPSYNISHKVDLKSALPENVSVGFSASTSTSIELHQLHSWYFSSSLEPKAATPILLAPPPAAQQRQPPPPPPLMDVSGSGSGRRAGVIAGASVGAALLLGLLVALAALLLQRRRRRKQAQELELELEDILGGSDDDEPIMEIELGTGPRRFPYHELVAATKGFAPEEKLGQGGFGSVGAALAAADERLKGEYDAAEVERVVAVGLWCAHPDPRARPSIRVAMAALQSNHGPVPALPAKMPVATYAVPLASPEGGGLFSYNASSSGTSSSLTQSSSTTLNMKKEATARTIVLRLPGSAQLMLQ >Sspon.03G0023330-1P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3D:56191462:56192106:-1 gene:Sspon.03G0023330-1P transcript:Sspon.03G0023330-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPNGDAAVVAAAQAATPVPAPAPKKEAARRQSSKPRRISMEGLQRAMSDLALELSRDSKKAAAADAAAKAEPPQLPSIVEQQQQQQAEEELARCECCGMQEECTPQYVRRVRDRYCGRWVCGLCAAAVNAEAERAGGSGGGTRTVEDALAAHMAVCGRFNRVGRANPVLMQTEAMREILRKRCRSNSPRDHAGPAGALARSSSCIPAITKDFN >Sspon.01G0030070-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1A:104392517:104393167:1 gene:Sspon.01G0030070-1A transcript:Sspon.01G0030070-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLDGRWRGQKRRRRTVRASCPANQGCLKITPVCSHHRIPDIGGASAERHASLHVLQKSPLPFRESTRSPFNICGSFAKSHPQPYPSAMLHPHLFSGFLPSLFIPPPPPPRDALPRASPSCSSPPPRTTGARARHRSTQPRRRGSGGCVASPAGERDGGARLYGERGGPLFPPLSSSSRYAAAAPRILPHATGSSSISADTILPSSRHEQTFLHPA >Sspon.08G0000750-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:2481383:2486094:-1 gene:Sspon.08G0000750-1A transcript:Sspon.08G0000750-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTVEEAERCIKYLNDSVMEGRNITVEKSRRGRPRTPTPGSYLGHRYERRERGRFRRGYGGGRDEYYGNGGGYGYRRSPPPVHASYRESRDYYPSYRESRDYYPSYRDSRDYSPHRDPRDYYESRGGRGYSPPPYGGGRSRRERSISPYRMPERGYGGGRRAGGGGYDR >Sspon.05G0012610-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:5A:39285397:39285798:-1 gene:Sspon.05G0012610-1A transcript:Sspon.05G0012610-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQYGAAAEQAWYMPVVAPSSAVETAAERVERLASESAVVVFSVSTCCMCHAVKRLFCGMGVHPTVHELDLDPRGRELERALACLLGASGATAAGAPVVPVVFIGGRLVGAMDRVMAAHINGSLVPLLKEAGALW >Sspon.07G0016420-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:46339689:46340249:-1 gene:Sspon.07G0016420-3C transcript:Sspon.07G0016420-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMVIVLAVCLALSAASASALQMPWAGLQGFSPLTTMMGAGWLYPCAEYLRQPQCSPVAAPFYALREQTMWQPNFICQPLRQQCCQQMRMMDMQSRCQAMCGVVQSVVQQLQMMMQLQGTAAASSLYQPALMQQWQQLLPAAQALTPMAMVVAQVAQNMPAMCGLYQLPSYCTTPCALSAAIPPYY >Sspon.06G0018770-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:85790916:85798023:1 gene:Sspon.06G0018770-1P transcript:Sspon.06G0018770-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQEAAAADSSGPRFAPDDPTLPAPWKALIDGATLYYWNPETNVTQYEKPAATAGVPPLPAGHPPPTPAQVPEPAPGVYSQPNVQFGQAGQAGHQERPGQAAYPQGGQLGQQQHTQQPAQQQPFQQHVTAQHQASFQQVPYQQQQTHMPNQPHQYHSAHPQHMLYQHGPYMQPLQQQQAPPYSYQADQQPQMPQTAYNQAQQPPMPQAAYNQGQSQQPPMPQHSYNQGQSQQPPVPQTSYNQGQVQQPPMPQSSYNQGQQSMIPQSAYNQAQQPQIPHGAYNQSQQPQGVRIAQSQGQHPQQSLSFPQASQSPQVSQPQGLKMPSQQGQPQHGLPFPQHGKPPLSHGQQSPLLKDDDGGAHEGKQSGFSLPLSQQRGQASLPNQQLPFSHRHPGALSSQPNIPGVGGPLYPAKHLPGGSSSAETNNMGFMNSPAQMHQGGADTNYQQKPVSGHAVPNHVGPSPIRPPMSFDMGNSDGHFERDDPHSYGRFDGTKALQQQPKLAPLPTSQNPMGMHNGSPYPRPDNFSGYNMAPPHSGLNPHNLGPLPIGTSVRPPSSMFAPPDFPSVSSADAYRQHHEVIAMGENVPAPFMTFESTGFPPEILRECLYGGASKGPQLRELERGADIVVATPGRLNDILEMKKISLHQVSLLVLDEADRMLDMGFEPQIRKIVDEIPNTRQTLMYTATWPKEVTKIAGDLLRDPVQVNIGSIDELVANKSITQYVEVVPPMDKQRRLEQILRDQERGSKIIIFCSTKKMCDQLARGIGRNFNAVSIHGDKSQAERDNVLNQFRTGRAPILVATDVAARGLDIKDIRVVINYDFPTGIEDYVHRIGRTGRAGATGISYTFFSEQDWKYAGDLVKLLQGANQHVPPQLQDMAARSASGGPRNQAAGMSRWDGPGGRRFEPGAGPVGYGGVREGPGGFSDREGPGGFGVRESPAMFGGREGPDGLGGRGGPGGFGGHEGPGGFGGREREGPGGFGGRKGPGGFGGRDGPGSGGFGGRGERGSGGFGSRGGSSPGGFGGRGGRGDSPGFGGVAGVIFPVLVGVAGVILPVLVGVAGEIFLVDVVAGAVDLAGGDVLTEAHMTGLSQMDGDDMITVEDLETRVGI >Sspon.05G0004330-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:7941699:7942295:-1 gene:Sspon.05G0004330-2B transcript:Sspon.05G0004330-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTSSTEHAHVKHITLHQFLKQQQLLQNRLKPAVMWGWPSTAAAVGRHVPEDVADDDDALAGAWPPRSYTCAFCRREFKSAQALGGHMNVHRRDRARMRGGHHGGSAAAQLQLGGAAMLPVGTDETPHAATAAAKYAVLYPILNSNAAGAVLIPSGDVLLSGPVALAPAHERCHVSDDDEEEEEDKDVDLELRLWWP >Sspon.01G0061910-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:98764703:98767495:-1 gene:Sspon.01G0061910-1D transcript:Sspon.01G0061910-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RFDRGLERRGGIKSSGWIFESLCEPYAVRVARTVRRGVRVYTCSVVVGPTHPICSMIYGSTGATHFDQLAKILTGYEITGARSSGIFMGILFIAVGSLFKITAVPFRAASYSLCSVVTQDKHAARLLAGRIEVIFRSTVRPVKCKKHSGITQRTQLVCFLPTRKEERQGSDLTVCSMGADYPKNPWEKRKDISVTKTIGGCIGEIQGFTAAQKKNRLEVRGPLVPYRTSSLRAKRRPPLSLSFFHSAYFLALFRQSKAKSVTKIFLSIDRNESFLSIAPKISISANMSRVSIVASYGGTLQQIFFFCSIASMILGALAAMAQTKVKRPLAHSSIGHVGYIRTGFSCGTIEGIQSLLIGIFIYASMTIDAFAIVPALRQTRVKYIADLGALAKTNPILAMTFSITMFSYAGIPPLAGFCSKFYLFFAALGCGAYFLAPVGVVTSVIGRWAAGRLP >Sspon.02G0035760-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2B:21511530:21512361:-1 gene:Sspon.02G0035760-1B transcript:Sspon.02G0035760-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding EDEQNRRPRLGLFSDAVRMNQRTAAVEEKPAAAGARGEVAGAGFGRRRPPQRARERRAPSASPLDGGELSSGRARAPARGAAAAPPSSVPPAKAMDGRARFSDGCGGDRENKKTKGGGKKLRRRHRSSPATTAKDGLRRFPTRPMAGGWLGFVEPKHKQNRRIIADGSDRPAELHGLKSAQAGAPLRKESRPRPRLRPGRGATGLLGRASLPNGPANWLAGQAGWAGRLRPCARTAGLANNCWAGWARGKERPAGQI >Sspon.06G0023990-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:49882598:49885232:-1 gene:Sspon.06G0023990-1B transcript:Sspon.06G0023990-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:MYB transcription factor [Source:Projected from Arabidopsis thaliana (AT1G63910) UniProtKB/TrEMBL;Acc:Q9SRB0] MGHHSCCNQQKVKRGLWSPEEDEKLIRYITTHGYGCWSEVPEKAGLQRCGKSCRLRWINYLRPDIRRGRFTAEEEKLIISLHAIVGNRWAHIASHLPGRTDNEIKNYWNSWIKKKIRKPAMSTTSSSVTTVSPPCSTAASDAGLGHHLQTPFSAAAEHQLDAFLSQSLALPPPKLGGSGGQESPPAAPLPPHCPFFMFDTSVSVSPPSSLTSPAAAHQLQHPFLTFTAAAMDDAPISYHLPPLVDGMGMGMAAMDCGGLGEEERGHDHREAGNNGQAAAGMANGGGGCCYGQKEEEPALGQDQWDDESAQHLLMWDDDQELTPSNLEAMESTAHSLLFMGPNDHA >Sspon.02G0032590-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:121086477:121091940:1 gene:Sspon.02G0032590-1A transcript:Sspon.02G0032590-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDAANKWEQNILTVLRPHKEASKTKPRGLAGSDSPSLPQPPPMPPVPWPRTRWMALAASAIIQCCAGSSYCFGVYSAALKASQRYDQSALDAVAFFKDVGANAGLLSGLLAAWAPGGRRRPWIVLLAGALLCAAGYVPIWLAVAGVAPAPLPLMCLYMLLAAQAQTFFNTADVVSAVDNFPDRRGTGFLGLSGAILVQIYHTLHIDPSSFILMLAVLPTAVTCQLMYFVDVHKPHERHNKKFLDAFSLIAVTVAGYLMILIICGQIFSISSAVQSICFVVLLILVMSPIAVALKARTPHEESISEQRTGLLREEVAEDSENATSSTALGGSDQDLSAGKENLNVLQAMCKLNFWLLFLPMACGMGSGLATVNNISQIGGSLGYTTKETSTLVSLWSIWNFSGRFGAGFISDHFLRQRGVGRPFFIGVTLLIMSAGHAIISSGLPASLYIGSVLIGMCYGCQWALMPSITSEIFGLNHFGTIFNMVAVASPVGSYILSVRIVGYIYDIESPPDEHSCVGRQCFALSFMIMAGVCMFGSAVAFVLFIRTRTFYRRVIYARLQSFLDYFRLLLCLCFLLRLGISQRLSGRRLLGLLQSLHETLQACVGGPFLLLGHGHQLRYLPEQLVLLDVQVVLGEHLEGPEAAVGHLDVPVHPASPDQRRVQLLLVVGGEDDDALAAARRPQAVDEVEQARKRHLGAVVLVRIRRQLGLLVTVCLVLLLRLLVLALAGEVDGAVDVLNDDDGLAGCLDEELPQLSVVLDRRELEVVDVVVEEVGHGGDHGGLAGARGPIEQVPSLPRLADLGVVVLSFPERLELVKDLLLLVGVHGERVERGRVLERDVAPDVLATVGVPAAAGVVGEEAALAVADGDGAAFLEDVGQVPVEDEVAVAPVEDDPVEAALFLAGEPADDLGPGELLAGVPPDGGPGEGVGDLVVVVDGDLKVLAVLAELSPELARAACAGVG >Sspon.08G0012380-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8B:49495045:49495287:1 gene:Sspon.08G0012380-2B transcript:Sspon.08G0012380-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRRNAQRHEHEGGAVDVDNIDNRPVPSSDGRALHRELLGGRNTTRKLMCREIGQLRGRMPPRPDVNFCTEKFRRFKLQV >Sspon.04G0012210-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:40861690:40867997:1 gene:Sspon.04G0012210-1T transcript:Sspon.04G0012210-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLLYLLAEAGDTLFATFIGTKQYKDIIADVNILQGTLFHEDTAQDLAPDVDPEQNGTQKGEENHGKSYRETSKKLRKSKPAVHRGFLARANGIPALDLYNLAQKRNRKLVLCGHSLGGAVAALATLAILRVIATTPSKEDNRLHVKCITFSQPPVGNAALRDYVHKRGWQDYFKSYCIPEDLVPRILSPAYFHHYNAQTPEASFINKNGAKSEETMEASAERPKGNNGEQLVLGVGPVQKSLWRLSKLVPLEGVRKSLSVIQKQTNVFGKAPSQLDSYLQSKVDESEEPPQSLEIQESSQGIALTPLSDKDGGNAEDNNSTEKINASEAGGSKRWSRVPSLPSYVPFGELYLLGDSSVNTLSDSEYSKMTSVQSVISELRERLQSHSMKSYRARFQKIYDSCMCANAPIFTGIEQLPQFSHLQELIGLTATDSVELGDIVDPPVIQTATSILPLGWNGLPGGKNAEPLKVDIIGHDLQMCTLFQAQINGIWYSTVIETLPSVTSFSPNGELQPTLQKMRILVGQPLKQPPNYISEAFMVPVMTGADSTPDFGFESLFEDKDCCKGLSGFLIYGTSDFVTVCKKVYVRTRRVRLLGLEGAGKTSLLKAMLGQVKERNSAVLECIHVDLHGKGISSGLCYIDSTTVNLQELPLEVGRFKEELSLGLHDISRKTDLVIAVHNLAHRIPQYQQSNTSRPQPALSLLLDEAKALSIPWILAITNKFSVSAHEQNTLISSAMEAYQASPEMTKVVNSSPFLMPSARNSLQRIRSATGNLGNKDPANRSAYLPVNFVLSPFQRKDIVMHVEGVSALRQLVHQVVLNNEEQAFEELARERLLQELAREKAASLQMKQKPPKRDGSVTAAAVGASLGAGLGIVMAVIMGAASALRKP >Sspon.06G0001640-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6A:5254367:5257247:-1 gene:Sspon.06G0001640-1A transcript:Sspon.06G0001640-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHHKIGGAAGGLCFGFSVTGVASAEVPVIRIKDNAETSSSSASSSHGKKVYTDYSVTGIPGDGRCLFRSVVHGACIRSGKPIPNEDLQRKLADELRAMVADEFVKRREETEWFVEGDFDTYVSHIREPHVWGGEPELFMASHVLQMPITVYMCDEDAGGLIAIAEYGQQYGKEDPIQVLYHGFGHYDALQIPAKGLAQKGLV >Sspon.05G0004680-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:13775279:13777230:-1 gene:Sspon.05G0004680-1P transcript:Sspon.05G0004680-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKLEATKEHDDGGRDVTVTVAPALPVQEHRLPLSNLDLLLPPLDVSLFFCYLHPAPTAAALKEALAKTLVAYYPLAGEVVANGDGEPELLCSGRGVDFTETTAAGAELREVRLGAVDEGVEKLVPAKKAGVMEVKLTKFRCGGAVVGCTFDHRVCDAYSFNMFLVAWAATARDSSAPPPAPSFRRSLVAPRDPSHQRAPSTDALIDRLFSPRSAAPPPPPPAAAGGSVNRIYRIAAADIAALKASAGPGRTKLEAFTAHLWQLCAMAAAASPSQISQCCMGVVVDGRARMFPDGAMKAYFGNVLTIPYGVIGSEDLGRMALDEVAGDVHRWVAEAATGDHFRGLVDWVESLRPKPAAARAYLGGTGGNEATSCIMSSGMTFPVGKADFGTGLPAFASYHFPWPAGAGYVMPMPSARGDGDWVVYVHVAPELAKVMEEESTVFQALENSYVFE >Sspon.01G0028330-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:120016962:120018219:1 gene:Sspon.01G0028330-2B transcript:Sspon.01G0028330-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPFHGIVKEEFDFDFDFDFTGASAGDAAASSWAVALPELPRPMEGLGEVGPTPFLTKTYDVVDDPNTDTVVSWGFAGNSFVVWDADAFATVILPRYFKHSNFSSFVRQLNTYGFRKVDPDRWEFANEGFQRGQRELLKTIKRRRPPSSPSSAQQGQAPSACLEVGQFGLDGEVHRLQRDKGILLAEVVKLRQEQQATRAQMQAMEERIATAEQKQQQMTVFLARAMKNPSFIRMLVDRQGLGGRRRELEDALSKKRRRPIEYHLPRDGESSGGTATEAAVSDYISGLPVGVNGVAEADDDESKREGSGVGGGEDTESFWVELLSLGLEEKHREGSGGGGEEGSGADVDNDVDDDVDVLVQSIYHLNPNPNPNPGSPSG >Sspon.06G0015140-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:83238591:83240005:-1 gene:Sspon.06G0015140-1A transcript:Sspon.06G0015140-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASESVPFCVKGGGGGGAPRPHGHLALPAGRVREGLAFAAGAVVSALVLLSSASVLAPPPVPSIVSLPVPSSSSSPSVSAAAVGKGPRTFYDDPSLSYAVGVGPRRRRVITDWDAKRAAWLRTRGLDGAAAVAGRVVMVTGSQPEPCKGAGGDHALLRFLKNKLDYCRLHGIELLYNTALLEPSMVAYWAKIPAVRAAMLAHPDAEWVWWVDADAVFTDMDFALPLDRYRQKNLVVYGWEREVYEEERPSWVGLNAGVFLIRNCQWSLDLMDAWARMGPASPEYARWGKTLREELEGKPNDESDDQSALRARWANATFLESGYYFQGYWAEIVDRLDGVAARYEAVERGRSGLRRRHAEREHLLYAAARREAVRRRDGNGVPGPDGGGQKGWRRPFVTHFTGCQPCGGAPNRMYTRRRCAEGIRRALAFADDQVLRAYGFRHAAPLSESVTPLPFDYPAAH >Sspon.01G0002620-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:73185:73982:-1 gene:Sspon.01G0002620-3C transcript:Sspon.01G0002620-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGCGAAADGNPAAAADELASGADAGLNAFFDHAGLDLAAAGGGQGADEEEELEWLSNMDAFPSVETMSAEVEAAPSAPAAGVGRLEPLPHAHAVGPRTKGLRRRRRVTAPWSVPPVLPPAPPPPGGAPPRRRCTHCASEETPQWRQGPKGPSTLCNACGVRFKSGRLFPEYRPILSPTFSPLLHSNSHRRVMEMRRHVEVETATAGGRAGARARRAERAAARAAAATATKGK >Sspon.06G0020980-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:13199201:13199654:1 gene:Sspon.06G0020980-2C transcript:Sspon.06G0020980-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVSFSRVRALPTWSSSVSGDDHHSYSVLAMSVSARPRSSARPLRSPARMMGNVNEGKGLFAPLVVVVRNIVGRKRFNQLRGKAIALHSQVINEFCKAIGADNKQRQGLIRLAKKNGEKLGFLA >Sspon.08G0016050-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:58214461:58217637:-1 gene:Sspon.08G0016050-2B transcript:Sspon.08G0016050-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFVWLTAFFLVVALIVLVIYQLMCLADLEFDYINPFDSSSRINKVVMPEFVLQALLSVLFLLSGHWVMFLLSLPLVYYNYTLYQRQQHLVDVTEIFNQLGREKKRRLFKIVSLIVLLFLSLFWMIWSVLSEEDK >Sspon.06G0000440-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6A:2212560:2216484:-1 gene:Sspon.06G0000440-1A transcript:Sspon.06G0000440-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLDPLLGEVPPVDLQHGELLLEDDPEVLLVREGQHDGRPERLGREAPGLPDQLSDVVRRRLRRGGGGGRWRRRWRRRRRARGRRCRGRAAPARCRSRSPGCPSTR >Sspon.05G0003670-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:23719897:23726151:-1 gene:Sspon.05G0003670-1P transcript:Sspon.05G0003670-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPVTSSPTAAASFFHAHTLHPLPWMDPAPATPRWNLERPYLTGRFHQVCPLSHTASITTSTPLSRTSHALAGGEGSCGGAGTRIQVVLPRLLQVGTTVEFVRFRRNNPMILLRGAGAGTGSVIGSYAVSVQELLVIDDLLSALVGIEGRYISIKRVRGKEGYVVFQIDSSMDLALQELTRRIFPLCEDYVLVSQFVESRSHFKNGLVNHALAAALRAFLLDYQAMVAQLEHQFRLGRLSVQGLWFFCQRMMSSLNALAVLVEKATSNNTNGSATLNLLQSQAKAMGGDSAVRSLLEKMTEYASGAYLRMLERWVYEGVIDDPYGEFFIAENKSLQKESLTQDYDAKYWQQRYSLKEGIPSFLTNVAAMILTTGKYLNVMRECGHNVQVSLSDNSKLMSFGSNHQYLECIKSAYDFASGELLTLMKDKYDLIGKLRSLKRYLLLDQGDFLVHFMDIAREELTKKPEEISAEKLQSLLDIALRSTAAASDPSHEELICCVERSSLLKKLATLKDLDCAYPADKLAAADVDQSMQLSITGLETFCLSNKVQWPLSLVISRKALTKYQLIFRLLFHCKHVSRQLCAAWQIQQVFRSVKILGTPILRSSILCRSMLKFVNSLLHYLTFEVLEPNWHLMHDRLQTARSIDEVIQIHDFFLQKCLKECLLLSPELLVYATSIQLLMPSIDVTNSENTSKSVKSRSRINKSQDRDQQLKLASENVVMSESILKFEAAFNSELQSLAPTLSNSSHAEPYLTHLAQCILGVRIDQ >Sspon.04G0009710-3P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:23273171:23274435:-1 gene:Sspon.04G0009710-3P transcript:Sspon.04G0009710-3P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQELHRPMELPPGFRFHPTDEELITHYLARKVADARFAALAVGEADLNKCEPWDLPCKHQPAGCTPARTASACCYFCTSQSSNHAWLKSSAWWFACAALATMGEKEWYFFCLKDRKYPTGLRTNRATEAGYWKATGKDKDIFRQGNGKALVGFKKTLVFYTGRAPKGEKSGWVMHEYRLHGKLHGAVVPSKAAAGSKNEWVLCRVFKKSLVVGGAAPAAGKRDGMDMSKMGDDVAAISHLPPLMDVSGAAVANPAAAAHVTCFSDALEGHQFFNQQTPPPEAATDHLGLAAASSSFLLSGFAHYGPLHHGGASLVQLLEGSVYGSGIPDMSNDKQQQPVLAPPCKGGRERERLSASQDTGLTSDVNPEISSSSGAQRFDHDHLCWGY >Sspon.03G0023150-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:93946300:93946600:1 gene:Sspon.03G0023150-2B transcript:Sspon.03G0023150-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMDSGSWLHGYATTNAGGNNGFMCGYAASSCSPADLQFMEGEQQQFLISSQIQHHLNQASMQRPI >Sspon.08G0014170-2D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8D:56108966:56109235:1 gene:Sspon.08G0014170-2D transcript:Sspon.08G0014170-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRKSRSSKLAAQPKKAPKLDKVFTCPFCNHPESVKCCIDLKLGYAEASCLICDESYCTVPNNLTAPTDVYHEWIDECERANDDVRRCR >Sspon.06G0017110-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:74723307:74724830:1 gene:Sspon.06G0017110-1P transcript:Sspon.06G0017110-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNSTDATADTTVPQPPPSQGRLITVLSIDGGGIRGLIPATIITCLEAKLQELDGPDARIADYFDVIAGTSTGALLTSMLAAPDEKRRPLAIRRQGPHHLLPRERPQDLPTEKVRTKVGWLTPVANLIGTMRGPKYDGVFLHDKIKSLTHDVRIADTVTNIVVPAFDVKYLQPIILSTYEAKSDALKNAHLSDICISTSAAPTYFPAHFFKTEDADGRSREFHLVDGGVAANNPTMIAMSMLTKEVLRRNPDFNPGKPTEYRNYLIISVGTGSAKLAEKYTAPQCAKWGLIQWLYEGGFTPIIDIFSHASADMVDIHAAVLFEALHCEKNYLRIQDDSLTGHASSVDIATKENMEALIGIGKQLLKKPVARVNIDTGMYEAVAGEGTNEDALARFAKMLSDERRLRQANLNSY >Sspon.02G0013040-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:37643423:37652816:1 gene:Sspon.02G0013040-3C transcript:Sspon.02G0013040-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEFLDLEVQDGIRMPWNVIPGTKQETVNCVIPVSAIYTPLKSIPDIPVLPYAPLRCRMCRSILNPFSIVDYVAKIWVCPFCFQRNQFPQHYSSISENNLPAELFPQYTTVEYISSTETGPIVPPVFIFVVDTCMIEEEIGYLKSALAQAVELLPDNSLVGFITFGTYVQVHELGFGLLPKSYVFKGTKEVTKEQILEQMCFFAGKKMPTTGVIAGTRDGLSSESISRFLLPASECEFVIEEIQKDPWPVPADQRASRCTGAALSVAANLLGVCVPGSGARIMAFVGGPSTEGPGSIVSKSLSEPIRSHKDLDKDSAPLYDKAVKFYDQIAKQLVHQGHVLDLFACAVDQVGVAEMKVAIEKTGGIVVLAESFGHSVFKDSLLRIFQSTDDNLGLSFNGILEINCSKDVKVQGIIGPCSSLEKKSPLSSDTVIGQGNTSAWKMCGLDRKTSLCLVYDIAKKDGPDSIGQSTSNQFYFQFLTYYQHSEGQMRLRSTTISRRWVSGENNELVAGFDQEAAAAVMARLVSFKMETEVDFDPVRWLDRALIRICSKFGDYQKETPSSFCLSPRLSIFPQFIFNLRRSQFVQVFNNSPDETAYFRMMLDRENVANAVVMIQPSLISYSFQSGPEPVLLDATAIASDKILLLDSYFTVVIFHGVTIAQWRNAGYQDQEGHEVFAQLLKAPHEEANLIIKERFPVPRLVVCDQYGSQARFLLAKLNPSVTYNSDNPSPGGDVIFTDDVSFQVFMDHLQRLAVQ >Sspon.06G0029240-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:16420671:16422957:1 gene:Sspon.06G0029240-1C transcript:Sspon.06G0029240-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHSSGEMGDDAIFCHEIEVLMKIRHHNIVKLYGFCSHRWFNFFVYDYIERGSLATVLQLSYRYVATEKCDVYSFGVVSMEVVMGKHPGELIGQLPFLEEHVLLEEIIDKRPVTPASDEEGSVPKSGVKGIRSAKI >Sspon.02G0004890-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:9829691:9834002:1 gene:Sspon.02G0004890-3D transcript:Sspon.02G0004890-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKWGSKIPKFIGMGAGSREQKVTNPPDAESSTAHSFSPIAGPGGPGHAKPEKPLQSSPAVAAGGWLPVTALQSPSTSTPPPPPPSSSRHSLPARRAPRNLPFPDSVLWEASEIARRCRMESSSGEELEEEFPGHEWITPQSSVNAAYQSQTEKGIRKICSDLLELKDAIENLSGNRQSKVLAFLRISEEVVEAEQELIELQKHVSSQGILVQDLMSGVSRELDNWHKSSKEEDATKKDPETELDEILSHGTQDPKAIFLDKLDVLLAEHKMEEAVLALEAEEKKYLVADESGKESNAENTAFKTALIKRKAILEDQLVRVRRKIVDGARNDDILLLTPQEGSPLSGPVSPNVMLTSSGKKFMSIVNDVLDQILPMTIVHFGGAILNKSLQLFDRYVETLIKVLPGPSEDDNLLESKEPVEFKAESDAQQLTLIGTAYTVADELLPAAVAKFFDMQAEKKGAGGSSEGLGPGSIYAMEYKEWKRKSRLDAKMYLGRKEDDLLSDPDPLPSLPFQ >Sspon.01G0036300-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:15011381:15013955:1 gene:Sspon.01G0036300-3D transcript:Sspon.01G0036300-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ISELLGEDTALCNTIWSLRDAAQQTFFNILKSRGEKLLRYPPLVAVDLSPPPAVREGISLLLELIDTYNSMMVPAFGKRPNFDPVISALLDPIIQMCEQAAEAQKSKGSLGRRGRTSSDPSGNSRDSISSAESSSKVYLINCLSAIQEPLMGQEVATSYVNNLRSMIEAHIRALVDKELDSILRKCGLSNKMPYIKEYGSKADARPLADIIETSPQMLLECLKAFYGIVTGTEGSLPEFEQLQVPRLRSDACYGLARALAECYELIYSAVMDPNNSYPDPRSLVKHSPEQIRTILEI >Sspon.07G0014540-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:51602201:51607620:-1 gene:Sspon.07G0014540-3D transcript:Sspon.07G0014540-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRGGTSMLGIVNFITFLISIPILGGGIWLASRANSTDCIRFLQWPIIIVGLVLMVISLMGFAGACYRQTWLLRLYLFAMFFVVLALLFFIVFAFAVTDRGDGQVVMNRRFPEYQLSDYGGWLRDRVADPQYWATISACLRDGHACAGMRRLARDPNTGMLVPETPAMFYGRNLSPIQSGCCKPPTSCAFTYMNETYWTQNPGVPTVINDPDCSRWSNDQQMLCFQCDSCKAGVLAGIKKSWRKVAILNIVVLIILVIVYVAGCAAFRNAKRIENDEPIGMARMTKSHPSRFQF >Sspon.08G0001060-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:1515297:1518373:1 gene:Sspon.08G0001060-4D transcript:Sspon.08G0001060-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKNKHFLEQVSRVFGKDDEIIVGCQSGKRSLMAATELCSAGFTAVTDIAGGFSTWRENELPTTIQTFQNASQTSALNKVKFQKHEVDFRDVAMGNL >Sspon.01G0052910-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1C:27803032:27807498:-1 gene:Sspon.01G0052910-1C transcript:Sspon.01G0052910-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEASSSPSLWPPTSEKHALATARWREGSEGVGTLAAVLPKPPEGADADLSSVPASPGQGAPPQPRPQRPRCRPEGLVLPQIRRPRTPPHLLAPPPDFATPNPTPSLRSAAATVTGKMEGAAGPVPGSSSRAGGPPPSSAPSLAACQPPEASALVDAGPMQSGPPPAAGRDDPCEAGAGGDAADVPRTLAHEGPAPGHGGLPNIFDAGASSPAPPPVRGGRIASHRRGCIGGRGRGGGRGRCFHQAAAGCGRRDAFADSPKHRRWADDDDDSGDEQPPSYLVAACRPPKPTVAPVRPQANTSVAPGRGGVAAGRGRVPARQRLNRRGCDGPPAANAGPEQLPAHQRLGSARRATTPDADGWQCELPQHPHQHAQPQRPRQCHVACAGERNVGPLQFRHTPMVAASSASRRGTVSPPVTSLGGASAAMALGTSRGSARGRGASTTTAELLGQGAAAAAEASTTPRAAFPRRTEARPCPATPTSVAAVTAHAGDDIAGGGGSRRWQRHPSSQGLAAAACLPRGWSIDRASNRGPRTNSRRSGCHCDGRRLGGHSRRSAPNHDPTAATATTPIAEADDDLKITTPATAKVGRPAEQGTPAAPQRVVPLLIYARRDKATHGADPPRGVVDIPVMDAAAAQELDAPEDDAVCCTPLSGIGSPPPPTNTASAQRRFQAFAGAAATAATVQEELEALVCLPLQSLLIRERSRLRHSRTLVSIHSLRRSGRIAAQPRTANSTRQAQNVLSKKLGIAVDEDAVDSAIESRFKATFCHMTERKQRALQILFNSDFDPVTLDLDMAESDIVES >Sspon.01G0035670-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:12961888:12963118:1 gene:Sspon.01G0035670-3D transcript:Sspon.01G0035670-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTVTLEKTTTIQSDDAGVVGSPKTTMTSPLLMKKGAKAGAKAKGRCCGHRCELVSYDKLPEFLKHNEFIVDHYRSEWPIKEALLSAFSVHNETINVWTHLIGFFVFLALTVCAATMVPTEYESPHMAATSFTGLSNITGNAMVLRSYSADRAILAMTMKAFRNGSVVETEAAAVLSAGAAGHGRVPRWPFYAYLCGAMFCLLMSSACHLLACHSEHASYVFLRLDYAGITGLIVTSFYPLVYYTFLCHPFYQALYLSFITVSGAAAVAVSLLPVFERPELRWARAGLFACMGMSGLVPILHKMLVFGDRPEALLTTGYEMAMGAFYLAGVVVYATRVPERWMPGRFDLAGHSHQLFHVLVIAGAYAHYLAGLVYLGWRDMEGC >Sspon.03G0012870-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:45750006:45752395:-1 gene:Sspon.03G0012870-2B transcript:Sspon.03G0012870-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAKTLARAGSSLFGRILATPSPSPSILRAGLPLARLQPHVPPPAPAGVDAYEAETIARLSSLPGEISFPCGLPSLRFFIDDVEDPVANDPLQLLPKRTYQPSTIKRKRTHGFLTRKSTKGGRKVIARRIAKGRHRISV >Sspon.08G0016540-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:65088482:65096788:1 gene:Sspon.08G0016540-1A transcript:Sspon.08G0016540-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQLSSIAASSGLCLLSVLAIALLAVTIYILGVVASFAAFCISEFVVRAKDRPPLIGTMFRMLKNFDRLFDDHVEYALAHRTSRLVFPGHSEILTSDPEVIEHVLKTSFGGFKTEAMKDLFGDGIFATDGEKWRHQRKLASHEFSTKVLRDFSSGVFRINAAKLAEKISSAAANGTTIDMQDLLMKTTMDSIFKVGFGFELNTLFGLDESSIQFSEAFDEANSLVYHRYLDIFWQLKRLLNVGSEAKLKRSIQIIDNFVTHLIHQKREQLKSERDCKAREDILSRFILESERDPETMNDRYLRDIVLNFLIAGKDTTANTLSWFFYMLCKNPLVQDKVAHEIIELLEWAKEDNNIEHFAARLTEGAIEKMHYLHAAISETLRLYSAQNEDDVLPNGYRVIKGDGMNYIIYAMGRMTYLWGQDAEEFRPERWPVNGVFQLESPYKFVCFNAGPCICLGKEFAYRQMKIMAATLVHFFRFKLADESKEPTYETMFTLHMDKGLHLYAYPHFHEDNGGVSESFQVWGKHRFPDGEPKPMLVVMVKFRMVIEII >Sspon.05G0015660-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:55365748:55368396:1 gene:Sspon.05G0015660-2B transcript:Sspon.05G0015660-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAAGGEGETVRASHILIKHQGSRRKASWKDPDGRIISATTRADAAARLLDLRSQILSGQANFADLAARHSDCSSARRGGDLGTFGRKQMQKPFEDATYALKVGELSEIVDTDSGVHIILRTA >Sspon.06G0020580-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:35514167:35517100:1 gene:Sspon.06G0020580-2C transcript:Sspon.06G0020580-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQTGAIIREKKRTFVKVDPGHRLLQAQQIPKIGHVYRPKLLNPTTRLPPEPLCGASCRCAAAQSERKPPRRPSNGARAARAVGGVPGPAGPPFPFSGRRRRRRAARRPAPTPLAFLRDHVSPGRPLLVSAAATRHWPAASLWPTASYLTDALRSTAVSLHLTPDGRADALATHPHPRRPGPSSSRCFASAHVRRVDFPSAVRLIRGSDPAAGLVAYAQQQDDCLRGEYAAVAGDVDAHVPWASEALGCLPEAVNLWIGNAHSVTSFHKDHYDNIYVVLSGEKHFLLLPPTEHHRLYVRDYPAAHYVAVEQDSEGEHRLRLKLEMEEPERIVPWSSVDPCPASQEEMAVQSSSFPLYFDGPAPIRCTVRAGEMLYLPSMWFHHVSQSPGPNGLTIAVNYWYDMQFDIKYAYFNFLRSLEINGCSSGKAGALEDDLEEKIEMAD >Sspon.03G0038280-4P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:5199720:5200966:1 gene:Sspon.03G0038280-4P transcript:Sspon.03G0038280-4P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMGRRAGMAVAVAVALLLALSSCGVASARGPIRRPRPPPRKTLPPGKKPPPNSKFFPITPGRFGHKRDYEASCADENGPSCYVGCPSDCPNSCLVFCDYCLAFCPCDIWPGSACGDPRFTGGDGNTFYFHGKKDQDFCIVSDADLHINAHFIGNHNPALKRDFTWVQALGVTFGDDNHRLYVGARKAAEWDEDEDHIQVTFDGEPIDVDAVRNARWASKALPGLSVRRTKDVNAVAVELDGVFSISASAVPITEKDDRIHKYGKTDRDSLVHLDLGFQFHNLTDHVDGVLGQTYRPGYVTKVNISAKMPIMGGAPKYLSAGLFSTDCAVSRFHRSDAIAAAGA >Sspon.06G0015050-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:65228049:65230151:1 gene:Sspon.06G0015050-3D transcript:Sspon.06G0015050-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RMRKWPAAQTTKPDALYACSLPLCGNIWTDVRALEPTRERFQSPEPPAVKSLHLPKWNRRRSGRLGSSRSRAAAESRQAAAEARSSPVLDSTHLLFPSRSLLISSLAAPAEPPDAGDLFDGMNKKAAGASGEDRISDLPDGVLHHVLSLLPADQAVQTSVLARRWRHLWKGMPALRLVGPKTRFATAQDFDRFVNRLIAARGDLPLVSCEVEAYLTWDDYGGEPEEPEPNLYFDSWIQYALACKVQVLKVVGDVVGCESELIVPLISQHLVNLDVHHVCMEKDSVDFSSCPVLEELKMKECGLQYFGTWYCFTAPSSVWRQDSFA >Sspon.04G0010720-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:32617979:32618527:-1 gene:Sspon.04G0010720-3C transcript:Sspon.04G0010720-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPSTARALAAAALVAAAALALSVGATPETACAAAAARDRRVDYGFCVSRLSHHHDSPDADTWGLAKVAADVGVATAGDAVYDIKALLATNPPGDAQARAVLEQCQRLYDAAESAFAEAYDAINRRDYAAGKSKATEAASLARRCDDAFARAALRPPPQVARWGEESAKIAVVCTAITDLID >Sspon.05G0005640-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:107215478:107220784:1 gene:Sspon.05G0005640-1P transcript:Sspon.05G0005640-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFAGHLGDRVDLRILLTIGMIGTGLFTAAFGAGYWFDVHNFYYFLGMQMISGLFQSSGWPSVVAVVGNWFGKSKRGLIMGIWNAHTSVGNISGSLIAAAMLKYGWCWSFAVPGIMIALVGLTVFLFLPVGPDVIGIQEDLHLKDYEKSDTDTPLLERRSSDVKEKAVGFIEAWRIPGVAPFALCLFFCKLVAYTFLYWLPFYISHTAIGGQYLSVSEAGVLSTVFDVGGVVGGILAGHISDHLDARALTAASFTFSAIPALFFYRIYGNVSLAWNIALMFVTGMLVNGPYALITTAVSADLGTHSSLNGNSRALATVTAIIDGTGSIGAAVGPLLTGYISARSWSAVFTMLMASALVAGLLLSRLVVAEVAAKMESRRTPAPAASDLPVSSMEEP >Sspon.03G0029210-4P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:12882510:12883320:-1 gene:Sspon.03G0029210-4P transcript:Sspon.03G0029210-4P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVTKEDVEAAITSALSPSNLVVTDTSGGCGASYEIEVVSEKFEGKRLLERHRMVNTALAPHMAEIHAVSIKKALTPAQAQPQPELAADKPQA >Sspon.02G0016290-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:48627159:48630209:-1 gene:Sspon.02G0016290-3C transcript:Sspon.02G0016290-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDREVLRMVCSPQFWRMAVLWALSLLYSYLLVFLRGRASAPRRRRPRPAEGRCPICVVTGATSGLGRAAAAALAREGYHVVLAGRSKQFLYETVQEIQRQQPDARLKEFQVDLASFKSIKKFGRSLKQWVQEKNLEPSIQLLVNNAGILAKSHRITEDGLDDAADPGVVETKIMRELPQCLSWFAFLALRSLKLLQEPDMGVGAVLDAALALPEESGKYFFGGKGRTIRSSRLSYDTEVAKKLWAESSAVFKELQLRGGDFGDS >Sspon.01G0023210-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:88761303:88767434:1 gene:Sspon.01G0023210-2B transcript:Sspon.01G0023210-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTAYSLRAPPSAAQQRLRLPPPPQLPFAPAGGGAARRRRLVGVAAASASPFDELHARGRPVRGPSKKSMLWNLIQDIEPLDLSVIQKDVAPETVDAMKRTISGMLGLLPSDQFRVVVEALWNPFFKLLVSSIMTGYTLRNAEYRLSFERNLELSEEVAECPKSDVTEDNHHNINLGRPVTIFRLSEEDMPQDPGKTDEESSYENMGEELGDLTPQAEEYIIHMQSRLDAMKKELHDLRRKNSALQMQQFVGEEKNDLLDYLRSLTPEKVAELSESTCPGVQEAIHSVVHGLLATLSPKIHSKAPPLLDNTSGGVLNLGARMMTVQNLCMLLGHYIRGLEYRLELAQLLRISSDVGSFSGGDDHVV >Sspon.04G0008780-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:25615824:25617802:-1 gene:Sspon.04G0008780-1A transcript:Sspon.04G0008780-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSDPLVVSKVVGDILDPFIKSASFRVLYNNRELTNGSELKPSQVANEPRIEIAGHDMRTLYTLVMVDPDSPSPSNPTKREYLHWLVTDIPESTNVSYGNEVVSYESPKPSAGIHRFVFVLFRQSVRQTIYAPGWRQNFNTKDFSALYNLGPPVASVFFNCQRENGCGGRRYIR >Sspon.02G0020470-2D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2D:62192486:62192872:1 gene:Sspon.02G0020470-2D transcript:Sspon.02G0020470-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLASLTSSGLDDEHPPSAVIGQIHPGDSRSGCQDARSDNIHYPDRIAASWPLYSTSEKVMRGRRRVRPKKKRLAATVLAPTRTNVRRALEAARVGETRRGGAAVVARILLSRPTGVTQGLLLSWRNVI >Sspon.07G0002130-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:4211848:4217137:1 gene:Sspon.07G0002130-3C transcript:Sspon.07G0002130-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQMLGLRGGSPSPSACDAAPAMSDDGGAAAGPARPLRLAYCNEKGKFVMDPEAVAALQLVKGPVGVVSVCGRARQGKSFILNQGTYSIQIFSLAVLLSSMFIYNQMGGIDEAALDRLSLVTEMTKHIRVRASGPIMHQTPLVCDDIVLPFLQDFYLDLTEDNRKITPRDYLELALRPVQGGGRDISAKNAIRESIRALFPDRECFTLVRPVNDEKDLQRLDQLPLSNFRPQFRSGLDAFTKFVLDRTRPKQLGASTMTGPILAGLTQSFLDAINSGAVPTISSSWQSVEEAECRRAFDSAVGTYNSSFDHKKHIEEDSLREAHEDAMRKAISAFNASAVGAGAARTKFEKLLHSSLKKAFEDYKRNAFLEADLQCSNKVQKMESKLRAVCNRPDAKLDDVVTLLDGLLTEYESTAYGPVKWKRLATFLQQCLAGPVLDLFRRQLEHIDAERNALRLKCNSSDDKLALLRKQLEASDGHRAEYVRRYEEVLNDKQKISKDYSIRITELQTKSSKLEERCLSLSSSLETAKR >Sspon.07G0013580-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:48441514:48442996:1 gene:Sspon.07G0013580-4D transcript:Sspon.07G0013580-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVESVVVVHNVAKRHNVGTLPRSATAFGVAEVVVVGRSDDERGRDICGVEITDDAKPVTAHPFRRSTAFLFGNEGTGLSQKECDICDFFVYIPQYGGGTASLNVTVAASIVLHHFGGKSFHPAPVVTTSSL >Sspon.02G0001040-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:4227393:4228777:-1 gene:Sspon.02G0001040-2B transcript:Sspon.02G0001040-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASASASCLAFFVLAALVSAASAQLSSTFYDSSCPSALSTISSGVSAAVAQEARVGASLLRLHFHDCFLGGPSWTVVLGRRDSNASFPGQTSDLPPPTSSLQQLLSAYSKKNLDQTDMVALSGAHTIGQAQCSSFKDHIYNDTNINSAFATSLRANCPASGGTSLAPLDTMTPTAFDNAYYTNLLSQRGLLHSDQELFNNGSVDSTVSNFASNAAAFTSAFATAMVKMGNLSPLTGSQGQIRVNCWTVNTS >Sspon.07G0022240-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7C:5610943:5612877:-1 gene:Sspon.07G0022240-2C transcript:Sspon.07G0022240-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSTTATSSMSHPSSSPTARASRIVCSPTGDKRWASGTGSDLGACGYARITGGRVPGAGEAGQGGMRWQWRQFTGSESQSSVPARVAPSIVPTMSELRPPLRLLLSDATLCDVFVRVRIALDRVVTHPCIFRDKREYMMTSWLKREYHARLSHAASRVCADGGRGRATRQRARPSRAGKAALCAAQHNRCRSSRGRSHMAGAASSYSATAALLTVHAIG >Sspon.02G0043030-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:88617290:88620369:1 gene:Sspon.02G0043030-1B transcript:Sspon.02G0043030-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPRKSAAPPAATSLARYLALAQTHNTNACASALSLQRCLQQRRLPGPGASTGDSLARHLALTHPRSGKAGAYGIVLRMEAQRAPDAVAAPGAPLGSRRGAGFRHAYGLTSYRLAFIQQRSPIITGMMVSFAKNVTDLTSSVGKNHIVILSALDSGKTRTPPGGCQSGAEINIHDPRKPTAQKEKTWPSLLEQVHDGDQLQSTATYLEQDDPTG >Sspon.06G0010180-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:9265199:9270453:1 gene:Sspon.06G0010180-2P transcript:Sspon.06G0010180-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGPATRLLLPLRRHPRLRPHPLRPPPPPPPPAQPPSAQAPPPPKEQRPKATLPRAETEEERRARKKREFEKQRVEDRKQQQMMRQTQAAILQKTQQRAAQQQPQSRHHHQQPPGGSRAVATGSRPTSAPNAERFENRLKKPTTFLCKHKFRNELPDPSAQLKWLPLNKDKDRYTKYRITSLEKNYIPKMIVPEDLGIPLDLLDMSVYNPPDVQPRMAPEDEELLRDDEVLTPIKQEGIRKRERPTDKGVSWLVKTQYISPLSTDAAKMSLTEKQAKERRESREGRNAFLDNLNDREKQIKAIEESFRAAKSRPVHQTKRGMQAEWVMPLLPDFDRYEEPFVMVNFDGDPTADSEQYNKLERSVRDECESRAVMKSFSVNGSDPSKQEKFLAYMAPAPHELTRNLDDDDDIQYSWLREYHWDVRGDDKDDPTTYLVTFDKEEGAKYLPLPTKLVLQKKKAKEGRSGDEIEHFPVPSRITVSKTAHGGTMERGESSGLHVNSKPRRSHVDDDLDEHPKRSRVEDIDQYSGEEYSE >Sspon.04G0018820-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4B:70646671:70649461:1 gene:Sspon.04G0018820-2B transcript:Sspon.04G0018820-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEVAEILNKVGEAHKLPLAQAWARCRRCSTGTEHASLTAAGTRSTSPAQTQPSSASTRPASSTTCVRPRRARRGGGRGAPAPLLRRRHQVLHGRIPARAPRAVLRPGRLPRRVRAAPPRRRRRLGRVRAGVLSPPDCRDGVAQKAAADAVAATIMERFGNGDLKAVVVSGLQDLAFDIVADGECVLRPDPMTMADAPELELNYHGGDERDSDEEGLHLVSVMGTADTEALKMHHDDTHGGEDPRSQVGKKTTKRKGEKTVSLEELQRYFSGSLKDAAKSLGVCPTTMKRICRQHGISRWPFRKLAKANRSLDKIKRVFESVHGLTQAMVASAPAVAAASQQAPALVAATCRAPALPCLSSALRVASSQGSCQAPPPPLKEATWRKPLPGGDASVVTVKASYRGNIIRFRVPSSAGVATVKGEVAKRLGLEVGEFDVKYLDDDNEWVLLSCDADFQECLDVVPAFSGASTPSGSGTTAQLVVRLMVQEVAEIHGSSCGSSE >Sspon.08G0016720-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:62229521:62233885:1 gene:Sspon.08G0016720-3D transcript:Sspon.08G0016720-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAYWRYAAADPRQQQQPPPPSAGGGVAHPGMGGGAPQMAPAMGQQQQQQQQPMKRPRPADFSDVPGAPDMTGYYPRDEERAGYRPARDTEALNASYERFLRTGQIQSFGGGPAGEPIRPAVGGNAGYPVDDRSMMAARGMDSRNIGFGGAMPEPPLPPDASNTLYIEGIPTGCTRREVSHIFRPFVGFREVRLVNKEPKHPGGDPIVLCFVDFAEPTQSAIAMEALQGYKFDEHDRNSPNLRLQFARFTGPRGNSGPGGGRGRR >Sspon.02G0053670-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2C:107434246:107434581:1 gene:Sspon.02G0053670-1C transcript:Sspon.02G0053670-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLNGGAPSRACARGDTLASRAPPLHRRFKKGKATSSSRRCLFVWLRGPRREIVDSSRLRVSRGRRPLPLSERGVVRVRAVGRERMRQTYLVGGRSGGYGDVGLRRAERERR >Sspon.04G0008940-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:26444852:26452522:-1 gene:Sspon.04G0008940-2C transcript:Sspon.04G0008940-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GLIGSPARPRSVAAAAVAMGDHSDSDSSPKSSSSSSSPSARRRSSPQRGQGHSDESGSSDGVLVELPAQEARSPGADPDGGVLVNMPADDATSGETFEDAPDDLAAAGSRSARSLDESMAVIDFPEVSSTSAELRKYQEEKEVFAREAVALRRLLQEMVGQEASVASHGEDADETLLHSMLDDCSRLVLELNSVARAREQEIESLHARAAEAEVSREVANVYLGSWREGSEQAVGRMLASIDAVVRQDDASFEGAEQDGISILEGKTSLLVERYRQVLMGIEQLEQILAEVKPGFVATGHGDLGTILSILADELVGSKRNEVDLLQKMNVFAEEKTALVDELEEVKAARNAANAEASKAKAESEQMEHKLSTTKEKLSMAVTKGKSLVQHRDSLKQALAEKTGELQSCMAELQKKSDALQAAESRVEELRVFLDEKTDEHEKCLDELRETYSAWEAAKTSIEQLNEANSALTSLQASLSLKDGVLERVEEIMSEATFPEDLLSLEMTDRLGWLVEQKKIADMIFSEHHKVKEILSSVDIPHSVLAAELDSQISWLASSLNQAKDDAVRLHDESAEMLARLAAHESKLVSMHEEIDRLTIILLEEKQEKDILVNEHSELMSLYNATVNKLALVSSQNNELLKAFAEFSDVTLEGSEPMDIAELVQGLRNIQQRTKSSPIESDSFEKLQALLYTLDQESTLCKMILEEDMIDRSKRTGELQRTAEEILVLKNEKVSLQKELERVEERSALLREKLSMAVKKGKGLVHEREGLKQALGEKSSEIENLKQVLEGKSSEIEKLKHALDENKSVTDNVKQVLDGKNSEIERLKHALDDSCVETENLSQAFVEKTSEADKIKQELDAKNMDIENLRREIESRESVMTDLREHVEHLSLQAAHLEKLQVDIVTLNDEKVKLESMLEEARASWGTLADSISSLTLPIDQPFEEPMEKFSQIAQYVQESQAAKSSLENELHKANEQITLHASRHSGALSTINILEDELGKLKDHISSISEEKRQIQLHTSAVQEELEKTNEELAINFRNLEDANTTINSLQDALSQARSNISILDAEKNEAEAKYETETNALNAKLTKCLEELDKTHGHLQSHSTEHHGYLEKLGMLIMDDSLLSLIAEEYGKTISSLRDMCLIVKSMHEQLSVKGFQNDPIVQDSELSTLLSLPDYDSFVTERLVNNKTRKGNIDDTSSLSTIVEQLSNQAEYFSSFLKDLSTYMNSNIIVVLRSLQLVSNIFAHTLEEHGMLKIELGNKDAHNRAQESEVLSLKKELRAMSSKCVYCIQQIEIVFDDMVDLGYAIDLATGSSSIGSELEVTVSDLKNEDTSDYNKVTDTLLATIDTLKSKSEKLSAIKGLVITSLDDFKMRLKQAESAAETASHEHQLSAERVCMLEKELKILQDECNRMELNMHTQISADTGITDNAISKDQMEALVEKISKLNMLSGESNVQREEATFSSLLDKLFVVIDEFSALQREVETLRYENEDLQLNIESYTREIEQLREVSRNSDLINRELESKGSELLEVTVSMERMIQRLGYLGGKDVLEDNKPATTQALLSKLEKLIIASSTEAGNAKSMTQELGAKLQSREKAVDELSTKVKMLEDLYHAQLAQPEASKDRSFEASSSAIGSDMSEIEDQGPVGKASVSSVSTAAHARTMRKGSSDHLVLNIGSESERLIAVQDSDDKGRIKSLHTSGFIPAQGKHIADRVDAFRVSGSQILMNRPRARLGLMLYWLAVHLWLLGSIL >Sspon.06G0007290-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6C:25749483:25750385:-1 gene:Sspon.06G0007290-2C transcript:Sspon.06G0007290-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPPDLIPPERFCPPIGDEKGGCDPNHWRNFGCRHGRVLLYSLKEKEIVVWDPPTGHHRRVAVPPELDNGERMIWNGAVLCAAASDHSHVHGGFCSCPFKVAVVGVARNHTQVFACIYSSQTGKWSNLVSAAVPFVVYFVDRPGVLVGNALYWMPLGHDYAIAEFDLDKHTLALVECPSGAEVSKGGSRILLAQNGVLCLAIPSGNSLQMWERKVCSEGVASWVLRKSDKLQKILGQSSSMAILGYADDINVMSLWIDGSCCFLQLNSLQFTKLWKTNIVSKNHPYASIYDSGNCLSIFL >Sspon.05G0010340-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:20773813:20778745:1 gene:Sspon.05G0010340-3C transcript:Sspon.05G0010340-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSASRSGRRRTGSVALGDLLRREASAERAALGGGERDRPSVAAGQACRAKKGEDFALLKPACERRPGAPSTSFSAFARAPPAGAGVWSRSLSQLFDGHNGSAAAVYAKEHLLGNVLGCVPTDLSRDEWLTALPRALVAGFVKTDKGFQTKAHSSGTTVTLAIIDGCVVTVASVGDSRCVLEAESSIYYLSADHRFDANEEEVGRVTECGGEVGRLNVVGGAEVALCLFTHPKIGPLRCWPGGLCLSRSIGDQDVGEFIIPVPYVKQIKLSNAGGRLIISSDGVWDALTADMAFRCARGLPPEAAAEQIVKEAVESKGLRDDTTCIVIDIIPPEKPKCTIESPKTPGKGLVLLKKFFLRKTASDSLSLADTDNYPEPDLVEEVFEDGCPSLSRRLNSEYPVRDMFKLFACAICQIDLESGQGISIHEGLSKPGKLRPWDGPFLCHSCQEKKEAMEGKRYSRDSSSRNSGSSE >Sspon.07G0008450-2P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1C:23585889:23589356:1 gene:Sspon.07G0008450-2P transcript:Sspon.07G0008450-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ALSAAITRQPEPGQREGKVVDSFGGLKAYVAGPEDSKAAVVLVADVPWYLLRFPSKSASSRDLEIFRLGKIADKVASSGYFVVVPDFFHGDPYVPENGKPIPEWIKSHTPEKGFEEAKPVIAALKEKGVSAVGAAGYCWGEVKCPISILGAEIDKMSPPELVKQFEQVLSVNSGVGHFVKIFPGVAHGWSVRYSHDDAAAVKSAEEAFADMLDWFNKNLK >Sspon.07G0003230-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:7485943:7498335:1 gene:Sspon.07G0003230-3D transcript:Sspon.07G0003230-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-galactosidase 17 [Source:Projected from Arabidopsis thaliana (AT1G72990) UniProtKB/Swiss-Prot;Acc:Q93Z24] MASGGTFRNVLLALPLCLALASLVGGEASRRFWIENDTFRKDGAPFQIIGGDVHYFRIVPEYWKDRLLRAKALGLNTIQTYVPWNLHEPEPQSWEFKGFADIESYLRLAQELEMLVMLRIGPYICGEWDLGGFPPWLLTIEPALKLRSSDSSYLSLVERWWGVLLPKVAPLLYNNGGPVIMIENEFGSFGDDKNYLHYLVRLARRYLGNDIILYTTDGGAMGNLKNGSIPEDDVFAAVDFETGSNPWPIFRLQKKYNLPGKSAPLSSEFYTGWLTHWGERIATTDATSTAKALKTILCHNGSAVLYMAHGGTNFGFYNGANTGQDESDYKPDLTSYDYATALRRVIHECTGTPLHPLPSDIEKASYGLVKLQKVASLFDIIDNISDPLKVSVSEHPLYMEQIGQMFGFLLYMSEYEGKLPSSILSIPKVHDRAQVFVSCSVDGVRNLRYGGVIERWSNKTLEIPNLRCSSTTSLYILVENMGRVNYGPYIFDRKGILSPIQIDGITIRHWKMYPLALNSLDNLPKLQLITQIPYVGASKMSIHGDTEKNLQESSSYSNGSISALPAFYEGHFHIDHESEIKDTFISFRGWNKGVAFVNNFNIGRFWPARGPQCALYVPAPILKPGDNIVVIFELHDPNPELTIDFVTDPDFTCGPNQ >Sspon.04G0002240-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:3799738:3802490:1 gene:Sspon.04G0002240-2B transcript:Sspon.04G0002240-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVETGVGRLHQRRGAVAFVAANKAPLAAAWVVGFALVFLWQSASMSFGSAGAGGAAAGGGFLRLLSAPPPPPRLRPTAYNLTDFGAVGDGRAVNTEAFERAVEAIAALAERGGGQLNVPPGRWLTAPFNLTSHMTLFLAEGAEILGITDEKYWPLMPALPSYGYGRERKGPRFGSLIHGQNLKDVVITGHNGSINGQGEVWWMKHRRRILNNTRPPLVQLMWSKDIIVANITLRNSPFWHLHPYDCTNVTVSNVTILSPVSGAPNTDGIDPDSCQDVLIENCYISVGDDAIAVKSGWDQYGIAYGHPSSNILIRNVTARSLLSAGISIGSEMSGGVANVTVENVRIWESRRGVRIKTATGRGGYIRNISYRNITFDNVRAGIVIKVDYNEHADDGYDRTAFPDITSISFKGIHGRGVRVPVRAHGSDVIPIKDISFQDMSVGISYKKKHIFQCSYVEGRVIRPVFPKPCENLDVYDEQGQLVKRAVARNSTELDYDI >Sspon.04G0035390-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:9509126:9513202:1 gene:Sspon.04G0035390-1D transcript:Sspon.04G0035390-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAHHARSPTARVVAVRAAGTQRPTGCPDWTRLLTSTARRLPGDFGFDPLGLGEDPASLKWYVQAELVHCRFAMAGVAGILFTDIHMGFTDLENEADSTLALQLLRVSGNSDLPVWFEAGAAKFEFANTTALFFVQLLLMGFAETKRYMDFKNPGSQAEEGTFIGLEAALAGQQPGYPGGPLFNPLGLAKDIENAHEEKLKEIKNVQQK >Sspon.05G0014000-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:5A:50417407:50417693:1 gene:Sspon.05G0014000-1A transcript:Sspon.05G0014000-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRFIDPLWCLKRCSQIGLEALLAQSIKLMDEFTYIVIHRRKAEIVE >Sspon.04G0007030-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:19619893:19622405:1 gene:Sspon.04G0007030-3C transcript:Sspon.04G0007030-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGARNSAAATPVLLNVYDLTAANDYLYWLGFGVFHSGIEVHGMEYGFGAHDYPSSGVFEVESKSCPGFIYRRTVWLGTTDMSQEEFRSFIEKLAGDYHGNTYHLINKNCNHFTDDVCQNLTGKPIPSWVNRLARVGSVFDCLLPESVQVSPVGRVPTRRQISDDDLYSIHSPIIGESDNDEDEAKHLLPAPSDDLHSVDVPPKLAKDLL >Sspon.04G0034060-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:70763182:70765277:-1 gene:Sspon.04G0034060-1C transcript:Sspon.04G0034060-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGLFSAAGIAPVRWLLDKSSTSKFVRSQIDFGISPCNLLKLKLSERSSFKRPISGGITPTKSLFPRLSTFGKALAMRSKTLGSKCAVVFSDLIIGIFIKDIGISPQGAPTEGKNLKIIETVNAVIIWHGLMHEGGSDQKSGKFLAALKDLKGVCDGREIDLAKLSTEAVALEVDGYKICAVAQG >Sspon.02G0019330-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:58644791:58646821:1 gene:Sspon.02G0019330-4D transcript:Sspon.02G0019330-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPRATAAAATSRPRRNPKPKPDSSFLSPLASPSATPRTRTRTRNGAIRGGGPSPVSSSPGSSPADLNISFLSSPGSASRPKPKPKLRSRAKPKPKPDPSFLSPLASPSPSPAPRTRKRAVRGVVSSSPASSSPGSSPADLSISFLSSPGSPASPPKPSARAKHAARAPLVASPRAATPSPAASPQPASVAATGVSSVGDLRTAVASQMEDLKRRLDALHSRAHADLDASFSRVSKRIKTQNQACQQLADEVDKEHKKMSDNIKESSEIARAKYKQIIAEAQASTTRGTTHILSFK >Sspon.05G0004530-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:13598674:13608402:-1 gene:Sspon.05G0004530-1A transcript:Sspon.05G0004530-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GDVGGLRLLLRRGAAGRARAARAGRLRALLQAAHPQQRHLHVQGRHPVLQRGLPLRADAPRRGLRAAGQQQPPEAAAAVAAEQGGLCGRQGGRVRSNHGHIARLCRLLLRHRADRRAPPACAERVRALLQAAHPQQRHLHVQGRHPVLQRGLPLRADAPRRGLRAAGQQPPAEAAAAVAAEQGGLCGRQGGR >Sspon.02G0027140-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:123608821:123612916:-1 gene:Sspon.02G0027140-3C transcript:Sspon.02G0027140-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQLRLHLAATPPLLLPHRRPHLRHLPPPLCPTLNPIRARLPALPVPRVLSHARPARAVGGGVEPKEGVVAEGEETGGEPELVGEDSAAFELKDQSVASWAYFAVILTAVLVGLNVLWIDPGTGVGTKFLDAVASVSDSHEVYFINHRYDGIQLWQVQGITGIHELVWFSSFISFFFLYPSTFNLLEVAAVDKPKLHMWETGIMRITRHPQASSYQLP >Sspon.02G0008300-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:24458416:24462152:1 gene:Sspon.02G0008300-1A transcript:Sspon.02G0008300-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nudix hydrolase 23, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G42070) UniProtKB/Swiss-Prot;Acc:P93740] MLLPLLRSRPLLLHHAARLSSPRTRLLLRRDALRLARSPPVRMAASSGSGASSPAPAPPAAVVHKSKIKFCPACGSPTKLAIPDGDEKMRAVCSSCGRVHYENPKMVVGCLVEHDNKVLLCRRKIEPAYGLWTLPAGYLEVGESTAEGASRETLEEACADVEIISPFAQLDIPLIGQSYIIFRARLKTPNFSPGPESLECALFALDDIPFDSLAFSSIIVTLRMYTEDVRSGSIKFHYCTINKRLGASPSDLRSFDIDNHIAVTTEVKNLQNWDNGIAGW >Sspon.08G0009530-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:41778449:41784032:1 gene:Sspon.08G0009530-1A transcript:Sspon.08G0009530-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMNTFVLQASDSLFSSPVLPAAASLLQRLPASLPGQGRAQEEDYTYEQQSCELVILTCELALIHAGPCGFPRKALELRVLRALLHPRPAPGIHQSCPSRSGYGFHRILWELCGYGVFVPLMATFEAVGGGVTDKLTHCFMDGTVSTIAGACTVVRDVTDFCFHSYFSFMDDLIEKMGDDEAPLDINMLVAFIAVPVDVLMISAVALWKSPCMLLKGWQRLCEDLVGREGPFLETVCVPFAGLAIILWPLAVIGGLLASFFSSFFFGFRAGLIAYQEASFQMGLAYMISAVAIFDEYTNDLLYLREGSCLPRPKYRKADIRQCETGKEGHKLKTEPEERQQNGHHKHRRVLHPSKTFMQTVQRLRPIQIWDWFFRSCELNGRILLSEGLITAEDMEEYITKGKCKKFGVKLPTWCLFQCLLRSANSDSDGLLICMILYPTCERMSTSKPNNMIQCALSLSYVHVADNVEVTNFNWPKDRVFDWLLGPLLVIKDQMRKLEITEDEEMCLRKLIMTNKNEKPSDWDDSSFPSDDNIKRGQLQAIIRRLQGIVANMSRVPSFRGRFINLVKAMYLEAIEAGAIAGSSTQPQSNFDMV >Sspon.02G0024920-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2A:85721555:85721857:-1 gene:Sspon.02G0024920-1A transcript:Sspon.02G0024920-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ADPDLSNVTGLAVGVRPGPLGSHESYDAEEDDEDRAARGQPRECVRPSSWAGCLASPASSSSGRRRDVAFHTPAPPFGWGPGRRMHAATYVRGRVAGRAG >Sspon.03G0039750-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:13305397:13307911:1 gene:Sspon.03G0039750-1P transcript:Sspon.03G0039750-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DMAGLISKINRSSIGPLPTCYSSSMKTLVKSMLRKSPEHRPTASEIIKNPYLQPYVNQYRPFADILHPGRSPEKPVTNSRSSQRSMSGSQCSSISGTGSDMDSIQSSEINTSGLASSSNNTIDTEGVEATDLQSHKDTACPELERQDSSKSVQDNHHPRHENKQPKIIKKILTTLREESKFRGSNSPVRASRVKLNSPSNRERSSDDSKQSDISSSSRSSEVMSHESANASCEPMKRGHASPPLKHLSPIVEHSPKVKIKVDELLHPHPARQVGEAVDAAAGKVKNRTPPIFIRCTIIPARRQGVASPSRQHTGVKRAHNKVMTEHPRSPCRPTHSPSNALVELPSVPIVPPSHLEDLQRKTGNSRAKSAPPRALATKEDSSACSSSTIGYAENTNQFEPSEPDSPTYLVSSCKCLIPDTIIQNHDIKSKPISEVNTTNCEKKITSNGAIVLSAAMVQSSDITEQEFICKDGMPSSQVGQCSDTVTVPSDEDKFTVQELLSSVPDLSSFVPTTAMNTEPGRGSTPTQSSEKPSGSHLNPPPVEDIIHVISHSSFRVNGEQAVKETGSESIDVDKRLNMVREEIGVRSIEPNLIPSGHIDSATVNPNVAEANTTFQKPASTDVIKLPTIPEGNFSTLETNNGFKQEAAPAKEILDVTSFRQRAEALEGLLELSAELLEHSRLEELAIVLKPFGKAKVSPRETAIWLAKSFKGMMNDEASRSS >Sspon.01G0030970-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:103656772:103667677:-1 gene:Sspon.01G0030970-3D transcript:Sspon.01G0030970-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTEPFDETELLALPASPVASPPRRLKRLKKFSSQTTATITTATTTSLPAGSPPPPQAEATPGQETLAPSPSPRPPTPPDANAPTPLPHSSPAPVSSPLPPTDAPDDDSDEYEDDGLDPLFSETVVAGGWDPLGVPAEGDGEDDDDLGLWGGGLIEELRREQMSAKKRLDMDEADGEVASGEGAEAKGKRSKRKRKEAPPSKESAREKKRSEKERRTQLDSIHAESQRLLRETRRASFKPIVQPVHKPISSVLEKIRLRKMEVLKKSHTSIEDSDDNDAAPEPVIDSAVHLDVPQSKEVTLDDKDLRIDGAENELAANGQGLDRCDSVQEDGEISDRSQENHENTQPSDNHNDSVDQTQLSHSSSPTESTDETSSEDEEDNDKENMDPSTQNNDVHTREHLQRAIGGDSCPGDAIVKDFLDDEAEEEDDSDNDMMRFKDNEEDDGSDENEVFNDLIAAGYEEKEVDHEKRNELHQKWLEQQDAAETNNVIQRLKFGHQEQKASAYEDEDEDKDEDVEDCEEGSQNEDLTPINVVRQNSEKAKQMIAKMFEDDNDTYEHSDDEEIEEHLARQRISKREVDSNTFISPLEDDSSRESSFLGRTASGSLASSHRSVYRSYVFGRDDSNSSSRSCISTSESNSDMVSFLLKLDSALYMSLNLLYHGIHFLFSRMCLAIFLSILQDQTNSSQPKKAKFSSQPKPVGTRANTECNKNSGVSLFDILRKTSEQSSQESCNTITESQAVHQFSAFKLSRRFSRVGAKN >Sspon.02G0016930-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:47813928:47814587:1 gene:Sspon.02G0016930-1A transcript:Sspon.02G0016930-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MACRLALAGATATAAAARAPRRAVIAAASASSAGEPARRIIRRRAPPGQQGSATAAPAPPAQPSVAEVRRAIGVSDDDASAAASMEAKNSAFMDLIATTPIGKPESEPERRLREAAEWVVDNTETRACEGQKSFLVLCMMTFPAWFLLMVIALGVIKLPFDIPVLDNLL >Sspon.05G0027590-3D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:5D:53958421:53958801:1 gene:Sspon.05G0027590-3D transcript:Sspon.05G0027590-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVAGTDEEGLPIISEKQSTTTKPEEESAAVAIRAGLVVLVLLILGVVYLSIGVVHFFSHWQRFFGPYDPVEYTVTIAAVSGLDPAKDLHGPPALLYPAFNLTLRVASPRTAEDRECVKPGTTVE >Sspon.01G0013250-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:36339708:36340082:1 gene:Sspon.01G0013250-1A transcript:Sspon.01G0013250-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GRRTAASVGGGGRGVVVRPAGARDGRWVAHRVRGRGGGAVLVQQRRVSGGARGAAGGGAAVRRAVRGGGGGDAAQHQRQVRRPLHPGAQPARPRPRRRLPRPCHQDHAARRQLRLQLRRRRQRVT >Sspon.01G0046220-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:86882942:86884067:1 gene:Sspon.01G0046220-2C transcript:Sspon.01G0046220-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMATQQQHDKGSPKQARNEPAPPPQPAPFRFEQCRYYDDAHRQADDGSNGAGPAGPLHLSLGPLGSAAERRRCSCGVAPAPAAPATVAVLRGSRYLRPAQELLGEVVRVADLAAGEDGDEDQAAERLDGGGRHRSVIRAAANDGDGVQAKLLGLLTELESRRERYFGELGRVVSSFEPALGDGSAAAYTSLMAGAMARHFGNLRRAILRRLRLHAVAAARRSLRRGGEEDHDEEEVTEELVERVARRAKLAAAARAEQASWRPLSGLPDGSVAVLRAWLFDHFLHPYPDDGEKLRLAVTTGLSRRQ >Sspon.06G0035030-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6D:65157516:65160017:1 gene:Sspon.06G0035030-1D transcript:Sspon.06G0035030-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGFMQTWLDLLAGRSKCPAASLGTVVRSSVYCVSAFFFVRNLFDNDVSIYKRSHVCVSDFLLCDLYRLSNFLPHPLCLSRISPSPATSAGRSSTPTSAAD >Sspon.04G0014930-4D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4D:64642519:64645613:1 gene:Sspon.04G0014930-4D transcript:Sspon.04G0014930-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVLHAGSVNKNAYKTLIAAEYSGVKVELVKNFQMGVSNKTPEFLKMNPIGKVPVLETPDGPIFESNAIARYVTRLKADNPLYGSSLIDYAHIEQWIDFATTEVDANIGKWLYPRMGFYPYAAVTEETAIAALKRAFGSLNAHLASNTFLVGHSVTLADIVLTCNLYLGFNRILTKSFTSEFPHVERYFWTLVNQPNFKKVIGDVKQAEAVPPLQKKAAPAKEQKPKEAKKEAPKEAPKPKAAEKPAEEEEAPKPKPKNPLDLLPPSKMILDDWKRLYSNTKTNFREVAIKGFWDMYDPEGYSLWFCDYKYNDENTVSFVTMNKVGGFLQRMDLCRKYAFGKMLVVGSEPPFKVKGLWLFRGSEIPKFVMDEVYDMELYEWTKVDLSDEAQKER >Sspon.04G0005220-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:15635840:15639903:-1 gene:Sspon.04G0005220-4D transcript:Sspon.04G0005220-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP synthase subunit O, mitochondrial [Source:Projected from Arabidopsis thaliana (AT5G13450) UniProtKB/Swiss-Prot;Acc:Q96251] MAAARHLRSGLPLLRAHLASCESTAVAQVSRGFASQPAKPTGKEIKVPEALYGGTGNYASALFLTAAKANSLDKVESEIKTVVEASKKSPLFSQFIKDLSVPKETRVKAVTEIFADAGFSDVTKNFLAVLADNGRLKYIERIAERFVDLTMAHKGEVKVVVRTVIPLPEKEEKELKDTLQDILGKNKTILVEQKIDYSIMGGLVIEFGQKVFDMSIRTRAKQMEAFLRQPLEF >Sspon.03G0037830-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:1363359:1373827:1 gene:Sspon.03G0037830-1C transcript:Sspon.03G0037830-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQQIGRRVTAQSLTLRVLRLNTCSNPSVRSATQFRRAMFPPSSPSHKTWEDPSFFKWRKREAHVPLRSQDTLEGALKYWHERRNVNYLNSDTAVWNDDAVRGALESAAFWSKGLPYAKSLSGYWKFLLSPSVEIPSNWQMHGFDRPIYTNMTYPFPLNPPFVPADNPTGCYRTVFHIPKEWKGRRILLHFEAVDSAFFAWVNGVPIGYSQDSRLPSEFEVTNCCHPCDSDKENVLAVQVMRWSDGSYLEDQDHWRLSGIHRDVLLLSKPQIFITDYFFKATLDDNFSLADIEVEVEIDSHKQDREHVPTLSIEATLFDNSGLSNSIDADLSYANAVNLKPKPKPTRSPCRGSHGYVLGGKIENPKLWSSEHPNLYTLVLLLKDANGKLIDCESCQVGIRNVVLAHKQMLVNGCPVVLRGVNRHEHHPRLGKTNLEACMIEDVILMKQNNINAVRNSHYPQHPRWYELCDIFGLYVIDEANIETHGFDDSSTFKHPTLEPIWANAMLDRVVSMVERDKNHACIIVWSLGNESSYGPNHASMSGWIRERDPTRLLHYEGGGSRTSSTDIVCPMYMRVWDIVKIAKDPSETRPLILCEYSHAMGNSNGNIDAYWMAIDNTFGLQGGFIWDWVDEGLLKEDSDGSKFWAYGGDFGDTPNDSNFCLNGIVWPDRTIHPAVHEVKYLHQPIKISSAENMLKIENGHFFETTEALDFSWVLHGDGCILGSGPLNVPTLAPQTSQLINMESSPWFALWSACAAKEVFLSVNAKQRHETRWAKAGHLLASAQLCLPQKNVFVPNVIAFSSSPLVCERIGDNVTICKNNAWKIKVNSQLGTIDSWKVNDVELMSKGILPCFWRAPTDNDKGGFFTKPYASRWREAFLDNVSFHSSQFSVKELPDNTVEFSTVYYGVPGHLPKPDDEASESILFRVKMVCRIHESGDVVLDYEVNPRADLPPLPRVGVVFSAERSLSNVTWYGRGPFECYPDRKAAAHVGVYESSVEELHVPYIAPVECGGRADVRWVALRDAGGLGLFATAHGGSPPMQMSASYYGAAELDRATHVHRLVKGDDIEVHLDHRHMGLGGDDSWSPCVHEQYLLPPTRYAFSLRLCPVLPTSSCRDIYRSQLPPADGAVPEAREERGPSSLAKQQ >Sspon.04G0023970-3D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4D:21279485:21282507:1 gene:Sspon.04G0023970-3D transcript:Sspon.04G0023970-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAYMEGGQLSACLPSFLVPDHYAGFPLPLPLQLPSQPNNKLFQMPFVVDQEETENHGGMLSSDHCGGLYPLPALPFGSCSGAAAAATACGGKPTAGFMPSAIGAEEVCTSVATKLGCNESNSTWWKGSAATIAERGKMKVRRKMREPRFCFQTRSDVDVLDDGYKWRKYGQKVVKNSLHPRSYFRCTHSNCRVKKRVERLSTDCRMVMTTYEGRHTHSPCSDDASSADHTDCFSSF >Sspon.03G0022120-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:67473181:67479932:-1 gene:Sspon.03G0022120-1A transcript:Sspon.03G0022120-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein ABCI12, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G21580) UniProtKB/Swiss-Prot;Acc:Q944H2] MAAAVHLRPLHSFALPLSAAKAAPSWFPLPAKPGARRRGVALLLCSASAPAPASPSPSGGDGAAASAASKWVEWIPRAAAGAGSAAGPEQVLRLISGAAATPICQFVDRPRTFLHSVDPRVKLVWLLALVVLPARSNIYMRFGLVVFLALLSMWILPKHVWKDQLGRVALLSGFLFIMLGFGADGAPSLVQTRTPPPSVVGIPNIPCSTSGYSYTILKLGPLQFTRKGLSVASTSASLSFAIFQSASLCLTTTTPEQLASALWWFMIPLKHLGVPVPEIILTLLLSLRFINLVRNSALAIVARRINWKKLTAMETIDIFFNYVRRIFKNIFDLAEQISKAMVARGFRGNPSNHKIYFLSESSFGIADLFSLLCLFAVVSLASFSDQL >Sspon.04G0018530-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4B:70302232:70304682:1 gene:Sspon.04G0018530-2B transcript:Sspon.04G0018530-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding APAGERKAPAINADLWYACAGPLVSLPPVGSLVVYFPQGHSEQADPDTDEVYAQMTLQPVNTASLCLAVLQRRYYLH >Sspon.01G0022300-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:79626488:79628117:-1 gene:Sspon.01G0022300-2D transcript:Sspon.01G0022300-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVMKIWSGLLLCLSFLSEFVQGGKVPALYVFGDSQSDVGNNNYLETPIRANFPHNGVDYPGHLATGRFSNGQNLADFIGNSSIFLNGVNFASGGAGVLKLTSKVSLDWREVSCGQKLPNGLTISFDEQIKRDYSIVHAGLVQQLGKAQASTHTEGSIFGIGVGGNDIANDPTPIDEHQQLLTSSGSDQQFSDSLAHSLKRQLQRLYELGMRKLFFVGTGPLGCYPLLRQQSVTKECNAEANSLSMQYNTAVQNLLREMSTRHPDFLYSFLDQYAVLEQYINEPQANGFAEVEAACCALGDNNAMLICTPESQLCSNRTNHIFWDGAHLTEVTTQKLIAVAFNGSAPLITPVNLRQLSARRSRLNRVPSVSYIFNQLIRLRTVVNNAYETATIGRPNVYQSVPNNI >Sspon.01G0053380-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:35977314:35977733:-1 gene:Sspon.01G0053380-1C transcript:Sspon.01G0053380-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAGGTPAPLRLKDLLELDCDSCSAAGFRCYPRRLGESSPSLSLRRHRPSKLSHLSRSLSRRLSRRGGFWSRRRGEEDEDEDAAAASAGSEELELETSSRSESDSDFSSATEDSVHHPPSQAAAAAGGEHEVILDCGF >Sspon.08G0011480-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:45473055:45474200:-1 gene:Sspon.08G0011480-2B transcript:Sspon.08G0011480-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding NGFFRWRVTSLGRAPPRELRLSGGGDQVHRRRRVRLDDHRRLRHLGQRQEVQSRRHSRVQVRRRCAHGGRGERGGLRRLLLQQGAQQRQRRQHDRDAQDRRQALLHLRRRGPLQQRHEARRGRGQGGGRPGAGAGRVRGHHPRRPRHHAVDPLELRRHAQDQEPSHGPLAAGQEVHLRRHRAPRRGMGRLGPCWARGRAPRRVL >Sspon.01G0041350-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:48610293:48611081:1 gene:Sspon.01G0041350-1B transcript:Sspon.01G0041350-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIPRSIFRLLGRATSFAPVRRLFHPSRRLLPYRGSPPPRGCPPALVRRGARVMAAAPDPPVRRLPRISPGKHLPATGGMNNEGTRSPIKEFSACYGDGCFANGEQDGRQIEDAVHGDRDASRTVTEVTDGEQDLCEALADGEEDSTEATEDVSAGEVSDDQERCTQDVSTCYPCDCVANLRPLASSSHRDGSIYTGCRYWQKHFRIADRSEGK >Sspon.07G0005170-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:12317307:12317831:1 gene:Sspon.07G0005170-2B transcript:Sspon.07G0005170-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGPGIRRKQYGFKSNAHQGAGAATSTSSGKRKGKASVGGGKKPPIKVVFIGNPVRVTTSEAGFRALVQELTGRHADPNKYSGGGGSSGAAIDVDADDSSGGSPVEPHHQAGAMLLPSRVSTPSLDAASAAGAAQVSVPAYDDDDDDSFAPQLIDNSYSVFSPPTFLYGPHGEL >Sspon.02G0009740-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:9068088:9069397:-1 gene:Sspon.02G0009740-4D transcript:Sspon.02G0009740-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEHAAAAVGEPPPATTQPAEGVTAPAPASAGQRSVPTPFLTKTYQLVDDPAVDDVISWNDDGSAFIVWRPAEFARDLLPKYFKHNNFSSFVRQLNTYGFRKIVPDRWEFANDCFRRGEKRLLCDIHRRKVTPAAAATGAVTVAAAAAAIPMAVPVGSPVYSGEEQVLSSSSSPEPPSLQHPPAAPSVSGSGGVASGDVGEENERLRRENARLARELGQMKKLCNNILLLMSKYAATQQPPDSAKQAAAAGNWSGESAEAAAAPPPLPSILELLPSCRGDPAPAPAAGTEHEAEKAGARLFGVSIGRKRMRDESNGDYAGGVFEDHASRTAEVKAEPVDAAHPDQQEHNATEPVPQSWPIYRPRPVYHPLRACNGSGSAGSDHDGSNNSR >Sspon.04G0017400-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:63124456:63127896:-1 gene:Sspon.04G0017400-1A transcript:Sspon.04G0017400-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARYLLAPTTSSSAGLLPKDAAKNSPTSRPLRLRALGSRTSKARPIVAVASEQAAPAARYPKVAAPTTGPIPAAELLGVIMEAVNKPRNIQYKDGTTNFAHGYPSFSVSIGVLFCGKPAASTVVEFCGGPMCWSTRTISASAGGGAYCNGQKIHVSQTDKVEQSLLVTGFGYEHDDAWTTNINLFKEFTDISRGVRRLGSAAADMSHVGLGITEAYWEYRLKPWDMAAGVLLLDRIGPPTEDLKKKGIDFSLWFKPDKYPTDF >Sspon.04G0026730-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4D:53594953:53596961:1 gene:Sspon.04G0026730-2D transcript:Sspon.04G0026730-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPVPIRVLDSESVASVKLRIQRFKGFVITKHRLLFGGHELSHNNSRVRDYGLADGNVLHLVVRLAELHEIVIETAHGKKFRFQVESAHKVGYLKNMIAAETGEHIESLKDQKLVLGDKELQDHQLITDIAKKRRCSDSLVHQPGSKEGSGGVYFMKDNSGKSNVAVFKPIDEEPMAENNPRGLPLSVDGEGLKRGTLVGEGTLREVAAYLLDHPIDGCKSDGAAGFSGVPPTALVRSFHKGKEIKIGSLQMFVQNRGSCEDMGSQAFPVKEVQKIAILDIRLANADRHAGNILVCQDGDRLQLVPIDHGYCFPEKFEDCTFEWLYWPQAREPFSTETLAYIKSLDAVEDIALLKFHGWELSPQCARVLHVNTMLLKKGAERGLTAYDLGSIMCREVVTRKSEIESLMEEAEDYVLPETSEETFLETVSEIMDGYLGKVLP >Sspon.07G0017050-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:65847666:65850113:1 gene:Sspon.07G0017050-2B transcript:Sspon.07G0017050-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDFDPVPSDDGDGAAAALASSSPGRSICHSGCGRPSRVCLCSYLPPSPIPTSTTVVILHHPHALRRNPLSTLPLLARSLSNLRLVPGRRLLPSSIPPAPTPGPVLLLYPSPAASDLASWCRSTPRPARAAPTLLLLDGTWRQAREMHAASLPVLSSLGVVLVALPVDSCADGDSMFESDLVVSKEPRKRCVSTMEAVARALRLLEPDGNGDMVEETMLGVLRAMVAFRTEHLQHRTLLY >Sspon.02G0027970-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2A:101720222:101720501:-1 gene:Sspon.02G0027970-1A transcript:Sspon.02G0027970-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKGTAHIYNVDGGGGGGKKKGDNVGRERRASPNLQHWWGRRRRYLGKWRIGTVNGGGGADSRMRGGGGGVSRERSEAKRESKEKGSGGCVR >Sspon.01G0057840-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:16122784:16123684:1 gene:Sspon.01G0057840-1D transcript:Sspon.01G0057840-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ERKADTFAVIKGEKEPDNCPFVSSHALLVFRKQAACSDIIGVSSFAVLARKITAGQPQTRQCLRSSTVYCGVVLIAYGAAPAPQRWNDVFISQYINAREIEEEAQRRRRFDRYWFGLAGETPRTREASRNPILLRCSTARHNLASESNGQKKQTTWRNELPELGL >Sspon.07G0018830-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:73970102:73970737:1 gene:Sspon.07G0018830-4D transcript:Sspon.07G0018830-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQAGSALGPCCRGFCGLLVTAGLVVLIYWAIFQPHHIRATVDSATLSNLTVSNASSAAAAAVSYDLTVSLRLYNPSVRVNIYYDAIDAELRFLDAVVGPAANDTSPSVFYQRRRTSEVVKMEFDYWRPGSGGGVTVGSDVARELVKEIRSGGPVSLELDIDVRVRYVFKMFKLRQKPRIRCSLSIPVKTEGRHRGFGGAVASGDRCSVKY >Sspon.07G0015300-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:54985751:54991750:-1 gene:Sspon.07G0015300-1A transcript:Sspon.07G0015300-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFYFKVRPEAGDYTIFMGLDKYENEDLIKYGFPEDIWFHVDKMSSAHVYVRLNKGQTMDDMSEGLLEDCAQLVKANSIQGNKVNNIDVVYTPWYNLKKTPSMDVGQVGFHNPKLVRTIKVEKRINEIVNRLNKTKVERKPDLKAEREAVSATEKAERKAQLRDKKRREEMERLEKEKQADIRSYKGLMVQEKMTSNKQIASGSKTLQELEEDFM >Sspon.04G0015500-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4B:59636970:59640894:1 gene:Sspon.04G0015500-2B transcript:Sspon.04G0015500-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ARVRAAALVWERERDAADALTRQIAEAEQLLASPAGLDAGATSSASPGRRVSHTAVLWHDPADLLVAQLHYQAGGVQNIRLLVPVVLEPESPSYARWRDLVLLTLRRYALDDHVLIDASVVVQTPSWLRLDSIVLSWILGTISLDLHDLVRTSPDARRAWLALEGQFLGNAEARALRLDASFRTFVQGDLSVGEFCRRMKAMADSLGDLGWPVEDRILVLNVLRGLSDRYAHLRTWITRQRPFPTFLEVRDDLVMEELTQGLQPGSTASRGLLDGLAATPPRPSAPRSSAPPPPSLLGPPPSGPSGGGGSWRSSSPRRGGAPWPSFQNPWSRRISMWPYHATGADSRPPVAMLTGAPPAPAGPPPGAFPATAWTPPSGTLPGVAGWDQAALARSFSTMGLTPPVGPEWIADSGATYHTTPDPGILSSLHSPSSSLPSSIMVANGSCIPVTSVGTAGAHGSFRLPDVLVALSMVHNLLSIRRFTADNSCSVEFDSSGLTVKDLASRRPLLRCDSRAPLHPSLPGGSFVFFAARFFGLTIKAVQCDNGREFDNSTSRAFFLSHGVQLRMSCPYTSSQNGKAERMIRTTNDTVRTLLFQASLPARFWAEGLHTSTYLLNRLPSAACPAPTPHHALFGTPPRYDHLRVFGCACYPNTAATSSHKLDPRSTLCVFLGYSPDHKGYRCFDLTSRRHYHPSGPDPPSLFPTDPVVQPPFSWSPAGTASPRSVPATCPGSPAGPGPSSSGAAPSSPIGADPGPSSPASAPGVVPVTDAGSRPGTCPGTSVEVRRAGTGVPAQAAAAAARGPFSAGDTDTTAAVPAGSWCPAGLPPAAASPTPAACSPDGDTARGWHTAASCPRGFDRRRGGLPVPSSVRDALLDPHWRRAMEEEYAALLANQTWELVPRPPGSNVVTGKWIWTHKRRADGSLERYKARWVLRGFTQRSGVDYDETFSPVVKPATVRTVLSLALARSWPVHQLDVKNAFLHGLLTETVYCSQPAGFVDSTRPDMVCRLNRSLYGLKQAPRAWNHWFAAFLLTLGFVEAKSDTSLFVYHHGADTAYLLLYVDDIVLTASSEPLLRRIIAALQQEFATKDLGELHHFLGVTVEHRPAGLLHQRQYTRDILERAGMTDCNPCSTPVDTQGKLSEVEGPP >Sspon.03G0025240-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:61068106:61069721:-1 gene:Sspon.03G0025240-4D transcript:Sspon.03G0025240-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding PPARPTPLPQGGISVEWSQRRRAGRGRIPVPPPLRPPPLLRFVASRFKSSCSAPSQATTLAQQPAPQQKPTRVSLSYEEISKLFSLPIAEAASILGVCTSVLKRICRTHGIVRWPYRKLVSGKAGDDTKGPDRDKAKELLELSKIAKQKASSASGPSIVSSSTSQGAAKSQQGSSKAGQNSVSPPAGKQNASPSLAHGSQAKAIPSYMDDFKYGFPSSGLSCETVKWWGTSSDTDCVPIKDGSHEAHESTTHEPSKGMTDDDELDWGADEAEAEADGTVTAEASAQLCSLRRKAVDDGRKLLNGDNRRGQEFSRLNKRQKLALAQVFGASLPDVVFLVSSE >Sspon.07G0013110-1T-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7A:47648333:47648823:-1 gene:Sspon.07G0013110-1T transcript:Sspon.07G0013110-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVECIFTPFLGRERNQAVVDENVEKLKKVLEVYEARLSQSKYLAGDFLSLADLSHFTIMHCFMATEYATLVEARPHVSAWWESLAVRPAAKKVAEFMPVGTKAPKKQ >Sspon.01G0039310-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:27596621:27599397:1 gene:Sspon.01G0039310-2C transcript:Sspon.01G0039310-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEGEDDQRNQMLGAGRDHEPERAEAEEAKKPAPGEAEAGGDGAGTGTEAATCDYCGSAAAAVYCRADSARLCLPCDRLVHGANGVCSRHARAPLCADCHAAGAVFRRASSSAFLCSNCDFGRHRDGGDPPLHDRCAVQPYSGCPPAGDLAALLGVALFDKPAAEDGAWWNIWEEPQVLSLEDLIVPTTPCHGFEPLLTPSSPKSQTAVCRHQQVVRRHSKLVEISSFLGVTTVCHWTCNFGTENNHEVATMPTPVYENGRWNNCDLDALNDACKVEVAYDQVPVNSAEPCLSSFAPLSEICPSMSNGNSMEDNHQANPGIGMPMQGLPKRTGFDVVPCPDRDSVISRYKAKRKTRRFDRQVRYESRKVRADGRLRIKGRFAKANQT >Sspon.01G0015960-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:53558121:53562561:1 gene:Sspon.01G0015960-1P transcript:Sspon.01G0015960-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSFKACRSEPQLVSPARPTPRETKALSDIDDQHALRYYETVVGFFRRCHGQSNGPDDPAEAVRAALAEALVYYYPVAGRLREDAGGRLEVDCTAEGVVFVQADADVRLEDFGEPLQPPYPCVEQLLCDAGDTRAVIGRPLLFMQLTRLKCGGFVGGFHMCHNMADGFGMIQLMITIAELSCGVAAPSILPVWKREILSRTSHSPTPMIYLSPSYEPLQLNSLDSTCDDVMLSTPLEEMVVDYFIFGPREMITLENHTRGYHAHSPTSFELITAVMWRCRTIALGYKSNQIVHLMITMNARGRWNHHTPIPWGYYGNAHFSPTAQVTVDELCRQPLINTVELVRRTKLSVTAKEYMESMLETMASTRNKLCGDPARTYEVSDTKWIAAGNGLQLGWAEFVGGGIPVAGDITSKIGSDHMRCKNQDGEDSTVVSLLLPRSAMERFKEEMAVWLNKHDEKSLIIQSSL >Sspon.07G0000670-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:918551:920417:-1 gene:Sspon.07G0000670-2B transcript:Sspon.07G0000670-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFLQGKKRFDMDPPVGPFGTKEEPAVIESYYNKRIVGCPGGEGEDEHDVVWFWLQKDKPHECPVCSQYFVLKVIGEGGDPDGHDDEEDDHH >Sspon.01G0048880-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:110507104:110510892:1 gene:Sspon.01G0048880-1B transcript:Sspon.01G0048880-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAASTAAAAAALATPAPAPAATVQVPRGQVDLIDFIDWTGVECLNQDSSHSIVNALKQSLRDDEGLYLASDSDEQLLIYIPFMQVVKLHSALFKGPEEEGPKTVKLFSNKEHMGFSNVNDYPPSDTLELSSNHLIENKPLPLKYVKFQNVRSLTIFIEDNQSGSDVSKIHKIALYGTTVDTTNMKDLKKIEEH >Sspon.04G0001160-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:5345544:5348811:1 gene:Sspon.04G0001160-4D transcript:Sspon.04G0001160-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PAP-specific phosphatase HAL2-like [Source:Projected from Arabidopsis thaliana (AT5G54390) UniProtKB/Swiss-Prot;Acc:Q38945] MGSLRVAGLGWAAAASPEWGVRRRCCRGATLARPRAAAASLGAGQLRVGTEHGWLWDCRGGGARDYAREMEVAVRVVQVACTLCQRVQDSLLRPGPDAAAAAAAGRVHAKLDRSPVTVADWGVQATVSWLLSSSFHDENISIVAEEDDETLSSGDGAALLESVVEAVNGCLVEAPNYGLRSPEKELGSHDVIQAIRKCSSTGGPKGRFWVLDPVDGTLGFVRGDQYAIALALIEDGEVILGVLGCPNYPMKKEWLNYHQKYYRLMSKVAPPPLGSWHKGCVMYAQKGCGQAWMQPLVHDFGKLNWHHPREIQVSSISDPVSATFCEPVEKDNSSHSFTAGLAQSVGLRNQPLRVYSMVKYAAIARGDAEIFMKFARAGYKEKIWDHAAGVVIIQEAGGVVTDAGGRPLDFSRGVYLEAGELHRAALAEELLAAVLGVLLTHLGPEAAPRRHQTWRSARVEAVHQRDCSEAVIARRHLVPGEEVELRADDGHGEVEPLG >Sspon.07G0011430-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:45582191:45583135:-1 gene:Sspon.07G0011430-2B transcript:Sspon.07G0011430-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GYLDEQFNQLEELQDDSSPNFVEEVVALFFKDSSRLLTNIEQALEKYPQDFYRLDSLVHQFKGSGSSIGALRIKNECSMFRAHCNDRNLEGYRTLDDSSSIPASHSLLEQLHLIPWLTQMPPVTPEDEEGACHSEAEAGDIFSFAEASRPSRTRGEFMEVTRQFQGK >Sspon.01G0039890-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:30908655:30911873:1 gene:Sspon.01G0039890-2C transcript:Sspon.01G0039890-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAEAEGTPPPQPAAGEPATTARAQPISAAQFLSWKQRKDAEEAARKAEAAQKRAADIASGAVQMNGRELFQHEPWVFDNNIY >Sspon.07G0012260-3C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7C:50110926:50115470:1 gene:Sspon.07G0012260-3C transcript:Sspon.07G0012260-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RLLTVYLQGRNYGFVVFYDPKDADAARNGLDGQEICGSHITVQFAREHKMTRYDTRYIHDDQNDRQDGNSKLFVSNISPRTQEHDIKDLFSKYGRVRKANLKENYGFVEFCDPQDADDARCELNGQEFNGNRIGVKFATGVPRGPVDSAQILCYNCGAEGHFSGDCKAGDWKDRCYRCGEKGHLKRNCRNSPKGISQPPSPRRGNRYTGGEELPSRSPCYSHNPRSRSSPPPPREPAKRSGLFRDAVPRRDSVNNIQKLGRTQSGGSQQWEAHHGSNSRSMMNCKREGTPPAFTTEVKQPQWEREVENKVAGEANE >Sspon.04G0032780-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:33285548:33287114:-1 gene:Sspon.04G0032780-1C transcript:Sspon.04G0032780-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVMGRIHLVLVQCVTAAALISSLISGAAGEAAAAAAKELRQGFSVAHDQSYSQFQPVLADPTGVFALGFLRVNATMLDLAVLHLPSAFPLWRAIPDRPAPWSTAASLSFDGGLVLTEARPTRCSGPPLPPLPRPATAPSSSTRPTSKSRAPVASFDYPSETIVQGQNFTSAATLYTFDRRFAMRLGSTYFGLYIEPPPPSSGGGVAAAMYFKHTAMEAKAQIVAGGGPTYARVEPDGFLAMYQREGPPADVLSFDTFNRGVRTLRRMTLEPNGNLRAYYWDGARWALDYTAINDPCQLPTTCGAYSICAEPSGRCDCLANATDGSGCAAVVPASVGSSLCGTTGGEVGGLYWAVRRRGVEPVNKELLWSEQAASATDCEARCERNCSCWGAVYSNGTGFCYIMDYPAQLLVAGDDRKMGYFKEPGGEGTRGRGGGRASGVKATLLVVASRRWSQLLRSGRTWCGTGGGGPPRTRGGRWAPPVTGSRRGRTRTWVLQLRRAHQLLPKVTRRE >Sspon.07G0026440-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:53275296:53277443:-1 gene:Sspon.07G0026440-1B transcript:Sspon.07G0026440-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSSAVKVYGWAISPFVSRALLALEEAGVDYELVPMSRQDGDHRRPEHLARNLHCKQSRAIARHVLRKHKPELLGTGNLEQAALVDVWLEVEAHQLSPLVIPIMVECIFASFLGRERNQAVVDENVEKLKKVLEVYEARLSQSKYLAGDFLSLADLSHFTIMHGFMAAEYATLVEALKVLEVYEARLSQSKYLAGDFLSLADLSHFTIMHGFMAAEYATLVEALPHVSAWWESLAARPAAKKVAEFMPVGTKAPKKQE >Sspon.06G0019750-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:4475991:4487484:-1 gene:Sspon.06G0019750-1B transcript:Sspon.06G0019750-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLQCVAHAVRALAVLPAPASPRRRTSHVSLTLPTRRPGLAVAPVRAAESSSPPAVPASAAGKAVVPDDEFSLAKVSFGVIGLGVGLSLLSYGFGSYFNLLPGSEWSALLLTYGFPLTIIGMALKARTLPTYKTLLEDIYRDDPYAELKPVPCITYADAFALREKCATPILKQGGGIPRRNAPILQKIREEAKFTSFFGPGIKAEIGKDGDGLYEVRLISETT >Sspon.05G0007920-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:24562547:24568682:1 gene:Sspon.05G0007920-1A transcript:Sspon.05G0007920-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKKKPGEHTRLLGRNQTMLQRQLRAPDQQKRKGSPVPGSGSSPFRFQSGLAGPAVEGEMGKNQAYKAMQRARVGSSSGAPGAADAPEDGMQISDSHPHFRTDGSFHSPEWHAARLASLNKTHTVTWEEFKKKQKGFKEVQITVEIEENKEGKEAPIKEEGPVRLSKFFGK >Sspon.05G0030710-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:92386048:92389530:1 gene:Sspon.05G0030710-1B transcript:Sspon.05G0030710-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMTKACKKRRVWVSSELGDLSTIFEVEESRLSEKDGLTSIPTCEHQSSYELKAESMNTRKIRWCTKILRELMDHNGGWIFNHPVDPVLYGIPDYFDVIRNPMDLGTVKKKLTNRQYVSTDEFAADVRLTFSNAIKYNPPGNDVHAIAKQLNGIFDSKWESVKKKFRGPSPFQEQKTIFTKACKKRRGVCVSSELGNLSTDFEVDESRLSKKDGVTSIPTCEHQSSYELKAESLNTRKIRLCGSIVRKLIDYKGGWLFKDPVDPVLYGIPDYFDVIRNPMDLGTVKNKLTKMKYVSIEEFAADVRLTFSNAMKYNPPGNDVHTVAKELNRVFDLLWESMKRKFRGPSPVQEQETIFTEVQALMDSKSTIGCSNSKPSVIRRPVACSNLIAKKPLPDVLSFKVKIISFVGSDEQISSKVDACMIYR >Sspon.07G0020930-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:84012980:84023272:-1 gene:Sspon.07G0020930-2D transcript:Sspon.07G0020930-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQERRVGTPVVVACNDQRREVSVAQNIANKQIDRTFAFDKVFGPKSHQQDIFNHAVVPLVSEVLDGYNCTIFAYGQTGTGKTYTMEGGGGGKAQNGDLPSDAGVIPRAVKRIFDILEAQSAEYSMKVSFLELYNEELTDLLAPEESKFSDDKSKKPMALMEDGKGGVFVRGLEEELVSSAAEIYRTLERGSAKRKTAETLLNKQSSRSHSIFSITIHIKECTPEGEEMIKCGKLNLVDLAGSENISRSGARDGRAREAGEINKSLLTLGRVINTLVEHSGHIPYRDSKLTRLLRDSLGGKTKTCIIATISPSVHCLEETLSTLDYAHRAKHIKNKPEVNQKMMKSALIKDLYFEMDRLKQELYATRDKNGVYIPREQYLADEAEKKAMSEKLDRLEFILDSKDKQLEELQGLYDSQKVLSADLSGQLETLQKRMKETECTLADLEAKYMKANNTIKEKQYLIENLLKSGLQEHVRKLKESFHNRIAELHGFANELKHKSVLSFENLNSQVITHTSGLEDCMKGLLVDANQILIALQNGLSQQEVNFATFIEQQHEGLSRNLERTKSVSTTTMNFFKTIDSHALELIKILEESQMEHQKQLFQLQKKFEAFVADEEKYLMEKVARLFAESNARKKKYGDICSLNRTASERSNNLQTETTKLHDFTSSMKEQWEAYMKRTEEAFQQNVSSIEQKTMLLGREFAAV >Sspon.02G0015100-1T-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2D:35053779:35054777:1 gene:Sspon.02G0015100-1T transcript:Sspon.02G0015100-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHFLRNAEMVRRAPFDLDFRVLRDDDVTIKVLFCGLCHTDLHVIKNEFGNAMYPVVPGHEVVGVVTNVGPGVTKFKPGDTVGVGYFVDSCRASDSCSKGYESYCPQLVPTSNGVGLDNGGATTQGGFSDVLVVCQHYVVRVTSSLPPASAAPLLCAGVTVFSPLVQYGLNAPGKHLGVVGLGGLGHLAVRFGKAFGMKVTVISTSPGKREEALARLGADAFLVSRDPEEMEAATSTMDGIVDTVSADHPVAPLLDLLKPMGQMVVVGFPSRPLEVPAYGLVTGGKRVAGSAGGGVGECQAMLDFAGEHGITADVEVVGMGYVNKAIQRLEGND >Sspon.01G0036890-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:19864005:19864935:-1 gene:Sspon.01G0036890-1B transcript:Sspon.01G0036890-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPTAISMTVAANSWDTTNDGYNINKARSGLCSVGVAGTVPSGYVYYDADEATFAC >Sspon.04G0007600-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:21288347:21290952:-1 gene:Sspon.04G0007600-3C transcript:Sspon.04G0007600-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQREVSLHKHKDLLHEHNGTTGAVVAPLLAAAATLLLCRMNSKRRRSHSPVEYKEGRDKDYETSGRKDNSRGIDDTCDARRGHESGRHSDKHSYGTSRESKRHDDYRRYHDKYGDDYGRNHPRASRSDRESRVDTYYDRSKHDSTSGRSRGDQRDVGRYGEKSVNRDQRSINDGKQDSPRGYQKNDGGEYNKYTDARKQEYRGYGDDRDHHRVVRKNKETINEEEVLKRRNGKETEKEALVETREKKRSLFSSTGPNSRSVNLWNSTDAKPSSATNEALDNSAGTVDGVNAAKVAAMKAAELVNRNIAAFGPGTGRLSTDQKKKLLWGNKKSDPSEETSKRWELFSDRERQEKFNKLMGVKSSGPVQENMVENKAESSAEEAKKLEELDTNLEKHYIAGLRRRDGRTVGLGL >Sspon.07G0023420-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7B:16736610:16737587:-1 gene:Sspon.07G0023420-1B transcript:Sspon.07G0023420-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHAQEARAQRKLRTRMYTYVRACNCPLQPPQQKYDPYVYAWRARKPTQGSKARRHALYIRVALLTLRYVLLLISIYTVSTRAGGEQAAVHDVQGAAAMEGHHYSPTADTAAEASGGGGERRFRGVRQRPWGKWAAEIRDPHKAARVWLGTFETAEAAARAYDEAALRFRGSRAKLNFPEDARLTTPSSTAVAAAAPAAAAAGSTTTTMAAVAASTGGYYPAATSAGVSAADYLQYQMLLQGAAATSHGGGSSSHGGGYPLYYDYGGRGGGAMSSSSGSYSFPASTVTVASVPSSASSAPSYGDAAAQWTSWPESASSYPATTGSWS >Sspon.07G0014830-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:53432263:53434380:-1 gene:Sspon.07G0014830-1A transcript:Sspon.07G0014830-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATGHAVVDIDFPEEADAAKPPLAPVPYVLNFADLSYSVRSRSKGGGLLPQSSRRHPVASADTPPPTSSGSSRRTKTLLDGVSGAARAGELLAVMGASGSGKSTLLDALAGRIARESLRGAVTLNGEPLQGRRLRAISAHVMQDDLLYAMLTVRETLRFAAEFRLPRALSAERKQARVDALIDQLGLSRAADTIIGDEGHRGVSGGERRRVSIGTDIVHDPILLFLDEPTSGLDSASAFMVVQVLRRIAQSGSVVVMTIHQPSTRILGILDSLLLLSRGRTVYAGTPAGLKPFFAEFGAPVPDNENPAEFALDTIREYERQPGGAAPLADFNVKWQHSSSSSAAVENNNNNNNNKMMPLELAIAQSVSRGKLVAGSGPGGGSAVTGSSVPTFANPLWTEVWVLIKRSFTNTGRMPELFVMRLGTIMVTGLILATIFWRLDDTPKGVQERLGFFAMAMSTMFYVCADALPVFVQERHIYLRETAHNAYRRISYVLANAVVAFPPLVLLSLAFAVTTFWAVGLAGGASSFLFFVLIVLASFWAGSGFVTFLSAVVPHVMLGYTVVVAILAYFLLFSGFFITRDRIPDYWIWFHYLSLVKYPYQAVLQNEFGGASRCFSRGVQMFDGTPIGRMPKAVKMKVLDAISATLGTNVTADTCVATGADVLAQQAVMDISKWKCLLVTVAWGFFFRVLFYVVLLVGSKNKRK >Sspon.08G0007260-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8B:21332555:21333343:1 gene:Sspon.08G0007260-2B transcript:Sspon.08G0007260-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AGVHIPERGRNHVDVQTN >Sspon.06G0021480-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:16880954:16885771:-1 gene:Sspon.06G0021480-2C transcript:Sspon.06G0021480-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTSTSPRAAAPQLLLVLSLLLSAASASSLLPPNNAHQPRPSPAVPAAKAREEGGGVSAGLISTLRETLDAIRGVASIISSFPIGGGGILGGGGGDLRLASAVADCLDLLDLSSDELSWSMSTTTSDDDYSPAGAGAEAGGRLVGTGDARSDLRSWLSGALGNQDTCKEGLDETGSLLASLVATGLDAVTSLLADGLGQVAAGAGESSSRRGTLGAAAPPRWVRARERRLLQMPVGPGGLPVDAVVAQDGSGNFTTVSAAVEAAPSQSAARHVIYVKKGVYRETVEVKKKKWNLMLVGDGMGVTVISGHRSYVDGYTTYRSATVAVSGKGFIARDLTFENSAGPSKHQAVALRCDSDLSVFYRCGFEGYQDTLYAHSLRHFYRDCRVTGTVDFVFGNAAAVFQNCSLLARRPLPDQKNSVTAQGRLDANMTTGFAFQFCNVSAHADLLNGTTNATAAAAPPTQTYLGRPWKQYSRVVFMQSYIGDLVRPEGWLAWDGDFALDTLYYGEYINTGPGASVAARVKWPGFHVMTSPAEAANFTVAQFIEGNMWLPPTGVKYTAGLTSSSVDP >Sspon.06G0027560-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:86913231:86920503:1 gene:Sspon.06G0027560-1B transcript:Sspon.06G0027560-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPQVLWHLLLLPALTIFSHLVSAASNVNTPLPGPDCPNMCGNVEIPYPFGIGDGCARPGFNPYPLICNNSFSPPRLYISNAEGISTETGEIRVFNEPSYRCYMTSTAFESSSAPWSLTDPFLISARSNEFTAIGCSTVALLKGTSYYAGCVTYCVSVEEAAADDDKCTGLGCCQIPISGNLSSMEVEWNVDSNGTYENPAYRFKRQDLIADGNDTYLNRVGGRTIPLVFDWAIRDDGSCRPPPQNGGASAKPTAPACVSANSLCVNATQGRGYLCNCSEGYKGNPYVTGANGCTNINECDLRKSDPEKYEELYPCYSGSTCHDTEGGYKCKCKFGLRGNGKSEKGCQLILPVWAILIFAIFIVIALATLVILEAKRRKQRRFFDKNGGDILKSMGINIFTEGQLKKITNGYKNSIGEGAFGKVYIGITGDAQQVAVKCSTAKGEVLPQEEFVNEITFQFRITHANLVRLVGCCLETDVPMLVFEFVPRGSLYSVLHGTGKMHPLSLPVRLNIAIGSAEALAYMHSHGGHNHVHGDVKSGNILLDANLTPKVSDFGSAKLVSVASRYSKWCVSGDMSYIDPIYIKSGRFTEKSDVYSFGVVLLELVTRKPAKDGENSLYIDFIKLCKDEGNGRKLYDEEILSGDDARSHHHMECLDMISKLAVQCLKEDLDDRPTMAEVVEELKRVKAIATGGSCSVTS >Sspon.03G0017210-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:53905779:53910193:1 gene:Sspon.03G0017210-1A transcript:Sspon.03G0017210-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPGIAPKTAAVPVAWAPQPRHMFVFGAGFVGRYVSERLLAQGWQVSGTCTSVTKKRELEMLGMNAFVFDATESSLENVHSLRQATHLLISIPPIPGIGDPLLKLDEDLRRMVSHGNLEWLCYLSSTSVYGDCGGVLVDEDHTVNPKSESAKLRYNAEKGWLNLIDDPNLSAFIFRLGGIYGPGRSALDTLAKGKSLSQRQKLRESKQYTARIHVADIYQAVLASMSIRCARKIFNVVDDDPAPRAEVFAFARSLIQGRYPDLITETIDAKSTGLDYQEIIVPAEKRVSNARMKQELGVNCFIQLIDLACRVSLMLGKFNLNLIEVSDVLVLEDI >Sspon.04G0016420-1P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8A:16001684:16002586:-1 gene:Sspon.04G0016420-1P transcript:Sspon.04G0016420-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAEAECDRIRGPWSPEEDDALRRLVERYGARNWTAIGREIPGRSGKSCRLRWCNQLSPQVERRPFTPEEDAAIVRAHARLGNRWAAIARLLPGRTDNAVKNHWNCSLKRKLAAAVSGPGVVSADAAADEIEAARPSKRVSLSPDSPSGSGSRSDRSDLSHGSGSGQIYRPVARSGGFEPADCAMSRPRVDDDPLTSLSLSLPGTDQQRFHHDRARSQFQELPASPPSPSPPAAAPPAPSAYPFSPEFMAAMQELIRTEVQRYMASVGVRAGCGAAGGADLCMPQLVEGVMRAAAERVGRM >Sspon.08G0030870-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8D:66770542:66775525:1 gene:Sspon.08G0030870-1D transcript:Sspon.08G0030870-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRPISMTQRTRSGPSMWRSAAWWRSKGARGTGTSCNPRISRACRRARSPR >Sspon.03G0015130-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:72210539:72212107:1 gene:Sspon.03G0015130-2B transcript:Sspon.03G0015130-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DGAAGRRGGVGANAWAGHAPGPAADASGRRVPAAPAAGWVPGAAAGAGGGEAAAGAAVVPALLQHVVVQGRGERGDGGARRRHGGQQQGRSGGVGGVPLDEDEVDGRHGAPAHRGGVQRRGRRRGRGDGWRRGGRQGGQGGLVGARPRPRGGGAATEEGQVEVGVARHDGQGLRGVAAAVRGQVQRPQQAVQARGRPAGPRPRLPRGREPRDARHPRRSHAQGQGRGAQAAQLQAPLLPRDVHLPQQPAAARRRRRGRRRRLPPPSAAGAHGRRSLVRRSPPLRAAAGGPVPAGDEGLLRRRRGRRRGRRQRRRRERQRRRGRGRLRRGGGRRPHVPQQQPPQSSAQRRRAQQARAWREWRRGRGPRRRGGRRWERETREAEDGGAGGTRPIGDAAAGEERARVRGDGPAAGPKLGAPPRGGGGGAADPPGLPRVLPGWAAAEVGAVPRRQGARHGTRAPAERPPPHGEPTLAAHAPPQGHRARHGRGQLFLRRPPTRRVAARSTPAADRVQPQPVHRRPPKL >Sspon.08G0025740-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:12691161:12696043:-1 gene:Sspon.08G0025740-1P transcript:Sspon.08G0025740-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RQHGNGFCSEFLQQEQHFADAYCTGATICKGLNRGLGTVLAGSLALLIEFVAAGTGKVLRAFIVGASVFIIGFAATYVRFFPTIKKSYDYGVLIFLLTFNLITVSSYRQQDVVSLTRDRLSTIAIGCAICLFMTLLVLPNWSGEDLHSSTVGKFEGLATSIEACVNEYFRDRDKGDNVLDKQEEARASIQSGYRVVLDSKSSDETLAHYASWEPRHSMHCYSYPWQKYVKLGSVLRHFAYTVAALHGCLESEIQTPPSVRSLFRDPCTRVAREVAKVLQELAVNIKHHRRCAPDVLSDHLHEALQDLNSAIRSQPRLFLGSKRACAANKRMLMELNSGKLSAPSRAALHSFKTDATALSETTRSARSDQPAAAAAADRNERSGMLRPTLSKIAITSLEEFTGHDHLVVDLSSTEKTRNSNAAALNTVSAAAE >Sspon.01G0022490-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1A:82092947:82093247:1 gene:Sspon.01G0022490-1A transcript:Sspon.01G0022490-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding NYNVPLLSKDVATVRRITHRVSGRGGGLPTVQALALFHGDDCTEIACLLDPDHVSAYQVQTVVEQIAGDQGLEVEQGYYTDITKDAALDKYLKIACADD >Sspon.03G0021140-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:87718018:87719040:1 gene:Sspon.03G0021140-2B transcript:Sspon.03G0021140-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fasciclin-like arabinogalactan protein 11 [Source:Projected from Arabidopsis thaliana (AT5G03170) UniProtKB/Swiss-Prot;Acc:Q8LEJ6] MAVRLFHLGSSPHRLLTLLQPPRYKWQRHKALPHPSSCICMHRANNTSRPSAAVTMQAMRRLVRVLVVLGVVAATASAQGPTAAPATPTPATPAAPVTPAAPAPKAATTSSNITGVLAKGGQFNTFIRLLKSTGVASQIDNQVSNGGNGITVFAPTDNAFTSLPSGTLNSLSDQDKNALVQYHVVSTAIPMSQFDTVSNPLRTQAGSASPGEYPLNVTSEGQQVNITTGVVNATVANSLYSEDSLVVYQVDKVLLPEKLFGTAEAPAPAPLAPAKKKGKTPATVADSPEAEASPDATTASLAPARLTTGGGLAAALALAGVWWGL >Sspon.08G0012110-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:8453150:8453995:-1 gene:Sspon.08G0012110-2P transcript:Sspon.08G0012110-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AKPQEVRSVDSFSQLPFIRPAPSPAQPRDATIRLFGCDFSNDQRRQAQQDAAAGSPDAANGSTVTSESNGGAKSGGEAAAAAERKFECHYCCRNFPTSQALGGHQNAHKRERQHAKRAHLQASLAMHRYVPGHMYGLFNYHHHHHLGGGGRFVDHPAPPPPLPLPPPPPAHYPIWTSASPAGPYGGGGGGGPGSMSQPINGSPVPAGLWRVPPPAATTTTATMENFGMSGRHGAGGTAILVGPAGGQAAAACKDEKAAMSLLSPSPSLSSCSSTSPEKKLGR >Sspon.02G0008240-3D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2D:17905672:17906190:-1 gene:Sspon.02G0008240-3D transcript:Sspon.02G0008240-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGVCRDREGAVRGEEEIGCGGQVGSVDEEVGVELVEALDGAALAQQLLQGWEMVAGGRRRKHRKARRSDEQFAFVRCWGKPQPMGRERFLLDRGPTPLHSRRAASVSLWQAPSSTAVAEQHEAVREARVPEAYRPVRRRVGASPRRSPSISPQLHPAAGGGGVIAEDCMLG >Sspon.06G0008480-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:35869185:35871878:1 gene:Sspon.06G0008480-2B transcript:Sspon.06G0008480-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLMDHRCAGSAFFTSHGVGYVGAGRKSCPGAFLKNGVVCSLDDSVVRVSTLCSSLPSEAVSFGSKMSLVNSMGFAQKKKGGKLWWRLQGGKKSVRHRAPKHGLGKNRHGHKSAVKDDDIDAVLSRISKESSIEECNSALIRLEKLSDEKALNFFDWMKVNGKLKGNAHAYHLALQAIAWKEDWKMAELLLREMVADSDCALDAQAFNGLIYVCAKRRLDAWATKWFHMMLEREVQPNLSTVGMLMVLYQKTGKLSEAEFTFEKMRNCNIKCVNAYSAMITLYTRLGLFAKSEDTINLMNNDGLVPNMENWLVRFNVYCQQGKMEEAELVLQSMVDEGFTLNVVAYNTLITGYGKSSDKQKANKVFDSLGSAGLAPDETTYRSMVEGFGRANIYEEAILYYRKLKGAGFRPNASNFYTMINLLARHDDNETAAEILEDMRAAGCQCSSIVTFLVRAYGAVGRMHKVLPILQACFNKKILLDATSCSILVTSFVQNSLLEEALYILREKKWKDSAFEDNLYHILICSCKEGGSYNDAVRIYNQMPKSETHPNPRISCTMIDVFSMMERFADAETIYLELKASASVLDMIAYSVIVRMYIKAQRLEDACSILTEMEKQKEIIPDKYLFLDILRTYQKCGLLEKLADTYYWICKSQVECDEAMYNCIINCCGRAIPVDELSRIFDEMIQQGHLANTVTLNVLLDIYGKAGLFNRAEKVFMMARKQGLADIISYNTIIAAYAKSGNFHSMNYFVQMMQDAGFPVSLEAYNCMLDAYGKAGQLEEFASILQKMKRAKCKFDHYTYNIMINIYGRRGWIEDVSNVLAELKDRGVEPDLYSYNTLIKAYGIARMPEDAVKLMQEMRIKGISPDRVTYANLIAALQRNENFLEAVKWSLWMRQTGV >Sspon.03G0009060-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:24722511:24726063:1 gene:Sspon.03G0009060-1A transcript:Sspon.03G0009060-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETPTYQILVRLLDGRTRCLSFSTPTVSGAVLLDAVASISRVPAAALRLVTGRIDVSPSNVLTSAADGQFPSASALLRLRGGKGGFGSLLRGAASKAGQKKTSNFDACRDINGRRLRHVNAERRLEEWKAEAADRQLEKLAENFIKKKAKEAGRGGGPSAAEVDKYLEKYRKDAEICVNAVEESVRASLGKRKTAPKPPSGADAKKLKIWLGKNKVEEDESDSDSDVDDEDSEDDESADAKSVVVDDGNCSNGSSKNEEEKLDMGSVSGSHSEGESSGEKSQHSNSEENVKCVQSTVELTTRSGAEGGDFESDGSAEPEVGMVDHPFSVNSAVAAASEEVKADEDNTTSATSYQNNTEVPQVEEALKADEDNTASATSNQNNPVVPQVEECADASKSYSEPLDLAKYSSAAELEVLGLEKLKIELQSRGLKCGGTLQERAARLFLLKTTPLDKLPKKLLAKATTGGSEKL >Sspon.03G0042960-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3C:74123904:74125484:-1 gene:Sspon.03G0042960-1C transcript:Sspon.03G0042960-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHNLLSIRRFTTDNSCSVEFDPSGLTVRDLASRYPLLRCNSTGPLYTLRFPASVSPSSSPISSAAFAATTSSTTWHHRLGHPGRDALMQLSRSSDIRCPRAHDEHLCHACQLGRHSEAFPTLSHFFAWVSTQFGLTIKVVQCDNGHEFDNSTSRAFFLSHGVQLRMSCPYTSSQNGKAERMIRTTNDTMRTLLLQASLPARFWAECLHTSTYLLNRLPSTACPTPTPHHALFGTPPWYDHLRVFGCACYPNTAATAPHKLAPRSTLCVFLGYSPDHKGYRCFDLSSRRVLISRHAVFDEPTFPYSTISTSTPPADPAEASFFPTNPVILPPFSLYPAGTTPARSPGGPTSPQPASHQDFPPVPGTAEAAPELPPSLPVASLPPVVPPHCRSDRGTERPDPTSSRTFRPRLPAPAGAGSPLTSSRALRHRLPTAAGAGSAVAVGVAAFATSAVVTSACSTGPAALSRRAAG >Sspon.01G0048900-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:110523497:110525251:1 gene:Sspon.01G0048900-1B transcript:Sspon.01G0048900-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMHSLYPVSSYVLPGLGEELQAVSAVVEEERIPGPRLHHEPLEFQADVLHRWWRCGRVSVGDDVDTGPCPAAVLRWPSAAGREGGRALPVEEPRVCFVDERLASGDDGGGDLGVEWRRRPGRGVAAGCGQPRHGGDLRCFLRKIENHGSSMEGRDCRVEILGARVRGIAGQVEGALSDGGVLLRNREGKESSASTAGRGRGSGRGEDDAKGTDSEVNGAGPSPSRCLWL >Sspon.05G0020020-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:82595897:82596550:-1 gene:Sspon.05G0020020-2P transcript:Sspon.05G0020020-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVILAAYCDHDASAAATYVRFLPLENAVQEHTSDFCRCYIQCSSGACVRFLPLLQGRACSSGVEGIRTGAASGAQASHGRGLTEKFKEKDTAYSGSATFTYEAFMLTVLPFLIA >Sspon.05G0038620-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:50497300:50499084:1 gene:Sspon.05G0038620-1D transcript:Sspon.05G0038620-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKIASTTSCSFPSTTESEHYGVIGHILVLPYPGLQGHTNPLLQFGRRLAYHGLRPTLVTSRYVLSTTPPPGEPFRVAAISDGFDNGGVASCSDPAEYWRQLEAVGSETLAELIRSEADEGRPVRVLVYDPLLPWARRVAQAAGVAAAAFLSQPCSVDIVYGEVWAGRLPLPVVDGKELFARGLLGVELGPDDVPAFVAKPNWCPLFYRASLQQFEGLEDADDVLVNSFHEIEPKEADYMALTWRAKIIGPTLPSFYLDDDRMPLNKTYGFNLFNSSESCLAWLDKQLPCSVVLVSHGTVWDYDEAQLEELGNGLYNSGKPFIWVVRTNEEHKLSNDLRDKCKERGLIVSWCPQLEVLAHKATGCFFTHCGWNSTLEAIVNGVPMVAIPHWADQPTISKYMESMWGLGVRVRKDEKGLAKRDEVERCIKDVMDGDRKDKYRINATMWMQKAKEAMQNGGSSDKNITEFAAKYSSNKFSKVVH >Sspon.01G0015860-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:48946653:48947661:1 gene:Sspon.01G0015860-4D transcript:Sspon.01G0015860-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DEDEEGQIQRRSRRGEEGSDLEKDPPTSLEAAAVAHLSSAMEVIEREEGREGGRSGSLEGGEGGRARSLEAAAIVASRLRMEEGAAAREQRAEEPREEEMNRTRVDSTRRTRRVVRVDTREGARQSPKYFRTFGIPRSRIQFPPLSPLQPTKAQHRRPKSNKLASHLQHHPLPPSPASTMGDYGHGGGQMRGNLDSRPRGQGQRPNPPIPSSPAPPPPDSSLIRGLVSLLSQMGQIHPTGLTPNLLKLFEPRPPLEYKPPLEKRKLPAYTGESTDHGIVY >Sspon.08G0022400-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8B:48952755:48953807:-1 gene:Sspon.08G0022400-1B transcript:Sspon.08G0022400-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPDLAPMMIAPSLASRWLPRHRQLRVVGQVAWGLGANANGAKLCYLGAIVNGVKSWTESRQGEKEVETDKESGEERVILIHIHMAASLVPRIIVLALGAGALGFPDALRVLLDIAGRRSPLTDIAICVFVMAVVTAQALGAMLLARFVRKAARAGGDDAHALGAPPAQAPRTDRFAQVTLVVSLGVAFLVSACLLVASGAGGIGLLHLVAAAASRSTGPGRFGNMTQVASFTGVAAVVGTMLLARFTRKARNAAGAGGRGAAPASAADTRRVDGKLTRLAPYLAVVVAFALLCCLVLTTYAPLESGPDGAKDP >Sspon.04G0002930-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:9905214:9905708:1 gene:Sspon.04G0002930-3D transcript:Sspon.04G0002930-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMGNKAKSEGARCRRHRQQGAGVCASCLRDRLSHLSLSASLPSVVRGEEAAEGYSRYHDDEASTAYSSEGSSAASSECASPGDDEMRRVSLLMRHERVVGDADAVAAFLRARREQRRRTTATSFWAKLLHATRGGGKKDQGCSMAARGKMLEERAAAAKWVLF >Sspon.03G0019280-3D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3D:45833551:45834721:-1 gene:Sspon.03G0019280-3D transcript:Sspon.03G0019280-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding QQKRVAEQQDGEHANGAKKCRALAIPNGEVKQEQRGQGGEEAGQGGQGEGAPVAVVQAMEEPQINIRMAVSLLHCHACVLPLKPPIFKCETGHVVCGACRGSHVQACAGAGTYVHCAELDRIVREAKVPCAYEKYGCTSWVVYYEALYHQRSCRFAPCLCPDPGCGHSTSPARLAEHFSIHHGWHVTEIEYAKPCKLAVRGPQDTQVLVGKADGCVFLLSPCALGAATAVSLVCVRACGDAAAGAPQYTCKLWAQVAGNKENLAMVTFMVASSDLAGGFPATGQGMFLAVPPPLLHDESGEPA >Sspon.02G0053100-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:99273044:99275757:-1 gene:Sspon.02G0053100-1C transcript:Sspon.02G0053100-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SWSVATASATAASSCSTSSANKRSGVPLRPGVQSNAVCLWTTSCSPFASTAERVDSECAVVRSGIKATSVLLCCNYMPCRKFGTSARTYFPLKIPLILQLQLFMLLSAEATSSTVHPRTLLFQGLIQDKPVTILVDSGSTHSLDTQVAATLSGVQPLQRPTSVRVANGGSVACEAHLPYAEWSIQGYSFHSTLKLIPIGTYQMIVGIDWLQAFSPIKVHWTQKWIQIPYGPHNVVLQGLLPEPPQCSLVQVYHLSAQEEATQPLPPEIQSLLDCYAHLFQLPTELPPRRACDHSIPLLSGAQPVSVRPYRYSPALKTEIEIQCSGVSTDPSKIESIQAWPVPTDIKQLRSFLGLAGYYRKFVQHYAIIARPLTDLLNKGLLFVWTSTHDIAFQTLKQALVSAPVLALPDFSKPFQLQTDASEGGVGAMLLQDGHPLAYVKARDPLANEVVYKVGGPAIQGHLQAGNSNLAADALSRHPQPPEQLNAISSASPTWLADVSAGYHHDPVSRRLLQELSVDNTSHPPYSLTNGIIKAKPDRAKYPGLLLPVPEESWQVISMDFIEGLPHSGSTDCIMVVVDKFSKFAHFVPLLHPFTASQVVQIFLDN >Sspon.02G0005370-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:16601500:16602894:1 gene:Sspon.02G0005370-2B transcript:Sspon.02G0005370-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVSGAKRRRGLEEEQEEDEDRISRLPDGILGDIVTLLPTNDGARTQVLSSRWRHIWRSAPLNIDLDIIPDFPLGANHVTESVISRILSAHQGPSRRFSIPLDGWLESPALDRLQELEFHYRRPQSTNLAPLPPPPASVRRFSSTLRAVSFGCCGFTDGNNACPVLQRLLLKGTIGLSRVQIASPTLRSIGVRSNHGRVNLRQLIIEDAPCLERLLCFDYLGITISVISAPKLLVLGPLSDQSPRLEFGTTVIQGGSGFFSLKMVVHSVKVLSLFEERLSLDVVIEFMKCFPNVERLYIEPKMAGEKNVWCHKYMNLIGTLDIRLKKIVLAGYQGNMSHVNFVKFFVLNARMLEFMRLELFVALEDPSNAWIDKQHRPLRTKK >Sspon.04G0036250-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:25946186:25948267:1 gene:Sspon.04G0036250-1D transcript:Sspon.04G0036250-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAFIKRPLVRQIPPPGFESCSSGGATMHNNPFSFDLDIAPPYSTASSWSFSGGDMGRRTDPFSFGHDIARPPISLSDLCDDYFPRPAQFGPFPTMNDPHNDYVPAVGEVPALPVSPAGFNPTPVYDYGNPFDADGRAAALAMDIPAPWDPCYDDDVVQPGVEEPVSMSSAAPVCDGGGTLNDDDDSTRLLPKLCCPYDGYDEDIEATLRAQEDEAKPSPDYLETTQGSRMSQDTRATLVGWMKRFTQCYDLAPGTLHRAVSYADRFLTVRPLEDVGMHRLRLLGAVAVYAAAKYEDQGTVELLDAAEIASYSRRCGGGSGGFASSKEEVLDMERALLVALDYRLGRPTAHTFVEHFTRHYGQEELRLELRSCAHDFADMSLLHYSCLQHNPSAVAAAAMFLARLTLKPTYGQITRWNRELKELTGYEPIKLERCVEAIHSLIPHHAARRALFVFYLQPATAHCLSFTFSRPPSLPVITRNIFFYERTRINRGCVGTDHKRQITAEQAREGAGTAVSGLRAAEARLEGSRGGSGGPA >Sspon.05G0028050-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:60122165:60128086:1 gene:Sspon.05G0028050-1B transcript:Sspon.05G0028050-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAMDAPNSGAAAGSGGMPSDALYRELWHACAGPLVTVPRQGERVYYFPQGHMEQLEASTHQQLDEYLPMFNLPPKILCSVVNVELRAEADSDEVYAQIMLQPEAVQNELTSLDPEPQEPEKCTAHSFCKTLTASDTSTHGGFSVLRRHAEECLPQLDMSQNPPCQELVAKDLHGTEWHFRHIFRGQPKRHLLTTGWSVFVSSKRLVAGDAFIFMRGENGELRVGVRRLMRQVNSMPSSVISSHSMHLGVLATASHAISTGTLFSVFYKPRTSRSDFIVSVNKYLEAKKRKISVGMRFKMRFEGDEAPERRFSGTIIGTGSLPAMSKSLWADSDWRSLKVQWDEPSSILRPDRISPWEVEPLDAANPQSPQPSLRTKRPRPPASPCMVSELPSGLWKSPTESSRTLSFSEPQRARELFPSIPTSTFSSSSNVSFNSKNEPSMLTSQFYWSARDTRADSCAASTNTVIVEKKQEPSSGGCRLFGIDICSAEEEVLPVVTAPGVGYEQTAASVELNSDKLSQPSDVNNSDAPAASSERSPLESQSRQVRSCTKVIMQGMAVGRAVDLTKLSGYSDLCQKLEEMFDIHGELGSTLKKWRVIFTDDEDDMMLVGDDPWEMVKRIYIYTYEEAKKLTSKSKLPVSSDSSKLSAVNSLSE >Sspon.01G0019810-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:72376140:72381419:1 gene:Sspon.01G0019810-2P transcript:Sspon.01G0019810-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDSSSDSDDEEHGPSKEDCICQFKEMLKERGVAPFSKWEKELPKIVFDPRFKSLNKAGYIYHYVRTRAEEERKEKRAALKAAVEAYKELLEEASEDINQKTDYQEFKRKWGADPRFEALDRKEREVKENFRSDPRYKAMKHEERETIFNEYIVELKSAEQEAEQAAKAKVDEQAKLKERERETRKRKEREEQEMERVKMKIRRKEAVSSYQALLVEMIKDPKASWTESKPKLEKDPQGRARNPDLGRGDAEKLFRDHVKDLYERCVRDFRALLSEVITPEVAARTTDEGKTAINSWSEAKGLLRSDLRYNKLASKDRESIWRRYADDLTRKLRQSDTKEKDKSDADGKQPRSSDPLRRRCDLLAAFSCR >Sspon.08G0002300-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8A:7011579:7016145:-1 gene:Sspon.08G0002300-1A transcript:Sspon.08G0002300-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSLFVVLKKIALSLGEEALGRIGTEMVVQVAPIMTDFEQSMKQIEGELSVLQAFIGQVSAQRVGDKAFDAWLDQVRDVAHEVEDIIDEYAYLTSQAALDTSSFFKRKFHQIKNFVAWQKFPSQISQVEARIQRLTEMRNRYDLPVDSAYLTDHSEIVGNVDEIGRLTECLYPEDYKLKRKLISKLWISEGLVEERDGTTMEEVAECYLTELTQRSLLQITERNACGRARTFVMHDLVREITSIIAKNEKFGIAYGDVGISKGNLKAVPYGIKYIRTLNQMYLTDMSKEFLGSLQGSESPIVQHIRNIHIFESSDSEAVNKFGFEPYLATKFGPGATKYAPTYWGSSGIKVALCLGERALDKIGTEVVEAAPVMTDFEHSMKQIEGELSILQAFIGSFFIDKAFDAWLDQVEMLLVAHEVEDLIDEHAYLTAQAVDTSSFFKRKFHQIKNIAAWQMFSSQVGQVDARIQRLAEMRNRYGISVELDRRNNLQLANQLCMSDSSSEKTEDYQLT >Sspon.02G0022130-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2A:73971647:73971820:1 gene:Sspon.02G0022130-1A transcript:Sspon.02G0022130-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding HHQYWAWLGSDNGGGGEESHGRQGGESLVAEVREGAARGGEGTAQATRSAALGAPSG >Sspon.05G0015010-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:55431177:55433166:1 gene:Sspon.05G0015010-2B transcript:Sspon.05G0015010-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLIITLYAVSLAVVVVLLWFHKPGAGDKSKHEKRLPPGPWTLPIIGSIHHVVRGLGHRTMMELSRRHGPLMFLRLGEVPTLVVSSAEAAELVMKTHDLAFCSRPTTSVTIDIVGCKGKGLGFAPYGDRLIQMKKIVVVELLSAAQVKRIEFIRADVVGRLIRSVAAAGGVVNVSDEVKALAPDLVAKAMFGDSCAEKSDFIRRYDEVSELVSGFFLVDLFPSSRLVRWLSVGERRLLRSYGGIQRIIATIIESRKAASASSGATSCSLDHEDLLGVLLRLQKEGSLGFPLTSEIIGAVMFDIFGGATTTLGSTLEWAMSELIKKPETMKKAQQEVRSVLGQSRRGVITNTDLVGLSYLQMVIKEVLRLHPPNPLLVPRESREDCEVMGYHIPKGTKVLVNAFSISRDARYWKDPEEFCPERFENSDVDYKGTDFEFTPFGAGRRRCPAIMFATSTLEIALANLLYHFDWALPDGTTPEMVDMSEQYGMGVTKKLDMHLRAIPYVHSTVA >Sspon.08G0022370-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:48703993:48705175:1 gene:Sspon.08G0022370-1B transcript:Sspon.08G0022370-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSARGAPTTATGQPNRTCTESTPHPPNRSRRADEWRRGRRSPPLTRFPRGPRASPAVTVHTMKTWKGWAHLQLGRRPDVDRPFTTTCTGGRSTHADRRVGSGCRGAVCVLVWRRCFRAGPVAATTFEQEIAQRGRGRREELASAASGAGRAAETSPAMSPAASAAEIVVVVASVPSEQSRSSHRAAAPSVPSSYARSSSTPSAEAAAPARTKAAKCECCGFTEECTPAYIAAVRAEYLGRWAVGDEIRREGSTITTAEALDRHVAASAEDDLVAAVARLLRRCLDSPPASPAAASPAPPQSRKVAAGPGEPACLAAMIGVTS >Sspon.08G0002060-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:75468482:75469176:1 gene:Sspon.08G0002060-1P transcript:Sspon.08G0002060-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MIYPCCKQHSNSKALCSHMLPSLPLLSPFCSSSTRWQVCMALNRSRHHFPSDRSLLGARQQLAQLNDKIRSRAGASAIMAIKGYFRAPARLQGRKRKQQAAERGLPGGVGGGGDDSLGAALLDEAELPAVPRGYFAVYVGAEARRFVVPTAYLRQPAFRDLMERAAEEFGFAQAAGIRIPCREEDFEATVAALELATGRATAAAEPKLPEARSL >Sspon.05G0019420-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:66988388:67008266:-1 gene:Sspon.05G0019420-1P transcript:Sspon.05G0019420-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASQDHLGGDPPESTRLRLGDDIAWSEINGVYDRDDSLKENTNPKSVLKNHPGAAGAHSSAGGSSQRFSGNLKPTAAPIIGLSGKLGGSVRRHQHPPAMFPKKAKTGGGGRAPKAAVPEPGSPKVSCIGKVLSDRERARLGRPPRGGARAPGCCGGLGLLMRRSRSKHSAVECVDQSPPPPPFPPPLADAAARWREEEEEEESSDFRAHHDSISSHRHGELPGDSGQEGDEDRERGRCQQRSPQGATPQQIDEAFPTAATVTAATATARPPPTLDIFPAWPMRSLHTPKEGSNVTADSTDDSESSSKNNSNHSSDQHGAAADMQSQFDQVSQQQQLQHKNMATSSTPRTGKTPDPKQTIRRLAQNREAARKSRLRKKAYIQQLESSKLKLAQIEQDMQRARSQGIFLGGAPCANTSSGAAMFDVEYARWLDDHGRRMAELHGALHAHLPDGDLRAIVDDTLTHHDELFQLKASAAKSDVFHLITGAWTTPAERCFLWMGGFRPSDLVKTLLPQLDPLTEQQLVGICNLQQSSQQAEEALSQGLDQLHQSLADTMAGGSLIDDTNMSFMGQMALALGKLSNLEGFVIQ >Sspon.03G0039840-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3C:21520789:21522630:-1 gene:Sspon.03G0039840-1C transcript:Sspon.03G0039840-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHTIPR >Sspon.02G0045240-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2B:108587655:108588341:1 gene:Sspon.02G0045240-1B transcript:Sspon.02G0045240-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKDCIALPWERRKRLASCTVRSDVIANISRADAGVATRDGGTSRRPVHRPPLAPTPPEQLACGPHSSRTQSTRVEASWGVHRVWPTNERLLLDRTPRRSPPHCSWSSSIAAGSRRPAVHARQQELGECGGGCDGHGGVGGRRGWLPEDGDAWGGRELGELSVPGTAPLVDGGRRVLAVMGRRRLGVGLRWRRTPSPRRRRHWGSWSRWAPRPGARARARTPGLARWS >Sspon.07G0015980-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:62007487:62009580:1 gene:Sspon.07G0015980-1P transcript:Sspon.07G0015980-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTTSKKAYGVAIIIQLIYTGMYVVSKAAFNHGMSTYVFIFYRQAAATALLLPLAIVLESNTLSMNLYNISLKYTSATVASATSNSVPVVTFFLAVLLRLEVVRLRSPSGMAKAAGVALCLAGVLTIALYTGPSMSPVNHHRAFAAHHPSHPQAHADGGKGTWIKGTFLMLLSNTTWSLWIVLQASLLKEYPNKLLSTLVQCGLSTAQSFLLAVAVERDPAAWKLQLDVGLLAVAYSGLVVTGVSFYLQAWCIEKRGPVFLAMSNPLGLLLTIFCSSFFLGEIVRLGSLLGSGLLVGGLYSVLWGKSKDHLHQKQSQEQAPKTLATTSNDDGHDDDEEKQQPAAAVQNMGGGDEKQLKDLFAMEASPLRHQSG >Sspon.06G0006510-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:22008962:22012990:-1 gene:Sspon.06G0006510-2B transcript:Sspon.06G0006510-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIDGMGSVVATVSGYHGDERHRLVNLIAETGASYVGSMSRSITHLVCWRLEGKKYDIARKLGTRVVSHRWFTECLREGRRLPEDPYLMVSGEEAGPVPELPARSRTRGKKNAIMEDRVFQELPDDFWDTPPARASYKVKLDDSDSDFESALLKENSVGDGNSKKNHSSDVKKRRKRMKHVNTSTDRDVLNLQDDVSCVVARQCLHVSSHTTSQSTSKQKGNLSQLLHNEVPIRMGERNNLTENFENDSLSDSFSEPQTSDTPCIEAQIKFTKTSAPSSSLRQSTLDSLYEFGETSRHEPAGRKELNDVELKETSRSLLPLDLSGQEPAFCTQEQVDKCSLDTLADDEIGYDNKPMEKSSNSERPAELSCVICWTDFSSTRGILPCGHRFCYSCIQEWVDRLASRGKVSTCPLCKASFTWISKVDEAGTSDQKIYSQSIPCEASTDVFVLGNEGYDFSRSTAGQGACYQCHFREPEELLQSCQVCRSQWVHSYCLDPPMNRWTCMHCRDPRMLFHRYR >Sspon.03G0000690-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:13413475:13417568:-1 gene:Sspon.03G0000690-3C transcript:Sspon.03G0000690-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQNSSRDGSSHGRRQPSFQQQSSAQWGTGGGYYAQDPRAGYYGAPGPQQGGGYAAPYPAPAPAYQPAAAAPAPQAAKPRQLDRRYSRIADDYHSVDQVTDALAQAGLESSNLIVGIDFTKSNEWTGKFSFHGRSLHHISNTPNPYEQAISIIGRTLSKFDEDNLIPCFGFGDASTHDQDVFCFFPDERPCNGFEEALDRYRELVPHLRLAGPTSFAPIIEMAMTIVEQSGGQYHVLLIIADGQVTRSVDTASGQLSSQEQKTVDAIVKASELPLSIVLVGVGDGPWDMMKQFDDNIPARAFDNFQFVNFSEIMSKNMAQSRKEAAFALSALMEIPQQYKATVELGILGRRSFKSPDRVPLPPPTGSYDAYSYSSKSFSKPTTYPQSSSSASPYPHYETPHTATPAAPSSTYDNQVCPICLVNPKDMAFGCGHQTCCDCGQSLESCPICRTPITTRIKLY >Sspon.02G0015020-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:94454908:94455747:1 gene:Sspon.02G0015020-1A transcript:Sspon.02G0015020-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:MYB transcription factor [Source:Projected from Arabidopsis thaliana (AT5G62320) UniProtKB/TrEMBL;Acc:Q9SNW9] MGRQPCCDKVGLKKGPWTAEEDQKLVSFLLNNGHCCWRAVPKLAGLLRCGKSCRLRWTNYLRPDLKRGLLSEEEERTVIDLHAQLGNRWSKIASQLPGRTDNEIKNHWNTHIKKKLRKMGIDPVTHKPLQLAPAPLTQQEQDTGGSPEEEEEIVTAITPVHDAFCTDEVPMALLLDDIDIVLPSDDVVGAQAAACVGTVYLPESSSSSSSYSASVAASSRGSSSVDGEWPDWPPMMDWPEP >Sspon.07G0022430-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:8110952:8111467:-1 gene:Sspon.07G0022430-2D transcript:Sspon.07G0022430-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIEPCPSPTARPSIVSYHIMEEFEEAEILWPAAGSDDRGNSQGGDDGNGGEAAPMPCSVRPEAAAPVEISRRKRRCRPWPASEYYTTTFDEETDVADDDEEGRCTDDAKGTTNDGLVIVPPHVLLARRRLVVGGRTAAYSMCAGKGRTLKGRDLRDVRNQVLKMTGFIEE >Sspon.01G0001870-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:5485654:5487855:1 gene:Sspon.01G0001870-1A transcript:Sspon.01G0001870-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIFAQRATRFRCCVESSSQEQETSVAPPAPPPEKPPRSSPSSLLGISTSTWSAGVAGLGFLETGYLTYLKLTGSEAFCPITGAGCGDVLDSDYSVVFGNLLVLLLTGIPLPLIGLVTYGLVTALSLQENGKDLLPGSDDLDIRLILLLVATSMATASAYFLYILSTKFVGISCSYCLLSAFLSFTLLFIRVKDFGFERIQKFAGIQLAVAVIIVLALTNSYSSATTQLKGTLCELTMCFLFFDTIVNDFKILLFILCSTDDFVLEPYETEITTESSPFAIALAKHLHSIGAKMYGAFWCSHCNEQKQMFGREATKILDYVECFPNGAGKGKKMTTECAAAGLEGFPTWFINGKVLSGDQELEVLAEASGFVAEDTEQSKEISQN >Sspon.02G0015530-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2B:40838834:40843903:-1 gene:Sspon.02G0015530-2B transcript:Sspon.02G0015530-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSATSIQIIPVDFGIHIFSNDDDDTPLNRSAGPVRAVVQGCRPAYADGGACPAPGAPPTHCRLAGRACPLRPTPCCAACAQGGPALPLLRSIRPIVRIERASFGASFSHPAHAVASNSWHLSLACHVQLVGLRQSGTERVPLLLSTSSGAGDESPDVTASLVIFLTVLAGDDGGQTAIRLGWNASINPCVPGNKNSLWGKTLQCFDQGGNNGHIKRIDLDVQGLNGTIDAALLCAAPALRVVNLYNNSLRGGLPEGISACSGLTHLIVSGNQLSGNLPPSVAQLKSLQVIEVSRNNFSGELPGDLSKLGLVRFLANDNHFSGTIPDFNLSNIQDLSFDVSNNNLTGSIPKNATRFGQERFWPNAAGICGGTLFAPCPAPPSSGSESDDGDGKEDDKDKDKKRTVRKIVMYLGYVLLGAAILAFVLYRICFKKKRSKLGLKSKPGGGRRGVYDSSRLTTATTTTSATTPSKTPAYSLPTSGEHSAAAEADGAPAASLVVLRRSGTASITSNAAAAAAKELRFEDLLKSPAELLGRGRFGSSYKVVVPGGAALAVKRVKDAAVDEEEFRRRMERVGLAKHPAVLPPLAFYCAMQEKLVVYEFQSNGSLTKLLHGITDTIAVEGAKLPLLTCGHALCLGVVVAHHPLTVTLRCRWPPWLCVGTAHDRTSSQPRLGIASLLEEEPVKGGVRQAKQRADDVVSPEGQWPEQEMQGGRRGGSDDDNNRRRRGASGSIENSQGPLDWPARLHIAAKVADGMAFMHTTLRGGGASSNSSSGEKAAADGPIAHGNLKASNVLFTAGMDPCISEYGITAPPSAGRDSAAAFRADVYAFGVLLLELLTGKATSAQGDGAELARWVTSVIREEWTAEVFDRALLAGCGVGSTEQRMVRLLQVAMRCVDASPGSAPPPTMREVASMINSIRDEDDRSFSLEA >Sspon.04G0006990-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:19976906:19979755:-1 gene:Sspon.04G0006990-1A transcript:Sspon.04G0006990-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRQAYTTRELCSCTGGWSLELLLLMNHEAGQYQARRRGGDDFGSRGSRRVPRAFPGPRKHAPIFPGAIRGALWKPIHARAQARFLSFSTWKKSTSQDTGSISPTSRARAAALLLQSRDTEAAATPASVHRCPRPGIRPPPPPPRHPSAAATSLHLAVARSRSRACDAGSLGCSSTATTSLIDHRRLAPRLSSPSPSPLPSVLKQLGTTGKVWQEQRGKGKV >Sspon.01G0006790-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:24459630:24461121:1 gene:Sspon.01G0006790-2C transcript:Sspon.01G0006790-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKKLQMGGPKQAKGATDDGKGDVAGAGGSAVTASAIAASYDDQIRPLLDAVDRLRHLKVTQEGIQLPTIVVVGDQSSGKSSVLESLAGISLPRGQGICTRVPLVMRLQDDPSADSPKLQLEYSNGRVVTTTEATVADAINAATAEIAGSGKGISDAPITLVVRKRGVPDLTLGQPEDIYDQVAKIIKEYIAPKESIILNVLSATVDFPTCESIRMSQQVDRTGERTLAVVTKVDKAPEGLLEKVTMDDVHIGLGYVCVRNRIGDETYEQARVEEERLFKYHPLLSKIDKSMVGIPVLAQRLMQIQAAIIAKCLPDIVKQINERLSRSSAELDQMPQDLNNVADAQGVLPYRQAEKVLVRGDFAEYPDEREFHGTARIAEMLSGYAKSLPAQCPTNGNEPFLMEEVRILEETKGINLPNFLPRSAFLVLLKKKVETIDQVPQDLVNGVWEYVEELVMKVLLKHSDNFPQMQSPCRRAVQP >Sspon.08G0021830-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:43661674:43665234:1 gene:Sspon.08G0021830-1B transcript:Sspon.08G0021830-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNI-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G10510) UniProtKB/TrEMBL;Acc:Q93ZV8] MESSLLLSSPRPLKPFHPLRFPTARRRHASFRGKPSPPPPPLPPLAHGSRRLGLAVPRAASVSFGDGFRSQDRPPGAGHVGARRRAYREAQGEAAVPPLAAAARAVAPYAAPVGAVLVLSFVIWKVVQNMIPGKKKDHNSGESAPSGIMWSFAAGSNLSTPSLSAEKELRKNLKKFYKELRTSKTVNMAGRQFGDEGLFFLAESLAYNKSAEEVDFSGNGITAVGIEAFDGILQINTALKTLNLSGNDIGDEGAKCLSDILVENVGIQKLLLNSINIGDEGAKAISNMLKKNKSIRILQLSNNTIDYSGFVSIAEALLENNSIRSLYLKLVTHNLAALIGNYGGPLGASSLAQGILGNKSLREIHLHGNGIGNEGIRELMSALSAHKGKITVVDIGNNNISPEGLRPVAEFLKRTKSLQWFSLYMNDISDE >Sspon.04G0023790-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:19278125:19280497:-1 gene:Sspon.04G0023790-3D transcript:Sspon.04G0023790-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNPIHRRCTLPCLGVHAAAAVLLLLLSPAAVTPVASHNDHGEHKNYLVIVRSKYEYDKNLHKNVSSWHASLLSSVCDTAKEALEADPSAMTRLIYSYRSVVNGFAARMTPEELEKMSKMEWFDRALPEQTFHLLTTRTPQMLGLMGGRRGGGLWNTSNMGEGVIIGILDDGIYAGHPSFDGAGMQPPPAKWKGRCDFNKTVCNNKLIGARSYFESAKWKWKGLRDPVLPISEGQHGTHTSSTAAGAFVPNASVFGNGLGTATGMAPRAHIAFYQVCYQDKGCDRDDILAAVDDAIEDGVDILSLSLGHEDAIDFSDDPVSLGGFTAILNGVFICAAAGNTGPAPATLVNEAPWLLTVGASTTDRRFLASVKLGDNVELDGESLNDPNTTMGGLLPLVRDMSDGQCLNGNVLKAENVTGKIIICEAGGDVSTAKARMLKSIGAAGMIMVTPEVFGPVIIPRPHAIPTVQVPNAAGQKIKAYLKKTRDATATFVFKGAAFNTPKSPMVAPFSSRGPNRRSRGILKPDLIGPGVNILAGVPSIEDVDQLRNAPVPRFDIKSGTSMAAPHLSGIAALIKHAHPNWSPAVIKSALMTTAEPTDNLRKPILDVNGRPATLLALGAGHVNPKRAMDPGLVYNMTAKGYVPYLCGLNYTDDKVNTIIYPEPPVSCANLSRLEQDDLNYPSISVILDKPPFTATANRSVTNVGAASSTYAVEVNVPASVTVEVNPTKLTFKALEEVLNYSVTIKSANGQALTGPVEGEMKWVSGKYVVRSPILVTTGALPPAPATRKP >Sspon.03G0026700-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:8238460:8239489:-1 gene:Sspon.03G0026700-2C transcript:Sspon.03G0026700-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MENNSSRQQAPPPPPGYPTAVAGAEEQQGGRRKGRRGKTTSRGEKGFIEGCIAALCCCWICEMCCD >Sspon.08G0026210-1P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8D:8525995:8531836:-1 gene:Sspon.08G0026210-1P transcript:Sspon.08G0026210-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RDGRGHFPSGLRVLAVDDDRVCLKVLERQLKYCNYNG >Sspon.03G0044020-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:86442571:86444651:1 gene:Sspon.03G0044020-1C transcript:Sspon.03G0044020-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGEEADSSKPHQGGVYGTFQGPPSYPPPRPPPVGFPQPAPPPGLSAHRRGYQAVSGNLEITKQVCEDIAMIDFPVVALVLDGSYTEIGTCILRFYVRFSWLQVFKFKPW >Sspon.07G0016260-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:57861016:57862927:-1 gene:Sspon.07G0016260-1P transcript:Sspon.07G0016260-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRRAEEEYDYLFKVVLIGDSGVGKSNLLSRFTRNEFCLESKSTIGVEFATRTLHVEGKIIKAQIWDTAGQERYRAITSAYYRGALGAVLVYDVSKPTTFENISRWLKELRDHADSNIRIMLVGNKTDLRHLRAVATDDAQSFAEAEGLSYIETSALEATNVEEAFQLILGDIYRAISKKPVASDEAGQGAAGGVKEGKTINVATGGDAAAEKKQCCSA >Sspon.05G0022050-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:4992431:4997958:1 gene:Sspon.05G0022050-1P transcript:Sspon.05G0022050-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GQRYGLIAARSLGSRRLRMTQHCFHEQHLCWRHVQRGVVRNIRSSSSPGAVVSKGFDSPLVEKPDTSLDAGIIHLYRIPFLQESETMELLKKVKAKVSSKIVDIMTEQCFNIQLDNPLTPEKLSTLHWLLAETYEPEKLQSRSFLEEEVARSSCTVIVEVGPRMTFSTAFSTNAVSICKSLSLVEVTRLERSRRYLLHLEPGSGPLDESQLKEFAALVHDRMTECIYPKKLTSFQSDVVPEPVRVVPVIERGEAALEEINVKMGLAFDKQDIDYYTHLFRDDIKRNPTTVELFDIAQSNSEHSRHWFFNGKLVIDGETMPATLFQLVKSPLKANPNNSVIGFKDNSSAIKGFPVNHLRPTIPGSTSPLSIMMRELDILFTAETHNFPCAVAPYPGAETGAGGRIRDTHATGKGSFVVASTAGYCVGNLRIDNSNAPWEDPSFSYPVNLASPLQILVDASDGASDYGNKFGEPLIQGYTRTFGMRLLNGERREWLKPIMFSGAIGQIDHAHISKDDPEIGMLVVKIGGPAYRIGMGGGAASSMVSGQNDAELDFNAVQRGDAEMAQKLYRVVRACAEMGENNPIISIHDQGAGGNCNVVKEIIYPKGAEIDIRSIVVGDNTLSVLEIWGAEYQEQDALLVKPDCRSLLESLCERERVPMAVIGKIDGCGKIVLIDSAAVEHAKLNGLLPPTPVEELELEKVLGDMPQKTFEFKRVFHVTEPLDIAPEVTLLDALKRVLRLPSVCSKRFLTTKVDRCVTGLVAQQQTVGPLQLPLADVAVIAQTYTGLTGGACSIGEQPIKGLLNPRAMARLAVGEALTNLVWAKVTSLADVKASGNWMYAAKLDGEGADMYDAAVALADCMIELGIAIDGGKDSLSMAAQCDGEVVKAPGNLVISTYVTCPDITLTVTPDLKLGNDGILLHIDLAKGNRRLGGSALAQAFDQIGNDCPDIDDVLYLKKVFEAIQELLSQRLISAGHDISDGGLIVSVLEMAFAGNCGFKLDIDLEDRSLLEGLFAEELGLVIEVHSKYFNIVKKKLEATGISANVIGEVTCSPEIEEKTSDLRDLWEETSFQLEELQRLKSCVKLEKEGLKCRTSPSWSLSFTPKFTDEKLLIASSKPKVAIIREEGSNGDREMAAAFHAAGFEPWDITMSDLLAGKSSLTEFRGIAFVGGFSYADVLDSAKGWAASIRFNKPLVQQFQDFYHRPDTFSLGVCNGCQLMALLGWVPGSDVGGSLGKGGDMSQPRFIHNESGRFECRFTSVSIGDSPAIMFKGMEGSTLGVWSAHGEGRAFFPDENVLATVVKSNLAPVRYCDDFNNITETYPFNPNGSPLGIGALCSPDGRHLAMMPHPERCFMMWQYPWYPKEWQVEKSGPSPWLRMFQNAREWEVSGFSDVAITGKLLTVQKNPVPGDGINICDSYQQKITGGWDL >Sspon.03G0011730-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:48182202:48185574:-1 gene:Sspon.03G0011730-3C transcript:Sspon.03G0011730-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMDESFLDRMVSQLRSTCKYYTGYPKDLGPSRIIPFTSERQFVQLLHEGRPVVVAFTIKCTYTQHLDKVLEEAASTFYPHIKFVRVECPKYPGFCLTRQRNEYPFVEVFYNPEQAASQGKSVDPNITKYSVKVLPFNYDQSVYGFREYFRKHGFKYSETK >Sspon.01G0042560-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:67191522:67195087:1 gene:Sspon.01G0042560-1B transcript:Sspon.01G0042560-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNDKDLQEVVQMLDDACKDIGFFYVKGHGICELLMKEVRDVAQKFFQLPYEEKMKIKMTRQNGYRGYQRLGEFITMGKPDMHEAINYLTPIVPDLSRKIMRGIALALGGPVDAFEGTIAGDPYWGIRLVSYPGWLIDIPEEKCANTGISKTTGLLTLVNQDDDICALEVQNQSGEWIHARPIPGTFVCNIGDMLELKPLMQTNFDTAIEPVGFCKKKTGGVAKYEKVVMCLNHYYNRLIIIVSSGDSSS >Sspon.02G0028010-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:103432409:103433774:-1 gene:Sspon.02G0028010-4D transcript:Sspon.02G0028010-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMATAMSVSAVTPAAAAAARPRTMVCVPATARAPREMAEELAAAAALGADLAELRLDCLAGFAPRRDLPVILAKPRRSPPSSPTGGPKWEGGEYEGDDESRFEALLLAMELGAEYVDVELKVADKFMKLISGKKPDNCKLIVSSHNFERTPSSEELANLVAQIQATGADIVKIVTTATEIVDVAKMFQILVHCQVI >Sspon.01G0047380-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:41163155:41166377:-1 gene:Sspon.01G0047380-2C transcript:Sspon.01G0047380-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIGASVEGAPADGGEGYSGRVTPFVVLSCVVAGSGGVLFGYDLGISGGVTSMDSFLKRFFPKVYRQKQDSKVSHYCEFNSELLTVFTSSLYIAGLVATLAAASITRRYGRRTSMLIGGTVFIAGSVFGGAASNVPMLLINRILLGIGLGFTNQARVLLQKLRGTTSVQKELDDLVCASNLSRATRYPFRNILKRKYRPQLVVALLISFFNQVTGINVMNFYAPVMFRTIGLKESASLLSSVVTRLCATFANIIAMMVVDRFGRRKLFLVGGVQMILSQFTVGAILAAKFKDYEEMDDGYAYLVLITMCVFVAGFAWSWGPLTFLVPAEVCPLEIRSAGQSIVVAVVFLMTFVIGQTFLESATFFVFGGWICLMTLFVYLFLPETKKLPMEQMEQVWKRHWFWKKVVGEEEDKKEAGAGKIALSGL >Sspon.02G0002280-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:7360980:7367975:1 gene:Sspon.02G0002280-1A transcript:Sspon.02G0002280-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLMNEAKCSESLAELYRLLNEDDMRCGLWKRKSITAETRAGLSLVQHGYWQQAQNLFYQAMIKATQGTYNNTVPKAEMCLWEEQWLSCASQLGQWEVLADYGKGVENHEILLDCLWKVPDWAYMKDNVISKAQVEETPKLRLIQAFFTLHDKGTNGVNEAENLISKGVELALEQWWQLPEMSVQSRMPLLQQFQQLVEVKESSKILLDIANGNKPASGSSGANSNPNNSFADLKDILETWRLRTPNEWDNMTVWYDLLQWRNEMYNSVIDAFKDFGQTNPQLHHLGYRDKAWNVNKLAHIARKQGLPEVCVTILDKMYGHATMEVQEAFVKICEQAKAYLEMKGELVSGLNLINNTNLEFFPVKNKAEIFRLRGDFLLKMNEFDPANQSYSNAITLFKHLPKGWISWGNYCDMVFKETKDEVWLEYAVSCFFQGIKYGVSNSRSHLARILYLLSFDGQNEPVGRALDKYLEQLPHWVWLSWIPQLLLSLQRSEAQHCKLVLLKIAQVYPQALYYWLRTYLMERRDVATKTEMGRNMLAQQRMLQQAMMANNAANNLPDGSARASNHAGGNMTSDNQSHQASQSVGAAGSHDGGNVQGQDPDRSTAEGGTNTSHDQGQQSSTGAEGSQVLLRRNSGHGWVTSAASAFDAAKDIMEALRSKHTNLANELELLLSEIGSRFVTLPEERLLAVVNALLHRCYKYPTATTGEVPQSLKKELSGVCRACFSQDAVNKHVDFVKEYKQDFERDLDPESATTFPATLAELTERLKHWKNILQSNVEDRFPAVLKLEEESKTLRDFHVVDIELPGQYFTDQEIAPDHTVKLDRVGPDIPIVRRHGSSFRRLTLIGSDGSQRHFIVQTSLTPNARSDERMLQLFRVLNKMFDKHKESRRRHLTIHTPIIIPVWSQVRMVEDDLMYSTFLEVYEINCARHNREADSPITIFKEQLNQAVSGQLSPEAVVELRLQAYNEITKNIVNDNIFSQYMHKILPTGNYLWTFKKQFAIQVALSCFMSYMLQIGGRAPNKILFAKNTGKIFQTDFHPAYDPNGMIEFNELVPFRLTRNLQAFFSNFGVEGLIVSAMCSAAQSVVSPKQSQHIWHHLAMFFRDELLSWSWRRPLGIPSVPVAAGMINPSDFQQKVVNNVDHVINRIKSISPHYLAEEEENATEPPQSVQRGVTELVEAALSSRNLCMMDPTWHPWF >Sspon.07G0021010-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:79001152:79007518:-1 gene:Sspon.07G0021010-1A transcript:Sspon.07G0021010-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MERLLAQIMASGLNGLSVGDSLPDNIMESPARSETASCFRSCQGELCGGFSAASYDEGSPHLARQEVLQLHHTLLRISRTALILNIVTAYVLSEIFYVSAHGFHVMLLPKPCLLPPSTYEHINFGFVWCLARDSRKGGERTLTLTIPPGELVLPGDLVPPPGAAHAAAGDLTPLLGDLAPPLGDLKIQIAK >Sspon.08G0002270-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:5294956:5302204:1 gene:Sspon.08G0002270-3D transcript:Sspon.08G0002270-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVGASQIETDTERARDTERHRTPREAGVSRPDVWALASSILRRQAQQGPVTPPEGSYGPPPHPPASEPSRPPPARPFSYPRASHRSSASRMPTLNLSTNVPVDAVVAADILKDCSKTVARIIGKPESVRLVTHPTRSAQCLGRPFLLFINAGSWSLDWSAMGSLARCSDERNISPRRAALQYVMVSINGSPAAYGELVSIGGIGPGVNGKLSAAVAEVLETKLSDLGVVVDHLLPHHFPDHIYAEILIYVKIDWHLSRRRRHVWVLQSLGDTNSFGGIKDKHLLQQIDGKRVCLWVHAGEGYAWGVWKGLDILAGFRVVNELKVSLTHGAKNIDNELKLIEALTVSNAAVMWAVGIERRESRGATATDALQYPRRYSIAGTVHGCEMRWERRPRGFAHGRQERRGKHAFDHDRRTPNPFPTSLERQFPCQPLHLNRTRLTELRSREHRHRYNKINKEKKMDESGTSDTHRSLPSAAARRVLCSGGSAENRTHATQRNTGAAGRYLGGRGRQGARGARVRACGRPPPAYALITGLARSGRIRLTARARQGGGVSCGPRERGDWAVQRHPGTRGVHRPPPQIWRPAGSGEGKRARREREHWRRGLAIFIAETRGAVRPCGRGERARGHRGNCGGGRLFASEQTERSKHRTGTPAVGSGLWHPVRAHDTTAASFLFRKRTHPLHFANSPRPPKGKAAAYPYPVLTARARFAPRDDRRKRPSRSLPARRLALPMPLPSCPRASPARRRHPRGWGVAYVCVVSCDRVRCCPQAPASGM >Sspon.02G0029660-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:97412732:97415535:-1 gene:Sspon.02G0029660-4D transcript:Sspon.02G0029660-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding HGHQEAGLLLRAAGGGGRGLSPGRARRRSASNAAEVAAALRRLGGSGRRSRRSWRARIRRRAPARTAAAPARGGRGGQWVRGQLQLARAPAGAAGAGADAGTGAAAAARRNDLRLLLGVMGAPLALHACSAPPSRCRTSASRTPPFCVTETSSAQYILQQYLAASGGQRLLSSIRNSYAMGKVRMVATEFETGGRVVRNRMAAQRAEPGRFVLWQMAPEMWYIELAVGGSKVHAGCNGKLVWRHTPWLGAHSAKGPVRPLRRALQGLDPLTAASMFAGARCIGERKVNGEDCFILKLCADPETLRSRSEGLAEIIRHVLFGYFSQKTGLLVHLEDSHLTRIQSTTGGDAVYWETTINSFIEDYRPVEGIMIAHSGRSAVTLFRFGEAAMSHTKTRMEEAWSIEEVAFNVPGLSMDCFIPPTDIKSGSISETVELPQGEKSKVGLLPCHRAKVAALEKADDNVAWSGALQLDCK >Sspon.01G0002930-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:7493115:7494074:1 gene:Sspon.01G0002930-4D transcript:Sspon.01G0002930-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPPPPPFASSSSSSPSPSSSSPGASITMVIITVVGILAAFALLASYYAFVTKCQLLRAVWSRHPPWHRRARGAGVGGSRDEPSFVAGRASATEDGRRGLGLPLIRMLPVVKRRDDALAGESIVIEVRGEHERWFSSHGTTTGARPAAAAAGGGGRGPRHPKQPPRRNKAESVGDEAIETRKTTDAEFAAVQPLRRSLSLDSSCDKHLYVSIQELLATQRQVRERDPSVHS >Sspon.07G0004780-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7B:10264546:10265706:1 gene:Sspon.07G0004780-2B transcript:Sspon.07G0004780-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQELRTGGGSNLRAAHSSVCFSGALIDGPRIQQLLLHCAAALESNDVTLAQQAMWVLNNIASSQGDPSQRLTSWLLRALVARACRLCGPAAAAQSAAAARAPVPQERAMSVTELAEYVDLTPWHRFGFTASNGAILRAVAGSAAVHVVDLSVTRCMQWPTLIDMLSKRPGGPPALRITVPSARPAVPPQLGVSDEEIGLRLANFAKSKGVQLEFSIVKCGSPSPSPTSSPPKKQAALCHDLASVLSDRQSLGLRDGEALVVNCQSWLRHVAPGSRDAFLDAVRALNPCLVTVTDEDADLDSPSLATRIAGCFDFHWILFDALDTSAPRDSPRRVEHEAAVGRKIESVVGADDADGAERSESGGRLAERMRRNGFASVGFDEEAAAE >Sspon.07G0003900-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:9727712:9733926:1 gene:Sspon.07G0003900-1A transcript:Sspon.07G0003900-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEESSGERAPSTPQLLNLIRDEREWKMIRQAEDGGGSSTTTSPDAEEDSKLELKLGLPGAQDDERTARPGEKMEQQQESCTALSLGSGCFPSHSKLATSTGATTGAKRGFLATVGAKAEGCNQRQEDREGFGNELLTLGGENMAGERKKGCCPPSSSHDSAAAGPVHSSSSSNPHLTRGAVLPVVGWPPVRSFRRNLTNASSSKPSPEQQNDEACDRAKQTCKRNPLIKINMDGIPIGRKINLSAFDNYQKLSSAVEDLFCGFLEAQKDLACSEIREQGAEDKIFSGLLDGTGEYTLICEDSEGGRTIVRDLPWNVFVSAAKRLRVMKSSELPHGLVCCSSLHNAQNAGRHGGSGSLHS >Sspon.08G0007230-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:20889641:20891116:1 gene:Sspon.08G0007230-2B transcript:Sspon.08G0007230-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKQLIVAGEPAEGGWIHESSYYCPTTWFSGDAFRDPPFAGAVAAASELSLRLRAGSSPTTAGAVSVSLPDQSSEVSCSGLTHGSSSAGAGAGPGLFQPPCGAGAGQVARPGAMHFSQVLSRWSGYADIAQQALDEFVACLLQDVAGFAGLAGGGEASCPQPSSSCSKTTSSNPSVFAGFEEHNHKLKNDLQKLLQIVDQRCKQCMDEIESAASKYGSLVRPGGGALSAPFAHRAVSAMHRRLRARITGEIAAATRRGDQPSSSSLSLSLADRERSWESAFIQKHWALRQLRRGDQQSWRPQRGLPEKSVAVLKAWMFENFLRPYPKDNEKEMLAARSGLSRSQVSNWFINARVRLWKPMIEEMYEDLKKASGGMEGV >Sspon.02G0019740-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:63835249:63841223:-1 gene:Sspon.02G0019740-1A transcript:Sspon.02G0019740-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPDARLDSVVFQLTPTRTRFDLVLIANGRKEKFASGLLKPFLAHLKAAQDQIAKGGYSITLEPNSGFDVPWFTRGTVERFVRFVSTPEVLERVTTLESEILQLEDAIAIQSNDSLGLKSKDDIFLLCHLKGRRPWKKPGSNSMPPMQNETTAHEENSKVQLLRVLETRKTVLRKEQAMAFARAVAAGFDIDNLGYLIAFAERFGAARLMKACSHFIELWKQKHETGQWIEVEPEAMTTRSEFPPFNASGIVFMGDNMKPNLESGSINGEANGEDGAKADQKSSQQMGSHATYPPWAMHPSGAVVYPPYPMQGMPFYPGVNPYYPPYPPVDDPRYHYSGRKSSRKHSSDSKDSETLDVESDHSSSDRGSSHGRKSHRKGKRSGKKKPSVVVIKNVNVTSKKHGSSESESQSSSEVESADSDNSHTKSRERKHKSTSSKKKEGRRTTFDSGDEYSKDETSNGHDAEQGNWSAFQNFLLRAEEKTRSSDADLFAGEKEPPSRRKQNVNTADPILLADRDGGNVYERNKVGFDSANGRTRAIRVMSNDELIMSGEGRSCMDGEIKEIEAGGGRYRRGTGDDFMVYGQGSQIDRHSLLDPLAEAQYKNPVQQDKNRNGVADESFMIPLRSSSQDNIGAESRTTIDIDVELPSRIQKASDEKAGHQLFYEPDELVPERGFEDVSFGYDPAMDYDSHMQTTVKMEDAKTEDVVPVTEGDVQKVEKEKPRNAKDGPDKRRKDALLRRLSAPRTPLNDAQKRAQNLPEFAREEEQIKRLERLKLERQKRIAARGNGKGPGNDAPKANGMNGLSKSVPNFTGLKKEKNGTTESLSDRLKRLSEPKSIAGAEHSLNPKSTGADHSRRSMA >Sspon.07G0019010-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7B:74263390:74264896:1 gene:Sspon.07G0019010-2B transcript:Sspon.07G0019010-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At4g15720 [Source:Projected from Arabidopsis thaliana (AT4G15720) UniProtKB/Swiss-Prot;Acc:Q8VYH0] MYLLRAMGSSGVRPNVVTFSTAAFACARLADAGLGRQVHAQAEVAGCARDAVRRHPRSSTCTARRGVEDAHAVFDAMAAQVRNAVSWGAMLAAYAQNALGDEAIRLFADLRTRGGGVAPNHFMLATVVSACAGLARLGIGRCVHGAVLRLGYGNNDVISVALVDMYSKCGCYEYSRKMFDRIEQPSVVTYTYHRCRSKVWPLEMRATLFDEMVDQGRLEEAFELAKEAQLEGNDALLLWNSLLSACRTHKRLDLATMAAQRVSEFNNQQDVAAGLVVMSNAYVSAGQIDNAAAVRSSMRLRGIRKDPGCSWIEVKDTPYVFLRWCNIMRWRVADEVLMLLDELESKMRERGYRGRLGSARVSDAHEDDEEEREICPKGDDHQVMKNLRMCSDCHEVFKLISGTVEREFVVRDLNRFHHFKMGSCSCHDYW >Sspon.02G0021920-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:96589108:96590378:-1 gene:Sspon.02G0021920-2C transcript:Sspon.02G0021920-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MIAGVAAARAAAVAVVLLLAVTTAPSAEAHVVIGAYNKTCPQAEDVVLKEMTAIVAKSPDLAAAVLRLFSVDCFVGGCEGSILLDSTAGNTAEKDAPLNEGVRGYEVVDAIKAKLDAACPGVVSCADTLALAARDSVRLTKGPFIPLPTGRRDGNRSVAADVALNSPPPGANISDIIALFAKKFNLTAKDVAVLSGAHTIGKARCLTVSPRLYNFGGQNGASDPTLDANYTATLRGQCKPGDNATLVYLDPPTPTTFDADYYALVAGNKGLLSTDAALLLDPTTSAYVARQANANATAPPDEFFADFAASFVAMSKLGVLTHHNGEIRQVCSKVNPPVSSTSAAAAQLMTATGGLAVPLALAVALVL >Sspon.04G0028680-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:67365243:67366105:1 gene:Sspon.04G0028680-1B transcript:Sspon.04G0028680-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding TMPPTPTAAATGAVVAAASAEQAAFRLVGHRNFVRVNPRSDRFHTLAFHELWCADAASAAGRFSFGLGAPLAARSDLSTGNTAHASLLLRSGALAFLFTAPYAHGADAATASLPSFSAADARRFVADHGLAVRAVALRVADAEDAFRASVAAGARPAFEPVELGLGFRLAEVELYGDVVLRYVSYPDDADAPFLPGFEGVSSPGAVDYGLRRFDHIVGNVPELAPAAAYFAGFTGFHEFAEFTAEDVGTTESGLNSMVLANNARTCCSHSTSRCTAPSAAARYRRT >Sspon.07G0036190-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:24510322:24512451:-1 gene:Sspon.07G0036190-1D transcript:Sspon.07G0036190-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRVVRTVRMRNISDQVTEREAIQWRRGGWPTSNSKIPMLSRLHFSFRKKKGLPDRRPGQEEVTESPFVKEASNDNSSHWTKKIFSCRAERCAQDNRAEREFKNIKKILKSHVLKQSWSSYHDVYEIT >Sspon.02G0028390-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:102037202:102039851:-1 gene:Sspon.02G0028390-4D transcript:Sspon.02G0028390-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGGLAVGGHVLGAAHEPREQQQQREEAKETERKKGAGAGAGAGGGGGGGGMKFRVRARAPHGVGALLLIGGAAVVGAAVLAWRRSRHGNKGGAVDQPERRLPAKEETLDGGIVEDGKVQCGSLVKELDQSHEKLSAGNTDIGSGGLDGNVSEESHQIHKDDEITADQLESKHEEKIDENSSSNPIEVRTHDLESKHQEKIDENSGSNPVDVHMHDQDKEHVEKVDQKSSYNHVEITAHDMCQDNEHVEKIDHVEGSDQNPSRNPVKIVMQEIVNACLVSGSVEKVEKDSSKKDIEKEIAEKDNKDMEASDQSKLCINSPGIILSKHNDDSDGIQEAESMENTPTAQLMMHQDQLLDDMVTDTVTETEDAVTETEDTVAETEDTVTETEEAKQGERTVTDKSELEQDKKKDLVGLVELASSPALSSLVKPIVKKEPEFPRPNETGMKTEQDYTNGELREHTDLISKGGAMHGEGDMATMDCRSSALVVIALIFALAMGITIIVRLYTPARATKLQMDLP >Sspon.04G0002800-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4A:8547750:8548130:1 gene:Sspon.04G0002800-1A transcript:Sspon.04G0002800-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGVGGGGRRGWSPFDAIRSFPSTPESLMSQIDAAIASTEYARACALLDPAPASASSQPPAQPETGPEGQGDRGASPPACYDARVADEAYRAACAALGAGRPDAAVRSLRAALASCPPDKTAAVAK >Sspon.04G0009800-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:29055918:29059923:1 gene:Sspon.04G0009800-1A transcript:Sspon.04G0009800-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAPADKGKKAKTEADGGEENEQIDGALVLSIEKLQEIQDELEKVNEEASDKVMEVEQKYSEIRRPVYLKRGDIIKTIPDFWLTAFLSHPLLSELLTEEDQKIFKYLDSIDVDDSDVKAGYSIHLNFSENPYFEDTKLTKTYSFADDGTTTIKASQIKWKEGMGPANGNGINKKGSKRPLVEESFFTWFGDTELKSLADGVQDEVAEIIKEDLWPNPLKYFNNEVEDEFEGDEDDDDLDDDEDDDDGGEEN >Sspon.01G0016230-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:61420418:61425251:1 gene:Sspon.01G0016230-2B transcript:Sspon.01G0016230-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGGGGGGIADEKQAPAEAFGGHLQEAAEMMEEEEEQHSGVKSRLSGLLWHGGSAYDAWFSCASNQVAQVLLTLPYSFAQLGMLSGILFQLFYGLLGSWTAYLISILYLEYRTRRERDKVDFRNHVIQWFEVLDGLLGRHWRNAGLAFNCTFLLFGSVIQLIGCASNIYYVNDRLDKRTWTYVFGACCATTVFIPSFHNYRVWSFLGLVMTTYTAWYIAVASLVHGQVEGVQHSGPTRIVLYFTGATNILYTFGGHAVTVEIMHAMWRPQKFKAIYLLATLYVMTLTLPSAAAAYWAFGDELLTHSNALALLPRTRFRDAAVVLMLIHQFITFGFACTPLYFVWEKLIGLHDCRSLCKRAAARLPVVVPIWFLAIIFPFFGPINSAVGSLLVSFTVYIIPALAHMVTFRSPQSRENAVERPPRFAGGWTGAYVINSFVVAWVLVVGFGFGGWASITNFVQQVNTFGLFAKCYQCPPHLTAPPAAPAFTPPPLAPPATSMPPATAFNATGFFPPVPAPAPAPSPMINFFLRHHHHRHHGRHGL >Sspon.07G0015350-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7A:55067282:55068298:-1 gene:Sspon.07G0015350-1A transcript:Sspon.07G0015350-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LGRGGGWPLRGHQRFIYATSGQPLPDAFLVRPLAALKDVWSRESNFMGYVAVATDEGATALGRRDIVVAWRGTVQSLEWVNDLSFTPVPAAPVLGSKAAAANPLATVHMGFLSLYTSSHAGSKFNQTSARDQVFEEVRRLVELYKDEEMSITITGHSLGAAISILNAVDIVSNGVNVPAAGDGSSSTKKPACPVTAIVFACPHVGDRFFRAAFQSFRDLKALHVKNNGDVVPMYPPLAYVDVSVTLNINTGRSPYLKWPGTVQTLHNLECYLHGVAGEQGSSGGFNLEVERDVALVNKGADALKDEYPVPASWWALKNKGMVKDDADGQLKLKDFKQI >Sspon.05G0014390-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:52099642:52102740:1 gene:Sspon.05G0014390-3D transcript:Sspon.05G0014390-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding FLHVMQGLGVGGYSVKEAVEKIIPYLEDTGRSAHKPIYFDGWDGLAASAVLRAIAEDPPPSLRNKFDRIIHIDCSRWKSRRALQRVIVDELKLPQSVMVAFDREDDEDDYSGVDEDSRAEIAEVGRVILGSLLQHRSLIVFHNGSTDMVDLVDFGIPPLFYGSKILWTFRGRLRLNPEIKEKVDNSHLFVYRNISWEFDNDTSQLILEEATDIAKYTEHKNYINPEIATKCVSYLLWLNKKGGNTMDYNWTIHASNYWVCDGIIGHDLFNDAIWEVSAALHKQIRTEECSYHSINFPDGYYTEHWMSVYTSDNEEMVHITLPPKLTSFFLANKNGIYIALPHEMFQQLETLHVLKLSWCRFSFCSPPFQSCRSLRFLGLHHCKDLGREEEDKQGRPIMEFFQSLWVLDISHTDWELDLSQDILEQMASNIREVHVKKGRIWKSSLAWRQLQKLHKLLVTEPTSPWETGKKDEFADMEKLELLHLSGNNSIQVLPSLCGAIRLKTLVLDGCVGLEHVGPEGIPPSLEIFSLDGGAGKDGNNQAKISRITLVDCAKLVDFTLLGSMPNLEELELSCTTVKTVNLNKVVQVEKLERMFLMGCQQLRAIIWPENGMQQLRLLHIDTRQGVVLSREASHHSIVCQEQESYCRAHVSITDMRFLQSLVLTGSEEFCWSTTPFKLNLNLSCTTKDDGKNYNSEKVGHPFHSTGLIAGSRSVVHKSLMSNTCSTYNDINIEQIVITEDDDSSALQFEPQDLHVEMGQEMVDINVTNSRGIKALYFVMDRVQSLHLHDNRNISSIIPEHIASTTEGEINYRALKWCRVEKCPKLDTVFHTNYDGPSLWFDKLETFWAADLLMARSIWSRGRIYRAGDHGSFAKLRAIHLYRCPRLTFVLPLSWFSTLSSLETVHIIKCSDLRHVFPVEAEFLNQIATWHPNGMLEFPRLKDLYLYHLSSLQQICEAKMFAPKLETVRLRGCWGLKRLPATSRRQHNSPRVIVDCEKDWWDNLEWDGLDVGHHPSLFAPSHSSYYKKQMLRGT >Sspon.03G0021600-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:88339965:88341434:-1 gene:Sspon.03G0021600-2B transcript:Sspon.03G0021600-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPTTNRVALYLRRARLIDSLRVRLRSSSPSSPPPLPPDDPVVALHAIRAAPTPSCALSLFRALPSHPPPPLPLYHALAARLASLAALPDLRAHLASFPLPAPPLARLRLLAAAGDRASALEAFGSLPAAPRRPAEAHNVVIELHARDGEYDAAVEAFRRMVREGALPNARTYTVVIAHLASAGFVDQALEVFRILPSLRVRRTTRQYNVLAEALASAGRFDQLRWLVREMVAVDGVMPGPQMRAAIAAMREAGHTDGTEDFVEELSPNARIGYAVDDVEGEGDSEAEDDEEGEEKERSNGEKQTLKPWLDPRELARALDGWDSREVAELEGAGIVWTPRLVCKLLRAFKKAETAWEFFCWVACRPGSGFAHDRHTVARMVAILARTGHVELVERLLAKVRADGILLPLATVRLVIDFYGLSKKADAAVRVFREVESICGPISGPNLALLCSSLLRTMTKCRRGPDAMDLLEEMMTRGVSRTCRPSPA >Sspon.03G0027790-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:39692212:39692406:1 gene:Sspon.03G0027790-2C transcript:Sspon.03G0027790-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDFGKKEVTVRGKVEHTKKKKKKHRKTLLGAAGWDDDARSAAASSPGGGQARTLSWFLGCYGS >Sspon.01G0031680-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:106405729:106406863:-1 gene:Sspon.01G0031680-2B transcript:Sspon.01G0031680-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AVQDRDHKFLSKAVEEAYRGVDCGDGGPFGAVVVHNDEACKKLGKIELSDCEIYASCEPCPMCFGAVHLSRIKRLVYGAKAEAAIAIGFDDFIADALRGTGYYQKANMEIQQADGNGAMIAEQVFEKTKEKFQMY >Sspon.05G0001260-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:2997134:3007455:-1 gene:Sspon.05G0001260-2D transcript:Sspon.05G0001260-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGISRAIRACAAASRRTLAAASGAALPKEAAAGSAVRAAATGRRGRDQEDGRRVQWVFLGCPGVGKGTYASRLSQLLSVPHIATGDLVRDALASPGPFSKKLAEIVNHGKLVSDEIIINLLSRRLEEGEEKGELGFILDGFPRTIRQAPKKKGGSIQRFAKLQCRAGEMAGISRAIRACAAASRRTLAAASGAALPKEAAAGSAVRAAATGRRGRDQEDGRRVQWVFLGCPGVGKGTYASRLSQLLSVPHIATGDLVRDALASPGPFSKKLAEIVNHGKLVSDEIIINLLSRRLEEGEEKGELGFILDGFPRTIRQAEILEGVTDIDLVINLKLREEALLAKCLGRRMCSQCGGNFNVASIDIEGENGGARMYMPPLLPPPQCESKLITRADDTEEVVKERLRVYHDLTEPVEEFYRARGKLLEFNLPGGIPESWPKLLQALNIEDPDNKRSAAA >Sspon.05G0009930-1P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5D:30948908:30951048:-1 gene:Sspon.05G0009930-1P transcript:Sspon.05G0009930-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MANGRPASRGPPDGSPAALRGLVQQPLLHAYEARKDPEAHGFGPEPDGGASFVRTCFNGLNGLSGVGLLSIPYALSEGGWLSLALLLVVAAVCCYTGLLLQRCMDASPAVRGYPDIGALAFGRGGRLAASAFLYAELYLVAIGFLILEGDNLDKLFPGTSISLGLGLGDGGAALVVVSGKQLFVVLVALVILPTTWLRSLGVLAYVSASGVLASAVVVFCVLWTAVADGVGFRARGRMLNVSGLPTALGLYTFCYCGHAIFPTLCNSMKEKKRFSRVLVICFVACTLNYGSMAILGYLMYGDDVKSQVTLNLPEGKISSKLAIYTTLINPFSKYALMVTPLATAVEERLLTAGSDSGRRGSKRSVKVLVRTLLVVSTVVVALAVPFFGHLMALVGSLLSVMAAMLLPCIFYLKIFGVARCSRAEVALIANIIVLGSVVAAAGTYASVKKIVLNY >Sspon.06G0016260-2P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6D:70904998:70906413:-1 gene:Sspon.06G0016260-2P transcript:Sspon.06G0016260-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPGTDDRSGSGLVADTVAGRDWSRLPEDLLVSVLGALHVADAIRSGVVCACWHAAYAAFRRLRLPSPRQPPCLLYASDAVAAGGGAALHCPATGATLRIPFPRAPLARRPLLGSGHGWLVTADEASDLHLLNPVTGAQVALPPITALHHVERGTDEQGDPAYLVYENKKRYNYSKLRSEIVTEPTILEVDQAHHYMYYRVVISASPSAGRACVVLLLHMPYGEISFARIGDERWTWVAPAGDGDGKGLPWKDGYVDAMYNDADGLFYVLAGLDASMYSLDLHGPTPVDSNVLAGLSRTVDSKVLSGLSRSVGQTRYLVQTPAGDILQVWRERNYVDMLTPVVLPPDYVDDGDMCHDPYTKLVTTDVQLYKVDLHGQRLDMIKSLPDCALFLGFNGSMCVLVTDFPGLKPDSAYVTDDFLEYVNMLKYNPREVGIWSMAEQSMSKLVDVSPPVVYPWLTWPSPIWIKPSLF >Sspon.01G0012560-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1C:33685274:33685582:1 gene:Sspon.01G0012560-2C transcript:Sspon.01G0012560-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARVRIATVGADPPPRRRPGCGRNIFWGPRGNVRRHTRSPGYNSASIHCSCNANFCSFHVPVTRRGASHKGDYAAAAEAGRDRRARRRASGVRRRSFQFSSP >Sspon.03G0014490-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:65759931:65764403:1 gene:Sspon.03G0014490-2C transcript:Sspon.03G0014490-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding EALRRSDVKAIVVTGKGGKFSGGFDISSFGGVQGGQTLQPKVGYIAIDILTDTVEAATKPSVAAIDGLALGGGLEVAMACHARIATPTAQLGLPELQLGIIPGFGGTQRLPRLVGLTKSLEMMLLSKPIKGGEAHQLGLVDALVSPNDLVNTARQWALDIYECRRPWIKSLYKTDKLEPLGEAREILKFARAQAQKQAANLHHPLVCIDVVEEGIVAGPRAGLWKEATSFQELLFSDTCKSLVHVFFSQRATSKIPGATDLGLMPRKITKVAILGGGLMGSGIATAMILSNYPVVLKEVNEKFLNAGIDRIKANLQSRVKKGKMTEERYEKAMSLVTGVLDYERFKDVDLAVIENVKLKQQIFSDLEKYCPSHCILATNTSTIDLNLIGEKTKSQDRIAGAHFFSPAHVMPLLEIVRTQHTSPQVVVDLLDVGKKIKKTPIVVGNCTGFAVNRMFFPYTQSALFYVDLGMDVYKIDRACTKFGMPMGPFRLADLVGFGVAVATGMQYLENFPERVYKSMLLPLMMEDNRAGEATQKGFYKYEGKRKATPDPEIMKYIEKSRSMAGVTPDPELLKLSEKDIVEMVFFPVINEACRVLDEGIVVKASDLDIASIFGMGFPPYRGGVMYWADSIGAKYIHGKLEEWTKRYGGFFKPCSYLAERAAKGIPLSAPAKKVQARL >Sspon.01G0008820-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:29731010:29736269:-1 gene:Sspon.01G0008820-2B transcript:Sspon.01G0008820-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPTEPRWRINSSFSPPTSRRWDCRYSSDGLPHRVHDAPHDHPPYVSSLSSHSKGSRSAFGSDQYLNHHHSVSDGALSYFGSPADSLQAPRWTPSLQRFDLGEFSTPAGGSRPETSDYPQSSERPLTATSSFSSASPFLESSQLASSSKQPAPYLPRNHMGRRSFMSKPVYPLVFRNPVSESEACRMLEVSNAGRATPSDDSQASPLWRRSLASPELKFHNALNELGKMEASPEPNTSSRREGFRWSNASSYDFGYDGDAIEISDHISIESQRSPTSSVRFLKCGLCERYLRQKSPWTSNRIVRNADMPVAAVLPCRHTFHADCLEESTPKTEAHDPPCPLCTRATEDEGHVSFSEPLHVALRSARSRNLSLGGGAGGSSSSTNPPCSDRGLKRNHSAVVPRRGGSSLFCNRFKKQFPFKARIGKELFGGRVFNRVGSSSSSGHRQQEPKHDRPMK >Sspon.03G0033050-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3C:51062445:51062849:-1 gene:Sspon.03G0033050-2C transcript:Sspon.03G0033050-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MWVFTVPPSSALAALAFALFLLNSGVTMRRALGRALGRGDAWVAAFVAATTVLVIALLATVRAHERAREERRRGLFKAAAWAQSAALTAIFAHRVAATLAQAAPAMACLVWTMAGSTIAGGFYCLFVHGRDDVH >Sspon.04G0021500-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:683965:687751:1 gene:Sspon.04G0021500-2D transcript:Sspon.04G0021500-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNSQNLQLQLPVQDLSLRGRSFISKPSNKVMMHHQCPAVAASSSSPAAAARGLQVAHPSRRRPRALVAVRAAGGGDDAAGGESSSSGGGEEDDDGDKQKYRSNKEGGGGCGLSRDDLERLVGTDDDAKFNGLDLANLIRKKYGRSYDVTLIKKEFMGRNLLAMNVMWKYREQRSFPLSEEEYLLRLDDVANTLKCWGAVAHVRNTLEKLKERPRIGKAVSIFIDMDQTGGRSNEWIYKYPSGTLPFFPFLSGLPRASPRLSHHGLRRRRRPLPEPLTVDPPTPPRPPPLHADPARYPPMLTTPADLSCLKSSIRDAATSPDTLATLFLSGLPHPAFLADRPLFALSVHRLASASRRDLVASVLSSSLTALPSPHLSEGFLLRLISLYSAAGMPGHSLTVFRLVNPPSDRALSALLSAYHDNRLYDRAVRAFNTLPAELGIKPGLVSHNVLLKALVASGDVAAARTVFDEMPDTAGVQPDIVSYNEILKGYLNAGDDAAFDQLVKEITGPKRRLKPSVGTYNLRMALLCSKGRSFEAEELLDAMGANGVPPNRVSFNTVIKGLCNEGEVGAAMALFKRMPEVPRQNGKGVSPNFETYIMLLEALVNKNLFDPALEVCKECLRNKWAPPFQAVKGLVQGLLKSRKAKHAREVLMAMRKAVKGDAKQEWTKVEAQFPIHVMYAELKCFSSRHAD >Sspon.05G0025060-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:20000372:20001705:1 gene:Sspon.05G0025060-1B transcript:Sspon.05G0025060-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRLGSVPTLVVSSADAASAVFQHNDRALALSGRPALYAAARLSYGLRNVSFGPPDAFWRAARRACLSELLSAPRVRGFRGVREAEAAALVAAAADESSRDGGSPVNLSDRLVATTNRIVRRVAFGDDGDDVDSVRTRAILEETQRLLGAFFVADYMPWLGWLDALRGLRRRLDRNFRELDAFYEKVIDEHINKGTKSKEDGDLVDVLLRLHNDPAHQSTFGSRSQIKGILTDMFIAGTDTSSATVEWTMTELVRNPDVLSKAQQEVRSVVANNKDTVLESDLPRLHYLKLVIRESLRLHPPAPLLLPREATEPCTVHGREVPAATRVLVNAKAIGMDTDAWGADAARFVPERHEGDGADLNDHKPWHADSFSLVPFGVGRRSCPACTSPRPWWSCCSALTGTRRMGMA >Sspon.05G0000510-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5A:2298593:2299322:1 gene:Sspon.05G0000510-1A transcript:Sspon.05G0000510-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RGRRRHRRELRHADVDIVVSETGWPSAGEDWEVGVGADLARDYNRNAIRHLGSGVGTPLMPNRTFEVSIFSLFDENLKPGPVSERNFGLFRGDMTPVYDVGIFTDPETLEAVSAKVTPAPSSPGAAGGRRQWCVPKPAADEMVLQENIDFACGQEGVDCAAIRPGGVCYEPDTVQGHAAYAMNLYFQFNGQHAYDCDFGQTGVVTTADP >Sspon.06G0011300-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:48206217:48211497:1 gene:Sspon.06G0011300-3C transcript:Sspon.06G0011300-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MIWEKLRDNVLNLLQIESFEDQKYDANFGPPGPKEESSIKGRCLVAGIKVMDECLRVSSGFKGADDIKECRLLDIQQISDCTINKTIKSAPHFEMEAAGSSQNCTLDITLGTSRWIEVIETHLPQGLSHGSAMVRVTAAWALANLCSCIRFRALEVHTDPYAGVMNKSSISLLVEVALRLAKDSEKHPYQIVSFSHKEYRDNWRSMFIMKQVKSNAVRALGYLSRFIRFNYQAGTINDPRSGVARKNGAGFGVLCDNWECKDYGSSFPDVVRSLVHALESLNSNNSSLPSNFKQKDNLEKQLTFTALHLLSFVSPNDDPSLKDFLMKPLATEAINDEDGFSPNVTQKSMLSSALQSLLGIYTGRNQQAITQRFEQLARGIA >Sspon.06G0009810-8P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:43376174:43377304:-1 gene:Sspon.06G0009810-8P transcript:Sspon.06G0009810-8P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPATYFLLVSFLAMVTSQAIASDPSPLQDFCVADIHSPVKVNGFVCKDPMAVNADDFFKAANLDKPRDTNKVGSNVTLINVMQLPGLNTLGISLARIDYAPLGQNPPHTHPRATEILTVLEGTLYVGFVTSNTDNGNKLFAKVLNKGDVFVFPQGLIHFQFNPIHDKPAVAIAALSSQNPGAITIANAVFGSKPPISDDVLAKAFQVQKGTIDWLQAQFWENNHY >Sspon.02G0018490-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:59427911:59432742:1 gene:Sspon.02G0018490-1A transcript:Sspon.02G0018490-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNASRKSNHVSSKDSAETARDIITTSGQIQPLKIPDAVAALAQAAAKANGETEKYLPGWPLFSPPKVQLDKCTKCSREFCSAINFRRHTRVHRRTLKIDRDFPKNRDLLAAFWNKLTVDDASTILSLTGVVVEGVTGSSILTALSSWMWKPGLLDEASEKTFLCTNTAACIQKFLFDGEVDKIATELKNVVSCVSYMLEQKLVEAWCADKTAEALRCQKLLEEEEEAAQKRQAELMERKRMKKLRQKEQRLKNLKDEDVTVQSPEIMDDATCSTVIQSVKSISDPDHFEQEESQYLQFPAPITSETDNVFNVDLLVEDICCDLGPEMDKGVVLRQHISRRCLGRTERLAENSIVPGPVVTSKHPAFVRHSNYKDPNVCSVPSRNKTCTLKLQSEIEEQCQKHELDVDEHGMGPSKNSRVLIGSISVAIEDGSEHLQDFRSKNDPVPPSSKTVKHASVKVMQPTHEGNRNEGIPHSDSNSMPAAENRSHSSVTADEISYSTYCSADLVVDEHLQSSMFSSKEVTAFLSQRWKEAIAADHVKLVLCPEK >Sspon.04G0009750-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:28974318:28976201:1 gene:Sspon.04G0009750-1A transcript:Sspon.04G0009750-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLARHLILCSSSPSQRRRRPPPPDATAGTDRQPAPPPQSTTRRLAVAASTALAATAALSARRPAPPPPAMAAEAAAVPRRRRRRRPAGPSYVRERYFEPELTAEEAAARIRQTAEGMRTLRPMLETMSWKYVLFYVRLKSKYLGLDLTTAMAGVPVGRRADYVRVANELVDNMTEFDRFVRTPKVYESYLFYEKTLKSLDDVAEMQDFTDVRNAKITWRILNHYKKNVM >Sspon.01G0023640-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:83469020:83470823:1 gene:Sspon.01G0023640-3C transcript:Sspon.01G0023640-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGLPPGFRFHPTDEELVTYYLTRKVSDFAFATRAIADVDLNKCEPWDLPSKASMGEKEWYFFSMRDRKYPTGIRTNRATDSGYWKTTGKDKEIFHCGMLVGMKKTLVFYRGRAPKGQKTSWVMHEYRLQNKFPYKPNKEEWVVCRVFKKCQVIKMRPPQDSPTMGSPCHDAANASLGELGELDVSSILGGLASSGSPPGALHHQGSAGAESFGAHRPVDMSAYMSWMAAAANQGAAAAAAMLPWATTPPPGLFGNVFAPNNHQLLQKPLPFAGCSQPRELGGVVANVIGSGEHTMFGSSVVKVGMECDQQQLGMDESTWRTF >Sspon.01G0009040-1P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:27279909:27281280:-1 gene:Sspon.01G0009040-1P transcript:Sspon.01G0009040-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADVGVGICGQEGRQAVMASDFAMGQFRFLKRLLLVHGHWNYQRIAYMILYNFYRNAVFVLMLFWTIYNMAASRTYWLSVCLIIVLGLLPRFLCKVVYQTFWPSDIQIAREAELFKKLPQRLGSRPASDSS >Sspon.04G0014610-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:54591746:54611322:1 gene:Sspon.04G0014610-1A transcript:Sspon.04G0014610-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESNASSLLRWRRQSIAPIEALKGIASKLNKADWDFSVDPCSGSGNWANVTGSLSSNAKFSSNVTCDCSFNNHTECHVISLELMRQNLSGVLPDEVVNLTYLQNLDLSRNFIQGPIPASWADLPVFNLYALHCSHSSVRTFLFDYRSLQGNRISGTLPKELGRMPKLKSIQLEGNQLAGSIPPELGNIISLQRFFISANNITGELPSTFSRLTNMTDFRVDGNSITGKIPSFIKNWQGVNRMLRYAGYLDEWAYSNRNFLVEELDRIATQVLRNCSIYGEIPSYLGQMQYLKVLDLSFNKLTDEVPVNFGAMMTLQYLYLTDNMLTGDLPAWMLKNKASNKVNILQPCLRKNLPCTTRPRRKFTYTQQIDSNSSLFINCGGKSVVVDGNTYEDDSSQIGTSMFSVSDDKKWAYSSTGDFVGNENADYIARNTSKLNLAYPELYTEARLSPLSLKYYGLCMENGEYTVKLHFAEIVFTEDHTYSSNGKRVFDVFIQGVKVLEDFNIKDETGVHRAFIKSFATNISDNTLEIHFYWGGKGTTAIPYRGVYGPLISAISVTKMGRNHRGVSTGVVVAIVIAAACLAVIVLIALYFKVFRKKNIKGNSRQLFYQGRKTTTSELQTRAQYFFSLEEIESATKHFDPANKIGEGGFGPVYKAERLKQQGKLLEMVDRRLGSDYSQEQALRLLNVALLCTSAQPTQRPRMSSVVKMLCGEIPIETVPADDDLSEDLRLNIAQSQHSINNSQTNWSQNSLNNSQTNWSGTPSSDPSVLPHSSKDSGYLPSSSSFSVKLQLFYQGRKTTTSELQTRAQYFFSLEEIESATKHFDPANKIGEGGFGPVYKGTLANGTIVAVKKLSSKSSQGNREFLNEMGIISALRHPNLAERLKQQGKLLEMVDQRLGSDYSQEQALRLLNVALLCTSAQPTQRPRMSSVVKMLRGEIPIETVPADDDLSEDLQLNIAQSQHSINNSQTNWSQNS >Sspon.05G0011970-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:34921581:34926058:1 gene:Sspon.05G0011970-1A transcript:Sspon.05G0011970-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRREVAASLHRSLARFFVHDDPEKFHSLVAAKANHHRALGSADLACALQACRGRGNRWQLVLEIHAASVVRGLGADRLIGNLLIDLYAKNGLVWQARQVFKELSARDHVSWVAMLSGYAQNGLAKEAFRLYCQMHWSAVVPTPYVLSSVLSACTKGKLFVQGRMIHAQVYKQAFGSETFVGNALIALYLGYGSFKLAERVFFDMLFCDRVTFNTLISGHAQCGHGERALQIFDEMQLSGLRPDCVTVASLLAACASVGDLQKGKQLHAYLLKAGMSFDYITEGSLLDLYVKCGDIETAHDIFNLGDRTNVVLWNLMLVAYGQISDLAKSFEIFCQMQATGIRPNQFTYPCILRTCTCSGQIELGEQIHSLSIKNGFESDMYVSGVLIDMYSKYGYLDKARKILEMLDKKDVVSWTSMIAGYVQHDFCEEALATFKEMQDYGVWPDNIGLASAASACAGIKAMRQGLQIHARVYVSGYAADISIWNTLVNLYARCGRSEEAFSLFRAIEHKDEITWNGLISGFGQSRLYEQALMVFMQMGQAGAKYNVFTFVSSISALANLADIKQGKQVHGRAVKTGHTSETEVANALISLYGKCGSIEDAKMIFSEMSLRNEVSWNTIITSCSQHGRGLEALDLFDQMKQEGLKPNDVTFIGVLAACSHVGLVEEGLSYFKSMSNVYGLNPTPDHYACVVDILGRAGQLDRARRFVDEMPITADAMVWRTLLSACKVHKNIEIGEIAAKHLLELEPHDSASYVLLSNAYAVTGKWANRDQVRKMMKNRGIRKEPGQSWIEVNNAVHAFFVGDRLHPLSDQIYKFLSELNDRLAKIGYKQEKPNLFHEKEQEQKDPTAFVHSEKLAVAFGLMTLPPCIPLRVIKNLRIEEKVQKQISVPSEKWLGEA >Sspon.03G0038400-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:4625080:4627468:1 gene:Sspon.03G0038400-1P transcript:Sspon.03G0038400-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSKVYTLEEVAKHNTKDDCWLVIGGKVYNVTKFLDDHPGGDDVLLSSTAKDATDDFEDVGHSTTARAMMDEYLVGEIDAATIPTKVKYTPPKQPHYNQDKTPEFVIKILQFLVPLAILGLAVAVRIYTKSESA >Sspon.01G0034130-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:1051084:1051682:-1 gene:Sspon.01G0034130-1B transcript:Sspon.01G0034130-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLHVGDGSCSLAITVKRPLFPSPRAVTDEKTFKMHGDNGKCDPNRAVYICTLGIQGTVSVLIQLLYTTVKRDAGDQKHLEAGVRRRRRHGRGVEDAAIIAVEEEEAVAVVFDENVEWEWEAADELLLLRRTRPGEELCEAPRHVRRVVDQDACERERQEVWSQQAAGVDVGEHVVGKH >Sspon.04G0009070-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:22460738:22462611:-1 gene:Sspon.04G0009070-1T transcript:Sspon.04G0009070-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding TKVGSTAARSTTRSTLFNYSRHAPIYSQPCPYSSSRLQPNPELIRSLASSPIHNQQPAELCRSSQAPVAKLSSYVATMARHQSVQALSVLAVLLFAAACLPAPAAAGVHLSALPKALAVTASAKSGPVLHAGVDSVTVTWSLNTTEPAGADAAYKNVKVKLCYAPASQKDRGWRKSNDDLSKDKACQFKVTQQAYAAGGSPGSFTYVVARDVPSASYYVRAYATDASGTEVAYGQTSPAAAFDVAGITGIHASLKVAAGVFSAFSVAALAFFFVIENRKKNK >Sspon.06G0013590-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:58229074:58285798:1 gene:Sspon.06G0013590-3C transcript:Sspon.06G0013590-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLQVAYQQITDATTPQAHYYASRRSSRRRGSSCCNDGDKFANKVQLPPSPPGLPIIGHLHLVSDLPHVSLRDLSAKHGSFNGLMFLHLGSVPTLILSSPRAAEVIMRTHDHVFASRPASTVSDDLLYGSSDIAFSPYGEHWRPIRKLVTTHLFTVKKVHSYCRARKEEVSLVMDKIREAVTTGAAVDMSMTMNTFANDIVSRAVSGKFFRAEGRNKLFRELVEANSALFGGFNLEDYFPRLARSLGFLSRRFLRNRAHETHKRWDELLETILSDHERRDSMHRHDGGDFTDVLLSVRKEYGMTRDHVKAILMDMFAAGTDTSSLVLELAMAELMRNPQQMAKLQGEVRKHTPEGQETVEEEDLASMPYLKAVVKETLRLHPPAPLLVPRLSMADCIVHGYYVPSGTRVIVNAWALGRDPASWEKPEEFMPERFVEGGSAEGVDFKGNHFQFLSFGAGRRICPGLNFGMVTVEIMLPNLMYCFDWQLPVGMEEKDVDMTEVFGLTVHPKEKLMLVPKLPAMAHAHGHYEELLPAKSPPQVSLVLSVLLVCPLLVLLVHRCFGTMKPSARAREQLLSKLPSPPSRLPIIGHLHLVGPLPHISLRDLSAKHGRDGLMLLHLGAVPTLIVSSPSAAQAVLRTNDHIFASRAYSPVTDILFYGSTDVAFSPYCEHWRQVKKIATAHLLTNKKVRSYCHAREHEVRMVVAKIREAATAGTAIDLSELLNAFANDIVCHAVSGKFFREEGRNKLFRELVEANSSLIGGFNLEDYFPVLVKLDIIKRMVCAKAQKVNKMWDDLLNTLIDDHARRPASERDGEESDFIDVLLSLQQEYNLTRDHIKAQLVVMFEAGTDTSFIVLEYAMAQLMQNPRLMNKLQAEVRSTIAKGKEIVTEDELNSLAYLKAVIKETLRLHMPAPLLVPHLSMADCNIEGYTIPSGTRAIVNSWALARDPSYWEKADEFMPERFMEGGSAAAMDNKGNDFQYLPFGAGRRICPGINFASSSIEVMLANLVYHFNWELPVELAKKGIDMTESFGVTVHRTEKLLLVPILFRELLEANSALFGGFNLEDYFPGLAMSLDFLSRGFLCKRAYETHKRWDELLETILSDHERRDSMHRHDGGDFTDVLLSVQEKYGMTRNHIKAILIDMFYAGTDTSSLVVELSMAELMRNPQQMAKLQGEVRKHTPEGQEMVKEEDLASMPYLRAMVKETLRLHPPGPVLVPHLSIADCVIDGYYVPSGTRIIVNAWALGRDPTSWEKLEEFLPERFMDGGSAAGVNFKGDHFQFLPFGAGRRICPGLNFAMATVEIMLANLVYCFDWQLPIGMEEKDVDMTEVFGLPVHLKEKLMLVPKLPGGAAPATGQLLEANSALFGGFNLEDYFPGLAMSLDFLSRGFLCKRAYETHKRWDELLETILSDHERRDSMHRHDGGDFTDVLLSVQEKYGMTRNHIKAILIDMFYAGTDTSSLVVELSMAELMRNPQQMAKLQGEVRKHTPEGQEMVKEEDLASMPYLRAMVKETLRLHPPGPVLVPHLSIADCVIDGYYVPSGTRIIVNAWALGRDPTSWEKLEEFLPERFMDGGSAAGVNFKGDHFQFLPFGAGRRICPGLNFAMATVEIMLANLVYCFDWQLPIGMEEKDVDMTEVFGLPVHLKEKLMLVPKLPGGAAPATGLRKNKVDSSKNINTNTFNF >Sspon.03G0021280-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:65351080:65356466:1 gene:Sspon.03G0021280-1A transcript:Sspon.03G0021280-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTSGHHRKPPPQLLVFLIHVFLGIQLSYSLGTYSNQTSLPVVVRCRPDQASALLRLKSSFSTDGWGPFDRGDVCTALASWRAATDCCDWEGIRCDHADGRVTTLDLGECGLQSGTLHPALFDLTSLRHLNLSWNSFNGSQLPADGFERLTQLLLVFLIHVFLGIQLSYSLGTSYSNQTSLPVVRCRPDQASALLRLKSSFSTDGWGPFDRGDVCTALASWRAGTDCCGWEGVRCGHADGRVTTLDLGECGLQSGTLHPALFDLTSLRHLDLSWNSFNGSQLPAVGFERLVELVHLSLSNCGFAGQIPDGIRQLTKLVFFDLSTKIDLIDEYSEYYTVAGWWDELLVVEPNISSFVANLSHLKELYLGGVDLSDNGASWCSAFSNSTTSQLQVLSLPSTGLKSPICGSVSTITSLTEVNLQYNELYGRIPESLADLPSLSVLRLTYNFLHGWLPSRIFQNKNLTAIDVRYNFKLSGSLPNFSSDSIIVDLLVTGTNFSGPVPSSISNLRSLNNLGIASTYFSQELPSSIGELRSLSSLQVSGTGMVGAIPSWIANLTSLIELHFSDCSLSGEVPPSIGNLKNLYSLQLYNSDFSGTLPPQMFNLTQLELLYLDSNNFHGIVELSSFLKIPQLFGLSLSNNKFTVVVDDDGNSSVTNRLHVLRLAACNISKLPSVLRHLHSVQYLDLSNNQIHGTIPHWAWETWKSLLVLDLSHNKFNSIGYDSINPIDIDVIVLSFNLFQGPIPIPGPSTEVLDCSNNKFSSIPLNFGSHFVYFNYFNAYANNLSGKIPPSICGGGTWTMDLIDLSYNNLSGSIPSCLMEGTSVTLLKLKRNRLHGELPNNMNQNCSFEALDLSYNQIKGKLPRSLVSCRGLEVFDIGNNHINDIFPCWLSMLPELQVLVLKANKFVGEVGPSTAGEKNNCEFIKLRILDLASNKFSGTLQDEWFMTMKSMVSISVNESLDNHVSQLGQTYQFTDAITYKGNEEVTISTTLSTLVHIDVSDNVFHGAIPKSIGDLGLLNGVNMSHNAFTGPIPPQFGALKQIESLDLSSNNLSGEIPQGLASLNFLSMLNLSYNELVGRIPDSSHFLTFTNLSFLGNIGLCGFQVSRACNSTTPYVESHHSEKKSVDLVLFLFTGLGFGIGFAIAVVLTCGISVRRRSQNHIFLCCKKVLFFM >Sspon.07G0022030-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:6096528:6105616:-1 gene:Sspon.07G0022030-1P transcript:Sspon.07G0022030-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLCLLCIVYTTLSFASSSVDHRSRTMLQPDLLEAGEATAVVGAILWLVQTILETLQPGELDAWLHRVGLAGAIGELKSEVERMETVVNGVKGRAVGNKPLARSLARVKELMYDADDVVDELDYCRLQQQVQGGYEVCPRLERLRIDNPSFLTTPFCKHLTSLQCLQLGDRYDYEIDEAGLTCEQEAALQLLTSLQELRFHRCSELSDLPVGLHSLPSLKQLEIFGCPNISDLPVGLHSLPSLKQLEIFGCPNISRLPRRGLPPSLEELVVCYCSKELTEHWFHHIALEAPLALPAPPPRPPRRAPGGQPALPAPGAGKGAQPSPPSAAPPRPFKRLSPDEMAARRKQGLCYNCDEPYVRGHKCARLFYLEAADYIVEEPDDDVAVPTAAEAVAPDQAPFDPDAPLISLSAITGIRIEDTMKISVRVGNHEFTALVDPAQRITSSDSQGAHVTVANGDRVPCRGLAHDVAIRIGDEFFTIDCYTIGLDCYDMILGTTWLRTLGPILWDFDDLCMAFNHNGRQVLWKGIGSTRTDIPPTSRLHATRLFMAKGSEPALLERLLDSYADVFAMPGGLPPARPCDHRIHLKLATEPVAVRPYRYPQLQKDELEKQCENMLQQGIIRPSTSPFSAPVLLVTKQDGTWRFCVDYRALNAATVKDKFPIPVIEELLDELHGAKFFTKLDLRSGYHQVRVHPEDVAKTAFRTHHGHFEFLVMPFGLSNAPSTFQELMNFVLKPFLRRCVLVFFDDILVYSSSWTEHLQQLRAVLQVLREHQLHIKRSKCSFATTSVQYLGHVISEAGVAMDSTKVAAVQSWPQPRSARGLHGFLGLAGYYRRFIQDFGTIAAPLTQLLRKEAFQWSDATTAAFEALQKALSAAPVLHLPDFNKDFIVDFLHQGAGPIAFFSRPFAARHLKGGVTIGAPPPEPTEVESSDPIVAEVAAEEDMALQHLDGAPGRAAAGRSSGALGHGGCVGGGQGGGGQRLGSSRTSSSSPTSDRGQRS >Sspon.03G0015840-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:74448084:74449447:1 gene:Sspon.03G0015840-1P transcript:Sspon.03G0015840-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHETPDLDAAGQPHLAVSGVTSIPAELSFHLLHSLDAAAAVNNSVTPQSTIDYFLGVGGADPHQPPALQYEPLPPPGHHQHTMNMLRDYCNGGGAGHYTTAEPYLRGTRTGALVFGAADDDDSAAAYMPGGPFVETSPPPRATGGRKRGRALGGGFHAGLANGVEKKEKQRRQRLTEKYTALMHLIPNVTKPDRATAISDAIEYIQELGRTVEELTLLVEKKRRRRELQGDVVDAAPAVVVVATGGEAESSEGEVAPPPPPAAVQRQPIRSTYIQRRSKDTSVDVRIVEEDVNIKLTKRRRDGCLAAASRALDDLRLDLVHLSGGKIGDCHIYMFNTKIHKGSSVFASAVASRLMEVVD >Sspon.07G0005490-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3B:52310878:52311516:-1 gene:Sspon.07G0005490-2B transcript:Sspon.07G0005490-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSESGGIPPERHCPHQDPKKVRRRRVLLCLAFAVLVLLLLAAAAAIALLAILRPRDPVTELVSINATGVLPSVVQLPTVSVQLNLTFRLVVRVRNPNPAAFRHGAATTSLYYRGAAVGYGEVPAGTVPSRGATTIRMNMTVLADRVVAAAGIGGLIADMLAGEMEFEARTDVPGTVKLLGLVKRSVEARSVCRVVIGVADVNVRRQECDFETK >Sspon.01G0027980-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:98015412:98018987:1 gene:Sspon.01G0027980-1P transcript:Sspon.01G0027980-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAGKVIKCKAAVAWEAGKPLSIEEVEVAPPQAMEVRVKILFTSLCHTDVYFWEAKGQTPVFPRIFGHEAGGIIESVGEGVTDVAPGDHVLPVFTGECKECPHCKSAESNMCDLLRINTDRGVMIGDGKSRFSINGKPIYHFVGTSTFSEYTVMHVGCVAKINPEAPLDKVCVLSCGISTGLGASINVAKPPKGSTVAIFGLGAVGLAAAEGARIAGASRIIGVDLNPSRFEEVAHPFVRFSARKFGCTEFLNPKDHKKPVQEVLAEMTNGGVDRSVECTGNINAMIQAFECGWGVAVLVGVPHKDAEFKTHPMNFLNERTLKGTFFGNFKPRTDLPNVVELYMKKELEVEKFITHSVPFAEINKAFDLMAKGEGIRCIIRMEN >Sspon.03G0027210-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:9236876:9242484:1 gene:Sspon.03G0027210-2C transcript:Sspon.03G0027210-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:VAP27-2 [Source:Projected from Arabidopsis thaliana (AT1G08820) UniProtKB/TrEMBL;Acc:A0A178WBB7] MGQDLVEIHPRELQFTFEVKKQSSCVVHLINKSNEYVAFKVKTTSPKRYCVRPNTGVILPRKTCEFTVTMQALRTAPPDMQLKDKFLVQTTVVPYGTSDEELVPAFFSKETGRYIEESKLRVVLVSAYQSLEEQPTNGIHDTEPAVGIPVQKEMPNIENEVPDVAKEGPAPLAQAPAIVTGIPSPVEETQGPREIPVPLNEAPAVLAESPSAQKDPSAVTVEHASTVTIEHAPAISIESPPSKQSVALFKESPLKQSVPVFKESPPPSKQSIAVFKGSPPLEETTPKEAVMLSDRGLFNVQNHQLSHVTEDVQNMKSKLNKLESKLEEAEKMIIRLREESRSTTQERDKLQQEMVCLELHRYIYIYHHLENVEQLYILLLKRKSIPKKEGNPKESSGFPPALCGVRGASWYLTRLPAPHMSSKL >Sspon.01G0012650-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:33803091:33807360:1 gene:Sspon.01G0012650-3C transcript:Sspon.01G0012650-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRSAAGPAEALELFTAAARQPTAVHTTESCNYMLELMRAHGRVGDMAQVFDLMQKQIVKTNVGTFATIFSGVGVQGGLRSAPVALPVMRREAGMSLNAYSYNGLIYFLVKSGFDAEAMEVYKAMVEDGISPSVRTYSVLMVAFGKKRDVDTVLWLLAEMEARGVKPNVYSYTICIRVLGQAARFDEAYQILGKMEDSGCKPDVVTHTVVIQVLCDAGRLSDAKDVFWKMKASDQKPDRVTYITLLDKCGDSGDSQSVVEIWNAMVADGYNDNIVSYTAVVDALCQVGRLDEALAVFDEMKEKGISPEQYSYNSLISGFLKADMFDRALELFNHMNACGPSPNGYTHVLFINYYGKSGQSLKAIQRYEHMKSKGIVPDVAAANAVLSSLARSGRLGMAKRVFYELKAMGVSPDTITYTMMIKCCSKASKADEAMKFFSDMVETGCVPDVLALNSLIDTLYKGGKGNEAWQLFHQLKEMKIEPTNGTYNTLLSGLGREGKVKEVMHLLEEMTHSIHPPNLITYNTVLDCLSKNGEVNCAIDMLYSMTEKGCTPDLSSYNTVMYGLIKEERFEEAFRMFCQMKKILAPDYATLCTILPSFVKNGLMKEALHTVKEYILKADCNTDKSSFHSLMEGILNKAGVEKSIEFAENIASRGILLNDFFLCPLIRHLCKHKKALEAHQLFNKFKGLGVSLKTGSYNSLIRGLVDENLIDIAEDLFTEMKRLGCGPDEFTYNLILDAMGKSMRIEEMLKVQAEMHRKGYESTYVTYNTIISGLVKSKRLEQAIDLYYNLMSEGFSPTPCTYGPLLDGLLKTGKMVDAENLFNEMLEYGCKPNCTIYNILLNGHRIAGNTENVCQIFEKMVEQGINPDIRSYTVLIDTLCTAGRLNDGLSYFRQLLELGLEPDLIIYNLLIDGLGKSERIDEAVCLFNEMKKKGIIPNLYTYNSLILHLGKAGKAAEAAQIGFVDENLIDIAEGLFAEMKRLGCGPAQFTYNLILDAIGKSMRIEELLKVQEEIVEDLNQLML >Sspon.07G0008340-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:22810118:22810892:1 gene:Sspon.07G0008340-1A transcript:Sspon.07G0008340-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding HGAQAPRRSPRCLRRPPGARHAAARRRPRHAPGHLRRRLQIARRRAAGERVPLQERGERDGGRLLLRRAGHGRRRVLGQPGGVDGDGGGRGGAPGAQHPGRVHGAHGLRAVGRRQPTARAPARHGDALRRGGHPRGGLRHRGGEPALQPHRRQGRGVRVPPRPRALPAERRGRARRGDLGVQQPAAWHADGRRGAVRRCTRGADGRAGAGVADRRWSGREHQVQVCA >Sspon.02G0029050-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2A:105219955:105220249:1 gene:Sspon.02G0029050-1A transcript:Sspon.02G0029050-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AAYSVSLVDGFNVPVVVSPQAIAGGGQCPALGCPVDLNCDCPPPQRAAEGAACRGPPEYFKNRCPLTRTTPTDVEPVPQSCRGPGELKIVFCQATIVT >Sspon.06G0009080-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:35055210:35055853:-1 gene:Sspon.06G0009080-2C transcript:Sspon.06G0009080-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGVITKFAVTSMVMWMAPVAIMYGFYYQVFPGVSQMSSSAQTLASGFLAVISVNLVIGFYIFMAMKETPHQEPQPDPTFLANAKASINQPTSSQVSDDSNGKGKVE >Sspon.02G0008630-2D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2D:18561537:18561890:-1 gene:Sspon.02G0008630-2D transcript:Sspon.02G0008630-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MISAKRIAHLAKNWQRMAAQGRKCLTWAAAAKETDECCSFVASKGHCTVYTTDGARFEVPLAFLSTVVFGELLHVTGRVRLHGRQWQDHAPLRRGGHGVCHVFAQERHLCRTRAGFP >Sspon.08G0001920-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:3524760:3528201:1 gene:Sspon.08G0001920-4D transcript:Sspon.08G0001920-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEEVLHKVQNLIESCLHMYMDQKEVVDALSHHSKIEPRITELVWRQLEQQNPLFFKAYYMRLRLKNQIMVFNKLLQDQFAVMNKDFSSGIASMRLSNGSNSNLLKQNPCFLPETAPGSAIPDGIMHNGSSSDIINGTPSGNQLLNASKDLHGLHNGIDASASLQSDQNATAMLYGVDNETSATIKTESCYSSNADFAFCGNTFLESCQSIGDASGGGSFSSSELNGQPLNDSILDMESSSFSFLNEMSQNFIFPDLADDFNQSAEITPFLTPETNFSNSTGGDHTAHRPFVHTLMASQICIDEELEDLLI >Sspon.07G0006610-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:15095078:15097614:-1 gene:Sspon.07G0006610-2B transcript:Sspon.07G0006610-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCAGAGQAGGWLLDYGLMEEEIQGSEFIYMVDDPAVSRSRPESSAPPGTKACREKLRRDRLNERFNELCAILEPGKPPKADKVAILSDAARLLNQLRTEAQKLKQSNESLQDSIKSLKAEKSELRDEKTRLKAERERLEQMLKGVSHAAAVAPGPFVPHPTAAAAPSFHPAAAFAQVGKFVPYPSYPPPAAFWQWIPPTSLDTTKDPAHWPPVA >Sspon.06G0001440-1P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6B:3320535:3321239:1 gene:Sspon.06G0001440-1P transcript:Sspon.06G0001440-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSDQELAQYVESLVQHTAAQGGTGISADAVVRQLGAQLGVDLSPKAQLIRSVLVALLGPAAAPAPDPAASRKDPFDPATAASGGGGGARAEAPAQQLPFSTSAAASSGPAPSPAVPHFFPQQQQHQHQMQSFLSAPQQYQQHHQQQRSGAPPSPFDVPASYRYGHQPFPQADQAQLQRLVQLQQQQQQQQQQLTAAARAAAAAAPTPAESPRARAPAPAPAGSKKDRWHFLHS >Sspon.04G0036190-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:24654318:24656078:-1 gene:Sspon.04G0036190-1D transcript:Sspon.04G0036190-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AMQVSVKRIMPRSKPPAKLTMLILIVLPLLLLFYGLEKVRCSTVHENSEDFHSLMDFKNGITYDPNGALNNWTSNTHFCRWNGVNCTLTPPYKNNLTGVIPPRVGFLITSLKGLSFSQNYLTGYIPPGPGIVSSNIGKLNGLIELDLGANNFSGTIAELILNLTSLQRLYLNGKDFAGTIPPSISSITNLNVLDFSNNKFTGSIPPSLGNLQQMNNLNLSYNNFQGIIPVEFGNLKQLISLDVSIKQN >Sspon.03G0011960-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:48876281:48881593:1 gene:Sspon.03G0011960-3C transcript:Sspon.03G0011960-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DIISAIEFNKSGHHLATGDRGGRVVLFERTDVKDHACRKGAEKADYSISRHPEFRYKTEFQSHEPEFDYLKSLEIEEKINQIKWCQAANGALFLLSTNDKTIKFWKVQEKKVKKVSEMNLDRSTTPANGSPGGMGYLSPSLSNGNALKPGGLPLLRLPVVTSQETSLAASCRRVYAHAHDYHINSISNNSDGETFISADDLRINLWNLEISNQSFNIIDVKPTNMEDLTEVITSAEFHPTHCNTLAYSSSKGSIRLVDLRQSALCDTHSQIFEQHEAPGSRSFFTEIIASISDIKFSKDGRHILSRDYMTLKLWDVKMNSGPVATFQVHEYLRPKLCDLYENDSIFDKFECCQSGDGLRVATGSYSNIFRVFGCGTGSSEATTLEATRNPTRRQLQNPTRATRSLSTLTRAVRRGGESTGIDANGNSYDLSTKLLHLAWHPSENLIACAAANSLYMYYA >Sspon.01G0007040-4D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:19289665:19290272:1 gene:Sspon.01G0007040-4D transcript:Sspon.01G0007040-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMDMEMPDPDELEWMESHGLVPEEEEDAYFDDPDEGFVLPPGDSDQPCDPQPQDSAALRANEASGGLKRPPPPPPPEQDEEEERSKRRNVEQEDSEDEDWLRYSPPPAPEIVVAEKTISRFASEIQGDSVPVTAPNGERVYAKLAMEGLVGRGISGTRQGAHFYNPNSNHKG >Sspon.01G0012880-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:34736311:34737290:-1 gene:Sspon.01G0012880-1A transcript:Sspon.01G0012880-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Allene oxide cyclase, Biosynthesis of jasmonic acid, Defence respons [Source: Projected from Oryza sativa (Os03g0438100)] MAAALRSPASVRVVSGPSSAAGLAKARQASRVAMGGAGAWQQQQPRGRGAAIRASLFSPKPAAAKDARPTKVQELYVYEINERDRESPAYLRLSAKQTENALGDLVPFTNKVYNGSLDKRLGITAGICVLIQHVPDRNGDRYEAIYSFYFGDYGHISVQGPYLTYEESYLSVTGGSGVFEGVYGQVKLNQIVFPFKIFYTFYLKGIPDLPRDLLCTPVPPSPTVEPTPAAKAAAPHASISNYTN >Sspon.03G0010860-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3A:29542186:29542494:-1 gene:Sspon.03G0010860-1A transcript:Sspon.03G0010860-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRVLLMLRGNLRGRCGGGGARRVRGAAVVVAWTLEWAWWTPRRLDRALRAQGLKGTRYRLLKGDVRESARLNREARTKPLPLGSHDIIPRATHAPQHGKG >Sspon.08G0007000-4D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8D:19386875:19392113:1 gene:Sspon.08G0007000-4D transcript:Sspon.08G0007000-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSSSSSPGDGGGFQFQVQVAVAVRGDGRASRRAARWAAASLVPAGGRVALVHVIPPVSFVPSPSGERVPVDKMEREVVEMYAQDCRARAQEVFLPFRRLVGRGGRTVETVVLEGDSVAEALVKYAAESGVRSLVLGSATLSWFRSSLSTSSNAIKSSESHRRGFLGSLGRRTPGRERNKDFDAISQLKEVHYVALSSVEEYQHIDEEEKLRKELKDTLMMYDRACGNLAHAKKKIQLLSSECCEDVNKVQDALQREEMLKQTVADEKTKHLEAIGAVEMAKNAFTHETYSKNQAEILANMVSIENAKVVDALLSTGKSCRQYSKHEIELATDYFSDAKKIGEGGYGNVYRCTLDHTEVAVKVIQQDSTNKIDEFLREVEILSQLHHPNLVLLLGFCPEIGCLVYEYMENGSLEDLLINNKGKPLHWFLRFQIIFDVACGLAFLHGTKPEPIVHRDLKPGNILLDKNYVSKIGDVGFAKLISDLVPEGLTEYRDTVIAGTLYYMDPEYQLTGTIRPKSDLYALGIIILQLLTGKRPHGLVSSVEEAIKKGILSDILDKSQPDWPIAEAEMLAKLGLWCTALKCRDRPNLESEVLPELENILSRVTVSLKLENILAPSHFFCPILQEIMEDPYVAADGHTYEHRAIKAWLEKYKISPVTNQRLPHLSIIPNHSLHAAIQQWKLRTS >Sspon.04G0032300-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:25246809:25250449:1 gene:Sspon.04G0032300-2D transcript:Sspon.04G0032300-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLFKDPSKLSAYRDRRFKGTQEEYEAALLASTTLYIGNMSFYTTEEQAYELFSRAGEIKKIIMGLDKNSKTPCGTCEMPRTGIENCAGLGCGGVGYYSREDAEDAVKYISGTMLDDRPIRADFDWGFEEGRQWGRGRSGGQVRDEYRGYGKMVQRELEAQRELVDYGGSFQPNAPPPYERGERKRGYGDSHRNDRGDYQRKRFRNDDGSAPEESKRAPDSDPAEKNPRFREKGDSDEEEDDYDKRRRR >Sspon.02G0016720-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2C:50121929:50123086:-1 gene:Sspon.02G0016720-2C transcript:Sspon.02G0016720-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPIRDWAFLAPDLVELIGWRVLAGDLQDYVRFRAVCSHWSASTGRPHIRDPRFHPRRWMMLPEGHGLYPGHPDLRGFVRFLNLSTGALVRAHLPLLDDHVILDSVDGLLLLHRDRDTAMRLLHPFTSEIVDLPPLASLLPQVETLRFYHRSLTMNPYASVSVSSRGAVTVMLAFTDLDRVAYATDGDQQWTLWEPFMSLLSSDLSKKSLLSSNGKIYMVEYAYQSQKVHIYRVDPPCTTAADGFPHFPLLMKIAECPLDRFSIIVNLVECGSEILLVAYNDESCLDLAVYRLADLVIGRFVPITSIGNYALVLGERCLCVLLSPNKWLPSVSPNSVICIHNYQSGQNAGEYVFEQYSLGTGMWTPASDGDLVEMPPPSPHEFTHH >Sspon.06G0025280-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:65088645:65089709:1 gene:Sspon.06G0025280-1B transcript:Sspon.06G0025280-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GMAVQAQFVFGGLAGCLLPCGGSGSGSAEEYMQALLSAAATNKAHRYNRVAVVASAAQSDLTCNGGAGVGPPSRKRGRDAEHGQYVSSSSSAAALLPITGTQMHQAIAGLQLPPALAAATTADRLAESATTSTSGRPASGADVLVPELLRRHGAEIDALVRAECDRLRAGLEQARKRQCLAVARATAAAAAPALREMEVELAAARRRAADLEELLRQAAAESQAWCGLARRNGAVAAGLRAAIDAVLLQGAGAGCATRTGTARPAAVEGFGDSGGTDDAQSCCCDVEEQAADTAAATASSSWNGKWACKACGQGEASVLLLPCRHLCLCKACEPRTDACPVCLATKNASIHVAAN >Sspon.05G0004840-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:17453896:17458597:-1 gene:Sspon.05G0004840-3D transcript:Sspon.05G0004840-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRSAARSLRLRQPLEQQRCLLARQFLSSSVPTETLGLLRSSTHVRSYSSQLSENAVTYLIPLCTKSGVGDKFEAVVPFMGESVTDGTLANFLKKPGDRVEADEPIAQIETDKVTIDVASPEAGVIEKLIASEGDTVTPGTKVAIISKSAQPAETHVAPSDEATPKESSPPKVEEKPKVEEKAPKVEPPKMQAPKPTAPSKTSPSEPQLPPKERERRVPMPRLRKRIANRLKDSQNTFAMLTTFNEVDMTNLMKLRSDYKDEFVTKHGVKLGLMSCFVKAAVSALQNQPIVNAVIDGDDIIYRDYVDVSVAVGTSKKGINNLAKKATEGALSIDDMAGGTFTISNGGVYGSLISTPIINPPQSAILGMHSIVQRPVVVNGDILARPMMYLALTYDHRLIDGREAVFFLRRIKDVVEDPRRLLLDI >Sspon.05G0031260-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:14551920:14563466:1 gene:Sspon.05G0031260-2D transcript:Sspon.05G0031260-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSSSGGGLARRRSSGSSGRDSFSCDADPFDIPAKGAPLERLRKWRQAALVLNASRRFRYTLDLKKEEQKEEIRRKIRAQAHVIRAAFRFKEAGRIHVQSEETKVPSADGALGFGIKEEQLTALTRDHNYSGLQQYGGVSGVAHMLKTDTEKGISGDDSDLMARKNAFGSNTYPRKKGRSFLAFVWDACKDLTLIILMVAAAVSLALGITTEGIKEGWYDGASIAFAVLLVVFVTAISDYKQSLQFQNLNEEKQNIRLEVVRGGRRITVSIYDLVVGDVVPLKIGDQVPADGILVSGHSLSIDESSMTGESKIVHKDQKSPFLMSGCKVADGYGTMLVTAVGINTEWGLLMASISEDSGEETPLQVRLNGVATFIGMVGLSVALAVLVVLLARYFTGHTYNPDGSVQYVKGKMGVGQTIRGVVTIVVVAVPEGLPLAVTLTLAFSMRKMMKDKALVRRLSACETMGSATTICSDKTGTLTLNQMTVVEAYFGGKKMDSPDNAQMLSADVTSLIVEGIAQNTSGSIFEPEGGQEPEVTGSPTEKAILSWGLKLGMKFNETRSKSSILHVFPFNSEKKRGGVAVHLGGSEVHIHWKGAAEIILDSCTGWVDTDGLKHSMTPEKVAEFKKFIEDMAAASLRCVAFAYRTHEMDDVPDEDHREEWKLPEDNLIMLGIVGIKDPCRPGVRDSVRLCQAAGIKVRMVTGDNLQTARAIALECGILDDPNASEPVIIEGKTFRALSDLEREEAAEKISVMGRSSPNDKLLLVKALRARGHVVAVTGDGTNDAPALHEADIGLSMGIQGTEVAKESSDIIILDDNFASVVRVVRWGRSVYANIQKFIQFQLTVNVAALIINVVAAVSSGNVPLNAVQLLWVNLIMDTLGALALATEPPTNHLMERPPVGRRFVFVHVLYLLIYHLMERPQ >Sspon.03G0016170-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:71788406:71789285:1 gene:Sspon.03G0016170-3C transcript:Sspon.03G0016170-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to Nectarin 1 precursor (EC 1.15.1.1) (Superoxide dismutase [Mn]) [Source: Projected from Oryza sativa (Os01g0284500)] MARIHLYVAAACAVALALAAPALAGDPDMLQDVCVADYASPVKLNGFPCKANISADDFFFDGLRNPGNTNNAAGSLVTAANVEKFPGVNTLGVSIARIDYAPGGQNPPHTHPRATEIIFVLEGTLEVGFITTANKLFTKILTKGDVFVFPRGLVHFQQNRGYGPAAVVAAFNSQLQGTQQIAMTLFGATPPVPTDILAKAFRIGNGEVEHIKKNFAPK >Sspon.02G0050360-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:55745347:55746021:-1 gene:Sspon.02G0050360-1C transcript:Sspon.02G0050360-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding EQARRSRRRARACHRYSLERAAAAEWEAKVAAGEWLVATDRERADIARAEAMRTPNPAPEPAPHSLHEALLLHEAAVLVNLHSQDVGIQNIRPLACLFLLALGKFTLEGHVLQDDPNPAFSNWACMDCVVKSWLYGAIFPELSDMAPRSCSTAVSPLGVAGREITVLGEPGLLETGALYLNSEFRTFAQGASPSPTTADASRAWLRG >Sspon.04G0027860-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:64232592:64233325:1 gene:Sspon.04G0027860-1P transcript:Sspon.04G0027860-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:26.5 kDa heat shock protein, mitochondrial [Source:Projected from Arabidopsis thaliana (AT1G52560) UniProtKB/Swiss-Prot;Acc:Q9SSQ8] MALARLCLNRALAASRAQAPARPAYAAAPSTDLKLHSLFSTSAADSAASGEANRREVAVSERSAPARRGGRWAWRDLRDFTPFRLVDGLGSALSQVAETLSRPLERLAPSRLPSGKVREDEARYRLRFEVPGLGRDDVRVAVEDGVLVIEGEKREHGEEGDDGEWWSASGYHASLLLPDDARADGIIAEVKDGVLYVTVPRTGERNCASGTSPRS >Sspon.05G0009890-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:5B:23284077:23284649:-1 gene:Sspon.05G0009890-2B transcript:Sspon.05G0009890-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclic pyranopterin monophosphate synthase, mitochondrial [Source:Projected from Arabidopsis thaliana (AT1G01290) UniProtKB/Swiss-Prot;Acc:Q39056] MESVFGESPSSSPLGSSPSQQPARHSNGAEDSPLVLTHTDSSGQAKMVDVSPKEDSMRVAIASCRVLLGQKVFNLVASNEIAKGDVLTVAKIAGITGAKQTSNLIPLCHNINLSHVRVDLTLNEEDSSVVIEGEATTSGKTGVEMEALTAVAIAGLTVYDMCKAASKDICITDVCLQHKSGGKSGSWSRN >Sspon.05G0021390-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:81719385:81725674:1 gene:Sspon.05G0021390-4D transcript:Sspon.05G0021390-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative pentatricopeptide repeat-containing protein At3g08820 [Source:Projected from Arabidopsis thaliana (AT3G08820) UniProtKB/Swiss-Prot;Acc:Q9SR82] MSAAVADEAIRRVLLTGVSPSSHLPPLTVKLIHGRLLRLDLLLTDLSQLLLRAVSSCGLHLHALRLHSLLPDPSHLTFPFAIKAASRLPDPLTAGVQLHARSLKLPSHSNPHVLTSLLNLYAKCGRLHDAQKAFDEMPHPSTVSWTALITAYLDAGRAQEAIGVARRAFASGMRPDSFTVVRVLTACARVADLVTGEEVWRVAEQEGIAGNVFVATAALDLYVKCGEMDKAREVFDKMKDKDVVAWAAMVGGYASNGHPQEALELFFAMQVEGMRPDCYTVAGALSACTRLGALDLGRRVVGMLHWDEVLNNPVLGTALIDMYAKCGSTSEAWVVFQQMRKRDIIVWNAMILGLGMTGHEKITFALVGQMKKSGMTLNDNTFMGLLCSCTHSGLVKDGQRYFRNMSQLYHISPRIEHYGIMVDLLSRAGLLEEAHQLIEDMPMEANAVVWGGLLGGCKIHRNADLAEHALKQLIQLEPWNSGNYVMLSNIYSNSGRWEDAAKLRLEMKAKGVEKVPASSWVELDGKVHEFHVRDKSHPLSDQIYAKLDQLGMEMKAMGYKPTTEVVMFDIENEEKEHTLVHHSEKIAIAFSLLTTEPGETIRVTKNLRVCSDCHTAIKLISRITCREIILCCKTFDNVAQGCSDRKEYSRTYAVMHVQPKTLMKSARLSNSRFAADVH >Sspon.05G0012810-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:34241572:34244815:-1 gene:Sspon.05G0012810-2B transcript:Sspon.05G0012810-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VASPMGTLGDRLRAFSTNRWLVFVAAMWLQSMAGIGYLFGSISPVIKAALGYNQRQVAALGVAKDLGDCVGFLAGSLSAVLPSWAMLLIGSAQNFLGYGWLWLIVTRQAPALLFIQMCVIIYVGTNGETFFNTTALVICIQNFPKSRGPIVGIMKGFAGLSTAILTQLYAVMHTPDHATLVFMVAVGPSLVAIGLMFIIRPVGGHRQVRPSDKNSFLFIYTICLLLASYLVGVMLVQDFMQLSDNMVNFLTVVLLILLVLPIVIPVTLTLSSKTQHPIEEALLSEPSKGETSTSQEKEDQPEVFLSEVEEEKPKDIDSLPPSERRKRIAELQTKLVQAAARGGVRIRRRPRRGENFTLMQALVKADFWLIWWSLLLGSGSGLTVIDNLGQMSQAVGFKDAHIFVSLTSIWNFLGRVGGGYFSDLLIREHTYPRHIALVIAQSLMAVGHFLFAMAWPGTMYIGTFLVGLGYGAHWAIVPAAVSELFGVKHFGAMYNFLTVANPTGSLIFSGLIASNLYDYEAEKQAQCYQITALTSPRLHNMGFLADGPLKCEGAVCFFVSSLIMSAFCVVGAG >Sspon.07G0020120-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:68996537:68999721:1 gene:Sspon.07G0020120-1T transcript:Sspon.07G0020120-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKKQLAAAVAPAETEEAKTKSMAALMSLLRATMSEEKAGAGAAAIGGTGEEKVEWLRSQLIGKDAEFDTPFGRRALTYADQTASGRSLRYIEDYLVTEVLPFYGNTHTEDSHVGSKTTRLVHKATRYVKRCMGAGPGDALLFCGAGTTAAIKRLQEVMGVAVPSVELRARVAAQLRAEERWVVFVGPYEHHSNLLSWRRSLAEVVEIGVDADGLLDVAALRRALASPELADRPMLGSFSACSNVTGVVTDTRQIARVLHEHGAFACFDFAASGPYVKIDMKSGEIDGYDAVFLSPHKFVGGPGTPGILVMNKALYRLNAQPPSTCGGGTVAYVNGFNEEDTLYYDDIEEREDAGTPPILQKIRASLAFWVKEYIGYDRMSLREQVYSEMAMKRLISNPNIRVLGNMDVERLPILSFLIYPPVTNNPLHEAAAADEPAFKRLPLHGRFVTRLLNDLFGIQARGGCACAGPYGHTLLNIKNDLSLRIRSAILEGYSGLKPGWTRLSFAYYLSKEEFNFILAAIEFIALYGHRFIPLYKFDWITGDWTFRKQAIKYHIMKEELALATGLHLLAENGQPKVSDKLTNKPGVSHDKFESYLEHAEKIALSLPDISQQTVNIPKGVDPDLVLFHI >Sspon.01G0019480-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:70653920:70657004:-1 gene:Sspon.01G0019480-1P transcript:Sspon.01G0019480-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVLVTGAAGQIGYALVPMIARGVMLGADQPVILHMLDIPPAAEALNGVKMELVDAAFPLLKGVVATTDVVEACTGVNVAVMVGGFPRKEGMERKDVMSKNVSIYKAQASALEAHAAPNCKVLVVANPANTNALILKEFA >Sspon.03G0015490-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:73125882:73127836:1 gene:Sspon.03G0015490-1T transcript:Sspon.03G0015490-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding WPSTPSTRRARAPTPAPPCTRSSPPPTAPPLRPRRGRQRRSWTPGTPPTRAGTRTGPWAAARCARRRRRGWRWPPRRSSRSASSRVCSCSCSTTWCAAPARCSWMRMRAAPRLAGWRRKAVLRSGCALSRAMLFVFGFYWIRETHRGFPNAEDVNQYQSEESQRPGAIVSNHVSYVDILYHMSASFPSFVAKESVSRLPLVGLISNCLGCIFVQRESKSSDAKGVS >Sspon.06G0035650-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:80429991:80432437:-1 gene:Sspon.06G0035650-1D transcript:Sspon.06G0035650-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPAICSSRNVGSGEEGHSREEAAAAVTSASLGIGSGGGRSTAPPLQAVLRLQSDKAYYQELSQKFGSEKANALSAYAVMKSGGGTGPGAQIDAGRGDLGGQGAPPSPPATSTLVEGLGEIAQTEFAAAIMN >Sspon.04G0002530-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:16798858:16800475:-1 gene:Sspon.04G0002530-2B transcript:Sspon.04G0002530-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SMQLLNHGISHELMDEVERLTKAHYASIREAKFQEFAARTLEAGDKGAHVKDVDWESTFFVRHLPASNLADLPDVDDHYRQVTKQFASEIQKLSEKLLDLLCENLGLEPGYLKAAFAGSNGPTFGTKVSAYPPCPRPDLVDGLRAHTDAGGIILLFQDDQVSGLQLLKDGEWADVPPIRHAIVVNIGDQLEVITNGRYKSVMHRVLTRPDGNRMSIASFYNPGADAVIFPAPALVGAEEERAEPTYPRFVFEDYMNLYVRHKFEAKEPRFEAMKSAIATA >Sspon.02G0008180-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:22517308:22522581:1 gene:Sspon.02G0008180-2B transcript:Sspon.02G0008180-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SYCO ARATH [Source:Projected from Arabidopsis thaliana (AT2G31170) UniProtKB/TrEMBL;Acc:A0A178VWT1] MAPAMARRAAGLLPLLLSSRSGARISLHRAVSQIPPPRSYRLLSQQAKPSPSSPASASASASNGTAAERTRELHLYNTKSRKKEEFRPRDPGGEVGMYVCGVTPYDDSHIGHARAYVAFDVLYRYLRYLDYEVRYVRNFTDIDDKIIARANQLGEDPFSLSKRFSDDFLSDMANLQCLPPSVEPRVSDHIDEIINMIKQILDNSCAYVVGGDVYFSVDNFPEYGKLSGRKLDDNRAGERVAVDERKRNPADFALWKATKDGEPWWDSPWGPGRPGWHIECSAMSAHYLGHSFDIHGGGEDLIFPHHENEIAQSRAACCDSTINYWIHNGFVNVNSQKMSKSLGNFVTIRKVIEMYHPLALRMFLLGTHYRSPINYTIEQLNVASDRLYYTYQTLRDCEESCQQQRSNTGNSLPPNTSNYIQKLHDEFETSMSDDLHTSVALAAISEPLKVMNDLLHTRKGKKQDKRLESLSALEERIRVVLSVLGLLPSSYHEVSAIRPIHGDSSPPQSQLKFNSTVALAGLGLFSSPHKKTGSQAWALQQLRDKALKRASITEELVVQKIEERTAARKAKQYEKSDEIRKELAAVGIALMDGPDRTTWRPSLPLSEEAVVAKT >Sspon.01G0018410-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:68593163:68593609:-1 gene:Sspon.01G0018410-1A transcript:Sspon.01G0018410-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGATSDYDMIGTPSVNTGCMYGIGSVVEKGPCDDLEKGPMHSRSDSQLSVNADGFSLQVNENKENYGKPDHKQLYPDPRKSPPERFIGAPKQHSWSVSPSQVGSNRVGIVLEDVSECEILWEDLVIGERNDVDIRTHSRLVWLINIIL >Sspon.05G0007600-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:25228904:25236720:1 gene:Sspon.05G0007600-4D transcript:Sspon.05G0007600-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVMEFCPHAGSGDIMGSACSRKRGQLVQEDDLYSARFSKSGSFKWLLYTLPRSNSADVQRRTQGPAPGRCPSLVELCVAKVCKDINTYSDFSLLPRDLSQQIFNELVECGCLTEASLGAFRDCALQDICLGDYPGVTDAWMEVVASQGQSLLSVDLSCSDVTDSGFNLLKDCSSMQSLACDYCDKISEHGLKTLSGFSNLTSLSIKKCAAVTAEGAKAFANLVNLVNLDLERCPKIHGGLVHLKGLKKLEKLNMRYCNCITDSDMKYLSDLTNLRELQLSSCKISDFGVSYLRGLHKLGHLNLEGCSVTAACLKVISELASLVLLNLSRCGICDEGCENLEGLTKLKALNLGFNHITDACLIHLKDLISLECLNLDSCKIGDEGLFHLKGLIQLKSLELSDTEVGSNGLRHLSGLRNLQSINLSFTLVTDIGLKKISGLSSLKSLNIDNRQITDTGLASLTSLTGLTHLDLFGARITDSGMNCFRFFKNLQSLEVCGGLITDAGVKNIKDLKALTLLNLSQNGNLTDKTLELISGLTTLVSLNVSNSRVSNSGLHHLKPLQNLRSLSLESCRVTASEIDKLRLVALPNLISVRPE >Sspon.03G0014870-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:47696476:47700237:1 gene:Sspon.03G0014870-1A transcript:Sspon.03G0014870-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSARVPAAAANKNRYPAFTCATTTQQSANPCRDPSEADPHLQWQAPSASHDLTVTRFEPLSCEPTTVSHTRMATMAGRLVARTSTSKRQQLSFAVLLLVLFQSSCWCCYAATVGYSYSEQEGDRVAFLPGQPRSPPVSQFAGYVTVNENNGRALFYWFFEAQTSPAHKPLLLWLNGGPGCSSVGYGAASELGPLRVTKHGAGLEFNKFAWNREANLLFLESPVGVGFSYTNTSSDLTKLDDAFVAEDAYSFLVNWFKRFPQYKGREFYISGESYAGHYVPQLAELVYDRNKGKTNTYINLKGFMVGNPLTDDYYDSKGLAEYAWSHSVVSDEVYERIKKVCDFRISNWTDDCDNAMNTVFSQYQEIDIYNIYAPRCNLPPSSAALAVDQAFVANDQEHFRRRIRMFSGYDPCYSSYAEMYFNNADVQRAFHANVSGTRKWQVCSDSILRSYNFSVLSILPIYSKLIKAGLRVGSTDGRVPVIGSRYCVEALGLPVKTQWQPWYLNKQCYRTVVSFVLSYD >Sspon.01G0049750-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:95981266:95982475:-1 gene:Sspon.01G0049750-2D transcript:Sspon.01G0049750-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPDGDRADIVRLAQGLPAAMLGRLEELVRAQNTRWVVADVSMSWVLDLAATVAVRVALFSTFAAATFAVRMRIPKMVEDGIIDENANVKRNERIKLSPNTPAIDATDIPWVRLRSPMIKGMIKTNQTFVLADTIVCNTFHAIESEALALLPKAALAIGPLEAPPSNSASQLWPEDPACLDWLDAQAPGSVVYVAFGSFTVFDTARLQELADGLALTGCPFLWVVRPNFANGVHEGWLDQFRRRVGDKGLVVGWAPQQRVLSHPSVACFISHCGWNSTMEGMRHGVPFLCWPYFADQFLNQNYICDVWGTGLRIDADERGIVTKEEIRDKVDQLLGDDGIRARVLSLKRAACESTADGGSSHQDLLKFVNLLREQ >Sspon.01G0031660-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:106413411:106419487:1 gene:Sspon.01G0031660-2B transcript:Sspon.01G0031660-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRAGVSGQPLPGRPCRPRQSSPLPCVQPSPDERFVIILPVPQSRARVVPFRGFLRRFHRQRAQERDALPPVPSRSACPPVVASSRGFRGPFPWTPARSRLPAARQLCLPSVGGFYVRFRDGTSHLTTCVSSARHGTGLLTHRRSADGPKTDRFRIASASSRTHAGRQMQKWHSLPSLQARATLCAHTTARHVGTRTGTTMELGEEAGPRRARQQTNSTVREGIRIQTEASYTYVRVVAPRALPIPLPGGASSRVHRREFEPAMAKAKPRPAAAILLRLIFLAAAAGEVPWRQNGLPAAAGAGSDAETTGGGGLVGVDGTRFVVGGGGGGRPIYFSGFNAYWLMLVASDPARRGKVVAAFRQAADHGLNLARTWAFSDGGETPLQAAPGVYDEAMFQVATVTTVTCKPKRDGLDFVVAEARRHGVYLLLCLTNNFHDFGGKRQYVQWARDAGHRLATDDDFFNSTVVKDYYKNHVKTVLTRVNTLTSVAYKDDPTILGWELMNEPRCDAEPTGAMVQAWVEEMAPYVKSIDGGHLVTAGLEGFYGAGAHESKDLNPWGIYYGTNFVETHRARGVDFATIHLYPDVWLWGSAADTQLAFLRNWTRSHARDTALYLGKPLLVTEYGKFLWEGVAGANRTQRDYFLRLVLDSIYASAARGGPLVGGAFWQLLDGGMDTLRDGYEIILPEDQLAATIIGNHSRQLAQLSLITGEDVHAAALRPRRRRSAHSKIHVRSVGGPSGSDSYYTQTQQLSHILLLRLSGVPSRPGWPDPGPLGPDLASPWPPSAVRCGSSGALRRLPAGNSGGTAASPLLAAWGFCGRTGDERRRPAVSCGWPPSLSIGACLRAPGSRCRSTGVHANDACPRGTPEEPRLLLCWGRLGLLRSAMAGMVVCGVVGAWYPMSGPRSSQLVRTMGVCLGLQFGVACFCDKKRGVNPARSFRAGGGGAACVIYLLGGVAVALCREQAGDESPTPVLLPTLLASTASVTFLEGIVVALLQPITHYPAGALASSSTSVVFRRAA >Sspon.02G0004300-1P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:41344465:41355107:1 gene:Sspon.02G0004300-1P transcript:Sspon.02G0004300-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSQLEGDATAAITADEVVYLHGVLEATVFEAEHLHNAIHGRIMEILCTVTNGATCSVDGQAAEKMQESLGMHCLQHSRLYVDIDIGAARVARTREVEFHPTSPAWNQSFRLHCAYPAAAVTFTVKNQHLIGAGVLGAGSVSAARVASGQPLECWLDLRGGEHAHETHTPSLRVRLHFFDVERDPFWSAGVRLPEFVGVKPAFFPERTNCSVTLYQNAHLSDAFDPGVRLDGGLAYRPARLWEDLYAAIRDARRFVYVAGWSVNTEITLVRDAGRRAVVPGAEGVTLGELLKRKADEGVAVLVMPWQDNTSVSFLGNAGLMKTHDEETRRFFEGTNVRCFLCPRNADASLTMVQHVETSVEFTHHQKTVTLDAATPGTDERHVVSFIGGIDLCDGRYDDEKHTLFRDLDTTYLHDFMQNNYKHASLQRGGPREPWHDVHCRLEGPAAWDVLANFEQRWRKQAPENMRGCLLDLSPATFPDPVSFDGNDPWNVQVFRSIDDASVVGFPSDPVEAAAMGLTSGKDVTVDRSIQIGYVEAVRRARRFIYIENQYFLGGCASWAEDRDAGCLNLVPVEIALKVAAKIRRGERFAVYVVTPMWPEGAPAGEAVQAILLWNRRTVEMMYGVVAKAIEDAGLRGQAHPCDYLNFFCLGNREAPLPGEYSPPETPEEDTDYWRAQVNRRGPIYVHAKLMIVDDEYVMVGSANLNERSLAGNRDSEIAQGSYQPAHLNGPCGRARGQVHGFRMSLWNEHFIMGRHASEDADDGALFLEPESLECIRAVRRAAERLWDSYTQDRVEDLPGHLLPFPITVSEFGEVADLPADGCFPDTRAPRLAAAGGSHRKPARLPRMVAAGISSLPWIRSLQVGAGGGLLRRRGSRSDAARPDPANPRPDPAMMGLLLASMAAAAASRAGLPASAATGLAHACVQVAAGGQARGLCLGRGVAGRQPARVLHLPPPCGAACGGSGASDWHRRRGWWLAAGAAIEFTGGGRGWGQWRAVTVSVKAAASGVCSAVEQFLWVAQFGHGQANGGAACPLSSSSLSFCRVPAAARCTQLKSPSLVLQFGRDLADGRAACPWVPVRASHRCERVRERLYTVWRRLAYVYWCAPPTVAGKSRNSSVQCDGGRLTTATWGRSLFEEMVDWCNPMKLKAKGQISGATKSQKLPEIHVSDAGTRGNRRWLWRLSGNPSFGAVWTRASDVWMLERRTLTSASVDQFAKGGFGAQERRTLSHVCRSSARLHPFWTASIVVGVRLVRVRQRVRRVELMTVEVKCPLEINDSYLKWDTWQRSTVGGTGATDAGRVCRRSDRRICRSRKTPSEAQRLYSKGWLANPL >Sspon.07G0026720-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:54742734:54751053:-1 gene:Sspon.07G0026720-1B transcript:Sspon.07G0026720-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTSLIHVSSLLIGVVRKNKGKLGSEGRDEAPSRQPSPGLPAAIGCGSASRLIERCPSASLPLEMDLLLPYKIGDLAESKSLIHNMRVKFGYLECYLEYIDYPGEIPQQFPNSDVTAIVDGTWQIGDLVDWLNEGCFGLGQLLSYSMKIWLRQTEKVGMLLVYFNIINLNQMMKMTTMIAVTPGNRCAELQIRLRKLQAP >Sspon.06G0001480-3C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6C:3281199:3284901:-1 gene:Sspon.06G0001480-3C transcript:Sspon.06G0001480-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SSSSDEEAQVAATTTTTNTAVVVSEAKHVLRLFPIWAMTLVYAVVYSQSMTFFTKQAATLDRRVGELVKVPAAALLAFISITIMVLVPVYDRVVVPLSRRYTGRPSGITMLQRIGAGMFLSIVSTVIAALVEERRLRVARDAGLTDKPKVQLPMSLWWMVPQYVVFGAADVFAMVGLQEFFYDQVPDRLRSIGLALYISIFGIGSFVSSALVSGIHRATAARGQSWFSDNLNHAHLDYFYWLLAALSALQFFAYFNSLSYSRAFSTCIDKTDRYLAGWQANLLNAMGRTVLINSVLDSQLIYAMCALQLPPGVTALMDKRRRSFLWCGNDAPSGAQSMVAWEKQPRQSSLAMASAAPFEFWHDAWAGDEALVDRFPALYSHFIRKKLSVAQVREAGVDASDFLVERLSVQAVGELEEARELLENCHSSVTWRMADKAPSVYRMCPSNIPQKHFATFIALCCWQLWKRRNESYSDQKEQGINKCWQLASLRPNYGNPGVARPAGAPPYSSGREELCRPCSCMLRLEEQHHLRANAASLAATTTPPPAGSLLPPRTTATIMDMSDLLVADSDATRLDRGLKGMDPLLVARGLVVCRIRAALVTPRMVYTLRTWSSPRYRWPDGLPGPARPDGGRAGTARQAHRAVPCPATGLTYGLGHWPCQAGPTTHWAVVPEAAQGTVLSLSASC >Sspon.03G0003970-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:10118711:10122664:-1 gene:Sspon.03G0003970-1A transcript:Sspon.03G0003970-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVIPWELEAGTTIRIPPGPVAGLGRGWAWARDRWLDWAVGEAFFNNISPPRRTHNPVCHESITFLALVATSLKRNARGRGTRTKQRAESEPPRGLRSGEERRVCMDAMPISDGLPGNVTEQMRNATMPKASSTVVCYSPMMITTNGIWQGFNPLEFSLPLFILQTSIIVVTTRLLVLVLRPFRQPRVIAEILAGVLLGPSVMGQIDTWANMVFPMRSLLTLETVAHLGLLYFLFLVGLEMDIDVIRRSGKKALFIAIAGMALPFCMGVSRNVHQTSFILFLGVALSVTAFPIKLLGTDLGRIAMSAAIVNDMCAWILLAIAIAISEADSAALSSLWVLLSGVLFVLFCFYARHAGLPHPHGVMLAGVCTDAIGIHSVFGAFVYGLVIPTGPLGVVLIEKIEDFVTGLLLPLFFAISGLRTNVQKINDPITVGLLVLVFVMASFAKIMGTIIIAALYTMPFREGIALGFLMNTRGLVEMIVLNIGRDKEVLDDESFAVMVLVSVAMTTLVTPVVTGVYRPSRRLVGYKRRNLQRIRHDSELRMLTCVHTTRNVPSVLSLLELSNPNKRSPMFIYALHLVELTGRASNMLAAAAASSASKQSRGGSGSSLPPVTEHIFNAFENYERHTGHMLAVCDTGGVSIQTLAAVSPYQSMHEDVSVLAEDKHVSLIVVPFHKQQTVDGGMEPINPHVRGFNESLLSTSPCSVAILVDRGLSAAAARMATEHHVALFFFGGPDDREALATRRHPHHRAVPPPDYRSRSVSGSTYRPSVDSDSRAITISTEGKSEQEQDEDYLNEFRARNHGNDAISYAMRMVANSEETVAAIRGMDNNLHELYIVGSPMTAALEEWMENPELGPIGDMLVSSDFSMSVSVLVVQQYVVAAAPAPVPAPAASGDPVRQYLSNANQRPSAAAASAANSRWSGSGGTVGF >Sspon.01G0021870-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:80399547:80400979:-1 gene:Sspon.01G0021870-1A transcript:Sspon.01G0021870-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESTPRFLFLVSLVAAALAMATGPAAAAAHAAGGRSRLIHLHFYMHDITGGPGQTAVQVIKGPGPAHPAMPGYHFGDTTVIDDALTDGPSASSSRLVGRAQGTYTLASLTEPPVLAVSMTVALTGGAYNGSTIAVVGRDDVSAGVRELAVVGGTGALRGATGHVLWRTARMESRDHMVLELDVYATVPAASPAPPPRAGVVRQVA >Sspon.03G0009300-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3C:40482231:40484627:1 gene:Sspon.03G0009300-2C transcript:Sspon.03G0009300-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALLYLAVLPLLTSPVCSGASPWQTMTTGSHIRGEDHDKVILLSPNATFSCGFHEVGTNALTFSIWYTTSAAERTVVWTANPYSAERGGYSPVNKYGSRVSLNRDGNLILTDTNGSTVWDSKTSSGKHTTVTLLDSGNLVISDSSNKIMWQSFDSPTDTLLPGQNLTKDTRLVSGYHHLYFDNDNVLRMLYDGPEITSIYWPSPDYDAQKNGRNRFNSTRIAVLDDMGNFISSDGFKIEASDSGPGIKRRITIDYDGNFRMYSLNASTGKWDITGQAVIQMCYVHGLCGKNGLCDYLGGLRCSCPPDYEMVDPTNWNKGCKPMFLTDGNQAHEEFTFIEQPHADYYGFDLSSNKSIPIEACRNICWNSSTCLSFTYKGGDGWCYTKDLLYNGQVFPYFPGDNYMKVPKSFNNSTYSISKQKTLTCGPASSEVMLGSASMYGTKKDNINWTYFYVFAAILGALELLVIVTGWYLFFKKHNIPKSMEDGYRLVTNQFRQFTYRELREATGKFKEELGRGGAGIVYRGVLEDKKIVAVKKLTDVRQGEEEFWAEVTLIGRINHINLVRMWGFCSEGTKRLLVYEYVENDSLDKYLFGERSTESLLGWSQRYKIALGTARGLAYLHHECLEWVVHCDVKPENILLTRDFEAKIADFGLAKLAKQGSTSFNFTHMRGTMGYMAPEWALNLPINAKVDVYSYGVVLLEIVTGIRASSGIMLDERQIDFLEFVQEAKHILSTGNVSDIVDDRLHGHFHAEQAIAMVKIAFSCLEERRKRPTMDEIVKVLMSCDDEDDYHPAYSY >Sspon.05G0025090-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:20318966:20325429:-1 gene:Sspon.05G0025090-1B transcript:Sspon.05G0025090-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMVRKRLVYRSRGRRITTMPRVPNSARGKRSTRRKKDENDMCAFDLLATVAGNLLADQDNSSNVPNTNAAKAKKRKSVKEEHSDKILPLKDVAMEKDVGSGSVSTCPRQANNCLAENSSTRNEAESILESLTMKSNMLAKIPECGSYGIHHPGPSSSVEPEQVQQAEPKVIRRQADGHAVACGIFDSVDVDGKPPALVSSDSSSCVPLSSHDKEHQTSSLYRGEVQYTADRDDDENSSGCTHPSTIENKGCGENKGCKPQYLGNHRIRKLLASKVRKAARNKICGGIPSKKICGGLSNKGSKLNLCGKKTSTTRQKVQRTIFKKKKLAHHTTSFAKEMLTEASGTSFATGGQNKSCESENYHVKLRIKSFNIPELFIKVPENATIGSLKRSEIGQRRIRRPFTVGEVEALVGAVEQLGTGRWRAVKTLAFDNIEHRTYVDLKDKWKTLVHTASISPQQRRGQPVPQELLDRVLAAQAYWSQHLQDKPRGKARLLPEICFP >Sspon.01G0052220-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:22069723:22070314:1 gene:Sspon.01G0052220-1C transcript:Sspon.01G0052220-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASATFLEVLLAIFLPPVGVFLRYGCGVEFWIDLLLTVLGYIPGIIYAVYVLVA >Sspon.01G0023620-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1B:89824032:89824722:1 gene:Sspon.01G0023620-2B transcript:Sspon.01G0023620-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RGRRRGLLPDGDGDGDGDAVGTWRRRLLPTQIRRGVFAITCPRRRPTRDGPPFPPAWIHSPCRARALPGRRPVPCQSYWFLVLDPRIACLDPTAKCPTEPIPATTHAASHRSISSIGYSRSPPAAGADRRTPCLRLGPRRRPTRSASGSARSPRACRPGGRAAASPLSVPQGAKNDADTRQATAPADAAGTKGISVPVQPRRTAAPTTCCREAMPEVTVYLLLDRFAPS >Sspon.05G0003170-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:9406097:9406997:-1 gene:Sspon.05G0003170-1A transcript:Sspon.05G0003170-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNASSAAPSVKPVAETSSSCLTEGITAAHNFEVINFSLLEGMGVGEFVSSRNFHVGDYDWNIMLYPDGYKAEHKHHVSAYLTPQGGGQEGVRARFTFTVFGKDGKAWKKENTEHTFKSPPRGRGWPEFIYKSRQFRTLLRSSNDRFTVRCALTVIGESQSEEEFPEPNMQQHLEHMLKAGKGKDVTFDVGGQIFHAHRCVLAARSPVFEAELFGPTKENATAEPIRVADMEPSVFEELPHFIYTDSISSERMNGDDVNVFMQHLLVAADRYGLDRLRLMCEVSLLLPPRLL >Sspon.05G0007150-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:24069247:24075354:-1 gene:Sspon.05G0007150-2D transcript:Sspon.05G0007150-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding IEGGYLEGNKGLSNWDIFTHKQGTVEDGTNGDTADDHYHRYMEDIELIHSLGVNSYRFSIAWARILPKGRFGNVNPDGVAFYNALIDALLQRGIEPFVTISHYDIPYELEKRYGGWLSSEIRRDFGYLADVCFRMFGDRVKFWITFNEPNIFAKLSYIYGRYPPGHCSRPFGNCTSGNSSTEPYIAGHNIVLSHANVVSIYKKKYQGKQGGHIGITVLSRWYEPFRNIPTDILAVDRGHIVLLVNSYLFLDPIILGDYPSAMRKILGPNLPEFTSKQKKILQASKLDFIGLNHYSTSYLKDCISLSPCELDPFDGDAQISTSTERDGILIGERTGSPYLNTVPYGMEKVVMYYKRRYNNTPMYITENGYAQASNSNMCAKDFTNDTGRVDYLQGYLTFLASAIRKGADVRGYFVWSLLDNFEWNSGYTQRFGLYHVDYKTQKRTPKLSAKWYSEFLKGSPLRTRLRGCCGWCNILALEL >Sspon.02G0016990-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:48820747:48822756:-1 gene:Sspon.02G0016990-2B transcript:Sspon.02G0016990-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIRVQCGCGESSCPEWAVVELQGVAGYTFTVGYHELAGTKVTLKKPLLVLRKKKANAVCGEQEPPPAATEVELEVIGIIRHKILFKDRPKALISKPPTKEKKTVQPAAKCVF >Sspon.01G0038510-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:30564764:30565282:1 gene:Sspon.01G0038510-1B transcript:Sspon.01G0038510-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LSLTPAAARLTPAATKLGFRLILRHPCVAQRSPCLLRRRRVWADPAMSAHTPHPRPPSSRTTPLRASSAAVQRFASDAGVKIVDVRAALAPPPAHMVWPCRSLPELLLMAVGVQESRNRIKRKLGCFDTNSFFSIYVSRRMEDFSWKKNTLIFVEVAIVHSCHDLVGHNQRS >Sspon.03G0037130-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3B:97081034:97082680:1 gene:Sspon.03G0037130-1B transcript:Sspon.03G0037130-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNYQSGEVCVQLARNQYRAACLVSCLRCEHVACRARPAACPHGHRATPTPRCWPAAACHLLLLARPHRVAVMQCADTACGCGQAQVGWSRGGRPAGGPAEAGGYCSDYRVTCLTEEKQVLVPVANGSEEIEAVNLIDVLRRAGANVIVASVEEKLQIVTRRHKFNLIADMMLDEATEMQFDLIVMPGGLQGAQKFASTKKLVDLIKKQAESNKPYGAICASPAHVLQPHGLLKGKKATAFPPMSHLLTDQSACEHRVVVDGNLITSRAPGTATEFALAIVEKLFGRDKAVSIAKELIFM >Sspon.03G0006690-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3A:18369036:18372849:1 gene:Sspon.03G0006690-1A transcript:Sspon.03G0006690-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVGLGWIRTVQFHPACFTRPTLPQLAIAEDTSKHAVRLRLLQPKPRSQIRRVAEDGDDAMSRALLSHVLHCPPHFAYTCFRSGVGARGGLLASGIHPLRRLNCSAVEAVPGPTEEASAPPARKKRVVSGVQPTGLVHLGNYLGAIKNWVALQDLYETFFFIVDLHAITLPYEAPLLSKATRSTAAIYLACGVDSSKASIFVQSHVRAHVELMWLLSSSTPIGWLNRMIQFKEKSRKAGDENVGVALLTYPVLMASDILLYQVPEALIPPAGARVMSLTDGLSKMSKSAPSDQSRINLLDPKDVRI >Sspon.01G0050890-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1C:9466287:9469190:-1 gene:Sspon.01G0050890-1C transcript:Sspon.01G0050890-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RADQPPPVGGDHTGAGRRAAPTLLRPPGWIPRPCSGHTPAPAKTAKSRPLTALSTRDCVGRRCAPHGLQSRSRLRPPPKLVPCRITTSPAPAPAQLREAAGLARLLAPGGQSVMVEFVAPVTPKAVEGRRWCAWVTPATGNLEIRDSDLELEATLEADLKASESFLNQSTLQPERSSMLFLTDGWRNEGEDNYVLKLKEATWVPHLFRVSITQHEYMNEKRQRITLINLSYVESGCCKPPTGCNFTYQSETVWIKRAGFKPTTDDPDWTTWSNDQTVLCYDCMACKAGVLANLKNDWNKIATVYIIFLIFLVV >Sspon.01G0005020-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:13353442:13357480:-1 gene:Sspon.01G0005020-1A transcript:Sspon.01G0005020-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLDARHRHTPDSSSSPDAAADMGLPLAGAAYQPYVSELLSFSIERLHKEPELLRVDAERVRRQMQEVAVENYGAFIAASEALSFVRAQLESFDGHLEAMIEEIPNLTSGCTEFVESAQQILEERKLNQTLLANHTTLLDLLEIPQLMDTCIRNGNYDEALDLEAFVSKISKLHPDLPVVQGLAAEVKKTVQSLISQLLQKLRSNIQLPECLRIVAHLRRIGVFSESELRLQFLRCREAWLSGILEDLDQRNVYDYLKGMVTCHRVHLFDVVNQYRAIFNNDKSGNDENYDGGLLFSWAMQQVSNHLTTLQVMLPNITEGGSLSNILEQCMYCAMGLGLVGLDFRGLLPPIFENAVLNLFSKNMSTAVEKFQVVLDSHRWVPMPSVGFVANGVVDETSDDVTPPSVLMEHPPLAVFVNGVSAAMYELRPCAPLSLKVVLAQDVVKGLHAVSDSLVRYKAMRMLRGNESALFLSLCEAFIEVAYPYCAACFGRCYPNGATLISECQGTFDAVHQLLTVPARSNSSSIERRQSGGIERRQSGGIERRQSGAIERKQSVESTGTAVTDNGLSADGPGLETNDAAATTTPPIEDDVSTHPPA >Sspon.08G0002260-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:3713811:3717196:1 gene:Sspon.08G0002260-2B transcript:Sspon.08G0002260-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSCIPAGGIRLPDLEMVKAAPPPLPPRPAHSTASSTLSEASNASAASSSATSSSVVASLSLKRPRTPRKRPSQTYNEAAALLASMYPSVFPVARGKGSTEAAAPPRLLGLASALADDPACSDLLPPFPVPGGQAAFLLRDLPPPPPPLTPRSPAARGCPSPAARLSEFRDPAPSPATPDDAAAAPDEPGELDFDDDDGFDADSILCGVDEGAAEGIDGIMGKLSMENNGTSVSSENSNLPRSKMMHPYLRNLMVLGLSFRHDQSIINQALKRHSVDPEWWMCPAIPVKDISPAPPPSVAMSKVTDKKKTKKKSLGTIYEEGSPESADGDTGALALPETGYTGALALPETGLGLSLNTDGVLKAWRGRGSVFADGNGPDLPLSSAHVVVKHEDSDLFPKNGTSAVIREGNILKMQRKQKPCTPLPSNKQSRYYRPRVKRYSAAGVEMPVEWHLQMLM >Sspon.03G0002800-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:14958494:14960015:1 gene:Sspon.03G0002800-3D transcript:Sspon.03G0002800-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEICCEEAKSTPATAVAAASVSAVAVASSALERRRRRLEMRRFRIESDPEAPVAEDVRAAKRQRLLVRTVSGSCPDAGSDSDRPALPEWLPRYGVTSVCGRRREMEDTVSIRPDFLPGTSKQHFFGVFDGHGCSHVATICQDMMHEVVADEHRKAGSGEETAWKGVMERSFARLDEQAASWATSRSRDEPACRCEQQMPLRCDHVGSTAVVAVVSPTHVVVANAGDSRAVLSRAGVPVPLSVDHKPDRPDELARIEAAGGRVIYWDGARVLGVLAMSRAIGDGYLKPFVSSEPEVTVKERTDDDECLILASDGLWDVVTNEMACEVVRACFRSNGPPAPAARPNGVAALPAADADAENRPAVVKGVSKEDSDKACSDAAMLLAKLALARRSADNVSVVVVDLRRGI >Sspon.02G0009460-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:21231185:21231739:1 gene:Sspon.02G0009460-4D transcript:Sspon.02G0009460-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LPGTAHGTVAYGFGRMAGSFPLGGGGHSREAPASSVPPVHPSDAASFLYAARAGAGLQLWQQHEQQHPFYTSNIIRFSDDPSPGAAPSLTGAASSSSSRGTRSGGGGGGVSCQDCGNQAKKDCVHQRCRTCCKSRGFTCGTHVKSTWVPGQETRAPAAARRARGLRHRHNNRGRRSVPRPHQAPA >Sspon.02G0041510-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:79045769:79050114:-1 gene:Sspon.02G0041510-2C transcript:Sspon.02G0041510-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLAAVMLLYAVLVLALESPFVSTSLSGGGAGAGAGAARKLHLSFGAGERGAPARPDKEPRPAAGGASVSVPGGASARGGQDRRLSRFATGLDVRLLDSARSGALRRSVADAVAAGARAFADLEDLDPSAVAAAAAVAAPSGGEAGGAAAARSSARGGGRRVVELPCGLAVGSHVTVAATPRAPHEERNPAIAVLRDGERPAMVSQFMVELQGLRAVDGEDPPRVLHFNPRLRGDWSGRPVIEQNTCYRMNWGAAQRCDGWRSRPDEETVDGLVKCEKWIRDDDDRLEESKTTSKTAAWLLNRLIGQKEEVNFGWSFPFVEGQLFVLTLSAGLEGYHVSVDGRHVTSFPYRTGFVLEDATGLSLNGDLDVHSVIAGSLPTTHPSFAPQNYLEFSTIWQAPPLPDEPVEIFIGILSSANHFAERMGVRKTWMSAVHKSPNRVARFFVALHGRKEVNVELKKEAEFFGDIVFVPYLDNYDLVVMKTLAICEYGVHVVSAKYVMKCDDDTFVRIDSVVTEIKKVPSGRSLYMGSMNVQHKPLRHGKWAVTYEEWPEEVYPSYANGPGYVISSDIAEFIMSEFMKQKLTLFKMEDVSLGLWVEQFNRTRPVEYIHSDKFCPFGCVADYYTAHYQSPRLMLCMWQKLLEGRPDCCNMR >Sspon.03G0047010-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:51012250:51012548:1 gene:Sspon.03G0047010-1D transcript:Sspon.03G0047010-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAISRITLLNTFAVRDLGDLQKKTVQLGYDEHSLHGWMVLVQGLAILKVSLQSKTVLTDVFLHGKAASPGGRSTRA >Sspon.01G0036540-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:13915820:13919880:-1 gene:Sspon.01G0036540-2C transcript:Sspon.01G0036540-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding KNGISFVSIDEFLPLSEQGPFDVILHKITRKEWQQVLEDYHEEHPEVTVLDPPNAIKHLNNRQSMLEEVADLNLSNFYGEVCTPRQLVITEDPSSIPTAVAMAGLTLPLVAKPLVVDGTSKGHELYLAYDEASLSMLDPPLVLQEFINHGGILFKVYIIGETIQVVRRFSLPDVNTYDLLNNVGVYRLPRVSCAAASADDADLDPLIAELPPRPLLEKLGRELRGRLGLRLFNIDMIRELGTKDRYYIIDINYFPGYGKMPGYERMFTDFLLSLSQSKYKSYASPVPTNVHLLETSISWRLEVLASQQAQCMCSKAVAHAGL >Sspon.08G0001750-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:3075976:3078576:-1 gene:Sspon.08G0001750-4D transcript:Sspon.08G0001750-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDACSLVRRHLPRPLQLPLVAPPIPSRRRHRRVASAVVRCCCSAAAADHHQERPWEWYDRAIQSHSGSDLAHSLGLLADMQASGARPSAGAYARLIRALSRAGRALEAEALLLEMRRLGPRPDAAHYNALLEGLLAAARLRLADRLLLQMADDGVARNRRTYTLLLGAYARAGRLEDSWWVLGEMRRRGIRLDTAGYSMLVRLYRDNGMWKKATDLVMEMQEVGVELDVKIYSGLIDTFGKYGQLADARRVFDKMRAEGVKPDISTWNALIRWHCRVGNMKRALRFFTSMQEEGMYPDPKIFVMIISRLGEQGKWDEIKKLFDGMKNRGFKESGAVYAVLVDIYGQYGHFRDARECIAALRAENTQLSPRVFCVLANAYAQQGLCEQTVNVLQLMEEEGFEPNLVMLNLLINAFGTAGRHLEALAVFQHIKDSGMSPDVVTYTTLMKTFMRAKKFEKVSEVYREMERAGCTPDRKAREMLHDATVVLEQRGC >Sspon.02G0014200-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:37833814:37835197:1 gene:Sspon.02G0014200-1A transcript:Sspon.02G0014200-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAPCCDKATVKKGPWAPEEDAVLKAYIDEHGAGGNWIQLPHKIGLNRCGKSCRLRWLNYLRPNIRHGGFTEEEDRLICSLYISIGSRWATIAAQLPGRTDNDVKNHWNTKLKRRLLGGGRRPRAEARLQLLTSPTTWQHHNSFASSALERMQASMRLHRGHQARLDNPAAAAFTLHNYGSLGAPLWPSLSSSSSPSPAASESSEMLPRQPPGGGATSTGYCAGLWTHMPGSFGYTGAGVQENIDGTCTPPLSTSIGETMTTAVGVESSSSTPTASSASATFGSSMDDEIDMLLRQIQCFGENNAHHIGDEAAVDGIDHYFRASMDHHETADGCVGSWSSCCSTPGVDSVFHDYVQ >Sspon.06G0005830-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6A:19667114:19668802:-1 gene:Sspon.06G0005830-1A transcript:Sspon.06G0005830-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At3g47530 [Source:Projected from Arabidopsis thaliana (AT3G47530) UniProtKB/Swiss-Prot;Acc:Q9SN85] MASSSPLARAHRPSTSTSSVAAALLASSSPIHPRRFLQLHAHLLRAGLLALSPVTTTYAFLSLAAASLPSRRALAVLDHHLTAPSSLPSTFQCNSILRALSDPSDALRFLRRMRALGRRGNAFTLAILLKPRCALAHARQLHANVVAEGHLRDALLATSLMRCFANCGGGDGARKLFDEMPVRDAVTWNVLISCYARNRRTKDALKLFEEMRGRDSGAEPDDVTCILLLQACTSLGALDFGEQVWAYAEEHGYGGDLKVRNSLIAMYSRCGCVDKAYRVFCGTPQKSVVTWSAMISGLAANGFGHDAISAFEEMIRSDVAPDEQTFTGVLSACSHSGLVDEGFRFFDMMRCEYGLKPNVRHYGCIVDLMGRAGLLDEAYELVTNEMKVAPDATIWRTLLGVCRIHGHVDLGERVISNLIELKAQQAGDYVLLLNTYAAVGEWSKVSEVRKLMQEKGIQTTPGCTTVEHNGEVHEFIADDDAHPRKVEIYEKLNEINKQLRIAGYVPNMSSELHDLNSEGKESALTYHSEKLAIAFALLVTPQHRPIRLAKNLRVCVDCHNFTK >Sspon.04G0021110-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4A:74012739:74015286:-1 gene:Sspon.04G0021110-1A transcript:Sspon.04G0021110-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLVRRSLSMSRPRSCHDADDRGWNPLHVAARKGDLKEVRRLLDDGMDVNAPAWGPKCPGATPLHLAAQGGHVKIMDELLERGANIDARTKGACGWTPLHIAAKERNKKAVRFLIENGAFLPPEMNDHRFNPPSITALG >Sspon.01G0020690-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1A:76766228:76768678:1 gene:Sspon.01G0020690-1A transcript:Sspon.01G0020690-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAGGPRPSPDPATQGPSPPPGASPDFATPDPAPSLRSAATAVAGKMEGAAGPAPGSSSRAGRPPPSSAPSRAACQPPEASALVAAGRRDGPCEAGAGGDAAETSRAPSPTKDLLRATADFPTSSTPAQAVQRLRLSADDESQVIVEDASEDEDEEADAVAASPKPRLAAAAETPSPTAPRSSLSPHAAPFVPVSCGRSKHRRWADDDDESGNEQPPSYLAAACRPPKPAVAPVRPQANTSVAPGRGKVPARKGGPRRGRRKRGRPRPQLVSGIPARPVEGRVPARQRLGRHGRDGPLATDVGPERLPAHQRLGSARRVTAPDAGGWQCVLPQHPHQPARPQRPRQRHVARAGERSVGPRQFPAYADGRCFRCLATGHRVATCNLPWRCLRCHGLGHLARECKRPRRVDDDGRAARPRSGNGGGGVNDAEGGGRVPAADRGKALPGHPHQCGRGHRSRRRRHRRRRKQEVSSVIQAPKVWRPRSARRLARASTEPPIVDHGPAAADPAATAMDVAWAVILEGPLLTMSGRSAPEPAATPPAVTGLPEEDRAALAMAAADMAVNQPAGDEFATEEPTAATAATPIAEADDDLKSTTPATTKVGRPAEQGTPAAPQRVVPLLVYARRDKATHGADPPRGVVEVPVMDAAVAQELDALEDDAVCCTPPPGIGSPPPPTNTASAQRRFQAFAGAAATAAAVQEELEALVCLPLQSPLIRERPRLRRSRTPVSIHSLRRSGRIAAQPRAANSTRQAQNVLLKKLGIAVDEDAVDSAIESQFKAAFCNMTERKQRALQILFNGDFDPVTLDLDMAESDILES >Sspon.04G0024360-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:22601139:22601819:-1 gene:Sspon.04G0024360-2C transcript:Sspon.04G0024360-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLEDSSKKRATKCRKEYDIIYEQLPVLLEKMDILFSTGKKMENSEPENKSETKKKLVAPLPAVTPEEIGEGYKDLEESIRGFIKDLDEAEKETGGTSQAATPPSSVEDE >Sspon.02G0017190-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:44042264:44045976:1 gene:Sspon.02G0017190-4D transcript:Sspon.02G0017190-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RIMQSAAAVGLVRPCAARPLPTYPSPRRGAGACIGGTTQPPLLTPRGLRLSARAGLLPASPLEEEENRRCRAGRHVAAAAGKVAAAEGAGEEAGGGLVKTLQLGALFGLWYLFNIYFNIYNLQVLKVLPYPINITTVQFTVGSAIALFMWITGILKRPKISGSQLLAILPLAIVHTMGNLFTNMSLGKVAVSFTHTIKAMEPFFSVLLSAIFLLQLPTPWVVLSLLPIVGGVALASLTEASLHRAGFWSAMASNVTFQSRNVLSKKDQESLDNINLFSIITVMSFFLLAPVTLLTEGVKVSPAVLQVQGLNLKQIYTRSLIAAFCFHAYQLKVSYMILARVSPVTHSVGNCVKRVVVIVTSVLFFRTPVSPINCAGTGIALAGVFLYSQLKRLKPKPKA >Sspon.05G0026030-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:28037645:28040871:-1 gene:Sspon.05G0026030-2C transcript:Sspon.05G0026030-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable alpha-amylase 2 [Source:Projected from Arabidopsis thaliana (AT1G76130) UniProtKB/Swiss-Prot;Acc:Q8LFG1] MGQMVSDDKFEEQAARDGGIIKNGREILFQAFNWESNKHRWWSNLEEKVTDLAESGFTSVWLPPPTQSLSREGYLPQNLYCLDSCYGSLHELKLLLHKMSEHNVRAMADVVINHRIGTTQGSNGMYNRYDGIPMSWDEHAVTSCSGGKGNKSTGDNFDGVPNIDHTQPFVRKDIIEWLIWLRKSIGFQDFRFDFTKGYAAKFVKEYIEESKPLFAVGEYWNSCEYSPPDYRLNYNQDSHRQKIINWIDSTGGLCAAFDFTTKGILQEAVKGELWRLRDPEGKPPGVMGWWPSRSVTFIENHDTGSTQGYAYILTHPGIPTVFYDHFYDQGVPLHDEIAKLMQIRKCQDIHSRSSIKILEVRSDLYSAIIDDKLCMKIGDGSWCPGDPEWRLAVSGNRYAVWHR >Sspon.06G0001480-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:4770958:4772662:-1 gene:Sspon.06G0001480-1A transcript:Sspon.06G0001480-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AEIGERFAFYAVAANLITFLTGPLQVGIAAAAVATNAWSGTALMLPLLGGAIADLWLGRYLTIILASLLYTLGLGLLAVSTLVGHHCNNTATTAGEKCPPPPAVQVTLFYVSLYMVALGEGGHKPCTHAFGADQFSQSDPGESVSRGSFFNWWYFGVCAGTAATLLVVSYVQENHSWGLAFAIPCAVMACTLVVFLLGTRTYRYTDTSGTRNLFAYAAEAFARWRWRRRRSKRRAAGGAMISSSDEEAQVAATTTTTNTAVVVSEAKHVLRLFPIWAMTLVYAVVYSQSMTFFTKQAATLDRRLGELVKVPAAALLAFISITIMVLVPVYDRVVVPLSRRYTGRPSGITMLQRIGAGMFLSIVSTVIAALVEERRLRVARDAGLTDKPKVQLPMSLWWMVPQYVVFGAADVFAMVGLQEFFYDQVPDRLRSIGLALYISIFGIGSFVSSALVSGIHRATAARGQSWFSDNLNHAHLDYFYWLLAALSALQFFAYVFFAWRYKYKNV >Sspon.05G0010160-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:20345908:20347658:-1 gene:Sspon.05G0010160-3C transcript:Sspon.05G0010160-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKYLFYHRNHFYTHSVAVHLKGGVTQMESFLVKFFPEVSSGTKNAKHDPYCKYDDQRLTAFTSSLYIAAMLSSLVASRVTRTVGRSAVMLIGGILFLVGSAINAGAVNVAMLIIGRMLLGFGVGFTTQAAPLYLAETSPARWRGAFTTAYNIFQVLGALAATVTNYFANRIPGWGWRVSLGLAAVPATIVVLGALLVPDTPSSLVLRGDTDRARVSLRRLRGPGAETDAELKDIVRAVEDARRNDEGAYGRLCAKGYGHYLVMVVAIPSFFDLTGVIVMAVFSPVLFRTVGFSSQKAIFGSVILSLVNLASSLLSSFVMDRAGRRFLFLAGGAAMMICQLAMSCILAGHLGKHNDEAAMPRDYAVAVLVLMCLYTFSFGVSWGPLKWVVPSEIYPVEIRSAAQALTVSIALCLSFAQTQVFVSLLCAMKHAIFLFYAGWVLVMTAFVAAFLPETKGVPLEAMRSVWAGHWYWRRFVRDAKQEVQVNCL >Sspon.02G0046860-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2C:8743748:8744116:-1 gene:Sspon.02G0046860-1C transcript:Sspon.02G0046860-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GTVCIAHWCSGRQTTRYVSSTTGHRGGTHGHQRWTREAGDLDLSPPPPPPPTRAPRLVSLQSTTKPRQLPPSPRRRRPPCPDRRGARTPTRCRIPCPCRTVCPLPPHAGLAGVEWRSNRSRG >Sspon.01G0030120-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:104593690:104595482:-1 gene:Sspon.01G0030120-1A transcript:Sspon.01G0030120-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MANLPALRPWLCLLAAMAAVSAPWPPADALGMNWGTQATHLLPPKIVAQLLRDNGIKKVKLFDADQETLSALAGTGIEVMVAIPNVMLDTMTDYDTAKEWVRHNVSRYNFDGGVTIKYVAVGNEPFLAAYNGTFDKVTFPALQNIQNALNEAGLGDTVKATVPLNADVYMSPKDNPVPSAGRWRADITDLMTQMVQFLSNNSAPFTVNIYPFISLFLNDDFPVNFAFFDGDATPLVDPGTGVSYTNVFDANFDTLVAALKSVGHGDMPIVVGEVGWPTDGDKHATSAYAQRFYNGLLKRLAANAGTPARPNQYIEVYLFGLLDEDIKSVAPGNFERHWGILRYDGQPKYPMDLSGQGQNTMLVPARGVEYLPRTWCVVNTNAAADAMDKLADNINYACTFADCTALGYGSTCGGMDSNGNASYAFNAFFQVQNQKDEACGFQGLAVPTQTDPSTTTCNFTIQIATTSAAHRRPLGVAVFVVLAQLLLLLLLLLLLLH >Sspon.02G0009350-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:24781223:24785877:-1 gene:Sspon.02G0009350-2B transcript:Sspon.02G0009350-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGVDEVVKVVVDLEDGEGEEEAEATAAEGSSRETRMLPMMPVRVLLAEGDDSTRHVISALLRKCGYRVAAASDGVKAWDILKEKSFNVDLVLTEVDLPLMSGFLLLSTIMEHDASKNIPVIMMSSHDSVSMVFKCMLKGAADFLVKPIRKNELRNLWQHVWRKQLANGGPDVHHIQQEENLAERIEQKTGVTKADNLNRDGPRKNRECSEQESDAQSSCTRSELEAESKQTNNILEYKQSTERHLSIPSRKNVELNGQAKIRTAKGNNLIPTREDDLSPKKRTCLNDNNSERASKDMELVHIMDNQQKHNTQREVDTMRTTSSGNDEKGSIPAHQLELSLRRTDYGKLENHEKNDRRTLNHSTSSAFSFGKQLRVEIPKDDPRHVSPMTGESGTSTVLDCTRNTLSSSGCDSTSNLITTPTESSNAYKVVPETPSAEGSRHLSQREAALNKFRLKRKDRCFEKKVRYQSRKLLAEQRPRVKGQFVRQDHSIQGS >Sspon.06G0004800-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:12337160:12339415:-1 gene:Sspon.06G0004800-2B transcript:Sspon.06G0004800-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVFGGDSWARDAQQRKRRLDDLLLPTTASSSPSTPDSFRKLPSGKLACLVCPHRPVLDTPLMLSMHNKGARHIAAESRLREKELSKKHEINKRLALSSDASHSNSGNPCTGVRPTSIKEKPLIKETQRAILEAQSSRFNDFKGKKVSHDSKWTTNASSFDSNVAPSDVPMELSTGNTGSGSREWNCKGESFAGNQTQDKLFSDRQTEVRKRQEQELRFTASGWKRDGHGRWYRDENVEFDSDEDDPNICLS >Sspon.03G0018310-2T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:80292582:80294035:-1 gene:Sspon.03G0018310-2T transcript:Sspon.03G0018310-2T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DGVGEEQDPGGRRHGVPGQARRGGERAAGPPHRGARQGHGPLRPGQGGAAQELPGRRRDAPQGRPVRPGQPGERGEGRRRGHLHGRVAADRRPDQAHRRHQGGRQRQEVLPVGVRLGRGPHGHRGAGQVDPRRQGGHPARHRGRGHPLHLRGCRLLRGLRAPQHRAATGPRPAHGQGGRARRRRHQGGVRGGRRHRDVHGAGRRRPARGEQDAVHQAPRQHAVAQRAAVAVGEEDRQDVPEGVRARGSRAQADPRVADPAEHHPGVRARGVRAGGADGLRDRSGQGGGRHRAVPGGQVHHRRRVPQPLPL >Sspon.02G0010550-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2A:28863396:28863884:1 gene:Sspon.02G0010550-1A transcript:Sspon.02G0010550-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIVLKMPHRVEVFKFKMDTGSYLLERVKNLGSRALFIGDCRCLFVDADKFASVEANCVYYVVEEPWFDVCVYSLKDETEVRAGGAIDSFNPITLSVDPPFSEVQLLCCYTFEVRHSQLWWHQMFSRFEQVNELARLHPGLVDELAGYDSESDDFSDIQHLM >Sspon.01G0036340-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:18194062:18196669:1 gene:Sspon.01G0036340-1B transcript:Sspon.01G0036340-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKCLLMAFTGKRKCDNKDNFRNKRLDLPGELLGRELRAHLRLAERRMVKAIQRDLNSDRERYIDASIVTNGLNRAFSTACWCHPYKRAEQCSGIVFFLEYAQAMRIFELGEKEVEIKRDKHHKEVRVFSDARRILRPLVVVETLKKIRKPKGRSFSFQELMQQEIIEFIGVEEEEDIQCVWGIRHLFESEGGISSYTHCELDPSFLLGLSCGIIPFANHNFARRVLYQSEKHSQQAIGYSTTNPHIRVDTLSHQLYYPQRPLFKTVVADCLGRSDFNTFGRKDDFARPEYFNGQNAIVAVNVHQGFNQEDSLVMNRASLQRGMFRTEHLRSYKADVENKDGTKRLKLKEKIDFGKTESKRGRVDNLDDVGASLETC >Sspon.03G0009210-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:25262470:25267238:1 gene:Sspon.03G0009210-1A transcript:Sspon.03G0009210-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding PMANSNLPRRIIQETQRLLSEPAPGISASPSEENMRYFNVMILGPAQSPYAGGVFKLELFLPEEYPMAAPQVRFLTKIYHPNIDQLGRICLDILKDKWSPALQIRQALLSAPNPDDPLSDNIAKHWKANEVEAVEIGMRSWLYESRYHSTTANDLLCLGERSFIGCGE >Sspon.06G0010610-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:58035581:58039695:-1 gene:Sspon.06G0010610-1A transcript:Sspon.06G0010610-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLFGLGRNQKTFRPKKSAPSGSKGAQLRKHIDATLGSGNLREAVRLPPGEDINEWLAVNTVDFFNQVNLLYGTLTEFCTPESCPTMTAGPKYEYRWADGVQIKKPIEVSAPKYVEYLMDWIEGQLDDESIFPQKLGTPFPPNFKEVVKTIFKRLFRVYAHIYHSHFQKIVSLKEEAHLNTCFKHFILFTNEFGLIDKKELAPLQELIESIIVPY >Sspon.04G0030990-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:4945443:4949408:1 gene:Sspon.04G0030990-2D transcript:Sspon.04G0030990-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHVVGGKFKLGKKIGSGSFGELYLAVNVQTGEEVAVKLENVKTKHPQLHYESKLYMLLQGGTGIPHLKWFGVEGEYNVMVIDLLGPSLEDLFNYCSRKFSLKTVLMLADQMINRVEYMHQKGFLHRDIKPDNFLMGLGRKANQVYIIDYGLAKKYRDLQTHKHIPYRENKNLTGTARYASVNTHLGVEQSRRDDLESLGYVLMYFLRGSLPWQGLKAGTKKQKYDKISEKKMLTPVEVLCKSYPSEFISYFHYCRSLRFEDKPDYSYLKRLFRDLFIREGYQFDYVFDWTILKYPQIGSNPRMRAGERTNGAAGPSMDKIEKTPGEASGRRNPSGSVNQSDNYAQRPRETISMSLKEIMHSTDRSGERTVERPRTSSRTGSASRRAVASSSRPASSVEPSEQYNRTSRLFSSNSGSRPSSTQRVNPSPGESRATSLSRAAVARGSRDEPLHRSLELLSLGGGKRK >Sspon.06G0019210-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:731099:733659:1 gene:Sspon.06G0019210-2D transcript:Sspon.06G0019210-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ETGLGGRRGVFGPDALLSAAELLCLAPPAICSVVCAARLVFLPAGASAGPPSLLVLQYVLLVGAVAIGSLIRRRQSGRLRPAGGGLTGRVEKVKETVRGMVAAVAVLSRTVEKLGLRFRVLRRTLRDPISETAALAQKNSEATRILSAQEDLLEKEIGAIQKVLYAMQTQSTLQEQQQKQLDLILSIGEASRILDGEQDLLDGDSARSSSASPAPELENKQVLETESEAATGGNNKS >Sspon.03G0019690-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:83962421:83963345:1 gene:Sspon.03G0019690-2B transcript:Sspon.03G0019690-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDVNKAMAVSHSHIGGKLIKVAPMLILFSLGFVLGMTSNSKFPNFYLSSVAPSPSPLPPPVPSSPPPVPSSPPPVPLPPQNPQVGLARFLEPASVMHNMTDEELLWWASMTPKVRSTPYHRAPKVAFLFLARGDLPLRPLWEKFFAGHEGLYSIYVHTDPSYTGSPPEDSVFYGRTIPSQKTMWGDVSLVAAERRLLANALLDLGNERSRCSRDVHPPVQLHHRVRRAHRHQHQLRGRDRHPARYNDLFAERNNITVAQ >Sspon.01G0015140-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:48593645:48595570:-1 gene:Sspon.01G0015140-2B transcript:Sspon.01G0015140-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDVAKDLTAGTAGGVANLIVGHPFDTIKVKLQSQPTPAPGQLPNVRGQMEALLRSEPGVPLTVKQQVVAGAGAGIAVSFLACPTELIKCRLQAQSSLAEAAAASGVALPKGPIDVAKHVVRDAGAKGLFKGLVPTMGREVPGNAVMFGVYEATKQYLAGGPDTSNLGRGSQILAGGLAGAAFWLSVYPTDVVKSVIQVDDYKKP >Sspon.01G0027410-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:96063793:96065756:1 gene:Sspon.01G0027410-1A transcript:Sspon.01G0027410-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MCTRGHWRPSEDEKLKELVALYGPHNWNAIAEKLQGRSGKSCRLRWFNQLDPRINRSAFSEEEEELLLASHRVHGNRWAVIARLFPGRTDNAVKNHWHVIMARRCRERMRLSNRRGGAAGAVEDDNNPRNTKKPRPDASSMASLLDKYRREFSVVPFAINHDSNQQDYCSTTNEADTNKSVEFYDFLQVNANSSDTKCGSSIEQEENRDDQAEGQVQFIDFLEVGDSHRQ >Sspon.01G0039580-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:35695636:35698687:-1 gene:Sspon.01G0039580-1B transcript:Sspon.01G0039580-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEGPSSPVTTVHVTGFKKFHGVAENPTEKIVRNLPSFMETKGLPKGLVLGSCTVLEAAGQGALGPLYELLESTVSGRECGMPSQERRMPIISSDGSILHTRQTTLPVNEISKSLQQMGYDVMPSDDAGRFVCNYVYYHSLKFAEKHGIRSKCKVGV >Sspon.07G0023890-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7B:20411728:20417251:1 gene:Sspon.07G0023890-1B transcript:Sspon.07G0023890-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MERWRMKKVLLLPSRTTTTSVAFLAVAALVTTTVFAISGGVAAEAAAPHRILVDTDIDIDDLLALLYILKQNRSEFDLKIGFRQTTVSCARLHSLPPIRSFALPHAQRAVAAFVRLAHNPPRPPRLDWPHPRTPPPSIRPAQQTHPCPVVPLTASPSAPQATFARHRLAAPHYPASVSCHHHSPTSLDSPNNMKHIQHKTLECNICLKQLKQLEHTLATYV >Sspon.01G0001980-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1A:5867670:5868014:-1 gene:Sspon.01G0001980-1A transcript:Sspon.01G0001980-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMRLPAPPAAAHAHVRLLLLRAYACARMLLLHTSTCSCYSARMRLLLLCAYTCHAAGHARGDLLYLCPLPVPANENTCNLKHFLQHTSETDETFGNIHVQHMCIASATYVTSR >Sspon.06G0014040-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:56665670:56669067:1 gene:Sspon.06G0014040-1T transcript:Sspon.06G0014040-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDIRLPADVLTSVMSRAATGPRAACIAATVSPAFRSAADSDTVWSRFLPADLAPLVYPSPPPRSKKELFLRLSGTHVLLEDGHTSAWLHRETGAKCYMVAASVMHIVWGGSPQYWRWIPRQDSRFPECAELLAVCWLDFSCSIESRMLSENTRYAAYLVFKMDDDCYGLDSPLQVASIHIGQDEISSHPVRLQNNIGNEQNDGAEEGAAPRLPMERPDGWMELEIGEWDNHGGEDRQVCARVRETTYGGNWKKGLILQGVEIRPKS >Sspon.02G0016760-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:50390128:50395096:1 gene:Sspon.02G0016760-3C transcript:Sspon.02G0016760-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHQKREGSSADDDCTSKRLKGTDTASETGGSVEASVSQQMDAEARRTCQKESEVSGEQKMVLTAVEADAAEDKGCRHTMEDTWVVLPDGDAESPGSLRCAHFAIYDGHGGRLAADYAQKHLHQNVIAAGLPRELMDVKAAKKAVIEGFRRTDESLRKKVLKEIGKMVRQLYVVVANAGDAKAVLARSISTEGEGVVDETKSQLKAIVLTREHKAIFPLERSRIQKAGGSVGSNGRLQGRIEVSRAFGDRQFKKVGLIATPDVHSFELTKKDHFIILGCDGLWGVFGPGDAVEFVQNQLKEASSATLAVRRLVKEAVRERRCKDNCTAVLI >Sspon.05G0034060-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5C:48174810:48176892:-1 gene:Sspon.05G0034060-1C transcript:Sspon.05G0034060-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTRGEDVHPDVSLSLVWSSNLVTVEFMDGHRRETDATPGRRRRLAAASALARCPDEATAASAPGRRPDESTQGHCRSRNPDASAQGHRHIRGQPLPLHRRRYG >Sspon.03G0008620-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:23206582:23207484:1 gene:Sspon.03G0008620-1A transcript:Sspon.03G0008620-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLSHQDRGRLLLALLLLAAAVASRGDDDTYTVSACRSRPYLCGGVNISYPFYLATDTNAVPDHDGESYCGYPGLAVICDGGDNKPVLKLGDDNYTISDIDYANLIVSLADADTAGNTGCPVVDHNVTVPQDVRLSLILHSVDYLFFFVNCSFGPEAEPAPKPPKPPTIKPITCGDMDKPPASMTFVLPRSEVPPGDWSSACRQIFEVPVLKSSVPSKAVAEDPVWRNDGYGKALRAGFQLGWDRISGGPCGQCEQSSGKCGYSPAGEFLGCLCADGRVHDGGCSKISVDSSALSWPGT >Sspon.07G0031740-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:27728597:27736472:1 gene:Sspon.07G0031740-2D transcript:Sspon.07G0031740-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DEELLGQALDLNDKLQILLEKHDSIASGSPLSADVIDVMSEVPSGMALNLGANVPPQAAVSTTIVPTNVLNDEDEENEDDEFAMLARRLHCPDANLDMNSKFQSTNTPSTSSSTIHDEISSSTASVPTTSPSIESNALSLHDPPAPVRRSPEEQVISDLLALTISSNLSASYTPVIPEPGLNQGSSPTSGHPRAISATYVAPWAQPQSQTTGSQQLTPTQAQLSYSSFAYPPPPWASQDNTELNPFVASSSSSSQHQSTSSTPIMPPNLRPLQQSHSFGVPLRSASLDSPINGNLKEPLSAGARRPSYVPSNKFFDDLDEELLGQALDLNDKLQILLEKHDSIASGSPLSADVIDVMSEVPSGMALNLGANVPPQAAVSTTIVPTNVLNDEDEENEDDEFAMLARRLHCPDANLDMNSKFQSTNTPSTSSSTIHDEISSSTASVPTTSPSIESNALSLHDPPAPVRRSPEEQVISDLLALTISSNLSASYTPVIPEPGLNQGSSPTSGHPRAISATYVAPWAQPQSQTTGSQQLTPTQAQLSYSSFAYPPPPWASQDNTELNPFVASSSSSSQHQSTSSTPIMPPNLRPLQQSHSFGVPLRSASLDSPINGNLKEPLSAGARRPSYVPSNKFFDDLFERNSDGSLKVGSTIGGGTTSPYKA >Sspon.07G0000780-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:1236598:1238884:-1 gene:Sspon.07G0000780-2B transcript:Sspon.07G0000780-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTGGTPFLLSPATGHAATPSSSAVLDVEASGAHHRPAKSTPAPDAGAAFVLESKGTVRGACHACLHILDQSISRTWWHAGFHLTTAIVGPTVLTLPYALRGMGWGLGLAALTAVFAVTFYAYYLVSRVLDHCEAAGRRHIRFRELAADVLGSGWVFYVVVSVQTAINAGVTTGSILLAADCLKIMYSDLAPHGPLRLYHFIIVVAVVLAFLSQLPSFHSLRHINLGSLILSFAYTILVSAACIRAGASSNPPAKDYSLSSSNSEKTFNAFLSISILASVFGNGILPEIQATLAPPAAGKMTKALVLCYAVVFFTFYLPAITGYWAFGNQVQSNVLQSLMPDEGPSLAPTWLLGLAVVLVLLQLLAIALVYSQVAYEIMEKNSADVAHGRFSRRNLAPRVALRTAYVAACALVAAALPFFGDIVGVVGAVGFIPLDFILPVVMYNMALAPPRRSPVYLANVAIMVVFTGVGVIGAVASVRKLALDAGKFKLFSDN >Sspon.05G0000540-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:1449406:1452019:-1 gene:Sspon.05G0000540-2D transcript:Sspon.05G0000540-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:partner of SLD five 1 [Source:Projected from Arabidopsis thaliana (AT1G80190) TAIR;Acc:AT1G80190] MTGLSAFWIEHFLLHRSGIPKFHPGFRRRMYGRRASQLLKEIDSSEAGQLAPFNSDVFDQVIRECNEHNSQFQSLIRKMVEQNLDIETTRNEDHYGAAIHHLSLLRNKRCLMAYMYNRAEVIQSFRWKVGPVLPHDIQEKLHFSEKEYFKKHSAAIKSYISGMDIDLTVDMVPPKDPYIQVRVLEDIGEVSLGDHSVSLTKNSLHFLRRTDTEQFISQGLMEEFLE >Sspon.02G0008810-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:25141624:25144583:-1 gene:Sspon.02G0008810-1A transcript:Sspon.02G0008810-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAQYRLPSLIVVIIVSLLLPRAAICCHFFAKLIEISYMDSKLPTGMKEARWHIVVQLEHGVAVTFQQAATYGAAWFAAWHDIAMVLRNAFSSSAEAPLLSRHRAYSMIMASQGSVILPSPPVKPNSSLDICRSSAKTDVPRHANATSNRAPSVVYTAQ >Sspon.02G0047800-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:12320875:12322493:1 gene:Sspon.02G0047800-2D transcript:Sspon.02G0047800-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALTHFLGAALPLLLLLCAAEAGGEVGVNYGRVANDLPDPASVVQLLKQNGITMVRLYDANPKVLTSLANTGIKVLVMLPNEELAAAASDPSYALRWARSNVAAYYPATRIHGVAVGNEVFDSRPDLNADLVVAMANVHDALAQLGLADAVKVSTPVAFSAVTDSYPPSAGRFRDDVAQSVMKPMLGFLQRTGSYLTINIYPYLAYAEHPDQISLDYALGNSNPGVRVDDDDTAGLTLDDNDDDGVTYYSLLDAQLDATYYAMDDLGFTSLKAHVGETGHPSGGRPKPGRRPPRGGRRHLMAGDDDGYPVASVANAHAYVNNVINRVLSGNTGTPHRPDADMDVYIFALFNENQKGDGPDDIEQNFGLFYPNEQKVYEFDFHHGGGGGAKASWCVANAAVGDSRLQAALDYACGHGADCSAIQPGAACYEPNTKLAHASYAFNDYYQRKGRASGTCDFAGAASVVCQEPAGACDAKSSWCVANAAVGDDRLQQALDYACGHGADCSAIQPGA >Sspon.07G0031660-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7C:25401784:25407446:-1 gene:Sspon.07G0031660-1C transcript:Sspon.07G0031660-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPLLVVQPRPALSTAGPLPRPVRFAPPSIACDPVICSHPPHDPTPPASPPTPTSVPSPHGAPSPCTPSLDGTSEVTDAGWHASRRPHLTVPPPPRLPLPALDSHRAGVPGPGGAALINRGRKRQARDGGRAGQQGHERRAPTSAAAAPPPVTTRLRRATDQWPHGGDARRTLSAAARGCNLRLLASKPKGAARQRCKPHPRGLSSVVARLCKATGNGVRQPSGGQGQRQAADMLGSWPAAPRGGDTRTDWNNIWSMNSNDPYPLVQGPWDSPWFHTLLHTGWFTYGLLAQFSVVELDTALGGPGRRKFAHDGSVDTELILVFCISRRLMAQEPGGRVPRGLPRGLLSGVQCGGRPAALILSQPDTRAWRHTESVPCRRNRPQDSFAPPLQRTTLRGLNGRLLRLSLAPSPSPGDLLLQSPSPSVGEPAVRILLAPTHRPLPFSARNRERPHTSRDSSAARPPQHTTPRQHLPPDTNTKLQLDGLDFPPAVRLPPEARVPWARI >Sspon.07G0015670-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7B:60275103:60279413:1 gene:Sspon.07G0015670-2B transcript:Sspon.07G0015670-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ASRLDSSHASSPPVAPLPRGTPSPEAPLVDGGGAPPDAGGAPPRRWRTSTASLPRRGGPSPTPALLRLAPSLQAALLTALRQLPSLAGPTSGNSPLRRQAVDGRGRGAAAVRRRALGRRPTPQAKLFPTPPNPCQTMAAADRVLHLLRGPTGSPAAAAPARAARRGLPQTRRLHFGQAPAGTWRASVSCRTSSTSSSGGEGRLTYKDAGVDVDAGNELVRRIARMTPGIGGFSGLFALGDDYLAASADGVGTKLKLAFETGIHDTVGIDLVAMCVNDIITSGARPLFFLDYYATSKLDVDVAEKVIKGIKVGCQESGCPEYKKQKKLSAFALLGGETAEMPGFYAEGEYDLSGFAVGIVEKDKVIDGNNIVKGDVLIGLPSSGVHSNGFSLVRRVLEKSGLSLSDQLPRNDGITTTVGEALMAPTVIYVKQVLEIISKGGVKGLAHITGGGFTENIPRVFPSGLGAKIFTGSWEAGNIDDAEMRRTFNMGIGMVLVVGRESADRIIEDTRGANPAYRIGEVILGEGVQYV >Sspon.02G0015130-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:35690259:35694440:1 gene:Sspon.02G0015130-3D transcript:Sspon.02G0015130-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLTLPPAPPNPRQDAIDLHKAFKGPEVDPTIVTHDAKDLYKAGEKRLGTDEKTFIRVFTERSWAHLASVSSAYHHMYDRKLEKVIKSETSGNFEFALLTILRCAENPAKYFAKLLRKAMKGLGTDDKTLIRVVVTRTEIDMQYIKAEYFKKYKKPLAEAINSETSGSYRTFLLSLVGHGH >Sspon.04G0007090-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4C:19787116:19789373:1 gene:Sspon.04G0007090-2C transcript:Sspon.04G0007090-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLHSFSPLYAKSNLRTWIRSPDNRRAPCRVPATGRGGFSGGGRVGWIYDSSSTTQGPGSPCAGVALPPGRCRTPISLSCLPSGPSQHSRAPAAYRQGARTLTAAGHSSRERACSSASRSSFNLVGPPSGPRSFSFSFSALVLLGPRSSRAEEGVARRSHREMWLGKAARRRSGAGGPLAGAGEGDHCVSRHGFSKPLRLRRRRSREYGRDGAESRGLLTRFQGDGGYAVGVSCSLMLCDPLSLARFLLSWARTHAAMKAQNKAAAIPMMQYAGYFQRPNTMTRRIKSVPLDAFAADAAVGTETVLFRASGAARDHRALARACVDEASDRLGAAANVTRLSLLVVARDGVGDNPRGMSVETCTADSLPVSGSSGNKPEAAQWQDLGLEEFALRESKPVHVSCGIVTGGDEEGLVVVMPDGKGFLVTVTVP >Sspon.08G0006110-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:19255427:19258003:-1 gene:Sspon.08G0006110-2C transcript:Sspon.08G0006110-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFGGSGGIASSPGTGGDDKFARRRSRRVSFADTTAVHVFDRDEDFETPPEEREPGSTSPSPSPRRSSVGREDEDDTEEDFHRPPVIFLQDVDSSSPGSAAGSMASADDENFFGPVSASFIQTGRPSDSGMSEDDNHDITMDSRTFSLHFRNIAPPDDCTANSAASLMTPNTASEGPLKELTASNPTTTSINGRDMLTDMSLLSGNPRTYDYDKLSPTLSSMMKKIKRNQQANSPKVGVSDVTPDLSTSEKESREENLCIDNGISSDKLGMVNTIVEHTSMRNPVSTSTDLIQEDNEMIIDGHENSQNCNHDVDPGANSTAEPPAKIPQHIIIHE >Sspon.05G0010830-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:30612879:30630528:-1 gene:Sspon.05G0010830-1A transcript:Sspon.05G0010830-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMDADGGPGIDALPGDIFVEVLRRLRAHSLGRCRCVCTLWRAVVDDRGLLLPHALPPRSFPGFFANVVHAATKPWRPHPHPGFLPPPASRAPTVDRLAFLRPHLPCSGADAAVQDQCNGLVLCFQDYLVGRPVAGFVCNPTTERWARLPPPPTWWPRGHEGMFLAFDPAVSLEIVRETATAGPGESAASARVLVGTRAVDEATAGAGPVRPGLPLRQGNAAAQAERGGVPVGADVAVGLVLPRLALRALREAHPGGAPLLGGDVRHGQAPGDEVENVSSGLPVDSIFASTEDGVLLRYASVDVFHVKVWALQESVDGGGQLEWTLTHDKDLAAHARMLDLLRHAPSNRVPLATGEGRGGGQGKSVWFSDEDAEEVGSGDVGDGCSASGRWNWDDASLLDIEIGEDEVLDVVAGAPTPFSILGCHPSEEVIFLVAGAFHVVAYRLGSGKVEYLGRIVSLGDGDRVEAAIPYRPCTVDALPSYTCLGRTSYAAASASSRKAARRALHAAAPAPGHMQSQRGSAPLGLAAPAAQHIGCMLGEGLRPGALELAAAIRSAAALPGGVALARCLHGLAVKAGRVASSATVAKAVMDAYGRSGSLADALLVFDEMARPDAVCWNILITACSRRGLFEDAFVLFRSMLSCGVVGQSLPTAVTVAVIVPACAKWRRLQTGRSVHGYVVKTGLESDTLCGNALVSMYAKCGGSRAMDDAHRAFSSIRCKNVVSWNSVIAGYIENQLFQEALALFRQMISQGSLPNYSTVASILPVCSFTEFGRYHGKEVHSFVVRHGLEMDVSVSNALMTHYSKVLEMKAVESIFARIAPDSVSFISLLTACAQVGDVKAGMGVHGYIFQRPVLQETSLMNALVTFYSHCDRFDDAFRVFTDILNKDSISWNAILSACANSEQHIEKFFVLMSEMCHGVNQWDSVTVLNVIHVSTFCGIKMVREAHGWSLRVGYTGETSVANAILDAYVKCGYSHDASILFRNHAARNIVTDNIMISCYLKNNCIEDAEVIFNHMAEKDLTSCNLMIQLYAQNDMDGQAFSLFNHLQSEGFKPDIVSIANILEACIHLCSVQLVRQCHAYMLRASLEDIHLEVALVDAYSKCGNITNAYNIFEVSSKDLVTFTAMIGCYAMHGMAEKAVELFSKMIKLNIRPDHVVLTTLLSACSHAGLVDAGIKIFKSIREIHRVVPTAEHYACLSPSLTLVLKYLYYNHCMGYILPFPVILPFLLMHIYTAINLKDSGNPLPDSSSRFQDWIGEGYRKSRSICFFKLTIDCWIQFKEEAKQQVFVYPWSLWRPAVEMHRQKIANSGWAAFDRKWRSADGSGDEGDADSFPALSSFGAPNLASSSIAEKNGLKPKPFASVVRPSVGAVSNGRGNENSANHVDNGNHGAISASVNKVKLLKDAHGWADSNLIEDVLAAVNSDVSQASDLLKAMVSPDLQTGEGRTSGQLAAVMNKTHGLPSESAAAGKANPDSSQLLLPPMNFPSIPLQPEFEEIDDDYLNYRKDALKMMRAATKHSQSASNAFLRGDHAAAKELSLRAQEERAAAEKLNNKAAEEIFRLRNNNNDIWKIDMHGLHASEAVAVLERHLHMIEFQQPGNKSASTEDLAKLESAYSESTTGSNIELTAEKVILRRPKQSILHVITVGTSTFKSWLPKLGATIIGAKPREVSISVASSIMDIPAADWDACACDPDDPENFNPFLTYAFLSSLEESGSAVQETGWLPFHVVARDENGHIIGVVPLYLNSHSRGEFVFDQSWAEAYYNYGLEYYPKLQSCVPFTPVTGQRILLRNISYRDQVFDALVTDERVIITYYFSVSSFDEFLMDLKQPKRKNIRQERKQIPAQNLQMKRLRGDEIQSSHWDAFYKFYRNTTDNRPYLTRDFFHLLGEKMGENVMLIVAEKDDKVVAGALNLIGGDTLFGRLWGCLPDAYFPNLHFEACYYQAIEAAIELNLSKVEAGAQGEHKIQRGYLPVTTYSCHYFSNPGFAAAIGNFLTHETAQVKRAIKVLHDSGPYKED >Sspon.05G0013760-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:48889445:48892187:-1 gene:Sspon.05G0013760-1A transcript:Sspon.05G0013760-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding QLAGQLSPSLANLTSITRLDLGSNSLEGPIPKELGTLSKLQDLILANNSLSGIIPASLFNDSSQLVVINLQRNFLNGPIPDFHTMATLQILNLAKNNLSGSIPPSLGNVSSLTEIHLDLNMLDGPVPESLSQIRNLTVLTLGHNQFGHFPAELYNITSLRILDLGNNDLSGYYIPASLGNLLPNLEKLIMSGDNITGLIPPSLANASKLQEIDLSYNALAGPVPLLGSLPHLRVLNLGSNSLKSDNWAFITSLTNCSNLTMLIMDDNRLDGSLPISVGNLSSNLQRLDLGNNQISGKLPEQIGNLPQLQLLAMDQNSISGEIPLSIWNLSVLVVLRLSHNRLSGQIAPAVGNLLQLTQLSIDSNSLSGNIPASLGQCQRLTILKLSFNNLDGYIPVGLANITTLFSLDLSKNHLIGSIPQSIGLLEQLVLLNISHNNLSGQIPPSLGKCLSIQQIDLSQNNLTGQIPDFFNNFNALELLDLSYNNFGGPIPTGGVFQNTTTVILNGNIGLCVNATTSASVFPVCPGIAAGGIKKNAHFLLIVIPSITIALFLCLCLCIIVALLKRRAHIEAAPCYKQTMKKLSYFDILKATNWFSPVNKISSSGTSSVYIGRFEFDTDFIAIKVFHLDEHGSLNSFLMECEVLRNTHHRNLMKAVTLCSTVDMENKEFKAIVFDFMANGSLDMWLHPKLHKNSPKRVLSLGQRIRIAMDVVSALDYMHNQLTPPLVHCDLKPANVLLDYDITARVGDFGSAKFLSSSLGSPEGFVGVEGTIGYIAPEYGIEYKISTGCDVYSFGVLLLEMLTGKRPTDIMFSDGMSLHKLVSSAYPNGLNEVLDPYMFQEGDLVFATLTLQCYLVPLVEVALLCSMELPKDRPGIRDICSKILEISEAFLK >Sspon.04G0000620-1P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4D:22262310:22264546:-1 gene:Sspon.04G0000620-1P transcript:Sspon.04G0000620-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTKVTEWMVTEKSYIGKQLMTRGVTSPTKAEPPSKNCRWFAVVTGGNRGIGLEICRQLASNGVTVVLTARDEKRGAEAVSTLGLSNVVFHQLEVSDPSSAARLVDFIKQKFGKLDILVNNAAITGTTSNVGDLETFRQELAGMDDLMERIKTINNNITEPYEEAEKCLRTNYHGIKAVTKALLPLLQSSSHGRIVNMSSYYGLLRFFSGDELKEELNNIESLSEQRLDELSVLFLKDYKDGQLEARGWPKEGGFIAYKASKALANAYSRILAKEHPSLCINCVHPGYVETDMNFQVGHLTVEEGARGALMMAMAPKGGVTGAFLNLTEVAPFV >Sspon.08G0011540-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:46227222:46254118:-1 gene:Sspon.08G0011540-2B transcript:Sspon.08G0011540-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:kinesin like protein for actin based chloroplast movement 2 [Source:Projected from Arabidopsis thaliana (AT5G65460) TAIR;Acc:AT5G65460] MAGSRGSWSWDVSGFEPPQPATTTAAASAPTAMPRAPPTAMVLRPSAGAGAVPVADRLDQLADSVQLAREDCLELRQEASDLLEYSNAKLGRVTRYLGFLADRTRKLDQAALETEARITPLIHEKKRLFNDLLTLKGNVKVFCRSRPLFEDEGPSVVEFPDDFSIRVNTGDESLTNPKKDYEFDRVYGPHIGQGELFLDVQPFVQSALDGKDLVTIVVRDLLSESSSTVPKVRMGVQESFVELVQEKVENPLEFSAALKMALQNRSVNSPKAMDHYHSHTLQKLCNRRASIHFSARARNAELSLGNRDTIKKWKDVANDSRKELHDKEKEVLDLRQEVIGLKHSLKEANDQCTLLFNEVQKAWRVSSTLQADLKSENLMLAKKHKIEKEQNNQLRDQISHLLKVEQEQKLKMQEQDLTIQSLQTKLKSIESQLNEALNASDARSTIGSESASVISSPKITESTADSSSVTKRLEEELAKRDALIEKLHEENEKLFDRLTEKSGLGSAPQVAPKVSSPSANKPANGQGREIGRSDSSKSRSPDVFASPVSQDKTGNSGAIVKSSNELAKTTPAGEYLTSALMDFDPDQFEGFAAIADGANKLLMLVLAAVIKAGAAREHEILAEIRDAVFSFIRKMEPRKVMDTMLVSRVRILYIRSLLAKSPELQSIKVSPVECFLEKSNTGRSRSSSRGSSPGRSPVYHHGHGSRTALVDEHVHGFKVNIKQEKKSKFSSIVLKLRGIEEETWRQHVTGGKLREITEEAKAFSIGNKALAALFVHTPAGELQRQIRAWLAENFEFLSVTGVDAAGGAAGQLELLSTAIMDGWMAGLGTAQPPTTDALGQLLSEYTKRVYTSQLQHLKDIAGTLATEEADDAAHVSKLRSALESVDHKRRKIMQQLRTDTALLTKEEGGSPIRNPPTAAEDARLASLISLDNILKQVKEVMRQSSTRPMRKSKRKALLESLDDLLTQMPSLLDIDHPCAQKQIMEARKVVESLEEDPDDPAPQSNALGDSEVSQWNVLQFNTGTTAPFIIKCGANSSSELVIKADLRVQEPKGGEVIRVVPRPTVLADLSFEEIKGVFEQLPEAVSLLALARTADGTRARYSRLYRTLASKVTALKEIVAEMERGGVFKDVRSS >Sspon.06G0023950-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:49245200:49246400:1 gene:Sspon.06G0023950-1B transcript:Sspon.06G0023950-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDELISDYESGALHPADVKPALAKAINEILQPVRDHFNKNNEAKVLLNTVKKYRVTN >Sspon.07G0013170-4D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7D:47042323:47043498:-1 gene:Sspon.07G0013170-4D transcript:Sspon.07G0013170-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLDKHGLQVFSYCCTSLTDLTLSFCTNVDDSGLHLLVCFKKLMSLRLNTLPAITSSALLEVAIGCKNLSSLHLIGCNKVGGTIWLEYLGRFRSLNELVVNRCEMISQFDLLKFGPGWMKLQKFEFQIKGCPNIFDPRDPSCLEHCQYRYDFSCESLEDLTLARVSTEKEIGLRCLLRKCKALKNLCLYYVLGVQDNDIVTLSNNCSNLTSISLRLTPEFNGGHVLRTSLTDDSLKALALRCRKLQSFELIFWGCDENWPEIGFTQEGLVMLIQSCPIRNLVLSGAHIFDDEGMKAISSAQFLESLELIYCINVTNAGMRLLAHCPCLINLTLRQCDRFSDAGVTEVARARKLETLVIEGCSRVSPEAVQGAATSVHYTKDYPGLFNLGRA >Sspon.05G0024480-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:15578421:15579665:-1 gene:Sspon.05G0024480-1B transcript:Sspon.05G0024480-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MIAAAEKSPEREEESNPRAEAFLEIICRVPAGEVEAALSACGIGPTAEVAELVLKSRECYKRPKSAVRFFRWAGHSVSHTAYAWNRLVDILGKAAMFEPMWDAIRSMHQEGGGGLVSVATFASVFASYCASGNLKDAIAALDVMDRYGLKPDAVALNSLLSAICRVEGRAQAAQDVFERTKATIPPDADTFAILLEACEKEGNTVRAKSVFGEMVVRVGWDAANVAAYDSFLSTLVRGGLFDEAFKFLQVMRSKGCLPGISFFATAVDLVVRKRDYPNAVAIWNMMVSEAGLVPNFSMYNAMIGLYCNAGSMDYALGMLDEMPLNGVFANSVTYNTILEGFTKHRKARDAESFLKEMSKNEQLPTASNCAAAISLFFKEFNPSAAIDVWHCIVEHNITPAEDSAKELIAGLLDFG >Sspon.08G0022480-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:50938233:50940591:-1 gene:Sspon.08G0022480-2C transcript:Sspon.08G0022480-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:20 kDa chaperonin, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G20720) UniProtKB/Swiss-Prot;Acc:O65282] MCWYYQLCVCQTGAQVVYSKYAGTEVEFNDSKHLILKEDDVIGILETDDVKDMKPLNDRVLIKVAEAEDKTPGGLILTETTKEKPSIGMVVAVGPGPLDEEGKRQPLSVPVGSTLHYKAPISNTEIDLLDRSFTRAAEGMQKSKIKQQFAAQIPGWEKASKYKMDWTIGDK >Sspon.03G0012180-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:43847107:43852784:1 gene:Sspon.03G0012180-2B transcript:Sspon.03G0012180-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTNLGVAPCCCFGLTQLQPAAVRLRLPPARATDTSASQSPARLRAVLEQVDQALSKGNDEAALSLVRSSQGGDGGLRAFGAARQVPQRLYTLDELKLNGIDTSAFLSPVDLTLGSIERNLQIAAVLGGLSVSAALELSQLQILFLILGLLSLWSVDFVYFGGGVRNLVLDTIGHYLSQKYHNRVIQHEAGHFLIAYLLGVLPKGYTITSLDTLINQGSLNVQAGTAFVDYEFLGEINTGKLSATMVNKFSCIALAGVATEYLLYGLAEGGLADINKLDGLLKSLGFTQKKADSQVRWAVLNIVLILRRHEKARSQLAEAMSTGKSVGSCIEVIEECISTDDI >Sspon.04G0004070-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:13069950:13070348:-1 gene:Sspon.04G0004070-2D transcript:Sspon.04G0004070-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALEWVVLGYAAGAEAIMLLLLTLPGLDGLRRGMISVVRSALKPMMSVVPFCLFLLMDIYWKYETRPTCDDEHACTPSEHLRHQKSIMKSQRNALLIAAALLLYWILFSVTQLVVRLEQMQQRVDKLKKRDD >Sspon.05G0011240-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:34258157:34263261:-1 gene:Sspon.05G0011240-4D transcript:Sspon.05G0011240-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MWTMDMRLQFGTLLADIGLIDLPKDSLRPKEGSRKNNLESWFSNMSLPFNTYARCTSVIKSIMCAGLYPNVAASLEGVDPGALGGRKPSDVLFSKDRPRWYDGRREVHIHPSSVNHSLRAVQYPFLVFLEKVETTKVFLRDTSVVSPYSLLLFGGSMVIQHQTGVVVIDGWLRLSAAAQTAVLFKQLRITLDAVLKELTRKPEMATFVDNEVIRSSNYIVDKGGTVAESTEGLWEHIKDPDLSCIRPGFGSISVLAVVHVPESAQHSYCTMWLIANVEAAKRKRRFAIY >Sspon.03G0001000-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:2749189:2751608:1 gene:Sspon.03G0001000-1A transcript:Sspon.03G0001000-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNSYLQYRFLNARAVAAGKAKAAAAEKSLYGLEERIASLRVSVAEKRAEMERMKREHTLSSVVNAQANCEELTEVLKSAAQLLEPLSPCIENFLPKVEQIDDVASNLAQVIATERTLIEECGNLLYQAQNLQHISLSMAGICSNFATKYPTDAMTNSNCIDEGVQLEEPSDATETK >Sspon.03G0000280-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:7425997:7429525:1 gene:Sspon.03G0000280-2B transcript:Sspon.03G0000280-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVLFETPAGFALFKVLDEGKLDKVEDLWKEFTTSDSARKVVELKAFNKFENTSDALSAATLIIDSKPSKGLRKFLQKHCEGETLAVADSKLGNAIKEKLKIDCLHNSAVMELMRGLRNQLTELITGLGAQDLGPMSLGLSHSLSRYKLKFSPEKVDTMIIQAIGLLDDLDKELNTYAMRVREWYGWHFPELTKIVADNIQYAKVVKMMGNRANAVNLDFSEILSDEELETQLKEAAVISMGTEISDLDLLNIRELCDQVLALSEYRAQLYEYLRSRMNTIAPNLTALVGELVGARLIAHGGSLLNLAKQPGSTIQILGAEKALFRALKTKHSTPKYGLIYHASLIGRASQKHKGKISRSLAAKTALAIRYDALGDGEDNSIGTESRLKLETRLQVLERKELGKSAGSTEGKPKIEVYEKDRKKGAGALTTPAKTYNPAADLVLGQSTEETPKKSELASKKRKHHEVETTPSAEPEEEAIQEDGDQEDRKKKKKKKKSKETEETPAVEADGEKKKKKKSKEGEEPAVAASEGEKKKKKKKTSDVDGKDVAMQTEASGKKDKKKKKRHADDE >Sspon.02G0004260-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:13074916:13077300:1 gene:Sspon.02G0004260-1A transcript:Sspon.02G0004260-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETLALALPLRAPAPPPRPTIPNPFITHRQPHTPCSSFPPRLFSPTSLLSTSRPTPTSSSRKPRLGRSLDTFRGEQPWHLPPSLSLPARRALLALLADPAEQASPRDVLSALPETDLPAVVNALASRGGHPGAALAAVRAARDLHGDRALHHPRVLPTAIRVFARAGCLAEASALLEAAPAPDASAYTALVSAFSRGGRFRDAVAVFRRMVDGGVQPALVTYNVVLHVYAKMAVPWKEVVALVDSMKEGGIELDRYTYNTIISCCRRRGLYREAAQVFDEMKAAGFEPDKVTFNSLLDVYGKARRHEEAIGVLKKMENVGCAPSVVTYNSLISSYVKDGLLEEALELKQEMEFRGMKPDVVTYTTLISGLDRIGKIDAALATYREMVRNGCSPNLCTYNALIKMHGVRGKFTEMMAVFDDLRSVGYVPDVVTWNTLLSVFGQNGLDSEVSGVFKEMKKAGYIPERDTYVSLISSYSRCGLFDQAMEIYKRMIEAGIYPDISTYNAVLSALARGGRWEQAEKLFAEMEDRDCKPDELSYSSLLHAYANAKKLDKMKALSEGIYAERIEPHNWLVKTLVLVNNKVNNLSETEKAFQELRRRRCSLDINVLNAMVSIYGKNKMVKKVEEVLSLMKENSINLSAATYNSLMHMYSRLGDCEKCEAILTEIKSSGMHPDRYSYNTVIYAYGRKGQMKEASRLFSEMKCAGVKPDIVTYNIFIKSYVANLMFEEAIDLVRYLVAQGCKPNERTFNSILQGYCRHGRMVEAKSFLTNLPKIYPGISKEEKHRLLELLAKHT >Sspon.02G0041120-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:68403698:68411692:1 gene:Sspon.02G0041120-2D transcript:Sspon.02G0041120-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor TT8 [Source:Projected from Arabidopsis thaliana (AT4G09820) UniProtKB/Swiss-Prot;Acc:Q9FT81] MAAGGEAVQKALQSVAQSTGWTYSLLWRLYPRQGALVWAEGHYNGAIRTRKTTVHQPGGEGAGEEGEETATAAGAGRAALRRSRQLKELYDSLAGEAAADGGGGAGGGRGGAQQQQQVVVPAPRRPTAALAPEDLTETEWFYLMCASYCFPPAVGLPGEAFVRRAHVWLCGANKVDSKVFSRAILARTVACIPVDDGVLEIGTTEKVEEDICLIQYARSIFMDQIGAHIMPTLSGHSTSTAPTTHINHQPFQTKMGNCIGDINVQKNSLNSGDEHNNEMEDGDDRIDLLEINTGNDSSRHSPQDTNVGVGNEQGTLNAGTSELMLIGTSERVRDGCSKQEDEEIPVFMVCQNANLAGQGEFGPWHDFLDDDLSSKYLQPSAAEDQAVLAENAHYVETVLAILRFNACRQTQAASNTKAYLALSKKSSFSRWTSWNHKASNDLQSMLIPEDTPQRMLKSILLGAPGSSHRSYRGEAVQSPEPRDDGEGTSRSRRAPVPVPVQPAELSASHVLKERRRREKLNERFVMLRSLVPFVTKMDRASILGDTIEYVKQLRRRIQELESRARLVGSNPKMIAQPPPAASTETKRGHHTSGGYLARAGTGTAAEASGSCCDTNSSIGEPPAAAAGDTEVQVSIIGSDALLELRCPHREGLLLRVMQALHQELRLEVTSVQASSAGDVLLAELRAKVKEVHGRRSSITEVKRAIHLI >Sspon.03G0022600-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:68913766:68915455:1 gene:Sspon.03G0022600-1A transcript:Sspon.03G0022600-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSGAPGPDDHDHGYGRLASSLTARYSDWVLEALDELPGSFLLTDPALPGHPIVYASGGLAALTGYAPRDVLGRNARLFQGAATDRAAVAGVREAVRAHRAHQAALLNYRRDGAPHWVLLHLAPVFHARDGTLLHFLAVQVPIAPAREAPCHEPGPLLAACRDEARVREDLPCAIHAGKVFVDMDKRGLEAEEPRVASDREKEMATSTANNIVSALNRYSKLTGLVVSSKRCGSIGIPALSSSLNLSLGRIKQSFVLTDSRLPDMPIIYASDAFTSLT >Sspon.02G0013940-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:34773577:34776384:1 gene:Sspon.02G0013940-2B transcript:Sspon.02G0013940-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARLLLAVAVALLLRPGDASVHEYRGLSFANKGNAFILHAGSEGLYAASHANTTAEDDEDAAALPDAFIRFDKITFRRSEDTNDSVKGTSSAKVQIEDREMIGGSHLAKLGACTEGSVIYRPSQVNPGWPKLFVASFDGSDLIATLPSRTIPITKTGMYNMYFIHCDPSLASLEIEGKTIWKNPTGYLPGRMAPLKNFFGLMSFAFVVLGIYWFYQYMKFWREVLPLQNCITLVITLGMFEMALWYFEYAEFNETGVRPKGITFWAVTFGTVKRTAAEVIVLIVSMGYGVITPTLGGLTSKVVMLGGTFFLATEILELVENLGAVNDLSGKARLFLVYPVAILDAAFVIWIFISLAKTIGKLQARRLMAKLDIYRKFATALIVSVLVSVGWIGYEIYSKSTDVFNERWQHAWIIPAFWHVLSFLLLCVICYLWAPSQNSMRYCPNIFVTFSWTMFLVKNGKKSYSSLAFLFRFSYDASEFFDRKDNLSLIRPAPSASKNGWSLSSTSVPKATKDVNTVTDFEGDDEENKR >Sspon.07G0004640-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7A:12022352:12025921:1 gene:Sspon.07G0004640-1A transcript:Sspon.07G0004640-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGERVIVEGSVQHNPLNEGSILWVTESRTPLGIVDELFGPVKNPYYLVRYNSEEEVPAGISAGTNVSFVAEFADHILNMKELYAKGYDESDPVDNDEEEDLPEFSDDEKEAEYKRSLRQAKRQTDRQHEPMKTSRDRKRSQSRGSGIRKPMPPRNLDTSTPGHQPRPHFHRSDMAPAGAENTTRSLGPQNAPMFAPTMLPRGPMNPAVPSPVPLANQMGGCFMNPAQQQFLPQQPNMVWPGGFPQPPHPNMGVDGAALAANIMQNLLAGANQFQQQFQNPNFSGAGANQFQPQFQNQNFSGAGANQFQQPFQNQNFGGFPNQMPMPFPQFMPQTGMPANPMPFGGRPPVNPPFGPAPQVPMGQGNFGQPPNSQGFTNLAPPRGDGEQDSPPQFSSRQFHQGSPSFGRGRTQQRGGGRHSSGRGGRGGRHRR >Sspon.05G0024700-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:14997950:15003799:1 gene:Sspon.05G0024700-2C transcript:Sspon.05G0024700-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHRALLLGVALAFLLVSGSQGLNHEGRLLLALKSQMNDTLHHLDNWDPRDLTPCAWRGVNCSLAPIPAVVSLDLSNLNLSGTVAPSIGGLSELTLLDLSFNGFYGSIPPEIGNLSKLEVLNLQNNSFGDIIPPELGKLDKLVTFNLCNNKLHGPIPDEIGNMASLQELVGYSNNLTGSLPHSLGKLKNLKNIRLGQNLISGNIPVEIGECLNITVFGLAQNKLEGPLPKEIGRLTLMTDLILWGNQLSGVIPPEIGNCTSLSTIALYDNNLVGPIPATIVKITNLQKLYLYRNSLNGTIPSDIGNLSLAKEIDFSENFLTGEIPKELGNIPGLNLLYLFQNQLTGPIPTELCGLKNLSKLDLSINSLTGTIPAEFQYMRNLIQLQLFSNLLSGNIPPRFGIYSRLWVVDFSNNSITGQIPKDLCRQSNLILLNLGSNMLTGNIPRGITNCKTLVQLRLSDNSLTGSFPTDLCNLVNLTTVELGRNKFSGPIPPQIGSCKSLQRLDLTNNYFTSELPREIGNLSKLVVFNISSNRLGGNIPLEIFNCTVLQRLDLSQNSFEGSLPNEVGRLPQLELLSFADNRLTGQIPSILGKLSHLTALQIGGNQLSGEIPKELGLMSSLQIALNLSYNNLSGNIPSELGNLALLESLFLNNNKLTGEIPTTFANLSSLLELNVSYNYLSGALPPIPLFDNMSVTCFIGNKGLCGGQLGRCGPQSSSSSQSSNSVSPPLGKIIAIVAAVIGGISLILIAIIVHHIRKPMETVAPLQDKQLFPAGSNMHVSAKDAYTFQELLTATNNFDESCVIGRGACGTVYRAILKAGQTIAVKKLASNREGSNTDNSFRAEILTLGKIRHRNIVKLYGFIYHRGSNLLLYEYMSRGSLGELLHGQSSSSLDWETRFMIALGAAEGLSYLHHDCKPHIIHRDIKSNNILLDENYEAHVGDFGLAKVIDMPYSKSMSAIAGSYGYIAPEYAYTMKVTEKCDIYSYGVVLLELLTGRAPVQPLELGGDLVTWVKNYIRDNSLGPGVLDQNLDLQDQSVVDHMIEVLKIALVCTSLSPYERPPMRHVVVMLSESKDRTRVSSASSPASDDSSKKDNS >Sspon.03G0029840-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:18479897:18483131:1 gene:Sspon.03G0029840-1B transcript:Sspon.03G0029840-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GRWRAESPSAVETEDRIRLASGNRFALTTPWVHFHLGALYIRAAGLRHPHPSQGVVRPEFHPNQEAELCRAPTPHVQRSSTHHLRRRQRQRHGQQLAVLPGLHGPLRRPGRGPHRAPQRARRRVQLRRGRGHRARREPQPHAHHGVVPVRRARVRLQEARHRVARRRAQARPHLLPHPVRDAAGRPEEQEAEQQQQQQAAEPEPPPPPQRQEERGRHGGAGQLPEGAAVGEDRVERRPPQAAERRPRRRVEATARVHRGGGLGLDR >Sspon.03G0043480-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3C:81419768:81421225:-1 gene:Sspon.03G0043480-1C transcript:Sspon.03G0043480-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPVLILWPCQGETLELQDLHLHGRCFTWSNERDTPTLVRLDRVLVSVDWDERFPNSHLRALGTDASDHCALLLQTNLGQITKARFHFELFWPKFDDFESVVANAWRRLTMPQDPLLCLDGMLWLMVWSSEVVVDGEVKIKEDVSCRRIGSGEGRTASVHETDRVDRVKTEDVQVERGSENLACRPVEDGSDTCRAAGDTYGVATREWVWWFGPQNHRWRVYGFGPQNPSEGSEEERMARGDIGEFASRRSY >Sspon.02G0020720-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2A:67445527:67445729:-1 gene:Sspon.02G0020720-1A transcript:Sspon.02G0020720-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRNTKYRGAAAHPPPLLACATACSCRAISRRPLLHRRTKQHCSVSPAFAIQCCPLGPTGQCRAPKG >Sspon.07G0013620-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:54692440:54700301:1 gene:Sspon.07G0013620-2B transcript:Sspon.07G0013620-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTTTTLAFVVRRREPVLVGPAAPTPRETKRLSDIDDQETLRGHVPLLFVYRGGGGGCARAADGRDPAAAIRRALGEALVPYYPLAGRLREVEGRKLLEAASSGGLRPPFPCMDQLLFDVDGSGGVLNCPLLLIQVTRLLCGGFVLALRLNHTICDAIGIAQFMSATAELARGLPAPTVAPAWSRELLEARSPPRPSFPHREFDAAPPAPPPPPPGDMITRTFTFGPSDISAIKRGLPPHLRDTATTFEALTAALWRARTAALEVPADEEVRAVSIVGFRGVPELALPAGYYGNACVPVAALTTAGALLAGSLGDAVELVRETKAAVTAEYVRSTVDLLVLRGRPCVALGNLFLVSDNRHAGFHRVDFGWGEPVYGSVVTTVFGSSFLVAVSGGGDGEEAVAVPIVLPRPAMDRFASELEITLTCPI >Sspon.02G0038680-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:43786354:43794393:1 gene:Sspon.02G0038680-1B transcript:Sspon.02G0038680-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RVLRRIAVRLGVSSWDFTAGAGPCDHGDSGVHCDCTFSNGTACHVTEIFLKGQNFSGELPPDFADLPNLLQLDLSRSLFHGGVPDQWARMKLQGLSLMGNSLSGPFPMVLTRITTLTNLSIESNEFHGPMPPEIGHLIRIEKLILSTNEFTGPLPTAISLFSNITDLRISSTNFSGRMPDFWGKLKRLEKLQIEGSLLEGPIPSSLSELTNLSDLRISDLRGSGSSFPDLSRMTSMNKLVLRNCSISGSIPPYIGTWTTLKHLDLSFNKLSGEIPPSLLAWELYLTGNSLTGNIPGWLLRRNKIANLVESYSAEANNHSSLHINCGDKEATINGTKYEADTTPKGASLLYVSPGLNWAFSSTGNFMDDNINDDDYIATSASTLVVPNSYLYTKARLSPLSLTYYGLCMLSGSYTVNLHFAEIVFTNDSTYYSLGKRRFNVFIQGRMVLEDFDIEQSAGAAAKPVIKTFQTHVTNHTLEIQFYWAGRGTTGIPYRGSYGPLISAISVTPNFLIPLAVEPPKAGSSKKRSSRASIALIIGIPIVAIFAALIVSIYCIIKKQRKSSMHKELRALDLQIGSFTLRQIKAATRNFDAANKIGEGGFGSVYKGLLSDGTIIAVKQLSSRSKQGNREFVNEIGMISALQHPNLVKLYGCCTEGNQLSLVYEYMENNCLARALFGKNTLHNSDYVYGIGYMAPEYAMRGYLTDKADVYSFGVVVLEIVSGKSNTNYRPKEDFVYLLDWACVLHERGTLLELVDPDLGSNYSTEEALLMLNVALLCTTAAPTLRPKMSKVVSLLEGSTPLQPLLSDLRLAANSLGSSGVRRNFWQNPSESQSLTGQASCSDTNESSTIDIDGILRPLMSSSANVLEHNL >Sspon.08G0029710-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:47108067:47110782:-1 gene:Sspon.08G0029710-1D transcript:Sspon.08G0029710-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGALFPTLFHALMRAPTAPNAISIEERGMGRWRRRNRGEAAESEEGDRWPPLLTAWPKRGEGRIMVWCGEGRRRRCSRQARTCSLEAEAEQLQSVGRLAAYLAERIPPLHRARRCRRDGRRRGGWRWRRARAAAWWIGTVRWPHDRVGRARSGDDENRLVHCVYSSSFARTEDAMPSQLPNPREAGTRRSNQIRAPGLPGRRGALLVTRHPAPGFNGRSAARFLVAIILPSPHEQHLHHPRQAVKLPVRPRGGECARIIDRSMALEAETTAAGGGDGELSAGTTVPVLLATEKDRPVDEVIWGDEKRMKLELVAWAKAVASMVSGKNTASSPSSPSSVACHVIGNSRLSDRAHIRPRSGEPRLFCKKTLQL >Sspon.01G0026250-3D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:91761070:91766713:-1 gene:Sspon.01G0026250-3D transcript:Sspon.01G0026250-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MERANVLPIYLSFAPYVGSPCKRNRQKKSPPELWKVRARCAPRLAGAASIAAAPAASSSSSSPLRPPPPRRRSGRLLVVAPAASVTSSSSPSHPLHSGRPGRTRSGLSLAPLVVNVNPNVNVVLTACLTVYVGCYRSVKPTPPSETMSKEHAMRFPLVGSAMLLSLFLLFKFLSKDLVNAVLTVYFFILGIVALSATLLPSIKRFLPKEWNDNLIVWRAPLIRWAPFIHSLSVEFTKSQIVASIPGFFFCLWYASKKHWLANNVLGLAFCIQGIEMLSLGSFKTGAILLGGLFVYDIFWVFFTPVMVSVAKSFDAPIKLLFPTADAARPFSMLGLGDIVIPGIFVALALRFDVSRGIKNRYFNSAFLGYAVGMMVTIIVMNWFQAAQPALLYLVPGVIGFVAVPSLWYGEVKQLLEFDESKVEAEEGGAEEEQDDDSSKGNKKVD >Sspon.02G0014300-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:35900970:35906770:1 gene:Sspon.02G0014300-2B transcript:Sspon.02G0014300-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMPSRIIFLLVLISNITATQNATETEPGTVNVGVILNLQSLVGKLAHMSILMALEDFYSVHSSYRTKDSNDEDIQAASAAVDLLENYNVQAIVGPQKSSEAIFVSDIGNKSHVPVISFTATSPTLSSGNVPYFLRATLSDADQVNCIASLIKAYGWKEVVPIYEDTDYGRGIIPYLADALQEFGGFIPYRSPISESANSDQVEQELYKLMTMQTRVYIVHMSSAIASILFMKAKELGMMSDTYAWILTDGIANIASSLSPSILEAMNGALGVKFYVPKSKELDNFTARWNKRFKQDNPNVAVAPSQLSIFGLWSYDTIWALAQAAENVGMGNAVFQKQWHRKNITCFGGLGISTIGRNLLDAILHTKFRGLSGDFDLKNRQLQPSTFQIINVVGGAPQEIGFWTAKHGIIRQLDQNKLETTNVNSMPDLNQVIWPGESYAVPKGWQIPTDGKKLRVGVRTSGYPEFMKVERDPTTNATTATGYAIDVFEEVLKRLPYAIPYEYVSFGTADSGNYNDFVYQVHLGIYDAAIGDITIRYNRTSYTDFTLPYTESGVAMIVPVKDNKNKNIWVFTKPLTTDLWFGSIAFFIYTGIVIWLLERRINNAELTGSFFRQLGIAIYFSFFADRERVDSILSRLVVIVWVFVLLVITSSYTANLSSILTVQQLQPTVTDVHELLRKGEYVGYHNGSYVVDLLEELGFDRRKIRAYNTPDDFADALSRGSKNGGIAALIHEVPYIKIFLAENCKGYTMVGPIYKSEGFGFAFPKRSPMVNDFSRAILSITEGDTIIQIENKWIRERHTCQNDGTIASSSSLNFKSFSGLFLVTGIASTSALLLALVMFLYKNKHKLKNSIGCDHQTEEGYGSEHSNEQNQDREQDSNQAQNMQMTVPNDAQDDPCQQEIDISLELISSTGLGVQTCPEISSHGSASNGLLCQD >Sspon.03G0027930-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:2990173:2992460:1 gene:Sspon.03G0027930-1P transcript:Sspon.03G0027930-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKVASGLTPSSKPATGDHTTNHSHAFTPWTPLSRRSSSFSSPLPSFSSGGLRRHTGHRNHCPYPNPVLGNVVPFVRNFHRFLDWATDQLAAAPASTIEVRGALGLGNGVATADPGVVDHLLRAGFPNYVKGARFAGPFADLLGSGIFLADGRLWSLQRKLASYSFSSRSLRRFSGRVLSAHLHRRLLPLLAAAADSGEAVDLQDVLKRFAFDNICGVAFGVEASTLLELGEEDGGGRGRRHRHDAFFKAFDDAVEISFARMLHPTAVVWKAMRLAGVGSERRLRDAIRVVDEHVAAIMELEERSRGRGDEQHLLSRFAAAMEEDEGSELGAMFQSPEAKRRFLRDIVVSFVLAGKDSTSSALTWFFWLLAANPRCERRVYEEAAASLDENGYDDHSGYDELRGMHYLHAAITEAMRLYPPVPINSRVAAAGDVLPDGTTVRAGWFSDYCAYAMGRMPRLWGDDCREFRPERWLDGGGEFVAVDAARYPVFHAGPRACLGKEMAYVQMKTVVAAVVRRFAVEPVRAARMEAPPPYEMAVTLRMKGGLPLPGLQSEPFLKITA >Sspon.05G0013840-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:42596191:42609571:1 gene:Sspon.05G0013840-2C transcript:Sspon.05G0013840-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Subtilisin-like protease SBT2.4 [Source:Projected from Arabidopsis thaliana (AT1G62340) UniProtKB/Swiss-Prot;Acc:F4HYR6] MSSALPRCFLLPMLFAAVVASSAAAVGDTVRARPDDEQEEKPSIYLVSVHGEPPLAAAGVGRNATWYRAQKRRAARLHDRLLQGAMDDDGAQGSGSCRCRKIYSFHHSVNGFAVHATASLAERLRAAPEVVTVEEDVGTRLMTTYTPRLLGLPDGVWQQRHRGSTSKGEEDDGEGVVVGVVDSGVDPAHPSFAYVPRPLAAADPPDDDGRPFAGGRECSVGPMFPPGSCNGKIVTARYFAAGAAAVLPLDPSRDLSPFDAEGHGSHVASVAAGNRGVPVVVDGAMYGFASGMAPSARLAVYKAVYPAGAPTLQARLVAAKDAAAPDAASMERAEECQDAEALRWGADVLRGSIVVCSFSRGFYNGTSTVTAILDVAEALGFVGFVLVADAQHGGDFLAQPLPLAVPGVMLPPLRQRVRRPRDRLAGRAAASLRAAPEVAAVEEDVGTRLMTTYTPRLLGLPDGMWRQRHRGSTSKGEDYGEGVVVGVVDSGVDPAHPSFAYVPRPPAAADPPDDDGSFAGGRECSVGPMFPPGSCNGKIVTSRYFAAGAAAVLPLDPSRDLSPFDAEGHGNHVASVAAGNRGVPVVVDGAMYGFASGMAPSARLAVYKAVYPAGGTMADLIAAIDQVDVLVLSVGPDERPASKVTFLSMLDVALLYARRAGVFVAQAAGNRGPAESSVVSYSPWVTTVAAATTGRNYTSSLVLGDGRRIPGLGLSAPTLQARLVAAKDAAAPDAASMERAEECQDAEALRWRADVLRGSIVVCSFSRGFYNGTSTVTAILDVAEALGFVGFVLVADAQHGGDFLAQPLPFRPITEGRVAAFSDAAPVVARYSSRGPDVSDRESTPADVLKPDILAPGDQIWAAWSALSVNEPILAGNRFAMISGTSMAAPHIGGVAALIKQRHPSWGPAAVASALSTTARRQKNRKKRPIMSEGLEIGSLHRATPFHYGAGFVNPAGALDPGLVVAPEPDDYTSFLCSLPQLSPDDVRAATGLPCQASLVSPVDLNLPSVTVSALRGSLSVRRRVTNVASNAETYLCSALPPAGVDVTVRPGWFEVAPGETQEVVIELRVTRASDAFSF >Sspon.02G0005640-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:17890409:17893698:1 gene:Sspon.02G0005640-1A transcript:Sspon.02G0005640-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFSSSVVASRGLALQIQTTLVVSPPPRCRGTPVISVSPHAHRQRTTRIRAVDPASANSLAGGNLVIPIPDLLSVLSWPWMFGAAIAAAVPLYRRFRTLEDNVEKAAEAAIEVIDTVAEATEKVADEVAEAFPGNETLKQAASKIKAIADEIEEDADKAEALIEMVDEIKKEVDSIIDPLIDKVTKNKS >Sspon.02G0033590-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:7690554:7693591:1 gene:Sspon.02G0033590-1B transcript:Sspon.02G0033590-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNPNPFYWSSINMRRGYSYSPSPPRGYRRRERSPSPRDYYGGHGRDLPTSLLVRNLRRDCRGHSYDRRSPSPQDPKHRLRERSYSGSPVDSRSRSGSPCEDGYRRSSRRESSATPYHIKQI >Sspon.05G0027280-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:50924228:50930913:1 gene:Sspon.05G0027280-1B transcript:Sspon.05G0027280-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTTTNFSVPYPPVEQLNQSTNIAASSTLSDHYSSYGDPHANEFVQNTQMRINSSDYDQSLPSLLPEGSFRCSAYQSTQQQSSFIPVQPRQVSSSSGSHSRPHVPAVTQFSQNLHRPSSNVSFGSRIGNSSTSSGSTIPIPASQDPSTSLLGSDYPEPLLLGSSLFTADSTNLLSASGSRSNQQNSGSSSTSMLRPAVNVGAQQVPGFNVSQPSTTLRGSSDVSRRSLISAGVSLSRTSSIALQHRGTSSTSQEIRSHQPGSSSRAHQQQSLRPGHSSIDRQSSGYLDLQSFMQTIASREGGRPMSEIRNVFDQIRQGRNARLEELLALGERIGYVNTGLTEEKIMSNMKQWKYALISLEDPPTGVEPCCICQEDYVEGEDLGRLDCGHDFHTACIKQWLVIKNLCPICKKTALDT >Sspon.03G0030520-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:21291320:21295859:-1 gene:Sspon.03G0030520-1B transcript:Sspon.03G0030520-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRACALPLVLLATAAAFFPLSTSTDTIGPSESITTSDNQTLVSAGGVFQLGFFSPDGGAGTYLGIWYYNITEHTVVWVANRQSPVRSTPGVLRISADGRLVILDGQNGTVWSSAAPTRNVTPGATARLLDNGNFILSADGSGSDSDKSVAWQSFDYPTATLLPGMKLGVDVKAGITRNITAWRSASDPSPGDVTFKLVTGGLPQFFLLRGEMRLYTSGPWNGEILTGVPYLKSNDFTFRVVSSPDETYYSYSIGVGALLSRLVVDEAAGQVQRFVLLNGGWSNFWYYPNDPCDSYAKCGPFGYCDNTGQSQPCVCLPGFEPRSPQQWNLRDGKAGCVRTTSLSCGGANASSDGFWVVKRMKLPVATNATVYPGMTLDQCRQVCLSNCSCRAYAAANVSGGVNRGCVIWAVDLLDMRLHRAPSKRVVIAIVATVAGVLLLLSVGCCCVWRRRRKRHGETDSSAPSGGDDVLPFRARKQQALGEDWKSAEKDVDDLPLFDLAVILAATDSFSASNKIGEGGFGPVYMAWMMWKEGRSVDLVDKVMDGSGVNYSEVLRCIQVALLCVEVQPRNRPLMSSVVMMLASENATVPEPNEPGVNIGNKNTSDTDSSHGLTANSVTITAIDAR >Sspon.03G0000360-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3B:7790347:7793952:-1 gene:Sspon.03G0000360-2B transcript:Sspon.03G0000360-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPKWSVVKTETDVFQDQYYPPVHLNGAGAGSLFHGKDRKHFPFLSNHNNGGGDVAAAALGCQPFTITTTASSESSSKQSNGNCALSLLSDNPTPAQTAMIPTAQPLGAAMHYGRLPGSGGGDVSLTGMSYMRVGDSRHASILTTSSPSHTAVASPGPVTAAAAQQLQYHHGYYHVSGGEQGQGNNPDGAAIQALPFSSW >Sspon.04G0024200-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:21057197:21060077:-1 gene:Sspon.04G0024200-2C transcript:Sspon.04G0024200-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMAMAEWWDAATAAVASYTGMTPAAFFTAVAVAAALYVAVSGLLARPAQTSTRRQEEVAAEERALEPLPPPVQLGEVTEEELRAYDGSDPKKPLLMAIKGQIYDVTQSRIFYGPGGPYALFAGRDASRALAKMSFEASDLTGDISGLGPFEVEALQEWEYKFKSKYVTVGIIKKTVPLSEGDDTARNAVTTERDIDASTIESDNVPEPKETGMTNQGSVPEKITESLDVDVNTSCHEDTEEKANELPDSDVTNTSSQVDAVEKPEETPNAVVKNRRRVEDAVEPKETPQGVDGKDTCEPEEATEKPVEAADAVELKNRTSHEDAKQPKETWNEDEKDVSSHQHGEENPKETSDLEVKNA >Sspon.02G0031890-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:116596170:116597992:1 gene:Sspon.02G0031890-1A transcript:Sspon.02G0031890-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLRKLHKCRRDAAATIASAAFLALLLILSVALVATRRDHAHPIVGTFIFSGAPPTTSAATTDRSCEAELRALPDAAARCGYLSSPSHRPCAPRGYVHYLRVFYCAFGGAPWLGAAALGLWLLLLFYLLGDTASRYFCTALEGLSAALRLPPAIAGVTLLSLGERRARPSSPSRRPPAAAPVVGWTVATPGTSGSAASSAARCSCPPSWLASSPSSRGGRGSVQIERPGFVRDVCFLLVALCYLLAVLLTGTVTVWVAASFLSLYAAYVLLVWTSHCCAAATPRSRSLARRALDALQWPLYLPRRLTIPDIAAHRWSKRYAVASALLAPVLLAAISSPSSPAVILSGAVAGAILAAAAFRGTSSSSPPLGRCRRLPWLAGGFLMSVLWSYMLARELVALLVSIGLVAGVRASVLGATVLAWGNSLGDLVADVAMAMHGGPGGAQTAVSGCYAGPAFNTVVGLGLSLTLAAGARFPRPYAIPADASAYQAAGFLAAGLVWALVVLPARGMRLDRVLGVGLLLIYLGFLGVRLGSMSLGAAIGS >Sspon.01G0020930-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:81986560:81992561:-1 gene:Sspon.01G0020930-2B transcript:Sspon.01G0020930-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRSAARRSAQVRRLLSSSAPPAPAGAAVPGPCIVHKRGNDILHDPWYNKDTAFPMTERDRLGLRGLLPPRVMSFEQQYERFINSFRSLEHNTRGEPDSIVALAKWRILNRLHDRNETLRPRGMYFSAKDKGEMMSMIYNWPAEKVDMIVVTDGSRILGLGDLGVQGIGIPIGKLDVYVAAAGINPQKVLPIMLDVGTNNQKLLDDKLYLGLRQPRLEGEEYLAVVDEFMEAVHARWPKAVVQFEDFQMKWAFETLQRYRNRFCMFNDDVQGTAGVALAGLLGAVRAQGRPLQDFTNQKIVVVGAGSAGIGVLSMAKQAMLRMPGIHRTGEGHNQFWVLDKDGLITKARKDLDSAVARFARGFGPDEIPDLHEGASLVEVVKKVKPHVLLGLSGVGGIFDEEVLKAMKESDSPRPAVFAMSNPTTKAECTPDDVFKHVGENAIFASGSPFSNVSLGALLSGARHISDGMLQAAAECLASYITDDEIRKGILFPSVSSIRHITARVGAAVVRAAVAEDLAEGCCDVGPRELGSMSESEAVDYVARKMWYPIYSPLVSDK >Sspon.01G0035620-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:12516692:12520102:1 gene:Sspon.01G0035620-1B transcript:Sspon.01G0035620-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPTSTSSTLPLLFLHRGTANPSPAVLSFPSSLRGVSLRSRAAAAPPAETLSDDGIPDAPPEGEGTGIPLPSSIGDDGEQLLYGTAGKEFFTRPCAQLGSSHSDSLDVSQEKIVIINRYGEKLVGVLHEAGSKDLVVLCHGFRSSKESRTLISLVDALISENISIFRFDFSGNGESEGTFEYGNYYKEVDDLRDVILHFKKHKRDTHAIAGHSKGGNVVILYASMYQDISKVINLSGRFKLERGIGDRFGNDYMERINQHGFIDVEDKTGRIIYRVTKESLMDRLKTDMHSACLSIDPNCRVLTVHGANDDVVPSEDALEFDKYISNHELHIIERADHRYASHQLELAAIVLKFIKSR >Sspon.01G0006500-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:17233405:17235349:-1 gene:Sspon.01G0006500-4D transcript:Sspon.01G0006500-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEGAKVMLAKPIQLADQVAQQAGYQCLRTDCTELRSRAKKLAELLRQAARSELYERPAARVMADTERALHKAAGMAARCFQSHSRLRRFFTLNPVSGLPRTLALLDTALEDIAWLIRISSPPDDDDGDMRGLPNIAQNEPVLGMIWDNIARLHTGGLAARADAAATLASLARDNSHFAMCIVEEDGVAPLVKLLKEGTDEGQEAAATALGFLCRDEDSVEKLLHSGVCSVFAAALKEPPMRVQAAVADAVASLARHSQKCQDLFAQSNAVRHLVTHLASGTIKEHSRYSVGVNGSRNTVTAAAAATTSLGNLHSVVLAKSRSVRQGEPGSSTNGNQPPNLLETSTGQQRARANQMQSVVQSAMVATNTTTNGVMPPGARPQLSSNGSSGRGSREAEDPATKAQMKAMAAKALWMLARGHVGVCKSITESRALLCFARLLQSGDAARERTCIRVQAQRHGSQGRRGAAPPHRTQGDDDDLLRPCVTALGCLSRTFTASETRVIGPLVQLLDDREIPVMKEAVVALTKFACTENHLHVNHCKAIVDAGGARHLVQLVYLGEQLQIEALILLCYIALHVPENEELAQAGVLAVLLWASKQARMAQDLRVEALLPEAKARLDLFQSRAS >Sspon.05G0017030-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:71640215:71648550:1 gene:Sspon.05G0017030-2B transcript:Sspon.05G0017030-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNDKRLTVVSDDGTRVKREQPFTESDLEELQARIVVAENLPDDHCYQNLMRLFSAVGSVKTIRTCYPQTPNGTGPATNRSAKLDMLFANKLHAFVEYDTIEDAARAIVELNDERNWRSGLRVRLLSTCMKLPNAQRPKPGSDP >Sspon.03G0024120-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:73518690:73523527:1 gene:Sspon.03G0024120-1A transcript:Sspon.03G0024120-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRGDPLAVGRIIGDVVDPFVRRVPLRVAYAAREISNGCELRPSAIADQPRVEVGGPDMRTFYTLVMVDPDAPSPSDPNLREYLHWLVTDIPATTGVSFGTEVVCYESPRPVLGIHRIVFLLFQQLGRQTVYAPGWRQNFSTRDFAELYNLGLPVAAVYFNCQRESGTGGRRM >Sspon.02G0027130-4D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2D:108206735:108221314:1 gene:Sspon.02G0027130-4D transcript:Sspon.02G0027130-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LALAARAALQWALASLLLANGAAFCLIAAAAACLRLGPPCIACARAHRLLCSSSSATGDERDALRLLLCDAHLAAVAVARAASSAPPDRSDGNGVSQTVETDYPNMVSGNFQFSSAIAGNQVQGPYIRMPHPCPSL >Sspon.03G0000460-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:6985486:6986905:1 gene:Sspon.03G0000460-3D transcript:Sspon.03G0000460-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRALCLPLVVLVCRFHLPPPLMMAAAATFPGVMARSSDAALQSSSDCPSLCGDVEIPYPFGIGDNCSLHNAFTITCNTSFSRPPRPYLGDFEVISISVETGELRVFSPVSFVCYDKSSSSSSTPSRSIAWSLRLQSPFLISTRRNVFTAIGCSTQALLLGRKGYFTGCTTTCQSLDLAAQDRAECTGLGCCQAAISGKLDTMRINWDRPSYLPDNQAWTFSPCSYFFVAEKSWYVFSRQDLVGVGEESFSRRVGNRTPLVLDWAVGNRSCNASATAVSACVSTHSNCVNATQGP >Sspon.07G0024800-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:10953413:10953887:1 gene:Sspon.07G0024800-1T transcript:Sspon.07G0024800-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSQSTQFGLATALFGVLSFVLAVLAELKKPPHGTPIKGRDVVVCRFPGDPTVALGALSALAAACSAGLGALAVFFPYGGRRVPRKALFAHTPLYVFFHVAV >Sspon.08G0024410-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:63690097:63691555:1 gene:Sspon.08G0024410-1B transcript:Sspon.08G0024410-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMMMRSCLGGGLPVVVMLCLNVVAAVMVSLVKVAMNGGMNPLVIVTLQQLTAAIFLAPIAFFKERKSRPKLTLEIFAYIFVSAALGAALRQYMIFVALRYTTATFVTAFSNIAPVLTFLLAVATRSEALDLKSRTGMAKLLGTLVSLAGAMVLTLYKGVALTHAAAASSPHQQHLRPHAAADDAASRGKWTLGTVAILGNCVCLSCWFLLHGRLAKKYPHVYSCNALMSLFSFFQVAVVGLCTQRSISPWIITSKFNILTVLYAGIVGCGVSFVLVTWCIEKRGAVFVAAFIPVVQIIVSVIDFSILHEQLYLG >Sspon.04G0008770-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:26419943:26423492:-1 gene:Sspon.04G0008770-3D transcript:Sspon.04G0008770-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIAAPLPHHLLATFLLLLASAPQPAAPASTDRAALLAFRAALSPPSRAALSSWSGPLSPSWRGVSLHPAAAPAPSPAAPSVAELSLRGLNLTGVLPAAPLALLRRLRTLDLSANALSGELPCSLPRSLLVLDLSRNALSGAVPTCLPSSLPALHTLNLSANFLRLPLSPRLSFPARLAALDLSRNAISGAVPPRIVADPDNSALLLLDLSHNRFSGEIPAGIAAVRSLQGLFLADNQLSGEIPLGIGNLTYLQVLDLSNNRLSGSVPAGLAGCFQLLYLQLGGNQLSGALRPELDALASLKVLDLSNNKISGEIPLPLAGCRSLEVVDLSGNDISGELSSAVAKWLSLKFLALAGNQLSGHLPDWMFSFPLLQWLDLSSNKFVGFIPDGGFNVTEVLNGGGGQAQAQATPSESVLPPQLSVSASVNTESWQLDLRYDLQATTGIDLSGNELRGEIPEGLVAMKGLEYLNLSWEMEGSNRRGWLGGWHGENGWVSLGAFCISTMTSFYVSLATLLCSSKARNFVFRPVRSAKFPKEPDLRSPGDRRITIAKRKAGQSRRGGRLEYLG >Sspon.01G0036420-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:18339373:18343267:1 gene:Sspon.01G0036420-1B transcript:Sspon.01G0036420-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATAAAEEAERMVEAKWEEEEEGKQGMRKRKRYGLVEYRALPAYLRDNEYIHRHYRCEWPLPQVLLSAFSIHNETLNVWTHLIGFFIFLALTIYTATQVPNVVDIQSLQHLPDVLRKADIHKIQAELVSCLPSLPHLSDLQKLKDELKSSWNSMEVLPSLSRWHLLELLTSCLPQRFTHPNETSLSVLQSMKEDLANLIVPQLIRPIARWPFYAFLGGAMFCLLASSTCHLLSCHSRRLAYIMLRLDYAGIAALIATSFYPPVYYSFMCYPFFCNMYLSFITILGVATIAFSLLPVFQNPEFRTIRACLFFGMGASGVIPVLHKLVLFWHQPEALHTTGYEVLMGLFYGLGALVYATRVPERWMPGKFDIAGHSHQLFHVLLLAGGSS >Sspon.01G0052930-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1C:27825722:27825861:-1 gene:Sspon.01G0052930-1C transcript:Sspon.01G0052930-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DRVEARCLGQKADATKKAEGVEDWDHDDVAESPGLARFQGTFVFH >Sspon.05G0008120-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:5A:24826230:24826703:1 gene:Sspon.05G0008120-1A transcript:Sspon.05G0008120-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADIGYWLVAIPVRVVLGVVLAVIILVVIVVVAFIVFVCATNLSAWWRSPRMRLLRLGGVTTLRRSLGYPCTICRDSMEAGEKVRTLSCDHVFHCGGTVKCGLGIDAWLLAGDAMSWQSCPICRQVPHPVLPWKRPPPSSPAPSSPQTSDSDSEEEL >Sspon.01G0007740-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:21414897:21417964:1 gene:Sspon.01G0007740-1A transcript:Sspon.01G0007740-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMPAPAVGLAVLVLFAAALPALYADDLNTDAQALQALRSAVGRSALPSWNSTTPTCQWQGVTCESGRVVELRLPGAGLMGSLPSGVLGNLSALRTLSLRYNALTGPIPDDVSRLSELRAIYFQHNSFSGELKNLVRLDIAGNKFTGEISPDFNKLIRLGTLYLDGNSFTGEIPKLQLPALEQFNVSYNQLNGTIPTTLRKMPKDSFLGNTGLCGGPLGLCPGESAPTPAGSPESQPGAGVPADVGGGKKKKLSGGAIAGIAIGSVFGVLLLLALLFFLCRKRSNAPRSAATVEKGRELGMEPMDVEPKGQNGSAAGPGGHNGAAAAVAVPTAAAAAAATASAAKTVGSTGSKKLIFFGPMAAAPPFDLEDLLRASAEVLGKGAFGTAYKAVMENGSAVAVKRLKDVDLPEPEFRERIAVIGAVQHELVVPLRAYYFSKDEKLLVYDYMSMGSLSALLHGNRASGRTPLDWETRSAIALAAARGVAHIHSTGPTASHGNIKSSNVLLTKNYEARVSDHGLPTLVGPSFSPTRVSGYRAPEVTDIRRVSQKADVYSFGVLLLELLTGKAPTHAVVNEEGLDLPRWVQSVVREEWTAEVFDQELLRYQNVEEEMVQLLQLAIDCSAQHPDRRPAMSEVATRIDDIRRSSLGGGDRQAPDSAEGDEPSL >Sspon.06G0001660-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:3908830:3921853:-1 gene:Sspon.06G0001660-3C transcript:Sspon.06G0001660-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQSAHHAITNSSSPLSAIVPTHRRPQSIGGRRRSVDSLPRTDGRPGCPYVRRRRQREPHSISSKNTSQPASLLLANKLAATDGSWMAGMCGSPFCSKEASASCGWEEIFNSSTCTNHILAIGIAALIVIVLAIQLLIRIAKSRQYARQLVALTSPLQLAAVVFNGCLGLIYLGLALWMLGTNFSQHASVYLSHREDGNGGVESALYKPLNTETYDDIADSQSHVTPFAKAGIFSVMTFWWLNPMMKMGYEKPLEDKDMPLLGPSDRAYSQYLMFLENLNRKKQLQAYGNPSRQWYFRTRRLGLQVRSFLSAAIYKKQQKLSSSSKLKHSSGEIINYVTVDAYRIGEFPYWFHQTWTTSVQLCIALGILYNAVGLAMISSLVVIILTVICNAPLAKLQHKFQSKLMEAQDVRLKAMSESLIHMKVLKLYAWETHFKKVIEGLRETEINFSWDENPSKPTLKNINLVVKAGEKVAICGEFLERFLKLKAWQRYQETLERCSLVKDLEMLPYGDNTQIGERGVNLSGGQKQRVQLARALYQNADIYLLDDPFSAVDAHTATSLFNEYVMGALSEKTVLLLMSDGKIIRSAPYQDLLAYCQEFQNLVNAHKDTIGVSDLNKVGPHKANEILIKGSIDIRGTLYKESLKPSPADQLIKTEEREMGDTGLKPYILYLRQNKGFFNASLGVLCHIIFLSGQISQNSWMAANVQNPDVSTLKSLALVVLGVQTSRSLFSQLLNSLFRAPMSFFDSTPLGRVLSRNINLVVKAGEKVAICGEVGSGKSTLLAAVLGEVPKTEGMKQRVQLARALYQNADIYLLDDPFSAVDAHTATSLFNEYVMGALSEKTVLLVTHQVDFLPVFDSVLMAKLFVLHLIKIYWHIVKNFRTFRPHKANEILIKGSIDIRGTLYKESLKPSPADQLIKTEEREMGDTGLKPYILYLRQNKGFFNASLGVLCHIIFLSGQISQNSWMAANVQNPDVSTLKLISVYIAIGIFTVFFLLFRSLALVVLGVQTSRSLFSQLLNSLFRAPMSFFDSTPLGRVLSRVSSDLSIVDLDVPFGLMFAAGASLNAYSNLGVLAVVTWQVLFVIVPMMVLALRLQRYYLASAKELMRINGTTKSALANHLGESVAGAITIRAFEEEDRFFEKNLELIDKNAGSYFYNFAATEWLIQRLETMSAAVLSFSAFIMALLPTGTFSSGFIGMALSYGLSLNNSFVFSIQNQCQLSNQIISVERVNQYMDIPSEAAEIIDENRPSPNWPQVGRVDLRDLKIRYRQDAPLVLHGITCTFEGGDKIGIVGRTGSGKTTLIGALFRLVEPTGGKIIIDSVDITTIGLHDLRSRLGIIPQDPTLFQGTIRYNLDPLGQYSDQQIWEVLDKCQLLEAVQEKEQGLDSLVVEDGSNWSMGQRQLFCLGRALLRRCRILVLDEATASIDNATDAILQKTIRTEFRDCTVITVAHRIPTVMDCSMVLAMSDGKVVEYDKPTKLMETEGSLFRDLVKEYWSYTSNGNI >Sspon.02G0030770-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:112848434:112856937:-1 gene:Sspon.02G0030770-1A transcript:Sspon.02G0030770-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPSSSTRRLQWSGTDDHAAGGAGGELSLPRRRWQSVLQVTRTRRKTTEGLFGFRRIMDAEFMGMFLPVFGSMLQRVVSEEVEKAMFRQFSAPAAPPRLLVDRNQHPRYQLMFLNGLKPVYTLTKLESDDGTALKVAIIERLENSHTNIVKYGPLSSARVEVVVLHGNFNARNEESWSPEEFKKHIVSGREKSAQLLTGNLALKLNGGEAVLENATFTDNSSFTSTKMFRLGLRLVNSSGERVLEGVTKPFRVKERRVEGFEKHYPPTLDDEVWRLERIGKIGAYNQALSDNGINSVQEFLRAYMKDEQKLIKIFNKMPQSTWKSIIQHAMTCKVGDSLYLYQVQDKDAGLFFDEIYQLVGAKFGDRYKPIDQLNEIEKNLVESLKQVVYQNIDGLQPNYKMVNNYPVLCSFPAQGTSLFSALHPNQQTLNYDMGESSTGVGFGTRPSRETFNTSLGASNVSKILLSSICKKVPVDISRFVQGQTSNYMTLRHEQTINRVLPYDSSNGALLPRPRLTQLQIPNSERTFFGPDASPPAVIPNNILVGQGAALSEESYSGLPVDSLSSTDVIMSLMQSSFQLPRNSKYIEIFCYSYFTKIDAHILSMASAGDSFSNHSEHQCNGHTTMQLQQFVTGFQPSRTNSFDLNSCDELIQNFISKISNSEGASTPLSPRKWVKIRAALKLASVRRLSRTSRRGPHCNPQRPRLDDMTTFSKSDTSNVGRPKSCITTRKFRRFSKY >Sspon.06G0014570-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:63120524:63122792:1 gene:Sspon.06G0014570-2B transcript:Sspon.06G0014570-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHHTNVVYQLFMDATTTPLAQWQWLLFLLIPCVLLLLLASRRPLPRSDDKQRRQLPLSPPGLPIIGHLLLVGDRPHVSFRDLAAKHDDCGGGGLMLLRLGTVPNLVVSSARAAQAILRTHDHVFASRPASLLFDDLVYGSSNVAFAPYGEHWRQVRKLVTTHLLTVKKVNSYHHARQEEAAAMDAEVDLSEMMNAFANDIICRAVCGKFSREEGRNKLFRELNHTTTVLLAGFNLESYFPGLAKSLGVFVSNRKVHQVHKRWDDLLEEIISDHERRRRTSKNGHEGDDEQEESTDFTDVMLSVQQEYNITRDHIKAILMDKFEAGTATSSSVLEAAMAELMRSPHLMTKLQAEVRKKTPKGQEMVREEDLAGMPYLRAVVKETLRLHPPAPLLVPHQSMADCDVDGYTIPSGTRVIINAWAISRDPRSWENPEEFVPERFVDGGAAADLDFKGNDFQFTPFGAGGRMCPGFNFGLATINIMLANLVYCFDWKLPAGVEKEDIDMTEVFGLTVHRKETLILVPKPHA >Sspon.07G0006230-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:13764574:13769446:-1 gene:Sspon.07G0006230-3D transcript:Sspon.07G0006230-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGAKVPKKAAAGRRGGGGPKKKPVSRSVKAGLQFPVGRIGRYLKQGRYAQRIGTGAPVYLAAVLEYLAAEAGLQFPVGRIGRYLKQGRYAQRIGTGAPVYLAAVLEYLAAEVLELAGNAARDNKKNRIIPRHVLLAIRNDEELGKLLAGVTIAHGGVLPNINPVLLPKKTAAAAAKEGKEKKSPKKAAAKSPKKAAAA >Sspon.03G0016110-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:81919888:81920826:-1 gene:Sspon.03G0016110-2C transcript:Sspon.03G0016110-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SHQFISKQVEEIPDKFPFKISDEKGTNVVTLKRTYQGEQIEVVAHMPSLVTGDEPDHDRDGEDEGEDKEGDDNEEDEGQKPEQSSVPLTVTISKGDGPVLEFTCTAYPDEVLIDSLSVTQPSGDDEHDMIAYEGPDFNDLDENLQRAFHKYLELRGISPMTTNFLHEYMINKDSREYLLWLRKLKDYFKHGMITTGSFASWNQYVLERLSRIGSPVSLLSLMSDMKS >Sspon.02G0022360-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:68446150:68450025:-1 gene:Sspon.02G0022360-3D transcript:Sspon.02G0022360-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIEVRGEPHGYTFFAVLTMDLETENRLASLLLEEARRLQIEANREGVHAYLRKPNVRHRPNSRFLTATVRGVQQANRVVEVDEMWRAREKELELELKMKGRSGRSKDRDDFRGEKRKSDLRNHISSSRVEQEGTTYNNSYSDQEDGLRDDEIERFLHSRAKRGRGAVGSRMDEPGPYLVSLSRHQDNGPSPDIHVEEKWERRVQGPEKPSFFGSKSLDDHWRKDTLDGRPSSSEPQTKKEKKNKSEKRDEIEKKKEKDKKESKHRHHHHHRSTRILAMKFMTSSSAERK >Sspon.07G0009010-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:50790056:50794070:-1 gene:Sspon.07G0009010-3C transcript:Sspon.07G0009010-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MYADAGFGFAAAYSPALASSQSQPPPFDYAFSSAPAPPLLSMLAMDHDAYAAAAALPMPDLPAAHLGNLVQPSMVSEYDLGGEGDLFKAPEAIIEEPLLSLDPIAAAFSMMTGNESAMEQTIKDAGIGTIPNDPLLSEVLYECEKELMEKSAIEETISELMDVKIPMLQVEEIPSQAEQVLIQTQLPAMEKEKQSIPECSLQKSVSSGCLNSADWINGPVRPNFLDFQGLDFEAAFGMRRAYSEGDIQNLGANTPRPAANAQTSCERLVTISDLKTEERKQKLSRYRKKKIKRNFGRKIKYACRKALADSQPRVRGRFAKIEECDLLKPSV >Sspon.07G0000590-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:183445:185617:1 gene:Sspon.07G0000590-2C transcript:Sspon.07G0000590-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSRLLLFGVQLLVLVAAVAGTRWQDFLRLPSESASEDDDAAAVGTRWAVLIAGSNGYYNYRHQADVCHAYQIMKKGGLKDENIIVFMYDDIADSPDNPRPGVIINHPRRRRSAVTGGGSGKVVASGPDDHVFVYYSDHGGPGVLGMPSDDYLYAKDLVDALKKKHAAGGYRSLAFYLESCESGSIFQGLLPKNISVYATTAANAEESSWGTYCPGDDPGPPPEFDTCLGDLYSVAWMEDSDTHNRRAESLRQQYETVKDRTSVHGTYNTGSHVMEYGDVQGLAAQSLYTFMGTDPPTMTTRCCGDPRAARHSMELIGGLLFGSEEGPRVLGAVRPAGQPLADDWDCLKSMVRAYERHCGPLAQYGMKHMRAFANICNARVGEDAMAK >Sspon.05G0004040-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:12368885:12379778:-1 gene:Sspon.05G0004040-1A transcript:Sspon.05G0004040-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding KLEVPIIWDAGHDIVRYKKDCRFDDKEDHDHVDTSSALENTKVSESFLLMKFYSLSSGVAKHLLTATDGTEIEIPFELTDEEESIIRFPLTSFILGRSGTGKTTVLTMKLYQIEQQSLIASQGIELSEVDLSAAEHKNSLPMKDTSKGKSFIKQVLITVSPKLCSAIKNQVHRLKRFASGDVSGQPSTLHMHDVTDDLEGFTDVPDNFSDLPHEHYPLTITFRKFLMMLDGTLQTSFFDMIFGELKPSIERGHSKTRALQAFIESKEVTFEKFAAFYWPHFNAELTKKLDASTVFTEIISHIKGGYKANMPCNGRLERLDYVMLSDRRFSSLNSKMREKIYDVFLDYESRKRTAREFDLSDFVNSLHSSLVSEGYNGDFVDYIYIDEVQDLTMSQIALLKYVCRNFKEGFVFAGDTAQTIARGIDFRFEDIRSLFYTSFLTETEGCNQGTKHGNKVSLTDMFQLSQNFRTHCGVLRMAQSIMSLLYYFFPSCVDKLNPETGLVYGEAPVLLESDNDENAIMTIFGESRSEHGKQHGFGAEQVILVRDDDMKKQVVNVVGKQALVLTIVECKGLEFQDVLLYNFFSSSPLRNKWRVVYDYMKSRDVASSEVIAHPGFDRNKHYLLCSELKQLYVAITRTRQRLWICENADDYCRPMFDYWKKLCIVEVRLLDSSLIQAMQTGSSVDDWRLRGTKGQFEMATMCFEKAGDAHREKWARAAGLLATADRVISTNSEMGQASLQKASEIYESIGMYEKAATCYIKLGDYKRAGMVYMEKCGTKRLEDAGDCFAMTERWSQAAECFSCCSKGKVLSQGLQFLRQLEKEHSEDFNSVVAALRKTYLETCALHYFERGDIKHMMPYVKAFNSMDHIRAFLNSRNLLDEMLNIEMEMGNFYEAAGIAKLKGNVLLEVNMLEKAESFENATQLLLAYVTANSLWSSHSRGWPPKSFAEKEQLLTKVKEMAKKASEGFFCFAYFEADSLSDSHKSLARLTYNLLEGRKCGNLLVELISARSIIEVHLQSQISGYNLELEPGSEDIQCCHDMLSCNQMSLETLACVWNHWRLILVKVLAHLDQSEDPKSNDNAAICEDLCAKFFGLRKDGDNRYLILNMDSSWLSNTGRCSLEQNGNRYWLDIVQCHSCARNFLVNELSSVGFSVLHKLEYFIEANRRQASSPYAQWRTTSIIYEIAMFLKDSEFCMAKSSKKLRNLFILCEQNIFELLLRTWGDETAESFYYILDSPPANGLIVDSLGSYTYTTREGKQNLTHGHLGRITMYLLYTANSDVMLNLRLKQYLDGGPEWAYFFQSLKSFLDNGVGRCSLVENFKLALAFTFNANWRVERDFMSPICYMNLMECLGFFALSCFMLDRCVLCTKSLLVKMLKCRTSNTFLGTCLVSGLGDQDLDLDCMAFSPCRFICQSIEDILKNKRAIQEWVRKTTPTISYVPFLLRLVIMLYLVTLTLPLGNCYKVTTFLYQNRIFEDLPPDFSKKIVPTLKLRSGTVTHFTRVFGDALAAIGNPMVVLGLPKGPLISRGLNAYRISNTDLSDVSKVMALLCPEEQKSPMQQDTKTWNITSGNLPFCKNTR >Sspon.02G0001430-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:2015907:2020378:-1 gene:Sspon.02G0001430-4D transcript:Sspon.02G0001430-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCVHGRPTTSSPAPVATSSRRQDQPAVTQPHQEGVDSSDAAAAAPPADAAAPEQQAAEKPEKVKRERRSRRAAAAAHAEVRLGGSFANKARGEQVAAGWPAWLSAVAGEAINGWTPRRADSFEKIDKIGQGTYSNVYKARDSLSGKIVALKKVRFDNLEPESVRFMAREILILRRLDHPNVIKLDGLVTSRMSCSLYLVFDYMVHDLAGLAASPDIKFTLPQVKCYVHQLLSGLEHCHNRGVLHRDIKGSNLLLDNNGVLKIGDFGLASFFDPNHKQPMTSRVVTLWYRPPELLLGATDYGVGVDLWSAGCILAELLAGKPIMPGRTEVEQLHKIFKLCGSPTEEYWKKSKLPHATIFKPQQPYKRRIADTFKDFPQTAIRLIETLLAIDPADRLTATSALNSDFFATEPYACEPSSLPQYPPSKEMDAKRRDEEARRLRAAGGRANGDGTRKTRTRDRPRAIPAPEANAELQANIDKRRLITHANAKSKSEKFPPPHQDGALGFPLGCSNHMEPSFEPPDPSSFSTVFPFDKSAVPTWSGPLADSAAGNQKRKHKPGRSSKQPATARAR >Sspon.08G0015840-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:63217050:63219247:1 gene:Sspon.08G0015840-1A transcript:Sspon.08G0015840-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine carboxyl methyltransferase 1 homolog [Source:Projected from Arabidopsis thaliana (AT1G02100) UniProtKB/Swiss-Prot;Acc:Q8VY08] MLQNWTFLFLDVPVACAMTYPSISEKGEVISTHYKLFSADIRDIPKLDSVIRMAEMDPSLPTFIIAECVLIYLDPTATGAIIHPDDAFGEQMIRNLESRGCPLLGINATPTLSHKEKLFLCNGWQRAVAWDMLKIYNDFIDSQERRRIERLELFDEFEEWYMMQEHYCVAYGINDAEGIFDSFGFKET >Sspon.05G0029450-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:78602239:78602586:1 gene:Sspon.05G0029450-1B transcript:Sspon.05G0029450-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFVSIEPRCCCSRLGRSSCARSRFAFMVTTWTLTLTMDEPMTWVKEGVLDCEELWAMPGYVDLPRLQSPIMSLDNPDVICFKVGRRHRGNQNAWMIQVDTRRKALLAAVQWI >Sspon.05G0019950-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:85520484:85523178:1 gene:Sspon.05G0019950-1A transcript:Sspon.05G0019950-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMTVLAMLAAAVVAAALLLSSPDDSRCDVAVLEIGGGDGRVELVPVDAGAAGPESLAFDGVGGGPYAGVSDGRVLRWVPGERRWEEHSSSCAPELLDSCRGSQDPGREHECGRPLGLKFNHQTGELYVADAYHGLRVVSPDADKVSRPVAPQWWQGKGRTFCFANGVEVDPTRVPSTSPRRAREEGVPAHRHLRRHHGEATAVQPEEREGGGDGRRAGVPQRPRDEQGRHAPAAGGDTTGRILRYWLRRPAAKANAPALEEVARLPWFPDNIRMSPRGGFWVGLHARRGRLAEWCISYPWLRRLVLSLPTRHVQRASWLLNRLGRQVIAVRLSEEDGKVMEMVSVHGDLQKVFRSVSEVEERNGSLWIGSVMSPFLGVYKL >Sspon.02G0039340-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2B:51396228:51396934:1 gene:Sspon.02G0039340-1B transcript:Sspon.02G0039340-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRARPVVSSSISTTSEHRRPPSSLSTVLIDRHAVTGCHVWPGGQDRGPLLVGPKPGTCTTGRYQAVPPSSTVGWAKGCKTSAHGLAAWAGACWREHGRGVQAHAGNRVQFGRPDMGIGLDVRTPALPIF >Sspon.01G0036300-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:13396555:13402524:-1 gene:Sspon.01G0036300-1T transcript:Sspon.01G0036300-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALAPGVSRKLKKVLETRTDNPDLLASLGALSTFYVQNTPQARRNLKSSIEQRALAINRHFLEASLPAHKALDRVEGEVHALNDSWKKIEEALSSCSASTGDIISTTERLQQELEVITQRQEIVSCFLRDYQLSNEEINALREEEIDDKFFKALLHVQEIHSNCKVLLRTHHQRAGLELMDMMSVYQEGAYERLCRWVQAECKKLGDTDNPEVSELLKMAVRCLKERPVLFKYCAEEVANMRHHALFRRFISALTRGGPGGLPRPIEVHAHDPLRYAGEMLGWLHQALASERELIVVLLDPDAMTDSGPTSRRQSGRDGDSSRGEPDVTFVLDRIFEGACRPFKVRVEQVLQSQPSLIVSFKLSNTLEFYGYTISELLGEDTALCNTIWSLRDAAQQTFFNILKSRGEKLLRYPPLVAVDLSPPPAVREGISLLLELIDTYNSMMVPAFGKRPNFDPVISALLDPIIQSAESSSKVYLINCLSAIQEPLMGQEVATSYVNNLRSMIEAHIRALVDKELDSILRKCGLSNKMPYIKEYGSKADARPLADIIETSPQMLLECLKAFYGIVTGTEGSLPEFEQLQVPRLRSDACYGMAGALAESYELIYSAVMDPNNSYPDPRCGNWKQLSAVKNSRSKAV >Sspon.07G0003720-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:8331781:8334113:-1 gene:Sspon.07G0003720-3D transcript:Sspon.07G0003720-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSSSMKRDISETHDTLRFGINAGVKADLAPPHPLQSTIQSETKFWADKKKFGTDAIYGSALNIRKDLDAQILSRFQRPPGALPSSLLVPQDSDSFRQPDMHHGMEVRLGLSKGPICPSFN >Sspon.07G0006900-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:14998639:15000400:1 gene:Sspon.07G0006900-2B transcript:Sspon.07G0006900-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SMGPRTCATMEGEAVGSPFFQWLKPRRSSSPSSSRSSSLPSSSTSMAASRRHHVLAVLGEEGQAAGSGVVQEAVSSMTCLPLLSRLGEEKGAGDHEQQCTVKEEIMSGSRGSGGATSYLAQSGVDLNIGLPVGGSCIEDAIMEEKGDEEEEEDDAVDQEGEDESEEWKHTHGGCKAEGVDQQQEHDEVVPPSSVEGSNSIVVGVVGEESGLPIAGCRYWIPTPAQILIGPVQFICHVCNKTFNRYNNMQMHMWGHGREYRKGPESLKGTQTLALLKLPCYCCAAGCKNNVAHPRARPLKDFRTLQTHYKRKHGAKPFRCRRCAKPFAVKGDWRTHEKNCGKRWFCACGSDFKHKRSLNDHVRSFGADHGPVDEPAAAAPAKDRAVRFHNR >Sspon.02G0007500-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:24664548:24667970:-1 gene:Sspon.02G0007500-3C transcript:Sspon.02G0007500-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHPFRQSSTVRRRLVAANPGPHSTIMRGLLLLWAWAAAVWLAVSAVAHAHPGGGGVGEQPLSRIAVERVVLAVNDAAHVKASPLVLGHKGENSEWVDVEFFHPNPSGDDWIGVFSPANFSDAICESENTGPPVLCTAPIKYQFANFKNDGYNNTGKGYLKLQLINQREDFSFALFSGGLSKPKLIAVSNKVAFANPKAPVYPRLAQGKSWNEMTVTWTSGYDITEAVPFVEWGEKGGRRLLAPAGTLTFDRNSMCGSPARTVGWRHPGYIHTSYLKDLWPDAPYTYRLGHRLMNGTRIWSKSYSFKASPYPGQNSLQRVVIFGDMGKAEADGSNEFNDFQPGSLNTTYQIIRDLENIDMVVHIGDICYANGYLSQWDQFTAQIEPIASTVPYMIGSGNHERDWPGTGSFYGNRDSGGECGVPAQTVFYTPAENRAKFCTRQTTACSGSASQTPKRTGGRGPSSTGSSSNACHRSTGRSSRGSSSSRTVFSATRPAPTTNRRARSRSPWGGKRCRSSGRSTRSTSPSMATSTATKGHARSTRLSASSTGPTTTAGRSRRRRTSSSAAQEPAFSILSSPPRRSNGATSPTSTTGSSSSRPSTIPPCCSSTRRAATATCMIISLSHAITGTSSLALSTTAPGPHWLPE >Sspon.03G0031460-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:28143294:28146839:1 gene:Sspon.03G0031460-1B transcript:Sspon.03G0031460-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRFPHGGGDHRGGDAGDAALARDIVTLHKALSLDPSARRRRSLPLPSPSSAATEQPRHKPRLKPSSSSSSSSSRKLLPSTANSSSASTSSSSSSSSFWKKSLTAISHLGRRRLDCAFALHVHSVDGLPAALDGSAVSVQFRRMSVSASTRSVPAALGAAAFEEALTLRSPVYFSRGAKAVVNGAPASACPGPARGARLNVTFSCSLLAGGGAIEQHKAGEVAGLRRGSMARPVSVQAPTPVPARSRDVRVLHEVLPSLRSARSLPFVGDGGPDARKEEVAALDCTEDGSPEAKHCTSVEIKKGKLDGDWGTVEFNVVEHGVEVASDDPQRLKHVETSNVADQNEDSGFKIDEEGSFNPVLVSGDVAEDQTVGVMTEVAVSDVAVQRENVEDKEDGTVKAASLPTAAPEAEGQFGADAELEDLECILNELSVAEPEEFESPVVEDKHSRRLSCTGVTDSYKSASRKGRSRSMDLSTDSVANEFLDMLGIEHSPFGQPSDSDSESPRERLWKQFEKEALASGNAILGLDFDHGIEGPTCEDVMEDFDLSAMIHEAELELQNGSQPIDTKFRAKSLEDEETEALMRQFGLNEKSFQSSPPEGRSGFGSPINLPPEQPLELPPLAEGLGPFIQTKDGGFLRSMNPALFKNAKNNCSLVMQASSPIVLPAEMGSGIMDILHGLASVGIEKLSMQANKLMPLEDVNGKMMQQIAWEAAPALESTERYDVLDYHSIDALVGGGGNAPSGKKKKGRCADLSSSLGGENASEYVSLEDLAPLAMEKVEALSIEGLRIQSGMSEEDAPSNISAKPIGEFSSLQGKCAENTLSLGLEGTAGLQLLDVKQSGEEVDGLMGLSITLDEWMRLDSGVVDEEEQYSDRTSKILAAHHAKSMELVAESRNGDRKSRRSGRRWGLLGNNFTVALMVQLRDPLRNYEPVGTPMLALIQVERVFVPPKPKIYSTVSDKGNSEQDDEEPKTEEVPDKALVTEEKAEELEDPIPQFKVTEVHVAGFKSEPEKMKPWGNQTQQQSGSRWLLAAGMGKGNKHPLMKSKAIVKPTKEAAGQAGDTLWSISSRVHGAGTRWGELTGNKSHSRNPNIMLQKDKRFR >Sspon.08G0009680-3C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8C:41871571:41872893:1 gene:Sspon.08G0009680-3C transcript:Sspon.08G0009680-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPQATKSLGELDSGARPHVMFIPSAGMGHLLPFFRVIAALAGHDVDISVVTVLPTVSAAEANHFSSLFTALPHVRRVDFHLLPFDASEFPGHDPFLLRWEALRRSAHLFRPLINGAAPRVSAVVTDVTLTSHVIPIAKELGVKCHVLFVSCATMLSLVAYTPVHLDKKVEQGPGVGDVDIPGVRRIPQSYLPQPLLDLNKLFTKQFIDNGREIINADGFLVNTFDALEPVALAALIDGKVVPGFPPVYAIGPLRSQHNSTEVDKEEATGSPVAWLDEQPARSVVYVAFGNRNAVSLDQIREIAAGLEASGCRFLWVLKTTTVDRDDSAELTDVLGKGFLERVQGCGLVTKAWVDQEALLKHPSVGLFLSHSGWNSVTEAAASGVPLLAWPRGGDHRVNATVVVSGGVGVWMEHWSWDGEDWLVTGEEIGKKVKEVMSDAA >Sspon.08G0009070-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:35025641:35028430:-1 gene:Sspon.08G0009070-2B transcript:Sspon.08G0009070-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSEPDVELRGLDIDVELDPEDLQPSVPLKKVPGGDLFEAARAGDCDRLALLLEAGANVNARDRWDSVALYYACLAGHAEAARMLLEAGAVCAERTFDGDRCHYAALNLRLRWLLKSFEARPPPLAPLPAALRATFLACPANRTAFLEMLQGSAGAESTALAAAAGFGPKDDPSSACLFPPDITFYVDRKPVEAHRVILCARSPFFEKKFKTDWKDRKEVRFSNQKLYYSALYSLIHFFYSDRLEVAVDDMENLARACKVCKCEELQKILDKEVVHQKYAEYKSARELDLDNSQKRFILQAQSLPEEDRLPSALQRILQTCLANSREGYYSEESNEMIRNSEDDDLADLYIKVGDKVFHCHQVILASRSEYFRARLSRTVGNCGFQAAQNVPLLEEHDLSAEAFEKMLEYMYTDKLEHLDPDQAEELFDIASRYLLFPLKRVVADMLLPYLEHVSPAELCHWLMLSDIYGVMKIREYILDIIACNFEMFADTREFRALLLTLPPPSGDDSLRTTRPSAPGTGGNTDQGNILDDLREKWLEAEGAELDKRDESAALFDRRLEMLMLVAEKEADDEDA >Sspon.07G0017220-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:61508391:61510721:1 gene:Sspon.07G0017220-1P transcript:Sspon.07G0017220-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQCCSKGAGEAGAAEAAPKAQAPSRGASANNERPSSSSAAPGGGGGTTKPASPTGARASTGSKPPAAATAAVGTVLGRPMEDVRATYSMGKELGRGQFGVTHLCTHKASGEKLACKTIAKRKLATKEDVDDVRREVQIMHHLSGQPNVVGLRGAYEDKQSVHLVMELCAGGELFDRIIAKGQYTERGAAALLRTIVQIVHGCHAMGVMHRDIKPENFLLLSKDEDAPLKATDFGLSVFFKEGELLRDIVGSAYYIAPEVLKRKYGPEADIWSVGVMLYIFLAGVPPFWAENENGIFTAILQGQLDLSSEPWPHISPGAKDLVKKMLNINPKERLTAFQVLNHPWIKEDGDAPDTPLDNVVLGRLKQFRAMNQFKKAALRIIAGCLSEEEITGLKEMFKNIDKDNSGTITLDELKHGLAKHGPKLSDSEMEQLMEAADADGNGLIDYDEFVTATVHMNKLDREEHLYTAFQYFDKDNSGYITKEELEHALKEQGLYDADKIKEVISDADSDNDGRIDYSEFVAMMRKGTAGAEPMNKKRRDVVL >Sspon.08G0009000-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:39335133:39335717:1 gene:Sspon.08G0009000-1A transcript:Sspon.08G0009000-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AMAGHCSTHTRSSQNPMGNESFGHHGLPAAQGQSTTSRMPVAVVPYPSSFPFIHPLMTNQVMIRVPPCLALPSPSMPFWMMAQHQIQAPPQAQLICGTVLHSYTVMSWPYHAATAVLASPRHAYPANQPGGAQADLTLRLGSGGSNSLGDKRQLMPLHEDERHGKRPVVAMDGGDQSGEEGNDADGLDLELRLCR >Sspon.03G0018300-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:77529195:77530667:-1 gene:Sspon.03G0018300-2C transcript:Sspon.03G0018300-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MWLLYAITKAFVIAFVMTFFSVFDVPVFWPILLCYWVVLFVLTMKRQIVHMIKYKYVPFSIGKQKYGGKKSVASTSSSKD >Sspon.05G0014260-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:51791781:51795625:-1 gene:Sspon.05G0014260-1A transcript:Sspon.05G0014260-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKQFKVLLPPSFHKLHISDELAECFDTGEGEGAPEPTALVVSPFGKVWRVEVGRDGDGAFLGRGWAEFLAAHGVDLGWFVVLRHEGGGALTVKVFDTSMCIKEFGAPAAVMTSKSSKGIICKPQFIRIFLPYLSEKMILPARFVKDYIREECLNSRTAIILSPLGKFWRIELKNDQSGIFFTGGWSQFLDFHGISNGDVLLFRYEGNMVFKFKAFGLSGCQKDFRNQNAGIQLRARSTAARPVLDPGQSTPVFKTDGSIPPGRGPGTARSGLNEQRLNTEKQQETPSPIRKRRSNDEKLSSEGNKRPKSSGTFPSLKEPYQIGTSSWIRKKINTYALERLLSLSKKFCNWIGFKMTCTITLKTKMDSTRSWQVHGAAYKNYRYIVGEGWKRFCQENRLKTGDLCTFNIIETTLWHVTITRSTLADTFKQKKSPYISSRDHQTKKGCSSSDGTKRPKSSMTSLSKVPSSTNSVYDIGPPSWIRKEMNTYSIIKHLVRMSLAPAFCNQIGLPKHCTITLKTSINSNNSWRVGGRKDSSYIIGQGWKNFCRENKVKVGDVCTFNVIEPNLWHVVIT >Sspon.03G0015700-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:74132249:74137809:-1 gene:Sspon.03G0015700-2B transcript:Sspon.03G0015700-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRRASHLLLRTAAAAPTRSLPPARPPLPLAPRVTSASSSYATATATAAAAAAAASAPAARAPRTVGTLLRLNDLRDNPGARKQKTRKGRGIGSGKGKTAGRGHKGQKARGTARFGFEGGQTPLRRRLPRRGFKNPFSLTFQPCGLGKIAKLINAGKIDSSELITMKTLKETGAIGKQIKDGIRLMGRGAEEIKWPIHLEVSRATARAKAAVEAAGGTVRLVYYNKLGFRALLKPEWFEKKGRLLPKAARPPPKQRDKVDSIGRLPAPTKPLPFTPEELEFAAKRDAARVSVTA >Sspon.03G0020720-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:87450357:87450999:-1 gene:Sspon.03G0020720-2B transcript:Sspon.03G0020720-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding WRRRLRREAAAPAAAEGLGLASGEPQQKKTVVVVAVDDSDHSYYALEWTVRHVVGGGGMAGGAADLVIVHAKPSPSSVVSFGGPGSRRGDQVRGGRPAQDGGGRGRQGAPRLRRQLGARPHRGGGRGAPVRAVRRGGEAPRGPAGGGQPRLRRHQEGAARERQRLLRAPRALLRHDRQAAQVQM >Sspon.07G0032770-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7C:54256644:54258491:1 gene:Sspon.07G0032770-1C transcript:Sspon.07G0032770-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDAWSPLLNYAHLSGLLARCGRAGDLRLGTALHAIVTKNPAHFRLCPHRASLHHVLAVWNSLVAMYARCGRRGDAARAFDEMPLRDSVSWNSLLAASASATDALALLRRMLRAAPGAAACDHATLTTVLSACARVDGGGDAGAGAASLPVVHGLAVSCGLDAEVSVGNALITAYFECSSPGSAERVFDAMADRNVITWTAMVSGMARAERYRESLSLFQQMRHAVDANRASYSSSLLACAGSLAAREGQQIHGLVVKAGFETDLHVESELMDMYSKCGLMEDALRVFRSCPDPDEVFLTVILVGFAQNGLEEKAFELFAEMVEKGIHIDANMVSAVLGAFGASAPFALGKQIHALVIKKCFGGNTYVCNGLINMYSKCGELQESIEVFDGMQSKNTITWNSIIAAFARHGHGSEVFGLFESMKADGVKPTNVTFLSLLHGCSHVGSARKGLEILNSMSSQYGIHPRVEHYACVVDMLGRASQLDDAKAFIEDGPFKDSALLWQALMGACSFHKNSEVGKFAAEKLLLLDPDCSAAYVLLSNIYSSEGRWDDRGRILKRMREKGLRKDTGKSWIELEKEVRSFVMAPRSHPDVVMMQSSALVASDQEDLVESNAL >Sspon.02G0052750-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:95929455:95930949:-1 gene:Sspon.02G0052750-1C transcript:Sspon.02G0052750-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAEHVGVKNFDELLDRRMTLPSGLGGGSHGNTIDSCQLHDLIREICISKAREESFVFTLEEGCSVSSAQGTIRHLSISSNRKRDKDVMQRMLDLSHVRSLTVFGEWRSFFLSKKMRFLQVLDLSQTVGLRDHHLDRIGELLHLRYLSLLGCQAIFELPNSFGNLRQLQTLDIRESMSMAAHLSWRPRLLEARLGRSGSHLLEDGVNRHDLCSLHRFLIKHDEGGNIMLHGVKVPTGISKLKALQTLRVINVAWGKATFQQLRELTQLRKLGVVGVGGKNNKKFWHAIAGHNRLRSLSVYNEFYELDVGLGQNLWPPESLESLNMWGYLSKVTEWIHRLQNLTKLQLQNSRLKNQDALQAFGTLPNLAVVRLKDFSFECDELLFHGLSFPSLLVLELHRFGSDSPLNIKTPGAVGFQQAAVPKLE >Sspon.05G0021060-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:84304032:84308401:-1 gene:Sspon.05G0021060-4D transcript:Sspon.05G0021060-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATATAAALRCCFPGSSGVGSGFVKPTSSRRGWCAAAVAAPSREAEPVSSLGHRTRVDFPILHQEFDGAKLVYFDNGATSQKPYSVMRTLDEYYRSYNSNVHRGIHALSAKATHAYEDARRKVANFVNAADSREIVFTRNATEAINLVAYSWGLSNVKEGDEIILTVAEHHSAIVPWQFVSQKTGATLKYVGLTKENVPDIEQLKGLLSNKTKIVVVHHVANVLGSMLPIEEIVTWSNRVGAKVLVDACQSVPHMPVDVQKLGADFLVASSHKMCGPTGVGFLHGKFEILSSMEPFLGGGEMIADVFQDKSTYAEPPSRFEAGTPAIGEAIGLGAAIDYLSCIGMEQIHEYEKELGTYLYESLLSVPNVQIYGPAPSQTVHRAALCSFNVENVHPTDIAEILDLQHGVAIRSGHHCAQILHRTLGITSSARASLHFYNTKDEVDTFIDALKATIDFLTTRY >Sspon.07G0003260-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:7653190:7660483:1 gene:Sspon.07G0003260-3D transcript:Sspon.07G0003260-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding CRWLHTPSFATVSPQEVSGSSPAEVRNFVQGSWTASANWNWIVDPLNGDQFIKVAEVQGTEIKVSDFFAKLIQRVSPKSYQQALAEVQVSQKFLENFCGDQVRFLARSFAVPGNHLGQRSNGYRWPYGPVAIITPFNFPLEIPLLQVMGALYMGNKPVLKVDSKVSIVMEQMIRLLHDCGLPAEDMDFINSDGVTMNKLLLEVDYVAWVCDQDAYACSGQKCSAQSVLFMHKVTTEAMIEHMNNLLKIPGSKVLFGGEPLANHSIPKIYGAMKPTAVFVLLEEILKSGNFELVTKEIFGPFQVVTEYSEDQLELVLEACERMNAHLTAAVVSNDPLFLQDVLGRSVNGTTYAGIRARTTGAPQNHWFGPAGDPRGAGIGTPEAIKLVWSCHREIIYDIGPVPKSWALPSAT >Sspon.04G0017210-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:68873785:68876238:-1 gene:Sspon.04G0017210-3C transcript:Sspon.04G0017210-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPAAADQPCVIQALPANCNTLPPPLVQALPAQHSPAKTNDTPPSPAAKRRPAPAPLGPAPPSPRRTRLGGAPEWTPAETLALVAEVAAVDDGWSRSVSAFQKWAMVAENLAASEAFASGPRTRRGRGSGSKRTAGECRRRWEALAAEYGAVRRWEVRTGGTYWEMGAAARRKAGLPAEFDAEVYGAMDALIRVEEALLADAAGGAAGGEEVEGLVGGGAGVEVGVQDGGDSGEAEVGKEQVQEDASAGQGEEEEEEPQEEKEEEEDVDEDGEEMQEDGGNADASNDLEGQETGTSIEPDKSQNIAWELANKLHENAQHIHTILNEEADQDGGQNHALGGLMLPDAMEATRQKADELIKSLGGLVSYLNQFTELVKETGFENIAGMT >Sspon.02G0024840-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:90782769:90785077:-1 gene:Sspon.02G0024840-2C transcript:Sspon.02G0024840-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSSETREWSALISIDVNHCLDARPTRLIRNALYFSHDYGVGMVKYDLIRHELSVILSPGVRGAVAMELDDGALGFVAMLDRCIYRWSWQADANNGIGKWAEHMVMQLETLLTKGNPNFFYRVVGFVEGTDTIFISAYAGLFTLDLKSKKVKKIGRKGDYYRVIPYMSFYTRGM >Sspon.06G0011320-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:44734948:44741920:-1 gene:Sspon.06G0011320-2P transcript:Sspon.06G0011320-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRSLVRPPLSGFKMEVIETSYAGHAKVLSSTVDLKKFPDGIICVGGDGIVNEVLNGLLSRDDFEVAIRFPIGIIPAGSDNSLVWTVLGIRDPVSAAIALAKGGFTPIDVLAVKWIQAGVTHFGLTASYYGFVADVLQLSENFRVHLGPFRYVVAGLLKFLSLPQYRFEVDYLPSSSGTNSELRPRTEKCHEQLSDDGKVRRGTQINGRVEDNWVTRNGEFLGIFVCNHFCKPAQGLLSPVIAPKAQHDDGSLDLILVHGSGRLRLFCFFVAYQLCWHLLLPYVEYVKIKEVKIRPVGNTHNGCGVDGELLHGEDKAEWQCSLLPAQGRLLGQDPGALK >Sspon.01G0037370-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:21270091:21276642:1 gene:Sspon.01G0037370-1B transcript:Sspon.01G0037370-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDNGAAAATAPATSTLPAEKHVATPDAGEDNKVVEGDAPAPTLLPCGPRKTGLHLFVMNIRSVFKLDDLGSEVLRIAVPASLALAADPLASLVDTAFIGRLGSVEIAAVGVSIAIFNQVSKVCIYPLVSVTTSFVAEEDAIISKAIEEKNSQDLEKASHVDSETNNLPVSGPDLAECVNSCIPTECTHLSNQGCKKRYIPSVTSALIVGSILGLLQAVFLVFSAKFVLNIMGVKSGSPMQKPAVRYLTIRSLGAPAVLLSLAMQGVFRGFKDTKTPLYATVVGDAANIILDPILMFVCHMGVTGAAIAHVVSQYLITLILLCRLVQQVDVIPPSIKSLKFGRFLGCGFLLLARVVAVTFCVTLAASLAARHGPTIMAGFQICCQLWLATSLLADGLAVAGQAVLASAFAKNDSKKVVAATSRVLQLSIVLGMGLTVVLGLAMRFGAGIFTSDLPVIEVIHKGIPFVAGTQTINSLAFVFDGINFGASDYTYSAYSMVAVASVSIPCLVYLSAHNGFIGIWIALTIYMSLRTIASTWRMGAARDHGSFSGSDD >Sspon.08G0021430-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:38518667:38519145:1 gene:Sspon.08G0021430-1B transcript:Sspon.08G0021430-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRLFYVDFLSNVVIRQLLLTSLDDSNGKIRTAISMAVAAIGQQDWPEDWPELLLVLLKLIGDQSNGNGGK >Sspon.06G0017800-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:96578050:96580691:1 gene:Sspon.06G0017800-1A transcript:Sspon.06G0017800-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRLLPLLAALLLLAAASPAPPTADATLHPVDYLALQAVRRALTDLPGSRFFASWDFTGDPCLFAGVSCSPDGRVATLALGDPRAGAPGLSGTFPSAAIASLPALTSLSLVPGRVTGSLSPAVTALPALRFLALAGNLLSGDLPSAFAPALRTVDLSKNGFTGRIPPSLLQLRDLRTLVLSHNALSGEIPRAVRAPLLHLDLRSNRLSGGVPPLPGTLVYLSLAGNRLSGPVGGVLRRLPRLSFLDLGRNWFSGEVPGEVFAFSRIGYLQLRKNAFSGELRPAGRVPPGATVDLSHNALSGRVPPELATASAVYLNGNRFAGEVPREVAAAAEAGRMRVLFLQDNFLTGIAVGGVPASAAVCAHWNCVAPPPAVVAACPAKGGRGRRRPQTQCRGRAGVEEWASSPPLLFKL >Sspon.02G0027810-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:101062236:101065089:-1 gene:Sspon.02G0027810-1A transcript:Sspon.02G0027810-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPSDAVAAAVSARLLELAADDDSAALGDVLAAHPSLADEPAPWYSPARGAEPMTPLMVAAAYGSVACIDVLLSPPHLADPNRASPSSLSTALHLAAGGGASTAPAAVSRLLAAGADPTLLDHLHRRPSDLVALPPNSLPLKNHLLSLLGGRKEWPPDPSLPDIKNGAYASDDFRMYSFKVRACSRAYSHDWTECPFVHPGENARRRDPRKYHYSCVPCPEFKKGAGCRRGDMCEYAHGVFESWLHPAQYRTRLCKDGVGCARRVCFFAHTPEELRPLYVSSAGSRSALEMAAAMGMGLPSPGASFTPPLSPSGGGSGVAGAWPQPNLPALCLPGSAGNLHLSRLRTSLSARSMAVDELLASADYDGLVASPASVRSARGKTLMPSNLDDLFSAEMAGSAASHSPRYADQGGSAFSPTRMAAMLNQFQQQQSLLSPRATVIPEPVSPMSSRLLAALAQREKMQQQTLRSMSSRDLGSGASVLVGSPVISSWSKWGMPSSTPDWGADDEELGRLKRSSSFELRSGANGDEPDLSWVNTLVKEPAPEKPSINRTTAKESIASLSQAASHEDIGGEDDTAGVIGGWLEQLQLDEMMSATWPLMELRSCQDKTTWAVARYGRLVSWLLFGPGGHSGQC >Sspon.02G0005780-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:18153775:18154131:1 gene:Sspon.02G0005780-1A transcript:Sspon.02G0005780-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VVPCSPDPPPTRGHGVTAAAGRPPRRPPEGDPGPGRLPCRPSPRFHCIHLLPPPHHRPHLPPSLPVVPPASRPRPRQRRRLQLRTRRGTPSWRSRRPRYGPRRRFLLLTTSLAADGT >Sspon.01G0003840-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:10781695:10785316:1 gene:Sspon.01G0003840-1A transcript:Sspon.01G0003840-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding QQSERDEIEGGAGAAGGGARRRGGGGGAAALGLHAALHRWARLLSGAAAGDDARPAADLRVLLSVLACPLSPVPLLPRLPRHVASSAQYIIEQFRATTGCGKLEDGAVKSMYASGRVRLSMLQEPGGGGSGVIGGGGRGHEGSFVLWQLAPSMWLVEMSVAGQSVAAGSDGRVAWRRTPWLGAHAARGGSRPLRRALQGLDPVTIASIFSTAEHAGEKEVDGEDCFVLRLDVGPSVLSSWSDGTAEVIRHGLTGFFSQRSGLLARLEDSQLTRIQSPGAAAMYWETTIASAVSDYRAVDGGVAVAHAGTSTAHLARFGVGVRAARVVTRMEESWTIDDVAFNVPGLGPDAFIPPEEVRRSRSYGAAIAGGK >Sspon.08G0011910-3C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8C:48846590:48847090:1 gene:Sspon.08G0011910-3C transcript:Sspon.08G0011910-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKTAVAASSNDAAAARHGGSGKQRTYKGVRMRSWGSWVSEVRAPGQKTRIWLGSHSTADAAARAYDAALLCLKGSAAAPDLNFPLRLPFDLPPAGAMSPKAIQRVAAAAAASSATPFAPCAENNNGSACTDGDGDITPAWSSSSPARDDVSSPESTVSSESDLSGD >Sspon.04G0001680-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:5614098:5619515:-1 gene:Sspon.04G0001680-1A transcript:Sspon.04G0001680-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AMAVEILESCMVKPSDDAATTTPTHVVWLSNLDLLVARSHTPTLYVYRRPSPDIPGFFSPDVLKAALSKALVPFYPLAGRLAQDGAGRPEIHCTGDGALLVTARADATLQDLAGGGGFAPSDELRQMLVPSAADGEDRAGILAMFQVTYFKCGGVCVGAAIHHTAADGLAALDFFNTWAAIESKGVDEAAPRPWLDRTLLRARSPLDVRFDHSEYSRRRGAGGDPKTARVPFDSAILPLSKTQLDALKANKKVSTFKAVVAHVWRCACMARGLAGEADTRLYMTADARSRVRPPLPAGYLGNAIFRASTVAKAGDVVSEPLDAVAGRVSDATARLDDEYVRSLVDCLEQVVSDAAGLRKGEWVMPETDLWVISWQGLPIYDADFGWGRPAFMGRACLQFSGLVYLVPGPDGDGRLDVVVAMEPKSLARFKELFYELIKGAGVPCMAE >Sspon.06G0005750-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:19282666:19283458:1 gene:Sspon.06G0005750-1A transcript:Sspon.06G0005750-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHEQKAEMARQFDAFIALPGGYGTLEELFQVITWAQLGIHHKPIGLLNVDGYYNTLLAFIDQTMEEGFVSHSARQIVVHAPNAQELIEKLEDYVPYNDIVFTRLNWKTEIVNDP >Sspon.01G0011430-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:31212435:31215839:-1 gene:Sspon.01G0011430-1A transcript:Sspon.01G0011430-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GGAKAEDKPAAAAVAAADDWCYQFGNKVAFDLKAPKKSPLALRMVVFAMTMLCGISICSMCMKQLGSDGWSRIIKIEVVEQPCNKSTVPPSEVQFVHYPQPITYSRWECKCNAVRFFAIISSQRSGSGWFETLVNSHMNVSSNGEIFSRKERRSNISSIINTLDKVYNLDWNSSASKNECTAAIGFKWMLNQVLVANHVDVVDYFNQRGVSAIFLFRRNLLRQLVSQLANNHDRSLKQLNGTHKAHVHTKHEVHILARYKPRLNTTSLIWQLKQADEYTRDALQNLNNTRHITVYYEDIVRNRTVLFDVLDFLKVPRRNLVSRHVKIHTKPLSEQIENWDEVYSALNGTQYEGFLNAADYV >Sspon.01G0016100-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:54710321:54713983:1 gene:Sspon.01G0016100-2B transcript:Sspon.01G0016100-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAAAAPAQAPRKWEGLVDEALEREVLGACLDQAPERRRIREAFKNVQLSIGHCLFKGQYSGIGTKESYERNSRGVEIFSKCWFPENRCMRAIVCLCHGYGDTCTFFLDGVARKIASAGYGVFALDYPGFGLSEGLHGYIPSFDTLVDDVAEHFSKVKGNPEYRGLPSFLFGQSMGGAVALKVHFKQPNEWNGAILVAPMCKIADDVVPPWPIQQVLIFMAKLLPKEKLVPQKDLAELAFKEKKKQEQCSFNVIAYKDKPRLRTAVEMLKTTQEIERRLEEVSLPLIILHGEADLVTDPAVSKALYEKAKSQDKKLCLYKGAYHAVLEGEPDETIFHVLDDIISWLDQHSAREEGMSS >Sspon.04G0000680-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:3047568:3049103:1 gene:Sspon.04G0000680-1A transcript:Sspon.04G0000680-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor MYB41 [Source:Projected from Arabidopsis thaliana (AT3G61250) UniProtKB/Swiss-Prot;Acc:Q9M2D9] MGRAPCCDRTKGLKKGPWTPEEDKLLVDYIKTNGHGSWRLLPKLAGLNRCGKSCRLRWTNYLRPDIKRGPFTPEEQKSIVQLHAIVGNKWSMIAAQLPGRTDNEIKNYWNTHLKKQLHRMGLDEPPPGPAAGSPAARHMAQWETARLEAEARLSLLATATAASSSGATATTAAATTSASSSSTTVDLKACCAKPADIFLRLWSSDIGDSFRRNRKTAAAPPAGPPALLPPAPVVVKRKQDAMMIKQELPQALLLGPGPGDDSSAASNETEVAEALEEYQMFLDFAGEELGLFHGRHGGFSLFPPLDVLAEASLDTAFK >Sspon.07G0017220-4P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:80981174:80983452:-1 gene:Sspon.07G0017220-4P transcript:Sspon.07G0017220-4P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GPVLLQGRKERPAPPRRHQRRRRQAAARPQTTNVRRRHPRRLVVVVARRSLGSPTGARASTGSKPPAAATAAVGTVLGRPMEDVRATYSMGKELGRGQFGVTHLCTHKASGEKLACKTIAKRKLATKEDVDDVRREVQIMHHLSGQPNVVGLRGAYEDKQSVHLVMELCAGGELFDRIIAKGQYTERGAAALLRTIVQIVHGCHAMGVMHRDIKPENFLLLSKDEDAPLKATDFGLSVFFKEGELLRDIVGSAYYIAPEVLKRKYGPEADIWSVGVMLYIFLAGVPPFWAENENGIFTAILQGQLDLSSEPWPHISPGAKDLVKKMLNINPKERLTAFQVLNHPWIKEDGDAPDTPLDNVVLGRLKQFRAMNQFKKAALRIIAGCLSEEEITGLKEMFKNIDKDNSGTITLEELKHGLAKHGPKLSDSEMEQLMEAADADGNGLIDYDEFVTATVHMNKLDREEHLYTAFQYFDKDNSGYITKEELEHALKEQGLYDADKIKEVISDADSDNDGRIDYSEFVAMMRKGTA >Sspon.02G0005970-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:17622351:17624353:-1 gene:Sspon.02G0005970-2B transcript:Sspon.02G0005970-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DVLAGFCGRIRRLVAAGAASARRHPRVALHGFPGGPEAFELVARFCYTDGGRGEAVTAANACVLRCAAEFLDMAAADAPVVGVTSTAAPSLMRMTEKAIEEMPHWPWHTVMDAVKQCQRLVPLADSTGAFDAAVAALVSQMAVPPPAAGDATPTGSSPESTAFRLSCDTKSSSLSLRGSCISRTWWFEDLVALGPATVERVASALVARGTDHGVVARFLFYYLKCRIAGASPEDKKAMLETSVAVMASLDRSAVSCKGLFGILRIAAPLKLADACQERLVAMIGRKLDHATLDNLLVPAPPGTASLYDVSLVLRFLAAFLRGGASDEPARLKKVGRLMDLYLAEVAPDPSLRPAKFLELATALPAPARDCHDALYRAIDVYFQVHGRLTDEEKMKICRGLSYEKLSPECCKHLARNGEFPTRAAVQALASQHTVLKSIVLREPGQLKPVSPSPPPSKGKRRDGSGGDENDGQVILYAGRLDLSLENQNLRSLLDGMHWRVMELEKVCSRMKTQMSKMKQARRGGGGRTARSLPR >Sspon.01G0016160-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:50455385:50466750:-1 gene:Sspon.01G0016160-1A transcript:Sspon.01G0016160-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPALVLVTLLVLAVAASTTMMEASAFTVGCNNGSTPGGGGTVVGNKLNVHLVPHSHDDVGWLMTIDQYYVGSNNSIQFILTGASSWQGACVMNTLDSVVDALAKDPARKFIIVEQAFFQRWWAQKSLTIKAIVHKLVDSGQLEFINGGWCMHDEAVVHYIDMIDQTTLGHRMIKKQFKKIPRAGWQIDPFGHSAVQAYLFGAELGFDSVHFARIDYQDRATRKADKGLEVIWRGSRTFGSSSQIFTNVFPHHYNAPDGFSFEVLAENVIPVQDDMSLFDYNVQERVNDFVATAIAQANVTRTNHIMWTMGDDFNYQYAESWFQNMDKLIQYVNKDGRVHALYSTPSIYTDAKHASNESWPVKYDDYFPYADSTNAYWTGYFTSRPTFKRYIRVYSGYYLAARQIEFLMGRSSLGLFTSSLEDAMGIAQHHDAISGTAKQHTTDDYSKRIAIGASKVEKGVNTALTCLTNSNKTCVSSVVKFSQVVVAYNPLGWERSDFIRVPVNDENLVVKSSDGNIVVSQIVEVDNVTGNLRKLYVKAYLGVATDKAPKYWLTFQASVPPMGWNSYFILKSTGAGYNNTEHVPPWFLQVTAQLKLDLGI >Sspon.03G0024650-1P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3D:59496706:59498295:1 gene:Sspon.03G0024650-1P transcript:Sspon.03G0024650-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVSPFVALLLVALLSLLLFATRRKGSPSSRDGRRLPPSPPGLPLLGHLPLLGSLPHRKLRSMAASYGPVMLLRLGRVPTVVASSAAAAQEVMKARDLAFASRPRVRMAERLLYGRDMAFAPYGEYWRQARRVCVLHLLSQRRVYSFRQAREQEAAAMVGRVRRAGAGGRGAGAVNLNAVLISYSNGVISRAVFGNVGRSYGLDGGEGEKLAELFADFEELLGTVTVGELVPWLAWVDTLTGLDAKVKRTSGEMGALLDRVITDHRQRRRGNRRPPEEGDHRDFVDVLMDVNEAEEAGGVKFDNVAIKANILVLFAAATDTTYTTLVWAMAELINHPNEMRRVQDEIRAAVAGSGHDVVTEDHLDKLRYLRRVIKETLRLHAPLPLLLPHETMEDTELLGYHVPAHTRVIVNAWAIARDPATWERADEFVPERFTDDDDDVKTDYLLGQDFRFVPFGAGRRGCPGVGFAAPAMELALASLLYHFDWELPAGGRRRWRWRS >Sspon.06G0006660-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6A:24241136:24241456:-1 gene:Sspon.06G0006660-1A transcript:Sspon.06G0006660-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSALHLSQNQQPSLSPSSILHSRWPPGRREREKGEEGEEERGRKEAKAAPPLEDIAGEPLYAATSPEMEEEAPQAVIFNLKLMKVTGVDLRPQATPSQAAAHGGPL >Sspon.06G0007990-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:41790236:41794634:1 gene:Sspon.06G0007990-1P transcript:Sspon.06G0007990-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPATDAASAVPPSVVSAAEETLAAAESVGDHLSHLLAAAAADPDAVAELPPLLRARAFLAVAHAATSLLGGIAPSALLFLERLSLWQEKLNQFEAWDKAPLRPTTTINTQAAARFIGHSLSHLTSDQKRSMQEISRGERRSWSGQKRKPEPLPEKKSVRAAAEEFLAKAAQELIGHSDSRVKGPVILIPDEDED >Sspon.03G0016840-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:53136211:53137662:-1 gene:Sspon.03G0016840-1A transcript:Sspon.03G0016840-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding KLFQVTTITVFNILMNEAVIFMGPEASNSFISSSMQLLYMMEEHSVTGHVAQFSRVLRKMVSLQTI >Sspon.04G0003500-3P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:11658256:11658870:-1 gene:Sspon.04G0003500-3P transcript:Sspon.04G0003500-3P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTNSRTNSRANFNNEIHDIGTVQNSTIMPPTYYDRSLADIFPPHLLKKVVSEVVSTFLLVFVTCGAAGIYGSDKDRISQLGQSVAGGLIVTVMIYAVGHISGAHMNPAVTLAFAVFRHFPWIQ >Sspon.06G0005920-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:18512132:18513782:-1 gene:Sspon.06G0005920-4D transcript:Sspon.06G0005920-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSPCCEKIGLKKGPWTPEEDQKLLAFIEEHGHGSWRALPAKAGLQRCGKSCRLRWTNYLRPDIKRGKFSLQEEQTIIQLHALLGNRWSAIATHLPNRTDNEIKNYWNTHLKKRLAKMGIDPVTHKSISGTLTGTTNNKSAKAAASLSHMAQWESARLEAEARLARESKIRTATPTPTALHAQPTNVPVSAASPCLDVLHAWQGAKIDLESPTSTLTFTGSNSGILPTPRTNRLEVSESNSMMWHQRSDELEGEENDWQIFSKHQVLELDSKEREDDFIGCEEPWFSGMAGVGSGFTGMLFDVSNEHDASECWGESNNGQTEHSNQASDEEDKNYWNGVLDMVNSELTPQSPPLV >Sspon.06G0032060-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:78186160:78187122:1 gene:Sspon.06G0032060-1C transcript:Sspon.06G0032060-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPRVLLFSWFAMAAVTAAAAGVDALGVNWGTMSTRRLPPKVMARLLTDNGFQKVKIFDADERTMKGLAGTGIETMIAVPNDMLAAVADYDRARQWVKDNVTKYTFDGGVNIKFVAVGYEPFLKAYNGSFDHVTVPALKNIQRALDEAGHGAAVKATVPVNADVYDSPASNPVPSAGRFRDDVAGVMTDMVRFLNRSGAPLTVNIYPFLSLYGNDDFPLDYAFFDGGTAKPVVDGRVTYTNVFDANFDTLVSALRVGFGHLPVMITSLWR >Sspon.05G0010840-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:30790804:30792893:-1 gene:Sspon.05G0010840-1A transcript:Sspon.05G0010840-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding PEERSIVKAAATSPAQAQTSPPPQRKPPRLTPRLLHATHAALAHPRLPFSSLPRRPGSHARGASELRRRVVGLPELSTQVGPVSCAEGLVVRVPTGIVVGADPWSSAARSADSAPFLHKWIARTAHLLLFVSLAICEASGLEDMAAAMDAPNSGAAAGSGGMPSDALYRELWHACAGPLVTVPRQGERVYYFPQGHMEQLEASTHQQLDEYLPMFNLPPKILCSVVNVELRQNELTSLDPEPQEPEKCTAHSFCKTLTASDTSTHGGFSVLRRHAEECLPQLDMSQNPPCQELVAKDLHGTEWHFRHIFR >Sspon.05G0011690-4D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5D:35940922:35943045:1 gene:Sspon.05G0011690-4D transcript:Sspon.05G0011690-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIDQMESGANGAGGGGGFRRARCWELLCSAAGMLWAKVAGFARKLARIARDDPRRVAHSFKVGLALTLVSVLYYVRPLFNNWGVSTMWAVLTTVVVMEYTVGGTLCKGLNRAFGTLVAGFIAVGAHKVAYLCGDKAEPVLLAIFVFLLSSAATFSRFIPEVKARYDYGVTIFILTFSLVAVSSYRVDELIRLAHQRFSTIVVGVATCLCTTIFIFPVWAGEDLHKLAIGNLNKLAEFFEGIESECFRENATFENLEAKPFLQVYKSVLNSKATEDSLCNFAKWEPCHGKFKFRHPWSQYQKLGALSRQCASSMEALASYVIALTRTEPFPACSQYPEAHPELCLKVRTACRQMSLHSAKALRELSAAMRMMTLPSPANVHMSAAIKAARGLRDELSEDADLVQAMHVAVIASLLSDLVTKTKQITESVDILARLARFKNPENTQRDVGINVVS >Sspon.01G0033020-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:111813322:111816588:1 gene:Sspon.01G0033020-1P transcript:Sspon.01G0033020-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLWHLGDELRGQPKVVEDRQWSLMTSKLAEITRSKGERMNDLDYARMNTVPDAKQWDKTSYQHHDESRMDHINLGLMNLDLKMNDLKMNEAATAMKLPFHNMPYNMNPMYPKGSNVNAFKMNVGVNKYSNSPNGKDANGKNNGGSNNNGGNSNGSANSNSAVDKRFKTLPTSEMLPRNEVLGGYIFVCNNDTMQEDLKRQLFGLPARYRDSVRAITPGLPLFLYNYTTHQLHGVFEAASFGGSNIDPTAWEDKKCKGESRFPAQVRIRIRKLCKPLEEDSFRPVLHHYDGPKFRLELSIAETLSLLDLCEKEGI >Sspon.07G0015980-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7A:56813453:56815236:-1 gene:Sspon.07G0015980-1A transcript:Sspon.07G0015980-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTTSKKAYGVAIIIQLIYTGMYVVSKAAFNHGMSTYVFIFYRQAAATALLLPLAIVLESNTLSMNLYNISLKYTSATVASATSNSVPVVTFFLAVLLRLEVVRLRSPSGMAKAAGVALCLAGVLTIALYTGPSMSPVNHHRAFAAHHPSHPQAHADGGKGTWIKGTFLMLLSNTTWSLWIVLQASLLKEYPNKLLSTLVQCGLSTAQSFLLAVAVERDPAAWKLQLDVGLLAVAYSGLVVTGVSFYLQAWCIEKRGPVFLAMSNPLGLLFTIFCSSFFLGEIVRLGSLIGSGLLVGGLYSVLWGKSKDHLHQKQSQEQVPKTLATTSNDGHDDDEEKQQQPPAVQNTAGSDEKQLKDLFAMEASPQRHQSG >Sspon.02G0040640-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2B:70998103:70998351:-1 gene:Sspon.02G0040640-1B transcript:Sspon.02G0040640-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLSYLALKQTDELLARLGGESQGTDGTTRVHDHRRGGRTPIHHPYYHHLNDHFCRDKRQKERQPSRRNSDQQLEVTIAMH >Sspon.04G0010180-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:25678460:25685123:-1 gene:Sspon.04G0010180-1P transcript:Sspon.04G0010180-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGLLRWRRLAGAATRAASTLTAAECSPAAASAAGAVSPPPHRRLQERRNSSSSSSTDEPEPRRIRAEAHCPRCSKHMDILFSHRGPPPTTAGAAAAGYQALNLCPNCRSAYFFRPHVLAPLQGTFVEIGRVRADLLDHPAARARDPIFWEAIRASSSSRDDGDGGGVAVHVPPGPPFHPNLNVVRVAGSGGGGGGGGGAGDEGAGKEGWGGSNLGRDLPTPKEICKGLDKYVIGQDRAKKVLSVAVYNHYKRIYHQSLQKGSGADLGGINGEADDDDNVELEKSNVLLMGPTGSGKTLLAKTLARFVNVPFVIADATTLTQARYVGEDVESILYKLLTAESLNISRDVSGEGVQQALLKMLEGTIVNVPEKGARKHPRGDNIQDSSIGFGAPVRANMRAGGISSAQVTSSLLESVESGDLIAYGLIPEFIGRFPILVSLAALNEDQLVQVLTEPKNALGKQFKKLFSMNNVKLHFTDGALRIIAKKAMSKNTGARGLRTILETILMDSMYEIPDAKSGEKRIDAVVVDEDAVGSVDQPGCGAKILYGDGALDQYLSQIKVSGDGVASEMDGEAERAIGM >Sspon.08G0001590-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:2730695:2733415:1 gene:Sspon.08G0001590-4D transcript:Sspon.08G0001590-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPRSRIRGRDPPPPPPSGGRYRRRSPAPPSPRHQRRPRDPLPPQPKRRSSELPPPRRYEENPNPLPNGFAAAAAERRSRGDILLEAGRLAAHYLVAKGLLPEHVLLAREDPNHNPSYRPEPPAPVPAPVPAPAPAPAPAPTPAPSSYGRKRDEDDGPRWRRSGSGAGDLGRVSKWDDDREAKRSGWDRRSHSFDGRRKYNDGGGGDADRGGRRTRDYNEPKRPPMSRSYSYNDRRASADGRVDRRRRSRSRSRSRSRTRSYYSGSRRDPDLRAGTRDLDRSKVPDSGVVPAGGGDGGVHNVDVDEIPRQLKVPSSVVVMEMNDSAVEAVAIQDKQVESETAGLDHAQDMSEGEDGEFAEEISEDEDGEFAASDLNDEDGDEMDDTRSQPSDVHVHISESIEEPVHRQSQLSNTEEGMETGIAHMDACMVEPLAENNVCSETRYEMEAPQNEAETAVETPQTEVETVVGDLSRDEQELPAWYKIFDLNVIETPVDCEVSEISCGHPADDLCDSVPDLVGLVNQQANDDTSEIQGQDDHAGANQMLEDESDLNNYDLNNEADEHAQDDTSENQVQDENAEDNHLLEDGHDLTKYDVNNEAGEHAHDNHLVNNAEILLNHSMVAHTSDNCHMNNEKMLLKQNVDEQQMENEQMLIDQVNTVQVLDIHHVNDEQLLLGHGADDHHQMEPNPMAFPLGAHDLDNNYYLSSKQILLNNDADQHAGDIHHLKDGQIILDEAADGQARVHNMGNGRTIPEIDLEDDYAQQSASRNTGDYLES >Sspon.03G0014140-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:63264639:63267398:1 gene:Sspon.03G0014140-3C transcript:Sspon.03G0014140-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLHWTSLLVLAYQSCGVVYGDLSTSPLYVYKGTFSGSLHRFLDEETVFGVFSVVFWTVTLIPLLKYVFIVLSADDNGEGGTFALYSLLVRHAKFSLMPNQQAADEELSAYYRPGYSTEETPILKALRNFLEKHRKSRTFLLLMVLFGASLVIGDGVLTPAMSVLSSFSGLQVHSSEVVLLSCIVLVCLFTLQHWGTRRVAFLFAPVVVLWLLLLAALGIYNIAVWNPRVLRALSPYYVVRFFQRTGKDGWISLGGVLLSMTGTEAMYADLGHFTAASIRVAFVGLIYPCLVLQYMGQAAFLSKSPDCNIHFIFFESIPRPIFWPVLVIATLAAIVGSQAVISATFSIVRQCTALGCFPRVKIVHTSNRIHGQIYSPEINWILMLVCLGVTVGFRDTDLIGNAYGMACAGVMVVTTLLMALVMIFVWQQGFILAAMFLLAFGSVECVYLSAALMKVPQGGWLPLALSLVVVAVMYVWHYGTRRRHLFDVQNKVSLKWLHALGPSLGIVRVPGIGLIYSELATGVPAIFSHFVTNLPAFHQVLVFVCVKAVPIPHVRCYERHLIGRIGPREFRMYRCVIRHGYKDVPGDDNDFENDLVVRIAEFVHMEAAEAAANADDPRNSDASVEGRMAVVNRPFDLSRTGLLMRAPLPNPEDSIVVRAATAAATTAADSSKTETIQSLQTMYEAESPGFAIRRRIRFEIDVSTSESMDPAVKEELSALVEAKHAGVAYIMGHSYIKARKSSSIIKKLAIDVAYTFLRKNCRGPAVALNIPHISLIEVGMIYYV >Sspon.05G0020450-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:86907438:86910293:1 gene:Sspon.05G0020450-1A transcript:Sspon.05G0020450-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:At5g21140 [Source:Projected from Arabidopsis thaliana (AT5G21140) UniProtKB/TrEMBL;Acc:Q84VP9] AAVVAAPHPAAGAAHPGPALRPRLPRRLRRHLRQEPRYATHQQLFNDTLLKLNKELAYLQFELRACMNQYDGMVYYGVVNNIADEESKLGTKYSVPQVAYYKGLLEAVLQEAGNDGTITSIDALNVRLDNQVIILDGGSQNSQSRLPSCITDFKLSQKEKTIDELVRDRWLSYTSIGKIGLGIRSFLDLRSWFRGNDIPLCVVCNEACIKASSCPNEGCNIRIHEYCLKKKFSQRKASRACPGCGTEWPRQEGEVDGDDDANEPGEDGAAPANRSSRKRRKGVKAELVEDCSSRKRRKGVKAELVEDNHNAGPLTAAVPRRARSLRRAKAEAVEAAQEVSSAGPSKAARASKGRKK >Sspon.04G0033340-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4C:55002495:55002816:1 gene:Sspon.04G0033340-1C transcript:Sspon.04G0033340-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding KTPNSVIINPATTSPVQVAQVDLATSIVRLAARPSSPPHRCWSMPPSLRNRDEDGSQASLASMVLPCLFLSLAPVAAGSSSTLWIQGLVAQIHASMRQIWRQLEIAT >Sspon.01G0058590-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1D:30416012:30417136:1 gene:Sspon.01G0058590-1D transcript:Sspon.01G0058590-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDMLAWHYNKNGIFSVKSAYKVYIADRDQNRTIGQSGSSSACLADGDQIWKHLWKVDCPKKMLHFMWRLCHNNLALRVNLKRRGVKLENSCCVLCGRFDEDGAHLFFKCKCVKGVWAALQMEDVRSKLVQPLSAMELVWEVLKLEDSVQRRVITLLYLWWTERCRVREGETQRRQEQLAQLVRCYAEEWASLKLTSTEPIPNRKKPGWTKPSLDIVKINCDGAFTMEQLTGGWGYVMRGCDGAIICTGYGRLDKVLEASHAEIVACLQTLQRATEMGIQNVVLETDAMTVVEAIKAQVPDRSSASGLLWELKESLLCNFSISSITFRPRSCNLVAHSLAGLGAQLCPGASPIKDSIPDCINVLVANNLASSSE >Sspon.07G0033880-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:66867951:66874524:-1 gene:Sspon.07G0033880-1C transcript:Sspon.07G0033880-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GTRLKRPGKMPPSPSPRRSRSPARETYHKRTNSFGNVLPAKSKDDELALFSDMQKVETENFLLEPSEDFDDSIAKLSYFPEVKLGVNIPAHGESHDLLNADGDKNDYEWLLTPPETPLFRSLDDEENQSITQGQSSEQAYTNFKIIHGECLCPEGFIPRTILGCIHYDLRIKSIMDNSQRASRSSASPSRLSPSPRSMGRTRSSSSASRSSPPLAVQSQTLSRRSSTPPVAKTLTPPRRSPSPVSRRMSTGSSGPTLNGTRGASPVKPNRRSSSPKLHGWQSNVPGFPFDAPSNLRTSLTDRPVCRSRGGSPSSFSGLEKGSRGRRQSMSPTPPRRANSSHSIERDRMSSYSKASATSSGEDDLDSMQSVPISYSSSPVVKKSLVVMKTRTIASSKNLSKNFTPSSVPKRSFDSALWLMDHRKAPQHRFRPLLSGAPASTFGAGNGIDVHKPMFSHNSSLTTSSNANSYHGATFGSYTPGSQEQRDLVGEWEEDCSSRGHEDIFMFDKLDELNEEDIHYKSMESTDNSLVIVKHLVSGRQDLEGSRRPNQSLCHSTDSSQVGNSKIATCSRCGKLFDAMDVDEEGVYCDVCASKVGNIFAGPTVQTIGEENQQYDKIAKLKPCIASDPPIPTDCIGYSKEVSLDHQLVNSESYTACLDQAPPIHSMGHTPKEMLLGQEEKIDAEHRKKPVGDSLGNTNDISFHRSNVTECEQTEPTSVGHDLFIRDQMDNHNHGLSQCNETVSETVTSDNSPQLGSTTYQNPKLETTEGTGISVLLVPKSNSNKWPVVEGRALAATSILCSEPYYARDGVSMMKRSFGLDSSSAASSSDLGSSRQSTICFERLRSGKRGDFEKSQISSTMSRQSIASVSDMSICSSSASLCPQIDAVIADDDRPVDLKIQSYLSEVGDTTVENHCAGRMADMDHTSTNMYSLDTEMPSDTNTRESSGPEESCMPETEEDTYAIGQCNKGSAPEHSSDENNFDDIRMQSEIVQGSAEENRLDDCCMSAISEEDVLISGQEADIRKLPNDEEFCAEVGGSRKQIQRCFTLEEAADTILFCSSIVHDLAYKAATIALENKKESEFVDSIRPTVTIVGGSFPKEESLPKLPHRRTPNRKVKRKRLEGETTTTEITEKDAVAKDSPPVCSASGIARNSDNMKPPKLESKCNCIIM >Sspon.06G0003940-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6B:10068638:10072111:-1 gene:Sspon.06G0003940-2B transcript:Sspon.06G0003940-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding CRLRPPLPIPTAQLSSRSAVAPHTPPLPTLPHRLSDLQGSAAEAPAMAAPVVDAEYLRQVDRARRHLRALISNKGCAPIMLRLAYVRQSLTSSRARILAPSYCLVLSARPGGRWHDAGTYDVNTKTGGANGSIRYEEEYTHGSNAGLKIAIDLLEPIKAKNPKITYADLYQLAGVVAVEVTGGPTVEFVPGRRDSSVCPREGRLPDAKKGAPHLRDIFYRMGLSDKDIVALSGGHTLGRAHPERSGFEGAWTKEPLKFDNSYFLELLDEESEGLLKLPTDKALLSDPEFRRYVELYAKDEDAFFKDYAESHKKLSELGFTPRSTALAKSDLPTGAVLAQSAFGVAVAAAVVIAGYLYEASKKAK >Sspon.02G0034100-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:10456986:10460797:1 gene:Sspon.02G0034100-1B transcript:Sspon.02G0034100-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAREDGPQFVRWREEFVSQERGSRVVHYYLEDAAGVSHLAVVGTERSLRHMLYVVSEDFSAAWGCGGSADDGGAPPVFARKWRSRREVVDWLASFLPVKALDSKFSKYGSFADNDSGLDGYGETNSFLHQNLGKDCSSDITWSGSFWTCGKQLRHYRAFCRNGTTISTHTFVLVLSEEENRYLAYLEDMYEDKKGQKKIKVRWFHQNQEFACAIPPPPPHPCEVFITPFTQVISVECVDDIATVLTPDHYEKCSNAMPISSVAGIRFCFRQYSKNKFKHFDLRSLRGYFSQAVVLSLKIPAESEKDGEYCTPGKTKFPKQLERLYSKCLGTKICRSPQADSIPSYQILNNEQPPRKHLSIKLIVPQNQLMPIYNTGDRLEILSQDSGIIGCWFRCTVLKSCTNHNKLKVQYDDLQNADDSGRLEEMVPASALALPDKLGLRCQDRLRIRPRPQENSSVDGASLLPGTAVDVWQFSGWWEGVVVSLDNIVADSLQVYFPGENFFCACQLRNVRISKDWVKNRWVDIETKSDVLSRIPSDSVRTKQADNAPSVGVIDSSSSAMPEQELAATQANSHGDKPVPKQELAATQANSNGEKPVPEQELAATEANSNGDKPVPEQELAATQTNSNGDKPVSEQELAATQANSNGDKPASEQELAATQANSNGDKPVPEQELAATQANSSGDKQANSNGDKPVPKQELAATQSNSNGDKPVPEQELAATQANSNEDNKQTEASKQSEVSMTDEASAFVEDDKRTILGKHPRDVSMTDEASAFAEDDKRTILWKHPRDVMTDEDSAFVVDDKRTILGKHPRDEGDAEQKCNGEVGVDVSKT >Sspon.08G0013620-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:56802023:56802821:1 gene:Sspon.08G0013620-1A transcript:Sspon.08G0013620-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ELTRASTVHLPLGQLAHELASGVLLALPSPYGGGPAPESELAFPIVDVQVQRLDEREDIEEAAKLRAAALEPRGLFAWQLLRGFPPCSLLDPYRCAFHRPRQLLPVQLFFFIDGIFSACAGRAAARFFFSRHGVQERTRRMPLVSVEQELDAARAAIQRAARRRRRVDPGRGGGNVSTADTWFDAGAEHALVASVYRNPAAFHRWVDTKRRFVLQLRAYADR >Sspon.03G0019560-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:73345165:73348090:-1 gene:Sspon.03G0019560-3C transcript:Sspon.03G0019560-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRLSAPFLTSRFTPSQASARRRRTRARSFSPSADAWTACFRRPYTSVLIVPTGVGAAVGGFAGDALPVARAVAGVADCVISHPNVLNAAMLYWPMPNTLYVEGYALDRFAEGSWALQPVHQNKVGLVLDSGIQEDLQLRHLQVADATRASLGLPVVDYIVTDAPLEIKTWFDPRCGKSTGSVGNSDSLLRAVDVLVTHSDVNAVAVVARFPDDDPEDSDCYREGKGVDLLAGVEAIISHLIVKEFKIPCAHAPAVLPPPLSPLVCPRSAAEEIGYTFLPCVLAGLSNAPQYVMRKGSLDNGCIVAGDVDSVILPKDSCGGDGTLAFARAARKDKPLIITVQENETVLDDTPDKFGIEALNVRNYWEAIGVIAAHKAGVNPNALRRQGIDHLKSSRRLYSAHSSSPKPSARPPVHDKVHIQQLVRQI >Sspon.03G0017260-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:89208944:89209881:-1 gene:Sspon.03G0017260-2B transcript:Sspon.03G0017260-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GKISRRVSLVHYPKPEELAKPSFGSLTPSSKKSAGSKKTMSRFTSASKNRSSQGSALSLGQRSAEPTPKHKGKRKDESSLGHSNVSGKASQGSQAGGVGSNMASEMPQSPSEKSQKKRKKVKIAE >Sspon.06G0033740-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:20145052:20145594:1 gene:Sspon.06G0033740-1D transcript:Sspon.06G0033740-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGARVILADVQDDLGHAAAGDLGPDAACYARCDVSDEAQVAAAIDLAVARYGRLDVVLNNAGVSGDMAPATLASVDLDDFDRVMAVNMAVNARAVLAGVKHAARVMVPRRGGGTIICTASTAGVIGGGGGARDRARRGGELARSGVRVNAVSPNFIPTPLVMDAMAVWFPGRSDDERQRI >Sspon.01G0024470-3C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1C:86231067:86233195:1 gene:Sspon.01G0024470-3C transcript:Sspon.01G0024470-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLIPGRAAVLACFRRREGSADNGKGTLGRQFKPHRNTGFNLLERQIFDLDRFLLPPLLAAVCWGLVRTYVLMKTTVEGSVGAPAAVKRRRRDAPGRRRSGGVRVRRRVQVDATTAPAPLQRLLAACRRAFGGPGTVPSPDDVVLIRDILGKQDGSRDVHLRAVIKAAAASFPRTHPNPIITRTTIYKCKNFSIVIFLLPPGAVIPLHDHPGMTVFSKLLLGSLHVTSYDWVDADDGPPTAVGGGGDRLLRLAKRVVDADLSAPCDALVLFPESGGNMHRFAAATACAVLDVLGPPYSGDRDCTYYQDLPYRHHHHDDDADEAAGDGDVVPAATDDEQKPRLGWLLETRKPKELHMYEVPYRGPPILWKKRPAVDSWQQGP >Sspon.02G0048260-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:23040510:23047185:1 gene:Sspon.02G0048260-1C transcript:Sspon.02G0048260-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFPFAMQTLFFVQLTSIETRKVLEHIINYVIYKLCCHPLSFIYYVLAVRNLTNDEDAICDTINRSSVTGNFSPTGCASYFSANYPLVNLVGHSLFTEGTLQLVLVEVLPITLTEMHMFQSLARERLEQLNGSPSATPSKYFRVYSALLLVLSTDLLWMWLCVGFCSSCNSKLFWLLFFEPLSIAFDTLQSIMVHGFQLFDIWQRHLMESGADFLDFQKSYKQAAGSFSEWRGKLTRNFGFAIDLISLLMSLGHYSMIFWLRGMAFHLVDAVLLLNLRRIKTYIKLRKALSSLDGALPDATYDEICAYDDECAICRGPMGRAKKLSCNHLFHLACLRSWLDQGLMEGYSCPTCRRPLLLSSEGQTRSTTAEVANVQRIAEQLTMGMNQQRVPGNEHPVEQQNPSDAVWRGAGLDASWIPPWSSPVVDNPSSSSAVRSVGLTGVQMMMRQLASVTDNYGHADGTWNLWPEPMAGSSLVPSTSSMPGSASASGLRLRGSAGTGNGSLSEVLTMVDRVREVLPHIPDELIIEDLMRTNNINATVNNLLLMQ >Sspon.01G0018130-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:67744236:67761538:-1 gene:Sspon.01G0018130-1A transcript:Sspon.01G0018130-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Short integuments 2, mitochondrial [Source:Projected from Arabidopsis thaliana (AT2G41670) UniProtKB/Swiss-Prot;Acc:Q8L607] MRGLTRAAKRAGEMAFNAGGGAVNWFPGHMAAASRAIRDRLKLADLVIEVRDARIPLSSANEDLQPVLSAKRRILALNKKDLANPNIMNRWLNHFESCKQDCISVNAHSCSSVNQLLGFAELKLKEAISKEPTLLIMLVGVPNVGKSALINSIHRIATSRFPVKDKNKRATVGPLPGVTQDIAGYKIATQPSIYVLDTPGVLMPSIPDMETGLKLALTGAVKDSVVGEERIAKYLLSLLNIRKTPLHWERLLHREEELCEEICSSNKKDNSLRRRRLNNSDAVYVQDLVTEVQRTLCRTFLNFTGNTEEESELETLIDMQLVALRKVFRIPHKPFDETQGPTSKKLLTLFRSGKLGPFILDDLPD >Sspon.08G0011950-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:50462752:50470812:1 gene:Sspon.08G0011950-2D transcript:Sspon.08G0011950-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding WTKPPPQPPRASAPPPRAPRSAPPAPSPAAAPGTAAAPPRTLTLAPAASAPRTTAPPPAPSRKSDRKPKPRSFPDSATLATAMASVAAAAAASSAAPASGGGRGSAGAVQKLWTESDEVALLTGAVAFKDRTGIAPRLPDMGELFESIKDSLATHLDQAKVYYKLKRLKSKFQHSVPGESSTAHEHRLRDLGAALWGAELARPEEKAIAVAEEADEDDADEGFVGGDREGAVKLPMVKEVLGEYWRLNGQTMSGVSLEKGLAMLGPQEASVAEVKWRRQLEADMRMQMRRHDLEKETLGTYTRVFHLGPMVVVRLSVKVLGTLLFVMGVTPGAESLGIGFRMPRAGFAVCSRLPGQDRI >Sspon.07G0020770-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:80963563:80967995:1 gene:Sspon.07G0020770-2B transcript:Sspon.07G0020770-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALQCLVDWKGRPVNPQRQGGIKATMFIYFLVVMTNIGNIPMLLNVVSYLHGKMHMGIADASTTATNFFGAICVFTFFGAFISDSYVKRFYTILIFAPIEIVGYMLLACQAHFPSLHPPPCDIVNHPSECTAVSGRNLSLLTLGLYVIPIGEGAVRVCAAALGGDQFDGDDPRELRGKASFFNWYAFCISLGGFVGLVFVVWVQNNEGWDLGFVLSALVAVLGTLVLVAGLPFYRHQKPTGSPLTRILQVFVAAFRKRNLSVPEDLVGMHETTSIEVLERTSGFKFLDKAAVDDGDARRWSQCTVTQVEEAKIILRMLPVFVSSVLGYLPIPLLLTFTVQQGGTMDTRLGGTHVPPASLFVIPIVFQMLILVVYDRAVVPWLRRATGYAGGITHLQRIGVGFASNVVALAVAAAVEARRRRHGGAAAAEMSVFWLTPQFFLLGVMDVTSFVGLLEFFYSEASAGMKSIGGAVFFCILGIASWLGSFLIRVVNRVTARHGRGTGWLDGASLSAGRLDLFYWLLALFGLVALLLYLLCAWRYTYRHHPSRMQSAMEDHRVSPASKKLDAAL >Sspon.03G0038650-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:6775447:6779282:1 gene:Sspon.03G0038650-1C transcript:Sspon.03G0038650-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTVSSLSLVPHLLIKPSIACFSRKGVGRYGGIKVYAVLREDGAEFAKNNNLEALFHVDDPGPRCPIKKGKFLDVNEALEVVRFDIQYCDWRARQDLLTIMLLHNKVVEVLNPLAREFKSIETLRKELAELQQELEKAHNQVHLSEARVSSALDKLAHMETLVNDRLLPPDGASSTSTAEPTSLVPSTSSTAHVRAKKQPRRSLNVSGPVKPYNPSLKNFWYPVAFSSDLKDDTMVPIDCFEEQWVIFRGKDGRPGCVQNTCAHRACPLHLGSVNEGRIQCPYHGWEYSTDGKCEKMPSTKMLNVRIQSLPCFEQEGMVWIWPGDDPPKATIPSLLPPSGFTIHAEIVMELPVEHGLLLDNLLDLAHAPFTHTSTFAKGWSVPSLVKFLTPASGLQGYWDPYPIDMEFRPPCMVLSTIGISKPGKLEGKSTQQCSTHLHQLHVCLPSSRNKTRLLYRMSLDFAPWLKHVLNEDLRLVLGQQERMINGANIWNWPVSYDKLGIRYRLWRDAVERGSDRLPFSNQAERGSDRLPFSNQAGSGS >Sspon.01G0037850-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:26511092:26514640:-1 gene:Sspon.01G0037850-1B transcript:Sspon.01G0037850-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LAKRGEEVIVRVLEAPAAAGAGALVSQAAAVNTTSVVVAAAAAAKANANANAVVDVGREKERDVTLPTVTGGGGADEARRRADEEVAEKAASAKAAAATAALRTVVSVPETCDLYRGSWVYDEVNAPVYKEGECEFLTEQVTCMRNGRRDDSYQKWRWQPTDCDLPRFDARLLLERLRNKRLMFVGDSLNRNQWESMVCLVQSVIPRGQKTLTKFVNGGSSNVFYAHEYNATVEFYWAPFLVESNSDNPKVHSVPDRVIQWHAIAKHARNWIGVDYLVFNTYIWWLNTLDMKVLKGSFDQGSTEYVEVDRPVAYKEVLKTWAKWVDRNIDPNSTTVFFMGMSPNHITYVVHCRPEAWGNQGGIKCAMETLPITNRSASLDVGTDWRLYAGAQEVLPTLRRVPVHFVDITALSELRKDAHTSVHTLRQGKLLTPEQQADPRTYADCIHWCLPGLPDTWNQFLYARIASSPWPAAQ >Sspon.02G0000460-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:23112861:23118433:1 gene:Sspon.02G0000460-2B transcript:Sspon.02G0000460-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCLRFLRRGFLAMLPSKRSAGADGSESKRAKLGESPSAAAAAGVGNGTRNGAAPEIDEDLHSRQLAVYGRETMRRLFAADVLVSGLNGLGAEIAKNLVLAGVKSVTIHDVKMVEMWDLSGNFFLSEQDVGKNRALACVSKLQELNNAVLVSALTEELTTEHLSKFQAVVFTDISLEKAYEFDDYCHNHHPPISFIKAEVCGLFGSVFCDFGPEFTVIDVDGEDPHTGIIASISNDSPAMVSCVDDERLEFQDGDLVVFSEVQGMAELNDGKPRKVKNARPFSFSIEEDTSSYGVYTKGGIVTQVKEPKVLRFKALRDAMRDPGNFLLSDFSKFERSPVLHLAFQALDKFKKEHGRYPTTGYEQDAQTFLKFAADINEASVGGPKLENIDEKLLRHFASGSRAVLNPMAAMFGGIVGQEVVKACSGKFHPLYQFFYFDSVESLPTYQLDPQDLKPSNSRYDAQISVFGSKLQKKMLDANIFIVGSGALGCEFLKNLALMGVSCSSKGKLTITDDDVIEKSNLSRQFLFRDWNIGQAKSTVAAAAAIAINPSLQIDALQNRASPDTENVFHDTFWDGLDIVINALDNVNARMYMDMRCLYFQKPLLESGTLGAKCNTQMVIPHLTENYGASRDPPEKQAPMCTVHSFPHNIDHCLTWARSEFEGLLEKTPNEVNSFLSNPAQYAAAMRKAGDAQARELLERVSECLDKERCNTFEDCITWARLRFEDYFSNRVKQLTFTFPEDAATSTGTPFWSAPKRFPRPLQFSATDSSHINFIMAASILRAESFGIAIPDWAKNTSKLADAVNKVAVPKFEPKKGVNIVTDEKATNLSSASVDDVAVINDLLTKLEEYAKGLPPGFQMKPIQFEKDDDTNFHMDLIAGLANMRARNYSIPEVDKLKAKFIAGRIIPAIATSTAMATGLVCLELYKVIAGEHPIEDYRNTFANLALPLFSMAEPVPAKVMKHQDLSWTVWDHWTIKGNLTIAELLQWFSDKGLSAYSMSCGTSLLYNSMFARHKERLQKKVVDVAREVAKVEVPEYRKHIDVVVACEDDDGNDIDIPLVSEDDDSDPDLLS >Sspon.05G0015750-3P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:57416951:57422657:-1 gene:Sspon.05G0015750-3P transcript:Sspon.05G0015750-3P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATTLALLVTLAALHGAAVVLAGDPPFSCGPSSAEASEGLAFCDVTLAPAQRAADLVSRLTPAEKIAQLGDQAAGVPRLGVPGYKWWNEALHGLATSGKGLHFDAVGGVRAATSFPQVLLTAAAFDDDLWFRIGQAIGREARALFNVGQAEGLTIWSPNVNIFRDPRWGRGQETPGEDPAVASRYAVAFVRGIQGNSSSSLLQTSACCKHATAYDLEDWNGVARYSFVARVTAQDLEDTFNPPFRSCVIEGKASCIMCAYTAINGVPACANTDLLTGTVRGDWGLDGYIASDCDAVAIMRDAQRYAPTPEDAVAVSLKAGLDIDCGSYIQQHATAAIQQGKLTEQDIDKALTNLFAVRMRLGHFDGDPRKNMYGALGAADICTPEHRNLALEAAQDGIVLLKNDGGILPLDRSTVTSAAVIGPNANDGMALIANYFGPPCESTTPLKGLQSYVNNVRFLAGCNSAACDVAATDQAVALAGSEDYVFLFMGLSQQQESEGKDRTSLLLPGMQQSLITAVADASKRPVILVLLSGGPVDITFAQSNPKIGAILWAGYPGQAGGLAIAKVLFGDHNPSGRLPVTWYPEEFTKVPMTDMRMRADPTSGYPGRSYRFYQGKTVYKFGYGLSYSTFSRRLVYGTSVPALSSTVLSSLRETMTEDGDRSYHVDDIGTDGCEQLKFPAMVEVQNHGPMDGKHSALMFLRWPNTKGARPASQLIGFRSQHLKAGETANLRFDISPCEHFSRVRADGRKVVDIGSHFLMVDNHEMEIRFEA >Sspon.03G0041500-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3C:42215833:42216331:1 gene:Sspon.03G0041500-1C transcript:Sspon.03G0041500-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSDHCPLLLYGDVVFDFYRGFRFESFWTNCPGFHDTAQEAWSKPVNTQDAILQVHVKLLRTAKALKNWRRKHFSGWKISWAIINIALSNLERAQEVGLLTPEEMEFTKYLKIKAFGIAAMQKARARQHSRLTWIRKGDTNTRFFQLHANTRRKKNFIGSLNTQSG >Sspon.01G0003890-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:9152006:9153338:-1 gene:Sspon.01G0003890-3D transcript:Sspon.01G0003890-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVSRSRSRVVPAALLLLLILAVASAAAVGVAAKTNGHDVAEESNKEEESWTGWAKDKISEGLGLKHHADVDEEEAARKAGQTVKSARESAQHTASEVGKKAGDAKEAAADAAAGASNKAGQAKGKAKETGKAKVEEKAREKAGQGYESAKDAAGKAQETLRQTTDAAAEKAAAAKDAAWETTAAAKEKAAAAKDAAANKAAAAKEKAEAAKDAAADKAGAAKDAAWEKAEAAGEKAQESKEAAKGKATEKAASAKDAAWETVEAAKEKANEGYERVKEKAREMADTAKERLEEVKERVAGPSADADGKGKHRRADADKYRSEDEL >Sspon.01G0043480-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:70259559:70260596:-1 gene:Sspon.01G0043480-2C transcript:Sspon.01G0043480-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTTVAQLKPLVVVVLALLVTSARADYWNRGTATFYGGPDGSGTMGGACGYENLYNAGYGVLNAALSQVLFNDGASCGQCYTIKCDDTKSVWCKPGNTVTITATNLCPPNYALPNGGWCGPSRPHFDMSQPAWENIGIYRGGVIPVLYQRVRCSRQGGVRFRITGSQYFQLTLITNVGGSGSIQSMSVKGANTGWIAMTRNWGAQWQSNSALLNQGLSFMVTSTGGQTLYINNVVPAWWVLGMTFATNAQFDY >Sspon.02G0003700-3C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:13332218:13335545:-1 gene:Sspon.02G0003700-3C transcript:Sspon.02G0003700-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEEWRSILVPIFKNKGDVQSYTNYREIKLMSHTMKLWERVIEHHQEGYFSRDRVQNDDIRDRVGVTPIEEKLIQHRLRWFGHVQRRPPEASLRSGVLKRADNEKALTDQNGVLQKLMEAEKEKNNALMNAHLREQQNGASTSSPSLSPPMVPDSMPTLNIGSCQPRGPGESEPEPSPAPVQANSGNLPPWMLRTEHVAPPRLVQLRSLRSSPSVAAHLALRLVSRRRPATTWPCLAVPACPPLIASRPVAPCSVVSCGLAQAPGLPHFPVPLGRHAMPRRPA >Sspon.07G0024690-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:25861499:25867524:-1 gene:Sspon.07G0024690-2D transcript:Sspon.07G0024690-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Em-like protein GEA1 [Source:Projected from Arabidopsis thaliana (AT3G51810) UniProtKB/Swiss-Prot;Acc:Q07187] MASGQESRKELDRNAREGETIVPGGTGGKSVEAQEHLAEGRSRGGRTRKEQLGHQGYSEMGKKGGLSTTEESGGERAAREGVDIDESKFRTNKHLRTAMASGQESREELERMAEEGQTVVPGGTGGKTLEAQEHLAEGRSRGGQTRSEQLGHEGYVELGSKGGQTRKEQLGHEGYVEMGSKGGQTRKEQLGHEGYSGMGKKGGLSTKEESGGERAAREGIEIDESKFRTK >Sspon.04G0011250-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:34693352:34699460:-1 gene:Sspon.04G0011250-1A transcript:Sspon.04G0011250-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MANPRVFFDMAVGGAPAGRIVMELYANEVPKTAENFRALCTGEKGVGKSGKPLHYKGSTFHRVIPQFMCQGGDFTRGNGTGGESIYGEKFPDEKSVLVAGVPYSEELRKSLIMTVCSQRSGRMDSPASGNSQPGSADRIGSPMTHELKLNTIDRNKLDRSVFLFGEHLPTKSIKNARANMLKEGISQLVNLAQGGKPHLLILVVKSQNTLENKTKAEQLEGTKYRLLSCLQFIWHPLHIVYTLYASVSETPTMKRKNGMTKSAIVTPSQGEWFSAGRKPPASSTIIISCNKENSHLDQEGLITNPGKQVK >Sspon.04G0020180-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:79362058:79367783:1 gene:Sspon.04G0020180-4D transcript:Sspon.04G0020180-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGGAGNGSNGAAQTKGSGGDGSAKPLPPCCVKARAAAPESEAKCHATVVSGWFTEPRSHCGKASKVQYYNNPMWPGEAHSLKVEKILYQGKSPYQEVLVFESSTYGKVLVLDGIVQLTDKDECAYQEMITHLPLCSIPSPKKVLVIGGGDGGVLREISRHSSVESIDICEIDQLICKDFFPHLSVGFEDPRVQLHVGDAVEFLRNASEGTYDAIIVDSSDPIGPAQELVEKPFFETIARALRPGGVLCNQAESMWLHTHLIQDMLSICRETFKGSVRYAWTSVPTYPSGVIGFLLCAKEGPPVNFLTPINPIEKVEGATKAGREIRFYNSEFLRIFRKQTHTERICAPFDRSRQRK >Sspon.01G0008480-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:23610367:23613135:-1 gene:Sspon.01G0008480-1A transcript:Sspon.01G0008480-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLSEPTDCIFYNGTRVEHDPGRMMQIFSIEVASISLDGGSVELYGYIATWDVIDPLLNYVISFRRDDPIIVRQGSLINMIGPKIKTGEHEKDDLQLIDDASIITHVGTWNRPCSICIPGDCGAVEINFSRVEPAVEATTEVLISEVQSSFSLSLSCLTSELIKEIQLFNGAILEFCGLKRFVVVVVMDSLIDLKFKVGTLPFNFDQRRCTFEAKTHGHDTQEIKIDFALLSV >Sspon.02G0033790-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:9885177:9888616:1 gene:Sspon.02G0033790-1T transcript:Sspon.02G0033790-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AMRANVKATTSYRHLNNRNLSVFNEFSKQLKGEASSNPEFQKSMKEFGEKLGVVKEDLKVRTRKTTETIYKGVDDVWKEAEETSKKVTANIKEKMFAAKEEVKESFGLGKEESTSCRDGSPEASKHEKTEASSHSDGTSEDATGSHTLFTKLKSTISSASPVVSGTFAKLKDTKVSNLAKQGYEIVKDELSSSSSRKRKHQARHASAKLEKSTRTDLVLTSTKKSVLGEKWEAFKNKIRGHPAYKRVDEYTKPVVTKGQEVAEDVRERWETSDNPVVQKIQDLNESLLEETSAAVTFREIRQRDLSFSLSDFVADVQETIKPVLTAYSKGDVETLKKYCTKEVIERCKGERQAYASQGIFFDHKILHISEADVLETKMFGSSPVIILRFQTQQIYCVRDREGQVTEGGQDTIQTVFYSWAMQLMDSDEVAEEESYYPVWRLREMQQAGIKALI >Sspon.08G0013260-2D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8D:65232572:65233758:-1 gene:Sspon.08G0013260-2D transcript:Sspon.08G0013260-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ITRRVSDTTCSVNELEVPAISLQKASGNLYMGDIISTLKDNSKIIGANGGDVDIDPPTIMWMWPCPPIPTDSSWAHCYISVQDETPTQLLRGTTSTTKQQTRIALPSIVHERAKTLNLHDNLSITNIPDLAPPATINLDWDSLLWCRLKRCHGLEGSVFAPPSVREEDDDIFGYLETFWASQLLKAHYIWDWSKALFHPGGDSFENLIFLHLDGCPRLVHVLPLYASNGRGCRNLETIEIVCRGELREIFPSDPEVQQQELPREFPRLKCIHLYELPMLQHIYGHHMLAPNLETMKIRGCWNLKRMPAVPQPTRRRHRRGTPADEAELLDSAESSDNSESDEEEAQMLPTVDCEKDRWDSLEWSREEFGHHPSHYKPCHSAYHKRSLLRLSVVK >Sspon.06G0026230-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:77704605:77705566:-1 gene:Sspon.06G0026230-1B transcript:Sspon.06G0026230-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDFMGHVLPGTLLLAVGLWRVWASVARFAADPPTAFRVRAWNPVPAVPARLLELHVVAGGAFLDMCVELYYASPLRALTGVGVDGVDHAAAHLGGLEHAGMLLMFFLFGALALVSEKTRLYHTAITTLHFCNGDGPDDCRYLPLSDGALSLVFATAFTAEFLLFHFHSTTHAGLEGYYHGHLLVLLALCIATIVAGALLPYSFPADLGAGVLIAVQGLWFYQTALALYGPMLPRAASATSTTPRTPTPASSAAAAAAPRWSAPSCWPTSSCSGSCCLCSCTCSGASPWPRRGMGITWR >Sspon.03G0014250-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:66633596:66646454:-1 gene:Sspon.03G0014250-2B transcript:Sspon.03G0014250-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRQRRGAAVERKEKVQEDDEGLSSVKEEEQERGRLEVLYDDGFGSVTVKDYFAAAKVLCGRDDGGPPRWFSPVECGQPAVDDAPLLLFLPGMDGVGTGLILHHKSLGKVFEVRCLHIPVNDRTPFEGLVQIVEKSIKHEHDSSPDRPIYLVGDSFGGSLAVAVAARNSQIDLVLILVNPGSYIVCKDSIAASIASTGINAKRVSCDPLKMAAVSIENNLSPPETLQKLSDSLTSMLPLLSELSDIIPRDTLLWKLKLLKAGAAYANSRLHAVQAEVLLLASGKDNLLPSGDEADRLFKSLKNCRVRYFKDNGHTLLLEDGVNLLSVIKGANMYRRGRQRDFVTDYLPPTLSEFKQTFDVDHKLFHLALSPVMMSTLKNGKIVRGLAGVPDKGPVLFVGYHALMGIELSPLYEEFLREKKTIVRGMAHPFLFGKKFESSRQEISRIDTVSMYGGLPVTPINLYRLFERNEFVLLYPGGVREALHRKGEEYKLFWPDQPEFVRMAARFGVTIIPFGCVGEDDVLELVLDYNDQKNIPGLREWIESINKEAERVRDSVKGEDGNQDMYLPALLPKVPGRFYYLFGQPIEMKGMNNLVRDRKRANEVYLRIKSEVEEIMSYLKRKREEDPYRSIGQRALYQATWGASAQVPSFEP >Sspon.01G0032560-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:109804514:109808175:1 gene:Sspon.01G0032560-1A transcript:Sspon.01G0032560-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEVGLNPGEELDFGGNQEDDDDAGDISPGSKELAAMVEAAAAAETVELDDGAGVEATAAATAAASSSLSLAAQYGDDRTPRDGMVFKSYEEVLNFYKRYALRTGFGVCVKKSSFTKAGLCRRLVLVCNKWGTGKEDACYQARPTAKTNCQATVVARLWVDNLLHLTDVNLEHNHALNPSAARFLRCYKTLPSGLSKDLVVRAARGECSAAGDIDVPIFDEVLISKYQMEEQLSRLYTLNMFMKFQDELKATMHCQVQLDGSSSSFIVIDLTEAGSEMLNKKYEVVHCMATNRMECNCGLFQFSGIVCRHALSVLKWQQVYDIPPCYVLNRWRADFKQLHYPDNPSKDLATSNHVERYDYISLQFLRLVEIGMTSDEKYRHAVRLLKDMKETLLDDNLCRDLEQKLTPAERAIVNGDNHTQPGSSEGGPAKKRRGRPPKKNKEINVDSMVNQYGNKDSLLVSSDVTQKGAFHAASTTSNLGTHVRTHGIVDLMEEVHPSELSFDSRYGVQSGPSHHFGNQLNAGNTLQFGQSTSAAEHSRVQWVFPNGMYQDDQVTYGRRTS >Sspon.03G0035180-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:76261841:76266228:-1 gene:Sspon.03G0035180-2C transcript:Sspon.03G0035180-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASRGLLARLRGLSLSSAGGSPRLPFPPSRLFSAEAFVSHSDDDDDAGGEGGGGGGRIIEARAGVMGPTSRRTGVIGVKCGMSAMWDKWGAKVPITVLWVDDNVVTQVKTAEKEGFFALQLGAGQKKEKHLTKPEVGHFRAQGVPLKRKLREFPVTEDALLPVGTTITVRHFVPGQFVDVTGITKGKGFAGVMKKYDFSGMPASHGASKSHRSGGSTGQRDAPGRVFKNRKMPGRMGGVQRTVKNVWVYQIDPARNLLYLKGQVPGPQGSFVFVKDSIYKKPDTALLPFPTYFTQGEPEELEPLIADLGDVDPFMAAD >Sspon.03G0023790-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:96232308:96233736:-1 gene:Sspon.03G0023790-2B transcript:Sspon.03G0023790-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRGSLLAVAVAAALLVAVVAAAVPPTCERIECPAYEVVDSANGFEIRRYTDAMWITTAPIEDISFVAATRTGFLRLFNYIQGKNAYNETIEMTAPVLTRVSPSDGPFCASSFAVSFYVPTKNQADPPPAEGLRVDPWAGARYAAVRRFGGFVADDDVGEQAAQLDASLQGTRWAAAVNDARRADPASPYTVAQYNSPFEFSGRVNEIWMLFDDAAAAATSM >Sspon.08G0019220-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:10917974:10923601:-1 gene:Sspon.08G0019220-1P transcript:Sspon.08G0019220-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMMRFGVRREIGVSSDINVKADFGRKDHFLPPCCSIQRNGEGRICFSSLRTQGPTLYHHQKFFDWKSSYCRISRQSLNTSVNASGQQLQSEPEAHDSTTIWRAISSSLDAFYRFSRPHTVIGTVKSCCCTRFCWCKQALSIVSVSLLAVQSLSDISPLFLTGLLEAVAAALFMNIYIVGLNQLFDIEIDKVNKPTLPLASGEYTPATGVAIVSVFAAMSFGLGWAVGSQPLFWALFISFILGTAYSINLPYLRWKRFAVVAALCILAVRAVIVQLAFFLHIQATYGLCCLFPESYLNSLSILYQTFVFRRPAVFSRPLIFATGFMTFFSVVIALFKDIPDIEGDRIFGIRSFSVRLGQKKVFWICVGLLEMAYSVAILMGATSSSLWSKTVTIAGHSILAAILWSCARSVDLTSKAAITSFYMFIWKLFYAEYLLIPLVR >Sspon.04G0029270-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:71692471:71695138:-1 gene:Sspon.04G0029270-1B transcript:Sspon.04G0029270-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGSSIVTVVPESDCGDDDGLSEALGGIRLDVDSASKPWSTSLPNVALSSLSGLNDLLECPVCTNSMRPPILQCPNGHTICSSCKHRVENHCPTCRQELGNIRCLALEKVAEQLQLPCKYQSMGCTEIHPYKNKLKHEELCRFRPYNCPYAGSECLITGDVPFLVSHLINDHKVDLHEGCTFNHRYVKPNPYEVENATWMLTVFKCFGQHFCLHFEAFLLGMTPVYMAFLRFMGEESEAQGFGYSLEVGGGGRKLTWQGTPRSIRDSHRKVRDSFDGLIIHRNMALFFSGGGRQELKLRVTGRIWREQGQ >Sspon.08G0020160-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8D:17821436:17821679:-1 gene:Sspon.08G0020160-2D transcript:Sspon.08G0020160-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MWLASGAERLGFCSHRRKAGARQAATNWPDAEHGGGGDLTPDPLAAHTCLQLQAGLIYAGK >Sspon.06G0022640-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6B:33973652:33974302:-1 gene:Sspon.06G0022640-1B transcript:Sspon.06G0022640-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKKNRYHGESKPGPEECYSSHLTSSARGPFATFPHDHIPFTSDLLTSDPAGGSHGSSSSPPPLSFHASKAVLQALQARQGLLSCSTNPSKLIDCTNYAQAIVADHRPKANTSWGKWPATAAKPKAENLLAHGFTSNSLIVDISRRLERPYSSPPRSQPVRQGMEHTGAIHQHATGHRSGGLFDITDRSLSSHRAGREQRWWRWNSCGAAKEMDGR >Sspon.04G0019020-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:67776882:67780144:-1 gene:Sspon.04G0019020-1A transcript:Sspon.04G0019020-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVPQLTAEGATQCGDRVSLLPQTGALQSETPCLTLGRDSNAASMEKLHGNESLPCGKENIGTDHQPKPDLEHVDNRMGVARLGLDLNTVDSSDAAELNPFFPYKKLGQSKVSDPSECGSTTGATEESESHRKWREMKQNGFLSSSHGNAVVPRPRGRPPKRKRDDEFKKSTSTQHGQANKSVKVAAPSGLLSGLNPGIINHVRNSKQVYSIIKAMVHSERLENENQPGFTSQTGETGREVSERIQDQKYGGGFMKCHSLMKDNNAVFHQTLPTTLHFLPQDGDSLKLQLSSAVTMSSDRTCSTSADDPASNHDYMTVLSVKAASVASQWLELLHQDIRGRLAALKRSRKRVRNALHTELPYLISTEFPSNQENESSIANTSEAGCTDKAVSEEHVARWRSLFVQMDRALQEEGKQLEKRLKQVQEMQLNCDKGLKHMTCEAPLLGPMAELWKLKNPDISESEWAVQAAAASIYSTCNMVMRAENNVPCF >Sspon.08G0005030-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:15023566:15033432:-1 gene:Sspon.08G0005030-1A transcript:Sspon.08G0005030-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMLVFRLECMDLRAWTFHLRAPSLCTLLPHKMERMRKTIDEILAHQNEFKFIEDTSKNDVEVISKRETISEADEVPVGRMEEKERIISMLQTDDPNCLIVFIYGFAGVGKTTLAQMVFNDDRTRNEELFEIRAWVYVSVKFDIKTIGQAIISRLDKSSGSTDTTLESIRDRLRTIVQGKRFLIVLDDIWEEDPIELEKLRTLLRGAKAGSKIIATTRSVKVAKLMDGSSGVELGALPDNYCWELFRAKAFPNGKPDADKESIGREIVKKCGGIPLAASALGCLCRKINIKWEAIQDSDIWAEDGDDGEFRDTKVLPSLKLSYQYMPYHLKLCFAYCAVFPKGCYIEKSSLIQQWIALGFVQHTGQSFTVQEAGERYFEDLREMSFLQDVAGMSPNPIARYSKPRGVLFQMHDLVRELARLVAGDEVIAFDTRQQNPPTNIDNCRYMLLSNLCDSSPSYIPSTARALHFKECRIVQTTLKSLKEAEFLRVLDLSACTISDLPASIGNLRLLKFLNISGMQTGLLPKSLSSLHGLQALNLSENTCLVELPNYISEFVNLQYLDLHGCSNLKELSQDIYKLKELLHLKVSRCGSLQLLPEKFGELRKLAFLDLSYCSQLQTLPSNFGSLQNLSFLNLLHCYKLHGLPDSFIYLANMIHLNMSFCRELKLLPSGLFKYMKKLLVLNLSGCTSLEVLPEFCNIDAGCRMLEILELSDCTNLAGLPNSCTNLCELRCLNLSGCSQIQNFLNLFPEWKFGKLEYLNLSGVGAKAYSEDPGTSAGKLESSEDPHRELELGMLQQDIVTQRLVCLKYLSVGGFTLFSEQGIASLVDLLTLPNFDVRTQTGDNHSNIMLLQQILDLTQHKLNIKCLENVVSPEEAKKLELGRKQQLHSLSYEWSYIWFPIQMDKPTRVLENLRPHRNLQCLSIKRYNGTIFPDWINNINDTLPNLVKIILSDLEGCDHIPALGNLPNLQELEINNMPLLDHVRLVPCKNLRRLTLVKLRAGTTVHIFYDDNTETQVNEVVELSHDCEKGENPDQLPGALPSNKQAKTKSMVCARALLVKAKDLLKAPRGMRRETNITQDTAGAGNESPAVTSSRPALTPGPSNETREQAAVPTLDYFKIESCRSLKLYPYYIPMCEELFIKDCSLDLDDMEPESPSGVYGQESSCRMYQGEFGSLMHGRDPTSRRYRWESISGTSRKLKITNCNRRIVHRLLLLFKSSLQELEIDGIKSIHELPSNLYKMTRLKKTTISSLELQEAKGSNIIRKLYISGIPYINTRQVALFTVRPKQGGWVGSSPTYIWQTKEEEEERRLNFGAGSNTSCSSNFSLLYQYLDNEIQSLMIHRLEHIDETVEEVSECSELAQYRQLLSLILMWSRSSLPQDSSIVNDIVVLQKLQPHENLETLEIQGYRGDTFCSWVTNISYFLPNVVKVELSDILWCQHLPLLGQLANLEVLHISNMPRVRKVGGDIYGADRAFMKLRELTLARMDNLEEWTTTTLSTRDDEQRLQESHGDEILFPNLQVLTIRNCHRLRFVPAFPGSRSCIIERSRHVLSSDRYIGSSNLALLNMEIQNCRFSSDISKLLHYCVNLEQLSLHSCIDLITLPDTIRSYHNLMKLEILECWNFSALPEWLGELESLSELSVHAAKLELLPRSIQDLTALDKLVLKKCNYRLRERCTSGEDKEKIKHIGSVDTTEIPVLYGPSGISMKRICGPQLIELHLQDPIFDDELLDFDSLEILSVTGYYGAYFPHWMSLLPNLVKLELSSLQFKHLRLDQLQSLQELRMSQIKGQWLEVCISCTEPLRKLRRIILSEVTDKKFKIYMEEQGRDDNLFPSLQDFEVHCCSRLRFEPSIPRSAMYVLSGPGSPRRPGEDLCPSFQRIMRPSTPLPQPSKMEIRHSRGLSSPSWKSLERFDSIVELTIDHCADEMPLPESIRGWRSLRKLEILNCENIEGLPEWLPEITSLEEFKLDTYIWQTLPACIQQLTALKTLTLCCGYLLEQSCISGEDKSKLPHGA >Sspon.08G0014770-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:49778521:49781041:1 gene:Sspon.08G0014770-1P transcript:Sspon.08G0014770-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRKSAAKPPPKKRMDKLDTVFSCPFCNHGGSVECRIDMKNLIGEASCRICQENFSTTVNALTEPIDIYSEWIDECERVNTVEGDDDA >Sspon.02G0000560-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:2163851:2164120:1 gene:Sspon.02G0000560-1A transcript:Sspon.02G0000560-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTYRPYCYYGAGEEQQQQQRKVKPAGRWLAAWSVLGLGVDPAEMKRRRRVAGYKAYAVEGKVKASIRRGLRWMKAKCERIASIPNQS >Sspon.03G0024690-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:59738293:59741123:1 gene:Sspon.03G0024690-3D transcript:Sspon.03G0024690-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAARRLACWSLQRRLLLPFQAQAPSIPTAAAFLHSHATSFGYKQVREEEKSKLVGNVFSSVASSYDLMNDLMSVGLHRLWKDRLISKLNPFPGMKHLDVAGGTGDVAFRVLERIKSVGHRAMQGTLTETEEDTHIYVCDINPNMLNVGKKRAAERGYSEEHCLSWIQGDAEALSFEDGSMDGYTIAFGIRNVTHIEKALSEAYSYDVYSFSVIPTIGELVAGDRQSYQYLVESIRRFPNQEKFAQMIEEAGFQRVEYENLVGGVVAIHSGLKL >Sspon.02G0022080-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:74669838:74673519:1 gene:Sspon.02G0022080-3C transcript:Sspon.02G0022080-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMAGPVSSAPRTVEDIYKDYAGRRAGLVRALTSDVDEFYSMCDPEKENLCLYGLPNGGWEVSLPVEEVPPEMPEPALGINFARDGMKRRDWLSLVAVHSDAWVVSVAFFFAAKLNANERKRLFNMINDHPSVYETMTDRKGRENKPGVDNSSKSRHSTKRSNDGKTKKSRVAVVEDGYGDDEEHSETLCGSCSGLYNSSEFWIGCDICERWFHGKCVRITPAKAEQIKHYKCPDCSYKKSSRQ >Sspon.02G0005670-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2A:17952272:17952468:-1 gene:Sspon.02G0005670-1A transcript:Sspon.02G0005670-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRQRISWRTTGAPERYFDDELRTQSYAGGSPEDFVEDHRSVCREFKEFRCGSACCSTRFAGGLT >Sspon.02G0051410-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2C:76687840:76688214:1 gene:Sspon.02G0051410-1C transcript:Sspon.02G0051410-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDVYYPDIAARRQRDQQIRRDVLQLQFTRARIAVARRERFGIQGSRQRTDPTHGTGPAIRATEGIPAPRRAIREKEGRNAGISTRGYLIWIGSGGFRDRSGGRWSSGCGDWGTNRVLWRRGGR >Sspon.01G0021280-4P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1B:83236592:83236855:1 gene:Sspon.01G0021280-4P transcript:Sspon.01G0021280-4P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSRCAEAMARTAGSSSRSPKHMSTRRQNMAYWNVSTTRGGAASGPGSLSFSTSTRRTHARAGAKSFTRDGCSVSATRLRRRRRHTG >Sspon.01G0001140-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:3679593:3683149:-1 gene:Sspon.01G0001140-1A transcript:Sspon.01G0001140-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGPSPAAMDAWWDDVNNSTLWQDRTFHALAALYGVVAVVALVQLIRIECRVPEYGWTTQKVFHFLNVIVNGVRSTVFVLRWNVQLVHPEIFQHVLIDLPGLAFFTTYALLVLFWAEIYYQARAVSTNGLRPVFYTINGMIYAIQILLWLLMWWKPVQAVVFLSKMFFAAISLFAAFGFLLYGGRLFLMLQRFPVESKGRRKKLNEVGYVTTICVGCFLMRCIMMCLSAFNKDADLDVLNHPILNFFYYL >Sspon.03G0009430-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:32653936:32655025:1 gene:Sspon.03G0009430-2B transcript:Sspon.03G0009430-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEPGRRRLLLLCASFVLLSLFGPSNGASNSTENLSESRNKTSHTLEVIRVINFQRSYLGFLVLSHVEKHKPSLLIAQVAFLPDDTESIISAQATRIVPLVFVWFPDASRNNTSQNVKQMSQWKMHQSPLLLPCHFAGFLLLRNTVAVLLATGGAVLSLMNFENSFSNSHQRVGLALYGVMWLQPIIGFFRPERGVKVRSLWYFFHWLLGIAICVTGIVNVYIGLRTYHERTTKSVRLWTGLLTVEVTFLAFFYLMIDRWSYMMKQGHATVEQLRPTDNCRTYPTTLRKELALVQE >Sspon.08G0023160-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8B:53164342:53164530:-1 gene:Sspon.08G0023160-1B transcript:Sspon.08G0023160-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding PRSVVSSMKQQAVEELLRWVEELEQAEAWLLGEEEATENASWVAARGGECSAGAAAGARRWE >Sspon.08G0003820-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:10066727:10070560:1 gene:Sspon.08G0003820-3C transcript:Sspon.08G0003820-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SDGHSAKKWQSTDAGVQSHVLRSVGAFVGCLSNELLKFPPIKESISDILVALEGILKTKNVSVLIQAADVSSKFVSTLGNSVRQYSVLEMVSSLSCHLSANQLRIAVPCATALTCILNSLVTAKVSTQAEIWEALDQTNTVASVISALQNYTEDAYPLNYLTEMISLLRSILWIWPSSRYHVWSNHNLMVKLAHYCLSAETTVSTKVLKLYAALGTSVPVVILLFDFCVKFPIDTLHSHLVSSCAPENLNGNSALCGNGAMVLLKNEELITKTGDLMGKSHPTVTRIEALRLCQVFLRSSRGCDQLMTAHCQPIVQGITNAMSEIDEKTLVREGCQTALLALRYSGNHHRCFWFNAIDEVLYKILSGSCNSSHHAHQTLCYGQLFNIDSKDIMNIYPYVWDILGYLAVHCDNEHLSIGKRQNRTDIMCLVGTSSLATDLPLKNSPMKLSKEEQEPALRAVLMMLLSPSQFIFSEASSKFLEAVLPLGNEYMNMLMSSLESNVTRNLTASFDCVKIMTNLMNIACLLISDSFICFHCKRKKDGGIVCHECRDYYTEGLVGVLKHALCQNMNPGPKSYIAHILSLFGLCGFPSKLGGNMRNALCDNELVDLELLLADGESLSAHAAILSARCPKLLPSEKSFVHDGSVTDEWGKRSCYPVRMSDRVDSHALKKILEYAYTGMVTVDDAIVKPVKTLAKYCHLRSLHLMLQKEQPRWHSCPIYDLTTALEPVKHSFSFAEIIRVPVGWEALRILVQWFYSGELPRVPPNCRWKTMSTEEKLSILKSYAELSSLADFWFLDGVKEESLEVLTSCLNSSTNASLEFIGFAANLGQWELVEAAISSVAHLYPKLRDSGQLEQLDEDVLNMLRTEYVRYSQHYSASN >Sspon.02G0015880-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:37188458:37192075:1 gene:Sspon.02G0015880-2D transcript:Sspon.02G0015880-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLPGAARLAQRLLCSLFLCLSLSTLLARGATRRHEWEVSYQFKSPDCVRKLSATINGQTPGPTIRATQGDTVEVKVRNSLLTENLAIHWHGIRQIGTPWADGTEGVTQCPILPGDTFTYAFVVDRPGTYMYHAHYGMQRSAGLYGVVVVVVAADDDAEPFAYDDEHDVLLNDWWHNSTYEQAVGLASVPMVWVGEPHSLLINGRGRFNCSAAVPGTCNATSPECATPVFAVVPGKTYRFRIVSVTSLSALNFEIEGHEMTVVEADGHYVKPFVVKNLNIYSGETYSVLIKADQDPNRNYWLASNVVSREPATPTGTAILSYNGDRAPPATTPPTGPAWNDTMYRFQQSVATVAHPAHVEPPPPRADRTILLLNTQNKIDGHIKWALNGVSFALPHTPYLVAMKRGLLGTFDQRPPPENYTHQGYDVYAPPPNPNATTSDGLYRLQFGSVVDVVLQNANMLAPNKSETHPWHLHGHDFWVLGYGIGRFDPAVHPASYNLKDPILKNTVAVHPYGWTAVRFKADNPGVWAFHCHIEAHFFMGMGIVFEEGIQRVANLPPEIMGCGETKGGH >Sspon.06G0000960-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:948223:949755:-1 gene:Sspon.06G0000960-2D transcript:Sspon.06G0000960-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLPLLSVERNENGESCEVRGESETLEFVSAQERRREGRREQATVILGPSSSSGPIQMVSVCDHGYGEEEEEKDKLSKKKPL >Sspon.07G0019450-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:71750911:71755879:1 gene:Sspon.07G0019450-1T transcript:Sspon.07G0019450-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPSSGGGRGRGRHLLLGLALALAALLALASASESDHKYKTEEPVKLWVNKVGPYNNPQETYNYYSLPFCQPSENPTHKWGGLGEVLGGNELIDSQLEIKFLKNVEQGFICTLELDAKKVQQFADAIESSYWFEFFIGFVGETDKNSENKHYLYTHKNILVKYNDNRIIHVNLTQESPKLLEDGKKLEMTYSVKWVATDVSFARRFEVYLDYPFFEHQIHWFSIFNSFMMVIFLTGLVSMILMRTLRNDYAKYAREDDDLESLERDVNEESGWKLVHGDVFRPPQSLMFLSALVGIGTQLAALILLVIVLAIVGMLYIGRGAIITTFIVCYALTSFISGYVSGGLYSRNGGKNWIKAMVLTASLFPFLCFSIGFALNTIAIFYRSLAAIPFGTMVVMFVLWAFISFPLVLLGTVVGRNWSGAPNNPCRVKTIPRPIPEKKWYLTPSVISLMGGLLPFGSIFIEMYFVFTSFWNYKVYYVYGFMLLVFVILLIVTICVTIVGTYFLLNAENYHWQWTSFSSAASTALYVYLYSIYYYHVKTKMSGFFQTSFYFGYTLMFCFGLGILC >Sspon.05G0005590-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:19562784:19564173:1 gene:Sspon.05G0005590-2P transcript:Sspon.05G0005590-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding EPRSQNSMESIAVVVVPFPAQGHLNQLLHLSLLLAARRLRVHYAAPAEHVRQARARVHGWGDTSSPFPSTSPRFPNPDPAADSPFPSHLMLLWEAFAASAPTALAALLGEISASHRRVVVLYDLMNAFAAEEAARLPNGEGYTLHCTVVSSILGRMEGGSDLLRECGLEYLPIVPYVTEEFLEFAGKRARAAQTIPSSAGIVMNSCHALEGEFIDFVAEKLAAGGKKVFSIGPLNPLLDSSAHEQGATRHECLGWLDRQPPASVLYVSFGSTSSLRGEQIAELAAALRGSNQRFIWVLRDADRGNIFADNGESRHTKFLSEFTKETEGTGLVITGWAPQLEILAHGATAAFMSHCGWNSTVESMSHGKPILAWPMHSDQPWDAELVCKYLKAGFLVRPCEKHAEVIPAATIQAVIERLMVSDDGLPVRQRATAIGEAVRASAADGGSSRKDLEKF >Sspon.05G0026540-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:5B:36293797:36293994:1 gene:Sspon.05G0026540-1B transcript:Sspon.05G0026540-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALTASSPAAPVGGGPLGAEGSAGGPALAQRSARLSGCPRRTSGSMHGEKNTRVDNMWDPHVILC >Sspon.01G0062330-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1D:103226414:103226711:1 gene:Sspon.01G0062330-1D transcript:Sspon.01G0062330-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VDDSRAGVNRKLELWRHTLESKGFRLSRTKTEYMRCDFSATRHEEGDVSLDGQVVAKKDTFRYLGSMLQKDGDIDEDVRHRISAGWLKWRQASGVLCXX >Sspon.07G0014360-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:51239284:51242855:-1 gene:Sspon.07G0014360-4D transcript:Sspon.07G0014360-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVDYYKVLGVGRGATDDELKKAYRRLAMKYHPDKNPSPQADTLFKQVSEAYDVLSDPQKRAIYDQYGEEGLKAGAPPPSASASTHGPGAGLHGFRFNTRSAEEIFSEIFGGGPPYPRRRRSPGFPMFGGAAGPGEASSAPVQRKAPPIERPMACTLEELYKGATKKVKISRDVLDVAGAGKLWSMRGKVDRREKLERDGRHNERGLEEIRQERYKKPTNHEEILTIDIKPGWKKGTKITFLGKGNEARNVTPSDLIFIIEERAHPRFKRAGNDLIYTHTISLVEALTGCIVQVTTLDGRTLTIPVKSVVSPTHEEVVQGEGMPITREPSRKGNLRIKFQIKFPTSLTGNQKAAIQQLLS >Sspon.08G0021320-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:42706961:42719953:-1 gene:Sspon.08G0021320-2C transcript:Sspon.08G0021320-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding IQVDIGTPEGEMIFHSAASKLDFKGYQAVYEDAEASPCSDSSEGDSVHEDNFEALSKLMVKDLVFPVNVHLGQHFTKPPSRYSEGALIKKLEELGIGRPSTYASIMKVLQDRKYVTAKSRVLHPEFRGRMVSAFLLHHFSEVADYSFTANMETELDNVSAGSTEWKGLLKDYWERFSKYCADASKLDGRKVERMLEEKFGPILFPDVDKDSRVCPSCSEGTLRFKVSRYGEGYFIGCDRHPKCKYIARSLSQQEDETEPTEESPKSFTPRLLGVMPDSDQKGKHPEDDHPVLITHSKVGYNIKHRRSLALVPKNMDPKKMTLERALKLLSGKSVRRIGRPKGKVEKKEPIEWH >Sspon.01G0023680-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:85179299:85184594:-1 gene:Sspon.01G0023680-1A transcript:Sspon.01G0023680-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSMAMGIMGKAALSKSWQESKLLWHIAFPAILTAVFQFSIGFVTVGFVGHIGSVELAAVTIVENVIEGFAYGVLLGMGSALETLCGQAVGAGQVSMLGVYIQRSWLICGATALVLTPTYLFTTAILRALRQPSDIADVAGTYCRWVVPQLFAYAANFPLQKFFQSQSRVWVVTAISGCGLAVHVVLNYVFVARLGHGLLAAAVVGNVTWWLIIVAQVGYLVSGCFPEAWQGFSKLAFHNLAAFVKLSLASAVMLCLELWYYTAVLIHVGFLKNAKLQIDVMSICINYQLWTLMVAMGFNAAVSVRVSNELGANRPKAAKFSVTVAVLTSGSIGAVFFVVFLAWRTGLPRFFSNDDDVLREASRLGYLLAGSIFLNSVQPVLSGVAIGAGWQALVAFVNIGSYYFVGIPLAALFGFKLSMDAMGIWLGMTLGTLLQTAILVFISYRTKWEKQAMRAEERVREWGGRSDALPSSATQVAPAVQGADPSSNATPI >Sspon.07G0025290-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:34530640:34534445:-1 gene:Sspon.07G0025290-2C transcript:Sspon.07G0025290-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQQLLLLLPAPSRTFLKPLSSPFLSSLPRRHLVPVSAVRMAPAIRRGLLRYATKRSGLVEELEIAKDMQPRSRRANGIFWILLLNFGIYVADHLFQIRQIKSLYLYHAFPTWFQFVTSTFCHANWNHLSSNLFFVYIFGKLVEEEEGNLALWMSYILTGAGANLISWLVLPTSSVSLGASGAVFGLFTISVLVKMSWDWRKILEVLILGQFVVDKVMEAARATTITGQSFQVNNIAHVSGALIGAALVFLVSRIPFSSNDDNPKATKRE >Sspon.01G0007160-1P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1A:19412616:19412966:1 gene:Sspon.01G0007160-1P transcript:Sspon.01G0007160-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSGGEASGKRQQFGSMEEFWGFYLSQHSKPGTRRWHFLGTLASLACAVLAAATGRAAILLAAPVLGYGMAWYSHFFVEGNRPATFGHPVWSFICDYRMFVLILTGRIDAELARFR >Sspon.03G0005450-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:15653483:15657123:1 gene:Sspon.03G0005450-1A transcript:Sspon.03G0005450-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VQENKRLLAVTFTYEQESHPEEFFIPYAWRLVLSRGMHQPVNKRFRKNGPNQSSDRNIKAYFCFIVAKSTM >Sspon.01G0035400-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:3435982:3438850:1 gene:Sspon.01G0035400-2C transcript:Sspon.01G0035400-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYERIHEPLPRRQSGGFSPAKLRAMLRGLEKHQRNNGEDTSPEANDSGELDDRRSLECSTSTEMSSNSGHRSRNRAPDDDSFDSESSSSGPPTVKRSAAVAALLPPFSRPTPSKWDDAEKWISSPTSNRTGRAGPTTATVPKKSALAFPEHGGRPPAVAKVVTDVPTNAGPLVKNSDGLDHPDLLKPAHNASIVDGPAPAVRSVSMRDMGTEMTPIASQEPSRTATPIIASSPTSSRTPTPQCTTEFSVSNIDSNKMEMSEEELQISTRQEIMDLGERLGKTTIAAWASKEEKAAAHSTSTTAYKAVDINRENRAADWQEAEKAKYLARFQREEAKIQAWENLQKAKIEAEMKRIE >Sspon.01G0007960-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:86573299:86574562:-1 gene:Sspon.01G0007960-1T transcript:Sspon.01G0007960-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRSLLFVNFVCLAVLFVFAGNIISFMTYLAPLPTFYRIYKSKSTQGFQSVPYVVALFSAMLWIYYALLKSNEFLLITINSAGCVIETLYIVMYLLYAPKKAKLFTAKILLLLNVGVFGLILLLTLLLSAGQHRVVVLGWVCVAFSVSVFVAPLSIIRQVVRTRSVEFMPFSLSLSLTVSAVVWFLYGLLIKDKYVALPNVLGFSFGVVQMGLYALYRNATPRMPAKEVADDDAKEATMSIDDSTLKVPGEHVVTIAKLTAAPAGALQPPEEAKDKAKPAENGTAASPGRNADQ >Sspon.03G0007590-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:20413576:20416545:1 gene:Sspon.03G0007590-1A transcript:Sspon.03G0007590-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVYGDGSASSGDLATDRLVFPNDTHVHNVTLGCGHDNEGLLESAAGLLGVGRGQLSFPTQLAPAYGHVFSYCFGDRMSRAQNSSSYLVFGRTPELPSTAFTPLRTNPRRPSLYYVDMVGFSVGGERVTGFSNASLALNPGTGRGGIVVDSGTAISRFARDAYAAVRDAFDSHAAAPGMRKLHNRFSVFDTCYDLRGNGPATAVRVPSIVLHFAGGADMALPQANYLIPVQGGDRRTYFCLGLQAADDGLNMLGNVQQQSFGVVFDVERGRIGFTPNGYP >Sspon.05G0004050-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:4471163:4475582:1 gene:Sspon.05G0004050-2C transcript:Sspon.05G0004050-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRWLPRLLSHAAAAGRASAAARSTPSLVKGGSHGFASGGWDGSPAVPREWLRKLWVDELRRQKEAARRWGVGASPGSAGSDAEPSLGAFASSVEAAGAAREASECIKIAKLAPLLARANLIIARDIEWANIMFAFEQPVGFIREKSNVIFRQLLRTRRPFVAEITDAMGNEIFKVCRPFWWINSSIYAEVDGKLFTDAGQYAIRFGDEGQSHKFALAADVDELHVVRQLTLPERAVALALAISLDSDYFSRRGGWGLPFLIATE >Sspon.07G0016880-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:64640444:64643472:-1 gene:Sspon.07G0016880-2B transcript:Sspon.07G0016880-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRHLLEVSIPLVVDDSYSADRVEDSQLFLSVPALNQAASYLAQTASFLTQCLPVPGYVGLSEEGQELATLPPASASGRLSVQTSSVEPAGTNSSLGQADCVGSPSQENTGQMVPSHVFQNGASLFQGLVERARKTVRGSADDIGWLQRDQSLPTNEDGTARFLEILDSVRKNEHKLPDSVVYLLVPGLFSNHGPLYFVKTKAYFSKMGLACHIAKIHSESSVSKNAREIKEYIEEIYWGSRKRVLLLGHSKGGVDAAAALSLYWPQLKDKVAGLALAQSPYGGSPVASDILREGQLGDYVRLRKIMEILVSKVLKGDLQALEDLTYERRKEFLRQHPLPQDVPIVSFHTEASITPSVLTALSHVAHLELPIAADGNSTRIPVVMPLSAAMAACSQLLVARYGEKSDGLVTRKDAEVPGSVAVRPERKLDHAWMVYSSLKEEPGDQADTSQVCEALLTLLVEVAQKRRHEMAMKDE >Sspon.01G0000190-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:962096:966661:1 gene:Sspon.01G0000190-1P transcript:Sspon.01G0000190-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLARRPLSAAVPAGNLLGIHLFQCPDAVGIVAKLSECIASRGGNIHSVDVFVPDDKPIFYSRSEFTYNPRLWPRHELHNDFLSLSRCFNAQTSTVRVPDLDPKYNISILASKQDHCLFDLLHRWQEGRLPVHINCVISNHDRPQDNHVRRFLQRHGIPYHYLPTAPGNKREQEILELIQGTDFIVLARYMQILSESFLKAYGKDIINIHHGLLPSFKGGNPSRQAFNAGVKLIGATSHFVTQELDAGPIIEQMVERVSHRDTLQSFVVKSENLEKQCLAEAIKSYCELRILSESFLKAYGKDIINIHHGLLPSFKGGNPSRQAFNAGVKLIGATSHFVTQELDAGPIIEQMVERVSHRDTLQSFVVKSENLEKQCLAEAI >Sspon.07G0021930-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:5517984:5520585:-1 gene:Sspon.07G0021930-3D transcript:Sspon.07G0021930-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAVNITNVAVLDNPTAFLNPFQFEISYECLVPLDDDLEWKLIYVGSAEDENYDQQLESVLVGPVNVGTYRFVLQADPPDPSKIREEDIIGVTVLLLTCSYMGQEFMRVGYYVNNDYDDEQLREEPPAKVLIDRVQRNILADKPRVTKFPINFHPEPSTGTGQQQQEPQTASPENHTGNGEGNGSKPEADQ >Sspon.07G0000440-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7B:784872:785624:-1 gene:Sspon.07G0000440-2B transcript:Sspon.07G0000440-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding TLSFARGARVPRVAVGCGHDNEGLFVAAAGLLGLGRGRLSLPTQTAGRYGRRFSYCLVDRTSSSSLNRPSSTLTFGAGAVPGRASFTPMVSNPRMATFYYVRVVGFSVGGARVRGVGERSLRLDPATGRGGVILDSGTSVTRLARPVYAAVREAFRAAAGGLRLAPGGFSLFDTCYDLRGRRVVKVPTVSVHLAGGAEVALPPENYLIPVDTRGTFCLALAGTDGGVSIVGNIQQQGFRVVFDGDRQRVAL >Sspon.01G0042300-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:64822152:64826365:1 gene:Sspon.01G0042300-1B transcript:Sspon.01G0042300-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPGGAVRTLQVVGRVFDDLPGLERLEAVTDVENVRSQRVLEKAGFHREGVLRRYIAGRGGGEARDAAIYSFLSSDHCVYTREVWARLGAAISLPQPALAETTAVDQWLGERKLIPKHLRRGFDALFLLVSWMIWKERNSRIFEWSATMPAWLPPKIFEHGNAWIAAGFKRIASLIAAASLISAM >Sspon.04G0020010-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4A:70476278:70477442:1 gene:Sspon.04G0020010-1A transcript:Sspon.04G0020010-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRRRTTSKYAVNAKWRTKGLRLITPDTQSPTSHTVDDHNQPPPARGRCGTDKVTGKRDLPPPRQPTRAASILHGTGHHEKPQLARPLPPKHQHHTLGRVHGLRDCGHDRESSPIRLGEHYALLQNFLTVTFQNGPRRRATFPSALVNGRH >Sspon.08G0000130-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:668180:670795:1 gene:Sspon.08G0000130-1A transcript:Sspon.08G0000130-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGFERKGVRQYNRSEVPRMRWTDELHRQFVEAVECLGGQDEATPKRILQLMGVKGVSISHIKSHLQMYRSSSSSSSSTHHQSSLQKLTSTAASNSKRTVARGSVQKLLGTKKRRVPWNSNVLTTEKAAKLPYCVY >Sspon.05G0035430-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:73119429:73125470:1 gene:Sspon.05G0035430-1C transcript:Sspon.05G0035430-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LRRPRSTLAAASLRADLAADEMPRRGVLLLAAAVLLAVAHLPLAYASEADHKSDLDVIRQKLVISKKTCFLQLEPAKMLLSFQNLPALILTVMRMPSSTKSIAASNYFSYVQYKTEEPVKLWVNKVGPYNNPQETYNYYSLPFCQPSENPAHKWGGLGEVLGGNELIDSQIDIKFIKNVDKGAICTIELDAQKVQQFANAIENSYWFELFIGFVGETDKNNENKHYLYTHKNIVVKYNGNRIIHVNLTQESPKLLEAGKKLDMTYSVKWVQTNVAFARRFEVYLDYPFFEHQIHWFSIFNSFMMVIFLTGLVSMILMRTLRNDYAKYAREDDDLESLERDVNEESGWKLVHGDVFRPPRSLVFLSALVGIGTQLAALILLVIVLAIVGMLYVGRGAIITTFIVCYALTSFISGYVSGGLYSRNGGKNWIKAMILTASLFPFLCFSIGLVLNTIAIFYRSLAAIPFGTMVVMFVLWAFISFPLVLLGTVVGRNWSGAPNNPCRVKTIPRPIPEKKWYLTPSVISLMGGLLPFGSIFIEMYFVFTSFWNYKVYYVYGFMLLVFVILIIVTICVTIVGTYFLLNAENYHWQWTSFFSAASTALYVYLYSIYYYHVKTKMSGFFQTSFYFGYTLMFCLGLGILCGAVGYLGSTLFVRRIYRNIKCD >Sspon.06G0023270-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:40302877:40308072:-1 gene:Sspon.06G0023270-3D transcript:Sspon.06G0023270-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMPQQQPGVAPPPPQAAPGAPPHWGAIPPPMAPQHQYAPPPTQQAPPPPQMWGQAPPPQAAYGQAPPPPQAAYGQAPPPPQAAYGQAPPPPQAAYYGGPPAPAPAPAPMAAAPAGPSEVRTLWIGDLQYWMDENYIYGCFASTGEVQNVKLIRDKHTGQLQGYGFIEFISRAAAERVLQTYNGTMMPNVELPFRLNWASAGEKRDDTPDYTIFVGDLAADVTDYVLQETFRAHYPSVKGAKVVTDKLTMRSKGYGFVKFGDPNEQARAMTEMNGMLCSSRPMRIGPAANKKVTGVQEKVPSAQGVQSDNDPNNTTIFVGGLDPNVTEDMLKQVFTPCGEVVHVKIPVGKRCGFVQYANRSSAEEALVILQGTLVGGQNVRLSWGRSPSNKQVQPQQDSNQWAGANAGYYGYGQGYEAYGYPQSQDPNMYSYGAGAYAGYPNYQQQPVAQQPQQQQ >Sspon.05G0023380-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:4161320:4165104:1 gene:Sspon.05G0023380-2C transcript:Sspon.05G0023380-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SWRGGRRRWSPRRAARGPPSPPASARATRSGGSILKLHEDVQTCGYRDVQVMFDILTSELEASTHRPAKHHHHHRKRPPSPPWPATGHPP >Sspon.07G0015460-4D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7D:52842257:52842995:1 gene:Sspon.07G0015460-4D transcript:Sspon.07G0015460-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding FQEIVPLNAGNVLGAEDKTPARKWLDLIRRALNGPASDVATSSSSSSSSRSHRSPSDTDLLQKASRVSFSDLLAAAEDDNRSRPSTASSEPDDDEDEDAGSEPSTSSSAPESSSEEEPAARRRGGRHRYRLAASKQMVGILLCVWVRADLLPCVAAVRASCVGRGVMGYMGNKGSVSVSLSLRGGGGGEASLCFVCTHLASGDRDGDGARRNGDVAEILRRTRFARRDWPSPCRAASPVTTILEH >Sspon.04G0006510-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:18851726:18852790:-1 gene:Sspon.04G0006510-1P transcript:Sspon.04G0006510-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGYRRAISFPAPKPAADGAFALATASDKLATYRIRSSSLPCRFHPLLLQLDDDVAALRLVIGQSSPPRGPSVSAAASQVCRVLVSLSELLHHPQAQEPLRRLGRSSRLAERLLDDFLRLADAHGSFRQALVALAALQAETRAALRRRGDPARLASASRAQRRAARDLTRLAAAVRAVAARAPAPLPEGLPADTAALAAAVADATVAVASGSAAVFSGLSSLSNSAASARVEVASTPCWVTAPARLAGAGSSDAPRTSSRHRIWWVADLVRWMSRAKRRSAEKQYGGDDEDESSTVQLRSEARMKPEERARKAAFERHENLERCIANVDGSGEKVFRALVNTRVSLLNILSPGF >Sspon.08G0011160-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:48628014:48636121:-1 gene:Sspon.08G0011160-1A transcript:Sspon.08G0011160-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHNLHAHEGRWGSASVVREDMERLGIHKHPGSLWPYWTGAWPDSHLLEEEALLSGLSLPSLHFHSSCSTITSSNILQDSGARSMRPSELDVLFFETHAGELDAIFEDDVLRHWDDMEQQSDNKVQIEGNERLPLLCCYTEEEKEVSSNTKVVVRAGQQVRAEEPVLTFELVSQYFYMPIMQAARELNVGLTLLKKRCRELGIPRWPHRKMKSLQSLIKNVQVLQEAGKATDEEQLRAVVEMLQQEKQLLEQRPALFDEMPYKDLVSWNTMIAGYTQVSDMEEAEKLFWEVPDPDAVTWNLMIRGFTQKGDVEHARGFFDRMPERSTITWNTMISGYELNEDYDGTIKLFQRMLEVGERPDHHTFSSVLAACASLAMLRLGAQLHQLIEKSFLPDTATNNALMTMYSRCGELTSAKAIFSQMHTQKDLVSWNALIGGYEHHEALRLFEEMRSAKVMPTHITFISLLSACGNAGLVSEGRVVFHTMVHEYGLAPRVEHYAALVNLIGRHGQLEDALEVIKSMPIAPDRAVWGAFLGACTAKKNEALAEVAANALSKIDPESSAPYVLMHNLHAHEGRWGSASVVREDMERLGIHKHPGYSWIDLHDKVHVFISGDTSHPVTQEIFSVLECHVEIGARRLCEIVVFGGDKRFCGVETSSFFRSSWPIYEGTADCAKN >Sspon.03G0044080-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:86798177:86800603:-1 gene:Sspon.03G0044080-1C transcript:Sspon.03G0044080-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCCTTATAPQGELLWPQQRHLASHVCACRSWRHPCGTIYDGGAPTMYDGGAASLVPTDGSSTSSRRHDISGNGSDASLFWLGNKGQGTTIPGGSLARRALHSKPYWRATPRAQDAWLLGHILDLGEGQPRGEGVDSVRDVPVVGVQEAWLVGVAKHGARQERLVPTAVRIFVHGVGVWWLPEDDEYCRRLAARGGDAAERRRRMAMTAAAAASNTAPAVPFLLTSSGMI >Sspon.02G0043980-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:98702971:98707206:1 gene:Sspon.02G0043980-1B transcript:Sspon.02G0043980-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGWRGAEGCDVRRRRLVRLMWPAARVEAEAPPPPAQGPATSPSTSSSVPPSRTTSYPPEPAAQKQECIDLPRPVSPDCFVKDGREIRVGDCALFRAVDVPPFIGLIRWIEKKEEGYPKLRVSWLYRPTDVKLNKGIQLNAAPNEIFYSFHQDEASAVSLLHPCKVAFLRKGVELPAGISSFVCWRVYDIDNKCLWWLTDQDYINERQEEVNRLLYRTRLEMRAALQSGGRSPKRLNGPSASQQLKTASDGTQNGGLSKGKKRDRSEQGVDPAKRDRDRLLKVDDSEPGSFNLDDIKSEIAKITEKGGLPNAEAVEKLVHLMQLDRTEQKIDLGGRVILADVIAATESPDCLGRFVQSRGLPVLDSWLQEAHKGKSGDGSSPKEADKPIDELLLALLRALAKLPINLSALQSCSIGKSVNHLRSHKNLEIQKKAKCLVENWKKRVDAEMKSNDVKPLVSGQSVSWSGKTGFQEISNAGTKRGGSSENSPKNPLPTLSSSKVPTDKPGGTDAAAKLNPGVSASSNLQHVQPTNVTTNLKDQPCKSTGGAGCPELPTVKEEKSSSSSQSPNNSQSISSEPSKDARSSTAASGGASKTSGSSSRSHRRANNGIVSGNLKEASVGRSVSLDRSLLQDKSSQTGTASEKGVDMSSDHGNNHRLIVRFPNPGRSPARSASAGSFDDPSVTGGRASSPVVADRHDQTERRVKVKTENSRPHLASDANAESWHSNDIKGATGSEEGDKSPCAILDDDNSRTPDDSVKDAHASRAACSSYVNEKGVSETKVGTSFSPMNALIEIKYSEASHSLQAGDDAAMNLLASVAGEISKSELVSPASSPRSSSVKKLVRESDNTGKVKVESDMGPSHPGQADAKKVAMGKEVKNDACLVAKEEQRQTTPSPELADSKAVVSSAKIEIHEGRANKCNSQHASIDSKGENRDACTAHGKVEDGSTDKDGAMESALGSQCSLVSSRNSRLVLAGESSLSAADKQAQGLLKSTNNKQLLSVSDNPGAFDRRDSMAGKLDLMAAEVEKADAVGSSSIVQNEAEKKEHTFSSLLMFQN >Sspon.01G0013040-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:35179907:35185098:-1 gene:Sspon.01G0013040-2C transcript:Sspon.01G0013040-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDDRKRTRLRKSLQLYLSRTLKKIPPIHIPNSAIPANITSARLLSTCRFPRTPSLDLDGDHTAAGADNSSKDQAATLSDVDRFLFDNFRSLYIHDNNDPSSAGASTTVVNGTPPAAETSSFSESASKDVKEVRQGEEGSDNASIVVLSMDPYTDFWRSMQNMIKMHHGCLCQPLDWDFLEELLFFYLQLNDKVVHKHILKAFADLTAGTHMASSTPGSARWADKNLRSRKKSLGWATTSELASAFCLAHGPNNQTIALFVRFVLHMALTTKQLLSSSWRYISRQWPSKRQPKCLDAMSLETKHVLLKF >Sspon.02G0031650-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:104568420:104571705:-1 gene:Sspon.02G0031650-2C transcript:Sspon.02G0031650-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MWGSSRRHGLFGISNCRYLLITSCLIHVVQVLHICKSQSTDEQALLAFKAGISGDPGRVLAAWTPTNGSMNATDICRWKGVSCSSRRHPGRVTALELMSSNLTGVISPSLSNLSFLHTLNLSSNRLSGSIPSELGILRRLQVISLGGNSLTGEIPTSLSNCARLTHLELQRNGFHGDIPVNLSNCKELRVFNISVNTLSGGIPSSFGSLSKLEFFGLHRSNLTGGIPPSLGNLSSLLAFDASENFNLGGNIPDVLGRLTKLNFLRLASAGLGGKIPVSLFNISSLRVLDLGNNDLSGVLPADIGFTLPRIQFLSFYNCGLKGRIPMSIGNMTGLRLIQLHINNLQGSAPPEIGRLKDLEVLNLESNQLEDKWDRDWPLIQSLGNCSRLFALSLSNNRFQGVLPPSLVNLSIGIQQILMYGNKISGLIPTEIGKFSNLRALSLADNALTGTIPDTIGGLHNMIGLDVSGNRLSGEIPPMLVANLTQLAILDLSQNELEGSIPESFGNMRNIAVLDLSYNKFSGMIPKQLVSLSSLTLFLNLSHNIFSGPIPSEVGRLGSLGVLDLSNNRLSGEVPQALSQCEAMEYLFLQGNQLVGRIPRSLSSMKGLQYLDMSQNNLSGPIPDYLSTLQYLRYLNLSYNQFDGPVPTRGVFNDSRNFFVAGNKVCGGVSELQLPKCSGGTDNFGNRLHKSRTGLIVSITIGSILALILVTCTFVMYARKRLNQQLVQSNETSPVPKLMDQHWKLSYTELNRATDGFSTANLIGVGSFGSVYRGTLGNEEQEVAVKVLNLLQHGAEQSFLAECEVLRSIRHRNLVKVITACSTMDHSGHDFKALVYEFMPNRDLDRWLHPSIGEGESSTRALTMDERVSIALDVAEALDYLHNHGQVPIVHCDLKPSNVLLDHDMVAHVGDFGLSRFVQGANSDSFQRTTNTAGIKGTIGYIPPEYGMGGAISVEGDVYSYGILLLEMFTAKRPTDPLFQGGQSIRSYVAAAYPAKVMSIADQALLQREEKDLDEENLEEFLVSVFRVALRCTEESPRARMLTRDVIRELAVVRDSYE >Sspon.05G0013430-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:46841791:46844663:-1 gene:Sspon.05G0013430-1A transcript:Sspon.05G0013430-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Metal tolerance protein C4 [Source:Projected from Arabidopsis thaliana (AT1G51610) UniProtKB/Swiss-Prot;Acc:Q8H1G3] MRRPLASAALRLRLRLRCLSSTSHTRLLSTCPFLLSRRDDDDGREGPSSPLPPLPPSGSAFSPRPLLFSASAAAGHFSLRGGWWRRALPPVASRPPGAVADAAPVRLTISRSYSLRVAKSKKKAHFDDEHSHRAVNTALWCNFLVFSLKFGVWISTSSHVMLAELVHSVADFANQALLAYGLSSSRRAPDALHPYGYSKERFVWSLISAVGIFCLGSGASLLVAIKAVRKGAEAEGMSIWDYIWRGHDPTSVAVMTEDGAAVTGLAIAAASLVAVQMTGML >Sspon.08G0024350-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:63443265:63447185:-1 gene:Sspon.08G0024350-1B transcript:Sspon.08G0024350-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVHHPHLLDFSPPPNTVAMEAPPQPHFDHGHHHLLGLHIDGTGMPVGGGVSHRVLTDDGAVAAWAPQAAGSLSLYNYDTSAGGSSSLFGHHEPQFGAVPPSVAVSSLALPIHHQLPTTTSSMQQPFQLRSSKFLGPVQDLLSEFCSLEGDLQAMNKRAPKAAAGNKWDDVETSSSSSGLWGHPSLSSMDLLELERRKARLLSMVEEVDRRYRRYREQMRAVEVSFEAVAGAGASQVYTRLALRAMSRHFRCLRDALVAQVRALRKAMGERDGAGGGPGAAAAPAAGATKGDTPRLKVLDQCLRQQRAFQHPGTIENYPWRPQRGLPERAVAVLRAWLFEHFLHPYPNDVDKHILARQTGLSRSQVSNWFINARVRLWKPMIEEMYTEEVNQKSDATQNPSGGNIGGGVVVIKPEQMNTTSAATIGGDHSHFQASAGNPSSSMITSSILTAGVGDHVFSSYPSMHGSHGGAVSLTLGLQQQPFASTMMHQRPLMLQGDEQEPVLPYRDLMGSQLLHDFAG >Sspon.06G0012750-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:50884156:50889371:1 gene:Sspon.06G0012750-3D transcript:Sspon.06G0012750-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVEAAAAVDHAASPRHEEEEAPGAGAGAGAADAAGEREMRDLEDLLSKLNPMAEEFVPPSLASPVAVAAVAVAGAGPGPLTPAPLSPAAYGFYPAANAGFAVASPAGHRGVVGFPAAVADATHAGRGRKKGGAGGGFGGHGHPGRRRTNSRTNMAQRDEVIRRTVYVSDIDHQVTEENLAALFINCGQSDDEREMCARTIYCTNIDKKVTQADLKLFFESICGEVFRLRLLGDYHHSTRIAFVEFVM >Sspon.03G0000340-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:950856:954681:-1 gene:Sspon.03G0000340-1A transcript:Sspon.03G0000340-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGAGRRSVSSSSGSRRRVPPAENGHGHDAPPPSRRSSVSLSRGHSTYVIRIRRARFAALLLSLTATGERTVKRLRLSKALTIPDHTTVHEACRRMASRRVDAVLLTDSNALLCGILTDKDITTRVIARELKMEDTPVSKVMTRNPVFVLADTLAVEALQKMVQGKFRHLPVVEHGEVIAILDIAKCLYDAIARMERAAEKGKAIAAAVEGVEKHWGAAVSGPNNFIETLRERMFKPSLSTIISENPKVVTVAPSDTVLTASKKMLELKVSSAVVAIENKPGGILTSRDILMRVIAQNLPPESTTVEKASHIIIIFVSLCSHMSLFSTFSSTNCHHVMTQSPECATVDMPILDALHTMHDGKFLHLPVLDRDGNVVTVVDVLHITHAAIATVGNSGAAGSEATSAMMQRFWDSAISALFPASGLSGTFGFKIQDKQGRMHRFNCETSSLTDLITSILQRVGDDIDRKHLPQILYEDEDHDKVILSSDSDLIAAVDHARQIGWKVMSFPEQRCTLVSCQRCSSTLLFAEPKVALGLRRCWPPEERGGSSDFEYAGKDAWASAYSAVAAGAALVAGLGVMAYLKRSG >Sspon.06G0002740-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:8576903:8577983:-1 gene:Sspon.06G0002740-1A transcript:Sspon.06G0002740-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCSVFNVTDQDGSKLQNMEVIDHIQKCLESDGYLPPPANGGFAPPEDQFTSIELTGADRPGLLSEVCAVLAALSCNIVKAELWTHDRRAAALIQITDEATGLAIHDVGRLSRAQELLSNLMQGDGTCNRGGTGVSVGAARAERRLHKMMLDDRGSGSGGGEEAVGGGEERGGCGKARPKAAKVVVMDCTERQYTVVILRCRDRPKLLFDTLCALNDLQYVVFHGTVDAEGASKEAYQVCWLAGVVLMLNAHRLPAWLQEYYIRHVDGHPVRSDAERTRLVRCLEAAVERRASNGLELELEVRTE >Sspon.04G0034000-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4C:68867583:68867795:1 gene:Sspon.04G0034000-1C transcript:Sspon.04G0034000-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHFTHSKDRERRGLSFEELWDLWRGRVQWYPHGLDALTMEAWACQDGVPFARDMGVQRLRSTLKLTARN >Sspon.03G0019590-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:61027685:61028481:1 gene:Sspon.03G0019590-1A transcript:Sspon.03G0019590-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDEAPEELISPRISFSHDLVVVATPPPATALAGRRSDASLLAPRSRLLVPEPEFDFANAVVAVDDDDVGPADRLFAGGKLLPMPPLPPAAPKPTPPCKPQACHVKAAQQACQQKTRRPGSSWASPFSRSSSVNSATTTTATTGTPRSAGRFGCPPFPLMRSWSVGSGAVARDGAAVSDAAGHRPHHHQYYKKLGGAGAPTGSRKGSSGSGSHGVRVPPVLNVPFIGASVSNVLSYLLCDCSHGRTKKSREF >Sspon.04G0013200-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:46927933:46942925:1 gene:Sspon.04G0013200-1A transcript:Sspon.04G0013200-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DLYRDMKKEVPASLKCRLAALRAPLPTSSSHVGEDMDGEDTDADYMEGEDTDSEHIDSDEDLDGIGCTEDTDEDEEMDGDDDNANVDGGSPTPTYGSGGGHEPTPTPTPTPSYGTTPSTPSTPTYGVPEIPKHGFVGSCDYWNSHPDMIIAVVGSLGNIGKTFGAACSLIVGKKLENLHDALSNTRTDGVGALLREGAAAYLNSIVNKKFPFTTQQVKDCIVVAVTSDGAASAQAGIFKKANEYHY >Sspon.08G0016620-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:65395827:65397349:-1 gene:Sspon.08G0016620-1P transcript:Sspon.08G0016620-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPSLRYLAGTAGPSGFGSRTTAEEATAAGRDLGHITAIITGATSGIGAETARVLARRGARLVLPARSLKAAEEARARVRAECPGADVAVLPLDLSSLASVRRFVKRFLDLGLPLNLLVNNAGKYADRFAVSEDGVEMTFATNYLGHFLLTRLLLDKMAETARDTGIEGRIVNVSSTIHSWFPGDDDALGYLDRVTRRKIPYDPTRAYALSKLANVLHTRALADRLREMGANVTANCVHPGIVRTRLIRDRDGLITNTVFFLASKLLKTIPQAAATTCYVAVHPAVAGVSGKYFADCNEASPSRLGDSSEEASRLWTFSENITEKVQKTSSVHAVSTGFRLQAQSSKADRAMALAA >Sspon.04G0012240-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:41599988:41603365:-1 gene:Sspon.04G0012240-3C transcript:Sspon.04G0012240-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVAEEEEVSMEGEQKGGASGAVRDGEVRTAAAAAAAVSLETLRKRMADFATDRDWEQFHSPRNLLLALVGEVGELSEIFQWKGEVPKGLPGWDDGEKEHLGEELADVLLYLVRLSDMCGVDLGKAALRKMEINARKYPVGQCKGSSKKHTHYGSTNN >Sspon.06G0021120-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6C:13904544:13904834:1 gene:Sspon.06G0021120-2C transcript:Sspon.06G0021120-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSKVVCACILIILVISSRADARRLVAATCNGKEGPCKGGIIVVEGYGGFSAKQKMATARSTEEVSEGMPATTMDSRPTYPGNSPGIGNKGKINN >Sspon.07G0002450-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:4417931:4422431:-1 gene:Sspon.07G0002450-3C transcript:Sspon.07G0002450-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTRASKSYLCAGSSSFDDPDVVEVSPAAAAGWAPSHHKRKRSQVVPQEVIEIDDDDPDGVIIIGDKAPVDKNKQTVVCPMNWPKHGKSGWIQDCPGPSTYAPKHTNSWADLKVFQDESVYNYSDDYPYEGFEEDYAYDEDEFEDDGYNAALIESEFKFGLSAKFDNLDVPPGLDASLPWMHKTAIEIANKTKPTKAVDDKIEEKYKAFKRFDTVDDHSDHYYSKPEKRRVQVVKKPSKDWVKRIQHEWKVLERDLPDTIFVRAYEDRMDLLRAVIMGPAGTPYHDGLFFFDIYFPPQYPNVPPMVNYRAGGLRLNPNLYACGKVCLSLLNTWTGSGCEKWNPANSTMLQVLVSIQALVLNVKPYFNEPGYAMHANTAHGEKKSLTYNEDTFLLSCRTMLYSLRNPPKNFEDFVAGHFRKYGRNILVACRAYLDGAQVGCLSGDGVQDVDEGDTSCSVRFKQSLKRLVEELLMEFTAKGADCGKFLTEKARSGASTSAADTTLRL >Sspon.06G0002450-3D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6D:5421778:5426152:1 gene:Sspon.06G0002450-3D transcript:Sspon.06G0002450-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MESAHKSGGGSTPGAGAGAGAGGEGVLCHACGYQYPNGHPSAKQRRAHRKHCGKPTSATAAAAEEGAGENDGSELLLGTVDSVPPYGGEGGGGAGADGNGAGATECGGGSPGSAHDEPGDAVEGGDSAVSADPSGNDAEHQVIGDKSAEYCLVSSSNVPSEITSEASRTDDGTLTTVATQYSEKGSPIEDKDPSDPAVRSEQLQDVPTSVISPEPEDLAKFSSEISENEIQNSSIVPLESDAAGGGTSELTSDVVRQQDGVAVTGVGGMINTIGEGKSSVGKSVQGDELGLSCQDILQTEIGEGHSSTVVEEDSGDKNPTASNNEEILSNETEPNRQSKHELTESFKKVPNIESVESSAEKSVGTDDDLLQLGKDGCHSEIPDDIKAQQQPDSTSGMVDHLAISKGADNVEGQHDPTTDESIGAISSTFGPVVGATANLTEDVCSSGITVDDSMQENVASGTLVPSPVDLVDLSPSTTAHEINTVCSINDVDEKSQNEKSSTDLVSYEVKEMVIKDNFEEKQQNKDVIVDPAPHEADSFPSTDNHRENVQNEESIGDTTSYKISAVQSMSGTEEKEQIEEFIANLASEEINVTKATGENNATAGESNAGTITDVVEDKMPNEEITSGTLSLNNADSSINELKMHNEEVNEGLGSHDDIVVHGPDNVKEQMYEETTSGPTLDKFSLLTSTDSPEERKDENTSADPISHETNVAQTSDGVDEGRSGSLLLTLLPALHRHAEDKKQSEETTADPRSVENATTQGTEDAESSKHNENTTTTDETAEVAQNTNVINERETTEDTASKEISTIESTDDLKGATDQNEEIADKEMVTDSDKNHVSLKVLLADKNVETKEKEKKASTKDRVLSFRRRVSKDNVSPVKPGSPKDGSGQQDWNSPARLPVEKKPKGRKQQWVPFICCSSVQ >Sspon.01G0022500-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:81057476:81059387:-1 gene:Sspon.01G0022500-3C transcript:Sspon.01G0022500-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPHHANKPKPKLSTKHSKFISCKLYISESRNAMAVDAIERASKSDAQVVVVSQFGDNHYNRFRYTLVSYIIDDRSTGEVIYSPIRKVLLAMIEAAFSTIDLESQSGAHPRIGVVDDLSFHPLGQATMEDAASLAKQVASDIGNGLQVPVFLYAAAHPTGKSAGAIRRELGYYRPNYKDNQWLGSMLPDVLPVKPDVGPTHVSHKRGATTVGVTPWIENYNVPLLSKDVATVRRITRRVSGRGGGLPTVQALALFHGDDCTEIACLLDPDHVSAYQVQTVVEQIAGDQGLEVEQGYYTDITKDAALDKYLKIACADD >Sspon.03G0019710-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:61474994:61477110:1 gene:Sspon.03G0019710-1A transcript:Sspon.03G0019710-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MACTQGVVFDVNLIENSALEDGLAGWAPVGTCTTLSVRKRDVVLNFGSAAASGISGASIRVMQMDSSFPFGTCINTNVIQNPAFVDFFTKHFDWAVFENELKWYHTEAQQGQLNYADSDALLDFCDRYGKPVRGHCIFWAVANTVQQWVKRLDNDGLTAAVQGRLTSLLTRYAGRFPHYDVNNEMLHGSFYQDRLGDDINAFMFRETAQLDPGAMLFVNDYNVEGGNDPNATPEKYIAQIAALQQKGAAVGGIGLQGHVTNPVGEVICDALDKLATTDLPVWLTELDVSESDVDLRADDLEVVLREAYAHPAVEGVMFWGFMQGHMWRQDACLINADGTVNDAGERFVDLRREWTSHARGHIDSAGLFKFRGYHGTYVVQLATATGKVHKTFSVEKGDTPL >Sspon.01G0062440-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:104365491:104369917:-1 gene:Sspon.01G0062440-1D transcript:Sspon.01G0062440-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTGATDHITGELEKLTVRDKYHGGDRVHAANGTGARLRSELALLPDILKNPSSDFGDALLRDQHLSSPTNGQLSSCADMLPADTNAPACHDSSCENPASNRSKSASGQRYFMGAPGGNNTNPGVDSGGGNDSAAGASASGSGLHSPGLSAADRSPPAAGSSTPTNEPQPSNGSDGSPQSDPAAGGQALPISGSSAAEGGGAPPVISVDPPVQRPCTRLQHGISKPKIYTDGTVRWGMISTISDDEPVSTSQALQDPKWKMAMDTEYAALIRNQTWHLVPKPKGKNIIGCKWVYKVKRKADGSIDRYKARLVAKGYKQRYGIDYEDTFSPVVKAATIRIVLSVAVSLGWSLRQLDVQNAFLHGILNEEVYMYQPPGYANKSHPSYVCRLDKALYGLKQAPRAWYARLCAKLIALGFVPSKADTSLFFFKKDVRGTKALLVALQQDFALKDLGDLHYFLGIEKLSIKDGDALGPEDSTKFRSLICQFLHSPTTVHWSAAKRVLRYIQGTLHLGLKIGVSNSRVVSAFSDADWAGCIDDRRSTGGFAVFFGNNLISWAARKQPTVSRSSTEAEYKALANATAEMMWVQKLLTELGVPHPTTSRLWCDNLGGKYMSANPVFHARTKHIEIDFHFVREQVAQGQLDIRFIHSDDQVADGFTKALPVAKFLHFRRNLNLLRG >Sspon.01G0059950-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1D:70904831:70905081:-1 gene:Sspon.01G0059950-1D transcript:Sspon.01G0059950-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ALLPVGMRVPSEFTTLVIKPFDHDVYHIAAHLLARGISHTIFYIGDTTVAMLTAPAMPAATQSSLVITFPASTIQMTKPFIQH >Sspon.01G0010400-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:35302253:35306971:-1 gene:Sspon.01G0010400-2B transcript:Sspon.01G0010400-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVPWLLVVHGSVTALVVVSFLCGQWPIFEGTFIQSINHFLTFGAYHYLLYFFAPPFPMSLPSLLLLLSEGIVLAGGLCSLCAALGLGISSSALSDTAAIDPTRYCRFYDIAKDFVLVLSEIVYVKGRREENWGGDERWIWKVFYVAIIGVTYYIIVQTSFEYIPGYYVSGLHRYLSAVAVAVGAILFVLTSFSDPGTVTTDNVSQYVSSYPYDNVIFVEKECSTCKITRHFLICLYGAIILGFILAGELKERKIIYILTVYYGIDNSFSGLFPHVAQTFKWQDYIMWMKKENEAKADAAALKSSIGSANAHKAPPSKWRAFFMRSRTPTVEPIVKNNIYDRGMIKNLCEVVVPLSEHKAFSRRKSD >Sspon.02G0007320-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2D:15511968:15513896:1 gene:Sspon.02G0007320-2D transcript:Sspon.02G0007320-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ALPVPRPQLLFVIVAFVAMALATAMISSTQLRYIPSTRGRRRRRGRHSPAAATVAASATPRSEENIVIVGAGVAGMATAVALRRLGVGAAVLEQGDALRAGGTSLTLFKNGWRVLDAIGVADELRSKYLASRADRRMRMRSPAAGGRDLREFSFEEEAPGQEVRAVERRVLLETLASKLPPSAISFSSKLKSISEQGPAGTLLELEDGRQILSKIVIGCDGVNSPIARWMGFSEPRYVGHMAFRGLAEYADGQPFEPKVNYIYGRGVRAGFVPVSPTKVYWFICFNRPDPGPKITDPTALKSEALKLVRGWPSDLLAVMRSTPEGAVVRTPLVDRWLWPGLAPAASRGGRVVLAGDAWHPMTPNLGQGACCALEDAVVLARRLADAGGAGVDGAQAQAAMRAYEAERWARVFPLTARAGLVGALVQWDNAAVCAARDGVVIPRLVRLGPFLEHTNFECDLLEPAPQSP >Sspon.02G0010960-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:28395821:28399973:-1 gene:Sspon.02G0010960-2B transcript:Sspon.02G0010960-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANFWTSSHCKQLLDPEDVDLVPAADRDRGITPEEFRLIKIHMSFHIWRLAQQVKVRQRVIATAVTYFRRVYTRKSMSDYDPRLVAPTCLYLASKVEESTVQARLLVFYIKKMCGSDDKYRFEIKDILEMEMKLLEALDYYLVVFHPYRPLLQLLQDAGITDLTQFAWGLVNDTYKMDLILIYPPYMIALACIYIASVLKDKDTTAWFEELRVDMNIVKNISMEILDFYDTYKIDPQRGIPEDKISPVMNKLPAKA >Sspon.03G0008920-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3A:24330989:24331924:1 gene:Sspon.03G0008920-1A transcript:Sspon.03G0008920-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPQPSKHGRCTRQLVFFLLTLLLSSSTAALAAVPTSYYSSHCSSPSPAAPDRHADVSNDAELLSSFHLQPTTGFFSGRGANSLFSPHSCDGLHGRTLSFLPRGVSRTADPTLLHLTATLTLFVCRVKTYEYDGRPENYRRNNHSNSTISFDLDGYYSSTSAELCMVGTGTEAAGDVLMTVHFTSIGIGEAQGFRHGKGRISSLRDSTDDLYFETRDITFFGMGNQQQQQVPASIWRRMDPERIATVVSTMLYCVFTVLWRLQEASRRNHEETPAMSMAMHVVFISLGCVALEFILSHWVFFLVTFVHMFS >Sspon.02G0020890-3C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:97113336:97114302:-1 gene:Sspon.02G0020890-3C transcript:Sspon.02G0020890-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKAPPNLLNATSSIHMIVRQLFSRMVFSLQMECSLSMEIISFWLWLEGNGHPDFLASIESFDNYHLRGIAFAGKMFIEALRRKCHLNHRSEEEGYFQKEAMEGIVFYLNNFCYKALEDILEIAEAKERIYRTNQQVQQQNMKGKAPMMSTIRVQQQPSIPRDERTLFVTFSNGYPFTADELYEFFEG >Sspon.04G0027590-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4B:60278297:60278951:1 gene:Sspon.04G0027590-1B transcript:Sspon.04G0027590-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding CGELYSLVPAAQELWNTWEIHCLILTSLFLQVFLFVFAGLWRRSVSPVLHTVLWLAYLSTDSVAIFVLGHLAVHASQRGRQLISFWASFVLVHLGGQDTITALLRQDNELWKRHLLGLISRVVVAGYVVAKASWPDVRLKAAMVLMFFCRCFKYAERTLCLYLASPAKLRSGALAHQSQTLQDLLDRKGLIGLVEFQEMTDILDERSRGSSRGHWLLL >Sspon.03G0005900-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:16523143:16524727:-1 gene:Sspon.03G0005900-1A transcript:Sspon.03G0005900-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MYVGAPGAGGRRVVAAVAVSISAVGPSLSAVVSFFWPLLLSTAFCLVAVSVLLWISPPPAGDADESGKELIDFVAGCRPDHLAPPDAAPAAAAVPVAAVEAPPELEI >Sspon.07G0012420-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:42242037:42251469:-1 gene:Sspon.07G0012420-4D transcript:Sspon.07G0012420-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:E3 ubiquitin-protein ligase UPL6 [Source:Projected from Arabidopsis thaliana (AT3G17205) UniProtKB/Swiss-Prot;Acc:Q8RWB8] MEYDEAPGGARRPAGAPAGAASPAAVAPGAPATGLCELCYLSCAADIIAVSTSLLDTLPAVTTPTERADDDDEMPMDVDVKINLDVDLERQITAAIDSKLLQHLVNALFRGTLSTNDSDLSGPSDAEVDAVGSICAFLHVTFNTFPIERIMTVLAYRTEIVPALWNFIKRHMLKIIDNGEFYEQEKPLSLKDLKSLVLILKQALWQLLWVIPSSSTQKVSPNTSGLKKLSVENVKTRARAGLSELLTQLQDWNSRLPFTSPSDFYSQEATSENFVSQSQLTSSRQSTSHSALTRQRFKIRRNRLLEDAFDQLSLLSEEDLKGPIRVSFINEHGEEEAGIDGGGIFKDFMENITRAAFDVQYGLFKETADHLLYPNPGSGLHYNGDISELELYFVIVNNEYGEQCEEELIPGGRDMRVTNDNVITFIHLVANHRLNYQLIPKDWIDMFNEHEIQISGGFFCQDHELIEMFWEVLKSLSSDNQKKFLKAGVPGLEEHADRLPTSATCMNLLKLPPYKRFVA >Sspon.03G0007280-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3B:26791615:26791818:1 gene:Sspon.03G0007280-2B transcript:Sspon.03G0007280-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQNAAAWAQQAERERRPFTDPIEIPATSRARRVAGERETNREDQGDDDGEAAVPPHVLLARRRAASS >Sspon.02G0016770-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2B:44920627:44922772:-1 gene:Sspon.02G0016770-2B transcript:Sspon.02G0016770-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSGGRGLWLPTPLLCSALVGNEVGDEADEWVLPGSERSCGTQLSEREREEESRGSNDISPTRADCVPACHAAVACHVRKCGEHSALMAKQYYATSSLVVGYALCSSLLSIINKYAVTKFGYPGLLTALQYFTSAAGVWILGKLGFLTHDAFNLETAKKFAPAALVFYLAIFTNTNLLRHANVDTFIVFRSLTPLLVAIADTTFRKQPCPSKFTFLSLVVILGGAVGYVMTDSAFSITAYSWALAYLVTITTEMVYIKHIVTNLGLNTWGFVLYNNLLSLMLSPIFWFLTGEHKSVFAAMESRGEGWFHLDAFVAVALSCVFGLLISFFGFAARRAVSATAFTVTGVVNKFLTVAINVMIWDKHATAYGLVCLLFTIVGGVLYQQSVTVKGNSAAQRELVPEQPKGDNDSKEFDEEKQSLVSSAK >Sspon.08G0021700-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:41852072:41856845:-1 gene:Sspon.08G0021700-1B transcript:Sspon.08G0021700-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGERAKEWLLAAGAGAAVGALSAAAVINLLSRSKRREGYVRNLLESNGMTTGNAWSNRHLGAVGCSDLLSDEVVSEQLTRNIQFFGMDSQKKVTESYVVVIGLGGVGSHAASMLLRSGVGRLLLVDFDQVSLSSLNRHAVATRDDVGTSKALCLKKHFSMIYPECQIDAKVQLYDASSEAEILSGQPDFVLDCIDNIDTKVALLAACVRRGLKVLSAMGAGARADPTRIRVADLRESSNDPLSRSVRYRLKKEHGIEGGIPVVFSLEKPKAKLLPFQASKEEETPSDYQIVPGFRVRIIPVLGTIPAIFGQVMASYVIAQLAGLDFQTEPVVNLDLDHYRILHQRLIEHEELMYGTVEQVLVDAEEVMYIVKELWRGRSARDQSQKDTGRKMWRSVNELMLVRWDKSKAAGISNLILLKFSEADAHESTTLDRIKEEEPEFYSMVSRVLKRAEMEFAL >Sspon.05G0004940-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:15379921:15380304:-1 gene:Sspon.05G0004940-1A transcript:Sspon.05G0004940-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding WPPPRQRRAAGGGAAARRSCARQPCRSRTRPRGGARWCWWRSCCWARSARRQRPRATSRVVSSGARGWAAPRRGRARRCTWSGKARRCTASATSAGTPSSWSGTRTSTTPTTSSRGSSSRSAPPRTPS >Sspon.03G0021180-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3A:65154150:65154657:-1 gene:Sspon.03G0021180-1A transcript:Sspon.03G0021180-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSYGFDASCTSNYISPVYKQGRLRDIGSQCDGHGPMPRGAHELYVTMYELLPESEP >Sspon.07G0019760-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:73126402:73132272:1 gene:Sspon.07G0019760-1A transcript:Sspon.07G0019760-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALWLARASSQLARRAARRLPQLPARHGGSGEPTSPWFLGAVPPPALGSPAVETSRRGFCSVRRFAGESSAAAAVDEEPENGFVATSDQQAVDFPGGKVSFVAEMNFLPDSMKERINCYRVLDDDGRTISGSRFQEVSKELALKMYTEMVTLQIMDTIFYEAQRQGRISFYLTSNGEEAINIASAAALSMDDIVLPQEFANQCFGNKLDYGKGRQMPIHYGSNRLNYFTVSSPIATQLPHAVGAAYSLKMDKKDACAITYFGDGGTSDGIVIRGQAYGIRGIRVDGNDALAVYSAVHAAREMAITEGRPILVEALTYRVGHHSTSDDSTKYRPVDEIEHWRTARDPISRYRKWVQGNDWWSDAEESELRSRVRKELLQAIQVAERMPKPPVTELFTDVYDKIPSNLREQEQFLRDTIMKHPADYPTDKKRDITVLSHVKFFHDCMLV >Sspon.03G0030060-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:27593855:27598979:-1 gene:Sspon.03G0030060-2C transcript:Sspon.03G0030060-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAADLPDELWARVLELGAASSALGFRDLCCLAIASRRLGRLSVYPGLWSELLSRDFPSQSQPSTSSASTSQPQQQLHPKSLYKTKFERHKVRMAEARRRAVFEAEARVLASRRRLAELEGSIREEGDKMKTAAQELDNLERVRRASVALNVWQPQVVRGRQKQLVQQCTVPVDSRLSDLNMELKNKEKHKLNDYEEALQRAKYHPLQDSHAHASGIVNEPRCQGTQEHLHLHCTKR >Sspon.04G0027910-3D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4D:67238938:67240458:-1 gene:Sspon.04G0027910-3D transcript:Sspon.04G0027910-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAVVSAVVADLVGRAISLLAGKLQDRRGVEGKLRRLRHLVVKLESVVEAADARRITSRALLEWLSELVGAAHRGRYFLDAFGGKAVEVTDEDEDDGHGGGEPGRSLFSPSSFNAAKRVRVAARRTLFHGGGSGAGELDGALADMESVSSDLAEFIMLVQCCPPALHRPLATNIYADCQVFGRHLERRRVIDFLLHEGDSGGGGGELGVLPIIGRAGLGKTTLVQHVCDEPAVRRHFSLIMLLDFHCMSLTVPSEAVQLLRSLFSVASTAGTSLAAAGEQLRLLERKLRGERFLAVFDNVDPRKKPVIDAIMPALRRGRTGSRIIVTGSDKHVVELGTTEPIILRPLPPEEYWFFFKAHAFGGADDAEADPRLAAAGQAIAKRLRLRGSFFGAKAVGALLRSRPDHRLWRRVLAASHAESSWFGNGDYVAATAGSLLPPHVTVRGVAVSGSPVRGLVGLQAATLTAPADADGGGGRLELPVLLCKSVFPSYCLYYTAYCTIDAERK >Sspon.02G0046090-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:142730:145162:-1 gene:Sspon.02G0046090-1C transcript:Sspon.02G0046090-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSRADAIDQPNQHSRMDNRGDGFLLSFFRNHVPILPHWKLYKKEPTSSSKEKDPKENDPKQKEPASAYVDLFLGKLKAKFDINTEDKVVKKACIEMMKSVVRQQRYRLKQEYFDPFPLHLVTKTSPVKCMSNEQWLQLLESWKSPKKMDNDEELNEFDLFKELHYSRKKKCYTPIVQEAITRMENKLSTTTEGAAQVVANVLAENTKKNQFLKNVGF >Sspon.06G0002540-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:6587687:6589462:-1 gene:Sspon.06G0002540-3C transcript:Sspon.06G0002540-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQQRGGVGAGRRGDDPGLLTRAVDRVFRFVRLAEFEIFFVLFFLIAFFLFRDLVSAHTNLAFRLRWNWDRIEYAWRVWSVWYRVALIVEGLSRNHRSFAMNEDASRGIRTSPSMSRPEYNQLFVKKPDLEYSYIQSSQLLG >Sspon.08G0000740-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:2431040:2434704:1 gene:Sspon.08G0000740-1A transcript:Sspon.08G0000740-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLRALNRLMSVQRERERRRRRRHGQIRDRKLVPCFASNQHILANAKDMKVALLANAKDSSCQQDGSPQGCKRRRYSGPDLPEDIWRHIHFMMPLQDAARAACVSHAFLGSWRCRPAPDITISRETLGLNKNLHGKDEIARDFNSKVDQILKKHSGIGLKALKIDFCGYNADTYSYLNNWLEIAITPELEELTLHLLPNKAKYSFPCFLLSNGRRNSIQHLKLAWCTFRNTIGLDCLKKLTSLYLSNVSITGNELGCLLSNSTALELLDLSECYKIVRLKIPCLLQRLSCLRVSTCERLKMIENKAPNISSFHFSGLDGEFSLGESSLQLKDMMLSKCCTISFALAKLPFMVPNLEALFLYSYYEVPNLPMVSKTFLHLKHLSIKLCEGAFSPDYDCFSAHEPFVGEPSPQRQIMGTHHSNLKSVKITGFCSAKSLVELTCYILENATSLDCLTLDTTWGCFPRCSDHEFSKCHPLTKNIIRDSQNALLVIRAWIEGKVPPSVKFNVLGLCSKCHNA >Sspon.04G0006650-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:19116414:19117381:1 gene:Sspon.04G0006650-1A transcript:Sspon.04G0006650-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GLTVTARRHPRGGRRPLSRKPGRQQAAHPPPLVTAPPPPPLAALLCPRRRPLLGAAPPPPPTCSLFLRPHALAAVFPARSLVNEPQIWCGDQRAAQAGSKGAKGASGERELGSGCRQQLAERRRSRQTSPAVAAAI >Sspon.01G0000420-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:1747591:1749133:1 gene:Sspon.01G0000420-1A transcript:Sspon.01G0000420-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LQQNRSHRVGPTRCCRCPSAQAGRESEIGVGKMETVKSLLKPKPTPQQQLREWQRRLRNEGRNIDRQIRGERARFPILREEKKAEKAIREAAKRNDMGSAKALAKEVVRSRKAVNRLYENKAQLNSISMHLGEIVATARTVGHLSKSTEVMKLVNNLMKAPEVAAIMQEFSKEMTKAGVMEEIVNDALDSTLDNEDIEEEIEEEVDKVLTAIAGETASELPDAVRKEKEKMKQPSTSVPVERTAIAEAVDDDELDKIRERLAKV >Sspon.07G0004200-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:9562802:9565132:1 gene:Sspon.07G0004200-1T transcript:Sspon.07G0004200-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANGGGYRAEDDYDYLFKVVLIGDSGVGKSNLLSRFTKNEFSLESKSTIGVEFATRSLQVDGKVIKAQIWDTAGQERYRAITSAYYRGAVGALLVYDVTRRATFDSVGRWLRELRDHTDQSIVVMLIGNKSDLRHLVAVSTEDAKEFAEAESMYFMETSALDATNVDNAFSEVLTQIYQIVSKKMVEAPEEGAAGPGKGEKINVKDEVSAMKRVGCCSN >Sspon.04G0023960-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:16359981:16364370:1 gene:Sspon.04G0023960-1B transcript:Sspon.04G0023960-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAPSATAVSTAALLAPNPAPTSAFLRRTQLTHHRVSVHSLKCRRAGPIVPAAAAAAAGSSSPSSAVFHGECFVVGDNIDTDQIIPAEHLTLVPSKPDEYRKLGSFAFAGLPSAAYQTPFVAPGEESSRYAVIVGGANFGCGSSREHAPVALGAAGARAVVAEGYARIFFRNSVATGEVYPLELTDAGAWKECKTGDVVTVDLGNSVFINHTSGKEYKLKPIGDAGPVNFIFYQISRGSRLSRAT >Sspon.01G0024340-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:85243130:85251559:-1 gene:Sspon.01G0024340-4D transcript:Sspon.01G0024340-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDHDHDQDPDASLAAAAGERCPCCCCSSSSPAVPWRRSVKRKLGAEKGGEAAGDDEAGPAARVEAEDECAALREAVAAAQSAASALRAEVEEERLAAASAASEAMAMMLRLQREKAEVQMELRQFRRFADEKMALDAADIDQLRALLAQRARRLVRLRARLREYRMQFLHLGIPLPEGEGEDLVAQNAAEEEEDLLLLEGEDGYIEGDGGYYPELRCNDGEYYYEDGQEEEDAAALDLERRICRLEHDQETRLLEPPLQEEEEEGTHLYTDEALPNSSGHERGGLYADEVLSEEDVEARSNLHNDDEELPESPTAGSADGEEASKADGVDSVSGSGSDRVYTIDKVHQGATVPIARVVDKYQGEAVEPDIKKLYMRLEALEADRESMRQAFVAMRTEKAQLVLLREIAQQLAKDGAPVGSGAGAGTGVHHSPRKRTVGIVERRFTEDKKAALVKTYSMVAFNNVGLLLLLDKCPRIQKTLTRTKFVGLSNLFNQKFV >Sspon.06G0004870-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:13478215:13486911:-1 gene:Sspon.06G0004870-1P transcript:Sspon.06G0004870-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGSSHSQAGAQAGRRRRWGWLLPLLVGAAFLAEIAFLGRLDMAKNAEAVESWTTSFYHRSAHWGDAVGGGATPRAGGDGDGDGEDEEIRRCEQRLEREDAVPYDRDFDRDPVLVGGAAKDWNKCYVGCEFGFSASKTPDATFGIAPDPSVDGILRSMESSQYYSENNIDVARGRGYKIVMTTSLSSDVPVGYFSWAEYDIMAPVPPKTEEALAAAFISNCGARNFRLQALEMLENLDVKIDSYGSCHRNRDGKVDKVDTLKRYRFSLAFENSNEEDYVTESSIPVVVGAPNIQEFSPGEGAILHIKELDDVASVAKTMKNIASNPDAFNQSL >Sspon.08G0020440-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:5831322:5835576:-1 gene:Sspon.08G0020440-1P transcript:Sspon.08G0020440-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSAAQGNKKQQIGINSSLGLGSIPSFDHWNNATCCRFMDLELILILCVGPSYVNREEDFFIVLHGILAQTEDVTELQPVPDAHVPVMKFKFHGISIDLLYASVSLLVVPADV >Sspon.01G0024170-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:86944415:86946241:-1 gene:Sspon.01G0024170-1A transcript:Sspon.01G0024170-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGLAPPTSVSIHRHLPAGSSRARASNSVRFSPRAVSSVPHATAPAECLQPPFHKPGAADLPAPSKKPAAAIAVPRHAVAPRTSGKKQLNFFQRAAAAALDAFEEGFVANVLERPHGLPSTADPAVQIAGNFAPVGERPPVRELPVSGRIPPFISGVYARNGANPCFDPVAGHHLFDGDGMVHALRIRKGVAESYACRFTETARLTQERAIGRPVFPKAIGELHGHSGIARLALFYARAACGLVDPSAGTGVANAGLVYFNGRLLAMSEDDLPYHVRVADDGDLETVGRYDFDGQLGCAMIAHPKLDPVTGELHALSYDVIKKPYLKYFYFRPDGTKSDDVEIPLDQPTMIHDFAITENFVVVPDHQVVFKLQEMLRGGSPVVLDKEKTSRFGVLPKHAADASEMVWVDVPDCFCFHLWNAWEDEATGEVVVIGSCMTPADSIFNESDERLESVLTEIRLDTRTGRSTRRAVLPPSQQVNLEVGMVNRNLLGRKTRYAYLAVAEPWPKVSGFAKVDLATGELTKFEYGEGRFGGEPCFVPMDPAAAHPRGEDDGYVLTFVHDERAGTSELLVVNATDMRLEATVQLPSRVPFGFHGTFITGKELEAQA >Sspon.02G0042230-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2B:81705277:81705666:-1 gene:Sspon.02G0042230-1B transcript:Sspon.02G0042230-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLQPASWPLPQSAVVSLPPVFPYGMPGYGTTLLPGTTLLPFQDVQPTVQPTLQQIEQAMDITTEPVGKMLTCKVSAAVRLQAAARGLLARRLLQEMRQPMHEATLATVDLSSAKRGLAPWDAHQQPR >Sspon.01G0007410-3D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:19781053:19782287:-1 gene:Sspon.01G0007410-3D transcript:Sspon.01G0007410-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFGRVPILNPRYKTAGWRADRVLVVSSPPATFRAWQPAFRRNPSPRHRIVVVSAAPGSGKSTVLPRYLANHGFGPVICAQPRLLAVTVASSKPGQERESDDVWFTTTRVLIDMLCCNRRQPFVAAFRTIVVDEAHDRTLCTDLLLGVVKAAVAAEEMGHLNVVVCTAGGPEDSSLSDFFGAPIVAFQRAVHPVAVHYSRGPMLDMVSAVVEEVRDIHRSKPPGDVLVFLPDIIRILEAYEKLEQLDMPGLVLCLIHDNLPKEFMGYALDPAPGGSRKVVLATDVAETAVLVPGITYVVDTGVLSEDPFAMVSKEAAIRRAAVAGTAFAGHCHRLYMEGEYAGFQEHTVPHVKRDGGALNKLALMLKRHAADGMPGFELLDPPVAPSLENVVAEL >Sspon.02G0000500-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:5415016:5419114:-1 gene:Sspon.02G0000500-2D transcript:Sspon.02G0000500-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQGTPGGMGKQGGAGDRKPGDGGDKKDKKFEPPAAPSRVGRKQRKQKGAEAAARLPNVAPLSKCRLRLLKLERVKDYLLMEEEFVAAQERLRPQEDKAEEDRSKVDDLRGTPMSVGSLEEIIDESHAIVSSSVGPEYYVGILSFVDKDQLEPGCSILMHNKVLSVVGILQDEVDPMVSVMKVEKAPLESYADIGGLDAQIQEIKEAVELPLTHPELYEDIGIRPPRESYYMENLEQEKLYLPRSAVANSTSATFLRVVGSELIQKYLGDGPKLVRELFRVADELSPSIVFIDEIDAVGTKRYDAHSGGEREIQRTMLELLNQLDGFDSRGDVKVILATNRIESLDPALLRPGRIDRKIEFPLPDIKTRRRIFQIHTAKMTLADDVNLEEFVMTKDEFSGADIKAICTEAGLLALRERRMKVTHADFKKAKEKVMYKKKEGVPDGLYM >Sspon.07G0015100-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:59874975:59882509:-1 gene:Sspon.07G0015100-2C transcript:Sspon.07G0015100-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTLSVLLFWLLLAFSSLHAPVSCSAATPNDDTLAVGQALAVGGKLVSRNGKFALGFFQPGSAITSKYTTTASPGWYLGVWYNMIPVFTTVWVANRDTPIGDPVVNLTELKISADGNLVISTHNATEPIIWATHLINRTQASIANTTAVLLNSGNLAVMEISSNAVPLWQSFDYPTDVFLPGAKFGRNKVTGLNRQFTSNKSLIDPGLGSYNIELDTNGGMLLSSRSPYLVYWSWSGSSLASKLVPLLTMILDMNPQTKGLISAAYVDNNEEEYYTYTLLNESSLVYALLDISGQLKLIVWSQATKSWQTPYAQPAVPCTAYATCGPFTVCNSKADPFCNCMDSFSVKSPRDWEVDDRTGGCIRNTPLDCNRNGNTTVSTDMFLPITRVTLPYNSQRVEDATTGAECTEACLKDCSCTAYSHNSSRCLIWHGELLNVKENDDIDNSSEDVLYLRLSAQDFHGVRKSKRKSIIGAVSAAIVISFGLLMLILLSVIWRNKFKCFGGQLYNSQDIGGGIVAFRYADLGHATKNFLEMLGGGGFGSVFKGVLGDSTPIAVKRLDGARQGEKQFRAEVSSIGMIQHINLVKLIGFCSEGDKRLLVYEHMSNGSLDAHLFQSNATVLSWSTRHQIAIGVARGLSYLHQSCCECIIHCDVKPENILLDASYVPKIADFGMAAIVGRDFSRVLTTFRGTAGYLAPEWLSGVAITPKVDVYSFGMVLLEIISGRRNSPNQVYTSNSNHVDFFPVLAVSKLHEGDVTSLVDPQLNGDFNLEDAKRLCKVACWCIQDDECGRPTMGEVVQVLEGLQELDMPPMPRLLAALTERSDAFSMYMGNREQALGEYWKLNRWTYMAMPALYILLIRGLLLISSLHIPPCSAATPNGDTLTGSKELVTGGKLISRNEKFALGKTNINLFDLATLSDYSVQHVEARHVLGEGRVWGGDLADGLEGPDVVLVAGLEEDVDERGAVGDDDGEHVAEHGGVGLPVLRLGGAAGTGDEEDVGDVGEGAEGLGDGGVGEVEVEVGDGGGGRRGPGRERAARDGVDLLRPARGVGEREDVEEGGANDACGSDDEANALWYKGSAAAACSSCRILTAPITPVHHQLITAWVGLDLAAAAAGGRREGWDRGYGREEGGRSVFFRATGLGRQAD >Sspon.03G0010560-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3B:35805328:35806757:-1 gene:Sspon.03G0010560-2B transcript:Sspon.03G0010560-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVVATREATMNGGASAKGPVVVTGASGFVGSWLVMKLLQAGYTVRATLRDPANVKKTKPLLDLPGATERLSLWKADLAVEGSFDDAIRGCTGVFHVATPMDFESKDPENEVIKPTVEGMISILRACKEAGTVRRIVFTSSAGTVNVEERRKPVYDEDSWTDVDFCRRVKMTGWMYFVSKTLAEKAALAYAAEHGLHLITIIPTLVVGPFFSAGMPPSMITALALVTRNEPHYWILRQIQFVHLDDLCDAHIFLFEHPAASGRYVCSSHDATIHGLAAMLRERYPEYDIPQSFPGIEDDLQPVGMSSKKLLNLGFTFKYATIEDMYDGAIRTCREKGLIPLATAGGDGSASVRAPGDTDVT >Sspon.03G0006700-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:23384964:23387316:-1 gene:Sspon.03G0006700-2D transcript:Sspon.03G0006700-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRIMRWLKQLLTGRKEEHRGLGASHVGSGWSDGPEKEKKRKSGADGGARSSGKDAVAPPAAVEWSQQLMRPWEDARAREDRAAVARRALRALRSLVKIQALVRGYLVRKQAAMTLHRLQTLMRLQADSIAVKNASYRKSMEQEERIFARDLQMKPLATPVHRRMLSDSTDSNYERSPRILEMDTCHLRSRSSRITSRYNPEHLPEYQYHRHAAPTPSCSPLPGGKQQLPARLSFRRSACERDPRGSKTAQSTPRFASHDSSPAKSVEHSLASTPRRCATQRDRDALVSPRYMAGTASSAARTRCHSVPRQRQTTDAEQAPRTSVVSREGASRRSSCSHAHGGGFCFQCSDVTRTARFSGISVSDEAARDYYLDSFW >Sspon.02G0027120-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:108412153:108418342:1 gene:Sspon.02G0027120-4D transcript:Sspon.02G0027120-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGGGGAEAGGGGKDALFVELWKACAGPLSSVPPLGEKVYYFPQGHIEQVEASTNQLAEQQGTPLYNLPWKIPCKLMNIELKAEPDTDEVYAQLTLLPDKKQDENTSTTVENEEAEEEVVPHAPPATNEEPRIHSFCKTLTASDTSTHGGFSVLRRHAEECLPPLDMSQHPPNQELVAKDLHGVEWRFRHIFRGQPRRHLLQSGWSVFVSAKRLVAGDAFIFIRGENGELRVGVRRALRHQTTIPSSVISSHSMHLGVLATAWHAVNTGSMFTVYYKPRTSPAEFVVSRDRYYESLKRNYSIGMRFKMRFEGEEAAEQRFTGTIVGIGASDPSGWADSKWRSLKVRWDEASSVPRPERVSPWQIEPAVSPSPVNPLPVRFKRSRSSVNASPSDVSTVTREVASKVMAESQQNNLPRALHNQGRTQLTGRYRDSSDVKTAQDLTMWSSGTEQQRNNIAAQTKRSLEGWTQSRTPKGYNQLFSAFQPLKDAHNPLSPFPSQISGTRSNTWDTADARYPAQQANHNMLPGPWSFMPHSSDFRMNQQNYLVMPEAAKFTGKSAFTSLQGHGTDQCSTGWFGHIESSSRTGHASSSLIRPQPLVIGNDVQKTKGTSFKLFGIPLGSPEKCEPLVSPPSVAYDGKVQTSPSEKGNQLDIVEVDICSDPSKTVKPLDGPQSDSITENNEPCPEATLNIQNKVQSSSTRSCKKVHKQGSALGRSIDLTKFTCYDELIAELDQMFDFDGELKSPCKNWLVVYTDNEGDIMLVGDDPWNEFCDMVHKIFIYTREEVERMNPGALNSRSEDSLSDSQGRGLTSKEPRGLLKW >Sspon.08G0000670-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:1095847:1098246:-1 gene:Sspon.08G0000670-2B transcript:Sspon.08G0000670-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKFFDDLLVDARKRQEDKFACKVGPVPSSSASRAIEEKEKPWCEFLPGVLLCYGKALHKGHKNLFQALPRMLTLWFEFGSIYVRNESSSNQRMKEINANVLGLIRGCLKDLPTYQWLTVLSQLISRICHQNADVVRMVKCIITSILREYPQQALWMMAAVSNSTVLARRDAAAEILQSAKKGCRRGSNALFSQFTNLIGHLIRLCSHPGQPRAKTINISTEFSALKRMMPLGIILPVQQALTVTLPSYDSNMSDQSGFHPFSVSQHPTIAGIADEAEILNSLQKPKKVVFLGSDGVERPFLCKPKDDLRKDSRMMEFNAMINRLLSKVPESRRRKLYIRTFAVVPLTEDCGMVEWVPNTRGLRHILQDIYITLGKFDRAKTNSQIKKIYDTCHGKMPDDVMLKTRILPMFPPVFHKWFLTTFSEPAAWFRARVAYAHTTAVWSMVGHIVGLGDRHGENILFDSTTGDCVHVDFSCLFDRGLLLDKPEVVPFRLTQNMIDGLGITGYEGVFLKVCEITLSVLRTHKETLMTVLETFIHDPLVEWTKVNKSSGGEVQNPHAQRAITNIKARLQGVLVGVKASPSLPLSVEGQARRLIAEAVSLNNLGKMYIWWMPWF >Sspon.05G0006910-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:13097931:13100970:-1 gene:Sspon.05G0006910-3C transcript:Sspon.05G0006910-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLWPSLRIRDSFKHGYLQKLELNLGNMKRAQRQRQERQGEGQEDQDDQPSGGGKAPLLEDRSPSGSVLAGALELAWDVVLLLTCCCCCFCCGACSDEEDHPTAR >Sspon.07G0028920-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:71331237:71332176:1 gene:Sspon.07G0028920-1B transcript:Sspon.07G0028920-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VGEWWWWQLAAVLPTGSSALVVLAGARPIVAPLAFIRPGCGCCSRHSQLLQPPYSSFAASSSSVRQPRLHPSTTDLLHFTLSSCRSLLRILIV >Sspon.03G0006140-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:16992405:17000331:-1 gene:Sspon.03G0006140-1A transcript:Sspon.03G0006140-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARCFQSYSRLRRFFTLNPVMDESRKRAVSTANAKNISSALDEDFGNDFLSSWKLPKSGKDTIDFTVPKSSKKLSFDNLDDFGLDGAFDKLPSFQMGMSDLDFSCPQKKKVKHSSSNADLSEEKKETDKDNFSFSFDFNELGKFSLDGKLGIEEKSMSRFTGKSDPVSSEVKKDTQRGLSAKGNAILEENNSTDTAHTLDTCTLRPSHLTNHESVKNVSQPASNIDAADSSDKMQEHTSVNPATMEQTKVDSVLDNPGEQPKEIYPTKAAVNIPYQDFSCTAISSEDPTQGLADPVNSNDAPIVNSGKVHVSRESNDDEQSNGLRSRDTSIIIPNVSRRPVGQFNSRNEVLEESVSLNEGSQDNQSFSGAPKKFLKKTSHGTKNTEEEISGPKSLSCSMQREIRSVEPALTKERGSFSLLSKSVHMKATRVELASEIALNQLSSASKVIKKMTTHPTDLKREHMQANAVPDKCKTALSKTYSKPASNGLLATSMNVKDDRNAKLGLEPPISGNSSLLNARRSTANSSGHKVVANHVLLKSSNASDSLQGVPSKDNKISTISQLTGARSPKSDMVPEKESVQVSGTKGSPVTTSRILDSIPEGKPALPSPSIMQKVPEFETLCITEETHHESVLDPKAPKVLKHIMRSPAVRLLILGSGTPKAHVDNAISSRMPPGMEAISDLELPVLLENDGNIEKAEACRKELEDICILLKRKHAEAKELAVRAIVNNNTMLMLSHPMIEEKIS >Sspon.02G0021780-2P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2A:72914565:72915059:1 gene:Sspon.02G0021780-2P transcript:Sspon.02G0021780-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGDRPARGARVVLCPLPFQGHLSPMLHLAGALHARGLAVTVLHTAFNAPDPARHPGITFVAVPDVIPEAVAATNNGIAKLLALNAAMEASGHVRDALASLLAEEGAPWLACLIFDSLLSAAQNAAAGLALPTLVLQTGSATSFRLFRSDTYDMLHDKGYLPAT >Sspon.01G0025280-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:94048077:94050677:1 gene:Sspon.01G0025280-2B transcript:Sspon.01G0025280-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIGGDAGLVVGDGAGDDNIILNPEFDDGLDNWSGNGCKIELHDSLDDGKVLPANGKYFVAATGRTDTWNGVQQDVTARLQRKLLYEATATVRLHSASAAAGGAVAPCEVRATLGVQTADGRQQYLGVGKSQVSDKEWVQLQGKILLNSTVAKASIYIEGPPAGVDVLLDSLVVKHAQKAPPAPAPDFEVIEHSNLEYGANIIQNCNLDDGLNGWFPLGPCALSVHDGGPRVLPPMAQESLALDDEPLNGKHIHVTNRTQTWMGPAQIITDKLTLYATYQVSAWVRVGAQAQQASGAGAPQTINIAFAVDSQWINGGQVLARDERWYEVGGAFRVEAKPASRVMVYVQGPDAGVDLMVAGLQVFPVDRKARVKHLKRLTDKVRKRDVVLKVTGADGAAVKEADGVEVRVRQVSNSFPLGACIMRTNMDNEDFVDFFTKNFNWAVFGNELKWYWTEPQRGQVNYGDADDLLRLCSDHGMCVRGHCIFWEVDNAVQQWVKTLSTDDLSAAVSSRINGLLTRYKGKFKHYDVNNEMLHGSFYQDKLGKDIRAAMFKTASQLDPDALLFVNDYNVESMCDTRATPEAYIQQIIGLQEQGAPVGGVGLQGHVSNPVGPVIRSVLDRLAVLGLPIWFTEVDVSSANEHVRADDLEVMLREAYAHPAVEGVMLWGFWELFMSRDDAHLVDAEGQVNEAGRRLLQLKREWLTHSHGHADENGEYKFRGHHGEYHVDVTTPTGKVSQTFTVDKDDAPLVLNIK >Sspon.02G0013700-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:38920382:38921341:1 gene:Sspon.02G0013700-3C transcript:Sspon.02G0013700-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTLVGHVAPGAGFLLIGLWQLFSHIRLFLLRPSSYSAPHASISLALLVFAAVTIHMDRVRAPLRDAVSQLVAAAAFAQQLLIFHLHSADHMGVEGQFHWLLQTVIAVTLATTLLGIPYPRSLVVSLVRSASLVFQGVWFIVMGVMLWTPALIPKGCFLNFEEGHDVVRCRTDEALDRAKSLVNLQFSWYLTGTVVFVVLFYLQMAKLYPEEPQYLPLVKGGGGGGDNDSRFSIGDDDDDTEDDVEAAKRGFGHVVSGTKPVEIER >Sspon.01G0020260-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:74914297:74914892:1 gene:Sspon.01G0020260-1A transcript:Sspon.01G0020260-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMKLLVLLALLQVLLLNAHVSEAKKHHKPGSAATGTCKASGVLHGKAGNCKRIHDSECCQAGESYPQFRCSPPVSAKTPAILTGQDGGGPSECDNRFHGDDEKVVALSSGWLRLDGTKRSVRVTASNGRSVLAKVVDECDSVNGCDEEHNFEPPCPPNVVDGSPAVWKALGLDDKIGEVKVTWSDA >Sspon.06G0028850-2D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:5D:4621730:4622022:1 gene:Sspon.06G0028850-2D transcript:Sspon.06G0028850-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding TPPPLCDFEQWIDTEIKESDKRLLQGLKEWDAERLEILEKRCREKAVEKEHKEEEKRRRVTAYREEREKKLERVRRAKAAMEENPDAQRKGKWPRCT >Sspon.06G0012860-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:55466995:55470319:-1 gene:Sspon.06G0012860-3C transcript:Sspon.06G0012860-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLVDIPAVHHDFMPLRAKEVLFYILRELRHAKSQSQEQGPALDPWEIDIRRLQINSEKKWALSLLKIKENIKEMKIYEKLDKIKSDIQGRLGNGDGLPRGDKLQGELDHLDIDVLLQLLLHAAVAASQQDQGKNKDIHIPALIIKKLKEHMEAEEKDKTLEEEEVAKHMGFDEGEEAVGKNMEEEEEEEEEEEEEDEEEEEDTTWIHLDEAQYAHILRNLFPKSMSSSSRPLQAQDRSLVGKQATKTTTATLLGGDEIKQMIHDAKKDILQELQKGKYEKGEGTGESAVPDLNAETIPEKIGQMIDNMKQEFKEQLKIKGLVDIQVAKEYCYPQLEPIDYSLAGLYHDTVLELTSQLQKKYEDKYCKPHEFCMKIFTHALYANPKRSNEELLKLHSILRDSPTSFNSIAKVMFEFSYNDLPKEYKSCLLYLAIFPPGHKMRRSTLIAQWVAEGLTSKEDWVSSVRQGNRCFDALVDQCLVDPADIGATGKVKSCVVGDLIHEHIVETCQSHHLARHFSVFNDLQLCSSDKIDKFVQRLSRSSQVSVLKVLDLDLEGCKFFTGKNQRIDKMVNMEVLSNVKAHRSHDLKDIGKLFQLKKLGVVIDDKDSHLRNLLETISDLHECLRSLSITTNPVAAPTPCKAGAGTPSSADDNPDGIGSLLKNHPKILQSLSIRGTTHKGSLLPLFMKGGSDNKLAKVTLSGTLLSQDDLKALAKLPMLRCVRLQHIACTENMLTFKKEEFICLKYLLVEGSDLTNITFEYGSARELEKMVLSFTSTGSISGVHWLSKLGELELNNSFCGRLLSSFDDATQIAKLTLRDTELEQDALQILAKKRNIRSLIVFNSGSAPRLEKIVWSSPTCLYGIDRLPRLKELKFKGERAVPNNEVIEATDKHQNKPSLKLNGQETQG >Sspon.02G0030180-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:109881276:109884189:-1 gene:Sspon.02G0030180-1A transcript:Sspon.02G0030180-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSRAASWQSPTPAAWDATSLASALKAAAARRSAPHVGPLHAVLLKLGLSASAIHATSLAHLALRSGLARYARELFDEMPRRDVVSWTSLVTGHAHQGLHRDALALLRRMVGSGVQPNGYSLSGGCWLVPSALAHGKEIHARVVKMSSRGPVDPVVENGVLDMYSSCGSIEYALRVFRVMRVRNMVAWNTMMAALLGSGQAEDALRLFVSMASCGVGVDGFSFSIVVDACGELALLKQGMQAHAQVVGGGFEADVVVRNSLVDMYAKCGCVDSAELVFKAVSSNDAVLWTTMISAYGKFGRVQDAVSMFDRMAYLGIKQDGIAYLAVLSACSHGGLVREGWYYFSLMSDGSSSVKMQPEHYGCMADLLCRRGYLEEALEIIENMPFDSSVAAWSALLNSSRIHGNAKLGQFAASCLLKLDPENHSNWVALSSVHASGNDWHETWTIRESMSRECVKKEPGCSWVELCDGVHVFIMADQSHPELFQIVQSLDSLKDDILVMPWQD >Sspon.07G0038660-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:82941560:82942811:1 gene:Sspon.07G0038660-1D transcript:Sspon.07G0038660-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSMTCDALPLRSPRDSRECTTPQKSFSIPFYGSSYTPDCDDTLKPNIGMTFTDVESAKEFYKRYA >Sspon.05G0013760-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:42114377:42118564:1 gene:Sspon.05G0013760-3C transcript:Sspon.05G0013760-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIGLIVGRTSPSEAGSQEQCTENKHKGYFEYDSRGRMIYSGIYTPRDGSQKVKENTHTHTHTLLRRGEMAHALIFLLVAHLLIFMLSNPIAILAADSTNNSEIELQALLNFKQGITNDPSGVLSSWNISGSFCTWRGVVCGKALPPSRVVSLELNSLQLAGQLSPSLANLTSITQLDLGSNSLEGPIPKELGTLSKLQDLILANNSLSGIIPASLFKDSSQLVVINLQRNFLNGPIPDFHTMATLQILNLAKNNLSGSIPPSLGNVSSLTEIHLDLNMLDGPVPESLSQIRNLTVLTLGHNQFGHFPAELYNITSLRILDLGNNDLSGYYIPASLGNLLPNLEKLIMSGDNITGLIPPSLANASKLQEIDLSYNALAGPVPLLGSLPHLRVLNLGSNSLKSDNWAFITSLTNCSNLTMLIMDDNRLDGSLPISVGNLSSNLQRLDLGNNQISGKLPEQIGNLPQLQLLAMDQNSISGEIPLSIWNLSVLVVLRLSHNRLSGQIAPAVGNLLQLTQLSIDSNSLSGNIPASLGQCQRLTILKLSFNNLDGYIPVGLANITTLFSLDLSKNHLIGSIPQSIGLLEQLVLLNISHNNLSGQIPPSLGKCLSIQQIDLSQNNLTGQIPDFFNNFNALELLDLSYNNFGGPIPTGGVFQNTTTVILNGNIGLCVNATTSASVFPVCPGIAAGGIKKNAHFLLIVIPSITIALFLCLCLCIIVALLKRRAHIEAAPCYKQTMKKLSYFDILKATNWFSPEGDLVFATLTLQCYLVPLVEVALLCSMELPKDRPGIRDICSKILEISEAFLKP >Sspon.07G0005230-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:13393739:13398207:-1 gene:Sspon.07G0005230-1A transcript:Sspon.07G0005230-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNASAKEGENGHMAASPELAAAHNGGGSSSSSAGGAAARPPPPLSPPDAVMLERPPPVPYLFAPQVPVTPLHRPTEFSPVFNHSPTNGTGGESTNHHSQEKGIPTLITWSQGGNEVFLEGSWDNWTSRRALERSGKDHAILLVLPSGVYHYRIIVDGELRYIPELPHATDERGQVANLLDVHDYIPESLDSVAEFEAPPSPEHSYDLQYPGDEEFAKEPPTLPPQLLMSVLGDTDNTDIQASKPQHVILNHLFIEKGWGSQSLLALGVTHRFESKYVSFVLYKPLKR >Sspon.08G0024880-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8C:3441765:3444713:1 gene:Sspon.08G0024880-1C transcript:Sspon.08G0024880-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAKKTVTIPCPAFSHLSPISLPGSPRFSHLFVDLPPSSGRQPAPFSLKKSTDNINNKLQLVMKSGKYTLGYKTVLRTLRNSKSKLVIIANNCPPLRKSEIEYYAMLAKVTVHHFHGNNVDLGTACGKYFRVCCLSIIDPGKWLLHITGDSDIIKTTPGEQ >Sspon.07G0030670-2D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7D:12967024:12967719:1 gene:Sspon.07G0030670-2D transcript:Sspon.07G0030670-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPAPSPGGAATPPPSKPQSPLRITHEGEFYARLLTRESSVGNPPSFRYYGAGTGAVPFLWESQPGTPKDAYSSRTMLTAAAAVAPVITPPPSYYLRGGVPLGHSARRHGKTGGGGRSGKYFGYKLKWVKVSFIATVFRRLAFGNKSMSSSSSAASVKSSSSSSTRWLFSGSSAADAEARDQEYCGYDPAGEPRTKGEFCLGIRPSPWMVHFCSGQREQAGWVYGWRPS >Sspon.02G0005610-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:17225732:17229864:1 gene:Sspon.02G0005610-1P transcript:Sspon.02G0005610-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPQAPANGAVHGGSSNGGVVVADGGMAPSSENGAGGGHHGGSIDGVVGGTTTVPSSVAANGNGKQHGTRERKRKKKPPAVSPMDKYWTPIDDKEAAEAVEDGGEDGRRPLLFRTYRVKGILLHPYRLLTLLRLIAIILFFIWRIRHPYADGMWLWWISIVGDFWFGVTWLLNQVAKLNPIKRVPDLSLLSQQFDLPDGNSNLPRLDVFINTVDPINEPMIYTMNSILSILAVDYPIDRTATYLSDDGGSIIHYEGLLETANFATLWVPFCRKHSIEPRAPESYFAVKSRPYTGNVPDEFVDDHRRMSKEGKEGVKATWMADGTQWPGTWFDPTENHKKGQHAGIVKVMLNHPGDEPRFGGPASAETPLDFSAVDVRLPMLVYISREKNPSYDHQKKAGAMNVQLRISALLTNAPFIINFDGDHYVNNSQAFRAAMCFMLDRRDGDNTAFVQFPQRFDDVDPTDRYCNHNRVFFDATLLGLNGIQGPSYVGTGCMFRRIAVYGIDPPRWRTDAFKLVDNPNKFGNSMPFINSIPSAANQEWSMTSPPAHEESVMEELNNVMKCAYEDSTEFGKEIGWVYNIATEDVVTGFRVHRTGWRSMYCRIEPDAFRGTAPINLTERLYQILRWSGGSLEMFFSHCPLLAGRRLNFMQRIAYTNMTAYPISSVFLVFYLLFPVIWIFRGEFYIQKPFPTYVLYLVIIIVMTELIGMVEIKWAGLTLLDWIRNEQFYIIGATAVYPLATLHIVLKLVLRGNGVSFKLTAKQATSTVNEKYAELYVVQWAPLLIPTIVVIAVNVGAIGAAIGKAIVGGWSLLQMADASLGLVFNAWILVLIYPFALGIMGRWSKRPYILFILFMI >Sspon.01G0028360-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:96848274:96854895:-1 gene:Sspon.01G0028360-2B transcript:Sspon.01G0028360-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRDGGGGRRGSHEEGRSGGGGGRGRGRGAAELGGRGGGGGRGSQGRGRGGADLGGRGGAVGAARGGHGGASRGGHQFFHGAQPVGGGARGGHYHHGVPAQGQGQPRWQVAAPPSAAVPRPTPAEVEALSGEVERKAAVAAVAEAREGPSSTAHEPAAAQPRVEGEQGTSLGAADQGAAQGRLPPASRKALVFPARPGYGTLGRRCRVRANHFLVQVADKEIYHYDIVITPESESRKRNRWIVNEVVKLHKQYLDGRLPVYDGRKGLFTAGPLPFKAKEFVLKLTNPDRANQGEKEYRVTIKDAAKLDMYSLKQFLAGRQRELPQDTIQALDIAMRERPNEKYVSISRSFFSQAFGHGGDIGSGVECWRGYYQSLRATQMGLSLNIDISATAFYKAQPILDFALEYLNIRDTSRRLSDQDRIKLKKVLKGVRVVATHRRDIAIRYKITGITSLPLSDLTFDQDGTRVSVVQYFKHQYNYCLKHIHWPCLQAGSDSRPTYLPMEVVPISSSVCVNVCNILEGQRYSRKLNERQVTSILKMACERPTQREGSILEVVNRNNYGNDHCAKEFGIKVTNELALVDARVLPAPTLKYHDSGREKLCSPSIGQWNMNNKRLIDGVSIKYWACVTFASRLHPNDVRMFCNYLVGACNDIGMQINGRPCIDVGQARPDNLEAALRNTHRQSAQMLAQQGVTRPQLDLLIVVLPDANASVFYGRIKRLCETELGVITQCCMPKNVHKGGRQYLQNLALKINVKVGGRNTVLDDALNRRIHLLTDLPTIIFGADVTHPAPGEDASPSIAAVVASMDWPEVSKYRCLVSSQGHREEIIADLFAQVKDPQKGLVHGNYLANGSRKPSRIIFYRDGVSEGQFSQVLLYEVDAIRKACASLEEGYLPPVTFIVVQKRHHTRLFPEDHRAQGQTDRSGNILPGTVVDTKICHPSEFDFYLCSHSGIQGTSRPTHYHVLFDENNFTADALQTLTYKLCYTYARCTRSVSIVPPAYYAHLAAFRARHYLDDGLSDQGSSSVASSRQQDRAAPVKPLPKVMESVKQFMFYC >Sspon.07G0012260-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7B:49457447:49459125:1 gene:Sspon.07G0012260-2B transcript:Sspon.07G0012260-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RLLTVYLQGRNYGFVVFYDPKDADAARNGLDGQEICGSHITVQFAREHKMTRYDTRYIHDDQNDRQDGNSKLFVSNISPRTQEHDIKDLFSKYGRVRKANLKENYGFVEFCDPQDADDARCELNGQEFNGNRVGVKFATGVPRGPVDSAQILCYNCGAEGHFSGDCKAGDWKDRCYRCGEKGHLKRNCRNSPKDTGEGEAIRGPSLLFMEWAKAGDTGVAVGVTGLLLNLV >Sspon.06G0030640-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:46736440:46739206:1 gene:Sspon.06G0030640-2D transcript:Sspon.06G0030640-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMPPPHLQFTEPHVPLALGPTTDTKMHLHRTEHAKTTLAMEIASKATELHDGGGGAWEVPAVDDAERGRNGMPALDTTTHIAIDRLTGLVLRRPEPILFSYQPRLPRATVARTTPPTPSSPRHSLAALPPTPCACLYSPTLTSAFFPTKPLRATERVQPSTCHFNFPAVLSDPSAMGSLDTNPTAFSAFGDDATAFQPLNPDDVRSYLHKAVDFISDYYKSVESLPVLPDVKPGFLQNELRSAPPTSSAPFDVTMKELRASVVPGMTHWASPNFFAFFPSTNSAAAIAGDLIASAMNTVGFTWQAAPAATEMEVLALDWLAQLLRLPSSFMNRTGAAGRGTGGGVILGTTSEAMLVTLVAARDAALRRSGSQGVSGLPRLAVYAADQTHSTFFKACRLAGFDPANIRSIPTGPETDYALDPAKLLEIMQADVDAGLVPTYICATVGTTSSNAVDPVGAIADVAAMFNAWVHVDAAYAGSACICPEFRHHLDGVERVDSLSMSPHKWLLTCLDCTCLWVRDTHRLTDSLETNPEYLKNDASESGNVTDLKDMQVGVGRRFRGLKLWMVMRTYGAAKLQEHIRSDVAMAKMFEDSVRSDDRFEVVVPRNFALVCFRIKPHGGGMTEEDADEANRELMERLNRTGKAYLAHTVVGDRFVLRFAVGSSLQEERHVRSAWELINKTTTEIMQEQDQIITAVE >Sspon.04G0015040-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4A:56351243:56351785:1 gene:Sspon.04G0015040-1A transcript:Sspon.04G0015040-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFTFGGFGDPVFSAALQQLMDLPDELERQMNAPTRAYVRDRRAMANTPMDVKELPSGAIVLAVDMPGVSPADVKVQVEEGNVLTISGERKRPAEDGGAAEGKQQAAADGGEKQGVKYLRMERRMGKFMRRFPLPESADLDSIRAEYKDGVLTVTVDKKPPPEPKKPRVVQVTLGEQQGK >Sspon.03G0028900-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:12232116:12235272:1 gene:Sspon.03G0028900-1B transcript:Sspon.03G0028900-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MWAVHFAGEHLPGALPSAGVKAAPDHSRHAQLHRSNAPDPPPLPGTSNDARRSSKAKACRRVPEGVVDSWDKLFLEGYQSDLRVSTDDGSEILSHSCVLGVKSPVLRAMLEEAKLEDGFRCLRISGAPAEAVRVFIRFLYSSRFEQEQMKKHVLHLLVLSHVFSVPSLKTVCIDQLERNFLAPDNVVDMLQLARLCDAPRLSLVCTRMIIGDFKTISLTDGWKVMRRVNPSMEQELLESLVEADTKRQERAKRMEEKKIYRQLYEAMEALVHICRDGCRTIGPRDQALKGSLAAACKFPACKGIELLVRHFSTCRVRVPGGCANCKRMWQLLELHSRMCFTPDTCKVPLCRHFKEKMRNLERKEETKWNLLVCKVLESRGTMGFMPERREGTRTRRSRNQNVRTSQSALERVARLAALAVARPPAHGSNPRLGNRGCHARVLSPEGAERVCKQCVFSRRVPRFLGQSHMYLSVR >Sspon.02G0017090-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:52588047:52594390:-1 gene:Sspon.02G0017090-2C transcript:Sspon.02G0017090-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPPGLIHHRPDAPAPGDGAPRSGPGGPSLVLTADPKPRLRWTADLHERFVDAVAQLGGPEKATPKTILRTMGVLWRVLACSSKLLKIIGSKSFPCDQKYRLGKQSGKEGSEQSKDASYLLDAQSGMSVSPRVPAQEMKESQEVKEALRVQMEVQRRLHEQVEVQKHVQIRMEALQKYIDSILASACKMVTEQFASSGFSISDPDLPEISPGGVMCGPTDTLSSSVFNQLSVSSIDSHRPGGKPSPSGMEGPPLLLQKSPELKR >Sspon.02G0028630-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:125905937:125909333:-1 gene:Sspon.02G0028630-3C transcript:Sspon.02G0028630-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At2g31400, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G31400) UniProtKB/Swiss-Prot;Acc:Q9SIC9] MPTHFTPSQAHAASHHAAHHHHHHSAAAAAVTATATARLHASAAPPASASAAAVALCPPHLLAAASPAASSSAACPPAHGHIFVGPGAPWAQQPQRAAAAAAALGPEFRRARTTKTISKRTRGGGGAQDRGRVSSAAAGRCVEKLLRVAPEDRRGLGSALTSFRAELLGPEDYCQVLRELGDRDKSALRAFEVFYAALPLVGGGAVDKGKLLTAAIGALGKMGRPDLARRAFDSGIAGGYGNTVFAHSALISAYARSGLATEAMGVLESMKGAGLRPTTVSYNAVIDACGKGGVDLRFTLGYFCQMLQDGLCPDRKTFNSLLAACSRAGHLEDARTVFDEMIHLGSGRDIYTYNTFVDAICKCGNMELAMQVVLDMEANNIKPNVVTYSTLMDGFSKLEKYDEALKLREKMKSLGIQLDRVCYNTLLAIYVKTGKYDEIATVCEEMENLGIEKDTVTYNSLINGYGKQGRLDMVAFLVQDMRAQGRNAWRSFNVYLDFKESGLKADVVLFSSFIDTLAKNGLVECALSLLDEMMKMGIKPNVVTYNTIIDAFGKSKILTEEDPDIGHMGIVGVYGGQIVRATNPVARGGRSATDVRMRRSQELFFILELFQKMVQQGVRPNVVTFSAILNACSRCNSFEDAALLLEQLRLFDNSVYGVAYGLLMGHREAWSQARSLFNQLGRMDSPTSSAFYNALTDVLWHFGQRQGAQQVVLEGVNVMFGRTHGVISAWTCTLCHVVQLKQWYMHGSECRAMPEFLSILTGWGKHSKIAGSSTLRRVIEALLLSIGAPFQVERFNIGRFVSPSALVAAWLRESGTINILLLRNDRVQHANPSNLVPMLQALQL >Sspon.01G0027200-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:90270692:90276132:-1 gene:Sspon.01G0027200-3D transcript:Sspon.01G0027200-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome c oxidase assembly protein COX11, mitochondrial [Source:Projected from Arabidopsis thaliana (AT1G02410) UniProtKB/Swiss-Prot;Acc:Q8GWR0] MAMRPLARLRHQLSPSLLRLHGPRHGWPPASDAAFLWRGLASSSPSAAATAAGREKNSRRTLGYLLGVAVAMVGASYAAVPLYRRFCQATGYGGTTQRRESVEEKISRHARDGTTTSRCHRVFGDGIGASPHHGHRCLWRPSRWRWSLVGSGESGGGDGASVAGMGAGGGIWAVARGVRWRGAKQGFWDGVVLEGAEVVDAGRSGGGAGGCGGDRCGWGWHQIGDERKSAAQETCIVRVKPGESALAFYTAENRSSAPITGVSTYNVAPMKAAIYFNKIQCFCFEEQTLLPGEQIDMPVFFYIDPEFETDPKMDGVNNIVLSYTFFKVNDISSHLFVENFANNL >Sspon.03G0005210-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:14935176:14936908:-1 gene:Sspon.03G0005210-1A transcript:Sspon.03G0005210-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MCWKLQSSSKRPHTPDHCQHYKAVIQGRTGNSTVSNVPHEMEAHSAVRQIETEMATKWNHQFMKASRGADYDYIEHNQRDADGEIYSLREELMTAQDRIHELEAECRSTKKQLDHLAKNIAEEKASLKSREHDKFHHILDAVKEELNRERKQRQRAEMMNSKLHNDLSEMKFAAKRYLQDYEKERKARVLMEEVCDELAKEIAEDKAEVEAMRSESMKIRDELEEEKKMLQMAEVWREERVQMKLVDAKLTLENKYSQLSKLQNELEDFLLSQPGCNMEKGTVREAERLKEAICSSMINGIKEFSYKPPPPSEDIFAVFEELKQREDTAEKVIVQCNGNRPKGRASRAHTASRETDMFLENQPSRYCNQPRTRNEVAEDDSGWETVSEVEENGSSNSPGGSEPSVNGFCGENDVSVSGTDWDENCDNDQAHSEISEVCSTTAGRSRNKRSFVGLWRSSNTVGQKKMGSDTLNGRSSNARMSNVTESPDLENSEVCDSPHITGQWRPDLLNPDIVRAIKGCIDWPRGVQKHSLKSKILEARIDG >Sspon.06G0024430-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:54647636:54648798:1 gene:Sspon.06G0024430-1B transcript:Sspon.06G0024430-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDKQRVKQSPETGSFIRRMIGSEGKQHYSFYYNHGLVATSDLLTIWTTCNSLRKLEEVYSTISVSELVCLTFSHATQQRKAVEQEVESNHWSFLISATLCALPKRH >Sspon.01G0006620-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:17394583:17397988:-1 gene:Sspon.01G0006620-1A transcript:Sspon.01G0006620-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MELFHLYALCAILIVVSSLYLRFFFAGSRRNLPPGPRPLPLVGNLLDLGAHPHRSLARLAARHGPLMAVRLGAVTTVVASSADAARDVLQRHDAAFSTRSVPDAARACAHDEHSMGWLPPGSSRWRALRKVCSAELFAPQRLDAHQALRRDKVQRLVSHVAGLARQGAAVDVRRVAFTTALNLLSCTILSADLADLDDRGASGEFMEVIEEFPVAVGAPNLSDFFPAIAQLDPQRLRARLVRVFKKLHAIFDEQIERRMQERAAGDPPKNDFLDLLLDYRGAEDGRGFDRQTLLSLLTDLFTAGTDTSAATIEWAMAELLQSPSSMTKARDELAQALGPKQVIEESDIGQLKYLQAIVKETFRLHPPAPLLLPRQADTTTEIRGYTVPKGARVLVNVWAIGRDRELWAEPEKFVPERFLEMEKEIDFRGRDFELVPFGSGRRICPGLPLAARMVHLMLSTLLHRFEWRLPADVERNWVDMSENFGVTLGMSTPLQAIAKPI >Sspon.08G0012670-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:52634448:52639032:-1 gene:Sspon.08G0012670-4D transcript:Sspon.08G0012670-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFGSGRVEDEMALTRQRTVRFHDERAKATIPIHHKQHGLAASRLGLGSSGKNKVFVAGDDLWYNKIIDPSSDFILTWIYVFHVSCFIALLMDPLYFYVPEIDYRQTTHCVRKDRRLAIIVTVFRSIADLFYVIQMIIKFRTAYLNPSSNLGVFGRGDLITDPKEIAKQYLRSDFAVDLVASLPLPQIIVWSVIPAIKYSSSEHGNDMLLLVALFQYILRLYLIFSLNDKIVKITGAFAKTAWQGAAYNLLLYMIASHVLGALWYFLSVDRQIACWKSFCNENDCHTRYLYCDVKPDSSWNGTLVFSSCDAKNTNRFDFGMFQPLLSNKTPNESFLKKYIYCLWWGLQNLSCYGQTLNVSTFIGETLYAILLAVVGLVLFAHLIGKVQTYLQSITARVEEWRLKQRDTEEWMRHRQLPHELRERVRRFVHYKWLATRGVDEESILNALPTDLCRDIKRHLCLDLVRRVPLFSQMDDQLLDAICERLVSSLSTEGTYIVREGDPVTEMLFIIRGKLESSTTDGGRTGFFNSITLKPGDFCGEELLGWALVPKPTVNLPLSTRTVKAIVEVEAFALQADDLRFVASQFRRLHSRKLQHTFRYYSHHWRTWAACFIQHAWRRQKRRKMAKDLSMRESFSSMRSYEGDNSPEQNLTLRRGASIIRELPKFRKPSEPDFSAEHDD >Sspon.06G0025240-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:64140895:64143669:-1 gene:Sspon.06G0025240-1B transcript:Sspon.06G0025240-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DQAQCPGRRREKKGKEPWRRGRLRPWRRTTAAASWSLGQARVAAARSLCLLPNLLQTERRSVHFADLGLLLYR >Sspon.02G0051960-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:86656323:86667148:1 gene:Sspon.02G0051960-1C transcript:Sspon.02G0051960-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding HLVCAAAIGGADGVPAAHPPHNPRKRPAARAPRAADPDASSAVDAAAALLADAGCTLLVPPHQPPSLSSPHTFAARLSRKLSAAGTPPPLILAPARRLLEGLAAFAASSPARLRQLLLPTAPHAPSLARALLSVPALQPGLLALLLEKLPEHFDDGGSGALDGLPLQDDVGRLIVSQFRWLDFLVDADAFVDKLVEVLSVAPPRLKKEIIGSLPEIVGDQSHAAVVAALEKLLQEDSEIVVAVLDVLSDLNLNEELQEQAVTVAISCIRTIAPDQMPHLLRFLLLSATPVNAGRIILQIREQLKFVGVVDPRAARSKKLKGNASAKSTDGAILDTLRSGLRFKNMLCEAFLKELKSVDHPRDHKVIDVWLIMLIYANGGALQKSAEKILKSKILQECIRETLFDQCIHGNTELVKEHFMSYLSVSDYLLACKEEKAREFAAYLFIALFEEFIDTYSRQELVGSLVAHIGSGVYDIFCRLALAAGFNTGSGGSKVANELLMVVRKQVGNPDMNYRRMGIIGVLRIVSTIASTDVNAAVNCSASQQPNCEEALELLKMSVNSCKFVTLPLIFLYDELAALLNSKVIHSSIHEWVGEHAAELFETPFLADLENGELSEKCVCDDIEGELWMKLDGNISPISILLADPYVPIFTFNNSKCNNYLLSLHSSCFISKIERLVNEGSLGGINALLGCPLHLPSTKHLDGAKWGNLSGFQKKSVCYSLYYAINWIRELLNAFSTQVESRVDNVSQKARDETAVKLMKRVRNLILLEGLLNVFLKNYPLSLPELRYLGDCSGSTSTSKFNIPKNMGEQSINGASSKRQKGCKGKASEKMNPDDKLKQPTILDAFKRAGVTVSQATNKASSQPSSSGMMSKDIEQDANDPGELGLIDLMAAPIKLDMQRFKFRTLHTTCLSLLNYSECQDSSSYLETELPTYLYLLRDLHNKLDNLNPSTKPFLSTSQAKYTRTHCHKSTQEFLDKIQPLFLVLRKHLDGAVSMIKDVSESCPDNWSSHSFSAGNPDIPYVVVSKSSIVTAVCKEILGCYRKLVAIPDLLNQPNMSILKQLLQTLQPTENFDDVLSEFQPSLAPCNVDYLYCGACKMLEDIMDPVSSFSCLLSSDVLITIQSIVNSVVVLLEKSGEPNGKNIHMGCSKAIIPFLRKRLGYSAHKLLSADFPSEDAEKGWQSKGDLIQKILQIYLRNSDSTSDRLVEILPKEPSLKTKDTQDVSYGFPTLCSSTIPSWYRVLHEENTGSLNKTIKQALKARASPERGSVDTILQEIQKSVEAFVSLIGMCKAHEKLRLLQKATRIIQSICSDAKGNKRTMITSKVPPAKRSMERFLFQVKALLHNCSTEKEFQMGNLKHKDLQGHVVSSQAYGSVDEEDEEHMETDSEAPADEENNNDNAMDEDAVEGSNETPMEEEEE >Sspon.04G0027680-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4C:63451218:63458852:-1 gene:Sspon.04G0027680-2C transcript:Sspon.04G0027680-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding QDAL >Sspon.06G0006070-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:19080250:19084714:1 gene:Sspon.06G0006070-4D transcript:Sspon.06G0006070-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPRATAVLAWGSGEDGQLGMGGNEEKDWAHCVQALGPYAVTAVVAGSRNSLAICDDGRLFTWGWNQRGTLGHPPETKTESSPGPVDALAGVKIVQAAIGGWHCLAVDDKGRAYAWGGNEYGQCGEEPQRTEDGTRALWRDIPTPQRCAPKLKVRQVAAGGTHSVVLTQEGHVWSWGQPWPPGDIKQISKPVRVQGLEKVRVIAVGAFHNLALTEDGILWAWGSNEYGQLGTGDTQPRSHPICVEGLSDLSLVDIAAGGWHSTALTIEGEVYAWGRGEHGRLGFGDDKSSRMVPLKVELLAGENIVQVSCGGTHSVALTRDGRMFSYGRGDHGRLGYGRKVTTGHPMEVPIDLPPPKTSSSPDGQWQAKYVACGGRHTLAIAEWTEATD >Sspon.03G0018350-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:43584240:43585463:1 gene:Sspon.03G0018350-2D transcript:Sspon.03G0018350-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQEAEIDRGEISGLNCPYLHANLARPLARRLDSSRPRPISSSRSPRRNPERRLRSVEHHLGPSVLATISGTASALCSPGVPATVAAVLLRHSPLWPATSTTRTLARE >Sspon.02G0034820-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:14247121:14247537:1 gene:Sspon.02G0034820-1B transcript:Sspon.02G0034820-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRMYGPGKGMSSSVLPYARVAPGWVRSTAGEVEEMIVRAAKKGHLPSQIGALLRDTHGVPLVHGVTGGKILRMLKARGLAPEVPEDLYFLIKKAVAIRKHLDRNRKDVDAKFRLILVESRVHRLFRYYRRTKKIAPNL >Sspon.05G0033360-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:31476168:31479922:1 gene:Sspon.05G0033360-1C transcript:Sspon.05G0033360-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTYFPEEVVEHIFSFLPSHSDRNTVSLVCKVWYEVERLSRRAVFVGNCYAVRPERVVLRFPNVKALTVKGKPHFADFNLVPPDWGGYAGPWIEAAARSCVGLEELRMKRMVLSDENLELLARSFPRFKVLVLISCEGFSTDGLAAIASHCKLLRELDLQENDVEDRGPRWLSFFPDSCTSLVSLNFACIKGEVNSGALERLVARSPNLRSLRLNRSVSVDTLSKILVRTPNLEDLGTGNLTDEFQAESYARLTSALEKCKMLRSLSGFWDASPICVPYIYPLCHQLTGLNLSYTPTLDYSDLTKMVGRCVKLQRLWVLDCISDKGLQVVASSCKDLQELRVFPSDFNVAGASAVTEEGLVAISSGCPKLSSLLYFCHQMTNEALITVAKNCPNFIRFRLCILEPKKPDAMTGQPLDEGFGAIVRECKGLRRLSMSGHLTDRVFMYIGKYAKYLEMLSIAFAGDSDKGMMDVMNGCKNLRKLEIRDSPFGDVALLGNVAKYETMRSLWMSSCNVTLKGCQVLASKMPMLNVEIMNELDGSSEMEENHTDLSKVDKLCVSEMEENHTDLSKVDKLYVYRTTAGARGDAPNFMVGGIRHAWDQGNSGSGKAPLCVE >Sspon.02G0023160-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:78595677:78607829:-1 gene:Sspon.02G0023160-1A transcript:Sspon.02G0023160-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Methylmalonate-semialdehyde dehydrogenase [acylating], mitochondrial [Source:Projected from Arabidopsis thaliana (AT2G14170) UniProtKB/Swiss-Prot;Acc:Q0WM29] MLRAALFRSAPGLRRAPAMAAGAAPFSTAAAAAWLSNGPASTPPRVRLLIGGEFVESRADEHVDVTNPATQEVVSRIPLTTADEFRAAVDAARTAFPGWRNTPVTTRQRVMFKFQELIRANMVDKLAENITTEQGKTLKDAWGDVFRGLEVVEHACGMGTLQMGEYVSNVSNGIDTFSIREPLGAAMMLAELAIEAGLPKGVLNIVHGTNAGMHIYSRASAAGKRVQCNMGAKNHAIILPDADRDATLNALIAAGFGAAGQRCMALSTAVFVGGMVNDADLGPVISRQAKDRICKLVQSGTDSGARILLDGRKIVVPQYEDGNFVGPTILADVKSDMECYKAESLDDAIQIINRNKYGNGASIFTTSGVSARKFQTDIEAGQVGINVPIPVPLPFFSFTGSKASFAGDLNFYGKAGVQFFTQIKTITQQWKESPAQRVSLSMPTSQK >Sspon.07G0038510-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:80214861:80215655:1 gene:Sspon.07G0038510-1D transcript:Sspon.07G0038510-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPINRNHRPSLLFPCAPALLGLGSSSSAPRMPMAAATHMGGSAHSSSPSSISPPLPWHPVVLVPNHQHQQALYDLDLIITPSPSPTTPMTPQRLRPTAQRAGKRRRPRPSRKLPTTYISADAASFRRMVHQVTGADDVVLVHQATELLCRPTTPPSRAALTTTTTLLPTLDTSAFLLGAARGGGATPARPGSSYRRGSAVAVGDLPGAALQAEVACGSGGVRGGGGGEYSCSNSSAGGGGVGFPSLEMESWDDDDALFQDQE >Sspon.04G0013920-3D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4D:56796087:56796584:1 gene:Sspon.04G0013920-3D transcript:Sspon.04G0013920-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAPVLAALLLLTVRMGVGRGVAANTPSPTGWLRGAHATFYGGADASGTMGGACGYGNLYSQGYGSHTAALSTVLFNDGASCGQCYKIACDRKTDPTLCKPGATVTITATNFCPPNSALPDGGWCNQRRPHFDMAQPAWEKIGRDVSTGIIPVIYQRSVLIQQG >Sspon.03G0014380-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:64710854:64719918:1 gene:Sspon.03G0014380-1P transcript:Sspon.03G0014380-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVAAAPRPQLSPLSGGGGAAVSSLTLQTPAFICPLPTRHRRRCVLRSNASSSPSPPPSQEKEAAAEVVPTAESCVNLGLQLFSKGRVKDALEQFENALELNPTPIETQAALYNKACCHAYREESKKAAECLREALRDYNLKFGTILNDPDLAPFRASPKFKELQEEALRGEDIGSGFRRDLKLISEVQAPFRGVRRFFYVALTAAAGISTFFTIPRLVLAVRGGDGAPDLLETAGNAAINIGGIVVLVALYFWENKKEEKQITQISRNETLSRLPVCLSTNRIIELVQLRDITRPVILAGSKASVTRALQRAERYRTELLKRGVLLIPVIFGASQKDQTKPRGFGTRRAAASVPSVGGDFEKRTESIAAKSRLKSEVRFKADIVSPEQWESWIRDQQESEGVTPGEDVYIILRLDGRVRRSGIKY >Sspon.02G0000260-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2A:1354866:1355147:1 gene:Sspon.02G0000260-1A transcript:Sspon.02G0000260-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCYGVVASPSPLLSSPSSPFPSLPSIWPRQFKLGGNGRARTASHACEQRGWGKSSGQREIRAGRAHDTEQELETESSRGRSDADVRALAPPY >Sspon.05G0007140-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:22251742:22254161:-1 gene:Sspon.05G0007140-1A transcript:Sspon.05G0007140-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SRPCFRSSVAGGIKDGRTGDVADDHYHRYMGDLEILQSLGVNAYRFSISWARILPRGRLGGVNAGGIAFYNRLIDALLQKGIQPFVTLNHFDMPHELEVRYVGWLGAGIREEYAYYADVCFGAFGDRVRFWTTFNEPNLFTKFEYMLGVHPPSHCSPPFGSCNSGNSHREPYAAAHNIIMSHAAAVRNYKDNYQAKQGGSIGIVTAMKWYEPLTNTTEDILAARRAQSFETEWFLDPIFFGDYPRAMREILQSNLPTFTAEEKKLLLRYKVDFIGLNHYTAIYAKDCIHSPCNLQTYEGNAFVLATGERDGVKIGRDTALAGFYDVPEAIEPAIMYVNGRYKDTPVYITENGELQLCMINGPESFPCMHDQWSYVLRYILVFRNGANVQGYFVWTLLDNFEWTFGYTMRFGLYHVDFDTQERTPRMSA >Sspon.07G0012240-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7A:43683461:43685798:1 gene:Sspon.07G0012240-1A transcript:Sspon.07G0012240-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGPKLFMHSRTDAANGALSSGYGGAPIRPNDIPSSSHTGQSHIQHSDAPGTMLASYSGYPHAGSSSSIYAPHNTHHPPSLSYPHRSEDNFIPSSHMDDRRVAPKRRNPIIHPVDGVNIGSCYAGSSSNPQFPRYIPAPEPCPPRVTSNMGSSYWSDHPFGSHGGSQRNVRGRHDHNPIHLGHNPGVPSASSSTHGPQNHTTVIGPRSTAVPQDRAHFSIPPRVTAPGTDGNSSMAFRERPYYSAPQRSNINAPPIPTPPGSSDSLHFVHGGYGPRAVPPNSISTYPAPAFATSNNSVAVTHEPDIPSFRPAVPGYQPAVPSYPPATSAAASSVHAEAAASSGHLGHVALGSSGSARSRRLRDSYHAFRPLIIEENNLRGPAAERFMMLDQLVIHESREDSDPHWDMRLDIDDMSYEELLALGERIGNVNTGLADEKLSSCVMEITCCSSARTHGDTESARCVICLEDYKFKDSVGKLKCGHDYHADCIKKWLQVKNACPVCKASAADDSGGTE >Sspon.05G0027020-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:58640749:58658582:1 gene:Sspon.05G0027020-1P transcript:Sspon.05G0027020-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHDAHTEAPTHAVPPSEDATVDDWARDDAEPMSVESGAAPAEVAAADAGADAPHAPSPSEGVKEIQSSLQSLELKTNVATQEDAHVVEDDVDETKRHLNVKYEKEAKDKSRESWYMAYIMDTNEEERVKGKTVEVGRAHFETEHTRFTILDAPGHKSYVPNMISGASQADIGVLVISARKGEFETGYERGGQTREHVLLAKTLGVAKLVVVINKMDEPTVKWSKERYDEIEAKMVPFLKSSGYNVKKDVQFLPISGLVGTNMKTRMDKSICILDRIEVPLRDPKGPVRMPIIDKYKDMGTVVMGKIESGTIREGDSLLVMPNKSHVKVIGLNLDESKVRRAGPAENVRVKLSGVEEEDVMTGFVLSSVANPVGAVSEFIAQLQILELLDNAIFTAGYKAVLHIHSVVEECEIVELIEEIDMKKKKAADPKKKKPKRKPLFVKNGAIVVCRIQVNNLICIEKFSDFPQLGRFTLRTEGKTVAVGKVVDVPPAGSPTF >Sspon.02G0030220-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:95652943:95656237:1 gene:Sspon.02G0030220-3D transcript:Sspon.02G0030220-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGASASDYSFAAEYDGPPLPYSLPRAIPLDLSRIPLAALSSSPPASPSASSSPLPVVRPLTPSSLCSAIHAHAHPPPRSAAPAPAAGGAVVDSPTSVIENHHAAAHHSAELPSSPSDDEGAGAGDGGGPEALPLKPRHHHPAVTFAETSGSLLQSSDDEDEYEEEEDDDADAGDARPRAAAGQSSGSLSPAHWRGGRTRGCYRCGKGGGFWGRDKESCLACGARYCVGCVLRAMGSMPEGRKCLECIGRPVAESRRDALGRGSRVLRRLLSAAEVELVMRSERECAANQLRAEDVYVNGTKLSPEELVVLQGCPCPPSRLRPGFYWYDKVSGFWGKEGHKPHCIITANLNVGGVQCAGKPHFWVNADGTYQEEGQKTVKGKIWDKPIVKLLSPVLSLPTPNKAANQSSEDAVNIVNRVIPDYLEQRTTQKLLLVGSGASTILKQSGKPFSVDEREDLKLIIQSNIYNYLGILLEGRERFEEESLADRRKISPCDPSSSGCCESGVCDEVTEYSLIPRLKAFSDWILKAMALGNLEDIFPAASREYAPLVEELWKDPSIQAYRRRSELPFLPPAANYFLDK >Sspon.03G0016080-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3A:51292975:51298330:1 gene:Sspon.03G0016080-1A transcript:Sspon.03G0016080-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DLSVRNYVRSRARFIPLCVSFSEANPIPVPVPSLSRRIATRWLPLPHTPLRPTSAPQIHPQSGNPSAGFLLLVSDRRRAASIGRGALPSVGGLFHPKSRSPPVLTIALVVLGVILLIAYFNSGSGVTVTSREALTRSEGNPGQQKAKVSELPKFGRPVCIDGKIAEFFMVDTILCPDWLDRERRATEEIRRGYIQEQIQTRLSDLPPKFTKKGTDANRTPYLTPYFRFK >Sspon.03G0036260-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:88972677:88976481:1 gene:Sspon.03G0036260-1B transcript:Sspon.03G0036260-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNYWSQLVNDIERAELTTLVWSSDDLIPPTERYIFNFNSKDELKRWHLYSDSEYGGLSSASLEITDGASGGDNPLTGVFSGNLSLDMSEDSTWRIRRYGFCGMRSKKFDGFIDLDAYDTIAMKIKGDGRCYISTIYTENWVNSPGQEEDNSWQAFVYIPQDRWQVLKIPLDRYLPTWRGNVIEAKLEMNPARIVGMSLSVNAEGGVPGAKTGPGDFRLEVDWIKALRML >Sspon.02G0037600-1P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2B:34880360:34880713:-1 gene:Sspon.02G0037600-1P transcript:Sspon.02G0037600-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCKGYLNHEVRALGVQAPAVLAPEPLRRLLHLNPRLCNENTKKPIKHKQATVFNLHRQNHKQLNRAPLDSPVTQMTRPAKGPSARAAPAAAAPAPAAAPREAAEEEEETDAADEGL >Sspon.02G0013030-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:35019101:35022863:1 gene:Sspon.02G0013030-1A transcript:Sspon.02G0013030-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAAAVNGAGDVQKPHQQQQPVVVGAPPPPAAAVVPPHWVAMPFVPPPGAAAMVMQPHQMAPPPPQFAAAHFLPFHAVAPRAAPVPAVALGSPAPHQAGHEENKTVWVGDLHYWMDENYLHSCFGYTGEVVAIKVIRNKQTGQSEGYGFVEFYSHAAAEKVLEGFSGHIMPNTDQPFRLNWASFSMGDRRSDVASDHSIFVGDLASDVNDATLLEAFSSRYSSVKGAKVVIDANTGRSKGYGFVRFGDDSEKTHAMTEMNGVYCSSRPMRIGPATPRKSSGSNAEDALQGLNGSTIGKQTVRLSWGRNPANKQFRGDNGNQWNNGGMYYAASPFYNGYGYPAAPFPDPGMYAAPAYGAYPFYGNQQQVS >Sspon.07G0014800-3P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:59120774:59122520:1 gene:Sspon.07G0014800-3P transcript:Sspon.07G0014800-3P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MERHAGMAWLELVAKQVEQVDPGAPGFWRDFLLGMLKPVAATAVVAMAVALSFSQRLGLEGEMLYATARAFLQLSVIGFVLQFIFTQKNALWSLLVYLFMVTVAGYTAGQRAKQVPRGKYIACVSILVGTAITVLLPVLLGVFPFTPRYIIPVAGMMVGNAMTVTGVTMKKLREDVKIQRNLVETALALGATPRQATLQQVKRSLVIALSPDIDSAKTVGLITLPGTMTGLIMGGVSPLEAIQLQIVVTYMLMSASALSSILSTYLCWPAFFTKAFQLDDKVFAD >Sspon.08G0024490-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8B:64214003:64215424:1 gene:Sspon.08G0024490-1B transcript:Sspon.08G0024490-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPSPSPQLRVLSRRTVKPPPRSRPRHRIPLTTWDVSFLSADYIQKGLLYAKPPFPTPRLLDHLQAALAQALHAYYPVAGRFATDQHRDADGNVRGCSVSIDCDGQGVDIVHAVANGVAVADVIPPDADVPLLVQSLFPLDGAVNHDGHHLPLFVVQVTDLTDGVFLGFAYNHALSDGTALWRFINVWAGIARATLSVSPSGPEEHTHSPAPPPLLERWSPDGLTTPTPPVVLPFPDLTGRIERLPPPPLRERMLQFSAHTLEALKDRAREELLAAGDAAGAAAVTKFQALSSLVWRCVTRARRLPPDQTTFCRAAINNRTRLRPQLPPEYFGNTIYAVATEAVTAADLLARGHGWATAAVGRAVAAHTDADIRARVAAWMTSPVLYNLRYFDPNGIMMGSSPRFDMYGCDFGWGAPLAARSGKANKSDGKASLYPGQEGGGSIAAELVLTPEHMALLEQDDEFWAAVSPDKP >Sspon.01G0018030-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1A:67451505:67452953:-1 gene:Sspon.01G0018030-1A transcript:Sspon.01G0018030-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFTSVSGRKRSRSASAIVADTASGHHILRIDGYSRTKGIPTGEYLKSRPFTVGGHRWHIDYHPNGHDPDTKDYISLFLVLEEPASGSTAKELKAQHRFRFVGEVPEKEELPPPLLAAEEVSNYGSHRGWGNARFVRREDLEKSEHLRLPALMDLYIHPLYVLSIVLVPTAGSLKNDSFAVRCDIVVINEFRAEDETATFVSVPRPDLHQHLGDLLQTGNSADVVFVVSGETFPAHMCVLAARSPVFTAQFFGAMKESKSGSVVHIDDMEARVFKALLHFIYTDLYWETNEDGEEEEDVMAQHLLVAADRYNLARLKLICEDKLCKYIDVGTMATILALAEQHQCHGLKKACFRFLSSPENLK >Sspon.01G0023350-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:84296954:84298857:1 gene:Sspon.01G0023350-1A transcript:Sspon.01G0023350-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPFLSCVSKKPPPRPRSTMAAADIRLSIAGQTRFALRLTAALSSNTAAPARNTAFSPLSLHVVLSLLAAGAGHATRDQLLTALGGGDEPVAAEILHALSEQMVQLVLADGSGAGGPRVAFADAVFVDASLKLKSAFEDVAVGKYKAETHSVDFREKAAQVAGEVNSWVEKVTSGLIKELLPPGSVDQTTRLVLGNALYFKGAWTEKFDASQAKDGEFHLLDGGSVQASFMSSRKDQYIASYDNFKVLKLPYQRGEDKRQFSMYILLPEARDGLWSLAEKLSSEPEFMEEHVPTRKVPVGQFKVPKFKLSFGFEATDLLKGLGVELPFSSEADLSELVHLPAGQKQNLCVSSIFHKSFVEVNEEGTEAAAASAVVVMFCSFSMPTDFIADHPFLFLIREDTT >Sspon.01G0012050-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1A:32931212:32931429:1 gene:Sspon.01G0012050-1A transcript:Sspon.01G0012050-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SRFCILRRPARLYEQLDLENIMLACIILHNMIIEDEKDIEQVPLDLNEEGSTYCSRTYNFSWTKLRDGRRA >Sspon.01G0015730-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:46333716:46338980:1 gene:Sspon.01G0015730-1A transcript:Sspon.01G0015730-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRGSISDEEHGGHLSLHGNLSQVRNDVTDTFCNCANVHTVVSSPQDALAFQGLFLTALGLGGIWPCVPIFGADQFNDTDGAEKEPKEIYYNWYYVSVNGGFLFASTVLVWVQDNYGWGLGFGIPTVFSAVGVAGFLACMKLYRYQKLGGSALTRICQVVVAATRKVNVDLPTDSSLLYEMPGKESVIVGSRKLMHTDGLRFLDRAATITTADEKFLDTPNPWKLCTVTQVEELKILARMLPVFLAAMIFNTAESLFPLFIEQGQVMDNHIHSFSIPPASLTTFNCLCIVILAPAYNKVLTPIASRFTGVKRGLSELQRIGMGIYGFRNAIAAAIVEMACLDMAKKTSLVHQSTMVPMNILWQAPQYILVGVAKVFSVVGFIEFAYEQSPDGMRSCCRACALVMVTLGSNLVSIIC >Sspon.02G0011810-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:29933236:29938993:-1 gene:Sspon.02G0011810-2B transcript:Sspon.02G0011810-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPRRACWESSTEDVTRPLLPVHDDDRRPAGAGRSCSALWSVLANKYLAVASGPLACALLCVLGGDLGGHPAARNMLGVLAWVFLWWITDAVPLGVASMAPLFLFPAFGISSADAVAKAYMDDVISLVLGSFILALAIEHYGIHRRLALNITSLFCGDPVKPHLLLLGICGTTVFISMWIHNTPCTVMMIQVATGILQRFPRDQLEGGGADARELQRFSKALVLGIMYASTIGGMATLTGTGANIILVGVWSTYFPEQRPITFSSWMSFGLPMTLVLFVALWVTLCLKYCTKNTGRVLSAYLDRNHLRRELSLMGPMAFAEKMVLAVFGALIVLWMTRSLTDDIPGWAVLFDGRVGDGTVTIMMTTLLFIIPSGKNDGEKLMDWGKCRKLQWHIILLLGAGFAIADGFKSSGLTDILSSGLGFLKGAPALAIAPVACVFSGLITEFTSDDATTTLVLPLLAELGKSIGVHPLLLMVPGTVGAQLSYLLPTATPGNVVGFGTGYITIKDMVVTGMPIKLVGIAALTILLPTFSGFWHGFENVGTWTAYISQVLSVCLSDELQRVWGRVGEKYVVWVVPAAVLGTPVMPPHLVGGAKAESNC >Sspon.04G0011170-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:29842784:29845916:1 gene:Sspon.04G0011170-2B transcript:Sspon.04G0011170-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding PWTAPAPPPPTLTPPDAPYAAAMLLEPKLEDEDVPLQQLASPGPFVSLDQLVPPAAVAEPPRPLEALLQGPQLPPFLSKTYDLVSEPALDGVISWGAAGNSFVVWDPSTFARDVLPHNFKHNNFSSFVRQLNTQGFRKVHADRWEFAHEDFLRDSKHLLKRIVRRRSSPTKQSSIQPGSSSGESSLDPELHTLRREKDALLEEVARLKQEHRQTIEHMSTLNHRLESAEDRQRQMVSFLAKLLQNPSFVRQLKLHREKKEIDSTRVKRKFLKHVPHSIESGESSSHHAGESDSHFAVSSPMATSVHDDITELQNFLLEDDDVNFGMDSENMGLEGIEALEDIGELIQGFDTQEELELGSGAELLEMPPASGPLGQDPTIGRSKGKNVLCPGLDATSSEADCLSPLPDNMGLLLGTMLGAAGRMMDADDQQMWGVDASAALQSSCSGTSQQAFSSHSSDPYLMDIANKPERFWELDFQALDEADLQLDKCAIDDPALQQQ >Sspon.02G0004670-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:14307635:14309972:-1 gene:Sspon.02G0004670-2B transcript:Sspon.02G0004670-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding TMDLETENRLASLLIEEARRLQLEADREGVHAYLRNPNVRHRPNSRFLTATVRGVQQANRVVEVNEMWRAREKELELESKMKSRNSRSNDRDDFRGEKRKSELRNHSSSSRVEQEGTTYNNSYSDQEDGLRDDEIERFLHSRVKRGRGAVGSRMDEPGPYLDSLSCHQDNRPSPDIRPEKPSFLRSKSPDGHWHKDTLDGRASSSEPLSKKEKKKKSEKKDKREKKKEKDKKKRKHRQSLD >Sspon.02G0054270-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2C:111810416:111810718:1 gene:Sspon.02G0054270-1C transcript:Sspon.02G0054270-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIRWLRRQGDQPQLLKNDCPNLPREDTGHQNMLDSLPDLITKRACSGMRQATLCKTVGCPASVVENKPNEEFAFQGSPTLPDSLPRSKPDSTNEEGLIG >Sspon.03G0015510-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3A:49418664:49418848:-1 gene:Sspon.03G0015510-1A transcript:Sspon.03G0015510-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SQPWEMQQYSQQRPGYGSNSKLSDDGSYSDVQDNYAPSYHQNGKAPDFQPKTPPQQLQVE >Sspon.01G0007310-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1A:19839481:19839675:1 gene:Sspon.01G0007310-1A transcript:Sspon.01G0007310-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSAAPALLRRIFCSSTPASSSVLRATFCSSAGFGPSPPSSIFGDGTEVANVPPLTTPKLFIS >Sspon.05G0022980-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:8852633:8855744:-1 gene:Sspon.05G0022980-2D transcript:Sspon.05G0022980-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding PPHADFVCKDKYTSHMAVLNLLALIFATLLLLLRRTSGAGASMSSDTLNNGGNITDGETLLSADGSFTLGFFSPSTTVPTKRYLGIWFTASGTAAVLWVANRDTPLNTTSDVLVMSSRVGLRLLDGSGQTAWSSNTTGASASSVAQLLESGNLVSSSASAAFQWQSFDHPSNTLLAGMRFGKNLKTGLEWSLTSWRAKDDPATGDYRRVMDTRGLPDIVTWQGSAKKFRAGPWNGRWFSGVPEMDSQYKLFYIQMVDGPDEVTYVLNTTAGTPFTRIVLDEVGKVQVLLWIPSSRVWKEFAWLPSDACDDYASCGAFGLCNVDAASAPSCSCAVGFSPVNTSEWSRNESSDGCERDVPLECDRNGTVTTDRFTPVHGVKLPDTDNATVDMGATLEQCKAWCLANCSCVAYAPADIRGEGNGSGCVMWKDNIVDVRYIENGQDLYLRLAKSESASRKKGRVAKILVPVMASVLVLTAAGMYLVWICKLRAKRRNKDNLRKAILGYSTAPNEFGDENVELPFVSFEDIAAATNNFSEDNMLGQGGFGKVYKGTLGQNIEVAIKRLGQSSGQGIEEFKNEVVLIAKLQHRNLVRLLGCCIDGDEELLIYEYLPNRSLDSIIFDVASKYLLDWPTHFKIIKGVSKGLLYLHQDSRLTIIHRDLKTSNILLDADMSPKISDFDYLLLLSSGYMSPEYAMDGAFSVKSDTYSFGVIVLEIISGLKISLTHCKGFPNLFQCKYLIPSAHQAWSLWINDRATDLVDSSLAKIEALQCIQIGLLCVLDNPYSRPLMLLVVTILENETTPVPVPIQPMYFSYRGTTQGTEENTSSSMNNMSLATVLEGR >Sspon.04G0034500-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4D:78881932:78886115:-1 gene:Sspon.04G0034500-2D transcript:Sspon.04G0034500-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:U6 small nuclear RNA (adenine-(43)-N(6))-methyltransferase [Source:Projected from Arabidopsis thaliana (AT2G21070) UniProtKB/TrEMBL;Acc:F4IGH3] MGGGRKRRRRDGSEAPSMHPRNRYAAAAPDFAALAELYPSFRPFVSVTDRGRASVDFTDFSATRELTRVLLLHDHGVNWWIPDGQLCPTVPNRSNYIHWIEDLLSSDLIPPISSSSERVKGFDIGTDVTNVALEWAKKNVESNPRLAELIEIRNANVPSSESETVVREGAREKILEPAEDVAMPKPHILVGVVKESESFDFCMCNPPFFESIEEAGLNPKTSCGGTAEEMVCPGGELAFVTQIIEDSVSLKNSFRWFTSMIGRKANLKLLISKVTLSDEQIEAAILHGDDYAGSLEDSAAKLQSVVKGISFRITVHVMVTSPLFG >Sspon.02G0026070-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2B:92423883:92426750:1 gene:Sspon.02G0026070-2B transcript:Sspon.02G0026070-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat receptor-like protein kinase (Fragment) [Source:Projected from Arabidopsis thaliana (AT5G53890) UniProtKB/TrEMBL;Acc:C0LGV8] LALLPSSPGCCAWDGVACDAAGRVSALRLQARGLAGPLPSPSLTALPFLRDLDLSRNALTGAVAAVLAALPGTLRAANLSSNLFLGAFRGIPLPDHLDALDASNNSISGVLAPDLCAGAPKLRVLDLSANRLAGALPSSSASPPPCAATLLELSLANNAFTGDLPAALFDLTALRKLSLAGNRLTGHLTPRLGELKNLTFLDLSGNRFSGDLPDAFGGLTSLENLAAHSNALTGPLPPSLSRLSSLRVLDLRNNSLSGPVATVNFSGMPSLASVDLATNQLNGTLPVSLAGCRELKSLSLARNSLTGQVPQDYGRLVSLSMLSLSNNSLHNISGALGVLGACKNLTTLILTKNFVGEELPDDGVAGFGGLEVLALGDCALRGRLPKWLTQCKKLEVLDLSWNQLVGTIPSWIGEFEYLSYLDLSNNTLVGEIPKSLTQLKSLVAVTQSPGMAFTSMPLYVKHNRSISGRQYNQLSNFPPSLILNNNRLNGTIWPEFGNLRELHVLDVSTNFISGSIPDSLSRMENLEVLDLSSNNLSGVIPSSLTELTFLSKFSVAHNHLTGQIPNGGQFLTFSNSSFEGNPALCRSSSCNPILSRETPSDTDVKPAPSIRNRKNKILGVAICIGLALVVFLAVILVNMSKREVTAIDYEDTEGSCHELYDSYSKPVLFFQNSAVKELSVSDLVRSTNNFDQANIIGCGGFGLVYKAYLPDGTKAAVKRLSGDCGQMEREFRAEVEALSQAQHKNLVTLKGYCRYGNDRLLIYSYMENGSLDYWLHERSDGGYMLKWESRLRIAQGSARGLAYLHKVCEPNIIHRDVKSSNILLNENFEACLADFGLARLIQPYDTHVTTDLVGTLGYIPPEYSQAVIATPKGDVFSFGVVLLELLTGRRPVDVSKSKGSRDLISWVLQMKSEKKEEQIFDSLIWSKVHEKQLLSVLETACKCISTDPRQRPSIEQ >Sspon.01G0015750-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:47127618:47128888:-1 gene:Sspon.01G0015750-2C transcript:Sspon.01G0015750-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRNPFALEDFRLWYDMPWESDDYSEDANLWICHALHCNARSIMVTVRDNTMHLDPSVFISGLFLRRLRLAGVALFPGFTALKYLILHACDISDVEITSKTLKVLVMDIDCFYTFEEQCSISIPSLVRLDYSNFQRIPLLKNMKSPERAYVVLNTFHTEVDDICQFLKSLSGITDLNFSYMGHMGRSATVPAGTAADQRKMTTAAQMTAQVAAQAAAAQQAAAVAQAAGGAAGGGR >Sspon.04G0028010-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4B:63422578:63422814:1 gene:Sspon.04G0028010-1B transcript:Sspon.04G0028010-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSCFSSSGSAGEDAAGYGDERRVRKVWPSDDDGGEWDVDNRAAIYIAKFHRHQSGVVCSDCAADQQQQQTPAATAAQ >Sspon.07G0008850-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:21151405:21157041:-1 gene:Sspon.07G0008850-1T transcript:Sspon.07G0008850-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:50S ribosomal protein L1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G63490) UniProtKB/Swiss-Prot;Acc:Q9LY66] MATAAAATASTSLLAPAATSTAPAASGPNALFFPSSVPSLRAYPRLLLAFRRPAAAAQGAVLEEEEAEADQRGQYDDDDDDGYEGGRGPAFTAPTRPRTGKAALQLKRDRTRSKRFLEIQKLRESKKDYDVPTAISLMKQMSSAKFVESAEAHFRMNLDPKYNDQQLRATVNLPKGTGQSVKIAVLTQGEKIDEARAAGADIVGGEDLIEQIKGGFMEFDKLIASPDMMPKVAGLGKILGPRGLMPNPKAGTVSPNITQAIEEFKKGKVEYRVDKSGIVHIPFGKVDFPEEDLIANFMAVVRSVERNKPSGAKGIYWKTAYLCSSMGPSIKLNIKEMLDYGLDSSD >Sspon.05G0035400-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:72754800:72757500:-1 gene:Sspon.05G0035400-1C transcript:Sspon.05G0035400-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLWGWLWGRRGPSGCGSASTAEEVTAGVDASNLTAIVTGATNGIGKETARVLALRGAKVIIPARTLESGLKVKESLAEQVPSSKLHVMEMDLSSLSSVRDFARSFDSSHEHLNLLMYVSAAISETLQIYIQNSACRCRTRSHGIMACPYQLSKDGIELQFATNHVGETFLADKSPARQDEINCQRDSVQGRIINVSSIAHKRSDGTCFELNKLNDKARYQLFMSYCHSKLANILHANELSRRFQEEGCNLTANSLHPGMIVTNIIRYVAGNNSNDIASSDLSKKNLLIFQKHCKLRPNKKIHSRTNSYCWILFQLTNTNVCLTDALISVLSPVANLVVKSIPQGAATTCYLALHPNVKDVSGKYFADCNEATPTAVARDSELAKRLWSFSEELVGINADMSQTTQASEEEDVQVKDVIQAK >Sspon.04G0001550-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:5330097:5333397:-1 gene:Sspon.04G0001550-1A transcript:Sspon.04G0001550-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLDERNAAVGRVRDQFPVGMRVLAVDDDPVCLKVLENLLRRCQYHGKDFRDTAAALCLFWLLLQLLTCKTDGFVFAVTTTNQAVVALRMLRQNRDLFDLVISDVHMPDMDGFKLLELVGLEMDLPVIMLSVNGETKTVMKGITHGACDYLLKPVRLEELRNIWQHVVRRKFGNCDRANIDGYEECNRPSNADFDLVHSQITAGTPDQSGRPSKKRKEYHSEEEDEGEESNGQENDDPSAPKKPRVVWSVELHRKFVAAVNQLGIDKAVPKRILELMNVERLTRENFSRLSTLIKQDKYRLYLKRLSAVASQQASIVAAFGGNDPFMRMGAFEGLQGYQSFASAAALPSFSPQGLLNRNNPTSFAIQGMSASRPIQIATGNSTISHSIGDPNKYHLSLPGTSSQQGNLAQGLTTSVGQVQLPQKWIHEETDDLSTILSVSGRANNGVPGTLQCVTNSHLLQQGLVECIQDKVGIQPCSSASSDRLEGTVGVSSSLMDSCASQQRVVPLSAFSTSASPMNGSFCSNGIAELGATSSGGTNICPSNDLRVARDNKVRASSVGSVILLSPDTVPNQKYLNFGGGSNLRQSMDGGNTENLLNPKLIWSCLPTSQPLNLIGNHHPMSQRPNNRNLGGTMVGQTTGSASTAAQQTRIDITATPKSASDLSFPKVHSELSSSSCSFDGLLNSIIKMEKDDASFSDDLGCDFYSLGACI >Sspon.04G0035500-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:11283810:11286056:1 gene:Sspon.04G0035500-1D transcript:Sspon.04G0035500-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRQPNLGSRCDAYMVLKKEFPRGVDIIYESVGGEMFYVCLNALAVYGRLIVIGRHSRIISENHYKVQTDFTMWFK >Sspon.01G0032490-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:113047054:113050745:-1 gene:Sspon.01G0032490-2D transcript:Sspon.01G0032490-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDDPPASGLAARGDLRSALPFLPVVLRGGALFWPPAAQESLRALALGPDVSRVASGDVLADALTDLRLALALPALPPLAADGLALFFDDLLSRAQARGWFAEVVPNLARLLLRLPTLLEDHYAKAGHGASGLRVLASQNAGVVLLSQELVAALLTCALFCLFPTAGRAQACLPTINFDGLFTGLIHNARQSQEQKVRCLVHYFERVTDSMPTGFVSFERKVLPRRALSDGLPYPDIHAWLASSAPLCQFRVFSSGFIEDEEQESLQVDFANKYLGGGALSRGCVQEEIRFMINPELIVGMLFMASMEDNEAIEIFGAERFSQYMGYGSSFRFVGDYLDTKPFDSMGRRRTRIVAIDALDCPARLHYESGCLLREMNKAFCGFFDQSKHQLYVNLFQDSHNKGNFPSINSNEYIGVSTGNWGCGAFGGNPEIKSMIQWIAASQALRPFVNYYTFEDASLERLEEVIQWILRHGWTVGELWHMLIKYSSQRLKGETYKGFFAWLLPNSRPNNDTHYMSE >Sspon.01G0034540-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:4324858:4329527:1 gene:Sspon.01G0034540-1B transcript:Sspon.01G0034540-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQAPQTDDDGLLDCGVMEDVAVGDLDLMEELFMAAPGFDFSDFSQPGAGGASPGACFSPLFDICSTTTTATPPPAPAGADDRDHQVATTPPRRGWVFQPRQEVEATVKERLRRALERIASLSQTQPGELLAQVWVPTVIGDRQVLTTCGQPFWLDRRNERLANYRTVSMKYQFSADETARTDLGMPGRVFVGRVPEWTPDVRYFSTEEYPRVRHAQYFDIRGSVALPIFEPRSRACLGVVELVMTTEKVNYNAEIQNICSALKEVDLRSSDVSSDPRAKVTDTSYRAIIPEIVDVLRTVCETHKLPLAQTWIPCICQAKRGSRHTDEKLKDCVSTVDEACYVRDLKVKGFHEACSEHHLFRGEGVVGRAFGTNEPCFSEDITTSSKIQYPLSHHAKLFSLRAAVAIRLRSIATGSLDYVLEFFLPVDCIEIEQQRAMLNSLSITIQQTCYTLRVVSLKELVDEGSIETSALAPPEYAKTMHENLDEVCSGIDVPARTASLETSEEVSSWIASLVCAQNKGVKQIDGDLPFGFSKQEDEGFSVTAGWHTSPEIGPEDSIFSGFKQHEEYKVKEVTCLRDPSSSNLEKTVEKRRTKMEKTVSLEELRKHFAGSLKEAAKNLGVCPTTLKRICRQHGINRWPSRKIKKVGHSLKKLQMVINSVHGSEGTVQLSSLYENFTKTTWSERESQGDATYPLSEEKGLLEPSVPDRHCEGRFTSHTSGSNSLSPSCSQSSNSSHGCSSGSKSQQHGSAPQLAVKKEAFMEVNQSSTLLKDASHAELQMFPEERPVTLPRSHSQMLFSEQKPVENMAGMQMSNPDSLKIKAMYGEERCIFRLQPSWGFEKLKGEILKRFGIAQEMHVDLKYLDDESEWVLLTCDADLLECIDVYKSSSTQTFSSPYPRAKGVEQSAPGVMTGQQYELITHRNAILLVQGQASFSCSGFNHAEGMVVFGILNNSTFRRQEIS >Sspon.02G0014220-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:35872607:35885124:-1 gene:Sspon.02G0014220-2B transcript:Sspon.02G0014220-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAQGARAILGPQSSVESAFVADLATCAEVPVVSFSATSPSVSHSEARFFARAALSDAAQADAIAALATYFGWRRVVPIYQDDDYGAAFVPFLVDAVTAARAEVPYRCALPAGASRDAVTAAMYRLESEQTRAFVVHARPALAELVFAAAVEAGMMAEGYAWVITDGLTGLLGSIHPPQGVIGLAPHVPPTARLRDVRKRWAHRFMRQHPDADPAQAEMGCYALWAYDAAWAVASAAERLSPGDLSSLPRLLAVPAFRIVNIMDNAKERGIGFWTQKDGLHRQLGRGRGGIASNSGLAPVIWPADSTVVPIGWVQPTSGRKLQVAMPGRVDPGYRPIMYLDVDPATNRTVAGGFVIEVFEAAVRLLPYALPFEYVLVGSMRYDALVERVGKGEFDAAVADITITADRSQHVDFTLPYMSSGISMVVPMRDQRSTRAWAFLKPLRYDLWLVSFAFFVFTGFVVWAIEHRSNKEFRGPRENLKSNLSRFVVVVWVFVVLILQSSYTASLTSMLTVPQLEPAIGDFASLWRGTDKVGIMNNSFMRAAMNKSGFPQSRLEPYQATQGFHEALLNGTIGAIVDETPYLRVFLKSYCDNFTRTAQSNKTGGFGFAFPKGSPYVAVLSRAILNLTESDEMSLIERKWFGDADGCAAQGSQFTSERLSFDSFWGLFLITGATSLLCCALHLLIFVVANRRRIWASRVPWRNRLWIFLKLLNDRDLSSHTFRTNKDGGGSVADRSANDAGASPPPAVAHIAAGSPLSVSNHTYDMSDWSFGAPSPAPAAAGENEIELAGAAEADEVAAAHNPGGSVDQSGT >Sspon.08G0026210-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8C:18185969:18188561:1 gene:Sspon.08G0026210-1C transcript:Sspon.08G0026210-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVPSFVGFPSSSNHFAKMNSLSANGTQASLPTESVQVMSSQRNLGIPQSNMEPVGHSVNLPKNAVPMPVQDISRFISSGKSYAPVLSGELPGASQCFPSGPSGSSFPNSSNGVVLNTSKPLSVDISGSSFANNSIDSNMSLSSSRSCSSYASILRGKILGSIRGIPFEDISDGEMLAPSGHLPLQSPDLVTQPSVQLQSCSAGQFNKVASEVHQIVGPSNSWRAAAPSRFSDFGHNVGMSEDPSQGNIFKINQLSRFAGSSGQIPTFRNEYQKKFAGITGNTVPMVGIREQVAASSFGNNTHSTAMPNGNSALASSSSTRPDLQIDNSAMPTQVLNGGGASGNLHVGSTVNQQAVSDQLNNINEFLMGTSEAQNGESDDFDDFLAYFNQDFINNGDSFIDGTGSLPLDVISGKEMFLHCPAANQFMVLACCMRKFAPVVVG >Sspon.03G0005080-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:11616664:11628666:1 gene:Sspon.03G0005080-3D transcript:Sspon.03G0005080-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RAD52-1B.2 [Source:Projected from Arabidopsis thaliana (AT1G71310) UniProtKB/TrEMBL;Acc:A0A178W7H6] MAPGALARLLRLAPTPRLARPFAAKARASRRPQEPELPSEDDNDFTGGEVAAPTEGIGRPLAEVLKELGKRVPDSLVKTRVEDNGFAIKYIPWERESMGRFFKNKHFNLSELMPSKKRTNKYPNDEEKFTWSMYVENFVHNVPAREWSGEVRSIVYSSDGKSVSVVYRVTLHGIDAEIYREATGTASVDDTSYGDPVQKAEAMAFRRACARFGLGLHLYHEDIDGCMDSTRWKRDSTATMRMETMVRPRVALEQRTLQSARESTGKDEQPVLELAGADGSAAAKEACAREVAACFPGLRE >Sspon.03G0016910-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:74050413:74053951:-1 gene:Sspon.03G0016910-3C transcript:Sspon.03G0016910-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAAGAASAAVANQDPMNALRTAVLRRSAPHWSTAAASFFSPPFRPRRCRCRREPASAAAARTPRSRASAKARAKLLAEAEPRDPWLASLSLLPTDDVAGADAAPNGWAIGVDPDTGGAIAVLSPDGSSQVFDNPFVHIVVSEIIRKRLDTKSIIQLLRGLDAPPGTTAYIEKSSPFPTDGKLGWWSTGFSYGLWIASLVASGFSVVPVASQTWKAYFGLSRSETPKDDSRQAASILFPDKARSLKLKKHHGRAEALLLAAYGKGLVLPSGKFSKTSGIMEISLESEFRGVRGNFAQSSRCLGPRLASQVLADELAGGHHLAQVDARLDSQPVEHVHYVLRRHIP >Sspon.02G0032190-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2A:117946966:117947454:-1 gene:Sspon.02G0032190-1A transcript:Sspon.02G0032190-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GVRSCSFLSHQPVPPCRLHGERESGNIHHHQQLRLDGVAGGTQLVPGQTWTVDVPAGTQSGRVWGRTGCSFNGGSGSCQTGDCGGALSCTLSGQPPMTLAEFTIGGSQDFYDISVIDGYNLPMLFSCSTGVTLNCGGSSCPDAYLFPADNTKTHACNGNSNYQ >Sspon.01G0044550-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:83897399:83897833:1 gene:Sspon.01G0044550-1B transcript:Sspon.01G0044550-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RQGTQVRDEAVAAVQAGRGAQVAPPEAGRPPLVPHAGGGEGEDRDLLPSPAAAPAAGASTVASLKSNNCVDEGPSQPPKQKKKKTSGNKQPAKKKKTPIKKKLKKAASAPEARVVRSLKSWLGV >Sspon.07G0003050-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:7028686:7029690:-1 gene:Sspon.07G0003050-2B transcript:Sspon.07G0003050-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHQDKASYQAGETKARTEEKTGQAMGATKDTAQHAKERAGQKTEEAKQKAAETTEAAKQKAAETTEAAKQKAGQTTEATKQKTAETTEATKQKTAETTEAAKQKAAEAM >Sspon.05G0008590-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:25740034:25743148:1 gene:Sspon.05G0008590-1A transcript:Sspon.05G0008590-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGQPLHLHLAQPHHPRLPGLIPNHPTHYLPTSRLRLRVHRRKPKQLAAVLSRRPSGSQGDAPGRSGWERVPNAVAALLLQLTVCSLLFLFPNRARVLALRPPPGAAAVVEATQEEEDKEWEAALQKWKTKTYALSVPLRVVALRGSFPPSWIKDFVEAQGKRLKFSPELRANLDGIFSEMSQCMDKGQVQPKSAMAADVISLGDSWLGYAIRKGLLEPVKNAEEQDWFRCLSDRWKVHLRRNQNGEADPNGTIWGVPYRWGTMVIAYKKNKFKRHNLKPIQDWEDLWRPELAGRISMVDSPREVIGAVLKHLGSSYNTVDMEIDVNGGREAVLNSFTQLQKQVQLFDSMNYLKAFSVGDVWVAVGWSSDVIPAAKRMSNVAVIVPKSGSSLWADLWAIPCATRFQTDQIGSRTRGPSPLIHQWFDFCLQSARSLPFRQDVIPEHLHCTSRTLCQRSLKIRTRGSRSWIRTFSGECLRPRSWRDASFWNPCQVRRWRITSG >Sspon.01G0015610-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:46110766:46115805:-1 gene:Sspon.01G0015610-3C transcript:Sspon.01G0015610-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGACYRRPSPLPAVLVLLVIVGAAAKGAGRNVITHIKGFEGPLPFHLETGYVEVDEENGARLFYYFIESERNPAEDPLILWITGGPGCSALSGLLFEIGPLKFDVAGYTEGFPRLVYFEDSWTKVSNVIFLDAPVGTGFSYSVEEAGLNVSLTESGRQHHVFLRKWLAEHPEFASNPLYIGGDSYSGYTVPVAAMDIAASPPDPEKPNLVGYLVGNAGTDNRYDTGGKVPFMHGMALISDELYEAARLGCGGDFYKTPDPTNAQCASAMLAINLVTFAVNPVHILEPFCGAAVRVGSIFQGYGGDGGRRSMLVQDDVAHPGFFAKQRLSLPVECRDNGYRLSYIWADDPEVRETLGIHEGSIGSWSRCTTLLHFRHDLDTVIPYHVNLTKAGYRALVYNGDHDLDMTYVGTQEWIRTIGYPIVSDWRPWFANRQVAGFTRTYAHNLTFATVKGGGHTAPEYRPKECQAMLDRWTSAAGQL >Sspon.01G0009570-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:28846425:28848772:1 gene:Sspon.01G0009570-1P transcript:Sspon.01G0009570-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEYFYQSLLLSVLAVALLQLVKMALKPRRQLPPGPWKLPIIGSMHHLVNVLPHRALRDLADVHGPLMMLQLGQTPLVVASSKETARAVLKTHDPNFATRPKLLAGEIVGYEWVDILFAPSGDYWRKLRQLCASEILSPKRVLSFRHIREEEVMLRVEEIRAAGPSTPVNLSVMFHSITNSVVSRAAFGKKRKNAAEFLAATKAVVGLSSGFNIPDLFTTWTAVLAKLTGMTRSLKQIHKTVDTILEEIIEERKRIRDDKIKGGAAAEDVDENLVDVLIGLQEKGGFGFQLTNSIIKAIILDMFAGGTGTSGSAMEWGMSELMRNPSVMKKLQAQIREAFRGKTVVTEGDLQASNLQYMKLVIKEALRLHPPAPLLVPRESIEECELDGYTVPAKSRVIINAWAIGRDPRYWEDADEFKPERFEDGSRDFTGSSYEFLPFGSGRRMCPGFNYGLASMELAFVGLLYHFDWSLPEGVTEVDMGEAPGLGVRRRTPLMLCATPFVPVAV >Sspon.07G0005500-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:14071930:14079819:-1 gene:Sspon.07G0005500-1A transcript:Sspon.07G0005500-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYYNSLLPLTLLAGWCALAAALVLAISAWLQRPRRVAEAFRRQGIDGPPPSSFLSGNLSEMQARAAAAAVAETAGGQNFQKEGFDDYCEKIFPYFEKWRKAYGETYLYWLRRRPALYVSDPELIREIGRCVSLDMGKPTYLQKGQEPLFGRGVLKANGAEWHRQRKLIAPEFYMAKVKGMVELMVDAAQPLLTSWEDKVAAAPGGVAELDVDEDIRSFSFDVISRACFGGDYSRGREIFLRLRALSGLMSETSVIFTIPSLRHLPTKKNRRIWKLTHEIRSLILQLASERKAAPGRDFLGSIIDSSRDQPRADDFVVDNCKNIYFAGHETSAVTATWCLMLLAAHQEWQERARAEALEVCGASDGDGAAAKAPDFDAVARMRTLHAVVLETLRLFPPSSFVVREMFRDMQLGSRLRAPKGTYLFVPVSTMHHDAAVWGPTARRFDPGRFRDGVAAACNHPQAFMPFGLGARTCLGQNLALVEVKALVALVLARFSLALSPDYRHAPAFRFIIEPEFGLRLLVRRL >Sspon.07G0020080-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:69119929:69125914:1 gene:Sspon.07G0020080-4D transcript:Sspon.07G0020080-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:O-fucosyltransferase 38 [Source:Projected from Arabidopsis thaliana (AT5G64600) UniProtKB/Swiss-Prot;Acc:F4KF16] AMLDVQVSSDQLWASNGYGYHACVTPTSRYTVQGKSDSYMTVRSNGGLNQMRTQICDMVAVARLVNATLVIPQLDKRSFWQDTSTFKDIFDEPHFIKALEGDVHIMSDLPGSLQSAPRAWKHFTSWSGASYYEEVKELWKNQQKLVERLRSRGKYIALHLRYEKDMLAFTGCTYGLSDLEANELRIIRERTSHWKLKDINSTEQRYEGNCPLTPNEVGIFLHAMGYPESTWIYLAAGEIYGGDKYISKLRSYFPNLVSQEMLATKEELEKFNNHASQVAALDYIIAVESDVFVPSYSGNMAKAVEGHRRFLGHRKTITPDRKGLVELFDLLEKGDLIEGPKLSLLVTEMHKYSFRSHLIPAVWNFEAVRDDGAPANSVATGLVVWP >Sspon.05G0020130-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:83644899:83646293:1 gene:Sspon.05G0020130-2C transcript:Sspon.05G0020130-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATSSPPRRRRARDPDDDALEDGELRVLVHESSDSDDTEDDDDGRRYLFQPRVEYDYASEVVRRPQNHRTPRRRPPNALDILLLGPGAGGALPSPTPSGECDSEGTLSDDDHGRGGGGGNPTGSPSSSAGRPSSAAAGDAVRRGWGWAATGKRGWKGAGGNKTPAAVVLPAPAVSDLNAYAQCRHSNNTVSAAGDIQAGTTTSSAQAAPAALHQPPVPERAPQPPRARAPQPRRERATEYTCKECGKSYPTNQALGGHAAGHKNKQREAEAMAAAMAAAAAGGGEGGASLAGAFPLIRRGGKADQPHECRMCGKMFATGVALGGHMRVHYTGPPIVPARKNKKRCLAPPPPPTPAEGDIAVAAPPASAEVGLSLALSIKAEENIAAAPLVAPAGLSLELSIKTEEEPPSTPPAVPAGGAVRVVRLFGIDSSPQVQAPAAPLEKQQGSGGTTEDSSSTGQQQH >Sspon.04G0002170-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4A:6816841:6817176:1 gene:Sspon.04G0002170-1A transcript:Sspon.04G0002170-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMFRVPRRLVARAAGGASPPVPAAGPRNKKKKMAVARLGGKRRLFGAIRRLRMRWLAVLYRRTLRRLRAYYATAVNDLLEGAAVISSLRGPTAGADCAFGTAFAPVVTVGL >Sspon.07G0009310-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7A:26234247:26238188:1 gene:Sspon.07G0009310-1A transcript:Sspon.07G0009310-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LAASPSSAALPAPGQHVALALVSDAAAAAAASLGLSAAPSSAVLYAPPPVSVPHIAKASPRGGGGDRGGRRRRGRGHGGGSGFLDPWLGHLGPWPFQAPGGAPRPPATAMLAAASPGFHPAAFPWPTPSSASPASAGWGSAALAHSFRTMGPTTPVGPQWIADSGATYHTTSDPTILSSVHPPSSYHPSSIMVANGSCLPVTSVGAAGAHGSFRLPDVLVAPSMVHNLLSIRRFTTDNSCSVEFDSTGLTVKDLASRRPLLRCDSTGPLYTLRFPASPSSSSPNPLSAAFATSSSSTTWHRRLGHPGRDALMQLSRSSDIRCPRTPGEHLCHACQLGRHVRLPFHSSSSQAAHIFDLIHCDLWTSPIISISGYKFYLVLLDDFSHYSWTFPLRAKSEAFPAILHFFAWVSTQFGLTIKAVQCDNGREFDNSASRAFFLSHGVQLRMSCPYTSSQNGKAERMIRTTTETMRTLLLQASLPARFWAESLHTSTYLLNRLPSAACPAPTPHHALFGTPPRYDHLRVFGCACYPNTTATAPHKLAPRSTLCVFLGYSPDHKGYRCYDLTSRRVLISRHVVFDESVFPFSSTPTPTSTPDLDLFSLFPTDAVVDPPFRLSTTGTAPPCPSPGPCPRSPPAGDTPCPGLAGSPHGPATVTTPDAGPGTSPLSPPARFAQPTLVYQRRAPPGSAPVTTPDAGPGPSPPAPPARFAQPVLVYQRRARPEPPPTSPPGPPSSSGCPLPPTASSPPATPTPPPRSPDARVTTPVYHPPLLHRHPRHVHPMVTRHAAGTLPPRALATMPGDSQVSPVPSSVREALLDPHWRRAMEEEYAALLANRTWDLVPRPPGSNVVTGKWIWTHKRRADGTLERYKARWVLRGFTQRPGVDYDETFSPVVKPATVRTVLSLALTRSWPVHQLDVKNAFLHGLLTETVYCSQPAGFVDSSSPDMVCRLNRSLYGLKQAPRAWNHRFAAFLRSLGFVEAKSDTSLFIFHHGAETAYLLLYVDDIVLTASSESLLRRIITSLQREFAMKDLGVLHHFLGVTVAPHPAGLLHQRQYTLDILERAGMTDCKPCSTPVDTQGKLFEAEGHPVSDPTAYRSLAGALQYLTFTRPDITYAVQQLCLHMHDPREPHLTALKRLLRYLRGTVGYGLLLHQRSSSTEMVVYTDADWAGCPDTRRSTSGYAVFLGGNLVSWSSKRQQVASRSSAEAEYRAVANGVAEVSWLRQLLAELHNPLLRSTLVYCDNVSAVYLSTNPVQHQRTKHVEIDLHFVRDRVAIGEVRVLHVPTTSQFADIFTKGLPSSTFTAFRSSLNITSG >Sspon.04G0024250-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:12090920:12100084:-1 gene:Sspon.04G0024250-2P transcript:Sspon.04G0024250-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKSLVYYGNTPVGEVEVWPKGQTDLAWAREIRVDRLSPASERCPPLAVLHVVAAGARCLVMESKSTATAHEPPPPLVTMHTTCLKDNKTAVFPLGAEEIHLVAMTSKRNMRNGACFWGFKVPLGLYNSCLSMLNLRCLGIVFDLDETLIVANTTRSFEDKIDAIQRKLNNETDPQRISGMLAEIKRYQEDKSILKQYIESDQVTDGGELYKVQSEVIPPLADNHQQPMTRPIIRLHEKNIILTRINPSIRDTSVLVRLRPAWDDLRSYLIARGRKRFEVYVCTMAERDYALEMWRLLDPDSKLINSVQLLDRLVCVKSGSRKSLLNVFHDGSCHPGMALVIDDRLKVWDEEDQRRVHVVPAFAPYYAPQAEANFPIPVLCVARNVACNVRGGFFKEFDEGIIPRITEVCYEDELDDISSAPDVSNYFISEDENAAVSNVNKNPLAFDGMADAEVEKRMKEASSSFQSANPITTNVDLMSVAANQHFVTPISSSTPVAPPLGMPLNNDLDPQPPSLRWHVAQSGHVDPSQGSPAREEGEVPESELDPDTRRRLLILQHGQDTRDPAPPFPAGPPAQVSVPPVQSHGNWVCLEDEMNPRNLNKVSTEFHLESDSVQYDKKQLQHTSYIPIGDNPMSYDRYNYQNQRYPSQPPHSEDHHTLHNHAPTAYRSFSGQRSSHMESGRHFARYGGIPGVLEEIALKCGFKVEYRSTLCDTAELQFSVEVLIFGGKVGEGVGKTRKEAQCQAADTSLRNLADKFLSWDPDKVTFLKENDFNRHPKSHRYPGSNIYDTLPVASTSDESRYMNDRIDTLRKPGASFAALKELCTVEGYNLDFHAEQSADGSVGKEIRAQVEIGGKVLGKGVGATWEEAKLQVAADEAYGTLKSMLGQHVPRHSASPRSMALNFNKRFKPDFSQALQRIPSGRYSRNDIFLSHGNDWENQGSTLLQDNRQLRFGM >Sspon.02G0019960-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:60333350:60333979:-1 gene:Sspon.02G0019960-3D transcript:Sspon.02G0019960-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPVPFIYPPYSQPHVVPSTTFPMNTNIFRPNHYGWQPYMGSAPSEFVPMSSWSSSHTVDFIPTPHVVDPISQSLADKHIQSDAAVVSIGPSLDSNAAVAKEEMETPAVVVSGNLISNKHDDQDKQLKDAIRIELSPDMQEDNRHDEGSFRIYVKGKSRRKQTLRIPISLLNRTYGSRSFKLVYNKVVRENDIFRPSSVSFAEVASSGN >Sspon.01G0049130-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:111717986:111723171:1 gene:Sspon.01G0049130-1B transcript:Sspon.01G0049130-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MITEKPSWIRHEGLQIFSIDIQPGGLRFATGGGDQKVRIWSMKSVDKNNANNDSSQGLLATMRDHFGSVNCVRWARHGRCLASGSDDQVILIHERKAGSGTSEFGSGEPPDVENWKVVMTLRGHTADVVDLNWSPDDSTLASGSLDNTVHIWNMTNDTKAAPAGWANGTSKASSKEQQPYNVIAIGSQDRTITVWTTASARPLFVAKHFFSQSVVDLSCKELGYKLSDSELDELKRSRYGDVRGRQSNLAESPAQLLLEEASAKQSASKKGTSIVQQFQAPQKVSADVPNPASVVQSKKAPEALPEAEKKTSGPAADDMNTVTRLSSPVKQREYRRPDGRKRIIPEAVGFPSNQDNIPSRSQNQVVDFSSLDQRMNGIRPSYGSNSNCNNSGVKDRAGVTARANITESLVIQKASTSAGSDGMLSVERIGSVVSGSLTCSSLSIHVLDKKDNEDSLPVCLEAKPVERAAGDMIGVGGAFSTKETEIRCTRGTETLWLDRISAKVTVLAGNANFWAVGCEDGYLQVYTKCGRRAMPAVMMGSAAVFIDCDDCWKLLLVTRRGLMYIWDLYNRTCILQDSLASLVASPDESSANHAGAVKVISAKFSRCGFPLVVLASRHAFLFDMSMKCWLRIADDCFPASNFASSFSYPQGGELGKLQIDLGKFMARKPIWSRVTDDGLQTRAHLETQLAASLALKSPQEYRQCLLSYIRFLAREADESRLREVCENFLGPPMGMLGSASSTEPKNPSWDPDVLGMKKHKLLREDILPLMASNRKVQRLLNEFMDLLSEYEAAKSKVDPMDVTPAPQPAPEANDNVVTS >Sspon.05G0019400-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:80606676:80614236:1 gene:Sspon.05G0019400-3C transcript:Sspon.05G0019400-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCRRALLDGHEDQAMERGRAQCRSPVRRARGRDYIPHASEDWERRRSHSPVHRERASERRRSRSPAARAWERCSRFAPSVSRDPLLDYFRDSDGGRTTLSQEDDPMVYEHGCTRLSGASLCYSLDDNAGNGLGSPESRPINKLSSVEPLLPEEVVSGSAPQLSNIDADTGDVSDVVRDATDQHTSDEENAEGPDDGAAFSMDLSQKSTIVRGRGKNKRKWTMNEDDELMKALYEISLEPKWKGQGGGFNNGYLSALEDILAEKLPGAGISALPHIESRVRHFRTKYGTLEQMLSRSGFTRDDTKKMLQCEKIQYDKHCKDHPDAKGLYGVKFPYFDTLSAIYINDIATGEGAEDIGEAIGNMEEELAAEHGNQHDEEEDRMSRETPRRSVDSASSSCKRRKRQGGKSKDSGTSDPFLDMLHEVQGDLKGVASNVGKMAEAMEREAAIQEKAMNENPQQILREKATVELRKLGFTGTEQIKAATVFVKSPDQMSMLLTLDQSLRREFILNMLNGMIIDKSMMDELKEVKKAVNPTEVLLVVDAMTGQEAAALVTTFNIEIGITGAILTKLDGDSRGGAALSVKEVSGKPIKFVGRGERLEDLELFYPDRMAQRVLGMGDVLSFVEKTQEVMRQEEAVELQKKIMSAKFDFNDFLKQSQNVVKMGSMSRIIGMMPGMNKITPAQIREDEKRLAFVESMINAMTAEERVKPELLAESRERRIRVAEESRKTEQEVSQLVAQLFQMRAQMQKLMSMVQGQEAIAGMGDLMDSLKAEEKVTMSG >Sspon.02G0056330-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:22679944:22681450:1 gene:Sspon.02G0056330-1D transcript:Sspon.02G0056330-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQDSEQSIHVVLLPNPSQGHINPILQFGKRLAAHRGVRCTLAVTRFVLGQSGQPSPGAGGAVHIAAISDGCDRGGFGEAGGIEAYTARLESAGSETGRPVRALVYDAFLPWAQRVGRRHGAACAAFFTQPCAVDVAYGHAWAGRVEPPLALGEEEPLDLPGLPAGLRPGDLPTFLTDPDDRGYLDLLVNQFGGLDTADHVLVNSFYELQPQESDYMASTWRAKTVGPAVPSAYLDNRLPDDTSYGFQIFTPLTETTKAWLDARPARSVVYAAFGSIAKPDAAQMSEMAEGLYNCGKAFLWVVRASESSKLPENFTDKTKERGLVVTWSPQLEVLAHPAVGCFVTHCGWNSTMEGLGAGVPMVAMPQWSDQTMNAKYTEDVWRVGVRVRPDDKGVVRKEELEKCVREVMEGERSSEYIRNAADWKEKAKRAMSEGGSSDKNILEFLGKLGLKN >Sspon.05G0015370-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:59016149:59028527:1 gene:Sspon.05G0015370-1A transcript:Sspon.05G0015370-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fatty acid amide hydrolase [Source:Projected from Arabidopsis thaliana (AT5G64440) UniProtKB/Swiss-Prot;Acc:Q7XJJ7] MPPVEEVDIAAVRYKPPTLQAPHLTGFSLRAFLWLMESSLLGPLITSMLQQTVIPERPMYYPEFPPQDPEPEVVLVDEDRDPVERVHEALQCLPQYDPSICWTTEENPPFLYWKIRDFAHAYRSGITTPSAVRNPISILDGVFVAIKDDIDCFPYPTKGATTFFDQIHTVEKDAVCVARLRKCGVIFIGKANMHELGLGVTGNNPNYGTARNPHSVDRYTGGSSSGPAALVSSGLCSAAIGTDGGGSVRIPSALCGIVGFKTTYGRTDMTGVLCDSGTVEVASPLVSSVEDAILSPLCVPNLLSPENSNILGSVKIGKYTEWFNDVSDHEISSTCDDALKLLCSAFGCQIEEITLPELEEMRTAHVVSIGSESFCDLNPHYKAGRKTEFTLDTRTSLALFGSFTATDYVASQSIRRRIMHYHMEAFKKVDVIATPTTGITAPKIPPSALKSGESNYVVSAYLMRFIIAGNLLGLPAITVPVGHDKQGLPIGLQLIGRPWGEASLLRVASAVEELCLKKRKRPSAFYDILKA >Sspon.01G0061880-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:98762026:98762665:-1 gene:Sspon.01G0061880-1D transcript:Sspon.01G0061880-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWMVKFSIVECRSGIDHHLLDSGGIKVRIPLKMWGKSISTGRLVQEFRGGLNRLTMANFLKLLNEHVEAYLRTSFQYPLSQNQVVTHFSLPLKTRKCNEKIGRLRNFFCPQLFPHLFVYFFVSLIQESPSMECPTDVLRFTDPKVGNALRSLDFMADAYNMPAAEAKPVAIVISITIKGDRTGSRHWAE >Sspon.06G0013800-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6B:59007674:59009152:1 gene:Sspon.06G0013800-2B transcript:Sspon.06G0013800-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MACLAPQFMWPPSAAAHVPSSSSYSRCSALRVHCAVTSTVVVQDRTNGSAAQLRLAYAAPAIQRNFEATLASEALLNEEAVVAAAAAEAVALARAAAEAAQEVVQMVQKNNHQPVIRQKMGVDNYLANEILRTEMQSSSPDMYANNPLMEDLESYGIMASEDAYAQYTENIAVKSARQSERRARRTRAAIKASTTLRASQKAASSSKKKRSKGSSSSMNPLGSLWKMTGRRLLTAKEEVEFSE >Sspon.02G0009380-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:26514308:26515867:1 gene:Sspon.02G0009380-1A transcript:Sspon.02G0009380-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Senescence-inducible chloroplast protein, Activation of the chlorophll-degrading pathway during leaf senescenc [Source: Projected from Oryza sativa (Os09g0532000)] MSLLPISQLRQQQHGAGAVVVFRRRPRDARRRRYVVPTARLFGPAIFEASKLKVLFLGVDEESGKHGHPAPAPAPTTAGKPPLPRTYTLTHSDVTASLTLAVSHTINSTQLQGWYNRLQRDEVVAEWKKVRGRMSLHLSVLSSCDSALVQVLKAFVHGDGDLFSRHPELEEATVWVYFHSNLTRFNRVECWGPLRDAAAPPAEEDSTAPAAANSKEVQMPPVGEWPYRCPQQCDCCFPPHSLIPWPNEHDMSDASAAGQAQQQ >Sspon.02G0023670-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:74653337:74654270:-1 gene:Sspon.02G0023670-4D transcript:Sspon.02G0023670-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTTMTRLMLSMAAVAMLLLASPAPVSGQPGPGGVVGATMSCTASLVTSFTPCLNFIANGSASPTDDCCRSLGALTKASAGCACLILTGSVPLGVPVNRTLAVTLPRACNSSSLQLQCRDASSAQSPAPGPVADAPAPSTFMAPLPPATAAAPEPEAPATAPPVEPTATATPPISQVQTKPTVVPSAAWRASSDVPATAGFALLLAIGAALMA >Sspon.03G0001330-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:15067063:15069690:-1 gene:Sspon.03G0001330-3C transcript:Sspon.03G0001330-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRSLPVAAVVSVVLVCFSALCRGERLGARECEDLGFTGLALCSDCNALSEFVKDQELVEDCRKCCTEDSDDSISKLTFSGAIIEVCMRKLVFYPEVVGFLEEDKDDFPYVEARYSYGSPPKLIMLDNKGEQKETIRQIGRIDNWKREHIRQFLKEKVKPVKLDS >Sspon.05G0005920-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:18821525:18822573:-1 gene:Sspon.05G0005920-1A transcript:Sspon.05G0005920-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFTGDADGFALDFIREHLLGGGPVDSTVDPDDHTFPVLPPQPEFEPMPFLPPPLQQQGQPLTIAVPPRPYASAVATAPPAAAQLAAAAAAAAEAVEDFRKYRGVRQRPWGKYAAEIRDPKRRGSRVWLGTYDTPIEAARAYDRAAFRMRGAKAILNFPNDVGTRGADFWAPPPPAPPKTKAATATATNKRKRPQLVEDPDDDDVEVVAVVKKEVKIVDEVPAYSSSSQGQVYSASQSSSMSTRETTATASSTVTSSPTEETGSGAVGFPMTPSSGNWEQYWEALLGTLPLLSPQSPHPALGFPQLT >Sspon.07G0016740-3C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7C:85422287:85422844:-1 gene:Sspon.07G0016740-3C transcript:Sspon.07G0016740-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AIRAFLSRLVDSTRRALSGARPWSELADRSALSRPDSLGEAASRLRKNLAYFRVNYAAVTALCLAASLLAHPFSLAALLALLAAWCLLYVLRPADAPPVAAFGRAFSDREVLGGLVAASAFVVFLTSVGSLIFSALALGAAVVCAHGACRVPEDLFLDEVADQGAGGAGNPLLSFIASATGGGRV >Sspon.02G0017310-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:3830828:3836456:1 gene:Sspon.02G0017310-2D transcript:Sspon.02G0017310-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAAAALLLHLHFHLLLLLSPSAAQPGFISLDCGGARDHTDAIGIQWTSDATFVSSGGQAAQLLVQNAQQQLTTVRYFPADNRKYCYTMNVRNRTRYLVRATFLYGNFDNSNVYPKFDISIGASPWSTIVVDDATTPVVEEAIILAAAPTLSVLILLPSPDTVVIAAPAKKLGSYFSEVATESAHRFSLSEIEDATDKFERRIGSGGFGIVYYGKLADGREIAVKLLTNDSYQGIREFLNEVTLLSRIHHRHLVTFLGYSQQDGKNILVYEFMHNGTLKEHLRGADNVKITSWLKRLEIAEDSAKGIEYLHTGCSPTIIHRDLKSSNILLDKNMRAKVADFGLSKPAVDGSHVSSIVRGTVGYLDPEYYISQQLTEKSDIYSFGVILLELISGHEPISNDNFGLNCRNIVAWARSHIESGNIHAIVDESLDRGYDLQSVWKIAEVAIMCVKPKGAQRPPISEVLKEIQDAIAIERGPQEMQRSTIQQQLLVSNSNRSMGGASSSANNNSGSMAVDLEQNGASFDELLMRPGLR >Sspon.01G0052410-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1C:22215280:22218033:1 gene:Sspon.01G0052410-1C transcript:Sspon.01G0052410-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding TAGAGACSAPVLPHCSSALDWVTGHWGLPRDSACILPHRPSGLGSSHDGGPPPASMFNEHVMCFGDRRHHPSTVHPDATSRGAGRRPEPSNLQGPSGTGKAISGESGQRSKSAARHEKEKQGRLQRRLYNTGVDEYAVASVRVSGIYRDKKGSPLFPSSRLRHPSRRCRVGPNQQVLLAVQDFTMKHWLTGNGPVRWAVF >Sspon.08G0021740-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:42447124:42447381:-1 gene:Sspon.08G0021740-1T transcript:Sspon.08G0021740-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMVMRRRGGRVLPVVIMAVLLFLAVLGAEARPLSGDGGWAATGDGPLPSGGVFIVETLRRLYLQQLGAGPSCETNSPNNGCPPP >Sspon.02G0031130-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:107035602:107039762:1 gene:Sspon.02G0031130-2C transcript:Sspon.02G0031130-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSQIVCHGCRTVLLYPRGAPSVCCAVCHAVTNVPPPAMEMARLICGGCQTLLMYTRSATTVRCSCCDTVNLVRPVSSIAHVNCGQCQTVLMYPYGAPSVKCAICNFITNVGGVTPVRPLPPALPASSGNSYSIPSTSVPTNQSQNVTVVVENPMTVDDKGKLVSNVVVGVTSGGKK >Sspon.03G0004790-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3C:53461842:53462712:-1 gene:Sspon.03G0004790-2C transcript:Sspon.03G0004790-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding QSRLIQEIGQDRSGAFGDVWKARHRRSGKKVAVKSVRASEESLLREAALLAACMGNPAVVEFREVVRGGKTDKDKLYLVMEYAGRSLHYVMGARRRDGRPFTEAAARRIMRQLLGGVEIMHDHGVVHCDLKPANVLVGKEDGRGPVLKICDLGHARSVTVPSPDTSRLVVGTLGYMAPEQLMGDMDCCSTPVDMWSLGCIMAELVSGKPIFKVEVSECEHLAEIVHLLCIPDEVSLMPLGVSASTPSQLRDAVPEERLSMAGFDVLRGLLEFDPRDRLTAAAALQMPWFT >Sspon.08G0011270-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:46352489:46354550:1 gene:Sspon.08G0011270-4D transcript:Sspon.08G0011270-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMQSHAAAAVGRRSAILGGREKGARAGSLRVGGPAGAGAKAMAMRTRGTKPVAPLWGQCVLRDLSSMRRLVVATGGGAVIRPINWNYMKKGLSVWLDVPLDALAKRIAQAFSKLSMLAEQRGDAYANADTRVSLEEIAAKQGHGDVSMLTPTNIAIEALLKIGSFVTEDPTVNDHAGNLQADSQSRSIKAL >Sspon.02G0038960-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2B:47225775:47226046:1 gene:Sspon.02G0038960-1B transcript:Sspon.02G0038960-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VALTPAPVYPGFAPGKQEGARPVPAWVMSGRRWPPRGRAKHERGRAMSGQRCPRVDARNGEGVSGRPDPAVPIYVYANSSTDRIEDNPW >Sspon.01G0036120-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:12650787:12651821:-1 gene:Sspon.01G0036120-2C transcript:Sspon.01G0036120-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGGEGSDGGAASFREAHDLSNVASFSELPFLRSAPARESPNSGIRIFGIDAKEATATQSSSGGTTTVVTAATDSSRKFECHYCCRNFPTSQALGGHQNAHKRERQHAKRVQMQSAMAAAAAAAGGAHHHHLLGYPQHRFGLAGPTVATLYPSWPTMRAPGAAAVAIGPQFYSGIGSITQPINGNPLTTGIWRGRLLGTGTRACRRVETGGQLRSRRPCSVETIRGPQLLFWRRPRLLPLCCYLHKVSLFVSSQRPLQPRKDTEII >Sspon.02G0037410-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2B:33191630:33195451:1 gene:Sspon.02G0037410-1B transcript:Sspon.02G0037410-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MWSVPAAGAPCPPASTPTPASHPKMSLSTPPLASPRGCTPYLPSIQTVRTSSGSESDGGGTTTKLPILVFFHGGFFIVGSSGDPNFHRYVNSLVANARVVAVSVDYRLAPEHPLPAAYDDAWAALNWAVSGADPWLSDHGDLGRVFVGGASAGANIAHNMAIAAAGMNGLQAAPPASARIEGVILLHPSFCGQQRMEDEAKEFWEANKKRWAVIFPSASNGSDDPRINPMAAGAGAPGLAKLAGKKLFVSTASEDPRAPRGRAYCEAVRTGGWSGKPGSCSCRSWADEVEAEERELASPFQLPPLAAAAHASLILRSSSLDPGAEPFVGSPGGSGARVHFTDSEASPYDSDMPPSSRRGPVVRPSRQQRRRRHRRNRRRAPRLVRQPMRTPSPPPRRMASVVVHPARLSAEPDAEGFREVHSRRRWRRSAPPRKVPPSHLLGKCWNCLGGGHRWVDCTSDAKCFNCRAAGHQARDCPLPTAVGRWEGKRDRSPGYAAGHHQASRRRRRSPARAASADTTSARSVSTGREPSVPPVCDPPTPEQQAPPVAEPQGPPADGPDAVAGSDLHGGSMSMNASGEDIATVREASPRPLEPPRLYPRALRRAQEPPASPRSRARSPLLGLVVIPRTPALQAAEEALSLALLAMVVGTRPAVTPAMVLEHLQERFGIDGGAVSVRRTRPDDFIVRFESRADLERVLTSRPIDGAPFMLRWRRWSRLIMGSAGAFRFRVLVALKGIPSHARSTEVVQRILGSACAMPEFANPEAVADPDDEREVFVAAWCAHPDLVPDEMIAAILEPEEEHDGGPPLYLQPHEIIHDDLPALRYLVRICLIEFQDWHTPPNSDDDGYGGGNDDYDSDDTNFNGVHPGIQASGGAGSRPRTTRLAAGDEPRLGRGSGPSFRARESRQIIVVGEVACPVLVPNAPVPCRADVSAFPAEGGTRVAADMVTQFDFVDRSASLSSVTRATPDPMLSEALLSTPPKAACSRVASAPVHSIDCWPRRDASRVRHHGIVGSALREDPTLLMGRVTTVITGPLDGPDFLFGGDYSAVERGPLASDLGRAVNECFASPEPGCLCTPNVAQVGGPTVHAGLVDDPVDLAAPALGEDAHGDRSAPAPPPQTLDGFISTFKKQLEQPIILSPPRLRKTRTPRHVDDDDFVPKRSVRLAKKSAHREPKPEAQARKVMLKRIGLEVDTVRPDEATFDEFQTVFANPLSESTREAMDVLFPGRKQRAARIACSAEV >Sspon.01G0046180-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:96628943:96633702:-1 gene:Sspon.01G0046180-1B transcript:Sspon.01G0046180-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVCECECPPGTGVRESRTCAFISVVTGGGLPQGQDFKALCLEGNFALPGPCLDYIQIPNVLRAPPPITGANAVPLGSSRPRRPPVTPVRRGTGPVRGAAKHGGLYSRSAFAPVPGTDEFGRRGTRSAYDSRPEFANARNHGAELFSRGALVLVIRSGILPLLVLSLNLARQLMGKFIVFVILNVPVVLAKAVRTADRETGRYARRGVRASAPRACIAHASVAAGRRCLSSSHSRRACTAPIKCYDCHEWGHIAASCLRQWQKLQDSVAGRTLDNFARFPKDTNCANWFKAGQISAGPSTQTRFTSLGETRGAAPCSRSIPWDLFSQPAQGEVSRHSQENPSLPNCPQTELRVGDHQSELDPSDSSAAMAYQRVNPAVFVPHGLEPIQVQGRRVMSRSVVLRPRPKNQDLAIVSIDPLPGHQVTFNAIRGVVADFLNLEARQGPTPIFHPGPNANNDAAGGGQIQPNANAAGGGQNQPLEQMEEWNLWPDQVQPILPVDLNEPLQPEINLNLEPDPQEMIVDPLFPPLAQNPQADLDLLQVNEMDEETEQGNVGAEMVEENPMQEPHAQPVVQVDIPQLNLSMENCLHHEIQEDELMINEELQLEDEQNQNQVVNQNVIIGQVLLQEEISMDCGLAPGLQGKHSQDFTDPSGYWAKFFAPGLGSPPAYLQVLKYAPLMAALDEQMEHVGFKLPQSCPSKVQLMCLEENVTKSGVHLGGLDPSVKEGSTNEIGASLNPDLGKDETFILPDSELEASIEHDCNLGPDMPLPEDISLGQAKKKNAVKAQVIVDSEAVRKLGASMCGLDPLDLPDEVLLKKMKTAPVGQNPSTTADAVADAPEDSDDDADKN >Sspon.03G0028710-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:13915933:13917843:-1 gene:Sspon.03G0028710-2C transcript:Sspon.03G0028710-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQLNMWCGKTGAETELNMDGTSFDLTEHEGSNLSPFLRKLFNELKHTKHERLLQWDDRKMRGLFHHDISSCETKVLPGEHNFVATLIEGRDQKKRPTEFTMNQVLQPFVSEKFNFTKVSPLEVIFRFNETKKDSAQYFDGVPGTVSASSSAILINVSPIGYCHVLLTPKIQDCLPQRIDQESFLIAMYVAREARNPFFRVGYNSLGGFATINHLHFQAYYLKVQYPVEKATTEKLTSLGNGVSIFHLVDYPVSGFLFEGGASLEDLSDVVSKVCIFLQENNRPFNVLISESGKRVFLLPQCYAEKQLLGKASQEFLDMRINPAIWELSGHLVLKRRKDYDEASEANICRFLVEAALSEKEFQELNRCVLDFVTSSLG >Sspon.07G0013880-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:56084962:56085898:-1 gene:Sspon.07G0013880-3C transcript:Sspon.07G0013880-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQHHLRRCGGGALAALRRLRHSASSAAASPPAAWRRPCPPPRLYSTAEMSQQLPANLVGIMEQRMKLIEQRSAYLQEQINQPAASPEEYSRANKEFRKLESTMELIKELRSKQEEIEGLKSLVTNAREEKDMREMAAEELLEAVEEEKRLQHELFRSLLPKDEADERDCILEVRA >Sspon.01G0041530-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:47180767:47183330:1 gene:Sspon.01G0041530-2D transcript:Sspon.01G0041530-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MACLSLSCFPRRTSTPWLAFVLAIPLLAAAVAVSWRRRSNHLFPFDEAVLSREHETKPERFAVVTGGNRGIGLEVCRQLAVEGVMVILTARNEKRGKDAVESLRHECNLSNIIYHPLDVLDDGSVASLAQHIESRYGKLDILVNNAGVGGVVVDEDGLRALNIDPSTWLSGAAAASLLEGVVIQTYDGAVKCLNTNYHGIRRVTEGLLPQLRQSSSGARIVNTTSLRSELKMPNEKLREELRDAGAWDEQRIEAMLDEFLEALRRGRLEEEGWPTMLPAYSVSKMAVNLYTRILARRQPEMRVNCVHPGFVRTEINWNTGVITPEEGARGAVELALLPHDGPTGCYFHHTELGIAW >Sspon.04G0006720-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4B:15348777:15351770:-1 gene:Sspon.04G0006720-2B transcript:Sspon.04G0006720-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MITPDWGMIVYGSSGTDDAVSFPETAALPPVIGDTHRTTPVVHVTTQEPDSVYLLLASAYNQEDEPSVDEEKYEKLLDPCTEAKLLKDEADDESNKIRKAEMDLLSALQRIKELEDSYLHEVSQRKEIEKTLARQRLEIDEMRRQRCTLSDKLQDSDRHKLILEQRITQIKSAAKDHVEEITDHFIKQSSEESKKRQKIKMDLLLTLQRVKEVECLLQNEKAQREYMEEKVARQRTEIEETKRQRDKLYHDLQDLKEHKLKLEQVDMSEETNRRKKAERDQLSYLQRIKDLEHQHIHQVKKQEIMEQTMTRQKEEIQASKRKLHEIHGKHMTELKSAVKVHEEKLANSKQLLQELQAKYDKLLHERDTAVMEAKALRQKNKQRALVTTETPNTEFSIIELQKGTKGFDAEFKISEDGFASIYKGFVRNTNLAIKLFHPRSLKGQARFYQEVAVLSRVRHPNIVTLVGVCSDDFALVYEFLPNGSLEDWLSCKKKMPPLTWKARTRIIGEICSALAFIHSHKPYPIVHGDLNLGNILLDANFVSKLGGLGICYFLREPDITTTSLQSHPTENHEGTLCYMDQGEFKSAAKLMLWSDVNSFGITILRLLTGRSQQGIGEIVEEAMEKGNLHSIIDTSAGEWPFVQANQMAHLGLRCITLGSGRQPDLAGEVWEEVKQLMKAACLTTGPSRFASSSEDASPPSYFICPIFQEVMSDPHMAADGFTYEAEAIRGWLDNASTSPMTNLRLAHRKLTPNRALRSAILE >Sspon.06G0000910-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6A:3083870:3086959:-1 gene:Sspon.06G0000910-1A transcript:Sspon.06G0000910-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSANAVANGPAESPEAVAAAAPKTKTFPSPSPAAAATKGRGLRRWRRIRRDHERPRDSTGGGGEGDAQLHKRWLPLAAAGAPKGKHDASAASESSTASVESRIIPPPPGSGPGLGFSVGAGNSEGGSSRSSSAPRVPLPRCDHVSVVLSPRERDRPRPRAAASISTAADFSRSSVESDRRSSNAVKARQQLGAAGLNGVRRIFSGCRGHGDEEQLSQEVRSTGRCRGNGSSVVGRSVRSSAGEESVGNGGNGRMYWGADPCNESILVLQRAQEALENEIEKITAIGKEPSDEFDVSDDEWSGSVHLEEPNENLTVKIKHLESRLEEASALIKEKASRIYELEAIRGQMQPGKTTIESTNLLLSQCELDQLYQEKMESEIQCIILTRAYQASATLAEDQMTLYQTQKSLSEDYKLLGLKLRHTESRAMVLEEMAEKLQLQCKELSNSSEVLQLRYKASRNSCTCDMSSALVPGIVTEPEHVSTSTLSHWRIKVRVEPSPIELEEAAEYAIMHTNKERFSVRTERYTCDLTKEVQFLLPAIPTLDIKDVDEIR >Sspon.08G0028570-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8D:13087005:13087412:1 gene:Sspon.08G0028570-1D transcript:Sspon.08G0028570-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEARRRGGRWRRMDVVVQQRHRQLAGGCSVTRISCPSLACWSIRHGGSLVRWRRLRLTSSVEAVVASGPALARRLHGGGRLGRWGIRRGGGRKVGAWRGGEAEWGGRMGTVTQGGNAAASIGARRRDERIGSLGV >Sspon.06G0008510-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:44883656:44887509:-1 gene:Sspon.06G0008510-1A transcript:Sspon.06G0008510-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAWWRRKVVPRARRAWAAVASRLRARKPGSGGILKLHEDVQTCGYKDVQVMFDMLTSELEAAAQAQKPPSPPRKQALPPAWPGRSSSTIAAAQ >Sspon.01G0020850-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:74877083:74879748:1 gene:Sspon.01G0020850-4D transcript:Sspon.01G0020850-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSCLASPSGAALCRPRRPRCRVACSAADAGGNTEPAWAKGAGRLACGVLAAWAVASASNPVIAASQRLPPLSTEPNRCERAFVGNTIGQANGVYDKPLDLRFCDYSNEKTNLKGKSLAAALMSEAKFDGADMSEVVMSKAYAVGASFKGTDFTNAVIDRVNFGKADLTGAIFKNTVLSGSTFDDAKMDDVVFEDTIIGYIDLQKLCTNTSISPDARLELGCR >Sspon.05G0013710-3D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:5D:48169968:48172616:-1 gene:Sspon.05G0013710-3D transcript:Sspon.05G0013710-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSTVSLLYCLLILLSINITVVTAAEANKTEIDRKALLCFKSGVSSDPLGIFNSWSNTSRNFCNWSGVTCSTRLPTRVISLDLTSMHLTGQISGCIANLTSLSQIDLTDNGLSGAIPDELGMLSGLRTLMLAGNHLEGNIPDSLGSSLSLSYVDFSNNSLTGGIPHSLASSSSLSTLILSRNSLTGEIPANLFANSSTLTMVDLQMNSLTGVIPPFDKVTALKYLCVTENFLSGSIPPSIGNISSLRSILLGQNKLAGLIPESLGHISKLVELDLSFNSLSGYVPLPLYNMSSLIHFILGSNGLVGQIPSDIGYSLPNLQVLVMQSNNLVGSIPASLENASNLQVLDLSNNSLHGRVPSFGSLRKLHQVHLQRNHLEAYDWQFLVSLTNCAQLTRLSLEGNRMNGSLPGSIGNLSTSLEYLLLGSNQISASIPAEINKLVNLTVLSMENNLLSGSIPATIGKLRNLFILNLSKNKLSGQIPSTVGNIAQLNQLYLDDNMLSGHIPASLGQCTRLAMLNLSVNNLDGSIPSEILSISSLSLGLDLSNNNLTGQIPSQIGKLINLGLLNVSSNKLSGEIPSGLGQCVLLLSLQMEGNMLSGVIPESLITLNAIQLMDLSENNLSGDIPDFFENFKTLYYLNLSYNKLEGPIPAGGFFQNSSVVILDGNKGLCSRSSTLALPVCDGTTKSKNHGGSSRKKKNHVPLLLVVIPSVTIALLLLLWFLVTLWKKRVFEFPRWEDVLRMVRIAAKTEKREVQTFPLNNETLKKVSYSDILRATNCFSSVHTISSTRTGSVYVGRFKYDKSLVAIKVFNLNEPAAYESYFIECEVLRSTRHRNLMRPVTLCSTLDTGNHEFKALIFKFMVNGSLESWLHCEHYSVCRREC >Sspon.06G0018290-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:68491445:68492649:-1 gene:Sspon.06G0018290-3C transcript:Sspon.06G0018290-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRPARAFLQAAGASACRPASDPLTALPLITMATTPEEFFGKGLMEQPPPSPPVFADDLPQEPNGSSEGQHHVPNEMMLSYVSHVLLDDDIDEQLNNHPALLQVQQPFAQILSSPSSGTNTGNREGSGYFLHEGSVDESELNLALSKGTDAVGAFLKGMEEANGLLPKDNFRRDELVNQTVRENSSHSGVKKRHSRDDHLQVEIRISKAMTVIKEPEDDSANEMLDEIMLYGYKVCIRDMDNLRVTCDNNEVERKAGMVVARR >Sspon.06G0005230-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:15350236:15356353:-1 gene:Sspon.06G0005230-2B transcript:Sspon.06G0005230-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVSASHGALGPLLGKLTALLANECARLKGVRREIRSLKSELTSMQAAVQKYSTLQDPDVQAKAWISLVRELAYDTEDVIDKFIHQLGDGGHQSQSGFKEFFRKTIRGLKTLGSRRGIASQIDDLKVRVKEVKELKNSYKLDDTARSTCERSAVDPRLSALFVEETHLVGIDGPRDHLVTWMMEEENSSAKHCRVLSIVGFGGLGKTTLAKEVYHKIQGHFDCHAFVSVSQQPNVKKIMKDVISQVPCKKDFIEDIDTWDEKKFIGKLRELLQDKRYLIVIDDIWSIAAWDTIKCAFPENKFSSRIIATTRIVDVARSCCLGGNDRMYEMEALSDELVSVLENEDLSHVRSLTVITSSCIKHLPSLVKFETLRVLDFEGCHSLQEYDMNGIDKLFLLKYLSLRGTNISEIPSGIVRLYDLETLDLRNNNIEELPSRIIELTKLQYLLIGTSSFQYKSLGMTKIPNGIGNMSNLRVITGFNITRSSLGAVAELGNLTSLNELHLELDSHGYDEYKKHEEMLLSSLCKLDSCKLQSLWIVSIDSTPLMFLDSWSPVPSCLQRFRMFTNYFFSKMPKWIAPALTSLAYLNINLVKATKEDLGILGEMPALISLLLTFRTDQEERVTIRGHGFPCLKELNIHGSWGATNLLFEKGALPKLEKLDLSFLVLGAKSNGFYLGIGHLPCLKHATITLRNNGGASSSENRAAAAAIRNEANAHSNHPRLTIYGEMEKVEEDIDTNEEEFEEEIDTNEEES >Sspon.01G0012680-2D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1D:37589439:37589813:1 gene:Sspon.01G0012680-2D transcript:Sspon.01G0012680-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPDGSVGDRRRRRRVGVSGYVRARAGLRWFSITTTTTVLIREGISCFRRRCFSPRWCASRRWSSARTGPALARGAPQATVGVARGLGRSGGDCRVFVGGSGLVERGDGEGEVRGRVRGGDCGS >Sspon.07G0021810-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7C:9877997:9878491:1 gene:Sspon.07G0021810-2C transcript:Sspon.07G0021810-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTDEGKSPGGAAIAEAVMSRFSLDVSAGCGGRHSTLLDEYERLAFEAQLNRAIVLRRCYSEPSPVRLSAEHQQRPPAGGGDARAPPPPRGAPPNLQGEGAARRDGGGRFWRLHEVLPRWLEALRPVFRWLRGAWECRRRKQPADAARRPPPTVPRVQLMDYLR >Sspon.04G0027210-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:58167881:58177219:-1 gene:Sspon.04G0027210-2C transcript:Sspon.04G0027210-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALHAPVLVLKDSLKRESGAKVHQANIQAAKAVADIIRTTLGPRSMLKMLLDAGGGIVVTNDGNAILREIDIAHPAAKSMIELSRTQDEEVGDGTTSVIVLAGEMLHVAQAFIDKNYHPTVICRAYTKALDDAIAVLDKIAMPVDVNDRGAMLGLVKSSIGTKFTGQFGDLIADLAIDATTTAGVDLGQGMREVDIKKYIKVEKVPGGQLEDSRVLKGVMINKDVVAPGKMRRNIVNPRIILLDCPVEYKKGENQTNAELMKEEDWQVLLEMEEEYIKNLCAQILKFKPDLVITEKGLSDLAIHYLSKAGVSAIRRLRKTDNNRIAKACGAVIVNRPEELQESDVGTGAGLFEVKKIGDEFFAFIVDCKDPKACTVLLRGASKDVLNEVERNLQDAMSVARNILKNPKLLPGGGATELTVSATLKQKSSSVEGVEKWPYEAAALAFEAIPRTLAQNCGLNVIRIMTQLQGKHANGENAWVGIDGRSGDIVDMKERKIWDSYSVKAQTFKTAIEAACMLLRIDDIVSGIKKKQAPGASAPKQPQIETEGDADNEQMIPE >Sspon.06G0015660-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:79452240:79453695:-1 gene:Sspon.06G0015660-2C transcript:Sspon.06G0015660-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Prefoldin like protein [Source:Projected from Arabidopsis thaliana (AT3G22480) UniProtKB/TrEMBL;Acc:Q56X68] MASKAGGDGKEAINEQVIANTYANMRTEMNQLYTKITELEMEVSEHSLVIGAIEPLDPSRRCYRMIGGVLVERTIREVLPAVKRNKEGLEEVIARMHEALERKKKEITEFELKYKIRIRKADNDAEDDGGKKEGTAQGVLQFSPYLCDPTVVI >Sspon.01G0006870-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:18952339:18955456:1 gene:Sspon.01G0006870-4D transcript:Sspon.01G0006870-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPGAAAVAATSQQADCCGHGPSWRGGCDVPGGASCSYLPLRKRLSVDGKFQAPRICIWECDGEAGDITCDIVAAPLRRSCSARAMPPPPPGSLFRRMMTPPQREVEDDVAARRPGETISKGHRSYGLMLNLQLGISYSVGKSSALPFRKLSSSDFDPREKVWTRFPPEGSKLTPPHHSVDFRWKDYCPAVFRHLRKLFGVDPADYMLAICGSDTLRELASPGKSGSCFFVTQDDRFMIKTVKKAEMKVLIRMLRSYYEHVCRYKSTLLTRFYGTHCIKQAGCPKVRFIIMGNFCCSEYKIHRRFDLKGSSHGRTIDKAEEKIDETTTLKDLDLDYAFHLQRCWYDELMRQIQMDCTFLETQGIMDYSLLLGVHFRNDFSMSKIGVPQFIGLPKSTGKRKSFEGGGDVCELCFIESGCKDRDFIVDSRKPLVQLGKNMPAQAERRSKFLLNKRHLFITTSSGGFRDVYLFFGIIDILQDYDITKKLEHAYKSFQVNPGCISAVDPKLYSRRFQDFIRRVFIRE >Sspon.05G0026620-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:5B:37480735:37481172:1 gene:Sspon.05G0026620-1B transcript:Sspon.05G0026620-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEEPFFTLPVAVEPTVQDTIVPTPVASSPVATINEHEEPVLEEAVLEEPIEPNVAHEEEQQQPNVEQVPEAPRRSQRTRRSAISNDYEVYETEEFQMGDDPTSFEEAMRSDHSSKWLKAMEDEMKSMSTNKVWDLEIIPKEPKQ >Sspon.06G0001470-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:3267601:3272241:1 gene:Sspon.06G0001470-3C transcript:Sspon.06G0001470-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSRCHGGGRERGGGAGGVAPAAAFAAPLLGCVVMALVCGAAAQGSRLLSEYKTLSGAAPLVIAKGGFSGVFPDSCQDAYSFAKEASVPGTAMWCDVQLTKDGVGVCLRDINMNNCTTVSQAYPARKRTYVIDGVHKNGWFVSDFTIAELRSAVYLTQAIWSRTERFDDIYPIVSVTDLPYLVKSSPVWLNVQHPIFYKQHGLDMSRYILSIRKLVSMDYISSPELGFLKNISARVGRKTKLVYSFLDKNLLDHSINQTYGSLLTNLTFIKSIASGIMVPKDYIWPVTNDNYLQPSTSIVTDAHKAGLEIYASDFANDRIIPYNYSYDPLAEYLNFISDGGFSVDGVLSDYPLSASEAIGKPLVISHNGDSGDYPDCTDLAYHSAIDDGADVIDCPVQVTSDGVLMCMSSINLLDTTNVQRTPFATPSLVPEIQSTPGIFTFNLTWANINSSALKPKISSPVSDYYLVRNPRYANQGKFLKLSDFLAIVMDSDLSGAMIIIENAAYIAKSLGIDIVDSVTTALSAAGFDNQTAKEVLIQSKDSAVLVKLKQQKTKYKPVYTLPSSIGDTSNSSLKDIKKFAHAVVVDRRSVFTLSYAFIIRQNRLVQDLQSAGLAVYAQVFRNEFVAHPLDFFGDATVEINYYVQSFNLSGIITDFPKTVRRYKKNTCTVLGKDMPDYMQRVEVGGLVQKLRSFQTQPPSVAPMPALNSSSVEEPPLPPAAPRNVPDVGPSGGATPTPGGTGTPPSDAHQTATSSTGMLLVMVSAALLI >Sspon.03G0009940-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:26732789:26735764:1 gene:Sspon.03G0009940-1A transcript:Sspon.03G0009940-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-conjugating enzyme E [Source: Projected from Oryza sativa (Os01g0658400)] MASKRIQKELKDLQKDPPTSCSAGPVGEDMFHWQATIMGPSDSPYSGGVFLVTIHFPPDYPFKPPKVAFRTKVFHPNINSNGSICLDILKDQWSPALTISKVLLSICSLLTDPNPDDPLVPEIAHMYKTDRHKYENTARTWTQRYAM >Sspon.06G0025050-3D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6D:57412002:57412310:-1 gene:Sspon.06G0025050-3D transcript:Sspon.06G0025050-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPDPSSLFESLSIGMNAARRTATLFARNPAVPTKSSVGVSSKLSPPAPYFSATAPATSTSDSINHGLLTPLKPFTEGCALSASEGEPITGKPSTDLWSSNR >Sspon.07G0017980-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:77626055:77640362:1 gene:Sspon.07G0017980-4D transcript:Sspon.07G0017980-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRHKQIIHKLHHLRTIICIDQLMDNASLIFGQMLQNMKKLRVLHLSFYNSSKLPESVGELKHLRYLDLSRTSVSELPRSLCTLHHLQLLWLDHMVERLPDKLCNLSKLRHLRWYKTQIPNIGKLTSLQHMNIFSVQKKQGYELRQLKDMNQLGGDLTIYNLENVTGKDEALESKLYLKNRLKGLRLIWSCKNGTDAEDILHLDILEGLRPPPQLSDLTIEGYECGTYPRWLLERSYFENLETFVLRDCRLLEGLPPDTELLQHCSSLRLSNVPNLKTLSCLPASLTMLSIRGCPLLTFVTENQLMALIMNDDISKQLQIMENGLEEGGDKVSVKENIIKAWLFCHEQRIRFTYGRAMELPVITDEALAICLGGLTSLTTLKLGYNMALTALPSEEVLQHVTKLESFEITACWCLRSLGVLRAAPSLSRLYFDHCPSLELSRGAEFMPLDLSFLVINGCILAADSFINGLPHLKGLHIYGCRSSPSLSIGHLTSLGSLYLGYLPDLCSLEGLSSLQLKRLRPSVLLNHILLAEGFTAPSHLYLQDCKEPSVSFEEPANLSYVKHLQFWSCKMESLPTNLKIVSGLQSLDISDCPNITSLPDLPSSLQRIHVYDLALAGLRWAASPIVNKLLTDASAYLSMDMVRELEQLEATVLPQFELVIQAAEKSPHRGKLEAWLRRLKEAFYDAEDLLDEHEYNLLKRKVKSGKEPLLEEGENSIVSTILKPFYAAKSRACNLLPENKRLISKMNELKAILTEAKVLRDLLGLPHGNTAEDRNRIVDFLLRKTTADEANSARYSGLAIVGTGGMGKSTLAQYVYNDKRIEECFDVRMWVCISRKLEVHRHTREIIESAKKGECPHLDNLDALQCKLHDVLQGSQKFLLVLDVWFEKSDSETDWEQLLAPLVSKQLGSKVLVTSRRQTLPAAVCCEQERSVHLENMDDAEFLALFKHHAFSGAEIKDQLLCTKLEHIVKEIAKRLGHCPFAAKVLGSRLSRKKDIAEWKAALKFKDLSEPFTALLWSYEKLDPNLQRCFLYCSLFPKGHRYKPDELVHLWVAEGFVGSCNSSRKTLEDVGMEYFPDMVSGSFFQLVSETDYGDYYVMHDLLHDLAESLSREDCFRLEDDNVTQIPCTIRHLSVRVKSMQKHKEIIYKLRHLRTKLRVLYLSFYNSSKLPESVGGLKHLRYLNLTDTLVSELPRSLCTLYHLQLLQLNHMVERLPDKLCNLSKLRHLGGYKNQIPNIGKLTSLQHMHTFSLQKKQGYELQQLKHLNELGGSLTVQNLENVTRKDEALESMLYQKNHLKNLILVWSSTNGMDAADTSHLDVLEGLRLSPQLSGLSIEGYKSGTYPRWLLEPSYFENLECFELNDCGLLESLPPNSELLQHCSRLCLKNVPKLKILSCLPAGLTELSILGCPLLRFITNNELEQHDLRTNIMKTGDLASKLALMWEVVSGSDIIRRVLTQDYSSLKQLMTRMGDDISQHLQIIESGLEEGYIISMKEKVIKAWLLCHEQRIRVIYGRTMDLPVVLPSGLRGLNLSSCSITDEALAICLGGLTSLENLKLEYNMALTALPSEEVFQHLTKLERLKLHGCWCLRSLGGSRAAPSLSSLYCLGCPCLELACMPFNLDRCLYISGCILAADSFINGLAHLKSIYIIGCRSSPSLSIGNLTSLESLYLSGTPDLCFLEGLSALQLKHLTLVDVPNLTASIVSMNRSLLLRIARSAEGFRVPPYLCLYNCKELSVLFEEPTKLSSVKRLNFCVCEMESLPRNLKSLTSMESFLHPVAVLISNLPSAEAPHPYIKKKTASIVHPFIFLLLFNLSLRHQTLAPCIFNLLGARWLKVAASPIVNKLLTDASAYLSMDMVRELEQLEATVLPQFELVIQAAEKSPHRGKLEAWLRRLKEAFYDAEDLLDEHEYNLLKRKVKSGKEPLLEEGENSIKPRCYGIFLAYHMAILPSSQLYQQPLFPQPHLFPLQRDRNRIVDFLLRKTTADEANSARYSGLAIVGTGGMGKSTLAQYVYNDKRIEECFDVRMWVCISRKLEVHRHTREIIESAKKGECPHLDNLDTLQCKLHDVLQGSQKFLLVLDVWFEKSDSETDWEQLLAPLVSKQLGSKVLVTSRRQTLPAAVCCEQERSVHLENMDDAEFLALFKHHAFSGAEIKDQLLCTKLEHIAKEIAKRLGHCPLQQKLSRKKDIAEWNAALKLKDLSEPFTALLWSYEKLDPNLQRCFLYCSLFPKGHRYKPDELVHLWVAEGFVGSCNSSRKTLEDVGMEYFTDMVSGSFFQLVSETDYGDYYVMHDLLHDLAESLSREDCFRLEDDNVTQIPCTIRHLSVRVKSMQKHKEIIYKLRHLRTVICIDPLMDNASHIFDQILWNLKKLRVLYLSFYNSSKLPESVGGLKHLRYLNLTDTLVSELPRSLCTLYHLQLLQLNHMVERLPDKLCNLSKLRHLGGYKNQIPNIGKLTSLQHMHTFSLQKKQGYELQQLKHLNELGGSLTVQNLENVTRKDEALESMLYQKNHLKNLILVWSSTNGMDAADTSHLDVLEGLRLSPQLSGLSIEGYKSGTYPRWLLEPSYFENLECFELNDCGLLESLPPNSELLQHCSRLCLKNVPKLKILSCLPAGLTELSILGCPLLRFITNNELEQHDLRTNIMKTGDLASKLALMWEVVSGSDIIRRVLTQDYSSLKQLMTRMGDDISQHLQIIESGLEEGYIISMKEKVIKACLLCHEQRIRVIYGRTMDLPVVLPSGLRGLNLSSCSITDEALAICLGGLTSLENLNWNIIWH >Sspon.02G0052280-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:90011850:90013262:1 gene:Sspon.02G0052280-1C transcript:Sspon.02G0052280-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRSIDRNRAIISGLWCELIHNKLFSNRNGGVLTEASVFPSSGLGGRDSDYVDLHNAARADVGVGPVSWDDTVAAYAESYAAQRQGDCQLIHSSGPYGENIFWGSAGADWSASDAVGSWSYLDDSGRRDGDISVPFSSLSEKQFYDHGSNSCASGQVCDHYTQVVWSDSTAIGCARVVCDNNAGVFITCNYNPRGNV >Sspon.01G0035360-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:9821832:9824345:1 gene:Sspon.01G0035360-2D transcript:Sspon.01G0035360-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alanine--glyoxylate aminotransferase 2 homolog 1, mitochondrial [Source:Projected from Arabidopsis thaliana (AT4G39660) UniProtKB/Swiss-Prot;Acc:Q940M2] MPGNLKLNIVEGKMQYLYDENGKRYLDCFGGIVTVSCGHCHPDIVNAVVEQTKLLQHTTTIYLHHAIVEFAEALTSKMPGNLKVAYFVNSGTEANELAMLMARLYSGNLSMVALRNAYHGGSAGTIGLTGLQTWKYPIPQGEIHHVMNPDPYRGTFGSDAAAYAKEVEEHITYGSSGRVAGFIAETFQGVGGAVELAPGYLKLAYDIVRKAGGVCIADEVQSGFGRTGSHYWGFQTQGVIPDIVTMAKGIGNGLPLGAVVTTPEIAICSAGGLAVLKVLDKEKRQAHCADVGAHLVERLKSLQEKHESDVRGRGLMLGVELVTDRKEKTPAKAETTELFEKLKDLGVLVGKGGLHGNVFRIKPPMCFAKDDAVTALRGQTNKNAVITDFLVDCMDYAMSGL >Sspon.07G0017860-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:64411332:64420007:1 gene:Sspon.07G0017860-1A transcript:Sspon.07G0017860-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAALGSASWLLGLVLNKLSEDLVNGYMSSAELGINLVKIRKEMLYTKGLLEAAQGRDLAGNFGLKGLLEDLSNKADEAEDALDELHYFMIQDKLDGTREATPELGDGLSGKAQHARHAARHTSGNWLSCFSCCRSQGDVAAAKSLDHGGYVEKLTFDRVEISNKIKQLMEEMHSYCTPVSNLLDKIPSSNLQPHMPASTKRSDTSSEITQKKLFGRDAIFEKTINEIISVTNSEKILSIFPIVGPGGIGKTTFTQHLYNHTRFKEHFIVRTWICVSTSFDVLKITKEILSCLPPTENAGNITANETTNLDQLQKSIAERLKSKRFLLVLDDIWECSSSDEWEKLLAPFKKDETSGNVILVTTRFPKIVEMVTKGTNPIDLRGLDSDEFWKFFQICAFGRIQDEHGDQELIGIAKQIADKLKCSPLAAKTVGRLLIKKPFQQQWMKILENKEWLEEKHENDIIRALQISYDYLPFNLKKCFSCFALFPEDYKFDKFEIVRFWASVGIIDSRQPNEKIEDVGSDYLDGLLDYGFLMKGDDNYYVMHDLLLDLSRIVSSKECAYINCSSFKANNIPPSIRYLSIFMHDNCAQNFEEEMGKLKERIDIKNLRSLMIFGYYSRLQLVNILRDTFEEIKGLRVLSIFMNSHSSLPHNFQKLVHLRYLKLISPYYSNVSLPSTVSRFYHLKFLDIKQWGSGCSLPKDICRLENLRHFVARKEFHSNVPEVGKMKFLQELKEFHVKKESAGFELGELGKLEELGGELNIHGLENVTTRQEAKEAKLMAKRNLVELGLLWNEKQESTGDDILDSIQPHSYIRRLRIVNHGGVVGPGWLCRNNLYMKNLETLHLESVSWANLPPIGQMYHLRKLKLKNIVGISQIGPDFFGGTTEKSFTHLKEVEFHDMQELVEWVGGANCHLFSRLERIWCINCPRLTVLLMSSWSISSTEDNTIWFPSLHDLHIDRCPKLCLPPLPHTSMVSRIHTESLSYDRTKLNIWKPSELVFHNLGDVERLTIQDASCFSFMDLQKLHSPRHIGVSRCEETFLRGLDDGVVLHTVQSLDLEQFSLTRKSLSNLFKCFPALSRLNVSASSDEDHEEVVLPFPPSSSLRNVCFHGCKNLILPVEEEEGAGFWGLSSLESVTIHNCDELFSRWSMRGAADQTQSIIYPLPPCLKELSLWDQQSTLPMALLANLTSISSLELVNCKDITANGFDHRITINLEHLTVYNERDGETEPYSVAADVLAAVARTRTMPAGSFQLVSLWVDSISAVLVAPICTRLSATLQRLYFCCDWRTEKFTEEQDEALRLLTSLQYLWFYNCRALQSLPQGLHCLPSLQELHIWETRKIRSLPKEGLPDSLRLLDIENCGPEIYEECQKLRGTRPDINVRSRCGIKNLTFDHLKTTEALSASINQLSGWKNRKCEGLTIVDNKTSSIIELRKQRKFKLEGNLPVRVLICRIQQLLVQWLLKQNFYSMNKKSVESIQILRSRDICMQLETLLAYKSRLAQENSTYAVKTASCFLGETVDFHQFAT >Sspon.07G0028960-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:72313055:72315098:-1 gene:Sspon.07G0028960-1B transcript:Sspon.07G0028960-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MCKITPVVAALTRVLYLYLVAVVAGPAKSLLGAARAAFALANAPCLRYMSEAAARGRSSSFTGTLCGDLLVGAMAHSWRFLVQGLTSLLFLCARADEYVRPPPSPLVLLAHDKPASHPQQVHISVVGSDGMRISWVTDDRSAPSVVEYGTSPGKYTASSTGDHTTYRYFFYKSGAIHHVTIGPLRSSTTYYYRCGRSGDEFTLRTPPSTLPIEFVVVGDLGQTGWTASTLSHITGGGADYDMLLLPGDLSYADTQQPLWDSFGRLVQPLASARPWMVTEGNHEAEALPLPVLEELIPPFVAYNARWRMPFDHEDSGGGSTSNLYYSFDAAGGAAHVVMLGSYAAFGEGSEQHRWLARDLARVDRRRTPWLLVLLHAPWYNTNQAHQGEGERMRAAMERMLYEARVDVVFAGHVHAYERFTRIYDNEADSRGPMYITIGDGGNREGLALKFIKDHKSAHLSEFREASFGHGRLRIVNETNAVWTWHRNDDAFATVRDEVWLESLAAAAKPGLAPSPPTGRDNDEL >Sspon.03G0014110-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:43928277:43929135:1 gene:Sspon.03G0014110-1A transcript:Sspon.03G0014110-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPMKVYGWAVSPWMARALVCLEEAGVEYEIVPMSRCGGDHRRPEHLARNPFGEIPVLEDGDLTLYQSRAIARYVLRKYKPELVKEGDLEGSAMVDVWMEVEAHNIEPTLLPVIRHCIIGQYVGRDRDQAVIDENLEKLRKLLPVYEARLSVCKYLAGDDITAADLCHFGFMRYFMATEYAGVVDAFPHVKAWWDALLARPSVQKVMAGMPPDFGYASGNIP >Sspon.01G0028210-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:64549683:64551649:1 gene:Sspon.01G0028210-2B transcript:Sspon.01G0028210-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKGGKGLLAAKTTAAKSAEKDKGKKAPVSRSSRAGLQFPVGRIHRQLKQRTQANGRVGATAAVYSAAILEYLTAEVLELAGNASKDLKVKRITLAICSWPFVEMRSSTPSSRAPSQVEVSSRTFTSP >Sspon.03G0015010-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:68638565:68639840:-1 gene:Sspon.03G0015010-2B transcript:Sspon.03G0015010-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSWIAAGLSSGYCRLLDKRSGNIIAVWRAHDGHITKLAAPDDHLIVSSSLDKTLRVWDLRRLDISSLHFRTCIQLTEG >Sspon.05G0011320-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:34212746:34213110:-1 gene:Sspon.05G0011320-3D transcript:Sspon.05G0011320-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQGFLVSGLNYYLQAWCIEMKGPVFLTVWTPLTFILTIFCSSFFLGEVVHLGSVIGGILLCGGLYSVLWGKAGKLRPCSAT >Sspon.02G0009930-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:27643055:27647523:-1 gene:Sspon.02G0009930-1A transcript:Sspon.02G0009930-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTTAAAAPPIPPTTTLPLLTLSSSITLSAAVGAPADAAAGSSAAVPLTLESLAAVVAEMSTNMAQMSRSIIALQAAWMGLTQQPPPPVSSLPPPPPPHSALPAPSIAAPAAGASPPLSSGLPLHMIQWPTSPSPIPEWALARSAVVRLQAAARGLLARRRLQEMRQPMHEATLATVDLSSAKRDLAPWDGHQQPRRPTAVFRHEHGVFPARNDLQLCGLLVTGGDALPNATAFHRRPPRGRLRWSLSQLIPGGCTHAPLSFRWVPWDPGGYTRAGVRFVSSGVIVSVIVKLQLEDELHVQVGCSVIRVKGLLGLSPLGTGYVQEHVNIGSAPPGSKVRWFRSASDEPRFINTVTFDSKENAPTLVMVHGYGASQGFFFRNFDALASRFRVIAIDQLGWGGSSRPDFTYSFEEWRKAKNLSNFILLGHSFGGYVAAKYALKRIGSLGSRSSTKIYQCQEDWMNYQGAQQARKDMKVPCEIIRVPQGGHFVFIDNPSGFHSAVFYACRNHGEEGFTFPDGLISA >Sspon.07G0003660-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:8798321:8799757:1 gene:Sspon.07G0003660-1A transcript:Sspon.07G0003660-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPSPNPTVVLHACLGVGHLIPMVELAKQLLRRGLAVIIAVPTPPASTADFFASSASAVAALAAANPAVSFHHLPAPDYPAPDPDPFLRMLDALRLTVPSLTAFLRSLPSVAGLVLDLFCGDALDAAASTGIPAYFYYTSCAGDLAAFLYIPHYFATTEGGPSIKDMGKALLHFPGVPPIPASDMPHTVLDRASRTCASRIVHYGRVPEARGVLVNTYEWLEARAVRALRDGVCVPGRPTPPVYPIGPLIVKGEEAAEKGERHVCLSWLDAQPERSVVFLCFGSLGAVSAAQIKEIARGLESSGHRFLWVVRTPPEDPTKFFLARPEPDLDLLLPEGFLERTCGRGMVVKMWAPQVEVLRHAATGAFMTHCGWNSVLEAASAGVPMLCWPMYAEQRLNKVFVVDEIKAGVVMDGYDEELVRAEEVEKKVRLVMESEEGEKLRERLAMAKEKAAEALADGGPSSVAFEEFLKDLELAK >Sspon.06G0007200-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:23598131:23601155:1 gene:Sspon.06G0007200-2B transcript:Sspon.06G0007200-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGPTPSSTPPPAAANPSHNPRRKRKPRPKTAPPSALNPNWAQLQSKLPHRPAATHLGKRKHDGGPPAPAEPSPPPAVQEVKLEPTSDDTSLTKAVAIDCEMVGVGSDGSKSALGRVTLVNSFGNVVYDEYVRTVERIVDYRTRISGIRPKHMNKAKEFWAVQKEVAELIKGRILVGHALHNDLKVLLLSHPKKDIRDTSEYEVFRRERKRRSLKDLAAEVLGAKIQQNEHCPIEDARAAMFIYNKHKKAWEKNMKEQFRFKKKLKKRGKKKTTESNGNDPNVPTVLL >Sspon.07G0009700-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:24966565:24997196:1 gene:Sspon.07G0009700-4D transcript:Sspon.07G0009700-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLERLPPPAGSRHGHHRYARMPPQADLEGEAAPAEQERELERRPEVLAASASASLRVPDAARVFDELPRASIVAVSRPDAGDITPMLLSYTIEVHYKQFRWRLYKKASQVLYLHFALKRREFLEEFHEKQEQVKEWLQNLGIGEHMPVVHDEDEADDVNVPPQSDDNSIRNRNVPSSAVLPVIRPALGRQNSISDRAKVAMQEYLNHFLGNLDIVNSREVCKFLEVSCLSFLPEYGPKLKEDNVSVGHLPKIQKDRKKRCCSCGLFNCCKSNWQKVWVVLKPGFLALLEDPFDPKLLDVIIFDALPHMDISGEGQISLAKEIKERNPLHFGFQVSSGGRTIKLRTRSSSKVKDWVTAINAARQPPEGWCYPHRFGSFAPPRGLLEDGSMVQWFVDGQAAFKAIASSIEEAKSEIFITGWWLCPELYLRRPFQYHVSSRLDALLEARAKQGVQIYILLYKEVALALKINSLYSKQRLLNIHENVKVLRLDLCFGRYDSPEHKVADSPPVMWPGKDYYNPRESEPNSWEDTMKDELDRAKYPRMPWHDVQCALYGPPCRDVARHFVQRWNYAKRNKALNEQAIPLLMPHHHMVIPHYKGRSKEINDEAEGKQYHDKDVDIGKPVLTSRTSCQDVPLLLPQELEPRELSSSDLRMTDLDINHSDHVNKKSFNQPLLNRKAKLDSSHQDLPMRSFVDNLSSLEPSSIRRFDSSKDDRNHIDKKWWEVQERGNQVASVLDVGQVGPRATCRCQVVRSVGQWSAGTTQIEGSIHNAYFSLIEKAEHFVYIENQFFISGLSGDDTIKNRVLEALYRRILRAEREKKRFKAIIVIPLLPGFQGGIDDGGAASVRAIMHWQYRTICRGSNSILQNLFDVIGPKAHDYISFYGLRAHGKLYDGGPLVTSQLMIVDDRITLIGSANINDRSLLGSRDSEIAVVIEDKEVVNSKMDGRPWEAGKFSLSLRLSLWAEHLGLHPGEVSHIMDPIDDSTFKNIWMATAKTNTMIYQDVFGCVPNDLIHSRAQFRQSFAHLRDKVGHTTIDLGVAQGKLEAYQDGDLKSTDPMDRLQLVRGHLVSFPLDFMCQEDLRPYFSESEYYTSPQVFH >Sspon.06G0021970-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6B:21912512:21914541:1 gene:Sspon.06G0021970-1B transcript:Sspon.06G0021970-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RSVTTRASACLGQTLAWRPHPPYTCPLPHLSPLAKLAHLPWPPPPYKKGSPGALAPSLDPAATLWHHHNHASPEQRRRREEKEEDGSCNTPACHLADACAAWRRENPSARLPALHSALPLVVLRQGQRAQQQSPLLAPPLCHCLPQRPASPNVEAEILPQSLTLALLPPIASALLHKHTLAMAAAMAELELRPPLPFLSRFPTTATAAYTIVFTLSRSTSYTRSPGPSIAGAPRRRRCRGLGRRRTWPDHLGPSWAKPRAPLGA >Sspon.07G0022540-1P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3D:22961514:22974011:1 gene:Sspon.07G0022540-1P transcript:Sspon.07G0022540-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding APGPFTPFAPDGYFVFSPSVLSAAFAASTSSTTWHRRLGHPGRDVLMQLSRSSGLPCTRAPAEQLCHACQLGRHVRLPFHSSTSHAARIFDLVHCDLWTSLVISLSGYKYYLVVVDDFSHYSWTFPLRAKSDTFPTLLHFFTWVSTQFGLTIKAVQCDNGREFDNSTSRAFFLSHGASLPARFWAKGLHTSTYLLNRLPSAACPAPTPHHALFGTPPRYDHLRVFGCAVTPTPLPLLPISWTPVPLFVSSSGTPRITRGTGALTLPPDGSSSLAMWCSMSPSFPSSAPPPLRFLTHPPFFPLTRWSSHLFRGLLQVPLRRAPCRPPVPDSRWVRACRPLARPYPLRLAQTRGLRPPLLPRGGPCRSPSPDPAPAPAAAPPSRFAAPVRVYQRRPRPPPLAVPSPPGTPTPPPQSPPARGAPPVYHPPLLHRHPRHVHPMVTRHAAGTLPPRVLAASTGDAVVSPVPSSVRDALLDPHWRRAMEEEYAALLANQTWELVPWLPGSNVVTGKWIWTHKRRVDGLLERYKARWVLRGFTQRPGVDYDETFNPVVKPATVRTELSLALARSWPVHQLDVKNAFLHGLLTETVYCSQRAGFVDSSRPDMVCRLNRSLYDLKQAPRAWNHRFATFLLTLGFVEAKSDTSLFVYHHGADTAYLLLYVDDIVLTTSSELLLRRIIAALQQEFAMKDLGVLHHFLGGKLSEAEGPPVSDPTAYRSLAGALQYLTFTRPDITYAVQQVCLHMHDPREPHLTALKRLLRYLRGTLDYGLLLHRASSADLVVYTDADWAGCPDTRRSTSGYAVFLGGNLVSWSSKRQPVVSRSSAEAEYHAVANGVAEASWLRQLLAELHTPPFRSTLIYCDNVSAVYLSTNPIQHQRTKHVEIERSMWRSTSTLFVIGWPWARCGSFMFRLPPSSRTSSPKASRPRPSPSFAPASTLPTLNTGSLFYATLNALSYFYMVCSWGGYTFIINLIPLHVLLCIVTGRYSARLYIAYAPLIVLGTLLAALVPVVGFNAVMTSEHFASFLVFIILHVVALVYYIKGLLTPRLFKVAMTFVITVGFNTRCIDCIKPNKRLEWSQFESAGSAGDGSSDTPTNSEMVSKEKPSKKNRKKDRDSEGSSPVDHRTEEKLLVLPCGPSAVGIMLLIILSGFYVVHCVWAAAEAYSAPSIVLTSRSHDGFHVFDDFREAYGWLRHNTDVDDKVASWWDYGYQTTAMANRTVIVDNNTWNNTHIATVGTAMASPEKAAWEIFNSLDVKYVLVIFGGLIGYPSDDINKFLWMVRIGGGVFPQIKEADYLKDGNYRVDAHGTSTMLNSLMYKLCYYRFVETDGKGFDRVRRYEIGKKHFKLTHFEEFGFVRQQLISAAEQANQEKYEGHHGVRIQDRALVAAAQLSSRYIMGRHLPDKAVDLVDEACANVRVQLDSQPEEIDNLERKRIQLEVELHALEKEKDKASIARLVEVGEERIGQFEGQTTTTAMRYRKKKERIDEIRKLKHRREEMLFSLQEAEPRMDLAQVADIRYDALQEIDTAIAKLEGETGENLMLTETVGPEQIAEVVSRWTGIPVTRLGQNDKKRLVGLADRLHQAVNAVAEAVLRSRAGLGRPQQPTGSFLFLGPTGVGKTELAKALAEQLFDDEKLLVRLDMSEYMEKHSVARLIGAPPGYIGHEEGGQLTEQVRRSPYSVILFDEVEKAHVAVFNTLLQVLDDGRLTDGQGRTVDFRNTVIIMTSNIGAEHLLAGMVGNNSMKAARDLVMLKVRRHFRPKLLNRLDEIVIFDPLSHEQLRMVARLQMKEVAYRLAERGVALAVTDAALVLILSLSYDPVYGARPIRRWIEKRVVMELSKMLINEEIDENSTVSIDASPNKDELTYKVDMNGGLVNAQTGQKSDILIQVPNGAINGGAAHTVKKMRLMQDDQDDDDMEEERPGNFAT >Sspon.06G0017540-4D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6D:77555296:77559513:-1 gene:Sspon.06G0017540-4D transcript:Sspon.06G0017540-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAPNNTMANNSSGGGSTEYDLKKYLLLLATLVATVTYAAGLNLPGGSWLEDDASGGRVAGDSILRDTNYRRYIVFYCFNAISFASSLVVGLLLLVLQKDTTVYLLRLLQTVMVLDLLCLVGAYAAGSSHDSFTTVCGIAVLVSAVSAYATVVLVRIRLRERRESTVGRDDEPEKALAGSEREILLVLAIFAATIAYVAGLNPPGGFWRSSEEGHHTAGDPVLQALHPRRYRVFFFFNTTAFIASLFAIMLIVDRNRKKFRRSTRVTYVALYGCILTALLGLGGAYAAGSCRDSKHTIYVLCLVAPVVACIFVPKILIDKNESSPNITNENQRDDEKRHNDLLDKTRECVQLLAALAATIAYQAGVDPPGGVWSENGAGHSVGDPILLTTHPGRFEVFFYFNSAAFVASLVIMAMLQKESLLRLHVLEKAIILDLFALIGAYAAGSCRDTSTSIYTVAMAGAVLIYVVIHIVFFTTAERNGNGNGNARGGHQKELDQKELDQYRVREVLLLLAILAATLTYQAGLTPPGGFWEIDDDRSGHRAGFPVLQDKYPRRYKAFFYCNAASFMASVALILLLLNANLYRPGIRCYALYVCMVAGMFGLMAAYAAGSSLHLKTSMISLALVIAVFAALVFLAIRLYQVSNKEAGAGKMDRDNKPTAQGAEEEKQQKAKKDNIMLEYLMLVGILGASVTYLTGLKPPGGLWREDGDGHSAGNPVLYDISKPRYNAFFYSNSTSFVASIVVMALLLSRMMWQWHDRRLPLWPMHTAMFLGMVALLVAYAAGSARDACTSWKVIVLLVPIVGFVMCLFAFNKKQQE >Sspon.06G0005720-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:17862089:17868500:1 gene:Sspon.06G0005720-3C transcript:Sspon.06G0005720-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dephospho-CoA kinase [Source:Projected from Arabidopsis thaliana (AT2G27490) UniProtKB/Swiss-Prot;Acc:Q9ZQH0] MRLVGLTGGIASGKSTVSILFRDSGVPVVDADVVARDVVQKGTRGWKKIVKAFGNDILLENGEINRALLGQIVFSDPSKRQLLNRLLAPHISSGIVWEIAKLWMEGCKVIILDIPLLFETKMDRWTNPVIVVWVDPKVQIERLISRDGCSEEQAQNRINAQLALDWKKSEADIVIDNSGSLDDTKEKFQEVLRKVSEPLTWKERLRSRD >Sspon.05G0002990-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:8914463:8917857:1 gene:Sspon.05G0002990-1A transcript:Sspon.05G0002990-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGLLACASTLARRAASAPARVRHLAGAAEAAEAELKKTALYDFHVAHGGKMVPFAGWSMPIQYKDSIMDSTVNCRANGGLFDVAHMCGLSLRGRDAIPFLESLVIADVAALRDGTGTLTVFTNKKGGAIDDSVVTKVTDHHIYLVVNAGCRDKDLAHIEEHMEAFNKKGGDVKWHIHDERSLLALQQGPLAAPTLQLLTKEDLSKMYFSDFKLIDINGYSCFLTRTGYTGEDGFEISVPSENAVDLAKAILEKSEGKVRLTGLGARDSLRLEAGLCLYGNDMEQHITPVEAGLSWAIGKRRKAEGGFLGADVILKQLQEGPKIRRVGMITQGPPARSHSELVSSSGESIGEVTSGGFSPCLKKNIAMGYVKSGMHKAGTEFKVVVRGKSYDAVVTKMPFVPTKYYKPS >Sspon.07G0015410-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7A:55248763:55252958:-1 gene:Sspon.07G0015410-1A transcript:Sspon.07G0015410-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTRQSMENKYRPRRSDSQPLARAAHTPASLCRRAAACLRCRAPAPRRRAPMHPLPRLRARARTGRTEPARPLPRALRATPRHLNRRRAHTRFAHSFSMQFVQEEPVEAEPEYADRLPRMDDREWIYTGRSSQSDFTTEWMMKTNDFLELAFGESAKGAFLVLCPCKRCANRKRKNKVDMGKHLLKNGFTPDYTRWVHHGEAHRLREEVVRPRVKDFDAAAGVADMLDDFHEGQYTKGCMEEMEATAKAFYDMMSSAQKPLHDQTTVSQLDAIGCLMGFKSDMSREHFDSMLTVIGSLLPDGHILPKSMYLEVDSGDGQKRQLTIPVKILRYLPFVPRIQRLYMTEESVKQMTWHKKGKRYNADKLVHPSDGEAWTRFDCIYREKADEARNEHMWTHKSGLTRLPYFDDLLLPHNIDVMHTEKNVTEALWGTIMETEKSKDNPKARVDLATLCDRPKQEMQPPRGGKTWKRPKTDFVLARKYRREVLEWMQTLMFPDRYAANLRRGVNLSTLRVNGMKSHDYHIWLERLLPAMVRGYVPEHVWLALAELSYFFRQLCAKELSQTMIDDLENEAPMLLWKIESSIAEAYILEEVSNFTEKYYAENLPSVHNPPPRYNAGENESNLSLFQGQLGSASASTTKTLTHKEWRCIMIYVLNNLDEVAPYIGEFIHHFWNQSRDPTPQEADTLLSKGAGPGRPDFISWLKNKAQIDASISGELRQVANSCAYRVKSFTGYDVNGYRFHTASYKQSRPNRRTTTSRVFTPGTDGLDYYGRIEGIYELSFVNAIG >Sspon.05G0019950-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:80544504:80547127:-1 gene:Sspon.05G0019950-3D transcript:Sspon.05G0019950-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMTVLAMLAAAAVVAAALLLSSPDDSRCDVAVLEIGGGDGRVELVPVDAGAAGPESLAFDGVGGGPYAGVSDGRVLRWVPGERRWEEHSSSCAPELLDSCRGSQDPGREHECGRPLGLKFNHQTGELYVADAYHGLRVVSPDADKVSRPVAPQWWQGKGRTFCFANGVEVDPDAGAVYFTETSTRLQRREFLRIVISGDTTGRLLRYDPKSGKVEVMVDGLAFPNGLAMSRDGTHLLLAETTTGRILRYWLRRPAAKANAPALEEVARLPWFPDNIRMSPRGGFWVGLHARRGRLAEWCISYPWLRRLVLSLPTRHVQRASWQLNRLGRQVIAVRLSEEDGKVMEMVSVHGDLQKVFRSVSEVEERNGSLWIGSVMSPFLGVYKL >Sspon.08G0009040-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:34551312:34557461:1 gene:Sspon.08G0009040-3D transcript:Sspon.08G0009040-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carboxyl-terminal-processing peptidase 3, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G57680) UniProtKB/Swiss-Prot;Acc:F4J3G5] MEMVECSVVATLAPCPLPGRVRKALPRPAVLGGRARLRVRSAGLGREQPPPPQQAAVRASGQDHGGRALRQAAVGLAAAAVVSLTGFSGDVSPLPTPPARAESLTVAFPISKAREVNRVQKTLVETWGLIRETFVDPTFNHQDWDQKLQQTMVEIFPLKSADAAYSKISGMLSTLGDPFTRIISPTEYQSFRIGSDGNVQGVGVFINKEPGSGRLLVMDCIQGGPADRAGIHEGDELVEIDGKSVSGLDGEAAAQRLRGRVGTTVKVKLLDGTDSKRGGSLRQKEVQLSREIINLSPVSTAIISHRSDDGHECKTGYVRLASFSQGGLVKAGLDVAQIWLDGDETLVNTIDRDGNVLPINMIQGHSLTHDPLVVLVNEGSASASEILAGALHDNGRAILSVTELDDGSALFITVAKYLSPALHEIDQVGIQPDIQCSPDILSLPRAPSLRENSEATNLEMDSCIMVAEQALEIEQTKGSAS >Sspon.03G0025250-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:100239937:100241850:1 gene:Sspon.03G0025250-1P transcript:Sspon.03G0025250-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPVAKLGTLALRTLSKPIASRLKSQAAVHPKFRNFIIAIAQVAVAALIFEVQRSARSEARKEEARKQELEELKQRGESLAKELEDLKVKLSEIERLAKGRGLTGILNLKGVHGAEGFKAATPA >Sspon.04G0019860-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:72650072:72654153:1 gene:Sspon.04G0019860-2B transcript:Sspon.04G0019860-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAKDAAVALSSGHRMPAVGLGVWRMDKPDVRGLIHAALRVGYRHLDCAADYQNEAEVGDALAEAFQTGLVKREDLFITTKLWNSDHGHVLEACKDSLKKLQLDYLDLYLIHFPVATRHTGVGTTSSALGDDGVLDIDTTISLETTWHAMEELVSMGLVRSIGISNYDIFLTRDCLAYAKIKPAVNQIETHPYFQRDSLVKFCQKHGICVTAHTPLGGSTANTEWFGTVSCLDDPSLAEKYGKTPVQLVLRWGLQRNTVVIPKTSKLERLQENFEVFDFDISGEDMEKMKAIDRNYRTNQPAKFWGIDLFA >Sspon.07G0007410-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:15261276:15265392:1 gene:Sspon.07G0007410-3C transcript:Sspon.07G0007410-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MIAAVNRVPAGWGEDEMSVLPRHTKVVVTGNNRTKSVLVGLHGVVKKAVGLGGWHWLVLTNGIEVKLQRNALSVIEPPTGNEEDDKFDCENMQWNSSDMASDDAQSPKPQRSRSRQHRGFHRKSLSRSMSCDSHSKTSVSSSSRAHTKVDLSKLELTALWRYWRHFNLDAYPNPSREQLVDAVQRHFTSQQLDELQVIVGFVQAAKRLKTTMKVA >Sspon.02G0030000-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2B:105676446:105680219:1 gene:Sspon.02G0030000-2B transcript:Sspon.02G0030000-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVALVSTVLKIVGTKLAPLAIKELSSKAGVAKDLQELQDLVEEINNWLQTVGDKAIRNGRSSKWLKKLKEAAYDTEDLVHEFQIEAEKQDIKITGGKNTLVKYLFTKPKSAVTEFKIAHKIKAIKSRFDAIVKGRSDYSTIANSMPVDHPVQHTRKTIGEVPLYTIVDETSIFGRDQEKNRITSELIETNNQQRIKIVSVIGLGGSGKTTLAKLVFNDCNIINHFDVLLWVHVSREFVVEKLVEKLFEAIAGGMTDHLPLQHVSRTISDKLAGKRFLAVLDDVWTDDRVDWERFMVHLKSGAPGSSILLTTRSRKVAEAVDSSYAYDLPFLSKEDSWKVFQQCFGIAMKALDAEFLQVGIEIVNKCGGVPLAIKVIAGVLHGMKGIEEWLSISNSNLLDGQDEECRVFACLWLSFIHLPDHLKPCFVHCSIFPRGYVINSRHLISQWIAHGFVPTNQARQPEDVGMDYFDSLLKVGFLQDEDQYWSASGDVACKMHDLIHDLARQILKDEFVSEIETNDQMKKCRYLSLTSYTGKLDKKLCGKVRALYVSGRDLTFDKTMNKQCCVRTIILKYIPADSLPLFVSKFEYMGYLEISNVNCEALPEALSHCWNLQAIHVLKCTRLAVVPETIGKLKKLRTLELNDVRSIKSLPQSIGDCDNLRNLYLQDCGGIKDIPNSLEKVENLRILSIVNCYNLEKLLPPESFGKLWNLQTLTLKSCWSLLNLPQCMTSLSHLESVDLGYCYQLVELPECIGNLRNLRVLNLKKCEKLCGLPAGCGQLTRLQQLSLFVIGDSTKHARISELENLDKLDGELQIKNITYVKDPGDTDKVYLKKKNGIQKLSLDWYSRWEVQPNDVEEELSLNMEKDLHLLNSLEPPSKIEKLRIRGYRGSQLPRWMTKQSDSCGPADDRHIVMQRNPSEFSHLTKLVLDSLPNLEHLGELVDFPLIKILKLRGMPKLVELLLTTSGFATGEEGVEVQCRFPHLSTLVISDCPKLVVKPYFPLSLQSLTLEGSNGQLVSSGCFFQPRHRHAAHAHGDESSSSSYIVDVTGTHLKELYLHRLMGSSSGWEVLQYLTGLHTLKIYMCTDLTHLPESIHCITSLRRLWIARCDNLRVLPDWLVELKSLQSLTVQSCNALQQLPEQLGELCSLQNLSIYSLPSLTSLPESMQRLTSLQFLDLYDCGALTQLPEWLGELSALQTLWLYSCGGLTSLPRSIQRLTALKELWISYNPELIRRCREGVGEDWHLISHIQDIRLQD >Sspon.01G0019770-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:75422913:75427705:1 gene:Sspon.01G0019770-3C transcript:Sspon.01G0019770-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVAMVSTRASLLILILILSLCSPYKFIQSPMDFGPLNLLPTTTTASSDFGRILFHSPAAVLRPQSSGDISMLLSFLSGSSLSKVTVAARGAGHSIHGQAQALDGIVVEMRSLPAEIEFHRGGEGQVSYADVGGGVMWIELLEQSLKLGLAPRSWTDYLYLTVGGTLSNAGISGQTFKHGPQISNVLQLEVVTGRGEIVKCSPSKDADLFNAVLGGLGQFGIITRARILLQDAPQKVTWVRAFYDDFSIFTRDQELLVSIPDLVDYVEGFIVLNEQSLHSSSIAFPASVDFNPDFGTKNSPKIYYCIEFAVHDYQHKNTNVHHVVEVISRQMSHMVSQLYSVEVSYFDFLNRVRMEEMSLRSVGLWEVHHPWLNMFVPKAGVADFRDLLMDNISPDSFEGLILIYPLLRDKWDTNTSVVMPDSGSTDRVMYVVGILRSANPEPEDGCSHHCLQELLRRHRRIADAAGARLGAKQYLAHHPSPAGWHQHFGRRWERFAERKARFDPLRILGPGQGIFPRTQAAAASGSY >Sspon.05G0007240-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:14258610:14262830:1 gene:Sspon.05G0007240-3C transcript:Sspon.05G0007240-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGVTVADKAEFKECLRLSWTQPYILQLVLSAGIGGLLFGYDTGVISGALLYIRDDFAAVEKSTVLRETIVSMAVAGAIVGAAFGGWMNDKFGRRPSIIIADALFFAGAVIMAFSPTPNIIIVGRVFVGLGVGMASMTAPLYISEASPARIRGALVSTNGLLITGGQFLAYLINLAFTKVPGTWRWMLGIAGVPALVQFVLMLMLPESPRWLYRKGRKEEAAAILQKIYPANEVEQEIDAMLRSVEEEVLLEGSIGEHGLIGKLRKALGSKVVRRGLLAGVIVQVAQQFVGINTVMYYSPTIVQLAGYASNNTAMALSLITSGLNAIGSIVSMFFVDRAGRRRLMLISLVGIVVWLAVLGGTFLGAAHHAPPVSDVETRLLANQTQTCPEFNPNVRWSCMNCLKAASTCGFCAHQGDKASCCCTFILAESLTTSSELQLLPGACLVLNDASRHACRADHREFYTEGCPNNFGWLALIGLGAYIVSYSPGMGTVPWIVNSEIYPLRFRGICGGIAAVANWVSNLIVTQTFLSLTKALGTSATFFLFCCVSFLALIIVFLTVPETKGLQFEEVERMLERNDYKPWKRYHGGSSIEPAKNIYMWSEIPVLVEKMVLQSITHRFLSQGSGAILPTDCT >Sspon.06G0004240-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:11680305:11693223:1 gene:Sspon.06G0004240-2C transcript:Sspon.06G0004240-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMVDSMDAARIIDYFKGKCILITGSTGFLGKNTELFGLLREKHGKGFQQFVDEKVVALAGDIIYDGLGLEPPVLDALAKDIDVIVNIAATTNFYERYDVSLDVNVMGVKHLCTFAKQCARLKLLMHVSTAFVSGFREGLILEKPIKPSESLREGTYLDVDTELRLVKEVKKSLAMANATSDGGGDDAHTDKITERKAMKELGLQRARHFGWSNTYVFTKAMGEVVLGQQLRGGGGGGDSDIPVVVMRPSIITSVRDDPVPGWMQGTRTIDSIIIGYAKQNLSCFLADLGLVMDVIPGDMVVNAMMAAVVAHSGERGAPQVVYHVTSSLRNPATYDVLYQSGRRHFYENPRVGKDGRVIPTREMYFFNTIARFHLYMILTYKIPLEILHLVNLLLCGLFSRLYNDLNRKYKFVMLLVDVYGPFAFFKGCFDDMNLERLRLTMAMKTPEDQMFNFDPKTVDWDDYFTKIHIPGVLKAAATKYNPSDNSSDNSLCIELLQEVQLMWR >Sspon.04G0013830-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4C:55000658:55002082:-1 gene:Sspon.04G0013830-2C transcript:Sspon.04G0013830-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSMGEGYSLKTSTELLKVLNRIWSLEEQHTDNLSVVNGLKLELQQAQTHVQELMQERRRYRHEVSSLMRQLSENKLVRKNKDHMKVDAAVHSLQGELEDEKRLRKHSEDLHRKLGMELSEIKSAFLKSVKDLEKEKKGNRLLEDLCDQFAMGIRNYEEELRVVKQRNVKSYELNFDNSVLHISELWLDERMQMQNTDVNGELAHNTTITERLSSEIQAFLLSKKAGSSTNNEKHMNDNTRLRRQSLESVHLNGATSAPQFAEDDDDSIASDLNCFELNMHGHMGSHRSGTGAMDVHKRRSEHPHGIAAEGSRMSSVPVYSQKGVARPSSSKLQHASKIPEINSQSNARITPAEEQNGSTNTQLIRGSNHGSTKNNPNVHHVDFLGQESFDHFSRTSLFCEGTTSGDLGNVGSPTRQLKYQSTSLDPEITECSPEQPVGVMENTLKAKLLQARLEGRHARLKASGGSSTSRRK >Sspon.05G0022540-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:3532225:3534575:1 gene:Sspon.05G0022540-1B transcript:Sspon.05G0022540-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMDNVFWEEIGLGDLVKGNCAKIVLLFLVILLVLELGLLPGTPVGTSLIDAHAGGVGVMESIPDAGSKAVSDEHAICHRIVLVCGTSTCHMAVSKNRLFIPGVWGPFWSAMVPEFWLTEGGQSATGALLDYIIENHVASPLLSNHAASQSISIFELLNKMLLSMSHEQNSPFLSALTQDTHVLPDFHGNRSPVADPKSKGVIYGLTLDTSEKHLALLYLATIQGIAYGTRHIVEHCNAHGHKIDTLLACGGLAKNSVYIQEHADITGCSIILPRENESVLLGAAVLGAVAGKKFPGVRDAMKALNAAGKVVNPSSDPRVKKYHDAKYQIFRSLYEQQLSHRATMTQALQ >Sspon.01G0026470-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:77575598:77580455:1 gene:Sspon.01G0026470-1P transcript:Sspon.01G0026470-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVEVPAAAVATTTPEAAATEGGAATEAKGPHKLHRQWTFWYDIQSKPKPGAAWGTSLKKAYTFDTVEDFWSLYDQIFRPSKLSGNADFHLFKAGVEPKWEDPECANGGKWTVPCNRKATFENMWLETLMALIGEQFDETEDICGIVASVRARGDKLALWTRTASNEAVQVNIGKKWKDVIDYNDKITYTFHDDSRREKSSRGGRYTV >Sspon.01G0049680-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:113734036:113739618:-1 gene:Sspon.01G0049680-1B transcript:Sspon.01G0049680-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRALLADGGMALAVAPEANESSGGAAVSLGPLWWASECHGVLYSLAVMLPSLAFVGFLAWQARRSFRRLSYGHSHVVVVAYYALLWGVAVLNLLWCFLQAWQCMPDRAFSWNVLSLFTKSGMLFLEVSLIAFLLQGNDASGFESLARTFVISGAVVAADVLLKTIYVFGFGVPLFIDVDQGTGGKWGLWILHKLVLTGVYGLIVFMHHSRWRDRLPAKPAYYSYVCAMLLLNGLSLFGCFLVASGAGFGLWLYNLTTVCYHSLYLPLLYATFLADFFQEEDMLLENVYYSEMKDAGFFDADWD >Sspon.02G0030800-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:113030037:113032547:-1 gene:Sspon.02G0030800-1A transcript:Sspon.02G0030800-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQELIQVTRSKEGRPRRPWLPTFFLSPPLTSFLFLVAAAGQYGDPSFAFCSTTGNYTSGSQYMKNLVQLLAVLPVAASDDNGWFYKGSTGAGADQVFGLIMCFADTEAFNCLDCLSGAPAGITTACPGSRNAKAVYDACVLRYSAAPIPATAADLDYVVPITPLVPNTSDAVRDAWVPLMSKLTGGVAASPLRISISSTPYSGPKEMYGLAQCTRDLNADECSTCISNYTEKLGNMFPNNTFGALKGYSCYLRYQVGAFHVTLPPVPAPPPAPGAHPAIRGNRCLRTRVAIGMSVGLVSFVIILGFMTTCLLLQRRRQRTAKLNGEASKQETQDLFDDERDMVDEFEKGTGPKRFRYGALAVATDNFSDKHKLGEGGFGSVYRGFLQEIKLHVAIKRVSKSSKQGRKEYASEVRVISRLRHRNLVQLIGWCHGGGELLLVYELMPNGSLDKHLYGATNDDGVVLPWPHRHEIVLGLGSALLYLHDEDIKPSNVMLDASFHAKLGDFGLARLVDHGRGSHTTVLAGTMGYMDPECTVTGRFNTESDIYSFGVLLLEVACGRQPVVVLPNDTVVHLAQRVSELQERGLVLHAADPHLNGEFDPKEMERMLLDRNLRPSIRQAVSTLRFEAPLPSLPERRMPVATCVPVPLGLLNSNRHYYYVSQHHS >Sspon.03G0009040-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:4615451:4622457:1 gene:Sspon.03G0009040-2P transcript:Sspon.03G0009040-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNLITLVNKLQRACTALGDHGEESALPTLWDSLPAIAVVGGQSSGKSSVLESVVGKDFLPRGSGIVTRRPLVLQLHRIDGDREYAEFMHLPRKRFTDFAAVRKEIADETDRETGRSKQISSVPIHLSIFSPHVVNLTLVDLPGLTKVAVEGQPESIVQDIENMVRSYIEKPNCIILAVSPANQDLATSDAIKISREVDPKGERTFGVLTKIDLMDKGTDAVDILEGRSYRLQTPWVGVVNRSQQDINKNVDMIAARRREREYFATTPEYKHMASRMGSEYLGKMLSKHLEQVIKSRIPGLQSLITKTIAELETELNRLGKPIANDAGGKLYTIMEICRMFDSIYKEHLDGVRAGGEKVYHVFDNQFPVAIKRLQFDKQLSMENVRKLITEADGYQPHLIAPEQGYRRLIESCLISIRGPAEAAVDAVHAILKDLVRKAINETHELKQFPTLRVEVGNAAFESLDRMRDESKKNTLKLVDMECSYLTVDFFRKLPQDVEKGGNPSHSIFDRYNDSYLRRIGQTVLSYVNMVCSTLRNSIPKSIVYCQVREAKRSLLDHFFTELGAREMKQLSKLLDEDPAVMERRTNLAKRLELYRSAQSEIDAVAWSK >Sspon.08G0014440-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:59121595:59139411:1 gene:Sspon.08G0014440-1A transcript:Sspon.08G0014440-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEASLHPCPFYNSPRRGVCRPTTASQTVKAPVGVWDWERAPDRRLVGGGGASLRTRTTNTQGRAVPRLVDGINAAGGSDPGISTVKIGHVPRIISAVKIGHMDFLKSSWMMMYICGTPFCSNQAIASCGWKDIFDSSTFTNHVLEMGISTLIIIILALQLLVRVTRSRASARQQLVALSSPLQLAAVVFNGCLGLIYLGLALWMLGRNFSQHASVHLPHWWMVTLSQGFCLILISFAFSIRTHFLGPIFIRVWSVLLTIYAGFICCSSVVHMVADKVLTMKACLDVLFLPGALLLLFHGIWHVREDGDGGTESALYKPLNTETVDDERADSQSHVTPFAKAGFFSVMSFWWLNPMMKMGYEKPLEEKDMPLLGPSDRAYSQYMMFLEKLNRKKQLQAHRNPSIFWTIISCQKSGILVSGLFALLKVLTLSSGPLLLKAFINVSLGKGSFKYEGYVLAVTMFICKCGESLSQRQWYFRTRRLGLQVRSFLSAAIYKKQQKLSNSAKLKHSSGEIMNYVTVDAYRIGEFPYWFHQTWTTGVQLCIALVILYSAVGLATIASLGVIIITVACNAPLAKLQHKFQSKLMGAQDVRLKAMSESLIHMKVLKLYAWETHFKKVIEGLREIEIKWLSAFQLRKAYNSFLFWTSPILVSAATFLACYLLKIPLDASNVFTFVATLRLVQDPIRQIPDVIGVVIQAKVAFTRITKFLDAPEMNGQVRKKYCVGDEYPIVMNSCSFSWDENPSKPTLKNINLVVKAGQKVAICGEVGSGKSTLLAAVLGEVPKTEGTIQVCGKIAYVSQNAWIQTGTVQDNILFGSSMDRQRYQETLERCSLVKDLEMLPYGDRTQIGERGVNLSGGQKQRVQLARALYQNADIYLLDDPFSAVDAHTATSLFNEYVMGALSDKTVLLVTHQVDFLPVFDSILLMSDGEIIRSASYHDLLAYCQEFQNLVNAHKDTIGVSDLNRVPTHRANEISIKETIDIRGSRYRESAKPSPTDQLIKTEEREMGDTGFKPYILYLRQNRGFFYASLGIICHIVFVCGQISQNSWMAANVENPDVSTLKLTSVYIAIGIFTVFFLLFRSLAVVVLGVKTSRSLFSQLLNSLFRAPMSFYDSTPLGRVLSRVSSDLSIVDLDIPFAFMFSASAGINAYSNLGVLAVVTWQVLFVSVPMIVLAIRLQRYYLASSKELMRINGTTKSALANHLGESIAGAITIRAFQEEDRFFEKNLELVDKNAGPYFYNFAATEWLIQRLETMSAAVLSFSALVMALLPQGTFSPGFVGMALSYGLSLNMSFVFSIQNQCQLASQIISVERVNQYMDIPSEAAEIIEENRPAPDWPQVGRVDLRDLKIRYRQDAPLVLHGITCTFNGGDKIGIVGRTGSGKTTLIGALFRLVEPTGGKIIIDSIDITTIGLHDLRSRLGIIPQDPTLFQGTIRYNLDPLGQFSDQQIWEVLGKCQLLEAVREKEQGLDSLVVEDGSNWSMGQRQLFCLGRALLRRCRILVLDEATASIDNATDAILQKTIRTEFRDSTVITVAHRIPTVMDCDMVLAMSDGKVVEYDNLRSSSKPKDLFSVSSSKSTGHTHRMEIFRRNCDSVHDQGIDIHRNIRN >Sspon.06G0006300-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:21919624:21921980:-1 gene:Sspon.06G0006300-1A transcript:Sspon.06G0006300-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMLPIKPLDGADGYLRVGVAHVLSDEPPPGPAPAEAAGSAAAAAAAAKKWARDDAVCRGHILYALSDRIFPDYVRHGTGRAVWQAVARTYDLDASFVLYPRQYERFLHRFRFEEKEGAASFLEQLAHAEALVATMDPPPSDYAMASRIYGKLPADMATRIRCGEMSMGRIWKSALLREETRAHSVGGGGPKPKGTLGLRRLAWSSRSSIGRRLGIAIVAESVLLRLHTLGVAHVLSDDPPAPAPALPAGDVAFRATAKKWARDDAVCRGHILAALSDRLLPDYARHVTGRAVWQAVARTYDLGVATPSVSWQRFLDFHFDDDEGASLLEQIAHAEALAAAADHPLVSGSVLVRMLCQKLPADVGFRAMVGSVPGRETMDAVWAVARAKEESRILKDLQRRRQRCKRRIKRVRSTGGTAEKHGHMAKNRMS >Sspon.01G0032970-3P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:111907381:111911291:1 gene:Sspon.01G0032970-3P transcript:Sspon.01G0032970-3P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGDSTNLDAAIESLLNVEKQMRLAGDVAGTRKAVIDIVELCYKAGAWKTLNDQIVLLSKRRGQLKQAITAMVQKAMDYIDLTPDIDTCIELIKTLSSVSAGKIYVEIERARLIRRLAKIKEEQGQIDEAADLMQEVAVETFGSMAKTEKIAFILEQVRLCLDRQDYVRAQILSRKISTRVFEADPLKEKKKPKEGDNIVQDAPADIPSLLELKRIYYELMIRYYMHNNDYLEICRCYKAIYDIPAIKEDPTKWIPILRKICWYLVLAPHDPMQSSLLNATLEDKNLTEIPNFRLLLKQLVTMEVIQWTTLWEFAKHEYENEKNLLGGALGAKAAEDLKLRIIEHNILVVSKYYSRITLKRLADLLCLSLQEAEKHLSDMVNSKSLIAKIDRPMGVVSFQTAQDCNGTLNSWATNLEKLLDLVEKSCHQIHKETMIHKAVLKA >Sspon.01G0004740-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:11886045:11894818:-1 gene:Sspon.01G0004740-2C transcript:Sspon.01G0004740-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vacuolar protein sorting-associated protein [Source:Projected from Arabidopsis thaliana (AT4G27040) UniProtKB/TrEMBL;Acc:A0A178V291] MRRRPGIAGLQNAVATRDQFRLVGENVAKVRTDVMKEQLATFRSQLEEFARKHKSDIRKNPVFRQQFHEMCAKVGVDPLASNKGVWAELLGIGDFYYELGVQIVDICIATRSHNGGLIDLLDLRKLLGQKRKATLESLSEDDCLRAISKLKVLGSGFEVISVGRRKLVRSVPTELNKDHSGILGLAQAEGYVTVEHVEREFSWSTGRAIDALETLLKEGLAMIDDGHRDGKRRYWFPCVTVSSDTAAVENCNITALFHLSLRRLHRFRLPWGHHLPPLPPHPPLRARVPRNRHHRVLPLPPEAATPPLLRRVAPPPQGPRVIISDDLAAVLFLPGRPNVQHYHPGDALWRVATADAPHIVDDMLFVDGTLYALVNGLRLATVELSDSLLELSFLGEEADDDNKLAGGQFTLGECGGDVLLISQDHTEMMLYHVYQWVFEVGKSALHIPKAARIFINHTQKEDSLIPEPKSEADHTKES >Sspon.07G0031560-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7C:24634740:24636309:-1 gene:Sspon.07G0031560-1C transcript:Sspon.07G0031560-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ALAAMSGDSQISPVPSSVREALLDPHWRRAMEEEYAALLANQTWDLVPRPPGSNIVTGKWIWTHKRRADGTLERYKARWVLRGFTQRPGVNYDETFSPMVKPATVRTVLSLALTRGWAVHQLDVKNAFLHGILTETVYCSQPAGFVDSSRPDMVCRLNKSLYGLKQAPRAWNHRFAAFLLTLGFVEAKSDTSLFIYHHGTDTAYLLLYVDDIVLTASSESLLRRIITALQQEFAMKDLGVLHHFLGLSEAEDNPVIDPTAYRSLARALQYLTFTRPDITYAVQQICLHMHDPREPHLIALKRILRYLRGTVDFGLLLHRRSSSTELVVYTDADWGGCPDTRRSTSGYAVFLGGNLVSWSSKRQQVASRSSTEAEYRAVANGVAEASWLRQLLGELHSPLLRSTLVYCDNVSAVYLSTNPVQHQRTKHVEIDLHFVRDRVAIGEVRVLHVPTTSQFADIFTKGLPSSTFTEFRSSLNITSG >Sspon.02G0005320-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:16838153:16840303:1 gene:Sspon.02G0005320-1A transcript:Sspon.02G0005320-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGVVVNAGGGKDYPGKLTMFVLFACIVAATGGLIFGYDIGISGGVTSMNPFLMKFFPSVYHKEQEAERNQSNQYCKFDSQLLTMFTSSLYLAALVASFCAATVTRVAGRKWSMFGGGVTFLVGAALNGAAKDVVMLILGRVLLGIGVGFANQSVPVYLSEMAPARLRGMLNIGFQLMITIGILCANLINYGTAKIKGGWGWRVSLALAAVPAAIIAVGALFLPDTPNSLIDRGYTDDAKRMLKRVRGTEDVEEEYNDLVAASEESKLVAHPWRNILQPRYRPQLVMAIAIPMFQQLTGINVIMFYAPVLFKTLGFADDASLMSAVITGLVNVFATFVSIVTVDRLGRRKLFLQGGTQMLACQIVVGSLIGAKFGFSGVAEIPKAYAAIVVLFICAYVAGFAWSWGPLGWLVPSEIFPLEIRSAGQSINVSVNMLCTFIIAQAFLPMLCRFKFILFFFFGAWVVVMTIFVALFLPETKNVPIEEMVLVWKAHWYWGRFIRDEDVHVGADLEMPSANGNGNGKVGAGKLGGMQ >Sspon.07G0014380-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:51275910:51283648:-1 gene:Sspon.07G0014380-3D transcript:Sspon.07G0014380-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTYRVCCFLRRFRAASNEPSEGVRDVFQPYADGGGVVGEEALRRFLREVQGETEAGADAAAKEVMAFAAEQRLLKKGGLTAEGFHRWLFSDANAAVDPRRGVYQDMGLPLSHYFIYTGHNSYLTGNQLSSGCSERPIVKALLDGVRVIELDLWPNAAKDDVEVLHGRTWTSPVELIKCLEAIKEHAFATSPYPVILTLEDHLTPDLQAKVAKMLKETFGEMLYVSESEHMAEFPSPDELKGKIIISTKPPKEYLETKSGKEEEAAEQEGGVWGEEISDDKTTARQMSEQFSGKYVAAEEAAGAEEETEAEAEKKARQGTDNEYRRLIAIQLTRRKHDMEQDLRVDPDKVTRLSLGEKAYEKAIVSHGDHIIRFTQRNLLRIFPRSTRITSSNYNPLMGWRYGVQMVAANMQGHGRKLWLTQGMFRANGGCGYVKKPDVLMNSDPAGGKLFDPSRADLPVKTRLKVTVYMGDGWRFDFRKTHFDRCSPPDFYVRVGVAGMATDTRMEQTRVVMDSWIPAWDHEFGEFPLAAPELALLRVEVHESDNHQKDDFGGQTCLPVWELRPGIRSVRLSDHKGQPLRSVKLLMRFEFFPSPDSNSNSKQ >Sspon.06G0010750-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:42133849:42138993:-1 gene:Sspon.06G0010750-3D transcript:Sspon.06G0010750-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAGPGPAAVLAVVVLACALGARADGSDHKYKEGDHVPLYANKVGPFHNPSETYRYYDLPFCAPGIQIPTFSAVVCFSDSLTGSDHVLLLSVKCWLVIEHPKDKKEALGEVLNGDRLVDAPYELDFKEDRNSKVLCKKVLSKEQVAKLRDAVAKDYYFQMYYDDLPLWGFLGKLDKDKEQGDAKYLLFKHIHFDIMYNDNRVIEINVQTDPNVAVDITEDKEVPIEFSYSVTWKKTDIPFEKRMNKYSKSSSMPQHLEIHWFSIINSCVTVLLLTGFLATILMRVLKNDFIKYSHEDESLEDQEETGWKYIHGDIFRFPKQKSVFAAIIGSGTQLLALAIFIFLLALVGVFYPYNRGALFTALVVIYALTSVAIAYSATAALPFGTIIVIILIWALVTSPLLVLGGIAGKNSNTEFQAPCRTTKYPREIPQLPWYRSTIPQMAMAGFLPFSAIYIELYYIFASIWGHKIYTIYSILFIVFIILIIVTAFVTVALTYFQLAVEDHEWWWRSTSFFFGYMTCVCYGFFLMLGTVGFRASLFFVRHIYRSIKCE >Sspon.02G0017760-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:53778775:53787055:1 gene:Sspon.02G0017760-1A transcript:Sspon.02G0017760-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATSEEAIKQFSALMEQLEEPLKTTFQNVHQGNLRGTLLRFLKAREWSIPKAHKMLMDCLNWRVQNEIDSVLAKPILPSDLYRAIRDTLLVGLTGYSKQGQPVYAFGVGLSTFDKASPAASKKFGRQINTCLKVMDMTGLKLSALSQIKMLTMITTVDDLNYPEKTETYYIVNAPYVFSACWKVVKPLLQERTKKKIQVLYGSGRDELLKVMDHESLPHFCKREGSGSSRDSLDGVDCYSYDHPFHQQLYSYMRQQSLNQDSLGPRKQGSVHVDVPSPGLEEIKIAETIKAELQNLRGSNGLTHSFNSIQIE >Sspon.04G0032520-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4C:27971746:27972873:-1 gene:Sspon.04G0032520-1C transcript:Sspon.04G0032520-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRTVGVGLLRPQRRVPACHDTLPATPSWPPSTRRAAVLGLPQQGLWGAVGDGDDVGGTGLDQRTLARARQPTRRGCRLLLLLPSVWPLLLSLPAPMSPIRSLWHGARALGLPLGLTRCSMKSTPRFSLQPGLSPVDRWVLRRLGARLLRLRLLRGLRLCWWTLVHHHRWFTSTLRMLRRRGWRLRPPSSHVNDTGRLDVEAGDAALAVSEATLAVDLSLSGPDVCGSGETSSATVLAGRSPPAMPAVAAAALSIEECLEERLCLPLQTPLIHGPPRLRRPRTPARLTSLRRSERIAAQPREADVTKQAQRVLMNKLGLEAPSPNVDSDTVRKYKAAFREPLSDSTHDALQLLLGGEFDPVAMELNMIGLDDEDK >Sspon.01G0023960-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:86004264:86006017:1 gene:Sspon.01G0023960-1A transcript:Sspon.01G0023960-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKAGKWLRSFLPGRRGRDKAGAHAPDLALALALPGPATTTPASTPGAKEKRRWSFRRPAASPGPGPAAKDAAAQGRLAPYGFLEPRVVDPDQHAVAVAIATAAAAEAAMAAKQAAAAVVRLSASAPGSKRTVIGIEDAAAIKIQAVFRSYLRPLARKALCALRGLVKLQALVRGHLVRRQASHTLRCMQALVAAQNRARAARLRMLEDDKPVRTPRTTPTRRSSPHHPRLRHHQDVAEENVKIVEVDTGAGGVEAQGTPRTSSRRSSCYATPLCRTPSKNELYQKISPTPSALTDASARTYSGRYDDFSFATARASPYRYAPSRQQHHQHDEGADDKPAADHALLVPSYMANTESSRAKARSQSAPRQRLSVSSSSAAAEAAWQPSGRRRASLEVQAQATPSARGLVAPRYGPARAAVPVAGQRARGVPLGRQARPVERIGARQRVRVHEHGHDRRHHHREDEKLPSVHVGCREWDVRMLL >Sspon.08G0021310-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:37174384:37175854:1 gene:Sspon.08G0021310-1B transcript:Sspon.08G0021310-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AASSTQEATFRFHEHNASSRCSPPPPPRPRRVSGVSRPRPRRRRDPQHHGHLAAHPDLTDFSAALVSTGAAAEIDRRQTITVLVVDNAVMARLKAQKPDPKELERVIYLHVLLDYFDAAKLGSIQGGFAQVTSLYQATGKAQGSDGILNITVFTDGRVAAFTPSAPSNRLPTAFYQRSIKEVPSDIAVLQVKDLIWSPATADGAPAPARLTDLLSKNGCGGFAGLLAATADAVAAYDRSAGGAAGLTVFCPADKAVEAFNSTFKNLTADARLALLLYHGVAAHYSAQSLKAINGDVGTLATDGSKNHDEYNLTVRANGDTVKLSSGSASAATVTKTLLDKAPLAVYLIDAVLLPRELSNGGQGRTAPAPAPGSSPVHAPTPTPALAPPSPALAPVSPPPAHAPTPTPPLAPAPEAAPPTHRRRPAPSPEDTTPAPSPDEDGQPPADQKNTEQGTRRLGLLARRWRRRRRPCSSCGEGFKLS >Sspon.06G0032420-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:64343933:64344802:-1 gene:Sspon.06G0032420-2D transcript:Sspon.06G0032420-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PLA2-ALPHA [Source:Projected from Arabidopsis thaliana (AT2G06925) UniProtKB/TrEMBL;Acc:A0A178VVH8] IMGGRRRELAAGRYPLQQPAPLMRYGKYCGVSYTGCPGEVPCDAIDACCMLHDACVQATADDYLNLWCNQSLLDCVAAARPTAVAATFQGNRCNVTEVADEITTVVEAAVYARGILHKP >Sspon.05G0016410-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:66388465:66391436:1 gene:Sspon.05G0016410-2B transcript:Sspon.05G0016410-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAAAKEREHRAVELSASCQSRARRPPNPPPDAATPAHTCPTARPRLPYHVLPPAAPPPPSPPHTLPRTPMPPPRRLRLHLHAAFFLFLLPVFFLLLATRGPGAAASALSTFALAKADATTIVCALLPSAASPLLVDLNCTAAGGDHARQETYPSSHPFAALAGGEDFLCAVGPSGERAGDVDMRWWDLSADGGSRSKRVYMGPPLQALSSGGYRVCGVLDSGELHCWRWRGLNISRDLRFVAAAVGDGFVCGILKGTPASIRCFGNDTAAPEAVAGAPADGSFDVVAACGRRACALSTTGALTCWGRDPPRVDAAATGGYAALALGEAGVCGLRTNSTIGCFGDGVAHPPAELAHAQFLDVRAHGRAFCGVLMANYSLVCWGGHEFNATNRLVFGRVMPGPCVPMSSCQCGVLPGSANLCDEGRCVCVDCAFELNVARPNASVLPGKGGRSRRTMWIAIAAAAGAFLVFFAALQLALFLWCRCRRRRKHRRGNEQDTSGGAQQSLMPPRLGSSRSRGPGSVVEHFTLDMLHAATDGFSDDSRIGTGSFGSVYRGTLPDGREVAIKRAEESAKASSWASARPARRRDRETAFNSELTALARANHKNIVCLLGCCADSGERVLVYEFMANGTLHDQLHSRSPMAAAVSSWRGRLTIALGAARGIEYMHVYAVPPIMHRDVKSANILLDDAWTAKIADFGLSSVLDPSVGACAGGDDGAQQEPLYTGGTVGYMDPEYYRLQHLTDKSDVYSFGVVLLELMSGCRVVQRYAESATPKNVVEFAVPHILADDVARVLDPRLPAPTPEEAEALAYVGYLAADCVGPVGCDRPSMTEVVDALERALVACGAAPLSRTGTGRRPVLSRSGTDHRHCRRLKQTLQLLTKRSHSHCVIGHFHGPWCGPAARASPLGTPLSLGHGFPLKKAIEQERDQKALDAAAAAAADRAADRHMAPSCR >Sspon.05G0008380-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:25313286:25318094:1 gene:Sspon.05G0008380-1A transcript:Sspon.05G0008380-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKQILGRLPRKPGKTGDSREAAAAGNGTEPSNSYSVARSMDPGNKRTGNGDYPVPSGVTPNPVMNGAVVYHSNEPLPAFKDVPASEKQNLFVKKVNLCCAVYDFADPTKNLKEKEVKRQTLMELVDYVTSANGKFSEVVMLEITKMASINLFRSSSPTPRENKAIEGVDLEEDEPLMDPAWSHLQIVYEVFLRFVASQETDAKLAKRYIDHSFILRLLDLFDSEDPRERDYLKTILHRIYGKFMVHRPFIRKAINNIFYRFIFETEKHNGVAELLEILGSIINGFALPLKEEHKLFLVRALIPLHKPKCVSMYHQQLSYCITQFVEKDCKLADTVIRGLLKYWPVTNSSKEVMFLGELEEVLEATQLAEFQRCMVPLFRQIARSMNSSHFQVAERALFLWNNDHIENLIKQNYKVLLPIIYPALERNSRDHWNQAVRSLTLNVRKIFSDHDSSFFGECVQRFNDEELKQEESDSKREALWKRLEEMAASKPGENNPLGAPNGKPSQAAG >Sspon.06G0007300-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:25375007:25394770:1 gene:Sspon.06G0007300-3C transcript:Sspon.06G0007300-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G46400) UniProtKB/TrEMBL;Acc:F4KHG8] MEPEADAMALASDSAAPELRSSQLDFFKTRVRLLCDSNAGDFDAWVSLINAAEGTSADDIEVISLVYHSVLLEFPLCYGYWIKYAAHKARLCTTRYVVDVYEQAVHAVPHSVDIWVSYCGFGISAFEEPAHIRSLFERALSLVGKDYLCYHLWDKYIEFETSQKQLIQLATILINTLKFPTKKLHMYYESFRKFVTLLEQEVTSCVAEVLSEDTHASEMIGAEDSELDISTIIADLFDQKGGHLSPEALKHYLSAGERLYKRSSKIYKEICYFEASIKRPFFHVKPLDDDQLENWHQYLDFVEKNGDFDWAVKLYERCLIPCANYSEFWIRYSEYVDAKGGQEIANHALGRASSCFVKEVPTFCMYYALFKEQIGDALAARSLFTKARSYFSSGFYANINRLANMEKRMGNTKAASEIYETAIEDAMQKNNIELLPDLYSNFAQFIYAASHSIIEAKQVFVKGINRAPCKPLIKGFIQFMSTHGGPKDIPLLDSVISNAVTPGSDVSTALSTEDREDISLLFLEFVDLYGGIKELRKAWARHSKLFPHSTGNISRHYSTMGNSLQETNKRRKTEPLMVARDHSLAPKADDFSLKVDKESEPQVDKDIVDSGKGHRDAGEQKALEIVNSHKETIRAAQECTDMVHSEHILDKNGMQKQMNSHAKEETNQDLILHEQNDEKTNSCDVEAPVAESGDCDSPSKAIASSENINSQGKVIVESARSHLETVCSKYDSPSGSNMHKEGNSAGPARMSPELEERQHVEVQVKVDTEHDLSVSNANLERPFDGPNPTECDKEISAIGHGSQDHIQSSQSHELSACAKPSSLDLVKTKSDTVDFQAQLRHQLGNAQTHQSNNLSQNMQQQELTMAQNVQTSAQIHDQLMEGTGKWSMGNCLKLHRVMAPNCAAVNNLNYPTRQLLSHNAQITESFRVMWLLIKRPHKI >Sspon.03G0033930-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3B:66619423:66619771:1 gene:Sspon.03G0033930-1B transcript:Sspon.03G0033930-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RGGVAAGFFASACPLPSRRWWWLRAAGQGSTASGQQQSARPRSGSPRAGLPVAWRPPWQPDEDGDGPRVTYALVEHASRGRWRRQSAMVAVGAIAGGGARSCSLSKTETVGIDDD >Sspon.02G0060070-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2D:108239517:108241863:-1 gene:Sspon.02G0060070-1D transcript:Sspon.02G0060070-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RHAVIGDVDEDRVLGVGCHRGGDWGARGALANGPGGVENNQACKGSREPATVVMLLMANSPEEEWERQDEAGVCKQPANEQFSVKHEQNLGCGSGYVKLLGSDVDQKKFGGDTPPRCILLDNAEKQSGSIYDDWDILPPKKIKDPEGKKKTGMIKKAYIPDPGDKKPEPFFRFGNTAYLYISAAFIQILKAECVHMLFPL >Sspon.01G0001210-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:3582315:3583114:-1 gene:Sspon.01G0001210-3C transcript:Sspon.01G0001210-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MCPAPVPARPHGLSHIPHPTLRCRVALPSQFLSATTGRSVLPGPRRVAFAGWSPVLFCVLQVGGNAYQVPAGRRDGNVSSAQEAGANLPPPTASVSQLNQVFGAKGLSQSDMVALSGAHTVGAARCSSFDGRLYSYGPSGAGQDPSMDPAYLAALTQQCPQVQGSDPAVPMDPVTPTTFDTNYYANLVAKRGLLASDQALLADPTTAAQVVGYTNSPATFQTDFVAAMLKMGAIEVLTGTAGTIRTNCRVPS >Sspon.07G0007270-3C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7C:14886450:14886746:-1 gene:Sspon.07G0007270-3C transcript:Sspon.07G0007270-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLACLVCHGMSSPSHSLRSYSVSSSEEESRCGAAVACLARRVTPAGTSSVGTSKVTPFPPMVSGQVGTEGTPRLQRSRAVSRDLVRDWNFDEIIVAN >Sspon.01G0039950-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1B:37757355:37758146:-1 gene:Sspon.01G0039950-1B transcript:Sspon.01G0039950-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRWPALTPCHDIYTQGCDASVLLDPTPANPQPEKLGPPNNPSLRGFEVIDAAKAAVERACPGVVSCADIIAFAARDASFFLGGRGVDFDMPAGRLDGRVSNASRTLDFLPPPTFNLSELVQSFAAKGLGIEDMVVLSGAHTVGRSHCSSFVPDRLAVPSDISPSFAASLRGQCPASPSSSNDPTVVQDVVTPDKLDNQYYKNVLAHRVLFTSDASLTSPATAKLVSDNANIPGWWEDRFKAAMVKMASVEVKTGNNGEIRRNCR >Sspon.04G0002540-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:51662696:51680875:1 gene:Sspon.04G0002540-1P transcript:Sspon.04G0002540-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLEVMPMPKQRVLEAEQREEAMEMSGLDLWKHEKPPRICPLPPPLPPSPAACDEATLVPPLNFAMVDDGIFRSGFPDTSNFRFLKTLNLRSIVYLCPEPYPETNMEFLEKNGIRLHQFGIEGRKEPFVNIPDDKIREALKVVLDPRNQPLLIHCKRGKHRTGCVVGCLRKLQKWCLSSVFDEYHRFAAAKARITDQRFMELFDVSTLTQKPPTCAASVLRPFPHRPAPREPSHEAGGHAHAQAAGAGGGAEGGGHGDERPGLVEAREAPRICPLPPPLPPSPAACDEATLVPPLNFAMVDDGIFRSGFPDTSNFRFLKTLNLRSIVYLCPEPYPETNMEFLEKNGIRLHQFGIEGRKEPFVNIPDDKIREALKVVLDPRNQPLLIHCKRGKHRTGCVVGCLRKLQKWCLSSVFDEYHRFAAAKARITDQRFMELFDVSSLKHLTPSHC >Sspon.02G0050780-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2C:67604534:67604739:-1 gene:Sspon.02G0050780-1C transcript:Sspon.02G0050780-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AKGYKLLKELGATAVEEVFTAGGGAQNDKWTAIRERVLGVPVRKAPQTEAAYGAALLALKGANSLAS >Sspon.03G0015670-3C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3C:70447253:70450428:1 gene:Sspon.03G0015670-3C transcript:Sspon.03G0015670-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMWLPLAVPLTVLRTLVFVNLPYSLSVPIGSATGVTTRVINSPISGNGNTNHGALAQPNPQGHLYVCNHRTLLDPVYISVMLNKKVSAMTYSVSRISELLSPIRTIRLTRNRDEDRRRMEHSLQKGDLVICPEGTTCREPYLLRFSPLFVELVDEVYPVALMNWSNMFYGTSTGRSKYLDHFYYFMNPHPAYVVQFMEKMPTHVVINGRRCESYEVANMVQGEIGRALGFEPTKLARKDKYLILAGNERVVDTKQ >Sspon.03G0043710-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:83535428:83538340:-1 gene:Sspon.03G0043710-1C transcript:Sspon.03G0043710-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATSSTTLSMKLLVDTKAARVLYAEASKDVVDFLFSLLTLPVGTVVKILGNDAMVGSIGNLYGSVEKLDETYVRSADAKGALLAPAGGYEGGKLLQLPEAAHGTTITKMIVVDSSRASGGEAEAAATAQSSGHAAAGKVGSCPVHGDGLDDLKVAPMSTISGITLLSTFGITDIGMLQEKTVKLGYEELAAHAWHMDNAAATPAFAPSAATPTIKLLIAKEAQVVVLAEAGKDVVDFLLGLLAMPIGAVVKLLGHKEKEGALAGLASLYASVQQMDAGYMQNLETRDALLNPAPAHPALIAAAGGFPSLVQPAAGSAPYSRVRLG >Sspon.01G0010830-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:30538314:30544647:1 gene:Sspon.01G0010830-3C transcript:Sspon.01G0010830-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PTB1 [Source:Projected from Arabidopsis thaliana (AT3G01150) UniProtKB/TrEMBL;Acc:A0A384LJ51] MSSGGGGGQQFRYTQTPSKVLHLRNLPWECAEEELVELCKPFGRIVNTKSGVGANRNQAFVEFTNVNQAISMVSYFASSSEPAQIRGKTVYIQYSNRQEIINNKSPGETAGNVLLVTMEGVQASDVTIDVIHMVFSAFGYVHKIATFEKAAGFQALIQYTDAATASAARDALDGRSIPSYLLPEHVTSCCLRISFSAHQDLNIKFQSNRSRDYNNPYLPINYSAMDGTLQPAVGADGRKVEAQGNVLLASIENMQYAVTVDVLHTVFSSFDVNTAAVAKEALEGHCIYDGGYCKLHLSYSRHTDLNVKAHGDKSRDYTIPAGIIQGVLQPPGVQATSSGWQGNLQAAGAYAPPNAPVQSHNANGQVPNWNPGNSVYPPAPGTYPGQMYSSPSQYATSGGFPNTPSTAPPQYAASGGFPNTPTGAPTGSLPQQLHASQQMPPQHENQPRGTPGTSQPPPPASYYR >Sspon.05G0019830-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:85166368:85170918:1 gene:Sspon.05G0019830-1A transcript:Sspon.05G0019830-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSFANLAESVKRLCIADSPDQDHLAGDPMLRSRRFMAFFYLNTTAFVASLVIIMLLMSRTVTRHGFRSCALWVCTGAALVGLTGAFAIGSSRSVKTSIYVVGLVAAVLLYIGLQILVFLCEPVANWLGDVQGTLQKFLKLDQFPSQGDADEQAQGLSDQQGNLDADQLLKKSRMYLLLLGILAASVTYQAGLNPPGGFWQSNATDGLHHYLAGDPILHITYPRRYLAFFYCNATAFVASLVILILLLSSTFSTQGIKYYALQVAMILDLLGLIGAYAAGSCRQVSKSVLPNHATWREMVKDKLEQSVPEWLKRLFEPQTEEEDEEMKWKLEKSRKLLLLLAILAAGLTYQAGMSPPGGFWQQNKTGHLGNPVLNDNYPRRYLAFFYCNATAFVASLAIIMLLVNRKLSTKGIQSYALRVCVILDLVGLMGAFAAGSARKVSTSIYVFVLVFAVLICIVLQVILVVSKSVQGLLQRLLSFFEVREDEAGDTLPHTAADAEAQDPWYKKLPKYLLLLAALAAAVTYQAAMNPPGGLWGDGQNAHIAGDPVLRSTYPRRYKAFFYCNATSFMASLVIMVLLLIKRVSSAQSAILALHAAMILDLFGLMGAYAAGSCRRVRTSAYILALVVGVSTYIVVLVVVSIGIAKWMERVIIYKGFDLKNYSFLYSIPDENVQEIKIREREDSTKCRQADEMYSSEGKILFDALATSYDFVQIDNFFLHEIKWSTIVIDESHIMKKLGCNLATFLKRYSSEFRLQFEREISESSSSFMRSKERISELKQRVSYLEKELRSIKDTAAANEQRLGAALG >Sspon.01G0020950-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:77030788:77038442:1 gene:Sspon.01G0020950-2C transcript:Sspon.01G0020950-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHQPRARYPPGYGSGGEGDWKAQLNIPAPDTRYRTEDVTATKGNEFEDYFLKRELLMGIYEKGFERPSPIQEESIPIALTGSDILARAKNGTGKTAAFCIPALEKIDPEKNAIQVVILVPTRELALQTSQVCKELGKYLNIQVMVSTGGTSLKDDIMRLYQPVHLLVGTPGRILDLTRKGICVLKDCSMLVMDEADKLLAPEFQPSVEALIHFLPPSRQLLMFSATFPVTVKEFKEKYLPRPYVINLMDELTLKGITQYYAFVEERQKVHCLNTLFSKLQINQSIIFCNSVNRVELLAKKITELGYSCFYIHAKMLQDHRNRVFHDFRNGACRNLVCTDLFTRGIDIQAVNVVINFDFPKTSETYLHRDRFNLYRIEQELGTEIKTIPPQIDLAANTSVHNNPSSVVGECNLGHVSSSALVAYPCARGVAT >Sspon.03G0023890-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:73050024:73050989:-1 gene:Sspon.03G0023890-1A transcript:Sspon.03G0023890-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding TDESALVITGIKGRINRAVWGPLNRTIITAGEDATIRIWDSETGQLLKESDKESGHQKTISSLSKSSDWSHFITGSLDKSAKLWDTRTLTLIKTYVTERPVNAVDISPTHD >Sspon.02G0057220-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:49770476:49784315:-1 gene:Sspon.02G0057220-1D transcript:Sspon.02G0057220-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRILSRAARRCTNSSAAFKSPHAANAASVHSEDAPTCSNMITEYDDFTWKTSFVRSMQSEVVNALRRGDRQRASLILSNFHHTKEALTKEDFYYILEYCAEAPDPLFVMETLELMEEKAIGMSKSIYRYVIRALSRGGYAKEALHWLALLGEKESTHATIPIFNIFLNACGSRANLKDAECCLEILENLFLGKSEITYNELLKLAVLQGNLPAAYDIWKDCSKYYSPSIITQRRFIRALTALGDLQSAYHILQHMVALAARSSDYLRVSSKRRYQSSRLDIPVPALNEFEDLTLPACQGKLATGEHSVDAQPELFEEKTRSGLHSISYTIHYISVVIIPMRYIKLILFQLCSGNNLSYKVGVDGSVANTLKSAPSAVKQILRWAFNDVLHACVQFNNCQLAEHLFTEPFFSIEAQFQTWYRATASRVHLLFVITARPVWFLQPAIAPLLLLCRLMQGGSRHMQKIGLQPSNFTYDGFVKTVIAGKGIAYANKVNGNGQNVASLVTAEIARCSTQEPYNMEEVRGAEGNLQARRWLPEGMATAVKITALPLSKPPNLATAEMPLYLASAVMLPLGARSRCVP >Sspon.01G0056200-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:86638622:86638909:-1 gene:Sspon.01G0056200-1C transcript:Sspon.01G0056200-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFLPSGGARCAHGGPSCPVQEITFNCPSEQRRVAGCGNPCDGKSSSCGPNNGTEYFKKACPQTITYPGTRPTPSLRARPGTNYEITFCPGKMTS >Sspon.06G0002270-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6C:5727392:5728126:1 gene:Sspon.06G0002270-2C transcript:Sspon.06G0002270-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LSSDVNLQIQRLCQAGDLAAALRLLGSDGGVDVRSYCAVVQLYGEERSLEAATRAHALVRAGTGGIGSVLGKRLVLAYLKCGDLGEARTVFDEMPPRVADVRVWISLMSACAKAGDFQEGVLLFRQMQCCGVSPDAHAVSCVLKCIASLGGITEGEVIHGLHEKLGLGEACAVANAPDCAVLKVWTDGGGDAGYD >Sspon.01G0000720-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:1491531:1495465:-1 gene:Sspon.01G0000720-2B transcript:Sspon.01G0000720-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTLTPTQCYAAGALLALALRQAQIHQSAPLGSADPGPDDEERASSASGSSSSTTSGSDTASGAGLWTHDSRGLLRPVFRCRVADSPPWPLASLTHLRSVPPVSCFRFLEIEPMAWAGMEETAASPDAKHHIGAFLRILFEEDGESSSDRLEQELALAKAVDVMVMSLGSDNVPDEKIKEDSKDSTACTSATKESLEAGSSENLLGIDKLSLEDVPANDHRKMALLYVLLSACVADKPVSQEEQDRKSSHFRKGYDARHRVALRLIAAWLDVKWIKMEAMEVMVACSAMAAAKEQEQERENASPKSKWEKWKRGGIIGAAALTGGALLAITGGLAAPAIAAGFGALAPTLGTLVPFIGASGFAAMAAAAGSVAGSVAVAASFGAAGAGLTGTKMARRIGKVKEFEFKPIGDNHNQGRLAVGILVSGFAFDEEDFWKPWEGWKDNLEKYILQWESKHIIAVSTAIQDWLTSRLAMELMKQGAMRTVLSGLLAAFAWPATLLAATDFIDSKWSVAIDRSDKAGKMLAEVLLKGLQGNRPVTLIGFSLGARVIFKCLQELALSNDNEGLVERVVLLGAPVSVKGERWEPARKMVAGRFVNVYSRDDWILGVTFRASLLTQGLAGIQAIDVPGVENVDVTELVDGHSSYLSAAQQILEHLELNTYYPVFVPLPEARK >Sspon.01G0041040-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:38619876:38621632:1 gene:Sspon.01G0041040-1T transcript:Sspon.01G0041040-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGLGFLKAVALALAPVVLAAVLYSPRDFSPAPMPPEYSYGPDVSAPRHERRALQHSERVGEGRLPGPEDLAYDGAGGWLYTGCADGWVRRVSVPGGDVEDWVRTGGRPLGLVLASDGGLIVADANIGLLKVSPDRKVELLTDSAEGVKFALTDGVDVAADGTIYFTDASYKYNLDNHMADVLEARPHGRLMSFDPSTGRTAVLARDLYFANGVSVSPDQSSLIYCETVMKRCSRYHIAGEKKGTIQKFIDNLPGFPDNIRYDGEGRYWIALSAGRTLQWDLLMKYPFIRKLVYLAEKFVAVPHALKNSGAMSVALDGKPVSMYSDQGLALATGWLKVGEHLYYGSLTESYLSKIDLTKSSVESLE >Sspon.03G0004780-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:74892972:74896704:1 gene:Sspon.03G0004780-3C transcript:Sspon.03G0004780-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RSRTRMSDHKDPGIKLFGRAIPLGPEPAPGTTEAEDPPPRHEPPPDELQPRAPEVAAAAGDEVQHNEKEEKPASEMVDMPQEKGKEIKVDTPQEEKDNEMKVDAPQKEHDDEMKIDAQQEKKDEQMEVNASPMHENIEPANLPPSEHKKEDEGLMNGTEDKAASDPKGENEKTSNEESGQDKALKKPDKILPCPRCNSMDTKFCYYNNYNVNQPRHFCKNCQRYWTAGGTMRNIPVGAGRRKSKNASLHYRQLLMAPDCVLGSRVDISKSVLPEALVSPPAPIQPTSRNETVLKFGPEVPLCESMVSALNIDEQNVNNPGSAPRGENREDNPGSGTSYNGVPENMVPVDKNGAPVHCNGVAPVPQYYLGTPFMYPWNVGWNNVPVMVPGKSMPESASASDSESCSTSSALWMNSPMMPASRLPSPAFPYPLVPPALWGCLSGWPATTWNIPWIRPNGCVSPSSSSNSSCSGNGSPTLGKHSRDSNPLKEEKREKSLWVPKTLRIDDPDEAAKSSIWATLGIKPGDPGTFKPFQSKVESKGQRSDAAQV >Sspon.06G0018520-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:99576963:99578613:1 gene:Sspon.06G0018520-1A transcript:Sspon.06G0018520-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRSAARSLRLRQPLLDQQRCLLARQFLSSSVPTEVGKSPPPAFRLPLGIGARLVRRHTCSPSSNKPLKGSMEQKRHANETTGDIYKRLLMKIDKISDDLDEHSRLLKQLEVQLEENNKHRGVIDLTPWVVSISSLFLLFGLYNYVY >Sspon.04G0024100-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:20743931:20747408:1 gene:Sspon.04G0024100-2C transcript:Sspon.04G0024100-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHNRSGCFAKLATSRIQLIKLPSTLSGFRGGCREPIQQGVSDILMDGAPKILEKSSHECMHSWYFTREELEKFSPSRKDGITENKESEIRHLYCSFIRDVGIRLKLPQMTLATAVMLCHRFYLHQSLAKNGWQTVATVCVFLASKVEDTPCPWTIVAYETMYRRDTAAAQRIRQKDVFEKQKALILIGERLLLTTIRFDFNIQHPYRPLFDAMQNLGINQKEVKQVAWNFVNDWLKTTLCLQYKPQYIAAGSLYLAAKLHNIKLPLHGAHVWWHQFDVAPKPLEAVIHQMMELAAVKKLMPARPNLVKLKETLCEAKLSLSNSPDSVLNQSSLLISSSSPDISEPSDHVQVDSCQYLISSHTGDCRVSGPDSSSLNTCANINASCKAHDEESLDQALITKHGNGMMPSSNQTSLDATVGTEGSAEYMKQDASLCNDFNVRVNDESLNQVSINQHGDGVPLPDVVSLDAKVDKENSWCGTFDCKLRVNVDSLCADQRLADAASGPTDDAPSVLLAKVESDTLSAKLGNIDVARIKGLLMKRKSRREIQEQAIALDGLSEEAWIERELESGIVTKQEANCAAISDELSDEAWIERELESGIVVGPRNEQAITFDGLSENDWIERELESGIIVEPAPAIKKRKLESS >Sspon.02G0026850-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:95834003:95834452:1 gene:Sspon.02G0026850-1A transcript:Sspon.02G0026850-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding PPSSASSGAASSPTRHSAAATASSTAYLPCSASSSPMTPHPNVCLASSPPHRHPRCSNRRRSITTACGHSTAAMGRSSAEHQETQTRLLVWDPITGDCQRLPRNQIAVYHQSSSAVLCAVSSCNHHDCHRGPFLVVPMGSGFLRLSGLMK >Sspon.07G0002330-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:5734406:5735460:1 gene:Sspon.07G0002330-1A transcript:Sspon.07G0002330-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Photosystem I reaction center subunit VI, chloroplast precursor (PSI- H) (Light-harvesting complex I 11 kDa protein) (GOS5 protein) [Source: Projected from Oryza sativa (Os05g0560000)] MASLAAAVSVQPVAVKGLSGSSISGRKLAVARPSARAACRSTRRAAVVAKYGDKSVYFDLEDIGNTTGQWDLYGSDAPSPYNPLQSKFFETFAAPFTKRGLLLKFLLLGGGSLLAYVSASASPDLLPIKKGPQQPPQPGPRGKI >Sspon.08G0008500-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:31952417:31961652:-1 gene:Sspon.08G0008500-1A transcript:Sspon.08G0008500-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRSRSKRGYHYDQDSPPPRSKQRFDRRSGGGGGQNANSSYHRRGPPGSGGGSDRRGFLPHDAAPPPPPPPPPPPSSAAAGGGAGPTTTTSFRILCPECKAYGFPPGFVAKVRDESGAFVTVHPPFAGDYVRVIETVDGARREADGRPPMFSPAQEALLMVHRRILETDADDGDEDGEYGPRGKDPRDRGKTTTRLIVPKLHVGCLLGKGGKIIEQMRMETKTHIRILPRDQHTPRCVSLSEEVVQVVGDGNCVKKAVAIITDRLKESLHRDRGPFRGRMNSPEPRISQEDEYLGGVQQMPAYEESLGRPDQIRNNISMEPPGYEFDSNGGKVIEHPDILYDDIVFRILCPNDKADGLVATRDGILEMLQADVGVDVRLSDIIAGSDERVVIITSREGPDHELFPAQEAVLHIQTHIVDLGPDKDNIITTRLLVPSSEIACFDGREGSLSDIQRQTSANVQILPREDLPSCALESDELIQIVGEIRAARNALIQVTTKLRSFLYREMSGPIQVGNINVHGAISPVAGSPRGPYQGNDIPMGAYHQASQLPTSWHSKDSGGSASGSFEQGSNINDDIRQSATKRFAVPLVTRSTLEVVIPNNAVASLTMRAGSKLAQISEISGATVTLAEDRPDILEKVVRISGTPEQASKAENLLQGFILSIPDDIPSG >Sspon.02G0014840-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:39781832:39789042:1 gene:Sspon.02G0014840-1A transcript:Sspon.02G0014840-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTLHHHHLLPLQRLPNSPRPPPPRLRLRLPTKPSRPHSRLLPRAAASTAATVSALEDFRRWLASHSAADGGKAFPAAVPEGLGLVAARDLPRGEVVAEVPKKLWMDADAVAASDIGRACGGGGGLRPWVAVALLLLSEVARGADSPWAPYLAILPRQIDSTIFWSEEELLEIQGTQLLSTTVGVKEYVQSEFDSVQAEIISTNKDLFPGSITFDDFLWAFGILRSRVFPELRGDKLALVPFADLVNHSPDITSEGSSWEIKGKGLFGRELMFSLRTPVDVKSGQQIYIQYDLDKSNAELALDYGFVESNPSRDSYTVTLEISESDPFYGDKLDIAELNGLGETAYFDIILDEPLPPQMLPYLRLLCIGGTDAFLLEALFRNLVWGHLELPLSPDNEESICQVMRDACKSALAAYHTTIEEDEELSERENLQPRLTIAIGVRAGEKKVLQHIDNIFKQREEELDGLEYYQERRLKDLGLVGDNVLINQCIVSSCIQISTIFPHTFKKPGCYLYLSSVTKASHNNAADLKAPSIKLLYTGTVRATPNLGMSRNISIASLNLFALQKPSIRVVKTTAFGMRPLFSNSCDKQPLSITNAALFTKHTYHRRVCDHVRINLPCHHLIPHLLSLRHITLVPACIDKSIVRDHTGHHSPSKHFVEQLPGAPRPACFQEPVQDRVARRQRRQRPQAPEHVQRLVEQTHLGEGDDEHAEGGGRGGGGGGESRECGAGAGGCGSRTPAGEGRGDLDEGFRQTDGVREVLGRDRAVDGGGEARGAVAGGRWNGSGRGRAGGGEAVAVAEMVLVVRSDRAAAGEEEAERRGGGRHGMGALGARLD >Sspon.01G0008390-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:26390253:26391960:1 gene:Sspon.01G0008390-1P transcript:Sspon.01G0008390-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRPHEQRRPRLASRLALLLACLAVLGTIRVAGGAGMPPNFIFGDSLVDAGNNNYIVSLSKANYPPNGIDFFGHQPTGRYTNGRTIIDILGQEMGLGGFVPPYMAPETTGDAVTRGVNYASGGGGILNQTGSIFGGRLNLDAQIDNYANSRHDLIARHGEVQAVSLLRGALFSVTMGSNDFINNYLTPIFSVPQRVTTPPVAFISAMIAKYRQQLTRLYLLDARKIVVVNVGPIGCIPYQRETNPSSGTGCAEFPNQLAQAFNRRLRALVDELGAALPGSRFVYADVYHIFSDIIANYRSHGFEVADSACCYVGGRFGGLVPCGPTSQYCADRSKYVFWDPYHPSEAANALIARRILDGGPEDISPVNVRQLIVT >Sspon.06G0009810-3P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:42398710:42399886:-1 gene:Sspon.06G0009810-3P transcript:Sspon.06G0009810-3P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPATYFLLVSFLAMVTSQAIASDPSPLQDFCVADIHSPVKVNGFVCKDPMAVNADDFFKAANLDKPRDTNKVGSNVTLINVMQLPGLNTLGISLARIDYAPLGQNPPHTHPRATEILTVLEGTLYVGFVTSNTDNGNKLFAKVLNKGDVFVFPQGLIHFQFNPIHDKPAVAIAALSSQNPGAITIANAVFGSKPPISDDVLAKAFQVQKGTIDWLQAQFWENNHY >Sspon.06G0011000-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:42968164:42969672:-1 gene:Sspon.06G0011000-4D transcript:Sspon.06G0011000-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPHALIIPYPAQGHVIPLLELAHALVDRGFTVTFANSEFNHRRVVAAAAESSLLDRSRIRLVAVPDGMEPGEDRNNLVRLTLLMAEHMAPRVEDLIRRSGGDADGGPITCVVADYNLGTWALDVARRTGVKSAAIWPASAAVLASLLSIEKLVQDNIIDPEDGSALSQGTFQLSPDMPVMQTAQLAWNCIGNQDGQEAMFRYLKAGVRAVENCDFVLCNSFHGAEQATFAQFPQILPVGPFLTGERKRAAVVGHFWRPEDDACMSWLDAQPARSVVYVAFGSFTMFDTRQFRELALGLELSGRPFLWVVRPDIVLGGDVHDYPDGFLDRVTASGRGMVVAWSPQQRVLSHPSVACFVSHCGWNSTMEGVRNGLPFLAWPYFADQFVNQVYISDVWKVGLRAEANESGVITKEHIAGRIEELMSDASMRERVEAMKKVAHESINHGGSSHRNFDMFVEAIKA >Sspon.04G0000290-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:2283108:2285960:-1 gene:Sspon.04G0000290-1A transcript:Sspon.04G0000290-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWCTIESDPGVFTELIQQMQVKGVQVEELYSLDVDSLSLLRPVYGLIFLFKWIPGEKDERPVVRDPNPNLFFAHQVITNACATQAILSVLMNRPEIDIGPELSQLKEFTGAFTPDLKGLAISNSESIRTAHNSFARPEPFISDEQRAVTKDDDVYHFISYLPFEGVLYELDGLKEGPVNLGQCGGADDLDWLRMVQPVIQERIERYSQSEIRFNLMAIIKNRKEVYSAELEELEKRREQILQENKTSATESLNNSLTEVISAIETVTEKMIMEEEKFKKWKTENIRRKHNYIPFLFNLLKMLAEKQQLKPLVEKAKQQKSSSPSTR >Sspon.08G0022790-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8C:52131875:52132005:-1 gene:Sspon.08G0022790-2C transcript:Sspon.08G0022790-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding IFENRAHEVGRERQIFVDFFCNPERLKNQVRELTSRVKALQD >Sspon.01G0022120-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:81156902:81158482:-1 gene:Sspon.01G0022120-1A transcript:Sspon.01G0022120-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTNILCLRFGAVHVVVVACPEIAREVFRKNDAVFASRPLTSATELFSFGYKGSILSPHGEQWKKMRRVLTSEILSTSMEKRLQRRRAEEADHLIRFMYNQCNTSDNSVVNVRHVAQHFCGNMIRRLVFGKRHFSVAAGAAGNGSGPGPEEVAHVDALFTLLSYMYSFSVSDYIPAAWTWMIAGLDPDGHKKAAKSVMKTINRLHDPIIQERIHEWDGLRKRGDKREARDFLDVLVSLQDSQGRPFLSFDEIQAQTAEIMYATLDNPSNAVEWALAEMMDKPEVMHKAMDELNTVVGKDRLVQESDIPHLNYLKACIREAFRLHPYHAFNPPHVAMEDAVVSGYLISKGSHVLLSRVGLGRNSDVWDAPLQFRPERHLMMNEHVVLTELDLRFISFSAGRRGCPGVSLGSSVTMMLFARLLQGFTWTKPPGVRAIKLMESTTSLTLAEPLFLQAQPRLPVHLYMSV >Sspon.08G0010280-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:41899927:41908463:-1 gene:Sspon.08G0010280-1P transcript:Sspon.08G0010280-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKVVSILVLAFLLLAAVAFPVGKKGYGGGGGGGGASGGGNLKPWECSPKCASRCSQTQYAKACLTFCNKCCAKCLCVPPGFYGNKGACPCYNNWKTKEGGPKQRRRPTRTSDGEQGRLHPGARIPAPRRRRLPRGPRQKGKKGYGGGGGGGGASGGGNLKPWECSPKCASRCSQTQYAKACLTFCNKCCAKCLCVPPGFYGNKGACPCYNNWKTKEGGP >Sspon.06G0025970-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:74821014:74821653:1 gene:Sspon.06G0025970-1B transcript:Sspon.06G0025970-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMAQGGMSKKVSSLLEKKDAANEDLTAIRKEAEDTNTTMDKRETLVSGEPRDYMSQKKTTLASKVKATLKKVGKILRKAKPRKKRTSMYPIVASTLKFHKDDDVDPADAGSACWG >Sspon.02G0012800-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:34200254:34200942:-1 gene:Sspon.02G0012800-1A transcript:Sspon.02G0012800-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNSITRPRSLLDNSGNKIQIKQTAQLLSEVSDSTEVIGARVDCLDNGNRIRFDDHMPHSHINCRLDAVNAGKAHDNELLRETDWPAPRRRGTASGVGRRLTAGHELYYAIKNVSARDTRAPLRPDQPGPGCGYAARTPAIPPFPRCESVSAGV >Sspon.04G0002970-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:9182220:9183155:-1 gene:Sspon.04G0002970-1A transcript:Sspon.04G0002970-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding NGLFHCIFSGDAAGVRADVPGLPRGEEAHRTRAGAGHHHEVPQGRAPVGPHRRQLHLVRRLLGAAARGDHRLRLVAVRGPGGGAAGAVRGHVVQDGPQVLRQLQGAVPGAAPGLPRRRPELLPRQAAHGEGPPQARRQGEPEPRHQPGAHGRRRGRGRVLHVPVRHARRVPAVPLRPVRVRVGGQPGVAVPRTVRVAVPPARVRPAVGAAHPAQRRRRGRRHGHLAGVHDRRHGHQPVRQRVLPGGLGRRAAGGRHGVRRRVRQGRVPRLRGVSAGGPGQRGQLQCQRGPREEVPGPGARGPGHVVVRHLGV >Sspon.01G0044820-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:85460228:85461999:1 gene:Sspon.01G0044820-1B transcript:Sspon.01G0044820-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGATACMPLLPPPSPLHGSAAMAAVERRQPGAVETTKTGGSEGTLVGCVVRADNMCRILHHISHGNEDCAGNDDGDVMMSRPRAVVQTLTCERKPFCEGFALWRSIGRPELPELDPILSFDEFECMLLTSRLYAQLHAYAVVKNMFLRQLAFLTILTEGGISYHDFSGHKGTISTGDVQLNAQIN >Sspon.01G0021860-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:80386467:80387633:-1 gene:Sspon.01G0021860-1A transcript:Sspon.01G0021860-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding QSESQDGNLQQVTGGISYNGYGLDEFVPEKTAAYISQYDLHIPQMTVRETLDFSTRCQCVGSRDEILEEVSKREKMAGIIPGHDIDLQMKATSIGASEKRLYTDNILKVYTIMGLDICADTMVGDAMRRGISGGQKRRLTTAEMIIGPARAFFMDEISNGLDSSTTFRIIKCFQQLANINECTMLISLLQPTPEIFDLFDDLILMAEGKIIYHGPRNEAHIFF >Sspon.01G0039050-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:19744888:19747266:1 gene:Sspon.01G0039050-2D transcript:Sspon.01G0039050-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRFVDKLPFFDRRSSPMEEAGDIPRNGLLHIHHHQPHHSGLLMQPQPSPPAKQSSFTLAQLLKRVNEARSDASSPTSSPTHSHYTIELGGSMPGSTGSDLSERMRGGGDRGSDGPLLPFVLKFTDLTYSVKQRKKGTCLPALPFRRGDGEPAEPEVPRMKTLLDNISGEAREGEIMAVLGASGSGKSTLIDALANRIVKESLHGSVTLNGESLDSNLLKVISAYVMQDDLLYPMLTVEETLMFAAEFRLPRSLPTKEKRKRVQALIDQLGLRNAANTIIGDEGHRGVSGGERRRVSIGVDIIHDPIVLFLDEPTSGLDSTSAFMVVKVLQRIAQSGSVVVMSIHQPSYRILGLLDRLLFLSRGQTVYYGTPRALPSFFNDFGKPIPGNENPTEFALDLIRELETMPDGARDLVEHNKKWQTRMAPKAKHHDGHGEKPSLSLKEAISASISRGKLVSGASDGSVSVHSPGELAAPAAPVSKFANPFWVEMGVLTRRAFLSTKRTPEIFIIRLAAVMVTGFILATIFWRLDDSPKGVEERLGFFAIAMSTMFYTCSDSLPVFLNERYIFLRETAYNAYRRSSYVLSHTIVGFPSLIVLSFAFALTTFFAVGLAGGADGFFFFVAIVLASFWAGSGFATFLSGVVTNVMLGFPVVVSTLAYFLLFSGFFINRDRIPKYWLWFHYLSLVKYPYEAVMQNEFSDPTRCFVRGVQMFDNTPLAALPAVLKVRVLRAMSQSLGVDIGTNTCITTGPDFLRQQAVTDLTKWDCLWITVAWGFLFRILFYISLLLGSRNKR >Sspon.07G0002770-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:5863669:5864891:-1 gene:Sspon.07G0002770-2B transcript:Sspon.07G0002770-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSTSCLVDDASSGASTGKKPALAAATGGKPLQRVGSGASAVMDAAEPGVEADSGGARRAAGGSGGVSGNGKLPSSKYKGVVPQPNGRWGAQIYERHQRVWLGTFTGEAEAARAYDVAAQRFRGRDAVTNFRPLVESDPEAAVELRFLASRSKTEVVDMLRKHTYGEELAQNRRAFAAASPAAASPPPAKNNNPPHRRRPRPPPRRASTSSTRRDVGKLNRLVIPKQHAEKHFPLQLPVPAAAAAVSGGECKGVLLNFEDAAGKVWRFRYSYWNSSQSYVLTKGWSRFVKEKGLHAGDAVGFYRSAGKQQLFIDCKLRPKTMTTVAAFVNATTTTAAPPPAAVKAVRLFGVDLLTTTPRPAVVAAPEQEEMVAMANKRARDAIASSTPVHMVFKKQCIDFTLT >Sspon.01G0012900-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:34786377:34788335:1 gene:Sspon.01G0012900-1A transcript:Sspon.01G0012900-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGNGQKSKMARERNSEKNKAAKGSQLETNKKAMSIQCKVCMQTFMCTTTEVKCREHAEAKHPKTDVYQCFPHLKK >Sspon.07G0011600-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:47144980:47152156:1 gene:Sspon.07G0011600-3C transcript:Sspon.07G0011600-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYHHLLLSPPVAQPPRPALLPPPRPPRKRQRRRAVFASASSAARSPAAEDATRRRAVLLVGVSVLPLLRLRDAAAAAARAQRSSVDLVTDRTDIQKADVTEPEEPREELHQPDEQGQPARNPLAGLLNAIAVIASGVFAGLLGTSQQEKKALQSTISSMETKLVENEAAMSMLRENYEKRILDEQVKLKKEARKFQEEEALLQDQLASSRRTVTSLTDEVQREKELVEQLNLEIDRLKNSIAEAEEDKHLSEGKLKENIEVLDILHGKVNLLNQEVNGKEEHIRELSSSLSAKEKDHENLNVIYNQAKENLEQANSQIKQLEKDVHTAKDDLKSKASLINSLNEKVQTLYTEKGEVEEKVSALVTQYTYLKTASEERAYHDSELLFQKDDKLNQLEEKLSAALSDSSKDRTRIAELNNELDTTKTILDNEVVARKSLSDLVQSTEEALRDSKNEVFQLSEELDEVKRSNQDLMTQISKLTDEASEVRQALANKIEQAESVSASFSDELASVREVLKKSQGELEVTSKQLLSVSEEYSDLNKELLDAYKKLESTQNELVKERKINATLNMELDALVKQSVIVSEARKGLQVDLDEATRSLSEVTKSTLSLSKQLETTKSKISAIKEDKEMLSKSLEEQKKRTVEAQENLEDAQNTIKRLGTERESFEVRSKKLEEELATAKGEILRLRRQISIGGSPNTQVISETGATPINSQPLKEQPMNDRVQNINSADAVAPRSPKRIYKRKRRPAA >Sspon.03G0045900-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:27536927:27547951:-1 gene:Sspon.03G0045900-1D transcript:Sspon.03G0045900-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAPPPHPSLSSPFPLLSSSSTWAHILAAPASAPAASGFHPAVRSPVAQALAAGHDAPPGSSLPPPGTGPGVGGFLPHPAFLASRPGVASSLPHPASLDSRPGLHPAQPLQPPEQQPLQPLQPAEQQLLQQACPPSAPHQPARPEQQPLPGAPDVNTDAVRKYRKKHQESDEEEDESEDSYKQSRKRHRPEHSRARSKDVHKHKHKSRSKDRESRHRRHRFNSSEDEQEQEQERRSSKSRHRHRDDHRYSDEEEHRRSHKHWRREHHSSSKRKLEEDRDQSEQSQGRLEVSPSRSVVDRVRGNMLEPTAMEVPIAGSVSNALMPAPVVHNPRARKLRSAVWQDFTKERRADGNCVAVCNHCKKQLTATSRSGTTHLRNHLAICTTTSTRRAGKRRKLVVRRILHNKSSMEGRSGEGHASGEDNDNEGTHFDQELSRRDLVHMIVQHGYRFSIVDDVGFQKFVKNLQPQFRMVSCDTVRADSMEIYAGEKLKLHDVLFKIPCRVSISVDMWRSNTQMEYMCLTCHYIDHANDEWKVRKKILNFVHMEAPFTLDQMVNLIVEKLQSWGIDRKVAAVVLDNCNGGEIVARELLRVLQPRRLLLNGELFQVRSCAHILNLTVQESWEQASDITNRVRKMINHVKFDRFQKFQDISKVLHMDQKLLVVDSPDNWPSTYLMFDSACYYHDVLVRLTEQEGHYDVFLSASDWADVKALTEILDVVYHAMEKFPVENPTANLYFNEMCEIHVLLKTWSKSPSTVVAKVADQMLSKFEGYWDLTRPVMAFASILDPRYKMKSLEYFFRLIYSDEQFTAKAMIDVIQNTFHNLYNDYKHQSSDSWKNPSVLCYSRNSSSCMGSMYSNGDDSKTFSRITLSDARRGLDQYIQETSSGQSLKSDLEMYLEEAVYRQKEGNQDNFDILGWWKSFAAKYPVLSQMARDILAIPVSIIPLDSEARTLNEYLSTMDPSTVQGLVCAQDWLREDPEVVAGSGGHGDDGAPRGDELIVVPK >Sspon.01G0002700-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:7267372:7270704:-1 gene:Sspon.01G0002700-1P transcript:Sspon.01G0002700-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASLRSPPPLPAAFRRSRAVVRASSSSSSSSAVSSSSSAPKARLVARRSESVPVQQLARPLAEYMSLPASQYSVLDAERIERVDDSTFRCYVYRFRFFALEVCPVLLVRVDEEPDGCCIRLLSCKLEGSPLVEAQNDKFSASMANRVFCSSRSQDSTIQQLTSDTTIEVAIDIPFPFRAIPVEAIESSGRQVLEQLLRVMLPRFLKQLFSSLGLKMADRALTLKITVSELAFAPTFALTRKITVPEDCIYPICEVISFVRKLVTVTSNLKEGSINWNVS >Sspon.01G0009380-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:28433235:28444717:-1 gene:Sspon.01G0009380-2D transcript:Sspon.01G0009380-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding PMLGVFYTRGLASSFVPTSSVPVPQAMRSNWRAVDSRHGRVVLHTLPGGNDLPMSAGALLVWDPITDEQWPLPKLPDQVFFPATVQLYRPDGICDHIDCHSGGSFLVVFMCTAPREAFTCVYSSETGAWSEPTSTKLRRAWLRFSPSVLVGNTLYFISDYCRRSKKILEHDMETQETSLIRLPRTNYMRIALVKMVDGRLGFTGVHESKLYLWSREAGSEKYAGWAQSRVIDQQTLLRPLGILMDVLAVNCFVDDVAAIFVGTGGFRFAIDLKSGRITKIGERMGIYSIVPYMSFYTPETKQMFATDDTVKILGIKQEPGKRGLR >Sspon.04G0013590-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:49191176:49193095:1 gene:Sspon.04G0013590-1T transcript:Sspon.04G0013590-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHAAQSRLEAMWRARDTSMMCEQSLPALLRPLPWPSTSTQKCPRKRNGVVSWQLVREASGGLCVDRVSRLPWPAEAEDGKGPPWKRRSSDLVDVLVLELYVVILRAGVLLVNDALVEPDVVGDLAVGLEQAGLVVHVLEDDVGLVVLVVAQADEDDVPGGDPHLLVHLAPDVAEALGAVDAERLAAAVAQHPRHLRVLLPVLLEHQLPLLVVRLVLAPLAVLASLSLVLRHRVETLARLDRRSGGEFGCERKKNETRPDEHGRRWEPFDRGVRGF >Sspon.03G0027240-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:5163318:5169060:1 gene:Sspon.03G0027240-1B transcript:Sspon.03G0027240-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVKGIGEVASGGSASGEDRDSEREARVRVEAGAEAEQQLWRVNKSRSQGGTDSKKDLIIHRDGNSQNIAAQTFTFRELAAATKNFRQDCLLGEGGFGRVYKGRLENGQAVAVKQLDRNGLQGNREFLVEVLMLSLLHHTNLVSLIGYCADGDQRLLVYEFMPLGSLEDHLHDLPPDKEPLDWNTRMKIAAGAAKGLEYLHDKASPPVIYRDFKSSNILLGEGFHPKLSDFGLAKLGPVGDKTHVSTRVMGTYGYCAPEYAMTGQLTVKSDVYSFGVVFLELITGRKAIDNTKVQGEQNLVAWARPLFKDRRKFPKMADPMLQGRFPMRGLYQALAVAAMCLQEQAATRPHIGDVVTALSYLASQAYDPNAPVQHSRSNSSTPRARNPAGWNEDQRSVRSPNNHHSPDPRRRDAARASKYGAEVSRTSSTSDSGRRSGLDDMDLTGSQVGSPAQTGRRREASRATDRQRAVAEARVWGENSRDRTNGHGSFDSTHE >Sspon.07G0027090-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7B:58825733:58834129:-1 gene:Sspon.07G0027090-1B transcript:Sspon.07G0027090-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MCWASSFQIECTCTRIRLPGEGRRPTLGGRVGGVGQAAGTGRSVGVEQQAGGRRRPCYPRWADGQRRACRPSAASGRAVGVGPAARDGQTASARRAEAASGRAAGVAERTRCGCEAGVARFAAGRWPGSRGSAAGYGCQNEVAGVKPATTVVKASKKPVVVLTQGLTADGNGQWKASLMASQNDSGVSKKMSMLNGGTVPPIRSSKRNAANSDQDSLEKASKWKARKNLDPSSEKDELDKKAESSLLNEVELNLKHVLNERNIMEGAVILHETIHELHSKKQDGVIFKIDFEKAYDKVLFRGTHWLRQWAKLQRHDDLRDQLVSAGQHLESSTLHFFGSNGWWDMKSSLSLRALLTRARKPREVLLGQGDRMISRERRVCKNGQGARIKLDFRMIGEIQSSNTSKEEDLWEEREKLWRKMALRSRSQVGLLMMRFRRRGWS >Sspon.02G0013890-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2A:36816489:36816779:1 gene:Sspon.02G0013890-1A transcript:Sspon.02G0013890-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MILLNCTTAASRRAAARAEEEVKSCASARDSRRHRETLPASRVVDLYRVEKDGKRAEILGWVGCQRAQQFSPGEIGTGEIGTGKTLGSLRENGLPK >Sspon.07G0014630-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7A:52536150:52536320:1 gene:Sspon.07G0014630-1A transcript:Sspon.07G0014630-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGSALGRFFVEWAEGERWGELGWGRMRARLGLGLVAQLGRGSTVRVEVFSPIAK >Sspon.02G0008570-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:27464274:27465782:-1 gene:Sspon.02G0008570-2C transcript:Sspon.02G0008570-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytokinin riboside 5'-monophosphate phosphoribohydrolase [Source:Projected from Arabidopsis thaliana (AT4G35190) UniProtKB/TrEMBL;Acc:A0A178UWQ5] VARKVDLVYGGGSLGLMGEVSEAVHKGGGHRHTDHSHGQGGETKNTHARARQQRQTQQITGETVGEVRAVAGMHQRKAEMARNSDAFIALPGGYGTLDELLEVIAWAQLGIHSKPVGLLNVDGYYDFLLAFIDKAVDDGFIKPSQRHIFVSAPDARELVQKLEPLGRPAAVLAASWLVQGSRWPVGVCVRAWWQRPCAPPRLPRCRPGTCWWSSSSLMDDDLLATGGGGQAGRRAGGSVTFTLGFPVRDGIGSFDFHACTLPKASRFSL >Sspon.03G0027830-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3C:10586563:10587752:-1 gene:Sspon.03G0027830-2C transcript:Sspon.03G0027830-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMQEVDNLTLRRFLRARDHNVDKAGAMLLKFLRWRAEAAPGGSVPEEQVRGELEQDKVYMGGVDRTGRPIIVGFLAKHYSANRDMAEFKSFVVYFFDKICSRIPRGQEKFLAIMDLKGWGYANCDVRAYIAAIEIMQNYYPERLGKALMINVPYIFLKVWKTMIYPFIDANTRDKFVFVEDKSLRETLRREIDETRLPEFLGGKMPLVSLKDYAQQPQPVCE >Sspon.07G0013480-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:48476338:48484447:-1 gene:Sspon.07G0013480-1A transcript:Sspon.07G0013480-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEERSSLMGPSRGLVMKSFFLLFFVTNANVGLGHSGVGLWGGASLGWPGTQPSIPMDPNFNGVWSASEIDMVKSFIASHNTNNTYTNDTNKMHNDIVDELQARFPNKERNQVIQLYVHLVVEMNTMQSNNQQVAVSNALVNDNFGAPTKDIDMDNMDMFHGYILDDVEAMKMVEEPPHKLNIVPKKKRQRPVAWTHEEHKNFLHGLEVYGRGNWKNISRYFVPTRRPNQICSHAQKYFHRKECTTRKQRFSINDVSLYDTEPWVQKNSSSSKSLAFGCSAYNTNYYDIEGQHAVLNKLAHASQVSSRHAATWTRGHHIIASSSIDPTMVQSNSLGWEALAFTSGANNTNYYDFDGQHNAMNNLACADLASNNQTTTSSSVAPTTVQNSSPSLEVLSFTNSAYNINYYASVDNKRSVVAHKPLQAESEGEAKSRGSEKWH >Sspon.03G0037240-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:97439318:97451997:-1 gene:Sspon.03G0037240-1B transcript:Sspon.03G0037240-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPRRPLRMLAAVLLILCSLAVLSAVSAEAKVHHHTWDIAYHKKSLDCVEKLAVTINGESPGPTIHATQGDTIVVTVHNKLETENTGIHWHGIRQIGSPWADGTVGVTQCPILPGDTFTYRFVVDRPGTYFYHAHYGMQRVAGLDGMLVVSAPDGVAEPFTYDEERTVLLMDWWHKSVYEQAVGLASDPLVFVGEPQSLLINGRGVFEPFHCSHAPNGSSAAACNAPKPAGCAPPTLFTAVPGKTYRLRVGSLTSLSALNFAIEGHSMTVVEADGHYVRPVVVDSLYIYSGESYSVLVKADQDPSRNYWAASHVVARERNTTSAMSILSYAGNDPLAPPPTPRPEGPAWDDVRPRVEQSRSLAVAHPDHVMPVPPRPDRALLLLNTQNRIGGHTKWAINGVSLAFPATPYLVSMKRGLRGAYDARPPPETYDYRSYDIERPPPANGTVTSAVYRLALGSVVDLVLQNTVALNNRSETHPWHLHGHDFWVLAYGDGKFDPERDTARFNLRDPVMKNTVALHPKGWTAVRFVADNPGVWLFHCHIEAHVYMGMAAVFEEGVDKQGEHAARNGDKWEHVRGRLRDRGVARGIAAIVYCSKSFRPRLEEEDAADRERVGSQTARASEAPALSRGNLSTAEDEMSDFVLCSRNWCRFFYAFAQRKGKRLTQTVQEKGQVKRRGKTGPRLARSPNTPTARAAAAATRTHAPKMLKSIDSLSLSLPGSRKTAPPLLSPRGAPPPAARPAGVQLPPAKRQPPPRQAGSPQPAPPPLRPALSLLPPPSAKEPSTGGQAAAPLRRPCPALRPLPRQLEASGERRTHGRLRERAGASGTEQGAARATAATSVVPTHAMAGAAPPALRMSHTQFIEHLRCAASSALHGWALKSGAASHTPVSNSLITFYCSLPRPLLGAAFAVFADIPAALRDVASWNSLLNPLSRHQPLAALSHFRSMMSSTDAVLPTPHSFAAVFTAAARVPSASAGAVAHALACKLPSSSGSNNVFVSTALLNMYCKLGAISDARRVFDEMPHRNAVSWAAMVSGYATGKSSEEAFELFRLMLQECPLEKNEFVATAVLSAVSVPWLHGLVLKDGLVGFVSVENSLVTMYAKAECMDAAMTVFGSSKERNSITWSAIITGYAQNGEADCAARMFLQMHSAGFSPTEFTFVGILNASSDMSALVVGKQAHGLMVKLGFERQVYVKSALGMPKVVDCRQTGVQATNEMVMQNNTRVYPGSGRHSVPMIWIARRHVMGRTVWSNDESPSSEVPELRGLAADPRAQRSGRRSQSSEVWLQVQSSEVCLHAPELRGMAAGPRAQRSGCRAQSSEVWLQVPELGALANGSRAHWS >Sspon.07G0002720-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:5771305:5772402:1 gene:Sspon.07G0002720-4D transcript:Sspon.07G0002720-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAVAVGGGRRPLHRTFAHPPPPEEEEHQQRACRSRGSSSSCSSSSAPARGTGTGMVVIVGATGTGKTKLSIDAAEAVGGEVVNADKIQLYAGLDITTNKVAPADRRGVPHHLLGAIRPDAGALPPSTFCSLGAATVASIAARRRVPIVAGGSNSLIHALLADRFDADAADPFSSSSRGHSHYRPALRSPCCLLWVHVDDALLAEYLDRRVDDMVGGGMVEELREYFATTTAAERAAHAAGLGRAIGVPELGAYFAGRTSFRAAIDDIKANTRDLAAAQVSKIRRMADAWGWPIQGLDASATVRARLQGAGPDAESACWERDVRGPGLAAIRSFLLELDGDSIITNGAEMEREPRVRCCDVVG >Sspon.02G0042870-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:90085361:90085870:-1 gene:Sspon.02G0042870-1P transcript:Sspon.02G0042870-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AMVYSRQLVACSVVALATTVLQSSAEDWVDLHNAARADVGVGPVSWNNSVAAYAESYAEMRQGDCELTLSDGPYGENLFWGSASAGTDWKAADAVRLWVAQKKNYDHDTNTCATGKRCGAYKQVVWRDSTSIGCARVVCSNGSGVFMICSYNPPGNLDGESPY >Sspon.01G0000390-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:2098379:2107412:-1 gene:Sspon.01G0000390-3D transcript:Sspon.01G0000390-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNRWRTPTNQKNHAFPRRRGSPLSPPPPPPTEKPQSCSLAHYSRERVAPFHSRLPAGPPTGVIKPEALKTYIWLQCFDGSIQQVEEEVAMFCPMICREIVKNGTGSSKNHAIALPERVNPASLSLILDYCRFHQVTGRSNKERKSFDEKFVRIETERLCELTSAADSLQLKPLVDLTSRALARIIEGKTPEEIRDIFHLPDDLTEEEKLEPLKNINDDPRIRLLNRLYAKKRKELQERHERQKMKAPYNHVTGSGGKATKSKKKNKRRKDHAKNPPKADSEPLNAEGAACVVPRKVDSSNVSRLPCQNPDMQDDVEYPFEDADLDDGLDPAMKEELDREVEDFARRLNSVWNESHIGGNGSVQRFPGLNHR >Sspon.05G0031880-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:10517776:10519886:1 gene:Sspon.05G0031880-1C transcript:Sspon.05G0031880-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable aquaporin TIP5-1 [Source:Projected from Arabidopsis thaliana (AT3G47440) UniProtKB/Swiss-Prot;Acc:Q9STX9] MAVNVSGGHLNPAVTFGLAVGGHITILTGIFYWVAQLLGASVACLLLRYVTHGQAIPTHGVSGISEIEGVVMEIVITFALVYTVYATAADPKKGSLGTIAPIAIGFIVGANILAAGPFSGGSMNPARSFGPAVAAGNFAGNWVYWVGPLIGGGLAGLVYGDVFIASYQPVGQQDEERRSCAMASNNLLVHLKHCFSPPSLRSYLAEFISTFLFVFTAVGSAISARMLTTPDVTTSASPLVATAVAQAFGLFAAVLIAADVSGGHVNPAVTFAYAIGGHIGVPSAIFYWASQLLGATFACLSLNLFSAGEEVPTTRIAVAMTGFGGAVMEGVLTFLLVYTVHVVGEPRLSGGGKRGFAATALGALAVGLTEGACVLAAGSLSGASMNPARSFGAAVVSGRFKNQAVYWAGPMIGAAVAALVYQIMACPGVAESRHG >Sspon.01G0006650-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1A:17508432:17510887:1 gene:Sspon.01G0006650-1A transcript:Sspon.01G0006650-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AGVPTNTPAGDVLPPEAESPHPPRRATASSPLAAALVAARAEAVERQARVRAAALVWEREREAADALARQIAAAMPFPVPQSPPPGRCPSAAVTGPSAAAGAGDPDTLAYSFSTTAGLPSVSPDWIADSGATFHTTPDASLLSSLRPPHPSCPSSIMVANGTCIPVSSVGTASSHGSFHIPDVLVAPGMVHNLLSIRRFTTDNSCSVEFDPSGLTVRDLPPGLLCSGVTALGLSTPFGFRRLLHLLRLPRPGPSRSPSLSVLILTCPRAFDLIHCDLWTSPVLSMSGYKYYLVVVDDFSHYSWTFPLRAKSEAFPTLSHLFAWVSTQFGLTIKAVQCDNGREFDNSTSRAFFLSSGVHLRMSCPYTSSQNGKAERMIRTTNDIMRTLLLQASLPARFWAECLHTSTYLLNRLPSTACPAPTPHHALFGTPPRYDHLRVFGCACYPNTAATAPHKLAPRSTLCVFLGYSPDHKGYRCFDLTSRRVLISRHVVFDESAFPYSTTSPPTPPADPAEASFFPTDPAIPPPFSLYPAGTAPAHSPGGPASPLPDSHQDLPVPDTVEAAPELPPSLPVASLPPVVPDAAVPITGPRTPTPPPPGRFGLVYQRRREPCPPSPPPGRFGIVYERRREPAPPLSSPAPSSPVYAPPASPRSRADPPVYHPPLLHRDPRHTHPMVTRQASRPQALTAAACEPGISPVPSSVRAALADPHWRRAMDEEYAALLANQTWDLVPRPPGSNIVTGKWIWTHKRRADGSWSATRLV >Sspon.01G0054110-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:55964130:55965903:1 gene:Sspon.01G0054110-2D transcript:Sspon.01G0054110-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKALLRKSSTAMEAGDEERPLLHLHPPPQAMVVLIASASLPIFSTSSDHSGSVHRAVVYFGIYLAAIASGGIKPCTSAFGADQFDTNDHAELVTKGSFFSWYFFLISTSSLLSGTVIVWLQDNVGWAVSYVIPTVLMLICFPAFLAGSRVYRFRKMGVSPLTSILQVVVAAVRKWHIKLPDDSSLLYEPTSMPSGTDASYKIEHTNEFRVFSALYLSPLSCHLDIE >Sspon.01G0039730-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:36154873:36156925:-1 gene:Sspon.01G0039730-1B transcript:Sspon.01G0039730-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQGIHGSDNTSPLHSKAFPGKSETLPGGHPTHLPITGVAGTGSGSRHARSRNKLTLFPLIFLIFFDVAGGPYGAEPAVQAGGPLLALIGFFVFPFVWAVPESLVTAVRRAIDGDAWQRRYVCSAIGAAAFPALCSDYLSRVAPAVSRGGARVATIVTFNVALTLLNYTGLSVVGWTAVALGLAALSPFLLMVGAALPKVRPRRWGATAGDKDWKLLLNTLFWNLNGWDSVSTMAGEVDRPGRTFPAALVSAVCIGSLGYVLPLMAATGAIDAPPEAWGDGYFADAAGLIAGKWLKYWTEVGAVVSSIGLYSSSMSSAAYLLAGMADLGHLPSLFAARAPAFDTPWVSITVTGAIALGMSFLSFDSIVAVTNFLYSLGMLLEFAAFVWLRVKRPDLSRPYRVPMGTAGVAVMCAVPSAFLVLVMAVAGWKVCMAGAAFTGAGVVVYYVMAFCKARGCFKFGHAEGREYQ >Sspon.04G0014280-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4A:53052827:53054122:-1 gene:Sspon.04G0014280-1A transcript:Sspon.04G0014280-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GVYQTSIDAEQGKVTVSGLLDPDTIIRKLNKAGKPAQLWGSKPGVPQNAHHGGGGGGKGQPKDAGGKGHSKDAGGGKAQKGGAGGGNHKGGGGGGGGGKDAKMVLPQPTPQQLQQLQQQLQMKGLKLPPQLLGGNMPAFTPAAPLKDPKSVKFALPEDNFDDDGSEFDDEFDDFDDDEDYDDDGLDDDFYDDPKMMMKPMGAGGGDKKGGNGGGKKGGGGNEIPTQSKGNGQGHNGGGKNGGAMGGAPGGGNQPAQGKKGGSATAGVGGPMSGMLPQQGIVRPNMIGGAGFPGTGQMGGTPMSMPPIGHPTMGLLHQGGGGGGPGGAVRGMPAPGFYQGGSGMPSGAEMLQAAAAAGNPMANMALMQQQQQMMMNGHGHHHGHGSAGYPSMGYGYGRPPMHYPMGYPMPPHSHSGDYNVFSDENPNSCSVM >Sspon.03G0045520-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:19570082:19579657:-1 gene:Sspon.03G0045520-1D transcript:Sspon.03G0045520-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASASRALLLSRALQAGAASRRVPTLLRPLAAAASLLPAGAGAGAGAAAAAPGAGLRCFATQPATSSLRDSSPNWSNRPPKETILLDGCDFEHWLVVMEPPPGDASNPDITRDEIIDSYIKTLAQVVGRQATTLVVLLTTNKVVLLATKVDLRGIKVVTKVTKGTQGRPTKVGLALASAMASASRALLLSRAFQAGRRAPTLLRPLAASASLLPAGAGASAPGAVVRCFATQPATSSLRDSSPNWSNRPPKETILLEGCDFEHWLVVMEPPPGDPSNPDMLRDEIIDSYIKTLAQVVGSEEEARQKIYSVSTRHYFAFGALVPEELSYKLKEVPKVRWVLPDSYLHVKTKDYGGEPFINGEALPYDPKCHEEWVRNNARANNRIRRNDRPRNDRSRNFERRENMQNYQNRSTNFERRENMQNYQNRDGPPAQGFNGPPAPPCQNQMPPHHGQGNMPPSPPPPHAGGGQPNYQPQMPNPQAGYYQQGGAPGYQGGNQGYQGGPPGYPGGKPAPPYQGGNPNAPPYPGGGNLATLVAPEATK >Sspon.07G0026930-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:51918036:51919636:1 gene:Sspon.07G0026930-2D transcript:Sspon.07G0026930-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLRALRRALPALSSPAAVLPRRAPHPLPPPPAPLRFLDPIGLLRPFSAAATVTASQAPSMGATLFAGLMDTRFPKRRPGFANRRKRASLRPKGPYYWVKCKPDEPIPASQPNQGSVRGRKEKKRIKQRKDFIMSEKKKRRAQYSAAVKRKEAERTERKMAAVARERAWAERLIELKQLEEEKKAAMA >Sspon.07G0024480-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:58576563:58577711:1 gene:Sspon.07G0024480-2D transcript:Sspon.07G0024480-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRQQAEEGASGSARVKTTLAEAASPLSALADDVLLQILGRLEADPRDWARASCASPRLAALLRAVCLPPRLSRALPAELLPAPPPDGAPQAWAALHKLSVCCPGLLRAGVLLEPTDDFGLELDIGPDVPICAGPTDAAASVERFEATEATSRDRGGDVDVVAAGGTAPGAGESANAAAWSLYDDLYLDAAYDCSSEPQIPPAATEPAPPPPVAAIRDVDEATTTNAAASCGVARRGVVAGSRRHPRRWLGTVGAHLASGSWTLSREQGNKLLASRFRGDRLYLCDWPGCVHAEERRKYMVFRGVFHNFARSQVRRALRDTRRPTVAVDCAFCGCKEAWDLYSAFCLRGFYGYHDDGEPVVRAYVCENGHVAGAWTERPLYS >Sspon.07G0031410-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:22521965:22527243:-1 gene:Sspon.07G0031410-1C transcript:Sspon.07G0031410-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTYIIQPLTDGTRLSDQIQTSSSSEPSRRRRNKPGNLSKGAPLMLKPQKSWAASLPLLLVRRCSRSAASPSPAGDRRLSFLPAFPPLTLPLLSSLALFILTFQRIRKIRVCKRRMSTLAQPRFAPLPTEQIESQTDAVAAGYQFRLVSYNILAQELDEYDTFYKKNMENSGYSSIYIQRSGDKRDGCGIFYKPKSAELVQKEGIHYNDLVEKYVPSDHVNTALENNSSTEEDKNTKPDNSKRGDPNDPRVRLKRDCVGLLAAFKLSDPCDHILIVANTHIYWQVKQKIYSLWNMLSQSTLYWLTSVQQMDPEWIDVKLGQAKYLLSRVSQFEQLISNKYNCKPSVIIAGDFNSTPGDKVYNYLVSANLESTDETPIKLRSLYAANGGEPEYTNYTPGFTGTLDYIFLSDGSSIKPTSLLCLPRGDSEDVRGGLPNFQHPSDHLPIGADFLAMIT >Sspon.03G0010640-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:29047375:29051178:-1 gene:Sspon.03G0010640-1A transcript:Sspon.03G0010640-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMGHRTCGTIFILLYVVSTSTLLASSNEHGLIRIPLKKRSIMDSIYGELLPKPPSALEKTKQAAAGPGPGPGREEAVGVDDPVRDAIAQARERQHQMLVEAAATERRRRYYWSYSGGGKGNSSRLHDGGLGQGNIVALKNFLNAQYFGQIGVGCPPQNFTVVFDTGSANLWVPSAKCFFSLACLFHPKYDSSQSSTYKPNGTPASIHYGTGGIAGFYSEDQVTVGNLVVQNQEFIEATHEPGFTFLLAKFDGILGLAFQEISVEGSVPVWYNMVNQRLVAQPVFSFWLNRNPFDGEGGEIVFGGSDEQHYKGSHTYTRVTRKAYWQFEMGDFLIGGRSTGICVDGCAAIADSGTSLIAGPLVAIAQINEQIGAAGVVNHECKQVVAGYGLEMVELLKAQTPPSQVCSKIGFCTFDGTHGVSAGIESVSGSVDGMSEAICNACEMIVFWMQSEFNANKTTEGTLEYVDRLCENMPDPVGSYVNCRHIGSLQTVAFSIGGRAFELRPDQYILKVGEGFAAHCISGFTALDIPPPVGPLWILGDVFMGAYHTIFDYGKMRIGFADSA >Sspon.01G0027850-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:99014765:99015691:-1 gene:Sspon.01G0027850-2B transcript:Sspon.01G0027850-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASISGKSPRFKKTSSKLAAPLRFLLVRPLNLKIILGSSSPARRKILADMGYEFTVMSADIDERAIRREEPEQLVKALAEAKAEAIKLKLQGDSAPDSDQPALLITSDQVMVSKGIVRERPRSAEEAREFIKGYSDDRALAVNFVLVTNLSTGATKGGWDIPE >Sspon.06G0011660-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:50500060:50503964:1 gene:Sspon.06G0011660-2C transcript:Sspon.06G0011660-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTLTICSPGAVPSSSPLPSSAAEQDCRRQYAPSVWGDFFITHQLCTPEELLSMQEKAQAKKEEVDYHYKEEIDALLCTVHDDHDHGASASDDLYVTSLWFYLLRKHGLQAVMKSTNLEPDLAEEVRVTLETTRFRRAGRVEARRFISVYEKKATRDDTVMEFAKLDYNIVQAVYCDELKQLSMWWKDVRSQVDMTFSRDRLVEMYFWMTVIVYEPYYSYSRIMLTKLVLYMALMDDIYDNYSTTDESNIFTTALKRWDDKAAEEQIPEHLRPFYKSVIRTADEIVAELKVQNNKNSEVVREVMFHVAESYHAEVKWRDEQYVPADVDEHLQISLGSIMAMQVVVLTLVSLGDVTTREIIDWVFTYPKMIRAVTATARILNDIMSYEREQTSDHMASTVQTCMKQYGVTVEEAIEKLKFTCEEAWMDIVQGCLDQKYPIAILHKVVSVGRSLDFIYKREDSYTLPSNLKDTITSLYTKLVV >Sspon.07G0008310-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:19490197:19499128:-1 gene:Sspon.07G0008310-4D transcript:Sspon.07G0008310-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVQRLLRLRMASHSCSRPAPAAALAPRAARPLSSLLLAPSPSAACPRSAPLSCAARPCRGHAAASASRGAAGRRLPSVAAMSSSTPPPGPVQKSEEEWQAILTPEQFNILRRKGTEYPGTGEYDKFFEEGIYKCAGCGTPLYKSSTKFNSGCGWPAFYEGLPGAIKQTLGYTYIVCSSIMS >Sspon.01G0025520-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:89994131:89996638:1 gene:Sspon.01G0025520-1A transcript:Sspon.01G0025520-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIPMSLSPSAPMEASLVFASAESSSSSPYSPTARLAPACSLPTASSPCPRQRPLSFPTVRVPAVVANSTSARYILLLLCACATLFPSFGGRARSERGRHGHGISGGEEVKKVDRERKEMNSAMGGRREKRERVYGAADRVIAFLPKVHRREGRSDGGGAERQAEAGAASHPSQLRQPPAAPAAPPQGEEEKRRREEEEIAAAKRAAALKAEEREAALKADTKGRAVSREAFCGGRASSGCRGVSGSSHDPAANADKEWTVGGNRGKKGPDDVADNAPHPHGGCKSPWKGKGKGKKASSCHTVGDPGNLAKEAAPAASNGGKPENKSEIKAMAKASAAPLDPGKAAVASSPDHLTRKGRKGAGGRSAETSPGDAPAKAAGPSPPPGVKSGNTENPKPAAPRLADAGTGRDSPEGKDAAPAQAPPTSVADGRSKLKGGGPLRKTVEAKPEDLVEGQRRQPARFGRRSAEPWRGRGNGAAEPHGRVWVPKAAAAGSSAGTGDK >Sspon.01G0009200-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:26260504:26262794:-1 gene:Sspon.01G0009200-1A transcript:Sspon.01G0009200-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSEAECAAPAAAATAGPDGAGGGRAEIDTSAPFESVREAVDRFGGSAAWSSDLVRRMFAPSKKHEHSEQAAEAINAEEQAAQLENELAVKERETLDVLKELEATKKIIADLKLKIQKEATETSPEEAVKSDETGQVSEAGPEEQQPENINVDVDMEGVEENHSGSVSAELEQAKASLSRTTGDLAAVRAAVELLRNSIAKEKLLLERGREKLSSNTSLVSSLEDELDQAAQKMETLKDLQRRRKDPSDIFMEIKKMTTEVQQLRSMANDSKSDAVVLAAEIEQAKASISTAEVRCIAARKMEDAARAAEALALAEIKALLSSESSFEGDTASDGVTISMEEYFALCSKALEADENSRKKIEDAMLQVDIANNSESESVKRLEDAKVEVEECKKALQEALKRVEAANHGKLAVEEILRRWKSESGHEKRSLGGSPKLKNAAPRRKDSHTTDMISDVSDRSHKPTLSIGQILSMKLMGPDGYDKSVWDDKTSEIPDISLGQILNRSGVLCREDMATRKRVSGKRKKFALTGLSVLLAKQSKNKKKRESF >Sspon.02G0021100-1P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2A:70193815:70194171:-1 gene:Sspon.02G0021100-1P transcript:Sspon.02G0021100-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSASCPAPRHPLTPGPSGQNFPRVEQSGGRATAREESPRPPTRHAIRHPQPPRSRSRFQRPNEMAPPSPPELIDDLIGEILLRLPPEDPAFLVRTSLVCKPWRRLLSDGAFLRQYRAF >Sspon.03G0016960-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3B:77671735:77674257:1 gene:Sspon.03G0016960-2B transcript:Sspon.03G0016960-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPQKHHRGDASLHEKFLCAKDGAASRNNNESDATVLIPSSPIPVNTKFVDQYHGSSPSTDYYYMSARSLSSSPVPTAGTWLGSNRQTSRTPPQTNDQRGSLVGEIAPAHSAPSTVTKNALSVGNAKWNRHTSWKKAFTVCDAKRPH >Sspon.08G0012930-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8A:54913783:54915360:1 gene:Sspon.08G0012930-1A transcript:Sspon.08G0012930-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAYGLDVECPHIFDGTHFARWRNWMTCNFKFISPQLWWIVDVGFSFAIDKKNATQAQSKCLHLDSQATNVFYRSMDSSILCEIIDFESAHEIWVFLNEKYGAISNDDEPKEKAHEDVEHDHNKVVVEDCSTSRSSDDKNDHITRSLDKNDDNATSDASNDSTSCTLDGEDDGYESDAQQAHQYHHMVTLSESSSFGSNDQSHITNPCDVGKKHVSTSCDDLLDMPCSSQLNVCSTSMSCETNLLKENNELKSEVKNLSNKLERCYNSKVTFEHIMKTQRNLGDKSGLGFKKKLTRGERKQEKRMKRLLQKKLSHSMCYRCHEAGHLANGCPNIEKLKKMKEEERLKYVKCFKCRTWGHLTSMCPTKQLVKQQVKPQPKPLVEQDKTPKSKSRSTMKMSDLIIKKKKTRRGGKSKASNANSRCQDDEQESK >Sspon.07G0002610-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:5329045:5332315:1 gene:Sspon.07G0002610-3D transcript:Sspon.07G0002610-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSITHYVLDRFLETGSAAHVQKAVPKPPPPPPDEATLPVCPIRTQTSPASLYATPESTTLPDLPSSFPGTWSPYLVNHKRRGASLARTFSQGDAGREGSQPKLPVMLPALPKEGEPTEVQDPEFVLQQGGNGQVEGDSGVEEALDDKSGMLQKGKGSVVAESEHELPEFEFQRRSLEALVKPVNVGRLLNGGAHINSESDIFEWWKPSSPLGTSVGTPGAEFYDAFEEMSSDGGTRSSRGMDDDLREMRLSLLMEIERRTQAEEALEIWQQEWKNLSHHLSLIDLSLPSPSIAEDSDGSSVDPGAELCQQIKVSQLVAAAFAQGFARAEVESDMETMIATKNFEIARLSDRVQYYEAANREMSQRNQEAIKMSRQQRKGRKKRQKCFWVSVGLAVTLGATAIAWSYLPSTQPQASGDSNSAAS >Sspon.05G0038880-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:55843903:55846476:1 gene:Sspon.05G0038880-1D transcript:Sspon.05G0038880-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPPAAGADAKPLVLLSQPSDSGLTPVMEGQFRFVLAADADAATAAEARASDCRSHRRAPGAGARVHDSVGLDHVDLDACRRRGITVTNAGATFSVDSADYAVGLVIAVLRRVAAADAHLRRGRWAMDGDYPLATKREARRHRGLGSIGSLVARRLAAFGCPVAYHSRTRKPPPCPYTFFPTVRALAADSDVLVLACALTEETRRAVDREVMEALGAGGVLVNVGRGGLVDEPELVRCLREGVIGGAGLDVFENEPDVPAELLDMDNVVLSSHKAVATAESIRGVLDAVSGNLEAFFAGRPLLSPVSL >Sspon.01G0017040-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1A:61506475:61506897:-1 gene:Sspon.01G0017040-1A transcript:Sspon.01G0017040-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding QQGAIPENTVSTKELRIRDELAAEIEEDLEHEIINSMCRLARHLQRLYQQRNIREFTSSVTDYQFSLPQAENALLEMNIRIKLDDQCQIDITKVEKDAATIQGNSCPSTDQSDKRSLKKRHSDDAVHCRKNQNHPVVPWR >Sspon.08G0008410-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:27664847:27670590:1 gene:Sspon.08G0008410-3C transcript:Sspon.08G0008410-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADTARDAFPDEAETAFTLDEYIEGMEAVELEADLVLGGDDGKDCTYAGGYLKRQAVFSCLTCVPDGVAGIVELWTKRKFRCDCGNSKFGGHLCKLCPEKDSENSANAYNHNFKGSYCTCGRPYPDPEAKEQVEMIQCSICEDWFHGDHIGLDSIEEIPRDEEGEPLYEEFICHKCSPVCHFLKWYPDTIWASGKQNLAVQTDASDPNVMEKPSGHANTEKHENGALVDHTVGEKTSIGNDSTKAITVPEEANLGGSSGSNCKLGMDVNTMPAVTDKSEPFFMSKGWRETLCRCETCSNFYAQRGIAYLIDKEDSIEEYEKIAKQKREKKLEQQQGDATNFLNSLNHVQKIEMLSGINDMKNEFQSFVESFDASKPVTSEDIRSVFENLAKKKKQRLS >Sspon.04G0005260-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:9150030:9155534:-1 gene:Sspon.04G0005260-3C transcript:Sspon.04G0005260-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding NGGLVGADESDDGGERVVAQGVPAPLHQLPHRLRLDALQARPLQPRGLPLPLHPRSGPRRGRFDDMDSIYASPEDFHQMKHRDKIRGLHLLEYFVNQCHQLGIKCEAWIRHGDPKEVICSEVKRVQPDLLVVGSRGLGPFQRVFVGTVSEFCVKHAECPVITIKRNATEAPQDPVDD >Sspon.04G0002650-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:9283632:9302884:1 gene:Sspon.04G0002650-3C transcript:Sspon.04G0002650-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYTKDQLLARLQELKIDFTCYDHPVVLTVEEQTKHVGHLGGALSKNLLLKDKKHRLYVISALAGTKVDMKILSQRLGLGKGGLRMAPEENLLEVLQVPLGCVTPFALINESASSSNLDKFLISIGKQPAYVDLEAAPAVGKDNPPDLAHLVPSGVPNSSEQPVENAAHTNVPRLQNNVPKETEVKAIMTISKVQSKGAETSSKVDKPTTNTSVDKFVNDVFDIISPLLSEMTLKNASYTNGFHAGFKTMLHREVKAIMTISKVQSKGAETSSKVDKPTTNTSVDKFVNDVFDIISPLLSEELKKLDIKKEELSSILDGLRGRADPDLKSIAVYIFTSGFHQSSTVDNLTLNWQNAHRHSESEVGRLEEENLITSLNWVMKEKMKTQVATRTKDWRAVMSLPPASAASCLTRRPCSHASSGSSIPRGGNSHENGPHRTNVAGSLSLSLFLFLLPLLPPRGAACRLLTLDPAPGSWYRQTGQELCRRSHGTMQSEW >Sspon.05G0021570-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:59198554:59203514:-1 gene:Sspon.05G0021570-2P transcript:Sspon.05G0021570-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSYKYKYCMCFTRKFRSPDAQPPPDVSAAYHSFNSDVHALRRFLSQAQAEHPAEVDRIHALLTAASGGHGIARLVTRSPAPAMPTLEDFFAFLFSPELNPPMAHQVHQDMSAPFSHYFVFTGHNSYLTGNQLNSDSSDIPIIKALQRGVRVIELDMWPNSSKTNVDILHGGTLTAPVEMIRCLKSIKEYAFCASTYPLVITLEDHLTPDLQAKVAKMLTETFGDLLFIPSSDPMKEFPSPAALMKRIIISTKPPQEYKEFLKVKDKQNGSGNIADLPDTGSLKRIDSNADNQNGSGNLAADTGSLRRIDSNADDSDGKDELDEQDEEDSDEDDPKFQQDTAFFLEKEQDTACEYRRLITIQAGKPKGHLRDALKVDPEKVRRLSLSETQLAKATTSHGAEVIRFTQNNILRVYPKGMRVSSSNYDPMDAWTHGAQMVAFNMQGHDKALRLMQGFFRANGGCGYVKKPDFLLTTGPKGEVFNPKSSLPVKKTLKVKVYMGDGWRMDFSKTHFDAFSPPDFYTRIGIAGVRADTVMKKTRVIEDQWVPVWDEEFTFPLRVPELALLRIEVQEYDMSEKHDFGGQTCLPVWELKQGIRAVPLHDRKGNRYKSVRLLMRFDFV >Sspon.04G0022380-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:9140431:9144380:1 gene:Sspon.04G0022380-2D transcript:Sspon.04G0022380-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVALALAVALAVASLHAEAVTSPYGNTLHHSENATVGQFAFTTAEAGNYLACFWIDSAEKGSGVSVNLDWKIGIATKDWDAIAKKDKIEVGMRILVPSYSSKEAEMRTVSEATNARVVWFSTLALWVCIARRESCDEVSTGLIVRTHEYVVAL >Sspon.02G0045660-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:89648057:89651901:1 gene:Sspon.02G0045660-2D transcript:Sspon.02G0045660-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSACGGAIVPASRFLRRRAAVRDLGGDAAGDADADAFWSAAPRLYDFSQQQPTPQRRSPSPAPSSPCLLSRKRHVERSPSPPPAPRRSPASGGTTCLLSALHRTCVGWGVTRRAEYPSRQQQHRPGSPTASGLGMRVHQLAAARCVGEDEESTSGAVKRTKCLGVVVGKNEQVAPAVGQDQESGREEDMPVVDKARKARSRKRARWSRRRRGAARRAKKAPRVVKEEQEETSGEPADEDVKPAVAVKAEEESRDGGKRAAATTSARAHVAAKRAKRTTPPKQEEEKGEVAAAAAERKPATATLDPASPRGKVDRWSAWRYAAGEATLVDILRERGATAGKPAPRAELRARARLHIGDTGLLDHLLRHVADKVPAGGADRVRRRYNPAGVLEYWLEPAGLAAVRREAGVDDPYWVPPPGWKPGDPVSPDAHTLQVQKQVEELTGELAVVKRQMKQLDSNLVQVSKEAYISWKGYDCMVKANGKLEKEVLSLEEKYENAAQVNGELKELLLLLKDKYDTVLEKNEKLEGQMVALSTSFQSMKEELLLQRIEEQPLLMLAQEPWDGDKQEAGANNALVCAGNQLTDAYAVDGSFSSDHSACDDEKMALRKRICMREGACQWPRSAASSGTAGSTADLPEPLIPGADLVITDFDTMINSLAPPSMEEYLLADGLPTPTSASSTNASPKLQLLPSPASPIQVQPLPSTTMVMGDLNLQLRHTPQDMSTSSGPCGAKALKLDVGAGGGEVGTELVLATPTY >Sspon.01G0055340-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:78052840:78058281:-1 gene:Sspon.01G0055340-1C transcript:Sspon.01G0055340-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPEGLDESVISWSKEGTDSPTPARTTRTRTAAVAAGGVPAVGAGGGDAEEPRGAHQAVRGRCLRRFLLPQGPPTGAAEQNMPLGPARPPLHAALGRHTSLTQSSDLQPDNEDPQFSVECSGDPAIPIQIAKAVSSVINVGEAAMVWWRGRRGWAHKQPQGGSTAKLVALAVTVEGIQGHRASVIQVLKLLDGNEEQAAAILFGGSLEKIERLE >Sspon.03G0028730-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:10976184:10984389:-1 gene:Sspon.03G0028730-1P transcript:Sspon.03G0028730-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLLRRASLRRVIAASASASASSSHPESYKQGICGSMFHCREFASKAKKKKTSGTDSGEENMSKKDLALHQAIDQITSAFGKGAIMWLGRSQGHRDVPVVSTGSFALDMALGTGGLPKGRVIEVYGPEASGKTTLALHVIAEAQKNGGYCAFVDAEHALDPALAESIGVDTNNLLLSQPDCAEQALSLVDTLIRSGSVDVVVVDSVAALVPKTELDGEMGDAHVALQARLMSQALRKLSHSLSLSQTILLFINQIRAKVATFGFGGPTEVTSGGNALKFYASVRLNIRRIGLVKKGEETIGSQIAVKIVKNKHAPPFKTAQFELEFGKGICRSSELFELGLKHKLIKKTGGAYYSFNDMAFNGKHNLKSYLDENKSVANDLEMELRRLMGTDAPKEQEVEDSSPSDLPEEIVTPEVSSEEDLGAVIEG >Sspon.03G0009680-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:26045636:26048742:-1 gene:Sspon.03G0009680-1T transcript:Sspon.03G0009680-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLRVGALALLLLLFVHGGGQQAAAAGGDAFVRVQGTRFVQNGKPFFANGFNAYWLMTFGADPAQRGKVTSALSQAAGAGLSVARTWAFSDGGGSSSALQYSPGRYNENTFQGLDFVLAEARKYGIKMILSLVNNYDSFGGRKQYVQWAREQGQTIGSDDEFFTNPVAKGIYKNHIKAVLTRVNTITGVAYKDDPTIMAWELMNEPRCQSDVSGHTIQSWITEMAAHVKSIDGNHLLEAGLEGFYGASSSPSRRSSVNPSGYQVGTDFIANNQAPGIDFATVHSYPDQWLPSLDAPSQLRFLGAWLDAHIADAQAVLRKPLLGPGYSGDQRDAVFGAVYAKVYNSARAGGPAAGALFWQLLTEGMDSYGDGYEVVLRQAPSTTGVITTQSRRLQGLVRAFVRARKVQRGKTGKGARGGGN >Sspon.03G0001740-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:4307211:4313690:-1 gene:Sspon.03G0001740-1A transcript:Sspon.03G0001740-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDERIPPPSLLQYSQSPVHSSPHPLNSMRYSSSDRERYLAELLAERQKLAPFVQVLPFCTRLLNQEILRASSMAPNHNFVDPERIEHGSPLRLPGHPVNGQPMDLEGWSGIQTEASQHMGVLQASSMGWNGAPVLAATPIVKKVMRLDVPVDKYPNYNFVGRLLGPRGNSLKRVEASTQCRVYIRGRGSVKDSVKEDKLRDKPGYEHLNEQLHVLVEAEFPVDMVDARLNQAVSILEDLLKPIDESMDYYKKQQLRELAILNGTLREESPSPHLSPSVSPFNSTGMKRAKTGR >Sspon.08G0007300-3C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8C:23817870:23818157:-1 gene:Sspon.08G0007300-3C transcript:Sspon.08G0007300-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GEVVSLRQQISNFESVTLPDLRAQLRRPAAAANHGRVKGQDSFHQCYLSKCLFVIGTGGNDYLLNYFNPRKNGTESGPPLSEFTTSLITKLSDHLQ >Sspon.01G0059200-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1D:43315720:43316901:1 gene:Sspon.01G0059200-1D transcript:Sspon.01G0059200-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RRGPIRNHGHHGQRRSVFERLGPRVRRNVAHGHGHGRFGRLFDSAIRGLHANAVPRRRWIPAHGHQASPLRGLRLQSQPPDPRLGVDGAGPSNAAPRAVEAPVPPAVEVPAPAMPAVEAPAPSIDEVVAAPVVPAYVPMEPMSPAPAPPRYWCDFCKEYTLMSHTLEYAYSLPTPTPASPTPVATGNGVSPLDPWFLNTQAAPALDAVKVDDEIDVVAAPGVGNLFNLGDIFNTAARTGISPYFFLDRAGSSAPPPARAGSSAPPPAVTPPTAAPPPRHTRGGCGPHEGEGPPGGASITSRRHCNHAPDAPATPGSQGGRGRPVPRHPQGNLEPGGARPPVREGPQYGSRRQRLLLSRRGGVLFELKYDGSGSVVEGDGEMFGGSGGFSDGNGD >Sspon.02G0011550-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:30830123:30833730:-1 gene:Sspon.02G0011550-1A transcript:Sspon.02G0011550-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHNMDLVQLFITACVPVFNMLLVTGVGSFLATDFAGILSKEARKHLNNVSNVKGCTKAVIVFYVFSPSLIAIYLAKTITMESLAKLWFMPVNILLAFTFGLFFGWIVVKVTRAPAKLKGLILGCCSAALCQEKGSPFGAADVCQDIGLAYSSLSMAIGAVFVWSIAYNIVRVTSNLTEGDDDAQTNETKVLNSGNVTEENCSTSNDSTNECTLPLISASIRPAKDKDSMLERTRKVLLSISEAVDLKKLFAPSTIAVIVGFIIGGTPLIRNAIIGDSAPLRVLQESSELIGGGAIPSITLIMGANLLNGVRGRASVPPSVIAGVIVVRYVLLPLLGTALVKGAVRLGLIQPDPLYQFILHLQYAVPPAMNIGTIMQLFGVGESECSVIFVWVYALASVAVTIWSAFFMWTLS >Sspon.04G0021570-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:613207:616200:1 gene:Sspon.04G0021570-1B transcript:Sspon.04G0021570-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADEAEWIEAEAGNAGAGPPAPGGGEEIKRKRGRPKGSVDSYKRRTRRAGDPALAVSVASLGDLGHSSGCISSPPLPSPCTVYTVRDGAAAIAAQSMADEAEGVEAEAGNAGAAPAPGGGEETKRKGGRPKGSLNKNNKSNKDRTPKPKAGTKGRRRRRTSDRASSVPAVSLGDLGRGVAAGIRLLRERRPAPNAFFDWDSDSDTEHDDDEETTINQVNHESAKTGVSVKKRGRGRPRKMEADQLDSKAQSSNGKSNGQMNSNAAGKKRGRGRPKKLTVEQVNQILNLTILMHALDSQSDAEAARSKQSRNHKLLQNAKKRKRDVGKESMTKKLNKVDKEQKKLPSTKDESLDKNNMWFFTTIFYECKFCFKNIQKGSKMLTGENALMCHQCQRKDKPRVVQCQSCKKKRFCVPCIEQWCVKLS >Sspon.05G0008130-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:18864715:18870939:-1 gene:Sspon.05G0008130-2B transcript:Sspon.05G0008130-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGCDDPRAAPARSVAVIGAGVSGLAAAYRLRKSGVNVTVFEAADRAGGKIRTNSEGGFLWDEGANTMPNSQHKRYIVKDGAPALIPSDPISLMKSSVLSTKSKIELFFEPFLYKKANTRNSGKVSEEHLSESVGSFFERHFGREVVDYLIDPFVAGTSAGDPELLSIRHVFPALWNLERKYGSVIVGAILSKLTAKGDPVKTRPDSSGKRRNRRVSFSFHGGMQSLINALHNEVGDDKVKLGTEVLSLACAFDGVPAPGGWSISVDSKDASGKDLAKNQTFDAVIMTAPLSNVQRMNFTKGGAPFVLDFLPKVDYLPLSLMVTAFKKEDVKKPLEGFGVLIPYKEQQKHGLKTLGTLFSSMMFPDRAPDDQYLYTTFVGGSHNRDLAGAPTSILKQLVTSDLKKLLGVEGQPTFVKHIYWGNAFPLYGHDYNSVLEAIEKMEKNLPGFFYAGNNKDGLAVGSVIASGCKAADLAISYLESHTKHNNSH >Sspon.01G0033180-1P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1A:112076618:112077214:-1 gene:Sspon.01G0033180-1P transcript:Sspon.01G0033180-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPVKSSVNQGYQNDTATLWWRAATILHVVAGVAIMFGVWWLSQYTEKAEAMARPRLFVGLGHIEGLDRSSPSPPVPPAFHLTLDAQVRISVSGCCNRIGGGNSMLRVIYHGMLLARGHVPTFCVCGGVANVEAKNDAVLLREEVRALIQSEQHVVGKAEFDVEGEVAGLGYLHCKAFLLQGNAKEGSKGTCQVDYCH >Sspon.05G0007610-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:23664632:23667461:-1 gene:Sspon.05G0007610-1A transcript:Sspon.05G0007610-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium uptake protein, mitochondrial [Source:Projected from Arabidopsis thaliana (AT4G32060) UniProtKB/Swiss-Prot;Acc:Q9SZ45] MLPADLMRAVVPVFPPSESTIVREGRLRGERSPGELHCAPSEFFMLFDTNSDGLISFAEYIFFVTLLSIPESNFTATFKMFDVDHSGVIDREEFKKVMALMRSFNRQGSTHKDGLRIGLKVGQPVENGGVVEYFFGSDGNEPLHCDKFSKFLKELHDEIIRLEFSHYDVKSSKTIPAKDFALSMVASADMNHINKLLDRVDSLVEEPDLKDIRITFEEFKAFADLRRRLKPLSMAIFAYGKVNGLLTKEDLKRAAQHVCGVGLTDRVVDIIFHVFDTNQDGNLSSEEFLRALQRRETDIRQPTIPGPLGFLSCWFSGSKCSSLQQPCIR >Sspon.05G0014030-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:50084929:50085305:1 gene:Sspon.05G0014030-3D transcript:Sspon.05G0014030-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPTTVALLILMNMMCTIGYFGHASFLGTKAQETTLLANSYGSNEGTSFTTGINPGRKLMARSWDSRDICCKMSSSPCKVLESLVSSSGFRPI >Sspon.06G0014980-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:64727869:64729548:-1 gene:Sspon.06G0014980-2B transcript:Sspon.06G0014980-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATARWPLAAAAAAAAVAALLLVEVATAAAPRKPVDVPFQKNYVPTWAQDHIHYIDGGREVQLYLDKSTGTGFQTRGSYLFGHFSMHMKLVGGDSAGTVTAFYVPVIRLTGGGSSDRTSMQLSSQNSEHDEIDFEFLGNRTGQPYILQTNVFTGGKGDREQRIYLWFDPTKEYHSYSVLWNLYMIAFFVDDVPIRVFKNTSADLGVRYPFSQPMKLYSSLWNADDWATRGGREKTDWSNAPFVASYRGFHVDGCEASAEARYCATQGARWWDQPEFRDLDAAQYRKLADVRRRYTIYNYCTDGDRYAAVPPECARDRDV >Sspon.02G0025150-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:86974455:86974796:1 gene:Sspon.02G0025150-1A transcript:Sspon.02G0025150-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVKSASICLFLVLLLVQNPSSSAEDQNCFFTTDRVKTWCVNWACKFGCLIDAKQYHTKYKNSWCEGSRKGICYCQFCD >Sspon.04G0015080-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:56398694:56403625:-1 gene:Sspon.04G0015080-1P transcript:Sspon.04G0015080-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATTAGAGAATPQPPRQYKLAPQSELRVEVLPDVPLRVRLVTGTAEIFGTELPPEGWVPVPPRSKIAIFTWHGATVELDGVSESEYTSDETPMVIYVNTHAILDARRARARAAAAQGGDMEASQGPRVIVVGPTDSGKSTLCKMLLSWAAKLGWKPTYVDLDIGQGSITIPGCISATPIEKPIDIVDGIPLEMPLVYFYGHPNPSINADVYKVLMKELAKTLEKQFSGNAESRAAGMVINTMGWVEGLGYELLLNAIETFKANVVLEKLWKMLKDAVQSKSNIDVVKLHKSEGVVLRNSKYRQKTRSFRIREYFYGIANDLAPHSNIVNFSDVSVYKIGGGHQAPRSALPIGAEPVADPTRLVAVNISTDMIHTVLAVSYAKEPDEIISSNIAGFIHVTDVDIQRKKLTYIAPCPGDLPSRLLIASSLTWYEA >Sspon.01G0020490-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:78176479:78180697:1 gene:Sspon.01G0020490-2C transcript:Sspon.01G0020490-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDEYVDLPVSDDDEEEFEDEMDDGEEEGGGGSSKKKAKQHVEQLKRLKQKDPEFYKYLEKFDKDLLGFDDDEIEDDQETGVDDDEESVSKEELKQTVKPITMEMVDSWCDGVENEKIGSIRSILQAFRRASHYGEDQGDNSTPKLSVMSGSVLDKVMHFVLKHMDRVLRQLLGAPSFGGKKEAISELMLSKPWKRHGNLMRIFLSNALHMITEMTDEQMIAFTIHRVRASAVFLAAFPSLLRKYVKALLHTWARGRGAMPLVSFMFLRDLCIQVGSDCLDTCLKGIYKAYLVNCKLSKSISGSKLQHIQFLGNCVRELYSLDPQTAYQHAFVFIRQLGVILRGALTERGPKSAKDKRQKESSKSSKKQAEKSYQKIYDWQYISALSFGPVFSEEEFRPLAYPLTQIIHGVACLVPSARYFPVRLRCVRMLNRIAEATGTFIPVSSLLLDMLEMKELRGRPDGGVGKAVNLFSVKQVDKKTVKTRAFQEACIYSVVDELAKHLAQWSYSIAFFEMSFIPLVRLRSFCKTIKADRFRKEMKDLIYQIEANVEFIKLKRVGIAFSPNDPAIEKEERCSPLSKYVATLHQRAQDRMDALDETSVIVGADSSTFSRRLSEAQKQQDEQDDDEGTIAFSKNWLAENKKPSITPKENKKRPREEDDVATEEDRVEDLVLSSDEEDGNNQEPEDGFVPVEGDSDEDFVDPDSEYKKQKKAKLKKRNNKRQPLPHKAPSRTKRNSHPKKKTRH >Sspon.02G0034220-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:11203034:11209122:1 gene:Sspon.02G0034220-1B transcript:Sspon.02G0034220-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMTSRDSLVLGRVVADVVDPFSPTVALRVSYNGRRLINGSDLRPSAVAARPRVEIGGTGFRQSYTLVMVDPDAPNPSNPTLREYLHWLVTDIPGTTEIEYGREVICYESPQPPAGIHRVVFVLFQQMARGSVDQPPLLRHNFCTRNFAVDHGLGAPVAAAFFTCQPEGGTGGRRHDLRQPRTPPGAGVLN >Sspon.06G0017580-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:72921883:72925182:1 gene:Sspon.06G0017580-3C transcript:Sspon.06G0017580-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRGRSPEPLDFFIWTVQVLRLLSFFVLSFSFNGLWLEEINLGSYRQAFEENGVNGEYLESLSMFTTEQILRFIRRCHMKWGDFITLCKELRRIKVACLKGEQEVRRPWWAPSCLSVVFVRMAKRNRQSRVVSLKLEV >Sspon.01G0016900-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1C:63136815:63137235:1 gene:Sspon.01G0016900-2C transcript:Sspon.01G0016900-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVKLRSISGITKVTGVALCLAGALVIALYTGPSVSPVNHHRAFGRGAHAVAAHGLKAPSRGTWIIGTFLMLLSNVTWSLWMVLQAGLLKEYPNKVLITTFQCLFSTVQSFVVAAVAERDFSKWALKLDVSLLAVAYTV >Sspon.06G0029990-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:33800132:33800959:1 gene:Sspon.06G0029990-2D transcript:Sspon.06G0029990-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RKIADKVGEAGYFVVVPDFFNGDYLDERKNLTEWLKAHSPVKAAEDAKPLFAALTKEGKTIALGGYCWGRKFSVEVGKTNEVKAVCISHPYSVPFCACTASKRRGEFLVISVTPSVWIPVALQLLILVALSSVAGSLLCQGLSASCARICLQIQYHRPFRCQNCGASPCLHDQLVRQVPEVKTIEDYPVRGY >Sspon.03G0022630-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:63721615:63722124:1 gene:Sspon.03G0022630-2B transcript:Sspon.03G0022630-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SVNCVRWAKHGRYLASGSDDQVILIHERKAGSGTPEFGSGQPPDVENWKVIMTLRGHTADVVDLSWSPDDSTLASGSLDNTIHIWNMNNGICTVVLRGHSSLVKGVTWDPIGSFIASQSDDKTVMIWRT >Sspon.03G0028290-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:8901331:8901771:1 gene:Sspon.03G0028290-1B transcript:Sspon.03G0028290-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding FARRLHADRRPAHGRHRGVRAPRRPSGQRGRRHTATERRVPREEGGGQDGGAGRGGRRRGAGARPVLRRRVQPPAQRRRQQARVRQGGGGAAAGGVGAQVLPPRRGGGGGRGEHRRARVRRRRRRQALARVAHPAHVRAGGRQVSAA >Sspon.06G0013440-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:57947592:57951094:-1 gene:Sspon.06G0013440-3C transcript:Sspon.06G0013440-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LMEDAKMGNAFTIRTVLAILQWWGFNVTVIIINKWIFQKLEFKFPLTVSCVHFICSSIGAYIAIKVLKIKPLIEVASEDRWRRIFPMSFVFCINIVLGNVSLRYIPVSFMQTIKSFTPATIVGGILLTSVTELSFNTFGFCAAMVGCLATSTKTILAESLLHGYKFDSINTVYYMAPFATMILSVPAMVLEGSGVVSWLYTYESVGPALAIIVTSGVLAFCLNFSIFYVIHSTTAVTFNVAGNLKVAVAVLVSWMIFRNPISAMNAVGCAVTLVGCTFYGYVRHLISQHQAAVATGGGGPRTPRGRLEMLPLTAEKQGDKI >Sspon.01G0026110-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:90418042:90421718:1 gene:Sspon.01G0026110-2B transcript:Sspon.01G0026110-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ornithine aminotransferase, mitochondrial [Source:Projected from Arabidopsis thaliana (AT5G46180) UniProtKB/Swiss-Prot;Acc:Q9FNK4] MVFSKGEGSHIVDPEGNKYIDFLSAYSAVNQGHCHPKVLRALIEQAERLTLSSRAFYNDKFPIFAEYLTSMFGYDMMLPMNTGAEGVETAIKLARKWGYEKKQIPKNEALLVSCCGCFHGRTLGVISMSCDNDATRGFGPLVPGHLKVDFGDIDGLKKIFEEHGDRICGFLFEPIQGEAGVVIPPDGYLKGVRDLCSKHNILMIADEIQTGIARTGKMLACDWENIRPDMVILGKALGAGVVPVSAVLADKDVMLCIRPGEHGSTFGGNPLASAVAVASLKVVRDEGLVERAAKLGQEFRDQLQKVQQKFPQIIREVRGRGLLNAVDLNNDALSPASAYDICIKLKERGILAKPTHDTIIRLAPPLSISTITCSEPETVRQLIPKPYMAFSPEELAEASKALSDVLEHDLTQMQKQIKKPESEAEKPVCDRCGRDLYG >Sspon.06G0000870-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6A:3028616:3029463:1 gene:Sspon.06G0000870-1A transcript:Sspon.06G0000870-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GSNAPMERSATTTSPTKRPASQGVPASGGARDDGEFKGYEFGYDAPSERSHRATPTAPTAVFFHEEAVRVGERLPLHFRAAAPAALGLLPRGVADSIPFTTAALPAVLALLGVPPGSSRAAAMAETLRTCERPPPVAGEARFCATSLEAMVERAVAALGTRDVRAVTSALPLAGLPPQAYTVRAVRRIGGGASFVACHDEAYPYTVYWCHGTGPARAYLVEMEGARGGGAVTVAFVCHTDTSRWNPEHVSFKVLGTKPGGAPVCHLMPYGHIIWAKNVKPSP >Sspon.04G0019070-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:70899891:70917229:-1 gene:Sspon.04G0019070-2B transcript:Sspon.04G0019070-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAINRLAKFLNLKKEGSSFAGRVVEPVLRLLNENGLDEAIDLLRTVIKLYPSSVNRHYNKVESTIAAKIMSTGINVKPSEKFARTLALLPSVRVSEDSWSLMIRRILIMVNNLLNDAFIGLEEEKKGHDIMLLLVPPGADPLPSLGDEVMPGGNVHVMKKFRVFTVPTISALAHCCSVMLTSYYPVQVNVPIHALMALMRRVLLVDGSLHNKLFPSTTSLHQELICFELPSLHSTFLDLLNATIKGMRSQLLPHGASIIRLITEYFKIAKLPTLRTKAYSTLQLLLTSMGVGTSLHLIEAIVSNAIADLNDDGGSDMTIINTNPSQLTNESSSKIYSKKRKQEPQMQNSTISGSEKVAISPRKRKGSSIPFASKGVAPESIGDVRLSAPLSVKIAALETLEILLNVGGSLRMDRWRSEVDVLLINVARSACNMRGSYEQKPSVFGEPSISDLQLASFKGLLASFLSSPHARPPYLAKGIELFRKGKLEIGTKLAEFCSHALLALDALVHPRALSLERTVPSQGKTVFGAGTYQISRFGDQPQAMEVEDMYDDWLTSNNDEPPVVPVNDSAAGVSTDVMLVEDGKQLNPITEDPKVDPPRITDAAQDAPTSTKSDVKMVDAAADETANPDTVEENPSISNVSASPECTTNFDSRKHVVFPEQASPHENKSPAAVHPPSSSMVGTSGEPSATPGVGSSHHQRPEARSTSFAELFGSESGVESESEDSLPDIVDGDPDSD >Sspon.06G0013620-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:58389116:58390929:1 gene:Sspon.06G0013620-2C transcript:Sspon.06G0013620-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVAHQVTSSPFVLVQWLLLLAVPLLLLLLHYGSRRSRTSRRRCSSSSSCSDDHRSAKGQHLPSPPGLPIIGHLHLVGNLPHVSLRDLSAKHGRDGLMLLRLGAVPTLIVSSPQAAEAIMRTHDHVFASRPASTVSDDLLYGSSDIAFSPYGKHWRQARKLVTAHLFTVKKVHSYHSARKEEVRLVLAKVQEAAAAGTAVDMSTMMNTFANDIVSRAVSGKFFRAEGRNKLFRELLEANSALFGGFNLEDYFPGLARSLGFLSRWFLHNRAHETHERWDELLETILSDHERRDSMHRHDGGDFTDVLLSVQKEYGMTRDHVKAILVDMFGAGTDTSSLVLELAMTELMRNPQQMAKLQDEVRKHTPEGQETVEEENIASMPYLGAVVKETLRLHPPVPLLLPHLSMADCVVDGYNVPSGTRVIVNAWALGRDPESWEKLEEFMPERFLDGGSTAGVDFKGNHFQFLPFGAGRRICPGLNFGMATVEIMLANLMYCFDWQLPIGMEKGIDIHDRAFVLLYLQLVVTAPCKLLRTRTNDLEKRTADVVEHEHNAHR >Sspon.01G0049730-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:113359470:113362329:-1 gene:Sspon.01G0049730-1P transcript:Sspon.01G0049730-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSCVSSTRHRRRSRKLSVAARKFRRKVSSAIADAPIIRGRHGVVHVEAPDSNVTLHLTKLQWQHNQMDAGSVICEEAWYDSVSILESPDSDDDLDNDFASVSGDPLPDVTGGSNAPQCKDAACFLDTMQLLRSIANAEACDQSEQPDKSDDSNVAATATNSGSCNDEECCSSTLKELQAAMSPRPPFTTSIPSNKVQPMPIVGVSPHHQQQKKKTAVVRLSFRRRSYEGDEMTEMSGSANYLYRPRAGFTVPCSTGEKLSEGCWSVLEPSTFRVRGGSFFKDKRKYPAPDCSPYTPIGADMFAYTRKIHHIAQHLSLPSLKTHETFPTLLIVNIQLPTYPATVFGDNDGDGISLVLYFKLSDSFDKEISPQLQDSIKRLMNEETEKVKGFPVDSIVPYTERLKILAGLANPEDLQLSTAERKLVQTYNQKPVLSRPQHKFYKGPNYFEIDLDVHRFSFISRKGLETFRERLKHGVLDLGLTIQAQKAEELPEHVLCCMRLNKIDFADNGQIPTLITAAD >Sspon.02G0038480-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2C:46555074:46555647:1 gene:Sspon.02G0038480-2C transcript:Sspon.02G0038480-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETDCRSSHVVVDIEAEGEEPICVVCMEPMEWVAVAPCGHREVCVRCAASIRFFHNDLRCCICRSHCSTVVVTKANRASGQQRGFPFLWPPPPAFASKVLVGHYYWYHRGMRAYFDDVYQYQETKKVCTTSSSSSEESRAAGATEVNYVGYPDALLPQPASSVNNVNLNISVSPRQAAGAPLEEPSSSDV >Sspon.05G0013050-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:35569811:35572820:-1 gene:Sspon.05G0013050-2P transcript:Sspon.05G0013050-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding HGAEGVPRVAWRRGSAAAAVRAEEDGPWGGGGHGVHHQQGQNRQRTLYSSTRGTSTNYPFTTTQKREIFDSLQPWAKDNLLNLLKPVEKSWQPQDFLPEPSSDEFYDEVKELRERANEIPDEYFVCLVGDMVTEEALPTYQTMLNTLDGVRDETGASPTTWAVWTRAWTAEENRHGDLLNKYMYLTGRVDMKQIEKTIQYLIGSGMDPGTENNPYLGFLYTSFQERATFVSHGNTARHAKEYGDLKLAQICGTIAADEKRHETAYTKIVEKLFEIDPDYTVLAFADMMRKKITMPAHLMYDGKDDNLFEHFSAVAQRLGVYTAKDYADILEFLVQRWKVADLTGLSGEGRRAQDFVCTLAPRIRRLDERAQARAKQAPVIPFSWIYDRKVQL >Sspon.01G0053430-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:36064086:36070939:1 gene:Sspon.01G0053430-1C transcript:Sspon.01G0053430-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLVVGEVNESDSGNYGASAHSDYGVITLLVTDGTPGLQVAFFLDPNPDTLVQCLESCCSEACPPRFSSP >Sspon.03G0041870-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3C:47869299:47869565:-1 gene:Sspon.03G0041870-1C transcript:Sspon.03G0041870-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPATFLYGFQKLIPVLASRYLQKTPIWWRWYCWLCPVAWTLYGLVVSQFGDVMTLMDDKRPVKVFVEDYFDFKYSWLGWVAAVVVAFA >Sspon.01G0038980-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:32415722:32416366:1 gene:Sspon.01G0038980-1B transcript:Sspon.01G0038980-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding PATRQSPPAALPRDTTEDDLR >Sspon.08G0004500-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:13374934:13376199:1 gene:Sspon.08G0004500-3C transcript:Sspon.08G0004500-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VMAWWRARVVAPVRRAWLAVAAARARVRKAERGIMSLHQDVQTCGYQDVQVMWNMLSLEKEAVATAGALPKPPRKWPFWRLPLWPVRSPRAAAAW >Sspon.01G0021200-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:76318284:76322023:-1 gene:Sspon.01G0021200-3C transcript:Sspon.01G0021200-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLPIYTITLLCFCSSSLLPPVSSDSRLLPNKPLTVESTLISDDGTFALGFFSPSNSTKKHHYYVGIWYNNIAKDNVVWVANRATPVTDPSSATLALTNTSNLVLSSINGQTLWTANLSAAPETTAGEATLDNTGNFILRTSEGVVLWQSFDYPADTLLPGMKLRVTHNGHALQRLVSWNSPQDPAPGIFSYGADPDEFLQRFVWNGSRPYRRGVSTVYFTISNDDGEIYMSFGIPGGSSSSAAIKLKMDYSGKIEILIWNSIILEWDVLVAGPNHECTTYGYCGPFGYCDNTEPNATCKCLDSFKPISNNGRSNGRFPEGCRRKETLRCGEENTSFLTLTTMKIPDKFVYVKNRSFDECTAECASNCSCTGYAYANMSTTAINGDDTRCLLWMGDLIDTEKRIGEGENLYIRVNRSSVKQRNKKTWKKIISGVLSISGELGDGKLPSISFREIVIATNNFSSSNMLGHGGFGHVYKGTLECGKTIAVKRLSKGSGQGVLEFRNEVILIAKLQHRNLVKLLGFCIHGDEKLLIYEYLSNKSLDAFLFNSTRKPSLDWSTRFNIILGIARGLLYLHQDSRLKIIHRDLKASNILLDDEMSPKISDFGMARIFYGNQQQGNTNRVVGTYGYMSPEYALEGVFSVKSDVYSFGVLVLEIVNGSKISSMHMTEDYPNLIACAWSLWKDGNAKEFVDPSIVDSCSLDETSQCIHIGLSCVQDNPNARPLMSSIVSILENGDTSLPPPKQPIYFAERNYGTDGAAEAIVNSANTMTVTALEGR >Sspon.02G0041260-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2C:77375381:77375725:1 gene:Sspon.02G0041260-2C transcript:Sspon.02G0041260-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWWMLGVRSTKRSWPELGGEAVQGADLASARGCFVDHDGTSARLCSGKLRREDIAKRQSNLSTWALCCVVMTFLWSQVVVSSRERWTGTSTPIMAGRAVESSRRLNSQVASGG >Sspon.01G0003170-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:8569169:8570446:1 gene:Sspon.01G0003170-3C transcript:Sspon.01G0003170-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAATTPHSLLLQRAASPAAPPRAAAAASSLRLPARAARISCAAVAAPSPAAAPAADEAERGVYNFAAGPATLPLSVLKRAQAELVDYHGSGMSIMEMSHRGKEFDAAIKKAEADLRALLAVPDTHAVLFLQGGATTQFAAVPLNLCAGPTDAADFVVSGSWSDKAFKEAKKYSAASVAWSGKDGKYTALPPFDAIKQNPEARFLHICSNETIHGVEYKDYPEPRNKSGILVADMSSNFCSKPVDVSRFGVIYAGAQKNVGPSGVTIAIVRKDLIGAAQPITPVMLDFKTHADNASLYNTPPCFAIYICGLVFEDLLAQGGLAEVEKKNAHKAGILYDTIDASGGYYICPVDKSVRSHMNVPFTLAKGPDFEKQFIAEATKEGMVQLKGHRSVGGVRASIYNAMPLAGVEKLVAFMKDFQARNP >Sspon.02G0024990-2D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2D:79854833:79855075:1 gene:Sspon.02G0024990-2D transcript:Sspon.02G0024990-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTKYNVVTEEIPLHISPHEATYLVHASLFCTATAPSTEVVFYLAIFCGINPSPYQHLGSKKHLWWAFAWTDGTATCYVQ >Sspon.06G0019920-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:5691825:5694141:-1 gene:Sspon.06G0019920-1B transcript:Sspon.06G0019920-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LRLCLETIAFNTWRADAPRQQGPQSVHGREQLRRREGILKEAFQRMVAIVELDHAVNGTYSSSERLTTRCVNFGVDESYKLSMPITGNPLYAQIKVDAVIYNIRGLAWCVSVRRGWLNGDFSMVAKVSHFAGSRVKNQREVPISYVRFESQSRQARRPHRSVLLPL >Sspon.07G0005350-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:13604813:13609253:-1 gene:Sspon.07G0005350-1A transcript:Sspon.07G0005350-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLREKEEQQPAVARWRQQAACQRPAKQQKREEQQPAGARWPRHPRLVRTVQRPAMARKKKQRHPGALLEERWPRKEERPSGALRGPHQEGRQRGSYFYSATETKLVVDTSRGERLRVNFDITFPSIPCTLLSVDTTDISGERHQDIRHGIEKRRLDSHGNVIEARKEGIGGAKIERPLQKHGGRLDKGEQYCGTCYGAEEVCTPSFFFGSFYFIVTFISTSLSMLCFSTSNCLDLLGAQSDEQCCNSCEEVREAYKKKGWALTNPDLIDQCAREDFVERVKTQQDEGCNVHGFLDVSKVAGNFHFAPGKGFYESNIDVPELSVLEGGFNITHKINKLSFGTEFPGVVNPLDGAQWTQPASDGTYQYFIKVVPTIYTDIRGHNIHSNQFSVTEHFTDGNVHLKPQPGVFFFYDFSPIKVIFTEENRSLLHYLTNLCAIVGGMSKFSLGVIIISQKNATFTIFTR >Sspon.06G0008240-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:33970384:33978554:1 gene:Sspon.06G0008240-2B transcript:Sspon.06G0008240-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ADP-ribosylation factor 3 [Source:Projected from Arabidopsis thaliana (AT2G24765) UniProtKB/Swiss-Prot;Acc:P40940] MGIVFTRLFSSVFGNREARILVLGLDNAGKTTILCKSHPHVPSPPPSSNLHRLQMGEVVSTIPSGQTSIRPYWRCYFPNTQAIIYVVDSSDTDRLVTAKEEFHAILEEDELKGAVVLVYANKQDLPGALDDAAVTESLELHKIKSRQWAIFKTSAIKGEGLFEGLDWLSNTLKSGSS >Sspon.05G0018110-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:73240829:73244586:-1 gene:Sspon.05G0018110-2C transcript:Sspon.05G0018110-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAKALFTNTLIALPTGLGKTFIAAVVMYNYFRWFPEGKIIFTCPSRPLVTQQIEACYNTEWIIDMKGGLCPSTRSVHWKSKRVFFVTPQVLQNDIQSGICMVQQIVCLVIDEAHKASGNYAYCMVIQELLAARVPLRILALTATPGSKHSKIQSVIDNLNISELIYCDEEDSRVNQYVNTRKVEVVQVPSGSDATQAADMLLDIVRPHINRLRDAGVIDHRDYANWTQYELLNFKDKFKEAPPPNIHEIERGEIERSFVILGPLCYTRRLLLSHGTQFAHGYLDKKLKG >Sspon.02G0041660-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:77480038:77480271:1 gene:Sspon.02G0041660-1B transcript:Sspon.02G0041660-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DGQVRGAAGHGRAHRRAVPLPLPADSAPLLPPARRVSGGGGRRGRPQGRLCRRDRGDDDEAAGAEVRRLRDHPPHRRL >Sspon.07G0035420-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:7062611:7063428:1 gene:Sspon.07G0035420-1D transcript:Sspon.07G0035420-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SRILLTLHDFGAVGDGVADDTKAFADAWTAACTSADNVILNVPAGGTFQIWPLTLAGPCRSEIKLLISGDIVAPESPSDWGHGQRSQWLHFHKVKDLKITGGGIIDGRGQQWWAQSSLMRAARSQGELAQPAPKVSLCAAHRVGSNSPPCLSSAGCSLRGLQGISVKGITLQNSQSYHLTFTRSSDVEANYLRVTSPEDSIDTKGIHSR >Sspon.06G0018250-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:98474225:98474890:-1 gene:Sspon.06G0018250-1A transcript:Sspon.06G0018250-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLAGKDMVVKLELLRTITNNFSEAQKVGSGGYGDVYRAFYNGEEIAVKKFHQLVGRLDDKEFDNEVLNLREIQHQNIVRIRMSITKEGQFVLNISKDSSASNIFQTNLVILTGRQATKLLEGS >Sspon.07G0009270-3D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7D:22799773:22801389:1 gene:Sspon.07G0009270-3D transcript:Sspon.07G0009270-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLENSNSKGKAVVKEEVCPLTPVEQKPFAVMSNQDDKAMLKKEVEEVSLPILETAAPHHHQTPSNDVSGSDDNNDVLGGTSGVQHVGSSSASAAHMMQQAAIQWGAVAAPTVILPAFQQLDSSRILLIKEVMQHCVTALATGDVLAANTGLVIMSTLASADGDPLQRVAFAFAEALGRRALQQMLPGLYGGLLQLDFPPQPAATGYTGATRLCFDALCPLLRVAGSVANHAIVTAMEGEEHVHVVDLGGASPNQWLELLHLFAVRPEGKPSSLRLTVVSEEEGLLSCTAWLLHREAARLHITFTFNPVRSHIDRLSPHDVASFGVHGGEALAITSTLQLHRLIADVTSIDLPAAADHKHDGKKGKGKKQPKHQITMADALLRVLCDLSPKLMVLTEQEAYHNGASLGDRIRNAFDYYVALFNDLEAAPRESAARAAVERMLLRQEIMDIVARDGSSRRERHESVMEWAQRMGMAGFRPMHQQVRRFDAFADPGLLALQLSLHGTLRYWVTQDNTCFIIYANMTPMFSVTSWRPATTTG >Sspon.04G0009150-9P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:26536852:26538422:-1 gene:Sspon.04G0009150-9P transcript:Sspon.04G0009150-9P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVPLPLLLGSLAVSVVVWFLLLRRGGDGGKGKRPLPPGPRGWPVLGNLPQVGSHPHHTMCALAKEYGPLFRLRFGSAEVVVAASARVAAQFLRAHDANFSNRPPNSGAEHVAYNYQDLAFAPYGSRWRALRKLCALHLFSAKALDDLRGVREGEVALMVRELARHQHAPVVLGQVANVCATNTMARATVGRRVFAVDGGEEAREFKDMVVELMQLAGVFNVGDFVPALAWLDPQGVVGKMKRLHRRYDDMMNGIIRERKAAGEGKDLLSVLLASMREQQPLADGEDSRINETHIKALLLNLFTAGTDTTSSTVEWALAELIRHPDVLKKAQQELDAVAGRDRLVSESDLPRLTYLTAVIKETFRLHPSTPLSLPRVAAEECEVDGFRIPAGTTLLVNVWAIARDPEAWPEPLQFRPDRFLPGGSHAGVDVKGSDFELIPFGAGRRICA >Sspon.01G0029350-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:102052781:102054936:-1 gene:Sspon.01G0029350-1A transcript:Sspon.01G0029350-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSVDLDSWTPRKVWRPTRRGSAIPPAVPPTLPRTPSHERHPVARRAAGEAASPAQHDGSSVSPAGTLTAATGAAEHRLPPHRARRTRPGRGGAGTPRRRRLLPSASVRPHPSPRSLTFIGSHLSSLFLLILFVLARAAGPKELLREQKKKSASYLLAPIAASRDTLVKSQALLASPSASAEDAEEVRGRISAAGRDCVPRQRNSIVAFQSRTGVEVCTFSLILKNAASLLANKDPLKVEADTRLGELIQSFSDLGTLADNSSFELADDREKLKDGLLSTISALDKFEQSVKDCLGV >Sspon.05G0026780-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:26649658:26661305:-1 gene:Sspon.05G0026780-2C transcript:Sspon.05G0026780-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEKDRISYFYDGDVGNVYFGPNHPMKPHRLCMTHHLVLSYGLHKKMEIYRPHKAYPIELAQFHSADYVEFLHRITPDTQHLYASELTRYNLGEDCPVFDNLFEFCQIYAGGTLDAARRLNHKTCDIAINWAGGLHHAKKCEASGFCYINDLVLGILELLKYHARVLYIDIDVHHGDGVEEAFYFTDRVMTVSFHKYGDLFFPGTGDIKDIGEREGKYYAINIPLKDGIDDASFTRLFKTIIAKVVETYLPGAIVLQCGADSLARDRLGCFNLSIEGHAECVKFVKKFNIPLLVTGGGGYTKENVARCWAVETGVLLDTELPNEIPNNEYIEYFAPDYTLKVPNLNMDNLNSKTYLSSIKVQVMESLRSIQHAPGVQMQEVPPDFYIPDFDEDELDPDERADQHTQDKQIHRDDEYYEGDNDNDHDDGTR >Sspon.06G0018370-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6A:98791997:98792347:1 gene:Sspon.06G0018370-1A transcript:Sspon.06G0018370-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGHLHRLFRPTRSPVRRYPEGPHPQEPSTRSRSPALPCSRPAPVLEREQPVLLGHGRPPPPPRVVQASHWASCPAPLRPGRRAARLAGQASAMSSLRRKSSSRSLYGCTFKRRRR >Sspon.01G0019430-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:70591499:70632042:1 gene:Sspon.01G0019430-2D transcript:Sspon.01G0019430-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAQATATVKEALAALYHHPDAAIRTAADRWLQEFQHTLDAWQVADSLLHDESSNLETLIFCSQTLRSKVNGKGRSRTLHELFRNVILPLVLLKKFNKGPQKVRTQICIAIAALAVHVPVEDWGAGGIVNWLSDEMKAHPEFIPGFLELLIVLPQETSSYKIAARPERRRQFEIDLCSSANVAIDLLTACMAIDQLKEQQMPLIQILVPHIMGLKEQLKDPSKVQRDFEELPSEAFRSLQDSLYVLLKKFNKGPQKVRTQICIAIAALAVHVPVEDWGAGGIVNWLSDEMKAHPEFIPGFLELLIVLPQETSSYKIAARPERRRQFEIDLCSSANVAIDLLTACMAIDQLKEQVLEGFSSWLRFCHGISASELASHPLVHLALSSLNSDQFLEAAVNVTSELIHSTVSHGSGTIAEQMPLIQILVPHIMGLKEQLKDPSKDEEDVKAIARLYADMGESYVDLIATGSDDSIQIVNALLEVTSHLEFDISSMTFNFWHHLKRNLIRRDSYVSYGSEVAIEAEKNRRLQIFRPKFETLVSLVSFRVEYPEDYHTFSEEDRRDFRHVRYAVSDVLLDATEVLGGDSTLKLLSTKLAQAYGSCNNEQNPKWQPVEAALFCIQAIARSVSIEEREILPQVMSLLPCLPHHEQLLRTDSSEVHDLCTDEEDVKAIARLYADMGESYVDLIATGSDDSIQIVNALLEVTSHLEFDISSMTFNFWHHLKRNLIRRDSYVSYGSEVAIEAEKNRRLQIFRPKFETLVSLVSFRVEYPEDYHTFSEEDRRDFRHVRYAVSDVLLDATEVLGGDSTLKLLSTKLAQAYGSCNNEQNPKWQPVEAALFCIQAIARSVSIEEREILPQVMSLLPCLPHHEQLLRTVCSTIGAFSKWIDAAPAELSILPPLVDILNKGMNTSEDTAAAASMAFKYICEDCRRKFSGSLDSLFQIYHIAISGVGGYKVSSEDSLHLVEALSNVKQPELVAEAVYRYWPTLKSIFDQRAWDTRTMESICRSCKFAVRTCGRVMGMTIGAMLEEIQTLYQQHKQSCFLYLSSEVIKIFGSDPSCAGYLTSLIQILFSHTVQLLRTIQDFTARPDIADDCYLLASRCIRYCPDLFIPTEMFQRLVDCAMAGITIQHREACKSILCFLSDVIDLPNSSDGRQYREVINTIILQRGATLTRIMIAALTGALPSGRLEEVSYVLLSLSRAFGENMLNWARESINLIPPQALTDAERLRFFNIISDAASRSSLHTITDRFGEISDVCRRNKTVQDLVQSALRPHDLTFTVVPQQM >Sspon.06G0026990-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:82043141:82047556:1 gene:Sspon.06G0026990-1B transcript:Sspon.06G0026990-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTCRCRQDKATSQGYCAAALPLITVGTTPEEFFIKDLMEQPPSSPPVFLDLPQKPNVSNEVRHHVPNNDMMLPYISHMLMEDDIDDELSDHPVLLQVQQPFAQILTSPSLGTNTNNSEGPNDFMHGGHGDKSAVNSPLSKGTFIVGESLKDMEEANMLSPKGNNIRRDELVNQIRESNIIDSRVKKRYYKDRLLEEEVRTTDKAVMMINEIGEKRGKEMLDKMMLHAYETCIKGLERVTIDVEKRIRKSRRIKAARNIGVDIRRLLISCAQALAADDHITAPCCFVNVTFIFSAMTIMQAMAGKSRLHIVDYGMSFGFHWAGLLRLLASKEGGLPEVKITAIDRPTPICFPGEQIERVGCQLMNCANELGLTSFKFYAITKNWEDTSIVDLHRDADEVLVRAYSFDAPSPRDTVLNNIKKMRPDVFIQSNMNRSNGSSFLSRFHETLFYYMALFDMLDATIPRESKS >Sspon.03G0025130-3D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3D:60598845:60602583:-1 gene:Sspon.03G0025130-3D transcript:Sspon.03G0025130-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKARRRWYETRSHRVARRSPVWSSSVTHTSAGVGTGPQWESQVRKRASERLARRAYHTRHMRAAEARAGDAETVSWRRNHVFPRTDAARNDENAVGKKRLVSSRSPASARSPAPLHCARPCRRERLPAATIVRPLLLRMLAARHRAVDESDRRAGGASAQATLAVRPSLAPVALAAPACAGSPAPATFVYACSSAAVTRVPATLACAGRARPLELARSSAGYWRRACSSAPLRALAGAAVLDYQRRCVCPLEQPPRSSAGVARSPEPLRALAYRGEESRAGKQQSFPSPLPPHRLAASATPARPGISHSHPPSLSPPPRGLPRMLPASHPLLYACVFRDTHLVAELAHSHSQSTAADPVTAAPAPDDDLPALAAALVAAAPPHHRHLTHTAAGRAHALLLSPPLALAAVSRAPHLPASQLLLFLRRLRCLPEARMRDEMPRLALRLPLPPGDDAREADEVAAAEAHAEEEAARRDADLAARTTPKRDRASHRGRAGPAWTWRRQLWMVILADLLLLTILFAAWLAVCRGFSCIGR >Sspon.07G0028480-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:67065108:67068882:1 gene:Sspon.07G0028480-1B transcript:Sspon.07G0028480-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFDQLTLQTKLTGTNHYTIWLNLRDDVHDSDAVIYVEEHKQLEDALVNNLTEHQVGKEHYPVMITVSGESGIGKTTLVRDIYKKMEKKKVFQVQAMESFAPYLTAPNILQQITQQLTEDDTNCPKEMAQKMLQNKLKNKKYLLVIDGEVSGTEWKHFLTSIPVGTRGSRVVHITQGKPEEPPSSYHHVTIQLKKLTEDASMSLFRQRLPKELQDKNLKKYQKSIFKITQGLPLAVVLLSGLVQTKVFPSEWVKVFHYLKSKPSANLESMLSVCFDDLPHELKCCFLYFAALPTNTTIEARSLVFMWVAEGFLSSKGGKTMEKIGYIYLSELINRNLVNRVKMDDDSSFGSMSVTIQNKVHDFLQIEAHEASFVEVHSHDDIPTLTSARRLSLQNYTDKYAVLAHPLPKLRSIFSQYEQEPNKGDQGHRSKGSRAYMFHLSQRRAISKMKKDIRSHIKELFHGSEFLRVINLQGIEIGETLTSAIGNVVHLQYLGITSCSLRHIPRSIRRLTSLQTLDVRETNVRELPRSFWMIKTLRHVLGFVLRLPKQIGNLKQLHTLDSIDLEEVSEELSLERTLGEMIHLEFLSIWHISHVNVKALSGALEKLESLRTLILEGKIIPSNVFTTASLRRVKFMFLSGDLVHSSDLDGSESFCLPNLIMLSLEKTYVTQEFISKLSELPFLATLALYPGSYMDKKLVFASSKFPRLKKIKMIDVEVLEIVEVEVSMVPELKELEIHSPFTGCYHDIDLGNDKKRSQKTRIVVDLKKENNAVDEENDDMTGWGSMQSLTTTQNQEELNSSDHTKESSQKPHAYSTIFLTVTMTETAAPALVGRTPCLLMIGRILLMWVIAVVPEETAAGVGSEPVEAEVEVVDHLVLENLPA >Sspon.01G0002700-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:7330591:7333914:1 gene:Sspon.01G0002700-1A transcript:Sspon.01G0002700-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding TRGKNHELDREIPLHFPGSAQFPPSSPLVGDCTQPPAATHRRGDRRGRARHSYARRRPMAASLRSPPPLPAAFRRSRAVVRASSSSSSSSAVSSSSSAPKARLVARRSESVPVQQLARPLAEYMSLPASQYSVLDAERIERVDDSTFRCYVYRFRFFALEVCPVLLVRVDEEPDGCCIRLLSCKLEGSPLVEAQNDKFSASMANRVFCSSRSQDSTIQQLTSDTTIEVAIDIPFPFRAIPVEAIESSGRQVLEQLLRVMLPRFLKQLVKDYQAWASGDASRKPLGTGEI >Sspon.01G0016300-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:60789630:60794090:1 gene:Sspon.01G0016300-2B transcript:Sspon.01G0016300-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LMAAEPKILELSNGKITARISNWGATITSLLVPDAPGSIADVVLGFDDLDPYQKGMSPYFGCIVGRVANRIKDGKFRLNEVEYSLPVNNGPNSLPGGLKGFDKVVWDVIEHKDGECPSITFQHHSKDGEAGYPGDVTIRATYSLPEATTLRLDMEATPENKATPINLAQHTYWNLAGHASGDILNHSIQIWGKHITPVDENTIPTGEVMPVNGTPFDFTTEHKIGERINDVPGGYDHNYVLDCGDEKNGLKHAAKLRDPSSLRTLDLWTDAPGMQFYTANYVNGISGKGGAIYEKHAGVCLETQGFPNAINQPNFPSVVVRPGEKYKHTMLFEFSN >Sspon.07G0008570-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:19215823:19216728:1 gene:Sspon.07G0008570-2C transcript:Sspon.07G0008570-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MCDGARRRHEQPANQNGFASSRAHVPSQQQDWGASLLAPPAYALYHHGASYGGAAAAAAAAWQQCGSKGTGVFIPRSTPGSAHPKKKGKNRGAAAAAKAARAAQQAGGAGVPTKKRV >Sspon.02G0032550-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:98687274:98692062:1 gene:Sspon.02G0032550-3C transcript:Sspon.02G0032550-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPWPPCLQPTLTTARRATAFSRTSCLSSIPAFRTSAYEQGQHEEEPSLVVVGGGAAGVYASIRAKTLAPHLNVAVVEKGRFLSKVKISGGGRCNVTNGHHLEPAGLARNYPRGNKELRGSFFTAHGPQDTMRWFTDHGVQLKAGKAVSSASVAQDGKFVLKVEKRTSDFVDYINANYVLVATGSSQQGYSIAAQLGHSIIAPVPSLFTFKIADKRLADLAGVTFPIVKAKLKLDGVQKSVPELTQTGPMLVTHWGLSGPVVLRLSAWGARELHQCNYQGKLMVDFVPDIHIEDVKRILFQYKDQHAKHKVNNTFPTEFGLVKRFWRFLLEQESLNGDTHWASMPNNHLNAMALRLKQWMFEVVGKVLNVDGVTGGFNFQGQCPMSESAYGTRQVSWTEQSLLCPQTSSEG >Sspon.01G0010400-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:29281202:29290210:1 gene:Sspon.01G0010400-3C transcript:Sspon.01G0010400-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-acyltransferase [Source:Projected from Arabidopsis thaliana (AT3G04970) UniProtKB/TrEMBL;Acc:A0A178VAS0] MEVPWLVVVHGSVTALVVVSFLCGQWPIFEGTFIQSINHFLTFGAYHYLLRFVQSVCGTGARDLVLGVERYCCDRPNPILQVFYVAIIGVTYYIIVQTSFEYIPGYYVSGLHRYLSAVAVAVGAILFVLTSFSDPGTVTADNVSQYVSSYPYDNPGQSTVEYVTSVLLDSITTVDGWHFLICLYGAIILGFILAGELKERKIIYILTVYYGIDNSFSGLFPHVAQWLLAVHNTQILLSVFLAILALLLGGFCAYHLHLCLTNTTTNETFKWQDYIMWMKKENEAKADAAALKSSIGSANAHKAPPSKWRAFFMRSRTPTVEPIVKNNIYDRGMIKNLCEVVVPLSEHKAFSRRKSD >Sspon.03G0044480-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:95265853:95268549:-1 gene:Sspon.03G0044480-1C transcript:Sspon.03G0044480-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTVRKVYQKAILVPTNHVEQLWKDYENFENSVSRTLAKGLLSEYQPKFNSAKAVYRERKKFIDDIDWNVLATPPTGSYKEEQQCMAWKRLLVFEKGNPQRIDATTANRRVTFTYEQCLMYLYHHPDIWYDYAMWHVKNGSMDSASKIFQRALKAIP >Sspon.02G0018340-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:58850734:58854111:-1 gene:Sspon.02G0018340-1A transcript:Sspon.02G0018340-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPEHAAAGADGDKPLVLLAQPLFLNLAAALEGRYRFVLAADADAATAAEARVLLVPGLVAVTTELIDRFPALELVAATSVGLDHVDLGACRRRRGLAVTNAGAAFSVDTADYAVGLVVSVLRKVAAAEAHLRRGAWAADGEYPLATKIGEEDREWTETALAEALEWQRQGLAKQGGRGGLPPRGAYEERHLPTRLSLYCSTSGKIAARCEEDEQKAAGREERAIGTKRCRRGQPQSSVVGKRKHKGWRRHGQKLAEPAAIEYRVSGKRVGILGLGSIGSLVARRLAAFGCRIAYNSRAPKPSCPYEFYPTARALAAASDVLVLSCALTEETRRVVDRGVMEALGAGGVLVNVGRGGLVDEPELVRCLREGVIGGAGLDVYEDEPKVPAELLAMDNVVLSGHRAVLTAESLRGVADVVAGNLEAFFAGRPLPSPVTL >Sspon.02G0009010-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:24140154:24142221:1 gene:Sspon.02G0009010-2B transcript:Sspon.02G0009010-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MERGGTAMSLLLLLLVLVVSVSPWQAVGAAAGGRGGRPRVPAILVFGDSIVDTGNNNAVLTLTKSNFRPYGKDLNGGVPTGRFSNGRVPTDFVASRLGLKDLVPAYLGTDLTDDDLCTGVSFASGGTGYDPLTSTLVAVLPMQEELNMFAEYKEKLAGVVGDAAAAGIVADSLFLVCAGSDDIANNYYLAPVRPLQYDISAYVDFLVQQACDFMRQLYQQGARRIAILGMPPVGCVPSQRTLAGGLARDCDPARNHAAQLYNSRLKEEIARLQKELQCQKIGYVDIYDILQDMITNPCKYGFEVSTRGCCGTGEFEVSLLCNQVTAATCPDDRKYVFWDSFHPTERAYEIIVDYLFPRYVENLL >Sspon.01G0063320-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:114058511:114060235:1 gene:Sspon.01G0063320-1D transcript:Sspon.01G0063320-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVDHSTGQYHGWFRLPDRDANDPAGRPDTCSIHDADPGVSPGDCSLAPRDLCPEWHLRSLLRSRHPAMFQDQESEHTAISIASSPNKTTSDHKLEKVGKECGLPVIMPGDKVPMFFARPCPHETCLPEAQKAEQSRAEALSPSALNRPGFSFANLPSSLLLSRCCRGGADGWRLRASLKCQLSTYGLYIKGPYASSASELETFDRLFDGNLIARALSDHWKGIVQTAAKTQGHLLVRVFDVHGPSPSPAP >Sspon.08G0014720-3D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8D:56895547:56899239:1 gene:Sspon.08G0014720-3D transcript:Sspon.08G0014720-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSAPARAAGGRRDPGENPAVGRLRELFTGDAADGWEKSWEFGVTPWDLGKPTPAIEHLVRSGTLPKGRALVPGCGMGYDVVALASPERFVVGLDISDLAVKKAKQLSEGTGTAGFEGHSYGRQ >Sspon.04G0009040-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:26971617:26973640:-1 gene:Sspon.04G0009040-3D transcript:Sspon.04G0009040-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MWLVSSLRAALCLLLVVVLAGHREPAAAVTLSTSSRWIVDPAGHRVKLACVNWPSHLEPVVTEGLGRQPVGAISGMIVSLGFNCVRLTYPIALATNASLSALTVRQSLLAHGLSETVGGVEAVVNALGEKNVMVILDNHVSTPGWCCSNDDGNGFFGDRDFDPNVWVDGLGSMATIFADVPNVVGMSLRNELRGPRQNPDDWYTRWSSNADFAQKSETAPDWRGRYMQRGAEAVHAANPRALVIMGGLSYDYDLSFLAARQVGVSFAAENKLVFEVHWYSFSDVRAWEAEGANEVCGRAASDFARRGAFLLARGFPLFLSEFGADSRGGDRKDNRYFPCAAAVAAEHDVDWAYWALQGSYALRQGVAGMDEVYGVLDWSWSKPRNATVLPRIQALQRPLQGPGYGEAVPYTVLFHPLTGLCAVRRVATAAATTLELGPCNETDAWAYAPPSSTLVLRDAAAAGLPCLRAEGRGQPARLSTNACGDPLSTWRLATDSAMHVAVNAAALGLGGSEDGGGGMLCLDVGTDGRSIVTNPCACQHGDGTCDPEGQWFKPVTSTRRVARWPATLA >Sspon.01G0036710-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:19420354:19421427:1 gene:Sspon.01G0036710-1B transcript:Sspon.01G0036710-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKVRCDILKAFAMMGALAWQATIIKMLFRVLGGRSHRELFFIPGFSPPFVSLCPASCCWPRLTHHHHGVLQIEWSYSATPRYNWI >Sspon.03G0027260-1T-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3C:9258533:9259186:-1 gene:Sspon.03G0027260-1T transcript:Sspon.03G0027260-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGGSFEAYEYIEDILLKVAAQVPDSGPCVTYIGKGGSGNFVKMVHNGIEYGDMQLIAEAYDVLKSVGKLTNSELQQVFSEWNKGELLSFLIEITADIFGIKDEQGEGYLVDKVLDKTGMKGTGKWTVQQAAELSVAAPTIEASLDSRFLSGLKDERVEASKIFQGDYSTGLPVDKAQLIEDVRQALYASKICSYAQGMNIIKAKSSEKGWASTLVS >Sspon.02G0017660-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:51867187:51870649:-1 gene:Sspon.02G0017660-1A transcript:Sspon.02G0017660-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGGLALLPALLVAALCAAAWRAEAAIGVNWGTVSDHRAPPGVVVDLMRANRISKVKLFDADPGVLRALAGSGIQVMVGVTNGELASIAGSQAAADDWVAQNVSRYVGRGGVDIRYIAVGNEPFLTSYQGQFQSYIIPAMTNIQQSLVKANLASYIKLVVPCNADAYQSASVPSQGVFRTELTQIMTQLAAFLSSSGAPFVVNIYPFLSLYQSSDFPQDYAFFEGSTHPVVDGPNVYYNAFDGNFDTLVSALSKIGYGNLPIAIGEIGWPTEGAPSANLTAARAFNQGLINRVTSNKGTPLRPGVPPADVYLFSLLDEEGKSILPGNFERHWGIFSFDGQAKYPLNLGLGNSVLKNAKEVPYLPSRWCVANPAQNLDSVSDHMKLACSMADCTTLYYGGSCYGIGEKGNVSYAFNSYYQKQKQDPKSCDFGGLGMITYLDPSMGECRFLVGVDDSKSSAVASCGGGCCGVLCGMHRPEDLPRIQRADGGGVGGQQLSPQQACPQ >Sspon.02G0042330-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:77256664:77257227:-1 gene:Sspon.02G0042330-3D transcript:Sspon.02G0042330-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAAAKAKRGVISSLQGPRPLPLSLPGTTASSSPPRPAKRLRVANNGGSDDDDVRAPPAAAAAPGPVIVYEHTPKVIHARPDEFKALVQRLTGRQPAAGGGGDQGQEAVLRPTQTAVLPSSQHRDPLVLTLGQQQVPPPPPLPLDDHHHMPPVLPSPPGGAAGFLLSPGSFLFSPATMQAIHELIS >Sspon.04G0008700-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:25878020:25880731:1 gene:Sspon.04G0008700-3C transcript:Sspon.04G0008700-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NIC1 [Source:Projected from Arabidopsis thaliana (AT2G22570) UniProtKB/TrEMBL;Acc:A0A178VQ19] MGTEADAAAVDLLRSQIPFQTDGEFVLPPRGVGLVLVDLCNGFCTVGAGNLAPVAPNKQISKMVEEAARLSKLFCDRNLPIFAFLDTHYPDKPEPPYPPHCIIGTGEENFVPDLEWLEKEPNVTIKRKSCIDGYISCIEKDGSSVFVDWVGKYQIKTVLVLGICTDICVLDFASSTLAARNIDRVPPLQDVVIYSEGCATYDLPVEIAMNIKGTLAHPQDLMHHIGLYMAKGRGAKVVDRVVI >Sspon.02G0025210-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:87509514:87510915:1 gene:Sspon.02G0025210-1T transcript:Sspon.02G0025210-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:D-ribulose kinase [Source:Projected from Arabidopsis thaliana (AT2G21370) UniProtKB/Swiss-Prot;Acc:Q8L794] MCVTCFDTGELLAGPFLYNESFPDALPAVESIAPANHTVCSGSSTLCKLVSWWTSSGSGRSAAAAVLMHQSDWLLWLLHGRFGVSDYNNALKVGYDPELDAYPSWLTSQPYSRMLPAVRAPGTPIAALKDDKCVVCTGTTDSIAAFLAARSSEPGKAVTSLGSTLAIKLVSEVRVDDARFGVYSHRLDDTWLVGGASNTGGAVLRQLFTDDQLAALSERIDPAVPSPLDYYPLPKKGERFPVSDPNMTPRLQPRPASDTEYLHGILESIARIEGYKLLKELGATAVEEVFTAGGGAQNDKWTAIRERVLGTEAAYGAALLALKGASSTAT >Sspon.03G0036150-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:88307857:88310626:-1 gene:Sspon.03G0036150-1B transcript:Sspon.03G0036150-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSYMGQQELGISDGLYTYKHHREGGADIHVIFVKKSKFRVLLSYIGTMFLLATVCCALLSKYNCKMLAMRPCEERWVLRVIGDHADFWGSIRATLLELLEHNTEV >Sspon.03G0012530-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:44647053:44653414:1 gene:Sspon.03G0012530-2B transcript:Sspon.03G0012530-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTVAAGRRTGAAAGVGGRRRSGCGAGQAQQNSPLQQKLLAVAVATRFAEAGPPPSAEASGGCCVELLDCLLGALNVSVATVTPAPAQYGWAVREIRPRGGGSAENRHADAPSGRIAGNGASASAAASLYTMQGRKGVNQDAMVVWENFGSKDDTVFCGVFDGHGPNGHLVAKRVRDLLPVKLSANLGKGEYKEISTSNVKSGTTKGVATEHRVEDTDASTGNEENGEYPEFFTALRASFLKAFYVMDRDLKAHRNIDCAFSGTTAVTVIKQGQNLIIGNLGDSRAVLGTRDEHNHLVALQLTILPKIRKNESFTGEAARIRQRRGRVFSLPDEPDVARVWLPTFNSPGLAMARSFGDFCLKNYGIISMPDVSYHRITDKDEFIVLATDGVWDVLSNAEVVSIINNAPSQASAARFLVESAHRAWRTRYPTSKTDDCAVVCLFLNTEAASASSSSVTKDTSVKRLCQFHDAGGSFQDALKSQPPCTYRVRAAAEQVIDCFGFL >Sspon.02G0026170-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:90877067:90877885:1 gene:Sspon.02G0026170-1A transcript:Sspon.02G0026170-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGAIVNTGGGKDYPGKLTLFVLLTCIVAATGGLIFGYDIGISGGVTSMDPFLKKFFPEVFRKKQEVKTNQYCKYDNQLLQTFTSSLYLAALVASFFAATVTRVLGRKWSMLVGGLTFLVGAALNGAAQNVAMLIIGRILLGVGVGFANQVPSTY >Sspon.01G0014440-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:40811272:40812807:-1 gene:Sspon.01G0014440-1A transcript:Sspon.01G0014440-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMDALAAYSWFGQLSVVISVLAVCWTMVWQNLEHIRLQQFFARNFNRRARRIAAMVDPYLSITFEEYEGGRIKSSDAFNEVKSYLTTASTRNVRHLRAESGGGQSDANGTDKDRLVLSMAKGEEVADVFRGATVWWSADSVPPPRDAMPWTRSARAERRYFRLDFHETHRDLVINDYIPHVRSQGRAIMVQNRQRRLYTNIHYEGYDDGWYEDVWTHVPFHHPKTFDKLAMDPAKKKEVMDDLDMFRNGREYYDRVGKPWKRGYLLYGPPGTGKSTMVAAMANYLDYDVYDFELTSVKTNTELRKLLIETKSKSIMVFEDIDCSLDVTGKRKSKKEEEEEEADKDEAAEEKDGDPRRQSKKDAKSKVTLSGLLNFIDGLWSACGEERLIVFTTNHVEKLDPALIRTGRMDKKIEMSYCDFESFRFLARMHLDEDVEGHELFGVVRALLQEVNMVPVDVGELLTPKTLHDDAGSCLARLVTALEKVKAEDAAKAGAQQAQEDGKAIAVEDDN >Sspon.02G0015960-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:37645602:37647457:-1 gene:Sspon.02G0015960-4D transcript:Sspon.02G0015960-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAEARAAWQRAANRCLVQEDAKRAPKLACCSPSLQPHDASDGNPPSPQDLHSPTFMPINWNLMNSSLPMETQWWLQLQPNFGCQTALAREHLNYVGGEAAEKKMEGLAPVSKLEDVQANKAADPFEPPWIVSMASMKQTPETGLEELRNLASYTPVSLKCKGNANNSIYEDNESTEFKAFDPLFPKKPQKEHCEMHAPWEQTKKSQPWWQVADVDGLASLVAERAMENIVNNDLPRPTQTVRVHGPKVRGPENKDDYGLPALSVGKEPDPVHDTMECSYSVSSTTNETNSSDGGRWQQHQRNNVPRDAQDSYSSTTNTPGSKPTYQNASERAKLLDALRHSQTRAREAEIAAKKAYDEKDHVIKLLFRQASHLFACKQWLKMLQLENICLQLRFKEHQIATMFPEFPWMVVKEKVAPSQEHNEGSRKKGRRPNRKGGLRNAVAFAVGVGLVGAGLLLGWTLGWLLP >Sspon.08G0000570-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:616717:619582:-1 gene:Sspon.08G0000570-2B transcript:Sspon.08G0000570-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKGIYGRDDDDDDKEEEPGAGGARTWGGKDVCHTLNLGTDDEDRPTSPVAPRAVGQGQHGSDSSDLDAVLLPDWEVLVLLRPDDDDAPSGNATCAFPGGPRMPASGRRAYTCAMPRPERRHSRPFRAPRIVVATLSSSAADGEDAAPAAAAARSLTPEMMRWSGRLVYDSAVLDSAGDVLGVNRDAADVQCIYYRRSSAAIGNRDREVVASLPAATSAQQVFRCPPPPSTAATAASPTEARQLRVTLAVAGEDPIPSVATYNPEPAKTTATTTTKKKLICACTMVRDVAKFLREWVVYHSAVGVDRFYLYDNGSGDDLQVRQLNAEGFHVSTHAWPCPKTQEAGFSYAAAAHLDSCEWMAFVNVDEFIFSLDWAGSGEPTKSMLWSIVTAIEPDVGQVTLGCKDFSPSGRTKHPKEGVTQGYMCRRRAEERHKSLIRLDSGGPSLMNYVHHFELRPEFKVNHYKYQAWDEFKVKFRRRVSTYVNHGSKDRTPGLGFKAVEPGGWPHKFCEVEDTLLRDVTRRWFGVGFTDKLAHHRPVGGTTHSSS >Sspon.05G0024170-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:20396130:20398921:1 gene:Sspon.05G0024170-2D transcript:Sspon.05G0024170-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEMIAVSLSAKAAASLSEPAAVELSSLFAIRSRVAAAVRELELLRAFLRFADSRRGTDDLAVAWISQVCNAAFELEDVVDDRGFIRSCANLGAWFALSRRLRKARDRLRELSRAKEQYGILPAISSADAERSYAVGGSATFISRKVADTAHFLGEEDIIGFAAQKSLLMEWLTEDMEPRRTLVAVWGMGGVGKTTLVTNVFREVAVSFHFDCASWVSVSKNFTREDLLKRVLKELQRDVRAGVPKDVEEMSYRSLVEALQGILSKKRYLVLLDDVWDADAWYEVRSAFVDDGTRSRIIITTRSQDVANLAKSTRTILLKPLPEKEAWCLFCNTTFREDADRECPQHLEHWAFKILKKCSGLPLAIVSVGNVLALKEKSEFAWKSVHDSLVWDESTNHGIGQVSSILNLSIDDLPYHLKRCFLYCSIYPEDFFVKRKILIRKWIAEGFVKEKNHATMEDVADDYLNQLVQRSLLQVVMKNEFGRAKRFQIHDLIRELILSRLAKEGHFVFSKCTPTFESNSNFRHLIIDRCRSSDLPAPQMASLRSLHGFKTYLDASLLSSFRLLTVLDLWYVPINKLPSSVTNLLNLCYLGIRSTLIKELPHELGRLRKLQTLDAKWSMVQRLPSSITKLKGLRHLILFRRYAADFRFLYPGKAVVLPDGMRNLTCLQTLKYIEANEETVRSLGSLKQMRSLELCGVHEGNLIHLPSSISKMSYLQCLGIASRDADVQLDLESFSPPPLKLQKFTLTGRLIGNKLPSWFGHLSSLMQLQLHSSKLKEDSIGLLASLPRLFDLSLVDAYEEKSLIFAAGVFPVLRKLRLDDLANLSHLEFQQGSLVNLDKLMLSQCFELTKIPQGIENLVHLKNLELSDMPIELTEQIQKGQESEGKHQDALHTTIVKVLHTHNGLLLEKKVHINLCALQK >Sspon.06G0030210-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:42777301:42812024:1 gene:Sspon.06G0030210-1C transcript:Sspon.06G0030210-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSSRRRRGRGRGRGRRSGRKKRNWADLPLDAISAVLRKLDHVDILMGAGQACRSWRRAARDDPSLWHRIDMCGHAGLFYQVNLHGMAQAAVRRAKGKCEAFWGEYAGDDAFLLFLGEQAPSLKSLRLISCYDVSNEGFAEAIKKLPLLEELELSLSTNVFGQEVFETVGKSCQHLKRFRLSKHGFWTFEDVNYNKNGEALGITTMTELRSLQIFGNNLTNEGLTAILDNCCHLESLDIRHCFNVEMDNTLRAKCSGIKTLRLPNDSTDDYEFIVSDPVWDNDSQTQSADDFDGDMWYDVYYDLDTELDSDDDTYDPSNYIDGIDEDDEEARMILRGRWAAARTRATRRASPPARRKKAGLRAFDDTKAGVKGLVDAGVTTVPPIFHHPPDPHDDASNAPAAAAASLTTIPVIDLAAFSATNDHVHDAHHQQLVAQVKVAAETVGFFQVVNHGVPAELLARMLASVRTFNEEPADARRPYYTRDPARRVRYQSNFDLFHSPAANWRDTVFIESAAAPQEMPPAFRDVVPEYARQVRALGAHLLALLSEALGLPHAGYLQRDAGCLDGPALGCHYYPPCPEPRLTLGTTRHSDPSFLTVLLQDDAVGGLQALVGGGWVDVPPLPGALVVNVGDFLQLMSNDRFKSVEHRVVAVPGTAAARVSAACFFRPTGAAASSRAYGPLPDLVKPPEAPRYQSVTAPEPTPSDGSRDWSELPLDALVLVFARLGPVEMLMGSGLVCHSWLQAAKEPSLWASLDMSNHRAIEEMRAGVLRQMARVAVDRSNGRLEAFSAKYFVTDELLKYISGRSSSASLRSLSLASCNEVTNKGFTELVTHAPQLEDLSLELCPNVGGRHVFESAGRACPRLRRFSLRRECFRFALNYSRRTAEALGIAAAMSELRSLTLVSCNINNDELAAVVDGCPRLETLCLRDCYKVIADGSLRAKGGPVPSPRLPPPAVFARKPDPAPRPAPPNPSPLEPEARAPMPPPPPSSSSSSSSGRRRRRNRGRGRKKDEAQEETRDWAALPLDAISAILRKLDHIEILLGPGKVCSSWRHAARDEPALWRRINMLGHPDLDRRVNLYGMAQAAIRRAKGQCDSFWAEYAADDDALHLLGDQAPALKSLRLICCQDIVEFEEIKKFPLLEELEISLFTNIGGKHVFEEVGKACPVLKHFRFNKYRFHNFGDIEYSEDDDSAFKYNKDDDALGIANMHGLRSLQLFGNDFTNEGLTAILDNCPHLEFLDIRHCFNIQMDDALRAKCAAIKTLKLPYDSTDDYNLQFEGPIWSPSGLGIDFDTDSDGCDYILDSDDYDDYCDPMRYLDGVYESELGPEDRMFLKAADMRDWSELPFDALSSIFMKLGTIEILMFSGFVCHSWLAAAKAPELWRFVDMTRHKLVFSKRVDTMCDMAKVAIDRSEGQMESFWAQKFVTDELLDYIANRANSLKSIRLIGCTFFWTESLERVAAMCPLLEEIECSHDKLPAEFFRSLGSVRPQLKRLRIHMQWFDHDQMMHELGMGNRQNDEDEDEEPEESDEAWEARQNEDAFAIAESLHELRVLQMAGNSLTNIGVYAILEGCPHLECLDISACYHVDVNDELKVCCARLKHVWLPGQHTYVCCPDLRVIKEDEGEDCGLTMHDLWEAEVESLRVEAEMDNDVCTMDVDPSPLLESPVRDWSELPLDPLSSIFMKLGAIEVLMGAGLVCRSWLAAAKAPEIWRFVDMTRHKLVFSKGTGTLCAMAKVAIDRSNGRMESFWAQQFVTDELLEYIGNRANSLKSIRLVACTYFWHMPLVKMVDKCPLLEEIECSYQIMPEEFFRYLGIVCPQLKRLRIHIEEWYDSDEIRREMEMECRRQNGDRDEDEESEEETAEAWEARKNEVAFAIAENLPELRLLQMAGNSLTNKGLYAILEGCPHLECLDISDCSNLHVNDELRARCSNLKHVLLHKQQNKVCCPDLHVIGEKEGEDYRLTMPYLSEDEDMLYAEGGISDGSYGNYWEDY >Sspon.02G0056970-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2D:40552397:40556389:-1 gene:Sspon.02G0056970-1D transcript:Sspon.02G0056970-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKSQILPAEDWATSSVTERRLEELVEDGLLRPRTNRSRPEWIAPPPAHREPAPPEGYVLHHLAPNAVAQAAIFAAVCEGYLGVEPQWNLWLHLFKGELFARRAGEKGVLYAARVGSCVLQLRSSRADQYIPARLISSNSGWHEGWFYLRNDDNQLPRYTGRVLTAREDRWIYGVPDAEKPRLDPLLAALQQLRARGLTAAAVATAFHRRRVMPLCRRRLRLDQMTPEAPLEGSRMSHEALTLEEGLRRARRMVGTFKAEEADRLPMRPTEGFEPVVSSLSWYARFGFVRFSDPLNPVDAGVQDLSALRETRPPVPEDQPAREARRQHASKQKEVKESTKKRAARKAKEAEARKKRQRQQALDGLPLEPSPSETMSGGDDDSSGEDDDALSRYEAATGLGSLPDIRPLLEPIAGSSSSVVVVEEVEEDDEEEENKKKKKEEKKEAGPSAGGAALPRTQQVGSTGVPAPAQAPQAREGTGVVAPTSSAAAPPSGVRTRGQLASEAQKTRSGAPARAPRSARSAGGAAAKPPPAASGGSDRAGSRPLVPISGLKRKAEHMGPTPVKSLRASAVVLNRSAEQDAAWVREVEAAKERRRQQEAAGASTVAGPDPAAARSDPARVVAPGPVEAEPRALPSAEATVEAAAERAGADATVEGAAPAPEQEVAPARGPQPQQDLPARPVGTAEGEVVEPSTGAPEEVAPEVEVPVAGHRWPERRFRAANGRGTGPGGVDGGGASSRGGEATSRADASTSAGAAGAAGEANEAGPSTGPGGAPAASSSSWPDFAALAIARAEEEIPRDEVHRWQYLEGVRQHLDRLLRVASDAVSRGMRDATEELKECSRRKSLFIRGESGVWASLSRQRALVEETQKRLADRTAEMAELRTAFSTVKEEAIQAWAAEATMRTEVDKVREEAAQARRDLEPLAARVKELEEDVSQVSRQRDLLNVQIGQVTARFSALKDEISGLNGAVQEKDAALQTARQEIEALKATVREKDSALLGLEQTCGGLRDEVVGLKTHVEELESENREAHSSANSLQSLLEAETRRTQLLEGAITTVCDGLGVEPDADTGEDAPGNSFIRRVAALGHLAREKIRDSLHHGVKRALAVVRSGFVFDMELVADGFITDPERTDEENEDACLELIEAAEGPGSRLASLFEPEVVPPADDEGL >Sspon.02G0013580-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:36012130:36023737:-1 gene:Sspon.02G0013580-1A transcript:Sspon.02G0013580-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGPSCGALLRELQQIWAEVGESEGEKNKVLLDIEKECLEVYRRKVDDANRTRVQLHQSVAAKEAEVASLMATLGEHKLYLKKDKGVVPLKEQLAVVVPVLENLKCKKEERVKQFSDIRSQIEKIRFELSEHNDQGGNASSLAAEEHDLSTRKLNSYQVQLRALQKDKSERLRKVLEYINEVHSLCGVLGIDFASTVHEVHPSLHQNGIEQSRNISNSTLEGLASTISKLKAERKSRIQKRQWNHCVKLMDSPEEEKRQFSKIMTILILPEEGITSPGVLSEDTIDKMEAEVERLTKLKTSRLKEIVMKRRAELEEICQKAHIEPDVSTAPEQTDALIDSGLIDPSELLANIESQILKAKEESLSRKDIMDRINKWIAACDEEAWLEEYNQDPKRYSAGKGAHINLKRAEKARILVRKIPSMVDNLINRTFAWENARNKPFLYDGGRLISVLEEYRLSRQQKEEENRRYRDQKKLESILLAEKEAIFGSKPSPKRTSSLSRKTNGCVFSQEVTRGSPSMVRRLIS >Sspon.06G0002800-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:7238000:7240215:1 gene:Sspon.06G0002800-3C transcript:Sspon.06G0002800-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SMASRRQWRWCLLALWCLAAAALSAAQSSPPAADPLQSKCQGDFGKLTDCMDYATGHAASPSSTCCGDVGDTEKARPECLCYIIQQVHTGRNQVQSLGLRFDRLIALPAACNLPNANVSLCIDLLNLKPGSPDYALFANASTVTPSANPASDSTSGSGFKLQAGIRGSVALAVISAIVSSVF >Sspon.03G0010020-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:41520553:41521810:1 gene:Sspon.03G0010020-3C transcript:Sspon.03G0010020-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AMEGVPEEKCAVVAELMQVLEMARRLETHMAVQLQQQQGGGGAGGGADQRCRALVSTMRASIDRAVHMAVSCCTGGRPGAGQAPESPPSGGDGSPRSGGSDQAGEFRGRGNAAGQCKNRKTLPKWSTQVRVSTVQDVSPLDDGLSWRKYGQKDILGAKYPRSYFRCTHRNTQSCQANKQVQRTDGDPLLFDVVYHGAHTCAQGAAAHPSNQPAVQEQTTSPGLEAGPTVLPFSLRPASNKPAGADDAGGAATSSRFVTAGCTVTASPFVVSPATTPESQLVSSGSSSAYAVGGGSGVTMAGVRNMPDVELASTTNSPMAMGEMDFMFPLDAADFLELGNLASYF >Sspon.06G0017240-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:77759761:77760781:1 gene:Sspon.06G0017240-1P transcript:Sspon.06G0017240-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCSVVGSGDANRASAIVAQTAMGSHVLKIDGYSRTKGLGTGKSVISEPVDIGGHRWCIRYFPDGKPTEDAGWISFYLYLNHSNPTGQRASRQGQSLLYLTRSENQFRRNGLICTFKNLDIGYGSPKFITRKALEESTYLKDDCFSVSI >Sspon.05G0034560-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:60262956:60266051:-1 gene:Sspon.05G0034560-1T transcript:Sspon.05G0034560-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAGKVIKCKAAVAWEAGKPLSIEEVEVAPPQAMEVRVKILYTALCHTDVYFWEAKGQTPVFPRILGHEAGGIVESVGEGVTELAPGDHVLPVFTGECKECAHCKSEESNMCDLLRINVDRGVMIGDGKSRFTINGQPIFHFVGTSTFSEYTVIHVGCLAKINPEAPLDKVCILSCGISTGLGATLNVAKPVKGSTVAIFGLGAVGLAAMEGARLAGASRIFGVDINPAKYEQAKKFGCTDFVNPKDYDKPVQEVLIELTNGGVDRSVECTGNVNAMISAFECVHDGWGVAVLVGVPHREDQFKTHPMNFLNEKTLKGTFFGNYKPRTDLPNVVEMYMKKELELEKFITHSVPFSEINTAFDLMLKGESLRCIMRMED >Sspon.07G0012470-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7A:44314731:44315030:-1 gene:Sspon.07G0012470-1A transcript:Sspon.07G0012470-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAHTGSRRCSPLTSTARRTTRPPPSSVARRPSPTKPMSKDDAVEGKARTKACSSRRRDMEVRKKMEALRRLVPSGSGDEDEVDELLLRAAGYIAQLQAQ >Sspon.07G0035850-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:14332928:14345864:1 gene:Sspon.07G0035850-1D transcript:Sspon.07G0035850-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGHAYSRLGSFGSAGSAPSPPPPPSLSAPVPAGAGAGAGGGSRTPAKAASATAAARAGVAHRGGGGGAARRVARAVLATLLRRQAVFLFAPLLYVAAMLLYMGSLPLDAVPRIIARQPPGSVYRSPQLYARLRADMDADNSTGALATVWRHTYKGGTWRPCIKNMTNGLPESNGYIYIEANGGLNQQRTSICNAVAIAGFLNATLIIPNFHFHSIWRDPSKFSDIYDKDHFVQRLQNDVRVVDKIPDFIMERFGHNLSNVFNFKIKAWARIQYYKDVVLPKLVEERFIRISPFANRLSFDAPSAVQRLRCLANFEALKFSKPIVSLSETLVSRMRDKSVASDGKYISVHLRFEEDMIAFSCCVYDGGDEEKKEMDAAREIGWRGKFTKRGRVIRPGVIRMNGKCPLTPLEVGLMLRGMGFSNKTAIFLASGKIYRAEKNMASLLEMFPLLQTKETLASEEELAPFKVGTAGLATFFCYVLPYLGCSETTHYCQNFSSRMAAVDYSVCAQSEVFVTTQGGNFPHFLMGHRRY >Sspon.08G0019140-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8C:13123798:13126068:1 gene:Sspon.08G0019140-2C transcript:Sspon.08G0019140-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGFSYGQERLEIGNQPYPNLLGTKGYVVVVVVVVVVVWLDLFERVTRCRPGSDGSDIEAEKGKYFRPSAFQIDRIIEMDMEYSMSQKLAAYPTTQGRSRRTARDRPFFVTGLPPPPAVRPIDRRRPPARRYAGNDRSRSAGCRGANEEAIETAESGQVSGGGEGMTAARRPAVVRVVEAWTRGGVRNPSLYELPLLPQSSRARKTVELGYKTAASGFSYRSSASCSRLLDVDPYMLLAISCCSCVVGKHGCARANGEEAEDRRTERCCRHFLALRGTAAIV >Sspon.05G0026530-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:36054263:36057786:-1 gene:Sspon.05G0026530-1T transcript:Sspon.05G0026530-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGDPSAPSQKSCLLITYSQEIIDGVPLYVSSNCLPVKALKYEPAGHSFHAAAMKLLGLVEHEDIETDDRSVSSDDKSQDFNTGSDTFSNKGKKSSGSQQQDHYALLGLGHLRFLATEDQIRKSYRDMALKHHPDKQAALILAETTEEAKQAKKDEIESHFKAIQEAYEVLIDPTKRRIYDSTDEFDDDVPTDCAPQDFFKVFGPAFMRNGRWSVTQPIPSLGDDTTPVEEVDKFYNFWYNFKSWREFPDDDEYDLEQAESREHKRWMERQNAKLQEKAKKAEYARVRTLVDNAYKKDPRIQRRKEEEKAEKQRRKEAKYLAKKLQEEEAAKAGEEERIRKEEESKKAAEAALHQKKLKEKEKKLLRKEKTRLRTLAAPVVADSHFGLSEANVESTCASLDMEQLKKLCDGMDGKDAAEKARLLSNALRNESSSKEAKKIEANGVEHSAPKSNSTGGRVTEGSSSILSSYEKKERPWGKEEIEMLRKAIQKYPKGTSRRWEVVSEFIGTSRSVEEILKATKTVLLQKPDSSKAFDSFLEKRKPAQSIASPLSTRDEISSSTEGAGTASSKSAAQPVSSQTANGKAVADPVPDGAPSVSDPDAWTEAQVLALVQALKAFPKDASQRWERVAAAVPGKTVVQCKKKVAAMRENFRSKKSGE >Sspon.01G0010390-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:28775905:28781627:1 gene:Sspon.01G0010390-1P transcript:Sspon.01G0010390-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGYRSRSPSQSYSPRRRYSRSPPRRKRYDDPRDRYRWAGASGGGDRRGYGRPSAQSGLLIRNISLTASGRYMEGRYTRRSLSRSPRSRSHSYSPSPSPVRRDFRGRGRRDDYSPEYSHSPRPRDNRRYRSDSRSPSLDDRKPQATAVNNGHGPAAVSSPR >Sspon.03G0022770-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:69535959:69538903:-1 gene:Sspon.03G0022770-1A transcript:Sspon.03G0022770-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAALAMAEQVVADLRAKCETPPSMLREVAAEMAREMGAGLEKEGGSRVKMLLSYVDKLPTGEEEGLFYGLDLGGTNFRVLKVELGGNEKHVVDRDSREVGIPPHLMSGKSSELFGFIASELAKFVNDEEKCTNISNGKKRELGFTFSFPVKQHSVASGTLVKWTKAFSINDAVGEDVVAELQTAMGKQGLDMHVAALINDAVGTLAGARYYDKDVVAGVIFGTGTNAAYVEKANAIPKWKGELPNSGDMVINMEWGNFCSVHLPITEYDQELDKESLNPGEQASFRIENIFCRSYCWFLICLAFDFFQIYEKLTSGMYLGEIVRRVLLKISLQSAIFGNIDHTKLETPFLLRTPHISAMHHDETPDLKIVAEKLEESLEITGASLKARKLVVEICDIVATRAARLAAAGLAGILMKLGRDCSVKDQRSVIAIDGGLFEHYTKFRQCLETTLGELLGDEVSKVVAVKHADDGSGIGAALIAASQSQYKNDLVAVKHADDGSGVKHEDADDKHEDDSKGVKHADDGSEIGAALIAASQSQ >Sspon.03G0028270-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:12504575:12506150:-1 gene:Sspon.03G0028270-2C transcript:Sspon.03G0028270-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MISSSWGAPAAFRTGFNLQHVQDGLYGRHLHVYDWPGGELKQTLDLGDTGLLPLEVRFLHDPSKDTGYVGCALTSNMVRFFKTTDGSWSHEVAISVKPLKVRNWILPEMPGLITDFVLSLDDRYLYLVNWLHGDIRQYNIEDPAKPFLAGQVWVGGLLQKGSDVVYVTDDGQEEQYNVPQVKVISPLETFSNEKIFIIITVRVSVVGHRLRGGPQMIQLSLDGKRIYVTNSLFSRWDEQFFGDDLVKKGSHMLQIDVDTEKGGLAINPNFFVEFGTEPDGPALAHEMRYPGGDCTSDIWI >Sspon.04G0027660-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4B:61234258:61235949:-1 gene:Sspon.04G0027660-1B transcript:Sspon.04G0027660-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHKLDVMPCLVCFLYENYRNTLEISKNINRAFGLALKVKTTGYKGCLDGPPRYVGPSEVAGYLHPGPGNGPRLQIWRWRSCTARRYEIRQISVRYRRPGQTEVPPRACIRSRGGPHALSAPATGCGMIWEAVYRPSDSSMLPVPDL >Sspon.04G0010400-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:31293757:31297715:1 gene:Sspon.04G0010400-1A transcript:Sspon.04G0010400-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:OGG1 [Source:Projected from Arabidopsis thaliana (AT1G21710) UniProtKB/TrEMBL;Acc:A0A178WC14] TMRLPLLPRSPPLAAPVAAMPPRRRRVVRAPLLTPSPPQLSSTPPPVPSVEAPKPETPDHHDPTPSARRRLPLAAGAVEAQEEWHPLPLSTADLSLPLTLPTGQTFLWRRTSVSPLRFTGAVGPHLVSLSHLPDSEDGRLAFLLHNDGGCPASPVPAARAALCDYLNAAVSLADLWRQFAAADERFAEVSARLGGGGARVLRQDPVECVFQFLCSSNNNIARIEKMVWTLAGYGERLGEVGGFVFHRFPTIERLARVSEQELREAGFGYRAKYIVGTAKELQTKPGGGEKWLASLRKKELSDVIEALCTLPGVGPKVAACIALFSLDQNHAIPVDTHVWQVATQYLLPELAGKSLTPKLSVIVADAFVTRFGSYAGWAQNVLFIGQLPAQKLVVAQVTSDNGSTKPTKRKR >Sspon.06G0022310-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6B:27739162:27739853:1 gene:Sspon.06G0022310-1B transcript:Sspon.06G0022310-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCARIKSHASDSIRTKNPARDPSYASIPSWLHPASSVPRSVSTVPRSILHRALSPLCPFPVVESHLLCNIHPTAATYEVAGAKLIGGTDLGQWAQQVDGAWPQRMMLVRAGGAIPGGRRGGGCESASGYSCGGGCNSSSYSS >Sspon.05G0007040-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:13364632:13374293:1 gene:Sspon.05G0007040-3C transcript:Sspon.05G0007040-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Inositol phosphorylceramide glucuronosyltransferase 1 [Source:Projected from Arabidopsis thaliana (AT5G18480) UniProtKB/Swiss-Prot;Acc:Q8GWB7] MADRGLGMLAIQHFQSISSDLDVELIHAGDQGFLNSYYSDFANSRVYEPDSPLTPEPETQRLSTLYNADVGLYMLANKWMVDEKELRIIHYTLGPLKPWDWFTAWLVKPVETWQDIRQKLEESLPGTGGGRNPHDQLTNKELINIRSLCAFARRARHKYKSEESLPSYSVVGSSSVFGHQRNMSDCDMDATFYWIGMATIATITVLLPTILGITALFTKLGLMVAGGIVLASFMTYAAEHLAISAFNKGQKDRNASRTRSFCF >Sspon.06G0008430-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:39315893:39316816:1 gene:Sspon.06G0008430-1A transcript:Sspon.06G0008430-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLEEIKNEAIDLENIPIEEVFQSLKCSRQGLSSEEAEARLAVFGPNKLEEKKVVIFSSIIFLLCNNGGSSH >Sspon.07G0024490-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7C:23691946:23693046:-1 gene:Sspon.07G0024490-2C transcript:Sspon.07G0024490-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGNKHEALRSVKLAKSAFASGDRQRAEKLVKIAQRLDPSLPLDDLLSPAEKVGILNSDTCKDKTGRGQARVDPKTPKESVGPLNVDQAYTEENIRVVQDIRKKKDYYAVLGVERRCSVEEIRKAYRRLSLKVHPDKNKAPGAEDAFKLVSKAFKCLSNDQSRRTYDQTGTIEDHEFNEQYPNVMRRGAARRRRPARSGFYNYEEDFDPDEIFRSFFYGTHDNLFHAQNAYRARGTGRQQQQRREHSVQGGSDINVTVLIHLAVVLLIVSIAFIPARRSEYSLQKTYYFPISKVTQNQEVEYFVSKQDFDQRFPHGSQSRENLEQHVLKDYKSLLGRYCHVELQRREWAKDYPTPYCDRLRSLSEA >Sspon.04G0005490-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:16301251:16304296:1 gene:Sspon.04G0005490-4D transcript:Sspon.04G0005490-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRCCKNLATEQFSMALACHSFVLSRKPNVSETERFAFLAWCLYPKWRQVGIWVMTYNGLETCIINSSTYDDNGAISATTGGDGCVTTDSLDDEVSSCSSKDASGSSFSSHCLSKQEEHSLDELGTPIAIHLLPFKGKKPITYTLSASDIENMKEKFAKLLLGDDTSGGARGVCAALALSNGIINLSATVFGELWKLEPLCEDKKIRWRKEMDWLLSPTTYMVELVPTKQSGADGCTFEIMTPKARSDVHVNLPALQKLDTMLIEVMDSMIDTEFWYEESGNRADGRGKITGPRKSKKWWLPSPRVPEEGLSQFQRKRLVFQAKLVHQILKAAKSINEQVLFHMPIPAAVMDALPKSGRASLGEDLYQAITTEYIPIEEIFVSLSLKTEHSVLETINRLEGAVFAWSQRILEEGSKRSPGRHSWSFMKDSSSELDKMSACIERVDTLVQLLKSRYPNLPPTFIDVLKVQYNVNGYWMQDVGHAIVEAYSRVLVGVAFSILSRVAEILLEDDLIKKPNTPMATLKFDLSSDVYLAGITETPPGHIRRSLMDQISMVDGRFDAVKKKGVKQLRW >Sspon.02G0033090-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:3116690:3119532:-1 gene:Sspon.02G0033090-3D transcript:Sspon.02G0033090-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEHKGNVLMQKYEMGKLLGQGTFAKVYHARDTKNSESVAIKVIDKEKVMKVGLIDQIKREISVMKLVRHPNIVQLYEVMATKTKIYFVLEHVKGGELFNKVQRGRLKEDAARKYFQQLICAVDFCHSRGVYHRDLKPENLLLDENSNLKVSDFGLSALAECQRQDGLLHTTCGTPAYVAPEVINRKGYDGAKADIWSCGVVLFVLLTGYLPFHDKNLMDMYKKIGKAEFKCPCWFSTDVRRLLLRILDPNPSTRISMEKIMENPWFRKALDAKLLRYNLQTKNAPQVDKNVDFDSLSTNITSESKQQEEKKPTNMNAFDIISLSTGLDLSGLFEESDKKRESKFTSTNTSSTIISKIEDVAKNLRLKVAKKDGGLLKMEASKPGRKGVMGIDAEIFEVSPNFHLVEIKKTNGDTLEYQKVLNQEMRPALKDIVWAWQEFKRGPRWCELEWMHGGDMGAWLPTSAFIGEPVGWGVGRLSFLRLLVLLR >Sspon.02G0025700-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2B:90819924:90820388:1 gene:Sspon.02G0025700-2B transcript:Sspon.02G0025700-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VCPNVESIVRDAVARKYRETFITVGATVHLFFHDCFVEGCDASVVVASTPNATAEKDHPINLSLAGDGFDTVIRAKAAVDAVPRCRNRVSCADILAMATRDAIALAGGPSYAVELGRLDGLSSTASSVDGKLAPPSFDLDQLTALFARNGLSRAD >Sspon.06G0005310-1T-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6B:15766227:15766847:-1 gene:Sspon.06G0005310-1T transcript:Sspon.06G0005310-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGSPDGRLVELFGRWSWMPRRGDHSPPPASQSQSAVVAAGQPLPHDLSRDFWMPDQSCRVCYDCDAQFTILNRRHHCRHCGRVFCARCTANSVPRSPGDAAREDGERIRVCNYCYKRWLEEEAAACGDGAALQPSSPSLSAASVGSDKSSFTGTNGQMSSYANISYSILRRCRSTERATAARMTAIREAAACYGPCSSHGACSLR >Sspon.08G0027210-2P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1D:6387513:6388604:1 gene:Sspon.08G0027210-2P transcript:Sspon.08G0027210-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLFTPLHPFHSIPSFTLARMEAEQVIFAVVDDLSAKASTEPSKIDILIANCSLMTMIPSMTNMIINRYKLRSDIQNMQLSGMGYSAGLIAVGLARNLLQTMPYGAHALLVSTEILTCNSYAGKKRSMQLTNMLFRMGGAAVLLSNSRANGARFQLLHTVRTSTGAQDSAYRCAFQEDDEGNLGVNLSKDLVAMAGEALKANITTIAPLLLPVSEQLSFLLSSIAQKVFIMNKSRAGHQYVPNFGLAAEHFCIHAGGRAVIDAVQRSLNLSDEQAEPSRMTLHRFGNTSSSSVWYEMAYCEAKQSMRKVDRVWMIGFGAGYNCNSTVWKCIRPALSADSVWANCIHRYPIEVKSQNKSNQLP >Sspon.08G0028590-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:13210768:13222657:-1 gene:Sspon.08G0028590-1D transcript:Sspon.08G0028590-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LPPSPWALPVIGHLHHLAGALPHRAMRDLAARHGPVMLIRLGGLPVVVTSSADAAREVMKARDIEFATRPVTRMVRLAIPEGAEGIIFAPYGDGWRQTRKICTVELLSARRVQSFRHVREEEAGRLLRAVASAASVVNLSELLAVYAADSSVRAIIGSRFKDRDTFLAMLERGLKLFANLSLPDLYPSSRLAMLVSRMPGRMKRHRQEVVAFLDAMVREHEESRAPDDDKEDLLDVLLRIQREGDLQFPLTTDNIKSVVGDMFAGGSETAATTLQWIMAELMRNPRVMKKAQDEVRQALAVACRQRVTEDDLTNLHYMHLVIKEGLRLHPPLPLLLPRECRSSCQVLGFDVPAGTIVFVNAWAIARDPSSWDKPEEFVPERFEGSGVDFKGTDFEYVPFGAGRRMCPGMAFGLVTMELALASLLYHFDWELPPGTTATDIDMTEEMGYGEAFPATSRHTCWRKEYLTTPFSGCYNAGIFDAAHQALLVYRGKHYEEMKDDMQKYFPRRLPGRLQSVMADPHTERNEQLKEMALTIQALHQKLEDSQLELAE >Sspon.04G0004090-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:12566993:12568304:-1 gene:Sspon.04G0004090-1A transcript:Sspon.04G0004090-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDARRQRPSSCSWAAAAPLLLLLAVSFGGGHHAAAAADGAVGAPAPGAAGMTELQKHVAFFDRDHDGIITFDETYQGLTAVGVGEVTAKASAALINGALGPKTRPDNANSSRMDIYIENIQKGKHGSDTGAYDAQGRHVFVPAKFDEIFTKHAKTVPNALTKDELDEMLKDNQEKNDFAGWLAAKSEWEMLYKVAKDKDGRLPKDTVRAVYDGTLFYQLAAHQGMKG >Sspon.05G0038250-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5D:35967340:35968998:1 gene:Sspon.05G0038250-1D transcript:Sspon.05G0038250-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTWITPSSFYPHIAEVEPSRRHCLGCHLPGPSVAAILPPEPRGEAAPWGDFSGKPCLSRRYDSSCRRSTSSSTAVSISWFSSPSALRNLSGSSAGKWASGGSPVRTCAAGALHAAHEGGGEGADVPLLPGTAVECGDEDDDALLPLPVAAASALHLRSPATSPPSQRSPHSPSRRSPAHLHCLRRPRLPCRRHLSPPPPKTAVPLPPKKIREYDSKRLLREHLKRLAGIDLTILSAQLAAVQWQQV >Sspon.06G0024410-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:54576065:54577370:-1 gene:Sspon.06G0024410-1B transcript:Sspon.06G0024410-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MCYLLIAKRNFRLRDGSYCMLHLAFKDKRAFGADAASAWPRSTVLLSPLVPIYIGFNPNSLSRPHPRLLSLPSLSRRRRGPSARRHGAPSALSFLSLPLLVVAAARPQAWREPLGAALRRRNGHLVRHPTPRADSPRRCGVGRAPLPRRGGAGVTAPAGGDADPLPSAVVWSSAAALQGRARPGLMVHVGLFLSPFFAGSIWGLQNRLQGLHGWICLFPTESGLVELFLSHPLLSSPPLLFSLSDVPLICDVCSGNSTTSMNGFVHPTIGASGSGASAARAVRPLIPCRFLCFLVPPAQVV >Sspon.07G0025340-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:41253033:41253358:1 gene:Sspon.07G0025340-2C transcript:Sspon.07G0025340-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AMARTPLGLAFAGALVFAVAMPAVADAQAPAPAPTSAVYPTRRDRSAISLTHTSLSRSVWHAGTSIDQGIAYLLMIMALVLTYLIHPLDASSPYKLF >Sspon.06G0014080-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:60208320:60218980:1 gene:Sspon.06G0014080-1T transcript:Sspon.06G0014080-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVEEEHDGEEQRRPLLSSAPPAAADQHQQQYQFLGRSSSSVLRGSGGGWEGPEVSADEVRAAASFSSAAGFYTPKAPPPHGDHAYAYPPSIHSAVLSPSPSHAPSSPHRNAVEGMAIVPQGPYPYGCSYQPSETVGRDVLDEVEIRQLLIDHIGHRCCWGSHPARTWKITSIEDCNVYVGTLETFIEERHIITKKEPNESGNIDGREKGPLLGLWELDLRSKFPALFVPEEEVMVKIPHSDIIEKCSDCEGRGEKPCPTCNAGQEHGSYKANQMTRCGACHARGLLAHQDGSDTICGMCNGKGMLPCIACGSRGLVTCNTCTGYGSLLAQSIAHVQWKTLSARKVSATRGAASVPEEVFHRAKGVQLCNIQAYQCTPAFFADSYPLNQFSSEVIASRLPVPPSARVISERHIISVVPVTRVTMAHRKKAFSFYVVGYSRDVFIRDYPSKFCWGLCCCFEWLGK >Sspon.08G0003070-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:5400226:5400831:-1 gene:Sspon.08G0003070-2B transcript:Sspon.08G0003070-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKAAAVVLLVAALLGCLVSASLADQGTATYYTVYTPSACYGYQDEGTMIAAASDGLWDNGAACGRMYQVSCAGGTNATPNPCKGGSVTVKIVDRCPSPGCQATLDLSQEAFNTIGNLDAGKILINYNQYARATRFTISLPLFTRV >Sspon.01G0060500-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:80025534:80026737:-1 gene:Sspon.01G0060500-1D transcript:Sspon.01G0060500-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AAVSGHGDVRAEPEAAGVALALRLRRRRIPARRDQENLHPNLASSPPASPTKGASSPRPKQPAAAAAAPPAATVEEDHSTAATTAPATTSPPSRYRAMHGFTRSGFLPRQFEISGGVATCELVFLTWGCAQVVVRVRPTVSRPVDGKDLWFVRKTAQTRRCRRSVLPSGWRPRRQGFSGRCVRSGGIAHDRERPGRIQHIPRLLWPSGTGKTYTMWGPLGAMVDSGSDHADRGVVPRMRESSPEKQTSYQCRCSFLEVHNDADQ >Sspon.04G0007560-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:18493282:18496456:1 gene:Sspon.04G0007560-2B transcript:Sspon.04G0007560-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASAAAHNRSAGSRTAYARAELEALRDAPSKEAHARLWADVRAALAASGFSGEYDGLLVAAEDVRSRRGNRGMKAKWPEEAAAARFLGVAEIGAHRNGDLAVCHEHRSESAPDHAGACGVVEEPFHQGEDVEYEDDSDDDYEGILKPAFAVDGDPDFESGEPLDGFEYLRRVRWEANQIPRVKVAKIYSSAARNEQTCYMPDIPDIPKCVPDLRASKQWEDTFITQFAETRMVLSELDNSDEPSASSAAKISTKPGSRSEPQTEPTLTMIRNMDAVSRAATLRNYIDMIQSLDTLSRNNCLWLFALCVAIHTPLDADTCASLRSLLRKCASILATKTEMDDEVVMLNILMTISGRYFGQGENSN >Sspon.06G0004560-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:12270332:12274098:1 gene:Sspon.06G0004560-3C transcript:Sspon.06G0004560-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:BAHD acyltransferase DCR [Source:Projected from Arabidopsis thaliana (AT5G23940) UniProtKB/Swiss-Prot;Acc:Q9FF86] MGVENGSSPCLAVDAAVTVTGARTVAPAKNRCTLATFDLPYITFYYNQKLLLYRATPAGPDFPDAVARMTAALADALRVFYPLAGRIRQLDDGALAVEGDEGAEVFEAEALGVAVDDLAGGDCSDEAEKVMQLLVPYTGVMNLEGLRRPRLAVQDGLAVGCAFNHAVLDGTSTWHFMSSWAELCRGAPVSLQPIHDRSLARSVRVRLELPASAEAHEKTDPNGPKKPLVARVFSFPAPTVARIKAAANAALPPGAASAKPFSTFQALGAHIWRAVSRARGLGPADITAFAVFADCRARLDPPLPPAYFGNLIQAVFTGVPAGMLLGGPPELAAGLLQKAIGEHDAAAVARRLEEYEAAPKLFHYSDAGPNCVAVGSSPRFKVYDVDFGFGRPERVRSGGNNKFDGMVYLYPGRGGDGGIDVELALQMEPMQRLEKDDDFFLRQA >Sspon.04G0028190-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:69525819:69532412:-1 gene:Sspon.04G0028190-3D transcript:Sspon.04G0028190-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GASTSLLPTSGDGRPPPGRRRVLTAPRALLLLAALALFSISFLSLRSLRPAADPAVAAAAAKSRPLLRLQSSSSVYHSPEAFAAGYAEMERSFKVYIYPDGDPKTFYQTPRKLTGKYASEGYFFQNIRESRFRTDDPDQAHLFFVPISPHKMRGKGTTYENMTAIVKDYVEGLINKYPYWNRTLGADHFFVTCHDVGVRAFEGLPFMVKNSIRVVCSPSYNVDFIPHKDIALPQVLQPFALPEGGNDIENRTILGFWAGHRNSKIRVILARVWENDTELAISNNRISRAIGELVYQKQFYRTKFCICPGGSQVNSARISDSIHYGCVPDYYDLPFNDALDWRKFAVILRERDVYKLKNILKSISQEEFVSLHKSLVQLQETQPSQSQVQTSDVSESILKRRNAFSLPLRTSRSSLSTPRPASKCSWTMWPAASTWVPECTTMRSSDTLLTDPLAMDSVSRVTAPSPSRPGNGSSGRYACVMSTMRPPPYSSSPASTAETAARP >Sspon.01G0017010-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1A:61426727:61427518:1 gene:Sspon.01G0017010-1A transcript:Sspon.01G0017010-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPCHQSIETAGAKKDYSDPPPAPFVNAGELGKWSLYRAVIAEFVATLLFVYVTLATVIGHKRQAESQPCGSVGVLGIAWSFGGMIFVLVYCTAGISGGHINPAVTFGLLLARKVSLVRAALYVVAQCLGAMCGAGLVKAFHGAHWYLRYGGGANELSAGYSKGAGLAAEIVGTFVLVYTVFSATDPKRKVRDSHVPVLAPLPIGFAVFMVHLATIPVTGTGINPARSLGPAVVYNQRKAWEDQWIFWVGPLIGAAAAMLYHQL >Sspon.04G0016920-3P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:11466846:11471083:1 gene:Sspon.04G0016920-3P transcript:Sspon.04G0016920-3P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVYLSTPKTEKVPEDGENDKLKFGLSSMQGWRATMEDAHSALLDLDNDTAFFGVFDGHGGKVVAKFCAKYLHREVLHSEAYAAGDLGAAVHRAYFRMDEMMRGQRGWRELQALGDKINQFTGIIEGLIWSPKASDSNDRHDDWAFEEGPHSDFTGPNCGSTACVALAYNLSRDHKPELEAERERIQSAGGYIQMGRVNGTLNLSRAIGDMEFKQNKFLSPDKQILTANPDVNIIELCDDDEFIVLACDGIWDCMSSQQLVDFIREHINTEESLSAVCERVLDRCLAPSTMGGEGCDNMTMILVQFKKPVAQVKDATGAEQSAGDAGCSETHGAEEKQV >Sspon.06G0019170-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:47930791:47934890:-1 gene:Sspon.06G0019170-3C transcript:Sspon.06G0019170-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPLFFSYFKELVGKEVTVELKNDLAIRGTLHSVDQYLNIKLENTRVVDQDKYPHMLSVRNCFIRGSVVRYVLLPQDGVDIDILHDATRREARGG >Sspon.01G0045090-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1B:86912026:86912590:-1 gene:Sspon.01G0045090-1B transcript:Sspon.01G0045090-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AAVAASSEEEKDKAKEPKKRGRRKLKTVEESSDDDGGHRSKDLMLYNEGEDQTQLLNSANVLESKIESVLHEDIGDVDDLIPLVCCFGPAKYSFIPSGRPANRLIDHEIHDRMKDMFWSPDKFVRAPGGSSSNVALALAAIGGRVAFMGKLGDDDYGQSLLYHLNINGVQTRAVCMDPSAPTAVSLMK >Sspon.04G0008550-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:25745106:25747379:1 gene:Sspon.04G0008550-2D transcript:Sspon.04G0008550-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQDNASPRDAFWRNALFGDPEGDSDMEAEEDDPSDPEGFTQEALNAKQVVLCLSKSIVSLVSSVDGKPLFACTGTVVNHVGSETWILTSATLVRKPDTDHDAYKADEVKIEVLLHDKRTIHGCISMCNLHYNIAVVTVEIQFDLPMVALNDLPECYSLLGWPVIAVARDSKSQALLVRQGNMIRKRSKLDCNFYRGLVVDFERRIIGLAFLGKDTTHIVPTEIMARCVKHFKKFRTLKQPRVCIRGHALHSLELSSLEILCLKFPNLSCGIGVVVDQ >Sspon.04G0029620-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4C:75545158:75549229:-1 gene:Sspon.04G0029620-2C transcript:Sspon.04G0029620-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTVLPAVRGLSRLKMIGSFITGALTLVLGYAYPAYDCYKTVELNRPEVEQLRFWCQYWLPMYSEAKLAFIVYLWYPKTRGTAYVYESFFKPYIAKHETEIDRNLLELRTRAGDIAVLYFHRVANYAQTRSYEILQYIASQSQTQRPRPQHEVSLADILLRVFGCMGILAQQQQQRPPPPRTRQVMNPAPPPVPAPSAPPMPPQPAQALVPPTPPRPPVPVAPPGAVPPAQPQPAPAPEAATTNGPQDTKAMQVDPPRPSVSGAPPPLPPEETLIEEAIRLTRGRLRRRLAGGSGPPPN >Sspon.05G0012990-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:41058557:41060719:-1 gene:Sspon.05G0012990-1A transcript:Sspon.05G0012990-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAGTLTRSPSREPSSDPPRAASSEPHHNGDGSGAGAGDSSRRRRRSRWEQSNDDSGGEGGTGGRKRKTRWAEEEPRPAIALPDFLKDFAAEMDPEVHALNARLLDISRLLQPGGLPLDDRPEGARSPSPEPIYDNLGIRINTREYRARERLNRERQEIISQLIRRNPTFKPPSDYRPPKLQKKLYIPMKEYPGYNFIGLIIGPRGNTQKRMEKETGAKIVIRGKGSVKEGKLLQKRDLKPDPSENEDLHVLVEADTQEALDAAAGMVEKLLTPVDEVLNEHKRQQLRELAALNGTIRDDEFCRTCGEAGHRQYACPNKMNTFKSDVQCKICGDGGHPTIDCPVKGTSGKKMDDEYQNFLAELGGGSAPESMNKSGGPMLALTGSAGSSGPSAGTPPWSAGGGAAATGLNGIKKDYDETNLYIGYLPPTMDDAGLVSLFSQFGDIAMAKVIKDRNTGQSKGYGFVKYSDVSQANAAIAAMNGHHVEGRVIAVRVAGKPPQPAPAVSAPPSYPPPTDPTSGGYSSQSYMGAPPPPPPGSYAPVPWGQPPPYASYPPPPPGSSVYNPAPPVPGQAAPPPYGMQYPPPPPPPPPPAAPIPPPGTAPSSDGAQNYPPGVTPPSSGAPTHPVATSVYASSGAPNAPSMYPPPPYSYSPYYPPPFQPPPPPPPASVDPSQSIATAPWATHSAVPPPPPPLSSTTDQPTASYGADAEYDKFMSEMK >Sspon.01G0003200-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:9416685:9420963:1 gene:Sspon.01G0003200-1P transcript:Sspon.01G0003200-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVAPPPAPAPAAPAPAAAAAAPAPAPAPAPATVPVADQTTDLLQNLSLDTQPKAAADATGTAAGAKKVEIQAEALMGSHLVRVDGLIEIICDSDSIAYSCCLFNQQGAVTSQPLSVAIPPERSITPVLQDFMDPNLFYLPAYYYGGYDSSMSEWDDYPRYLNSDGVEIAPAVYGDIYGYGYAPYGAYSPATSPVPTVDGQMFGAQHYQYPTAYFQPPTPVPSTTQSDLQSSNNPEKPAAKADPAKTTANSVPNGTAHSNSGTVPLASSQQNSSLTPDGTYRAPLLGGVPPAGYLDTTYGYDSTGAHFAWYDGSAYATGQQRTTTTNHYPSSTFSGNGSSARNQNKSSTTQQMGMQNRRPTTTSAAPTYPNRMYPSSRPYTQYGNSIKTGLPYGSNGYDSKIYGRWGLGMDNRYRPRGRNGYYGYGNESQDGTIELNRGPRSGRFKNQKLYGHTVTIAVKGQSLPSGESKSDSAVPDRAQFNRDDFPVQYDAAKFFVIKSYSEDDIHKSVKYNVWASTTNGNKKLDAAYQEAQSKGSACPIFLFFSFSLSDMPFTMKFQVNTSGQFVGVAEMTGAVDFEKTLEYWQQDKWNGSFSVKWHIVKDVPNNILKHIILENNENKPVTNSRDTQEVSIIHVFFKFPELQNLPSCLLTYPFDDNIYLEQGLQMLKIFKDHVSKTTILDDFAFYESRQKLMQDKRSKQQQVQKQVWDSRTPISVISEQQQEAANGKPNPSDVPNGVTAEVKAVKVPAEKPVLANGITTTPAVSYAAKVAQTATEKPILANGVAKTS >Sspon.07G0018250-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:76761843:76763573:1 gene:Sspon.07G0018250-4D transcript:Sspon.07G0018250-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding QMGSKAPFCLALLLLLVAAWGPAAEARRLLPAAQDNDAVELPDHGSILKEQISSTKIPVHLKSSGPVCSTCENFMSEAVSYLSEKQTQDKVMEFLHDACSKSFSFEEQCVELMDSYATLLFAKITEIKPEAFCKQYGLCRDTAIFSGVRSNSTCVFCHHLLDEIMSKLKDPDAQLEIIQILIKECNNIEGHVQQCKKLVLQYIPLVLVNGEKFLEKNDVCALLQACPASQKKTFSSVLQGALLSDA >Sspon.02G0045770-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:111853012:111857589:-1 gene:Sspon.02G0045770-1B transcript:Sspon.02G0045770-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEERVLSEAALRGEDDFRSCCGDEEEWEDTEESFTAGVAKGELDEASVRLFFKGVSSPEADGKKLSGIGVVMERSPGVPVLKVQKKLDFYVEELVAEHLALMDGLLVALQNGIRKIFAFTNSEKLYFQIAEAEILEDQLLVALGHRILELVDKLEDFDLILLPSFELERPLQLAKEAIGIRYLSPYEVATCPICREEKLGSQMIKAGCSHTYCYNCLTGYVQEKLLTSKLPIRCPQLRCKYLISASECKSFLPVSSYDYLERAFAEAGTSEMERFYCPFPNCSVLLDLSQHFSRASSSSQSELSCIECPECHRDICINCGVPWHIMMGCDEYQSLPAEERDAGDLSLHQLAQNNRWRRCQRCRRMIELTQGCFHMTCWCGHEFCYSCGADYASGVQTCQCVFWDDEAMEASSAERSTQAASEIWAWDTFDCMPTAVEGYSEQERAQLALIQRFLAGGFSLGDNHNPNPCQSPPRCADSYIVDTMKDLHQLPWLERFVSVISDTYNDDYIQ >Sspon.05G0001370-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:4529528:4532994:-1 gene:Sspon.05G0001370-1A transcript:Sspon.05G0001370-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLARLGAALPRARPRAAARALPPGRWDAAALGASRRAAPNAYRCQVHSDIKVAPTSDLKDGGKSEAWRIKMLYDGDCPLCMREVNMLRERNKSYGAIKFVDISSKDYSPDDNQGLDYETVMGRIHAILSDGTVVTDVEAFRKLYEEVGLGWVYAVTKYEPVATMANAVYGCHVGP >Sspon.04G0005790-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:16430230:16434356:1 gene:Sspon.04G0005790-1A transcript:Sspon.04G0005790-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMNIFGNVDSCAKNLCSILFALIEKLKRDGNCVLFEHHNQVGRRYGLFDEDSDDKDSDDEDLEEPEPEPEMVRGHPCPMCGRRNEKNEAAYNLLTISMQCCIIDSLAQTIIYRAWVAETTLAHYAERGLRRAQNTMDQEVANNTRIPKKRTRILACHQLFQRLASPKESMPQQQKLDAIHNGNPQ >Sspon.06G0017920-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:97418692:97420771:-1 gene:Sspon.06G0017920-1A transcript:Sspon.06G0017920-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MCQEKKSGGCAKWLHRFSLLFRVAGIGLAAVAAAAMATASQCTVYAAYGARPYTITYSDFHAFVYLVAATSIATLLEAVALFLSWWKKGKCKKGWRVLIMLLLGAVVPALLYTSAGAAFAVGWDISYYLEPSGRHFSVCRSSVGDSFCGRVHVSMWLALGAAVAVSIAEFLTTLRWCHGCGSSKLQVNNRGSKSNKLACSSSSIDRRTLTMCNEEKKESKWATALSIAGRIAGMGLAVAAAALMATASQCTVYAAYGARPRTVTYSDFPPFVFLVGAASIAAFLEAIAIFLVVWKKGKDKTAKVLMPLLGVAVPALLYSATGAALAAVSDMSYCSANGRRVSFCAGSAAAGGVAGSNFCSQVHISVYLSLAAAVAVSVAE >Sspon.02G0015230-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:43363189:43365232:-1 gene:Sspon.02G0015230-3C transcript:Sspon.02G0015230-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTKQGKRDVDAYTIKGTNKVVRVGDCVLMRPADTDKPPYVARVERMESDGRGGVRVRVRWYYRPEEAKGGRRPFHGAKELFLSDHFDTQSAHTIEGKCIVHSFKSYTKLDNVGPEDFYCRFDYKAATGAFTPDRVAVYCKCEMPYNPDDLMVQCEGCKDWFHPSCMGMTIEQAKKIDHYMCSDCAKENGAKRPSNSYPVSPNSDSK >Sspon.03G0040060-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:16222675:16227084:-1 gene:Sspon.03G0040060-2D transcript:Sspon.03G0040060-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLPTLTALKPSTPTVRWNPVGCSHGRRRKLIFASSAPPPSSSASRPPKPPSNPEPPKPTHEALCRKPDDSTEAGFPTTKPRKPRRGRRGEAAAVEDFVRGRLEQVFASIRERNPDVLEGKGDILKPKAEGEQVPDEEEGEGEGEQKPVVEEEDPSWPLDADVGWGIRASEYFDKHSIRNVTVDGVEIDWEGEVDEGWVKEINCLEWESFAFHPSPLVVLVFERYNRAADNWKFLQELEKAAKVYWNAKDRLPPRTVKVDINIERDLAYALQVRECPQLLFLRGNKILYREKVSVAKSSTNKSLRDSFTYSTEIRTADELVQMIAHFYYNAKRPSCVNPEAVASF >Sspon.02G0042280-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2B:81938760:81939168:1 gene:Sspon.02G0042280-1B transcript:Sspon.02G0042280-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGTHVSSSSIRLMFSEIVIATGQFYQPRTSKTPLYGAGATLVVANDAGGLKGRVPVSLTPELAVA >Sspon.02G0047360-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:16153898:16156890:1 gene:Sspon.02G0047360-1C transcript:Sspon.02G0047360-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAATATAGSRAAALLLLLLLLSLALALRASGAGAGAGGDCHFPAVFNFGDSNSDTGGLSSLFGAAPPPNGRTFFGMPAGRYCDGRLVIDFIAESLGLTHLSAYLNSIGSNFTQGANFATAGSSIRRQNTSLFLSGFSPISLDVQFWEFEQFINRSQLVYNNKGDNRNLETISISIYHTKLDSGIYREILPRAEYFSQALYTFDIGQNDITSSYFVNNSTEEVEAIIPDLMERLTSIIQSVYSRGGRYFWIHNTGPLGCLPYALLHRPDLATPADGTGCSVTYNKVAQLFNQRLKETVASLRKTHPDAAFTYVDVYTAKYKLISQAKKLGKALTLVLCVMQALNVSTRGGAHVCIVCDAGFDDPLLTCCGHGGGRYNLDLSIGCGGKKQVNGTSVVVGNSCEDPSKRVSWDGVHFTEAANKFVFDQIVAGALSEPPVALRQACHSKGQ >Sspon.04G0005150-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4A:14700406:14700715:-1 gene:Sspon.04G0005150-1A transcript:Sspon.04G0005150-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding NCVPRPPRAEERSQRRQRRRRVAGPGRRLQGRRRLLGQQRLQRTGVGQRLRRSVPRKRRVEDGKYGSRREGEEEAGQPWEMAAAARQPGAAAAGGRGGTGKP >Sspon.05G0028500-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:68418773:68422502:1 gene:Sspon.05G0028500-1B transcript:Sspon.05G0028500-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTARGIGQGSGSCAKLFQSPPAQHPPRSDGSHRYTSDVRSHMSDAEGGEFYDELQVSRMSNCKSHRYTEDVRSHRSDAEGLRSQIPPLYYQLEQRHGEGDLHKEKEAYIPTYPASGSLVSPPFLLVARRSSDPIRQWLTAVPLRAATTPALPPRRTTSTRRRRQGPIPLTARSTPQSLVRALPTDPAIQAAGGYYSGGPAAKPGAGGGQGSAAPKEQGFFASWASGLASRGRNNLPAPSDGPRPGRSSAASAACSTPAADFLAAVASSQWPLPIVFPARPLTLLAGSVAATCDASPTPTPAMEKMPKTTAKLGRETTDTIRHDTHAKECRRSVALRCMAMGVRSPPWSTKMEIKPGRHGGCIASHHAMACCSWALPTPNSVDCGISTLPLSTWRSGKTADVAVGTTRAWGCRSPDQGAASLPLPRSRSGKR >Sspon.05G0038100-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:32792519:32793312:1 gene:Sspon.05G0038100-1D transcript:Sspon.05G0038100-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARWIPSPPSLHNIFAHHRHNLNGAMTNCARCTASVRCLQRLSPLSFACLSASAWIRLSTSHSIGSSYDAAKTGMDMLCCCRVNRSHQG >Sspon.01G0029110-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:101223767:101231088:1 gene:Sspon.01G0029110-1P transcript:Sspon.01G0029110-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRGCVSRGLRKVKAAASVFSTSSSYTVVDHTYNVVVVGAGGAGLRAAIGLSEHGFNTACITKLFPTRSHTVAAQGGINAALGNMSEDDWRWHMYDTVKGSDGDQDSIQYMCREAPKAVIELENYGLPFSRTEDGKIYQRAFGGQSLDFGKGTCQGVIALNMEDGTLHHFRVANTILATGGYGRAYFSATSAHTCTGDGNAMFHPTNIYGAGCLITEGSRGEGGILRNSEGERFMERYAPTSKDLASRDVVSRSMTMEIREGRGVRPLKDHIYLHLNHLPPEVLKGKASCVDVTKEPIPVLPTVHYNMGGIPTNYHGEVLHIKGGDPDAVVPGLMAAGEAACASLYLAELVRTGLLRYPNQAREKQKPLGKGVGENTIAWLDKLRNANGSLPTSNIRLNMQRVMQNNAAVFRTEETLEEGCELISKAWESFHDVKISDRSLIWNSDLIETIELENLLINACITMYSAEARKESRGAHAREDFSTRDDENWMKHTLGYWENEKVRLAYRPVHMNTLDDEVQSFPPKARVY >Sspon.05G0019140-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:83880192:83884778:-1 gene:Sspon.05G0019140-2B transcript:Sspon.05G0019140-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKAALVAESTDDGGSSFSGLRAYGRALAQTPRRLARRACAATSPGEEMSRVRARSGPRMARALRWWDLVGLGLGGMVGAGVFVTTGRAARLYAGPGVVVSYAIAGLCALLSAFCYTEFAVDMPVAGGAFSYLRVTFVHDHEPVWFAMVVIYAGEFAAFLTGANLIMEYVFSNAAVARSFTAYLGTAVGVDAPSKWRIPVPGLPEGFNQVDLVAVGVILLLSVCICYSTKESSVVNLVLTGVHVAFILFIIVMGFAHGDARNLSRPADPAHNPGGFFPHGAAGVFNGAAAVYLSYIGYDAVSTMAEEVERPDRDIPAGVSGSVVLVTALYCLMAASMSMLLPYDAVLQIDPEAPFSGAFKGRDGMAWVSNVIGAGASLGILTSLMVAMLGQARYLCVIGRSGVVPAWLARVDPRTATPVNASAFLGLLTAALALFTELDVLLNLVSIGTLFVFYMVANAVVYRRYVGDPCPGSGQQQRRAWPTLAFIGAFSLIALCFTLLWQFAPGGAAKFGLLSATAAAAVAAVAAFQALVPQAREPELWGLPGMPWVPAASVFLNVFLLGSLDRPSYARFGFFSAAAVLVYVLYSVHASYDAEEGAAADGGGGDKLQDQ >Sspon.02G0037220-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:26284555:26288379:1 gene:Sspon.02G0037220-2D transcript:Sspon.02G0037220-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPTTPPSSHLPPIHRIAAHLASPHVTRPPQPRHRGAPPMPPRLAFLVPLACALLLVSPCHCVNEQGQALLRWKDTLRPASGALASWRAADANPCRWTGVSCNARGDVVRLSITSVDLQGPLPANLQPLAASLKTLELSGTNLTGAIPKEMGGYGELTTLDLSKNQLTGAIPAELCRLAKLESLALNSNSLRGAIPDDIGNLTSLAYLTLYDNELSGPIPASIGNLKKLQVLRAGGNQGMKGPLPPEIGGCNDLTMLGLAETGVSGSLPETIGQLKKIQTIAIYTTLLSGRIPESIGNCTELTSLYLYQNSLSGPIPAQLGKLKKLQTLLLWQNQLLGQCKELTLIDLSLNSLTGSIPASLGGLPNLQQLQLSTNQLTGTIPPELSNCTSLTDIEVDNNLLSGEISIDFPRLSNLTLFYAWKNRLTGGVPASLAEAPSLQAVDLSYNNLTGPIPKALFGLQNLTKLLLLNNELSGLIPPEIGNCTSLYRLRLNGNRLSGTIPAEIGNLKNLNFLDMSENHLVGPVPAAISGCASLEFLDLHSNALSGALPDTLPRSLQLIDVSDNQLAGPLSSSIGSMPELTKLYMGNNRLTGGIPPELGSCEKLQLLDLGGNAFSGGIPSELGMLPSLEISLNLSSNSLSGEIPSQFAGLDKLGSLDLGAAEPRHTQHLLQCLLWRAPEHSVLPEAAPQRLAGNRHLVVGDGSDESSRRGAISSLKIAMSVLAAVSALLLVAATYMLARTHRRGGGRIIHGEGSWEVTLYQKLDITMDDVLRGLTSANMIGTGSSGAVYKVDTPNGYTLAVKKMWSSDEATSAAFRSEIAALGSIRHRNIVRLLGLLFYGYLPNGSLSGLLHGGHAGKGSPADEWGARYEIALGVAHAVAYLHHDCVPAILHGDVKSMNVLLGPAYEPYLADFGLARVLAAASSKLDTGKQPRIAGSEKSDVYSFGVVVLEILTGRHPLDPTLSAGAHLVQWRDSAELLDARLRARAAEADVHEMRQVLSMAALCVSRRADDRPAMKDVVALLKEIRRPQPSPTGAAATPAAAAPVGQSSSCSFAVSEYSA >Sspon.04G0034470-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:78241074:78245200:1 gene:Sspon.04G0034470-2D transcript:Sspon.04G0034470-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPASSSPAMASQHAPPRRELDLDAFLPSSPTSSASSDADAADPDHRRAVDDLLRLLSSSDSDSDSEDSRRIPSTSSSRALSRVQAPAPPPAEPSPLPSPSPPPRRSASASPSETLSSLVARTFSSNGASSSSKPLPSLFRGVRPSPKPGAALAAAAAASRAVLTPHAAAIKSRRSVSVPVEKVLEEEVSGSEVSKELHSTGSLEAEVEEKDKLEVVVDATHQTAGGSVGTELGEDKQEEVAGEENAEDLKLVEASSLDSLVAEDSSGHEQGDDVNLAETDQVGNQIEAVYEENGDDQTRDDNHVQSGQGMDLIGVVSEESSDDEHEFERSDSIMEEQVESGSLIDKVIEERVEQLEASRKAEKNAEKKLKVSMKPLEWAEELEKRQASFGQHWEEGAAAQPMQLEGIGKGPPAIGYMQIEMDNPVTRAMSSPSFRSDHGSPQVLAVHRSYIAMGTSKGAVIVIPSKYSIHQADDTDAKMMFFWNQGERTQSPVTAMCFNQQGDLLLVGYGDGHMTIWDVQKSAAAKVIYGEHTAPVVHACFIRQSKAITGDSKGVVLLHTFSIIPVINRLTVKGTQRLFDGNTGIVLSACPLVMDESFGFSNSSAQGNQTTSSSGGLGSMMGGVVGGVVGVDSGWKFFNEGSSPMEDGVVVMFIMHQHAIVVRLRTNIDHVDHIETFSRPDGAREGSIAYAAWKYTSSLNESSSVDDERVSWLALAWDRQVQVTKFVKSKIFKHKEWKLDSAAVGVAWLDDQMLVVLNLRGQLCLFSKDGSELRRTTFVPDGLLFDDTILHHAHFFNRFGNPERHFNSSVAVRGATVYILGPSFLTVSRLLPWKERIEALMRAGDWMGALDMAMRLYDGQTQGVVDLPRTVDLIREAIMPYLVELLLSYISYVFEYISIALSNHTGKGGESDVLIEADRSLLTQRDEQYARVGGVAVEYCVHIGRNDILFDTVFSKFVAAKSGGRLILTLSVEA >Sspon.06G0001300-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:2526219:2529979:1 gene:Sspon.06G0001300-3C transcript:Sspon.06G0001300-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATMCSLAAVPLLLMQLATTAAAANMAGCESRCGDVEVPYPFGFGTSNGCHRAGFEVTCDTTYQPPKLTLGRSGGGGPEVLEISLRNSTVRVRSAVWSFPAAAAGTTSDARVDALPANLRGSQYALSAARNSLVLVGCGFQFQATAPARQGNAGRTFTSCAPSCPGAAQRNLRHGPCDGAGCCEAPIPTTGGLTSSSFGVQFSWLDENATARRPAWVAPGASVLVVEHEWWRDRENVVPVKLSLLSSGNATGFVIPAVLDWALNTSSSCAAAAKMPGFGCVSRNSECLNATGSADGYFCRCKDGYNGNPYVRGGCRGSRKQISAAAAAAAALPRPSGATAAPAAADGPWRSCTTSCGNISIAYPFGVEPGCYRGGFDVICDRSFQPPKLFLGDGATEVTDIFISNGTLRISSGYVNISDRVLRSAGTSWGAGLRPGGPYFLSEERNKLVVVACNMQVHLLGTNANGGGIVSACSALCPSVDDGGAGAPAQRYVYYNGGCSGVGCCQATVPVGYATYAVRVQKLNGTATRRTNIFYIAERGVNYTINRTTAKHAPPPALPAVLDWVIGDANSTCPVDAPAPECRSSQSYCQNSTAEAHRGYICRCSAGYEGNPYITDGCQ >Sspon.02G0032300-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:119796573:119798364:-1 gene:Sspon.02G0032300-1A transcript:Sspon.02G0032300-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEGKRKGVPALGWWLMLVGSLRLASVWFGFFDIWALRVAVFSQTEMTDVHGRTFGVWTLLTCTLCFLCALNLENRPLYLATFLSFIYALGHFLTEYLIYHTMAARNLSTVGFFA >Sspon.02G0010480-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:28828600:28832141:1 gene:Sspon.02G0010480-1A transcript:Sspon.02G0010480-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVKERGAVQASADVVPDRGEAATALSLCWPSRRLQCSSGPERIGGSTLVNPQVLTHPAAYQASPPRGRSSVCSAVQRVQTAEGEGMAPPLISSSAVLRRLRAHSMLPRLLVFLLAAAIVVPRSASAITRRDFPEGFVFGAGSSAFQVPPPVVLREDVKLMNEMGLDAYRFSIAWPRLIPGIQPHATIYHFDLPQVLQDEYGGLLSPRFIEDYLAFAEVCFRSFGDRVKHWVTVNEPNIEPIGAFDTGTEPPRRCSYPFGENCTGGNSSTEPYIAAHHLLLAHAAAVSLYRDKYKASQGGQIGITLLGWWHEPATNTPQDAAAAARMNDFHIGWFMHPLVYGDYPPVMRSRVGDRLPALSAEDSARLRGSFDFVGFNHYLILRVRSSSEKKDSGQRLGDYYDDAAIHLWPLRRYGTMQRKLAAAEAEAHPLGRIESPPWALGKLLEHLRLSYGNPPVMIHENGLGDAPGTPSAIEYDDGDRSKFLQDYLEVLYLSTRNGSDARGYFVWSFLDVFEFLFAYKVRFGLCGVDMNAGARTRYVRSSARWYASFLRGGELRPPPAAAPDDDVRPYAVRRDGHPQATTTTAHYDGRWEII >Sspon.04G0016350-2T-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4C:66530331:66530711:1 gene:Sspon.04G0016350-2T transcript:Sspon.04G0016350-2T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding KEVEYYEEYQRRLRARVGPSRAAAIVRGALHVVSIGTNDFLENYFLPLATGRSAQFTVPEFEDFLVAGARQFLARIHRLGARRVTFAGLAAIGCLPLERTTNALRGGGCVDEYNDVARSFNAKLQAM >Sspon.04G0027680-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4B:61282578:61288321:1 gene:Sspon.04G0027680-1B transcript:Sspon.04G0027680-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LEICADTMVGDSMIRGISGGQKKRVTTGEMLVGPAKALFMDEISTGLDSSTTYQIVNSLRQSVHILGGTALIALLQPAPETYELFDDIVLLSEGQIVYQGPRENVLEFFEAIGFKCPERKGVADFLQEVTSRKDQHQYWCRRDEPYRYISVNDFSEAFKAFHVGRKLGTELKEPFDRTRNHPAALTTSKYGISKMELLKACFSREWLLMKRNSFVYIFKVVQLIILGTIAMTVFLRTTMHRRSVEDGVIFLGAMFLGLVTHLFNGFAELAMSIAKLPIFYKQRDLLFYPSWAYALPTWLLKIPISFLECAVWIGMTYYVIGFDPNIERFFRHYLLLVLISQMASGLFRLLAALGREMVVADTFGSFAQLVLLILGGFLIARDNIKKYWIWGYWSSPLMYAQNAIAVNEFLGHSWQKVVDMTHSNDTLGVQILKARGIFVDPNWYWIGVGALLGYIMLFNVLFILFLDWLGPLGKGQAVVSEEELREKHVNRTGENVELLPLGTASQNSPSDGRGEIAGAETRKRGMVLPFTPLSITFDNVKYSVDMPQEMKDKGVTEDRLLLLKGVSGAFRPGVLTALMGVSGAGKTTLMDVLAGRKTGGYIEGDISISGYPKKQETFARIAGYCEQNDIHSPHVTVYESLLYSAWLRLPHEVDSEARKMFVEEVMELVELTPLRGALVGLPGVNGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVACTIHQPSIDIFEAFDELFLMKRGGEEIYVGPLGRNSCHLIDYFEGIEGVKKIKDGYNPATWMLEVTTLAQEDILGINFAEVYRNSDLYRRNKALISELSIPPPGSRDLYFPTQYSQSFLTQCMACLWKQHMSYWRNPSYTATRIFFTTVIALIFGTIFLNLGKKIGTRQDLLYALGSMYAAVLFIGIQNGQTVQPIVDVERTVFYREKAAGMYSALPYAFAQVLIEIPHIFLQTVVYGLIVYSLIGFEWTVEKFFWYMFFMFFTFMYFTFYGMMAVAMTPNSDIAAIVSTAFYAIWNIFAGFLIPRPRIPIWWRWYSWACPVAWTLYGLVASQFGDITDVKLEDDEIVKDFVNRFFGFEHDNLGYVATAVVGFTVLFAFVFAFSIKNPEWCSDQQKSRGTGSRSSHCLRQPPQAAAHAICQAHEGRRRNRQATTAGWVRNKPPWRLVTPTAGMVRHRCGVCATRARWGLGWAKFRSPVRLSLSARLISRET >Sspon.05G0010710-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:24973004:24973513:1 gene:Sspon.05G0010710-2B transcript:Sspon.05G0010710-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADRLLASCIPGGMEAAEREDGEGLELSLSLHPSPSSPPRFQAVFACCYCPRKFRSSQALGGHQNAHKLQRNLARRGREAASAPPAPPPAAVPDQQGSSRASGGSESSTTPRSRAEPPPGADAWGEGRHHRRHQHHHLYQQRPALAGATEASSGASSRGGKEDAAEEIID >Sspon.03G0032080-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:42191906:42196274:-1 gene:Sspon.03G0032080-2C transcript:Sspon.03G0032080-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRRKEGYVAYFTRSQPWSPSCGQAKPGVVVARVGYSFVLSRGGDDRDRGYLSALEEEASTMQEALISPGNACRLRSALELKPFAFGDQRLASPPGYLNNLASLGGGGGSDDALFRCSSPFSPSFGFSSPSPLATSSVSVSLSSSSSASLVDDCDDAADADAVATGHRLQLARLALQYQEVADRYELCLARLADAADEAAALHRENAELRVANADLTRRLALLSGIGKQAAAAAIADEVRRLGFGDHKHAAKECAPEKPAVLPKSISVRSSDFLKMNKPKKVQAPATPAANNRNHRASNPTTNPSSVSPSSRLANLITSPLVNCHLTLLHACTLMRYTDQQRVYKGNGGDKKGEEPKEPPHTAAGGMELDGELEVYNQGTFKTELCNKWEETGECPYGDQCQFAHGVAELRPVIRHPRYKTQ >Sspon.08G0004590-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:13340031:13341357:1 gene:Sspon.08G0004590-1A transcript:Sspon.08G0004590-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGPNNAATSSTSKGGSGAKAAFKQDLLRNLLLGLRARTAEAACPFDAMSLQERKCAVKCSADVALAAARCGAAGAAVGGRARWPKAILADAAASSSHSPGGTCKVRKSACKWKRVARRRVDAKRIIRGAYAAASGAAAAASCVDVARRLVRRRTMALRKVIPGGNAAAMDDEAALLRETMDYVVHLRAQVDVLRRVSAAVQRSTFLRDASQSMGES >Sspon.03G0036690-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:88376595:88379538:-1 gene:Sspon.03G0036690-2C transcript:Sspon.03G0036690-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ASAHANEEKRWVLSDFDIGKPLGRGKFGHVYLAREKRSSQIVALKVLFKSQLKQSQVEHQLRREVEIQSHLRHPNILRLYGYFYDQTRVYLILEYAAKGELYKELTRCKHFSERRSATYIASLARALIYLHGKHVIHRDIKPENLLVGAQGEIKIADFGWSVHTFNRRRTMCGTLDYLPPEMVEKTEHDYNVDIWSLGILCYEFLYGVPPFEAKEHSETYRRIVKVDLKFPLKPFVLEHPWIVQNADPSGVYRG >Sspon.01G0031840-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1A:108680086:108683529:1 gene:Sspon.01G0031840-1A transcript:Sspon.01G0031840-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSSGGAASSSSSRGVPENRFYNPPHVRRQQQQEQQRLRSASPSLSPSPPPPPRSARQKPPPPSVDVDSRSDDSSSTTSSKPSVATAAACDVNVAAAAEEAGNLERFLTSTTPSVTAQYLPKTSLRMRRGGDAMDSRPYFLLGDLWESFREWSAYGAGVPLVLNGSDSVIQYYVPYLSAIQLFADPSRPASRNRRPGDESDGQSMDTSSESSIENDVERLRVSSSLEGTHRLENGGVRSDDGEGDASPSFPIFEYMERDPPYDCDPPTSACPGFGGINRCTTATGKLSLPIFGLVPYKFRASIWTSDGTQERVTSLMQEADSWLRRIQVDHPDFRFFVSHFSTTWR >Sspon.05G0025010-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:19653373:19656227:1 gene:Sspon.05G0025010-1B transcript:Sspon.05G0025010-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGGEDGKQQPHLVLAHKLFLLSRSDVDDLAKVDLRADVLAAVKSDDMAALYESLAADGVLEMDAALLAEMRARIDEEIRKLDEKIADAEENLGESEVREAHLAKSLYFIRVGEKEKALEQLKVTEGKTVAVGQKMDLVFYTLQIGLFYMDFDLISKSIDKAKNLFEEGGDWERKNRLKVYEGLYCMATRNFKKAASLFLDSISTFTTYELFTYDTFIFYTVLTSVISLDRVSLKQKVVDAPEILAVIGKVPHLSEFLNSLYNCQYKSFFIAFSGLTEPIKLDRYLQPHFRYYMREVRTVVYSQFLESYKSVTMEAMAAAFGVTVDFIDQELSRFIAAGKLHCKIDKVAGVLETNRPDARNAFYQATIKQGDFLLNRIQKLSRVIDL >Sspon.05G0017510-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:74026933:74034034:-1 gene:Sspon.05G0017510-2B transcript:Sspon.05G0017510-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHDLIHDLTRQILQHEMVTSLQNMAPDCILRCRYLSLTSTTEKVSSNLFDKAHALYVSGGNISFDKPMKKCCNIRSVVLDYTSDTPFPLFILKFEFLGYLRICNVNCTEFPEAISSCWNLQALHVTECKGFSTLPESIGKLKKLRTLELLMVTDLRSLPQSIGDCQDLRSLQLYSCYELIEIPTSIVKIQKLIVLDIVSCVCVYNQLQRFTWEHRNLDRINLSGCHSLRDLPSAFSCRTLRTLDLSRTSITSLPQWVTLICTLECIKLEYCMMFVELPKGITNLRRLEVLNLNGCSKLRCMPSGFGQLTRLRWLGLFVVGCGGDDARLSELENLDMISGWLRICNLKYLKDSGEANKACLKKKNNIHSLTLNWSRETEEKLVSDIEEDLRVLDSLEPPSGIEFLQIIGYLGPHLPCWMRKQRDSSCLESIMPNQTSPPKFLCLTELSLELLLNLKSLQGLMELPSLKNLLLIGMPNLEELWITNGLGTGEEQVGVQYCFPVLTNLIIKRCPKLFVKPWLPPCLGLTFEESNEQLLSPGSLLSYLPTPPVSSSCNVLVTVPTLKELRLHRVTGSAPVWQVLQHFTSLQLLHIVECSTLCTLPEGIQHLTSLQQLELVECDALTLLPEGIGQLSALRSLRIYECSALESLPLSIKRLTALQTLYVFGCRGLTKRYEEEVGDDWHLISHIPDVTNQIYRSHNPSTAQIGRIGDRTMSALRSLRINECSALESLPQSIKRLTALQKLF >Sspon.03G0000970-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:14091996:14093831:1 gene:Sspon.03G0000970-2C transcript:Sspon.03G0000970-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTRSSARGEPRRLGNAALLALMLCSVVALSLIRGRFAPIVTTAGDAIKSEDDAAAAAVAVSKVAINVDTGHGAAEAAAEEKQKEEVQPKPADGSGGGAAKPVCYETSRRSDTCEAAGDVRVVGSSQTVYVDSLDREWKTKPYCRKHDNFALVHVKEWSLKPLPSGAAPQCTVNSSATAFVLSTGGFTGNPFHDYTDVLIPAFITAHPLRGEVQFLVSSYKSWWMNRYIQIFQQMSRHEVVDIDADDEVRCYRSVVVGPTFHRELGVDASKSPSGYSTADFRKMLRDAFGLERATATPSGDRWDIRRRPRLLIISRRPSRGRAFMNERAMADMAASLGFDVRIGEPDSSTDTSKFARLVNSCDVMVGVHGAGLTNMVFLPAGAVVVQVVPYGRLEWLARNTFAEPSAGMEVHYLEYVVQMDETTLSEQYPSDHPVLRDPMAIHKQGWNALKTTYLDKQNVRPHLGRLKNTFLQALKMLPHGRDD >Sspon.02G0011530-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:34882253:34885348:1 gene:Sspon.02G0011530-1T transcript:Sspon.02G0011530-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGREPFGLPKTPATPPSSGGTQSLRMAYTTDGTAIFTPVSSVPPATATYQPVAASSLAGVGGNGGAPVPPGCAGEPVAKKKRGRPRKYGPDGSISLALVPASMAAAPAPAPALPAPGASGPFSPNDPKAPNTALSASPDGAKKRGRPKGSTNKKHVPALGPAGAGFTPHLIFVKAGEDVSAKIMSFSQHGTRAVCILSANGAISNVTLRQSATSGGTVTYEGRFEILSLSGSFLLSENDGHRSRTGGLSVSLAGPDGRVLGGSVAGLLTAASPIVVGSFDADGKKEPKQQKLAPSPSDPSPAPLKLAPPTTGVAAGPSSPPSRGTLSLSESSGGAPSPPHAGASGGHGQQQPGGFSGLSWK >Sspon.03G0041970-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3C:48639519:48640516:1 gene:Sspon.03G0041970-1C transcript:Sspon.03G0041970-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding QLHQSASHISLRVRENSGLMMDLHVLPICGAKIPLSWKMLDIARAIVEKSPENTMEK >Sspon.04G0024020-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4B:16839582:16840156:-1 gene:Sspon.04G0024020-1B transcript:Sspon.04G0024020-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQLHISPSVRQTEADDGGRATTQRQRRLLLALGVLAAYLGAQRLPTILPFRWTPTRPPSPPPPSAASTDADLRRDLGRDARTQGVAPATPPPPPRPAPASPPTTHPLTSSPAPSPTQKAAPIPATTLKATTSADER >Sspon.05G0010380-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:32397438:32399308:-1 gene:Sspon.05G0010380-1P transcript:Sspon.05G0010380-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPIWVFGYGSLIWNPGFAYDARLVGFVRDYRRVFYQGSTDHRGTPQFPGRTVTLEHQPGATCWGVAYRIKEEDKEVAMEYLEVREKQYDEKDSSPKVPAVENVMVYFATANKESNQNYLGPAPLEEMARQIYLAQGPSGPNREYVFKLEDALNKLGAVDQHVQELANAVREYSDTELAK >Sspon.01G0003310-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:7788203:7791731:1 gene:Sspon.01G0003310-2B transcript:Sspon.01G0003310-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGQFQDGKEEVIQAWYMDDSEEVQRLPHHREPKEFIPLDKLSGYFDVRDQNDQWIRVAVKKGGHDCFACRNAMRLFVGEPVWTPYNRPHDHLPARKEYVEKIINQGGSQAVEAR >Sspon.05G0029460-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:75435798:75474406:1 gene:Sspon.05G0029460-2C transcript:Sspon.05G0029460-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEEWSKEHPVLWNVGIGPAASFVRTFTRLLFNRTKDLYGPYDLIAHVRLPTAEAPSSSRVQQHWLAFEFFMEMARTLKIQLRPDDIKELQELKQLAAEESYFGYGTISNNLMLQALGREYIYYFGSDSSLRLDPCYDRQPYSSPILELIKKALQGKRHMLLVENLHVSVSLDVLVLTTGRQRPSPFHSRRWLISTTSKDVYEKSRGARGLYDLRGLHLPPEYYYAPPYDDLRPRDWAMLIKEALWDAAGSIHSTLHKQGDKDFWLHVAQHCLYYGILYHPLQGSATPSTSLQQPVIGLSKLAEGVPQLKKDDLIHLEKRSQLEWVSFMNDDGRHVSWNWERKETGGDTRMTSLILRGCSNLSEFTLDQLLTPHLRVLDLSYTPINSLPPSFSSLLNLYLLSLRGFSQLDNLSTPPPTSDKETSPLAHLEYLVVLDMNGVPLLELSKQDGRNKSNLHYLDLSGSKFTTLPTEFFCEMASLEELILSNCTNLKELPPSMAELSNLLVLHVGGTQITSFPEDMFQAMQKLHALKLTNNILLMSLPRSLSEAKGLKELHLSNCISLRLELIWELVPCLEDLYIETWKSVEGIKIHGHPNLRMFSLSGKWIRCLSLCGCSKLKIVNFSDDLTDLKDVDLSGTALEEVPHNLPNLPQLSTLLLLNVPCFKRFPWHQLVRFPKVFHLDNWAYYDNQCQKIFCADANQHCKKTSNAAQITVNDHRMFHSFNSDAANKLVMEGQFLQSFNVQVKQCSVRDIQYSEVDLSTSIRRHSTYQLLQYNSEVANIIPMMKLQPRQRHIEISTKDQYPNGLRHILSVTNSIIITDDNFVRCLTDLNYSLMSLEECQLHRCNQMAVVFIMRSRGSQALPYLQILQVTCLKRLLSLIEPSDLSHSRLLTLKLLKHIHIEDCPRLEKLFPCSLSLPALETLVILFCSNMKTIFYKQPNYEVALSPLPNIKNINLQELPQLHHIHDDVTFQFETPKWEKLFVRGCRSFQRLPLLKKEYLESKVEVCGEREWWDRLQLKLPEQSDYYLHVPPPEFVSRKKHIIQSYLKMGEFVFSQRLVHYVPWSSLPALEEKVGEWSNEHPVIWNVGIGPAASGSFVPTLTRLLFERTRDLFWPYDQITSVRLPPAEAASSSAAQHRLAFEVLTEILMPEDSKQLHQELKELAAEESYFGYGKISDNLMLQAFGQLYIDNFASDQSVRTDPIYDCHADRSPILERIRKALEGKRHLLLVENLHVPISLDVLVFILGGRKPSIFQNRRWLISATSKDVCEKSRDTEGASTLVYRLTHEYYSAPPFDDLRERDWAVLVLCYPLPPPAGAARSIYITLQDKGDEKFWLHVAQHCLYYAILYHPLQVQGEGGAGHQATNTSPITSDELVRRWVAENLIFSTTIPTQIPAYTGQKQSNYYRSAYEAGKVVMQALQEYSLLPIYSVSTTTVSTSSTQGVITGVSKLADNVPRLGQDELNTLVKSSQLGWVSFMNDDGRHVSWNWWPRETRGDIKMTTLILRDCSNMSVFPLDKLLTPHLCVLDLSHTPINSLPPSFSHLLNLYLLSLRGCSQLQTLLSPPPSTSEEQTSSPLAHLENLQVLDMNAVPLLELTQQDGRNKRNRHYLDLSGSEVTTLPSEFFCEMSSLEELIFGNCTNLRELPPSMAQLSNLLVLHVEGTQITSFPVHMFQKMQSLHTLKLINNVLLMSLRMSLSEAKGLKELHISNCISLRLQVLWEQLSFLENLYIQTWEGLEDIKILGHPNLITFSLSGPWVRFLSLRGCRKLKSVNFNDDLTALEDADLSRTAIEEVPHNLPNLPKLKTLLLLNVPCFKRFPWHQLLRFPKVFYLDHCENHDTQIPTMFCQQKTCGDENPPQGKTTNTAQFNINDPRMFHSFDADAVNKLVEEGQFLLSFNVQVIPCSVRSKEPRSNQDELRTRMQRHSTYDLNYNLNELEECQLQRCHQMTVVFLMRSYGSEALPHLQHIHIEHCPRLEKLFPCSLSLPALETLVILFCSNLKTMFYKQTDYNSEVTPSSLPKIERIYLQELPQLLHIHDDVTFRGRDTSIAPVCGAHFGSPRRVAEQGTEPAPPSSPAPPLPPLPPSPPPGHPSTRPLSEIVVIPRTAETNAIEEALSSLALVAMVGGCRPLVTPADVRNFVKSFYHVPTEAFTVCRYASEDFLVHFNATADLENVLHAPVPLGTPFYLVWKRWRCQSMAVAGPLRFKVLLGLRGIPAHLCNVDTAERILGSSYANIAEAPQTTTREDLHEFFVA >Sspon.05G0009340-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:26991020:27000118:1 gene:Sspon.05G0009340-1A transcript:Sspon.05G0009340-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALGEDGDHGAATLPLIADQAKHGGGIVRSGSMWTAAAHVITAVIGSGVLSLAWSIAQLGWVAGPAAMLVFAAVTALQSTLFADCYRSPNPEHGPHRNRTYAKAVDRNLGSSSSWVCMLLQHTALFGYGIAYTITASISCRSRTGTGAHAMAVHHSLEVLDGRCDDDGHPRRTGTAWTCAAHIITAVIGSGVLSLAWSVAQLGWVVGPACMFCFALVTYVSAALLADCYRQSDPEKGPRNRSYLDAVRVYLGKKHTWACGSLQFVSLYGCGVAYTITTATSISITGVQMRTPMQKVWRVSQAIGDIAFAYPYSLILLEIQDTLKSPPAENKTMKRASMISILVTTFFYLCCGCFGYAAFGSDAPGNLLTGFGFYEPYWLIDFANACIILHLLGGYQVYSQPIFQFADRFFAERFPDSGFVNDFHTVRFACLPACRVNLLRVCFRTLYVASTTAVAVAFPYFNEVLALLGALSFWPLAIYFPVEMYFIQRNVPRWSARWVVLQTFSAVCLLVSAFALVGSIEGLITQKLS >Sspon.07G0034360-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7C:72435225:72435418:1 gene:Sspon.07G0034360-1C transcript:Sspon.07G0034360-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding QRCCRIKPAHHVRHPDTGTAMAPSLRSSRSVIPRTCTGKTRSTMLAGTHHYSSTMTRFAQLAD >Sspon.06G0023260-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:42106708:42111746:-1 gene:Sspon.06G0023260-1P transcript:Sspon.06G0023260-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding KLPYSIRILLESAIRNCDNFQVTKNDVEKIIDWENTSPKLAEIPFKPARVLLQDFTGVPAVVDLAAMRDAMAKLGSDANKINPLVPVDLVIDHSVQVDVARSQNAVQANMELEFSRNKERFGFLKWGSSAFQNMLVVPPGSGIVHQVNLEYLGRVVFNTDGILYPDSVVGTDSHTTMIDGLGVAGWGVGGIEAEATMLGQPMSMVLPGVVGFKLTGKLRSGVTATDLVLTVTQMLRKHGVVGKFVEFYGEGMGKLSLADRATIANMSPEYGATMGFFPVDHVTLDYLKLTGRSDETVSMIEAYLRANKMFVDYNEPPTERIYSSYLELDLDEVEPSMSGPKRPHDRVPLKEMKSDWHACLDNKVGFKGFAVPKEQQDKVVKFDFHGQPAEIKHGSVVIAAITSCTNTSNPSVMLGAGLVAKKACELGLEVKPWVKTSLAPGSGVVTKYLLQSGLQEYLNQQGFHIVGYGCTTCIGNSGDLDESVSAAITENDVVAAAVLSGNRNFEGRVHPLTRANYLASPPLVVAYALAGTVDIDFEKEPIGLGKDGKEVYFRDIWPSTEEIAQVVQSSVLPDMFKGTYEAITKGNPMWNQLTVPEASLYSWDSKSTYIHEPPYFKDMTMSPPGPSAVKDAYCLLNFGDSITTDHISPAGSIHKDSPAAKYLMERGVDRKDFNSYGSRRGNDEVMARGTFANIRIVNKFLNGEVGPKTIHVPTGEKLYVFDAAMRYKSEGHATIILAGAEYGSGSSRDWAAKGPMLLASSIQSFLYGKTILLNDEGVKAVIAKSFERIHRSNLVGMGIIPLCFKAGEDADSLGLTGHERYSIDLPANLSEIRPGQDVTVTTDNGKSFACTLRFDTEVELAYFNHGGILPYVIRNLAGAQN >Sspon.02G0015730-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2C:43759351:43760118:1 gene:Sspon.02G0015730-2C transcript:Sspon.02G0015730-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPHEEHHEQEKQAGIKAGYDAPNDKLLEMMAGLSIYNQQSGVALPRLWEEEEDDAAAADRFSPAFLGPHRGIIDPRAYAPAPPIGQEAGPSAPSQMHPALVRMPLPPCDRSVPDARARPFAEAGRFRRSIARGPRNEPALGMLPPGAIAAARGAAHPGGHAHMAMAPPPYLHGGTVAVNRPNSFFPGNEEALLLALSEETPESVVSYACDLLESRHGQRLFRLVLNHCSQQLRDRIVATITRDRKSFGSLCTRR >Sspon.04G0018220-4D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4D:75113006:75117722:-1 gene:Sspon.04G0018220-4D transcript:Sspon.04G0018220-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCFCVGSSSRGGLIQVAAGGGGRRSAGSARSLQRSAHLATGDGDAPAPVPEASCSGDGIG >Sspon.06G0016170-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:88161801:88166635:1 gene:Sspon.06G0016170-1P transcript:Sspon.06G0016170-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALNKEYTYAELEKEPYWPFEKLRISITGAGGFIASHIARRLKSEGHYIIASDWKKNEHMSEDMFCHEFHLVDLRVMDNCLKVTTGVDHVFNLAADMGGMGFIQSNHSVIMYNNTMISFNMLEAARINGVKRFFYASSACIYPEFKQLETVVSLKESDAWPAEPQDAYGLEKLATEELCKHYTKDFGIECRVGRFHNIYGPFGTWKGGREKAPAAFCRKTLTSTGRFEMWGDGLQTRSFTFIDECVEGVLRLTKSDFREPVNIGSDEMVSMNEMAEIVLSFENKQLPIHHIPGPEGVRGRNSDNTLIKEKLGWAPTMRLKDGLRITYFWIKEQLEKEKAEGMDLSVYGSSKVVQTQAPVQLGSLRAADGKE >Sspon.01G0030610-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1A:106027992:106034867:-1 gene:Sspon.01G0030610-1A transcript:Sspon.01G0030610-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LGLSVVAWYDRGAGEWRVFDDSCPHRLAPLSQGRIDGKGRLQCAYHGWCFDGAGACKFIPQAPALGLPVHESSRACVASYPCVVQNKILWFYPRTEAGHRDVLRRKRPPYIKEIDDPSFVTAYGIRDLFLELTKNIQCRWVVELHFFTVEHDREGGGPIKLKVEEASIDGFLAAMERGHWKFMAPCTFHSSGTSQQPKPGKKKAPRFMLVVFCIPVGPGGSRLIWAFPRNFGVWLDMVVPRWVYGLPHRPEPRAGLGCLHPPCRVSGSNMLELQERKFAAMGLENWQSRCYVPASSDVMVVAFRSWFRKHSKNQVGWASTPQPGRLPPTATKDRLMERYWSHVAQCASCSAALRAMRAAEAALQVASVAVVGFLAVARESTLLASSPARRAVLVSAAVLCFAASRWLDGDPVVLLLELVAFENRDSMLSTASTKVLINGRPGRRICHARGLRQGDPLSPFLFVIVMEVLNGLIAEADRTALLTPLPENGIKYRASIYADDLDIFLAPSEQDFSCIRRILELFASSFGLTTNLDKCTITPIRCTEDDVAAVQQCRVAWPIVCSLRDCGGLGLPDLRILGLALCLRWEWLRRTQPDAAWAHLPSKPERIVDHMFRASVSVQLGDGATTRFWTDARLPGRAICNFAPNLFRAIGSRRRSRSVRDALANRQWARGVSGAPTAAVLVEYVQLWDRVDHVQLQPHTSDKFIRKWTANGKYSSPPPTVPSSLLALHGRLWTAERQKRHGLQQSVACVLCDQADEFANHLLASCVFVREIWHRLLARVGFLHLCPDANSSLVDWWLRARAQAPNPFRRGFDTLVLLVSWEVWKERNRRTFENLSRTPAEEPRPRDATTPAKPQPRVPCPHRSPPSLPRVSTALAAGRRRAMDPFFLLQLPRASRPPLPVATAAAPLSCRRLNISGGARPVPRRLQRRAARLSVSAVATETPRTEEEQPSPSPSPSGKERFDWLDQWYPFAPVCDLDPRAPHGKTVLGLSVVAWYDRGAGEWRVFDDACPHRLAPLSEGRIDDKGRLQCVYHGWCFDGAGACKFIPQAPALGPPVHTNPKACVASYPCVVQNNILWFYPRADPEYKDVLQRKRPPLIPEIDDPEFVTVYGIRDLPYGYDILIENLLDPAHVPYAHKGIMRGIRKKEDPGRYVPDLTRVSSLMFGIANEVVLPVHTIRWAYSVADNACCLVCCIADRSCLFFFTVEYDKEGGGPAKMKIEQANIQGFVSPQERGYFQFVAPCTSFAAPFPQPQEDKKKKVPRIMLVFFCIPVRPGRSRVIWAFPRNIGVWLHHITPRWLYHVGQNLVLDSDIFLLHVEERKFAAAGLDNWQKACYVPTSSDSMVVAFRNWFRKFSKNQIGWATPQIDQLPTTPTKDQLMERYWSHVAQCTSCSAALKAMKALEVVLQVASVAVVGFLAVAKGTLVTSTVQRAA >Sspon.03G0017140-5P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3C:13351523:13352170:1 gene:Sspon.03G0017140-5P transcript:Sspon.03G0017140-5P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCDFSATRHEEGDVSLDAQVVAKKDTFRYLWSILQKDGDIDEDVRHRISAGWLKWRQASGVLCDKRVPQKLKGKFYRTAIRPAMLYGAECWPTKRRHVQQLSVAEMRMLRWCCGHTRRDRVRNDDIRDRVRVAPIEEKLIQHRLRWFGHVQRKPPETPVRNGVLKRADNVKSSRGRSKLTWDESVKRDLKEWNISKDLAMDRSAWRLAINVPEP >Sspon.08G0000860-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:2974695:2978411:-1 gene:Sspon.08G0000860-1A transcript:Sspon.08G0000860-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCASAIDAFVQRGNRASPSPAATPELTFFPTSCSGMSASRRTSSSATTGKLSTLSSTSTFMPSTVSGVSVDDDYPEGQILESPNLKIYTFAELKSATKNFRPETVLGEGGFGKVYKGWVDEKTLNPSKASIGIMVAVKKLNPESVQGMEQWQSEVNFLGRISHPNLVKLLGYSMDDNELLLVYEFMSKGSLENHLFRRGAVYEPLPWSLRLKILIGAARGLAFLHSSERQIIYRDFKASNILLDSHFNAKLSDFGLAKHGPDGGESHVTTRVMGTYGYAAPEYVSTGHLYVKSDVYGFGVVLLEMISGLRALDPSRPSEKVNLVNWARPLLSDRRKLSQLMDGGLEGQYNPKGALLAAQLTLKCLNGDPKSRPSMKEVVEALEKIESVKSRAREPRNSSSSSRRGQVQSPRSDSARNNSSSRG >Sspon.06G0001470-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:3363203:3367993:1 gene:Sspon.06G0001470-2B transcript:Sspon.06G0001470-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSRCHGGGRERGGGAGGVAPAAAFAAPLLGCVVMALVCGAAAQGSRLLSEYKTLSGAAALVIAKGGFSGVFPDSCQDAYSFAKEASVPGTAMWCDVQLTKDGVGVCLRDINMNNCTTVSQAYPARKRTYVIDGVHKNGWFVSDFTIAELRSAVYLTQAIWSRTERFDDIYPIVSVTDLPYLVKSSPVWLNVQHPIFYKQHGLDMSRYILSIRKLVSMDYISSPELGFLKNISARVGRKTKLVYSFLDKNLLDHSINQTYGSLLTNLTFIKSIASGIMVPKDYIWPVTNDNYLQPSTSIVTDAHKAGLEIYASDFANDRIIPYNYSYDPLAEYLNFISDGGFSVDGVLSDYPLTASEAIGKPLVISHNGDSGDYPDCTDLAYHSAIDDGADVIDCPVQVTSDGVLMCMSSINLLDTTNVQRTPFATPPSLVPEIQSTPGIFTFNLTWANINSSALKPKISSPVSDYYLVRNPRYANQGKFLKLSDFLAIVMDSDLSGAMIIIENAAYIAKSLGIDIVDSVTTALSAAGFDNQTAKEVLIQSKDSAVLVKLKQQKTKYKPVYTLPSSIGDTSNSSLKDIKKFAHAVVVDRRSVFTLSYAFIIRQNRLVQDLQSAGLAVYAQVFRNEFVAHPLDFFGDATVEINYYVQSFNLSGIITDFPKTVRRYKKNTCTILGKDMPDYMQRVEVGGLVQKLRSFQTQPPSVAPMPALNSSSVEEPPLPPAAPRNVPDVGPSGGATPTPGGTGTPPSDAHQTATASTGMLLVMVSAALLI >Sspon.01G0000630-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:2260659:2261212:1 gene:Sspon.01G0000630-1A transcript:Sspon.01G0000630-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AMVHGNRAAKKLAFITSWENAKKAEMEAELKKIQEQLEKKKAAYEEKLKNKLAMLHKTAEERRAQTEAKRGEEIILAEEMAAKYRAKGEAPTKLFGLLKA >Sspon.06G0003590-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6A:10868820:10869695:1 gene:Sspon.06G0003590-1A transcript:Sspon.06G0003590-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGPSIEATSFCSVVRPQDSSVAVDGRLQRKCLACRNDDCWTLCMHVLIAPFNNKLTSVGDHDPRTTSTFSNAYPLLGVIFYCRYSANKMQVADKPSTGNETSRQ >Sspon.08G0022440-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:51643500:51656145:1 gene:Sspon.08G0022440-2D transcript:Sspon.08G0022440-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At3g18110, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G18110) UniProtKB/Swiss-Prot;Acc:Q5G1S8] SLNKVVSNSGDVCSVLPSKVTSLNPNAAEFVPSFVKPSLGSTTVPDVTKSDFRGSSGKTILDRSESSKSNNSDDEAHQFWRKQLPDDIIPDFTSFEKVEQGPEELSLAGLSLNAPPFYGTTSSRFSREHELSSPATKGLELEHTNLLYEDNYLGSTNWEQNYIGDLHIANGNQDLHYDSETGVSFSDSFASEYAASSDGLVAPLEYLASQFPGFSAESLAELYYANGCDFNHTIEILTQLEVIVDATPNHTLNLAHSAPNFSTGDFPALPTVEDQNGFNKGNVDVLGMFNGRGSSAMPTGAGDFVSAVRKLASQNSGQWKFKKGPEYGNGVSALSVPKQYSSSTKKSSGNKFQSISSARVAPWLETGDAVGNIYICNPPANMYSESRGEARDFARVRNACFEQVSCFFPMWGDRLIDLHGLHVNEAIHILKGELTALKSAARAARERMQVMVCSLSHHPEDPKLIMSSMAVTSPLPFLSSSSSFTCHLRRAATATATAASSASEDFDYPLADPSVRWPHLRFPHLPAPRFPATVAAAPPVPARPPQEAEDPAATSASAPSLVEPLDARAHRGRVKKLSKLALRRAQDWRARVAGLADAVLALPPGAPVDDVLDGARATPDEVAFVVRAVGESSWPRALDAFEWLARSSAPASRAVAVVLGVLGRARQDSTAEEVFLRFAGEGATVQVFNAMMGVYARSGRFDDARQLLDTMHDRGIDPDLVTFNTLINARSNLAVGLYDEMRAVGCTPDAVTYTVMIDSLGKMDRIAEAGKVLEDMANAGLKPTLVAFSALICAYAKGGRRADAEKTFDCMIASGVKPDRLAYLVMLDVFARSGETEKMLRLYRTMMNDNYRPDDDMYQVLLVALAKEDKCEEIEEIIQDMELLCQMNLGVISTILIKARCVSQGAKLLKKACLQGYEPDAKSLWSIMNAHVMTEKHEEGLSLLECIREHVSSSQDLISECSIILLCRKQTSISAYEQYSQRLMLKYPGQNCNLYEYLITCLVEAELFSEACQVFCDMKFIGIEASKNIYESIISTYCKLGFLETAHGLMDDALQSGIPLNVLSCRVIIIEAYGKIKLWQQAEILVKGLRQASGIDRRIWNALIHAYAESGLYEKARAVFDNMIKTGPLPTVDSVNGMMRALIVDGRLDELYVVVEELQDMDFKISKSTVLLMLDAFAKAGDVFEVMKIYNGMKAAGYLPNMHLYRSMISLLCHDNRFRDVELMIAEMEGAGFKPDLAILNALLNMYTAAGNFDRTTQVYEAREGFTLLNEMGKRGLTPKLQSYKSLLAASAKADLREQADQLFEEMRSKSYQLNRSIYHMMMKIYRNAGNHSKAENLLAVMKEDGIEPTIATMHILMTSYGTGGQPHEAENVLNSLKSSSLEVSTLPYSTVFDAYLKNGDYDLGITKLLEMKRDGVEPDHQVWTCFIRAASLCEQTADAILLLKSLQDCGFDLPIRLMTERTSSLFSEIANYLEELEALEDSAALNFVNAVEDLLWAFECRATASRIFQLAVERSIYRDNVFRYPQKDWGADFRKLSAGAALVGLTLWLDHMQVCSLQGSPESPKSVVLVTGEGEYNMVSLRKTIRAYLLEMGSPFLPCRARSGRFVVKDYSLKMWLKDSPFCMDLELKDAPALPKLNSMKLIEGYFMRAGLVSAFKDIHERLGEVWPKKFSRLALLSEECRAEVIKADIQGRKEKLERMKKKGIVTARKSKTRAQRGKFVREQEQNMKVA >Sspon.08G0004460-3C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8C:13344940:13350345:1 gene:Sspon.08G0004460-3C transcript:Sspon.08G0004460-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding PPLLLLVAAAAGLEAMAGAPPPHPSFYSHFPSLSSSSPWAQVLAAPSPAASRGPHAHPPGGAAPDLGAAQAAAAFSPPLLAAGGFSPLPQQVQPQPLGAGQPHPLQPLPLGAVLHGAATRPSLPAALTGGATRHTLCSGPHLSSPAAAPSPAAAAALPPGAATNHTLCSGPPLTAPEAAPSPAAAAALPPGAAAAALAGGGPPTPVPTTWQAIAGAAGAGGPRVANPRVNATSLCPTPPLAYSFGTTANPNWIADSGATFHTTPDASLLSSLRPPHPSCPSSIMVANGTCIPVSSVGTASSHGSFHISDVLVAPGMVHNLLSIRRFTTDNSCSVEFDPSGLTVRDLASRAPLLRCNSTGPLYTLQFPASASPSSPSSAAFAATPSSTTWHRRLGHPGRDALMQLRRSSDIRCSQAHDDHLCHACQLGRHVRLPFQSSSSHASRAFDLIHCDLWTSPVLSMSGYKYYLVVVDDFSHYSWTFPLRAKSEAFPTLSNLFAWVSTQFGLTIKAVQCDNGREFDNSTSRAFFLSSGVHLRMSCPYTSSQNGKAERMIRTTNDIMRTLLLQASLPARFWAECLHTSTYLLNRLPSTACPAPTPHHALFGTPPRYDHLRVFGCACYPNTAATAPHKLAPRSTLCVFLGYSPDHKGTAPARSPGGPASPQDLPVPDTVEAAPELPPSLPVASLPPVVPDAAIPIAGPRTPTPPPPGRFGLVYQRRREPRPPSPPPGRFGIVYERRRELAPPLSSPAPSSPVLSPPASPRSRVDPPVYHPPLLHRDPRHTHPMVTRQASRPQALTAAACEPEISPVPSSVRAALADPHWRRAMDEEYAALLANQTWDLVPRPPGANIVTGKWIWTHKRRADGSLERYKARWVLRGFTQRPGIDYAETFSPVVKPATVRTVLSLALERSWPVHQLDVKNAFLHGTLTETVYCSQPAGFVDGSRPDFVCRLNKSLYGLKQAPRAWYSRFATFLQTLGFTEAKSDTSLFIYHSGGETAYLLLYVDDIVLTASSESLLHRIIASLQKEFAMKDLGVLHHFLGVTVEPRPSGLFLHQRQFTCDILERAGMTACQSCSTPVDTQGKLSEAGGPMLGPADSTAYRSLAGALQYLTFTRPDITYAVQQLCLYMHAPREPHLAAMKRLLRYLRGTLGYGLLLGRSSTAELVVYTDADWAGCPDTRRSTSGYAVFLGGNLVSWSSKRQPVVSRSSAEAEYRAVANGVAEAAWLRQLLAELHRPLARSTLVYCDNVSAVYLSTNPVQHQRTKHVEIDLHFVRDRVAIGDVRVLHVPTTSQFADIFTKGLPSSTFSEFRSSLNTTALKNGSFRHDIHYWLGKDTSQDEAGTAAIKTVELDAALGGRAVQYREIQGNETEKFLSYFKPCIIPEEGGVASGFRHAEVNEQEHKTRLFVCRGKHTVHVKEVPFARASLNHDDIFILDTKSKIFQFNGSNSSIQERAKALEVVQHLKDTNHDGKCEVAAVEDGKLMADADAGEFWGLFGGFAPLPRKTFSELNGKDSTSTSKLLW >Sspon.01G0009460-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:26912439:26917826:1 gene:Sspon.01G0009460-1A transcript:Sspon.01G0009460-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRWSRTIYVGNLPGDIREREVEDLFYKFEDPRDAEDAIAGRDGYNFDGHRLRVEAAHGGRGNTSSNDRSSGFGGGGGARRGVSRHSEYRGMDHMRKAGDVCFSEVYREGGGTVGIVDYTNYDDMKYAIKKLDDTEFRNAFGRAYIRVKEYDGKRGRSYSRSRSPSRSYSKSRSPRSPARSKSPNASPANGEAASPKKQSPSRSPSGSRSPDLRRA >Sspon.04G0007340-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:20962655:20967470:1 gene:Sspon.04G0007340-1A transcript:Sspon.04G0007340-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLSDSAGAGGGGRAGAELMVPQFLLKALHAILAVRSPRPHAPPPPAASAAFRRRDRWFHLPLHAPPPPPAAEHLPEPSPGEPLVVDVYLAPSGGGGLEEVLERWTVACEPWPSPAAAAAVGEGLAVNRAYKRCITLLRSLYAALRFLPAYRAFRTLCASGQVYSYEMGHRVGSFATPFSRAEEAAMRTKRLAPVETQLGRLVVSVQYLPSLAAFNFEVTSLASAAIITDYVGSPAAERMRAFPASLTEAAGPPFPQPSRRPNSWASPAPWPHTLGQPAKFSPPPAHYASPTPSPPTFGYLHSRFSGETAPMSIPQAGGGRGTVHHRNMSEPSRAFMFPPPSSKNVRGEAGMQESPTETSRSFKRADGIRMGDLYANLPSGSKIKDSRDESGRFSGVFSSSGSPRLGFSRSSSRLSMQDDTDDADFPFAVDDVDPDSRPGSSGGKDTGDQAGSSSHKSQDAAVGYLVHLLKSARPLRDSSYSSHTSRGESIEAGSTSSFMSRRTSDALEEFESFKEIRENLLARSRSRLQDSLDKP >Sspon.06G0005630-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:19036865:19037893:-1 gene:Sspon.06G0005630-1A transcript:Sspon.06G0005630-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSTAADSDADYHNSDGSVMPDVLAKGREACYKARDAFYACVEKHADKKPTEIATMGLLYPADCKKSRANFVSNCRPTWVKHFDRQYCAKKRVQRLLDGDEDRRGPISLPQPYTFKQ >Sspon.02G0029040-4D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2D:99690008:99709005:1 gene:Sspon.02G0029040-4D transcript:Sspon.02G0029040-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Importin subunit beta-1 [Source:Projected from Arabidopsis thaliana (AT5G53480) UniProtKB/Swiss-Prot;Acc:Q9FJD4] GDALKRGELAHSAALVFTTFNILAGATATPSQTRGDSDRMCQGLRITRIQRVDSCEEARVQSPWLCVSLAKISSPGPRPPLLSTSAAAARLHPCPPSSTLRARASPIGSPAARFRRRRLRLPAPLRLYVAGVSNPRPATGPVSIRSRISGPIRATCFRVVPVVSIRQFVGGGVLARVFAVRDWFPRRVNMSLDVTQVLLSAQSADGAIRKHAEESLKQFQEQNLPGFLLSLSSELANEEKPEESRRLAGLILKNALDAKEQHRKNELFQRWLALDAGAKAQIKGLLLQTLTSPVASARSTASQVIAKVAGIEIPQKQWPELIGSLLANIHQVQPNVKQATLETLGYLCEEVSPDAVDQDQVNKILTAVVQGMNASEANSDVRLAATRALYNALGFAQVNFSNDMERDYIMRVVCEATQSPEVKIRQAAFECLVAISSTYYDKLATYMQDIFNITAKAVREDEESVALQAIEFWSSICDEEIDILDEYSSEFTADSDVPCYYFIKQALPALVPMLLETLLKQEEDQDLDEGAWNLAMAGGTCLGLVARTVGDDIVPLDPSNHVKDTTAWTLGRIFEFLHGSALETPPIITAENCQQILTVLLHSMKDVPNVAEKACGALYFLAQGYVDAGSASPLSPFFQDIVQNLLMVTHREDAGESRLRTAAYETLNEVVRCSTEETAPIVMQLVPVIMVELHQTLEAEKLSTDEREKRSELQGLLCGCLQVIIQKLGGMESTKYSFLQYADQMMDLFLRVFACRNATVHEEAMLAIGALAYAAGPNFAKYMAQFYQYLEMGLQNFEEYQVCAITVGVVGDLCRALEDKILPFCDGIMTQLLKDLSSNQLHRSVKPPIFSCFGDIALAIGENFEKYLIYAMPMLQSAADLSAHTTATDDEMLDYTNQLRNGILEAYSGILQGFKSSPKTQLLMPYAPHIIQFLDALYNGKDMDDTVMKTAIGVLGDLADTLGVHAGPLINQSTSSQAFLEECLASDDPLVKESAEWARIAISRAVSG >Sspon.02G0044980-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2B:106426083:106426980:1 gene:Sspon.02G0044980-1B transcript:Sspon.02G0044980-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVILKFGMPRRPRCTPFPKLGPARPDCTRACLSRTNAGPALPGRNPRCQTTRRDRSRPLHSSSVASWRPREWSPRVGAAVPAPSGEAGRRAEAAGGAVEQEKEQRGVTIDGGAGRRAVAAGRPTEQEQAG >Sspon.04G0034530-2D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4D:79179206:79179709:-1 gene:Sspon.04G0034530-2D transcript:Sspon.04G0034530-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPSSPATASTHHPPRISISISSCCCPSSPCPLQIQITSRRNPPAAYLLRVATASSTASSTRSRGRGHLPPPAALPDPQAAAALLLAAAGTVGAASLLLRSSSSSAASQQQRQEEQEEVEGEECPDCGGTGLCGRCKGRASSSSSSPRRRPPRRARPPRTWPLDTPR >Sspon.05G0034660-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:61443236:61476120:-1 gene:Sspon.05G0034660-1C transcript:Sspon.05G0034660-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGSESEAAAPFLETKPQVYFDGCPGCAMELRKAANPGFPYRLFFHIGDLHITKREEDIGFYAGFVGASYMFGRTLTSTAWGMAADRIGRKLVIVLAIFSMLVFNTLFGLSVHYWMALVTRFLLGALNGLLGPIRAYVVEVCRVEHQAIGLSLVSTAWAMGLIIGPAIGGYLAQPAKKYPKLFQANSIFGRFPYFLPCLCVSIFCFVILISCIWLPFCYCKTFQETLHKHKFDEKKDQETRHSIGHLTDFEESIEQHSSSTKNKNLFKNWPLMSSIILYCIVCFDDGAYTEIFSLWAESDKKFGGLSFSSEDVGQVLVVAGVSILLYQTFIYPHILRAYGPINASRIAAVNLVYHASFFLSTYDVFIRTLVIYSFKYCIGLERNFLCEYTQNLKSPSAQVVSFFRIIVWYLQDQRATANGLSTTLMSLSKAIAPAGTGFHGHKNVKMCSSCQIGDLHITKREEDIGFYAGFVGKTLRHYNISTFVGASYMFGRTLTSTAWGMAADRIGRKLVIVLAIFSMLVFNTLFGLSVHYWMALVTRFLLGALNGLLGPIRAYVVEVCRVEHQAIGLSLVSTAWAMGLIIGPAIGGYLAQETLHKHKFDEKKDQETRHSIGHLTDFEESIEQHSSSTKNKNLFKNWPLMSSIILYCIVCFDDGAYTEIFSLWAESDKKFGGLSFSSEDVGQVLVVAGVSILLYQTFIYPHILRAYGPINASRIAALQDQRATANGLSTTLMSLSKAIAPAGTGFHGHKNVKMCSSCQETLHKHKFDEKKDQETRHSIGHLTDFEESIEQHSVSILLYQTFIYPHILRAYGPINASRIAALQDQRATANGLSTTLMSLSKAIAPAGTGIV >Sspon.02G0007970-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:23110455:23114184:1 gene:Sspon.02G0007970-1A transcript:Sspon.02G0007970-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSAPTRLHLIIGEQNSAQHKTTVVAPILYWESTTFSRPAIALICFGAIALFPSVLLPSSPFMWLAGMTFGYLYGFLIITVGMSIGMSLPYFIGSAFHCRIHRWLEKWPKKAAFVRLAGEGDWHHQFKAVALLRISPFPYIVFNYASVATNVKYYPYIAGSMAGTIHETFLAIYRFEKLMPIFANSGKLLQSLAVATSHGSFLSLDQIIYNGIGFTIAAASTAAITIYAKKALQKLQAEDEIF >Sspon.05G0024530-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:23519067:23520391:-1 gene:Sspon.05G0024530-3D transcript:Sspon.05G0024530-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEQLKRDYEIGEEIGRGRFGVVHRCVSRATGEPFAVKSVDRSLLADDLDRALAELEPKLARLAGGEGDENPGVVQVRAVYEDDAWTHTVMDLCTGPDLLDWVRLRRGAPVPEPDAVRVIAQLVEALAGCHRRGVVHRDVKPDNVLLDLDPSGEAPPRVRLADFGSAAWLGGEDSARGRAEGLVGTPHYVAPEVVAGGEYGEKVDVWSAGVVMYALLSGGALPFGGETPADVFAAVLRGSLRFPPALFAGVSPAAKDLMRRMMCRDVSRRFSAEQRRWCGQPEEPQSIIIVAMRCVVPDEFCADASVYPSSKYAAAAARSPRGGAGARVCVTVTWAVFLFCFLGLFLAALFA >Sspon.04G0017810-3C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4C:70070193:70070513:1 gene:Sspon.04G0017810-3C transcript:Sspon.04G0017810-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VPGGWGWRIAVAFGAIPAAVIALGALAIPDTPTSLIERGDTATARKTLLQIRGVGDVREEFDDLSTASEDAKAVESPWRELFFGGKYKPQLTFALLIPFFQQLTGIN >Sspon.03G0009350-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:4664392:4665941:1 gene:Sspon.03G0009350-2P transcript:Sspon.03G0009350-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYRADDDYDYLFKVVLIGDSGVGKSNLLSRFTRNEFSLESKSTIGVEFATRSIHVDDKVVKAQIWDTAGQERYRAITSAYYRGAVGALVVYDVTRHVTFENVERWMKELKDHTDANIVIMLVGNKADLRHLRAVPTEDAKAFAERENAFFMETSALEAMNVEDAFTEVLTQIYRVVSKKALDIGDDPAAPPKGQTINVGGKDDVSAVKKSACCSS >Sspon.05G0025230-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:29828187:29829725:1 gene:Sspon.05G0025230-3D transcript:Sspon.05G0025230-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSRAYQFQLQAAEAAAAAAAAPVVHAAEGGEPEVTPKAPLLKVQAAQGTADEPAPRQGSGLAPTTMGTIGNAEATATKCPECPKWFASEKAMFGHLRKHPERGYKGATRPTATASAAAAAAVAGDKKPTKKAPWKETGVPAINMAAAAAATKALGEAELSTKWPASAKRGRTPVAPMPQASSCSEEEEAAMILLELASSSRTTSETQQQQSVEPVRAPDAVSGHQIQMSVVEEPMLLDHPTEAEKQIVQLENALELSAESQTPAVKQVTDLVITTEAVLIVVPANNKPIFPSLDSGAGDKKVTKKQRRVTNPEQMAASTPPPPEGAVVRTPPARRIPSPASDKKHTCQTCGKSFPTHQALGGHMANHVKGAKTTSARHDDLAAAQAMHNILARRDQQSAGNCNGNGNGNVTIPASAGALQERQDAQPPPAQAPTQQTAPTPQTAAPHVCDECHLIFPSGQALGGHKRKHWFPEKQQATKAAPAQAPAPAPRDFDLNEVPKEGQGENNNQPS >Sspon.03G0032100-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3C:42851683:42852255:-1 gene:Sspon.03G0032100-2C transcript:Sspon.03G0032100-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADRPPPGPRAALPSPAARRGHASSSTEREQHSLLGRAVQTFHHVHGSTKLLGFLALAVTLTTLLVLAGVTLTAALAALVLLSPLVLLTVPLWAPVAVVALLTGAASLLACCAGVAAVAAGTWAHRYFTRRHPVGAHRVESAADHDHYARGGTVADVASRVRGYYDAYAREYGGYPPRPHARVKDTAPGA >Sspon.03G0003540-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:27826435:27829024:-1 gene:Sspon.03G0003540-1P transcript:Sspon.03G0003540-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAFAVISLLLFVCVQGAAPVLGFTRSDFPEDFVFGSATSAYQYEGAVAEDGRSPSIWDTFTHAGSMPDKSNGDIAADGYNKYKDDVKLIIDSNLEAYRFSISWSRLIPNGRGAINPKGLEYYNNLIDELARHGVQVHVMIYQLDLPQILEDEYGGWEDFTAYADVCFREFGDRVSHWTTLDEANVAALGSYDIGQIAPGRCSDPFGFGTKKCTVGNSSVEPYIAAHNMLLAHASATRLYREKYQAVQKGVVGINIYTMWPYPLTNSTADLEASQRFLDFYCGWILEPLLFGDYPSVMKKNVGSRLPSFREVQSEAIRGTLDFIGINHYLSLYVNDHPLEKGIRDFVLDAAADYRGKTICHSIQDYHFIACNSLDTSLGLFIH >Sspon.05G0016140-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:60893493:60894050:-1 gene:Sspon.05G0016140-3D transcript:Sspon.05G0016140-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHGNGMLLQLALLISLSLAGVIVGGSAPTSTHLRFYMHDLVTPYPGAPATAVRVARGVTPLPTAPNLRFGDVFVIDDLLTEGPDAASRALGRAQGSYVFASQTELAPLLCVNMVLTAGPHNGSTVAVLGRDLILDKVDVRELPVVGGTSTLRGVTGYGEFRTHTLNATDGNAVLKIDMYLSLRV >Sspon.08G0015570-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:59482216:59485303:1 gene:Sspon.08G0015570-1P transcript:Sspon.08G0015570-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MWKRATSLLRHHRSALGPCSPAASAAAAAGLRRTPAPAPLFFSTLDAAGTRTRVEDVMPIATGLEREEIEAELQGKKRFDMDAPVGPFGTKTPTPHKSLYTDVILAVESVCSFLDHGSESSDNFLTMT >Sspon.05G0006510-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:14592016:14601053:-1 gene:Sspon.05G0006510-2B transcript:Sspon.05G0006510-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MELGGGGDSAARSARLSSDDAAAAAAGVDRLSTLPDDVLVLILLKLSTRAAAQTSVLSHRWRRIWALLPVLCFTFPTEPHRLRDALDAHEVLVRALLVRADGATPESLAVWLPAAARRVSGDLTIFSHGAVQDEEEEQAAQRGAFELPCFEKATSISLYLAFQGLAVPPTGVFTRLTGLRLSGVWFHGPGELGDAVSSPRCPCLQRLTVEDARGLCDLAIHSDSLLLMELRDLHSLSQLTVMAPVLKELTVVRDAMAASGSPRDGRGAEEDEAARSGSKSLDAECNDRSKGSRDRDHRGKSKRRKEEEESESSGEDSGERRKRRRKEKERRRRRRSRSESSGSSSESESESSYSGSSAESESESELDSEEERRRRRRKRRKEREEEERRRRKKEKERRKRKEKEKEKERRRKEKKKRKEEEKKNLGKKGAVTNSWGKYGIIREVDMWSKRPEFTAWLSEVKQVNLEALSNWEEKQMFKDAARSARLSSDDAAAAAAGVDRLSTLPDDVLVLILLKLSTRAAAQTSVLSHRWRRIWALLPVLCFTFPTEPHRLRDALDAHEVLVRALLVRADGATPESLAVWLPAAARRVSGDLTIFSHGAVQDEEEEQAAQRGAFELPCFEKATSISLYLAFQGLAVPPTGVFTRLTGLRLSGVWFHGPGELGDAVSSPRCPCLQRLTVEDARGLCDLAIHSDSLLLMELRDLHSLSQLTVMAPVLKELTV >Sspon.02G0035490-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:11387330:11390676:-1 gene:Sspon.02G0035490-2C transcript:Sspon.02G0035490-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTPNEMMAYSSLSKMDTGQKGAALSNVVAGNNYPVQDYLYEPAFEPDFPEYDSRDDPFAPTGASPKVNLKTVLGGLVSIVTGVNKSEDDASQQEGFSTDISFLGSDKNGDVNVHPSVCVPSAPPLLEANALQYSAYREVLLADPPEWLPDSSANACLQCNLPFTALTRGRHHCRFCGGIFCKNCSKGRCLMPMKFRIRDPQRVCDACYERLDPLQGLLINYNSNCMQQAKHDVMDWTSTRSWLNMPVGVSMEYEIYKATNTMRKYCQVARLNPEKPIPSSILKGAKGLAILTIAKAGAVLTYKVGTGLVVARRSDGSWSAPSAILSVGLGWGVQIGGELTDFIIVLHDRKAVKAFSSRIHLSLGAGLSAAAGPIGRAFEADVRASEKGSGICYTYSCSKGAFVGVSLEGNVVTTRMETNLRFYGDAYLTATDILFGRVERPRAAQPLYSALDDLFSKM >Sspon.02G0048560-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:26555297:26557041:-1 gene:Sspon.02G0048560-1C transcript:Sspon.02G0048560-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNVKGVGPPPVSQSLPSLARPRYVPGESEPRRLRARHAAGSDEMEDGESTYTVDEALVSMGFGKFHAFVLAYSGMAKISEAMEMMLLSFVGQSVQAEWGLSAQEESLITSVVFVGTSVVFVGMLGSNSGEHFFGSVQAIVYFNLTPI >Sspon.04G0017280-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:62700197:62703481:-1 gene:Sspon.04G0017280-1A transcript:Sspon.04G0017280-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADDAKAEAARARPESSQEEEEDWKEAEGDVAEVDRAVTNGTGEGFVPTDRPIRVYADGIYDLFHFGHAKSLEQAKKLFPNTYLLVGCCNDELTHKFKGRTVMTEDERYESLRHCKWVDEVIPDAPWVVTEEFLDKHNIDFVAHDSL >Sspon.01G0009400-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:26797213:26800941:1 gene:Sspon.01G0009400-1A transcript:Sspon.01G0009400-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALCSLRRHRLPLPLLLAVLFAASRADPSDDDYKYNTSICKLQSYTCGGVDIHYPFYFSDETADVLGNNSSCGYPGLAIDCVDDKYPILQLGSSPDDSYNVTGINYTTFTISLVDLDVLDGDESCPVDYNVTVPPAVWLNLLPEYTVEYLLFFANCSISTIPGQPYINPISCPSSLVGYYSFVIPSDSEVPQQTLSRECKKVIQVPVHQNASLTIDQQWSTNGYRVALEQGFQLGWNSSRKSELCIKCEGSNGRCAYSRYGEFAGCLCTNGQVSDHECSNGKPFLSCTDIIASTLSLLLLCLLILALFLARKYGLLCIKRKEEPTIKSFLQKNGNLHTKRYTYAEVKRMTMSFSEKLGQGGFGDVYRGNLSDGHQVAVKMLKDSKGDGEEFMNEVSSISRTSHVNVVMLLGFCLEGSKRALIYEYMPNGSLERYAFNSNMNNQSSLGWEKLFDIAIGIARGLEYLHRGCNTRIVHFDIKPHNILLDHDFCPKISDFGLAKLCPNKESAISIVGARGTIGYIAPEVFSKQFGTVSSKSDVYSYGMMVLEMVGARDKNINADSESSSQYFPQWIYEHLDDYCISVSEINGETTELVRKMIVVGLWCIQVIPTDRPTMTRVVEMLEGSTSNLELPPKVLLSYQA >Sspon.02G0000960-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:4910257:4922512:1 gene:Sspon.02G0000960-2B transcript:Sspon.02G0000960-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAGGGAVAAAAKRCMNPACGGPASSVVGAGGDWRKGWPLRSGGFALLCDKCGLAYEQFVFCDIFHQKESGWRDCSFCGKRLHCGCVASKNSYDLLDSGGVQCVTCMKNSAAQSASGQVVPKPFPCPSNLRFFGKSDELLSSRKFEQPPSLMLDSRNVDIAIVNKSNHLFMVKGIEAGQSSNILRQKEIENGSRQIKWEQPTLSIGDMGRPFLTRSQSALESLQCTRRDDNKDPTTDSPTSESFSEACLSMSLGIASNGNRMEATSTAERPMLSPTTAIAEGRELATTLSPFQQAQRARHFLTRPPRVGEGAAFDPTRDMFPHLRVARPPAEGRGRNQLLPRYWPRITDQELQQISGDSNSTIVPLFEKVLSASDAGRIGRLVLPKACIFPPISQPEGRPLTIQDARGKEWHFQFRFWPNNNSRMYVLEGVTPCIQSLQLQAGDTVTFSRIDPGGKLVMGFRKATNTVSLPDSQISAIANGSLLSETLFSTANENIGVVSGYPGFLHSIKGAADLHPSSLYDHHMNSADGDVSWNKTDKFGSRPDEGSLQFLQKRSRNIGSKSRRFLIDAEDAMELKLTWEEAQELLRPAPTAKPTVVMIEDYEFEEYDEPPVFAKRSIFTIRATGEQDQWIQCDECSKWRRLPLNVIVASKWTCTDNSWDPKCCSCSAPEELTPKELQSVMQQYEEMRKRKGSYGLKLNVAEMDASSLDALASAAVFGEVGNQGTASVATTTKHPRHRPGCTCIVCIQPPSGKGPKHNPACTCNVCMTVKRRFKTLMMRKKQRQSEREEAEASKKIAWVNRDEPEGSNLSRSPQTLDTTRDNSDVTMFDKVADMNKGHIDLNFHPAPAARGDQGQHGAQQPRPVSMMGLLEVASRPLDNYMKQNGLTSLVGEQGGGSSSTATVPQGPVESEERTSNEGRVASASAEREPDTMAIDEAGENQQDKAADDAAAPATT >Sspon.01G0042910-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:69181111:69185853:1 gene:Sspon.01G0042910-1B transcript:Sspon.01G0042910-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAWAVPALTAAAWALRAAVWACLAASAMLVAEAAYMGLASLVAAAMLWRRRRPDARYRWEPMPMPGAGGRHDVEAADFPMVLVQIPMYNEREVYKLSIAAACALTWPPDRIVIQVLDDSTDPIIKELVELECQDWATKKVNIKYEVRDNRKGYKAGALKKGMEHIYAKQCDFVAIFDADFQPEPDFLLKTIPFLVHNPKIALVQARWEFGLANSSLMFLTWPLPGDFAVNYDVCLMTRIQKMSLDYHFKVEQESGSFVYSFFGFNGTAGVWRVSAINQSGGWKDRTTVEDMDLAVRASLKGWEFLYVGDIKVKSELPSTFKAYRHQQHRWTCGAANLFRKMAWEIITNKEVSIWKKHHLLYSFFFVRRVIAPLVTFLFYCVVIPLSAMVPGVSIPVWGLVYIPTAITCMNAIRNPGSLHLMPFWILFENVMSMHRMRAAVTGLLETAHANDWVVTEKVGDLVKDDLDVPLLEPVKPTECVERIYFPELLLALLILICASYDFVLGSHKYYLYLYLQAFAYVVMVSSYEYGHYVQSNCPVLDLFICNSPIAELRVSLAWISDYGFMVVI >Sspon.07G0017220-3P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:81464576:81468806:1 gene:Sspon.07G0017220-3P transcript:Sspon.07G0017220-3P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGHGSLERSSCPCRMAVKMPFSFSADNARVELSERPCISEGRHAGEEDVEHDADAPDVCLRAVLPLEHLGRNVVCAADDVPEQLAFLEEDGEAEVGGLERRVLVLAEQQEVLGLDVPVHHPHGVAAVHDLHDGAQQRRGAALRVLPLGDDAVEELAAGAELHDQVHALLVLVRATEAHHVGLAGEVVHDLHLPPDVVHVLLGRQLPLRDRLARQLLAARLVRAQVARTSSMGRPSTVPTAAVAAAGGLLPVLALAPVGEPGFVVPPPPPGAADDDDGRSLFADAPRLGACAFGAASAAPASPAPLEQHWPMAAAGTRAAACSDGGQVATDMNGESLCMQPKSNTGTYVFDKSFFEIYLDVLNSSSSVADCLLWIQTKGTRQKGHSLLPLVVALEPVEVSFMISGFQSTSRPWLQKLGVAMEQSLETTSRTSGRGIWEESLGAGDTGRRRGRGLQDADA >Sspon.05G0003350-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:10067217:10069659:-1 gene:Sspon.05G0003350-1P transcript:Sspon.05G0003350-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding NDGRGAGAAHGWPRRPLPDGARGRAPAPARAAASGTGHGGARRAAARGAERRGGGRERRGRDAGVRGGQGHAGAAHRRARVPGARTPLRRAGPRVLRRRVRLRGHGRAPPARRRRRVQERAPPPPRVPPRRLQGSRRLPPQQRLLRARGRREQRGDEQAGAGAAGRAPLRRRGRPPRLPQVPRAPGEGDAAQRLPRLDGRARQRGTQAENGGAVRRQQTAPAAAADGGSSSSGRSWRRRARGARPEAAQRRAGDQHDDVASRAVGLRLL >Sspon.07G0026800-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7B:55396997:55397647:-1 gene:Sspon.07G0026800-1B transcript:Sspon.07G0026800-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding QIEPTPTASLASNSSTQVLINTVSNQRKNQEPHGLDQPGRPIINQCKKTMILHAHGFKSRPHGDVRPTPPRMNLRPLHPQAVARRGPRLQREAAGEGDQGEEMCVMGVGCHGRGAGGQGWRAHWRGDSRIIPWRSPSILALRHGPRKPEREVRSMFQSSVTVAIGDGLSTKFWTDSWLPDGPICRFAPHLFAAIGKRRRQKSVREAITNRSWVRDI >Sspon.02G0057820-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2D:65212000:65213509:-1 gene:Sspon.02G0057820-1D transcript:Sspon.02G0057820-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDALAVSILYYLRTNQRRRWGSRSGRRPPGPRPLPLIGNLHHTLACLATWPARTAAPPSCRRRPRQQPVLGFCDRSVIWLPCSDALWKDPPRHNGLARLLAAQPRGDLVAFLRGRAGQEVDVKGWLRYQLLESVITAELRPGLQRAQSANELVVVTANSKNTHASERRIQVDMNTIEQRNKGAVYGGVVNLVSSALFSGDVVDIGGESAQGFQQLVEELIESITKPNVSDLFPFLRALDLQRWRRWVTGHLGKIFRILDGIIDRRLAEASSLSSSNGDVHEDFHD >Sspon.07G0002900-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:6907793:6914256:1 gene:Sspon.07G0002900-3D transcript:Sspon.07G0002900-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMQSWRKAYGAIKDTTTVSLANLNSDFKDLDVAIVKATNHVECPPKERHLRKVAAATSIARPRADVAYCIHALARRLAKTRNWIVALKTLVVIHRLLREGDPTFREELLNFTQRGRILQLSNFKDDSSPIAWDCSAWVRTYGLFLEERLECFRVLKYDVEAERLSKQGQGPEKGHSRTRELDSQDLLEQLPALQQLLYRLVGCRPEGAANNNYLVQYALALVLKESFKIYCAINDGIINLVDKFFEMPRHEALKALEIYRRAGQQAGSLSDFYENCRGLELARNFQFPTLREPPQTFLATMEDYVKEAPRMVPVREPLELPERLLLTYKPEESEEIPEPAPVEEEKAPVEEPVLVPPITEVVSPPPKTEVADTGDLLGLDDPNPAVSAIEESNALALAIVPTDGASTTGNTAFQDKGFDPTGWELALVTAPSNTTSSSSVGQLGGGFDKLILDSLYDDGAYRQRQQQQLYGSAAPNPFMTNDPFAMSNQVAPPPSVQMAAMSQQHPQIPTMMQPNPFGPPMQPQMGMGPATNNPFLDSGFGAFPVANNSHQQHNPFGSAQLL >Sspon.02G0024000-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2B:83723125:83723514:1 gene:Sspon.02G0024000-2B transcript:Sspon.02G0024000-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGRKDGRGVAGAAGQERRRWRGAGSGDEEESSHGSIGGGGGVELSLRLRTGAADDADDGAASAPLPPAAAVEARKNMTIFYNGRVCAVDVTEVQVINLIITTASCLAQSIHSFIEAQHRISVGDPAT >Sspon.08G0016550-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:61787642:61790476:1 gene:Sspon.08G0016550-2D transcript:Sspon.08G0016550-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GRWAIAPGTNNPRASTSTSIFQHLTWLPLPCPSPRIKLGSQGLEVSAQGLGCMGMSAFYGPPKPEPDMIKLIHHAVASGVTLLDTSDMYGPHTNEILLGKALQGGVREKVELATKFAVSFADGKREIRGDPAYVRAACEGSLKRLGIDCIDLYYQHRIDKKVPIEVTIGELKKLVEEGKIKYIGLSEASASTIRRAHAVHPITAVQLEWSLWSRDVEEDIIPTCRELGIGIVAYSPLGRGFLCSGAKLVDSLSEQDFRKHMPRFQPENIHKNAKIFEQVNAMAAKKGCTPSQLALAWVHHQGNDVCPIPGTTKIENFNQNVGALSVKLTPDEMAELESCAAAGQVLGDRYPQMANTWKDSETPPLSSWKSE >Sspon.03G0018010-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3A:55535939:55536487:1 gene:Sspon.03G0018010-1A transcript:Sspon.03G0018010-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding METTRSSNLSGTCLATCWNKAFEWLSAKAWAQFGFPTNRSSLICTLPSLTARAGLVPGCLDASLRPDAAMTPTPAAHQTSPRSHRSCASDCVRQLATHLAPAIASGHMRPIPCASNPSRLRPRVKPATLHPRHAALLPSPRHHPPPCPHPPPRPFPVLMSHLLCNIRPTVATYAVYSSNIPI >Sspon.06G0016130-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:77296764:77299917:-1 gene:Sspon.06G0016130-2C transcript:Sspon.06G0016130-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATARFC1 [Source:Projected from Arabidopsis thaliana (AT3G22950) UniProtKB/TrEMBL;Acc:A0A384KMJ2] MGAWMSRVWFLMFPAQEYKLVVVGLDNAGKTTTLYKLHLGEAVTAAPTIGSNVEEVVFKNLRFEVWDLGGQESLRTSWATYYRGTHAVIVVIDSTDRARINIIKDELFRLLQHADLEGAVVLVFANKQDLKDAMPPAEITDALSLHSIKNHDWHIQASCAITGEGLYDGLGWIAQKVAGKATAS >Sspon.04G0007160-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:16502697:16508816:1 gene:Sspon.04G0007160-2B transcript:Sspon.04G0007160-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATSATAVSGVVLPHAFLSHRSPPPQVLSVASSFRRLSLCASPRRTTHIIARADASAEAGEPEPEEPVTASAESEEEVAEGAVAVAEAEEEEAEEPPPPSKPKVKFGEIIGILNKQFIEEAEKVKTVPDLRPGDIIELRMQRPNKRRLSLFKGIIIAKHKAGVHTTIRVRRIIAGVGVEITFPVYSPRIKEITVIRHRKVRRAKLYYLKDKLPRFSTFNYEKKVSRAQHHMKEQVAEDKVTKA >Sspon.07G0007640-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:20457044:20458820:1 gene:Sspon.07G0007640-1A transcript:Sspon.07G0007640-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Floral homeotic protein PISTILLATA [Source:Projected from Arabidopsis thaliana (AT5G20240) UniProtKB/Swiss-Prot;Acc:P48007] MGRGKIEIKRIENSTNRQVTFSKRRAGLVKKAREIGVLCDAEVGVVIFSSGGKLHDYCSPRTSILEKYQTNSGKILWDEKHKILSAEIDRVKKENDNMQIQLRPLRVHFTSSLFVHLKGEDLNSLQPRELIAIEEGLQNGQTNMRDKQAILLHPMDHWRMRKRNGKMLEDEHRMLSFRMHQQAVDLSGGMRELEIGYHQVQHDRDFTSQMPFTFRVQPNHPNLQEDE >Sspon.06G0028930-1P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6D:11890043:11891269:-1 gene:Sspon.06G0028930-1P transcript:Sspon.06G0028930-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGGGGNPYYLQNHQHQHQHQQQLFHHGHALDATMDGGGGFMAEPPAAGSGSAADAQCHHALLYNLSVLRDKVQQLQPLVGLALAHDGPGPVAAAPGAGAVIQEIMAAASSMMYAFQQLCGHGGAAPNAAQAQPQPQAGSSSSVIAAGHGNNARMADAAAATCGDHHGQQHQQATVIDHVMQQQWRQQQQHQHRTRYGGTTPVAAAAAMPSSQPEATTAAMMAEEEVVAGTIIELEAAELLAKYTHYCQVCGKGFKRDANLRMHMRAHGDEYKSSAALANPAKATAAGGDAATTSRSFYSCPQEGCRWNRKHAKFQPLKSVICAKNHYKRSHCPKMYLCNRCNRKHFSVLSDLRTHEKHCGDHRWLCSCGTSFSRKDKLVGHLALFTGHQPAVPLDSQANGGRRSSSMS >Sspon.01G0027790-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:99925831:99930037:-1 gene:Sspon.01G0027790-2B transcript:Sspon.01G0027790-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLAAEPDDAHEEGENQQLLTTTKGGPGLEGLVVGSYCHDVLIRGGRVVGETLGGAAAFVSNVLDAASPQDAALNETAPFVVVAKVGHDFVYASAPAPARHPPLLCASPTTSFHAQFSETAASAHAPDRELRRVRACDPIYPADLPDRRFAFGLAVGVAGEVLPETLERMIRLCRAVLVDAQALIRAFDGDGAVGHVALDDTPYARLLPRVAFVKASSEEAPYVGVETARRWCCVIVTEGRDGCRLYWDGGEARVAPFPAVQVDPTGAGDSFLAGFAAGLLWGLSATDAALLGNFFGAAAVSQVGVPTFHPKMLQAVKEILEEKTTKRSSPCINGTSFTLEKSNMHNELHAALQEAAMLNSE >Sspon.05G0015480-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:57553830:57556291:1 gene:Sspon.05G0015480-2D transcript:Sspon.05G0015480-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial uncoupling protein 2 [Source:Projected from Arabidopsis thaliana (AT5G58970) UniProtKB/Swiss-Prot;Acc:Q9ZWG1] MATASSSFTAIFFSSAFAACFAEVCTIPLDTAKVRLQLQRKTPLPAPPAAAAAAGGGMLATIMCIAREEGVAALWKGVIPGLHRQFLYGGLRISLYEPVKAFFVGGAAVGDVSLLSKILAALTTGVIAIVVANPTDLVKVRLQADGKANTVKRSYSGALNAYATIIRQEGIGALWTGLGPNVARNAIINAAELASYDQFKQMFLKLPGFTDNVFTHLLAGLGAGFFAVCIGSPVDVVCSANSGYAHYQVKSRMMGDSTYRSTLDCFAKTLKND >Sspon.01G0020440-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:21175309:21176947:1 gene:Sspon.01G0020440-4D transcript:Sspon.01G0020440-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKCFQFDGVLHIKQAMKKAEAAGNDSCPVKIKLVAPPLYVLTTQTLDKEQGISVLTDAIKACTAEIEKYKGKLVVKEPPRAVSEREDKLFLDQIDSLMEQNAEVDGDADSEEEEDTGMGDVDITNSGVTAY >Sspon.02G0031500-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:108655703:108659196:1 gene:Sspon.02G0031500-2B transcript:Sspon.02G0031500-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQVESAAASEGLPPPPQDAWVVEFRSLLPRWESLRDSSKVIIPISISRVNQFDAARLDVEMSAMLKEQLLKVFSLVKPGLLFQYEPELDAFLEFLIWRFSIWVDKPTPGNALMNLRTGLEGPGLSVSQKIFYCINFVGGQYIWSRLQSFSAFRRWGDSEQRPLARRAWGLVQNAEVLHRAASLFNLLLFLHGGRYKTIVERILKARLVYGSPNMNRAVSFEYMNSQLVWNEFSEMLLLLLPLLNSSLVKKFLLPFSKDKSAGSSGDEADCPICRSSPSIPFIALPCQH >Sspon.02G0031740-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2B:109516430:109518262:1 gene:Sspon.02G0031740-2B transcript:Sspon.02G0031740-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding QENIKVHGINGTLHDQCGHLELGSSSSKFRLPMMYSPQNEHLLQEQTLNNARPPEKRQRVPSAYNRFIKEEIRRIKANNPDISHREAFSTAAKNWAHYPNIHFGLNSGREGGKKLVDEAVTAAPAPKKIQGFY >Sspon.04G0026520-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:49955767:49958716:1 gene:Sspon.04G0026520-1T transcript:Sspon.04G0026520-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCSASKCCSQLKCSLCSNGCLGQAPDSPRESRGKSSRGRGKADSTDSDDSSDDLGEDDDAFNHMNATRESTVGISRLSRVSSQFLPPDGSRKIQVPLGNYDMRYSFLSQRGYYPESLDKANQDSYCIHTPFGPSPDDHFFGVFDGHGEYGAQCSQFVKRRLCENLLRDYQFRTDAVLALHSAFVATNSQLHADNLDDSMSGTTAVTILVRGKTIYVANTGDSRAVIAEKRGDDIVAVDLSIDQTPYRFDELERVKECGARVLTLDQIEGLKNPDVQCWGTEESDDGDPPRLWVQNGMYPGTAFTRSIGDSVAESIGVIADPEIFVLDLNSNNPFFVLASDGVFEFLSSQTVVDMISKYKDPRDACAEIVAESYRLWLQYETRTDDITIIVVHINGLTDEFTQTVTKVTLQPSQQVVGLAGSESPLIVSSNTNNQRSRHDLSRARLRALESSLENGQLWVPPSPSHQKTWEEQAHIERVLHDHFLFRKLTDSQCHVLLDCMQRVEVKPGDIVVQQGGEGDCFYVVGSGEYEVLAIQTKLIYMEQEENGKEITKVLHRYTADKLSSFGELAL >Sspon.02G0034270-1P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:14153975:14155764:1 gene:Sspon.02G0034270-1P transcript:Sspon.02G0034270-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFTTDKLRSLVKKWQTLIEAHVDVKTTDNYMLRLFCIGFTKRRPNQVKRTCYAQASQIRQIRRKMTEIMSNQASTCDLKELVSKFIPEVIGKEIEKATSSIFPLQNVFIRKVKILKAPKFDIGKLMEVHGDYAKEDVGVKMDRPAEGDEAMGGQEVAAAE >Sspon.08G0025980-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:12668646:12671842:-1 gene:Sspon.08G0025980-2D transcript:Sspon.08G0025980-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLPHVWKKAVTREPSAAQETTATVQSSNAVKKPLRELRRLPPISGGGCRADRRRNDGASATAGTDLAERAAKAWMRHALAKRVATRMLKRNTAPPAAAVADEGSSPKKVIACCCARLPPGLRCALHQDGAPGRCWMRVQRGGNGGGGECGEAVAVAPPRAHGWAFSEYARWRRHVWMPSRFYLERVEAEEHRAAAERLRLSRRKTREPKEENVTLGPTVREGEFVFGVAHIFASFNDTFIHVTDLSGRETLVRITGTILKPWSILDIDGIMAIVFSFNHGVLNYVGGMKVKADRDESSPYAAMLAAQDVAQRCKELGITALHIKLRATGGNKTKTPGPGAQSALRALARSGMKIGRIEDVTPVPTDSTRRKGGRRGRRL >Sspon.01G0020930-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:77210416:77215540:1 gene:Sspon.01G0020930-1A transcript:Sspon.01G0020930-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRSAARRSAQVRRLLSSSAPPAPAGAAVPGPCIVHKRGNDILHDPWYNKVGSQPYAESPTPISRLRADSMRFQLTGGFHSVWAQDTAFPMTERDRLGLRGLLPPRVMSFEQQYERFINSFRSLEHNTRGEPDSIVALAKWRILNRLHDRNETLYYRVLIDNIKDFAPIIYTPTVGLVCENYSGLFRRPRGMYFSAKDKGEMMSMIYNWPAEKVDMIVVTDGSRILGLGDLGVQGIGIPIGKLDVYVAAAGINPQKVLPIMLDVGTNNQKLLDDKLYLGLRQPRLEGEEYLAVVDEFMEAVHARWPKAVVQFEDFQMKWAFETLQRYRNRFCMFNDDVQGTAGVALAGLLGAVRAQGRPLQDFTNQKIVVVGAGSAGIGVLSMAKQAMLRMPGIHRTGEGHNQFWVLDKDGLITKARKDLDSAVARFARGFGPDEIPDLHEGASLVEVVKKVKPHVLLGLSGVGGIFDEEVLKAMKESDSPRPAVFAMSNPTTKAECTPDDVFKHVGENAIFASGSPFSNVSLGALLSGARHISDGMLQAAAECLASYITDDEIRKGILFPSVSSIRHITARVGAAVVRAAVAEDLAEGCCDVGPRELGSMSESEAVDYVARKMWYPIYSPLVSDK >Sspon.06G0007410-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:24952651:24953893:1 gene:Sspon.06G0007410-4D transcript:Sspon.06G0007410-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTRDYYEILNVDRSATDDDLRRAYRRLAMRWHPDKNPAGKAEAEARFKKITEAYNVLSDADKRAVYDQYGEEGLRGEVPQPGGGGSDDIFAEFFGSTPFTYCNTAGGGGGGNARGGRQPPPPPKWDSGFGRAYRRGQGGSGGAASSTMAPPPPPVESRLACTLEELYMGVTKKMKISRNVVDASGRRMKTESEILSIEVKPGWKKGTKITFAGKGNQQWNQLPADLVFVVDEKPHPVYRRDGNDLLAEARVTLAEALGGTVVVLAALDGRELAVDVGGGGEDEDEEDAPVVCPGYELVLPMEGMPIAREPGRRGSLRIRFDVAFPERLTRRQRVQIKRALEDNTTGAF >Sspon.01G0050880-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:8436835:8437478:-1 gene:Sspon.01G0050880-2D transcript:Sspon.01G0050880-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ALAAAPIARLTNPLLPALAEAVSPARPPLPPPAPHLAQPRPRRRRTPSLPLWTPPCRCCSPRTPNSASVSPHRPAPASPAALACASGPNGAAAQHERFRPRRPAPVVPRSGIGGVDLGHTARGCTRTTRLLPFAV >Sspon.01G0018460-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:68774778:68775536:1 gene:Sspon.01G0018460-1A transcript:Sspon.01G0018460-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRATERAMLGCFPVARRPSLSYEEGSTSAASMSVSTASPPTSTASTSSPAFLDDDDALCPDDAEPEPDAGGLSTAIAARRFFLATPGLSNSIVDSVEHPPAASHARHSASNMRALRRAATSAFPASSAAASSSSSSSSSSSASATKAHLHDDGGMQPVRKVSVSTDAPRADFLKSMVEMVEALELDPRRRDADLARLHDLLLCYIALNERDALRDILGAFADLMCLLDVTTAADVDGGEKRNADEQAGAGR >Sspon.06G0026750-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:70640724:70647766:1 gene:Sspon.06G0026750-2C transcript:Sspon.06G0026750-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVGGTHSGGERWSLAGATALVTGGSKGIRHAIVEELAAFGVRVHTCSRSAADLEACRRRWSDKGLHVTVSTCDLALRAHRERLMETVKATFDGKLDILVHNAAQLFPKPVVQCTAEDFSRCMATNLESCFHLCQLVDPLLLNTSLAGGGSVINVSIGSLLGYHDISLHGTAKAGMNQLTRSLAGKVPMWRAGEPVEVASMPAASYVTGQVICVDGGRTISA >Sspon.02G0034660-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:13817937:13819268:1 gene:Sspon.02G0034660-1B transcript:Sspon.02G0034660-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAFLPFHLATLHLLLAPAYSGGGGSFIYNGFAGANLTLDGVAAVTVGGLLVLTNGSLQTKGHAFHPSPLPFRDPGSRRNATSARSFSTTFVFAIYGQYADLSSNGLAFFVAADMAVLSTALPGRFLGLLNDTDNGDRSAHVFAVELDTIFNAEFRDINSNHVGVDVNSLRSVCATDAGYYDDATGQFRNLSLVSRNAMQVWVDYDGAARQVTVTMGPLGVGRPKKPLLQTAVDLSDVVLGTAYVGFASATAVLSSRHFLLGWSFALDGPAPALDIGALPALPPAWPKPRSRVLEIVLPVASATLVLAVGATIYSLAQRRLRYAELHEDWEVPFGPHRFSYKDLFHATKGFSDKQLLGEGGFGGVYRGALRKSGMEIAVKKVSHESRQGIKEFVAEVVSIGRLRHRNLVQLLGYCRRKGELLLVYDYMPNGSLDKYLHGGNGSR >Sspon.05G0018940-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:76047207:76062251:1 gene:Sspon.05G0018940-4D transcript:Sspon.05G0018940-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKRMESVEDLIEEAKLRTVWWALCIFAISYVLTHTSKSMWTNVPMSILTLAFLRYLSFKVEFRWREQPVRKQTYLSQASKRQLSANDHRLSTVPPVSRWRRKVGSPSVEAAFESFIENILRDFVLDLWYSDITPDREAPELIRGLVLHALGEVSGRVKEMNLVDMLTRDMVDLIGNHLDIFRKNQTLIGVDVMRTLSSEERDERLKQHLIVSQELHPALLSSEHEYKVLQEIVGGIMALVLRPQDAQSPLVRCFSRELMTCLVLQPVMNFASPVYINELIVYLLNSQDTGNVGGNTNMANKELVPYKGGSQGCQMESRNLTVEPSSLIPPNNSGMRSLVTSECGKSKMSEDDNDSTIQPRQPDWAVVLDAATKRRSEVLAPENLENMWAIGRNYQKKMIKVDQPSRLKGYEGSDNSPSAGAGAKELSSNFNERIASVDDKYVVNLMQSKNRNAQSTFVTGSHPLALQNTNEVKPKEGSQVHFSSKEKPHETNNSVKAQLKRSNSTPDIEKRYLAKSNQPMVPSERLNVRKNQDERGAGPASHVEVLMHVPKIRCRVVGAYFEKLGSKSFAVYSIAVTDADNKAWFVKRRHGFTWHIYRNFERLHRQLKEIPNYSLHLPPKSFLSSSVDDYLVHQRCILLDKYLQDLLSIANIAEQHEVWDFLSASSKNYSAGKSTSVMKTLAVNVDDAMDDIVRQFKGVSDGLKRAVGTSPSSATAHFADNRMPLSWNQEEKDNHNLHQRNLESAHSLSDGDSNYEDHTSSMNSGCHSDNEVNNRGHTSNDVKHIETYSSLDKQASDQIGKPTRAYSDSSNMSSLNTFEDPTGIPPEWMPTNVSVPLLNLVDKVFQLKRRGWIRRQVLWISKQILQLVMEDAIDEWIIRQINWLRREDVIVQGIRWIQDTLWPNGIFFTKLDGYKGNAGTSQFDKQSFGSPNQAVGNKKSTSSFELQLEASRNANEVKKLLLDGTPSTLVSIIGYKQYRHSARDMYYFLQSNVCIKQLAYAMLEQVLVTVFPELRQLIDDIHEKGRKEQASFTYQL >Sspon.07G0019360-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:71146878:71152593:1 gene:Sspon.07G0019360-1A transcript:Sspon.07G0019360-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRGGGGRGSGGKGGRAGGGGGAGSGRKDARHGGASYRDDRGRRSDYRSRRTPSPDRRPRRPRGEDNDRDPPRGGRAGYDDRSPPRGGRIGYGDRSPPRGGRIGYGGDRSPPRGGRIGYGDRSPPRRERAGYSGDRDASPRRGHAPHKNAFGSPGGDRHASPSGRRDYGDSRGSPRGARDYQRAPYREERDRYDRPGRVADLGHDSPPAYMLPDHPSDLGRPASLRAGKKESDYFGGPGDDRSLLKDDYLGGGGVTLRISATEMGRTSAMYSQDSRLSPPPPLYPSVPHETGFLTGGSAMKASDIYGAGSTQRLHDDSNFEYNKNVPYIERSRDTERHYSGSRDEKGGGAERFYSADDVPAGRIREMERLYSSRQSDLVLGDPSSSLPAKDHHPYRMHTEPGYETSNGYNMDGLGRSSHDSLGYGSGHPHRLSGSPLEHGSGHGDETLLDIARQAHSRHTPRASSLEYGGHDTYTASENIHGNVPLNSRHISGSTSLRGLRDERINDNLRLSRRIEEDKGSFEAMHQDTEHLIQHPYDGDASVRYPTARGGDDRYSHSPGTEPIGIARRATRQHEFDSFGYSSDQEASLMVSRKRYRSPEYNDHEIDVYQADHGFAGHENYDDDDIDEYDTPPPRMSRYDMFDGDEYDERHDVPTNGDVFSRLALPHETNGEWTDMDRGNHPHSDILGYGYSKHIPMYQRLSRPNSHSQFGEAPMHGRGRGLGRGGLTKSAKKRLRTAPHQFNGGYPSDRNEFILNESPATQKKYRRHQKDLCLAVSVAARKFPDLDALLSHAYDTCKAGLKTKHLGFHKALCVLMGWNWHVAPDTSKAHYSIPSEEVNAMREDLMLWPPVVVIQNSSAGNEAKDTGAKVVSIEEIEGVLADIGVPPEKARVSHGRPANQSVFLVKFQPTISGFQEAMRVHTHFSTRNHGKEEFQLMRGSKGKKAASTDSLEELLYAHIGVVEDLGLLDDGTKKRCKVRSKKEIEANADATLNLE >Sspon.01G0012250-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:32759255:32762020:-1 gene:Sspon.01G0012250-2C transcript:Sspon.01G0012250-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNEHNDDFVIPSFSVEESDLGDWEASRTTDPQPPPKLTKDTENIYLGPHGAPPSRAKKAEDTSATAGYRDKNNKAREADQKAFGTGRNTKGGNAGDFHRHNGANHGKDPYKRS >Sspon.05G0001750-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:4306689:4311759:1 gene:Sspon.05G0001750-2D transcript:Sspon.05G0001750-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSVQHKNLVKFIGACLEPVMVVVTELLVGGSLRKYLVSLRPRSLEPHVAVGFALDIARAMECLHAHGIIHRDLKPENLLLTADQRTVKLVDLGLAREETLTEMMTAETGTYRWMAPELYSTVTLRHGEKKHYNHKVDVYSFAIVLWELLHNKLPFEGMSNLQAAYAAAFKNIRPSADNLPEELSEILTSCWKEDPNERPNFTQIVQMLLHYLSTLSPQETLAPRRTFSSENTILPPESPGTSSLMASRGDLGDTPKGKKEDKPRGFFFCFSECY >Sspon.01G0025470-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:100042343:100047943:-1 gene:Sspon.01G0025470-2B transcript:Sspon.01G0025470-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTTFPTSTPFFAAHHQGPRRSRPSISAAVYGRGRRWRPLRVACEKVVGIDLGTTNSAVAAMEGGKPTIVTNAEGARTTPSVVAYTKSGDRLVGQIAKRQAVVNPENTFFSVKRFIGRKMNEVDEESKQVSYRVVRDDNGNVKLDCPAIGKQFAAEEISAQVLRKLVDDASKFLNDKVTKAVITVPAYFNDSQRTATKDAGRIAGLEVLRIINEPTAASLAYGFEKKNNETILVFDLGGGTFDVSVLEVGDGVFEVLSTSGDTHLGGDDFDKRIVDWLAGNFKNDEGIDLLKDKQALQRLTEAAEKAKMELSSLTQTNISLPFITATADGPKHIETTLTRAKFEELCSDLLDRLRTPVDNALRDAKLQFKDIDEVILVGGSTRIPAVQELVKKMTGKDPNVTVNPDEVVALGAAVQAGVLSGDVSDIVLLDVTPLSLGLETLGGVMTKIIPRNTTLPTSKSEVFSTAADGQTSVEINVLQGEREFVRDNKSLGSFRLDGIPPAPRGVPQIEVKFDIDANGILSVTAVDKGTGKKQDITITGASTLPKDEVERMVDEAEKFAKEDKEKRDAIDTKNQAESVIYQTEKQLKELGDKVPGEVKGKVESKLQELKDAVAGGSTQTMKDAISALNQEVMQIGQSLYSQQGAPGAGPGSADASAGSAGTSEKPGDEGDVIDADFTDSK >Sspon.01G0004900-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:11100215:11108960:1 gene:Sspon.01G0004900-2B transcript:Sspon.01G0004900-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:2-carboxy-1,4-naphthoquinone phytyltransferase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G60600) UniProtKB/Swiss-Prot;Acc:Q0WUA3] MPLAGIALAPLLVSPLAPSPRRSSVATAAARRPRALQRARCSATATSGGAGEAGELSQATLLWRAAKLPIYSVALVPLTVGSASAYHHAGLFFTKRYFVLLTAAVLVITWLNLSNDVYDSDTGADKNKKESVVSITGSRAMTQNAANISLFLGFLGLFWAFAEAKDIRFILLVMCAIFCGYVYQCPPFRLSYQGLGEPFGTALLPLTKTVIASSILVGLTTTMILFCSHFHQIDGDLAVGKMSPLVRIGTKAGSRIVSIGILTFYVLLAAFGISRSLPPACIVSYFQPIILLHVKKIVILNCSSWLNHCYDNFQVLCALTLPMGKLVVFYVLKNHEITRLQDNTKIFMAKYYCVRLHALFGMALASGLVLARNEMDICASQLPLFNQLGSQ >Sspon.01G0052200-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:20625155:20627335:1 gene:Sspon.01G0052200-1C transcript:Sspon.01G0052200-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAETLARSPSREPSSEPPRAASSEPHHNGDGSDAGAGDSSRRRRRSRWEQSNDDSAANNSGGEGGTGGRKRKTRWAEEEPRPAIALPDFMKDFAAEMDPEVHALNARLLEISRLLQSGFPLDDRPEGARSPSPEPVYDNLGIRINTREYRARERLNRERQEIISQLIRRNPAFKPPSDYRPPKLQKKLYIPMKEYPGYNFIGLIIGPRGNTQKRMEKETGAKIVIRGKGSVKEGKLLQKRDLKPDPSENEDLHVLVEADTQEALDAAAGMVEKLLTPVDEVLNEHKRQQLRELAALNGTIRDDEFCRTCGEAGHRQYACPNKMNTFKSDVQCKICGDGGHPTIDCPVKGTSGKKMDDEYQNFLAELGGGSAPESMNKSGGPMLALTGSGGSGGASAGTGSNPPWSTSGGAAATGLNGIKKDYDETNLYIGYLPPTMDDAGLVSLFSQFGDIVMAKVIKDRNTGQSKGYGFVKYSDVSQANAAIAAMNGHHLEGRVIAVRVAGKPPQPAPAVSAPPSYPPPTDPTSGGYSSQSYMGAPPPPPPGSYAPVPWGQPPPYASYPPPPPGSSVYNPAPPAPGQAAPPPYGVQYPPPPPPPPPAAPIPPPGTAPSSDGAQNYPPGVTPPSSGAPTHPVATSVYASSGAPNAPSMYPPPPYSYSPYYPSPFQPPPPPPPASVDPSQSIVTAPWATHSAVPPPPPPLSSTTDQPTASYGADAEYDKFMSEMK >Sspon.06G0035390-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:73482344:73485046:1 gene:Sspon.06G0035390-1D transcript:Sspon.06G0035390-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLGDNRGVLSNWKDNQMSPCYWEYVNCQDNIKVTTITLSSSGFTGTLSPSIAKLTTLQQLILDNNNITGGIPLEFGNLSSLTILKLGRNNLNGSIPDSLGQLSKLQNLDLSHNYLSGNIPSSFSNLPSLNNINLAHNNISGEIPQHLLQAAHYDFTGNHLNCGQNLFPCQGGSTRTGGSKNSKLKVVIGSIVGAVTLFVTVVLVLLWWQRMRYRPEIFIDVSGQNDHMLEFGQIKRFSWRELQIATNNFSEQNVLGKGGFGKVYKGVLPGPNSIKIAVKRLLNVNSREGEMAFLREVELISIAVHKNILRLIGFCTTTTERLLVYPFMENLSVASRLRDIKLNEPALDWSTRMRIALGAARGLEYLHEHCNPKIIHRDVKAANVLLDGNFEAVVGDFGLAKMMDIGRNTVTTGLRGTMGHIAPEYIKTGRPSVKTDIFGYGVMLLEIVTGDRAIAFHPDRIEEAGEIMLIDQ >Sspon.01G0048100-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1B:107263976:107265631:1 gene:Sspon.01G0048100-1B transcript:Sspon.01G0048100-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDREWMYSGFASKSHEWIRGTTEFLEHAFGPAAKGSIRMPCPCSECRNKKKKVKAQVLRDLFKHGFVPNYTRWRHHGEYHPIRDEVVRPILEEYDGDAGMADMMADFHEARFGEGMDVEEDPEETAKAFYDMMESAQKPLHEKTKITQLDAVSRLIGLKSQLGISRDGFDLVLSIVGGLLPADHVLPTNTYATQKLLRALKMPYEGIHACPKGCVLFRGDLEEAKTCPKCDASRFVLVEGSDGSMKQSKVPEKVVRHLPFLSRLQRLYMTEESAKQMTWHKNGKRYHPDKMVHPADGDAWKHFDNMNPVKAMEARNVRVALATDGFNPFGMMAAPYTCWPVFVIPLNLPPGVMFEPKNVLLTLIIPGHPGDNMGVFMQPVWDELELAWEEGVLTYDRATKRNFRMHVWYQYSMHDFLAYGLFSGWCVHGKFPCPTCKADVMFTWLAKGGKFSSFDKHRQFLPENHEFRLDVKHFSKGVQVTGPIPQVKSPAAVSWRSRPSDDVVSTTSATCTLSTVSTSAWPSSDVLVAAGARRVAVLAGASVWVTVGKP >Sspon.03G0006360-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:22546187:22553992:-1 gene:Sspon.03G0006360-4D transcript:Sspon.03G0006360-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEAGQTVPAASRCSLPSPSSLPLSLSSLSSECATSREAAVRPRLPGARLPASSGISSPQILLLASFLLLLSFWVDLCHQTNDEDEEDGRSQSHHEALLDRTKVKPGIRPVLVLSFVVMFAFAILIWIGRGENPIDSSLLKRVYLDVFSIVVIVLGGALACYGAVLFSKMSKVRSETVSTEKWKVLLYWYSTDVDIINSAVILFIYYFIGSSVPSGFVLWIMREMPHRQGKAINYAGSTVEDSCHILKQVGTALAVKVATPNRCTL >Sspon.03G0006160-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:17030415:17032492:-1 gene:Sspon.03G0006160-1A transcript:Sspon.03G0006160-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding QTAMVVLANPPVVDQIPLLRSPGPRDTFSGVPVVDLSSPGAARAIVDACERFGFFKVVNHGVPAATMDRAESEAVRFFAQVQADKDRAGPSYPFGYGYGSKRIGLNGDMGWLEYLLLAVDSASLSDACPVPSTASCRSALNEYVAAVRKVAVRVLEEMAEGLGIADADALSSMVAGAGSDQVFRVNHYPPCPSLQGLGCSATGFGEHTDPQLISVLRSNGTSGLQIALRDGAQWVSVPSDRDAFFVNVGDCVQVLTNGRFKSVKHRVVTNSLKSRVSFIYFAGPPLEQRIVPLPELLAEGEESLYKEFTWGEYKKAAYKTRLGDNRLAQFEKHSS >Sspon.06G0010080-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:55298351:55298923:-1 gene:Sspon.06G0010080-1A transcript:Sspon.06G0010080-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding TRSGHRGMKACRRTKLHRLHFAVPPATGDVASSLAWAGSSLGPLIYPGFFRQQLVDLWMSLLLATSEANAIPWASSGPRTTRWSAWQVLEPWPAYYHVVMELNLPEPVVPASKRIAAYYDDDEEECCVCFELLESGLAAWPGCGHVFHGMCVEKTLERSEMCPLHRHRLSDPLVAKNIKI >Sspon.03G0005460-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:15702518:15707933:1 gene:Sspon.03G0005460-1A transcript:Sspon.03G0005460-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RSTLPTSPKNGRVSLNQPSETPPHPPAAARSPCRNGYGRRLPYVPLLRLRPAHHRRLSRLAGPRRAAPVGLSVRCEKSDKQKRQPLSALVPREQRFMFEGDELCGPDIWNTTWYPKAADHVTTEKTWYVVDATDKILGRLASTIAVHIRGKNEPTYTPSVDMGAFVIVVNAEKVAVSGKKRSQKLYRRHSGRPGGMKEETFDQLQKRIPERIVEHAVRGMLPKGRLGRRLFTHLKVYKGQNIRTRLKNLSHSRSGIKEYKSWLENPIN >Sspon.02G0013420-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:38293469:38296879:1 gene:Sspon.02G0013420-3C transcript:Sspon.02G0013420-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRRNNVALAILLVIFASCARYASAVGSTPHSTFSYKEDDPTGPKKWATLQKDWAICDSGTKQSPIDVAKVEVSKDLGPLEQNYKAGAAVVQNRGHDFMLNWTGGNGDLTIEGKKYTLLQVHWHAPSEHTVNGTRFDAEMHMVHEDSTKSKAVVAVLFSSKAGKPSKLLGDLKPYFERLAGKQNATEEVKGTVDPSAWIDKASSYYRYEGSLTTPPCTEGVLWTIMSKVADASKEEIDSIDRVKE >Sspon.03G0013100-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3B:46285649:46286799:1 gene:Sspon.03G0013100-2B transcript:Sspon.03G0013100-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTAASSLLKSSFAGSRLPAATRAPSSVVVSTGAPRTAAGGPICASISSSNPPYDLTSFRFSPIKESVVSREMTRRYMTDMITHADTDVVIVGAGSAGLSCAYELSKDPTVSIAIVEQSVSPGGGAWLGGQLFSAMVVRKPAHLFLDELGVAYDEAEDYVVIKHAALFTSTIMSALLARPNVKLFNAVAVEDLIVKQGRVGGVVTNWALVSMNHDTQSCMDPNVMEAKVVVSSCGHDGPFGATGVKRLQDIGMISAVPGMKALDMNTAEDEIVRLTREVVPGMIVTGMEVAEIDGAPRMGPTFGAMMISGQKAAHLALQALGRPNAVDGTIPKVSPTLREEFVIAAKDDE >Sspon.01G0020570-1P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1A:76514110:76515474:1 gene:Sspon.01G0020570-1P transcript:Sspon.01G0020570-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQEIEHTHLPIRGLNRHVAHVKVTWARWCSCTASRRYVVLMAPPDAGRGRSGVPRHRAGLPWVRPVGVSDQPPEDEEASLDDLVADVLSILDALSVPKLLPRAFLVGKDFGAMPAYEFALQHPARTRGVVCLGIPFSPVPMSLDAMPEGLYIQRWREPVRVEADFGRFDLRRVVRTVYVLFSGAEIPMAKEGQEIMDLADLSTRLPEWFTEEDLDAYAKLYEKSGFGYPLKMPYRAIHKIPNRLDAKFQVPVFMVMGEKDYCFKFPGFETALRSGIMNNFMPDLKITYVPEGSHFVQEQLPDQVNKLILDFLNDHPSAS >Sspon.03G0023190-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:71103701:71107956:1 gene:Sspon.03G0023190-1A transcript:Sspon.03G0023190-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATHLHRLLLLFLHSLLLFRRGTPPPPSPSAAVYPVVLVPGNTCSQLEARLTDAYEPPPESPQCGAGSNERGRWFRLWRNATAMDDPSVAPCLSDQLRVVYDPAARDFRNVPGVETRVLGFGSTADFLADTEANKDLCMGRLVEALQQAGYRDGETLFGAPYDFRQAPAARGQPCHAFARFTRRLRALVERASRGNGGRPVVLVSHSQGGYFALEFLNRSPLPWRRRYVRHYVMASIGPGGFLLVMRQLASTPGVALSAASAFTALPSPMVFGPGTPPLVVTRDRNYTASDMSEFLAAIGVPPLGVTLYETRALPVQLGFRAPVVPTTCVNGVGVSTMKQLVYWDGNFSETPQVVYGDGGGLLPLASILALDTVIGGDPRQEYYKSIKLADTSHAGVVTDAAALKRVVDEILWSTPIPSAAVYPVVLVPGNTCSQLEARLTDAYEPPPLSPQCGAGSNERGRWFRLWRNATAMDDPAVAPCLSDQLRVVYDPAARDFRNVPGVETRVLGFGSTAEFLADTEANKDLCMGRLVEALQQAGYRDGETLFGAPYDFRQAPAARGQPCRAFARFTRRLRALVERASRENGGKPIVLVSHSQGGYFALEFLNRSPLSWRRKYVKHYVMASTALGGFLLGMRNLVGGSPRRARDAAVAVVFGVGTPPLVVTRDRNYTASDMSEFLTAIGVPPLGVTLYETRALPVQLGFRAPVVPMTCVNGVGVSTMKQLVYWDGNFSETPQVVYGDGDGLLPVASILALDTVIGDDPRQEYYRSIKLAGTSHAGVVSDAAALKCVVDEIVWSSPI >Sspon.06G0019640-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6B:3433410:3433793:1 gene:Sspon.06G0019640-1B transcript:Sspon.06G0019640-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQASKRTGGWQGTPRNPASNAAFLIPPPPPPEQAAARTHATHGTTADDETRCEKRAGFAAAGSSSRQTTRPQAAGRQIPRSNQRKERNPLPRATGAEADVVVSAHACARRSSSLHAPVRRARPRDTI >Sspon.06G0002970-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:7173263:7176755:1 gene:Sspon.06G0002970-2D transcript:Sspon.06G0002970-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESLLLPVVRGVVRKAADTLVQTVARMWGVDGDRRKLERHLLFVQSLLADAEAKSETNPAVKAWMRELKAVAYQADDVLDDFQYEALRCEAQSGDSKAAKVRRYFSLQSPLRFRLTVSSNLNKVLKRINELVTEMHIFGLVERMEAPQPLDRQTHSALDDSSDIFGRDDDKEVVVKLLLDQKDQPNVQVLPIIGMGGLGKTTLAKMVYNDSNVQKHFELKMWHCVSDNFEATAVVRSITELATNARCDLPDTIELLRGKLQEVIGRKRFLLVLDDVWNEEQQKWEDDLKPLLCSSVAGLGSMILSWRKPKHEITRLMENSSPLRSLLIQSEHMDFSKSDCKALKKLKLTSLRALCWGNRSVIHRKLIDSTHLRYLDLSWSKIVRLSATVCMLYNLQSLILNHCWNLELLPDGMQTMRNLNHIYLTRCDNLKRMPPKLSLLQNLCTLTKFVVDIGDGFAQRPKKTWEQLKVGQRPISHEKKNLTELFLNWGCDPEYSLSQDVINNNEEEVLESLAPHVELKTLGLFGYAGLSISQWMNDPQMFQCLRELYISNCPRCKDLPLVWLSSSLEKLYLRRMDSMSVLCKNVSMEATASLAIFPKLKTMWLEALPELERFAENSAGEPNSLVVFPQLEQLHIIDCNKIAALPESPGLTYLNCYSRKPEEGLVPMGMPLGSSPYLVRLDIGMLVDIVMPVKYHQNQSQRPPLDSLRSLCVRSDNGFISVFNSYKLQLGLGDCLASVERFVISSCHNIARWPVEEFRCLVGLRSLDIRECHRLEGKGSSSEEILRMPQLERLNIYSCASLLEIPKLPDSLGEMVIRWCKRLVALPSNLGDLAKLRHLILWGCDELKALPDGMDGLTSLERLRIGSCPGIDKFPQGFLQRLPGLMFLEIKYCPDLQRRCREGGDYFDFVYPIPEKDIPAARYPR >Sspon.05G0016680-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:68630279:68634361:1 gene:Sspon.05G0016680-1A transcript:Sspon.05G0016680-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWHLSIPVMVTTCLILFSRSEQSSQSEQLQQLRKQLEYPRQLDAWGSPSSDPCYTKPTAVLAVTCEGNAIRELKIIGDRITKPPKFSGFSVPNVTLSEAFVLDSFVTTLTRLTTLRVVILVSLGLWGPLPDKIHRLSSLEVLDLSSNFLYGSIPPKLSVMSKLHTMTLDGNYFNESVPDWLDSFSNLTVLRLQSNQLKGSIPASIGKAAMLTELALAGNSIWGDVPNLGSLNKLEMLDLRDNELDGELPEMPTSVVTILLSKNSLKGEFPEQFGQLNRLQHLDVSFNFLVGSPPAELFALPNISYLNLAANMLSGSLLSSLTCSSTLGFVDLSTNRLTGDLPSCLNGNLNNKVVKFDGNCFSVDLAHQHEAKYCQQSHKGRGSNKDVGLVVTVVGILFIMLVLSLLLMASNKRSCQKVLAEQQFQQKHTQDNSISGMSSEPLVNARCISQAVKLGTQIQPSHRIFSLEELKEATKCFERSAFLGEGAIGKLYKGKLENGTLIAIRCLALHQRYSIRNLKLRLDLLAKLRHPNLVCLLGHCIDSAVDESTVKRVFLVYEYVPGGTLSSYLSASSPEKTLKWCDRLQVLIAIAKAVHFLHTGIIPGSLSNQLKSSSILVDEHHMAKLSDYGLSIITEEIYKHEVVSMSTLEERDQVVDPVIIGTSSQDSLSIVVSIMIKCLSIECSARPSIEEVLWNLQYAAQVQTMADGDQRSEVSSQTS >Sspon.07G0029530-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:76806443:76810298:-1 gene:Sspon.07G0029530-1B transcript:Sspon.07G0029530-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNGIIVDTIFPGCCSRLAHQSRSSQQRGPHPPSLCSRLLTAAGFACLHGRTLDVIAMSCDKDTTRGFVPLVPGHLKSWEVRVSSMLDGVDKLFRVLMEDGLKAVECSNRLIIGR >Sspon.03G0029240-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:14289464:14292409:1 gene:Sspon.03G0029240-1B transcript:Sspon.03G0029240-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEADGHAGDDGASRPAPRLNERILSSLSRRSVAAHPWHDLDIGERSLPSRARGTSSSFHNQYHHAFCFQRCLAALSANSGGSSSSLYVRAGPDAPAAFNVVVEISKGSKVKYELDKKTGLIKVDRVLYSSVVYPHNYGFIPRTLCEDNDPMDVLVLMQEPVLPGCFLRARAIGLMPMIDQSVLGEKYGCSVVGFSGGQGEKDDKIIAVCTDDPEYRHYSDLNELSPHRVQEIRRFFEDYKKNENKEVAVNEVQPASAARDAIQYSIWNITDECLQRRWIRRIDYRAGTAIHAVSSGKHVLPGCSTSDRVTLSLSLIM >Sspon.04G0014930-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:62023801:62027829:-1 gene:Sspon.04G0014930-3C transcript:Sspon.04G0014930-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVLHAGSVNKNAFKTLIAAEYSGVKVELVKNFQMGVSNKTPEFLKMNPIGKVPVLETPDGPIFESNAIARYGNSNAHIEQWIDFATTEVDANIGKWLYPRMGFYPYAAVTEETAIAALKRAFGSLNAHLASNTFLVGHSVTLADIVLTCNLYLGFNRILTKSFTSEFPHVERYFWTLVNQPNFKKVIGDVKQAEAVPPLQKKAAPAKEQKPKEAKKEAPKPKAAEKPAEEEEAPKPKPKNPLDLLPPSKMILDDWKRLYSNTKTNFREVAIKGFWDMYDPEGYSLWFCDYKYNDENTVSFVTMNKVGGFLQRMDLCRKYAFGKMLVVGSEPPFKVKGLWLFRGSEIPKFVMDEVYDMELYEWTKVDLSDESQKERVNAMIEDQEPFEGEALLDAKCFK >Sspon.03G0001800-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:4417425:4419323:1 gene:Sspon.03G0001800-1A transcript:Sspon.03G0001800-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEDWELLLASPKAAVAAAEPYAGGGGGGREDDAGAIKHDYFDLGSDAKYPRRASLSKADEEEEEEGVEEGLLGASDNASWVEPDPDDLLFPGRDRAALWSDSSSDGERPDVEVTDPVGRATEEAGVTAAAAADAGEGAVAKGGGPVPWWKLPLDALRVWALRAARSAWSVPFAVALLGFAVLGRRLYRMRRQSKAVARVRLVLDEKKVSQFKGQSSRLNESMTMLRRTPTIKPMLPANGVTPWPVLGHL >Sspon.05G0012520-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:5A:38742039:38742683:1 gene:Sspon.05G0012520-1A transcript:Sspon.05G0012520-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAPAAPIRNFPSFRSAWRSIELYLAEMMAAVAATERVDDAAERRRRARALLDATTPGRSFAVGRCKLALETFRSDRGGGLREARTLLGDALVCNNVHHPAIYRAWIGMEVEAGTDVRGVLELFEGWRIWYLRGRGAKNRGDDGEEEERTRPSQDEGGFWCHYIDFELRHGNPPARGASGSAPWRRARTTRLCTPSTPRRSCAWGAPIAPAPCS >Sspon.05G0038990-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:5D:57243961:57244362:-1 gene:Sspon.05G0038990-1D transcript:Sspon.05G0038990-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSAEAKDEAAGDRGRSRLQHESKSPRADDARVRADSRKPGGRRAEDRAHRRADDQVHVQAEQRAACTGGADGRSCAREDGDGRGRKTCTGGADGRSCAREDGDGRGQKETRHACRADGHARMKSRDTTRPRT >Sspon.01G0030220-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:25114064:25114707:-1 gene:Sspon.01G0030220-2C transcript:Sspon.01G0030220-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKQMLLLAVAVAVAVAFLPALDAATEHWVGDDKGWTLGFNYTAWAQTKQFKVGDTLVFKYNKPSHTVVEVSGADFAACSTPEAAKVMTTGRDQVALDSPGRRWFVCSVGAHCLNGMKVRIDVLAADDNAVSPAAPPPSGPAPKVQARLALAVLAVAAVLVL >Sspon.06G0003030-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6A:9599896:9604947:1 gene:Sspon.06G0003030-1A transcript:Sspon.06G0003030-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DRLAPIALVRVEGTFGLILVPPAESWTGKVGGKFEFVLAYRTLEEDDRCDGFVGHLEGEDERRDTDDGEPRRRRREVESKDVGDLTIGKADRCHGVTQTVAIAERRAPRKRGVPMVFGVVDSHSDIVAVRAGGAGLLGFPRRRAVGGGVAVEAQSCWQASDGEVGGGGGAGGSPPGSGSSRTYQLALSTVLKEYFDLQFYFNNNYYGNEAHSAEEWSHLRSNPLELSSSLRSKQLTEGYYASLALVFKLVRRPAIFRLTIESERNALSGSYSTTPSAASRCYTAGDVDLLGASSFEPRCHREQRCVMLAKLEKRCHAHSMLEAGEHVRVGRHRALPAMATSSPRRGQAHPCLPPCTLLHVLASPWVIEAKAIVNLDATVATSPEQGLPPPRSGRHAAVHAAMPRCSLARHDCRSPRTARAHWSLCAQAAAVSSAEPASTPPCRAAGHVTTIARVHRWPCNLHRPMRVIEGNTVVPPLSLAALPPVSSGQLATTPVPCVADGWGRG >Sspon.05G0038480-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:45835564:45836754:1 gene:Sspon.05G0038480-1D transcript:Sspon.05G0038480-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:WRKY transcription factor, Positive regulator in defense response, Negative regulator in GA signalin [Source: Projected from Oryza sativa (Os04g0287400)] MMTLDQMGGYRRVDEQVAIQDAAAAGLRGMDMEYFILQLSQASTGERSSSSPAAEGLQRQQLEQIQQQQQVDCREITDMTVSKFKKVISILNRTGHARFRRGPMVAQLQGPATPESARSRPAPVMLDFTKSVSSGYSQDSGFSLSGASLSLLSSVTTGDAIMSNRLVLPPASCGKPRLSSYSGGAAQKRKCHEPTTTRTRRTSPAASTGPTAGAATARSAVERIELLQPDWIMEWMWWCMCRKHRLKRTTRVPAISPKVADIPADEYSWRKYGQKPTKGSPYPRGYYKCSMLHGCPARKHVERDPADPSMLIVTYEGEHHHSPAAQDPPPPLAPLPELPNH >Sspon.01G0031690-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:108363298:108368768:1 gene:Sspon.01G0031690-1A transcript:Sspon.01G0031690-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAKVVESKDGTISVASAFAGHQEAVQDRDHKFLSKAVEEAYRGVDCGDGGPFGAVVVHNDEVIASCHNMVLKNTDPTAHAEVTAIREACKKLGKIELSDCEIYASCEPCPMCFGAVHLSRIKRLVYGAKAEAAIAIGFDDFIADALRGTGYYQKANMEIKQADGNGAMIAEQVFEKTKEKFQILYTEGNIGQTNVAEIVPLNAFQDTSNANKASGYLENQPAVYRVDIPKRRVLEG >Sspon.01G0027760-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:97999924:98003675:-1 gene:Sspon.01G0027760-1P transcript:Sspon.01G0027760-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GTHKGTRLPRPLSPPIPPSLRVTVVSGSNDCPGPPRPPPRPHHRGSVRLPTSSPPPVPNFKTIAKQNLDHPNPGANPTLSLPGSLPTSRHHRRHLLTCEDAARQPANGSLSARRLPSKPPGPSSTNPYPLFANPRLVRRRLALSGAGADQAPRRPASTPAAAGEGPSGSAPAAAATEDPVLVRVADDGVPLEGVIQIEKPGDAGAESKLVSYAKLGLLAGGDVFCLLLFSAIGRFSHGLPVLDAETFKTADPFIAGWLLSAYLLGGFGDDAKGRNGVGNAVITAAKSWALGIPLGLAIRAVTSGHLPPTPFILVTMEVQGSC >Sspon.02G0000330-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:1418547:1423228:-1 gene:Sspon.02G0000330-1A transcript:Sspon.02G0000330-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RSWGGFGLGLGLDPPAGCCSGWAVCGRRSIRSDQNQITSSSPRPSDAMQHRRKPASAAAPPAAKQPPARRPTARLSLAGLVVSVFLVATFLYSEDVMKAASSSGSATTTAAAEVGVSGRARSPDLRVLQEAAHQDVEADALHARREAEEQEDRDRKEAQQQQQQQQQPLTLPVGVGVVAEEQLVDNTKVKQTQKQPPPPQAAAIAGCDLYRGRWTFDAAGEQAPLYRESECEFLTEQVTCMRNGRRDDSYQKWRWQPDGCDLPRYDARLLLERLRNKRLMFVGDSLNRNQWESMVCLVQSVVPWGHKTLQKFVNNGSLNVFTAHDYNATVEFYWAPFLVESNSDDPQVHSVMDRVIAWRAIAKHAKNWKGVDYLVFNSYIWWLNTFEMKVMKGTSRRGHHQQQQEKERWSKYALVDRPVAYREVLKTWAKWVDRHIDPNRTRVFFMGMSPNHITPWAWGNYGGIKCAMETQPISKNRTGRLDIGTDWRLHGVARGVLARYLRRVPVQFVDITGLSELRKDAHTSVHTLRQGKLLTPEQQADPKTYADCIHWCLPGLPDTWNHFLYAHIISPSPPSSSSSQDH >Sspon.05G0003620-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:8797356:8798950:-1 gene:Sspon.05G0003620-1P transcript:Sspon.05G0003620-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVIAFSGGIGKTVYTDYVTITTGSGQTDLWVALHPDLTTRPEYFDAILNGLEVFKLQNYGNNSLNGLNPPLPSFEPNNGKPSGRNKDSVPVPAIIGGAVGGFAALLIAFIGVCIICRRKKVAKESGKPDDGQWTPLTDYSKSRSNTSGKTTTTGSRTSTLPSNLCRHFSFGEIQAATNNFDQTSLLGKGGFGNVYLGEIDSGTMVAIKRGNPTSEQGVHEFQTEIEMLSKLRHRHLVSLIGYCDDMNEMILVYDYMANGTLREHLYNTKKPALSWKKRLEICIDHQHFAGCKLVAKVSDFGLSKTCPNVDNTHVSTVVKGSFGYLDPEYFRRQQLTEKSDVYSFGVVLFEVLCARPALSPSLPKEQVSLADWALHCQKKGILGQIIDPHLQGKISPQCFKKFAETAEKCVADHSIDRPSMADVLWNLEFALQLQENAEDSSSVTEGTSSNTSPLFIPRLLSDEPPTDTTTTTSSSTMSMAGRSLASVDSDGLTPSAVFSQLMHPGGR >Sspon.04G0037230-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:62969672:62971685:-1 gene:Sspon.04G0037230-1D transcript:Sspon.04G0037230-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPLYPRLSDDGTGLAGSTTGSFGVPRNILSCTFITREMPSRHEFPQFATYHRICYVKRDMFLSIMNRDCMANHCNSSKCRITPRACGFFLPMGAFPSPPPWGWSTGFITTPLTTGIYLANT >Sspon.02G0005100-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:16426746:16429808:1 gene:Sspon.02G0005100-1A transcript:Sspon.02G0005100-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCVASGGTTTVTAAGAAGEDGRRRGRRWKAPREEQLGSVPGRIFSNDGRSRTAAVFTQQGRKGINQDAMLVWDVSHRISRPSLSLPCSSRRQFVLPRMVVVMVVECLSPWQGFGGEDDVVLCGVFDGHGPHGHLVARRVRDALPLKLMSAVRASKAGFDMPAAAWRKAFASAYKAMDKDLRSHAILDCFCSGSTAVTVLKLVRATGLDASLLSIDSSFLAETYVKSFLLGCMRGSALHSPLTSDFCTQGSDLCMANIGDSRAVLGSRNSGGGGGMVAVQLTVDLKPDVPSEAERIKKCRGRVFALQDEPEVPRVWLPFDDAPGLAMARAFGDFCLKDYGVISVPEFFHWSLTEKDQFVILASDGVWDVLSNQEAVDIVASSPSRSKAAKSLVEAATREWKTKYPTSKIDDCAVVCLYLDGKMDHERDSTASMDNISLDEGSVADPNEAQEQEPALTRNFTVRTVAGSVHEKALSGAVDAVVAGAAHDQNWSGLDGVTRVNSLVQLPRFSEEKAIG >Sspon.06G0004290-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:10736031:10748448:1 gene:Sspon.06G0004290-3D transcript:Sspon.06G0004290-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histidine phosphotransfer protein, Cytokinin signaling and stress respons [Source: Projected from Oryza sativa (Os08g0557700)] GPPAPITITPHSLNSHSKSPAPGFPTTSSELLLPSPSSVLEATAAAMSAANQLAALISNMFATGLLDDQFQQLQLLQDPSAPNFVSEVVTLFCQDGERIIGELAKQLYVWFLTRCSVSVIGSLRCGGWIESDSCNLLFLCLCRDKPSVDFDRVDAFVHQLKELLLPSPSSVLEATAAAMSAANQLAALIANMFATGLLDDQFQQLQLLQDPSAPNFVSEVVTLFCQDGERIIGELAKQLDKPSVDFDRVDAFVHQLKGSSASVGALKVKNTCIQFREFCQQKSKDGCLKTLETVRINFYELRGRFQTMLQLERQVNGFPPTIKPDGGVELHVGMMHAPFKSQNILHELLSWLLGSL >Sspon.02G0042320-1P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:85461182:85465007:-1 gene:Sspon.02G0042320-1P transcript:Sspon.02G0042320-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATHAGENGSGGHEEWRFARAGKEAVAGDKMSIRAARFKISANVDARDPRPVLPLAHGDPSVFPAFRTAAEAEDAVAAALRTGKFNCYPAGVGLPDARRALAEHLSSDLPYKLSTDDIFLTAGGTQAIEVVVSVLAQPGTNILLPRPGYPKYEARAGLHNLEVRRFDLIPERGWEIDIDSLETIADKNTTAMVAEVARKLGILVIADEVYGNLVFGDTPFVPMGVFGHIAPVLSIGSLSKRWIVPGWRLGWVAVCDPNKILQKTKIIASITNFLNVSTDPATFIQGALPHILENTKEDFFKRIIGLLEETSEICYREIKDIKCITCPHKPEGSMFVMVKLNLYLLEGIHDDIDFCCKLAKEESVILCPGSVLGMENWIRITFAIDSSSLLDGLERIKSFCQRHKKKNLLNGH >Sspon.02G0033710-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:9692405:9696684:-1 gene:Sspon.02G0033710-1T transcript:Sspon.02G0033710-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALLALVLLLLSGGGAAGDDVAALLEFKKGIADRDRDRVLGSWSPPATTESGSGGGGCPASWRGVVCDGDAVVSVALDGLGLAGELKLGTLSGMRALQNLSLAGNAFSGRLPPGIGSLSSLRHLDLAGNRFYGPIPGRLANLSSLVHLNLSHNNFTSGFPTDGIQQLQNLRRIDVRNNNFWGNATDLLAKLRNAEHIDLSDNLFTGSIDLDIESLASIGNTVKYLNLSHNKLDGGFFRNETVGAFKNLAVLDLSNNGLAGTVPRLDAWFSLEIFSVAGNGLFGMMPETLLQNSMRLVEVDLSRNGFSGSVPIVNSTTLKVLNLSSNVLSGSLPATMGKCTSVDLSGNLFSGELAILRSWDGIVEVIDLSSNKLVGSYPNDASQFQNLVSLKLRNNSLSGSLPSVLGTYQKLSVLDLSQNTLEGSVLPTLFMSPTLTVLNLSGNKFSGTIPFQSTHSTESILLSSQPALRIVDLSSNSLTGPLPPDISNLQKLEFLILMMNELSGEIPSEISKLQALEYLDLSHNHLTGRIPDMPQNGLKVFNVSYNNLQGTVPKSVEKFPLSCFRPGNDMLVFPDGLPASGNDDYTGVGQSQTSHGHKAGVRVALIIGCIGAVLLVIFIALALYVVRSQELCGRNGFRSQITIRDLKGRISRPNLFKSPKDNVIPSKTSFSNDHLLTAAARSMSAQKELLAEASVEYGYTDPKEVAESTTLGVTETSSAIQAHESSPRSALPTSPHFADSRFLEEPVAFEVYSPDRLVGELIFMDSTLVFTAEDLSRAPAEVLGRSSHGTTYKAVLQSGHVLTVKWLRVGLVKHKKEFTKEIKRIGTIRHPNIVSWRAFYWGPKEQERLIISDYVNGDSLALYLYESTPRRYSRLSVSQRLRIAIDLARCLQFLHHEKGLPHGNLKPTNIFLTGPDLSPKLVDYGLHRFMTPSGTAEQILNLGALGYRAPELANAAKPAPTFKCDVYAFGVIVMEMLTRKSAGDIISGQSGAVDLTDWVQMCNREGRGTDCFDRDITGLEESPRIMDELLAISLRCILPVNERPNMKTVCDDLCSITA >Sspon.03G0023760-3D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3D:57244489:57248913:-1 gene:Sspon.03G0023760-3D transcript:Sspon.03G0023760-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VLRQKSFLGQYGKIENIIIDNVGANQQIPDSGRVYVRFSKEEEAIRCIRAVDGYTLDGRPLKLQHSMGMSNKCLHHRSGRTLPPPCDCSSRNTTGSGISKDICVNDDRLLPNGANKNTSLLPVTTPRDSSLSSASPPSMANIVLHQRNDQERLHNNQQNLSDLKSQRYIPPGGRNRSSEMTTSVKHMQPIEGGSLQSSSNEHLVSNNDESQASSQLGNDNSNPKQITSAENGTFDTLQQKPQYADVVSQGQVAPARRLTVLSRLSIASSDPRPKATGQVDNGTSTSSTKLTLVQKAQGSCITVPRSHAVSQNPEEPAHVLVSATASVKSHAGVEIKNECSDISEKLVLGDHKQLPESTVSHRLTAAQSMSGRTLPGNLSASYAKTQGSAGPHNLSDLNIKLVAQNQSQLVNQQNAPVSSTGIARASFCRSTLNKNASLTDGESLHNRDTIRYGHIVSSHCSDSTMLSRPVSAVSSTDVASLNRKERRQACPPGFKKPHQYSDSDKACSGHCSASDALVQDCGIPDQQDFTGWATDCLKDDGDVTQNLSMSISSPPSLTDTNRNRSQSHRQFPGTLFGWGQLQA >Sspon.03G0015360-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:72763208:72763927:-1 gene:Sspon.03G0015360-2B transcript:Sspon.03G0015360-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEAAKERTRESGPNMASETSYAAVGVILSVFVVAAASADPTAAPTAAPSRKYSLEEACKQTAGHQDLCVATLSADPSSKTADTAGLARLAIQAAQRNASETATYLSSIYDDDSLENKTAQLQQCLEDCGERSVRRVCLCLPSSSAILCVADDKHVRPSACRYESAVEQLSDATSAVDTGAYSESEALVVASQAEVKLCQRGCQGVPDHRNVHTARNRDVDQLCSIALTITKLIGGPPS >Sspon.02G0028180-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:102313613:102313996:1 gene:Sspon.02G0028180-1A transcript:Sspon.02G0028180-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLALALLLLLAVAASSASAAGGSHLDLDLDLGFLSSSGGRRRECRGTVAECLAEASESEEEGLDLVSSAESHRRALYGGGYISYGALRRDNVPCSRRGASYYNCRPGGQANPYHRGCSRITRCRG >Sspon.06G0013000-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:56432813:56437968:1 gene:Sspon.06G0013000-2B transcript:Sspon.06G0013000-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALHAVSPAAVSSPLRALSRSVPQRPGPPLLLPRSASRIVKLTVIAVKINNVANSLQQMSYFTGCGCLPKQSIVIYSSANFARLQAGPVDFAGRRLVLGRLDKRRVKEKMEKAIETVQTNFNTVRTGRANPAMLDRIEELAKTVAKLAEDGKVAIRNIRRDAIKAYDKLQKEKKLSEDNVKDLSADLQKVTDEYMKKIDSIQKQKEQIMEPGSGRYTSCKIYEAIHYTIEHLGS >Sspon.03G0021820-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:85333465:85336023:1 gene:Sspon.03G0021820-2C transcript:Sspon.03G0021820-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRNIGVAVDFSSCSKAALRWASTNLARNGDKLILIHVNNSYQNEQGAVHLWEQSGSPLIPLAEFSDVTRTYGVSPDKETIEILTQVANQRGIEVFAKIFYGDPAKKLYEAVDLVSLSCMVIGSRGLSTLKRALLGSVSTYIVNHAACPVTVVKENM >Sspon.06G0035320-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:71703806:71705727:-1 gene:Sspon.06G0035320-1D transcript:Sspon.06G0035320-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKVVVPLLASLIGLTISYIFMRRRRTKGSHETFNFGKGSMDKDKMNVKQDEAIVWGLEGRSLEFTIYDFSQVLEATDNFSEENKLGQGGFGPVYKGRFPDGSEIAVKRLASHSGQGFTQFKNEVQLIAKLQHTNLVRLLGCCSQEEEKILIYEFLPNKSLNFFIFDETRRALLYWNRRLAIIEGIAQGLLYLHKHSRLRVIHRDLKASNILLDHEMNPKISDFGLAKIFSTNDTEVNTERIVGTYGYMAPEYASEGLFSMKSDVFSFGVLTLEIVSGKRSSGLHRYGDFINLLGHAWQLWKDERWLQIVDTSLTVECHTLEIMRCINVALLCVQENAADRPNMSDVVAMLSSESMALPEPKHPAYFYIRVTEDETSIVTDLPSRVNDITTTALHGR >Sspon.03G0035970-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3B:86864947:86865877:-1 gene:Sspon.03G0035970-1B transcript:Sspon.03G0035970-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMLVDTASQRVLFAEAGKDVVDFLFGVLATPVGGVASLLRAEHDAEDAADALGSVVNVYASAEKMDAAYMQGTEARDALVVNDSLPVGPSLRLVPASAPSTAPPWPEPAYPYYPYHNMSMSYSYTVPPLGVGAAPHVPYGRYLPPPAAPEQPADRSVGLLPPPDAAGLGGLLPPSLYRCHACHALGSTMGSRGFVQGVATYTVMDDLTVTHASNMSTVALLGRIGVKDLGALEEKTVTVGRKECLEILKVSLRSKTVLTDVFLAKTKKRARTAGD >Sspon.04G0006450-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:10612116:10613889:1 gene:Sspon.04G0006450-2P transcript:Sspon.04G0006450-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCRQRYGATELKQSQSSSMEMAAAAKGPASAPEWRVTVPEGASVAVEHEACRAARAWAWLVSCVLTVRDRVLGIGADDPRRAVHGLKVGLALTLVSVFYYSRPLYDGVGGAAMWAVLTVVVVFEYTGFNRAFATASAGVIALGVHWIASKSDDKFQPFIRTAMATFSRFIPTVKARFDYGVTIFILTYSLVAVSGYRVDALVAMAQQRVCTIAIGVSMCVAICALICPLADAVEACVEGYFAAAGEEANDKQPSSNSMKAAEGYKCVLNSKASEDSQANLARFAFRHPYGQYRSVGAAMRHCAYCVEALRGCVRSAEIQTARRRPVTPGGTWLARARGAASSSVDTMTTSRDLGLAVVEMNAAVEELQADLRALPSRLLLAEATTKAGSAAAEPTALMMVGAAQLFTITSLLIEVSLRIEGVVDAVDTLANLANFESAGDENEKPAKNVIKESEGNATMKTLEQA >Sspon.01G0033000-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:111818223:111820635:-1 gene:Sspon.01G0033000-1P transcript:Sspon.01G0033000-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA repair RAD52-like protein 2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G47870) UniProtKB/Swiss-Prot;Acc:Q9FIJ4] MEPASAFLARAPATPLPASAALPRRRPARVAVAAAAPERKPPGAVASTTNYVVPLDAAPSGITRPLVEILRDLNKRVPDAIVRPPSRRASASDPVIPWYHANRMLSFYAPGWCGEVRDVIYTDSGKVTVIYRVTVRGTDGEVHREAAGTASLSDARFDDPVSAAEEAAFCKACARFGFGLYLYHEDETP >Sspon.01G0055380-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1C:78402371:78403305:-1 gene:Sspon.01G0055380-1C transcript:Sspon.01G0055380-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTGAQKSPDCLNTWLGAEGTRAHLSVPGPLGVDDRPHESGAGRPVSRSRRAGPPGLERAGLFVDRLDHVHPTPTRLVESAVHELDTRHAVVQ >Sspon.06G0009720-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:42911562:42917172:-1 gene:Sspon.06G0009720-3C transcript:Sspon.06G0009720-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MESAAIGSQSPLSFPSSLCKAKVSSGLPIYNVKIKSNRRLEVVCRGMLATRKFMQRKKKEEVFKDAADEAEQMNWRRMMREIEEAGSAVPILKTQRSGKGPLPRDVILGTLVRFKQLKKWNIVSEILEWLRTQHWWDFSEMDFLMLVTAYGKLGDFSRAERVLKYMNKKGYQPSVISQTGLMEAYGRGKQYRKAEAVFRRMQTSGPEPSPVTYQIILKSLVEGDKYKEAEAIFEYLLNEKRTSFKPDQKMFHMMIYMYKKAGDYAQARKLFAQMSERGIPLSTVTFNSLMSFETDYKEVSSIYDQMQRAGLKPDVVSYSLLIKAYGKARREEEALAVFEEMLDAGVRPTRKSYNILLDAFAISGLVDEANTVFKAMRRHRVEPDLCSYTTMVLAYVNASDMNGAEKFFRRIKDDGLKPNVVVYGTLMKGYSKLNNVEKVMRVYERMRIQGVEPNQTIYTTIMDAHGRNSDFGNAVIWFKEMEAHGYPPDQKAKNILLSLANTPEEQQEANELVGNGAIQLEVKPDNEEVDGDDGHEVIHTDAGNHHLLDNTQTRNHVNGRIRAGNYTFDEEDDNDDDDDDYEEEDDEEFNFVSFKDKRELNFARRLEQSSPIYSTLV >Sspon.02G0028230-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:103165964:103172183:-1 gene:Sspon.02G0028230-1A transcript:Sspon.02G0028230-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDADEMDVDLDGGAGGIGSSPSASPASASGSLPAVLSELAALHRRASSSSSSSSATSPPLSLPSITFLSSGPVAVASIFPRLAEAGVPASSLLAPLEASLSAHPLPAAVAYLRLLLAPASPLLTLFSPLPFLSLLLAIRKAAAAAAASGAGSAAASNPSSGSGAAAIPRKRKNQRQKPPAAPRAAPSLLPKALSLLADAAGRLPLGAHPDARRSLVDTAAELAAFDVLAAVLGSDYHAGAVQDVIRALAPVVLSATKSAARVAAVQFLVRKLVPLGGEEGEEAVRKAVGYLPRYLVVKAPDKAEARALAVEAIVEVVRALDAEGREGFAGYVVAMARGKPKGRLLAVDLVLPLLPVLLPSEGDDCDTEEGSWGLKFLRLLVERCSDSVGGVRARALTNAAQVLDVLSERGVEIDRLQEVMRIGDMGLGELLRLRCTDDKAAVRKAVLVLITKAIGLIGRPINDSLLCAMGAACSDPLVSIRKAALAAISEVFRKFPDEKVMKEWLQAVPPLVIDSETSIQEECENLFLELVLNRICQAANSKLDDDSITLEEVFPEGTLDLLKSICDGEVAPCIKKICASLGKKKKLKPLLASSLQSIITLSESLWLRNCNPIENWTAPIGSWWLLSEVSSFAPKSVDWKFLSHHWKLLDNVGQDDRGKACSQVEPNSALWAVNRVSLLQTISNVSMELPVKPAAELAQSLLTRIEDFDMNLSEVDAHVKALKTLCKRKAKSANEGDALILKWAQQLIRSAFDILDQYIKVASESARGHSFVTPMTGKRKGTKQTSSPKSTSQAVVAVFTVGSLILACPTADVKDITPLLHTIVTSGSSEPRPKNLVGGTISFKELAPSLYIQSWDTLAKICLVDDKVAKRYIPIFVQELERSDMATLRNNIMIAMADFYVRYTALVDCYMSKITKSLRDPCEVVRRQTFILLSKLLQRDYVKWRGGLFLRFLPSLVDESEKIRHLADYLFGNILKAKAPLLAYNSFIEAIYVLNDCTGHGVYSDSQSQGSSDRRPALFAIRGTDERSRSKRMHIYVSLLKQMAPEHLLATSAKLCAEILAAVCDGLLSVDDAGGRAVLQDALQILACKEMRIHPNILSENSEMDEEGGEGGGTASALLAAKGRAVTQVAKKNLIQIAVPIFIELKRLLESKNSPLIGCLMECLRALLKDYKNEMDEILVADKQLQRELLYDMQKYEAGKGKGKAAAQAEAEVGPSGTGRSPAGESARATVRSVLKEVNLKAPTPPLHSMSVPKVKSILGSAGPGSRRPDVLESVRRLQPFESDDES >Sspon.08G0014830-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:57079266:57083618:1 gene:Sspon.08G0014830-3D transcript:Sspon.08G0014830-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPASPADSAPDPRPIASAPVPITVPSPRDHTHHHHHHHHLLDRRDTPRGRAWDWEPERNRRGGAMDGASAVKQVSGEAGYVLEDVPHVSDYLPDLPTYPNPLQDNPAYSVVKQYFVNPDDTVCQKIVVHKDGPRGNHFRRAGPRQRVYFEPDEVHACIVTCGGLCPGLNTVIREIVCGLSDMYGVTKILGIQGGYRGFYARNTITLTPKSVNDIHKRGGTILGSSRGGHDTVKIVDSIQDRGINQVYVIGGDGTQRGAGVIFEEVRRRGLKVSVAGIPKTIDNDIPVIDKSFGFDTAVEEAQRAINAAHVEAVSAENGIGLVKLMGRHSGFIAHYATLASRDVDCCLIPESPFYLEGEGGLFRYIEKRLKDNGHMVIVVAEGAGQKLIAETMESIGKDASGNELLLDVGLWLSQKINEYFKKNKMTINLKYIDPTYMIRAIPSNASDNVYCTLLAHSVVHGAMAGYTGFTIGQVNGRHCYIPFYRITEKQNRVSITDRMWARLLSSTNQPSFLCNKVVEEAKKEQERAAQLLDGSPSHRKVDEKVPPASNLGGTK >Sspon.02G0043540-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:92565761:92568977:1 gene:Sspon.02G0043540-1B transcript:Sspon.02G0043540-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription termination factor MTERF2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G21710) UniProtKB/Swiss-Prot;Acc:F4IHL3] MAAAALPHSRLHLPLHTPSPTSRRCRRLRLPSFVAVSRLQNPTTATHPVLPPPSPPPPSAALLAAEGASLAPRREPRFPGSVSSPTSPAGSASAGLSEAEDAVLRRALQVRRAVAAEALVAALGGGKVGGLTYIKNLTARMGPFVDRVVVEAAAMRRDRPDLAHMSFNARAKAYIQESGLVELVKWFKHNSMTYPQITKVVCACSGDLGKVRTMIKWLRSIYVKGDFLGRVLANGGSFLNRSFEELEEIIGYLESRGVRRDWIGYVVSRCPQLLSLSMDELETRVRFYTDLGMDEKDFGTMVYDYPRVLGFLSLEEMNSKVQYLKEFGLSTEELGRLLAFKPQLMACSIEERWMPLVKYLYHLNISREGMKRMLMVQPTIFCLDLETVIAPKVQFLMDIGVRSDAIGNVLVKFPPVLTYSLYKKIRPVVIFLLTKGGVKQDDIGKVIALDPQLLGCSIVHKLEVSVKYFRSLGIYHFVLGQMIADFPTLLRYNVDILRPKYQYLRRVMVRPLKDLIEFPRFFSYSLEDRIEPRHQTLVANRINMKLRYMLTGSDDEFAQRVREAVERRARFEARKASPETFSGSSETSRETEATEAAACQNSIEVVD >Sspon.07G0015590-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7B:60033753:60037467:1 gene:Sspon.07G0015590-2B transcript:Sspon.07G0015590-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVAGLGGGGGGGWGLGKIFFDIMSGMEAALASGVLKVAGDKLVSLLATEFAAITGVKRDLSELQDIHEEITSWLSAARDRAIQSEPQSRWVVKLKDVAYDIDDILQEVQLEAEKQKMERDDDKSGIAGCFCAKPKTFAFQYKMSHKIKAIKVRFAAIVKQRSDVNTLVSNFPRDQHVGTRHRTVGEMTWLSKVPKSKIPLRDQEKDEIISKLVECNAGENNMIVSIVGLGGSGKTTLAKHICHDVKIKEHFGDEIFWVHVSQEFDVEKLIGKLFQKIVGDNSDRHPPEHMIQKISEKLSNKKFLLILDDAWHEDRHDWEQFMVQLKCGAPETRIILTTRDRKVAEVVESRHIFELAFLSESESWNLFLKGSGLAEQDLSSDHVQVGKEIIKVCGGVPLAIQTLGVVLSDKKEISTWRAIRENNLWNVRSINDRVFASLKLSYIHLADELKQCFTFCSIFPKGYEMQKDRLIAQWIAHGFINAMNGEQPEDMGRDYLDSLVKVRFLQESYGSWNTDIYNMHDLIHDLTRQILKDELVTCVPIHTTEKITHRYRYLSLTSFTENVDKGLFDKVRALYISDSKPSFDTIVKNSCCMRSVVLDYAIDTPFSLFILKFEYLGYLEIHNISCTTVPEAISRCWNLQSLHFVSCKGFVTLPESVGKLRKLRTLELRWIFYLESLPQSIGDFYVLQSLQLYGCKKLREIPSSLGRIGNLCVLDVQHCSSLQQLPSDMTGEFKNLRTINFNGCRGLQDLPSTLSCHTLHTLNLSGTKVTMLPQWVTSIDTLECIDLEGCTELRELPKGISNLKRLAFLNIEHCIKLCCLPSGLRQLTRLRKLGLFVVGCGADDARISELENLDMIGGYLEITNLKYLKNPSDAEKACLKLKSNIQHLKLNWSLSDTEEEFVSDKKHDWGVLNALEPPSQIESLKIYGYRGPCLPGWMMKQNESSYCEGGIMLKQTIASHFLCLTWLTLARVPNLRHMRGFVKLPSLKELVLKEMPNLEELWTTSSGFETGEKELAARYPFPVLSSLYIRGCPKLNVSPYFPPSLEHMSLERTNVQLLSTGRFSHQLPSMHALVPRLKTLVLREVTGSSSGWELLQYLTKLKELSIFRCNDLTQLPESMRNLTSLERLHIDECPAVGTLPDWLGELHSLRRLELSMGDLKQFPEVIQHLTALECLD >Sspon.01G0013500-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:39646437:39648106:-1 gene:Sspon.01G0013500-4D transcript:Sspon.01G0013500-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GEEAAAAAGGGAQARARRRRRGREDVGAAVAVGQDRLLPRGHARVAPARVRAAAARRAPRSRAPAGAPVRDPPRRRQRRRRLVRTGGRGPGAGVRLPLLARRPARRLRGARAAHPLCVRGVRRRRRVPRGGGGVRSRVRVLRARQGRGRAAAPRRAAPQAGAGGDARAVAGPEAMLLLSLALAAAHLAAAYRTSCRERRRMLVYRIDVEGAVR >Sspon.05G0020210-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:66446564:66451562:-1 gene:Sspon.05G0020210-1P transcript:Sspon.05G0020210-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTKVKRRVGKYELGRTIGEGTFAKVRFAKNTETGEPVAIKILDKEKVLRHKMVEQIKREISTMKLIKHPNVVRIYEVMGSKTKIYIVLEYVTGGELFDTIANHGRMREDEARRYFQQLINAVDYCHSRGVYHRDLKPENLLLDSYGNLKVSDFGLSALSQQIKDDGLLHTTCGTPNYVAPEVLEDQGYDGAMADLWSCGVILFVLLAGYLPFEDSNLMTLYKKISNAEYTFPPWTSFPAKRLLTRILDPNPVTRITIPEILEDEWFKKGYKRPEFDEKYDTPLDDVDAVFNDSEEHHVTEKKEEEPVVLNAFELISRSAGLNLGNLFDSEQEFKRETRFTSKCPPKEIVRKIEEAAKPLGFGVQKKNYKLRLEKVKAGRKGKLNVATEILQVAPSLHMVEVRKAKGDTLEFQKVTTMHAKIMPIKETHVFMY >Sspon.07G0016560-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:59050039:59051483:1 gene:Sspon.07G0016560-1A transcript:Sspon.07G0016560-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aluminum induced protein with YGL and LRDR motifs [Source:Projected from Arabidopsis thaliana (AT5G19140) UniProtKB/TrEMBL;Acc:Q94BR2] LTRSFAAKDEVFCLFEGVLDNLGRLSQQYGLSTKGANEVLLVIEAYKTLRDRAPYPASFMLAQLTGSYAFVLFDKSTNSLLVASDPEGKVPLFWGITADGCVAFSDDIDMLKGSCGKSLAPFPQGCFYSNALGGLKCYENPKHKVTAVPADEEEICGATFKVEGSTVLTALHY >Sspon.08G0001540-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:3070767:3075813:-1 gene:Sspon.08G0001540-3C transcript:Sspon.08G0001540-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding PLPRHIPVGHVPAAPHTARRRCSRLARSPLPTTAPRPHPHGSCRPHPSSSGPLKTNSPGKMSLISQNAVQKRRLRKSEADDGNDEDIGSPTSIDAEVGKEAKLKNHNKERKKKRTKVQESQQNKEEEEMRQLESSLFGSLYAPLEFGTEAGATVVAPDRDVPLFFTDRSAGGGMDYFPIYEEDMAHEDEEDVVGIKGRKPVWVDEEEERTEVDIVKVSRLRKLRKEEDEHLISGKEYEARLRGQHAKLNPFTGWADMDQKTSLPAVSDGESDDEGCVDDILQNNDELVVKDTVKLLPGMLEFSRLVDANIQDPSSGPINSVQFHRNGQLMLAAGLDKHLRFFQIDGKRNPKIQSIFIGDCPVHKASFLPDGSEVILSGRRKFFYSFDLVNAAVSKIGPLTGREEKSLESFEISPDSKTIAFVGNEGYILLISAKTKQLIGTLKMNGSVRSLAFADGGNQLLSSGGDGHVYHWDLGTRKCIHKAFDDGSLAGISLCTSQDSSLFATGSTSGIVNVYKRDDFLGGKRKPLKTIENLTTDIGEMKFNHDAQILAISSRKERNGMRLVHVPSFSVFQNWPGPQFSLHYPRCLDFSPGSGSMFACDPDPFLMQMLSEVMLCRVLITL >Sspon.08G0018970-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:9814421:9818477:-1 gene:Sspon.08G0018970-1B transcript:Sspon.08G0018970-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKFTTKIVDMMKSEGLFEWQGGPIILTQIENEFGPLEWDQGEPAKVYASWAANMAVALNTSVPWVMCKEDDAPDPIINTCNGFYCDWFSPNKPHKPTMWTEAWTSWYTGFGIPVPHRPVEDLAYGVAKFIQKGGSFVNYYMYHGGTNFGRTAGGPFIATSYDYDAPIDEYGLLREPKWGHLKELHKAIKLCEPALVAGDPIVTSLGNAQQASVFRSSTDACVAFLENKDKVSYARVSFNGMHYNLPPWSISILPDCKTTVYNTARVGSQISQMKMEWAGGFTWQSYNEDINSLGDESFATVGLLEQINVTRDNTDYLWYTTYIDVAQDEQFLSNGKNPMLTVMSAGHALHIFVNGQLTGKTKGSLPPSLPTSLPPSLFIMLSNSLAGTVYGSVDDPRLTYRGNVKLWPGSNTISCLSIAVGLPNVGEHFETWNAGILGPVTLDGLNEGRRDLTWQKWTYKVGLKGEDLSLHSLSGSSSVEWGEPMQKQPLTWYKAFFNAPDGDEPLALDMSSMGKGQIWINGQGIGRYWPGYKASGTCGICDYRGEYDEKKCQTNCGDSSQRWYHVPRSWLNPTGNLLVIFEEWGGDPTGISMVKRTTGSICADVSEWQPSMTNWRTKDYEKAKVHLQCDHGRKITDIKFASFGTPQGSCGSYSEGGCHAHKSYDIFWKNCIGQERCGVSVVPGVFGGDPCPGTMKRAVVEAICG >Sspon.04G0024560-1T-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4B:20364221:20366319:-1 gene:Sspon.04G0024560-1T transcript:Sspon.04G0024560-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLISRGKAKFFNPFGILMSLLLAQKAILARENRLCGQIRCHPLNKHEPCAFTWRPQLYRECPGGACRQRRARRRPGEQTDGYLAELVGGERPEKTWRGTDGDLGTWTAAAAAQSSSPRDGGRERTQGECC >Sspon.03G0023220-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:71197557:71222364:1 gene:Sspon.03G0023220-1A transcript:Sspon.03G0023220-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTKFETKSNRVKGLAFHPRRPWILSSLHSGVIQMWDYRMGTLLNRFDEHDGPVRGVHFHATQPLFVSGGDDYKIKVWNYKTQRCLFTLHGHLDYIRTVQFHHECPWIVSASDDQTIRIWNWQSRTCVAVLTGHNHYVMCASFHPKEDLVVSASLDQTVRVWDIGALRKKSASPADDILRLTQMNTDLFGGIDAVVKYVLEGHDRGVNWASFHPSLPLIVSGADDRQVKIWRMNDTKAWEVDTLRGHMNNVSCVLFHAKQDIIVSNSEDKSIRIWDATKRTGIQTFRREHDRFWILAAHPEMNLLAAGHDSGMIVFKLERERPAFCVSGDTVFYVKDRFLRFFEYSTQKEVQLAPIRRPGSVSLNQSPRTLSYSPTENAVLICSDADGGSYELYIVPKDSAGRSDYLQEAKKGAGGSAVFVARNRFAVLERSSNQVLVKNLKNEIMKKSPFLLRRMQYIMLGQAEDRVAIFDLQQRLVLGELQTPAVKYVVWSSDMESVALLSKHAVVIASKKLVHQCTLHETTRVKSGAWDENGVFIYTTLNHMKYCLPNGDSGIIKTLDVPIYVTRVIGNNIFCLDRDGKNKLIAVDASEYIFKLALLRKRYDHVMSMIKNSQLCGQAVISYLQQKGFPEVALHFVKDEKTRFNLALESGNIQIAVASAKEIDDKDHWYRLGIEALRQGNVGIVEYAYQRTKNFERLAFLYLITGYLDKVCAVSFKMVMKTDLLKCINAAEHQKGLGVESLLSDDD >Sspon.04G0018730-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:76328098:76340536:-1 gene:Sspon.04G0018730-3D transcript:Sspon.04G0018730-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent DNA helicase Q-like 4A [Source:Projected from Arabidopsis thaliana (AT1G10930) UniProtKB/Swiss-Prot;Acc:Q8L840] MLSLRSSACKIHGPERLHAPLIEKAWRSLCNTQAACKSYLRPGLSAKPKDCDRGHARTYGEGSYNTNKMATVPGNRIPSVESTSQPSERVSLQNNSSHQPVGISSSMRSYQSNHVAQEDMRATNQYNFARTDAALHQSAPVADNMCTYDKFDAMDDDLLASIDVDRIVMEHYQATPRGSASHNMSTPLGNKCSFNGMDEANLPRELSELCSHQCKLAFCREAMTHLQEMKDELLAVANELLDDDGKLNPQHSQELHKRRHLCFATAMLICATVIPCRLHLKKLVQLLEDHMARSAQDEERKISNSMASTTATQQHLPPMTPGSTFITDTNRFQSQVYVGNGPRDSDLCYSSAPYSCLDNLSTPLNSVWKSYTPKVIDINYTEGSGDRKWSSTTFPWTKELEAKNRNKFGNHSFRPNQREIINATMSGYDVFVLMPTGGGKSLTYQLPALISVGLTLVVCPLVSLIQDQIMHLSQANIPATYLSGNLDWSEQQEIIRDLTSCRYKLLYVTPEKIARSGALSTVLDNLYKQGHLSRIVIDEAHCVSQWGHDFRPDYKSLGVLKQNFPKTPVLALTATATARVKEDVVQALALENCIVFKQSFNRPNLRYYLRPKTKKCIEDIDLFIRTNHKKECGIIYCLSRMDCEKVAEKLRECGHKVAHYHGSMDPVDRTRIQELWSKDKINIICATVAFGMGQTVGINKPDVRFVIHHSLPKSIEGYHQECGRAGRDGQPSSCVLYYQYSDYIRVRHMITQGVAEQTGAPRDLSSHEQALKTHKDNLLRMVSYCENDVDCRRLLQLIHFGERFDPSLCAKTCDNCLKVSRWVKKDVTNIARQLVELVTRIGHSHSSTYILEVYRGSVSQNVKKQRHDALALHGAGKHLAKGEAARIMRHLVTEEILIEDVKKSDMYGSISSVLKVNHLKASDLLSGKRSIVLKFPAPDKASKMGNLDASIFPQISKTVQQQSEVD >Sspon.08G0003780-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:10251077:10255759:-1 gene:Sspon.08G0003780-3C transcript:Sspon.08G0003780-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Integral membrane protein, Metal ion transpor [Source: Projected from Oryza sativa (Os06g0676000)] MSGPSQSQPQFMTSVGRSNRSNGPGTPLIESIDVDQIVIPERNSWKNLFSYIGPGFLVSIAYIDPGNFETDLQAGAQYKYELLWIILVASCAALIIQSLAARLGVVTGKHLAEHCRAEYPKVTNFVLWILAELAVVACDIPEVIGTAFALNMLFRIPVWCGVLITGLSTLMLLLLQQYGVRKLEFLIAFLVFLIATCFLVELGYSKPNASEVVRGLFVPELKGNGATGLAISLLGAMVMPHNLFLHSALVLSRKVPRSVHGIKEACRFYTIESAFALTVAFLINISIISVSGAVCGSGHLNPEDQANCSDLDLNKASFLLKNVLGNWSSKLFAVALLASGQSSTITGTYAGQYVMQGFLDLRMKPWIRNFVTRSLAILPSLIVSIIGGSSAAGQLIIIASTSVLTWVIGSFIVVINTYFLITSFVKLLLHSGLSTVSQVFSGIFGFLGMLIYIVAILYLVFRRNRKSTQPLLESDAELAVSGSSAGAGAECSLGHLPREDISSMQLPQQRSASDLD >Sspon.03G0012250-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3A:33821350:33822984:1 gene:Sspon.03G0012250-1A transcript:Sspon.03G0012250-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGDATGRGRRRVLLVFSFRVQPWPRPLLLGRKAEEKPVKVSPWNQYRLVDRETEQVYHLPSAAKDQAPGKCAPFVCFGCTANGLEVASPPKAASSSAPGGIGTSQEEASCSANKTLTTSGSISGSERRGCLKSNSKRDSLEHRIVVSEGEEPRESVEEVQTLRSSVERRKVQWTDTCGKELFEIREFETSDEGLSDDDAENEGFRK >Sspon.05G0025710-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:26392509:26392814:-1 gene:Sspon.05G0025710-1B transcript:Sspon.05G0025710-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYPALSIDDDVVYLSCKAASRGLMGLVAPVDVRKKELRGVAKLHRKEHLLHAYLHCHLDLQVSLRLQVLVQHHSDLCPDDDECSGCQLCLGHIDHNTTYS >Sspon.02G0000120-1P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2A:672348:673055:1 gene:Sspon.02G0000120-1P transcript:Sspon.02G0000120-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLPLPCPARATANSAAAHHPFAETAHPPLASAATPLATLRGRLQAGLPVSPTAFSAAVARSGPDALPALHALAVASGLAAFAPVTNSLAARYAKVNSFAAAARVFAAARSRDASSYNTILSATPDPDDALAFAARMLRAGDVRPDAVTFTVTLSLAAGSGEARLVRQLHALASRAGIAADVFVGNALVTAYSRGGSLDAARKVFEEMPARDLVSWNAMVCGLAQDGECPAEVIQ >Sspon.04G0010390-1P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5A:31846044:31850034:1 gene:Sspon.04G0010390-1P transcript:Sspon.04G0010390-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAERLAASAATLRGSAAAATPSVRRAARASRAFFPPSPAASARARVGLRAAPSPLPQKARAVRCAAVAAASDVAQVKAAREDIRELLRTTHCHPILVRLGWHDAGTYDKNIEEWPQRGGANGSLRFDVELKHGANAGLINALKLIQPIKDKYPSITYADLFQLASATAIEEAGGPKIPMKYGRVDVTGPEQCPPEGKLPDAGPSSPADHLREVFYRMGLDDKEIVALSGAHTLGRSRPERSGWGKPETKYTKNGPGAPGGQSWTVEWLRFDNSYFKDIKEKRDQDLLVLPTDAALFEDPQFKVYAEKYAEDQDTFFRDYAEAHAKLSNLGAKFQPPQGFSLDD >Sspon.04G0015220-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:21031521:21032658:1 gene:Sspon.04G0015220-1P transcript:Sspon.04G0015220-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LWCLQDERPVLVELVQEDCGLEPGDVGGGTDAGGESVVNLDKFFEDVEAVKEDMRGLEGMYKGLQSTNEETKTAHDARTVKSLRSRMDKDVEQVLRRAKAIKGKLEDLDRSNATSRKVPGCGPGSSTDRTRTSVVAGLGKKLKDLMDDFQGLRARMAAEYKETVTRRYYTVTGEKPEDSTIEALISSGESESFLQKAIQEQGRGQVMDTISEIQERHDAVKDIERSLMDLHQVFLDMAALVEAQGHQLNDIESHVAHASSFVRRGTVELESAREYQKSSRKWMCIAILASIVLIAVLVLPVLVNLRILTLPTKR >Sspon.03G0014110-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:65707196:65708061:1 gene:Sspon.03G0014110-2B transcript:Sspon.03G0014110-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPMKVYGWAVSPWMARALVCLEEAGVEYEIVPMSRCGGDHRRPEHLARNPFGEIPVLEDGDLTLYQSRAIARYVLRKYKPELVKEGDLEGSAMVDVWMEVEAHNIEPTLWPIIRHCIIGQYVGRDRDQAVIDENLEKLRKLLPVYEARLSVCKYLAGDDITAADLCHFGFMRYFMATEYAGVVDAFPHVKAWWDALLARPSVQKVMAGMPPDFGYASGNIP >Sspon.04G0011230-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:70203604:70204434:-1 gene:Sspon.04G0011230-2B transcript:Sspon.04G0011230-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LDNATTTSASVFLVTDPATAAGGGNTVLSSDLTCNGSNSHDRGCHGTRKRARVTMDLQGQRALLPPVPQALAPTVDVQSRALCSSSAPTSGRPASSAAPVSQGLLLHLYRHNVMIDLLLRIETERLRAGLQDARCRHACTVLSAVERAAAQRLRAAEAGLERALARNAELDERLRQTEAERQRAAGLRAALNNLMQKQSPRAGAGAGEGQSSGEGDAAEDAQSCCFEWKQEQQQEQGGGWTRACR >Sspon.04G0013850-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:53039732:53054339:-1 gene:Sspon.04G0013850-2B transcript:Sspon.04G0013850-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LHCLLIITTTVFPSVSSSFPSRPHSPSSPPPARVPATAFHASPTCRRRRRRLVGVRATAAAEMDPDFSPGGGGPSFEFAFNEVNFSDRELRIEVVAGDDDAPGSSGGGPGGGGLADWARHRKRRREELLKEKEETALMELLSFMYSGKLTTTEPTLLLDILMAADKFEVVSCMRYCSQLLTSLPMTTESALLYLDLPCSISMAAAVQPLTDAAKDFLAVKYKDLTKFQDEVMNIPLAGIEAILSSNDLQVASEDTIYDFLLRWARAQYPKSEERREILSSRLLPLVRFSHMTCRKLRKVLTCTDIDHEQATKCVTEALLYKADAPHRQRALAVDTITCRKFAERAYKYRPLKVVEFDRPYPQCIAYLDLKREECSRLFPAGRIYSQAFHLAGQGFFLSAHCNMEQQSTFYCFGLFLGMQEKGSMSVTVDYEFAARTRPSGEFLFSNGMKESDQRHATLRITDSEETALMELLSFMYSGKLTTTEPTLLLDILMAADKFEVVSCMRYCSQLLTSLPMTTESALLYLDLPCSISMAAAVQPLTDAAKDFLAVKYKDLTKFQDEVMNIPLAGIEAILSSNDLQVASEDTIYDFLLRWARAQYPKSEERREILSSRLLPLVRFSHMTCRKLRKVLTCTDIDHEQATKCVTEALLYKADAPHRQRALAVDTITCRKFAERAYKYRPLKVVEFDRPYPQCIAYLDLKREECSRLFPAGRIYSQAFHLAGQGFFLSAHCNMEQQSTFYCFGLFLGMQEKGSMSVTVDYEFAARTRPSGEFVSKYKGNYTFTGGKAVGYRNLFAIPWQTFMADDSLFFIDGMLHLRAELTIKQP >Sspon.04G0016200-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:59753953:59757026:-1 gene:Sspon.04G0016200-1A transcript:Sspon.04G0016200-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding QMGIGNGSSSNVSHKAMQDETTPLLPIKAEEDAVHEFDGASFSGAVFNLSTTIVGAGIMALPASIKMLGLIPGILMIILVALLTEASIDMLVRCSHQGKITSYGWLMGDTFGQWGRIALQGSVVINNVGVLIVYMIIIGDVLSGTSSTGVHHSGVFEGWFGPHMWNSRPIVLLATTLLVFAPLVSFKRSDSLRYTSALSVALAVVFVVITAGVAIVRLIQGTVEIPKLFPEIDGVSSIWKLFTAVPVLVTAYICHYTVHSIDNELEDKTQIKPIVQTSLGLCSSVYIATSFFAYLLFGEATLADVLANFDSDLRIPFSSVFNDIVRVSYVVHIMLVFPIVFFALRLNLDGLLFPTARHISRDNKRFTIITISLVAVIYLAAIFIPSIWDAFQFTGATAAVLIGFIFPAMIILRDPYGVSTKRDKVLAVTMIVLAVVSNSVALYSDALSIFYRKQEA >Sspon.08G0018570-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8B:6455898:6456045:-1 gene:Sspon.08G0018570-1B transcript:Sspon.08G0018570-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RSRGGDSRRMGIHPKLCCAVEAHLLGFSRQVPLARDQSPSFHFALVFS >Sspon.04G0006220-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:18408559:18416567:1 gene:Sspon.04G0006220-2D transcript:Sspon.04G0006220-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFPLVCYCLPIPKPVIVFCKLLSAIRDAVLLMLAVVGLCRLPHDAAARGSAEAHRHQPEEVKSRLPAVEYAQLLAEQQPASSPTGTGAHAACQCGEDDDEDAPACIVCLETLEATDEVRRLGNCAHAFHRACIDRWIDLGRATCPLCRSDLLPRPRGRAGLLGLGRLATCLTRVWPRPRSRSQPIAVGRWWCRRNGADRSVT >Sspon.03G0028730-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3B:10695125:10696410:1 gene:Sspon.03G0028730-1B transcript:Sspon.03G0028730-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLKPTRDSLGRNPLSDALHRNPGVVLNGQGPGRHPLSGASYRDPGTMKNEQGSGRRNLSGALHLRPGVVKNEQGSSHFPRRVRRVRKLAEPTRIRLGSWNVGSLTGKLRELVDAAIRRRVNILCVQETRWKGQKAKEVEDTGFKLWYTGTTSGRNGVGILIDRSLKDGVVDVRRQGDRIILVKLVVGDSALNVISAYAPQVGLSEGTKRQFWDDLDSMVSTVPISEKLFIGGDLNGHVGATNVGFERVHGGFGYGSRNQEGEDVLNFALAYDLLIANTLFRKRESHLVTFRSGQHSSQIDFILARREDRRACFDCKVLPGECVVPQHKLVVADFRFRVRVHRDKRAKIARTKWWKLRGEAAQTFKERMLDEGPWEEGEDADDMWLKMATCAGRWLRKCLA >Sspon.03G0018680-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:86896134:86900363:-1 gene:Sspon.03G0018680-1P transcript:Sspon.03G0018680-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVLLFEGVWSMEQASFCCSACNDELMIIVDNRDEAARAKALAERKMMDKDFVGAKKMIIKAQQLLKEVDDVDIPKMLTVCDVHCAAGAKVNSEIDWYGILQVPVNADDALIKKQYRKLALLLHPDKNKFGGAEAAFKLVGEANVTLTDRNMKDTKNDGMPCGEKTFNGVEQMKRETMHAGENSDGKEKIFHSVSTNGLVLNDDDASEDHKYTFPDPEFFDFDQLRDVRQFRANQIWAVYDDQGCMPRFYARITKSGEAAPYVIPQGDTLRFSHCVPHHLMSGTEKQGIPEGSLELDPAALPFNFEEAFPSANAECSSVRSQDRDFKHAGLSSGDRKGSMNVGQGQHTKS >Sspon.02G0018690-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:59945347:59946522:1 gene:Sspon.02G0018690-1A transcript:Sspon.02G0018690-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAHPGLRLLFLCAAVLLVVHQLPGCARASDPDILTDFMVPQDLLADPSQLNGTFFTYTRLVSGNAGDPAKFTATKATAAEFPALLGQSVSFAALVFGAGTVNPPHIHPRASELLVVVQGALFVGLVDAARNGTLHTATLQAGDMFVFPKGMVHFQSNNGIVARAFSAFGSASPGTISLPTELFETGIDDTVLEKSFHTDQATVDALKHDLQQAPAQNSADGALVGARLAALMLCVGTAFSLV >Sspon.02G0007890-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:26532934:26536986:-1 gene:Sspon.02G0007890-3C transcript:Sspon.02G0007890-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding WPPPPPLSASSILPSGNLQSHRCTFSHFPPNPTPKRTLVLSPSRAAAGGGPIAAFPNATSSSTNASASPTYDVREAEAAVAELLREGGASPADAAAIAARAPAYAAMLADGVRELDELGLWASWSSGTGARLSLSGAVEMEMGRLGFRRKVYLMGRSRRDHGVVPLLESLGVRLSSAKLIAPYLAAAGLTVLIDRVKFLKEILFSSSDYAILIGRNAKRMMTHLSIPADDALQSTLSFFEKMEARYGGVSMLGHGDVSFPYLIESFPMLLLCSEDNHLKPLVDFLEHIGIPKPKIASVLLQFPPIILSDVENDIKPRIREWEKISSTVLGIAVKSWPHILGCSSKRMNSALELFHDLGISKKMVVPVITSSPQLLLRKPDQFMQNVLFLREMGVDKKTTGKILCRSPEIFASDVDNTLKKKIDFLINFGVSKHHLPRIIRKYPELLLLDINRTLLPRMNYLLEVGLSKKDLCSMIFRFSPLLGYSIELVMKPKLEFLLSTMKKPIKAVVEYPRYFSYSLEGKIKPRFWVLQNRNIDCTLTEMFAKNDELFAEEYLGLGGLLEKPLQSSIGGLRGNYKDVVSN >Sspon.07G0001860-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:3750998:3751334:1 gene:Sspon.07G0001860-2D transcript:Sspon.07G0001860-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding WLPTPSRTPSLGTSTTAMSASTSTASALSIPARLGSMTTAMEGSQTYSSGEVVQSKLGWSTTETRHGSMKHTGQPMKTGRPLPITAALPRPAPE >Sspon.06G0011730-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:51263125:51267611:1 gene:Sspon.06G0011730-2B transcript:Sspon.06G0011730-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSGGHALPDANRSPNLVFGSMKKDWDNIRHRKLQLVNFLAGLETASGNSALAGKIVEPTEQKEKGDIIVLDSDDEDGNGSEYNKLASGVNKDLRTSEVASNITERMAFNKSQAFETMHAYGDKNTQIVPYGQGSALVNQFPLQTSWQPSIQFERVAASIAEKRAETQMFLSLPTEKKRRRTDLSLLMLDSFVPKQRRKGDTGLAAADLPLDLQQTATSPEPEPEPEPDMAIEEEEKHKNESDGLEDYWKDFALAVESSKLDEVDEAANENEDDGKMEDIDCNHDIRIHEDLGHVCRVCGMIVRRADSIIDYQWKKASRRKTNCYGGHSKDADEIDCSTVKLSEDFIIADVAIHPRHAQAMKPHQLEGFNFLVKNLIGDKPGGCILAHAPGSGKTFMLISFIQSFMARYPSARPLVVLPKGILGTWKKEIQRWQVQDIPLYDFYSVKAEKRVEQLQILKSWEDKMSILFLGYKQGSKGFADAAFTEAVEGTLLNDENFKRKAHVIRGLRELTKDVLHYYKGDILDELPGLVDFSVFLKLTPKQKDIIRNKLESHDRFKRSAIGTALYIHPCLSQLSEVNAENRANTLRDDLVDSLLDSINVKDGVKANFFMNILSLANSAGEKVLAFSQYILPMKFFERLLVKMKGWHVGKEIFMISGDTSQEDREVAVDHFNNSADAKVLFGSIKACGEGISLVGASRVVILDVHLNPSVTRQAIGRAFRPGQQKKVFVYRLVAADSDEEKVHETAFKKEVIPKLWFEWSEHCTTEDFKLGQVDIDDSGDELLDTKAIRQDIKALYR >Sspon.02G0030270-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2C:110330295:110330984:-1 gene:Sspon.02G0030270-2C transcript:Sspon.02G0030270-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGVRSSTIAALLFLTLVLAAASGDDAAAAVEEKQCHPRADEPYMLVAFHNKDGEEIRLLADQRSELASGVSAFANRSGHWFYAGIRAEGKSRRRLLPLPQPNNNRSRGGSRTAEGFWNTLGVLAAASGDPGAADDDEEQAGYMLVGMQTGGGDKIRLVVVAGQRYFLITGYAKGFSSRPRWSFRDGERHRLLPLGSNGSSSHGSSSSHAEVVASNVLRALMNAGSSCA >Sspon.03G0005490-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:15768439:15772026:-1 gene:Sspon.03G0005490-1A transcript:Sspon.03G0005490-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRAAPTTAALCRRALSATPSSSRTAAATAAASSSAVNSILLRSLKEHYLEVCKMTPPPKVSPPEPFTIVKGALDQPSGPVLRREYGDDGEEISISVARLANILPAGADSDSDGTGEGGGMSASISQLFLHVDISKPGTGKSMQFLCGLYPDALGIHSVCLRSKNAESFDGDMASKGGGEYRGRIFQELDEKVRDALHLYMEARGINERLFLVKQFNSPRIK >Sspon.02G0013060-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:28555447:28556391:-1 gene:Sspon.02G0013060-2D transcript:Sspon.02G0013060-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AMEPDADEVVFDAPGYFCLYKSGKVVRVSQPLVAAGVDDTSGVSSKDIVLGADTGLSVRLFLPKRQAPSGKKLPVLVYFHGGGFLIGSAKFAMYHNYLTSLASAAGVLAVSVDYRLAPEHQLPAAYDDCWAALQWAASAQDDWIAEHGDTDRVFVAGDSAGGNIVHNVLMKASSSADNGGAPRIEGAVFLHAFFGGSTAIDGEPERAVEIAEKVWTFACRDAADGADDPWINPTAPGAPALERLGCERVLVCAAEKDWLLARDRAYYEALAGSAWSGSAEWLESSGEEHVFFVMKPECDNAKQLMDRVVAFIAGA >Sspon.07G0020770-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:68294034:68297032:1 gene:Sspon.07G0020770-3C transcript:Sspon.07G0020770-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ALQCLVDWKGRPVNPQRQGGVKATMFIYCMVVMTNIGNIPMLLNVVSYLHGKMHMGIADASTTATNFFGAICVFTFFGAFISDSYVKRFYTILIFAPIEIVVYMLLACQAHFPSLHPPPCDIVNHPSECTAVSGRNLSLLTLGLYVIPIGEGAVRVCAAALGGDQFDGDDPRELRGKASFFNWYAFCISLGGFVGLVFVVWVQNNEGWDLGFVLSALVALLGTLVLVAGLPFYRHQKSTGSPLTRILQVFVAAFRKRNLSVPEDLVGMHETTSIEALERTSGFKYLDKAAVDDGDARRWSQCTVTQVEEAKIILRMLPVFVSSVLGYLPIPLLLTFTVQQGGTMDTRLGGTHVPPASLFVIPIVFQMLILVVYDRAVVPWLRRATGYAGGITHLQRIGVGFASNVVALAVAAAVEARRRRHGGAAAAEMSVFWLTPQFFLLGVMDVTSFVGLLEFFYSEASAGMKSIGGAVFFCILGIASWLGSFLIRVVNRVTARHGRGTGWLDGASLSAGRLDLFYWLLALFGLVALLLYLLCAWRYTYRHHPSRMQSAMEDHRVSPASKKLDAAFLT >Sspon.07G0016540-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:80542272:80542908:1 gene:Sspon.07G0016540-3C transcript:Sspon.07G0016540-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVGREEELLAQLRALLFLPSPAPAPATPAAPAVKVESTAGPLAAIISGSGGGRGRGPAAASSAMATADSSSCGGGGRRRRRQGNKRNRDDDSKAEDEQHDEDEPAAAQPHYSLPPCKRRKKKQQSSKSLVTSVPDFDGYQWRKYGQKQIEGAMYPR >Sspon.06G0005060-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:15039456:15044603:1 gene:Sspon.06G0005060-2B transcript:Sspon.06G0005060-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMQLSDDGGVLQDWKDNQMTPCGWANIDCQDNKVIAITLSSADLGGILSPSIAKITTLQQLLLDGNGISGRIPEELGNLSSLTTLNLGRNLFNGSIPDSLGRLLKLQNLNLSDNRLSGEIPEQLLQVAQYKYAKSAMQQTVEYVNYFKFIWLSNHLNCSRQSTPCEKRTAKTGKQRVRDDRSNVIVHRDVELVWGTEGNNPDFTFFNYSQVLDATNGFSVENKLGQGGFGPVYKGRLPDGLEIAVKRLASHSMQGFTEFRNEVQLIAKLQHRNLVRLLGYCSHGEEKMLVYEYLKNKSLDFFIFDEKRRTLLNWDKRLMIIEGIAQGLLYLHKHSRLRVIHRDVKARNILLDYEMNPKISDFGMAKMFSSNDNEGNTERVIGTYGYMAPEYASEGLFSAKSDVFSFGVLILEIITGERNSGFYYHGNFLNLLGYAWQLWKDQRWPELVDISLAMDGCALEMMRCINIALLCVQENAIDRPTTSDVVAMLGSENMALPEPKHPGYFHARVAKEEASTIAETYSINDATMSSAHVAPEKMALEKRLQVNID >Sspon.08G0005580-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8B:13809537:13810163:-1 gene:Sspon.08G0005580-2B transcript:Sspon.08G0005580-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGLSSSHRSSASLHQPPATSSPAAPPARVIAADGSLREFAPPAVSVSDALGAANADGRFFFVCSADALYFGADVPALGADELLRPGQIYFVLPAAMLGSPLSTADMAALAVRASQALAAGAGSPRSGHGRRPRGCCGGFTKARVVPAQAHHHAHGDEEVNEKLNQRTLGGFETTASRRPASNARKSAMAARPAPPVKRALSTIEEDA >Sspon.04G0020770-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4A:72910914:72911543:-1 gene:Sspon.04G0020770-1A transcript:Sspon.04G0020770-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMATQGLADQDHHHQLSEPSTNSSSTSHHGAAAASSIATNRWGPYSGAGDFASNMAVILAALLAALALGLALSAAVRYLLRRARLRRAGSGDRQGNSSGSGVLVEDPEKPPVELEAPPPPPPALVYSAAGTKLAGAAAECAICLAEFADGDAVRVMPACRHGFHARCIERWLAGGRRSSCPTCRAPAAVVAAAQPADESATPTPSSSS >Sspon.06G0002700-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:6497046:6505691:1 gene:Sspon.06G0002700-3D transcript:Sspon.06G0002700-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQCTTTSRQPSNAAAMGRAAVMAVALLVALVVAAATLPGTASASPAEGIQPLSKIAVHKATVEMQPSAYVEATPSLLGEQVINTRCPWRAAATMTAALVVALVAAVAMLAGTASASPEEGIQPLSKIAVHKATVEMQPSAFVEATPSLLGEQAHCACVAAYINGCTQYQFANYSANYIYWGKGSIRLQLINQRSDFSFALFTGGLDNPKLIAVSEPISFKNPKAPVFPRLAQGKSHDEMTVTWTSGYDISEAYPFVEWGALVAGAAQPQQTARAPAGTLTFNQGSMCGEPARTVGWRDPGFIHTAFLRDLWPNKEYYYRIGHELPDGSVVWGKPYSFRAPPSPGQKSLQRIIVFGDMGKAERDGSNEYAAYQPGSLNTTDALISDLDNYDIVFHIGDMPYANGYISQWDQFTAQVAPITARKPYMVASGNHERDWPDTAAFWDVEDSGGECGVPAETYYYYPAENRANFWYKVDYGMFRFCVADSEHDWRVGTPQYEFIEHCLSTVDRKHQPWLIFATHRVLGYSSNAWYAGEGSFEEPEGRENLQKLWQKYRVDIAYFGHVHNYERTCPMYQSQCMTSEKSHYSGTMNGTIFVVAGGGGCHLSDYTTAIPKWSIYRDHDFGFTKLTAFNHSSLLFEYKKSSDGKVYDSFTIHRDYRDVLRC >Sspon.08G0007000-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:21774151:21776800:1 gene:Sspon.08G0007000-1A transcript:Sspon.08G0007000-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSSSSSPGDGGGFQFQVQVAVAVRGDGRASRRAARWAAASLVPAGGRVALVHVIPPVSFVPSPSGERVPVDKMEREVVEMYAQDCRARAQEVFLPFRRLVGRGGRTVETVVLEGDSVAEALVKYAAESGVRSLVLGSATLSWFRRILRLQDVPFTVLKTVPSFCNIFVVSRRRLTIKIANQARNSKSNASIRIQSISHK >Sspon.01G0023680-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:90162713:90168115:1 gene:Sspon.01G0023680-2B transcript:Sspon.01G0023680-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMGIMGKAALSKSWQESKLLWHIAFPAILTAVFQFSIGFVTVGFVGHIGSVELAAVTIVENVIEGFAYGVLLGMGSALETLCGQAVGAGQVSMLGVYIQRSWLICGATALVLTPTYLFTTAILRALRQPSDIADVAGTYCRWVVPQLFAYAANFPLQKFFQSQSRVWVVTAISGCGLAVHVVLNYVFVARLGHGLLAAAVVGNVTWWLIIVAQVGYLVSGCFPEAWQGFSKLAFHNLAAFVKLSLASAVMLCLELWYYTAVLILVGFLKNAKLQIDVMSICINYQLWTLMVAMGFNAAVSVRVSNELGANRPKAAKFSVVVAVLTSGSIGAVFFVVFLAWRTGLPRFFSNDDDVLREASRLGYLLAGSIFLNSVQPVLSGVAIGAGWQALVAFVNIGSYYFVGIPLAALFGFKLSMDAMGIWLGMTLGTLLQTAILVFISYRTKWEKQAMRAEERVREWGGRSDALPSSATQVAPAVQ >Sspon.07G0003150-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:7716483:7717124:-1 gene:Sspon.07G0003150-1A transcript:Sspon.07G0003150-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein At4g29660 [Source:Projected from Arabidopsis thaliana (AT4G29660) UniProtKB/Swiss-Prot;Acc:Q94K18] MVEPYRPPRSFAPLVGTYVAAFYTGVVGAAVTEQLYKEKYWEEHPGEAVPIMPPKFYWGPWRVMNGEVPRFIQPPDEAKTA >Sspon.01G0017970-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:56348964:56354833:1 gene:Sspon.01G0017970-3C transcript:Sspon.01G0017970-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGLAAPSPSHSGATSGGGASHRKRKLPPSSLSDATADEDDDTTAPSSPSTAPSSPSRPSSPSSSHSDDDDDDSLHTFNAARLDGAPGGGSASGRPPKPDSSSVSAAAAAAAAAAGGGPKPEPGSAAAGDGKEDPKGLFTDNLQTSGAYSAREEGLKREEDSGRLKFLCYSNDGVDEHMIWLVGLKNIFARQLPNMPKEYIVRLVMDRTHKSMMVIRNNIVVGGITYRPYASQRFGEIAFCAITADEQVKGYGTRLMNHLKQHARDADGLTHFLTYADNNAVGYFVKQGFTKEITLDKERWQGYIKDYDGGILMECKIDPKLPYVDLATMIRRQRQAIDEKIRELSNCHIVYSGIDFQKNLSEHPDAWPFKEPVDSRDVPDYYDIIKDPIDLKTMLRRVDSEQYYVTLEMFVADMKRMFNNAKTYNSPDTIYYKCATRLENFFSTKIALLVAQASTKS >Sspon.03G0037150-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3D:57992062:57992937:1 gene:Sspon.03G0037150-2D transcript:Sspon.03G0037150-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTQIGVFGERLRDCSDIIFFPYHIVNVFIFFLVTLAGLLLPGDHTFAGLGTANLFLQRPWSTTSSNALIRTP >Sspon.05G0030220-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:83209476:83212309:1 gene:Sspon.05G0030220-2C transcript:Sspon.05G0030220-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHLVRERLYFGDIKDAIAALTESSPTPDFTHVLSVVSSASISFITDCRPGLAIPTEEVRRVVAGEEGAPPAAMVPPGTLMRKSLEDALEALKEISESACPNDGFLDQLKLFEEMGFKVDTSSQSYKFGEKIGSYMFEDDPGLAPQSGSCQDSSKVEQRKTAYRCRKCRRVIAVEDNVVSHVPGEGESCFDWNRRKSGHPYNNKEQDCSSLFVEPLKWMTPVEDGALEGKLSCIHCGARLGYFNWAGIQCNCGSWVTPAFQIVKSKVDISTI >Sspon.03G0034260-1P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3C:67394268:67394765:-1 gene:Sspon.03G0034260-1P transcript:Sspon.03G0034260-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSTSASPDMIAACHLSYYCAYLVAVAPELLPDSAAWTRRRYKEVSDDVRAALGADGGGGGTESTAERYERLLAELSKDSRDTVLQRGAELGRHLVEEYNEDEASACRILADFWSEMVLFLALSENVKGHVQAMARGGEFITLVWALLLHAGVTTRPNTPGGAIP >Sspon.05G0014190-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5A:51394085:51394770:-1 gene:Sspon.05G0014190-1A transcript:Sspon.05G0014190-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSASEAAVVPTCGPRLSVPHRSSLTTSTMPIRQSTSPVTSPRHRTAVYGINVPSTAAMAQPPSVDTKLVCLLDEYMHHHQPAVLEAARPRASRCHALPRPLALCDHALPAACYDAVNEEPR >Sspon.07G0019610-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:76651723:76655998:1 gene:Sspon.07G0019610-2B transcript:Sspon.07G0019610-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGPARGRGGWNRRGGRGFGRPGPTGEAAGWTSSTGTTRSTPDLDLDFAGGERGTRGGRRGAGGQMQGGGLSRLVWRPKGSQASPTPASSAEGDAASCSATLDNDDLLGQILLRLPPLPSSLPRAAAVCKRWRRLVADPGFLRRFRAHNGKPPLLGFFFYNRGKIGFTPVLDPPDPIPAADRLTLRLPRGSNIQGCRHGRVLIAYGNSFLVWDPVSGDQCHLPYPSASGGTKYAINATIICAASATDQGHVHGSCHSSPYRVVFLGSHGEQMINYVYSSETGTWGDAISMTCLNPFDPDDFISCYNTLVGNSIYWLLNERTSSILEFDLDKQSLATIEVPPEVIDINPVVREESEFLIMPAEGCGLGLLMIAGFSARIWKRKYSCDGNAGWVLINTIKLDNHLRLKLWAYTFPPVILGFAEEHNVVFLLTGGRAIFMVHLDSWQFKKLPDKKMYRLCYPFTSFCAAGLGPLSPVTSVPQVMSAMDSWSPLEAINGEPNSLVEVGVADRWDPMVEVIQKNYRDEARSLLKLSVMMHMLTAGLLASCLMFRLL >Sspon.05G0002890-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:10950097:10975510:1 gene:Sspon.05G0002890-3D transcript:Sspon.05G0002890-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFWHVPGLSAASPVDTILDKENFKLEDLLDEDEIIQECKALNTRLINFLRDRVQVEQLLRYIVEEAPEDAEKKRIFRFPFIACEIFTCEVDVIMKTLVEDEDLMNLLFSFLKPDHPHGTLSAGYFAKVVICLMMRKTLPLVSYVQGHPEIVSQLVDLIGITSIMEVLIRLIGADEAMYSSYADSMQWLDDIQVLEMIVDKFSTSDSPEVHANAAEILCAVTRYALQHLLQRFPVQGDLLKLLDVSSAENVLPTTYGSLQPPLGKHRLKIVEFISVLLSIGSEAAETRLIQLGAIKHAIDLFFEYPFNNFLHHHVENIIGSCLESKQDQLIGHVLDECKLVTRILEAEKNSALSSDLTKHTLSSEGRSPPRIGIVGHMTRIANKLLQLASTNVMVQSHLQMFWHVPGLSAASPVDTILDKENFKLEDLLDEDEIIQECKALNTRLINFLRDRVQVEQLLRYIVEEAPEDAEKKRIFRFPFIACEIFTCEVDVIMKTLVEDEDLMNLLFSFLKPDHPHGTLSAGYFAKVVICLMMRKTLPLVSYVQGHPEIVSQLVDLIGITSIMEVLIRLIGADEAMYSSYADSMQWLDDIQVLEMIVDKFSTSDSPEVHANAAEILCAVTRYAPPALAAKISSPSFVGRLFQHAFEDSRPKSVLVHSLSVCISLLDPKRLVSASYQAFRNQLSHGTLVTASPETVNGMLDSLGDLLKLLDVSSAENVLPTTYGSLQPPLGKHRLKIVEFISVLLSIGSEAAETRLIQLGAIKHAIDLFFEYPFNNFLHHHVENIIGSCLESKQDQLIGHVLDECKLVTRILEAEKNSALSSDLTKHTLSSEGRSPPRIGIVGHMTRIANKLLQLASTNVMVQSHLQQNSDWIEWHASTLTKRNALENVYQWACGRPTSLQDRGRDSDDEDFRDRDYDVAALASNLSQAFKYGIYSNEDIDEAQASLERDDEDVYFDDESAEVVISSLRLGDEQESGSLFTNSNWFAFEEDKALNDGVVSSEASPSPNSEISTLKEDDENDEVILGEVIDDTKGSEPPLPVSNKDTNKESGHTGLANGTIDKLEDDIRPPTPDVKESQPEFVEWKEEEAEPGDVAEKDTAVLDMEVESEKQLDSMDDVMLCDAKLGEEKKSDGMVGSSVPEAEAASPVSSDIDSIKHPEPVADSAVSEYPMGEQNPEK >Sspon.01G0003220-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:7268507:7271667:1 gene:Sspon.01G0003220-2B transcript:Sspon.01G0003220-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MERLISTPTSSPSHTRIRGPLFLPSRRLPAAAAWPRLRGQPTRPGAIALASPLRHEALSAAPEEARSDPPPPAAVGSPWKLLGSLLPKASTAALFLLMTLVTSSLHSSLPAYASMQPVAKTGGRLLTTEILSSGWAGFLAGCLHTLSGPDHLVALAPLSIGRSRLESGLVGALWGCGHDAGQVIFGLLFLLLKDRLHIEVFRAWGTRVVGLTLLIIGAMGVREASEAQESSLVLEGIDASMNNNDPLQSPSTPRKKKVGFATFATGIVHGLQPDALLMVLPALALPSRFAGAAFLVMFLVGTVFSMGSYTAFIGSCSEALKEKVPRITEKLTWAASLVAVGMGLALLVGQFFGFSLY >Sspon.03G0026850-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:4376524:4478410:1 gene:Sspon.03G0026850-3D transcript:Sspon.03G0026850-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSSDGIAGNPKQNVLDCEHVLGSRTLEVKIATPKEEMKSQGTKKATRIFVARIPQSVDESMFRRHFEAFGEILDLYMPKVSKTKTDFVWNIASGILQIAIVLFHKVHNAAMLVDLFDVMILDHRGQHQQEDSRVESAWREARLLGLILHCLIESRYLISYQEIRIRREEHGSKGHRGIGFITFQSAESVDSIMQESHELDGTTVVVDRATPKDEDVRYPPSRPSQGGYGAYNAYISAATRYAALGAPTLYDHPGSAYGRGYYGSSQAVGKKIFVGRLPQEANTDDLRHYFGRFGRIVDAYIPKDPKRSGHRGFGFVTFADEGVAERVARRSHEILGHEVAIDPAAPLENDSAAGGYIDPMDLYGAYGSMRSFGRFCGGLDYNLSFSVFTSAISVLSNFAFSFSMISVLAGVTTTYNTGLRYGGPASMTLGWLVVALFNGCVALSMAEICSAYPTTGGLYYWSAKLAGKDWAPLASWVTGWFNIVGQSEETKNAEWSGPMGIVVSVALSSVFGWIYLVALTSMVTDIPSLLDPTNDAGGNAIAQALYTTFRQRFGGGVGGVLCLAAMAVAIFLCGTASVTSNSRMGYAFSRDGAMPLSRLWYRVNKQEVPFNVVWLSVSVAFVMALTSLGSQVAFQAMVSITTLGMYIAYALPIFFRVTTARNSFVPGPFHLGRRCSLVVGWVAVLWVALVTVLFCLPVAYPVAGVNFNYTPVAVGGVLLLSLVAVGCSAHARAPADRSKARSAGMGTVGGELPLADPAADADRARLQQLGYRQELKRGLSVVSNFAFSFAIISVLTGVTTTYNTGLRYGGPASMTLGWLVVALFNGCVALSMAEICSAYPTSGGLYYWSAKLAGKEWAPLASWVTGWFNIVGQWACTTSVDFSLAQLIQVIILLGTGGANGGGYLANKYVVLAIYTAILVVHGLINSLPIQWLSWFGQLGAFWNVAGVFVLVILVPSVAKERASAEFIFTHMNTDNGMGIHSKAYILAVGLLMSQYSSIGYDTSAHMTEETKKADWNGPMGIVYSVALSSLFGWIYLLALTSVVTDIPYLLDTGNDAGGYAIAQALYATFHRRYGTGAGGIACLVIIAVAVFLCGTACVTSNSRMGYAFSRDGAMPFSHVWYRVNKQEVPFNVVWLSVSVAFVMALTSLGSQVAFQAMVSVATLGLYIAYALPIFFRVTTARRSFVPGPFHLGRFGVVVGSVGVLWVALVTVLFCLPVAYPVTKETLNYTPVAVGGVLVLSLAAWVLHARFWFRGPITNDRWYGFVTKHRTAANQRWLDAMYGKREMWAAAFVHGKFFLGMANDQRTECLSAVQQPIRLPPCVGPYRPHRHIGSPEADSVVSNFAFSFAIISVLTGVTTTYNTGLRYGGPASMTLGWLVVATFNGCVALSMAEICSAYPTSGGLYYWSAKLAGRDWASLASWVTGWFNIVGLVCMHVADRVWASSGSISHQSSDISQLYKQLGQLTVGRYDEHRLLAGAACPGDHPAGHRGANGGGYMASKYVLLAIYGVILILHGLINCLPIDWLSWFGHLGVFWNTAGVFVLVILLPAVAKERASVEFIFTHFNTDNGMGIHDKAYILAVGLLTSQYSLLGYDTSAHMCEETKGADRSGPIGIVTSVALASIFGWIYLVALTSLMTDIPYLLSASNDAGGYAVAQALYTAFHGRYGSGAGAVACLAVIAVAVFLCGIACVTTNSRMGYAFSRDGAMPFSRVWYRLNSHEVPINVVWLSVTVAFIMSLTSLGSQVAFQAMVSVATTGLYIAYALPIFFRVTTARKSFVPGPFHLGRYGLAVGWVAVVWVALVTVLFCLPVAYPVVEDNLNYTPVAVGGVLVLSVGAWLLHARFCTKSDLVSGSPDGHGYFNAQRGSYAAASLERSGSFREGGDGYAMFSASSSSRSAAVDSINLVQSLAVDLRPATVDQKTSRLDLKKSISSIIGTSTEDSTSIPSLGRNLPSAIEEIRRMRSNLNDNSNKARERSRAFGGAIARIDKLYPSIVRKRSRGDSSSNERSSVLSSGGVGGVTPKNVPQSHLNSDDMESGLQREERTKNAGQNRRIRTSMVEMDARTAGLSRGPGPTDRISDPGKATNGSSAVPEEKIRGLATTIDGWEKPKMKKKRSAGVLRTVDVERESKQGMQHKFGNDGRARLTSSPSFRSGTVASGTIKADLLSTQNGLVVRPLNRSDQDSGFHPINKRERQVALDKEMPNPSPRTINKPNEDDSGCNIASLPKANGSARGPRSNSGSILKSSPNIHRSQACSDDWEHPSGTNKLISAGGSGNHKRTKSTHSLSPPTQWGGQRPQKISRSARKSNLVPIITTDSALVPGSLDSPVNEDCAGLPRRASVNGLQQTKRDHGLSTGSEGDEPVVAEKKLRDKGKRAGELDDGHGSGFQKIAMLGHPSKRNKLSADEDIGDAARRQGRVGRGFTPTRPGTPVSIDKLENAPTTKQRSVRTVSERTESKSGRPLMKKISERKGNARPRHTSSSVQSDSPVQSEDDHEELLASANAALRSACASAFWRQVEPFFAFLTAEDITYLSQQIHLPDDSTSSRSMEGDECQKYKGGLEYISQPSTPAASNKDDNAALPNGFGLNQLDNGIGVGWEASCIEPILDQLVHGIGVPGWSSVGQRLIQALIDEDRIDSITNNTYVSEGYAFDTHEIHFDEGGWKSHSNNYKLEPLMNFEASRRGPNGLIMDSDWKYNDEVSHKSDNVMDKAKVWPEFQYSEMCFSDRIIIELSEVGVSIEPVPDLAQSEDEDINAEICKLEGQLHKEVVEKKNLLLKLDGIVTTAKESQQREFSRLAMDRLLLRAYEKYMAFCGPNASSSKNVSRAGRHAALSFVKRVLVRCQNYEETGTSCFDEPTFKDMFLSATSHRSSPDAASQDNHTTVKPVHRASASDASRGSSHLMDLSFAKEDPWTNNVKQRELLLDEVVGSITGGTLKTSGLGTSLVSNTKGKRSERDREGKGHSRDSLRSGRPSSSNAKGERKNKTKPKQKTANISAPSSSNPRDLQLPAKITPPSNGKESTAAPAAARHDDPANASNDTEMPDLSNLELPGMDVDFGGCVLSNFALSFSIISVLMGVTITYNTGLRYGGPVSMTLGWLFVSVLNGCVALSMAEICSAYPTSGGLYYWSAKLAGKDWAPFASWLTGWFNIVGQWAGTTSVDFSLAQLVQVIVLLATGGLNGGGYMASKYVVLAIYGAILVIHGLMNSLPIQYLAWFGHLGAFWNTAGTFALVIIIPAVATERASTEFIFTHLNTDNGMGIHDKAYILALGLLMSQYSLIGYDASAHMTEETKNADWSGPMGIVTSVALSSVLGWIYLVALASLMTDIPYLLDPGNDAGGYAVAQALYDAFHRRFGSGVGGIVCLGIVAVTTFLCGSACVTSNSRYYVQCSAVHAKTIMSLGSQVAFQAMLSVATVGPYIAYGLPIVFRVTTARRSFVPGPFNLGKYGLLVGWVAVAWVAAVAVLFSLPVAYPVAEDTFNYAPVVVGGVLLLSVGSWVLHARAVSNFAFSFSLISVLTGVTTTYNTGLRYGGPASMTLGWLIVALFNGCVALSMAEICSAYPTSGGLYYWSAKLSGEDWASLASWVTGWFNIVAQWTGTASVDFSLAQLIQVMVLLGTGGANGGGYMASKYVLLAIYAAILVVHGLINSLPIQWVSWLGKLGVFWNTSGVFVLVILIPAVAKERAGTEFIFTHFNTDNGVGIRDRAYIFAMGLLMSQYSLLGYDTSAHMTEETKNAGWSGPMGIVASVALASVFGWVYLVALTSAVTDDIPYLLDAGNDAGGYAVAQALYDAFRRRFGSGAGGIVCLGIVAVAVFICGSTNVTSDSRMAYAFSRDGDVWHRVNRHEVLFNAVWFSVAVAFVIALPSLGSQVAFQAMVSVATTGLYIAYALPILFRVTTARESFVPGPFHLGRRCSLVVGWVALLTVLFSLPVAYPVAEVNFNYTPVAVGGVLLLSVGAWALHARNLYKFPADMQLDYESDPPLDDTKALEKESSLNVAVSQLASDFDRDSNLCLERFSRTRNASVISTGSLKLDLALGVGGLPKGRMVEIYGKEASGKTTLALHVVKEAQKNGGYCAYIDAENAFNPSLAEAIGVDSERLLIAQPDSAENSLSIVNTLVGGSVAVVVVDSVAALIPKCEIEGEIYTNSEDIQSRLMTQALRKIQYTLCRSETLIIFVNQIRRKRTSNDFSGIYKEVPCGGNALGFYAAVRMRTSRRELRYSEDEATGIGISVQIIKNKLAPASLKEAGIDIRFGKGICHESEILEMASSVGVILKDGCGYWINNEFVAGKVEAEKFLLENAAVADEICNTVRNEFRQRRSRFTDEPMRAGVRAIGVCYGVIGSGLPSKSDVVQLYKSNGISSMRFYFADQDLLTALRGSGIALALDVGNDKVGNLASDPAAAASWVQDNVQAYYPDVDIRYVVVGNEVPGAASVLQAMQNVHAALASAGLASSVRVSTAVKMDAIENSSPPSSGVFKDPSAMSPIVQFLAGNGAPLLANVYPYFAYEYSDGIDLDYALFQPSSTTVTDPANGLVYTNLFDAMVDAVRAALDKAGGGGVDVVVSESGWPSADGKGATVDNARTYNQNLINHAGKGTPRKPGPMEVYVFAMFNEDQKDGDPTEKKQRPPFQELAADPDVDIRYVVVGNEVPGAASVLQAMQNVHAALASAGLAGSVRVSTAVKMDAIENSSPPSSGVFKDPAAMSPIVQFLAGNGAPLLANVYPYFAYEYSDGIDLDYALFQPSSTTVTDPANGLVYSNLFDAMVDAVRAALEKAGGGGGVNVVVSESGWPSADRKGATVDNARTYNRNLINHAGKGTPRKPGAMEVYVFAMFNEDQKDGDPTEKNLWHCLLSSSFEQLTSNSCRESGRPSNDWMPGQSMISKFCSELGRIPLGSDWMPGQFLTSKFCSELGRLPLGSDWMPGQPMIFKLCSELGRLPLGSNRRAGQLSILNSVSELGKPSL >Sspon.08G0009650-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:37198853:37208896:1 gene:Sspon.08G0009650-3D transcript:Sspon.08G0009650-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTAATPEAGNNHSEDSTTHGGTTGEPAMVTDNTRQESIDSSSTRNLLAPSHESRVTVDFELLWRLRKYLLLLGILAVSVTYNAGLTPPGGFWSNNTKDGHSGHDAGDPVLRALFFPRYEVFFYCNATAFAASLVLIILLLSKNVTRQKLWLRSMQLTMILDLFSLMGAYAAGSYRAVKSSIYIWILVFSVFIYIMIHILVFMRVVPKFVSEKRFVPKWLKDMAVSVQDWILSRCDVPRSERNNSHEKDLEEARKFVLMLVTFAATVTYQAGLSPPGGFWAENDDKIPATSMLRSKNLARYNTFVICNSTSFVASLVTVILLLSPELSGHGIRSKAVIVCVVVDILGLVGAYAAGSCRSVATSVCAILIAVVVWICFAVLAGIFVNRSVAGWFGKIKPDIMWYMDKFGRVVSLNFGSKRSRNPEGENSIASNQQTVYCIKDAAEPETARVPEYQLQYHQQVPNIKEGESPGEQQSPGKQQPTNTGVVSSSEHAFVNDKQAENSSNVTCNLEGQSTDPNSVANEAMSETETENMQDANMEEQSSSVDDLKTPITVVGMSNHEHQLVDNHRVQSMIRQSFSTDDQESTAVECLSDIASNNRNGATNSFKEEEKTCEESLEEIEIESFETSNVSIPIENGYIGMHEVAPRQNASNVNAGANPTDEHLKKSRTYLLLLAILAVSLTYQSGLNPPGGFWSQRENNNSTSVPIPKNTHHRPYHLPGDPILEDTHHRRYIAFFYLNAIAFVASLVMIIMLLNKRMSNKVIKRYALQITMIVDLLALTGSYVMGSCRDRKSSIYIWLLVCLVLAYVAVHVLIVIHVIPEGCKKFVAHKIENLSCRYIWTKPSNGNNQRSDANGNDFELGLSQRGDADDKNWEQRRNLLLMLAILAATVTYQAGMNPPGGVWSDDEAVSGKPGDPILQDNNFKRYDVFYYSNSLSFVASVVITILLVNKESCEHGIKSYALRVCLVVGLVSLLIAYSAGSCRKARESIYLIIIAVAVLIALVIQVLLLSSTQDSLGGPTGQFIERLLQLLFGKDEAWHGATSQQKESSDSPEKKVQKRHKYLMLLAVLAASITYQAGLNPPGGFWSDDDEGHVEGNPGLKPPGNLWSDNKGHLAGNPVLLDINPLRYEIFFCFNSISFMASIVVVMFLLNKSARKKTVPLEVLHLIMILDLLALMTAFAAGSCRKFRTSVYVYALVLGIVVYLVIVVLLSSSIAKCLRPTERNKVSSQRSPSHASTTSTLMLEDQVGARSPSRTARRIHGDQWMPELSWDATVYLLTSQERFTTAASGRVEGS >Sspon.01G0032850-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:111986184:111987812:1 gene:Sspon.01G0032850-1T transcript:Sspon.01G0032850-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAALLLSPRLVSLPPHPSLAPGFIKRPTSYPLGRRGAGSRLRAVGDGPGAGLPDQTTVYYGAYGPWTVEDSDVREVLLYRSGLVTAAASSWPRPPRRSCRRATPPPAPARPTSCTPPAPRGWACRCSSSTSTSPRSSASSRRCGPSGCSAPSGPTSSPLARWTRASCSTCWSTPPRSGSSAPRSPRSQASSSRKVSAMENWKLAS >Sspon.05G0000030-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:283839:286283:1 gene:Sspon.05G0000030-1A transcript:Sspon.05G0000030-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVTSTAAASASGGGRWYTGMSADNIKGLVLALSSSLFIGASFIIKKKGLKKAASSGVRAVSDNHVHDTSDLCPKSRRLMSCCISNIPPPPPPTGVCIAIFSLILYSFPEVSVYVLCYQTAVLMFHVMTGVGGYSYLYEPLWWIGMITMIVGEVANFAAYAFAPAILVTPLGALSIIIRHEFSVMELYALPYCNLLFILSLIWLFCSAVLAHVMLREKLHIFGILGCVLCVVGSTTIVLHAPPEREIESVTEVWDLATEPAFMCYAAVVIAIAAILVYRFVPLYGQTHVMVYIGVCSLVGSISVMSVKALGIALKLTFSGTNQLIYPQTWAFTLVVISCIITQMNYLNKALDTFNTAVVSPIYYTMFTSLTILASVIMFKVC >Sspon.04G0001100-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:4348530:4362774:-1 gene:Sspon.04G0001100-1A transcript:Sspon.04G0001100-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGTECIMATLSGDPEPSIPPGFGPFVALALQGIQNNVKPGDAHSSSAQAAQCMEKDVEILEHGSAHGRSGTPASTSGTHSCRRSLRNRPPIDYSQFDLISDEESDVESAEKGVGSVRRRRQLPKGVLRGCAECADCQKVVARWNPSGARRPVLEEAPVFYPSEEEFKDTLKYIESIRSMAEPYGICRIVPPPSWKPPCLLKGKNIWECSKFSTRVQKVDKLQNRKSSKKTRRGGMMKKRRKLLELEDNSNINHNQTGMQQNQERFGFEPGPEFTLRTFKKYADDFSEQYFKKEVSGDSLPSVEDIEGEYWRIVERPTEEIEVTQFSPSLLKSEGVPVYRCVQHEGEFVLTFPRAYHAGFNCGFNCAEAVNVAPIDWLPIGQDAVELYRKQARKITVSHDKLLLGAAREAIRAQWDILFLKRNTADNLRWKSMCGLDSTICKSLKEASAGVFASKPLINSSLVKNTYGGLSSCGAHLGHPNFGNQQPSDGCLQRKSESLCGSEARGHSVSVVQPSVETHNRNGGAHKGPRIANVVHRFKSLVEPLEIGIVLFGRLWSSSQAIFPKGFRSRVKYFSIVDPTQMAYYISEILDAGPQGPLFMVTLENCPGELFINVSPTKCWSMVRERLNMEIQRQLSMGRTNLPALQPPGSVDGFEMFGLLSPAIVQAIEARDRDHICTEYWRSRSHVVTKDRDSRPMAPSQAQGPLHALRELFQRANRNELLALRSLLVSNSSLDDFSRRQAAQILDEEMAKQWR >Sspon.07G0027520-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7B:60693601:60694668:-1 gene:Sspon.07G0027520-1B transcript:Sspon.07G0027520-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWWSASTAATVAAVLGASLLYIMALMTTASAQQPGPRYMQVIDLDGHHNSKFACTDTKWPGCMATCPTRCPKRCLVLCPTCKTFCLCEDVYPGVSCGDPRFTGADGNTFYFHGKKDQDFCIVTDAGLHINAHFIGNHNPATSRDFTWIQALGIRFAHHTLYVGATRGAATWDAAADHIGLAFDDDDDVDVASLLPAVVGARWSPPTAPGLSVTRTARVNAVVVELRGVFRIVANVVPITAEDSRIHGYGVGANDCLAHLDLGFKFYDLTDDVHGVLGQTYRTDYVNRLNVTAKMPVMGGADTYRSSGLFGADCAVARFGRNTAAGTGIAMLTDA >Sspon.01G0026820-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:95845542:95850655:1 gene:Sspon.01G0026820-2B transcript:Sspon.01G0026820-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTKFETKSNRVKGLSFHPRRPWILASLHSGVIQMWDYRMGTLLDRFDEHDGPVRGVHFHATQPLFVSGGDDYKIKVWNYKTHRCLFTLHGHLDYIRTVQFHHEYPWIVSASDDQTIRIWNWQSRTCVAVLTGHNHYVMCASFHPKEDLVVSASLDQTVRVWDIGALRKKTVSPADDILRLTQMNTDLFGGVDAVVKYVLEGHDRGVNWASFHPTLPLIVSGADDRQVKLWRMNDTKAWEVDTLRGHMNNVSCVMFHAKQDIIVSNSEDKSIRIWDATKRTGIQTFRREHDRFWILAAHPEMNLLAAGHDSGMIVFKLERERPAFCVSGDTVFYVKDRFLRFFEYSSQKEVQVAPIRRPGSVSLNQSPRTLSYSPTENAVLICSDADGGSYELYIVPKDSAGRSDYLQEAKKGAGGSAVFVARNRFAVLEKSSNQVLVKNLKNEIVKKSPLPIATDAIYYAGTGNLLCKAEDRVTIFDLQQRLVLGELQTPAVKYVVWSSDMESVALLSKHAVVIASKKLVHRCTLHETIRVKSGAWDENGVFIYTTLNHMKYCLPNGDSGIIKTLDVPIYITRVVGNNIFCLDRDGKNKLIAVDASEYIFKLALLRKRYDHVMSMIKTSQLCGQAVISYLQQKGFPEVALHFVKDEKTRFNLALESGNIQIAVASAKEIDDKDHWYKLGIEALRQGNVGIVEYAYQRTKNFERLAFLYLITGYLDKVGFMCKIAGQNNNLMGQFHNALYLGDAKKRVEILENAGQLPLAYVTAVTHGLTEIAERLAAELGENVPSLPEGKSHSLLIPPAPLTACGDWPLLRVMRGIFEGGLDATGRADLEEDDEAAGADWGDEDLDIVDASEVVANGGDGFDVEEGEPNEEDGEEGGWDLEDLELPPETETPKAVGNARSALFVAPTPGIPVSQIWTQRSSLAGEQAAAGNFDTAMRLLSRQLGIKNFVPLKPLFLDLHMGSHTYLRALAAAPVISVAVEKGWNESASPNVRGPPALVFSFSQMEDRLKAAYKATTEGKFPEALRQFLSILHTIPVIVVDSRREVDEVKELIEIVREYVLGLRMELKRKELRDDVTRQQELAAYFTNCKLQRVHMRLVLASAMALCFKQKNYATASHFARMLLENSPQEAQAKKARQVQQACQDKNDSHQLNYDFRNPFVVCGATYVPIYRGQKDVSCPYCGSRFVPSIEGQLCTICELAAVGADASGLLCSPTQSR >Sspon.02G0025080-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:86434803:86438698:-1 gene:Sspon.02G0025080-1A transcript:Sspon.02G0025080-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSENTGAPLEEEQPQAPPTPNPEPSEAAPGEVEEEPQTLERAQELFDRGAKAIDDEDFVEAVDCLSQALEIRTSHYGELAPECASTYFKYGCALLYKAQEESDFLGNVPKSVPNEESVKSTASKDDSGTSKVSGTNVEDAVSSEKADTEEGQNSNGKDQEKGNGEVEKDDDDDDDVDEKMGDEEDNDLDLSWKMLDIARAIVEKSPENTMEKVKIYSALAEVATEREDIDNSLSDYMKALSMLEHLVEPDHRRVVEFLRNFRICLVYELVSKIGDAIPYCAKAISLCKSRIQSLKSSKDALLAGKDGDASAAEAEGGSEKSDAEKELEQLTSILPDLEKKLEDLEQANPSPAMEEMVKTIASRVTDAMPRAASFTSSQMATSSNGFDSSILSTAATTGSTGSTVTDLGVVGRGVKRASIKPISAESAAKKPALDSASAQGDSSINSEVVPATQNGDESVSK >Sspon.04G0005430-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:15273901:15276649:1 gene:Sspon.04G0005430-2C transcript:Sspon.04G0005430-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGALLLFACLLAPIVLLACAAVRGSRKRRAPSSAYGKALPLPPGSMGWPYVGETFQLYSSKNPNVFFARKQNRYGPIFKTHILGCPCVMVSSPEAARFVLVTQAHLFKPTFPASKERMLGPQAIFFQQGDYHAHLRRLVSRAFSPEAIRGSVPAIEAIALRSLSSWDGRLVNTFQEMKLYALNVALLSIFGEEEMRYIEELKQCYLTLEKGYNSMPVNLPGTLFHKAMKARKRLGAIVAHIIEARRERQQQQRGSDLLASFLDDREALTDAQIADNVIGVIFAARDTTASVLTWMVKFLGDHPAVLKAVIEEQQEIARSKGSSDEPLTWADTRRMRMTSRVIQETMRVASILSFTFREAVEDVEYQGYLIPKGWKVMPLFRNIHHSPDHFPCPEKFDPSRFEVAPKPNTFMPFGNGTHSCPGNELAKLEMLVLFHHLATEYRWSTSKSESGVQFGPFALPLNGLPMTFVRKD >Sspon.08G0000810-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:2823612:2826197:-1 gene:Sspon.08G0000810-1A transcript:Sspon.08G0000810-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:U-box domain-containing protein 15 [Source:Projected from Arabidopsis thaliana (AT5G42340) UniProtKB/Swiss-Prot;Acc:Q681N2] GMAALEGPLPWNSAQPAKSLLPPRSLHHLLLTFVCHFVAIPFPGGFSALTDRCCRGPSFRGRRRPGATRSRSQRRTRPSHRGGSCRTRALVEDLLAAVACARSFQEFRRSQRKESFGLQRWLQLVLPLIQEIREIGPSLTDDAYRCLTLLGRAFHARSTPPPLLPRRQQDLPVTQSVPCADQKMIDDLVSKFQALESEAVLGRFRAVYEKMNLALDGMPYSEIGISDEVKEQVELINAQLKRCKKRSDTQDMELSMDFMMILQNKEDGNADRAILERLAKKLELQSLADLRAETMAIKKLINERNGQQPESTKHIIELLNKFKEIAGIDEKNILGDVSIPKYLEKCPSLMIPNEFLCPISLEIMTDPVIIASGRTYERRSIQKWLDAGQRTCPKTQQPLAHLSLAPNFAVKNLILQWCDKNKVEIQMGESESVAEQKDRKEDIPKLVKDLSSVHLDVQRKAAKKIRTLSKENPENRALVIENGGLPALISLVSYPDRKIQENTVTALLNLSIDETSKVLIAKGGALPLIIEVLRNGSVEGQENSAATLFSLSMVDENKAAIGVLGGIAPLVALLRDGTIRGKKDAATALFNLILNHPNKFRAIEAGIVAALLKILGDKKLDMIDEALSIFLLLASHPSCRSEVGTTSFVEILVQITKEGTPKNKECALSVLLELGLHSNSLMVHALGLGLQEHLSNIAKTGTSRAQRKANSLIQLSRKCS >Sspon.07G0018480-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:72547973:72551807:-1 gene:Sspon.07G0018480-2B transcript:Sspon.07G0018480-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ACR12 [Source:Projected from Arabidopsis thaliana (AT5G04740) UniProtKB/TrEMBL;Acc:A0A178UNY1] MTGDYGLARVIQPGSSFDGFAELSVDDFVRLVYGSFACACDKICCQSINSANVLGASSKTSDEAVPVPVVQIDQESDRDATIVQLSFGDRLGALLDTMKALKDLGLDVTKGSVTTDSAVAQTKFHIMRSGRKVEDPDMLERIRLTIINNLLQYHPESSEKLAMGEFFGIKPPEKKASIMHSYSVISDAVLHRAILVVVDIATRIVVEDDGPKRSMLYIETADRPGLLLEIIKIIADTNIDVESAEIDTEGLVAKDKFHVSYRGAKLNSSLSQALINCLRYYLRRPETDEDSY >Sspon.07G0016540-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:58906049:58907950:-1 gene:Sspon.07G0016540-1A transcript:Sspon.07G0016540-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVGREELLAQLRALLFLPSPAPAPATPAAPAVKVESATGPLPATIMASGRGRGRGPAAASSAMATADSSSCGGGGRRRRRQGNKRNRDDDSKAEDEQHDEDEPAAAQPHYSLPPCKRRKKKQQSSKSLVTSVPDFDGYQWRKYGQKQIEGAMYPRSYYRCTRSAEQGCAAKRTVQRNDDDGDAATATAPEYTVVYVAEHTCTANDSLEAPVILETTTVVVPSSAAATSTTTKGPRDDYHHTYTNSIVPTTSAGSCSTTTITTGTESPAISDEITCWSSTSGASNDYSYADDYYCGGFLAAAAYGCGWATEPVDASSSSLQEMEDLTGPIRSPVHVPAP >Sspon.02G0016010-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:43987623:43990079:-1 gene:Sspon.02G0016010-1A transcript:Sspon.02G0016010-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPSSSRYSPGRLLAARPASATTACSSFPFLPFGLFLPWRTVRSYALHVHTSQVGRWARLSCSLSMNGCAPGAGDRGAVCVREARALPAAPAPHDAVGQLRAAVDALFGPDAPPPAAPSGIIRIEVPIRQRVDAIEWLHAQTALLPRCFFSARAPLPDAPALAGTSSCSSGNGNGSGHRQQQPVSVAGVGSAVFFRGTEPFSLADWRAIKRFLPRDCPLIRAYGAIRFDATSDASVEWEDYGSFYFIVPQVEFSELEESSVLATTIAWDDSLSWTWQNAVEELQSTLQKISTCSVQANNKASLQTTIASLNHVPTKESWDLAVTKALQMIKGRQRELVKVVLARCSRYITDTCIDPVELLACLKVEGQNAYQFCIQPPDAPAFVGNSPEQLFHRKHLNISSEALAGTRARGKTRADDFQIGQDLLLSTKEDIEFTIVRDSIKNKLEAS >Sspon.06G0011320-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:61865234:61870090:1 gene:Sspon.06G0011320-1P transcript:Sspon.06G0011320-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRSLVRPPVSCSAAVNGINGCLTYSTCRSRNYYHQYSHTTNLQSSPVDQMPLPRKLRKSIQRNAYFLTQKRKFVPHCSSDLSTSCREVSNYLSIKSLQDQSNTKQGAIRKVLVILNPNSGFRISRDVFYKKVQSTLKLSGFKMEVIETSYAGHAKVLSSTVDLKKFPDGIICVGGDGIVNEVLNGLLSRDDFEVAIRFPIGIIPAGSDNSLVWTVLGIRDPVSAAIALAKGGFTPIDVLAVKWIQAGVTHFGLTASYYGFVADVLQLSENFRVHLGPFRYVVAGLLKFLSLPQYRFEVDYLPSSSGTNSELRPRTEKCHEQLSDDGKVRRGTQINGRIEDNWVTRNGEFLGIFVCNHFCKPAQGLLSPVIAPKAQHDDGSLDLILVHGSGRLRLFCFFVAYQLCWHLLLPYVEYVKIKEVKIRPVGNTHNGCGVDGELLHGVDKAEWQCSLLPAQGRLLGQDPGALK >Sspon.06G0015260-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:84232555:84233261:-1 gene:Sspon.06G0015260-1A transcript:Sspon.06G0015260-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAVPEDEKAAELAGPLRDLLPPVDFCCAYGSTLLHARPDGTSMVDYILGVADPLQWHSENLERNPGHYSRWMSGLGAGAITGLADRVAVGVYFNPFVEWKDKRIKYGVVRMRDLAIDVLTWD >Sspon.05G0004790-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:13829362:13837106:-1 gene:Sspon.05G0004790-2B transcript:Sspon.05G0004790-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGRVELKRIENKINRQVTFSKRRNGLLKKAYELSVLCDAEVALIIFSSRGKLYEFGSAGITKTLERYQHCCYNAQDSNGALSETQSWYQEMSKLRAKFEALQRTQRHLLGEDLGPLSVKELQQLEKQLECALSQARQRKTQLMMEQVEELRRKERHLGEMNRQLKHKLEAEGSSNYRTLQHAAWPAPGGTIVEHDGATYHVHPPAHSVAIDCEPTLQIGYNTCSIVIQETQMQLLCTNRSNKTDSVSGTLITSFCLLRQPIISQGAPPEERTTTSCWDGFFELFSQSIHQMGDVETNKRHSVLAIQFSVEYDH >Sspon.02G0049040-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:32521545:32524128:-1 gene:Sspon.02G0049040-1C transcript:Sspon.02G0049040-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Origin of replication complex subunit 6 [Source:Projected from Arabidopsis thaliana (AT1G26840) UniProtKB/Swiss-Prot;Acc:Q9ZVH3] MDMSAIAARLGLSGSRPVIRKAGELRRLCDVNFDSSVLGIGEVCKAIICLEIAASKFQVIFDRAEAVRMSGMSEKAYIRSFNALQNGLGVNYKERFLAALPPSRRASTDFGRPVFTAAAFYLCAKRHKLKVDKLKLIDLCGTSSTEFTTVSTSMTDLCFDVFGIAKEKKDPKTIKGNRELLDVLPSKRKHEDDSDSSASDESSDDDLDELDLPTHKRRKKMEKEAYNDWKSSVLSSNKKTKTDPAKPRRQARLNFKKPAEVPSAAN >Sspon.05G0019230-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:81826704:81827677:1 gene:Sspon.05G0019230-1P transcript:Sspon.05G0019230-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRALLLDRFGFAPGDVTVLTDDHESGAGVLPTGANVKRTLAEMVGRAAPGDVLFFFFSGHGTLTPPISGHGDSDDEAIVPCDHNLIYDVDFRELVDLVPRGATFTMVSDSCHSGGLIDQEKEQIGPDVPADPAVHAHAVHAGRFLPYAAVLSHLSAASGMDASHHVADHLLALFGTDASAKYRRDHSSGAARTDKADAGILLSGCQMDELSLEVRPDGGRAAYGAFTGALQAVLAAHPAPMSNREVVCGAREVLGKQEFPQHPCLYCSDANADAPFLEQQETKSN >Sspon.03G0025980-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:2753865:2756929:1 gene:Sspon.03G0025980-2C transcript:Sspon.03G0025980-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AMAATSSRRPAALLVLVAAAAVVALAAAGDAERLDGRYPPLAPGLSFDFYKKSCPKAESIVREFLTSAVRQNVGLAAALIRLHFHDCFVQGCDASVLLDSTATQPSEQLSPPNLTLRPAAFKAVNDIRARLEQACGRVVSCADIVALAARESVAQGGGPAYKLPLGRRDGLAAASNETVIGALPSPTSKVPTLLSFLAKINLDVTDLVALSGGHTVGIAHCSSFDNRLFPTQDPTLNKFFAGQLYGTCPTNTTVNTRVNDIRTPNTFDNKYYVDLLNREGLFTSDQDLLTNATTRPLVTKFAVDQNAFFEQFVYSYVKMGQINVLTGSQGQVRANCSVRNAGAGGDELPWSVVETVVDAAGSLVL >Sspon.03G0043010-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3C:74899741:74900813:1 gene:Sspon.03G0043010-1C transcript:Sspon.03G0043010-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSMGSLVLLCLVSPLLLPSVVLGHPWGGLFPQFYDHSCPKAKEIVQSIVAQAVAKETRMAASLVRLHFHDCFVKGCDASVLLDNSSSIVSEKGSNPNRNSLRGFEVVDQIKAALEAACPGTVSCADILALAARDSTAL >Sspon.05G0028230-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:5B:63941132:63941321:1 gene:Sspon.05G0028230-1B transcript:Sspon.05G0028230-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SQAVSSPLRFLRRAQAVGGAEVFGGSSLEVPWRRAQVVGEAKLLRRQSRLVSCAVVGGDWSAG >Sspon.06G0019160-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:105719193:105721442:-1 gene:Sspon.06G0019160-1A transcript:Sspon.06G0019160-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIIDDIWHWEEWEVIRKALPKNNLRCKLIMTTRVRSIAEKCETEQGAGVYTQYFGRADAARLSDMRLSKSVEGTNAKGLSTKIVDMCRWPPFYFCPLAVICLSTAWAESHHRVQGGDGEYDYDTWASHVLYDGFLITPSLKPLVQSLCLGFDDLPVQLRTCLLYCTIYPPECMFYKGCLVRRWIAEGFVSQVEVANSYLEELVSSNLLQHYSGKYTVHNIMRAFLVCKAKQDNFIAYYDGPGNSSHANQQIRRLYVTTGRYPDEDVLSHTRSLVVCGHQCQLGGAPFKAFKKLRVLQIHGSSSHLQNGHLVDICGLICLKYVDLVGCDQITELPREIGRLQNLETLRVAGTHISKLPTEIKNLERLETLDISWTRVGELPKEIRKLQHLRTLDMRGTKVRELPWEVQNSINVLVGDLPSVKMVKLAEAVSPDCVISSSGANCRGGLSIVFLHPFNARCEPLQVPLLKVDGRHMKVPLWVKQDLCNVCSLDISLCKLVEEDLEFLKTQMPNLQALELKFEVLPREPVAITGGGFSKLETFYVDCRLPRVTFKREAMRKLKHLEFKFYTGTAGQDYSMGIKNLDSLEKVIFRCSEYYTSDGPGIREMIDAVRREAAEHPNEITLCVNDMWPEVFGRGARWLCLADRAIIQKEIEEWKKGTEKKKREIEERAKRIRDQRHRLSTAAERRAEREKNNAVIQKEIQQEISRLEQLERLIRRGEISPCEELEQVISPRQELEQVISKFSRGVVG >Sspon.01G0019780-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:73800492:73800722:1 gene:Sspon.01G0019780-1A transcript:Sspon.01G0019780-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GWGRKRHLRPRRLAARTLRCFPRSSSRKSSPGCRPSRWAASAASPTRGSPCSRPPISSISTCGEPIGRTTQTAPHSG >Sspon.04G0022110-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:4090458:4093445:1 gene:Sspon.04G0022110-1B transcript:Sspon.04G0022110-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIALERGRGLGGSGAPRFGRVARCGYAASPPASAGRGGSSSAGRDSDSPAAAAQWEWDGEEVEGGDGEVQSSYKGSPSRPWTRSRRPCPSGVCKFYNGKSGSLAKLQDAVIPSLLKDLPKPETPSPRKRKGLLPFSFKWGKPQNKEVFPEDDTIKSPTNCRRMTISPAATSSSGSNSGSDDEHNHSQKLSSRRPHRRPSNAMGVFASPPAPRPPQQFSALMRSQSMLDLQDVTDSTAMVTPRDKRMKN >Sspon.03G0013870-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3B:52770087:52770728:1 gene:Sspon.03G0013870-2B transcript:Sspon.03G0013870-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRFFRGAALTAAAPGGLSSAFSWNLSSPISLLALPSSSPSAPVGPAAVTGHLALVRAHLALLELNAMLTPASFLVDATQALLAGALRCPPIYPKTLRHGREFFTAQILSAESDGHATAEQAASARANMAFLDAREGRPEGVRDAIVRLAAERPGDTTLCLFTAAFCRVWGHHEEGPSGCTIPPCPTSPASFVLAVHVATIGSAPRAVAGSEEL >Sspon.08G0007610-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:25293804:25300807:-1 gene:Sspon.08G0007610-1A transcript:Sspon.08G0007610-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLSEDWRFVFPVCAVFAAPSANPLPAAATSSRGPLHFSLLLPRTPLLSLPYPIPLLRSSTYGLNRCLRSFVRGTSFLPRSDLDSLDETLLPQTSPPSSPPSNLLAILRLSCSLILFFPYGENAEHVAFVTLDATTVTGSAPLSPVVQRDGFMDPGHRIQQLATTANESSWPSQLGDSAEGFLLAVTMYSLTWFRVESQGSGTFALVPVAKQQLDSVIVHACWSRHLPSECAVLLESGELCWFNLETRQGGNIKIDVGSKDDNGEWLSCDYGAQPWMIIVATSKSILLIDLRFGGRGQYKVLARVGMPGLLETNPFVEADQYLAFCMAGFDHFHFSVVTERYLILLDVRQPLTPVLAWQHELENPSNVAMFRLSELRPSKEHEWASILVLLFWLVLSGQGSSVFSVMDLKSKAVQRTLTRMLGIFLHGHHCSCSNRIMKDVFSMPVSRDEYASQLSKNSIVGYYVLPNDLTVSETSFTGFALIRDDIPCDESQHTARASNLAIFPDPPYENSSLRHSFMKLHFLSEYLEGNLCNALVKHGYSVNKAMTQIIVSEAVSEYAKDNSSSLPQPVSDFLCNVSIPMNIFEISCQRILNGLPSDILHVTFSKYKDMLACSTEKTLCEHLDVPTCLTNTKLRPFLLAKPSSISYNLTSKVVSPNALVGPVLPIPVLLALEERSKDAESSSQGVPIETDSINDRYREVFEAFDPVISIADTENGYGWPGSQELNEEKSYFSYEPQINHRFSMDRSTRKEEKEDQNQGDLHTSSTLYEDKIFTAFVCGKAKVPDSHEQAATLFDFSPVRMDFDTPAMEIQPAEEKGKSRLRIYTQASELEASRGHRGSADVRKESLHTMRILIGKVGSADALAFFLPGIVSRLGKVLYTAKTMISGAGSSLSIEQAILGLTEALMIVLNDKENLSALGNHMNEKHSHCPGGSGSTGLYCRCFASYPPKECLCILACYDAAAVSEAAQDALDYLFNQRHNLVTENEISDTFTRLVERLQQVVLGSEETTALSHARISHGEEEKGHWPCGRGRRCIRGGTLEVEVCRVMHREWLIGKRHVESGGMSGGGALGAACWAPVRRGGPGRVNAEQYGRQGSVLKMRGCKHNINVIYCLQNATISIAKVEEAYKCECQSKGVIEEAIQFLSFDELLDGTDATEDVDENRLLQQ >Sspon.05G0017690-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:75542564:75560696:1 gene:Sspon.05G0017690-2B transcript:Sspon.05G0017690-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:transcription coactivators [Source:Projected from Arabidopsis thaliana (AT1G77320) TAIR;Acc:AT1G77320] MTPSYSSGPAGPAGGRRAATFAGASVFLSRNLVAPEVFDAVHDALRLNGAEVLLCADPSRTGPLDYHVISSSSHERFADLRAKGCNLLGPQCILSCAKERRFLPKQSYTCCLAMDGVKTLCSGFEKSEKVRIEELVTAMGGHLLPKHSMDVNFVIVKDVTANKYKWALNTLKKPIVTMKWLEQCWIEHRVVPHEPYRILPFTGLNICVTKVDPDERKELEKMVVQNGDKYIVAQKWGNIHIVNPRWVEQSVARKACQDENSYLVCQSSSAFGGSKSSLKEQQNPEISSTSASFRPVPSTSVDDSVSVSQYLSASFGDAARISNTDIVAGPSVQETNEMQVDSHVAEDSKPENDDLYLSNCRISLVGFEEKELLRLVMMIRNGGGSRHILLSEKLTHIIIGTPSDDEKKEVRHLAAWGVINIVKVTWLEDCNRAKKEVKVSPMHIATELLLKGFSQVSMENSADTRETKVAKSSGGIFHVPTVNDLHDKQHEKDVSSERKPKRGKHENSISKTRSAARTAKSSQQNGMINVSDYQPQYQVTSTTNSGTSRSNIFKGRTFSFSNSFSHDKRPEVVDWVRDGGGVMVDDNDIQSTVVDFIIECHGQNSLPCDFSHSTVVSTQWIRSCLEENYLQDVGSHPIFSPLRCRIPFPGFESFHFCISQYGEKERQLLKNLCFLLGAKFTEKAYKRVTHLICKFASGPKYEVYTKRGTPTITVEWLYECVKQDKLLPLDHFQPKPLTSQDQDAYACTVSQYSTQATRFDSSELLSGCQVTTNNATHKSVNEETTMPAVSRKRRISVPGKVNDTCGNIGRSEKHLDNNSIVGYEEDLTGRQKIIDRVRSQSINVTPSTSIDYQSYLAAKNKRYKAKKAKHYHFILHVDHVFMTQVKMGKRHQKLEAMVAVQNHQE >Sspon.04G0006620-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:19076608:19078517:-1 gene:Sspon.04G0006620-1A transcript:Sspon.04G0006620-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVALLTGSLGEHGLNTALLYYLKAQFGYSKDQFANLLLIAGAAGMLSQLTVMPILAPILGEEVLLIVGLLGGCTHVFLYGIAWSYWVPYFAAAFVILSAFVYPSIRTNVSKSVGSNEQGIAQGCISGISSFASILGPLVFTPLTEVSSRFVVPAWFLSETEPFNFKGFSILCAGFCILIAFIISLRMRGAQSNTCKKTTVQHEQA >Sspon.02G0057520-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2D:60304390:60305412:1 gene:Sspon.02G0057520-1D transcript:Sspon.02G0057520-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATDLIDSNPPSAYTLKMQMKKVCWCPPPEGVIKVNFDAAFKTETYQSASRVVLRNEQGQFVAAKCKQHESIPDALTAEAYAYRDAALLIKDLGLTKFPCLKQLSLCCVFMLEDALHSLLCGCPVLESLLLEGNVSVGCLRFNSPTLRSISIRVSCYRIVENRIELQDLIIEDAPCLERLLPLCPIGGPLTIRVVRAPKLEILGVLSDGITKLHIGTTVFQVAAAFNSSILYSGFIFASD >Sspon.01G0046600-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:99374887:99387521:-1 gene:Sspon.01G0046600-1B transcript:Sspon.01G0046600-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEPSADDHGPRPPLADGAAAAGGDDASSAAAAAEALTSAASEQLTLVYQGDVYIFDPVPPQKVQAVLLVLGGYEVPPGLVNMAVPTANDEKNTTVAARRVASLMRFREKRKERCFDKRIRYSVRKEVAQKMKRRKGQFAGRSDFGDGASSFAACVSPANGEDDHFRETHCQNCGISSRLTPAMRRGPAGPRSLCNACGLMWANKGDTDDKNSIVLPVEQATVKTDSMMPKQEKKLDIRPPT >Sspon.05G0031510-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:5776638:5781112:1 gene:Sspon.05G0031510-1P transcript:Sspon.05G0031510-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALALATSPLAHLAVAPSPISGSQSSLLLLPRRASPAPVSLSLPLRSRLIAAVATKEEPELGGGGSGGDDGAGGSGGGSDPRGGGKEGEGDGDGEEEEMGQGLSMSQKLTLAYAALVGAGGVMGYMKSGSQKSLAAGGISALVLYFVHTQLPVRPVFASSIGLGISAALLSVMGSRFKKSRKIFPAGVVSLVSLVMVGGYFHGILRSSHA >Sspon.04G0006730-4D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:5D:19184330:19184941:-1 gene:Sspon.04G0006730-4D transcript:Sspon.04G0006730-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding WIAANLRRAGGTYQTCIFAVPGVARRGGLVTVTCDPRNLEHVLKARFDNYPKGPFWHAVFRDLLGDGIFNSDGETWVAQRKTAALEFTTRTLRTAMSRWVSRSIHARLLPILGDADVVDLQDLLLRLTFDNICGLAFGKDPETLARGLPENAFASAFDSATEATLNRFIFPECVWRCKKWLGLGMETTLAHSVQHVDRYLSAVI >Sspon.01G0022160-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:79142044:79143795:1 gene:Sspon.01G0022160-4D transcript:Sspon.01G0022160-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLAGWVFMISIGFNAAASVRVGNELGAGHPRAAAFSVVVVTALSFVITLAMAVVFLVFRDYLSYIFTEGETVARAVSDLCPLLATTLILNGIQPVLSGVAVGCGWQKLVAYINVGCYYFVGIPLGILLGFKFHLGAKGIWTGMLGGTCMQTLILFWITFRTDWNKEVEEARKRLNQWEDNKQPLLLVPSD >Sspon.08G0018000-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:6092371:6096710:-1 gene:Sspon.08G0018000-2C transcript:Sspon.08G0018000-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At5g44230 [Source:Projected from Arabidopsis thaliana (AT5G44230) UniProtKB/Swiss-Prot;Acc:Q9FFG8] MVHLARRHGHSPARLSAPQPRPPTDLPLPLLPPPSLASLLLAAVASSPSLPHLRHLHGLLVRLHPYLPPPSAPFLLSRLLRRLAALPLPLPPTPTPLRYAVAVFSSLSPPDPFLAAALLRFAHLTQPPMETFRVFSGLRRAHGRDLPFLPFAFSPLAKSAAAARSLPAAAGAHAVSLLLGGFDKHRFVENSLIGAYVACGDVGAARKVFDEMTVKDVISWTSIVAAYSRSRDMGSAEEVFALCPVKDMVAWTAMVTGYAQNAMPVKALEAFEQMAVAGMPIDEVSLTGAISACAQLGAVRRAAWVQEIAERNGLGRNVVVGSGLVDMYAKCGLIDEARRVFEGMQEKNVYTYSSMIVGLASHGRASDAIALFKDMVRRADVEPNHVTFIGVLTACSHAGMVKEGRYYFSQMKDRYGILPSADHYACMVDLLGRAGLVIEALDLVRSMTVKPHGGVWGALLGACRIHGNTEVAKVAAEHLFKLEPEGIGNYVLLSNTLASAGEWDEVSKVRKLMRIRGLKKDPAVSWFEGRDGFVHQFFAGDNTHPWMHEIKKRLLELRAKLKLAGYVPILSSVVYNVSEEEKERLLMGHSEKLALSFGLLTLESRSSIRIVKNLRICEDCHLFIRLVSKVEPIEIIVRDNMRFHHFRDGECSCDHSHWQSPYVSRDTEWEKQEMPTKSEGKLVMQWLTGCVKLKGKQDIKAAVQKDEKLEIVS >Sspon.08G0004770-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:13665790:13670587:1 gene:Sspon.08G0004770-1A transcript:Sspon.08G0004770-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGDSVKQPLLHRAYPSHVASASSPALPSAAPGSAGRRFPGGLDVPNLKKRGGGTRSWIRVEAATASVQTLEIDKATMMRRCELPARDLRLLDPLFVYPSTVLGRERAIVVNLEQIRCVITADEVLLLNSLDSYVLQYAAELQRRLLQRTDGDELPFEFRALELALEAACSFLDAQAAELEIEAYPLLDELTSKISTLNLERVRRLKSRLVALTRRVQKVRDEIEQLMDDDGDMAEMYLTEKKMRMESSVFGDQSLLGYNSAGAAGTSVSAPVSPVSSPTESRKLEKTFSLCRSRHDSTKGSDNTTTEHIQELEMLLEAYFVVIDITLNKLTSLKEYIDDTEDFINIQLDNVRNQLIQFELLLTTATFVVAIFGVVAGIFGMNFETSVFEIENAFQWVLVITSVVGIFIFCSFLWFFKYKRLMPL >Sspon.02G0023280-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:71986087:71987332:1 gene:Sspon.02G0023280-4D transcript:Sspon.02G0023280-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAEGTVIACHTKDEFDAQMAKAYEADKLIAPVYADCAKKYPAAVFLKVNTEELEEVAKKYNIHSFPTFFFIRNGETLESFVGAYPEKLEDTIKKYY >Sspon.06G0010210-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:44605967:44609691:-1 gene:Sspon.06G0010210-3C transcript:Sspon.06G0010210-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKRPRVDPSSSASAPKRQYGAGTPPVATSLFLPPAPPIAPPGVMGEARRKIPRSGGGYGGQQGCSEERSSARRVADHYSARSNQSLQEREASPIIHLKKLNNWIKSVLIQLYARPGHCVLDLACGKGGDLIKWDKAKVGYYVGVDIAEGSIKDYMTRYNGDTDQQRRKKFSFPARLLCTDCYEARLDEYLYEDAPFDICSCQFALHYSWSTEARARQALANVSALLRPGGIFIGTMPDANVIIKRLRGSEGLEFGNSVYWISFGEEYAEKSSFCVDKLKKSAVKNFFCNTQDAVDCPEWVVPFHLFKLLAEEYDLELVLMKNFHEFVHEYLQKPEFTELIRRLGTLGDGRQDQSTLSQDEWEVSYLYLAFVLRKRGQPSTQRRANNANKGKMFLTEGDIEFLGMILYLAAAAAAVTARKVSRGIVNSG >Sspon.05G0012470-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5A:38632396:38632927:1 gene:Sspon.05G0012470-1A transcript:Sspon.05G0012470-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding WPPPTASPSPWPPTPPRSRLRHPPPSPSPAKRKPEADAEADAVLAPPKVARPDVDEEAAAVAEATRARASAADKGKGKMV >Sspon.06G0001690-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:5351054:5354615:-1 gene:Sspon.06G0001690-1A transcript:Sspon.06G0001690-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGSPFCSKEASVSCGWEEIFNSSTCTNHILAIGITALIVIVLAIQLLIRIAKSRQYARQLVALTSLLQLAAVVFNGCLGLIYLGLALWMLGTNFSQHASVYLSHRWLVNLSQGLSLILISFAFSIRTQFLGAAFYRVWSVLLTTYAAFVCCTSVVYMIADKVLGMKACLDVLSLPGALLLLVYGIWHVREDGNGGVESALYKPLSTETHEDMADSQSHVTPFAKAGIFSVMTFWWLNPMMKMGYEKPLEDKDMPLLGPSDRAYSQYLMFLENLNRKKQLQAYGNPSVFWTIVYCHKSAILVSGFFALLKVVTLSSGPVILKAFINVSLGKGSFKYEAYILAAVMFVIKCFESLSQRQWYFRTRRLGLQVRSFLSAAIYKKQQKLSSSSKLKHSSGEIINYVTVDAYRIGEFPYWFHQTWTTSVQLCIALGILYNAVGLAMISSLVVIILTVICNAPLAKLQHKFQSKLMEAQDVRLKAMSESLIHMKVLKLYAWETHFKKVIEGLRETEIKWLSAFQLRKSYNSFLFWTSPVLVSSATFLTCYLLKIPLDASNVFTFVATLRLVQDPIRQIPDVIGVVIQAKVAFTRITKFLDAPELNGQVRKKYCVGNEYPIVMNSCSFSWDENPSKPTLKNINLVVKAGEKVAICGEVGSGKSTLLAAVLGEVPKTEGMIQVCGKIAYVSQNAWIQTGTVQDNILFGSSMDRQRYQETLERCSLVKDLEMLPYGDNTQIGERGVNLSGGQKQRVQLARALYQNADIYLLDDPFSAVDAHTATSLFNEYVMGALSDKTVLLVTHQVDFLPVFDSVLLMSDGKIIRSAPYQDLLACCQEFQNLVNAHKDTIGVSDLNRVGPHRANEILIKGSIDIRGTLYKESLKPSPADQLIKTEEREMGDTGLKPYILYLRQNKGFFNASLGVLCHIIFLSGQISQNSWMAANVQNPDVSTLKLISVYIAIGIFTVFFLLFRSLALVVLGVQTSRSLFSQLLNSLFRAPMSFFDSTPLGRVLSR >Sspon.07G0025570-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:35523957:35530942:-1 gene:Sspon.07G0025570-3D transcript:Sspon.07G0025570-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AMWPIIRGGSSWPASVGSATAALLLAAVIVRLFIPRVWSSPGIRRQVTASGTAGGARLPAGSLGWPLLGETLAFIRAAYSPRPESFVEKRRLRYGKVFKSHLWGSPAVVSSDPEVSRAVLQADASAFVPWYPRSLMELMGQSSILVLGGGLQRRVHGLAGAFFKSPQLKAQVTADMQRRVARAMDAWTRRSDGGAPPVRVQDEAKSVGIFCTCIYFLPFFDNTGRGISLFVLIFVNVCICMQEENMELKRQKSDAGETLEWTDYMSLTFTQQVITETLRMGNIINGIMRKAVRDVEVRGHLIPKGWRVLVYFRAVHLDAAVHDDPHAFNPWRWKERADLVMSSSGFTPFGGGQRLCPGLDLARLEASIFLHHLVTSFRYKYRSLLFLS >Sspon.05G0001020-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:3364676:3367330:-1 gene:Sspon.05G0001020-1A transcript:Sspon.05G0001020-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRRAQEEEDDDEAEESVERVFEGREVPGWREQLTARALAVSALLGAMFSVIVMKLNLTTGIIPSLNVSAGLLGFFLLTSWTKLLAQAGFTGVMPFTRQENTVVQTCVVACSGIAFSGGFGSYMFAMSERISEQSGETWDPHNIKNPGLGWMIGFLFIVSFLGLFSVVPLRKIMIIDYKLIYPSGTATAHLINSFHTPQGAKLAKRQVKTLGKFFAGSFAWGFFQWFYTAGEGCGFMSFPTLGLEAYRQKFFFDFSATYVGVGMICPYLVNASVLLGGVVSWGIMWPLIEQKKGDWYPADLKPSSLRGIVGYRVFVSIALILGDGLYNFLKVMTRTVTALVVQVRGMMSEPTLPISGGESLPAAEETFDDRRRTELFLKDQIPNTLALSAYLVVAVVSIATVPHIFHQLRWYHVAASYVIAPVLAFCNAYGCGLTDWSLATTYGKLAIFTVGAWAAASDPDGSGSGGIIAGLAACGVMIGIVSTASDLTQDFKTGYMTLASPRSMFVSQVIGTAMGCVVAPSVFWLFYNAFRDIGLPGSEYPSPNALVYRNMAILGVQGLGSLPRHCLDLCIAFFAAAIAINLARDLAGARAAAYIPLPMAMAIPFYLGPYFGIDMCIGSLVRLVWDRLDPARAKAFAPPVASGLICGDGIWTVPQSVLALAGVKPPICMKFLSRTANAKVDAFLHS >Sspon.02G0011700-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:25218814:25221693:-1 gene:Sspon.02G0011700-4D transcript:Sspon.02G0011700-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRAGMAGGGFRTNGGVSDAQLKRQLSFSSRQGSVMSQILEVGSEELDGGSSPEAAGSNASGAPRGYSGIPGYPMGGSSGAWTDEPSPSPTSGAKRPRDSGPAPQNVQPSLTPKLSLPASGNNGGGKPAAASAEIAAIEKFLQFQDAVPCKIRAKRGCATHPRSIAERVRRTKISERIRKLQELVPNMEKQTNTADMLDLAVDYIKDLQKQVKVLNDGRANCTCSAGKLDQFSS >Sspon.05G0008310-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:25208758:25215250:-1 gene:Sspon.05G0008310-1A transcript:Sspon.05G0008310-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent DNA helicase Q-like 5 [Source:Projected from Arabidopsis thaliana (AT1G27880) UniProtKB/Swiss-Prot;Acc:Q0WVW7] MYSQLLAGDSDSEGSLISDVSASPPRGRSPPPPPPPDPRPTPSTPPPPSPNPQVQGEAQACSIRLHRRRFAASPPSAALSDPHGLVSRIAAAPALVAATSTVSSSSFRRLVQSRNPSFDPAAAFSAPAPAPTPAPTEIATAAAAQGPAPDAPPQTRPKRVHPNSVSEVAPAAAAAAEQPKRPRGGAEGNFVRLNINGYGRRRTFRNAQARRPTKYRSWRRQRYGGVKPQSCGDEEGDLVAEALIEREKKGAVGDDGVLEAVEAAREDPSEQNLESLLRVVYGYNSFREGQLEAIQKVVAGESTMLVLPTGAGKSLCYQVPAMILPGLTLVVSPLLSLMVDQLRKLPAFLPGGLLASNQTRDEFHETLQRLRGGEIKVLFVSPERFLNEEFLLIFRDGLPISLVAIDEAHCISEWSHNFRPSYLRLRASLLRRKLNVQCILAMTATATTQTMEEIVTTLEIPSDNLIKTSQIRDNLQLSISTSNNRLKDLLLLLKSSPFVDMKSIIVYCKFQGETDYVSKYLCDNNITAKSYHSGLPMKNRSRVQELFCSNKIRVVVATVAFGMGLDKSDVEGVIHYSLPESLEEYIQETGRAGRDGRLSYCHLLLDSTTFYKSRSLSHSSQWNDFNQPFFFTVMVFSCDNSVGRICSLVKESTSRKFDIKEEVLLTVLTQLEIGGQQYLRLLPQFSVTCTLYFHKTSPQLLADKDVLVRSVLYKSETKDGNFVFDMTKVANDLNITVNEVFDHLQQLKFSGEISFELKDPACIYVILKKPDDLNTLSANITKWLSEVENSKISKLDAMFDLANFAVKGCQRTDGCSGSQHTSCIQKKIIQYFSMNYSTSDNDHCTQPHKSSPFLQADIKVWAIAAFSTVSFVFVAIRHDNAFTAPQVFLQSNSFAKFTPRAVARIMHGISSPAFPSATWSKNHFWGRYVEVDFPVVMEAAKAELVKFVGKAE >Sspon.01G0029400-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:102250089:102251231:-1 gene:Sspon.01G0029400-1A transcript:Sspon.01G0029400-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALAASSTAAFTAKLRLQRARLSVACSATSGDSNGNANSVSLASSVKTFSAALALSSVLLSSAATSPPPAAADIAGLTPCKESKAFAKREKNSIKKLTASLKKYAPDSAPALAINATIEKTKKRFENYGKFGLLCGADGLPHLIVSGDQRHWGEFITPGLLFLYIAGWIGWVGRSYLIAISGEKKPAMREIIIDVELATRLLPGGSSGPLRHTASSSTETSSSTTRTSATTDWQYVRAERSMAFGVGAGRRKESK >Sspon.08G0006150-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:16461828:16464213:-1 gene:Sspon.08G0006150-2B transcript:Sspon.08G0006150-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTVERQQLPPSWQGNGDADAEVEVEEDHLWPTNDGPLPIFLKFENVEYRVKMTLKNPLRAAKVAFASQMRVDQGSSCKHILKGIAGSVDPGEILALMGPSGSGKTTLLKILGGRLGGGIKGQISYNDTSYSPCLKRRIGFVPQDDVLFPQLTVEETLEFAAFLRLPACMSKQQKRDRVHAIITELNLERCRHTKIGGAFVRGVSGGERKRTSIGNEILVDPSLLLLDEPTSGLDSTSASKLILILQRLAKKRRTIMTTIHQPSSRMFHMFDKLLLISDGHGIYHGKARDCMHHFSSLGFVPEIPMNPAEFLLDLAAGNLDDISVPEALRGSPDPEEFRSQVIRHLQLKYRAGGEPPAGRRAPTEQLRLAVRARKDHRRSIGWLQQFAVLSRRTFRERASHYLDKMRLAQAVGVALLLGLLWSKSQTGTEAQLRDQVGLIFYICIFWTSSSLFGSVYVFPFEKLYLVKERKADMYRLSAYYASSTLCDAVPHVVYPVLFMVILYFMAGLRRTVPCFFLTLLATLLIVFTSQGTGELLGAATLSVKRAGFIASLVFMLFLLTGGYYVHHIPKFIRWLKYVSFMHYGFNLLLKAQYHGHLTYNCASRGGCQRLQSSPSFGNVELDGGMREVWILLAMALAYRLLAYFCLHKRISLTSL >Sspon.03G0000880-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:13870289:13874505:1 gene:Sspon.03G0000880-3C transcript:Sspon.03G0000880-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTPPAAATHHHLPFPSLRPKTLLRPRLRRLAASINPLPHDETPAADPPVIPSISIKNTEPEEVARRRSWVEHGWAPWEEIMTPEVAFARHSLNEGEEVPLQSPESLEAFRMLTPSYRKKVEAEPGYLERLFATRDTPEPLETTWAGRLPLRLVPPRDWPPPGWEVDPDELEFIREAHREASERLDMEAAAAAGVTNVEKLEDAPQDLALDRYKMFLKQYKEWVEANRDRLEQESYQFDQDYFPGRRKRGKDYSEDMICYGQVTTVHLYQGAFVNIGCVHEGWVPIKGNDWYWIRHHIKPGMKVYVEILAKRDPYRFRFPLEMRFVYPNIDHLIFNRFDFPPIFHRKEDTNLEQLWREAGRPPIPRKKPLKDMEKEPLVSDHPFVDTLWEWHNAEQMILDYEEQNPDKFKDTTYESTVDASSIDEENRVEYTEGYFKETLLKKKVVNISVKELDLDGARAERQSAQLIKKLKKEAEERGEEYKVGKLRRNKEMDEYDLMQWRRSFEEREALIRDICCRKALGLPIEEPGRYDVDETEVYGKDYYDPEKPMYRYDYWGEPKNTEKTKLEREVERHNQQVVGDAKKWCEMSYDDYVRKKLRLEAAEARERQRKASEPQEDEEYDDGMDLDLKKMTDPRAPHNRFYITK >Sspon.02G0042300-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:85019009:85027891:1 gene:Sspon.02G0042300-1P transcript:Sspon.02G0042300-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPTPAPGTAAAPAPHVVEDCLGFVQLLSDGTVRRSTDYSMLRPTGRVPSDSDLPVQWKDVVYDDTHGLRLRMYRPTGAAAGAGKKKLPVLVYFHGGGFCLLSFEMTSFHAGALRLAAELPALVLSADYRLAPEHRLPAALDDAESVFSWLRAQATSTSSAAGAGADPWLAESADFARVFVSGDSAGGNISHHVAVRHGSEGGLALAGCVMLWPYFGGEEPTPSEAACPADQVMGPALFDQMWRLALPAGATKDHPFANPFAPGSVPLGDLGAAFPPVLVVDPDQDLLHDRVVDYVARLKAAGKAVELVVFAGQGHGFFITEPCGEASDELIRDCLGLVQLLSDGTVKRAPGTLVLPDDAPPPDDAAPIRWKDVVYDEASNLSLRMYVPSARAGDGGAETKLPVLVYFHGGGFIIGSFASPEFHAVCLRLAAELPAVVLSADYRLAPEHRLPAAVEDADAVLSWLADHADPWLADAADLGRVFVSGDSAGANIAHHAAARAAVTSGRRLRLAGCVLLWPYFGGEPRTASEAACPGDVFLTLPLYDQMWRLALPAGATRDHPAANPFGPEAAAAGGGPGAELPPMLVAAGDRDMLIDRVREYVARVQAAAAENNNRRVDLVEFPGAGHGFAIFEPDGEAAGELVRVVRRFVHGGEEGPATRSG >Sspon.02G0019170-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:62044322:62049869:-1 gene:Sspon.02G0019170-1A transcript:Sspon.02G0019170-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVSTKPKFTFSICSGAGSGWFGAKSLKSTKSPSDSGGATTEQLIFRAQKSTNHLKALLAKLLPPAAGGGYGAGAECSGGDVDALLSDITDSLSQAITSMRIPTVGQPAAASTAARRSPADAGGRRSAAPRRTSQRARMDGASRMIVLQNGVHDSYTWRKYGQKEILGARFPRSYYKCGRRPGCPAKKHVQQSDADPSKLEITYFEAHTCDDPPPSSSHAAPDPTTGSDALLVPATTVPFPAAQCYVHRPSSPPLHQVPCVAMTIGSNVLTTTDLLPVATVPGALPSARYDPVPDVTDCTPSLEQEQAHDLLYIPSPACSQSELLPVEVAKLDCDFAVPEI >Sspon.02G0026300-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:91433268:91438547:1 gene:Sspon.02G0026300-1A transcript:Sspon.02G0026300-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LQGLWGDSGCAFAQSQPPLHRIVRAQANDGGGLMPELPPSGLMPMPDLSPSGSPRPFVPFLAPAPLAPFFNNSTPKLSGKCTLNFTAVDKLITTTAVDCFTSFAPFLANVICCPQLQATLTILIGQSSKQTGSLALDPTVASYCLSDVQELLLSQGASDNLHSLCSVHLSNVTEGSCPVSTVDSFESVVDSSKLLEACRKIDSVNECCSQTCQNAINEAAQKISSKDGGLTSFTGSSKTDSCRNVVLRWLSSRLDPQSAKQMLRQISNCNVNGVCPLSFPDTSKVAKECGGTMNNSTACCKAMLTYVAHLQKQSFITNLQALNCASFLGAKLQKMNVSMNVYSSCQITLKDFSLQGVNHKNLKLRLVASLVSLLLVLLNQPTNIWKPTWARGEDGDQTILISNEKERKCPICQQLNTKEPPTANCRQPPYKCGYICKPTGYIFAVFKT >Sspon.05G0005620-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:18119638:18122127:1 gene:Sspon.05G0005620-1A transcript:Sspon.05G0005620-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIFKGSAGSAEDYGFPRAIAFAASAIRSSAVVAVTCDKEIITGGHVDGSLKLISPDGAKTIETASGHIAPVTCLALSPDSNYLVTGSRDTTVILWRIHQTGSSHKKNAQEPPPTTPTTPRSPLSGSTSSLSETKRRRVEGPMHVMRGHLGEVTCCSVSPDLGLVASSSNATGVLLHSLRTGRLIRRLDVDEAHAICLSSQGIILVWNETKKTLSTFTVNGLPIATSVLLPFSGQVSCIDVSTDGHFALIGTSLFNNYKCDSSTETGNHELGPNGTDDVSKNSEQSETEQSVHVPSICFVDLHNLKVFHTLKLAKGQDVTAIALNKENTNLLVSTADKQLIVFTDPAAE >Sspon.04G0005630-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:16384748:16390431:1 gene:Sspon.04G0005630-4D transcript:Sspon.04G0005630-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQAYSRSCAPCLCSRAAADRHWRLCVLLVSVTILMKIQEARTNQITISKVTLRSPEVIRIMSVMDSVTVGETHTDCPVYSAEPNLQFLLEELLGSCDHYGPAPCQSQAGVEFARSPLQAQRAVLQWKIN >Sspon.05G0035170-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:69342420:69343787:-1 gene:Sspon.05G0035170-2D transcript:Sspon.05G0035170-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPRKAPKIASAAAAAPVEGRDHISNLPEGVLQHILSFLPAQDVVRTCVLAKRWRHLWKSTTVLRFVCGGMKEPKSMKEIQDFIDQLLRLQIHRGTALDTCEFRLIQVDDDDMSRTNLWVRIALQCNVRVLQLRCSGLPPVDDHPIFISQYLKKLQLAELRDDMMPTGLLDFSCCPNLEDLEVDDCNLGDLLLISSQSLKRLTIQRCYFGEESRLPICAPNLVSLWLEVRDGRTPSLQRMPTLLTAFIKIHTYVDLVTSDFDDDSNQSILLQGLSEVQTLVLISHDTDMFIFRRDLKFCSSFNKLKSLLLNEYWCEPADFSALACLLKLSPVLEKLTLQLYSEGPEHDVEIKLSCKPLEISAAISQHLKTVEVRCEVYDETVRNVLMFLSKLNIC >Sspon.04G0011660-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:7495144:7498945:-1 gene:Sspon.04G0011660-2D transcript:Sspon.04G0011660-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPASSRFYTSATCSLATSASSRSPPRRRRASLMGQSPSAPPGRSRSRSRNGSGSRWPHPGLGLDLGFLLGRKPRPGDEKPDLANWMRCFLSQRLPQPTAAAEETADAEGKAAGRREEEEAGADEADHLVVMVNGLYGSSADWKFAAEQFVKRLPGKVLVHRSQSNYSKLTYDGVDLMGERLAEEVRQIVQRRRNLRKISFVAHSLGGLITRYAIGKLYEPAMDETSSCDTDKTSDEQNAPGAGKIAGLEPINFITSATPHLGSRWNKQLPFLFGVPLFERTAAGTAHFIVGRTGKHLFLTDRDDGKPPLLLRMVEDCDDGKFMSALRSFKRHVAYANVTYDHIVGWRTSSIRRQHELPKLKLTANNEKYPHVINVDKGNLEDHQQEGSVEDSLADSYEEMMIRGLTQVTWERVDVCFHKSWLRYNAHNNIQVRIHPINSDGEDVIYHMIDNFVV >Sspon.02G0018990-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:64026530:64030027:-1 gene:Sspon.02G0018990-1P transcript:Sspon.02G0018990-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein TRIGALACTOSYLDIACYLGLYCEROL 3, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G65410) UniProtKB/Swiss-Prot;Acc:Q9AT00] MASPSIPAALRHPHLPAGGGLLFHSSGDPTSRSALSFRQNFLSPSSFWHRAVKLFVIILRFSSCLSRIGDMPMRRCQTDVGPVLAARSPGLGNVDNLHQGSSLSRSWDLNSQIDDDRDVLIECRDVHKSFGDKHVLKGVSFKIRHGEAVGIIGPSGTGKSTILKVMAGLLAPDKVVHLLSLPLLQQCIITFSLQLGQLEAKCAFFFFCLCNNKQGDVIICGKKRHGLVSDEDIEGVRIGLVFQSAALFDSLTVRENVGFLLYENSSLPEDHIGKLVTETLAAVGLKGVEDRMPSELSVA >Sspon.02G0052150-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:79469537:79471485:1 gene:Sspon.02G0052150-2D transcript:Sspon.02G0052150-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDSPQLHHPPPPPAPPPPPASSTPAASAAANAQPTLTETAVKLRMIIKGVLGRYQRWNPVHPTAGAFWGVGLGLGCGVGWGPGFGPEVIGYVGAGCGVGFSVGFTLAGVGIGLPQHGLIRNHDHGGFASNVPVDSARFYAVTIVRGLVWDAINYAGTVVAMRKETRQKLLRFQDNPPISGGVDLPKLGKGVSSSIRSTVECIRAFKDQHWPP >Sspon.02G0029590-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:112719101:112721232:1 gene:Sspon.02G0029590-2C transcript:Sspon.02G0029590-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGPAAVVVPASAPRPRQLTVLPLIALIFYDVSGGPFGIEDSVRAGGGALLPILGFLILPVLWSLPEALVTAELASAFPTNAGYVAWVSAALGPAAAFLVGFSKWASGTLDNALYPVLFLDYLRSGGGVALPPPVRSLAVLALTAALTYLNYRGLHIVGLSALALTAFSLSPFLALTVLAAPQIRPSRWLAIDARAVDLRGYFNSMFWNLNFWDKASTLAGEVEEPRKTFPKAVFGAVGLVVGAYLIPLLAGTGALPSETAAEWTDGFFSEVGQRIGGPWLRVWIQAAAAMSNMGLFEAEMSSDSFQLLGMAEMGMIPAIFARRSKYGTPTFSILCSATGVVILSFMSFQEIIEFLNFLYGLGMLAVFAAFVKLRVKKPDLVRPYRIPVGTTGAAVMCAPPVVLITTVMCLASTRTVLINAIVVVAGVALYYVVEHANRHAWAEFLAPVPPADISHGSTTAPDATDLEDVHAGLLADESADEEGSKVE >Sspon.03G0025860-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:955675:956297:-1 gene:Sspon.03G0025860-1B transcript:Sspon.03G0025860-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALACTAARLVHPCMGMVSKHPRTPPPPSSCCLHLHILHHRPVASSHFSRHSVDVSKDDKPLETPTATTTQTESPQQATAALQEEAEDDDGGPKLDPRRFEEKFAVLNTGIHECRSCGYRYDQAAGDPSYPLPDDWRCPTCGAAQSFFESKSVEIAGFAQNQQFGLGGNSLTSGQKGLLIYGSLLVGFLFFISGYFLQ >Sspon.07G0016600-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:59190296:59193084:1 gene:Sspon.07G0016600-1A transcript:Sspon.07G0016600-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPFKQEFNFDERLQESAAMIANYPARIPVVVERFSRSNLPQMEKRKYLVPCDMPVGQFVFILRSRLHLSPGTALFVFVNNTLPQTASLMGSVYDSYKDKDGFLYMCYSSEKTFG >Sspon.01G0047110-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:89023620:89027287:-1 gene:Sspon.01G0047110-3D transcript:Sspon.01G0047110-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLSMVSVPIAPSSLSLSLSTRGRSSSVSFPAKKGGIGHGSLRIECIRIGGVEIPNHKRVEYSLQYIHGIGRSRSRQILLDLNFDNKITKDLSEEEVITLRKEVGKYMIEGDLKRFNRVAIERLKEIRCYKGIRHKLGLPVRGQRTKNNCRTLKGKRASVAKKKSPASQDE >Sspon.05G0000670-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:2514620:2517027:-1 gene:Sspon.05G0000670-1A transcript:Sspon.05G0000670-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVCSMRKSFKDSLKVLEADIQHANTLAADFSRDYDGACLQMRMSYSPAAHFFLFLVQWTDCSLAGALGLLRILIYKVYVDGSTTMSTHERKASIREFYGKSVIFPSLMQLPKGISDVDDRRQKAVCTERYRRRDEDEGKRPVSETDIEREEECGICMEMNSKVVLPSCSHAMCMKCYRQWRSRSQSCPFCRDSLKRVNSGDLWMFTDCRDVVDMATVTRENIRRLFMYIEKLPLVTPDNIFYAYDSHRMFLVLGCG >Sspon.03G0001010-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:2774197:2777901:1 gene:Sspon.03G0001010-1A transcript:Sspon.03G0001010-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AALGAVDAGRARGAAAGAVPRGRGRRGGNRVPAPHLRRRLQLPPRGVLLVAAAPPVRQRLHRGSGPGARARSPPAPTTTPTPRRRPFPRARPTPPTTSPATSLPRASATAPPRPPPPPPPHHPPKDPPPHPPPPPPHCRVPPPPGYRPPPPWPARRDRARYANVDLPPPPPVKVVAGQDPVRRRGEWLVFTEGVRDYVEQLERVVPLRGGVVRTALDIGCGVASFGDYLLNYGVLTMSIAPRNNRLGPQVQLALERGLPAMIGALVAQRLPYPSRSFDMVHCADCLVPWTAHDGLYMLEIDRLLQPGGYWVFSRPPVDWKSAYNISNQGTRDVQDNQLAMDDMSNKLRWTKVYEEGTISVWRKPSCHLHCDQEANAKLFGLPLLCTGEDPDSAWYANISMCMTCIPRAEPFNGCAGGAMEKWPERLGAVPPRIASGEMKWLSIQRYKHDSLIWEKRVNFYLTYLKYLSNGTYRNVMDMSAGFGGFAAAMSKHPVWVMNVVPANTTENTLGVIYERGLIGTYTDWCEAFSTYPRTYDMIHGNGIFSSHIHKCGIIDILVEMDRILRPGGAVIVRDIADVVLKVKKDADRLQWHSRIVDTENGPLDPEKLLIVDNSLPLPGS >Sspon.01G0005820-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:14021978:14024348:1 gene:Sspon.01G0005820-1P transcript:Sspon.01G0005820-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding IAASELVSDITVRIGTTKFYLHKFPLLSKCARFQKLIPTTGDENIEIHIHDIPGGAKAFEICAKFCYGMIVTLNAYNVIAARCAAEYLEMNETVDKGNLIYKIDIFLGTSIFRSWKDSIIVLGTTKAHLPWAEDLKLVSHCIDSVASKASIDVSKVEWSYTYNRKKLPTENGHDSPWNGVKQQQFVPKDWWVEDLTDLDIDAYKQVVTAIKTKGMVPKDVIGEAIKAYTYKKLPSLSKVSMIHGDAKVRAMLVTITCLLPSEKGSVSCSFLLKLLKATNLLKCGEMCRKELMKRIARQLEEASVSDLLIPTVDGDTTVYDIDLILSILEEFVRQYSKNAQRHNGGEVNDHVSAPSASMITVAKIVDGYLAEVAKDPNIPVYKFFSLAETVSANSRPVHDGLYRAIDMYLKEHPSLGKSDKKRLCALMDCKKLSPDACAHAVQNERLPLRIVVQVLYHEQTRASAAATIRADSIGIGSYESSRSGATTNTEDEWDGVMAVEDLSLSKTTKLDKCDTASTVVEKNHGGNKGANGRVNGGATSKKALGMMMLSKGQAGERSSSDSSDSAILPSQEHPKRTPARSTTKSAA >Sspon.08G0020570-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:25597367:25600994:-1 gene:Sspon.08G0020570-2C transcript:Sspon.08G0020570-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding REQGSRCEHPLIPPAGRLLPERELTSTPCLGPQCSIYDTADEGGGADKGSGGREQRSGPSPWSSDLTHPSGTRVRLLTGSAAVGSLGGDAPTLRRRGPDPAGTNCGVGLLVWKPRRAEDLSVAQRLVVPVKKTRRGPRSRSMHSSGKLDKVDVLKPIFSRFDVATEPAICYVTKPVQNACEAEETSKGFVAEDVRERWEISDNPSKQEQEAKKLMESTDEIKKRLAAKEVEAQKAGQEHPRCQDHD >Sspon.05G0009470-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:27332081:27332994:-1 gene:Sspon.05G0009470-1A transcript:Sspon.05G0009470-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPTANVSTPIQLAHLYSAGSGYRIRASPAGHRCPRKVLPPPPPRNPHRHRRCSHSCAPPPHAASMDAAGGRPSPAPIRCTSAETVSFPRSIPRVYRSRSRFASNPSCEFGGCLATSSHAAEADAGPPRTRSKGATEPCGGRRRGYAAARDGPACTTWNEGNTPRNILEKIIWNKDVEVSQARCSPARDFVGSLKASYDRTALPALIAEVKKASPSRGVSGRILI >Sspon.03G0034410-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:70011715:70016396:-1 gene:Sspon.03G0034410-2C transcript:Sspon.03G0034410-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding KQPYDSIPNFTAADALRLTGVGRNEFIDIMNKCRSKKLMWKLNKSIAKEMLPTQPVDFPVEPWWGVCLVNFTLEEFKKLSEEETATIDKICKEEANSYVLFDPKVIDGLYKRGLVYFDVPVYPDDRFKVSRLENFVSNKDQSYEDPIEEPLYAVFVVSSANATVAELAATLQADLYQLQAAASFACRLGWATKVMDADSVLNDEGAPAFPSSILSDDEEGSNTSINSEKSGQQLISTDSDGPRKISGTAHVGFVVDANVTSYLMMGSLSPGLKSHAVTLYEAGKLGSSCIAELCSDLASLEGKKFEGVLEEFASHAFSLRCFLECLQSGVSANEITGNAGEAKTPRSSVHDIDNAAEHLAKVNIEGVADNNHNEFSEHNQCVGDLDNSDGNILSPAMAISESAERMVKNDDENDSTMQLDVSTESRVLKNKRKYKVDILRCESLASLAPATLERLFLRDYDIIVSMVPLPFSSVLPGPSGPIHFGPPSYSSMTPWMKLVLYTAGHCGPVSAVFMKGLRFRLLPEPLAGCEKALIWSWDGSAVGGLGGKFEGNLVKGNLLLHCLNSMLKQSAVLVQPLSIHDLNASGNLVTVDIPLPLKNDDQSIESVVAQTNLPKEQILDLTSVLKDLSSKFELSTLGYLRLLRLHRIDEPDKFDPENVSYQWVPLSLEFGIPLFSPKLSYALGPEHNEATFRPNSEEQSSVHDVKPDPEETESKDVVLPGVNLIFDGAELHPFDIAACLQARIDQMLLVNWDVLSEGCLLRY >Sspon.04G0001650-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:6676972:6678030:-1 gene:Sspon.04G0001650-3D transcript:Sspon.04G0001650-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SPHGRSPALAEDTVDEDVVEGVKAIAVSDQRTIYLVNMFIANTVEFLNSFAALCDDKLALLHRNIVKLDLSLALLEAKLHSIDENNALGHSTSQNNTDLQ >Sspon.08G0006100-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:19238676:19244674:1 gene:Sspon.08G0006100-1A transcript:Sspon.08G0006100-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDSLYDEFGNYIGPELADSDADDDSDAGGASPSPSASGSPSPAARSLSGSPSRPAALMDVDDDEGDPSQQAVVLAEDKKYYPTAEEVYGPGVEALVMDEDEQPLEMPIIAPPRVVKFEVGTRAAATSTYASTDFLLGLAGNPALVRNVTLVGHLQHGKTVFMDMLVEQTHEVDTFDSEGERHVRFTDTRVDEQERQVSIKAVPMSLVLEGGNGKSYLCNIMDTPGHVNFSDEMTAALRLADGAVLVVDAAEGVMVNTERAIRHAIQERLPIVVVINKVDRLITELKLPPNDAYFKLRHTLEAINDLISSCSTTVGGTQLVDPAAGNVCFASGAAGWSFTLQSFAHLYLKIHGIQFDHEKFASRLWGDLYFHPDSRTFKKKPPKEGANRSFVEFILEPLYKIYSLVVGEQKGNVESKLAELGVTLSNAAYKLNVRPLLRLACRSIFGTATGFTDMLVKHIPSVKDAAARKIDHIYTGPQDSSIVDAMKKCDPNGPLMVNVTKLYPKSDCSVFDAFGRVYSGTIQTGQTVRVLGEGYSPDDEEDMTVKEVTKLWVYQARYRVAISKAPAGSWVLIEGVDASIMKTATICPMNIDEDVYIFRPLRFNTLPVVKIAAEPLNPSELPKMVEGLRKISKSYPLAITKVEESGEHTILGTGELYLDSIMKDLRELYSEVEVKVADPVVTFCETVVDTSSMKCFAETPNKRNKITMLAEPLEKGLAEDIENGLVSLDSRQKEITDFFRQRYQWDVLAARSIWAFGPDKQGPNILLDDTLSIEVDKNLLNAVKDSIVQGFQWGAREGPLCDEPIRNVKFKILNANIAPEPLHRGGGQIIPTARRVVYSAFLMANPRLMEPVYYVEIQTPIDCVSAIYTVLSRRRGHVTADVPKPGTPIYIVKAFLPVIESFGFETDLRYHTQGQAFCLSVFDHWAIVPGDPLDKSIVLRPLEPAPIQHLAREFMVKTRRRKGMSEDVSINKFFDEAMMNELAQQAADLHLQMMFIQISLAGGIQRDGIMPFFDTVGDGATVVSRS >Sspon.07G0016400-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7B:62439107:62441512:-1 gene:Sspon.07G0016400-2B transcript:Sspon.07G0016400-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding PYYYQVNWIGDRNPSKSDIQQAKQARPPAGDMKKIFGAKKNKDPPPSIQDATDRISKRGDTVDEKIKKLDAELARYKEQIKKTRPGPAQEAVKARAMRVLKQRRMYEGQRDMLYNQTYNLDQVAFAAEGLKDAQQTMTAMKGANKELKGMMKTVKLEDIDSMQDEMMDLMDVSNEIQETLGRSYNVPDDIDEEELMGELDALEADMDFESEAVPSYLQPEQESELNLPAAPTGQAAVPANQQQEDELGLPTVPQASIRT >Sspon.02G0053770-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2C:107947325:107947612:-1 gene:Sspon.02G0053770-1C transcript:Sspon.02G0053770-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVILKFGSVGNRASGRTPALHSIFHARASTPPSKPAFRVPKRGPCHPDDAHVARLRAGTACAPLLLTRIATCATPDLSLLQNKQSLPASSLPEF >Sspon.02G0039230-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2B:49458409:49460428:-1 gene:Sspon.02G0039230-1B transcript:Sspon.02G0039230-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding HGLFLKRSKCSFGALSVAYLGHVISADGVAMDSDKVAAVASWPTPRSPRGVRGFLGLAGYYRKFIRDFGSIAAPLTRLLRKEAFVWTSEADEAFAALKRALSSAPVLQMPDFERQFVVDCDASGAGFGAVLHQGAGALAFFSRPFAARHVKLAAYERELIGLVQAVRHWRPYLWGCRFLVRTDHYSLKFLLDQRLSTVPQHQWINKLFGFDFTVEYRPGRLNSAADVLSRRDEEVAALCTLSGPSFDLFTDIRRATAADPVACDLLRQIAAASLDAPWAAADGFLLHGKRIYVPAVDDLRHQVVALAHSVGHEGVQKTLVRLRGDFYIPGDRQLVHDFVRSCDVCQRNKTPTTQPAGLLQPLDVPSQVWADIAMDFIEGLPKVHGKSVILTVVDRFSKYAHFIALSHPYTAATVARAFFKGIVRLHGFPTSIVSDRDPVFTSNMWRDLFKCAGVKLRLSTAFHPQTDGQSEVVNKVIAMYLRCATGDRPRSWVDWLSWAEYCYNTSYHTALRATPFEVVYGRPPPSLLPHQEGAAQTEVVDAMLRDRDAFLEEVRERLLQAQQYAKRYYDEHHREVEFDVGAWVLLRLLHRPTHALASPSKGKLRPHYAGPFQIVERIGPVAYRLQLPAEARLHDVFHVGLLKPYNYTGAPPTAPAVLPPVQDGRLLPAPER >Sspon.01G0028410-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:92108016:92109583:-1 gene:Sspon.01G0028410-2B transcript:Sspon.01G0028410-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRGLRRGLGILLVPLPHAPSRPPPISLAALLLLPRRLNGFSRHSFCSFPGGGSAVEQFSDDEYDHEYEDLRPSSSVANIDEWRWKLSMLQRNAEEQEIISRDRRDRRDYDQIANLAKRMGLYSEMYGRVVVASKVPLPNYRPDLDDKRPQREVVIPLSLQRRVEGLVQEHLDRALLPLDKCGGNLKSGSEMAENANLDEQHDSLLDRSVMEKILQRKSIRMRNFQRSWQESPEGAKMLEFRRSLPAYKEKERLLAAIARNQVIVISGETGCGKTTQLPQFVLESEIESGRGAFCNIICTQPRRIS >Sspon.03G0006250-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:17165405:17168475:1 gene:Sspon.03G0006250-1A transcript:Sspon.03G0006250-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding WRCAVAVAPLPTPARSSDGPGSRLLHSAAAAAAGGGGSPSFPVCGVRGPRPRYPRVWKTRKKIGTISKSQKLVECIKGLSNVKEEVYGALDSFVAWELEFPLIVVKKALKKLEDEKEWKRIIQVIKWMFSKGQGKTMGSYYTLLNALIEDGRIEEAEELFGMVFSRYMEGLPRTFFMRMISFYYSAGAYDKMFEIFADMEELGVRPDGSIIRMLGDVFQKLEMMDKYEKLKRKYPPPKWEYRYIKGKRIRMRVYPDSKTEEATKGDPGTDELEEVESIHLNNELEEAAISGTDMSVLDDI >Sspon.02G0007630-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:22170458:22171857:-1 gene:Sspon.02G0007630-1A transcript:Sspon.02G0007630-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASVDAQPSPGYYPSSRYRPVPFNRAYTNKWGPQHQTLSGDHSAVTIWLDRTCGSGFKSKHAYRNGYFSTRIKLPAGYTAGTNTAFYLSNNEAYPGFHDEIDMEFLGTIPGEPYTLQTNVYVRGSGDGRIVGREMRFHLWFDPTAGYHTYAILWNPDAITFFVDDVPVRRYERRAELTFPDRPMWVYGSIWDASDWATDDGRHRADYRYQPFVARLDRFVVAGCSVNAPVACRPVPASPAGAGLSAQQYAAMRWAQREHMVYYYCNDFRRDHSLTPEC >Sspon.01G0030990-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:106662429:106666489:1 gene:Sspon.01G0030990-1A transcript:Sspon.01G0030990-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAAAAAEEGDVEFVDYDQDNEDAMEEDGRAARALPVPRIVSPAVVRTRGRFAGRSPSVLTSSSYRFDSITNGGDHGPGPQHSIEGWTILVSGVKEDAEEDDLYNAFSEFGHVKDLHLNLERRTGYAKGYALIEYESFEEAQAAIRAMNGSQLFTKTIYVDWAFSRGPIQNFKSARPSRPRSRTPPRRLAALTPY >Sspon.04G0017070-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:66051355:66056723:1 gene:Sspon.04G0017070-2B transcript:Sspon.04G0017070-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDESMAGYNLLGGLVPAMKEKRGLEDAGGAGDGRPDAKRARPPALASVIVEALKMDSLQRLCSSLEPILRRVVSEEVERALGRLGPAAIGGRSSPKRIEGPDGRTLQLQFRTRLSLPLFTGGKVEGEQGAAIHVVLFDAGTGCVVSSGPESSAKLDIVVLEGDFNNEDEEGWTGEEFDSHVVKEREGKRPILTGDLQVTLKEGVGTIGELTFTDNSSWIRSRKFRLGLKIASGFCEGVHIREAKTEAFMVKDHRGELYKKHYPPTLKDEVWRLEKIGKDGSFHKRLNKSGISTVEDFLRLVVRDPQKLRSILGSGMSNKMWETLVEHAKTCVLSGKYYIYYSDESRSIGAIFNNIYAFCGLISGDSSIHLRLFADALVKKAYDNWTYVIEYDGKGLLNPKPKKKATSTGQAETHAPVGAPTSYQQHLSLTSMSGPSPSGGTDSVGYGVNQSATHPSELHSSSANVQSAIVQPPYDDTFSFLPPNMLTGSANDAMGLELGQLQQVISQGQAIQPANVGYGDWPRNRDSQYADDFTEDIRVKSHQMLESEDMQQLLRVFSMGGASGSLPDDTFNFQSYMPSPLPNLGFETERSHSSGKAVVGWLKIKAAMRWGIFVRKKAAERRAQLVELED >Sspon.06G0001830-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:4194305:4194736:-1 gene:Sspon.06G0001830-2B transcript:Sspon.06G0001830-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQAQPAGKARERRVSIEEVAKKLSLWHTATFRPILTHAELEPILCAAGFVPVPPAPAPAPPKQQQQDDRDRERERPGVAWREYAFLGGGNASPAAAAPRRWLGPRPRLPYPRVDGLHLKTYEAFLGAVEAYLGAHRVSNLFHV >Sspon.01G0022110-1P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1D:78890011:78890934:1 gene:Sspon.01G0022110-1P transcript:Sspon.01G0022110-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLSTILCTYLGLVASFLYRTKATSSPKKTQLHRLPPGPAGLPIIGSMHCVVSKRPVFRWIHGLLKDMNTNILCLRFGAVHVVVVSSPEIAREVLRKNDAVLASRPDTVASGLFSFGYKGSILSPYGEQWKKMRRVLTSEILSTSMEQRLHRRRAEEADHLVRFVYNQCSGTSANANSIVDVRHVARHFCGNMIRRLVFGKRHFSVAAGAGAGGGNGGGSGPGPEEVAHVDALFTLLNYVYSFSVSDYIPAAWAWMVAGLDPDGHKKVAKIVMETLNRLHDPIIEERIREWDGLRRHGEKRGQRFS >Sspon.01G0060170-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1D:74643093:74643404:1 gene:Sspon.01G0060170-1D transcript:Sspon.01G0060170-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLASGQSPVSSHCDRTRPIARDRTRRASGRLYDQLYPTGCLTGRSGSVRDRTRRLQTLAPARLNAARADRTCPVKTITASGAASGHHSDHRSLPFLFRLPAQ >Sspon.03G0002610-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:21938957:21976990:-1 gene:Sspon.03G0002610-2C transcript:Sspon.03G0002610-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFESVYTEHLKGRKVLLETPTGFAVFLVKDFAFEQDKITADLIYVFGYVKILDIILKTTSDALRDKCDCYVSEIIVSEDDVTYASMIAKVLVPEFEEEIGLPEIAGGLGSKLMDVPKDAGKAIANCDKQEILQSLKRLSDICKQKKCAHQKLLTIEAQIGVARMAVGNEAVGKDDEGKTNTKGSNKDGGSGKKCETEGDIILAGHLPAGEYKHHRGGRSTRSRDRSQRRRHRGGPRHQRLSTRRYSPLVRHLPAGHAVGGRPVDGDAVPHLPGPPLHVQVQHHGAGGHAVVARAQLLPPRHGVRRPHRQAEERQRLPLPGARRGEDRPSWRVVERRDRRSQRISRRRVHHQWKARGFLQLRDDGEAYRKVRGAWQLHLLAADHQRRAQHGVLLQGGRAHVHRRRGGRQLHHAVRDGRDRHRAGADRRRAHGGRRVPWPLLHGHLLVPERGSPAPRELQRQHHDGRRGVHRSGVGSASRRRRRRCPRCRNRTTRPRPTGSTPNLTALVRPGRRTVPLAVDTRMFVTIGLGLASCKPTETSCSSSAAQVPVATMNNQSFVLPTSMSMLDARYRNTPGGVYTRDFPDQPPVAFDYTNQTNRLLLGGVAAALLFPGEPSTKVRTLAYNATVEMVLQNTALVGRESHPMHLHGFNFFVLAQGFGNYDGGTGAAQFNLVNPQERNTIAVPTGGWAVIRFVADNPGGEHANQSAMQQHQHYCRERAAAGPVDRSERRVGLTVWVLAGRIDRHGVLQLMTPWADGPSMVTQCPIQPSSSYTYRFSVPGQEGTLWWHAHSSFLRATVYGAFIIRPRRGNAYPFPAPDKEVPIVLGEWWNRNVVDVESDAILAGQLPTQSDAFTVNGKTGLLYQCANETFTAVVEPNTRVLLRVVNAGLNSHLFFKVAGHNFTVVAVDAGYTANLNTDTLVLAPGQTVDALVTTNAVPGSYYMAVLAHDTMSPLTFAASDTTTATAIFQYNGTSTNPPAMPTMPSSSDAGTANAFYFGLRGLGTPAVPSPVDVSMTIELGLGQLPCDPSQTRCNGTAAAAAMNGVSFRLPSPETSLLGAHVNGVTGVFTADFPDGPPPSGTAMAVGTRLKKLSYNSVVEIVLQNPAAVPTENHPIHLHGFNFFVLAQGMGSFTPGSVAYNLVDPVARNTIAVPGGGWA >Sspon.03G0015470-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:73178502:73180749:1 gene:Sspon.03G0015470-2B transcript:Sspon.03G0015470-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPLHVLSSSSPRKFYHLVLFHVHPFWVQLLYFLSISILGFLMLKALPMKSSSVPRPSALDLVFTSVSATTVSSLVAVQMESFSNSQLLLMTLLMLLGGEVFTSMLGLHFTCTKLIKKREIPHSNNSLHGNINGPPPASSSLEHSHRRRPMEMEAGQATVQMELAGFNKDFASMARLLMFIVLGYLLVVHLAGYTLILIYLSVVGSARAVLVGKRISLSTFSVFTVVSTFANCGFVPTNEGMVSFKSFPGMLLLVMPHILLGNTLFPVFLRISITALERVTRRRDLRELLKDGGPGGGPAAAAIGYDHLLPGARTWFLALTVAVFLAVQQLLYCSMEWGSDGLRGLTAFQKLVAALFMSVNSRHSGEMVVDLATVSSAIVVLYVLMMYLPPYTTFLPVAMEDHQQQQNEAQPDDKSRSSSSISIWQKLLMSPLSCLTIFIVVICITERRQIAHDPINFSVLNIVVEVISAYGNVGFSTGYSCSRQVTPDRSCRDAWVGFSGKWSREGKLTLMAVMFYGRLKKFSMHG >Sspon.06G0032500-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:103094386:103099677:1 gene:Sspon.06G0032500-1P transcript:Sspon.06G0032500-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARGPLATASPPAGPAPFSAAALIVLILLQHRLGPKPPNPSAASRARDSSDELLDDSPPAERDPEAGGSAVAADGSTCATVERMGEEAAGAGRGSPEQASLRVREMIRRHFELHGAERVRTLPAHEFCKQGFVLGKASEAGFGNEMYKILTAGALSVMGLYPFGEYISYTNQSFTIHEIKHLWRKHRCARTYGRDLNIRVDIFENPAETNVLCSDWNNWKDPIIWFDGATDAVGVQFFLKNVHPRMKASASALFGLPDSLYARPNTFGELMRAIISPSSKVQEAVDWALKGANPDIVLHTRMMANRPVRARKAAVLCIKRALQISHIKGTPLVALVSDTPAFVKEIKSDISEFAEVLYFDYKLFAKTSGLEIAGNDKPLDFRSRDWGSAPRWVAFVDFFVAAQARYAVVTGAHRRVGTTYAQLIAALAAANRHGREPSGTNFTFLSSIHSNLLVEGLSTQVGWGHIWNRYAGPLSCRRQLHQCALTPLLPPAWWDGQWQSPIPRDVRRLLEYGVRLSNTGEVDERRLVSYCRSRKDHVKRYHVLPPYKNPA >Sspon.03G0003850-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3A:9915483:9918127:1 gene:Sspon.03G0003850-1A transcript:Sspon.03G0003850-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQHLTVIAFLAASLLVASIPHAKSADLNSDKQALLAFAALLPHGRKLNWSSTTPVCTSWVGVTCTPDKSRVHTLRLPAVGLFGPIPSDTLGKLDALEVLSLRSNRLTVDLPPDVGSIPALHSLYLQHNNLSGIIPTSLSSSLTFLDLSYNTFDGEIPLKVQNLTQLTALLLQNNSLSGPIPDLQLPKLRHLNLSNNNLSGPIPPSLQRFPSSSFLGNAFLCGFPLEPCFGTAPTPSPVSPPSPNKTKKSFWKKIRTGVLIAIAAVGGVLLLILILTLLICIFKRKRHTEPTTTSSKGKAIAGGRAENPKEDYSSGVQEAERNKLVFFEGSSYNFDLEDLLRASAEVLGKGSYGTTYKAVLEDGTTVVVKRLKEVVVSKKDFEQQMEIIGRVGQHQNVIPLRAYYYSKDEKLLVFDYVPSGSLAAVLHGNKAAGRAPLNWETRVKISLDVARGIAHLHAEGGGKFIHGNIKASNVLLSQNLDGCVSEFGLAQIMTTPQTAPRLVGYRAPEVLETKKSTQKSDVYSFGVLLLEMLTGKAPLRSPGREDSIEHLPRWVQSVVREEWTAEVFDVDLLRHPNVEDEMVQMLQIAMACVAMAPEQRPKMEEVIRRITEIRNSYSSGTRTPLEDKPETAQAP >Sspon.08G0007160-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:22390239:22391604:-1 gene:Sspon.08G0007160-1A transcript:Sspon.08G0007160-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNTIISLYQPSHLAGLVPTMQHCIERAADAILAAGVQQNGDVDFSDLSLKLATDIIGQAAFGVDFGLTASGPGGEAAEFIREHVHSTTSLKMDLSAPLSVALGLVAPALQGPVRRLLSRVPGTADWRVARTNARLRARVDEIVAARARGRGGHHGEGRKNFLSAVLDARDRSAALRELLTPDHVSALTYEHLLAGSATTAFTLSSAVYLVAGHPEVEAKLLAEVDGFGPRGAVPTADDLQHRFPYLDQASDTTTQRHVACGGVIKEAMRFYTVSPLIARVTSRQTELGGHTLPKGTWLWMAQGVLSRDAANFPDPGAFRPERFDPASEEQRGRHPCAHIPFGIGPRACVGQRFALQEVKLSMVHLYRRFLFRRSPRMESPPELQFGIVLNFKNGVKLVAVERCAAMS >Sspon.02G0035820-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:25340554:25374063:-1 gene:Sspon.02G0035820-2C transcript:Sspon.02G0035820-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein GRIP [Source:Projected from Arabidopsis thaliana (AT5G66030) UniProtKB/Swiss-Prot;Acc:Q8S2T0] MDPEDTIPPAPSQGDAETTPPPVLEVAAGEGSLASGGEEAERAHEEKEELAREVMELGLQNEYLRSQITGARPVGDADEGPELARGLKEQVERLSREVQEQRLTREAMEKALEHVNVAYAEADGKVQELTAKLAQAEQKMEKELKERDDKYVELDTKFQRLHKRAKQRIQDIQKEKDDLEARFNEVNQKAEQATSLQLAAQQELERARQQANEALRSMDAERQQLRTVNSKLRTNLDETRLALEARNNSLEKLQQSVLEKEQLLEKVQGSLQSAEDKRMAIVSELTAKHQKQIESLQAQLAEVSAERTKASETIQSLQVVLTEKDSEIAEIEAASTGEAARLRAALEEVKGEVAHLKDEHEKERQSWEATCESLRSKLEASENACLRSEKESAKVKSQLELELSARNQLLQTKDSDLTAAKHEISRLESEFSAYKVRAHALLQKKDAELTAAKKKDKAMDDLQKAQSRHGDEIEARDKALADAEKKLKDVMKKLDSITSNFISEKESWEKNLANVEESWRLKCESLKAQSNGHVDDELQKNLGELTLKYEKLKEEHQSFRDIADRMIEDKEREIAKLLKENRDLHQSLDARPAVRPVKDSMSVELAEQQILLLARQQAQREEELAQSQRHILALQQEIEELERENRLHDQQEAMLKTELRNMERSQKREGIDMTYLKNVILKLLETGNWNFLFSLVAAD >Sspon.01G0034770-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:6690339:6692663:-1 gene:Sspon.01G0034770-2C transcript:Sspon.01G0034770-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKRGRGGTAGNKFRMSLGLPVAATVNCADNTGAKNLYIISVKGIKGRLNRLPSACVGDMVMATVKKGKPDLRKKVMPAVIVRQRKPWRRKDGVYMYFEDNAGVIVNPKGEMKGSAITGPIGKECADLWPRIASAANAIV >Sspon.02G0002500-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:8129152:8136537:1 gene:Sspon.02G0002500-1A transcript:Sspon.02G0002500-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MANLSGGAEAHARFKQYEYRANSSLVLTTDSRPRDTHEPTGEPETLWGRIDPRSFGDRAVQNKPPELLEKLSKSRTNKSKRDAAAAVDPDLPRRDAKRRRRAASAQEVSVLSLTDDAVYKPQTKETRAAYEALLSLIQQQLGGQPLDVLAGAADEVLATLKNDKVKNPDKKKDIEQLLNPISSQLFDQLVSIGKLITDFHDAAAGDAAGAPSADAMDTTLDDDVGVAVEFEEDEDEESDFDQVQDELDEDDEDDMAELNGPGGMQMGGELDDDDMQNANQGLAVNVQDIDAYWLQRKISQAYGDGDIDAQQSQKLAEDILKIIAEGDDRDVENRLVMLLDYEKFDLIKLLLRNRLKIVWCTRLARAEDQEQRKKIEEEMASDPSLAPILEQLHATRASAKERQKNLEKSIRDEAKRLLNNDAAAGADGARDRRAAERDMESGWLKGQRQLLDLESLSFQQGGLFMANKKCELPTGSFRTPHKGYEEVHVPALKAKPYETGEKIVKISDMPEFARSAFDGMTQLNRVQSRVYDTALFKPDNILLCAPTGAGKTNVAVLTILQQIGLHMQDDGQFDNTKYKIVYVAPMKALVAEVVGNLSKRLAGYNVTVRELSGDQNLTKQQIDETQIIVTTPEKWDIVTRKSGDRTYTQMVKLLIIDEIHLLHDNRGPVLESIVARTVRQIETTKEHIRLVGLSATLPNYEDVALFLRVRKESLFYFDNSYRPCPLAQQYIGITVRKPLQRMQLMNEICYEKVMAAAGKHQVLIFVHSRKETAKTAKAIRDTALANDTVSRFLKNESASQEILGTHAELVKNNDLKDLLPYGFAIHHAGMARVDRELVEELFADKHIQVLVSTATLAWGVNLPAHTVIIKGTQIYNPEKGAWTELSPLDVMQMLGRAGRPQYDTHGEGIILTGHSELQFYLSLMNQQLPIESQFISKLADQLNAEIVLGTIQNAREACSWLGYTYLYIRMLRNPTLYGLPADILESDKTLDERRADLIHSAANLLDRNNLIKYDRKTGYFQVTDLGRIASYYYISHGTISTYNEYLKPTMGDIELCRLFSLSEEFKYVGVRLDEKMELAKLLDRVPIPVKESLEEPSAKINVLLQAYISRLKLEGLSLSSDMVYIRQSAGRLLRALFEIVLKRGWAQLAEKALNLCKMVDKQMWSVQTPLRQFTGIPKEILMKLEKKELAWERYYDLSSQEIGELIRYPRWGGSCTTHVQPITRTVLGFELTITPDFQWDDKVHGYVEPFWVIVEDNDGEYILHHEYFMLKKQYVDEDHTLNFTVPIYEPLPPQYFIRVVSDKWLGSQTILPVCFRHLILPEKYAPPTELLDLQPLPVSALRNARYEGLYSAFKHFNPIQTQVFTVLYNSDDSVLVAAPTGSGKTICAEFAILRNHQRAVSGESNMRVVYIAPIEALAKERYRDWERKFGEFAKVVELTGETAADLKLLDKGEIIISTPEKWDALSRRWKQRKHIQQVSLFIVDELHLLGSDKGHVLEVIVSRMRRISSHIGSNIRIVALSASLANAKDLGEWIGATSHGLFNFPPAVRPVPLEIHIQGVDIANFEARMQAMTKPTYTAITQHAKNSKPALVYVPTRKHARLTALDLCAYSSVEGAGTPFLLGSEDEMDTFTRGVEEETLKNTLKCGVGYLHEGLSELDQELVTQLFLGGRIQHNVLGRPLPAHLVVVMGTQYYDGRENAHTDYPITDLLQMMGHASRPLQDNSGKCVILCHAPRKEYYKKFLFEAFPVESNLHHFLHDHMNAEVVVGVVENKQDAVDYLTWTFMYRRLTKNPNFYNLQGVSHRHLSDHLSELVETVLNDLESSKCVAIEEDMYLKPSTLALLLHTTILATQQLSVLVLC >Sspon.08G0015070-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:60338256:60339754:-1 gene:Sspon.08G0015070-1A transcript:Sspon.08G0015070-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTTQSSSWPDLQPELLGIVLKRLPILADRVRLRAVCWPWCSNACLQALPLLNPCLVLFDGSFLSIPGGEIIRMPEQYDGCSYGFIDNWFIVLC >Sspon.06G0026520-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6C:72345112:72347292:1 gene:Sspon.06G0026520-2C transcript:Sspon.06G0026520-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSFSKIITSAAACAVGLIFFSICYLPVAPVTALFFNYTSFSTHDSSDLRIEGEAYINRGWIDLTAFGDKAIDDSRGRASYASPMRLWDRDTGEVASFVTRFSFVIDPPRRYGGLNNKGAGMAFFLVGYPSSLPSKSETYNMGVTNQSADAVATGDGRFVAVEFDTFNDTQANDPDTTYDHLGIDVNSIRSVATEVLPSFSLKGNMTAEVRYDNVSTVLELKLWLGDGRNMSYNLSQKVDLKSALPEIVSVGFSASTGASVELHQLYSWYFYSSLESKATPILDVPPPPPPTPSTSGSRRGGVAAGASVGAALLVVVLFALAVFLAGRRRRRKTRELEPAKEFDEEDIGSSDDDDGHELIRKIEMGTGPRRFPYHELVAATRSFAPEEKLGQGGFGSVYRGHLTSDHNGGLAAVAIKRFAKGSSTQGRKEYKSEIKVISRLRHRNLVQLVGWCHGRDELLLVYELLPNRSLDVHLHGNSGTFLTWPTRMRIVLGLGSALLYLHEEWEQCVVHRDVKPSNVMLDEFFNAKLGDFGLARFIDHAVGMRTMTAVSGTPGYVDPESLITGKASAESDVYSFGVVVLEVACGRRPMSLLGGGVSQRNDVFRLVEWAWDLYGRGAALAAADERLNGEYDAAEVERVVAVGLWCAHPDPRARPSVREAMAALQSNGPVPPLPAKMPVPTYAPPVASLEGLFSYTATTSGMTSSSLTQSSSMTMTSAPNSSAS >Sspon.02G0022120-3C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:74809865:74810355:1 gene:Sspon.02G0022120-3C transcript:Sspon.02G0022120-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHHRWEVFRGDSSNASDLLFTAKKSSIIQLKTEMDIFLASNTAQQVCDFKIKGSYFDRSCAFYLGDSNNMIAQMNRQFTVSNVLHGKDTFGVTVFPHIDYVFIAALVVILDEIHREQSSGGARTKILGIPID >Sspon.01G0013270-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:36350434:36357977:-1 gene:Sspon.01G0013270-1A transcript:Sspon.01G0013270-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MENGNNGGHPPHYPPYQYPYPQAQLYPYGYQCPPAPPPSSSAAPAAPYLSPSPSFPSYAPAPPPPQQQQYHSGPLQAYPPPPQYHAYPPQPPHPSPYGHGYDPYPSSPYPSSYPSTNPSPSPSPGLSPSSSFHHHQHASAPEHQSPAPSAPSYPIEDVLASMRLSDRHDYASSPSVPPPSTPFSGDGSSHGGGMQAVPYGAAAGGSQHGGGMQMVPYGAAAGGSQHGGSFRASLKVVLLHGSLDIWVHDARHLPNKDMFSKRVGELLGPRITSAVGSKMSSASMTSDPYVTVQVSYATVGRTYVIPNCENPVWSQNFLYIPVAQLTMYHHGVIAGPDSLGVPHTYFPLRRGMRVTLYQDAHVPDGCLPDIWLGNGLRYQHGQCWRDIYDAICQARKLIYIVGWSVFHTIHLVRDGTQAPSLGDLLKIKSQEGVRVLLLVWDDPTSRSILGYKLDGFMGTRDEETRRFFKHSSVQVLLCPRSAGKRHSWVKQQKTVIVDADAGNYRRKIVAFVGGLDLCGGRYDTPWHPLFRTLQNEHKEDYHNPNFNERWLKAAKRHGIKKLAKSYDDALLSIERIPDIININDAIYFSDNDPETWHVQVFRSIDSNSAKGFPKDPRAATMKYFIGSSFNWDSNKDLGANNLIPIEIALKIANKIKANERFSAYIVVPMWPEGNPTGAATQRILYWQNKTMQMMYETIYRALKEVGLDDMYEPQDYLNFFCLGNREVADSTSNSNASNTANNPQEQARKNRRFMVYVHSKGMIVDDEYVIIGSANINQRSMEGIRDTEIAMGAMSLWAEHIGSIEEDFNYPESLECMRRVRHLGEENWKQFVADEVTEMRGHLMKYPVSVDRKGKILDHAEPTRSRSFPPPLGLNFDTHVPSEHHDGGLRVGAGGVFEGGAVDHPEPPHAEHPVIVVDDGADLASAVVVPDGHDGVPAVLLGRPGVVDVPRQEVDGEVGGVGEDGLHGRVGVGEVGEGPGLDHPLDGLDAVHAPLQVLRLLEVVERHRRVRARVGGPQLDVARRDGAQDLLQDEAAPERPPVQPPHRLRRALQSLGGSLLRRQRAQLPGLPHDVRSVSTGHFRLRCCQYIWKHLRREEAEVAS >Sspon.06G0034020-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6D:36480065:36480952:-1 gene:Sspon.06G0034020-1D transcript:Sspon.06G0034020-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSVIKSWIIATLTDDLSEIIRAQGSIARHAWLAVESQFLGNREARSIQLETQFRNFIQGDLSVTEYCLKLKKMTDDLTALGEVITNRTLVLNIIRGLNERFAHVGTLLRRAKPFPTFLEAREDLILEELTMANRKEAPAAALTTSTTPTMTPPPSAGSRGTGGGSKAPNSRRSKHGSSGKGQGSSSASGQGSWPPADATPQHQAAGQQQQPAGGALGPASTIPGLAPSRCGQEVLVRLWHPSRCPLTSRRSTRPSSRRNTRHSSRPYSLSSSSRCLLLTSSPLGSGSLRGITTL >Sspon.01G0018080-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1C:55837061:55842102:1 gene:Sspon.01G0018080-2C transcript:Sspon.01G0018080-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLATSSASGRGKPSRSSSAIVADRTSGYHDLKIDSRLLDATSVPHGEFLLSSAFTVGGHTWRIICYPNGDRYDSDAAVGYVSIYLKLDENVAKPVTAQVQFSVMAEKRALFLVRWKKEVLSTKRKFVTSFARQDSSHGYSKFAKNKDVLKTVRQGDPLTIRCHIVVFNGSRAVQSPKHKQAAAAPVHVPPSNLHTHLGDLLSNKRGADVVFEVGGETYPAHRCVLAARSPVFAVELFSSMRESDAAGGVVHIDDMEPQVFHALLHFAYTDTLPEMRKPEEGVLCQHLLVAADRYDMERLKLICEDKLCRYIDVGTAAIILTLAEQHRCHSLKKACIDFLCAPANLKAVVAGDGFEHLSTSWRHFLTPPPNVQLPPSTPQVHNKNTKKKRKNARILFLLSKQASHPPTPAPRRLPAQCLAGRPPRRPHVFPKPPPFIPKLSRRPSFPRPALGRPAAPSGRTSSPSRRPSFPKSPPFIPNPSRRPSFPRPAPFLPKPPPSASHRTGLEGREGLRQWSSPEFKQRSEKNRANRYNRKFKPHKGGSNSIATIREKLSKKLGRQVCEIEAWVHTHRGSNPEDITSLNIEEATACLEKYKAKAIELNGPDFDWLHSPVDARALYECSCGRPHRKWATFNGMVNDKEVLPDLRRSRESAKAARRQRQEEDERLRKEAHDSRVAKEYAQNMTEWSRMRVAELNGMPATSIPGPLPPPPVPPVYADVPSVNPSPDNACTGGSSVGIESPEETLSRIAQGRFRGHENAATTSGGGNYSPPIDDFPFF >Sspon.05G0009670-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:5B:22708288:22708668:1 gene:Sspon.05G0009670-2B transcript:Sspon.05G0009670-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VNGCDASVLVSPLSSSTAPERAAEINLSLPGDAFDAVGRAKAALEAACPGVVSCADVLALAARDLVAILGGPRFPVALGRRDSARSDARDVEGNLPRTNMSARAMVRLFAGKGLTPREMVALAGAHT >Sspon.03G0040270-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:27951645:27955496:1 gene:Sspon.03G0040270-1C transcript:Sspon.03G0040270-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPELSKRTVDEVWKGIQDAPKRNVGEGGRRRRERERQPTLGEMTLEDFLVKAGVVTEGYLKDLNDVGNVEQAYTNELENKVSRLEEENEKLKKQKELEKILFSAPLPEPKYQLRRTGSATF >Sspon.07G0017400-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7A:62715146:62717234:1 gene:Sspon.07G0017400-1A transcript:Sspon.07G0017400-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQIKRMGAGPAVVAVQRVASKRAKQAVGDMSQRQGEGSTSASSNWDYGRVETDQQGQVVGHTGGGELGDDGSGGSLVFLLATATEGETGSTGSSGSSGHNVVTLSYKANQI >Sspon.04G0032120-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:23101267:23105534:1 gene:Sspon.04G0032120-2D transcript:Sspon.04G0032120-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQASRARLFKEYKEVQREKSADPDIQLICDDSNIFKWTALIKGPSETPYEGGVFQLAFAIPEQYPLLPPQVRFLTKIFHPNVHFKTGEICLDILKNAWSPAWTLQSVCRAIIALMAHPEPDSPLNCDSDRQSPEVG >Sspon.03G0001410-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:15257344:15259671:1 gene:Sspon.03G0001410-3D transcript:Sspon.03G0001410-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMQRPPLRPEEYSLKETSPHLGGAAAGDKLTTTYDLVEQMQYLYVRVVKAKELPNKDITGSCDPYVEVKLGNYKGQTRHFEKKNNPEWNQVFAFSKERIQSSVVEIVVKDKDLVKDDFIGRVIFDLNEVPKRVPPDSPLAPQWYRLEDRNGHKVKGELMLAVWMGTQADEAFPEAWHSDAASVPGDGLASIRSKVYLTPKLWYLRVNVIEAQDLIPNDKTRFPEVYVKAMLGNQVLRTRVLASRTLNPMWNEDLMFVAAEPFEEHLILSIEDRVAPGKDEVIGRTIISLQHVPRRLDHRLLTSQWYNLEKHVIIDGEQKKETKFSSRIHLRICLEGGYHVLDESTHYSSDLRPTAKPLWKPSIGILELGILTAQGLLPMKTKDGRGTTDAYCVAKYGQKWVRTRTIIDSFTPKWNEQYTWEVYDPCTVITIGVFDNCHLHGGEKANGARDTRIGKVRIRLSTLETDRVYTHSYPLIVLTPGGVKKMGEVQLAVRFTCSSLLNMMHLYSQPLLPKMHYVHPLSVIQVDNLRRQATNIVSTRLGRAEPPLRKEIVEYMLDVDSHMWSMRKSKANFFRIMGVLSPLIAVAKWFDQICLWRNPLTTILIHVLFVILVLYPELILPTIFLYLFLIGVWYYRWRPRQPPHMDTRLSHAETAHPDELDEEFDTFPTSRPPDIVRMRYDRLRSVAGRIQTVVGDLATQGERLQSLLSWRDPRATALFVVFCFIAAIVLYVTPFRVVVFLAGLYVLRHPRFRHRMPSVPLNFFRRLPARTDSML >Sspon.07G0010570-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7B:25141737:25142309:-1 gene:Sspon.07G0010570-2B transcript:Sspon.07G0010570-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGAGGEATKKALRATASDGDGASVPPVEQQQKQQPVPLPTAGVTGSNAADGSASAAAQSCDEEDGQDDEQVERFYALLANIRALRGLYNAGTGPAASGRGSRKRAREAEAPWTPAFRLEDFEEEVNQGAAAADARCAVMNQGASGGAARRPAVARARVAAADDGHEEDGVVEVARGGNKLGRRVAARG >Sspon.05G0010140-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:23837617:23840387:1 gene:Sspon.05G0010140-2B transcript:Sspon.05G0010140-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipoyl synthase, mitochondrial [Source:Projected from Arabidopsis thaliana (AT2G20860) UniProtKB/Swiss-Prot;Acc:Q9ZWT1] MHGRRHLAASLTWALTQAPSRSISSTPSLLQTLDPSVPSPPPTAGAGRLAELRRRLQADAPSLGEFTYSVEVGTRQRPLPKPKWMKETVPGGAKYAAIKAKLRELKLHTVCEEARCPNLGECWSGGETGTATATIMILGDTCTRGCRFCNVKTSRTPPPPDPDEPSNVAQAIASWGLEYIVITSVDRDDLPDQGSGHFAETVQKLKALKPEMLIEALVPDFRGDPSCVEKVATSGLHVFAHNIETVEELQRNVRDYRANFKQSIDVLKMAKEYAPPGTLTKTSIMLGCGETPDQVISTMEKVRAAGVDVITFGQYMRPSKRHMPVSEYVTPEAFEKYRALGVEMGFRYVASGPMVRSSYKAGEFYIKAMIEADRAKSTTAADSSA >Sspon.04G0009800-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:28236750:28241022:-1 gene:Sspon.04G0009800-3C transcript:Sspon.04G0009800-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAPADKGKKAKTEADGGEENEQIDGALVLSIEKLQEIQDELEKVNEEASDKVMEVEQKYSEIRRPVYLKRGDIIKTIPDFWLTAFLSHPLLSELLTEEDQKIFKYLDSIDVDDSDVKAGYSIHLNFSENPYFEDTKLTKTYSFADDGTTTIKASQIKWKEGMGPANGNGINKKGSKRPLVEESFFTWFGDTELKSLADGVQDEVAEIIKEDLWPNPLKYFNNEVEDEFEGDEDDDDLDDDEDDDDGGEEN >Sspon.08G0024940-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:4116808:4127509:1 gene:Sspon.08G0024940-1C transcript:Sspon.08G0024940-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAPWRETAQPAPPRMRTRLPRCLRPGRRQASRSQGLVTGAAVVRLVPTSDPLRRSHSHTRKLKVGLQNPFGDRMMERLSALVLDSDPASLSTISEMLARFNFKVFPFQTAEAALDFIEGGAAKEAELDLVLVDVNLNNMAPGTSANSDLLHYYILNELEVPLTGKSLYSEIYYFKNFSPIPNSPFQGYSSLLTAMCSCDDEEALSKCMNLGACFHVLKPLDRRSFSILWHQALEHKSKKAAPQGPTLNSTRNGMVSSSTEKPKEVLILEKNDLSDPESNGYEEPKKRNRITWTIELHEKFLGAVEALGGNEYATPEGILHLMNIKGLTAKHIGSHLQKHRLRHRNAKQGGQPQENASRKPMGHDEGTSESITPRVETDGEVYPLRLWAEVKMKKRSADTVALKTEDTKSVCMWDKYENNLHRVFSEKKKRYGAFTEISGSSNMLTSNQVGENNRTEFARDNDHMENINLLKVYGASIENAGSSSLLASDQVRQNKLTEAARNNDAMGNNNFLEGIVDPEYNASMDFSVAWAHLDSNDLDLTGPSDGREEADSFRMSQLESLGLEDLRDNACNDALRSVGLINIDEPVTQGSQEQQSLGMEDLQQVDDIPLDDALISVGLINLLDEPMTEEAAVGGSQDQQSLAPEVLQAGNNAWDDDLRSAGMVNLLDEPISQEAAIGDALMDDPVSPIAQDD >Sspon.01G0042270-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:94520050:94523009:-1 gene:Sspon.01G0042270-1P transcript:Sspon.01G0042270-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYAGASIAGGGAAARGRSRSFGSRSINGADGAGVFVRAGADNEMYVRADKIDFKNLDVQLEKTRSQVWLERQRSQRSASPRPETPLLEWEIDLAKLDIQNQIAHGTFGVVYRGTYDGHDVAGTSVHTAIITSGPHRRRTLSMGVGPAAPHPSIFEGGRRPEVPTTKVVENGRWEGLTQGQLRALAPKRPSSTRARCGANGDVNVGVDKGIKAKTYEAGWATWRKINSVEGRHGSVVIGEEARRCDTAAKHREAFEKEVAVWQKLDHPNVTKFTAPCTSESLKLAGGMQFVGASMGTSQLKIPKKGSTSSCGGRTAPNECCVVVVEFQHGGTLKTLMYNHRDKKLSYRKVVRLALDLARGLSYLHSKKIMHRDVKAENMLLDRKRTLKIADFGSCEVTGQTGTLGYMAPEVLQGKPYDHKCDVYSFGILLWETYCCAMAYPNYSLADISYHVVKLGIRPDIPRCCPRALADIMTRCWDGNPDNRPEMSEVVALLEKIDTSSGKGGMTPVDDVAQGCSCFGFNRSVA >Sspon.01G0048960-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:110592937:110596579:1 gene:Sspon.01G0048960-1B transcript:Sspon.01G0048960-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAARSLHLQGPTPSKPSNPSPHRLPVPSHLRPQRSRRLAASSSDLTSFPEPAPALNGVHVPWAASSSVAAIDVDAATEAELRENGFRSTRRTKLVCTVGPATCGAAELEALAVGGMNVARVNMCHGDREWHRGVIRAVRRLNEEKGFAVAVMMDTEGSEIHMGDLAGAPSAKAEDGEVWTFSVRSSDTSLPDRIIHVNYDGFAEDVKAGDELFVDGGMARFEVIEKLGPDVKCRCTDPGLLLPRANLTIWRDGSVVRERNAMLPTISSKIIIILMTSSFQDWLDIDFGIAEGVDFIAVSFVKSAEVINHLKSYISARSRGSDIGVIAKIESIDALKNLEEIIRASDGIMVARGDLGAQIPLEQVPSIQKRIVRMCRQLNKPVIVASQLLESMIEYPTPTRAEVADVSEAVRQRADALMLSGESAMGRYPEKALSVLRSVSLRIERWWREEKRHEALELQDVSSSFSDKISEQICNSAAKMANNLGVDAVFVYTKDGYMASLLSRCRPDCPIFAFTSSMSVRRRLNLQWGLIPFRLSESDDMESNLNRTFSLLKARGMVQSGDLVIALSDMLQSIQVMN >Sspon.07G0016430-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:58152876:58153088:1 gene:Sspon.07G0016430-1A transcript:Sspon.07G0016430-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDWGPVVIGLVLFVLLSPGLLVQLPGRHHFVEFGNLQTSAISILVHSIIYFALITLFVIVIGVHITTGN >Sspon.07G0013000-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:46321585:46326716:1 gene:Sspon.07G0013000-3D transcript:Sspon.07G0013000-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTASGDGADAGGDGASSAAAAASAPAGRRIPPASSMPWVRNLRRFVGTGAGLGSEALMELETKRILLEIFKERQRKSAEAGSIPSFYKKKPEEGSISSRVQRLAKYRFLKKQSELLLNADDLDAMWVCLRENCVIDDATGAEKMNYEDFCHIATVCTEQIGQKCKRFFSPSNFMKFEKDDSGRIAILPFYLYVMRTVSLTQARIDMSELDEDSDGFLQPHEMEAYIRGLIPNLAQLRDMPTAFVQMYCRIAARKFFFFCDPHRRGKACIKKVLLSNCLQELMELHQESEEEVTDTEQAENWFSLTSAQRICDMFLALDKDTNGTLSKQELKEYADGTLTEIFIERVFDEHVRRSKVGGGNSREMDFESFLDFVLALENKDTPEGLTYLFRCLDLNGRGFLTTADIHTLFRDVHQKWIEGGNYELCIEDVRDEIWDMVKPADPLRISLSDLLSCKQGGTIASMLIDVRGFWAHDNRENLLQEEEEQVEEA >Sspon.08G0015940-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8B:58007505:58008467:-1 gene:Sspon.08G0015940-2B transcript:Sspon.08G0015940-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding YEVHGLIRRSSNFNTQRLDHIYHDPHAVPSSPRPPMRLHYADLSDSSSLRRALDAISPDEVYNLAAQSHVAVSFEVPDYTADVTATGALRLLEAVRLSRKPIRYYQAGSSEMFGSTPPPQSEDTPFHPRSPYAAAKVAAHWYTVNYREAYGIFACNGVLFNHESPRRGENFVTRKITRAVGRIKVGLQTKVFLGNLSAARDWGFAGDYVEAMWLMLQQDQPADYVVATEESHTVEEFLQAAFGYAGLNWKDHVVIDKKYFRPAEVDSLKGDSTKARRVLKWKPKVGFQQLVEMMVDHDIELAKKEKVLVDAGYRDPKQQP >Sspon.08G0014840-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:60083956:60084859:1 gene:Sspon.08G0014840-1A transcript:Sspon.08G0014840-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHHHDLLISTLVEICSGDLLYMARHVIKFSIVDPIQQVAEGGGVHDMEPAGRRQKIATHVHSIRKDMFLARDLYSLLRIGVFNKEL >Sspon.05G0028050-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:33289383:33296202:-1 gene:Sspon.05G0028050-2D transcript:Sspon.05G0028050-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin response factor 1 [Source:Projected from Arabidopsis thaliana (AT1G59750) UniProtKB/Swiss-Prot;Acc:Q8L7G0] MAAAMDAPNSGAAAGSGGMPSDALYRELWHACAGPLVTVPRQGERVYYFPRAIWSRPKLIQMKFMLKLCCNQKLLTSRSDFIVSVNKYLEAKKRKISVGMRFKMRFEGDEAPERRYGLDLLSFKHVIYAGRKINISVSDYRFSGTIIGTGSLPAMSKSLWADSDWRSLKVQWDEPSSILRPDRISPWEVEPLDAANPQSPQPSLRTKRPRPPASPCMVSELPSGFVLVAFMLGLWKSPTESSRTLSFSEPQRARELFPSIPTSTFSSSSNVSFNSKNEPSMLTSQFYWSARDTRADSCAASTNTVIVEKKQEPSSGGCRLFGIDICSAEEEVLPVVTAPGVGYEQTAASVELNSDKLSQPSDVNNSDAPAASSERSPLESQSRQVRSCTKVIMQGMAVGRAVDLTKLSGYSDLCQKLEEMFDIHGELGSTLKKWRVIFTDDEDDMMLVGDDPWDEFCRMVKRIYIYTYEEAKKLTSKSKLPVSSDSSKLSAVNSLSE >Sspon.02G0001930-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:5687297:5691438:1 gene:Sspon.02G0001930-2B transcript:Sspon.02G0001930-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding TMRTTTTTALPLLLVLCLVLPLLPGATAKAARPRQGDYLARLRGSPWPAESASLAVASATDRAGRHAASPPVGRKEDDRVDKLPGQPSGVDFEQYAGYVTVDAAAGRALFYYLAEAVGGGSAAKPLLLWLNAGPGCSSLGYGAMEELGPFRVKSDGKTLYRNPYAWNNAANVLFLESPAGVGFSYSNTTADYGRFGDNKTAEDALQFLLNWMDKFPEYKGRDFYLAGESYAGHYVPQLAHAILRHAAGKPSSSPINLKGIKIGNAVINDWTDSKGMYDFFWTHALISDATADAIGRYCNFSAAAADSDECDKATSDAGEALEDIDIYNIYAPNCQSADLVSPPITPQMDNFDPCSDYYVNAYLNDPDVQKALHANVTRLDHPWSACSDVLRRWTDSATTVLPILTELLNNDVRVWVYSGDTDGRVPVTSSRYSVNQLQLPVAAKWRAWFSSTQGAGEVGGYVVQYKGKEKGSLSLVTVRGAGHEVPSYQPKRALVLVQGFLAGKTLPDCKTCESA >Sspon.02G0049760-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:43592051:43596307:-1 gene:Sspon.02G0049760-1C transcript:Sspon.02G0049760-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:HCC2 [Source:Projected from Arabidopsis thaliana (AT4G39740) UniProtKB/TrEMBL;Acc:A0A178V000] MELSGEDSGRDMILPARTYHPRGYSSGGSSKYDRPMRQFSEQNESTSRPLIYYVAPALLAFAGIATFVHYNDERRAVPLAKVPGQTSVPKRCNTNRPAIGGPFKLYDTEKNEVTESKLRGNWTLMYFGYTSCPDVGPAEVQKMADVVKLLESKYGIKITPLFITIDPQRDSPAQLKTYLSEFDPRIVGLTGPISAVRQIAQEYRVFFKRVEEVGQDYLVESSHNMYLLDPYLETVRCFGTEYEASDLAEAITTEVLLDTTCFVSAPGMTAATCCHYACPSPRGCRHAHFIFAGVFVLGPSLGVDIP >Sspon.02G0005760-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:18117809:18120725:1 gene:Sspon.02G0005760-1A transcript:Sspon.02G0005760-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEALDVLRRWGLQRARSEEAGGGRRQAVELSSGCMPGQLGRPRGCYRCPGPSSPCSNRVHQIGGTDGDQMDLGGSGVGQIAVYPVLIGKDEMKTFAALNDAEILILIKEKMPEGVYESSLTLTGFLFLHAPFIVKEMWTLQRACKVLTILVGAKDDQH >Sspon.04G0025250-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:29172631:29176819:1 gene:Sspon.04G0025250-2C transcript:Sspon.04G0025250-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding QMAEAETEAEATAGRLRLYSHWRSSCSHRARIALNLTGVDYEYKAVNLVKGEQSDPEFVKLNPMKFVPALVDGDSVIGDSYAIAQYLEDKYPEPPLIPHDLQKKALNHQIAGIVASGIQPFHNRTVQRLIDQKVGAGESVLWTQQQTERGFIAIENLIQLKGCAGKYATGDEVQQADVFLAPQIYAAIERTKIDQSNYPTLARLHLEYMAHPAFVAALPGRQPDAPSSS >Sspon.05G0029350-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:74257731:74258985:-1 gene:Sspon.05G0029350-2C transcript:Sspon.05G0029350-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPTTPSSPRTAGSPDSTRGLAVVHRMREAGVRPDAVTYNSLITGADRSGLTVRALDLFDEMLRSGVAPDSWSYNALMHSLFRSGHPEHAYRVFADMAEKGVAPCATTYNTLLDGLFRAGHATNAYRMFRYLQRAGLPVGIVTYNTMINGLCKSGKELGRTEHAPNAVTYTTVMKCCFRYGRFEQGLETFLSLLEGGYISDAFPYTTVISALVKKGRMQEANTYCELMIQSGSTLDNACYNTLIHLRCQEGKIDDAFELLNMMEEGGLESDEYTFSILVNGLCKMGQIEAAEKQIWSMEMMGMQSNVVAYNCLIDALCKCHEVDAAIKILHSMKLKDDFTYTSLVHGLCKVGRYHMASKFLRICLHEGNNVLASAKRAVISGLRSAGFKNDLRKVRSALYMARLLRS >Sspon.01G0043400-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:38638443:38645685:-1 gene:Sspon.01G0043400-2D transcript:Sspon.01G0043400-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Actin-related protein 7 [Source:Projected from Arabidopsis thaliana (AT3G60830) UniProtKB/Swiss-Prot;Acc:Q8L4Y5] MEAVVVDAGSKLLKAGIAAPDQAPPLVMPSKMKVDVEDQELADGAVVEEVVQPVVRGFVKDWDAMEDLLNYVLYRNIGWEIGDEGQILFTEPLFTPKTLREQLVQLMFEKFNVSGFYASEQAVLSLYAVGRISGCTVDIGHGKIDIAPVCEGAVQHIASKRLEIGGVDLTNLFAQELKKSNPSVNLDVSDVERLKEQYACCTEDQLAFEAIGSSCQPERHTLPDGQVITIEKEGYIVGEALFQPHILGLEDYGIVHQLVTSVSNVSSEYHRQLLENTMLCGGTASMTGFEDRFQREANLSASAICPSLVKPPEYMPENLARYSAWLGGAILAKVVFPQNQHVTKGEYDETGPSIVHKKCF >Sspon.05G0007930-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:26120600:26121473:-1 gene:Sspon.05G0007930-3D transcript:Sspon.05G0007930-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKNTQQPRKDGGARSGGLSSKKSRWYQRAVEVLLLIWKQPPAAAAGASPTKAAAASGVTAGGKGAAAAGPGKLRKSSSLNVAASFTRVCLCAPISSYNSESLYCFQADAAPRRSYSYPRASSASASGGCGVSPLVAPPPAAELQHAVGRGRPAAGELGARRVFRGKSLTDDILMRRFVVDEEATRRRNEMEVIRRRHAAATKRRRLGPSPLRRMALAESESEAEEEAPEAAPPAAAAAGTGSRVTDNRVAAAVA >Sspon.04G0009570-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4A:28410602:28413873:-1 gene:Sspon.04G0009570-1A transcript:Sspon.04G0009570-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGGGAAAPARKVIEWFDFVTLNREGGRHGQEHEQCGVVVRRMAGNKLGVRRANGTLFAAGPGDLSVLDRSHFRRGDIVALESDRGGQIGVVTGGSTALDLVRFEDDGHGRRRARPQAVAAQEQALIVSPESEELRRVTELVLGEYVVSGAWLGRVVEVSLDVDVVFDDGALCRLNTGAERKLVGAQAKEYDDLWSGRQTNSVLYPGQRVVRRSPSVFQESQWIRGYWKPSRVRGTVSRVGVAGVLVRWVASKHRLGTTKAPSAWQPNPQKLARFSYPTAEASAWHVGDRCFFRTPRRICHDHDHGHAAGIGVDDHDDHGRLTRRHRHGNRRTNRPSRQVHLQAESSLERPMSVAGTRTTVDVLWQDGTRQCGLPSRSLVLFRARNVYDFFPGQHVISRAAAGEQLSGIVRSLNCEDKTVHVSWLKPAATCPGPAGNSSSMAMEGETAVVSAYDLACDLDANFFYGDVVLRLQHPNPTETIIARMIFHGSDILFISAVRMDTSKSSGMLREIGDWLYDDDDMEQEDATSAAAAHQAQPVVAIAGSDEEDSSDGDSESMTMTTMAMDRVRSVTRALIRLAGDLFAQGKRYRAAAVAEESAASPEPAAAMEDVAVEATTPMSRVDSDGSTSSSTSTSSTTTSVVKTGGDQPFQFPRFDVVQSPPDHHFLDSIEQATAVGRKWTKRVQKEWKTLDKDLPDTIYVRAFEDRTDLLRVVMVGASGTPYHDGLFFFDLHLPPSYPAEPPLVNYRSFGLRVNPNLYPSGTVCLSLLNTFGGHGAELWSPEASTILQVLVSIQGLVLTAQPYYNEHAHRAEAGTPMGLRNELPYRENTYLLNLQTMIHLLRRPPAGFEEFVRHHFRRRGQHVIRACEAYRDAGCLVGTLDEEGHPTDTEGSRERPCSAGFRLALLNVLPRLAEAFA >Sspon.02G0004600-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:9109117:9113525:1 gene:Sspon.02G0004600-1P transcript:Sspon.02G0004600-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKGLFTNDGSFMERFKQMQQEAQEKEKAAAAAASSAPKQASPKQGFAVAANKRPFELKKAGPVASAGKLAFSLKKAKVAVAPVFSADDEDEDAADVEREEPAKRQKSVQADAPAAAAPAGAVAPPPPNDMTVRQVADKLASFVAKNGRQFENITRQRNPGDTPFKFLFDKHCPDYKYYEYQLAEEEKALAQSKEAEASKTNSGIASFKAPGGTHRSSFEQKSNYQTPASALCGAYEGDHMTPPSDPVALMEFYAKKAAQEERKRPPRQSKDEMPPPPSLQGPPKKGHHMGDFIPPEELEKFMARCNDAAAQKATKEAAEKAKIQADNIGHKLLSKMGWREGEGLGSERRGRADPIMAGDVKKDHLGVGAVQPGEVTSEDDIYEQYKKRMMLGYRYRPNPL >Sspon.03G0029340-3D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3D:13970496:13970918:-1 gene:Sspon.03G0029340-3D transcript:Sspon.03G0029340-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASLWRAVMGTGAASTDATDSAGGGVEFWRAPERVGWLTKQGEYIKTWRRRWFVLKQGRLFWFKESTVTLASVPRGVIPVASCLTVKGAEDVLNRPYAFELSTPRETMYFIADTEKEKEEWINSIGRSIVQHSRSVTDAE >Sspon.06G0011000-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:47533465:47534979:1 gene:Sspon.06G0011000-2B transcript:Sspon.06G0011000-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPHALIIPYPAQGHVIPLLELAHELVNRGFTVTFANSEFNHRRVVAAAAESSLLDRSRIRLVAVPDGMEPGEDRNNLVRLTLLMAEHMAPRVEDLIRRSGGDADGGPITCVVADYNLGTWALDVARRTGVKSAAIWPASAAVLASLLSIEKLVQDNIIDPEDGSALSQGTFQLSPDMPVMQTAQLAWNCIGNQDGQEAMFRYLKAGVRAVENCDFVLCNSFHGAEQATFAQFPQILPVGPFLTGERKKAAVVGHFWRPEDDACMSWLDAQPARSVVYVAFGSFTMFDTRQFRELALGLELSGRPFLWVVRPDIVLGGDVHDYPDGFLDRVTASGRGMVVAWSPQQRVLSHPSVACFVSHCGWNSTMEGVRNGLPFLAWPYFADQFVNQVYISDVWKVGLRAEADESGVITKEHIAGRIEELMSDASMRERVEAMKKVAHESVNHGGSSHRNFDMFVEAIKA >Sspon.03G0016910-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:77459480:77463125:-1 gene:Sspon.03G0016910-2B transcript:Sspon.03G0016910-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAAGAASAAVANQDLMNALRTAVLRRSAPHWSTAAASFFSPPFRPRRCRCRREPAPAAAARTPRSRASAKARAKLLAEAEPRDPWLASLSLLPTDDVAGADAAHNGWAIGVDPDTGGAIAVLSPDGSSQVFDNPFVHIVVSEVIRKRLDTKSIIQLLRGLDAPPGTTAYIEKSSPFPTDGKLGWWSTGFSYGLWIASLVASGFSVVPVASQTWKAYFGLSRSETPKDDSRQAASILFPDKARSLKLKKHHGRAEALLLAAYGKGLVLPSGKFSKTSGIMEISLESEFRGVRGNFAQSSRCLGPRLASQVLADELAGGHHLAQVDARLDSQPVEHVHYVLRRHIP >Sspon.01G0024050-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:84552458:84558718:-1 gene:Sspon.01G0024050-2C transcript:Sspon.01G0024050-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKFFPDWSNMGDTSRPLGEDDDLIELLWCNGHVVMQSQTNRKVPPRPEKAAVVAPPAPASVPQEDEGGLWFPFALADSLDKDIFSEFFYEAPAPAPAGVAAAALVASGGTSTEAAGGKSCRDDVPAEADRRGACPVSEDPCDLMPPPKSTPASCSRQQTMSLANGGGDNAGDLSDLVVLAGSAGKSAAAAAAEAGASSMLSVIGSSICGSNQVLVQRAVGAPGRPNANAMGGRGNEASSSGRSNYCFGTATTTTTTTTTEPTSTSNRSSKRKRLDTEDSESPSEDAESESAAMLARKPPQKMTTARRSRAAEVHNLSERRRRDRINEKMRALQELIPNCNKMMWMGSGIAAAPPAVMFPGVHQYLPRMGVGMGPAAAMPSMPRLPFMAAPQPVAPANAQVNVNPVPGYRGHHLPPPAVGITEPYGHYLGINHLQPPPSQVQVQHYAQGVGYYPPLGAKAVQQQAPELHHVPGPGGSMPAGAAPGVLPPESAPAPSSGPAG >Sspon.04G0007980-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4A:22484209:22484640:1 gene:Sspon.04G0007980-1A transcript:Sspon.04G0007980-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPTPTSRAYLHFAQAPHGVVVPTSPAAAAATGADEEDFDESDIWGAFAPSAARPSRQGGPVSGRAEGVVAGEGAGAGAGRQGRARVAAGEDPGLVQDPGQRVPAWLPLRRRRTGSSTTTRTPWTWCRPTSWRGAAAPRRCL >Sspon.08G0007760-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:24151443:24153622:1 gene:Sspon.08G0007760-2B transcript:Sspon.08G0007760-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEVKQKVATEDILEEDDEKRSSENSVPPVILDLNEGFGEGSDEGDAGDDDDGNEEDGDDGGSTSEVEGCRSSSSNNSSTNHTSMSNKDCDMDSSSKGEGSGERTLTVRQYNRSKLPRLRWTPDLHMAFVHSVERLGGQERATPKLVLQMMNVRGLSIAHVKSHLQMYRSKKLDHESGGHERAAISSVFSPMDFHMMRRGDHRFHDMFLHRAPGSVISSGRLLHNGELFGSRNAVSPEATSRLYTLLQRRQQPSMQTFDFKNYSSSLRDQEWSFSQHAAAAAARAGAINDHGPTKGLIHDMFFRKNGRVTSHLFDVRDAIASNRTSSDAAGAANHGGRVVRSTDWDGTSSGPPLSRTMSAAASTGLELGSHQLLSRGRGSGNVASSDPVVTSEALGSRLQTFLEPSKVIGEMCAGTRTTKRMKTPMEGNGGTPDLQLSLNPNDDMGGDADKQVKKRKFLGIGLSEQEVDDDSGKTTLPLSLSLSLRGGEWSGGDDARRLEAATGSSGNKAALGRSTLDLTMSIKALE >Sspon.01G0053610-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:40044471:40047278:-1 gene:Sspon.01G0053610-1C transcript:Sspon.01G0053610-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MWDASSGSTSELLTINEDNGPITSVNWALDGQHITIGLNSSDVQLSSNRLARVGSLTWNNNILTTGGIDGKIVNNDVRIRNHTYKGHSQEVCGLEWSGSGVQSWMTPILGLTLTYVLDGDNLRHVLNKGSCGIKAEDHAENIRRGSLTFDIVETCKIT >Sspon.06G0021430-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6B:15617514:15618113:-1 gene:Sspon.06G0021430-1B transcript:Sspon.06G0021430-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGADGAQQHHPCSICMEPMAPTAAHRGGAACAHAFCRACLSGHVRAKLECGGGGGGGAVVRCPDASCAAALDPELCRGALPSEVFERWCAKLCESLFLGARRTYCPFPDCSEMMVADDDGEECVTQSECHGCRRLFCARCGVPWHAGVTCEEFARLGEGERAREDLLLVKAAREGNWKRCPRCRFYVEKSSGCLHITCR >Sspon.01G0010590-3P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:8507938:8509065:-1 gene:Sspon.01G0010590-3P transcript:Sspon.01G0010590-3P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPTGDDPSAAAGISFPDADGGGGDSEDGDFADAHLLDPTDPGLPNPTTSSATGLPHAIPAAGSGGGPVTSGNGGERRPLFQRLWTEEDEIVILRGFAEFTAARGTAFASHQYDTDPFYEDMRRRLQLDFSKSQLVEKLRRLKRKYRNCVSRLRESGATFTFRSPHEQAIFEIARNIWRPTNKHGRDPSADSDDEDAAAATQVPANTSPNGEVKSPSSARQRRRRRVTEFNPATGAAPATNMLQPPQPVQLSVSVPVKMDDCLPAQPQTPMPVMVTMDGSEPLRLPVVSPQSGISDAEKTCLTPLFKEMIRAGINVGANPFGAKLPEPPLGLPMEGEKWRKQRILELEVYLKRIELLQDQAKATLEELKSSTPGT >Sspon.02G0041240-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2B:75051277:75057166:1 gene:Sspon.02G0041240-1B transcript:Sspon.02G0041240-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATALSVGKSVLNGALSYAKSAIAQEVALQLGVQRDHAFIRDELEMMLSFLMAAHEQRDNHKVVKTWVKQVRDVAYDVEDCLQDLAVRLGKPSRWCFVRTLVDQRRVATWMKELRAKVEDVSQRNVRYRLVKDTNGPKSAISAGPSSIASATMFGFEEARRHKDRAKVDLSQLITEGNEDLRVIAVWGTSGVLGQSIIIRGAYDDLKRSEKFELYAWIRIVHPFNPLEFLQCIMRQFYSTYFQQARKTQEKANIGAQVLNKMGMMKQADLVNVFCEHVNEKSYLIVLNDLSTIEEWDAIKEYFPDNKKGSRIIVSTEHGEVASLCTGQESIVSELNQPSVDQSIFASHNKASQNQTALMEPGSSSSENTLGTNNSVMTTDEIVEDQFVDDNEKIARKSLSRLGTMTCALEESQLVGREKEKFELINLISKQDDQKTMVISVWGMGGLGKTTLVKEVYQSQELSGLFEMRACVTIMRPFVLQDVLDSLCMQLDPESYNRKGNDFGLLRRKLEENEAKIEELSKLVGRKVTDEEVLIEKLGNLLKGKKFLIVLDDLSSLEEWSAIIRSLPKMNSTCRIVITTREENIARHCSEKQENIYKLQVLEDSDALDLFTKKTQCVVNPVFGAFPEPPSPSGLWISDTLRFLLGEFGFWTCKLMPLPFHVFKEAINLDQHPALIEEAKMIMKKCNGLPIAIVTIGGFLAKQPKTPMQWRKLSEHISAELEMNPELGIIRAILMKSYDGLPYHLKSCFLYLSIFPEDYNISRRRLVLRWNAEGYSSDVRGMSMREIADSYFTELIERSMVLPSVESVWSIGSRKGISSCKLHDLMREISISKAMEENLVFRMEEGCSLNTQGTIRHLAISSNWEGDQSEFESTMELSRIRSLTVFGKWKPFYISDKMKLLRVLDLESTSGLVDHHLEPIGKLLHLKYLSLRECDGIFHLPESLGNLKQLETLDVTNTRIIKLSHAITKLRKLQYIYAGSLYNSFFGSSSYEEFMEDIPKLIRNKLCLWTLILIVFCISSCNLGFWKSAMDMEDWESINRRDVCTFYCCTLFPFLARFADPIGVAVPRGLRKLKALHTLGTVNIAPGKAILQEIKRLTRLRKLAVTGINKKNCQEFCSTLAHLSSLESLSVHSEEEEGLRDCLDSLRTPPENLQSLKLYGTLGKLPEWVTGLQNLVKLKLECTALTELDGSIQVLGKLPNLAILRLLGLSFMAEEPRHLSCRREAFPSLTALETRWPTGIESVLFEEGTAPKLELLHGWDPISFSGLSCLPSLKEVMIRNHPRLVRNVQGQLSTHPNKPVLKFV >Sspon.02G0018480-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:61502614:61503477:-1 gene:Sspon.02G0018480-2B transcript:Sspon.02G0018480-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKRRGNKVVGSVVKTKVVQETVEVIVADDDGAEAEQQLVPEALALARPAVDVSGSTVVHVVEVTTPDGGDNATGSNVKQPTAAKRGRGRREEEKQQAPPEDSVPVPQSQETQDPNEEQEQEEDTSKKKKQKQQDEEEQQPETPRVASERKAIPKKPKTKPQQQAGGGDAAGKKRKARRRLGQASSGGDAGMGGIGGYKRYVWRVLKQVHPDLGVSGHAMQVLDMMMADMFERLADEAARLCKATGRVTLTSREVQSAVRLVLPGDLGKHAISEGTKAISKYMSYA >Sspon.06G0020490-1P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6D:9373004:9373456:1 gene:Sspon.06G0020490-1P transcript:Sspon.06G0020490-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MISAPLRVSAPKAAVGGSSFLFGSAPSVESKSKPSRIKIRCAAAPAAVGRGGAAAATLYEVLGLRAGATGREIKAAYRRLARERHPDVAPAPGAAAEFVRLHDAYATLSDPDTRARYDRGAVPAVAQRPWSGGVGVYGRPRRTWETDQCW >Sspon.04G0025630-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4B:28508103:28508248:-1 gene:Sspon.04G0025630-1B transcript:Sspon.04G0025630-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding WITSRAGSARLGSFWLVRITSQLGSARYLNEPESQLGSTRYELELAR >Sspon.07G0001310-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:3090494:3091342:-1 gene:Sspon.07G0001310-1A transcript:Sspon.07G0001310-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGAALRLRLLYRMLRVGELLALVAFLSWSSSRVPSAAAAVLRFAGSLLLNARFVFVLGNAIVLLLLALSRHDLSASSSSSSSSGQQPGTAATSTAAAPPPQDAAPAPASASFPSSFAGTPLSPPAPATSLEAFSAPPPVAAMEAAAPAADAGTAFEFDDRPRAAARVSKLARAPRRSRSEKMGPRVLQLRRAASPPPTPELRRSESENGRRRSSVSARDARACGWGADDADEFRRTVEAFIDKHKRFHRQESMTIAASGGGSGHCEAAPAFTGALAVVE >Sspon.05G0030510-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:90873247:90879784:-1 gene:Sspon.05G0030510-1B transcript:Sspon.05G0030510-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFEWQVGTNSWSEHPLDLSHECICQVVSFKGHILVIDALMRLHTIELTPQFSIKQISVAQSSLQSLLLTPWLVVCGDMLLMVDLAITRSSLPSLSSSLSFAAPNSHIRFFNVFRLDFLVKPAKWVQMEKLENHALFLSLDGRNPAISCMNPERWGGKSNCVYVARLFDDANPEESWTALEVGQSVPHRRVFDTMMYGLTSPPDYRQIGSLWLFPSLVYGSTHRQRRRRGARSSKHGAGSSSLVDATLSTREVTIVEKSGCTSSGPYVCADLLDNLLHEIIVPMNSFQDFLAFSGTCHSWRAAISSFPSVYAFSFPPLHLEPDGPYVPPHSGGFKSLCLSNCKWKLCDLTKKNLALQCSVPQNTPNETDYLGCSHGYLIFTYEEHCLLVDAHTGAKVMPPKLPCNNNLGYSSGIGVLTAPFSSPNSRLLLFSRVSMFEWQVGTNSWSEHPLNIEHERIYQVVSFKGHILVIDALMRLHTIQLTPQFSMKEVAVTWRSLRSFPLTTWLVVCGDMLLMVGFTIASLPSVGSSLSFGRSNGAIRFFEVFRLDFSVKPAKWVQMEKLENRALFLSLDRRNPAFSCVNPERWGGKSNCVYVARLFNDADPEETWTALEVGQSVPHRRVLDTRMYGPIFLPDYRQIGSLWLFPSLVYGASHIGVLRAPFYSPNSRLLLCSRASMFEWQ >Sspon.07G0029850-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:70805245:70813080:1 gene:Sspon.07G0029850-2C transcript:Sspon.07G0029850-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRIRIEGLLAAFPKLVGTGKQHTYVETENVRYVYQPIEGLYLLLITNKQSNILEDLDTLRLLSKLVPEYSPSLDEEGICKTAFEIIFAFDEAISLGNKENVTVQQVKQYCEMESHEEKAHKLMMQTKINETKDLMKKRANELDKMKMERGKLDKGGYSSISGPRVIEKAFGDMSISGSGFGSGSGLGGLSTDMDSFASKPKGRPSTAATAPGKGFGMKLGKTQKTNQFLESLKAEGEVILEDVQPSAVSTRSSALPPSDPVTVTIEEKLNVVVKRDGGINNFDVQGTLALQVLNDTDGFIQLQIESQDIPGLSFKTHPNINKDLFNGQQILGAKDPNRPFPSGQNETPLVGLKKLRVGMVTGILPLKEGNPPKFSQRARLLTANYQDSVIV >Sspon.01G0041140-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:40548630:40553263:-1 gene:Sspon.01G0041140-2C transcript:Sspon.01G0041140-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGLRAASPSGAGSGAVAGAGSDHGAPRLAMACVLASEAATVLAVMRRNVRWAGVRYGGGDGGAGDDEHLDHPLVAGLKALRRRAAAWGHGRWAGVEPLLYLRPFLDVVRSDETGAPITGAALSSLHKILTLDLVGPGAPGAAEAMAAVVDAVTACRFEVTDPASEEAVLARVLQVLLACVRSRAAPVLANRHVCTIVNTCFRVVQQAGTKGELLQRVSRQTMQEVIRTVFARLPDIDVTVLSDEQVAGCKNQCLGAEETENGKSDYVCLNSSGDEVGDGSGVVPDKDLMEPFGVPCMVEILQFLCSLLNIAEDIEVNPRMSPIDFDEDVPLFALGLINSAIELSASSIHRHQKLLAFVQDELFRNLMHFGLSMSPLILSTVCSIVFTLFYHLRHELKLQIEAFFSCVILRLAQSRYGASYQQQEVALEALIDFCRQKEFMAEMYANMDCDLQCSNIFEELANLLSKSAFPVNSPLSALNVLALDGLVAVIQAMAQRTDNAPQHHDQTVPEISEYFPFWQLKCESSNDPDQWVKFVHQQKSIKRKLMVGVEHFNRDKKKGFEYLQGVHLLPEKLDPHNVALFFRYTPGLDKNLLGDYLGNHDEFSIRVLHEFARTFDFKDMNLDAALRLFLETFRLPGESQKIQRILEAFSERYYEQSPQMFVNRDAALVLSYSVIMLNTDQHNVRVKKKMTEEDFIRNNRRINGGNDLPREFLSELYYSICRNEIRTIPEQGAGCSEMSFSRWVDLMWRSKRTSMYIACDSYPFLDHDMFSVMAGPTVAAVSVVFDNVEHEEVLTGCIDGFLSVAKLAAFYHLDGVLNDLVVALSKFTTLLNTSYIDDPVTAFGEDTKARMATEAVFTIATAYGDHIRSGWRNIIDLILRLHKIGLLPGRLTGDTTDDQESSSDSFPGKITSPPQVLPISTPRKTYGLMGRFSQLLYLDADEPRSQPTEEQLAAQRNASEAVKKCQIGTIFTESKFLQADSLSNLARALIQAAGRPQKITSSLDDEGIAVFCLELLITVTLNNRDRIVLLWQDVFEHITHIVQSTVMPCNLVEKAVFGLLHICQRLLPYKANLVDDLLRSLQLILKLDARVADAYCENITLEVTRLVKANATHIKSQMGWRTIISLLCITARHPDASDAGFEALVFIMSEGAHLSPANFILSVEASRQFAESRLGSAERSIHALNLMADSVNRLIRWSREVREAGGEADRILEGIAEMWLRLVQALRKVCMDQREEVRNHALLSLHRCLVVDGISVKSSTWLMAFDIIFQLLDELLEIAQSYSPKDFRNMEVSLLHAVKLLCKVFLQSLKDLSGQGSFDKLWLEVLDMIEKFMKVKVRGRRTEKLQEAIPELVKNILMVMKANGILSKTGASENSFWEATWLQVNKISPSLQSEIFPDNEDGSTTEVEENKLDTQAQSDQNNDQ >Sspon.08G0000100-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:615910:618016:1 gene:Sspon.08G0000100-1A transcript:Sspon.08G0000100-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGFERKGVRQYNRSEVPRMRWTDELHRQFVEAVECLGGQDEATPKRILQLMGVKGVSISHIKSHLQMYRSSSSSSSSTHHQSSLQKLTSTRRATASACS >Sspon.02G0033220-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:5658734:5660405:1 gene:Sspon.02G0033220-1B transcript:Sspon.02G0033220-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTLVLPDAMAVAAATSDVPSRTQPLPRRVSVSMARAPRRESDPKKRVVISGMGVVSVFGNDVGAYYDRLLAGSSGAGPIDRFDASGFSTRFAAQIRGFSSEGHIDDESDRRLDDCQRYALVAARKALASAGLALGSRAMYKVDKERAGVVVGSGIGGATTFSAGVEDLVRKGPRGVSPCTIPLAMPNAASALVSIDAGIGFLGPNYSISTACATSNHCLHSAADQIRLGRADVMVAGGAEAAVAPVCLGGFAALRALSRRNEDPGAASRPWDRDRDGFVLGEGAGILVMENLEHARRRGAPILAEYLGGAASCDAQHLMDPRPDGRAAAACIRRSLEDAGVAPEEVNYVNAHATSSLAGDLAEVKALKQVFKNPSQIKMNATKSMIGHCLGAAGGLEAIATVKAITTGWVHPTINQF >Sspon.02G0017860-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:54470724:54472212:-1 gene:Sspon.02G0017860-1A transcript:Sspon.02G0017860-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVVDGNGDSRLVVTELGHVKELARQLEVHLGGSSPDLCKHLASQISTIAERSISLLITTSNLVGARKRSAVASPLSDASDAPFIKATKKRKTMDKKRHEVRVSSAGDHPADDGHNWRKYGQKEILGTKHPRGYYRCTHRHSQGCAATKQVQRTDEDPTFFDVVYLGAHTCVQSQRAAAGQAAADSLAPEYNDKPVTNLTVKTEGPTVEPEQQVQGWDAPTPFSLTSTPATASGRLVPERSPFSAPSMSENWGVSPATSDSNHVVSFPPFEVAGDDVQFGFEEVMSVIDRADGD >Sspon.02G0024730-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:85305731:85306004:-1 gene:Sspon.02G0024730-1A transcript:Sspon.02G0024730-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPFEVTNISVPDAKAWMKMDISWRCYDVDVGQMTQSTTSGGDFTNTPFKFSYEDNKVFVIGCDTLAYLRSNPPTK >Sspon.01G0044510-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:83456356:83466492:-1 gene:Sspon.01G0044510-1B transcript:Sspon.01G0044510-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding TCLSNLSSMMIIVMRIQMAPPVHVSCCHSRKTFSRRLKRQNLKLIHWKMQKSDHHEQKLTSPRGLIHKILRRTNSRRSPTAADQQPSPVSPETSNSSVFSKQKDADDAIKDSEKPSTHTIRIEDEKSDLLGYEVYSGKLTLDNKAKNSSSEQSGSGSSSNCFDARLSTEALIWGSNILKLEDIVSVSYNSGLRHFTVHACPLEKRSSGLSCFMKPRRTQKDLKFVSTSPHEAFRWVNSFADQQCYINLLPHPMASSKKHSSELIQFDAMFDPYVKCRSPPKILVILNPRSGHGRSSKVFHGKVEPIFKVLNGLLCRDDQNMAASVPIGIIPAGSDNSLIWTVLGVKDPISAALSIVRGGLTPIDVFSVEWIQSGTMHYGTTVSYFGFVSDVLELSEKYQKHFGPLRYFVAGFLKFLCLPKYIFELEYLPVSDVNGAEHKILVGQEKVDVSDLYDDVVRRSRAECLPRASSLSSIDSIMSTGIMSGAELEVCSPRANNEPSEVVRALDPKSKRLSLGRGSTFKEPEEVLHPHSHGTSTPNWRRSKSKSRTEKARPGLSATNDAKSSKVNADKEDTSSTISDPGPVAVWDSGPKWDDEPKWDNQPNWEPETSIELHSPREDIELGLTKELVPSLDERWTVRKGRYLGVLVCNHSCKTVQSLSSQVVAPKAEYDDNCLDLLLVGGSGRLRLLRFLVLLQFGKHISLPNVEYVKVKSVRLKAGPNTHDGCGIDGELLHVKGQVRCSLLPQQCQLMGRPVKNPVQ >Sspon.06G0034480-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:46230918:46233000:-1 gene:Sspon.06G0034480-1D transcript:Sspon.06G0034480-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLWGSAASAPPAAEGDAARAPSGSAGGGVKVIRSLLPTRRRLRLDPPAKLYFPYEPGKQVRSAVRIKNISKSHVAFKFQTTAPKSCFMRPPVFKFVEHPENNEKPLDKCKVKFKIVSLKVKGPVEYVPELFDEQKDQVAVEQILRVVFLDAERPSPQMDKLKRQLAEAEAALEARKKPPEDNGPRIVGEGLVIDEWKERRERYLARQQIEGVDSV >Sspon.03G0004050-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3A:10242529:10243939:-1 gene:Sspon.03G0004050-1A transcript:Sspon.03G0004050-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQDSVARIVTKTNQNTRLDRPARLYSPARLSPHPISFTVLHFSRFARPLFLTAPRLSHSARAPLRPPSLRDLHATTAVASSERALPPSVTSSHPSLPPSLHSLALRPPCHRRGATVVTSGEVDGSFSGSEPSPFPSSSESELSSYYYFSSGSRSEGCGGSRGRVARRRDSGWKRWGQTDGVLWSWGRGGMLIAHTRRCEGGRGGRGDGLRARAARAAQGKRVQKRNGRSSVGLRHVDVWGLASAAPPMDRYLSDVRAPALSIKIW >Sspon.01G0001870-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:4423290:4431307:-1 gene:Sspon.01G0001870-2B transcript:Sspon.01G0001870-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MENAVVLREWFDRVDAGRTGNVTAAQLQGALAVGNLNFPISVVQQMIRMYDFDRNGTMSFEEFLALNKFLQKVQNVFSTLERGRGFLSLEEVYEALIKLGFSLDSPSFYTVCERATRFRCCVESSSQEQETSVAPPAPPPEKPPRSSPSSLLGISTSTWSAGVAGLGFLETGYLTYLKLTGSEAFCPITGAGCGDVLDSDYSVVFGNLLVLLLTGIPLPLVGLVTYGLVTALSLQENGKDLLPGSYDLDIRLILLLVATSMATASAYFLYILSTKFVGVSCSYCLLSAFLSFTLLFIRVKDFGFERIQKFAGIQLAVAVIIALALTNSYSSATTQLKGTDDFVLEPYETEITTESSPFAIALAKHLHSIGAKMYGAFWCSHCNEQKQMFGREATKILDYVECFPNGAGKGKKMTTECAAAGLEGFPTWFINGKVLSGDQELEVLAEASGFVAEDTEQSKEISQN >Sspon.03G0016270-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:71969841:71972690:-1 gene:Sspon.03G0016270-3C transcript:Sspon.03G0016270-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MREILHIQGGQCGNQIGSKFWEVVCDEHGIDPTGRYVGTSDLQLERVNVYYNEASCGRFVPRAVLMDLEPGTMDSVRTGPYGQIFRPDNFVFGQSGAGNNWAKGHYTEGAELIDSVLDVVRKEAENCDCLQGFQVCHSLGGGTGSGMGTLLISKIREEYPDRMMLTFSVFPSPKVSDTVVEPYNATLSVHQLVENADECMEMFRRVSEQFTAMFRRKAFLHWYTGEGMDEMEFTEAESNMNDLVSEYQQYQDATADEEAEYEDEEDAIQDE >Sspon.05G0023240-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:6344749:6348809:1 gene:Sspon.05G0023240-1P transcript:Sspon.05G0023240-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTTPRSRSQASRTTRPWILSGMDFADSRRKPNFTGKIAVVAALTVMCIIVLKQSPSFSGTSVVNKIFSENAFDAVMHFAAVAYVGESTMEPLRYYHNITSNTLTVLEAMAAHNVNTLIYSSTCATYGEPDTMPIVETTPQNPINPYGKAKKMAEDIILDFTKSKKQSNMAVMILRYFNVIGSDPEGRLGEAPRPELREHGRISGACFDAALGIIPGLKVRGTDYPTADGTCIRDYIDVTDLVDAHVKALDKAQPGKVGIYNVGTGQGRSVNEFVKACMKATGASIKVEYLARRPGDYAEVYSDPSKIHKDLNWTAQYTDLGQSLAQAWKWQKAHPNGYGSA >Sspon.07G0030830-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7C:13755029:13756310:-1 gene:Sspon.07G0030830-1C transcript:Sspon.07G0030830-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGNRTGFLTSNNLRKMMAPAPAAGSATASHLHTNPARCDGPTLPAFIISGSSCYWMCRCFLLPHELSHTWCCHPGTACQVVFCLLWLRLPLPCKDYQPSVGLRRQAASRSRKKQGERRPYLSAESCTHSAAGKSGSDSHRPKPSAMERDPVRPAAERTPNGQEGGTRRAREGEGEARARARGEF >Sspon.05G0025270-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:22544676:22548622:1 gene:Sspon.05G0025270-1B transcript:Sspon.05G0025270-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIDDERPYQSHIFHDLASNGSRKLDSEAERQSDLKFLADKMVEQTNQSELSFVKAEHLNGAKIGQTRIEDYSYDKDVVEIKLPDTVLSSDYGVHFVKDVCIDEGVLPDQKTSPEKQVDQKVSINFDSSEYTNGDLREEISAGSTKTAYELKSEIVILPVMCDTDGNTGEQNSSCKKHDLEDNNTADVSTNSNDEELNPKQLPCHEVAQDCQDVGSVICEINENQDRLLTGEATHQVSSNDCYETGIDIASETSNIIHNDLPVESAAADFSVVIPEEVAVSAALDMEGSNQVNHYNPFIAYGSLDETWEPNYSLPTIVDAASIASICPVEKTDSFSDLVNRALEGFDPIEIDEAIIEENRLDSVEESSSTLDVQASEQCNDQGESLTNNDVKTDVAHEMGTAISLSTSNGEHSDVKSEQGQKHEIDGQDINDFNPRDAELGTKVSEDITDSKSSTPVQTESVVQQNGPDSAKVTAQTVIRNPFESSFSGPSITSGPLTPSGHIPYSGNISLRSESSTTSTRSFAFPVLQNEWNSSPVKMAKADRRRLKEDRGWGYRILCYWAEQVVQLEG >Sspon.03G0025800-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:70857239:70860588:-1 gene:Sspon.03G0025800-2C transcript:Sspon.03G0025800-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLRLHLPRPPLPARRNPALPSALSSSTTPCLWRGHLPASRLLCSSHPTSPPDAASAAPPSIVAGLLDYLNESWTQFHATAEAKRQLLDAGFKLLSESDDWDLQPGGRYFFTRNMSCLVAFAIGEKYRVGNGFNIIAAHTDSPCLKLKPRSATIKSGHQMVNVQTYGSGLWHTWFDRDLTLAGRVILKATDGSFKHKLVKLTRPLIRVPTLAIHLNRTVNTDGFKPNLETHLVPLLATKHEDTTTNSDDKSSSSTKVTHHPLLLQILSEEIGCDSDEIIGMELNVCDTQPSCLGGGNNEFIYSGRLDNLASCYCALRSLMDSSKMAQQLSNEKAIRMVAMFDNEEVGSDSMQGAGAPTMFQAMRRIIDSLMHQSMGEGALERAIHSSFLVSADMAHALHPNYPEKHEECHRPELQKGLVIKHNANQRYATSAVTAFLFKEIARIHNLPVQEFVVRNDMGCGSTIGPILASGVGIRTVDCGIPQLSMHSVREMCGKEDVDTTYRHFKAFFETFSDIDRKLDVDF >Sspon.03G0009380-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:25676716:25678681:1 gene:Sspon.03G0009380-1A transcript:Sspon.03G0009380-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ATMGGQMPDADEKARSADDSSSSYGYPPSAPPQHQHQHQYGTFGTPSAAPGEFPQPAVGFPQPAPPPGMQHYPQPPPASYAVYPPPQQPYSAAAPYYAQGYQVVVGYIPVVEGEQALVAAIAVLLGATKGAEV >Sspon.02G0021800-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2A:72874530:72875345:-1 gene:Sspon.02G0021800-1A transcript:Sspon.02G0021800-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VLARASETVRGCSGLVINTFEALEAAEIGRLRDELADLPVILAAGPLHKLSSSRGAGSSLLAPDRSCIEWLDAQRSGSVLYVSFGSLAAMDSSEFLEVAWGLAESGHPFLWVVRPNQVRGCCDDESVRRPRLPDGVEDAVRAGRGVVVRWAPQQEVLAHRAVGGFWSHCGWNSTLEAISEGVPMVCRPDAVDQMMNTRYVQDVWGVGFELEGKLERGKIKDAIRKLMGEREGEEMRERAQELRGKVAGCLERSSGSSQIATDKLVNYILSL >Sspon.01G0027340-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1A:95923917:95925332:1 gene:Sspon.01G0027340-1A transcript:Sspon.01G0027340-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSAPPSSSIPASTSPLLAASSDLSETSTASAQPLTLESLGAKVDMIANALTSMQQAWAGLLRAPAPPAFSAPPPPPPPPVPTAPIPTGAGVPLHLMQWPASPSPLPAWLQPSVPTSAPIYSMATSPSPTAYTTAAATTVSSLPPASTLFGGVDGPLFFGAPSPSGGQPQGGLDPALAAALAGAQAGPKFYKLEFSTYDGSTDPLNWLNQCEQFFRGQQTLASARTWLASYHLRGVAQTWYYALEQDEGMPPWERFRELCSLRFGPPVLGTRLAELARLPFGSSIQDYSERYNAVLCHAHNLSARQKAELYVGGLPDHLRKQVQLRAPPDLQSAMYLARAFEECEPPDRPIPRSCWSAVVKGFVTIAMSPTSADISVSDCSTWSRLTSSTTTYQLRWRLMQCSSMTPVLVDDASTRFQLEDELFLQAERDVMSGSTLCRAQHQAC >Sspon.01G0011290-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:25444014:25449836:-1 gene:Sspon.01G0011290-1T transcript:Sspon.01G0011290-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQGFDSSYSDYGILDDLSAFQCAEDKSLPSVDPSSALCDNQFNDTYLFSEQKNIYQEDVMELLPTDQICSEHENIDMVGNQYSSENAIQGIEDKKFSIASTSQLSSSQNLLNQRHHLDSSSPSNITSESYPEKFGPSSGSFAQRNSKVQKKTVNTQPRQTTNDNVMNRHPQTLTRRASYPCENYETERRGLGKRTLGDPQVTMGTSMVVDGSFVSSMSSDNSVEESSFRQLQDAVSQLDVQTKLCIRDGLYRLARSAQHRQVFPNMMNNNGDSQDVKDLQNAETSRKFVDPRSIETQTNPIDRSIALLLFHQSSDHAAVAVDDVSSLKSPAGNKQHQGPAANQGLMPASSVYSPGGQGTPKDVQSLDKY >Sspon.04G0032480-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:27373527:27377904:-1 gene:Sspon.04G0032480-1C transcript:Sspon.04G0032480-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPLCLDLPQLKIVIQLQMKSDRCRSKAMALVAATGGVDSVALDGQGRDKVVVVGEGIDPVKLTCALRKKVGAADLLQVGEANPPAAVPSVPPPQPVNNIVHYHPAGYSLHAELGIHASSLTQQKIVIRVQMECDRCRSKALAVVAATGGVDSVALAGDGRDQVVVVGDDVDSVKLTNALRRKVGPAEIVQVAEAKKEGAGGGNNPPAATANALPQFVASSPWYYQQYPQPAAVVYENPTAGYAYRYHTRTDSICSIM >Sspon.07G0024200-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:21289395:21292982:-1 gene:Sspon.07G0024200-2D transcript:Sspon.07G0024200-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MISIHSGPPLPLRLPLRPPLPLAAVLVPKRIWTWIVPSSSFLTFSTSPNYCRSVGQLHNSKSSNLSLKSCSRRQKKSYVICASAAVQGQTQTPLSGSQQAYEHSSSKPKKVMVIGGDGYCGWATALHLSNKGYEVAIVDNLVHRLFDHQLGLDSLTPITSIQNRICRRKSLTGKTIQLFIGDMCDFEFLSEAFKSFEPDAAVHFGEQRSAPYSMIDRSRAVYTQHNNVIGTLNVLFAMKEYSEECHLVKLGTMGEYGTPNIDIEEGFITITHNGRTDTLPYPKQASSFYHLSKVHDYHNIAFTCKAWGIRATDLNQGVVYGVRTDETAMHEELSNRFDYDGVFGTALNRFCVQAAVGHPLTVYGKGGQTRGYLDIRDTVQCVELAIANPAKPGEFRVFNQFTEQFSVNELAKLMTAAGAKLGLEVQIKSVPNPRVEAEEHYYNAKHTKLIELGLVPHLLSDSLLDSLLNFAIQYKDRVDTAQIMPSVSWKKMGAKPRTVSV >Sspon.03G0027700-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:670564:678481:1 gene:Sspon.03G0027700-1P transcript:Sspon.03G0027700-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRLRAGSPVYGRQRSGSSTGSSSPGGVSPSHHRSSSTSSAASAAGAAGISNVRRTQNVAARAAAARLAQVMASQNAAAAAGDDDDDDDYAADHPPPPPGRFGSGRVAHGSNGVSLLGRSARSPSPALGRNIVEPPPTVRSSSAGRPAVASRPTTTVVPPIKTNTTLRTPSPIPPVAVELPVDRTRPKRFDAGLHNSRESGLKREASTLQDELDMLQEENESVLEKLRLAEERCEEAEARAKELEKQVAALGEGVSLEARLLSRKEAALKQREAALKAARESKDGRDGEVTTLRQELESAKEEVASAMDQLKEAESETKALRSMTQRMVLTQEEMEEVVLKRCWLARYWGLAVQYGVYPEIAVSKHEHWSSLAPLPLEVVLSAGDNAQGKNKLAREMSDVMGEGNIESMLSVEMGLRELSSLKVEDAVVVALGQHRRPSIVRQFTSDFKSPGEPKYLEAFDLSPEEAEDVSFKQAWLIYFWRRAKTHGVEEDIADDRLQFWIGRNAHAPNSHDAIDVERGLTELRKLGIEQQLWGAREQI >Sspon.07G0003750-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7A:9104519:9104995:-1 gene:Sspon.07G0003750-1A transcript:Sspon.07G0003750-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLRFDWPMRWPLRGSFAPTSLLLEGGNRSGRQSSPPPPPSAQRARTGKGSVSVSRASESQRSIAVGRTGLPVGRLRDWNRNRNRRPGGPDSNLANSVDLCLQCAVRMCSDPSLTWRPSLSNDVGQLQPPGARNLPTPPAACASLGTWNLEDGALSR >Sspon.02G0013540-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:35955728:35958011:-1 gene:Sspon.02G0013540-1A transcript:Sspon.02G0013540-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lysin motif-containing protein, Pattern recognition receptor, Peptidoglycan and chitin perception in innate immunit [Source: Projected from Oryza sativa (Os09g0452200)] AAAASLRLLPHLLLLLVLLLLSAVPNAVSKSTLESCASSTACPALLSYTLYADLKLAELAALFAADPLAILAANAIDFAVPDPADRILPAGLPLRVPVPCACSDGIRKATSVRYVARAGDTLASVAGTVYGGLTTPDWIRDSNGMLEDAALDAGTTLFVPLHCACFGGVDSGAPAVYLTYVVAEGDTVPAIARRFRTTGNDLMSVNDMATADVAAGDIIVVPLPGEPCASSFPAFTSDAGLAVANGTYAITANRCVQCSCGPGNLDLFCVPAPLADSTCSSMQCSNSSMMLGNFTLQMTSAGCSVTSCTYGGYVNGTILATLTTSLKPQCPGPHQFPPLMPPPTSSFFETYLGPSPTPMASEGGIGPQVAGMAPTSSPPVSSGPPMAGSHGSDRLALVALCLVASLLW >Sspon.08G0024940-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:3337045:3341949:-1 gene:Sspon.08G0024940-2D transcript:Sspon.08G0024940-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTRLPRCLRPGRRQASRSRSQGRVTGAAAVRLSFHTRVPTSNPLRKSHSHTRKLKVGLQNPFGDRMMERLSALVLDSDPASLSTISEMLARFNFKVFPFQTAEAALDFVEGGAAKEAELDLVLVDVNLNNMAPGTSANSDLLHYYILNELEVPLTAMCSCDDEEALSKCMNLGACFHVLKPLDRRSFSILWHQALEHKSKKAAPQGPTLNSTRNGMVSSSTEKPKEVLILERNDLSDPESNGCEEPKKRNRITWTIELHEKFLGAVEALGGNEYATPEGILLLMNIKGLTAKHIGSHLQKHRLRHRNAKQGGQHQENASRKPMGHDEGTSESITPRVETDGEVYPLRLWTEVKKKSADTVALKTEDTESVCMWDKYENNLHRVFSEKKKRWQFMSEHRWPVKNNIVITDVGDPNEAPETAGGYGAFTEISGSSNMLTSNQVGENNRTEFARDNDHMENINLLKVYGASIENAGSGSLLASDQVGQNNLIEAARNNDAMGNNNFLEGIVDPEYNASMDFSVAWAHLDSNDLDLTGPSDGREEADSFRMSQLESLVLEDLRDNACNDALRSVVTQGSQEQQSLGMEDLQQVDDIPWDDALISVGLINLLDEPMTEEAAVGGSQDQQSLAPEVLQAGNNAWDDDLRSAGMVNLLDEPISQEAAIGDALMDDPVSPIAQDDVLWAWSPAMPAVDYGMLF >Sspon.01G0020620-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:77451188:77459961:1 gene:Sspon.01G0020620-3C transcript:Sspon.01G0020620-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPYAGGVQPPSPAAAVQQQQQQNHSLAFRVMRLSRPSLQPDLAALLRFDPRDVFLPEDALTSSDPSAAAKFLDGLLHHSDSATAVPGDFTFRDRFLLRDPADALALPGLLVLPQSFGAIYLGETFCSYISINNSSSFEARDVVIKAEIQTERQRILLLDTSKSPVESIRSGGRYDFIVEHDVKELGAHTLVCTALYNDGDGERKYLPQFFKFSVSNPLSVRTKDITYLEACIENHTKSNLYMDQVDFEPSQQWSATRLEADEHPSAVKSTIRDLCKQPILIRAGGGIYNYLYQLRSSSDEAGQTKSEGSSILGKFQITWRTNLGEPGRLQTQNIHSTPTASKDVDLRAVKVPPIIYVERAFMVNLCLTNQTDKTVGPFEVFLAPSMSGEDRAVLVNGPQKLILPLVEAFESIKFDLSMVATQLGVQKISGIAMYAVQEKKYYEPLPDIE >Sspon.02G0003820-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:11236140:11237509:1 gene:Sspon.02G0003820-2B transcript:Sspon.02G0003820-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLRMVLVLVSVSVLVAMAVSAGGVAARPLVGDGGAWEDRAPLQTSRPFNIAHRGSNGELPEETAAAYVRAIDEGADFIEADIEATKDGHLVCFHDTTLDDTTDVADHPEFAGRRRTLEVQWANVTGYFITDFTLAELKTLRAKQRWDFRNNSHNGVSPIITFDEFIDIALNAKRVVGIYPEMKNPVFMNKHVQWADGKKFEDKFIATLKKYGYGGKYMSPAWHAKPVFLQSFAPTSLVRVAGLTDSPLVFLIDDVTVRTEDTNQPYHEITSGEYLDYMKRYVLGIGPWKDTVVPPTKGNLLATPSDLVAMAHARGLQVHPYTYRNENEFLHFNFRQDPYAEYDYWINDVGVDGLFTDFPASLRRFQEWTAKKKD >Sspon.07G0017230-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7B:66760063:66761508:1 gene:Sspon.07G0017230-2B transcript:Sspon.07G0017230-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPPALGPEIYSRGFRFSPTPQEAVTYYLPRLISGKPLHEVVRSVIHHADVYACEPAELARRFRPMPRTDDRFFFAPCKNKAVRAASSPSSWAAQTTAEIKENDVHIGELRKLRYKKRGVLTDWLMDEYSSCDDNDKQQQFVFCKVYVSPRAAPSSAARHESAAFFALPPLPPATPAAVIAQAAAKRTAPPPHPAMPPCAKRMRGPLQPAPPAPTRAPVTAVRKLYFARIAPPQPCAPIRGTPAPSMTQTQPSPAPIQAPAPPVLTRPVVEPRHSPMPAGPVVQPPAPPAPTRSSLPAVRELCFAPPQPCAPLRGSPAPWVTQTLPSPAPIQAPAPPAPTRPVVEPRRSPMPAPRVPLPTPPVVHACHMPRQALASSPCQPQVSVQSKNKRILDPFEAAILREQAEEQTVAAAPDPPPSKQFPAVAPVLQDDDDDDDDLVKALEDAMSTAETEEQTVAAALQDDDDEDDWDDLAKELGIEE >Sspon.06G0017340-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:94074384:94075877:-1 gene:Sspon.06G0017340-1A transcript:Sspon.06G0017340-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGATQEPHLVLVPWQGGISHIIPMTDIGRLLASHGAAVTIITTPANAALVQSRVEDLATPPHGAAGITVTAIPFPAAEAGLPEGCERMDLLRSPADVPRFFAANGQFGEAVARYCRGSEAMLRRRPSCVVAGMCHTWALGMARELGVPCYIFHGFGAFALLCIEYLYKHRPHEAVSSADELVNIPALPAYDCRVSRAQLPTHFAASTTMGGGTMQEMREFDVAVDGVVVNSFEELEHGSCKLLAAATGKTVVAVGPVSLCRHSSSLDLQAMADDARHVLEWLDTKETKSVVYVSFGSAGCMPHTQLMQLGMALASCPCPVVWVVKGADSLPDDVKNWLRESFNDDGKCLVVRGWAPQVAILAHRAVGGFLTHCGWGSTLEAIAAGMPMATWPLFAEQFLNERLIVDVLGVGVSVGVRKPTENVLTAGTTNASKVDVEAEVGMEQVAKALERLMDQGAEGEQRRRKAQELKAKANGALEKGGSSYMNLEKLIQCASW >Sspon.03G0027980-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:7421499:7423940:1 gene:Sspon.03G0027980-1B transcript:Sspon.03G0027980-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MECNRDDAVRSKDIAEAKFRENDIAGAKKFALKAKALFEPLEGIDQMIVALDVHVRAQTKIAGENDWYGILEVPPMADEEAIKKKYKKLAFQTHPDKNSSVCAKAAFNLISDAWNVLSDNAKRMVHDHRRRMHALAVHQNNFKATARSTSNSSMSSVNRFCDRQKKVAPHLAHGATETFWTLCLSCLMNFQYSREYLNHQLKCLKCHAVFVATEVRPPPSSVQFYPSELMPMATNNNIGGNTVPGMATPGVQAGVSQGNQNCNPTVLKSATCAQSTRHTVQQTCDSIRKEEAAGASIPANEEAHSRKVLQHAARKHAHAVSSVRRANAATREHEAAKRKRADAGKQGTWQSATSCPDGDGCKPVCPAKRKPRSTSETSGAKKRKVSSGDFNSESSSNAGRTSFSRELMELDVRSILIEKGKLQAQKLQELSSKKANVKNKEKVQNSKKRKFSAKGACNIENVVNKIEMKQSSSSVDPKKDVLELVSKRVDSEEKEREKCSKQVGLEEKLKSWQWKVPEVRIVYTRRNRKQHKKELGDEVTGANPATEHHMPGKYGCLNQDPSHDEGSDKMPIPDADLYSVPDADFNSFGDHSESSFQNDQVWAMYDEEDGMPRYYALIRKVISTRPFKVRLAHLKANDCNEFGASNWISYGYSKICGEFKVDVSKHTDQVNIFSHKVNCDKGPGGIIRIFPKKGDIWALYQNWSPDWDQFTPDDMIYKYELVEVLDSYNPAKGISVMPIVKVPGFVSVFKPFHNATKSWRIPREEMMRFSHQVPFHVLTGEEAHNAPKGCYELDPGSTPQELLHVVPPSGDAK >Sspon.02G0014690-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:39233857:39234945:-1 gene:Sspon.02G0014690-1A transcript:Sspon.02G0014690-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPNGAALKKQVKPAAVAPAGVGGEPLYRECLKNHAASLGGHAVDGCGEFMPSPGANPADPTSLKCAACGCHRNFHRRAVEGSPPPPAPAPLALPAPPPPSVLHGQPHRGGEETPEDRHHPGVVDADDSDSDSEGSEYDEERSVSPPPPPHHVPAPVAQQPPPPPASYFATAPHMLLSLGSGGAPGAALAAAAQRLQAPAAQQLTPSSAPPPLGAMPMPRKRFRTKFTAEQKQRMQELSERLGWRLQKRDEAIVDEWCRDIGVGKGVFKVWMHNNKHNFLGGHSARRSASASAGAAPLQTPGGAAAPSSFNPSRIIPPPPVLTSSPTSATGFNINSAAASAPTVTADHTDNAANGASSPQSA >Sspon.03G0009580-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:3556888:3559920:1 gene:Sspon.03G0009580-1P transcript:Sspon.03G0009580-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFDVGVVPSNPDGWGPPDVPAIPQSLGGGASIPFAPFSRSDKLGRIADWTRNPAGPAAFAAASRDSVFDFTSADDSLIAGAEDSSFRLVDAKPPPRPQRFGPKWRFNQRQQLPQRQDEEVEARRRLAEKERARRDRHFQNNRSHHHTNFRFNQPLSTAKPSVDIQPDWTMREQIPFANFTKLSFSVADQPEDLLLCGAVEFYDRAYDRVNPKAARRLERFKSRNFFKVTTTDDPVIRRLAEEDKATVFATDAILAALMCTPRSIQSWDMVVQRVGNKLFLDKRDGSQLDLLTVNETAQEQLPENKDDINSAHSLAVEATYINQNFSQQVLQRNGEKVTFDEPNPFASEGEEAASVGYRYRRWKLNDEISLVARCEVHAVNVDPSGGRQFITLNALNEFDPKITGVDWRQKLETQRGAVLATELKNNANKLARWTAQALLAGADMMKLGYVSRVNPRDHYNHSVLTVMGYKPRDFAAQINLNTANMWGIVKSIVDICMKFEEGKYVFVKDPAKPQVRIYEVPSDAFENDYVEEPLPEEEQVRPLGEVDATAEEMDAVAEAEANNASSANGGEVGTS >Sspon.01G0011290-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:30975310:30980643:-1 gene:Sspon.01G0011290-1A transcript:Sspon.01G0011290-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDWRVREFEGKFADEFAHSNSNEHEGAVEAPGVTSNKKLKHAVASEKVQGPIPRTSSSDSQKCNSEDIQRENGIVSQNINSAGDCKNGSNAFPSREENTIAETRCPTDNWNSCQFALSNVSPILNNHSAPQDSLTYGDNDLNYIDWPGIDNFEDVDTLFRSSDSTYGQQQLENADGLSWIPSSDAVYSSDVAMQQGFDSSYSDYGILDDLSAFQCAEDKSLPSVDPSSALCDNQFNDTYLFSEQKNIYQEDVMELLPTDQICSGHENIDMVGNQYSSENAIQDIEDKKFSIASTSQLSSSQNLLNQRHHLDSSSPSNITSESYPEKFGPSSGSFAQRNSKVQKKTVNTQPRQTTNDNVMNRHPQTLTRRASCENYETERRGLGKRTLGDPQVTMGTSMVVDGSFVSSMSSDNSVEESSFRQLQDAVSQLDVQTKLCIRDGLYRLARSAQHRQVFPNMMNSNGDSQDVKDLQNAETSHKFVDPRSIETQTNPIDRSIALLLFHQSSDHAAVAVDDVSSLKSPAGNKQHQGPAANQGLMPASSVYSPGGQGTPKDVQSLDKY >Sspon.01G0011150-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:33166583:33169402:-1 gene:Sspon.01G0011150-3D transcript:Sspon.01G0011150-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G38770) UniProtKB/TrEMBL;Acc:Q8L5Y4] MLVRRLELLGEVSKLARSLRLPEDVGYTCETAAYFWLLHVYARWEQFLAACSQNQDKPSFVKDRFPFSEFFADTPQPIFTGESFEMDMHAAKGCFKHLSTIFQELEECRAFELLKSTVERANYLMTKQAKIVAMTCTHAALKRRDFLQLGFKFDNLLMEESAQILEIETFIPMLLQRQEDGHARLKRCILIGDHHQLPPVVKNMAFQKYSHMDQSLFTRFVRLGVPYIELNAQGRARPSIAELYNWRYRELGDLPYVREQAIFHKANAGFSFEYQLVDVPDYKGKGESAPSPWFYQNEGEAEYIVSVYIYMRLIGYPGNKISILTTYNGQKLLIRDVINKRCKPWNIEPPNKVTTVDKFQGQQNDFILLSLVRTRFVGHLRDVRRLIVAMSRARLGLYVFCRRSLFEQCYELQPTFQLLLQRPDKLALNLEECTPFTERPLEETGNIHYITGIEDIDHLVKFRLEHLRQMQYMQYYAPPANELPQAVPENIADAIPSENGNAGSALNDANEHMAVEENGGATDTVIDNRMEEDSVEAKDDMTQEGNMGEGSGEGHMATEDTQSEGHMATEDAQGEAQASTNDKMEEANAASTDKMEEANAMSMDKMVEANATSTDKMEENSDPKDKMDEE >Sspon.08G0003350-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:7228835:7232346:-1 gene:Sspon.08G0003350-3C transcript:Sspon.08G0003350-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding KSNYLKFPKVRKQVYIVGNSEPHENIGVLNELIHARDELAKIMGCKSYAEFAIRPNMAASADVVMSFLEDLSNIVKHKAEEEFKIIQNFKRRICNEKSANLEPWDEDYFIGMMKSSVYDLDASVIAKYFPLSQCLKGLNVLVESLFGATFHQIPMRDGESWHPDVIKLCLHHPDEGDLGFMYLDLYSRKGKHPGCAHFAVQGGRRLSDSNYQLPIITLVCNFSGACGITARLNHGDVETLFHEFGHALHSLLSRTEYQHFSGTRVALDVAETPSNLFEYVQILCLGLSCLEDICLDETTGDAIPEKLVKALNASRNMFPATELQRQIFYSIMDLTLFGEQASKPMDTISTVADLRRKHTSWKYAEGTHWHTRFTHLITYGAGYYSYLYARCFATTIWQEVCQEDPLSCSAGSAIRDKFLRFGGSKDPSSLLKDFAGDAIIRNSGSGIIPNIGSLCKEIGL >Sspon.01G0042410-2D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1D:106026219:106027316:1 gene:Sspon.01G0042410-2D transcript:Sspon.01G0042410-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEMKCPSCEGGFVEEMGSEDFEPATNTRSDRSLSLLAPLLFGMLGGSSRRSRLRREAMADDDADDDDEEDDSDHELEASSRRRRRRGSSALLRMLQTIRDRDDVRGSDDTDSDTERDLELERSRRERMERRMARERIQERMERQRERARQIERVSARGRERTESLILINSNNEAIILQGTFGSDDNQEDSSNTSSGVSLGDYFLGPALDTLLQRLAESDLNRSGTPPAKKEAVAALPNVNIEEVLGCSVCLEDFEMGAEAKQMPCQHKFHSHCILPWLELHSSCPICRFQLPTEETKNPCESASTAGAVNRDGDNAAASSSDTESSNRNGDNHSDSPIFSALSTLFSDPFSSDDDESVPHSSEN >Sspon.07G0007960-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:21629757:21630701:1 gene:Sspon.07G0007960-1P transcript:Sspon.07G0007960-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKTSSENAIPPAAPPLKLFGSWASSYTHRVQLALRLKGLEFDYVEEDLGNKSDELLRHNPVHKKVPVLVHGGRALPESVIILQYLDDAWPETRPLLPADAFDRAIARFWCHFADDKLGPAVGAVFASTGEDQEAAVRQVHENLALLEAELRDGAFRGRRFFGGDEVGLLDVVLGCGSYWLAVFEDVTGVRLVDADAFPRFHAWLRDFEALDEVRETIPAVERLLEYARGLRHMLLGLAGAAAAPAAGAAPTAAAPAPAADIA >Sspon.01G0037640-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:24207588:24211630:-1 gene:Sspon.01G0037640-1B transcript:Sspon.01G0037640-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RGTAPIVRCWAVPERIGILGETRVDHRKQKLRGRIGVRKKMEVMQQDDEALVKLENAGIERSKAVDSAVLGKYSIWRRENENEKADSRVRLMRDQMIMARIYSVLAKSRDKLDLYQELLARLKESQRSLGEATADAELPKSASDRIKAMGQVLSKSRDLLYDCKEITERLRAMLQSADEQVRSLKKQSTFLSQLAAKTIPNGIHCLSMRLTIDYYLLSPEKRKFPNSENLENPDLYHYALFSDNVLAASVVVNSTIMNAKEPEKHVFHLVTDKLNFGAMNMWFLLNPPGDATIHVENVDDFKWLNSSYCPVLRQLESAAMREYYFKAGPKTLSAGSSNLKYRNPKYLSMLNHLRFYLPQVYPKLNKILFLDDDIVVQRDLTGLWEVDLNGNVNGAVETCGESFHRFDKYLNFSNPNISQNFDPNACGWAYGMNMFDLEEWKKKDITGIYHKWQNMNENRLLWKLGTLPPGLLTFYKLTHPLDKSWHVLGLGYNPTIERSEIDNAAVIHYNGNMKPWLEIAMIKYRPYWTKYINYEHPYIHGCKISQ >Sspon.03G0019660-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:61327781:61332601:1 gene:Sspon.03G0019660-1A transcript:Sspon.03G0019660-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLAIVEKKPPPFPGGGCTGGVLFHLLDWHRRLARKRRLFSPRRLLPSSLSLRSSPRRLPPCPPPVSPTPPHTAPHPADGPAPGVVARLMGLDSWPATAAPPRPQKQRKVEASRPDGAAAADSAVVLVLPTTRSRRRPAPAPAPTARSHHGADLPARSPRRTRLVHAAAAKLLDQGSRASARLALAYACSSPQHRRKDGHAGAFLQGSSGMADDFLSRSDSLPLERSARVQVQPPGLPAETDCDTAAVSRRHGHCSTGNADAAAISTSTVVLPRMDFGDGNRSKRSSDMDAKHKESRVRNEGMRTCARVRSSGAAVQTGDERLLRKRATPTRPEVSELTESGDLAGSTRLVGRARELVSATRKVAHGGSGPRREFVGSIGGQGSTTRRDSKSQNGLASTSRISSNGSAHKRGSGRKVGHDRAISNRDDRNVVASTSRSSTKPVARASSRSNLSKSGSSSRLGPDTTPTRVPAPEKRYIEASPSVMSTSEKVEFNRLLKAKFSELGMSDRIECTPDGSPSGKLKVDVLQDLISALTNDMSTSISQCSNYSGASAPLSCNGNVDCINQPHCIFSNDQSPDFQKCYQGEQDVDSSAASLNNEPNQPSPTSVLEASFSNDTSSLGSPVEKNEGKDLIVSVENKMEDLFNLESDIVDLAMSIDTRKTDAEETLHGNDKLPCLQNFLSHDFNILESRLCSIEEAKSNAELLLGGSLLYSTTSGLPRHPFIVEMLENTMDMFSGGEYSDFTEDKKYQHTNFLFDCIIESLDAKFCNFGKCGYKAWLKLPLSLSKDLLKRQVLEDISNWRELSGTALRQVSDKEMDQVTARWDASQVEAFDISIAIENDILEALVGEFAVDLWCKFSTESCKVEACQLVNCPVKWRITWQETGKN >Sspon.05G0014870-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:53774440:53780121:-1 gene:Sspon.05G0014870-4D transcript:Sspon.05G0014870-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAARRRLSAATTSPLLRRRLSAQTQPTASPAQPPPEVTDSGPGAWAGRAVALSLLGLTGAVAASAVSDLSVFLSCSSQAIEKATQNQQIVNAIGPQGNGLLKLKAMRLGGSGNQTFRVQINQAFDFQAFRLTGSDESWYSFLQPADWEILIMDAILDIHTEDGKHRTMRVTIPDNTPAPPPADCTACKSHAAPPPPQPPARSESIERCFSIGTWLLWSDLFFPQSPTNLVGINVLYFFGQEDHRSILGNSKNYFLILEIPMGYRIG >Sspon.04G0023530-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:13593034:13595906:1 gene:Sspon.04G0023530-1B transcript:Sspon.04G0023530-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding FVCHPLAASSTSREAETATAPVLSSLTPRRRDPQAVGSPPVTKLRRLLLSPSSDALPASPQVVARKARVATAADLAPLPRGPKQRRPRYKRRPWYGVDDLAARSSSSTPAPRSDSDDGGSGTLTSSPPRFGLWRRIWLPRVLSMFLIEPPPPPRSRNAVVPCVQQLLSGCPALSFQAAGQAFSCCPRID >Sspon.03G0024890-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:93894321:93898229:-1 gene:Sspon.03G0024890-3C transcript:Sspon.03G0024890-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aquaporin TIP4-1 [Source:Projected from Arabidopsis thaliana (AT2G25810) UniProtKB/Swiss-Prot;Acc:O82316] MGKLTLGHRGEASEPDFFRGVLGELVLTFLFVFIGEGRRRVATPEATADLTSVALGQALVVSVIATAGFHISGGHVNPAVTLSLAVGGHITLFRSSLYIAAQMLGSSAACFLLRWLTGGLATPVHALAEGVGPLQGVVAETVFTFSLLFHHWVYWVGPLAGGPLAVVVYECFFMAAARTHDLLPQDDP >Sspon.04G0003040-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:6018114:6024109:1 gene:Sspon.04G0003040-2B transcript:Sspon.04G0003040-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lysine-specific histone demethylase 1 homolog 1 [Source:Projected from Arabidopsis thaliana (AT1G62830) UniProtKB/Swiss-Prot;Acc:Q8VXV7] MEEGSKAQLPPPSHTEAMEQPPPVPMDQDEVREAAETMEEEEATAADVSDAADPMEDGEAAGDSAAAAEPMEDDAPTSSPTPSAPSATAAVDDSTIARKRRRRKKHRRPRPARLLLLFLPYRGASDRRPSTPGTAPTSSSLRLARELDSEALIALAAGFPADSLSEDEIVAAVLPRIGGAEQANYLVVRNHIVALWRSNPLSPVAANAALASIRAEHAPLVAAAHSFLSEHAYINFGLAPAVLSLPPQPPPSSPPPSILIVGAGLAGLAAARHLIAFGFKVAVIEGRFRPGGRVFTKTMRSSAVEYPHTVAAADLGGSVLTGINGNPLGVIARQLGFPLHKVRDKCPLYLPDGRPVDPDMDARVEAAFNQLLDKVCQLRQVIADGLPHGVDLSLGMALEAFRAAHGVAADHEERMLLDWHLANLEYANAAPLADLSMAFWDQDDPYEMGGDHCFIPGGNSQFVRAFADGIPIFYGQNVKRIRYGRDGVMVHTDKQAFCGDMVLCTVPLGVLKKGDIKFVPELPAQKKEAIRRLGFGGPLLIALVAGESAVQFEQASPMENVEKVLETLRKIFSPKGIDVPNPLQAICTRWGTDRFTYGSYSYVAIGASGDDYDILAESVHDRVFFAGEATNRRYPATMHGALLSGYREAANILRAARRRAKNVYSPEKMDINVEVKVGVNGEVKDTVKDSCIDLDDLFRSPDAAFGGFSVLHDPSTFEPDSVSLLRVGIGARKLGSGSLFLYGLIMRKHVVVLAAIEGDEQRLSTMYRDFGTKLHSSSGNWIMT >Sspon.07G0005580-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:12589680:12609171:-1 gene:Sspon.07G0005580-2D transcript:Sspon.07G0005580-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPAATAPLVMLLLLFVVPTGCSGTGGFDQGGGGGGGGGCFAPLIGCAPPGPTASATGNATAFRASLAPLLAALPSAAAAAAEGFASLRSQPDPGRDRSHALGLCFGGGDASDCHACLRAAVAAASEGCANDTRRAGVWSDGCLLAYYAGDIASSSYTDGLEQTPADLAMALAKPKGQSLWRKAQSIMVFILAAIGAVTVVVLACFLVGCYLLAETLFGNMAEAGPQVQGDGLALYIAATNGQAQNRIGGGKAMRQSKLGAVAARPWLLLLCLALARAATATETGGFISIDCGFPGTTSYVDDTTTLSYAPDAAFTDGAGENYNISAAHVTPELARIYRDVRSFVDGARNCYTLRSLSVGLKYLLRASFMYGNYDGLNRPPVFDLYIGVNLWKTVNVSDPPDARVVVAEAIVVVPDDFVQVCLVNTGSGTPFISGLELRPLKSSIYPQVNVTQGLVLLSRRNFGPTDSTDIVRVWIPIIDATDWTVISTTETVQNEYKDLFEAPSKVMQTAITPRDAANSINLHWDSKLQSKGPSLGYIPVFHFSDVLQGGGFRQFNININNKPWYQDYTPKHLYSGYVFGRNPYSDQTQYNVSIVKTATSTLPPIINAAEVFTVISTTNVGTDSEDGIHVLSAIGHPERARTTDLPVHSGSVDVSVKPRDKTSTSLALIVGGDEHRRSSLRLENRRFTYEDLEMITNNFQRVIGRGGFGYVYEGFLEDGTQVAVKMRSQSSNQGAKEFLTEYSTIDATGMDVPTCRYQATMQPTTKSDVYSFGVVLLELVTGRLPILHSPQPTSVIQWTWQHLARSDIEGVVDASMGGDPDVNSVWKATEIALQCTEQASAQRPTMTDVVAQLLECLDLEKGRTGGDANKSFFSNRDSGDTSLGYNAHVANQSTDMSQSSSIETVPMMATGPAT >Sspon.01G0035510-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:12128286:12131073:-1 gene:Sspon.01G0035510-2C transcript:Sspon.01G0035510-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SCARECROW-like 13 [Source:Projected from Arabidopsis thaliana (AT4G17230) TAIR;Acc:AT4G17230] MSTRASNWSYGYPDNTQLPYHNGNAMHVGGNGNYYVQQNHDDVNYISSDDGSQSSNSRAQGFQAQFCTLDSSLANCVYPAHSSTSSQSISGSPLSQQESHSDHAYGSSPSASCVTQVPTWTLKDLENVMLGPDSDIGSPDSSFLPGTALYDNNWRELLGIQTGDLRQLIIACGKAVDENAFYIDALMSELREMVSVSGEPMQRLGAYMLEGLIARLSFTGHALYKSLKCKEPVATSSELMSYMHLLYEICPFFKFGYMSANGAIADAVKGEDFIHIIDFQIAQGSQWMTMIQALASRPGRRPYLRITGIDDSNSAHARGGGLDMVGQKLDRMAQSCGLPFEFNAVPAASHEVVFEDLCVRPGEAIVVNFAYQLHHTPDESVGIENHRDRILRMVKSLSPRVVTLVEQEANTNTAPFFLRYMETLDYYTAMFEAIDVACPRDDKRRISTEQHCVARDIVNLIACEGAERIERHEPFGKWRARLAMAGFRPYPLSPVVNRTIKTLLDSYHSYYRLEERDGILYLGWKNRKLVVSSAWGNERSVNAFCDVDLIGWQHA >Sspon.01G0026620-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2D:95426448:95426756:-1 gene:Sspon.01G0026620-2D transcript:Sspon.01G0026620-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLEVFSVAYNNLTGCIPNLGQFSSFSGDSYLGNTNLHNLSEGNKCSLTTGPMEVGAVDDASACGTATVG >Sspon.01G0054610-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1C:63688093:63690532:-1 gene:Sspon.01G0054610-1C transcript:Sspon.01G0054610-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADRVLRWLATRRPYVTSVPTGQAEGSYMVATTRCSCPYSLPPPFSPPSPPEKPRRVKGDAITAAAPPCSHAAITLRLGLVELIPSSDEAIRPRHHRSAAVHCATAATLNGGEVRVRKWGPFLYGSARLVAVVTLVAKCRRSPHRRRASTSSLPPLLSVRLTTGPTCQRGQAGAGHGPSGSVGLAHLSNVALVGGLGARSLIEEEKLYIRLCRLGVPKGVTLLEFEEAHQEEEQEQPEEPEMLAGEGVFEEELPECPDHRPFSFLKGKPRCMLTQLIYK >Sspon.03G0018060-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:55601815:55602524:-1 gene:Sspon.03G0018060-1A transcript:Sspon.03G0018060-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AGVPAKSLRQAIEKTQSNVDLKVDQDTPYGHYIEAEMDGGVGRDVMEFLVKKDAGVVAYRCMATKVTFVYPFTTAVGDSKGQKQRIAAISQELGWYAPDIQSSMDSDDVNYVP >Sspon.04G0008640-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:25979591:25985989:-1 gene:Sspon.04G0008640-4D transcript:Sspon.04G0008640-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHGVPAPMLEELAREATLADVSILVDGNGAELHGNGAELHGNGAVLKGVEVPQDLGGISPKEVPLHEGKEVILVDDNDSGQEDGGEGKVDENAPRVGLRFKTYDDALKYYKQYAEDSGFSAIILKSSYLKSGVCRRLVIGCSRAGRGRANACYLSRESTKINCPARISLKLRQDRWLHIDDAKLEHNHPLNQSSTSLINCYKKLTDAKNGGSASRLKGRRNIPAEKEQGNFTEIGRLKFGEGDDEYIQKFFGNMQNKNPYFFYLVDLDNQGRLRNLFWSDARSRAANDYFGHDVVYFDTSYLTEKYDLPLVFFTGMNNHGQPVLFGTGLLSDLSADSYAWLFRAFLSCMKGLCPKAIITEHYNAILDAVQEVLPEVRHRLCLYRIMKDVAENLKEHAEFKTIKKALKKVTYGSLKIPESPLTVTKFYMEEQLAKAYTINMFRKFQDELKATMYCDGMPIKVDGRLVTFEVKECSYMEDGKDTESRTYEVYFCKEEPKVEIECECGFVQFTGILCRHALSVLKLQEIFEIPKDYVLDRWRRDYKKLYSNAKKPNEMPLSDIVERSDYLFTQCSQLLNLGFVSESRYLVALKLLREAERSLLDDGLPARDRQPMLLSFEADAPENGQGLFNPQFSEGVKNSQSAHAKRRGRPPKKVTESNDDTVTQPNKEQDFLRSSFVTDSTNMIQGPSSASHLEGPHMGVQGGIDLMVQAEPHGFGNQWVYHPMLQAP >Sspon.01G0033900-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:114973776:114976428:1 gene:Sspon.01G0033900-3D transcript:Sspon.01G0033900-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGGSSGRGGFAGGRHSSRSNDGRGYHGNPCLTMHQPWASLLVHGIKRVEGRSWPSPITGRLWIHAASKVPDPDTIKAMEDFYREIYAVNGITDIKFPHHYPVSRLLGQHSLAFVFSPSCVEVVGCLRSEELVCWEHVPESVRLEGLTDFCWLCENPQKLVVPFEMRGYQGVYNLERRIYDGAVRGLSPVQGPLPVKFPLPDPRDPFSLKPGSLTFAASKSTLQKSASVTAAIAGARAAATQFSKKDHKAATSSETGTQEQSWGSHGDSSSVDGNVPSIVHGSSAYLQNQNPPSIFQSTPAYSQNPNSEPRRSPRLEFGASNRVYNSYHAAVVN >Sspon.01G0004360-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:10934389:10942669:1 gene:Sspon.01G0004360-2C transcript:Sspon.01G0004360-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPAAASASPDDRIRTYEEFARVHAYLLAAAGIPPSLHQKLYRKLADEVFDGGEVFAVEPCEDGRQRRLVLAADESLGKESDVFLVDHAWSFRLPDALKQLREVPGLAERMAALMCVDLDRRIETEEADEQDGDDSGSLEHVLQVIKKERARVQERGSDSAAWLELEELGIDDDMLVALDLSAKFLNLVALNLWGNKLQDPEKVMQEIRKCAKLKALWLNENPVLGKSIDKAVLDGLSGLEIYNSHFTSKAGEWALGFCADIVGADNPCSSMESTLLGSIEIIDFSDRCIHKLPEVFSPSNLPSLSKLNIRGNPLDQISSDDLLKLFGGFTQLQELEVDIPGPLGNSAISILESLPNLSLLNGVDSSSIIESGKHIVDSALEPRLPEWSPEEPLAERVIGAMWLYLMTYRLADEEKIDETPVWYVMDELGSAMRHSDNANFRIAPFFYTILWPTHDVHTGEECTRDFLFATDPKEADIIWVSMQVDSEVKKAVGLTDQQYTNQFPFEACLVMKHHLAETIHKAWGSPEWLQPTYNLETHLSPLIGDYFVRKRDGMDNLWIMKPWNMARTIDTTVTGDLSAIIRLMETGPKICQKYIERPALFQGRKFDLRYIVLVRSIRPLEIFLSDVFWVRLANNQYTLEKTSLFEYETHFTVMNYIGRMKHMNTPEFVKEFEKEHQVKWLDIHESIRSMIRCVFESAAAVHPEMQNPFSRAMSDPDLLLDYCVADTASPPSLQFHLNGLACIDPASARAEHFATSALSSRATTDPSAAATALFGFNVTVTNPASSLPGANAQGLAMARTDLAPGGLAPPHTHPRASEVALVLDGSVLVGFADTSYRLYTQLLRAGETFVFPRGMVHFLYNMDVAAPAVVLSGLNSQSPGAQLVPFSAFRTEPRVPEEVLKIAFKINGQD >Sspon.03G0046400-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3D:36140432:36142684:1 gene:Sspon.03G0046400-1D transcript:Sspon.03G0046400-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAQGVQEDRLELLKGVSGSFRPGVLTALMGVSGAGKTTLMDVLAGRKTGGYIEGDIRISGYPKKQETFARVSGYCEQNDIHSPQVTVYESLLFSAWLRLLKDVDSNKRKIFIEEVMELVELKPLRNALVGLPGVNGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFEAFDELFLMKRGGEEIYAGPLGHLSSDLIKYFEGIQGVRKIKDGYNPATWMLEVTATSQEQILDVDFSDIYKKSELYQRNKALIKELSQPVPGSSDLHFPSKYAQSSITQCMACLWKQNLSYWRNPPYNTVRFFFTTIIALLLGTIFWDLGGK >Sspon.03G0037240-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:92507218:92508960:-1 gene:Sspon.03G0037240-1T transcript:Sspon.03G0037240-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPRRPLRMLAAVLLILCSLAVLSAVSAEAKVHHHTWDIAYHKKSLDCVEKLAVTINGESPGPTIHATQGDTIVVTVHNKLETENTGIHWHGIRQIGSPWADGTVGVTQCPILPGDTFTYRFVVDRPGTYFYHAHYGMQRVAGLDGMLVVSAPDGVAEPFTYDEERTVLLMDWWHKSVYEQAVGLASDPLVFVGEPQSLLINGRGVFEPFHCSHAPNGSSAAACNAPKPAGCAPPTLFTAVPGKTYRLRVGSLTSLSALNFAIEGHSMTVVEADGHYVRPVVVDSLYIYSGESYSVLVKADQDPSRNYWAASHVVARERNTTSAMSILSYAGNDPLAPPPTPRPEGPAWDDVRPRVEQSRSLAVAHPDHVMPVPPRPDRALLLLNTQNRIGGHTKWAINGVSLAFPATPYLVSMKRGLRGAYDARPPPETYDYRSYDIERPPPANGTVASTVYRLALGSVVDLVLQNTVALNNKSETHPWHLHGHDFWVLTYGDGKFDPERDTARFNLRDPVMKNTVALHPKGWTAVRFVSDNPGVWLFHCHIEAHVYMGMAAVFEEGVDKVGRLPKSIMGCGRSRTLP >Sspon.01G0004890-2D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1D:11681486:11681715:1 gene:Sspon.01G0004890-2D transcript:Sspon.01G0004890-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAPSPPPPAPRPPPPLPPPQAPSVPADHPPPQPPVYNIDKSNFRDVVQKLTGSPSHLLPPQPAPAPLMAPPPRLP >Sspon.01G0005060-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:10508621:10513984:-1 gene:Sspon.01G0005060-2C transcript:Sspon.01G0005060-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAAKTAAAAAERTEDKEIDLLLSEIPHVTSPQGRQRGVGVIGDGNGNGVHGASGSDGYTSPMRIGCRRVHCPTGADGYDAQRHGKDAYYDMVLNRRDNGAPLHGGDAGGLGFPAPSPASGPFVGSPVPPPPPLPLGVDDQEQQLVANQLSGLRIGDAQAALQRQGPPPVMSAAPTEVPAAHGAYHGYNFAASGSSVRHEHVFLDQAKPVGYVAARPHRFVSDVGLDDFGGFPRALDTSIGGFMYNRAGHGTGIRWGQGLVQPDFAESYLLSSQAGAEFSTSSPVALKRHYAYGGVPVAANGFARGRNQFDAFRGDNSPSEVGAEFFSSSPAALDFRGGPKRHYAYGGVPVADNGFARGRNQFEAFHCDNSLMFGGKNTNFLERERERRFQRVNSRALELGSSRTLRFDNVVRVKEGSIYHMAKDQNGCRYLQDKFLEGKHHVDAIFEGIINHIADLMISSFGNYLVQKMLEVCDEGQRLRIILVLTQDPVKQLIAISLNTHGTRVVQRLIETVRSRDQIMLIISALQPSFMLLVNDPNGNHVIQKCLTNFGAEDNKFIFEGAAANCFNMAVHRHGCCVLQRCISNARGVYQANLIVEICARGFELAQDPFGNYVVQYVLELKIPSANAHLASQFEGKYIYLSKQKVSSNVVERCLKFFPDDAKAVIVHELLLLSGSHFEQLLQDPYANYVIYTALLHTKGHLHSALVEAIRPHEDAIRTSPCCKRICRALSRSD >Sspon.01G0020860-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:77064949:77066381:-1 gene:Sspon.01G0020860-1A transcript:Sspon.01G0020860-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPASVVAMPRSVPLALAAFPAPARAGERRNTRSFRPAACGAGDDQQQPPSMAAMPASLRAIQARRKQAAAQRGVPRAMATSAAGCAVAALVKAVEAVQGAAAGGAAEAARGAGGAVAWVFSKVHLQSPDLAVGLLGMVACCLGTIVEAERGRMEAKKPEVSSGNAAEADRPDSNGDAAEEPPEDDMPELVEADMEKELWGQIGILHGGGLFGDSYGQEELDEEEIKEIDSARARRRKAACERVIASGGANSLILAEMYFKQAVAAEPPDGEAMRRYGMFLWHARGDMGGAEDMFTGAIDEEPESSHHRSSYAWFLWMTGGVETCLIDSGKQNNGNDV >Sspon.08G0017570-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:2473748:2475310:-1 gene:Sspon.08G0017570-2P transcript:Sspon.08G0017570-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRSTAVRAVPLCLWLALGVATLTLPQAHAAEADADLTKITSKVYFDIQIDGKPAGRIVIGLFGKTVPKTAENFRALSTGEKGMGAYGEPLWYKGSTFHRIIPGFMIQGGDFVNHNGTGCDTIYGKDVFPDENFKLNHAQPGTISMANYGKDTNGCQFAITTVEGSKLPKKLDGVHVVFGKVVSGMDVVQKIEAQGQPSGVPKAKVLIADSGELPGSDEL >Sspon.01G0026380-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1A:91632652:91634223:-1 gene:Sspon.01G0026380-1A transcript:Sspon.01G0026380-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFTHEANADQFDLLSYGNLRGVDTSRNSEESSFGNDLKDGSSVSSENFSSSCLPGENYQSATIDHEKRPLSDVKPCQVACKRPKQTDHHTWLYSFEEDPLTREVGISSPALADGLVETKQPNDIPAINGGTTCSGSSDTPCLNHDQSVLVESLDVPDWATSFPGYFEDCGPVATYNHVDDIGSPVHEYLPRKGVPIGPEHQADIPEWRPRTSMIVPGASEFCADLDCSSASTSESVPTGDDKWIRYCVVPMTSCSSLVDWAGHNKIDCNCSDEGSMRCSRQHIIEARDSLKMSLGQDKFCELGLCEMGEDVAQRWTDEEEKLFQRFVFLNPVSLGKNFWDHLPDAFPGKTSQELVSYYFNVFMLRKRAQQNRSDVLRVDSDDDELHGLPLVEQEEGDPAVESSIHEHFVSNSLTMDDDHKEFEGAQFDGSLCEKSVYSAVECRHLPNKMPADSNTVNTAQDVYDQDRAQYAEFHMSLPNDTSNNLGDQSASV >Sspon.03G0019740-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3B:84139949:84141514:-1 gene:Sspon.03G0019740-2B transcript:Sspon.03G0019740-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALLSCRAQEETKTTAHFGKNLIESYCDSEDGLAGWAPSGSCTLSAHAEDAPLPSLAATLAAADDDSDEEVQQHRARKPSGRYVLAAHRASDTDGLCRAISRAPRPKVTYRVAGWVGLQGTAVEGSHAVHVEVRVDGDRHVGGGVAVVESGKWGEIKGSFRVDDDDEPPRHAKVYVHGPPAGVDLKVMDLQVSAVNKIPRLRHLRKKADRVRKRDVVLKVNQRTDGDTTASVAGAHIQVIQVQNSVPIGTCITKAGMQNPAYVDFFTKHFDWAVLENELKWYYTEAVQGQVSYADADELIDFCDRHGKPVRGHCIFWAVENSVQPWVRALNGDQLRSAVEARLRGLVSRYSGRFPHYEVNNEMLHGAFYTQRLGDDINAHMFRETARIDPAPALFVNDYNVESANDPNATPEKYVALVTDLQRRGAPVGGIGVQGHVTHPVGDAVFFTV >Sspon.04G0013050-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4A:45311335:45312014:-1 gene:Sspon.04G0013050-1A transcript:Sspon.04G0013050-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNQNQCMEAHSQNLTVPLLPLDTALQPRCLFSLPILFSMSTAGAASFQISPPLPHCCCRPLQDLPSPSSQLPPLPF >Sspon.04G0012500-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:37235550:37246111:-1 gene:Sspon.04G0012500-2B transcript:Sspon.04G0012500-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPLLGSTDLWRPVAAARGGGWATAAALLLLLASHLAVLLVRRRFRLRGGGRIAQPEAAVAPAPASTPSGSASGIEGLVTEDDLRQLVGSLGLGAREPERQGWEHVISKSNDDVSYKAWCDKPAAGPPKYLSITTYERCSTEQLRDFYMDNEYRMEWDNTVTKHEQLQYDENSGVEVGRTIKKFPLLTPREYILAWRVWEANDKSFYCFIKECEHPLAAQQRKFVRVRLLRSGWCIRKIPGRDACQIIVLHHEDNGMNIEMAKLAFSKGIWSYICKMNNALRRYPQHRSPSLSILTMQKLMKKFPQDLEAADASLSASQNTAASVVPSTRTARTSPCKLPGKKSSRQMIASGLLLVGSIVCLSRGRSNLGAQLAMAFFLKKAFKQERESGSSTSRAKTDVTKCRR >Sspon.02G0002290-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:7396812:7399428:-1 gene:Sspon.02G0002290-1A transcript:Sspon.02G0002290-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPALLAPDPFADLPFPEFQAPVDGESFAFEDFDLEDLDLDVDFDLDLFASDGQLSQPPPLATSSSSAGSPERGSSSSGAGRDGGGLRNEESSESSSRSASGTDGSGQGKGEEDEAKRRARLVRNRESAHLSRQRKKQYVEELEGKVKAMQATIADLSARISCVTAENAALKQQLGGAAGAVPPPMPMYPAMYPLPMPWMHPAYPMRGSQVPLVPIPRLKPQQSAPAAAEPPAKKARKTKKVASVSLLGFLCLAMLCGCLIPAVNRMYDSVDAGEGAAFRPPHHGRVLAVEGPRDNVSDGIDPKPPQSASETLPALLYLPKNGKHVKINGNLVIKSIVASEKASLRLSGYDGNSPQNQKKEETSLAIPGYVTPLEAGEVMESTKGMMKNELMALAPADGNVYREKDGLLPQWFSEAMSGPLLSSGMCTEVFQFDASPSSAHSNGIIPVYSNDMSNSSQNFTQNLPSAHPHTVKNRRISYSEAIPLRGSTSNDTEHLKTPPKNESFGSTKPVSSVVVSVLADPREAGDGDGEGRIPSKSLSRIFVVVLIDSVKYVTYSCVLPFKSHSPHL >Sspon.06G0025150-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:62750081:62751294:1 gene:Sspon.06G0025150-1B transcript:Sspon.06G0025150-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPRFAAATAALGCLVALATLASCNTEGDILYKQRLAWEDPNNVLQSWDPTLVNPCTWDLGNAGISGPLIPELGGLENLQYLELYDNILNGSIPATLGNLSNLVSLDLYSNLLTGAIPTTLGAISTLRYLRLYENNLTGSIPPSLGNLTSLERLELQKNALNGAIPSSLGNIKTLQFLYVISP >Sspon.05G0004510-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:13438302:13439873:-1 gene:Sspon.05G0004510-1A transcript:Sspon.05G0004510-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAALLPRLFLLTLVMSLVAVAAGGDNSTDAGQIRINCGASVSATDSDGRAWDGDAASKFAPSVAGVAAGASYEDPSLPSTVPYMTARVFNSSYTYSFPTRPGTGRVFLRLFFYPVAYGDRGAGDAFFGVTAGGVTLLRDFNASQTALALDQAYLVREFSLNVSARLDVTFAPSSSSAGSSNGYYAFVNGIEVVPIPGDMITKPVPTFANGGRPEPMPIRADTAFQTMYRLNVGGTAVSPGDDSGLLYRSWDDDSPYIFGAAFGVSYGKDSNVSIQYTPSVPAYVAPESVYASARSMGPSAQINLNYNLTWVLPVDAGFYYILRFHFCEIQYPITKVNQQVFYIYINNQTAQEQMDVIAWSGGIGRPVHTDYLVVTAPGAGQTDLWVALHPDVSTRPEYYDAFLNGLEVFKLQTYNTDSLAGPNPSIPQPVDGGGHTVAVRSKRSDAFVAGWAAAAGGLVAVLVGCLFMWVTITMCRRRWKAGSGVVHVAEPVRKTPAPVLHGPTETYVFSVTAQKWSWPKL >Sspon.03G0002540-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:21781305:21782120:1 gene:Sspon.03G0002540-3C transcript:Sspon.03G0002540-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LTLHTIQSCPSLRRSSLFRCALLGRKRSFISASGSEDAEAGSPPPLGGDKRQQEVLAQIAMLQAQKVRITDFLDERSAYLTKFARDADSEFDLIGQNAMKELDAVGDQVLERLDSKMQAFEETAEAQRQEIEMNDKVLEDFEDWIEKEKNEGMFFQSLGKVKPRNKKEIKVKAKVEAQKVKEIAKESAGSKTRMNIYLGLMGILGITIANAIFATPEVEWRKVAALGLIFIGLVAQV >Sspon.04G0013390-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4B:49379942:49384164:-1 gene:Sspon.04G0013390-2B transcript:Sspon.04G0013390-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTYGLTRGIRQWFGGRRRGRAASPWQWATCSNSVGAPGVTDKTTLSGGDDRYGIPPSKYSLTRRAYGLTRYGMGHTTLALTFKTSQAIGNLDFTFQGRGHQRHD >Sspon.05G0006280-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:20433169:20438219:1 gene:Sspon.05G0006280-1A transcript:Sspon.05G0006280-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DENGNKMINQYVHLGKIGSGSYGKVVLNKPYMMKVRVVRTETAMTDVLREVSIMKMLNHPNIVNLVEVIDDPNIDKFYMVLEYVEGKMVCDNGLEEATARNYLRDIISGLMYLHSHNVIHGDIKPDNLLVTSAGNVKIGDFSVSQDDDDMLWRSPGTPVFTAPECCQGSAYHGRASDTWAVGVALYCMVSGHYPFLGDTLQETYDKIANDPVQIPGDMNPQLADLLQRLLCKDPGDRITLQSAAEHPWVAGNEGPVPEFICRCGFGRRKRNDVREEVQ >Sspon.02G0031730-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2A:115865188:115865730:-1 gene:Sspon.02G0031730-1A transcript:Sspon.02G0031730-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSISGATGERRYFGHDSLVRRRCYRRAPPLDRATLSVLVIRYGQPISLFGVARGSSRTVPVRPALLEKWVPRYSLWQPLVMSKCPRPPLALGAWSGAHHPCSWRLGGGGGVGAHARGRPRGPRVGRSGSAPKGSDKTEPMPPGSDEAELSPRGQARLDPCQCGRVRRSPHSWVGQDGVPH >Sspon.06G0002960-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6A:9483444:9486331:-1 gene:Sspon.06G0002960-1A transcript:Sspon.06G0002960-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding QGGVREKVELATKFSIAFADGKWEIRGDPAYVRAACEGSLKRLGVDCIDLYYQHRIDRRVPIEVTIGELKKLVEEGKINYIGLSEASASTIRRAHAIHPITAVQLEWSLWSRDVEEDIIPTCRELGIGIVAYSPLGRGFFSSGAKLVDSLSEQDSRKRMPRFQPENLVKNAQIFERVNAMAARKGCTPSQLALAWVHHQGSDVCPIPGTTKFENFNQNVEALSVKLTPDEMAELESYAAAGEVLGDRYAQVANTWKDSETPPLSSWKF >Sspon.05G0026690-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:44409697:44430313:-1 gene:Sspon.05G0026690-2D transcript:Sspon.05G0026690-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALTAPNSCSVISGLNKIEQMAMDFILYRGKRPLKCLLGKRTQKKVSMKLMVMIKEHKCITQLKGEHPLTASRLTSKVSSWCPASSSTPGLRALSTACPPRQQRWSSWPGTSCCPITWPGRTRTDRAKSDRVCGQEGRTKGGRGRRRNC >Sspon.04G0002220-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:6854379:6858818:1 gene:Sspon.04G0002220-1A transcript:Sspon.04G0002220-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATATMATAAGAAALLYYTLNRRLQTERLSEEGECSNSRDVAARAALGSPSRSRVSRRDVRAPATWLETISTLSETLRFTYSETLGKWPIGDLAFGISFLLKRQGNLSVASIYTGNDSVELKGAEVIADLKYLLNLLTLCWHFSKKPFPLFLEATGYTAEDVLMQEPKAGILKPAFTILLDRDKKTILLLIRGTHSIRDTLTAATGAVVPFHHTIVQEGGVTDLVLGYAHFGMVAAARWIAKLSGPCLAQALHMYPDFKIKVVGHSLGGGTAALLTYILREQKEFTSTTCVAFAPAACMTWELAESGVRFITTVINGADLVPTFSAASVDDLRSEVTASAWLNDLRHQIEQTRILSTFYRSASALGSRLPSMANAKARVAGAGAILRPVSTGTQVVMRRARSVAQAAWTRPGLQLSSWACIGPRRRNNVSSTSTVTSDEIRTSTSGGSESTSLLTETTVETSETVASEALPEEVQSSVAVAVDTIGLVDDKVDDDDDIADHHDEDRMTDVELWQQLESELYRKREGEDDDIVEDITESTIAEEVGGVAEDVLSETKEVHRFYPPGKIMHILTSSREEAAHEEEPDVQQDDATNGESHSSMGIFLTPRSLYGKLRLSKMMINDHYMPIYRRNIEQLIPELEKDSSDPMGDRLNTT >Sspon.02G0020350-1P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2D:61766400:61767051:-1 gene:Sspon.02G0020350-1P transcript:Sspon.02G0020350-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYHSPLLLLRRRRGIPTPSSLLVLLRHRPFASSPSPPPPPSSASSKPPALSARLSFVFDQLDALDRSRSSELSARDAAVRRIQSWRRPAPPPPEAPPLDADPCPEPEPGPDGQKEAAPAAASADELGRMSMEEVLRREVELVHPWPEWIELMERLAQQRYFDLGRACGADESSMAAAVPLDLSEVSEEAGFDFSRDWTTVKNACMNFGRDRFDILK >Sspon.03G0023390-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:89780000:89781028:1 gene:Sspon.03G0023390-3C transcript:Sspon.03G0023390-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSATCGNCDCADKTQCTKKGDSYGTVVVDTESRVEIVEEEVTVAEHDGCKCGTSCSCGTSCSCGK >Sspon.06G0007810-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:9340820:9342718:-1 gene:Sspon.06G0007810-2B transcript:Sspon.06G0007810-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMNSGGGGGGGSKRQRVDGLDDRCEAVGSDAIPVDRISALPDELRLRVLTHLPLKDAIRTGALARGWRDLWRGRWAHRTSLKVHLCSRDDLRRELDALAREPRPRRRLERFSLIVDTSYLKSSEFQRFLDYATECGVEDLHVETQTRRTTAAAKLKFHLPLSSPALACLSLRDIPVSMFYKGAQRPFHALEVIRLVSVSFRLEAFRKMMALCPNLLTLDLRLCRCNGNGLVFDRLPPNLRSLTIACCDRITSLDFVRVPTLRSFRYRGCPSNLPFSIPRDAVLSDLYIQLYSYDSALMKEWNIDKLRKSLPEDLSSLSVLTICHKALTGASVLPADGASAQLPNFNLHSLKELHLLMLGMKAVNLSNLYLFLKTFQCPNLERLFVKFPDYRYAPMEGSVDQVWEEPPEDGLDNLVMVKVMNFNWNPAEVQLVSFLLRKARSLRKLLIVSRSVTPVDLPDAPEADLSLLKEALVNGKIMFTESDNAATQPYHDAFIMV >Sspon.01G0044340-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:81585366:81586656:1 gene:Sspon.01G0044340-1B transcript:Sspon.01G0044340-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSMLSEYNVSQSFWAKAINTACYYINRLYCHPLKEKTPYELLNGRKPNIAYFWVFGCKCYIWKKGTRLDKFKKKCDEGFLLGYSTISKAYRVWNLASGTLEEVHDVEFDETKGFQDENENLNDVRGIQLSNTMKNMDVGGLRPRQVNDEEDQKEEALRDVDWVNAMHEELNNFTRNKVCELVERPKNHNMIGTKWVFRNKKDQDGIVVRNKARLVAQGYIQAEGLDFGETYAPVARLEAIRILLAYACVHNIKLYQMDVKSTFLNGYINEEVYVEQPPSFKDDKKPDYVYKLKKALYGLKQALRAWYERLTDFLLSKGFKIGKVDTTLFTNKIG >Sspon.07G0032650-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:53548822:53551167:-1 gene:Sspon.07G0032650-1C transcript:Sspon.07G0032650-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LYRFLVRRTKSNFNAVILKRLFMSKTNRPPISLRRLVKFMEGKRIQEVPAMKVTALRFTETARARIVNAGGECLTFDQLALRAPLGENTVLLRGPKNAREAVRHFGKAPGVPHSHTKPYVRSKGRKFEKARGRRNSRGFKV >Sspon.01G0038460-3D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1D:21892679:21893740:-1 gene:Sspon.01G0038460-3D transcript:Sspon.01G0038460-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDIDSSCSTPFASAPSSPGRPHAIGGYFFSAPASPIHHLLLSASCSASATPGAGGGRGCAGDAEFEFGGPGGPMISADELFHNGQIRPLTLPPLPDLDPGSDDDDDDCGGRAAPTRGRDLTPRSASVHRRARSMSPLRSASPRLKLINALVPAPDLGPAPDGTGGRTGRGRAAGHRLVPLVLVLLHVVLLVGRVVVGARVPAVGVHQGHAPPPQQERARQQQQRPRRAARRRREQARASLAVLAGLGSQGQARREAPPLSRAASDGGRRWRSRRRGCGPAAGAGQGPPATFHYGGGGAREAVRGSEPRAGGGDAAAHVPSLPAGPPGLPGLQLPRLRRAPRADQNPQPRILP >Sspon.07G0022420-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:7957731:7959023:-1 gene:Sspon.07G0022420-1B transcript:Sspon.07G0022420-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MELQNEEGRRKRRRRRSSPPSQNPELSEEIIVEEILVRLPVKSLVRFRSVCKAWRATISDPIFIRAHLRHSATKREQDPCVIISPFTLDYVIPGESRPSTFSNQFRFYQWHHLQGNGGGTASSKQTATLIYTKDLGGGEVISQLGYLAFCDGLVLIRTDTKLYLFNPATRDSLTLPDSKRNNLAQEACNSAGLGLDPRSGKYKVVRAFYRSVDIIMNAYRMGMEVFTVGGGRRAWRKTAHDPPYPVCRRQTSLSVKGLMFWRIDKVRHGHHRTALRGLLHLNLVDESFGVTRLPDSMDPALDDAFIMDMLRGELWLTAHTSKTPDTMTIWAMPVEDDGGQGQWERRYSIVGYPLLFRPLALLPPSSGDGSSQVLFWNQRVLYSYDVATSMLTTLCEMDRMRYQGRTARKWKNLFKFNARLYTESLVPLTA >Sspon.05G0003560-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:7489917:7493209:1 gene:Sspon.05G0003560-3C transcript:Sspon.05G0003560-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MYIPIFILIFLSSLCQSDDQLTHTKPLFPKDTLISAGRDFALGFFSPTNSSNKLYIGIWYNNVPERTVVWIANRDNPITNPTSAKLAISNNSGLVLSDSQGHIFWMAMSNTSGGAGAFAVLLNSGNFVLRLPNGMDIWQSFDHPTDTMLPTMRVILSYKSQPATRLFAWKGPEDPSTGDISCSMDPTSNLQMFIWNGTLPYMRYSVVNDVPVSGGTYRSNNISVTYQSMMGDTGDDETYYMFTVLAGSPYMHFSLHYTGKMRLLSWKNSTSSWAVISEAPSVDCDLYASCGPFGYCDGTMAMPTCQCPGGFELVDSLNFSRGCQRKEELKCGAENYFMTMPNMKIPDKFLHIKNRTFDQCAAECTRNCSCMAYAYANLSTVGTTGDASRCLVWTGDLIDMEKASLLENLYIRLGESPGKWHKKKVQRRMMLECLSSTDEAGGRNIELPFISFEDIVTATDNFSDCNMLGKGGFGKVYKGKLEGTKEVAIKRLSKGSGQGTKEFRNEVVLIAKLQHKNLVKLLGCCVHEDEKLLVYEYLPNKSLDYFLFDSARKSMLQWPTRFKIIHGVARGIMYLHQDSRLTIIHRDLKASNILLDKDMSPKISDFGMARIFSGDEFQANTNRVVGTYGYMSPEYAMEGAFSVMSDTYSFGVLMLEIVSGLKISSPHLIMDFPNLRAYAWNKWKEGKIEDFVDSSVMENCSLDEVSR >Sspon.07G0015820-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7B:60375974:60379800:1 gene:Sspon.07G0015820-2B transcript:Sspon.07G0015820-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LRFRFFSPTCAAATARDPISQRPRLASGIPPPLHLLLSTPPTRSERQRGRGMAKARSSAKQSRAQAQAQQSNGGGGGGGHALSSKIARYLDPEASWDKDQLLDAVHWIRQAVGLICGLLWGAVPLVGAVWIALFLTISTGIIYWYYTYLLKIDEEEYGGHGALLQEGLFASFTLFLKDMLFFLPPPAEGLGYR >Sspon.05G0016710-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:68632101:68636702:-1 gene:Sspon.05G0016710-1P transcript:Sspon.05G0016710-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEMIGSAAASSVMGSVIGKLAAMLTEKYQLAKEVKRGIRSLQEELSTMDAVLRMLADKDDDQINPLTKDWRSKVRELSYDIEDCIDRFMLNHSHRCSKANFVRKNIRKVKRLFKDGGIAEEIRELKTLVSEQSERGKRYYDINQCLLVSPQPVLLDPRAPALFQEARDLLVQSALPRNDNGSRVITTTRIKAVANSCCTEEIAKTIKNGAQIRSINIFGSNSVLVNKHATEFFNNQVLRVLNIEGMVDECKLGHVKSLGQLKYFSTGRNVPAVCKLPNDVEKLQHLETLDVRRLSLNNLPASIMQLQKLVRLLVPPSVCLPDGIGNLQALEELSRIDLGIQSIKCIQGLGDLINLRVLEIFWPHSTKLHDMEGHKKACISLLSKLFTHLQELFVLRSDPNVIGSFMALCVPTTPPPLRKLDFDTHKLGNIGPQIRSLVNLTRLYIDVLGDAGKEGINVLASLPNLLSLTVCLSNDEDGDSSIIYPRNAINQQGFQVLVKFHFRCWCVAALEFELGAMPKLQRLKLTLLARCQFKYEDGGLVLGLHNLAGLKHVAVQVDCECAVVEDVEALEDDIRGAAGVHPNRPMLQFRRFNQDRMAQGCSRCPSDHPIPY >Sspon.02G0016970-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:48089388:48092470:-1 gene:Sspon.02G0016970-1A transcript:Sspon.02G0016970-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWMPVETGDSVKLSVRLFKPPASGAEPEPGEGVAVVLVHPYTILGGVQGLLRGMAEGVARRGYTAVTFGMRGAGQSTGWASLPGSTEVGDVVAVCRWVADNIKPRDILLVGSSAGSRLVKVLSFSTLLLSTMKEVDPCKDVTLLLMKIPSCLSKMQLVLEVLCLYMKQISRLLDSSLQCAQFIYDELMSHRCLAIELQQFNILRRSMNEVIGKFLWDGLQPVETVYGSDLRLHYAQ >Sspon.02G0011620-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:25045205:25048503:1 gene:Sspon.02G0011620-4D transcript:Sspon.02G0011620-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGGSGGGSDDVHGLTFGKKIYFEQDAAAAAGGSGSGSATAAGGRKGKGVATGGGAAGGRPVSASAASATQPPRCQVDGCGVDLSAVKQYYCRHKVCYMHSKEPRVVVAGIEQRFCQQCSRFHQLPEFDQGKRSCRRRLIGHNERRRKPPPGPLTSRYGRLPASFQEPGRFRSFLLDFSYPRVPSSVRDAWQGIQPGGDRMLGTIQWHGNQEPHPHRSAAPGYGNHAYNCHGALVAGASMLPSVFELPPGGCVAGVTTDSSCALSLLSTQPWDTTPQSGSHNRSPAMSAASAFEGTPVAPSVMASSYTVSSAWTGSRNPAADGARNAQHHDDALHLVHSGPVHHGHFSGELELALQGSGPPPPNLPHVDHGSSSGTFSHSTTNAMNWSL >Sspon.05G0035280-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:70327724:70327999:-1 gene:Sspon.05G0035280-1P transcript:Sspon.05G0035280-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKKIEVFLAVALLMALLAAVASAGRGSFQLEPQAQHGCTRVGGCTDSACTDLCDTHSAGSCIAKGQFVYCCCDPVPIASAPDAHRPLPH >Sspon.06G0015760-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:70513187:70518003:-1 gene:Sspon.06G0015760-2B transcript:Sspon.06G0015760-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MERHHDHAPGVAKRRNVCHPVESRGHPVESKSSCRVKVVVVQEAILWSQRSSCTRGVASAAPTLGQLTLGGEGLLDTLGWSQRKSFDKSLLLWHLATDFCFHHMAMGMATAPSAAQEAYMAYLLFVNLEMLIPGAKRSLFRDAYKELEGIVSHDDADQPLLGDGELTNRIIQRVTAMEGSGVVHEAWAIAQVLVGLPVREDVDKVWRVVQGVWVEMLCFFASRCRGYLHAKSMGSGGQYVSYVWLLLSYMGMETLAEKMQMTDLQRERDEPAIPRSAAPASPGDNRASSASPAQATDPASASSSRADDPRSSPPVPAPIIQTSTLPLSAAGASDNNDVVLTIPSWLPFSFSI >Sspon.07G0025940-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7C:49992603:49993394:1 gene:Sspon.07G0025940-2C transcript:Sspon.07G0025940-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRLAALFLLAAIVLSSAAPLAHGQAASAPSPAPAAPKTITAVLSKAGQFTKFIQLLQSTREAEQITNQLKGKSSSGGLTVFAPPDSAFSALPKGTLNSLTDQQKTSLVQFHVVSAALPAAQLETVSNPLRTQAGDTGRGKYPLNLTADGTNVNVSTGVVNATLDGTPLYAGDRLVVYQVDKVLLPWALYGPPVPAPAPAPAESKKKKKAAPDAVADAPAAETAAGTTTTAAAATRARGGVGAAAASSCVAVAAVAALWWTI >Sspon.05G0015490-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:59591012:59596308:-1 gene:Sspon.05G0015490-1A transcript:Sspon.05G0015490-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GQLIRSTKHAFKSILASLPKPGGGEYGKFFSLPALNDPRIDRLPYSIRVLLESAIRHCDNFQVTESDVEKIIDWENTSPKLAEIPFKPARCILMDNTGVPAVVDLAAMRDMMPKLGCDPYKINPLIPVDAVIDHAVRVDVAGTCDALDRNEELEFQRNKERFAFLKWASSAFHNMQVFPPGSGTVHQVNIEYLARVVFNEDGILYFDSVVGTDSHTTMANSLGVAGWGVGGIEAVVAMLGQPMGMVLPGVVGFKLSGKLRDGVTTTDLVLTMTQMLRKHGAIGKFVEFYGVGVGELSLPARATIANMSPEYGATMGFFPVDQVALDYLKLTGRSDETVSMIEAFLRANKMFVEHHEPETERVYSSYLELDLSEVEPCVSGPKRPHDRVPLREMKSDWHACLDNEVGFKGYAVPKEQQGKVVKFDFHGRPAEIKHGSVVLAAICSSTNTSNPSVMIGAGLVAKKACELGLE >Sspon.04G0001670-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:5599400:5600197:-1 gene:Sspon.04G0001670-1A transcript:Sspon.04G0001670-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTNLAGAGAEPFRVFVGYDSREDMAYRVCRRSLLRRSSIPLDVVPIVQQELREAGLYWRERGPTESTEFSFTRFLTPYLAGYRGWALFVDCDFLFVADVAALALLAADADPRHAVLCVHHDYTPTEATKMDGAVQTAYPRKNWSSMVLFDCGHPKNRAVLTPEAVSTRSGAYLHRFMWLDDDEVGEVPFVWNFLVGHNRVDPADEAGTAPRAIHYTSGGPWFERYRDCEFADLWLQERDAYEAEEADKDVDGSIQAPPTVVSVE >Sspon.07G0003400-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:8207082:8207520:1 gene:Sspon.07G0003400-1A transcript:Sspon.07G0003400-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RRPRIGRVLLDCFSLSLCTSTCVCVRALEDEEEEAVQRAALVTASDHHHHRQLRLKDLVDGAGTLGFHLQPKVVELRVSMHCNGCARKVHKHISKMEG >Sspon.03G0010030-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:27167467:27175493:-1 gene:Sspon.03G0010030-1A transcript:Sspon.03G0010030-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFKRNQRSEILALRRCNAAGGGGEDEGGGDPRPRKRRRGDEFFPVELLGDVPASAIPYAAFGLRWSEEPEAPAEAAQPPPAARPPVVRTSRGRTQVLPSRFNDSVLIDPWKKEKPAKPPPPPLAVKTEHLVRKNGLLHSKGAIFDRSFALSEVDDDDEEEAMVERYRARRNFGGSRKYLASRSTLTSVHDEPYSNYHRKEVMLRHYYEEEDEEDEEDEQEEDEGCEEEEKEETFHCTEQLVYGDIVWAKLGKRQPMWPGVLVDPTQQAAADAMPPQPRGVAVLCVMLFGWCTEFSDEKKYVWVRQGLIFPFSDYMDRFQGQTELSNCKPADFRRAVEEAFLADQGFSEVVVDCSTKGQPVVCHSFPDDLHEQCRRALKCESCGNCFPNKDTNKMVYVMEQLACRLCAGILALKKYCGICLKSLQHKYGGRWLQILMGISNDQVCCHGCESWVHSECDENCSNLKDLQDNSYHCPYCRVKMNSTLPGKNTKFSDVRKDSSAQKGSKPDKVALVCFDLEGTYQPDLELISCHCGPCKGQKFLFNEWERHAGCRSKNWRSSIKLKGSLMPFGKWTAKHFDLQIDRHQPGVCPTNPSKRLSQKMKKQKLIDLLNDPYDPVNVKWTTERCAVCRWVEDWDYNKIVICNRCQIAVHQECYGVTGKQDFTSWVCRACEKPEQKRECCLCPVKGGALKPTNVDNLWVHVTCAWFQPQVAFADELMEPAIGILNIQPLLFMKVVYLSYYNLCCLSGLTNKYYIFCAEMCVICKQIHGSCTQCYRCSTYYHAICASRAGYRMELHCLEKNGKQTVKKISYCAQHRSPNPDNVLIIHTPAGTFSSKKLAQSNGKVAASRLIRKDIPLDLLSEVEILENISAARCRIYVRKDLKRSRDGAIAHRVRGPCQHRWDEIDNLNPPRFNFIKQVFVLICRKRDPESFCTFKERLCYLQKTEHGRVCFGRSGIHRWGLFARRDIQEGEMVLEYRGEQVRRSVADLREEKYRVQGKDCYLFKISEEVVVDATDKGNVARLINHSCTPNCYARIMSVGHDESRIVLIAKKNVCADEADERKVPCLCQTANCRKFMN >Sspon.03G0025390-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3A:77061692:77062294:1 gene:Sspon.03G0025390-1A transcript:Sspon.03G0025390-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPTSPRGPEPDVAAAARGSPWARSGTAPPPPTPATSLSPHTAPSGPRSAKAARSPSGSPCCQTQTTVPPSATPSSSSLSAAATPFWLASGGRPKHLRWADDDGEESSDDRPSSYLDAVRRPAKAVTTPSASANTHPASSSGRARAGSDRQGRDRWRRRHGQPRPQLVNGLPVRPVEGRIPARQRLGRRGRVSAPNADG >Sspon.04G0025660-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:48026653:48030767:-1 gene:Sspon.04G0025660-3D transcript:Sspon.04G0025660-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSPHLPPRCPPLGPQITRRDDSMFTQSCRFPSGDPFFGEPPCWLDDLLADSGKAPKLPPLRRACSDSDAILDALSTFQSPIYPIEEGDLNSGGEGEDLLDAGEGGESGSVVEASCVYGPNSPRQKSRLTSSESSMVNAVLENVPSNPLQYLTIDASSGVNGNVASVTADACDAFGHPDQDKSFKRRSGQRSRVRKLQYIAELERTVDSLQNMGADLAVRVSSLFQLHNALSMENKQLRMQISSLQHAKLIKDGQTQALKNEAERLKQMSARHRRSRSVTSCYDPSSFGADASAVNWQMPDMARLSLNGSSVSPRGGYGL >Sspon.04G0008080-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:23045520:23050543:1 gene:Sspon.04G0008080-3C transcript:Sspon.04G0008080-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATEGVLLGMGNPLLDISAVVDDAFLAKYDIKLNNAILAEEKHLPMYGELASKSNVEYIAGGNWMLQTPGATSYMGCIGKDKFGEEMKKNAQAAGVTAHYYEDETTPTGTCAVCVVGGERSLIANLSAANCYKSEHLKKPENWALVEKAKYMYIAGFFLTVSPDSIQLVAEHAAANNKVFLMNLSAPFICEFFRDAQEKVLPYADYIFGNETEAKIFAKVRGWETENIEEIALKISQLPLASGKQKRIAVITQGADPVVVAEDGKVKTFPVILLPKEKLVDTNGAGDAFVGGFLSQLVQGKSIEDSVRAGCYAANVIIQRPGCTYPEKPDFN >Sspon.04G0002390-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:8301243:8319291:1 gene:Sspon.04G0002390-3D transcript:Sspon.04G0002390-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRPASRQRKAGHASAAAGPKGLHQHQQQLPQSGSPTSTTTTTTSSSRLTPELSLDGLVSPLFAGLDEDPAPKENVTVTVRFRPLSPREIRQGEEVAWYADGDTVVRSEQNPSVAYAYDRVFAPTTTTRHVYDVAAQHVVSGAMEGVNGTIFAYGVTSSGKTHTMHGDQRSPGIIPLAVKDAFSIIQETPNREFLLRVSYLEIYNEGTFVEGIKEEVVLSPAHALSLIAAGEEHRHVGSTNFNLLSSRSHTIFTLGDQRSPGIIPLAVKDAFSIIQETPNREFLLRVSYLEIYNEVVNDLLNPACQNLRIREDPQGTFVEGIKEEVVLSPAHALSLIAAGEEHRHVGSTNFNLLSSRSHTIFTLTIESSPCGESNEGEAVTFSQLNLIDLAGSESSRAETTGVRRKEGSYINKSLLTLGTVISKLTDGKATHIPFRDSKLTRLLQSSLSGQGRVSLICTVTPASSNSEETHNTLKFAHRAKRIEIQASQNKIIDEKSLIKKYQTEIRRLKEELEQLKMGIITGTPSKDAEEDNIILWKQKLEDGNVKLQSRLEQEEEAKAALLARIQRLTKLILVSTKATPTSRFSPHPGPRRRHSFGEEELAYLPYRRRDIMMDNESNELLLPVEGFGVSLEDSSKEEKKNRKGLLNWFKLRKRDGASILTSSEGDKSSLTKSTAPSTPIGESVNFPAEPRISNSLAGENVSADLFSIGHGEFPSGSIHGEETPLASGKTMDHVDLLREQLKILSGEVAFNTSALKRLTEEAGRSPKNEKIQMEMKKKTDEIKGKQQQIASLEREIAHATLGTQGKVDKLELSPSYHELLEQLNEKSFELEVKAADNRVIQDQLNEKIGECMELQAEVTHLKEQLSQALEAKDLLSNSMTQNNRVNHEVEHNADQDVPREISSEPQQKPQQSVEINELKQKVSELIEIKAQLEDRNQKLLEESTYAKGLASAAGVELKALSEEVTKLMNQNEKLATELSSLRSPTPAPRRVSNGPMSRRHEPASRRDTNASHEREKALETMLMEKEQKEAELQRKVEESKQKEAFLESELANMWVLVAKLKKSQGYDHEDPEAKHDG >Sspon.03G0019980-3C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3C:81377316:81384480:1 gene:Sspon.03G0019980-3C transcript:Sspon.03G0019980-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LNLRLKFDLCSPTLCGGVNISFSFGIVADHAVESNCLVIRFQVLCSNNIPYFGSSTYSPRILDIFYDDNSLLIADVRKLEDFHSSPSKNCRSRQTTAPPRSPSQPPTQAVRQSRGLVDSACGNKALVGVTKGPDVLGSYFLEGCNTTAVPMLARSGEMNPANYKEFISEGFLFALGEGGYGMVFKGRLDDGRLVAVKFLHDSKGDGEEFVNETRSKSLKLFKTPFASVHDFGTLVLSRVISSLVALHLDVPREARTTSYGSSKTSCAPARRDARVLNIMYPFSLCGTEPGGQLGDEDRGVSIAKEAERDSATIQAGDVDPDHDEVTTDRPEPEPRRSVRERRANRIGASNDLDLHPPPLPPPPKPPVCFHYTRRAKPSITMNPDTQLLLNEIQKLSIEQSVIQKQLSDQRDFLERRFVEVDDTMDKRFKEADAATADITEWCQEHEGLVDDLCLRIGRLDKYWNRSVIENTVAHTEPGIFIEPPVKTEQYAASTYAGYKAARPIGHRDEHHHRENEFGMVTTYTHSPVTGMDNSPDPPPKLHGRSTRRREFKPWNQQAGYPQPPRNDRVQAGAGEQPVHHPKPMDKKLADLKAYRRARGLCDHYGEKWSREHKCAPQVGLHVLDELYALFSGDDTVDGPTTACDDENTEETCCLSSDASGQAG >Sspon.06G0011960-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:51914981:51920674:1 gene:Sspon.06G0011960-3C transcript:Sspon.06G0011960-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQASALAALLRRLRRHRTPVAAALLPRRLVSSAPTPAPVPAASSLVPLPLPCLLEGDGCGGSGSGLSASLGSYSRPRLPRRHHAVAMATRAAHQAASSPESPEVAAAASSEASTSTAASQSEIVDFIKSALGKLEGQNHCWLNTVNGTWRNLNEEGIYLVLLYQSCGTLNSNDKYPIAFGRLKHLQQSYHMKYYVKTRNVVLMSVQMTNGACYLLFEGSKDPVLFTNWVEEPDVMIKAIDELTALKEEPSENVLSRVSWQKEEVVKEPSVAMQDDELAFSPFALQIGSSPGFEDGEFESAKFLHPASSFYHAAEDCLFIVDSENRVVRKADLGRRILETIYPVFNKSNGIWSWITDKLGLRKEVAPTIQDFDADSIALPWHLIQISEDNLLIADRSFETPWILRISTGEKQDIGRGRAEAMESYLQTLKERCALIKDMYLNWSSNASVLSDSMQKIPNNELISSIARFQNDVIFCDTDGQRVLKHDLDTKTTSSIQFSNCEVLGLPYWFVCNLERVSTWGHSSGQFQEHVHKVDVLPGRCNMTMYVDIPVDTELAAPLTENCIWRQVRGSGAEVSGSDGPVTTTEKVGIAQQWYDELDNLAFSEVAEEPTTAHGDDSKPGDQNYQDQRRVQFTCAVNVSPGTCELVASVALYLKLARTADGVDQKALVKRVMGCQRREERAGVELLMRSRGDDARGLVVVKPVHLRLRLECRDHPAGTTNKETISTESSLKINVTLD >Sspon.08G0011980-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:51524265:51527499:1 gene:Sspon.08G0011980-1A transcript:Sspon.08G0011980-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFSMSGNHPYHKTCYKEQFHPKCDVCKQFIPTNMNGLIEYRAHPFWLQKYCPSHEVDGTPRCCSCERMEPRESRYVLLDDGRKLCLECLDSAVMDTNECQPLYLEIQEFYEGLNMKVEQQVPLLLVERQALNEAMEGEKAGHHHLPETRGLCLSEEQTVSTILRRPRMAGNKIMGMITEPYRLTRRCEVTAILILYGLPRLLTGSILAHEMMHAWLRLKGYRTLSPDVEEGICQVLAHLWIESEIMAGSGSSAASSSSGSSSYTSSKKGGRSQFEHKLGDFFKHQIETDASMAYGEGFRAGNRAVLQYGLKRTLEHIWLTGTFPF >Sspon.05G0031390-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:4741168:4741389:1 gene:Sspon.05G0031390-1C transcript:Sspon.05G0031390-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMDAVRVLCGAEKERVVGTHKAPGACPRCGGAVVAVDVESERRILGLPLCVKSKRKYSCTKCLRRLVTLYG >Sspon.05G0000750-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:2728852:2730287:1 gene:Sspon.05G0000750-1A transcript:Sspon.05G0000750-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATTRRNHHVVLFPFPAQGHLAGFLALGRLLRRELQDGVTITIVCTPRTVAALRSSAADADAGSSSSISFHALPFENEEPAAANVCVIADVFVAWTVDVARRRGLPHAFFLSCGAFGSAILHALRANMPALPFGPDGTLRLTEHPAVVLHRSQLSPIFTYGDERWTAYNRRHMPRGYLTNAVISNTVEEFEPTGLAMLRRTLGGVPVYPLGPLVRGVPASDKDDGGSDGTIISWLDTQRPSSVVYISFGSQNTIRANQMAELAAALESTGRPFVWVIRPPVGFEFNGAFRDEWLPGGFEARARADGRGLVVRGWAPQLRILAHAATGAFLSHCGWNSVLESLTHGVPLLGWPLAAEQFYNVKMLAEEWGACVEVARGNMESSVVERSRVVEAVEKVMGDTAESEALRRRVAEARHVLSRAWAEDGG >Sspon.01G0026750-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:92358884:92363630:1 gene:Sspon.01G0026750-3C transcript:Sspon.01G0026750-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:At1g77720 [Source:Projected from Arabidopsis thaliana (AT1G77720) UniProtKB/TrEMBL;Acc:Q84VX4] MENRDSFPRPPAPSYPSLTRAVAVPTGGDTAATNLTSSSGSSSSLTLSPPRFLQQIHAAVKRQRPFGPMQSKLPRATRVLVSGGERSTKVGACPSVAKDPERKVTQPQRGLLGSSRLPNATPDQSTPKLGSSTPDELMMTASSSMLKSTIDTHAQSVGQKNNEANLLIDTEKSALDALSSQITSCNALMVESFKKGQLDLDGNPQLTSQRDNFPANQGAQYDHQQNHQELEIVGAEVDMDIKYDAPNLSRRGIDEARNHSHGEPMTRFSAIGSSVTAISLHSGPTVQSLQTPQVSRYTSPVQMPESAVESSKGVLGHGSQKEPAGATGVGDWNPHNQHVRNLGNGAMDKAVSSIGRLRSEGLPANDQSTSARDGGASRPNKGEKERHKKNYDPNVNGKLYQKLGKIGSGGSSEVHKVISSDCIIYALKKIKLRGRDYPTAYGFCQEIEYLNKLKGKSNIIQMIDYEVTDKSLLLESSVPPRDGRIKDDHFIYMVLEFGEIDLANMVALKWKERSNSNMKIDENWLRFYWQQMLEAVSTIHEERIVHSDLKPANFMLVRGSLKLIDFGIAKAIMNDTTNIQRDAQVGTLNYMSPEAFMCNDTDSGGNVIKCGRPSDIWSLGCILYQMVYGKTPFADYKTFWAKYKEVTDRNHKILYEPVDNPWLVDLMQRCLAWDRNERWRIPQLLKHPFLNPPVPKDLPPSDDDPCRLLMERIRVHWGNPVVQKLRSLIEELDGDQ >Sspon.05G0030700-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:5B:92337406:92338760:1 gene:Sspon.05G0030700-1B transcript:Sspon.05G0030700-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMFYASLTHHYSTSTAFSVEDTLFLVAIIVDAALILLLSKWGNAL >Sspon.05G0020000-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:85605766:85609172:1 gene:Sspon.05G0020000-1A transcript:Sspon.05G0020000-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome c biogenesis protein family [Source:Projected from Arabidopsis thaliana (AT5G54290) UniProtKB/TrEMBL;Acc:F4K0A8] MLSVAMAARPVAVSLSRRPRLPTQAAAAASDSDPSSSPAGQQANEAVQDQLSALSFSSLAVIFGAGLVTSLSPCTLSVLPLTLGYIGAFGSGKGRSEVAGNSIAFSLGLATTLAILGVAASFAGKAYGQVGQGLPVAASGLAIIMGLNLLEVLELQLPSFFSDFDPRAAAANLPSGVQAYLAGLTFALAASPCSTPVLATLLGYVATSRDPIIGGSLLLTYTTGYVAPLLIAASFAGALQSLLSFRRYSAWINPISGAFLLGGGVYTLLDRVFPATSMAM >Sspon.04G0009600-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:27626819:27629422:1 gene:Sspon.04G0009600-3C transcript:Sspon.04G0009600-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MYAAGFYTYSIFALMFWETRRSDFGVSMSHHVATAILIVLSYVFRFARVGSIVLAIHDASDVFLEVGKMSKYSHCDWLANVSFLFFVISWVLLRLTYFPFWILRSTSYEVLLTLDKKKHSFDGPIYYYVFNSLLFSLLVLHIYWWVLIYRMLVRQIRTRNVGDDVRSDSEGEDDHED >Sspon.01G0006580-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:15652368:15654179:1 gene:Sspon.01G0006580-1P transcript:Sspon.01G0006580-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKTTSSPSPTISAMNPLLPSSSFLKSPHPPDPNPSSPNPSPCSYLLHADADDEALIQIPGQNPSLVGASAPFALLPSIDPAPHVSSQFYTFSAASYGLMLRCIRAGRPASSDEVRGATSLSVLASWRAVWKDRNEDTAYLTAWKRIQDKLAASPDGRHLHFKSNAAQRVSHVGMWRDIVSEAHADPDLLRHLAFKDTVDRIKQSWTVGAKFYGIPESFIRVCVAACPVCKAAPAGQPDSAISSPGRGKRRRRFEYTETLDVPARDVPRRLQQLAAKHKVVLCIRQKYIRYKPFMAEVKDYACHRAGVPTSNSGSAASSASNSEGKKARVLKREPYQSKRCGCGFRIRAIVPIANYNEKDKTFVYLEEGTAVFKLYAVHSGHEPGPLDGNARIVHRLVGHKGALEFDPDIYGVSEEGDPTFSAKGDEDVDIDDSHQAVLQQVRELRSEVLLLEGKVAKMHPELLGSLSTELSELLHRIRKFNLEGNVYQQETLMVGNEEVRGWGPGDVSHQLDQHDQAFCKDDEMLDDDDTDFGSSLGPIVSWDRMAAECEDRKMLMGDSPKCDKWMLKDDVGDFDAKSILNCGDDDGVEDSKVIKPLMHDDTM >Sspon.06G0034960-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6D:61191082:61191471:1 gene:Sspon.06G0034960-1D transcript:Sspon.06G0034960-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTWRGGAGPGQWRCARSGGAVGRAAAGGSARFRWSSASEQRCAARRKGEGGGAPRREELWEEVPSRKKAPLPRVARLLRSAVGQLRSPPLARALSSATVTKTGLGNGCHGDVGAACGQRRSERASDGG >Sspon.04G0020830-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:73017187:73019507:1 gene:Sspon.04G0020830-1A transcript:Sspon.04G0020830-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVAVALALLFLCVLLLASGAIAVLLIRHCLASLRRRPSADADLEARAPAAAAPRHRQPQPAAAAAKPKQQQALLIAVKKEAQQQQQAPRRLAWREVEALTGGFDEAAVVGRGGSSTVYLARLLDGSPVAVKVHRWCGGERRLRAFRQELDLLRRLRHPNIVALLAYSDDHGEFTQEEGALVLEYLAGGTLADALHGAAAGAAAPLLSWAQRMRILHDVACALEHLHGGGGTSTAVVHGDVSASNVLLDGSATGGGARLCDLGSACEGFSAAVAPARAGAVAVGSPGYADPFFLRTGIVSTKSDVYGFGVLLLEAITGLPAAGEGDASGGSGENLTARILPRVRARGVAGLVDGRLIGDGGYDEEEAAELARLAVECVAAQPGLRPSMAQVRAAIAEKAA >Sspon.02G0003410-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:11670728:11683459:-1 gene:Sspon.02G0003410-3C transcript:Sspon.02G0003410-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exportin-T [Source:Projected from Arabidopsis thaliana (AT1G72560) UniProtKB/Swiss-Prot;Acc:Q7PC79] MSPGSAPPLAAAAVGCLSAVASKRMDSRAKVGLLRSLLAAQQGLGSPDSGLKMAPLVTAYAAEALECHRRLGPSDADGAAALEMLEEVLPAVFAAAESGNDEDVDSGSVLEFLSGYVSIMKAPSEKQLGHLGRILEVVRVQMSFDPVYRGHLDVLDKIGKEEEDLMAERRKDLIVLFRSICRVAPAAAQLFIRGLIVTALSSAEASVEDVEVALTLFFRLGEAVGEEEIRTGAGLLGELVPMLLSARFSCHAHRLVALVYLETVTRYMKFMQEHVQYVPHLLGVFLDNRGIHHQNAHVSRRAGYLFMRAVKSLKAKLVPYLDTILQSLQDVLSQFTSMDWANKDTKFPSSEDGSQIFEAVGLLIGIEEVSPEKQAQCLTALLNPLCHQIESLVMDAKARGLEESSPRAISLQQIIVALNMVSKGFNERLVMVNRPAIGVMFKKTIDVVLQVLVSFPSVRPLRSKVISFLHRMIEILGISVLPCIPIALRQLLLDNEAKDMVEFLVLVNQIICKFKSSASAILEEVFPTIASHLSVILSQDAFSTGPASNTEEMRELQELQRTLYTFLHAMATHDLSTVLLTPSSRQYLETIMQLLLFTSCKHKDILLRKIFVNLVKDWCSNSEDKLPGFRVFMIEKFATNCCLYSVLDKSFDLRDANSLVLFGEIVLAQKIMYERFGEDFIVNFVAKGLPEVHCPPDLAEQYYQKLKGNDIKAFRSFYQSLVEKIRPQGNGSLASCAINVHRATISEIG >Sspon.05G0032490-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:18089867:18091112:1 gene:Sspon.05G0032490-1C transcript:Sspon.05G0032490-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AMQVIAAPHHVNLTELLALAGPYGTFLDYLTRTDVIRTFQSQANATTDHDHDQGHGVTVLAPQDSAFAAVDGAALSNLTAGQLRTLMLCHAAPRYLPLSSFAALAASGPVPTFAPGCALNVTDAAGRIRVASGWTRAARLVSSLYSTPPVAVYALDRVLLPEQVFPTQPAVAPVPAPAARGGDAIDGVPAATERGARKSLSCRFGAGRLLVGYLALMSFGFLLM >Sspon.01G0004190-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:9949153:9953123:1 gene:Sspon.01G0004190-3D transcript:Sspon.01G0004190-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VIGSAFPFCLSSAPSLPLIQHAGAARRSTLRSSRQQASLPLPQLASPSARAGESSSRSSSMLRVVVSTLPALHPLLRRGPLLNNKPLLRSRLQPWPFRALSSPASPSAAVKWEAVALEEHLTRCAAAGRAPLRVAVLVSGGVDSSVALRLLHAAGHHCTAFYLKIWFQEDFRNFWSECPWDEDLKYAQAVCDKIDVPLEVVHLSDEYWNRVVSHMINEYRCGRTPNPDVLCNTRIKFGAFLEAIENLGFDYIASGHYAHVVHPSAENTEAPSVLQLSKDKVKDQTYFLSHLSQSQLRRLLFPLGCITKDEVRRLATQMGLPNQGRKDSQGICFLGKVKFSEFVERHIGEMEGIILEAESGDYLGKHRGFWFYTIGQRQGLRLPGGPWNYYSLDKRRRTFRVGSLNWFDNSGPGNNEQLKCKEAHMRQSI >Sspon.03G0009520-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:4254856:4257754:1 gene:Sspon.03G0009520-4D transcript:Sspon.03G0009520-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGARHEHHLSGDFQFHDELASLLAHQRPDAPMAQQLQQPWFMDYLHATAAAASPLDCDAFVGDFVDVPAGAADEVVKRELVMVDTAAAGSGGGTTTMTTAPLTPNSMSMSSTSSEACGAGAGAGEESAAGKCKKEEGDGEGNESKDDGSAAGKGDGGEGEEKTKKGAAAKGKGKGEKRPRQPRFAFMTKSEVDHLEDGYRWRKYGQKAVKNSPFPRSYYRCTTQKCPVKKRVERSYQDAAVVITTYEGKHTHPIPATLRGSSHLLAAAHHPMSALHHVHPHFRMEPALGGVTAGLGGFRPGGTNAFDALGLGLLQPQPQQGHHHHGAAAMQQLAVSSGAGVQQVDAAATMASHALPDDPHGWAAVAGVGSAPSTATTTAATTTASAPLRMQHFMAQDYAGLLQDMFPSFVHNDDDGYHHHH >Sspon.08G0000580-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:626170:628995:-1 gene:Sspon.08G0000580-2B transcript:Sspon.08G0000580-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAKTARGGGGDSSGSAAVQDSSKVVERSHEQARPPGHRRCRILLLSSNEAEAFAWLSAVAEQGSSPSSELLMARSLAAFPLLLVGAVIASAVYDEKDSVGRAYFLIHDLYPGSKMTLHFTGAATGAPALPRARAESIPFTSTKIPEILSRLSVPAGSPAAAAIRSTLKECEAPPLDGVVAQRCVTSFESMADFAASCLGTRDVRAATMTKLSKDGGATPRQAYVVESVRPMPVSGGDMVACHRMPYPYAVFLCHTTTATLYTVNLAGADGTKADAGTACHKDASPGISWPTFKQLGVAPGTVPVCHFLPQDSMLWMRN >Sspon.01G0030100-1P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1A:104774149:104775008:-1 gene:Sspon.01G0030100-1P transcript:Sspon.01G0030100-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQVMFNHVNGKPPFFDGVSSFDHWKRKMKQYLGNIHEKANELWIRLEETYEGTSTVKSAKLYMLKDKFSTFKMKDDESIPEMFYRLQVIVNDLKGLGEKVEDKDFSHKFLMCLPKKFATLRRMIFREGLDKVKPTNVLGDIMTDAQYNDDEDEVEEKKETKVKQEKPIAFKASSSKGKSKVESDDDDPFDDETMALLVHKMGRFMKKKGYGARKRRDFMKAKEVLCYNCNSPDH >Sspon.04G0034570-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4C:76356075:76357033:-1 gene:Sspon.04G0034570-1C transcript:Sspon.04G0034570-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LPMRCRDKDPRPPGGFMSYFGNQPHNFHLVGAPIHNSPASSPPEVEILLPHPYNDDDNIRTEKRILWTEDEDVRLMSAWIEHSTDSTCGAHKGGKEYWSEVVESYNKTTPALRKRNLKQCKDRWHKINSGYSDQMWIDAAHKFYVEDNKDAKLGPFVGIEVWKICRKVSKWKTYNEELKNAHKTKSFHPKEEREENDENLEEMLKRPMGQKVAKKAALAAKGKSKGSSSNDDGNSMESAIDVDKLGRFSKFQEETNAKRMKILELQQKLSSEKLETTKLAHLTAQETKEEKKLDKESKMME >Sspon.05G0006820-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:12288757:12290898:1 gene:Sspon.05G0006820-3C transcript:Sspon.05G0006820-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGSKPLGDSVFAGHAAAGAAAISASAVAVYPLDTVKTLLQASALTHLSSLCFYSGIGWSIMGKLPGLGARFGTYELLTAFYKDGREDNYVYYSEAMLAGIAAGAVEAVFCTPFELFKLRNQVSSVIPSKAMGPANVAQESFPLLSKLLPGYVPDLRVWNNTVSLLSDLSPKHPDMLGALKQHPWMLTGSGKPPLPSDVHLPSRVILLEGWGALWRGLRSGIARDCVFGGMFFSTWQFLHTAILTWKAVNMSPEPRYGMMQVN >Sspon.07G0028460-1T-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7D:80433621:80434986:-1 gene:Sspon.07G0028460-1T transcript:Sspon.07G0028460-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATSSFGDDADLMVADDLYLIAVCQGTNQADATGLMAISDEEYAAELQLQEVIVSSAMAVIVNSGMAATAAQSSLMPQLDSAVVVNTANNDTAAAETPVLAVAEYSCCSSSSSSPPPPLAVAAPATGEDDATALATCKICLDYVPPSHLHHASRGCAHAFCAACLSGYISAKTQGGRISDIKCPGDGEDCCNVLDPELCQGVISGEAFEALCAALCRSMVEGAGNFCYCPFNDCSEILVDDRGGDVPESECPACRRLFCARCSVPWHAGISCAEYGQLAPGDKGKEDLVVLEMAKGEKWKRCPNCKFLVDKRDGCVHMTCRCGFQFCYACGEPWGQSHHSSCNTA >Sspon.06G0030410-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:42521909:42524000:1 gene:Sspon.06G0030410-2D transcript:Sspon.06G0030410-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVPLAPYPTPPVPFTPPNGGQSQLVCTGCRNLLMYPAGATSVCCAVCSTVTAVPAPGTEMAQLVCGGCHTLLMYIRGATSVQCSCCHTVNLAMEANQVAHVNCGNCRMLLMYQYGARSVKCAVCNFVTSVGASPGAEQKPSS >Sspon.02G0026250-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:91157138:91161375:1 gene:Sspon.02G0026250-1A transcript:Sspon.02G0026250-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRELASSFATAAATAGASSSAADALRGWWDDVNESPQWQDAAFFSLAAAYALVSAVALIQLIRIQRRVPEFGWTTQKVFHLMNFLVNGVRALVFGFHVHVFLLRTKVYKLVLLDLPGLLFFSTYTLLVLFWAEIYHQARSLPTDKLRPAYIAVNTIIYVVQVCIWIYLGINDNAVVELASKIFIVAVSFIALLGFSVYGGRLFVLLRRFPIESKGRQKKLYEVALSAFDADVSLEVLDHPILDFFYYMFAEILPSALVLFVLRKLPPKRRRAAATSKLGHRSSSSIPMFPSRHRLLTVSVVLVFLLPAPGVHPQAPNICGTKANGR >Sspon.06G0012740-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:66938127:66943121:1 gene:Sspon.06G0012740-1A transcript:Sspon.06G0012740-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding NADLDVYSKRFSGKNNVNTGTVLILLSDDKTGFVPYRIDNFSMEIPGERSLGTFNLDILNDDVHVSLPSTSEKAERQFCISVHAEGAIKELLFASAKEMTVVAMQSLDQQRFTRGLIALLDVEGVPVYLGEITVENLMASWQSVQDILVRHYSRQILHELYKVLGSAGVIGNPMGFARNVGFGLKDFISASRKGKLQSPVELLNGIAQGSKTLIGSTVYAVSSATSHFSKTAYKGLVAFTYDEQAASKMEERERLLGLHGEGVLNGFLEGLTGLLQSPIRGAEKHGLPGVISGLAMGTAGLVARPMASILEATGRTAQSIRNRSNPHESNYLRVRFPRPVARDHPLFPYSWEEAIGVSLLAQADSGRLKEETFVMCKTLKGAGKFLVLTEKLLLLVSSPYLVDLGSPQFVGVPPDPQWSIDTEMHLKSVVHLDRSQEVVNIVGSNGQTSPRDKRGGTRNRVMSSAFVPLFHLSIELPNDEDAEGTVQVLQALIEKGKARRWDKNILHRSNIS >Sspon.06G0020770-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6B:10903352:10903681:1 gene:Sspon.06G0020770-1B transcript:Sspon.06G0020770-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLRLRERKNSRAALPHYGTRWGAIERKESATRRWRQEGTTTHGGTGCCFCLAWAAGRVQCSAGYETGEFGRRGAKLVAGNNESQVRMTPTPWRDPIVQSDKVPVWLP >Sspon.01G0024220-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1A:87090771:87092021:1 gene:Sspon.01G0024220-1A transcript:Sspon.01G0024220-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKIQLLSSFIAILAFASHLHPCVSIEFRHELSSWTTGIATWYGDANGAGSEGGACGYQYAVDQPPFSSLIAAGSPFIYDSGNGCGSCYQVVCSSNQACSGYPVTVVITDQGPGGGPCLSQASDGMCLNEGAHFDMSGTAFGAMAKPGMADQLRAAGLLQIHYTRVQCEWPGVDVTFSVDSGSNPNYLAVLIEYEDSESDLSAVDIMQSSAWQWVPMQHSWGAIWRLDSGSALHGPFHLRLTFSSGRVLIASNAIPAGWTPGVAYRAGGVAVTRARPRSGGGQIHEAAGTLSGLVYHLLMFVVLVL >Sspon.05G0023540-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:7711614:7712141:1 gene:Sspon.05G0023540-1B transcript:Sspon.05G0023540-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAPAAASRATGGSAPTRLISKSSFLVPPPIPLPRRAGGGGQRAVLLGVGRRGDNGSSSSEGGRLVDEDMATLRRRIREARAKSSSEEDDTFDADVDAGAGIPLPTEWTELERRHHGSYVAGVRRAVGLLEALLVNTRPGLGAGLLAMLLLGVPASLFLLCAQLIQAADSVRSG >Sspon.03G0025810-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:771678:774050:1 gene:Sspon.03G0025810-1B transcript:Sspon.03G0025810-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEANGKKEEEEFSTGPLSVLMMSVKNNTQVLINCRNNKKLLGCVRAFDRHCNMVLENVREMWTEVRYLRLVKARRRLFRLTKTGS >Sspon.07G0014020-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:56294237:56298547:-1 gene:Sspon.07G0014020-2B transcript:Sspon.07G0014020-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPSAAAAAEAPRTVASAVLRIQMALLDGAAASNEALLHAAASALLSRADYDDVVTERTIADACGNPACPNPLPSSAAAATGPRFHIALSEHRVYDLEEARKFCSERCLVASKALAASLPHDRPYGVPLDRLAAVVALVEGAAAAAVGDGSGLGFQGVDGRNKDEGRKVEIKEKEVAGAGEVSLQDWIGPSDAIEGYVPRRDRSAQGQKPQAQQNKVAGPVQSRTENVDHRTAAPGEDGMRSSPSLVETHMSSEVIAERMGDLVLGENTKTPRKKKTKTPSKMLEQEEDNSMLSSCISDSIAKQLEDVVLEERKGTKKNKASKASLRTQKSKSRKRPAGSDGHEVDFTSTIIIGDASTNREECAMNQYNYLSSSVLVDNHPSSSQSSAKDSMQAYAEQLCEEFNEAVNIGNDETSDEKMRPALKSSLKVTASKSGRQSVTWADENGSVLETSKAYESPSSSIKQPEEGIDSSLRRASAEACAAALIEAAEAISSGTAEAEDAVSKAGIIILPDVLNQKEYDNAKNTGGDDDPEIDRDVIKWPKKPVLLDTDMFEVDDSWHDTPPEGFSLTLSAFGTMWTALFGWISRSSLAYVYGLERGSVEELLIANGREYPEKIVQKDGLSSEIRRALDSCVCNAVPVLISNLRLQIPVSKLEITLGYLIDTMSFVDALPSLRSRQWQAVVLVMLDALSVHQLPALAPVFSNSKLVQKMLNAAQVSREEYDSMVDLFLPFGRSLQAITPM >Sspon.06G0007530-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:27006235:27010352:1 gene:Sspon.06G0007530-3D transcript:Sspon.06G0007530-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGPVLCRPAMQAKLPAAAALVNNSLTKSGQLGTALFGAISKYRNISRFISPISQPSTKNIGPCCSFSSSSDGNGYMAGNFSESDEDYVNSTVLEAVEVRSGSEGYVIKMRDGKNLRCVHNNSQGRNIPESAPQPAIVLRIEDGSGTLLPIIVLEMPSVLLMAAIRNVHIARPTIYQVVKEMIDKMGYEVKLVRVNKRIQEAYCAELYLTKIDDPTDSITFDLRPSDAINIAVRCKVPVQVHRSLAYSDGIRPVEPARMAVAAGLSDGLLFTELDRPDGQPCVEAQEFGLVRNMLIAAVEERYKDAASWKDKLMRLRSKRKNWA >Sspon.01G0025000-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:97707689:97712213:-1 gene:Sspon.01G0025000-2B transcript:Sspon.01G0025000-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:TTN5 [Source:Projected from Arabidopsis thaliana (AT2G18390) UniProtKB/TrEMBL;Acc:A0A178VQD7] SSSVVARRRPYVLPVVSSLILRRVPSADLASSSGSPCWGAGRTDPEIPPPLGARRCPVLPLPAGRGGCQPVRQGCWCPSLDRQHNADAECRSRTQPASPSPACCTMLEVKQLGPPDDSICRRGIIRKIKRKEKEMRILMVGLDNSGKTTIVLKINGEDTSVISPTLGFNIKTIKYHKYSLNIWDVGGQKTIRSYWRNYFEQTDGLVWVVDSSDIRRLDDCRAELHNLLKEERLAGASLLVFANKQDIQGALKPAEIAKVLNLEVMNSSRHWKIVGCSAYTGEGLLEGFDWLVQDVASRIYVLD >Sspon.03G0031100-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:32467581:32468601:-1 gene:Sspon.03G0031100-1P transcript:Sspon.03G0031100-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARNAVDKARPSTRSCGCWPRRSSPTMTSLSSTTPCSRPVPRHPTGPARRGHQGDGCARML >Sspon.06G0031880-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6C:74506519:74509593:-1 gene:Sspon.06G0031880-1C transcript:Sspon.06G0031880-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTQSEDNNPSAEYKLKKYLLLLATLVVSVTYVAGLNLPGGVWQDNDDTKDGHRAGDLILLDTHHSRYLIFYYCNATAFAASVVVCLLLLILQEGNNGVCETVLRVVMVLDLLGLMGAYAAGSCRDVFTTIYSTLVMSVVLAYAVLTFSLYIISKLEKCKDDKQQQKEDHESQSQSREEKDKVASSEVLMLLATFVVTITYGGGLNPPGGFWSNIQDGLRLSLPILQNHSSARYLAFFVCNTTAFVASLLIIMLLLDKRLKKELSVRSVALYGLIVVALFGLVGAYAAGSCREIDTTIYVVSLIGAVLAYIFLQVAITRVAQRCRQPSTREINGSPRTPNAPHGPPGSSRDRGFPLKKDDVGFPLKKDGVGLEKAHDLVILLATLVASVTYQAGLDPPGGLWSDNRDGHHKVGDPVLLATHPQRYKVFFYSNSAAFVASLVVILMVKCEFLVMRRSLEAAMLVDLFGLIVAYAAGCCRDVSTSIYVVALAGAVLVYVVIHIIFFTLDKDDKHGNAHELDSKREVLLLLAILAATVTYQAGLTPPGGFWSADDEFGHHAGFPVLLDNYPGHYQAFFYCNATSFMASVALIVLLVNPNLYMPGIRCYAFFVCMVVGLFGLMGAYAAGSSRQLRTSIYVLVLFALVFTCVTSWVVILLIRRLMEHRHDKGDGPSVGGDAGIGGTVNTDASPEQDNDKEKKKKKKKEEEQKKLREYLMLLGVLAASVAYQSGLKPPGGLWQDNDGHSASNSILHDTDKSRYHVFFYSNSTSFMASIVVIVLLLPLHEELPLWPLRMAILLDLVGLLVAYAAGSTRDWEMSRNVIALVIPLLLYIASYALYTWYRQTKCSDSRQPGPADSEVTK >Sspon.02G0034650-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:9804486:9805171:-1 gene:Sspon.02G0034650-2D transcript:Sspon.02G0034650-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASVTIVGSFLAALALLAVAGEAAVFTVVKQCPYTVWAASVPLNRGETWRITAPAGTTAARIWARTGCQFDASGRGSCRTGDCGGVLQCTGYGRAPNTLAEYALNQFNNLDFFDISLIDGFNVPMNFLPDGGSGCSRGPRCAVDVNARCPAELRQDGVCNNACPVFKQDVYCCVGSAANNCGPTNYSRYFKGQCPDAYSYPKDDATSTFTCPAGTNYKVVFCP >Sspon.03G0037410-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3B:98213446:98214183:1 gene:Sspon.03G0037410-1B transcript:Sspon.03G0037410-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLIQLLLFFNLSKKSTAEKQSSSDQVLLVLGTRRRHNCFFMTVVDSTWCTGAPLHSNSLTMLATKDSRCSMAMDSPTQIIRPTPNGIILIPLVPVMSTPTLSPPSRNRSGVNCIGLDLPPLLVPTHLGQTATVSSQCVLGDQVPIDVPVSGGLVRQQAVARRVLVQALQITALRYGIPCTASSETACALLTHVVLISTWSRSWTAGTSSAMIHSRPVEVVSMPAVRNSKQSVTILSSMSAQIML >Sspon.06G0035590-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:78156061:78158437:1 gene:Sspon.06G0035590-1D transcript:Sspon.06G0035590-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding YTYWFQTSQEHVVRYTMHDLVHDLATLTVADELKVFDVAPRRNTHANKYCRYSVLRNYDRKMKLANMPSKMRALRFSDCGELLDIQGGAFSFAKCLRTLDFSECTGILLPASIGNIAYFSVRADDVNGCSNLHLLEGINVGELRIHSLENVRSLEEANKVKLLEKQNLSELRLAWTAGAVRLLEDKDLLGQLVPPRGLKHMCLRGYSSAGFPGWLTGTSSCHLANLVSIELHNLPTCRDLPPLGQLPCIKRIDREFCGGKRAFRRLSSFQVSLMEGWEEWNTTYSVEDGVEEFMFPMLDRLEIRWCPRLRLKPCPPTFRECSICGSAQVISCLEEVDKTSRLCSSSSRAIKLDLDIQGDSCQSIRLFHHFPTLRELHISGYHLTNVPESMRHLTSLECLILHRCNRISALPEWLGNLSSLKSLVILGCRSINSLPSCIQQLTKLQKLEIRYNRELKKWCESEENKTKL >Sspon.02G0056630-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:30101913:30104825:-1 gene:Sspon.02G0056630-1D transcript:Sspon.02G0056630-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSWCPTSSMMPWRARQMVIRLLVTTFLVGGRRRRGAVSHASNVSEQSGEATGAPAACGWVVDDTNQPTRMVKEDGERGEDDTTAVPEDCGAGTEDGGSGPEHGNIGLENHDSVLDDSGMASKDSSDKSCQRERRRHQGFGRRAVQLLAIRSNFPISEIYGYDWQQGRCIYVQREGEVQEEGMVDLVPIGPREVLMAYGCFSLEVYADNESDATIIADWDVSGDVEIKEYTQSICAGAGYEFEIIYLVIPKAIEANVEVKLKLKDFGSRSRAVYGKIKASASDYRNKSVHLFSCERGTSLSFPSGSTSILPLSPSMVAVPCRWELELHIEVDLTVITTCDSQEEEQDKNLNFSLEFNHEITSQEREVDDDQVEVNIKWYRIS >Sspon.06G0003520-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:27115297:27121855:-1 gene:Sspon.06G0003520-2B transcript:Sspon.06G0003520-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSNPILMVLSAAAIGFLIGVSFPVQIAPKVSPFFSLGDGNCTVRGSNILARFSAPFGNSTSNTAEGTPLLQSNATSDSEIVPPAKSKPKGAERLPPNIVVRESDLHLRRLWGHPREDTPTRKYLVVLTVGYSDKVNVNAMVHKFSDNFDVMLFHYDGLTTEWDEFEWSKQAIHVSARKQAKWWYAKRFMHPSIVAPYEYVFLWDQDLGVETFDAEEYIKIVKKHGLEISQPGLDIIRGVKNYDINEPEQQIGVVDAQYVAHHEGFTLGNKGNDTVDGSRRK >Sspon.02G0058630-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:81377259:81383387:1 gene:Sspon.02G0058630-1D transcript:Sspon.02G0058630-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIDDAGFIYSGFNGANLTLDGMATITSSGLLQLSSGNGERKGHAFHPELLRFHSVPGGKVQSFSVSFVFAILSIAPNLSSHGMAFLISPSKNLSSSGTRGFLGLFNRQNSGNASNHIFAVELDTIQNTEFQDISDNHIGVDVNDIRSVRSNYTGYYDSQGNFQNMTLNSHEPMQVWVDYDEVTTKISVTIAPLKMSKPMRPLILTTYNLSTVLTDQAYVGFSSTTGSIDSQHYVLGWSFAMNQSAPGIDVDKLPKLPHGGSKNSSKVMEIVMPIATAVFILVLAVAIFQFMWRRLKYAELREDWEVEFGPHRFSYKDLFSATQGFKSKHLLGVGGFGSVYRGVLPMSKLEVAVKKVSHESRQGIKEFIAEEWDQVVIHRDIKASNVLLDNEMNARLGDFGLAKLYDHGIDAQTTHVVGTMGYIAPELARTGKASPITDVFAFGVFVLEVTCGRRPVEHNRENNGVSMLVDWVLEKCHKGLLTKVVDPRIQNEFDINQTILVLKLGLLCSHPIPDSRPTMRQVMQYLDGDMKLPEQLPESLTLGMQFLMQSEGFDSYIMSQAATTIWVQAVSWLASVCLLGTKWASGPDAKCSVLKLNKIQPSSPSTPNSQPAHHRTSQSATRHARLVRVGVGVGVGRPPLPPAPTVGPPRSEVRLRLRGSPSIALYLLPARARSPITRLPPPGWPASIAQAPSGLGQAASCPGQVVGCLASRIDLRLRPDGIGDDEGNASGGGHCARWLFDDLTQRSRTTCSYSDSGANALNPTRARLAFSLTASYRLLLHSSSSGSRSGDQAISTNDSKKHQFKVKLPDIGSNRSHGIDTKVDGPETLKKQLDRGTFVATFFPIVNSDYYASVDHGDLNEERP >Sspon.02G0023160-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:81184643:81191938:-1 gene:Sspon.02G0023160-2C transcript:Sspon.02G0023160-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Methylmalonate-semialdehyde dehydrogenase [acylating], mitochondrial [Source:Projected from Arabidopsis thaliana (AT2G14170) UniProtKB/Swiss-Prot;Acc:Q0WM29] MLRAALFRSAPGLRRAPAMAAAAAPFSTAAAAAWLSNGPASTPPRVRLLIGGEFVESRADEHVDVTNPATQEVVSRIPLTTADEFRAAVDAARTAFPGWRNTPVTTRQRVMFKFQELIRANMVDKLAENITTEQGKTLKDAWGDVFRGLEVVEHACGMGTLQMGEYVSNVSNGIDTFSIREPLGAAMMLAELAIEAGLPKGVLNIVHGTNAGMHIYSRASAAGKRVQCNMGAKNHAIILPDADRDATLNALIAAGFGAAGQRCMALSTAVFVGGSESWEDELVKRASGLVVNSGMVNDADLGPVISRQAKDRICKLVQSGADSGARILLDGRKIVVPQYEDGNFVGPTILADVKSDMECYKEEIFGPVLLLMKAESLDDAIQIINRNKYGNGASIFTTSGVSARKFQTDIEAGQVGINVPIPVPLPFFSFTGSKASFAGDLNFYGKAGVQFFTQIKTITQQWKESPAQRVSLSMPTSQK >Sspon.05G0018310-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:75809622:75812421:1 gene:Sspon.05G0018310-3C transcript:Sspon.05G0018310-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASATLLKSSFLPKKAEWGATRQAAAPKPVTVSMVVRASAYAYELVQTAKTIASPGRGILAMDESNATCGKRLDSIGLENTEANRQAYRTLLVSAPGLGQYISGAILFEETLYQSTVDGKKIVDVLNEQGIVPGIKVDKGLVPLAGSNNESWCQGLDGLASREAAYYQAGARFAKWRTVVSIPNGPSELAVKEAAWGLARYAAISQENGLVPIVEPEILLDGEHGIERTFEVAQKVWAETFYYMAENNVMFEGILLKPSMVTPGAECDEKATPEQVAEYTLKLLHRRIPPAVPGIMFLSGGQSEVEATQNLNAMNQSPNPWHVSFSYARALQNTCLKTWGGRPENVKAAQDALLLRAKANSLAQLGKYTSDGEAAAAKEGMFVKNYSY >Sspon.02G0024520-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2A:84535139:84535702:-1 gene:Sspon.02G0024520-1A transcript:Sspon.02G0024520-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLPLHFHYEDVLRQDLLLKQNHANIMQVPGLFEIKLAPKAGSDLKIPIGKMAMEVLSGQRFKEAKSDPFAKARKSSRTNPFIGADKDSSTVFAQPTVLRGHAMYNFLVRMLTVMSMLDSRAEIRENTIKFFMETEFCEFSPELEDHFEIFEHIRGFNVTIVTSADTKDETSLLWSGFTLNDEGETK >Sspon.01G0007740-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:23293581:23296648:1 gene:Sspon.01G0007740-2B transcript:Sspon.01G0007740-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMPAPAVGLAVLVLFAAALPALYADDLNTDAQALQALRSAVGRSALPSWNSTTPTCQWQGVTCESGRVVELRLPGAGLMGSLPSGVLGNLSALRTLSLRYNALTGPIPDDVSRLSELRAIYFQHNSFSGEVPASLFELKNLVRLDIAGNKFTGEISPDFNKLIRLGTLYLDGNSFTGEIPKLQLPALEQFNVSYNQLNGTIPTTLRKMPKDSFLGNTGLCGGPLGLCPGESAPTPAGSPESQPGAGVPADVGGGKKKKLSGGAIAGIAIGSVFGVLLLLALLFFLCRKRSNAPRSAATVEKGRELGMEPMDVEPKGQNGSAAGPGGHNGAAAAVAVPTAAAAAAATASAAKTVGSTGSKKLIFFGPMAAAPPFDLEDLLRASAEVLGKGAFGTAYKAVMENGSAVAVKRLKDVDLPEPEFRERIAVIGAVQHELVVPLRAYYFSKDEKLLVYDYMSMGSLSALLHAADIPVLLLPSSGDAGNRASGRTPLDWETRSAIALAAARGVAHIHSTGPTASHGNIKSSNVLLTKNYEARVSDHGLPTLVGPSFSPTRVSGYRAPEVTDIRRVSQKADVYSFGVLLLELLTGKAPTHAVVNEEGLDLPRWVQSVVREEWTAEVFDQELLRYQNVEEEMVQLLQLAIDCSAQHPDRRPAMSEVATRIDDIRRSSLGGGDRQAPDSAEGDEPSL >Sspon.05G0011100-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:31685297:31686152:1 gene:Sspon.05G0011100-1A transcript:Sspon.05G0011100-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutathione S-transferase TCHQD [Source:Projected from Arabidopsis thaliana (AT1G77290) UniProtKB/Swiss-Prot;Acc:O80662] MALEEKGIDYTSYHVNPLTGKNMNVDFFRMNPSAKLPVFQNGAHVHQWMQKVDAWNPKMFTLTHTPTKYRAFVSKFIRRVLIARMADAPDLASMYHVKLREAYETEDKVKDPDIMKQSEEELSKLLDEVESQLSRTKYLAGDEFSPADSMLVPILARITLLDLDDEYINCRPKILEYYNLVKLRPSYKIAIGKYFSGWKKYRTLFKTSFFLCVRTLFRKY >Sspon.02G0040920-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2B:73159278:73163446:1 gene:Sspon.02G0040920-1B transcript:Sspon.02G0040920-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding IISEYLQFMEWIDRRKLLNFEERDYASHLDLIARNHGIEAAEKYIERVPEAFRSEVLYETLLVNCVCRDDAQKAEQVFNEIRELSLPLTISACNQMLLLYKRVSRNKVVEILTLMEKENIKPSLFTYKLMIDLKGRSNDTLGMESVLNLMKENGFEPDFGIQTTVAKFYISGDLAEKAEEVINAMEVYVKDNRHAIRSLLDLYAILGRPDDVERIWNLCTEPKLEDFLAAIKAWAYANAQVTPYGFRERMKADKYSPSKTQIERLNRLDSLMIRVFRRVASRKWTLLMTVEPLTPPVPLHSTAASIRSLLSFPGESNPNPQREMAAAALRPAILRRIRLSPSPSLALAAAGAGASHPHALARWLAPRPMSSHDAHLTREEVVDRVLDVLKSHPKVDLSKVNPEAHFEKDLGLDSLDTVEVVMAIEEEFKLEIPDMEADKIDSLPLAIEYVANHPMAG >Sspon.07G0021600-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:3430947:3433241:1 gene:Sspon.07G0021600-1B transcript:Sspon.07G0021600-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSLPPKEANLFKVIVKSYETKQYKKGLKAADSILKKFPEHGETLSMKGLTLNCMDRKSEAYELVRRGLKNDLKSHVCWHVYGLLYRSDREYREAIKCYRNALRIDPDNIEILRDLSLLQAQMRDLSGFVETRQQLLSLKPNHRMNWIGFAV >Sspon.07G0038550-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7D:81424463:81426045:1 gene:Sspon.07G0038550-1D transcript:Sspon.07G0038550-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding NNVGYSSVPKKVRRLSLQKGKSGHGEPKATLSIEHVRSVIVFPSYDDHIPTLENFRVLRVLDIRSCDLSKGYRLKFLGNLVHLRYLGLVDTRIDHLPEEIGNLQFLQILDIHGYASRIGSLPSSIICLTQLKCLYINEKTRVHKGIGRLTALEELSCLGIDEDSIDIVEELGHLAELRMLQIKIFSKTNREENRLDKSLVECLNKLYKIQNLYMYINSGECNLDGWVAGPQHLRAMKLDGTCSFSALPRWAVNPSLLQDLSFLEIRVKRLQQEDLEILGRLPALRNLLLMVGHEDLGIHGRFVVGACSFPCLLRCWLSGFRGPVVFEEGAMPRLVDLEFDFPVQRTREINGSFDLGLVNLPSLQQVEVWLQPGGAGEQDVEEAKVAVRHAIEVHPNHPTIKVNNFCVDEAYALLVTSPLSPFPPFFKPKYPLFLLSFGVNDMSRLADLELLQFFAMLKMREIIGGFDMGLGNRQRPSVTVRFGSVGAQHRGGGGSKTCDQEFRISDESWIDEDEGAPALLSPFPLT >Sspon.08G0003070-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:6731002:6731601:-1 gene:Sspon.08G0003070-1P transcript:Sspon.08G0003070-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKAAAVVLLVAALLGCLVSASLADQGTATYYTSACYGYQDEGTMIAAASDGLWDNGAACGRMYQVSCAGGTNATPNPCKGGSVTVKIVDRCPSPGCQATLDLSQEAFNTIGNLDAGKILINYNQYARATRFTISLPLFTRLV >Sspon.01G0010560-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:29443358:29448861:-1 gene:Sspon.01G0010560-1A transcript:Sspon.01G0010560-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDVPMTPELEQIDGEIHDIFRALQNGFQKMDKIKDSNRQAKQLEDLTVKMKECKRLIKEFDRILKDEESNNPPEINKQLNDRKQFMVAMTNQQLIDTGRNQMDQTDQAIERSKMVTSLTKHPCPVCFSSLPWQILQDILLVVAQTVETGAQTAATLTAQTEQMKRIGNELDTVHFSLKKASQLVKEIGRQVATDKCIMAFLFLIVVGVIAIIVVKIVHPNNKNIRDIPGLAPPAQNYQIHNRRLLWAEAFIGL >Sspon.03G0002170-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:14852266:14854169:-1 gene:Sspon.03G0002170-2B transcript:Sspon.03G0002170-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding PMALPKEHVNSLDGDVPEALLFHLVCVQEPERKNSFAAGYQRPLRRRLGLDGRRNSCNQAPVLITFLVTPRESGKIKATPTAETPVISPCFDNFPHHTERVVKLKPHPPATPRAGPNGGAHAGRANHTHPVRREAESVYAQLQLCKAAEMLLTRLPSSSCSSTAQQRQPCAGKSPFRGGACWRKREKLPGLRARLAVKPPRAAPGKGGIVPASDDDDKLPGNIDIARFESLLFQVSLVRVVLPGFFLRLTLFDTEGANLPLPVPLKVEGGIRLGFIAVDDGATQTLVYIDCLVSPAPDGSGQDHEKPP >Sspon.01G0055490-2D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1D:78260672:78261388:1 gene:Sspon.01G0055490-2D transcript:Sspon.01G0055490-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIIDPKALASVHQFTVVIDGVETAVHEGVLRRNGGTVAVVGPGVLEVSRFQHVVVRGGGGGDVRFSRCGYAAAEDCGAASFHRCDAVRVGGARDVAVRRCRSADVERVAGAVAIRRCKGAVRVRGAGGELRVGRCREADVGGCADVAVARCRAARADWCGALALGRCGSADVTRCGAVRVDRCRDATVSGCGTVAVRRGKVSMVEMGQQVPPDFHEQTMMYQQGEPVLATPVAIVAK >Sspon.02G0042220-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2C:84757628:84758078:1 gene:Sspon.02G0042220-2C transcript:Sspon.02G0042220-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding TDGLLPPVTRRSTSGYAVFLGGNLVSWSSKRQPVVSRSSAEAEYRAVANDVAEASWLRQLLAELHTSPSRSTLVYCDNVSAVYLSTNLIQHQRTKHVEIDLHFVRDRVAMGEVRVLHVPTTSQFTDIFTKGLPSSTFAELRFSLNITGG >Sspon.03G0008460-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:22776475:22780829:-1 gene:Sspon.03G0008460-1A transcript:Sspon.03G0008460-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSTAASSLSLLFSHPHSHSRQPSVRGPAAGSHLRLPPRASPSRARCAASDTTATKHRRPAEENIREEAARLRGPAQGFSAWYEPFPRAPGGDPDERYSLDEVVYRSSSGGLLDVRHDMEALARYPGSYWRDLFDSRVGRTAWPYGSGVWSKKEFVLPEIDSDHIVSLFEGNSNLFWAERLGREHLGGMNDLWVKHCGISHTGSFKDLGMTVLVSQVNRLRRAPLSRPINGVGCASTGDTSAALSAYCAAAGIPAIVFLPADRISLQQLIQPIANGATVLSLDTDFDGCMRLIREVTAELPIYLANSLNSLRLEGQKTAAIEILQQFNWQVPDWVIVPGGNLGNIYAFYKGFEMCRVLGLVDRVPRLVCAQAANANPLYRYYKSGWTEFQPQIGDPVSVDRAVVALKATDGIVEEATEEELMDAMALADRTGMFACPHTGVALAALFKLRDQRIIGPNDRTVVVSTAHGLKFTQSKIDYHDKNIKDMVCQYANPPISVKADFGSVMDVLQKNLNGSSDPGDKA >Sspon.01G0018670-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1C:70807694:70809696:-1 gene:Sspon.01G0018670-2C transcript:Sspon.01G0018670-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MENSYCRWVLVCWVLALCLGSQGARCQLTPNFYHSTCPQLYYIVQHHVFSAMRAEMRMGASLLRLHFHDCFVNGCDASILLDGSDGEKFAKPNLNSVRGYEVIDAIKADLESVCPEVVSCADIVALAASYGVLFVSSFLYPFPLVLYSPVDLSEHSVVSDKRLTLRATAVAQSGGPYYNVLLGRKDGLVANQSGANNGLPSPFEPIDSIIQKFSAVDLNTTDVVVLSGAHTIGRARCALFKNRLDSADPTLEASLADSLQSLCAGGDGNQTSALDVTSPDAFDNNYYKNLLTEKGLLSSDQGLFSSPEGVASTKDLVETYSSYSERFFCDFVRSMIKMGNIPLTGSDGEIRKNCRVAN >Sspon.04G0020890-4P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4C:79393116:79394183:-1 gene:Sspon.04G0020890-4P transcript:Sspon.04G0020890-4P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKATSLSELGFDANGASAGFFRPVTDGMDSTPTSHHRRRLTKVSVIGAGNVGMAIAQTILTRDLADEIALVDALPDKLRGEMLDLQHAAAFLPRTRLVSDTDMSVTRGSDLAIVTAGARQIPGETRLNLLQRNVALFRKIVPALAEHSPDAILLIVSNPVDVLTYVAWKLSGFPVSRVIGSGTNLDSSRFRFLLAEHLDVNAQDVNAYMVGEHGDSSVAVWSTVSVAGMPVLKSLQESHSSFGEEALEGIRRAVVDSAYEVIGLKGYTSWAIGYSVANLVSSLLRDQRRIHPVSVLAAGFHGIPDDHEVFLSLPARLGRAGVLGVADMELTDEETRRLRRSGKTLWENTQLLGL >Sspon.05G0022480-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:3557441:3561435:-1 gene:Sspon.05G0022480-1P transcript:Sspon.05G0022480-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASVGVRAPPRGRAWAGLEKPQGAHSVRVPPARLTAERLLPPRRLVLGADPWRTADPALRPAQLRASCSAKSAGTGKVHYSADEALILQQKAQDVLPYLDGRCVYLVGMMGSGKTTVGKILAEVLGYSFFDSDKLVEKAVGISSVAEIFQLHSEAFFRDNESEVLRDLSSMHRLVVATGGGAVIRPINWNYMKKGLTVWLDVPLDALARRIAAVGTASRPLLHQESGDPYAKAYAKLTSLFEQRMDSYANADARVSLEHIALKQGHNDVTILTPSAIAIEALLNMESFLTEKTMVRK >Sspon.05G0022770-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:3972568:3975901:-1 gene:Sspon.05G0022770-1B transcript:Sspon.05G0022770-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNIDSWHIIMRMRIPQEGPCDPIAPLDLPHSLHAFHRVSACDSLNLEGYHTFRGGFWNYFSLGMDAQVSYEFHSERKRNPDKFRHQLRNQGTYAKLGFKHSLNHLSSRNISQLAKVKIMKRPGSQWEELRIPRSIQSVICLNLPSFSGGLNPWGTPSSRKVQDRDLTAPYVDDGLIEVVGFRDAWHGLILLAPNGHGTRLAQAHRIRFEFHKGAAEHTFMRIDGEPWKQPLPKEDDTVVVEISNLRQVAMLANDPCRSKSVNDPSSPSCHSHEDDESNSLEDEDEWEDGRKKFGAAATFKIPDEVDIAHLS >Sspon.05G0032830-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5C:23057172:23062902:1 gene:Sspon.05G0032830-1C transcript:Sspon.05G0032830-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding KSTPVPPGGTNLARTGRGPELPSPRRASPLPGHHSSLQPVGEERLQPCRDPASHAVAGGEVHSRTWRPPPRPFQDARHRFLTHRRVRHQCPSPCQPAVLAVKRRPRWWSLLHSLLLRVRNPFVQSRGIRIGGGISTRYYTKTDFPAEGTPEVFLPINIKDFHWYLAVVDATNQEIRVLDSLGEQENRLELGHTGRIYNGSDDIPVTSLEEPWQTLGLETDVPQPQHQYL >Sspon.08G0006940-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:22132546:22140709:1 gene:Sspon.08G0006940-2C transcript:Sspon.08G0006940-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSHADLDRQISQLRDCKFLPEAEVKALCEQAKAILMEEWNVQPVRCPVTVCGDIHGQFYDLIELFRIGGDAPDTNYLFMGDYVDRGYYSVETVSLLVALKVRYRDRITILRGNHESRQITQVYGFYDECLRKYGNANVWKYFTDLFDYLPLTALIENQIFCLHGGLSPSLDTLDNIRALDRIQEVPHEGPMCDLLWSDPDDRCGWGISPRGAGYTFGQDIAQQFNHTNGLSLISRAHQLVMEGFNWCQDKNVVTVFSA >Sspon.01G0053320-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1C:35108321:35108836:-1 gene:Sspon.01G0053320-1C transcript:Sspon.01G0053320-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQSSPRPATAPPRETHRGQPPPFLLHFNRSQISGRVPLPHRSLVTGEMAVGRRSTTAGGRGVPTRPIGPAGRLGRAVEKRAEGREEGERSAGVSCWAGPVREKGRRPE >Sspon.03G0013060-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3A:36503023:36507958:-1 gene:Sspon.03G0013060-1A transcript:Sspon.03G0013060-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIFLPAAAHHARRTRLDGLVQPQRRRPTMVHAGPGGRGHPDLGALQGPPQPAFRPPAPIGAALRALGLPSYRHGDRVPGPVAGAAPPRRSTGRGPAHPTLHRRPPTTAQPAGPDAKSPEPGVRHEPGSAVRADGGIHDRPGFLPRPPGLPHAGRSVRRRLDWLFRRRHHRPSAFRWPPTRRTVARPSGSPRRNKRSAADWASATICNEPYSRGHNRVCRRIFHIAGIELDDDDSTAAEEPAAESPVFSLHAVVGVPRGGTLQVIVALGGASLVALIDSGSTHSFISAGAAERTGLLVEPRPRLTAVVANGERISCPGVIRAAPISIDGTTFNIDLFVMPLAGF >Sspon.08G0001940-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:70189910:70199642:1 gene:Sspon.08G0001940-1P transcript:Sspon.08G0001940-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPHCASSSSAFLGLPSSDGGRRYGNSCRFAANARLASVSATLDKETAAMKPRRSRRPRKATKSGSTALLANDEPAEAKNGGATEAQNGGLGGRGMVALDDVIVNPVGLGRRSRQVFDEVWRKFSRLGQMSSASSAAVVEQDPAVLFRGGPMCDFTVPGAQDTTVLVALVRRNDPEVIDMLPRSVDIVVGDVGDPSSVQTAVSGCNKVIYCATARSTITGDLNRVDNQGVRNVTKAFQDCYNQLAQSRAGKSSKSKMLIAKFKSAKSVKGWEVRQRSYFQDIYPSTSRFEGGTDASFEFAESGQAVFSGFVFTRGGYVEISKRLSLPLGSTLDRYDGLLLSVGGNGRSYVIILETGPLADTSQSKKYFARMNTKVGFRRVRVPFSSFRPVNPQDPPLDPFLVHTLTIRFEPKKQRPGDSSEGASDQRNFELKMEFIKALPSGQETDIVLVSCTGSGIEANRREQVLKAKKAGEDALRRSGLGYTIVRPGPLQEEPGGQRALIFDQGNRISQGISCADVADICVKALHDSTARNKSFDVCYEHVSEQGSELYELVAHLPDKANNYLAPALSVLEKNT >Sspon.05G0022330-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:3180300:3180827:-1 gene:Sspon.05G0022330-1B transcript:Sspon.05G0022330-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWHAWLSGARLEPALVYEYALVFARNELEADDVAFFDHEFLHSMGISVAKHRLEILKLAWRDRRSCARPAALARLLLGRVARYVRALVRRDEDGSTALVLVPSQQLQADVVGRSPCGGGGGVSKRAKSEPKGPAPRASIGGRAAAAVHAVGDVEGGDGDEMVRWDRLFQDLKPN >Sspon.02G0015930-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:43777792:43779850:1 gene:Sspon.02G0015930-1A transcript:Sspon.02G0015930-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKDKVHDKETHGTSSDITEKTSVEKVKGPDLLERAKEEIEALAGAVHTKMEHHSKSHKEDKEGSLHNIKTHTNETHGTSADINENTPVDKVKGPNVFERAKEEIEAIVEAIHPKSGSDSGPEEGRILA >Sspon.07G0006400-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:16767479:16769334:1 gene:Sspon.07G0006400-1A transcript:Sspon.07G0006400-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVTRLCVTKSIPTVNGRFPGPKVVVREGDRLVVQVHNNINNNVTFHWHGVRQLRSGWSDGPSYITQCPIRPGQSYAYDFRIVGQRGTLWWHAHFSWLRATLYGPLLILPPRGVPYPFPKPHREVPLMLGEWFNADPEAVIKQALQTGGGPNVSDAYTFNGLPGPTYNCSSASDTFKLKVKLGKTYLLRLVNAALNDELFFAVANHTLTVVQADASYVKPFTATTLVISPGQTMDVLLTAAASTPSSSAFAIAVAPYTNTVGTFDNTTAIAVLEYDGAPQQSALRNLPPPALPLYNDTGAVANFSAKFRSLASAQYPALVPRTVDRRFFFSVGLGADPCQSRVNGTCQGPNGTRFAASMNNVSFTMPKTSLLQAHYQRRYSGVLTANFPAVPPAPFNYTGTPPNNTFVTHGTRVVPLSFNTTVEVVLQDTGILGAESHPLHLHGYDFFVVGTGFGNYDANNDTAKYNLVDPVQRNTISVPTAGWVAIRFVADNPGVWIMHCHLDVHLSWGLAMAWLVNDGPLPNQKLPPPPSDIP >Sspon.03G0016830-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3A:53107199:53110982:-1 gene:Sspon.03G0016830-1A transcript:Sspon.03G0016830-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADQLTDDQIAEFKEAFSLFDKDGDGCITTKELGTVMRSLGQNPTEAELQDMINEVDADGNGTIDFPEFLNLMARKMKDTDSEEELKEAFRVFDKDQNGFISAAELRHVMTNLGEKLTDEEVDEMIREADVDGDGQINYEEFVKVMMAK >Sspon.02G0015220-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:40116985:40123321:1 gene:Sspon.02G0015220-2B transcript:Sspon.02G0015220-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPASSVSGGSGPGGVSPDAIIEWLQDEMGYPSAPPAPEQLRKICRGNMIPVWSFLLRRVRSERTVATARRNILVHGVAARRAREGGAMGAGAGDAAAREAEARERDLAAEEAERLRGVVRRQRKELRARIAEVAREEAERKRVLGERSNARHKQVMLEAYEQQCDEACKIFAEYQRRLHQFVNQARDVRRSSIGVAGATGAVEDMQLQSDREDLYSSTIKSNRLPEDLLETAGERSIRKACETLAADMIETIRSSFPAFEGSGINSSCQLDAAKLGIDLDGEIPTDVKAVALDSLKNPFLLLQSIITYTSRMKTLIHRETDKIDIRADAELLRYKYENEQVIDAASTDASSPLPYQVYGNGKTGSQLSTRGTYDQLLERQKEHVQQFLATEDALNKAAEAKALSQKLLQRLYGTIDMAGSKKLPTGNTTQNVTNSRHLELDVWAKEREVAGLKASLSTLTSEVQRLYKLCAEWKEAEDSLKKKWKKIEEFDARRSELECIYSALQRANMDASAFWEQQPLSARGYASSTIIPACHAVVDMSTNSRDLIERELAAFSQSLDNSLCRLPATPQALLEAVGSNGVTGSEALAAAEKHAALLTARAGARDPSAVPSICRISTALQYNFGMFSGTGIVMCFGTEGTDSGLASVLNSLEFCLKPCGSEASILEDLSKSINLVHIRRNLVENDRVLLNRAHRAQQEYERVANYCLKLAGEQEKVVNERWLPELRNAVQEARRCFDDCQRVRGLVDEWYEQPAATIVDWVTIDGQSVGAWINLVKQLHMEISRRTLAMSSVGDD >Sspon.08G0012260-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:50063652:50066588:-1 gene:Sspon.08G0012260-2C transcript:Sspon.08G0012260-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVMRVKNLNLYAFGLYMQPTSIREKLGPKYGSFPTDKLMENPDFYSDLLRENLDMRVRLVVNYNGLSVGAVRDVFEKSLGLRLQKINPNADFHCLKTFGSHFTEDITIPSGTKIDFCQTSDGKLITEIDGKQIGAVQSKDLCKAFFDMYIGDSPISLEAKKVVAQNVAGLIGRR >Sspon.03G0016960-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:74649417:74656194:1 gene:Sspon.03G0016960-3C transcript:Sspon.03G0016960-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVFGRTPPASCPATRHCAEWARIYLKYCLCSQKEGAALALGLISVISWGLAEVPQIITNYRQKSTEGLSVAFLMTWIVGDLFNLVGCFLEPATLPTQFYMALPQKHHRGDASIREKLLGAKDGAASRNDNESDATVLIPSSPIPVNTKFVDRYHGSSPSTDYYYMSARSLSSSPVPTAGTWLGSNRQTSRTPPQTNDQRGSLVGEIAPAHSAPSTVTKNALSVAPWMGLLLGMCLLHILVGNTHREMPSGTVIPVGRRLLLFVDDHGNSSLSHGSGSEIGSFLGWAMAIIYMGGRLPQILLNMQRGHAEARAESINVYLCFSGKFNIRRKYTCK >Sspon.06G0035240-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6D:70403219:70408479:1 gene:Sspon.06G0035240-1D transcript:Sspon.06G0035240-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DIGNVWTHGIPWGSGFKRHYCNRPFNGGGATRFKEHLAGIIGNVEACQKVPKDVRKYMEESRTEGKRARAVNKARKKRIDDEIARSVGVEDVVTINDYGDDIPNDEEGQLQTVNATGQTQNASFLYDCIRKVVVDDIGVDRVMQIVTDNGSNYKKACAQITREFPKIFWQPCAAHTINLLLKSIGKLTDVERVISSAQRICKFFYNHNNLRAEMKCNIGGELYRWNATRFGTIFIFLQSFWDRKDKFRQWMASEEWANSRYNGDAEYIYADERLSCRQWWENIKWVLELVSPIYSLLHYADSQKIGTVSGFIPLVIFCRDMLEVYMEGHKELKNILALLDSRVKSAVLNHTGHYQYSTTSNSDYLKELAIVIERIADSPKSAVPALCEFQDYKASKGIFDTTTARLAASSPNVTPTTWWSVYGGDTKELQKYALMIVLQCISTSGCERNWSRFSLVQTKLRNKLCYLKLHKLVYVNHNLKLRLHQLEANDHLEKEKFADPIQDMIDCALFDHANPITEWLNETDSVDQKAENSYGLVREESLLVKRKRGKAGLVHDKRKKGANEDGEDEFDENESDSDDIGTWDDSDDGADDEGETDGDKEGWPHCGSYRRGGRRPRGEGTQGCCAELASTGCLGSSSLSSRAHRERKVRAATTGRGTMPAVAVPPRRSLQKNRFDSDSTRHTPLSHDE >Sspon.01G0035940-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:15919707:15922578:-1 gene:Sspon.01G0035940-1B transcript:Sspon.01G0035940-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHQENSEAFVRHHSEQLACGNMRGADNGRPNFLPEKCQIAGLVCPEVCQRGEKVGLFDEELVHGVHLTNPTVQSPLVPSGVKETPIVDHNKRPASSDLLDIVECKRLKQEDQIVTKEDNHTSAEEDFHEFPTYTTCERSFDSPLYESEESEDEGVDSPLHYSHAHTYVEDDLWPASFHQSVGPFPSRKPVPIGPNHQAELPECRPFGGRTEEGESVKWIKNVVVPRPGTDASSLVLEPVHCKAGCDCLDEGSINCVKKHVREAREKLKGAVGADTFRELGLYDMGEEVASRWTEEEEHLFQQVVSSNPASLRRNFWDELPLVFPSKSSKELVSYYFNVFMLRKRAEQNRFDPVNIDSDDDEWQAGGDGEFPNTGRADEYLPTESLTDQDDVACNPVPLEGDFYEDSDDEDELDNASGDRHNGVQRAGMLSEGHPVMSFIDHNQQTFKLDADAQDDSCTSFESHQVGLEGGTHTDIADDNHYRSDGFGGGAEHGFFGDHCDTKEWDFGFCTGWEKHDFLSTNNVIEEVFGKGSSEDGSDTAMARTLSEPEAEGFFLNCENLSSPPVL >Sspon.02G0026460-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:96575558:96576641:-1 gene:Sspon.02G0026460-3C transcript:Sspon.02G0026460-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding EIMATMAKAAILAVVVALAVQLAAAADHPVGGDGSWDASGNGYDAWSAKQTFKPGDTAAFKFSSSHDVTEVTKAGYDACSGANPVKSYTGGSATVKLSAPGKRYFICSIPGHCAAGMKLEVTVAAAAVTAPAPAKTTKPRHKRSVAPTPAPAAPAPDAAATSTDGELPNVSSPTAAPSPKSSSGAATLAGKAVVGLAVAVAVAALA >Sspon.02G0020390-3C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:67906848:67914189:-1 gene:Sspon.02G0020390-3C transcript:Sspon.02G0020390-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AFAPMSGYGNGQSEGYAHSQSDLCEGRESVNELSEEYSCSATESPALFEPPQSEALEMTWSNFLTNYIVSFQKFGGKNQDAPSAQDESSPSVARAVNKKETKGKRSKTNSMKSQKDSGKDLVVFKNIPLVKGIISKTSLRMLKENKTLTAFLASAHSTLAGTSEVASEKDSQTSMLVPTKPRSGPCSVKRGYSPAVVSIGSLSYPPSCSSNSSSSAMGKAEPRQRMEPDVHFSFPNTPAEGFEFHFSREGLQTTWVPKDRKEELALKLVQRLGELKLEVQVWTDWANERVMQSTNRLVNERTVLFSLKKDKADFEEPNVFTRKRLEETQRAIDSTSCELDRVNSLVQELTDKISLCRREKKAVQLQGEQSDASLASILSKKTEAMNRLKSMETEKIRLQEEIAAERNKLSKLLQSLEQARRHEDVLTKRCQEGEKMIDALMKQVNFERTELQRIETSGRAKSSHLLLKARNDQEWLQTSIKNLTQQIAEMSSRNKPLSITNFMGRPGFVIDSVQREQECAMCLEEEVSVVFLPCGHQVRRLARFKFRATYYSGRLAWCARSPHARALTGYSAPPVVALPCRGCAAHCCPLRPTVLSCVAMLSCRAHIATARGCVPLPLLQPAKAVAPWPPVHASACHILSRRGRSSSSDLPPSLVAWLETSPEMEWRAGASSVRRRPLHRARGQHHMGPPRPHQHFGRPRGMPLVLAHHFFPFPALLVHWNVRATTLNRMAMAATVASLVATCLVLCLRPFRMLVGGIERRPLRGRWRPLPGRKKPLRQRRRRSHVVRPLRDPTAAKCDNHFGLSPPFLTSGAIENRHFISSKTSESRTRKLGGRESEPQTHHYRSNTTAFAKVLTPP >Sspon.04G0004910-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:14663712:14668236:-1 gene:Sspon.04G0004910-3C transcript:Sspon.04G0004910-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRSPVEKPPFTVGQIKKAIPPHCFERSVLKSFSYVVHDLVIAAALLYFALAIIPALPSPLHYVAWPLYWIAQGCVCTGVWVIAHECGHHAFSDYQLLDDIVGLVLHSSLMVPYFSWKYSHRRHHSNTGSLERDEVFVPKKKEALPWYTPYVYNNPVGRLVHIVVQLTLGWPLYLATNASGRPYPRFACHFDPYGPIYNDRERAQIFISDAGVMAVSFGLYKLAATFGFWWVVRVYAVPLLIVNAWLVLITYLQHTHPALPHYDSSEWDWLRGALATMDRDYGILNRVFHNITDTHVAHHLFSTMPHYHAMEATKAIKPILGEYYQFDPTPVAKATWREARECIYVEPENRKGIFWYNNKF >Sspon.03G0024590-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:1837356:1839018:1 gene:Sspon.03G0024590-3C transcript:Sspon.03G0024590-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVSPLLALLLVALLSLLLFLYTGRKTLGGSDGRRLPPSPPGLPILGHLPLLGPLPHRKLWAMAQAHGPVMLLRFGRVPTVVASSAAAAQEVMKTRDLAFASRPRIRMAELLVYGRDVAFVPYGEHWRQGRRVCVLHLLSQRRVTSFRHAREQEVAAMLARVRRDGGGAVNLTAQIISYTNGIISRAAFGDKGGSYYDGPGGGEKLTKLFADFEGLLGTVTMGDFVPWLAWVDALMGLDAKATRTSAEMDAFLERVIADHRQRRRGGHRDGDDDRDFVDVLLDVNEDEADAGGAKFDDVAIKAIILDMFAAATDTTYTTLVWAMAELINHPHEMRKVQDEIRAAVAVAGSDRVTEDHLEKLRYLRCVIKETLRLRTPLPLLLPRETTVDTELLGYHVPARTRVIVNAWAIARDPTTWERADEFVPERFVGDDLTADYLLGQDFRFVPFGAGRRGCPGVGFSVPAMELALASLLYHFDWELPAGGPSKLEMDELNGLSVRLKANLCLVAKPWCR >Sspon.05G0008630-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:19949457:19953413:1 gene:Sspon.05G0008630-2B transcript:Sspon.05G0008630-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALTRLLMMASRPPCSLLLPSPSYKTSRCCCHRGRRLLRSAMPGGYQEISTIRPTLRQQSMAQLERGSLLVGGRELLVRAPPNVTLRPAGASVADDDARAAFLGARAAAASSRHVFSVGHLARFKIWWMMPATGVGAAAVPAETQMLLLESTIEAGSAAATERGSLYALMLPVLDGGFRASLQGSPEDELQFCFESGDPDVQTMEAVDAVFINSGDNPFKLLKESIKMASKIKGTFSHIEHKEIPSNLDWFGRCTWDAFYKAVDPSGIEEGLQSLREGGVPPRFLIIDDGWQETVDEFKEADEAIREQAVFAHRLSDLKENYKFRGETCKNLEDLIKKIKEKHGVKYVYMWHALLGYWGGILATSDAMKKYNPEFVYPVQSPGNVANLRDIAMDSLDKFGVGIVDPDKIYEFYNDQHSYLSSVGVDGVKVDVQNVLETLGRGFGGRVAVTRKYQQALEESIAQNFKTNNLICCMSHNSDSIFSALKSAVARASEDFMPREPTLQTLHIASVAFNSLLLGEIFIPDWDMFHSKHESAEFHGAARALSGGGVYVSDKPGVHDFSVLKKLVLPDGSILRASLLKIWNVNNFTGVTGVFNCQGAGQWVWPVKETAYVPININITGQLSPSDVESFEEIAGDDWNGETAVYAFSSCSLSRLEKHQSLEVSLSTMTCEIYNISPIKIFSEVVRFAPLGLIDMFNSGGALHNVSSIADSSATTIHIRCQGPGRFGAYSATRPELCRVDENEVEFAHAEDGLLTFYLPPSSSQDNLRHVEIVYKAS >Sspon.01G0057550-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:10937189:10940063:1 gene:Sspon.01G0057550-1D transcript:Sspon.01G0057550-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAAAEMSPYEAARERNVQENKRKMEALNLRHLSAVIKEAPRPLPHWCCLLLFLHLLWSPRKPADLIYLARSGTISMKARLEATRKAEELESQLDPDIPSFVKAMLHSHVVRGFWLGLPSHFCDTYMPKQDAIITLLDEKDEEFDTNYLAYKKGLSGGWAGFALCHGIQDGDAAVFQLIKPTAFKCSFTNEFVSQTIWYLAREIVVVQKTGHINTAMINIFLAFCFYWTVMAFFHQDKM >Sspon.08G0001280-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:1089397:1090472:1 gene:Sspon.08G0001280-2C transcript:Sspon.08G0001280-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGALLPLLCFCFTILLQGADAATFTITNRCGYTLWPGVLSNAGAPPPSTTGFALAPGQSATVTVGASWSGRIWARTLCATNTSTGAFACATADCGSGAVECSGRGAAPPATLAELTLAGGTGGDDFYDVSLVDGFNVPMLVAPRVAAANGSSCRPTGCAADLNAACPAELRVPAGGGGGAGAPTVACRSACEAFAAAEYCCSGAYGSPATCAPTAYSRFFKAACPSAYSYAYDDATSTFTCASAAAGGGYDVVFCPSASR >Sspon.07G0028590-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7B:67852120:67854851:1 gene:Sspon.07G0028590-1B transcript:Sspon.07G0028590-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHGRMPGDGARRDGAGGWACWGWRSRCAVHLGSCGRGALVQSSSSLLLGSAYAERGGGSRGRSTQGRRRVRGGGVAFGLTERGGGGKEEGGAGAAFSRARVTVMLEGRRWRQPRWWWRQRSSGLPKTMGELLSHLIAMLKKSGAKYTILYASQPSGLLESPSNLPLGRILAEKNNTTKAGWANVTESAKSNQLFWRELCC >Sspon.02G0033680-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:8224369:8227701:1 gene:Sspon.02G0033680-1B transcript:Sspon.02G0033680-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFSSMIQEMKGEIGAISSRGLLRFWSSGAAGRAPAATEPDEALQESCWAQVPPELLRMVLAKVEDEEARWPGRSAVVASAGVCRCWRAVVKEMVRVPEEPGKFTFPISLKQPGPRDAPIRCFIRRNRATQSYSLCIGVTDALADDGKFLLAARRSRRPAGTEHLISLDAKNTSKGTCIGKLRSNFLGTKFIVYDAHPPCAGAVVSKGPSAHMIGSAQVSPGVPAGNYPISHISYEATFGSRLPRKMNCVMDSIPVSAIKEGGTAPMQTEFRSINSNSFASVPFFRKSGRLDSSGVQLATQNEAKMVLKNKSPRWHEPLQCWRLNFHGRVTVASVKNFQLVASGESDPNNQDDDDVILQFGKIGKDLFTMDYRYPISAFQAFAICVSSFDTKITRE >Sspon.04G0007210-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4A:20682274:20686014:1 gene:Sspon.04G0007210-1A transcript:Sspon.04G0007210-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding WKPLPRGGGVPALEIDKCVADAEDVESEPV >Sspon.03G0009610-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:30844470:30847572:1 gene:Sspon.03G0009610-4D transcript:Sspon.03G0009610-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SHSMGFDARCIRQPKDMSSPNNSVSAALSETTPVFQLRVWQLIAIAVGIVMAILLVIALCLSFRRKKKTVKGFDNTSLAEIPIVSKEINVDRVDAQSLRDSEAPFLPVQDKYTQTKGAGQLGESRSVDVDTFSQCSSVYNVEKAGSSVYSEDYSYSSSGPARKGSSPYAYSASPLVGLPELSHLGWGHWFTLRDLECATNRFAKSNVLGEVAMELSTRVCRGQAEKEFRVEVEAIGHVRHKNLVRLLGYCVEGTCRMLVYEYVNNGNLEQWLHGMNQHGVLSWENRMKILLGTDARYVAPEYANSGMLNEKSDIYSFGVVLLECVTARDPVDYTKPLFQVNLIEWLKMMVTSKRAEEVVDPNLEVKPPKRALKRAILVGFKCVDPDADKRPKMSHVVQMLEAVQNASMQDQRKLSQLGSMDIESQQSLEETSNSA >Sspon.06G0011670-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:50817833:50819380:1 gene:Sspon.06G0011670-2P transcript:Sspon.06G0011670-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLDNTPAAAAFADVNGSGGFQPLNADDVRSYLHKSVDFIYDYYKSVESLPVLPGVEPGYLRRLLQSVPPTSSAPFDIALKEVRDAVVPGMTHWASPNFFAFFPSTNSAAAIAGELVASAMNTVGFTWQASPAATEMEVLALDWLAQLLRLPSTFMNRTAEAGRGSGGGVILGTTSEAMLVTLVSARDAALRRAGSVGVAGITRLVVYAADQTHSTFFKACRLAGFDPANIRSIPTGPETDYALDPAKLLEIMQADVDAGLVPTYICATVGTTSSNAVDPVRAIADVAAVFNAWVHIDAAYAGSACICPEFRHHLDGVERVDSISMSPHKWLMTCLDCTCLWVRDTHRLTDSLETNPEYLKNDASESGNVTDLKDMQVGVGRRFRGLKLWMVMRTYGSAKLQEHIRSDVAMAKMFEDAVRADDRFEVVVPRNFALVCFRIRPQGAMTEEDAEEVNRELMERLNRTGKAYLAHTAVGGKFVLRFAVGSSLQEERHVRSAWELIKKTTTEIMEEEI >Sspon.05G0014870-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:49204075:49209554:-1 gene:Sspon.05G0014870-3C transcript:Sspon.05G0014870-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAARRRLSAASTSPLRRRLSAQTQPTASPAQPPPEVTDSGPGAWAGRAVALSLLGLTGAVAASAVSDLSVFLSCSSQAIEKATQNQQIVNAIGKPIVRGPWYSASIAVNHVKHSVSCTFPVSGPQGNGLLKLKAMRLGDESWYSFLQPADWEILIMDAILDIHTEDGKHRTMRVTIPDNTPAPPPADCTACKSHAAPPPPQPPAEK >Sspon.02G0020180-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:66038588:66040990:1 gene:Sspon.02G0020180-1A transcript:Sspon.02G0020180-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVIRAQRKGAGSVFKSHTHHRKGPARFRSLDFGERNGYLKGVVTDVIHDPGRGAPLAKVTFRHPFRYKHQKELFVAAEGMYTGQFVYCGRRATLSIGNVLPLRGIPEGAVVCNVEHHVGDRGVFARASGDYAIVISHNPDNGTSRIKLPSGAKKIVPSSCRAMIGQVAGGGRTEKPMLKAGNAYHKYRVKRNCWPKVRGVAMNPVEHPHGGGNHQHIGHASTVRRDAPPGQKVGLIAARRTGRLRGQAAATAAKADKAT >Sspon.04G0024120-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4B:17834210:17834778:1 gene:Sspon.04G0024120-1B transcript:Sspon.04G0024120-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding TQAYVKLRPFWGEFVQFKTSEEGEERVRRNQENARHKKYHHCLGSGGYPNAIPKWERLEQEIVDKGMEPESIDWPQRQVLVFRSWGHPGPRDRKETPIEADRERRKRRQRGCAVWRSKFFSNVKR >Sspon.06G0006610-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:22728472:22746783:-1 gene:Sspon.06G0006610-3C transcript:Sspon.06G0006610-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAAARLLPIFLPWRRPPPPRLLRRGFLNPSGPRTLATRADTLVLPGDERSPSRLPDQLRPDYGGGGGGGAAGTIAAIVTSLGGGPAAVGIVRLSGPDAVAVADRVFRPAGARRASAPWQPRSHFVEYGLALDADGGVIDEVLVVPMLAPRSYTREDVVELQCHGNDLCLRRVLRACLEAGARLADPGEFTLRAFLNGRLDLAQAENVSRLISAKSAAAADSALAGIQGGFSTLVKSLRSRCIELLTEIEARLDFEDEMPPLDPVMLVSKINCMRQEVQDALDTSSYDKLLQSGLQIAIIGRPNVGKSSLLNAWSKSERAIVTEIAGTTRDVVEANVSIHGVPVTLLDTAGIRETDDVVEKIGEGLTLKTMLILTPIYNSDLCTGVKRSEAAAMGADLIVMAISAVDGWTDDDTKLMEHVLINRKSSGSAVPMVLVINKKTCAVTGKGISDLESAVIEVRGIEHVPSEGRRWTVNQRQFEQLLRTKEAFSRLESSINEQLPMDFWTVDLREAALALATISGEDISEESERAIVTEIAGTTRDVVEANVSIHGVPVTLLDTAGIRETDDVVEKIGVKRSEAAAMGADLIVMAISAVDGWTDDDTKLMEHVLINRKSSGSAVPMVLVINKKTCAVTGKGISDLESAVIEVRGIEHVPSEGRRWTVNQRQFEQLLRTKEAFSRLESSINEQLPMDFWTVDLREAALALATISGEDISEEVLSSIFSKFCIGK >Sspon.04G0030970-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:3911154:3915933:1 gene:Sspon.04G0030970-1P transcript:Sspon.04G0030970-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDASKYAHSPAHLAVLRRDHAALRRLVAALPRLPRAGEVATEEESIAGEAVADAVSAVIDRRDVPRRETPLHLAVRLRDPVAADILMSAGADWSLQNADGWSALQEAVCTREEAIATIIARHYQPLAWAKWCRRLPRILASISRIRDFYMEISFHFDAPTRLLAHKDKEITDALEGAGVQPTESEVAHEVALMSKTNMYRPGIDVTQAELVPHLNWRRQERSEAIGHWKAKVYDMQNVLVTVKSRRVPGAMTDEELFAMDGEEKNGRGAELDAELDEVLTAEERKQLDSALRMGNNEEESEERGDQGDAAADHMDANGVAKDKKGWFGWGGKKGAKGDDKPSKVGSKDEASDPGKQKEKGTGKKKKGGSSAESLKHESEYKKGLRPVLWLTPDFPLKTDELIPLLDVLANKVKAVRRLRELLTTKLPTGTFPVKIAIPIVPTIRVIVTFTKFEELQPVDEFATPPSSPTQFQDAKAKESEGSGSWYSWVKGGRGTQSSDSGDSRNWKDEVDPFHIPSDYTWVDATEKKRRMKAKKAKSRRGTARKQSSKNTSEGGHHHPMMDGFEE >Sspon.06G0021520-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6D:17466829:17472976:1 gene:Sspon.06G0021520-2D transcript:Sspon.06G0021520-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKQLRGAEARGWSHRCPREALHKKCSDDNTKATEGAEANGNIDSSMSTCDQWFEDSRHGGDGGCGSEDGSGGALSDAVVVEVRRESPDQPTKPLGSRGHASYPPNQTIPPPWKSSAETPLRLTWTTTAASPILLPRTKTDSGGVGDVGQMSLDALRRELAEETIRQDIIAAELAEQRKLESEVRRELGLEPACPLFLCARAGLQLTTLPHHDTSPVRQGGLLHLRMPMLPESCLEEGLLTPGGMPVPRHSVKDRIDEWYRPPWHRLANEDALVEWLVIKQARLPKRTFSGVKRKRTDETSEANNKRSSEKWICDLCHVNTSGEISFVQHCAGYRHQSNVADMEWAMETAEPTMIATAELYRGMHHNPIAWNCSICQVKCSGELDLKNHLNGRRHQENLGALRRESEENEGESGSQEANLYEKKEPQLVDLNQRPTSGWTCSFCQANCTSESDLENHLRGRRHQQNVKAQLVEGNSTTVVAHLGGKK >Sspon.04G0030160-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:75808133:75810313:1 gene:Sspon.04G0030160-1B transcript:Sspon.04G0030160-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSWRHLQLRMSSQPLISVHADQFKLLLLIDLFYEGACVLEYNCRFLLLCNELLLQNFMHSDWGLLNELKFPATTPNVCTSGGGKVLIGSAAVLPASQSVPRQSQQPSRSLEAARPSSDWRATPPPVHNVDAKVQMGGRWPPSSLIPPACMNGGCPGDPTPAAAVL >Sspon.03G0025400-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3B:86262756:86263604:1 gene:Sspon.03G0025400-2B transcript:Sspon.03G0025400-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPAQLRGRCFNCLSTSHRVATCWLPCRCLRCHGFNHLARDCGRSNAAPNGRGHLCHLCHSHVDNRSPAQRAPFIGSPANDGVAVEVGAEASGSRCRRRQRRRCDGLRRGAKPMSGTTMVAPVDIAEDATATTILHRPLQPYPLVKAMCVCTSPPARFGSVDPMLEEFALLVAARPVAAQAPSGRSTLGQASSWDPSSTAPQSTTDKTTPTSPMLGMPSAPFTGTDSPTVEDSPSPGEAARRLARFTEEIRVVRPPPLITSPPKQPPPKQLMPKRSRRIAAQ >Sspon.01G0054940-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1C:71490961:71492493:-1 gene:Sspon.01G0054940-1C transcript:Sspon.01G0054940-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEQLKVLTALDQAKTQWYHFMAIVIAGMGFFTDAYDLFCISLISKLLGRIYYTEPNSPSPGTLPPNVSAAVNGVALCGTLAGQLFFGWLGNKLGRKSVYGFTLILMVLCSIASGLSFGHTPKGVISTLCFFRFWLGFGIGGDYPLSATIMSEYANKKTRGAFIAAVFAMQGFGILFGAIVALVVSSGFRNSYPAPSYQDNPAASLGPEADYYWRMKMPETARYTALIARNAKQAAADMSKVLNSEIEEDLDRAERQASSGSNEWGLFSAQFVRRHGLHLLGTTSTWFLLDIAFYSQNLFQKDIFSKVGWIPPAKTMDAVEEVFRIARAQALIALCGTIPGYWFTVLFIDIIGRFAIQLMGFFMMTVFMIGLAAPYNHWTTAGNHIGFVVMYGFTFFFANFGPNSTTFIVPAEIFPARLRSTCHGISAAAGKAGAIIGAFGFLYAAQDPHKPEAGYSPGIGIRNALFVLVGTNFLGMIMTLLVPESKGLSLEE >Sspon.05G0023060-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:8400817:8401062:1 gene:Sspon.05G0023060-2D transcript:Sspon.05G0023060-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GGCGEGVVDGGHERGRCGGAQGPGRPLSLELRAAVHPPGRKVQRSRLRSGQEDGAGGEEASGQGRGGHEDRHVPQLLGTQLE >Sspon.05G0013740-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:5A:48677021:48678723:-1 gene:Sspon.05G0013740-1A transcript:Sspon.05G0013740-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTKSTMEAELTALDTTSTEAEWLRELLMDLPMVEKPIPAILINYDNQMVITKVKNSQDNMKSTKHVKRRLKSVRKLRSSGVIVVDYIQIAKNLANPFTKGLSHTMIDDASKEIGLRPM >Sspon.03G0038280-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:3901112:3902161:-1 gene:Sspon.03G0038280-1C transcript:Sspon.03G0038280-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GSSVSASAAGCCCGGGDVRGGGGGHPASFSCAARRPAPAAVELPRAHPGKVQEEPAADVPGPQDEAARVHGQVRVPVPRPVHRRLPRLQDLLQLRLLPRHDVRRPAVHGRRRQHLLLPRQQGQGLLRLHRRRPPHQRALHRQARPRHEPRLHLDPGARHPLRAPPPLRRRPQQDRQVEQRRRPPRPGLRRRARRHPNAHRRRVAARRRARAHRHEDGRDQWREGAPRGDARRRGQRRARQRGGLPRPRLRRSGGRLPRAPGPGVQVPRPHRRRARRARADVPLRLRQPAQHEVQDSCHGRRGRVPLLGYLRNRLRRRK >Sspon.03G0010900-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:34278570:34279307:1 gene:Sspon.03G0010900-3D transcript:Sspon.03G0010900-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor bHLH144 [Source:Projected from Arabidopsis thaliana (AT1G29950) UniProtKB/Swiss-Prot;Acc:Q9ASX9] MQRDPTSLAGNSSFAYGYEADNCMANGLLGVQCNHRVPVSPALAVPSGITSPHIRSPLDAFELQPSKVCPRNFIIFDHTDEKGCIMYHPALVNNLNPANIDLLQCHGEMVSRSSGQDNGNLEEQSSSFKEDTEEIDALLSSDYDSDEDDVVSTGRIPDPLESGPFESSSLPRFKTTSQVSGNSSVCYGSMENVTHESIRNVVTVLKGIIPDGDQLDTSSVLEKAVRYLKFLKMEAKKLGMEGLSI >Sspon.06G0024650-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:52386251:52390203:1 gene:Sspon.06G0024650-3D transcript:Sspon.06G0024650-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTVCFTVVNAYTFFFPHSLLSMHMAEGSFGDRNRCQQPGCSEVVDGRVVYCKTHSGEFSCQQFSHLHSGMASSGLSVPPVNDSQFKGSISIAVPCTEQELHVKHEGDDRGKLKDSSGCTSGQTAQLVFSGAGLLCKNENCSKQAHENSIYCKLHSGVSKGCMVRGCTRGAHGGTPLCIGHGGGKRCVFPQCPNAACGQGRSDRCVRHGGGKRCKFEGCAKGAQGNTDYCIRHGGGRRCKFEGCTKSAQGRTDFCIKHGGGSRCKFQGCGTSAKWGTDFCSVHRKCLLGGDNDIPEALPAPSEKRRRAKKPKKAAKPSETSQENVTTAAIAGNSTQHMGVLLVATPVTNRDILAKGVTVAGQAAIAPTQIVAPLSMKSPTPSGSVATTAEREAGASREMLGL >Sspon.01G0042020-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:22683509:22687188:-1 gene:Sspon.01G0042020-3D transcript:Sspon.01G0042020-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GPN-loop GTPase QQT2 [Source:Projected from Arabidopsis thaliana (AT4G21800) UniProtKB/Swiss-Prot;Acc:Q8W586] MDTDTKGKGKAVEGSGKGEELADSISSLSIGPGRTNFKKKPVIIIVIGMAGTGKTTLMHRLVCDTQGSNKRGYVVNLDPAVMTLPFGANIDIRDTVRYKDVMKEYSLGPNGGILTSLNLFATKFDEVVSVIERRADQLDYVLVDTPGQIEIFTWSASGAIITEAFASTFPTVVAYVVDTPRSTNPVTFMSNMLYACSILYKTRLPLVLTFNKVDVAKHEFAIEWMQDFEAFQTALESDKSYSATYTRSLSLVLDEFYKNLRSVGVSAISGAGVNTFFEAIEVSAKEYMETYRADLDKRIAEKERLEEERRKENMEKLQKDMMKSKGQTVVLSTGLKDKNSVSDMMDDADEEEEEEALEDFGFSEDDEDEDEGEDEEVAHFGDPIVTLVCLTENPNGATSCLRFN >Sspon.03G0015660-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:70431230:70434125:-1 gene:Sspon.03G0015660-3C transcript:Sspon.03G0015660-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALACATHARRFLLAGPARSFHAQPYQAKVGVVEFLNGVGKGVETHAAKLEEAVGGDLQRLLEARTLRLKKLGIPCKHRKLILSFAHKYRLGLWKPRAEPRKVE >Sspon.04G0014300-1P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:54036286:54036688:-1 gene:Sspon.04G0014300-1P transcript:Sspon.04G0014300-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVSALSPRVAFLDHQRSLLTVGNSHLKQRIAALAQDKIFKDAHQEALKKEIERLRQVYHQQQIKVATTGGADIATAASMQARQELLACEGAAIR >Sspon.02G0031650-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:115724230:115732069:1 gene:Sspon.02G0031650-1A transcript:Sspon.02G0031650-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MWGSSRRHGLFGISNCRYLLITSCLIHVVQVLHICKSQSTDEQALLAFKAGISGDPGRVLAAWTPTNGSMNATDICRWKGVSCSSRRHPGRVTALELMSSNLTGVISPSLSNLSFLHTLNLSSNRLSGSIPSELGILRRLQVISLGGNSLTGEIPTSLSNCARLTHLELQRNGFHGDIPVNLSNCKELRVFNISVNTLSGGIPPSFGSLSKLEFFGLHRSNLTGGIPPSLGNLSSLLAFDASENFNLGGNIPDVLGRLTKLNFLRLASAGLGGKIPVSLFNISSLRVLDLGNNDLSGVLPADIGFTLPRIQYLSFYNCGLKGRIPMSIGNMTGLRLIQLHINNLQGSAPPEIGRLKDLEVLNLESNQLEDKWDRDWPLIQSLGNCSRLFAVSLSNNRFQGVLPPSLVNLTIGIQQILMNGNKLSGSIPTEIGKFSNLRVIALADNALTGTIPDTIGGLHNMIGLDVSGNKLSGEIPPMLVANLTHLAFLDLSQNELQGSIPESFENMRNIAILDLSYNKFNGMIPKQLVSLSSLTLFLNLSHNIFSGPIPSEVGRLSSLGVLDLSNNRLSGEVPQALSQCEAMEYLFLQGNQLVGRIPQSLSTMKGLQYLDMSQNNLSGSIPDYLSMLQYLRYLNLSYNQFDGPVPTRGVFNDSRNFFVAGNKVCGGVSELQLPECSGGTDNSGNRLHKSRTVLIVSITIGSILALILVTCTFVMYARKRLNEQLVQIALRCTEESARARMLTRDVIRELAVVRDLALLSFRALITGDPHGVLTSWAAGNGNRSAAANVTAGVCSWKGVGCHSRRHPGRVTSLELWSSNLTGTISPFLANLTFLSMLNLSHNSLSGNIPWELGFLPRLSYLDLRHNSLQGVIPGSLASASKLLILQLEYNSLVGEIPANLSNLQTLEVLDVGSNQLSGAIPPSLGSLSKLTYLGLYLNNLSGGVPASLGNLSSLVDLFADTNGLSGQIPDSLGRLTKLKSLDLAYNHLSGAIPTNLFNISSVATFELSGNSALSGVLPFDIGATLPNLQNLILNDCQLSGRIPRSIGNASLLRYIQLDNNEIEGTVPLEVGNLKDLEVLTVGDNQLEDKWGSDWELIASLSNCSKLFYLSLDSNSFQGVFPPSIVNLSNTMQKLHLANNKFHGAIPSDIWKLSNLTILTLRGNFLTGSMPPSIGELYNLGALDLSENNISGEIPPTVGNLTNLSILYLFKNNLQGSIPTSLGNLQNIASLVLSFNQLTGSIPVEVISLSSLTSYLGLSYNFLTGQIPSEVGKLTNLVLLDLSVNQLSGDIPATLGKCVELVQLQLNDNLLQGTIPQSLSGLQAIQELNIARNNLSGPVPKFFADWPSLDYLNLSYNSFEGSVPVTGVFSNASAFSVAGNKVCGGIPSLQLPQCPVKESVVEKRRPRRAVLIGIVVGSISLFLLLACGLLLFIMRQRKRESSRARMHIRTAIKELETFKDVVLND >Sspon.01G0027680-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:98662284:98668868:-1 gene:Sspon.01G0027680-2B transcript:Sspon.01G0027680-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMMEERTGSLYGRLNRSSTRGFLAYVAAGATCAAVLACFVLSAADPHPPASASRNDGARLRLSSRSPRVWPDLAFNWRVVVATVVGFLGSAFGTVGGVGGGGIFVPMLNLVVGFDTKSAAALSKCMIMGASASSVWYNLQVSHPTKEAPVIDYKLALLFQPMLMLGITIGVELSVIFPYWLITVVIIILFVGTSSRSFYKGILMWREETRVLVVVIDPSYEEPLLTQPQPKKKSALETFLFNLRWKNILVLMSVWSSFLVVQVLKNNAKSCSTFYWVFNVLQVPVAVSVFLWEAVQLCRESRARRMNGNLECVCEASIEWSPAQLIFCAFCGLLGGTVGGLLGSGGGFILGPLLLELGCIPQVASATATFMMMFSSSLSVVEFYFLHRFPLPFAGYLIFISILAGFWGQCLVRKIVHVLKRASVIVFILSSVIFASALTMGVVGTQKSISMINNHEYMGFLGFCE >Sspon.03G0009210-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:39287804:39291890:1 gene:Sspon.03G0009210-2P transcript:Sspon.03G0009210-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MANSNLPRRIIKETQRLLSEPAPGISASPSEENMRYFNVMILGPAQSPYEGGVFKLELFLPEEYPMAAPKVRFLTKIYHPNIDKLGRICLDILKDKWSPALQIRTALLSAPNPDDPLSDNIAKHWKANEVEAVETAKEWTRLYASGA >Sspon.07G0007310-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:16917247:16919066:-1 gene:Sspon.07G0007310-2B transcript:Sspon.07G0007310-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIKMDKTSIIVCSVIGSLGLLSAILGFSAEGTKLTPYTILVYGDDCLYPPNPAIGLGICAAIFLIVAQVTFSAVGGCCGCCRSRSIPSETRRIVGIVCAVFSWIAAVIAFALFIEGAAWNANVVRVAEAPYCPYLKDGVFAGAGVLALAATALGITSFIMQRTQPAAVDAAAAAAATASAAGTPNRPGAGGQSPSPEVVMGHPLLPTGKPQNDYPVKPQGYEQPQVPHPQGYAQAPPQTQNLQSPPAAAQDNVSHAPNYQLPPRPSAPAAAAAPAVVVAAAAASEPSVLQPALGAVAMGQPLPQVPLQHSVPIPAPQVVGGVDIPGVLPASTAPSSAGSGSAALSTVIRNEIARQGVQLAAHVVTQSLFSDNNTVGDGLLSMMTGSAGGDAVQATN >Sspon.08G0011090-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:44338199:44339032:-1 gene:Sspon.08G0011090-2B transcript:Sspon.08G0011090-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGSSEHGETSKAAPLGRGVSKGVSVLDLILRFIAIIGTLASAIAMGTTNETLPFFTQFIRFKAQYSDLPTLTFFVVANSIVCAYLILSLPLSILHIIRSRAKYSRLLLIFLDAAMLALVTAGASAAAAIVYLAHKGNVRANWLAICQQFDSFCERISGSLIGSFGAMVMLILLILLSAIALARR >Sspon.07G0007550-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:20188894:20189996:-1 gene:Sspon.07G0007550-1A transcript:Sspon.07G0007550-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAMASMPACAATELVAKGRESAAVLKAMLGQLPAVVGAGAMPHGLRDLAEQILRCCDRALAALHSGTGTGTEEAASSSRKRKPEREGGLAAAAPPAATGSKRMRMSRGERGTRVEKRGTVEDGFIWRKYGQKDIHGSNYPRLYFRCTYKDDRGCMARRQVQQSDTDPGVYLITYFDEHTCCRDDSVEPPPPAPFVINFGLSTASSDDQPSGRSPWPSSCDDDGPVETHTSSALCSSPEEFQAGTSCNAAEFIVEQSTPVPELMGMMHLPEWEWDPMDGCLDWEFGKGESSFDID >Sspon.06G0031270-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:63458768:63459596:-1 gene:Sspon.06G0031270-1C transcript:Sspon.06G0031270-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding QPGLHIQVRRQLPTFFPGGIHSSSSQGMCAQDNNVKRTTENPVAIAAPASVFGGKNLGAPGNVEEDHEEATPLSEDAMNIYEHLIGVHAAYFRACNVPYMTTSRSLPQLVVQEQNTHRAHDAQVSNHGDNLVEADTSTTVVQQQRVPYAGSSHVQQHYGASRLNNTIPSIHQEPMVAPVTNPSLRLMHIKGQVATSWCDANGSCFVQQAIEVATPQKIVMVYEEIMPCVRTLAVDVFGNHAVQKV >Sspon.02G0038450-3D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2D:25795069:25795566:1 gene:Sspon.02G0038450-3D transcript:Sspon.02G0038450-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMWWWAPLPAWLSSSALWFLVVNAVVAAVAVLSRARPPLPSPRRGSGVTRRASSAVLHRLRSFSIFSFPSACFNTTPSPHPDAASAAQETEESPRTPTTTAKPSPRALPLSPSPLSSSGRAPTPDADGDDGEPSGMSMDEAYALALEARRRPDREREEEARRSE >Sspon.02G0011950-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:26177016:26184187:-1 gene:Sspon.02G0011950-3D transcript:Sspon.02G0011950-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding WLLLYALSQSYVVYLGGHSHGRAGAALASCRERARSSHYALLGSVLRSEARARDAIFYSYTRYINGFAATLEEDEAEEVSRHPRVVSVFPNRGHPLHTTRSWEFLGMEEEGGRVRPSSIWAKARFGEGVVIGNLDTGVWPEAGSFRDDGMGPAPPGWRGICQDQQASDDAQVRCNRKLIGARFFNKGYLATVGQQQQQQVSPASTRDTDGHGTHTLSTAAGRFVPGANLFGYGNGTAKGGAPGAHAAAYKVCWRPVNGSECFDADIIAAFDAAIHDGVHVLSVSLGGSPAAYFRDGVAIGSFHAARHGVTVVCSAGNSGPAAGTVSNTAPWLLTVGASTMDREFPAYLVLDNNKRIKVSNRHYSSSRLVLLQHLSDKKCKHFIFGRGQSLSPTRLAGKKLYQLISSEEAKGANATVTQAKLCIKGSLDKAKIKGKIVVCIRGKNARVEKGEAVHRAGGAGMVLANDEASGNEMIADAHVLPATHISYADGTGIRLHHRPLHRAGHEAGAAGPTGLAFDDRRVLFNAESGTSMSCPHVAGIAGLLKALHPDWSPAAIKSAIMTTARVRDNMRKPISNSSFLRATPFGYGAGHVQPNRAADPGLVYDANATDYLGFLCALGYNSSVIATFMAGDGDDGHAAHACPTRAPRPEDLNYPSVAVPHLSPTGAAHTVTRRVRNVGPGAAAYDARVHAPRGVAVDVRPRRLEFAAAGEEKQFTVTFRAREGFFLPGEYVFGRLVWSDGPGRHIVRSPLVVRVVDTKKKSSLSIA >Sspon.03G0007270-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:19835825:19838355:1 gene:Sspon.03G0007270-1A transcript:Sspon.03G0007270-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLDKSGEDIIVGIVDSVLTGVNCGARRKIIGARYYVKAYEAHYKGGLNTTYAFRSPRDHDGHGTHTASTVAGRTVPGVSALGGFANGTASGGAPLARLAVYKVCWPIPGPNPNIENTCFEADMLAAMDDAVGDGVDVMSVSIGSSGTPLRFADDGIALGALHAAKRGVVVSCSGGNSGPKPATVSNLAPWMLTVAASSIDRAFHSPIKLGNGVMVMFFSMVPRRSQCLPNSLPSSQLDRSFPCPARPPPPYQLNHPSVAVHGLNGSVTVHRTVTNVGSGEARYTVAVVQPAGVSVKVSPKRLSFARAGEKKAFRITMEAKKGSSSGRV >Sspon.01G0001490-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:4475986:4480067:1 gene:Sspon.01G0001490-1A transcript:Sspon.01G0001490-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPEAPSCYVGIARQSAAFRLMKQMGWEEGEGLGKDKQGIKGHVRVKNKQDTLGPLSLLEFLGVGVDSPHNKWVYDTTQFDNILKKLKVVAAVKSDSPDITPKKDKSAKDEVTKVTRPQGRYKKRERGKSVSSYSATDLQGILVRKNEDNSQMDQKLEPTCLDEPDPVICPDAVSQADDVNWWGHKFGFVSGGFLGAKSRKNKSLRKDPANVRQTFAEEDQENLYNLVQDKATSGKQGLGIKGLPMKVGGQRWKGNKTSFVDSDDDNSAQSDEYSEIEENDDEEEPISASESIHTDKNAEKELLVDARPKTKVKKLCKRILRQAPSQSMKLKDLKVAVEEHSNAVFSSFSCRREALLFLKKKLQGSRKFNVDGKKVHLVS >Sspon.02G0014620-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:39013997:39021983:-1 gene:Sspon.02G0014620-1A transcript:Sspon.02G0014620-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKQRPEEHSPPILSSPPRLRVSQVPDYTVVPDDAPNDAVPTPPPEVDPGMLFVNSLWLPLPEALVRTPRAPAAHAVNWLAWSPGAVTGWRPNQRSAIPIWKSKQSASSSTNGKRRPDDAPIDRRALSRDVQGTGRLPHS >Sspon.08G0012810-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:54636404:54646102:1 gene:Sspon.08G0012810-1A transcript:Sspon.08G0012810-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVSTAAAPEGVLHRRIEFHLARRPHASVAVGGGGFRMETLNPDAAGKAGAGAAVGSSEGEARRPEKADAGGIDPELSVARIYLGRIGAGLENLGNTCYLNSVLQCLTYTEPFAAYLQSGKHKSSCRTSGFCALCALQNHVKTALESTGKIVTPFRIVKNLRCISRTFRNSRQEDAHELMVNLLESMHKCCLPSGVPSESQSAYDKSLVHKIFGGRLRSQVKCMRCSHCSNKFDPFLDLSLDIAKATTLVRALENFTEDELLDGGQKQYQCEHCRQKVVAKKRFTIDKAPNVLTIHLKRFSPFNPREKIDKKVDFQPSLDLKPFVSDSKGTDFKYSLYGVLVHTGWNTQSGHYYCFVRTSSGMWHNLDDNKVRQVREADVLKQKAYMLFYVRNSIGKAVARKENITANVPMKKTPEKISSLNGITQSSVKAQNLNGVSPFGDKVHNTIIGYSTILSKTITGHCSKNEVKAEDAPASQNNVLPSRQAPGAQNDGGTLPTKPMQFAVNSQETASSHQPAPFTNTCGEQTVVGKLLQEMEPKAGMGKNTSVVSAIANGAATLPKADKLTSQPQTAPFSEPAPHVNGTAAEFAARSLSKKDSVVSNGVVPGIGCLTSSEKARNFPESVDQANEISKALPMSQNNTAPVIAQADSGVEISSGGIMHVAVAASCNGTTAKKVNLKSKKFVRYPVVNIWLGSRQLLLASLKPGKKAKHRRSRTIRRVVACKDTANISCLNEQLTSTSATAHSETIESTTSRQKRSHGSARSKDDSQSSKNKKVDGARVGSGTSAPSGSADIPKSDPSSSVDAKLVVTQPVSIRAMDLMEATVPCWDDVDVPNTKVAVRQHSKRKNIGYVLDEWDEEYDKGKTKKVRKSKQDFGGPNPFQEEADYISQRRMKQKSYQGKSWNKPNTIEELRI >Sspon.02G0006020-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:17834211:17834978:-1 gene:Sspon.02G0006020-2B transcript:Sspon.02G0006020-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARVEAVVICLLVLAMDVAAGVLGGRHLRILFIECRQPVRRAYELGIAAAAVLAAAHAIANVAGGCACACSGDKLRRASPNRQMASFALVLTWMVLVVALALLVLGALPNAKRKLAECGVPRHRFLSIGGVLCFVHALFCAVYYASASAAVREDRRAAPHV >Sspon.02G0027610-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:105685662:105690568:-1 gene:Sspon.02G0027610-1T transcript:Sspon.02G0027610-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LALPPRSTAESLFTGAGDASPGPLTLASALFPSSDADGGGGGGASSSSATGAATFTQLLTGSLAPPPQQQHEVERGRGGGGVARAGPALSVAPPASASAGASVFTVPPGLSPSGLFDSPGLLFSPAMGGFGMSHQQALAQVTAQATHSPLRMFDHLEQPSFSTAATTSGALQHMNSAASMAGISDMTMATANNENASFQSAEASQRYQVNAPVDKPADDGYNWRKYGQKVVKGSDCPRSYYKCTHPNCPVKKKVEHAEDGQISEIIYKGKHNHQRPPNKRAKDGNSSAADQNEQSNDTTSGLSGAKRDQDNIYGMSEQASGLSDGDDMDDGESRPHEADDADNESKRRNIQISSQRTLSEPKIIVQTTSEVDLLDDGYRWRKYGQKVVKGNPHPRSYYKCTFAGCNVRKHIERASSDPKAVITTYEGKHNHEPPVGRGSNQNAGISQQKGQNNISSNQASLPRPDFSNANQMPLGILQFKSEQ >Sspon.03G0016240-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:51756963:51758305:-1 gene:Sspon.03G0016240-1A transcript:Sspon.03G0016240-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGMSSYRRRPRGFVLDPSKGMLPLLGLQVLLEYGRAGSARPPATAALLAVNVLIFLRPGALDAILPKKAYVALNPNIFFKFRDLKAFFLSPWYHVNEVHLFCNMTTLLWTGVELETSMGTAEFASMVAALLGLSQGAMLLLSKGLSLLGEGAPYYDEFCIGFSGVLFGMKAVTMSARPGDFLHLAGMVIPAKYAVWAQLFLTQALIPDSSFVAPSWWYTCWTCLPSAQGLVEQARPGIVQQARPPGIVPQARPRPALSSDLVWYQGHGLLPVRFAQKLLSSVLPKGHVTGQRRVGCCASAARGCLKGCWICSSCSDYNSLATDICERCSTMREDCAFSWGQHHQP >Sspon.07G0004570-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:7081206:7092261:-1 gene:Sspon.07G0004570-2C transcript:Sspon.07G0004570-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSAVTDDSAASTTGMRDDERSLSGESFSEWRSCERADSDTPSTSPPFWDSDGDDDDPGPKPSELFGRYTWRIENFSKEKKREMKSEPFEAGGFKWYILVYPQGCDVSNHLSLFLCVANHDKLLPGWSHFAQFTIAVANIDPKKMKYSDTLHRFWKKEHDWGWKKFMELSKIQDGFLVDDVLEIIAQVQVIREKVDRPFRCLDRPYRRELIRIYMTNVEQIYRRFVEERRSKLSKLIEDKMKWSSLKALEYQMKGKKGKTKLADLEELPAPMVHVDMDMFVLADDVIVLLERAALEPLPCQPLAPKDDKTSQSRMKDGSSGEVYKVSMEREERRLTELGQKILETFVLSHIFSGIEVAYQEAVALKRQEELIREEEEEAGLLEHHMKGKRGGGANEKDKRAKKKQTKQKKNNRKAKDKERDEKCEVKILERLRDETAIDNSDGLPAKVEVIAKVDALEEGSSDGSDMPNRGKNQRNKGLSIIGFAEEGDGLPSTSSVTGGSGRNSSGCCTAPKLDQDTVLLTLRDKLRKLGQRLHEKNIEGQKLLKAHFEARDAKAKAEESSDSSSSLDKPPDVPESPMQSSEDTVDLKVNGTPNKDAYVVNPVLEESVSGIPPTAKNESVPFPTTTKVGPVSNKDNASSLKMKVTMASPCSKLHPADMDKDALFLLNHHESIELLQFLLNYLRLIKQRQFPRSYLRLIKLLQFLQNLLNKATLVRPKSPAVDKATPVHPKSPAVDKATPVHPKSPAVDKATSVRSQSPAVDKATLVRPQSPAVDKATPVRPQSPAIDKATPVLPKSPAIDKATPVPPKSPPVDKASPAPPKSLSGAKDAPVPSRSLQIDRFIPAPPRLSQVDKAALPSSEQTHISPATNSEAQGATTSRKVTVSSVLEVAAASRPSSAPVLPTPRSTAPAASHIQTSTLLSRSMSEAAGRRSVNDPSFSAPSYTPQTYRNAIVGKTGLATTSASLAYQSSSLGQDTAPSQPLSAYASSTAVMMPPAGRSDQSSARHGFRSGSGKLEAHDSWQQWKGDSNVDMHLWRDHAPYQQMTNSQAYDQSRRDDTYQQACSRGTEKFSMHAGLQARQFQTETPASHVWHQPQGPVAEEFPHLDIINDLLEEDQINGSIPESFRQDYNAFGLPFSPRGNLSDMEVASVRSPARFNSTKYDGGFSGAYDINAVNGLRERQFPSLDSYSNGLSDVSASKPWLNGSASPSVSLGVNANGYHPQVGDYPNLGSGVNGVSLWRRHANGRW >Sspon.03G0016290-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:38628907:38633739:-1 gene:Sspon.03G0016290-4D transcript:Sspon.03G0016290-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMSSSIFVARVQWNEIFNFVGIKQIRDLKVAKEEQDIGFYAGFVGATYFLGRTISAVPWGMFADKYGRKPCIVISILSVIVFNTLFGLSTTYWMAIVTRGLLGLLCGILGPIKAYASEVSRKEHQALGISFVTSSRAIALVIGPSIGGFLSQPARKYPKIFSEESIFGRFPYFLPCFVISVLAVGSCIACIWLPETLHFHDDDKVEAIEELESQVGGSKSTENLLKNWQLMSAIIIFSIFSLHDTAYLEIFSLWAVSSRKFQGLSFTSQDVGTILSIILLTTYPFMSNLHGLELKILINMASVLKNATITIACNILQNTAVVHIDPIWQTQKQRGTANGISVTMMSTFKAVAPAVAGIIFSWAQKHISGLFLPGKWDIHGSSNFAIFALGFQKGNQILFLTLNMVSLIGL >Sspon.02G0028440-1P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2D:101215504:101229683:1 gene:Sspon.02G0028440-1P transcript:Sspon.02G0028440-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ETIEQNLPPTYKLSFVNSMSDKIFTKREVRAAGGGHLMIKMVVSNQQGSNFPQFLSANVKIVVLDGDFNVNNRECWTSEEFENHRIRPRDKVGVVLKGKLQLKLKNGEAYLENITFGDSSMFTRSGKFRLGAMLIDNLGERVQEAITEPFTVRDHRGEAYRKCDIPRLDDEVWRLEKIAKKGPFCKSLEQRGITLVKHFLRLYYKDEKTLHNIFSSASDAFWKKIVDHAKKCDPGTALYSHFMEDKKIRMYFSSLGQIVGATIADQYHAYDGLDTIWKSFPDPIIRGADEEDTSEVKLVFGVCSQQFTLQRLGSRRARALPSAQENNETVSISIFMMLESSMTDKVGPSYSGKLNNMGLELPRPLDLEVRWKTGIRRQRATRRARTSFGEDTRRQWRIRGPCRCIISLLSPDSRNALYVGALPPCTIYLPWDQIAATRGDLPCPASKQHQGTGFISPGVEGDGTHRGNVKDDGGAVGARATPRRQPPDGVGKRWRQSPSLTPRRGRRQSPSAIALFLSKKGKGKHVRREAAGLPRARRVSNATRCNRLGNVALTLGELRRITKDFSDDQLIGEGAFGKVYKGVLTNGDMVAVKRFASTITGLQDFQYENEAQHLMRLKHPNIVQLVGYCSETEMKLVRHNGTFVRAEETERLLCLEYLQKGNLRGHLSDFGLSRLFGEEQTRTCTRSCKGTPLGVIIIEIITGLKDYPTDEIETTSHQYIDLVSPHPPLPSRRHHWWPPPAAIGAMAGTPPPPSLYSHFPPLSSTSWAQVLAAAPAVPPPAAAPTPLGALTAAAPAIPPPAAQVFAAPVVPPPVAAPTPPGALTAGTLFLASPGAGASHPQPDAVEEAAVVRPPRLEQPHPLQPPGPVQPPPPGGLGCSTRPPLPAWNPPLPVAWAPSCHLEAPPCCQAALALSPRRRAMLLVALVQNIRLLVPVVLEPKSPSYARWRDLVLLTLRCYALDDHVHVDASVAAQTPSWLRLNSIVLSWILGTISLDLHDLFLGNAEARALRLDASFRTFIQDDLSVGEFCRRMKGMADSIGDLGWLVEDCILVLNILCGLSDRYAHLRTWITRQRPFPTFLKVRDDLVMEELTQGIQPGSAVSPGSSSSSTALAATPPRPSALPRSFAPPPPSLLGPPPSGTSGGGGGRGGRRRRGGGRGGGQGGLAQAPAPGGPQGGTMVFLLEPVVWAHLHVALPRSRGRPSSIGGHAHRCSTGCSTSRRYAVGSTLQHPSWGCRLGPGGLGSLDLASRRPLLQCDSSEPLYTLRFPTATSSSSPSVLSAAFAASTSSTTWHRRLGHSGRDALMQLSRSSSLPCTRAHDEQLCHACKLGRHVRLPFHSSTSHAARIFDLVHCDLWTSPVISLSGYKYYLVVVDDFSHYSWTFPLRAKSDTFPTLLHFFTWASTQFGLTIKAVQCDNGREFDNFTSRAFFLSHGVQLRMSCPYTSSQNGKAERMIRTTNDTVHTLLFQASLPARFWAESLHTSTYLLNRLPSAVCPAPTPHHALFATPPRYDHLRVFGCACYPNTAATSSHKLDPRSTLCVFLGYFRITRGTGALTLPPDVSSSLAMWYRFATLLASHLSRFPDGSGPVVLWRGPDLWRGYRGGYAGRRCLVLPRHLHRGSLRRYGCTSQAAPAATRGPFSVGDTDTTAAVPAGPWRTAGLPPAASSSTPAACSPDGDTARGWHTATWALEASTGDARVSPVPSSVRDALLDPHWRRAMEEEYATLLANQTWELVPQPPGSNVVTGKWIWTHKCRADGTLERYKARWVLRGFTQRPGIDYDETFSPVVKPATVRTVLSLALARSWSVHQLDVKNAFLHGLLTETVYCSQPAGFVDPSRPDMVCRLNRSLYGLKQAPRAWNHRFATFLLTLGFVEANSDTSLFIYHHGADTAYLLLYVDDIVLTASSEPLLRQIIAALQQEFAMKDLGVLHHFLGVTVEPRPAELLLHQRQYTRDILERAGMTDCNPCSTPVDTQGKLSEAEGPPVSDSTAYRSLAGTLQYLTFTRPDITYAVQQICLHMHDPREPHLTALKRLLRYLRGTLDYGLLLHRASSADLVVYTDADWAGCPDTRRSTSGYVVFLGGNLVSWLSKRQPVVSRSSAEAEYRAVANGVAEASWLRQLLAELHTPSSRSTLIYCDNVSAVYLSTNPIQHQQTKHVEIDLHFVRDRVAMGEVRVLHVPTTSQFADTLLKTRTLGCTSVLWVRLFVDMKASVFPDQTIHEADEQGTSEVNRFSGTLSQQCTFERVGSVPVRTLSSVPENNETDVSFDIDVLMDSATGFQYEAPEANYTAGVSLGHWYPEQPMVSLYASPFPLSMQAGDPVLSTQSSFNMDEFFKDLPHDKPQFCAPIVSKLPTDVGSSMTEAPEANYTAGVSLGHWYPEQPMVSLYASPFPLSMQAGDPVLSTQSSFNMDEFFKDLPHDKPQFCAPIVSKLPTDVGSSMTKLPACRRWVKLSALVKWKAIMRASKRARLMFEQESWSP >Sspon.03G0029100-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:13386423:13391267:1 gene:Sspon.03G0029100-1B transcript:Sspon.03G0029100-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding PMALSPAEASSALDAFNSQVSQVNSAKSVMSTSYFKICFWLILVLCNFGISKSLPLDRDILLDIKGYLKDPQNYLHNWDESHSPCQFYGVTCDHNSGDVIGISLSNISLSGTISSSFSLLEQLRTLELGANSISGIVPAALANCTNLQVLNLSMNSLTGQLPDLSALVNLQVLDLSTNSFNGAFPIWVSKLLGLTELGLGENSFDVGEIPASVFDLVSLGTLDFSRNQITGVFPKAISKLRNLWKIELYRNNLTGEIPQELATLTLLSEFDVSRNQLTGMLPKEIEGLKKLRIFHIYHNNFFGELPEGLGNLQFLESFSTYENQFSGKFPANMGRFSPLNSIDISENYFSGEFPRFLCQNKKLQFLLALTNNFSVTLNQLYVQNNHFIGELPVELGRLALLQKLVASNNRLSGQIPKQIGSLKQLTYLHLEHNALEGPIPPDIGMCSSMVDLNLAENSLTGDIPDTLVSLVTLDSLNISHNMISGDIPEGLQSLKLSDIDFSHNELSGPVPPQLLMIAGDYAFSENAGLCVADTSDGWKQSITNLKPCQWSDNRDNLSRRRLLLVLVTVISLIVLLFGLACLSYENYKLEEFNRKGDIESGSDTDSKWVLETFQPLELDPEEICNLDAENLIGCGGTGKVYRLELSKGRGTVAVKELWKRDDAKVLKAEINTLGKIRHRNILKLNAFLTGASNFLVYEYVVNGNLYDAIRREFKAGQLELDWDKRCGIAVGVAKGIMYLHHDCSLAIIHQDIKSTNILLDEKYEAKLADFGIAELVEGSTLSCFAGTHGYMAPGQLPSERPTMREVVKMLIDIDPSSTARRAKNKNDK >Sspon.02G0056400-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2D:26057088:26057684:-1 gene:Sspon.02G0056400-1D transcript:Sspon.02G0056400-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQHQWFRVALARRTSRSPRRRRHARTPAIRNASPSAHPLQTCFHVSTLTPCRPDADLAPRFPPRPPAGRPASHLIDDDDDGLV >Sspon.03G0019280-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3B:82300125:82301295:-1 gene:Sspon.03G0019280-2B transcript:Sspon.03G0019280-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding QQKRVAEQQDGEHANGAKKCRALAIPNGEVKQEQRGQGGEEAGQGGQGEGALVAVVQAMEEPQINIRMAVSPLHCHACVLPLKPPIFKCDTGHVVCGACRGSHVQACAGAGTYVHCAELDRIVREAKVPCAYEAYGCTSWVVYYEAPDHQRSCRFAPCLCPDPGCGHSTSPARLAEHFSIHHGWHVTEIEYAKPCKLAVRGPQDTQVLVGKADGCVFLLSPCALGAATAVSLVCVRACGDAAAGAPQYTCKLWAQVAGNKENLAMVTFMVVSSDLAGGFPAIDQGMFLAVPPPLLHDESGEPA >Sspon.08G0017630-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8C:3934185:3941622:1 gene:Sspon.08G0017630-2C transcript:Sspon.08G0017630-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALSCSIPSTDDGPAPRDHGAIILDRGGTLPHPLPTAVVAPFPISTTLRVWLSSMGSRPRALDPCRADVAVAVLSMDVDELQLLLRHWRETSLSAASIHELRPHDEAQLGSFAVLEINLDIRAVKQNPYRITEYMVIFILLHLEANMCPCIYGYSWSAANHRQSVTHGIGADRLMDQGGQHAASWTATLAGGQAPARPPLSPPLLAPRLVITVPDTGTYRTQRKTFEAASRQERPKAQSPNAKLLGSFPVKHFPEIYINYEAASGRHPTFPGLLIIPTTMAEVNLLGLALSVAEKTSSVATDWAANGFLSARNAKKELGNLERSLKYICDVLQCTEGKQSTSYALQEWLNNLKDAVYDIDDVLDDVATEALEQEIDKGFLSRTSHFFTYSFKLSRRIKEVREKLDKIAANKVEFAAPEHSIHIPTTTSTSRETHSFVNEQDIIGRDEAKRDIVDRILTSANSTSPLSVLPFVGLGGIGKTALAKLIYNDLHITNRFEMKLWAWVSDVYDLKKILDGIIQSATGESHKQLNLEALKNMLCALLHEKRYLLVLDDMWNDKASDWYDLRSLLSRDGSGSGSVVIVTTRSSNVASVVKTLEPYDVARLPHDECMKVFVRHAFGGEETRNLEFSEIRDSIVEKCHGVPLVAKTLGSMLSSSRDVDKWRDIKDKLWHVEQDKYGVFAALELSYHALPPHLQPCFASLSTYPKGYKIYTSSLVMFWMALGLLMPRGSKSNNMMSIGEEYFHELFGRSLFQEEKLIFDGTIDRCRMHDLIHDVAMKVSQKEYAAISCEKADVSERIRHLVWDHQGFSTDVKFPNQLQKARKARTFASIENTGPVSKAFLEDLFSTFSYLRVLIFSGAGIEELPSSIGNLRHLRYLDLQWNVNMKCLPNSLCKLVNLQTLHLAHCHQLKELASNVHVLVNLTWLVLTSKQKYLLRNGFCGWSSLAFLVLCDCPELTSLTEGLGSFTALKELHIFNCAKLASLPSNMRQLSTLQRLIINNCPELDLMEPEEALSGLCCLRSLQLIALPKLAGFPESFQSAASSLKYIAINDCKGLEKLPSFLQVFTSLRKIVLRDCPTLSRRCKEGSREDYQLIRHISEIYIDGILLKGIAY >Sspon.03G0015280-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:72315384:72316160:1 gene:Sspon.03G0015280-2B transcript:Sspon.03G0015280-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MERVASSCASLLAQRRGYSVAAAVVKGTSGRKAVDKVAKRMVLGKEVNTAAAASVSAEKTPWVPDPVTGYYRPAGGAKEVDAAELRARLLTQRVAN >Sspon.08G0016640-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:65462224:65464192:-1 gene:Sspon.08G0016640-1A transcript:Sspon.08G0016640-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTYQPDLMFSGWITGGPRTLYKYPAEFDLGENPRVRGLNVQLESMYATVAISYDFLEEAKEDYEYDGTNDYALATLNRAAVTRAQDALDALLPHAEALIAEMRAIGGKYFILLKLEGKISIKDFVPEGSMKHLLEAEHFFQEELADIVDDNYKSSCLGRLRLASIKVNRLNFLEQWW >Sspon.02G0023660-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2B:79935828:79937110:-1 gene:Sspon.02G0023660-2B transcript:Sspon.02G0023660-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MCMKADYTTPVDSVATSEQTGDETEATSEQTGGETEEPAIVAPANEYTVQEAAPQQKCAKIHDFCLGIPFGGFLFSMGLIGFLFWRSPASLTFGVAPGLAILALAVLSLKVWRSGKSSLPFILAQAGIAAAVAWKHCQAYTTTRKLLPWGFYVALSAAMICFYSYVVLAGGNPLPKKAKAAA >Sspon.02G0043080-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2B:89305323:89306623:-1 gene:Sspon.02G0043080-1B transcript:Sspon.02G0043080-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSGAGETAAAKRAKPPWDSATGVDLLSALHDDLLAKILCSVSSPDAVRTSVLSKRWRYVWKLLPDLHFPFLPEPAGSFSYSLDCHQMHSRWKVEMQHLFRMSDLWLLSYYLGIEVRQSKAVVTLGQAAYARKLLQKANLVGCNPCHTPMEARLKLSKEGTTALVDATEYRSLVGSLRYLVHTRPDISFAVGFVSRFMEKPRQEHMAAVKHLLRYIAETIEFGIIYPKLSGGDNSLIGYGDSNLGGDTNDRKSTTGIIFILGQKAVAWQSQKQRVVALSSCKAEYIAGAGAAC >Sspon.02G0010660-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:23614740:23616901:1 gene:Sspon.02G0010660-3D transcript:Sspon.02G0010660-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSPMAMMAPAAVLMAPLVLLMLSGAEAKFLANNITVVGSVYCDACSNNTFSKHSFFLKGARVLIKCSFKVNSTSSEELSLEAERTTDQHGVYKLDVPPVDGFECREGHEVRSACRATLVRSSSAACNVPGLGGSTQHIALRSRATSACFLNLNALNFRPAKRDGALCHGHGDGDGGDAFGSSLFFWPFLPLFWPPFRVPGGGAGGTVSFPWPFPVPDWLVPFLRPPFLPFPLYEPAPSPPPPPFYRFPPSQEAASRP >Sspon.02G0031620-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:115681799:115686794:-1 gene:Sspon.02G0031620-1A transcript:Sspon.02G0031620-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRQREVDEGPRGAPVASTSYPSSQLSSEFARPRSQPDKRYAPRARGDATRRSVSSPSGGPRVHTHPAPTRPGKPLRSGSFGTRAARLGAMASAAASAAAAALARHHVLLRACAAPAPTSASTRCVLRRVGGAPWYGTAGRKRRRRSGSRFRAPRAGMDMDLASGAVEVINDLGFDTLTFLGVTVLVVPAFRVVKASPILGFFCAGVVLNQFGLIRNLTDVKLLSEWGILFLLFEMGLELSLSRLKALARYAFGMGLPQVLLSTLAFTAFELPPNGAIGTKILQFLFDSRPDLVNIRSIDEAIVIGAALSLSSSAFVLQLLAEKGELPTRFGSATLGILLLQDIAVVPLLVILPVLESQNIVERSLWPLLLAESLKALGGLGLLSLGGKYLMRRVFELGAFLAGAILAETNFRTQIEADIRPFRGLLLGLFFMTTGTSIDMQLLIREWPNVLSLLGGLIAIKTLIITAIGPRVGLTLKESVRIGLLLSQGGEFGFVVFSLANRLGVLPLELNKLLIIVVVLSMALTPLLNEVGRRVAGIIDERSEEKEKPAEMVNYGATEPVVILGFGEMGQVLANFLSAPLSFGIEKDTEGWPYVAFDLNPAVVKVPIYARARDLSHLLDLKKAGATDVVLENAETSLQLGSMLLKGLGVMSDDVSFLSKLVRDSMELQAQEALKDIADQEVDIMKPLQVRVSDLVESNGNGSRMVAQEQWLSLSSRPDIKAIKPPVSNRIPDMKVENDKPGYDFDRVDSEDGVAYCLLESDDGSDEASSTSKETIDQSA >Sspon.01G0022480-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1A:82086669:82087453:1 gene:Sspon.01G0022480-1A transcript:Sspon.01G0022480-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHMQKLEVDPLCSSFDLVKRRNPFCTYAIAYHQRRPHWHFVEQLVDTAARAPAAAARAARGGRSRRPGVRSDHPDGVSESCSTSPRAASTETPTRVGFLSSSALACSSTTRRRVPDGRLEQQRERRRGHVRELRRVRVHRPHSRALGEPRAGMDPRPAVPIGWPEHTSAACSNPPATGRIPARLGLGELRAPRGRGGAAHELCHV >Sspon.07G0004060-3C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7C:33941005:33944285:1 gene:Sspon.07G0004060-3C transcript:Sspon.07G0004060-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPDNFTHKTNEALVAAHEAASEAGHAQLTPLHLAAALVADKGGILRQAITGASGGDGAAGDSFERVLSKALKKLPSQSPPPDSVPASTALIKAIRRAQSAQKKRGDSHLAVDQLLLGLLEDSQISDCLKEAGVSAARVRAELEKLRGGEGRRVESASGDTNFQALKTYGRDLVEQAGKLDPVIGRDEEIRRVVRILSRRTKNNPVLIGEPGVGKTAVVEGLAQRIVRGDVPSNLLDVRLIALDMGALVAGAKYRGEFEERLKSVLKEVEEAEGKVILFIDEIHLVLGAGRTEGSMDAANLFKPMLARGQLRCIGATTLEEYRKYVEKDAAFERRFQQVFVAEPSVPDTISILRGLKEKYEGHHGVRIQDRALVVAAQLSARYIMGRHLPDKAIDLVDEACANVRVQLDSQPEEIDNLERKRIQLEVELHALEKEKDKASKARLVEVKKELDDLRDKLQPLTMKYRKEKERIDEIRKLKQRREELQFTLQEAERRMDLARVADLKYGALQEIDAAIAKLESETGENLMLTETVGPEQIAEVVSRWTGIPVTRLGQNDKERLVGLADRLHQRVVGQTEAVNAVAEAVLRSRAGLGRPQQPTGSFLFLGPTGVGKTELAKALAEQLFDDENLLVRIDMSEYMEQHSVARLIGAPPGYVGHEEGGQLTEQVRRRPYSVILFDEVEKAHVAVFNTLLQVLDDGRLTDGQGRTVDFRNTVIIMTSNLGAEHLLAGMVGKNSMKVARDLVMQEVRRHFRPELLNRLDEIVIFDPLSHEQLRKVARLQMKDVAVRLAERGIALAVTDAALDIILSLSYDPVYGARPIRRWIEKRVVTQLSKMLIQEEIDENCTVYIDAAPAKDELAYRVDRSGGLVNAETGLKSDILIQVPNDAVRSDAAQAVKKMRIMEEDEDGMDEE >Sspon.01G0042210-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:64272540:64278947:-1 gene:Sspon.01G0042210-1B transcript:Sspon.01G0042210-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGNRDVVWEHGENLAPGWRCKYCHVTRAGGGCTRFKQHLAGYGADTLHCARVPPDVRAYFRRDLDRAKKTTADQARQRLAQEKAAAEGNHPLGDDEYEAQMKEALNQSRAEYDYEQRVQQRGGIPGRNADNPYFVSAVRETQKWGEGIASPTGRDIDGKYLEQNEKDLKDRYAKFQKEWPNFGVTLMCDSWTGPTKMSVINFLIYCNGVTWFHKSIDASGKSQDANYLYREIRKVVEEIGAEHIVHVVTDNGANYKKACTSLKEEYGHIVWTPCLAHTINLMLKDIGNRTDHAVQPIYKFLRFADQDKRPNMCDVVYEYQNCKQELESYFGRNTQTWNEYREIMDARIRDVYIGTYVGSAAVLNPKLTYSMDPTPTMFGGLKETFQQMTDVECAVQALQEAEIFRQKRSEFGSELAMKMAMDPRTTPSAWWMIFGRDTPNLQRLALRLVSQCISSSGCERNWSTFALLHTKVRNRLSHKKLNRLVYVNYNLRLRLADVNPRRYDEEDFIDRFAEVSFYDRSNPVREWMEYGRSNLPPVLDEDSDEVDVPLPSHLVSDQIDPDDLRQATGHDCISDWILQRSLRANGQTSDRTRCCEPPDAPVRTAPAPAKTRPDALLATTGRHSTRVRCESSKLPGPPDASDDVRPDADRVRRLRDSLFARPDAPVPNVGASHLGKRKMQMVPPKGHGKRHRGKGKAVTSDTETEDEQYQSQDSGDDDSSDDDSANDGASGSDGGGAGGTDGGGGGSGDATIGAGGSSYVGLHFTGETQFTHATQDRDHGQPTSQRRAYAPTDYDTPQYSSSSYSDTSQPTYSYPIPDMTMQTQTRWVYEWEDLSFYNMPSCHVKLCRSRRGGLVLSTVSSPYHRLVGDLANCRGAGSKLLCNYSGMQSYRRDPINQAALIQITWNDAVQHRAKHDLSSLGRGSSANTP >Sspon.06G0008160-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:31435343:31436297:1 gene:Sspon.06G0008160-4D transcript:Sspon.06G0008160-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPTSTLALVAPPLAVAVLCLVVRSASAEFWLDEFTTDGDVRTDYDRSGRQVASLVLDQRSGAGFNSTRKYLFGEFSVEMKLVAGNSAGTVTSFYLTSGEGDEHDEIDMEFMGNSSGSPTVLNTNVWASGDGKKEHQFYLWFDPAADFHKYKIVWNDKNIIFQVDDVTVRVFKRYADLPYPDAKPMAVHATLWDGSYWATQKGKVPIDWSSAPFVVSYRAYTANACAVGSGGSSSCPAAGGNEWMDRQPDDTDRLTVAWARRNCLQYNYCDDGWRFPQGFPGECGRN >Sspon.04G0029650-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4B:73222098:73223977:-1 gene:Sspon.04G0029650-1B transcript:Sspon.04G0029650-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding Q >Sspon.04G0009540-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:27456406:27457270:1 gene:Sspon.04G0009540-2C transcript:Sspon.04G0009540-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding TMQKEIIIRIHVKSDKCQAKAMKVAAAGTGVESVTLAGGDKSLLLVIGDGVDSNKLLKKLKKKVGEAEIVELRTHDTFEAAALPLPGTKQELAAMMAMAAARSPYNNNHHHQQQWQHSYAAAPTSPYSYHYYPSPVGGYGYGYGYGAGGAAISSYSRAVARSHPANYSPMVERHDYQPMEHSSSSSGKRRQTMAVPRHASGTNSCTIL >Sspon.03G0032150-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:43391463:43392926:1 gene:Sspon.03G0032150-2C transcript:Sspon.03G0032150-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ASTPRTPAPEREPPPVPIPPPPVEMPPPPSPSSSQPGDEYHTPAPSLADGSPREEASSFPSDGREGAPAPPKSPQLSPMRLAAPRLLPPPPPSPRAGQNGQEEQEGGANKAAAAAPGREPLRLATKGLARSPSSQRSLATTNSSPSPSPSPTPPSPLTPAAAPVVSSSSGNNNRNGQSTPKRAAETKLQLSLSSPAPAATTAAIAVHFDPVEEAVTSPLHLGGQTQQQQQEQHAAVAAENGGSVPPDVAAAVAVGERRELSVTLRLATAVLSLAAFSVIASARTSGWAGDYYAHHLQYRSAVAVNVIVCAYSIAQSFGEIRRLISPRSILLPSRSSYYCSLFLDQVFDRRRGAVLLQALAYLLMSASSAAASRNDLWVSR >Sspon.05G0000680-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:1794680:1796130:1 gene:Sspon.05G0000680-2D transcript:Sspon.05G0000680-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARREPRVWEFSREAIHVEDRIAELERALQVVETESGRARVELELERARKKAAGEEVADEEDPAASRPGVTVVRLQYGEVAEATTVFMLPVVRETDGVAAMESAPRRTKTDVDLGIVEVDKAWARWAVLPGWGPVAEAADDAVVIELADGRRLPWRTADKEPVLVIANRSKKEVVEQGIYVLEKEGRLVVERGKKLAEQGIATAAASVVIVVRPPKDEDDMISDEEWD >Sspon.01G0024300-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:85803518:85807450:-1 gene:Sspon.01G0024300-2C transcript:Sspon.01G0024300-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQMLDELCRMDRKYKVVINHAATISLLQLRMLLAGYPTDIPAQALLVLDTVLRDVVSNERNDMKVGISEGRFKQVLEKEIPAIEKAWNALYDNEKPQITFIVVQKRHRLRLSPTDNKYKLRSATKKIVESGTVVDIEICHPAEFDFFLCSQADVKGPRRPVKYLVLRDDNNFTADELQALTNNLCY >Sspon.02G0034730-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:17436536:17440172:-1 gene:Sspon.02G0034730-2C transcript:Sspon.02G0034730-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VTPYRKEEPVARPFRWAAGGEGGLVAAMAPAVAINPVIKPAMLVTLKVQDTQRRVVARTMRRTDKLQGLMNYYYDMVCSAGAGGTRGAGRFVFDGKRLKGEQTPEDLGMKSGDQIDFFGDLGATDGGDAAAEEEEVDRKAGDQDARDVTVTVQDTDGREVKRTVWITQKLKVLMDYYYDSVPDVTYGTGKFLYNGRQLKAGQTPAELKMEDEDEIVIDFFFDMMGGGCGWAAAAAAAAEQTPVAGMVVSPCRKEEPVARVLKLVDGDGRVIVVKPVMLVTLKVQDTERRVVKRTMRRTDKLQGLMDYYYDVVCSAGAATRGAGRFVFDGKRLKG >Sspon.05G0010600-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:24699141:24706565:-1 gene:Sspon.05G0010600-2B transcript:Sspon.05G0010600-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSGSGGGVEGGVGEGPATLDELYKINVVPAELHFKFRKELQGLRVGLNLEFYNLEVNDFEAKIVLKPLDYDRKWKFQYKPISGDIQLLSKKIPVTKFLNLQVGIGHNYHLNATGWKWKLSTCLGGDGVSQIRNKSKLSLFPGFDLRIGWKAEYVLPEIHGAVGTGEPAFSMNYGRLHASIDRVEAIVTQSDRY >Sspon.03G0013380-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:48776149:48778871:-1 gene:Sspon.03G0013380-1P transcript:Sspon.03G0013380-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAGGGAGKLKLLGAWPSPFVNRVRVALHLKGLEYENVEEDLTNKSDLLLASNPVHKKVPVLLHGDRPVSESLVIVEYLDDAFPGAGQALLPADPYERAVARFWAAYVDGKLHGMMVKAILGATEEERAAATADALAAMDTLEGAFAECSGGKEFFAGDAPGYLDVALGGFIGWLRAWEWDKVGGVELLDAGRIPRLAAWAERFAALDVAKEVIPDPDHIAEFGKVLKARSAAAAAGN >Sspon.01G0007340-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:17449103:17456099:-1 gene:Sspon.01G0007340-2B transcript:Sspon.01G0007340-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFAGSAPDPTVLMHCESWPGLPRGVEFNPSDSDILWHLAAEVGNGLIERHPFIHEFIKFVDGDGGFYCRHPQDIPGVSQDGRASYFFHRSFEPYNNENDANNCWKKIGSPRSIILDGTLQGCKEVFALYADMPSDKRSQETNWRLHRYHLQNTVKAESEIVVSKIFLASRSNLCELAEEARIESERYVSTHKDSSVECAKGFNPEICTETDELDHIPLKERYRILLADKSSVLATVSSGKSIMCVETSRSSPKRNHEGTILKEDICSMLQEISCAPPIIESNPMDDNSNRRLLGEDLPVEASKNQIGDTTTCMSENSELVARKEGAHLADVKLEPALEGYEIGPTESPQANSTHAEGSVSSLGVKDELTDCELPGLCEKVSFSFQQRRKRKTSYSTEKMLEEDAYTVKEGVAYRSRRRRKKTATDSIEKALDEDAPGLLQILLNRGIAVEEIKLYGAEEDNEMIEDSTESNFDDLENVIANSRYLQFRDCPVEWGWCRDLQSFIFVFRSHNRIVLERPEYGYATYFFEVVQSTPIEWQIRRLVVAMKLSGCGRTALIENRPLLVGEDLTEGEARVLEEYGWVRNTGLGTMVNYRDRVVHDRWTEKCVADWRAKIGKLLMTGYAEGQSVTTHGPKKIVDLLEATGDAELEIKLEDPY >Sspon.02G0027730-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:121337856:121339505:1 gene:Sspon.02G0027730-3C transcript:Sspon.02G0027730-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHRPDAVAPLLAKPDGAATPPPAKRNKYPFFCAVLASMTSVLMGYNVAVTSGAQIFMAEDLGVSDAQIEVLSGVINIYSLVGALLAGWTSDRLGRRLTIVLANAFFLVGPLAMALAGGYALLMVGRFVAGVGVGYALVIAPVYAAEIAPASSRGLLTSLPEIFINTGVMLSYVSNLAFSGLPVHLSWRVMFAAGVVPTVFLAAGVLTMPESPRWLAMKGRVAEAKAVLDKTSDTPAEAEQRLLEIEDVVMNSSGNRGGGAWKEVATKAGVRRVLAIVLTLQFFQQASGIDSVVLYGPRVLAMAGVTSNTLLLSLNVLFGVAKAGSILVAMVLADRVGRRPLLLVSTGGMTAALLVLGSLIAAFAGAKDDAAVAAVSVAAVVAFVVAFSVGFGPLAWVYSSEILPLRLRGQGAGLGTAMNRIMSGVVTMTFISLYQGITMAGAFYLYAAIAAASFVFVYACLPETRGRSLEDMEELFHT >Sspon.02G0011740-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:31399415:31400740:-1 gene:Sspon.02G0011740-1A transcript:Sspon.02G0011740-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVTPTMEIDLHDVRAARVLGRGAMGTVFLAVDGGAGGEAYALKVFDKRTPSAASRTAAGADDAARRARWEVSVLSRLAHPHLPSLLGCAETPDLLAWALPYCPGGDLNELRHAQPDRVFSPAAIRFYVAEVVSALAELHAAGIAYRDLKPENVLLRADGHVMLTDFDLSRQLPPRSPSAFTSTSTSSSCSATSSPPPPQAQNHGRSQYHHVKNIFKRSESAVTASTSGQEEEPRSLAWYLDRSIDGGVDQIKKAKSARVSPMDRGKKLSSFCSAAAGERSFSFVGTEEYVAPEVVRGDGHEFAVDWWALGVLVYEMCHGRTPFRGRSRKETFRNVLLREPEFTADARRRWPELTNLISRLLEKDPARRLGFAGGADEVRAHPFFAGVAWDLLGEVSRPPYIPAPADDIVSCEGFSVVEYFDKLHQPPRSPAEHSPEEDLLP >Sspon.03G0010190-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:27483795:27486575:-1 gene:Sspon.03G0010190-1A transcript:Sspon.03G0010190-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VLTEIARLMDKYKDEETSITVVGHSLGATMATLNAVDIVANAYNKTPGYDSRRAPVTAIVFGSPRTGDRDFRDVFHRLPDLRMLRVRNRPDRIPHYPPVGYADVGVELLIDTRRSPFLKPHGNESQSHDLEVHLHGVAGWQGDHGGFELVVDRDIALVNKFDDCLADEYPVPVGWKVHHNKSMLANPLVIPGTSRS >Sspon.04G0003760-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:45306861:45317414:1 gene:Sspon.04G0003760-4D transcript:Sspon.04G0003760-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSRFGSFKSEKGDSASAAAGAAGGAAQRRDPYEVLGVGRTATDQEIKSAFRRMALKYHPDKNGDDPVASDKFQEVTFSYNILSDPDKRRQYDTSGFDAIESDSQELELDLSSLNTVNTVFAALFSKLGVPIKTTVSATVLEEALNGSVMVSQLQLGNSVQRKVEKQSAHFYSVDITEKQAKMGLVCRVHSNDKSKFKLLYFELEENGGLSLALQEDSVKVGKVTAAGMYFLGFPVYRFEQNNLVSLEDQLGPFVVQIILLWIYPFISSNYIQAAAAKDSDSAFFKRLDSFQPCDIHELKPGTHFFAVYGDNFFKSASYTIEIVCGESFPAEKEMLRNVLAKFTEMTSKYTQEMQAIDDLLKERNEIHASYTNNPPLKRSSSRNKGKSPSKVAKTDTEKHPQKEKKVKDHCMEGYGSGSDNSSEKKSKERFPRKK >Sspon.03G0029740-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:17944546:17948386:1 gene:Sspon.03G0029740-1B transcript:Sspon.03G0029740-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHIGCGATPGKGQSQTHRWRTACRSGRSPSSACRRSPRALSVIPRCYVKPPCDRPAVAPAPAAVQETNETSDISIPVVDLGELLLAGGVGAVGVGGLDNAVVTEAVAAACREWGFFQVVNHGVRPELMRAAREAWRGFFRRPLAEKQRYANSPRTYEGYGSRLGVQKGAVLDWGDYFFLHLAPDAARSTAKFWPANPSNCKEVSSEYGREVVRLCELLMRVLSASLGLDEAHFQRAFGGADCGATLRANYYPRCPQPDLTLGLSAHSDPGALTVLLADEHVRGLQVRRGDGEWVTVQPVRDAFIVNVGDQVQILSNSVYKSVEHRVVVNAEEERISLALFYNPKGDVPISPAPELVTAGNLPALYPPMTFDEYRLYVRNKGARGKAQIEALKGQASPEN >Sspon.02G0019840-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:59986352:59987992:-1 gene:Sspon.02G0019840-3D transcript:Sspon.02G0019840-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSDLRLCRSLPAIHQQTRASFLSTAHVPLDLRLIVSPLRRRAMTTRTRPCAAVTSFLLLLLLSSAAVSLAKTAGSRESKQGQQAGASVYVVMVKAPAQGVNYKAYQIRILATALGSEEKAKQALIYSYKAAASGFAAKLTPAQVAALKSELQFSFSISQCSLVFAIICLMPRANSWRLPAGDDAAAASLHPDVLQALPDVKYTLQDNNHLN >Sspon.01G0029710-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:103163538:103166796:-1 gene:Sspon.01G0029710-1A transcript:Sspon.01G0029710-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEASTSASAGATRRAFPPARSGVFYRFTQQNLPAWKPAMTPGCLIAMFLIIGIIFLPFGLLCLQTSNHVAEIIHRYDFDCVPNAYRGNRQDYISSSVIHVLKHMRAPIYVYYELENFYQNHRRYVKSRSDKQLRFGAKYTAESCSPVDWDNNGSPIVPCGLIAWSLFNDTYDFTRGSKEIKVNRKNISWKSDREHKFGKDVFPSNFQNGTLIGGGKLDPTVPLSEQEDLIVWMRTAALPKFRKLYGVIEDDLRADETITILIGNNYNTYTFGGKKSIVLSTASWLGGKNDFLGHAYIVTGSLSIFISILFALIHVKYPRPQGDPNRLSWNRKNGNG >Sspon.02G0042830-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2B:86681652:86682063:1 gene:Sspon.02G0042830-1B transcript:Sspon.02G0042830-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGNSTGHHCSYDALSLFDKSMRLRLWLVNALLLAGAILGGVIVGIGVFGQRYRYHRLTRFIFLGATTLFLPVMSTVVPLVAGSNDYVTGGQSGMRSQLATKCDPGVQSIMVVIWASLVQIIMINTSAIVAVDDRE >Sspon.07G0011600-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7B:46288643:46290418:1 gene:Sspon.07G0011600-2B transcript:Sspon.07G0011600-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding METKLVENEAAMSMLRENYEKRILDEQVKLKKEARKFQEEEALLQDQLASSRRTVTSLTDEVQREKELVEQLNLEIDRLKNSIAEAEEDKHLSEGKLKENIEVLDILHGKVNLLNQEVNGKEEHIRELSSSLSAKEKDHENLNEIYNQAKENLEQANSQIKQLEKDVHTAKDDLKSKASLINSLNEKVQTLYTEKGEVEEKVSALVTQYTYLKTASEERASHDSELLFQKDDKLNQLEEKLSAALSDSSKDRTRIAELNNELDTTKTILDNEVVARKSLSDLVQSTEEALRDSKNEVFQLSEELDEVKRSNQDLMTQISKLTDEASEVRQALANKIEQAESVSASLSDELASVREVLKKSQGELEVTSKQLLSVSEEHSDLNKELLDAYKRLESTQNELVKERKINATLNMELDALVKQSVIVSEARKGLQVDLDEATRSLSEVTKSTLSLSKQLETTKSKISAIKEEKEMLSKSLEEQKKRTVEAQENLEDAQNTIKRLGTERESFEVRSKKLEEELATAKGEILRLRRQISIGGSPNTQVISETGATPINSQPLKEQPMNDRVQNINSADAVAPRSPKRIYKRKRRPAA >Sspon.02G0017330-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:49915627:49918444:1 gene:Sspon.02G0017330-1A transcript:Sspon.02G0017330-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLRRGAPPCPGCPPRALAGVLCGGGGSAGCRCCAPIRRACFLRPTTEKSGGALGYQMKNCRWRPTFALETGGPSNTDGQDFDEDSGFLGRTRLGRLIQAAARELLDKLNSARTNSPTKIFLVLLGFYTANALATILGQTGDWDVLVAGVVVAAIEGIGMLMYRKPITRPPGRFQSLISMVNYWKAGVCLGLFVDAFKLGS >Sspon.01G0051180-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:11554733:11555873:1 gene:Sspon.01G0051180-2D transcript:Sspon.01G0051180-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFNHSGVGAAWRTAKVEPGSAVAIFGLGSVGLAVVQGAKMCGASKIIGVDLNPDKEEVIVEMTGGGVDYSFECIGVPSVMTDAFRCTKMGKGKTVVLGLGRDSDQVCLPSLELLFGRCVMGSLFGGIKPKTDIPILAKKCMDKELQLDALVTHELGLQEINTAFDLLLQGKCLRCIIWMDKDNK >Sspon.07G0030000-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:548207:550930:-1 gene:Sspon.07G0030000-1C transcript:Sspon.07G0030000-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARQTLLHLTLLCIFSIAMGADKGSGHIRICCGFPGLTAIDADNRTWNSDRHPNFVPSSLLKGSVSATTTSYQNPGPTTAVPYTNARIFTSNYTYSFPVSPGRMFVRLYFYPGTYGNHARGNAYFDPVPIVAGTGFQTMYRLNVGGQTISPRGDVDFYRSWDDDSPYIYADGYGVSYPKDKNVTITYPPSVPHYTAPADLYASARSMGPDAQINLSYNLTWVLPVDAGFYYILRFHFCEIQYPITKVNQRSFFIYINNQTAQQMDVIAWSGGIGRASYVDYAIPTAVSGPMDLWVALHPDLSTRPEISDAILNGLEVFKLQDYYGNSSINGFKPPLPTPVAEPNDVKSGGSPAVFGGVVGSSAALLIACIGVCILCRRKEKVTKEYSRTKCLITLTQLPSNLCRRFTFKEIQAVTCNFGETFLLGKGGFGNVYHGKIDGGTEVAIKRGNPLSQQGLHEFQTEIGTFLRDWALSYQKRGALNEIIDPHLRGEITLECFRKFAETAEQCVAEHSINRPSMGDVLSNLVVALQLQENSTDNGNCAEETSSIAKRQMDSTNPSTDSTMSIAGQGFVFS >Sspon.08G0027540-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8C:51343079:51343692:1 gene:Sspon.08G0027540-1C transcript:Sspon.08G0027540-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWYVVHRGRTPGVYSSWALEHSQVHGYPGNCYRKYNTEGEAMQAFNQDVEVHPPLLQPVDPPMLEDIEVHETFVRVVVSTPLKRSGESTMLYAGNQTWCLHPHILNLMHGKPTKHHLRLARLLGADQPNNPPACAQPGQRGPGQVDASQARLGRQPNRP >Sspon.08G0003520-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:9470958:9474404:1 gene:Sspon.08G0003520-1P transcript:Sspon.08G0003520-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding NMPSSSQSRSRLCCWLPLLLILHFSRRAGGGGGKVPALIVFGDSTVDAGNNNFIPTVATGNFPPYGRDFDRGVATGRFSNGRLVTDFLAEAFGLPSSVPAYLDPGYTIDQLATGVSFASGGTGLDDLTAEIQSVIPLSQQLEYFREYKARLQLAKGESAANDIIAEAVYIFSIGTNDFIVNYFTVPLRQAQYTPPEYAAYLIGLAEAAVRDAYGLGARKMEFTGLAPFGCIPAARTLNRNEPGKCNEEYNRLAEMFNAGLQEVVRRLDGELAGARVVFAETYSVMSDIIANPSDSGFEHVEQGCCGTGLMETSVMCGLDEPFTCQDSDKYVFFDSVHPSEQTYRILADHILNTALRV >Sspon.06G0011010-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6B:46851098:46851637:1 gene:Sspon.06G0011010-2B transcript:Sspon.06G0011010-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFPSVCYCVILPQPLILVLQLLDFLRHAVLLCLASLGLAAPPAADDHPAYYAAPPAPADLWALQPSSSSSSLLLQAAAVAAPPHLLAPPTPAAIKARLPAVRYADLLRSRRASAPSAPAVCAVCLGALEARHRVRELGNCAHAFHKACIDKWVDKGQATCPLCRALLLPDPCSDGDDDG >Sspon.03G0009210-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:30088573:30093055:1 gene:Sspon.03G0009210-3D transcript:Sspon.03G0009210-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MANSNLPRRIIKETQRLLSEPAPGISASPSEENMRYFNVMILGPAQSPYEGGVFKLELFLPEEYPMAAPKVRFLTKIYHPNIDKLGRICLDILKDKWSPALQIRTALLSAPNPDDPLSDNIAKHWKANEVEAVETGGIRNPRILSYSYALDNNLEDEVMAV >Sspon.02G0029890-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:108413691:108416994:-1 gene:Sspon.02G0029890-1A transcript:Sspon.02G0029890-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase (Fragment) [Source:Projected from Arabidopsis thaliana (AT2G40190) UniProtKB/TrEMBL;Acc:W8PUX5] MAILAGLVAVFSALLAAALRRLLIPRRRPADAAGFFHPYTNDGGGGERVLWCAELRPDLPCAVYTGDADAAPDALAARALDRFGVRLLRPPQVVHLNKRKWIEARTYPHFTMIGQSLGSVYLAWEALTNFTPQFYFDTSGYAFTYPLARLFGCKVICYTHYPTISSDMVERVKHRSSMYNNSSHIAGSWLYGLVGSCAHLVMVNSSWTRSHIINIWKIPERTKRMLPLERPTTPPIFISVAQFRPEKAHGLQLEAFALALQRLDPDFPKPKLQFVGSCRNKEDLDRLQKLKDRSTELHIDELVEFHKDISYSTRPETTSWGAIAGLHSMTDEHFGISVVEYMAAGAIPIAHKSAGPMMDIVLDEDGHQTGFLASEKEDYTEAIIKVLRMPESERQEMAAVARKRAQRFSDQRFHEDFTEAVRHILSAREA >Sspon.07G0014600-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:52509244:52509499:1 gene:Sspon.07G0014600-1A transcript:Sspon.07G0014600-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSETFLEILLAILLPPVGVFLRYGIGVEFWICLLLTILGYIPGIIYAVYVL >Sspon.06G0029100-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6C:15720021:15720530:1 gene:Sspon.06G0029100-1C transcript:Sspon.06G0029100-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSSVPHLLCHPLPRPYLFGVLELALSLSTRTRPLCLALLALSCYSRAPSPLLATEAPPLLPTTPSLLLVCACRCCVATRDPLCRRRALRAARAAQRRAAAMAATGSHGPLATGRRGANRGHQQVREGLLMLRCHPVAAGELPKGQNRRTDHLLCFKSRQGSRATIRRT >Sspon.03G0008490-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:37689614:37712894:-1 gene:Sspon.03G0008490-3C transcript:Sspon.03G0008490-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LLVQAPFPWATERPAQHGTLQSLLRRGVTSVEGQARCKRCGGRKAIAYDLESKFREVREYIVANRHAMYDRAPKAWEFPALPDCDACGHEGAMWPEIAADKHEINWLFLFLGQMLGCCTLEQLKYFCMNNGRHRTGAKNRVLYYAYIEMSNQLLTQEAMPAPAPPIRLGAPIPYITSHGSKVARLHMYDWIVLVLLAVLDGVLNIIEPFHRFVGSEMMTDLRYPMKDNTVPFWAVPIVGIIGPMIIITAIYFRKRNVYDLHHAILGLLFSVLITAVLTDAIKDGVGRPRPDFFWRCFPDGIPDYNNFTTGAICHGEASVIKEGHKSFPSGHTSWSFAGLGFLSWYLAALVAVSRVDDYWHHWQDVCTGGLLGLTIASICYLQFFPLPSDENGLWPHAYFRHIGEPEGDNQVQPTYMSRRSSIHNGSFHSPDAVEMRNTSQALDSMEAGGRVQCWNNNTTGHRPL >Sspon.05G0020830-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:83632452:83634750:1 gene:Sspon.05G0020830-4D transcript:Sspon.05G0020830-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSASRIHPSSATETTTTRPLPQLVPPRRDAAGGGGSADAGRAPAAAALHHTVWKRSSMGFHGTDGFSVYDAAGALAFRVDNYSRRRKVFAGELLLMDGQGSPHLALRPQIFSMHDQWNCYSASEEGQGKTTRSHRLFSMRKCSVLQKGHEAEVSMSGSSCSTASGHSGPSFWVEGCFRRRSCKIRNGDGEEVARITRKKSNSLTLGDDVFSLVVQPGVDCAMIMAFVVVLDRIC >Sspon.03G0015850-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:74469019:74470209:1 gene:Sspon.03G0015850-2B transcript:Sspon.03G0015850-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding TKMAELDTFLFTSESVNEGHPDKLCDQISDAVLDACLAEDPDSKVACETCTKTNMVMVFGEITTKSNVDYEKIVRDTCRGIGFVSNDVGLDADHCKVLVNIEQQSPDIAQGVHGHFTKRPEEIGAGDQGHMFGYATDETPEMMPLSHVLATKLGARLTEVRKNGTCPWLRPDGKTQVTVEYHNDNGAMVPIRVHTVLISTQHDETVTNDEIAADLKEHVIKPVIPEQYLDEKTIFHLNPSGRFVIGGPHGDAGLTGRKIIIDTYGGWGAHGGGAFSGKDPTKVDRSGAYIARQAAKSIVACGLARRCIVQVSYAIGVPEPLSVFVDTYGTGKIPDKEILKIVLENFDFRPGMIIIDLDLKRGGNGRYLKTAAYGHFGRDDPDFTWELVKPLKWENPS >Sspon.06G0000750-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:651946:652419:-1 gene:Sspon.06G0000750-3D transcript:Sspon.06G0000750-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAPKDSLLGFVLYNAAASVAILAGLVRAALLFLGVAAPPSSSPWEAEEERQQAAARVTAVGPTLADRFRSRFRPSRFGRRRGAGGGGADCRVCLVRFEPESVVNRLPCGHLFHRACLETWLDYDHATCPLCRHRLLPPAADDELSKTIAAPRLVRF >Sspon.02G0027080-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2A:98364046:98364507:1 gene:Sspon.02G0027080-1A transcript:Sspon.02G0027080-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding PPPPTPPPTREKPGEEEANRSSSDGGRGLLGGAFWATRALEVVKRNDSPGLLWKRIKLTTTRKNNAKKRLKRLWQVGYLPPLLRSHYGAIRSALWGELMGSSPSLRPIRGSCSFDCCLLNLRLDFPMDLALWGELRGSCLLGGDDDDEVYTKD >Sspon.08G0028830-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8D:17848785:17849468:-1 gene:Sspon.08G0028830-1D transcript:Sspon.08G0028830-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSIHRELQRRKSKPLAPTRSVAKKPSAPPRHGASDAVQDPCPHPTHREVPSSTISHCRGSTVTDASQQPRPRASSRCTPATPPPPRTGPSSAGSVCRASPGVPAHLKPGTVVRVRTRTATLKTGQVLVLWLKATIVSSSTNGSGYEVVYDANWPPSDPKGTVHVAPNHVKVINPSPSPTNPPQSLHPPAATVAATPKKEMSRPTTAGKSLRLIRSLFPEMELPARA >Sspon.01G0011650-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1A:31754270:31755642:1 gene:Sspon.01G0011650-1A transcript:Sspon.01G0011650-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVWKAAAQTRFRLFKHENGITVRVIACFQLLQNCQVNPWCHWHNPPWKFNTETSAGNLRPPVVGLVNANSVALPAYLSTVAAPVPFFTASIAERPLSTAPRYATTLAPSLELPALYPSRKRPLVFYQKENHAPIAAPLMSKGPLDRVPELQGSNETNVTDVGAEETEGIHENTDEINALLDSDSDEGYEKLLELDRVRRQSPAENDTLSVESVASAGAATDSAPPAKKRKLSSCTDKSVVDTASSARPDHSIEQKLLVNDCDAQSCCVGEVESDHKFSLGEVEAAEGDSPDDQKRRRERIQETVAALRNIVPGGIAKDATAVLDEAICYLQYLKLK >Sspon.07G0012570-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:43183972:43187563:1 gene:Sspon.07G0012570-2P transcript:Sspon.07G0012570-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYQYISDFFIALAYFSIPLELIYFVQKSAFFPYRWVLIQFGAFIVLCGATHLINMWTCTTHTRTIAVVLTVAKVATAVVSCITALMLVHIIPDLLSVKLRERFLKAKAEELDREMGIIRTQEETGRHVHMLTHEIRSTLDRHTILRTTLVEMRRTLGLAECAVWMPSRSGTTLQLSHALHSSAPLGSVVPINLPIIATIFNSNRAERIPHTSPLASMKTQKSKYVPPEVVAVRVPLLQLTNFQINDWPELSAKAFAVMVLMLPPDSARKWRPHELELVEVVADQVAVALSHAAILEESMHARDLLMEQNIALDAARREAEMAICARNDFLAVMNHEMRTPMRAIISLSSLLLETKLTAEQRVMIETILKSSDLLETLSNDVLDISKLGDGSLELEIAPFNLHATFTDVVDLIKPVAAVKRLSIMVHLAPELPTCAIGDRKRLMQIILNVAGNSVKFTKEGHISISASIARTYAPDLHPVLSDGSFYLAVQVKDTGCGISPQDMPHTFTKFAHPQNATNKVQNGNGLGLALSRRFVALMQGNIWLESEGVGKGCTATFFVKLGLSDKPNANLRRIVPPVQPKQGTADPDASSIINVDMAILPHRYQSMI >Sspon.08G0006740-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:20855660:20857168:-1 gene:Sspon.08G0006740-1A transcript:Sspon.08G0006740-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSTTTNSATATAANNAANGAPAAGRDHVVIFPFMAKGHTLPLLHFAMALSAHHKSLSVTLLTTPANRAFAASRLPSSVRLVELPFPSLPPLPAGVESTDSLPSMSLFPSFLRATARLREPFAKFLTSLPSPPLALVSDFFLGFTHRVATDAGVRRVVFHGMSCFSMAICKALITRPPAAASGVDLSSPFHVHGMPEHVAITADEIPDAVVKFADLEDPVTRFFIDEIGYSDVLSWGVLVNSVAALDEDYVAPLESFYLQPGARAWLAGPLFLAAGDMSGLEEEEEDPEGCLAWLDERAARQLGSVVYVSFGTQTHISDGQLDEIAAGLVQSGHPFLWVVRSDTWSPPVDMGGSHGMIVRGWVPQRSILGHKAVGGFVSHCGWNSVMESLAAGKPILAWPMIAEQHLNARHVADIVGAGIKVHTKPRGTAATVDVVIGRAEVEEKVRKLMDADSEAGKKIRARATWAQQAAKSAVSEGGASRVALQKLVDELRRTYRGIVE >Sspon.05G0034130-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:54135181:54135948:1 gene:Sspon.05G0034130-2D transcript:Sspon.05G0034130-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGPTPEFTDSFIVPTDNYGDFIRIVRQNVIKHCDDRRPNIVQPVLPREQTVPRLWFHVVLRNPTTSLTLAVRVDNLYLVGFKTTAGVWWEFNNEHGAHLIVGSRWLGFGGRYQDLVGQKGLETVTLGRAQMAAAVDVLAKHGATTTASEELMLGGAQGDPYAVPKAMLAKLVIMVCEGVRFHTVYGTVDKEFNNMAAKITELDGKQVNKWDKISKAVLTWAVDPKAKFPELEKIGVKDKNDAARIVALVKDETG >Sspon.03G0016670-3C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3C:73093327:73094966:1 gene:Sspon.03G0016670-3C transcript:Sspon.03G0016670-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ERL >Sspon.06G0025220-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:61462773:61468877:1 gene:Sspon.06G0025220-3D transcript:Sspon.06G0025220-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLTMSTTTMPTSPAVLDHDHGPHHLLSSVSSTSTDSPAAAAMPPRPSLPPTIPACDPHDGPASLQLVEDLTTHAGAIQQRVLREILAMNAGTDYIRGFLGADAERRHADELAAAFKERVPVVEYEDVKPYIERIANGAPSSLISSKTITELLTRYILLLRHIRRAAKLMPSTEEELDRKTFLYNLLVPVMNKYVEGLDKGRCMYLLFVKPEITTPSGLVARPVLTSYYKSRHFRERPDSPYTRYTSPNEAILCPDSAQSMYAQLLCGLARRGEVLRVGAVFASAFLRAVKFLEAHWRALCDDIRAGRVDAARVTDAACRDAVARYIPLLEFYGGGLPLVSTMYASSECYFGINLRPLDRPEDVAYTLLPNMCYYEFIKVENDGEEARDGKVVDLVDVEVGGYYELLVTTFTATVHRSSNSRCTGVDCEVCTGTRVGDILQVSGFHNAAPQFRFVHRRNVVLSVDTDKTSEDDLLRAVTAAKRLLAPLGGATILSEYTAYADTASIPGHY >Sspon.06G0004830-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:15362203:15366249:1 gene:Sspon.06G0004830-1A transcript:Sspon.06G0004830-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASASSAEGAHETNPEKVKLVTVLSIDGGGVRGIIPATILAFLEEKLQELDGPDARIADYFDVVAGTSTGGLLTAMLTAPGQNGRPLFDAKDLAQFYIDHSPKIFPQKNWILSKIASTLRMVRGPKYDGKYLHGLLRQYLGDMRLDRALTNVVIPTFDIAFLQPTIFSSFELKHRPSKNALLADITISTSAAPTFFPAHYFETKDEDGKTRAFNLVDGGLAANNPTLCAMSQVSQDIILGDDCFFPVKPVDYGKFMVISVGCGSNRNQRYSAKAAAKWCIFNWLIKDGTAPIIDMFNSASADMVDIHLCVLFRALRSSENYLRIQYDQLTGSAGSIDDCSKENMDKLVQIGKDLLGQNVSRVDLETGKNVEVPGAGTNAEQLAKFAKQLSDERRRRQKLS >Sspon.03G0009200-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:39268890:39278485:1 gene:Sspon.03G0009200-2C transcript:Sspon.03G0009200-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein CONSERVED ONLY IN THE GREEN LINEAGE 160, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G31040) UniProtKB/Swiss-Prot;Acc:O82279] MSLLAVASSTRAAAVRPLCASAASGEAAPAPAATEAAGRRPVKVILPKKKPQKWSTGMAPGEYGGGPATVKPRKYWWGKEDRDPVGNTDDFIWNKDFLPYMERVIGNGGADAEPTIARLAPVDEEESGFLSINRAMSLDSVDVDLSQELLAPNRPILQTQVEAAWRGRAIGAEAVNGASTPRWRLVPTRREQAKWDRAAKAATGGSDVILRESKSRVQQGDPKVLAARSRENYLKLKQRLQWLTLGIGGIGVISAYISYSPEVAASFGAGLIGSLVYLRMLGTSVDSLAGGTGETIKSAAAQPRLLIPVVLVMMYNRWNGILVPDYGFMQLELIPMLVGFFTYKIAMFAQAIQDSIPAVGNREEESDLVVQGDVTTFIPYCTQIINV >Sspon.04G0029430-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:72162953:72170182:1 gene:Sspon.04G0029430-1B transcript:Sspon.04G0029430-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPALGFSRSGLLNRRIDHPANQVLRLHLAFSSSASPSPSDPSAAAGGPQTTIREGKAEIFLDESNSVFYNKAQHRSQVVEEQSVYFNCFRLGSNTEILQNMIAGTKDATLSEQAKYKEPKVLEALAASGLRAIRYAVEVDGIGEVTAVDPSFYFHHHWYKVDLDPYGSPAAFLDSAVQCVVDGGTLMCSATDMAVLAGGNAEVCFSKYGSYPLRGKHCHEMALRILLACIESHAIRHKRYISHAIRHKRYIVPIILVHMDFYIRVFVRIFTSASTVKSSPLKLAHVYQCTGCNSFHLQNIGRINSKDERNIAVPNFCPTVPEVCSECGHKFVMGGPIWSDPIHDKKWATSILSDIHAIREAYPAYPKISAILTSVSEELLEAPLFVSFHNLCAILKCTNPTMVMLQSAIRNAGYQVSGSHVDPLALKTDAPMSVIWDIMRCWVKLHPVKHRPGNHPGNVILSQEPKLQAKFSKVPQASVTRKRPRFMPNPEKYWGPGTKAGRHPKTFQMNNRD >Sspon.02G0033570-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:8778797:8779285:-1 gene:Sspon.02G0033570-2C transcript:Sspon.02G0033570-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLEVLTARDGLLPPSACRYQRLRGGELVSGGGTSPAPGGSVRARRPLRLRRRACKAAGRWGATSTTASGGKRLRLRLRLVRLLLLLPARRVAALVAELVRRLAAAVAAAEAAADCPAIVFSSQWGLPVLSHSASTASSRSSRLRAFYLDRSLSGTGGSPC >Sspon.03G0010110-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3C:42035614:42037003:1 gene:Sspon.03G0010110-2C transcript:Sspon.03G0010110-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKLHWVVRFLFGPVPVYFSALAILIVLTNAQYFGLVGVGVAPRAAKLASSTPVVSVMKYCDIFRGEWVPDAEAPYYNHKTCHMIQEHQNCLKYGRPDLGFLKWRWRPSGCELPRFDPLQFLQFVRGKSLAFVGDSLARNHMQSLLCLLSQVAYPKDLSANPSDQNKVYHYRAYNFTISMFWSPFLVRAREPDHDGPAHTGHWSLYLDEADDKWVSQISRFDYVLVSAANWFSRPSLFYEKRRLIGCSFCSRQYGVPDLTLYYSQRRAWLVALRAINDLEHLRGRVIVRMLSPMSHFENGTWDHGGDCKRTQPLRANQTTMEGRDLHFYTAQMEEFRAAEKAASDKGRRLMLMDATAAMLMRPDGHPSRYGHWPNEKVQLYNDCIHWCLPGPIDIWNDMLFQMLLA >Sspon.01G0044970-2D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1D:79915954:79917051:-1 gene:Sspon.01G0044970-2D transcript:Sspon.01G0044970-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASATAVTTGDDAAGTRKGGTGGATAGGGTAPPAATTQTQTQQQPPPPPPEQGLRCPRCDSPNTKFCYYNNYSLSQPRHFCKTCRRYWTKGGALRNVPVGGGCRKNKRSRSAAAVAAAAAAASSRLSLNLPPEAAAADQQAARLGFLGAVAAGAHPAVASSPIGGGGGAPAVDYHQQAGMMALPRLHAPGAVVGQYVPFGEWPSGDVSSGGGAVNGGAAVSMSSSIASSIESLSFINQDLHWKLQQQRLATMFLGTPTTSSGAAAAGSAAVPHVVGGAFLQMAAGPPPGMVEATMPSAAATSWFMDSSACYVLPSPTTHHANTAAAAVANSNCNVVNSSGGRSSGGGDDDNATSNNCGSAIPSWGD >Sspon.06G0014640-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:84895280:84898756:1 gene:Sspon.06G0014640-3C transcript:Sspon.06G0014640-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMPLLALVRSFSSRPLALVLVLSRPRCAVRVLATGLPPRGQDQAAPRAAGGELRPVLRLRRRGRRGGKRPCSTTSWRPTSTRPPSLSSSGSMAVRPGCSSLGVGAFSENGPFRPSGQVLVKNEYSWNKEANVIYLETPAGVGYSYSADAAYYQGVDDKMTAMDNMVFLQRWLQKFPQYKGRDLYIAGESYAGHYIPQLAEAMVEFNKKDRIFNLRGVALGNPVLEFTTDFNSRAEYFWSHGLISDATYRVFTSVCNYSRYVTEYYGGSLSPLCARVMNQVTRETSRFVDKYDVTLDVCLSSVLSQSKILSPHEQVGQRIDVCVEDETVRYLNRRDVQAALHARLVGVDKWAVCSSVLEYELLNLQIPTINIVGSLVKSGIRVLVYSRSGDQDSVIPLTGSRTLVQNLAHDMGLKTTTPYRVWFEGMQVGGWTQVYGGGALSFATIRGASHEAPFSQPGRSLVLFRAFLQGQPLPETF >Sspon.01G0008600-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:28951890:28954245:-1 gene:Sspon.01G0008600-2B transcript:Sspon.01G0008600-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSEKEAALAALPNDSPTIFDKIIKKEIPSTVVYEDEKVLAFRDVNPQAPTHILIIPKVKDGLTGLSKAEERHVEILGYLLYVAKVVAKQEGLEDGYRVVINDGPSGCQSVYHIHVHLLGGRQMNWPPG >Sspon.04G0008810-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:22576747:22579075:1 gene:Sspon.04G0008810-2B transcript:Sspon.04G0008810-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding QHGSVVHWESPRIATSEALRGSKPNRNSRPFLFTFPEKQPGDRPRQARVPCFLLLLRSYLHRPPGAHKNTMKAREPKKARGRVKWDEDNLNDIESNKPEREKITEPKTPYHPMIDEDEGPVSPLRLSEDSVDQSAHADAIKTALAEAVSSGRIFDRNSWDMCDSEEAIKQGKAFEEHRKVHYDEYHKMKELLQKGTMNDDADEDESEVGNRKA >Sspon.08G0001210-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:1106384:1108892:-1 gene:Sspon.08G0001210-2B transcript:Sspon.08G0001210-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPERTRMEELWEREVGGLPPKRFANSVMASKEYVQSLNIQKRLRKHRGCVNTISFSADGRLLLSGSDDRNLVLWDWQEAAPALSFHTGHSNNVYHALFMPVSGDRSIVSCAADGEVIHSQIQEGGRVITDKLVELEFAVHRLAVEPASPHTFYCCCQDSSVWLILRLLTLFSPQFDLRGENAMELFKCRAPDYYAAENIALYAIALDPRKPCCFAVAGSDQYVRIYDTRKIFVDGNSSSSRPTEHFCPPHLIGQIEEEITGLAYSQTSELLASYSHDDIYLFSREHGLHFNNVEVNKQLLKDAIEPSFSFGDKLPIPKTFKGHENVETMKGVNFLGPNCDFVTSGSDCGNICIWRKKDAELIRAMRGDKRIVNCVEQHPCGIVLASSGIDKDIKIWEPGEGENLSITEAHEDDEDIWISSDYDSDGFIINDGFGYVMDLDPIHLYENGDHESEDDEDTSSEEHDDGDNSAEEDFDGGNSAGDEGDD >Sspon.01G0020240-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:74850320:74854404:-1 gene:Sspon.01G0020240-1A transcript:Sspon.01G0020240-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGQWVEEGMPPSNLQRFLDCTTPTVETHILPKMNGRLPTDAWHHAEMDSVEYFNLADLWEQYYEWSAYGAGATVQLPGGERVVQYYVPYLSGMQLYTNKVLTASRSFGEDNGMDFWSDDEDNEKMSRSWSSTSDESLFNCDVLWSNRKRPGHLYFEFFEVGSPYGRVPLIDKVYELSQGFPGLTSLKSADLSPVSWMSVAWYPIYHIPYQRSVKDLSACFLTYHTISSSFQDHGLETMTNDCCHPVANGKQNGHMDKKSNTVSLPPFGLAAHKIQGSLWTNPVTGDHRKMDVLFGAADSWLKQLGVQHHDFNFFITHPMEV >Sspon.07G0025920-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7D:41374789:41379008:1 gene:Sspon.07G0025920-2D transcript:Sspon.07G0025920-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRACPRGASRWSTLPPRRPTGGPRRRSPPGRRRERMAAAPSSVGGRGAVAVQNGAVARRYDPGPGSSGAGRGKPPNARPLQITAVATAATGSSSTSKTKSPPLAGDSAEHERLAPVLAMPTTQGKVAAAANGRVRMRAPKDDIGGSSSKPAPSRKPRQRAASKQRTAKDAVGAGV >Sspon.04G0021270-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4B:74717113:74717647:1 gene:Sspon.04G0021270-2B transcript:Sspon.04G0021270-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DKSPNSWDIYDPATDSWCAHKNPMLTPDIVKFVALDEELVTIHRAAWNRMYFAGIYDPLDRTWRGTENEIALCCSSPTVVVDGTLYMLEQSMGTKLMRWQKDTKEWATLGRLSDRVTRPPCALVAIGRKIHVIGRGLSIVTVDVDTAARVDGFLVTTSVGPLVEEDLTPERCMVITI >Sspon.02G0035360-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:19489859:19491675:1 gene:Sspon.02G0035360-1T transcript:Sspon.02G0035360-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLLSVLIATVAFAAAFTVPGGFVADDRPSAGTAILARRFVVSDTMAFLCSIVATSFLIYGGARENPLSHRIWYKLLASRFMPIAVRCMIAAFAFGFHLVLGDAANRGLIVFVYVASLAPVLFCFPDVWIPLQLGLAKTVWRRAGWRGLVNIHRRPSSLIPLAQLFMASFLFQYLGGTLLVLLIAAAFAVAIALSIYLPNY >Sspon.08G0005450-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:13700191:13704254:-1 gene:Sspon.08G0005450-3D transcript:Sspon.08G0005450-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPVERVGGVAPTVLMAGGGGARPSLWRTPTPYLFLGFAVMMGLIVVALVVLVCTRRMASSSSSSSSADDAVRVLVVVIMAGEALPSFIAVASAKPLAFAAPAGAEAAGGREPARCDARGANFGAQPPPPPPPAAAGSRRLRHAGGEGGGAGGHASAMPKEREERGRGCAAAQIRAPRTAAAALRLAAAGAWPGSAAAEKGWHRERVPLGDRRRRGRGDRIERGRERCARWAEGEGESAHRSQLRERERGVPTGLRTNWRRLLMRVCGLHDGVGVLGPGASTSTDVPPARRPGFEPRVARSRKVSDNTGQINLIVYVVVVATAMTRLSYRAAVPRNGATHECDYLAPYDFAVKRHRLQPLTGWPAPVPWVKPEELLCTKGSRLMLGTSHHTNMPRIILEDDLQGEGRGLTLTR >Sspon.01G0025570-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:90200346:90205294:1 gene:Sspon.01G0025570-3C transcript:Sspon.01G0025570-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAISMYRGSLHIGGHDSGAPAPRRWEAPRPALDAKRFRRLLRNRSRAVARLAGTPPRQVSQSSSDLNGGRGTADSEREAPDEEEADDGGEEEQGQLQQDQEQEHQQHQEEQGNGEAEQQQQEEEEEQEEGAVEDADMEDAGEVVVEGEGNGDAEEGQGESEGVDPNLEEVSYPDGIDEKKRKLNEKLDILNKKKHGLVQMLKQVLNAEEEIQRRSMQASLRAAMPQPSENATDGSSVSRLAPRMTVDVNFGDVAGDSDAGSNQGTPGRPLHHFHSISPSTASFARSPFGSLQGHTPRSPATFSTASPSRFATNIHQGQPPALYSASLPGSNYVASSPSPAASGGSSSVFRDPRPPNST >Sspon.06G0017560-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:77623700:77624584:-1 gene:Sspon.06G0017560-3D transcript:Sspon.06G0017560-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding QTTSRRLADRKTAKFQKNITRRGSVPETTVKKGNDYPVGPVVLGFFVFVVIGSYNQDGNQRRDGLRAAPHLIPSL >Sspon.03G0030130-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:27423058:27427459:1 gene:Sspon.03G0030130-2C transcript:Sspon.03G0030130-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AMYRVKSEGEGEGDCEMMLQDQMDSPVADDVSSGGGSPHPHRGAGPPLKKGPWTSAEDAILVDYVKKHGEGNWNAVQKNTGLFRCGKSCRLRWANHLRPNLKKGAFTPEEERLIIQLHAKMGNKWARMAAQLPGRTDNEIKNYWNTRIKRCQRASLPIYPASVCNQSSNEDQQVSGDFNGGENISNDLLSGNSLYLPDFTSDNFIANPEALSYAPQLSAVSISNLLGQSFASKNCSFMDQVDQAGMLKQSSCVLPALSDAIDGVLSSVDQFSNDSEKLKQALGFDYLNEANASSKSIAPFGVALTGSHAFLNGNFSASRPTNGPLKMELPSLQDTESDPNSWLKYTVAPAMQPTELVEPYLQSPSAIPSVKSECASPRNSGLLEELLHEAQALRSGKNQQPSVRSSSSSAGTPCETTTVVSPEFDMGQEYWEEQPGSFLSEYAHFSGNSFTESTPPVSAASPDFFQFSKISPAQSPSMGSGEQALEPKHESAASPHPENLRPDALFSGNNTADASIFNNAIAMLLGNGINAEYKPVLGDGQDSQK >Sspon.03G0029780-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:24466777:24472294:1 gene:Sspon.03G0029780-1P transcript:Sspon.03G0029780-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLAGRRKSSLAGAGAGAGADAFGAKHAVTSLRKGGRLPVYVASVFFVLCVIVMYGEDIRSLTIEPLTRVPALTVPATTGGGGGGGGGRQVVVPRRDISASEKPAAALHHSDQEKPKHAATTTTEPAPVVVEKPPQKEVGTTKSQKKSSKKKAKKSRRQRAAKKTVVPPALGVPETCDLSKGRWVFDNTSYPLYREEECQFLTSQVTCMKNGRRDDTYQKWRWQPKDCSMPRFDAKLFMERLRGKRFMFVGDSLNRNQWESMVCLVQSAVSPGKKYVSWEGQRVVFHAWEFNATVEFYWAPFLVESNSDDPKIHSIQHRIIKADTIGAHAENWRGVDYLVFNTYIWWMNTLDMKVMRPGAKSWEEHDEVVRIEAYRKVLTTWANWVNDNIDPARTSVFFMSMSPLHISPQVWGNPDGIRCAKETMPLLEWHGPLWLGMDWDMFHQAKNVSRRASPRVPITFVDITTMSERRKDGHTSVHTIRQGKVLGPEEQADPGTYADCIHWCLPGVPDIWNLVLYTRILSRPALQLDS >Sspon.04G0010390-3P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:26362455:26367039:-1 gene:Sspon.04G0010390-3P transcript:Sspon.04G0010390-3P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAERLAASAATLRGSAAAATPSVRRAARASRAFFPPSPASSARVRVGLRAAPSPLPQKARAVRCAAVAAASDVAQVKAAREDIRELLRTTHCHPILVRLGWHDAGTYDKNIEEWPQRGGANGSLRFDVELKHGANAGLINALKLIQPIKDKYPSITYADLFQLASATAIEEAGGPKIPMKYGRVDVTGPEQCPPEGKLPDAGPSSPADHLREVFYRMGLDDKEIVALSGAHTLGRSRPERSGWGKPETKYTKNGPGAPGGQSWTVEWLRFDNSYFKDIKEKRDQDLLVLPTDAALFEDPQFKVYAEKYAEDQDAFFRDYAEAHAKLSNLGAKFQPPQGFSLDD >Sspon.08G0011790-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8B:47260581:47261309:1 gene:Sspon.08G0011790-2B transcript:Sspon.08G0011790-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRNKVLRTDDVPEAQQLPVFSYVHLDHDATEQDRFFFCDDDQRVLRNIPWLVMRTDGYIVPGLFLVMGFQEELGRLFPEPDTAFHHVGRYLFHPNNHVWGLVTRYYDAYLATAQQRVGIQVRVFGTQPNSPELLEQITKCTQKERLLPELLTTAAAEPQVPEPTRKTKAVLVTSLKSWYYEKLKGMYWEHAAATGGEAVSVHQPSHEEFQRFGAKSHDAKAWAEIYLLSLTDALVTTAWSTF >Sspon.07G0024290-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:23188139:23188526:-1 gene:Sspon.07G0024290-1B transcript:Sspon.07G0024290-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding KLVKVVSPDLLLPRVDPDWSARERADTGHVTRPSTEQRRPPHSPVAPPGHAPTPPILPGRVADSPPVTIQPLQIRHGARLLAELFPAAHPQAVSWDRLLA >Sspon.03G0015090-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:71914473:71937518:1 gene:Sspon.03G0015090-2B transcript:Sspon.03G0015090-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Albino or Glassy Yellow 1 [Source:Projected from Arabidopsis thaliana (AT4G01800) UniProtKB/TrEMBL;Acc:F4JG57] MDFTSDYTVTEAFAVVREASKRVLGLRPFDVQLIGGMVLHKGEIAEMKTGEGKTLVAILPAYLNALSGKGVHVVTVNDYLARRDCEWVGQVPRFLGLQVGLIQQNMTPEQRRENYSCDITYTVDELVLRNFNYCVIDEVDSILIDEARTPLIISGLAEKPSDRYYKAAKIADAFERDIHYTVDEKQRNVLLTEEGYADAEEILDINDLYDPREQWASYVLNAIKAKELFLKDVNYIVRSKEVLIVDEFTGRVMPGRRWSDGLHQAIEAKEGFPKLCGMTGTAATETQEFESIYKLKVTVVPTNKPMIRKDESDVVFRATNGKWRAVLVEISRMNKVGRPVLVGTTSVEQSESLSEQLREAGIPHEVLNAKPENVEREAEIVAQSGRLGAVTIATNMAGRGTDIILGGNAEFMARLKLREILTPRVVNPIDGVIVSKKQMPPRKTWKTNESLFPCELSKETLSSVKDTVEMAVKEWGEKSLTQLEAEERLSYSCEKGPTRDEVIANLRNAFMKIADEYKVYTEEEKKKVITAGGLHVVGTERHESRRIDNQLRGRSGRQGDPGSSRFFLSLEDNIFRIFGGDRIQGLMQAFRVEDLPIESKMLTRALDEAQRKVENYFFDIRKQLFEYDEVLNSQRDRVYAERRRALASDSLESLIVEYAELTMDDILEANIGPDTPKENWDLSKLIAKLQQYCYLLDDLTPELLEGKSSSYEDLREYLRKRGREAYFQKTEIVEKQAPGLMKEAERFLILSNIDRLWKEHLQALKFVQQAFKPVVKNQEEEASQKKVSKKKLDKGANKLGAAQAAS >Sspon.01G0046550-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:98421826:98423884:-1 gene:Sspon.01G0046550-1B transcript:Sspon.01G0046550-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSMIADEKPQPQLLSKKAACNSHGQDSSYFLGWEEYEKNPYDPVANPGGIIQMGLAENQLSFDLLEAWLEANPDALGLRRGGASVFRELALFQDYHGMPAFKNQRGYRVTFDPSNIVLTAGATSANEALMFCLADHGDAFLIPTPYYPGFDRDLKWRTGAEIVPVDCTSGNGFRVTRAALDDAYRRAQKQRLRVKGVLITNPSNPLGTTSPRADLEMLVDFVAAKGIHLVSDEIYSGTAFAEPGFVSVLEVVAATDADGLLSERVHVVYSLSKDLGLPGFRVGAIYSSNAGVVSAATKMSSFGLVSSQTQHLLASLLGDRDFTRRYIAENTRRIKARRDQLAEGLAAIRGIECLGSNAGLFCWVNMRGLMRTPSFEGEMELWKKVVFEVGLNISPGSSCHCREPGWFRVCFANMSAKTLDVALQRLAAFAEATVAVEGRGRGRGPAARRALRPSRSMSLPIGFSWANRLTPASAADRKAER >Sspon.01G0035160-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:8806006:8806239:-1 gene:Sspon.01G0035160-2P transcript:Sspon.01G0035160-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding EGGSGGRSADAMLRRDPRGEAVAACCGRGGRRVAAGAGRRDNNGDGGARQGQRAAGRRRRRQLQLEEFREPRLPDLTI >Sspon.01G0017740-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:65698414:65708942:-1 gene:Sspon.01G0017740-1A transcript:Sspon.01G0017740-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEIAITVAAANGTLGTCSGVSPTAPGGRRAAVLGRWRPLAPANLRLSSPAVRVPRATSTAAVEMNALKNLGLNVVKASVCLDSTGKHNKFSITKASPAVNWLWEQLLGQKPLQKRLSSPAVRVPRATSAAAVEMNALKNLGLNVVKASVCLDSTGKHNKFSITKASTGRKIDDPELLEAIRLTIINNMIVYHPESSSQLAMGATFGPEAPTEEVDVDIATHIDIYDGPKEAYLWWKQQIVQGC >Sspon.01G0023240-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:84091001:84094449:1 gene:Sspon.01G0023240-1A transcript:Sspon.01G0023240-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADKENSAAAAPAVAAAPPRLTRAAAKRAAAVTAVAVAAKRKRVALTQLPTHPNAVHDDGDDKPVRKQQHLLPAVQPKPKAAPAPAAAVAAASDDEEDPQLCKPYASDIYSYLRSMESQAKRRPATDYIAAVQFDVSPNMRAILVDWLVEVAEEYKLVSDTLYLTVSYIDRFLSANALNRQRLQLLGVSAMLVASKYEEISPPNVEDFCYITDNTYTKQEIVKMESDILNVLKFEVGNPTPKTFLRMFIRSAQEDNNKYPSLQLEFLGNYLCELSLLDYSLLRFLPSLVAASVVFVARLTLDPHTHPWSKKMQTLTGYKPSELKDCVAAIHHMQLNRKYSSMMAIREKYKQHKCPNCGINLLKQFKAVSALLPPFEIPASYFIKKLKDLLQYKLNS >Sspon.01G0010450-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:31615689:31617643:1 gene:Sspon.01G0010450-1T transcript:Sspon.01G0010450-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHDAGDGGGELPPPAKKKSPAEEEAEKRRKKLTPGSLMKGIIRSGSGDATPAEGDQVILHCTTRTMDGIIVNSTRREHGGKGIPLRFVLGKSKMILGFAEGFPTMLKGEIAMFKMQPKIHYAEDDCPVAAPDGFPKDDELQFEIEMLDFFKAKV >Sspon.03G0003480-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:9088793:9092235:-1 gene:Sspon.03G0003480-1A transcript:Sspon.03G0003480-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMASNRARVLPMLLAVAVLLLEQIALSSEDFPLGGQATVQLPPAPCQPGFAARAVVLDAQGHRQPAFVAAVTVSAAEAGAGRCTCSLVVLLGSVKVWASDHLEKFVPDALCRLELTEDGQLRLTDGAGKVGWLSGTAGQGVKALHLDRKTGNLVLLDAQNCTRWQSLDDPTDTFLRGQQRRLPVYLIAPTTKVASSSVFYSFELDGDKIAAYVNFGETRYSYWELAPPADRTIASARLNGSGLRLLGLQGLTVAQITPPVKKPPVSFLALGDDGNLVMYYYDTQHQKFRASYKALGFCELPLSCSVHEVCSSAGKCKDFAEYADLPPARAGNASSNPCEGAGDKACMVHLRGVTTVVRTASPLTNVTLRECVVQCARKLSCNAALYVKDDAGVVAAAEHGVCSHYTLTAGAREVTDGSRRYSYWVKFPAAAGGGDEDEDDDSSPGKLSTSTILMICGAIDVVCALVFVVLIALYFRRLRKLAAAVDRVVELQEGEPEGTGEENDTDNN >Sspon.07G0032170-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7C:46534629:46535983:-1 gene:Sspon.07G0032170-1C transcript:Sspon.07G0032170-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MELYSSCYIVSLVLCLSQVCCCVEGVPLYLYRARGGIGSYIWREACTPLPL >Sspon.02G0027710-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2A:100568310:100568516:1 gene:Sspon.02G0027710-1A transcript:Sspon.02G0027710-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEQAFMAASSACVLLLCLALWLLNGAEHRRRRGEEGTTTRRGVSYGQTPSHWERFSTMPIWRGTTI >Sspon.06G0003830-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:11758628:11764375:-1 gene:Sspon.06G0003830-1A transcript:Sspon.06G0003830-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAGEEMAAEAKEERIMVSVRLRPLNGREAGDSSDWECISPTTIMFRSTVPERAMFPTAYTYDRVFGPSCSTRQVYEEGAKEVALSVVSGINSSIFAYGQTSSGKTYTMTGITEYSMLDIYDYIDKHPEREFILKFSAIEIYNEAVRDLLSHDPTPLRLLDDPEKGTTVERLTEETLRDYGHLRDLLAVCEAQRHIGETALNETSSRSHQILRLTIESSARQFLGRGNSSTLVACVNFVDLAGSERASQTASAGMRLKEGSHINRSLLTLGKVVRLLSKGRNGHIPYRDSKLTRILQSSLGGNARTAIICTMSPAHTHMEQSRNTLLFATCAKEVVTNAQVNVVMSDKALVKHLQRELARLENELKFPGSTVCTTHTEALREKDAQIKKLEKQLKELMEERDTVQSQLNCLLKGDGDDHGNEHTAKRWDEHSRSSDSLARNVSEEALSVADAYGVAHHYQDYASFNGSYVYSSDHNDSAFLSETRELPRQTWDQKVISPWHPPSNHGSDGIEPYHIKESPSRTTSEVSEEHCREVQCIEIHEHVRSRSQEFNQLLPEDTKSQTPDVEVISKDAVPQPDEQQGLKSVTKKIEDHVRSYSSKDEQQAENIRKIEEDSVKTYQCESDRITENVVKLYTCDANHSFDIGKTPHECLSLKRCIMSSKDRALVRSKSCRATFMVIPNSWFDDFENTSRTPPDEMFRYAPRRLDKVRRSLYDDNGDCQNEDFKTSPLIPEKNDYQNEDCLLDCSTVSCEVASDEVFNDMSTSDEVAKEMSTSDEEQETPVNDISCVTEAKENTEDCHEDLLEELQAHIIMQADRDEKTSTKTVRDVGVDSALSPFESPSHPTVDFEKKQQQIIELWHECNVSIVHRTYFFLLFKGDPADNIYMEVEHRRLSFIKSSFSAQPAAEGELNPAIASSLKNLRRERDMLYKQMLKKLSNGEKESIYGKWGIDLSTKQRRLQLSRLIWTQTDMEHVRESTSLVARLIDLEPGQALKEMFGMNFTLVPRADRRSFGLVGSYSMK >Sspon.01G0008900-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:27933274:27934405:-1 gene:Sspon.01G0008900-2B transcript:Sspon.01G0008900-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ADSNEPEIIEWGNAENPPAIVFHKDSPKGFKRLLKFARKNKGDNNANGWASPSVVSEGEDELEEPRGTSDSANSSRRTFDGSKTNSILSAQSTTGSFNSMNSDKLRERPGAATSTKASRSFFSLSNFRSSRSNESKLR >Sspon.01G0042990-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:70205549:70207167:-1 gene:Sspon.01G0042990-1B transcript:Sspon.01G0042990-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPRSKEVIVGSETTFADSLVAADSADVVVSDEVVLSMNVVADSLVAPDSADMVVFDDVVPYSMNVVVDSVLEVPDSIEDGEHAGLEVQDSGEEVPDSVEEVPDVDAVVHCPRCGTFHTGGVFGEACYEARRNARRCARCGLVPDSVEEVPDVDAVVHCPRCGTFHAGGVFREACYEARRNARSSGLVHEEYDLSTKWLHLMDKFDCEFYISDVDKLVMRGSTIYLTDEVMKKLEEHIKKEQAKSSKVL >Sspon.01G0051720-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:17848508:17852909:-1 gene:Sspon.01G0051720-1C transcript:Sspon.01G0051720-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAKVKSAALSVTHKCRNILAAGWEAHLNTVKADAKGSKDEIYTSRVHYMIQKGTPYLIVPENDMHNINIIIDERGSLAVCSPIRGRVASLLKSLKKTPPRVAMTGDVLRLKDSKVPIIADSLKKAILKEHEAASGASYGVSAILSSAGATCRSRSEGLLSLLSEESSYSILKFDIGSCVYIDSNGSSHNIELDNFEPPKADLLMPFSAKLVDGINRNDSRRRALMIFCFEYFNVIARNAVMLSIDHHGFDVLAKVPERAVLTDVPRQYHWKEFRFTFKEPAKDAEDFCRMLVGLEEEVLQSVKSYSGLGPLARFTFKEPAKDAEDFCCMLVEVLQSVKSYSVDFFDLGASTAATSRRSILIHATGHVRTSGALVHLRDDGVADALQLLQLVLKLVDLGELVVVEPINGPLDGVINLLLVGLRELGGDLVVPDGVAHVVGVVLERVLGVHLLLVLLVLGLVLLCLLHHLLDLLFAQPALVVGDRDLVLLAGGLVLRRHIEDAVGIHVEAHGDLGDAPGRRRDARELELAEQVVVFGPGPLTLVHLDEHAGLVVGVSGEDLLLLGRDGGVPGDEHRHDASGRLQAERERRDVEQEQVLHLLVALAGEDGGLDGGAVRDSLVWVDALAQLLAVEEVLKQLLHAGDAGGAAHEHDVVHAALVHLGVAEALLHGLHALAEEVHVELLEPGAGDGGVEVDALVERIDLDGGLGGGRERPLGPLAGGPQPPERPGVAGDVLLVLPLELLHEVVHEPVVEVLASQVGVASSGLDLEDALLDGEERDVEGTAAEVEDEDVLLAVTARLLVEPVGDGRGRGLVDDAHDVEAGDDAGVLGGLALGVVE >Sspon.03G0002790-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3B:16255794:16257955:1 gene:Sspon.03G0002790-2B transcript:Sspon.03G0002790-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGLSSARARPYQLPVTASSRRSLAGHGDAGTILQLQ >Sspon.04G0035470-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:11075582:11081626:-1 gene:Sspon.04G0035470-1D transcript:Sspon.04G0035470-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPSTPSISSLFTPFVIGAAPNCFQPLVLENPQEDFDKAATLVKAPAKIAMDNTDATLRSILTEHKEAAKIYTSLLKEGNTKLSFRNSKVMFPNPFMLKPQAYSASPDNS >Sspon.02G0023520-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:80171447:80172357:-1 gene:Sspon.02G0023520-1A transcript:Sspon.02G0023520-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGAPVRYSVQASATRPSMAKRPFQSSALALMTAPLLASALSPFSSGTSDATDSTAAVAANQASPEPLPACERRPPPRDASTASADTKPTMASRPLMRSGAGPLNASASHSPGLVVSTSPLCALTTKARRRGASADDAGKAPARRAAAVAKATELMEAITVAAIGVRCCGDRGVGSRMQARVYMRATWSEAASRANWVDGVAIDVDGQAAAQGGMGEVAGRLPAPVAEK >Sspon.03G0012860-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:45744852:45747105:-1 gene:Sspon.03G0012860-2B transcript:Sspon.03G0012860-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heat stress transcription factor A-2 [Source:Projected from Arabidopsis thaliana (AT2G26150) UniProtKB/Swiss-Prot;Acc:O80982] MASSSSCPLAPGPSGSNSKPRTARASGVGFGGVAMARSVAAVKLEPKPEPWAAGAEEGSSVVPRSMEGPPLPAPFVAKTYEMVADAATDAVVSWAPGGAGNSFVVWDPQALAAGILPRFFKHANFASFIRQLNIYVRTGFRKVNPDRWEFANESFLAGQKHLLKNIKRRRASKPPMETQPRNCASACLGPPKDPSEVESLKRDRAALRAEVITLRQQYSICKSQLVALEERILNNERNQQRAIAFFAKVLSNPAFVQQ >Sspon.01G0001440-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:3993673:3994685:-1 gene:Sspon.01G0001440-3C transcript:Sspon.01G0001440-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTVGQSKLIRTMEARRNRPEWFGSRKQPSLTPTPKSQSPMAAPPRQPPELVGDAIAEILLRVPPKEPAHLVRASLVCKPWRRVLTDPAFLRRYRRFHGAPPLLGFFNNIHSAKHVPRFVPTTATASPFSSSAAFDCRPLDCRHGRVLFEQTDDTDEFLVWYPITGAREEVPEPNIRCWSAAVLCATAGCDHSSCHGGGPFLVVCVGFKGDWHAYASVYSSQAHAWGASVHLDVGVLDYCRGHLDLSRPVIVGDGIYLVLELNARIAILKYDLGRHHLSIFALLPASHIGNLLFAWARQHKGFQTLLAMQLRDG >Sspon.04G0018470-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:3569473:3572248:1 gene:Sspon.04G0018470-2B transcript:Sspon.04G0018470-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGMAPEGSQFDAKHFDSKMQELLSTGETEEFFTSYDEVFESFDDMGLQENLLRGIYAYGFEKPSAIQQRGIVPFCKGLDVIQQAQSGTGKTATFCSGILQQLDYGLVECQALVLAPTRELAQQIEKVMRALGDYLGVKVHACVGGTSVREDQRILASGVHVVVGTPGRVFDMLRRQSLRPDNIKMFVLDEADEMLSRGFKDQIYDIFQLLPAKIQVGVFSATMPPEALEITRKFMNKPVRILVKRDELTLEGIKQFYVNVEKEDWKLDTLCDLYETLAITQSVIFVNTRRKVDWLTDKMRSRDHTVSATHGDMDQNTRDIIMREFRSGSSRVLITTDLLARGIDVQQVSLVINYDLPTQPENYLHRIGRSGRFGRKGVAINFVTRDDERMLFDIQRFYNVVIEELPANVADL >Sspon.05G0033230-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:27842105:27843506:1 gene:Sspon.05G0033230-1C transcript:Sspon.05G0033230-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPVNGFRVLIIDDNEDDANHTRDMLHQLNFHVDMEEIPGFEFLDMATEMYENIQMISPRANTHAEQSNATNSSTDAEYTDNNISEVQSSIGSNSVDMGLVDYPDSEDGETVDKGPKGDQDTEVGTSPKGDQDSE >Sspon.08G0002310-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8B:3785598:3786297:1 gene:Sspon.08G0002310-2B transcript:Sspon.08G0002310-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEKLRCAHYDHFLKPTRKNGTTLLVLRSVLRNPEASAAWVRLHQRTVVGSTRGAQFTVAWWMRERKSVPKIARAGFDSLFFRINWSIWKERSAKSFGKPGTPPMSLEHLRQLLKTGLAIPISEPRQRSGTTSGLEPRGGASRSEEDRHQCCCSLPARGGGAAAAGEAQATSAAPVVTRKVNRALRSLAGLFVRLAGLFVR >Sspon.02G0011650-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:31137128:31139229:1 gene:Sspon.02G0011650-1A transcript:Sspon.02G0011650-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lysine-specific demethylase JMJ30 [Source:Projected from Arabidopsis thaliana (AT3G20810) UniProtKB/Swiss-Prot;Acc:Q8RWR1] STYEVVSCQVGKNYVCSEWKQELVTFSQFLDRMWSTVCPSKLTYLAQHPLFEQIKELSEDIIVPEYCYAGGGELQSLNAWFGPQGTVTPLHHDPHHNILAQVLGRKYIRLYPAFISEDLYPHTETMLCNTSQVDLDNIDLKEFPRAENLEFMDCILEEGDLLYIPPKWWHYVRSLSTSFSVSFWWRAAVQPSGGS >Sspon.06G0019340-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:895645:898469:-1 gene:Sspon.06G0019340-2C transcript:Sspon.06G0019340-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSWCSKENTPGGRQPHDHNHNQNHNSSAASKGGKNRYANFGDDYQTLEQVTNALAHAGLESSNLIVGIDFTKSNEWTGKVSFNNRSLHAMGNTPNPYEQAISIIGRTLARFDEDNLIPCFGFGDTTTHDQSVFSFYRDNQPCDGFEQALARYRELVPQLNLAGPTSFAPIIETAIGIVDSSGGQYHVLLIIADGQVTRSVETGNGQLSRQERETIDAIVKASDYPLSIVLVGVGDGPWDMMRKFDDNIPSRAFDNFQFVNFTQIMSRPIPASKKEAEFALSALMEIPEQFKAALNLQLLGKRRGFPNRLVLPPPGNGGLKYSGWSAVKPTESTSYASAPEKPSAPRQDSDIGDPQTCPICWSQTKNLAFGCGHQTCSDCAKDLKVCPICQRAISTRLKLY >Sspon.04G0017160-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:71359997:71364680:-1 gene:Sspon.04G0017160-4D transcript:Sspon.04G0017160-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MWSHEPAAEQKAAAASPQTRQRSSVAKLMTASSSLANLLSVFVGANNNNSPADPRPPPRRSFDEGGGVGLGIVAAMSQACLTGAGAEPIAIGAAARRRAREDDESYTCVITHVAGAGGGSVRKRVYFGFGDGGGWLVEADDEAPAPAPDFLSRGEKAFCSSECRCQQMLMDDRADKCGSEAFIRSGDYSYSVSPHSAPMAFSPSVAAA >Sspon.05G0020160-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:66220576:66226374:1 gene:Sspon.05G0020160-2B transcript:Sspon.05G0020160-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEESSSSPLLEKKYHPGCPGCAYDQKKDLQEGMPYKEFLYVWIICLSTDIQMYQIEEKMYQIEEKIRDLHVAKRTEDIGFYAGFVGASFMLGRCLTSTVWGIAADRIGRKPVVMVGILVIFNTLFGLSTSYWMAIATRFLLGALNGLLGPIKAYAIEICRPEHEALGLSLHSLGIGLIIGPALGGYLALTHSLEGILSFIKTRIFKILLAFCNTHIWWKLDRFPYFLPCLCTSLFATVVLASCIRMPETLHKHKVHENENKIVEALEAHLIDRKEKVEKKSSLDDKKSLFKNWPLMSSIIMYCVFSFHDMAYTEVFSLWAESDKKYGGLSLSSQDVGQVLSITDTTLQCIAEQGRSTGCFFNRTEDKKCFSGSFPHCLVIEDFGGGGGPDNICAGRGLRRAKSRQSQEHSPWADRKKCCSKKKKETERKKFI >Sspon.08G0021820-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:45126611:45129091:1 gene:Sspon.08G0021820-2C transcript:Sspon.08G0021820-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRKPKRKAPASPARHDTSPEPYPSHASPSSAQCLAVRDALLAFHGFPEEFAPFRLLRLGGRSPNRDPRPQPLSPTVLDGLVITLLSQNTTDAISRRAFASLKAAFPSWDQVVDEEGKRLEDAIRCGGLAATKAARIRAMLRDVRERRGKICLEYLRELSVDEVKKELSRFKGIGPKTVSSISSLSSFSDEVIFCSGRSKSFIKKNKPCSLMEAVYVYTGFTQILMWLVAVADNQFRLAMNDQQKQVACVLMFYLQKDDFPVDTHVLRITKAMGWVPATASREKAYIHLNNKIPDDLNCLFVTHGKLCQSCTKKVVSDKSKSSNAACPLAGYCCIGEKLQQ >Sspon.04G0021290-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4A:74753454:74755872:-1 gene:Sspon.04G0021290-1A transcript:Sspon.04G0021290-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSRRRPMQVAESPTHQLTAVVPRPRDDATGNDGGQQTYVILLIFRGRGGTGLKKKKKKKKKKKKKKKKKKKKDKTAATMGCRSCEKPKMNYRKGLWSPEEDQRLRDYILKHGLGCWSAVPAKAGLQRNGKSCRLRWINYLRPGLKRGMFSQEEEDVVINLQAKLGNKWSQIAMHLPGRTDNEVKNYWNSYLKKRVMQAQGALSNSSPKSPPELTSMSTTELSSMSMSMSMHQHHHVKNSSGGGGGSTTTSHDYDGNISGSHALSAPPLAQQPFDHQAARSFVFSDWAPAAPESYSVSTHWPASTASSGNVTPSHGHGGGAFGDQMSGTYGAGALPPHQDHHQSAAATAGIAGSGYFDLLNMGDIY >Sspon.01G0032200-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:110087455:110092143:-1 gene:Sspon.01G0032200-1P transcript:Sspon.01G0032200-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSRRRCFAAAANRIRPLARAFCDTPASGVPRSQDHSHTEKVARVKAPPDVLDIAIVGGGMVGLAVACALCRVAIIDSNPALKSRGYLDKNSIPDSRVSTVTPATISFFKDIGAWEHVQQQRHAFFGKMQVWDYTGLGYTRYNARDVGKEYLGCVVENKVLCNSLLLRLQEELDDIENVIYPTRLVSLTFPLKSRQAGMKPTSSEPLSVGHTTEELHRSNLVKLDLSDGRSLYSKLVIGADGSKSNVRQIAGIKTTGWNYPQSAIICTVEHVVENDCAWQRFLPSGPIALLPIGNNFSNIVWTMSPEESLRHKSMSPEEFVKSVNHALDFGYGPHPRSTTLDHYMEQFFSGIGNTAASTKECFEVPPKATGVVSERMAFPLSLMHSRDYVSKRLALVGDAAHTVHPLAGQGVNLGFGDAAALAKVIAEGVSVGSDVGDLTLLQRYEKDRKAANVAMTAVLDGFQKMYSVDFGPLNLVRAAAFHGAQYISPLKKSIISYAMGDTKWHSFGEQYCFGTLVLNLYDAPGL >Sspon.06G0002930-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:9496152:9503770:-1 gene:Sspon.06G0002930-1P transcript:Sspon.06G0002930-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding IQSLEESAELLRERCLKFHKGCRKYTEGLGEAYDGDIAFASSLETFGGGHNDPISVAFGGPVMTKFTIALREIGTYKEVLRSQVEHMLNDRLLNFVDIDLHDVKDAHKRFDKASLSYDQIREKYLSLKKGTRPDITTAIEDELHSARSSFEQARFNLVTSLSHVEAKKRFEFLEAVSATMDSHLRYFKQGYELLHQMEPYINQVLAYAQQSRERANKEQASLVERMHEYKRQIDRESRSSINGLNDSYNGDGIQTIGRSSHKQIEAVMQSTSKGKVQTIRQGYLSKRSSNLRADWKRRFFVLDSRGMLYYYRKQITRPPAGCSMQRSVNPPEHGSGLLSRLFSSHYHGIIHDEKSVARHTVNLLTSTIKVDAEQSDLRFCFRIISPTKIYTLQAESAVDQMDWIEKITGVIASLLSSQSPEQCFMSSPKGSGHDRSTSDASSFTSSVEFEPSVIDDLVLEKNSGNGQHDVRGTHHHRTSMKPEKPIDLLRKVDGNNMCADCGASEPDWASLNLGALLCIECSGVHRNLGVHISKVRSLTLDVRVWEPSVINLFQSLGNMFVNNIWEEMLSDDNSSADGSDTSQYLSVSKPKHKDVFSAKEKFIHAKYVDKEFIRNHGMDEKQLAQQMWNSVAANDKKAAYSLIVRSRANVNLVYGEMPSSPFLTLGKALQQEQPASPHDGSPRFFDCNSHDKISPREPLSPASTSSRTDDMEDSCEGLSLLHLACRVADVGMVELLLQYGASVNMNDSRGRTPLHHCILKGRHRHAKLLLSRGADSQAMDRDGRTALQYAIDGGTSDEDILALLEDHSR >Sspon.05G0017100-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:72166613:72167638:-1 gene:Sspon.05G0017100-2B transcript:Sspon.05G0017100-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding KMSTPGDPKKPPRNGKFTTVVAYHKRDYEITCTTEWGASCYVKCPARCPNKCLAYCAYCLTFCRSVRSDAGHLVRRPAVHGRDGNTFYFHGKKDESFCLVSDDRLHINARFMGNHNADSGRDFTWVQALGVTFGDGHRLYVGARRAAEWDEDEDHVVVALDGEPIDVEPPRARGGRRAPCRGCPSRAPTPSTPSSSSSMARSPSRQRRAVPITDEDSRVHAYGKTGKDSLVHLDVSYQFPGGLTKDVDGVLGQTYRPDYVNKLDISAKMPVMGGADKYRSSGLFATDCAVSRFHGVGAGDAGFTSF >Sspon.02G0028680-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:104395131:104400881:1 gene:Sspon.02G0028680-1A transcript:Sspon.02G0028680-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable prefoldin subunit 3 [Source:Projected from Arabidopsis thaliana (AT5G49510) UniProtKB/Swiss-Prot;Acc:P57741] AAAAAASASTPQGVAERRGIPAAAFVEDVEAYLRQAGLDVNSALAFLQERWQQYKIVEMKLLAQQRDLQVKIPDIEKCLDIVATLQAKKDLGEVLIADFELSEGIYSRAKIEDTNSVCLWLGANVMLEYSCDEVNALLKKNLENAKASLEVLVADLQFLRDQQTITQVGMISWSGYNCSGIQLGCAPTEKQTGCKRNLISYITRPTNV >Sspon.02G0046330-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:5038196:5042200:-1 gene:Sspon.02G0046330-2D transcript:Sspon.02G0046330-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Actin-related protein 2 [Source:Projected from Arabidopsis thaliana (AT3G27000) UniProtKB/Swiss-Prot;Acc:Q9LSD6] YVKCGFAGDNFPTSVFPCVVGRPLLRYEESLQEQELTVDPSECKILLTDPPLNPVKNREKMIETMFEKYNFAGVFIQIQAVLSLYAQGLLTGLVIDSGDGVTHVVPVVDGYSYPHLTKRMNVAGRHITSYLVDLLSRRGYAMNKSADFETVREIKEKLCYISYDYKREYQLGLETTILVKSYTLPDGRVIKVGTERFQAPEALFTPELIDVEGDGMADMAFRCIQEMDIDNRMTLYQHIVLSGGSTMYPGLPSRLEKEILDRYLDVVLKGNKDGLKKLRLRIEDPPRRKHMVYLGGAVLAGIMKDAPEFWITRQEYQEEGVACLRKCGQS >Sspon.02G0010610-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:27857797:27863506:-1 gene:Sspon.02G0010610-2B transcript:Sspon.02G0010610-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSSLRKLRGFALQRHEQRVDRDRGGRGHSTAAAATAADELLAAAQRVHVLNTITTPSLSLLNELQTVEEMKRQCDEKRELYEFMLNAQKEKGRSKNAKGDNGASEQLKQAQEDYQEEATLFLFRLKSLKQGQFRSLFTQAARHHAAQLNLFRKGVKSLEAVEPHVRLAAEQQHINHQFSALEEEDYLVEDDNDDDYNDSHDGELSFDYGENKEAEESDNASRNHTEEFFNRSKEEYSSVPHERQRIVSQSAPLFPEKKLNTEERIKDLRRSATRKSNTYVLPTPNDVRATSQIASGNPASGPLESKGAFHSSPLHPSADNKLPSPTRLSNVQSVLKESNTNTAEIGKVLPVGDLALPGYYDLKTSDNKKVKRGSFSGPIASRPRSTENIDVISAAPRHSSAHQPVHMRVSPGNSPPPISSPKIKELHELPRPPVNASKNTTFPSLVAHSAPLVPNPASLAPKVQDHFRARQTLPSTASPLPTPPPGSIARSFSIPSRGRASGISDSKETEDQQDKGAARMSLSSLPSAQTFLEDCQPLSAAAESVSKT >Sspon.01G0019930-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:71876508:71877846:1 gene:Sspon.01G0019930-3D transcript:Sspon.01G0019930-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MESFAQEHVIGIPLASYAYANEEGKPSYSALIHEKNKKTSFIYRMNKLNLKTDSYMQGFKQHLTLGPKISETIKGKLSFGAKVLQAGSIEKIFRQYFVVEKDEKLLKAFQCYLSTTAGPIAGMLFISNEKIAFHSDRPLSLACPKGERTRVPYKVLIPAKRIKSASVRENLYNPDEKYIDLVTVDGFDFWFMGFVSYEKSFRYLQHRSPGDTVLNILFI >Sspon.01G0015270-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:44342700:44344921:-1 gene:Sspon.01G0015270-1A transcript:Sspon.01G0015270-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMETPLSTRRITRSLAAAASARESSSSPNLPSLFLDRWFDSSVSTRSWMTDVFPDNVHFRFSAEKSAAAGPDSAALFSRAKKAAAVETQAQTRASLHDISNDSPIVGLAAGGLHATDTTPPPPLPRPAAGPRAARPAPGRRSCAARSRRSCTMSRRSRAAPPQPSPARIQALLGVSRSPAQLLAPTPANTPQIGPVSAPREGLLLLDGAAVVPCVLDEEELLIPKLQVIAASLPPPQPEEKLGECQLNRALVFDDSPEKSGEASGSAVSSSVSLQESSTGSYMDKSSSPEDDSSSAWSIQVHASSEKGDEEELGVEELAAAEYTKEEEEEDDWEEDSDDDCYDDLCEEMSRMTVFDEEEKKATGLPQFEGKHTRFIYNSDDEIEREEVADAAEARAELGALMLRALPVPEGRHLRFHDEEDDEE >Sspon.03G0014430-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:45604725:45609270:1 gene:Sspon.03G0014430-1A transcript:Sspon.03G0014430-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding PKQETAILSFVIYSPPRLLISGGRETDRGRGRRSTRSSLVASSERCEGHECVREQDRSFSFVAVVGNAASASVMGAGAQEDEEETTMVAEGGVREEEEAAAEGAGEEQQLGQGGGDEGEGEGEGGEEKAAPVMSCSICLDTVVAGGEERSTARLQCGHEFHLDCIGSAFNAKGIMQCPNCRTIENGNWLYANGSRSSQDVNNDEWGYDDLYDHGHSELATFVPLRIQWCPIGRLELPSLFEEVESSVNDFTGQFNSEPMVPVPATPHPGPYLAYFQPALPLASSSSHVAERTTDGAAYHDHWNTMAGLSDGRRPWAYYSQPNNDNGTAEQQGLPLGAMRVGGVDSESQQRGSLSSFYGNGSGRPRIPSVPPMAPQFIRAHGNLNDQFQQTSSSLFAGRHASIGSCWAFRATSENTSFCLFPPASSGPSTMEAEDVRANQFYAWERDRLAPYPLMPVNNEGTWWSSSQQQPPQGAPEPAASASRRLSGQWIGGVGRLPPPENRSPDDSPFRPLHIPRM >Sspon.07G0015650-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:61274184:61276425:-1 gene:Sspon.07G0015650-3C transcript:Sspon.07G0015650-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEETNVKKEEEEFSTGPLSVLMMSVKNNTQVLINCRNNKKLLGRVRAFDRHCNMVLENVREMWTEVPKTGKGKKKALPVNKDRFISKMFLRGDSVIIVLRNPN >Sspon.04G0020870-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:73113258:73115735:-1 gene:Sspon.04G0020870-1A transcript:Sspon.04G0020870-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRDRYFHRLLDLHAVDDDPFFPSFPFPTTTTSSCPYISASVSSAHHRFLLDDHPFYPTSCPLGFTSPSPIDTFDLDLLLPSHTAAPRCPAFDFDPFLLDALGHRVSALESALYTYTSEAHGRKVKWTAEDRPAGGRNLKWEAELRTPHHDGFDRKWKWESKASATGAATKVKWAKEIKGKACLEPWSNSYSVEETYGDDDHDDDKEKKPTDVKKKVKEDKQKMKKGNVEIVEIEDNTAGCVAIRKAFEMNHCKGKRKELSPQDAALLIQMNYRAHLAHRSQVLRCLRDLVVAKAKLKEIRSFFYNISYRGRIAHDTEERQRFAEKIIVLLLTVDALEEPDYMVRNAKRSMLEELEGCWRSLTLSHQGSRRRLAAGSLISQKAEPSQRR >Sspon.07G0007000-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:14064723:14071071:-1 gene:Sspon.07G0007000-3C transcript:Sspon.07G0007000-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MESRMDQYEIMEQIGRGAFGAAILVNHKIEKKKYVLKKIRLARQTERCRKSAHQEMALIARLQHHYIVEFKEAWVEKGCYVCIVTGYCEGGDMDELMKKSNGTYFSEEVLLKWFAQLVLAVDYLHSNYVLHRDLKCSNIFLTKDQDIRLGDFGLAKTLKEDDLASSVVGTPNYMCPELLADIPYGFKSDIWSLGIMFYLAQFFLLTCSHLHRMLYDMAGLISKINRSSMGPLPACYSVSMKTLIKSMLRKSPEHRPTASEILKNPYLQPYVNQYRPLYDSSNPMRMLEKQLPTSRSSQRSMSDSQSSSISSSDIDSAQSSDRSTSGGTTSTDRKTIDTASIRDVDQVKSDENGATPEDLRGNKDNCSVQFKRQDSLKSIHVDHHPRPENKQPKIIEKIMTTLREESRLREINSPVRGGVKPSSGLSNNNQVEQPLQVSRTNSDMPCNLKSGNILSHDEHVNEVEASPPLKQLSPIIEHSANMKTAGPSTPEPAKQITENGAAASGKTKNKTSPAARRPSPQRQAGAGTPSFPATMTRRAHTKFITERERTPERPSCSPDMKLDALSDPPRSLMISSNPSEGQHMKLDASQANSTNLWELFTVSAKEHSSACSSSTVDCTENMDQPELSEPNSPVCLVSPCTGCSPNTIEEDDKGSIPCSEINTDKNVVTNNGGSSLRSDLEPSFLSSEQEFVSKDVQCSKHEQSNIAFQRGEDKFTVQELLSSAPEVAPPVSSAPEVAHSIPATKGTLLDAPISLQSWKRHVVSHLNPPVDDVMQTIRHSTFCVSDEQPTQGSVQREAQSADVIKLLDVVPEEADARSSSPNTVPPARSSSVTTTPHVSEANVATKALAASDNVKLSAASSGTSNGTKEEASPTKEALDVTSFRQRAEALEGLLELSADLLENQRLEELAIVLKPFGKNKVSPRETAIWLARSFKGMMSDEAGRTSL >Sspon.01G0033370-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:111509592:111509967:1 gene:Sspon.01G0033370-2D transcript:Sspon.01G0033370-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCSSNPVAPTGRRGRKAWTEAEEAILREAMEKIAPQDDAPIPWIRIREYGHDVFHKTRLPEDLRVKWRNLMKKEPAGY >Sspon.02G0015720-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:42897532:42903391:1 gene:Sspon.02G0015720-1A transcript:Sspon.02G0015720-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGQPRERGSRSSRKGRSARAGPAPAPPPASDPDPAAGEDAAPWLRATADELEERLLKRLDEAYAAALARLADLGHGEEASLEAVLHSGHCYGKLNDPVSNIVANARAYLSDPSHAGASGGFADLRRLEEYSLAGLVCLLQSSRPTLTRAEALWCLLSSDLRLEEAIAIGSSLNGEPSSTAVPAERAPPAVAETAQRGCIHYHNTTAAAAQDPTLFDPEAFMRLAIRQGPAAATISCLKAAGWSRSSGAAPEGQPKESFAKKLSTEELIESVVAELEALDIDKKDPPDANPDPKNQMARDLIKQTREMEAQLKERREWAQGKAIQAARKLGADLTELRVLRMEHDENQRRKKEKQVMEDDTMKRLAHLENELKKKSGQLDRSNATVQRLEMENAEIRAEMEAAKLSASETEKQCQGLLRKEKKDSKRLEVWERQKAKLKEDIAECKTKITQAERELAEVNKSIKNMEKKIREDTRAKEENMVLLEEERRKKEAAKAESDRRLEELRRKKEVESQCYKDDLHRLQDELNRLQKSAGATQPAVPSTNFPGTANRSAARAPKQQPIQRPRPASNLPLPPPAQKPSRRRDCVVCKKEAACVILLQCAHQVLCVGCNKLHEDKGISRCPCCGAKVEERIRVFGASSN >Sspon.03G0010990-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:29961368:29972034:1 gene:Sspon.03G0010990-1A transcript:Sspon.03G0010990-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLQGTLRSCLVAVLVNKIKSLDCCLARQNYVDKQPNNLEDVSLGFPAQRLSVSSTPHPACPRRGVAPLLRFFPGFVTIGAQRRRSCTKPTPSQSYDYEAPPLSEFSAGRVAFRAGCRITAAAAATESSGGGRKEGFRRSRDSPYLVGMGSYDITGPAADVNMMGYANSEQIASGIHFRLKARAFIVGEPNGNRVVFVNLDACMASQLVTIKVLERLKARYGDLYNENNVAISGIHTHAGPGGYLQYVVYIITSLGFVRQSFDVIVNGIEQCIVEAHNNLRPGKIYVNKGDLLDAGVNRSPSAYLNNPAEERAKYKYDVDKEMTLVKRSASCENMPSSHGFAFAAGTTDGPGAFDFKQGDAKGNAFWRLVRNLLKKPGKEQVQCQAPKPILLDTGEMKEPYDWAPAILPIQIIRIGQLVILCVPGEFTTMAGRRLRDAVKTVLTSDNSGEFNNIHVVLAGLTNTYSQYITTFEEYQIQRYEGASTLYGPHTLSAYIQEFQKLATAIVANKEVPTNFQPPDMLDKQIGLLPGVMFDSTPHGVKFGDVSSDVPASSTFSKGSIVNATFYSACPRNDLLTDGTFALVEKLEGTDNWVPAYDDDDWSLRFKWSRPSKLSSRSFATLEWTIPEDAPSARSVTFE >Sspon.03G0003430-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:8670937:8672259:-1 gene:Sspon.03G0003430-1A transcript:Sspon.03G0003430-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAPPPSDAQPTMEDTKSLLPYRTADSSLRALAGQAEGFGRHAIGGLHGDVYHVTTLDDDGPGSLREGCRRREPLWIVFDVSGTIQLSSGVRVSSYKTIDGRGQRVRVSGWGLQLSECEHVIIKPRSRHVWVDRCTLRDFDDGLVDVTGGSTDVTISRCHLASHDKAVLIGASSAHVEDRCIRVTIHHCFFDSTRQRHPRVRFGRVHLYNNYTRDWGIYAVCASVEAQIISQCNIYEAGKKSQVFRYKEEQAADKDQSARGYIRSEGDLFLNDAKQHAADASESDAAGDEPWDFKVHDSYESSVQPASMALKVLLQSYAGWQPVPLPADVSLTEVAVDPADDTA >Sspon.03G0022300-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:67931227:67933834:1 gene:Sspon.03G0022300-1A transcript:Sspon.03G0022300-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRHGQGPRLLGRFANGRVEEFIYARTLSAADLRDPEISALIAKKLREFHDLDMPGPRDVSLWQRLRRWLEEARGRCSEEESNQFQLNKLGDEIAVLEKTLSGVEQSVGFCHNDLQYGNIMIYEETRQVTLIDYEYASFNPIAFDIANHFCEMAADYHTVTPHVLDFTKYPDIEEQRRFVQTYLSSAGEKPSDGEVEKLLGLIAKYTLASHLFWGLWGIISAHVNKNIDFEYKEYARQRLDQYWQTKPGMLGPN >Sspon.08G0006500-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:66150759:66152330:-1 gene:Sspon.08G0006500-2P transcript:Sspon.08G0006500-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding HGKTGAADRSCLHGRHANAVVVAVAAAAGLPAVGAAQVREAGVPLPHHPRHVPAADPADGAGRRAPLHAVPARRGRPVGAPPPQPHLRGGLLHAARLPRHGLLPDPPAARVPGGLRLLQAGARAAVHARHLHALLQAHGLLHGRQPRVPAQDPGALGARRGHVPAPRRHAGAAQPVHGRGARGGAGGDVRRRGRAAGQDGRQAQGHRDPGGELQPVQPDAVAVGHGGEPLQAARERGELQPGRDGVQRRAAVRGPRQGPAADAPGVVRAGDQHGEHHAQLVLGQRPLQAGVQLPVPDGRRGGAALEPAVRPAAGQVRAGAHGAHAQGRRRPVLRLRDAGGGRRGRPGRVAVEGPDGRGGRRAQDQHHDAGPAGAAAVGAAAVHGHAGRQEGAQDEEGEAVHPGLQAGVRALLHPRGRARRAGRTGEQPVAHGLAHGAVADDAAPVREHVQQLALVRAGLQRGQGQDPPAPPGVADRVRVGVQVQQRRVEGAPVGEPGGGDQPVDGRDRQVPRGCSQGLQGFQRV >Sspon.07G0021990-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:6134180:6134476:-1 gene:Sspon.07G0021990-3D transcript:Sspon.07G0021990-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASRASLVLFLLVASAVLSLLAPGAGAATCAPTQLTPCAPAIVGNAAPTAACCARLKAHPASCFCQYKKNPNMQRYVNSPNAKKVFAACKVPLPKC >Sspon.05G0015460-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:59462839:59467525:1 gene:Sspon.05G0015460-1A transcript:Sspon.05G0015460-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRWPRSKFRWLLSACLICLLLQLVGAIRTSLFWPPACLPSPAIPREDESVEQLWRSSALDLRIPQDVRNKTHYSLLFNTISNSYRKNDKKSSPQKIGIGEAITATLSPAEVANTFLDCLSKHNFPFSDHGLQKQEEETRSLLPNSNSINLPFAMKRRRLLEEDSAIAPPQPKAKQTIRSVLSSEAEAHPLVATVKKSGKTEPKHKSKDNSGTIIAGLSVACVALVALIGLCCCACRGSEDPESSYDDKPLLSLNMSDLSGSSRKSCSTPIDVNRLGALSINSSETQRKEFKMPPIKAAVREMSMKSEFERRSNVQAMKLSSHEITTIAGRPAAFTNSQDVKPAAVPSSNASESAGEPAAGPGPPPPPPPGPPLPKPPPAPPSAPSAPAPPAPPPPKMPTAAGSSNPPPPGPPPPPAPRPAGGPGPPPPPSRAGPGPPPPPGRAGAGPPPPAMPGGPKARGPPPLKKAGNVAGPPVDNKTKLKPFFWDKVTANPDQAMVWDQIKAGSFQFNEEEIESLFGCHATDKKNADGKKDLAAKDTPQFVRILDAKKAQNLAISLKALSVSAEEVRNAVMEGHELPIDLIQTLIRWTPTSDEELRLRLYTGELTQLGPAEQFLRTIIDIPYLYQRLDVLLFMTSLPEEAANAEQSFKTLEVACHELRNSRLFKKLLEAVLKTGNRMNDGTFRGGAQAFKLDTLLKLSDVKGVDGKTTLLHFVVQEIIRSEGVRAVRAAKEQSNSSMSSVISDDLTEDVSDDTEHYKQLGLGVVSSLGDDLQNVRKAAILDADALTISVASLGHKLVKANEFLNTGMKSLDEDSGFHRKLAQFIEQSQVRVTQLLEEEKKLRALVRTTVDYFHGSTGKDEGLRLFVIVRDFLGILDKVCREVKEAAAKAAANNKKPSAAGSGSRGRQPSQSSSSFRDPRQQLMPAIKDRRSAAARSSSSSSDSD >Sspon.05G0019120-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:81770175:81778420:1 gene:Sspon.05G0019120-1A transcript:Sspon.05G0019120-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MERAIIAAVFLLLFSLQAAAAAAAGAGRVIRRRPPTLASRHYASSSLQVPRAGDDASDGSVSVPPTVQYETRRYTQRLDHFNSLPASYATFQQRYLINDTFWGGRTAPIFLYTGNEGDIDLFTNNTGFMWEAAPRFRAMLVFVEHRYYGESMPFGGTREAAFRDAATKGYLTVTQALADYASFVLSLKANLSAPAAPVLVFGGSYGGMLAAWMRLKYPHVVMGAVASSAPILSFYGIVDPYAFYDRINDDFKSESKNCYDVLRKSWDVLYNALATKEGQAQLRLRSMCRAIDNRQQAAAASSGNDGNSSTTAQLLLLSQQVRDAMNVYYNHTGAAACFGAEEVDDPYGIYDGWNWQACTEVMVMAYGVRDGSVLPPSPFNFTDVVDDCRKNTGLPPRPFWIETEFGGYVSGGAADSFLLGWAVCWAGLKLLLAGNGGSMMIGLDIANVLKKSASNILFFNGLRDPWSTGGVLKSISDSIIALVEPKGAHHVDLRFSSKEDPEWLKQRAIIAAVFLLLFSLQAAAAAAAGAGRVIRRRPPTLASRHYASSSLQVPRAGDDASDGSVSVPPTVQYETRRYTQRLDHFNSLPASYATFQQRYLINDTFWGGRTAPIFLYTGNEGDIDLFTNNTGFMWEAAPRFRAMLVFVEHRYYGESMPFGGTREAAFRDAATKGYLTVTQALADYASFVLSLKANLSAPAAPVLVFGGSYGGMLAAWMRLKYPHVVMGAVASSAPILSFYGIVDPYAFYDRINDDFKSESKNCYDVLRKSWDVLYNALATKEGQAQLRLRSMCRAIDNRQQAAAASSGNDGNSSTTAQLLLLSQQVRDAMNVYYNHTGAAACFGAEEVDDPYGIYDGWNWQACTEVMVMAYGVRDGSVLPPSPFNFTDVVDDCRKNTGLPPRPFWIETEFGGYVSGGAADSFLLGWAVCWAGLKLLLAGNGGSMMIGLDIANVLKKSASNILFFNGLRDPWSTGGVLKSISDSIIALVEPKGAHHVDLRFSSKEDPEWLKQ >Sspon.02G0020160-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:67312714:67318009:-1 gene:Sspon.02G0020160-3C transcript:Sspon.02G0020160-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Adenylate kinase isoenzyme 6 homolog [Source:Projected from Arabidopsis thaliana (AT5G60340) UniProtKB/Swiss-Prot;Acc:Q9FJI1] MAAGSGGGSRRARPNVLVTGTPGTGKTTTCSLLADAAGLRHVNIGDLVREKSLHDGWDDDLECHVINEDLVCDELEDMMEEGGILVDYHGCDFFPERWFDLVVVLQTDNSILHDRLTGRGYTGSKLTNNIECEIFQVLLEEARESYREDIVMPLRSDNVEDISRNVGALTDWINNWRPS >Sspon.08G0001920-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:3430541:3433952:1 gene:Sspon.08G0001920-1P transcript:Sspon.08G0001920-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEEVLHKVQNLIESCLHMYMDQKEVVDALSHHSKIEPRITELVWRQLEQQNPLFFKAYYMRLRLKNQIMVFNKLLQDQFAVMNKDFSSGIASMRLSNGSNSNLLKQNPCFLPETAPGSAMPDGIMHNGSSSDIINGTPSGNQLLNASKDLHGLHNGIDASASLQSDQNATAMLYGVDNETSATIKTESCYSSNADFAFCGNTFLESCQSIGDASGGGSFSSSELNGQPLNDSILDMESSSFSFLNEMSQNFIFPDLADDFNQSAEITPFLTPETNFSNSTGGDHTAHRPFVHTLMASQICIDEELEDLLI >Sspon.07G0016410-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:62433493:62436457:-1 gene:Sspon.07G0016410-2B transcript:Sspon.07G0016410-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAATSFATLAVARSAGQRSLLAPKAAPSPLLSLRGAGLPSQAVSFSGGLAASPSHSRARFATSASAEPKEADLQSKITNKVYFDISIGNPVGKNVGRIVIGLYGDDVPQTAENFRALCTGEKGFGYKGSSFHRVISDFMIQGGDFDKGNGTGGKSIYGRTFKDENFKLVHTGPGVVSMANAGPNTNGSQFFICTVKTPWLDGRHVVFGQVLEGMDIVKLIESQETDRGDRPKKKVVISECGELPVV >Sspon.01G0013430-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:36725703:36729160:1 gene:Sspon.01G0013430-1A transcript:Sspon.01G0013430-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MWQRSTAGGTGATNAVAVAARQPRKSRGKTLREKKTMAGKEDLKLLGLLVSPFVIRVRMALSMKGDLFNKSELLLKLNPVHKKVPVLIHNGKPICESLVIVQYVDELFAGRSILPTDPYERATARFWAAYIEDKLCPAWIGILRAQAEEERAEKVKETLAAIEHVEVAFAKCSNGNAFFGGDSIGYLDIVLGSFLFWFEALRRMYGLEIINASKTPLLAAWAERFGGSAEAKVVVPEADKAVQYANKLHAAAAVKLVSSE >Sspon.07G0002520-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:5292038:5295166:-1 gene:Sspon.07G0002520-3D transcript:Sspon.07G0002520-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKRTKKAGIVGKYGTRYGASLRKQIKKMEVSQHSKYFCEFCGKFAVKRKAVGIWGCKDCGKVKAALLVRSLSEAQSGACGSRPKHDYGQFEKEYLDFVVQLRVKTKISVRMLLQKPSRSALRSPGAVRLLEPDLHVLQVEFPEVLLLHDVADDRHAGPLALLHPDALHARFHVDDVALRRWLQPRRSGELHRQLWDDLRRSRSSCRPVVAVFERGEAHFGDPVQVPAQRGDHGLVRRGGEDREGVAGVKDHAAALGVPHLGGDVESLAGHVDGVDLDAVEGHLGVQEQLRLGRRGPWHLPWAEGEVPGGVARGEVVDEAVGEAATEARGGAGREGDIGVPQAQHAVC >Sspon.03G0022260-4D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3D:54223283:54227695:-1 gene:Sspon.03G0022260-4D transcript:Sspon.03G0022260-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEVTRDIQGGIPWCMLFADDVVLVDDSRAGVNRKLELWRHTLESKGFRLSRTKTEYMRCDFSATRHEEGDVSLDGQVVAKKDTFRYLGSMLQKDGDIDEDVRHRISAGWLKWRQASGVLCDKRVPQKLKGKFYRTAIRPAMLYGAECWPTKRRHVQQLSVAEMRMLRWCCGHTRRDRVRNDDIRDRVGVAPIEEKLIQHRLRWFGHVQRRPPEAPVRSGVLKRADNVKSGRGIVDELIKSGNIIEAIFVAHEADLLERFPPVPLLKSYLRNSTDKAQVMLKSGRHSSSALEEANNLEGNAYRSIIRCVESCHLQSVFPIEVMKKKLAKLEKEKSEKKKAAGPSRFQNKRSRGAAGPYPFPAAKAARGSNFGPRFQNPISRSLNYAAHAGYINPAAASSYYVPGSVSGRRGGVPFGGPGATYGGSPNFAAGGGQQSFRR >Sspon.06G0022710-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:34797406:34797947:1 gene:Sspon.06G0022710-1B transcript:Sspon.06G0022710-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSPSSAATSPLPRQLLRRRPQPPNSNHQSAECAAGSGCGGCGDVPGAPGREQSRSFPERPPRRAEARQRRTCPCRSGSGDDSARGYATADASCPPAQGGGGKTNAVTTAATAHVVMRGPAPPGRPAEGSGGKVHAVSPAATASVLVRGPAPPGPPAEGAGGRGGIIHAVAS >Sspon.06G0004710-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:11777298:11780180:1 gene:Sspon.06G0004710-2B transcript:Sspon.06G0004710-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARCRHHLLLLPLFLALAAAAPVRSYFLLPLPLPMCLHLPLTVVLISCRVAWWQGKAQSHGEASAVFIDAASHRYLRDQQADGQLNKVLLPNPFDRPRAVFLVQIAGSDDTDDLIVIHSDESLDLSRSDYLDNEFSSLANWLEGSYQKSSGKLVIPLESGNSLTLLLDKEADMEFVSSLASLLKTIERAIQVHEDFSGVVNRAELLECHFTGIKALENEYGSTEIVKQATEIVQRAVTKSFQSLHEAYKGKIVGLVISTKEASSSLGSIIDAPSSLHISRRLEEASKTKEASVALVRKSLAWITGIILLVSTLIGVCLLMNMPLTRDTLLYSNVKID >Sspon.01G0023610-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1A:84916019:84917677:1 gene:Sspon.01G0023610-1A transcript:Sspon.01G0023610-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAAAPDLSLHIRPPSPPDDARSSGGSNRQANHDDVISKQTLCLGLVETTTTTAQEDSQCDIQQQQRLHQPSQIQRFKKSSSATLSGGTTRSGNGGSGGGGKRSSRAPRMRWTTALHAHFVHAVELLGGHERATPKSVLELMNIKDLTLAHVKSHLQANVSNSEGNDGRQIMCCRPCAGDERYGLSAEGL >Sspon.05G0004360-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:13095232:13095534:1 gene:Sspon.05G0004360-1A transcript:Sspon.05G0004360-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTAKVKAKDMVSEAKEKAKEAAAKMQGKTGEATAATHGEKEMAKEAARAKKDQASADKHQEKVEHRADAATTGRHGARAAVDPAYPSAGSTYPASGKYI >Sspon.05G0010510-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:32174997:32179452:-1 gene:Sspon.05G0010510-4D transcript:Sspon.05G0010510-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLLPRQQGSSATAQTETGPRNQSEKKITHLTATIDRSIEGEEVSRVLLPRASAMELVGRSFLPGPAAASPGREQRRGGPCFAAVGREGSAHKRRPSLRSTAPVGALAERVLVTPAPPERAGTAPPEPHRVAARAVVTVRRRRKEDAKCRVAEQLDAYADRVGRSVLLELISTETDPRKGGPKKSRRSALVGWFEKKDVKAERVVYTADFTVDGSFGEPGAVTVLNRHQREFFIESIVVEGFPSGPAHFTCNSWVQPTRVDRNPRVFFTNKPYLPGETPPGLQELRRQELSNLRGEGADTGERRITDRVWEYDVYNDLGNPDKGAEYARPILGGEQQLPYPRRMRTGRPKTFTGKPPSAFNSLSLFSSCFFFENAHRHVLHTIAIGPINSATVTVADRTWRRAPSPSRVSFVSKPPDRGRHDRAESRVEYPEPIYVSRDEEFEEGKNEMLSEGALKALLHNFMPLLVSSVSPDIRDFAGFHDVDNLFKEGLRLKQALHDQLFQKIPFVRKIQENSEGLLRYDTPDIIKKDKFAWLRDDEFARQALAGINPVNIERLQAFPPMSKLDPAVYGPPESAITEEHIIGQLDGMSVQQALQDNRLYMLDYHDIFLPFLDRINAQDGRKAYGTRTLFFLTAAGTLKPIAIELCLPPMTDGCARAKRVFTPPADATSNWLWQLAKAHVCSNDAGVHQLINHWLRTHACMEPFIISAHRQLSAMHPIFKLLKPHMRYTLKINALARQILINGDGVIESGFTPGRYCMEMSSFAYRELWRLDQEGLPADLIRRGMAVEDPTQPHGLRLLIEDYPYATDGLLLWDAITRWCDAYVAMYYPSDESVQGDTELQSWYREAVQTGHADKRDAPWWPRLSTPDDLASLLTTLLWLTSAQHAALNFGQYPLGGYIPNRPPLMRRLVPAEGDPECAHLVADPHRFFLAALPSLTQTTTFMTVIDTLSTHSADEQYLGERPDEAWTADPAALAAAREFADEVRRAEEEMERRNADTGRRNRCGAGVLPYELMAPTSGPGITCRGVPNSVTI >Sspon.06G0001420-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:2169742:2171364:-1 gene:Sspon.06G0001420-2P transcript:Sspon.06G0001420-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADYVYGPGRNHLFVPGPVNIPDPVIRAMNRQNEDYRSPAIPALTKILLEDVKKIFKTTTGTPFLIPTTGTGAWESALTNTLSPGDRIVSFLIGQFSLLWIDQQQRLGFDVDVVESDWGHGADLAALELKLRMNTRHTIKAVAIVHNETATGVTNDLAAVRRLLDAYAHPALLLVDGVSSICALDFRMDEWGVDVALTGSQKALSMPTGMGIVCASPKALEASKTAKSVRVFFDWKDYLRFFFDWPYTPSIQLLYGLRTALDLIFEEGLDNVIKRHNRLGTATRFAVEAWGLKNCCQKEECFSDTVTAVVVPPYIDSAEIVKHAWKRYNLSLGLGLNKVAGKVFRIGHLGNLNELQLLGCLSGVEMVLKDVGYPVKLGSGVAAAAAYLSNSTPLIPSRI >Sspon.06G0013250-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:56674629:56677178:-1 gene:Sspon.06G0013250-3C transcript:Sspon.06G0013250-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMSSSRALLRRIGGALLRRSFSDAAAGPDSAAAGYHVAGGPSYMRGAVFWEPGRPLTLEEFRMPRPKAGELLIKTKACGVCHSDLHVLKGELPFSSPCVVGHEITGEVVDHGTHTPAEIINRFPVGSHVVGAFIMPCGNCFYCVKGQEDLCESFFAYNRAKGTLYDGETRLFLRGNGKPVYMYSMGGLAEYCVVPANALAVLPDSLPYTESAILGCAVFTAYGALRHAAEMRAGDSVAVIGVGGVGSSCLQIAKAFGASEVIAVDVLDEKLQNARTLGATHTVNAAKEDAVERIKEITDGRGVDVAIEALGKALTFSQCTKSVRDGGKAVMIGLAAVNVMGEVDITRLVRRQVKIIGSYGARARQDLPQIVKLAESGAFNLQNTISRKCKIEEANGAYEDLNQGKIVGRAVVEIME >Sspon.03G0026140-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:1961635:1967985:-1 gene:Sspon.03G0026140-1B transcript:Sspon.03G0026140-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MERARLTPFPPREQATTRICKVSSFATELLEIRSKEPSLRVLLIPGNPGIAAFYKDFVEALYENLGGQASVTAIGHISHSQKDCEHGRLFSLYEQIDHKVDFIEQELQHSEQPMVLVGHSIGAYIGLEVFKRFQNKVWILAHWVFSIWIRSSLLSKLVSLSASFIGSLRPSITRGIVRRFLGPSWSVTAVDAVCCHLLRVSSELLVTNLYNTMRNVLFMAMTEFQKVTHNADYLIGYDYFFLVVLQLTEEPDWTFIRAQEEKMAFLFGVDDHWGPLSHLEE >Sspon.03G0019220-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:59522513:59523025:-1 gene:Sspon.03G0019220-1T transcript:Sspon.03G0019220-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding TRMHPMMSCEFAAARLPCLLPLRSGRISRPSPMPPPPAVANNKKPAASTSAQRCASSRRDDADEFSCNNSGGGRMVDEGMVVLRRRIHEMEAAERGWEPPDEWAAWEKEWYATYDADVCRLLGLLQAFLASSRPGVGVGLVAVLVLAVPASAFVLVSLLLDASRAIVSNLP >Sspon.02G0053620-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2D:92477709:92479748:-1 gene:Sspon.02G0053620-2D transcript:Sspon.02G0053620-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKMNRGGREGRRFLQLVPVAGAALGSLGDMHTNAVKRQLVTTCGAPKLFRLPGFDRKSGKGREGKQRQPRRREVSPPSTPSSRSISVGSPITPVLGSIATLSSPSPNLVPTSVGRIAGFPQPVWSLIPAAAFAFRRENRAARWCCWRRAVATHPSATTTRPARRQVPEGRGGAQERMAEPGDAAAFIHDAPFEVLKALLDRLSPKAPPIIDDLVDYERMKSYGDAILKPHVTVHASLDGYLLVSFSSRRRWYIINPATRHWVSLFDPTAFDLDVIGFYEHGRTGKYHVLCLSRRYTVRAQEAPTCSYHVIEVRPAQRRHIGRPLSPAVRRDHGLRSGVERASISPPIQWKRGNMGLLWPPQQSQGYHMLVFDTWSEKFSWTRPPPVAMADDQDMRLLEFPDGNLGLSVSRENEATLDLWCLQDYRNEVWVLKHRIQLALQQMPALHLHENRPWIPAVVSAEGDVLIESRRGLFHCDRNGNLLHRFWFNQPRSGHRVLPIRHVLRKSLVQHPMFRPRPSNNAAEPPFFRWLCSDPSCSW >Sspon.04G0000900-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:2583195:2586091:-1 gene:Sspon.04G0000900-2C transcript:Sspon.04G0000900-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MWATSPPPHPLQLRRPPPHPFLVRRRNKRLDRIAASQDPLTALTRVLWGRALPPAQLVLAVRHGWTTAWQLLMRQLAPSDPATGAFTRTLPASPPSSRLHLYVGLPCPWAHRTLVIRALLGLEARLPVSVAVPGDDGAWSFTAGSPDRLYGKRKLREVYALRSGGFEGRASVPMLWDAERREVVCNESIEIVKFLCGLADDADGDGGLDLWPPELRQDIDRWYSLIYPSVNNGVYRCGFAQSQEAYDAAASELFGALDRLEAHLAGSRYLCGDRLTLADVCLFTTLIRFDLVYNTLFRCTRRKLAEYPSLHAYTRDITRCPRSPRRYFKTLFPLNPGGIQPLPPATCERESLLRPHGREALSSAAGAPLEAAADMNNKASETAERVYSSTRYGCFSFTGSNIIDSNHISSNCHLQTIGHMSLVKYVVKICAASDDYDSLLDEDRIGSAQCTQTVNFHDPNTWSVE >Sspon.02G0040440-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:64284009:64288098:1 gene:Sspon.02G0040440-2D transcript:Sspon.02G0040440-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVAGVEGDVPFDPFLQELLVSGKMELDVKEEIWNEMLSRENEYFQKAESLVWPPRSSEFSTVACAIKSNGSNSKVTRVLFCGPYFPASTRYTTEYLRDYPFIEVDEVGLEQVPDVIHNYHLCVVKNQRIDSDIIAKATQMKIIMQYGVGLEGVDANAATEHKIKVARIPGRMTGNAVSCAEMAIYLTLGVLRKQKLMDAAVHQKDLGSPTGETIFGKTVLLLGFGAIGVEIAKRLKPFGVKILATKRNWSPGSLPCDIDGIVDKKGGPEDMYELAGEADIVITCLLQTIETVGIVDDMFLSAMKKGSCLVNIARGGLLDYKAVFNHLESGHLGGLGIDVAWTEPFDPEDPILKFLNVIITPHVAGVTEYSYRTMSKVVGNVALQLHSGETLTGIEF >Sspon.01G0005550-3D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:12862213:12863602:-1 gene:Sspon.01G0005550-3D transcript:Sspon.01G0005550-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MELSLIGLQNAGKTSLVNVIATGGFSEDMIPTVGFNMRKVTKGNVTIKLWDLGGQPRFRSMWERYCRAVSAIVYVVDAADRENMAIAKGELHDLLSKPSLTGIPLLVIGNKIDKPEAFPKQTFTELMGLKTITDREVACFMISCKNSTNIDSVIDWLVKHSKKKN >Sspon.01G0042250-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:64569891:64571674:-1 gene:Sspon.01G0042250-1B transcript:Sspon.01G0042250-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWVLLRLAGDPVRIRHVVQRAGEAFPQILNALLSKLTATAVGVFMMGSIILQ >Sspon.07G0014540-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7A:52457564:52463335:-1 gene:Sspon.07G0014540-1A transcript:Sspon.07G0014540-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRHNELGASCGTTTLTPNLAKYRDGWRTEDASREYYQKRLSTPTCWLASVLLLLLLLLPCPVTLPYSRSVLLDLAKSQPPSLPPLPRTHKAGQATAQHSRERKRSAEPRSRATTAGRGRREEKARRQQSRTGRGRGRAREQEAGKMLRGGTSMLGIVNFITFLISIPILGGGIWLASRANSTDCIRFLQWPIIIVGLVLMVISLMGFAGACYRQTWLLRLYLFAMFFVVLALLFFIVFAFAVTDRGDGQVVMNRRFPEYQLSDYGGWLRDRVADPQYWATISACLRDGHACAGMRRLARDPNTGMLVPETPAMFYGRNLSPIQSGCCKPPTSCAFTYMNETYWTQNPGVPTVINDPDCSRWSNDQQMLCFQCDSCKAGVLAGIKKSWRKVAILNIVVLIILVIVYVAGCAAFRNAKRIENDEPIGMARMTKSHPSRFQF >Sspon.03G0047250-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3D:56188953:56189487:-1 gene:Sspon.03G0047250-1D transcript:Sspon.03G0047250-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding YRRSPDADVHAAPELIFLRPRAAPSWSCHRSAGSSEPDGWRSLGGGGLAFTVGDHRAPEPSRLRSASSIHPSLASCCCYAYYVSASGGWASDAHVACAPGNRTDGGRLRSSARMQMHASQASRSAVLCVHPPVRPAASCPSYYGRRAHRQKTTSHLDFSRFLMSLDTDSRRYCTTPY >Sspon.03G0010120-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:27401421:27401831:-1 gene:Sspon.03G0010120-1A transcript:Sspon.03G0010120-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEREGGVVKKGHEEGLKMAVSLLEEFGLPLGLLPLADVIEVGFVRATGYMWIAQRKKVEHQFKLVSKQVSYDVGITGYVSAKRIKKLKGVKAKELMLWPPVNEIIVDDPPTGKIHFKSLAGVTKTFPVEAFAAGQ >Sspon.02G0025840-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:89781860:89784684:1 gene:Sspon.02G0025840-1A transcript:Sspon.02G0025840-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVGAVVSPANPSLTAAEVTRLLALSNPSVAFAVAGTRGKLPPGLRTVLLDSPTFLSFLHEPEDGDAEVVVRQSDPAAVLYSSGTTGRAKAVVLTHRNLIASNVTRAPAATETLMLTVPLFHIYGFTFCLRAASAAHTLVLHTARGRFDAAAVLAAMGRFGVTRLALAPPALRAIVRAAEEDAAAAARVATLKTVNCGGAPVAADLIALFSRLFPGVSVTQGYGLTETTAGFCRAVGEEESARVGSVGRLSWGAEVKIVHPETGAALPPGVPGELWVRGPFVMKGYLGEEDSTSEILDSEGWLRTGDLCYIDKDGFVYIVDRLKELIKYKGYQVPPAELESLLQTHPDIVDAAVVPYPDDEAGELAVAFVVRRPGSHLHESHIKEFVASQVVHYKRIHHVFLVDSIPKNAAGKILRKDLAKLALRRISSKLYAMVERIGAKQH >Sspon.08G0021920-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:53448011:53448700:1 gene:Sspon.08G0021920-2C transcript:Sspon.08G0021920-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RHGRCRRRRRRGSGWRPRRRGRRLLLPPRAPLLRLLLGGAGVGLVVVPARAAAPERRGPWRRRGVVARRRGRRGKRIRSSVRHREEASVVAARGGRAHEGAGVVGAGGRPAVEDLHPPVPLPPPQRPAPRGGQQRRGRGQTQLRPAQLRAQLRRGTRRRRLRQPWRGRRRLPGLPRLLLLRALRRARAAAAWVVGQVVHGRPRRPRRAAAAAVHPPPALPTPACYGRREG >Sspon.08G0020970-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:39556439:39558177:1 gene:Sspon.08G0020970-3D transcript:Sspon.08G0020970-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGGGAGEKLHHKEANVAHGAAAVYGAGAAGKGAAAKTSFLNGLLLYVVLPVLVLYFVVIAASQFYNPRCSPEGNAMATHFVVANKPNNASSATSLNASSSSTPPPPAPASKTRLTAEEAPTGLRHIVFGIGASASLWESRKEYIKLWWRPGRMRGFVWMDKPVGEFYSKSSRTGLPAIMVSSDTSKFPYTHGAGSRSALRISRIVSETFRLGLPGVRWFVMGDDDTVFLPENLVHVLSQYDHRQPYYIGSPSESHIQNLIFSYGMAFGGGGFAISRALASELAKMQDGCLHRYPALYGSDDRIHACMSELGVPLTRHPGFHQCDLWGDVLGLLGAHPVAPLVTLHHLDFLEPVFPTTPSRAGALRRLFDGPVRLDSAAVAQQSVCYDHAHQWTVSVSWGFAVMVVRGVLSPREMETPMRSFLNWYKRADYTAYSFNTRPVARQPCQKPHVYYMRGSRMDRRRNVTVTEYERHRVKHPGCRWRIADPSALLDSIVSPRRNCCRVVSSPKEGKDRSMTIDVGVCRDGEFAKV >Sspon.03G0003790-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:26239160:26242183:1 gene:Sspon.03G0003790-3C transcript:Sspon.03G0003790-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLFVEKLLVGLFASVVVAIAVSKIRGRKLRLPPGPVPVPIFGNWLQVGDDLNHRNLAALARKFGDIFLLRMGQRNLVVVSSPPLAREVLHTQGVEFGSRTRNVVFDIFTGKGQDMVFTVYGDHWRKMRRIMTVPFFTNKVVQQYRAGWEAEAAAVVDDVRADPAAATEGVVLRRRLQLMMYNNMYRIMFDRRFESMDDPLFLRLRALNGERSRLAQSFEYNYGDFIPILRPFLRGYLRICKEVKETRLKLFKDFFLEERKKLASTKAMDSNGLKCAIDHILEAQQKAIETTLWSIEWAIAELVNHTEIQQKLRQELDTVLGPGHQITEPDTQNLPYLQAVIKETLRLRMAIPLLVPHMNLHDAKLGGYDIPAESKILVNAWYLANNPDSWRRPEEFRPERFLEEEKHVEANGNDFRYLPFGVGRRSCPGIILALPILGITIGRLVQNFELLPPPGQDKLDTTEKGGQFSLHILKHSNIVCKPRTF >Sspon.05G0006280-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:21319493:21326808:-1 gene:Sspon.05G0006280-4D transcript:Sspon.05G0006280-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RESQRDCAKPAVSPSPRGRECATLDREPDGAPADSTSASTAAPEHRGRFTFAEHWKRRQPPAARRPPPPRLAAWRQSPLPSLCAETLSRLRVAVAGRREAQCICTSTSGCCGMLSEDLLKRQSAEDPDGSFYTGDDPDISFYNGMILIEASTMEIILIEASTIEMILSILREVMMDHREEYYTVKNSKWLCCREIPVKETKKVFRSEDENGNKMINQYVHLGKIGSGSYGKVVLYRNIKDGKLYAVKVLNKPYMMKVRVVRTETAMTDVLREVSIMKMLNHPNIVNLVEVIDDPNIDKFYMVLEYVEGKMVCDNGLEEATARNYLRDIISGLMYLHSHNVIHGDIKPDNLLVTSAGNVKIGDFSVSQDDDDMLWRSPGTPVFTAPECCQGSAYHGRASDTWAVGVTLYCMVSGHYPFLGDTLQETYDKIANDPVQIPGDMNPQLADLLQRLLCKDPGDRITLQSAAEHPWVAGNEGPVPEFICRCGFGRRKRNDVREEVQ >Sspon.04G0012840-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:60005513:60009128:1 gene:Sspon.04G0012840-1P transcript:Sspon.04G0012840-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHLPASPAAAVPTGHRVSPSLRSCPSLPQLKTTVLSSIGAAAGPPSRSWRGVAAVATAATGSDKAAATGAIANANEVPVLSFSEVAERLDTFHASGARNQNYMAMYSSIFGGITTDPSAMVIPIDDHMVHRGHGVFDTAAIMDGHLYELEQHLDRFLRSALMAKIPLPFDRSTIRSILIQTVSASNCTQGSLRYWLSVGPGDFQLSSSGCANPALYAVVIESPSLQVPSCCKVVTSSIPIKSPQFAVMKSVNYLPNALTKVEGEENGAFTGIWLDDEGFVAEGSNMNVGFVTKSKELLLPCFDKILSGCTARRVLTLAEHLVAHGKLSGVISGNVSVQEGKMADEMMLIGSGILVKPVVQWDDQIIGSGQEGPIAQALYELILEDMRSGPPSVRIPVPY >Sspon.06G0014000-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:59919884:59929652:1 gene:Sspon.06G0014000-2B transcript:Sspon.06G0014000-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GAGSGAMQPPMRMPGIPGSMQPNTLGPPGTPTIPYSPHAGTQVSTPSKIDPNQIPRPMPESSVIIYETRQGGQATIPPAASSEFIVKDTGNCSPRLMRCTVNQIPCTGDLLTTSGMPLALMVQPFSLPHPSEEPIQLVDFGEMGPIRCSRCKAYINPFMKFVDQGRHFICNLCGFRNDTPRDYMCNLGPDGRRRDADDRPELSRGTVEFVATKEFLVRDPMPAVYFFLIDVSMNAIQTGATAAACSPRTMVGIATFDSAIHFYSLKRAQQQPLMLIVPDVQDVYTPLQTDLILPVSECRENLEQLLESIPNMFENNRVADSAFGAAMKAGFLAMKSTGGKLLVFQSVLPSLGIGSLSAREAEGRANITTGDKVSVDVFLTTQSYVDIASISVVPQTTGGRVYYYYPFSALSDPAKLFNDLRWNISRPQGFEAVMRVRCSQGLQVQDYFGNFCKRVPTDIDLPAIDSDKTVMVTFKHDDKLPENVECGFQCALLYTTVYGQRRIRVINLSLSCTNLLANLFRCADLETQFACFLKQAANGIPTSPLPRIRDEATNTCINILQSYRKHCASVTSSGQLILPEALKLLPLYTLALVKSVGLRTDGRLDDRSYWISLVSSISVVLAVPLVFPRLIPIHDLISRDDDDSLVPSPLMLNSENVQEDGVYLLENGEDGLIYVGNMVNPATLEQIFGVSSLAALPVQASLFTISLTILKLLGLSFLVWLEYNNTEYTLWKLRLYNITMSVILALDQFDNELSRKVNEVVNEIRRQRCSYLRLRLCRRGEPSGDFFRSFLIEDKAPGVFSYVEFLVHVHRQIQSKMT >Sspon.08G0000250-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:1444855:1449002:1 gene:Sspon.08G0000250-1A transcript:Sspon.08G0000250-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHQPPPPTRRWGVVAAVVALVLLACLQIQYQHLKVDLGKAGFASATQENNAIPSHNRIRWGTARIRKAANGADSLPRGIVQRHSDMSLRPLWEDDAASTHKNKNGDHSALLAMAVGISQIKNVDTMARKFLKENYAVMLFHYDGNVDGWRHLEWSEKAIHILAHNQTKWWFAKRFLHPDVMAIYDFIFLWDEDLGVENFNPRRYLDIMVSEGLEITQPALDPDLSTDIHHRITIRNKMSKVHRRIYDNRPSMNCSDESKGPPCTGWVEGMAPVFSRAAWKCVWHLIQNDLIHGWGLDMKLGYCAQGDRTEKVGVIDSEYVVHQGIPSLGGPSLSSKTPRRSLDLRTHIRRQSSAELERFKERWNRAVREDEGWRDPFDS >Sspon.02G0026200-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:92201131:92201772:-1 gene:Sspon.02G0026200-2B transcript:Sspon.02G0026200-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTRYYSTWGHVATLAEEIKKGADAVSGVEATVWRVSETLPEEVLGKIGAAPAREDHRVISPRDLADADGVLLGFPTRFGMMAAQMKAFLDATGGLWQSQALAGKPAGVFLSTGTQGGGQETTALTAVTQLVHHGMLFVPLGYTFGAGMFGVDEVRGGSPYGAGTFAGADGSRTPSEVELAVARHQGTYFASIAKKLKAGGTALAAEASASA >Sspon.02G0020780-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:67686923:67690935:1 gene:Sspon.02G0020780-1A transcript:Sspon.02G0020780-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDAPATKKKRFQGPRHKDSTPKEGNEDGVDHISSLPDNILGDIISLLPTMDCARTKCLSSKWSRLWLSAPLNFDYCDLQDPNDDKDLVSIISYIFYAHPGPARRFCIPAHYLCTNIDNVVTWLQSPTLNGLQELEFHIPEVDFTLSLYPPPPASIFRFSSTLRIVSFGGCRLPDIMVNQLQFPNLQQLTLFDAIISEETLHAMLDSCPTLKILLMKYNEGFRYVRINSRSLKSIGVHTDSFRQGPTLEELIIEDAPFLERLISFERYSRLHILVISAPKLETLGCIFENSNKTMLTLGSTTFLGIRSVDMRATVSMHTVKVLSVFPLSLCLDDVLNFIRCFPCLEKLYIRLVFGLHNVIVILVIISWSKLIFHTRLNFQSHEQGHTNQWCCKQRNLIKSLDLHLKTIVMTNYRGTAEQTEFVTFFVENARNLESMRFVVPSCFYGDKNWIRRQHIQLKLDKSSSRGATFTFIDNQCHHNMIHVLRASDLSAADPFDLT >Sspon.05G0036990-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:7476278:7479206:-1 gene:Sspon.05G0036990-1D transcript:Sspon.05G0036990-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDNNWTINLERDGKAEGHKHVQREMDRDEICFFDLIGLIEGSGYTSVDYLYYKRKDSLVVMEQDSDVMEMLNECESEKTVNLFVTRQRLATLAPTKGGRKKKKGLNVMHTEALYANEVEQHDDENQNTSGDGDEVPDKRKGIVLTHVWDMLEGDRIVVRCNMLGQPIGKESGLLGQFLGTIARNDSYYPVGAKDWRETKFLYPRSCEKWILKSIGRDWKKYKATLKKTLFNPKKKKFACPDDIDEDQWKALTLSEKNKISRHIQWVQRVMLEIRQLKEHSRMQDKVIEELKNNQRHHENQEATMAGSMVLLMISKYPNKAHVAYATLLSTDLEAIVGGVKIG >Sspon.01G0061490-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:94252447:94259006:1 gene:Sspon.01G0061490-1D transcript:Sspon.01G0061490-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARWRPAALLVVALAAVLSAAWRADALSVTVTDTECIHEFVPYEGDTVTSPGGNTVHTLKGKSGDKFEFKAPRGGMYKFCFHNPYGAPETVSFYIHVGHIPNEHNLAKDEHLDPINVKIAELKEALESVTAEQKYLKAREARHRHTNESTRKRVMFYTMAEYIAFMAASALQVLYIRRLFSKNVGYNRVSRQELGLTKGTVSCSISMTEYSSDSKLSAHSSENRRGLQKAIQPTTEYALINHQEELGAPQIL >Sspon.07G0015100-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:52566917:52572960:-1 gene:Sspon.07G0015100-3D transcript:Sspon.07G0015100-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTLSVLLFWLLLAFSSLHAPVSCSAATPNDDTLAVGQALAVGGKLVSRNGKFALGFFQPGSAITSKYTTTASPGWYLGVWYNMIPVFTTVWVANRDTPIGHPVVNLTELKISADGNLVISTHNATEPIIWATHLINRTQASIANTTAVLLNSGNLALMETSSNAVPLWQSFDYPTDVFLPGAKFGRNKVTGLNRQFTSNKSLIDPGLGSYNIELDTNGGMLLSSRSPYLVYWSWSGSSLASKLVPLLTMILDMNPQTKGLISAAYVDNNEEEYYTYTLLNESSLVYALLDISGQLKLIVWSQATKSWQTPYAQPAVPCTAYATCGPFTVCNSKADPFCNCMDSFSVKSPRDWEVDDRTGGCIRNTPLDCNRNGNTTVSTDMFLPITRVTLPYNSQRVEDATTGAECTEACLKDCSCTAYSHNSSRCLIWHGELLNVKENDDIDNSSEDVLYLRLSAQDFHGVRKSKRKSIIGAVSAAIVISFGLLMLILLSVIWRNKFKCFGGQLYNSQDIGGGIVAFRYADLGHATKNFLEMLGGGGFGSVFKGVLVKRLDGARQGEKQFRAEVSSIGMIQHINLVKLIGFCSEGDKRLLVYEHMSNGSLDAHLFQSNATVLSWSTRHQIAIGVARGLSYLHQSCCECIIHCDVKPENILLDASYVPKIADFGMAAIVGRDFSRVLTTFRGTAGYLAPEWLSGVAITPKVDVYSFGMVLLEIISGRRNSPNQVYTSNSNHVDFFPVLAVSKLHEGDVTSLVDPQLNGDFNLEDAKRLCKVACWCIQDDECGRPTMGEVVQVLEGLQELDMPPMPRLLAALTERSDAFSMYMGNREQALGEYWKLNRWTYMAMPALYILLIRGLLLISSLHIPPCSAATPNGDTLTGSKELVTGGKLISRNEKFALGKTNINLFDLATLSDYSVQHGTLYNKELAAANQP >Sspon.05G0006070-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:19370686:19373686:-1 gene:Sspon.05G0006070-1A transcript:Sspon.05G0006070-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVRDVLLSIAQTPHRLRRRALVTWTPAQELNEVRDRSGPRMKRRLEWYDLVGLGVGGMLGAGVFVTTGRVARDTAGPAVFASYVIAGVSALLSSFCYAEFSVRVPVAGGAFSYLRVTFGEFVGFFGGANILMEYVLSNAAVARSFTDYLASTFGVTEHNAWRIVVDGIADGYNALDVPAVALILLITVCLCYSTKESSMLNMVLTVFHLLFFAFIIAAGLWNGSARNLVRPHGLAPYGVRGVLDGAAIVYFSYIGYDSACTMAEEIRDPSRALPVGIAGSVLVVSALYCLMSVALCVMLPYTEVTVHAYARSVPDVLLGSPYISEAAPFSSAFREKAGWRWASSVVGAGASIGIVASLLVAMLGQARYLCVIARARLVPLWFAKVHPSTGTPMNATIFLGFCTASLALFTELQIVFEMISIGTLLVFYLVANALIYHRYVKIGTNRPLHVLLFLLLLTLSSLGFSLSRKIDGWYRWGMSLFGAISIAITTIFHCTARQDITGPPLEWSVPLMPWPAAASVFLNVFLITTLKVRSFQRFGIWSLVIIVFYVCYGVHSTYSAEENEIVNAMIHHANLDIS >Sspon.06G0011380-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:48427712:48430500:1 gene:Sspon.06G0011380-2C transcript:Sspon.06G0011380-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTPRSKSAREIDAGDRISGLPDEVIHHAMSFLPARDVVRTCVLSTRWRHLWASSRCLSVDTRDFINQRRFIKLDANGPGIFLENFRDTAYLWICHALRSNVHTLSIVDHDLKDKYDYIEEGEEDGEGEGESEERPDAFWLGHCPFMSLYLKKLHLHSVCMDKCFVKNLFSGCTALEDLDMINCVILATEFSSATLKRLSIDYHCFWRRKVYGYGDIVINMPSLVSLHIGALCAMLSLVDVQSLITASVCLDDGKATFAGACNILGALSSVKNLELLFPACVIFGSASFALSHNIAGVDSLCKETMSQFHCEKLKKVEIICPQGDRRVGILHVVKYYAAVHMSIADEFAVAGDVYRGGLTASKVAGNKITSLYALFNQVSPTIRLTMEPTMHGLMGELNGWRLEGCSQPCRGRMTENARRSSASSKTPWLLLRFTNVAILTDDE >Sspon.07G0017150-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:61764905:61770057:1 gene:Sspon.07G0017150-1A transcript:Sspon.07G0017150-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRSVCHHLLAQCKKLRELQRIHALAVAHGLHPHQQSVSCKIFRCYADFGRVADARKLFDEIPHPDLISFTSLMSLHLQLDHQREAISLFACVVAAGHRPDGFAVVGALSASSGAGDQVVGRAVHGLIFRLRLDREVVVGNALIDMYSQCGKFESAVKVFDRMSLKDEVTWGSMLHGYIKCAGVDSALSFFDQVPVRSVVAWTALITGHVQGRQPVRALELFGRMVLEDHRPTHVTIVGVLSACADIGALDLGRVIHGYGSKCNASSNVIVSNALMDMYAKSGHIEMAFSVFQEVQSKDSFTWTTMISCCTVQGDGKKALELFQDMLRAGVVPNSVTFVSVLSACSHAGLIEEGRELFDIMRQVYKIDPLLEHYGCMIDLLGRGGLLEEAEALIADMNVEPDIVIWRSLLSACLVRGNDRLAEIAGKEIIKREPGDDGVYVPLWNMYASSNKWKEAREMRQQMLTLKIFKKPGCSWIEIDGVVHEFLVEDKTHDARREIYETLEYITRQLKTHLRSSSWEQHGSSIFMCSEVDVDGDASVGQKGCKDIRRYQCEFCTVVRSKKCLIQAHMVAHHKDELDKSEIYNSNGEKIVHEEEHRCQECGSCFQKPAHLKQHMQSHSHERLFICPLEDCPFSYKRKDHLNRHMFKHEGKLFSCTVDGCDRRFGMKANMQRHVKEIHEDENASKSSQQFICKEEGCNKVFRYSSKLKKHEESHVKLDYVEVLCGEPGCMKMFTNVEYLRAHNQSCHQYVQCEICGEKHLKKNIKRHLQSHDKVPSGERMKCTFEGCEHSFSNKSNLTKHVKACHDQLKPFKCQIPGCGKAFTYKHVRDNHEKSGAHVYIEGDFEEIDEQLRARPRGGRKRKALTVETLTRKRVTILSEASSLDDGE >Sspon.08G0020630-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:25951540:25953383:-1 gene:Sspon.08G0020630-2C transcript:Sspon.08G0020630-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGARGKPQTCRVVLLTEPVEIRWQLAAAEETDGEPDGCGSPSATDSRRRLMIPLLGKGSFAKVYHARNVGTGEEVAIKIMDKDHLSKLGAVQQQIMREIDIMRRVRHPHVVRIHEVMATRKSIFVVMEFVGGSTLNAHLVHRAGRGISEASARRVFQQLVSALDYCHSLGVYHRDIKPDNILVDATGNIKVADFGLSALASTAQREALLHTVCGTPMFIAPEVFLRCGYDGAKADVWACGVVLFALDTSLYHMIRRCDYHCPLWFSTGLVALIRRILCPNPASRITIPQMKENFWFKKDFKEIPRSLSEPKLRDSDSDSDDESTASSTSSSTLSGDLASPMACPMHTSVSASLTTLESTATHAPPKSLNAFDIIASSPSLDLSGLFQDPSEQMRFVSTAPVSKIISKLEEIAGTNGNQGVLLISAKIFELTPKLVMVKVCKNAGDITQYRQFCNNELKPGLRGLIDGLPEDNGDCMASISR >Sspon.03G0021920-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:66812289:66816046:1 gene:Sspon.03G0021920-1A transcript:Sspon.03G0021920-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase 25 [Source:Projected from Arabidopsis thaliana (AT2G41480) UniProtKB/Swiss-Prot;Acc:O80822] MAALFLLFAALLLRSSSVHSQGLEIGFYDSYCPDAEDIVRSTVEQYYDRDATIAPGLLRLHFHDFLISGASSERSAPQNLGLRGFEVIDDAKSQLEAVCPGVVSCADILALAARDAVDLTGGPSWSVPLGRRDGRLSSASGATALPSPADPVSVQRKKFADQGLTDHDLCTDCQFFSYRLYNFTATGNADPTISQASLAQLRALCPPNGDPGRRVALDQGSPGAFDVSFFKNVRDGGAVLDDAATQGVVQKYAGNVRGLFGLRFGYEFPKAMVRMSSIGVKTGDQGEIRRSLGRTRARGGFTLTTSSSTVMLVSIGKKRSPRHNKDGSSSSPLTRPRPLFRAPQSNAPTNAPSQNDSPHPRHLSPHGLTSFEHHQHREEQETPRRGSRRRGGRCNT >Sspon.08G0000520-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:473538:476345:1 gene:Sspon.08G0000520-2B transcript:Sspon.08G0000520-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGAVLVAIAAAIGNLLQGWDNATIAGAVIYIKREFHLETQPAVEGLLVATSLIGATIITTFSGPVADIVGRRPMLIASSLLYFAGGLIMLWSPSVLVLLLARLVDGFGVGLAVTLVPVYISETAPPEIRGLLNTLPQFTGSFGMFFSYSMIFYMTLGDHPSWRFMLGVLSVPSLAYLALTVLYLPESPRWLVSKGRMKEARAILQMLRGREDVSGEMALLVEGLGSGGDTVIEEYVLGPATGAAAAGDESEHDTRDQVTLYGPEQGLSWVAQQVQGARSSVLGSAVELASRQGSMYEQMKDPVVTLLGSVHDKMPESGVPGASARGSTLFPNLGSMLSVAERAGDWDEENVPPNDDLDDDDEEEYLSDDEDGAGAAAGGGALHAPLLSRQSTDVDTSSSKKDAGSTSQPPGSSPMQRYSSMTGGETASTMGIGGGWQLAWKWTENVGPDGVRRGGVKRMYLHEEGGGGDGGDSSGPPRAGEYVHAAALVSRSMLYTKDVLIGQSPTPAFDNPPETVANRAAAASGPRWRELLEPGVRRALFCGVMIQILQQILDQAGVSVLLASLGLSADSASILISGLTTLLMLPSIGLAMRLMDVSGRRSLLLWTIPVLIASLVVLIVANLVPMATTVHAVLSTASVIVYFCCFVMGFGPIPNILCAEIFPTRVRGLCIAICSLTFWLGDIAVTYSLPVMLKAIGLAGVFGFYAFVCCLALIFVYLKVPETKGFPLE >Sspon.01G0047980-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:108248273:108250317:-1 gene:Sspon.01G0047980-2D transcript:Sspon.01G0047980-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHRVDNEYDYLFKIVLIGDSGVGKSNILSRFTRNEFCLESKSTIGVEFATRTLQIEGKTIKAQIWDTAGQERYRAITSAYYRGAVGALLVYDITKKQTFENIQRWLRELRDHADSNIVIMMVGNKSDLNHLRSVAEEDGQALAEKEGLSFLETSALEALNVEKAFQTVLSDIHQIISKKALAAQEAAGSGPPSQGTTINIADSSANTKRGCCSS >Sspon.05G0014090-2P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:5C:44595439:44597454:-1 gene:Sspon.05G0014090-2P transcript:Sspon.05G0014090-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDSGNFVLAAADGSIQWGTFNDPADTILPTQVLTAPKTLRSRIIATDYSNGRFLLDLQDTGVKLYSVAVPSGNQYDYYWSILGNTTKMVFDPMGRIYIALDNGTQINITYGAAGSLADSYQRATLDPDGVFRQYVYPKASDLRSQAWSMVSMQPSNICGALVTDVGSGTCGFNSYCLTDGTKNQTTCKCLEQYSFFDEERKYKGCKPDFQPQSCDLDEEASKMQFQFRTMHQVNWPLSDYEKYNPITEDQCRQLCLIDCFCAVVVYNDQDSACYKKKLPLSNGNMAGDVHATVLVKVPKNSNAQSYPIESSKWKKDKKYWILGSSLLLGISVLVILVLISVLLFGTNYTVTRKMVPSLESSSNLGLPLKAFTYAELEKATRGFQEVLGTGASGIVYKGQLEDDLGTCIAVKKIDKLEQESEKEFSVELQAIGQTHHKNLVKLLGFCSEGKERLLVYEFMSNGSLNIFVFGDVNLQWNLRVQLALGVARGLLYLHEECSTQIIHCDIKPQNILLDDKFTAKISDFGLAKLLGTNQTQTNTGIRGTRGYVAPEWFKSIGITAKVDVYSYGVILLELISRRRNVELEAAEDKQILTYWASDCYRCGRVDLLVEGDAEAIFNLKVVERFVAVALWCLQEDPTIRPTMLKVTQMLDGAAEIPTPIDPSSFVSSVQ >Sspon.07G0016510-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:46445420:46447000:1 gene:Sspon.07G0016510-3C transcript:Sspon.07G0016510-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Jasmonate O-methyltransferase [Source:Projected from Arabidopsis thaliana (AT1G19640) UniProtKB/Swiss-Prot;Acc:Q9AR07] MEMLQDKAVVVARPRPEAAAAAAVDVAVRRMNSSSDGKMSYANNSDFQRVIASVTKKARQELAAALYRARGRPDSMAIADLGCATGPNALLMVSDAVEAVLAESHQPPPQLHVFLNDLPANDFNAVFRLLPSSPLAATGCCLVSAWPGSFYERVFPEASLDYVVSSSSLHFLSKAPTMRMEHPNRGRVYVSDSGPAAVLDAYRSQFHADFLAFLSCRAAEMRPRGLLLLTFVARGTARPTAHDCYLWDLLANALMDMAAAGLVDEDQVHAFNAPYYSPCPDDLAKVIAKEGSFTVRTMQLFDTTRRCLLLQAQADDDELPRWLAMETASTVRAVVEPMLRTQFGWDAMDGLFCRYSLLLEAYYRSNTSRNKDDLTNVFLVLEKKQH >Sspon.05G0025670-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:33934052:33940254:1 gene:Sspon.05G0025670-2D transcript:Sspon.05G0025670-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAWWPGSKLRSRSKAKPGGAGSVSAASSPRKSADLESPSPSPSPTQRDRKAHSLDFPGAGAAPARGRCAAAGHGSVGYKLPMPAEAAEPVGTLYEEVLAAAAAAGAGDGCSSAESSVCSAGSLDEAHYQHGFRPMDPVACARERNMPSDSDRTVNEDKRFMSCSMPREHQRFFEVPVSSVRELHFQSDEPSTSEANCSRGRMIPDFAPRTRSLSPVPKGHAFAVSNGNSRDFGFSPRSPVRKMDGLRSPPHPLPLPPASAACSLLPASAACSPLHPASGACSPLHPASGACSPLPPTHSPCSPLPSSPSSCPPLPASPTTCSQSQSQWKKGKLLGSGTFGQVYLGFNSENGQFCAIKEVQVIMDDPHSKERLKQLNQEIDMLRQLSHPNIVQYHGSELSDEALSIYLEYVSGGSIYKLLREYGPFKEPVIRNYTGQILAGLAYLHGRNTVHRDIKGANILVGPNGEVKLADFGMAKHISSFAEIRSFKGSPYWMAPEVIMNSKGYSLSVDIWSLGCTIIEMATARPPWHQYEGVAAIFKIANSKDIPEIPDSFSEEGKSFLQLCLKRNPASRPSAAQLMDHPFVLDHPAVRAAKSSVLRNTTSSPADGRHTMSNRELPSQKIVTPLKDIGLSMRDFTGFSTAVPSPHSSPIPGRANMSTPVSPCSSPLRQFKQSNVRCMRSPPHPLLSPGLGNTLSYTQNQTRRIPAPAISDPWLDVGQMKLQSLNISPKRF >Sspon.04G0002770-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:9702971:9705530:-1 gene:Sspon.04G0002770-3C transcript:Sspon.04G0002770-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGSAADGARLPPPRAGSRLCVRCGERKAALKRPKTLEQICRECFYIVFEDEIHQTIIENSLFKAGERVAIGASGGKDSTVLAYVLSELNRHHNYGLDLFLLSVDEGITGYRDDSLETVKRNEIQYGLPLKIVSYKDLYGWTMDDIVKAIGLKNNCTFCGVFRRQALDRGAALLKADKIVTGHNADDIAETVLLNILRGDIARIENGLIWLFHDPGIYSPNAYRGFAREFIKDLERMRPRAILDIIKSGENFRISTTTRMPEQGTCERCGYISSQ >Sspon.02G0000710-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:4584953:4587947:-1 gene:Sspon.02G0000710-4D transcript:Sspon.02G0000710-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADASSRTDTSIVLDDNDKNQRMENGSGAVVPSNSSDRSDRSDKPLDQKTMRRLAQNREAARKSRLRKKAYVQQLESSKLKLAQLEQELQKARQQGIFISSSGDQTHAMSGNGALTFDIEYARWLEDQNKQINELRTAVNAHASDSDLRLIVDGIMAHYDEIFKVKGVAAKADVFHILSGMWKTPAERCFLWLGGFRPSELLKLLANHLEPLTEQQMLGLNNLQQSSQQAEDALSQGMEALQQSLAETLAGSLGPSGSSGNVANYMGQMAMAMGKLGTLENFLRQADNLRQQTLHQMQRILTIRQASRALLAIHDYFSRLRALSSLWLARPRE >Sspon.02G0020470-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2A:66843674:66844075:1 gene:Sspon.02G0020470-1A transcript:Sspon.02G0020470-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTHPMLASLTLSGLDDEHPPSAVIGQIHPGDSRSGCQDARSDNIHYPDRIAASWPLYSTSEKVMRGRRRVRPKKKRLAATVLAPTRTNVRRALEAARVGETRRGGAAVVARILLSRPTGVTQGLLLSWRNVI >Sspon.03G0035020-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:41352574:41363913:-1 gene:Sspon.03G0035020-3D transcript:Sspon.03G0035020-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVGPGPGHASWGAGHVAQRQRKEASRGAVSCPLKWWPEQFRFHSQAGTAPSGDPESARDPFPSAPDFIPAQPHCRSLPFLPLRRFHSLPLNLTPAGRAPTLTEYTPACGGGGERNMGANCCIAAKERTQPCITPLEVSAYRNVRHSPSWSFRWDNRTHIEDIMDIPTLFSNHSSGSIRPDTKSGSIAPTEGFSNGSSRGTSPSNVFRGAKWHKSDKKLEAPKAKLSRESLDMSSVASDLKTSVSVPSTPPLVSRADPSSSRGHSQPTDSDSTKKARRSPGYQLYRQVSDSKIPSLRSLNEISSPEGRPSSSMLSVCSNDLSAAGSYGESSDGWSMRTFSEMVATSQRERWSLDSELLGSISSKMTRSSASNSTSLPPDQEVCKLCLKLLKERSTWNAQELAVVAVLLCGHVYHADCLDSITTEADKYDPPCPVCTHGEKCTVKLFGKLESKIKNKIPKNVAVDMNPDGNSNKHQKGRREPRLGTSSSMKVPFSRPFLWRHFSIGSRPPRSVTETDSTRKKGFWARHWREWAGLRYYVLEGFLRGGELPSMQANGVQTGTRAAEQPTYLEAKLSRESLDMSSVASDLKTSVSVPSTPPLVSRADPSSSRGHSQPTDSDSTKKARRSPGYQLYRQVSDSKIPSLRSLNEISSPEGRPSSSMLSVCSNDLSAAGSYGESSDGWSMRTFSEMLQHPKERGGHLILLGSISSKMTRSSASNSTSLPPDQEVCKLCLKLLKERSTWNAQELAVVAVLLCGHVYHADCLDSITTEADKYDPPCPVCTHGEKCTVKLFGKLESKIKNKIPKNVAVMK >Sspon.08G0012620-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8A:53973370:53975227:-1 gene:Sspon.08G0012620-1A transcript:Sspon.08G0012620-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ELQDLSAGVLERNKGYYSTSQGRPDGSGGGYTYSSRGGNTGGRWDTRSSGSSDRDGELPDRDSQTQAGRGGNQYRRNWQNTEHDGLLGSGGFPRPSGYAGQLSSKDHGNAPQLNRTSERYQPPRPYKAAPFSRKDIDSMNDETFGSSELSNEDRAEEERKRRASFELMRKEQHKAMQGKKNGPDILKENPSDDIISQLQTSTAKANAKTKNEKLDGSAVSLYQEDTTKPSSVLLAPAARPLVPPGFATAFADKKLQPQSSNIAHEPKCHNATTEANMLTVAWLGGQLEGDQSATEFASESKEKGISNNVAIMGPKHTLPAGGVTSSAELPSSILKGSEDWEADVMDKYSIGKEGKSKIIDPVRKGDSVSILEQFFGN >Sspon.02G0030060-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:109126446:109127664:-1 gene:Sspon.02G0030060-1A transcript:Sspon.02G0030060-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGGLIAEVGWTEFDFLSHGEESEVMAQLLGAFPSHGEEGQHELLHWLDQASNAYSDSIPPACEGYYFLSNSNEALGSSSCAAPGALGSVQEEHGAAEYLNVTANHSFNCYGNGDPSSPLPTRARGSTCVGEPKRAKRAKKSGDEDPGTAIASGSPTSCCTSDSDSNASLKSADADARRPKGKGRAGRGATTEPQSIYARRLKILQGLVPNGTKVDISTMLEEAVHYVKFLRLQIRVRICLLVVMILQLLSSDDTWMYAPIAYNGMNIGIDLNMDR >Sspon.04G0002430-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:7374866:7377748:-1 gene:Sspon.04G0002430-1A transcript:Sspon.04G0002430-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLAPSPRALCVREAAAAPPHPHSLAAAACSTVGGGAAGRALWLWNGGGTGRRRRERGGRVRAEAYFWDVSKPVEMEEIDSMEKLDDALRWSVENNQPIIIDWMASWCRKCIYLMPRMEKIAGEYPGVRFYFIDVNKVPQAVVKRGNVTLWKDGEWKAEVIGGHKAWLVMDEVREMIQQNKCPDPEVAAISILAGSPAAGDIDDDGEGEGSSVPMGTSESGASTRLTLMERMGRKLRDLSRPPSLEKTSEELRRMR >Sspon.02G0033070-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:3464246:3465214:-1 gene:Sspon.02G0033070-2D transcript:Sspon.02G0033070-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSARLATTFAVALVLPWLEAAEGTPWFWPPIGGDDPYCLTWRVMVEANNAKGWRAVPAQCVGYVRGYMAWGQYYRDVGAVAEAAAAYAAQVAPPAGGDGRDAWVVDVDDTCLSNQPYYQVKQFGAYDPVAFRAWASRAICPGIPAMQWLFQTLRSRGFRVFLVTGRDEETLGSCTAANLAAAGFSGYDRLIMRGALYRGQSAVAFKSAVRRQLVEEQGYRIRGNVGDQWSDLQGDCAGDRVFKLPNPMYFVP >Sspon.08G0011430-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:47734412:47738038:1 gene:Sspon.08G0011430-2C transcript:Sspon.08G0011430-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHVSAPKSAAALYASLLQSCIGANAFRQGKSVHHRAVITSSASSPPDLHLSTKLVMFYSHFGDVAAARAVFDGMPHRSVVSWTAMVSGYAKNSRPQEALELFALMLRSGARPNQFTFGSAARACAGARCARSGEQVHACAAKGRHDGDMFVQSALMDMHLRCGSVGDARRLFAEMERKDLVSWNALMRGFVERGHCSDALGLFASMLRDGMLPDHFTFGSALKVCGAIRVLFNVELIHTCIIKLGYWDEKVATASLIDSYAKCRSLSSARVIYDSMCEPDLVSSTALISGYSMDRNYSDDAMKLFCKIHRKGLRIDGILLSSLLGVCANAASIKFGTQIHAYMHKKQPMGDLALDNALVDMYAKSGEYLDSRRAFDEMPSRNVISWTSLITACAQHGFGEGAVTLFSRMEEDGVKPNDVTFLSLLSACSHSGMMNKGMEYFTSMISKYGIDPRAKHYSSAIDLLARGGQLEDAWMLLQKTNTECKSSMYGAMLGACKVHGNMPLGETAAKNLFSIDPESSVNYAVLANMYAESCLWEDAQRTRKLLAETSKGKETGEP >Sspon.01G0004750-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:11791978:11794582:1 gene:Sspon.01G0004750-2C transcript:Sspon.01G0004750-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein CutA, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G33740) UniProtKB/Swiss-Prot;Acc:P93009] MPLPAPVRVFSPPSSTSSAAASPAGAKRTLLYVRRSPLAGALLFFSIGAVSAAVACRTGCAISHRRLPFFGARGLSSTRMESASTTVPSIVVYVTVPNREAGKKLSHSIISEKLAACVNIVPGIESVYWWEGKVQSDAEELLIIKTRESLLDALTAHVKANHEYDVPEVIALPITGGNTKYLEWLKNSTRDN >Sspon.02G0043190-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2D:83791058:83792745:1 gene:Sspon.02G0043190-2D transcript:Sspon.02G0043190-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRPPTPLPDELVEEVLLRLPPHEPAGLVRAALACRRWRRLVSDPGFRRRFREFHRRPPVLGMICSRYGYRDSRFVPTTAFCSPKADRGHLRALDARHGRVLLGGRRSVVVWDPIADEERELPVPEWQSVFEGAAVLCSAAAGACDHLDCHHRPFLVVYVCCRLGGETLVYTYSSDAAAWVDPVCAQQHGYEVDYMMGSALVGNALYFGSLSGDTALKYNLELRQMSWIPLPSQSKSWPSVLTTTEDGALGLASQDYFKLYMWSRKDTHEVDAIWERRVIIELNTVFPVDVVLTRGYVIGSADGLGIVFMCVDDVVYTIDLKTYNVKKVYEGTADTIFPYMSFYTPGLGPPLPTSSCPPPHPGGHRRRPQAPWPALLPLLLLSIAIFLPSFLPPGLRSWLHPLQPPLPPDLLQAAPILLWARPQPPAPLPSGRRPPIPFPGSRSSPSSSPTRPSPPQCL >Sspon.02G0012500-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:32955449:32955805:-1 gene:Sspon.02G0012500-1A transcript:Sspon.02G0012500-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPVEVGAQGTVGSLVLREVEYFRRMEVVAGGHGKKSSSKVVAASGGGGGGGSPWSNSKKPAKKKKDAVAGSGSFLPRMCSSAEVAEDPGSGRRERPSRVRYRPLGDDGDALPQLD >Sspon.07G0020000-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:66535310:66536767:1 gene:Sspon.07G0020000-2B transcript:Sspon.07G0020000-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAVAVGARRGRHMSAGEQRPAQALAWIRENGLQPRTSGRGERCEFVQPELDRTVSTHQTVRDTNTRVRRHLPALEFEGRPTDGPMAAAAAVYRRVLKAVQKHQHFRDFVAAEFRAPAGTEADARARLRLAGDYAYLLTSVHHHKVLEIARAMMDLLFSYNIAVDRSDEMKKILNKSAASVGLQLPDVYQP >Sspon.03G0038150-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3C:3200156:3200542:-1 gene:Sspon.03G0038150-1C transcript:Sspon.03G0038150-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTHGQSLSRRTYLLPSNDANLSSDPSPTRDTWLYGQNELSGRYVNDIANYDIVATYIPRMTGIIRLLPVKHS >Sspon.04G0024280-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:18613588:18613926:-1 gene:Sspon.04G0024280-1B transcript:Sspon.04G0024280-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLNDFEEGGEGGDPSECDGKFHKNSEPVVALSTGWYANGKRCCKNIRTNAKGRSVLAKAVDECDSLHGCDSEHAYQPPCRPNTVDASEAVWNALGITGDDVGEYPVTWSDA >Sspon.07G0027590-2D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7D:54064257:54064703:1 gene:Sspon.07G0027590-2D transcript:Sspon.07G0027590-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLPPLSTIATLTQTRCFRSSVSTPASTTQALAVSTPPPPPPLTTAVAKSSFSFRFGLSTLASVTFRAPAAHRRFRRHHANQYVSCSFFMVVAPATGSCQSNVSHRLLVSAPTIELPADLPAATTCPASLPRAPPSEPENNKSPLRL >Sspon.01G0008490-4P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:24642183:24645372:1 gene:Sspon.01G0008490-4P transcript:Sspon.01G0008490-4P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADQLTDDQIAEFKEAFSLFDKDGDGCITTKELGTVMRSLGQNPTEAELQDMINEVDADGNGTIDFPEFLNLMARKMKDTDSEEELKEAFRVFDKDQNGFISAAELRHVMTNLGEKLTDEEVDEMIREADVDGDGQINYEEFVKVMMAK >Sspon.05G0018830-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:82695268:82697758:-1 gene:Sspon.05G0018830-2B transcript:Sspon.05G0018830-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGEDIQPLVCDNGTGMVKAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQSKRGILTLKYPIEHGIVSNWDDMEKIWHHTFYNELRVAPEEHPVLLTEAPLNPKANREKMTQIMFETFNVPAMYVAIQAVLSLYASGRTTGIVLDSGDGVSHTVPIYEGYALPHAILRLDLAGRDLTDSLMKILTERGYSFTTTAEREIVRDIKEKLAYVALDYEQELESAKSSSSVEKSYELPDGQVITIGAERFRCPEVLFQPSFIGMEAPGIHETTYNSIMKCDVDIRKDLYGNIVLSGGTTMFPGIADRMSKEITALAPSSMKIKVVAPPERKYSVWIGGSILASLSTFQQMWISKAEYDESGPAIVHRKCF >Sspon.03G0022780-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:40129986:40131745:1 gene:Sspon.03G0022780-1P transcript:Sspon.03G0022780-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKAARWFRTILGKKEQQATTKDQQRRPHQQDQAPPPPPPATGKRWSFGKSSRDSAEAAAAAAAVVSAGAGNAAIARAAEAAWLRSAACAETDREREQSKHAIAVAAATAAAADAAVAAAQAAVAVVRLTSKGRARPPGVLAAAGGRSAAAAVRIQTAFRGFLAKKALRALKALVKLQALVRGYLVRRQAAATLQSMQALVRAQAAVRARRAGVAAALPHLHHPPPVRPRYSLQERYADDTRSEHGVAAYSSSRRLSASVEFSSYGYDRSPKIVEVDPGRPKSRSSSSRRASSPLLDAGGGSSGGEDWCAKPASSSPLPCYLSAGGPPRIAVPTSRQFPDYDWCALEKARPATAQSTPRYLQLQAYAPATPTKSVAGYYSPSLSGCPNYMSSTQASEAKLRSQSAPKQRTELAACGGGGARKRVPLSEVVVVESSRASLSGVVGMQRGCGGARAQEAFSFRTAVVGRMDRSLEVAGVENDRLGFLQRRW >Sspon.02G0011710-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:35124416:35126280:-1 gene:Sspon.02G0011710-3C transcript:Sspon.02G0011710-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SFTSCNMYSPSNLLQGSFTQRVDLWSTSCLQAVRHHAGIGSVEFSIKKNMKSSQLQNATCFVGLGQQLQCRLSARSHILNVKSDILSHQKVSSVSWSLGSMPQRIGCVVSGLGFAVSGLASAEGPVNNNIDSTEPDKSSTNLSHGKKVYTDYSVTGIPGDGRCLFRSVAHGACIRSRKPIPNEDHQRKLADELRTKVADEFMKRRAETEWFVEGDFDTYVSQIRKPHVWGGEPELFMASHVLQMPITVYMHDNEAGGLIAIAEYGQEYGTEAPIQVLYHGYGHYDALQIPGKGGPSKEEMAIIQLGPSTCLEVGGGHGTDIC >Sspon.02G0007940-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:23062974:23064973:-1 gene:Sspon.02G0007940-1A transcript:Sspon.02G0007940-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPSVESPMYAMEESTAYLLPPLRLLFVFFAAFSFLAQCGGARVLRPEKMLLGHYSYYSTPVMPPYADVFASPNTPPPPPGSPNCVLTPDAPPPPPKATTVPAPAFVYSSPPPPEMEYPPPYISPSPPEISPSPPLLPPVIYPSPPEVTPSPPEVAPYPSPSPPEIAPSPPEISPSPPEISPSPPEISPSPPEITPSPPPTVTPLPPIIYPSPPEVTPSPPEVAPYPSPSPPEIAPSPPEISPSPPEISPSPPEISPSPPEIAPSPPPTVTPLPPIIYPSPPEVTPSPPEVAPYPSPSPPEISPSPPEIAPSPPEISPSPPEIAPSPPEISPSPPSYEPTPPSIVPSPPEYAPEPPTYVPSPPEYAPEPPTYVPSPPEYAPEPPTYVPSPPAYYAPEPPATVPSPPEYAPEPPTYVPSPPIYAPYPPGIIPSPPEYAPEPPGIVPSPPEYAPEPPGFVPSPPEYAPEPPPAVPGPPEFAPEPPGAPAVPSPGGGFLPPVVFPPPFATPSPGTTGSEWCVAKPSVPGPIVQQAMDYACGSGADCDSILPSGPCFRPDTMLAHASYAFNSYWQRTKANGATCDFGGTAMLITKDP >Sspon.01G0020920-4D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:74614098:74615563:1 gene:Sspon.01G0020920-4D transcript:Sspon.01G0020920-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLKFTVRRRPAVLVAPASATPRELKRLSDIDDQDGLRFHIPVIQFYRRNALMGRRDPAPVIRDAVARALVHYYPFAGRLRELEGRKLAVECTGEGVLFIEADADVRLDHFGDALQPPFPCLDELIFDVPGSSEVLGSPLLLFQVTRLACGGFILGVRLHHTMADAQGLVQFLGAVAELARGAAAPTVRPVWGRELLEARDPPRPAFAHREYDEVPDTKGTLIPLDDMVHRSFFFGRHEIATIRANLPPALRARASTFDLLTGLLWKCRTAALAPDADEVMRMICIVNARGGKSGIAIPEGYYGNAFAFPVAVATAGELAAKPLGYAVELVKRAKGEVNVEYMRSVADLMVLRGRPHFTAVRAYLASDVTKAGFGDLDFGWGKPVYGGPAKGGVGAIPGVASFFIPFKNAKGEDGIVVPMCLPGPAMETFVQEMGKLLSPPADAEQQQDAFPVIRSAL >Sspon.07G0009450-3C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7C:23433078:23435559:-1 gene:Sspon.07G0009450-3C transcript:Sspon.07G0009450-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding WLPRRRRSGRRCATPPRSSSLRPHRPPRPRLVPIPTTPPSRSSSSTSRCPASRPSSGPATSL >Sspon.05G0005210-2P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4C:18097170:18098450:-1 gene:Sspon.05G0005210-2P transcript:Sspon.05G0005210-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFGMGNNNGASSSSSRLDPAPLLPRHGSGSREAGLSSQPKTFANVFIAVVGAGVLGLPYTFSHTGWAAGTLLLFSVAALTFYCMMLLVACRRRLADEHPKIASFGDLGDAVFGAHGRFAVDVMLVLSQVSFCVGYLIFISNTMAHLYPITAPSSSALLSPKALVIWAMLPFQLGLNSIKTLTLLAPLSIFADVVDLGAMGVVVGQDVAAWLAKPVPVVAYGGPAALLYGLGVSVYAFEGVGMVLPLEAEAANKRKFGVTLGLSMAFIAVMYGLFGVMGYVAFGDATRDIITTNLGTGWLSAAVQLGLCINLFFTMPVMMNPVYEVAERLLHGKRYCWWLRWLLVIVVGLAAMYVPNFTDFLALVGSSVCVLLGFVLPASFHLKVFGAEMEWPGVVSDALLVVIGLSLAVFGTYTSLLHIFHSSSA >Sspon.02G0044450-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:104822470:104831018:1 gene:Sspon.02G0044450-3D transcript:Sspon.02G0044450-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heat shock protein 90-6, mitochondrial [Source:Projected from Arabidopsis thaliana (AT3G07770) UniProtKB/Swiss-Prot;Acc:F4JFN3] MIGASRRSLSAAAAAARSRVAAGAASAVSADAAASVPPRPVSNGAPGVPQQQKRLLSLLAAPKVAGTSNVVSLKLMDGALIGRRYESSAAAVDSTDLPAEKHEYQAEVNRLMDLIVHSLYSNKEVFLRELVSNASDALDKLRYLSVTDPDLLKDGPQLDIRIQTDKDNGIITITDSGIGMTKQELIDSLGTIASSGTAKFLKALKESQEAGMDSNLIGQFGVGFYSAFLVSEKVVVSTKSPKSDKQYVWEGQADAGSYTIREEKDPEKLIPRGTRLTLYLKRDDKGFAHPERIQKLLKNYSQFVSFPIYTWQEKGFTKEVEVDEDPAEAKKEGDDDTKTEPKKKTKTVVEKYWDWELANETQPIWLRNPKEVSTEEYNEFYKKTFNEYLDPLASSHFTTEGEVEFRSILFVPATRKDDITDSRKTKNIRLYVKRVFISDDFDGELFPRYLSFVKGVVDSNDLPLNVSREILQESRIVRIMRKRLVRKAFDMILGISCSENRDDYERFWENYGKFLKLGAMEDKENHKRIAPLLRFFSSQSNDELISLDEYVENMKPEQKDIYFIAADSLSSAKNAPFLERLTEKEYEVLLLVDPMDEVAIQNLSSYKDKKFVDISKEDLDLGDNNEEREKEIKQEFSQTCDWIKNRLGDKVARVDISNRLRSSPCVLVAAKFGWSANMERLMRAQSMGDTSSLDFMRSRKVFEINPEHEIIKALNAACRNNPDDPEALKALDVLFETAMISSGFSPDNPAELSGKIYEMMTSAIAGKWSSQSQAQPADPILQYAAPVMSDEPLEAEVVESEPVEAGQQK >Sspon.08G0017190-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:106985:107710:1 gene:Sspon.08G0017190-1B transcript:Sspon.08G0017190-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKREGRQHGTVLKTAAAAADADAESPAAEPLAVAATEKAAGKPTNASRSTGKCRRPRCAGCHEHPVTKARDKAKGAHKLRACDVALNHRLVSWRVVDQGQGVPEYRGKSASSLLAYLAGSGNSWHEDEDDAAGLEAPDAGLSDLYDLFVGRRADADADGLEVDPDLPARDTDVDDVGEIQATGEQGLLLDVDDVKEDGDDEEEEEEDMGFCMVGITIAVEFSDGEEDWIVVEEI >Sspon.01G0000740-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:1506297:1507506:-1 gene:Sspon.01G0000740-2B transcript:Sspon.01G0000740-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLQRRGGLLCCGCGGSAAAVAVGGRAPFPGDDSAADGQLPGAKSAAGTGTGVAATARQLSWAQVEAMTGGFTSAVVGEGGFSTVYLARLAGSLAAVKVHRSSERLHRAFRQELDALLRVRHPHIVRLLAFCDQRDEGVLVLEFAPNGNLHEQLHGSGGGTAAPMPWARRVSVALQVARALEYLHERCEPQVVHGDVKASNVLLDAGMGARLCDFGSARAGFSAAVVARPGPGQRPRVRAVLGSPGYVDPHYLRSGVVTKKSDVYSFGVLLLELLTGVQAFRDGRLLTASVAPKLAAAAAGDGVAGELVDRRLGCRYDAREAAAMVALAAACVGDNPSLRRRWPTLCGPWSSCSTGGPRSSCPPPPVRSCSR >Sspon.04G0002940-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:10156191:10158160:-1 gene:Sspon.04G0002940-1P transcript:Sspon.04G0002940-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SMASSWVSRLPLAVLLLVACCSTAAATSYTVGDGSGWTTGVDYTSWAASKNFKVGDNPVFNYAKGLHTVVEVSAAEYMACTAANPLGSDNSGATTVALKTPGTHYFVCSITGHCGAGMKLAVTVGGSNSPATTPTPTTPRRKPRQPHPPPYFSSSATAYTTPTTPTCSGGGGTTATPGMTPFMSYPSAAGLGSAALAGFGLVWCVIVQLALL >Sspon.01G0000370-4D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1D:1880536:1881273:1 gene:Sspon.01G0000370-4D transcript:Sspon.01G0000370-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWSMQVAVAVALAFLVGGAWCGPPKVPPGKNITATYGSDWLEAKATWYGKPTGAGPDDNGGGCGYKDVNKAPFNSMGACGNVPIFKDGLGCGSCFEIKCDKPAECSGEPVVVYITDMNYEPIAAYHFDLAGTAFGAMAKKGEEEKLRKAGIIDMQFRRVKCKYGEKVTFHVEKGCNPNYLALLVKYVDGDGDIVAVDIKEKGGDAYEPLKHSWGAIWRKDSDKPLKFPVTVQITTEGGTKSVYND >Sspon.07G0027820-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7B:62351293:62352315:-1 gene:Sspon.07G0027820-1B transcript:Sspon.07G0027820-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTTTTAMRTSLVLLLLLAAASAAAASSSKDRCHSDDKKALLAINAAFGTPYHFASWTPDSSCCDWYDVDCDPFTGRVVGLSIFQDANLTGTIPDAIAGLAHLRNLMLHHLPGISGPIPPAIAKLSNLSMLIISYTGVSGPVPSFLGKLTALTLLELPFNSLTGAIPASLAALPYLSGIDLSRNRLTGAIPPLLLSRSPDQAYLVLSHNNLSGGIPAEFAAVNFAHLDLSRNALTGGGASGLFGRAKELQYLDLSRNALSFNLSGVELPEQLYFVDVSHNAIYGGIPAQVANLTNLQFFNVSYNRLCGGVPTGGNTARFDAYNYQHNKCLCGPPLPTCNK >Sspon.04G0024730-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:21394488:21398500:-1 gene:Sspon.04G0024730-1B transcript:Sspon.04G0024730-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:pentatricopeptide (PPR) repeat-containing protein [Source:Projected from Arabidopsis thaliana (AT1G19520) TAIR;Acc:AT1G19520] MRALLSLSRLARRLPASLAPVSAPTTFLLRHIHADAPPPPPPPHDSSPFVSRILESEPSLTPKAESEPASDPTLDEFLARFVAAFRPLLTAAFPNHDRDVLDEMLRLVADAVLCRLTGADQGADAIELNDDLWAAVWEVSASVREAMRRDQVRADLRQYLHCDEVKEMTRFAVDVGIRGAMLRELRFKWAREKLDEVEFYRGLDDKRADAEAGADPAPAPVPRLTALPKRKGEVKFTMYGLDMSDPKWAEVAERVAEAEAHFVPEEAKAVEGRAKKAEERLLSVDPRRGDPVPAIEEWKEDLRPNRVDWIALLERIKARNVELYLKVAEILLAQESFEANIRDYSKLIDLHAKANHVESAERVLGKMKEKGIAPDVITSIVLVHMYSKAGNLEQAKEAFEFIRREGFKPDLKLISSMINCYINHGEPEQAENLIKSMKGTRVNVKPTREIYTDIVRAYAQRGMMACAQRVLNTMAHDEIKPTEELYAICIEACGRIGDPTQACAMFEQIRIRLRQEPGDSSIAGVVAAHMKKNQLDEALQWLLSLEKDGLELGVKTNLMLLDWLSMLQLVPEAEQLVQKIKKLGEEPIEVHVFLADMYAKSRQEEKARRSLKILEEKKKLLKSDQFERVIRGLLDGGFSEEANKYYKMMKSCGFEPSETIEVGVKASLRIRGASRHTGRH >Sspon.05G0008450-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:17182643:17184730:-1 gene:Sspon.05G0008450-2C transcript:Sspon.05G0008450-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding NLNDDPSIAAESKQNNEDESSREVKNKNKKKRKQRTGSIEPNDVLESLPSEKASLIGHFDTSKAIVSFVATESMNRENENVNNGREKKRKGKANMEVPTAERDNPNCDNQGIDIGTQESLIPTVQKQRTGQDNGKESNSKVTQNASIMLHEPEDATWNHTPEKNRHQSVDDQSKLLTEKDHAHISKEVRKFTSQTKAHAKIRKPDGSTINGKVAPNPKHVSNLVKDFSMSPQASSNSIEGKPSANRHRVAVRKVSSKRYEETSGNSKKASRKVGSGAIFNDSISEGSSDELDTKTVMEGSPDSSSTSADSGISSSAYDESEVPDDDGTVSLSQKSLKGDLDIGSVLRGSRSYQKARKKQAELLDDDTIVPDSQPTDGLWG >Sspon.08G0013060-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:55360002:55370855:-1 gene:Sspon.08G0013060-1A transcript:Sspon.08G0013060-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMSSTQAQTQQLVPPGFRFHPTDEELVDYYLRKKVASRRIDLNVIKDVDLYKIEPWDLQEKCSLGGPGEEEQNEWYFFSHKDKKYPTGTRTNRATAAGFWKATGRDKPIYANKQRQLVGMRKTLEEGWVVCRVFKKRLPTTRRESDHDAPCWYVDEDGTFMHDLNSPMSGMPPHHSIAQLQEQHLQMLNNSYKRELKLQFQMPSHHILNAIPHELETPSFHSLLVSPDHQTKAHHAHQHVQLMEHAVDQVTDWRVLDKFVASQLSHDDAAKGVVDYTDEGDILQVNEKQEVATDYASTSTSSSQAGRQAAKAGCPAAREAASEPCQGGEQGTAIQSPAQR >Sspon.02G0035190-3D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2D:12009948:12013754:-1 gene:Sspon.02G0035190-3D transcript:Sspon.02G0035190-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VLRKQSGRGRDTPIRRAEAAAAADGHLPASASSSATAPAAAMSKKKAVTTMTLKDFHGGSIPSELPLPSAPGVTPRPADRTVASASPVAAAVARPRVPVASPTAAAAAAAMPSFLTNPSRIGRHFDEDERTPFEPAAPRRPAPSPTSFAPAPVVAPTRSGPGNAWGPRKEASPASSPVGPAPASAGGQIWSATRIAQASALEKVISGRWHPSKPSSPPAPVPVPAPVLETPVAPPEIERPRSVGVRELDSGVERGAAPVRPASHEGRVGEGRAGEVPERPRLKLLPRSKPIEAPEPSPTYVEEKQVHQVQVTANLMKAEVNHDVHQNAMTAKTGVLGADAESKVVERPRLNLKPRSYATGQSDEIAVKERPSLFGGARPREQVLKERGVDVLASDLEKTSPVGRPKGEFAKVEQKVEALSINPSVERADGFPAGHRGPRSVDKKDYKRDTDRADAYRPTRREDNRRAARDVEKPQEQPRPEPETWRKPVEPPKPEVATPRFGKAATALELAQAFSTSMSDTAPQSRLTSVPSPRVPPSPGARDQSGFSRLTDSRTLHSSPSQRKINGY >Sspon.02G0019930-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2B:65044449:65045975:-1 gene:Sspon.02G0019930-2B transcript:Sspon.02G0019930-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGKRSFFAPKKKAANPFDSDSDDDKPQRQQRPAARASSVPPPDEQQRGGSSIFGGAGGDRAGLFASSTNHHYRNDFRDAGGLESQSVQELEGYAAYKAEETTRRTQGCVRIAEEMRDTASKTLVTVHQQGQQIHRTHMMAVDIEQDLSRSEKLLGDLGGLFSKKWKPKKNGAIRGPMLTRDDSFIRKGSHLEQRQKLGLADHPPRSNARQFRSEPSSALEKVEMEKAKQDDALSDLSDILTELKGMAVDMGSEIE >Sspon.01G0003460-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:9168559:9168753:-1 gene:Sspon.01G0003460-3C transcript:Sspon.01G0003460-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAVLVAVVLMQCCNVMVATRPLLMEAPAVATADGGWLGLIMQVLGGPGGNNHNCQAPNGSCP >Sspon.05G0017290-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:5B:72480708:72480995:-1 gene:Sspon.05G0017290-2B transcript:Sspon.05G0017290-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNNALSGNIPTEFGRLSNVESLDLSWNHLTGSIPQAMVASLTKLEVLNLSYNDLSGSIPSGWQFSTFTSSSYEGRNKGLYGCPLPVRCNLTQTTS >Sspon.04G0002700-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:27266903:27271895:-1 gene:Sspon.04G0002700-2C transcript:Sspon.04G0002700-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDITQVLLAAQSPDANLRTVAEGNLTQFQEQNLPNFLLSLSIELSNDEKPPESRRLAGIILKNSLDAKDSAKKELLTQQWVSVDPSVKLKIKESLLVTLGSSVHDARHTSSQVIAKVASIEIPRREWQDLVAKLLGNMTSPGASAPLKQATLEALGYVCEEISPQDLEQDQVNAVLTAVVQGMNQTELSPEVRLAAVKALYNALDFAESNFANEMERNYIMKVVCETAVSKEVEIRQAAFECLVAIASTYYSHLDPYMQTIFNLTANAVKGDEEPVALQAVEFWSAICDEEIALQDEYEGSEDGNSTVHFRFIEKALPSLVPMLLETLLKQEEDQDQDDNVWNISMSGGTCLGLISRTVGDAVVPLVMPFVEANITKPDWHCREAATFAFGSILEGPSVEKLAPLVQAGLDILLNTMNDANSQVKDTTAWTLGRVFELLHSPAGANPIINNSNLPRIMSVLLESSKDAPNVAEKVCGAIYFLAQGYEDAESMSSVLTPYLPNIIAALLSAADRADTTHFRLRASAYEALNEIVRVSNIPETSGIIGQLLQEIMRRLNLTFDLHILSSGDKEKQSDLQALLCGVLQVIIQKLSSTDAKSIISQTADQLMMLFLRVFACHNSSVHEEAMLAIGALAYATGPDFVKYMPNFFTYLEAGLQNYEEYQVCSISVGVVGDICRALEDKILPFCDRIMTVLLKDLSSSMLNRSVKPPIFSCFGDIALAIGENFEKYLPYAMPMLQGAAGLLGTLDQSDDDMVDYGNQLRRGIFEAYSGILQGIKGPKAQLMIPYATHLLQFTEAVFKDRSRDDSVTKAAVAVLGDLADTLGQSSKDLFKTHLFHVEFLRECQAQELDDEVRETAQWAQGMINQAVVS >Sspon.01G0062510-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:105201101:105201427:-1 gene:Sspon.01G0062510-1D transcript:Sspon.01G0062510-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQSFWPAAAAPTGTCVDGPGCWPISPTTAEDLRIEMRDYEDERVRMRPGCTGGRRQANVWYSGPANGILIDRWAEEIVGLQTAAAGLPGS >Sspon.02G0019210-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:62302747:62311997:1 gene:Sspon.02G0019210-1A transcript:Sspon.02G0019210-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEETWLVRHMFDEIDYNLEGKNAERFATLYSDGSGGINSEGSTSIKVPKVYWNYTCKTILTLEWIDGIKLTDAERISKANLNRKRMIDEGLYCSLRQLLEEGFFHADPHPGNLVATEGGSLAYFDFGMMGDIPRHYRVGLIQMPQAELVSGSSSTPQVPGSTPHGSEFQLVHYVNRDSLGLANDFHSLGFVPEGTDLLAVADALRFSFGDVRRQSNDFQGLMNHLYDVMYEFNFSLPPDYALVIRALGSLEGTAKALDPEFKVIESAYPFVIGRLLADPRPDMRKILRELLIRDDGSIRWNRLERLVHIS >Sspon.07G0000010-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:91266:95871:1 gene:Sspon.07G0000010-1A transcript:Sspon.07G0000010-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPAPPNAAAGDLFAANLTGALLAVASSAFIGVSFIVKKKGLRRAAAAGARAGVGGYGYLLEPLWWVGMVTISALAISLILILHCAPRYGQTNIVVYVGICSVIGSLTVMSIKAVGIAIKLTIEGLNQADLYAPLSPIYWHIQGNGDTGGKLKEDGLLSGDFITVGGVKTLEVSLDG >Sspon.02G0003410-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:10117824:10126069:-1 gene:Sspon.02G0003410-2B transcript:Sspon.02G0003410-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exportin-T [Source:Projected from Arabidopsis thaliana (AT1G72560) UniProtKB/Swiss-Prot;Acc:Q7PC79] MDDLEQAILLASDSPAAAAASASVRADALAFCDRARNESPPSSLLRLCLSGIASSPHAQVHFWCLQSLHDALLRRRIVLPDDLALLRSSLLSLAVSSHAASPPFLRNKLAQLVALLVRLDYPHVYPSYFLDLLPPAPPQPGPTDMFARVLISLDDDLLSQEYPRSSDEAADAMRVKDSMRAQCVSQIASHWHTAAVTLRTADPAAAAMALEAARRCISWIDVGLVANDVFVPLLFDIAMSPGSAPPLAAAAVGCLSAVASKRMDSRAKVGLLRSLLAAQQGLGSPDSGLKMAPLVTAYAAEALECHRRLGPSDADGAAALEMLEEVLPAVFAAAESGNDEDVDSGSVLEFLSGYVSIMKAPSEKQLGHLGRILEVVRVQMSFDPVYRGHLDVLDKIGKEEEDLMAERRKDLIVLFRSICRVSPAAAQLFIRGLIVTALSSAEASVEDVEVALTLFFRLGEAVGEEEIRTGAGLLGELVPMLLSARFSCHAHRLVALVYLETVTRYMKFMQEHVQYVPHLLGVFLDNRGIHHQNAHVSRRAGYLFMRAVKSLKAKLVPYLDTILQSLQDVLSQFTSMDWANKDTKFPSSEDGSQIFEVNLPVRLFVYVLCSGNTNLDQSLMAVGLLIGIEEVSPEKQAQCLTALLNPLCHQIESLVMDAKARGLEESSPRAISLQQIIVALNMVSKGFNERLVMVNRPAIGVMFKKTLDVVLQVLVSFPSVRPLRSKVISFLHRMIEILGISVLPCIPIALRQLLLDNEAKDMVEFLVLVNQIICKFKSSASAILEEVFPTIASHLSVILSQDAFSTGPASNTEEMRELQELQRTLYTFLHAMATHDLSTVLLTPSSRQYLETIMQLLLFTSCKHKDILLRKIFVNLVKDWCSNSEDKLPGFRVFMIEKFATNCCLYSVLDKSFDLRDANSLVLFGEIVLAQKIMYERFGEDFIVNFVAKGLPEVHCPPDLAEQYYQKLKGNDIKAFRSFYQSLVEKIRPQGNGSLASCAINVHRATISEIGRGIHPKIVSPKKEIQGTA >Sspon.04G0036530-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:32265305:32268522:-1 gene:Sspon.04G0036530-1D transcript:Sspon.04G0036530-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHDGGPAQERDGSIGGTPAQGAAAARSSVWSRDYDRNGVGALGEHQRRRRRRLSRIFSFLGVLVRRRGNCRGSCGAAFRRIGSGPCGGQEPAAGSDGGDEWERYAA >Sspon.07G0033770-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7C:65584351:65585874:1 gene:Sspon.07G0033770-1C transcript:Sspon.07G0033770-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTLAVAAALQLITLGLLLPVPPAGAARGDILTRDGAIAVEDHATDFLVSPTARLPVASTTCPPPSSRLRLVRARRRPYRGLDRRAGRPVHSRGARLAPRPPRRRARPHGLRRRGGVELHRAAGAQTQASRARLHDTGNLVLEDADGNTLWQSFDSPTDTLLPAQRFTAARHLVSRGGRGRLSPPATTAWASAITPCCPSSTTITTSPASTGPTPTTTTWPTSARSTTSPATPRWTPSAVPLQRRRQLRGRRPRCRCRRHEAAHAGRRREPPAVQPRRGDGGVGGVWAAFGNPCTIHGVCGANAVCLYAPAPGASARRATSAPTPGDWTRGCRPVFRRDCSRPTKLVALPHTDFWGYDLNDAEIIPFHACARRCRGTCACDVPWLARDRVPQGSSRLHVPELHVHQWQSHDGGLAIQEDIARCDDDGASAGTEFFLNVSTYSSTSDAGKPVWPYLYGFLSALLVVEAVIIGSAAGSSLEGASSGHR >Sspon.02G0009030-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:25786415:25787005:1 gene:Sspon.02G0009030-1A transcript:Sspon.02G0009030-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGKKARPREEELVEAALAAAAAALLVSGVKRLVAPAVPVAPWWWPASLSVPSPALFLLLNVIIASIVVASVQPRRRGAAASAGAIDDEREALAARGDAARRAKRTRRSKKRTAAEAAVADGCCMPLVVTDEPIETAAAAAVMKEDVAADEDAAGNAEEVNKRAEEFISAFRHHLRVDSFSSGTRRSNARTAPCS >Sspon.05G0010290-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:20662643:20670770:-1 gene:Sspon.05G0010290-3C transcript:Sspon.05G0010290-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTRELLLVLCLLRAGMAVVNAQSSSSPPSSSPPPVPQLTPPAPSQPTPFGRTMSTFITVAISVFFFLLFICAYVNQCRLADPGAAAAAAAAGAAGAGGGGPSRRGKRGLDPAPSPSPPPEAVAILVLDEESEEEDSDEDDRKEEAMELEMLRSARRAARMPRSHSTGHSLFAAAASAAEEGDHERFTLRLPEHVREQVLRTVSWARGGGDGGSVRRGWDGSTRRGRDDGESSRKGTASPLPAGRP >Sspon.07G0003400-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:7918246:7921282:-1 gene:Sspon.07G0003400-2B transcript:Sspon.07G0003400-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRPRIGRVLLDCFSLSLCTSTCVCVRALEDAEEEAVQRAALVTASDHHHHHRQLRLKDLVDGAGTLGFHLQPKVRAYGHCSACMTVELRVSMHCNGCARKVHKHISKMEGVTWFEVDLESNKVVVKGDVTPLEVLQSVSKVKFAQLWMAGPGPHCS >Sspon.07G0024320-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7B:23536493:23536816:1 gene:Sspon.07G0024320-1B transcript:Sspon.07G0024320-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MANWAAYPGPAWVTHWAVPCPSMGCSYGPGTAYKAQAGPGRPVGTPCPPCTRLRSASPPRWPPRARATGRHGTPPSRWTAETDGSAVRLTARGRLATSRRQLAAIAL >Sspon.05G0010680-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:32688553:32689485:1 gene:Sspon.05G0010680-1P transcript:Sspon.05G0010680-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEWTRSEKRTFLRQRVEARLAALLLENQEYTEALTLLTSLIKEVRRLDDKLLLVDIDLLESKLHFSLRNLPKAKASLTAARTAANAIYVPPAQQGTIDLQSGILHAEEKDYKTAYSYFFEAFEAFSALEDPKAIFSLKYMLLCKIMVNQADDVAGIISSKAGLKYLGPDVDAMKAVADAYSKRSLKYFETALRDYKSQLEEDPIVHRHLSSLYDTLLEQNLCRLIEPYSRVEIAHIAEMIELPVDHVEKKLSQMILDKKFAGTLDQGAGCLIIFEDPKTEEIFPATLETISNVGKVVDSLYMRSAKIMA >Sspon.03G0004200-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:10548940:10550761:1 gene:Sspon.03G0004200-1A transcript:Sspon.03G0004200-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ITMGCYGDFFEKAKPYIAMTSLQFGYAGMNVITKVSLNHGMSHYVLVVYRHAFATISIAPFALILCRKVRPKMTWSVFLQIFVLALYRPVIDQNFYYAGLKFTGPTFACAMSNILPAMTFVMAVMCRMEKVDLKKVRCQAKVAGTLVTVAGAMMMTLYKGPLMKMAWTSHGHAHGHGAEAPAVAAAIDPSSREWFLGSLFVIIATLAWASLFILQAHTLKQYAAPLSLTTLICFVGTLQAIVVTFAMEHRPSVWTIGFDMNLLAAAVQGIVTSSIAYYVQGLVIQKTGPVFASAFSPLMMIIVAVMGSFILAEKIFLGGVLGAVLIVIGLYSVLWGKHKETQEKEEEEAMELPVASKTNGGIIYDDAVFIKEIAAAGVGDDSECRKANGVVKSSSHGHGAAGA >Sspon.03G0007810-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:21209517:21212489:1 gene:Sspon.03G0007810-1A transcript:Sspon.03G0007810-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF778) [Source:Projected from Arabidopsis thaliana (AT2G26070) TAIR;Acc:AT2G26070] MELEADFADEDVSSNNGLQDLWSLDEIDPKRARFPCCIVWTPLPVVSWLAPYIGHVGICQEDGAILDFAGSNLVSMDNFAYGSVARYLQLDRKKCCLPVNLAAHVCKQSYSHSEVGAAISWDDALQSGMRHFQHKYYNLFTCNCHSFVANCMNRLAYNGSVEWNVLNVAALVWFHGQWVDKMSFVRSFLPFLTVTCIGILMAGWSFLVGMAAFSVLLIGWFIFTVYCVKGLIC >Sspon.07G0027810-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:54744703:54745731:1 gene:Sspon.07G0027810-1P transcript:Sspon.07G0027810-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGDLLLVAALLVSCLVATASSSSSSMQMQCHEEDQEALLAVNSALGSPYHFASWTPDTFCCDWHDVDCDNTTGRVVGLTVFGDGNLTGAIPDAIANLTNLRTLVLHHLPGLSGNIPDSLALLSNLSQLTISSTGVSGPVPSFLSQLTELTLMDLSFNFFEGSIPASLADLPSLCSIDLSRNRLSGPVPSLLLSKCADQQAYLRLSHNNFSGAIPAGFAAVSFAHLDLSRNAFTGDASGVFGKGKPLQHLDLSRNGFAFSLTAVELPEQLTYIDLSHNAIRGRIPAQVADLAGLQLFNVSYNKMCGVVPTGGNMANFDAYSYQHNKCLCGTPLPSCGHRFY >Sspon.03G0022200-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:67672856:67677921:1 gene:Sspon.03G0022200-1A transcript:Sspon.03G0022200-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DExH-box ATP-dependent RNA helicase DExH10 [Source:Projected from Arabidopsis thaliana (AT2G06990) UniProtKB/Swiss-Prot;Acc:Q9ZVW2] MGEEAESTCKRKASDSSAEEQPSPAPAQSQAEADPAAKRRNLSRSCVHEVAVPKGYESAKDEAVHGTLANPDFNGEMAKQYPFKLDPFQSTSIACLERNESVLVSAHTSAGKTVVAEYAIAMAFRDKQRVIYTSPLKALSNQKYRELSQEFTDVGLMTGDVTLHPNATCLVMTTEILRAMLYRGSEVIKEVAWVIFDEIHYMKDRERGVVWEESIIFLPPAIKMVFLSATMSNVTEFAEWICSLHKQPCHVVYTDFRPTPLQHYVFPIGGSGLYLVVDENGQFREDNFAKLQDSFAKQNNQLDGRKGGGPKASGRIAKGGSASGNSDIYRIVKMIMERKFQPVIIFSFSRRECEHHAMSMSKLDFNTEDEKECIEQVFRNAIGCLVEEDRSLPAIELMLPLLKRGIAVHHSGLLPIIKELVELLFQEGLVKALFATETFAMGLNMPAKTVVFTSVKKWDGDTNRYIGSGEYIQMSGRAGRRGKDERGICVIMIDEKMEMSVIKDMVLGKPAPLVSTFRLSYYTILNLMSRVEGQFTAEHVIRHSFHQFQYEKALPEIVQKITRLEDEATLLDSSGEVRVRDGSTDWGWGVVVNVVKKPPVSGTLPPALSASRSNNYIVDTLLHCSSNSSENGSRSKPCPARPGEKGEMHVVPVPLPLVSGLSSVRINIPPDLRPPEARQNILFAVQELGKRYPQGLPKLHPINDMGIQEPELVDLVHKLEDLEQKQCSHRLHKSGQSDQELSWYQRKADLNSEIQQLKSKMRDSQLQKFRDELKNRSRVLKMLGHIDADGVLQLKGRAACLIDTGDELLITELMFNGIRSI >Sspon.03G0004190-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3A:10522014:10525363:-1 gene:Sspon.03G0004190-1A transcript:Sspon.03G0004190-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLRGGEPISPSARTHSSTTPAHQRLRTRSSPVVRTNLSLRLAIRALYFPSNRQLHRSSLTGLQSAHRKISELQGFEIETADDAGIGPKAAHELACIQVGGSVNLSYTLQDQKNYLRGKRQREMAYGQAGSMLRYFQDKIVENPSFQYALQMDREEKIANIFWADAKMLTDYAYFGDVVSFDTTFGTNRESWPFGVFVGFNHFRQTVVFGAVLMYDETFESFKWIFETFLRAHNGQQPKTIYTDQDYAMGKAVKEVFLGAWHGLCTFHIMLNAVKHLAEPDDEESGVSPIQDVEESCASLIQDDEESGASPIQDDEESGASLIQEVEEKNKEPSILSYFSACMYEHEDEATFEDAFNILRSKMKRQTWLDSIYKERKKWAECYMKDVFTLGMRSTQLSESLNSDLKRHFKSDFDIIRFLKQFERVVEYKRKNELQSEFEARKKLPRIKMRTPMLIQASKLYTAPIFEAFQSEYERSMVACTMTLECQNEYLVTIGSLDENPTFEKQYKVIGDPSKQTSTCSCGHFNRIGILCGHALKVLDLMNIKTLPTQYILNRWTREARSATVHDNQGRNIIENPKLDEMLRYKDMSHKFQHLAHRAASHPNCCLLVHNALDMVSKQVEEELNGVASPVDPIITPTNVSLPTELLSTASLKKKEVETKTSKRIKAWYEKKRKCVTRKKGGINKENSTKKQQTTKKQETIQVSVDDGTTIHMLSLPEEEISEQYMAINSFSELLSGSMTDGAIGEF >Sspon.06G0035810-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6D:84685173:84689496:-1 gene:Sspon.06G0035810-1D transcript:Sspon.06G0035810-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSNNSSPQVQWINQQTRRSGGDAFPREAARWRSVTGVRKGDGGAGDSGGKGLVQADQEARKSEANSMKSEKETEANGGHSGDRTRSLFDRTRPVSVQRLHEFQFVDRTRSASGHCRPDASGRPGSLLDSNRTRVLWRPRVRSVTGPARPVEPGASGRCDQCVRSARLRLFQVRNGYIRRGAWEKVEDKDFSHKFLMCLPKKFATLRRMIFREGLDKSTNRCAWRYNDDAQYNDDEDEVEEKKETKVKQEKPIAFKASSSKGKSKVKSNDDDPFDDETMALLVHKMGRFMKKKGYGARKKRDFMKAKEVLCYNCNSPDHVVAKCPYEDKRYHDGELKLKKNKKDKKEKKEKKSFTINKKKKGGGYVVTWDSDNSDSDDDESSSDDERTTRRAIASIALSNKSSIFDTTSTCLMAKPTKVKYDDSDDDSCASDGCRSDDEEDEDYSKDDLLGIIDQMSKGYKRTTKKYKILEQELIAKSNENDALMEELVALKKSKECKGIEQELKALRKSFDELEASRECLKEDHEDLEVAHTRLKEAHSTLLELIKEKDLKLEKFMKEAKEEQVIVTCDIGLTCDIIDDSLFVSPTNASCSSSSSTTTNSISTTSDSSLAVENETLKREVDDLTRALGNAYGGDARLLKCLGSQRFSLNKEGLGYTPKKGKAAFATPKPRFVKSNGCYCNRCKQVGI >Sspon.03G0017480-2D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3D:41911294:41912727:-1 gene:Sspon.03G0017480-2D transcript:Sspon.03G0017480-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding FLAEYNRDVDKYPVRAYDLEPGRVRKLLPEAAPEHSEPMEHILEDVRRDILPGLTHWQSPCFFAFFPMNASSAGLAGEMLSVGLNVVPFVWAASPAAAELESVVVDWMARLFGLPRRFLFSGGGGGVLQGSTCEAVVCTLAAARDRALGRLGGHEAIARLVVYASDQTHATFQKGARLVGIPPSNFRVISTSPASGYGLTAEAVRAAVDRDVGLGLVPLYLCATVGTTGLGAVDHVRELGEEARRHGMWVHLDAAYAGSAAICPEFQDYLDGAELADSVSMNPHKWFLTNMDCCCLWVARPRDLTSALSTDPEYLKNVGADDDGAGKAPAAIDYKDWQISMTRRFRAIKLWVILRRYGAAGMRAHIRRHVAAAKWFEQRVAADERFEVVVPRRFSLVCFRLAPRFARDDDDNAATNHVNRDLLAAVNASGRAFMTHFVVDGKFVIRLAVGGASTELQHVMEVWDLLQGKAAEVLHHYQ >Sspon.04G0021960-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:53593868:53594468:1 gene:Sspon.04G0021960-3D transcript:Sspon.04G0021960-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding PPSPTPMARPQQRYRGVRQRHWGSWVSEIRHPLLYQQAASSFLSPALVAKLHRFNLASVQAARGKADAASAAAASAPAVLPRIAAMPAGDVAMGIAPSPSRRGGTGAAGFLRSSTWTR >Sspon.01G0003150-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:8930840:8933942:-1 gene:Sspon.01G0003150-2P transcript:Sspon.01G0003150-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FH protein interacting protein FIP2 [Source:Projected from Arabidopsis thaliana (AT5G55000) UniProtKB/Swiss-Prot;Acc:Q9SE95] MESPEPSSSSPVLLNIGGKKYATTVETLTQREPDSMLAAMFSGRHTLPQHPTTGKGMVFVDRDGKHFRHVLNWLRDGAIPVLSESDYQQLLREAEYYQLLGLADYINERLGWKKADSLEAELTRKDVIKSIQAQRVRFRGVNLSGLDLSKLDLSEVDFSYACIKNTDFSCANLYKAKFGVEALSSSFQNANLRECEFIGANLQGSILDGANLRSANLQDACLTRCSFIETDLHSAHLQGAKLSGTNLQDANLQRAYLREVDLRDTQLTGAKLGGANLLGAIR >Sspon.08G0010820-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:47585362:47592960:1 gene:Sspon.08G0010820-1A transcript:Sspon.08G0010820-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha-mannosidase 2 [Source:Projected from Arabidopsis thaliana (AT5G14950) UniProtKB/Swiss-Prot;Acc:Q9LFR0] MHFFSGSGGGRSGALLPTTSKPKAHHHLRSKSSLSAPASSRRRGGGLHSASSPYSRRALCLAATAFAALFILAFLRLGFPSSRSAALSSPARPRGARLTRRPAFRHRDSAAAEAAAAAVAARIGREAPVDITTRDLYDRIDFSDVDGGAWKQGWEVKYRGDEWDAEKLKVFVAPHSHNDPGWIRTVEEYYERQSRHILDTIVESLSKDSRRKFIWEEMSYLERWWRDATPKKQEAFAKLVRDGQLEIVSGGWVMNDEMMEGNMWLNDTIGVIPKNSWSIDPFGYSSTMAYLLRRMGFHNMLIQRTHYEVKKELAMKKNLEYLWRQNWDIEETTDIFVHMMPFYSYDIPHTCGPEPAICCQFDFARMRGFSYESCPWRFDPVETNPDNVQERATKLLDQYRKKSTLYRTNTLLIPLGDDFRYVSMEEAEVQFRNYEKLFDYINSNPHLNAEVKFGTLEDYFSTLRDEAEKINYTRSGELGSAELQGFPTLSGDFFTYADRNQDYWSGYYVSRPFFKAVDRVLEQTLRASEILGSFVLGYCQKFQCAKLPISFSHKLTAARRNLALFQHHDGVTGTAKDHVVVDYGTRMHTSLQDLQLFMSRAVEVLLGDFHDRSDPTLLSHFEPVQERSKYDVQPVHRVLHPHEGKAQSVVFFNPLEQTRDEVVMVVVSTPDVSVLNSNGSCLPSQVSPEWQFVSDEKISTGRHRLYWRASVPPLGLETYYVVTGQDCEKAIPAVVKTYQEFPCPEPYHCSKLEGKSVEMKNSNYTLSFDTSHGLLQTITRHKDGEQTVIGEEIGMYRSHGSGAYLFKPIGEARSIVEEGGHFILTEGPLVQEAHSLPKTEWHKSPLSHSTRMYNCGDSIQDMLIEKEYHVELVGHVFNDKELIVRYKTDIDNQRIFYSDLNGFQMSRRQTYDKIPLQGNYYPMPSLAFLQDSHGNRFSVHSKHHWAQQAWKMDGWRLCWIVGWFRMMVVVWGRE >Sspon.03G0004690-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:74496618:74508195:1 gene:Sspon.03G0004690-2B transcript:Sspon.03G0004690-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDDHVVVDVDGLAKSKENEVSKKPSEDANGPAAAAASPSAVVDLVHEEEEEEGGGEEEPLIQAAECRICQEEDIVKNLEKPCACSGSVKYAHRACVQRWCNEKGDTTCEICHEEYKPGYTAPPRVQPDETTIDIDGDLIMDLRDPRILAVAAAQRRLLEAEYDGYGSTDASGAAFCRSAALILMALLLLRHALSISDNEGNDDDASTMFSLFLLRAAGFLLPCYIMAWIFSILHRRRQRQEEAALAAAEVAFILQSARGRALQFAIAPDSPATPQHEPVPQQQQ >Sspon.03G0013500-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:50451623:50457854:-1 gene:Sspon.03G0013500-2B transcript:Sspon.03G0013500-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRLPDSTRGSAMRKYASEAGLLRAARQGPHGRSLTARTAHNMSASALRKKSDASLVRKVPFAPLRPVLANLQEVFLGTKLAVLFPAVPLAIAAQCANFGQVWVFALSLLGLIPLAERVSFLTEQIAIYTGPTVGGLLNATCGNATELIIALFALMEGKIEVVKCSLLGSVLSNLLLVLGTSLFCGGVVNLGSDQLYDRTQADVSTGLLILGVLCQSLPLMLRYAVSAGEHSVAADTTGLDLSRACSIVMLLAYVAYLFFQLKTHAQLFEPQEDDGEAEDDEQAVIGFASGLFWLAFKTVLIAILSEYVVGTIEVPLSVIVAWIAGIQMDLDFKLLETGSLFVSVIVTAFTLQDGSSHYLKGILLLLCYIVIGACFFVTRQPASHANNNGAGLSLPTGTSSAQVA >Sspon.02G0024130-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:87656778:87660372:-1 gene:Sspon.02G0024130-3C transcript:Sspon.02G0024130-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DEAD-box ATP-dependent RNA helicase 58, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G19210) UniProtKB/Swiss-Prot;Acc:Q3E9C3] MAVAAAFPSGALLPTTPGTHLTPFSLRFPFHLRPRCAALAAATLREVCAGRVPDHVLQRAEEVGYVVPTEVQEQSLPLLLSGQDCILHAQTGSGKTLAYLLSVFSTIDFSRSSVQALVVVPTRELGMQVTKVARLLAAKACTVMALLDGGMLKRQKSWVKAEPPAIIVATVASLCQMVERRAFSLQSMRVLVIDEICTKKERLHVLLSLLERDAPKSGIIFVAEQSERSKKAGNPPSTTVVAEFLRNAYKGSLDVLLLEEDMNFNARAASFSEVKGRGFLLVSTDIASRGFDLPQTSHIYNFDLPKTATDYLHRAGRTGREPFSRLECGVTTLITEEEHFVLQRFQNELKFHCEELPLESMFTFS >Sspon.04G0001540-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:5326179:5328915:-1 gene:Sspon.04G0001540-1A transcript:Sspon.04G0001540-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAARSRLAGLLRLDLASRTRPNPKPHLSSAFSSSTISAPPAAGRVREDESGLLSRRLLRLRFRSPRGATAAAVERWARERVHVSQPELRRAIVMLRRARRYEHALEGTTAKQSYIVLQIFSWMESCNSLRLSSWDHAARLDLIAKACSTSQAEEYYNKLQSPAVRQAASFPLLHCYVTERNVQKAETLMAQLQSRGLPVDPHSFNEIIKLYVATCQYEKVLSVIDLMKRNNIPRNVLSYNLWMNACAEVSGVASVQSVFQEMLNDETVEVGWSTYCTLANIFRKNGLNTKAQACLRKAETKLSPTGRLGYSFVMTCYAALNDSDGVMRLWEASKSVPGRIPSANYMTAMLCSIKVGDISQAEWIFGSWEVGCRKHDVRVSNVLLGAYVRNRWIEKAERLHLHMLEKGARPNYKTWEILMDGYVQSRQMDKAVGCMKKGLSLLKTCHWRPPLELMEAIGKHFEEQGSADNAYRYIKVLQRFNSTSLPLYKSLIRAYINADVVPPNILQMIANDQIDMDEEMDRLIILAGKIDVTCNG >Sspon.03G0040810-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:34317836:34319290:-1 gene:Sspon.03G0040810-1P transcript:Sspon.03G0040810-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGSVKQIAVLYPVGGVGHIGPMTQLAKVFLHHGYDVTMVLIEPPIKSTDSGAGFIERVAATNPSITFHVLPPTIPTPDFASSTKHPFLLILELMRKYNEELESFLRSIPRERLHSLVIDLFCTHAIDVATKVGVPVYKFFASGAGTLAIFTQLPALLAGRQTGLKELGDRPLEFLGVPSMPASHLGTSLLESPEDELCRTMMKILERNADTHGVLVNTFESLESRALQALRDPLCVPGQVLPPVYSVGPLVGRGGTTDKQEGGPRHECLAWLDAQPERSVVFLCWGSKGALPKEQLKEIAVGLERCGQRFLWVVRTPAGSDGPKRYWEQRGEADLDALLPEGFLERTEGRGLVVTSWAPQVDVLNHPATGVFVTHCGWNSTLEAIAAGVPMLCWPLAGAEQRMNKVFITEDMGVGVEMEGYMAGFIKAEEIEGKVRLALEAEEGTRLRKRALQLKKETEEALEDGGSSRAAFLRFLSDVASL >Sspon.05G0019230-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:5B:84458978:84459310:1 gene:Sspon.05G0019230-2B transcript:Sspon.05G0019230-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGKKKMLATLVGCNYAGTPSELKGCINDAHAMRAVLLDRFGFAPGDVTVLTDDHESGAGVLPTGANVKRTLAEMVGRAAPGDVLFFFFSGHGTQTPPISGHGDRDDEAI >Sspon.04G0015700-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:18905022:18907937:-1 gene:Sspon.04G0015700-1P transcript:Sspon.04G0015700-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVWYVLWLSSKVQKSKDYEGDQTDAPARPLLCSAHGRQYPWKTQRRNSGDPNPGTKLGEQQPADRHLIHFLPLQLQSFAAAKMSSRYGADSRRAASDMTRKLRELLQKSDNRICADCSAPDPKWASANIGVFICLKCSGVHRSLGTHVSKVLSVTLDQWADDEINSMIEVGGNSYANAIYEAFLPEGYHKPHPDSSQEERADFIRSKYELQEFLKPSLRLVSNKGSLEATGSRKHMGSNVSLSASFNSEAGMVEFIGILKVKVIRGTKLAVRDLISSDPYVVLTLGQQKAKTSVIKRNLNPVWNEELKLSVPQQYGPLKLQVFDHDMLSKDDKMGDAEIDLQPMISAATAFGDPDLLADMQIGKWLKSPDNALARDSAVNVIGGKVKQEVSLRLQNVESGEVELELEWIPLNQ >Sspon.02G0048030-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2C:20568862:20569170:-1 gene:Sspon.02G0048030-1C transcript:Sspon.02G0048030-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSAHFAALLLCACMFVSGTVAASQNGNLTRPAEVRIGALFTFDSVIGKAVRPAIELAVADVNANPSILWGTKLSVLMQDTNCSGFVGTIEGLNLILLHLYL >Sspon.06G0032590-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:57276164:57281105:1 gene:Sspon.06G0032590-1T transcript:Sspon.06G0032590-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLGWMHRKVRQNSNDVFKEFNNAGGGTCNCITGLASPDPATFLTPANEYFAGDHDFTNNQSPPPDADLFTFGGSGLLTIGTLGIAAVAVPADDYDVDVDADSDSDDNDDTADEGDKVDSAVTLTFTYTAPPPPESAAVVEKAAVAVVEAIAEKDDDTTTEDDLMVVSEELEKVLGGRNSGAAGDLVASARVSFAMGGVDCPLQGFLFGSPVSDAAESRLEQPRDSNGGRRTSLGELFMRTRFADEKVALVAVEEGEDGGDGGAAGGERDDGKAGKGGGGCHKTMKKRRVKDEKVAAGEGAPASAAVTKSKFQKILQMFHRKVYPESAALARNLTKKSRKRGSGADEPAQPASSKLRCRKEQRAPGFGCCANRASFGGAASPIHDDDDEELNGSKSGHWIKTDAE >Sspon.04G0026510-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4B:44013437:44013792:-1 gene:Sspon.04G0026510-1B transcript:Sspon.04G0026510-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAEELVTLLNCHRCTADLADAVLVFAVRKHRLAEAEELICAGSEHMDMLRDEIRACEAQIHQAANTEEVLRTEICALQQPRAADTKRRARHGSGISGRSLTQQVDRGRELATQDFHA >Sspon.06G0005070-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:16098337:16098792:1 gene:Sspon.06G0005070-1P transcript:Sspon.06G0005070-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKAEKKPAAKKPAEEEPAAEKAPAGKKPKAEKRLPAGKSAGKEGGGEAKRGRKKGKKSVETYKIYIFKVLKQVHPDIGISSKAMSIMNSFINDIFEKLAAEAAKLARYNKKPTITSRKIQTSVRLVLPGELAKHAVSEGTKAVTKFTSS >Sspon.04G0014300-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:49305490:49307137:-1 gene:Sspon.04G0014300-3D transcript:Sspon.04G0014300-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLPPRAPSALPQDHWSAGAEFLGFAAARRGAHRRSASDSAAFLEAVPMDDVIGGGDDFDRLDDEQLMSMFSDVDAPAVSDGGAGERAGDAAQLMDVGDADDGMAASSPAAAARAAADGVADPKRVKRILANRQSAQRSRVRKLQYISELERSVTSLQMEVSALSPRVAFLDHQRSLLTVGNSHLKQRIAALAQDKIFKDAHQEALKKEIERLRQVYQQQQIKVATTGGADIATAASMQARQELLACEGAAIR >Sspon.02G0018080-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:73535803:73536304:-1 gene:Sspon.02G0018080-2B transcript:Sspon.02G0018080-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAALRPAILRRIRLSPSPSLALAAAGAGASHPHALARWLAPRPMSSHDAHLTREEVVDRVLDVLKSHPKVDPSKVTPEAHFEKDLGLDSLDTVEVVMAIEEEFKLEIPDMEADKIDSLPLAIEYVANHPMAG >Sspon.01G0050410-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:2244818:2245192:1 gene:Sspon.01G0050410-2D transcript:Sspon.01G0050410-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASPEFFKPAGAPAFSPACAAQPPLVFAGDDDDDYYCKTPTGSRISYLREPTTCPPAPRKPPPPCRKRLFQPQPQAQGDQPAAAESSSVPVISLRLDELERLFRPHPPPTSDAAPAPPTNTAP >Sspon.08G0012930-3P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7C:18684447:18685061:1 gene:Sspon.08G0012930-3P transcript:Sspon.08G0012930-3P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEEERLKHAKCFKCHTWGHLISMCPTKQLVKQQVKPQPKPLVEQEKTPQEQIKINHGDDGDLMKKKKKKTRRGGRARHPMQIQDAKMMSKNQNEKRNLTHIKRFKCGDIGHFASGCPTKLEKKAQATHERQGNEKHHMSNEEKAQSKRRCYSCRERGHMAHSCPLGNTSKHISIDDTNVLRKDVNGTSIVAIAKHPAIHTKAMP >Sspon.03G0011650-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3A:31764352:31766196:-1 gene:Sspon.03G0011650-1A transcript:Sspon.03G0011650-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLPLPLPLPASMISPHPSKPHDAPRPDASLQAVLASFSQQAHEGGLRDAFALVARAERQSSPAAAIAVGPEVYTSLLQCCVTVGSLRVGRQVHAALVKRGPYYCRNAYVGTKLAVFYARCGALDDAERAFGALPERDRNAFAWAAVIGLWSRAGLHARALAGFVAMLEAGVPADNFVVPTVLKACAGLGLAGAGRAVHGYAWKAGVAECVYVLSSLVDFYGKCGEVEDARAVFDAMPERTVVTWNTMLMAYIHNGRIDEAVELFYEMRVEGVLPTRVSIVSFLSASADLEAIDGGRQGHAVAVSSGLEMDVILGSSMINFYCKVGLVEAAEAVFEQMKERDAVTWNLMIAGYFQDGQIDKAFDTCRRMLEANLKFDCVTLASIIMACLKSCIMLVGIAAHGYAVRNGLDSDKAVASGLIDMYASTGRIEHARRVFNAMNPRNLVIWKAVISAYVDCGMNSEARNLLNQMQLEGISPNAACWDSVISAFIRNGQFEDALDIFSEMLLTKTRPNLRTWSLLISALSQNGMHQEVTDICCKMQEVESAPSPTIYSSAILAIKRAASEDYGKAIHACVVKKGLLLSKSVIQSLLNMYSRFDDRGTMDGLLRLLPES >Sspon.05G0002120-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:136669:138882:-1 gene:Sspon.05G0002120-3D transcript:Sspon.05G0002120-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase (Fragment) [Source:Projected from Arabidopsis thaliana (AT5G16510) UniProtKB/TrEMBL;Acc:W8Q2T5] MRPPSLLSLTLDSALLRIDHIADLSHLPDHLVIDLFRRTLSAGKLTEKVLKLFLATGCEEIILAVQLLNIKQPLVPVLPTNSEVDIVIAALQPNLTTFFEAWRPFFSRFHIIVVKDPDMTEDLQIPTGFDLKVYTKSDINGVLGASSINFSGHSCRYLGYLVSRKKYVISIDDNCLPAKDTAGSTVDVVAQHMANLKTPATPFFFNTLYDPYRKGADFVRGYPFSLREGVECMLSCGLWMHNADYDPMTHVVKRNQRNTTYVDAVMTVPLGAMLPVSGINVAFNRDVLGPAMFPGLRLRNEGKHRWDTLEDIWNGLCAKVVCDSLGYGVKTGLPYVMRSDAEAGKALESLKDWEGVKIMDDVLPFFQSLKLSRTAVTVDDCVKELAGIV >Sspon.04G0011830-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:39107343:39110664:-1 gene:Sspon.04G0011830-4D transcript:Sspon.04G0011830-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMQVAATEESRDPFLPLRWESTGDQWWYATPIDWAAASGHYDVVRELLRLDPNLLVKLTSLRRIRRLESVWDDDARLRDAATNRAAVARRLLLDCEPADKHHHLPRGGGDANRLLRAGYGGWLLYTAAAAGDERFVRELLAAQPLLVFGEGEYGVTDILYAAARSGRPEVFRLLFDAVLSAASCPVGGEGDEFRREMMCRAVHAAARGGSLDVLRDLLRGCSDAAAYRDAQGSTILHSAAAKGQVEVVKDLIASFDIVNSIDDQGNTALHIAAFRGHLRVVEALITASSSLISATNEAGDTFLHMALTGFGTPEFRRLDRQMELIRQLVGGAIVDISSTINAQNYDGKTILHLAVVGNLHPDLVEHLMSVPSIDLNICDNDGMTPLDLLRKQPRTTSSEILIKQLILAGGFTNSRDHETRSVIASQIKMHSIVGSPGTSFKISDAEIFLDAGIDVPGISERAVSFSSIIGRVDADILRPKLKKLNSFQDAAKHIKVLLRWPHRKWKKSGSGQKDLDDDASSVDSAKTWSHEETPTPLRQRYSRISSLFNKRTYAGKTSPSEAMQKTGVVQPDSIPASTSWSSSSLVDKIEAAHLDKDQSPYVSRLIRHTPKKSGSLNSRLMNQSSRLRA >Sspon.02G0039190-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:52270371:52271447:1 gene:Sspon.02G0039190-2C transcript:Sspon.02G0039190-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RLLEELERGEKGIGDGTVSYGMDDADDIYMRSWTGTIIGPHNTVHEGRIYQLKLFCDKDYPEKPPSVRFHSRINLTCVNHETGVVDPKKFSVLGNWQREYTMEYILTHLKKEMASPQNRKLVQPPEGTFF >Sspon.01G0023630-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:82317280:82319624:-1 gene:Sspon.01G0023630-4D transcript:Sspon.01G0023630-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVSKGSMFMLGFGVCRYGPIFRTSLVGEDLIVSLDAELNAHILKQEERGFKIWYPPSFMRVFGADNITSKIGVLHRYMRTLVLRLFGHQSIRSVLLHDVQQSARDELRSWLGRPDVEVRTATSRMIFGVTAKKLISHDDAASGGRLWECFHDWTSGLLSFPVPIPGTTFYRCMQGRKNVMKMLKQQLGERRNAAERETVDFFDLVIDELNKPNPMMDESTALDLLFAMLFASHETTSMVLTVILKYLTDNPKALQELTEEHDRILERRVDPDSDITWDGYKSMKFTSHVIHESLRLANIALVMFRKADQDVHINGYTIPKGSKIMICPLASHLNMKVYEDPSVFNPWRWKDIPEPVGASKDFMAFGGGLRLCAGADFSKMQMAMFLHYLVINYRWKTVSGGTMVFYPGLQFPDGFHIQLLPKI >Sspon.04G0028640-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4B:67271532:67272872:-1 gene:Sspon.04G0028640-1B transcript:Sspon.04G0028640-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLRCTTAHHSLLGSPTCLARPRRRACPVVRAAVAVEAGAEAKVSLIRIGTRGSPLALAQAHETRDKLKAAHSELAEEGAIEIVIIKTTGDMILDKPLADIGGKGLFTKEIDDALLQGRIDIAVHSMKDVPTYLPEGTILPCNLPREDVRDAFICLTANSLAELPAGSVVGSASLRRQSQILYRYPSLKV >Sspon.02G0044990-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2B:106431637:106431942:1 gene:Sspon.02G0044990-1B transcript:Sspon.02G0044990-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MIWTAGGWKSVEGAASCNDGCLRVRAWRRTSPAENATSSAAFCAQVGHTLHNSTVLVVGPRPYWAVSIRGPWTHHCRFLLRFVGPRSLLLLTSCESRAEFL >Sspon.08G0006390-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:19670127:19672869:1 gene:Sspon.08G0006390-1T transcript:Sspon.08G0006390-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPASLSAPNALSLLAPATATARISSGRWNRLSVSVAARPVTGVVSVAQRRLVAAAAATEMAPAASGEEGSKPFVEEMRAVAMKLHTKDQAREGEKEPEAPPSPSGSPPSRATSGSSSTASSSSRRLKTSSNAPPSRGMQSSGILGWRDQRHSKRIWNGSGNRATQFQNHRPLAPHMPLYWRSCLRRTPGIYLPFL >Sspon.06G0034790-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:54108865:54113066:-1 gene:Sspon.06G0034790-1D transcript:Sspon.06G0034790-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRGNPAARAPLLPLAASKRPVVPILSPAPHRGREIHRSGAAICHRDHPSDLRRRQPGTNPAALAAAATNPARSVPSLTTAVATRGGCAPGSVIHD >Sspon.01G0018930-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1A:70883624:70884810:1 gene:Sspon.01G0018930-1A transcript:Sspon.01G0018930-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MYYAEALGLCPVLTRRQCCCRVRLALLVRSESITKSTRARRGTASERGSGGGAQGSRGVAMDGVRTDGGGDVGVEVGGGDEADEEAALADARVPDEEDLEGALMAAAAAAAAPGTRRPHTPLGPSPQIPPDSVAARRARNAGQSGATRGRRASGGIEGAGAGRQRRRGGGEGRGGQLHRLVCCRVGFDRGREISSDRGREISSDGGRETDVGDGWLVQLLMAPGCGLRAFREAARSIGLGRRRRVGKGARRGGARRSAPRAHEAGNGKVPAVPGLFRLGLELDTTRGMMEAGRPADGRGRVPSRVEHCAVLAVLEGFWLCFRSSATTPRPPSPGRGRLSQEPGARGGVSHFGRLGLSAALARSRSRRALGSAAASADTCVSVTMDRYATPLQPVL >Sspon.04G0037310-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4D:66964549:66964980:1 gene:Sspon.04G0037310-1D transcript:Sspon.04G0037310-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPRSPADGRRQRPGCLRRQPRPPSARPSRRRPVRREMDGGKISPAVRHGCRRSVSARLSRPSQDPRPDTAVVGICRRPVLALPSRPLQAPRPFPCGSRNQIAKTTVSISVCYELHVRHELFSAIATTYILLLQLGNPRRTST >Sspon.03G0005960-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3A:16659905:16660144:1 gene:Sspon.03G0005960-1A transcript:Sspon.03G0005960-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRPSLKSKPKGKGGKKGAAADEDATVAATTVRLVKEWTTWTMKKAKVVAHYGFIPLVIVIGMNSEPKPSVFQLLSPV >Sspon.03G0000270-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:10920338:10923474:1 gene:Sspon.03G0000270-2C transcript:Sspon.03G0000270-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box only protein 6 [Source:Projected from Arabidopsis thaliana (AT1G27340) UniProtKB/Swiss-Prot;Acc:Q9FZK1] MGEVAALRQLVGQVQELWDLYGANAHPDDYCGGRSGYNSELLKIMETNQSPLRKRPRRDRNREKAPSSNKTEVMQQEIWRDFPEDLFETVIARLPVAAIFRFRTVCRKWSSLLGSDSFSHQYSEAPRGLPWFYTITHENANNNVAMYDPSLKKWHHPSVPLTPTKIVIPVASVGGLICLLDLSHRNFYICNPLMQSLKEIPPRSVQGWSRVAVGMVLNGRSSSDGYKVMWLGNDGTYEVYDSTKNMWSCPGTFPPGIKLPLALNFRSQPVAVGSTVYFMCAEPDGVLSYDVSTGIWRQFAIPLPLHLTDHTLAEFQGRVMLVGLLCKNAATCVCIWELQKMTLLWKEVDRMPNIWCLEFYGKHMKMTCLGNSGLLMLSLKAKRMNRLVTYNLLKREWQKVPDCMLPCSRKKQWIACGTAFDPVPCALA >Sspon.08G0009280-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:35800553:35801227:1 gene:Sspon.08G0009280-2B transcript:Sspon.08G0009280-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADESSTHDMKLLMPPHPHGACGSTKAPAPLVDKTLCCACDIIKLLPTGTVMVFHALAPSFSNHGVCGTASRSLTLALVGACAASCLLLSFTDSLVGHDGRLYYGVATPRGFYPFNFDGTCDERRRRFASIPRMKIKALDFVHALVSAVLFIVVALGNAGIQGCLFPDIRPDAREVLMNLPVGLGFLSSMVFMIFPTTRKSIGYTDLMPHQQEEDGKRSNNNAV >Sspon.07G0009070-3C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7C:20783354:20784260:1 gene:Sspon.07G0009070-3C transcript:Sspon.07G0009070-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding QDEGRRVGAEEVPNSKFGGSGGGEGAQWRRMSLPAMLLGETVLEIVQASQFARDIVTAAGATNREPPRTPKPAPRTRKPAAAAEQTPLRARRAREKQSHRGGAATRFADAATPPSRGRVRSRIQFKPASPPVAVGRPSVSANRVSPKNRPWAKKTVMFPNPAFHASTSAAANTDACATPSPSKKQKRFYKTRSPVVVARQTPHKFLVKSPPSALGSKLRIHGKALPAARPAAVSPPPPVKVQASPAKTRRCSFSPSRLATRLVSPIKARLSLNRSRDSGVGVGGGPMSGLKQRPGVSLTVRT >Sspon.05G0026790-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:5B:39929100:39931334:-1 gene:Sspon.05G0026790-1B transcript:Sspon.05G0026790-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ASLPARFWAESLHTTTYLLNRLPSAACPAPTPHHALFGTPPRYDHLRVFGCACYPNTTATAPHKLAARSTLCVFLGYSPDHKGYRCYDLTSRRVLISRHVVFDESVFPFSSTPTPMSTPDFDLFSLFPTDAVVEPPFRLSPTGTAPPCPSPGPCPSSPPAGDTPCPGLAGLPRGPTPVTTPDAGPGSSPLAPPACFAQPTLVYQRRAPSGSAPVTTPNAGPGPSTPAPPARFAQPVLVYQRRARPEPPPTSPPVAPSPGCPLPPTASSPPATPTPPPRSPDARVATPVYHPPLLHRHPRHVHPMVTRHAAGTLQPRALAAMPGDSQVSPVPSSVRAALLDPHWRRAMEEEYAALLANRTWDLVSRPPGSNVVTGKWIWTHKRRADGTLERYKACWVLRGFTQRPGVDYDETFSLVVKPATVRTVLSLALTCSWPVHQLDVKNAFLHGLLTETVYCSQLAGFVDSSCPDMVCRLNRSLYGLKQVPRAWNHRFAAFLRSLGFVEAKSDTSLFIFHHEAETAYLLLYVDDIVLTASSESLLRRIITSLQQEFAMKDLSVLHHFLGVTVAPHPAGLLLHQRQYTLDILERAGMTECNPCSTPVDMQGKMSEAEGNPVSDPTAYMSLAGALQYLTFTRPDITYVVQQLCLHMHDPREPHLTALKRLLRYLRGTVGYGLLLHRRSSSTEMVVYTDADWAGCPDTRRSTSGYAVFLGGNLVSWSSKRQQVASRSSAEAEYRAVANGVAEAS >Sspon.07G0008720-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:24073251:24076851:1 gene:Sspon.07G0008720-1A transcript:Sspon.07G0008720-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLSCRDLPARLPTLPRRASATTHTQLSFLCGPAGPLRWRSSLTTTNTLRLPLAATKDDAGVASLVGRLEHDVANAPYRDDVLEEEEEEEEEDNDDDARRRGAGKHHDDHQLRTRWREIHGCDDWAGLLDPMDPLLRSELIRYGELAQACYDAFDYDPSSRYCGSCKYPRRELFSRLGMADAARGYAVSRYLFATSNIRFPNFFPQSRAGARIWSQSANWIGYVAVSTDEESARLGRRDIAIAWRGTVTRLEWVSDLMDFLRPVAEEGIPCDDPEVKVLAGFVDLYTDRDPGCRFCKYSAREQVLTEVRRLVARYAAAGEDVSITVTGHSLGSALAMLSAYDIAETRANVAGGEQAAPVCVYSFGGPRVGNAAFKRRFESELGVKALRVVNVHDNVTRMPGILLNEGAPEVVRRVAEGLLRLPWCYAHVGVELALDHKRSPFLKDTLDPAYHGRGERFVLASGRDPALVNKACDFLKDHHGVPPCWRQDHNKGMVRGRDGRWVQPDRHGCHLDDHDHDDDGHHHHHHHNKHHHHHRHGQDMQSHHRPKHDEP >Sspon.07G0012970-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:52717840:52723303:-1 gene:Sspon.07G0012970-2B transcript:Sspon.07G0012970-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGGSRAAPTAAAPPWHVLPDEVWEHAFSFLPADSDRGAAAAACRSWLRAERRSRRRLAVANCYAASPQDAVDRFPAVRAVEVKGKPHFADFGLVPPAWGAAAAPWVAAAADGWPLLEEISFKRMVVTDDCLEMIAASFRNFQVLRLVSCEGFSTAGLAAIAAGCRNLRELDLQENEIEDCSIHWLSLFPESFTSLVTLNFSCLEGDVKITVLEQLVTRCRNLKTLKLNNAIPLDKLANLLRKAPQIVELGTGRFSADYHPDLFSKLEAAFAGCKSLRRLSGAWDAVPEYLPAFYCVCEGLTSLNLSYATVRGPELIKFISRCKNLQLLWVMDLIEDHGLAVVASSCNKLQELRVFPSAPFDAVEQVSLTERGLVDVSASCPMLESVLYFCRRMTNEALITIAKNRPNFTCFRLCIIDPHTPDYTTHQPLDAGFSAIVESCKGLRRLSVSGLLTDSVFKSIGAHADRLEMLSIAFAGNSDLGLHYILSGCKSLKKLEIRDCPFGDKPLLANAAKLETMRSLWMSTCSLTLGACRQLARKMPRLSVEVMNDPRRGCPLDSLTDESPVETLYVYRTIAGPRSDTPACVQIV >Sspon.04G0001610-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:6712537:6716129:-1 gene:Sspon.04G0001610-1T transcript:Sspon.04G0001610-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHTAAAAAAAAEPALGLTKPNAIEPPQVTFSAKDVEFSEWKGDILAVAVTEKDLSKDADSKFENAVLKKLDAQLGGLLSEAAAEEDFTGKTGQSVVLRLAGQGFKRVGLIGLGQNAPSTAAAGRGLGESVALVAKAAQASSAAIVLASPSGIQEEFKLTAAAAIASGTVLGLYEDSRYKSESKKVHLKQVDIIGLGSGAEVDQKLKYANDLSSGVIFGRELVNSPANVLTPAVLAEEASKIASTYSDVFTATILDVEKCKELKMGSYLGVAAASANPPHFIHLCYKPTDGNVKRKLAIVGKGLTFDSGGYNIKTGPGCSIELMKFDMGGSAAVFGAAKALGQIKPPGVEVHFIVAACENMISGTGMRPGDIVTASNGKTIEVNNTDAEGRLTLADALVYACNQGVEKIIDLATLTGACVIALGPSIAVTFSIGVFTPSDELAKEVTAASEVSGEKFWRLPLEESYWESMKSGVADMVNTGGRQGGSITAALFLKQFVDEKVQWMHIDMAGPVWNDKKRAATGFGVSTLVEWVLKNSSS >Sspon.06G0013640-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:58843953:58844405:1 gene:Sspon.06G0013640-2B transcript:Sspon.06G0013640-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding TMAYSSSRLAEASLLLLVVILLAAVGVSASAEAEMSAKTVAAVAAPARKKDDVVTSSSGSSSPSSSPSPAAGGGGAEKKETKDDNGNKEKEKEKPKGGGTSTKKPSPPAKCVTSKDCHLKRLVCAKKCTMAAHKKCAAKCSHSCNALPICT >Sspon.05G0009810-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:28034715:28036421:-1 gene:Sspon.05G0009810-1A transcript:Sspon.05G0009810-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPVPVLCSASPCRVAIHLAPPAFRTIVAARPQASPFGLCVKRGGASPRARAPFAAKRGGRRGDVSAEGADGTRALLQAALWGAEAAYILWLFLLPYAPGDSILAISQATISDLIGLSLNFFFVLPLLNSAFLIPYMAIRLNDPDADRSPPQRSQLGSVMVNGAPVVGAVGGLVCVLSIVWAFFGRGDAEFGGTAERWQYVQSYVLSDRLAYAFLWDIFLYSIFQPWLIGDNLQNVKADTTGFVNAVRFVPVVGDACMNKNPFITTFATEKQQPSNRTTRMDT >Sspon.01G0004570-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:12190836:12193569:1 gene:Sspon.01G0004570-1A transcript:Sspon.01G0004570-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding WDWHPDWKLSVDRPSGQNSIIRSIIVLLIASVPMAIIWVFIPDVLPLIGQDPQIASEAGRYALWLIPGLFAFSVAQCLSKFLQSQSLIFPMVLSSLTTLAVFIPLCWFMVYKVGMGNAGAAFAVSICDWVEVTVLGLYIKFSPSCEKTRAPFTWEAFRGIGNFMRLAVPSALMICLEWWSYELLVLLSGILPNAALETSVLSICISTVVLVYNLPYGIGTAASVRVSNELGAGNPDGARLVVIVALSIIICTAVLLSVTLLSLRHFVGIAFSNEEEVVNYVTRMVPLLSISVLTDNLQGVLSGISRGCGWQHLGAYVNLGAFYLVGIPVGLVAGFALHLGGAGFWIGMIAGGATQVTLLSVITAMTNWRKMADKARDRVYEGSLPTQAD >Sspon.08G0008330-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:27234712:27259539:-1 gene:Sspon.08G0008330-3D transcript:Sspon.08G0008330-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKCHSVAALWSPSPPSHHVTAAAATPAALFTGAADGTVLHWPLAPASASPSPRPSSLLCAHAAAITSLCPLPSPASLLAACTAGVLSLFSTSAPLRCLRRRSLPPWAGSPSLVAPLPSITSSHPRVTILCHAPDDGHRHVSALVVVDARTLAVLYTVFHGILSVGTPRAIAVSGAGDDAVSVVLADAQGRVQVMPAAEGAAVEVDSPRRLSVSSVSSVASAEMADGRVEALALSHDGKHVALVLKTRCLLKCVTEGAVLGEVSLLGTSLCKDENTVDKVCTAGAFFLSSDEWDAHVSDDGVVVRNLVLWSSNGAATMYRVALGSSYFESEVVCEIPSNLSMQGEGLEIKFCRSDRHLVRVESRFNKVAGSLLWKPTVSIWSMDQLELNKTVSTQKPPMSKMLGEGGLQAEEFRPEPLILNTIPTEAWEGVKGYIACLCKNLHSCNDAGSGLYIWDLKTGARERVINGTASRSAFEHFCSGISKNAVTGSILGGTTSASSLLVPIFKDASHLHKNGHDISSVSTNLHNRNTVSVTVNAPTTYDFKGKTPAPDEAHEFHGDNSVYNSGKAVSSHSVHNKKIKCPIKCSCPYPGIASLRFDLTAIMSTQGMTNNNSGRQLKDHLHSEKPKEILQPGTLDSPSRVHEMDSPSRESLEGRLLRFSLCFLHLWDVDCELDKLLVDEMQVCKPEGCHIATGVVGDRGSFTLMFPGKEATLELWKSSAEFCAMRSLSIVSLAQRMITLSRSCTNASSALAAFYTRHFAEKVPDIKPPSLQLLVSFWQHPSEHVRMAARSLFHCAAPRSIPQPLRINKNKSASVSNYGELKADDGNVDKDDSDTANMILWLESFENQEWLSWIGGTSQDAVASNIIVAAALVVWYPSVVKAKLSSLVVSQLIKLVMSMNDRYSSTAAELLAEGMENTWKACLGAEITHFMSDILFQIECLSTAPSSNAINKTAVAVTMREALVGTLLPSLAMADVTGFFGVIESQIWATSSDSPVHVASLKTIIRVVRGAPKSLVPYLDKAISYILHTMDPSNLIMRKACIISSMMALREMARVFPMVALNESMTRLAVGDAIGEIHDATIRVYDIESVTKIRILDASGPPGLPSLLAGSSNTTATILISEWLSWIGGTSQDAVASNIIVAAALVVWYPSVVKAKLSSLVVSQLIKLVMSMNDRYSSTAAELLAEGMENTWKACLGAEITHFMSDILFQIECLSTAPSSNAINKTAVAVTMREALVGTLLPSLAMADITGFFGVIESQIWATSSDSPVHVASLKTIIRVVRGAPKSLVPYLDKAISYILHTMDPSNLIMRKACIISSMMALREMARVFPMVALNESMTRLAVGDAIGEIHDATIRVYDIESVTKIRILDASGPPGLPSLLAGSSNTTATILISVLSFSPDGEGLVAFSENGLMIRWWSLGSAWWERLSRSLTPIQCTKLIYVPPWEGFSPNSARLSIISSILGHDKHGRSEKKTKELGEADNLKLLLHNLDLSYRLHWVGGKTIKLMRHGQVLGTFQL >Sspon.08G0000360-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:1530719:1535030:-1 gene:Sspon.08G0000360-1A transcript:Sspon.08G0000360-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAPPVAKKVPRQLVEHGDVRVDNYYWLRDDCRSDPDVLAHLRGENDYTAAYYYYERTLTGKEYVQHCRRLVPTDAPITVHDVMPTGPDAPDEHIILDENIKAEGHDYYSIGAFKVSPNNKLDFQLFDNHIAVYERENGLPKVTVYRLPAIGESIGQLQGGRALDFIDPTYSVDPEESQFHSSVLRFHYSSMRTPPSVYDYDMDSGVSVLKKIHTVLGGFDASNYVTERKWATAADGTQIPMSILYRKDLVKLDGSDPMLLYGYGSYECFLAE >Sspon.01G0034010-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:563456:568605:1 gene:Sspon.01G0034010-1B transcript:Sspon.01G0034010-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSYFKDIKCTLGACFFVEGCKEKNKIFVNVDRYTKYSTSFCYAPRNARITPLATASFGDMADSSTPIFPRIHVKDPYQRLGISREASEEEIRAARNYLISKYAGHKPSVDAIESAHDRIIMQKAQKWMASILLWVWFFLCFLVPWHLLDGICNSSYTPWSKKLGSEHRVCYLCAPFHIVNLLECSNACISKLDN >Sspon.05G0033220-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:27688653:27689592:1 gene:Sspon.05G0033220-1C transcript:Sspon.05G0033220-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AMAASSDQVPPPASRLEGKVALITGGASGIGECTARLFVKHGARVVVADIQDEPGAALCAELGGADVASYVHCDVTVEGDVAAAVDHAVARFGALDIMFNNAGIGGAACHSIRESTKEDFERVLSVNLVGPFLGTKHAARVMVPAGRGGCIIGTSSLASAVAGAASHAYTCAKRGLVALTENAAAELGRHGIRVNCVSPAATATPLATEYVGLEGEAFEQAMEAVSNLKGVRLRVADIAAAVLYLASDDARYISGHNLLIDGGFSIVNPSFGIFKD >Sspon.04G0002740-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:8390766:8391497:1 gene:Sspon.04G0002740-1A transcript:Sspon.04G0002740-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRLERGGFQLPNTEQENSLFLRALISVVSGDTTAPATLLPEASAPPPPAVEAPAPAACGADGCPGGCELPQPHRPLPDESLRENCGSNAASPVHVAQAPTTQQGTRPVPKEQDIWDGLNEIMMMDDGSFWSMP >Sspon.06G0018050-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:97629091:97637705:1 gene:Sspon.06G0018050-1A transcript:Sspon.06G0018050-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGRILAQRSGTGGWAAAILAALCLVRCSSRQRVTEMTDGINDAAINQVSSEDALEKLKLVQSGISQSSELMPDSEKTVHLRDELSDLIEFPTSELLKTDLTARDRVPKGGEGPYELALGIPVRRLLKAADSVSIKGCTTIGEQIFIFLEI >Sspon.06G0030560-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:49437444:49441626:1 gene:Sspon.06G0030560-1C transcript:Sspon.06G0030560-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSSSLASSLLPLLCLLLAVAAAANHKQEQPTLASPPVTLAGCPDKCGDVSIPYPFGTKDGCFRPGFFIFCNHTFDPPRPFINSSLNPYTDTLIFVDDLYYLMTNLTSENVPMEKEPNQPENVPMDTEPNQYTWPIELAGVSLSEGKARLLGAFSYECRLNETHHSVRRQGIQFYGNPQFVLSDTDNVLMGIGSDVIAEQSHGPLCQSYVIPSDGPVNGSCDGQGCCHTEEDRSDYGDDGGVYCYYAMVVEKTWYNFSSVDLDSDAFLRRNAAGVVPIVMEFVVDLGKCPKAGEPTPKDFACISDNSECMERPTTKDHWETVTGYYCNCSVGRTFVRHDNIENKHASSSCGPNKKDGTVQTRKEGTKDVYCLRDSEILGNINECTWPNVNMYPCHGKCKNTPGDYECSCPAGTRGNAKEGPCTDLFSLPAKISIGMVGGLLIIALLVFTILIRNQKRKMTEFFNKNGGPTLEKAKIIKTYKKGELSPFLKPSNFIGRGDFGEVYKGFLDNQLVAVKKPIGGITDVAQNDQFANEVIIQSQVIHKNIVRLIGCCLEVDKPMLVYEFLSKGSLDDILHGSSWVPLTLECRLNIAAESSEGLAYLHSKTTSIISHGDVKPANILLNDSFTPKISDFGISRLIVTDKEHTDLVIGDRTYMDPVYLRDGLQTDKSDVYIFGVVLLELISRKKATYSDNNSLVRNFLDAHKSQKEATELFDEEIAKPEVLKLLNSLYEIAVNCLNLDVDKRPNMTEVAESLLMLKRSWNNTVIGHVLHISNGKPKDNLTQA >Sspon.05G0017390-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:72670394:72690884:-1 gene:Sspon.05G0017390-1A transcript:Sspon.05G0017390-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFDGMPPWKRRKEDEGVAAEFCGDRIGALPDALLHHILSLLPAEEAVRTSVLARRWRYLWKFATGLRLGCLDMDEPVSVNALRKFVDSLLLRGGSPLQTFELRVGDFSDGDDEPRVNLWFRHAVTCKVWVLKLHLHENNYVDPWLLLEDLPLVSQHLTRLQLHGVRCLTGFLNFSGCPTLDCLEFKFCDLSLATKVMSESLKSLTITDSLFCENVRTQICAPNLIALCLDDFWNKTPVLESMPSLVDAFVRITIECSDRCGKLWAAHQTCDCEYCDSSNNIAYGGSVLLKGLSEARNLALIGTLNTIIFKWDLRWCPTFIKLNTLEPKHKLEINGSFSSMDRSTAISEQLKIVEIKCEVSSQSSPIPLHIWHTILDAGNLLDRMLPGESSKRTAPPALSSGGSMGTLPDGVLEHILGFLPAPEAVQTCVLARRWRYLWRSAPGLRIGCPGDGEQAPPPVKEHRELVDRLLLLRGGSPLDTCDIRLGEFQDDDVNFWVWHAISCKVRLLTLSIDWNRYLKLDDLPLVSQHLTSLELRGVDSNFLDFSSCPALEYLDLLHGNLLMVKNISSDSLKHLSVTQSRFPDDLDQFMFIGVQTRSRTRIYAPNLVTLRLDGLENLTPTLDSMPSLVEAFVRITLDCGDYCIITNCVCELCDSSRGGTSYACVLFKGLSKILFKWDLRWCPVFSIIIGAHHLLDTMLPGGSGKRAVPPALGNEGCIDALPDGILGHILGFLPAQEAVRTCVLARRWRHLWRTATSLCVGCCSEDDGTRVKELREFVDHLLLLRGSSPLDICEFGFSELDNDDVPRVNLWFRHVVMCRARMLRLHLFWHLFLELDDLPLVSQHLMKLYLSAVQIQNSFLSFSSCPALEHLELVDCNLSLAHKITSKSLKHLSLTDCVFFQHSRICISTPSLVSLHLDSLESTPIFDSMPSLVKAFVRINQACLDVCGKPNYLDCICLLCDGSDSRGSSVLLKGLSQAQSLVLISEPDKGPKYKVEMKGRCNLMERSAKISENLNNVEVKCQVVDERVLKVLKFLCTFNISKLTCNFPCDST >Sspon.01G0034330-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:2562229:2562540:1 gene:Sspon.01G0034330-1B transcript:Sspon.01G0034330-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDAVTYTEHARRKTVTAMDVVYALKRQGRTLYGFGG >Sspon.04G0004570-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:13531277:13536711:1 gene:Sspon.04G0004570-3C transcript:Sspon.04G0004570-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHILPKLPKQFPFPPPPPLSSSQRAPTRRRLLPLRLLMASPTAGDAPLAAPAPAADATDAALAAAAAVPDPDAEFGFQRPELGKEKLVGTVGFHERHVFLCYKGPDVWPSHLEAAESDRLPRLLAAAIKARKPNLKKTGDVTGHWYGYVVPDDAPVLLHKHIEQGEIVDHLWRGQMGLSEEQQKQALELRSLLNGGKESLEETGTDGASCNPAAAGGCCQGNGGFTCCQTDLPKEKQDKSIAAEQNPKTSEKEDDKEGGAGSKKGNTKTCPMPTWFETWERADTYAALAVVAAAAAVFVSFRIYKNLN >Sspon.07G0017720-3P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:85849907:85850638:1 gene:Sspon.07G0017720-3P transcript:Sspon.07G0017720-3P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKVHPNAVSPPEPGTPGGATGVADRAAAEGDEPPTVLTVWRKSLLFNCDGFTVFDASGDLAFRVDCYAGSRRRRRRADDVVLMDARGKPLLTIRRKTRLGLAERCWVIYDGDADAAEQGPNDRATPLLYVRRHVGRLGSNSACKTLARVATPGAEASGAAYVVEGSYGRRTCAVRDAARGGAVVAEVQRKEAVGDDVFRLVVAGPQLGAPLAMALVIALDDMFRGGAGGWSARSLLHRTLSV >Sspon.05G0007260-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:22754250:22760139:1 gene:Sspon.05G0007260-1A transcript:Sspon.05G0007260-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPMEQPEPEIAGHYYALQVGSYFLTGYYNVLTNQPHLASQFYTDNSSVVRLDCETGRWSFGETVEVINDMMMSMNVSKVEVKTANFLESWGGAITLLVTGLVQLKGYPVRKRFAQNIVLAPKKDGYFIFSDIFKLICDEYDDQYPVADYNCADNMPQVDASYTIAETGSDYLDGEPEAQETVAPAENHVQHQDPLQYKAGNVIYDETHPEEHIPSFPSSTDMKRESPLAPPHPPSSPTLEEPVEEAPKTYASVLRRNVKATMATAETQQAQQLAPQAQSAPVHEKSNLDNHRAVSTPEDEEEFLSVYVGNLSPSTSVFDLEKVFQAFGRIKPDGVAIRSRKEAGVFFGFVEFEDMSGIQNALTASPIELNGRFVHVEERRPNCGFPRGRRGRGRADFSRDQAGGRYDGEYATRSKGTGHQKKSGRHNGPWLTENLRVTRGSVKVV >Sspon.01G0047110-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:92329820:92331679:1 gene:Sspon.01G0047110-2C transcript:Sspon.01G0047110-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLSMVSVPIAPSSLSLSTRGRSSSVSFPAKKGGIGHGGLRIECIRIGGVEIPNHKRVEYSLQYIHGIGRSRSRQILLDLNFDNKITKDLSEEEVITLRKEVGKYMIEGDLKRFNRVAIERLKEIRCYKGIRHKLGLPVRGQRTKNNCRTLKGKRASVAKKKSPASQDE >Sspon.02G0014030-3P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:16604818:16614428:-1 gene:Sspon.02G0014030-3P transcript:Sspon.02G0014030-3P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGGLSKLRCMIKRWHSSSRIVARAPSPSAHDDDHDDGAISGDARPRGASFHGADEVPKGLHPVYVGKSRRRYLIAEELVSHPLFQTLVHRTGGGAATGGAAAAGAVVVGCEVVLFEHLLWMLENADPQPESLDELRKATMAKGGLSKLRCMIKRWHSSSRIVARAPSPSAHDDDHDDGAISGDARPRGASFHGADEVPKGLHPVYVGKSRRRYLIAEELVSHPLFQTLVHRTGGGAATGGAAAAGAVVVGCEVVLFEHLLWMLENADPQPESLDEL >Sspon.03G0036920-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:95769726:95770725:-1 gene:Sspon.03G0036920-1B transcript:Sspon.03G0036920-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding EVDRSRPETVSIGRQEPGGCAAVLWRRKKRKEMGGLLLPHHQTFPNSLQAAIPGSLSLHKGVQLFCSPRQGFPRSFWSAIIRSEANGNGVASPDMVSKHSKEELIAFFRDIQTSIAESSPKASKRTRKQPPDPLKEVHRREQSHDGGPGDVSKERQRKVMNLEDMNVADLRELARARRMRGYSKLKKGELTDRLKG >Sspon.04G0010710-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:32851144:32851923:-1 gene:Sspon.04G0010710-1A transcript:Sspon.04G0010710-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKKVTLHRIANDSTRRGTFKKRRKGLMKKASELATLCDVDTCVVVYGEGESQAEVWPDVPTAEHVLARFKAVPELDQCKKMLDMESFLRQRMDKLREQLHRAQRDNREREATLLLHDAIVGRRPGLVGLSVEEIASLGCMVESRLNGVKDAIGRLQRMGQEVPATVAAALQPQLQAPSSMPLMPAYRAGTTGHRDMMTMQVPVPHPQPQGWLVSGGDLGALVHGGGFGGDMNMMMPQFGNMAVGFAWSDPGQYFHSM >Sspon.04G0035290-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4D:7443408:7446072:1 gene:Sspon.04G0035290-1D transcript:Sspon.04G0035290-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGLGAPDQGADQRPNRPLGLVELHFRDSSGDAVAAASTGELAVTAVSGGSVDLIKNAKAQAIIDGSRTAAESEFVARIGDRAHVPVLSFSAVPATQTARFSVATAPDESSQAAPIAGVIENFHWRAAVLLHEDSRFGAGIVPALSDALRGVGATVAHRAAVPADASDDRLDAVLYRASAMTARVFVVHMSVPLALRLFHRAKNAGMMSEGHVWIATAAVGDTGGHGDALGREDTDAMQGVVSVRQYVPPTSQADDFARRFKARFQLENDGSQDIPEPTTSTFQAYDTAFAVAAAVEAAGISGSDFVPPKGGTGLTELDQLGVSATGENLLKAVRDTTFDGLAGKFRLSDGQSQTPAYEIVNFAADGLKTVGFWTTKTGISQEFAADSGEGLKKVNFPGVEESDMRIPDGWAFSPVERALVIAVPVKHGFQQFVQ >Sspon.01G0027570-1P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1A:97245275:97247013:-1 gene:Sspon.01G0027570-1P transcript:Sspon.01G0027570-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKEDGVKKVAVAAPEEATPTLTATLPAPEKEPETRCYKKTVGEEATFLETAKDYFNQFKEMPAQKHWICLKNYFNQKCASVFGKQKVEPVVKDDETPEVVAKPAATTVESH >Sspon.06G0005320-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:16736535:16747508:-1 gene:Sspon.06G0005320-1P transcript:Sspon.06G0005320-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGSPDGRLVELFGRGCRGGATTLRRAASQSAAAAAGQPLPHDLSRDFWMPDQSCRVCYDCDAQFTILNRRHHCRHCGRVFCARCTANSVPRSPGTPRGRTARGSECAITAISAGWRRTPQHVVTGRGYSRPARPYPPLADKSSFTGTNGQMSSYANISYSDFASVPVHGEGNCGEDDGYPEKQQPAMDPAPAMEPAAYADKSSDRFNFCLQRSDDEDDDYTVFRSDLEEQHMQNSDEYYGPCILMDIIDDAKESTSPRNDLTTLADSLGADKNEDHTVDECCNARSSSMYSMEVLDNDPVDFENNSSLWVPPEPEDEEDDHDGEHDDDEGVDATGEWGYLRSNSFGSGHCRSRDKSAEEHKKAMKDIVDGHFRALVSQLLQAEKVPLVDKSGKESWLDIVTSLSWEAASLLKPDTTSKGGQMDPGGYVKVKCLACGHPSESFVVKGVVCKKNVAHRRMSSKKEKPKILILGGALEYQRVSNLLSSFDTLLQQETDYLKMAVAKIKAHQPSVVLVEKSVSRYAQDLFLEKNISLVLNIKRPLLERISRCTGAHIVPSIDYLSSQKLGRCDLFHVEKYVEEHGTAGEGGKKMLKTLMFFEGCPKPFGCTILLKGANGDELKKVKHVVQYGVFAAYHLALETSFLVDEGATLPELPLKSPIIVALPDKPSSADRSISTIPIIPIPSASSPNSCLQAFGLQNDDLTFNGTKRMEQTALGAPYDETASVQINSQNGHMTCLLDMVPQPTVGPLAQQSNMHCPDGTGDVNIKMNLHDSLPGTTRNALVNDFSVSPAHPMNLVSAKGDKVADKPAAPLNEQISHDDDSAKDNSVAKTDEIPASPADNQSILVSLSSRCVWKETLCERPHLLRIKYYGNFDKPLGRFLRDQLFDQSNLCQSCELPPEAHVYCYVHPQGSLTISVRKLSVKLPGDGENDGRIWMWHRCLRCPRVNGLPPATKRIVMSDAAWGLSFGKFLELSFSNHAAASRVASCGHSLHRDCLRFYGFGEMVACFRYASIKVHSVYLPPPKLDFTSEHQEWVEEEAKEVDDSAELLFSEVLNALHKISGGRPITGSFDGNLKILELRRNIGELEEILVAEKTDFMESLNNLLKKDMRKGQPFIDILEVNKLRRHLLLLSYLWDQRLKFIANSGGKYCDALAGLRIGSGNSDFNGKSVGATPAPKLEKGSKVTEILSTTKEGLLHQSSCPPHGEDEVFNQANESNENSSGNVAELNGTEDSIAKINHVTSADVKDQLDNQESRTGVRRVVSDGQFPVTTDIPDTLDAKWRGQNGPAPDSNLAKPLPSVEDTAVDVKSQTKAVHTSTFTARSGDAAEELLRWLKMPYMTSNSSLITTTSSPLRFTSLADYIPKYVELFCELSQKGGARLFLPTGANDIVIPVFDDEPTSVISYALVSPMYCFQLSDESSKNRDKDSSLPLPVYDSGNFNPFHLFEEFGSHYDVTSSVSGVRGSLAPDQVHLSVSFEDGGPLGKVKYNVTCYYAKKFEALRRSCCPSELDFLRSISRCKKWGAQGGKSNVFFAKSLDDRFIIKQVTKTELESFLKFGTEYFKYLSESISTGSPTCLAKILGIYQVTIKHVKGGKESKMDLLVMENLLFGRNITRLYDLKGSSRSRYNADSNGSNKVLLDQNLIEAMPTSPIFVGNKAKRLLERAVWNDTSFLAGIDVMDYSLLVGVDEEKHELVLGIIDFMRQYTWDKHLETWVKSSGILGGPKNASPTVISPMQYKKRFRKAMSAYFIVIPEQWMPAIINPNKSSSNICQEDSQNGSQE >Sspon.05G0000870-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:3044022:3048040:-1 gene:Sspon.05G0000870-1A transcript:Sspon.05G0000870-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLSARLLCVLAILLAAQPSQADSAAAIGAARGGDDRPSPPCSPADRAALLGFKAGVAVDTTGILATWTGGDCCGAWEGVTCDAATGRVVALQLEAPPPKSRHYMQGTLSPSLGGLEFLESLVVRDMARIGGAIPAALQLYLEGNMLFGSVPGSLGGLRSLQYLSLAGNRLDGQLPPELGAVSGLEQINVARNELSGAVPPSYVNLSRLAYLDLGSNLFSGAVPGFLGQFRNLALLDLSNNSFSGEIPASLCTLHSLTDLSLSHNKIGGQIPPQMGALRSLNSLAMDGNMVVGSIPASLLGLQKLWYLNLSGNGLSGPLPTGAGNALPSMVSMDLSHNRLTGDIGQLFRSLSTAASHGNRTTTPQIVLAQKLEHLDVSENRITGALPDFDRGAGLRWLDISGNAIGGQIPSSVSKLSGLERLDVSRNRVRGTIPASMAEMVRLRWLDLSMNELVGRIPDNFTRLTGVRHASFRGNRLCGQIPQARPFNLFREAAYAHNLCLCGKPLPPMQGDEPKEVVSTVGVACEGCGWKDGNKGSCRPRPTTRSTPVDATKVLVVTARTVQSNSPEPNSQILNVGGRGEGHISPALVQLEERERECGVVASFKRGCGREEEREGHGRERARKKWAWTK >Sspon.05G0037050-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:8204905:8205610:1 gene:Sspon.05G0037050-1D transcript:Sspon.05G0037050-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEPNFRNWRWFGRSNLQLQVSLEQDGALVLIVSHRGRACCFTALEELLGLRMWARFLPRYARTCPWKRTRGTNYEPHAVLFRQTKPHR >Sspon.01G0061320-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:92889910:92897258:-1 gene:Sspon.01G0061320-1D transcript:Sspon.01G0061320-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AMETEGKGGMHPALYKAATQGCVRSLRKLVVKDVKILNSKTPQDNTALHLAALHGHPKFARELLAVSEELMVARNADGDTALHLAAKTGRLKVAEQLVGIARAWPDDPNSEDTLLKNPLMMTNKEGNNPLHEAVRHRKTAVALALLDADHSRAYDLNEKMESPLHMAAREGLVHVVRKVFDFAWVEPQYVSSAAVSGTALHQQKNNSHVVELLLGKKTQLAYSSNNDRQSPLHVAAQYGSTAVIKALLRHCSDVSEMVDGNGRNAFHASVISGKADALRCLLRRVRPAELLNRADNNGDTPLHLAAKMSRVHSALMLLRDRRVDPGIRDKDDQTARSLVEKKLHTGETDAHEMYLWRQLKRQESARCRKQQLPPVTFSGDSRTSSHKYFERSVETYILVATLIATVTFAATFTMPGGYYQDSGIAIHGHDTAFKIFVISNTIAMCSAIVVVYCFIWAWKDPIKFKIDQLVWGHRLTMIAGLGMLVSLMASVYITVPHKSRWPAYVVIAIGMSTPAVVVLMLGRQLQAMDSERRMNPALYKAATQGKVSSLKQLVDPEDPSVLSATTPQLNTALHLAALHGHADFAGEVLDMNEELLVTRNDDGDTPLHLAAKAGKLEVAKLLVNRALAWPQDKKSPLIMTNKAGDTALHEAVQYRRGAVAVVLLDADPNRGHDLNERMESPLDMAAREGLVQVVQKIVNSPGSARSSCPTSPSAALLCTRPCWAPIEILLDKRPDLIDLTDSDGNNALHYAAQKDHQRAVEMLLKKRTELAYKCNHMRMSPLHVAAQYGSTDTIKALLRHCPDVAEMADSYGRNAFHASVFYGKANALRCLLRRVRPAELLNRVDAKGDTPLHLAAKMSRVHSALMLLKDSRVDPCVRDHDGQTARSLVEKKLHTGEMDAYEMYLWKQLRYQESKRCRKQQLPPLATYPSRRGNDKYFERIVETYILVATLIATVTFAATFTMPGGYNQSTGIALQGHHVAFQIFVVSNTIAMCSSIVVVFCFIWAWQDPVRFKVDQLLWGHRLTVIACLGMLVSLMTAVYITVEPVSRWPAYVVIAIGTSTPAVVFLMLGREVIFVPL >Sspon.03G0011900-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:36912572:36917237:-1 gene:Sspon.03G0011900-1P transcript:Sspon.03G0011900-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTKNQFDLLVDVDNDDPSHLIAAAEKKAAASPKPAPAAPAKLPTKPPPPAQAVKESRNYGAPARDGAGRGRGGFRGGRTGPRREFGEGNANGVEGGYGGGGFGDSGFQRREEGDGKAMERGRGPRQPYRGGGRRGGYTDGEAGDESARPPRRAYERHSGTGRGYEMKREGAGRGNWGTVTDEALAQEAVETEGAPAVAEDETKPEDVPQSEAEKGKEGEPTEAEEPEDKEMTLEEYEKVLEEKRKALLGLKSEERKVEVDKELQSMQQLSVKKGADEIFIKLGSDKDKKKENSERDERAKKSVSINEFLKPAEGERYYSPGGRGRGRGRGRGDRGGFRGGYSPREFAAAPAPAIQDQSQFPSLGGK >Sspon.03G0023440-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3A:71849715:71849949:1 gene:Sspon.03G0023440-1A transcript:Sspon.03G0023440-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding WSGQRPRQRGELVTSPATLRSRPRPVALVLHLSRTQRNPPPPCMHTTHTVPYPAFPLSTQAHIILVASVSVIDIYIY >Sspon.03G0034890-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3B:77386064:77387504:1 gene:Sspon.03G0034890-1B transcript:Sspon.03G0034890-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding KEKIHDPTENTPGPEI >Sspon.06G0008130-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:33339206:33353091:-1 gene:Sspon.06G0008130-2B transcript:Sspon.06G0008130-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSVARSLRQLRRFTQHHAERHSPATRLIRQQNALIMCSSTTRSLSILCRNGETSRFASPAMELMRSMFSTVAADSIKDIRRGGPMGEYERRIASGELVDGDSFQVDTIQQLQRLYEELIENEEDCQLDRYKSSEKSGRSRWLWSRLITQPSTYAPVKGLYLYGGVGTGKTMLMDLFYEQLPSNWRKKRIHFHDFMLNVHSRLQMHKGVSDPLDVVAAEISDEAIILCLDEFMVTDVADVMILNRLFRQLFSKGVILVSTSNRAPDKLYEGGLQRDLFLPFIDTLKERCIVHPIGSAVDYRQMGSLSDIFELISEQAEQGFYFVGKHYSTLLKQRLQSLIGDEEPSPQTVEVIMGRKLPVPLGANEKFHTLALDGVPKFGSSNRTSAYRFVTLIDVMYENKARLLCTAEAGPIDLFENIVTVAEAQKVSPRSSRSQKSDDPDLCVDNELGFAKDRTISRLTEINSREYLEDFEMRLRQQQQLPLQGLDNGGDVVLA >Sspon.01G0013660-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:38138484:38143548:-1 gene:Sspon.01G0013660-3C transcript:Sspon.01G0013660-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLWAPSVYVLLLARLIDGFGIGLAVTLVPLYISETAPTDIRGLLNTLPQFSGSGGMFLSYCMVFGMSLMPTPDWRLMLGVLSIPSLIYFGLTVFYLPESPRWLVSKGRMAEAKRVLQRLRGREDVSGEMALLVEGLEVGKDTRIEEYIIGPDDELADEGLAPDPEKIKLYGPEEGLSWVARPVRGQSALGSALGLISRHGSMASQGKPLVDPVVTLFGSVHEKMPEIMGSMRSTFVAEQQQVKADWDAESQREGDDYASDHGGDDIEDNLQSPLISRQATSVEGKEIAAPHGSIMGAVGRSSSLQGGEAVSSMGIGGGWQLAWKWTEREGEDGQKEGGFQRIYLHEEGVQGNRGSILSLPGGDVPPGGEFVQAAALVSQPALYTKELLEQRAAGPAMMHPSEAVAKGPRWADLFEPGVKHALFVGIGIQILQQFAGINGVLYYTPQILEQAGVGVLLSNIGLSASSASILISALTTLLMLPSIGIAMRLMDMSGRRFLLLATIPILIVALAILVVVNIVDVGTMVHAALSTISVIVYFCFFVMGFGPIPNILCAEIFPTTVRGICIAICALTFWIGDIIVTYTLPVMLNAIGLAGVFGIYAIVCILALVFVYIKVPETKGMPLEVITEFFSVGAKQAKEARED >Sspon.02G0013860-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:15335920:15336733:-1 gene:Sspon.02G0013860-4D transcript:Sspon.02G0013860-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQYLTDYNTKLSDFGLAKDGPQGDATHVTTRVMGTNGYAAPEYIMTGHLTAKSDVYSFGVVLLELLTGRRSVDRARRPREQSLVDWARPYLKKPDKLYRVMDPAMECQYSCQGAERAAMVAYKCLSQNPKSRPTMREVVQALEPVLDMDDYLQIGPFVFTVIVEDHNDRSKESEGKVVVAGEKVDMTIETTVEEKQHQMSHQDRHRQKFPNSAVHADVVLHRDRDGGELGLHISALRRHRRTSSYVKERGA >Sspon.06G0005910-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6B:18012943:18013467:-1 gene:Sspon.06G0005910-2B transcript:Sspon.06G0005910-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding QSEWVICRVFTRKQHQMMSDRKMQTEEAVVHGHPSPGHHLLAMDQADDGFDSDQEAAPPVVAETQHTAAGSHLGAQQAMEGDHQQQQHRQMAHEELLTMHQHGSSWLNQHDDQLGLGAHCSALLPIMQMQSDDADCYLPELLDIGGEEDRRRRADIEFTSVIGSSDDLNGLYWDS >Sspon.01G0039490-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:35125440:35127786:1 gene:Sspon.01G0039490-1B transcript:Sspon.01G0039490-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VTKFVYATSGLQVADAFLLLPQPGLQGQEPWCRESNWMGYVAVATDEGAAELGRRDVVVAWRGTVRSLEWVNDLDFTPVPAAPVLGAAADAHPRAMVHRGFLSLYTSSNASSNHTHERDTRISCRMHESYMPFALSEATETCVQVLDEIRRLMELYKDEETSITITGHSLGASLATLNAVDIVANGLNAPAATAGGSSAQPGCPVTAVVFASPHLGGPFFKAAFASFGDLRALHVKNQGDVVPLYPPLGYVDVAVPLPIHTARSPWLRQPGTLQTLHNLECYLHGVAGEQGSGAGADDGGGFKLEVDRDVALVNKAADALRDEYPVPAKWRVALNKGM >Sspon.02G0025960-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2D:84874887:84876359:1 gene:Sspon.02G0025960-2D transcript:Sspon.02G0025960-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRKDVALLVRAFVWLLALVAALGKASALLDHDWPPDPATYPPGRVVAIDLGNTNSCVAGYESGETTRTMFHHCIPSWVAFADDGTVLVGEDAMNHAAVNPGAAVSGFKRLLGKCVKQNLPYKVVEKNVQLHIEVKTKDGEASNVGVDQLTATVLAKLKETAEAHLGHRVEAAILTLPLQFSDDASRSAAVFAGRLAGLKAMRMALSEPVAAAIAYGLSGNLRDEGNVVVLHVGGGTTEASVMTFVDGVYEALSSQYDPFFGGQDFDRRIVDHFVQLIRDKHGKDITNDGIALSKLRIACERAKKTLSHQEHAQVSIEWLVDGVDLSEPLTRAEFDELNHDLFLKVVEMVDRVVSQAEVETIDEVLLIGGSTMIPKVRELIRDYFGGTKAVLHSRLKPDGVVTIGAAEYSKRHDTLCTPSVPEKVSF >Sspon.06G0004370-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:11009204:11022674:-1 gene:Sspon.06G0004370-1P transcript:Sspon.06G0004370-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQPGMDSAPGKESNGIAPNLNGGVSPAKQQLEGKEALQYANILRSRNKFADAINLYDIVLEKEGANVEALIGKGICLQAQNLPRQAIECFTEVVKIEPGNACALTHCGMIYKDEGHLVEAAEAYQKARTADPSYKPASELLAIVLTDLGTSLKLAGNTEEGIQKYCEALEVDNHYAPAYYNLGVVYSEMLQFEMALSCYEKAALERPLYAEAYCNMGVIYKNRGDLEAAIACYERCLTISPNFEIAKNNMAIALTDLGTKVKIEGDINQGVAYYKKALFYNWHYADAMYNLGVAYGEMLNFEMAIVFYELALHFNPRCAEACNNLGVIYKDRDNLDKAVECYQMALSIKPLFAQSLNNLGVVYTVQGKMDSAASMIEKAIHANPTYAEAYNNLGVLYRDAGSITLAIHAYERCLQIDPDSRNAGQNRLLAMNYIDEGSDDKLYEAHREWGKRFMKLYPQYTSWDNSKVADRPLIIGYLSPDYFTHSVSYFIEAPLTHHDYTNCKVVVYSGVVKADAKTLRFKDKVLKKGGLWRDIYGIDEKRVASLVREDKVDILVELTGHTANNKLGTMACRPAPIQVTWIGYPNTTGLPTIDYRISDSLADPPITKQKHVEELVRLPESFLCYTPSPEAGPICPTPAISNGFITFGSFNNLAKITPKVLQVWARILCAVPNSRLVVKCKPFCCENIRQKFLSTLEELGLESLRVDLLPLIHLNHDHMQAYSLMDISLDTFPYAGTTTTCESLYMGVPCVTMAGAVHAHNVGVSLLSKVGLGRLVAKTEDEYVSLALGLASDVNALQELRMSLRELMMKSPICDGEKFTRGLEAAYRDMWRRYCDGDVPSLRRLELLEEHPFVNKPDLDKASEKLADLKAQRASVTVEEDKQPPIMANGVRSSDSPAPAKCEANGNSSQ >Sspon.03G0012890-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:45795982:45797953:-1 gene:Sspon.03G0012890-2B transcript:Sspon.03G0012890-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial carrier protein CoAc1 [Source:Projected from Arabidopsis thaliana (AT1G14560) UniProtKB/Swiss-Prot;Acc:F4HW79] MGSSQESTFSSASAAAQVNASALDLLPVYAKELIAGGTAGAFAKTAVAPLERVKILLQTRTEGFQSLGILQSLRKLWQYEGIRGFYKGNGASVLRIVPYAALHYMTYEQYRCWILNNFAPSIGTGPVVDLLAGSAAGGTAVLCTYPLDLARTKLAYQVSNVGQPGNAFGNSGQQQTYNGIKDVFKTVYKEGGAQSLYRGVGPTLIGILPYAGLKFYIYEDLKSQVPEDYKNSVILKLSCGALAGLFGQTLTYPLDVVRRQMQVQSKQPQNSSDGFRIRGTFQGLLLIIRCQGWRQLFAGLSLNYVKVISQDKIKERQNLKNEN >Sspon.01G0058870-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1D:36034652:36034933:-1 gene:Sspon.01G0058870-1D transcript:Sspon.01G0058870-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLSKGVVVSVNYRRAPSTGPHAPPSILLCCRCLLVLLLLRRLVLNRWLLLVRRGHDEEGHHDACRRQAKAGSCGMVRDTGPDLQPGELSTWP >Sspon.02G0012080-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2A:32034410:32036080:-1 gene:Sspon.02G0012080-1A transcript:Sspon.02G0012080-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPSSPTTAPPEPVTPPYPWTITDGAISGTLPAAEAFAVHYPGYPSSPARAARTLGGLPAIAKVRISDPGARLELRFRPEDPYCHPAFGQSRASTGLLLRLSKRKGAAGAPCAEVVARVRTAYHFEGMADFQHVVPVHAAQTRKRKRSDSQNDNENLEKTGHHEADGDVMMLVPPFFSVKDRPTNIALLPSSNALSKTMHRGVVQERWEMNVGPTLALPFNTQVVPEKINWEDHVRKNSVDWNWQMAVCKLFDERPVWPRQSLYERLLDDSVHVSQSQFKRLLFRAGYYFSTGPFGKFWIRRGYDPRKDSESRIYQRIDFRMPPELRYLLRPKNSGSQKWADMCKLEAMPSQSFIFLQLYELKDDFIQAEIRKPSYQSVCSRSTGWFSTPMIKTLRLQVSIRFLSLLHSEEAKNLLRNAHVLIERSKKQEALSRSERSKEDNDADEVPATQTGTEDQVGPNNSDSEDVDDEEEEEELDGYDSPPMAEDIHDFTSGDSYTFGEGFSNGYLEEVLRSLPLQEDGQNKSGDAPINADASDGEFEIYEQPSDDEDSDG >Sspon.08G0002010-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:6155234:6156280:1 gene:Sspon.08G0002010-1A transcript:Sspon.08G0002010-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding EAYDGNKSNCSEGVKQLPLAMFAVERNSKKRLLFDVSSRKIRGVSSYVFPDATCAFENRGWLLMIRHKPCHFQEQSAFLVHPSSGKQLNLPVFPCREQGYEGFFVFYVGSHGIPLVVARFEIWSIVPTVHIACPGDTYWSAYKYGVEPPHMSRTTRRLLERTWIADLALLGTQVICVDISGQILIFNITEMTWGRMAPCPKWSQEDHHFLVASHGEVVLVSRPGTMENAFKFFRLDIQAMEWSQLDDRELDDTSWFLCKGQSFRVKDAGRRRVYTFSGPKQWSVPMDSYEQTTNGMAMGSTACFTGTSGHDVGLKSITNVYAYDLDDGTVEMVIPASLVTEVCHWVQPS >Sspon.01G0008980-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:28027239:28030658:-1 gene:Sspon.01G0008980-1P transcript:Sspon.01G0008980-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRALLLLALLLAACAAAAAQAAAGGANSTSTAPFYAPPDNLASVVSASGQDPSVPQVPYLTARVSASPFTYSFPLGPGRKFLRLHFYPANYSNRDAADGLFSVSVAVPGGKLTLLSNFSAYQTAAAITYSYLIRSSPSTSRPRRSTSPSPGEGPPQRLRVRQRIEVVSSPDLFDISTPNMVAGDGNLQPFPIDAGTAMQTMYRLNVGGQAISPSKDTGGYRSWDDDSTYIFGASFGYPKDNNVTITYPSNVPEYVAPEDVYGTARSMGPTKEVNMNFNLTWMLQYPITKINQRVFKIYINNQTAVKGADVNAWAAMSGATTLIGTPVYQDYVVSTPGLGPMDLWIALHPDPDNKPQIYDAILNGMEVFKLQLINGSLAGLNPIPSVAPANDGTTKKKSTVGPIVGGVVGGLVVLALGYCCFSVICKRRRNAGKDAGMSDGHSGWLPLSLYGNSHTSSSAKSHTTGSYASSLPSNLCRHFSFAEIKAATNNFDESLILGVGGFGKVYRGEIDGGTTKVAIKRGNSLSEQGVHEFQTEIEMLSKLRHRHLVSLIGYCEEKNEMILVYDYMAHGTLREHLYKTQNPPLTWRQRLDICIVSLAEWALHCQKKGILDQIVDPYLKGKIAPQCFKKFAETAEKCVSDQGIDRPSMGDVLWNLEFALQMQESAEESGSLGCGMSDEGTPLVMVGKKDPNDPSIESSTTTTTTTSISMGDQSVASMDSDGLTPSAVFSQIMNPKGRWKRSCEPLLMMLWIVSV >Sspon.06G0008310-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6A:43780938:43784197:1 gene:Sspon.06G0008310-1A transcript:Sspon.06G0008310-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPSSWWGSEEQRGTPVVVKMDNPYSLVEIDGPGMPPSDKARGKNAKQFTWVLLLRAHRAVGCVAWLAGGFWGVLGAVNRRVRRSRDADDEPDAEASGRGRVMLRFLRAFLLLSLAMLAFETVAHLKGWQFPQHLPGNLQELEEQLQHLPEHLRHLPENLRQLPDHLRVPERQEIQGWLHRAYVAWLEFRVDYIAWAIQKMSSFCILLFMVQSVDRIVQCLACFWIKIRGIKPRIPASSGGKPRGTARKSADVENNGDADGRRRLLPHGAHPDAHVYETSISHVCQMDWPRDRLLIQVLDDSDDEVCQMLIKAEVTKWSQRGVNIIYRHRLSRTGYKAGNLKSAMACDYVKDYEFVAIFDADFQPNPDFLKLTVPHFKEDPELGLVQARWSFVNKDENLLTRLQNINLCFHFEVEQQVNGVYLNFFGFNGTAGVWRIKALEDSGGWMERTTVEDMDIAVRAHLNGWKFIFLNDVKVLCELPESYQAYRKQQHRWHSGPMQLFRLCIPAIFRSKIPFWKKANLVMLFFLLRKLVLPFYSFTLFCVILPLTMFVPEAELPIWVICYIPVLMSLLNIMPAPKSFPFIIPYLLFENTMSVTKFNAMVSGLFQLGSSYEWIVTKKAGRTSSASDILALAEADAHAPLQAKLARRVSEGGLEEWSRLREQEAAEWANKEDAAAALAAAAAAPATPKKSSKAKKPNRIFKKELALACLLLTAATRSLLSKQGLHFYFLLFQGVTFLAVGLDLIGEQ >Sspon.04G0000540-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:2856509:2860905:1 gene:Sspon.04G0000540-3D transcript:Sspon.04G0000540-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLPRVYLNPHGRFSVIPTLDPMTLREASLREPPHEGGYGRQAATTPPSPWLLLTWGRGAQPLAHGQGLPAGRWRGRGESRGALGQWKCRLLGSLRPRRPRCVVCLQVQHVTGLPPAAEGRGVVVGWRSRGGEGEHTAPARVARGAAAFNEVFLQHFTVGGATLRGFTVWAALLDAPDNNGDLGAFPVDLAEVAAAAAETSNPSKFGGKVLSFPLGGAAAGAVLTVSIYCRVMDQHEDNHGAADNGMSSKGEEEQGQSRLLRFLPAGPELPPEPAGGGCGGIRGLARRATSIRSDRGGFITIENSVAEMDGGGAGTAFGVAEDVDEEEGAGFITMEKSRVSSRSSSRPLPPNTVDEEEEEEERREAVPLSSEEAASAADVEKVEDEFLAMLEDRYWARSKEIEKGLGVSLDIGLDLGLDLESLIKDAEMELAKAWKSKVGAAIYSSCMSNVGNVDSDKCTAIWLM >Sspon.07G0029970-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:81264383:81264885:-1 gene:Sspon.07G0029970-1B transcript:Sspon.07G0029970-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVATVVLYIVGGSYCFYDSSASSSCFYFACLREGLESFSLERHSIDSRNGSSIQMNHSSGLSLPPAPKLTHDTAPIRFARGKATKFSSKDRSGVEQPRHEVPYLSWISLVPPKR >Sspon.07G0010230-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:26842006:26845787:1 gene:Sspon.07G0010230-4D transcript:Sspon.07G0010230-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Patellin-6 [Source:Projected from Arabidopsis thaliana (AT3G51670) UniProtKB/Swiss-Prot;Acc:Q9SCU1] MSPTTTPSPAPVAASASKGAKRSLMSSLMDATALLRSSSFKEDSYVAAALPSSELRALADLKALLATHPDPISIWGVPLNAHSPPAAADDAAPAPTPAPVDERADVVLLKFLRARDFRVRDAHAMLLRCAAWRAEFGADAVLDEDLGFKDLEGVVAYMHGWDRDGHPVCYNAYGVFKDRDMYERVFGDGDRLACFLRWRVQVMERGVRALTLRPGGVNAIIQVTDLKDMPKRELRAASNQILSLFQDNYPEMVARKVFINVPWYFSVLFSMISPFLTERTKSKFVIAREGNVAETLYKFIRPELVPVQYGGLSRAGDLENGPPKPASEFTIKGGEKVFLEIDGIEAGATITWDLVVGGWDLEYGAEYVPAAEDSYTLCVEKTRMVSATAEEPVHNAFTAREAGKMVLSIDNSGSRKRKVAAYRYFVRKPSV >Sspon.02G0023340-1P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:94803976:94808150:1 gene:Sspon.02G0023340-1P transcript:Sspon.02G0023340-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAEQLNLPGKVMKLFDRQDEDDDFRGVAQGSRAELQLVREMYQYIQNHRFLLVFHNGSSEEIDLASLCGFPLSGYSTNKGLGADTIEDAAEEILGELKRSVLSRDNVFYFDGWDGLGASAVLRAVARHFTTTTTSPPAGLEFEKVIHIDCSKWESRRALQRAVAEQLDLPAEVMDMFDREDEEDDFRGVAQGSRAELQQVVREMQQHIQTRRFLVIFHNGSNEEIDLASLCGFPLTGYSTSKVLWTFQGRFRLNPRTKVDTAMKTAGTTDVFLSACPNNKMLEELWPYLVLQEAAEVAAACNVVNTVSGNIIHQSEEVADCFRYMLEVGFRGRHLTDYDLVTHSANYWMCDGIIQHRQEHDEDIIGLWRAADALHREMRLDMDYHHYVPSSQLLGSWQIQSKPYWTSPAYGFILIPSTAKINENMFQHCADQLSVLKLSRCTFDFQSPPFLFCHSLRFLWLDHCQGTRTSTDVAGKEEDIRRCFQRLWVLDVRYTDCDQIMSAQMLALMTQLRELNVIGAKGWDMGQLQGRLTNIRKLRVKNSDVSCSCPEKDLFSEMNKMEHLDFSGNNYLRRPMMSLFGPGVSCLETVVIVDGCDGVQQISFRGCTKLKNLHLGGWMWGLHTLDISGTAVKTLDLSTTIIKYLDELYLLDCKKLCAILWPPKDKMKEGGLAKLCIDTTQSIPTAQSREEKSKRGTSTATTGTSVAPAATPHVSQPSSEFDWYISVRDARLLGSLKPVYSDSRKAYVEICSPPHPTAVGGGIKDGVFKSASSREQQVLVNLQRRPAPAVYTDISMDHLQQASEGGADTLGITWMWPCPDVPDLSEKRCYIHIQDQIKTKLSQGSEETSTITVPKFVTSCAKILHVHDSLSITSITSDEYGSEWPYLEWCRIERCPKLDFVFNSLPAYGLTYQLRIFWASQLLKSRYIATSNGYCAFPHLTLLHLDFCPRLVHVLPLTWNWIGNDEDSLHLLETLEIAWCGDLREIFPFNTGKPNSKDFPKLKRIHLHELPSLQHICVVRMSAPNLETIKIRGCWSLTRLPDIGNSNKVVECDCEKEWWDRLEWDDRSQADNYKPIHPRYYKKTLLRG >Sspon.03G0016230-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:75553360:75559074:1 gene:Sspon.03G0016230-2B transcript:Sspon.03G0016230-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPRRRSLPLRFLIFIPVPLFLVLLFHRSSHPSAPLLHSAGSGSSPSLDPRRFSLLIKLLAYDRPAAFRRCLRSLAAADYDGDRVALHVLLDHRTTNSSSSPIAASHEILDFVDAFPWPHGEKRVHYRAANAGLQAQWIEAWWPGSDDEFAFVVEDDLQVSPLYYRFLKRVVMRYYYDRENYSPYVFGASLQRPRFVAGKHGNRIQLDSETRLFLYQMVGTWGQLLFPKPWKEFRLWYDDHKAKGLKPILQGMKTTGWYKKMGERIWTPWFIKFVHSRGYFNIYTNFLKERALSISHRDAGVNYGKSVGPDSTLLDGKNLDFNLWELQPLKKLKWYDFCFNEAFPGRIIRKNSELGSVLKSVQLKSTVVLVTLYSIEERFARNLICHLDKAGMKNSIFLGDNSEFLDDLAHRGYPVIDGMKFLQSIKLSSLQGSDDFVKETLVKSYVIKACLDLGYNLWLLNGNMISLGNKLIEPSDQSVDFFTADEGLMFIRSSLDLKNKWNELTMSRVKAMCTSTEFSVSIKQKSFVHMLTEVLASSAGVRLGKLDEAIRVIELGPNTSNRSIAEDQSNVLFWSHSRASDSVQKQLENMDL >Sspon.01G0002830-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:7594819:7595514:1 gene:Sspon.01G0002830-2C transcript:Sspon.01G0002830-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:LOB domain-containing protein 33 [Source:Projected from Arabidopsis thaliana (AT5G06080) UniProtKB/Swiss-Prot;Acc:Q9LHS8] MASSGSGGGSPGSPCGACKFLRRKCAAECVFAPHFCAEDGAAQFAAIHKVFGASNAAKLLQQVAPADRSEAAATVTYEAQARLRDPIYGCVAHIFALQQQVRACVLLPCAWSPGLPPRPTHARTHALLSCVCMRLSPVASLQMQVLQAKAQVAQTMAAAAGPQGTTGSSPLLQRWPLEPESLSTQSSGCYSDMYCGFGDQEEGSYTR >Sspon.07G0013080-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:47571636:47572521:1 gene:Sspon.07G0013080-1A transcript:Sspon.07G0013080-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding NGVPGAGGDADLGQGPEAGDALQQDARVRRGVHLRRRSAAADAPDVRGPRGGTEPDVARPAAVHHPSRRRPARPRPARAAPRRARLRRPRRRRGGRARAGPRRRVARGRRSRQRRAEAPQLPGAPPRQRPQARRAPHLLQALRRAGAGPDAYHRYTQAPPASSMSSKRHTARAPTPPSPLLAVPQPYGHAPYGGPAGAYPHHHQYGYGAYGYGAAPHAHGAAPYGYGGHGAAPAGRSGGAGMGTTAGLGLGLLGGLMIGDMIADAEVDAAYDGGFMDGMGF >Sspon.05G0029700-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5B:81904342:81905621:-1 gene:Sspon.05G0029700-1B transcript:Sspon.05G0029700-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GMLPAFLACCSELVDRWEKQLSASVEPTELDVWPEFQNLSGDVISRPAFGVSHEEGRRIFLLQAEQAERLVQSFRINYIPGFSLLPTENNRRVNAVDREVKTILRGIIEKRQKAVSSGETTKDDLLGLLLESNMNYSDSDGKSSKGITVEEVIGECKVFYFAGMETTGVLLTWTMVLLSMHPEWQDRAREEVLQVFGQNKPDFNGVARLKVVTMVLYEVLRLYPPVVTMNRRTHQPTKLGGVTYPAGVMLTTPVMFLHQDPALWGSDAGEFNPGRFTEGVSKACSDPGAFVPFSWGPRVCIGQNFALVEAKLAVTLILQRFAFELSPAYVHAPYTVLTLHPQHGVPVRLRRL >Sspon.07G0035430-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:7063448:7065135:1 gene:Sspon.07G0035430-1D transcript:Sspon.07G0035430-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNLISTGDDCVSIVGKCEDVRLRAIVMWTWPCIGTLGVNNSVDYVEKIKVDTLFISNAENGVRVRTTKVKINSVKPVRFMSFPEWRWRLRRKVKFESIVMRNVTNPIIVDQGNSDHLPASSEAVAPVHTLIKLLSLSVFTATMMGSIRVSSVSELTRWKSCTAQAAATAVQVEKINYIDITGTSASKHAVTFSCSDAKPCRHLSLVNVNLSRVDGNKASSYCRKAFGRSIGTSSRSPASPRRTSSSTTSRSILVIGHEYTFRAYRTSSVGFDGPIIA >Sspon.04G0004850-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:14996274:14996477:-1 gene:Sspon.04G0004850-3D transcript:Sspon.04G0004850-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RPALQRCSWAWRPCCSPRRRRRRRLTWACRPRRRPSRARQRGPRRRPWPWLALPSSPSSSPVASCSRR >Sspon.03G0019900-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:11073160:11077515:-1 gene:Sspon.03G0019900-2C transcript:Sspon.03G0019900-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADASPRTETSTDDTDDNHGLEPGPGALGVASDSSDRSKDKHEDQKTLRRLAQNREAARKSRLRKKAYVQQLENSRLKLTQLEQELQRARQQGIFISSSVDQSHSMSGNGALAFDMEYARWLEEHNRQISELRAGVSAHASDTDLRSVVDKIMSHYDEIFRLKGNAAKADVFHVLSGMWKTPAERCFLWLGGFRPSEVLKLLSTQLEPLTEQQLSGIGNLQQSSQQAEDALSQGMEALQQSLAETLAGSLSSSGSTGNVANYMGQMAMAMGKLGTLENFLRQADNLRLQTLQQMQRILTTRQSARALLVISDYSSRLRALSSLWLARPKE >Sspon.06G0034220-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:41279654:41281770:1 gene:Sspon.06G0034220-1D transcript:Sspon.06G0034220-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAERGTATVCVTGAGGFVASWLVKLLLSTGSYAVRGTVRDLGDGKNAHLVTLEDAGERLQLVKADMLDYGSVASAVAGCEGVFHVASPVPSGQPSNPEEDVIAPAVTGTLNVLKACYEAKVKRVVVVSSVAAVFNNPNWPKGEAFTEDSWSDEEYCRKNEEWYFLSKTLAEHEAFAYAAKTGLDIKPMKHIKQSP >Sspon.04G0011670-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:7508117:7508735:1 gene:Sspon.04G0011670-3D transcript:Sspon.04G0011670-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGTSRSGRRLSCCLLLAVCAVLLMPAAAAPRRLLQTCPGQDFDVPHAHLRARNNVKPLKYTEEISARALQWALQFKGNCAAAAPATGINVFLGGAGTTWLPSDAVAAWAEEEEHYDYGSNSCSTGKACGRYTQMVWRDSKEFGCAIVQCDSGDTLMASRSDRRPPVAITGTPGVTIPAARPWRQYTTVSNHD >Sspon.02G0041760-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:77885470:77901042:-1 gene:Sspon.02G0041760-1B transcript:Sspon.02G0041760-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIVDADNLSATELRLGLPGTSSSDDWQKKPSPSVGAKRALDDTRSEASGTSPAAAGGDDHDTAAPAKAQVVGWPPVRAYRKNTFQAAAAAAKKAEQQQGGGLYVKVSMDGAPYLRKVDLRMYKGYKELRDALEPSSPSPSLPPPRRAATTSTPSPTRTRTATSCSSETCPGSNMFVSSCKKLRIMKGSEASRISTHGSLLLFLLLPAMDRRHRNRHRHEDLTGGGRAGVRVRRGGGGGGGDGGGAMEASRRGRFYHHDGPAASAVPVVDQADCTAQTCRSCVAVTLADAIALGCCPCALVSLLGLAFVKAPLALARRGLRRLRRRRGELRHKTRVRDVDGPAAKAKCRRSSDAGGGRRGHGAMEALDAADAASKAAWWGLEADARGEAAMRAARASNSSSASGRLDAEKVWMEMYRVGHWGFGRLSISVTPPPPVRPGRSPDGDGNNGDGGRKDVDLRRLTRPTGQRHGKLPLSAVPAPRLMPSFGSSHTTATPPISVRAATFLPDKTAANPAEAAKEILEAAGERVMEDTGQAGPAENLPEPLSPAVEAEVVEDEADGDDEFSFPVPPVAADACIVPVYPIFGRPPSPPPRGQEVVEEEEEPWTATVRVPLGRLLLEEREFRAREQDGRSASARRAWQEDDDASGGGGAGGEDLEGVPPESYCLWAPGGGGQSSAPASPRRCRKSGSTGSVLRWRRISDRLVGRSHSDGKEKFVFLTAAAAVPEPPRPSIKEGGGGTNKGGDAGSVAHQLRYYGRGGVGGSGSRRRSYLPYKQELVGLFAN >Sspon.01G0021280-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1B:83144241:83144504:1 gene:Sspon.01G0021280-2B transcript:Sspon.01G0021280-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSRCAEAIARTAGSSRRSPKHMSTRRQNMAYWNVSTTRGGAASGPGSPSFSTSTRRTHARAGAKSFTRDGCSVSATRLRRRRRHTG >Sspon.03G0034570-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:75197774:75203681:1 gene:Sspon.03G0034570-1B transcript:Sspon.03G0034570-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protoporphyrinogen oxidase [Source:Projected from Arabidopsis thaliana (AT4G01690) UniProtKB/TrEMBL;Acc:A0A178UVN5] MVAATTMATAASAAAPLLNGTRRPARLRHRGLRVRCAAVAGGSAEAPASTGARLSADCVVVGGGISGLCTAQALATRHGVEDVLVTEARARPGGNITTVERPEEGYLWEEGPNSFQPSDPVLTMAVDSGLKDDLVFGDPNAPRFVLWEGKLRPVPSKPADLPFFDLMSIPGKLRAGLGALGIRPPPPGREESVEEFVRRNLGAEVFERLIEPFCSGVYAGDPSKLSMKAAFGKVWRLEEAGGSIIGGTIKTIQERGKNPKPLRDPLGSKVKLSWKLTSITKSDGKGYVLEYETPEEVVSVQAKSVIMTIPSYVASNILRPLSSDAADALSKFYYPPVAAVTVSYPKEAIRKECLIDGELQGFGQLHPRSQGVETLGTIYSSSLFPNRAPAGRVLLLNYIGGATNTGIVSKTESELVEAVDRDLRKMLINPTAVDPLVLGVRVWPQAIPQFLVGHLDLLEAAKSALDRGGYDGLFLGGNYVAGVALGRCVEGAYESASQIYDFLTKYAYK >Sspon.08G0008910-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:33899855:33900775:-1 gene:Sspon.08G0008910-4D transcript:Sspon.08G0008910-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGMDPGGGGGGAASHYLELLRAQQQQLQHQQAPLSPSSHVKMERSAPSPENVDPGGDQSALEGSGGSGGPRRKPRGRPPGSKNKPKPPIIITRDSPNALHSHVLEVAAGADIVECVSEYARRRCRGVCVLSGGGAVSNLALRQPGAEPPGSLVATLRGQFEILSLTGTVLPPPAPPGASSLSVYVAGGQGQVMGGSVVGQLIAAGPVVLMAASFANAVYERLPLVAEEEEAATAAAAAAAATATETQGAAEPAEGQPQQQEASQSSGVTGGDGGGGGIGHGMSLYDLGGNAAGYQLPGENFGTWSG >Sspon.02G0038330-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2B:40867105:40867308:-1 gene:Sspon.02G0038330-1B transcript:Sspon.02G0038330-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVAGPVAVWCCGWQRDGTRDAAQRTCPHMRARCYLPLPARGGYDARPAARPLAGLAGGWHQVLDAT >Sspon.02G0057390-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2D:57744007:57750157:-1 gene:Sspon.02G0057390-1D transcript:Sspon.02G0057390-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGNLFCRTSTDLFKTVFDLMISVTLFVGRFDMRMMQAAMNKTPDEANSHDLLYDHLDGKDELWFDFIADTGDGGNSTYAVARLLAQPSYPNPSSFSYERRFFCPFEYALQPPAWYKPEHIALEKPELPLGVSELRRYRGPQCFMIPGNHALHGDIDVYQFKFFAELCQQKVGESDSVIVITHEPNWLLDWYWGDSTGTNVAYLIREYLRGRCKLRMAGDLHHYMRHSCIESKEPVHVQHLLVNGCGGAFLHPTHVFENFRVFYGNKYETKSTYPSYNDSSKIALGNILKFRRKNWQFDVIGGFVYFVLVFSMFPQCDSFRILHEDSWAGRINGFFSAMWNVVFEILERSYVSLGGVVTLLMATIPFMNGTEKWKANIFLIPRDFALVSSIGPLDFTLHAFSSLRIANYKAFTRFHIKKNGDLEVFTLAVDKVPKDWMLDPDWDMEPKQPLQMSYTRKFPSKWRAASGLDPINAVRIVDRFVIPRTPSSPTTPGGSVR >Sspon.02G0006570-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:19872727:19875869:-1 gene:Sspon.02G0006570-1A transcript:Sspon.02G0006570-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDFYVTIPYGLLLLAGGVAGYVKRGSAASLAAGADLAPRSSSPARSAPGPSRTAGVALPPSLPPLCCAIDCCHGNKIRQNTKDNARWNYCCYQISTGGNKVYIPVSAE >Sspon.06G0008490-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:35984512:35987616:-1 gene:Sspon.06G0008490-2B transcript:Sspon.06G0008490-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPAPAGAASAAASPSPFAELVKGSSGLEKIVLRGARNCCAEAIFKPPKAIRGGIPVCFPQFGTHGNLEQHGFARNRFWTIDNNPPPLPVNPAIKAFVDLILKPSEEDLKIWPHSFEFRLRVALGPSGDLSLTSRIRNTNTDGRPFSYTFAYHTYFSVSDISEVRVEGLETMDYLDNLKAKERFTEQGDAIVFESEVDKVYLAAPSKIAIIDHEKKRTFVVTKEGLPDAVVWNPWDKKAKAMQDFGDAEYKSMLCVEPAAVERPITLKPGEEWKGRLVLSAVPSSYCSGQLDPLKVLQG >Sspon.05G0001100-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:2773836:2775444:1 gene:Sspon.05G0001100-2D transcript:Sspon.05G0001100-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSLVSTPFAAAAQKQLLAAPVPLHSFLLSSRRQPARRGGAGAIRCAVAGGNGLFTQTKPEVRRVVPTDTRGLPRVKVVYVVLEAQYQSSVTAAVQQLNADPRRAAAFEVVGYLVEELRDEDTYATFCADLADANVFIGSLIFVEELALKVKAAVEKERDRMDAVLVFPSMPEVMRLNKLGSFSMSQLGQSKSPFFQLFKRNKANSSNFADSMLKLVRTLPKVLKYLPSDKAQDARLYILSLQFWLGGSPDNLQNFLKMIAGSYVPALRGAGIKYDDPVLYLDSGIWHPLAPTMYEDVKEYLNWYGTRRDANDRLKDPNAPVIGLVLQRSHIVTGDDGHYVAVIMELEAKGAKVIPIFAGGLDFSGPTQRYLVDPITGKPFVNAVVSLTGFALVGGPARQDHPKAIAALQKLDVPYIVALPLVFQTTEEWLNSTLGLHPIQVALQVALPELDGKSHALHKRVEQLCTRAIRWAKLKRKTK >Sspon.06G0020310-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6B:8496299:8496571:1 gene:Sspon.06G0020310-1B transcript:Sspon.06G0020310-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCLSFSSSGCQVAHPTALDYFLAVLVMFTAVVAARLLASAVARCLCGDGPDAHHHHHHSPDPTTDVDEDVVELPWGGGAGLAIFGQPGMD >Sspon.01G0008160-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:22973239:22977049:1 gene:Sspon.01G0008160-2C transcript:Sspon.01G0008160-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSMGPVSGSAAPERDIDDLPRNDANYTALTPLWFLERAALAQPGRASVVHGPVRYTWADTYRRCRSLASALARRSVGHGSTVAVIAPNVPAVYEAHFGVPMSGAVVNCVNIRLNAETIAFLLEHSVAEVVMVDQEFFTLAEESLKIIAQKKASAFRSPILIVIGDPTCDPKSLQYALEKGATEYEEFLKTGDPEFNWKPPKDEWQSIALGYTSGTTSSPKGVVLHHRGAYLMALSVAIVWGMPEGAIYLWTLPMFHCNGWCYTWSLAAFCGTSICLRQVSTKAIYEGITKQGVTHFCAAPVVLNNLINAPASETFLPLPRVVNVNVAGAAPTPSLLAALSIRGFRVTHTYGLSETYGPSTVCAWKPQWDELPLEERSRLHCRQGIRYIAMEGLDVVDPKTMAPVPADGKSYGEIVLRGNAVMKGYLKNPKANAEAFAGGWYHSGDLGVKHPDGYVEVRDRMKDVIISGGENISSLEVEKVVCTHPAVLEASVVARADERWGESPCAFVTLKDGAADGSDDAALASDIMRFCRERMPGYWVPKSVIFGPLPKTATGKIKKHELRAKAKELGPVKKSRM >Sspon.04G0010650-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:34531375:34531890:1 gene:Sspon.04G0010650-3D transcript:Sspon.04G0010650-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GVRAAGVPPVAHRRAVPGRAAGRHREVRPPAASAPPRAGGRCSLLRRHRRRLLPAAPRFGGRGQPRRGPRPARGRPPRDPLARGAPSGGLDGAVLADAVRPELPVVAERAEPVPPLRRHPRRRGRAVGPGAVRAEPAQQQPAAVHAHLAVAGHRLRARVLQQHQLQLPGPVN >Sspon.03G0030990-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:23780316:23780831:1 gene:Sspon.03G0030990-1B transcript:Sspon.03G0030990-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding PCAPPLPSPSNPLDPAHLTAITTLPWRAPRYPHSRAPGFPRRASSGSGAESASTPPIPALRPSVAALYNVVRDATLPDRPCYVTPGEVSTASAVVRHALVDDARSQSVPLLDRRRAPNRYACGSLCFDLNVIAFLVEHMISTPMKHLVQCLPSLPSVQFFS >Sspon.04G0000390-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:3584696:3587619:-1 gene:Sspon.04G0000390-3D transcript:Sspon.04G0000390-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDDLFDGLPPPAAAPAGGGAGAASLSPPPPPPPAPAAAPKPALKSSLKRSKPSPSADATTSSPSAPAAAAPDSDVPEKRLRFRTTVDASETQVIEAMQKIASHIGNTSKFSKASKLALQLIEAGSVKPGTIGHFFAILEAAMSSPGACNDPSVRADYHKLFDSAQGVTEVKFLMYLKQILAINVQLFNPQQKNQFDIWVLHAVVANDLFTDDSFVFSKAVGKIKDAISALPVATVDDDNDEAAALAAASKTDAVTDNRTDHSVPAAASNSVADDSTHAAASEPGEESSDPFGLDGLLEHKPKKSERAREKAIAALNRKADEEEAKRFLKSQREALLKCLEIAARRYRIPWTQTAIDIFVKHAYDNATRFTRQQRAAIVKLWNSIKEQQIRRKQGKSVSGKLDVNAFEYLQEKYSHEKISIRHSVGGGGERRATAWLG >Sspon.04G0007320-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:20521447:20527556:1 gene:Sspon.04G0007320-3C transcript:Sspon.04G0007320-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAAGLSRRCSVRRLVDLSPSENNRLRPVLERKGRRRRRKGVSVKTMGAIGGDESVQWDKIAGPDAVNGGGGSVGRMDRIQVLVRLRPLSEKEVARGEPAEWECINDTTVMFRSTFPDRPTAPTAYTFDRVFHSDCSTKEVYEEGHEERAFVLKFSAIEIYNEVLRDLLSAENTPLRLWDDAEKGTYVENLTEVVLRDWNHLKGLIATVESSAREFLGKDKSTTLVASANFVDLAGSERASQALSAGTRLKEGCHINRSLLALGTVIRKLSCAKEVVTNAQVNVVMSDKALVKHLQKEVARLESELRQPASSSNLEALVKEKDNQIRKMEKEIKELKSQRDLAQSRLQNLLQTVGDHAKHSGSGKRSARSPPIGIPLGISRDDSSQISHDDSDLYKEVRCIETSGTGRNEQLDLSAGESSSPQGSNMNSSLHGNGSNASVNSRRSRLLSESPITLEQHLENIRRPFVNLGRDLGSSTHNSSGSRILGRSRSCRSLMGSTMFDGMEMDDGTPLHRSLVGFPGRPEGDHRRGSAPNYDAESETLSRAGSIVSTKANGACDTEFTGIGEFVAELKEMAQDTNGEFGDCTIKSIGLDPIADASQSPSRWPLEFEKKQQEIIGLWHACSISLVHRTYFFLLFKGDQADSIYMEVELRSAKKLQREREMLARQMQKRLTAEEREHLYTKWDISLDSKKRKLQVARRLWTKTEDLEHVRESASLVAKLIGLQEPGQVLREMFGLSFAPQQQPPPRRRSSNGWRYGIPSFG >Sspon.03G0013480-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:49922661:49924324:-1 gene:Sspon.03G0013480-2B transcript:Sspon.03G0013480-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQMSLQRSVVVYPRCSLSDSLHSVGGSQRRWASCGSQSSRFAYNARPSPIVLKTDHAIDPSKPKNLDASSSASRSGPYIGTCHPSGAAGVMGASSSSSLRFLEKFVSWSTRGGEEAPPFIICNDPLVKKELLPSGTQSTSGCSTALGKIRQKRLFLEQSGACCIVMPCQFLHAWHDEISQGCSVPFLHVGDCVVKELKAANLKPVEYGSNVRVGVLFTDNTLATNCHLDKLESQGFEVLCPDKASMERTVLPSVDAFRKGDMEGARNLLRVSLQVLLVRAVNKIILASDDLVGILPDDDPLLKKCIDPLDALVREAIACTRAPRS >Sspon.01G0036320-2D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1D:15032856:15034250:1 gene:Sspon.01G0036320-2D transcript:Sspon.01G0036320-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSDGGWASAPKEVPGSYGLPLVGAVRDRLDFYYFQGQDKYFESRVERYGSTVVRLNVPPGPFMARDPRVVAVLDAKSFPVLFDMDKVEKKDLFTGTYMPSTSLTGGYRVCSYLDPSEPTHTKVKQMLFSLLLSRKDDVIPTFRSNFSALLATVESELAKGGKAEFNKLNDVTSFDFIGEAYFGVRPSATDLGSGGPTKAAKWLIWQLHPLVTLGLPMVLEEPLLHTFHLPPFLVKGDYRALYKYFSAAAKQALDTAEGLGLSREEACHNLLFATTFNSYGGLKVLFPGLLANVASAGEKLHEKLVAEIRGAVAEAGGKVTLAAVEKMELAKSVVWESLRLDPPVKFQYGHAKKDLQIESHDAVFQVKKGEMLFGYQPCATRDPRVFGDTAKEFVPDRFVGEEGSKLLQYVYWSNGRETENPSVDNKQCPGKNFVVLVGRLFLVELFLRYDTFTAEVGTELLGT >Sspon.01G0018450-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:69662495:69665307:1 gene:Sspon.01G0018450-3C transcript:Sspon.01G0018450-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGGGCVPSKKRQPPAAAPSSSSAAAAVPREAPEEEAANAASPAAAAAGRKLRLYIVFYSMYGHVESLARRAAAGAGAVDGLEAVLRRVPETLPPEVLEKMQAPPKDPAVPVIASATELQEADGVLFGFPTRYGAMAAQMKAFFDSTGSLWEAQKLAGKPAGFFVSTGTQGGGQETTAWTAITQLAHHGMLFVPIGYTFGSGMFNMDDIRGGSPYGAGVFAGDGSRQPSETELALAEHQGKYMASIVKKLAHHA >Sspon.06G0032860-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:4035308:4035914:1 gene:Sspon.06G0032860-1P transcript:Sspon.06G0032860-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DMIPFQETKPSPLHTGGQSSSRQEDQEPPPRTVAALRSRHCAPHALRQRIRARFVRCHPVGGHSSALVRRRREPGLRRRPAGVRRRSTPTTTMPSARSCWRWCRRPCSSASTRRSTCTSGRRCTRACA >Sspon.01G0049610-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:110714032:110719281:-1 gene:Sspon.01G0049610-2D transcript:Sspon.01G0049610-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAAGDRSEDVGADWPFGGAADAFTEYSSVFAELGWPGGLLAGGELPVLDLPEAAAPLPSQLSMEPPEEPAPARSGDAGASSSSSGDGDGDGAAPGNDDDDRKAAPAAEAAGRKPAAAAAKKGQKRPRQPRFAFMTKSEIDHLEDGYRWRKYGQKAVKNSPFPRSYYRCTNNKCTVKKRVERSSNDPSVVITTYEGQHCHHIGPFQRGGGGGGAAAARYHSAAAIALGEQMSSSSSSFIPARQLYSLPPLHPQSSPSSETPAATTSFHQHVNDVDELRRASYSSRVSMAQSPSTPSSVPLATISVEKAGLLDDMVPHGIRHGTP >Sspon.02G0052990-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:99035246:99036826:1 gene:Sspon.02G0052990-1P transcript:Sspon.02G0052990-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LGTIGTTANLLVYLTTVYGMSGASAATLLSLWSGTVNLAPLLGAFLSDSYLGRYTTIALASIASFLGMIILTLTAAVPSLHPAAHPNPTGPSTLQMAVLLASFALLAVGAGGIRPCNLAFGADQFDPRTPAGRRGINSFFNWYYFTFTIAMMISATLIIYLQSNVNWALGLAVPATLMGLSCALFFMGTRLYVRVRPEGSPFTSFAQVLVAAARKRRLPAPSPADLYDPPHRSSLVAKIAYTDQFLCLDKAAVRTPDDDLGNNPWRLCTLQQVEEVKCLARLLPVWSSGIIYYIVLTNLGNYNVLQAMQTDRHVGRGGFQIPAGSFVVFNMLALTLWLPFYDGVLVPAMQRVTKREGGITQLQRIGVGIVLSIVTMLVAAAVERHRRRVGDATSCFLLVPQQMLAGLSEAFAVIGQVDFYYKQFPENMRSVAGALLFLGFAIASYASGLMVSVVHRTTGGRDGRPDWLAQDLNQGRVDLYYLLIAAMAAVNLVYFVVCARWYRFKKPAAADDDDVELELEGKAAAPP >Sspon.02G0012520-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:17566414:17571078:1 gene:Sspon.02G0012520-3C transcript:Sspon.02G0012520-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding METSWILLAGALLLSLLVLRRHAKNRRRLPPGPPAVPMFGNLLWLRNSAADVEPLLRKLFKRYGPVVTLRMGSRLAIFVADRRLAHAALVGAGAVTMANRPQAATSSLLGVSDNIITRADYGPVWRLLRRNLVAETLHPSRVRLFAPARAWVRGVLMDKLRAGSGAGDDEPGNVMEAFRYTMFCLLVLMCFGERLDEPAVRAIEDAERTWLLYISQQMSVFFFFPSVTRHVFRGRLQTARALRRRQAELFVPLINARREYKRQAKAAQAPARETTFQHSYVDTLLDITLPAEEGHRALTDDEIVALCSEFLTAGTDTTSTGLQWIMAELVKNPAVQNRLHAEIKATCGDDAEAVSEEAVHGMPYLKAVILEGLRKHPPGHFVLPHKAAEDMDVGGYLIPKGSTVNFMVAEMGRDEQEWDRPVEFVPERFLEGGDGVGVDMTGTKGIRMMPFGVGRRICAGLSIAMLHLEFFVANMWIDGAERQGHAIVFIAKFFGRTGLVFFLTAVLEPQRPVVCGLSFALCRVGGVRHGPIRHHGQHGQHRSVFERLGPRVCRNAGNGDHGPFGRLFDSTVRGFCADAVPHMLEYNYPLSTPTPVFPTPVAPGKGVPATTFAPWILNMQAAPMLDAVKVEEEEAVVTGPGIGVLDHPGVTLAARHGAQLYNFMDAVGTSAPPAAALPLPRYILDPRAGMVATPAPAAASSAATRAKATARMK >Sspon.04G0015690-3C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4C:64480567:64487463:1 gene:Sspon.04G0015690-3C transcript:Sspon.04G0015690-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMFTEGLDESAISWIKQGTDSPAPARAPAPAPPRSPLAERPPLGQVAAPPRSPAVHTRPCGASAGVGFFSPKGLPPVRVNGTRHSGLLGRHSVLLSAADSDEWEDGEDESVASWGMPEDCYGGGNFSETADEACSSDSSLMRRAMDRCGGGWDEEVTSQLSRKGGGGGIVRGQSKEFLRVEVRAAGAFAAGKCSGPGAQDPVDSISQGHYFEGQVLGTPSAPPIAGGEEEVMFDAVETAEMDGGLERNGVSSVADILAQDVHELPTSSIVHEDGVQNNLLAQIPSFTIKLCLNAWARNCMEAPDFLRDECMVLRSAFGYLESQGDVILVEVQDNNRVVIGRAKIQVSTLTDTQLCMNLSMSSNNYGSEKRSILIDCEDRIKSLLAALFENYKSLDEHSPTGLSDLFGPITDSAAPALAPAVQIFSVLHDILSKEAQDILRNYLQRLKGFLSSSPPSRPVQHVAELIIATYEFERDLESWQVRPVHGGVISRQLFHDYIMVWIEDTRLQLLDNCKAEKISCPAVSPISPFVEQMYEQIKESINEYGVAVADVEREIMKALEKQYMEILMPLRDGIPEKIEKQVQRLTRRQSIAPYVVPNQLGAFMNTVKRMLDVLHCRVEDILKSWGAYLTISSGNTVFGEQMNSITVMLKKKYKKYLQAIVDKLVSNAQANRNTRLKRILEETREADGESDIRDRMQAVRLQLSDSIHNLHEVFSSRIFVAICRGFWDRLGQIVLRFLESRKENRIWYRGSDYALGILDDVFASEMQKLLGNALQDKDLDPPQSVIDARSILC >Sspon.08G0014960-3D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8D:57088194:57088616:-1 gene:Sspon.08G0014960-3D transcript:Sspon.08G0014960-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHTSKEKNAATLEFLKRSLGCSESEAAFAVSKAPSILGLSDECLLPKIQFLINEVGLEPQYILQNTSLLTYSLEKQLVPRYCAMRILRAKGLMTSNFCRLAQIEEQKFRLKFVDRHKDSVSGLAHAYATARAGLVPSGV >Sspon.07G0009410-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7B:24817976:24823997:-1 gene:Sspon.07G0009410-2B transcript:Sspon.07G0009410-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSTISWSGRVGLASAACAGLLLDAAVLGCWRLAWTLPRPARELSTLGATRPSSARPGHGFSSAAPAHLQRSMLAATSRAAPARPGHGSAHGGASPCARGGGVLPAIVHRGVDLQGLRLPPRRLDPRPHL >Sspon.02G0005450-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:11243567:11245093:-1 gene:Sspon.02G0005450-3D transcript:Sspon.02G0005450-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAMKRTIVLYPGLFVSHFIPMMQLADALLEAGYAVAVALIDLTMDQDVALAAAVDRVASVKPSVAIHMLPRIQNPPAITDCGGDALLWYFKTVRRYNDRFREFLCSLQQQQQQPPRSVVHAVIVDGPSADALDVTKELGVPAYTFYATNASAVAVFLQLPWTHAEEGQPSFKELGDTHLNISGVPPMPASYLMPSMLHDPASESYKTMMRVMRRNPEPDGILVNTFASLEARVVRTLRDPLFLPIGDGGCRRTPPVYCVGPLVVAAGDGDGETKGKHECLAWLDEQPERSVVFLCFGSLGSATHSEEQLREIAVGLERSGHRFLWVVRAPLSTEVVDTGTLFDPRADSDLHALLPPGFLERTKGRGLVVKLWAPQVDVLNHRATGAFVTHCGWNSVMEAITAGVPMLCWPLYAEQKMNSVVMVEEVGIGVDLVGWQQGLVKAEEVEGKVRMVMESEEGEQLRARVEAHRDAAVVAWKDGGSSRAAFGQFLSDVENHVRGPRYGSEQC >Sspon.03G0005630-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:16023291:16027329:1 gene:Sspon.03G0005630-1A transcript:Sspon.03G0005630-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMHPLRRFLLLLLVGLLPAAVWSLAPPRFPRPQPRFPRPPGVNGVGDYEYETRYFRQRLDHFSFPGVGDEDEAAAFFQQRYLVGRGGGWAGPGGPIFFYCGNEGDIAWFASNSGLVWEAAPRFAALVHRYYGESMPFGSKAKAYNDSKSLAYLTAEQALADFAVLLTDLKSNLSAEGSPVVLFGGSYGGMLAAWMRLKYPHIAIGALASSAPILQFEDIVPSTIFYDLVSDDFRRESLSCFLTIKDSWKELDDQGNKQGGLLKLSKTFHLCQTLKTSGDLSDWLSSAYSYLAMVDYPLPSEFLMPLPANPIKEVCRNIDSQPEGTSTLERIYAGVNVYYNYTGTVGCFDLNDDPHGMGGWDWQACTEMVMPMSYSEVRSMYPPYKFDYPSYAEDCIKSYGVRPRPRWITTEFGGHNITKVLEKFGSNIIFFNGLLDPWSGGGVLKNISESVIAIVAPLGAHHIDLRPATPDDPDWLVALRESELKIISGWLSDYYGAGGALFQPVAAKGSSSS >Sspon.05G0013350-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:46865711:46874903:1 gene:Sspon.05G0013350-2B transcript:Sspon.05G0013350-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPRVPCGDGGPELRAARRHRQEGERIHVLDPRILEPQRQRSNPFRNIFHLLTQREVSPRTKHHAKRIWNKFPKYDPDLIELRAESKSLHRWTAKYCPLLPPPRSTIAAAFSPDGKTLASTHGDHTVKIIDCHTGKCLKVLSGHRRTPWVVRYHPLHSDILASGSLDHEVRLWDANTSDCIGSQDFHRPIASIAFHGRGEILAVASGHKVRLAVLTLKSKKKNKLYIWNYNKRDDASFPAIILKTRRSLRAVHFHPHGAPYLLTAEVNNIESADSPLTLARSSGYSNYPSALLVTNTNSRFCPLPESNVMSPCLLWPAYLRDDGILHVLGNDSSSTIVQQRSSLVQPSTSDTANVQLEQFATPMDVCPGEPTSSNDISDAVTVPTSNGIEMHGAGGQSNSRLQGGSSISNIERFSARDDLQVSSLSSTEPIPSTAGPSGSVLRRAMPLNMLLTGGLDVQMFLRNIGSGQQDHSLFGDSHNWEVPFVQGFLMAQNHTGLHPALVNNNVLVDLSIAGTAGTNNLTRESPHMHNFGCPGASSIPLTAGSSRGPNRRYASRSVPGVRSLLLGPQIDEAEAHAASLGAGSEITASMLASGTELPCTVKLRIWRHDIKEPCVPLEPEACRLTISHAVLCSEMGAHFSPCGRFLVACVACLLPQTEGDHGSQLPVPYEGAGSSPTRHPLPSHRVIYELRVYSLEEETFGDILASRAIRAAHCLTSIQFSPTSEHILLAYGRRHSSLLRSIVVEGENGIPVYTILEVYRVSDMELVRVLPSAEDEVNVACFHPSPGGGLVYGTKEGKLRILQHNGADMTNMGVGCFIEENMVECQPLDMESHLHSHRLDVS >Sspon.06G0014100-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:74118380:74122220:-1 gene:Sspon.06G0014100-1A transcript:Sspon.06G0014100-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRSDHAGRSAAAPAATHADFDSIDPLFHVLRVLPFSFLRPPRTRLRLPSNLALPSPMTVFSLILLTYFAVVSGLVYDVIVEPPGIGSAQDPGTGAVRPVVFLPGRVNGQYIIEGLSSGFMFLLGGVGIILLDLAVDRTRPRSLRVSFGSAGAVAVVIAYAMAMLFLRIKIPGYLCRMLLHLKVENVKYDAESFEIDYENLHKKFYIVVEFSFKKCIEKVV >Sspon.05G0025490-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:24021576:24023163:1 gene:Sspon.05G0025490-1B transcript:Sspon.05G0025490-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding YTTFSQLFPLLGFGNLPELVKVEEISQTIDSENFTVQNCIKFSGPLATTSVATNAKFEIRSPKRVQIKFDEGIVGTPQLTDSIVLPEKFELFGQNIDLSPLKGIFTSIENAASSVAKTISDQPPLKIPIRTNNAESWLLTTYLDEELRISRGDGSSIFVLFKEGSTLLN >Sspon.07G0023060-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7B:12511320:12512384:1 gene:Sspon.07G0023060-1B transcript:Sspon.07G0023060-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPKARIHADPVREVDHFDCLPDSLVLLILNKVEDVRSLGRCYAVSKRLCGLVPLVHDVYVKIDRVVAVDGDAEDTLNLSSPKPRNIFSHFLKLMLLTIIKPFHNMRNPNGTGRPLFPQLSQHSPAQVLRNFTHIRNLRIELPSGDVGTEEGVLLKWRAEYGSTLQNCVILGGTRVDRKPVGGEHEPSLEDNGSMPESFYTNGGLKLRVVWTISSLIAASTRHYLLRSIINDHPTLRSLVLTDADGQGTLCMGAEQLKEFRENQLSASACSNRTQVPACNMKLKYAPYLELPGGMALQGATLVAIKPSPEGSNGSHPNRKEADRFVFGAFDGPFKAAVKALMKRRTYLLEMNGF >Sspon.06G0017470-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:78712414:78714015:-1 gene:Sspon.06G0017470-2B transcript:Sspon.06G0017470-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHSNVWNSHPKNYGPGSRVCRVCANPHGLIRKYGLMCCRQCFRSNAKDIGFIKYR >Sspon.01G0036390-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:18306379:18310424:1 gene:Sspon.01G0036390-1B transcript:Sspon.01G0036390-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTSLLPLLVPAPASRHRFHTSTSASPRHLRPSPAPRLLRAARRRHSDAVVVVPDARPWVGDLSGAAASYRDGSEEDENDADEEEDEEEDRSLDLLARFLHSVFRKASRRARRAARSVLPPSVPAELVKFSVNGVLVLTSLWILKGLLEVVCTFGSMVFASILLVRGIWSGMTYIRENRYSYIHRIDNDENRWSRVQTAG >Sspon.03G0023300-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:77309259:77309837:-1 gene:Sspon.03G0023300-1P transcript:Sspon.03G0023300-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MESQDLRRACSEIASRLEKLVIIGGGGSSNPRPDVVASSAATAAAKAVAAGSDQQVETVRCACCGVGEDCTAAYIRDVRASFCGDWLCGLCAEAVKERVRRQEQDPADGGGGGVAAALGAHEAECRDFNATTRLNPTLSLAGSMRRIARRSLDQRTASCQERRSSLDASAARAAALTRSASCKPATRSSWAT >Sspon.01G0005860-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:15030012:15033647:-1 gene:Sspon.01G0005860-2B transcript:Sspon.01G0005860-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRDLAESLLPGGGGGASTSHDEYEERAYDSDDKVSIAISDSDGEDDGAPASRPPFSWRKLWRFTGPGFLMCIAFLDPGNLEGDLQAGAAAGYQLLWLLMWATVMGALMQLLSARLGVATGKHLAELCREEYPTWATRALWAMTELALVGADIQEVIGSAIAIKILSGGTVPLWGGVVITALDCFVFLFLENYGVRKLEAFFAVLIATMAVSFAVMFGETKPSGKELLIGLVVPKLSSKTIKQAVGIVGCIIMPHNVFLHSALVQSRKIDTNKKSRVQEAVYYYNIESILALIVSFFINICVTTVFAEGFYGSKQADNIGLENAGQYLQEKYGTAFFPILYIWAIGLLASGQSSTITGTYAGQFVMGGFLNLRLKKWLRAMITRSFAIIPTMIVALFFDTEDPTMDILNEALNVLQSIQIPFALIPLITLVSKEQIMGSFVIGPITKVISWIVTVFLMLINGYLILSFYIADVRGALLRSSLCVVLIVYLAFIVYLIVRNTSLYSRLCSSMSKSS >Sspon.03G0012760-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:35391503:35398554:1 gene:Sspon.03G0012760-1A transcript:Sspon.03G0012760-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSHVTLPTPGKNRVLFAIENCLLSAEAPPKEWLPHADISFQPLVQCLDVDKLILLFTAVLLERRILLRSNNGVDYIDAPTPYMMGLHSGVDTSAVTMDGVVVVDLEYNRITTTEEIPPIPETEHSFLRGEILKLLQPNVMGIDFMKINLANMGDRSLRAGTKSWSQEHDFQLRLIFLRFFAQILSGYRNFIDTTSTTGFNSQAFLKKRSRATNQPVESMSMVSRRDLAACF >Sspon.08G0003900-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:11238175:11239514:-1 gene:Sspon.08G0003900-1A transcript:Sspon.08G0003900-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATASLALLDQTLQLPSPPAVAYNGGRSDRLSQEIFSILESNFLFGASPLEGVGACSAGRVRVLSIDGAADGGALAAAALVRLERRLKELSGNPSARVADFFDLAAGSGAGGFLAAALFACRMPAEAAREFVAKNRKVLSGGRGGSGGLMSMSFRRARPDALFRKVFGDLTVRDAAKPLLIPCYDMASAAPFVFSRADAVEADAFDFPLWQVCAAACGVGPSEVASLDGRTRLRAAAGPAGAGGASAAVANPTAVALTHVLHNKREFPFAAGAADLVVLSLGGSAPAAAASLGRPSSSSLLRIAGACQADMVSEPACLDDRHHFSNRSRATNYVRIQGNGIAPAGETAEAALAERGVESVLFRGKKLMAQTNGERLDAVAEQLVQEHHRRLESKTPVVLVKPSATPRTSSSSS >Sspon.03G0030360-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:17672942:17673259:-1 gene:Sspon.03G0030360-2D transcript:Sspon.03G0030360-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSGSGVALLVFLGLCTAVSCWTPPPPPPPEMLHESFTGKSEFRTVNRRRLGVCSNPSPYLAISVSTGGAPLPDEAFVRVTVAGVLRPDADDWVAMITPSNYSR >Sspon.02G0050260-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2D:45567445:45569102:-1 gene:Sspon.02G0050260-2D transcript:Sspon.02G0050260-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPPSSGVLPPSYPLRLREPPLTLRNPRSILPVADVAALLLRAAYEGDVPQLKTLVKRLRKAGKSVEEAMTEIRASWYKGQGPLHMAALSGKTAVCKLLIKDLKLDVDAAGYDGVTPLSLAILGTASAAVTRLLLDHHADPNKAAFDGCTPLHLATTQDAYEIAELLLSRRAYVDPVSECGTPLYIAAKNGSAKMLKLLLRHQAD >Sspon.03G0046940-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:50235264:50241240:-1 gene:Sspon.03G0046940-1D transcript:Sspon.03G0046940-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSANPAGAGDDAAGHGGLGMHGGGGRSADGNGVCWTLGRESESRKRKAAVVPIETDYSMGNEEDFNVSNEDSYRISKEIEDLRSQLALKKKELKCYQENKKLRVELALKVKVVECIRKENEQLKAQNKDLQENMWIVKLSILSILKPQIRTGQIVEILDSQIESKKRHLQQSESWRTRLELSNARLEEEIKNLYEGNSEETRCLMSPQTCSNVLKAILEDEVHPVPTASPSGCLPQRPRPPQEAAAAGASRLPSPAREQPRPHRSI >Sspon.02G0012820-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:37098943:37102268:1 gene:Sspon.02G0012820-2C transcript:Sspon.02G0012820-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SMEVETPAQAQPCKNVAQSAGTTRTTLRNPLLVVNFVLMVVGSAGGPLFLRAYFLHGGAPKWLSAFLQTAGFPLLLVPLCVSFSRRRRRDRDRDAPANKAGSGTPFFLMTPRLLAASAAIGLMTGLDDLLYAYGLAYLPVSTSSILISTQLAFTAAFALLLVRQRFTAFSVNAVALLSAGAAMLGMNAGGDRPAGVSPAQYGAGFAMTLAAAALYGLVLPVMELSQARHAARAGAAAVTYTLVIEMQLVIGLTATVFSAVGMLANNDLQAIPGEAREFDLGRSAGYYLLLAGSAATYQCFFLGTIGAVFFGSALLAGVVMTVLIPVTEVLAVMFFHEPFNGTKGVALALSLWGFVSYFYGELQTSKAHHHQQSDKTPNADEHLDP >Sspon.08G0017120-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:63162115:63163471:1 gene:Sspon.08G0017120-2D transcript:Sspon.08G0017120-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQASTVPALLAKPSLVIEIDSLEEFEEHAEKAKTLPDTTTNENKLILYGLYKQATVGDSHKTGSPPSTLDPGSSTPTQDEAMTDYITKVKQLLEEAAASTS >Sspon.05G0011550-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:24868337:24869256:1 gene:Sspon.05G0011550-3C transcript:Sspon.05G0011550-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWPPPSTSPSLSLVVRSPRQTVSLIRNRRPHRDWAPSTRSSSFAARDHGPKPSEVYGFVGSITTVIATAVYLAWAYTPEPVLRSVGITYYPSKYWALAVPSFLIVAVVLSMGIYMGLNFVVTPPPTSCSTIFDENSRERTTFSPAIEEEAPIEPISDISIDQINNLMFGDR >Sspon.01G0056100-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1C:86401057:86401674:-1 gene:Sspon.01G0056100-1C transcript:Sspon.01G0056100-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMHYLAALSVTLGAILLLCLAFKWMNGRTGEEGTMLPPGSRGLPLLGETLEFFAASPTLELVPFFKRRLERYIPFLPTRCHRPFDDFIEVSHQHLNSAGRRRRRRRRLVACRFGPIFRTNIVGEDMIVSLDPELNARVLQQEERGFQIWYPSSFMRILGANNMVSMLGPLHRHVRNLVLRLFGPEALRLVLLHDVQRSARDELR >Sspon.08G0004290-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8C:13009456:13009992:-1 gene:Sspon.08G0004290-2C transcript:Sspon.08G0004290-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAADSGGDGGTSSGEKTIVLVSSDNSARFEVREAAALLSQTVRRMIDEAGADASGDGITLPDVDAKTLAKVLEYCNKHAPASSSSSAAEAAPPAEGEEDLERFDRELMHVDMGTLYSLVMAASYLEIEGLLNLTCQTITDMIKGKTPEQIRKTFGITGEFTPEEEEEVRREHAWAF >Sspon.01G0022750-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:82733832:82738747:-1 gene:Sspon.01G0022750-1A transcript:Sspon.01G0022750-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKRSKKSKSKRVTLKQKHKVLRKVKEHHRKKRKEAKKEGKAGQRKKVEKDPGIPNEWPFKEQELKALEARRAQALQELELKKEARKERARKRKLGLLEDEDIANLASAASAQGSEFAAKDAAKENAPLAVAKSNDVKCVPDHSERSFYKELVKVIEASDVILEVLDARDPLGTRCIDMEKMVRKADPSKRIVLLLNKIDLVPKEAVEKWLTYLREELPTVAFKCNTQEQRTKLGWKSSKLDKTSNIPQSSDCLGAENLIRLLKNYSRSHELKLAITVGIVGLPNVGKSSLINSLKRSRVVNVGSTPGVTRSMQEVQLDKKVKLLDCPGVVMLKSSNSGVSVALRNCKRVEKMEDPVTPVKEILSICPHEKLLSLYKVPNFSSVDDFLQKVATVRGKLKKGGVVDVEAAARIVLHDWNEGKIPYFTLPPKRDAGEDSDAVIITEDGKEFNIDEIYKAESSYIGGLKSIEEFHHIEIPPNAPPGIDEEMLEDGKKPSDPVQESREEQMSDVNDREGSKAASASTQNDKLYTAEGILDPRKRKAEKKRRKANKFSVLNDMDADYDFKVDYQMEDASAADEDGESNGAHADDEDGGDEAKDNEPMTGVDDA >Sspon.08G0012230-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:48524532:48532731:1 gene:Sspon.08G0012230-2B transcript:Sspon.08G0012230-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFIGAHGVETLKRYRYSGEDRSVVAKYVLQPFWSRCVTLFPLWMPYIHLALTLLPLGGSILPMDYSSSCTRLLMLLMVNKRGVLAHQVLLENFLIMFEALALGSTLMCGGWTFWFWVVAAVPFYLATWEHFFTNTLILPTINGPTEGLMLIYVSHLFTFLTGAEWWAQDFRKSLPFLGWIPIPFLSEIEIPLYVLVLILMIVCAVIPTVRSNVSNVQEVVETRKGSMALALAMILPFIALLAGVAIWSYLSPSSIMRNQPHLLGRMILAHLCDEPKGLKSGMFMSLVFLCFPIANALIAKINNGSPLVDELLLLLLYCAYTVGLYLHLAVSVVHEIKDALGIYCFRITRKEA >Sspon.06G0001120-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:98754239:98754622:1 gene:Sspon.06G0001120-2D transcript:Sspon.06G0001120-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFSYTVLGGGVGLVTYLNKVYDWFEERLEIQAIADDITSKYVPPHVNIFYCLGGITLTCFLVQVATGFAMTFYYRPTVTEAFSSVQYIMTEANFGWLIRSVHRWSASMMVLMMILHVFRVYLTGGF >Sspon.04G0036340-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4D:28340214:28340462:-1 gene:Sspon.04G0036340-1D transcript:Sspon.04G0036340-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKKNLIALVKEPWKLLTHPEGGHRKEGSLPWTQPWKRALFRGRGYEKEGSFMDTAIKKCLPMDAHGLWSAGWRRRLLKSLD >Sspon.04G0003430-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:11137119:11141270:1 gene:Sspon.04G0003430-3C transcript:Sspon.04G0003430-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEGQSCLISRSLPSSCEQESRLAYMTYHLLEITRSKRPPGTLSIEHDVAAVAALTKRTKSAEDQNGEALDCQGSNDQGDSDSSTLISSIGRDNSINCLARCSRSDYGSIASLNRSFRSLVRSGELYKERRQLGISEHWVYFSCNVQEWEAYDPYRSRWMTLPRMPHNECFMCSDKESLAVGTELLVFGKEILSHIILSYSILTNSWSRGVEMNAPRCLFGSASFGEKAIIAGGMDADGRVLRSVELYNSETKRWITLPSMNKARRMCSGVFMDGKFYVIGGMASNTEVLTCGEEYDLDRGTWRVIENMSEGLNGASGAPPLVAVVENELYAAQYAGKLVRKYNKMDNSWTTLGELPERPEAVNGWGIAFRGCGERLLVIGGPRVLGGGMIELHSWIPSEGPLQWNMIGSKPSDFTQGSIE >Sspon.08G0006840-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:21533079:21543200:-1 gene:Sspon.08G0006840-3C transcript:Sspon.08G0006840-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSSPSPPNATALLLLLVLTCAAASIATLASAQPQPLASSQAKALLRVRRLLFYPPALEPLRGAPDPCALPPTPSLAVACEGGQVTALSVLGDRDPDAAWRAALPASFSSEALFTTLTRFPALARLSLLRRLQALQQLNLSSNYFYGGVPDDVARLYSLQSLVLSWNWLNGSVPSLAGLQFLEELDVSHNRLGPGFPDVGKAVVRLVLDDNNFTGSIPARVVSSLGQLQYLDVSGNRLQGWIPSSIFALPALRYINLSRNRLAGQLPATTACADALAFVDVSANLLTGARPPCMRGNSSARTVLVAGNCFADAKQQRASSYCNPGALAAVLPPPQGNGGGGGQGRGKGHEIGMVLAIAGSVVGAALLIALATVVVLRRARRQQRSEATILPKSPSATPTKKADGWKAPAKATQKIITPADKRHASQAARVNTLEVPVYRMCTLEELQEATDNFSSSNLIKASPLAQHYNGQLQDGSRVLVRCLRLKPKYSPQSLVQYMEIISKLRHRHLVSIIGHCIVNDQENPNIASSVYLISECVTNGSLRSHLTEWRKREMLKWPQRVSAAIGVARGVQFLHNVTAPGIVQNNLNIENILLDKTLTSKINDFSLPMISTSKNGKIFSETPFAVHEDNDIGSAHNAEQGDKQDIYQTGLILLEVITGKPTESQSQLESLKAQLSEALAEDPDRLKDMADPAIHGTFAVDSLSTVAEIALNCTAANPSDRPSIDDLLWNLQYSMQVQDGWASSESLGLSVKSQA >Sspon.02G0006380-2D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2D:12722422:12723798:1 gene:Sspon.02G0006380-2D transcript:Sspon.02G0006380-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVKRSLLLCLLLLLPSFAVPVHGQPSRGIRLELTHVDARGDLTGPDRVRRAADRSHRRVNGLLAAVSPPTASTSTLRSDGGGGGAAATAAASVHASTATYLVDLAIGTPPLALSAVLDTGSDLIWTQCDAPCRRCFPQPAPLYAPARSATYANVSCGSRLCEALPSLRPSSRCSASASAQVRGCAYYYSYGDGSSTDGVLATEAFTFGAGTTVHGLAFGCGTDNLGGTDNSSGLVGMGRGPLSLVSQLGVTRFSYCFTPFNDTTTSSPLFLGSSASLSPAAKSTPFVPNPTGPRRSSYYYLSLEGITVGDTLLPIDPAVFRLTASGHGGLIIDSGTTFTALEERAFVVLARAVAARVALPLARGAHLGLSVCFAAPEGRGPEAVAVPRLVLHFDGADMELPRSSAVVEDSVAGVACLGMVSARGMSVLGSMQQQNMHVLYDVGRGVLSFEPTDCSEL >Sspon.04G0007600-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:18509552:18513369:-1 gene:Sspon.04G0007600-2B transcript:Sspon.04G0007600-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSADRVTSIARLRELGNCDFGLISALKFHFGMNSKRRRSHSPVEYKEGRDKDYETSGRKDNSRGIDDTCDARRGHESGRHSDKHSYGTSRESKRHDDYRRYHDKYGDDYGRNHPRASRSDRESRVDTYYDRSKHDSTSGRSRGDQRDVGRYGEKSVNRDQRSINEGKQDSPRGYQKNDGGEYNKYTDARKQEYRGYGDDRDHHRVVRKNKETIKEEEVLKRRNGKETEKEALVETREKKRSLFSSTVPNSQSVNLWNSTDAKPSSATNEALDNSAGTVDGVNAAKVAAMKAAELVNRNIAAFGPGTGRLSTDQKKKLLWGNKKSDPSEEFVIPDATDNKDIYLITKQCTNTVLESEDALVAMGVKSSAPVQENMVENKAESSAEEAKKLEELDTNLEKHYIAGLRRRDGRTVGLGL >Sspon.03G0001330-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:12054568:12058810:-1 gene:Sspon.03G0001330-2B transcript:Sspon.03G0001330-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRSLPVAVVVAVVLVCCSGLCRGERLGARECEDLGFTGLALCSDCNALSEFVKDQELVEDCRKCCTEDSDDSISKLTFSGAIIEVCMRKLVFYPEVVGFLEEDKDDFPYVEARYSYGSPPKLIMLDNKGEQKETIRHREHIRQFLKEKVKPVKLDS >Sspon.03G0027420-3D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3D:5029189:5030097:-1 gene:Sspon.03G0027420-3D transcript:Sspon.03G0027420-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GVYRCEIDARSNKVVAKVSTKLDPYMLVAKLRKSGKQAELWPEQPPPPPPAAESQTQEPKNNQPDDPSKPNEPAEKRGADTADAAAAEPSNPPEPKQSTGEAPNPAQESKENASADDAVDDTAAASAPAPAQHGPSEGKGKGKAMQQSEMEERQPVDARVTVEYDRGIPGYVNRMPVPPPAVMSYNQVRPSVSASYYAPAPAPAPAPMARPGPGPSQGYIDEHYTPSYYNSNYNRSPAYEPEPYYYSSPQPAPYSYQYQYQPASSSEDYYYSAPPQRSAFSPPRDGYGDMFNDENANSCTVM >Sspon.03G0034950-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3B:77643701:77643997:-1 gene:Sspon.03G0034950-1B transcript:Sspon.03G0034950-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRPAHSAHAWAEPGQATAWEIWGKHEQRNDAAQRRRIQSGRKDSKRNDWEAASEPRAAYLLYASAGIPRESRRTERAEAVPRPNCKGDFLQLGREKA >Sspon.06G0009180-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:38211818:38214217:-1 gene:Sspon.06G0009180-2D transcript:Sspon.06G0009180-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPEKQNGMSLMDKRQLVYEVARWPQGAVEILQCWTRRELLELICAELGKERKYTNVPKAKMIAYLLKLVSRKSGKNGQLKDDNANVMLSGQDNKDETQMKESEEQSQPLKTANSDPSIFREARPGSSVVCSNVACQATRNTGDKYCKRCSCCICNKYDDNKDPSLWLVCSSDNPYSGCSCGVSCHLNCALKNKKAGIVKNGCNKLDCSFCCVSCGKINWLMRSLQKQLAIAREARRVDVLCERLSLSHKMVKGSERYKEIANLISSAVKILEKEVGGALDQVSVIMGRGIVNRLTCGAEVQKLCSSALEIVDSTVDNILEFESNNSPKFLGPQPQIVDITPFSVVIVLDYQDNIGKEQIDGSKVWHRSAKVCNYSSEPTCHILRPNTRCLVSGLSPSTEYFFKVLPFSSMQGFTAWEAKCSTRSLGWSQCSTQNSESMCLKEDSMQHQKKDLNAQNHQRTIQYDSPKGSTNSSENNLSCERYSKRAKVARLDGASDNDESQLLPTSEVLPFASSNSSPSEAPSKPDLLIGTPDSASKNYVEQQYEYCVKVVRWLEHEGHMDNDFRVKFLTWFSLKATAQDRRIVGAFVDALIGDPASLVAQLVDAFMDVICIKEKSSQAQQKDACC >Sspon.04G0002660-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:5362495:5366748:-1 gene:Sspon.04G0002660-2B transcript:Sspon.04G0002660-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSDRSRESLLPRFLYSSSARSFAATGAAARLPVSSPAPAAAGAGGGVPFSIQAPNEKIEMYSPAFYAACTAGGIASCGLTHTAVTPLDLVKCNMQIDPAKYKSISSGFGVLLKEQGARGLFRGWVPTLLGYSAQGACKFGFYEFFKKYYSDIAGPEYAQKYKTLIYLAGSASAEVIADVALCPFEAVKVRVQTQPGFARGLSDGLPKFVRSEGALGLYKGIVPLWGRQIPYTMMKFASFETIVELIYKHAVPVPKSECSKTTQLGISFAGGYIAGVFCAVVSHPADNLVSFLNNAKGATVGDAVKKLGLWGLFTRGLPLRIVMIGTLTGAQWGIYDAFKVMVGLPTTGGVTPAPAVEAVSAAEAESKAIA >Sspon.02G0009370-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2A:26509461:26509883:1 gene:Sspon.02G0009370-1A transcript:Sspon.02G0009370-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGMGVSTSAQRVAVSPPPPPTTARAGGVGVVFSCSPDRRTWTNISHSKAPKSHGTEESAACYHALDASRHGKVDVSTCLLSIASTHATAHRRKVTRPPKSLCLAPRGPRAALDSSSTTPTCGFYLLPAAGGRRLSVDLA >Sspon.01G0041140-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:46690747:46695350:1 gene:Sspon.01G0041140-1B transcript:Sspon.01G0041140-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGLRAASPSGAGSGAVAGAGSDHGAPRLAMACVLASEAATVLAVMRRNVRWAGVRYGGGDGGAGDDEHLDHPLVAGLKALRRRAAAWGHGRWAGVEPLLYLRPFLDVVRSDETGAPITGAALSSLHKILTLDLVGPGAPGAAEAMAAVVDAVTACRFEVTDPASEEAVLARVLQVLLACVRSRAAPVLANRHVCTIVNTCFRVVQQAGTKGELLQRVSRQTMQEVIRTVFARLPDIDVTVLSDEQVAGCKNQCLGAEETENGKSDYVCLNSSGDEVGDGSGVVPDKDLMEPFGVPCMVEILQFLCSLLNIAEDIEVNPRMSPIDFDEDVPLFALGLINSAIELSASSIHRHQKLLAFVQDELFRNLMHFGLSMSPLILSTVCSIVFTLFYHLRHELKLQIEAFFSCVILRLAQSRYGASYQQQEVALEALIDFCRQKEFMAEMYANMDCDLQCSNIFEELANLLSKSAFPVNSPLSALNVLALDGLVAVIQAMAQRTDNAPQHHDQTVPEISEYFPFWQLKCESSNDPDRWVKFVHQQKSIKRKLMVGVEHFNRDKKKGFEYLQGVHLLPEKLDPHNVALFFRYTPGLDKNLLGDYLGNHDEFSIRVLHEFARTFDFKDMNLDAALRLFLETFRLPGESQKIQRILEAFSERYYEQSPQMFVNRDAALVLSYSVIMLNTDQHNVRVKKKMTEEDFIRNNRRINGGNDLPREFLSELYYSICRNEIRTIPEQGAGCSEMSFSRWVDLMWRSKRTSMYIACDSYPFLDHDMFSVMAGPTVAAVSVVFDNVEHEEVLTGCIDGFLSVAKLAAFYHLDGVLNDLVVALSKFTTLLNTSYIDDPVTAFGEDTKARMATEAVFTIATAYGDHIRSGWRNIIDLILRLHKIGLLPGRLTGDTTDDQESSSDSFPGKITSPPQVLPISTPRKTYGLMGRFSQLLYLDADEPRSQPTEEQLAAQRNASEAVKKCQIGTIFTESKFLQADSLSNLARALIQAAGRPQKITSSLDDEGIAVFCLELLITVTLNNRDRIVLLWQDVFEHITHIVQSTVMPCNLVEKAVFGLLHICQRLLPYKANLVDDLLRSLQLILKLDARVADAYCENITLEVTRLVKANATHIKSQMGWRTIISLLCITARHPDASDAGFEALVFIMSEGAHLSPANFILSVEASRQFAESRLGSAERSIHALNLMADSVNCLIRWSREVREAGGEADRILEGIAEMWLRLVQALRKVCMDQREEVRNHALLSLHRCLVVDGISVKSSTWLMAFDIIFQLLDELLEIAQSYSPKDFRNMEVSLLHAVKLLCKVFLQSLKDLSGQGSFDKLWLEVLDMIEKFMKVKVRGRRTEKLQEAIPELVKNILMVMKASGILSKTGASENSFWEATWLQVNKIAPSLQSEIFPDNEDGSTTQVEENKLDTQAQSDQNNDQ >Sspon.02G0014700-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:33222496:33223838:-1 gene:Sspon.02G0014700-4D transcript:Sspon.02G0014700-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPPCCDKVGVKKGPWTPEEDLMLVSYVQEHGPGNWRAVPTNTGLMRCSKSCRLRWTNYLRPGIKRGNFTEQEEKLIIHLQALLGNRWAAIASYLPERTDNDIKNYWNTHLKKKLKKMQAGEGEDGGEDGAAGATGGGGAGGTAGGGGKRPAVPKGQWERRLQTDIHTARQALRDALSLEPSAPLAPAKVEPLPTTPPGPGCTTYASSAENIARLLEGWLRPGGGGGGKGPEASGSTSTTATTQQRPQCSGEGAASASASHSGGAAANTAAQTPECSTETSKMVGSSAGAAGSAPPAFSMLESWLLDDGMGHGEVGLMADVVPLGDP >Sspon.03G0023750-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:57219862:57224304:-1 gene:Sspon.03G0023750-4D transcript:Sspon.03G0023750-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVAEGSASAAANEVEYQAGVQKLVDLLSKLNPAAKEFVPSAAASPPKKALSADAPVFDYHSIGGGDGATDAAFYVGFGNQHRRRGNGYINQGRRKTNDRVVDCRICGDPHSVLRFAFIEFSDEEGARTALNLGGTIFGFYPVRVLPSKTAILPVNPKFLPRVTQLDVKKFFEELCGEVSRLRLLGDNVHSTRIAFVEFVLV >Sspon.05G0016230-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:61014950:61023230:1 gene:Sspon.05G0016230-3D transcript:Sspon.05G0016230-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFSMDMDLNASPVPDDDEQQPYDEPVEVEYAQEEHVESAVATLRREREERRKRLKREQQDDGSRLHSQQIRNDYAPQPKRHSRFKEAPQGWLDCPAFGEPIDKIIPSKVPLDETFNESVPPGKRYSSKQLVNKQRKAGREIGLVIDLTNTTRYYSPAEWTRQGTKYAKIACKGRDAVPDNESVNKFVYEAMMFLDRQKQSKNPKYILAINIFAQRRPPGIYKRDYIEALYSFYHEVPENMMIACPPTPEWKRPDDLDLNGEAKQDDDDENGDPEPLRNESEDKVITNDDVLGDEVPYDQQEALRVLCYRLLEMPLGRGHSQFPGSHPVSLNRRVQMRFPHKSLEGLHDRTLIDGEMIIDTVRRKDFWLLSTVKRVLKEFIPSLCHDADGLIFQ >Sspon.05G0024410-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:14942013:14942441:-1 gene:Sspon.05G0024410-1B transcript:Sspon.05G0024410-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLSVLQRPVGIGLVRGIHERHALLLEAVMTFGLMYAVYATAVDHRSRGGATMITIAPLAIGFVLGVNILAGGPFDGAAMNAARAFGPALVGWSWRHHWVYWVGPLIGAGLAGALYEFVMVEQEPEAPAAAAPRMPVASEDY >Sspon.01G0032950-3P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:111917020:111917771:1 gene:Sspon.01G0032950-3P transcript:Sspon.01G0032950-3P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFGLGRKSGPENPSELKALNPSPPRLHSSAPRAAAAAAADAATRRQVMASAAVLRNAGSRRLFSYPTLRATAISGPAALPDAPAAAAPAQPPPMAGTLWARSMATFTRTKPHVNVGTIGHVDHGKTTLTAAITKVLAEAGKAKAIAFDEIDKAPEEKARGITIATVGS >Sspon.03G0004850-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3B:48052419:48056354:-1 gene:Sspon.03G0004850-2B transcript:Sspon.03G0004850-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding TYRVMGSCAGEEEWPGGVTGPDAEVGALVWVRRRNGSWWPGRILGMDELPENIVIPPRSAGTPIKLLGRPDGSIDWYNLEKSKRVKSFRCGEYDECIEKAKVLARQQKRTHTEGRYVRREDAIMHALEIERSRFPNNCDDLEEDATNDMCASQNICSAKSININGLSKKSRGARSLYDIEENSAQESSQALTLYKKPQNLSSSSTRYASSKRKKRKGHKDHEDDTVQGFQRMRDLRELGTKNVPKQKSGAAIVSDVPLLESGPSFGYDLSSANGIKKGKQSQSSIRKKRSNIGQSYDSLSKKDRHHPLSMLSEDSEVSGTYYHWDPSGQSSSQYPGGQIPNLFESSRAKNIFPSDVNNCSYSSGTSSLETLLDTSHINHNKGSVNAVTLKDADPCTTGFLNDDCPGYDGFLDAVTLEGDVLQEGRLDTYGSCASIKDQISKLGNQSTGCGIGGIPSTRHNRNSKKKSITSVNLIPKESHKRDKNSLLQCEGIIKLEGTVFRPAELEDNTQHATPEHDESSETISNHSNSEKGTTSLPNYVPLQVLPPPGQRPDLKPPRCPVTSPTKRARADRLYDVELTVQRSYKGHHVPLVSLMSKWTGKPIVGYPVTVEVLEDSFPTTSRDEHHPVMGSLDSLLKSRVAEPRQARSSHAPRSKSKSSGRKRASEHDLDKSWRPHTKKPASSPRKMRRLSSFAGSRRECADQKPVVAQTGGPAVACVPLRLVFSRINEALSFPLRQENPT >Sspon.02G0009760-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:26172621:26175797:1 gene:Sspon.02G0009760-2B transcript:Sspon.02G0009760-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLSNRVERSEIRPGDHIYTWRAVYAYSHHGIYVGGSKVVHFTRKKDTESSDSSDSTSSLISEVPSECPTFPDCGFQLPNSGVVLTCLDCFLRNGSLYCFEYGVPSAVFLAKLRGGLAPLPNQTHQRLLSIERCTCFKMNCEDFALYCKTGLLPVEEPGIGTSGQASSAIGVPLAALLSTPFKLLAAGPLGMATVTAGMYCAGRYITDIGVRKDVAKVEVEKLSLHPGFHLIEDEESVNKPSEKPKTLLPMKRKRESLLLQLTTVISFTDLGDHRICSYSVRQTADNVFI >Sspon.06G0011820-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:51519280:51521960:-1 gene:Sspon.06G0011820-3C transcript:Sspon.06G0011820-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPSSHGVPLRALLLALPLLSILIVFQLLHRPAPLPPPLLRTHADAAASSHRAEAEPSSPPLTSNVPPPPAPKPEEASEPTSLRHVVFGIASSRRTLPLRLPLLRLWLRTPARAFLFLDAPAPDANARDLPPGLALRVSADASRFPYKHPRGLPSAVRVARIAGELVSALKQQQQEEEVRWLVLADDDTAFVLPNLLHTLRRYDHREPWYLGARSESAAQNAWHGFAMAYGGGGIAVSWPLARRLARVVDSCVLRYPHLYGSDARIYACLAELGVELTHEPGFHQIDLHGDISGLLRAHPLSPLVSLHHLDHVYPLYPGMDRTRAMQHFFRAANADPARILQQTVCYDKKKSLTVSVSWGYSVQVFKGNVLLPDLLAVQKTFVPWKRGRNVTDVYMFDTKHYPRDECKRGALFFLKSITSGEGKTETTYNRQPPRKCPPDLIPLKNLRLIKVTSERLQLAPGKALRRHCCDIVPSSSDTNIDINIRKCEDDELIAMHS >Sspon.01G0020860-1T-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1D:74880851:74881321:-1 gene:Sspon.01G0020860-1T transcript:Sspon.01G0020860-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPASVVAMPRSVPLALAAFPAPARPGSGGTPGPSGPRRAAPGTTSSSRRPWPRCRRRCAPSRPGGSRRRRSEGCRGRWPSAAGCAVAALVKAVEAVQGAAAGGAAEAARGAGGAVAWVFSKVHLQSPDSPSGCWDGRLLPRHNRGGEGAAWRRRSP >Sspon.04G0006520-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4C:18078708:18079790:-1 gene:Sspon.04G0006520-2C transcript:Sspon.04G0006520-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMGWSDLPSELLTDIAGGITELADIARFRSVCSSWRSAARDAAAAPPPQPPWLLLPSSPSRLFFCPREDRIYPNLRLPRPAAETHHRRRRLYASPHGWALATDPTDQAASLVHPFTGATRPLPPLPAFFKETDDLAWDWSPHGVMASCGEGLLFCAADPTTAASWTPIPTLADCNASSINYAGGQFFVFEEDVCRTTIVDAATLAVAAVIPAPAVELPTEARVAVAGDELFLLVKSKWMYLFGDDVDFSKAFHVNHRGVNPAWQELTGIGDRALFVDSLHGFAVPTAGFGNLESNTIYSVSSNSKEVNNRSPTTVNYSVSAFSLESRISKKLVCLLNGREMAMRHEKPSWIVPSLDQV >Sspon.07G0008650-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:22163639:22169938:-1 gene:Sspon.07G0008650-2B transcript:Sspon.07G0008650-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFSEQDVDVFGEDYDVHDGGAGAEADGGGDSSGSSSPSSSSSSSAAASSSSSSGGSSRSSSGGAAGEGEDGADEGDAEEYDSSNLIATRGAGAAGYRDDERGEHEDEEVEEERDLFGSDNEEYVRTPARSNYLVPVLPAIRNTNNHSRGGFGGRGGRGPPLLPRPGGHPGRHNFGYGRFGNGRHVEGLVSDMKLNKSEETLSRKAVTFQEPCEIACLSRAEGGEVYFDDRSLRLFKREICDYVGADLNKGFDTFVEKRDLGSEGFGDLLACIRNSNILLQHTIHFVTYRNNLNKILATAYLREPWKMGVHKRRGVVYLDVHKLPERPKSELERRRCYWGYSFENLATENSFSDDGRGIDANVEFCSVIKTKLGAHRIVMGAEMDCCDATDDGKRFYVELKTSRELEYHTVEAYEKEKLLRFWIQSFLAGVPYVVVGFRNDAGILVRTERLRTKDITQKVKAKNYWQGGVCLAFADEVLCWLYGTVKENEDYVLQFVHPFNRLELLRAQSPCPEAITLHVQQLSGAAD >Sspon.01G0007340-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:20275695:20282274:1 gene:Sspon.01G0007340-1A transcript:Sspon.01G0007340-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMEDFTADTPKIYQFNCNLAGVSQDGRASYFFHRSFEPYNNENDANNCWKKIGSPRSIILDGTLQGCKEVFALYADMPSDKRSQETNWRLHRYHLQNTVKAESEIVVSKIFLASRSNLCELAEEARIESERYVSTHKDSSVECAKGFNPEICTETDELDHIPLKERYRILLADKSSVLATVSSGKSIINHEGTILKEDICSMLQEISCAPPIIESNPMDDNSNRRLLGEDLPVEASKNQIGDTTTCMSENSELVARKEGAHLADVKLEPALEGYEIGPTESPQANSTHAEGSVSSLGVKDELTDCELPGLCEKVSFSFQQRRKRKTSYSTEKMLEEDAYTVKEGVAYRSRRRRKKTATDSIEKALDEDAPGLLQILLNRGIAVEEIKLYGAEEDNEMIEDSTESNFDDLENVIANIFPKKGKGYLLSVARYERGEKAIYCLSCLISLVEQSRYLQFRDCPVEWGWCRDLQSFIFVFRSHNRIVLERPEYGYATYFFEVVQSTPIEWQIRRLVVAMKLSGCGRTALIENRPLLVGEDLTEGEARVLEEYGWVRNTGLGTMVNYRDRVVHDRWTEKCVADWRAKIGKLLMTGYAEGQSVTTHGPKKIVDLLEATGDAELEIKLEDPY >Sspon.07G0026200-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:52217796:52218774:1 gene:Sspon.07G0026200-1B transcript:Sspon.07G0026200-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding NKQKLCDHPNKIKDGGGVLAFGYVDLQRATNNFSEKLGGGGFGNGILSDTNTIAVKTLDGARQGDKQFRAEISTIGNDSTWNLVKLIGFCCERDKRMLVYEHMVNRSLDAICFEALNCRDCIIHCDVKPETILLDEAFVPKIADFGMAKLVGREFSRVLTTMRGTVGYLAPEWISGVAITQKVDVYSYGMVLLEIISGRRNSLVECTSNSDQAIYFPVQAASKLIQGDVQSLLDQQLQGEINMHEVETACKVACWCIQESEFYRPTMGEVVQVLEGLVDFSMPPMPRLLQTILGSSAAP >Sspon.04G0016660-3P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:15747983:15765306:1 gene:Sspon.04G0016660-3P transcript:Sspon.04G0016660-3P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGNDNWINSYLDAILDAGKGAAAAGASAGAAARGRGGGGGDRPSLLLRERGHFSPARYFVEEVITGYDETDLYKTWLRANAMRSPQEKNTRLENMTWRIWNLARKKKEFEKEEANRLSKRRLETEKPRNDATAEMSEDLFEGVKGEDAGDPSVAYGDSTTGNTPRISSFDKLYIVLISLHGLIRGENMELGRDSDTGGQVKYVVELAKALSSCPGVYRVDLLTRQILAPNFDRGYGELDEMLASTSFKNLKCERGENSGAHIIRIPFGPKDKHLAKENIWPFIQEFVDGALGHIVRMSKTIGEETGSVCPVWPAVIHGHYASAGVAAALLSGALNVPMVFTGHFLGKDKLEGLLKQGRQTREQINVTYKIMRRIEAEELSLDASEIVIASTRQEIEEQWNLYDGFEVMLARKLRALVKRGANCYGRYMPRMVIIPPGVEFGQLIHDFDIYGDEDNPSPASEDPSIWFEIMRFFTNPRKPMILAIARPYAEKNIATLVKAFGECHPLRELANLTLIMGNREAISKMNKISAAVLTSVLTLIDEYDLYGQVAYPKHHKHSEVPDIYRLAARTKGAFVNVAYFEQFGVTLIEAAMHGLPVIATKHGAPVEIHQVLENGLLVDPHDQHAIADALYKMLSEKQFWSRCRDNGLKNIHQFSWPEHCKNYLSRILTLGPRHPAFACKEDQKVPVKCRKHIFVIAVDSVNKEDLIQIIRNSVEATRTGTMSGSTGFVLSTSLTIAELQSVIVRTGMLPTDFDAFICNSGSDIYYPSQSSDVPSNSRVTFALDHNYRSHIEYRWGGEGLRKYLVKWASSVVERRGRTEKQVIFEDSEHSSTYCLAFKVVNPNHLPPLKELQKLMRIQSLRCHALYNHGATRLSVIPIHASRSQALRYLSIRWGIELPDAVVIVGETGDSDYEELFGGLHKTVILKGGFNTPANRIHTVRRYPLQDVVALDSSNIIGIEGFSTGDIRKKERKKERKKEMNNTNIRTLCFHSIGN >Sspon.04G0012970-1P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4B:43200006:43202382:1 gene:Sspon.04G0012970-1P transcript:Sspon.04G0012970-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTMACLCRLQFFANCLAVGKARQSANPALPTAGNSSWQRDLFAECIWPESRSFDDSGYGPLPARWRGTCQTGTAFNASSCNRKIIGARWYTGGMKPEALKGEYMSARDLNGHGTHVASTIAGGQVRNASFGGLGAGVARGGAPRGRLAVYKVCWGRGSCNTATVLAAIDDAINDGVDVLSLSLGLQDEIPGTLHAVARGIAVVLSAGNQGPAAHTVRNAVPWVLTVAASTIDRSFPTTMSLGNNETVVGQSLYYNDTLGSSDFHTLIDAFSCDEETLSSTNISGMVVLCSAPLLASTTLPPQGFNDAAALVAKAGAKGLIFAQHNSNLLEATDSCRGVLACVLVDFEIAQRIVSYASSVETPVVRISRTFTVVGNGVLSPRVAAFSSRGPSPLFPGIIKPDVAAPGVGILAAVGDSYKFVSGTSMACPHVSAIAALLKSVHQDWSPAMIKSAIVTTASATDRFGMPIQAEGVPRKLADPFDMGGGHISPDRAADPGLVYDVDAGEYTKFFNCTLGPKDDCESYVGKLYQLNLPSIAVPDLKDSVTVRRTVTNVGPVEATYRAVVEAPAGVSVSVEPSVIRFSGGVGNKATFRVTFTARQRVQ >Sspon.05G0025590-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:32793493:32798546:1 gene:Sspon.05G0025590-3D transcript:Sspon.05G0025590-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFMSPRNDEHRPEDIQQLINSATSSPNRSSPSAALPSDMESGGGGSASSSRASTSDQRAAHREEREPASLWSRYFSLPVLLLVGVTASLVILPLVLPPLPPPPSMLMLVPVAMLVLLLVLAFMPTSSSVHGIKNSPALQITEVHRDANAAIDNRCLQVPLSNALQIGQHHGKQLGGGERPLLAQEPHMDQGKPTRPLRDTEREGGEIALQLRVGELAAEQALDLRDGVLGVHGRGRGAGRADEPLLVPEADDGGCLALGLLVEDHVDAALPRDCHDAALIAEVESHNAHLRSTLSC >Sspon.03G0037090-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3B:96643788:96644000:-1 gene:Sspon.03G0037090-1B transcript:Sspon.03G0037090-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVPYRPPTALPARSSNAKLQTLCHNIAAKGRQGTHLRRCCWYIVVRGPISNISSPSSNDVSPSCPRVCN >Sspon.02G0025100-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:95652063:95653396:-1 gene:Sspon.02G0025100-1A transcript:Sspon.02G0025100-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSTYQPAFLSIGPYHINATEEMRRSEQGKLFALDMCIPQGGRPSVLEYTQAVKSMEAEARRCYEGDVGMDPNAFCRMLLLDAVQLILLLEFFGAYAEDDATAAAAAGGTGTTETASPSQGCSIRTWDTIMAVHDLMMLENQIPFFVVEKIYELRNRSGNGNAAKMKPVRRLAWESIRSMVGGVPSSAPNDDDLHSSIWSIIMNSIRNKKTGKYGRFRRATEYYEAGVTFRRWCSEAGSQRRRPVLDVAFNKGVLSIALQDIHENTGYILRNVLAYEQKYNRTAMSPDTSYVTAYVVFMSQLLGSAEDVALLSSRGVVEHLLGDDGEVCALFQSRWRCRLTAVTAATGGAPGSHRFSNPWLVAAWLFGGSAVLCTIIQTVFTVLSYVQQPH >Sspon.03G0025270-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:76453535:76455410:1 gene:Sspon.03G0025270-1A transcript:Sspon.03G0025270-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGAAAGGRGGSSLVFRGCHLPPGFRFQPTDQEIIVCYLKKKIAGTATSVTSIIADVDIYKFDPWDLPDKAMFGEGEWFFFSPRDRKYPNGARPNRTAGSGYWKATGTDKPILAAGGAHCLGVKKALVFYQGRSPKGSKTEWVMHEYRLLDTDAAAVLARPAAANSMRLDDWVLCRVRKKGVSLGPADMDDTSEGTTTTATTRAAVPANAGTDYHRAETMEMATAAAAYSRREVLVPDAGTGGFFGDVVIDCNKNDDDDGGDDLLQYFIASGGLSGSPSAHHMHHDQGHGHGGMVPVPGAASAAPLHTQHHHGLVSVLESIKRNLSFQAIDELYLLQPSAKRANYMTTMLSRGDDDDHHQHSMSSPTCFSISDTDEVF >Sspon.07G0005940-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:13565833:13566627:1 gene:Sspon.07G0005940-2B transcript:Sspon.07G0005940-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MWPSWVKTRSSDSAAASASAALVPAAGASPRLSIPNPSLKDLRSLLAPDSAAALPSASPSPRVFHRIRVAASALRVLRTLQHSPSPAAAPGAGGKELVPGAWGGGGRVVLYFTSLRVVRGTYEDCRAVRAILRGLRAAVDERDLSMDPGYLPELAALLPPHAQQLPQVFVGGRHLGGAEEVRRLHESGELRRIVAPAPAFSGNCARCGGERYVLCGACDGSHKRYSLKGGGGFRACAECNENGLVRCPPAASSPPPDPDPRSNWS >Sspon.08G0002460-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:5484001:5485563:1 gene:Sspon.08G0002460-3C transcript:Sspon.08G0002460-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMVLDWRSLGSLLATVVVFRTALRDFLPPEAQTLLRRFIAWVAAAFRPPHDTILIDEADGPPGGAINDLYDSAQLYLGARCLATAPTVRLHKPRQSPRPVASLPDSHTTDDTFRGVRVKWTSTARTVDRGSGGGGYGHPYNMFGGRGGGHGDQRRLELHFPRQHRDLIHDHYIPHLIDEATLMRLKSRERRLYTNRATGPGDLDHHRHWTSHAFSHPSTFDTLALDPALREDIRADLLRFAARRDHYARVGRAWKRGYLLHGPPGTGKTSLVAAIANLLEFDVYDLELTTVPTNSHLRRLLVSTTPKSVIVVEDIDCSLDLSDRNKKKKKGGGGNVGADNDEDAAAQLAVMSVSPAAAAAMAVMGRESISLSGVLNFVDGLWSSCVGERLMVFTTNHPERLDRALLRPGRMDKKIELGYCTPPALRVLAKNYLGVGDEGCEDADADPDTVNTLMAEAEGLLAAAEVQITPADIAEVFMGCDGDDAASALRKLVGELRRRRDATAATAVAPGESTEDTTE >Sspon.02G0016920-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:51089952:51094499:1 gene:Sspon.02G0016920-3C transcript:Sspon.02G0016920-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MANEPATMPPIVSAPAFSHVTPISNVASQGISALQTSSPSLISQEANMANDNVQEHKPIINPVQQPVRPGGHGSLLNNLSQVRLMNSTSLGGGATSMGLPNMGATPIQVHMSNMISSGMTSTPSVISSMSGPGQPIGTQQMVQSTGLGSFGPNTSTVSGNSNVAVSSSLTNNQSSMGMGQSVQPVAQGGLVSGSQLGQGGIGANQNVMSSLGSTAISSAPAMMPTPGMVPQTGVNSLGVNNNPAMNMPIPQHANAQQPPPKYVKIWERFSTTDVCHLSVFQGTLSGQRQGQPVFICKLEGYRSGTASESLAADWPETMQIVRLIAQEHMNNKQYVGKADFLVFRTLNQHGFLGQLQEKKLCAVIQLPSQTLLLSMSDKAGRLIGMLFPGASDELKDYTDPSVKMVVMSTLFDRLDK >Sspon.04G0017930-3D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4D:73646115:73649183:1 gene:Sspon.04G0017930-3D transcript:Sspon.04G0017930-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RADLSTLDPPVMEGVDELLARSKAVVKRVHPDDNGTTQVQAPSIYHWFGSKAAQKVQMPLTAVTSVIDGLKRLYVEKLKPLEVAYRYNDFASPLLTNSDFDAKPMVMLLGQYSTGKTTFIKHLLKTTYPGAHVGPEPTTDRFVVVMSGSDGRTIPGNTIAVQADMPFTGLTTFGGAFLSKFECSQMPHPLLEHITFVDTPGVLSGEKQRTQRSYDFTGVTSWFAAKCDLILLLFDPHKLDISDEFKRVISSLRGHDDKIRVVLNKADQVDTQQLMRVYGALMWSLGKVLNTPEVVRVYIGSFNDKPVNDSAVGPIGKDLFEREQDDLLCDLKNIPKKACDRRVNEFVKRARAAKIHAYIIGHLKKEMPAMMGKAKAQQRLIDNLEEEFAKVQREYHLPAGDFPDVEHFKQVLGGYSIDKFEKLKPKMVQAVDDMLAHDIPELLKNFRNPYE >Sspon.02G0029670-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:105307610:105310820:1 gene:Sspon.02G0029670-2B transcript:Sspon.02G0029670-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding CQKHRLLPVACHLLAGKKRIWEASKMGATEMLQMITITVTRTEGRFGSVNPAGLDFYNKIINSLLLKGIQPFVTLSHYDIPQELEDRYGAWLSTEIQEDFGHFAEICFDAFGDRVKYWTTLTEPNVVALYGYMLGVYPPSRCSQPYGGCSHGNSDLEPYVAAHNAILSHATAMEIYKRKYQSKQNGLIGIAMCALWYEPFGDVPEDRLAVERALAFDAPWFLDPLIFGDYPPEMRRLLGERLPSFSPEDRRKLNYSLDFIGINHYTTLYAKDCMFSSGNCATVQYSRNALVAVTGERNGVPIGAPVSYVRWFTTAMPINYDVPDGIEKIVTYIMKRYGNLPMFITENVTYTVALNKTATGYGQGGDNYTSVEDWLSDNDRIKYLDGYMTKVAQVIRSGADVRGYFIWSLIDNFEWVYGYTIRFGLYYVDYETQERTPKLSAQWFKKFLQNQHEHEVQ >Sspon.06G0028620-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6C:9006120:9014990:-1 gene:Sspon.06G0028620-1C transcript:Sspon.06G0028620-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGITNSSSPTEKKKNSKNNTHCNLTSQPTFSSSVSQEASQSLGFSSGGNPAATASNAASPKADAPSATPTSPLRVLLPPIKKSSAAPHCAALPPAAALQQHGASELSALLLNSLPPRSHALEADACLGPVTSRTFIRYSGTDEWAQMGREEASCIAAAPAAGGDKRRDPSRTKTAAADRAKSRIQSDTRVRRPRRCHLSVHAPILRCIAHSTLPSRPSLATLRPRQFAAAGIPAAPHSALARRGHGMHRVTRSSEARGAARAASTPAPELRLTGVGLRARTGARNEEKWSLEGKKNEAVSSITSSSSTVALDFFVPWRPRPYGHSPACCLLRQATPRGSAHDARASKVSPRARRPGCHPRQEEPSTSMATATATAPRRRHAATGAAAGEWAAVSASGEWRAEAIGKHQLVRRTGLSARDLRALDPALSHPSSVMARDRAVVVNLERARAVITASEVLVPGPRDPTVAPLVAELRARLTAAATSPAPPRAVSVCLCLSCLSPPETPNPIRLALLDCFCLRKISSFGRVEGRGVSPPGGGGGKVLPFEFRALEVCLEYACKSLEHETSMLEKEAYPALDALTSRVSTLNLEHVRQIKSRLVAISGGVHKVRDELEHLLDDDADMEAMHLSEKAAFQAASQSSRFDIGTELVEIDEEGDDDEAEAEQGSMAFMPKIDELESLLEAYFVQIDGTLNKLSSLREYVEDTEDYINIMLDDKQNQLLQMGVVLSTATLLVTSAVVVTGVFGMNIHIALFRITDFNVECLTSIVQISPVENLPIWHHSNIICSNLHGKK >Sspon.03G0028860-1T-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3B:12139371:12142683:1 gene:Sspon.03G0028860-1T transcript:Sspon.03G0028860-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGNIGKIPIIGDITGSNKNAHLKGNVVLVRKTVLGLDVTSIAGSIIDGVGEFLGRGVTCQLISSTVVDPNNGNRGKVGAEASLEQWLLNPPPLLSGDNQFRVTFDWEVEKQGIPGAIIVKNNHASEFFLKTITIDNVPGHGTIVFVANSWIYPQSKYRYNRVFFANDTYLPSQMPAALKPYRDDELRNLRGDDQQGPYQEHDRVYRYDVYNDLGLPDSGNPRPVLGGTTELPYPRRCRTGRKPTKTDPSSESRLTLVDGDVYVPRDERFGHIKKSDFYGYAIKALVNAVIPAIRTYVDLSPGEFDSFKDIMKLYEGGIQLPKIPALEDLRKQFPLELVKDVLPVGGDYLLKLPMPQIIKEDKTGWMTDEEFGREILAGVNPMIVKRLTVRERSILRLGSRWNSRLYGDHTSTISEAHLENKLEGLTVQQALDGNRLYILDHHDNFMPFLVRINSLEGNFIYATRTLLFLRGDGTLVPVAIELSLPELRDGLTTAKSTVYTPTSTTGAEAWVWHLAKAYANVNDYCWHQLISHWLNTHAVMEPFVIATNRQLSVTHPVHKLLLPHYRDTMNINSNARQMLVNAGGIFETTVFPRQYAFEMSAVIYKNWNFTEQALPDDLIKRGMAVADPSSPYKVRLLVEDYPYASDGLAIWHAIEQWVTEYLGIYYPNDGVLQADVELQAWWKEAREVGHADLKDAAWWPEMQTVAELVKACTTIIWIASALHAAVNFGQYPYAGYLPNRPSVSRKPMPVPGSDEYAELGKNPEKVFVRTITSQFQALVGISLLEILSSHSSDEVYLGQRDTPEWTSDAKAQEAFRRFGTRLTEIESRVMTLNADPRLKNRNGPAKFPYTLLYPNTSDKKGDAAGITAKGIPNSISI >Sspon.02G0042800-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2B:86433159:86435177:1 gene:Sspon.02G0042800-1B transcript:Sspon.02G0042800-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFLVAFVLLCLGLNLPGFGDAGNEESFVFSGFSGAGLSLDGNATVTGEGLVELTNNEPDAKGHAFYQNPVQFKNSTNGTVQSFSVAFVFAIMSAYSDLSTDGMAFVIAPGKDFSNSPGAQYLGLLNSTSNNVPIDRFFAVELDTIKNNEFHDIDNNHVGVDINTLTSLYSHTAAFYDETDGTLKSLSLISSHGKSMQAWVDYDGQSKQLNVTLAPMGVAKPSKPLLSNITDLSAVITDKAYVGFSGATGPGGSQHCVLAWSFAVNGPLQPIDFKKMPKLPNSGHEALMKDLEIALPVAAFVLILATCITVILLVRRHLTYAELREDWEVEFGPHRFSYKELFKATEGFKSKHLLGAGGFGKVYKGVLPQSGTEVAVKRVSHDSTQGLKEFISEVVSIGHLRHRNLVQLLGYCRRKGELLLVYDYMPSGSLDKYLYGEDDKPLLEWAQRFQIVKDVASGLFYLHEKWQQVVIHRDVKASNVLLDGGMVAHLGDFGLARLYDHGADLQTTHVVGTMGYIAPELARTGKASPLTDVFAFGTFLLEVTCARRPVVDTVHHGRKLLVDRVLEYWRRGSLEETVDSRLQGNYNVDEARMVLTLGLMCSHPFPGERPTMRQVMQYLDGDAPLPELTPADMSLLSLMQDQTSFDQSSLQYPWSGTSIGTTTPGISVGR >Sspon.08G0009380-2D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8D:64402100:64402257:1 gene:Sspon.08G0009380-2D transcript:Sspon.08G0009380-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VQSAAKVPTGLGRSAADRFLELQLLPVGGCTTDRSSCSTTSMTSSYGMPRR >Sspon.01G0001910-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:5592287:5593167:1 gene:Sspon.01G0001910-1A transcript:Sspon.01G0001910-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFESMLPHRGDNPCPGAFYTYDAFIQAAGKFPDFGTTGDDGIRRRELAAFFGQTSHETNGPGGQPGAGVQRPVVSFETAIWFWMTPQGAKPSCHAVMTGGWTPNDDDRSAGRVSGYGLLTNIINGGIECGQGQQTGGDANRVGYYQRYCQMLGVTDDDNLSCENQKPYPN >Sspon.02G0033330-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:7251959:7255986:-1 gene:Sspon.02G0033330-2C transcript:Sspon.02G0033330-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQSSGVEHSVNIPADGGAGQQGDVAMPERRLNCFVRCVALIERLGNALGTLAFTWATVVLLGGYPTVLGAHNDFWFATTIVFLEAARMFSRNNRTDYQLFFGTRGAFRSLGWNGLIVLAYFNGVACMIEPFHRESGGLVLYLGILVAIGHFVCPGAPRLLARIPLWRAISLWSPMVAIILLAPSVLQVDHHHFEYDETETVVNVNPVARRSVYVVLLVTVILVTVSRMRFPSIIKLADRVLGGKQKFWHRVVLNFCMIGAIVIAMFLLSHGDLYRVLAMIAFEASTLALISFGNFQIPVAIARVVLPLIRLIPQYDVDTNNPSMTNLVPTLNIFYGMVLGQGVLYLMACVVEIFSFIPRRSLVHRGGFKGQWGVDSVDLYYGYALEKCMERNVLSPKISICSFAIDYLNSDSTSRQLHGIRTAHTLLQRDPTRTRLLVKLNASTEVMTTLMRMLHLTGQVNETTIRLFAAKVIDEVAKSLLVDNSPGIVQNVSLLLDCGNQHKRVNPLLDTDEEEQQQNDLSVNATSHKTEMGDEVLDTGRLLETQEHSTQQIGNSNEHNFWIVRRWRQVSEFWSVPQEGPLTEQDLLPVIAMSIIQSLATYDQSNCAEIGKAADLIRKITRFTSFCRTDTNYTDAEKKVLVHSSLKVFYRLTSIDGEIGITLRHKISKHPFLLRNLSEVLGDITSNYETRKVAAGIIRNLAIDASMRLAIGRVQRIITRLMHAFLTPDQPSSGTSASVSRSREALRKVAGQALAMLAIGNVNNCLAMLKQTGYSFIEELTNMILVERYICVAASLLRNVCMHARSELKETDLKQLSFISRVVLERILRAEGEELEIFIGLSSHIYEAIPEEFARDFEYGQIKVTFVKRLVDALNANMEPNADYPGIRRMILEQAIKLMEYDSSNVDCFRNLHMIEVLSTVEETISEAENYTIFMGDVGLMEAGEPLSSLVERAKQLLD >Sspon.02G0018560-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:55696743:55702606:-1 gene:Sspon.02G0018560-4D transcript:Sspon.02G0018560-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVSARGSPRSFPSPPLPPSPQPQPDAERMFMRGGSGRSSTGTSGSLRSASLRDIDEEAAVVVADDDGGGGKFYVAVGKDLKDGKSNLRAAQSLGLVGGDLKLVLLHVHQPADRIMNGLGCKVLASQLEEKELKAYRQIEHGEMDRLLNTYVNHCRSYLKVQAEKLIIEKNNVANGIVELINQHRITKLVMGMSSFSTKRKVPKSKVAAIVHQQAKPFCQIFFICKGSVECTRDANLDSTKADSPRSSSASTLSDETELPTRSVSLPPGHPGYRGPPDEPFLPRRSQSVSYPSSGLMGNNVERMSPIAQQSIHVKTTNWSPNSSLPSNEGSSSSSLKDSDSLDGSPVPASIISYEEQQMSMEACEGRQKAERDLFEASMKSKARENSLHKEKKEVEEKLTKEKSILEKEKLQIYNELQKANEQRAQLENKLLQTNSLLEELQQLQGELQREKEDALREVEEMRKLYSNRNFASAGEVSLTEFSYSEIEEATNNFDGSREIGQGGCASVYRGFLRQTTVAIKKFNREGAVGEKEFNDEVEILCRMRHPNLVTLIGVCRYPKVLVYEFMPNGSLEDRLQCKLHTDPLPWRMRVRIAADICTALIFLHSNKPKSIAHGDLKPDNVLLDANFVGKLGDFGISRSLDLTNTTVTPYHRTDHIKGTLGYMDPGYMLSGELTAQYDVYSFGVVLLRLLTGKSPLGLQSEVEASMSSGVLHEILDASAGEWPLEHAEELAGLALKCCRLNRKDRPDLAKEAWGILQAMMNEPPPSSTHPPEADAPSYFVCPMTQEIMRDPHIAADGFTYEGDAIKDWIQRGHTMSPMTYLNLTHHELIPNNALRFAIQEWQMGQQQ >Sspon.01G0017570-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1C:66529325:66530967:-1 gene:Sspon.01G0017570-2C transcript:Sspon.01G0017570-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding IDSVFSFGANEDFLENDIRVKPDLDALGALGDVGWYCIRAILWAVDYELPKTVIALCDPVKNRAGVLLACGATLYWADGKTATFSCSFLTNVTMDITLVGTNGTIHVTDFVIPHEEKSAEFHVASKSSFAELDIGWDLPSKHVVATDLPQEALMVKEFARLVQGIRDAGGKPEGKWPAITRKTQLVLDAVKASIDKGSEPVETTSLILFLVSAITGGGSLAMGAVIGGGSPARGGAALAPAEAGAGAAPALAGSRDGAALAPARSGDGAMHPPAGASYLALPVSGGGARAPGMGKKLARQAWGRS >Sspon.01G0051260-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:13172323:13185338:1 gene:Sspon.01G0051260-1C transcript:Sspon.01G0051260-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NUP155 [Source:Projected from Arabidopsis thaliana (AT1G14850) UniProtKB/TrEMBL;Acc:A0A178WJ50] WPSLVEVAETRQLPPMLIERYSTAAGEGTALCGIFSDIHRAWATVDNSFFVWRFDKWDGQCQEHNVDEQAICAVGLARAKPGIFIEAIQYLLVLATPVELILVGVCCSASADGTDPYAELSLQPLPEYMIATDGVTMTCITCTDKGQIFLAGRDGHIYELQYTTGSGWRKRCRKVCLTTGIGSLLSRWVLPNAFKFSTVDPIVDMVMDEERNTIYARTEGMKLQLFDLGANGDGPLTKIAEEKNIVDPRDAPYGGRNARRAARSPKPSIVCISPLSAMESKWLHAVAVLSDGKRLFLTTSGGSGSSVGLNSGLQRPTCLKIVATRPSPPLGVGGGLTFGAVSAAGRAHPEDLALKVESAFYSAGALIMSDSSATAMSSLLAVQKDSAAQLSLPSTFGTASRTSRALRETVSALPVEGRMLCASDVLPLPDAAYTMQSLYADVECFTGFRKPSEKACIKLWAKGDLPTQHILPRRRVVVFNTMGLMEVIFNRPVDILRKLFDGNTLRSQIEEFFNRFGAGEAAAMCLMLAAKLLYAEDSLISNAVSEKAAEAFEDPGLVGMPQIDGTTALSNTRTQAGGFSMGQVVQEAEPLFSGAYEGLCLCSSRLLYPIWELPIMVIRGPAGTNKREDGVIVCRLSAGAMKILESKIHSLETFLRSRRNKRRGLYGYVAGLGDSGSILYKTGLIIGPGGHSNGRSPYNSQIRDMNPADKSASNKKPRLLYTSAELAAMEVRAMECLRRLLRRSGEALFLLQLICQHNVARLAQTLGNDLRKKLVQLTFHQLVCSEDGDQLAMRLISALMEYYIGPEGRGTVEEISTKLREGCPSYFNESDYKYYLAVECLERASTTNNPDERDILARDAFNLLTKIPDSADLIRLPLQKAQALDPNADVINGQIDPRHHDTIMLQREQCYEIVMNALRTLKGVGHSRSADKSSGLATAVDPASRSKYIKQIIQLSVQWPDTVFHEHLYKTLIELGLENELLEYGGSDLVSFLQSAGRKHQEEVRSISSVTSGAAKLHDLGAPISTSQTKYLELLARYYVRKGEHIAAARMLLILAERQLSSGEELVGDDDVARALLGACKGLPEPVLAVYDQLLSNGAIIPSLNLKLRLLRSVLAILREWGISVVAHRLGTTSAGASFFLDGTFSLNQTGTANLGARDKIISLANRYMTEVKRLNVPHNQTENVYRVLQKCDDVQYGMDANNVKAMPDIVVYNVFQADEVGRQSIRIAQFKYQIIKHQKLSLATKANHVLYESQSQIQLLNLTKAAHNNVKRIRVWFNILTDNKNMHTNSIIVTNPLTTTHHGAEQLICCFYLSM >Sspon.03G0003080-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:13839938:13841301:-1 gene:Sspon.03G0003080-3D transcript:Sspon.03G0003080-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LPFTLFLSIPKLLSPPEIKQLLRGRRTTSHRQNPSPDPVEERCFLAMAGTASQASLLLQKQLKDLAKNPVDGFSAGLVDDSNVFEWQVTIIGPPDTLYDGGYFNAIMTFPQNYPNSPPSVRFTSEMWHPNVYPDGRVCISILHPPGEDPNGYELASERWTPVHT >Sspon.04G0020130-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4C:76146209:76151298:-1 gene:Sspon.04G0020130-2C transcript:Sspon.04G0020130-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYIGHHGVATLRRYKYSGVDHSLVAKYILQPFWSRFVNIFPLWFPPNMVRVQLHAVTMNYAFVEVLIENTAPPRWVHLAHGLLLFLYQTFDAVDGKQARRTNSSSPLGELFDHEIPVYDIVLCLMIAFAVIPTIASKSYLSPADIMRNQPHLLIIGTGFAFGFLVKSPR >Sspon.02G0017210-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:51172882:51173833:1 gene:Sspon.02G0017210-1P transcript:Sspon.02G0017210-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGTISRIRLENFMCHSSLRIELDQHVNFITGQNGSGKSAILTALCVAFGCRAKNTQRAASLKDFIKTGCSYAAITVDINNHGEDAFKPELYGNTIILERRITESTSSTVLKDQHG >Sspon.02G0049450-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2C:38863319:38863756:-1 gene:Sspon.02G0049450-1C transcript:Sspon.02G0049450-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVPQRPSSQDDDTLPSLTSQSHTSTSLPFIKGLYPWLRGKVLKPNIVQQQEPELRSKNTSHQQVIYRLSSHLPGHKGGNRDDDQDPDKLIEQARLHSPLLLDKPNSLLDIRGTPLNMQFFHNIQAPKGIELEGSACNAQVAVLI >Sspon.08G0017680-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4C:68951588:68955615:-1 gene:Sspon.08G0017680-2C transcript:Sspon.08G0017680-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARIRERKTRDINQIKCIKDGTDRLLVKDEEIKDRWREYFDKLFNGENEGPTLELDDSFDDTNRSFVRRIQEAEIGEALKRMKGGLHQGSALSPYLFALVMDEVTRDIQGGIPWCMLFADDVVLVDDSRAGINRKLELWRHTLESKGFRLSRTKTEYMRCDFSATRYEEGDVSLDGQVVAKKDTFRYLGSMLQKDGDIDEDVRHRISAGWLKWRQASGVLCDKTVPQKLKGKFYRTAICPAMLYGAECWPTKRRHIQQLSVAEIRMLRWCCGHTRRDRVRNDDIRDRVGVAPIEEKLIQHRLRWFGHVQRRPPEAPVRSGVLKRADNVKSGKGRPKLTWDESVKRDIKEWNISKDLAMDRSAWRLAINVPEPILGCSTTSSASLLSPDLQACSSTSGSSLVTFWSEQGPMLGPADSTAYRSLAGALQYLTFTRPDITYAVQQLCLYMHAPREPHLAAMKRLLRYLRGTLGYGLLLGRSSTAELVVYTDADWAGCPGTRRSTSGYAVFLGGNLVSWSSKRQPVVSRSSAEAEYRAIANGVAEAAWLRQLLAELHRPLARSTLVYCDNVSAVYLSTNPVQHQRTKHVEIDLHFVRDRVAIGDVRVLHVPTTSQFADIFTKGLPSSTFSEFRSGLNVSSG >Sspon.05G0020640-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:83598562:83600057:1 gene:Sspon.05G0020640-1P transcript:Sspon.05G0020640-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVERSVKSEHGVDLFLPPGFRFHPTDEEVITSYLLQKFLNPSFAPHAIGEVDLNKIEPWDLPSKAKMGEKEWYFFCHKDMKYPTGTRTNRATKEGYWKATGKDREIFKQPGRELVGMKKTLVFYMGRAPRGTKTNWVMHEFRLDGKSRHTNDSNLRFNPKDEWVVCKVHHKGGEEASSKKTAAGEEQHSSAAGTPNVSSVEVGEDGDEFLVDSLLDYSSYFNSAAPPYNADCCYPVHTTAATGMTTTTPTTTTSSCFVGLPTDASNSQHAAAVANSAAAAATNNNDSSSWNMLRHAPDQQAMGTNYSLQHQAMVAKALGGGGVATPNFGAGLPAGSSVAAAAGIAQHSSQNVMLQQRLAGYYGGNYAGGYHTS >Sspon.07G0002360-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:31821332:31825271:-1 gene:Sspon.07G0002360-1P transcript:Sspon.07G0002360-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWSSSGRSSGSRRGKRGGGSGSGGGTDKPKQPQRGLGVAQLEKIRLQSEMAEYFHPLGQPPSLIHRTGSLNLEDARASTSSLSSSPSSSFHPTTISSPFPIHQNFAMPYGERDVRYSEFQAPIIRSPSSSAVYGNPHYAQHPNITLPLFEPQESSWKDPTHPVI >Sspon.05G0021710-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:90953074:90954656:1 gene:Sspon.05G0021710-1A transcript:Sspon.05G0021710-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIRSSSTRIISPANKPRSASHCRKRKRRRGKNADVAGAEPGQSPPPEGGAPTGARESPSPLVIVERFSRSNLPQMEKKKYLVPCDMPVGQFIFILRSRLHLSPGTALFVFVNNTLPQTASLMGSVYDSYKDKDGFLYMCYSSEKTFG >Sspon.08G0008530-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8A:32044374:32044718:1 gene:Sspon.08G0008530-1A transcript:Sspon.08G0008530-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAISLSRLPPVRHGCWLELRPSPPIPLAPSLPEHNPHLHQLHDPLLSPAQMLIRAGVASNRRCRQLPTVVPAAASGRVHAGHRGACRGHPMRRWARPRPRTTTLPPEHASTP >Sspon.08G0001360-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:4705216:4709394:1 gene:Sspon.08G0001360-1A transcript:Sspon.08G0001360-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPHLSLVHTPSFSLSSRDLSSRPMCRPAPTHPSPRASAAPQPDTSIVEQGRPDPSATLRPQRRAPSPRRALYLPGKLSSSGGRSLSPASSLSPTISLSMAFPRKKNNVKYASMCAILASMAVIIVGYAAIYIKKDLKITDVQLEIVMGILNIYSLIGSFAAGRTSDWIGRRFTVVFAAAIFFAGSLLMGFAVNYAMLMAGRFVAGVGVGYAIMIAPVYTAEISPAAVRGFLTSFPEVFINFGILLGYVSNFAFARLPLYLGWRVMLGIGAAPSALLGLMVFVMPESPRWLVMKGRLADARAVLEKTTETPEEAAERLADIKAAAGIPKDLDGDVVTVPKERNGGEKQVWKELILSPTPAIRRILLSAVGLHFFQQASGIDSVVLYSPRVFKSVGITDDNKLLGTTCAVGVTKTLFILVATFLLDRAGRRPLLLTSTGGMIISLVGLGTGLTVVGHHPDAKIPWAVALCILSVLAYVSFFSIGLGPMGSVYPSEIFPLRVRALGFAVGVASNRVTSGVISMTFLSLSKAITIGGSFFLYSGIAALAWVFFFTYLPETRGQTLEEMGKLFGMEDTDTAETENTAAKEKAKETKKQLPQLQSHRAREPSYSPLCLHVGQSDGDGEAHTEDSSRARIARASGFACIFKRGSSFA >Sspon.03G0002880-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:15046550:15048350:1 gene:Sspon.03G0002880-4D transcript:Sspon.03G0002880-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SNDERDTVCVTKSIPTVNGQFPGPKLVVREGDRLVVKVHNHINYNVSFHWHGVRQLRNGWADGPAYITQCPIQGGQSYVYDFTITGQRGTLWWHAHFSWLRVHLYGPLVILPKRGEGYPFPRPYKEVPILFGEWFNADTEAVINQALQTGAGPNVSDAYTFNGLPGPTYNCSSKDTYKLKVKPGRTYMLRLINSALNDELFFGIANHTLTVVEADANYVKPFTVNTLVISPGQTMNVLLTTPPNPASPAYAMAIAPYTNTQGTFDNTTAAAVLEYAPTTLPPLPLPLPALPLYNDTGAVANFSRNFRSLNSARYPASVPAAVDRHLLFTVGLGTDPCPSNQTCQGPNGTKFAASINNNSFFQPRTALLEAHYRRRYAGVLLADFPTTPPHPFNYTGTPPNNTFVQHGTRVVPLGFNTSVELVLQGTSIQGAESHPLHLHGYDFFVVGQGFGNFDPVNDPPKYNLADPVERNTI >Sspon.02G0029990-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:111144346:111145161:1 gene:Sspon.02G0029990-2C transcript:Sspon.02G0029990-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPLHQFPVPPLPQDAMLQQAVAAGALMMAAAGNKAPPTATAGREQCPRCASRDTKFCYYNNYNTAQPRHFCRACRRYWTLGGSLRNVPVGGSTRKRPRPRPARPTRAMAAAVAAAMAAPSTTTATTASARGSPFVASPATTLLQGAGGGGLLLSSLLLGSVSASPLLEGRLGFDLGLGEAAALASAADGVAAADMAHHQTLPLGAGPLPWPAATATILQGDRAETTTWKDASSMFPFAPATALWQELAAAAPVVEAGGLHHGGAPHLLM >Sspon.02G0014940-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:36272071:36274467:1 gene:Sspon.02G0014940-3C transcript:Sspon.02G0014940-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFVTLMVLASPVLILLLRAAWITLSCYFLTPLRIRRIMARQGVHGPPPRPLIGNLRDVSALVAQATADDMPALSHDIVGRLMPHYVLWSRTYGESEMARMYQRPPPHCTVDRVPLRRWNVLVMLVFVRACAAGKLFVYWYGSEPRLCLTDAAQIKEFLSSKYAANATGKSWLQRQGTRHFIGRGLLMANGAHWSHQRHVVAPAFMPDKLKGRVGHMVECTKQTIRALQEAASAPSPGGGQRREVEIGGYMTRLTGDIISRTEFDTSYDTGKLIFRLLEDLQRLTASSSRHLWIPGSQYFPSKYRREIRRLNGELEAVLTESIGRSRAIADEGRTTSAYGRGLLAMLLSEMEKKKQDEAAAGQQAEQQFSYDLQLVIDECKTFFFAGHDTSALLLTWALMLLATHPEWQDRARAEVARVCGDDPPSYDDLSKLTVLQMIIHETLRLYPPATLLPRMVFEDIRLTGGLHLPRGLSVWIPVLAIHHDESIWGPDAHEFRPERFAAGRRPAFLPFASGPRNCVGQAYALVEAKVVLAMLLSHFRIAISDGYRHAPVNVLTLRPKHGVPVHLRPLRP >Sspon.02G0012140-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:32070643:32071170:-1 gene:Sspon.02G0012140-1A transcript:Sspon.02G0012140-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding WMQMTRCWSAGTTPPAGACCSRRKVPAFSPLFLGLCCLFLISAAAAGRLIWSASGTDCPVSFQDANYTVITSRCKGPLYQPTLCCGALKDFACPYSNYINDVSTNCAATMFSYINLYGKYPPGLFANTCHEGDKGLACPEDTPQIEPGQKASGAAAVAAPAAALAAALAVSLLVSC >Sspon.01G0002240-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:6268367:6274088:1 gene:Sspon.01G0002240-1A transcript:Sspon.01G0002240-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:no pollen germination related 2 [Source:Projected from Arabidopsis thaliana (AT4G28600) TAIR;Acc:AT4G28600] MNGRKDRARFSRFIRHMSVQCLCSGDQTNTMDRAIQLSENIDIKDGMTSRHSSPKLVAGRHVNNVGMEEAELSLQGGGSLNYEEARALLGRVEYQRGRIEEALRVFDGIKISALIPEMKKSVIRKVGQQKPRPHSSSPTMPFHSVTILMETIYLKSLALHDLGKITFNITPTKSGFAEAARECSAILDIVESAAPEGLPTNFGNDCNLNETICRAVELLPELWKLGGFPLETISSYRRALVSNWNLDAKTIAKLQKEFAVFLLYSGCEAGPPKLRCQLDGLFVPQNNLEEAILLLLILLRIERDATVMHHLSFALSVSGQLKPLARQFEALLPGLLDNREWLYNVALCYLASGDDLNALNLLRRVLKSGEDSNSLKELLLASKVCGEDSAHAGEGVLYARRALANQHGGCDQMEVVAGRLLGISLSNLARYATTDIERAAQQHEALEVLANAGKKMHSRDFGTIYSLSLENAVQRKLDTAARYAKKLLKLEAGSELKTWLLIARIMSAQKRFEDAECIVDAALDQAGKWSQGDLLQTKAKIQIAQGQFRKAIETYTQLLALIQLSVKSFSAGISVLQDAELCISKIKAISPYSPLTCHAIGKLNEAKGFMKEALRAYSTALDLDPKHVPSLISTATVLRQLYKKPLPVARCFLTDALRLDRTNHVAWFNLGLLYEDEGDSAAIEAAECFRAAALLEENAPAEPFR >Sspon.06G0027030-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:82453070:82454114:1 gene:Sspon.06G0027030-1B transcript:Sspon.06G0027030-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AMFITIIRVRAGEHKVLVEMPHSVGQKGQFTQQGPKAKNRPTGKGIKDKVSQVSNIRIALHCRSCPISSLVLQTSSKAERMDIAKLAGVDAVKLVVMIVQAAQTVRHNKKTCQQLVHHVQITGDLLKKLQLQR >Sspon.05G0001960-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:1805104:1806450:-1 gene:Sspon.05G0001960-2B transcript:Sspon.05G0001960-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRYQLCLHFFKFVIPVLNFSLFNPDDDAAGIIISGIVIILALKFVTRWIEVTREWQVERARLQAIQVQAAAGAPIQPASNSSPAPPSCIANHVVEMGAVNRFLDDILREKPARFTPENLREFTRNYAERLGSGGFGVVYRGAFPNGVQVAVKILNSTLDRRAEEQFMAEVGTAGRTYHINLVRLYGFCFDATTKALVYEYLEKGSLDRVVFEHEQRRDTDLGDALYGIVVGTARGVRYLHEECQHRIIHYDIKPGNVLLTADYTPKVADFGLARLCNRDNTHLTMTGARGTPGYAAPELWLPLPVTHKCDVYSFGMLVFEILGRRRNLELQHPAVSQEWYPKWVWQKFDDVMAASGIHAQDRDKAERMCKVALWCVQYQPEARPSMSSVVRMLEGEEEIARPVNPFTYMASLHMISSSSSGDGDSAAASSNSSKEFRGTRSAVNNQAR >Sspon.03G0023780-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:96228973:96231324:-1 gene:Sspon.03G0023780-2B transcript:Sspon.03G0023780-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWPVRVRSPPVLQSKLLCLSLLYLLTTLPPALYVSFTDPGRRGCLRLLPFPSPPKPPLFRYPPGYGEHRHALPTPRALCSNPVAFADYKTAFEEIHGVCRNTSASPALRYQSGRRATFAGNFSTKERRSFFSHTDDKVAIPCGFFREFPVPEHDRLAMEKCRGVVVASAIMNDYDKVRQPRGLGSETLRTACFFLFIDDATRRVLARQGVLPARSGGGSDVHTTVGAWRVVTLGRRAGGGLLPYEDPPMNGVVPKHLLHRLFPNARFSVWVDAKVQLTVDPALLVHALLVREHADVAVSRHPFNRHTMEEAIATARWRKCGDVDGVRAQMETYCANGLQPWSPSKLPYPSDVPDTAVIIRRHGVASDLFSCLLFNELEAFSPRDQLAFAYVRDHMSPKVSINMFEVEVFEQIAVEYRHNLKPGGGSGRGKQHRMASSRDIAGSSCERYLLKMWGESAD >Sspon.01G0004790-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:11369733:11372380:-1 gene:Sspon.01G0004790-2D transcript:Sspon.01G0004790-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEEDEDQPPPKRPTSASPPADQVLDNVLETVLQFLDAPRDRSAASLVCRSWHRAESATRESVAVRNLLAASAARTARRFPNARSLLLKGRPRFADFNLLPHGWDASAFRPWAAAVAAGAFPALASLYLKRIPVTDADLDLLSRSLPASFRDLTLHLCDGFTSRGLASIASHCRGLRVLDVVECDMAEEQEGVVDWVAAFPPEPTNLESLSFECYEPPVAFAALEALVARSPFLSRLGVNLHVSLGQLRRLMAVAPSLSHLGTGSFRPAEGGEEGTGFGEVVNAFVSAGRARTLVSLSGFRDLAQEYLPTIAVVCAHLKSLDLSYAAVTPNQILMFIGQCYNLETLWVLDSVRDEGLESVGISCKKLQSLRVLPLNAHEDADELVSEVGLTAISRGCPALRSILYFCQTMTNAAVVAMSRNCPELKVFRLCIMGRHQPDHETGEPMDEGFGAIVQNCNKLTRLSTSGQLTDQAFEYIGRYGKSLRTLSVAFAGNSDVALQYILQGCSKLEKLEIRDCPFGDAGLLSGMHHFYNMRFVWMSGCNLTLQGCKEVAQGLPRMVVELINGQADENERNESVDILYMYRSLDGPREDVPPFVKIL >Sspon.02G0016360-2P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2D:38515774:38524125:1 gene:Sspon.02G0016360-2P transcript:Sspon.02G0016360-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MACRPPCPCPCGWSCFCMLFFLGLLLAEAVHGASAPPTPSLAPAEAAETVFLQSPFAVRVLRRIAVRLCVSSWDFTAGAGPCDHGDSGVHCDCTFSNGTACHVTEIFLKGQNFSGELPPDFADLPNLLQLDLSRSLFHGGVPDQWARMKLQGLSLMGNSLSGPFPMVLTRITTLTNLSIESNEFYGPMPPEIGHLIRIEKLQIEGSLLEGPIPSSLSELTNLSDLRISDLRGSGSSFPDLSRMTSMNKLDLSFNKLSGEIPPSFASMGAVDYISTGNFMDDNINDDDYIATSASTLVVPNSDLYTKARLSPLSLTYYGLCMLSGSYTVNLHFAEIVFTNDSTYYSLGKRRFNVFIQGRMVLEDFDIEQSADFLIPLAVEPPKAGTSKKRSSRASIALIIGIPIVAIFAALIVSIYCIIKKQRKSSMHKELRALDLQIGSFTLRQIKAATRNFDAANKIGEGGFGSVYKNSDYVYGMLPLCPVEQYRLRMDWGTRHKICLGIARGLAYLHEESAIRIVHRDIKASNILLDKDLNAKISDFGLAKLNEDDHTHISTKVAGTIGYMAPEYAMRGYLTDKADVYSFGVVVLEIVSGKSNTNYRPKEDFVYLLDWACVLHERGTLLELVDPDLGSNYSTEEALLMLNVALLCTTAAPTLRPKMSKVVSLLEGSTPLQPLLSDLRLAANSLGSSGVRRNFWQNPSESQSLTGQASCSDTNESSTIDIDGILRPLVKPYAF >Sspon.03G0042720-2D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3D:38833049:38833762:1 gene:Sspon.03G0042720-2D transcript:Sspon.03G0042720-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLAATLMPYPHSAPLYLLYDSASIANASHGLAAPPPLPLLGDDDDGFEFATMTALNGGGAALRACASDVSAAAFADELFHAGALLPLSLPPRLQRPAYSAGPASAATSPTMSSSAASASCRSSRKHRGFDPFTAALEKVRRDGGAPVTVRRARSLSPLRGAAAAAAVTAQTNSGGGDSRAAERRARKGKGRGVRHLLCRVLMASAAAAPKALWPRRKDGGVSYRPGLLVCLGYGV >Sspon.02G0014560-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2B:36578988:36580167:1 gene:Sspon.02G0014560-2B transcript:Sspon.02G0014560-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MELFAGRGPTAAARGDQGGGYRRFPDPPTHHARAEEDSSMLVIRDALLSQLQKDRLRQEIILAELAKIERAMALRAADAEGANPVAPFCFLEETPHSREAVGPADHGIVAADVDNDLKKKKDGVRAGVELESQKLVVEDRVRECLKTSCGAGNAAGQQNAALDECKLQEPNETTLPKKTTSSSVKWSCDICRVGAPTEGHLQQHFAGQKHQSKVATLVSRNNASRQKAKAAAA >Sspon.07G0006440-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:14824786:14829134:1 gene:Sspon.07G0006440-2B transcript:Sspon.07G0006440-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding YAAPAPAQARSHELDPIGSEEGASSLRSRGAPRQFVVTAAAGETQAERVAAEMVRYALGGAVHRSSPEEAMRILEQGASNLQGGGEGSAEAVGLLMLAMSTLLYRSGRRQDAMEKLKATQQFAPSAAFRAWESLMGLRMEAGQEMSYLISPNDLVDLSIKDDSKWSDQNHLKFRVNAIRGLVALLNGETESENAAISYGEYLHCVGDFQMAAQVYEKILEAFCMDDMSGNLLAAGNMVPEEASLGATCSYGQLLSHSGKFAEAEDYLTRALQKAEEQFGANHPKVGIILTCIARMYKMKAKSEGSTSIMVQEGLYRKALEVLKAPAINSEGTSKQVDWRDIISLARGEYAELLLIQSNRKAEGERMKQWAEDAWRSRRLTLTQALEFSEPSKPTLVVDTRIGRVV >Sspon.08G0027420-2D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8D:47499812:47500126:-1 gene:Sspon.08G0027420-2D transcript:Sspon.08G0027420-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPRFDFAASVLLCSEDSTTIFDLEEEEREGILCVLRPSPRHANAPSGALSIDFPLQSESCIEAFLGREEGHLPMEGYAERLLLQQPGGSDLVAIRNYAIDWIWK >Sspon.06G0028150-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6C:2587762:2590085:-1 gene:Sspon.06G0028150-1C transcript:Sspon.06G0028150-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LARKHEINPEYRTPTRNHIKFPEDPTALRKPLNCVNGMNKKAVKIRRLDELPSNKPLNCVNGMNKKTVKIRRLEELPSAPLSNVKRSSCTATLLSRMYEFAQKAAAGITMEDVKKKLVVPSTHAPYLQNADNITLEKVEESVEAVKDALHMLENGASIAAAKSVCPPHVLFQLVKWKNKLNVFLAPFLHGMRYTSYGRHFTKLDKLQLLRMNFTSGVWPELEEFPISLL >Sspon.08G0027320-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:45536757:45544609:-1 gene:Sspon.08G0027320-2D transcript:Sspon.08G0027320-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPPPATVLQIPNSHTNSVPVRSPTIITAPLMPSEVADAESTAMPSAPNPGTATDKVMASTANLAQLLPTGTVLAYQALSPTFTNHGSCYPVNKWLTAVLVGVLAVLSLFFSFTDSIIGRDRKLYYGVATPRGFNVFNFSGEEEERGWALGELQRLRLRPLDYVHAFFTAVVFLTVAFSDVGLQRCFFSNASDNTNELLTNLPLGMAFLSSFPSSVRIQMSSPQTSNTIAPPTPKGGGADDNSPTTLPVMAAAGSGTMADKVMTSASNLAQLLPTGTVLAYQALSPSFTNHGTCTAANQWLTAVLVGVLAGFSLFFSFTDSVVGSDGKLYYGVATPRGFNVFNISGEEEEREWAPGQLRRLRLRPRDYVHAFFGAVVFLTVAFSDVGLQKCFFPNA >Sspon.02G0006670-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:20094661:20095023:-1 gene:Sspon.02G0006670-1T transcript:Sspon.02G0006670-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCCLERSRGGGGGGGNAGAVHYARTNPVWVEDDDVGDRKEEARKGEGAGAPAAATEVKIRITRKQLEELLRRVEDGKHGGGGGAPVQEVISELLCVASTSSNFRHRAEGQWRPSLQTIP >Sspon.03G0014900-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:70022729:70025989:-1 gene:Sspon.03G0014900-2B transcript:Sspon.03G0014900-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VLADSDCFSVKASALAFYILSTLFVNNFVIIFVITVLLAALDFWVVKNVSGRILVGLRWWNEINDEGESVWKFECLDGESLARMNKKDSWLFWWTLYLTAAAWIILGIFSLIRLQADYLLVVGVCLSLSIANIIGFTKCNKASKVWFTICKVDRCQEEHPGLDNECPSIRERQVASAVSIWCLNNNLLLRPSYTYSAVQFTDNM >Sspon.07G0021590-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:4140115:4142528:-1 gene:Sspon.07G0021590-2D transcript:Sspon.07G0021590-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASRSSSPNSMSKWSPKENKMFEQALAYYGEGTPNRWDKVSSAMGGIKSAEEVRCHYEDLDYDVKMIESGHVPYPQYKTQGFWTRAPLLSMRRHMYHAPTDRYGLHRSHNAAISSGLGSALSLYSSCTPLRTPRSPHGSTSGRCSEKIMNMWTVHSPTPLTLVSSARSAASSIAATAESDSSPAANLAARSWR >Sspon.02G0018390-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:54701154:54702706:-1 gene:Sspon.02G0018390-4D transcript:Sspon.02G0018390-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNFGFSSLRSDSTGSRKSSLPRRVLSIGAISLAGGLVLSAVNDLAIFNGCTTKAIEHAADNPAVVEAIGAPIVRGPWYEASLEVGHQRRSVSCTFPVSGPHGSGFLQIKAARNGEDGLLSFLRHHDWEILILEAHLHVPSDDEEQKRLVKVNLASDGRGEDEDPESEC >Sspon.07G0015330-1P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7B:59425896:59427916:-1 gene:Sspon.07G0015330-1P transcript:Sspon.07G0015330-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LPVPESDVLLLPLPEIREAWCRESSWIGYVAVATDEGAAELGRRDIVVAWRGTVKDLEWANDFTFTPVSAAPVLGSAAATANPLAVVHQGFLSVYTSSNANSKFNKASARDQANPLVIKPPSMFGSVSVRTFGRTLASGRRRSLPLSANFFLVPHVHLTQSQRPHVWMTRLARAARPVYFNSRPFAPPYTRTPPPSRPDRRPPARRTQFPNAQAMPPSLPAPLTRQTT >Sspon.04G0033460-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:58359102:58362451:1 gene:Sspon.04G0033460-1C transcript:Sspon.04G0033460-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQHSFSSSNPFSSTTPPPPFLDGKQALTAASGRVLAATVSLAIYSLDGALFNLTSLQRLDLSKNDFGGSRIPAAGFERLSAI >Sspon.01G0051810-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:18482788:18484966:1 gene:Sspon.01G0051810-2P transcript:Sspon.01G0051810-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLYKPTRPRLLSSPSASCSLSLASGAQSSLEASRPVSPLLLLSSAAALAVALQSARLELLARSSPQSPDMEFEDRCHKVQEPKFDCLLFDLDDTLYPLSSGIAGHVKKNIEDYMVEKLGIDESKIENLGNLLYKNYGTTMAGLRAIGYSFDYDEYHAFVHGRLPYDNIKPDPVLKHILKNLRVRKLIFTNGDMVHAVRALERLGLEDCFEGIICFETLNPPCPPQGDQEPEIFDIAGHFARSGTADELPKTPVLCKPNVDAMEEALRIANVNPHKAIFFDDSVRNIQAGKQIGLRTVLVGKSQRVKGADHALESIHNIREALPELWEEAEKAKEDVLYAERVAMETSVTA >Sspon.05G0030930-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:11897830:11900453:1 gene:Sspon.05G0030930-2D transcript:Sspon.05G0030930-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARHEASASASAAAAAPGVDFHLPDEILAVIPTDPYEQLDVARKITSMAIASRVSRLEADAARLRRDLADRDRAEAELRARLADSDARLAAALDENAKLAKERDSLAATTKKLARNLAKLEAFKKQLMKSLSEDNLLQLSETSQDHNGEDNLTARVPSWKDEVSSSNTSSDMSSRSTMTESVHGGGYKFSITSYMPPKLTPGSTPRISSSSGSPRAYSTGPPSPKFLSGPTSPTKTRSEGQLTFSSWQGSSSHQYSAPTSPPQRHSFTGRPRIDGKEFFRQARTRLSYEQFGAFLANIKEFNAQKQSREDTLSKAEEIFGTEHKDLYISFQNMLNRNQS >Sspon.03G0016510-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3A:52218638:52220365:1 gene:Sspon.03G0016510-1A transcript:Sspon.03G0016510-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDKKSEILNDCKPGTNHRTLTPERLAFSAVRSAPLLAPHRRSPAPASSLVHAASKCRATARTGSGKRQKPCTTHEIEKIASVDESRKGSKTETNRWTQGRHRPMQMQQPRRPGRSYVMSPTERSQTISTQQPTAAGSSRPALQHATQGPKANGKPSRQ >Sspon.03G0021520-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:12850095:12851506:-1 gene:Sspon.03G0021520-1A transcript:Sspon.03G0021520-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPYGKEAKEALLKLVQGKSLKEQMLKKGFAWHYTAYDQRPELAKWEKQAQTGRKGLWASSKPQKPWEWRKDKRNGTA >Sspon.01G0012280-4D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8D:8304167:8304496:1 gene:Sspon.01G0012280-4D transcript:Sspon.01G0012280-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMLRWCCGHTRRDRVRNDDIRDRVGVAPIEEKLIQHRLRWFGHVQRRPPEAPVRSGVLKRADNVKSGRGRPKLTWDESVKRDLKEWNISKDLAMDRSAWRLAINVPEP >Sspon.08G0005470-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:16628184:16633092:-1 gene:Sspon.08G0005470-1A transcript:Sspon.08G0005470-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:nudix hydrolase homolog 10 [Source:Projected from Arabidopsis thaliana (AT4G25434) TAIR;Acc:AT4G25434] MAAHHSLSLSLPTIVTRSRVPLALRRHHLLLPHRRVAARDRARRGLASASSPSRARPLGLGGRPARPRAATLGYGGRSWSGGRGHRAMSTSTNSTVAEELSVAEKSGDIEPLPFVHDKHGGVIIEMTTPMDPGVFSASLKAALARWREQGIRGVWIKLPITLSNLIPSVVEEGFWYHHAEETYLMLAYWLPNTTHTLPVNATHRVGVGAFIMNDKREILAVQEKSGVLRGLGVWKFPTGVVEPGEDINVGAVREVKEETGIDAEFVEVLGFRQSHKSFFDKSDLFFVCLLRPLSYDITKQDSEIEACQWMPIEEFAAQPFLQKHELAKYILEVGLAKVDKEYAGFSPISIKSAFTDKLSLFYMNRRDLDRASG >Sspon.04G0005590-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:16431487:16435523:1 gene:Sspon.04G0005590-4D transcript:Sspon.04G0005590-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding QEIDQLELGKHLPVLAVHISLDLVISEGENLHKATRIPQQWIGYFCLTTCLHRFKLIKEVGDGTFGSVWRAINKQNGEVVAVKKMKKKYYSFEECMSLREVKSLRRMNHPNIVKLKEVIRENDILYFIMEYMECNLYQLMKDRVKPFSESEVRNWCFQIFQALAYMHQRGYFHRDLKPENLLVSKDVIKLADFGLAREVSSLPPYTEYVSTRWYRAPEVLLQSSAYDSAVDMWAMGAIMAELLTLHPLFPGTSEADEIHKICKVIGSPDEQSWPQGLSLAEAMKYQFPQIKGSQLSEVMTTASSEAVDLISSLCSWDPSKRPKATEVLQHTFFQGCTYVPLPVRPKAPKTPPCVGAKGISENSVARRFSTGTLSTMKSHSSASAKLNGLSKTGVQRKLHLDRQSSQKSTKPTENSNKLTTNRVPARNSPGNPVLRHSRSLPETGRGAIQKVSSITEKLSQMSVTSRTRSTVKPAAPMMKAGHGKSDFLGKSDDIPPAKRLTRKLVS >Sspon.04G0015610-2T-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4C:64397552:64398946:1 gene:Sspon.04G0015610-2T transcript:Sspon.04G0015610-2T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGHEAGDCVGEGEEPPARELPDDITDEILLRLPSRNALARAGAASCAFRARVSSPRFLRRHRSLHRDPGSLLGVFTFPLVPDGARGGGGGTGFHPAEPPHPAASAAADVAAAADFSFGFLPAAAADPSAAAGSEAAAAVAAAEWMVRDYRDGRFLLDRVPAATGSTVFTELAVCDPLSRRYVLLPPIPDDLADTVHSVLTVFGGRRACEPFLAPADAEACSADDVDADPPLTVFWTARSPRKMIAFAFSSRDGRWRALESPHCFVWRRHRSPFSCPISAVWNRRHYAHGRFYWVDCLTSRWLVLDARTMELSLEVIPSPAGYWEEHVAVVEAPDGKLGVFAHGFHHPGGKANLHYYTIVHDTEAGGSDARRWQLEKTIPLPWPSDHRPFCLRGTANGRLIIEVSEEKPVFMASHRVRDAELFKIDVKSFQLQKICRARCAGSAAGECCWPYFGFPPSLSLPTV >Sspon.04G0010570-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:32481889:32485346:-1 gene:Sspon.04G0010570-4D transcript:Sspon.04G0010570-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding EGAVFSYSRGLRATFHLASPNPPPRPTIHLLRWSSNSSSSVHPILGGGGKREQAMTSSGTAAQQLPRTEARSLSGHEGAVLAVRFNRDGNYCLSCGKDRTLRLWNPHTGAHVKTYKSHAREVRDVHSSSDNAKLVSCGADRQIFYWDVASGRVIRKFRGHNSEVNSVKFNEYNAVVVSAGYDRTVRAFDCRSHSSDPIQTIDTFQDSVMSVNLTKTEIIAGSVDGTVRAFDIRMGRETVDNLGHPVNCVSLSNDSNCLLANCLDSTVRLLDKSSGELLQEYKGHTCKSFKMDSCLTNDDAFVVGGSEDGYIFFWELVDAPVVARFRAHSSVVTSISYHPTKACMLTSSVDGSIR >Sspon.05G0025680-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:22972551:22973825:1 gene:Sspon.05G0025680-1T transcript:Sspon.05G0025680-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNLRLVTRLPQQLQLQIDGETLVASAIDAERRRAFFASSANFIYTVSLPASFSREQQPLQWSKIATQHSDMEEVVLDPGDCVVAMDYLMERESLLLGSSAGCLLLYNVDEKTTEVVGRLEGGVNTIASSPDGALLSVTTGLGQLLVITQDWEVLFETSLDPQDATIDNKDSTGGHIRSAISWRGDGKYFATLVAPDSSCSPTKLNVWERESGKVHSSSDAKTFMGASLDWMPSGAKVATALDRRTEGTCPLIVFYEKNGLERSHFSIDEPSEVVIQALKWNCNSEILAALVSSGQHDLIKIWTCRNNHWYLKHELRYTKEEG >Sspon.01G0013440-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:37925370:37928080:-1 gene:Sspon.01G0013440-3C transcript:Sspon.01G0013440-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAPTPTPRALPQHTARRVASSRLQGRHPRSPTPAPPRHHLHPQHKQQGPTAPASVLGVGVPRVDWLSQRTPVLGLRAWVLVAAGAAAAALALLCLIVCLCRRCSRRRRTPRLAPCPHRPGAIKSLKHRVHAHHQHQAVAMPPPPPANKDVEEAAAARWRPAASFEQPPIEVIKAEQKAPLILAEHFARTSGEETATSGGGGGDGDSSTESDHGGGRDDAEVPEAAARCGWGRRYTRREIEEATGGLAAANVIGEGGYGVVFRGVLRDGTAVAIKNLHNNRLASSLRFEICPVGSEAGQAEKDFRMEVQTIGRVRHKNLVSLLGYCSEGACSTWRTVIWISGCTMMTVNLVEWLKRMVAERRVEEVLDPRLPEPPPSKALKRAVLAALRCVDPDGGQRPTMPHVVHMLEDDQILRDEFKLARDLSPRESDSYERERSR >Sspon.01G0041810-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:55223536:55232422:-1 gene:Sspon.01G0041810-1B transcript:Sspon.01G0041810-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPAVRERGRAALRRSGHSAEAVCTAQGAVVLRCGRVGMDGMAENIVQFHDNKNMRVALKPSAAALLYVFSDFSIAAMAELMIGPLVPLLKDKVSSYLLDQYKVMQGMEEQREILARRLPAILDFIDEAELGPSRPGVSSRLQALKKVSYEAIDVFNEFKYEALRHDAYKKGHYNMLGVDVVSLFPARNPIVFCYRMGKKLRKIVQNIEVLVKEMNDFGFTQRPQAPRPKQWRKTVSVMVDSDKDITNLMWLILQKAYATQMSKFVRNHCRISGKKLVERDTSLCWTTYGIKTLMSGEKLKTCLKQGGQGSVVLTTTRDVEEIIKTRAFSLQKLNSDEVEDIIDKIVARCDGSPLATKAFGSMLSTKTSVREWNDILTKSNICTDDRNRILPILKLSYDDLPSHMKLCFAFCALFPKDYEIDVDTSNYGWHMTSYRHKMKTIQKLPAEKLLWS >Sspon.03G0039370-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:13655476:13660556:-1 gene:Sspon.03G0039370-1C transcript:Sspon.03G0039370-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LRVDPLAADGLVNRGNTLKEAGRVSEAIQDYLQAAAIRPTMAEAHANLAYAYKDTGLLDSAIVSYKQALQLRPDFPEVTCNLLHTLQCVCDWDDREEKLIKMSLLPSVQPFHAMALPIDPTLALEISKKYADHYSSVALRFGLPVFTHPSRTPIKTDDRTSRLRIGYVSSDFGNHPLSHLMGSVFGMHNKDIVEVFCYALSRDDGTEWRQRIKGEAEHFTDVSAMPSDMIAKVINEDKIQILINLNGYTKGARSEIFAMQPAPIQVSYMGFPSTTGASYIDYLITDEFVSPLQYSHIYSEKLVHLPYCYFVNDYKQKNQEALDPVCSHKRADYGLPEDKFIFACFNQLYKMDPDIFDTWCNILKCVPNSVLWLLRFPAAGEMRLRACNIPPLIFSLVQIMPSHLHFPNFALLTDAISKGVRSDQIIFTDVAAKTEHIRRSVLADLFLDTPLCNGHTTGTDVLWAGLPMITLPLQKMATRVAGSLCLATGVGEEMIVNSLKEYEERAVFLAMNPSKLQALTNRLKAVRMTCPLFDTSRWVPDDEDEA >Sspon.04G0026090-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:36360914:36361762:1 gene:Sspon.04G0026090-1B transcript:Sspon.04G0026090-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding TMAQAQPRRQDDPLQAQQGNKAQQDEDLQPEEAAIRYGHVFAVSGDLAGQPIAPRDAAAMRSVEDSVAGVQVPQGAGGGFSAATAMETAAAYNQAVGAVHPGQASDTAAMHGITVTQTAVPGGRIVTEFVAGQVVGQYSVADPPPPVEEDATKITIGEALEATARAGGGRPIDRADAEAIRAAEMSAHGADVAMPGGLGDQARAAARANAQATRDGDKIKLGDVLSDATAKLAGDKAAGTEDATRVVQAETFNDDEARAKAGGVGAALTTAARLNEDNDLCDT >Sspon.01G0015610-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:50644980:50650259:-1 gene:Sspon.01G0015610-2B transcript:Sspon.01G0015610-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGACYRRPSPLQRHQLLLLPAVLVLLVTVGAAAAAAAKGAGRNVITHIKGFEGPLPFHLETGYVEVDEEHGARLFYYFIESERNPAEDPLILWITGGPGCSALSGLLFEIGPLKFDVAGYTEGFPRLVYFEDSWTKVSNVIFLDAPVGTGFSYSVEEAGLNVSLTESGRQHHVFLRKWLAEHPEFASNPLYIGGDSYSGYTVPVAAMDIAASPPDPEKPNLVGYLVGNAGTDNRYDTGGKVPFMHGMALISDELYEAARLGCGGDFYKTPDPTNAACASAMLAINMVTFLVNPVHILEPFCGAAVRVGSIFQGYGSGEGGGRRSVLVQDDVSHPGFFAKQRLNLPVECRDNGYRLSYIWADDPEVRETLGIHEGSIGSWSRCTTLLHFRHDLDTVIPYHVNLTKAGYRALVYNGDHDLDMTYVGTQEWIRTIGYPIVSDWRPWFANRQVAGFTRTYAHNLTFATVKGGGHTAPEYRPKECQAMLDRWTSAAGQL >Sspon.08G0012560-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8A:53762333:53764014:1 gene:Sspon.08G0012560-1A transcript:Sspon.08G0012560-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTGGAALVAAALAVVVVTRLWTVLLHLVWRPYAVARAFARQGVRGPPYRVFVGNSKEIQAMRAATSGHTLDITSHDYIPRVMPQYRAWMSLYGKVFLTWSSSTPALFVGSYDMVKRVLSDKSGLYGKPDPGPTILSLMGMGLAFTNGDDWSRHRRVVHPAFAMDKLKSMTGAMAACAAEVIRAWEARAAASGDGEGVTVEVGHQFTELTADVISHTAFGSSYRQGKEVFLAQRELQFIAFASINSVRVPGMQYVPTKANVRRWQLERTVRGTLMAIIGERLAAAKESRGYGSDLLGLMLEANAAGDDGGKRQQAMTMDEIIDECKTFFFAGHDTTSHLLTWAMFLLGTHPEWQQRLREEVIRECGGSEVPLRGDALNKLKLVTMVLYETLRLYGAVPMIARTTTADADLCGVKVPKGTLLLIPIAMLHRDEEVWGADAGAFNPLRFRDGMGRAAAHPNALLSFSLGPRSCIGQDFALLEAKATLALILRRFAFEVAPEYVHAPVDFLTLQPSKGLPIVLKLLD >Sspon.07G0021660-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:3876398:3881576:-1 gene:Sspon.07G0021660-2C transcript:Sspon.07G0021660-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVRLGFVVVASVAALTFQRANSGGRHNKGTKSCSELSSIGFFCSFEFFIQASLLSDNGQARKREDKARSSEHEEVKTISGIINSARSLDDDDDDMLSEIESLLSGDIDIPIPRDRFDVNGRSRYNAYMVNEASEIERLHSLVREMEEREAKLESKLAYMANEVSEIERLRSLVREMEEREAKLEGELAYMANETSEIERLQSLVREMEEREAKLKGKLAYMANEASETERLRSLVREMEEREAKLDGELLEYYGMKEMETDVTELQKQLKVKTVEINMLNDTINSLQEERKNKTVEINMLNDTINSLQEERKKLQDDVAHGEVAKKELEVARSKIKELQRQIQLEAGQTKGQLMLLKQQVIGLKAKEEEAAKKEAEIERKLKKLKELEVEVLELRRKNKELLYEKRDLIVKLDAVEGTITESDVVANAREEINKLRHTNEDLTKQVEGLQMNRFSEVEELVYLRWVNACLRFELRNYQTPSGKVSARDLNRTLSPKSQERAKQLMLEYAGSERGHGDTDIESVSSMPSSPGSEDFDNISIDSSSSRYSFLSKRSNLMQKIKKWGRSKDDSSSLASPISGSPLRKPKGPLEALMLKNAGDGTAITTYGKRDPNDALDDENVASSFQLMSKTVEGFAEEKYPAYKDRHILATEREKAIKEKAGQARAQRFGGGHSSALISSPKGALPPKLAQIKERSPAANAESSEQSSDNQNNTLVVSQMKLANIEKRATRVPRPPPPRSTTTLGATNTASGVQMPRAPGAPPPPPPPPGKVGGPPPPPPPPGALPRNLGGGDKVHRAPEIVEFYQSLMKREAKRETTLGSMSSNVSDARSNMIGEIENRSTFLLAVKADVETQGEFVESLANEVRAASFVNIDDVVAFVNWLDEELSFLVDERAVLKHFDWPESKTDAIREAAFEYQDLMKLQNKVSSFTDDPQLACEETLKKMYSLLEKVEQSVYALLRTRDMTVSRYKEYGIPFDWLSDSGVVGKIKLASVQLANKYMKRVASELDGLEGTEKEPNREFLLLQGVRFAFRVHQFAGGFDAESMKAFEELRSKMTTQTSAPQISEG >Sspon.04G0002590-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:7842330:7842812:1 gene:Sspon.04G0002590-1A transcript:Sspon.04G0002590-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCSSGTLHCKGSYAPRGAPLSYLFAGSPAIIANLWDVSDKDIDRFSKALLNSWLHDSSLDGNNCSKCCQLTKEFESMSIASKEKGRARRRGTRGNKQQQISDSTKCCSCRQRRIASYLSEARRACKLPLLIGASPVCYGMPTIIKKKVMMDSATRWEMT >Sspon.02G0014820-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:42504444:42512248:1 gene:Sspon.02G0014820-3C transcript:Sspon.02G0014820-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAREARARAASPLTDSAPAPTSKGKINEAYKKLQDGWLDNGDKVPPAEFAKVAQEYSECPSGKKGGDLGWFPRGKMAGPFQEVAFNTPVGAVSAPFKSTHGYHFILCEGRKN >Sspon.01G0021990-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:79785794:79793455:1 gene:Sspon.01G0021990-3C transcript:Sspon.01G0021990-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MENTRPCGDFGKIMDDLESENPIANVAGTNHASALISEGKIPEPQFLCRLISGLASNLENPDHEFAIKRKVYKTLVAIREAIISNHMNMDSSARNKALHAIWCCSMDEGLRCLQDHASMVRMWTVQGQLVAVKMTRDAFRLSPASINNTRCVTTFASLMLSPYTTVVRACEDALLSLPPIPGFAFAIARAYCHILIAMPPQSSPQICSVVAMLGRLNQISMTTVEVDHPGFDDLAADVLKCLANCKLVVQKKVLNLVAGLLTPKNVCDVLGILNSELVTAASGNIHIEYQQMLEKAIRECHSAYPESIPEFTLDPKYAVFTDCIRYIMDIVNNNPLLRSQLLKGLLRTLRHVKSPLVCAAAVWIISVFSESLAEAKDAIAALSCLFKDLLDRRKIEKQILGSEMEDEYVLPTEYCGVTARGAQGERQQPWLMEMEELLFVRIGLALQADGSYDIASSSKSSASSANGYPHKPSLELTDNLAFLVHSGDALLADFVENSADPS >Sspon.03G0013120-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3A:36543758:36547475:1 gene:Sspon.03G0013120-1A transcript:Sspon.03G0013120-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGRAAQPTGRADAHSTRTCEATTGRDSASVRLESRTTCESLVRKLGRKRPWTTKELLHIAMSHASGEETVRAIFDHARGKAKRDEDAGLGASNRSKNKKKKRGKQRSGDLLVAVTERMGKKVPTEGTSDYFEKMLEGPYTNHAYPIKHAYKDYGLMKKFMSRGPKKGDGKKKPNLLRDDAEEKEDTFPEETGCPMIFGGPAAYDSKRQQKLAQREVYVTEPATPAFFRWSRSAITFDRSNHPNSVLHPDRYPLVVDPIIDKKCLSKVLMDGGSGLDIIIMLGKQAKPLGQIDLPVTFGDKSNFKTETLTFEFMAVPNYTYLKLKMSGPHGVITIGTSFQHAYECDLECCKLVAVTIASEELAATRVATVEEAPDSKRPACSFEPAENTKEILVNPASSGDKNIKGVQQIMGCLAALNRFISRLSMRGLPLYRLLKKTDRFVWTVEAQEALNKVKELLTKAPVLVPPAEKEPLLFYITATTQVVSAALVVERQEEGHTCR >Sspon.07G0011940-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:42837909:42840836:-1 gene:Sspon.07G0011940-1A transcript:Sspon.07G0011940-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIKQSSFTPRVLADLSLLFFILLAPVCLATPDTAPAALLQVKSGLTDPEGVLSGWSLEADVCSWHGITCLPGEVGIVTGLNLSGYGLSGVMPLAISGLISIESIDLSSNSLTGPIPPELGVLENLRTLLLFSNSLTGTIPPELGFLKSLKVLRIGDNRLHGEIPPHLGNCSELETLGLAYCQLNGTIPAELGSLKQLQKLALDNNTLTGGIPEQLAGCIGNLSSLTYLNLLGNSLTGAIPVELNRLGQLQNLKYLVLSGNLLDGAIPEDLCAGDSSSLLENLFLAGNNLEGGIEALLNCGALQSIDVSNNSFTGVIPPNIDRLPGLVNLALHNNSFTGALPPQIGNLSNLEILSLFHNGLTGGIPLEIGRLQKLKLLFLYENQMSGTIPDELTNCTSLEEVDFFGNHFHGPIPETIGNLKNLAVLQLRQNDLSGPIPASLGECKSLQALALADNRLTGALPETFGQLAELSVVTLYNNSLEGPLPESLFQLKNLTVINFSHNRFAGSLVPLLGSTSLAVLALTDNSFSGVIPAAVARSRNMVRLQLGGNRLTGAIPAELGNLTRLSMLDLSLNNLSGDIPAELSNCVELTHLKLDGNSLTGTVPAWLGSLRPLGELDLSWNALTGGIPPELGNCSGLLKLSLSNNHLTGSIPPEVGRLTSLNVLNLNKNSLTGAIPPSLQQCNKLYELRLSENSLEGPIPPELGQLSELQVILDLSRNRLSGEIPASLGSLVKLERLNLSSNRLDGQIPSSLVQLTSLHLLNLSDNLLSGAVPAGLSSFPAASFVGNELCGAPLTPCGPPSPARQLSGTEVVAIVAGIALVSAVVCVALLYTMLRVWSNWRAVSISNSDGEESAHGGGHGSGGGKWGDGKYWKVGSPVSWSAEQRHSSVSETASVLHDKSTEAAGAGKS >Sspon.07G0003490-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:8028727:8029527:-1 gene:Sspon.07G0003490-2B transcript:Sspon.07G0003490-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALTANKCVLLSLSAVLLCCLSGGASAMMSSLPPPPPTVNFSIGVQGVVWCKSCRYPGYFAPMDASPLPGAKVYLRCKHGRRAVTVAGQSGPGGYFLIQTSQQVSAFTSQQCRVYVPRSPVRACGVPAYPAGRKGLPLKFQEFVKRDNGLQGMYSVGNRLFRPKYPGKCY >Sspon.02G0003440-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:11233753:11234548:-1 gene:Sspon.02G0003440-1A transcript:Sspon.02G0003440-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAGSASQNGKTCLYLPGTWAPASKCDAPHGHRLGGNWERTKTEGHTRVRFLVRAGEGLRCRLKAAERGCRTQDCGVRCAGAEKSGNCKRDPLIESPFKGSSARAPPSMEPEHFARSPKKQLLLRTVKMAMTPLPWV >Sspon.03G0037420-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3C:93391183:93396391:-1 gene:Sspon.03G0037420-2C transcript:Sspon.03G0037420-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chlorophyll b reductase, Leaf senescenc [Source: Projected from Oryza sativa (Os01g0227100)] MAAAAVAHLSVHGRLRRSLEHSSSPAHRPSLLRCRAFKQEADGGDRDSDPSEPDARKRRKGPLYKLKAAIQGLAGSRSAAAEVYGGEHQYQRAVEKAEEIFFSVSTAPHPHRPPFSNPCAVARAHCAYLFAALSHPITVLGSVATQLGRYAITMMSSGVVLGVGFQLSGSPESVLQTIKELEENIQEGLSVAKKKERKILSHAKVIGTACDVCKPEDVKKLVNFAINELGSVDIWINNAGTNKGFRPLVTFSDDDITQIVSTNLVGSLLCTREAMNVMQYQEKGGHIFNMDGAGSGGSSTPLTAVYGSTKCGLRQFQGSLLKESRRSKVGVHTASPGMVLTDLLLSGSSIRNKQMFNLICELPETVARTLVPRMRVVKGSGKAINYLTPPRILLALVTAWVRRGRWFDDEGRAVYAAEADRIRNWAESRARFSFTDAMEMYTENTWVSVFSLSVVCAFIILSSSGGPLPGT >Sspon.03G0024280-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:74020680:74023952:-1 gene:Sspon.03G0024280-1A transcript:Sspon.03G0024280-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSCSCSCSTVLSSSPSTPFRARTPRPLPRRARLLLRRPGHSVLRCLPKCDSGKPVGGGAGLSARKAAGPVGRGGSRRPERFEASSCGSALATVAGVLLLQGSQQALAATQFAGLQPVDVLGDLGDISTGFASAFLLIFFSELGDRTFFIAALLAARSSGAVIFLGTFGALAVMTIISVALGRAFHYVDGIIPFGFGGTDFPVDDIAAACLLVYYGVTTLLDAASGDDEKINEEQEEAELAVSKFSGNGAGVMSAAGTIASTFVLVFVAEWGDKSFFSTIALAAASSPVGVIAGSLAGHAVATLAKLRLTHFFQQIAVLGGSLLGTFLSEKIIAYIGGSLFLAFAAITIVEIVT >Sspon.06G0006170-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:32130794:32141028:-1 gene:Sspon.06G0006170-2B transcript:Sspon.06G0006170-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RTPHPAPFCPSHCGAASAPSLSLRGIWRRLEDTEAGSAAPKNLLHPIADTPARRERVEIGVVAVFKEVVGEVDMSCVEDQDCLLEDLLGTKDMTWRPDLFSDSMAASGIETGTKLYISNLDYGVSNEDIKELFSEVGHLKRFAVHYDGYGRPNGTAEVVFTRRSDAIAALKRYNNVLLDGKAMKIEVIGSDLGLPMTPRINVIGASNGRATRTVVMTPEFSQRGRGSSSRPLSNPSNRFNNRGGFQAGRGRGQFQARGRGRGQFQSRGRGRGQFQGRGRGRKPEKTADELDKDLESYHAEAMKTD >Sspon.01G0021400-3C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1C:78548214:78549349:-1 gene:Sspon.01G0021400-3C transcript:Sspon.01G0021400-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLFPWFAWLLVALVGAYLLVLAHDRRRGLPPGPHPLPVIGSLHLLGDQPHRSFARLAKIHGPLISLRLGAVTTVVASSPAVAREFMQRHDAVLSNRTIPDALGDHAKNSMIWLPNNPRWRALRKIMATELFAPHRLDALQHLRRGKVQELVDHVGRLARGGQAVNVGRVAFITSLNLLSRTMFSRDLTSLDDDDGASREFQEVVTDIMEAVGSPNVSDFFPPLAAADLQGWRRRLAKLFAQQHRVFDEEIDGRLRSREAGEPKKNDFLDLLLDAAEDDDNTAKLDRDTLRSLFTLCVFFVVVVVVVADKLSHMSALISFPLVSPFDSSE >Sspon.04G0022860-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:13632451:13637480:-1 gene:Sspon.04G0022860-2C transcript:Sspon.04G0022860-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAGRGLLVLFFSAAVVAGLTSAANGPFLSDSVFQPSAGSTGRSLLQAKNSCPVNFEFQNYTIITSKCKGPKFPASECCAALKEFACPYYNYLNDESNDCASTMFSYINLYGKYPPGLFSSECKEGKLGLSCADVPQRDSATANSGHHAQSSLLALITVLSVVVALFH >Sspon.05G0032000-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:12597811:12610884:1 gene:Sspon.05G0032000-1C transcript:Sspon.05G0032000-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATATHHDEAPGLNGAEGVEMAEANELRRRGKPAASSPARDGAGAEDDEAAAPSVERAFADQPVPSWREQLTVRAFVVSFFLAVMFSIIVMKLNLTTGIIPSLNISAGLLGFFFVRLWTKAIESVGLLRQPFTRQENTVIQTCVVASYGLAFSGGFGHYILAMSDKIAAMVPEADNAQNIKNPQLGWIIGFLFLVRFIGLFGLVPLRKVGTTKQVKKLGTFFVLSFVWGFFQWFYTANSDKCGFQQFPSLGLQAYNNRFYFDFSPTYVGVGMICSHIVNISILLGAIFSWGIMWPLIAKKKGIWFSADLADSSLHGMQGYRVFIAIALILGDGLYNFLKISILTVVSLRSQIKKANVSTLPISDDGIVTDNAPISYDEERRNELFLKDQIPWYAALGGYVAIAAISIGTVPQIFPQLQWYHILVAYIFAPILAFCNAYGSGLTDWSLVTTYGKLAIFAFGAWVGASNGGVLAGLAACGVMMNIVGTAADLMGDFKTGYLTLASPRSMFISQVIGTAMGCVIAPCVFWLFYKAFGNIGIPGSEYPAPNAIVFRSMAVLGVDGFSSLPKICLTLCYVFFAAAITINLIRDLVPKKASRFIPIPMAMAIPFYIGAYFAVDMFVGTVILFVWQRLDRAKADAFAPAVASGMICGDGIWVLPQSVLALAKVKPPICMRFLSRAMNDKVDAFLGS >Sspon.05G0012040-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:36946932:36951560:1 gene:Sspon.05G0012040-3D transcript:Sspon.05G0012040-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPAAPPRTVICVGDVHGYITKLESLWSNLEAALPADAFATALVIFLGDYNDRGPHTRRVLDFLLALPTRHPAQRHVFLCGNHDLAFAAFVGALPPPPDGSPFSATWDEYIHNEEHEGWFRGPGYEGMHVQGRRWGGVIKERWNPKKGLPYKGSIYDAQPTFESYGVAHGSPDLAKAVPEEHKRFLHDLVWIHEEENVPIDTDEGQIICNLIAVHAGLERTIDLNEQLRVLRTRDTRVPKVQMLSGRQDVWNTPKDLTGKQTIIVSGHHGKLHIDGLRFIIDEGGGYADKPIAAIVFPSKTLIRSTEEAGTTSQS >Sspon.01G0032510-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1A:109775802:109778213:-1 gene:Sspon.01G0032510-1A transcript:Sspon.01G0032510-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLGDEAGLRVDDVLGAEARAGRDAVAVQRRLDLRRLEQREGVGARIPSAAGTTGESNRIGWVGWGGVAAALGFSSSRPSCAGEIGIGMESKWRNLNSWGAEEPSGQKAAAIWPISCTACTAGPNNRMEPSGPAQHNAPRHHPAYPFPLCRSRGKMAKQPTPRLLPAPPSHARPPPAAAANVRHSAPPPDVVLDCKRLDALIKSGRLGDALDLFDRMPRKNVVAWTTAISGCTRNGSPEAAAAMFADMLESGVAPNDFACNAALAACAAAGAGALGLGEQVHSLAVRAGFAADAWIGSCLIELYSRCGSLWAAEEVFRQMEAPDVVGYTSLVSALCRNGELERAVELLCQMMRQGLQPNEHTMTSMLAECPRVIGEQIHGYMLKVMGSQSVYASTALIDFYSRHGDFDMAETVFENLESKNVVSWCSMMQLCIRDGRLEDALRVFSEMISEGVEPNEFALSIALGACGSVCLGRQIHCSAIKRNLMTDIRVSNALLSMYGRSGFVSETEAVLGKIENPDLVSWTAAISANFKNGFSEKAVALLLQMHSEGFTPNDYAFSSGLSSCADLALLDQGRQLHCLALKLGCDFKVCTGNALINMYSKCGQIGSARLAFDVMNLHDVMSWNSLIHGLAQHGAANLVLEAFSEMCSSGWQPDDSTFLAVLVGCNHAGLVKEGETFFRLMTDRYGLTPTPSHYACMIDMLGRNGRFDEALRMIENMPFEPDVLIWKTLLASCKLHRNLEIGKLAADKLMELSERDSASYVLMSNLYAMHEEWQDAEMVRRRMDEIGVKKDAGWSWIEVKNE >Sspon.07G0026900-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7C:57531789:57536373:1 gene:Sspon.07G0026900-2C transcript:Sspon.07G0026900-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGYLVFKSVVVPTAPEVEGVSDENARRWHVVDGVQIVAWSRGQVAPIIRLRGVHERACASRGAEEENHAEGAPVAAAVIAAFSSGSAEYRRVEMGAVRGAASGWGRGAGRGLGQAAALVRQRVPDDEVQSHRAAPAWGWGGEEGAAVAAVEGEPAREVAGGGAAEGGVDGLEAGAVGYGAAHDAEEAGDVGHRVELPVAAAEVGVGEHAAPALAYDGGAEEARGVVGCDAEEDLADELVRQLSH >Sspon.03G0026500-2T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:7940094:7940855:1 gene:Sspon.03G0026500-2T transcript:Sspon.03G0026500-2T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MANKLATSLLAACFAAAVLLALATPAVLAGDPDMLQDICVADYKSLHGPLRLNGFPCKRPENVTANDFFSNALTTPGNTGNAVGSAVTSANVEKLPGLNTLGVSVSRIDYAPWGVNPPHVHPRATEVIFVLQGSLDVGFVTTANRLYARTVCVGEVFVFPRGLVHYQRNNGGGAAAVLSAFDSQLPGTQPVAEALFGASPPVPTDVLARSFQVDGGLVEAIKSKFPPK >Sspon.04G0018970-4D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4D:77146420:77150844:1 gene:Sspon.04G0018970-4D transcript:Sspon.04G0018970-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Trivalent AI influx transporter, Aluminum (Al) toleranc [Source: Projected from Oryza sativa (Os02g0131800)] QPKWRKFLAHVGPGALVAIGFLDPSNLETDMQAGADFKEASAELCREEYPRFVNICLWIIAELAVISDDIPEVLGTAFAFNILLKIPVWAGVILTVFSTLLLLGVQRFGARKLECIIAAFMFTMAACFFGELSYLRPSAGEVVKGMFVPRLQGKGAAANAIALFGAIITPYNLFLHSALVLSRKTPRSVKSIRAACRYFLIECSLAFVVAFLINVAVVVVAGSICNAGNLSPGDANTCSDLTLQSTPLLLRNVLGRSSSVVYAVALLASGQSTTISCTFAGQVIMQGFLDMRMKNWVRNLITRVIAIAPSLIVSVVSGPSGAGKLIIFSSMVLSFELPFALIPLLKFCNSSKKVGPLKESIYTVVIAWMLSFALIVVNTYFLVWTYVDWLVHHSHLPKYANALVSIVVFALMAAYLVFVVYLTFRRDAVATYVPVSERAQAQAEDGTGAQAVAAADDADQPAPFRKDLADASILQQPAVQLCPGTQPRPQASRLPPAPLPVGFRDAKATSVSGEPESRGGR >Sspon.03G0012500-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:34613937:34619377:-1 gene:Sspon.03G0012500-1A transcript:Sspon.03G0012500-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEVEDDAAAAGAPLVANGAADVRRRRDQAKAILSKQAVKIATKAEQHERFIFKVTHLLGVLGFGGFCYLLGARPQDVPYVYCLFYVIFVPLRWIYYRYKKWHYYLLDFCYYANTFLLVMILFYPKDEKLFMVCFSFAEGPLAWALIVWRCSLVFSSFDKLVSVLIHLLPGIVLFTIRWWNPQTFAAMHPEGRDARDTWPYVEDKSYLWTWLFFVPLAAYTLWQLMYFLIVNVLRRQRLLRDPEVMTSYRELSKKAQKANNIWWRLSGLLGDRNRQVMYILLQALFTVATMALTVPIFLSYRMHVVFLILKVCASTWNGGSFILEVMPRQVVQKQQKKLDMKPIEQGSSTQGAPGDDGTLEDDDDVLMTPYPDTDYDVMMMLDEESVTQAALVKLLRYINMATDHSTASYDRNRVITMWVFTVPPSSALAALAFTLFLLNSGVAMRRALGRGDAWVAAFVAATTVLVIALLATVRAHERAREERRRGLFKAAAWAQSAALTAIFAHRVAATLAQAAPAMACLVWTMAGSTIAGGFYCLFVHGRDDVR >Sspon.04G0022700-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:13145083:13149719:1 gene:Sspon.04G0022700-1P transcript:Sspon.04G0022700-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLEAAGGAEAAHGKRRGESWRATLLLAYQSLGVVYGDVATSPLYVYKSAFAGNDIQHSEGNEEIYGVLSFVFWTLTLITLVKYVLIVLRADDGGEGGTFALYSLICRHVRAGLLPGGGTRDELMEEEKVTGRRGERPVSRVRAVLEKYRVLQRLLLLFALLGTCMVIGDGVLTPAVSVFSAVSGLELSLEKEQHKYIELPVACAILICLFALQHYGTHKVGFLFAPIVCIWLLCISAIGLYNIIHWDHHVYRALSPYYMYQFLRKTQTGGWMSLGGILLCVTGSEAMYADLGHFSQSSIQIAFISVVYPALVLAYMGQAAFISQHHNIESSYHIGFYVSVPETLRWPVLVIAILAAVVGSQAIITGTFSIIKQCSSLSCFPGVKIVHTSSTVHGQIYIPEINWMLMILCLAVTIGFRDTKHLANAQGLAVITVMLVTTCLMSLVIVLCWNKSIFLALGFLLFFGTIEVIYFSASLVKFHEGAWVPITLSFIFMVVMCVWHYGTIKKYEFDVQNKVSVNWLLNLGPSLGIVRVRGIGLIHTELMSGIPAIFSHFVTNLPAFHQVLVFLCVKSVPVPHVEPEERFLELVGNIAEFIRSSGEYDKNGFVEDTDKPSEKLSTISTGINMLEEDGELDASVSPHKEIDPHNAAPKRKKARFMIPKSAQVDSEVRRELQELMDAREAGMSFILGHSYMKAKSGSSFIKRIVINFFYEFLRKNSRGPSYAANIPHASTLEVGMVYQRPLSTALAEKAAEDHLWRAQPE >Sspon.05G0004040-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:4484611:4504207:1 gene:Sspon.05G0004040-2C transcript:Sspon.05G0004040-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQERDLGDIVLSWSVQEIMNDDLFKGKVEKIPFSFSSLDDYLRSYIAPLIEETRSGLSSCLELIAEAPSSKILSMEAAGKSGLYFMDVDFWDNGAGFSTETYTARNGDIFILSSMKPEATDDFNRYGLTYCLAMVTEVSMNDEYQKGFKVKVSNDTGLEGDFSKLVHATFLDNIMTNIRIWKALCFDSSMNNNFTGEDVCAVCAKKDDRLTSFAEQLLLVNLNQSQVDAIESIISAVRCRHLNLTKLIWGPPGTGKTKTVSAILWALACLKCRTLTCAPTNVAVVGVCTRFLQNLKEFNKQIDETGLPLSLGDVLLLGNKYNMDITEELQEVFLDYRADELTECFSSLSGWRYIIASMISFFEDCGSRYDMLLEDDGSHDSVCFLDFLKKQFDVAAKAVKKCMMTLWLHLPGKCFSHENVNNISTLLVLLEKIDALLCDGDLTDESVKRGFDFRSTENSINAEPISYIEKELGGASSYRLHNATIAPLDVLIIDEAAQVKECELVIPLRLRWLKHVVLVGDDCQLRPLVRSQYRMSPCVSLFPNAKFYEKKILDGPNVFSSSYNKDYMGLPFGSYAFINITDGREEKEAWKKRGQGISVGVVSPYSSQVAAIKDRLGKKYDTSDGFHVRVKSIDGFQGEEDDIIILSTVRSNGRGNVGFLADNQRTNVALTRARMNLMNSMIYFVPTQQFSAIPDGRKLEVPIVWDAEHDIVRYKKDCRFDDQEDHDHVDTSCALENTKVSESFLLMKFYSLSSGVAKHLLTATDGTEIEIPFELTDEEEAIIRFPLTSFILGRSGTGKTTVLTMKLYQIEQHSLIASQGIELDEVDLSGADPKSSLAMDTSKRESFVKQVLITVSPKLCSAIKNHICRLRRFGSGDVSDQPNTLHMHDVFDDLEEFTDVPDNFSDLLHGHYPLTITYRKFLMMLDGTLQTSFFDMFYGDFKPSIERGHSKTRALQAFIESKEVTFEKFAAFYWPHFNGELTKKLDASTVFTEIISHIKGGYKANMPYNGHLERLDYVMLSDKRFSSLSSKLREKIYDVFLDYEGMKRTAREFDLSDFVSSLHRSLVSEGYNGALVDFIYIDEVQDLTMSQIALLKYVCRNFKEGFVFAGDTAQTIARGVDFRFEDVRSLFYTSFLSETEACNQATKHGKQARVTDMFQLSQNFRTHCGVLRMAQSIMSLLYYFFPSCVDKLNPETGLVYGEAPVLLESGNDENAIMTIFGESRGEHGDRHGFGAEQVILVRDDATKKQVVNLVGKQALVLSIVECKGLEFQDVLLYNFFSSSPLRNKWRVVYDYMKTRDVMSSSEVISHPGFDRNKHYLLCSELKQLYVAITRTRQRLWICENADDYCQPMFDYWKKLCIVEVRLLDSSLIQAMQTGSSADDWRLRGTKLFNEGQFEMATMCFEKAGDAYREKWARAAGLFATADRVISTNLEMGQASLQKASEIYESIGMHEKAATCYIKLGDYKRAGMLYMEKFGTKRLEDAGDCFAITECWSLAAEVYFKARCYAKCFSCCSKGKVLNLGLQFLRQLEKEQCEKFNSDFAAVRKTYLENCALHYFERGDIKHMMPYVKDFDSIDHIRAFLNSRNLLDELLSIEMEMGNFLEAAGIAERKGDILLEVNILEKAESFVNATQLLLLYVTANSLWSPYSRGWPPKSFAEKEQLLIKVKEMAKKVSEDFFCFACFEADFLSDSHKSLTSLTYSLLEGRKCGNLLIELISARYIIDVHLQSQISAYNLELEPGSEDELCYKMLACNQMSLETLACIWNQWRLILAKVLAQLYPSEVLKSNDSAAMCEDLCAKFFGLRKDSDNRYVVLNVDSGWLANTGRSYLEQDGNRCWLDTVHCQSCARNFLVNELSSVGLSVLHKLESIFEASREKTSSPYAQWRNTVILYEIAMFLKDSEFCMAKSSKKLRNSFILCEQSLFRLLFLTWGDETAESFFYILDSPPAYGLIVDSLGSYTRVGNQNLTHGHLGRVTMYLLYTAKSDDMLNLRLEQYLNPDSEWAHFFQSLKKFLDSGVGRCPLVENFKQALKFTFDANWRERDYMSPICYMNLMECLGFFALSRFMLNSCVLCTKSMLVKMLKCRTSKAFLDSCLVSGLGDQDMDLDCMAYAPGVFICRSIRFILENKHDIQEWVRKTKPAITYVPVLLRLVIMLYLLTLTLQLGDCYEVTAFLHNRRIFEDLPPDFSKKIVPLKSRFGTVAHFTRVFGDALAAIGNPMVVLGLPEGPLISRGLNAYRISIMDLSDVNKVMAYLCSEEQKSAMQEETKTCNVTSGNFPITRMRDNEMDNRIEMHLSDESISFWEKFESFQVFIMHGQNDARVIILFLESLLSWLELRGPPENIDAQLFEEVRHICSQFEEKYPRDKKACLTVEDLYSMWNDGEKKLQKIISFLRYEKASGRESAGRKDSAPAAQFPTDMDDEWSGCSDNEPDTGGNVVEPAKEEVRVSGTTSKKKAQNQKNKKKSKKKRGGN >Sspon.04G0018760-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:67273338:67276484:-1 gene:Sspon.04G0018760-1A transcript:Sspon.04G0018760-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAAARRLFSFHLHARPLATGVAVATPHRRGKHDAISCKATGKTKPKPKPKAKAGKGGERQQRRALEEHLKRRTRSAAAFDADLYGRRAHEHHVPVLLGEVLAAFRRPRPLRSFVDCTLGAAGHSLAVRIHSLALMMEAHPELELYIGMDVDPSALEIGRGHIEAFLAGRERETNGGEEDVLQETLRAYTHVKNFKYIKQVLGSVDDSLAVGSSGVDGILIDLGMSSMQVNRSNRGFSVLQDGPLDMRMDPKATLRAEDILNSWPELELGRILREYGEESNWQSVQKQIVKARAMGGLHSTGDLVKLIQRMCSISSGRQGWIKTATRVFQALRIAVNDELRVLEDSLHSCFDCLATGGRLAVISFHSLEDRIVKKTFLELIHGGEADDEEDYKDDLALTDIEDEDEPWLGHRVQGRNGTVLTKRPITPSQEEEKLNQRCRSAKLRVIQKT >Sspon.07G0007540-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:20083604:20085355:-1 gene:Sspon.07G0007540-1A transcript:Sspon.07G0007540-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADQETAVAVEAPTPVLGEPMDLMTALQLVMKKSGAHDGLVKGLREAAKAIEKHAAQLCVLAEDCDQPDYVKLVKALCSEHNVHLVTVSSAKTLGEWAGLCKIDSEGKARKVVGCSCVVVKDYGEESEGLNIVQEYVKSH >Sspon.05G0005050-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:10456460:10465617:-1 gene:Sspon.05G0005050-2B transcript:Sspon.05G0005050-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASSSAAPAPAPADAYDIPWVEKYRPTRVADVVGNSDAVARLEVIARDGNMPNLILSGPPGTGKTTSILALAHELLGPSYREAVLELNASDDRGLDVVRNKIKMFAQKKVTLQPGRHKIVILDEADSMTSGAQQALRRTMEIYSNTTRFALACNTSSKIIEPIQSRCAIVRFSRLSDQEILGRLMIVVEAEKVCDQPHPLHVKNMVKNVLDGKFDEACSALKQLYDLGYSPTDIITTLFRVVKNYDMAEYLKLEMLKETGFAHMRICDGVGSFLQLSGLLAKFALVRETAKAP >Sspon.05G0000520-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:2300646:2304320:1 gene:Sspon.05G0000520-1A transcript:Sspon.05G0000520-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDGDESAAAAALGLNPQLFVDEVHGIIADISAGAFEHCLQAAAAPGVLGAAKAAEKATDLQRGLNAIHHVVKDRLDKRMANWEKFCLLHCFDVPEGFVAAEDDNSCAKESHKDETSDLDLELDSLRRKLESANMESQNLEREMSSLERQATYKGKLDSSVSEIQKLFEDKSVQENFEGLVKAIPVLQQKIIDMSKKRTATTCLVDEQVLLHVLKTFKMSSVSYRTRVMWGRHYSHRERRTRAADT >Sspon.01G0034190-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:1240819:1242542:-1 gene:Sspon.01G0034190-1B transcript:Sspon.01G0034190-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPNGFGANASQPRRRLLYLLLTAAAAHSRRPKDAFPVPPFQVRVRVESPSWSPRLASPSIRYRKLSDIENQGIE >Sspon.04G0000670-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:3033816:3036575:1 gene:Sspon.04G0000670-1A transcript:Sspon.04G0000670-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTTGGTSLKDDIVRLYQPVHLLVGTPGRILYLTKKGVCILKDCSMLIMDEVIYFKLYLSAFVLLPHVNGQHLFLFQADKLLSPKFQPSIEQLIHYLPASRQILMFSATFPVTVKEFKDKYLPKPYVINLMDELTRKGITQFYAFVEERQKAHCLNTLFSKLQINQSIIFCNSVNRVELLAKKITELGYSCFYIHAKMLQNHRNRVFHDFRNGACRNLVCTNLFTRGIDIQAVNVVINFDFLKNSETYLHRVGRSGRFGHLGLAVNLITYEDRFNLYADLPTATLLTFSIPPH >Sspon.02G0009270-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:29152865:29157406:1 gene:Sspon.02G0009270-3C transcript:Sspon.02G0009270-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCLGWFKRRRSRSGGSSSSSGKARGASAPVSVSGPATTMTTTTATTASAVSTSRSDDSGAVRPVSKSAGSVGSSQMSQRSISSLYEERGHGHLRVFDYEELQGATAEFSRAQKLGEGGFGSVYKGFIRAADGKGDRVPVAVKKLNQRGMQGHKQWLAEVQFLGVLEHPNLVKLLGYCAVDSERGAQRLLVYEFMPNKSLEDHLFRRANPPLSWNKRLQVILGAAEGLAYLHEGVEVQVIYRDFKTSNILLDKDFRARLSDFGLAREGPTGANTHVSTAVVGTHGYAAPEYIDSGHLTAKSDVWSFGVVLYEILTGRRSLDRNKPAAEQKLLEWVVQFPPDSRNFRMIMDPRLRGEYSVKAAREIAKLADSCLLKNAKERPTMSEVVEVLRRAMQAHAEPDSRTPGPGARGKKADAAAPSR >Sspon.08G0001700-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:3311759:3314537:-1 gene:Sspon.08G0001700-3C transcript:Sspon.08G0001700-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGREGVVAGPVAEGSKSRPECINSSNPYHECSDYCLRKIAEARQRLDDDLPDSWKRPPEQRTVHPDCINASNPYHECSDYCFRRIADAKSDLERGEGQPPADVATAAGSSDAVEQQRAEDNDADEQEGAGADDGYPQMTEKQKKLFELRLKMNEARKANQQAMVAEKKRMEPRGESRGVSKQKWLDDRKKKIGKLLDSNGLDMSKAYMLDTQETAETKYKKWEKEPAPYGWDVFNQKTLYDAYKKRTKNIEVDMEAYNKAKEADPEFYRDASSLQYGKVSNVPEENIDKMVKELKEREEKRKSFSRRRKFNEDKDIDSINDRNEHFNKKIERAFGKYTLEIKNNLERGTALPD >Sspon.01G0043340-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1B:73937568:73938628:1 gene:Sspon.01G0043340-1B transcript:Sspon.01G0043340-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSLSFAGISVVGEVRPPQPASATTAVTYSRYHLLVVDGYSSLVKDIPNGECTESRHFKIGGYRWILQWYPNAYEPDSDSYMFFYLFLDQGNVVDPVMVQYEFSFVVDQVRTNSQSLLGRAKGNHKFCSRDAYKLLCLKKRGIFEKSNYLKNDSFTVRCDIIIYKDANINEAVGGTIPVSDVLPSPPDIQQDLGDLLQSGAGADVTFQVGGGTFRARRCVLAARSAVFKAQLFGPMKEGTTTGVIHARDMEEQVFKLLLGFVYSDSVPEIDDIEEDKIMWQHLIEVADRYDLPRLTLICEQELCAYINTSTVATILALAEQHHCRVLKEACLDFLNSPANLQEVMALDGLDHL >Sspon.02G0055720-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2D:11984731:11986250:-1 gene:Sspon.02G0055720-1D transcript:Sspon.02G0055720-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRGWMYDLSCIDQRYLTGIDDFIKLARANAGDSQPVFCPCKDCKNTRKWGDVEHIRLHLITRGFMRDYTIWTLHGEVGQNVAQENNDDVPIDDDQCLDAFLPIDDDQCYTVPVPTNDNVFRNTLVDDTEENDGISQFLHDVHGGFLSATQLKKLEIMRKDAKTPLYPTCPISKLEANIMLLEFKSTNGLSDKGFDSLLGILHKLLPKGTELPEKIYLAKQMICPIGLEVEKIHACSNDCILYRGEKYKDLDACPKCKAPRYKQGPSNQGSKTRGGPIKVVWYFPIAPRVHRLFANAKSAKLLRWHGEERKKDTMLRHPADGSDWRTINTMFYKNIGGEMWETGVKDVWDEYKKEHVTIKVILIATITDLPGRGCLSGEKTKGYTGCVECLDETDAIHLPENKKMVYMGHRRFLRRDHPYRKNRKDFNGTT >Sspon.02G0026510-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2A:93476877:93478097:1 gene:Sspon.02G0026510-1A transcript:Sspon.02G0026510-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRVRKQPRLICAAAVAGSSTAVIPGTDNEYEPLLSLAALPLSLDLMPFSLDAGGVLSSASEERDWAQLLPDLVRKIGDDLLRDDATEYIRLRAVCQPWRRATGDPSVLEPRFFPRNWLMLAPGYKLRTDGVAECFVNVRTGAFLRIRLPTPEEYIHHGNTEGLLILVHHITDTVCLLNPLTMAFTDLPSFSPIGHVVNSAKFAIGDIKAAGVLVEVDEQGRAQSSEPPNVVLSLTSQTRTFLVYARPRDFVWRLVDTSCTDELEGKLPTIKRGLSVWGRFYVPTRGGDVLRVVLEPRPHLTFVARQTGSSVCSGLSETSYIVSASSGDDRKDRMLLVRKKTGIQQTYYTWDVDLRNRRLIRVRTLSDMTVMLPSITLRSSTFPTIMQNRVCSKDYMQRLLRGNLI >Sspon.04G0007030-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:16273617:16277968:-1 gene:Sspon.04G0007030-2B transcript:Sspon.04G0007030-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFQEEFRSFIEKLAGDYQGNTYHLINKNCNHFTDDVCQNLTGKPIPSWVNRLARVDDDLYSIHSPINGESDNDEDEAKHLLPAPSNDLHSDGGNTTGKTGYGYVGVGGNLFKGGKWMMAER >Sspon.07G0012400-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:44191109:44192121:-1 gene:Sspon.07G0012400-1A transcript:Sspon.07G0012400-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALILVYYSQRVYKESIDVKKQPDATSPPSNHDSLHRLQFITVNPSSIDVDPKVTTRSMMIRIKSLRLSWIRHGSSSPA >Sspon.07G0005090-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:12539752:12540724:1 gene:Sspon.07G0005090-4D transcript:Sspon.07G0005090-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFLSPLGGEDADDFYFGYDAGYHRSGGAGKSAKKEEKSFLSCLPCFIPCSPGASDPTAHRRLLSSDSSDSDNAAAMDIAADLGRLRERYSRLAAAPPVRPRDVPGLVARPDDPPLAVSALSWLGGDLRPSCMLLALLPALFPSLPAHARHALSAAARRLSAREAALDGEVAEYQSTYAMKLACEKTKDRVAETAAEEMCKMARAARRADKLRWRAVEAAAREVLAPAQAKEFLKAVEDVSGSAARRPVARARRDAHGARRGVRARAHQRQSGCGRCLV >Sspon.01G0036940-3D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:16598777:16601923:1 gene:Sspon.01G0036940-3D transcript:Sspon.01G0036940-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein OBERON 3 [Source:Projected from Arabidopsis thaliana (AT1G14740) UniProtKB/Swiss-Prot;Acc:Q94B71] MFGDSDGSKDASAAAPGSNPPEPPFPNRELTLSYLCDKAPPAAAAGPSSPPNPEAAAAAPADDAAANAKLCVERDFLHLSAPKRGDPPGDDSSVVGGKKPRLDSLQLSLSLSNDASVPPPPASQQPSSSLPPSQLASLLPVDGDLRGGGSAAVPAAAPVAPPPRRTYSANTGRTRSINSDDMSYSYSVFSHNPSCSLTHNSTDIYAAGEGTNGSVHSRFNFRPMGDGSVAFAPSQLKDGTTSFFPTELPARMVPPAAALSAGGSFDGSRGGMHSSRPERILRDIVSDPVPAMAQVLQDFPSETLEVLRETVRSMIDAPEKRDDLSSLQRKLDRRSDLTAEVLGRANKTQLEILVAIKTGMATFVTGKGRVSSSELVEMFLLTRCRNMNCKSVVPVDDCECKICSTKKGFCSACMCPVCQKFDCAANTCSWVGCDVCSHWCHAACALEKNLIRPGPTLKGPMGTTEMQFQCLGCNHASEMFGFVKEVFNCCAENWSPETHMKELDFVRKIFAASEDFEGKGLHAKAEEVLSMLVKKIISPSDATKTMLQFFKYGVTDYSVTGSKSKGILAAQTSKSTDMLHLQTPTITPPKSSFNFKPSTSILDSQMDVLKTSPKPLSIEPHFSSSSKDEDSSSLETIVKCKEAEAKLFQKLADDARKEVDSYRQIVRAKTQKLEEEYATKVAKLCFQETEEKRRKKVEELKMLENSHYDYHKMKLRMQTEIQGLLERMEATKKMW >Sspon.03G0009940-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:33469630:33472865:1 gene:Sspon.03G0009940-1T transcript:Sspon.03G0009940-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-conjugating enzyme E [Source: Projected from Oryza sativa (Os01g0658400)] MASKRIQKELKDLQKDPPTSCSAGPVGEDMFHWQATIMGPSDSPYSGGVFLVTIHFPPDYPFKPPKVAFRTKVFHPNINSNGSICLDILKDQWSPALTISKVLLSICSLLTDPNPDDPLVPEIAHMYKTDRHKYENTARTWTQRYAM >Sspon.05G0023220-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:11446560:11451543:1 gene:Sspon.05G0023220-1P transcript:Sspon.05G0023220-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEMRQRRKPLVLASTQALLDSLPGDRPPPPPKEPGRRPPLPLRRRRGAEFGELASFVALPASALRRLAVVTGTPVLVKNTDTNVGRIVKAVLFDNPPLDESRSEHTEQVVPASPSDRAMGFLPCRTFPTTGFASMDEDVAYVSPLLAFNLGLHISCLKLLIQRGGQPFKFCSQAEETDATASAGSDLSLHLDLLPCPQVPKYALHLRVSVVRIPDCGVLASLKINSSFGGSDYQDMVDQALNEYFKFDRFLARGDVFCIQNSWNCGASCCLACNKQDNKLHPHNMMYFKVTSMEPSDEPILRVNCNETALVLGGAASAAIPPYSLFAASGNSVPLHGEIVEHLASIIAPALCPSDILPKIKFSTFLYGPSGCGKRTVLRHVANHLGLHVVECSCHDLMTSSESGAPAALATAFKEAQKYSPCIILLRHFDAIGNASSNEGPQSEQSGVASNIESVIKQYTGQCWVAKDSLPGKDVNGSSYLMEPECVSSLQIILVATADSSEGMQQSIRRCFRHEIDMKTMDEEQRNKLISETLQGIPKVADESIGDKFLKDLAAQTSGFMPRDILALVADAGVSFAHKIASGKDSKGISKHEEILPESSSATQNEEKHFCKEDIMSSLERAKKRNRAALGTPKVPNVKWEDVGGLEEVKKVILDTIQLPLMYKHLFSSKLRKRSGVLLYGPPGTGKLLVEIDGLSDNSQDLFIIGATNRPDLLDSALLRPGRFDKLLYVGVNTDASYRERILKAQTRKYKLHKNVSLLSVAQRCPPNFTGADIYALCADAWFHAAKRSVKTFEIDTSRSNDASAEDVIVEIDDFITVTLQIILRKHSNICVVACWEIRCFVTTQVLGDIAPSLSLEELQNYEQLRQKIEGPSR >Sspon.08G0027280-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:45394077:45397088:1 gene:Sspon.08G0027280-2D transcript:Sspon.08G0027280-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AMLSPALLAFAAAFLVVRSSALAAAARPIFNGKPAPSEATATARWLAAQNTWGVLSTISSDLSGAPFSNVVSYSDGVPGKGRGIPYFYLTTLDPTARDALEDERTSFTLSEFPLGTCGE >Sspon.08G0007630-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:23012214:23014866:-1 gene:Sspon.08G0007630-2B transcript:Sspon.08G0007630-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSGAGGAAAGIGGTRVPTWRERENNRRRERRRRAIAAKIFAGLRAYGNYNLPKHCDNNEVLKALCNEAGWTVEPDGTTYRKGCKPLATERPDPIGRSASPSPCSSYQPSPRASYNPSPASSSFPSSGSSSHITLGGNNFMGGVEGNSLIPWLKNLSSSSSFASSSKFPQLHHLYFNGGSISAPVTPPSSSPTRTPRIKTDWENPSVQPPWAGANYASLPNSQPPSPGHQVAPDPAWLAGFQISSAGPSSPTYSLVAPNPFGIFKETIASTSRMCTPGQSGTCSPVMGGVPIHHDVQMVDGAPDDFAFGSSSNGNNESPGLVKAWEGERIHEECASDEHELELTLGSSKT >Sspon.08G0011420-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:45601366:45613127:-1 gene:Sspon.08G0011420-2B transcript:Sspon.08G0011420-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNPGSSPASGHHDHEHTPLCRSCGAPTTAPTPAPWSGTTDSPPPAYRPIRLPAINAPTNTAAVVLSPVPQPLPVPPAAPPHAFQVPAKRIASPDDIARFHASVHGRHFLGFVAALSASIDGRKLSDPLPSPPSPAVAALLDLVSALAALVESTPPLPHSSRYGNPAFRLWHEKLTDSASELISRIAATASSPTDLAGAEVELAPYLLDSFGNATRIDYGTGHETNFAAFLYCLARLGVITEPDYPAVVLRVFAAYLDLMRTLQDTYQLEPAGSHGVWGLDDYHFLPFIFGSAQLIDHKYMKPKSIHNPDILENFSKEYMYLACVAYVKKVKKGPFVEHSPMLDDISGVANWKKVNSGLLKMYKAEVLEKVPIMQHFLFGSLIKWYDIRHSSPRRRPKRPQFASPIRHDTAAAAVTVAMSNPGSSPASGHHDHEHTPLCRSCGAPTTAPTPAPWSGTTDSPPPAYRPIRLPAINAPTNTAAVVLSPVPQPLPVPPAAPPHAFQVPAKRIASPDDIARFHASVHGRHFLGFVAALSASIDGRKLSDPLPSRHPLRSRYGNPAFRLWHEKLTDSASELISRIAATASSPTDLAGAEVELAPYLLDSFGNATRIDYGTGHETNFAAFLYCLARLGVITEPDYPAVVLRVFAAYLDLMRTLQDTYQLEPAGSHGVWGLDDYHFLPFIFGSAQLIDHKYMKPKSIHNPDILENFSKEYMYLACVAYVKKVKKGPFVEHSPMLDDISGVANWKKVNSGLLKMYKAEVLEKVPIMQHFLFGSLIK >Sspon.01G0003500-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:9643106:9650651:-1 gene:Sspon.01G0003500-1A transcript:Sspon.01G0003500-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAHATLPFSCSSTLQTLTRTLSPRGAHRLRRGFLRLPSLAALPRLARPCRRHVSASAANGASAEGEYDYDLFTIGAGSGGVRASRFASTLHGARVAICEMPFATIASDELGGLGGTCVLRGCVPKKLLVYGSKYSHEFEESRGFGWTYETDPKHDWNTLIANKNTELQRLVGIYRNILNNAGVTLIEGRGKIVDPHTVSVNGKLYTAKHILVGVGGRPSMPDIPGIEHVIDSDAALDLPSKPEKIAIVGGGYIALEFAGIFNGLKSEVHVFIRQKKVLRGFDEEVRDFVSEQMSLRGITFHTEQSPQAITKSNDGLLSLKTNKETFGGFSHVMFATGRRPNTKNLGLEEVGVEMDKNGAIVVDEYSRTSVDSIWAVGDVTNRVNLTPVALMEGGAFAKTVFGNEPTKPDYRAIPSAVFSQPPIGQVGLTEEQAIEEYGDVDAFVANFRPLKATLSGLPDRVLMKILVCATSNKVVGVHMCGDDAPEIIQGIAIAVKAGLTKQDFDATLVESKDEVVFSREEAIH >Sspon.01G0030230-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:105077908:105078879:1 gene:Sspon.01G0030230-1A transcript:Sspon.01G0030230-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MCEGRRPADASRARWAAAAELRRREAAADAQLAAARARLAEALAELERARARAAELQRRLEQTYGKRRRLVEEAQGRIHEIRAHLRHHQDRDREQEEQQPPAGGTPSSSS >Sspon.08G0003480-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:6225423:6225830:-1 gene:Sspon.08G0003480-2B transcript:Sspon.08G0003480-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding HGVAPAAEAAAGGGIGGVLGRGRRLRGLPAGDGGAAGRGRADAGAGERVPPAHGPGAGAHHLRQPPPQRAAAGPGRHVRRRPPRDARRGRLRRRRRAQRDGVLRPHGAPQPRAHGRAPQVARRRRRPGVPLPLHQL >Sspon.05G0011170-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:31915456:31919840:1 gene:Sspon.05G0011170-1A transcript:Sspon.05G0011170-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGKGLFVEIGMKEEDIATMLFGKKVAELAEDAFDGSKEERQIFEGVFCLKNIDGLNDHHHEGTGHTVDAGKVVTRSSKPSSSAHNYKTAHCRIVESFTAGNLSSYRVSCLGADEQAHRAMHSPDAVHFELAVQCTPPPDRVYTRRAVNRRSERATICSAMDLESIDISNFSRRRDGRGHGELWNHLRLHAQLLMVDAGWKIEGKERGNKSTVKVDHVYVAPDNVMTRLFSLPRAWKYFGQWLLLTTPCVNGNESIDYGKEWSNIHEFVYDLKNTLLCLQYEVQRTKPALSFLDQWQLLDPFMAVVCIDKKVGSLKHGVALKAVNSTVTFLNRSECKLLNANNSSRSLGVNPTSKYTNPHLSSCKKSLLPLLSESGYQPGKEGIPMPNEEASLFCTNKTSEDEADRRSLSMSEMKERGIRNTAHRIVMGLHDATAFLGSSQSCSGRKRKFPCIKSKEDHQAEDKSKEDSSALSHLVENVQKNDVSSHGYETTEIDEMFLGENLLFAPEIDDMLLGTTEDINYEQHDDAAVSEPQSADNDGSGPSGASSLPPEKDAYMGAKKDCINNGYHDPPVVSEFQMANKDAECEPSGSFSLLSEKGKDLEANKTSLEDPAKTGQLSSEASGSTLMISEPQVLFVSPQDGTLSFMNNNMNNQEMLSFLNASPDTMDTEMQLDSNSLVYEASLIQGFLYLDNEGSPICWTVMNPEPPRHLICATAPEPNSKLSKQYVEMRLENDASTSEHKKGKKWPDKAADIQDSVRYPLNLVLANKNDKDQGEQSSEPWEQLMSKEPHKRDIKRQKRTWSRTCKFDDDDLLMTAVIYKLTARYRNSFHRKLRNKVGFKRLPRYRWENEDKGDRKKFPGGARTVLNKLLEMGIVARVNILQCRGPGGKNVLKDGNITTSGIRCRCCGTTFTMSKFKCHAGLRQEVPSLNLFLGTGKSYSLCQLQAWSIEQKVRKERAKDTMSLQADQNDDTCGSCGDGGELICCDNCPGSYHQACLPCQDIPDGNWYCSSCLCDICGEVINSKELRTSLPALECSQCERQCNIYTIFHSRVGVPDHVDDGLSCTILRNNGDKKVRTAEEIALMAECNMKLMIA >Sspon.05G0008900-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5A:26257487:26258182:-1 gene:Sspon.05G0008900-1A transcript:Sspon.05G0008900-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFSRRRVSCDRQQTAQDPSPDSSLQQTEPSSASSQQMLPLAPPHPQAAKCLAFWPGNRSRTRQLAVRTGSELVSGRSRAHSVRAGRSKSAPPPTPNPVHTSEPDGTDGPTERKANTSMQEEKTPKKTADLRLHGRERPGGEAEREPRAGCCCCAWSDAHPRKRGRGACEAAFVGAGAFIV >Sspon.01G0030950-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:106611285:106611962:-1 gene:Sspon.01G0030950-1A transcript:Sspon.01G0030950-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSMTTVNIFGPHSPAATTILPIGPLRTWQRRTSEAPAGHFWRADDEACVSFLDAQPHGSVVYVAFGSLTVMSPAQLQELALALLASARPFLWVFRPGLAAELPAAFTDLLPRHGRGK >Sspon.04G0005650-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:16125382:16128991:1 gene:Sspon.04G0005650-1A transcript:Sspon.04G0005650-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable WRKY transcription factor 14 [Source:Projected from Arabidopsis thaliana (AT1G30650) UniProtKB/Swiss-Prot;Acc:Q9SA80] MCDYFLQRMEGDQHHQAGDLTDVVRAGGAMHQQAAIAEHSSSTATGWQLPAEPTAGPGLFPPPQPSSSDGAGPSGDDFADAFAGLPDPFTSDFVRASSSGGGGPGPADFFDFEAPAAVGGARRGVLVDSGGGVVERGVQMPALSPREIRPYPPVTMIGGDAVKIGVPAMMPGLAVGPACAFDAIAGMQMPSPHGGGIKRRKNQARKVVCIPAPAASAGGRTTGDVVPSDLWAWRKCSSSKGCPARKQVERSRTDPSLLVITYNSEHNHPWPTQRNALAGLTRSHNAKNSKNNPSHSLQKPNLKAEPEHQASAAVPTSCATTATAATSTTTTATTSTTSNSTPPATMAVKEETMVGSEMEKGTDHDASVLLDHGDLMQQMFSQSYYRPMIPEAAGGGGSHQADDFFADLAELESDPMSLIFPGGDPGKEKGMPNKSLGADPLFNMLDWGATNVVPTSAGSSFEQDESGW >Sspon.02G0011790-4P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:2394324:2397565:1 gene:Sspon.02G0011790-4P transcript:Sspon.02G0011790-4P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tonoplast dicarboxylate transporter [Source:Projected from Arabidopsis thaliana (AT5G47560) UniProtKB/Swiss-Prot;Acc:Q8LG88] MDERGSFGGSSFEDVEAPLLPPPPARDDRAKERPSSSWVRSLLAHRYPAVASGPAAAAAVCVLVDLGVGAGGAHAHAHREARNMLAVLAWVFLWWVTGAVPLAVASMAPLFLFPLFGVASADAVAKAYMDDVISLVLGSFILALAIEHYQIHRRLALNTTSLFCGDPVRPPLLLLGITGTTFFVSMWIHNTACTVMMMPVATGILQRLPRGGGDTGAHEEVRRFSKAVVLGVVYASAIGGMATLAGTGVNIILVGMWSTYFPEQEPITFSSWMCFGLPMALILFLALWITLCHMSNGFCREDGLGSGLIVLWMTRNLTGDMPGWGALFHNNVGDGTVTIMMATLLFIIPSRKNKGEKLMDWNKCRKLQWDIILLLGAGFAIAEGFRSSGLTDILSDGLRFLKGAETVVIVPVACIVSGVITEFTSDDATTTLVLPLFAELAKAINVHPALLMVSGAVGAQLSYLLPTGSPSNVIGFSTGHITIKDLVITGLPLKVVGIAALTILMPTLGNITAASCTNHDKELVSSQ >Sspon.03G0009010-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:24583326:24585623:1 gene:Sspon.03G0009010-1A transcript:Sspon.03G0009010-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDPFEAAVEEQDSPPESPAPAEEEPGSAGPADDPEDYDGGPRGAPPRQPSAPASHAAAAAAAAKAKGRVQREQQEDDDDEEDQMEVDLEKLPSSTGDPDKLAKMNAILSQFTEQQMNRYESFRRSGFQKSNMKRLLVGITGSQKISIPTSIVVSGIAKMFVARVVMTERKDSGPIRPCHIREAYRRLKLEGKIPRRSVPRLFR >Sspon.05G0008460-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:19737499:19739364:1 gene:Sspon.05G0008460-2B transcript:Sspon.05G0008460-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVASTSFAYHKPRFAVVCRKNKDGRDRERERDGKEHKHPFKVVEITPPPRCLGVRCFPTNIHCGESVTIEGQAYTVSAVTHRYQLRKGRYEPSEKRLDVLSTGRYILNLYLQNLLDQS >Sspon.01G0012710-3D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:37591724:37592441:1 gene:Sspon.01G0012710-3D transcript:Sspon.01G0012710-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARRNVRYSPLPSEDGGDNNFTKEDVDLRYTYTPKSYRRIPWKSIALALFLLLLGTSLLFLSYFIFTGHMEGDSSQAYGLLFLGFLSFLPGFYETRVAYYSWRGAPGYTFASIPDY >Sspon.04G0001470-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:5951213:5954981:-1 gene:Sspon.04G0001470-3C transcript:Sspon.04G0001470-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aspartate aminotransferase [Source:Projected from Arabidopsis thaliana (AT4G31990) UniProtKB/TrEMBL;Acc:B9DG21] MASAAFAVSSPAASAVLGRGVNQGRSRTGCRVGITRKNFGRVMMALAVDVSRFEGVPMAPPDPILGVSEAFKADKNDLKLNLGVGAYRTEELQPYVLNAEKLMLEKGDNKEYLPIEGLAAFNKATAELLLGADNPVIKQGLSLSGTGSLRLAAAFIQRYFPEAKVIISSPTWGNHKNIFNDARVPWSEYRYYDPKTVGLDFEGMIADIEAAPEGSFVLLHGCAHNPTGIDPTPEQWEKIADVIQEKKHMPFFDVAYQGFASGSLDEDAFSVRLFVKRGMEVFVAQSYSKNLGLYSERIGAINVVCSAPDVADRVKSQLKRLARPMYSNPPIHGARIVANVVGDPTMFGEWKQEMEEMAGRIKNVRQKLYDSLSAKDQSGKDWSFILRQIGMFSYTGLNKAQSDNMMDKWHVYMTKDGRISLAGLSLAKCDYLADAIIDSFHNVN >Sspon.01G0025470-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1A:89972377:89977262:-1 gene:Sspon.01G0025470-1A transcript:Sspon.01G0025470-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTTFPTSTPFFAAHHQGPRRSRPSISAAVYGRGRRWRPLRVACEKVVGIDLGTTNSAVAAMEGGKPTIVTNAEGARTTPSVVAYTKSGDRLVGQIAKRQAVVNPENTFFSVKRFIGRKMNEVDEESKQVSYRVVRDDNGNVKLDCPAIGKQFAAEEISAQVLRKLVDDASKFLNDKVTKAVITVPAYFNDSQRTATKDAGRIAGLEVLRIINEPTAASLAYGFEKKNNETILVFDLGGGTFDVSVLEVGDGVFEVLSTSGDTHLGGDDFDKRIVDWLAGNFKNDEGIDLLKDKQALQRLTEAAEKAKMELSSLTQTNISLPFITATADGPKHIETTLTRAKFEELCSDLLDRLRTPVDNALRDAKLQFKDIDEVILVGGSTRIPAVQELVKKMTGKDPNVTVNPDEVVALGAAVQAGVLSGDVSDIVLLDVTPLSLGLETLGGVMTKIIPRNTTLPTSKSEVFSTAADGQTSVEINVLQGEREFVRDNKSLGSFRLDGIPPAPRGVPQIEVKFDIDANGILSVAAVDKGTGKKQDITITGASTLPKDEVERMVDEAEKFAKEDKEKRDAIDTKNQAESVIYQTEKQLKELGDKVPGEVKGKVESKLQELKDAVAGGSTQTMKDAISALNQEVMQIGQSLYSQQGAPGAGPGSADASAGSAGTSEKPGDEGD >Sspon.03G0030080-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:27756497:27760826:-1 gene:Sspon.03G0030080-2C transcript:Sspon.03G0030080-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPFHPPRPNPNQYHRARPGGDPGPPHLPGTPMHPAFPPPVPNLAAANANPMAAASAANPFLALQILGQAQQLQNLGFLAAAALQQQQQQQQQQAPFFPGGFPANPNQFAPFAGGLPPAGFNGGGAFRPGGAGICGPRPPSPMMSPAGNGSNNNNAGSGGTLRPILNVGRKDHNSKAGSGGTLGPILDVGRKDRNSSAGSNGEVYHFENKADVSISNFASESGNKITDQKSGFSAGRDGRSGRQFGAFRGRGRGRHPNQSHGRGSNNLGEINQTSWGTKVQHQDIAVIFQLQHLVDAENSYFLRKTCTCRPPPIVYDSNEVKRWVEARKKNYPTSVNINKKLSEIKSDNENKDKDAQLRRQELKEVLAKQQELGFDLPELPPGYLSDTGDQCLENKNNRKTQCRDSHFGNRFSNNKRPRYERGGFQSKRSKVWNRTPRTDDAMAKSREPTLLQKLLSSDIKRDRHRLLHVFKFMTLNNFFKDWPDKALQFPSVKVNQIEIGSNIGTDDLENAEMANDSILGVNENGDWKELSSIDEEDTDNANHNDEDVNGVSADSSIEDGAEEDAYEEQFNEPEDDTAV >Sspon.05G0015300-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:57671870:57675600:1 gene:Sspon.05G0015300-2B transcript:Sspon.05G0015300-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKETPPLHSRDDLQDATAASVVSTEQRPRPHLCIDIAEDHLPTPTPTPTQAGMSITPPSTRRGQQTSSNIPSTPVSSSSSSRPSRPPLRSPSFMLRQTVKSLLPAGSFKSSAVSKLFSATKTKVMARTSSLPLDDALSSSQPPPIQQKPAAVHICRSKSLPMNMKKLNAKSFKRMDSLGGMYRVVPSTPRAPVVDPDIVPSDSGTTGEDHDNDGGEDIPEEEAVCRICMVELSEGSDTLIKLECSCKGELALAHRDCAVKWFSIKGTRTCEVCKQDVQNLPVTLLRVQSIQQRDLMNRGGGSTARYDRYRVWHGTPILVIISILAYFCFLEELLVAHDGIAALAISLPFSCILGLFSSLTTTSMEIRASHGTYQNLALRAVARRYVWIYAAVQFLLVVFFTHLFYRYLHLQAVISIILATFAGFGVGMSGNSIVIEILRWRARRVAPPTQQAPRHRRARAPQQQQAPPASDSVQPSSQPSAADMGVGQHDAMAAAGDVENPAVPQA >Sspon.04G0017850-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:70348965:70353682:1 gene:Sspon.04G0017850-3C transcript:Sspon.04G0017850-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GAMRGRASHLRALLSRALSPSLPPRGRALPQVLGGSPPSLACVERWISARPCGSGAEMFGAGFLGRARFFSSDAAAATQGGSKPPAPAAAGSAGGEGGGDGQSGKSEQADAGKAVRLQPVSWLSFLLLLVTGGGIIVYYDKEKKRHNPELKNRTSAVKPGHSVGTAAIGGPFKLLNHDGKPVTEKDFMGKWTLFYFGFTHCPDICPDELQKMAAAIDNAEEKAKLDVVPVFITVDPERDTVEQVRDYVTEFHPDLIGLTGTTDEVRQVARAYRVYYMKTEEEGSDYLVDHSIFRYLMNPEMKFVKFYGKNYDTDSLADGIIKEIKEH >Sspon.08G0015410-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:61768158:61775143:1 gene:Sspon.08G0015410-1A transcript:Sspon.08G0015410-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGSRRMVIGYDEGTIMIKMGREVPIASMDTSGKIIWAKHNEIQTVNIKTVGAGFEATDGERLPLAVKELGSCDLYPQSLKHNPNGRFVVVCGDGEYIIYTALAWRNRSFGTALEFVWSSEGEYAIRESTSRIKTYSKSFQEKKTIRPSFSAERIFGGNVYWADSGDLVAIASDTSFYILKYNRDIVASYLEGGKPVDEEGVEDAFELLHEVNERVRTGIWVGDCFIYNNSSSRLNYCVGGEVTTIVIGYTLLLSLIEYKTLVMRGDLEHANEILSSIPKAQYNSVAHFLESRGMLEEALEIATDADYKFDLAVQLGKLEVAKAIAIEAQSQSKWKQLGELAMSTGKLELAEECLLQAKDLSGLLLLYSSLGYAEGIEKLASLAKEHGKNNVAFLCLFMLGKVEDCIQLLVDSNRIPEAALMARSYLPSKVPEIVAIWRNDLSKINPKAAESLADPSEYPNLFEDWQVALTVEKSIASQRGHYPPADQYLNHAEKSDMTLVEAFKSMQVIEHEELEDAAEENGEPDQQGLEENEMQNTDDADEPEETVFVNGDEGEEQQGTDNEGASSA >Sspon.01G0006620-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:17462351:17465944:-1 gene:Sspon.01G0006620-4D transcript:Sspon.01G0006620-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MELFHLYALCAILIVVSSLYLRFFFAGSRRNLPPGPRPLPLVGNLLDLGAHPHRSLARLAARHGPLMAVRLGAVTTVVASSADAARDVLQRHDAAFSTRSVPDAARACAHDEHSMGWLPPGSSRWRALRKVCSAELFAPQRLDAHQALRRDKVQRLVSHVAGLARQGAAVDVRRVAFTTALNLLSCTILSADLADLDDRGASGEFMEVIEEFPVAVGAPNLSDFFPAIAQLDPQRLRARLVRVFKKLHAIFDEQIERRMQERAAGDPPKNDFLDLLLDYRGAEDGRGFDRQTLLSLLTDLFTAGTDTSAATIEWAMAELLQSPSSMAKARDELAQALGPKQVIEESDIGQLKYLQAIVKETFRLHPPAPLLLPRQAETTTEIRGYTVPKGTRVLVNVWAIGRDRELWAEPEKFVPERFLEMEKEIDFRGRDFELVPFGSGRRICPGLPLAARMVHLMLSTLLHRFEWRLPADVERNWVDMSENFGVTLGMSTPLQAIAKPI >Sspon.05G0007020-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:23191338:23196332:1 gene:Sspon.05G0007020-4D transcript:Sspon.05G0007020-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTWSNRNLVISSKGQKRSMEVCSVHLGVVGLLLLLAEKHATAIVVPSPQCQWQCGGVDIEFPFGVGDNCSLSPGFNVSCQEVQDGVYKPFLGDMELLNISLIHGTIRGLNHISTYCYNSSSRYMESSTWRFDASDTPFRFSDIQNKFTVIGCNTLAYIMDNTDKGYQSGCVSTCQNLSDLADGSCSGMGCCQTAIPKGMGFYNVSFDGGYDTSQISRLGLGRCSYAVLMDAEEFSFRTTYINTTDFNDTSTGRAPVVMDWAIRDGTSSCEVAKWNDSTYACLSSNSECVVSPNGPGYLCNCSNGYEGNPYLPDGCHDADECKSSPCPSGGACHNTVGGYRCSCRVGRKFNQRSNTCDPDTTLITGVTVGFLVLVIFSSFGYMILQKRKLNQENNLDAILPSHVKGEESNELIRGLADLAKQCLDMCGSNRPSMKEIVDELGRLRKLSLHPWVQINAEMIETQSLLGGTLTANFEIEASTTGYPTQEGENLPMNPRSSYYA >Sspon.07G0011100-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:35067669:35068863:1 gene:Sspon.07G0011100-3D transcript:Sspon.07G0011100-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVLCSACEAAEASVLCSADDAALCARCDREVHAANRLAGKHQRLPLLAPGNQSAAAVSPPKCDICQECDAYFFCLEDRALLCRSCDVAVHTANAFVSAHRRFLLTGVQVGQELELDDLSREQPEASPPPPSKSEPAPPLYSESDFGWAAGAGGATGSLADWSAVEEFGSPATRLAEAAPRATPKRSPRAPAPAFGAGQGRVAGGVMDWPLGEFFRGVSDFNGGFSFGESGTSKREARGQRGWLPVLPLVVGGPGRQRAVRPGAGDPVVGAGAAVPPTASGLHWQHGGPDSTAFVPDICSPDGGAVRCFPTADGAAKRQRNR >Sspon.02G0038780-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:40573281:40573964:-1 gene:Sspon.02G0038780-2D transcript:Sspon.02G0038780-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GMETAAAAMLEAGVGRFSRAPAMAAALLAEMWAPLAVALAALATLPSLLRRLQVIVLRLRSRGKEVIQSHIGTYYSSGDEDGSDDEDGSSDEEAADASSSGDEGAEDLERIGFYEGAADGVFPWGGAVVRTWQGLPRRFSGCASGSGGGVGSATGGALPAAVRLWGASTASGDPWWAAACSAYEGGCRRDGAAAEAEAEADQVVVGWRREHASQRRRRRAVPLAHARQ >Sspon.02G0011270-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:5103022:5106232:-1 gene:Sspon.02G0011270-2P transcript:Sspon.02G0011270-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKDTRYYDVLGVDPSATEPEIKKAYYVKARLVHPDKNPNDPQAAEKFQELGEAYQVLSDPTQRQAYDSHGKDGISTEGIIDPAAIFAILFGTFENYIGQLAMASMVSLDNFGEDEIDTRKLQERMQAVQKEREEKLAETLKNRLHTYVQGNKEEFIQHAEAEVSKLSNAAYGIVMLNTIGYVYSRQAAKELGKKAMFLGVPFIAEWFRDKGHFIKSQVTAAT >Sspon.02G0003330-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:10729957:10732870:-1 gene:Sspon.02G0003330-3C transcript:Sspon.02G0003330-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVTRRRAAAAAVVPVEEEEEEEEAHVAPIDISSDSEAGSESRSEDEEDSESEEEEDTSDEDFVDISDSDSEAGDGEGSGEESEEESEAEAEADAEQLGADRSEVACNKITGLLSSGKSLEGLKLAECKAYLKKNGLSQTGGIDTCVDRIVLHWRFKDGDPERIYPRSSFCINCKGDVCKGDAVLFKQKVYEKSGKRHAKCIGNRIVAGKVIKESYGKQKQQHTFTIQVFWSKGAGKLPPLHLLLVKGRNLYRMMTFRQPWANEVDRLKVLEEKHNRG >Sspon.03G0027260-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:5291656:5293098:-1 gene:Sspon.03G0027260-1B transcript:Sspon.03G0027260-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALTRIGLAGLAVMGQNLALNIAEKGFPISVYNRTTSKVDETVQRAKVEGNLPVFGFHDPASFVSSIQKPRVVIMLVKAGAPVDQTIATLAAHLEHGDCIIDGGNEWYENTERREKAMEERGLLYLGMGVSGGEEGARNGPSLMPGGSFEAYKYIEDILLKVAAQVPDSGPCVTYIGKGGSGNFVKMVHNGIEYGDMQLIAEAYDVLKSVGKLTNSELQQVFSVWNKGELLSFLIEITADIFGIKDEQGEGYLVDKVLDKTGMKGTGKWTVQQAAELSVAAPTIEASLDSRFLSGLKDERVEASKIFQGDYSTGLPVDKAQLIEDVRQALYASKICSYAQGMNIIKAKSSEKGWDLNLGELARIWKGGCIIRAIFLDRIKKAYDRNSNLANLLVDPEFAQEIMDRQAAWRRVVCLAINNGVSTPGMSASLAYFDSYRRDRLPANLVQAQRDYFGAHTYERVDMPGSFHTEWFKIAHNSKI >Sspon.03G0036610-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:91279028:91285087:1 gene:Sspon.03G0036610-1B transcript:Sspon.03G0036610-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTGGDGDKPGPALPLEALLALGLDQRTAENALVNNKVTANLAAVIAEAGIKECDKSVGNLLYAVATKYPTNALVHRPVLISYVLSTKIKNPAQLDAALSFLTNTGPDSLDVGKFEEACGVGVVVSIEEIKSTVTDVLEENMEAIREQRYHINVGMLCGLVRKRHPWGDAKAIKEEIDKRLVEILGPKTEADNIKPVKKKKEKPAKVEEKKVAVATAAPPTEEELNPYTIFPQPEENFKVHTEIFYSDGNIWRAHNTKEILEKHLKATGGKVMTRFPPEPNGYLHIGHAKAMFIDFGLAKERNGHCYLRFDDTNPEAEKKEYIDHIQEIVHWMGWEPYKVTYTSDYFQALYEHAVELIRKGLAYVDHQTAEEIKEYREKKMNSPWRDRPIEESLKLFEDMRRGLIAEGAATLRMKQDMQNENKNMSDLIAYRIKFTPHPHAGDKWCIYPSYDYAHCMVDSLENITHSLCTLEFDIRRPSYYWLLVALGLYQPYVWEYSRLNISNTVMSKRKWVDGWDDPRLLTLAGLRRRGVSSTAINSFIRGIGITRSDNSLIRVDRLEYHIREELNKTAPRTMVVLRPLKVVITNLEEGKVLDLDGKMWPDASDTDASSHYKVPFSRTVYIEKTDFRLKDSKDYYGLAPGKSVMLRYAFPIKCTDVIYGDSPDDVVEIRAEYDPLKTSKLKGVLHWVSEPAPGVEPLKVEVRLFEKLFMSENPAELEDWLGDLNPHSKEVIKDAYAVPSLATAVLGDKFQFERLGYFAVDTDSTPEKLVFNRTVTLRDSFGKAGPK >Sspon.08G0003380-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:7231042:7236443:1 gene:Sspon.08G0003380-3D transcript:Sspon.08G0003380-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPRAAKRPKFASGAAPPQRGEDDYVPGNIVEIELFNFMTYDRLVCCPGPRLNLVVGPNGSGKSSLVCAIALGLAGDPNRGEVAGHVKISLRGDTPDDKICITRKIDTKNKSEWLLNDRVSEFAKLSPIQLLEETEKAVGDPDLPVQHRQLVERSKELKALEVAITQKEKTLNNLKALNAEQEKDVERVRLRDNLLRKAQLMKKKLPWLKYDMMKKEFIEVIQENEKTAKQEMEEAARVWEDSKGPIDKLKKHKATHTSNIKKINNQVNENMNNRQKVMDLDLKLNAELKATFDEIEDLKKQEKSRQQRILKTKEDLAAAEKELEDLQPYEHPKAENAQLTDQIARINVEIKNLKAERNAAESQLTREEESMRRCCDRLKEMESKNSKLLQALRSAGADKIVEAYRWVQANKKNFREEVYGPVLLEVNVQDKLHATYLENHVPNYIWKAFITLDASDRDYIVRETKQYGIPVLNYLAHEGTRRQPLNITPEMKELGIYSRLDQ >Sspon.07G0035560-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7D:8587990:8588441:1 gene:Sspon.07G0035560-1D transcript:Sspon.07G0035560-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDVVAQLQECLELEQGRSGGGHMSDSSYTGNRGNHDSSYNAYASASQSIDVSQVSTSFEMERNYGTVLPSRMGSGPAAR >Sspon.03G0006930-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:18829969:18845679:-1 gene:Sspon.03G0006930-1A transcript:Sspon.03G0006930-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGQGTSQEKAILEVNLEAAKQIARELRLRDIGGIIIVDFIDMSDDCEYDFRYYLITDVTKLDVQVSPSNKRLVYEEMKKAVEKDRSTVGVSELSKLGLMEITRKRVRPSVTFMISEPCTCCHGTGRVEALDTSFSKIEREICRRLAASRRKSDPEKPKSWPRFVLRVDHEMCTYLTSGKKTKLGLLSSSLKIARGFSRGAFELLPYSEKENCVEKETSSELPQKEGRPKLSVFPIKKWMSRAKRAK >Sspon.01G0002270-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1A:6280524:6287189:-1 gene:Sspon.01G0002270-1A transcript:Sspon.01G0002270-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRKIHGENDSERITSEGTAARTRPLSIKDIMLWREKKAASESKKAKEGLENGKGKSTHLEQGREHKSRKDPRDMPVEGSRKEKSSDATREGSKKENPRHIPRENPKKEDMRYAPKEVSKKDNSRDRPNTGAKMDDLKDAPKVPEKEGLRDAPKKASKKLPSGDDNHSVRKDKGIHHSQKLVTNMSGRADESKDRNLSEIRERKGDVMRSEYQKEPGKRWNDEAVGDDEIKFKSEKLRNETKRKDRSFDNERSSEVERPMLKKHDSARFQDSKHSDRNAGRNEYAKPYHGEPGFKRRRSRSRDHDRERYGRSISPPLREERYNYRGRDFGNYPPYYSMEKSRRKYAEVDKQRSSGSGGYIGGSHQKYESRLGGYSPRKKKTSLQAEQATTKIPSKVIQSPEKKSATWDQPPVKANQSNFPTTFLPTVGQMAPTPFSFSAIKKDPSTTVGTVLAGNSLTADSVQLTQATRPLRRLHIENLPDSATEDKLIDCLNDFLLPTGIKPQRSKPCLSCTINREKRQAFVEFLTPEDATAALSFDGRSLNGSALRIRRPKEYVEMVNVTPKKPAEETALISDIVADSPHKIFIAGIAGAISSEMLMEIVSAFGPLAAYLFLFNSELGGPCAFLEYADRSITSKACAGLNGMVLGGCVLTAVHVFPNPPVEAANEASPFYGIPENAKSLLKEPTKVVQLKNVFEREEYMMLSKSELEETLEDVRVECTRFGAVKSVNVVEFPAAGGSAAEDNIVELKIECTEFADAENTAKAVSEYSVPINQSIDVLNHSEASETKDVDLIPESQDQKDKHFPSNAALCESKAPVADEDEELDETQSRAVLPTSQHAEAGHTEAAVDENKHTVGEVTATVMDDDAVEKSHQDPRTSETCSPAEPTDKVEKPGGYSEQGADDVTENRPEKVPAVETSDTGFVFEPGSVLVEFMRKEAACIAAHSLHGRRFGNRTVHAGYAPYDLYLQKYPR >Sspon.05G0004400-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:8043285:8046993:1 gene:Sspon.05G0004400-2B transcript:Sspon.05G0004400-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLQAIAGFMLVKLAEIRKEVQCPICLGIIRKTRTVMECLHRFCRDCIDKSMRLGNNECPACRTHCASRRSLRDDPKYDVLIAALYPDIDKYEEEIQEAIEETFRRQSDAIGKKRSVAKATATAFARKYRRTRGRVRTITPDIAPTGSAEEDREEENAKETTREQSSADDHSPDLRQKRCRKRSRPQGSPAGTIGSIDHSFEENDELVGGKEILATSPLRGEMLAWGKNGTRSQNRHGSVGSNGRIGRSGRIAKLVDHLRTADEMDKEFQLYLVLLPVDGQTIPNLEKPYISCRPTLSIQHLVQFVALQLSWRVEELEMYIRMDRHCISVGSKPSSTGEAKPRPFDGLERLREDKLLSELHPSFASSNGNMAPPVCHLQLI >Sspon.08G0015380-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:58691483:58696498:1 gene:Sspon.08G0015380-3D transcript:Sspon.08G0015380-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable sulfate transporter 3.4 [Source:Projected from Arabidopsis thaliana (AT3G15990) UniProtKB/Swiss-Prot;Acc:Q9LW86] MVVNNKVDSLSYDVEAPPPAAAAPPPASSSPAPAAHPAAPVSRQGTASASVLELHKVSVPERRTTAKALRQRLAEVFFPDDPLHQFKNQSSARRLVLALQYFFPIFQWGSAYNPRLLRSDLIAGLTIASLAIPQAPQNEYRTAGVRRVITSSNTVAVVAVAVQGISYAKLANLPPIIGLCEFLPALSPACKFVGEISFGACMHADSSFVPPLIYSLLGSSRDLAVGPVSIASLVMGSMLREAVSPDEQPILYLQLAFTATFFAGALQASLGFLRLGFIVDFLSKPTLTGFMGGAAIIVSLQQLKSLLGIVHFTSHMGFVDVMRSVVNRHDESKRNPKLFLVAAGAPLASVIISTILSYIWKSPTISVIGILPRGVNPPSANMLTFSGSYVALAIKTGIMTGILSLTEGIAVGRTFASINNYQVDGNKEMMAIGIMNMAGSCASCYVTTGSFSRSAVSYSAGCKTAMSNIVMAAMVLVTLLFLMPLFHYTPNVILSAIIITAVIGLIDVRGAAKLWKVDKLDFLACVSAFLGVLLVSVQMGLAIAVGISLFKILLQVTRPNLVVEGLVPGTQSYRSVAQYGEAVRVPAFLVVGVESAIYFANSMYLVERVLRFLRDEEERALKSNLPSIRCVLVLANPLGSVAERIFNSAVGETFGSDRLFFSVGEAVAAGACKAAQP >Sspon.05G0009360-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:18484732:18486939:1 gene:Sspon.05G0009360-3C transcript:Sspon.05G0009360-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein FATTY ACID EXPORT 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G57280) UniProtKB/Swiss-Prot;Acc:Q93V66] MAAAAQLHGSAAATAAYRRTRASSVPSSCRWPQSLLAGSPKLSISTSGVCMKPFAFAAKLSTKCANENAQVEELDLQSDQMKELQVEEHVIPQKRSAKIHDFCLGIPFGGLLFSMGLLGYIFSRSTISLVLGIAPGLATLLLGTLSLKFWRSGRSSFLLILAQAAISAFLAWKYSHAYFLTNRLLPWGFYASLSTAMGCFYAYVLLAGGNPPPKKLAAIPPQ >Sspon.03G0011150-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3A:30331166:30334459:1 gene:Sspon.03G0011150-1A transcript:Sspon.03G0011150-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKKMVFFAPSSSLYAPEMEQSSSDQMRRDPVHQRHEDFNSMFYNSNDHSNMQNNMDFTDDNSLSSTMSNDRIDKECGSTRSIQSKAEGLSFSPSRSVDIGSPKDILCERDADCMVEPSVDGPLSPRSPALEDKLVDPLCAQEKGNNEDDQGMPYRRALICKGEYDVNDEYDGGISTRNKQESNEDDKDATEDESSCRSSEQKSKEDNCCNIEDSSKTYEHNSGEDEASSLVAGSEKYECMNIKDDNSSPGGEDTCNKYEPKVKGDENCVLGAESVSNNCDENNKDVTETGSISERHEELKNEEDNGKLQQPFIEDANSQSGSGSSINERTNSGFSRGSSEAGLDEDQSSTGKSGDSSFFAGFLKKGFKDLSLLNKSMDSVKVLINGHPISERALKKAEKKAGPVDPGSYWYDYRAGFWGVMGRECIGIVPVR >Sspon.08G0012410-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:53239052:53241100:-1 gene:Sspon.08G0012410-1A transcript:Sspon.08G0012410-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFTPATACCKPSLLLAPRASSRGSAARAQAALLCTPSTSAFRGLRAPASAAPAPPRWRRSAASTGIVCGKVSKGSVPPNFTLKDQNGKPVSLNKFKGKPVVVYFYPADETPGCTKQACAFRDSYEKFKKAGAEVIGISGDDAASHKAFAQKYRLPFTLLSDDGNRVRKEWGVPADLFGTLPGRQTYVLDKQGVVQYIYNNQFQPEKHIGETLKILQSL >Sspon.05G0032890-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5C:23228828:23229370:1 gene:Sspon.05G0032890-1C transcript:Sspon.05G0032890-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGGDEAAVGGGVDKARDVIGSNSGTATLIRQTTSIPLSKKRYKKLDPLKTEWSENEAPYITAEDGQQNAEVYLKRNWTT >Sspon.02G0006360-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2A:18895897:18896562:-1 gene:Sspon.02G0006360-1A transcript:Sspon.02G0006360-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRRYMSSVVQPDFPRLKPFGARRDYVTWARNVENYLAQNNLSDTIVSGSNCSKQQKAQALFYIRRHLNEDFKNDYYMLERDPLVIWQYLKDHFDRMKAVELPQAKLDWDRLHFSNFDSVTAYDSALRRIVSQLKLCDKEITDEEMIEKTLSTFPPSQRIRHQMHRMANYGKYSELIFALLQEERQELRVRQELMRNQNVKPAQRVSFKRKRRRGQSGRLN >Sspon.05G0026500-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:36204140:36204739:1 gene:Sspon.05G0026500-1B transcript:Sspon.05G0026500-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMQTKRTKKAGIVGKYGTRYGASLRKQIKKMEVSQHSKYFCEFCGKFAVKRKAVGIWGCKDCGKVKAGGAYTM >Sspon.01G0012180-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:36436923:36446260:-1 gene:Sspon.01G0012180-3D transcript:Sspon.01G0012180-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSTALQYENQKLVQQLEAQKSEMHALELKFKELRDEQCSYDKTLISLNKLWNQLIDDLVLLGVRAGGDLDNLQALDHEELSEESLESCPSEEIFLLRLLKSSNIRDNSDTSLLEFVEEALAFRCSATVTLMKSLQETISSQQARSESLSLALNGQKSNEDVIVALQNHNDCLKEVAENASQAISIINEKHKRYLDEIEAFKSNHSNELQEIKRISGELEESTAELEESRRKLVVLQLQRHGSVMDASGANAVNGGISTHKSSDKSMSWQDLKDAVDAAKTLAGNRLLELHQTQEDNLILSKELGDLEGQLKDEKYVLVSKPYMILNDKLQHLNAEIERYRGLVEVLQNDKDQLMQREKEICAKSESVDSIKQTITTYETKIEELETQIQILFSEKNDLETKVEETLQDSGKKDFKDEIHVMAAALSNELGMMENQLSRSKDAASEALALREQAESLRSLVAKKDYAWDHCGIESIDMHTLMPSCIIIEEHKKISDKYNSQVIEIKSLKALVEELEQEKQELEFIADIYAKESSESRTIADVEESETRARNQAEYLRSNLEEHSLELRVKAANEAEAACQQRLSFAEVELEELRTKVDASERDVVELKEAIRIKEAEGDAYISDIETIGQAYEDMQTQNQHLLRQLTDRDDFNIKLVSDSVKMKQACSSLLSDKLMLEKQLQQVNTSLESSKLKIARGEEQMNTCVAQAIKTSAENRHLTISLERIALEVSNTDKELKWLRSSVGSSEKEYEQTQQKISELRALLEHERNERRRLEEQYEEVKNEVMELTSETEETTIQKLQDEIKECKAILKCGVCFDRPKEVVITKCFHLFCSPCIQRNLEIRHRKCPGCGTPFGQNDVREVKI >Sspon.03G0033090-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3B:45002262:45006927:1 gene:Sspon.03G0033090-1B transcript:Sspon.03G0033090-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAPPPPPSYYSFFPPLPSSSWAQVVAHPPGSSPGAAAPGADAVLGAGAAGALAASPTGHGSGAPHPLPDAVEEVGDGGALAVAVAAGVRPVQPPPPGGFGPDATAAGGLAPLLPPGGAPLMPPGGPGLHINLAAPGGPRLQINPAARLPPATIGLPGGVAVGALLQGTDPAPLHSRPDSALAAALIAAQAAAAEGQAWVRAAALMWEREHDAADALARQIAEAEQLLASPASQKTRATSSDSSGRRVSHTAVLWHDPANPLVTKLHYQARGVQNIRLLVPVVLEPESPSYARWRDLVLLTLRLLSLSRWDPVGSDTRGPSASDTFPTLLHFFTWVSTQFGLTIKASLPARFWAEGLHTSTYLLNCLPSAACPAPTPHHALFGTPPRYDHLRVFGCACYPNTTATSSHKLDPRSTLCVFLGYSPDHKGYRCFDLTSRRVLISRHVVFDESVFPFSSTPTTTPVSDPSSLFPADTVVQPPFPWSPAGTASPRSLPGTCPSSPTGPGPSSPSVAPTSPVGADPGTSSPDTAPGGPCRSPWSGAAPAPPSRFAAPVRVYQRRPRPPPLAVPSPPGTPTPPPQSLPARDAPPVYHPPLLHRHPRHVHPMVTRHAAGTLPPRALAASTGDVPVSPVPSSVRDTLLDPHWRRTMEEEYAALLANQTWELVPRPPGSNVVTGKWIWTHKRRADGTLERYKARWVLRGFTQRPEVDYDETFSPVVKSATVRTVLSLALARSWPVHQLDVKNAFLHGLLTETVYCSQPAGFVDSSRPDMVCRLNRSLYGLKQAPRTWNHRFAAFLLTLGFVEAKSDTSLFIYHHGADTAYLLLYVDDIVLTASSEPLLRRIITALQQEFAMKDLGVLHHFLGVTVEPRPAGLLLHQRQYTRHILERAGMTDCNPCSTPVDTQGKLSEAEGPPVSNPTAYRSLAGALQYLTFTRPDITYAVQQICLHMHDPQEPRLTALKRLLRYLQGTIDYGLLLHRSSSADLVVYTDADWAGCPDTRRSTSGYAVFLGGNLMSWSSKRQPVVSRSSAEAEYRAVANGVAEASWHRQLLAELHTPPSRSTLIYCDNISAVYLSTNPIQHQRTKHVEIDLHFVRDRVAMGEVRVVHVPTTSQFADMWGGPGEAIGPTGVRASSEISGA >Sspon.03G0045240-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3D:12209094:12214260:1 gene:Sspon.03G0045240-1D transcript:Sspon.03G0045240-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding WCPRSATRWPPSSSCPSFCTASSSSTSSATSSAASGAAASSSPSTPPRRSTTASRPSAARSTAGMAMGLSRPDRRSVA >Sspon.02G0012740-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:34112275:34114476:-1 gene:Sspon.02G0012740-1A transcript:Sspon.02G0012740-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MESRSDVPLISDSELPDRNRRGGGRIAELAKEVWGESKKLWVVAGPAAFTRLTFYGMTVVSQAFAGHIGDLELAAFSIATTVISGLSFGFFVGMASAMETLCGQAYGAKQYHMMGIYLQRSWIILLSFAVLLTPTYIFSGQLLTALGQPAELSRQAGLVSLYMLPLHFVYAIILPLNKFLQCQRKNWVAAVTTAAAFPVHVVATWLLVHYFRLGVFGAAMALTLSWGLATVGLLSYAFGGGCPETWRGFSGSAFVDLKDFIKLSAASGVMLCLENWYYRILVFLTGYVKNAELAVDALSICVSYAGWEMMIHLGFLAGTGLFISLLILIFHDKLGMIFSSSQAVIDAVDNISFLLALTILFNGIQPVLSGVAVGSGWQALVAYVNIGSYYLIGVPFGFLLGWGLHYGVQ >Sspon.07G0004400-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:22354882:22359784:1 gene:Sspon.07G0004400-3C transcript:Sspon.07G0004400-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MISWRRSTSWLSSASRSSLGAAVGGEAKVTPEADPAEQEEEEDEADEERWSRLLPELLAEIMRRVDAGAERWPPRRDVVVCACVCRRWRDAAVSVVRPPLECGRITFPSSLKQFDSISALTDKGKFLLAARRFRQGAHTEYIISYDYDDLHPRSSSYVGKLRSDFLGTKFIIYDSQAPYDGAKPSRSRSTRRFASKQISPQVSGGNFEVGQVTYKFNFMKSRGPRRMQCNIQCPVGQGTVSDPSMEKTPSPSSLDLKNKAPRWHDHLQCWCLNFHGRVTVASVKNFQLVATAGSGGPWGVGDEETVILQFGKIEDDAFTMDYRQPLSAFQAFAICLTSFGTKLACE >Sspon.04G0016920-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:13565083:13571204:1 gene:Sspon.04G0016920-2P transcript:Sspon.04G0016920-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVYLSTPKTEKVSEDGENDKLKFGLSSMQGWRATMEDAHSALLDLDNDTAFFGVFDGHGGKVVAKFCAKYLHREVLHSEAYAAGDLGAAVHRAYFRMDEMMRGQRGWRELQALGDKINQFTGIIEGLIWSPKASDSNDRHDDWAFEEGPHSDFTGPNCGSTACVALAYNLSRDHKPELEAERERIQSAGGYIQMGRVNGTLNLSRAIGDMEFKQNKFLSPDKQILTANPDVNIIELCDDDEFIVLACDGIWDCMSSQRLVDFIREHINTEESLSAVCERVLDRCLAPSTMGGEGCDNMTMILVQFKKPVAQVKDATGAEQSAGDAGCSETQYPPPFEADPDTRMTICSEEHLKHSARCPDLHRYLRN >Sspon.04G0025120-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:24935449:24944217:-1 gene:Sspon.04G0025120-1B transcript:Sspon.04G0025120-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGGGVVTLSSAGGAADAGAGYSSEITFTVVMSCLVAASGGLIFGYDISITGGLTQMESFLKAFFPDILEKMNNATQDEYCIFDSQLLTTFVSSLYLAGMFACLVAGHITKKIGRRNSMLIGASLFFVGSVLNCTAVNVAMLVIGRVLLGFAVGFTNQSAPVYLAEIAPARWRGAFTSIFHFFLNVGMFVADLVNYRANTIAVWGWRLSLGVGIVPATVILVGATFIPDSPNSLVLRGKPDAARASLQRIRGRSADVDVELKDIMQAAEEDRRYESGAFRRFVRREYRPHLVMAIAIPVFFELTGMIVVTLFTPLLFYTIGFTSQKAILGSIITDVVSLASIAVAAAMRRPYAVAVVALVCLFTAGFGVSWGPLKWIIPSEIYPLEVRSAGQGMSEAISLALTFVQTQSFLNMLCSFKYGAFAYNAGWVVVMTAFIFFFLPETKGVPIESLREVWARHWYWKRFVKPLPPSAPKVVDGPSAPVYLAEIAPARWRGAFTTCFHFFNVGMFMADM >Sspon.06G0024870-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:58368594:58370774:-1 gene:Sspon.06G0024870-1B transcript:Sspon.06G0024870-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLRPDLRSFCSPRRATAAADLEVKAKWSHHDTTAASMPLRPRRVGKLCSDMSFACIISKHYQQFTWQ >Sspon.07G0008210-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:18305961:18307440:-1 gene:Sspon.07G0008210-1T transcript:Sspon.07G0008210-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVDWGQRWPGAVPIHTWVLISNFKLAYNMLRRADGTFDRDLAEFLDRRVPPDARAQEGVSSFDHVIDTSTGLEVRIYRAAAANNGAAGAAAVTLPILDFLAGAPSPDPFPVILFFHGGSFAHSSSGTAIYDNLCRRFVKLSKGVVARPEHRYPCAYEDGWTALKWAMSQPFLRSGADARPRVFLSGDSSGGNIAHHVAVRAADAGISICGNILLNAMFGGTERTESERRLDGKYFVTLQDRDWYWKAYLPEDADRDHPACNPFGPNGRRLRGLPFTKSLIIVSGLDLTCDRQLAYAEGLQEDGHHVKLVYREKATIGFYLLSNTDHYHEVMEEIADFLRANHTSQLPAC >Sspon.06G0003300-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6B:8435751:8440267:1 gene:Sspon.06G0003300-2B transcript:Sspon.06G0003300-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRWLCCSHFDTPYLENENGLTSSPDRTSGNGLNSNSDPAKPPSIEVPALSFDELKEKTDDFGSKALVGEGSYGRVYYAVLENEQHVAVKKLDTSADPEPDNEFLAQVSIVSRLKHENFVDMLGYCIEGDQRLLAYEFATMGSLHDILHGRKGVAGAQPGPALDWMQRVKIAIDAAKGLEYLHEKATPRLGEDKVKQCVDPRLNGEYPPKGVAKYPDVEVPMSSFSVRVSSARQMRPIRLPSSSYRGVASATTKKRSPRLLHGELPSPAFASGCV >Sspon.06G0030290-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:44848055:44848258:-1 gene:Sspon.06G0030290-1C transcript:Sspon.06G0030290-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVYEAAAALRSREDKRGGRSRRQRQPPEEEEKVAMRRVLPLPKASASSEKK >Sspon.03G0012970-1P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3B:46102356:46102673:1 gene:Sspon.03G0012970-1P transcript:Sspon.03G0012970-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYLHQGCNKRILHFDIKPHNILLDYTFNPKISDFGLAKLCARDQSIVTLTAARGTMGYIAPELYSRNFGGVSYKSDVYSFGMLVLEMISGRRNSDPSVDGQNEV >Sspon.07G0007730-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:20768166:20770060:1 gene:Sspon.07G0007730-1A transcript:Sspon.07G0007730-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALAAAHHRLSFSSAAAPTPRPGRRHRFSSLPFSAARPSSHGRFSSRAATRVLTRAGSIDTSSAASPAATTAPSLSLEELRRGCSTWTWRGMRVNYLARGQGPPVLLVHGFGASVAHWRRNIGVLSESYTVYAIDLLGFGASDKPAGRPTVLVGNSVGSLACVIAASESNREVVRGLVLLNCAGGMNNKAIVDDWRIKLLLPLLWLIDFLLKQRPIASALFNRDILLSVYGNKDAVDDELVEIISGPADTEGALDAFVSTVTGPPGPSPIALMPRLADLPVLVLWGDRDPFTPIDGPVGKFFSKLPSELPNVTLHMLEGVGHCPHDDRPDLVHARLLPWLEALPPPAAGAVTTTV >Sspon.07G0013560-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:54592565:54593718:-1 gene:Sspon.07G0013560-2B transcript:Sspon.07G0013560-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHDTASRHHHPAVATGQEAEEEAPLPQPAAAHDPGGGGGSSECRFCEMTRQHHPQCARRLPKRIILVRHGESQGNLDMSAYTTTPDYRIPLTALGVEQARAAGRRIHDVVAAAGGNWKVYFYVSPYARTRATLREIGRAFPRDRVIGAREECRVREQDFGNFQVEERMRAVKETRQRFGRFFFRFPEGESAADVFDRVASFLESLWRDIDMGRLDQDPSCETNLVIVSHGLTSRVFLMKWFKWTVAQFERLNNFDNCEFRVMQLGPGGEYSLLVHHTKEELQQWGMSPEMIADQQWRASANRRSWAEECSSFIDTFFEEPNDSSETSSSDDEEPVDKENGKIKLLE >Sspon.07G0000930-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:1978029:1981331:-1 gene:Sspon.07G0000930-1A transcript:Sspon.07G0000930-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:At1g09850 [Source:Projected from Arabidopsis thaliana (AT1G09850) UniProtKB/TrEMBL;Acc:Q0WVJ5] MPSVLHLLLLLLPLLLAASAAAAATAGAGGDPPAYEALFDAWCAEHGKAYATPEERASRLAVFADNAAFVAAHNARANAAGGGAPPSYTLALNAFADLTHEEFRAARLGRIAAGAAALRSPAAPVYRGLHGGLGAVPDALDWRESGAVTKVKDQGSCGACWSFSATGAMEGINKIKTGSLVSLSEQELIDCDRSYNSGCGGGLMDYAYKFVVKNGGIDTEEDYPYREADGTCNKNKLKKRVVTIDGYSDVPSNKEDLLLQAVAQQPVSVGICGSARAFQLYSQGIFDGPCPTSLDHAVLIVGYGSEGGKDYWIVKNSWGESWGMKGYMHMHRNTGDSKGVCGINMMASFPTKSSPNPPPPSPGPVCLHTALKDLPVVARGVSWAFAFPGAVANWTMQFAARIKNPAALMTILFAIQIAGCVSSGNFSSIEGIKRKQTFSKAPSWTGWMELMDQ >Sspon.03G0023810-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:72744504:72747143:-1 gene:Sspon.03G0023810-1A transcript:Sspon.03G0023810-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVLAKGELEQIALPAAEPPPADVRSVDLSAPSGPAREAAARALVAACEEHGFFRVTGHGVPAELVRAAEAAAAGFFARPQGEKDAEASTLGYGSKRIGGNGDLGWVEYLLLGVTPAGAAVPPAASASSSTLPDLLDEYTVAVRRMACAVLELMAEGLGIAAGAGDGGALTRLVTRADSDCMLRVNHYPPRPALSPCSLTGFGEHTDPQIISVLRANGTSGLEIALPRDGAWASVPPDGDAFFVNVGDTLQVLTNGRFRSVRHRVVVNSEKSRVSMVFFGGPPPGERLAPLPQLLGDGGRSRYRDFTWSEFKNSGCRTRLAEDRLSRFENK >Sspon.07G0027630-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:60949976:60954480:1 gene:Sspon.07G0027630-1B transcript:Sspon.07G0027630-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKVFTLEDVAKHNSKEDCWLIIGGKVYDVTKFLEDHPGGDDVLLSSTGMPSCKDATDDFEDVGHSNTARAMMDEYLVGEIDASTIPSRTKYVPPKQPHYNQDKTPEFVIKILQFLVPLAILGLAVATDLALMDKDEIGSGSTFHSFNIVK >Sspon.03G0014470-3C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3C:65876699:65879031:-1 gene:Sspon.03G0014470-3C transcript:Sspon.03G0014470-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMTRASMGAMDAAAVDEVVRRLVEGGRGGRQVQLSEAEIRQLCVEAKQVLLSQPNLLRIHAPVKICGDIHGQFVDLLRLFDLGGYPPTSTYIFLGDYVDRGKQSLETICLLLAYKLKYPDNIYLLRGNHEDAKINRVYGFYDECKRRFNIRLWKIFCDCFNCLPMAALIDDKVLCMHGGLSPELNSLDQIKDIERPTEIPDYGLLCDLLWSDPSHDTEGWGESDRGVSCTFGADKLVEFLEKNDLDLVCRAHQ >Sspon.01G0032060-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:110113177:110114145:-1 gene:Sspon.01G0032060-2D transcript:Sspon.01G0032060-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DETOXIFICATION 54 [Source:Projected from Arabidopsis thaliana (AT1G71870) UniProtKB/Swiss-Prot;Acc:Q9LE20] MAIPLPGKALPRHDVTKGGGQADADDDQPSVAAELRALWGMAAPITALNCVVYLRAMVSVLCLGRLGPLDLAGGALAIGLTNITGHSVLFGLASGLEPLCAQAFGSRNHELLTLSVQRAVLLLFLAAVPIALLWLNVGPILVALGQDPTISAHAASYAAFALPDLAAGAVLQPLRVYLRSQGITRPMAACSAIAVALHVPLNVALVFGMGLGVRGVAAAQALTNTNMLLFLLAYIRWARACEGTWKGFARPAAIASGLPALASLAVPSCVGVCLEWWWYEVVTVLAGYLPNPAAAVGAAGVLIQTTSLMYTVPMALAACVSTR >Sspon.04G0004780-4D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4D:14906228:14907680:-1 gene:Sspon.04G0004780-4D transcript:Sspon.04G0004780-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASISKFLLVLLCSYLTLVAHAADDRSHKVLSVSSLKSAAACSEAKVTPSSSGGVTVPLHHRHGPCSPVPSKKMPTLEERLRRDQLRAAYIKRKFSGAKGGAAGDVEQSDAATVPTTLGTSLSTLEYVITVGIGSPAVTQTMSMDTGSDVSWVQCKPCSQCHSQADSLFDPSASSTYSPFSCSSAACAQLSQSQQGNGCSSSQCQYIVNYGDGSSTTGTYSSDTLTLGSNAIKGFQFGCSQSESGGFNDQTDGLMGLGGGAQSLASQTAGTFGKAFSYCLPPTPASSGFLTLGAGSSGFVKTPMLRSTQIPTYYGVLLEAIRVGGQQLNIPTSVFSAGSVMDSGTIITRLPPTAYSALSSAFKAGMKQYPPAQPSGILDTCFDFSGQSSVSIPTVALVFSGGAVVDLASDGIMLQTGSSILCLAFAANSDDSSLGIIGNVQQRTFEVLYDVG >Sspon.06G0022490-3D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6D:30734286:30735276:1 gene:Sspon.06G0022490-3D transcript:Sspon.06G0022490-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASSRLELQQAHAELWNLTFSYLKSMALECAVQLNIPNVIHNFGGSASLPNILSAILVPEHRKPYLPRLMRFLVVSGILSFDSPTSGEAMYQLTPLSRLLVDDTHINGCGSLGPFVLSQTTKYHVSSATYLSEWFKGEDGVRTMAAEMPFKMAHGTGPWGALGRDPQFNKVFNAGLGSNSRLVLDFVVAQHGDVFDGISSLLDVGGGDGSTARTIAKAFSHVKCSVLDLPIVIADIQQGDGMVDYIAGDMFSSIPPTDAIMLKINAKRPYVLANQPEEK >Sspon.07G0023580-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7B:17972858:17973082:-1 gene:Sspon.07G0023580-1B transcript:Sspon.07G0023580-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDALRVFDEMHFQSRIPLIAFTSASSCFYDVLTLLKRMLRSTAGTGVHDNNTKFTTLMAIAHCSMKDTIAVMS >Sspon.06G0004660-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6B:11718462:11719522:1 gene:Sspon.06G0004660-2B transcript:Sspon.06G0004660-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding CFQLQQQAGRGRLVAATACFLLALLQQLQVRPATATPTATPPRAFFVFGDSLVDSGNNNYLATTARADSPPYGLDYPTHRATGRFSNGRNVPDIISEYLGAEPTLPYL >Sspon.08G0008230-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:26950558:26952457:1 gene:Sspon.08G0008230-1T transcript:Sspon.08G0008230-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKRILKELKDLQKDPPTSCSAGSCIRVAQGSYIVLPVAFKTKVFHPNINSNGSICLDILKEQWSPALTVSKVLLSICSLLTDP >Sspon.03G0047380-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3D:58836930:58837265:-1 gene:Sspon.03G0047380-1D transcript:Sspon.03G0047380-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHRKFWWKPPELLVKRDQTVGFVSQLGFTRTSGARTETFSPYRNFWTEPRTILSHASARANCTNRNFRCLTGTSRRRPEQNTRTQTHAGASDRNFRCCSPKLPVHVSPAY >Sspon.03G0034450-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3C:70580137:70580784:1 gene:Sspon.03G0034450-2C transcript:Sspon.03G0034450-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVELPWWHGGAPKLHPWHVREGSATRSASNRDHVHHHRPDEALGVHAPPRRQAGGVHAPKTGRGQQGQGPLPGVELAALLRSLGLRPAVGDKIHALGFTSTATRRDPPRRQSLHLRRRARVLHLVSTTTATLHGNGFISASTATATRGPVDLAPGPVVPHGARWSLQAGDVVAGGGRGGAPAVVLAQRATVEAVSGRCYGSWPSLASGVSSKPA >Sspon.01G0029630-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:102985470:102989416:1 gene:Sspon.01G0029630-1A transcript:Sspon.01G0029630-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSEAEKPLRRIAASFEELAAVAKQQPAVSMDAGAFSRACSNVSVLFGCLGIAFKFAEMDYVAKARLLVDDLVEASKSISTLPSMVELDIQTDTVRKPGSHTRNLLRVKRGIDMVKVLFEQILVTEGNSLRDAASVAYAQVFAPHHGWAIRKAVAAGMYALPSKSQLLKKLNEDEESAKVQMQNFVRSSAPVICYVEELFTSRNLGIDW >Sspon.08G0022010-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:46573400:46584826:1 gene:Sspon.08G0022010-2D transcript:Sspon.08G0022010-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein CELLULOSE SYNTHASE INTERACTIVE 1 [Source:Projected from Arabidopsis thaliana (AT2G22125) UniProtKB/Swiss-Prot;Acc:F4IIM1] MAAQIWLVSSSTLALSFEFGSLSLSYVSQLCNSVFLPLEKHCFVCMERHVDKVQDSEPPTPMSVMKMGKNRVNVEDEETLSSVAQCIEQLRQSSSSSQEKESSLKQLLDLVQTRDTAFGAVGSHSQAVPILVSLLRSGPSGVKMLAATVLGSLCKEEELRVKVLLGGCIPPLLALLRSKSAESQTAAAKTIYAVSQGGIRDHVGSKIFSTENVVPVLWEQLKISLKNESLVDGLLTGALKNLSKNTEGFWSATVQCGGVDILIKLVSSGQTNTLANACYLLGSLMMEDSSVCSKVLSGETTKQLLKLLGPGNETSIRAEAAGALKFLSAQSKEARRQIANSNGIPALINATIAPSKEFMQGESAQALQENAMCALANISGGLSYVISSLGESLESCSSPAQIADTLGALASALMIYDTNAESTSASDPVDIEKTLLKQFKPKVPFLVQERIIEALASLYGNPVLCKTLADSDAKRLLVGLITMAATEVQDDLTKSLFALCKKDCDLWQALQGREGVQLLISLLGLSSEQQQECAVALLALLSKENDESKWAITAAGGIPPLVQILETGSPKAKEDSATILGNLCNHSEDIRACVESADAVPALLWLLKNGSDNGKEIASKTLNHLIHKSDTGTISQLSALLTSEQPESKVYVLDALKSLLSVAPLNDILHEGSAANDAVETMIKILSSPKEETQATSATALAGLFHCRKDLRETHIAVKTLWSVMKLLDMQSNKILMGASCCLAAVFLSIKQNKEVAAIGRDALTPLVSLANSSVIEVAEQATRALANLFLDQELSLQVSFEEILFRVTRVLREGTIDGRTHAAAAIARLLQCRTINQPLSDTINRSGSVLALAGLLEAANGEAAATSEVLDALVLLSRSKASSGHTKAPWAVLAENPHTILPLVSCIADATASLQDKAIEVVSRLCSDQHDVVGGLVSETPGCISSVTRRIIGSNMLKVKVGGCALLVCAAKEHCQKQIEVLNDASLYIQLIHSLIGMIHMANTPAENVSSESISDIRISRHSNENNSDGETVCRTAVISGNMIPLWLLAVFSRHDSKTRAELLEAGAVEMLTEKISQNAFQYVGEEDSTSWVCSLLLALLFQEREIIRSNSALHSIPVLSNLLRSDEPSYRYFAAQALSSLVCNGSRGTLLAVANSGAAIGLISLLGCADVDIADLLELSEEFMLVPNPDQIALERLFRVDDIRVGATSRKSIPLLVDLLKPIPERPGAPFLALGLLTQLAVDCPPNMQLMAEAGILEALTKYLSLSPQDATEEATTELLGILFSSPEIRHHESALGVVNQLVAVLRLGGRNSRYSAAKALESLFFADHVRNSESARQAIQPLVEILSTGMEREQHAAISALVRLLSDNPSRALAVADVEMNAVDVMCRILSSDCSVELKGDAAELCCVLFTNTRIRSTMAAARCVEPLVGLLVSEANPAQLSVVRALDRLLDDEQLAELVAAHGAVIPLVGLLYGKNYMLHEAVARALVKLGKDRPACKLEMVKAGVIESILDILHDAPDFLCIALSEMLRILTNNATIAKGPSAAKVVQPLFSLLSKADMGPEGQYSTLQVLVNILEHPECPSDNLTEFISTSCAAIGCRTPITSSSRRPPPKGYNGRAGDYSSYSSLPNLQQRAIKALANLAIAWPNTIAKEGGVFELSKVLLQSDPPLPHVVWESAASVLSSILQYSTEFFLEVPVAVLVQLLRSGTESTVVGALNALLVLESDDSTSAEAMAESGAVEALLDLLRSHQCEETAARLIEALLNNVRIREAKAAKNAIAPLSMYLLDPQTQSQQGRLLAALALGDLFQNEGLARSTDAVAACRALVNLLEDQPTEEMKVVAICALQNLVMYSRANKRAVAESGGVQVLLDLISSSNPDTSVQAAMFVKLLFNNHTIQEYATSETVRVITASIEKDIWASGSANEEYLKALNALLSNFPRLRVTEPATLCIPHLVTSLKTGSEATQEAALDSLYLLRQAWSACPAEVFKAQSVAASEAIPLLQYLIQSGPPRFQEKAELLLQCLPGTLTVTIKRGNNLRQSVGNPSAFCKLTLGNNPARLTKIVSTGATPEWDEAFAWAFDSPPKGQKLHISCKNNSKFGKKSFGKVTIQIDRVVMLGSVAGEYTLLPESKSGPNRNLEIEFQWSNK >Sspon.07G0012670-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:51215482:51220974:1 gene:Sspon.07G0012670-2B transcript:Sspon.07G0012670-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gamma-tubulin complex component 4 [Source:Projected from Arabidopsis thaliana (AT3G53760) UniProtKB/Swiss-Prot;Acc:Q9M350] MLHELLLALLGFTGDFVLDASPPRRRSASQEAGRDGDGDRDGQVGPAFRLAPDLTFLQPSERTAIERLISLGFYYRELNRFATESRDLSWIQSSVDVSSPHSDKSQKGKVRKGSVYRRAIANGITEILSVYRSAVLQVEQNLLSDPLPILATVTHGLNKFEVLLPPLYELVMEIEQKDIKGGQLLNLLHKRCHCGVPELQSCIQRLLWHGHQVMFNQLTSWMVYGILQDQYSEFFIRRQDDRDGENELSQRVVSDKFKQKLAKDTSLSSWHTGFHVSLDMLPEYIHMRVAESILFAGKAIRVLRNPSPGATLQEPVNQSQNSKGSHGMQSFVGGSGAPKELPSFSNISAEELLPQAEADKIDVMLKELKHSSEFYKRPFESAVGSIRTIAANHLWQLVVVRADLNGHLKALKDYFLLAKGDFFQESRQLMRLPPRQSTAEADLMIPFQLAALKTIGEEDKYFTRVSLRMLSYGMKSSTSQKDLQKPNASELSSQGKATSELALDGWDSIALEYSVDWPLQLFFTPDVLSKYRKVFQYLIRLKRTQMELEKSWAAVMHKDHADFSDYCKDRKNNSATQLRRQRSKPLWRVREHMAFLIRNLQFYIQVDVIESQWNVLQSHVQDSHDFTELVSFHQEYLSALISQSFLDIGSVSRILDSIMKLCLQFCWSIEQYETRRNISEIDHITEEFNKKSNSLYTILRSSRLADHRKGSDEFRKAAPKHCRFSAVTVTVDV >Sspon.03G0019930-3C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3C:81298663:81299232:1 gene:Sspon.03G0019930-3C transcript:Sspon.03G0019930-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPTLLLVPLLVSLLLQFHHHASADCEPVACGNLTLRYPFWLGDSSTNSLPSSSLCGHPGFEIWCSDDGRVASLIHVLGINYTANSLVASHAKLAGDDGVCQTNFNVSSSIALTLFAIGPQNRALCFLYDCNGTAPSGPEYANATSNCSAPIYAYLGGAYYWDRTAGDSDEWMHDCMYTYMPVLLWMHP >Sspon.01G0006380-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:4539889:4543486:-1 gene:Sspon.01G0006380-2C transcript:Sspon.01G0006380-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMQYLDFSHASTSRKWGHKRQGDGLEAPRNSMEFALEASHSYGVFQEDVPYSCNMRQYPKSGLNHSSNPVKKLIQEDVSFRPNEGQKRPGVIARLMGMESPPLNTTTESISRSEITPRPPMPRRDNPSEMISAKHVSFVQHSNRDSTKQAPKQEIRAYGYGDERDVFGQMNKRSNEWSKPQPREHPQEEELQKFKKEFEAWQASRAWEQSRSFELESSLDDDDDDDSRCTDIVPYRYQHHHNGNGKAASHGTKHMHSSNEDVHWRRSSKESSTSISGSRTFSLTTSADAAAACSTRLPLSRFYHKEERSSLSPTRIVILKPCPELSTDDIEESSLGSPELVKKENNMEAFLEEVKKRLKIELEGGVASDDKQADRRWAPAAGDIIPADPKQIARSIANQIRENVTRDMHPALVRSESTRSYRSDVPFDGQGQMDYIGRDARRQLSDRLKNVLRRDPPDAEAPFSFSHRRRATSTSFDEEPRPKPTRHEVAPPPRKGKVRSKEEKKRAVESDVRSFRYGSNNTPTTTAQLDSEPVSPRNLMRSFSAPVSGTTFVKLLSEEPRVLTGARLQRKQEGHGSSRPASSEERKGRKDAFSIRGKVSNLRQNLGLRAKLFGKKFHAADEPFPDDLPPIGTLITAPSVLIHPGVLQENSTEVPPSPASWCSSPPDEMSRGGYPSPVSPLEASFSVHRSALRTEAKDMASTASGILSEQVQTEEERAETSPVLDEQDDGDMDEADHPMKSFVRAVLVVAGMYGRRQNPGDAAMSECEAKPIPKWVLEEVVSSSSSSAPADGGAAAVDHRLLFDLVNEALPGAVRASTTLFAFDKCYAMAPRRAPGGKALLKALWKSMQVWLEPPSDRTTASSASVDVLIGRDLSVSPWHGAFREDADALARDVEAEMLDELLDET >Sspon.03G0025240-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:76589011:76590676:-1 gene:Sspon.03G0025240-1A transcript:Sspon.03G0025240-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDAPAQQPAPQQKPTRVSLSYEEISKLFSLPIAEAASILGVCTSVLKRICRTHGIVRWPYRKLVSGKAGDDTKGPDRDKAKELLELSKIAKQKPSSASGPSIASSSTSQGAAKSQQGSSKAGQNSVSPPAGKQNSSPSLAHGSQAKAIPSYMDDFKYGFPSSGLSCETMKWWGTSSDTDCVPIKDGSHEAHESTTHEPSKGMTDDDELDWGADEAEGEADGAVTAEASAQLCSLRRKAVDDGRKLLNGDNRRGQEFSRLNKRQKLSLAQVFGASLPDVVFLVSSE >Sspon.04G0006340-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:18837486:18842154:-1 gene:Sspon.04G0006340-4D transcript:Sspon.04G0006340-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNFGKKLMADQLEEWREYYINYKMMKKKVKQYVQQTQTGGKNRDQVLKEFSRVLDVQIEKIVLFLLQQQGHLARRIENLGAQRAMLMERSDVSQICQLRQAYREVGYDLVKLLRFLDMNATGIRKILKKFDKRFGYKFTDYYVSTRANHPYSQLQQIFKQVGVVAVVGALSRNLAYLQDHQGSLANIYDYPSLILKDPVIEQINHSVQRLTHSTSFLQFLGQHALIVAEDMQSGSDLGDDKDYHFLSLLLNLVNTFVYMVNTYIIVPTADDYSVSLGAAATVCGVIIGSMAVAQIFSSVYFSAWSNKSYFRPLVFSSIMLFLGNLLYALAYDLNSLTVLIVGRLLCGLGSARAVNRRYISDCVPLKTRMQASAGFVSASALGMACGPALAGLLQTKFKIYGLTFNQNTLPGWVMCLAWLAYLFWLWISFKEPGRIATENSVSTQSSDSGKLFYFANHMLSTWLYKNFSVKTGRRVSGHLEDGLGEPLLIDAKPGQDDDGEDNDDNDDDPEESHRPATSLAAAYRLLTPSVKVQLLIYFMLKFAMEILLSESSVVTTFYFKWTTSNVAIFLAVLGLTVLPVNVIVGSYVTNLFQDRQILVASEIMVLIGIVMSFCFTPHYSVPQYVTSALITFVFAEVLEGVNLSLLSRVMSSRLSRGTYNGGLLSTEAGTLARVAADMTITAAGYLGQSRLLNATLLPSLVICLASIVATFCTYNSLY >Sspon.07G0019090-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:72684596:72687713:-1 gene:Sspon.07G0019090-3D transcript:Sspon.07G0019090-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSDGRLRRALAAFGGGGDVWGLVDAALAAAARDSPAELRARRDGIVQRLYARCRNCDADAPPPPAQSNGAVAAAPAAAAAASASPDEEVDADGLDDVDDDEADAGAGVESKILAIRDFLEDPDQSEDEMVSLLQNLADMDITYKALQDTDIGRHVNGLRKHPSSEVRQLVKLVVRKWKEIVDDWVRLHNSGGDGGGSIISDGDSPDKVQPKYHQNTHASDFKYSPSPQRHNVLSSERSGNHNMVESTMEKRRTSPAPAYHNTKQNNSNNYPTISSSAPARAIREQKNTLLDAEKLDSARKRLQENYQEAQNAKKQRTIQVMDIHDIPKPKNRNTFIRKTGGGGFPGKHR >Sspon.01G0063270-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:79765992:79769135:1 gene:Sspon.01G0063270-2P transcript:Sspon.01G0063270-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTHQANTLRLYLTCIRNTLEAAMCLQNFPCQEVERHNKPEVELKTSPELLLNPVLICRNEAEKCLIETSINSIRISMKVKQADELENILAKKFLRFLSMRAEAFQVLRRKPVQGYDISFLITNYHCEDMHKHKLIDFIVQFMEDIDKEISELKLSVNTRGRLVATEFLKQFI >Sspon.04G0027850-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:67123313:67125094:1 gene:Sspon.04G0027850-2D transcript:Sspon.04G0027850-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSEPQQPRARSFVAADVEEQSEDEKRWVGWVEKSLRHETAEALGAAAKVFSVPRSLRDTNPEAYAPHVFSLGPYHQARSELMDMQRFKLAGAKRFEMLFVGGHTIEHLKDRFLAGGLELKIRAIYHRFLDLNDTTLAWMMAIDACFLLDFIENYRRREATDVVSSSANWINAVVRDAMMLENQIPLFVFARTLELRHSTRHEAAKALHAVVFRFIMDVSPFKISDAANNKRGAAAIGDLAKHAHLLEVLYHFLVPDATLLDDSTTGSGGDQVVEEEEAPAPAADGEEVFSHDDDVEAQQAMKKTLEEEEEDYDKVKQAVTQASRLNVAPVRFIKKNLIKVVGKISRKAPALAALLPVLGKLMQSVDVEAQLNGGGAEQPKEGAMTAPRADEIRIPSVEALARCGVRFTPAPEGGIEGIAFDRATATLRLPVITLDANTEVVLRNLVAYEAVAVRGPLVLARYTELMNGIIDTSKDVKILRRSGVVVNHMKSNKEAAGMWNGMARATRLTKVPRLDAVISAVNAHRSRSAAARLRKLLRKYVFRSWKVLTLIASVGLLLMTALQTFCSAYPCENHWFSGLVLPGTTDDPPQ >Sspon.08G0019510-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:13033791:13037136:1 gene:Sspon.08G0019510-3D transcript:Sspon.08G0019510-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heptahelical transmembrane protein 1 [Source:Projected from Arabidopsis thaliana (AT5G20270) UniProtKB/Swiss-Prot;Acc:Q93ZH9] MESEEGATVCAHAHQEEAAAAAMKEAGGGGKRRRKQQRKGGDGGGGRKKYALVSYHELPEYMKENEFILNYYRSEWPILNAVLSLFSWHNETINIWTHLLGFMLFFGLTLVHLGQYFPQVADLIGHLSWPISKVAENVSSNIGDVLSGAAMFIQTNPTLVSYGVAVTSQTTRWPFFVFLAGAMFCLLSSSACHLLSCHSHGLNLFLIRLDYTGIAVMIVVSFFPPIYYIFQCEPHWQVVYLSAITAAGVGTVYALMSPRLSAARYRAHRALLFVGMGLSGVVPAVHAAAVNWHEPARNVTLAYEGAMAASYLVGTAFYITRVPERWRPGAFDLAGHSHQIFHALVIAGALAHYGAAIVFLKARDEMGCPAS >Sspon.01G0053170-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:33060742:33061846:1 gene:Sspon.01G0053170-1C transcript:Sspon.01G0053170-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAMWGLQNLMHRLVPGETSQSQLTKEDRLPMSHGLKMVLSRNGFDDIEPEMVNVSGIDTGDWNSLKLATALKVMFCPEEVGDYHEMFSEDERSKLVDDAHKYAHEMGRTSALNAFKKMVSAYEVRNEKKILLRNLVTEAKERMKLKITEDGRREVANPGTV >Sspon.03G0031960-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:39642973:39646510:-1 gene:Sspon.03G0031960-2C transcript:Sspon.03G0031960-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYHQSRQRGSGTTSKGGEELRCGVRDGGGGKGTLTTPQEWHAMKNVMWERKNSVRGEELRVGGFAVAWEKEINAASVLCAFDSLVAALDTRGSREAQLHSMLQVIEPIFKEAIKRRSASIELSAGRYPKNGATDMIRANYHSEVGSSSSTPFGATSDSVTAYSDSFKASIPSEALQPFWTDVYRKTWSVKLYTTKSIAETFQLLTVLEGAIRPGCLSSDFETTSECLNSQGIAPQNPVLPAGSASVLPWVPDTTSAVMLRMLDLDSAISYVQNQKMERDDGGFMKFPSRYTVAKSKQEGIIESTGLNLYDGRWLPGSGRRGRGRGSRGGSRGGRGRSRGGRIPRGISSSSRIEFKDDIVASDKAPRKNARRGRARGRGSRRGRRTVRPRQPSAGRARSIPKENLLGSFSMLSSSKPAPVEESPQSSGADEWGLETRVTYNECDDNSSGSQSEDNGENGQPMDEDYEEQVPDYSMGYSSGSRHHGTMSMMDHETDEEDEDVEGDENVEEDDADQAVDDADVEMDEDDEIGDDEEDGDVGGEMNADEDPDATSYSSDYSE >Sspon.02G0030440-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:109307335:109310348:1 gene:Sspon.02G0030440-2C transcript:Sspon.02G0030440-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPIAAAATALPAAHLARVVAASGSGGATPPRASPRRGRRGKPGFSRRSAIKKSFHQEQVVFSTPVSADPTVAVIGGGASGLSCASALAARGVRSVVFDTVHIAPHFASLSSLHSPHHPLPREAAHRTGSMLMQGMHGLGGRMATRFVERGEQLVFDHAAQFFTASDERFQRLVDEWLDRGLVREWSGLVGELEAGGRFRPIPSLAPRYIGVNGMRPLADAMLLETDMIKVLRPCWISKLEPFNGLWRLFENEKPHGEYDAIVIAHNGKCANRLLSTSGLPLLTKQMKRLELSSVWALLAAFEDPLPVPRIDSHGAFEGAFVTDVDSLSWMGNNTRKLFPMQIGTPECWTFFSTAAYGKRNKVPQVLFAEIFQFLQYHFVAPAENIPKVTAEKVKEDMLGGVEHALGLSKGSLQQPIYTRVQLWGAALPMNTPGVSCIFDPLGRAGICGDWLTGSSIEAAVLSGMSLANHIADYFVSNGDRPEEFAIGLHEDLNAVEGHDIGQFPGLDTQTPQAANDTVLLPRNRLPKFKGFAKLKTMPSLD >Sspon.01G0017030-1P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1C:63653993:63655966:-1 gene:Sspon.01G0017030-1P transcript:Sspon.01G0017030-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLSLRRKQLDVIVRMLHLNQQQLPNGGEGQGEDDAYKILVMDQPCISVLSPVLKLGDLRHHGVTLTLNIDRPRQAVPDAPAVYFVRPTPGNVDRIAADAAAGLYGSFHVNFSTSVPRPLLERLATACAAAPPACAGRVARVADQYIDFVCLEDGLFSLAQPRAYVALNDPAAADADITSLVDAVALGLFCVVATLGVVPVIRCARGGPAEMVAGALDARLRDHLLAKPNLFTEAASAAVTSFQRPVLCLFDRNFELSVGVQHDWSYRPLVHDVLGLKQNILKLPAEKYELDDSDKFWVANSWSPFPKVAEEIEAQLAKYKQDVDEVNQRTGGGKVGVEFDGTDLIGNTKHLMNAVNSLPELTERKKMIDKHTNIATSLLGHIKERSLDGYCDCENDMLVNGTVDRNTLLSLLRGKGTKEDKLRLAVTYLLSFETPPSSELEQVEAALRESEVDMCAFQYVKRIKALNTQFASASGTATKSNIVDWAEKLYGQSISAVTAGVKNLLSDGRQLALTRTVEALMEGKPNPEVDDYLLFDPRAPRSGTGGQFKGPFREAVVFMIGGGNYIEYKSLLELEQHSQPSKHVIYGATEILSGAEFIHQLAELGQKAGLGGGSSNIPPSSAQ >Sspon.01G0012540-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1A:33981167:33982662:1 gene:Sspon.01G0012540-1A transcript:Sspon.01G0012540-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding PRPPPRPPHGDDESHDPPPTSARPGGRRAQERRRWRSRRPRWSGRTRRSSSQGRRGGRGSGRARLEALRELLHDEIVTDLQEGGEGPLAGDPRPEVGVAVAEPPEDVEDQDAILDGPPEIPQGVSHALHPTAELADGEVTLDEGAEARVETQRPGLSVAQELALEGKPSPARVRRGADEVVEVQRDRPQDPGENDAVETKPRRRLDGDQGVDEDVVVEGVAAKSEEDQVPPAGVRGRLRLEDDRNEQANDGGVRRAATRRGRGVGPNVLAHGGGEEPLRLGDLASQGIGRFALVLPHEGGRPHPCLPLRGRGTCGGEGSGERRGVTTGVDLGKKWWRGREGLGTGRREVAGVQPGAGKVDGVGGVLCQGSSSGPPLLSVSCSHGGSRVPPTSPDRRSTLSSGCSCEGSGGVKERGAEGRWEGRGEGEGPWSSANGGQGKGTAAASGSRKKSGSRGSGWQDSGRGCGSSRGARQGS >Sspon.03G0008880-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:24080743:24085742:-1 gene:Sspon.03G0008880-1A transcript:Sspon.03G0008880-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGGEEFAIGVVISAKTTLGEEFEGQIVAFDRPSNLLVIHILPKSLMLLTRISMSFTYLYRCSFARGVVLSQEGVGRAERGERRNVRVLKANYIREFSVVSKGDDPLDPAGCVLDLNAIYAREDAALRQAEIEAERIGVGVTPEAQSIFDALSKTLPVQWDKTDIVVMKEVRVRSPYLPENVSGGTAAANERVKKVIDFERKRLHSRVPGQFS >Sspon.01G0056180-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:86173944:86175115:1 gene:Sspon.01G0056180-1T transcript:Sspon.01G0056180-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPPKDRPSGRLGRLLAALRPSRAGPLPVQTGFPTSLADLVVKNHGRLKKQPSPSSKRGKRGAAASTSPSSPTSPSPSPPPASPPPPAAAVAVSPSDRPRPADLPPAQPRRRGKGGGFGLGLGFLAVSGVVSLALLVIWSKKVVAAVTVASFSLYLLESVRSSSLPRPRRRPRPAVAERRLCLDGRGRVSPIREADAETEPSRPSCSDSDRGSDACILAVEESSGVLDESSNPKAKAKKKSWKKLLAASAKKLHRGRRSKERVRSSFRSEGDRADDATARGGGGNAKAADSSGSRRVSASQTGAPAEDAAAAAAKEEADSSRGSRRSQGVEVDADAAPVEIDASVGDLIEEEEEEGEEKQAGIRFPALVLVAIVLMGWSPGRSWPWRS >Sspon.02G0050740-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:66551037:66551982:-1 gene:Sspon.02G0050740-1C transcript:Sspon.02G0050740-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTAAWPRPRPRSLLQPGCLRSLGHGLCSRCLFLLCFLVVAFAASSSSAGRVVTSLPGFDGDLPFHLETGYVEVDEDAGVELFYYFVRSGSESGAASDAPFLFWLTGGDRCSVFSGLAYEIGPIRFVLEPYNGTLPRLRYNQNSWSKIRCDGESEKFGKIFGI >Sspon.01G0041220-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:47328203:47332536:-1 gene:Sspon.01G0041220-1B transcript:Sspon.01G0041220-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMEKKSWRATRDVAGAFSMDLELVGEEEDDDAVPAIPPPQTPLEPMEYLSRSWSVSASEISKILVGGGGKKSSAVAAASRLPEVTIPEQSVLATTSSSIVPLPRHQQQHRDARRSSMSSGHHHHHQSIGKWFQVHHRETYRAKQSGKEKQRADKAHVHAMVSVARVAAAVAAVAAATTTSSDTQAATKMATAMASATELLASHCVEAAQHAGARHDQVAAAVQAAVGVRSPGDLMTLTAAAATALRGAATLKQRVQRETRSNASVLPYEKGNSWSPDIWCKEGELLKRTRKGGLHKIRVSIYINKRSQVILKLKSKHIGGALSKNNKSVVYGVYSELPTWAEPGKDCTEETCCFGLSTAQGLVEFECEGNASKQKWVDDVQNLLRQAALHDQVGNKLGLVKLS >Sspon.06G0023810-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:48434564:48445069:1 gene:Sspon.06G0023810-1B transcript:Sspon.06G0023810-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDLRFPQPWVQTVAWTCINKIVEPMFNYWPFTKIRDTALDELMKHIHYEDETTKYIGACPVNKALNMICCWIENPNSDAFKKHVPRIYDYLWLAEDGMKAKAYDGVQCWDAALIVQAYCSTELAKEFGPTLSKVHDFLKSSQIREDHPDYKTYYRHRSKGSWTLSTSDNGWSVSDCTAEALQALLLLSKLPPELVGEPIQGQQLYDAVDCLLSFMNNDGTFSTYECKRTSSLLELLNPCETFANIMVDNP >Sspon.02G0017800-3D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2D:47839202:47839527:-1 gene:Sspon.02G0017800-3D transcript:Sspon.02G0017800-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRGGDRSGGPSSGGDRSGARFQRGPSRWSGSGGGGLGGSPPHRYSSRGAADGGGGGGGGGRFHPTVVLLTILAAVEGTEAVVAAGTTSASRGNAMAAATEAEAVGIS >Sspon.05G0020680-3P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:67961983:67964893:-1 gene:Sspon.05G0020680-3P transcript:Sspon.05G0020680-3P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLAGSVAALLLVVFHLVSATSGSSSGVVLGRKGSSIAAAQQSPAVEEAVSRVRGPTGRYAVIFDAGSTGSRVHVFKFDKELDLVPIGDDIEFFSKIKPGLSSFAGKPQEAADSILPLLEEAKKIVPLRLQKNTPLKLGATAGLRLIGDEKAEEILEAVRDLVHSKSKFQYNPKWITVLEGTQEGSYLWVALNYLLGKLGGDYSKTVGVIDLGGGSVQMAYAISTSAAANAPDVPDGKDPYITKEYLKGKDYNLYVHSYLYYGMSAVRVEILKAKNGTFSHCVLRGFSGTYSYNGKDYDATASPEGAVYDKCREEIIKALNLSAPCKAKNCTFNGVWNGGGGAGQDDLYVASFFFDKASQVGFIDSEAPSVKSTPSAFKTAAEKVCLLSADEAKAGYPDLYDVPYICMDLIYQYTLLVDGFGLAPTREITLVSRVKYGEFYVEAAWPLGTAIEAVAPKKMNQDA >Sspon.05G0008900-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5D:28109362:28112898:1 gene:Sspon.05G0008900-2D transcript:Sspon.05G0008900-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVRLRFPGQKARHFAACGGATGSVVRCEEAEEGSVCCRLKSGDGSCTPAELQRSVIVVRNRGDLASVCSSTRLRPCLVPLHFAKFFKILRHIESLDVSLVWPALPAGVKFDPTDLELLQHLQAKSSLPNSVSHTLIDEFIPTINEKEGICYTHPKNLPGMKMDGSCLHFFNRVLNAYDTGKRKRRRIIATSDICDGVANGNSRWHKTGSSKPVFDENGVRKGWKKILVLYKAPKKVGGKPERENWVMHQYHLGVKEDETNGEFVVCKIFYQSPLKKNDKSKTDVAVESDASVAKINPRTPVTNPPHPRRLNNSPCNTEQYTLIQEDQGEEECGPSKMKVEAAECSACFAELSPAMPTSHELQRTPMTDPPQPHRLNNSPYNTEQHTHIQVDQGEEECSTSKVMVEAAECSACVAELSPAIPTSDEPMQPADALDAGLDASLPVDGPSMELFDGLPDLDSTLIFTGTPSGGGISLDVSLSCASSLFAYCKMA >Sspon.03G0019000-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:78939355:78941973:-1 gene:Sspon.03G0019000-2C transcript:Sspon.03G0019000-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGGGAGDKAWRGVGGRLPQPLRLESQRFRLLSIVVGCFVICLVFLLSSRPDATAFDTMSPKASLVAARHPVAVKTLRTSSSSAGLGGDFHVDILPQRRQEQSLEQTGDKTVTEWVRDTVIVEERSDAETSEAEPEEADPDRDASATAVASNSDDHPAAPGAEKAVQAAATVTGQPAAETTSTAPYRPACVRCDVPLSNLHLVQRRRRLLLRGVVVRARSRSSQLGNGKKRSGTTSQHDGDETCMGRITEITVRATRDAAGAPRCTRTHTAPAVVFSIGGYTGNIFHDFSDVLVPLYNTVRRYRGDVQLVMANSASWWLVKYDRLLRALSRHAPLDLARAGAAREVHCFRHAVVSLRAHKELIIERERSLDGLATPDFTRFLRRALGLPRDAPTRLGGDVTGTKKKPRLLIISRHRTRLLLNLDAVVRAAEEVGFEAVVNESDVANDIAQVGGLINSCDAMVGVHGAGLTNMMFLPPGAALVQIVPWGGLQWMARADYGDPAEAMGLKYIQYEIGVAESTLKDKFPSGHKIFTNPTALHKKGFMFIRQTLMDGQDITVDVARFREVLLQ >Sspon.01G0031380-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:107760065:107761536:-1 gene:Sspon.01G0031380-1A transcript:Sspon.01G0031380-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALQLQAAAPCPPLPLASSRGRLAALRPPPPFVSIAVAAASSPGPFARLSCRGPAPRWWRASVRARAGAVGGGRRESPYEVLGVSPSAAPNEIKRAYRRLALKYHPDVNKEPDAQEKFLRIKHAYNTLMNSESRSKYASSSSDSSWSSSSRESKSAAAEEPFYGFADFLKDLQAEFQNWEAGLNSNQKPKSLWEELAAIGEEFVEFLENELKIDDSSPEDVTGNDPTPSLDSRQKMLRMTGHQQSFDDGLSEIEAALEKLKKELGLG >Sspon.06G0009560-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:50926366:50927938:1 gene:Sspon.06G0009560-1A transcript:Sspon.06G0009560-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQQEQQDIDSNFQSEMIDADESVDLQIVWDYGIEQAWSQDGRESGYICLPGVLTGHLNHVGLMT >Sspon.03G0021270-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3A:65341085:65346161:-1 gene:Sspon.03G0021270-1A transcript:Sspon.03G0021270-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLRQIINPPKHVPRSFLLFPARRTCLARPPPASGAPPPAFAPMDGRPPPPPTLSSYFPTRSPPSWAQVLSGGAPPAGAPPVGATPAAGLAGRGLPAPAAPLMGGLPGAPLSLLPTRPPPPAPSPALSFTDPSAQAAAAALGFTDRPPWLLLRLQGARPLQAALLRLQAPPWPPGLLLPSGRHFFLLQPLLQPPLPQPLAFFLLQPLLPQGPAFFLLQPPWALEPPASLCLQQSPPPPFGPWALELLTPWLPPPTLGRWGTPRMGSQPRQHSFLRRWHFLPAHRRAWPTPGLSAGDCLASGVPVGTALPFAGAARAPALLPSTIPSASAPLFPATWAPQTTTYPSWAPAAIGVAPASPGHTPALPAGAGYPLAPLNSGAGTGQAWAPHPSPTPPSFLVGSPPPGPSGGGGAVADTVVADGDVVGVLGSMTRQGPSRLPGVSLACRPPPCSLLLLPVFHQQHSHGLHLPAPRPVGTRRPWHTPSAQWPPELLSAHSGSPIRVPPTTPLLTPLFSLPFNFLLPITLHPSWSLMGLVFLSRLWVPPALMAPFVFLMFLWHLLWFTTFFLFVGLLLTIPVLWSSTLPASLSEIWLPAVLCFGVTARVPFTPFASQRHLRLLRRPTCQLLSPPLLPPPLGTGASAILFGLTIKAVQCDNGREFDNSASRAFFLSHGVQLRMPLFPLASGLRACTPPPTFLTAFLPRLSRSHSSPRPLRYPARYDHLRVFGCACYPNTAATSSHKLDPRSTLCVFLGYSPDHKGYRCFDLTSRRVLISRHVVFDESVFPFPALPPLRYRFAARRADPRSRIPSGSGSVVLGRGPVLSGWCRPGAFVPRFCPGGSCRSPTPDPVPAPAPAPPSRFAAPVRVYQRRPRPPPLALPSPPGTPTPPPQSPPAVVPRRSTTRRCFTDTRGMSPDGDTTRGWHLPPRALEASTGDAVVSPVPSSVRDALLDPHWRRAMEEEYAALLANQTWELVPRPPGANVVTGKWIWTHKRRADGSLERYKARWVLRGFTQRPGVDYDETFSPVVKPATVRTVLSLALARSWPVHQLDVKNAFLHGLLTETVYCSQPAGFVDTTRPDMVCRLNRSLYGLKQAPRAWNHRFAAFLLTLGFVEAKSDTSLFVYHHGADTAYLLLYVDDIVLTASSEPLLRRIIAALQQEFAMKDLGELHHFLGVTVEHRPTGLLLHQRQYTRDILERAGMTDCNPCSTPVDTQGKLSEAEGPPVADPTAYRSLAGALQYLTFTRPDITYAVQQVCLHMHDPREPHLTALKRLLRYLRGTLDYAAPPPATPAEAEYRAVANGVAEAAWLRQLLAELHTPPSRSTLIYCDNVSAVYLSTNPIQHQRTKHVEIDLHFVRDRVAIGEVRVLHVPTTSQFADIFTKGLPSSTFAEFRSSLNITGG >Sspon.04G0021600-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:663014:664759:-1 gene:Sspon.04G0021600-1B transcript:Sspon.04G0021600-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VMRECIGGHVMLRNIPCGSKDIRCNQPCGKNRQCGVHACNRPCHPPPCDQPPANGDPSSSSGGKGSCGQVCGAARRECKHTCTAPCHPSSQCPGLRCEFAVTITCSCGRITATVPCGAGGASMGDNMFEVSIIQKMPMPLQPVESNGRRVPLGQRKLSCDEECAKMEKKRVLAEAFDITPPNLDALHFGENSSSSDLVADLFRREPKWVLAIEERCKFLVLGKVRGSSSNNLKLHVFCHMLKDKRDAISLIANRWKLSVQVAGWEPKHFVIIHVTPKSKPPGPNQVHLLQLPILFDPLVDMDPRVVVAMLDLLAVFNDPTRAATVLRRLDYGSAYQGAAMFVPSSAQASSSGNVWIGGQKDGGLAARSNPWKKPASAEPDMSSGDRTGVAGHAPTPGWIGANTSRVMGTPNNGMFLNQMLLQALFQATSGGGLLHALMLHTAQYQTLGMLGHQ >Sspon.07G0007350-3C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7C:14916888:14919517:1 gene:Sspon.07G0007350-3C transcript:Sspon.07G0007350-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SRSMDAAVEIAERFAFYGVSSNLINYLTGPLGEGTAAAAAAINAWNGVAQLLPLLGGALADKWLGRCRTIVIASLLYVLGLGMLAFSTLLSSGSGHQCTTAGGQTCAPSTLKVTFFYVSLYIVAVAQGGHKPCVQAFGADQFDQSDPKETVSRSSFFNWWYFGMCAGTAVTLVFLSYVQDNIGWGLGFGIPCAVMAAALAVFLLGTRTYRYYVTAGDRGLFARASEAFAEWRSRLKALQHADRPAAEGAPGFSAGVDEEEQAAVSNNAGLVKEAKAIIRLFPIWATCLLYAVAFSQSSTFFTKQAATLDRRVGRHGLQVPPAALQSFISITIVVFIPIYDRVLVPVSRRYSGKPSGITMLQRIGTGMFLSLLSMVIAALVEKHRLGVARDAGLVDKPKVPLPMSLWWMVPQYVLFGAADVFTMVGLQEFFYDQVPDKLRSLGLALYLSIFGVGSFISSALVSVIDRVTTARGGSWFNNNLNRGHVDYFYWLLAALSAVELLAYVFFAVTYKYKNKGAVHATVVG >Sspon.03G0003270-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:8287020:8291678:1 gene:Sspon.03G0003270-1P transcript:Sspon.03G0003270-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPKKAAPSKAELAKKQKVVEDKTFGLKNKNKSKNVQKYVQSLHQAVQPKPDTSKTAAKKKKEEEKAREKELNDLFKVAVSQPKVPVGVDPKSIVCEFFKAGQCQKGFKCKFSHDLNVQRKGEKIDIYTDKRDAETMEDWDQETLEKVVESKKTEYQQNKPTDIVCKYFLDAVEKKQYGWFWVCPNGGKECHYRHALPPGYVLKSQMKALLEEESEKIAIEDEIEDQRKKTKTTTPMTTELFMEWKRKKAEEREAGQAALKAERAKNDRMSGRELFMADASVFVDDAEAYEVYEREEEPEANEESAWSKE >Sspon.06G0023750-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:54446673:54447392:-1 gene:Sspon.06G0023750-2D transcript:Sspon.06G0023750-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSESATVIQMDDGRAPAVAAPAAGGAAASSSYATAPTSSSAAEPAAAPRKTSTGGGGLPFLLRSGAEGFRRCLAVIDFLLRVAAFGPTLAAAISTGTADERLSVFTNFFQFHARFDDFPAFTFFLVANAVAAGYLVLSLPFSVVGILRPNKATGVRLLLLLCDVVIMALLTAAGAAAAAIVYVAHSGNRRANWVPICMQFHGFCQRTSGAVVATFLAVLVFIVLVLMAACAIRRTRPS >Sspon.07G0006530-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:14986992:14990242:1 gene:Sspon.07G0006530-2B transcript:Sspon.07G0006530-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESFVLNTGARIPSVGLGVWQIQPDAAVNAIYAAVKAGYRHIDCAAAYNNEKEVGLALKKLFEDGVIKRDDLFITSKLWATNHAPEDVQEGFDTTLQDLQLDYLDLYLVMCLDMPVINRTIEAKIHGPIRIKKGTSMMCPENFIPTDIPTTWTAMEKLYDSGKARAIGVSNFSCKKLDDLFAVARVPPAVNQVECHPAFSPLGSPGSPGINGPSVLKNPIVVSVADKLQKTPAQVRLLRAEFAVHPQGGYNTLEDFWDGEI >Sspon.01G0049520-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:96484142:96486279:1 gene:Sspon.01G0049520-1T transcript:Sspon.01G0049520-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEALVGLRVAAPAVPQPRSHRSRLLPTARLAAFRRGRLCTARAAVAGPPEVDEDDSMSIDNLHRFFDLNVGKWDGSFYQFDAHGRVLQEISTRLSVSSYGEDNLISLLQSLYIKQASSAISIVDEEDSEPEWVEYKIKETNMFTVDKYQQIGFFPEKKAFALRYQTAGMLEIVLRVGVLGEDDTGEESPKNLKIPSRKPSIVCENCLYSLEGNGRVRAFHIMDPKGVLDTLLVFHEKRQGSISGNSDRINALLGRWEGHSVTKRSGVYGATLAEADTAVVLKMDSNGQLIQDTLSTKFGTGTTTTVNWTGSANDDLLQFDGGYEITLLPCGMYMGYPSDISKSVAQLDSFHLEFCWMESPGKRQRLVRTFDSAGLAVSSTYFSETK >Sspon.05G0024110-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:12472547:12472936:1 gene:Sspon.05G0024110-1B transcript:Sspon.05G0024110-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKAVLFVALNLLFFTVATACGSCPTPTPPPPPPPATPPPPSSGKCPLNALKFGVCANVLGLVKGEAGKVPAEPCCNLIKGLVDLEAAVCLCTAIKANVLGIVIDVPIKLSALVNYCGKCVPKGYICA >Sspon.02G0027570-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:100163916:100167266:1 gene:Sspon.02G0027570-1A transcript:Sspon.02G0027570-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVELVLWLFSFASVMVLIGLTAYQASPTAPFASLNSSPCDGECGPNLARGRRSSARFRPTADLICLSDLEYDYINPYDSSSRINAVVLIEYALQGALYLAQKHLVDVTEIFRQLSGEKKYRMIKLAFYFCLFIITIYRLVMTAVMLFIDEDINLTCSLQTLGSKEHSWLEPMRPQLNALPPSCVRKKINVCPIGGGANLVSQILGVHFIHQIVMYNEFS >Sspon.02G0030060-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:96365452:96366500:1 gene:Sspon.02G0030060-4D transcript:Sspon.02G0030060-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGGLITEVGWTEFDFLSHGEESEVMAQLLGAFPSHGEEGQHELLHWLDQASNAYSDSIPPACEGYYFLSNSNEALGSSSCAAPGALGSVQEEHGAAEYLNVTANHSFNCYGNGDPSCEDLDDPMSAAANKSKRKHMVEEHDGQTQTRGRKCARSVGEPKRAKRAKKSGDEDPGTAIASGSPTSCCTSDSDSNASLESADADARRPKGKGRAGRGATTEPQSIYARKRRERINERLKILQGLVPNGTKVDISTMLEEAVHYVKFLRLQIR >Sspon.08G0011700-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:9589548:9590768:1 gene:Sspon.08G0011700-2C transcript:Sspon.08G0011700-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVMSPRPPQEFLLDNAAAGAYTPKSAIMNPFASTDDVTAGNPFLATTAVTAPPSPNPFEQLPPGASDADPFDLFQHFTSAPTSPARAAAIYAQFDSVNGGGKDDDDDDVGFQPRVSYSMSKPMVTSTVPFDWEEKPGKPKAEFAATVEADEMDDADFDFGVLLDKASAQAQELTTADQLFDEGKIRPLKPPPRLLESGSVGSSPRSARSVMWSPRLRGRLTGPGSANANFDPFAAALAKAANAPSPPGAGAKHDASCVDSASSPMDPDAATPPPPSTPPATSNGGGRKKWRLRDLLLFRRATKGRTAGNISKDPVFKYAPVQVQQLGTPLKTASAGPAAAKGDASAGKHKKQSKKAPAAEGGMPMPQRQNLMGCVRLHPGIHRLAKGFHGHSAHLGGRGAARSAT >Sspon.01G0043560-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1B:75719329:75719781:-1 gene:Sspon.01G0043560-1B transcript:Sspon.01G0043560-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRELASYTLARSLPRSTLVLNKGMEDGKDTDTGGGSGTKYRGVRKRPWGKFAAEIRDPERGGARVWLGTFDTAEEAARAYDRAAYAQRGATAVLIFPGEAGRVAGSSSSVAPSASSRGMGRWNGERIELECLDHKVLDDLLDKDKYGGK >Sspon.04G0010390-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:31246088:31250616:1 gene:Sspon.04G0010390-1A transcript:Sspon.04G0010390-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thylakoid membrane-bound ascorbate peroxidase, Tolerance to bacterial blight, Response to NaC [Source: Projected from Oryza sativa (Os02g0553200)] MAERLAASLLPAASPSPSTRRATVAAAAAASFPSPCSARTGLRLRSHQPLLSQKAAGRGRGVRVVRCMAASDAAQLKGAREDIKELLKTTYCHPILVRLGWHDSGTYDKNIEEWPQRGGADGSLRFDAELSHGANAGLINALKLIQPIKDKYPGITYADLFQLASATAIEEAGGPKIPMKYGRVDVTAAEQCPPEGRLPDAGPRDPAEHLREVFYRMGLDDKEIVALSGAHTLGRARPDRSGWGKPETKYTKDGPGEPGGQSWTVEWLKFDNSYFKVYAEKYAEDQEAFFKDYAEAHAKLSDLGAKFDPPEGFSLDDDMSTAPADEKTEEAISFGERLKQFLAGISWKNFQLWTDLLKRISTGTPWKWGTALTLEPSVAAAPPPVAPEPEPAAEPTPEPVAAAVATATADDNNGAAPQPEPFVAAKYSYGKRELSESMKQKIRAEYEGFGGSPDKPMQSNYFLNIMILIAGLAFLTSLVGN >Sspon.03G0021650-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:88429824:88433330:1 gene:Sspon.03G0021650-1P transcript:Sspon.03G0021650-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAPPPPQPHPQRAPSPPPSPQPPAPSRRYGVHFSASSFIQAPLTALLEYSGILRPDPGGGTQQPGTGAGPGEVSIRIVAPGEAGTSSERAEEAIVEEEEEDGHATRTRPEEPTPAAGGGEGGRESSSSYQRYDIQQVARWVEQILPFSLLLLVVFIRQHLQGFFVTIWIAAVMFKSNDILRKQTALKRERKIPVLVGITILFVVHVSGFYWCYKNGDLIRPLMMLPPKEIPPFWHAIFIILVNDTMVRQTAMVVKCLLLMYYKNSRGRSYRRQGQMLTIVEYFLLLYRALLPTPVWYRFFLNKEYGSLFSSLTTGLYLTFKLTSVVEKVQSFLTALRALSHKDFHYGSHATSEQVVAAGDLCAICQEKMHVPILLRCKHIFCEDCVSEWFERERTCPLCRALVKPADLRSFGDGSTSLFFQLF >Sspon.01G0032030-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:109006730:109024808:-1 gene:Sspon.01G0032030-1A transcript:Sspon.01G0032030-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MESFGFIEVETPVLQVGGLEKVYEIGRIFRNEGISTRHNPEFTTIEMYEAYSDYESMMNMAEEIVTRCAMATHGKLKVDYEVFETFVESTLVQPTFVLDYPVEISPLAKPHRSHVGLTERFELFICGREIGNAFSELTDPIDQRNRFENQIKQHNAKRAAMAKEVKSTEGEGDDDYSYEVSLDEDFLTSLEYGMPPASGMGLGIDRAGLEGSK >Sspon.05G0003350-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:9993875:9996260:1 gene:Sspon.05G0003350-1A transcript:Sspon.05G0003350-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclin-U1-1 [Source:Projected from Arabidopsis thaliana (AT3G21870) UniProtKB/Swiss-Prot;Acc:Q9LJ45] MTAVALVLPTDGGVDHSPTAPAAAPPPQELVMVARAVQRLVARNDAVADGSAGGGMRAFEAAKGTPAPRIGVPEYLERVHRYAALDPECYVVAYAYVDMAAHRRPAAAVASRNVHRLLLASLLVASKVLDDFHHSNAFFARVGGVSNAEMNKLELELLDVLHFAVAVDHRVYHRYREHLEKEMLRRDYHGLMVVPGSAAPKPRTAAPSVVNKPPLPPPLTEDRRRPAEAGDGEREEHDRKLPNGALATNTMTSLRELWAFDC >Sspon.01G0035160-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:8323426:8324004:-1 gene:Sspon.01G0035160-3D transcript:Sspon.01G0035160-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKAVVVAVLLMQCCDVILSARPLLNAAAGADGGWQLGQVLDKGGNGSPSDPGNGNCDYTKPGNPGCPSSL >Sspon.02G0012270-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:32407233:32408012:-1 gene:Sspon.02G0012270-1A transcript:Sspon.02G0012270-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEPGRRPVPPFAGVDLRRPKGYPAPAAAKEAEEEPAPAPGGDPCPRCGSRDTKFCYYNNYNTSQPRHFCKSCRRYWTKGGSLRNVPVGGGTRKSSSSSSSSATSTSPGAAPKNTKRSKNSKRRRVAPAPDPAAPGTDASIVTATATADVANTAPSAEATAATVAASEKPTTATPAAAVVATETKPPPAAPPAAVVSGFTDDTSTAPRLGLADVGSVGGAKELLPDPSHFEWPSGCDLGSYWGTSVFADTDPALFLNLP >Sspon.03G0043510-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3C:81517218:81518170:-1 gene:Sspon.03G0043510-1C transcript:Sspon.03G0043510-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHGHGHSSPAATSAQPPGRKIDLSNVDDARGPTSGHLATIGLYVRGRGRRVNRNEAGHGGGRRTTWTDRVPTVHYKHQASNKAKEQGNRVESSEHHHHPPPPHTRMCLPFCMSSTVKDDYHPAPADRTTQRNGGGHYNGNGYPAQHAPAAATEADRKAYNYVRRGPAHAVPAGAGAQGKADGTLKQPAGRNGMVAAADGARGVAHNYYYNGREQPAHREDAAAASDFYHHHPAATATAGHERY >Sspon.02G0034770-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:9206360:9206674:1 gene:Sspon.02G0034770-3D transcript:Sspon.02G0034770-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding HRSMSPPGEEGRRQGSVKTEPEDDPLITLKVLDQEGRRAFHTRMSDKVQGVMDAYYKKAAGDVTYGTGTFMFDGSVRLRGCNTPAELDLNDGDEIESRRRMGRCV >Sspon.03G0028440-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:12396143:12398415:1 gene:Sspon.03G0028440-2C transcript:Sspon.03G0028440-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLPGRKAPAGVPGVRRWLVTVVVSVLGLVLTLVVISLSQGSSLPRTSLHDYLPAGVTGLGKRSSSERADGNSSDAAVGEELSQGGREPLVEQNGQGGDANSSQTSAVTGKMDDNEPDPVASSDATATPDEDTSNESQKAEQDTCDLYRGEWVTDSSGPLYTNNSCPIITQMQNCQGNGRPDKDYENWRWKPEQCNLPRFDARKFLELMRGKTLAFVGDSVARNQMESLLCILWQVDVPQNRGNKRMHKWLFTSTKTTIARVWSSWLVHRSTEAVGIAPKGIDKVFLDIPDETFMEFLPRFDVLVLSSGHWFAKRSAYILNGNVVGGQLWWPRKAGKMQVNNVDAFGISVETCLTAVATNPNFTGLAIVRTWSPDHYEGGAWNTGGSCTGKVKPLDEVVRNGFTDAMHEKQVAGFRKAVKNAGEHVSRLKLMDITEPFAFRADGHPGPYRSPDPNKKTQRGPDGKPPPQDCLHWCMPGPVDTWNEMLLETVRREFERDRT >Sspon.07G0013100-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7A:47591307:47592146:1 gene:Sspon.07G0013100-1A transcript:Sspon.07G0013100-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALTETESNQDLLQAHDELWHQSLSYLKSLALAVVLDLGIPDAIHHHGGSATLPQILAETALHLMRVLTVSGTFSVVVQQPPAHHSKLVLVLVLEMIRQLSPTRRRNEAAVVYTLTAASRFLVSDEVSSATTTLAPFMSVVLHPISISPHAMGICAWFRQEHRDPSAFGLAFRQTPTIWERPDDANAILNKGLAAQSRFLMPIVLRKCGQVFRGIDSLVDVGGGHGGAATAIAAAFPHLKCSVLDLPHVVAGAPPSDSNVHFVAGDMFQSIPPATAVFLK >Sspon.01G0026040-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:99995124:100004934:1 gene:Sspon.01G0026040-2B transcript:Sspon.01G0026040-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLASAAHKIPLEVAHTLVEIAEVARYAYHHRPGHPADHDGDPTALPAGADGGGGASEEAARLREENAMLRARLADDLALLRELHGAPCVSKECPPDLYNRLMAAVNNASFLAHLEKLQDESTREHNELSSGNMTEVEVADIPDKMGNGKKGSWVLVACDTAGANLEEISGIDDENYVIINEDDIVDGIATFVARCILEDPKSKSLSPVQLQKAAHKIPLEVAHTLVEIAEVARYAYHHRPGHPADHDGDPTALPAGADGGGGASEEAARLREENAMLRARLADDLALLRELHGAPCVSKECPPDLYNRLMAAVNNASFLAHLEKLQDESTREHNELSSGNMTEVEVADIPDKMGNGKKGSWVLVACDTAGANLEEISGIDDENYVIINEDDIVDGIATFVARCILEDPKSKSLSPVQLQKAVAKALDSMKARWRWSTFWEAGQIIYILATWGITLAGLYKSRHVLKVAAKGAAASARFVMKAL >Sspon.05G0031580-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:6504156:6506035:-1 gene:Sspon.05G0031580-1C transcript:Sspon.05G0031580-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKIMQALGGPTWDVELGRRDGTTASLDDANNDLPAPTLDLGDLIKAFSKKGLSANDMIALSGGHTIGQARCVNFRGRLYNETTSLDASLASSLKPRCPSADGSGDDNTSPLDPSTSYVFDNFYYRNLLRNNGLLHSDQQLFSGGSADAQTTSYASDMAGFFDDFRDAMVKMGAIGVVTGSGGQVRVNCRKTN >Sspon.06G0017380-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6A:94264450:94265103:-1 gene:Sspon.06G0017380-1A transcript:Sspon.06G0017380-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGSKKSVAYFAHDPAHRLLPAAGNNNDGGDEFTCGGCLVAGAGPRYRCAHPGCGFTIHEACARRCPRTLRSAVHPRHRLRRQRVAAGAGPDGDGGGGEGVKGACYACAACGVAVHPLCARMPGSASGPAHPGGGHEAWLVRVASSPPVPTPALEPDGDGKKKQQAAAAAGCEACGQPLGAWRYRCVTCAAELHPRCLVPAADQCRGAGEGEGGRAES >Sspon.08G0000530-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:287267:289301:1 gene:Sspon.08G0000530-3D transcript:Sspon.08G0000530-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRAPASLRLQAAASTATATPSEQQASSSPLLPPPPPQQQQQQAAVSVDSDMVVILASFLCALVCVLGLALVSRCACRLCRRASSSTTATGPAQQEQAPPPKGLKKKAIDALPTVPFTAAAAAADCAICLAEFAEGDALRVLPRCGHAFHVACVDAWLRTRATCPSCRAGIVAAPAQHKQQQQPQPPVVAPGACGRCGHVLMTATKQGILQRRGGSVSELQLHKYTSAFRPPPMQSIELPLVSSSSARFLFSTDSNSNINSHLKNKKKKKKKKILRGPSSSRLRLPARSLTSEHAFHLSWSNQPPSQSKKAEPDFGRPFTQVSRVHALCLTRQAHACGLPFYSSHWT >Sspon.05G0036090-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5C:83939730:83941134:-1 gene:Sspon.05G0036090-1C transcript:Sspon.05G0036090-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRTSKSASRCPVNDEQPSHGEQELDDQLTQEQFDNELEKGLEVMLTQEDLVDDDDLVGGAQGREGGEDAQREEGDDDEDDDTSSGGYVSPEDPFPLEPRRRPTEDELDKDFDPNDEVVPTQPQKRRRRPPAHLAGQYVAGQRRSEEGATDTHNEASAPQPQDTTTTETAQPKRKRGGIRKPNQYHDKACYVITEVGPDGQILEPYTYRAKFRNHIGFVVRDKLNPAIRGWNLVPMSQKVDLWEKLKQNFRFPERTHELVQENAFKIMGQSFRRWRSDLNKNFIQQKLTPFHEYGNITPSQWEELVAEKTSEASLALSARNSEQAKKNQHYPRLGPGGYAGKQEVFRKMDAEAEAAGNTEVPKLKPRLKQWIYARSVDSSGSSLQFAKPETGEVVSKILKLAEDKEKGAFNPSRERDELTVALGNPEHTGRVKGLDG >Sspon.04G0003700-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:48121733:48128081:-1 gene:Sspon.04G0003700-1P transcript:Sspon.04G0003700-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSASRFIKCVTVGDGAVGKTCMLICYTSNKFPTDYIPTVFDNFSANVVVDGTTVNLGLWDTAGQEDYNRLRPLSYRGADVFVLAFSLVSRASYENVMKKWLPELQHYAPGVPIVLAGTKLGELGRNFASTLAQLVTSSAAKKLSRSVALVQFLCYFFGVPGGLSIFPCCAVNVKAVFDAAIKVVIRPPTKQRERKKKKARRGCSIFCSRIMHTRRLGCFK >Sspon.07G0031430-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7C:22617039:22617896:-1 gene:Sspon.07G0031430-1C transcript:Sspon.07G0031430-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLRCRMHRLRRPHAGSTASIRSRLSLTAAIRLQPCSARSLPAPLLQAPSVTVGCCCSAMYLFVCIVSPCRSSSSSTPPRTRPLLRPPGCSGAARGLPSQPVPPPFQAVVPPPPVVVKQALRPESTPFAVYRGQRPLPATPALSAGLLRWRAGKPPPHFSPSATSDLSADLDFSATPALSAVSEVSGCAAIAWRPCRRMARLTVPRPRQLGVATTSSTVFAATSTRRILPRLRPRQPRPRLLRHKKGYPPLLRSSHNVRNTVATAGGCHCFGFVRLQSHRVCAPL >Sspon.06G0023190-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:42901290:42901571:-1 gene:Sspon.06G0023190-2C transcript:Sspon.06G0023190-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQQLVGRITMEVAPSKLPSMMAKRARLPMNLDTIAEDDKEAAMESQSPIRARGVEIIVIDTAMHCTDKLAFLAPMAKTECPKIKA >Sspon.07G0010540-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:24932148:24934970:-1 gene:Sspon.07G0010540-2B transcript:Sspon.07G0010540-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to 40S ribosomal protein S4, X isoform (Single copy abundant mRNA protein) (SCR10) [Source: Projected from Oryza sativa (Os05g0368300)] MARGLKKHLKRLNAPKHWMLDKLGGAFAPKPSSGPHKARECLPLILILRNRLKYALTYREVISILMQRHVMVDGKVRTDKTYPSGFMDVVSIPKTGENFRLLYDTKGRFRLHSIRDDEAKFKMCKVRSVQFGQKGIPFLNTYDGRTIRYPDPLIKANDTIKIDLDTNKIVDFIKFDVGNVVMVTGGRNTGRVGVIKSREKHKGSFETIHVEDSLGHQFATRMGNVFTIGKDKKPWVSLPKGKGIKLSIIEEARKRNAEAAAEA >Sspon.07G0029910-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:80551682:80563663:1 gene:Sspon.07G0029910-1B transcript:Sspon.07G0029910-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGRTAERVALAALLRMQMDQGPLRGASAKREMGQGSWVSGLLVEAGWWAAALGLLRTRDRKRRGEAGCGLGYQWPAIHQQASMAKATKLFLSSVILLLYTSLHIQGAGAAEYGNVTKNIVVQSMKTLEPLDNVYATQRRVVCAPCDCCPGNNSSSCYSTNCCLELVCNGPHEPIGSCIHTRLACNCSNCRQVEVLMQMATKTTGNDNRSLARYKGRPARRRPQGPTKPVMRSCTTFLDLTVVDSEGTH >Sspon.04G0031770-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:18079324:18080842:-1 gene:Sspon.04G0031770-2D transcript:Sspon.04G0031770-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGLPRELCLKIFHLLDHQSLASAPQDFDSQIGSVAYPVFCTCSSLKTHTNNCDDAAPGSVCRKWSALTSDDELWRKLFNDRWGADAAAFYAPEGSKSWKDVFVVQDRCDRYGLGVRIIREGKDYYLIYQGEIQRYLGSRQDTDTDGDCGKNAPRQDAGDEHRQISNRILFFLGDLEKACADAKRVKA >Sspon.08G0003320-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:8848304:8851642:-1 gene:Sspon.08G0003320-1A transcript:Sspon.08G0003320-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMRMAAAAAVALVAVMCVLAPRAAAQTTPNCAAKLVPCSPYINTTGTPPDACCTPLKDAVQNDLKCLCDLYATPEIFKAFNISLDAALGLSKRCGLDDTTAACKGLSPTQSPPGSPSGGSGSGSGSNAGHRTLSVDFPGLMSLFLALWSVLA >Sspon.05G0014300-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:45184126:45186590:-1 gene:Sspon.05G0014300-3C transcript:Sspon.05G0014300-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATADSHATARSEFIDSYTLYIHVSEPKLQENLSDWAGKLLPLASPIKWAYDCDMQHSKRQPSTKKETYVIFMASRNQGSAPRALVVEDIKVDCVILMHMLHKLNCEATAVENGKEAVDLFLEGKTFDIVFSDKDMPIMSGPEAVAKIRAMGATEVKIVGVSADFGGREAFMQAGADVFVPKPVKLETLESMLKVVISKNMSG >Sspon.03G0032520-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:38083734:38085905:1 gene:Sspon.03G0032520-1B transcript:Sspon.03G0032520-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AANIITLYDVSNIWRIPLLLRDQKAHEAILKVLNLESVDSIAREPKLDEWVARATIFDTLHDTVSVFSSVNPLLEEIFSSLVRIAMVGKYTGLSDSYLSVLKALLHASVDCRRKLVVDWVASTDLEDSTAIEVRHSSLVFNVLSLEGADGILVPGGFGDRGVQGKILAAKYAREKNVPYLGICLGMQIAVVEYARHVMNLTDANSTEFDPNTKTPCVIFMPEGSKTHMGATMRLGSRRTFFKIADCKSSKLYGNVTYVDERHRHRYEVNPNMVPEFENAGLQFVGKDDTGRRMEIIEIPNHRYFVGAQFHPEFKSRPSKPSPLFVGLIAAASGQLDRVLQDCCNGHVVSAKHVLSNGSYTSTVHQNGHPKKLANGLSNGTYYANGNGVHA >Sspon.01G0008030-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:22412687:22417010:-1 gene:Sspon.01G0008030-1A transcript:Sspon.01G0008030-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEQGFGSPARSPGLGLAAGTLIRPRGAALAGPSHAVHWRPRRISVLLVATCRCLKLWLKWASFFLLEKFEKKKQKLAAIGEDLLVIAADQPFVVRAFSIRDCIVKGLDPRFEISTEIAKPWPLASPDLQVEFLAKFGLNKLLRLRRLEWWSDGHLGEVAQEAHPESNKRVLAIVDALEKLELSPKHCGSQVLEIQNFNPTSLDDVARVHSRSYITGLEKAMGRASDEGLIFIEGTGPTYATETVMLYVCALFVFTYF >Sspon.01G0015510-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:45015915:45018391:-1 gene:Sspon.01G0015510-1A transcript:Sspon.01G0015510-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQAFRKLFDAFFGNKEMRVVMLGLDAAGKTTILYKLHIGEVLSTVPTIGFNVEKVQYKNVVFTVWDVGGQEKLRPLWRHYFNNTDALIYVVDSLDRERIGRARAEFQAIINDPFMLNSVLLVFANKQDMRGAMTPMEVCEGLGLYDLTNRIWHIQGTCALKGDGLYEGLDWLATTLDEMRASGRITSASSSAS >Sspon.01G0006910-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:16990678:16991227:-1 gene:Sspon.01G0006910-2C transcript:Sspon.01G0006910-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PYM [Source:Projected from Arabidopsis thaliana (AT1G11400) UniProtKB/TrEMBL;Acc:A0A178W3L1] MATSSDGGGDQRRLLSIPKEGERIIAPTRRPDGTLRKAIRIRAGYVPQEEVAIYQSKGALMRKSGPDVPPGYDPALVADAKPKTKAAKRNERRKEKRQQ >Sspon.02G0018990-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:57699502:57702717:-1 gene:Sspon.02G0018990-3D transcript:Sspon.02G0018990-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein TRIGALACTOSYLDIACYLGLYCEROL 3, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G65410) UniProtKB/Swiss-Prot;Acc:Q9AT00] MPMRRCQTDVVPVLAARSPGLGNVDNLHQSSSLSRSWDLNSQIDDDRNVLIECRDVHKSFGDKHVLRGVSFKIRHGEAVGIIGPSGTGKSTILKVMAGLLAPDKQGDVIICGKKRHGLVSDEDIEGVRIGLVFQSAALFDSLTVRENVGFLLYENSSLPEDHIGKLVTETLAAVGLKGVEDRMPSELSGGMKKRVALARSIIFDDTKDVVEPEVLLYDEPTAGLDPIASTVVEDLIRSVHMTGRDALGKPGKIASYVVVTHQHSTIRRAVDRLLFLHEGKVVWEGMTHEFTTSTNPIVQQFASGSLDGPIQYF >Sspon.08G0006440-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8A:20102709:20103257:-1 gene:Sspon.08G0006440-1A transcript:Sspon.08G0006440-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMTLRLLRGQVRETWDGRVNEFRAIQSQIVRLQAEMSGAIDHGDPAAPVVDENDLYFEEIRGIEGAT >Sspon.04G0009710-4P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:19643825:19653156:-1 gene:Sspon.04G0009710-4P transcript:Sspon.04G0009710-4P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQELHRPMELPPGFRFHPTDEELITHYLARKVADARFAALAVGEADLNKCEPWDLPCKHRPAGCTPARTASACCYFCTSQSSSHAWLKSSAWWFACAALAKMGEKEWYFFCLKDRKYPTGLRTNRATEAGYWKATGKDKDIFRQGNGKALVGSKKTLVFYTGRAPKGEKSGWVMHEYRLHGKLHGAVVPTKAAAGSKNEWVLCRVFKKSLVVGGAAPAAGKRGGMDMSEMGDDVAAISHLPPLMDVSGAAAANPAAAAHVTCFSDALEGHQFFNQQTPQPEAATDHLGLAAASSSFLLSGFAHYGPLHHGGASLVQLLEGSVYGSGIPDMSNNKQQQPVPAPPCKGGRERERLSASQDTGLTSDVNPEISQQPTSRSSAAMEQELHRPMELPPGFRFHPTDEELITHYLARKVADARFAALAVGEADLNKCEPWDLPCKHRPAGCTPARTASACCYFCTSQSSSHAWLKSSAWWFACAALAKMGEKEWYFFCLKDRKYPTGLRTNRATEAGYWKATGKDKDIFRQGNGKALVGSKKTLVFYTGRAPKGEKSGWVMHEYRLHGKLHGAVVPTKAAAGSKNEWVLCRVFKKSLVVGGAAPAAGKRGGMDMSEMGDDVAAISHLPPLMDVSGAAAANPAAAAHVTCFSDALEGHQFFNQQTPQPEAATDHLGLAAASSSFLLSGFAHYGPLHHGGASLVQLLEGSVYGSGIPDMSNNKQQQPVPAPPCKGGRERERLSASQDTGLTSDVNPEIS >Sspon.07G0000380-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:544944:552290:1 gene:Sspon.07G0000380-2B transcript:Sspon.07G0000380-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to Carboxy-lyase [Source: Projected from Oryza sativa (Os05g0591600)] HDPSHGSCRRVGGACAGSGHLGPRSVGGGHRVGRRRGGAPVPLPADLRLLRQRQGEEAQLPGRGHPTRQPAGGAGHRPGLRRGLHRSHGIGLPRSSRRRSPCHGIIPKSLMPREVTGEPVGEVRAVSGMHERKAEMARFADAFVALPGGYGTLEELLEIITWAQLGIHKKPVGLLNVDGFYDPLLSFIDLAVNEGFITEAARRIIISAPTAKELVMKLEDYVPEYDIGLVWEEQKPNSLVPELEVHVVREITMIANHLQREGRLGTDELELKPRSRRRARGAVAAALPVALAVRICAAMGAWGLVGGRWPATAEAGELLPERTAMPPWEQKQKQSYHRSSHGKRGNLVGEA >Sspon.03G0008660-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:23262359:23271007:1 gene:Sspon.03G0008660-1A transcript:Sspon.03G0008660-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPTPMPGGEGTLAAVMPRSPSPTPAEAGTSATETPVLIFLYFHKAIRAELEALHGAAVLLATERTGDVAALSERCRFFFNIYKHHCDAEDAVIFPALDIRVKNVAGTYSLEHKGESDLFSQLFDLLQLDIQNDDGLRRELASCTGAIQTCLSQHMSKEEEQVFPLLTKKFSCEEQADLVWQFLCNIPVNMVAEFLPWLSTSVTSDEHQDIRNCLCKVVPDEKLLQQVVFTWMEGKATREVAESFAAGNSVRNNSVEDVPDQGEIHICSHHDSRLGSKNCAESNGPQADRHPIDDILYWHNAIRMELHDIKEETRRVQQSEDFSDISAFNERLQFIADVCIYHSIAEDQVVFPAVDSELSFVQEHAEEERRFNNFRCLIQQIQIAGAKSTALDFYSKLCSHADKILETIEKHFRNEETKVLPQARMLFSPEKQRELSYKSLCVMPLKLLERVLPWLVSKLSDEQATSFLRNIRLADAYETASPSETALVTLISGWACKGRDKCKSGEYSCLTSSTARCLSDDVDDLGKCRPFCPCASRNSSDLSLQLQTENGSRPGKRGKDAVSFPGTNGSYCSQTADIEASPCSKKPCCIPGLRVESSNLGIGSLASAKSFRSLSYNSTAPSLYSSLFSWETDASLSCSDGISRPIDTIFKFHKAIRKDLEYLDVESGKLIDGDESCLRQFIGRFRLLWGLYRAHSNAEDEIVFPALESRETLHNVSHSYTLDHKQEEQLFKDISNVLFQLSQLYDSQGHAQTEVNEVEQSCFHSSNDVDFARKYNELATKLQGMCKSIRVALTNHVHREELELWPLFDKHFSVEEQDKLVGRIIGSTGAEVLQSMLPWVTSALTQEEQNKMLDTWKQATKNTMFGEWLNEWWKGAGTTSDSSVEASSAPEDSHLQDKLDQNDQMFKPGWKDIFRMNQSELEAEVRKVSRDPTLDPRRKAYLIQNLMTSRWIAAQQKLPEPNSEECSDDASIPGCAPSYRDQEKQIYGCEHYKRNCKLVAACCNKLFTCRFCHDKVSDHTMERKATQEMMCMVCLKIQPVGPFCQTPSCNRLSMAKYYCNICKFFDDE >Sspon.01G0032120-3D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1D:110067620:110068798:1 gene:Sspon.01G0032120-3D transcript:Sspon.01G0032120-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVCNLGNNAMEMEAQQQREQSQAGGCTDDPAAVLTCLTFLEQKIGHLRGIIGAAPRPPRQMVSAELSCIAMQLVSISKSLAATGGSAAAEQDDGATRSPLPNDGDSDSSDHDPLHDEDEDDDGDGDGRLPPAGSYEVIELGKEEILAPHVHSCKVCGKGFKRDANLRMHMRGHGEEYKTAAALAKPASAAVPSSSAGRCFYSCPFVGCKRNREHRSFQPLKTAVCVKNHYRRSHCDKSYTCRRCNVKRFSVLADLRTHEKHCGRDRWICSCGTSFSRKDKLFGHVAAFDGHAPALPPDDDASVANGGLGSGSDRLMVDTEAVSRMASMEFFPDAMLDGLSCSDIKGFALMDGQGQCLEDDDGRGSLSPLPMGLDSCDFDGFDLFGAPAIDF >Sspon.07G0025620-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7B:45180525:45181358:-1 gene:Sspon.07G0025620-1B transcript:Sspon.07G0025620-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAKVVLLVAAVVATGSSSCAAWDLNTIRMPTARAIEAAAAHFQEGMAAPLIHALHPLLGSAGDLGRHAGVPCDSWRLAVETYNKRDWKTVPANCEDYVGHYMLGRHYRRDSRFVVDEAIAYAEGLKLAGNGKEVWVFDIDETSLSNLPYYAKHGFGTKPYNATSFNEYVLEGSAPVLPETQRLFQKLISLGIKPVFLTGRTEDQRAITVANLRRQGYSGWEKLLLKPIGFARQKLQNA >Sspon.04G0023480-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:8411646:8413681:1 gene:Sspon.04G0023480-1P transcript:Sspon.04G0023480-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSRMGERGLGGIVVVAPFAIDVWTSSWWNDWGHVGSEHGSHALGVPWLPALPEGSTMPKGSGAPVLTLWGSGEAEIVPDGVQGSGALMTSWGLGAPALRVAAGEEATRETRTEGLGCNAQQNHTVKLARIQLPPLNSSREVSHEPAGPNLQAKKNAREKQRKKNPPESRGFLCSSSKATLGVALCLGGPSGLGATDPTNQHRHAGPAFGPRRTHHPPSGLSLRSLHKTTSTSCLPFKHTRYNNTPSISPSPLAPPRGHEHASHRTGPSPIAPTSRAPGAGRWIQGRAPLHRRRWTWASAARVGPGVEERGRSGQPVRSRRGRRVGGGVDPARQLRRGGRAGRAGARAGGLPQGHFLEACFLCRKPLASNRDIFMYRFATLRYLA >Sspon.05G0010220-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:29256118:29256357:-1 gene:Sspon.05G0010220-1A transcript:Sspon.05G0010220-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALDARSLPTVVSVAALEVCSGMPRAGNGGGVSSATGAGEVSVVDVPALWSDEGRMKRELVAWAKAVASMAIRETMMQC >Sspon.02G0028310-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:97946642:97949460:1 gene:Sspon.02G0028310-1T transcript:Sspon.02G0028310-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MESTAALARTAPPLAGSTRRPSCALRPTASLSFAAASTTPRGRLGLGLSTASAGSGWAARARAVPRRIVASSVVEQSYIMIKPDGVQRGLVGEIISRFEKKGFLLKGLKLFQCPKELAQEHYKDLKDKPFFPKLIDYITSGPVVCMAWEGDGVVASARKLIGATNPLQAEPGTIRGDLAVQTGRNVVHGSDSPDNGKREI >Sspon.03G0021310-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:65539664:65542264:-1 gene:Sspon.03G0021310-1P transcript:Sspon.03G0021310-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAPKQRWTSEEEAALRAGVARHGVGNWRMILNDPELSSTLRYRSNVDLKDKWRNMNVIVTSSSARDRGRTSTRRTRAAPKNNDHSLAMSTVTSDVDDEIVDVNPIASVPVEAWNTSNSKKSHSRLDNIIMEAIKNLNEPTGSHRTTIANYIEEQYWPPSDFDHLLSAKLKDLATSGKLLKVNMIFKLHVLKLKNLELFSMTSGSLQ >Sspon.04G0014060-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4A:51847749:51849679:1 gene:Sspon.04G0014060-1A transcript:Sspon.04G0014060-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RWNKIFVISCLFAVFVDPLFLYIPVIDGGNNCLYLDKKLETVASVCVGGAAYSPRS >Sspon.07G0029350-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7B:75783740:75786546:1 gene:Sspon.07G0029350-1B transcript:Sspon.07G0029350-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MYQDHCHDSIRTSVLNSPAGRIPFYSDPVTLPLPLPALSPALTHCHTAVPLSGPACAPGTDTAPACCRAARPRSLLPFLPSSPHRRRGRPRQLRRDPNKQWPKLASSMLHLKFMLSRLHHIVLVKHLGLARTFACLPAVGTRVAGSLPTRRPRLDHGLATAGRPDERGVTWPRWSSPRTSCLVQPVSTLGPSSVVPVSRATLGPEARGCCLLCSFLGSRNAAIASASPTTHHASGAGLSASPLSPPRKTGPLGNRNLQVFLLQAKVVRPASECRQFAKARKACKCLLAYTAAPSPSRLQKPANTPSPPPPPFRDMPRSTALPSSCSTTSMAEVAVVALMPVEKPVDVPATAPGNKKPPSSSSAKKRKGRPPDLVPATEAPVAKRKGKGPPNLAPAAEAPVAKKPKTGDARTPTAEPPRSGVAVAHTAVQTLASASSAALAMPYGLPAPMSTLPVLHDANADGQTPQQVRCRTD >Sspon.01G0002240-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:6165314:6169569:1 gene:Sspon.01G0002240-3C transcript:Sspon.01G0002240-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGRKDRGRFSRFIRRMSVQCLCSGDQTNTMDRAIQLSENIDIKDGMTSRHSSPKLVAGRHVNNVGMEEAELSLQGGGSLNYEEARALLGRVEYQRGHIEEALRVFDGIKISALIPEMKKSVIRKVGQQKPRPHSSSLTMPFHSVTILMETIYLKSLALHDLGKITFNITPTKSGFAEAARECSAILDIVESAAPEGLPTNFGNDCNLNETICRAVELLPELWKLGVAVKPDLPSFDVNWMNNLEEAILLLLILLVKFNLKRIERDATVMHHLSFALSVSGQLKPLARQFEALLPGLLDNREWLYNVALCYLASGDDLNALNLLRRVLKSGEDSNSLKELLLASKVCGEDSAHAGEGVLYARRALANQHGGCDQMEVVAGRLLGISLSNLARYATTDIERAAQQHEALEVLANAGKKMHSRDFGTIYSLSLENAVQRKLDTAARYAKKLLKLEAGSELKTWLLIARIMSAQKRFEDAECIVDAALDQAGKWSQGDLLQTKAKIQIAQGQFRKAIETYTQLLALIQLSVKSFSAGISVLQGTRTDKRKHNEAKGFMKEALRAYSTALDLDPKHVPSLISTATVLRQLYKKPLPVARCFLTDALRLDRTNHVAWFNLGLLYEDEGDSAAIEAAECFRAAALLEENAPAEPFR >Sspon.05G0039720-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:76320662:76326494:1 gene:Sspon.05G0039720-1D transcript:Sspon.05G0039720-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVPSLYKWLVGKYPNIVVAAKKDEGGAGTSSSLAEASTGPNGVGVYHNLYLDMNGIIHPCFHPEDQVCPPTTFDEVFAAMFEYIDRLFRMVRPTKLLYLAVDGVAPRAKMNQQRSRRFKAAKDAKDAELEEKLLREKFRAEGREVQPQETNEVSDPNVITPGTEFMEKLSSPRVLRPVPLQVILSDSNVPGEGEHKIMSFIRGQRSLENYDPNTRHCLYGLDADLIMLALASHELHFSILREDVLPQNLPENCIPLSKELFKTEDSSRKCRGWFPKVTETAHRDRSPKKPYQFLNIWVLREYLELDLKIPNPVVKTDIERLIDDFIFICFLTGNDFIPRIPSVEIHEGAIDLLLEVYKQAFNKMGGYIVNTEKVHAKSNYINFIDYLLSYVLMYFCCYQKQLKDKHAAYLEVSRLEKFFHELSLCEEKIFLKRYELRERSQRKFVHQAAEEWKERNYDNMVIANTLELRRNLKDTLRNKQDLIKSGACKHDAVRLGLAGWKSRFYREKFGVEKSNEVGMLKKDMVQKYLEGLCWVLRYYFADVPSWSWYYPFYYAPFASDFEGLSQFKISFTIDKPLSPFDQLMAVLPKERHIDTHGKRFLWQGIVMLPFIDEKLLILATKTVEDKLAVKEIAYLLCKLSTRVHEINRNTVRQEKIFLRNSNTLPTGAAFAQTSDCLSKKLPTDQSTSELGGWLSPVNDDSISCGFFRSPIRDLQDIRNDQTISFLFSNPEPVQIIPRLLDNVKKPEKTITETEIPKRPLWHTYPGSRPPPETIPTLAEPQPMISGFGRGRGRAITAETALAVAAATGEVSMGLTWRKAEAAGMTEVVPTLTSRTEALVQEEGSTAPRSTGSRRLGAQLEIQAAVVGASSAVVGRTEERCQGCDELMMN >Sspon.07G0017630-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:68066231:68069461:-1 gene:Sspon.07G0017630-2B transcript:Sspon.07G0017630-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRHLHRSLRAIHCLPATGSAACNPAPLHRLWDSHIEPVSGSCNKHATRDLSTSKRVTGDPIYQQNELEPTTPVKDTDIIIDRIRKSTRKLEEGPVGKNLSSAEKRKFLINTLLSLEDSREAVYGTLDAWVAFEQDFPLASLKQALSVLEKEEQWHRIVQVIKWMLSKGRGNTMRTYELLVCALEKDNRAEEAHRFWQKKIGHDLHSVPWRFCRLMLAIYYRNNRLDTLVKLFKELEACGRKPPSKDIVRKVEDAYEMLGLLEEKKALLDKYKDLYNKPSRNDRKKGSKSKKTESDKISADGSRECKMETSENLEDHSFPLDEDSDASAES >Sspon.02G0029950-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:96559777:96563933:-1 gene:Sspon.02G0029950-1T transcript:Sspon.02G0029950-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRLVLDQLAGEALRELLHAVQGTLFCRSTAERLRRSVEPLLPLVQGLGPHSCQRSAGELGELAARVREALDLARRAAASPRWNVYRAAQLSRRMEAADRGIARWLERHAPAHVIGGVRRLRDEADARIGRLERRVEEIAAAAQPPAPPALSVPVAPHKGVPMPMEAPLCKPAFAAVPMEAPLGKAAFAAVPMEVPPHKGMAMSVPVPLKAASAKAGVMAMDMDLTEGHEDEGMVGGGVKVAKEKVKEMVMSGGGGWEVVGISGMGGSGKTTLAMEIFKDHKVRAYFNDRIFFETISQSANLETIKMKLWEQISGNIVLGAYNQIPEWQLKLGPRDRGPVLVILDDVWSLPQLEELIFKFPGCKTLVVSRFKFPTLVKQTYEMQLLDEAAALSVFCRAAFDQESVPQTADKKLVRQVSAECRGLPLALKVIGASLRDQPPKIWLSAKNRLSRGEAISDSHETKLLERMAASVECMSEKVRDCFLDLGCFPEDKKIPLDVLINIWMEIHDLDEPDAFAILVELSNKNLLTLVNDAQNKAGDLYSSYHDYSVTQHDVLRDLALHMSGRDPLNKRRRLVMPRREETLPRDWQRNKDTPFEAQIVSIHTGEMKESDWFQMSFPKAEVLILNFASSLYYLPPFIATMQNLKALVLINYGTSSAALDNLSAFTTLNGLRSLWLEKIRLPPLPKTTIPLKNLHKISLVLCELNSSLRGSTMDLSMTFPRLSNLTIDHCIDLKELPSSICEISSLETISISNCHDLTELPYELGKLHCLSILRVYACPALWRLPASVCSLKRLKYLDISQCINLTDLPEELGHLTSLEKIDMRECSRLRSLPRSSSSLKSLGHVVCDEETALLWREAEQVIPDLRVQVAEECYNLDWLTD >Sspon.04G0009540-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:28355710:28356579:1 gene:Sspon.04G0009540-1A transcript:Sspon.04G0009540-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKEIIIRIHVKSDKCQAKAMKVAAAGSGVESVTLAGGDKSLLLVIGDGVDSNKLLKKLKKKVGEAEIVELRTHDTFEAAALPLPGTKQELAAMMAMAAARSPYNNNNHHHHQQWQHSYAAAPTSPYSYHYYPSPVGGYGYGYGYGAGGAAISSYSRAVARSHPANYSPMVERHDYQPMEHSSSSSGKRRQTMAVPRHASGTNSCTIL >Sspon.06G0006300-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:21119883:21128138:-1 gene:Sspon.06G0006300-3D transcript:Sspon.06G0006300-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AMLIEPLDGPDGYLRWKESVLLRLHTLGVAHVLSDDPPALPAGDVAFRATAKKWARDDAVCRGHILAALSDRLLPDYARHVTGRAVWQAVARTYDLGVATPSVSWQRFLDFHFDDDEGASLLEQIAHAEALAAAADHPLVSGSVLVRMLCQKLPADVGFRAMVAKE >Sspon.08G0002160-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:4931596:4942062:1 gene:Sspon.08G0002160-3C transcript:Sspon.08G0002160-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding TKTNGIPKLTCRGGAESTTTNSDEPSGPGCKLQSSLIRDSRNKYSYAPVRNRQISSSSPRGAQRLEAVQKRVQWVSTGKKSAIWKKTHERNARRVLNLMIELEGLWVKMGQYLSTRADVLPEPYINVLKQLQDSLPPRPFEEVRGTIEKELGEPMSDLFADFVVDPLATASIAQVHRATLADGREVVVKIQHDGVKEVILEDLKNAKSLVEWIAWAEPQYDFNPMIDEWCKEAPKELDFNHEAGRRRRSAWIQGQRRPSRVRREKEPGQKKEEAASAAGKAPVKKQSAGELRLHKDISELNLPKTTSISFPNGKDDLMNFEIIIRPDEGYYMGGTFVFTFQVSPSYPHDPPKVKCKTKVYHPNIDLEGNVCLNILREDWKPVLNINTVIYGLNLLFTQPNDEDPLNHEAAVVLRDNPKMFEANVKRAMAGGYVGQHYFQRCA >Sspon.06G0027760-3D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6D:89258771:89262810:-1 gene:Sspon.06G0027760-3D transcript:Sspon.06G0027760-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGMEKHHKTLMRWLPAILDVIDDAEKQASRRGVKKWLDELKMAAYDANDVFDDFEYKALRRRAKKNGHITELGIIARVKLFPTHNRVAFHIRMGNRLRRIVDTFEVLVDEMDTFGFNKLEVEAAPTWKEWRETDSIIIDPENIVSRSRDKERKKIVEILLDHQASSSGNLLVVPIVGMGGLGKTTLAQLIYNDPQVKEHFQLLKWVCVSDDFNVCNLANKICNVSGTILEEALNKLQEHLRGKRYLLVLDDVWNKDVDKWDKLKACLKQGGVGSAILMTTRDKEIARFMGTVSNNSWKNNYHDVEILGIEFINEIIETRAFRMQKTKPEELVMLVGPIAERCVGSPLAAKALGSVLRNKATKEEWEDILHRSRICDDETGILPILKLSYNDLPTDMKQCFAFCAMYPKDYQIDVDKLIQLWMANGYISDQNKVPAETMGKRIVNEMVSRSFFQYEDQRRIEYSSKTFVKIHDLMHDVALSASEKECVCITNEFVGSGSSAYLSIKPKHLCHLRYLDLSNNEDIRALPDDISILYNLQTLKLSRCRNLSRLPEQMKHMSALHHLYTDGCTKLECMPPELGRITSLRTITWFVVGSGSNCSSPGELKDLNIGGSLMLKQLENVIGRRIAKATNLENKKELRQLSLEWTNAGKEEAQQCHEEVLESLEAHDGLLSLEIYSYEGTSFPSWMGMLKNMVVLRLSNCSKADQIPELEQLAELQVLHLEGLGKLRFLCSSCTSSTFGKLKDLKLVNLDVFDGFCAAMHGSVVAFPQLELLHIEGCKSLAALPEASVLREPYGGEDYTVACSAFPELKKLILKDLYSFDFERWEASLEIEEEHALFPLLEIVVIEKCPKLTTLLRAPKLKELVLREANEQISLGGIRYMASLSSLLLKGVKLDCNIRKEPTFAPSKVSRDIWVWKSGRGLLLPCSQDDGSS >Sspon.04G0031210-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:8920426:8921073:-1 gene:Sspon.04G0031210-1C transcript:Sspon.04G0031210-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VPPPLHPCLPHRTAPTRQPSFVPAPSATSEPLLPNLRPAAAPRARSLRAAASVVVSFAVASSAAAAASTTAGLHHEAGHDHRAWPKIPLPRLWTQAASDGAFMTTSFQRTWTNATLAHAGNVQYLLLLKSTKLALLNLPKVQYLAFGLNV >Sspon.07G0023760-3D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7D:18921340:18927283:-1 gene:Sspon.07G0023760-3D transcript:Sspon.07G0023760-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:65-kDa microtubule-associated protein 5 [Source:Projected from Arabidopsis thaliana (AT2G38720) UniProtKB/Swiss-Prot;Acc:Q9ZVJ3] MAAPPPPPLPPMPPRRVSCGSLLQELQELWGEIGQGEMERDRMILQLEEDCLNVYRQKVNQTRKQKADLLQELSFGEADIDKILSALGERETFPRSEKLGGTLMEQLAKIQPVLEDLRRRRDDRLNDFRAIQLQIVRLQAEISGAIDHGDPAASMVDENDLSLKRLGELKEQLNDLQTEKECRLQKIDIQTNSIQEMCNIMSIDLKKALKDVHSSYAELGRTKPMSISNDSLDRLSEKVHALNHEKKQRLRKLQDLGSTLIELWNLMDTPTDEQRSFDHVTSLIKVSPNTVMPPGCVAHELIEKVETEVKRLTHLKASKMKELVFKKMTDLEEIYRSVHMDINSVSERQTLSDLIDSGRADLSELLTRMDIRIAEAKEHALSRKDILEKVEKWTSASEEETWLDEYERDQNRYNAGRGAHINLKRAEKARTLVRLLDCLEEYTCTRQQKEEEKRRSRELKKLPSTEQGAKFVTKPSPIRPLSARKPLGSSNVNNIGGTPTGRRVSTPMSRKCRPSSGRVQEAVKTAVAPANYVALPKDCSDNSSL >Sspon.01G0042680-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:67852541:67854066:-1 gene:Sspon.01G0042680-1B transcript:Sspon.01G0042680-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQPSTEKGRQAFQRIQELIAAATDRIPAPSDASVTHSRVPRNSGAQPPHRSNRSPHRNPECHRVPALQPGDNGGGQGGSGRGGGGGRHTANGGDHEDDGTNDNLGSSYYSDGEGACTKLGTSWNLLGCKRKTGESLRDYIKSFTQRKNKLEDVPDASIVTAFIAGVDSEALIQDIGTRKNISLHDMFSLAHEHADGEDCFNESTHHMAILLLDFKVFNSKGVGYKLLHLAFKDFISMKVWVHLQAIGLHKFFNLAIWAELQPTLLPMDP >Sspon.01G0003050-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:7824442:7828598:-1 gene:Sspon.01G0003050-4D transcript:Sspon.01G0003050-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTKRLFPVASRRSSEELIFQGKVTVNGSVCTSPQTKVDVSKDSIYVNGNRISKKLPPKLYFAVNKPKGYICSCGEDSKSVVSLFNDYLKGWNKIQPGLPKPRLFTVGRLDVATYVVTIDGAVHKKHLIDISEGTKIDGVMCIPDLVEPLDAQSDTRKTRLRIVVHEGRNHEVRELVQNAGLQVYALKRVRIGRFRLPADLGIGKFVELKQADIKALEGNK >Sspon.07G0000040-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:14408383:14410023:1 gene:Sspon.07G0000040-3D transcript:Sspon.07G0000040-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIVTAAAAAAAATCTSRLLHYHAAAGSDRHHRHQLRYSASPFPLSLRCGSGRREAAAGALLPDRVTPFSYGVDEDADDHPREECGLVGVVGDPDAASLCYLGLQKLQHRGEEGAGIVAVGGDGKLKSVTGLGLVADVFGDPSRLASLPGPAAIGHVRYSTAGAAASLRNVQPFLAGYRFGQVAVAHNGNLVNYQALRNKLEARGSIFNTSSDTEVILHLIATSLSRPLLARVCDACERLAGAYSLLFLTADKLFAVRDPHGFRPLVMGRRGNGAVVFASETCALDLIDATYEREVEPGEVVVVDRRDMSVASACLVPHRPRRSCVFEHIYFSLPNSVVFSHAVHERRTAFGQALAEESPAPGADVVIPVPDSGFYAALGFARASGLEFQQGLIRWHYSGRSFIQPTQAIRDLAVKLKLAPVRGVITGKSVVVVDDSLVRGTTSSKIVRLLRDAGAREVHMRIASPPVVGSCLYGIDTPSEGELISNRMDLDGVRREIGSDSLAFLSLGKLHSIYGEESGDYCDACFSRKYPVLPTLADPAAEPEE >Sspon.02G0004320-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:36706650:36712011:-1 gene:Sspon.02G0004320-2B transcript:Sspon.02G0004320-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:BTB/POZ domain-containing protein NPY1 [Source:Projected from Arabidopsis thaliana (AT4G31820) UniProtKB/Swiss-Prot;Acc:Q8H1D3] MKFMKLGSKPDAFQSDGADVRYVVSDLATDVVVHVGDFPLLSKSSKLQQLVLKAAEEATDEVHIDDLPGGAESFEICAKFCYGMVVTLSPHNVVSARCAAEYLEMTEDMEKGNLIFKIEVFINSSILRSWKDSIIVLQSTKALLPWSEELKVVGRCIDAIASKTSVDPANVTWSYSHNSKKAGTCAEIVESAGKPSIAPKDWWVEDLCELDVDLYKRVMVAIKSKGRMSPELIGEALKAYAVRWLPDSYDALVAEDYMRRNQCLVETIIWLLPSDKSSGCSCRFLLKLLKVSILVGGGEHVKEELIRRISFQLHKATVKDLLLPATSPNDGTYDVRLVHNLVQRFVARTALSHNGGFIEKSDEKMIELNFEQQSTLSVGELVDGYLSEVASDQDLSLSTFVELATAVPEAARPVHDSLYYAVDAYLKEHPDINKSEKKKICSLVDVKKLSADASMHATQNDRLPLRLVVQVLFFQQLRAGSSKELTLIDNGEHACSRPMQDQCDPCERRIPKHPNSLNKQVTSLSARESQHHRISDHRASRNSFKDQIGGILLQSRSRRIFDKLWSSKGQGEHGGKGSETSGSSQSPPLSAKPAEVKPSPLPPLRNRRYEN >Sspon.02G0027210-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:98723509:98725631:-1 gene:Sspon.02G0027210-1A transcript:Sspon.02G0027210-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFNIANPTTGCQKKLEIDDDQKLRVFFDKRISQEVSGDALGEEFKGYVFKIMGGCDKQGFPMKQGVLTPGRVRLLLHRGTPCFRGYGRRNGERRRKSVRGCIVSQDLSVINLVIVKKGENDLPGLTDTEKPRMRGPKRASKIRKLFNLSKDDDVRKYVNTYRRTFTTKSGKKVSKAPKIQRLVTPQVLQRKRARIAEKKKRIAKKQAEAAEYQKLLAQRLKEQRERRSESLAKRRSKLSAAAKASAATSA >Sspon.08G0006330-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:16780438:16780987:1 gene:Sspon.08G0006330-3D transcript:Sspon.08G0006330-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSSSSSSSSSGGSHAGLAIAATAMALSGTLVLYSLCRANKQPQLVPSDSDAVPSPRLRPCLSSSEKRKKKKREMARRGSQQKRVRFADDVVDKDNNGSVAAAAAPREEAEAEPSCAAALRTPMPANREALYRGMLRGRTMLRVACSY >Sspon.01G0021590-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:78971555:78979748:-1 gene:Sspon.01G0021590-1A transcript:Sspon.01G0021590-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRAIRKAAAATRRALSAAARASPAPPRGPLAPGWGATRWLCSGREALSYDVVIVGAGPAGLAAAIRLKQLCRAADADLSVCVLEKGSEVGAHVLSGNVFEPRALDELIPKWRQEDSPIRVPVSSDKFWLLTKNQAWTLPSPFDNKGNYIHAFQTSLAGIPPVLEGQALLKILLVSSLSQLVRWMATKAEELGVEVYPGFAASEILYDENQIVTGVATNDVGIAKDGTKRETFQPGRITLLAEGCRGSLSEKIIRNHKLRERGQGQHQTYALGIKEVWEIEEGKHKPGSVIHTVGWPLDTKTYGGSFLYHLDDRQLAIGLVVALNYRNPFLSPYDEFQKFKQHPAVRKLLEGGTAIQYGARTLNEGFLNVPKIKGTHTAMKSGMLAAEATLKSLVEGSSMELYWENLKKSWIWEELHKARNYRPAFEYGFIPGMALSALERYIFKGKSPYTLKHGRPDHEATDTANLHAPIQYPKPDGQITFDVPTSLYRSNTNHEHDQPPHLHLRDPTIPERVNVPLYAGPESRYCPARVYEYVKYVSDEKGDPKLQINAQNCLHCKACDIKDPKQNIEWTVPEGGGGPGYTVM >Sspon.08G0026190-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:13620452:13620937:-1 gene:Sspon.08G0026190-2D transcript:Sspon.08G0026190-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPTRPKIKIIHIIAPEIIKTDVAHFRDLVQRLTGKPTTCAASINSSADDTAPVEEEEMETTTTKKRPRPPAPAPPVADEMSDFMVQEEPIIKKRKIKCEVKVEEGAFGDYELDRSDLWMDLNPGGFLSFLEEEGVFQGLAADHDFLQPFGSSRMDLVGE >Sspon.05G0007360-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:24456731:24458191:1 gene:Sspon.05G0007360-2D transcript:Sspon.05G0007360-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEELLAGREICPQARHFATLALKHYNSKRTHKFEMATVLLSKCFAEVDGQTFAHVNFTAAPEGQSNSIAGKRLFFAELMLIPELQVDETAEPMRVLNVCTIDDSCYGGCHLIRRDIKNMLRKKMDYERCHACSHRIKHPKGDQFIAGHNSTRMPYYSAFPWIP >Sspon.05G0005560-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:9605133:9607925:1 gene:Sspon.05G0005560-3C transcript:Sspon.05G0005560-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSARAAARVPLSIRMGLIATIQSKRRGQEPGCSGSGLVALESPPLPASADTPFSCSFSFIRKCLTNNPYFALTMHSITNPLGTAMTVKRARLKPHATDIKRNPQVHELYKLVYRLPENLSWLLAPPEVPKRSTSKKKKQKDEILIGNSFGVILEWEGVVVDDDDPDLEPRVWYVLSLEEGKSFPPDALLKKIEGMRTDQAISEVLCWSEDPAEIQRLAAHKEVIYQTLRGGYYQLRPGVLDFLNTLVGFDIPIAIATPHSRKSLEQGIKTVGLQGYFDAIIALEDFCLGKPDGEMFEVAAELLGLEPDVCVVFGNSNLTTESAHNAGMRCVAVAGRHPAYELQAANHVVRWLDQLSIVDLQGLLMK >Sspon.03G0007390-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:1015262:1019370:-1 gene:Sspon.03G0007390-2D transcript:Sspon.03G0007390-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPALSTHHLQLRLWWRRLGRRGAAFALALLAAALVLTLSRYAAGPAASPPASSSYGHRLPALVDITLVYGATDKGAVCLDGTPPAYHFLPGFGDGSHNWLLHLEGGSWCRNFESCARRKKTNLGSSAHMDTRAEFVGILSDDQSQNPDFYNWNKVKIRYCDGASFSGHVQDEVKKNGTGFFFRGQRIWEAVMAELLSKGLARAKQAFLTGCSAGGLSTYIHCDDFRALLPNTPTVKCLADGGFFLDDVRKRFPHCSSDMEPGQCFFPQEVAKSITTPMFILNPAYDVWQVEHVLSPEGSDPQNLWQNCRTDITKCSSKQLDVLQGFRKALLDAINEFKKRRDWGMFIDSCFIHCQSMNALTWHSPSAARINNKTAAEAVGDWFFDRREVKEIDCEYPCNPTCYNVVLDQPYKQD >Sspon.07G0014860-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7A:53448960:53449407:1 gene:Sspon.07G0014860-1A transcript:Sspon.07G0014860-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ACVNNPSITISRSRSPSGSPRGGRRDRDERRSKSVSYSRSASPRRSVSPAKEKERSRTPDGSRSPRSPSPRDDVSPPPKDNGARNGSDREDSPGARENSKRSRSPSDGYRSPAANGRSPSPRDDRSPSPKGDNGDDEHRGSPRGSQSP >Sspon.07G0023050-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:8962850:8964745:1 gene:Sspon.07G0023050-2C transcript:Sspon.07G0023050-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIEVEAKQDARCSIKKTLRCSIRTSWRCASEHWAIFSLVLLLYLLYKYTPRFFAFLLSTSPVIICTSLLLAVLLCHGSSHLPEIREDRKAPEQGSAGSSSRNVRTEEQQRFSVPTLKKNIAREASFGRRDWNKHADLDENVPLLKQEAATEEYMKYNAEKESKGVFSSKDEYANSFDDMHRNTVDREEATLGLCSSSENVSEDVKVVAKPDDQGTVSAYAQSGEVAEISDHKSADGTPSKCKWGRAFSVRRRKKLADIKVEAINSVVDNQLDHSLCSPFDTFGSYDNTDNEKNVMDLGYSEIERNRRLETLMVKRKSRKNIQFDPDGMGGVADDVSRFRPQVQPISVSARRMNPFTDDAEIPGSAPPILHPRDNNPFGFLSDEQSDDSGLPAPDNLEPQEPMPVLHQDALFRRHESFSFGRPPQSQRHGPSRFKPCFSLEEFSLDEASSSSFQRQFSDRSVSRLSVVSECDTISSVGDQEHNDLIRNYIRGVRESSPSLLGQDGGGGDAVCAAGNECSDGISSFVDNEALNAVIC >Sspon.06G0014820-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:81520246:81521789:-1 gene:Sspon.06G0014820-1A transcript:Sspon.06G0014820-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAGINPCKLRDLRRIMRVLTLSGIFSVKQAVYKLTTASRLLVRDESLTTSQQLPPFLYPQLMLTPCRESTVSRGLHAWFRQDQLQSARPSPFALAHSGLTTIWERVERDATAFLFDDAMASDTAFLMPIVLKDCGEVFHGLTSLVDVAGGLGGAAATIKAAFPDLKCTVLDLPQVVAKAPTDNDVQWREILEEGRERASQGAEYGHEYDIMCIFDGETT >Sspon.01G0053920-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:44318710:44320653:-1 gene:Sspon.01G0053920-1C transcript:Sspon.01G0053920-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MILLQSPSRFLLQILQDRVLSGEKGMDIDCHTIEFDDVRYHIQFSMRNPKVMVLSVALPLPPPEAILYDGLPLGAIEAIKAAYGPVVQILDPPKDGFDLTMKINLTKLPLDEGSRVPFS >Sspon.02G0045390-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:109318291:109322514:-1 gene:Sspon.02G0045390-1B transcript:Sspon.02G0045390-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGKKEKGEGLGRALIRQRNKAAAAAKERGEALAFGRRRAMPLESVIDVSDIDAVLQRAAEADQLAEAASVSSDSDLVMDLDATGETEEERRRLRKEQEALHASSLRVPRRPPWHSQMTVEELDANERRAFLVWRRNLARLEENDKLVLTPFEKNIDIWRQLWRVLERSDLLVMVVDARDPLFYRCPDLEAYAKEIDEHKRTMLLVNKADLLPLNIRKRWADYLKAHDILYVFWSAKAATATLEGKKLSGYSEEESASLDLDTKIYGRDELLMKLQAEAESIVAQRRTSTSVDDQEASSSDSVSSVAKHVVVGFVGYPNVGKSSTINALVGEKRTGVTHTPGKTKHFQTLIISEELTLCDCPGLVFPSFSSSRHEMVACGVLPIDRMTKHREAIQVVADRVPRDILEQIYKITLPKPKPYEPQSRPATAAELLRAYCASRGHVSHAGLPDETRAARQILKDYIDGKIPHYELPPGVTDSEMDLEQITGSEGPTTSAGNESDADDIDEEDEDAVDPAEPDMRDVLDDLESFDLANGGSKTTAKKKKEASHKHHKKPQRKKDRSWRVGNDGGDGTAVVRVYQKPAVNLSAVSASGRV >Sspon.03G0009410-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:25691843:25693702:-1 gene:Sspon.03G0009410-1A transcript:Sspon.03G0009410-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLVFSLGGEGDPPVGSYDMKTNQATVRNPDRDNASSSACVSTSAVTTRANPISKATATAPGWLQAPTSSRAARYTNRQTEQRNTRTTTRSKTRRPRPPCPAPPLFPVAEGQRQSTTAHQKGRRNAPRRTARGQTERKAGAALLFRQEARRGRQRGRPAPRCYLDRKRGDRSAFRLSVRSVAGREEGEREMAAGYRAEEEYDYLFKVVLIGDSGVGKSNLLSRFARDEFSLETRSTIGVEFATKTVQVDDKLVKAQIWDTAGQERYRAITSAYYRGAVGALVVYDVTRRITFDNAERWLRELRDHTDANIVVMLVGNKADLRHLRAVTPEDAAAFAERHGTFSMETSALDATNVDRAFAEVLRQIYHVVSRNALDIGHDPAAPPRGKTIDVGAAKDEVSPVNTGGCCSA >Sspon.03G0000680-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:13360834:13365039:-1 gene:Sspon.03G0000680-1P transcript:Sspon.03G0000680-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLTCLSASGGGGGGYHSPASHLLELEGFRFLLDCPIDLSALAAFAPVPLAGDAAGLIRAVPRYWLPAAAKAGGVDAVLVSSATGMLGLPFLTGLPGFADTKVYVTEVAARIGKLMMEELVEMHREFVRYYGPDTDASPKWMEGEELNELMSMLQKAVIEGREYDSTSLVPLYSPGNIEECMHKVQPVKYGEEVCFNGIFMLKASSSGLELGNSTWAIKGPRASVTYLPSSVFVSAHALDFDYSSLKENDVILFSDFSSLNDMYEDNEKLNEHSMDETDSSLCCYSVLRDDGADADEKMPFMCNKDDITEEIERISFICSCIFDAVKSGGSVLIPIGRLGVILLLLELISEMLHSSSMKVPIFVISETAEEIFAFTNALPEWLCKSRQEKQGNDAELSLKPFMPLAIQVLECSFLSGVRFKSGCSIKEVPWSFLYYSKGKTIELPNLREDFEVHLATDVAFRLQPRQLNETTAVARLRTKLLVSSGRYQLASAEKQSDQSKRHLLHCSTIDPGSLLSALQEKGMVCSFADDSSTASECSVLITSPGDALVKITSDRTVIYCDNERTSNQIYDSLSSVCKGI >Sspon.05G0026550-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:35761180:35774580:-1 gene:Sspon.05G0026550-2C transcript:Sspon.05G0026550-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEAAAQVGMMALRRWVGLVATARQSGTEHGDIGSKNSLRIDHRFLGSSYHNSIISGLSLVASSLSAASSSGEKVVELDPLVAELAKKYFGFSMDEQLKVHLGDGIKFIEDNVAANHSVNGSARNAIKILILDVDSSDLSSGLSCPPGNFVEDPFLLKAKEFLSEGGLFIINLVSRSSSVREMVVSRLKAVFEHLYSLQLEEDINEVLFASPSERYLEINNLDAGASKLQELLKIPVDVESDIQKLQKLQ >Sspon.02G0022710-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:76760001:76765981:-1 gene:Sspon.02G0022710-1A transcript:Sspon.02G0022710-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAKSSRSRPAGHSGVFPVGSAAAVGSGGGGGGDGGVQLADKLKIFKTDNFDPDAYVQSKCQTMNEKEIRHLCSYLQDLKKASAEEMRRSVYANYAAFIRTSKEISDLEGELLSIRNLLNTQAALIHGLSEGVQIDSLTSGPEGSAEDDISNVEDQEPSEIQKWSADFPDMLDVLLAERRVDEALDALDEAEQVAVDGKQTLTAAEVSALKRSVSDNRQRLADQLAEAACQSSTRGIELRAAASALKRLGDGPRAHSLLLSAHNQRLQCNMQTIHPSSTSYGGAYTAALAQQVFSVIAQALSDSVDVFGDESCYASELVTWATKQVMSFALLVKRHVLSSCAAAGGLRAAAECVQISLGHCSLLEARASLEQALDANLRRIDESTAALAAADNWILTYPTTGIRPLTRSSAANLALQPKLSSSAHRFNSMVQDFFEDVAPLLSLQLGGSTMDGITQIFNSYVNLLISALPGSMDDEANLDGLGHKIVRMAETEEQQLALLANASLLAEELLPRAAMKLSSINQSMDDLRKRGTDKQNRVPEQREWKRKLQRMVDRLRDSFCRQHALELIFTDEGDTHLSAEMYISMDNTVEEPEWVPSPIFQVLAGITRLGLSH >Sspon.03G0014430-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:67514868:67521586:1 gene:Sspon.03G0014430-2B transcript:Sspon.03G0014430-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGAQEDEEETTMVAEGGVREEEEAAAEGAGEEQQLGQGGGGEGGEEKAAPVMSCSICLDTVVAGGEERSTARLQCGHEFHLDCIGSAFNAKGIMQCPNCRTIENGNWLYANGSRSSQDVNNDEWGYDDLYDHGHSELATFVPLRIQWCPIGRLELPSLFEEVESSVNDFTGQFNSEPMVPVPATPHPGPYLAYFQPALPPASSSSHVAERTTDGAAYHDHWNTMAGLSDGRRPWAYYSQPNNDNGIAEQQGLPLGAMRVGGVWKTKDPKCSSYGTTIHKSAWQLKRSIPADFF >Sspon.02G0006570-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:20782710:20786351:-1 gene:Sspon.02G0006570-2C transcript:Sspon.02G0006570-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDFYVTIPYGLLLLAGGVAGYVKRGSAASLAAGGGFGAALLLAGALSAWSFAHGGGGAAAIFATVIQIVCAVLLTVVMGIRYAKTRKIMPAGIIAAISAIVLIFYVYKISTGGYKVYIPVSAEPCSGDGMGSLAKVSFLLQSFHLNRLTR >Sspon.01G0042740-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:64432741:64434628:1 gene:Sspon.01G0042740-2C transcript:Sspon.01G0042740-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLEQYLRLLPATTHATAPALISASSSLSYPLPNLSALRLARSRPPPLRGKTSSAVRASAGPDATVKRAPEMAQREVARAVADQAVARLGARLLPSAVPADVAEFRNGAGNAVGSLDVHRGSPGSSIDFMLQSSLHCKVPNGAIDITSILIFLNASTDAPHFLLELIQGSSTSIVVILDLLPRKDLAFHPDYLQKYYEETRMDEQRGKIEELPQTRPYRSPSLFVRSACSPTAITVSIDCGQGGEKALEEIMHGQLATVIQEVLQIWLDNCADSTTEMDEVERDCLLKRDRIVRSKSIEVDLTANLPRMFGPDVSSRVITEI >Sspon.02G0031130-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:113666146:113669719:-1 gene:Sspon.02G0031130-1A transcript:Sspon.02G0031130-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding HAEPDRVPRVQDRSALPKRCSERVLRGDPVRPLPPALPASSGNSYSIPSTSVPTNQSQNVTVVVENPMTVDDKGKLVSNVVVGVTSGGKK >Sspon.04G0024240-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:18248628:18250724:1 gene:Sspon.04G0024240-1B transcript:Sspon.04G0024240-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MENLRLFTYGEIRAATNNFDQSNKLGRGGFGTVYKGVLRDGTEFAAKVLSSESEQGIKEFLAEIESISEVKHANLVRLLGCCVQRKNRILVYEYLANNSLDHALKGSGNGASSKSALEQEAWVLYQQGRLLDIVDASMEGYPEKEVLRYIKVGLACTQATPSSRPTMRQVLDLLSRPVALPETEMRPPSFAEQRGHHTAPGGLPAGPLVRASPRARWPAAAAASAATAPKSSASFTYSEVAPR >Sspon.02G0036560-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:14415477:14422286:1 gene:Sspon.02G0036560-3D transcript:Sspon.02G0036560-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRGSSSGRRRRRNEEDEDGLPSDDTSDTDFVADSGDEAEDDDDDSDEGFAPDEDAPPAPAPAVPETAVPPPVPAMVPPVPIRIKNQAPKRRRGKKARDHEPPLSWEEWEVANDSWLDALDAADGDGDGEATEAAPAAVPTADPAPEVVLSLLRFQKEWLAWALAQEASVSRGGILADEMGMGKTIQAISLVLTARRLRPPEHHSVASSSNSSVGRPKVGCTLVVCPVVAVIQWTEEIERHTESGSVRVLIYHGAKRGAQKFDFNSYDFVITTYSTIEVDYRKYIMPPKIRCQYCNKLFYPNKMKVHLKYHCGPDAIRTEAQAKQQSKKWDSSKGKARGKRKVHKKGDEANMDFQELADESGNQSRGQSPLHSVRWERIILDEAHFIKDRRCNTARAVFELESEYKWALSGTPLQNRVGELYSLIRFLQIFPYSNYFCKDCSCEILDTRQKSHDSSEGKSSERHSVKAHKKRPSGRSCSSTKDYAGTLMNNYAHIFDLLTRLRQAVDHPYLVAYSKTAEHPEGMKNEGNDTMESQCGICHNLAEDVVVTSCDHAFCKTCLIDYSAALGNVSCPSCSIPLTVDLTAQNSAGKLTRSVKGRKCSGILSRLPSLVDFKTSTKIDALREEIRNMIEHDGSAKGIVFSQFTSFLDLIQFSLEKSGIKCVQLNGAMNITEKGRAIDTFTQDPDCRIFLMSLKAGGVALNLTVASHVFLMDPWWNPAVESQAQDRIHRIGQFKPIKSTRFVIKDTVEERILQLQEKKHLVFEGTVGDSPDAMSKLTEEDLKFLFQI >Sspon.04G0016160-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:68511472:68512918:1 gene:Sspon.04G0016160-3D transcript:Sspon.04G0016160-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAVPAVPDDGAAVVAGRDVPRAEPFPAVPLPGGALQRPRQPVPQHQRRRDPQPLLHGHQRRVPPGVHGHLLRGVVRARRAELRRGGAVGAVRPHHPHGSHLRPPRRHAARRRSGLDHGLVAILGSASFLGGTLRMTVSVCVIILELTNNLLLLPLVMLVLLISKTVADSFNASIYDLILRLKGLPHLDGHAEPYMRQLTVGDVVAGPLRSFGGVEKVGNVVHTLRTTGHHAFPVVDEPPFSPGPPVLYGLVLRAHLLVLLKKREFLAAPQRCHKEYVAGRFQAEDFDKRGSGKQDTIADVVLSPEEMEMYVDLHPFTNTSPYTVVETMSLAKALVLFREVGLRHLLVVPKAFDRSPVVGILTRHDFMPEHILGLHPVLLKSRWKRLRWQKGTVAKYFRSLIVWIANSG >Sspon.08G0000470-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:1718079:1722572:1 gene:Sspon.08G0000470-1A transcript:Sspon.08G0000470-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding EGGGPLLTATATGRGEGRGRGEGRGGRWWPARWWHSLSPPRLGSIAFLFLFLLPACTPNLRSPPTVQGPDTSGQAGGPPWRRCSTPSSSDAQSHWKTSPARRPAPPSASGTTSGASWPRCRASTPSSPMRSGGGSLAPKLMLGWCR >Sspon.08G0013180-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:65694627:65697209:1 gene:Sspon.08G0013180-3D transcript:Sspon.08G0013180-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative septum site-determining protein minD homolog, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G24020) UniProtKB/Swiss-Prot;Acc:Q9MBA2] MAFAPPRLFPLPPPPAAAAASTRAVSARHHGGRTAPELSGPTPRVVVVTSGKGGVGKTTTTANLAASLARLGLPAVAVDADAGLRNLDLLLGLENRVHLTAADVLAGDCRLDQALVRHRTLQDLHLLCLSKPRSKLPLAFGSKTLTWVADALRRSPNPPAFIFIDCPAGVDAGFVTAIAPAEEAVLVTTPDITALRDADRVAGLLECDGIKDIKIIVNRVRPDLVKGEDMMSALDVQEMLGLPLLGVVPEDAEVIRSTNRGVPLVLNDPPTPAGLALEQATWRLVERDAMTAVMVEEQERPKKGGFFSFFGR >Sspon.05G0035570-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:76469569:76478824:-1 gene:Sspon.05G0035570-1C transcript:Sspon.05G0035570-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVLDALASYIQNMLMQMAAEEVHMLLGVSGEIDNMDIKLRDLKNILADADRRNITDQSVQAWEIELRNAMYDATDILDLCQLKAMEGRKRHDAGCFNPLLFCIRNPLHAHDIGSRIKNLNKKLDDIKAHDASFNFMNLGTYEDRGRNVVSYPSGTRETSGGLDESGLVGEKIEEDTTNLVEMLTKKYPTDDDKSNKIIIFSIVGIGGIGKTTLVQKIFNSEVIKHEFTKKIWLSVNQDFNNTEMLRRVIIEAGGNHHACGNSKVALEQTLIEALKGHKTLLILDDVWNYNIWEGVLRTPFVNAMLAQGSRVLVTTRHDIVARQMKAEEPYHRIDKLGLEDAWLLLKKQICYISSSQCCREARARATAVAAQASRHHRRTSVQVVRDINDEPQVEILKDIGMRLVEKCDGLPLGVKPSLKPCFLHYSLLPKGALFVVDDIVAMWISEGFVHGTSHDLEEIGRAYYDELIQRNLVEPDRKYVDQIVCNMHDVVRSFAHNVLGDEALIAHNSRIGIDKPSSSSAIKARLGDKNCLRYLSLHCTSRLGDDGLLVKEEEGISEKAKRQIEEVFDELCPPLGLEILNIEGHFGERLPRWMMLTAVTPLHSLRILMMNGLACCTELPSCLRQLLCLELLRINGAPVIKRVGLEFVQPNILVGVAFPRLQDLHFEGMVEWEEWEWEEQVKAMPILETLKLNVCKLSHMPPGLAFYARALKGLYIYDVKNLSSLENFTSVVHLDVFQNIDLVRISHLPKLQKLVIVECPKLKVLEGMPALQRLNLEDYGMETVPRYLQDVSPRHLFLDCSLSLLTSIAAGKSSPKWEKFSHIQQVKAYAHDKGCPRKWYVLYTREPFRFETNISRSAIAQGALSDHAAAGRGFLTIKYVRLKKSGW >Sspon.01G0037180-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:15377093:15377983:1 gene:Sspon.01G0037180-2C transcript:Sspon.01G0037180-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RDMEIQARQLLVAVFGIVIAIGFANTVQGETATPVVVGLAKCSDCARRNMNAEAASPGLQVAVKCKNSKGEYESTGVGQVDKSGAFSVPLAADVVGEDGELKAECFAQLHSASSAPCPGQEPSKIVAAPPGGHDGTEKTFVALGGKVYRSSPECASAFLCHPFFHSIIHHHHHVGIHTPVVIPHLPDHGHGHSVPPVTNKPPAVGVPEHKPAPAPAPAPVPVPEHKPPSTPVPVPEHKSTPPSTTPVYSPPKPTPIYHPPAQRDIVTG >Sspon.04G0024990-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:23915637:23926454:-1 gene:Sspon.04G0024990-1B transcript:Sspon.04G0024990-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSACVEPTHLVSLDPGAAQRLPYSALPGDILRRASVRGSGIVVTASVSSAGKKADDDASDDDEHQDDDGHETYRSHYLRHLGVEPSVHDPRDEGTADAWVERSPSLIRLTGKHPFNGEPPLPRLMRHGFITPAPLHYVRNHGPVPRGDWATWTVEVSGLVRRPARFTMDELVRDFPALELPVTLVCSSNRRKEQNMARQTLGFNWGPGAVSTSVWRGARLRDVLGRCGGVADGALYVCFEGAEDLPGGGSGGSKYGTSITRERALDPTMDVMLAYQQNGGPLLPDHGFPVRLIVPGCTAGRMVKWLRRIVVTPAESDNYYHYRDNRFLPSHVDAKLADAEGWWYKPEYVINEMNTNSVITTPGHNDFLPINAITTQRTYTMKGFAYSGGGKKVTRVEVTLDGGENWLLCALDHPEKPTKYGRYWCWCFWSVDVELADLLACKEIAVRAWDQSLNTQPEFLTWNLLIRLAFEHPVQPGNQPGGWMAQQKRLETTEAAGAAPALPPRTTSAATSTNTANQFTMSEVRKHASQDSAWIVVHGHVYDCTEYLKDHPGGADSILINAGTDCTEEFDAIHSDKTKELLDAYRIGDLYLDSLPVGARVDVKGPLGHVEYVGRGGFVIDGKLRTAGRLAMVAGGSGITPIYQVIQAVLRDQPEDRTEMHLVYANRTEDDILLRAELDRWAAEYPDRLKVWYVISQVKRPVEWKYSVGIVTEAILREHVPEGGDGTLALVCGPPLMIQFAISPNLEKMKHHPSVHDPRDEGTADRVGARPSLIRLTGKHPFNGEPPLPRLMRHGFITPAPLHYQPAQGAEHGAPDAGFNWGPGAVSTSVWRGARLRDVGRCGGVADGALYVCFEGAEDLPGGGAADPSTAPASRASGPWTPPWTSCSRTSRTAGRCCPTMGSPCASSSPDARPAAWSSGSAASCHPGRVRQLLPLPDNRFLPSHVDAKLADAEGHNDFLPINAITTQRTYTMKGFAYSGGGKKVTRVEVTLDGGENWLLCALDHPEKPTKYGRYWCWCFWSVDVELADLLACKEIAVRAWDQSLNTQPEFLTWNLLGMMTNCWFRVKVNVCRPRKGEIRLAFEHPVQPGNQPGGWMAQQKRLETTEAAGAAPALPPRTTSAATSTNTANQFTMSEVRKHASQDSAWIVVHGHVYDCTEYLKDHPGGADSILINAGTDCTEEFDAIHSDKTKELLDAYRIGDLVTAAGTEQQASHHLGLAPIGGPAAPVVALSNPREKVPCRLVAKTVLSRDVRLFRFALPSSGQVLGLPVGKHIFVCATIDGKLCMRAYTPTSPVDEVGHFDLLVKVYFRNENPKFADGGRMTQYLDSLPVGARVDVKGPLGHVEYVGRGGFVIDGKLRTAGRLAMVAGGSGITPIYQVIQAVLRDQPEDRTEMHLVYANRTEDDILLRAELDRWAAEYPDRLKVWYVISQVKRPVEWKYSVGIVTEAILREHVPEGGDGTLALVCGPPLMIQFAISPNLEKMKHH >Sspon.02G0030240-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:110538049:110544440:1 gene:Sspon.02G0030240-2C transcript:Sspon.02G0030240-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding METTALSLGKSVLDGALGYAKSAVAEEVALQLGVQRDHAFVREELEMMRAFLKTAHDERDDHQVLMTWVKQVRDVAYDAEDCLQDCSIHLKKPSWWRHPSTLRERRRIAKKMKELRARVEDVSQRNLRYQLVKSVGSTSGDSAEVSGAAAMSGIEEALRQQNKSKADLIRLINNKDEDLRVIGVWGTADVLGEKSIVKRAYDDLKRDRKFQYHAWISMVPPLNTAAILQDIVMQFAVDSLEEETKKHTSAPDEAQDLRRLWVAKEDDLVDEFRKFLNEKSYLIVVNNLSTMDEWDQIRTCFPTNKKGSRVLVCTEHVKVASLCVEPSTLLLPEHKQLFPGKALHAFYDKGSQDIACSVDPGPSSTTDTLDGSNSGDGKYLTRMETNVTTFKESKLIGRQSEKSQILKLISNEVSQDFEVISVWGMGGLGKTTLVKDVYQSQELNAMFDKRACVTVKRPFNATEVLNGLSEQLSGEKQWNHDILDGKKYLIVLDDLSTTKEWDNVQSNFPRMVTESRIIVTTRLNNIAQHCSSKTTHIVDLKVLEEKDAHDLFTEKVFGKIKNLNEECPELHEEAELIMKKCKGLPLAIVTIGGFLAKQSKTLMEWKKLNSHIGAELEMNEGLKNIKNVLSKSYDGLPYHLKPCFLYLSIFPEDQDIKRTRLVRRWIAEGYSTEVLGKSVVEIAESHFMELIDRSMILPNKTTYFSQKVINSCQVHDLMREISISKAAEENLVFRLEEGCSSSTNGTSRHLTISANWKGDQGDFERMVDVSRIRSLTVFGEWKPFFISDKMRFLRVLDLKDTSGLRNHHLEHIGKLVHLRYLSLKRCRGIYHLPDSMGNMRQLQTLDIRLTWIAMLPKTIVKLKQLQYLRLGGLRTDRVYFLDRLKSKCAAFCSCHGMDMEETWHDRCAKCWYVTMPGLATPFGRGTPVPRGAGNLKALHTLGRVDIGSGNALKEIKKLTQLRKLVVSGISKKNCQEFCSTLEVLRCLESLSVSSSGLNGSLSGLSHAVFSPPSNLRTLELLNYLGKMPAWIMGIRNLVKLRLMYTLLTDSDGTMQLLGNLSDLAILTLDSYAFDVQGLLLNFVPEAFPSLVALDLCSSQTATDRGEIKSVEFKQGATPKLEMLKFRYCGDVIINDGLFSGLASLPRLKKLQLAIESIKSEEDSIESKEAFVEHVRAQLALNQNRPVLIKE >Sspon.03G0013750-3C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3C:55831091:55831346:1 gene:Sspon.03G0013750-3C transcript:Sspon.03G0013750-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding KPAAVGRVPLYRLFAFADRTDALLMAVGAVMAVANGMAQPTMTFIFGDVIDAFGSSASPDVLHRVVKVRATFNSYTSCSVAING >Sspon.06G0006900-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:26083678:26086506:-1 gene:Sspon.06G0006900-1A transcript:Sspon.06G0006900-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVKVWVFIMALMCIGVVGLGADDGSAASSWDGGSLLSRCHLSSPNYHGALAKAILFFEGQRSGRLPANQRAKWRGDSALTDGQPENVNLTGGYYDAGDNVKFGFPMAFSVTLLSWSAVEYRDEVAAAGQLRYLRSAIQWGADFLLRAHTSPTTLYTQVGDGNADHQCWERPEDMDTPRTLYKITQSSPGSEAAGEAAAALAAAYLVFRDDRDKTLATQLLAASRSLFDFANDYRGSYQLSCPFYCSYSGYQDELQWASAWLYRATKDTKYLDFLQNNQGGSATEFSWDNKYPGAQLLATQEYLGGRTVLEGYKRGLDSFVCAVMPNSGNTQIRTTPGGLLFTRDSVNLQYTTTAALLLSIYSKALSSVGGQVVQCSAASFSPDQISSFATSQVDYILGDNPKGMSYMVGFSSKYPRRIHHRGSSIPSIKALPRKQPNPNTHVGAIVGGPDGNDQFSDNREDSTHSEPATYINAAFVGACAAALGQQNQHKEPVDDIASALSDII >Sspon.01G0003690-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:10341168:10341371:1 gene:Sspon.01G0003690-1A transcript:Sspon.01G0003690-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAVLVAIVLMQCCNMIVTAGPLLETPAVAGGATGAGTSWLGLIMQVLGGPGGNNNNCTAPNGSCP >Sspon.03G0005010-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:14310824:14311634:-1 gene:Sspon.03G0005010-1A transcript:Sspon.03G0005010-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVGGGGRGPGDRVRRQLQSVGRLAAYLGGGFLLLSAASSVAVRSLRALSDANQRKYAMPCGACEGKGTYACRLCRGSATIEWSPMHDPVFVNPCLCPTCDGTRVQRCLNCLGNGYA >Sspon.06G0019030-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:89200692:89203005:1 gene:Sspon.06G0019030-2D transcript:Sspon.06G0019030-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMEEDIDDKFFYQFPDHPALLTAQQPYAQILSDSSSSSSSHAATTTTSSSSGSRTAAGNSTLSPSSNAPASSPDPASWPPYDDQDTKAEAAATLPAGDADHAALASAFYGAQNGGNMDMLNMAFLKGMEEAKKSTNGRGRKNRHAEEDDLEAETGRSSKLMMPEQEETGANELYNEIMACDYPTFLKRMEDLRIAMDSESEKSARKVSRKGARGKQSLVNEVVDLRTMLIHCAQSVATGDRRSATEVLKQIKQHSSPRGDATQRLAHCFAMGLEARLAGTGSQAYQSLMAQHTSVVDFLKAYSLYMAACCFMKVRHIFSNNAICDAVAGRSKLHIVEYGVQHGFLYPGLFYQLARMEGGPPEVRLTAIAVPQPGFRPAHQIEETGRRLSNIAREMGVPFKFRGIAAKWEAVCAKDLNIDPDEVLVVNSECYIGNLMDESILVDSPSPRDTVLNNIREMRPNVFIHTVVNGTYGAPFFLTRFREALFFFSAQFDMIDATIPRDNAERLLIERDIFGTFALNVIACEGADRVERPETYKQWQVRNHRAGLRQLSLNPEVVKAARHKVKNFYHRDFLVDEDNRWLLLGWKGRVLYAMSTWVAEDNKPIF >Sspon.01G0004910-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1A:13280555:13281552:-1 gene:Sspon.01G0004910-1A transcript:Sspon.01G0004910-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GFREGENGRRITDLQYPASTTARCQFCSQERRTYGPIHPSIAALDTSPAAAETRGSSRWTDTGHAPGGAKRPRPPFPRETHASVWLAVSTRRSAWVKMALVWHHVTVDQCGAGGYTRLVYAAV >Sspon.07G0033530-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7C:61529797:61530408:-1 gene:Sspon.07G0033530-1C transcript:Sspon.07G0033530-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAACVRVASPFTGAPLRHPCHVISARRGPRRAGLAVTAAAAAAGGGSPRTVLVTGAGGRTGQIVYKKLKERAGQFVGRGLVRTGESKGKIGGGDDVFVGDIRDPESIAPAIEGIDALIILTSAVLKMKPGFDPSKGGRPEFYFEEGSYPEQV >Sspon.06G0001940-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6B:5085823:5088553:1 gene:Sspon.06G0001940-2B transcript:Sspon.06G0001940-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SAMSTYVDVPDVSPRLSDHIPAVNRSKMSDRRYSLNLREQLPEHRIITTANRAERSISKSVADLAWEIAVLEEEVVRKELHLLSLYRAAFDQYLGVSPRASAQVEQESHRQHCKRTTDEGTLRLRNIKESASYNLPTLSDSKRHTQELSRSSSGRSSLANFLSASIAEYVPKISCKLSEDILRCISAVYCKLASRPLQEANSETASTPSFSSASSSFSLKYPVDGWSPRCYYNVDATSDIYASSDGNNGQYSGMIIFPKIHIDEDKFDYASKMLDTIRSLIKRLEKIDPTKMAHEEQLCFWINIHNALVMHAFMAYGLQEKRMKSTDLILKVRLYTAKKLYHQLEQARTEFIQANVMVRKQTIFLPKVLHFYAKDASLELPDLIDIVCESMPELQRKEIRQYLRRSIDKCVEWLPYKSSFRYTVHRSLAE >Sspon.05G0005470-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:12228997:12236516:1 gene:Sspon.05G0005470-2B transcript:Sspon.05G0005470-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MESFKLASLTLVLPFLLLAAVAVVAGDELTTYIVHVQPQENQMLATADDRNAWYRSFLPEDGRLVHAYRHVASGFAARLTRQEVDALSSMPGFVTAVPDQMYELHTTHTPQFLGLDAREAKKSYAIAERGAGVIIGVLDTGVVPSHPSFSDDGMPPPPARWKGRCDFNGRAVCNNKLIGARSFVPSGTNATSNNSTSDDWRAPPVDDEGHGTHTASTAAGAAVPGAQALGQAMGTATGIAPRAHVAMYKVCTKTGCPDSAILAAVDAAVGDGCDIISMSLGGSSTPFYQDSIAIATFGAIEKGVSVTMSAGNSGPNVSSVTNEAPWMLTVAASTMDRSIRSTVRLGNGFVFHGESLYQPQAWNSTFYPLVYAGASGKPYAELCGNGSLDGMDVRGKIVLCELGGGPGHNITRILKGAVVRSAGGAGMILLNTFPQGYNTLADAHVLPASHVDYAAASAIKSYVNSTSNATAQIIFEGTILGTPPAPAIAAFSSRGPSLENPGILKPDIMGPGVKCARHGQPSASHRRAPHGQRRRRLTRPSSLGSTRPRGNAGALPHGCCSREAGGGAGTLSTGSFNIAIPSKKKTGPGVNVLAAWPFQVGPPSAPVLPGPTFNVISGTSMSAPHLSGVVALVKSKHPHWSPAAIKSAIMTTADATDRAGNPILNEQRVPADLFATGAGHVNPEKAADPGLVYDIAANDYIGYLCSLYDSQNVSVIARRPVDCSAVTVIPESMLNYPSISVTFQQTWNRSTPAPAVVERTVKNVGEVPSVYYAAVDIFDDDVTVAVYPRELVFTQVNQEQSFKVVVWPRQNGAPVVQGALRWVSDTYTARVCRAQTAVSSPTRDGNSQATITCPYRKLSPPVLANISQHWHGSESPCRGQNNAVPHNNPAMGSFKLSLLAILLLAVLRTFIVHVHPHESHVFGTTDDRTTWYKTFLPEDERLVHSYHHVASGFAARLTEQELNALSAMPGYTRLLTTHTPQAGELHLRFGEGVIIGVLDSGVYPFHPSFSGDGMPPPPPKWKGRCDFNASACNNKLIGARSFESDPSPLDQDGHGTHTSSTAAGAVVPGAQVLGQATGTASGMAPRAHVAMYKVCGDECTGADILAGIDAAVGDGCDVISMSLGGPTLPFYDDSIAVGTFAAVEKGVFVSLAAGNDGPGESTLSNDAPWMLTVAAGTMDRLIAAQVRLGNGSTFDGESVFQPNISTTVAYPLVYAGASSTPNASFCGNGSLDGFDVKGKIVLCDRGIVDRVDKGAEVKRAGGFGMIMANQFADGYSTNADAHVLPASHVSYVAGVAIKEYINSTANPVAQIIFKGTVLGTSPAPAITSFSSRGPSIQNPGILKPDITGPRRERARGVAIPADLQLRIRHVHVHAAPQRHRRADQSNDQVPIMTTADPDDRSGKPIVDEQYVRPTCEPRQGPRSGLVYDIAPEYIGFLCGLYTSKEVSVIARRSIDCLTITVIPDRMLNYPSITVTLPRTVKNVGRRRRVYYPHVDLPASVQVQVTPSSLQFAEVNQAQNSRTDAKVVEGSLQWVSDKHTVRSPISISFA >Sspon.02G0022120-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2B:72198428:72198919:1 gene:Sspon.02G0022120-2B transcript:Sspon.02G0022120-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHHRWEVFRGDSSNASDLLFTAKKSSIIQLKTEMDIFLASNTVQQVCDFKIKGSYFDRSCAFYLGDSNNMIAHMNRQFTVSNVLLGKDTFGVTVFPHIEYVFIAALVVILDEIHREQSSGGARTEILGIPID >Sspon.07G0026430-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:53233029:53234272:1 gene:Sspon.07G0026430-1B transcript:Sspon.07G0026430-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALTETEESNQDLLQAHDELWHQSLSYLKSLALAVALDLRIPDAIRHHGGGATLPQILAETAHHPCKLGALRRLMRVLTISGTFSVADAALDDEAAVVYRLTAASRFLISDEVSSATTLAPFMSVVLHPISISPHAMGICAWFRQEHRDPSAFGLAFRQTPTIWEHADDANAILNKGLAAQSRFLMPIVLRECGEVFRGIDSLVDVGGGHGGAATAIAAAFPHLKCSVLDLPHVVAGAPPSDSNVHFYSAGNRLRTSDDPSDRHCSLHSSWYLYVDEINVKILKNCMQAISPRDAGGKVIIMDMVVGHDEKSNIKHLETQVMFDLFIMMVNGIERDEQEWKKIFIEAGFKDYKILPIVGTLSVIEVYP >Sspon.01G0000900-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:3063295:3065287:1 gene:Sspon.01G0000900-1A transcript:Sspon.01G0000900-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyridoxine/pyridoxamine 5'-phosphate oxidase 2 [Source:Projected from Arabidopsis thaliana (AT2G46580) UniProtKB/Swiss-Prot;Acc:Q9ZPY1] MAGAGAAASALSSPWRALLQRALDANGHLRHSTFFQLVRFDRLCAFLRIGENESTVGAGGRPANRTVVFRGFQEHSDKIQINTDARSNKIGEIKSCPFGEICWYFTDSWEQFRISGSIDVIDASSADPAKLQHREKAWFSSSVKSRSQYLGPQPGIPVLDDEHVKDVHLDQLAGPVDAFCLLVLDPEKVDYLDLKSNQRLMFTRQNGDGSNDWMAVK >Sspon.03G0012470-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:44538227:44539131:1 gene:Sspon.03G0012470-2B transcript:Sspon.03G0012470-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding TAKLRRIPRSRAGETRQGKAAPNYINCCIRQEEEQEGMAAIPAAISGCCSSSRLSQPAGLLPSTSRRRFKVTAMAPKKKVNRYDESWSKQWFGAGIFAEGSEEVSVDVFKKLERRKVLSTVEKAGLLSKAEELGVTLSSLEQLGLLSKAEDLGLLSLVETAARTSPSVLASISLPLLVAAVVEVVVVPDDSVALVAVQAVVAAVLAAGAAGLFVGSVVLAGLQESD >Sspon.04G0005090-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4A:14667156:14668657:1 gene:Sspon.04G0005090-1A transcript:Sspon.04G0005090-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDHGKSDGWDEVLEEDDELASVCKYPISTSFLSSGTSRRSKSVKKPRFSLRGYDFVPLDVKTDNLCTGGQEGTSAVPSTRASQTMVAERLENVEEQTEDLAPEFALPTKKENTSVSELLDNLQRRSGSVVRTPSLLHQHAPSISIREQELSSRVPPTKASQALMDEPSENVKGQTEDLPPEFPCSIKKANLSVAELLEDLQGRSGSYVETASLSHQHTITKYWKPKLLSSEKKTLAILGDRSIDSEDPLEHDATQNHLSLVNKDENQQTMADLFQEVFNPTNMDGAMNSMRSTGAGNYGRMQQIMQMEKDWHAEFLRRYSREQGYSGGAVGSSW >Sspon.06G0006870-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:23491365:23494989:-1 gene:Sspon.06G0006870-3D transcript:Sspon.06G0006870-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIRFVLFVNKQGQTRLAQYYEHLSLDERRALEGEIVRKCLARTDQQCSFVEHRNYKVVYRRYASLFFLVGVDNDENELAILEFIHLLVETMDRHFGNVCELDIMFHLEKVHFMLEEMVMNGCIVET >Sspon.06G0005520-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6A:18731479:18732275:1 gene:Sspon.06G0005520-1A transcript:Sspon.06G0005520-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MACSKKAIAVLSVAVIASFLLAAAESRHIARKDLGVGLGGGGSLGAGTGGGTGGSVGAQRSAGTWSSALIGGVQPYVVEGPLSRCAKYKGGGWRLSNQGSPQPTPPPKTLTRSSEGAVSDGKFFTVSSPRHDLHCIDSFIDRRCLAQIYTTEAMANTGSSSSSAPVLPNTTGLPYYPSQYL >Sspon.02G0041060-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:68439137:68440432:1 gene:Sspon.02G0041060-2D transcript:Sspon.02G0041060-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQQNGSSTTKVFVEHHKARIYRSLLIVSIPVCIVIILSIFAIVMILLKRRKRPQITAVTDTRYVLSVWNFDGKMVFEDITRVTENFSDRYIIGSGGSGTVYKAQLHGGRLVAVKKLHPTEEGISDEKRFLSKIEMLTKIRHRTIVKLYGFCSHPRYKFLVYDYIERGNLHITLETEDLAKELDWQKRVAIARDVAQAIYYLHHDCNPPIIHRDITSNNILLDAAFKAYVSDFGIARMLKPDSSNWSELAGTYGYIAPELSYTSVVTKKCDVYSFGVVAMEIVMGKYPRELQSIASMEQHHELAIQDMLDQRLSSPTMVEKKEISLLVEVALACPQTSPQFKPEMQDVYQKLALHKPPSVSPSHAHTPEEIIDG >Sspon.04G0037160-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4D:62718536:62718997:-1 gene:Sspon.04G0037160-1D transcript:Sspon.04G0037160-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQTSGAWRWSCVPHVSPRLLPTDTMAWGPRRKTRGGIWTVDTEPPTVRSPRPARPENERTVGASFQPALPHLRSAVSVGNVDSKVSYDPLACF >Sspon.08G0013550-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8B:52916109:52916914:1 gene:Sspon.08G0013550-2B transcript:Sspon.08G0013550-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNNKQIDIVDVLQARFPTKEKHKVTNLYADLMVEKMHMMLSGNQHATAGSKLVNDKFGMPGAHPTMDVLGGDLVEERKAKRKAGEDPHSHPDPQKERQRRRFWTTDEHRMENTTRRQRSSINDVGLCDDVPRVQTNASSLEGFTFTSGTYISNQG >Sspon.06G0018270-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:98511725:98513632:1 gene:Sspon.06G0018270-1A transcript:Sspon.06G0018270-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSDHHGGGGNKRSQNASSFADDDDDDIKGETSRRRKICDGTPTSGRRQEDGESGACGWHAQAAPHGAGPGPGEEDYMYEYYDCDADDGAGEDYMYEYDDGEEEGAEQAQVDDAQAAAACAREEQRYVVLTEEDIRARQEADTARVAEVLSIPAGFAAVLLRHFKWRVGRVQEEWFTDDARVRGAVGLPPELVPAARGAGPRVVCGICFDEFPAGRTASAGCEHYYCDGCWRGYVRAAVGDGPRCLSLRCPEPSCSAPVVRELVDEVLAAASAAGLAGSAADDGDRYARFWLRSYVEESGGKVRWCGGAGCARALEFLGGDAAAAADKDVFCECGHGVCWACGEEAHRPVSCGTVRAWLVKNSSDSAETANWVVAHTKACPKCGRPIEKNQGCNHMRCSPPCGHHFCWLCLQPAGGANHYACNDSRPRRSGGDDDDDMAAAAASTATAEEERLKRRRARASLERYLYHYERWASNHAALESVARDTAALERGELERMARAADVPATALGFVAEAYRQVADGRRVLRWAHAYGYFLDPERDVAKRALFDDLQNQANRWLECLHAAAELERKDLFGDGKGKEAADAPVVVVAAEAFRAYRQKVANLTGVTRKFLGNLVRAFETDLPEVAAAANPAK >Sspon.04G0021700-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:858803:861731:-1 gene:Sspon.04G0021700-1B transcript:Sspon.04G0021700-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding WRGEEEEERKRVLVVGGSGYLGQHLLAALAASSTADRLDVAFTHHSPTPPQPLLDALPSVRAFRADLCSGDGFEAISASFGQPHVVVNCAAMSVPRACEMDPPAAMATNVPSSLVNWLLSFGNDDSLLIHLSTDQVYEGVKSFYKEEDETMPVNMYGKSKVAAEKLIIEKCSNYAILRSSIIYGPQTISPVAKSLPIQWMDGVLSQGQQVEFFNDEFRCPVYVKDMVDVVLSLTKTWLSAIAFHCTMVTDIKVHAADGKKVQVLLNVGGPDRVSRLQMAESVAIVRGYNPSIIKSVSASSSFKALPVLALSKDNSIQLQHSGGSTLIFVAAQYLALISNPTLGHERAMGESHSHIFVGTSSKHDVQTASLDNLA >Sspon.05G0006550-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:21819829:21823191:-1 gene:Sspon.05G0006550-4D transcript:Sspon.05G0006550-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable beta-D-xylosidase 6 [Source:Projected from Arabidopsis thaliana (AT5G10560) UniProtKB/Swiss-Prot;Acc:Q9LXA8] MLLALLLCLLAAGAAGAAAAPNARPCASPDSASSAYPFCDASLSIPARARALVSLLTLDEKIAQLSNTAGGVPRLGIPPYQWWSESLHGLADNGPGVNFSSGPVRAATAFPQVILSTAAFNRSLWRAVAEAVATEALGMHSAGQAGLTYWAPNINIFRDPRWGRGQETSGEDPAVAAAYSLEYVKGFQGEEGEEGRIRLSACCKHYTAYDMEKWEGFSRYTFNAKVNAQDLEDTYQPPFKTCIQEARASCLMCAYNQVNGVPMCAHKDLLQKTRDEWGFQGYITSDCDAVAIIHENQTYTKSDEDSIAIVLKAGMDINCGSFLVRHTKSAVEKGKVQEQDIDRALFNLFSVQLRLGIFDKPNNNQWFTQLGPNNVCTKEHRELAAEAVRQGAVLLKNDHSFLPLKRSKVRHVAIIGPSANDAYAMGGDYTGVPCNPTTFLKGIQAYATQTTFAAGCKDVSCNSTDLFGEAIEAAKRADIVVVVAGLNLTEEREDLDRVSLLLPGKQMSLIHAIASVAKKPLVLVLLGGGPVDVSFAKQDPRIASILWLGYPGEVGGQVLPEILFGEYNPGGKLPISWYPESFTAIPMTDMNMRADPSRGYPGRTYRFYTGDVVYGFGYGLSYSKYSYSILSAPKKISLSGSSVLDIISRKPSYIRRDGLDFVKTEDIASCEALTFSVHVAVSNHGSMDGSHAVLLFARSKSSVPGFPIKQLVGFERVHTAAGSASSVEITVDPCKHMSAANPEGKRVLLLGAHVLTVGDEEFELFIEL >Sspon.05G0021350-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:89894377:89895842:-1 gene:Sspon.05G0021350-1A transcript:Sspon.05G0021350-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPKLHVSLMLFYDPGDAILTSLSMAYGNDEIARDFSSKVDHILKNHSGIGVKKLVINIWLLTAVTPGIEELLVLPMRATYNFPCSLLSNGSGDSIRYLKLGGCSLRPTAELPWLKSLTKVQLNAVLLLGMRCLLCNSPALEWLVIRNCDEIVCLKIPCMLLRRLRHLEVIFCGSLQVIDNKAPNISSFFYTGDQDHTQLSLGEALKMDYIHLIFSGALHYACVALPSSMPNLKIANIRSSSEMANTPMLHSKFLHLKKLSIALSARTFTPSYDYFSLVSFLDACPSLETLVLDVAQEEMDYVSVFTDPSDLRKMRGHQHHKMKQVKILGFTSAKSLSITSLEHLTLESYQSCARCFVPAHKRGKCSPLPVGVLREAQRGLLAIRTYIEPKVPSM >Sspon.02G0021780-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2B:71555624:71556115:1 gene:Sspon.02G0021780-2B transcript:Sspon.02G0021780-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVHEARTAGSGAANGRRSRRVLMFPLPFQGHINPMLQLGEVLHARGLAVTVLHTRLNAPDPARRHPEFQFVPVPDGVPVHVAASDNVIDIIEAMNAAMEADGAVALRAVLESVVADEARPRAACIVFDANLLAVPSAAAAVGLRTLVLRTASAACLGTDTY >Sspon.02G0022030-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:71841523:71849511:1 gene:Sspon.02G0022030-2B transcript:Sspon.02G0022030-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGLDMSLDDLIKKSKSRPKANPASSSGPARRAPHPARAAPYPPAAPKARAAADSPYGVYSEHIATIAAVAPPPPRPAATARSLETGTKLHISNLDSGVTVEDVQELFSEVGELKRYSMNYDKDGRSKGTAEVVFARKVDALDAIKKYNGVLLDGKPMNLELIGNNVEPPPMPPIIPNRPLQNYNDIHSSVPQSQRGGQRRAPQGNGQPGRSYQSSGGRGQGKGRGQDRNRTAISAADLDAELDKYHAAAVKEE >Sspon.01G0023420-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:82785678:82789286:1 gene:Sspon.01G0023420-2C transcript:Sspon.01G0023420-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSFTVTSPPSHGGTLSALHARHSQRLHKRHVRSQVRAVAQTQLQYQKLGDSDLLISEVTLGTMTFGEQNTEKEAHDILAYSFDQGINIIDTAEIYPVPVNKETQGRTDLYIGRWMQSKPRDKIILATKVAGYSERSTFLRDNTEVVRVDAANIKESVEKSLKRLSTDYIDLLQIHWPDRYVALFGEFSYNPTKWRPSIPFEEQLKAFQELIDEGKVRYIGVSNETSYGVMEFVHAAKAQGLPKIVSIQNSYSLLVRCRFEVDLVEVCHPNNCNLGLLAYSPLAGGVLTGKYLDANADITRSRLNLFPGYMARYNASLAKEATLEYVKLAKKHGLTPVQLALGFVRDRPFTASSIIGATTIDQLKENIDAFTSTPRPLPQE >Sspon.01G0049610-1P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:113462220:113463812:1 gene:Sspon.01G0049610-1P transcript:Sspon.01G0049610-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAAGDRSEDVGADWPFGGAADAFTDYSSVFAELGWPGGLLAGGELPVLDLPEAAAPLPSQLSMEPPEEPAPARSGDAGASSSSSGDGDGDGDGAAPGNDDDDRKAAPAAGAAGRKPAAAAAAKKGQKRPRQPRFAFMTKSEIDHLEDGYRWRKYGQKAVKNSPFPRLIALPAL >Sspon.07G0005080-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:12125661:12126534:1 gene:Sspon.07G0005080-2B transcript:Sspon.07G0005080-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRICLRGATTFGGASATPAPTSGSGRRLALAAASSPSRRRTASAMAEAHNGRRVGMVGDVRDAPAGHENDLEAIELARFAVAEHNSKTNAMLEFERLVKVRHQVVAGTMHHFTVQVKEAGGGKKLYEAKVWEKVWENFKQLQSFQPVGDAAAA >Sspon.04G0036950-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4D:57105507:57106391:-1 gene:Sspon.04G0036950-1D transcript:Sspon.04G0036950-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKAFAMAPHPCRRTVRVRCARSTDISLATMTLQMRENEQDDAIHATTASTTHSQSSRPHHHDVRRRTPLAVPPSSLSAPHCQPTHPTSTGAEGVLTQPEKGASGRPVTAVTRGPRIRAQDTRSGESTLADERPSPQPAQEPAVTSRTARHADRGPEVASSSLRSPKQPPWSLPPAAPPIHLTTAAASHHRAADLHAGRPDPAGGTPDPDAAGQDLPSAVGNRHSKKTDLASTCGREGEGRREKRSSRCHPCSLPDCRRRAPAAAGDEGVG >Sspon.08G0015560-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:62254318:62263775:1 gene:Sspon.08G0015560-1A transcript:Sspon.08G0015560-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTVDLIRNDKVSVIIGPQSTLQAEFVTYLANKTKVPVISFSATGDAATQYNVPYFLRACAKDSFQAASIAAFVEVYGWKNIVVVYEDDNYGVGILPSIANALQDVEAHIVYRAAIPASCPDYRIDGELYKLMTMQTRVFIVHMLPGPASHFFARASAAGMMIEGYVWIVTDNVGVVLDVLPQHTIENMQGIVSFRPYVAKSAGIIDFVSRFDALFRAKYRQAHDVRITRPTVYQYWAYDVAWAVATAVEDTKRVGFSNIGFQTPQDVGKNLVNGLLASPAGPELLSSILEADFDGLAGRFRFVDGHTQVPIYEVVNLVGEKSRGLGFWSPGSGLSRLLDSSPIRDQFKSSSTGEVLKPVIWPGDSTTVPKGWDFPVNAKILRIGVPVRRDFKFFVNVEANHNTNGSSVSGYSIDVFEAAVNRLPYALRYEYIPYDCANSYDQLISQVYFKKFDAAVGDVTIIANRSRYVDFTMPYTESGVAMLVLAKNDDKATMWIFLEPLTKELWIATMVFIFFTGLVVWMIEHPTNDEFKGSRWKQFSTAFYFTFSTLTFSHDQILKKLHSKVVVVIWCFVVLVLVQSYTASLSSLLTAKRLQPSVTDPMQLLRNGDYVGYQSGSFVYATLKRLKFDAQKIKVLSTLEEYAKALRMGSKHGGVSAIFDEIPYLNSFLTQYGKEFQIVGPIDRTDGFGFVFPRGSPLVPDLSRAILNITEGCEGFQIQKKWFRDTAPSHDYDNPDTDSVRLGLESFKGLFIVNGLALCAMLVINFMHTNCTELRNRNLHLVHSSREIATDNDDPQQGQQLQNNNAALSEPLQGTHGRELARKNSGTASRGCEKKLRIAVPHKPGFKAFVNITHPNTERQKVTGYCIDIFKAAMEMLQPSPQYEFYAFNGSYDELVRSVSLKVFDAAVGDVTITPERIREADFTMPYAQSGLSLLMLSENDSKTIQWIFLEPLTKELWFATVGGFLFTGFVVWMIELPKNPEYQGSRLRQFSTASYFAFSTLTFSHDQIIRSPLSKIVVVIWCFAVLVVVQSYTANLSSMLTAKTLRPMVTDLNQLLHSGDYVGYQYGGFTRSFLIKQGFPLNRIKAYSNQQEYAEALRKGSKNGGVSAILDEIPYLTYFLSNPQYKKEFQMVNRMYKTLGLGFAFPLSSPLVHNLSIAILSLTGDYEGPQIEERWLGPAAPSVGDSPISGFTALTLQSFSGLFIITGCISALMLLITIVRLAYAKYKRSKGSELQNADGYAGSVCLGESVELQNDRGDGSVPDQHLHEIRDNNYHDSKEGNGSAADIDAGPMQNSMYNGPVPADCVRIEMESTGQDSHCLTESLQNFLPY >Sspon.02G0007550-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:21461073:21463739:-1 gene:Sspon.02G0007550-2B transcript:Sspon.02G0007550-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIACCLPVVECVYCLACARWVWQRCLHSGGYDSETWGVATAEEFEPVPRLCRIILSVYEDDLENPQWAPPGGYGMEPRWVVHRRSYENTHGHAPTYLLYVDHQHSDVVLAVRGMDMMKESDYAVLLDNKLGQRRFDGGYVHNGLLKAAEWVFDAECDVLKDLLERNPGYTLTFAGHSLGSGVVSMLALLAVHNRELLGGIDRKRIRCFAMAPARCMSLNLAVRYADVINAVILQDDFLPRTDIPLEDIFKSFFCLPCLLCGRCLIDTCIPESVMLRDPRRLYAPGRLYHIVERKPFRCGRYLPLVRTAVPVDGRFERIVLSCNATSDHAIIWIEREAQRALDLLLENEKTMQAPEVQRMSDEITVTRDHDEEQQEALRRAITLGVADIKMPSAYGTFDENPTEAAEAPLLSDSGRRRAVWEEWIARIFEKDESGQMVPRR >Sspon.05G0014650-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:53596592:53601238:1 gene:Sspon.05G0014650-2B transcript:Sspon.05G0014650-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bifunctional L-3-cyanoalanine synthase/cysteine synthase C1, mitochondrial [Source:Projected from Arabidopsis thaliana (AT3G61440) UniProtKB/Swiss-Prot;Acc:Q9S757] MERMLAKLMRRRRSSPLSGLLYQGGAAAAAAPAGATAASSLVSHHHQQHTAAALPGLKIRDSASQLIGRTPMVYLNKVTEGCGARIAAKLEFLQPSFSVKDRPAISMLEDAEKRGLITPGKTTLIEPTSGNMGIGLAFMAALKGYELILTMPSYTSLERRVTMRAFGANLVLTDPTKGMGGTVRKAAELYEKHPSAYMLQQFQNPANVKIHYETTGPEIWEDTLGQVDIFVMGIGSGGTVTGVGKYLKEKNPNAKIYGVEPAEANVLNGGKPGPHLITGNGVGFKPDILDMDVMEKVLEVKSEDAVKMARELAVKEGLLVGISSGANTVAALELAKKPENKGKLIVTVLPSLGERYLSSALFDELRKEAEAMEPVAVD >Sspon.06G0015130-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:83232977:83235640:-1 gene:Sspon.06G0015130-1A transcript:Sspon.06G0015130-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRACTRVGGAGAGGRRERLMASLIPSLSSSPYSTHLPRQHQPQPPPAAAAAAAARPSRFRLLLARAAARRDDPEPAPAVEERRSLAVRTGELFLGLAALLLRGAGAGAAAVEEVEARDGVVWEQRPEDVDAERARRELTSPGFSFSAAGLLFPYHLGAAQCLMDRGYITVTKVLADNCRSNGTAFRLGAVLKDVLDEFLPDDLHIRCNGRIRVAITQLSWRPRGLLVDQFDSKEDVINAVITSSFIPGYLAPRPATFFRNRLCIDGGLTLFMPPTSAAETVRICAFPASRLGLQDIGISPDCNPENRASPRQLFNWALEPAEDETLDKLYELGYLDAAVWAEQNSAELITKNGQSLVAD >Sspon.03G0034500-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3C:70943250:70945438:1 gene:Sspon.03G0034500-2C transcript:Sspon.03G0034500-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSKHLQQENPEIKGKPFIFGPNFMAQRLYQPSPLEDLTLGLMLIKPTNAFTTSKTDPRKVRSQRQEVATDFATATALRHQTSLHHSLFIARRCPSADLHQRTCDGWIRLLNAYRWYVPNPNSPSPSLSLSVHTISTRNPNETPLIYTMDDPKILSMRKGWRGRDLFGYCSPSSPPPTSARRRREGPPPRGKGAASGHDLAAAWIYSTASSRGTGTQPFDGGALTLGWTRTPVKGHVVAPPHLPPGHHGRLPLLHIFRCRCGPSKRREEDRERGGEPVESFLRWPLTTPLRLLTPVAAATAGHALAGAALREKGEGRNELGFGELVAGRRF >Sspon.02G0040580-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:73196792:73197900:-1 gene:Sspon.02G0040580-2C transcript:Sspon.02G0040580-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAALEGVSVTHRTLDVNGIKIHVAEAGDGSGSAGGTAVLFLHGFLELWHSWHHQLRSLSARGYRCLAPDLRGYGDSSAPPSPSSYTAFHLVGDVVGLLDALALPRVYVVGQGWGALLAWHLATFRSDRVRALVNMSVAFMPRNPAVRPLEAFRRLYGDGYYLLRLQEPGAMEAEFARMATRFIFRKLLTTRDTGAISLSPEWWGPQDQDIPLPPWLTEEYVERLAAKFDETGFAGAMNFYRCLDLNWELTAPWTGAKVTVPTKYIAGEDAMSYNYTGVQEYIHKGGLKGDVPGLEEVAVIAGAAHYIHLEKPEEVTEHIYEFIK >Sspon.07G0008940-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:21540092:21542597:1 gene:Sspon.07G0008940-4D transcript:Sspon.07G0008940-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRKNAGKVSPWLLVLISLGCFFVTYNLLTMHGRGRDGPRKFLGGGEDRDSTGSGSDPAKRFHVALTATDALYSQWQSRIMHYWYKEMRDRPGSDMGGFTRILHSGKPDGLMDEIPTMVVDPLPEGKDKGYIVLNRPWAFVQWLQKAKIVEEYILMAEPDHVFVKPLPNLAHGDEPAAFPFFYIKPTDNEKILRKFFPEEKGPVSNIDPIGNSPVIIKKAQLEKIAPTWMNVSLKMKEDQETDKAFGWVLEMYAYAVASALHGVRHSLHKDFMIQPPWDLKTDNTFVIHYTYGCDYSMKGQLTYGKIGEWRFDKRSYLQSPPPRNLSLPPPGVPESVVSPRPQGARRE >Sspon.02G0059940-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2D:103958933:103962253:1 gene:Sspon.02G0059940-1D transcript:Sspon.02G0059940-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNTPSFTNMINSALTNVDPEKQVSQQHHFPPLQSPMSYPPAQFPPTFQPPYPQIFNPFGSHTNYPQFPDTQGYPRSSYHGAVGVGQQHPQGGSQASPVGSIGLFQGSRATDSRGDESSPVGSASPVSQGQQLGATPDEAAVWSERSESSPDELEKREGRVHWVVAEKMAEATLVQAEAAKSKAEADNKMADAEKDKTKLQKMEMYMVLLRTDTSAGSNNDINVLNRSTLFTEVIQGRAPEVHFTVNGNEYNMGYYLADGIYPEWATFVKTIHLPQCNKDALFAQKQEGARKDVERAFGVLQARFAILRCPARVLTEFAMMKTMTISMTRVAHPHHWQDMDMARFMDFPGLQRYKKI >Sspon.05G0029860-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:80485820:80490110:-1 gene:Sspon.05G0029860-2C transcript:Sspon.05G0029860-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQPAPLLVPPQPHCPLDSPSLLQSATNVQQRWMAFKSLAVTAVYKTVTVVNNTLVNGTTKSGATTISTPSLHDLQIYGVVVTILLCFIVFGGVKIINKVAPAFLVPVLFSILCIYIGVSIAPEPGASKGITGLSIVTLAENWSSEYQPTNNAGVPDPNGSIYWDFNALLGLFFPAVTGIMAGSNRSASLKDTQRSIPVGTLSATLSTTLMYLLSVFLFGALATREELLTDRLLAAAIAWPGPAVIYIGIILSTLGAALQSLTGAPRLLAAIANDDILPILNCFKAYEGSEPHVATLFTSFICIACVVIGNLDLITPTITMFFLLCYAGVNLSCFLLDLLDAPSWRPRWKIHHWVLSLIGASQCIVIMFMISWTFTVVSLALASLIYYYVSLKGKAGDWGDGFKSAYFQLALRSLRSLGANNVHPKNWYPIPLIFCRPWGKLPENVPCHPKLADFANCMKKKGRGMSIFVSIIDGDYHESAEEANTAYRQLSAYIDYKHCEGVAEIIVARSISDGFRSIVQIMGLGNLKPNIVVMRYPEIWRRENLITQIPSSFISIINDCIIANKAIVIVKGLDEWPNEYQRLYGTIDLYWIVRDGGLMLLLSQLLLARDGFESCKIQVFCIAEEGTEAEELKADVKKYLYDLRMQAEVIVVTMKSMEAHSEISSNAKKDPQEEYASAQDRIRAYLSQMKETAQREGRPLMEDGRQVVVNEEKVEKFLCTMLKLNTTIVKYSMMAAVVLVSLPPPPLNHPAYCYMEYMDMLVVNVPRMLIVRGYRRDVVTLFT >Sspon.04G0032090-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:22709259:22712144:-1 gene:Sspon.04G0032090-2D transcript:Sspon.04G0032090-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAASSSPPAAITCRAAVAWGPGQPLVMEEVEVAPPGPMEIRVKVVSTSICRSDVTAWQSKAQPDLFPRVFGHEASGVVESVGEGVTEFQVGDHVLTVFIGECKSCKHCVSGKSNMCQKLGLERKGVMHSDQKTRFSVKGKPVYHYCAVSSFSEYTVVHSGCAVKVGLTVPMDRVCLLSCGVSAGLGAVWNVANVSKGSSVAIFGLGTVGLSVAQGAKLRGASKIIGVDTNSEKQEKGKSFGVTDFINPDELSEPVQQVIKRISDGGVDYSFECVGDTGVVSTALQSCSDGWGVTVTLGVPKTKPEVSAHYAFLLSGRTLKGSLFGGWRPKSDLPSLVDKYADKEIQVDGLVTHDIPFSEINKALDLMLENKCLRCVIHMPQ >Sspon.02G0000160-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:8270953:8272587:-1 gene:Sspon.02G0000160-2B transcript:Sspon.02G0000160-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Inactive beta-amylase 9 [Source:Projected from Arabidopsis thaliana (AT5G18670) UniProtKB/Swiss-Prot;Acc:Q8VYW2] METVPAMMTQMASATRRPQSTRGPGGRAPNRVAFPPARRGDCSRDLRAAGLVGRFFGAGEHSSKSHEVDDQAPARLFVGLPIDSVTDGATVNSAAAIAAGIRAVRLLGADGVELPVFWSVAQPESPDRFSWAGYQAVADMVRAEGLSLRVSLRTHGRPGGVAADDPDIFFTDRSGGRHDGCLSFAIDDLPVLHGKSPLQLYEAFFRSFAVAFDDFFDSTITDVTVGLGAHGVLRYPSYPPGSDARKFTGMGEFQCYDKYMLQQLRQHAVEEGHAMWGLAGPHDAPRYHDSPDSCGFFRERGGSWETPYGDFFLSWYAGQLVGHGDRVLGTANAVFGGKPVELSAKIPFMHWWHGARSRPAEAAAGFYKSNKKNGYSPVAKMFARHGCTMVVPGMDVCMNKQHHSTGSSPDTLLVQMKNACRRHGARIAGENASLVMTHTSSFSRIRSNILTTELMRPCHFTYQRMGAEFFSPDHFPQFMEFVRSVVCGEWDEDDEERGVAVSANARAREAKAV >Sspon.08G0017990-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:4340427:4344850:1 gene:Sspon.08G0017990-1B transcript:Sspon.08G0017990-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSDHFLVRSTTPVGFLRRGSGISLRNQSNEDRPSQYNKQPGKSSNLNPVKSRFTENKEKPRYFQGPFHSSGSRASSVSSSKAPVRKYCDERQKRPFLPETDIAESSNRRTEVRRLQSGKKASVFGDGHPYMQKGSSEASSSRTTTEGSLPEEHDLGVLDVSGSSGSSACAVNSRNTALNAMAHRQKDKEELNSGRHQGACTFVRRHALQTTGVRSSTAPGTTITGAQRRGLKNLGCTSISDVLPSGCSSSDSVCDRRVEVTRKRTSDAESSSRSRGISEQSNLSQPRASYLGSTGPRARAVEQLASQQTARTNSRSIQDPTDSIRTRRPFTLRARERMIPGEREDSVFALHETVASVHPECGHFHTDGTPPERLGRPFYAELPHAIYSSNRQGSSSQTARRRSTSRTEESPQRMFHGMFGERDGYRRVNMEGIAQELLALEDHIGSVSTALTEEQFAKCVYQSVYEARNSGRDVNKIAADDEEYVEGEEIGTMQCEHQYHVCCIHEWLRQKNWCPICKASAIPSEMNKGDA >Sspon.08G0021620-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:41479577:41505465:-1 gene:Sspon.08G0021620-1B transcript:Sspon.08G0021620-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ASSQMASSQHVEMEAAKLLHKLIQESKDEPAKLATKLYVICQHMKLSGKEQSLPYQVISRAMETVVNQHGIDMDALRSSRIPFAGGPQAGDSSGAMSKDKEVIGNQSPMVGSDASQTSGQAGLWQLPSGSTDMTRHGASISGRVPTGPNRGDFSAADIHQASMSQKSGRSSGIESPASLQMEDTRSLNSHDSLKSDEKTSKKTSSKRKRMDSKGAGDLHSEDNSKSDAMSTGQNTRKGKQVGKAGRQGQPSMGIEHEQPHILQGGTAQVPPIHGGAPFLRTHPEGPSGRTMDKTKPSNPFSMAQIPNFPEGLASSGAPIELQKSIQGGANLFNPGFGWNQNLQVPTLKNSQGSIPNLVRSGVTIEGKANVGAQGAFNSTSAPQMEFPTIPPYNPSSFGASSQFLDKGKELASSSTGTELHSTSKVASQPGIPHGSPMQERQGIIRVPQRAEASFQEGRPSSLPSRSTRPSPMSHTSSNTPFKEQQLKQLRAQCLVFLAFRNNLQPRKVHLEIALGRGPPAESQRGSEGRVSDALGKENGSSRENSGVFCRQTDISRLPSTSAGSIAEVDSLLKDPENATKKIKVAEQEKALMEVENIQQASVMQGASASSEMRSQETASQNPSGPQQSYFQGDTRRIASHIHRTDAENLSRNLSWGGQGSTALGGTRQHLNQETKESLAPSKSHHMPGDGFNSNIPGIDRTPETAGAGNDVENCSHVVDIVPEQAADEGDEDLSEHEDLLSSPPKHTMTEKWILDYQKRIYNERQKRALEQHKLHSRMSASYEKLKESVNSSEDLSAKTKSVIELKKLQLLPLQRRVRSEFLLDFFKPNTADLERIKSLKKHRHGRRVKQLEKIEQKMKEERQKRIRERQKEFFADIEAHREKLEDNFKAKRERLKGFNRYAKEFHKRKERIHREKLDRIQREKINLLKNNDVEGYLRMVQDAKSDRVKQLLRETEKYLQKLGNKLQNAKSTDGRSSFVTDKSDTANDIEDESYQPQHYLESNEKYYQLAHSVKETVNDQPSYLQGGKLREYQMNDEMGLGKTVQVISLLCYLMETKNDRGPFLVVVPSSVLPGWESELSFWAPSINKIAYAGPPEERRKMFKEMIVQQKFNVENELPEKTERLVRCEASAYQKLLMTRVEENRGAIGAVKVRSVHNSVMELRNICNHPYLSQLHVEEIEGYLPKHYLPSIVRLCGKLEMLDRLLPKLNATGHRDYLVWKKYKYLRLDGHTSGQERGALIDKFNDPNSQAFIFLLSIRAGGVGVNLQTADTVIIFDTDWNPQVDLQAQARAHRIGQKKEVRSVEEQVRASAEHKLGVANQSITAGFFDNNTSAEDRREYLESLLRECKKEAAAPVLDDDALNDILARSEAEIDIFESIDKQRREEEMAAWQKVVQDGSTSGLDPSVLPSRLVTDDDLKPFCHAMKLYEPSSNVKTVKVNVRKKGELGGLDTQHYGRGKRAREVRSYEDQWTEEEFEKLCQVDSPDSPQPGGMSKDLDIPNKGIKTEIVVESSKEPEQTRMEASPTVGDSPPAKRRRGLTDAVKQDTGTTQDGSSATPASTIHSDAPASPIHSAASDVNIHSISPADIKKQEFSTDTKPSSSVSVLEGSVANEIGTPLQSVHNVAAPAPPHQSARGRKSQAGETPRRRGRKPKSLSSSGVDDVSLNTTVSTGSGVADTSYVSSYSHVNMPSSQGTAVALAGIQKDLVTVKLDTLLPDSGKGISPVNEEDKGATVTTPVAKDVCAETVTTSDNSIALTPNTLKENIGLVQVAPAPTMPMPVASEELLKTAHVVVADKPVEKQTASRRRRKKTSSSEDTGVSTRQRSAMKRSYYSTSAAIDEVGSAMTPNEKSGIVKERDVSSIQDTSNQLPNINSPLYEKSGYDSQPSTPIAVPINEATLPSGFDTHTTHSKITLATSANPADHDKPVDLHLNAPVSVDSQNQEQLKIGKDHLAASSGIFATHLQTVTANPTSDNKPGTAQFEPSGKDATAPTSSEVDSAAPNKAPSRRRKGSTRESRTRSNSATAASERRARLTGSKQAEDIKKLEMSATPTTTVCVSSVEQQGAASLRAEITNASVCEEQKNPGSHVSSDISIPMGSHVLGAISTEETTATMITHTPAVAKSEESKLPGGDQQGIPFDSSVPQTKMVSAVEPAPANDERMHGTEINNSEQTKIVSAAEPAPANDEHMQGTEVNNSEQTKIVSAAEPAPANDEYMQAMEVGSSEQPTSMVSAVESAPSNDEEHTTHEVYLETAADNMLTSSAATDVLRDTVEHAACQSDAACTDGTARQSEASQLDSKASHDASYKDTTTTGSTKDDSKASRDASYILPSEGTAVDVTGSKQDGVNIVGTQTDDASRGSSSHFQATLQSTESDWPPGQGENLESRKEQVKLEEALDNSSGGNQTHSLGDEPSHDTSLVRNSPSEYSNERCSAQVIGETFKSKENIVEVHSAMNTDGPDEALDALYVQSKEASITDIGVPTDVDKFEGKGISVEVRADMNTVGPEDAQDASSTQSDKEAIMIEFVVSTDGSPTVCKAHNDLEGHVSCEDRTGGDDPIHTNANYGSNNKSEDTIVNPVETTREPMEESTVIVSENSDLNKQSHTLHTGNDPPASTLLIVESNKVTCDAEIVCASRLESSSIEAETVGIQDSAVTDFEGTKGTGDLGHKTDSPLRDDVHDTPCSTIGLVCEKEPTEALTAGSHSEAPNLLAAVEQTRETTVANQEEIIDAVVFMDACKAEPDGDCTVAKGAEQTVEIVHSVEKQSAVLEHVERQTKQTTICGSTLNESPQAAGLEEDCSVLKHGGPTASSELLSVAPNPIGETSVIQAEPEATNSDGYCTTEVGSALSETVMGLEPNNETAVPMQEDIGEANDTSNNCEARNNSGIHAFGEVSMEMQSSEFKEVSSIQSGAANLSTQTPALPDETGQTNMALTAELVPTNDDEHMQGTEVNSEQQIKMVSPAESAPANDEHVQDITILSSEQQTKMVSAAENDTVCVQETAIVDLGGTRGTVDLNDISTQVPALPGSDVLGDEINSSEQQAKIETIAEIETVGVKENAIADHEETGDQSGVSMHAPLLAESGEKGSHGVELRGIEIEANNSEQAKMVSAVEAASTPDDLGRHTHEVHVTGDGAILSSGEQDTLQDNISSGADVDLTTSERKTDSEVIKDPSIGINVEEIQGPYDTSNKDHFTDPPATTPVIAESDKDTCDAEVVCAGKLESSGADAVGMIVVQEAPAVADHDRARGIGVEAHGAEHIEMASVAEAASSLALVGYSSSEDSMVDAAARVADGDFADSKGAGVDRQETMPTQTTSTLPENMDWQNDSSATSAVTLNRWGSIEANNTSTINEVNKDTESRASGEVKEAPSSDPLGYNENLKTENEASAQGPVNEESAPRAENAKIDEADTEQQLPPSGEAMVDISSELLIQDEKVAPSTGTLGNDEDAQMEEAPVAQRELSTEVAHGSENAELGEAPSGEAMADVSSELLSQDEKVAPSTGPSGNDEDAQMGEAAAAQRELSTEVAHGSENAKLGEAGTELQLPPPSSGEALVDISREQLSQEVQEVASFGPSETDENAEMERLSTEHSPGGETSKLVGADPGMQSPPSGEAVVNISNEPPSCQEVKEAPSSDTMGNDANSDTEKTAASLQGQLNTELAPSGENTESNEADTGKQGTPVSAEAMVESSSEPPSDEAKEATTTDLSGDDEKAKSARAAVVAELFGDATE >Sspon.02G0043580-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:109172130:109175941:-1 gene:Sspon.02G0043580-2D transcript:Sspon.02G0043580-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIGYVVGVLGGAILAHAAYATIQCTLHPSSIPILLPSPRRRAPTKFRRGSPFSEGAFGFPSDSGSPFRDRAVLKITEEEFSRPPMDVMMELLLGLALCMWAGLAVPAKFVSVLPHSEENRIVSLPANLDFMIFNHRGRALSSDSDLKLKT >Sspon.05G0005690-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:10062145:10063906:1 gene:Sspon.05G0005690-3C transcript:Sspon.05G0005690-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHHQFARLVPTARPALPPIHGGAVGRSSPPVHRAVALRRAPLASVAGRRACRPLAVSAQSTSPQAGLRLEEKFFEVEMKVRDYELDQYGVVNNAVYASYCQHGRHELLESVGISADAVARSGESLALSELNLKYFAPLRSGNKFVVKVRLAGIKGVRMIFEHVIEKLPNHELILEAKATAVCLNKDYYPTRIPRELLSKMQLFSSEDSRGSNEDVNNRNNSCN >Sspon.02G0000140-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:767596:770941:1 gene:Sspon.02G0000140-1A transcript:Sspon.02G0000140-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPSSASSSARLRLLTVPALLLLLSSAALLVFLVLPSLSPSSSSSSAASAHLCACSPPATHTTTTVTTTTVTASPAPVTASPADVAWLKAQLASNSLLLPAGGGAHDAWHRLRKGINPRTREQQLFDINRHHGISHYPDEEATNHTALPCPGELLVEEHHSNYGEPWAGGRDVFEFLANASALTPTEQVLEIGCGTLRVGLHFIRYLDAGRFHCLERDELSLVAALRYELPAQGLLYKRPMIVRGEDMDFSKFGDTVMYDLIYASAVFLHIPDKLVWTGLERLAGKLRPQRGRIFVSHNIKFCSRLGGDECTRRLAKLGLGYVGKHTHDSLLFNHYEIWFEFRRPKKFTSSNNLPINHDSWEL >Sspon.03G0002300-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:5555445:5556877:-1 gene:Sspon.03G0002300-1A transcript:Sspon.03G0002300-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LVSAVALALLGWAYQATRPPPPAILGAPGGPLITSPRIRLKDGRHLAYREEGVRRENARFRIIFFHGFSSTKESGFPVSQELVEELGIYMLFFDRAGYGDSDANPKRSLKSDATDVEELADALQLGDKFYVVGCSMGGYPAWSCLNYIPHRLAGVALAAPAVNYWWPLPANVSRTAYGKLDVRDRRTFWIAHHAPSLLHAWLAQKWFRVSPIVRGERDAFTDKDWEILTELWRKQRESGQLDPAKATQQGTYESLCRDATILFGTWEFDPTEIKNPFLDGEGVVSIWQGYQDKIVQVEIQRHVAQKLPWVRYHEHPEAGHALPDMDGVGDKIIWELLLGDGKATSARMPELNAGK >Sspon.05G0025070-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:5B:20098951:20099409:-1 gene:Sspon.05G0025070-1B transcript:Sspon.05G0025070-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWPCRWLGRDRRGAEDDAAAEGGAEVGLPLLEHAIVSAELAHGQLDVEAPQLERSSSEDEWWETSATGAGSGSEHRETVAAAGERIWSSTWMGLALLLTRTHAMCVNVPCPRLAPGAAGSPVRRRRRRAAGHRDDAVNADEEESTSSTSAWK >Sspon.06G0003830-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:9627859:9632365:-1 gene:Sspon.06G0003830-1P transcript:Sspon.06G0003830-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAGEEMAAEAKEERIMVSVRLRPLNGREAGDSSDWECISPTTIMFRSTVPERAMFPTAYTYDRVFGPSCSTRQVYEEGAKEVALSVVSGINSSIFAYGQTSSGKTYTMTGITEYSMLDIYDYIDKHPEREFILKFSAIEIYNESVRDLLSHDPTPLRLLDDPEKGTTVERLTEETLRDYGHLRDLLAVCEGAELNLLQMKELASQRQIGETALNETSSRSHQILRLTIESSARQFLGRGNSSTLVACVNFVDLAGSERASQTASAGMRPKEGSHINRSLLTLGKVVRLLSKGRNGHIPYRDSKLTRILQSSLGGNARTAIICTMSPAHTHMEQSRNTLLFATCAKEVVTNAQVNVVMSDKALVKHLQRELARLENELKFPGSTVCITHTEALREKDAQIKKLEKQLKELMEERDTVQSQLNCLLKGDGDDHGNEHTAKRWDEHSRSSESLARNVSEEALSVADAYGVAHQGQDYASFNGLYVYSSDHNDSAFLGETRELPRQTWDQKVISPWHPPSNHGSDGIEPYHIKESPSRTTSEVSEEHCREVQCIEIHEHVRIRSQEFNQLLPEDTKSQTPDVEVISKDAVPQPDEQGLKSVTKKIEDHVRSYSSKDEQQAENIRKIEEDSVKTYQCESDRITENVVKLYTCDANHSFDIAKTPHECLSLKRCIMSSKDRALARSKSCRATFMVIPNSWFDDFENTSRTPPDEMFRYAPRRLDKVRRSLYDDNGDCQNEDFKTSPLIPEKNDYQNEDCLLDCSTVSCEVASDEVFNNMSTSDEVAMSTSDEEQETPVNDISCVTEAKENTEDCHEDLLEEDEKTSTKTVRDVGVDSALSPFESPSHPTVDFEKKQQQIIELWHECNVSIVHKTYFFLLFKGDPADNIYMEVEHRRLSFIMSSFRAQPAAEGELNPAIASSLKNLRRERDMLYKQMLKKLSNGEKESIYGKWGIDLSTKQRRLQLSRLIWTQTDMEHVRESTSLVARLIDLEPGQALKEMFGMNFTLVPRADRTSFGL >Sspon.05G0021790-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:80662742:80667731:-1 gene:Sspon.05G0021790-2P transcript:Sspon.05G0021790-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:At5g16260 [Source:Projected from Arabidopsis thaliana (AT5G16260) UniProtKB/TrEMBL;Acc:Q9LF02] METRGAAAAGEVGWYVLGPDQQGVGPYALVELREHFANGYLNESTMLWAQGRKEWMPLSSIPELHSIVTAAKDHSRQAAAPDVDDDFAKFQKEVTEAEKEVKGSAEDGDVGPQDDERPATPPDGEEFIDDDGTIYKWDRTLRAWVPQNDVSGKKDGYAVEEMTFALEEVFQAPDIPGPSALEEINTPAETKNKESDKAEKRGEKKHKSSQKPAEKNEANKPPDSWFDLKVNTHVYVTGLPNDVTVEEIVEVFSKCGIIKEEPSVALAVQLLDGTSFRPGGKTLMSVGPARFQQKGDVFIAKKTDKQKKRKIRKVEDKMLGWGGHDDKKVMIPTTVILRHMFTPAELRADEDLLSELEVDVREECAKFGPVDNVKICENHPQGVILVKFKDRKDGAKCIEKMNGRWFGGRQIHASEDDGSINHSLIRDYDAEVSRLDRFGEELEESTRIYTSGALPSSNLTDYNN >Sspon.04G0021960-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:51780100:51780728:-1 gene:Sspon.04G0021960-1P transcript:Sspon.04G0021960-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPQQRYRGVRQRHWGSWVSEIRHPLLYQQAASSFLSPALVAKLHRFNLASVQAARGKADAASAAAASAPAVLPRIAAMPAGDVAMGIAPSPSAAAAGDWSGGFLEEQYVDQMIEELLDSNFSMEISY >Sspon.05G0008800-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:17702298:17705034:1 gene:Sspon.05G0008800-3C transcript:Sspon.05G0008800-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Partner protein of NRT2, Activator for NRT2, High-affinity nitrate transpor [Source: Projected from Oryza sativa (Os02g0595900)] MARSGVALPPPLLVVIVVGACCARPAAAAHLSALGRTLVVEASPKAGQVLHAGEDTITVTWRLNASAPAGADAGYKAVKVTLCYAPASQEDRGWRKANDDLSKDKACQFEIAQPQPYAGPGTRTLRYRVARDVPTASYHVRAYALDASGAPVGYGQTAPAYYFRVAGVTGIHASLRVAAALLSALSVAALAFFAVVERRRKDE >Sspon.07G0019290-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:70502726:70509834:-1 gene:Sspon.07G0019290-1A transcript:Sspon.07G0019290-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSARTTCHGKLLEEPSTYDLPRINNASSIKLCTITCPDAEITDKVARQNCNGTGCCSVNFNILQAFQLRFVLHNHNRGELGTHTNQRSSLWDSINVTSAYAEISWSIVDQPTCASAMDDRANYACVSNHSGCYDGLFGTLDLGYICSCDGGYGGNPYVPNGCSRDKVHIMTYRHSSRLCVQPLGFNSGSTRGRGFRTEWR >Sspon.08G0019040-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:10130306:10137019:-1 gene:Sspon.08G0019040-1B transcript:Sspon.08G0019040-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVERRAPWLAGGLVAVAVQLLMVAVRGRFVVEKSSVRVLAPEHIRGHHDAAIGNFGVPDYGGTLTGVVIYPDKKATGCDEFDAKFKAKSRRPVILLLDRGECYFALKAWNAQRAGAAAVLIADSVDEQLLTMDSPEASAGTEYVDKINIPSALVNRAFGESLKKMAQKVASGDGAGEEVIVKLDWRESMPHPDERVEYELWTNSNDECGPPVRRADGQCRSQCINHGRYCAPDPEGDFGAGYEGKDVVVENLRQLCVHRVANDTGRPWAWWDYVMDYKIRCSMKEKKYTKTCAEDVVTALGLDLKKVLECMGDPEADADNAVLSKEQEDQIGSGSRGDVTILPTLVINNVQYRGKLERTAVLRAVCAGFKEGTEPRVCLSHDIETNECLHRNGGCWRDEATNVTACQDTYRGRVCECPVVNGVRYEGDGYTDCKRKMVLFETALTGCRCPSGFQGDGHKCEDLDECREKLACTCPGCQCKNTWGNYECKCKGNQLYIRGEDVCIANNMSKLGWFITIAAVACVVGIGVAGYVFYKYRLRSYMDSEIMSIMSQYMPLDSQNNENQPLRQHDSEALRH >Sspon.07G0024310-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7B:23475199:23477350:1 gene:Sspon.07G0024310-1B transcript:Sspon.07G0024310-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVASNSSPSTVNVKMASDGVWQGENPLDFALPLLAMQIAVILAVTQGLALALRPLRQPKVVAEILGGILLGPSALGRWGAFRRVIFPPWSTVALDTVSGLGLLLFLLLVGLELDFRAVRRVGPCSVAVAAAGIVPPFLAAPGLVPLLKLALPPAPRHAAAFLPLCVFLGTALSVTALPVLACILKELGLLGTPLGETAMAAAAVNDVFAWALLALALAVSGGNSGGGGPSPRATPLAPVYILASGAAFVALMLCALRPLMARLARRAGPERSAAAALASSPGAVVACALLAGAVTDAIGVHPVFGAFVFGLSVPRDGGLAERAREKVAPLVSGLMLPLYFATSGLHTDVDAVCGAAAWGMAALVVAVAFLGKFGGTFVVATVTGMARREAAALGVAMSAKGLVELIVLNIGKERKVRAGYLYFARWFLLINREHAFLQHAGDRVQVLDDTTFAIFVIMALTTTVLATPFMTALYRSTPTATTPETDGTELKGGHA >Sspon.05G0013480-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:47119746:47126262:-1 gene:Sspon.05G0013480-4D transcript:Sspon.05G0013480-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-acyltransferase [Source:Projected from Arabidopsis thaliana (AT3G60800) UniProtKB/TrEMBL;Acc:A0A178VIL0] MYRSPGVAMAWNVFRFCTALRGLGSIMILLVLAIVGVTYYAVVLCNYGPALLTGGGTTLAAFAVLLLFHFLLAMLLWSYFSVVLTDPGSVPPNWNLDFDVERGETAPLATSEFSSQINSQQSVALGNTANPRVRYCRKCNQLKPPRCHHCSVCGRCVLKMDHHCVWVVNCVGALNYKYFLLFLFYTFLETTLVTLSLLPHFIAFFSDVEIPGSPAALATTFLTFVLNLAFSLSAYEKKTTPHWIYDLGRKRNFAQVFGNDRKYWFIPAYSEEDLRRIPALQGLDYPVRPDFDGQEL >Sspon.02G0016540-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:48841157:48842431:-1 gene:Sspon.02G0016540-2C transcript:Sspon.02G0016540-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AEDDYDYLFKVVLIGDSGVGKSNLLSRFTRNEFSLESKSTIGVEFATRSIRVDDKVVKAQIWDTAGQERYRAITSAYYRGAVGALVVYDVTRHVTFENVERWLKELRDHTDANIVIMLVGNKADLRHLRAVSVEDAKGFSERESTFFMKHPPLESMNVESAFTE >Sspon.07G0013630-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7B:54702891:54704780:-1 gene:Sspon.07G0013630-2B transcript:Sspon.07G0013630-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHSRLQPARSSEAQIHGSRSDERVDRGCAGCVGPAAQGGGLVPNGVGESQEVALGLHFAFSPPPKCSAVWAHLLARPGARPRGSGAQRSSRARPGQGTASRRPSRARHVEARDAGEGGPDRHVLLRDAPVLVSCSVHMGKLRLSSCCSRRTSCAAEGLQLEEEEGVGSLIAWPHWTERKKETRGYDSAVRRGRQRRHPRLPLAARPGHPAARLCGGFVVALRLNHTMCDAYGVAQFMSAVAELARGLPAPTVSPVWSRELLEARPEPAVLHSHRRELDVVPVPQPPPPPLPPPGDGDKIVRTFTFGPRGVAAIKNCLPPRLRDTATSYEALTAALWRAGTAALELAPGEEVSLVIVANCRGVRGLGIPDSYYGNAVGYPVTRAAAGTLLTGSGSSLGLGLGNAVEPVREAKAAAAKSITPSGWTGPTRRLRVGLPCATWSGHGRPIWLARAPAIIIRCSGFFRRNTNLFDVSDNRHTGFHRVDFGWGVPVFGGVMTTVFGASFLVVVHGGDGEELRKPWPCR >Sspon.06G0007040-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6A:26480408:26481394:1 gene:Sspon.06G0007040-1A transcript:Sspon.06G0007040-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRCSATGQQCKAGLHTPLSLTVTENTDKTRSDRPADLALGIAAALERAPVIADVPEVGVGKLVGLMNATALVGAAAAEGGSALGVAEAAGGPALVRVAAAEGAPALGASVVAEGAAAVEVGGNAVLANATAVEIARVAVVEYAAEVGVVLVVAVATLVLAPLAWGLHYSSLLEPQQTSFVAQQQLLPLSSQSQ >Sspon.03G0029830-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:25298825:25300268:1 gene:Sspon.03G0029830-2C transcript:Sspon.03G0029830-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLMAQAHPVLLVLLLFLSPTAISSSKRTQPKFSAIFYFGDSVLDTGNNNHLPTLAVANHVPYGRDFPGKKPTGRFSNGRLIPDLLNEKLQLKQFSPPFLDTRLSNNDMVTGVNFASAGSGLDDQTSQLSNTLPMSKQVDLFKDYLLRLTDIVGDKEASRIIASTLIFISSGTNDFSHYYRSSKKRKMDIGGYQDIVLQMVQVYVKELYGLGGRQFCLAGLPPFGCAPIQITLSRDPDRACVDEQNWDAQVYNSKLQKLLATLQGSLHGSKIVYLDAYRALMEILENPAKYGFTETTRGCCGTGLREVALFCNALTPICKNVSSYVFYDAVHPTERVYMLVNDYIVKY >Sspon.07G0017210-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:61958246:61959076:-1 gene:Sspon.07G0017210-1A transcript:Sspon.07G0017210-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding METRGAAAAGEVGWYVLGPDQQGVGPYALVELREHFANGYLNESTMLWAQGRKEWMPLSSIPELHSIVTAAKDQSRQAAAPDVDDDFAKFQKEVTEAEKEVKGSAEEDGDVDRQDDERPATPPDGEEEFTDDDGTIYKWDRTLRAWVPQV >Sspon.05G0031240-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:3708255:3725934:-1 gene:Sspon.05G0031240-1C transcript:Sspon.05G0031240-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRLGLWGEGTSFHNFSQFLGALEKGGVGALELVAMDMKARGMYVCRTLSYKGADFDIVEAPLEERMMNMYKKAAEFWAELRLELLSASELFADGNSNQIWRLYWASHQRFFRHMCMSAKVPAVARLAKEALAENKCVVIGLQSTGEARTEEAVTKYGVEMEDFVSGPRELLLKLVEENYPLPPKPDSFQQGEEKVTEIQRKRHSALDVSFKGRVRKVAKVVDVSDDDTDDYSPSESDHESTESDEEFHMCQICNTEEEKSLLLHCSGCSRHVHPNCLTPPWTGMITDDWACYTCKIVEDEEKEQDVHVADCSKRYDSAVEKRLKILDLIRSLDLPNNPLDDIIDQLGGPDNVAEITGRRGMLIRASDGKGVVYQARNAKEVSMEMINMHEKQQFMDGKKLIAIISEAGSAGVSLHADRRAKNQRRRVHITLELPWSADRAIQQFGRTHRSNQTSAPQYRLLFTNLGGEKRFASIVAKRLESLGALTQGDRRAGLSLSAFNYDSNYGKKALTMMYRGIMEQDSFPVVPPGCSDDESSIQEFINEAKAALVSVGIIRDAFICNGKGPGKLSGRIVDSDMHDVARFLNRLLGLPPNIQNRLFDLFASILDIVLNNARIEGQLDSGIVDIRAKNVEMTESPKTVHTDSLSGASTVLFTFTIDRGVTWELAKAKLEEREKDGAGTSNDGFYESRREWMGRRHFILAFEGSTEGIYKIIRPAIGEALREMPSTELKSKYRKVSSIDKVSKGWQEEYDASSKQCMHGSKCKIGSYCTVGRRLQEVNILGGLILPVWGTIEKALAKQVRLIHRRIRVVRLVTTNDSQRIVGLLIPNSAVESVLTDS >Sspon.02G0005290-4D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2D:10626383:10626667:-1 gene:Sspon.02G0005290-4D transcript:Sspon.02G0005290-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKNRNKKNKAKKSGGVTAMDTSEGGPATSTTADAPQRDFPLSISFIFGGVFVFASFSFYDGSVHDFFRCLAAMDTSEGKQPSLATAALGSINK >Sspon.01G0027670-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:96811143:96816476:-1 gene:Sspon.01G0027670-1A transcript:Sspon.01G0027670-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSGGCVGAEGEVDPEAVAPAAAAEEAVVPAPAREVVVGYALTTKKAKSFLQPKLRGLARKKGILFVAIDQKRPLSDQGPFDIVLHKLTGKGWQQLLEEYREAHPEVTVLDPPGAIANLLDRQSMLQEVSELDLTDCHGKVRVPKQLFVNTDPSSIPAAVRRAGLSLPLGGVMFKVYIVGDAIRVVRRFSLPNVDEGDLSNNAGVFRFPRVSCAAASADDADLDPVVA >Sspon.02G0021130-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:69979442:69981023:-1 gene:Sspon.02G0021130-1A transcript:Sspon.02G0021130-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNIIGDQPPPGPARRLFAQVHVTHAGEPTTYTKTQGDPAWRAAMEQELNDLKEEVYVRQPPGFAVVGEEGKSPHEAAIYRQGSRRSVLLVGVYANDLIITSVEEDEVEAFKVQMKKVLNMSDLGLLCFYLGIEVRQDASGITLRQTHYAKRILEVGGMAGYNQAHTPMEERLRLSRHSTAEEVDSMHYRWLICSLRYLVHTRLDLAFAVGDLASNIDKSKSTSRTMFFLSNCLVSWRSLKQKVVALSSCEAEYIATTTAITQALWLSRLLGELLGRNVEVVELKMDSALALAKNPVFHERSKHIRIKYHIIRSCLEDGSIKADHIATSDQLADILTKSLGKTKFQEMRQRIGLRQITSKA >Sspon.02G0040080-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2D:59895496:59896612:-1 gene:Sspon.02G0040080-2D transcript:Sspon.02G0040080-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RISNAAGGEPGGVGERADRGGRGASGALRGGVPAARGVPCVVLDRADCIASLWQRRTYDRLRLHLPRHFCELPGMPFPDHYPEYPTKRQFVDYLNAYAEQAGVQPRFNQAVTSARYDAAAQLWRVRAEDVTVVAAAEDAAATTEYIGRWLVVATGENAERIVPEFEGAKDFAGPVSHVSEYKCGEAYRGKRVLVVGCGNSGMEVCLDLCDHNALPSMVVRDAKVHVLPREMFGVATFSVAVFLLRFLPLWLVDAILVILARLFLGDLEKLGIRRPPGGPLELKNARGRTPVLDIGALARIRSGHIQVVPGIKRFFRGGAELVDGRRVAADAVILA >Sspon.06G0014850-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:62000929:62003961:1 gene:Sspon.06G0014850-1P transcript:Sspon.06G0014850-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLGEACGIIIIRKDTILCRLWVLAQLRRRLFLWRRDKMAPPASSSSSRPTPAMVCARQGRLRQRYEGCYRLVSGCIPYMLKDKEDAGEKICQQDMLGRLQVLMISTPKRSDLIFPKGGWEDDESIDEAACREAFEEAGVKGIISATPLGEWIFKSKSKQNSCGLQGACKGFMFGLQVTELLEIWPEQVTHGRRWVPVEEAYSLCRYDWMREALDKLKEQLQFESNEFRPLPSPELVDSSSLYIW >Sspon.01G0019680-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:79195238:79201396:1 gene:Sspon.01G0019680-2B transcript:Sspon.01G0019680-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGAHGSDPSTGLVPGTIPELPDREPPLLQAPSPAPQQEAVASQTEAPVPAVTIVISRPAEEVQTPDAKRGSPPCSPQAGDGKATATSVSLTASAAAKEAELARSDSFDDYEQCRAAKEEQEVAGSGGPPMSSGADGSDPSTGLDPGMIPEPPGREPPVLQAPSPALQPEMVVLQAKVLVPAVTIVISQPGEEVQIPDAKGGSPACSPQAGDGKAMATSTSLTASAAAKEAELARSDSFDDYEQCRVCQQKTEEPLVDLGCRCRGDLAKAHRTCIDVWFRTRGSNKCEICQQVAVNIPPPETQASTSYWVWRVDSTYGRGRGGRERGWFSPLWVAFAILIGGLLLDVLISVSLGVSALPVNIIIGVLIVLGLGTALRLALECCQEWGSRRNVSNMPSLENIPPTGYHPAVV >Sspon.02G0034390-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:12565880:12567759:1 gene:Sspon.02G0034390-1P transcript:Sspon.02G0034390-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNHPGSVKMSDSHETDRNIEIWKIKKLIKALESARGNGTSMISLIMPPRDQIARVAKMLGDEYGTASNIKSRVNRQSVLAAITSAQQRLKLYNKVPPNGLVLYTGTIVTEDGKEKKVTIDFEPFKPINVSLYLCDNKFHTEALNELLESDDKFGFIVMDGNGTLFGTLSGNTREVLHKFTVDLPKKHGRGGQSALRFARLRMEKRHNYVRKTAELATQFFINPATSQPNVAGLILAGSADFKTELSQSDMFDQRLQAKILNVVDVSYGGENGFNQAIELSAEILANVKFIQEKKLIGKYFEEISQDTGKYVFGVDDTLKALEMGAVETLIVWENLDINRYTLKNSSTGEITIKHLNKEQEADQSNFRDPSTNTDLEVQEKMSLLEWFANEYKKFGCSLEFVTNKSQEGSQFCRGFGGIGGMLRYQLDIRSFDELSDDDD >Sspon.05G0002010-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:4879954:4885540:1 gene:Sspon.05G0002010-1P transcript:Sspon.05G0002010-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASASSPQAGEENRQEAAPVRHCKGVNDLDKVVLREVRGSSAEVRTRLPLPLSCVRPLGRVPAAGSRRRSVALAMALFEAGFLGCCSCRAIFKPPKAIRGGIPICFPQFSNFGNLEQHGFARNRTWCVDNDPPPFPVPTSNTAYVDLILKPTEEDLKIWPHSFEYRLRVALSPGGDLMLTSRIRNTNADGKSFSFTFAYHTYFKISDISEVRVEGLETLDYLDNLQDRARFTEQGDAIVFESELDRIYLGTPSKIAIIDHEKKRTFVVRKGGLPDAVVWNPWDKKAKAMSDFGDDEYKRMVCVEAAAIEKPVTLKPGEEWTGKLELSAVPSSYYSGQLDPDRVIQDSTVPEDSIS >Sspon.04G0010220-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:30659546:30665997:1 gene:Sspon.04G0010220-1A transcript:Sspon.04G0010220-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSALAAGGGAAPAAADGAPEAAATAPSRREKRRERKKERRRRARREAAARARAAAEAEAPAADPEEERRLLEIQEAEAAVESERACRAFEDAERRWLEAAAARAAETAAAAAAEEEARAAEASARKEPKDDQGNQSEEDSEWEYVEDGPAEIIWKGNEIIVKKKKVKVPKGSKEKLPVQEDKAHCPFHLKTGACRFGVRCSRVHFYPDKSSTLLMKNMYNGPGLALEQDEGLEFTDEEIEQSYEEFYEDVHTEFLKFGELANFKVCRNGSFHLRGNVYVHYKSLDSALLAYSSMNGRYFAGKQITCEFVAVTRWKAAICGDYMRSRYKTCSHGAACNFIHCFRNPGGDYEWADWDNPPPRYWIRKITALFGPSVDTMYEKESDTPTFKSSEGSDRKKRKISSNRYVSRGSRDEDVHTRHSQDYSHSKQERSSHSMDYEYKRHRRDSSAVDKRRRQDVEDTNDRQFSPMGNGSESHRHKHEERHRSDHGNGEKKDDKTRPRKHCSDRYGSLEPGYSDWPSDFTDTDIRKGPSGEKSTSRYEYDDAKRSRRGSSEYYNLERHHSTAQKPTGKEHNTKRCSRRDIEDYYHDEKDGGRGKSRKHDHHDSNDRWVATNSDVDSDVDRYQSSSCKGTRLGRKDDAHPDSEARHQRSSRSTKDDRRRKRHSGNRRHSGTEEGTSESVSGDLSSDSWSRRSRSSEENFSAHRSKRKRTSSSCPVRELKQASAAPVRELKYLKLWAVPLVFVSLVWVSDLLVSNFAETTVLWRE >Sspon.07G0004150-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:9378494:9381522:1 gene:Sspon.07G0004150-2B transcript:Sspon.07G0004150-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSSVFRRVNVKELISNASVYASATESSGGMSLVFRRWATKKTAGSTKNGRDSNPKYLGVKKFGGEKVEPGNIIVRQRGTRFHPGNYVGMGKDHTLFCLKEGHVRFERNKLTGRKWVHVDPVAGHDLHPVYTSGSTTAVDLEAQL >Sspon.03G0000830-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:8254742:8256607:-1 gene:Sspon.03G0000830-4D transcript:Sspon.03G0000830-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GAAVGKPAFGVAVSPKTQAEARLALAEHALLQWTRSPGADSGIWDADASYTNRGLLAAVDEVLLLAAEDPFPLPAPTAASARLRLDSAVGAAASRMVEEFLRVRVWNASRLRGAVDRLSLASTGLSLLVFPSAGERASSAGTGGGEVDASDGSRSRASSSVPDEIAVLLEADVWDGLDLICPAGVSVLHEVALRIVRAGCTEELFRAFANAPCDVLDRYLSILRVECSQRTTEAVIKRWTTVTKIIGKAILAMRRQLYTQNPGAFDVFRDEYLLAIAENRILILLDFADGFTNITSHEKLVYMLVMYEALTDAAPSLLLLFSGARKEFISERTQGILTKLAGAMRVMVSGVMAKVQGDCLFPHTPSAAAGGVHPLARDAMTCVELLARHRTTLDLILADAGADERGSLAGVVSDLIAGLERNLQGRLSVACADAGGSRHLFLANNFSFILSRVADADGVASLLGDAWAARRRSRVEQHVASYAASSWGPVVALLDTPVCGRGKPAKILEEFNAAFTRSLDSEVCREVPDPVLRAVLRNAVSDMVVPAYCAFLQRQPKLGKSVRYTADDLAESLSELFEGEATDGRKI >Sspon.04G0007440-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:20809262:20813096:1 gene:Sspon.04G0007440-3C transcript:Sspon.04G0007440-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vesicle-associated protein 1-1 [Source:Projected from Arabidopsis thaliana (AT3G60600) UniProtKB/Swiss-Prot;Acc:Q8VZ95] MSTESGELLGIDPIELRFPFELNKQISCTLQLTNKTDKQVAFKVKTTSPKKYCVRPNNGMVAPRSKADVVVTMQAQRDVPPDMQCKDKFLVQSAIVAKEIMPKEVTGDMFTKDSGNIVDEVKLKVVYVVSSSSQSEGFEDGNLGSLSYQEETKEPKESGKIISEVNLFI >Sspon.03G0012360-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3A:34122324:34123262:-1 gene:Sspon.03G0012360-1A transcript:Sspon.03G0012360-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIQAPAAADNVDPVYEWLDDGASYLLLLNLPGEVIRDYTCFCWATAELTLKMHGASCSFYLLSSPSWLNQKMEGFKKEDFRVHVDSEGRLTVIGHRKPTPGGDGKALRFHKTFQLPNTANPDTITGRFDSNVLTLTVPKLPAGAAAPAPPSPPPPPPPPQAKEEARVAGDKKPAADQEDKAAKVGQERTEVERTSLSAKSKDEDEMKAKPMAPPPPQSSEKARGDHEQQDHQDAKARADHREKVAREAARRVEAARARVAEAKAKAERERQCEHWKERAMEEGMKLAEAVSNKKEVIATAVAAFTLGVFVS >Sspon.02G0027760-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:101429839:101430408:-1 gene:Sspon.02G0027760-2B transcript:Sspon.02G0027760-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMALALGSDAAELKVKVAEVALRALLCGLGALAAALVATDTQTRTFFSLQKKASYTDMKAMVFLVAATAAAAGYSLLQLAARSCVALLPSSGGGMVPGPRPLLAWCVFSCDQALAYVLLAAVAAALQASVVAKRGQPEMQWMGICALYGAFCRQAGAGVASAVAAGLAAVLLAFLSAFNLFRLYGTKA >Sspon.03G0025210-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:94786630:94790602:-1 gene:Sspon.03G0025210-3C transcript:Sspon.03G0025210-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSYVQGGEEVPVYESGAEVLQKVQEKWAASSVPPPYPAMYSSVFGGIILDPAMMVLPIDDHMVHRGHGVFDTAMILDGALYELDAHLDRFLRSAAAARVGTPPFPRDALRSILIQMTAASGCRRGSIRYWLSSGPGDFLLSSRGCPTPAFYGVVIASEYEQCGRDGVRAVTATVPMKPPQFATMKNVNYLPNVLSIMDAEDRGAFASVWVDEEGYVAEGPMVNVAFVTPDKHLVLPAFDKILGGCTAKRMLALAPRLVESGLLTGVSTRNITVENAKGSVEMAFVGSGLPVLPVVEWDGKPIGDGKVGPLMQALSDLLWEDMKSGPDRIPVPYKQ >Sspon.04G0025070-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:27901221:27904199:-1 gene:Sspon.04G0025070-2C transcript:Sspon.04G0025070-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDQSKLVALGALIFFLLVSYGSCTRIVNFNASHITADPYWVAARATWYGAPTGAGPYDNGGACGFKNVNLPPFSAMTSCGNQPLFKDGKGCGSCYQIRCVNHPACSGNPETVMITDMNYYPVAKYHFDLSGTAFGAMAQPGHNNELRHAGIIDIQFRRVPCIYPGQTVTFHIEHGSNPNYLAVLVEFEDGDGDVVQVDIMEANSRWWTPMRESWGSIWRMDSKRPLQGPFSLRITNESGETLVAYQVIPANWAPNTYYRSNMQYEAFGSAAGQAIGSAVGLVISSAAGLHMEITGIIGLICLVLYHLHGIEVP >Sspon.05G0007980-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:16106353:16108819:1 gene:Sspon.05G0007980-2C transcript:Sspon.05G0007980-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding NGGFFGNALRAPAAALPARVLRRAEPPGVVVVHGLVRHGQGHRRQPRALRLHVLPLQRRLEAAGLRPQVQEHGAQRVELHPLGAGQGLVRGGRLFRQGRHGREQRRRRVGVQRQGHRQAVLPRREEPRGLRPEQGTPQAHQEQVRRRLALRPPLPRLPAQHRLPAAVPDLRRRAGRQPAAVRLPAAADAPEHGLPLLQLHLRDVFQHRRLRRRRGVPDGEEARAAGHDGVGRADAHRHDHRALLPAAGPVLVLHPHGHPGVRLRRRHRGRRPRLPPQRGRAQERGRAQGARHRHLGHGVSSGAGDPGAAGQDVQGAAVLELVPPQHRARDDPAGHRQHLPGPLHRAGDQRLHRLLRRVRRRVGGRRRRLRDEAVLRRRRL >Sspon.08G0016790-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8A:66031833:66034280:-1 gene:Sspon.08G0016790-1A transcript:Sspon.08G0016790-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MISGLCPPSIDRYIKNLTSSYTEKSNEASMVSASVIMFVLAGLFFNLNLFSGISDVSATLDPKVRLFLSSALSLLLPVMSYLFSEAKNAAVGASFSSSATSGRAAAELSMGAGLILAWMLLVELLRKKVDEIRMRGFSGSIQRAGRVVWLGSLVFFNIRSTGRKALFAILWILCATRVVQRIAYTEIGKNSYAHGKNARLINSYMAKAKKLEEDEARRQAAAAPQGARHDDDVEQAAHQVGDLLKSCSYIVMGEEKMVRQPTADGYELDLTTLGGGDSGGVITVGKVWELNENDDIFASPSEVQRLKRLCLSFALFKLLRRKFERLPAVTDKEAEDCRSLIFRGLLHHSRNAGGNSAAAAEEVFQVMNDEVVFLSEYYHSVVPVVLASPFFLFVNYFLVLAVVAALCVMTVILCGNGDAVYAFTSIGDDNYTFRAGIGKIAICLVLKAKNSPEAFFSIVDLSITLLLFVIYFYEEIWEFFVFLLSNWFMVSLVCSYMAKPHWRDSPWIRYAFHRIIWLRSMLNHGSLSFRQFSVLHHRWPLGLPFFSTLSLVLRTELVPKNLKHSIIERLLDLDHGHCTGGSTPLSNGKAALQSNNFLFDKLSWACRSDSVSEVFLTWHIATCILEVQSGQQRGDEAVAQRTAVRLSKYCAYLVAFHPELLPDSPEKTERVVDDMKAELGGIFWCWEYYLFPQSARAKKIMDAATSTGSDQVNGVVRNGAKLGRLLVGVADAWKVLADVWTELIVFVAPSSDEERVKGHQDVLVQGGEFITVLWALTTHIGVSHGANKLPVKTLEDLMGESMRNAPHIAPEISIM >Sspon.07G0015520-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7A:55573134:55575584:-1 gene:Sspon.07G0015520-1A transcript:Sspon.07G0015520-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPSPSPSAPASHHLRLWWRRRGRAGAVGATFAVALLAAALLLTLSSYASVVFPASGGRRGPALVGLTLVRRASEKGALCLDGSAPGYHLQRGSGSGSQSWLIHLEGGGWCRNLKSCASRQRSMLGSSRYMEGHVEFTGILSDDESQNPDFYNWNKVKIRYCDGASFSGNVKDELQNGTRFFFRGQRIWEAVMNELVVKGLRNAKQDALLVG >Sspon.08G0019760-2D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8D:15178349:15178501:1 gene:Sspon.08G0019760-2D transcript:Sspon.08G0019760-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLMRSCNVSTLWPSGIEGVEQRRLEPHRDDIGDDDDDVKDEDASNTEAD >Sspon.01G0034710-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:6419948:6421432:1 gene:Sspon.01G0034710-3D transcript:Sspon.01G0034710-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At5g08305 [Source:Projected from Arabidopsis thaliana (AT5G08305) UniProtKB/Swiss-Prot;Acc:P0C8Q7] MLMPPPPYPPLPPHLLRGRTLTTQLLDPLIRSASSSPSPSLSFSLFILLLRSSLRPSHFTFPFLARAAARLSSASLAAALHAHPLRLGLLPADLHVANSLVHMYAACAMPDHAHRVFDEIPLPNLVSWNSLLDGYAKCRDLPAARQVFARMPQRDVVSWSAMIDGCVKCGEHREALALFEMMENTAARSVEDGGSGARANDVTMVSVLGACANLGDLERGRRVHRCLRERGFPLNLKLATSLVDMYAKCGAIREALEVFWAVPVESTDVLIWNAVIGGLAVHGMATESVEIFQKMRRAGVAPDEITYLCLLSACVHGGLVDEAWEFFRSLEAQGLRPHVEHYACLVDVLGRAGHLDEVYGVVKSMPMKPSVSVLGALLNACHLHGWVELGEVVGRQLVQLQPDHDGRYIGLSNIYAVARRWQEAKKARKVMEDRGVKKVPGFSEIDVGGRLSRFTAQDKTHPGSAEIYDLLNLIAMEMKMKDDATIPDYLCAHC >Sspon.05G0038580-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:5D:49910384:49910605:1 gene:Sspon.05G0038580-1D transcript:Sspon.05G0038580-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQALAAADQALATAYRRGDVDEEDPRRRQRIKASHQGRGWRIPASSEQRSWRIWRSSVGCRQRAPRSSEDEA >Sspon.03G0011210-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:30445380:30448136:1 gene:Sspon.03G0011210-1A transcript:Sspon.03G0011210-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATFPVTTSPSPHQSTTPPTAGHLRLPIATSADAALATLSAFLSSGRLTSSSPSVLPRTLRAAADLRLPRLGLQLHAFLVKTRLLADPFSASALLHLYSTLAPLHRTRLLFDRIPKSTCPVPWNTMILRYAQDGFLDEAFELMVAMEESGVPIGASTWNAVIAGCVRAGNGELAIELLGKMVSVGGVVPNVATFNTVLHVISVLRGVDVLRELHAFVLRNSEVVGLGPVDLDRLQESLAAGYVSSSCVQYAGRVFRDVRISTCFLGNLMISGFLDTGQRNQALGVFREMAFGCGYEAQHLPSVSLTLVLPEVNLATKRGLEIHAYAYRHGFECDTSVCNALMAMYAKRDKLCLADKIFQGLDDKDVVSWNTMISSYATVHDFDLSFQLFREMQRNDTRPDDYTFTSVLNACSFACNHRTVMALHGQMIRMGLCHSYVDDMNSLMDAYGKCGFIDEAQNIFDETDRKDAISWNIIISCYGYSGFPQRAIRLFHQMQDQGYKPTRVTFIAVLAACSHAGLVGEALHYFEGMHRDYNITADEAHYACIIDCFGRAGQLKQAYEFIRGMPFVPNACVWGALLSSCRIHGNIGLAEIAAKKLIELDPQHSGYWMLLKDIYAKAMRWNDVSQLRTTIRDKGIKKCPGYSWIEVRDSEVHRFLTADKLHTQSHQIYQVLGGLTEQLMDEGYEPKIDVDLTYTE >Sspon.04G0030580-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:1850083:1851569:1 gene:Sspon.04G0030580-1C transcript:Sspon.04G0030580-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARLVPLLRRHVAAAIAGSPAPYSHRGFSFPPPASAGLRSLLTVTGASNTTTDPQDQQDSETNPPPASVPTPEPGFKVRDTSNLKISPRHDLAMIFTCKVCETRSMKMASKDSYQNGVVVVRCGGCNNLHLIADRLGWFGEPGSIEDFLATQGEEVKKGSTDTLNFTLDDLAGSQVSSKGPSKQN >Sspon.02G0041860-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2B:78840662:78844514:1 gene:Sspon.02G0041860-1B transcript:Sspon.02G0041860-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding APATSIPDPEQQSRPAAPVAGPTAAVGAGAPEEAPGLADSAQGHHPFPSSPTRPRSGRGPSPHPAAPGGPRRVASVFGPKTLAAATRGKTLFTAATTSTREKTLFASAASSNARGKNPLRRCRLLHPRENPLRRQRRHSRKNSPRRRHHLHPRKTPSLPLPPPPSAVDEKPSAPPPTDAAAEPAPAAKPRKITRKV >Sspon.01G0063530-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1D:116178152:116178443:1 gene:Sspon.01G0063530-1D transcript:Sspon.01G0063530-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GDGHLAPAHAGASDHSPRVQPPPAVGGAGSRLSPARRRARRSLLPDGPRCSGSLGLLPRLGSGHRRVRVGRSRRRLRPRRRNGQRCGADGPVGLHR >Sspon.06G0018810-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:102572409:102574625:1 gene:Sspon.06G0018810-1A transcript:Sspon.06G0018810-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding APSQAIAPTDAELLQAQADLWRHSLYYLTSMALKCAVELHIPTAIHDLGGSAMLPDLVTALSLPKTKLPFLGRVMRLLVTSGIFASDGSSGDGAEAVYHLNPLSWLLVEGVESEDHTYQKYFVLGTVSRHYVEAGLSLADWFRKDLPAPQPSPFEDLHGVPLVHESTKLLDEELDRIVEEGVAAHDNLAIGTIIRECSDVFSGLHTLTYCCGRQGNTSAKAIIRAFPDIKCTVLNLPRVVETTTPVAVPADDAVSNVTGDLFHTIPPAQAVMLKVWLHFWSDKDCVKILEQCKKAIPSREEGGKVIIIEILLGPYMGPVMYEAQLLMDMLMMVNTRGRQRTENDWRQIFIKAGFSDYKVVKKIGARGVIEVYP >Sspon.01G0038350-2D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1D:25773943:25774160:1 gene:Sspon.01G0038350-2D transcript:Sspon.01G0038350-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDDAIASLLLRLQPLLSMAGALSPTGTSVSMSATAVLQKDTELGAIAERALGESGLRWPSPQLRRTCERRG >Sspon.03G0008960-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3A:24394274:24394739:-1 gene:Sspon.03G0008960-1A transcript:Sspon.03G0008960-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding TGIKSLTYDEEKSTLTVVGDVDVVVIVEHLRKAKHPAEVVSVTDEKKEAEEEEGGGREEEKGGRGEEEEKLLPSAVVHAVPSAAVPAAVPSAAVPAAVPVPVPVPQAVLHPHRGRVPRALHHRLRPREQHHGHPYQFLADGKATTHSSSRIEGT >Sspon.03G0012450-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:34550840:34553410:-1 gene:Sspon.03G0012450-1A transcript:Sspon.03G0012450-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPPRLAALLLLATLLLLAAGSAAGSVFQVRRKFPSGVGGDAGANISALRVHDGHRHGRLLAAADLPLGGLGLPTDTGLYFTEIKLGTPPKRYYVQVDTGSDILWVNCISCEKCPRKSGLGLDLTFYDPKASSSGRTVSCDQGFCAATYGGKLPGCTANVPCEYSVMYGDGSSTIGFFVTDALQFNQVTGDGQTQPGNASVTFGCGAQQGGDLGSPNQALDGILGFGQANTSMLSQLAAAGKVKKIFAHCLDTIKGGGIFAIGNVVQPKVKTTPLVADMPHYNVNLKSIDVGGTTLQIPAHVFEAGEKKGTIIDSGTTLTYLPELVFKEVMAAVFNKHQDIVFHNVQDFMCFQYLG >Sspon.06G0009770-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:52091993:52094641:-1 gene:Sspon.06G0009770-1A transcript:Sspon.06G0009770-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCAKCEKKLGKVIVPDKWKEGASNTNESGGRKINENKLLSKKNRWTPYGNTKCIICKQQVHQDAKYCHTCAYSKGVCAMCGKQVLDTKLYKQSNV >Sspon.02G0049170-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:24551650:24553574:1 gene:Sspon.02G0049170-2D transcript:Sspon.02G0049170-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein Iojap, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G12930) UniProtKB/Swiss-Prot;Acc:Q9LDY9] MGGAGAAVPSQGLACAPPAAVILNPRTRRASPGSGGHRSSPQQPLRSDLPPTPTVACRARSRSSSSSNVNFGRGDDADKLLEDLLKQHGEVVYSSGGPPSPTVEADEDAECLSFAVSLAKAASEIKATDIRVLCVKRLVYWTRFFIILTAFSNAQIDAISSKMRDIGEKQFSIVASGDTKPNSWTLLDFGKSLLTIRTCAGDVVVHIFLPQQRAFYNLEEFYGNATPIELPFDTQWQ >Sspon.03G0015120-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:71956868:71960767:-1 gene:Sspon.03G0015120-2B transcript:Sspon.03G0015120-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRTLRGRRRPSELVQPPPSQLNLLPSRWTGPNPRRLLLSPRLCPNRRPRCPQRRLGRRGGGRGNGRFHPRPSPEHSGLGAGLAWGTLQESARRVMYGTPVDTEGKRSALSPFLSDQNAERVALALCRMRGAALKVGQMLSIQDESLVPPPVLAALDIVRQGADVMPRKQLNSVLDAELGPDWSSKLRSFDYEPLAAASIGQVHRAVLKDGSDVVMKIQYPGVADSIESDIENVRLLLTYTNLIPKGLFLDRAMKVAKQELARECDYVLEASNQKRYKELLCDSDGYYVPKVIDQLSSKKVLTSEFVPGVPIDKTDPNWSNFLYDDATRKFNLIDFGAARDFPKHFVDDYLRMVVACANRDRTGVLEMSRRLGFLTGEEPEVMLDAHVQAAFIVGVPFSKSGGHDFRANNITHSVSNLGATMLKHRLTPPPDEVYSLHRKLSGAFLACIKIGAVVPCREILFQVYEQYNFSDDHSDVASSAGL >Sspon.08G0027210-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:41497626:41498992:1 gene:Sspon.08G0027210-2D transcript:Sspon.08G0027210-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MIAMILEVTQICQDRLLTSSTNNLPPIRHLLAFLLITIVTVKYLIRHPRRVYLVEYACFASLIENFRLSNFLDDDNTSFLSKICRRCGLGDETCLPSSYHCTPLIPSFSLARMEVEQVIFTVVDDLFSKASMEPSKIDILIVNCSVMTMIPSMTDMIINRYKLRSDIQNMQLSGMGCSAGLIAVGLARNLFQLMPYGAHALVVSTEIVTCNYYAGKKRSMQLTNMLFRMGGAAVLLSNSRANARFELLHTVRKSTAAQDSAYRCVFHEEDDEGNLGVNLSKDLVAVAGEALKANISTSAPLLLPVSEQLSVLLSSIAQKVFLKKNTRQHVPNFGLAVEHFCIHAGGRAVIDAVQRSLNLSDEQVEPSRMTLHRFGNTSSSSVWYEMAYCEAKQLMRKGDRVWMIGFGSGYKCNSAVWKCILPARSADSAWANCIHRYPMEVPKQV >Sspon.01G0005780-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:13387197:13388265:1 gene:Sspon.01G0005780-3D transcript:Sspon.01G0005780-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSEATWHSFDPSVAVEDSEAMAQLLGVHYSGNEQKQPTPTPMTTVMMYWPGQEADQYYSPAAYPYYMHMQQPNSGASCYDHGYYGSGNTFTMTGDFFVPEEQMAADPSFMLDLNLDFEYQDGEGTGRGGGGNTPAVCKRKLEDQKGESTTCTVPKKKSRSTAVPAPKKGKKAQKGACNRRNQEESNGDDGNVARQQQCSSNYQSDDDSLEMTACSNVSSASKKSSSSAGGKARAGRGAAIDPQSLYARKRRERINERLKVLQNLVPNGTKASLYQWLES >Sspon.02G0044290-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:100494598:100498118:-1 gene:Sspon.02G0044290-1B transcript:Sspon.02G0044290-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSIPAAAAGRGTALEDLPEDALLCILALLAPPDAAAAACACRRLAAAASSPALPLALALRLGLPPPPLLPAAPCPAAARRLLRSLHRLRRLLGLWRRLPSGSGSGYASPSLAAFEWAPRATLAASLLAPSADGGVGVGVSKSPFVTLSIDESGDTVAVVGEVPVCVNFVGNNHIVVEAAAGEDEEVEMVSGSPPEEVYAHFANRRSPGAGRRRRSKQGRRGGGMEAEHFVRIADTEPTKARPLQGLWKGICEDRTLEFYLVTYDNIGGVTCRRFRDTRSQNSGYFPVFWTTDTTFLEPPFSEQELDNYTSRDHIQGVTSNHAGTENRVISRILCINSSYDVVDPHLSTPLDDGRCMEGRIWLYEDGTFGFGFVGSNSIIDLRHVSSAGCILDT >Sspon.05G0002840-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:5898167:5920252:-1 gene:Sspon.05G0002840-2B transcript:Sspon.05G0002840-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAEDPASLERRYIERQKNARIETANRAIGAVALEVDKLADQVTSIEKSISRGNKVAEVQITTLIELLMRHAVKLESIPAAGGDSSSQKNIQAKRVQKCVETLDVLKVSNARLQAVVVTTKWETFDAAATTQTQIKKTEVTQLNNVGFCSQVSTHYFQLTNHQPYDNKVDVYSLALVLCDLMTSKIPDNTMSPLEAAVGVKLLTLRRYLQLPSTFFETMPYRLDESTALIDSDQAARKSAPTTGGVKKPHRYRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSHAVLALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA >Sspon.01G0018820-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1A:69951238:69953377:-1 gene:Sspon.01G0018820-1A transcript:Sspon.01G0018820-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKFQDSVKALQADIDHANALASEFLRDYDGSVIQMRMAYSAVAHFLVQWTDCKLAGALGLLKVMLYKVYADGSSALPDWEREASIREFYGVIFPSLLQLPSGITELDDRKQRILCLKKFRSRDEQLSEVDTERELECGICLEVSRKIVLPDCAHSLCMRCFEDWNEKSKSCPFCRACLEEVKPGSLWMYTDDSDIVDMDTLTRENIRRLFMYINKLPLVVLHVVDLDIYEYRIK >Sspon.06G0003860-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6A:11908809:11909516:1 gene:Sspon.06G0003860-1A transcript:Sspon.06G0003860-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRGGGRRLPKPSLAPSTASEATPALDASVIRNLDSAFSRRDSDAASLCSSRPASTAGAGVGAAPNFSDRPTQVAALRVVNGFLAPAVTLRGPLPVARDIQAALRLLVDRLQLARNDATFEDDLIQDLRILGCPYKVTRSAFKAPGTPHSWPVVLAVLHWLTLLCYSQRDDLDAQGDPSNDLLLYITQCYSHFLLGDDETVADLDEQCASKARMTGEASVATVRALEKEAEELETE >Sspon.01G0053020-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1C:28918396:28918665:-1 gene:Sspon.01G0053020-1C transcript:Sspon.01G0053020-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVHGETKEASTPKDLAFVVKTRKKTKKKAKNIAIPSSSSSEDSSNEGGEDGGHAFLMKKTYEMLSTLAKKGFIYDQEEQVQGHKSIST >Sspon.06G0024900-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:59081155:59086344:1 gene:Sspon.06G0024900-1P transcript:Sspon.06G0024900-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVKNLWDILDSCKKKLPLQHLQNKKVCVDLSCWLVQFCSANRSPAFLRDKVYLKNLFHRIRALLALNCSLIFVSDGAIPSVKLATYRRRLGLNAAETTREEANSQPLTSLRRNKSSEFSRMIKEAKHLGLALGIPCLDGVEEAEAQCALLNFASLCDGCFTSDSDSFLFGARTVYRDVFIGEGGYVICYEMEDIQKKLVLLGSDYSNGVHGFGPELACRLVKSVGDDAILNQILSDGVKPTRKCKGKNSGANAGRVGGTCPKESTCGKQSCVVFSSYLATSHFFANTMNIELEICCPYLVFFVTEVGINQDSRINQDSGGQFRDIINAYLEPKCHSPDSEAVQRACSQRPFLRSQLQQIYEYILPKIAERELRRFSNLRSTSSDLGMKPSLDEMPVPCPVLAIVKQRKVQGSEYYEVSWRNIDGLQVAVVPGDLVRSACPEKITDFLENKDAQKKQKRRARPKKSGQAAADVKDVDAQLQELLLGIESESSTFPCTANGPQTQTADRQMVAPLQDFVDLSSPSPPIRSCKIARSRKFSKSDALTMDGIDLQHQSLLIGSMESKGNVVLCDVKNSALDHEPIDLSSPSPCAAHKPQAAPEGLPLCMEAERRALWDISNLPRKEPSCCKLEPGTGGFDVQVEESLLFRHGTGMAGEADSSQRSDGQSSEVVEPAMIDLSSPSPIKVDKSRRNDMKPDQDCEADSSQSPEHERKARELRLFLNSIRDELY >Sspon.02G0039810-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:61671461:61677590:1 gene:Sspon.02G0039810-1B transcript:Sspon.02G0039810-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPALLVGAGKLARPHHRLWPPQVPTLLGSNPPTSPTPSLSLLPMDGATAAGGSREPAANGSKPEEQQFDPSRSIVKRKALIKDLATAYHAECIASCKELLQLQRKWEESNASSVFELRRQISSEDYILRD >Sspon.01G0026430-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1A:92339854:92343493:-1 gene:Sspon.01G0026430-1A transcript:Sspon.01G0026430-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRPLSPPPSIFSHFPPLPSPSSRFNWSTRPSCSSGVGSSTAAAICSSGVGTSVALRPTGTRPPTPARPTAAAPSLEAAGAAPARTTATHSVKEAAASDTNAAPARMAATVAPSLEAAGPSGPAPQAPVAPPASAPALPAPAAGGPLSWATVAPSLEAATSMDGRPPPPSPFNFHLHPPPAAGLAGPVQGPPGSGAGALPVGRRAQQAPPTPPSLAVRPPPFGGQPGFMSQPLPPFRGPPGAASQPPPSFGVPPGVVSQAPPSLFGDLPAVVSQAPSPFVGHTVAASPAAPFGSPPVAGSQPAVPLQPTFPGQSGPMTAASPWATHSGDSPGLVGWVSAPLASSFSTTASLPPVSPDWIADSGATFHTTPDASLLSSLRPPHPSCPSSIMVANGTCIPVSSVGTASSHGSPRSIRYPSSLAPRSTLCVFLGYSPDHKGYRCFDLTSRRVLISRHVVFDESAFPYSTTSPPTPPPDPVEASFFPTDPAIPPPFSLYPAGTAPAHSPGSPASPLPDSHQDLPVPDTVEAASELPPSLHVASLPPVVPDAAVPIAGPRTPTPPPPGRFRLVYQRRREPRPPSPPPGRFGIVYERRREPAPPLSSPAPSSPVHAPPSSPRSRADPPVYHPPLLHRDPRHTHPMVTRQASRPQALTAAACEPGISLVPSSVRAALADPHWRRAMDEEYAALLDNQTWDLVPRPPGSNIVTGKWIWTHKRRADGSLERYKARWVLRGFTQRPGIDYAETFSPVVKPATVRTVLSLALECSWPVHQLDVKNAFLHGTLTETVYSSQPAGFVDSSRPDFVCRLNKSLYGLKQAPRAWYSRFATFLQTLGFTEAKSDTSLFIYHYGGETAYLLLYVDDIVLTASSESLLHRIIASLQKEFAMKDLGVLHHFLGVTVEPRPSGLFLHQRQFTCDILERAGMTACQSCSTPVDTQGKLSEAGGPMLGPADSTAYRSLAGALQYLTFTRPDITYAVQQLCLYMHAPREPHLAAMKRLLRYLRGTLGYGLLLGRSSTVELVVYTDADWAGCPDTRRSTSGYAVFLGGNLVSWSSKRQPVVSRSSAEAEYRAVANGVAEAAWLRQLLAELHRPLARSTLVYCDNVSAVYLSTNPVQHQRTKHVEIDLHFVRDRVAIGDVRILHVPTTSQFADIFTKGLPSSTFAEFRSSLNVSSG >Sspon.01G0008330-4D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:22537752:22544302:1 gene:Sspon.01G0008330-4D transcript:Sspon.01G0008330-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to Phytochrome B [Source: Projected from Oryza sativa (Os03g0309200)] MASGSRATPTRSPSSARPEAPRHAHHHSQSSGGSTSRAGGGGGGGGGGGAAATATESVSKAVAQYTLDARLHAVFEQSGASGRSFDYSQSLRAPPTPSSEQQIAAYLSRIQRGGHIQPFGCTLAVADDSSFRLLAFSENAADLLDLSPHHSVPSLDSAAPPPVSLGADARLLFSPSSAVLLERAFAAREISLLNPLWIHSRVSSKPFYAILHRIDVGVVIDLEPARTEDPALSIAGAVQSQKLAVRAISRLQALPGGDVKLLCDTVVEHVRELTGYDRVMVYRFHEDEHGEVVAESRRDNLEPYLGLHYPATDIPQASRFLFRQNRVRMIADCHATPVRVIQDPGLSQPLCLVGSTLRAPHGCHAQYMANMGSIASLVMAVIISSGGDDEQTGWGGISSAMKLWGLVVCHHTSPRCIPFPLRYACEFLMQAFGLQLNMELQLAHQLSEKHILRTQTLLCDMLLRDSPTGIVTQSPSIMDLVKCDGAALYYHGKYYPLGVTPTESQIKDIIEWLTVCHGDSTGLSTDSLADAGYLGAAALGDAVCGMAVAYITPSDYLFWFRSHTAKEIKWGGAKHHPEDKDDGQRMHPRSSFKAFLEVVKSRSLPWENAEMDAIHSLQLILRDSFRDAAEGTSNSKAIVNGQVQLGELELRGINELSSIAREMVRLIETATVPIFAVDTDGCINGWNAKIAELTGLSVEEAMGKSLVNDLIFKESEEIVEKLLSRALRGEEDKNVEIKLKTFGSEQSKGAIFVIVNACSSRDYTQNIVGVCFVGQDVTGQKVVMDKFINIQGDYKAIVHNPNPLIPPIFASDENTSCSEWNTAMEKLTGWSRGEVVGKFLIGEVFGNFCRLKGPDALTKFMVVIHNAIGGQDCEKLPFSFFDKNGKYVQALLTANTRSKMDGKSIGAFCFLQIASAEIQQAFEIQRQQEKKCYARMKELAYICQEIKNPLSGIRFTNSLLQMTDLNDDQRQFLETCSACEKQMSKIVKDASLQSIEDGSLVLEKSEFSLGDVMNAVVSQAMLLLRERDLQLIRDIPDEIKDASAYGDQFRIQQVLADFLLSMVRSAPSENGWVEIQVRPNVKQNSDGTDTELFIFRFACPGEGLPADIVQDMFSNSQWSTQEGVGLSTCRKILKLMGGEVQYIRESERSFFLIVLELPQPRPAAGREIS >Sspon.03G0045660-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:22267385:22270961:1 gene:Sspon.03G0045660-1D transcript:Sspon.03G0045660-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRVWILETLRLVPSPISLHLRLSAFHKSQISASNRIRPPRHAFAMEFPKRERAFLQASSPRRSPKATRPGAATGADENASPNHLVPVGAASPQRKKVLGERNDGGVGGMEGAASPPVQQPKPAPSPPTLAGRGAGPYDPKTNYTTPRPEFLRFDPERRREILLRVSRAADVMHDDCSSTTSGTAAAALEEDGDSLASDAAAASPISSAPRSDSEAELDDSEEYEEVVPARRGRWARQLFLLLVCVSCCFCYMYCVNHSGFPTYSEDALDLDRGIEGMYDAYDHELDSLRLSGPVYSTCPEEDVLEENTNQLVHEDSKSVDHLYDNRASPRNLVAVTVMGLADICLNVFLGELTCQIGSERSENVADLKEDSGMDILDTEVAISFQNDKQSSEVDCLGGNVPLDSITSTITQTNEEEGSSESVHLEEWEDCSNQCVLQLVSMEKAIKSASDKMEDKKVESEELDLWQYENTAEAAKTICSSLVAALYRYYQRSRECCNSTITYDSLAEQPLLVQHQVVQLSVTSSVQDAELPVHSSEQPMQLTIPNQGLAGSLEVPMELTLPMLDPLVSLEDPVQESLPQTDPLVTLKVPVIGHGIHDQKLKQGDPENMKASSGRFLNHSDVDSSKAPVVELLGEFMFANSSRGRSIKRSNQNPGDATVQELLEHLGKDEDVKKMQVHSSIIQSPSVRGAIKEEKSVEREKTNATPIPLIPTPLRRSSRLRNKFPGRNLSLSPQHIWLFERVTMF >Sspon.07G0001910-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:3580581:3590209:1 gene:Sspon.07G0001910-3D transcript:Sspon.07G0001910-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:timeless family protein [Source:Projected from Arabidopsis thaliana (AT5G52910) TAIR;Acc:AT5G52910] MDSAVLSLTCAGLGASQEDEDGVVIGYAKSDHCLDNLKDLQRFLRRDDPQRREVFKQVCKWKIASRDLVPIIENYQTDRNLVITAVKVLVFLTMPVEPSSEDVAQQIEYLWDLKAALTRNVAVTVIVSLLEDPLDRLERTLFSEDDWKLVQLVLTLFRNVLAIQEITLTQKASGEATHLLFLADSFLELMFQENVMDIILVLTQHIDEPSGYLKEENLLLMEIYHYVFLGRDPGLIARASNKGSKDQVNGDIVSSVDSLRLMMEEEERKKRMFRQRNSEHSSLSGTFTCFSVDGSKSLCKGNPSSASANSLLKIRNVQRGPQKRIAWDNELLYIPKEGITEMLRSFMDQFLSGAYNILMQSVCDDIMDEHHSIEKSDIITFFKVARFVLAFQHEKASNDQKSVKGVQPSEVSPSNEHDDNLPFHGDICGPVAATLNEDMFNIVISRWRETYEGLKETNDYKTLSAAGSLMKNMIDMIYLVLKVLPEDSRESQTARVLLYKLFYDQTEHGLTQFLLNLFRSFDSHKQPKSDLVDLLETIHIMLQLMEKLQARGALRVARKTKKVRRKKATNGKNENSKPKVENVEPNDAHPTDGTKCSPESLPDLRIEGPALEPSPSERGKVDTSGAHVPDTLDTAVNMESTAHAEGGPSCTDSGVMKNLIDEEGETSDSSVDHQPATSEVDFNVSRLISSLANNSVVQNICWLLKHYKSNSYRTNHYIICMLRRFCEDLELSPMLYQLSLLTTFYDILAEQKSSSSNEYTNIVNFLSKVVRKMLRAIKKQPLLFVDILFWKTRKECHCIDADLLLNELKKDVGNKDGEIGSSKGWRGPVNIADSLGDDEVDLVIPQAPYDADKDGDLSADEHGDDFRKNSTTYKRSRLMSLSDSDAEENERFILNHVSRGSVPKVPKRRGRSLFTEEQERLIRDLYEKCKDDRKCSHVIADALDPSGKISSAQVSRKLTQLGLRNVTRRKKVADESLSTGDRATEPQNNSLDELNPMLAEHNHGLKSKSSRTKNKKVPLVDSSLSAAPQHQEAQHDPDSDDATIGSMIRSRKKKRLSTSDFEGNLQNHQESSDNTNTNDCSPTISQHEKTLLDTYRDDETIGSIFRSGKKKRLVTSNFSANIEDQESLRNINLHDETVASNITDASVNHGSDPVDNSGNTVEAELLDDFEVELDNHENTDQRITDDGNMTESGDATNSDANRRAGLKRRHRLVIDDDDEDE >Sspon.01G0002600-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:5950280:5958461:1 gene:Sspon.01G0002600-2B transcript:Sspon.01G0002600-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSAAAAAPSALPLSIFPKDSRPLPCLLLTSLLLLLTLHLLSSSSPAPSPPPPPPRLAPLPSAASAGPAPPSLAFLLTGSAGDADRLQRLLLATYHPRNVYLLLLDRAASADDRARLARSARAAPGRDNVHVVGDPGFANPRGASALAATLHGAALLLRVDQGWDWFVHLDADEYPLVTPDDLLHVFSYLPKDLNFIQHTSYIGWKEYGHFIIFGSSLSLNLGLEVIYIVCLERQIRPIIVDPGLYLSSRNDIFYATQKRDLPNAYKLFTGSSSVILSRKFIEYCIVGMDNLPRTLLMYYTNMPLPHRKYFQTVLCNSPEFNKTVVNHDLHYSTSDARSKNEPRQLTIDDVENMTDSGAAFGTRFPKDDHVLDHIDAEILHRLPGEPITGGWCIGVGHDSPCDISGNPDVLRPGPKAIKLAKFLAERLSYQNFYGHQ >Sspon.08G0012250-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:50059712:50062397:-1 gene:Sspon.08G0012250-2C transcript:Sspon.08G0012250-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSTVTATVRCGGLPVRPSSTAPKGRPRRCAVRAQGADASNDKSDKSVEVMRKFSEQYARRSKTFFCADKTVTAVVIKGLADHRDTLGAPLCPCRHYDDKAAEVAQGFWNCPCVPMRERKECHCMLFLTPDNDFAGKDQACYLLGGDQRGDIEVLTPSLRKAVDDMSRF >Sspon.06G0011350-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:48486740:48490826:-1 gene:Sspon.06G0011350-3C transcript:Sspon.06G0011350-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDRDAAGSTSSRHQRLPASLCFVPRSRSLRLRKDKLPFLPSFPGRQRKPSPSPTTTPQNPTQSRTQGLFRSSPPSARFPDRVIHRGAAARGSCPVLPLHSPARRRHSSGLGIPKMASSNGEAEQQWIENVKSGGAVPCLTPENCPNGWATPSGDSFMVRGPEYLTNKVKIPGGEYLLKPLGFDWIKGPAKICEILKDNNHRVRKAIDEEVSRGNQPFVWAFNLQLPKDNYSAIFYFVSLEAIPEGSLMDQFLKGDDAFRNSRLKLIANIVKGPWIVRAAVGEQAICILGRALSCKYTQGSNFIEVDVDIGSSIVANAIVHLAFGYITTLTVDLAFLIESQTESELPERLLGAGRFSELNPGSAGVFEKRSEEHQESTQSRPVGFWQGFSQLLNNQGNPREPSPTLQNTNGSLHKEEANENSKWVGNSGCIWLEDGIDIALRPGV >Sspon.02G0019350-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:62875158:62880149:1 gene:Sspon.02G0019350-1A transcript:Sspon.02G0019350-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRGEPYYVEAAPPVDVNKNTEWFMYPGVWTTYILLLFFGWLLVLSVSGCSPGAAWTVVNLAHFAVMAYILRYLIASHLTDYKQPMFFLNTMAVFVLVVAKLPNMHKGSYEGTVGDALISKNPTTPEQSTRTRSHASNASLTCFTRLA >Sspon.01G0047150-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:100993700:100996043:-1 gene:Sspon.01G0047150-1B transcript:Sspon.01G0047150-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPASLPLSVSCAPGSSRPLAASPGPSVAAAASSQLPASSRSSERRRVPGLALVAAPGVGGRKGGGRWRAGISSFSSFLPPFFTGNKGEKDAEKAVRLKEELLDAIAPLDRGAEATPRTRSASSRTKKLRGTARALVDGRAYSRPVFLLQIVQQLEAVNQVKEPLKSDLLNGKWELLYTTSTSILQPQRPKYLRPFGKIYQAINADTLRAQNMETYPYFNQVTANLVPLNARRVAVKFDYFKIFSLIPIKAPGSGKGELEITYLDEELRVSRGDKGNLFVLKMVDPTYRVPL >Sspon.07G0004980-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:12630338:12635257:1 gene:Sspon.07G0004980-1A transcript:Sspon.07G0004980-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glyoxysomal processing protease, glyoxysomal [Source:Projected from Arabidopsis thaliana (AT1G28320) UniProtKB/Swiss-Prot;Acc:Q8VZD4] MEAQEIAAAARHFSAMARIVGPVSLRLRTITSSTPNYLANRRASPLLRRLRFQDPKAVKMRRHAFHLHHSGSTTLSASALLLPRGALAEPPPLIDHICAAHGHAAGDVALTAASLVEPFLVAEQRNNPGEELQPRLVPEVRLDVLVEHELGNTQDGKSGPPRWLPARLLAMVDVPTAADSALSLLRHEEFIRRPTWDVGWSLADANQKQVENDTRSSLESNRNNASIESVDPLMLSKSATKIAILGISTFNSNYSLVVDEQDARCINVSVMQQRGDPLLIVGSPFGLMSPFHFFNSISVGAVANCLPPCTVRSSLLMADIHCLPGKHLSVFSCIAWKVLQCLTEILPCGAADEPIKTERQQYRSSAILKSTCQLVITWDAICMEWNSKKLEEIGCAPRELPNDKNTDSKSMELRHGYNYGRFVSSTVNKINQHCISPPSLREAISAVVLVTVGDTSWASGIVLNKRGLVLTNAHLLEPWRFGRTSPSDLQASFTGEHLNAGENKSLQPQGKFSNEDAVNHKVSLFNLGFKREKRISVRLDHAERQIWCNASVVFISKGPLDVALLQIDKVPIELNTIRPEFVCPTAGSSVYVVQIPSNQLSHLASALETNNMDIPVMLQTTAAVHPGASGGVLVNSHGLMVGIITSNAKHGGGSTIPHLNFSIPCKLLVELAILEQLDKPNEVLSSVWALAPSSSPFVSSSPEKGKEEKVLEFSKFLSDKHQGLKSSVDLKEFF >Sspon.08G0018270-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8B:5705895:5706293:-1 gene:Sspon.08G0018270-1B transcript:Sspon.08G0018270-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMLQVHVPNVSSVSDMCFFVYLSVAKVDLGVGLSNEEERASAGAMAASAKLAVALHRRTCRVMSVDVRDPRVGPHRVAALRLNQRSARLPHRSRSTSGFVGHGQRSSVWTDAAFGAGWVWARALALALRRA >Sspon.03G0000030-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:12635319:12639360:1 gene:Sspon.03G0000030-2C transcript:Sspon.03G0000030-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Purple acid phosphatase 16 [Source:Projected from Arabidopsis thaliana (AT3G10150) UniProtKB/TrEMBL;Acc:A0A1I9LQV7] MRKWWHGLAAAGALLAAVAAALALTVAGLRPATEHAHGQPSLTAADSRRPPLRFGPGGVLKVALFADLHYGEDAWTDWGPAQDAASDRVMAAVLEAENPDFVVYLGDLVTANNLPIPNASLYWDRAISPSRSRGIPWATVFGNHDDMPFEWPPEWFSPDGVPPLRCPPPTICTPDSGCSFRGTPRIDLMAAESGANRLSYSSSGPRELWPGVSNYVLQVLSRRRRSRGDDHDPALLMYFLDSGGGSYTEVVSSAQVKWFHSQSQFLNPNGRIPELIFWHIPSTAYVKVAPKAKSEIRKPCIGSINKEEVAPQAAEWGMMDALAKRPSVKAVIVGHNHGLDWCCPYEELWLCFARHTGYGGYGDWPKGARIIQVTEEPFSAVSWIRMENGSRHSDVTLSS >Sspon.05G0000370-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:1024027:1031182:-1 gene:Sspon.05G0000370-3D transcript:Sspon.05G0000370-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGACVSKAACCCRKPNNGVTHERTDTVTEDEEAYDLPAFQEFTFEQLRLATSGFAVENIVSEHGEKAPNVVYKGKLDAQRRIAVKRFNRSAWPDPRQFLDCNPRLSCFGLMKNSRDGKSYSTNLAFTPPEYMRTGRITPESVIYSFGTLLIDVLSGKHIPPSHALDLIRDRNFNMLLDSCLEGQFSNEEGTEMVRLASRCLHYEPRERPNVRSLVQVLTPLQRDVETPSYVLMGIPRGGASSIQPLHLSPLAEACSRKDLTAIHEILEKTGYKDDEGTANELSFQMWTNQMQDTLNSKKKGDNAFRQKDFTTAIDCYSQFIEVGTMVSPTIYARRCLSYLMNGMPQEALNDAMNALDVHMEESDNSGSEREDKDIRGE >Sspon.02G0053000-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:99212185:99216164:1 gene:Sspon.02G0053000-1C transcript:Sspon.02G0053000-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSCPVSVSILDLQAYSTSSSSSSLMTSPTAVHIRRRASLEDLPEELLHKVVSGLSVKEAGRTSVLSSALRQRWTYHSNLCFDGNSPEFVRYDRSRLLVDHVTTVLQRLVLNSTRRLSPPVVAVERFVFRSPVLGVDHAPHLDEWLGFAAAAKAKHVTLDLTRLHPLEPGIVARQNHKYRLPADWLCSNGVESLLLGQVCLQLPAPPVSDGIGGGGLFTSLKKLELKSMNDRLGHLTSFLSNCPVLEWLHIRDSFLGDSLVVPQQARCLRYLSLRRSPLKTIQLNFTSLTSFSIPIVCVHRRLGEQVSGTLVFAILHREKANKVFGKRSSRDCS >Sspon.02G0054770-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:119675343:119675724:-1 gene:Sspon.02G0054770-1C transcript:Sspon.02G0054770-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIASERAVVVFTLSSCCMCHTVTRLMADLGVNALVHELDSDPRGKDMERALHKMLGGRGPAVPAVFIGGKLVGGTNRVMSLHLACELVPMLKSAGALWLQQPAACMANSCMRARE >Sspon.03G0006530-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:25185932:25190004:1 gene:Sspon.03G0006530-2P transcript:Sspon.03G0006530-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLATAIADLIKQRTESHLRKIQAAVSSKPIVMRAEYAHCPNLTIIDTPGFAKRGEPESTPDEIRSMVKSLATPPHRLVLFLQQSSVEWCSSIWLDTLKEIDPTFRRTMIVISKFDNRLKEFTERWEVDTFLSASGYLGDNIHPFFVALPKDRGTISNEEFRRQICQHALLDGAADPAPEIWGKTTEEEQMHSGIGSWPGINMPVKPANSSLKLYGGAAFERVMHEFRCATYSMECPQVSREKGGSSRLTEAAAEIARAAARSWLAPLIDTACDRLAFVLQSLFDLAMERNRSKDSQYHQNVEDMDSYVGFLAALRCSYYKFVKELSKQCKQIVRHHLDSVTSPYSHICYDNDFLSGVGSVANSMSRFNHFTGVTSFDLADSGSALEEAQENLPPRDQQHMTPPNKGNESKEVLRESQLTVPETPSPDLPSDIHGGKKKDNGIPNDGGPRKRQARMAAYTNRNHHNSMIGADDMGSKSGSSYSTICAISAQYFAKMREVLIERNVPSALNSGFLTPCRERLFLALGFELFAVSDDKFMDMFVAPGAVDAIQNERQSLLKLSCVSAPMKTNSTGAGIRGKQARHSVF >Sspon.01G0040190-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:74306609:74308487:-1 gene:Sspon.01G0040190-2C transcript:Sspon.01G0040190-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSDGGQSRNRLVVGVLSVCLLVAMVIGTVVFFVNERAGYDSESKRNMIKTMRSVELFCAPADFQGTCRDTLESALSRTDPAEHPHAAAAAAITAVERALADGFNRSSVLDAVRQSNDTLVWEAIHDCRMLLEDCRGNVERALHSIAWRGVDGPAQDLQAWLSAVITFQGSCVDMFPKGEVRDEVKSTMEKAREISSNALAIIKQGAALASMLDLNGGSPDDVNGKGDRQLEEEGESASSSSVPTWVPNEERKLLGVKGGRRKAALTPNVTVAKDGSGDFTNISAALAAMPEKYSGRYFIYVKEGVYDETVNITGRMANITMYGDGSKRSIVTGSKNIVDGVRMWRTATFAVDGDSFMAMKLGIRNTAGVEKQQALALRVKGDKAIFFNCRIEGNQDTLFAQAYRQFYRSCVISGTVDFIMGDAAAVFQRCLLLVRQPRRGQPAVVTAQSRRDHQQTTGFVIHRSQIVADEELASSNSNKSGSGSGSGSAPVKTYLGRPWKEFARTVVMESTIDGFVHGQGYMPWEGKDDLGTAFFGEFRNAGDGANVTGRKEMRGFHVMGKDKALQFTVGHFLHGADWIPETGTPVSLGLSGEE >Sspon.07G0015440-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:55351992:55354526:1 gene:Sspon.07G0015440-1T transcript:Sspon.07G0015440-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVWDESPLAEAASDEKVKPELDSKISRERIGHEIDLMLSDKNPIEAMCDIHDLGLSYVVSSFPGISNTPVFDKCDWTKETPDHSDSEKRLSRSRLGHVLGELGVLSTAIDHHGDRHLVGVAHVVLLDHEHR >Sspon.04G0016100-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:65864143:65865250:1 gene:Sspon.04G0016100-2C transcript:Sspon.04G0016100-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLKEMAQSDEERAPPAWLRTLLETTFFDACPEHPASKGRANRRTTGCNFFCTHCAGRALCFGCLGNHEGHELIQIRKSSGHNVVKVDDVQHLLSMSLVQTYLYNGGYVVFLNRRPMLGQGKHGASHCEECDRGLQDETCRFCSIGCKAEGIEDRLDFSISFAVNPNNHRTRDETESDDNEDSSSRS >Sspon.04G0004070-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:12507813:12508112:-1 gene:Sspon.04G0004070-1A transcript:Sspon.04G0004070-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GARRPAPGHDLRGAERAQAHDVGGALLPLPAHGHLLEVRDAAHLRRRARLHPLRAPPPPEVHHEVAAERAPHRRRAAPLLDPLLRHPARRQARADAAARR >Sspon.08G0000400-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:201198:204339:1 gene:Sspon.08G0000400-3C transcript:Sspon.08G0000400-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSSTATASLHLLLPASRRRRHLLPRAAHSESTPPPAASAVDRRRFIAHTAAAAAVSPLVLPARWTPAARADGAPALSEWERVFLPIDPGVVLLDIAFVPDDPSHGFLLGTRQTILETKDGGNTWFPRSIPSAEDEDFNYRFNSVSFKGKEGWIIGKPAILLHTSDAGESWERIPLSAQLPGNMVYIKATGEQSAEMVTDEGAIYVTSNRGYNWKAAVQETVSATLNRTVSSGISGASYYTGTFNTVNRSPDGRYVAVSSRGNFYLTWEPGQPFWQPHNRAVARRIQNMGWRADGGLWLLVRGGGLFLSKGTGITEDFEEVQVQSRGFGILDVGYRSQWRVAETTNGGKNWVRDKAADNIAANLYSVKFLDDSKGFVLGNDGVLLRYLG >Sspon.04G0025550-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:27753183:27754472:-1 gene:Sspon.04G0025550-1B transcript:Sspon.04G0025550-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding PWPEMMYRRDAGTDGFVVGGENNGRFLGLWSIGTSSPALKPVCPSAPVVVAPMLCVSHGTGSSGIEDAGNGWDFATWEKSNSCLIRLQYAKIYRYMFH >Sspon.01G0045600-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:84709842:84728136:-1 gene:Sspon.01G0045600-3D transcript:Sspon.01G0045600-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVDSRMTTESDSDSDAAAAAAHGGSAGGSGSGSDTSSSSAPSTPGTPGTPAAAPNPAAAGAAGPRPAPGYTVVNAVIEKKEDGPGCRCGHTLTAVPAVGEEGTPGYIGPRLILFGGATALEGNSATPPSSSGSAGIRLAGATADVHCYDVSSNKWSRLTPLGEPPSPRAAHVATAVGTMVVIQGGIGPAGLSAEDLHVLDLTQQRPRWHRVVVQGPGPGPRYGHVMALVGQRFLLTIGGNDGKRPLADVWALDTAAKPYEWRKLEPEGEGPPPCMYATASARSDGLLLLCGGRDANSVVFVNARLHVSGGALGGGRMVEDSSSVAVLDTAAGVWCDTKSVVTTPRTGRFSADAAGGDAAVELTRRCRHAAAAVNDLIFIYGGLRGGVLLDDLLVAEDLAAAETTNAANHAAASAAATNLQAGRAPGKYAYNDERTRQTATESGPDGAVVLGTPVAPPVNGDMYTDISPENAVLQGQRRLSKGVDYLVEASAAEAEAISATLAAVKARQVNGEMEQLSDKEQPPDSTSTSKHSSLIKPDSALSNNMAAPPGVRLHHRAVVVAAETGGALGGMVRQLSIDQFENEGRRVSYGTPENTTAARKLLDRQMSINSVPKKVIASLLKPRGWKPPVRRQFFLDCNEIADLCDSAERIFSSEPSVLQLKAPVKIFGDLHGQFGDLMRLFDEYGAPSTAGDIAYIDYLFLGDYVDRGQHSLETITLLLALKVEYPQNVHLIRGNHEAADINALFGFRIECIERMGERDGIWTWHRMNRLFNWLPLAALIEKKIICMHGGIGRSINHVEQIENLQRPITMEAGSVVLMDLLWSDPTENDSVEGLRPNARGPGLVTFGPDRVMEFCNNNDLQLIVRAHECVMDGFERFAQGHLITLFSATNYCGTANNAGAILVLGRDLVVVPKLIHPLPPAITSPEASPDHIEDTWMQELNANRPPTPTRGRPQAVANDRGSLAWI >Sspon.05G0020790-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:83508720:83514263:1 gene:Sspon.05G0020790-4D transcript:Sspon.05G0020790-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ethanolamine-phosphate cytidylyltransferase [Source:Projected from Arabidopsis thaliana (AT2G38670) UniProtKB/Swiss-Prot;Acc:Q9ZVI9] MDAGNGCSARALAACVIGGIVLGASVLALHLAGGPAAIPPLPLPPPLGALRRRLRRRPRRPVRVYMDGCFDMMHYGHCNALRQARALGDELVVGVISDDEIKANKGPPVTPHHERMIMVRAVKWVDDIIPDAPYAITEEFMNKLFNEYNIDYIIHGDDPCLLPDGTDAYALAKKAGRYKQIKRTEGVSTTDIGPGPDSRIVYIDGAFDLFHAGHVEILRLARELGDFLLVGIHTDQTISSTRGRHRPIMNLHERSLSVLACRYVDEVIIGAPWDVSKDMITTFNISLVVHGTIAENMDFTEDDSNPYAVPKAMGIYRRLESPLDITTSTIIRRIVANHEAYQKRNEKKEASEKKYYESKSFVNGE >Sspon.06G0010990-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6B:46799378:46799929:1 gene:Sspon.06G0010990-2B transcript:Sspon.06G0010990-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCSDGTLSSSDALRSVEVYPPRGGIELGWKRFVKRSHLELLYVMNGRVTILCGVIVIDSTLPVPPPPDLASHLGHLLDSALGTDVSFIVGGEVFRAHRAVLAARSPVFNAELFGAMADATMPSITLHGIEPAAFKVMLQFVYTNALPSDDELGDPLAEMMIHLLVAADRFALDRLKVICELKL >Sspon.03G0037670-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3B:100225767:100226377:1 gene:Sspon.03G0037670-1B transcript:Sspon.03G0037670-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRLANGSATARNRSAPPPPMKSDTPNLGGNHPQPPARPYPPRLAGPSASAHRRLAPPNRPYKQRKQGY >Sspon.06G0029180-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6C:16068703:16073789:1 gene:Sspon.06G0029180-1C transcript:Sspon.06G0029180-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVDLSFGAVAAIWVGEVAREMPLVLQVLVVRMCSCPKRPEPGWCHAALSDGAHLIAGHLRLDETTSASVRAAPRGYGAVVRLDFETRTNIDGGSIITVWQLKVLPIECELVGSPKACELCCIENVYGLKSESVEPYSGSVSNYAQPNNGPYFTCQGLNWYLTQGAVAAIMEGEMVVEWQPVLQVVDFSLASHNGFSFYRTLLSDGIHQVYVNLFPHLSHLVEGSCLRKGTRVRLLRFIRDTVDQDQNCRIAIAVELEVLQTECELIGNPTFYELGNKQSHSNLESLLPISGSFCKDQGGMEMQASLTWGAVAAIWEDVAAVVQPVLQVFGLLVWAEMDEPWLSPILLSDGTI >Sspon.02G0030870-3C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:107416898:107417675:1 gene:Sspon.02G0030870-3C transcript:Sspon.02G0030870-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding WRRRRGSRPSPDEILEDIFLRLTTPADLARAAAVCASFRRVASGRRFLRRFLQPRLHPPPLLGFLEHRGRGGFHQAEPPYGSAPAARAVAQAVDFSFSFLPSPAGGWRVCDARDGRVLLSRSVSSSAAAFADLAACDPLHRRYVQIPRIPGHLLSSSFAPYRDDAVEFEPFLAPDDPGEEKDEPSFQV >Sspon.01G0047450-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:103671535:103680134:1 gene:Sspon.01G0047450-1P transcript:Sspon.01G0047450-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-prolyl cis-trans isomerase CYP22 [Source:Projected from Arabidopsis thaliana (AT2G38730) UniProtKB/Swiss-Prot;Acc:Q9ZVJ4] MASGGAAISAGPTPPSATATAVEWHQRPPNPKNPVVFFDVTIGSIPAGRIKMELFADIAPKTAENFRQFCTGEHRVIKDFMIQGGDFLKNDGTGCTSIYGTKFDDENFIAKHTGPGLLSMANSGINSNGSQFFITCAKCDWLDNKHVVFGRVLGDGLLVVRKIENVATGANNKPKLACIISECGEM >Sspon.07G0033850-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:61203562:61204311:1 gene:Sspon.07G0033850-2D transcript:Sspon.07G0033850-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEHVVAVATPRADGDAECMFLPFLAMFASIYLVGYFIVFRGWSPPRRRAEAASCFTSLFHGTPAALLALRAVLLSRYAAASGAGTTTRSLLLALPAAAPNAAAEDLVLDFSTAYFAVDLAHYLLLLRDEALFIAHHLATLYVLATCRHAAAAGAAALLPLVVLAEATSAGQNAWTLAAMRRHDSPLAARLYARLSLPFYAAYTVARAVLGPAWFVRMVGVFYASSGGGGGRVPAWAWASWTVVIGAGIA >Sspon.04G0019360-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4A:68796832:68798240:-1 gene:Sspon.04G0019360-1A transcript:Sspon.04G0019360-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATASLVVIVHQAFASAWKKACSLDNAVFLVPAGRRYKVGAIQFVGPCKDNRMIIQIQGTIVAPEEPSEWDPRSPRLWLLFSGLAGARIQGGGVIDGSGSKWWANSCKINKSNPCRGAPTAVTIDSCRGVRMRGLRVQNAQQMHVTVSRSRGVRVGGLRIDAPEDSPNTDGIHVAESTAVTIQSCRIGTGDDCISIVNGSFGVKMRNIDCGPGHGISIGSLGKGGAFAAVANVALDGARISRAQNGVRIKTWQGGAGYVRAVRFANVAVDGVDHPIIIDQFYCDVPPGGSRGPCANQTSAVAVSDVWYRNISGTSRRAEAIRFACSDAVPCTGIVLSDINLRRADDDGEVQTVCNCAVGFDYGR >Sspon.05G0016180-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:60805258:60817128:-1 gene:Sspon.05G0016180-3C transcript:Sspon.05G0016180-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AtACDO1 [Source:Projected from Arabidopsis thaliana (AT4G31390) UniProtKB/TrEMBL;Acc:A0A178V5V0] MAVATSATRAPPLLCSGPHKDKERRQRKRKGCSSPPPKPRRKCESAPRTSQPAGRRLGTEDDPFSSLPTDSWEGGQGKKRAGSSAAGSVASDRRGARKWSHTITTNRRAAYHEFANSSFSAPQRRRRAALYVMNAASTGAPISRQNITQLPRTNGAVIKSISSDKPSSAMEQLDIERGVCIPFRKYTPEMVRKRVLDSRGSILSLASRGVEIVWKLGFYWSSLVYDFLVGRDEEIVPYRARQLRNLLCDLGPSFIKAGQVLANRPDIIREDYMNELCILQDDVPPVPNQVAFTIIEEELGQPLERLFSKISSETIAAASLGQVYRATLRETGEDVAIKVQRPGIEPIIYRDLFLFRTLASFLNGISLQKLGCNAELIVDEFGEKLLEELDYTLEATNIEDFLENFKDDPTVKIPRVYKQLSGSRVLVMEWIDGIRCTDPQAIKEAGIDVEGFLTVGVSAALRQLLEFGLFHGDPHPGNIFAMRDGRIAYVDFGNVAVLSQWNRCSPNYSSSGIHLAKLSWKRLGGLQFPEWKFNQLVYNYPIRIPERFSLVIRSLLTQEGICFTLKRDFKFLEVAYPYIAKRLLTDPNPALRERLIQVLFKDGAFQWKRLENLIVLAKENVSKMSSNPALKKNSSQAVRNRQLESKLDLTETIKDGARMFLVDAGIRRQLILAFTEDSKLHVEELVDVYRLVEDQIDMPSVALEVLQDLPSVARDFMLSWSDSILSDRQY >Sspon.01G0010260-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:29059460:29062821:1 gene:Sspon.01G0010260-2C transcript:Sspon.01G0010260-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGKGLARRWAVELPDASSSPAVPDPPGFTRSAPDADDAAGARQRKDSETAWKAQKAWEVAQAPFKNLMMMGFMMWMAGSTVHLFSIGITFSALWQPLNALRSVGKVFEPFKDPRVDTIAPKLLFIALNLAAMGLGVWKLNTLGLLPTNPSDWVSSLAPAREVEYAGGGIPLI >Sspon.01G0015610-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:46879174:46883485:-1 gene:Sspon.01G0015610-4D transcript:Sspon.01G0015610-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGACYRRPSPLQRHQLLLLPAVLVLLVIVGAAAAAAKGAGRNVITHIKGFEGPLPFHLETGYVEVDEEHGARLFYYFIESERNPAEDPLILWITGGPGCSALSGLLFEIGPLKFDVAGYTEGFPRLVYFEDSWTKVSNVIFLDAPVGTGFSYSVEEAGLNVSLTESGRQHHVFLRKWLAEHPEFASNPLYIGGDSYSGYTVPVAAMDIAASPPDPEKPNLVGYLVGNAGTDDRYDTGGKVPFMHGMALISDELYEAARLGCGGDFYKTPDPTNAQCASAMLAINLVTFAVNPVHILEPFCGAAVRVGSIFQGYGGHGGRRSMLVQDDVAHPGFFAKQRLSLPVECRDNGYRLSYIWADDPEVRETLGIHEGSIGSWSRCTTLLHFRHDLDTVIPYHANRALVYNGDHDLDMTYVGTQEWIRTIGYPIVSDWRPWFANRQVAGFTRTYAHNLTFATVKGGGHTAPEYRPKECQAMLDRWTSAAGQL >Sspon.02G0011530-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:34873231:34876326:-1 gene:Sspon.02G0011530-3C transcript:Sspon.02G0011530-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGREPFGLPKTPATPPSSGGTQSLRMAYTTDGTAIFTPVSSVPPATATYQPVAASSLAGVGGNGGAPVPPGCAGEPVAKKKRGRPRKYGPDGSISLALVPASMAAAPAPAPALPAPGASGPFSPNDPKAPNTALSASPDGAKKRGRPKGSTNKKHVPALGPAGAGFTPHLIFVKAGEDVSAKIMSFSQHGTRAVCILSANGAISNVTLRQSATSGGTVTYEGRFEILSLSGSFLLSENDGHRSRTGGLSVSLAGPDGRVLGGSVAGLLTAASPIVVGSFDADGKKEPKQQKLAPSPSDPSPAPLKLAPPTTGVAAGPSSPPSRGTLSLSESSGGAPSPPHAGASGGHGQQQPGGFSGLSWK >Sspon.01G0033800-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1A:113728916:113729130:-1 gene:Sspon.01G0033800-1A transcript:Sspon.01G0033800-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding H >Sspon.05G0039340-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:69204454:69205715:1 gene:Sspon.05G0039340-1D transcript:Sspon.05G0039340-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MYFKDKEIKFLIGDTEASQGALQYFGLKKDQAPLILIRHGESMFLKNHIEADRIVSWLKEYFDGKLTPFKKSQPIPEVNNEPIKVVVADNLHDVVFKSGKNVLIEFYAPWCRDCKKLAPILQEAATTLQSDDEVVVAKMDATANDVPSEFDGCQGYPAMYLVTASGNVTAYDGGRAANEIVDFVKKNKETARSTTVPPETLQTQPPPPSPLRASCRKPMVCMFADDCGCKHGRGERVEGALAWM >Sspon.01G0050860-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:8335072:8338699:-1 gene:Sspon.01G0050860-2D transcript:Sspon.01G0050860-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKAVVVAVLLMQCCDVILSARPLLNAAAGADGGWQLGQVLDKGGNGSPSDPGNGNCDYTKPGNPGCPSPLLHVTAGPDTRATMMTMQVLDKSNGPRRPGGGNCNWKKPGHPGCPPQQPSS >Sspon.02G0015920-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2B:42183949:42186924:-1 gene:Sspon.02G0015920-2B transcript:Sspon.02G0015920-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SARSFYSSVARASSGGGTVSGSSTSEEDQWLQSELIFLGTGTSEGIPRVSCLTHPTKTCPVCTKAAEPGNPNRRRNTSILLRHATPSGTTNIVVDAGNLRTIDGVIITHSHADAIGGLDCLRDWTNNVQLSIPIYVAERDYEVMKMTHYYLIDTSVVIPGAAVSALQFNIIKEEPFMVHNLEVIPLPVWHGQGYRSLGFRFGDVCYIRNDAFDGP >Sspon.07G0001320-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7B:1901890:1904153:-1 gene:Sspon.07G0001320-2B transcript:Sspon.07G0001320-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VEEYKGYGESRWFFFTVREQSSSNKEKEPSRKVRVDGVTATWKATGSVQLIRRARSKVVVGSKRVLIYNSSDTAENGKWSMHEYILKDHAQIGQYALYSIQRKQHSDTEGNAGNDDMDPEKKKKKETRKRKRTETETETPTELEGVQLPHPGTTTLLAEPPLAKAQRKKKPSMQVGVEGEQQQQAQSPMEPVTPPKKEELAPRQQEGRQQPPPPAVVLSAVPLQAVRVCPPSEPDGTLGASAPKPEEDTPDDMAQLLALLGYPAMFCNNQGQEQWPPSLTGTTTLLAAPDSNNIVDHAPFQPFQQEEDSFAMQNQHLSLGENQSVYMEQWWQHADLFLPNNTTQPMNSSGGWEHGNDQRQIHQQQEENNGGGFGVVQDQPPVDEQSSGSDDLQVDSLLFGESVQMDELDAFVRGVLVRRDEPPQDLAVGTQEQLEGGDDPATLQAARTQEQGADDTVTSHQHDELQQQLAWLQLQRALNEHVRDGPCSCMICAA >Sspon.07G0002020-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:4293479:4297954:-1 gene:Sspon.07G0002020-2D transcript:Sspon.07G0002020-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFDVGVVPSNPDGWGPPDVPAIPQSLGGGASIPFAPFSRSDKLGRIADWTRNPAGPAAFAAASRDSVFDFTSADDSLIAGAEDSSFRLVDAKPPPRPQRFGPKWRFNQRQQLPQRQDEEVEARRRLAEKERARRDRHFQNNRSHHHTNFRFNQPLSTAKPSVDIQPDWTMREQIPFANFTKLSFSVADQPEDLLLCGAVEFYDRAYDRVNPKAARRLERFKSRNFFKVTTTDDPVIRRLAEEDKATVFATDAILAALMCTPRSIQSWDMVVQRVGNKLFLDKRDGSQLDLLTVNETAQEQLPENKDDINSAHSLAVEATYINQNFSQQVLHRNGEKVTFDEPNPFASEGEEAASVGYRYRRWKLNDEISLVARCEVHAVNVDPSGGRQFITLNALNEFDPKITGVDWRQKLETQRGAVLATELKNNANKLARWTAQALLAGADMMKLGYVSRVNPRDHYNHSVLTVMGYKPRDFAAQINLNTANMWGIVKSIVDICMKFEEGKYVFVKDPAKPQVRIYEVPSDAFENDYVEEPLPEEEQVRPLGEVDATAEEMDAVAEAEANNASSANGGEDVKRSVTLPENKAAYSNEKKSSQSTSRSITKEANVITQSVAIVPYKRRFADGQTQSD >Sspon.01G0022960-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:80528812:80531082:-1 gene:Sspon.01G0022960-4D transcript:Sspon.01G0022960-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTVTVPGSSVPSTPLLKDELDIVIPTIRNLDFLEMWRPFFQPYHLIIVQDGDPTKTIKVPEGFDYELYNRNDINRILGPKASCISFKDSACRCFGYMVSKKKYIYTIDDDCFVAKDPTGKDINALEQHIKNIMSPSTPFFFNTLYDPYREGADFVRGYPFSLREGTHTAVSHGLWLNIPDYDAPTQLVKPKERNERYVDAVMTIPKGTLFPMCGMNLCFDRDLIGPAMYFGLMGDGQPIGRYDDMWAGWCVKVICDHLSLGVKTGLPYIWHSKASNPFVNLRKEYKGIFWQEDIIPFFQNVTIPKDCDTVQKCYLYLSGEVKEKLGKIDPYFVKLADAMVTWIEAWDELNPATPAVENGKA >Sspon.01G0035850-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:15062546:15063043:1 gene:Sspon.01G0035850-1B transcript:Sspon.01G0035850-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAVLVDWLVEVAEEFKLHAETLHLAISYVDRFLTTNVVTRDKLQLLGVTAMLVAAKYEEIESSQMKVNRYTDITDDTYTKQQVVKMEADLLKSLRFEIGGPTVTTFL >Sspon.06G0018470-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:67969385:67972016:1 gene:Sspon.06G0018470-3C transcript:Sspon.06G0018470-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At1g09190 [Source:Projected from Arabidopsis thaliana (AT1G09190) UniProtKB/Swiss-Prot;Acc:O80488] MPPAAGDPSPPPSFAPAMTTPDGWHPRTAERRLLHLLHHSPLARGRPLELLAFAVRRALPCSPASPHHHALAALLLLSSPPPPALPLLRLLPPDPPPPLTLLNAAVKALSASSSPDDVFRLFSTLRRFHAPDRLTFLPLLGCAASSLPLLSALHSLLLRLGFLSHHPITLALLKPYALPQVRALFDDMPQNNKCTVAYNTLITACLKAMDIRAARHLFDEMQRHRRSRRSVVSWNLIITGCARSGRDDLAVQCFERMVREGEVAPDDGTLVAVLPACGRMGNVGAGSVVSWNTMITGFSLNGYGVEGIDLFQEMRRQGVEPNAVTFLAVLGCCAHAGAVDIGREIFQSMLSEHGIEPAIEHYGCMVDLFGRSGLLEEAHTLIQGMPMKPSAAIWGALLSACRAHAGLGIAEVALKELINLEPWNSGNYVLLANLYAETERWEEAGEVRRLMRRMSVNKAPGQSLMEEAEFQLTNSYQ >Sspon.05G0022370-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:3301544:3304825:1 gene:Sspon.05G0022370-1P transcript:Sspon.05G0022370-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDETLRHDIPQVSEEENEVLMAPFSEEEVKTAVFDMEHNKAPECHAKLTELGLLIQDILNECMGLPPGFLKDYNDDRGFDHMTAKRYFPATEEENDSNCITFIFQDDVGGLEVLTDGHWVPAEPACGSIIVNIGDVIQVKPESRISNSTQIRNGPVLSNNKLKSATHRVVRKPAHRHSFAFFFNLHGDKWVEPLPEFTAKIGEAPRYRGFLYREYQQLHVRNKTHPPARPEDVVNITHYAI >Sspon.02G0047150-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:12016933:12025643:-1 gene:Sspon.02G0047150-1P transcript:Sspon.02G0047150-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEADAPLDFALFQLSPRRQRCELVVFGNGRTEKIASGSVKPFVAHLRAAEEQASAQPPPPAIRLQLERPAPWFSKGTLERFVRFVSTPEVLELANTYDLEMSQLEGARKIYAQGGTGDATSGGAAENVTASAAAAAADITKKELLRAIDVRLSALKQDLAAACSRASSAGFNPNSVSELLLFANHFGASRLSEACTKFMSLCQRRPDISPQTAQPAVSSHWKVFDDGNVRGSSSSDMSIDEPQVELGESNNKSTVSGSGSQVHRLSNSQGLEAAAEQQPKPTIQQAVDKQEAETDASPAPAVGGLSRRLSVKDRINMFESQKKEQTPSSGNSNSVGTGRVVPGKGEHRRVPSGASMEKLVRRWSSVSDMSIDLSNNESGNLNDKKDNGTPVGTPTSTDLEANSKKESIYGDGAENDMVLNSSIESESSFGKEPGVIQAHTRMSNHATSNVSTRNRLKSSAKPVEEALLKNKDILTSSTSEEHVRMIDKEITAVAHEVPVASEQIPQNDIRGLHTKYIHTEAEVTGRKDHSLRSFGKVSGGVNPKPKASSNSRANVKGSSGRDEITSTETEFRDASLQRNRLPRKAEDVGRKKRDAKLKEDWKLQREEKEAMLKAMHESLERSKAELLAKFSRSADVPDSTYVSHSSQKIPPSRSARKNKDQGVDSFLVEEELNSDYLSGDGSSRSADSRKHFSNKVASTQKASAGPIHKRSSRTASSGYANRRNPPENPLAQSVPSFSDLRKENTKPSPGLSRATARVQQKSFARSKSIIEESKSILKKDQSRRSQSMRKSQIPDELKDISSGNEDTYNWAPSRISKIQSEGAFAYSARRTGPPKPFLRKGNGTHPVIGIAGFQAAAAMMANALQHGDSGDFEDQQEDSPDDAKEEEEYESIEENLRESDFPADSDSENPRVSHEFGNSDDAGSENGDADFPSEASAIGGTKFTAFTGNVHNPAGDVPVPWSSRLPQLFPYTNDNSDGDAFADSPSGSPSPWNSHSLDEITDADVSRMRKKWGSAQMPFAGVNASQQPRKDVSKGLKKLWKFGRKTRGGDGLVNDWVSASTASECDDDMEDGRDLVVGSSDDFRKSRMGYLASYDGFVENEVFAEQEQSLRSSIPNPPANFRLREDQLTGSSLKAPRSFFSLSTFRSKGGDARLSCMQWAVATATVNKKNGGCHPL >Sspon.07G0009050-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:25151161:25158410:-1 gene:Sspon.07G0009050-1A transcript:Sspon.07G0009050-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRIDDDPESEETSQSTTKPNESDKVAPPSTVIEPEEDEDVYRPTYGGGRNIRLGGETLSAEEKAAKDKRTKELVAAYRNRQNIVIDAKTKAECIKALREGDEMMNTGRLKQALSYYEKVMDAVDFKTELHGKAALQWSICVDSLCRSKEAMSMYSKLKNHPNIEIRKKANMFVFSFQAMEFMKVSSIPVPKSTGYETYFTKFGSQKNYYASLDEPEVGIGQIIPYMIFLVSPIFIVAFVALRKSFQL >Sspon.07G0015820-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:61440741:61442403:-1 gene:Sspon.07G0015820-3C transcript:Sspon.07G0015820-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GMAKARSSAKQSRAQAQAQQSNGGGGGGGGGGHALSSKLARYLDPEASWDQDQLLDAVHWIRQAVGLICGLLWGAVPLVGAVWIARFLTISTGIIYWYYTYLLKIDEEEYGGHGALLQEGLFASFTLF >Sspon.03G0016890-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:53284987:53287894:1 gene:Sspon.03G0016890-1A transcript:Sspon.03G0016890-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAFAGHLGDLELASVSFACTVLTGFNYGLMRSWIVLLVCALLLTPMYFFAEDLLLLTGQPPELSAMAGRVSRFLQCQRKNLANAIAAAVVLCIHLFVSWLFVSRLKFGLAGVAITLSLSWWAITVMLFVYVTCGGCPETWHGFTAEAFAGLWEFVKLSAASGVMLWILILLTGNLKNAAVAVDALSICMNINGWEMTIPLAFFAGTGVRVANELGAGNGKGARFAAIVSSTTSLVIGLFFWVMIMGLHDKIALIFTASAVVLGAVDKLSLLLAFTILLNSIQPILSGESVSENSRARSAEVAVAFSTVLCEC >Sspon.02G0031690-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:104510161:104511127:1 gene:Sspon.02G0031690-3C transcript:Sspon.02G0031690-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding FSMLQFTPQRGGRSEIVFVSPTGEEIKNKRQLSQYLKAHPGGPAASEFDWETGDTPRRSARISEKVKVFDSPEGEKIPKRSRNSSGRKGRQGKKEEAPETEEAKDAETGKEAADKADDAPAPAPMEEDKKETEKPAESVVTPPAPTEEKKEDAKPAEPEAAAPAENSAPAPAEPVAVPAPAPETKPDAEPAVVPAPAPETKSDAAAADPAPETKADAAAADPAPGAKPDAAPVENAADKGGNQESQPVNNGQLPH >Sspon.01G0000780-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:2701974:2704226:-1 gene:Sspon.01G0000780-4D transcript:Sspon.01G0000780-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAGRKNLRRACDEGAAVTLAEGESVMQVLTLRGSNVIEVMDGEGVKSLALFPAKFQKSLWIKNGSFVVVDASGRDQALESGSKIACVVSQVLFHEQVRALEKSGNWPTIFNSTPNEASEAGTQAQTAAQIDEEPDSDEDDDLPPLEANTNRNRPYELYSDSDSGSDA >Sspon.03G0035990-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:87118661:87123536:-1 gene:Sspon.03G0035990-1B transcript:Sspon.03G0035990-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADASRGRVGGGGRRSMLALLLLLLSVGAQASDDGEAVAGEKVAVAAWTGGLSRRSFPKGFVFGTAASAYQVEGMAHKDGRGPSIWDAFIKIPGEIANNATADVTVDEYHRYKEDVNIMKKMGFDAYRFSISWSRIFPNGTGKVNWKGVAYYNRLINYMLKIGITPYANLYHYDLPEALEVQYGGLLNRKVVKSFADYADFCFKTFGDRVKNWMTFNEPRVVAALGYDDGRFAPGRCTKCKAGNSATEPYIVAHHLILSHAAAVQRYRQKYQLTQKGRIGILLDFVWYEALTNSPADQAAAERSRDFHVGWFLHPIIYGEYPKSVQKIVKERLPKFTADEINIVKGSIDYVGVNQYTAYYVRDQQPNATTLLSYSSDWHAEFVYERNGVPIGPRANSDWLYIVPWGLYKAVTYVKEKYGNPTMFLSENGKAP >Sspon.01G0049040-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:111129324:111131168:1 gene:Sspon.01G0049040-1B transcript:Sspon.01G0049040-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding CMGLDVGEIGMGLDLGLDLRLFAARSAGGMAAAAAKGAPAGIESCIRSLEEERKKIEVFRRELPLCARLLAAVIDELKEEAAKRGRDAEAKADDGDKRKWMSTAQLWVDSDAKSDQSDKEQRSEITSPSPKLLGGAPMPIRAVAAVPPLPPPFFRREDSSAGTGLSLVSPATKAPISPVAASDNASGRFCATMPPSGSGVNLHSQAQQQASRKARRCWSPELHRQFVAALHQLGGPPVATPKQIREVMQVDGLTNDEVKSHLQKYRLHNRRSPGAAPVSQPIMLAGGLWAPQEQSSSGSPQGPLQFSGSGVAISTATVGGGGSSSSDDDNKSEGYSRK >Sspon.07G0008530-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:23212281:23230245:1 gene:Sspon.07G0008530-1A transcript:Sspon.07G0008530-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKVHIVQRGAVRPLIEMLQSADVQLREMSAFALGRLAQDYVSDFIKVGGVQKLQDGEFIVQATKDCVAKTLKRLEEKINGRVLKHLLYLMRVGEKSVQRRIALALAHLCAPEDQRTIFIDNNGLDLLLDLLISMSSKHQQDGSAALYKLANKAAALSPMDAAPPSPTPQVYLGEQYVNSSTLSDVTFLVEGKRFYAHRIALLACSDAFRAMFDGGYREKDARDIEIPNIRWEVFELMMRLVTSEIAQDLLRAADQYLLEGLKRLCEYTIAKYLIVGSTFMVRIPITRSSTSLQAFASLSLASGGESVRCEARPAPVERRSILKE >Sspon.03G0001780-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:10637164:10638738:1 gene:Sspon.03G0001780-3D transcript:Sspon.03G0001780-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPAGTGVVAYNAAISRCARAGLYARALALFREMRGRGLRADEYTLPPLLNSAALMRGPPPAAVAALHALLLRAGLALHLHVANALVDAYTRLPRAGGAAAAAGAAQAVFDEMPRRDVVTWTSLLTGLARAGAHAAAVRAYHGMVAAGVQPDEFAVAAALSSCAGSTMLDVGRSVHAAAVRLGLNPFRSVGNSLVSMYVKTGALRDARAVFDAMPAWCTITWTALIVGYAQNGRGRQSLEVYANMVRSGCRPDYVTFIGLLFACSHAGLVDAGRAHFRSMLADYGIAPGPDHYACMVDLLGRAGRLDEAMDLLNRSSTELDATVWKSLLGACRVHRNAELAERAAEMVWRLDPTDAVPYVMLSNLYSRARRWGDVARIRALMKARGVTKEPGCSWVGVNGVTHLFHVEDRGHPRAAEIYRKVGEMTERIRVEGYVPDTAWALQDEAPEGKQRGLAYHSERLAVAFGLLAVPAAAPIRVFKNLRVCGDCHTAIKMVAKVYGREIILRDANCFHHMKGGECSCGDY >Sspon.05G0018880-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:80519320:80523035:-1 gene:Sspon.05G0018880-1A transcript:Sspon.05G0018880-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPRFPPPAPSSCFGGGGGGGFPARGHHRRAHSETFIRLPDADLLLDPDADFGFSDIDFPSLSDDSPAASDPTPHPQAQAPPPQQPLPQQQAASPASAAPPRPPSGAHMRSLSLDAAFFDGLSLQGGGGGGGGGGVAGHKRSGSMDGSTSSFEGESAPSSSVLPDYAKKAVPEDKLAELALLDPKRAKRILANRQSAARSKERKIKYTSELERKVQTLQTEATTLSAQLTLLQSSSDAIVGFVAINRDTSGLTTENRELKLRLQAMEEQAKLRDALNDALREEVQRLKIAVGQVPNMNGNPFNGGLPQQQQQQQQQQQMPSYFSQPQQMQYFGSHQGQHHNPNHYPQNSSNCGGQSSSDSMDFM >Sspon.07G0033910-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:66902218:66902412:1 gene:Sspon.07G0033910-1C transcript:Sspon.07G0033910-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MWGLKHVLHEFIPQEKDNLTEDYYLPMSKGLQSMLQSYGVSVSLGQVLILPLLPYSVYYFYCIY >Sspon.05G0037620-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:17820552:17832758:-1 gene:Sspon.05G0037620-1D transcript:Sspon.05G0037620-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSPPSQPTVLTLILALLLVLYLALRRRASGGKNRSYPPVAGTVLHQLFNFGRLMEYHTELAHRYRTFRMLTPTCSYIYTVEPANVEYILKTNFANYGKGSKVHEVGEDVLGDGIFNVDGAKWRHQRKVASLEFSTRVLRDYSSGVFRDTAAELAGIVAAAAAAAAGEKLDMQDLLMRSTLDSIFTVGFGVRLGVLSGSSKEGAAFAKAFDDASEQVLHRFLDPFWKAKRFLNSSLEAAMKRSVRTINDFVYAVVDRKIEQMGRDQQEFAKKEDILSRFLMERESDPGCFDNKYLRDIILNFVIAGRDTTAGTLSWFLYVLCRNQHIQDRVAEEVRAATSGAGDLGAPELVACLTDDAISKMHYLHAALTETLRLYPAVPIVSHSELGTFLNTSRFRTTHRFRRIDWVWVDDFRWGMLVLQDVKCCFSDDTLPDGYAVNKGDMVHYQPYQMGRMQFLWGSNAEEFRPERWLDDDGVFVPESPFKFTAFQAGPRVCLGKEFAYRQMKIFAAVLLYLFRALHTPHARRAAAGDLVPGHQSSSLAMDSPLLSPPALLALSLLLLALYIARRRRGGGRNRNYPPVAGTMFHQLLNFGRLMEYHTELAHRYRTFRMLTPTCNYVYTVEPDNVEYILKTNFANYGKGVMTHDVLEDLLGDGIFNVDGAKWRHQRKVASHEFSTRVLRGYSSGVFRDTAAELAGIVAVAAGAGERRLDVADLLMRSTLDSIFKVGFGVSLGVLSGCSDEGAAAFARAFDDASEQLLYRFFDLSWKVKRLLNISSEAAMKRSIRTIDGFVYGVIDRKIEQMGRDQQEFAKKEDILSRFLMERESDPGCFDNKYLRDIILNFVIAGRDTTAGTLSWFLYVLCRNQHIQDKVAREVRAATTGDRDVGVQEFVAFLTEDAINRMQYLHAVLTETLRLYPAVPIDVKYCFSDDTLPDGYAVKRGDMVNYQPYQMGRMKFLWGADAEEFRPERWLDDDGVFVPESPFKFTAFQAGPRVCLGKEFAYRQMKIFAAVLLYLFRFEMWEANATLGYRPMLTLKMDGPLYVRASFRQ >Sspon.07G0014070-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:56702874:56705525:-1 gene:Sspon.07G0014070-3C transcript:Sspon.07G0014070-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKTLSLLQMGFTEEEVSSAIDNFDQRATIQELADSILARRIANSIEQKEVKIESDFLGEAEPDYSSYQPSYSAASYYDDDSNNTRVKRAKHIFTDDTGASSSHPGNPWSMGHCAGTSDMPVKVELEAMTPGCRANVQGDLAKPPFFLYGNVVDIPKDTWHQLKQFLYNVEPEFVNSQSFSALTRKEGYIHNLPVEKRRVVVPKSPMTIEEALPFTRQWWPSWDTRKHINVVTTEVAGIEQTCERLGSMVRESRGVLSEARQVQIIHQCRVSNLIWVDRDKLGPLEPRQVERILGYPHNHTNLFELNQPDRFGAMKFAFQTDTLSYFLSVLKGMYPDGIRVLSIYSGIGGAEVTLHRLGIPLKCVISVEESEVNRKILRRWWLKTEQTGVLRQHPGIWKLKIHVIEDLVKEFGGFDLIIGGNYTSCKGGNNTVNTTMGMDSNRFYEYARVVKRSPVWHVVIVESRDKDLIIFGKLKSCSNLCLEPDCCSFSHHNIVHLSSFCFDENFR >Sspon.02G0010630-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:27872148:27873630:-1 gene:Sspon.02G0010630-2B transcript:Sspon.02G0010630-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MERPAQAPTQLPPGFRFHPTDEELVVLYLRRKALARPLPAAVIPVVHDVARLDPWDLPGASEGEGYFFSLRRAPATGRGSRRRRAGSGYWKAMGKEKPVFLQCGGGVGYKRQLLVGVKTALVFHRSEPAPSSRTGWIMHEYRLAVPRGVAEQRRKNASQGCVAEPAGEWVVCRVFLKNHRPGSSRPNRDASSKTLGHRASAAPPQHREDVGGRQQPLLFSAPQSSSSSCVTGVTDLSDEDHE >Sspon.06G0002100-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6A:6895506:6896016:-1 gene:Sspon.06G0002100-1A transcript:Sspon.06G0002100-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LAG >Sspon.01G0001640-3P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:36512225:36514379:1 gene:Sspon.01G0001640-3P transcript:Sspon.01G0001640-3P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTANGHGHGLMHHHHVQASMPPAQHQKQRPPGLPPTPPPAPASHSLHAADMCMDDSSAPAGRAGGLPPRKAHRRSRSDVAYGYFQPLPPPSPKMEAGGGWGLAAAGGAGACDDLFNAYMSMEGMDGLNHSDGDSRGSSGMRTNGADSSENESEDYGGGADSQFLLWGGDGGGKKKRNASGEPAAPPPPPARHGRSHSMDSIVGKLSFSSANGEPGKFSLEFGGGEFTPAEMKRIMADEKLAEMALADPKRVKRVLANRQSAARSKERRMRYIAELEQKVQILQTEATTLSAQLTLLQRDSAGLATQNNELKFRLQAMEQQAQLRDALNEALTGEVQRLKLGDTGSSGNLSQQMQLRCQNNQMAELHKQQQQGEQIPFYQLEQNGAPRNHEPK >Sspon.08G0006090-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:16348314:16351375:-1 gene:Sspon.08G0006090-2B transcript:Sspon.08G0006090-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTKQRLRVPLGFPFPVRTHRTAEITIPTGRRSGDRELRRGSRGSSLDPTQIRFDRGLGDLVRGERRGEAAMSGVFGRVFRKSKEQSQATALASLDKLNETLEMLEKKENLLVKKANLEVEKAKNFTKAKNKRAAIQCLKRKRLYEQQIEQLGNFQLRIHDQMIMLEAAKATTETVDALRTGASAMKAMHKSTNIDDVDKTMDEINDNMENMRQIQDLLSAPMGAAADFDEDELEAELADLEGEELEAELLAPTTTAPSTAPVRVPTAQQSTRPSAQSSKADDDELAALQAEMAM >Sspon.06G0020660-1P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6B:10751292:10752011:-1 gene:Sspon.06G0020660-1P transcript:Sspon.06G0020660-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFHLRSASVPSSPRSNDINVDEQLQSLNTTISSSSATMGTVCDGLRKLVEIYNYISELVCLPSSQVPKQRKSVEQELERSLVLLDLCDMMQESFSELKESILDMQLALKRGDDAAAQTKIQSYIHIAKKTQKQFKNISKKSAAADQESCKLIKMMSEARETAVLMLESSSQLLSKQIVVPSPSKWSLIFKTFQKRRVVCEEEQLQALELDIVDLESGVETLFRILIQSRVSLLNVLCL >Sspon.01G0026040-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1A:90643354:90646414:-1 gene:Sspon.01G0026040-1A transcript:Sspon.01G0026040-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLASAAHKIPLEVAHTLVEIAEVARYAYHHRPGHPADHDGDPTALPAGAGGGGGASEEAARLREENAMLRARLADDLALLRELHGAPCVSKECPPDLYNRLMAAVNNASFLAHLEKLQDESAREHNELSSGNMTEVEVADIPDKMGNGKKGSWVLVACDTAGANLEEISGIDDENYVIINEDDIVDGIATFVARCILEDPKSKVVQEPSCPEGGSKGCCCVCQICYEGPKSNG >Sspon.02G0024960-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:80048670:80053242:-1 gene:Sspon.02G0024960-2D transcript:Sspon.02G0024960-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPQPQPVSPVERLMKDLYVVVSIGLATPLNLPVFRAGIEAQLARHPYFRSIQVTDKDGTPRWVPTTVNVDDHIVVVPALDAAADPDKAVEDYLASLSTLPMDHTRPPWDFHFLDVRTSEAASTVALRVHHALADGMALITLLISSSRSATDPAKAAPLPPPPARTGAVYAPPHHGQQRPGSSSSALLPLPLLAWTIWSYLVLAWHTLVDVAAFVVTIFFRGDTQTLFKRANHGGAGDDDSPRRMRFVHRTFSLDDVKFVKNAMSYTVNDVLIGITSAALSRYFFRRTGDTKTREIVLRSILPVNTRPAASLQMDVNMIETGKSNAVRWGNRLGYIILPFHLAMHDDPLEYVRKAKLVIDRKKNSLEVHAVHLSIDIVFKVFGPKAITVHYQSYNNTIKVVLAVDEAQFPDSRQLLDDFAECLKLTKDAAAKTVSTKTIKNE >Sspon.07G0014730-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:58616850:58625783:-1 gene:Sspon.07G0014730-2C transcript:Sspon.07G0014730-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPPYTHLATPITMSSSSAATAAASSASVPASSSAAPPMILQANRDPESNWEVDVAKSLEEYLLKICSGEVSGEDGAHSVNFAEAALLLQGSVQVYSRKVEYLYSLVLHALEFLSQKKQDQLENCSAQANQNDPSTIASEEDDIFMGLDDVPVESRTSLDNNVNRDDLQRKIVRPPANLLVFEGDCLDSEASELDRYLLATCDFYGDFLLLDPCDAPAVFDFLQGKHSGKENSVAHQGSSAPSKSRANVFTSPNARSTGTGRKSAAGKVLGGLDPTQENPDQSSTQETIPDDNHWSDPVEPSFADDVEMPHPDDIEDPVGDYSDDEDPWKPLNPHEPGNLKIRPYRRVKGSPRVVIGTLKKKTLTFLFPMAKMDGVVIPEHAKSFEAQQSQQEEHYGSQSPPRFEKFLRSFEFGEENPNVFGDLKDDNGSNTGINFDNDDPDMPNDIDVDPDVPTYPDETIAATPNGTQDDIDTHASLDDLCRSHLNALLASIAEVEKQSEMDARVSTWKERIEDALEEQDKNPPFDIGSYGEQILDILSSRTDNTGTASFSEIVSGRPKYEVARTFSALLQLVNGRSVDLDKGQATNELVCYTAENPFHVRLIGPNRRPEMEARFARKRVKSPLQNAGKGGESSLAQHECPKKPLHKNGKIPVKTATRLTPDGKRRRRSAAHLMQPINLESSG >Sspon.02G0030020-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:93113157:93118544:1 gene:Sspon.02G0030020-2B transcript:Sspon.02G0030020-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MESYLEERFGGVQAKNSSEEALRRWRRLCSVVKNPKRRFRFTANLEKRGEAEAIKHANHEKLRVAVLVSKAALQFLHGLSLGSEYVVPEEVKAAGFQICADELGSIVEGHDSKKLITHGGVDGIAEKLATSKTDGLSTADDSIKRRQDIYGVNKFTESEVRSFWVFVWEALQDTTLIILAVCAFVSLVVGIVMEGWPKGAHDGLGIVASILLVVFVTATSDYRQSLQFKDLDKEKKKIQVQVTRNGFRQRLSIYDLLPGDVVHLAIGDQVPADGLFISGFSLLINESSLTGESEPVAVNEDNPFLLSGTKVQDGSCKMLVTTVGMRTQWGKLMATLSEGGDDETPLQVKLNGVATIIGQIGLFFAVITFIVLSQGLFSKKYHERMLLSWSGDDALELLEHFAIAVTIVVVAVPEGLPLAVTLSLAFAMKKMMNDKALVRHLAACETMGSATTICSDKTGTLTTNHMTVVKACICGNIKEVNGSENASKLRSELPEIVVKTLLESIFNNTGGEVVINQDGKYQILGSPTETALLEFALSLGGDFKAKRDETKIVKVEPFNSTKKRMSVILELPGGGCRAHCKGASEIVLAACDKFLDETGGVHPLDKITADKLNGVIDSFAGEALRTLCLAYKEMEEGFSIAEHIPLQGYTCIGIIGIKDPVRPGVKESVATCRAAGIMVRMVTGDNINTAKAIARECGILTEDGIAIEGPEFREKSLDELLKLVPKIQVMARSSPLDKHTLVKHLRTTFNDVVAVTGDGTNDAPALHEADIGLAMGIAGTEVAKESADVIILDDNFSTIVTVAKWGRSVYINIQKFVQFQLTVNVVALLVNFSSACFTGNAPLTAVQLLWVNMIMDTLGALALATEPPNDDLMNRQPVGRTGKFITNVMWRNILGQSFYQFFVMWYLQTQGKNFFGLEDFGTDIVLNTIIFNSFVFCQVFNEISSREMEKINVLKGMTRNYVFMAVLTSTVIFQFIMVQFLGEFANTTPLTIHQWLASVLLGLAGMPIAAAVKLIPVGSS >Sspon.04G0022640-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:7987131:7991867:-1 gene:Sspon.04G0022640-1B transcript:Sspon.04G0022640-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAEAAAEIVREIAAVGAADLAAAAEPLRTDCLRLARKVSLLSHLVAEVADAGESDAAAAAWVRELVRALEAARRFVALGRTPAPAAAGASDQDAICKNTALQFKYVTWQLQAALANLPHSCFQISDEVQEEVDLVRAQLRREMEKKGGFDVTVFIKVHDILAQIDNTAVQPQQPEQSQMEKFSNDHLELQNVVSLVSEISGLSTSDTTKITSELIEGLQNVETPESPKPDNVDSQSSVETKSSSGEVKKPESVAIPEDFRCPISLELMRDPVIVSTGQTYERAFIQRWIDCGNRTCPKTQQKLQNLALTPNYVLRSLILQWCEEKGIEPPSRSKSDGSPLEVGGNRLAIEALVRNLSSSSLDERKSAAAEIRSLAKKSTDNRILLAESSAIPALVKLLSSKDLKTQEHAVTALLNLSIYDQNKELIVVAGAIVPIIQVLRMGSMEARENAAAAIFSLSLIDDNKIMIGSTPGAIEALVELLQSGSSRGKKDAATALFNLCIYQANKVRAVRAGILVPLIRMLQDSSRNGAIDEALTILSVLVSHHECKTAISKAHAIPFLIDLLRSGQARNKENAAAILLALCKKDAENLACIGRLGAQIPLTELTKTGTDRAKRKATSLLEHLSKLQVL >Sspon.01G0026130-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:91011747:91015695:-1 gene:Sspon.01G0026130-1A transcript:Sspon.01G0026130-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGVGYRCDGICLECGSATKSTRLFCKARVVTEEGVGANALEWTIANRQPNFELQNISSNRKDGPHLLARSEEITHRKLRGRIGVRKKMEVMQQDDEALVKLENAGIERSKAVDSAVLGKYSIWRRENENEKADSRVRLMRDQMIMARIYSVLAKSRDKLDLYQELLARLKESQRSLGEATADAELPKSASDRIKAMGQVLSKSRDLLYDCKEITERLRAMLQSADEQVRSLKKQSTFLSQLAAKTIPNGIHCLSMRLTIDYYLLSPEKRKFPNSENLENPDLYHYALFSDNVLAASVVVNSTIMNAKEPEKHVFHLVTDKLNFGAMNMWFLLNPPGDATIHVENVDDFKWLNSSYCPVLRQLESAAMREYYFKAGPKTLSAGSSNLKYRNPKYLSMLNHLRFYLPQVYPKLNKILFLDDDIVVQRDLTGLWEVDLNGNVNGAVETCGESFHRFDKYLNFSNPNISQNFDPNACGWAYGMNMFDLEEWKKKDITGIYHKWQNMNENRLLWKLGTLPPGLLTFYKLTHPLDKSWHVLGLGYNPTIERSEIDNAAVIHYNGNMKPWLEIAMTKYRPYWTKYINYEHPYIHGCKISQ >Sspon.01G0012620-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:33707427:33709999:1 gene:Sspon.01G0012620-2C transcript:Sspon.01G0012620-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLVVKRYRCTHSASCACLKGHVREDAMYLVFKHMNWNPKMIAILSSVCKWFDEFAKRVLWKEFCNARAPKMMKDLHSDGSHIVDGNWKALGKLLIYCAGCPSGDLFSNIQDPIPGHFVYRTRFSRTLGKSLLAPQCRSDALYVSESCEHLDQGEEGDLGLFRGIFKSFAASKMKKMLIERQAKFHPNEMCPYCKTKLWNLMQPNMIPSSAAVRLGAEDGSAEYYVCLNGHILGSCTLIPISDSEDTEEDLWPVILAPDKLAALIELQRVHTVVASTADKPGGEGWIV >Sspon.06G0016590-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:90098069:90100965:-1 gene:Sspon.06G0016590-1A transcript:Sspon.06G0016590-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLILLLILGFPPTIATAQPWQICGTSNYTANSTYQHNVDSLSYLSHTIGSDPSDLFTKGSSGVAPNIVYAVAICRGDINASSCSTCVDAAFKDARQLCGLSKDVTIFYDKCTIRFSDMDILDMDSSSRVNTYAAVVDGALILMNLSSEPMLPGWWDVKNQQQQATGNFTKLFKMIMTDTVAQVLSTTKHYAAIRVDKNDGNTTVPQLYCLAQCAPDLVQNTCYKCIHNFSELAKANFAGRQGGRILGLRCSLRYDTNKFFAGEPTWSSGSLSAIVPSPSPSPQPVPLLPSPKPKSNTNTNEDEAQILGLDGRNSELNIYEFSQVLEATGNFSEENKLGQGGFGPVYKGQFPDGVEIAVKRLASHSGQGLTEFQNEIQLIAKLQHTNLVRLLGCCYQGQEKILIYEYLPNKSLDFFIFGITSHSTTVQQIICSLSAKYETRRVLLDWSKRLAIIDGIAQGLLYLHKHSRLRVIHRDLKASNILLDCEMSPKISDFGLAKIFSTNDTEGNTKRIAGTYGYMAPEYASEGLFSVKSDVFSFGVLMLEIVSGKRTSSFHGYGEFINLLGHAWKMWNDETWLQLVDTSLFASDSHTLEMMRCINIALLCVQESAADRPTMSQVVAMLSNDSVTLPEPKHPAFFNLRVTNGELSTVVVASSVNEMTMSAVDGR >Sspon.06G0023640-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6B:46634021:46634635:-1 gene:Sspon.06G0023640-1B transcript:Sspon.06G0023640-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEALETLRVAPVPHSALASTDLERTVGALEKHGSARIRRLAGDVVRGWRAANVTTTTSVKEELDKRSADDRISGQSISAVIADGNARGHKEKLHIQPAKMLPAAEVHKKKLQKPPAKMLPTVPVAEAYKKKVYVPPAKTLPTTATSETKKPAVDESKKMEATKRKLREGYQEAEKMKRQHTIQKINDKDAAKMFEQKQRKMHPV >Sspon.08G0012200-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8A:52205446:52214107:-1 gene:Sspon.08G0012200-1A transcript:Sspon.08G0012200-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding EKELQGYQQKDQSNIDKNLNQVLARVEQAFMKVEIAISRRAAASVAAAASSPPPPPPTSTQKSGKTLIHAEIVQPDRESAPIEKKEFNIKYKNHQAQVEARLKKMENTQEMPKNVLLLGHHLRLRPSQVRGPIQIKFESISGFRTTGISLRGEVNWIVTRLITRGDVVLSLQGSGLCDLKPDRLDDHGDDSDDHNVIKGLLREEKRQNPIELKAKCTERWLREHRTLTLGAPNAGSQSIGRWPRVRHGSRSPSPPSTTTAEHDASLLLAEAQQQRIHRAGLRGRWRRRRPAKWRMRKAVGVEQVEMGVAAAGSAETSAVAPRLLRRPSSAASAGAEPGWPAQWGRRSVAERSAGASAGDGNVGELHGGRDGARSGWASSRYVMAVAIPSFLPAAIAVRCGIRVGCVEACECGGALKPGFTAKTILAIG >Sspon.01G0020970-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1A:77324108:77324320:-1 gene:Sspon.01G0020970-1A transcript:Sspon.01G0020970-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding QEQLEMKKAAQAEKLKNSAAAVHRAAEEKRAAAVARRGEEVIAAEEAAARYRAMGQEPTRLFGLGLFRRG >Sspon.06G0017970-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6A:97499774:97500394:1 gene:Sspon.06G0017970-1A transcript:Sspon.06G0017970-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGRTCACVGAGRHAIVEELAGFGARVHTCSRNAAELEECRRRWEEQGLQVTVSVCDVSVRGDRVELMATVGATFGGKLDVLVNNAGQTLFKPAAECSGEDYARIMATNLESCFHLSQLAHPLLRHASVAGGGSVVHVSSIAGFVGLPALAVYSMTKGAMNQLTRSLATEWAGDGIRVNCVAPGGVKTEISTDVSSLPSFVPGPLS >Sspon.05G0033810-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:5C:43135784:43136210:1 gene:Sspon.05G0033810-1C transcript:Sspon.05G0033810-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVAPSYDRTDELRALDATLAGVRGLVASGAKQVPRIFRVPYPEELLPLCHEQPAAAAAATVPVIDLSGDRAAVVDAVRGAAAEWGFFQVTGHGVPQQVMSAAVAAMRAFHKADGGEGSDKARLYSREPGKAVKYHCNFDLY >Sspon.04G0012110-1P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4B:34521332:34521875:1 gene:Sspon.04G0012110-1P transcript:Sspon.04G0012110-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATHAFLLAAALLSLSCFHATASDPSLLQDFCVVDKMSTVRVNGFPCKDAKDVVAEDFFFPGLHMAGNTTNKQGSAVTPVNVAQIAGLNTLGISLARIDYAPFGLNPPHTHPRATEILTVLEGSLYVGFVTSNPDNKLIAK >Sspon.04G0023420-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:17662235:17664208:-1 gene:Sspon.04G0023420-3D transcript:Sspon.04G0023420-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGCLRLMASPSPSEFSKEAARQSLIAISQSIPETPSPQTVKTPISSAENGKLGDGADKFRSKLMSITDLSSDAQPAQCPPKDVAA >Sspon.02G0055380-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2D:4969488:4970159:1 gene:Sspon.02G0055380-1D transcript:Sspon.02G0055380-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKQRVWAAALAALAVVLALAEARTLEKDGLLGGGGGGFGAGGGGGFGAGLGHGGGLGGGFGGGKGGGLGLGGGGGGGFGGGGGGGAGGGLGGGGGGAGGGFGGGAGHGGGLGGGGGLGGGGGAGGGSGLGGGFGGGKGGGLGGVVASVVEVELVEAVALEVAQAVVSVVVQAEEAASAVEVVLVEAVALEVVQAEAAALVVVPAVVQAEEAALVAVPVEEVD >Sspon.07G0035600-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:9957592:9960628:1 gene:Sspon.07G0035600-1D transcript:Sspon.07G0035600-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRARCCPPRIAGIRSDLAEIGGRVRSGFSMLQNNLAVAEISKIASSLLPFGQGEADEGEPVPGVTEEVVVFVRHISTRPETWLDFPLFISERYADDFELSDAQYVHALSIEHLVPGLSDLKVQICSTDMTEACFWKIYFVLLHSKLNKQDAELLSTPQIQEAREQLLQSLQSQNRWGSKVPGESSESLNASTAPAEEKVIEPSIIQDKADTPEKSSFEEPSSDIMPGIVSEKIPISTTEVEMVDKSVVEEELAVTKESKASPVESKLHFETDEEEVDEWPDDDPTDEWPDDDPTDEVGQAGNRASLGREEDVSFSDLEDDEDDDDRNRRDGR >Sspon.01G0040130-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1C:74193382:74194896:1 gene:Sspon.01G0040130-2C transcript:Sspon.01G0040130-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALRVLAVLVWVAAAYPVVFRARPVQALAANWGTRVLHPLPGDITVRLLRDNGFDKVKLFEADPNALRALGHSGIQVMLGLPNELLASVAGSVTAAEQWVIQNVSTYVSKYGVDIRYVAVGNEPFLKSYKGKFEAATLPAVQNVQAALVKAGLARQVHVTVPLNADVYESGDSRPSSGDFRPDIAGLMVSLVRFLLDNGGVLTINIYPFLSLYADPNFPVDYAYFPSPGQPPSQASVQDGGVLYTNVFDANYDTLIAALEKHGLGAIPVVVGEIGWPTDGDKNANVANARRFNQGLFDRIVAGKGTPRRPRMPDVYVFALLDEDNKSVDPGNFERHWGVFNYDGSPKYPLRLANGRSIVPAKGVRYLSKQWCVLRPDASATDPAIAGAVSYACEYSDCTSLGAGSSCGNLDARANVSYAFNQFFQAANQLKTACNFNNLSVITTTDPSQGTCRFEIMIDTGRHELTGKSPSAAPRVALASSSSSWSAVLLLGLVGLITLVAW >Sspon.04G0003900-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:12327740:12329819:-1 gene:Sspon.04G0003900-1P transcript:Sspon.04G0003900-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ADSSTSRNYGGTGIGLSISKCLAELMGGQISFTSRPFVGSTFTFSATLKRSYKDISVDSSRSLSEALPTAFKGMKAILIDGRPVRSAVTRYHLKREKATMLFIESDFWRPETDVQLLNHLREHKTGLLSDAPKVVLLVTSEADKDNYGSIFDIVMCKPIRASTIASSIQQLLKVEMPERKENQNRPSFLRSLLVGKNILVVDDNKVNLRVAAAALKKYGANVSCVESGKDAISLLQPPHSFDACFMDVQMPEMDGFEATKQIRQMEMKANEERKNKLALSEGSTFVEYHLPVLAMTADVIQATYEECIKSGMDGYVSKPFDEEQLYQAVSRLVVGTTDSAV >Sspon.06G0016170-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:88091456:88096376:1 gene:Sspon.06G0016170-1A transcript:Sspon.06G0016170-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALNEEYTYAELEKEPYWPFEKLRISITGAGGFIASHIARRLKSEGHYIIASDWKKNEHMSEDMFCHEFHLVDLRVMDNCLKVTTGVDHVFNLAADMGGMGFIQSNHSVIMYNNTMISFNMLEAARINGVKRFFYASSACIYPEFKQLETVVSLKESDAWPAEPQDAYGLEKLATEELCKHYTKDFGIECRVGRFHNIYGPFGTWKGGREKAPAAFCRKALTSTGRFEMWGDGLQTRSFTFIDECVEGVLRLTKSDFREPVNIGSDEMVSMNEMAEIVLSFENKQLPIHHIPGPEGVRGRNSDNTLIKEKLGWAPTMRLKDGLRITYFWIKEQLEKEKAEGMDLSVYGSSKVVQTQAPVQLGSLRAADGKE >Sspon.06G0007080-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:25513240:25519290:-1 gene:Sspon.06G0007080-3C transcript:Sspon.06G0007080-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPHLLTPPALTITCAAAPGRLGGAGSADPRAPRPRAPSRTFFCSSPGRARRGMRRRKGLSVAAAAAAEGAEPGGPAGPMRLNEYMVAVDRPLGVRFALGVDGRVFVHSLRKGGNAEKSRIIMVGDTLKKAGGDGEGLVTIKDLGDTEIALRDKAGPCSLVLERPFAPFPIHQLHQNEDYHILFNRGRAAVASWNSAVLSTKLNGSFTGDGKSGFAVFSPRLLSSQGWALLSNEKGGLNQSSTNLANRVSEIVGLYSDEDDANAEWAHGSFPLEEYIKALDRAKGELYYNHSLGMQYSKITEQIFVGSCIQTEKDVKMLSETMGITAVLNFQSESERINWGINSETINSSCRENNILMVNYPIREVDSLDLRKKLPFCVGLLLRLIRKNYRIYVTCTTGYDRSPACVISYLHWVQDTPLHIAHKFITGLHSCRPDRAAIVWATWDLIALVENGRHDGSPTHSVCFIWNSGREGEDVELVGDFTSNWKDKIRCNHKGGSRYEAEVRLRHGKYYYKFIVGGQWRHSTSLPTETDEHGNVNNVIRVGDIARIRPAPSQLHIKDPTVVKVIERALTEDERFSLAFAARRMAFAICPIRLSPKQ >Sspon.02G0018670-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:63196150:63196791:-1 gene:Sspon.02G0018670-3C transcript:Sspon.02G0018670-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GEAQLHLHHRAGGARSLSSTGRPRRRPRHPERLHRPGGREPRGHHRRLLHVHGVPRGQEHEHARAQLHGDQGHDGGVPGAQRPERLVRAAHVPGGWVSEPHAHPPAGRRAAARPRRRALRRLRRHRRQALHPGPGRRRHVRVPQGHRALAVQPGGQARHRARGARERRPRARVPPGHPVRRQQHRRQRAGEVLQDRCGYHPEAQGRLSSPKEMN >Sspon.03G0029940-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:16581382:16582113:-1 gene:Sspon.03G0029940-3D transcript:Sspon.03G0029940-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAAAASLPCRWLPPAAGAGAGAEEAAFAPSSTEPRHVGQESCDRSQVSTQRTWKPWAQRGSTRTFSPSANSPRQMAQTSAATAAAPSAPHAPYTSTGMLRSARFLSPPAPPASPAAAAGARRAQRMRQRASELRPSAKRSANSSAARMMTMLVSKLASLPAPGLLLSACCASVALPGAGDPGGLMYQLIDLACTPMGTRCPAAHDETRRDPQRRCVLLLLFLLSSDPGASAITRRRHR >Sspon.08G0004440-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:13109453:13116779:-1 gene:Sspon.08G0004440-3C transcript:Sspon.08G0004440-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRAPERRRGAGPLLLLALVALAAAPRLVRAVTDAADVSAINGLYISLGSPPLPGWTGNGGDPCGESWQGVVCTGSSITGIFLSANQLTGSIPSSLSKLEKLTAMSVNGNSLNGNLPDAFDTLNRLRYFFEQLDWRVTIFNEKLGIFDFIKGRESIQYQHSPICISTFNRISTNSNTSRTKTSSNANTSTYHFKFNAPSTSAPISFKSSYPT >Sspon.03G0047030-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3D:51080581:51081393:-1 gene:Sspon.03G0047030-1D transcript:Sspon.03G0047030-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DAGTRRRVSTPSRCCRAASSLAARSYLPSRAKPGAGGIGGGGSRAPLLVLVLVAFACRRSPPAPTLSRLESTGLGLPYIAKHMFQMFRSKLGVASLSSPFAASSQCLLLLPAPVGAHCGSFRTRDAVEHRPLPLFSMLVRIEQRGPLRGARETECRCERRTQVRR >Sspon.07G0013130-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:46972561:46974519:-1 gene:Sspon.07G0013130-2D transcript:Sspon.07G0013130-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSSAVKVYGWAISPFVSRALLALEEAGVDYELVPMSRQDGDHRRPEHLARNVQSRAIARHVLRKHKPELLGTGNLEQAALVDVWLEVEAHQLSPLVIAIVVECIFASFLGRERNQVVVDENVEKLKKVLEVYEARLSQSKYLAGDFLSLADLSHFTIMHGFMATEYATLVEALPHVSTWWESLAARPAAKKVAEFMPVGTKAPKKQE >Sspon.06G0009380-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:50056346:50062201:1 gene:Sspon.06G0009380-1A transcript:Sspon.06G0009380-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPYGTAAEAEAALGRGLTWAEATWFRYSAAMPDHWLLWHNTLFLFLAHVLVPLPLALLERLAPAFAMRYKLQPGVRLSQSAVARYFTDTVRVYLLVLMAGIRMGLPLPSVGEIAVQLVAYSLVEDYLYYWIHRFLHTGWAYDRIHHVHHEVTAPTSFATSYSHWAEVVVLAVPMFVGPTIAPYSFPFDPSKLIPYHGGAEFHDYHHFVGRQSNSNFSSFFTYCDHIYGTDKGYKYYKANIAKVKTKVAKNNTEKEGRNGFSSTKLD >Sspon.04G0006090-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:18189716:18191966:1 gene:Sspon.04G0006090-4D transcript:Sspon.04G0006090-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MACLEQFPMATDETAVADRTGGGTAVDHRKDPLDPRDMDLSGEEHVPKARKPYTITKQREKWTEDEHRRFLEALQLHGRAWRRIQGRGQALTLFFSVRAEHIGTKTAVQIRSHAQKFFTKVVRESSSGTGSNASAGAAPAIQIPPPRPKRKPAHPYPRKMDGAAAKKPAPELNQLEKPPPLRDQDEEGSPTSVLTSAHTVLRTDGLGSVFANRSSASRSPALSAAGSDERGNGGGSLASSVDGEDACASPRTRAPYTTKVLGDANEVGSEAPVLKLFGKKVVVEDLRTESSPASAVPAPRNGNSIGAAGASPWNPWPGGVQVQQQLMYLVPRPDGFAAQPVVPWFGYNGSLPCAVFYPQAVASSAQEHQQQQASEPLDHRRAQREGSLTGSNMAAQNSDTAESRHQGPGQENASDGYAALRRVAAVPRLTKCASSASFSGRGFVPYKRCAAESEAPRPVAPGDEADGELTRLCL >Sspon.07G0001740-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:2941075:2941708:-1 gene:Sspon.07G0001740-2B transcript:Sspon.07G0001740-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MERRRSRHALLLLSAVMASLVSGSTAGIYHIVGAGKGWRMPPNRTYYEDWARTRQISIGDKLMFLYRSGVHNIVEVPTRELFDACSMRNITSRYQSGPTIIELTEPGQRFYFCGVGEHCEVGQKLAINVLLVAPPPPDTDEDEDDADSSGASARLLAHAGAALAAACLCLASALLMA >Sspon.04G0026030-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4B:34543542:34543687:-1 gene:Sspon.04G0026030-1B transcript:Sspon.04G0026030-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPDVLDSPRAGGLDSTRAGDFDSLCTGSLDFTRPGGPDSLRLGLPAC >Sspon.03G0017400-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3B:78553564:78560447:1 gene:Sspon.03G0017400-2B transcript:Sspon.03G0017400-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GAGGWWWWRRGTSSRRISPPPPRPPLAVEALRDKIVEKVKANRVTLIVGDTGCGKSSLVPQFLLEENMEPILCTQP >Sspon.08G0022890-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:52861979:52863043:-1 gene:Sspon.08G0022890-2C transcript:Sspon.08G0022890-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding PMPFSCFPAGARGGDASAAPPSSSATSVYWTHLGGVTLTWSRAPIGLLLAAELRLAGDDEHDGDTAPARFAFRPWLPWRRRGSKRYSAPGGRAVKFSWDLSRARFAATGRRPEPVSRYSLQVSVDGELALAVGDHAPPLASSAGLLLSRRENAVADDGRGEAYSTTVSVAGERHDVSLAVEGSTMWVAIDGEKALQVRRLRWKFRGCERLDLPSGRRIRVTWDLHGWLFCPDAAAVFVLRFETSDADDKDDVDDDDDGASPHVLRQGSFSFRNHHQAHGGGGESWYSSDSDRRGWRRSPFRSGSDTSPSVSVASTSAVSSAGSVATVSEWAAAEEAAALKDGGGVSLVVQLWKKR >Sspon.05G0002400-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:7403957:7408340:1 gene:Sspon.05G0002400-1A transcript:Sspon.05G0002400-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:BRIZ1 [Source:Projected from Arabidopsis thaliana (AT2G42160) UniProtKB/TrEMBL;Acc:E5KGE0] MFVLRIQSVDFPDAASASASASPAAVAADEVGTSRGSAATSHPLSPLSGPLPPSTATSSIPPFELPGATPAAPGRSPRILHTRGVIHLYHSSSSTSTSSSYASAVAATSSSSSGPAAPQLASDSHLPPCRGTRLLVLAVPTRVSPDDFVRFCGPCLERASDIRFIRDDGVEDRYSVLVEFEDQNSAERFYADLNGWRFSTSEREVCHVLFIAAVQYTPSSELATTPPAGSTELPMCPVCIERLDQDISGILATTCDHSFQCSCVSVWANSSCPVCQFCQKQSENSTCSVCQTTGNLWICVICGFVGCGRYKEGHAKQHWKDTQHCYSLDLETQRVWDYVGDSFVHRLNQSKSDAKHAKFKSKCKYSGDDCVNCSCNDDSDMGGAMFNSKAETIVDEYNRLLASQLETQREYYEGLLSEAKRNKEHQISEAVDKAVNDKLQEMQLKLENLIVEKKKVADMNEKLTRSQDMWRQTLRDIEERERAQLKSKDETILDLEEQIKDFKFSIKLQKSIEKNDGVKGGTLVPLPTVSDSGGKGKRSSRSSKRRN >Sspon.06G0020180-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6B:8268583:8270285:1 gene:Sspon.06G0020180-1B transcript:Sspon.06G0020180-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATGLSLGKSVLDGALGYAKSAVAEEVALQFGIQQDQTFIRDELEMMMAFLMAAHEEPDEHKVFKTWVKQVRDVAYDAEDSLQDFAVRLGKPSWWRFWRIPLMLLESHHVANKMKELRAKVEDVSQRNVRYRLIKGPGSKAITTTGQSNMASETMSSIEEVRRKHENAKLDLIQLINMKDENLRVVSVWGTNGVLQETSIIKMAYDDLERKKKFEYHAWIRIMHPFNETEFVKNIIEQFYVNILDEATKTKQKLTPGAQDLWRIWMMNEDDLVDEFKKVLSEKSYLVVLCDLSFMEEWDQIKTCFPNNKNGSRFIVCTEHIKVANLCVGTEIALLEHKQLSVDQTLYAFYKLIGRENEKLEVMKKISDKHSQEFEVISIYGMGGLGKTTLVKHVYQSQELNVMFEKRACVTIKRPFNRSELLNSLATQLGDKEGNGDKLANLLQGKSYLIVLDDISSTAEWDAIVNYFPTAVTRSRIIVTTREENIAKHCSRKDINIYKLKLLEYKDAYDLFTEKV >Sspon.05G0006900-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:21581033:21584936:1 gene:Sspon.05G0006900-1P transcript:Sspon.05G0006900-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPQTEVQDNGETSVSEHQTDRKANKEANNRENTEIERLDKEKNKKKVSKKGDAEREKVRGIDGASLDSLLQKLPRCGSRDLIDRLTVEFCYLNSKVNRKKLVRALFSVPRTSLELLPYYSRLVATLSPFMKDLPSMLLSMLEEEFNFLINKKDQIKIETKIRNIRFIGELCKFKIAPPCLVFRCLKACLDDFTHHNIDVACNLLETCGCFLYRSRETTIRMSNMLEILRRLKNVKNLDAHHTTLVENAYYLCKPPERSSRVSKVRPPLHQYIRRLLFSDLDKTTVQHILLQLLKLPWAECEQYIVKCFLKVHKGKYSQVHLIALLTAGLSRYLCCGCGRREEIRVGLELNDYAMQQQRLAHMRFLGELYNYECIDHHKICWIPSKIFSGSGWSLHFYRLVVTIYQWLFKRKLDSFLLLFQRYALGKGPLPLDVEFDVQDMFAELRPNMTRHSSLEGLNNALVELEQNEHVAAARKGGDESHWDSESQSKQSENVVFDANDKMIANISNKNGRDHEEAPNGDNSTDSTSRYRNGHEDEEDFPREERLDDRLENEDRIEDIAVPVGSDEEETVEVRKKKVQVDPKDQEDFDRELKAILLESLESRKLEPARPTVNMKEPMSTFKGSKDLMTTEAADKENVCDELVKSGSGGASVVCFKVLVKKGHKQQTKQMLIPGDCPLVQSTKQQSAAELEEKQNIKRKILEYNEREEELNATSQGSGNGGQGGRTDETPADRVTWVGPSRGGFRQHYWVAGGFYRGYGRK >Sspon.04G0030030-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:75610238:75611285:1 gene:Sspon.04G0030030-1B transcript:Sspon.04G0030030-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AGWSIGDASHVTTSKFRHHRFWMGLVDRKDPEEQGGTIDCTRGVSREQRAINRRNKLESSL >Sspon.08G0026710-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8C:31461738:31468176:-1 gene:Sspon.08G0026710-1C transcript:Sspon.08G0026710-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGTHLGLSGGCRSAWSGRALACLPPQRCRDEALSSSMEEASGARSSLTFPHPLPIPICQGRPPPPPARRQAMAGHGGPPPPPSYFLSFPPLSGSTTWAQVAAASPADPAAAASSAAQSAAAAGRPPAVAGPSLRPALALTAAPGAAVGHQRLVVDFGPPLEVAGATVTAPVAAAGGETAAPEAPALPGDGVPFDPAAAATAAAAAAAAATGLPFSAVSQRAFFAAGGAAAEELDGLTTGVANPGAFHFPAPPPTTLFAPRPTSALAATLVSARAAAAAGQERVRAAALVWQRERDAADTLAHQIAEAEQLLAQDGGATSSGSTGHLTSTTTALRHDPADPLVAQLHYQAGGVQNIRLLVPVVLDPESPSYAHWRDLVVLTLRRYALDDHVLDDPTPGVQTPSWQRLDSIVLSWILGTISVDLHDFFLGNAEARALRLDASFRTFVQGDLAVGEFCRKMKAMADSLGDLGWPVEDRILVLNVLRGLSDRFAHLRTWITRQRPFPTFLQVRDDLVMEELTQGLQPGSTTTPGSSSSSTALATTPPRPSAPPHPSAPPSSSLLGPPSGPSGGGGVVAVVADAVGAVGEAVVTHPPVAMFTGAPPPGASTTPSWATPPGTPPGLAGWDQAALARSFSTMGLTPPVGPEWIADSGATYHTTPDPGILSSVHSPSLPSSIMVANGSCLPVISVGTAGAHGSFRLPDVLVALSMVHNLLSIRRFTADNSCSVEFDSSGLTVKDLATRRPLLRCDTAFATTSSTTWHRRLGHPGRDALMQLSHSSDIRCTRAHDEHLCHACQIGHHVHLPFSSSSSHAARIFDLVHCDLWTSPVLSISGYKYYLVVVDDFSHYSWTFPLRAKSETFPTLHHFFAWVSTQFGLTIKAVQCDNGREFDNSTSRTFFLSRGVQLRMSCPYTSSQNGKAERMIRTTNDTVRTLLLQASLPARFWAESLHTSTYLLNRLASAACPAPTPHHAIFGTPPRYDHLRVFGCACYPNTTATAPHKLAPRSTLCVFLGYSPDHKGYRCYDLTSRRVLISRHVVFDESVFPFSTTTTPSTSELDLSSLFPTDAVVEPPLPLFPAGPTAPCPSSPSTGDSSDPAPRSSMDGDPSGTAPVIPADGGPRLSPPAPPARFARPVLVYQRRARTAPAPSPPPVAPPSPEIPPEPSSSPSGTPEPSPRPPTARVENPVYHPPLLHRHPRHVHPMMTRHAARTLQPRALAAMPGDSQVSPVPSSIREALLDPHWRHAMEEEYAALLANRTWDLVPRPPGSNVVTGKWIWTHKRRADGTLERYKTRWVLRGFTQRLGVDYDETFSTVVKPATVRTVLSLALTRSWPVHQLDVKNAFLHGLLTETVYCSQPAGFVDSSCPDMVCRLKRSLYGLKQATRAWNHRFAAFLRSLGFVEAKSDTSLFIYHHGAETAYLLLYVDDIVLTASSESLLRRIITSLQQEFAMKDLGVLHHFLGVTVAPHPAGLLLHQRQYTLDILERAGMTDCNPCSTPVDTQGKMFEAEGNPVSDPTAYRSLAGALQYLTFTRPDITYAVQQLCLHMYDPREPHLIALKRLLRYLRGTMGYGLLLHRRSSSTEMVVYTDADWAGCPDTRRSTSGYAVFLGGNLVSWSSKRQQVASRSSAEAEYRAVANGLAEASWLRQLLAELHNPLLRRTLVYYDNVSAVYLSTNPVQHQRTKHVEIDLHFVHDRVAIGKVRVLHVPTTSQFADIFTKGLPSSTFTAFRFSLNITGG >Sspon.02G0003010-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:8668630:8671083:-1 gene:Sspon.02G0003010-2P transcript:Sspon.02G0003010-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFEYVPASPGSSRWAGESAARRRQRRLSSPSLRTYLTPAFDAVAGGEGGVSGYSSSSSSGGLELGFDASLLRYRRSCFAASADLDSRVLLYSPQSMPPPPPQMRAAYLAADDGVWAPGVSHYGSKHEAGRLTGAPVFQDSENRISFVSPPQTSSNLPTTVLGASTSVKLPAELKLPEGSVVATNAELPMPGPEATPSTLKSSADPEPAVEGDEITEALYGDSGRRRLPIFREICPE >Sspon.02G0018150-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:59476593:59481325:-1 gene:Sspon.02G0018150-2B transcript:Sspon.02G0018150-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MERSPADKEEVEAEELLRSEEEEDEEEGEEGWDDWCSDGEDAAGGLLCLFCSSRLDAESSLFEHCAAEHCFDFHRIVKELGLDFYSCIKLINFVRSKVAEKKCWSCGQAFSSNTELCSHLHAVENYQIEGKVPWEDDVYLKPFMEDDSLLHSLSITDDEDEDEDCGMSMERGQCSVGNGVLAEPRGNKLSTISERNGSDISAQFQQECTIGSTKGEGRESLAHEMNDSHLKVARASVNAKAIKTVDDNYFGSYSSFGIHREMLGDKVRTDAYRDAILGNPSLLSGATVLDVGCGTGILSLFAAKAGASRVVAVDGSAKMASVATQVAKNNGLLYDENVKAEQKQGSAQVICIVHTKAEELNQKIQVPQNGFDVLVSEWMGYCLLYESMLSSVLYARDHFLKPGGAILPDTATILGAGFGKGGTSLPFWENVYGFDMSCIGKEVTSTSARFPVVDVLVSQDIVTETAVLHSFDLASMKESEMDFTASIELRLSESSATVPGVTWCYGIVLWFDTGFTNRFCKEKPVVLSTSPFSTPTHWSQTIFTFEEPIAMVKEESTLGSSASAGTDECPATMLRSRISIVRASEHRSIDISVETTAISSDGRKRSWPVQIFNL >Sspon.02G0013430-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:38318533:38320031:-1 gene:Sspon.02G0013430-3C transcript:Sspon.02G0013430-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWDGEVGMNSVPDGVVQHILSMLSNARDVAACACVCRRWRDCVPYLPALFFARNAFDAAAAARGAADDVIGRMVASVARLRELVIYCPFSMARLPAWLAARSATLRVLELRMDAAADKVAEGGHLDCIGLAANLEELRLWGVSLTAAPAWGRMERLRVLEVVGAPLRDSAVRDAIAACTNLTDLSLLGCDCSGTVAIDLQLLQRCRLDILGAGNCSLLLTTPRLESIEIQGFTWITLRGGHSLRRLSIAKSTGRVHKVDTGNLPDLDHLSLRGVQWNWAAVISVLQCASEVKHLVMKIEFCGDLDALQPFPEVDLVDFFDSHPKLRKFEIHGAMFAALCQKNSLKNLNSRFLIPCLEEVLITKLNTLESLVKYSVKLRTMVIRISQMKNCHEAADEFFEEICKFKYMNYRK >Sspon.07G0011010-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:34515086:34519364:1 gene:Sspon.07G0011010-4D transcript:Sspon.07G0011010-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:alpha-mannosidase 3 [Source:Projected from Arabidopsis thaliana (AT1G30000) TAIR;Acc:AT1G30000] MSGTSGPLPYSMRDVGAGGAYNNAKFRHRSRLKMVLQSLSTNSSKYRCGKFTVGKFLSLLMVSGLLYLFLHKGSEDIVSSELHGKEVQNKNARRAPNIRTFWRKPPRLPPRLPPNEIYKNNSLLQQSPSEWTSRQKKVKEAFEYAWSGYQKYAMGYDELMPLSHRGTDGLGGLGATIVDSLDTAIIMGADDVVSEASKWIEDNLMKRISEKGQVNLFETTIRVLGGLLSAYHLSGGDHPGAGDSGVPVTYKKANPERLLEVSKDLADSPDGLSSTSEASTLQLEFSYLSKVSGDPKYDRAAMKVLEHMHTLPKVEGLVPIYINPSSGQFSGENIRLGSRGDSYYEYLLKVWVQQEEYRDSSLKYLFEMYTEAMRGVKHLLVRKTVPNGLVFVGELPSGRNGGFSPKMDHLVCFLPGTLALGATKGITKRKALESNLLTDEDKENLQLAEDLAKTCVEMYFVTSTGLAPEIAYFHIEGDTEGGPDGGNKSSKYINDIIIKPLDRHNLLRPETVESLFVLYRITEDPKYRDWGWQIFQAFEKYTKVDSGGYTSLDDVTSLPPSTRDKMETFFLGETLKYLYLLFDENNTLPLDKYVFNTEAHPLPVMRSTERDSHSV >Sspon.01G0062580-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1D:105858523:105858745:-1 gene:Sspon.01G0062580-1D transcript:Sspon.01G0062580-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding TTSANVRNAASIWTQLPHPRPTHPGGSIRPNEALLLHWMPAASHPAPSRPHVNHGGAPAAAPDSCGRPLSASH >Sspon.02G0039950-2P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2D:58221153:58223986:-1 gene:Sspon.02G0039950-2P transcript:Sspon.02G0039950-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKHKGRSFDPVCSDGQNLRRRLQEGRRRGYAPAAGPAEPSKVFTQILNVHQSTPPTVPAWTRAEATSTGTNSTATLPTQSRQGGRRSPASPERQSPRTVPGLTRSAAQQGSRPGTRHRQPQEPQYSDEQAGLRSWTSRTGQGGTPWPLGARGARALHPPHPAPVPGCRPRADVEGNSSPSRRGGCRGGQQPHRPRGKAQLAAAVADLLPETVARAEEPYCARSSSTRQIRGRRHRIHAQGARIRHSMAAGGRRWRQKLQARRRKRCEERRREKELLRRTIWTPQLAAAAAASRGAAAAAGGGSLGGGLRSTVEESPPCRPYGERRGGLSRSFGPSSTLPDSNIRKLSLRVSRLSSKWKPFSPNHRPKDAVIGEELHPVVLVPGLGCSDLEARLTEAYRPSAPRCGAMKGKGWFELWKNASDLVAHDYVDCFLEQMRLVYDPAINDYQNMPGVETRVPNFGSARGFRNKDPLHPKRCFDKVREALERLGYRDGDTLFGAPYDWRHAPPVPGQRSQVYSLYFQQLKALVEAASKKHHGRKAIIFAHSYGGMVALEFVRNAPLSWRRRYIKHLILVAPTLSVGFLQQATALATGPGQMIYVPAADGPLRTLWRSLETAIADLPSPEVFGHDEPIVVTKQRNYSAHDMEDFLAAIGFRDGVEPFRRRMVPKMQYFQAPMVPMTCINGVGNRTPRQLVFWEGDYDASPELVYGDGDGFVNLVSILAFDREMRGQPGQNKQFKSVKIDKAQHSGLVTDEWAVKQVMQEFLEANR >Sspon.04G0010850-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:35562284:35566411:-1 gene:Sspon.04G0010850-3D transcript:Sspon.04G0010850-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATRLSASIFSCAACNGVSFGYSGSTGPKYWGSLSPNFTLCSKGIQQSPIDIMKDEAVYIPQLEPLERDYTTTNATIVDNVFNIALRYNDTAETVKVGGIKYKLKQLHWHSPSEHTINGERFAMELHMVHVTEDGNVTVVAILYRHGKPDPFLFQIKEQLAQLYAEGCKAEKGDPLPVGGADRYYRYVGSLTAPPCTENVVWNILGEVREMTKEQAADLMAPLDGSYRHNSRPLQQLNGRTVQLYDKSLKIRKMM >Sspon.02G0036480-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:25102513:25107528:1 gene:Sspon.02G0036480-1B transcript:Sspon.02G0036480-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNGGDADKPPEESLKKTVEVDRLIDMLRNANPREKDYEELAENVMNIVDRLVHKTDQKIEQSTDVLKAIISPVMHEGDVQWPPRGPDTLKLMEKEITRREQEGQLDEGFLSEVSAQLRQAKQDGDKPGLQAMLQKWRLCNAEPESEWNKVLLDGLTVGKGDVSPDDLYAVINKRIERVLIRTEGGSYQQRILVEYLKEIQARAEEVVKVLQGPTI >Sspon.01G0060150-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1D:74447298:74447558:-1 gene:Sspon.01G0060150-1D transcript:Sspon.01G0060150-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLELTGRALESGHDEFKLLNTSTGRTAELTERGTPGIRFEYRKGPESIFVDRTSPIMTDRTRHRVRSTPRVLLSLWAPDRTRRSRE >Sspon.01G0010590-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:29498915:29500081:-1 gene:Sspon.01G0010590-1A transcript:Sspon.01G0010590-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPTVDDPSAAGAMVSSSFPDADAYGNGDSDDLDFPVDPNPNPVFSSSPAAAPAAAPASGSGAAERRPLFQRLWTDEDEIVILRAFAEFTAQRGTSFASHQYDTTPFYEDMRRRLNTGFTKNQLVEKIRRLKRKYRNCVERLRVAGAGFTFRSPHEQAIFEIARTIWRPASDKHGRDSDDEGGGGGGGNAHDAAFAMPPFGIDAAAPNGGESVKSPTSRPRRGRRRRTGDFPADAVAETLALPPAPMQMPVMTEDALPSYPQVTAAAVMDGGCGISVDPASGLPAALSAAAAATAVSGSSTAENPILAAMFKEMVRAMLSVGGGGTTALLGLEPPPPIAGVPMEGEKWRQQRIRELEVYLRRIDLLQDQARAALEELRSAPHAGGMNT >Sspon.08G0028580-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8D:13110207:13113278:1 gene:Sspon.08G0028580-1D transcript:Sspon.08G0028580-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RKDTTKPVHRDSRDSDLSVRPRPDLDPASTLDTTQQGRKDGMARRSGSFQHPVSYDITCPGMASHLYGTSLQSPQQGSTKHHFKAPRRFGLLSPYKKGEAGASRGKGRQERKADVKRTASHIQHSDQHLKQSSCTLSFLFLRPGLDTLSRKLVTPTQAPRCKEIQTSLTPAGRRAFFCPNQDKPPTPGVRTPTVGAPGRGSLRANVAFPPFSWMADPERPIPLATVIWFGSLEFMSLGYGYDMVLLPPRHPTDEDYELSQPGGAIRRYRRSRRARAARRRRARRNHHLHLVEGDGVPRSSTSQPGVGPDSLAEGLGRISLAEDATPTENPAAPPPGATPLPRASLPAWAATSPSPFPFGLVSAAQVYASSVSTCMSAYEELPGHHLRSTLDLVASTPASDYLDSTEAPETEPRVTASPRRDPRGDSQRLYIPPSYYYFGAPDSDSADDTYDPTRECFNIDVESTSDSEEEELAGGGRTPPHVEHLADRDEAQLLADQGMQLEQIRELQERLDEERENLRLLQETLERERAERAPGGRARERAATSTVASTRTGPSTPRFSPEPARTSSPPPCSSATCPSRRTLMPAGLEMRSENSSRPLLCSRPKALPRGDASPPRSGSQSPLGKREKPRFIPNLLDGFGWLPPLSPLLTTMDLETPAATSSSAARASTVTVWLEVTMCTGAGAMTARKIVALHPSLRAPAPPVSLGHRRAWLEDLPPQQIHDWDDLVRVFEGNFKGTYMRPGNSWDLRSCKQKPGESLRDYIRRFSRQRTELPNVTDSDVIMAFLSGTTCKELVRELGRHTPLTANILMDIVTNFAAGEEAVGAIFGGEQDTGKQKTDDPTSSARGSKRNNRRKKKGQQGKLEAPADELVAATERKKPRGPPAGASSTKCLKSHALTTRDPLTITLRIVICSAGTLRAQAVRTPTRRKIQRVMTRTRGSPRSTTAS >Sspon.01G0011010-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:30653266:30657326:-1 gene:Sspon.01G0011010-3C transcript:Sspon.01G0011010-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSYPVLNNRPIDQWRVTDLKDELRKRKLPVKGLKEELVRRLFDSIQSEREADEDVGVNVDDQLPDANASKENTVTVTEVHQETVVHVTQQVEVPTTEVGQESAISPTRGAPSVDLEEASTAKGEVPESFAGGNLTFEEVQVHTKSTNEPVHEKTTDVDTNEAVIVNDAIDVNSDLTPAEVKFCAMEASKIEEQDFPPAPVDALTSDAVGLMDTDVVTAATSDAGPMDTDAVTAEPVSDNGEKLVPKDDLGNKVSMYDEEHNNSDTMNEDCKPIISKPNNQVPEVSPDLGSQIKCESISSDNLSTNKKNNIEDNLNANNFDLELEVQPKMVEPSSGITSLGGDLQPLDDDKELVKNQTSLEDLDSTANVDSYKKDSPEAGSPEKLNLDRSSGDESMEEDVAEIKQVESNMKSDDLGGNNELNSEDVKEVILPDSVVEPSKEVIAEEKSAASAEKRKLEAEGVTNTEPIKRQRRWTADVAKVPERRALSQTGPETPKDIFQPAFKRSFGRSDSTASVDSPKERIVPPSEKPATASLRIDRFVRPFTLKAVQELLGKTGSVQDFWMDHIKTHCYVTFSSVDEAVATRDAVYNLQWPPNNGNKLVAEFVDPQEVKLKVDPPPPPAAPVSPAAAARAPPVQQTQGNQTVPRQAATPKEQLPPPPPLAKPPIADPAALARERLPPTPKKPEPPVVTLDDLFKKTQSSPRIYYLPLSEEEVAAKLTAQGKGKKE >Sspon.01G0005800-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:15159508:15159711:1 gene:Sspon.01G0005800-1A transcript:Sspon.01G0005800-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LSVYHFQERYTFTISKTRGLENIRTDDYQPVDPSPSSKATIRPGPIEHGTPLLPYVPQYPPPPPASC >Sspon.01G0047910-1P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:106996713:107001231:1 gene:Sspon.01G0047910-1P transcript:Sspon.01G0047910-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MWLAVRTSILRNGRWALFRISSSANWATAREAASLRIKWAEALGWRSGVFRPYTQLAAGWPSQSQVSHPSFFPSRKRALSSPAPAPLLRRGDVAPPKSSPLPAPIHPRPCCLLSTSPAPAPFSLEDYLVAACGLAPPQAREVSKKAFRDLSRGSNKEEISRSRLFSASNPDAIIALLAGAGLSRADIAAVVSADPLLLRAYVKKIAPRLLGLRDRVGLSTPQIVRFLLAGPHVRTRSGVVPKLEFFISFYGSFEQVLVVLGRNSRLLSASIERSVKPNIASLRHWGVRDIAQLCSKVPRLFNFNPERVKEFLLRVEQLGCLPLRGSLGAQCYPGEETFKSRFIDCHMDSVPGLADAYAAARAGVVPSRHPGPWEQPVLVEQVEEPGHGDLDDHHHGPDIEILHLHVYQEGMYLAILPTGFGHGNAAMAVRPPGERARRRRCLPARQMWLAVRTPILRKGRWALFIISSSANRATARRPYSLELAGRRRLAGLAYLFLPLAAASPVARAGAAPPPRRCCASEIACSLCSAPYHRCPPPSTPARAASSPPPPPPRPSPSRTTSSPPAASRPPQAREVSKKAFRDLSRESNKEEISRSRLLSASNPDAIITLLSGVGLSRADIAAVVSANPLLLRASVKNIAPRLLALRDRVGLSTPQIASFLLVDSHSLRRDVVPKLEFFISFYGSFEKVLVAVKRNMHLLSTSIEKLIKPNIALFRQRGVRDFAQLCSYNPWVLTFNPERLKEILLRAEQLGVPPTSRMFRHAVSVTAGVSKEKVAAKLEFFKRTLGCSESQVSTAVSKMPSILGLSDEILLLKIKFLVNEAAMEPWDIVERPVLLAYSLEKRLVPRHYVTKVLREKGLLNSNMSFSSFAVIGEKTFKSKFIDCHKDSVPGLADAYAAAHAGVVPSRI >Sspon.02G0038780-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:49337521:49338207:-1 gene:Sspon.02G0038780-1P transcript:Sspon.02G0038780-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GWRRRPRRCWRPGWGGSRGRRRWPRRCWRRCGRRWPWRWRRWPRCPACCAGCRSPCCASAPAGRRSSSRTSGPTTRPATRMARMTKTGAPTRRRRTPAPPATRTRRIWSGSGSTRAPRTASSPGAAPWSGPGRASRAASPAAPRVSEEASAAPPEERPPPLSGCGAPARPAATRGGPPRAAPMKPAAAATARPRRRRRTRSSSGGGASTRRSSSGGAGGVPFPSRTRAS >Sspon.02G0047270-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:13346130:13347380:1 gene:Sspon.02G0047270-1C transcript:Sspon.02G0047270-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKSELGVGAVAQKGKGSEGGAGPALPSVLEQASCPPLAAGAGASATTGLCAGAATGLGIAAGAGESVEGEGGACIRCRSSRRRSQSGARPLGPPSSAGTGPAPSATSHIWEDAPPLEPRMRAGPGEGRAARRRGPRCPPEPRRHAEAGEGRAARRRGSRCPPEPRRRTGVGEGRAACWRGPCHPQGRAARRPGLGAVARLLTRLQREQSRTGKKEKETNRYVCNQWQVGNF >Sspon.03G0037210-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3B:97212299:97214896:1 gene:Sspon.03G0037210-1B transcript:Sspon.03G0037210-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFQRRIVNRGQHFDLPKETTGGLPFMKPEDYQYFGTLLNEEEEEQLSPEEQKERKIMKLLLKVKNGTLPQRKTALRQLTDKAREFGAGPLFNKILPLLMQPTLEDQERHLLVKVIDRVLYKLDELVRPFVHKILVVIEPLLIDEDYYARVEGREIISNLSKAAGLATMIAAMRPDIDNIDEYVRNTTARAFSVVASALGIPALLPFLKTVCQSKKSWQARHTGIEIVQQISILMGCAVLPHLKSLVEIIEHGLSDENQKVRTITALSLAALAEVAAPYGIESFDTVLKPLWKGVRSHRGKVLAAFLKAIGFIIPLMDSVYASFYTKVVMQVLIREFQSPDEEMKKIVLKVVKQCVSTEGVEADYIRNDILPKFFEHFWVRRMALDRRNYKQLVETTVEMANKVGVADIVGRIVEDLKDESEPYRRMVMETIEKVVANLGASDIDARLEELLIDGILYAFQEQTSDDANVMLNGFGAVVNAIGQRVKPYLPQICGTIKWRLNNKSAKVRQQAADLISRIAIVMKQCQEEQLMGHLGVVLYEYLGEEYPEVLGSILGALKAIVNVIGMTKMTPPIKDLLPRLTPILKNRHEKVQENCIDLVGRIADRGAEFVPAREWMRICFELLEMLKAHKKGIRRATVNTFGYIAKAIGPQDVLATLLNNLKVQERQNRVCTTVAIAIVAETCSPFTVLPALMNEYRVPELNVQNGVLKSLSFLFEYIGEMGKDYIYAVTPLLEDALMDRDLVHRQTAASAVKHMALGVAGLGCEDALVHLLNYVWPNIFETSPHVINAVMEVIEGMRVALGPAMILNYCLQGLFHPARKVRQVYWKIYNSLYIGAQDALVAFYPALEDNGDNIFSRPELAVFV >Sspon.08G0013970-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:55775614:55777238:-1 gene:Sspon.08G0013970-3D transcript:Sspon.08G0013970-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTATEAAKAAPAEQANGEQKTRHSEVGHKSLLKSDDLYQYILDTSVYPREPESMKELREITAKHPCGRAGPSDLKPWRRPVVACRNLMTTSADEGQFLNMLIKLIGAKKTMEIGVYTGYSLLATALALPEDGTVGSSLSSQICHNTLKMKQLAILAMDINRENYELGLPCIEKAGVAHKIDFREGPALPVLDDLIADEKNHGSFDFVFVDADKDNYLNYHERLLKLVKLGGLIGYDNTLWNGSVVLPDDAPMRKYIRFYRDFVLVLNKALAADERVEICQLPVGDGVTLCRRVK >Sspon.03G0044830-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:4174861:4181521:-1 gene:Sspon.03G0044830-1D transcript:Sspon.03G0044830-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFRHSEDDAQEAATPVMMHHVNVAGIIPSLPLETRWPSFRLRHYAGFWVPEVKILQIGIPAIHSCFKPRPTDVFLASYPKSGTTWLKALAFATLKRSTHPPCDDNHPLRHCSPHDIVKFLEMEFNTRDEFEALPSPRVLATHLPYSLLPNCITGERSGCRIVHICREPKDALVSFWLFTRKMASAWGVDAQSFMIQEAFELFCQGRCPDGPQWQHVLQYWEESVTRPDRVLFLKYEEMLLEPESHVKKLARFMGCEFSEEEEEGGVVSAIVELCSLGKLKDMEVNRNGSTSMGVKNESFFRKGVAGDWSNHMPPEMAQRIDKDDAQEGATPVTMHHADVAEIIPSLPLEIRWPPFRLRHYAGFWVPEVTTLKIGVPNVHSRFEPRPTDVFLASYPKSGTTWLKALAFATLKRSTHPPCDDDHPLRHCGPHDCVKFLEIGMNTGNEFDTLPSPRVLATHLPYSLLPNSITGERLGCRIVYICREPKDALVSYWLFTRKAAPAWGVDAQSFTIQEAFELFCQGRCPDGPQWQHVLQYWEESVRIPDSRVLFLRYEEMLLEPESHVKKLARFMGCEFSKEEEEGGVVSAIVELCSLGKLRDMEVNRNGSTRMGIKNESFFRMGVAGDWCNHMTPEMAQRLDKIVDDALQGTGFSFASTA >Sspon.03G0033720-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3B:55723147:55723374:-1 gene:Sspon.03G0033720-1B transcript:Sspon.03G0033720-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAETGSMVKSGFARPPPLTWSSRGGAGHGENDVHGARRASARSSAAIGDGAGAGGGEGGENASSIPAGLRGWPG >Sspon.01G0034600-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:5472381:5472752:1 gene:Sspon.01G0034600-2D transcript:Sspon.01G0034600-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAERLVVPCMKKAMEHREEKPKVPSSDPEPDLVTLVAGQRPQLQREHQPPNISEMKPLTREAYGGGMYGTEEGGRRDPARPRASATQSADGPEEQRAPRRPSHPPPPSTGDRDLDITGQSYIQ >Sspon.04G0017920-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:70547907:70550251:1 gene:Sspon.04G0017920-2C transcript:Sspon.04G0017920-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:T-complex protein 1 subunit eta [Source:Projected from Arabidopsis thaliana (AT3G11830) UniProtKB/Swiss-Prot;Acc:Q9SF16] RDIFCAGRVTEEDLQRVAAATGGTVQTSVNNVIDEVLGSCEVFEEKQVGNERFNIFSGCPSGQTATIVLRGGADQFIEEAERSLHDAIMIVRRALKNSTVVPGGGAIDMEISKYLRQHARTIAGKSQFFVNSFAKALEVIPRQLCDNAGFDATDVLNKLRQKHASGEGANYGVDINTGGISDSFANFVWEPAVVKINAINAATEASCLILSVDETVKNPKSESAQGEAAAGAMAGRGGGAMRGRGGRGMRRR >Sspon.03G0002130-4P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:14715677:14720561:1 gene:Sspon.03G0002130-4P transcript:Sspon.03G0002130-4P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEDKEYAPVPLGQAAAEAAAPDPEDPVKSPPRPSSPGTSTRKACFAVLQSWVSRKFMTGWYASQGLIQTLCTGYNVSMLISSFVSLLFLCSVVLFPIAVTFFITWWFIQFVDGFFSPLYAKLGFDIFDNLFLEFVAFFMTIAYDNVSGLGFLTSLVFILLVGIFVSSWVGSTVFWVGEWFIKKMPFVRHIYSASKQVSTAVSPDQNTTAFKEVAIISHPRVGEYAFGFITSTMVLQTDKGDEELCSVYVPTNHLYIGDIFLVNSAEIIRPNLSIREGI >Sspon.01G0026190-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:91143302:91146740:1 gene:Sspon.01G0026190-1A transcript:Sspon.01G0026190-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GTVVVAGRARAIVIGVGSNTAMGSIRDAMLRTEDEATPLKKKLDEFGTFLAKVIAGICILVWVVNIGHFRDPSHGGFVRGAIHYFKVAVALAVAAIPEGLPAVVTTCLALGTKRMARLNAIVRSLPSVETLGCTTVICSDKTGTLTTNMMSVSKVCVVRSVHQRPMTDEYSISGTTFAPDGFIYDAGGLQLEFPPQSPCLLHLAMCSALCNESTLQYNPDKKSYEKIGESTEVALRVLVEKVGLPGFDSMPSALNMLTKHERASYCNRYWENQFRK >Sspon.04G0029550-3D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4D:78864360:78868060:-1 gene:Sspon.04G0029550-3D transcript:Sspon.04G0029550-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LPSLSPPTAAPGHHPLYPLSPHLHHHHQQRPPPSRRGDRFPPSTPATLSRLARASLHLSAPPSLPILTTRRAPPATRLPLPVSPFFLPQTRRSPPLSTTSLLLLLHPDYQEKEREGGRKRMSASRFIKCVTVGDGAVGKTCMLISYTSNTFPTDYVPTVFDNFSANVVVDGNTVNLGLWDTADLRDDKQFFVDHPGAVPITTAQVSFLFLPESQNVKGVFDAAIKVVLQPPKAKKKKKAQRGACSIL >Sspon.03G0000780-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:8199139:8203933:1 gene:Sspon.03G0000780-4D transcript:Sspon.03G0000780-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMEAAADAMLAAASRAFTSTFAIAIQIQGCCICLVLALGWAAASIVRKRVIKNMRRNIVDGNSFAFLCDNIDELEHSVQENLPRVSVIMPLKGFGEHNLQNWRTQSKDDPAYHAVSRLIAEYKVGGLPHNDNLEAKVVVAGLSTTCSQKIHNQLIGVEKMHKDTKYVLFLDDDVRLHPGTIGALTKEMEKNPEIFIQTGYPLDLPSGSLGSYCIYEYHMPCSMGFATGGKTFFLWGGCMMMHADDFRQDRYGVVSGLRDGGYSDDMTLAAIAGQHKRLISSPPVAVFPHPLASDLSFSRYWNYLRKQTFVLESYVSNVNWMMNRALFTSHCYLSWGFVWPYIMALVHVLAALRAPYSKVVKEASDSSWGLFLVSLLFICTLIELVSMWNLTKVEIQLCNMLSPEGPKVSLDSYNWGLVFIAVLVDNFLYPISAFRSHFSQSINWSGIRYYLRDGKISKIERENSSKYTDLGGKHLYGKRTYPSNKSLLGYLSRTLVQWHQPKKYD >Sspon.07G0005780-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:14932943:14934960:1 gene:Sspon.07G0005780-1A transcript:Sspon.07G0005780-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LSLVGSVWLQTINGPNTDFPVYSSQLKEIKGISQVRLNFLAFASDAGKLFGWFAGVAALHLPLWVVALTGATFGLVGYGVQFLFLDRAGLAYWHLFVLTSLAGNGICWINTVCYLLCIRNFPSHSRVAVSLATSYLGLSAKFYTTMAETIPRAARARYSTTEVYLLLNAVVPMVVTLVAAPSLRVVDVKDKDKDRSKRTAEAPFLAMFVITLATGACAIVGSLGAKSIGLSSREHMVSLYVMLALPLLIPVWLRVWESTAKIRKTMWPENRVHDHDSDGPETTAVSASVSVVEIEAEEVEQSRSSQEEVGGLRLLRQLDFWLFFFSYMFSGSLGLVFLNNLGQIADSRGLADASTLVSLSSSFGFFGRLLPAFLDYYTAKSGYSLSRTASMAWLMAPMPGAFLLLLHPKDMFLYASTAVVGTCTGAITSVAASTTNELFGTKHFGVNHNVVVVNIPLASLCFGYLAAFVYQRGAHGGNRCLGAACYRDSFLLWGATCALGTALCTVLYARSRRSAAGRLPR >Sspon.01G0011010-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:37125180:37130172:-1 gene:Sspon.01G0011010-2B transcript:Sspon.01G0011010-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSYPVLNNRPIDQWRVTDLKDELRKRKLPVKGLKEELVRRLFDSIQSEREADEDVGVNVDDQLPDANASKENTVTVTEVHQETVVHVTQQVEVPTTEVGQESAISPTRGAPSVDLEEASTAKGEVPESFAGGNLTFEEVQVHTKSTNEPVHEKTTDVDTNEAVIVNDAIDVNSDLTPAEVKFCAMEASKIEEQDFPPAPVDALTSDAVGLMDTDVVTAATSDAGPMDTDAVTAEPVSDDGEKLVPKDDLGNKVSMYDEEHNNSDTMNEDCKPIISKPNNQVPEVSPDLGSQIKCESISSDNLSTNKKNNIEDNLNANNFDLELEVQPKMVEPSSGITSLGGDLQPLDDDKELVKNQTSLEDLDSTANVDSYKKDSPEAGSPEKLNLDRSSGDESMEEDVAEIKQVESNMKSDDLGGNNELNSEDVKEVILPDSVVEPSKEVIAEEKSAASAEKRKLEAEGVTNTEPIKRQRRWTADVAKVPERRALSQTGPETPKDIFQPAFKRSFGRSDSTASVDSPKERIVPPSEKPATTSLRIDRFVRPFTLKAVQELLGKTGSVQDFWMDHIKTHCYVTFSSVDEAVATRDAVYNLQWPPNNGNKLVAEFVDPQEVKLKVDPPPPPAAPVSPAAAARAPPVQQTQGNQSVPHQAATPKEQLPPPPPLAKPPIADPAALARERLPPTPKKPEPPVVTLDDLFKKTQSSPRIYYLPLSEEEVAAKLTAQGKGKKE >Sspon.05G0033550-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5C:34839520:34849195:1 gene:Sspon.05G0033550-1C transcript:Sspon.05G0033550-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDENVHVYKARLVAKGFRQIQGIDYDETFSPVVMLKSIQIILAIAAYFNYEIWQMDVKIAFLNGNLEEDVYMIHPEGFVDPNNAGKICKLKKSIYGLKQASRSWNICFDEAVKGFGFRQNDEEPCIYKKKNGSAVVFLILYVDDILLIGNDIPTLQSVKTSLNNTFSMKDLGEATYILGIKIYRDRSKRIIGLSQDTYIDKVLKRFNMEQSKKGFLPMSHGMRLSEKQCPSTAEERERMSKVPYALAIGSVMYAMICTRPDVSYALSVVSRFCVHNKWWCCKLESSKQETVTDPTAETENIAASGAAKEAVWMRRFLIELGVFPDASSPLNLYCDNNGAIAQAKEPRNHQKNKYVLWKFHLIREFIRQDEIKMCKIRTDSNVADPLTNVLPQPKHEAHRHLYKKGRGRGQVTHAIGQPPSPPRSRLLLLADLAVRRRNTSSLYVWDTLEVLHLEHKDEPHKRDDPRAEDLEEALGLSVLLLRLVLAVTNNVLGLKSQLTGKDRLVEPLSSKTRDNENLDRRKYHSRAPLDKVQEWRNDGAETSASNGEMFAFREELSAICLLPARVVPVPAHRVWAWGEAQEKEEEAMASSGIGSARYVGYPWPVMALVYMNLAYHELFAVLRALGWPWPTDDKPSAGTVSIVGDWPEVEYVPFEQGSGTLRKQLKKLALLDVPSDEDMLPEAIVLDSASEIMAPGVCPNESEDLSILEKIWVADTFNDFMASFDTGAPPLKDAREDASPVDDVHLVPLEFQTERARGGMSVQAFDAEGKSLFDDVEEQCRLVSSFGELDGMEKHADSLSATLRALADAVKTKSISVGDSLSATFEESFSQLGDEAKARIAELEREVAALKHHQDNTQAATKAIVGLSREEAAFHTSGSAYWRERPKRALAQEETEKLWKQIQAESAKVRRLKDELAECKSEYTNLEVVVAEAERLHAGTLLAMEAKYMSPVSSLEDELRSVVAAGHAACQAAYAQSGEPS >Sspon.07G0031640-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7C:25361816:25363312:1 gene:Sspon.07G0031640-1C transcript:Sspon.07G0031640-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQHDTPYLVCHCRRNTSGSLPRGMPMVVDQSVEVHVRELNGDTEWQEGKEGVFTSEAGESAFAFPVAIHESSDKLGQLLTVLGFGDKAVLGATHTLASAQGAGGEAMEDKDQGIFYEDIYFVPLVGGLLLHLD >Sspon.02G0005610-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:17788160:17801239:1 gene:Sspon.02G0005610-1A transcript:Sspon.02G0005610-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAAAAVTRRVNAIASLHVEAANGADEESRRSSSGADDSPVAKRINDAAAKDNDVWVAAQEGDMPAGNSSQPLLFRTMKVKGSILHPYRFMILLRLIAIIAFFIWRIRNRNRDGVWLWATSMVGDVWFGFSWVLNQLPKLNPIKRVPDLAAIRDQHESSTSGESNSNLPGIDVFLTTVDPVDEPILYTVNSILSILATDYPVEKYACYLSDDGGTLVHYEAMLEVASFAKLWAPFCRKHGVEPRAPESYFGVKRQPYTGSMQEEFTSDHRRVRREYEEFKVRIDSLFSTIYQRSEAYNRKHAKDEDGVLKATWMADGTQWPGTWIEQAENHRKGQHAGIVKVVINHPSQKPQLGSPASADSPVDFSNTDTRLPMLVYLSREKHPGYNHQKKAGAMNAMLRVSTMLSNAPFIINFDCDHYINNSQAFRASMCFMLDPRDGENTAFVQFPQRFDDVDPTDRYANHNRVFFDGTMLSLNGLQGPSYLGTGTMFRRAALYGMEPPRWRAHERKVIDDGKGKQYGRSTLFINAIPDGAANQKVFVDGEETVDSELASLMTCAYEDGTRWGRDAGWVYNIATEDVVTGFRMHRQGWRSIYCSVEPAAFRGTAPINLTERLLQVLRWSGGSLEMFFSHSNALLAGARMHPLQRVAYLNMSTYPIVTIFILAYNLFPLMWLVSEQYYIQRPFGAYILYLVAIIAMIHVIGMFEVRWAGITLLDWCRNEQFYMIGATGVYPTAVLYMALKLVTGKGISFRLTSKQTEACSSDKFADLYVVRWVPLLVPTVAVLVVNVAAVGVAVGKAATWGLLTEQAQHAVLGMAFNVWILVLLYPFALGIMGQWGKKPAMLFVILVVAIGTVAVVYISFRATYPLGWSDMAASSLGKAESVVAAGSSGIDGVVGGTTTVPSSVAANGNGKQHGTRERKRKKKPPAVSPMDKYWTPIDDKEAAEAVEDGGEDGRRPLLFRTYRVKGILLHPYRLLTLLRLIAIILFFIWRIRHPYADGMWLWWISIVGDFWFGVTWLLNQVAKLNPIKRVPDLSLLRQQFDLPDGNSNLPRLDVFINTVDPINEPMIYTMNSILSILAVDYPIDRTATYLSDDGGSIIHYEGLLETANFATLWVPFCRKHSIEPRAPESYFAVKSRPYTGNVPDEFVDDHRRMSKEYDEFKVRLDALFTKIPERSDAYNAEAKEGVKATWMADGTQWPGTWFDPTENHKKGQHAGIVKVMLNHPGDEPRFGGPASAETPLDFSAVDVRLPMLVYISREKNPSYDHQKKAGAMNVQLRISALLTNAPFIINFDGDHYVNNSQAFRAAMCFMLDRRDGDNTAFVQFPQRFDDVDPTDRYCNHNRVFFDATLLGLNGIQGPSYVGTGCMFRRIAVYGIDPPRWRTDAFKLVDNPNKFGNSMPFINSIPSAANQEWSMTSPPAHEESVMEELNNVMKCAYEDEIGWVYNIATEDVVTGFRVHRTGWRSMYCRIEPDAFRGTAPINLTERLYQILRWSGGSLEMFFSHCPLLAGRRLNFMQRIAYTNMTAYPISSVFLVFYLLFPVIWIFRGEFYIQKPFPTYVLYLVIIIAMTELIGMVEIKWAGLTLLDWIRNEQFYIIGATAVYPLATLHIVLKLVLRGNGVSFKLTAKQATSTVNEKYAELYVVQWAPLLIPTIVVIAVNVGAIGAAIGKAIVGGWSLLQMADASLGLVFNAWILVLIYPFALGIMGRWSKRPYILFILFMIGFVVVAAVVVAIHAARTGSVRFHFRHSGGASFPTSWGF >Sspon.06G0014080-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:60249921:60253298:1 gene:Sspon.06G0014080-2B transcript:Sspon.06G0014080-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LDSVIVAPVLYSRDQPQSLDPWVWRRSTTARSSAAPSSPPPRQVGSASFAAAVVNADAAFKSSAPDPISSGPFHPLTHLWDLVSAAAADQHQQQYQFLGRSSSSVLRGGGGGWEGPEVSADEVRAAASFSAAAGFYTPKAPPPHGDHAYAYPPSIHSAVLSPSPSHAPSSPHRNAVEGLAIVPQGPYPYGGSYQPSETVGRDVLDEVEIRQLLIDHIGHRCCWGSHPARTWKITSIEDCNVYVGTLETFIEERHIITKKEPSESGNIDGREKGPLLGLWELDLRSKFPALFVPEEEVMVKIPHSDIIEKCSVVFF >Sspon.07G0033680-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7C:62691932:62692336:-1 gene:Sspon.07G0033680-1C transcript:Sspon.07G0033680-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MYVEIDLKIKGRHGQDRELSKGVLFIKGTVHRSLKECKLETNSLSTRLSTVDVTYAVALIAVEATIAVEVLEGHFDGKITAHTSSIRKSLVLYDSKVSGDSRRPCLGPRSAVAKPRLRGHGTGNPCCCGSISWP >Sspon.07G0009080-4D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7D:21947002:21951179:1 gene:Sspon.07G0009080-4D transcript:Sspon.07G0009080-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPPTTPRLLLSPTSKDLLTAASFASPPSPTSDDPASPLDAFASDPVLSAFLSPSFSPSDFSSAALSSGLAASRAEQLQDAIRLLRRHLRVEVLRRHPLLLSHLLSLRSASASLSSLPSHLNLLSSHLSVLSSHLSAPRSHLAHSSASLSSLLATADLLLHSHRLAELYREIRLLYEEKNLSGINAVDEEMRKVDAAASKLRSEASAVIDRGVSESNQNDVWCGLQVYYNLGELKTAVEGLVGKHKAAGAKSVAVALDMKAISMTAGVGGGPGGVQRSGTPQIGGSKKAAEALWDRMRQCMEELHRAVTAAWQLQTVLTKKRVPFTQMLFLEEVWQEGEPLITERVWDAIVKAFASQMKSTFTASSFVKEIFTLGYPRLFSMVENLLERISRDTDVKGTLPALTPEGKIHMISAIEIFQTAFLALCHSRLSDYVNSIFPMSNRGTIPSKDQISRLVSRIQEEIEVVRTHGHLLVLVLREIGKTLLLLAQRAEYQISTGPEARQVTGTATAAQLKNFALCLHLQEVHMRISSVLSTLPNVASEVLSPSLGVVYGVACDSVTSLFQAMLDRLESCILKMHEQDFSGHGMDAAMDNNASAYMEELQKCAVHFRSEFLSKLLPSSSSRSETICTIMVRRMASRVLIFFIRHASLVRPLSEAGKLRMARDMAELELAVGQNLFPVEQLGAPYRALRAFRPVLFLETSQLEKSPLLQDLPPSVILHHLYSRGPDELQSPLQRNKLTPLQYSLWLDSQGEDQIWKGVKATLDDYETRVRSRGEKEFSPVYPLMLQIGSALSQATT >Sspon.03G0008350-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3A:22535079:22535330:1 gene:Sspon.03G0008350-1A transcript:Sspon.03G0008350-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVKRSASVAALPPACRRARARFCVRLAAPLSFLLLFVALFRAQPLLGVPPAAPLPSAGPAKVAFLFLVRAGVPLDFLWDAFFR >Sspon.05G0000310-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:1826973:1828171:-1 gene:Sspon.05G0000310-1A transcript:Sspon.05G0000310-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHDLGAEGWGAASQGQQIHGTPGVVQMGHAHPVVLILTCGSCPCGERRGFIRKERAGATAGAGRGTIIGVGVRRSGGRGISTGVHDGGGVDVRTRPGAEDEEAEAEAALLRVLRERRQCRLRGQERRSGHGAYRSSEVHSQQLQQACTFSRRPLHSSHISHAQLACITATPTRSAGLASLAPPRKVRTCIMKAVAAMLLLLGLVVAAVNADHDGHHHHGGGHSWRDGANDDGGHRRSPMAGLTQCVTICGSRVTSCMLDCYKPLIDLDPVELPVCLLKCTNDAMICASGCPVTGNV >Sspon.05G0008500-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:25550364:25553904:-1 gene:Sspon.05G0008500-1A transcript:Sspon.05G0008500-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMDMRRHSVSVDVPLSRTLVQLKRVRSLRDPATNSMSKYASPSDHMIWETASSNGVTLELGRSAHHHLVEEDEDVGAEPTMGSERSFRGPNARTASYRKSSTVRIRGLNPPRNKQVHRVRQDGHRKSLDSNHSNHSSIRQLANNMVNNVDADKEEEEVNSYERPKFAMPDKADEEVKMPDYSKFRSKSSAAMSRVGSPCMSASEARSVGSRRSTLGHGTEDTRLRSNDVVGSNFSGCGISYCWSGASKYRELYSDSDGPDQPLLSTDGTEAAFQGNVPYTETPRCLSQKFRPRSFSELIGLNVVAQSLLYSSCKGKVAPMYLFHGPRGTGKTSTARIFAAALNCVSLEEQRPCGFCKECVILFSGKSRDVKELDAAKMDRLGRVKALLKSASLVPYSSRFKVFIIDECHLLQEDAWSAILKSLDEPYRHTVYIMITSDIESLPRTSITHCQKFHFPKIKVADIVYRLEKICIEEGLEFDHDGLYFIAAKSNGSLRDSEIMLDQLSLLGKKITISLVHELVGSVSDDELIELLDLALSSDTTNTVRRARELMGSAIDPLQLVSQLANLIMDILSGRCQSALTEVSKISEVGIKKLRHALKILSETEKQLRTSRNQATWVTVALLQFSTNEPNLAAEPNDMHAHSVTGYTDDWVSKVHSSANFCQACNSSKSNCSERHCRRLKLENIWRRAIGKCRSRSAKSFLRKEGFLSSVHVTEGKNKHAISIEFLISHIWIVLKMIHYMYKFSELAIAEVGFGHPDHLSRAEKMQSLIECSLQHVLGCNVEIRFKLVPCPVRKDARLKRQSFSFLNCSGRKQELSDSVVTDEDEAVRPGARETPLKGYTSSQQESPYTMQRADSKPTVHGCEDDARSTLTSNRSMTDDLTRTCRSETNYSKSVSEQGHFNSIQEPDLQPNCFSRTLKLQKKLLSSGAAHTICLRIQPHNKMDFLPKKEFDTYFCAYEPYEQCSRSNSRATYSSRDDDLWSKNSRFGSNLLCWRAPKQS >Sspon.05G0009610-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:27710590:27712324:-1 gene:Sspon.05G0009610-1A transcript:Sspon.05G0009610-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGPGAHLLYVLTGGAALSRLTGPDRRFGPHHCAVYAANAFLGPDLGSFAEWLCSFLPSASAAGDLAMAAVHHPFYYPLLLGLPLAWAYAWLSRRLLRAGVLDSPSGVPLRKRQCFLLISAGSLSHFFLDHLFEENGHSTMYTWILSTGWWKGRAPINPDAVLVVGLLCTCLMGGFVYINSLFSCAF >Sspon.01G0029870-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:103874093:103880913:1 gene:Sspon.01G0029870-1A transcript:Sspon.01G0029870-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQVSSGAPPITDDVPWSQSQSQESPTAPRWGTHKAGPPVIGSRGGEGAAAEELFNPPSPSPLAITHPLLVQRDVAGSSPAFGGGVERSSIPTRNSIVFVAFGGVPGRASRTRNLFGYLAAEMDEKAKMESKLSSAAAFVEGGVQDACDDACSICLDAFCDSNPSTMTNCRHDYHLQCILECQELLEAVEQERNIRANRSHNTAVFHHPMLGDFEIPVGADDAELEERIIQHLAAAAAVRRSHRHHRRDGHQSRSGASSHPQFLVLSADERTTSGEEGDYEQAPAVVSGRPLRTLVEQERTRGLVDASSPSLRFSTPADVTGRSYNRISEIQSTPVDQDGAGPSDLLSISDNLRSRLQSASMRYKDSITKSASGWRERWFSRSNSLSDLGSEVRREVNAGIAAVSRMMERHDARDGTGPSATSASGSVRLRTCSQLVHGNSRLLWLFPEGMVAPSLANGIEEHKPARQHPGPQDLEE >Sspon.05G0012250-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:41989400:41994663:1 gene:Sspon.05G0012250-3C transcript:Sspon.05G0012250-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Golgi SNAP receptor complex member 1-1 [Source:Projected from Arabidopsis thaliana (AT1G15880) UniProtKB/Swiss-Prot;Acc:Q9LMP7] MEASSWDALRKQARRLEAQLDDQMIAYRKLVSMKSDGSENDIESDIERSLKQLQQEFYRLRSSLRAKQQHASLLDLRDFDRAKFDVEDPSDSADQALLREQAAIGRSTGQMDNVISQAQATLGSLMTQRSTFGGITTKINNISSRLPTINHVLSSIRRKKSMDTIILSLVASVCAFLIFIYWLSK >Sspon.08G0024710-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8C:1260224:1263503:-1 gene:Sspon.08G0024710-1C transcript:Sspon.08G0024710-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRALQKAIAVELELPLQVMALFDQHDEEDDFDGVEQSARGVIPYVKVVIMNELMARRFLVVFHNGSGSYIDLWEAGVPVIGQLSRRVLWTSRGRFWHHTKDGHALEELDWDNVESAKWSDATIFVVPSPVFEGDEVAGMQVIRHALYAEAEEVAKYTGVPEPDMSPKIVIECILYRALKHNLSINWESHGSNYSVCDGIIQEGTDGGRSAWEISDALQRNMSLDFCSDCIEVICEVLSSEQERCIKRWVSVTNQNPTEVQLTSEATSFFCTTSGPSVDHNITRRVLEAGMFEHSDGTTNLRVIHLSHCTFSFSSPPFASCSNLRFLLLDHCKNNDAQELGEEEECHHHNNCSQQDGRACFRNLWVLELSYTDWYRLLSKDMLDLMVDLRELNVKGAGNQSMMHLHHCSGAGRNSRRLIKLRVVADDSNKAAPDNDDDDGTRGDRNRQVSPPVVVSSFFPDLSSWHILKTVILDGLKFGVEFTWISSVTASNKIKSISFRGCAKLKSLLLRGFFGSLVELDMSGTSIKTLDLSSTQAMRLRRLFLLGCEELRAILWTQVEDKNKKVQLEVLHIDTTTTHAAWYREEGKSNRQKEATASDNVSIEGSPLAAVCGKDQTTINSDWYISIMDPRFFRSLVNLRHSEGLQVEISSTGGHKDTVNEGIYSNACGQQMPAGNLYYGDDIISTTFNSNSQADGADRDRFEVEASTMKSMWLWHCPPIPTNFDCAHCYISIQDETQTESLQGTRLTSATTLPDFVHVNTMTLHLHDNLSITCLPGPARATTNAVVDLRWDNLRWCRLERCPNLEGVVFTTNQYTGDIFSYLGTFWASQLPKARYIWGWSTTSPFRPGPPSFEDLVFLHLDYCTRLVHVLPLFTSNTNGCCSLETLEIVCCGDLREVFPSDSKSQQQEEPREFPSLKRIHLYELPKLQRICGLRMLAPNLETVKVRGCWGLKLLPAIGRRRSTPPVVDCEKDWWDGLEWDGESAGHHPSHYKPSYSSAYYKKKQLRASVL >Sspon.07G0010970-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7B:42408032:42410162:-1 gene:Sspon.07G0010970-2B transcript:Sspon.07G0010970-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPMLGLLLLLCVLCHGAAQRYNAIWSFGDSISDTGNLCVGGCPSWLTMGQPPYGETFFHRPTGRCSDGRVIVDFLGMERTGCDPRHPPTLCGLWDWTDWYRDFKKGANMAIIGATTMNFDFFNSIGLRDKIWNNGPLDTQIQWFHQLLPSVCGNDCKNYLSKSLFVVGEFGGNDYNVALFSRRSMAEVRGYVPRVVTKLIRGLETIIRSGAVDVVVPGVLPIGCFPTYLTLYGTSNAADYDHDGCLKSYNDLSYYHNELLKRSLASLRRTYPHARIMYADFYTQVTHMIRAPHNFGLKYGLKVCCGAGGQGKYNYNNKARCGMSGASACADPGNYLIWDGIHLTEAAYRSIADGWLKGPYCNPPIQH >Sspon.08G0020070-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8C:19546813:19548382:1 gene:Sspon.08G0020070-2C transcript:Sspon.08G0020070-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVAPEAAAGVAPSATLSVYKAARRIKRQQVSTLYSALRRVAEDAAFVFVADVAALCIWCSVLNRAIERHRLRGRVSTKASKSKNTLQENSALPTASTLCHLPKTGQSANMLFADRPNEQSAKESLPTAIISSVVGHSQQSAKTSFADCLAGGKEKQVAKVAGARWRPSSSPLPPAL >Sspon.04G0001030-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:4471043:4472294:1 gene:Sspon.04G0001030-2C transcript:Sspon.04G0001030-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSQEPVASSSVEYSDHWEAGTHESCPAALKGVLAVRRVETRTQRTHPPSRPDSQPKSARQQTTGPRSMAAEEALRREYVIGEEIGRGRFGTVCRCYAAATGEPFALKTTPKAALRQLEADPLDLALAEQEPKVHLLASDASRHVVALHAAFEDADAVHLVLDLCPGGDLFALVSARGPLPEPEAADLVAQLADALAGCHRRGVAHRDVKPDNLLFDASGALRLGDFGSADWFGDGRPLTGLVGTPYYVAPEVVAGREYTEKVDVWSAGVVLYMMLSGTVPFSGATAGDIFQAVLRGNLRFPPRAFAAVSPEAKDLMRRMLCKDVWRRLSAEQPSFLPTPLLPHTLNSRSRRLNRLLILPWCFDLPAGHPWIVTRGGSVAIVAFIQEK >Sspon.08G0003250-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:5533322:5534224:1 gene:Sspon.08G0003250-1P transcript:Sspon.08G0003250-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNWPFPYAVGATTAATAATPAPSEPDRPSEPSSPTFRAAGGAGANKETDSGSSSSAADDFSFSASGQRSPSTSTSALCFGAGGAGMRLWSSSKALARESKIHDAQQAAASVAPKGYAVGRHLRRISRRLRKARAAYKEAPAAPRRGAVDDTRERAEAVASAIAHCKETLRRGTPRRRRLPPPLSSLSLDLRLRDRQDEIIASAAAHCDGLCSDSRPPPPAAVFPTALARQAPCMRLPHIQAGGRESAAAAAAGTPSSSQISGPVTSMEVETRGSFSELEFLETFDGDEELIDRHFITVQI >Sspon.02G0041060-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:73842351:73843412:1 gene:Sspon.02G0041060-1B transcript:Sspon.02G0041060-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSRYIIGSGGFGTVYKAQLQGGRLVAVKKLHPTEEGIIDEKRFLSEIEVLTKIRHRSIVKLYGFCSHPMYKFLVYDYIERGNLHITLETEDLAKELDWQKRVAIARDVAQAIYYLHHECNPPIIHRDITSNNILLDAAYKAYVSDFGIARMLKPDSSNWSELAGTYGYIAPELSYTLVVTTKCDVYSFGVVALEIVMGRYPRELQSIASTEQHHELAIEDMLDQRLSSPTMVEKKEISLLVEVALACLETSPQFRPEMQDIYQKLALHKPPSVSPSHAHTPEEIIEG >Sspon.08G0008300-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8A:30480604:30481590:-1 gene:Sspon.08G0008300-1A transcript:Sspon.08G0008300-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPTRRGALRQLQWWQPRHLAAGLGRIILLPAPAAAVTEGRDDGRRCVPTAPIGPAVDDFCLQQAFFAAPQPRNEAPPQRRRPSHELPTAITASADRHDRRESMYQPYAHPKLYFQLFPREINTSIGGRLQLLDGHGHRLISRACIRRKKKSQVSNKEVKRVPVGASPDPWAWRKYGQKPIKGSPYPRGYYRCSTDKDCRARKQVESCRTDPSTLIVSYTGEHSHPVPLHRNALAGTTRNKPQPAPSTSPSEQPPVASPIDTTATTLLCPSVGVEYEEDNTVAARLLLEDAEMEGEEDVLLFLKPAPSPTNGSGSKDVMLFPEPHRPAP >Sspon.08G0022940-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:52822556:52822840:1 gene:Sspon.08G0022940-2C transcript:Sspon.08G0022940-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRKLAWLFMVVVFVGSSAAPLCSAQEDGRSVWAAGGGVVTTAGNDDGRAYGYHGGKIWNRRSLGARPKLPPAPVSNKKKAAAMPAPPSPPRM >Sspon.08G0005220-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8B:12557589:12559243:-1 gene:Sspon.08G0005220-2B transcript:Sspon.08G0005220-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLPVHLLLLLSLLAVVSFLWLSRGALCRPGSGERLPPSPWALPVIGHLHHLAGALPHRAMRDLAARHGPVMLLRLGGLPVVVASSADAAREVMKARDIEFATRPVTRMVRLAIPEGAEGIVFAPYGDGWRQTRKICTVELLSARRVQSFRPIREEEVGRLLHAVASAAAPRPRAVNLSELLAVYAAESSVRAIIGSRIKDRDTFLALLERGLKLFANMSLPDLYPSSRLAMLVSRMPGRMKQHRQEANAFMDAMVQEHGESRAADDGDKEDLLDVLLRIQREGDQQFPLTTDNIKTVIGDMFAGGSETGATTLQWIMAELMRNPGVMKKAQDEVRQALAVAGRQRVTEDDLSNLHYMHLVIKEGLRLHPPLPLLLPRECRSSCQVLGFDVPAGTTVFVNTWAIARDPSYWDKPEEFVPERFEDSSVDFKGTDFEYVPFGAGRRMCPGMAFGLVSMELALASLLYYFDWELPPGMTATDIDMTEEMGVTARRLHDLLLVPFVRVPVPMT >Sspon.08G0007580-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:25102613:25105581:-1 gene:Sspon.08G0007580-1A transcript:Sspon.08G0007580-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKRRKATGHAEEGGGALGAAHWRWRFWLIGKRHAAELWGRLVGRQCVGEGPGG >Sspon.03G0018590-1P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3A:58207188:58217884:1 gene:Sspon.03G0018590-1P transcript:Sspon.03G0018590-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MESQRVVVVVEDAAAARSALQWAVGNFIRSSDSITLLHVCPPARSRRKRRRLRLGGFQLALAFKDLCNGIAEDSRSSGLGAEHRKQLIGRRDVAVAPSIAVVAVPRQRRRKRRPLLLFSVVGLVVDSSRLTTQRIDRMLQAKVEIVVTEGELGETVVATVNQLGATTLVVGLHDKSFLYRAPSQYSRVRSLGCRVLAVRQHATARGGFLNAELTQIETVNLHIPPPKIPFPMFTLPLGVIWRRSKRRKETIMLRTGRPSIRMMFSGRAWPNMLASLRLSISQTAKCLSLPCPPIVCGCVCARCSAAAVFLAVHPISRRAVPSSSTALPSHAAPPLHRLRRAPSPAAPAPTRYAPRPTATCRVLSHTRGGTAGVPPRLSPFPTRRESMDAGSDGGTVWVLGNDGREIWSRLLSAMASLAVPPQQTSTLLDRWLHGRASLPQPLRRSFDSLVYVARSGGHKITKKWVSDRTLVWPDQRVRSVHLCIEEERATGASGPSRNRSVRTYTGGREEAQCNDIAISALHEALDDKTFEQVKNIEVAHDAWAKLEETFEGTEGTKTAKAYILQEKFSSFKMQDDESVPEMFHRLQVIVNELKALGEEVKDNQFSMKFLRSLPKRFDTLITVLVRTTLKDSTPQQIFQEVMTDDSYREDDEKDELVKKKKKENEKKDDEKKRIHEEERLSCKKKKNSSKKNEHTMRCFRCHSKDHLIAKCPYDSDDEDAIKKERKKQKKKQEKKESSHKKKNDSHVATWDSDDSSSDDEDDNKSKKKGHASIAIQEKVSLFDTPSCFMAKAAKVSSDDESDHDSDSDEEEFTKDELITMLEDCTQHFKESRKECKGLLKEKKNLMQELDELRASYESLKVDHKELQKSHTKLEEAHSSLVEKCKNMPTNVEKAKTCNIGISCDIIDESCHKSIVIAPTNPSCSSSTSSSSSSDGFTCDSTLIVENENLKKEVKELNHTLAKAYGGEDHLLMCLGSQRSSLYKEGLGYNPKKGKATFAPHKTRFVKNNAGGRHWVLDSGCTQHMTGDSCMFKSIDTSQNGGFDAITFGNNKKGKVKGLGKIAISNDMSISNVLLVESLDFNLLSVAQLCDLGFKCIFGSDDVEVISVDGSNLIFKGFRHGSLYLVDFNDTRVSDRTLVWPDQRVRSVHLCIEEERATGASGPSRNRSVRSGVQRSGVLIGRAARPPFGPYTRDVLRMGHAGAAGQGATWVGYVCETG >Sspon.02G0054730-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:118182674:118188744:1 gene:Sspon.02G0054730-1C transcript:Sspon.02G0054730-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLQIVLHFEGTFTGVTLQPVMYTPLAHHPDIDLAHLQSFVAALLLHHREPTFRIGLEGRSRVSPGAEKGICRWLLLEDVSKAKLK >Sspon.04G0010000-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:29703921:29708451:-1 gene:Sspon.04G0010000-1P transcript:Sspon.04G0010000-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIAPPSSSVAALTRQPVQFLKGCNVSKETKGPVCSFFPPNSNSTKLKSVSLRVASSFKSDGSYPAGGVSGNGDTLLPKSTSVRGQDHPVADPVLPMDSMITPEILSTNLARAVEKFADDDTDTELDLDSPTEGFTSIADAIEDIRQGKLVIVVDDESRENEGDLIMAASLVTPEAMAFIVRHGTGIVCVSMKEDDLERLNLPLMVTTKENEEKLCTAFTVTVDAKEGTTTGVSAKDRAKTVMTLASPDSKPEDFNRPGHIFPLKYREGGVLKRAGHTEASVDLAMLAGLPPVAVLCEIVDDADGSMARLPKLRVFAERENLKIISIADLIRYRRKRDRLIERASVARLPLKWGNVRAYCYRSVIDGIEHIAMVKGDIGDGQDILVRVHSECLTGDIFGSARCDCGDQLAMAMEMIEKAGRGVLVYLRGHEGRGIGLGHKLRAYNLQDDGLDTVEANEELGLPVDSREYGIGAQILRDLGVRSMKLMTNNPAKYSGLKGYGLSIAGRVPLITPITGENRRYLETKRTKMGHVYGLANAQANQPSSSQSTEEKH >Sspon.02G0018950-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:61072607:61074136:-1 gene:Sspon.02G0018950-1T transcript:Sspon.02G0018950-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VAKEQAERRETAKQMFELGQRAYGKGMYGRSIEFLEGALTIIRPSSLLGGEIQIWLAMAYEANRRHKDCIALYKELESSHPMINIRRQAAELRYILEAPKLKISNDEVVTIPQIGSSWDWYAGTWSDKIKEQEDRKRKMVAASNQVQPSPNIFGDFSFLRLPNEWKKSAWVIVTLWVLLIGTAIYLQT >Sspon.02G0014550-3D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2D:32154982:32156944:-1 gene:Sspon.02G0014550-3D transcript:Sspon.02G0014550-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVPCTVDPMLVIREALLSQLQKDRLRQEIIQAELAKIEHAMVLRNGSRHGIAADDVEWTKPVPFTFREQSMPPWRWSVSHECYVDVDEIHDPKQKEGRHKSVALKSEKPTMEDRVGECLRPCCCNCKAGRKHRSKEASNQKAQLAEESSSRTGQKTSSIKWSCSTCQVNGTSKSDLKEHLNGRTHQQNIEAQLMEGDSMVKNNELQEPECHKSNAPQHSEKPPSVCSSAICLANCAHELELGGHLLAKLQALLDEMRNMSRNSESREATVLPNIALQNAEQTAGSNCSIFQADSDCQLDLEHQIGSKIHQLNVQDLHEEAKKTGDFPPEIAKNQQPPSEWDCVICQAKCYSASQFVHHRRGKKHQKKMDALQGEGVNAKSSNLTTEKKVASNGSDSNSSSSEKVEEQTALWPCGICNLQCSSESMLAGHCEGEEHMEKQKLLNFCEVCNLQCNSEKMLAHHLSGNKHQKRLNANKRNAVVAFVCQNSNGEIVQ >Sspon.03G0037370-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3B:98201268:98202371:1 gene:Sspon.03G0037370-1B transcript:Sspon.03G0037370-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRPSSLLRSTCNTTARASSCASSSPAGAGAASTAKSPPPPPPAAASACSSSRALLAAAAVARDGDGDSFLASSRRYLVGRTESFSTAVDRLHNRRRNRDAAQPSRFSVGAPAPASVAERSKDKEKKSPRDDHRLGGVGGGKNDKTMIRMKLLSNPYGFSSSDEDADTDVFSSDAEDDLAGRGMCSSKRLAGESAETFFSSSRSFSSDSSEFYTKKQKQKKKTTTTAKTKPPAASSGSSKPPKPPRAPTTTKPSHGRQSQVHPTASARRHHRRAASSCDTCGVCDGFRPVVSAAEEQVRRGFAVVKRSRDPYADFRSSMVEMIVGRQLFGPPDMERLLRSYLSLNAPHHHPVILQAFSDIWVVVHGG >Sspon.06G0026790-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:70326491:70327996:-1 gene:Sspon.06G0026790-2C transcript:Sspon.06G0026790-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDRAAGGMLKMVVVVAACIGALIPLSMGGWPPIADIEADCRNTCRPRCQEQVENLCRSVLVNSYPVLIPLYLTCKVRLSLECTRTCCNDFATAFCQRVLNTLPTLNHVLANVLFTTCTVRLSPECTHICITTCSFNTVTPEPAPARPPPPPPPPCKQY >Sspon.01G0002500-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:6723107:6728737:1 gene:Sspon.01G0002500-1A transcript:Sspon.01G0002500-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:MRP5 [Source:Projected from Arabidopsis thaliana (AT1G04120) UniProtKB/TrEMBL;Acc:A0A178WGC2] CRPPSPPSRSRRPPPPPPTPRCSRSPPSCSSSAPRARSPPAAPHASRRRAAVGAPPWSWAPAQAAPSRRRPPAHGTGPCWRPAPTPLLAQVAVLSYEVAVAGSRVAAGALLLPAVQAVSWAALLALALQARAVGWATFPALVRVWWVVSFALCVVIAYDDSRRLIGDGARAVDYAHMVANFASMPALGFLCLVGVMGSTGLELEFMEDENGLHEPLLLGRQRREAEEELGCLRVTPYSDAGILSLATLSWLSPLLSVGAQRPLELADIPLLAHKDRAKSCYKVMSAHYERQRLEHPGREPSLTWAILKSFWREAAVNGTFAAVNTIVSYVGPYLISYFVDYLSGNIAFPHEGYILASIFFVAKLLETLTARQWYLGVDIMGIHVKSGLTAMVYRKGLRLSNASRQSHTSGEIVNYMAVDVQRVGDYAWYFHDIWMLPLQIILALAILYKNVGIAMVSTLVATALSIAASVPVAKLQEHYQDKLMASKDERMRKTSECLKNMRILKLQAWEDRYRLQLEEMRNVECRWLRWALYSQAAVTFVFWSSPIFVAVITFGTCILLGGQLTAGGVLSALATFRILQEPLRNFPDLISMMAQTRVSLDRLSHFLQQEELPDDATINVPQSSTDKAIDIKDGAFSWNPYSLTPTLSDIQLNVVRGMRVAVCGVIGSGKSSLLSSILGEIPKLCGHVRISGTAAYVPQTAWIQSGNIEENILFGSPMDRQRYKRVIAACCLKKDLELLQYGDQTIIGDRGINLSGGQKQRVQLARALYQDADIYLLDDPFSAVDAHTGSELFKEYILSALATKTVIYVTHQVEFLPAADLILVLKDGHITQAGKYDDLLQAGTDFNALVSAHKEAIETMDIFEDSDSDTVSSSIPNKRLTPSISNIDNLKNKVCENGQPSNARGIKEKKKKEERKKKRTVQEEERERGRVSSKVYLSYMGEAYKGTLIPLIILAQTMFQVLQIASNWWMAWANPQTEGDAPKTDSVVLLVVYMSLAFGSSLFVFVRSLLVATFGLAAAQKLFIKMLRCVFRAPMSFFDTTPSGRILNRVSVDQSVVDLDIAFRLGGFASTTIQLLGIVAVMSKVTWQVLILIVPMAIACMWMQRYYIASSRELTRILSVQKSPVIHLFSESIAGAATIRGFGQEKRFMKRNLYLLDCFARPLFSSLAAIEWLCLRMELLSTFVFAFCMAILVSFPPGTIEPSMAGLAVTYGLNLNARMSRWILSFCKLENRIISVERIYQYCKLPSEAPLIIENCRPPSSWPHNGSIELIDLKVCFISGGS >Sspon.02G0032270-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:100377870:100382366:1 gene:Sspon.02G0032270-2C transcript:Sspon.02G0032270-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQQQQQGPDAAAARRMATLASHLRPHPASHPQIEAGSLLRGSNCRAKGAAPGFKVAILGAAGGIGQPLALLMKMNPLVSVLHLYDVVNAPGVTADISHMNTGAVVRGFLGQPQLENALTGMDLVIIPAGVPRKPGMTRDDLFNINAGIVRTLCEGITKCCPNAIVNVISNPVNSTVPIAAEVFKKAGTYDPKRLLGVTTLDVVRANTFVGEVLGLDPREVNVPVIGGHAGLTILPLLSQVNPSCSFTSEEVKYLTSRIQNGGTEVVEAKAGAGSATLSMAYAAAKFADACLRGLCGDAGIVECSYVASQVTELPFFASKVRLGRCGIEEILPLGPLNEFERAGLEKAKKELAESIQKGVSFINK >Sspon.03G0020460-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:63766474:63770130:-1 gene:Sspon.03G0020460-1A transcript:Sspon.03G0020460-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:TSC13 [Source:Projected from Arabidopsis thaliana (AT3G55360) UniProtKB/TrEMBL;Acc:A0A178VGP2] MLSHITPVGPTLPTCTRAHLPAPAAGSNTPPPPVHSLAVPSPHHSTATPAGSSSPSRLSLSPRSASLPRPPAGGRMKVTVVSRSGREVVKGGIDLKDSAKVADLQEAIHARTKKYYPSRQRLTLPIQPGKGGKPVVLNPKASLSEYCEKGSGSLTVVFKDLGPQVYYSTLFFFEYLGPLLVYPMFYYLPVYKYFGYEGERVIHPVQTYAMYYWCFHYFKRIMETFFVHRFSHATSPLSNVFRNCAYYWTFGAYIAYYCNHPLYTPVSDLQMKIGFGFGIVCQIANFYCHILLRNLRSPSGSGGYQIPRGFLFNIVTCANYTTEIYQWVGFNIATQTVAGYIFLVVAAAIMTNWALGKHSRLKKAS >Sspon.05G0014730-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:48938099:48940803:1 gene:Sspon.05G0014730-2C transcript:Sspon.05G0014730-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GMMASGGEPRATKVVLLLVPRVEASFHAALRERFRVLDFFGSSERTPLPAFLAAAAAAAEPPRAAIVVGAGMISVDAAFLDAVPSLRCVMCLAAGVDFIDLDECARRGVVVANSGRVFSADVADHAVGLLIDVLRRVSAAERFVRRGLWPVQGDHPLGSKIGGRRVGIVGLGNIGSQIAKRLQALGCTVCYNSRTRKDSVPYRFFPNVYDLAAESDVLVVACALNKATRHIVGKDVLEALGKDGVIVNIGRGANVDQAELVRALKEGRIAGAGLDVFENEPGAPGELFSMDNVVMTPHVAVFTAESMSDLQENTFANLEAFFSAPAGQQELAAWMADTVSAKCLGTWPSNRTSVGGKQHKCEAEELVVV >Sspon.06G0022180-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:23873111:23873272:1 gene:Sspon.06G0022180-1B transcript:Sspon.06G0022180-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCAHGPEVEWLICTIWEARPSPSQFLRNRLTRNRRGNSTSTPTLSRCLYLAL >Sspon.02G0019280-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:62632066:62633888:-1 gene:Sspon.02G0019280-1A transcript:Sspon.02G0019280-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAPPPHPSLSSPFPLLSSSSTWAHILAAPASAPAASGFHPAVRSPVAQALAAGHDAPPGSSLPPPGTGPGVGGFLPHPAFLASRPGVASSLPHPASLDSRPGLHPAQPLQPPEQQPLQPLQPAEQQLLQQACPPSAPHQPARPEQQPLPGAPDVNTTRRPWLAPDNSCSVEFDSSGLTVKDLVSRRPLLRCDSSGPLYTLRFPAAPSSPSPPVLSAAFVASTSSTTWHRRLGHPGRDALIQLSRSSGLPCTRAHDEQLCHACQLGRHARLPFSSSTSHAARIFDLIHCDLWTSPILSISGYKYYLVVVDDFSHYSWTFPLRAKSDTFTTLLHFFNLTALKRLLRYLRGTLDYGLLLHRASSTDLVVYTDADWAGCPDTRRSTSGYAVFLGGNLVSWSSKRQPVVSRSSAEAEYRAVANGVAEAAWLRQLLAELHTPPSRSTLIYCDNVSAVYLSTNPIQHQRTKHVEIDLHFVRDRVALGEVRVLHVPTTSQFADIFTKGLPSSTFAEFRSSLNITGG >Sspon.04G0014150-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:56689699:56692693:-1 gene:Sspon.04G0014150-3C transcript:Sspon.04G0014150-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGRGAMLAVLAVVVLAAVGGAGAEAESSEASAKGRYHALFNFGDSLADAGNLIQNGTPEILATARLPYGQTYFGKPTGRCSDGRLVIDHLAQEFGLPLLPPSKAKNASFAHGANFAITGATALDTPYFEAKGLGAVIWNSGALMTQIQWFRDLKPFFCNTTQACKKFFAKALFVVGEFGGNDYNAPLFAGMGIPEAYKFMPDVIQGISDGIEALIAEGAVDMIVPGVMPTGCFPVYLNMLDVSEEGKGSRSGCVRQYNTFSWVHNAHLKVMLEKLRAKHPNVRIIYGDYYTPVIQFMLQPEKFGFAKQLPRACCGAPSTPERAAYNFNVTAKCGEPGATACPDPTTHWSWDGIHLTEAAYRHIAKGWLYGPFADQPIIQSS >Sspon.07G0030450-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:8256119:8262391:-1 gene:Sspon.07G0030450-1C transcript:Sspon.07G0030450-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGSISTQVASGRQPLSHRHTTTSQNECPRALQDQELGSSSSVTSSISRIGNESLGASVDRQSEYPFNLLKRIPHGATKVLIDSVTNPRDGSPSLLVQDGKGFRAGISYLGASTIVFSRHVHYEYDPVTGETTVYESEPGQFSINMSMLLKLSPYNSEEELLQNFNDIGPHGTKIIVFNLLRSIKGDLDLDFDTDTKDIRTSVPPTMNIENEAAGEQSNLAYASILYLGLPKHFRIILRGEEVKCRNLVTELKQSQCIKFRRSMAERDDEVPFIPPYRFSNKDRSIVGVLQANYLKPARNKQDFQWSPELKALLKILKNLTTEFWKHLKGKELKDAASWLSLAPPVSRDEANLKGSNITWMHSQITPSIPAHNAGLIFESSFRVPRAPTPCLPLFPMASTRDKQQMLTAIVTSSDQGTSSNGIPARPTTLPFGWNSGGLSSHGIQRAPAPQPLTSAMASLAGDSRPIIPLVACTNDYGLNFKGSSTSIAPRAFRASAFTQPKRGGMGSNGITRAPAPHLAACYMPATAGERHQSTLVTQDAMVNTIGGLAPSHSGPARAMVALHDLHIKMEPVDDNPLTYGNNAIPLAVGVMDTAAATSSTTSIREPDVMGIGDCKASAFYPWCPPGFKPDDGSPSSSRQIELMI >Sspon.01G0015180-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:43844114:43845862:1 gene:Sspon.01G0015180-1A transcript:Sspon.01G0015180-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AMAATEALADKNAVFRKLRAKSDNQMCFDCNAKNPTWASVTYGVFLCIDCSAVHRSLGVHVSFVRSTNLDSWTPEQLKMMVYGGNNRAQAFFKQHGWTDGGKIEAKYTSRAADLYRQLLAKEVAKSSTEDGNTSWPSSPVAASQASNQDAAFPDLKLAEVSKENVSEKKESE >Sspon.06G0030130-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:41238094:41261002:-1 gene:Sspon.06G0030130-1C transcript:Sspon.06G0030130-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRGASPAASTPVQVRCAGCRGVLAVAPGMTEFICPKCRMAQRLPPELMPPSPPKASPTPPPSGPTPPPPPPPSLPPPPPPPPPPQPVPHPLARRSAPRAQGVDPTKIQLPCARCKAVLNVPHGLERFRCPQCGVDLAVDLSKLRHFLASAGPGFVPPPLPPPPPPPPPVPMPLPHLPFLPMMPRLPVPVPMLPMFPPVELPEEINEVAVDVERDEDESGTFGETFIDYRPPKLSLGLPHPDPVVETSSLSAVQPPEPTYKLTMMKELDETNALSSLQIETIVYACQRHLHHLPTGARAGFFIGDGAGVGKGRTIAGLIWENWQQGRHKALWISIGSDLKYDARRDLDDVGAKCVEVHALNKLPYSKLDSKTIGITDGVVFVTYSSLIASSENRSRLQQLVQWCGSEFDGLLVFDECHKAKNLIPEAGSQPTRTGKAVLEIQDMLPQARVVYCSATGASEPRNLGYMVRLGLWGDGTSFENFHQFLGALEKGGVGALELVAMDMKARGMYVCRTLSYKGANFDVLESLLEERMMNMYRKAAALWVELRVELLSAIEYYAEDKVNSAQIWRLYWASHQRFFRHMCMSAKVPAVVRLAKEALAEEKCVVIGLQSTGEARTEEAVAKYGIEMDDFVSGPRELLLKLVEDNYPLPPKPDCFEQDEEKVQEFQHKRHGSDMSLKGRVSKLGKKEDVSEDGGDEYPAPESDHESTESDEDFYMCQICNTEEEKVESYLKERDAYLTELSKRYDAALDRKSKILDIIRSLDLPSNPLDDIIDQLGGPDNVAEITGRRGMLIRASDGKGVIYQARNTKEVALDMINMHEKQQFMDGEKNVAIISEAGSAGVSLHADRRAKNQRRRVHITLELPWSADRAIQQFGRTHRSNQTSAPEYRLLFTNLGGEKRFASIVAKRLESLGALTQGDRRAGLSLSAFNYDSNYGKKALTMMYRGIMEQDAFPVVPFGCSENQATLEEFITKAKAALVSVGIIRDPIMCNGKNGGKLTGRILDSDMHDVARFLNRILGLLFDLFTSILDIVIQNARIEGQLDSGIVDIKAKSVEMKDSPKIVHVDTVSGASTVLYTFTVDRGVSWELANAILEERLKDKANSSSDGFYESRKEWMGRRHFLLAFEGSAEGLYRVIRPAVGEASREMPLVELKSKYRKVSSVDKISKGWQEEYDSSSKQCMHGPKCKLGSNCMVGRRLQEINVLGGLILPVWGAVAKALAKQVRLIHKRIRVVRLETTTDNKRFVGLIIPNSAVESVLEGLQWVQDIDD >Sspon.06G0030920-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:56214534:56219576:-1 gene:Sspon.06G0030920-1C transcript:Sspon.06G0030920-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEELEQAKVLSGIDCSQPPARTWQRRFDDEGKKVAMYSLTMNDLMAIVPLLVKTLKLRIEEHAKGRVDAYDPLRKWMDNCYRGVPLGGIGAGSIGRSYRGYFQQFQIFPLTNEEKPILANQFSAFVSRPNGKKYSTVLSAPTADLLKGVDKAGIGSWDWKLKEEKCTTTPCSQDHGQYMRMKTFENCDAGEPDPEIKITCRQISPFIPHNYRESSFPAAVFTFTVHNSGSTAADVTLLFSWAATAFACSFQYKGDGMKHLGEDLTFHLNSVGGKSELTGNHVNSKMTTAGGHPPVTFAIASQETDGVRVSVCPSFTMGPSSSGELTAADMWDEIKKVDTSPPIRPSIDPDIFSLLSADTRLLQQHGAFGHAGAGNAARAASKAGSSVGAAVAASTAVLAGATRVVSFSLAWACPDVKFPAGSTYHRRYTKFYGVDADAAAEHLAHDALLEHMNWEAQIEEWQRPILHDKRLPEWYPVALFNELYYLNAGGTIWTDGQPPKKAGFASSEPFSIDKLLPVAAGGSAVDGVVRAVASATERSHSTAAAFGAALLRDGEDNVGQFLYLEGMEYNMYNTYDVHFYASFALLSLFPSLELSLQRDFARAVLLHDPRPRRTFDGRTAPRKVLGTVPHDVGLNDPWVEMNAYMLHDPARWKDLNPKFVLQVYRDAVATGDAAFAEAAWPAVYMAMAYMDQFDQDGDGMVENEGIPDQTYDMWSVSGVSAYTGGLWVAALEAAAGMARVVGDRHAEAYFRARRDRAARVYDAELWNGAYFRYDNSGGATSESVMADQLAGQWYARACGLEPVVEEGKARSALATVLDYNVMRVKGGAVGAMNGMRPDGAVDASSPQSKEVWPGVTYAVAAAMVHEGMPEAAFRTAKGAHDAAWGKDGFGYAFQTPEAWTEDGGYRSLHYMRPLGIWAVQWALSPPELHKDLRAPGARSEESSPADAALGQAQFEKVASMLRLPEEQQPKGYLRAIYNIIRQIVLPA >Sspon.01G0048240-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:107580776:107583130:1 gene:Sspon.01G0048240-1B transcript:Sspon.01G0048240-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LQDKSLLGFPVHYKKLDSSPPPPPPRTPRSSRRRRRRITQRTLASPPQRRLGAPPAMTFKRRNGGRNKHGRGHVKYIRCSNCAKCCPKDKAIKRFLVRNIVEQAADMFFPNCTQRFITVSHAQSMRTLSVSALVRTGGTVSLRSASGAGMTAQGQDRVHRAQVVRHLQLPLQPLLVPKFRN >Sspon.08G0000540-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:1838283:1844112:1 gene:Sspon.08G0000540-1A transcript:Sspon.08G0000540-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPGRRTADVSSSSPAPSPSPAAPSTGRRLLRTQTVGNLGESIFDSEVVPSSLVEIAPILRVANEVEATNPRVAYLCRFYAFEKAHRLDPTSSGRGVRQFKTALLQRLERENDPTLKGRVHQSDAREMQRFYREYYKKYIQALQNAADKADRALLTKAYQTAAVLFEVLKAVNVSQSVELDQAILDTHNKVEEKKKLFLPYNILPLDPESTGQAIMLYPEIQAAVYALRNTRGLPWPKDQDKKPDDKNTGKDLLDWLQAMFGFQKDNVSNQREHLILLLANVHIRKIPKADQQPKLDDKALDDVMKKLFKNYKKWCKYLGRKSSLWLPTIQQEVQQRKLLYMGLYLLIWGEAANLRFMPESYGGDEEAFLMKVVTPIHKVIEKEAERSKTMKSKHSHWRNYDDLNEYFWSVDCFRLGWPMRADADFFKTPKVAYPNLLNGEDRSAGNVHWMGKVNFVEIRSFWHIFRSFDRMWIFLILSLQAMIIIAWNGGTPSDIFDAGVFKKVLSIFITAAILKLGQAILDLVFGWKARRSMSFAVKLRYVLKLISAAAWVVILPVTYAYTWENPTGLARTIKSWLGDGQNQPSLYILAVVIYMAPNILASMLFLFPFMRRFLESSNVKVITIMMWWSQPRLFVGRGMHEGAFSLFKYTMFWIILLAMKLIVSFYIEIKPLVQPTKDIMREPIRTFQWHEFFPHGTNNIGVVISLWAPIILVYFMDTQIWYALFSTLIGGIYGAYRRLGE >Sspon.01G0027130-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1A:95527367:95527841:1 gene:Sspon.01G0027130-1A transcript:Sspon.01G0027130-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding QIRNAEVPRRKTRALGQILSVSVLTLAGPPHPIHARHANPAEGTETIAVCRGSARVVRTYATSGLAVPRPPASGRPLFPLAPRVMRRKGVGPSFPARGAGRAPPLAQRQRHPTRRGFITPLPTLPHRTITTTALSLLSPPRLSSPTPLLAADPPLLR >Sspon.02G0020980-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:68257921:68261155:1 gene:Sspon.02G0020980-1A transcript:Sspon.02G0020980-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SETH2 [Source:Projected from Arabidopsis thaliana (AT3G45100) UniProtKB/TrEMBL;Acc:A0A178VAH7] MDGQGTKHRILMVSDFFFPNFGGVESHIYYLSQCLLKLGHKVVVMTHAYGNRSGVRYVTNGLKVYYVPWRPFLMQNTLPTLFLTFPIVRTIIIREKISVVHGHQAFSTLCHEALMHARTMGYKVVFTDHSLYGFADAGSIHMNKVLQFTLADIDQAICVSHTSKENTVLRSGISPEKVFMVPNAVDTAMFTPSPKRLSCDEIVIVVISRLVYRKGADLLVEVIPEVCRLFPKVRFIVGGDGPKRVRLEEMREKFSLQDRVEMLGAVPHAQVRSVLISGHIFLNSSLTEAFCIAILEAASCGLLTVSTRVGGVPEVLPDDMVVLAEPAPGDMVRAVKKAIDMLPGIDPQIMHLRMKKLYSWDDVAKRTEIVYNRAMQFPTTNLLDRLPRYLTCGSWAGKLFCLVMIINYLLWRLLEFLQPVEGIEEVPDIGPLHAHLGSKNDFCEAPEK >Sspon.08G0015220-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8A:61269701:61270033:1 gene:Sspon.08G0015220-1A transcript:Sspon.08G0015220-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRFSGLTKVGLGVLACNSALAVYNSRGDAGAVAFVLVADAALVLLFVCIREFERRRGRAGSGRIKAAVWALTALLTAMFASRVAPLMPPPVDALVWGMAVATAIGALWA >Sspon.06G0007020-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:24922853:24929557:-1 gene:Sspon.06G0007020-3C transcript:Sspon.06G0007020-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGAPKRLHEEGSHSTPTKRPLDDSSLYSSPGKVIQSSGSDFHGSFEHDGRFAKIQRVEPRDDKRPSVPHRMPVGSTNFADHPISSDSRLESKQNKDARDNKADDRETKADARDVHSESRIEFQANKIESDVKVDNRADESEIRADRRGHPDYRSDIKFDKDNHSTVPANINWKDNKEHRGKRYFEQPADTVDWRLPRPSLQSIDEAPKGPISVEERNSKDANESAGDNKAEPKSEDRFRDKDRKKKDEKHRDFGAREGDSRRTGVQLGSSGVERREMQREDRDAEKWDRERKDSLRDKEGNDREKDSVRKDSSVVIEKDNTILEKASSDGAVKSAEHENTITESKAPKDDVWKAHDRDPKDKKREKDVDAGDRIEQRSKYNDKESDDNGTEGDMEKDKEVFGREVVKHLSVNLDFGPECHELRDVFRWSRCKKAMPESAMRSIGIPLPADQLEVLQDNLEWEDVQWSQTGVWVSGKEYPLARVHFLSAK >Sspon.06G0003240-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6A:10039262:10042762:-1 gene:Sspon.06G0003240-1A transcript:Sspon.06G0003240-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATALSVGKSVLDGALGYATSAIAEEVALQLGIQSDHAFIRDELAMMQAFLRAAHDERDNHEVLMTWVKQVRDVAYDAEDCLQDFSIHLRKPSWWRLPSTLKERRRIAKQMKELRARVEDVSQRNLRYQLIRKAGSKPATDAKQSSITAATIFGIDEARHRAKHDKLKVDLVHLINIEDKDLRVIAVWGTSGDLGLTSIIHTAYENSDIKKKFSCRAWVRILPQFNPNDFIQSIMKQFRSAMWVHVLLETEKTGQQLAEFTGYINKNSYLIVLNGLFTFEEWNRTLKIIGPALKAKLELKQLSTDQTIYAFYEKDCQVRIKLLVPAFSSDEARRNTTEIQENKSRGGDENKLFTKSLTRIKTMVSAFEESQLIGREKEKADIIKLISNPSSQELSLISVWGMGGIGKTSLVKDVYESQMLIGTFEKRVCVTVTCPFIYKEFLKNLIMQLSEESFEKKGGLIDFGHGTRNIVATMGVEELIKELARLLDGKKCFIFLDDFSSTTEWDNIIGSFRDLDSSCKIVITTREEGIAKYCSEKQENIYKLNVLEYKDALDLLTRKATLLK >Sspon.07G0009390-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2B:92799563:92808371:1 gene:Sspon.07G0009390-2B transcript:Sspon.07G0009390-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQFNTLPLFFLFPHPEPPPACLLPPALFLPPAPAWLTGLLLLPPSSPSSLPPSTLSWAQVAAAAPGAPPAPVSHGTAPVTPVSHGTVPLGVAPLAAVLLDARGPPPPCPFFPPSLPPAPSRQAGAAATHGWPATSSSSPTFLSPIYLCCSSVLPAASCSSHHRRRTSSSADPRHRSPAGSCCSRSPAAPSSAGPTSSSPMAGSCPPCCTGGWRPYSTYCTAPCCDPHCLGRRWGLTAGSERRLYNPCYPEFLFQEEAVVQCPPVSQLPGGPDLFRCCSWLCERCCPCEPGRYWLARGRAQYHSTAAAAGPSSRRRHHGRERRGRWCGGPDWIADSGATFHTTPDASLLSSLRPPHPSCPSSIMVANGACIPVSSVGTASPHGSFHISDVLVAPGMVHNLLSIRRFTTDNSCSVEFDPSGLTVRDLASRCPLLRCNSTGPLYTLQFPASVSPPSPSSAAFAATSSTTTWHRRLGHPGRDALTQLSRSSDIRCSRAHDDHLCHACQLGRHVRLPFQSSSSHTTRVFDLIHCDLWTSPVLSMSGYKYYLVVVDDFSHYSWTFPLRAKSEAFPTLSHFFAWVSTQFGLTIKAVQCDNGREFDNSTSRAFFLSHGVQLRMSCPYTSSQNGKAERMIRTTNDIMRTLLLQASLPARFWAECLHTSTYLLNRLPSTACPAPTPHHALFGTPPRYDHLRVF >Sspon.03G0025640-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:332275:333021:-1 gene:Sspon.03G0025640-1B transcript:Sspon.03G0025640-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVWAWAQEATPSPTTKQSNKQEGRMRDLSDIKGDGREEKGTRGKGGKAWRTAMDPPNPNPSPSPSPTDDAPSPPAESAARLAALSLRGTRDLPPDFHTAEIHDDDDEGYLTAASRGGSSTSAWKEAPEGLHDDDKDGDDVSHPSPSSSGYAGERGSSLEDDPEPEPAQDWPRDKKHLHEWQWVTKPAALVFQDDASSSWRKRKKHFFILSNSGKPIYSRY >Sspon.02G0041890-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:73700404:73703427:-1 gene:Sspon.02G0041890-3D transcript:Sspon.02G0041890-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGVPGAGGGGGGHGERVERRSRGRGVALRRPPGVRLRGSGSAGAEAAREGGPAGGRAVVGGDPGSGGVRRFGRGSVPPERAPKRGGVSAYEQSLKDYVDRMSAIVISPSVGMSFDSRAEAYEFYNLHSWELGFGIRCNMTVDKSVVSQDIVCSCEGKPELSNTASVQTDCKAMIQLHRSDGNCWYIQEFRGDHNHPLSGICSEHFCWPSHNHLKPYTKNLVRRLRDDNVDLSKKRHIIYEYFWGMENYKFVKGDLESLCKNISSDLSDIDADKTIELFDDFGSLRRDDPSFMFRIELDDIEDQFNTVLWTNGRSRMQYAHFGDTITFDTTYRTDLYGIPFGLFVGVNNHHQSIILGGALMRHKTVESFKWLFREFVILMGGKAPSTILTDWCHEMEVAIQEELPETIHRWCKMHVLNNENEFLEPICLKKSGFKDDFQKITDSMLTVREFECAWQHLLDKYNLHDNAFLSQIYDSRHKWANPYLKEKFCAKQTSTQRNESAENMFKGFVPLNRSIHMFVRHYNKLQSDLNSKESSEEDRSRKRPRFMSKGLPIVEHAAKVYTRAMFEKFEGIISQSGSYVVHEKEKGKAYLAWHIRSDRQESWSQVEFEVIIRAEDGAVVCECGFWEHMGMPCCHAVKVMIHLGMQEIPGGNIVKRWTMDARDTVPVHLIENDGAAENSKSYRTSELFIVEMKFAKSGSRSDQAFEVAMAHLDRLEQELLELGVDEDVSELSEQSSISAATTDDAALELSSSETD >Sspon.02G0005570-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:16747576:16750544:1 gene:Sspon.02G0005570-2B transcript:Sspon.02G0005570-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAEEVSTAARTGSSPAAGGGRAAGRERERGGGAGGGGRAGLRHAVRVRRAVGGEEEGRRGAGGCGRRLRRRRRRRGAEDVGGRRRAGLPGGPANRARGVLVLRAVWTIVFSISYVLVEARTLEMNNVQCGVWHGRGDTICLGSNGEGNKAFVALNKASLVPIKSPGLCGMDRMSNAADEHEPLVPPDQLEQ >Sspon.02G0005970-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:18402577:18404903:1 gene:Sspon.02G0005970-1A transcript:Sspon.02G0005970-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSFLNALTLLVLNCCCVFGYKLQDVLAGFCGRIRRLVAAGAASARRHPRVALHGFPGGPEAFELVARFCYTDGGRGEAVTAANACVLRCAAEFLDMAAADAPVVGVTSTAAPSLVRMTEKAIEEMPHWPWHTVVDAVKQCQRLVPLADSTGAFDAAVAALVSQMAVPPPAAGDATPTGSSPESTAFRFSLDTKSSSLSLRGSCISRTWWFEDLVPLGPATVERVALALVARGSDHGVVARFLFYYLKCRIAGASAEDKKAMLEASVAVMASLDRSAVSCKGLFGILRIAAPLRLADACRERLVAMIGRKLDHATLDNLLVPAPPGTASLYDVSLVLRFLAAFLGGGASDEPARLKKVGRLMDLYLAEVAPDPSLRPAKFLELATALPAPARDCHDALYRAIDVYFQVHGRLTDEEKMKICRGLSYEKLSPECCKHLARNGEFPTRAAVQALASQHTVLKSIVLREPGQLKPVSPSPPPSKGKRRDGSGGDENDGQVILYAGRLDLSLENQNLRSLLDGMHWRVMELEKVCSRMKTQMSKMKQARRGGGGRTARSLPRMCS >Sspon.02G0044870-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:111797473:111805412:1 gene:Sspon.02G0044870-2C transcript:Sspon.02G0044870-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATLMLLVLLCLSTALTCSGGGGGTSAIGIRMKLTHVDAKGNYTAPERVRRAIALSRQINLVSTRAGAAGGGGVSAPVHWATRQYIAEYLVGDPPQRAEALIDTGSDLIWTQCATCLRKVCVRQDLPYFNASSSGSFAPVPCQDKACASNYLHFCALDDTCTFRVSYGAGSIIGFLGTDAFTFQSGGATLAFGCVSFTRLSAPDVLHGASGLIGLGRGRLSLASQTGAKRFSYCLTPYFHNNGASSHLFVGAVASLSGGGAVMSMPRGGDLGGRRHHRLRQPLHVARRRRVRAAGGRACPAAERKPHAAAREDDGGMALCVARGDLDRVVPTLVLHFSGGADMALPPKNYWAPLEKSTACMAIVRGYLQSIIGNFQQQNMHILFD >Sspon.02G0041840-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:73038753:73042735:-1 gene:Sspon.02G0041840-3D transcript:Sspon.02G0041840-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGQPPPPGGSEDDFLEHFFAFPSAASAGAAGGHAGAGAGGVHGGDHPFPLALSLDAAAEAKPDRDPVQLAGLFPPVFAGAGGVQQPHLRGPPPQQMFQAQPKPGEGGMAPQPPAPRPKVRARRGQATDPHSIAERLRRERIAERMRALQELVPNTNKTDRAAMLDEILDYVKFLRLQVKVLSMSRLGGAGAVAQLVADVPLSVKGEASDSGSTQHIWEKWSTDGTEKQVAKLMEEDIGAAMQFLQSKALCMMPISLAMAIYDTQHSQDGHSLKHEPNTSS >Sspon.02G0008830-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2C:29263583:29264626:1 gene:Sspon.02G0008830-2C transcript:Sspon.02G0008830-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRTALRLLGHVLLAVASIAAAAAGDAGKIGICHGRVGSNLPPPSAAAALLKQNGITKARLFLPDPAVLPAFAAAGIDLMVGVPNENLTFLAESGPEGAARWLRSAVLAHAPAERLRYLAVGNEVLYNNQFYAPHLVPAMRNLHAALAALGLDGKVKVSSAHASSVLAASYPPSAGAFDAASLPVLRPMLQFLADTGAPFMVNTYPFISYVNDPANVQLAYALFGAGAAPMRDGVLVYTNLFDATVDALVAALEKEGFGAVPVAVTETGWPTAGHPAATPQNAAAYNAKTVERAVRGVGTPKRPGVPVEVFLFDLYDEDGKPGPEFERHFGIFREDGGKAYDINFA >Sspon.08G0011050-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:44235185:44236684:1 gene:Sspon.08G0011050-2B transcript:Sspon.08G0011050-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAYYESSRPRGGPAEEADDFDEFDPTPYGGGYDLAVTFGRPLPPSEETCYPCSAPSTSYDAPHYSASEPSPYGHHAKPKPNYGFRPQQEQQPPYGGGGYGSRPEPPAEEGGGYGSGYGSGYGRKKQEEESYGSGYGRKPPQAEESYGSGGYGSGYGGQTRPEESYGSAGHGSANPPVESYGSGYGRKPQDDDSDDEKKQRHQKHHHHRRHDYDD >Sspon.08G0001240-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:1990008:1993750:1 gene:Sspon.08G0001240-4D transcript:Sspon.08G0001240-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine protein kinase domain containing protein [Source: Projected from Oryza sativa (Os06g0717200)] MPPPTVLCLLLLLLLLLPAAPASATPERDAYALSRLKASLVPSTNSTSAALSDWDPAATPPAHCAFTGVTCDAATSRVVAINLTAVPLHGGALPPEVALLDALASLTVAACSLHGRVPPALASMPALRHLNLSNNNLSGPFPSPPSASASASIPYFPALELVDVYNNNLSGPLPPLGAPHARTLRYLHLGGNYFNGSIPNTFGDLAALEYLGLNGNALSGRVPQSLSRLTRLREMYVGYYNQYSGGVPPEFGALQSLVRLDMSSCALTGSIPPELAQLSRLDTLFLAMNQLTGVIPPELGALTSLQSLDLSINDLSGEIPASFAGLTNLTLLNLFRNHLRGEIPDFVGEFPFLEVLQVWDNNLTGSLPAALGRNGQLKTLDVTGNHLTGTIPPDLCAGRNLQLLVLMDNAFFGSIPDSLGDCKTLTRVRLGKNLLTGPVPAGLFDLPQANMLELTDNMLTGELPDVIAGDKIGMLMLGNNGIGGRIPAAIGNLPALQTLSLESNNFSGPLPPEIGRLRNLTRFNASGNALTGGIPRELMGCGSLGAIDLSRNGLTGEIPDTVTSLKILCTLNVSRNRLSGELPPAMSNMTSLTTLDVSYNQLWGPVPMQGQFLVFNESSFVGNPGLCGAPFTGGACPPSFGGARSPFSLRRWDSKKLLVWVFVLLALLVLAILGARKARKAWREAARRRSGAWKMTAFQKLDFSAEDVVECLKEDNIIGKGGAGIVYHGVTRGGAELAIKRLVGRGCGDHDRGFTAEVTTLGRIRHRNIVRLLGFVSNRETNLLLYEYMPNGSLGEMLHGGKGGHLGWEARARVAVEAARGLCYLHHDCAPRIIHRDVKSNNILLDSGFEAHVADFGLAKFLGGATSECMSAIAGSYGYIAPEYAYTLRVDEKSDVYSFGVVLLELITGRRPVGSFGDGVDIVHWVRKVTAELPDGAAAAAEPVLAVADRRLALEPVPLLADLYKVAMACVEEASTARPTMRE >Sspon.04G0006220-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:17540591:17541206:-1 gene:Sspon.04G0006220-1A transcript:Sspon.04G0006220-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GVPAGVLLPPHPEAGDRLLQAAQRHQGRRPADARRRGAVPPPARRRRARLGGGPPPPARGGEEPAPRRGVRPAPGRAAAVVVADRRGVPVPRRGRAGLHRVPGDAGGDGRGAAAGQLRARLPPGLHRPLDRPRPGDVPAVPVRPPAAPAGPGRAARAGPPRHLSHARLVAPAVAVAAHRGGPVVVPPEWRGQ >Sspon.07G0036960-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:46958529:46967472:-1 gene:Sspon.07G0036960-1D transcript:Sspon.07G0036960-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGGMVDDCGPATALPTASCTPAPRAGSVIRAPSTSLDSIRPAYKVVRLPPVLVVVELALAWSTTAPAIPMVYGHIAGAIPVLAFPPFPLRITLKLTSLKAIGYIGCESTLPTDYDEDEEATSALRSGGCQSGCSHYTECMRRYQYSESRPATVREGAAGEAHCHFAEAKWTVVGLDRGSGRSDFRSCISPRRNGKERFGPVSHGRIDVGGAKRRQPPFGGPLKDLLRKGDRREKEADNSKLAEATSSIKQEHGAGTKQKVRTPRHPRRAIPTNGKRTQDRGEYALNM >Sspon.08G0011680-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:50609800:50610856:1 gene:Sspon.08G0011680-1A transcript:Sspon.08G0011680-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGSSLKSAQLLELMRQHLATDAGKELTKKVGLVYQLNIAPKKIGVDEEIFVVDLKKGEVTKGPYQGKPDATFSFTDNDFLGIATGKTNPQIAFIRGAIKIKGSISAAQKFTPDIFPKPAKL >Sspon.06G0030370-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:45804955:45808157:1 gene:Sspon.06G0030370-1C transcript:Sspon.06G0030370-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLAAQLKNKFFGLVGRITSCGRAGAAHKDAGQGEVPRARMEGPRVTSTAMASRGGAGEEKKLMDGWCLDKDIMHLQISFLSEELKLGN >Sspon.06G0008920-2D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2D:58961058:58961576:-1 gene:Sspon.06G0008920-2D transcript:Sspon.06G0008920-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAAPNGTVASAGRGQWHRGRCLAMVPTMSTRRTPRKALGWTGVRKRSWGRWATEIRIPHTRLRLWIGRFGHALEAALAYDAAMFCFYGERLPRPRKFNFPTIQRPAIPEHLRIHLTIATIKAIAADYGRRCAAFLAPLMCHAVTGVLSAPPLMATAAGTAGAGTTTSVEA >Sspon.01G0042960-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:70036173:70037300:1 gene:Sspon.01G0042960-1B transcript:Sspon.01G0042960-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding HPSPTALPTATARSSKPYGAPARCQKWWPSPAPEPATRRPVGPGYEHAPGTSVTGTAGSGSSGSGTGDSRGTSRASHSKFNRHLLDMATDASCVCVLLFCPHGAKLRSECLELFNARGKDYHMLYHQQSV >Sspon.08G0008110-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:26595161:26598672:1 gene:Sspon.08G0008110-2B transcript:Sspon.08G0008110-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEYLESVKSLRSYMNDLEDDAAKRSVEEQQQRTAIDAHDADIALVRAQAKQVSEEAEQLGTARAKIGMQMAEKQGRIATLEIECATLKQTLELLHQETASTFVKLSEKRLFYTKTTETLTVKLQEQQ >Sspon.07G0015830-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:60428356:60429810:1 gene:Sspon.07G0015830-2B transcript:Sspon.07G0015830-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIESPFDDLYTITPTGQQQDGSSSSSSQQQLTLYDHRLCDPQFYSQSTNESNMQFSGVVTIPGMYNVSSNLGQNHLQIAAGVSPDNQRIRSNNALHHISQILMEDVDERVGSHEGEAALQAVEKAFYDILEQVYPPSLNWSSLHHSGEAGEGPGEGSNSYHKRPRRSSFTIDISSHSMLQSLPTPLSPYSYGRSLFLPYQPLASTEKASIFGFSALQIRREAGDGKGFDKMVICLEKDKLSICRLATTKAKIVAGKNKYATFQITDYRNNPYIQDSYTREERSKNYTITCEISRNEKFDRVLLCYGLDCFIETARLRDMAAKEACETSPKGQSKTPARQKLWGTMQLKKEVVDLRTLLIHCAQAVAADDRLLAGELIKKLRQHSSRDGECCQRLAFYFVNGLEARLAGTGSQLFHKVLAKRISDEDLLKVYNFYLAICPFLRASYTFANQSILEASVGQSKVHIVEIGVCFGFQWPSLIQLFW >Sspon.07G0001040-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:1614071:1620616:1 gene:Sspon.07G0001040-4D transcript:Sspon.07G0001040-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPVEWRFGSFPATGEFGVLLFVCMVGASSHLFAGSTSENNRTEFVIIKTLTASLSLILQPFGVYCDGEFKWGCGYPYFAVVLNFSQYWALYCLVEWYTATKDELAHIKPLAKFLAFKSIMGIASVVHLYVFPAKPYELLGKQYSPTNISVLGDYAASDPVDPDEVKDISRPTKVRLPQLEPDEIVATNIKESVRDFVIGSGEYVIKDFKFTVNQAVRPVEKRFDKMKKNIKFSRQSQDDNWVSASTPERTIRGIDDPLISGSASDSGIVGKGKRHRRDPSSAAAVDSWEGTEQAPDGFVIRGRRWEIKKSLIGVVLYATVSIITKVRNKGAALTSPWASYQCNRTK >Sspon.03G0010130-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:32357603:32361278:-1 gene:Sspon.03G0010130-4D transcript:Sspon.03G0010130-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLDFETSVFKKEKVSLAGHEEYIVRGGRDLFPLLPEAFKGIKQIGVIGWGSQGPAQAQNLRDSLVEAKADVVVKIGLRKGSKSFEEARAAGFTEESGTLGDIWETVSSSDLVLLLISDSAQADNYEKIFSRMKPNTILGLSHGFLLGHLQSLGLDFPKNISVIAVCPKGMGPSVRRLYVQGKEINGAGINASFAVHQDVDGRATDVALGWSVALGSPFTFATTLEQEYRSDIFGERGILLGAVHGMVEALFRRYTEQGMDEDSAYKNTVESITGIISKTISKKGMLEVYNSLTEEGKKQFIEAYSAAYYPCMDILYECYEDVSSGSEIRSVVLAGRRFYEKEGLPAFPMGNIDQTRMWKVGERVRSTRPQGDLGPLHPFTAGVYVALMMAQIEVLRKKGHSYSEIINESLIESVDSLNPFMHARGVAFMVDNCSTTARLGSRKWAPRFDYILTQQAFVTVDKKAPINQDLISNFMSDPVHGAIEVCAQLRPTVDISVTADADFVRPELRQSS >Sspon.01G0043730-1P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1D:68050703:68051871:-1 gene:Sspon.01G0043730-1P transcript:Sspon.01G0043730-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ISRLR >Sspon.03G0037020-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:91345319:91345780:-1 gene:Sspon.03G0037020-2C transcript:Sspon.03G0037020-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding NAGGHRIGHRRRGNGRRCRRLRVRPRQRGPRARRALRLPPPAAVRCGPLRQPPARHTERRRRPHPTGSTEQRRRLIIRPRRRRHRAAALLRRREPRGRGGCRRDGEVRGVPGRRRGRRDGAGAPQLRARVPRALRRRVAAPATDVPRVPGDVPL >Sspon.03G0003710-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3B:19273778:19277498:-1 gene:Sspon.03G0003710-2B transcript:Sspon.03G0003710-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MITCVMRASWAVTFAFPFSPHPHMPLVHLILFIATCGLLLFSACLAISTTWWWSMISPIIPGLFPCGAKSEAFPTLSHFFAWVSTQFSLTIKAVQCDNGREFDNSTSRAFFLSSGVHLRMSCPYTSSQNGKAERM >Sspon.01G0005670-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:98737900:98741906:1 gene:Sspon.01G0005670-4D transcript:Sspon.01G0005670-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNGSSSGSSNRRPGHSESALPPEAAPSEELSSYEAACRSDPELRTFDTTLQRRTSRAISTLAVGVEVRSMSLDSLREVTGCLLDMNQEVVRVILECKKDIWKSPELFDLVEDYFESSLQTLDFCTALDKCLKRARDSQLLLHVALQRFNDVEASDAAAPSARYARTLHELRQFKAAGDPFTDEFFAAFQAVYRQQLTMLEKLQQRKHRLDKKIKTIKAWRRVSSIIFATTFAAVLICSVVAAAIAAPPVAAALAAAAAVPLGSMGKWIDSLLKGYQDALRGQKEVVSTMQVGTFIAIKDLDSIRVLINRVEAEVSSMVDCVEFAERDEEAVKFGVEEIKKKLENFMKSVEDLGEQADRDSVIVMRVEESVAETSKQTK >Sspon.01G0019940-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:71897543:71907454:-1 gene:Sspon.01G0019940-2D transcript:Sspon.01G0019940-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVDVRSVRKSTALRARGAPSKLQPARSMPLDYRYSAGAASQPNGAAGGGPAANGVGRQAAAAEKEKEGEAVRLERDDADSPYSSKAVTAEEEEVGERGGGGDEADSAAAATPRRLSPRAAASPTEGDARWGDTSSYGAKKKHRVFCQLPNGDWALCTVLTTSGDESVLKVSEGKTKAGPVLVAVNPFKKVSLYGNEYIDAYRNKSMDSPHVYAIADAALCEMKRDEVNQSLIISGESGAGKTETAKIAMQYLASLGGGSGIEYEILQTNPILEAFGNAKTLRNDNSSRFSRVVQCAVGERSYHVFYQLCAGAPASLREKLSLKKVDEYKYLKQSCCYSIAGVDDAQMFRTVTEAMNIVHISKEDQENVFAMVSAVLWLGDVSFTVIDNENHVEVIVDEASKTVAELLGCSIEDLNLALSKRHMKVNNENIVQKLTLAQATDTRDALAKSVYASLFEWLVEQINKSLSVGKRRTGRSISILDIYGFESFDKNSFEQFCINYANERLQQHFNRHLFKLEQEEYVEDGIDWAKVDFEDNQDCLSLFEKKPLGLLSLLDEESTFPNATDLTFANKLKQHLDSNSCFRAERGKAFAVRHYAGEVAYDTSGFLEKNRDLLHMDSIQLLAKCTTSLPKMFASKMLAQSDNSLSVPYRSSAADSQKLSVAMKFKGQLFQLMQRLESTTPHFIRCIKPNNLQLPAIYEQGLVLQQLKCCGVLEVVRISRSGYPTRMTHQKFARRYGFLLLEDVASQDPLSVSVAILQQFNILPEMYQVGYKKLFFRTGQGASSPSSCERTNKRSFGSSIILPYGMDPTLLNLQSFMEAEGDQILIKASVLAELQRRILKAEATVREKDEENEMLHQRLQQYENRWLEYEQKMKAMEEMWQKQMRSLQSSLSVAKKSLALDETPRMSDSSVDQSWESNGNHVGSGSQLVPRTGGHEMNAGLSVIGRLAEEFEQRSQVFADDAKFLVEVKSGQADASLNPDMELRRLKQNFDSWKKDFSGRIRETKVILNKLGNGNESSPNSVKRKWWGRLNTSKFS >Sspon.01G0011060-3D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1D:33052427:33052693:-1 gene:Sspon.01G0011060-3D transcript:Sspon.01G0011060-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding QPASEVRPRDRRPRCLPRNAGPQRTAPRRASAMKKNLQIACRDRFGSTLRLEKNGRGSTDETGRGDKLPEYNKSRRLRHMLTCLPDLR >Sspon.07G0025000-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:34677001:34683528:-1 gene:Sspon.07G0025000-1B transcript:Sspon.07G0025000-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VMIVDYRLLFPTGSVVAHLINSFHTQQGAYAAKFCPSSECKMEVSGSFYLPFWPCGNIRHLFRLQVAAIFKTFLGSFSWSMFQWFFAGGDNCGFQAFPMFGLELYKRNRFYFDFSASFVGLGMIVPHVVNFGLLFGAITSWGLLYPFLQSKRGQWYHTDSPTSLNGMNGYKIFIAVTMIITEGMFNFIKLLTVSSIDFYKKRQEKDSGKIKYRLTSPSLNYDDRKRIEVFLGHRIPLYVPVAGYIGCAIVCSVVIPWIFHHIKFYHMALLFIILPVFTFCNTYGTGLTDWSVAQSYGRFIQFVIAAWVAKPGAVIASLAACGVSVAALNVSSQAMQDLKTGYMTLTSPRAVVAGHIYGILIGSIINPCIFLAFEANAKSTAPIGSKDSEYSCPSAAVYRAIGLLGKGGVNELPDHCITLCLVTFFITLAIETVRLVSQRKNWKLQNFIPCMIAIALPFLTGPYFTIDMCLGSVLLIIWTKRNRQRAELLTSAVAAGLICGDGLWVLPSSILSIFNVYPPICMKFLSSGKQVDTVDSFINTLETHGRR >Sspon.01G0006560-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:20658631:20662497:1 gene:Sspon.01G0006560-2B transcript:Sspon.01G0006560-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cpn60beta4 [Source:Projected from Arabidopsis thaliana (AT1G26230) UniProtKB/TrEMBL;Acc:A0A178WH16] MSRMAPPSPPLSMKPPMLPFSPPKKPPPMPIELEDPLENLGVKLVRQAGARTNDIAGDGCTTSIILAQGLIAEGMKVLAAGINPVQVARGIEKTAAALVSELRLMSREIEDHEIAHVAAVSAGNDYVVGNMIYDAFQRVGRKGMVRIENGRGTENSLEVVEGMQFERGYLSPYFVTNRGNMTVEFTDCQILLVDKKISDASEIIRILDSAVKENYPLLIIAEDVEEQAMADLIKNKLKGTIKVAAVKAFSFGEQKTQCLDDIAIMTGGTLVRDDMGHILEKAGKEVLGFASKVVITKDSTLIVTDGSNHQAVEERVAMIKGQIENSKERYNKKILGERIARLCGAIAIIQVGAQTIIEMKDKKLRIEDALNATRAAIEEGVVVGGGCSLLRLSEKIEAIKESSLDNIEQEVGADIFKQALSYPTSLIANNAGVNGDFVIKKVLLNDDANYGYNAAKNCYEDLMAAGILDASKVVRCCIEHAAVVAKSFLTSDVVIVEAKEGKPIRIRPPMPPRNLIPPMPAS >Sspon.01G0028250-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1A:98827564:98827714:-1 gene:Sspon.01G0028250-1A transcript:Sspon.01G0028250-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTERTRRSDESAHRSAKTGRANTLTGRDSASVRSRSRKVPERDIRNLTRP >Sspon.01G0029460-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:91871369:91873053:-1 gene:Sspon.01G0029460-2C transcript:Sspon.01G0029460-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVQEFTVDLNKPLVVQVGHLEERYQEWVHQPIVSKEGPRFFGNDVLEFLTRTKWWAVPTIWLPVVCFMFVKSIFMGNAVQDVVLMALFGIFIWTLIEYTLHRFLFHIETKTYWWNTAHYLLHGCHHKHPMDSLRLVFPPTATAILCFPFWNLVAFFATPSTTPALFGGGLLGYVMYDCTHYYLHHGQPSTDPAKHLKRYHLSHHFRIQDMGFGITSSLWDAVFGTLPPSMTPGKKN >Sspon.04G0031770-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:16593204:16594208:-1 gene:Sspon.04G0031770-1C transcript:Sspon.04G0031770-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGLPRELCLKIFHLLDHQSLASAPQVCRKWSALTSDDELSRKLFNDRWGADAAAFYAPEGSKSWKDVFVVQDRCDRYGLGVRIIREGKDYYLIYQGEIQRYLGSRQDTDGDCGKNAPRQDAGDEHRQISNRILFFLGDLEKACADAKRVKA >Sspon.05G0001230-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:4099654:4101287:-1 gene:Sspon.05G0001230-1A transcript:Sspon.05G0001230-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYLYRTAAAAAVAVRSAARSAPLTGRVLGAPLPSLASPSAARSARILSRSAAAASAGLETLMPLHSAVAAARLRSCIAVDSSCWCSLSQGYALPL >Sspon.01G0017170-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:62623180:62624863:-1 gene:Sspon.01G0017170-1A transcript:Sspon.01G0017170-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVSLNQPLINDDPLPLPGSIAKGDQIEGLLSAGWTNERHSSYISSMEASFVEQLYGQENCSYDANKNNLRNIAVKCLPENPWVRRFKPRGSCVNHRGTGMEPIVDDYGSGTDTVREKVRTHAGVVKASVIIEVTDQNFPDDGVQSSNDPCKKQKSTSGTASNDQ >Sspon.06G0015820-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6C:78997227:78998078:-1 gene:Sspon.06G0015820-2C transcript:Sspon.06G0015820-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFDEVFSAFHELTENSLQLTSLLFPYAPTPTTRRRDRVSARLSSIFAEIVQSRKSSNRVEEDILQNLMDSKYKDGRPTTEAEVTGLIMAILFAGKHTSTATSTWTGARLLSHTECLEAALVEQQQIVKKHGDNIDYDTLLEMSFLHCCIKEALRMHPPGPIFLSNVHKNFTVRTREGYEYEILRGHTIASPLVINHNIPHIYKDLDVYDPHRFSHGREEDRVGGKFTYNVFSGGRHACVGEAYAYMQVKVIWSHLLRNFELKLVSSFPETNWLKVIPEPRGKVE >Sspon.07G0010920-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7C:42071428:42072062:1 gene:Sspon.07G0010920-2C transcript:Sspon.07G0010920-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VLTRLEHLDIWGSETTNEGASALKSFARLIFLNLALTRVNHLSIPPTTRCLNMSNCEIHSICDEDSEVPVPLENFIVSAATFGNIDKVFSSIQASSLTHLDLSSCKLSNLSFLEKMKNLEHLDLSYNIITDGAIEHIAKLGTNLQYLSLKNTGITSQALCILAGTVPNLTSLSLANTKIDDSALAYIGMIPLLRTIDLSQTSIKGCALAY >Sspon.01G0039040-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:19622208:19627179:-1 gene:Sspon.01G0039040-1T transcript:Sspon.01G0039040-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDPHLLSSAHSTAAAAASASASSSARKHPGPTDERLATSDPSPSSNKRPRVAAEPPASGGCVPYVEADVRALVSMAGGIYPLARAQALRGLTAVIEKVDPSSRVSAAITECCYKCAVELMRDDDNGVRLAVVRLVDACARNFSARLDFDANGYGDLMDMIFLQLSSMARDMCAQVRMEAFAALGKMQRVSEGVLLQSLYKKVIKTDSMGVSIINGQKLPPKLKFPCAAGIFSHGIEDEFYQVRRTACKSLGALAKLSTQYTEKALDLLMDMMNDDTEAVRLQTLEALFHMATYGCLSVEEKHMHMFLGLLVDANALIRGAARKILGLVNMPKLQIFKSAVDGLITSLEKYPEEQDIYGILFSIGKNHGSFSANIAKHLAKEITMASDGELILDKPRVKALLIVSVSAPFSDHKHKKLNIPSIIFSHAIPLLEKISCALGEVNQDSLLSYLCHKSGMPFWEDRPVSAEFGESESCNVETVEIGGHVENTAKKTKCLDEVLVTQSMKSILEIVEGAWTMRMSCNVCEVRTILRTCKEELRLLAENSSGSIGAFLSFLSEYLDAILFIVEIWQLIQLDNPYSFGLTSLDILLEKLDMSVRRMEYCYIGLNRVLEVQVLELSLIAHLFRLSKIAVCSKVVLGKLLWVINRLEDLCADGSCELSDFSREIKKACDTNPTGGNLIGSINNLFRLFHLKPTTDFGMLKVINAVLRVSDNDSENPLQYICGLPVGVSFKISLWNVSSHHRLWLRMTIGESVQHTFVEFSCFGGNDGVKNGSMVVPLYATPKACSFVLR >Sspon.07G0005910-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:15484175:15488649:-1 gene:Sspon.07G0005910-1A transcript:Sspon.07G0005910-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAADSATGQNPKDTERHVLTAESDAEFSEEEYDEDGEEEYEEEEELDGPAAEAAERERVQSVLRRLSSNPVGIRVHDVIIKGNTKTRDELIEAEVAALLRAAPTVQDLLRAASVATTRLHSLDVFDAVKITLDAGPPELPGTTNVVIEVVEAANPLTGTAGVYSKPDARSWSLEGSLKLKNLFGYGDIWDASGSFGWDQTTEVGVGVYLPRFKSIPTPLMARASLSSQDWLKFSSYKERLLGLSFGLLSTRNHDLSYNLTWRTLTDPSHVSSKAIRRQLGHNLLSALKYIYKIDKRDSHLRPTKGYAFQSTSQVGGLWNSKGLRYFRQEFDVRGAVPLGFYNASLNVGVGAGVILPLGGGFMNSTSPVPERFYLGGNSSPVCSLGGLSSLLGFRLRGVGPTEARRHVLDKSESGSVDPGRDYLGGDLAVSAFADLSFDLPLKIFRDAGIHGHAFLTAGNLAKLSEGEYKNFSASEFQRTFRSSAGVGIILPTKLFRVEVNYCHILKQAEHDNSKTGIQFSFSSPM >Sspon.07G0026460-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7C:54514570:54516666:-1 gene:Sspon.07G0026460-2C transcript:Sspon.07G0026460-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLDASARLMIVSDLDQTMVDHDDPEDLSLLRFEALWEAEFSHDSLLIFSTGRSPISYNDLRKNKPLITPDITIMSVGTVIAYGADMVRDADWEEHLSSNWDRDIVVEAAAKFPQLKPEAGFYLVACIVMFAFCFVLDAELNPLYGYSQRRIRVPIRLHFWLTTKIHVKIIFSYGVLIDVVPQDAGKGQALQYLLNKFTSQGRAPSNILVCGDSGNDAELFSVPSVHGVMVSNAQEELLQWRKENAMYNPKIIHSTKRCAAGIMQAIGNFKLGPNVSARDLELPHPKLGIIKPADVVVKFYIIYEKWRRGELQKSSSVIQYLKSIAHLNGTIIRPCGLEHSLHASIDALRSCYGDKKGKKFRAWVDRLVTSPMAMGTSNWLIKFDYWEMEGMPLLMMN >Sspon.05G0014350-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:46943451:46947202:1 gene:Sspon.05G0014350-2P transcript:Sspon.05G0014350-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSAAAVLAILRKLQSLGFCADLRIPDAAAASDPSEAFDAVLVTFLLEVYTGGREARPIPVALGDGRCVDLLRLFLAVRAAGGYAGVPNSPGGWAAAAESAGVDPALAAPVKLVYAKYLGALDRWIQRLVEAHGPFLDVDKRKRQELFVGANGVEEEESLLDCNGREQRHVMLKRKRGDVVGMLGWVREIAENAGNGVAVAAGCSDEYFSLALEVRKMVTGKRARRASMLNGSLFQEIFPMACNCCTSPTSLGICSKAKLLNGSLVLTEQDNNLSGEGKHGTRTHNSSNGWLFTSHQKNEIPVGPDYQVHVPQWTGEVPVNYDDPETLKWLGTKIWPPENESCKTLFCGDPIGKGREVVCGCNYPGSVECVRFHVAERRLKLKRELGAAFYAWGFNRMGEEIALSWTDEEEASFKAAAQHSAASSGRNFWNRLHLFFQFKGRKELVSYYFNCFLLRRRCYQNRITPKNIDSDDDEETEFRFLGNRLGHCAAKYHSTKHTICIENTHSMDLD >Sspon.08G0007360-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:21354937:21358050:-1 gene:Sspon.08G0007360-3D transcript:Sspon.08G0007360-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGPTTCCRRFPLLLVLLLFLLVGEGRSQLAAGAGPSDRDTLLAVKKDWGSPPKLKSWDPAAAPNHCNWIGVRCATGGGGGVVIGLTLSRLNLTGSVPASVCALESLTRLDLSYNNLTGAFPGAALYACAGLTFLDLSNNKFSGPLPRDIDRLSPAMEHLNLSTNIFAEVVPPAVAGFPELRSLLLDTNNFTGAYPAAEISNLAGLETLTLADNAFAPAPLPAEFAKLTNLTYLWMDNMNLIGEIPEAFSSLTELTTLSLASNELSGSIPAWVWQHQKLQYIYLYNNGLSGELTRSVTALNLVEIDLSSNQLTGEIPEDFGNLKNLTFLFLYKNQLTGTIPASIGLLPQVRDIRLFQNQLSGELPPELGKHSPLGNLEVAANNLSGPLRESLCTNGKLYDIVAFNNSFSGELPVKLGDCSTLNNLMLHNNNFSGDFPEKIWSFPKLTLVQIQNNSFTGTLPAQISPNISRIEMGNNMFSGSFPASAPGLKVLHAENNRLDGELPSDMSKLANLTDFYVPGNRISGSIPTSIKLLQKLNSLNMRGNRLSGAIPPGSIGLLPALTMLDLSDNELTGSIPSDVSNVFNLLNLSSNQLTGEVPAQLQSAAYDQSFLGNRLCARADSGTNLPACSDGGRGSHDELSKGLIILFALLAAIVLVGSVGIAWLLFRRRKESQEVTDWKMTAFTQLNFSESDVLNNIREENVIGSGGSGKVYRIHLGNGNGSHDEERGIGGGDGRMVAVKRIWNSRKVDEKLDKEFESEVKVLGNIRHNNIVKLLCCISSQEAKLLVYEYMENGSLDRWLHHRDREGAPAPLDWPIRLAIAIDAAKGLSYMHHDCAPPIVHRDVKSSNILLDPDFQAKIADFGLARILVKSGEPQSVSAIGGTFGYMAPEYGYRPKVNEKVDVYSFGVVLLELTTGKVANDSGADLCLAEWAWRRYQKGAPFDDIVDEAIREPAYMQDILSVFTLGVICTGENPLTRPSMKEVMHQLIRCEQIAAEVEACQ >Sspon.05G0015380-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:57757252:57759856:-1 gene:Sspon.05G0015380-1P transcript:Sspon.05G0015380-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLYVDMMRSEVIEAGKLVNLWNEWGIQILVLVSFGLQVFLLIFGGVRRHSNSTALMFFLWSAYLLADSTAIYTLGHLSVDSRSDEHQLVAFWAPFLLLHLGGPDNITAYALEDNALWLRHLQTLAVQVLGAAYVIYEYEYIASSGALLLLASMSMFVAGLLKYGERIWALKCGNISSIRSSISIRKVKTDPYQLLALGTSEEDLLLGAHSQFDICKGVFADIIMLPNPSVRSQSKPRSVISYLGEDLYKLVEMELSLMYDFLYTKAAVIHTWYGFCIHFISLFGTATTFLLFQLSINSSGNRYSKVDVAISYVLLVGALVLEIISVCRAVLSTWTCSLLHRRGRGWEWPLHIVTSLGQRVHPASRRLWSGSIGQYNLFHLCTRNTNEIGSRLAMKLGLEDWWNKMHFSGTFPHANLSLSIQDIKKLVLQALRSKEQALQHQDTDLNSRGSFILKRMEAYKDFARWSVNIDFDESILVWHIATEVYIRKSKTKHAKELLEATEVLSNYLMFLLVVKPNMLPGAARHNIHLTVCEQLEGQCQMRFGDKDNPVAPSPVSWNPYYILKELFHHDGPSCSRIPRREKLAEVAWSFSQFALGSVRAPNPHGDSIRDNANMYAILLTNELLSIELQWQDQRDTLELILGVWVEMSLYAADHCSQESHARQLSNGCEFITIVSLLAHHFKYYSGVFRGTDNLGEDKPA >Sspon.04G0022410-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:5691752:5695833:-1 gene:Sspon.04G0022410-1B transcript:Sspon.04G0022410-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FBW2 [Source:Projected from Arabidopsis thaliana (AT4G08980) UniProtKB/TrEMBL;Acc:A0A178UUC5] MGECEDGKEYRCWEELLPDALGLIFRNLPLQEVLTVVPRVCKSWGRVVAGPYCWQEIDIEEWSQQQSKPEQIGRMVELLVGRSGGSCRRISVSGLPCDPLFSFIGDNARALRTLEIPRSEISDSIVETVAPRLSNVTFLDISSCTKIGARALEAFGKHCKSLVGLRRVMHPIDLVDKVCQHDEAHAIACSMPKLRHLEMGYMLIATEAVLEILGQCRDLKFLDLRGCWTVDDKFLRERHPGLRVLGPRVDDCYENSYWEECSDYSDDSSIYSWEFMDDDYYAVGSDDEAIWDDGQGLENLEVRFYGGGFSESFAGFDWPPSP >Sspon.01G0005070-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:11565604:11566422:-1 gene:Sspon.01G0005070-2B transcript:Sspon.01G0005070-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAERALPPAVPASPEPASSSAVSTAFGSPLEPVAATAATPTHDTYVVQVQKDQIYRVPPPENAYLAERYRNERGGGGNKKSSSQGSAACSPCVLRTLGALLAAGALVGAAVLISLVVLRPGVPGFSVDRITVINSTRQQRVDYDVFLTAVNPNKMTALWYRSGTARLTHHGTTLAKGDVGQPADGGEDATDFSVVLQGVKHNGRLPKAVEKGFSGSKDHLALQLAVEVTVQVHVGALGFGQRTLAVDCGVTAAGLSKDVHIASQNCKSSFRN >Sspon.04G0006320-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4A:17865144:17868086:-1 gene:Sspon.04G0006320-1A transcript:Sspon.04G0006320-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAATTNGSAEPALKFLIYGRTGWIGGLLGGLCAARGIPFAYGAGRLESRASLEADIDAAAPTHVFNAAGVTGRPNVDWCETHRAETIRANVVGTLTLADVCRGRGLVLINYATGCIFEYDAGHPLGSGVGFKEEDTPNFVGSFYSKTKAMVEELLKNYENVCTLRVRMPISSDLSNPRNFITKITRYDKVVNIPNSMTILDELLPISIEMAKRNLTGIWNFTNPGVVSHNEILEMYRDYIDPSFSWKNFNLEEQAKVIVAPRSNNELDQTKLKREFPELLSIKESLLKYVFEPNCKTSKA >Sspon.06G0011120-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:47252128:47260439:1 gene:Sspon.06G0011120-2B transcript:Sspon.06G0011120-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDARFPYSPAEVAKVELVQFGILSPDEIRQMSVVQIEHAETMERGKPKPGGLSDPRLGTIDRKIKCETCMAGMAECPGHFGHLELAKPMFHIGFIKTVLSIMRCVCFNCSKILADEDETKFKQALKIRNPKNRLKRIYDACKSKKVCAGGDDLDVQEQDTDEPVKKRGGCGAQQPNITVDGMKMVAEFKAPKKKSDDQDQLPEPVERKQILSAERVLNVLKRISDEDCLLLGLNPKYARPDWMILQVLPVPPPPDDLTHQLAMIIRHNENLRRQERNGAPAHIITEFAQLLQFHIATYFDNDLPGQPRATQRSGRPIKSICSRLKAKEGRIRGNLMGKRVDFSARTVITPDPNINIDELGVPWSIALNLTYPETVTPYNIERLKELVEYGPHPPPGKTGAKYIIREDGQRLDLRYVKKSSDQHLELGYKVERHLNDGDFVLFNRQPSLHKMSIMGHRIKIMPYSTFRLNLSVTSPYNADFDGDEMNMHVPQSFETRAEVLELMMVPKCIVSPQSNRPVMGIVQDTLLGCRKITKRDTLIEKDVFMNILMWWQDFDGKIPAPAILKPRPIWTGKQVFNLIIPKQINLIRFSAWHSEEEKGFITPGDTMVRIEKGELLSGTLCKKSLGTGTGSLIHVIWEEVGPDAARKFLGHTQWLVNYWLLQNGFSIGIGDTIADAATMESINKTISDAKDAVKELIKKAHEKQLEAEPGRTMMESFENRVNQVLNKARDDAGSSAQKSLSESNNLKAMVTAGSKGSFINISQMTACVGQQNVEGKRIPFGFIDRTLPHFTKDDYGPESRGFVENSYLRGLTPQEFFFHAMGGREGLIDTAVKTSETGYIQRRLVKAMEDIMVKYDGTVRNSLGDVIQFLYGEDGMDAVWIETQKLDSLKMKKPEFDNLFRYELDDENWRPNYMLPEHVDDLKTIREFRNVFEAEVQKLEADRYQLGTEIATTGDNSWPMPVNLKRLIWNAQKTFRIDFRRPSDMHPMEIVEAVDKLQERLKVVPGDDPMSIEAQKNATLFFNILLRSTFASKRVLKEYRLTKEAFEWVIGEIESRFLQSLVAPGEMIGCVAAQSIGEPATQMTLNTFHYAGVSAKNVTLGVPRLREIINVAKKIKTPSLSVYLKPEVNQKKELAKNVQCALEYTTLRSVTHATEIWYDPDPLGTIIEEDAEFVQSYYEMPDEDIDPDKISPWLLRIELNREMMVDKKLSMADIADKINREFDDDLSCIFNDDNADKLILQMALRGIPDINKVFIKEGKVNTFYQDEGFKAANEWMLDTEGVNLLAVMCHEDVDATRTTSNHLIEVIEVLGIEAVRRSLLDELRVVISFDGSYVNYRHLAILCDTMTYRGHLMAITRHGINRNDTGPLMRCSFEETVDILLDAAVYAESDHLRGVTENIMLGQLAPIGTGGCALYLNDQMLQQAIELQLPSYVEGLDFGMTPARSPISGTPYHEGMMSPSYLLSPNIRASPINTDASFSPYVGHMAFSPFPSPGGYSPSSGGYSPSSPVFTPEKGYSPLSPSYSPASPSYSPTSPSYTPGSPTYSPTSPNYSPTSPTYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPVYSPTSPAYSPTSPAYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPAYSPTSPGYSPTSPSYSPTSPSYSPTSPSYNPSSAKYSPSHAYSPSSPRMTPYSQTSPSYSPTSPTYSPTSPSYSQPSPSYSPTSPFNTSGGPSPDYSPTSPNYRQVIVVSDKYVPIMEDIVCVLTDLILHTAALVEATPQLHRATPHRPQAK >Sspon.02G0023520-3P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2D:73867844:73868206:1 gene:Sspon.02G0023520-3P transcript:Sspon.02G0023520-3P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMSSLAFATAGARGAGAFPASALAPRRRALVVVRAQSEDVETTPKAKAAIPGLWDALAFSGPAPERINGRLAMVGFVSALAVEASRGGGLLSQAGSGSGSPGSQPRPPCCPWRRWCRC >Sspon.06G0006870-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:25979794:25984760:-1 gene:Sspon.06G0006870-1A transcript:Sspon.06G0006870-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIRFVLFVNKQGQTRLAQYYEHLSLDERRALEGEIVRKCLARTDQQCSFVEHRNYKVVYRRYASLFFLVGVDNDENELAILEFIHLLVETMDRHFGNVCELDIMFHLEKVHFMLEEMVMNGCIVETNREAQPATKLHHDYWTKALTEANTLQPITLLQYNI >Sspon.03G0020900-2D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4D:30780116:30780466:1 gene:Sspon.03G0020900-2D transcript:Sspon.03G0020900-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKKSKRTSASAPMEIPRLVVQPVGASDTQPVQPASIPSMFGPGMWCPPRPPQCLPPSSAPYWFGGGIQQPGVAGSSAQQPGMAGSSTQGAWWTPAVAGIGGSRHSWPTADSQRRF >Sspon.06G0011250-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:61446484:61449799:-1 gene:Sspon.06G0011250-1A transcript:Sspon.06G0011250-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSTPPPEAAPGASSSSSAAAASAEDLAGGVAAMTLDERFDLLRGIGEECIQEDELRNLLEKKPVPICYDGFEPSGRMHIAQGIVKTINVNKMIRAGCKVKIWIADWFAQLNNKMGGDLKKIQTVGRYMIEIWKAAGMNLDGVEFLWSSEEINNRAHEYWPIVMDIARKNNVKRITRCCQIMGRSESDDLTAAQIFYPCMQCADIFFLKASRYMPVGDGPKEDMLPGFKEGQEKMSKSDPSSAIFMEDDEAQVNVKIKQAFCPPKIVEGNPCLEYIKYIVFPWFGRFEVIRKESNGGNKTFTSMDELISDYESGALHPADVKPALAKAINEILQPVRDHFNKNNEAKVLLNTVKKYRVTN >Sspon.03G0017440-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3C:74307176:74308555:-1 gene:Sspon.03G0017440-2C transcript:Sspon.03G0017440-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVLLSAVASDIVGRLVSFLISKFQEAGSTDNDVVRLQRALLRARVVVEEAEARQVTNRAMMLQLSKLRGEMCRGSYVLDAFMRRAVAVDPCRGSHAMASRSPAGLPERLESGRTLTGGQVVSAVLNTLEAALSDMKEFVVLLGACPRLNRQPYCAYLFMERCMFGRQMEKEQIIGFLLQPATAQDFDVLPIVGPHEVGKRTLVEHACLDDRVRDHFAKVHHLRSDDLDLGLQSHDHDHHQGLMKDTAARSLFVIDLAGGEGGEEEERWRRFRSSMRRRTHGESKIIIISRKERHLALGTVPPLRLRAPRREELWYLFKALSFGGADPEERPELVRIAMALFNIIPDLAPFAAANKLAAALRADLSARSWRRVLKVSAGVTELQLGTAAAARGPRRPEEKTGYYYPSVPVKDAPNAPCVFYGRRKSTGMARSELPKVTMMEVTEGVVARGEKRFDVLVW >Sspon.02G0001800-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:5786598:5791575:1 gene:Sspon.02G0001800-1A transcript:Sspon.02G0001800-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQNHIAAQGSDQTAFSILTRQICVDGWRADKAALIGVLCRRTAAQRAAIRRAYAFLYREPLLNCFRYKLSRHCLLSVDFWKAMILWTMDPAERDANLVHEAVKKKDESYVSVLVEVSCASTPDHLMAVRKIYRKLFSSSVEEDVASSPALQEPLKKVREIMRGNVESSVQALVSFAACRIDMFRMFRLQKGLCSCTTPTLQMLLRLVSSYRYAGEHVDMDVAKLEAAQLSEAIIEKRLHGDEVARIISTRSKPQLRATFQQYKDDQGTDVVEDIGSSHCGGGGGKQLAGMLRSAVLCLTSPEKHFAEVIRHSILGLGTYEDMLTRVIVSRAEVDMEQIKEEYRARYGSAVSVDVAGDTSFGYRDMLLTLLRGQELYYTTNERKLHVIKRKNYSENSDCITLREMKLHVIK >Sspon.02G0039410-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2B:53499033:53499411:-1 gene:Sspon.02G0039410-1B transcript:Sspon.02G0039410-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding QPRSRTDAWVAPKTLAAATSSLHSPPPRRVPATASWAGPKVQAIATSFLLSTLIRHVGGAHLDCGGSGVLYNDAASARVLSNNPKAATRINGKSWQLIRFLSLSLMFLWFDMEDEVLHVDLSASY >Sspon.02G0000220-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:1039564:1040227:1 gene:Sspon.02G0000220-1A transcript:Sspon.02G0000220-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SMALVFLPTLASATDHVVGDSQGWTLGFDYAAWAESKQFKYASSSHNVAEVSGPDFKACKKAAGSVWNSGDDRLSLDKPGRRWFICTVGSHCQLGMKLNVTILPATTPTPLPAPAPAPAPEPSQPQLSRRFFLSNW >Sspon.01G0006760-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:16918158:16920960:-1 gene:Sspon.01G0006760-3C transcript:Sspon.01G0006760-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASAGKLAAVLHRRTRRVTSALAYAALEWVLITLLLINGLLAYAVARFADYFGLSPPCLLCSRVDRLFLQAEGGGDEAGAAQWLRDALCGDHAAEISALGYCLRHRRLAVAGEMCDGCRSEWKEKTSDAAGACTCCKAVVRTSLRELEDTITKGGHVLEKITEEVEDGDQGYVLLAQDDQDHEEDEEEPDEVENQEQEQQSEVEGQQQEDEVMAAVQDESLEYMDQVEDITAIEDDRLVSVVALDEMTIADDSGLDRDVEEEDGMNHVVEDEQDSRDVDIGVVLEEKRMLDSSVATPADVIEDSVMPISPVTCPETLTDPSHPHHNSISQDDGDVPEETAEIGDSTVDEQHIFVPQVSEAISEDDNRTAEVDTNCEVSIGSDICEREQDDHAVPFQDLAAFEEPVSPLAAAVDLPLALEILEPTEQEAGEVEQEEVTTRTGLDLQANEQNEIEEDKAPETPTNSAATQRSDRMFLLERKRSLSLSLDGSVASEMEGGEPSTVDQLKSALQAERKALGALYAELEEERNAAAIATNQTMAMINRLQEEKAAMQMEALQYQRMMEEQSEYDQEALQLLNELVTKREREKQELERELELYRQKVQHYEDRERRRTASFKANGVVSPSGSGTSVSSSGEDSDGHSDDYCELGESPDGGNVQSSSDAALGSMRDQDSTKHLAALDDSLTYFEMERLSILEELKTLEERLFTLEDDDINTSKQVTGHSSSDFDLSADGLQLPGMLSPVTRQVGDETCDQMPSSGVGEADQADDSATKPVSVLVKEQERLAIIEEVDHVYERLQALEADKEFLRHCIKSLKKGDKGMDLLQEILQHLRDLRNVELHVKNAGDAIAANSA >Sspon.02G0007250-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:20974788:20978126:1 gene:Sspon.02G0007250-2B transcript:Sspon.02G0007250-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding YDSRDTDLREANNYSQQNNGHDEHFCNDRVPAEEKSIDVSAEGLVDGSGNLQCDEKMKTKGDTCQKDCKSFCVHSQKYSEASSFARYGEHSTIDENQFVGDISPSTTSTLHELETPSSRGDNLREEDNQSINGSWEERGLWISSLGWPAPIDTMSPDSWHQDTMGDIENHNNQIQFNDRPWIDSPNSWRSLCVVTQSDYRALSRNADICNLLESKKVSKSLESDFSNKMNQLLLTVLQKQRQQRMMDDFGGYYAERMYWRQNDEIHDADKEASAPCSLAPVSHLGAHQQESWPHSSFGSQHHDNQNLLEMEVRVRGEMSQIHHEIYELRKLVESCIASQVKMQHSIKEEVCSALREAGLMPSQPDTTAAKRGCFVDGEDFYGDLDFKETRT >Sspon.06G0014540-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:63072993:63075660:-1 gene:Sspon.06G0014540-2B transcript:Sspon.06G0014540-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYFPEEVVEYILGYVTSHRDRNAASLVCRAWYDIERHGRRSVLVSNCYAVRPERVHMRFPNMRALSVKGKPHFADFNLVPAGWGATAEPWVDACARACPGLEELRLKRMVVTDECLESLSLSFTNFKSLVLVCCEGFSTAGLSDIATNCRFLKELDLQESCVKHQGHQWINCFPKPSTSLECLNFSCLTGDVNAVALEELVARSPNLKSLRLNPSVPIDVLPRILSHTPMLEDLGTGSFVLGNNAGAYISLYRALGKCTLLKSLSGFWDAPG >Sspon.01G0040140-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:39076799:39078194:-1 gene:Sspon.01G0040140-1B transcript:Sspon.01G0040140-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MYHDGFNNSYREGIEGGGMPCQRKGKEIAVEVPPVAEEKPQLSKGKETVPESDGYESEWESDFESYSDPEEEDEQEEEEQEDELEEQQEKKKKPAWLETLLRTKFWDPCKEHGSKNRADQCMFCRKCSKVTCPRCTHSMPGHRRLKIRRYVYRSVVHASEMQQLGIDVSRI >Sspon.04G0003700-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:12223540:12226459:-1 gene:Sspon.04G0003700-4D transcript:Sspon.04G0003700-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSASRFIKCVTVGDGAVGKTCMLICYTSNKFPTDYIPTVFDNFSANVVVDGTTVNLGLWDTAGQEDYNRLRPLSYRGADVFVLAFSLVSRASYENIMKKWIPELQHYAPGVPVVLVGTKLDLREDKHYLMDHPGLVPVTTAQGEELRRQIGAMYYVECSSKTQQNVKAVFDAAIKVVIQPPTKLREKKKKKSRKGCSLVNLFCGRKMLCFKS >Sspon.05G0003180-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:9474528:9478287:-1 gene:Sspon.05G0003180-1A transcript:Sspon.05G0003180-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQPPPPQPQPGMAPPPPAQAGGGQPPQWGGIPPPMTQQYGAPPPQQPPAMWGQPPPQAHYGQAPPPQPYYAAPPAPAAPAAADEVRTLWIGDLQYWMDENYVLGCFLSTGEVQNVKLIRDKNSGQLQGYGFVEFTSRAAAERVLQTYNGQMMPNVELTFRLNWASAGEKRDDTPDYTIFVGDLAADVTDYLLQETFRVHYPSVKGAKVVTDKLTMRTKGYGFVKFGDPTEQARAMTEMNGMPCSSRPMRIGPAASRKNTGGVVQERGTNSQGAQSENDPNNTTVFVGGLDPNVTEDTLKQVFSPYGEVVHVKIPVGKRCGFVQFVTRFSAEQALLMLQGALIGAQNVRLSWGRSLSNKQAQVQQESNQWGAAAAAGAGGYYGGYGQGYEAYGSGYAQPQDPNMYGYGAYAGYPNYQQQQAAQQPQQQQVG >Sspon.05G0006790-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:15049235:15066667:-1 gene:Sspon.05G0006790-2B transcript:Sspon.05G0006790-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSPIAAVQDASDDADARVVVLVAGSPVDSASDSLPPPPVAATPAAEAKQEPVPVLGPEEPKQEAAAAAAGVQAMAVTVVRDVETGLDASTSDRDGDGAGDKPSWFTPKRLLVMFCIINMLNYVDRGAIASNGVNGTRKSCSGGTCTSGSGIQYNPFRLIGVGLLVWTIATAGCGVSFDFWSITICRMFVGVGEASFISLAAPFIDDNAPVAQKTAWLGMFYMCIPSGIALGYVYGGLVGKYLNWRAAFWGESILMVPFVILGFVIKPLNLKGFAHNTRKEYDQMLNPQVQDQINNNGTKHVLPGGIEDLSGKVPQKFALYNPFRLIGVGLLVWTIATAGCGVSFDFWSITICRMFVGVGEASFISLAAPFIDDNAPVAQKTAWLGMFYMCIPSGIALGYVYGGLVGKYLNWRAAFWGESILMVPFVILGFVIKPLNLKGFAHNTRKEYDQMLNPEVQDQINNNGTKHVLPGGIEDLSGKDKINNWRATALILTSILFAAAVFWFIGIFVPSVDRFNEESEDGLTVAERSNLRPLLDENDEPRTSD >Sspon.07G0010310-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:31530716:31544193:-1 gene:Sspon.07G0010310-1A transcript:Sspon.07G0010310-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALGDGATATPPVAKLSISGAALAALLHRCAAAASDCDGLLFGRAAHLPAPPAALSDYDDAAAAATPAPALSISVSGHCSLSHPSSLSDSLGRFRPPSTSSPSPTPIGFFSSRRRTALRPSMREAALAHSLSATLASAHPLLIILVSPSASPNHSTHSYDYRAFLLLGGRLVPASLAVVNVGPGFRDQYHAFTAESPMPWLPAAPTPGHAHTIAEQKAVDEMVDGFGVGRLQGVLGSAAGQAAEMDEMYAGMLRKLEKLAREVETSNLRVLEQEKRNLVLSNL >Sspon.03G0033690-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3B:54380280:54384578:-1 gene:Sspon.03G0033690-1B transcript:Sspon.03G0033690-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPCTIDGFAHRTAPGGRIHHGNPEFANVDGPTLTFAFGPSQVFYSEVPNSEAYGGHALERASRGRIPEFTYIGVQPPLISSHDQVFWPRNLRSMAHGEPTHGMALGGYILLGNHEFALINELMPIVSSYLGQAPHTRNLDPTARASKDTGFLSVHHTTLSGPCHVTFRDIPKPNAKKPSSGALKHVEDIEVARVDPKDDPWSQAVAWGRRPALGCTTFASKGAVCSSKAIVKTARHETWLAGPKSTSQCTHANCRYIAFDCHSLAGGEVAGDKELTAMMLNLMVEAGREQRCVAIVEGGCGDVNRW >Sspon.08G0019850-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8B:15727950:15728345:1 gene:Sspon.08G0019850-1B transcript:Sspon.08G0019850-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTCVPIRVRMRPLIRDPPLLIPSREPPAPARCCSACPLSGPASTPGRTPSPSLACCRPRLPALPPSLPLPLRPPLRPGSRSPIGDEDDGAGSDEVGWSFSGSEPSSSGYERRSGARVLSSSGGPISSSLR >Sspon.08G0003690-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:9390179:9418915:1 gene:Sspon.08G0003690-2D transcript:Sspon.08G0003690-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGTKLCSRHLPQDIIYCVGGVSVFFPLFTQFFDAASDIEKCCHTSVINDKLAAEVIELVATVLDGNVSNQQQMYLLSGLSILGFLLQSATPQLLTTKTLSALKYMFDILRKCGMSKVLLKDAISQIYLNPQIWVYASYEVQRDLYLFVIKYFETDGRLLPLLCGLPWVIDIVCRYYWENADSKHVVASKPLLDPVTKRVIGERPKVVEIRKLRLLLLSLAEMSLKLKVSPDDIRVLVAFFERSQDIACISDVLDMIIRALSQGAVFSSFVENVNYLGGCCIFINLLKREFEPVRLLGLQLLGKLLTGIPSEKEGTKLFTLPLGKSRPISVNLTKEITAAPQLFLNTMSERLFKFPLSDNLCAALFSVLSGTSAQQENSQSDPSRGRNCNLLSLPPFSLPQILICIFRFMHSCKDSSARTRILNLLLGLLDSNSSNIEALMEHSWNSWLETSTKLDVFKDYKSVSKGEPDDVKTDELSLVRKLYSLVLSYYLRFVRGGWHQLDDTANFFLLKIDQGQLSSSDLLRDILDDIAGSLLQKSVEDNIFLSQPCCDNVLHFLNLIQELLVSQMGIRLLFLSPSISEESSHDNIWKEDIKSTVNDILNTESNGHCTRFSDVNEISDDWWNFFDKVWSIIRNLNGKGPSKIVPKDPNVEVASLGQRARGLVESMNVPAAEMAAVVSGGGGIGTALGIKMNRFAEKTTTSREEIIPRIFFHLVILYLCKAGLENASKCVLQFMSLLPILLISDDDQSKNKLHFLIWSLLIVRSQYGQLDDGARFHVFSHLILETIIYGKSMLVTNILGRDDSVDTTNNKETGFILSFIQKDRVLAAAANEVKHMKAVQADRLKQLQELRLKLNECSATDIQLVQAIEDEIHFTITAALSADDSRKTASQLAFREDQQLITDKWIHISRALMDERGPWSANPFPNDVVTHWKLDKTEDRWRRRFKLKRNYKFDERLCQPSESRNEITTSSADQPYISAKIPEKMKRFLLKGVRGITEDSSYEPFEDTNDATESSQSNPLESQNLNNAADTSDYHAAVHYKKEPSSTNGDNDYTKVLCSVRCVLVTPKRKLAGQLDITRTVMHFSFEFLVEGTGGSSVFSKFKDKKDSDCKNELGGVDRLDGCRDSMITTNGVLMQNQSNKIKRHRRWNITKIKGVHWTRYLLQYTAMEIFFDDSSAPIFLNFSSQKDTKNAGSLLVSLRNEALFPKGSTKDKNNIISFVDRRVALEMAENARERWKRREISNFEYLVILNTLAGRSYNDLTQYPIFPWVLADYTSEKLDFNKSSTFRDLSKPVGALDENRFKVFEDRYLSFCDPDIPSFYYGSHYSSMGIVLHYMLRLEPFTALHINFQGGKFDHADRLFQSIESAYINSLSSTSDVKELIPEFFYMPGFLENSNSYHLGVKQDGEPIGDVALPPWAKGSPEEFIHINREALESEYVSSNLHNWIDLIFGYKQRGQPAVEAANIFYYVTYEGAVDLENMDDMLHKSAIEDQIANFGQTPIQIFRMKHPRRGPPIPIAHPLYFAPQSITLTSSVCSTVSHMCAILFIGLLENTVVLMNEGLILSVKLWLTTQLQSGGNFTYSGPQEHLFEIGSDVISPRKIGTFLAENVKFGRQCLATMQNSCDNYLILCGNWENSFQIISLSDGRIMQSIRQHKDVVSCVA >Sspon.01G0025130-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:89019250:89021158:1 gene:Sspon.01G0025130-2C transcript:Sspon.01G0025130-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MITRSKLVEQLRDYQIRSQHKRDVIGAISWGLLCCFLIISSYMTLYFRHFWLSAIIISLGILLPAGLYILRQRKLAKKRERRLLLPLSM >Sspon.05G0028160-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:58996779:58998961:-1 gene:Sspon.05G0028160-2P transcript:Sspon.05G0028160-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable indole-3-pyruvate monooxygenase YUCCA10 [Source:Projected from Arabidopsis thaliana (AT1G48910) UniProtKB/Swiss-Prot;Acc:Q9FVQ0] MELAVLIIGAGPAGLATAACLRQRSIPYLIVEREDCSASLWRYRTYDRVKLHLSKEFSSLPYMPHPDSAPTYIPKEEFLKYLDCYTEHFDIKPRYCTSVLSAAYDEETSRWIVAARDTVAGTEILYAAKFLVVATGENGEGRIPEILGLESFHGEVIHSSTYKSGSSYAGKRVLVVGAGNSGMEIAYDLASHGADTSIVARSPVHIMTKGLIRLGMILVQYIPITIVDLFITNIADFVFGDLSKYGIVRPRIGPLLLKSKTGRSSVIDVGTAGLIKKGIVKVFKGISKIIGNNVQFECGNDCEFDAIVFATGYKSTANLWLKDDKCMLNSDGRPSKGYPNIWKGENGLYFSGFARMGLAGISKDAYNIANDIVSVY >Sspon.05G0032190-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5D:25025818:25026595:-1 gene:Sspon.05G0032190-2D transcript:Sspon.05G0032190-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLPKFTAPVCSISFTIHNSPRFYLLPHPTAEKGEISSPILLQKRVRSPPSTLPKRQSQIHTGSWLQFESMDGDGSIDPTDLYSMDDYLQEQEIIDDLGDHLVAEMQSLVDVLQGGRTQGGPMRYVDNPREQASQQLMDDYFSPNPVYSETHFCRRFMMRRPFFF >Sspon.04G0019790-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:74739319:74743617:1 gene:Sspon.04G0019790-3C transcript:Sspon.04G0019790-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYVLSAVARVLEQPTAWGAAWEMALLAGPLWAAALLGLLLGWAWRPRWAAGLVVATADGGSAAAAAQPPFATLDFWKAQLPARLRAPLGYAGATVQQREDDEDAVQGSSEMANEELAVGKDDLVNLWRLVEGRDGGPAWIKMMEKSLPTMTYQAWRRDAQTGPPQYQSSSIFENATPEEVRDFFGDDEFRMSNKWDDMLIYHKTLEECQTTGTMKVQWVRKFPFFCSDREYIIARRIWKLGGAYYCVTKGIPCSSIPRRNKPRRVDLYYSSWCIRAVESKRGNGGLTACEVLLFHHEDMGIPYEIAKLGIRQGMWGCVKKIEPGLRAYQEARAAGVPASRSALMARINTKVGDNFVRSLESNSDESEIVEAEEKPARNHVARFLVLGGAVAVACTLDQGLLTKALIFGVARKFVGQRKTL >Sspon.07G0011510-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:46226264:46231488:1 gene:Sspon.07G0011510-2B transcript:Sspon.07G0011510-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVVGFDLGNESCIVAVARQRGIDVVLNEESKRETPAIVCFGDKQRFIGTAGAASSTMNPKNSISQIKRLLGRKFSDPELQSDLASFPFRVTEGPGGFPLVHVRFLGEERTFTPTQLLAMVLSNLKGIAEGNLKTAVVDCCIGIPVYFSDLQRRAVLDAATIAGLRPLRLFHETTATALAYGIYKTDLPEHDQLNVAFVDVGHASMQVSIVGYKKGQLKMLSHAYDRSLGGRDFDEALFKHFAAKFKEEYKIDVYQNARACLRLRVACEKLKKVLSANPEAPLNIECLMDEKDVRGFIKREEFEHISAPVLERVKGSLEKALAEAGLTTENVHFVEVVGSGSRVPAIIKIITEFFGKEPRRTMNASECVARGCALQCAILSPTFKVREFQVNDGFPFSIALSWKQDSQNSAPQQTIVFPKGNAIPSIKALTFYKSSTFEVDVLYVDTGDSQIPQKISTYTIGPFRPSKGEKAKLKVKVRLNIHGIVTVDSAMMLEEEDVEVPVSSANEAPKDTTKMDTDDAPSDPISGTDVNMHEPKSADSTEAAPAAENGAHDPEEKSVPMETDAKVEPSKRKVKKTSVPVHELVYGALALADLQKAIEKEYEMALQDRVMEETKEKKNAVEAYVYDMRNKLYDKYSDFVTPEEKEGLIAKLQEVEDWLYEDGEDETKGVYISKLEELKKIGDPIEARYKEWTERGSAIDQLVYCINSFREAALSNDQKFDHIDISEKQKVINECSEAENWLRERKQQQDALPKHANPVLLVSDLKKKAETLDRFCKPIMTKPKPAPKAQTPPPQTPPPQPETQAPEPQTPEQQQSGSGATGGEPGSEGGVQQASGEQMDMDKPDDSADAATA >Sspon.08G0029670-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:46548729:46550279:1 gene:Sspon.08G0029670-1D transcript:Sspon.08G0029670-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATACVASGLPPGILFSPEDEVAVGHYLLPRLQGWPLPIEGLILDDDPLSAAPWELLERNGRKEQAFFFAEGQARCGKGTRQRRTCAGGGWWEGQKTCAEGHKLRVPGGGGGKEAAWRKKAFNFHSGSGGDGKRNTGWVMHEYAVTGPEDLACSPLRLYHIRLSSYGRKQCGAMEVLPGLGFLLGFVFAPEDSGIVVHYLLPRMLGQPLLLDGLILDDDPLSAPPWELLERNGRRETPSSSPWASQEQQGLPAEADLRGRRVLERGEDARRRREAVRPRQYGGGGVAEESAQLPGRRRRKGGQHGVGDAQVRDHRPRPPGRVAAKAVSHPVHGHGKKRKQRGDGVGGAGDSCGDEPARNEAWRRCVAEDDALLHMSSPQQPISSSTVLIGHNCINGDGADHHAAPVTLLAPGIVDTNCDSLGSFDINELFRLVDDSPSPNPCVLPAATTGYGAHLEANGAGSSFFYQTMATAPPCSAVDSALVTPMNMMMHRGCMEPLDSAIFFTTPPNQYYAAC >Sspon.05G0013000-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:5B:35212689:35212797:-1 gene:Sspon.05G0013000-2B transcript:Sspon.05G0013000-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIITKPFSSSGGPIEQSPMYETDARVKPSDASTEL >Sspon.02G0057580-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:62108230:62131180:-1 gene:Sspon.02G0057580-1D transcript:Sspon.02G0057580-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRAPPRRRPAPGAAGGGPGPGFGDSARVLLALAALYAAMSLLAYRVIHMRHVAPLGADAPRGNFSEGRVLQHLRRLAVDIPGRQVRGPHPPFGWPRPSLVWPGDADLLLLLLLLLLQEGSPGLEAAAQYIKGELQGLAAVRARSTEVEETLVSGSFSMMFLRHRVTLGYRNHKNIVMRISSNVSEDDDPSLLVNGHFDSPLGSPGAADCGSCVASMLELSRLIIDSGWVPPRPVIFLFNGAEELFLLGSHGFIKTHRWNRTISAFINIEASGSGGTDLVCQSGPGSWPSRIYAQTAKYPMANSVAQDMFGIIPGDTDYRIFAEDITNIPGLDIIFVLGGYFYHTSYDTLENLLPGSIQARGENLFNLVKAFTNSMLLKENEISNKAAKDGIEDLRAVFFDYLTWFMVFYSRDISLILHSLPVAIFLLVPLFLKFPNITLMSWFVTLLGFMRGMLLHAFGVILAIFIPAVAAALRLLFTKNAMNWGYRSKHTFGAYTLAGLSGGFLTFFISMSMLLGRFVSSINRKQWSQQSPRSLVAYVLPMIPCLLYCLYYGGFLIQFLIEKMGMMGSLPKPYGYFVPDVIVGAAVGLVVGWCFGPLAPVAGRWLSKTSILHVFLQITVVALAVSSQLFPYSTGAPKRVVLQHTFVTDGNNVIDSSYGFSVVDSNSLEFLFNNAPEAARWLKDNSELSFEEKYRSDRSSWLALYPVPFLFSGSLKFQAQTEEIRKYYQHFPQLAVQEIWDNNGQRRVHLKLSLGSLSEIWTSVLNITGPLSNWSFADNMLPAPQTVSGGPPSYICRLSGKNDVDWSFWLEANSSESLRIDVAVLDQYLVDSTKKLKSLFPSWADLTAFTTFFSTYHL >Sspon.01G0015400-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:44951149:44958817:1 gene:Sspon.01G0015400-2B transcript:Sspon.01G0015400-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLNRRRLGERVEFRFSGLRAVQTIVEASVDGFISSLFVECASMLFLIVPVVSDRLLLSIISVDTGKTIARSSKAAARNGICQWPDSILESIWFSQDEVSKEYEDCQYSEDSTAISLPLKKCNSGTVLQLKIQCLGTKSKSSPSNDDIKSDGSNNLITRNVNFSSTNHLGGFHQDEVGIRDTSFSPSPRNDSDEGLYIERQDTSSSFIDDISVGRGDLIYRSNDSSFSNQTPGRNMLQESIDESSLSGFAQLSSGASGSSKDLLDAAEETIDELLNEAQMWESHSQKLKNDLETLQKECDEKSKKQTEILLELSASQAEQESLRQEIEELKLSLEVANARQIVTGIPRSGDAIDVQLELKDEVQFLRESNENLTTQLKKSQDANIELVSILQELEETIEAQRTEISNFTQMSNVIDHEVPMNALSVQEDAEWERKMSLKEDEIVALREKLDRVLSIENAGGASSVAIYLELEKENDFLKVQMQDLENDCSELTEENMELIQKLKEVSGVEGQDSCISDIQEMLNATDLSGTSKSRAKYLERKCADLELRMLNFQSESRELEEKLKKSQEELKERSLELSELRENLSSFRATELEREEINIARGYQLRSEELGDTGSELNLLKGTVQLKEKEIEGLQHSKLEMEAFIDNVLGQKIHELEICKVELELHISRLEDEKLELLESISGMEVELTNLTSEYESCIVQMDDSRTMIIDLKDKVEWQQSELEAQKVEVKQKQLEFQKRFSEVQEDSEALRRLNAKLQAKVDNLIEDCNSLQALMDDLKKEKLELHSCATQLEQELEHSKRKTTDFCRTVDFLEVKLSSIQKDISSKERSFLLELENILHEHKEHEEKINRAHFLLNKIDKEKTIEVENLEREVMSLTAQLSSTHEDQESSMLDTIREASILRADKAKLQANLHDVNEQLRRYESQLEDIRKESKSKIKSLADSLNASKQNEEMLKTDAEDMRRLMEAAKSNEENLRITSNELELKYKSSDYEKQQIMEENSGLKIQVQKIAGVQDELLKVQSSLDEAKFEKGRLEELLRLMSEECDELKVQKAMLTDKVSHTQDTSNKINGDKQSKTSMQAKLSSIKQGNNDLATDNGGCSPVNEESDLQAKIQSLESRLAEALEENRLYRTQVKSPTAERQSGSRNGEGNNDDKIAQLESELKDMQDRLLNMSLQYAEVEAQREELVMELKNEACCENARGIQILEATTVRIEQGHQEPRVFSHLGD >Sspon.01G0009530-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:28495076:28500737:1 gene:Sspon.01G0009530-2D transcript:Sspon.01G0009530-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPVYAVQSFFSLLDSDVAFICELMRDCYEAFAMYCFERYLIACLGGEESTIRFMEGRLQISESSPLLDIDYDYGIVKHPFPLSCFMRNWYLGPDFYHAVKIGIVQYMILKPICAVLAIFFELLGIYGEGKFGWKYGYPYLAVVLNFSQTWALYCLIQFYTATKEKLEPIKPLSKFLTFKSIVFLTWWQGVAVAFLFSTGLFNGHLAQRFQTRIQDYIICLEMGVAAVVHLKVFPAKPYSRGERSVRNVAVMSDYASLGAPDPEEIGGGIDSLTVLQTPATKDRRLSFSQSVRDVVLGSGEIMVDDVKYTVSHVVEPMERSFTKINKTIHQISENVKQLEKQKRKAKDDSHLIPLEPWSEEFSEAHNHVVGGSVSDSGLAKNNRMSNRPRRSFESRLRKWF >Sspon.07G0005630-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7A:14420297:14420704:1 gene:Sspon.07G0005630-1A transcript:Sspon.07G0005630-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLWEKAVSTKPKVQNVKQVVSDARNQREEGLQAGVWGSARLWGRSWAARHTEDVRRDLRLHTIGHKEGKIHHNLDTSKDNCNSRCTGRISKSSSLKKDDWEFTLPCSPTPWAMDASSLRIAHKCCCKVQFSILHA >Sspon.05G0001950-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:6301755:6302189:-1 gene:Sspon.05G0001950-1A transcript:Sspon.05G0001950-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQAIESHRAGAEIVTGGDAICRKKSIELLEELGLPKGFLPLEDIQEFGYNRETGFMWLVQGKKKVEHTFKKIKQTVSYAAEVTAFAEKGKLRKITGVKTKELMLWLSVVEVYVPEASPEKVTFKTGTGLSDTFDASAFALGE >Sspon.07G0009200-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:22431547:22458275:1 gene:Sspon.07G0009200-4D transcript:Sspon.07G0009200-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein unc-13 homolog [Source:Projected from Arabidopsis thaliana (AT5G06970) UniProtKB/Swiss-Prot;Acc:Q8RX56] ENVVELLQRYRRDRQVLLNYILSGNLIKKVVMPPGAISLDDVDIDQVSVDYVLNCAKKGEPLDLGDAIRLFHDSLDYPYVNNTGAVEEFYLLTKPEYSGPAPTREPPPIPATAPSPVVIPPPDVEPAPVIVSSPVAATNLTKSQSFDSPTEKELTIDDIEDFEDDEDEFDSRRASRRHQTDASDLSLRLPLFETGITDDDLRETAYEILVAAAGASGGLIVPQKEKKKEKRHRLMRKLGRSKSESVDTSTQRQPGLVGLLETMRAQLEITESMDIRTRQGLLNAMVGKVGKRMDNLLIPLELLCCISRAEFSDMKAYLRWQKRQLNMLEEGLINHPVVGFGELGRKVNELRSLFRKIEESESLPPSAAEVQRTECLRSLREVATSLSERPARGDLTGEVCHWADGYHLNVALYEKMLGSVFDILDEGKLTEEAEEILELLKSTWRILGITETVHDTCYAWVLFRQFVLTGEQGLLKVVIDHLRKIPLKEQRGPQERLHLKSLRSSVDAEGSYQDFTFFQSFLSPIQKWVDKKLNDYHLHFSEGPSLMADVVTVAMLTRRILYEETTRHWSLLTEIRLTVTSVLQSKMAHSVEFKADATHEHVLASLAEETKKLLKKDTTIFTPVLTKWHPQAAVVSASLIHKLYGNKLRPFLEHSEHLTEDVVSVFPAADALEQYIMSVIASVTGDDGLDSICRNKLAPYQIESKSGTLVLRWVNGQLERIETWVKRAADQEVWDPISPQQRHGNSIVEVYRIIEETADQFFAFKVPMRDGELNNLCRGLDKAFQVYTQLVTAPLVDKEDLAPPVPVLTRYKKELGIKAFVKKEVQEVRTVDERKASEITQLTMPKLCVRLNSIYYGISQLSKLEDSINERWARKKSENTNIRRTTSEKSKIAVHNQKNQFDGSRKEINTAIDRLCEFTGTKVIFWDLQQPFIENLYRNGVAQARLDTITEVLDLVLNQLCDVIVEQLRDRVVTGLLQASLDGLLRVILDGGSTRVFSPNDAAFLEEDLETLKEFFISGGDGLPRGTVENLVSRVRPVINLIKQETRVLIDDLREVTQGGKSKFGADSKTLLRVLCHRNDSEASHYVKKQFKIPSSAPSA >Sspon.02G0039640-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:58828425:58837851:-1 gene:Sspon.02G0039640-1B transcript:Sspon.02G0039640-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMEHGEDCCVKVAVHVRPLIGDEKLQGCKDCVAVVPGKPQVQIGSHSFTFDHVYGSTGTPSAAMFDECVAPLVDGLFQGYNATVLAYGQTGSGKTYTMGTACKEGSHIGIIPRAMAVLFDKIENLKSQVEFQLRVSFIEILKEEVRDLLDPTAATVGKVESGNGHAKLSVPGKPPVQIREASNGVITLAGSTEVHVSTQKEMTACLEQGSLSRATGSTNMNNQSSRSHAIFTITLEQMRKTDPIMTADGMPIEEMNEDYLCAKLHLVDLAGSERAKRTACISPADINAEETLNTLKYANRARNIQNKPIVNRNPVADEMKRMRQQIEYLQAELVSARGGVASDDVQGLRERISWLEQTNEDLCRELYDFRSRSQNDPCEPEIQRTLNGFTKSEGLKRSLQSTDPFDVPMTDSVRGNPKDIEDEEAKEWEHTMLQDSMGKELNELNRQLEQKESEMKMYGCDTVALKQHFGKKLMELEEEKRAVQQERDRLLAEVESLNADGQMHKLRDAQLQKLKSLESQILDLKKKQENQVQLLKEKQKSDEAAKKLQEEIHFIKAQKVQLQHKIKQEAEQFRQWKATREKELLQVLQRKTEEAAMATKRLKEILEARKSSARDSSAILDITQMSERSLQKWFDQELEVMVHVHEVRNEYEKQSQLRAALGEELAILKQDDIRADGSSPQRGKNGNTRTNTLSPNARQARIASLESMVTISSNTLVAMASQLSEAEERERAFSGRSRWNQLRSMGEAKSLLQYIFNVAADARCQVREKEVEIKEMKEQMTELVGILRHSESRRREMEKQLKQREQTAPMATTPPKSGNGTAKHSADDPNTPLSPVAVPAQKQLKYSAGIVNSPSKGIAAIKKEQLKMVPIAQLSVGRKVSIAGQSGKLWRWKRSHHQWLLQFKWKWQKPWKLSEMIRNTNYLHKVTKQLILHEISFVLVFTGPRDRQ >Sspon.05G0019180-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:84027693:84028876:-1 gene:Sspon.05G0019180-2B transcript:Sspon.05G0019180-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMESSGGSGGGSDPLLAPGFRFYPTEEELLTFYLRHRLAGTRPVVEHLIPVVDIYGYHPSELQALAGAANLADTEQWFFFCPRAERELHGGRPARTTPSGYWKATGSPSYVYSSSPTANNRVIGEKRTMVFYQGRAPTGNKTRWKMNEYKAIADDADDAPAGAPIMLRNEFSVCRVYISTGTLSSSSGSQGGGSGVDGAEDAATAIDWDSLIPPVDDDLAFSGVDDLTRVIWPHN >Sspon.06G0004210-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:13240808:13244085:1 gene:Sspon.06G0004210-1A transcript:Sspon.06G0004210-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKLQSEVLKDAISQIVGDAKEKNRKFTETVELQIGLKNYDPQKDKRFSGSVKLPHIPRPKMKVCMLGDAQHVEEAEKMGLDYMDVEALKKMNKNKKLVKKLAKKYHAFLASEAIIKQIPRLLGPGLNKAGANSLRWLLTRNPLNPR >Sspon.06G0022960-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8D:61301739:61303284:1 gene:Sspon.06G0022960-2D transcript:Sspon.06G0022960-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEYGLDVECPHIFNGTHFARWRNWMTCNFKFISPQLWWMVDVGFSHVLDEENLTQAQEKCLDLDAQAIDIIFRSFDDSVFGEIMNMKTAHEIWSYLNEKYGTVSDDYDEDDEPKEEAHEDVEHDHNMVVVEDCSTSWSSDDNDRFTTSSLDKDGDDASSVASDVSTPSTLDGDESSCSGLDNDATTSPSTTPHCFMSQGDTKVSNDNVVDHVDSYDELVSRLASMTTSLENEKAKTMKLENENSFLKTTCEQQKHLLYVTTCSHEELKLAHEELSVAHDNLAQEHALLTKKFSNEETKTNTCSTSMSCETNLLKENNELKKEVKNLSNKLERCYNSKVTFEHMLNNKRSYGDMSGIGFNKSNIKGKRWGKRRYEREMKKQEQEKLSHFMCFKCHKMGHLANGCPNEEKLKLKKEEERLKHVKCFKCRTWGHLTSMCPTKKLVKQQKEPQPKPQVEQETTPQAQSRSTMKMMVT >Sspon.02G0003120-3C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2C:10523595:10523978:1 gene:Sspon.02G0003120-3C transcript:Sspon.02G0003120-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDRRRDKEKPRDRDREKDRDIDRHRDRDRDRDRDRDRDRDRDREATAAASASGSAPGPGPRRQTGTAPAATPTRTEAVRPPPPTPAATSAAARRPLPPPTTTTRRIRRPPTLTRHPRAEGTPRRGRG >Sspon.04G0038030-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4D:81735724:81741599:-1 gene:Sspon.04G0038030-1D transcript:Sspon.04G0038030-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ADPSTTRPPHGDDHRGGRHGRGCAGGARRERRQQGGKAGAARAPRDAPDRGHHEPPGDLLQAPERAPQEGVRAVGAVRRRGRAHRLLPTRPPLPVRLRPRVRRDQVFICD >Sspon.06G0017910-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6A:97180691:97184033:1 gene:Sspon.06G0017910-1A transcript:Sspon.06G0017910-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKMRQKYFNQNHGLLLRQLISQHADIGERMIVTLRELEKATNNFDRARVIGGGGHGVVFKGILDLHVVAIKKSKIVVQREIDEFINEVAILSQVNHRNVVKLIGCCFETEVPLLVYEFISNGTLYQHLHVEGPKSLSWIDRIRVALEVARALSYLHSAASIPIFHRDIKSSNILLDDSLIAKVSDFGASRYIPIDQTELTTAVQGTLGYLDPMYYYTGRLTEKSDVFSFGVLLIELLTRMKPFVYRSIDGDSLVSHFEKLLATSNLVDIIDPQVMEEEDGDVQEVSILAAKCTKLRGEDRPTMREAEMTLENLFVKKKVVQCITIPMRNEDETRADHISTQHVVDSTSRQYTMEAEILLSASYPR >Sspon.02G0030540-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2A:111444772:111444940:-1 gene:Sspon.02G0030540-1A transcript:Sspon.02G0030540-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding CASTSTAQHPDSALSALPSRQRAEVLLSLNQLCLLVLAVSGRPTLVALPGYYGLV >Sspon.05G0019670-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:71091595:71096395:-1 gene:Sspon.05G0019670-2B transcript:Sspon.05G0019670-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSTNLLLEEPIRMASILEPSKTSFFPAMTKIVGTLGPKSRSVDTISSCLKAGMSVARFDFSWGDAAYHQETLENLKLAIKSTKKLCAVMLDTVGPELQVVNKSETPISLEENGTVVLTPHQGQDASSTLLPINFAGLAKAVTPGATIFVGQYLFTGSETTSVWLEVSEVKGDDVVCIIKNTATLAGSLFTLHCSQIHIDLPTMSDEDKDVIKKWGAPNKIDFLSLSYTRHAEDVRQAREFLSKLGDLSQTQIFAKIENVEGLNHFDEILAEADGIILSRGNLGIDLPPEKVFLFQKSALHKCNMAGKPAVVTRVVDSMTDNLRPTRAEATDVANAVLDGSDAILLGAETLRGLYPVETISTVGRICAEAEKVFNQDLYYKRTVKYVGEPMTHLESIASSAVRAAIKVKASVIICFTSSGRAARLIAKYRPSMPVLSVVIPRLKTNQLRWSFTGAFEARQSLIVRGLFPMLADPRHPAESTSATNESVLKVALDHGKASGVIKSHDRVVVCQKVGDSSVVKIIELDD >Sspon.02G0005240-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:10550782:10552042:1 gene:Sspon.02G0005240-4D transcript:Sspon.02G0005240-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYARTVKAAAAAAAALLTAVGVRFLGPAAAAFVAEELPRARAVAATWLTPPYLYLVINAIIISIAASSRFQTSGGGGGRPSAPSYAPAADADAIGGGGGGAAGEEMLQDGIQPAIAFQVPAAAAVPVVAVKTAEVAAVKEPVMEIHTVAVAPAPAPEDEVDEDFSISRSTWTPRRRGAEPEVAADVETEVAPFADLTNSREKPLLSARFSRKAAKPSPEGSRALRVARPRKEETLESTWKAITEGRGPPLARHLKKSDTWDTRPGRRPSGGGSSGEVDPAAVASTAGAMRKAETFNDSGAGRSKAAPPAPVRREPSLGQDELNRRVEAFIHKFNMEMRLQRQESLKHYNDMLGRGGRY >Sspon.06G0002200-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:7021959:7032720:-1 gene:Sspon.06G0002200-1A transcript:Sspon.06G0002200-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ILSITSLPHIRNTLLRSYPASCQPSRKKEKGKAAVAEQRKAPGREMVDKAKVVGAPGETGNAFCGESFGRMTTSGGAGDWLPRLLGQPTDLEAARLMATESAVRLIGGTGARDWSKGFGAFDSSVGGLSGEDLGFVDNGTGVYGGWSKSVPNRSGSAPPSMEGSLAALGHLIGQQSGSFEASLATLDNITDSSKSEEQLRADPAYFEYYGSKVNLNPRLPPPLISRESRRLMNRVGKAKEWRVVSQDNSSKGSIYVPRSTLSTHREEPEDDKSPRLDSSSVEDAQIISSASNFQSQDFSLVSFQQRAASLPDSSSSNPSNSNTGDSMPVYSDINLSRSLSVDAVKQSDLNSWTPKSPLKSTVSSDLSSPPLSSSSYSGSKTGMQTSQQEKVAVDTKHGNVVLGSGAAVTELDNVDSSMKNLKLSLDGHTSSPVKQRWQDNVLQQYGSFPPAQGDPILMTTQGPHLPHIPFVDNLSHAQLKLPAGDMHQFLPQPSMTTPFYTPNSFGNPYYQNLHPANAFPTSIGTGGYAVSGSILPPFMAGYAPQGPLATPLDSPMTPSFSGRPSGFPPAGNLTGGTDFMQSYKVYGQLGAGMQPSIPDQNFIHFFQHPSLFQYTGGNQYNTMGPRFTVVGNPAESFDPQKMIPQAAYPSDQRLPLPRTGFPNSPTPRRGGTVPNYQGISSYVGVPMTYPTSPVFQGQTLPGVLPPGRRNDSVGFQSPSRNITDSPGIQGQRERQKFDESKTCSFLEELKSNRARRVELSDITGRIVEYRFDQHGSRFIQQKLENCTAEEKASVFAEVLPHASSLMTDVFGNYVIQKVLEVMELDQKIDLVHELDGHVMRCVRDQNGNHVIQKCIECVPTEHIGFVVSAFQGQVASLSMHPYGCRVIQVVLEHCGGNSQGQCIIDEILQSACILAQDQYGNYVTQVVLERGKAHERSQIITKLAGQVVTMSQNKFASNVIEKCFQHGDIAERDLLIRQIVEQTEANDNLLVMMKDQYANYVVQKILETCNENQRELLLGRVKDHMQALRKYTYGKHIVSRVEQLCGDGKFHRPLALTPRFAVLPSRILEMNRLILHRPGVSCSFAQSISEESKTDQVQLWC >Sspon.03G0003580-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:25317549:25321560:1 gene:Sspon.03G0003580-3C transcript:Sspon.03G0003580-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMATEPSSPGNPVEDLETLPLDSSSSSSSVGVAATTDPLLRPPPPPSSTSSPTAGENHGAFLDEEDEDGVEEFTPPPAPHAAAAATKSREASPGFAEITVSEPRKHAEPATGAVGVIPGSASYVSYLIATRVPDGGEFRVRRRFRDVVALADRLAETHRGLFVPARPDKSIVEGQVMQRHDFVNQRCVTIQRYLRRLAAHPVVGRSSVLHAFLTEPSGIPTSDGESPRWSPAMSGATSMAAAAPVTPTKSGRDFFGVFKDLKQTVTNGWVAVRPPPVEEEIDTKYLVHKVKLEDLEKHLVTASQQAEAFVKAYDDLRATTGLLGMSFIKLAKFEKEQATCSSQKRRAADISNFASAVVRVSRSQAKLNAEIVKHLGIIHEYMETMASVHNAFTARSNALLRVQNLSAELYFLHTRAGKLESVSSRGMDQERSRYQKIEELKETVRATEDAKAHALKELELIKENNMNEIKRFNKERRQDLVEMLKGFVSNQATYSDHFASIWTKVAEETKGYAKCSS >Sspon.01G0025460-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:100090880:100092542:-1 gene:Sspon.01G0025460-2B transcript:Sspon.01G0025460-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDSMYNAILLALLAVSILTFLRPVGWGSRRRRRPPGPRTLPVIGSVHHVVNTLVHRSLRDLAAVHGPIMMLKIGPMPLVVVTSRELAREVLKVQDPNFANRPRLLVGGICGYGWADIIFAPTSDYWRKIRKLCIQEVLSPKRILSFQCIREEEVARQVDAIRSRRRRRAGEPHQDADAIKRVIGLSSGFNVPDLFPRLREVLGELTGMKRKLREIHRTFDAILVDIIDKRRRERAQMVAAGKEVVDENVVDVMLTLQKNDDAWGFPVTDNTIKAVVLDMFAGGTGTSGSSTEWAMSEIVRNPRVMKKLQEEIRRTFRGKETITETDLRNSDLKYLKLVMKEAIRLHPAAPLLVPRESIDTAELGGYVVPGGSRIVVNAWAISRDPRYWKDPEEFRPERFAEDGAVDFYGLHFEFTPFGAGRRMCPGYNYGLAGMELALLQLMYHFDWRLPPGVDELDMEEAMGLGVRRKKPLMLCATPYVPEPAMP >Sspon.07G0035130-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:1494907:1512554:-1 gene:Sspon.07G0035130-1D transcript:Sspon.07G0035130-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GDPAGCTRSWPRVARRGVERTRPHRRPHSPGQLKLEPCFLIAGPAARPPEPGAHAQRQQIESQFQQINRSIKRGKKGGGKKKEEREREGGGGHHPIRRLVLILVRVYLGGAARSRRKRSARGEASNPSPPREPLAFRMVDKNDGSEGLKFNTSNLIQTTEEVARAFIAAASAATAQSARPSVVYSSKDESGSPMQKLQQQFSKIMKGFSSSPDLSGTYNPEVLTTQKRQWSRYQLKSLGDRCIREPSHLFESIVIVGLPPHADIQELENIALGRNDEDGKRSRNLFSNSHQVLFAYPPEKPLPLKYKDTLSFCLPGGVQVHAVERTPSFSELNEILLGQRPSKLVSMLMNDKPVFPRRSRYVITTPRCYCILSRLPFFELHFGVLQSILMEERLEWLTDGVSMLTSLSLEESCENDICEGTEVTAQKQYLDSNTSDVDKSSESSMGVSSKELSDTDSSSGCRENQLDSVSKEQQQNSSCDKEQSDLKRAIVTCCDASEVSDHFVPEDTSSGQSGAKHHELDSASGIQDESGAKNCDDSPKGNVDDEELDLFITDTILPLMRSRLCEDCESSPSSQGSPSEGRNFDTQESDSEEPSSIGDGDLVRHNNILQWAKAKKYGSLQVVCQYYQLQCPARGSSLTFHPLEHLHPLSFHRPGETVLHIAGSTIELRSRDTSLEVAEMRNSLFAEEESTALSTWAVASICGCLRLEHVMTLFAAALLEKQIVIVCSNLVLPNDMMDFLDAPVPYIVGVQNKTSDLLNRLPNAVVVDANRNQIKSSSVPQLPQHRELLSALRPYHSILVGESYLARKRPVYECTDSQVEAAKGFLAVLRDYLDTLCSNLRSHTITNVQSNNDKVSLLLKESFIGSFPIRDRPFMKPLDVELNCTYRLRKNELPSSLWQLSVCVKSWKEKPYTVRKNWTCPLAQGPAFFQDGDSSSSKACCVISGSML >Sspon.04G0013700-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4D:56391215:56399174:1 gene:Sspon.04G0013700-2D transcript:Sspon.04G0013700-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRISTFQGWWQDEHGDHDGHTWIWMQPYVIKAKKNFKKAIHPPIAIKTFQRAASHFPPARSAQPDVRARPARTRASPLSLAACSALPGPAKPGRPTRARALSPFPSSARPRHRGDHRRPARRRRSPSETEPHQQHHPNPANTTETLNGRNTARRGLTAAMAATVATRPAAWRQKRASNELGEGGGALEWPVDGEATAAALLPAMEKTGLAGNLRRGEVQQKAPGATHEDCGAAGMGNWVDMQWQWRICQANRDLTAAAERGERELSGRLLGLYNGEDDFAASVAASQARPRGRPRAHVREGTTRPPRRQRQ >Sspon.01G0000230-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:1114772:1116768:1 gene:Sspon.01G0000230-1A transcript:Sspon.01G0000230-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTTTTMKDAWDYKGRPAVSASSGGWSSAAMILVVELNERLTTLGVAVNLVTYLMDTMHLGGAASANAVTNFLGASFMLCLLGGFVADTYLGRYLTIAIFTAVQAVGMCVLTVSTAAPGLRPPACADPTGPNHSSSCVQPRGRQLGVLYLGLYLTALGTGGLKSSVSGFGSDQFDESDGGERRSMARFFSWFFFFISIGSLLAVTVLVYVQDHLGRRWGYGACVAVILVGLLLFLAGTPRYRFKKLVGSPLTQIAVVTAAAWRKRAMPLPSDPAMLYDVDVKGKHKMPRTKQCRFLERAAIVEEEVEASGSGNGNGKKKWAACTLTDVEEVKQVVRMLPTWATTIPFWTVYAQMTTFSVSQAQAMDRRLGSSFEIPAGSLTVFFVGSILLTVPVYDRLVVPLARRLTANPQGLSPLQRISVGLLLSVLAMVAAALTERARRTASLAGATPSVFLLVPQFFLVGAGEAFTYVGQLDFFLRECPRGMKTMSTGLFLSTLSLGFFFSTAIVSAVHAVTTSGAGGRRPWLTDDLDQGSLDKFYWLLAAISAVNLLAFVAVARGHVYKEKRLAEAGIHLVAHDDDVLVL >Sspon.04G0003790-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:12400306:12406799:1 gene:Sspon.04G0003790-4D transcript:Sspon.04G0003790-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMLLRRRLPLLRLLRLLHNESAASTSSSSTPPPSLQKPHATAVGPGSRRLRFLNATPVESVRGASKSSSAGAYLAIGAAAALASLPVAYADSNEQGAVGTAVSADPAEGEDLARKERKRILELVQSRGMQRGSYPQFDVAVKGQKVVVKFNMPSACNVSHLIVDLVTHIGLEAEQLGGGSEVLVRAWESPAARQITLNPPKKTSTGDHNEDGLCVLIFEPLIGSDYSEIEFIKRGSFSLKELEALISALKIAGEKNVKGSSGKNTPKKEMKIVSDLEAMGVRVYGFDGTSSVPMDGTVIWENLAGYEPQKRHVPPSPEVYDDIARGTRCKFETNRPRAVLFEGPPGTGKTSSARVIAKQAGVPLLYVPLEVVMSKYYGESERLLGSVFSLANDLPEGGIIFLDEVDSFAIARDSEMHEATRRILSVILRQIDGFEQDRRVVVIAATNRKEDLDPALISRFDSIICFGLPDQQTRVEIATQYAKHLTRSELVQFSLATQEMSGRDIRDVCQQAERHWASKLIRGQVPKDEKGEPSLPPIDEYLSCAEQRRKSLPDRTRRTSRSPALKLDIKMIGVDLSRKVIPDDHLNLETCELQKVNEKLVPVSCSYE >Sspon.02G0017830-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:20366285:20369604:-1 gene:Sspon.02G0017830-4D transcript:Sspon.02G0017830-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCAASRLSGGGGSGDGGDPVAVCRDRKRLIKAAVERRFALAGAHAAYAAALRSVADALDVFVARHTAPAPILITLPTPSSSPPGSPKPAQVQVQGLPSPATPPPPQQEEEGEEEAPASPAAAEDGGGGVQTPEMGCPYYYPSPATPPPPPPPAASAVGGWDFFNPFYGTEEVAAAISDEEMRAVREREGIPELEEAEEEEEGAKAVETKPPKAEASLGVLTPQEEAKDVCEMAANNGGLEVAVAPQGRELLAALKEVEELFAAAAEAGKEVSGMLEAATRVPELKDKSETKSDIFEDYGGMKSGSHSQTLGRLYAWEKKLYEEVKAIDQIRQTYEKKCVQLRNQDAKGSELRCAEKTRTTVRDLYTRIWVSLRAAESISDRIQKLRDEELQPQLVELLQGFTKSWKIMVDSHETQRQIMFEVNSFTCPAYGKFCNDAQRHATLKLEVELRNWRSCFISYFNAQKAYIEALDGWLSKFILTDTIRYSRGISSIAPDRAGAPTLVVICHDWYTTMSKFPNKRVSITMRNFLRSVRVLWLKQGEEQQQKRKVDSLAKELDKKVTAYKRAENRIIGTKLLEHKPEIDAKQRMEHLSEKKELLNVLRKRIETEKAKHHACMRDTHDVTLNGFKIGLASIFESLAEFSKDSVMLYEDLLARAHDKDSEKAATDKRPCIGGHTRT >Sspon.03G0008720-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:23343256:23344493:1 gene:Sspon.03G0008720-1A transcript:Sspon.03G0008720-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLMAAVENDHRRGAKVTSAQSNSMGPTARPSSSSRARLHDFSFPTLSWGTHRVLRCSKNGPASSPASPETPSPDKEEKAHRPEGGGGSLQLQRQRAALRPWSLRTRRSATAAPTRRQAGSDEADEEAQAAAECAPAPTAEAKKRAFSIALSKQEIAEDFAAIRGTRPPRRPKKRPRTVQRQLDLVYPGLCLVDVDPGSYKIEER >Sspon.08G0022260-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8B:47087637:47087930:1 gene:Sspon.08G0022260-1B transcript:Sspon.08G0022260-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFMRYRALPQGELTVEEFRAWLAQFDADRDGRISREELQHALRSLNVWFAWWKARDGVRAADANRDGGVQGDDEVARLFAFAQRHLHVKITQLGYY >Sspon.02G0031480-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:105310625:105313467:-1 gene:Sspon.02G0031480-2C transcript:Sspon.02G0031480-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLRKKFRARHQVATAIKDIKDQVHEVANRDGRYMGPHGVVASLTATATTRVTTTVDPRPIALYGAQKNIVGIADAKAEVMTKLFEGDDVSRKQLMILSIVGFGGLGKTTLANAVYSELSGQFDRRAFVTVSRNPDVKKVLRDLLYELDNPKFRELGGATLLDERQLIDQLRNSLHTIRYSIVIDDLWDVQAWETIRFAMADSNCGSRIITTTRNLDVSKACCSSNNDIIYNMKPLSDDDSQILFYKRRFPSETGCPHELEQVSREILKKCGGVPLAIITIASHLAGDQHIKPKDEWDALLNSIGRGLIR >Sspon.06G0017320-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:73863679:73865826:-1 gene:Sspon.06G0017320-2C transcript:Sspon.06G0017320-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding PGHGHPPRLPAPTSLPPPPRRRRCRCRCRQCHGGRGGGEGGARKSQEVVHGPDRQAGGVVGDVAVPAVRRREPLARGAVLQGQPRGHPAHAHEPLRHVRLRRHRQAPAAPLREPQAQRALRPAAGEPRHVTRPPRAVPVLQQLLRPPSPPTCSPTCGGSRSSTSTTTASRARCRRRRSRARRASSSSTSTATRSTGRSRSSCRSRSSGSTCPTTASAAPSRRASPSAMRRRRSPGTPACAGRRAATPRCAWPPGRRSRLRCRLPRRPTTWPWREETSVFVVIGIILLVILLVTGAMVLMLRQDERNSAAPAWNYYAGSTTAAGAGAGAGSSKSTAAAESSTAPPRALMKASAEVLGNGTLGSAYKAAMRNGVTVAVKRLRDMNRVGREEFEQHVHMLGDLHHPNVLPPVGYHYRKEEKLIVCEYMPRGSLLYRHAGDQSPNRLILDWQGRLRVAVGVVRGLAFLHERLGIPAGRLVSMDGADFDAPPPPPPHGNLKSGNILLDAGMEPRLVDYGFFPLVNAAQAPQAMFAFRSPEGTTRGVVSARSDVYCLGVVLLELVTGRFPSQYLLSARGGTDVVHWAATAVAEGGEAELVDPAIAAAGGDAAVRLLRVGVHCSNPEPECRPSVAEAAWMVEEIGSGR >Sspon.07G0015560-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7B:59727956:59729779:1 gene:Sspon.07G0015560-2B transcript:Sspon.07G0015560-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIRKALGAVKDQATISIARVTGAVSPDLDVAIVRATSHDDAPPDERHAREVLRLASSPGAAPACVASIARRLSKTRDYVVAAKCLALLHRLAADDPAEGAEGVGTKSFLHELLRPTLTGRRAGEPVLALLLDFRDEAHTASWDHSAFVRAYSTYLLDRVRFLVLLLPAPRFAADDRVAGPPPQASAADDMDTEALLGRARHLRHLLDRVLACRPTGGAGTSRVVRAALHPLLRDSFRVYEDVALVLALLLDRFFDMDYPECVKAFETYVGTAKQIDALRAFYAWCYGAGVARSSDFPDVRRVDDKLLETMEQFLRERGRAARASPPRSARESAVNAQGDDDDHVDDMNGIKALPAPDRSSRAEPARPVVVPAKDAEQSVLVDLREPAATADEQGNKLALALFSAPPATNNTWVTFPSESDATAEPAVTSAWQTPAAEPGKADWELALVETASNLSKQAASLGGGMDTLLLGGMYDQGAVRQQVAAQAASGSASSVALLPGHGAAAPVLMLPAPDGTVQTVGGDPFAASLAVPPPSYVQMAEMERKQQLLVQEQQMWAQYRQGGMQGQPAGFNGLGGGGVLASNAAMAVPYGGYGMPMAYNQVGGYY >Sspon.02G0031900-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:109667531:109670904:1 gene:Sspon.02G0031900-2B transcript:Sspon.02G0031900-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:homolog of anti-oxidant 1 [Source:Projected from Arabidopsis thaliana (AT1G66240) TAIR;Acc:AT1G66240] MAQTVVLKVGMSCQGCVGAVKRVLGKMEGVESFDVDIKEQKVTVKGNVTPDAVLQTVSKTGKKTEFWEAEPVTSESAAAPADATTA >Sspon.04G0001230-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:4697030:4698148:-1 gene:Sspon.04G0001230-1A transcript:Sspon.04G0001230-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MELELGLAPPSARHPIPMTIDHLTAEDELSSTSSDHHPCAARAGKRGFAEAFQEATTTTTTLPLFDDGSSCGGRNSSSKRALVGWPPVSSARSRACGGAGAKYVKVKKEGDAIGRKVDLLLHASYDELLATLALMFPTTTGNQDDKEISSTSARHVDVVVTYEDGEGDWMLVGDVPWDDFARSVKRLKILG >Sspon.05G0006170-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:13557427:13559334:-1 gene:Sspon.05G0006170-2B transcript:Sspon.05G0006170-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:COBRA-like protein 6 [Source:Projected from Arabidopsis thaliana (AT1G09790) UniProtKB/Swiss-Prot;Acc:O04500] MAAQAAIIADRFDRVESDAYDPIDPNGNITINWDFQVLNVMVSIHNYQMYRHIEHPGWRLSWNWTGKEVIWNTVGSETTEQGDCSRVGAANARPHCCQRRPVMVDLPPGTPYNRQVANCCRGGVLSSLVQNNLTSTAAFQMVVGEFALAKDDGSGNMEPEKPWHFDIGVPGVDQPGTPALPASSDDEPAAPIVWCSEHMCPIRVHWHVKVNYRRYWRVKVTVSNYNLVKNYSDWNLVLQHPNLRSLTQLFSFNYKPLVEYGSFNDTGMFWGLRFYNEMLLQDGNVQTEMILEKESDFTYSGGWAFPRRVYFNGHECVMPPADQYPRLPNGASALR >Sspon.03G0036680-4P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:65376300:65377532:-1 gene:Sspon.03G0036680-4P transcript:Sspon.03G0036680-4P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKCSSCGNNGHNSRTCSGHRCQGTSISISSSSTSCGSLRLFGVQVQVGSSPLKKCHSMECLSPIAYYGAAAASSSLSPSVSSSSSSLASIEESSQRITGRYMSDGLVVRVQDRKKGVPWTEEEHRMFLAGLDKLGKGDWRGISRHFVTTRTPTQVASHAQKYFLRQNSLTQKKRRSSLFDAVEGSNKAAISRTSSVSELQFPSLSPVAADARTTKGAVLLPPCLNLMMSSASQCAGSGSSDASQSKNPSSLYLMAKSQAQLQMPDLELKMSTSRLSEQPGGAAQGTPLFGTIRVT >Sspon.01G0003250-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:5436343:5437766:1 gene:Sspon.01G0003250-2B transcript:Sspon.01G0003250-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEQSGRYYTDGRPSPLERLFGGPRMTTGHIDPRSWWPSDSFLVEPRRFHLACVCALFRDSTDDMCLAVVKKKVTFDPNVTTFEAAPIPEDDGEGADPADGGDSSEKGWMLAPECAKSEAFPLNHRYSNCAGIDSDSDYEDAEEGEYDEFEDDDEEEEEEEGEDGLDECAMDDDEDKHGLLGIGRSEEDACESLFLLPFGKTSKDSGGQVAAPVVPPAESPSVLGSVENLSLTRRKEPRPRAAAAPKSSDKENVTVVQENRMDLLAEPPAAKRKEERPAVSDYSYTPSTPSKQEASVDASLSTWLEQLAGGPPILGALTVEDIKISSANSTPRSPDDMPILGTVGAYWNCSAKEADPITRGGMKWWIATGYTSR >Sspon.08G0006320-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:19475116:19478651:-1 gene:Sspon.08G0006320-3C transcript:Sspon.08G0006320-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHLLLHGTLDATILEADHLTNPTRATGGAPGIFRKFVEGFEDSLGLGQGSTRLYATVDLGRARVGRTRVITGDPVNPRWYEAFHIYCAHFASDVVFSVKAAQPIGATLIGRAYLPVRDLIAGQEIERSLDILDASKKRLPHGPKIRVRLRFQDVAADRRGWGRGVGGARYPGVPYTFFSQRPGCRVTLYQDAHTPDAFAPRIPLAGGRLYEPGRCWEDVFDAISNARHLIYITGWSVYTEITLLRDGNRPRPGGDATLGELLKRKASEGVRVLMLVWDDRTSVESLGMKWGFMSTHDAETAEYFHGSDVHCVLCPRNPDAGSSAVMGAQIAYMITHHQKIVVVDHEMPTRHSDRRHILSFVGGLDLCDGRYDTQFHSLFRTLDTAHHKDFHQPNLAGASINNGGPREPWHDIHSKIEGPAAWDVLYNFEQRWRKQGGSIDLLVDLKAMADLIIPPSPVMFPEDQETWNVQLFRSIDGGACYGFPSTPEAAAQSGLVSGKNNVLDRSIQDAYIHAIRRAKHFIYIENQYFLGSSFGWKADGIKPEEIEALHLIPRELSLKIVSKIEAGEHFAVYVVLPMWPEGPPAGGSVQAILDWQRRTMDMMYNDISIALEAKRIDRNPRDYLTFFCLGNREVKMSGEYEPSGRPLDGTDYARAQNARRFMIYVHSKMMIVDDEYIIVGSANINQRSMDGGRDSEIAMGAYQPCHLNTKGQVARGQVHGFRMSLWYEHLGMLHDDFLNPAAWSVFRG >Sspon.06G0025250-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:83173019:83178247:-1 gene:Sspon.06G0025250-2C transcript:Sspon.06G0025250-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTTADTYPESVGSCRPPTPPTSPPPHPAPAAALRGAPVRRQLDFTDADADDGAGAGAGGDLDDYDDFIIREVDDIERGYNEAKRRAPACVCGRGYCAVQRDNKRGRWMYVCSSQPKCKHVAMCEEVDQNPQSPPAVRSDPKPSNPCVFSIPRTPVDDARTRINNVNPQGAGATTPVNVSPQAAVAKTPFNYTKGVGATTPVNVSPQGAGATTPVNVSPQAAVATTPFKYPSNGVGAMTPVHVSPQGAGTMTPVNVSPQAAVATTPFNYTLKGVGATTPVHVSPQGAGAMTPVHVSPHGAGTTAAVKVSTQWHRSNDRPPICQCTAGPCKILRVGNEDCYVCPIPKGQGACSFKVPVTAVKGPPQIGDYNIMEAHGNNAVGVGDNDGNESANPAQPDDDEWPIPFDVINNEIVLTGQATSRAVVHQSSPGTPRQPIAMADTPATSPMTPFGSRRSPMTPRRNNACHECGEEGHWRSDCPKRSSRNICFHCGMVGHWQAKCPQRRGS >Sspon.08G0019770-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7C:18683611:18684165:1 gene:Sspon.08G0019770-2C transcript:Sspon.08G0019770-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTRWLWRIAPLQCQVMTMMIMPQDHLTRMMIMPQVMQASTPCTLDGEDDGYESDASTSSSISSHGDTKVSIGGFVVDCDGPNFELLYKLSKALRNEMAKTSKLKNENSFLKTTCEQQKHLLYVTTCSHEELKLAHEELSVAHDNLAQEHALLTKKPSNEETKSVRAHHLGQMINHILLTLVM >Sspon.05G0004030-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:15797347:15799184:-1 gene:Sspon.05G0004030-4D transcript:Sspon.05G0004030-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homodimeric diiron-carboxylate protein, Cyanide-resistant respiration in mitochondria, Reactive oxygen species (ROS) scavengin [Source: Projected from Oryza sativa (Os04g0600200)] MMSSRAGSVLLRRAGSRLFTAAAVSPAAASRPLLAGGNNGVQAVMVRLMSTSSPAAASEATKDEAAKASKEGGDKKAVVINSYWGIEQNNKLVRDDGTEWKWTCFRPWETYTADTSIDLTRHHEPKTLMDKIAYWTVKSLRFPTDIFFQRRYGCRAMMLETVAAVPGMVGGMLLHLRSLRRFEQSGGWIRALLEEAENERMHLMTFMEVAKPRWYERALVITVQGVFFNAYFLGYLLSPKFAHRVVGYLEEEAIHSYTEYLKDLEAGKIKNVPAPAIAIDYWRLPANATLKDVVTVVRADEAHHRDVNHFASSELVFACALQDIHCQGMQLKQSPAPIGYH >Sspon.02G0009480-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:21289835:21295228:-1 gene:Sspon.02G0009480-4D transcript:Sspon.02G0009480-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEASPESGAAAVGGASGTAPPRKGKSCKGCLYYSSVLKSHGYNPICVGIPRSIPQVPNYVVDEPKEEATAQGHDLRRFRYGCAGYSMFVDNRDGQGDESEGKTLLPYCRGLELLVDSRLVERKPSTAEQAPAHVAKDAAATTRSHQQGQQRPANLSRQEFLERFKRSAGLVASGVAKNLNKTAHYIKENIQDILYPDRRPPK >Sspon.08G0014220-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:54099967:54101672:1 gene:Sspon.08G0014220-2B transcript:Sspon.08G0014220-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHWKVEAIQTLLPMIKYVQAETLECLDQLARRMIMFGGWLVFQLSLALANNLHEDDYLAITLCLPSCFPGAADPSGYRPASQRTSHVLAQPGRQLQKTQELLMFSVVGLVDSADLKRFELAF >Sspon.05G0008110-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:16524415:16534425:-1 gene:Sspon.05G0008110-3C transcript:Sspon.05G0008110-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPRGKKRTTPQAAAGASSKPEAAATEPATRGRGKRVKAPPKPKPETDYFPEKRNLEDLWLSAFPVGTEWENIDKIKEFNWSFENLEKALEEGGELHGKTVYMFGSTEPQLLDVNGESKIVLIPIVVVVDCPFPPSDKIGINSVQRENEEIVPMKAMKMAWVPYVPLEDRLSRIDSLKTKIFTLGCTQRRSALKHLKIERVKKFDYCMPYYMPLQPLEDEDDTVINFLYPLEPPIVDEFDWEMDDYEDFAAQKVQEGSLPEVEKEKFKEFLKEKVRERKRELKQAKEARKKAIDDMDPKMKEAFENIKFYKFYPVKTPDTPDVKNVKEDLWLSAFPVGTEWENIDKIKEFNWSFENLEKALEEGGELHGKTVYMFGSTEPQLLDVNGESKIVLIPIVVVVDCPFPPSDKIGINSVQRENEEIVPMKAMKMAWVPYVPLEDRLSRIDSLKTKIFTLGCTQRRSALKHLKIERVKKFDYCMPYYMPLQPLEDEDDTVINFLYPLEPRLLSCITVFTLCCLLQIVDEFDWEMDDYEDFADQKVQEGSLPEGEKEKFKEFLKEKVRERKRELKQAKEARKKAIDDMDPKMKEAFENIKFYKFYPVKTPDTPDVKNVKARYINRYYRNAHYLM >Sspon.08G0006810-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:21073888:21077543:1 gene:Sspon.08G0006810-1A transcript:Sspon.08G0006810-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHHRRARLFSVVSAAVLVVLSVGTARPAAALSPDGKALLSLLPGAAPSPVLPSWDPKAATPCSGVTCSPQNRVVSLSLPNTFLNLSSLPPPLATLSSLQLLNLSTCNISGIIPPSYASLSALRVLDLSSNALTGDIPDELGALSGLQFLLLNSNHLTGGIPRSLAKLSALQVLCVQDNLLNGTIPASLGALTALQQFRVGGNPALSGPIPASLGALSNLTVFGAAATALSGPIPEEFGSLVNLQTLALYDTSVSGSIPAALGGCVELRNLYLHMNKLTGPIPPELGRLQKLTSLLLWGNALSGKIPPELSNCSALVVLDLSGNRLTGEVPGALGRLGALEQLHLSDNQLTGRIPPELSNLSSLTALQLDKNGFSGAIPPQLGELKALQVLFLWGNALSGAIPPSLGNCTELYALDLSKNRFSGGIPDEVFALQKLSKLLLLGNELSGPLPPSVANCVSLVRLRLGENQLVGEIPREIGKLQNLVFLDLYSNRFTGSLPAELANITVLELLDVHNNSFTGGIPPQFGELMNLEQLDLSMNRLTGEIPASFGNFSYLNKLILSGNNLSGPLPKSIRNLQKLTMLDLSNNSFSGPIPPDIGALSSLGISLDLSSNRFVGELPDEMSGLTQLQSLNLASNGLYGSISVLGELTSLTSLNISYNNFSGAIPVTPFFKTLSSNSYIGNANLCESYDGHSCAADMVRRSALKTVKTVILVCGVLGSVALLLVVVWILINRSRKLASQKAMSLSGAGGDDFSNPWTFTPFQKLNFSIDNILACLRDENVIGKGCSGVVYRAEMPNGDIIAVKKLWKAGKDEPIDAFAAEIQILGHIRHRNIVKLLGYCSNRSVKLLLYNYIPNGNLLQLLKENRSLDWDTRYKIAVGTAQGLAYLHHDCVPAILHRDVKCNNILLDSKYEAYLADFGLAKLMNSPNYHHAMSRIAGSYGYIAPEYAYTSNITEKSDVYSYGVVLLEILSGRSAIEPVVGETSLHIVEWAKKKMGSYEPAVNILDPKLRGMPDQLVQEMLQTLGVAIFCVNAAPAERPSMKEVVALLKEVKSPPEEWAKTSQQPLIKPGSQQG >Sspon.04G0035780-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4D:16822952:16823877:-1 gene:Sspon.04G0035780-1D transcript:Sspon.04G0035780-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARVCVETNATLVKAALTEDSYRLSASGGIITEMKHVMSLHFVSCKVSVCKRDSNKSSRDKNRAAWNKVGKLRPSREERTGRGREETYRQASKELRTVKSRGPTSLPQSPSSRAGIEEPRRMDEHEEKKRKPARVVDFFF >Sspon.05G0009600-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:30186333:30187928:-1 gene:Sspon.05G0009600-2D transcript:Sspon.05G0009600-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding YEALLGCLIAGVIIGAIAIFCHVRRRASKFQPSRNDIEIAVASLEYEETTCKPMSIKEIYTATESLSPSNIIGQGIAGKVYRGVLANGWPVAVKHIVKNEHAETFLREVKSLSHVRHPNLVSLRGYCDGQEECFLVYELCINGNLSEWLFEKNNLILGKDKNLSWIQRLQIALGSACGLWFLHIYPEGCIVHRDIKPTNILLGVDMEPKLSDFGLSRVMDLGVSHVSSEVRGTFGYVDPEYRHNHRVNAAGDVYSFGMVLLQLLSGKRAINIMNTAMPMSLDKMASTLIQDGNVSEFADPRLNGEYLTEAFDLSLKLALLCTGHKKQRPSMEQVVSRLEKALEISMRDDAKRNNISTVESLA >Sspon.02G0059570-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:95726050:95726321:-1 gene:Sspon.02G0059570-1D transcript:Sspon.02G0059570-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWRGPPPGPSLPPSAARPRLPRPHASTPRDRSRPRAAASRPPSPPPPPRSRPRGLSRR >Sspon.02G0005590-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:11496087:11498359:1 gene:Sspon.02G0005590-4D transcript:Sspon.02G0005590-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKLQALWNHPAGPKTIHFWAPTFKWGISIANVADFAKPPEKISYPQQVAVACTGIIWSRYSMVITPKNWNLFSVNVAMAGTGLYQLSRKIRQDYFSDEKETAASLEG >Sspon.01G0019800-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1A:73819194:73823886:1 gene:Sspon.01G0019800-1A transcript:Sspon.01G0019800-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSGAVTTAVSASPIAPSAAVATSGISAPPPSAPTIEESLAALTTAFQGMQRQMGEVCLRLAAVENRPGPSAAPALPYSQPLLLGMPGYGGLPASAPVVSELLPTPSTAHITAGPPSLGATSAALGVVASQQPLPGLPIQSIPFPHSPSPLPSMSSILHGPVMSSSTIVHHDPPHRGRLHPEDHGESHGVPKFHKLSFPTYDGKEDPLGWLNRCESFFRGQLTREEDKVWLASFHMTGSAQQWYYVLERDAGQPSWENFRLLCHQRFGPALSTNHLADLARLPFGASVDAYMDAFQARLAHAGNLVPLQQAQLFTGGLPEHIRVDVELHDPQDLHRAMRLARAYERRNSSKVLALPGPPQRPPRRISSGQASGASSATASSVQSSSRPFKYLTPAEMAERRKQGLCYNCDEPYVQGHKCARLFFLEAADYTVQEPPESDDEDPEADAAAKTPSISLAAIAGVRTEDTMQVYVQLGGVQCLALLDSGSTHNFVSGSVACRAGLQFQPCPGAGVTVANGDRVACRGLVRDVGIRIADEVFSVDCYSIPLDRWDMVLGVSFLRTLGPILWDFDDLCMAFTRGDHRVFWRGVGSTRHDVQSTRLYSVRTNEQSVLTRLLDSFEDVFAEPTGLPPARPCDHRIHLLPNTAPVAVRPYRYPQLQKDELEKQSAAMLAQGIIRPSTAAFSAPVLLVKKQDHSWRFCVDYRALNERTVKDKFPIPVVEELLDELHGARYFTKLDLRSGYHQVRVHSGDIEKTAFRTHHGHFEFLVMPFGLTNAPATFQSLMNAVLQPFLRKFVLVFFDDILIYSKSWSEHLKHLNAVLTTLRQHQLHVKRSKCAFATTSVAYLGHTINGSGVAMDGDKVEAVATWPQPRSARGLRGFLGLAGYYRRFIKGFRTIAAPLTSLLKKDAFCWSPEALAAFDALKQALCAAPVLQLPDFDKPFFVDCDASGTGFGAVLHQEAGAIAFFSRPFAQRHLKLAAYERELIGLVQAVRHWRPYLWGRRFTVRTDHYALKFLLDQRLSTVPQHQWVSKVFGFDFSVEYRPGHLNTVADALSRRLEDDLSLHTLSTPVFDIYSDLRRELQEDDQLRALRDSIVEERGHPWRRVDGLILHGRRIYLPATSAALQTALQLAHTTGHEGMQRTLQRLRADFFVDNDRRLVQDFVRSCVTCQRNKTDALHPAGLLQPLEVHGKSVILTVVDRFSKYAHFIALSHPYTAISVARAFFEAIVRLHGFPSSIVSGRDPVFTGHVWRDLFRLAGVQLKMSTAFHPQTDGQSEAVNKMIAMYLRCLTGDRPRHGSTGFLGRSTVTILPTIHRCTRLHSRWFMVGPRRPWCPTLLVLPVRMLWMSCSRIVMSSSLMYANVFFRLSWRLGLAPSSSSSGPVFGGWSDWEATAPVRGPFRVLERIGTVAYRLELPDGARIHDVFHVGVLKPFRGDSPPTSPPLLPPMRHGRLLLEPARALRAQRRRGEWHVLIQWLHLPEVDATWEPLDDFRARFPSFQLEDELFVEGGRDVMFGKVYERRAQRRG >Sspon.01G0026540-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:76357819:76358166:1 gene:Sspon.01G0026540-3C transcript:Sspon.01G0026540-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSAHRLVAGALVILAAVALARSDAEQRSPSRDFVADTMGSGQLQGQAPPCQVSPPFVSPPPLPPCPAGHQDQRREAPVPRYLGVQRATPAPPSPRPARLVNYGAPLPPPPPCS >Sspon.02G0026180-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:92212383:92217128:1 gene:Sspon.02G0026180-2B transcript:Sspon.02G0026180-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRFFCFSSSTAQHRSKEGFSPADETMHAASNKDSQLKTMTASASPNQMADENNRSAVSGHCGTSPSSHQECCRSEDLNRYMCSDEGKEVGHLKKSQSLGNMLQKDHDHNSSEGFEFDVTNHEHKCDPSSFKRGEVVGESTKACSPKNEDDFDASSDLISHDFCEPLGDHTVDSDRHHHMSYSQSKFPRSQSAIFQNDSTSDPEGSVDSEILGSRCRSVDGLCSLVDEKFDNLSGGEMHRCKSNLDVYCAPSSPNVYRASNIDDHGSVGCSDAAAEGQRSTGSTEENFVRDGILVGHEYWDGKYICGDHSLDPVATFCADPGDVYHYSGNDGDLSGATDQEREKLWNRDSTLHESLVVEVPELVNISDTNDITGEPEHSKTDIDEEPSELTPRTYNMKRIEDWINQIDINDLALDEQGESSISALAKSSEPIAVVPAVRPDAKSPLGMEIAYTYISKLTPASSSAQLANLGLVAIPRLSAFSGLRVLNLSGNSIVRVTAGALPKGLHMLSLSKNNISTIEGLRELTRLRLLDISYNRISRIGHGLASCSSLKELYLAGNKISEVDGLHRLLKLKVLDLRHNKISTSKGLGQLAANYNSLEAVNLDGNPAQKNVGDEHLKKYLLGLLPNLVVYNKQPIRVTGSKDVSDRHTRKISSSHRSDRGGRSDRKSSMLVGASSSHKPQSSRYARSGYASSSALKYTRARNMPTTLLGSRPVEHASAIDLANQTQTEGKV >Sspon.02G0048550-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:17354370:17358949:-1 gene:Sspon.02G0048550-2D transcript:Sspon.02G0048550-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRRRPLLPPPPQLVWLAVLFSAVAPAVAKTDKSDVAALNVMFDSMNKPSQLSGWKSSGGDPCGNDDEWKGIECSGSSVTEIDVSNNNLNGDLPYQLPPNVKCGQEPPKWTVDGYVFTTFKALNIRSMKPLEHSSSVSSRTPSAMPSKSISDNEFENKLNYSRRTTDPINLVTYSSSDLQQPLAASIVAEPGHYMLVYDYNMNGSLYDFLHLSDDYSKPLTWDTRVRIAVGTACALEYLHDVCSPPMIHKNIKASNVLLDADLNPHLTDCGLAYFYEDTSESLGPGYDPPECTRSSGYVMKSDVYCFGVVMLQLLTGRKPYDSSKPRAEQSLVKFVTPQLHDINALEALADPALRGLYPPKALSRFADVLARCVQSDPEFRPSMSEVVQSLLQCVQRTTSNRRLGGHRSVSQRSDDSDW >Sspon.06G0006340-1P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6D:21585649:21586803:-1 gene:Sspon.06G0006340-1P transcript:Sspon.06G0006340-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSGSDHAHTRWKASRCTSTGAGSPSLPKPAPSPSSPASSSGYGHDPIRLILGCSHPLMVCHCHSSCAIDSHLLTPLRVQVVTNGRVPACLHRVRTPSNRERFSVLFARRQKDGIVVRALEDLVDAEHPLVYNPLRHEEFSDWRYSEEGLKFSDPLKAFCGVEKDKVVGAM >Sspon.02G0050140-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:50317735:50319143:-1 gene:Sspon.02G0050140-1C transcript:Sspon.02G0050140-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTYNLDTGEVIGCNDTNGNQAIHVYSNGNVYTWASNQLDAQVDVGRDTPNALTTFLLCSSSCFFCSSPLRKVALHLKQRPRRRSVVHRDRLTATFSTTHARPVVSSSISTTSERRRPPSSLSTVLIDRHAITGRRVWPGGQDRGPLLVGPKPGTCAASRYRAVPPSSTVGWPKGCKTRSAHGLAAWAGACWREHRRGVQAHVGNRVQFGHPDMSIGLDVWTPALPIF >Sspon.06G0020750-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6B:10745400:10746028:-1 gene:Sspon.06G0020750-1B transcript:Sspon.06G0020750-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMKCWMEKWNAMLRYWICVMRCMRTSLSCELKDIVEDLQMSLGKRDDTAVQDKIQSYFHSVKRAKKHFVKAATVTFDKEDCRILKLLSKATGITAFNLTVQLQGKQIAMPKSTPDIGCEVFPNSLHKAFQKKILAGCKAAQLQELECNNGDLEDGAAHLFRRLVQRSVALLNIHRT >Sspon.01G0028880-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:119104219:119105145:-1 gene:Sspon.01G0028880-2B transcript:Sspon.01G0028880-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNGDRSGAGAGAVGSAGSLGLRVGQAVFSSASLLFMSVGVEFFSYTAFCFLVTIMGLVIPWSCTLAMIDVYSVLVGCPLRVPGVMVIVVALSIVSFAAACSSAAVIDLLLQFHGSHCSPRFCGRYQLSAMMAFLSWFLMAASAIFNV >Sspon.05G0037900-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:27048189:27048596:1 gene:Sspon.05G0037900-1D transcript:Sspon.05G0037900-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPPPQRGGRSRYGPAQQQQQYPFVVVDPTEAAGAAVRAFFPAPGAGEPPPPSSSSAAERAPPTMQHGHHYGGGAPEISVAAHGHGQGHHGIHRHRYHQFGVEAGRQDGGGPSSAASLPRHSSSPPGFFSSPVVDN >Sspon.05G0014430-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:48023413:48044408:1 gene:Sspon.05G0014430-3C transcript:Sspon.05G0014430-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGASEGKDQVYKDEKEGKKKMVNRGISRKALRLFSLILSKTLTLDVDLSTIDVILIDDEPCRDEHGKVVMSDGKRLIHTDGTGFISENLAKKCPSRIIKGKKSKDHIHRGETTVRLFYNGYAVKGTLLVDKRADGAVVQSQLITNIRNNTIVIRPSMVKVKADPKLCQMKSLSSLEIVSTSHQPNRTSTSRALIALLHYGGVKEEYFMELLHNAIEGVENARYSFRHALKLASGYANMEDSMLERMIHSGIPLEEPYLLSRLSFMAKQEMKGFREGKLPIDECYYLMGTTDPTGTLKPNEVCVILDSGQCSGDVLVFKHPGLHFGDIHILTARQINGLEKNFIGYSKNAILFPTSGQRSLADEMAGSDFDGDEFWVSRNHMLLKAFEKQSEPWVQLLKPKETQHKPRGPRDFNESTLERLLFNECLTTTFIPNYVLGLSSDCWLHYMDRFLTEEVDQDEKKSIAAKMIKLVDLYYLALDGHKVNVDRNLRVQAYPHFMEKEGFDFYHSTSILGRIYDETDRVISQQCDEQIQITTLPCFDEVEATPECTFLWERRYHEYLRKSTELFKLDKEEKNEFQKLYQKLYQSYKHLLYGAEEFEETKRDLSDVFMEACTIYRIVYERARSTRSVTKCRFVWNVAGAALCYLHATKYAVQHGEKTVLCPLSKENLAPKRKGTESNTVRFTNEMTDR >Sspon.04G0017320-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:62752657:62756646:-1 gene:Sspon.04G0017320-1A transcript:Sspon.04G0017320-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAGWVAGLVAESFFVACPAHESRKKNERNIFCLACCASICPHCGPAHRHHPLLQVSLLSSPGLLRLLFIFLFSSPSHAMPWHAPAPAWCLLPDTPLYREMIVRRYVYHDVVRLGDLEKLIDCSCVQTYTINSAKVIFLKPRPQSRPFKGSGNICLTCDRILQEPFHFCSLSCKVDHVMMQGGDLSNILQYYGGGGTPADPDRLAFPRFENLRVDGSDLDDDTDCGQVTPNSILEDPTEHYGNSGGGGGGSSDNGSDTRVDGGARRGGEAAKRKKGGGFFPQIVLSLGGGGNRRKGAPHRSPLA >Sspon.02G0004560-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:13803204:13807297:1 gene:Sspon.02G0004560-2B transcript:Sspon.02G0004560-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAAQQQKAAASTSAAGAEQEEVEHGPFPIEQLQASGIAALDVKKLKDSGLHTVEAVAYTPRKDLRLEIIQVTTGSRELDKILEGGIETGSITEIYGEFRSGKTQLCHTLCVTCQLPLDQGGGEGKALYIDAEGTFRPQRLLQIADRFGLNGADVLENVAYARAYNTDHQSRLLLEAASMMIETRQVLNLLQLAVVLNLYICRFALMVVDSATALYRTDFSGRGELSARQMHMAKFLRSLQKLADEFGVAVVITNQVVAQVDGSAMFAGPQFKPIGGNIMAHASTTSDVSTRLALRKGRGEERICKVISSPCLAEAEARFQLASE >Sspon.02G0014680-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:33006585:33014869:1 gene:Sspon.02G0014680-4D transcript:Sspon.02G0014680-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAFKASTSSTTQQHWLHPTKDQCRYGFTQLSRQKCRKRFAALHVTAISGKLELDFTDPSWNQKYQEDWNRRFSLPHITDIYDLEPRRTTFSLKKNRIPLGDGDGSSTDMWNGYVNKSDRALLKVIKYASPTSAGAECIDPDCSWVEHWVHRAGPRKEIYYEPEEVKAAIVTCGGLCPGLNDVIRQIVFTLETYGVKNVVGIPFGYRGFFEKGLKEMPLSRDVVENINLSGGSFLGVSRGGAKTSEIVDSIQARRIDMLFVIGGNGSHAGANAIHEECRKRKLKVSIVAVPKTIDNDILFMDKTFGFDTAVEEAQRAINSAYIEARSAYHGIGLVKLMGRSSGFIAMHASLSSGQIDVCLIPEVSFTLDGEHGVLRHLEHLLNTKGFCVVCVAEGAGQDLLQKSNATDASGNVILSDFGVHMQQKIKKHFKDISVPADLKYIDLTYMVRACRANASDAILCTVLGQNAVHGAFAGFSGITSGVCNTHYVYLPITEVITTPKHVNPNSRMWHRCLTSTGQPDFH >Sspon.05G0031150-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:3483965:3485473:1 gene:Sspon.05G0031150-1C transcript:Sspon.05G0031150-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMSASPAASPALRFSSATPPLAPLRRPLRRRLPSVRCSLAAAPGVRAPPELVDSILSKVKGTDRGVLLPKDGHQEVADVALQLGKYCIDEPVKSPLIFGEWEVVYCSVPTSPGGLYRTPLGRLIFKTDEMVQVVEAPDIVRNKVSFSVFGLEGAVSLKGKLNVLDSKWIQVIFEAPELKVGSLGFQYGGESEVKLEITYVDEKIRLGKGSRGSLFVFLRR >Sspon.06G0031510-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:80520240:80523835:-1 gene:Sspon.06G0031510-2D transcript:Sspon.06G0031510-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIYITSSNTVSPRQQDDLHIHPSNHTCIETMSHIATHSISNMPLLAFIFIHFLALCQYTSPAALRESSALLCLKSQLRDPSGALASWRDDSPVFCKWHGVTCGSTQQASRVIALDLESENIAGSIFPCVANLSFLERIHMPNNQLDGLISPDIGRLTQLRYLNLSKNSLRGEIPEAISACSHLDTIDLDSNSLQGEIPPSLAQCSSLQTVILGYNNLQGSIPPQLGLLPSLYTLFLPSNNLTGSIPEFLGQSKNLTWVNLQNNSLTGGIPPALFNCTSLHYIDLSHNALSGSVPPFSQASSSALNYLSLHENNLSGEIPSSLGNLSSLALLLLSHNSLRGRIPESLGKLKTLQALDLSYNNLSGTIAPGLYNISSLTFLGLGANQLAGTLPTSIGNTLTSITQLILEGSRFEGPIPASLANATNLQYLDLRSNAFTGVIPSLGSLTLLSYLDLGANKLEAGDWSFMSSLVNCTQLKNLWLDRNNLQGIISTYITNIPKSLEIMILKENQFTGSIPSEIGKFTNLTVIQLDNNFLSGKIPDTVGNLQNLSILTISKNQLSEEIPTSIGKLERLTQLLFEENNLTGPIPSSLEGCKQLTTLNLSSNSLYGGIPRELFSISTLSVGLDLSNNKLTGNIPFEIGGLINLNSLSLSNNRLSGEIPSTLGQCLLLESLHLEANNLQRSIPDSFINLKGITVMDLSQNNLSGRIPEFLESLSALQSLNLSFNDLEGPVPGGGIFAKPNEVYIQGNNKLCATSPDLQVPQCSQRKNHANILAVLVSLASVAAVTMACVAVIILKKRRKGKQLTNQSLKELKNFSYGDLFKATDGFSPNSLVGSGRFGLVYKGQFKVEECAVAIKVFRLDQFGAPSNFLSECEALRNIRHRNLIRVISVCSTFDPTGNEFKALILEYMVNGNLESRLHQKEYPKNTKRPLSLGTRIAIAVDIAAALDYLHNRCTPPLVHRDLKPSNVLLNDEMVASLSDFGLAKFLSVDFSTGFNNSSSAVGPRGSIGYIAPGEDGGQEMVEMQHCAMQLANLGLKCSEMSPKDRPRTEEVYAEMLAIKEEFSTLCSLGSVSLLL >Sspon.03G0025160-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:76133681:76139318:1 gene:Sspon.03G0025160-1A transcript:Sspon.03G0025160-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLDPSPASAHGDGGGCHDAWPFDSLATSMLFSSVSASPQHQPLPPNSSSWLTPPSPLWLFEDRHTPPLDTPAAAPEAAVAAAVVEEVQRPRSGNSDTSSKRVEQINHKWQFHLSLDEDGTDNSSLVKEKLTQALRYFKESTDQHLLVQVWAPVKNGDRYVLTTSGQPFVLDHQSIGLLQYRAISMMYMFSVDGENVGELGLPGRVYKQKVPEWTPNVQYYSSGEYQRLNHAISYNVHGTVALPVFDPATQSCIAVVELIMTSKKINYACEVDKVCKALEAVNLKSTEILDHPNVQICNEGRQAALVEILEILTVVCEEHKLPLAQTWVPCKYRSVLAHGGGLKKSCLSFDGSCMGEVCMSTSDVAFHVIDAHMWGFRDACVEHHLQRGQGVSGKAFISHKPCFSKDIQKFCKWAYPLVHYARMFGLAGCFAICLQSSYTGNDDYILEFFLPPDCIDEDDQNALLESILTLMKRCLRSLKVVGDRDSSGASLQISNVLKLENEEFKTDAQFDNSDGSLCESPDGDRHGGAHKFDNGNKRVLDVTEGQLLTDDYSQDNGTSAGRQNGSGASDSSLLHKTNKPPERRRGKAEKTFSLEVLQQYFSGSLKNAAKSLGVCPTTMKRICRQHGISRWPSRKINKVNRSLSKLKQVIESVQGSDAAFNLTSITGPLPIPVGPSSESLNVEKLTQSKVADLSNLAVDGDRDSLQKSLENDDHFGIVMAQQGFIDNNNDTQLEADKASHSRSSSGEGSINSRTSEGSCQGSPANQTFVCKPIASTFAEPQLNQEFNKEPLQEPQLPLSRMLIEDSGSSKDLKNLFTSTSDQPFLAPPNNLVSMKHSGTVTIKASFKEDIVRFRFPCSGGVIVLKEEVAKRLRTDVGTFDIKYLDDDHEWVKLACNADLEECMEISRLSGSHVIRLLVSDIAAHFGSSCGSSG >Sspon.02G0059640-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2D:97223558:97226439:-1 gene:Sspon.02G0059640-1D transcript:Sspon.02G0059640-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding EKTVAAITHMRKVHWFEKFNWFISSENYLIISGRDAQQNELIVKRYMSKGDLYVHAELHGASSTIIKNHKPDTPIPPLTLNQAGCFTVCHSKAWDSKIVTSAWWVYPHQVSKTAPTGEYLTVGSFMIRGKKNFLPPHPLVMGFGILFRLDESSLASHLNERRVRGEDEALQEMEAESRKKQSNPESDEEIGSDEDANKETHEDESSGQTTNIQQNNDKLPDLSSNIDKTLRLGPAKVSGKSSLLTSIPSSLAEDEDDLEVKRPTIRDKPYISKAERRKLKKGQVNGETATDSQNGTAVETPGTSKQEKGKADTKATDSKASQPGTSQQEKGKANTKATGSKLSQPGNSQQEKGKGSTQAANAKVSRGQKGKLKKIKEKYAEQDEEEREIRMALLASSGKALRKDKPSQDGEETSVKESKPSAGEDDSSKICYKCKKAGHLSRDCPEVTSEVDRNDGSISRSRDVMGTNTAPADGNSPMDEEDVQEIGDEEKEKLIDLDYLTGNPLPSDILLYAVPVCAPYNALQTYKYRVKITPGTAKKGKAAKTAMSLFLHTPDATNREKELMKACTDPELVAAIVGNAKITAPGLTQLKQKQKQKGKKSAKQN >Sspon.03G0003530-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:18308453:18310166:1 gene:Sspon.03G0003530-2B transcript:Sspon.03G0003530-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAGDSAGARGGLLCVTGGSGFIGSWLVRLLLDRGYTVHATVKNLQDEGETKHLQALDGADARLRLFQMDLLDAASSMRPAIEGARGKELLEPALKGTLSVLRAAKDCGVHRVVLMSSKSAMLPNPAWPADKVIVEDDCWADLQLLKKRQLWYHVSKTLAEKAAWEFAVKEGLQLVVLNPGTTVGPFFTPSVNTSLNILLQLLRGQELELDAVYTGWVDVRDVAQSAIVLYENPSAQGRHLCLASMERLADFADKIADMYPEFPVHRIKEDKQGWLMRVKEPSKKLIDLGVRFVPFDVTIRETVDCFRNKGLI >Sspon.01G0054020-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:47220103:47225564:-1 gene:Sspon.01G0054020-2D transcript:Sspon.01G0054020-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAAMLRSSSPAAFSGVACGAAHLASLNKMHTLYLQRTWEEFKKKQEAGMRGFRWTGAGGVRLRLWSVATVLREVGAQGGGSEGSATWQKRSDDEGSAARQKSSYAEGNASMHEVVKVLSNAWNGHNHRCDGNQALASANGNVELCRLMIKTCDMFFAPTPFDNSINNIQAMKRIGIHTMPVPYELCDVHAYAHHLATLMISSPSLVFALSLAHCQCFGLSDLDVAYLAPTTPSSVMLLVSKFRAMLDVLVGSIYGCSSMWSDIFDSAWLTCMKESSQEVGSIRYSIEA >Sspon.01G0002820-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:7401645:7404931:-1 gene:Sspon.01G0002820-4D transcript:Sspon.01G0002820-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calmodulin-binding receptor-like cytoplasmic kinase 2 [Source:Projected from Arabidopsis thaliana (AT4G00330) UniProtKB/Swiss-Prot;Acc:Q8VZJ9] MMRSVSGTGSAGSRRTDPGGSGELSRFSVATTASSASGGGRGISFLDAFRACFVPPEARSPENSMSDESHPSHQLSQSLSSQGSNSGSVFGSRRSIKGMYSPMHKSSLDREIPGSTKFSLPQIQKATKNFSPNFKIGQGGSGTVYKGQLADGTLVAVKRAKKNVYDKNMGREFWNEIETLQRIEHQNLVRFHGYLEFGGEQLIIVEYVPNGNLREHLDCINGKILEFSMRLEIAIDVAHAITYLHTYSDQPVIHRDIKSSNILLMNNCRAKVADFGFAKLAPTDASHISTQVKGTAGYLDPEYLRTYQLNEKSDVYSFGVLLVELVTGRRPIEPKRSIIERVTTKWAMEKFAEGNAIQTLDPNLEATDAINLAVEKLYELALQCLAPTKRNRPSMKRSVEILWSIRKDYRELVVPTSVMN >Sspon.03G0014840-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:50922296:50925129:1 gene:Sspon.03G0014840-3C transcript:Sspon.03G0014840-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLGTAAVEALIPVAALIGIAFAMLQWYVVARVPVPSHAGEGSGGGGEGSKQGRCVRGEEDVEGEEEEDGMDYLLVEARCAEIQRAISIGATSFLLTEYKYLAAFTAAFAAVIFVFLGSAERFSTRPSPCAYDASRECRPALANAAFSAVAFLLGAATSVLSGYLGMRVATFANARTALEARHGVGRAFAAAFRSGAAMGFLLASSALLVLYAAVNLFGLYYGDDWGGLYESITGYGLGGSSVALFGRVGGGIYTKAADVGADLVGKVERNIPEDDPRNPAVIADNVGDNVGDIAGMGSDLFGSYAESSCAALFVASISSFGAEHNLTAMMYPLLISAVGLLVCAITTVVATDVTEVRESDEVGPALKRQILISTVLMTAGVAAVTFLALPPSFTLFDFGNDKHVKNWHLFICVSAGLWAGLVIGYVTEYFTSNAYGPVQAVARSCRTGAATNVIFGLAVGYKSVIVPILAIAAAIYASFRLAAMYGIALAALGMLSTIATGLAIDAYGPISDNAGGIAEMAGMPRRVRERTDALDAAGNTTAAIGKGFAIGSAALVSLALFGAYVSRAGITAVDVLSPRVFVGLLVGAMLPYWFSAMTMRSVGSAALRMVEEVRRQFDTIPGLAEGLAVPDYATCVRISTDASLKKMMAPGALVMLSPLVAGTLFGVETLAGLLAGALVSGVQVAISASNSGGAWDNAKKYIEAGMSEEARSLGPKGSEAHKAAVIGDTIGDPLKDTSGPSLNILIKLMAVESLVFAPFFAAHGGIIFDHL >Sspon.08G0001520-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:2645618:2649825:1 gene:Sspon.08G0001520-4D transcript:Sspon.08G0001520-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGEDRLSDLSDDLLRRILYFVPSKEAASTSVLSRRWGTLWRSSGAVNLAVEAYDYLNRRYHGTYSSYEETEEAAFSAQEAFVRAAKAALDAAEVPVTRLTLRVESTDGESTIEQFLCRGRGGWRTDDANVVGALVSHQAARRVEELRVAAVDVSSDGAFLYLEKENHRHTGLYGLASLPSSETLRVLDLTRCTLTAAFALPRLATLRLRPCWTQDAAPELTTVRLESLIFLLPHPDELDGAEAEPAVLRLSFQAVTTLVLSLCGKESGDRRRGKSSWAIEIDAPRLQSFKYKGLMRRFRLRPAAAPGVARVDLHFLRDYDKDNEKETRRVLFWQFVRNFTSARTLKLKVGNDLKDITAIGESRLLHCAFPSLERLELEGMHRPKIKTAAAAIANLLHCCPALGEILLKLSNTVTALPDKDPRYGREFLERKDRLDYNKSINRFVRRKGSKTALDYVLEDVPDIPGLSGRSFACLQRTLRRVRLQFRLDNNSISSCLGLRLIKFFGDHASVLEEICVDTGNRRLNEHLSFNVGTQMALTPIPISATTSSIQNKNLADISHQAAPRVEELRVAAVDVSSDGAFLYLEKENHRHTGLYGLASLPSSETLRVLDLTRCTLTAAFALPRLATLRLRPCWTQDAAPELTTVRLESLIFLLPHPDELDGAEAEPAVLRLSFQAVTTLVLSLCGKESGDRRRGKSSWAIEIDAPRLQSFKYKGLMRRFRLRPAAAPGVARVDLHFLRDYDKDNEKETRRVLFWQFVRNFTSARTLKLKVGNDLKDITAIGESRLLHCAFPSLERLELEGMHRPKIKTAAAAIANLLHCCPALGEILLKLSNTVTALPDKDPRYGREFLERKDRLDYNKSINGFVRRKGSKTALDYVLEDAPDIPGLSGRSFACLQRTLRRVRLQFRLDNNSISSCLGLRLIKFFGDHASVLEEICVDTGNRRLNEHLSFNVGTQMALTPIPISATTSSIQNKNLADSSSEFSRNHSASLDSTEDLDRSTRMDCKSWSVEN >Sspon.06G0006050-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:20348722:20354082:-1 gene:Sspon.06G0006050-1A transcript:Sspon.06G0006050-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEAATAALAAARGRWTQWEEVVVSNDRGSRLVHYFLRGNGEAKELAVVGRERSPRHMSYAVQGRFLRALAAAAGAVGVAPSPSRSPLAAAAGADGGAPRRWRSRREVVDWLSSLVSGYNYGSSSMANRCNGDSYDDSVINCTQVTALKDVSSREASNDFTWLGTPWHCQQRLKHYKSFCRRGITISEWVMLTRVAKPDQLGIRFLGRPMVRPQHVEESKASCFDVGAIVDAWWHGGWWEGIVLCHGGSGRLQVYFPGEKRIAEFGEDELRCSLEWVGNKWNPLKGRKDIASKLASTADCVSEYLIGKQDQLNFNVPSKPEPQLEGLRHDKRGIEKSSVCTISRDQKRVLADLTNDLKLDNLRWRPRKRSRRSGSRKQSDTSSGSSSGDMDLSSPSGSFGQLNLVPDEEACKSSGEQPFMGGVPVQVPNLVMSR >Sspon.04G0010300-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:26586860:26621609:-1 gene:Sspon.04G0010300-2B transcript:Sspon.04G0010300-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLLPPLAVNPPPCLPAPPPTAAGGSALAFPELIPLLERAISTGDVLRLGRAVHAHLVKTALTSHTLLSNRLVALYSRLPSPAAAVSAFEDLPHKNAHSYNTLLAALLRRPDTFPDALHLFDTMPADSRNLVSYNTLMSSLTHHGRAARPLREMHGVVMVSGMELTVIMANAMVNAYSKAGRVEDARHLFDQVSIRDNVTWTSMISGYCQVKKLDEAVQVFDMMPDKDRVAWTALISGHEQNGEEDTALELFEGMLAEGCGDMMAAMAVFRQMPEKDFISWNSMVTGFSHNGLGKQSLAIFEEMLVAGVRPTHVTFLAVLTSCSHSGLVSNGRLILESMEDHGVEPRAEHYAAFIDALGRNRQLEEAIEFIKDLPSRIGPGTAGSWGALLGACRLHGNIELAEEVAEFLFKLEPGNSGRYVMLSNIYAAAGQWDDARRVRGLMKEKGLKKDQAYSWIEVRSVKHVFVADDMSHCTALQAGNQWYFFSHAAQSRTSPNGYWNPVGDDETVTSSGCIVGMKKTLIFCTGEPFKGFKTNWIMHEYHLQDGGYNVSGSSTPSSSSSSRKSQRKRLHSSTESNSWVICRVFESSCGSQVSFHDEGTELSCLDEVFLSLDDYDEALEAKAIQQHEGVRKLHEQVNVLYDSLCPTDDDNGYQENDNPDFVNVAE >Sspon.04G0037780-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4D:77422313:77422582:-1 gene:Sspon.04G0037780-1D transcript:Sspon.04G0037780-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPGSQSRSSTTAAQGPSNFRYNPSDPDDFFAEFMASNKTYSFDQDRTRFQPRSHWTSAKQ >Sspon.02G0017540-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:51090308:51093283:1 gene:Sspon.02G0017540-1A transcript:Sspon.02G0017540-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSDHPEPPSLAPFEVQADPAPPPAKGGGKMHRLLRSAFKRGDSASASSGDEADQLSRSSSSSASSGRTPSGGRAVRRGGDGDRSSRESFELDGSKNGKLLSALRDAKVGHAYEPFPWEKKMRELLPVPEASCFLSLLLLPKATDGSNTRYNSLEDTLARADAWLLSSQASGVPVVFMNVQTEALLTKISGETAVSTVNMASLSDLAGMANASLYGFEDYHGVDIGVVRAVRLWYTPAAGEAALELRLRQGDTRLGFAISRTEEGFIYVSSVAEEGTPGVASARSGLLELHRAARRASKLLVLSRVGGEKVLPWMVSTAGNVKCFDTVSLSQKLSLHRHALRPITLHFLMWDRDLAVALPKDADVDKPPPAPPVLLLPSGLDVASDDLDGPGLASKDSRDSSFRFQNIDLPDSWL >Sspon.02G0042650-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2B:85386609:85390727:-1 gene:Sspon.02G0042650-1B transcript:Sspon.02G0042650-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNGSSSTVVGEMQSSLDRVRRQLSSTSTRHLLQGPLLKRSDTAHKEAVNSLGENGPAKLGTVATVVAVANATAIEATKEVEAAMKISLRAALGSTTNKLTKGQLDDLTIMMETLRVKDDELHQLLQDIRARDSTINEIADKLLETAEAAETAASAARSIDEERRFFTSELERLKQDHEKQVEASLLRLRESEEKAKLLVEERDHLLTERDSALQEAQMWRSELGKARGNAVILEAAVVRAEEKARVSAADADIRIKEAMSRLESAVKEKEDLLALVDALQSQIQRQETSTIQVCEESSELCSTASKHMEDDNVDKACVSDTDPIPVTENIVELDDEGVDIPTIGDTEWDNPHSSEVSDVREVTTEPEENSLDIPVDT >Sspon.05G0028200-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:60162243:60163093:-1 gene:Sspon.05G0028200-2D transcript:Sspon.05G0028200-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLGLCRCFGAPPAVEGKKPPPASEEPKKQQAARDQEAGRDEASEPKMAVDRAMVVKEKTAPVAMHQFPFHSRPGLLSFALGLVWDMPGFRLNKKLINFYVLALRKYTSTVLLHGPE >Sspon.03G0012090-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3A:33477901:33480312:-1 gene:Sspon.03G0012090-1A transcript:Sspon.03G0012090-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRETVMKYSTRVSYQMDVVKALNSIDGPQWKTSLFGNPTDPETLRRRCMVVETLAEKHFDLAFRMLHEFDLPAVDIYAGVAASLAERKKGGQLTEFLKNIRGTIDDDEWDQVLGAAINVYANRHKERPDRLIDMLISNHSILL >Sspon.08G0012090-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:47977203:47978609:-1 gene:Sspon.08G0012090-2B transcript:Sspon.08G0012090-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAVFLRHEGYLGALGALMSYGDPSGENLTIEEKEPHHESAPVDGTSVDEENDSNIFPYLLVNIGSGVSMIEVIGKGKFERIIGSHLGGGTILGLARLLTGCSSYEEFLELSQRGNNLSVDLTVGDIYGGEGYPK >Sspon.04G0025480-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:30698083:30705163:1 gene:Sspon.04G0025480-2C transcript:Sspon.04G0025480-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATDGQLREWVSDKLMSLLGYSKNVVVQYVIRLGTLRSSSSFLFMLLDSVPLLFGLFLLWDHVRAFPAKECSSTGDLVSKLVEFGFTSSAETRTFASDVYAKVPRRASGISNYQKQEREAAKLVQKQSTYKLLANEDDNTDNQTPPSQKTSTNPSSKSRKHFRRKADQDSGDDEIVAKDSERNVRRRTEEEDEEGGDSSSDEEKERIRDQQEKAQLERNMRERDAANTRKLMERQLSKEEQEELTRRSQAMDKNDTSDLRKYSRQAYLQKRRDKKIEEIRDEILDHQYIFQDVKLTEAEEKELSYKMKLYGLVKEHVETPDDVGEYKMPEAYDMGENVNQEKRFSVAMQRYKDPEAKDKMNPFAEQEAWEEHQIGKSKLRFGSKDKKPSSDEYQ >Sspon.03G0035970-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3D:51071111:51072093:-1 gene:Sspon.03G0035970-2D transcript:Sspon.03G0035970-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNAAAMPAFAPSAATPTFKLLIAKEAQVVVLAEAGKDVVDFLLGLLAMPIGAVVKLLGHKEKEGALAGLASLYASVQQMDAGYMQSLETRDALLNPAPAHPALIAAAGGFPSLVQPPLSAPPAPAGKASAGPGGLSLKNLSLPAFGLGSACHCAACLAAQAQEQGSKGFVRGAVTYTVMDDLSVTPMSNISSIALLHRLGVEDLGALEEKTVKIGYQEGLEILKASLHSKTVLTDVFLVLAAKKKRTRTEKNPTSSSQQQEKKARGDPAAPAEEELTVV >Sspon.01G0052450-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:21160082:21166096:-1 gene:Sspon.01G0052450-2D transcript:Sspon.01G0052450-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSRRLLLLPPLVLLLVAAGALLLPQRGSAEEGKVSLELYYESLCPYCSRFIVNHLAGILEDGLIDAVHLRLVPYGNARVGSNSEISCQTPTWPTWGPRLVITARGPGGHASTTPLPHHSHHPTRRPWHSDRSTHRMATRLAIAAILLLASAAAAAGEEGAGKVAVALYYESLCPYSARFVVNHLAKVFVDGLLEVVDLTLVPYGNARIHPGEVISCQHGPYECLLNTVEACAIDAWPDLDVHFSFIYCVEDLVVKRQYEDWESCFQKLGLDPKPVTECYNSEYGHKLELEYANQTNALEPPHRYVPWVVVDGQPLLEDYENFEAYICKAYKGTPPKACEGLGRLQMALETAEVRNGVSYNSGVSKLATATEDGGREQ >Sspon.08G0017390-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:2320689:2322866:1 gene:Sspon.08G0017390-3D transcript:Sspon.08G0017390-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRCCGCSVRCCCWLLVLTLLALAVTAAVVFARYKDGGNVFPIPGVPDPKYAEALAVSLRFFQVQKSGKLVNNTIPWRGDSALRDGEEAKLDLSKGMYDAGDHMKFGFTLAFTGTMLSWSVLEYGDAMRAAQQRDAAMDALGWIMEFLVNAHPSDDVLYIQMMSEKRPLTKITAKSPGSDVAAETAAAMAAASLVYKPANATYASSLLDHAERLFAFADKHRGSYTRTFPELSAYYNSTTYQDELLWAAGWLYHATGNHSYLSYATGKYADEFADLGNPRYFSWDDKRAGTEVLLSRVRFFAADGSDAGEDEVLGSYKDTAEAVMCILLPESDTAAFRTEGGLLYVAEWNSLQHPVASAFLANVYSNYMATSGKSELTCSGKSFTALDLRKFAKSQADYVLGDNPMKLSYLVGFGDSYPQRVHHRGASIPADVDTGCDGQKWLKSPDPNPNVATGALVGGPFKNDSFVDDRENVQQNEPTTYNSALVVGLLSGLLSTAPVAKSL >Sspon.01G0052330-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:20708460:20711284:-1 gene:Sspon.01G0052330-1T transcript:Sspon.01G0052330-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTQIGSVNGSAAAASNLTVGCPASTPAQLAATLSAGEASLGRHLARRLVQVGVNDVFAVPGDFNLTLLDHLIAEPGLRLVGCCNELNAGYAADGYARARGVGACAVTFTVGGLSVLNAIAGAYSENLPVICIAGGPNSNDYGTNRILHHTIGLPDFSQELRCFQTVTCHQAVVTNLDDAHEQIDTAIATALKESKPVYLSISCNLPGLPHPTFSRDPVPFFLAPRMSNKMGLEAAVEATVEFLNKAVKPVLVTAQDVSTMLRCEQNSIIFLINNGGYTIEVEIHDGPYNVIKNWNYTGFVDAIHNGEGKCWTSKVKCEEELTAAIETALGEKKDCLCFIEVIAHKDDTSKELLEWGSRVSAANSRPPNPQ >Sspon.07G0020900-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:78332899:78336592:1 gene:Sspon.07G0020900-1A transcript:Sspon.07G0020900-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKTAGAVQVLKDDTVKRTPMARPSNGREGKPIRLLSNHFAVKLRGVDAVFYQYSVCIKSEDDKVVDGKGIGRKVIDKLLQTYCSELDGKDFAYDGEKCLFTVGPLPQNNFEFTVILEETSSRAVGGSPVHGSPTQADKKRVKRSHLAKKFSVGISYAAKIPLQSVALALRGSESEHAQDALRVLDIVLRQQQAKRGCLLVRQSFFSDDSRNLVDLTGGVSGCRGLHSSFRTTIGGLSLNMDVSTTMVVTPGPVIDFLLTNQNVRDIRDIDWPRAKKMLKNLRVKAKHNNMEFKIIGLSDQPCSRQMFPMKVRNGSIEIQTVDITVQDYFKSKQVELTMPYLPCLDVGKPKRPNYLPIELCHMVSLQRYTKALSSQQRAMLVEKSRQKPQERMRVVTDAVKSNMYDDDPILSSCGIEIEKQLTRVDARVLSAPTLVVGNSEDCIPNRGRWNYNNKRLLDPVKIERWAIVNFSARCDMSRISRELINCGRSKGIFIERPHTLVDEDSQSRRCSPVERVEKMFEKVKTSLPGPPEFLLCVLPERKNCDIYGPWKKKNLHEMGIVTQCIAPSNKMNDQYFTNVLLKINAKLGGLNSKLALEHRQMIPVVTQIPTLILGMDVSHGSPGRADIPSIAA >Sspon.04G0006020-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:18061584:18062129:1 gene:Sspon.04G0006020-3D transcript:Sspon.04G0006020-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPQSTWVRGRRTGCPYPETGLLHHHQHQRHYLDACFRTSSCTGKQRETPINTLAHVQINSQEAARRRSGCDRCVRGCPWMCRGDTPFCSDECRQQQIEADEARERSGSGRAAARRGFPSGRGDRSRQRRRRKHDDDDMWASELIIAHEWN >Sspon.01G0045620-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:85738249:85740907:1 gene:Sspon.01G0045620-1P transcript:Sspon.01G0045620-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AAASSSPPPSLEIAAAASGPNPPPPEAAATRAKEDHQHSAAAAAAAAAAGVVADDAAAAPAGGGGAKDAADTVVIYASAEASGAGEGEEQGECGFCLFMKGGGCKDEFVAWEKCVEEAEAAGASVDVVERCQDVTAALRKCMDAHADYYEPILRAERAMAADLEAFQAEEAASHSAASASASDEGQKEAAATEAAAPPSSEGQNKQVALS >Sspon.07G0017340-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:62509636:62510546:1 gene:Sspon.07G0017340-1A transcript:Sspon.07G0017340-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADRVQPMPSPSSSPLPPPPGRRRPDHHQQQQSDAAFSASAADADADPAAAPATDETTPLHPSFFHDSPLALSPPPGTRPARAGRRLRYACCLACAALLVVLLAAAAFVGAVFLVFRPRAPSFSVPLLAVRGLDASATSPASTSLSPPLDAAVRADNGRNGKTGVEYRPGGDVAVSYAGQRLAAAPWPAFRQAPRNVTVVAVAMRGQGVRLTDAQRTQLAAERAARAVPLTVEARVPVRLRFGKVLRTWTVDVKARCEVAVDRLDGDAAVVNRGCRVK >Sspon.02G0043900-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2B:97605283:97606956:-1 gene:Sspon.02G0043900-1B transcript:Sspon.02G0043900-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding QLSGTMQLRSGRRLVYPLPEPQGGGSRRRSQHISEDTISGLPEDLLLEVLARLRSPAGAARAGAVCHAWRGLWTDLPELRFYSAQLLHVESTLARITRPSLHLLDINLWADWADDEDWTDLPITLPQHGEFTALKSLYLNSCCINLGALLPLCPRLRILNISNLSVDTVIVHSPSLEEFGLEVHNYDISCIDIAAPVLKEVTLDVDIAKGFSLSFLAPMVKKLRWGCHFPCVCVGFGQIWHLISIKEHELDDFHVVSLKLRSSANTDLPGVEWSIAQVIAHLPVTVFSVLELDLKIEGWHAFGPMILRLLRIQSTIQRFKVVLARDKARVPCPVNCPCEQPSNWRSEDVSLANLEVVEIHGLEGKDSEVDFLKIILRCATVLQRLTMTISDDISPSNNSYEKICCIMKEYPDVECHVMASSSERVLYP >Sspon.01G0045780-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:92889197:92890060:-1 gene:Sspon.01G0045780-1B transcript:Sspon.01G0045780-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGKTAATSWCGHCGMGLVAPPPGSSGSSVRCAFCHGVTRIEHHHQQRGGAVGDSAPMTMRTLAAAASLPRPPPVSAGLVEIPAGYPRRVSGGGSKRALLVGVSYTGTKYELRGTVNDVKEMRNLLCDRFGFPSACILELTEKESDPTRVPTRENLLRAMRWLVEGSRGGDSLVFHFSGHGVQKLDMNDDEVDGYNEALCPMDFERSGKILDDEINEIIVRPLGKGVKLHAIVDTCHSGTILDLPYLCRMS >Sspon.08G0012790-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:54587498:54589719:1 gene:Sspon.08G0012790-1A transcript:Sspon.08G0012790-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVVGGDDAAAAAPGLGQTVCVTGAGGYVGSWIVKLLLERGYAVRGTVRNPGNVDPSIVRSNCDRSIYSLCCLVWRSMEADDAKNAHLRALPGAAERLALCKADLLDYDALRVAVAGCHGVFHTASPVTDDPEEMVEPAVTGTRYVIDAAAEAGTVRRVVLTSSIGARSPWTPTARRTPSSTSRAGATSNSARRPRYNWYCYGKAVAEQAAWEAAAARGVDLLVVNPVLVQGPALQPAVNASLMHVLKYLNGSAKTYANAVQAYVHVRDAADAHVRVFEAPHAAGRYICADAVLHREDVVRTLRKFFPEYPVPE >Sspon.02G0050940-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2C:69768860:69769384:-1 gene:Sspon.02G0050940-1C transcript:Sspon.02G0050940-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MWSSARLTPCNTSIPRRDTGLHPAPSPVVLPSASTRRRPLHLPSVATPAVGPFFPSPTRPELPANPFFPPPPVQSSLAAAYACGRPLRPDQSPPVACAHCWPALTFLSARLQRRQLAAWPKLAGSLSPPPSLLASRRGRDGTALCLPIPCSTLRRREEEEGVKNACCKRMFHMF >Sspon.05G0027860-2P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5C:52951113:52952778:-1 gene:Sspon.05G0027860-2P transcript:Sspon.05G0027860-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFNALSGLGGGDQVDATTADNANTALYACFAIFGVLGGAAHNLLGPRVTLMLGSLTYPLYAGSFLYYKHHPESQAFPVTVGALLGAGAGFLWAAQGAVMTSYPPPNRRGTYVSLFWCIFNLGSVLGGLLPFSFNYHRSNKPASVNNSTYIAFIAFMLVGAALTMLVLPPARIVRDDGTKATRVTFSSPATDTEGHEILKLFANWKMLLVLLPAWASSSTGTLFFYTDHFNGGVFTLRTKGLNNVFFWGAQMIGSAGIGYFLDFGFASRRKRGLFGVVAVAVVGTAIWGGGLANQLKYRGVQLADPIDFKDGHRYAGPFMLYFSYGLLDAMFQTVIYWIIGALANDSQILSRSQLIVNWALITVSYPLLALLVFLAVKDEDYSVSSVEDSKEKD >Sspon.01G0040540-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:37500975:37507286:1 gene:Sspon.01G0040540-3D transcript:Sspon.01G0040540-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AEPPTVVILTEPSYKFEKEEEEKESSPSTVNPASAAGVVGCDRLTFVVSHHEAPHPSLFGKAMALPTARQLAKNCSRQRQAMVDAIHTVTFADCHSKQERAPDSRAWNTNSSRLQRFPSLLPPPTRSGNWRPRHPSVRLRAPHLPSPSKPASAPSNEAGISRRCQPPPSLLLLLGVGLHWFLSLCQRQTLLPSQHTPDGSDLGRLCDRWRACNESRGVEAGGEREGERHGKLPRYAVALVEGGGRWAVPSGLPSAEPRGEARATGIKFASASQSAKPAVRADGATWLCRLLAWQLAKALPTVCHVAALPTALCRLPRGFAVGKAFADWVYAFADRGKQSISARRGHVRDGSCHHMGRKKGHKSPMASSSSFLLAMAALAALLAVGSCGTLLTWTVGKGSSSNRLVLVANAAISEVSVKDKSAAEWSDDLKESPAKTFTLDSKVPIKGPISVRFAVKGRGYRTSDDVIPAGFKPGSVYKTKETV >Sspon.05G0038340-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:38872584:38877034:1 gene:Sspon.05G0038340-1D transcript:Sspon.05G0038340-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SFGIGHSYELCILHSDQAISVRARRWPLPVAIRLLARLAVEGVSLSYAPAPRRRRFPRQTGSGAVAPAGARRHGVGRGRRRRALEFAERVDKALERHVEGAELELTTIRLNVAATPMPSPSSSVHIDSQSPDPVSSSPRRASSGRRRAALTAPLPRPRPTCSSPLVAAAAVPLPAGAPCCQCRRALFAHAGFGERRHLRPSGSSSGGTEEPPVPISMSAKVQNSRSVSNNGGLQFLCIQHTQHRSKDRNMQSRCKFREG >Sspon.04G0014930-5P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:19476136:19479794:1 gene:Sspon.04G0014930-5P transcript:Sspon.04G0014930-5P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVLHTGAGNKNAFKALIAAEYSGVKVEVTKNFEMGVSNKTPEFLKMNPLGKVPVLETPDGPVFESNAIARYVARLKDDNPLLGSSRIEQAHVEQWVDFAATEVDPGVAWYLYPRLGYIPYAHTTEETAIASLKRSLGALNTHLASNTYLVGHSVTLADIVLTCNLYHGIARILTKSFTSDFPHVERYFWTMVNQPNFKKVIGEVKQAESVPPVQKKAAPPKEPKAKDVKKEAPKEAPKPKVVEAPAEEEAPKPKPKNPLDLLPPSKMVLDDWKRLYSNTKTNFREVAIKGFWDMYDPEGYSLWFCDYKYNDENTVSFVTLNKVGGFLQRMDLARKYAFGKMLVIGSEPPFKLKGLWLFRGQEVPKFVMDEVYDMELYEWTKVDISDEAQKERVNAMIEDQEPFEGEALLDAKCFK >Sspon.01G0033420-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:112542285:112546726:1 gene:Sspon.01G0033420-1A transcript:Sspon.01G0033420-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRLATHWIVDALAGDESVEFSVLQGEAPNPKFLRRSLVGRFRFVSHLGCLSLACLRSAALLSASSDPLAGAPEATRERVALRSLQELSSLIPAGGDAAATAGVLRVDGAQSCEDVLFRLATEVEISGKMEKDLLPPFSQDIQETIRTKKHTLPETSLQLLKGVDPWITSMTPPSQLEHNGTGQCDNDQTLRSSHECVNQEKPVFPTDNAEVQQDTMANLVNESETGSLQKSPNLPHCADGEGADDGGSSNQSSKVSSHEGLSAHATVTPGFDRISDVLPTDASEPGHLPECITTQDTTMIQQPDSRKAHLSALQHERGEKVNQDLEDVSASIRPVEKDHVHGDLTLQSASALLSISCNGANQGSKSETNLQPGTATEDRMAFEGQSADKSRLEVSGANKANQALHDDGSIMKNNTAHGGLTAQTAPVSQNCSVTLHDKSSEANCFSEQKNEKNGTDVQKHNCCTSILSSSKDRDRESAKKTSNEEKSKDTFPEISVRSKDQDIEDSLEGLSQQDLCIKCGEDGQLLKCSDCSLGAHDSCFGSSVTFEETNLFYCPVCFHKRAREKYEKAKTSYCEAKKNLAAYLGRTQVSKEHDEQLNGVQPGAAKRDGHSNGSDMLKRNSIHQNEAYDLAHRDEEPHQQRKKKKINPRGNGYPKEVLTEKDPFQNSGPASMNKHSVLRNNSKKQIKDLEKKQQAEDKETRKEAGNDNSFHETIASKRGCDPPLNQNDEADQEDSLTWQAIITTPWRNMRHSKKRLQEKESAVSSKSRKGIAKQDQHMPTSPRKRNFVRPQKRYSNPAAPTGRRGRKAWTEAEEAILREAMEKFAPQDDAPIPWIRIREYGHDVFHKTRLPEDLRVKWRNLMKKEPAGY >Sspon.06G0008990-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:39043833:39047799:1 gene:Sspon.06G0008990-2B transcript:Sspon.06G0008990-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPHQAQGPADSVIPLQSEPVLENNPSKGANAKDQILSGTENVSAGNARGASSLKSPKGPPEKASSVGKAGEQPFLYQQNVYAPQPQPLYPGGYMNPSGQWEEYPHYVNMEGLHSVSPGIYNDNQSLMLSPGYASNPQMMYGAYSPVSTVGDGQQYFPVHYPFSNPYYQPPASPSMGYSSSATGISQGDPMLQQEYFLPDGLLYSPTPGYHHPFNSFDRAPTQPNNAPGLFGQGNLPLASGMHHGSMYGPGSYKGRQQGSKFGGTTPSWSSAGRRFGTFDLSGNQQRGSMPFGSHNGSLEFMNEQNRGPRATKPKIQDTENSSGDEKNEKTVPLIDSELYNRSDFITEYKDAKFFVIKSYTEDHVHRSIKYNVWASTASGNRKLDSAYRVAKEKEEHCPIFLFFSVNGSGQFCGVAEMIGPVDFDRSVDYWQQDKWSGQFPVKWHIIKDVPNNLLRHIILENNDNKPVTNSRDTQEVKLEQGLQMLTIFKSHEAETTILEDFDFYEQREKALQENRRQQQPASTDPQKLVDTKAQGPVADISDAFAKAVQLKDTENSGTTPKADGTSAENGSTATAKVEGSANLSTGPVEES >Sspon.04G0001550-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:2598042:2601341:-1 gene:Sspon.04G0001550-2B transcript:Sspon.04G0001550-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLDERNAAVGRVRDQFPVGMRVLAVDDDPVCLKVLENLLRRCQYHGKDFRDTAAALCLFWLLLQLLTCKTDGFVFAVTTTNQAVVALRMLRQNRDLFDLVISDVHMPDMDGFKLLELVGLEMDLPVIMLSVNGETKTVMKGITHGACDYLLKPVRLEELRNIWQHVVRRKFGNCDRANIDGYEECNRPSNADFDLVHSQITAGTPDQSGRPSKKRKEYHSEEEDEGEESNGQENDDPSAPKKPRVVWSVELHRKFVAAVNQLGIDKAVPKRILELMNVERLTRENFSRLSTLIKQDKYRLYLKRLSAVASQQASIVAAFGGNDPFMRMGAFEGLQGYQSFASAAALPSFSPQGLLNRNNPTSFAIQGMSASRPIQIATGNSTISHSIGDPNKYHLSLPGTSSQQGNLAQGLTTSVGQVQLPQKWIHEETDDLSTILSVSGRANNGVPGTLQCVTNSHLLQQGLVECIQDKVGIQPCSSASSDRLEGTVGVSSSLMDSCASQQRVVPLSAFSTSASPMNGSFCSNGIAELGATSSGGTNICPSNDLRVARDNKVRASSVGSVILLSPDTVPNQKYLNFGGGSNLRQSMDGGNTENLLNPKLIWSCLPTSQPLNLIGNHHPMSQRPNNRNLGGTMVGQTTGSASTAAQQTRIDMFITGDTATPKSASDLSFPKVHSELSSSSCSFDGLLNSIIKMEKDDASFSDDLGCDFYSLGACI >Sspon.02G0013100-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:32776004:32777136:-1 gene:Sspon.02G0013100-2B transcript:Sspon.02G0013100-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAKTRRQLAKLTLLLLALLLLLAAILLCVFLIPRHHRRPLPPASPPGNASNPDDSIVAFDFSPYLIMYKSGRVHRLDGTARCSAGVDEATGVTSKDVVIDSGTGLAARMYLPPALGGTKSKDLGRHPVLVFYHGGAFVIESAFTPLYHAYLNGVAAKARVVAVSVEYRLAPEHRLPTAYDDSWQALNWPWLRDRGNLSRLFVAGDSAAPTSRTTWPCARARRRRAGGWTAARPSRASCSWTPTSGGSSRTRRRGRSSAAGGTASTTRWWTRCRCRRRSGASWRARAWRSRRQAWTTSGRAAWRTRRRSTAAGGTGRSSSTRRPASGTSTSWIGPRTPIPSRSWPSSPASSPGSS >Sspon.01G0005990-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:15580876:15585339:-1 gene:Sspon.01G0005990-1A transcript:Sspon.01G0005990-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKHGWHLPYHPLQVVAIAVFSALGFAFYVFFVPFVGTKPFQIVAMAIYTPLITCVVVLYIWCAATNPGDPGIFDSTKNLKLDKHEKHSYVNSDQGINHGGRPLSETFGTADNNEKLSSMLERKDSPSWPRFSGILSLVCLPFSCLCKRCLHSDSQPSEQKMCEGGMFFCSLCEAEVLKNSKHCRVCDKCVDGFDHHCRLVMQWLSGALVLILCIVKRGEFSRQVVTKLGSSFSTVAFVIVVATCTILAMVATVPLVQLLCFHILLVKKGISTYDYIIALREQEDQQEVPGHQSPQMSIISSVTGFSTASSFGPLHRGSWCTPPRLFLEDQFDAIPPEVGISQNSGSKKTKEEEGARRKTGAVKISPWTLARLNAEEVSKAAAEARKKSKILKPIAKHGAPDNGSKPDHMPSYKRRLDRRGFPAELSLDPLATLSASGTESNYSDTATEICGSLAPLQLEARSAFQPSTAASTRNVASSPESSFDSPDLHPFRTSSATADEMQGAMTHSAHKGIEFKRSSSDGYEASGGEDSDRIPSRIVHRSSNWANVFLNSSQGGPADVLTTSSEGFVANANVFLNSGS >Sspon.01G0028870-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:95898383:95900715:-1 gene:Sspon.01G0028870-3C transcript:Sspon.01G0028870-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGFASYLAMKTGPERGGAAAAAQALIDADLRELGVAARKLANHAFVLGGGLGFGTSFLKWLAFLAAVYLLILDRTNWKTNMLTALLVPYIFFTLPNVLFSLIRGEVGKWIAIIAVILRLFFPRHFPDWLELPGSIILLTVVAPSLFADTFRGDLVGVLICLAIGCYLLQEHIKASGGFRNAFRKGNGVSNSIGILLLFVYPVWAAVLRVL >Sspon.06G0027290-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6B:84502339:84505693:1 gene:Sspon.06G0027290-1B transcript:Sspon.06G0027290-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLCSDLQKFFISQFEEVVFPLGDETSTIKLWLPRMADHLEIIEPPSKDPFCSRFVWSDLLNQNSSISRAKDAAKESSSSCTIKNSAKESSSKQKEIKDLYRSIKQKVFGRDKDRAHICRMLRKGLDANAATSSGHKPYSVIGIYGISGSGKSTLAQYVCDSEKDIGHFHPIMFIHVGKTFSVGDIFHDMLEQITQSRPSKDKDLRSLKKELEEKLKDRCFLLVLDDLWIYDENQEGPDIRPQQEILLATLCAGRSGSGILVTAQREDAAAALGAQEQISIPDLEDKEYMSLFMHHARPGTVNDHEEYGRIGNTIVKKLHRSPIAAVTVARRLQRNKNINFWETTANLDVLNKTMGALWWSYQQLGFDIRRCFAYCSTFPKGYVFKRDELIHIWIAQGFVNTMSSATEELEDVGQSYCDELLTFSFLQAERKIFDRKTEALTVHDLLHDLAERVSGSEFYRIVLNGSPKDIPRGVHHLFIETNNGAEMFEKIVDLENLRTLIIKETYAEPMETIKNKHDLEKVLDRLFMRLTKLRVLIIKPSCGTKEWLVPASIDQMKYLRYLGFHFSRPSVELILPSTFTKLYHIQIIDFPLVKAYCPEDMANLISLRHISALLSFPNVGRLISLQTLTSFTVHKEQGYGLKQLKHLNKLRGTLNIYELGIVGSKEEALEAHLCDKEGLRKLQLSLGWHMIDPDVEAEVLDGLCPPKDLEELNILCYNGSRKRTPKLV >Sspon.06G0013750-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:71125133:71126023:1 gene:Sspon.06G0013750-1A transcript:Sspon.06G0013750-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLDLGTSSYLHQHQQHHHQAPLHLHHEDGGGAGGGSDDGQDSLSPGSGGGGGTPSTAGGAGIGGGEVVGRRPRGRPPGSKNKPKPPVIITRESANALRAHILEVAAGCDVFEALTAYARRRQRGVCVLSAAGTVANVTLRQPQSSQTGPASPAVATLHGRFEILSLAGSFLPPPAPPGATSLAAFLAGGQGQVVGGSVAGALIAAGPVVVVAASFSNVAYERLPLEDGDEVAPPPPAGSDQQQPGVPFDPAAAAVAGGLPFFNLPMGMPPMPMDGHAGWPAGAPGGGVGRPPFS >Sspon.02G0002610-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:8490533:8492604:-1 gene:Sspon.02G0002610-1A transcript:Sspon.02G0002610-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSKLAVLTLFALLGSVSCQGGGYYYPSPQPPPSPPSPSPPAPMAAPPPSPGTQLMVGYYRNKCGAYVDVEAIVKKHVKATDAGMQAGLVRLFFHDCFVRGCDASVLIDTFSNDTSLTPEKFGPPNFPSLRGFEVIDAAKAELEAACPGKVSCADIVAFAARDASYFLSGGNIRFAMPAGRYDGNVSLANETLPNLPPPFGGFDLLVKMFAAKGLDVSDMVTLSGAHSIGRSHCSSFTRDRLPPSNTSDMDPAFAATLQASCASPNGTDNTVMQDAVTPNILDNQYYKNVVAHKVLFTSDAALTTNFTSNNLVRAYADFVPFLWQNKFAKAMVKMGGVEVKTAANGEIRTNCRKVNGRPAKEEESSEVSVGDGRVLDNQYYKNVVAHKVLFTSDAALTSNFTSNNLVRAYADLVPYLWQHKFAKAMVKMSGVEVKTAANGEIRKTCRKINSRP >Sspon.01G0048500-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:109427541:109430424:-1 gene:Sspon.01G0048500-1B transcript:Sspon.01G0048500-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDENLKGVAQEGQKRLLLLAELSQHAAIISQMGAQYTDRYWNKGEYRETPETGLQWVMRCFSHPRYFYKMFRMSTEVFMALHELIVSNYGLTSTNNVSSIESLVMFLWIVGGPQAFSQAENRFTRSLWTVHTKFHEVGFKCIGACLALTRRPTQTRGLQRLVRNPPTIALLRKLVRRLRLLRKRKLYEYITCFNMMLDDKHDA >Sspon.05G0001120-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:3845226:3848742:-1 gene:Sspon.05G0001120-1T transcript:Sspon.05G0001120-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPTTVPSTSLALPTAARAGARRHAFFSNRSPSSVPAARLRRAPRLVMVSASASLEALIFDCDGVILESENLHRQAYNDAFANFGVRCPPASSDPLYWDEAFYDDLQNRIGGGKPKMRWYFGENGWPSSKIFETPPSTDSDKEKLVDIIQDWKTERYKEIIKSGTVKPRPGVLRLMDEVKDAGIKLAVCSAATKSSVIMCLENLIGLERFNGLDCFLAGDDVKLKKPDPTIYITASEKLGVGSKNCLVVEDSVIGLLAAKGAGMSCIITYTPSTASQDFKDAIATYPDLSNVRLEDLKLLLQKTLVTG >Sspon.01G0044490-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:83211147:83212471:1 gene:Sspon.01G0044490-1B transcript:Sspon.01G0044490-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVQRYRFFCCGCGANAAAGDREGDDDGDFGVFDDKVAEKGVEAGPRGLSWAQVEAITGGFTSAVVGEGGFSTVYLARLSGALAAVKVHRSSERLHRVFRQELDALQRVRHPHIVRLLAFCDQQEEGVLVLEFAPNGNLHERLHGGGKAVGTMPWARRVSKSDVYSFGVLLLELLTGMEAFCAEEGRLLTAVLAPRLRAGGDPPSCDARGMVDERLGTAYDAAEAAAVAALAAACVGENPSLRPSMADVVRTLEQSAQGSISAVGRRSDGHGKV >Sspon.03G0011190-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:37525584:37528585:-1 gene:Sspon.03G0011190-2B transcript:Sspon.03G0011190-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MERRCPCRLLLAVAAPAVVLVAAAAQAQETCSGAVPAPPRRGAQVSVASFGGAGDGRTLNTAAFARAVASIERRAAPGGAELYVPPGVWLTGPFNLTSRMTLFLARGAIIRATQDTSSWPLIEPLPSYGRGRELPGGRYISLIHGSGLQDVVITGENGTIDGQGSAWWDMWKKGTLLYTRPHLLELMSSSDIIVSNVIFQDSPFWNIHPVYCSNVVIRNVTILAPHDSPNTDGIDPDSSSNICIEDSYISTGDDAIAIKSGWDEYGIAYGRPSSDITVRRITGSSPFAGFAVGSETSGGVENVLAEHLNFFTSGFGIHIKTNTGRGGFIRNVTVSDVTLDNVRYGLRIVGDVGNHPDDRYNRSALPIVDALTIKNVQGQNIREAGLIKGIANSAFSRICLSNVKLTGGAPVRPWKCEAVSGGALDVQPSPCTELTSTPDTSFCTNSL >Sspon.06G0003810-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6A:11708982:11710792:-1 gene:Sspon.06G0003810-1A transcript:Sspon.06G0003810-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable 6-phosphogluconolactonase 5, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G24400) UniProtKB/Swiss-Prot;Acc:Q84WW2] MSTTAAASATAAWTTLSSVNLRRSSSSALSLPSSLRRSLPSRLLSSSPSCSPLVPVSAMASPAAGETASRKKLIIFDAEEDLAASLAKYTAELSAKLAAERGAFTVVLSGGSLVKALRKLAEPPYLEAVDWSKWHVFWVDERVVPKDHADSNYKLASDEFLSKVPIPADQVHAINDALSSEGAAEDYETRLKQLVKNGVIEMSPVSGFPKFDLMLMGMGPDGHVASLFPGHPVVNENQKWVTFVKDSPKPPPERITFTFPVVNSSAHIALVVTGAGKAGAVHKALSGGQNTADLLPVEMVSLQDGELTWFTDKPAVSMLSSI >Sspon.01G0053840-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:43188053:43190570:1 gene:Sspon.01G0053840-1C transcript:Sspon.01G0053840-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKAPAASRYAAYDSPSPSPSPRRAAPSAAAATQGGAHGSSRALVVAGRSGRDLLGAKPQPQAHGNLGSVLRRLISMDKKPPSSKNQLPVPPAAAAAAAAAAKNNGGGKLPGLSRKLFQKASSTEAAAPRKTKALTDVKNGGNNANTRTLGMVLRSERELLAQTKAQEDEIAALRLQLENKDREVERLKDLCLRQREEIRTLKDAVLFPDAEPEPEPDRCLRDEISTLTDQIQCLAQELAQVRSLSRSRVCSLILRLGGRSLMLRRLMFHFVQVKAEKHSVRSCFDEDGYCSSPRTPGFNEETAFSLECSIGEAETPNYGSPDEMFSKDLNPCLTPCIAKSKSDVSAQFQSSSQFTKESSGSHRSSGKARGDCSYSSFGRPMSKSSDHHKPTSGTSNKRRVYKSDQDKINQNLF >Sspon.08G0008330-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:32023792:32041719:-1 gene:Sspon.08G0008330-2C transcript:Sspon.08G0008330-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKCHSVAALWSPSPPSHHVTAAAATPAALFTGAADGTVLHWPLAPASASPSPRPSSLLCAHAAAITSLCPLPSPASLLAACTAGVLSLFSTSAPLRCLRRRSLPPWAGSPSLVAPLPSITSSHPRVTILCHAPDDGHRHVSALVVVDARTLAVLYTVFHGILSVGTPRAIAVSGAGDDAVSVVLADAQGRVQVMPAAEGAAVEVDSPRRLSVSSVSSVASAEMADGRVEALALSHDGKHVALVLKTRCLLKCVTEGAVLGEVSLLGTSLCKDENTVDKVCTAGAFFLSSDEWDAHVSDDGVVVRNLVLWSSNGAATMYRVALGSSYFESEVVCEIPSNLSMQGEGLEIKFCRSDRHLVRVESRFNKVAGSLLWKPTVSIWSMDQLELNKTVSTQKPPMSKMLGEGGLQAEEFRPEPSHSQYNTNRGLEVVSQMCSSDSSKLERYGRTVSSSMVLSEDSYAPYAVVYGFHNGDIEVIRFLNLSPAAAKFGGGGIYPHISERLFLGHKGAILCLAAHYMHAHSDSRNFHRALISGSLDCTVRVWDLDAGTLLSVMHHHVGSVKQIILPPPWTYHPWDDCFLSVGEDGLVALVSLQTMRVERMFPGHPGYASLVAWEGVKGYIACLCKNLHSCNDAGSGLYIWDLKTGARERVINGTASRSAFEHFCSGISKNAVTGSILGGTTSASSLLVPIFKDASHLRSHADKNGHDISSVSTNLHNRNTVSVTVNAPTTYDFKGKTPAPDEAHEFHGDNSVYNSGKAVSSHSVHNKKIKCPIKCSCPYPGIASLRFDLTAIMSTQGMTNNNSGRQLKDHLHSEKPKEILQPGTLDSPSRVHEMDSPSRESLEGRLLRFSLCFLHLWDVDCELDKLLVDEMQVCKPEGCHIATGVVGDRGSFTLMFPGKEATLELWKSSAEFCAMRSLSIVSLAQRMITLSRSCTNASSALAAFYTRHFAEKVPDIKPPSLQSASVSNYGELKADDGNVDKDDSDTANMILWLESFENQEWLSWIGGTSQDAVASNIIVAAALVVWYPSVVKAKLSSLVVSQLIKLVMSMNDRYSSTAAELLAEGMENTWKACLGAEITHFMSDILFQIECLSTAPSSNAINKTAVAVTMREALVGTLLPSLAMADITGFFGVIESQIWATSSDSPVHVASLKTIIRVVRGAPKSLVPYLDKAISYILHTMDPSNLIMRKACIISSMMALREMARVFPMVALNESMTRLAVGDAIGEIHDATIRVYDIESVTKIRILDASGPPGLPSLLAGSSNTTATILISVLSFSPDGEGLVAFSENGLMIRWWSLGSAWWDRLSRSLTPIQCTKLIYVPPWEGFSPNSARLSIISSILGHDKHGRSEKKTKELGEADNLKLLLHNLDLSYRLHWVGGKTIKLMRHGQ >Sspon.03G0025770-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:3862094:3865544:1 gene:Sspon.03G0025770-1P transcript:Sspon.03G0025770-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LNILRPSSPLLSSFNQPKQHCLFTLPLYYWSPRQVDELTKSSSHLILHRHLCLPSSMSMALRRLRPWAFLLFFLVLFSYDESGLWSRSRTRSGVAQATQRVFLYPQAPKVSSIVSSKYRTAYHLQPPKNWINGPMYYNGIYHQFYQYNPNGSLWGNIVWGHSVSTDLINWIRLEPAIERTTPSDINGCWTGSATVLKSDQPAIIYTGADTEKRQVQNIAFPKNLSDPYLREWIKPDTNPLIQPVGQGLNPNQFRDPTTGWIGPDGLWRIAVGAELDGYSAALLYKSEDFVHWTRVDHPLYSSNASTMWECPDFFAVLPGKNIGLDLSAAIPNGAKHVLKMSLDNCDKYMIGVYDLKSDAFVPDSVLEDRRLWSRIDYGNYYASKSFFDSKKGRRIIWGWTNETDSSSDDVAKGWAGIHAIPRTVWLDKDSKQLLQWPVEEIESLRGKEVSQQGLELKKGDLFEIKEIDTLQADVEIDFELTSIGSADPFDPSWLLDIEKHCREADASVHGGIGPFGLVLLASDNMEEHTSVHFRVYKSQEKYMVLMCSDLRKSSLRPELYTPAYGGFFEFDLEKEKTISLRTLIDRSAVESFGGGGRVCIMARVYPVALIDDDGTRMYAFNNGTTSVEVPRLKAWSMRRAQVNVKKG >Sspon.08G0018300-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:7187927:7191053:-1 gene:Sspon.08G0018300-3D transcript:Sspon.08G0018300-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MITFVDSAAMERERESDRCLDPQLWHACAGGMVQMPPVHSKVYYFPQGHAEHAQGPVVDLPAGRVPALVLCRVAAVRFMADPDTDEVFAKIRLAPVRPNEPGYAADADDAIGAAAAGGAQEDKPASFAKTLTQSDANNGGGFSVPRYCAETIFPRLDYSADPPVQTVLAKDVHGVVWKFRHIYRGTPRRHLLTTGWSTFVNQKKLVAGDSIVFMRTENGDLCVGIRRAKKGGIGGPEFLHHHQPPPPPGGGYAGFSMFLRGGEEDGSKMMATAAATRGNKVRVRVRPEEVVEAANLAVSGQPFEVVYYPRASTPEFCVKAGAVRAAMHTQWCAGMRFKMAFETEDSSRISWFMGTVSAVQVADPIRWPNSPWRLLQVAWDEPDLLQNVKRVSPWLVEIVSNMPAIHHLTPFSPPPRKKLCVPLYPELPLEGHQFPAPMFHGSPLGRGVGPMCYFPDGTPAGIQGARHAQFGISLSDLHFNKLQSSLSPHGFHHHQLDHGMQPRIAAGLIIGHPAAARDDISCLLTIGTTPQNKKPSDVKKAAAAAVAPPQLMLFGKPILTEQQISLGNVAGFPLPKKSPSDDIAERTVSNSDVSSPGRSNQDGSSSGGAPLSCQDNKVSDLGLETGHCKVFMQSEDVGRTLDLSAVGSYEELYQRLADMFGIERAELMSHVFYRDDASGALKHTGDKPFSEFTKTARRLTILTDAEQR >Sspon.02G0019960-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:66998791:66999383:1 gene:Sspon.02G0019960-2C transcript:Sspon.02G0019960-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVGRNMVAPLMVLNLIMYLIVIGFASWNLNHFINGQTNYPGVAGNGATFYFLVFAILAGVVGAASKLAGVHHVRAWRHDSLATNAASSLVAWAITALAFGLACKEIHVGGHRGWRLRVLEAFVIILAFTQLLYVLMLHAGLFGGSGGYRDHDYGVGGGAAGEPKGAPR >Sspon.02G0031560-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:105489406:105495688:-1 gene:Sspon.02G0031560-3C transcript:Sspon.02G0031560-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVAGSYERFIWGFSLKTLTSPSATATGASPSETLTLAPLFSYPAHTGPVRCVAAAPRAGLAASGGADDSVRLYDLPSAADLGPLLDPSAAVSALAFYSRGPVPRNLLAACDDGSLLLYDADGFALLATLRAFPRHEAVEGLAVHPSGRVALAVGRAGALAMLNLVRGRRSFACRLERPASAVAYAEDRDGGDRFVMAAEEKVSVHDSVDARIIHQMDCGKRVLAMAPAKVPPLPGHHVELVMGIRPAALHSLGLTPKPFPPQRTGGGGVARSSLAAMALVAGSYERFIWGFSLKTLTSPSATATGASPSETLTLAPLFSYPAHTGPVRCVAAAPRAGLAASGGADDSVRLYDLPSAADLGPLLDPSAAVSALAFYSRGPVPRNLLAACDDGSLLLYDADGFALLATLRAFPRHEAVEGLAVHPSGRVALAVGRAGALAMLNLVRGRRSFACRLERPASAVAYAEDRDGGDRFVMAAEEKVSVHDSVDARIIHQMDCGKRVLAMAPAKNGVLYTGGEDRCVTAWDLSSGKVSSRIEGAHATRVKGVVVFDNRKGGSELSNLIASASSDGVIRIWDIRTIGNGKPTPLAEANTKARLTCLAGTSLK >Sspon.07G0022480-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:8532790:8534582:-1 gene:Sspon.07G0022480-1B transcript:Sspon.07G0022480-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPWMDKHCAGKDILLLPPFPLPNPLDLLIVWRVMAKYRVGRTASFPKDPIVQIHQLNLYANPRTAPWSAHATPKVNEAAALPDTGRHGFQTRTEHAKRSPPPAARPAADQQSPTGSGRHRIVSDGPTRIPPKPHAFPALASSPLSSLPVPTGHHTRARQRAGCTRPLLRHYSHSPSRLLLLPRQLMPPTTRKPKPPQTNTLFPFCPSPAHHAIPPHDHTPAAADHVGSHGAMSILDSLASLGLGYAIAIALGFLVLLASVLLASYFCLRRGGAAQVFGAGAGVGSARHAASSASSSGHISITVPRGVFVAEDYDSPGSSSRGAAAAASPVGLDPSVIASYPRVPFSKAGAGAGADAEVACSICLCEYREGEMLRVMPECRHRFHLTCLDAWLRRSASCPVCRSSPIPTPVATPLSTPLSELVPLSQYAADRRRSR >Sspon.03G0026740-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:3708194:3725174:-1 gene:Sspon.03G0026740-1B transcript:Sspon.03G0026740-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRHSENNAQEGATLLTTPHTNIARIIPSLPHETRWPTLSVRQYASFWLAEATLKAGVPLVHSCFEPRPTDVFLASFPKSGTTWLKALVFATLKRSTHVPFDGDHPLRRCSPHDIVRFLEIEFNTRDEFEALPSPRVLATHLPYSLLPNCITGEHSGCRMVYICREPKDALVSYWLFTRKLAPGVDFTIQEALELFCEGRCPGGPQWQHVLQYWEESVRRPDGVLFLRYEEMLLEPESHVKKLAKFMGCEFSEEEEEGGVVSAIVELCSLGKLKDMEVNRNRSSRMGIKNESYFRKGISGDWSNHMTLEMAQRIDKVIEDALGKLKDIGVNRNGSASSEIKNESFFRKGVAGDWRNHMTPKMARRLDKGNAASLPGTNTGIACRHVAGIFFLASNWTEHTPLVTSRLRFTNRTMASRQSENNVQEEAAPLTTPHTNIARIIPSLPLETRWPPFLLRRYASFWLPEVTLKAGVPGVHSCFEPRPTDVFLASFPKLKRSVHLPFHDDHPLRHCNPHDCVRFLKIDFNQNKDEFEALASPRVLATHLPYSLLPSLAASPRSAQGAGTPKMRWSLTGCSPERRRQRGELTPSHSQSRRLWSCPKSGTKHGLFCEGRCPGGLQWQHVLQYWEGSLRRPDKVLFLRYEDMLLEPESHVKKLAKFMGCEFSGEEEEGGVLGAIMELCNLGKLRDMEVNRNGSTSWGFKNESFFRKDVIRDWSSHMTPEMAQRLDSR >Sspon.08G0004110-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:12484838:12486877:-1 gene:Sspon.08G0004110-3C transcript:Sspon.08G0004110-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRAAAATITAATSSLLRRSASLRPQGLRVPHRAPPQRFVRHIASSTNEEAAAKAAAATADTGGPTIFDKIIAKEIPSSIVYEDENVLAFRDINPQAPVHVLVIPKVRDGLTGLDKAEPRHAEILGQLLYAAKVVAEKEGVANGYRVVINNGAEGCQAVYHLHLHVLGGRQMKWPPG >Sspon.02G0023590-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:80435650:80440103:-1 gene:Sspon.02G0023590-1A transcript:Sspon.02G0023590-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSATPDLSASAVTSALSCLARVTSRLTLLQGADSPVFSFLNNLSPIEPLKSAYNTNSLQGFQSINITSISSIFTSPHDNVNKEPRLPKSSLGEISENEVCADGADTNKPSKSSNAVRLFACTSTVTRETRTDTCSGVVDPATGPYDLAQPGQFDNGSPDHNTTPCHGVRSDLKQDKTRKLEAAQTVKNTLEKRKCLFSTEIRLLDGSSEPANDNDEVLGCEWSDLISTTSAERLAFDSTMDEHHRGVHLAAKNAESCGYLLSRLTGDGDVSDRAYPSGSGQVYYQDLVMGEDQTENAQIFQDGQETISTEEIQDNIYEANGCIPLDYKVESQQQRGIRRRCLVFEASGFSNSVVKKGTVEDLSVSTCKGKSPVQTQPRGLRGIGLHLNALALTPKGKMACQDPTASALLPSSASEKDVHSKLLSAGENFTPSGGELLEFPMDDCSAGGFPVSDHVSGQSVSPQKKRRKTDNGDDGEACKRCSCKKSKCLKLKILTILQLQLVTREDAIARSQAVSRNTVNAIREVLDAPPTADVRVAKILLAEEMFSAQTAKFLKCETTETVQTSQCELPFVCFKSYHNSTAL >Sspon.01G0042610-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:67348416:67349396:-1 gene:Sspon.01G0042610-1B transcript:Sspon.01G0042610-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFSAARVAEHGGEVEDARGMPAEVSWEMLDKSRFFLLGAALFSGVSAALYPAVVLKTHLQVAAPPQAAASAAAAAILRRDGPRGFYRGFGASLAGTVPARALYMAALEATKSAVGSAALRLGVAEPAASAAASAAGGVSAAVAAQVVWTPVDVVSQRLMVQTAPVAAARYRGGADAFRKILVADGVRGLYRGFGVSVLTYAPSSAAWWASYATAQRLLWRAVGPAHHDSRGAAMAVQGVSAAAAGSAAALVTMPLDTVRTRLQVMDAGAKAPTLAAAARALVREGGWAACYRGLGPRWASMSLSSATMVTTYEFLKRLSAKEGSL >Sspon.07G0009110-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:21990477:21993901:1 gene:Sspon.07G0009110-3D transcript:Sspon.07G0009110-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFVGDTIESIRSMQIRQVLTQIISLGMIVTSALIIWKGLIVFTGSESPVVVVLSGSMEPGFKRGDILFLHMSKDPIRTGEIVVFNVDGREIPIVHRVIKVVHVEFFTSIDAMSMNIRTPQKWTSSPKVTITLGMTDYYMHMGSFGSSNITLWDVPWVPADWRTGLAGHNVERLEMSNFSAM >Sspon.01G0015230-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:45174437:45175331:-1 gene:Sspon.01G0015230-3C transcript:Sspon.01G0015230-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAGATSARLARSASLSAARTHHRSRTARFPPSPQLAPLSAAASPRALPLRRARSDAELAYSARSAVLLRHAPIPAILEAADEEEREHDDDSKAPAGLDGAGAGRNGGGGSGGRRGGGGQGQGSGCDMGEYYRRVLRVDPGNPLLLRNYGKYLHEVERDLAGAEGCYARALLACPGDADLLSLYGRVIWEARQEKDRAADYFQRAVQAAPDDCYVLGSYASFLWDAEDDDDEEAGTRASAVKEETTSTAVASCDSPALVPAC >Sspon.01G0050750-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1C:7354644:7356501:1 gene:Sspon.01G0050750-1C transcript:Sspon.01G0050750-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding STRVGNPLWADRRCQAHSAEGASQSRLVPTGRSGRGAGRCSPRRRGVGARRWSTGKHGRRRARLTFGSLEWPHRDAAGPRVVEGGPWCPLWTSRRLVAPTTASPTAPTAATTSATAPPPPLGPERGGPRRDDGGGADGRGGVDGRGEVVTDLQESVERPLTGDPRPEVGVAVTEPPEDVEDQDAVLHGPAEVAKGVGHALHPAAELANGEVTLDKGAETRIETQSPGLGIAQELSLKGKPCPASVRRVADEVVEVQGDRPEDPGEDDAVETKPRRGLDNDRGVNEDVVVEGVAAERGECSGPPGLVVKLSHERVSRVVPEDHGVGHVAARRVGGGGPSIMGGGGDEELLRLGDLAGQCICRIALTLSHEGSRTHTGLPLGGRSPGSNEGGGEGGVRTGAGKGRPRRGGEEEGRACALGRQARAHRLRTGGVWDPGGRGQGAGECGGVAEAARSAPPAPGTPAAAAMAPATAPPRPIPATPVGCWAEAAAAALAAAAALGGGAAAAAVERVICAQEGEERGGKQVK >Sspon.04G0004240-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:12841925:12852921:1 gene:Sspon.04G0004240-1A transcript:Sspon.04G0004240-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSKHAAAGAAAVGGKAARACDSCLRRRARWYCAADDAFLCQGCDASVHSANPLARRHERLRLRPTTSRPDPPHSTLEAGVGVAASTSTWKKRQQQQVAPAAWSKRKARTRRPHVKSVGQLLSRKLVVVPEVASVESSEERKAEEEEEEEEEEEQQLLYCVPTFDRALAELCTPPPPPVDDPTATASSSCCRDDVDVAVENTKAPASPAVVVAESPVQQLPDSFAGFGPTEAELREFAADMEALLGQGLGDSNKLDESFYMESLGLMTTQQAEDVGRVKMEPNGSVISRSRSESAPGFCPAELMKPEASSAEVLDIDFNCSSPTVMMDNEDEDSFEQKASASNGGDAAAGTQFLKRSLDLSLNYEAIIESWGSSPWTDGQRPNVQLDDFWPHAHLTGWMAGGGRLGGEAAVTPRLGMGGGREARVTRYREKRRTRLFAKKIRYEVRKLNAEKRPRMKGRFGSIAGGTAGVVVETALYPIDTIKTRLQGYGSFLLRDLPFDAIQFCIYEQLRIGYKVVARRELNDPENALIGAFAGAITGAITTPLDVMKTRLMVQGQGNQYTGIVSCAQTILREEGPKAFLKGIEPRVLWIGIGGSIFFGVLEKTKSMLAERRNRELRAVKDE >Sspon.05G0017480-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:74150792:74153350:-1 gene:Sspon.05G0017480-2B transcript:Sspon.05G0017480-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLRSLANEIYDLLDDVYIEDEKHKVNNNHDKPAITDNFSAKPELLLFRHKVAHKIDEIKVTFDTIVMENTLHNLQVDQPVQSRNKETSDQSLLSYVEDLKIPSRDHVKANIISKLVQPNKGECNHIVSIVGLGGSGKTTLAQHICHDDKIKEHFSNTIFWVHVSQEFCKDKLIGKLFEAVVEQNSGVHAQEQMLHAISNKLSGKKFLLVLDDAWHEDRQEWENFRVLLNNGAYGSKILLTTRNQSVAEAVESEDVFKLPFFLEDESWSFFLNSCGWVEQDLDSSYIQVGKDIVKKCGGVPLAIKTLGSVLHEKRTINTWRAIRENNLWEEENIEGRLFASLTLSYIYLKDHLKECFTFCSIFPKGYKINKDYLIEQWIAHGFIKLKNEELAHDIGNEYFDALMKAGFLQSPSIGKLKKLRTLELNDITDLESLPESIVGCSKLQCMPSGFGQLTLLRHLALFAVGCGRDDARISELENLDMISGRMEITNLKYLKDPSEAEKAMLKQKNIWSLELTWSSNQTEEEIVSDVEQDQGVLNALEPPSQIENLKICGYRGSILPCWMTQLNDSLSVLV >Sspon.07G0031010-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:15430321:15435641:1 gene:Sspon.07G0031010-1C transcript:Sspon.07G0031010-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPAAVVAEIRWPVEDGVARQVSHDTRALVVDGNRRLLFAGEMHYTRSTPEMWPKLIAKAKEGGLDVIQTYVFWNVHEPVQGQYNFEGRYDLVRFIKEIQAQGLYVSLRIGPFIESEWKYGGFPFWLHDVPNITFRSDNEPFKQHMQRFVTDIVNMMKLEGLYYPQGGPIITSQIENEYQMVEPAFGSSGQRYVSWAAAMAVDLQTGVPWTMCKQNDAPDPVVESSNESNNNFMIRSIHATGSFVEKHLLDQTQLTSLHYGQKIGPHEDIAFAVAYFIARKNGSYVSYYMYHGGTNFGRFASSYVTTSYYDGAPLDEYGLIWQPTWGHLRELHAAVKQSSEPLLFGTYSNLSLGQEQEVKLQKGKLILYFNRLHQDKDIMYDVLQAHIFETESQCVAFLVNFDRHHISEVVFRNISLELAPKSISILSDCKRVVFETAKVTAQHGSRTAEEVQSFSGINTWMAFKEPIPQDVSKAAYSGNRLFEHLSTTKDDTDYLWYIVGYLFHHYLKHVIRENSLKCSYEYTPSDDDQLVLINVESRAHILHAFVNNAYVGNHGGPANIILKTNNSLKQGPNTISLLSAMVGSPDSGAHMERRVFGIRKVSIQQGQEPGHLLNNELWGYQVGLFGERNSIYTQEGSKSVEWTTIDNLAYSPLTWYKTTFSTPAGNDAVALNLTGMGKGEVWVNGESIGRYWVSFKAPSGNPSQSLYHIPRQFLNPQDNILVLFEEMGGNPQQITVNTVSVTRVCGNVNELSAPSLQYKVKEPAVDFWCQEGKQISAIEFASYGNPVGDCKRFSFGSCHAGSSESVVKQACLGKSGCSIPITPTKFGGDPCPGIQKSLLVVANCR >Sspon.02G0024650-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2A:85039953:85042079:1 gene:Sspon.02G0024650-1A transcript:Sspon.02G0024650-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQGNPYTNQQPLAQFSHSPVSTDRFSNSVEIFRGHARIGVITFLTILLFRCLNPAAAVSTDQDQFVFSGFTGANLTLDGTATVTADGLLELTNGTVQLKGHAFHPAPVRLRTSPSGTARSFSSSFAFAIQTTYPGLSCHGIAFIVARGTDFSSALAAQYMGLANIDDNGNATNRFFAAEIDTMQNVEFQDVNNNHVGIDVNGLHSVAANPAGYYDDTNGSFHGVDLISGEVMQAWVEYDAEEARVDVTVALIGMSKPVRPLVSTRYNLSDVLAEPSYVGFSSATGPINSRHYILGWSFAVDGPAPAIDVAKLPKLPRLGPKPRSKVLEILLPIATALFIVTLGTLVVVLVRRRMRYAELREDWEVDFGPHRFSYKDLFNGTDGFKDKHLLGQGGFGRVYRGVLPKSKLEVAVKRVSHESRQGMKEFVAEVVSIGRIRHRNLVQLLGYCRRKGELLLVYDYMSNGSLDKYLHYEEAKPVLDWAQRMHIIKGVASGLLYLHEKWEKVVIHRDIKASNVLLDKEMNGRLGDFGLARLYDHGTDPQTTHMVGTMGYLAPELVRTGKASPLTDVFAFGTFLLEVTCGQRPIKEDEQGDQLLLVDWVLEHWHNGSLLATVDTKLKGNYNIEEAYLVLKLGLLCSHPSASARPSMQKVMDYLDGDSPVPELASTQLSFNMLALLQNKGLDPYIVSCPPSSMMSFGAISDLSGGR >Sspon.01G0015190-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:45085830:45086374:1 gene:Sspon.01G0015190-4D transcript:Sspon.01G0015190-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGASSSADVLFIPNPGALAGFMSSSAAAMPFHHFSTTAASLIPKEEGGIMGALQVAKDEDMDQLEMDMELSGGSGSAHLDGLLSFPDVDDDRPEQKPQHSGLELQTTVDAAGQQQQQQLATANGKKKRYHRHTAHQIQQMEA >Sspon.01G0017050-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:63583084:63584803:1 gene:Sspon.01G0017050-2C transcript:Sspon.01G0017050-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLDAVVHPSQPQGHFGYGHWDCSYGPPFLSDATGGYGDSHVPYAGDWDPFLLASSLVHDAQEWGQVVGSNKASPEREVVQSSAIEPPSSPVTTTTTTTTTTKRKRRRAKVVKNEEETESQRMTHIAVERNRRRQMNEYLAVLRSLMPPSYAQRGDQASIVGGAINYVRELEQLLQSLEVQRSLKEHSGNGKSCNPFAAFFSFPQYSSATSASHRGAGNRTIMEESSTSAGSSRSPASVTTDIEASMVDGHASVKVQAPRRPRQLLRLAAGLQQLCLTTLHLNVSTAGTMVMYTFSLKVEDECKLGSVEEIAAAVHEILGRIQDEAAGFS >Sspon.04G0006970-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:19968678:19969679:1 gene:Sspon.04G0006970-1A transcript:Sspon.04G0006970-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding PSPRAMASVAPSPTETSHVSRPPIPTGLVPVAVLLAAAVGLLALLPSLAQAVWEVPHLFLLGAVISFGVFTQRNNVAADDGSGNNAAGAKDSSLAWNARYHPDDPLVVIADHHALPSDDDDGDEDGLEGARERPLSLPVRRLKPAPAPAAQESETGGDASDGFGEETDCCASSSGFWAGARAVPSPPSVLDADRDFSPCSQPESERPFFVQHSANKSRGASNAATETPPTVPGGFVQGHHPSVPGDQPLSYDGEVTDWDEDAADDGSDDMTPVSSQRSVRGDDFAACASDHNDGDDTSVDEELLKLAAKAGPDGEEEVDRKADEFIAKFREQIR >Sspon.07G0018840-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7B:73444427:73444687:1 gene:Sspon.07G0018840-2B transcript:Sspon.07G0018840-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSPINIHTSPRTPLSMSKQQMIEEFWMKKQEEIEAIEDFGERTIPMTCLKKVICVEKGKMMMTSNTPTFLTKACEIFVQELSVHAW >Sspon.04G0003090-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:23952445:23957178:-1 gene:Sspon.04G0003090-1P transcript:Sspon.04G0003090-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRPLYRGVSGIGGKLAAADEAAQRERHWRRRRLRPGRAQKRHLAAAAVKIGVLLLAAAALVGSVAWAGTLYAGRGAAARAAAAAAHRGYRRLQEQLVTDLLDIGELAGGGVRAKEAEVCPPEYENYVPCYYNVTDAVDVSDLGAGVVISYDRQCTRDGRVTCLVAPPRSYRIPVRWPSGKGFIWKDNVRISGQEFSSGSLFKRMMVEEDQISFPSDAHMADGVEDYAHQIAEMIGLRNEFNFNEAGVRTVLDIECGFGTFGAHLFERDLLTMCIANYEASGSQVQITLERGIPAMIGSFATKQLPYPYLSFDMVHCAKCNIEWYKNDGIFLVEVNRLLRPDGYFVWTSNLNTHRALRDKENQKKWTAIRDFAEGLCWEMLSQQDETIVWKKTNKRECYNSRKSGPELCGHDPESPYYQPLSPCISGTRSQRWIPIELRSTWPSQARQNSTELDIHGVHSEVFADDTSSWDSMVRNYWSLLSPLIFSDHPKRPGDEDPQPPFNMLRNVLDMNAHFGGFNAALLKAGKSVWVMNVVPTNAPNYLPLIFDRGFIGVQHDWCDAFPTYPRTYDMVHADGFLSLEKNHKHRCSTLDIFLEVDRILRPEGWVIIRDTAPLIEVARSVVTQLRWDARILDLDIASDEKLLVCQKPFIRK >Sspon.05G0014950-1P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:5A:57245667:57245960:-1 gene:Sspon.05G0014950-1P transcript:Sspon.05G0014950-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLCTDEKTHYAARDPITALKKYIIEQNLATESELKSIEKKIDDVVEEAVEFADASPHPPRSQLLENVFADPKGFGIGPDGKYRCEDPKFTQGTAQV >Sspon.01G0031770-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1A:108474084:108479154:1 gene:Sspon.01G0031770-1A transcript:Sspon.01G0031770-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AHVAAAADPQPPPAAVLFPGDSAGPGAGAAAAGRAAPHPPPAAGRAAPHPPPPAAPTPSPAALLPGALTGAGAGAALLHGAGAGGGPAAGRAAGPGAAAALAAAGTGAPAAQPADAALLPAATLPGAGMDASGLLPGAVLSAAAVTDGVHTFPTRPQPPPTLPYPGRADPTLAAALVVARAATPEGQARVRAAALAWERERDAADALAHQIADVEQRLVPPAYHDLGATSSGSTGHRASTTAVIWHDLADPLVTQLHYQAEGVQNIRLLVPVVLEPESPSYARWQDLVLLTLCRYALDDHVLVDASVAAQTPSWLRLDSIVLSWIIGTISVDLHDLVRNTADARQAWLALEGQFLGNAEARALRLDASFRTFVQDDLTVGEFCRKMKTMADSLGDLGWPVEDRILVLNVLRGLSDRYAHLRTWITRQRPFPTFLQVRDDLVMEELTQGLQLGPPPHRGPRLPRRPSRPLHRVRSLLRVRPLRRRHPFLVLLPLGRAGFGLTIKVVQCDNGREFDNSTSRAFFLSHGVQLRMSCPYTSSQNGKAERMIRTTNDTVRTHLFQASLPARFWAEGLHTSTYLLNRLPSAACPAPTPHHALFGTPPRYAHLRVFGCACYPNTATTSSHKLDPRSTLCVFLGYSPDHKGYRCFDLTSRRVLISRHVVFDESVFPFSTPPTTTPAPDPSYLFPTDPVVQPPFLWSPAVPSSVHDALLDPHWRRAMEEEYAALLANQTWELVPRPPGSNVVTGKWIWTHKRRADGTLERYKARWVLQGFTRRPGVDYDETFSPVVKPATVCTVLSLALARSWPVHQLDVKNTFLHGLLTETVYCGQPAGFVDSSRPDMVCRLNRSLYGLKQAPRAWNHRFAAFLLTLGSVEAKSDTSLFIYHHGADTAYLLLYVDDIVLTASSEPLLRRIITALQQEFAMKDLGVLHHFLVVTVEPHHVGLLLHQRQYTRDILERAGMTDCNPCFTPVDTQGKLSEAKGPPVSDPTAYWSLAGALQYLTFTRPDITYVVQQICLHMHDPRKPHLTALKRLLRYLRGTIDYGLLLHRSSSAELVVYTDADWAGCPDTRRSTSGYVVFLGGNLVSWSSKRQPVVSRSSAEAEYRAVANGVAEASWLRQLLAELHTPPSRSTLIYCDNVSAVYLSTNPIQHQRTKHVEIDLHFVRDQVALGEVMVLHVPTTS >Sspon.03G0039530-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:16752252:16757348:1 gene:Sspon.03G0039530-1C transcript:Sspon.03G0039530-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGWCLGTLVFSGWNALLGSNLGAGKVTSTICWDFLMEVHNEAEKIPEGHKLAIRERPIKSNCVLQRSPNWAAICTLFDLLTIHIVIAQSTPGEDSAFRK >Sspon.02G0002730-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:7420826:7422340:-1 gene:Sspon.02G0002730-2C transcript:Sspon.02G0002730-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRPEGTRSSARITTLISSSYKCAAVQYGDASWRFFLRDGRTGDRSMATAATASRILAATRLLLFAACAVLIGGAEAEPWRGHGGGGTCFTRLFSFGDSITDNGNWMHYARSPGAVARPPYGETFFRRPNGRFCDGRIIIDHIGTFTLLPVPTRRRRRGVYIRADVHRCWCHACTYVVGAADALGIPFLTPYLAGNKSEDFAHGANFAVGGATALGRGYFRRKKLDARFTPYSLRWQMRWLKKVLVMVSPEQGTEWSDLMASSLFLLGEIGGNDYNQALFQGRSVDEVKTYVPDVVAGISAALTELIGLGARTVVVPGNFPTGCNPGYLAQFQTNDTAQYDSMGCLQWPNDLSELHNRALRAELAVVRRRYPGVTLVYGDYYAAAMDITADPRKHGFGGAPLVSCCGGGGPYNTNLTAHCGATTSTTCRHPYEAVSWDGFHFTDHAYQVIADGVLRGVKLALTRYPLGLSVTC >Sspon.03G0035020-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3C:75424050:75426063:-1 gene:Sspon.03G0035020-2C transcript:Sspon.03G0035020-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVASDLKTSVSVPSTPPLVSRADPSSSRGHSQPTDSDSTKKARRSPGYQLYRQVSDSKIPSLRSLNEISSPEGRPSSSMLSVCSNDLSAAGSYGESSDGWSMRTFSEMVATSQRERWSLDSELLGSISSKMTRSSASNSTSLPPDQEVCKLCLKLLKERSTWNAQELAVVAVLLCGHVYHADCLDSITTEADKYDPPCPVCTHGEKCTVKLFGKLESKIKNKIPKNVAVDMNPDGNSNKHQKKGRREPRLGTSSSMKEGFLRGGELPSMQANGVQTGTRAAEQPTYLEVSPSHHIQILVQSAW >Sspon.01G0032820-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1B:104865606:104867027:-1 gene:Sspon.01G0032820-2B transcript:Sspon.01G0032820-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSNAPPPPTPHVVLVPFPGQGHVAPLMQLARLLHARGARVTFVYTQYNYRRLLRAKGEAAVRPPATSSERFRVEVIDDGLSLSVPQNDVAGLVDSLRHNCLDPFRALLRRLRQEAEEKGAPPVTCVVGDVVMTFAAAAAREAGIPEVQFFTASACGLMGYLQYGELVKRGLVPFKDASLLTDDEYLDTPLEWVPGMSHMRLRDMPAFCRTTDPDDVMVSATLQQMESAAGSKALILNTLYELEKDVVDALAAVFPTIYTVGPLAEVIASSDPDSGLAGMDISIWQEDTRCLSWLDGKPAGSVVYVNFGSMHVMTAAQAREFALGLARCGSPFLWVKRPDVVDGGEVVLPEALLDEVARGGGLVVPWCPQAAVLKHAAVGLFVSHCGWNSLLEATAAGQAVLAWPCHAEQTTNCRQVCEVWGNGAQLHREVESGAVARLVREMMAGDLGKEKRAKAAAEAAARKGGASWRNVER >Sspon.02G0010430-1P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:28848657:28853592:-1 gene:Sspon.02G0010430-1P transcript:Sspon.02G0010430-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSGEKETMERAWNQASGNLVWPMLSRTNYQEWSAHVQCNLEAMYLWDAIESDKVERRRDRLALGAIIRGVPPEMHSMLLNKKSAKEAWEAIKSMRLGAERVKEVNAQKLLAEFESISFKPGETIDDFAVRIAKLATDLKGLGEESVTDTRVVKKFLRVVPPRYNQVAVTIEMFCDLKTLSVEELVGRLRAAEDRFEPSGDQGTDKTKPSLLLTEEEWMAKNKSRMVGSESSSSGGKGGSHFAKKNKAGARTSGSKNDRDKRDPGCHPSSGTPRRNGRCKKCGVFGHWARECLNKKPAKEAHEDAAHHVSGDTDKNPALLVAQVCNMHTPSTGRQGLFLNQERVFPSKYDEGAWVLDTGATNHMTGSRTSMADLDESVRGAVRFGDGSTVEICGVGAVTMAGKNQEHRVLTEVYYIPSLRCNIVSLGQLEEAGCRVEIDKGVLEVFEQGQTGVARSVVIRAERRNRLYVMKVNLTSPVCLLTKMEEEAWRWHARFGHLNFRALRDLGTKEMVEGLPLIRKVEQLLSTKSEALACFKKFRAAAELESGCRLKALRTDRGGEFNSGAFVVFCNEHGIKHNTTTPYTPQQNGVVERRNQTVVEMARCLLKSMNVPSKYWGEAVRTAVYILNRSPTKSLRGKTPFEAWFGRKPGVKHLRTFGCVAYAKLTGPGVSKLTDRAVSGVFLGYEPGTKGYRIYDPLKDSLMVTRDVVFDEAKQWNWGGRDSRARDSRAVGTGTDVLNVPDVFQVEWENTVPHPTIDEAVPENSDNVAPEPGSPAASIPSSGGASNSPPLTPNSITDAPMIQWATPPTGQSVDSEGAPLRYRTIPNLLDTTDELQDFEYSGLCLVAAEEPRSVEEALTEQSWRQAMQTEMQAIEANQTWDVSELPPKHKAIGLKWVFKIKKDPDGNIIKHKARLVAKGYAQQFGVDFDEVFAPVARIETVRVLLALAAQGGWEVHHMDVKSAFLNGDLSETVYVQQPPGFVIGNGDKVLRLRKALYGLKQAPRAWNAKLDRELIALGFVRSKMDHAVYRRSNRNSFLLVGVYVDDLIISGPDVKDINVFKNEMKEKFSMSDLGLLSYYLGIEVKQDARGITLCQSSYAVKILEAAGMKDCNSCETPMECRLKLRKLKGEDPVKPTEYRSIIGSLRYIVNTRPDLAYAVGVMSRYMEAPGKEHWAAVKHILRYLKGTIKYGCKYDRGAKLKPILVGYSDSDFAGDVEDRKSTTGIGYFLGSSLVTWASQKQRIVALSSCEAEYVAAAAAACQGIWLNRLIADMLGTKEMTVKLLMDNQSAIALSKNPVHHDRSKHIDTRYHFLRQCIEEGMVEVEQVGTEEQLADLFTKALGRVKFVEQRSALGVVEGRCPPPPVPEKVWLEHGAALAAALLGGNDEQVMATAASTMARETEALLAEPRRTVAAAAAIPIGSGHSLWLLASYDVG >Sspon.01G0012720-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:37572408:37573561:-1 gene:Sspon.01G0012720-3D transcript:Sspon.01G0012720-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAAAGGGVDDDGVGGDKLMMDRFLRWQATYNRSYPTAEERQQRFQVYRRNIEHIEATNRAGNLTYTLGENQFADLREEEFLDLYTMKGMPVRRDAGKKQANFSSSAAVDAPTSVDWRSKGAVTPIKNQGPSCSSCWAFVTAATIEGITQIKTGKLVSLSEQELIDCDPYDGGCNLGYFVNGYKWVIQNGGLTTEASYPYQARRYQCNRSKAANHAARISNYVQVPQGESQLQQAVAQQPVAAAIEMGGSLQFYSGGVWSGQCGTRMNHAITVVGYGADSSSGLKYWLVKNSWGQSWGERGYLRMRRDVARGGLCGIALDLAYPV >Sspon.03G0026610-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:8609414:8611215:-1 gene:Sspon.03G0026610-2C transcript:Sspon.03G0026610-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LTGDDNPERRYAKMTTTEPVKLIGAFGSPFVHRAEVSLRLKGVPYELILEDMNSKSELLLANNPVHKKVPVLLHGGRAVSESLVIVEYIDEAFDGPPLLPADPYDRAMARFWANFIENKCLEPLRVALFGDGEAQRAAMEAARAGLALVEEQLKGKRFFGGDAAIGLADIAGGGLLAHWLGVLEEVAGVSVLTSGSDEELPALRRWAAEYRSSDLCRRACRTGPGSSPTSPPSGRNASRWPNRRCRNKHQIDDAEINIKSVSEVLNTLRKKLQFTA >Sspon.02G0051670-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:81426760:81429568:-1 gene:Sspon.02G0051670-1C transcript:Sspon.02G0051670-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DPLHCKILPQTLVGFFSTVYPDVGTLSFHEFVDLLGTSAGAAPPPLDPSFPFLDELPAGIECSLRVIYDSCNGLLLFEYGRNRLNPWDARRCLFTKAYLLFDPAVSSHFHIFLFWEEEEGLVTVHAYSSETRAWSHSERDWSPEEQHRPTEAWRRRDAAFNKGIFTSRGVLVNGALYVLVIEDDYVILEVDVEGKTRSIIPVPIDVHSTDHPRRRVLFFGQSQGRLHCISHGSAGCDLSIWVIEDHGTTKQWVLKHTVSCVRLFGRKRCREDRTEYTVFAIHPDCNMLFNLNSYTLKLISYDVDSNRVRDLCTLDRIEENRTSQGGGSSPSTVAASDSAVATGDEPTAPLPCYPLCSPRHPRHINLVSETTPEASPSMAGLDINPVAMDAKLDRILVQISTMNKRLNSHDEHIARLEKLQQDKKVGATATPTATVTFNRSLIPVKATTSTVAFSRSLVPVKALEGDMAIDAASQANVNDNQVAAPAGGAVQRLTPPAKAQAAMTPAVSSPASPSTPLALASRMQVITPTLAIMVPLSLPLPAAAPIPFHSLALQPSQAGPWLFAHTVSPSAPQQALQAPSVAWSMPPVQPHSVAPIAGVAARGGHHQLHVWALHGFDPGGLDSTRSLFSVGLRGLPLQQLPQLEDELSWRGGVVLWQWKRSGLHGHARQAQRLK >Sspon.07G0022170-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7B:6852966:6854470:1 gene:Sspon.07G0022170-1B transcript:Sspon.07G0022170-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGINNPACDPCMDSQRPRTFQGTHQSLLTTSHQSPVQHHCRPAAGARSPATQKGLAQPNAPVFSVTPRGPAVGSRHPTTVVLPRPAEERPSCPGAAVGLLVENAFTDSTSSFINQSS >Sspon.02G0034360-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2C:15374166:15374534:-1 gene:Sspon.02G0034360-2C transcript:Sspon.02G0034360-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHHCFTYEYEHVDESMEAIAALFLPTLETESNSSSSCLNYDVPPQCWPQPDHSSSVTSLLDPTENFENFEFPVIDPFPTSSFNSHCATPYLTEDPSSLHGKHSSVIEEEAANVTPAAKKRKAS >Sspon.05G0026070-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:37863263:37867141:-1 gene:Sspon.05G0026070-3D transcript:Sspon.05G0026070-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTHMFPREGASSSSTSMSSQKSETDDDRMIAMVLSEEYAKLDGAMAKRLTNLTSIPVRCLVNGVTMSRYKQLQTSLLQRYVFLHHSEIPVLLKLFLNIKLHRERFG >Sspon.04G0009740-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:27971102:27971437:-1 gene:Sspon.04G0009740-3C transcript:Sspon.04G0009740-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding HGGSRPAPRPGEAQRALLLWRWRLPPERRPRQRGHLRDRLLARVRRRRPAPPPDRAVPARRCHLRGALRCLLLPPRPRSAGEHLQLLAAFRCRCRCRAAGRALSPHYDRAEL >Sspon.01G0043380-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:67214014:67215746:1 gene:Sspon.01G0043380-1P transcript:Sspon.01G0043380-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIRKSASRLLGSAYCASAAPTVDAAPRFDLLPPPPHPAPCSAPESCGGPGFSGPSASSAEPCELSRSPWDLIAELSLSDPQVEDDIVDKYFVHVTTRSSWLFSATMPAAASAKKKKNLQAAAGGDSTKLRRDTVKKPAAMSKEKEGEANKKAKVKKEEGQNAGAARVWLCKKNDGKRWHCNRPVSQPDTLCEYHFVQKRSYLNPDFESPSVAKLEEAAPVPVPVPAAASNKSSTRNKPRKKKPGSDLSATEGFYYYAGFGPFRSKRQCRSGGGMNGPVPAKQEEEDHAKDDDASRSQQQAEVDEAHDDTNQTATAARGDASSCEDDTAGIAGVDEGSSDDDFDGLGISGHGMNGNGDPKASNGDGKRKIPWKRWRKPVKARSLKSL >Sspon.08G0005240-3C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8C:15851551:15851918:1 gene:Sspon.08G0005240-3C transcript:Sspon.08G0005240-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGQSSNSNPTDAFEEYMLLEKDPDLYRMVFSGPSQISPWIDPSVLNLKHRIGRGPFGDVWIATHHQRTEDYDRYHEVAVKMLHPIKEDQLQFFSARFDEIFSKCQGLGNVCFLHGISTQNG >Sspon.01G0007940-1P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1A:22424069:22424812:1 gene:Sspon.01G0007940-1P transcript:Sspon.01G0007940-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding KLLDSFPKPQPRAERGEDRIGSESHSLRGGRGRSKLATPRGQGAAASPRPHETAELDAAEAAFAAAGESAEQRNRFLVLRLYEALNARDARRAQELLAPDLEWWFHGPPTRQHMMRLLTGADKNRGGDGGGGGGFVFSPRSVDAFGSTVIAEGADETRQLYWVHAWTVGPDGVITQLREYFNTDLTVTLLSGAASSAKKADIAGAPPKQQDAASASSSSSSSPTAAAGPKCLWQSRRADSAHKSLPGL >Sspon.04G0002190-1P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4A:6894622:6897142:1 gene:Sspon.04G0002190-1P transcript:Sspon.04G0002190-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VIDGLPAEFVLGRGRKPPSKEMMESMPYTQSLLAGCKAAAYHAKAAPPTVTMPRLKVKDTVEVDLNVSRHLEFELAATDWSVLVLHYLGLDHVGHIGGRQSVLMTQKMKEMDDVIRRVHTASLQDNLDRTLLVVVSDHGMTEGGNHGGSSYEETDSLALFIGHSVESPYCSPYDQKEALQVDLAPTLALLLGVPIPKNNFGVVLPELLNSLTGDFIFTFHFWCIKGSS >Sspon.05G0019490-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:75277130:75278676:1 gene:Sspon.05G0019490-4D transcript:Sspon.05G0019490-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SLILPFISHKIGDKGIALLYGVAWAWWLTSFQRKPPSIAKATSLCFAWTLNPKSKDKYQEVPNPNDPDEEELRAPLLS >Sspon.06G0025780-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:69374340:69375907:1 gene:Sspon.06G0025780-2D transcript:Sspon.06G0025780-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRWTRLRSFQMRKQTSQEVEQDGEDFSGGDNGPQVASPRVATFSSRGPSAQFPYLLKPDIAAPGVNILAAKRDSYELMSGTSMACPHVSAIVALLKSVHPDWSPAMIKSAIVTTASVTDRFGIPIQANSEQRKPADPFDFGGGHIQPDRAMDPGLVYDIKPDDYMNDDIEPNLPSIALPDLKESVTLTRTVTNVGPAKATYRAVVEAPAGVRMSVEPPVIAFEKGGARNATFKVTFVAKQRVQGGYAFGSLTWLDDGKHSVRIPVAVRTIIWDFVADAS >Sspon.05G0012210-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:60256101:60257846:-1 gene:Sspon.05G0012210-2B transcript:Sspon.05G0012210-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQWISHIGDQGWHLSREWLRRQGSKGPTALIIKHCSQHSKLFMKLESDHFFAKFPCLHVLDLSYTPLKSLPPSICYLQELQYLSLRGCYNLTSPFSFPNTEITHLSEINSGKKNLNLLCFDLSYSNINAFHRDFFYSMPNLQELFLVKCSNLEELPPSLDALSSLTKLELIGTQIKSFPVEIFEEMKNLLSLKLIENRNLLLRRLSLRGCRKLEYIDIKEVGALEELDLSATAIKELPDSIPNMPKLRQLLLLGVPSLRRFPWHKLQRLPDVFCLDQCSNSTINHSDHPHGAQVCISDSRLFYSFNGATRDLVRAGKLLTTFYVRVTSCKSTSSKLKDEEDMVMINKVQMAPAAYTDVNRLFLTDGVSMVSMDDVPPCRVSERHVEISAADRYPHGLKHLLQVTKSISMWDDTHVSCLNDLSDLDELEECKLRWCHKTVDVFIHGIRNLKNAYVSHLKSLTHFCRKYYFLHFFALKHLALQYCPRLEGIVPRYYELPRLETLDIFFCYNLKAIFYASFSSSSGDYKLPCLRRIRLQELPLLEHLHVGNSMLTAPAWEELHVRGCWSLRHLPRFSQQPDKAVK >Sspon.01G0022340-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:81930911:81933667:1 gene:Sspon.01G0022340-1A transcript:Sspon.01G0022340-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGGSTTPFYRGSTTALATNPSAAAAANGAQKGDREAAVDAEIARVNNLPAHSSYAIHRMKVLNKLRHLMSIKSKIIIQ >Sspon.01G0014730-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:42320362:42323488:-1 gene:Sspon.01G0014730-3C transcript:Sspon.01G0014730-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCFHSTAKRQHPGYEDPVHLASQTAFSVSEVEALFELFKSISGSVIDDGLINKEEFQLALFKNQRKENLFANRIFDLFDVKKRGVIDFGDFVRALNVFHPNIPMEEKIDFSFKLYDMDGTGFIERKEVKQMLIALLGESEMRLSDEIIETILDKTFSDADANQDGKIDRTEWENFVTRNPSLMKIMTLPYLKDITTTFPSFVFNSEVDDLVT >Sspon.03G0012520-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:44593467:44594733:-1 gene:Sspon.03G0012520-2B transcript:Sspon.03G0012520-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTADRMSSYSSLLSPSHAHADGHRVLGGDAHDDMAAVSSYLSLDDVVDDVAGEECYRPLGEEAAVAAAAELQAVQQGHQEPLFFATLQAEDGYCISGGGVAQSRPGVSSVLSSAALTSDNHNMINLTQDGGSRRLLRREHGKIAFKTRSEVDVLDDGYRWRKYGKKLVKNSPNPRNYYRCSSEGCRVKKRVERERDDARFVITTYDGVHNHPAAAPPRSPAYRLGDMPHGHHV >Sspon.01G0011700-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:35288154:35289314:-1 gene:Sspon.01G0011700-3D transcript:Sspon.01G0011700-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding NGRRRPPAVAHARPPAAAFPRRRPAPSLHARLGTQHPAHLGASVSLRRLRGARALPIRASRPPRRGGGDRPPGPLRRRARPRGGRARRRPAPARPPRPPRAGPAVGGRLPGLPLPRGAAPPPLRRAGGPRGRRRRDAAPRPGPAARGAGRAPAPRPALRPALRAGDALPRGQPGVPRVRLRRGVRGRQRRRLPPPRLRRRAAPRRAAPAPALGAGPAAVGRSAAVHGAPEPGLRHRQGPALDRVLDRRRGGRGPVLGGPHGLHERRRGGAGVAAVQPCGGGLHAEARRVERRRGGGDGDGRGSQRRRRRGCGGVGVDGRPVLRGPEEGRVGRGGGGGDAGCAAGAEEAAAGGASAAAGRGRTGGAARRGRVAGCRVPCQSETSEAIL >Sspon.08G0020510-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8D:22586680:22593739:-1 gene:Sspon.08G0020510-2D transcript:Sspon.08G0020510-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALLSGTSRVPNFCHHGTTQLSPAARPYEYGRVVLVHWTPPPNGWVKLNFDGSVYHDGSGRASIGGAIRDSAGRVVLAFSELTEHSTVGIVEARAMVRGLRLALGLGLQRVVVEGDDLVLVQLLRGEDTQTRIPVALQEEIHALLCCFPACDVRHVYREGNQVAHTLCRQAYHCPGVWVGMLPSIVFDRAEEDRRGVLHEHLILAVASLQQQEDCPRVYLGDWEEKKHELVAYTIFQIPWLRPFHLDKAVAFFGQLVDWRVESALSCVIVKVMMNGDAKIPVRFLVTCGTPGNARSFIAIVVRLQEEGFRFLGDESPPPEHGFANLLLQSPQHWMAYAGGHHNVAAEALHIVESYGQAGAAEDLRVDARLVQEVAGSEATLSDHMEASQNAVMGIDQDAEIMFVPIFEVQPPFAASVVPPVGAIQAAIITVVQAQTALQMPYHLLTSWLITEPKVKNSCVLTELEEIVSENLLAPTADTQTMPHSAVLEVSEDEAVENTTLPGNLTPIDVAANQGSANLVPINSSYITLIPKKPDPEVADARQLFLLKGLLRSFADSTGLQGLQINFAKSLMVPINLWDRWAGRFESSGEANAERSLRSQELRGPRSALPMLWRTVVFSGSGISIY >Sspon.03G0002160-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:14150936:14154398:-1 gene:Sspon.03G0002160-2B transcript:Sspon.03G0002160-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAWSHWSANRKAWSHCGGGLARWWRSNWKAWSHCGGGVARWWRNWGPLVDVVGYCFLNLGTKYQSPPELSQWLQQGPKPIYIGFGSMFQKFQLMSSSWRIALTIGSSLSVPQW >Sspon.03G0027730-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:10115680:10123196:-1 gene:Sspon.03G0027730-2C transcript:Sspon.03G0027730-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPPPPPPIRREAWEGCSVLLDINDGDRLAFFRLTPGATVKIGNKTCSLQPLVRRPFGSLFRLDADGLVPCAAGDATSRDHNTRDGADGQTQDETRDNRSLVDNNTAQNLSSDDIEAMKRDGATGDEIVEALIANSSTFGKKTVFSQEKYKLKKQKKYAPKVLLRRPSARSICETYFKKSPARIGFMRVDTLSLLLSMANIGAYSDVLVVDMVGGLVVGAVAERLGGTGYVCSTYLRSAPCSIDIIRMYNLSSDMVSRIVQAPLSDLCSMHSSGNTPSLLNGGAEGEMVEPAVVPDEDAQASLAQQVDTAVSDEKAQLSTDQPTDMEVSEPSLDEHPVQDENSALDGKGSDGNLDASKSSKAGKAPSPEKKKYWKEHGFSSLIVAAPGHEVERLVADLLPLLSYSAPFAIYHQYLDPLAKCMHSLQVSKMAIGLQLSEPWLREYQVLPSRTHPHMQMNAFGGYILSGIRIHNTDCMVANKELVLNLYGSSLGE >Sspon.04G0024680-3D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4D:24946912:24947447:1 gene:Sspon.04G0024680-3D transcript:Sspon.04G0024680-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAATNAKPAKENGCSPAVTEAAAEAVAAVVAQEEAAPVAAAADPRLQGISDAIRVVPHFPKQGIMFNDITTLLLRPGVFKDAVDLFVERYRGMRIDAVAGNNQQNPTDTSPRPSSRPSPSLPTGDYSLSLSPA >Sspon.01G0030620-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1B:108102330:108102530:-1 gene:Sspon.01G0030620-2B transcript:Sspon.01G0030620-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQILRRKVLEASRGIPLFYAAHSGRAHAVATLAGAAARWAPPAASGSLAAAPWAATQRRGAKMLGSD >Sspon.07G0023440-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:14661174:14663489:-1 gene:Sspon.07G0023440-2D transcript:Sspon.07G0023440-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIVVTPRCMARPWLLALACFALAFATLCAPVESAETTSAAAAYIVHMDKSAMPRAFASHQRWYESTLSAAAPGADMYYVYDHAAHGFAARLRGDELEALRRARGFVSCYPDDARVVRRDTTHTPEFLGVSGAGGLWETAGYGDGVIVGVVDTGVWPESASFRDDGGLAPVPARWKGVCESGTAFDGAKACNRKLIGARKFNKGLVANENVTIAVNSPRDTDGHGTHTSSTAAGSPVPGASFFGYAPGTARGMAPRARVAMYKALWDEGTYPSDILAAIDQAIADGVDVISLSLGFDGQPLYQDPIAIGAFAAMQRGVFVSTSAGNEGPDLGFLHNGTPWALTVASGTVDREFSGVVTLGDGATVIGESLYPGSPIALAATTLVFLDACDNSTLLSKNRDKVVLCDATASLGDAVSELELAQVRAGLFLSNDSIRILYESFSFPGVILSPQDGPLLLQYIRSSRAPKAAIKFEVTVLGTKPEPMVAAYSSRGPSGSCPTVLKPDLMAPGSLVLASWAENISVAVVGSTQLYSKFNIISGTSMACPHASGVAALLKAVHPEWSPAMVRSAMMTTASALDNTGASIKDMGNRNHPASPLAMGSGHIDPTRAVDPGLVYDAAPGDYVKLMCAMNYTAAQIRTVVAQSPSSSYAVDCTGASLDLNYPSFIAFFDANGSGAVERTFTRTVTNVGDGPASYSARVTGLSGLTVIVSPDKLAFGGKNEKQKYTLVIRGKMTNKSGDVLHGALTWVDDAGKYTVRSPIVATTVSSDQF >Sspon.06G0007360-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:24551072:24557842:1 gene:Sspon.06G0007360-2B transcript:Sspon.06G0007360-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGIGPIRQDWEPVVVRKKAPTAAAKKDEKAVNAARRAGAEIETMKKYNAGTNKAASSGTSLNTKRLDDDTESLAHERVPSDLKKNLMQARLDKKMTQAQLAQMINEKPQVIQEYESGKAIPNQQIIGKLERALGTKLRGKK >Sspon.02G0011730-3P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6B:3568385:3578160:-1 gene:Sspon.02G0011730-3P transcript:Sspon.02G0011730-3P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMQIGNWSRWLTDKLGIDDDDSEDIRDVEDERRGAAETKSFQLLN >Sspon.01G0062740-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:107046443:107064898:1 gene:Sspon.01G0062740-1D transcript:Sspon.01G0062740-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLSPDLSLACAVVAFPWRPSSQLIPRFPSRCTLTCHAAAPKVPLPIASPASLGDDPSRWDPAECDALLRGGEQVASVLQEMLTLMKDMEMDGAFEPIAVELVAQGVIGKRVDEMESGFLMALDYMIQLAQKDADDERKSLLEVIKQTVLDHLTKKCPPHVQVVGLLCQTEKKESRHELLRRVAAGGGVFKNDKGLKCQIPGANLSDIANQADDLLEVNFLSKLVALKPGKALERMIKDVMNGRGEGADNIEPSAASHSEQESLTGVLGRGSVSGHKPRPVRPGMFLETVSKVLGGVYASNTSGITAQHLEWVHQTTLKILQEMAF >Sspon.05G0036270-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:82943824:82947379:1 gene:Sspon.05G0036270-2D transcript:Sspon.05G0036270-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AAIGTWEVFYLKQRGMGEDRHLISQTPSSTHWAPGASLSVLHLVMPSLPSEPIAVQKQNPALCKPRPAPKLPVLLYTQATAPHLSSRYLGTEL >Sspon.04G0010630-3P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:34229827:34232124:-1 gene:Sspon.04G0010630-3P transcript:Sspon.04G0010630-3P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLTASVYFHEGYPYNLDFDYGALAQLQHFSINNLGDPFIESNYGVHSRQFEVGVLDWFAHLWELEKDEYWGYITNCGTEGNLHGILVGREVFPDGILYASRESHYSVFKAARMYRMDCVKVDTLMSGEIDCADFQRKLLQNRDKPAIINVNIGTTVKGAVDDLDLVIKTLEENGFKDRFYIHCDGALFGLMIPFVKKAPQVTFKKSIGSVSVSGHKFVGCPMPCGVQITRLEHINALSSNVEYLASRDATIMGSRNGHAPIFLWYTLNRKGYRGFQKEVQKCLRNAHYLKDRLKEAGVGAMLNELSSTVVFERPKDEEFVRRWQLACEGNIAHVVVMPSVNIDKLDYFLNELVEKRATWYQDGISQPPCIARDVGVENCLCGLH >Sspon.03G0018840-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:81031680:81035015:1 gene:Sspon.03G0018840-2B transcript:Sspon.03G0018840-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MESPEACSSGPSSGEASPVSPAAAAAERPSEAAALRALVDRVRAGEVDAAREVRRLTRASARHRRKLAAAVDPLVAMLRSAAPEAGEAALLALLNLAVRDERNKTKIVDAGALEPLLGYLQSSDLNLQEYATAALLTLSASSTNKPIISASGAIPLLVKVLKEGNPQAKNDAVMALYNLSTITDNLQAILSVQPIPPLIELLKGGKRSSKTADKCCALLESLLAFDQCRVALTSEEGGVLAIVEVLEEGSLQGREHAVGALLTMCESDRSKYRDLILNEGAIPGLLELTVHGTPKSRMKAHVLLDLLRNSPYSRSKLQADTLENLVTNIASQIDGEDRGGKAKKMLAEMVKVSMEQSLRHLQRRASFA >Sspon.04G0011130-2P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5B:29835639:29840123:1 gene:Sspon.04G0011130-2P transcript:Sspon.04G0011130-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALIHITSMVVHGPSLDVGFSPIQLWELGSFEISSVKCKMVAFTGNYGEYFGFATDVDAVVYLMLVNDLIHGLYPEAVSIGEDVSGMPTFCIPVQDGGVGFDYRLHMAVPDKWIELLKQSDEYWKMGDIVHTLTNRRWLEKCVTYCESHDQALVGDKTIAFWLMDKDMYDFMALDRPSTPQIDRGIALHKMIRLVTMGLGGEGYLNFMGNEFGHPEWIDFPRGPQSLPNGSVIPGNNYSFDKCRRRFDLGDADYLRYRGMQEFDQAMQHLEGKYEFMTSDHSYVSRKHDEDKVIIFERGDLVFVFNFHWSNSYFDYRVGCFKPGKYKIVLDSDDGLFGGFSRLDHDAEYFTAVSPGSEYCGTQTGRMTTGRVLSRSMPPAEQPSYMHLQVQRTNRVQPHNSGYWGRTSGMYYWWPDTAARCDHARCCRMLSLETAWQDDQLFRRIG >Sspon.08G0001270-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:4483089:4487862:1 gene:Sspon.08G0001270-1A transcript:Sspon.08G0001270-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVALYAISARNFHSNLLVDLAFRIAARSGAKKLQVNAEESSDDLANPPKVEEKLGAVPHGLSTDSDVAQREAESISRKTLRSSAEKFEFQAEVSRLMDIIINSLYSNKDIYLRELISNASDALDKIRFLSLTDKEVLGEGDTAKLEIQIKLDKEKKILSIRDRGIGMTKEDLIKNLGTIAKSGTSAFMEKMQSGGDLNLIGQFGVGFYSVYLVADYVEVVSKHNDDKQYVWESKADGSFAISEDTWNEPLGRGTEIRLHLRDEAKEYLEEDKLKDLVKKYSEFINFPIYLWSTKEVDVEVPADDGETSDDEDSTPETTEEEETEDGEEKEKKPKTKTIKETTSEWELLNDVKAVWLRSPKEVTDEEYSKFYHSLAKDFSDDKPMGWSHFTAEGDVEFKALLFIPPKAPHDLYESYYNSNKSNLKLYVRRVFISDEFDDLLPKYLSFLRGIVDSDTLPLNVSREMLQQHSSLKTIKKKLIRKALDMIRKLAEEDPDEYSNKDKTDGKLASLDEYISRMKPGQKDIFYITGSSKEQLEKSPFLERLTKKNYEVIFFTDPVDEYLMQ >Sspon.03G0002800-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:6998607:7000969:-1 gene:Sspon.03G0002800-1A transcript:Sspon.03G0002800-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEICCEEAKSTPATAVAAASVSAVAVASSALERRRRRLEMRRFRIASDPEAPVAEDVRAAKRQRLLVRTVSGSCPDAGSDSDRSALPERLPRYGVTSVCGRRREMEDTVSIRPDFLPGTSKQHFFGVFDGHGCSHVATICQDMMHEVVADEHRKAGSGEETAWKGVMERSFARLDEQAASWATSRSRDEPACRCEQQMPLRCDHVGSTAVVAVVSPTHVVVANAGDSRAVLSRAGVPVPLSVDHKPDRPDELARIEAAGGRVIYWDGARVLGVLAMSRAIGDGYLKPFVSSEPEVTVTERTDDDECLILASDGLWDVVTNEMACEVVRACFRSNGPPAPAARPNGVAALPAADADAENGPAVVKGVSKEDSDKACSDAAMLLAKLALARRSADNVSVVVVDLRRGI >Sspon.01G0023000-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:86881128:86882564:-1 gene:Sspon.01G0023000-2B transcript:Sspon.01G0023000-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKPFAPCLGRTEQTTDSDSAGLFLLPACSLLCTTSLLPTPTPTPTLTSDAQVFVGSFYFSSNEHPAGGRRGMGSLLCCLRYPEDGSAAPPVCCFCLPWPFPYHGVDSGSAARHRGDTRVAPDRGRIPLAACTSANQVDSLDTFRPPPRPLPYNDPQFSARM >Sspon.03G0031410-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3B:27705661:27705852:-1 gene:Sspon.03G0031410-1B transcript:Sspon.03G0031410-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DGTEKHRSRAAGKLVLLPDSLQELLKVAEAKFGKAARRVLTVDGAEVDDVAVLRDGDHLVLCW >Sspon.07G0003820-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:8492744:8494180:-1 gene:Sspon.07G0003820-2D transcript:Sspon.07G0003820-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPSPNPTVVLHACLGVGHLIPMVELAKQLLRRGLAVIIAVPTPPASTADFFASSASAVAALAAANPAVSFHHLPAPDYPAPDPDPFLRMLDALRLTVPSLTAFLRSLPSVAGLVLDLFCGDALDAAASTGIPAYFYYTSCAGDLAAFLYIPHYFATTEGGPSIKDMGKALLHFPGVPPIPASDMPHTVLDRASRTCASRIVHYGRVPEARGVLVNTYEWLEARAVRALRDGVCVPGRPTPPVYPIGPLIVKGEEAAEKGERHVCLSWLDAQPERSVVFLCFGSLGAVSAAQIKEIARGLESSGHRFLWVVRTPPEDPTKFFVARPEPDLDLLLPEGFLERTCGRGMVVKMWAPQVEVLRHAATGAFMTHCGWNSVLEAASAGVPMLCWPMYAEQRLNKVFVVDEIKAGVVMDGYDEELVRAEEVEKKVRLVMESEEGEKLRERLAMAKEKAAEALADGGPSSVAFEEFLKDLKLAK >Sspon.06G0024000-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6B:50076133:50077062:1 gene:Sspon.06G0024000-1B transcript:Sspon.06G0024000-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKHPPELHHDHQRGKASADGWGAITLSAAKHLHERGPKRHDGKASVEESKRLQLYGPPAQQTKVKSRMRRTQVQPSDQMGGFTSITAPLGHEATNMLAVQPPQAAHRAEPAAKEAPQAQAAHYRTSRRGTTMPQQGEEDASSLGGARGGQIQTRKGRIQPCRPTHPQEGAGRAPRSSSACLAAGPVGAAPEWGGGRHAAEGGRSDLHTQPRGGGHVRNQPA >Sspon.06G0028360-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6C:5749274:5749970:1 gene:Sspon.06G0028360-1C transcript:Sspon.06G0028360-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LLGLSRARAGVRGLEIGGNFIKFTAIGVYLEDAAVSALANKWAGKTADGLASDAAFFRDVVKGDFEKFTRVTMIRPRSTGGGVLRSQAASEKRLRRDARGRRATMTCGVSTITRQTRCRCCGGFGTGQRATLRIAVSIGDGDSV >Sspon.02G0024940-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2A:85739334:85739735:-1 gene:Sspon.02G0024940-1A transcript:Sspon.02G0024940-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MANSGEATTIPPEKLGKRQKSARLDFLGAKGVVHLSSDYYIIDGDQAPTSNKGGLSACSELAEPTLKSAKLTPACSMSARPTFQSAKPTTFATSFKSARSTFQSARPTASAACPELGRLTSQSAKPLLVSKSA >Sspon.02G0015610-4D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2D:36840235:36842584:1 gene:Sspon.02G0015610-4D transcript:Sspon.02G0015610-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SSVRANGQSKEYISVVDHSHGKVSWKKKKKNWIDASSERVYRPAELWQAKEHHPPPKKRSCTMVFTLKEMEEATNMFSDRNLVGKGGFGRVYRGVLKDGQIVAIKKMDLPTSKQADGEREFRVEIDILSRLDHPNLVTLIGYCADGKQRFVVYEFMPRGNLQDILNGIGEVRMDWPLRLRIALGAARALAYLHSSTAVGVPVVHRDFKSSNILLTEHYEAKISDFGLAKLLQQDQDLHTTTRVLGTFGYFDPEYALTGKLTLQSDVYAFGVVLLELLTGRRAIDLSQGPQEQNLIVGIHQVVGDRKKLRKVVDRDMPKGSYTVESVSMFAALAARCVCFDSAGRPAMQDCVKELQFI >Sspon.07G0007600-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7A:20273160:20275077:-1 gene:Sspon.07G0007600-1A transcript:Sspon.07G0007600-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LGAVKDQTSIGLAKVGSGGALEADLDVAIVKATRHSESFPADERHIREIITLTCLSRVYVGSCVSSLSRRLGRTRSWAVALKTLVIVHRLLADGDPAFEQEVFFATRRGTRMLNMSDFCDRSRADAWDFSAFVRTYAAYLDDRLEYRMQGRQQGGGGAARGGRQTLHEEMYASPGNRYTCDVAAPCFNGRQEDAVDAEAHSRAVALVTRDPPTSEMTVDQLLVKANQLHHLLDRFIACRPVGAAKANRVVAVSLYPLVKESVQLYCELTEVMATLIEEFAEMETADCERVHALFCGLAKQLEELENFYAWCKVVCVCRQSDVPEVEVVTQKKLELMDEFIRDRHAAADSQQRLPPPEPEPMASPEPVLVEEEEDDDDMNATKALPAPEEPPAAAQVEPEAPLVVADPVEEEADFLNLKADAMSGEEHGQQLALALFDGDIAGSAPKGNVFQGASADWETELVQSASALANQRAELGGGLSMMVLDGMYNHAAVANNAQTFSGSASSVALRPPGAPMLALPAPPGGSGAAVGADPFAASALVPPPTYVQMSDMQTKQQLLTQEQQVWRQYGNNGMRQGALAMLEQRPRQNQQSLPHMGHNHAGYRTS >Sspon.04G0024530-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:20281720:20283087:-1 gene:Sspon.04G0024530-1B transcript:Sspon.04G0024530-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTAVAVAVAVGVATAALLVRVAHADIKTTPIVSDARPIIFFEEFGFASGGRAAVSIRRATWQLRPGSRLTTSVDSSLMGFVLIAGAQFPWINNQTQYYAADPEGGGGFCLLTSDYALPLLRLSDVPPGGVTTTVTIDVPDAYAVVFSNCQGGVEVTMDVRTEMYNVRRDASGGGVIRDYLPVGLQPLPGIYAGVAAVYLAFLAGWVWTCVRQHATAERIHAVMGALLLFKALKSACAAEDTWFIEGTGTSHGWDVAFYIFGFFKGVLLFTVIVLIGTGWSFLKPYLQEREKSVLMIVIPLQVMENLLLVVIGETGPTRRGWIAWTRVFLLVDVICCCAVIFPIIWSIRGLREAARTDGKAARNLKKLTLFKRFYLVVVGYLYFTRIIVSAFLALLNYRYRWGVDVAVEGASFAFYLFVFYNFKPEEKNQYLYIGDDEEEEVAGGHLETTDDGAF >Sspon.03G0011260-3D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3D:35561083:35562750:-1 gene:Sspon.03G0011260-3D transcript:Sspon.03G0011260-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWQDQAKPMMQTKFSSCRGVSFELKASPGSPFALQAVDHYPPQPPPDAPTSAGRWVWLPQSFSRASSRIFPSAFGRSTSRVSSHFCDLDLDDEDADDEPVVNGADVEMAIAASAVAVDDVQPRKKAKAPAPAKPAAPSARSRLGVILLDQGLFTVYKRLFVLCVALNAVGLVLAATGHFPYARAHAAVFAMGNILALTLCRSEAVLRVVFWLAVALFGRPWVPVVVKTGVTAILQSLGGVHSGCGVSSLAWLVYALVQALQHRDVTPREVVGVASAILGLLALSCMAAFPLVRHLHHNVFERTHRFAGWTALALLWVFVVLSAGYDPATASYHRLTGSVLVKRQELWLTAAITFFTFLPWFTVRRVPVTVTARSSHASVITFQGGVKGGLLGRISRSPLSEWHAFGIISDNRDMHAMLAGAVGDFTRALISDPPTRLWVRGVHFAGLPYLLNMYRRATMVATGSGICVFMSFLMQTGPAELSLVWVAKGIDANYGEEMKLAAYSSERLRGRVIIHDTALMGRPNVAALAVDAARRWGSEVVVVTSNPEGSRDVVA >Sspon.07G0015560-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:55651303:55655505:1 gene:Sspon.07G0015560-1A transcript:Sspon.07G0015560-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWVLARQSLALALVIAAVSVTGSAGSSKLLNITNSCMHTVWPEISSATSSATPNTTGFELAPGQWEVVPVPSEWSGRVWGRNLCTSTDSSGNFTCVTGGPVTLAEFTLGGSGGMDLYDVSLVDGFNLPVMVAPAPQGGADPAGGNDCAPTGCMVDMNGVGACPPELRVTSSGSGTGGDAVGCKSACQALGSAEYCCTGEYYGNPNTCKPSAYSELFKKACPLAVSYAYDDGSDSIFTCGGGDTTYAITFCPSTTRFMIQNETRKFIRETKKNLLDRLLACRHAGGAGASRVVRSALLPLLRDSFKVYEDVALVLALLLDRFFDMDYPECVKAFETYVGTAKQIDALRAFYAWCDDAAGVARSSDFPDVRRVDDKLLETMEQFLRERGRAGRAEASPPLPRSARESSVDARGDDVDHVDNMNGIKALPAPERSSCAERAQPVVVPAKEANQSVLVDLREAAATADEQGNKLALALFSALPATNANNWVTFPSESDAAAEPAVTSAWKTPAAEPGKAD >Sspon.04G0007880-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:22258727:22275169:-1 gene:Sspon.04G0007880-1A transcript:Sspon.04G0007880-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSREKREIKRSRVGSPGAVALWEKSPSILRHPLPRLTHAGPTAARLVVAAPRFTVVAAPLPPSRRQPSPSQRRRRAPSPRAGGRHPPSVAAELSFLAPSAVPTRDSPEEANKMDNAQSENRTDTKQEDDVRQSKQDDEEARLEEHKKIIDQKTSLRRSNLNPERPDANYLRTLDSSIKRNTTVIKKLKTINDEQKDGLMDELKSVNLSKFVSEAVSYICEAKLRSADIQAAVQVCSLLHQRYKDFSPCLIQGLLKVFFPRKSGDDLDADKNSRAMKKRSTLKLLIELYFVGIVEDASIFVNIIKDLTSAEHLKDREGTQTNLSLLSTFARQGKFFLGLQSHGQEAYDEFFRDLNVTAEQKKFFKKALNSYYDAVAELLQSEHASLRLMEAENAKVLSAKGELSDENTASYEKLRKSFDQLAESLDMQPPVMPDDGNTTRVTTGTDALPSSGKESSALEPIWDDEDTKAFYESLPDLRAFVPAVLLGEVEPKSNEQHAKGREQSSESTSEQDTELHDNVQNSATEHQLEVKVDDVVKESEDKDKEKGKDGEKEKSKEKDLDKKNEREKEKGRALDGASLDNLLQRLPGCVSRDLIDQLTVEFCYLNSKANRKKLVRALFNVNRTSLELLPYYSRLVATLSTCMKDVPSMLLSMLEEEFNFLINKKDQINIETKIKNIRFIGELCKFKMAPPALVFSCLKACLDDFSHHNIDVACNLLETCGRFLYRSPETTIRMANMLEILMRLKNVKNLDPRHSTLVENAYYLCKPPERSARISKVRPPLHQYIRKLLFSDLDKSSVEHVLRQLRKLPWAECQQYLLKCFLKVHKGKYSQVHLIALLTASLSRYHDDFAVAVVDEVLEEIRVGLELNDYGMQQRRLAHMRFLGELYSYKHIDSSVVFDTLYLIIVFGHGTPETCGHYFSKGSSKRKLDKFLLHFQRYIISKGPLPLDIEFDIQDLFGELRPNMSRYSSIEELVAALVELEENERSAPVEKIENERHSDTESQKRQPRDAGPSLNGESAANGIEENGKDHEVADSESYSDSGSIDGREEEEDILSEDKSNDGSDNEGDDEDDGIPVGSDEDENVEVRQKVMKVDPKEQEDFDRELKALLQESLESRKSEARSRLPLNMMVPMNVLEGSKDSRATESESGEETVDEEGGNAGSSSKVRVKVLMKKGHKQQTRQMLIPADSSIVQSTKQQEAAELEEKQSIKRRILEYNEREEEELNGASQIGNWGQGATNTSSIRSGGRGSWDGSTRGGGRQRHHIAGSGGFYHSYGRRR >Sspon.02G0053600-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:107204986:107206257:1 gene:Sspon.02G0053600-1C transcript:Sspon.02G0053600-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAADLPVDLVVEIFLRLDDAADLVRASAACAAFRRVVSDGRFHHRFRSLHRRPVLGLLSRGFRFHPAEPPRRSAQAGRAVAGAAGFSSSFLPDQAEHCWHVHDARDGRVLLSPGDATTCLLSFSYLVVYDPLHRKHVQIPPIPKDIVACTCSLQQGCSCGSDCNCECGCEFDPFLVPADDDEDDWSFRVMCNVLSTDLEYDSLLETFVYSSVTGKWRGVASLSDTDYEPLYDLSSMDRHYVHGCFYWVASYSEKDMLVLDMNEMKFSVVRLPPGTKHKAKVVVKAAAEDRIGLLVLCRSKMKLHLYTMAFGDPANDCWQWRHDAETTLLDSYLWLFCGAADQGYALLQGVPRDEYLAWSSSPEETRPKTNAHYFTVELQTLLVEQLCVTEFDTELAFVYASFPPAAFLYASFPPPFALPSI >Sspon.05G0030950-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:12513102:12517597:-1 gene:Sspon.05G0030950-1P transcript:Sspon.05G0030950-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYERGRGRGRGGGGRGGGGGYGRQHGGGGDGRGGGGYGPRGGGYGGGGRGGRGYGYDEGGGYGGGRGGGGYHQGPRGGGGGGRGGGRGGNAWAPAPGAGRGRGVGGGAAEYAPVRGSAPAPAPAPRAVAVAPKDKDAPSSSGSVERIASSELARVEPLASTLAATSSVGTRVPMQRPDSGGSLSQAKVKLLVNHFIVNYREVTTIFHYDINIKLDEASSKASGKELSKAEFLSVKDELFRESSLRRLSSCVAYDGGRNLYTSAELPAGLFRVRVRSKTYIVSVDLKKQLPLSQLSELPVPREVLQGLDVVVREASRWRKIILGRGFYSPSSSVDIGQGAVAMKGTQQTLKYTQQGLILCVDYSVMPFYRAGPVMNIVQKLVPHLDYRTILNKWQMGNLVDELKGRRVTVIHRRTNQKYTVQGLTPLPASQMTFEDAESGETKRLVDYYAQKHGKVIEYQMLPCLDLSRSKDKPNHVPIELCTLLEGQRFPKANLDKNSDRILKGKALIPASNRRYEIQDLVNASDGPCSGEIAQQFGISLDLRMTEVTGRILPPPNLKLGASNGQISKLSIDQNCQWNLVKKRLVEGRDLQCWGIVDFSAEPSHPRQEPLNGWMFVEKIVKKCCELGIRMNSNPCFVHTSEMAVLSDPHRLQEELNKAKQAAVSKKQRLQLLFCPWYKTLKLICDTQLGILTQCFLSDRANKPNGQDQYMTNLALKINGKLGGSNVQLFDPLPRVGGRVPFMFIGADVNHPSPGNVESPSIAGVVASMNSGANKYVSRIRPQPHRCEVIQQLGEICLELIGVFEKQNGMKPQKIIYFRDGVSDGQFDMVLNEELADMEKAIKVDGYAPTITVIVCKKRHHTRLFPKDQGQPQTKNGNVPPGTVVDTGVVDPSAYDFYLCSHTGILGTSRPTHYYSLVDEHGFGSDDLQKLIYNLCFVFARCTKPVSLATPVYYADLVAYRGRVYYEATVMASQRGMGSASSASSTSSAGTFDFTNFPRLHKDVEDNMFFI >Sspon.03G0044270-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:57212026:57216068:-1 gene:Sspon.03G0044270-2D transcript:Sspon.03G0044270-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RAS associated with diabetes protein 51C [Source:Projected from Arabidopsis thaliana (AT2G45280) UniProtKB/TrEMBL;Acc:F4IW45] MADCAPVPVCLRARRRGQGRAQNAWDMLSDEQSQKHITTGSGDLNDILGGGIHCKEVTEIGGVPGVGKTQLGIQLAINVQIPVEYGGLGGKAVYIDTEGSFMVERVYQIAEGCIRDILEHFPHSHEKSSSGKKQLQPEHFLADIYYFRICSYTEQIAVINYLEKFLGEHKDVRIVIIDSVTFHFRQDFEDLALRTRVLSGLSLKLMKIAKTYNLAVVLLNQVTTKFTEGSFQLTLALGDSWSHSCTNRLILYWNGNERYAHLDKSPSLPVASAPYAVTGKGIRDAVSPNHKRAR >Sspon.06G0014650-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:80947931:80957312:1 gene:Sspon.06G0014650-1A transcript:Sspon.06G0014650-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPVRLTLLLAAILAAAGVGEAVYIPYNTSAGVVPGKLNVHVVPHTHDDVGWLKTVDQYYVGSNNSIQGACVQNVLDSLIPALLKDENRKFIYVEQAFFQRWWRNQNDMIKDTVKGLISSGRLELINGGMCMHDEAAVHYIDMIDQTTLGHKYIKEEFGQVPRIGWQIDPFGHSAVQAYLLGAEVGFDAFYFFRIDYQDRDTRKGTKELEVVWRGSKTLGSSSDIFAGIFPKNYEPPPGEFYFEVDDSSPVVQDDPLLFDYNVEERVNDFVAAAVAQANITRTNHIMFTMGTDFKYQYAESWFRNMDKLIHYVNKAARQLEFFKGRHSSGLSTDSLGDALALAQHHDAVTGTEKQHVANDYAKRLSIGYIQVVLVYNSLGWKREDILRIPVFSDSIVVHDSEGTEIESQLLPIATASLNIRDKHVKAYLGTTPGANPKFWLAFPVSIPPLGFNTYFVSDSKKSAHMSSKSSQYSPQGSESSNLQVGQGNLKLQYNAAGTLSLYSDSKTQVQANFEQKYKYYIGQDGNASDPQASGAYIFRPNGTVPIKTDGQVPLTVLRGPIVDEVHQQINSWIYQITRVYKGKDYVETEFIVGPIPVDDGNGKELATEIVTNMATNKTFYTDSSGRDFIKRIRDYRSEWKIEVHQPIAGNYYPVNLGIYVEDGSKELSVLIEGKYYVKIDPQGEGARWRRTFGQEIYSPLLLAFTEQDGGNWANSHVAKFSAMDSTYSLPDNVAMLTLQELEDGSVLLRFAHLYEVGEDKELSALASVDLKRVFPEKKIGKIIETSLSANQERTAMEKKRLKWKVQGSAADEKVVRGGPVDPSKLLVELGPMEIRTFIISFDHNISDKQLL >Sspon.07G0028890-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:70983105:70986674:1 gene:Sspon.07G0028890-1B transcript:Sspon.07G0028890-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAVFSGGVVEVPAELVAAGSRTPSPKTRASELVGRFLGASEPAVSVQLGDLGHLAYSHTNQALLRPRSFAAKDEVFCLFEGVLDNLGRLSQQYGLSTKGANEVLLVIEAYKTLRDRAPYPASFMLAQLTGSYAFVLFDKSTNSLLVASVPLFWGITADGCVAFSDDIDMLKGSCGKSLAPFPQGN >Sspon.04G0028280-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4B:64866734:64866883:-1 gene:Sspon.04G0028280-1B transcript:Sspon.04G0028280-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALGISDIVLESDTKQVIMAIEGDEFKLALVGGIVHELKELLAENFSHFQ >Sspon.02G0049430-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:38811216:38811500:-1 gene:Sspon.02G0049430-1C transcript:Sspon.02G0049430-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GAGDAGGADQGRRVRAGAGAGVPHPVAVLHRVLAHQEPRPPPRAVAQRAPHARAALVRPAARRRGALARRRRLRPDVVRVVAHARRRAPPEAGLR >Sspon.03G0029540-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:22814875:22815138:-1 gene:Sspon.03G0029540-2C transcript:Sspon.03G0029540-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclin-dependent protein kinase inhibitor SMR5 [Source:Projected from Arabidopsis thaliana (AT1G07500) UniProtKB/Swiss-Prot;Acc:Q9LNX4] MESSVGVEKAAAAVAVGGGGGYGCGGWETPKREECRIPVTLPCPAAPRKAVPDFGKRRSPPKNGYFQPPDLEALFALAPRRQASSCA >Sspon.03G0035790-3D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3D:48235026:48238713:1 gene:Sspon.03G0035790-3D transcript:Sspon.03G0035790-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPLLLLLASFLLPPAAASAAESSGCWPKACGDLNITYPFWLEEPGRPPCGPPSFQLKCNSSGAFLTKSVYQAYQVLSIFPKNNSFQVVDHNLPLATGCPAPTINISLFSPAFVFSKTNKELLFLGKCTGSPPVESAGFHSLPCDNSSFVRLGDGRISNHGIQGGIPPGCLFTVVPFLGSPDGNGDDYITSMKNGLLVEWKAVPDDCPKCMARGGECTYGGDNGTKFTCDCSGDKCGNSRQCGAMKMAPATITTAAAHLIRDCETATTARCYS >Sspon.02G0048090-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:21095277:21101886:-1 gene:Sspon.02G0048090-1C transcript:Sspon.02G0048090-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFGRRKAAALAALSSPTPDKSPKGGVDAPIAPLLDALNSHPDLFTTSSCSGRVSVLAQPPAPHEGRGEGAAKPKKKARGGGWLFGGSGTGGAGDELVFRFEPMIVAVECRDAAAAAALVAAAIGAGFRESGITSLQKRAMVAIRCSIRMEVPLGQIDELVVSPEYVRYVVRIANSKMEANKRRMDGFLDLLQTKGLSYSSGLSNNCNGSGGQSVDYEASVGPEVKIPLDKCAKTNDEYLVTKRRNGGRSCDDDDTGSIEAQYLGNQDSAWSEGVKHGFGNAKRHKLSQNKNHLSTTTLKISGEPIEKLFLWGQASCVFTVGEEQQVLTFGGFGGPGRHARRNYSLLLDHKSGLLTEMIFKASPSPRMGHTVTAVGNSTYLIGGRGGPSEILDDVWVLQSTGNTWSKVECCGDLFQPRYCLDEIVWPLCPFSNFYGRSVSLCRHRHAAAAVASKIYVFGGLSNEGIYSCMNVLDTKNMQWSVLTASGEWPCARHSHSMVSYGTNLFMFGGHDGQRALKDFYSFDTTTLRWNKENTNGGSPSPRFSHCMFIYKNYLGILGGCPITENNPEVTLLNLKHRVWFCVSVPLLSQSLCVRSSSVVIKDDLVILGGGASCYAFGTKFSQPIILDLHSVESMFKYVRNKDGTVQNRDAISAVDLTRDEQNGISGHDTKSQDACSGGLTDSSPLVLQLEKTYAKLAKDILKKFGWLDLSRKVRVSHDNGHVLFPINEAFLVLNAEEHIKMTRDDSCTFGESLVFTEKKLVGDNLSLQDALKILSSCNGSFMKDELAISRKPSKSPQTIMKELVSSLLESKGMPPQLLEQLPARWETLGDIVILPKTCFKNPLWESVSEELWPLVAKSLGAQRLARQGKIMPNGTRDSTLELLLGDNGWVTHYENGICYSLDATKCMFSSGNRSEKLRMGQLNCRDEVVVDLFAGIGYFVLPFLVKANAKLVYACEWNPNGLEALRRNVSDNSVEDQCIILEGDNRVTAPKGVADRVCLGLLPSSECSWVTAVEALRVGGGILHIHGNVNDSDETRWLDNVVESISNIAKSHGLSWNVSVEHVERVKWYGPHIRHLVVDVRCRQI >Sspon.04G0012710-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:44106741:44108922:1 gene:Sspon.04G0012710-1A transcript:Sspon.04G0012710-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSKDTIRTAIGIIGNGTALVLFLSPVPTFIGIWKKRAVEQYSPIPYVATLLNCMMWVLYGLPLVHPHSMLVITINGTGMLIQLSYVALFILCSAGAVRRKVVLLFAAEVAFVITLGALVLSLAHTHERRSMIVGIVSVFFGTGMYAAPLSVMKMVIQTKSVEYMPLFLSLASLANSICWTAYALIHFDVYITVSNYY >Sspon.07G0020160-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:75064718:75066113:-1 gene:Sspon.07G0020160-1A transcript:Sspon.07G0020160-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SMACRGGMVSGAGAGAGAVAHLAAQGCDASVLIRSASNDAEVDNAKNQGLRGQDVVDAAKAQLEDQCPGVVSCADIIALAARDAVAQTGGPLFDVPTGRRDGLTSNLRDADVLPDAGDSISVLRSRFAASGLDDRDLVLLTGSHSTPSLAIFTVPSLRCMDMDAASVLTDTYWCKRTRPAAAAHTVGTTACFFVKDRLYSYPLPGGGMGADPSIPALFLAELKARCPPGDFNTRLPLDRGSETDFDDSILRNIRSGFAVIASDAALANSNATRALVDAYLGPSARSFERDFAAAMVKMGSVGAITGDDAGEVRDVCSTFNSN >Sspon.02G0019410-4P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2C:65716972:65717582:-1 gene:Sspon.02G0019410-4P transcript:Sspon.02G0019410-4P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKEMDVSTLEAGGARDYADPPPAPLIDIDELGKWSLYRAVIAEFVATLLFLYITVATVIGYKHQTDATASGADAACGGVGILGIAWAFGGMIFILVYCTAGISGGHINPAVTFGLFLARKVSLVRALLYMAAQSLGAICGVALVKGFQSGFYARYGGGANEVSPGYSTGTGLAAEIIGTFVLVYTVFSATDPKRNARDSHVP >Sspon.04G0014940-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:59315793:59317259:1 gene:Sspon.04G0014940-2B transcript:Sspon.04G0014940-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGLKVPEMALRVCVVPLALASLWEMATNAQADDTYGEVKFSDLSGFSYLVGVNAVTSAYAIASVLLSSLKPLARYDWVILVMDQASAYLLVTSASAAAELLQLARRGDREVSWGEVCSYFGRFCGKATVSLALHAAALACFVALALVSAFRVFSSRCHPPPDADADADGQPKHAQEEEQGRYH >Sspon.08G0011550-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:50327556:50329329:1 gene:Sspon.08G0011550-1T transcript:Sspon.08G0011550-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQQAAKEVIPLMTPYKMGQFQLSHRVVLAPLTRCRSYGNVPQPHAAVYYAQRATKGGLLIAEATGVSATAQGYPEAPGIWTQEQVEAWKPIVDAVHRKGGIFFCQIWHVGRVSTNDFQPDGQAPISSTDKQISPDAESGMVYSKPRRLRTEEIPGIVDDFRRAARNAIEAGFDGVEIHGAHGYLLEQFMKDSSNDRTDEYGGSLENRCRFAVEVINAVVREVGAHRVGIRLSPFVDFMDCVDSDPVALGHYMIQQLNKHEGFLYCHMVEPRMAIVDGRRQIPHRLLPFRKAFNGTFIAAGGYDREEGNKVVAEGYADLVAYGRLFLANPDLPKRFELNAPLNKYDRSTFYTQDPVVGYTDYPFLEDRSDNDELSSQA >Sspon.03G0042600-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3C:70983336:70983544:-1 gene:Sspon.03G0042600-1C transcript:Sspon.03G0042600-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DLQTQRANTRNRYPKRASRFDLLIDKDEDTGTLVLTTAIRPEVTAKRYSRGTRGSSKIALKRCNSPNR >Sspon.04G0017680-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:6910079:6914238:-1 gene:Sspon.04G0017680-2P transcript:Sspon.04G0017680-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWRRGGGADGVARRWVLLLCVGSFCLGLLFTDRMWTLPEADEVEVPNLRRGEEAERNTGDCNVRKVQGKQDYNDMLHISDTHHNSQTLDKTIANLETELSAARTLQDSFLNGSPVQEDYKASESTGRRKYLMVIGINTAFSSRKRRDSIRNTWMPKGEMRKKLEEEKGIIIRFVIGHSAISGGIVDRAIQAEDKKHGDFMRLDHVEGYLELSGKTRTYFATAVALWDANFYVKVDDDVHVNIATLGNILSKHISKPRVYIGCMKSGPVLSDKDVRYYEPEHWKFGEVGNKYFRHATGQLYAISKDLAAYISLNKHVLHKYINEDVSLGAWLIGLDVEHIDDRRLCCGTPPDCEWKAQAGNTCAASFDWKCSGICNSVQNIWGVHKKCSEDEKALLTASF >Sspon.05G0028410-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:67590587:67598918:-1 gene:Sspon.05G0028410-1B transcript:Sspon.05G0028410-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MESPTTTIAASQISMPVASFGGGAVGEERSGRAAGQMAEAVLLALTKIGSVLADETAKKMLAKLSEKVNNLRDLNDKIELIRMQLTTMINVIQKIGTVYLTDDVVRGWIGEVRKVAFHVEDVMDKYTYHTVQMEEEWFLKKYFIKASHYVLVFTQIAEEVIKIEKEIKKVIELKELWFQPSQVVADQLIEMERQRSHDNFPLLIKDEDLVGIEDNRRMLIGWLYSDELDSTVITVSGMGGLGKTTLVTNVYEREKINFSATAWMVVSQTYTIEGLLRKLLLKVGGEQQVSPNIDKLDVYDLKEKIKQKLKTRKCLIVLDDVWDQEVYLQMSDAFQNLQSSRIIITTRKNHVAALAHPTRRLDIQPLGNAQAFELFCRRTFYNEKDHACPSDLVEVATSIVDRCQGLPLAIVSIASLLSSRAQTYYIWNQIYKRLRSELSNNDHVRAVLNLSYHDLSGDLRNCFLYCSLFPEDYPIPRESLVRLWVAEGFALSKENNTAEEVAEGNLMELIHRNMLVVMENDEQGRVSTCTMHDIVRDLALAVAKEERFGTANNYRAMILIKDKDVRRLSSYGWKDSTSLEVKLPRLRTLVSLGTISSSPNMLLSILSESSYLTVLELQDSEITEVPGSIGNLFNLRYIGLRRTKVRSLPDSVEKLLNLQTLDIKQTKIEKLPRGISKVKKLRHLLADRYADEKQSQFRYFIGMQAPKDLSNLVELQTLETVEASKDLAEQLKKLMQLRTLWIDNISAADCANIFASLSKMPLLSNLLLSAKDENEPLCFEALKPRSTGLHRLIIRGQWAKGTLQCPIFQGHGRHLKYLALSWCHLSEDPLEMLAPQLPNLTNLRLNNMRSANTLVLPPGSFPYLKLLVLMHMPNVKKLVIGKGALPCIEGLYIVSLGELDKVPQGIESLRTLKKLSLVNLHRGFLTEWNKSGMHDKMQHVLEIRSFGGSSRRFGLALWCQKRKWQLASGSHGRDIRTKRRTRRGSSEL >Sspon.07G0021840-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:4968289:4975509:-1 gene:Sspon.07G0021840-1B transcript:Sspon.07G0021840-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGSADAVAVARDVASSSPSKPASALDMMRYPRPSSDGLPLPNGIGSGGSGTSKKPAAPTPTPATPAPRSSKDDVAPPLVATDSSRLAAFLATTSLEPKPRPRAPQTQAQPQPPEQAAPTSAPTTAAVAAVTKSPARDHGHGNGNGQHPSSDHSDPASPSSTGAGELLLQWGQNKRMRCRRDSASASPQRRQAGGGKIQRRSSSPLPAADKLMPPPSAAPYTRASNLRSASPLPPRSGSGSSGGASDAHHARPHPFRSAEDRAAGKFSAARAEKQRAVSPHKVGPGSVMGLGVPDPKPQQQQYSHNQGGSGSSSGHQQQAGASSKPAPKLEVPRIYTTLSRKEKEDDFMAMKGTKLPQRPKRRPKNVEKTVNVICPGMWLTDVTRSRYEVREKKCPKK >Sspon.01G0020860-3D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:74880143:74880771:-1 gene:Sspon.01G0020860-3D transcript:Sspon.01G0020860-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPELVEADMEKELWGQIGILHGGGLFGDSYGQEELDEEEIKEIDSARARRRKAAYERVIASGGANSLILSNYAQLLYEFDKDINRAEMYFKQAVAAEPPDGEAMRRYGMFLWHARGDMGGAEDMFTGAIDEEPESSHHRSSYAWFLWMTGGVETCLIDSGKQNNGND >Sspon.01G0039620-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:31776227:31777239:1 gene:Sspon.01G0039620-2D transcript:Sspon.01G0039620-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ASKVAALLSCAFLLLGAACQAAAGYYRPPSPDSCGLKVGYYHHKCPPAEAIVKSVVGAAVRQNPGIGAGLIRMLFHDCFVEVFAAKGLGVEDMVVLSGAHTVGRSHCSSFVPDRLAVPSDISPSFAASLRSQCPASPSSSNDPTVLQDVVTPDKLDNQYYKNVLAHRVLFTSDASLLSSPATAKLVSDNANIPGWWRTG >Sspon.01G0034960-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1C:7960910:7961287:1 gene:Sspon.01G0034960-2C transcript:Sspon.01G0034960-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDDKKEGKYRGVRKRPWGKFAAEIRDPERGGSRVWLGTFDTAEEAARAYDRAAFAMKGATAVLNFPSGGGGAAGGRTSGGGGSSSSSTTSAPAARGTSRTRVPDSEKVELECLDDRVLEELLAED >Sspon.02G0045140-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:108141890:108148410:-1 gene:Sspon.02G0045140-1B transcript:Sspon.02G0045140-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVASRETRLSPGAAAAPQVDAGKYVRYTPEQVEALERVYSECPKPSSLRRQQLIRDCPILSNIEPKQIKVWFQNRRCREKQRKESSRLQTVNRKLSAMNKLLMEENDRLQKQVSRLVFDNGYMKNRLHSPSVATTDTSCESVVTSGQHNQQQNPAVLHPPQRDANNPAGLLAIAEETLAEFMSKATGTAVNWVQMVGMKPGPDSVGIIAVSHNCSGVAARACGLVSLEPTKVAEILKDRASWYRDCRHVDILHVIPTGNGGTIELIYMQTYALTTLAEPRDFWTLRYTSGLDDGSLVICERSLTQSTGGPSGPNTPNFIRAEVLPSGYLIRPCDGEASSVPEVLRPLYECPKILAQKMTAAALRHIRQIAHESNGEMPYGVGRQPAVLRTFSQRLSRGFNDAVSGFPDDGWSPLLSSDGAEDITITINSSPNKLIGSHVSPSPFFSAMGGGIMCAKASMLLQNVPPAILVRFLREHRSEWADPGVDAYSAASLRANPYGVPGLRAGGFMGNQVILPLARTLEHEECLEVIRLEGHGFSHEEVLMSRDMFLLQLCSGVDENAPGACAQLVFAPIDESFADDAPLLPSGFRVIPLDAKTDVPSATRTLDLASALEVGSGGAMVQARAPRDQLTIAFQFSFENHLRESVAAMARQYVRGVMASVQRVAMAIAPSRLGSHIELKHPPGSPEALALATWIGRSYRAHTGTEIRWSDTEGATLP >Sspon.06G0024670-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:52831814:52833486:1 gene:Sspon.06G0024670-3D transcript:Sspon.06G0024670-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MERKRKEMEKGKSELRLAMEELRLCSSGDGEEEQVQVQQVKVQEQPKSSTMDLLSLSKQLIRVLDEIGPTLLVLRQDIQENVQRLQDLHERDSSKYASLTAIVTEEMEQGTAKKTKSCTRAIIWLSRSINFSKYLLERLLKTPESSLEEIVEEAYGNTLKPWHGWISSAAYKVALKLIPEREFFIALLMGNCQDFEDLAEDAKMLSYAVQPLLEEINAIS >Sspon.01G0005800-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:8859808:8862068:-1 gene:Sspon.01G0005800-2B transcript:Sspon.01G0005800-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLPSVLILLIFAFVGGNLLMGIASNARSAKNSTGHGSIELNGRKLKERYTFTISKTRGLENIRTDDYQPVDPSPSSKATIRPGPIEHGTPLLPY >Sspon.03G0000480-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:1439236:1441573:-1 gene:Sspon.03G0000480-1P transcript:Sspon.03G0000480-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGACASVHKDPEYPKKQFLDSPTKAKAANGKDGGVAPVGGGFGDLRAKVDAEQQRAEFNPKSPDSGSKDEVFFESRAWLDSDCEDDFYSVNGDFTPSRGSTPIYQPRAQTVMSNIFHPDTHSSKSPEPSPTGRRKLAELLQEAMQDGPEESTDEEQQLQSVAADGKPVSESTSSSPCSTVPTPTAVTKSRKEKAWYTGRCCLPSFVHSLTLDESERGQKMSSRPCAV >Sspon.06G0014970-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:82007189:82013214:-1 gene:Sspon.06G0014970-1A transcript:Sspon.06G0014970-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHNPRPGGGDIVEMSSSSAAATAAQHEGASARERVIPHSGPLSKKTGARKSARFAESVSAPLTAPPPPRAASPASNDDDDYVEITLDVRDDTVAVHSVKPAHGGGGGGDDSDVTLLARTLENRRSGSSVIRNASSRIKQVSQELRRIASINRRGAGPRFDRSKSAAAHALKGLKFISKAEGAAGWEAVEKRFDKLAENGLLHRSNFGQCIGMKELEFAGELFDALSRRRNISGDSISKAELLEFWDQISDTSFDGRLQTFFDMVDKDADGRITEEEVKEIITLSASANKLSKITEQAEEYARLIMEELDPGNLGYIELYNLEMLLLQAPSQSVRIGTTNSRNLSQMLSQSLRPTPEPNPLRRWYRRAQYFLEDNWQRVWVLLLWLSICAGLFAWKFIQYRRRYVFHVMGYCVCVAKGGAETLKFNMALILLPVCRNTITWIRNRTAVGRVVPFDDNLNFHKVVAVGITVGAALHIVSHLTCDFPRLLHATDAEYAPLGQYFGVPRPPNYWWFVKGTEGWTGLVMLVLMAVAFTLATPWFRRGRIALPGVLKRLTGFNAFWYSHHCFVVVYALLIVHGHYLYLTHKWYKKSTWMYLAVPMVLYACERLTRALRSSVRPVRILKVAVYPGNVLSLHFSKPQGFRYKSGQYIFVNCAAVSPFQWHPFSITSAPRDDYVSVHIRTLGDWTRELKNVFSRVCRPPTEGKSGLLRAEYDRDGSAMANPSFPKVLIDGPYGAPAQDYKQYDIVLLVGLGIGATPMISIIKDIINNMKLLDGDLEAGSGADTSVSSMASFRTRRAYFYWVTREQGSFEWFRGVMDEVAETDKKGVIELHNYCTSVYEEGDARSALIAMLQSLNHAKHGVDVVSGTRVKTHFARPNWRNVYKRIALNHQNQRVGVFYCGAPVLTKELRELAQDFSRKTNTKFEFHKENF >Sspon.05G0021110-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:69798336:69808166:1 gene:Sspon.05G0021110-1P transcript:Sspon.05G0021110-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLAEMKHHHYHHDNCRCLPQSSAYSGHHSLACDCDHRSVMKEISREQSLVTQLRAIVLLRCSRRTNATSLPPVGDEGDLQGAVSGDTAASHRPPALQQADERYELVAQMFQSILGCSSKAMAELQRHHQSDDDARADDVQLVDDNKRVKRSISNDCIRNEEGVSKEEDVVKPRHQQLKRGYACIFQHQLMSKTTAFFVYNASVYVLIMQDQGCKATKTVQQQDDDSTGTDHPVMFTVVYQGHHTCKDNNGINSGTDDSETNSQSSISTVCTDPYVPETSLDGNKPLDKSADLITRNSMYEPFDMTVFEPLDLDSWELDAFLSHIYLRKHQMNILESSTHSGCQVVINEIEHQRALVMELHDLILPILDPCSRQEKLAQQLFQDIFSSSSKVISFLELGDNSNKQANLIKYRRKGGKNNVESHILGEEAKEIGNKRRKNAQHTGSVVTQAPHFDGYQWRKYGQKWISKAKHSRSYYRCANSKDQGCLAAKTVQQKESDGSAGTVRLFDVDYYGQHICKKDDIIHPYVVETTKYSAPIVNHNQSISGSTVVHNDVLGIQDESFENLFMVPSTPEYLIDFTDIEMAGALEVTSMMIPEDIW >Sspon.07G0017050-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:84064840:84065274:-1 gene:Sspon.07G0017050-3C transcript:Sspon.07G0017050-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPPPPPPPRPAAPSATPAAAARPACACARTSLPPLSRPPRPSSSSTTRTPSAGTRCRRCPSWRVPLQPPPRPGRRLLPPPSLPLPPRAPSCCSTPRPPPPTSTLVPVHAAARARRPHPPPPRRHLEAGQGDARGQPARPLRWA >Sspon.02G0017940-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:41641523:41642868:-1 gene:Sspon.02G0017940-3C transcript:Sspon.02G0017940-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADDSALAEDLQVEEVLRFSIQSEVFCAVCKQVIRSLEASWKPENCDHVICIACFCQYAPETEATGLPRCAVASCDSLHNTETHQGISVPQSTLISIEDMDQKGKKPLDSTLQELGQCSRGASAKISSEFYCAICMETVHIGEFFPIDGCTHTFCTSCVSQYIAAKVEQNVLSIGCPDPGCKDGVLHPDVCRDVIPAQLFQRWGAALCDSSLGSLKFYCPFKECSALLVHDPGHGEAVITNVECPHCCRMFCAQCKVPWHDGVTCTEFQRLGKDEQGREDLLLRKVAQKSKWQRCPKCKIYVERIEGCVHIICRCGHCFCYLCASPMSRDNHACKTCKRTW >Sspon.01G0058140-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:21785554:21788796:1 gene:Sspon.01G0058140-1D transcript:Sspon.01G0058140-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAAAILSLSAAAVVEDVLRQHGCRLSDRDLASRRAEEAGAVRTPHLACVRFAARRNEAAGWLRRTVGAVAARDLPEEPSEEEFRLGLRNGQILCGALNRVHPGAVPKASAPYVRYLSRSFPPPSPPLCRDGARCATRVVVNTAADSVLQADGAALSAFQYFENVRNFLVAAQEIGLPCFEASDLEQGGKSARVVNCVLALKSYGDWKQCGGTGPWKYGGNPKSFGRKNSEPFRRSQSINEGEVPYEEAGFNGDAHFDSSDMSTSRPLKMLVSAVLSDKRPDEVPQLLESMLSKLVEEFENRLNSQNELMDTTDIYYNHKQTKKEASREVALKQHSILQQQSKNVEELKSDLITTRAGMEYMQMKYSEDLNLLGRHLFSLAHAASGYHKVLEENRKLYNQVQDLKGSIRVYCRVRPFLPGQASPSTVGSIDEGNITIVTPSKSGKEGRKTFSFNKVFGPSATQDEVFLDTQPLIRSVLDGYNVCIFAYGQTGSGKTYTMSGPKNMTEQTQGVNYRALGDLFKLAEQRKGTFIYDIAVQMIEIYNEQVRDLLVSDEIRNNSQNGLNVPDASLVRVASTMDVMELMNIGQKNRAVGATALNDRSSRSH >Sspon.04G0030650-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:3180187:3184673:-1 gene:Sspon.04G0030650-2D transcript:Sspon.04G0030650-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGGGGSPNNTEWRFNQTLRNVQGMLKGRSFPGKVLLTRRSEPLSPPDYSPRFESEHGEDERKEGSQEGEGQASGNSFDSTSSKKSNLLSTNSSNSLPDAQGLVSGARATDSARIAKFTTELSRPAVILGMWIILCSTNCVNYLGVVCPHICDLIWLQGYAPPNKDRREGVLTRKRLEYVECVSQYYDIPDSERSDEEITMLRQIAVDCPRTVPDVTFFQNHQIQKSLERILYTWAIRHPASGYVQGINDLVTPFLVVFLSEHLEGNMDTWSVDNLSAQDISNIEADCYWCLSKFLDGMQDHYTFAQPGIQRLVFRLKELVRRIDEPVSKHIEEQGLEFLQFAFRWFNCLLIREVPFHLVTRLWDTYLAEGDYLPDFLVYISASFLLTWSDKLQKLDFQEMVMFLQHLPTRTWAHHELEMVLSRAYMWHTMFKSSPSHLAS >Sspon.02G0042940-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:90661757:90670910:1 gene:Sspon.02G0042940-2C transcript:Sspon.02G0042940-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEYRLGLQLHGHADDVRGICVCGDAGIATSSRDRTVKFWTRNPEKESEYVLSKTLAGHSSFVGPLAWIPPSDRFPEGGIVSGGMDTFVFLWDLQKGEVVETMKGHNSQVTGLAVDTNGDIISSSMDCTVRRWRNGSAIEVWEAHKVAVQTVLKLPTGELFTGKASNIFFHINHFIGSNACILVIGSFRFHNMVQVIPLLSFGKEGLAYRHSQDMQCDYTNRPNFMPHADTVRCLASMPGLGILSASHDSTIKLWALTGQPLLDMIGHSSLVYSVDAHSSGLIASGSEDRSLKIWKDGVCIQSIEHPGCIWDAKFLGNGDIVTACSDGTTRIWTADSNRFCSDEELAAYTDLISQYTLSRKTVGGLKLMDLPGVEALQVPGNSDGQTLIVREGDNGVAYSWNSAELKWDKIGEVVDGPGDAAQGQVHDGVRYDFVFNVDIGDGEPIRKLPYNCSDDPYTVADKWLLKENLPLTYRQQVVEFILQNSGQNNFVPDPSFRDPYTGAKFILYQPMHMYLGNHRHRMSGMLSFETAQFDGIVKKVTEFNARLSSDSEQKQLSLSETEMSRLPAIVKVLKETSFYHTSKLAGADMALLVKILKSWPPQMMFPVIDFLRMFVLHPDGAALLLKTIETGNDILLETFRKAVAPPVQPANLLTLLKAVTNLFDNSCLHQWLRTHCAEIIDSLSNCKSSFSKNAHLAYATLLLNYAVLSIESKDEQSQAQILSATLEIAEDDTQDFDSKYRALVAIGSLMLKGLVKSLALDLDVKSVASSAKSSMDTKVAEVGGDIESLTS >Sspon.04G0024840-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:26533603:26543939:-1 gene:Sspon.04G0024840-2C transcript:Sspon.04G0024840-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFLEYTPFDSINLFLEQLNLGDCTIRGNLEAFSCKHTATDRRLSISLEHEILDYLGKSADSDPSSPVEHLSSRSSRKTLIYLVLTLGHIYPDYDFSAVRAHLFFQEEELESFKQMVDTYLSDASRQWAATNEGSSLLDSMTKAIDEVIKIRECDIYSYNPDSDADPVIEKGAIWSFNYFFYNRKLKRVVSFRCYCTSKLSGDDFLTGVVSDGEEEDALIDMDI >Sspon.02G0030950-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:107285240:107291068:1 gene:Sspon.02G0030950-3C transcript:Sspon.02G0030950-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSARSWLQKLQPRDKDRERGAKAPVPASASPNGGGGGGGGSARMAAAAGEEEEALSSATKQKVAAAKQYIENHYKSQMKSLQERKERRWMLERKLADADVSEEEQNNILKDLEKKETEYMRLRRHKMGVDDFELLTIIGRGAFGEVRLCREKATSNVYAMKKLKKSEMLRRGQVEHVRAERNLLAEVDSTYIVKLYCSFQDDEFLYLIMEYLPGGDMMTLLMRKDTLTEDESKFYVAETILAIESIHKHSYIHRDIKPDNLLLDRSGHLKLSDFGLCKPLDSSKSTNPPSDGDKQSSNSTAPRRTQQEQLLHWQKNRRMLAYSTVGTPDYIAPEVLLKKGYGMECDWWSLGAIMFEMLVGYPPFYSEDPMSTCRKIVNWRSHLKFPEEARLSLEAKDLISKLLCNVDQRLGTKGAHEIKAHPWFGGVEWEKLYQMEAAFIPEVNDELDTQNFEKFEEMLSSKDVNFVGYTYKNFEIVNDPELPGIAELKKKNNKPKRPTIKSLFETADSEDQPSDGSFLNLLPTQLELPESLEPSPHSSISSEDSQARNR >Sspon.02G0002030-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:6701378:6705564:1 gene:Sspon.02G0002030-1A transcript:Sspon.02G0002030-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MENTKLSSALFAGTHFDRKRFAADFARFRKGPALPSAAAPSAPSPEKKRRRKSGKAKAKKNKKKRAEDAAAASSDVVEGFSVFKGLADNNAELRSGKVDMNTRKDEDSVAVRQRKEIEREIERAAVLRKRFDIHIAGQNAPAPLESFEELISRYGCDSYLVGNLSKLGFQEPTPIQRQAMPILLSGRECFACAPTGSGKTLAFLFPLLMKIKPGSKGGVKAVILCPTRELAAQTVRECKKLVKGRKYYIKLMTKELSKSGNFKDMHCDILVSTPLRLDHAVKKRELDLSSATLPDSIEALARTIMHDAIRVIVGRKNAASSLIKQKLIFAGTERGKLLALRQSFQESLNPPVLIFVQSKERAKELYKELAFDDVRVDVIHADLNEQQRQDAVDNLRAGKTWVLIATEVIARGMDFKGVNCVINYDFPESAAAYIHRIGRCGRAGRSGEAITFFTEEDKPFLRNIANVLASSGCEVPSWIMALPKLKRKKHRVDRDPISTLPDED >Sspon.05G0014980-2D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:5D:54166158:54168731:-1 gene:Sspon.05G0014980-2D transcript:Sspon.05G0014980-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVNRQSGTKSTLDRAKHAISGKARKLKKVLKRLEKLIDQGAQFLAPLASNSRNGIHGNDTSSPANNVNRIVTTSSALTHIIFGRDDERDEIIRLLHETASDFDASSSNSKCYSVIGIHGIAGSGKTTLAQLVCTYERVNDYFYPVMWIHVSQNFNVEKIYLEMLEAASREPCHQFSNLDTLQMKLEEQLRCKRFFLVLDDIWAVKDGIIQDQEKLDQLLSPLRVGKKGSMVLVTTRFKDAAMSLGAKSLMKIPDLNDIDFFNLFMHYALDGATLDDHELETFQMIGKQILKKLKGSPLAARVVGARLRKNLKATFWRRVGEQDMLPDTMGALWWSYQHLDGQVRRCFAYCSLFPQGYMFKRDELVDLWIAEGFIKTTNNSDEQMEDVAQKCFDEVVSCSFLETRKYAFGRKDEWFTMHDLLHELVVMVAGNDCFRIEGSEMKEFPPDVRHLYVRSKDQVKFTEQICKLQKLRTLIFITNISGQGISVEELEGILKNLKKLRVLQVVVEGYMATIPACICELKHLRFLRIHNPLSTKVHLPKKLGTIYHLQILELWGSGVLEFSNVKNMSHLISLRSIRYSGFSFDNTDVSGFSGLGQLKSLRGLSDFKVRKEKGYELQQLKGMNHLSGSLRISGLDCVESKEVALEARLSDKTDLTALSLEWSGSSGPGQHTLSPDLQVEILEGLCPPPQLAELRVWGYGGWKCPSWLSQSQNISLQYLEFCRCYNLKTLPQIGDLFIHLGHLKLVSLPKLEKLPRLPNSLKTLEIERCEALVVTCVADVDMTRSQFIERASQMEPSLNISTTHAEIDKFADEQPVRFDTILCDVFGRCGSLPQRLIRGHIREEDYGRLMLPASVDR >Sspon.08G0005080-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:15115686:15117344:-1 gene:Sspon.08G0005080-1A transcript:Sspon.08G0005080-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMMDGYSKIRKLPYREEQLDSAVDCSWLFARYSKPRGVFFQMHDLVQELARLVAGDEVIAFDANRQQNPPRNTDNCRYMLLSNLCDAPPDYCSIPSTARALHFNKCSIGQTNLKSSMRSEFLRVLDLSACTISDLPASIGNLRLLKFLNISGMQTGLLPNSLSSLHGLQTLNLSGNSCLVELPCYISEFVNLQYLDLHGCSNLKELPQGIHKLEELLHLNVSRCGSLQSLPEEFGKLRKLTFLDLSYCCQLQTLP >Sspon.01G0010010-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:28125831:28134363:1 gene:Sspon.01G0010010-1A transcript:Sspon.01G0010010-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTLEASLEPKRHVAHTNDISDKKMMERVMELKGEVTCLFEACKDVVEKLNLVDVLQHLGIDRHFKEQIDTTLNNIQGAEFNSSSLHEVSLHFRLLRQHGIWVSADQFDKFKQEDGSFTSDITNDAKGLLSLYNAANLLNHNEEVLEEALLFARRHLVLIQSGLKSPLAEQVERSLKIPLPRTLKRIEAVSYIPEYSVNPKYNPAILELAKLDFNLLQHLHQNELKTITQDRIVECYFWAYCVYYEEEYARARMILVKLFMLTSLLDDTYDDHATLEECRELSEAIERWDESDISLLPDDIKKFYLKVMKNFTVFEDELEPSEKYRNAYARKALISKSYLQEVEWCHQGYIPSFNDHVNVSTISAGIQLLCVGLLVGMGDVATKEVTRFMDDMADFKRGKNKTDVATTVECYMKEQNVTSEVALDKIGSFVEDAWKTLNQALIENRALLPVVQRVTNFAMSMMVIFHGKIDRYTNSEELKETLESLFVKHIPSTR >Sspon.06G0004020-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:12164486:12166041:1 gene:Sspon.06G0004020-1A transcript:Sspon.06G0004020-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAHVALVTQLGMGSALETLCGQAYGAKQLHMLGVYLQRSWIILNAMAVLMLPLYLFATPILRLFHQDAEIADLAGRLALYMIPQLFAYAFNFPIQKFLQAQSKVMAMAVVSAVALVLHIALSWVLVGPMRMGLVGLAVALNASWWLVVLGQLAYILMGYCPGAWNGFDCLAFSDLFGFARLSLGSAVMLCLEFWFYMFLIVIVGNLENAQVAVAAVSICTNLFGWQIMVFFGFNAAISVRVSNELGAGRPRTAKLAILVVLMSSVAIGLAFFILVLAFRDVYGAPFTDSPEVVRAVASLGVFFAFSLLLNSVQPVLSGVAVGAGWQWLVAYVNLGCYYLVGIPVGYLIAFPLRGGVQGMWGGMLTGVGLQTLILVAITLRTNWDKEASEAHSRIQKWGGSSAAAAKGSGH >Sspon.07G0025610-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:45060481:45060669:1 gene:Sspon.07G0025610-1B transcript:Sspon.07G0025610-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHARRPQDVRVTSVEFKSGERKKLVDAGYVIVGNIGDQWTDLLGEPEGDRTFKLPDPMYYVG >Sspon.06G0003550-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:10782470:10784528:-1 gene:Sspon.06G0003550-1A transcript:Sspon.06G0003550-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding WIHPDALKLNYLEANDNVTLGKGVQKIVRFLKMERVRRIAGMSKKAKVPSVVQEDKDESVVFFRELYKREKYRDVNLLEPMYSVEFDAIQGGHVCRVPSGKRDFLIPVDEKHDYDWLMTPPAAPLFPSLDTEANSSRMVKEVPIPPRPVKPPASRLPGKPDGATTSARPASHTASSSSKTTCVKGAPAVSKEKKQPRTADQRPSHKVPTNGKQKAAAAAVPGTRTSGAGAPKKHSERCYASQASGKSTSTVKGVADQEVPFKAPKNLITTARSIFRRQAPPAVGAQSKGAPPAVSAQSKGPGSGVDVKKKKNGKATRQSCPPAATRGMTMSELLLQDRRNELPPRGTNVAGSGAGGEPASSTGGRAGRAPLLRGTAKADGRAWI >Sspon.07G0016690-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:73404033:73405503:1 gene:Sspon.07G0016690-3D transcript:Sspon.07G0016690-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGILAVLGCADEAKGSKRARVLELSRRLKHRGPDWSGLRQVGDCYLSHQRLAIIDPASGDQPLYNEDQSVVVAVNGEIYNHQDLRTHLADAGHSFRTGSDCEVISHLYEEHGEEFVDMLDGVFSFVLLDTRHGDRASSFMAARDAIGVTPLYIGWGIDGSVWISSEMKALNDECEHFEIFPPGHLYSSNTRTGGGFSRWYNPPWYDEAIIPSVPYNPLALRKAFEKAVVKRLMTDVPFGVLLSGGLDSSLVAAVAVRHLAGTEAAKRWGTKLHSFCVGLEGSPDLKAAREVADYLGTLHH >Sspon.07G0015660-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7A:55860479:55863545:-1 gene:Sspon.07G0015660-1A transcript:Sspon.07G0015660-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAWLTPGAVVAVSEHGNGNGTLQPVLQVVDMWMVKNVENPSAECFRMVLSNGVYTMQSMLATAENTRVRDGSIQKGSIIHLQEFTCSTIQNRRIIVVTKLYVLQTECNIMGNPKPYEMRNQPNELVTNFPANAAQANTGTYSSGPGMLGFSAAPRPVQDANNVPYGGSYGGYQGTVGPPIGRAVESVPNVASGVPYGTTSAHNNTMNVGMVQSNLQQPSLNSHQNQRFAVPSMAGGSGAPGNTYGQPPQSFYKQPPPGHMNRTPVSKNDANRLLPVAHLNTWTIKARVTAKTDLRHYNNKNGAGKVFSFDLLDGQGGEIRATCFNAQADQFFDLIEVDKVYLISKGSVKPVPKMFNSLNHEYEITLDHKTSIEVCDAEGHQLQLLCDSGSNPILSIKGGRVSDLSGRSVVTISSTQLKVNPDFAVAQRLKQWHITEGNNIACISLSRDHVLKTIAQIKDENLGRSDKPDFITVKGAISRLNTDNFCYPACTMEVNGRLCNRKVINNGDGTWQCDKCDKSLPNCEYRYLLRCQIQDHTGITYATAFQEAGIEIVGHSAHELYSIREEDPERFAEILQGVRWQQFLFKLKVKEETFNDEQRVKCSIMRAEKLDPARQSMLASSNANLQLDRASYSSSMLTSSNVGATGFA >Sspon.05G0015770-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:62671066:62674356:-1 gene:Sspon.05G0015770-1A transcript:Sspon.05G0015770-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTSTNNWLGFASFSGAADAVLHPLPPQGEADAEAPMLEDFLRLQEPTAPVAARPFAGSGASSIGLSMIKNWLRSQPAPATAGVDSMALATTATSPERSRKVVDGGESGGIVLVDTAQQRKASVDTFGQRTSIYRGVTKFAYDIAAIKFRGLNAVTNFDMSRYDVKRIIESSSLPDGTDKSDDIGVNGNCAEAAGPMTATNLLTDGIGSFSPEQYGYSGWSSPAAMVPISFQYSKGHCHSTLWCKQELDGAVVSAAHNLHQLQHIPASAGTHNFFQPSHVQDAAGAVDVPSLSVDTNSLLYDGGVGYHGAMGAGYAMPVATLVDGNLAASGYGVEDETASDLYGGRNLYYLSQDLSVTNSGKADVYEHGVGSESWLPSTVPVVLQKAADVTVCHGRPVFSGWK >Sspon.01G0033700-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:114901021:114903693:-1 gene:Sspon.01G0033700-1P transcript:Sspon.01G0033700-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSSRSLLSSPLFASSSPNFRSSTSVSSSPSPSRTTAVPMIHDNTSRASTVCHYSPSLVAEEQLHGSKDTLTMKGEKALLELLLGMALDQHVDGGKLTAEDTDFESYLKEATSRVLYQPALTEEDDSTSESSSASTAKTPGSLDLGKLTKQVELPAEESGTSDTRLDVPQPYRVDPSHSYEGLLNNDQVFIRSTRLLERRSKKRNAHRALSNDVPCSGVSSKRKDKSKKFGRVLDPDEPFRLFLRDRETTEFLTAKEEKQMFKEAQRKLQAQCGREPTIAEWAQAVGMSCRELQSCVRTGRRCREKMARSNFRLVIHVARKYEGHGLDIQDLVQDGCCGLMKTFEKFNPSKGCRFPTYAYWWIRQSIKKSIFKNSRLIRLPESVFALLRKVGKARMECIMDGEQPTNENVARRAGITIEKLAKLRVKTRKPRSMQDRVWSDDGVTYQASNITLHPLPLMDGLASACVQEVTEDPNIEPPEVSVDRLMMRQQVRSFLAGMLSPREKEIIEHRFGIHDGQPKTLHVIGDMYGLSKERIRQVQNKALDKLKNSISAQGFHVYFDLLT >Sspon.08G0023330-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8B:55106624:55108546:-1 gene:Sspon.08G0023330-1B transcript:Sspon.08G0023330-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAQASASKIGGSFNGDVDVELVVLITFCTVKSRRPTEVEGEGGKVGGQLTLQAGRFAAVRLVAALSSDTRSQIRKLWACSHGTKAIEYTLVTVVASPVINRWQRQDGTVDAKPSVNRKQKYGCGLLLYPVGMDGGRSVLLWPMPRPAWKAGRVVGHGDPPGDTASWRRRRISSWLTHNAAPPRRHRETQRYSDLHRMRRQHVGPPRPSTPAPSAGRRDTYDRLTRRGAPAQHLRSASGPLPHEASLAVSGGPRWTPVGLAVRPAKILQLVRESHKVDFAGWALRVAVITRPACKLKDNKCGRSSRGSVEVDFLASAT >Sspon.05G0022760-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:2930481:2930771:-1 gene:Sspon.05G0022760-2D transcript:Sspon.05G0022760-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DGERERQGEGVVVGGGDERGHRGGAQGPGRPVPLELRVPAGGAARQEASRRERLRRPRQQRLVGLRCGGRGGEEESQAAGGGAPDGHVPQQLGSQQL >Sspon.05G0015490-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:57573645:57579448:-1 gene:Sspon.05G0015490-2D transcript:Sspon.05G0015490-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MANSSATKHAFKSILASLPKPGGGEYGKFFSLPALNDPRIDRLPYSYVFSLESAIRHCDNFQVTESDVEKIIDWENTSPKLAEIPFKQRDAFSWLVSMIEAFLRANKMFVEHHEPETERVYSSYLELDLSEVEPCVSGPKRPHDRVPLREMKSDWHACLDNEVGFKGYAVPKEQQGKVVKFDFHGRPAEIKHGSVVLAAICSSTNTSNPSVMIGAGLVAKKACELGLEVKPWVKTSLTPGSVVATEYLKYSGLQDYLNQQGFHVAAHGCATCVGNSGDLDESVSAAITENDIVAAAVLSANRNFEGRVNPLTRANYLASPPLVVAYALAGTKEPIGVGKGGKEVFLRDIWPSNQEIDQVVESSVQTHLFKKVYESIMERNHRWNELPVPKEALYPWDDKSTYIRKPTYLDGMSMSPPSLPKVTEAYCLLNLGDCITTDHISYSGKIPRAPLRPSICSSTALSPRTSAPMVAAVGTMRS >Sspon.03G0036330-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:53107738:53110160:-1 gene:Sspon.03G0036330-1P transcript:Sspon.03G0036330-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding IFTLKTTVVRHAFIMLLVLAIRSAQCHQHKYNVINFHAAADGKTDDAQLDGNIVAPNHIWTTEQTNLLTIHGVDNLTLDGKGEIDGQGAIWWDCYNQKRCKTRPILLGFSLCNDLWVRRIRLKNSADKHMTLFQCNQALVDSVSITAPADSPNTDGITVASSNSTIISNCSIQSGDDCVSILSHTKNITVTHSTCGPGHGVSVGSLGKPERAKVEQVVVTNCSFVGTMNGVRIKSWQGGKGYAKGFLFASLNMTEVRYPIVIDQFYCPQGNCPTK >Sspon.01G0043430-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:70005584:70006920:1 gene:Sspon.01G0043430-2C transcript:Sspon.01G0043430-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRALTLSSGRLGQTEHIGPTINHQYTTRTMRRTAALLSTHGGRSIPRALADVLVCPLSKQPLRYCEATGSLVSDAAGVSFPVLDGIPSLVPKDGKLLDDQEVKSEQESCTRDSSG >Sspon.04G0006030-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4B:13243916:13244211:-1 gene:Sspon.04G0006030-2B transcript:Sspon.04G0006030-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GVHVVLLPRLRQPRLRGGVLGRQRRRWRRRQCAGPPAAALHRRQREGGGGRQEPHIGHGEARAVHVLRPRHGGGGAQQLPRRVHPLPQGALRRHLHVQ >Sspon.01G0029370-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:102186811:102188869:-1 gene:Sspon.01G0029370-1A transcript:Sspon.01G0029370-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSWPPPRGPEFPIPKSQPYSPPLRSLSQGAPRTEQPGRAAGAVAACALARRRDEAEQELWSSDRSIEQLGEMEVAEPWARQPPTLPVDLLLDIIARCDSDAKTVVRCGLVCKDVRTAMLGPGFHARLGRRGGFDPGLLVAVSYRLSGCYRNIEGTVIRNDPIVVQPPGHLPRFDTNLLGTLDPTASSRDGFLILRENEMLATGFAFRHGLRVCNTITGHVTSLPSTSIGLRASGVYQPALLSVDDDAARSFKLLVMCSKLNGRLLTQTFSSQEGEWGAARKIHINNNLPPGVQGLYEASSTAPAVVWRSVHWLCYTNPVSVYADRELIILAVRAESACANVIKLPQELLIRMGSPCSGWTSWWTSYAGRFMLAATATAEGERRLSLVAAEPFVISMWTLVLHPDEGSSILWSRQEVIRRQEIDRLLTGVLHASHSIRFSMFGERSGTVLFWMQIGETNQAILVQLNLVTKKTLVLWRGSDHCCNYTAHALLHETIQLH >Sspon.04G0006270-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:17756943:17765316:1 gene:Sspon.04G0006270-1A transcript:Sspon.04G0006270-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ALARGRAHHARERREENAVARPRTAARRRNERAAPPPTSLPCPSTTTHPAPRPPTTRTAAALQLLTDRATDLLHLHASERTTRRGHPIIAPLRSLSARLSMVSASAPPPPQSDAAGSGEDASKKVRKPYTITKSRESWTEQEHDKFLEALQLFDRDWKKIEAFVGSKTVIQIRSHAQKYFLKVQKNGTSEHVPPPRPKRKAAHPYPQKASKNEPNYGLKTDSSSIHRNSGMNVSVSSWAHSSIPQAVASSMVKVMPDFAEVYSFLGSVFDPSTSGHLQKLKEMNPIDVETALLLMKNLSINLTSPDFEDQLQEFSSSDEYAFHVSNLEYFRISAPTDSAAIASSAPDAHFALAQIIPAHEKNTRISRFLLALSPSPNIPRKARPLRYLAHQVSTSTSSGAMATAPNASSLFLVSSPISTAPRARAGSFPPSAQPSLRLRPRPSMAVAAAVQAEHQPAVAATPKPPALPFRVGHGFDLHRLEPNLPLIIGGINIPHDRGCEAHSDGDVLLHCVVDAILGALGLPDIGQIFPDSDPRWKGADSSVFMREAVKLMHEAGYELGNLDATLILQKPKISPFKETIRSNLCDLLGADPSVVNLKAKTHEKVDSLGENRSIAAHTV >Sspon.06G0027390-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:65267014:65270836:-1 gene:Sspon.06G0027390-2C transcript:Sspon.06G0027390-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIVGIVLGLVQGVKIEDLVTFKKQASPLPGSSLPNIGGMFPNMLPFGVAGQFNPLVIQPQAMTQQATRHARRVYVGGLPPSANEQTVAVYFNQVMAAIGGNTAGPGDAVLNVYINHDKKFAFVEMRSVEEASNAMALDGIMFEGAPVKVRRPTDYNPSLAAALGPSQPSPNLNLAAVGLTAGSTGGLEGPDRIFVGGLPYYFTEAQVRELLESFGPLRGFDLVKDRETGNSKGYAFCVYQDLTVTDIACAALNGIKMGDKTLTVRRANQGASQPDQSRKKLVYQVGALPTKVVCLTQVVTADELKDDEEYEDIMEDMRLEAGKYGNLVKVIIPRPDPSGQPVAGVGKVFLEYADIDGAAKAKTALHGRKFGGNPVVAVCYAEDKFSNGEYDG >Sspon.06G0003330-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:8624619:8628475:-1 gene:Sspon.06G0003330-1P transcript:Sspon.06G0003330-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMMLLARNLRSGLRPPLSAAFSSATAASASAAAAEAGRAIRDGPRNDWSRPEIQAVYDSPLLDLLFHGAQVHRTTHKFREVQQCTLLSIKTGGCSEDCSYCPQSSRYDTGLKAQKLMNKDAVLEAAKKAKEAGSTRFCMGAAWRETIGRKTNFNQILEYVKEIRGMGMEVCCTLGMIEKQQAEELKKAGLTAYNHNLDTSREYYPNIITTRSYDDRLQTLQHVREAGISICSGGIIGLGEAEEDRVGLLHTLATLPTHPESVPINALVAVKGTPLEDQKPVEIWEMIRMIATARIVMPKAMVRLSAGRVRFSMPEQALCFLAGANSIFAGEKLLTTANNDFDADQAMFKILGLIPKAPSFGEEEAAAPADTERSEQAASM >Sspon.04G0017540-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:63509819:63510490:1 gene:Sspon.04G0017540-1A transcript:Sspon.04G0017540-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRRSCKLVLALLVAAMAASPLALAYDPSPLQDFCVADTVSSVFVNGLVCKDPAKVSASDFAFSGLQNAGDTSNAFGSKVTLVDVRALPGLNSLGVSMARLDIAPGGLNPPHTHPRATEVLTVVQGQMYVGFLATDGTLFAKVLSRGDVFVFPKGLVHFEFNSGASPAVGIAGLSSQNPGLIRAADSLFGATPAITDEVLAKAFRIDAATVQRIKAQFATKK >Sspon.03G0031180-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:24829227:24830959:1 gene:Sspon.03G0031180-1B transcript:Sspon.03G0031180-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDCVVEDGHGHHHSEAEAVEGAVPLTVAVELDERGESREDGGGQRKKVGGIRREPSFSRWCRDPSVAAASNTAAAAATSDSDDSEEFELPLLPSSSGGGSSPMDIEAGATARSDDLPISPRLLAKVIGLIACWYTLSTCLTLYNKEMLGKHMWKFPAPFLMNTVHFTLQAVASRAIVWFQQRGLEGGPSKMSWKDYCLRVVPTALATALDINLSNISLVFITVTFATMCKSASPIFILLFAFMFRYFACYSVFSCTGLIVFSFSN >Sspon.08G0028640-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:14653598:14654949:1 gene:Sspon.08G0028640-1D transcript:Sspon.08G0028640-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DWYYLSKTLSEREAFAYAAKTGLDVVTICPALVLGPLMQSMLNASSKVLLNYFKGDRETVENRLRNMVDVRDVADALLLAYEKPEASGRYICSSHPIKVSDMINILKTLYPTYPYPKNSEKLQKLGWTFRPIEETLHDSVESYKAFGILN >Sspon.07G0021860-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:5431331:5436508:-1 gene:Sspon.07G0021860-3D transcript:Sspon.07G0021860-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQQQPPASVRAVTIRFADLRVTNLPPPPTRRCQLSGDRSKDLGGFIEEGFGPRGLGIVSIADVSDATVLQRLRPMQHEQISISISLDFELTCGSAFALCTQVPGYPELRKRLLLLAPRHISICRPNIWPTDHLPELEIAFKDLGKLMLEVGLMLAHHCDRYVMQKGVEQYIGESLEKTLANSRCPKGPNRMEFNLFRHGLTCGLFTRKSEEVPCPDIGTGLYVRTRDNQVVKVTFEDDELVYQIGESAEILSRGHLCATPHCVKAPSSESASDVDRSTFVLFIQPDWDELLKLPSEIRYYKE >Sspon.06G0007040-3D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6D:24121897:24122508:1 gene:Sspon.06G0007040-3D transcript:Sspon.06G0007040-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAKVPGFSLILKLHNTVSNCPSQVCFAPVSAADLALGIAAALVRAPVIADVPEVGVGKLVGLMNATALVGAAAAEGGSALGVAEAAGGPALVRVAAAADGPALVGAAAAEGAPALGASVVAEGAAAVEVGGNAVLANATAVEIARVAVVEYAAEVGVVLVVAVAALVLAPLAWGLHYSSLLEPQQTSFVAQQQLLPLSSQSQ >Sspon.03G0001730-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:15685916:15688631:-1 gene:Sspon.03G0001730-2C transcript:Sspon.03G0001730-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGRRRGLVWSLPVARSDVLGKLGPAFGIGAGCGVGVGFGLIGGAGIGAGFPGLQLGFGAGAGCGIGIGFGYGFGKGVAYDERGRYSNIRRPFQNSRSIAYDEQFDIMFDELMESTRKLIKATSKEIDKWRRM >Sspon.01G0036440-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:13865494:13867391:1 gene:Sspon.01G0036440-2C transcript:Sspon.01G0036440-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQEDVHLLDDADLALGLSLGSGASDAARHGTSGSRLSMEARLPSPRPLEPSLTLSMPDDATGSGGGGAAHSVSSLSVAGVKRERVDDAEGERASSTAAAAARAISAGAEDDDDGSTRKKLRLTKEQSALLEDRFKEHSTLNPKQKVALAKQLNLRPRQVEVWFQNRRASRARRTKLKQTEVDCELLKRCCESLTEENRRLQRELQELRALKFAPVHPQAPPSSAAGVPAPPFYMQMQLPAATLSLCPSCERLAGPAAAAKAEPDRPKAATHHFFNPFTHSAAC >Sspon.03G0003390-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:15894971:15898654:1 gene:Sspon.03G0003390-4D transcript:Sspon.03G0003390-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:BAG family molecular chaperone regulator 4 [Source:Projected from Arabidopsis thaliana (AT3G51780) UniProtKB/Swiss-Prot;Acc:Q8RX71] MMSGRSGGRDAEGEWEVRPGGMLVQRRDGEAPGPVIRIRVSHGANFREVVVPAQATFGELKSILVQTTGLEPERQRLFFRGKEKSDREFLHAAGVKDGAKLLLLEKPAPANIEQKVQPVVMDESMMKACDAVARVRAEVDKLSAKVCDLEKNVLGGRKVEDKEFVVLTELLMMQLLKLDGIEAEGEARAQRKAEVRRVQSLVETLDKLKARNANPFSDHNKAVSVTTQWETFENGMGSLSAPPPRVSSTQVNTDWEQFD >Sspon.01G0050470-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:3216145:3217676:1 gene:Sspon.01G0050470-2D transcript:Sspon.01G0050470-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding CISHRAAMCSSGHALPDANRIHSLGFGSFCKDWDGIRLRKVQLINFLSLPTEQKEKADIIVLDSDDEDGNRSGYSKLASEIGKGLATSELARNITERVTSNGSQAFVTMHADGDKNTHIVPHGQCSALVNQLPLQTSWQPSIQFERVLLQKRPEEQRMQDVVAASIAEKRAETQVFLSPPMEKKRRRSDLSLHVSEDTTTVPKQRRRRKGATDLAAANLSLDLQQTDTSSEPDMAIEKEENKKSESDGLEDYWKDFALAVESTKLDEVDEAANEKEEGNGMMGDIDCNHDIRIHEDLGH >Sspon.04G0004560-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:13525693:13528986:1 gene:Sspon.04G0004560-3C transcript:Sspon.04G0004560-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAMRKASSQASLADPDDFDLTRLLNHKPRINVERQRSFDDRSLNELSLAGAGAGTASRGGWGYYGGGIMESYESMYSPGGGLRSYCGTPASSTRLSFEPHPLIGEAWDALRRSIVSFRGQPIGTIAAVDHSATDEVLNYDQVFVRDFVPSALAFLMNGEPEIVRNFLLKTLLLQGWEKRIDRFKLGEGAMPASFKVLKDPKRGVDKLVADFGESAIGRVAPVDSGFWWIIILRAYTKSTGDMTLAETPMCQKGIRLIMNQCLAEGFDTFPTLLCADGCCMIDRRMGVYGYPIEIQALFFMALRCALLMLKPDAEGKEMMERIVTRLTALSYHMRSYFWLDFQQLNDIYRFKTEEYSHTAVNKFNVNPESIPDWLFDFMPTRGGYFVGNVSPARMDFRWFALGNCVAILASLATPDQAAAIMDLIEERWEDLVGEMPVKICYPAIEGHEWQIVTGCDPKNTRWSYHNGGSWPVLLWLLTAACIKTGRLKIARRAIELAETRLERDGWPEYYDGKLGRYIGKQARKFQTWSIAGYLVAKMMLEDPSHLGMISLEEEKPTKPVLRRSASWTV >Sspon.08G0016730-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:65727208:65731078:1 gene:Sspon.08G0016730-1A transcript:Sspon.08G0016730-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSIHQLEEHGDEEGENDDRHGSQITSPLRILTSLFPCSGKWDSYCSVRFISVLCPCHAVQPSSRRRREVGDDDDDSSPIEQVALTVPVGDDPATPVLTFRMWVLGTASCAALSFLNAFFGYRKEPLTITAVSAQIAVLPLGRLMAAALPEGAFFRGRPWEFTLNPGPFNMKEHVLITIFANAGAGMVFGMNLVTSVRVFYGQHMSFFVALLIILTSQVSEVNEELVFLVVFDNHEPFQTSGSLDELGWFGYLIVHQVLGFGWAGIFRRYLVEPAAMWWPSNLVQVSLFRALHEKERRRKGRMTRNQFFLVAFICSFAYYVFPGYLFQMLTSLSWICWVFPSSVIAQQLGSGLHGLGIGAIGLDWSSISSYLGSPLASPWFATANIAAGFFIVMYIITPINYWFNVYKARNFPIYSDGLYTETGQKYNISSIMDSQFYFDTDAYEKNGPLYISTFFASSYGLGFACLTATVSHVLLFHGRFCYQLPLLVRSAKVHMKLKLQLSKSAFKDKKMDIHTKLMRRYKQVPEWWFICILVASVAVTMLTCEYYIEQLQLPWWGVLLACALAIFYTLPIGIIKATTNQMHDTYYNIYGPGAETQLTIQAPGLNVITEYIMGYLYPGRPIANMCFKVYGYVGPRQALEFLQDFKLGHYMKIPPRTMFMAQVVGTLIAGSVNLGTTWWLMDTIPNVCNRELLPADSPWTCPYDNLFYDASVLWGLIGPRRIFGDLGTYSAVNWFFLGGAIAPLLVWCTQKAFPGQKWIRHVNVPVLIGATSLMPPGTAVNYTTWILIAFLSGYVVYRYRRNWWERHNYLLSGALDAGLAFMAVLLYLCLGLENKSLNWWGNDLDGCPLASCPTAKGITVDGCPAHN >Sspon.03G0009260-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:32274971:32278104:-1 gene:Sspon.03G0009260-2B transcript:Sspon.03G0009260-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSEHWISRLAAAKRYYAAQLGHVDGEDIPASSCFSLPSVTSREAIGPSTDLRVLVGGLVADVPGMGTEEVEVEMEDDGDMEMQMEMALELGDATWPEVACPYCYEDHDVASLCVHLEEDHPYEPHAAPCPICSQRITRDMLNHITMQHGYLFKNGHRSRRFIIPERDAISLLSQDLRGTHLQALLGGVHGQRSNNAVTTNIFSDPLLSSFGLSFPTSDAPEPSKSASSIPDGASIRKETPVQPWESSIDSSLTSEEREQKRKQATDRATFVQGLVLSTLFGD >Sspon.07G0007630-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:15969042:15974336:1 gene:Sspon.07G0007630-3C transcript:Sspon.07G0007630-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGAQLVGRWVESYSGMSTDNIKGLLLALSSSLFIGASFIVKKKGLKKAGASGVRAGVGGYSYLLEPLWWAGMITMIVGEIANFAAYAFAPAILVTPLGALSIIISAVLAHIMLREKLHIFGILGCILCVVGSTTIVLHAPPERQIESVAEVWDLATEPAFLFYAAVVLAAAFVLIFHFVPQYGQTHIMLTFSGMNQLVYPQTWVFSFVVISCIVTQMNYLNKDWDRQNPTQIVTEMCGFVTILSGTFLLHKTKDMVDGLPPNLPIRLPKHVDEDGSAAEGIPLRSAADGIPLRSPRATDSFRSS >Sspon.03G0026780-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3B:3844986:3846706:-1 gene:Sspon.03G0026780-1B transcript:Sspon.03G0026780-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding STNAQWAGTTSVDFSLAQLVQV >Sspon.01G0051850-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:510842:516377:1 gene:Sspon.01G0051850-2D transcript:Sspon.01G0051850-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSHEQRNHQLLTNAPVNFKQPKRRAEMVFQKRSSSEMESCGGGQVAEMPRVPKSARGKRSVRKKEAQSPAQMSAFDLLATVAGKLLDEGVGSLGNMSAGAPALAACAKDVRVKQEQCDEEMKQFKHEVTDQDSCNESAILPHIAFQRAVNNARIEDPKAKSEAQDKESSMISCTKAELGCNFGVIADRWSPESVESGAFTGDAVASLMPTAPAGFHKNAPEMYNLLDSMDVDAKPPPLVSSDSTGEMPLYGDKIHRSTSLPRGPKGVGGFAVDRDDDDDKSSGCTHPSTTTNRDFRSNCTAEYSRVRKLLTSKYRKVAPARIHKSDLSYSDVERKPSFRNKKMHYTRQRTQRSTFKRRKLFDRHSVLASEFGAANGKGNTKVTGRDSHAEGLFYFHPGICYSCYFLFCYLSVGNDSSAANKGTTSMPFQKSCVSNDCHVKLRIKSFKVPELLVEIPESATIGSLKKTVLEAVTAILGGGLRVGVLHHGKKVRDDSKTLIQAGIGQDDMLDNLGFSLEPNCTQNPQVQAPEDISFLETIDTTEPLARIAPADSCSKHGEVDVSQELALTPLAMNYQGSDHDSVHSPGVSHHLIKSADPNAGAIVPANKSKRSPEQGQRRIRRPFSVAEVEALVLAVEKLGTGRWRDVKLRAFDNAKHRTYVDLKDKWKTLVHTASISPQQRRGEPVPQELLDRVLAAQAYWSQQQAKLQPKTPPLAEARLLT >Sspon.05G0014870-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:54660822:54664435:-1 gene:Sspon.05G0014870-1T transcript:Sspon.05G0014870-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLKGAEETGAERVEAVGKKRGAGEGGAMEQQMREEQGRGTYLGAGRKQTIGEEAGRRCDCRRQEQLRRRHESWYSFLQPADWEILIMDAILDIHTEDGKHRTMRVTIPDNTPAPPPADCTACKSHAPPQPPAEK >Sspon.02G0024340-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:83250737:83259871:1 gene:Sspon.02G0024340-1A transcript:Sspon.02G0024340-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein ANTAGONIST OF LIKE HETEROCHROMATIN PROTEIN 1 [Source:Projected from Arabidopsis thaliana (AT3G63270) UniProtKB/Swiss-Prot;Acc:Q94K49] MPPTKKAKKGKKKSKEGKLKIVRARGAPPPLPPELRALDTEWWYTFLNKQTELKHVVPSDEGEAFRHFFRTSRKTFDYICSIVREDLISRPPSGLINIEGRLLSVEKQVAIAMRRLASGDSQVSVGIAFCVGQSTVSQVTWRFIESMEDRARHHLTWPNQERLEQIKAVLEDAYGLPNCCGGVDATHVTMTLPAVESSEDWCDHAKNYSMFLQGVVDDQMRFIDIVTGWPGSLTFSRLMNYSGFFKLCESGERLNGSVKVSAEGAEIREYIAGDSCYPLLSWLMTPYEGKNLSAPLQSFNARQKAARLLGTNALARLKGSWRILHKVMWRPDKNKLPSIILVCCLLHNILIDCHDELLPSVQLPEHHDTGYTRENCEKVDPNGKDNASPHHVERHDVA >Sspon.08G0006940-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:21599667:21606844:1 gene:Sspon.08G0006940-1A transcript:Sspon.08G0006940-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSHADLDRQISQLRDCKFLPEAEVKALCEQAKAILMEEWNVQPVRCPVTVCGDIHGQFYDLIELFRIGGDAPDTNYLFMGDYVDRGYYSVETVSLLVALKVRYRDRITILRGNHESRQITQVYGFYDECLRKYGNANVWKYFTDLFDYLPLTALIENQIFCLHGGLSPSLDTLDNIRALDRIQEVPHEGPMCDLLWSDPDDRCGWGISPRGAGYTFGQDIAQQFNHTNGLSLISRAHQLVMEGFNWCQDKNVVTVFSAPNYCYRCGNMAAILEIGENMDQNFLQFDPAPRQIEPDMTRKTPDYFL >Sspon.01G0040350-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:40197449:40198345:1 gene:Sspon.01G0040350-1B transcript:Sspon.01G0040350-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPAPHVVVLAAAVLLLLPSLLARLAVAQPTKGAKAFCISQFAIASQACSILPPSPPDEHHHHDDDDEDEDEDEDDHDDDDDEHHDRDRRRSHHAAAVSISALMARSNGSHGVVSGNRTVGHHQHQGNRTRGGHGRGRDRGRGRGRRGRLRDGEDDHHDADDPDHDDDHADDDEHHDDDDHHDDDEDHHDTDDPDHDDDHDDEDDDDDDEHHHDEELRAYRDCCRWLKEVQKDCVCEALLRLPPFLVKPQHTYVVRLAPMLPAGHPPYDVHAAAAHAWPEFD >Sspon.01G0010930-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:37734852:37737846:1 gene:Sspon.01G0010930-1P transcript:Sspon.01G0010930-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSLRRALRHPIPNIAASLSTSGLRRLSSHRRTPPLPRPAATGDDEWNDAWETAWLPGDSPASSPAPAAPWESPASASASAVPAISAEVDPDTKAFVADMDERWAERRAASRRGQPQRASRAAEGGEGGAAARKKAQADDYRTRKQRVHAALWVKEIEKMEEARLGGGGGGADDIDRLLDSCSDIFDSGNTDFGDSKIPSTTEIKTKPDGWETTSRGQDGTYGKSRSEKMTFFSRNLKGGLLLVNSRSTIILPAPNILVETFYKLM >Sspon.07G0003900-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:8805641:8807419:1 gene:Sspon.07G0003900-2B transcript:Sspon.07G0003900-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEESSGERAPSTPQLLNLIRDEREWKMIRQAEDGGGSSTTTSPDAEEDSKLELKLGLPGAQDDERTARPGEKMEQQQESCTALSLGSGCFPSHSKLATSTGATTGAKRGFLATVGAKAEGCNQRQEDREGCGNELLTLGGENMAGERKKGCCPPSSSHDSAAAGPVHSSSSSNPHLTRGAVLPVVGWPPVRSFRRNLTNASSSKPSPEQQNDEACDRAKQTCKRNPLIKINMDGIPIGRKINLSAFDNYQKLSSAIEDLFCGFLEAAQKDLACSEIREQGAEDKIFSGLLDGTGEYTLICEDSEGGRTIVRDLPWK >Sspon.02G0036400-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2B:24732883:24734126:-1 gene:Sspon.02G0036400-1B transcript:Sspon.02G0036400-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAECIQITKWPQKRIPAKWRSLLCARRSSEITGCHVSVVTFVLLGNPAAILAICSWTRLPQATTVCRGTGFIRIARHEGHWSATYVKCLVGNEDETLIQGKTHQEYRPPTRPARGMKFWWRMYKDVEPSRAIQILGPWTMESSCLPFAARQWLVGSTHPQVLDSAPVLCDFILSLCAMRAHGP >Sspon.02G0041020-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:73697736:73711016:1 gene:Sspon.02G0041020-1B transcript:Sspon.02G0041020-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIPAEPALQHSRMQPCYSMQRSLFLGKLQKGREKNAITVRVVRKWAVKESGGQGAPLFVGLVIADAKIKLASVAVARVHTTSIRRSKKQSLITQSNRAMPPPSTFRDIPVYIDRPAPSEQDAPAEVQDTPLQQKTVAELNSIDPFDFEKRAEQQLDHTYKCPKCQGYSVIPRYLLGFTARDDTGEARFFAYDEEAKLIVEKNCDAIINPLAVAAGLPPALQRIINKRYVFSVDLTDDSCRSQLRRQYLVKSVLESPGRHAPTPTAVPPAGPSTSSAPSSSTQAGISTAGLPSTQPEDHALRIQSPKELTQEGQSEILQLEFNTQTTPAPIVDPEQSPSKDDDTRFPPKARRNLFEAAKTAEKEAVHGNELSVPDPLIDDQTTKSASPPEPKPKRYSARPKFRTRTYYYRHLHCNSGDFGTISKLKQAVTEALRRIPPTSQLQDLLLTSVLGSSLAVRRSLLAARRLHLPVGDTKKIMEGVGKKVLPHKAAVTPLGSPKLTEVVLLHFPTGSPPENHDI >Sspon.01G0021090-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:40912547:40915800:-1 gene:Sspon.01G0021090-4D transcript:Sspon.01G0021090-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRLLALVAVAALLLALRPALATDPYAFFDWDVSYVTAAPLGVIGINGKFPGPVVNVSTNWNVVVNVLNDLDEPLLITWNGIQHRKNCWQDGVLGTNCPIPSGWNWTYEFQVKDQIGSFFYFPSTGLQRAAGGFGGIVVNNRDVIAVPFGRPDGDITIFIGDWYNKNHTDLRKMLDKGKDLGMPDGVLINGKGPYRYNHSLVPAGIEYETFNVHPVHNVGTSTSLNFRIQGHNMVLVETEGSYTSQQNYTNLDVHVGQSYSFLVTMDQNASSDYYVVASARQVNESLWRRVTGVAVLRYSNSKAPASGPLPDPPQDQNDRTFSMNQARSVRWNLSAGAARPNPQGSFRYSSINVTQAYLLRSTAPVSIDGRRRATLNGLSYAPPETPLRLADAYGVKGVYTLDFPERPLKGAPRIARSVINGTYRGFMELIFQNNDTRMQSYHMDGYAFFVVGMDYGEWTEDSRGTYNKGDGVARSTIQVYPGAWAAVLVSLDNVGVWNVRSENLDSWYLGQEVYVRVVNPEDTGNKTEMAIPDNALYCGQLHKYQKEQTPHHKMGVSAAAPRSLSLAAALLLAGSFVLAP >Sspon.01G0034950-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:6468192:6468865:1 gene:Sspon.01G0034950-1B transcript:Sspon.01G0034950-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADSADKSKRTAAHSTLPLALGTRTGHQHVVAGLLLLPSAFSRAFHIGGSRTWNQDRPPARRDHSSSKLKKTIIYYFYDMVKQTPGRKSRGGRSRAHAYFTASRSLLLLSRTTSNDAPPTAAATSAGSHRLPAGAHQLLHHEQNSPESIENSTKIETADAAARGKKPGRSMSSSTSC >Sspon.07G0030660-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:9952620:9956182:1 gene:Sspon.07G0030660-1C transcript:Sspon.07G0030660-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEWEINPMSHFNWSGISPGKMAMKNPRQVEENHKLCLKLEASYIEILKETFMLFVGVLGKLTDHEVCSNGTDNSPVGNVASWKDTLQTCIMNLKLDDICAAGEKICILVRLLVDYKPEIRTSIEVHLMHLHAWLGVILSSAEGILSELLEAHRTTSEMTLALGDLFIHLFTEGFGSTEDTAEDADELQQDAVGSGTGMGDGDGQKSVSSDIDDESQLGDSKDLTCKADPAPKNDDKAVEMQDDFNAQLSDVSEDLEGEDSGGEDEDNLDNQMGDTDDASEVVGKKSWDKDEDDDPKTSIEKYESGSSAKGTEQDDRELRAKDDGSIEEDPMEMDSEEGKNNNLEHDPSTCDETDLNTDEVMDKADAYDDRIGPEIPEPENDSVDVDMEEQEQTDERDADNEDIGTEEAEQADERLDASDDMEEGDMAQHSDGLIMKGHVEDANTEAGEMDTHQLDKTIHLCLHHKAYSLTICKESEANLANSSDMHGTVAPSVNFSGNEVPNMEISMPNAGDDSRLLSNSKPDMQNDAQQHIKQTNPFRSIGDALEDWKERAKVSADTQDQQPETGNDSNENTAEFRYVPEGEQSTSQALGAATADQINDDNQINQSFLEDESRVRKLEQSDERTPDNPEMPYLQTSQALPSKSENANEFDGREIQTDTSVQNLVERRIDHTFQDLVSFKRPPADDKIVLIDLTTDREFPSQMDLDITDAETKRSIVDWKNLELATMKLSQELAEQLRLVMEPTLASKLQGDYRTGKRINMKKVIPYIASHFRRDKIWLRRTKPNKRNYQVVIAVDDSRSMSEGKCGKFAIEALVTVCRAMSQLEVGQFAVASFGKKGNVRVLHDFDQIFNGEAGVNMISSLSFEQDNKIEDQPVSDLLTYLNAMLDTAVARARTPSGQNPLQQLILIISDGKFHEKFWLQLEYPLSSWVLKLVLY >Sspon.01G0022360-1P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1A:81975945:81976321:1 gene:Sspon.01G0022360-1P transcript:Sspon.01G0022360-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VLGDVVESIAGAIYIDAKHDKVIVWRSMKRLLEPLVTPETLENDPVKELQEFCDRKAYTMEYTVTRENGVSSVVAEVRTEGTTYKATRTGFSKLDAKKLAASSVLHDMKVADRTQYFANGISST >Sspon.01G0050680-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1C:6183419:6184501:1 gene:Sspon.01G0050680-1C transcript:Sspon.01G0050680-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNISLLCKWWWKAENGDGIWQDIIRKKYLKKGSITLLSKNPKNSPVWNDLLKVRQVYLKGRSMIVGNGKSTSFWHDRWCGLVSLADKFPGLYEISKEQQCSVEFMKLRNWRLSFRRWLHEDLQCQLRRLFDIVCRYDINSEKDRARWDWEKSGSFSVKSTYKHLCDHEFGPSFKKFWKAKMPLKIKVFLWLVSQNAILTKDNLVKRKWKGLLKDDLKEQMTQGAEVMKTTALFFHKQDQKAYSLEERQLVPYVGK >Sspon.06G0017850-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:96919801:96925823:-1 gene:Sspon.06G0017850-1A transcript:Sspon.06G0017850-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to Na+/H+ antiporter [Source: Projected from Oryza sativa (Os11g0648000)] MGLALGDPPADYASIASVGLFVALMCVCIIVGHLLEENRWMNESITALFIGLGTGAVILFASSGKHSRVLQFSEDLFFIYLLPPIIFNAGFQVKKKQFFRNFITITLFGAVGTLISFTVISLGALGLVSRLNIGALELGDYLALGAIFSATDSVCTLQVLSQDETPFLYSLVFGEGVVNDATSVVLFNAIQNFDLGDISGAKLLNFIGSFLYLFGTSTFLGVASGLLSAYIIKKLYFGRHSTDREVSIMMLMAYLSYMLAELLDLSGILTVFFCGIVMSHYTWHNVTESSRVTTKHAFATLSFIAETFLFLYVGMDALDIENPVKSIALSSTILALVLVSRAAFVFPLSFLSNLTKKTPNGKISFRQQVIVWWAGLMRGAVSIALAYNKFTRSGHTQQPSNAIMITSTISVVLFSTIVFGLLTKPLIRLLIPPRHLSREASALSEPSSPKSFFEELAANSPGLPDLENGISLRRPTSLHLLLASPARSVHYYWRKFDNGFMRPVFGGRGFVPFVPGSPTESSVPLLPGNEN >Sspon.05G0020030-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:82591049:82595888:1 gene:Sspon.05G0020030-1P transcript:Sspon.05G0020030-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTFEWARLAHSLLPAEHEHLKVPEENSSIINFEMARSAFKVLQGSLFSLWRLEENSVFPSILAALFVIEWECSMSLALVEENYLEGHIEDTEVGVSMCSSSKGYLDEKMHLKANLAESIHAFRQSLSPSFWNNLHSSTSNRLANILAQCVRYAVFQTRDLHVESTAMLCSEWVVDMLKLICLDHRNLQSFFDLLLSEGEYWPLWLMPSLQNGHASVKVQLDPDITDEIELKHERFVAFVDRLILKLGFSEVVLGIPGNIQSATSQSIDITSRISSLSRAWVAGEVLCTWKWKGGCALKTFLPSLVQYMKDESYLEISIVPLLLDALLGGALMHESGPWVLFNACHLSDNEIDKIQDRFLRALVALLFTINTNGCLWRESDALVFFEQLLSNLFIGSSVNRKGLKILPYVMTSIIKQFSALNRGSSYADLVGKSIQSWLDAAISCLSTSPRDIPVQDIEDWMQVVLSCFPLRITGGAQKLVVVVERDISDTERSLMLTLFQKYQIFYGSTASSLLTSETAVSTTVELLGVKLTAVVVGYCWRNLQENDWHFVFRMVFKCIESSVLLVEEMTDGINDATINQVSSEDALEKLKLVVGTTDKLTLSLAESALVTMCHLNHLCNIQEAENSRSVQLIRSGDYAESNDKMVESILRLFLASGVSEAIAKSCSEEASSVIGSSRHAYLHFWELVASFIKNAPLQIRKSALESMELWGLTKGSISGLYSILFSSQPIFHLQLAAFSLLLSEPFCQLSLVKNCSMGENCSSVQQSGLSQSAELMPDSEKKVHLRDELSDLIEFPTSELLKTDLTARDRVDVFIAWALLLSHLQILPASSSIRGDVLQYIQEKVSPCILDCIFQHIPVKAAAPSGKKKDTELAPEAEAAAKASKNAIATCSLLPYLESLWPIGTLQMASLAGSLYGMMIRLLPSFVRTWFTTLRDRSLSYSIESFTKQWCSPPLLLDEFSQVKDYVYGDENFSVSVNRSAFEIVATYKKEETGIDLVIRLPNCYPLRHVDVECTRSLGSVKKWLLSLTSFVRNQNGAIAEAIRTWKSNFDKEFEGVEECPICYSILHTSNHSLPRLACKTCRHKFHGACLYKWFSTSNKSTCPLCQTPF >Sspon.03G0028780-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:9075244:9078884:-1 gene:Sspon.03G0028780-3D transcript:Sspon.03G0028780-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPRQFLQLLLPILFATCCVDGANGPDAPATARRQLHQPFFVPDQPGQPSAPPPFFPAMPVTPPPPMPTGQDQPTYPALVLPNTGSSGATPPAGSSHGSKKASKLVPAILLPLLTVAVLGLSVAFFFSHRRSNAARGGGGGCVGGGDAKFLHPERTSLFARDEFGGSGGGVGGAAPAPATSAEFLYVGTLASRADERSSDTTSSGDEESRSSGGSPELRPLPPLARQCAPAPSRSPGGGSPSSGEEEFYSPRGSSTKTTSSSRRTLATAVQAALEARDRSRTPSPGSVLSTPSYPSSPGATLSPAPASPPAFSSPGESGRRSVKSRSESARVVVLPPVPPTPPPPPPFAPTLPPPPPPRRKPPSPSPPCSPLNDKSALRSSTDTIERNPFAQPPSLPTSTHPPRPPPAAGPPPPPPPPPPPPVGYWESRVRKPDTSKETRSPALSPPPQAANFRSVPASTDAFPSRLPESSDQGDKSEDTTPRPKLKPLHWDKVRASSDRVMVWDQLKSSSFQVNEEMIETLFICNPANAPAKEATRRPVLPTPKAENKVLDPKKAQNIAILLRALNVTKEEVCDALCEGNTENFGAELLETLLKMAPTKEEEIKLKEFKEETSPIKLNPAEKFLKAVLDVPFAFKRVDAMLYIANFDSEVNYLKKSFETLEAACDELRSSRLFLKLLEAVLKTGNRMNVGTNRGDAHAFKLDTLLKLVDVKGTDGHTTLLHFVVQEIIRTEGSRMSASTQTTPRTQANPLREELECKKLGLQVVAGLANELSNVKKAAAMDSDVLSSYVTKLAGGIDKITEVLRLNEELKSRDDAWQFHDRMQKFLKKADDEIIRESVALSLVKEITEYFHGDSAKEEAHPFRIFMVVRDFLTVLDQVCKEVGRINDRTIASSVRHFPVPVNPMMPQLFPRLHALRAGFSDDESSAASVSSP >Sspon.06G0004670-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:11714836:11717806:1 gene:Sspon.06G0004670-2B transcript:Sspon.06G0004670-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPQSGMTLCRLPTATLDLPLSLPCLLSRPRLALAARRARAVAARASSSSPSPDSSFGSRMEDSVKKTVADNPVVIYSKSWCSYCMEVKALFKRIGVQPHVIELDHLGAKHVGGCTDTVKLYRKGELASMLSDLDIDINNS >Sspon.08G0012370-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:53091138:53094755:-1 gene:Sspon.08G0012370-1A transcript:Sspon.08G0012370-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGISDRSNQSDIRADRKAFNRWGYYPLILIGSWAFATINRLYDFANPGHKIFWLSFLDVGFAGLMGLFNSIAYGLNSSVRRAISERIDMFLPERIKRSLPTLSRLRSQQENELTSLIVEGN >Sspon.02G0020770-1P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2B:67438790:67439750:1 gene:Sspon.02G0020770-1P transcript:Sspon.02G0020770-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVPRPRSKSKKPRVAAEGGAGVGGHEQQPECLAGGPDLISDLPDAILGTIISLLPTDDGARTRALSTRWRRLWRSSPLNLCDGDIRGSSGDITAIVSRVLSSHRGPVRRLSLGWPRYPIVYPDLDSWLRSPELGNLQELELWHGFTRPYPMPPAAFLLSSSLCALALSGGDGPFCHDGDYLKFPADDVDRLHFPNLKQLTIKCVIIAESPLHTLLNKCPVLESLVLSQNVGFGHLQISSPTLRSFGVSDNRLELWDPERLKEVIIEDAPLLQKFFIRVQHYSEREGLSVRIAGAPKLQFLGSLTHGISTLELGTTTLK >Sspon.01G0021560-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:78133797:78137512:1 gene:Sspon.01G0021560-4D transcript:Sspon.01G0021560-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRNGSVKRAASSGAAGPPSFSVNPADYRLMEEVGYGAHAVVYRAIFLPTKGVVAVKCLDLDQLNNNIDEIQREAQIMSLIDHPNVIRAYCSFVVEHSLWVIMPFMTEGSCLHLMKISYQEGFDEPVIGSILKETLKALDYLHRQGQIHRDVKAGNILIDGAGVVKLGDFGVSACLFDRGDRQRSRNTFVGTPCWMAPEVLQPGTGYNFKADIWSFGITALELAHGHAPFSKYPPMKVLLMTLQNAPPGLDYERDRRFSKSFKEMVAMCLVKDQTKRPTAEKLLKHSFFKNAKPPELTIKSILSGLPPLWDRVKALQLKDAAQLALKKMPSSEQEALSL >Sspon.03G0032140-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:43401668:43403133:-1 gene:Sspon.03G0032140-2C transcript:Sspon.03G0032140-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPEEALRYAKKEIRNAEPVLDDPDLYAPLFKNVSQFKRSYELMERILKVYIYQDGRRPIFHTPPLSGIYASEGWFMKLLKESRRHVVADATKAHLFYLPYSSQQLRLTLYQADSHNLRPLATYLRNFVRGLASKYPFWNRTRGADHFLVACHDWIQKSIELKIEWIVMILAWFLQGPYTTTAHRDLRKNAIKALCNADSSEGIFTPGKDVSLPETTIRTPRWPLRYVGGLPVSRRSILAFFAGNVHGRVRPVLLKHWGDGQDDDMRVYSLLPDRVSRRMNYIQHMKNSRFCLCPMGYEVNSPRIVEAFYYECVPVIIADNFVLPFSEVLDWTAFSVVVAEKDIPDLKKILQGISLRRYAAMHDCVKRLQRHFLWHARPIKYDLFHMILHSIWLSRVNQAEFEG >Sspon.01G0003040-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:5269681:5272912:-1 gene:Sspon.01G0003040-2C transcript:Sspon.01G0003040-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:4-coumarate--CoA ligase-like 7 [Source:Projected from Arabidopsis thaliana (AT4G05160) UniProtKB/Swiss-Prot;Acc:Q9M0X9] MAMASKEAPAAGYGEDGVYRSMRPAVSIPSDPTLSLNDLIFRHADACPSALALVDADTGSALTFAALRSAALTAAAALSSRAGVRPGDVVLLLAPNCVLYPVCFLAVTALGAVATTANPLYTTREIAKQAADARAGLIVTVSDLLPKVAELRLPVILLDGNGDAAASVPPSGANVTLYSDLVDGVQETEYRRPPTKRSDTAALLYSSGTTGESKGVVMTHGNFIAAHAMLASDQDARGEGQNVLLCFLPMFHIFGLSFVTLGQLQRGNAVVVMPRFDMDSAMAAVERHRVTYLCCVPPVMIALAKHGSAGRYDLRSLKFIISGAAPLGKDVMEAVAKDFPGAKIIQGYGMTEFCGIISLENPEMGKAHKSFGSTGTLVTQVEAKIVDVETLKHLPPNQLGEICVRGPSIMQGYLNNAEATKSTIKQGWLHTGDLGYFDEEGHLFVVDRLKELIKYKGFQIAPAELEGLLLSHPEILDAAVIPFPDAEAGEIPVAYIVRSLTSSLSEADVKNFIGKQVAHYKRVRRVTFVDSVPKSPSGKILRRELIAQ >Sspon.01G0017440-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:60270690:60274149:-1 gene:Sspon.01G0017440-2D transcript:Sspon.01G0017440-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSQEAIFRIVAAILHLGNIEFSPGKEFDSSVIKDEKCKFHLQMAADLLMVDASLLLSTLCYRTIKTPEGNIIKAVDSSAAVIGRDTLAKTVYARLFDWLVDNINKSIGQDMESRSQIGVLDIYGFECFKYNSFEQLCINFANEKLQQHFNKHVFKMEQEEYKTEEINWSYIEFVDNQDILDLIEKKPIGIVSLLDEAW >Sspon.02G0025690-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:89286377:89288042:1 gene:Sspon.02G0025690-1P transcript:Sspon.02G0025690-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ISMGGGGGSVRRAAGALLWVLVLAAAGGGSVCQAQLRRGYYAGVCPNVESIVRGVVAKKIQQTPATIGATVRLFFHDCFLQGCDASVMVASTANNTAEKDHPINLSLAGDGFDTVIRAKAAVDAAPGCRGKVSCADILAMATRDAIVQAGGPSYAVELGRLDGLRSTASSVNGRLPAPFFNLDQLNQMFAANGLSQADMVALTAGHTVGLAHCSTFAARLRGADATLDAGYAAQLAAWCPAGVDPRVAVAMDPVTPVTFDNQFFRNLQGGKGLLASDQVPHTDPRSRPTVDALARSRVAFERAFVDAVTKMGRVGVKTTTAQGNVRHD >Sspon.01G0017220-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:59226561:59228610:-1 gene:Sspon.01G0017220-1T transcript:Sspon.01G0017220-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVFSAFWTFGTIFEASLAWVVLSRLSWRWLLAFSALPCFVLLLFFVIAPESPRYLCVQNRISDATLVLERMSKTNRVALPPGALTYHKETQLVDHNGDALTSQNGHLPVRESDCTTMDNNAMTMSSKSGSGGIAALRKLFSRKLLRSTLLIWFVWFANSFAYYGLVLLTSQLSDANRRCTSAQKSQAHQKASNLYKDVFITSLAEFPGLVISAIIVDWFGRKATMWILLFGCCGFLGPLAVHQKESLTTALLFGARACGMGSSTVLCLYAPEVYPTSARSTGVGIATAIGKIGGIVCPLIAVGLLRSCHQMEAVLVFELVLGLAGVACILFPVETKGREMK >Sspon.03G0014260-1P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3A:44788986:44789171:-1 gene:Sspon.03G0014260-1P transcript:Sspon.03G0014260-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLSPPLHTEAAAALWRPRQRWHGSLERTTTSYYPVKKHAGRLQASYRALRHCMTMGTRG >Sspon.04G0016210-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:66325605:66326658:1 gene:Sspon.04G0016210-2C transcript:Sspon.04G0016210-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGFAGDDPFGFGYDFGDDGDNDPFCYDPFEDYGGDGDEGEGLIGGPFALDYGDGGEYCISGFAFRDGDDDGGAQFYPHLVSALELVEDTSGEEEEEGAMISGNARGGGGSEFERGAVVEEVADDDVDGIGLMLGGLTLDPRPVVGGFQGLVDAVEEATSDDDMGEVGHVGGLMLSGFDLVGPRVVTRPFRMVVGGEDTDSDDADWNWNLVDALAGRVGEAARRLPASRAVVDGLPEVALSDEEASHGCAVCKDGVAAGQSVLRLPCKHYFHGECIRPWLAIRNTCPVCRFELPTGDAGHDWRQSRTGVVSLAQQSAPAQ >Sspon.05G0019040-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:81280290:81284820:-1 gene:Sspon.05G0019040-1A transcript:Sspon.05G0019040-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCEASMEHLLERMLLDPSAEPTNLPLSLLKAITNDFSDHRKIGSGGSADVYKGELQNGTVIAVKKLFHNLDMDDEKFIKEVGCLMKAKHKNVVRFLGYCSDTQGKILNYEGKMILAEERQRLLCFEFLPNGSLDKYITDISEGLEWRTRYKIVKGICEGLHYLHQDIHMVHSDLKPANILLDENMVPKIADFGLARCFDGKQSKTITSKVMGSLGYLAPESYDGVITFKSDIYSLGIITMEILTGRKGYCDIQNVLQSWSIRFKKSTQEDIWLQYVRVCLEIGRQCIDRNPAERPHTLYIIERLDQMERTCGFIETDIRASSATQNICLN >Sspon.02G0046420-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:3376337:3376609:1 gene:Sspon.02G0046420-1C transcript:Sspon.02G0046420-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSLEIDYHQIVRCIQIGLDCVKLDRLKRPTISQVIKKLHETESAVQSQPTLILGQCVDDNQLSMALAGGYNQ >Sspon.03G0000020-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:3989488:4016760:1 gene:Sspon.03G0000020-2B transcript:Sspon.03G0000020-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIMQGFAPLVVGAIVVGVFASLLLSGVHSYGVCYGTVADDLPPPSEVVQLYKSNGISNMRVYFPDSKVMEALRGSGIGLVLGVANEDIANLATCGPCAASWVQTNVRPYHPDVNVLYIAVGNEVDAAAAAQSILPAMRNLQAALAAAALDGDIKVSTCVRMDVVTNTFPPSSGVFAQPYMADVARFLAAAGAPLLANVYPYFAYRGSNPGDVSLSYALFQPGTTVRDGGSGLVYTNLFDAMLDSVHAALEKAGAPTVRVVVSESGWPSAGGAAATVQNAQTYVQNLIDHAGQGTPKRPGPLETYVFAMFNEDQKPGELTERNFGLFYPSKDPLLCSLQPVHSIGVCYGMLGNNLPSSSDVVQLYRSKGIKGMRIYSPNANALNALRSSGIEVMLDTSNDELSQLAGSASYAASWVQSNVKPYYPAVNIKYIAVGNEVQGGATQSILPAMRNLDGALSRAGLSAIKCSTSVRFDVIANSYPPSSGSFAQGYMADVARYLAGTGAPLLANVYPYFAYRDNPRDISLGYATFQPGTTVRDNGNGLTYTNLFDAMVDAVVAALEKAGAGGVRIVVSESGWPSAGGFGASVDNARNYNQGLIDHVGRGTPKRPGALETFIFAMFNENQKSGDPTEKNFGLFYGNKQPVVADRRKKRDTYTGAASVGVCYGTSGDNLPPASAVVGMLRENGFTVVRLYWPDGEALAALGGSGIKVVVGAPNEVLTSLASSASAAAAWVRDNIQAHPTVAFRYVVVGNEVPVGQTQFLVPAMENVHAGLAAAGLGHVKVTTAISQGTIAVHLPPSAGEFTEEARSFMGYVVSFLARTRAPLLANLYPYFVYTLGLGHLGMDFALFTAPETVVQDGEYGYQNLFDATVDALYAAVGKLGVAGGERVRVVVSETGWPTAGGAAASVENARTYNQNLVTHVWKGTPRRPRRVEAYVFAMFNEDQKEAGVEQNWGLFYPNMERLLCSLQPVHSIGVCYGMLGNNLPSSSDVVQLYRSKGIKGMRIYSPNANALNALRSSGIDVMLDTSNDELSQLAGSASYAASWVQSNVKPYYPAVNIKYIAVGNEVQGGATQSILPAMRNLDGALSRAGLSGIKCSTSVRFDVIANSYPPSSGSFAQGYMADVARYLAGTGAPLLANVYPYFAYRDNPRDISLGYATFQPGTTVRDNGNGLTYTNLFDAMVDAVVAALEKAGAGGVRIVVSESGWPSAGGFGASVDNARNYNQGLIDHVGRGTPKRPGALETFIFAMFNENQKSGDPTEKNFGLFYGNKQPVGDNLPPASAVVGMLRENGFTVVRLYWPDGEALAALGGSGIKVVVGAPNEVLTSLASSASAAAAWVRDNIQAHPTVAFRYVVVGNEVPVGQTQFLVPAMENVHAALAAAGLGHVKVTTAISQGTIAVHLPPSAGEFTEEARSFMGYVVSFLARTRAPLLANLYPYFVYTLGLGHLGMDFALFTAPETVVQDGEYGYQNLFDATVDALYAAVGKLGVAGGERVRVVVSETGWPTAGGAAASVENARTYNQNLVTHVWKGTPRRPRRVEAYVFAMFNEDQKEAGVEQNWGLFYPNMERVYPIIFGA >Sspon.01G0002070-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1C:5898389:5900882:-1 gene:Sspon.01G0002070-2C transcript:Sspon.01G0002070-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At4g35130, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G35130) UniProtKB/Swiss-Prot;Acc:O49619] MATPLLLPSRAAHAASATTCASQHLTAATSKEPPPRTRPKHGEGGAPRPVPSSGSRPKSLVLSHVAAGRMDEAADAFAAVSRPDTFLHNVMIRGFADAGLPLDALAAYRAMLDAGARPDRFTFPVVVKCCARAGELGEGRAAHAAVIKLGLGADVYTANSLVALYAKLGLVGDAELVFDGMPARDIVSWNTMVDGYVSNGMGALALACFREMNDALLVGHDSVGVIAALAACCLESALAQGREIHGYAIRHGLEQDVKVGTSLVDMYCKCGNVFFAENVFAKMPLRTVVTWNCMIGGYALNERPVDAFDCFMQMRAERFQVEVVTAINLLTACPQTESSLYGRSVHAYVVRRHFLPHVVLETALLEMYGKVGKVESSEKIFGQITDKTLVSWNNMITAYMYVEMYQEAIALFLELLNQPLYPDYFTMTTVVPAFVLLGSLRQCRQMHSYIVKLGYGDSTLIMNAVMHMYARCGDTVASREIFDRMPGKDVISWNTIIIGYAIHGQGKTALEMFNEMKCNGLEPNESTFVSVLTACSVSGLEAEGWKEFNSMQKEYGMIPQIEHYGCMTDLLGRAGDLREVLRFIENMPIAPTSRIWGSLLTASRNKNDIDIAEYAAERIFQLEHNNTGCYVVLSSMYADAGRWEDVERIRSLMKEKGLRRTEARSLVELNDKECSFVNGDMSHPQSEKIHELSDILSRNIGEDLDSPSNLRDSDPFASGKTVLPNKHSVRLAVAFGLISSEAGAPVLVKKNMALVAVVTTGEQVKIDDGMPELNEILLEQDTWMQSVLIAPGLSQN >Sspon.05G0025610-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:21657987:21667281:-1 gene:Sspon.05G0025610-2C transcript:Sspon.05G0025610-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCLLYSFDQVAESENIKGSCPTWKSDNNGRHQEYELRRKLGNEDQWQPLALDPSWGDQWVACHGKSKLLAAWLPCSMRRIENPVHRQVTFCKRRMGLLKKAKELSVLCDADIGVIVISPHGKIYDLATNGSIIKQEVLALTHEIDLLQKGFRYMHAENGENDINHMNLDELQTLENKLEMWVNNIRSQKMQIISREIEMLRNKVGSVSVVLFLQQTQEAMLQAVNGVLQERQHSKHLLCLTSSNTPAPLLLTSSKNSKSPAPLYLTTSNTASRSVPPIHPCKHHNRGKMNTTVPSTTTVAAAAESLHSRVTSTRADASPKQSATPTAPPQLQPPGISRCMLKTESES >Sspon.03G0044090-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:87046894:87050137:1 gene:Sspon.03G0044090-1C transcript:Sspon.03G0044090-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGCLEVTSSGMADWASIQTDILGIIIKKLPIPDYIRFRAVCTSWNNVCKDLSYRPRVDPWLMLPPDQNPLGAQFFCIPERKNQSIRLPNTASLFESVWAPVGSSNGWIIYFSQTYGTMQLVNPISGKHIQLPPIGRRTFSKAKLLEMNERNFIVAVLYADEKGYKVTRQGSSNWSSVESKFILDDIIKHRGRLYTCDMYGTVEMWAEPPHAWPDEEVTHRWRFRCLTETPAGDLIRVKRLCQNKFAVWILDKGTFSWVGTENIGDLALFVSHYNSFCFPANDHPNLKANCIYFIDMYNNLCAFNLEHGTKELVQTLTIGQGQGRNDYYRRPQRDQVLWFIPSLK >Sspon.07G0035010-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7C:84750763:84754766:-1 gene:Sspon.07G0035010-1C transcript:Sspon.07G0035010-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNRRLTLVVPPFLISRDELEFSGNCSNHVKLRLADQQPSPGSKSSAKFMGRINHECEELELTDWLNIDKFPPLTPGQGIPSVSFGLPKHSQPTGSARHDQLHNQRPQPVAAFGDSSILIGDLPIEFVWSSKGQEIEILQDEKVAIVLSLKKSQSQKRKNVNAPRMENGEKLGSWAMQTQKEHAPGSAHTGTSIADLFQHSYIPPTWAKTKGDNRGGFQGGARDGRDNRAGFFGQDRDGRRYQGNDRDACEGRGDLMEQMTKATMVTEAIMAGIRGSSRAGSELMIDLRQERSDKGQRKICVIGLKNAGGVVLNSTTINKQETPGSSLMHRCVATIVTKMGISDLYARTSLSVTVAGILGISRPNVRWLSLRGLHCVRYRVCARQEFSARNEPCFSFGPQNVPIILVTDSPSRPNEIQFWCASWCICGGVTDPDAVLLLWRSDEQPTPPQWVRKEVHLPREVCWTPDKRMFQGDTSFAGPGGCLCWVDLLHGIVVCTNPHQDSPVLRFIPLPDGCPAFGWSDYPYRPRMEESRSAACVGGRIKVVSMWQEDGVFPLEDLWATEEYRALGLPPRTPLCPVLSAARDEDGVVYAVVNDIEERDVVQQGIRPVVLGTELKFRRQFVLGIDVRRNRIVSTSSGVPPESLVQMTPRLLPFDLCAPLHAGAKDRQGVMLSSYYT >Sspon.05G0003310-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:10377220:10392635:-1 gene:Sspon.05G0003310-2D transcript:Sspon.05G0003310-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MESIIARALEYTLKYWLKSFSRDQFKLQGRTAQLSNLDINGDALHASLGLPPALAVDTARVGKLQITNYGIYGRKGQLPSVSNVQVEPIEVNIDKLDLVLVEKDDSENLSSPSSTASSPSSATKSSGYGYADKIADGMTVQVGIVNLLLETHGGARRQGDATWSPPLAAITFRDLVLYTTNEKWQVVNLKEARDFSNNKGFIYVFKKLEWQSLSVDLLPHPDMFTDARFNSSSSQDNKRDDDGAKRMFFGGERFLEGISGEANLAEAAGSSLVSIIIDHIFLCIKDTEFQLELLMQSLFFSRASVSDGECSKNLSFIKVGGLFLRDTFSRPPCTLIQPSMQAVSQEPPPVPDFGQNFCPPIYPFGYQLLEFAAGVPLFSLYCLQTTPSPSPPKFASKTVITCQPLTVTLQEQSCLRIASFLADGVVPNRGAMLPESSIHSLTFSLKEFDLSVPLDAEEITRCSGTKNTSPQSSFSGARLHVEDLYFCQSPSAKCPLLNLDRDPACFLLWEYQPVDASQMKWATRAAHLSLSLETSSTSNGQRAVRDSNLWKCIELDDIRFEAAMVTADGSPLLDVPPPEGVFGGADLFLKVSHRTLGGAFAVTTNLMWRTVSVNCLGESAMICENGTAVTGEHSNLVHENGHPKMRSVFWVDHRSKHQAKEAQFLDINITHVMPYDIQDMECHSLNVSAKVSGVRLGGGISYTESLLHRFGILGPDGGPGEGLLRGLKDLSSGPLAKLFKSSHLTEKEDERSKVDDHNSKFDLGVPDDLDVSIELRNWLFALEGTEEVGDCFSPRGGDRISREEKCWHSTFRNIHVSGKSSDRLKLGGGGKVSPKKAFPVERFTAGIEGLQAIKPRLRDQVTRKGSSNNHQMASEFNSPSSVGDQGVDVEATMVIGEDEIEGAKWTMDNVKFSVKEPQVGEHEEEEEDGMRAEAAFEGVHSHSTFRYKHKKRSKVWEEYKPVFLNGKVQFAECLYCRSRMSCKDSNGTSHLWRHQKICPGKEDAAFRRLKDSYFPCVLVNQSEPVTPSDPVNQIISETLDDINSVIPNRFKSKVWREFSPIYVEGKLQAADCVHCRKRLSANKFGGRSHLSRHLLTCQARRGYSNQKGTLYPCSAPNLKSIGQDELSPALANGKVQIAEHSSKLFRSSSSADTSPIVRPIQVVPAHQPLPSQDFPSLKKQRTSFTTTTSEMRKVDQGTAYQELARMIVSHGYPLSIVEHEEMRRFVKNLNPMVHADAVSHNDMEGHCCALFQKEKAKLKDQLTLSSLRVSLSASIWTPDGAEPTVNYLCLTAHFIDNNWKVHRMIIKFGMFRSSPTDVERMIHHREACVPESECGAYNVIWDAIRDWNLDQKLLSLTSVGEVRNDVSSSKLKETLVEKKILPIRGKLYNVACVDDVLNTIVSKVQQNIIHLVGDMVTQFVVAHTSSSLNQQQLMEVISQMSVKCPQEDAKWWHKLYFRLEHLNEQASRQKSTELDNYLEDGLVPRKDDFDILNWWMCHATKYPTLAAIVQDILAMPASAVQSEAAFTSSGPRKSMLQRFCRYSASSWPSVPTATSQRTLSGCQCRLPQNARRARILDLTFTIISTLPPASAASRAMSPPAADAATPWNVRGDVVLGGWYSGAAVRAWSFTTSAPRYSTE >Sspon.05G0023420-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:15980811:15983151:1 gene:Sspon.05G0023420-3D transcript:Sspon.05G0023420-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ascorbate peroxidase 4 [Source:Projected from Arabidopsis thaliana (AT4G09010) TAIR;Acc:AT4G09010] MAGASFLSTAQLVVRGVAACSSISTPTRRHPHIGVCCRADGEGSEAAAHEERLQFRRRHFIGTCVGTAIGLEMIDGSTRFSGVATAADLIERRQREPNNLLKAKPELVPSLLTMALNDAITYDKATKTGETSRPENSGLSAALDLLTEAKKEIDSFSKGGPISFADLIQFAAQSALKRSFLDAAIAKCGGNEEKGRTLYSAYGSNGQWGLFDRTFGRADAQDADPEGRVPEWSKASVQEMKDRFVAVGLGPRQLAVMSAFLGPDQAATEERLIADPDCRPWVEKYQRSRETVSRTDYEVDLITTLTKLSSLGQNINYEAYTYPKQKIDLGKLKL >Sspon.08G0018820-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:69761060:69761854:-1 gene:Sspon.08G0018820-2C transcript:Sspon.08G0018820-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFACGVAAAAADSPGAAAARPSRYESQKRRDWHTFGQYLRNHRPPLELPRCSGAHVLEFLRYLDQFGKTKVHASGCPFFGLPSPPAPCPCPLKQAWGSLDALVGRLRAAFEEHGGRPEANPFGARAVRLYLREVRDSQAKARGIAYEKKRRKRHPPAHRQPKQQQQQQDGQNQHQHQHPLHAAPGPVAERHHVDVTEPPAPHFLIPHAYFLHGHFLPPVTQPIDPAVGGGGGGGATGEDIVLEMAAAAEAHAAGFFMPLSVFQ >Sspon.02G0021560-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2B:70957486:70963593:-1 gene:Sspon.02G0021560-2B transcript:Sspon.02G0021560-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RLVYGAKAEAAIAIGFDDFIADALRGTGFYQKANMEIKKADGNGALIAEQVFEKTKEKFRMHTDDLQFTITRRHDSFPSPAAPRSTPSLRLPHSASPCSGQAAIHGSAQGYVTAAAGQDRDYRFIAKAVGEAYRAVECGGGNPFGAVIARGDEEVVSCHNSVRRDTDPSAHAEACKKLGRINLSDCEIYASCQPCPMCLGLIRLSKIKKVLFSSSIPDAFVEYYHKSGMEIRQAEGEAARIAEERGGVVAWWWHIGFGAATALAMAAVGEQPGACDGLLSSLVRACAREERVSVQAGARSSRTMIIPNKEPVGHGHTDHHHFSVTAPPSSPAAVPATPPSPLSIPCSASPSQLLLPPSMHSEFRMIHVHNIVVQVFRPSGCKHQLPDASSHTAASQEERDYKFIARAVDEAYRAVECDGRYPFGTVIVRGDEEVVSSHNLVRKDTDPSAHAEVAAIRQACNKLGKINLSDCEIYTSCEPCPMCLGLIRLSKIKKVVYGAKSKVAAAAGLNGTLPDVFTEYYQKSGIEMRQAEGYATRQIAEE >Sspon.05G0021330-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:89797594:89799357:1 gene:Sspon.05G0021330-1A transcript:Sspon.05G0021330-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCCICSPMAAVYRLPRNAICAPCHEGAKAIIGFLNKDDEQQQEEGGHGSVLKSRGSVKTNSPTKGMRDAWEQVKEMRGREAEAHQRAAFLAQGLAMAWKGGVHTDIVFKPGTGPPIPAHKAILAARSEVFRHMLAADERCKAPAGDAISLPELTHDELALFLAFLYTGALEDGGGDGHPVPEERQLHALLVAADKYDVPFLRRACEARLAAAMDASNVLRTLEVAELSSSAALRERAMDTVVQHAEQVVFSPEFDDFAVRNARLCVEITRALLAKMKTVDR >Sspon.02G0004300-3C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:41313581:41316171:-1 gene:Sspon.02G0004300-3C transcript:Sspon.02G0004300-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding KILCTVTNGATCSVDGQAAEKMQESLGMHCLQHSRLYVDIDIGAARVARTREVEFHPTSPAWNQSFRLHCAYPAAAVTFTVKNQHLIGAGVLGAGSVSAARVASGQPLECWLDLRGGEHAHETHTPSLRVRLHFFDVERDPFWSAGVRLPEFVGVKPAFFPERTNCSVTLYQNAHLSDAFDPGVRLDGGLAYRPARLWEDLYAAIRDARRFVYVAGWSVNTEITLVRDAGRRAVVPGAEGVTLGELLKRKADEGVAVLVMPWQDNTSVSFLGNAGLMKTHDEETRRFFEGTNVRCFLCPRNADASLTMVQHVETSVEFTHHQKTVTLDAATPGTDERHVVSFIGGIDLCDGRYDDEKHTLFRDLDTTYLHDFMQNNYKHASLQRGGPREPWHDVHCRLEGPAAWDVLANFEQRWRKQAPENMRGCLLDLSPATFPDPVSFDGNDPWNVQVFRSIDDASVVGFPSDPVEAAAMGLTSGKDVTVDRSIQIGYVEAVRRARRFIYIENQYFLGGCASWAEDRDAGCLNLVPVEIALKVAAKIRRGERFAVYVVTPMWPEGAPAGEAVQAILLWNRRTVEMMYGVVAKAIEDAGLRGQAHPCDYLNFFCLGNREAPLPGEYSPPETPEEDTDYWRAQVNRRGPIYVHAKLMIVDDEYVMVGSANLNERSLAGNRDSEIAQGSYQPAHLNGPCGRARGQVHGFRMSLWNEHFIMGRHANRVEDLPGHLLPFPITVSEFGEVADLPADGCFPDTRAPVRGRKAVKLPDILTT >Sspon.08G0028710-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8D:15187611:15188790:1 gene:Sspon.08G0028710-1D transcript:Sspon.08G0028710-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRWPSDLIRLSLPRASLLAAPFPPSVTVAIRPPHSASPPPVPRSATPPPHCAPSRAASFVLPPPRRIPHRPSLPRPPPPCFLLAEPGLLAAAASTESTYVASVASGCFKSRSGVASLSSLFCCLTFASMSPPPPPSANWTSFPPPLLDAGVATCCSHQLQLLATCTRVRSGGGASGDSPRAVGWRRPRVGGRGMQVRRGRRSASTGIRTPASVRTSVR >Sspon.06G0003470-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6B:8614169:8616298:-1 gene:Sspon.06G0003470-2B transcript:Sspon.06G0003470-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding CGAQQGGDLGSSSQALDGILGFGEANTSMLSQLATAGKVKKIFAHCLDTVKGGGIFAIGDVVQPKVKTTPLVADKPHYNVNLKTIDVGGTTLELPTDIFKPDDKKGTIIDSGTTLTYLPELVFKKVFNKHQDITFHDVQDFLCFQYPGSVDDGFPTITFHFEDDLALHVYPHEYFFPNGVT >Sspon.04G0001340-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:4915423:4916902:1 gene:Sspon.04G0001340-1A transcript:Sspon.04G0001340-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSVALRGGGGARKQNHPRAARKTTLISRGRRHDAEPSGYHLPLCDCGCGALSCSLDLCSGSLSLRARCRRCGARRAVARVAGAVAGEIQAWVDREAIARLVAALRGGRLDAARALLAELEARLLSLSAGGWFDPRLQGALLRHGVFPAVEAGLGDPAVGDGCAAAVLALVRFNKDVFVGPVLMGPAVGALVASASASAAPLRALNGLVDAIRSPLVDELHARGELPRLVALLCAPDPRARIPALGFALRVGYYGRKEVVDALLAEGLVKRLLCLQRSDLGGSLADTDEDEDGCPKAKPDDAKAGGSLLLLACLPTVWRRREGNGDADMDREERPFVSAVSRFAVQVEVGVVLSPREKRETKLEILRRVREAAVSPAEEATVLAEVLWGATP >Sspon.02G0024140-3C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:87595982:87614015:-1 gene:Sspon.02G0024140-3C transcript:Sspon.02G0024140-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MWPYHATGTDSRPPVAILTGAPPAGPPLGVFPATPPTTPWVPPSGPSGAPPGVAGWDQAALARSFGTMGLTPPVGPEWIADSGATYHTTPDPGILSSVHSSSSSLPSSIMVANGSCIPVSSVGTAGAHGSFRLPDVLVAPSMVHNLLSIRRFTADNSCSVEFDSSGLTVKDLASRRPLLRCDSTGPLYTLRFPAAPSSSSPSVLSAAFVASASSTTWHRRLGHPGRDALMQLSRSSGLPCTRAHDEQLCHACQLGRHVRLPFSSSTSHAARIFDLVHCDLWTSPILSISGYKYYLVVVDDFSHYSWTFPLRAKSDTFTTLLHFFSWVSTQFGLTIKAVQCDNGREFDNSTSRDFFLSRGVQLRMSCPYTSSQNGKAERMIRTTNDTVRTLLFQASLPARFWAEGLHTATYLLNRLPSAACPAPTHHALFGNSPRYDHLRVFGCACYPNTAATSSHKLDPRSTLCVFLGYSPDHKGYRCFDLTSRRVLISRHVVFDESVFPFSSTTTPPVPDPPSLFPTDPVVQPPVSWSPAGTSSPRDGLLPGPGFPAGPGPSASDAAPSSPVGADPGPSSPASAPGGSCRSPTPDPVPAPAPAPTSRFAAPVRVYQRRPRPPPLAPPSPPGTPTPPPQSPPARGAPPVYHPPLLHRHPWHIHPMVTRHAAGTLPPRVLEASTGDAVVSPVPSSVRDALLDPHWRRAMEEEYAALLANQTWELVPRPPGANVVTGKWIWTHKRRADGSLKRYKARWVLRGFTQRPGVDYDETFSSVVKPATVRTVLSLALARAWPVHQLDVKNAFLHGLLTETVYCSQPAGFVDTTRPDMVCRLNRSLYGLKQAPRAWNHRFAAFLLTLGFMEAKSDTSLFVYHHGADTAYLLLYVDDIVLTASSEPLLRRLITALQQEFAMKDLGELHHFLGVTVEHRPTGLLLHQRQYTRDILERAGMTDCNPCSTPVDTQGKLSEAEGPPVADPTAYRSLAGALQYLTFTRPDITYAVQQVCLHMHDPREPHLTALKRLLRYLRGTLDYGLLLHRNSSTDLTVYTDADWAGCPDTRRSTSGYAVFLGGNLVSWSSKRQPVVSRSSAEAEYRAVANGVAEAAWLRQLLAELHTPPSRSTLIYCDNVSAVYLSTNPIQHQRTKHVEIDLHFVRDRVAMGEVRVYWPKREDVGRCLKVECIPILNGAEFPPIFAVSLPVSPGTGCPKVINLAVSGELVEGNILSGVPEIAWCGGTPGKGVASWLRRRWNGNAVVIDGAEGMEYQLTVNDINSSLVFMYTPVTDEGVKGEPQCTMTDFVKAATPSVSNVHVLGDIVEDNIIIGKGKYFGGREGLSKIRWFREKENGEFLLVLSDSMQYTLTKEDVGRHLKFVYTPVNLEGQEGESACAITDVVKKAPPKVFNLKIVGEAKEGSKISASATVKGGTEGSSRVQWYKASSSEFKNEHELEALTASKVSKTFRIPLGAVGYYIVAKFTPVAPDGEVGEPAYATSDGLVETLPPSLNFLTVTGEFSEGQILTASYGYIGGHEGNSLYSWHLHETEDDEGTPLSEATGLLQYRVTKEAVGKFVSFKCTPVRDDGIVGEARSFIGKDRVTPGMPTLVSLEVTGEAIEGTTMFASKRYWGGEEGDTMFLWILANSDGTEKEIEGATSSSYTLKCDDIGFYISVLCKPVRNDGVHGSLVSTEAIGPIIPGEPKGVNLILPQCLEDNEISPIKTYFGGKEGTGKYTWFRNKEKLDNLEFDLVAASSEVVGETLKYKPSLDDVGFYLILYWVPTRCDGKIGDPLMAISDDPVVAELLKIETLIFKGSQVERETLTAAEQIPGSEIQQHIWNNYKKEMKYQWFISNGSGEDQSFEPLATKCSRSYKVRFEDIGRCLRCECFVIDVFGRSSELVSAVTAPILPGRPKIEKLEIEGKGFHTNLYAVQGTYSGGKEGKSKIQWLRAMVGSPDLISIPGEIGRTYEANVDDVGYRLVAIYTPAQVMGHLEQRVLEVNRKRIKVVKPGSKTSFPSTEVRGTYAPPFHVELYRNDQHRFKIVVDSDNEVDLMVQTRHMRDLIILTIRGLAQKFNSTSLNTLLKIEA >Sspon.03G0036190-3D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3D:52359448:52360490:-1 gene:Sspon.03G0036190-3D transcript:Sspon.03G0036190-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor MYB46 [Source:Projected from Arabidopsis thaliana (AT5G12870) UniProtKB/Swiss-Prot;Acc:Q9LXV2] MGRVHPCCSEEKKVRKGLWSPEEDERLASHIARFGVSCWSSIPELAGLQRCGKSCRLRWMNYLRPDLKRGRFSQQEEDLIIVLHKALGNSWSQIAARLPGRSDNEIKNFWNARLRKKLRQKEASTSSSTAGSKEAAARRSRNSAEDDHRTKPAPSVFTPFVPDHVAATAGASGASSCDDSSAAGGFVADPAAATCAATAVRLADAADRNAAAAESGVTPTPSLTTSTSVCTDDAWGSCDDGFLRAMVDDPSFLFGDFYIDGDD >Sspon.02G0035860-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:22039367:22041950:-1 gene:Sspon.02G0035860-1B transcript:Sspon.02G0035860-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein SET DOMAIN GROUP 40 [Source:Projected from Arabidopsis thaliana (AT5G17240) UniProtKB/Swiss-Prot;Acc:Q6NQJ8] MEALLKWAAELGVSDSPSPSPPSSSSPSSCLGRSLVVADFPDAGGRGLAAARDLRRGELVLRAPRAALLTSDRVTADDPRIAACVSTYRPRLSSVQILIVCLLAEVGKGRNSVWYPYLSQLPSYYTILATFNDFEVEALQVDDAIWVAQKARSAIKSDWEDATPLMKELEFEPKLLMFKSWLWAFATVSSRTLHIAWDEAGCLCPVGDLFNYAAPDDDTLLEEEDTAELTNCQQKNEMTNSSERLTDGGYEDSNAYCLYARKNYKKGEQVLLGYGTYTNLELLEHYGFLLGKNPNEKTFIELDLDICSVGTWPKDSMYIHPNGHPSYALLCALRLWSTPTNRRKAVSHQIYSGSMLSTENEMGIMKWLISKCEGTLQQLPTTVEFDESLLVLLRTIQNSSNCRTDVKRLGFEQEFAVFLRFHRVELDCSGNNQLPVRLLRSLERWELAVQWRCNCKKTLKKCISYCESLVHELPLQLNQQ >Sspon.01G0009610-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:27272464:27279408:-1 gene:Sspon.01G0009610-1A transcript:Sspon.01G0009610-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASARHTLLLPLLLAAATTMAALAVCGGATASELMMPTIHHARVAAEWAWSAAGAASSSDDSCWGSPEECPVVYDVDAEGGAASPRGRMRLQLYDDVNTVASLLPTAQYLSYSALMPDAVPCSVPGMSYYNCQPGADANPYTRGCSAITQEIGRKPTVRGYLGSLVGTKIKERVERSSSSYSQHRPRRPPPDLPSLLLHGRIVYIGMPLVPAVTELVVAQLMYLEWMNSKEPVYIYINSTGTARDDGEPVGMESEGFAIYDAMMRMKAEIHTLCIGAAAGHACLVLAAGKKGKRYMFPHAKAMIQQPRIPSYGMMQASDVVIRAKEVVHNRNTLVKLLARHTGNPPEKIDKVMRGPFYMDSLKAKEFGVIDKILWRGQEKYMADMLSPDEWDKVAGVRRPDPILHFDSGSPRLLILIDFWFDIVQ >Sspon.02G0039370-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:51501541:51503008:1 gene:Sspon.02G0039370-1B transcript:Sspon.02G0039370-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMGMGIVDGGSNNGGSSSGLVVTELSHIKELVRQLEVHLGGSPDLCKHLASQIFSLTERSIGLITSSNLDAAARRKRSAGDAGLTSPLSATPTSDVTDGPFKNTKKRKLMEQRRQRVSSAGGENPVDDGHSWRKYGQKEILGAKYPRGYYRCTHRHSQGCQATKQVQRTDEDATMYDVIYHGEHTCVNRPAAVATAAHNPDADAHLQTLSAGLTVKTEGLPAAATPLYLSASTPPASGGCQLAPSTSENWGVSPATSDSNNHVAASYLPFEDAELQEVVSALVAASAPPPPPPAVDSFDDLLIDIDIASFFA >Sspon.06G0017140-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:93352078:93356604:-1 gene:Sspon.06G0017140-1A transcript:Sspon.06G0017140-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYEVNILFLASGIAALDVKKLKDSGLHTVEAVAYTPRKDLVQIKGISEAKVDKIIEAGNQLHAQRLEIIQVTTGSRELDKILEAFCYDIPATGGIETGSITEIYGEFRSGKTQLCHTLCVTCQLPLDQGGGEGKALYIDAEGTFRPQRLLQIADRFALMVVDSATALYRTDFSGRGELSARQMHMAKFLRSLQKLADEFGVAVVITNQVVAQVDGSAMFAGPQIKPIGGNIMAHASTTRLALRKGRGEERICKVARQLKWHMTKLSRSFQKFADKLSEPRWNFSRVSMS >Sspon.07G0034400-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7C:72461603:72461956:-1 gene:Sspon.07G0034400-1C transcript:Sspon.07G0034400-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMRMRRTRAQIQGRRRTRLRSREGPTYLVKAAVAVLVSNGGAGAGSQWRSLREEEGREGARAGRVVASWTRARVHPGEPGATLSATASGQGHAVVDRNGEASKESMRPSFLIGPQA >Sspon.08G0028630-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8D:14267976:14273417:-1 gene:Sspon.08G0028630-1D transcript:Sspon.08G0028630-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potassium transporter, Salt stress response, Alkali toleranc [Source: Projected from Oryza sativa (Os06g0625900)] MTLSLAYQSLGVVYGDLSTSPLYVYKAAFAEDIQHSESNDEILGVLSFVFWTLTLIPLLKYVCIVLRADDHGEGGTFALYSLLCRHARAALLPPGRTAAGDEGQFLDGAGGGTEKANGNAVTLGGGAAASVRRLLQRHKVLQRVLLVLALVGTCMVIGDGVLTPAISVFSAVSGLELSMEKEHHKCSEAMFADLGHFNQLSIQVAFACLVYPALILAYMGQAAYLFVGSQAVITGTFSMIKQCTSLGCFPRVKIIHTSAKVHGQIYIPEINWILMILCLAVTIGFRNTKHLGNASGLAVITVMLVTTCLMSLVIVLCWHKSIFLAIAFIVFFGTIEALYFSAALIKFREGAWVPIVLALIFILIMCIWHYGTIKKYEFDVQSKVSINWLLGLSPNLGIVRVRGIGLIHTELETGIPAIFSHFVTNLPAFHQVLIFMCIKNVPIPHVRPEERFLVGRIGPKQYRIYRCIVRYGYHDFHKDDIEFEKELVCSVAEFIRSGSSKLNGMPVEFDEEQHMAVVRSNSIRMLEEEATTVEKTVGPSQANREIQSPSPSPATVVVPKKRVRFVLPAASPKPNAGVQEELQELSDAREAGMAFILGHSHVKAKSGSSFLRRFVINFCYDFLRRNSRGPNYAVSIPHASTLEVGMIKLLMRSAIAKTSNESQVLNYYKINQDSEECHFQFCHTMGSAGLARTFKSLCITGHLSKAVSLLCQSPVCPGAGTYALLLQECVNRRDARLGKRIHARMVATGFRCSAYISIKLLIFYAKIGDLGCAQNLFDGMPQRSVVAWNAMISGCARGSSAQAQERAVELFGAMRAEGLAPDQFTFASVLCACARLAALGHGRRVHGVVVKSDVGGNVFANSALVDMYLKCSCPEDAHRVFMAAPERNVTMWTAVISGHGQQGRVAEALALFDRMAADGFRPNDVTFLAVLSACAHGGLVDEGLRRFSSVSSDYGLTPRGPHYAAVVDMLARVGRLHDAYELVKNLPDCQEHSVVWGAILGACRKHGGDVELVELAARRFFRLQPGNAGKYLVLANTYAAREMWDSVAGAHEAMRALGLKKDRAWSSVEVQGKKHIFLAGDTYHDEYSAIYEVCNALASAVAEQSVRPMD >Sspon.04G0019830-4D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4D:78644581:78648781:-1 gene:Sspon.04G0019830-4D transcript:Sspon.04G0019830-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclin-dependent kinase A-1 [Source:Projected from Arabidopsis thaliana (AT3G48750) UniProtKB/Swiss-Prot;Acc:P24100] RLQKARQPSTSTATPGSTRVHTQHPEVPESPPTTTTAPPLAAAAASSRPIRPDRPAMDQVSARAVSDRSATVALPLPLPPFSTCRSCGGERALYYEKTEKIGEGTYGVVYKGTNRHTNETIALKKIRLEQEDEGVPSTAIREISLLKEMQHRNIVSYPLPADALALPCRLQDVVHNDKCIYLVFEYLDLDLKKHMDSSADFKNHRIVKSYLYQILRGIAYCHSHRVLHRDLKPQNLLLDRRNNILKLADFGLARAFGIPVRTFTHEVVTLWYRAPEILLGARHYSTPVDVWSVGCIFAEMVNQKPLFPGDSEIDELFKIFRILGTPTEETWPGVASLPDYKSTFPKWPSVDLATVVPTLEPAGIDLLSKMLRLDPSKRINARAALEHEYFRDLEHAY >Sspon.03G0018240-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:55929060:55933740:-1 gene:Sspon.03G0018240-1A transcript:Sspon.03G0018240-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLIALIRTLGDVPSAITYYEESAEFLSKPLQKILRLYSILLVHTLSVSLNKIGDLRYYDGDLQSARNYYARSLDVRRNAVKEHSAVASQVIDLATSLAKVADVDRNLGNESAAVEGFEEAIQCLEKLKLDSEQTSLEQRCFSLRRLAGYSALDNSEVDALRQLPDALAN >Sspon.01G0038900-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:54892855:54895479:1 gene:Sspon.01G0038900-2C transcript:Sspon.01G0038900-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MWARFWFSVPAKRPPPPPFTPPPPPPAPPKYGPLPEPSSVASLYDLAGNFLHRAKTVLDTTGTGGPAGLDAISSTSGARRAAAELTAPASDAAPAATKSDSWTLSSRAVHWIIVGAVVAAVLLVLCVVACFVRRRRRRRRRRPVVLVPPQLPAPMVYHKDGPTWPVLQQAPSEHYFAQQQRPTPPQTSGAFSDAGSENRLHSVDIVTELPTGGSHSYEQLAAATDGFAPGNIIGQGGFGCVADFGLAKYQPGDHTHVSTRIMGTFGYIAPEFLSSGKLTDKADVFSFGVVLLELITGRLPVQSSQSYMDDTLVGWARPLIQQVAEDGSLQSLIDPRLGTDYDPSIMMRMVECAAAAVRQSALQRPSMVQILKYLQGETRADDLSGVFKITTVEESYSSSMESGESVGPRPRRTQRSQGNTSNDYNSEQAPGDKPNWSTGSV >Sspon.01G0005910-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:14328962:14330598:1 gene:Sspon.01G0005910-2B transcript:Sspon.01G0005910-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGLRRCLPFGGGGCCCGEGVGRGGSVADGLVWDVALKAHASGDYSIAVAQANESLEDQAQVLAAPAATLVGVFDGHGGPEAARFVNRRLFSHIQGFAAENGGLSAEVLQKAFGATEEEFIGLVQKSWPSQPRIVSVGSCCLVGAIEGGTLYVANLGDSRAVLGRRGDRGNKRVVAERLSQDHNVADEDVRREVAEMHPDEPHIVLNSHGVWRIKGIIQVSRSIGDVYLKKPDICRNNPALQQSLCPFPLRRPVMSAVPSITTRELRPGDRFLIFASDGLWEQLSDEAAVGVVASSPRKGVAMRLVRAAQLEAARKKEVKYDKIRTIEKGQRRHFHDDITVVVLFLDKCRGAARSGPEDIDGTYAPLDVFSYSPAGDHEDPTKPVLRR >Sspon.04G0027750-1P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8B:5946418:5946672:-1 gene:Sspon.04G0027750-1P transcript:Sspon.04G0027750-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPLVGSRANQLSRVEPSAGAAQPHTRWGSSKPRAPLEYPIRELPRGRIKNPLQHLVKAPTISNCELNHLRCSKPSTVSGNTEE >Sspon.08G0008360-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:28304577:28312863:1 gene:Sspon.08G0008360-2B transcript:Sspon.08G0008360-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEKQQVEPPALVPEAGAEPRAPPQPQQQPKPAVQVQPSMPVLRPWPMEITLSMKPVVEMKSGTPTKKKKHCNCKNSQCLKLYCECFAAGDYCDGCNCKQCGNTVENEKGRQDAINNTKLRNPNAFQPKIENGPIPPSVRKDAGALPSLPKHNKGCHCKKSGCLKKYCECFQANILCSKNCKCMDCKNYEGSEELRSTIQGDNSCDRNNIQQAANVALNGAIGSSGYRFSPVRRKRPLEDPHYQRLNVEGSMMQTQFQEFSRNISGLKIANNVDVSQITSSTGRGGSTGNLQSRSKLVYRSPLANTMHVTDVNDLANHLVIVCRKAAERFTTIVDNKAEMDIDRKICTNTYQKFDENKEVQKAALSQLGKVTNIDQQIVDDSRLHCSDTQEDARPASPGTQALLCDEQDLTFGTAHRSSIPVALHDQDISELHAAQENAVLKEFRNYLRLIIARGKINAIEQTEVYTKGQTQSSNSN >Sspon.06G0029910-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6C:38081867:38082458:1 gene:Sspon.06G0029910-1C transcript:Sspon.06G0029910-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VQDNGCHEYSSDRGQSGKSVSLGGIPILSIADIELQLPSTDIELQLPSTLLKPTSRLPAAEIENIQPLENIQMIDTLVQKLWKPPTETVNTIVPPESQEPDDTILRGLKKSFRGAAQMIEDESNNLDNLKPSSVQGLNKTYNAESEISLARSHFQATTSAAKPVRNETQTIFATEIEDKLFHLLTDNISKSPRRSVY >Sspon.05G0008620-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:27828776:27832231:1 gene:Sspon.05G0008620-4D transcript:Sspon.05G0008620-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLAAACVSASSPARRLSPSASTALPRRFLHSLLGAAPTRPSPPPPLLRRCFKFYHMAQYWTQPSLDRNKALVEYLKQYGDVRTDKVAEVMETIDRALFVPEGTPYVDSPMPIGFNATISAPHMHATCLELLKDHLQPGMHALDVGSGSGYLTACFAMMVGPEGRAVGIEHIPEIAASSIENVQRSAAAPLLRDGPLSFHVTDGRLGFPDAAPYDAIHVGAAAPEIPQPLLDQLKPGGRMVIPVGTYFQDLQVVDKNTDGSISIQNDASVRYVPLTSRSAQLQDP >Sspon.04G0025570-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4B:27808266:27808496:1 gene:Sspon.04G0025570-1B transcript:Sspon.04G0025570-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLPITDRWGGKARTLSLLRSRWPQGSSLSTTARSRGCHLADISSPPDHVSIGGWSSLGCHRARAQQRRQSCLPSS >Sspon.04G0004870-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:14140541:14148887:1 gene:Sspon.04G0004870-1A transcript:Sspon.04G0004870-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRYVHNNIFFSFAVDSDYEHISKDHKPDCQNGSSRSTKVSSPDVITKPDTSHAESAEVADSKSEEAQLADSEQATYASANNDLKGAKAYQEADVSGLYNLAMAIIDYRGHRVVAQSIIPGILQGDKSDSLLYGSVDNGKKIPWNEAFHSKVVEAAKRLHLKEHVVLDGSGNPVKLAATVECKGIVGSDDRHYILDLMRVTPRDSNYIGQEHRFCVLRPELIASFVEAESMKQSFKQKVPDAPVASTSDAKATPVEGDDRSEENSVHTHEENDNSSSDILFNPNVFTEYKLAGSPEEIAADEELVKRAGTYLIDIVIPKFVQDLCSLDISPMDGQTLTDALHLHGINIRYLGKIAGMVKHLPHLRDLFSAEIIVRSAKHVIKDILRQSLDHDIGPAIAHFLNCFVGKVLGASTKGSLSNAQSKTLKGLENSQIQKSSKGHKLSNAAASRKSLSAYSHLTSDGIWFSIKEFAKSKYQFEVPDDARLSAKRVAVLRNLCQKVGITIAARKYDLDASTPFEASDMLNLQPIVKHSVPTCTDAKNLMEAGKVRMAEGTLNEAYALFSEAFSLLQQITGPMHKDAANCCRYGNMALFYHGLNQTELALRHMSRTLLLLSLASGPDHPDVAATLINVAMMYQDASNMNTALRYLQEALMKNERLLGPDHVQTAVCYHALAIAFSCMSLYKLSIQHEKKTYDILVKQLGENDSRTKDSENWLNTFKLREEQVNAQKQKGQGANASDNAVKFLKANPAFLQAMKAAAIQSGGGSANVNRSLNAAVVGEGVPRLRGVDERAARATAEARKKAAARGLNVRNGPAANHASDELAQILKLINAASGSSTSASAKSEESASEGQATNGSVQNGTAAEAMAADTNGPSASAKSTVNTPVGLGTTLELKKQKSKQKS >Sspon.01G0017580-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:70011161:70016808:1 gene:Sspon.01G0017580-2B transcript:Sspon.01G0017580-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIIGLALRDGRAAAIRCSRSSTQRVASCPSHRVRARVTLASREDALGLEAGKPRGVRAPFLASGDSFLGWVRGSGSWIGEGGRGFVLLAYWADEFVRGLSDLSSLICSSSRFLAQFVYLLLFSARRSEQEQGARCKSREEEEEEERGSRPLPLACSCRVRFFQEPAAGMDLYDKREDMALLDGDSCLAPRASRGGCEVDLQWADVLLEGAGRKRRAPEDFEDEVQEMDEVDGGGKRSKPPSPQPHTPDIREAHAPGRRRRTVVAGGGEHSGGGGDLIGEIGRDLSINCLLRLSRSEYGSVASLNHDFRSLVRGGEIYRLRRQNNIAEHWVYFSCNVLEWDAYDPYRKRWISVPKMPPDECFMCSDKESLAVGTELLVFGMAHIVFRYSVLTNSWTRGEVMNSPRCLFGSASVGEKAYVAGGTDSLGRILSSAELYNSETHTWTPLPSMNKARKNCSGVFMDGKFYVIGGVTNNNMILTCGEVYDTQSKTWSVIENMSGGLNGVSGAPPLVAVVKNELYAADYSEKDVKKYDKQNNSWITLGKLPERSVSMNGWGLAFRACGERLIVIGGPRTPVGGMIELTSWIPDDKPPVWN >Sspon.01G0056880-2D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1D:90101376:90101852:-1 gene:Sspon.01G0056880-2D transcript:Sspon.01G0056880-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPSILVVVLLFHFQVADSSRPLSTEDQRPRADPTAPAAVHRAEPHHYHRDAAETVNGRVAVETTMEDDDGSRTTARAEEGGAAAALSATTTTSASGKDGQDDGVGSRNGRPAAAVLLRSRLARRFLAAGVVEGADSAARPSCGSSDVHNGCTPPSEH >Sspon.04G0030460-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:1417861:1419050:1 gene:Sspon.04G0030460-1C transcript:Sspon.04G0030460-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPMAAAAPAGHRHAQLGVGAAAPPLLLLLLLVAAAAADAQQPPSPGFYPSKRYRARPFSRDFRALWGAQHQSVSGTGTGNGGGVTIWLDSTSGSGFKSRRAFRSGYFGASVKLQPGYTAGVITAFYLSNADAHPGFHDEVDMEFLGTTPGRPYTLQTNVYVLGSGDGGPGRVVGREVKFQLDWFDPTADFHHYAILWSPTHIIFYVDDVPIRRYPRWSATATFPRRPMWVYGSIWDASSWATDDGRYRADYRYSPFVARFSGLLLRACSPRAPPRCRAPSDALSPQQEAAMRWVQRNHMVYNYCLDPKRDHRLTP >Sspon.06G0001760-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:4352633:4353775:-1 gene:Sspon.06G0001760-3C transcript:Sspon.06G0001760-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKASIKFRDEERPLMRAKVPIAVLGLPFQSGLAAGGDPRELRFDVSTAFASGPALRLSYRPNDPALPFALTVRAGLGPLGSPARAPFALAAEFNLLAPDPSSSPAFFLRLKPRLGDFSLSHTLRSPAAASAPAPRKVGEPGSDDGGGGHGREVKLLDYRPPFTFTGSGLAADVAAAGTKSGVGALLSGMRLTTRSVLPLWGRASLRFNWGLRVPPELLADGADGKGAARAPVSKMPLLVMSKVSIEQSPRAGAGRKGARAEAEAEAEATASDSDGDAAFSLVRQQLESLNVDNMLLRRDVEDLRAEVRSGSRAARPAAAAAAGRGEGRVAATALQTQPHPRPQPYHATKPVRGAATAREPAATPDDVGEELKKALEARLR >Sspon.01G0055910-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:85544111:85544269:1 gene:Sspon.01G0055910-1C transcript:Sspon.01G0055910-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding EYGNFTIDLPPRLHATPDLEKACTVRVLQLPADSCRLRHRPGDTYRLRLSSVE >Sspon.03G0004530-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:11504014:11506267:1 gene:Sspon.03G0004530-1A transcript:Sspon.03G0004530-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LVLVQGGHPAPRGGYRVDAPDLAASGVDPRPLREVPTFRDYTQPLLDLLASLPEGHRAVLVGHSLGGVNVALAAETFPDKVAAVVFLCAFMPDCTAPPSHVMEKFVEGKWLDWMDTEMKPQDAEGKLPTSMMLGPRIIREKFFQLCSPEDLTLAASLLRVSSMFVEDLVLQKPYTKERYGSVRKVYIVCTEDHAIVDKFQRWMLENNPVDEVKEMSADHVVMLSRPDELVRCLTDIAD >Sspon.03G0010640-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3B:35971401:35972814:-1 gene:Sspon.03G0010640-2B transcript:Sspon.03G0010640-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GICVDGCAAIADSGTSLIAGPLVAIAQINEQIGAAGVVNHECKQVVAGYGLEMVELLKAQQTPPSQVCSKIGLCTFDGTHGVSAGIESVSGSVDGMSEAICNACEMIVFWMQSEFNANKTTEGTLEYVDRLCENMPDPVGSYVNCRHIGSLQTVAFSIGGRAFELRPDQQYILKVGEGFAAHCISGFTALDIPPPVGPLWILGDVFMGAYHTIFDYGKMRIGFADSA >Sspon.03G0044310-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:92162730:92164116:1 gene:Sspon.03G0044310-1C transcript:Sspon.03G0044310-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSDAFPVPEEEVAAPLEALAWGTSPRLYLSYGMVAMKGMRPVLTDAVEAAPSFTVLSPPMGLDFFAVLDGRGLGAAAAGGYSEHLLALLRDALAGQVYLELCSDSPRFLDGTSRDDVVGWWATTLREAFRAFHEEFAACSQGEHGVDAPAATAMVALLHERYLVIGNCGASKAVLSRDGELVELSSDSEHMVSKASSSCIWSMSGYGNSPFLCTYVHAQSNRRGDENKSVDNAGGVRVAEATSKLTTAPRTTTGSSVSSAPAPAAAAGVVDVVAVEREARDEFLILGSAALWDKVTPAAACAHVRRRLGRTSRVTMPWETRITDAEGSPTLLAEELAKKAVYAGSRDNVSVGLVVFRDFWAAGCTQAASKCVSTLNPQASLNDEGPSAIQDPVAETATEEAAGASQEKVRRSTRQTRPCGRYVGPEW >Sspon.03G0014460-3D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:100996966:100999323:1 gene:Sspon.03G0014460-3D transcript:Sspon.03G0014460-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding FPIVEPDHGHTKLRLSEQGLEAIRRIETPIAVVGVIGPYRSGKSFLLNQLLSLSCDKGIWIWGTPVEMDVDGSKVSVLYLDTEGFESVGKSNVYDDRYVKLIYLGFRLPLKLLKNSMEGDKYIDEV >Sspon.05G0004110-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:15525877:15527453:1 gene:Sspon.05G0004110-3D transcript:Sspon.05G0004110-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoribulokinase [Source:Projected from Arabidopsis thaliana (AT1G32060) UniProtKB/TrEMBL;Acc:A0A178WLP9] MEAFTVHTSAATSLYSPAGTAILLRSRSRAYYPTTTIRVSCSKTVVIGVAADSGCGKSTFMRRLTSVLWGGAEPPRGGNPNSNTLVSDTATVICLDDYHSLDRAGRKAKGVTALDPRAHDFDLMYEQVRAIKEGRAVEKPVYNHVTGLLDPPELITPPEILVIKGLHPMYDERVRDLLDLSIYLDISNEVKFAWKVQRDMAERGHSLESIRASIEARKPDFDAYIEPQKQYADAVIEVLPTQLIPGPGDDEGKVLRVKLIMKEGVEHFAPVYLFDEGSTVNWIPCGRKLSCSYPGIRFAYGFGTYFGHEVSVVEMDGQFDKLDELIYVETHLSNLSTKFYGEVAQQMLTHADLPGSNNGTGLFQTIVGLKIRDLYEQIVARR >Sspon.03G0011790-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:48260849:48263514:-1 gene:Sspon.03G0011790-3C transcript:Sspon.03G0011790-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MISPDAARNVVGIIGMLLASSIGAAWNSLWIGLLGFWLVRFRWVSASRAMSSPSASSSPQRKHTHRCLRVQLGGFNLGFVRTDGEAPPPPPAFVFGCMYRPTFWRIWKARDVEEFKPDPYLATLLNCMLWVFYGIPVVHPNSILVVTINGIGLVIEAIYLTIFFIYSDGKKRVSATALLFLFPSASISDLVVKKAFAILAVEILFVAAVVIGVILGAHTHEKRSMIVGILCVIFGSMMYASPLTIMVRDPSSIRSLPSAVPAQRYGKVIKTKSVEYMPFLLSLVSFLNGCCWTAYALIRFDLYVTIPNALGAFFGLIQLILYFWYYKSTPKKEKNVELPTVSRNVGGGNVTVSVER >Sspon.06G0000790-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6A:2864868:2867091:1 gene:Sspon.06G0000790-1A transcript:Sspon.06G0000790-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVERDLHMSRGDGEDSYASNSRLQEKSILKTRPVLHKAVAAAHASSLSSGGGAMVVADLGCSSGPNTLLVVSEVLGAVADRRDELATMAAAGAQPQHVQFFLNDLPGNDFNLVFQSLELFKKLAAKDNGDALPPYYVAGLPGSFYTRLFPDLCVHLFHSSYCLMWRSKVPDELAEGAVLNEGDMYIWETTPPAVVALYRRQFQEDFSLFLRLRHRELVSGGQMVLAFLGRKNMDVLRGEVSYMWGLLAQALQSLVKEGRVEKEKLDSFNLPFYAPSVDEVRDVIRQSQAFDVTHIQLFESNWDPHDDMEDDDGDLVLDGVQSGVNVAKSIRAVIGPLIAHHFGEHVLDDLFELYAKNVAVHLQKVKTKYPVIVVSLKAISRAPKNQANDKLGVGPATHTNSMLEAAPVYVPTDIQPSERVQEMEGGEADAHIAWLARWRATRDGSPQFLSCGHDEHQEKGGGCEICSASMHKGTTSLRRRWWS >Sspon.02G0041600-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:77283405:77286378:1 gene:Sspon.02G0041600-1B transcript:Sspon.02G0041600-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCAKGTTVAGLYDDMMVDILSRVPIKDVRRSKCVSKPWRDLIADPLHRKKLPQTLEGFFHGGAEHDSYGHFTSLSGRGQSAPPVDPSFSFLMVKLPGVERMVLLDSCNGLLLFGCTRENKFGYIMCNPATEELMK >Sspon.02G0013170-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2A:35352451:35352750:-1 gene:Sspon.02G0013170-1A transcript:Sspon.02G0013170-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRNMTVAATTTRSLDADMTVDEFKEWLRRFDTDRDGRISRDELRRAMRAIRARFTGWRSKQGISYADTDGDGYIDDSEVDGLIEFAQKNLGLKIVAY >Sspon.02G0046550-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:1075761:1083529:-1 gene:Sspon.02G0046550-2D transcript:Sspon.02G0046550-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPDLFPNVAFSDVSAAAAAAAAEGATAAFGLGAATGAPRLSLVKAGKAEAESTVEIDLADAQVFKLGPREWLCVCDESEAKAGVEEKSFSRAIKVVLRTEAESKAFSLAFQRWKHQVISGKAGERLENGSIIASKSKFDTKIEASSAKMYFHYYGQLLHQQNMLQDFVRTGTYYAAVMENRSDFEGRVVVDVGAGSGILSLFAAQAGARHVYAVEASEMAEHAQRLISGNPSLGQRITVIKGKVEEVELPEKADILISEPMGTLLVNERMLESYVIARDRFLAPDGKMFPTTGRIHMAPFSDEYLYVEMANKALFWQQHNFFGVDLTPLHGSAFQGYFSQPVVDAFDPRLLISPPTYHTLDFTSMKEEELYEIDIPLSFVASVGTRVHGLACWFDVLFNGSTVQRWLTTAPGSPTTHWYQLRCVLSQPLYVMAGQEITGRLHLVAHSSQSYTIYLTMSAKMWGWVRSKATSTGKLELKEPYYRLSQPQSYMLPQDQQQQQLPPLQPQGSEQQMQEGLSPGITIDQVDQDCGLN >Sspon.03G0026480-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:7809901:7817896:1 gene:Sspon.03G0026480-1P transcript:Sspon.03G0026480-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDVSSDLGGIRAGPVERDIEQAITALKKGAYLLKYGRRGKPKFCPFRLSNDESVLIWFSGKEEKHLRLSHVSRIIPGQRTAIFQRYPRPEKECQSFSLISHDRSLDIICKDKDEAEVWFAGLKTLISRCHQRKWRTESRSDILSSGATSPRTYTRRSSPLSSPFSSNDSIHKDGSDNYRLRTPYGSPPKNGLEKAFSDVMLYAVPPRGFFPSDSNAGSVHSMSSGHSDNTNGHPRGVPMDAFRVSYSSAVSSSSHGSGHDDGDALGDVFIWGEGTGEGILGGGGSRVGSSSGAKMDCLVPKPLEFAVRLDVQNISCGGRHAALVTKQGEIYSWGEESGGGLVMPKLIDALSHMNIELVACGEYHTCAVTLSGDLYTWGDGTFKFGLLGHGNDVSHWVPKHVNGPLEGVHVSSISCGPWHTALVTSAGQLFTFGDGSFGVLGHGDRESISVPREVESLKGLRTVRAACGVWHTAAVVEVMAGNSSSSNCSSGKIFTWGDGDKGRLGHGDKEPKLVPTCVAALVEPNFCQVACGHCLTVALTTSGHVYTMGSAVYGQLGNAQADGMLPVRVEGKLHKNFVEEISCGAYHVAVLTSRTEVYTWGKGANGRLGHGDTDDRNTPTLVEALKDKQVRSVVCGINFTAAICIHKWVSGVDQSMCSGCRQPFNLRRKRHNCYNCALVFCHSCSSKKSLKASLAPNTNKPYRVCDTCYSKLTKGLETDMHSSAKRAATVPGFSDTIEEDLETRSNAQLSRLSSMESFKHLDSRYSKKNKKFEFNSTRVSPVPNGSSHWSGLNISRSFNPVFGSSKKFFSASVPGSRIVSRATSPISRRASPPRSTTPTPTLGGLTSPRVVPNDGKPTNDALSQEVLNLRSQVESLTRKSQLLEMELDRTTKQLKEAISIAGEETAKCKAAKEVIKSLTAQLKGMAERLPGGAAKNTKLPPLPGISIPSDISSMATESVGSPSSSGEQIINGHNGLLASNGPSSVRNKTSHPEVGKNGSRPPDAESCHDAEWVEQDEPGVYITLTALTGGARDLKRVRFSRKRFSETQAEQWWQENRARVYQQYNVRVVDKSTASVDNDIAAN >Sspon.02G0010900-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:28175452:28180290:1 gene:Sspon.02G0010900-2B transcript:Sspon.02G0010900-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTNPSDYIEPFGKAGASGFTFHIEVARDNWQDLIQSIKSNGMRPGVSLRPGTPVEEVFPLVEAENPVELVLVMTVEPGFGGQKFMPEMMDKVRTLRKKYPSLDIEVDGGLGPSTIDVAASAGANCIVAGSSIFGAADPGAVISVLRKSVEEGSQSKN >Sspon.03G0008010-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:26816357:26817899:1 gene:Sspon.03G0008010-2D transcript:Sspon.03G0008010-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLQRSSETYRRSGSSGTVWEKKHQSASGELTARPARPKDARQQRSGHGGYRTTGHVQPALDPPSPRVAACGFCSLFGKDKHQQPPRRASGRGRRQS >Sspon.07G0002450-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7A:6116916:6119871:1 gene:Sspon.07G0002450-1A transcript:Sspon.07G0002450-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNYRAGGLRLNPNLYACGKVCLSLLNTWTGSGCEKWNPANSTMLQVLVSIQALVLNVKPYFNEPGYAMHANTAHGEKKSLTYNEDTFLLSCRTMLYSLRNPPKNFEDFVAGHFRKYGRNILVACRAYLDGAQVGCLSGDGVQDVDEGDTSCSVRFKQSLKRLVEELLMEFTAKGADCGKFLTEKARSGASTSAADTTLRLSLVIIWNGGSSTVDVDDDALRFPVLRSRSRPQGEMHSDEGRLDELLLPPGFAAI >Sspon.02G0001800-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:5943800:5947972:-1 gene:Sspon.02G0001800-1P transcript:Sspon.02G0001800-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RAAIRRAYAFLYREPLLNCFRYKLSRHCLLSVDFWKAMILWTMDPAERDANLVHEAVKKKDESYVSVLVEVSCASTPDHLMAVRKIYRKLFSSSVEEDVASSPALQEPLKKVREIMRGNVESSVQALVSFAACRIDMFRMFRLQKGLCSCTTPTLQMLLRLVSSYRYAGEHVDMDVAKLEAAQLSEAIIEKRLHGDEVARIISTRSKPQLRATFQQYKDDQGTDVVEVRCHVEMFLHSCAYAFSCSSIQDIGSSHCGGGGSKQLAGMLRSAVLCLTSPEKHFAEDMLTRVIVSRAEVDMEQIKEEYRARYGSAVSVDVAGDTSFGYRDMLLTLLRGQDCARKKKRRKAAKLKMELPDGRTSIAH >Sspon.01G0005390-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1A:14540154:14541101:-1 gene:Sspon.01G0005390-1A transcript:Sspon.01G0005390-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGRAARPRLALAPWHLPPPVAPRFSPLPPPLSPRIWDLKADPQLVAPSSAGPQPRPILGAPGPCTHHSTIGERKARAGRFRSSGWEPVVSGAPPTLVDTLGSAREDLRRHGTCAPAAIVVSAAPDGDGQRTDCEGASSAKSEGAQRGRRRKGTVWAADEHVVGKRGRTDERPRYFGTEPISIPKWRFVFVFERDRARSNGATSG >Sspon.07G0008620-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:19548384:19553051:-1 gene:Sspon.07G0008620-3C transcript:Sspon.07G0008620-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDGGGGVDWESLAEATSGAIGSLVSTTVLYPLDTCKTKFQAELQTHQGAQKYSYFKRLYLEKSGAKSIGTTANLVVAAAAGACTVIVTQPLDTAASRMQTSAFGKSKGLRETLSEDTEDCVDGILYSEQTLKYTVFDQLKQRIIQRQRRKNAGSAEDNSRVALSAFSAFLLGAVSKSIATVLTYPLIRCKVMIQAADPDEDDDDESERPSKPRTPKTMLGALHAIWSKEGIPGFFKGLNAQILKTVLSSALLLMIKEKISKFTWVSLLALRRYLFVSQKRIKSVTV >Sspon.07G0033300-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7C:59535825:59536202:1 gene:Sspon.07G0033300-1C transcript:Sspon.07G0033300-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTTSRKAQQAPADTSPAHLEGSNPRLLSSSPRCKRDHARRRPRPRPRRPLPQPAHRTIRHPLLQPCCSLQCWAAGRYPGERPMPTGYCPGCDPAPDPGAQASSLVRSQDNISRSGSSPCFCLGR >Sspon.04G0010680-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:28612009:28614534:-1 gene:Sspon.04G0010680-2B transcript:Sspon.04G0010680-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKYTSMRERSLLCVLGLSILATSVSGGDEAALLAFKAELTMDGGALASWNGSTGFCSWEGVACTRGTKRNPPRVVGLNLPMRGLAGTLSPAIGNLTFLQALELGDNWLHGDVPDSLGRLRRLRYLDLGYNTFSGRFPANLSSCEAMEEMFLDANNLGGRVPAGFGDRLTRLQVLRLKNNSLTGPIPASLANMSSLRHLALANNQFDGQIPPGLANLTGLRVLDLAVNKLRGALPLSMYNLSSLRTFHVEGNRLHGSIPANVGSKFPAMEDFSLANNRFTGRIPSSLSNLTTLTSLQLSINKFTGLVPRDIGRLEHLQYLYLSYNLLEADDTEGWEFVASLANCSNLLQLSLSYNSFSGQLPRSVVNLSTTLQYLYLSDCGISGSIPQGISNLVGLTILDFANTSISGVIPDSIGKLANLVQLVLYSARLSGLIPSSLGNLTRLNEIIAYYLLDLSENYLLNGSIPKEVFLSSLSVNLNLSHNSFSGPLPSEVGNLVNLNQLILSGNQLSGHIPDTIGNCLVLESLMLDDNMFAGNIPQSMQNVKGLRELNLTVNRLSGEIPDALSNIGALQGLYLAHNNLSGPIPASLQKLTSLLAFDASFNDLQGEVPNGGVFGNLTAISITGNSKLCGGIPQLRLAPCSTHPVRDSKKDRSKALIISLATTGAMLLLVSVTVTIWKLKQGPKSQAPPTAVTQEHFQRVTYQALLRGTDGFSESNLLGKGRYGSVYKCSLQGEDTPTPVAVKVFNLQQSGSSKSFQAECEALRRVRHRSLIKIITLCSSIDNQGQDFKALVMDLMPNGSLDGWLHPKYRTSTLNNTLSLTQRLDIAVDVMDALDYLHNC >Sspon.07G0027610-3D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7D:54057057:54058275:-1 gene:Sspon.07G0027610-3D transcript:Sspon.07G0027610-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSARPAVAAVLLLCLLCGGSAWLQAAAEARPHHMDSDSDDFFSIVGYSPEDLGHHDRLIKLFEEWVAKYRKAYASFEEKLRRFEVFKDNLHHIDEANKKVTSYWLGLNAFADLTHDEFKATYLGLRRPAAGLPEKRTSIGQFRYGGVADDDVPTSVDWRKKGAVTDVKNQGQCGSCWAFSTVAAVEGINQIVTGNLTSLSEQELVDCSTDGNNGCNGGVMDYAFSYIASSGGLRTEEAYPYLMEEGDCDDKARDGEQVVTISGYEDVPANDEQALVKALVHQPVSVAIEASGRHFQFYSGGVFDGPCGAELDHGVAAVGYGTSKGQDYIIVKNSWGSHWGEKGYIRMKRGTGKPEGLCGINKMASYPTKDQ >Sspon.01G0017500-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:70595863:70606100:1 gene:Sspon.01G0017500-2B transcript:Sspon.01G0017500-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKERKPAASSPALVRILAVCASQVPPPLSGTLPAVYGLISDDSRASNCPSVLMNPVPIQAQLRVGAGKIPVQAKDYGRCIAAKIPEIEHNMCSKEFLALRACMQTAIQGGLGTPGALSHAYVQHPPLRCDIPDIRGLFYDDANKFLIAPTADRILYWKTTPSTQSGPPNSDPVNEGPVLSVRYSLDHKAIGIQRSRHEIEFRNRETGETCSKKCRADSETILGFFWTDCPTCDVILVKTSGLDLFSYEPQSHAFHLVESKKFNVSWYLYTHESRLILLASGMQCTMFTGYQFSAGGIVKIPKFEMMMSKSEANNKPVLAADDVHIVTVYGRIYCLQLDRVSMSLNLYRFYRDAVVQQCTLPTYSSRIAVSAVDNIIMVHQIDAKVVILYDVSLDSYAPVSAPLPLLVRGLPINSRQVSQTADSQSSAYGGTIYGEGWNFLIPDLICDAENGLLWKLHLDLEAIAASSSDAPSILEFLQRRKSDPSMVKTLCLAIVRTIILERRSVPTVAKAMDVVLDSYTRLMKMGGAFPGVRRTHEQNQQSGSQPNEGSHVVSQEPSPGTTVSPAVNPDQASGSVNISEQPNSGVEHAIDRGLLNTNMSSDSADNTSDAVDKRQQAVGEASRPLSSGTLTQHGQHAGTVAISPTEMFQSVFTLVEDEMMGDPAYLIAVIMEFLRSVSKAGLKAPHNLYVMMATLLARSNRYAEIALFVSNKLWFIFRLTSDVDVVYLSYTPKHAAFILAVDHFLQILEPCKELAMQLMELGLQHPPTRKLGMDMLRERGLHHDYVTAMLQDGYYLEALRYARKYKVITVQPALFLEKAVAKNSAHNLAAVLSFFCEFTPSFKTTSDFGRYRHILSEMV >Sspon.05G0021110-3P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:77441956:77446788:-1 gene:Sspon.05G0021110-3P transcript:Sspon.05G0021110-3P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALDAPKSNYYSHIYLRKHQMNILESSTHSGCQVVINEIEHQRALVMELHNLILPTLDPCSRQEKLAQQLFQDIFSSSSKVISFLELGDNSKKQADLIKYRRKGGKNNVDSYMLGEEAKQIGNKRRKNAQHTGSVVTQAPHFDGYQWRKYGQKWISKAKHSRSYYRCANSKDQGCLATKTVQQKESDGSAGTVRLFDVDYYGQHICKKDDIIHPYVVETTQYSAPIVNHNQSISGSTVVHNDVLGIQDESFENLFMVPSTPEYLIDFTDIEMAGALEVTSMMIPEDIWA >Sspon.05G0022960-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:4406229:4407853:-1 gene:Sspon.05G0022960-1B transcript:Sspon.05G0022960-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPIFYHRSLLDAVSWQGNVKKYRTGPWNGLWFSGIPKMASYMDLYSNQVIVGPDEVVYFFDTKGGAPISRLILNEIEVLHRLGQDPVNRVWISFTQMLKDVCDNYAMCSAFGLCNMDTASTLFYSCVVGFSPVNPLQWSMRETHGRCRRNMPPECGNGMTTDRFKMVRAVKLPDTDNAMVDMGAMLEQCRDLYMRLAKSKLVEKKRNVLIILLLVTACLLALMGMFLVWIWCKRKLRGKRRNMDIQKKKMMVGHLDETNTLGDENLDLPFFSFGDVVFATNNFAEDNMLGQGSFKKVYKLIEINILSHDSMEIIRLLVQFNDGEIMQGILGENTEVAIKRLSQGLGQGIDEFRNEVVLIAKLQHRNLVRLLGCCIHGDEKLLIYEYLPNKSLDSFIFDAARKNVLDWPT >Sspon.03G0035800-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3C:81430544:81433922:1 gene:Sspon.03G0035800-2C transcript:Sspon.03G0035800-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQARQLLLSTLTFLAVHAVVTAAAAATSCAPRTCGNLTIAYPFWLPDQQPSSSSSAPPCGPSAFEVDCRGGRASLARSFRGAYKILRVSYADRTVVVANDNVQTDASGCPVPRIDVSASLSFAPFTASSANAQLVFLFNCTGNPAGFVNVTCPGAQAVVRLDPRYNTTDARAVAGDCDYSVVPVLGSPGGASAGDYPRLLSGGYLLEWRASPGDCTACNASGGQCGYDSDADAFACICDDGSSHPARCAALQPTVDTIWYPISVSLSIAFSLLLALLILILKFHRQIRSFSVFSIMDRSSSRDTANVEKLLQKYGSLAPKRYRYSELKRITRSFQHKLGEGGYGAVFSGVLPAGGGGNRARAREVAVKILHHSRPNGEEFLNEVISIGRTSHVNIVTLLGFCLEGSRRALVYEYMPNGSLDRYIYSEQDPAGTAPSLGWEALQEIAAGIARGLEYLHEGCSTRIIHFDIKPQNVLLDAEFRPKIADFGMAKLCNPKESILSMADARGTIGFIAPEVFSRGFGVISTKSDVYSYGMLLLEMVAGRSNAKAYAENKSSGDIFFPLWVYDHMLEDDGGGVLQGGGDAGGPGAAAGDEIARKMALIGLWCIQTVPASRPSMSRVLEMLERSIHELAMPPRPYHTSPSNSPSPSHPSSYPPSTSDFTQR >Sspon.01G0011830-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1A:32054527:32056193:1 gene:Sspon.01G0011830-1A transcript:Sspon.01G0011830-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSAAQGRRRRQWTLALVTTAALLERADEQLLPAVYKEVGEALGVSPTALGSLTLCRALVQAVCYPLATCAAARYDRARVVAAGAFLWAVATLLVGASGTFLQMALARGFNGVGLALVVPAIYSLVADYSDDGTRGSAFGWVVMAQFMGRVAGNTLGVLLAATSFLAVPGWRLAFYALALVSASIAALTWLLGADPRPVSSVKAATAAATLAQLAREAKDVVKVPTFQIIVAQGVAGSVPWSALSFAAMWLELVGFTHWQTTVLTSLNNLANALGALFAGSVGDPLGRRFPNTGRIALAQVSTASTVPLAAVLLLALPDNPSAGAAYAATFFILGFVMPWCPVSTNNPIFAEIVPEKARTTVYALDRCFETVFASFAPPLVGILAERVFGYQPAASGRSVEADRENAAALGKAVFAEIAVPVAVCCLAYTGLYWTYPADRQHAQTAALQASLVAHAAGAEGLNQALLPEQER >Sspon.02G0055360-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2D:4373872:4374270:1 gene:Sspon.02G0055360-1D transcript:Sspon.02G0055360-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHFFCPHTQDTGTQSTHTRHTHARTQDTRTAAGSLPAGKQPARRLRPPPRPRRREVGATGCPPPPATSPPAPAFPGGAAGCPGRPATLAPSHRLRRSLVLRGLPRRRGRLPRCPATPAPSHRSSTCSPPAST >Sspon.05G0025810-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:27375494:27381770:-1 gene:Sspon.05G0025810-1B transcript:Sspon.05G0025810-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SDQQESIVRLALSAMASAKTELQLQERVQLHRTAWKLADLAVLFLFLALLARRASSLVAGGGTAPACTWCWAWFTVVWLINMNAKWNPVRFDTHPERLAQRTDELPAVDMFVTTADPKLEPPVVTVNTVLSLLALDYPAGKLTCYVSDDGCSAVTCYALREAAEFAKLWVPFCKKHGVGVRAPFVYFSSAAAVAAADDAEFLRAWTFMKNEYEELVRRIENAEEDSLVRRGDDGEFAEFVGADRRNHPTIIKVLWDNSKNKSNEAAGDGIPRLIYVSREKSPTQHHHFKAGAMNVLTRVSAVVTNSPIMLNVDCDMFANNPQVALHAMCLLLGFDDELHSGFVQAPQKFYGGLKDDPFGNQMQVIYEKVGLGVAGLQGIFYGGTGCFHRRKVIYGVPPDSTTTIGIKGEISGENVSNLGAVENNDCSPSARSIISGDMFKAPMVVAGADLTKVSDCTYEIGTRWGQEVYSYEARFTTVLQFTASVVYGSMTEDVLTGQRIHAAGWRTAFLNPDPPAFLGGTPTGGPGSLTQYKRWATGLLEILLSRHNPFLLAAFKRLDIRQCVAYLFINVWAIRAPFELYYALLGPYCIIANHSFLPTPGFVIPLALFLAYNAYNLGEYMDCRLSVRAWWNNHRMQRIVSSSAWLLAFLTVVLKTLGLSETVFEVTRKEQQSSSDGGADDDADPGRFTFDSSPVFVPPTALTMLSIVAAGRHGPGVGELVCCGWLVLCFSPFVRGLVAVGRGSYGIPWSVRLKAALLVASFFAEFLGADRRNHPTIIKVETNSVQVLWDSSSKSNQVAGDGIPSLIYVSREKSPTQHHHFKAGAMNLAFVRAAYCHGWQLARHRHGMQQDKLTVVQPLSLNICLVSGVVTNSPIMLNVDCDMFANNPQVALHAMCLLLGFHDVPQKFYGALKDDPFGNQMQVLFEKVMYGVPPDSATTSMKEQAFLGGAPTGGPANLTQYKRWATGLLEILSRHNPLLLAAFKRLDFRQCVAYLVIDVWPLRAPFELCYALLGPYCIIANHSFLPKPGFLIPLALFLAYNAYNLGEYMDCRLSARAWWNNHRVQRIVSSSAWLLAFLTVLLKTLGLSETVFEVTRKEQQQGSPDGSGGGDGDGADCATDPGRFTFDSSPVFVPPTALAMLSVVAVAVGAWRAIVVGAAGGVPGARVLWMAGALFLAVRKLRHPMERQAQGCSARRRVRAPVHAQVTSPASEYTSCAWLADDRAARTSSTSRRGGGDAHPAPGGGDTMTRQQKARREICPIYF >Sspon.01G0005470-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1A:14589550:14593435:1 gene:Sspon.01G0005470-1A transcript:Sspon.01G0005470-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMHAWESGRGHAGRVGQAVERRCKGSSRCAVRSCGGRSSRQTRLGCPGMYRIGIAVPAVSSRAHGTPRTCRDRRARRAPGAVRSARRATRPRAASGLSRARAGSMRWKACAAHHQPTGQRSAAHGKKKPGLSAVLSAALQQAFEPGRVLLIWGPTKHC >Sspon.01G0022050-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:79898451:79910052:1 gene:Sspon.01G0022050-2C transcript:Sspon.01G0022050-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKLLSSRLAALSSPEVASAAAAAGGAALALRGWWEEVNDSPAWQDGAFFSLSAAYALVSAVALIQLIRIQLRVPEIGWTTQKVFHLMNFIVNGVRSIIFGFHAYVFLLQRKVYTLVLLDLPGLLFFSTYTLLVLFWAEIYHQAKHLPTDKLRTIYIAVNSVIYVIQVCIWVYLGINDNPLVELVSKVFISAVSFIALLGFLIYGGRLFVRHFPIESKGRRKKLIEVGTVTAICFTCFLIRCIEVAFSSFDPDLSLEVLDHPVLDFFYYMLTEILPSALVLFVLRKLPPKRASAQYNSIR >Sspon.07G0000380-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:501911:505277:-1 gene:Sspon.07G0000380-4D transcript:Sspon.07G0000380-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to Carboxy-lyase [Source: Projected from Oryza sativa (Os05g0591600)] MTPATALAVASEAPTSGLAASEAATESGGGGAERRSRFRRICVYCGSAKGRKPSYQDAAIQLGNQLVERGIDLVYGGGSIGLMGSVSHAVHAGGRHVMGIIPKSLMPREVTGEPVGEVRAVSGMHERKAEMARFADAFVALPGGYGTLEELLEIITWAQLGIHKKPVGLLNVDGFYDPLLSFIDLAVNEGFITEAARRIIISAPTAKELVMKLEDYVPEYDIGLVWEEQKPNSLVPELESGITSS >Sspon.03G0026660-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:3343938:3356024:1 gene:Sspon.03G0026660-1B transcript:Sspon.03G0026660-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAVVGLLVGKLGAALLKEAASYGASLLCHEVSALKGLFGQVRKAKDELENMQAYLKGAERFKDTDETTGLFVQRIRGFAFEIEDVVDEFTYKLEDKHGGFAARTAKKIKHFRTWRQLARKLQDINGRLQEAKQLNQQYAVPQMDRNAGGSSAFHANQALNFTRDEDLVGITENKELLTQWLAGDKEQRCKITTVWGMPGVGKTTLVAHVYKTIKIDFDAAAWVTVSQSYDVQELLRKIARQFGIKADVDSMEMGRIDEAIYNNLQGKRYILVLDDVWTIDVWSEIRNVFPSNCIGRFVITSRKHEVSLLATGNSVVHLEPLEKDESWELFCNSAFWDDGDRKCPSHLKDLAFNFVEKCEGLPIAIACVAYYLEEDKLMPNGKKVYDELEVQLLKNVMPRVEIILKVSLEDLPYDLRNCFLQCALFPEDYLIKRRRVTRHWITSGFIKKKGNQTLEEVAGEYLTELVNRSLLQVVERNYTGRLKCCRMHDVIRFVALNKAEEECFGKVYDGKGEFSVGHMRRISIQSENLDQISPSNASHIRSLHVFVRYISIDLLGHILASSNLLSTLDLQGACIKMLPSEVFNLFNLRFLGLRYTAIESLPETIGRLQNLEVLDALNAQLSCLPKNIVKLKKLRYLYACYVCQGGLEFKPDGGVKVPNGIRHLTSLQALQCVEASSEILCEVGDLTELRTFCVCNQNEPSCSSRYFSSREGEVLHLEGLHLPPTLSFLSLQGQLVKTSMPQVLSSWSHLSSLTKLHISLSNIDEESFSSLLVLRGLCHLALMKAFDGKKLHFTAGCFPKLRFLSIWHAHQLNQVRIEEGAMSNLVELCLQICRLLVSKLGAALLKEASSYGTSLLCHEVSALKGLFGQIREAKDELESMHAYLKGAERFKDTDETTGLFVQRIRGFAFEIEDVVDEFTYKLEDKHGGFIAKAAKRIKQVKTWRHLAHKLQDINGRLQGAKQRNQQFAMRQMDRNVGGNAFHANQALNFTRDEDLVGITKNKERLIQCLAGDLQQKCKITTVWGMPGVGKTTLVAHVYKTIKLDFDAAAWVTMSQKLLRKIAREFGIEADVSNMEMERLADAIYNYLQAKRYILVLDDVWATDVWSEMRTVFPSNCIGRFVMTSRRHEVSLLATTNSVIHLEPLEQDKSWELFCKSAFWDDDDKRCPSHLKGLALQFVNKCEGLPIAIACIGSLLSGRGQTYAEWKKTYDELDLQLVKNLMPRVETILKVSMEDLPYDLKNCFLHCALFPEDYPIKRRTIIRHWIASGFIKKKGNKTLEEVAEECFIELVNRSLLQVVERNDIGRLKCCRMHDVIRLVALNKAKEECFGTVYDGKGEYSIGHIRRISIQSGNLDKLSPSNASHIRSIHVFKWYVNIDFLRPILTYSKLLSTLDLQGARIKMLPSDVFNLFNLRYLGLRYTAIESLPETIGRLQNLEVLDALNAQLSYLPNNIVKLKKLRYLYACCIFRGWQYKPFRGVKVPSGIRHLTSLQALQCVVASSEILREVGHLTELRTFSICNVRSEHSGNLINAINEMSHLVHLDIAALGESEVLQLEGLRLPPFLSWLHLQGQLEKTLIPQVLSSWSHLSSLTKLHISFSKIDEESFRSLLVLRGLCHLALIKAVNGKKLHFTAGCFPKLRFLSIWHAPQLKRVQIEEGAMPSLTELHFGVCRELKFLPQGIEHLTDLEELYLYDISKELSNKLRHKGELNECKDDLMSIRHIRRVIVD >Sspon.08G0025540-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8C:10265151:10268731:1 gene:Sspon.08G0025540-1C transcript:Sspon.08G0025540-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRRVAPSRPLLETSGGNRPPRELWPRYGLSLLQAALAGRKGPAAGACSCRWALAEHGTGRMGWRRVLADGGAAGDGAGWSSNKLGGGEGCQNVVCGGPGASSEHGVAGPAHPIPWCAARRPDSRPGHLGLGERKQGKDHSAVARAAVGNGADAAVSCGPYWKRRARTRPCSRSGGHTGRSRSVRWPAQRRSKTTDACTTIEERDKEFEKLLLKKKRCKDVFKCINVKETNWSCVGDKRHGSYRFVQIRAQAPRRSAPLTMLGPAASPWSAHAPRLAYRMPCRTAQACRTRARRLAPLPSRVPQSKSVAIGRIDKDQPYCEDPARWRMGRKKSGITKYRVEQRIRSGEIND >Sspon.04G0024770-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:21682614:21683325:1 gene:Sspon.04G0024770-1B transcript:Sspon.04G0024770-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VDAMLQELEKEIDDVDAQIGNRWQLLDKDHDGKVTPEEVAAAAAYLKDTIGKEGVQELISSLSKDKGSFTHDTYMLLQCYICPIFLYFSERSLYARLQKERSLLKIL >Sspon.07G0030530-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:11802822:11806140:-1 gene:Sspon.07G0030530-2D transcript:Sspon.07G0030530-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLFTSTKSFKPVKSRIVQQIRKIEGKLDRLIKERQIIGPNMNSGTDRPEIKERPKTSSLIDDSSVFGREEDKETIVKMLLAPNNSGHANLSIIPIVGMGGLGKTTLTQLIYNDERVKEHFQLRMWLCVSENFDEMKLTKETIESVASGFSSATTNMNLLQEDLSKKLQGKRFLLVLDDVWNEDPEKWDRYRRALVSGGKGSKIIITTRNKNVGILMGGTTPYHLKQLSNNDCWQLFKKHAFVDGDSSSHPELEMIGKDIVKKLKGLPLAAKAVGSLLCTRDAEEDWKNILKSEIWELPSDKNNILPALRLSYSHLPATLKRCFAFCSVFPKDYVFEKRRLVQIWMALGFIQPQGRRKMEEIGSGYFDELQSRSFFQYHKSGYVMHDAMHDLAQSVSIDEFLRLDEGLRLHDPPHSSSPKRSARHLSFSCDNRGLTQFKRARTLLLLNGYKSITSSIPSDLFLKLKYLHVLDLNRRDITELPDSIGNLKLLRYLNLSGTGIAMLPSSIGRLFSLQTLKLQNCLALDDLPKTITNLVNLRWLEARTELITGIAGIGNLTCLQQLEEFVVRKDKGYKISELKAMKGITGHICIKNLESVASVEEANEALLMKKTNINNLHLIWSERRRLTSKTADKDIKILEHLQPHHELSELTVKAFAGLYFPNWLSKLTQLQTIHLSDCTNCSVLPALGVLPLLKFLDIGGLHAIVHINQEFSGTSEVKGFPSLKELIFEDMSNLKGWASVQDGQLLPLLTELAVIDCPLLEEFPSFPSSVVKLKISETGFTILPEIHTPSSQVSSSLVCLQIHQCPNLTSLEQGLLCQKLSTLQQLTITGCPELTHLPVEGFRALTALKSIHIYDCPKLEPSQQHSLLPSMLEDLHISSCSNLINPLLREIDEIASMINLAITDCASLHDFPVKLPASLKKLEIFHCSNLRFLPPGIEAASCLAAMTILNCPLIPNLPEQGLPQSLKELYIKECPLLTKSCKENDGEDWPKIAHVPTIEIEDDSTMTDWSIRRRLF >Sspon.07G0009450-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:23743382:23744719:1 gene:Sspon.07G0009450-4D transcript:Sspon.07G0009450-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSGDQIGISLGTRIVDESHDQDTTDLHKCIVFIADNYPATNKSNLSILVLGALGGRFDHEMGNINVLHLFPGINIVLLSDDCLIFLLPRTHTHEIRIEQLIEGPHCGLIPIGMPSTSTTTTGLRWNLDNTSMSYGGLISTSNIVEEDKVTVTSDSDLIWTISLR >Sspon.06G0005400-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:18184777:18186616:1 gene:Sspon.06G0005400-1A transcript:Sspon.06G0005400-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:4-coumarate:coenzyme A ligase, Lignin biosynthesis, Defense against woundin [Source: Projected from Oryza sativa (Os08g0448000)] MVSPTEPKAETTVFRSTLPDIAIPDHLPLHDYVLERLAERRDRACLIDGATGETLTFGDVDRLSRRVAAGLRATLGVRGGGTVMLLLPNSVEFALAFLACSRLGAAATTANPLHTPPEIAKQAAASGATVVITEPAFVGKVRGLAGVAVVATGDGAEGCVSFSDLASSAADDSAAVLPEAAIDVANDVVALPYSSGTTGLPKGVMLSHRGLVTSVAQLVDGDNPNLHFREDDVVLCVLPMFHVYSLHSILLCGMRAGAALVIMKRFDTLRMFELVKRHGITIVPLVLPIAVEMAKSDAIDRHDLSSVRMVISGAAPMGKELQDLLRAKLPRAVLGQGYGMTEAGPVLSMCMAFAKEPLPVKSGACGTVVRNAELKIIDPETGLSLPRNQPGEICIRGKQLMKGYLNNPDATAKTIDSEGWLHTGDIGYVDDDDEIFIVDRLKELIKYKGFQVAPAELEAMLIAHPSIADAAVVPLKDDSCGEIPVAFVVTSGGSEITEDEIKQYVAKQVVFYKRLHKIFFVEAIPKAPSGKILRKDLRAKLASGFSNGSS >Sspon.05G0017900-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:75620778:75622338:-1 gene:Sspon.05G0017900-1A transcript:Sspon.05G0017900-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDAAAEISRLGALSVGVRWRRARFLAVSVILTDSIGVGVTGTVPEWSDLREEEMVGIQEGEGALEL >Sspon.02G0013520-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:33527514:33530764:1 gene:Sspon.02G0013520-2B transcript:Sspon.02G0013520-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPKNGGPASERRLLSRRILILCFLSFFLGMLVTDLFGSVPSPVVVQSRWHERDRELQSLSEDFVAKPKPAEDSDIMGEVSKTHEAIQSLEKSIDTLQMELAAKRSSNELHGESTGGISKQRRRAFVVIGINTAFSSRKRRDSVRETWMPQGEKLKKLEEKGIIIRFTIGHSATSNNVLDKAIDAEDEMHHDFLRLDHVEGYHKLSAKTKIFFSTAVALWDADFYVKVDDDVHVNLGMLIATLGRHKLKPRVYIGCMKSGPVLSDKNAKYHEPEFWKFGEDGNKYFRHAAGQIYAISKDLATYISINQPILHKYANEDVSLGAWFIGLDVEHIDDRDMCCGTPPDCEWKAQAGNVCVASFDWQCSGVCNPVERLKYVHSRCSEGEDAIWSASF >Sspon.03G0008250-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3C:60321540:60322163:1 gene:Sspon.03G0008250-2C transcript:Sspon.03G0008250-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MENGDETLASPTAAAEKAALNGGVADEEQVPITHPAKSYAAVAAENPAPNGGVAKEEEGVAGAHTAAKSYAAVAARAEIEDLRAAKLDLEAKLAEARRENKSLAEETHRIEGVFMQAREEVTIAELAATAAEKEVASLRTEVDRLEALLKAEKGEHELDKESHEKLAKEVDAVRQEKLKLEEEISALKASATKEREAAPAAEAPKEGE >Sspon.06G0007210-3C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6C:25627404:25628186:-1 gene:Sspon.06G0007210-3C transcript:Sspon.06G0007210-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLDKGSLDLVLVPCGLVVMLSYHLLLLYRILRHPATTVIGYENHNKLAWVRRMVQATPDETGLALSVISSNISASTNLASLSIALGSLIGAWVSSTTKMFMTELVYGDRSQATATVKYISLLVCFLASFTCFIHSARYYVQASFLITTLDSDVPAAYVQHAVIRGGNFWSMGLRALYLATTLLMWVFGPIPMFACSVLMVAILHMLDTNSLPLHQHQFTVRKRHEQRALTSTIATRQQQPSPQNPMLSNPVLSPVTFFS >Sspon.02G0041720-1P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2B:78659646:78660194:1 gene:Sspon.02G0041720-1P transcript:Sspon.02G0041720-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQFSQMIDDGLSANIITFRILIHGFSMYGKWEKAEELFYEMMDRGIPPDVNVFNAMIDKLFKEGKVKEAQKLFDLMPRAGAKPNVVSYNTMIHGYFIAGEVDEVIKLLDDMLSIGLKPDVVTFNSLLDGMVSMGLKPDVVTCKTLIDSCCEDGRIEDVLTVFREMLSMADKTNTIKENIVS >Sspon.08G0018820-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:72344254:72345048:1 gene:Sspon.08G0018820-3D transcript:Sspon.08G0018820-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFACGVAAAAADSPGAAAARPSRYESQKRRDWHTFGQYLRNHRPPLELPRCSGAHVLEFLRYLDQFGKTKVHASGCPFFGLPSPPAPCPCPLKQAWGSLDALVGRLRAAFEEHGGRPEANPFGARAVRLYLREVRDSQAKARGIAYEKKRRKRHPPAHRQPKQQQQQQDGQYQHQHQHPLHAAPGPVAERHHVDVTEPPAPHFLIPHAYFLHGHFLPPVTQPIDPAVGGGGGGGGTGEDIVLEMAAAAEAHAAGFFMPLSVFQ >Sspon.02G0021260-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:71232652:71237179:-1 gene:Sspon.02G0021260-1A transcript:Sspon.02G0021260-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:OsNramp1 (Integral membrane protein) [Source: Projected from Oryza sativa (Os07g0258400)] MDAAQVPAAAGVMVDVEALAGPVGSEAPAAPPKGPAWKRFLYHVGPGFMVCLAYLDPGNCTPRTILSLSLFLHISHTIDDTLGTDLQAGADHRYELLWVTLIGLIFALIIQSLSANLGVVTGRHLAELCKTEYPAWVRICLWLLAELAVIAADIPEGTAFAFNLLFHIPLWVGVLITGSSTLLLLGMQKYGVRKLELLVGLLVFVMAACFFIEMSIVKPPAKEVIYGLFIPSLSGPGATGDTIALLGALVMPHNLFLHSALVLSRNTPSSVRGIKDACRFFLFESGIAMFVALLINICIISVSGTVCNSSNLSPVDSAKCSDITLDSSSFLLRNVLGNNSAVVYGVALLACGISSSITGTYAGQYIMQGFLDIKMKQWLRNLMTRSIAIVPSLIVAIIGGSSGAGRLIIIASMILSFELPFALIPLLKFSSSSNTMGQHKNSIYIIGFSWMLGSVIIGINIYFLSSKFVGWILHSSLPIYANILIGIIVFPLMLLYVCAVIYLTLRNETIKFVSCGELQAIETDKSKVADDCNNEEKKEHVSYNGI >Sspon.02G0022270-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:74532253:74534175:1 gene:Sspon.02G0022270-1A transcript:Sspon.02G0022270-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGAVLRSAGSRRLFSYPTLRAAAISGPAALPDAPAAAAAAATAVAPAQPPPLAGTLWARSVATFTRTKSHVNVGTIGHVDHGKSTLTAAITKGISTTRNLLADDAMVPISSPLTPPLGDGEETDKKGAVVKRLKVQAIKKDIKQSPKKVNLVAKLVRGMRVEDALLQLQVTVKRAAKTVYQVIHSARANAAHNHGLDPDKLIVEEAFVGKGLYLKRLSYHAKGRCGIMVRPRCRLTVVVREATAEEEAKIARLRVSNYKKLTRKERQLMPHRLIEVSPRWARKRKEEAGAMA >Sspon.08G0004300-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:13017397:13021996:-1 gene:Sspon.08G0004300-3C transcript:Sspon.08G0004300-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPLACMGRNSGYARCQALLLLVALAVVLLPPSAVQGSGGESGGFEDISAIFMFGDSIVDPGNNNHRLTEAKANFPPYGQDFPGRVATGRFSNGLVPGDLLASKLGVKELLPPYLADDLQPNDLLTGVAFASGGSGYDPLTSTLSTARSSAEQLELFHDYKEKVAAIVGEEKMTRVISKAIFFTIMGANDIVNNYFAVPLRRHEYDLPSYMDFLVSSTINFTMTLNNMGAKKIGIVGVPPLGCCPSQIILGGSPSRECEPLRNQASVLFNSKISKEIDRLNAEWNGYGSKFVYIDIYYNLLDLIQNPVFYGFKEVKEGCCGSTVLSAAVFIAYHNACPNAIDYIFWDGFHPTEKAYNIVVDKLIQQNRKYL >Sspon.06G0024230-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:52491973:52492453:-1 gene:Sspon.06G0024230-1B transcript:Sspon.06G0024230-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SMMMKKGGAATTVAVALVLLAAAAASLARADVSCVDVDEKLRPCVRYVTGKEAAPAAECCAGVKRIRAMPSGTADRRQACECVKQAAAKYQPLNADAIRDLSEKCGAPLPFPLTLNFDCTRIP >Sspon.05G0004340-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:7937629:7941011:1 gene:Sspon.05G0004340-2B transcript:Sspon.05G0004340-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSAALSVSGGAIFGTLGYVFAPQISRTLDSLLDENGQDSESDETGLQRVPRRQGQYYDEGLEKTRQTLGDKISQLNLAIDKAATRLKRVTGNGENEALKDKTEIGISSLSDNEHVVEDLNEHGFVQGEDASWFRMSIALQLRVVSCVVPYLARNEIVGAESGGC >Sspon.05G0003720-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:25642833:25645932:1 gene:Sspon.05G0003720-4D transcript:Sspon.05G0003720-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHDHLKDRLLLPSSGAAATNGPHRRLATPAPGSTGTGAAGGVSIDVNGLKRRGGGRRSWVRVDAATGAAEAVEVAKPALMRRLDLPARDLRLLDPLFVYPSAILGRERAVVCNLERLRCIITADEALVLREPDAAAEEAVRSYVDELQRRLVDRADDLPFEFIALEVALEAACSFLDSQAIELEAEAYPLLDELTAKISTLNLERVRRLKSKLVALTRRVQKVRDEIEQLMDDDGDMAEMYLTEKKMRMEASMLDEEDLQGIGNSHNGFDSSLSAPVSPVSTHPATRRLEKEFSFARSRHSSFKSSDSSQYNIEELEMLLEAYFVVIDYTLSKLTSLKEYIDDTEDFINIQLDNVRNQLIQFELLLTTATFVVAIFGVVSGVFGMNFEVPLFSVPHAFEWTLAITGVCGAVVFCCFLWYFKKRRFFPL >Sspon.07G0029010-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:76939830:76940978:1 gene:Sspon.07G0029010-2C transcript:Sspon.07G0029010-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKGLVSIFSRLVDSGAPSSTSAAAAASSPPWPWPPCGTNPQTASFRAGADDEPCCTATAAAGRSRGPAGAGKPTVMGHGAALGEMYKTVNSVYLDDPAADFFFSLSLAGDDEEERAAKVLYGLHDDDDDSFSTTTASEEWSEAVIRSLGRTSTDRFFFDPPGPLPASNSILATAGAADPEPAARKKALPASTTEEEEKTTVPPSGFDDAEDDDVKKQQPTAAATTSLADRSVAVAVDSGDPYGDFRASMEEMVSAHGLRDWAALEELLAWYLRINGKQQHHLIVGAFVDLLLGLSSSSSPPSSTAAETSTTTTSSSSSTSTTTGSRCTSTTAATTSIASDAVVTTAATAAVVEHQRGGGNHVAPCSSSSSCSYRAAAADGQ >Sspon.02G0011870-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:30394225:30394500:-1 gene:Sspon.02G0011870-2B transcript:Sspon.02G0011870-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGADRFLSVAEGGLGGEALYCVVILWLSVMSWIIFTWVGDAGGEADGRRGRKRRGGGGSRGSPVFVGASGICDGTGPGCSGGYGICGTCLD >Sspon.08G0029590-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:42469620:42491482:1 gene:Sspon.08G0029590-1D transcript:Sspon.08G0029590-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLDGLRLDTLLKRVCKSLLKKRLGDLILGDLDLEQFDIQLGRGTLQLNDLALNAEFINRKLSGSPITLKEGSIKSLLVRFAASCEIVVEELELVLAPSVASEVGDVHTECSVSGSTSDTQTLVKTQRNESDSNQCSTSVSRDVDEGVKRIASAVKWFLTSFNIKLKNVYVVFDPQISLNSGLPETSRSLVFRIKEVEFGTQLGLFKLDNFLTFHEAVIEFLKMDDVDAVLQNDPIRGTADISARYSTTAVLTGPISGFSGKLNLSIPWNKGCLNFEKIDADVSVDSLELRLQFSSIRWIMNVWDSLQRKPVDEQRCGHNIADISISSSSSAFCPPASSSLKPGSDSVIATSEYLAQSTFSQSKQDKIQDSFLTRAHVITDWMEPVARKDLGDPDSDCDESIDQFFECFEELRNSQSSLGNSGIWDWTCSVFNAISFASTLASGSDQVPKEPVVERTLRASITEVSVLLLFSDDTDIENSSVHVSALDDLRNSEMFSSCLSSEHFEKSIISPAKASSLNMHHLKAKCENIHLDLQTYPKNVRFKASIAQIKLDEYYHAGNNNSDDSNLGNHFLNNNLRQGVQASLPQCLFAAGDHSVETYEFCGNSSSELTRVELLKTFGECTFYYDVSTKDQDGNLVSLTSMSIRLAPLLLWVHFHTIYMLLSFVSKVESDLSHGEHKIQKHGDEKGSRLTTSTNMSSSGSLKVQISLSPARIILCFPSEFSWDLSHPSVLDKFLVIDHTSCLNMVGNRQNEMQNEVHLSKPCTSIHLALGNIDVYLVKPVNNVLVGRICSSSRQTFSTMKILSVTGASYNDSGITLVRRKYPVTGPEILNNAWSLPKLHDEKITKKQNSKWAGISPSSTHDLAETGSSMRQELLKSTELLFHVQLSCVSVQLSKKDCELLNKLLDHVIEGISNEQTSISGNSKDQSVLINDVCIQTSVLFECSILEICTELNETVEVGPLLQAELKGSWNSLKLKVSKFSLFSCSNVGGLNNASFLWVNHGEGELWGSVSAKNDKVPGESKDFLIVACKDSACRRGDGEGTNVLSIGAAGCSVTHIRNPKLKENYTSVDVRSGTIVAPGGRMDWINAICLLFSSGSDRTEKSDDSNTVNSYQSGEPYSSSLFIELADVAVSYEPHFKNSTLSAGAADRKFFSCLLAASSFKLHNKSASASAATDFDIQLRDLGLLISQSSGSTNATCSYGVDYLRQAGYAKVAQNTFIEASLRLDSSFWKLEISDSQFDIGTCHDTTYGLIGLGSQLQQLYGPDMQDALDHLQSRWNSVQQANRQNMAADASDKSDSSLEISADSGDYQSDGLLDDIIENAFYTEDCLDNNFWESNCHHLFSSSETDDGFELNAATSSHEFLRSTPEGNVTQISPERNACPDQIIDSYYMPEFHQSLSALCDEEHKCTSGDNSRRTLESEDAGWYNNVPLTIVENHVLKKKNKQVEQVLQHEVASVCSLNPDEYCNLKGKVHIHDIDVKWRMYAGDDWLLPQKDSTSLTCTDGRDKSSSLEFTLRGLGIQVDMYPNGDVSISKLSVAAEDLTLCDQSIHAPWKLVLGCYNSKDYPRESCSSAFSIDQFFECFEELRNSQSSLGNSGIWDWTCSVFNAISFASTLASGSDQVPKEPVVERTLRASITEVSVLLLFSDDTDIENSSVHVSALDDLRNSEMFSSCLSSEHFEKSIISPAKASSLNMHHLKAKCENIHLDLQTYPKNVRFKASIAQIKLDEYYHAGNNNSDDSNLGNHFLNNNLRQGVQASLPQCLFAAGDHSVETYEFCGNSSSELTRVELLKTFGECTFYYDVSTKDQDGNLVSSASMSIRLAPLLLWVHFHTIYMLLSFVSKVESDLSHGEHKIQKHGDEKGSRLTTSTNMSSSGSLKVQISLSPARIVLCFPSEFSWDLSHPSVLDKFLVIDHTSCLNMVGNRQNEMQNEVHLSKPWTSIHLAALGNIDVYLVKPVNNVLVGRICSSSGQTFSTMKILSVTGASYNDSGITLVRRKYPVTGPEILNNAWSLPKLHDEKITKKQNSKWAGISPSSTHDLAETGSSMRQELLKSTELLFHVQLSCVSVQLSKKDCELLNKLLDHVIEGISNEQTSISGNSKDQSVLINDVCIQTSVLFECSILEICTELNETVEVGPLLQAELKGSWNSLKLKVSKFSLFSCSNVGGLNNASFLWVNHGEGELWGSVSAKNDKVPGESKDFLIVACKDSACRRGDGEGTNVLSIGAAGCSVTHIRNPKLKENYTSVDVRSGTIVAPGGRMDWINAICLLFSSGSDRTEKSDDSNTVNSYQSGEPYSSSLFIELADVAVSYEPHFKNSTLSAGAADRKFFSCLLAASSFKLHNKSASASAATDFDIQLRDLGLLISQSSGSTNATCSYGVDYLRQAGYAKVAQNTFIEASLRLDSSFWKLEISDSQFDIGTCHDTTYGLIGLGSQLQQLYGPDMQDALDHLQSRWNSVQQANRQNMATDASDKSDSSLEISADSGDYQSDGLLDDIIENAFYTEDCLDNNFWESNCHHLFSSSETDDGFELNAATSSHEFLRSTPEGNVTQISPERNACPDQIIDSYYMPEFHQSLSALCDEEHKCTSGDNSRRTLESEDAGWYNNVPLTIVENHVLKKKNKQVEQVLQHEVASVCSLNPDEYCNLKGKVHIHDIDVKWRMYAGDDWLLPQKDSTSLTCTDGRDKSSSLEFTLRGLSIQVDMYPNGDVSISKLSVAAEDLTLCDQSIHAPWKLVLGCYNSKDYPRESCSSAFRLELESVRPEPQAPLEDYRLHLEILPLQLHLDQEQLNFLINFFKNDSCNNDPHLHCENETVDVKSTSNGSNTVVDEALLPFFQKFDVKPLVLHINYIPRQFDPIALGKGNYAELLNILPWKGIDLKLKHVSAMGVYGWNSIGDTVAAEWLEDISKNQVHKLLKGLPPIRSLVAVGSGTRKLVSLPIKSYKKDRKLLKGVQRGAVAFIRSVTIEAVGLGVHLAAGAHDMLVKTEHALTTVPPPLASCEAKRTKHNIRANQPESAQQGMKQAYESLTDGFGRTASALIGNPIKVYNRGAGVGSVLATAICGAPAAAVAPVSASARALHYALLGLRNSLDPEHKKESMYKYQGPPQA >Sspon.02G0051720-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2C:82409622:82409984:1 gene:Sspon.02G0051720-1C transcript:Sspon.02G0051720-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLARSRAIFIKEYNNKLFNWLVIFSLVMVVIGRFLIKFFLLEVAAWVMFAFLETYTRLFWSSESLYYNPVWHMIVSSWETIVYSPVLDLDRSGIFLPSTLRWPWHLYYLLCCDIITLICC >Sspon.02G0024800-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:85448454:85450814:-1 gene:Sspon.02G0024800-1A transcript:Sspon.02G0024800-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPPPMPELIPDAVAEILLRLPRDDPAGLVRASAVCKPWLRTLTDPAFLRRYRAFHGTSSVLGFLHNPTDRGLARFVPTTAFRPHAAAAAHRTRTCVVLDCRHGHALLYDYGSTEFVVWDPVTGRERRIPSSVVVYQECEGVHCLAYGCFYSSETGETSARTDLYLEGEHNLCGLEDRPAVLVGGALYFVSRSGTLLRYDVLGGRGLSVMELPPANFLGSSTIVMTAANGGLGLATFGYGALALWSTETGPNGDAKWAHIKIIQLEKLLPVDIRMNSAWLSGFAEDANVIFLSTDDNGIFTIELKSLLTRKVCEMGNVKHVFPYVSFYTAAAFARGTLQSPVETQ >Sspon.04G0006070-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:17212803:17219007:1 gene:Sspon.04G0006070-1T transcript:Sspon.04G0006070-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding PCCLFILGQIFTDVVGSPYYVAPEVLRKRYGPEADVWTAGVILYILLSGVPPFWAETQQGIFDAVLKGVIDFDSDPWPVISESAKDLIRRMLNPLPSERLTAHEVLCHPWICDHGVAPDRPLDPAVLSRIKQFSAMNKLKKMALQVIAESLSEEEIAGLKEMFMAMDTDNSGAITYDELKEGLRKYGSTLKDTEIRDLMEAADIDNSGTIDYIEFIAATLHLNKLEREEHLVAAFSYFDKDGSGYITVDELQQACKEHNMPAAFLDDVIKEADQDNDGRIDYGEFVAMMTKGNMGVGRRTMRNSLNISMRDTPGAL >Sspon.04G0019850-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:75095093:75098905:1 gene:Sspon.04G0019850-3C transcript:Sspon.04G0019850-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTAPPRPGPAAAAAAVHLADHLESATFAPPPPPPPPPSPTTILTAWSRLRDASTSPADALAALETLHHYRRALRLSSAHASLLLPLLPLHPRLVAPLLAAAPHLVPASVPASLPFAPRLLLLGARASAKDLPGHAGYGKPTSTAKNPAGSGEPADCHDDDTVVAVSRILEDVERGDQSADDLDHLALTGVGYALVSADEVQFRRILVSLLRICGRTGNLATGVRVLKLMEWLVMGFVEARKMRKVQVLFELISPEKCEGEDYVLFPVVMAACGGLRALRVASARYRLDFDPRLKEAPERTIQFVAERAVLEGRPADDQRILVQCVALGLTRCGQITFHESVLRCVCMGLLKELLPLPDLLRMSVEKAEGNEFVKAQVNQHLDSILFKEAGPVTGVLCNQYSYANDKAKSFVETCVWEYAQEIYCHLRAAVLLHRGKQDDLITAIDKIAEASFLVVVVFAAEVTKHRLNAKSSEGFQPKVAARILVAFSCVEHLRRLRLPEYTEAVRSAVLAIQENAAATALFIESMPSYTELTSKPDLPALAGTTYIWHRDEVQTSRILFYLRVVPTCVGLIPVHMIQDKVASIMFLYLQHSNEKVTSASHSVMVSFSSSGSDTDQDDRAALKEQLTFYYIKRSLEVYPGVTPFDGLASGVAALVRHLPAGSAAILFCIHSLVVKAKDLCEVAKVQNKSLWRSWEESTDPCKKMLDLLLRLIFLVDIQSFPYLLKELAEFVTLLPKEGQDALLDDMHAHVAESDDVTRKPVLVSWLQSLSYLSSRSRSESHSKAKSAAASDELALNRAMARL >Sspon.02G0015910-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:24345269:24355545:1 gene:Sspon.02G0015910-1P transcript:Sspon.02G0015910-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLISRGAVFKALVFLCFIRSSHGRDHLTKLNLPPFLKASRFPTFQHEAYDYIIIGGGTAGCPLAATLSQKYKVLLLERGGSPYGNRNITLLENFHISLADVSPQSSSQGFISTDGVINARAKVLGGGTCINAGFYSRAKPSFVQQAGWDAELVNQSYPWVEERIVHWPKVAPWQAALRDGLLEAGVSPYNGYSYDHLYGTKVGGTIFDESGYRHTAADLLAAGNPNNLRVLLHASVNKIVFEMKQGNRKPRAIGVQFKDENGGHHQAFLKRKRGSDIIVSAGSIGSPQLLLLSGIGPSNELNKHNISVVLRNEHVGKGMSDNPMNSIFVPMKNPTKQSLIETVGITDAGVFIEASSGFSQSDDSIHCHHGIMSAEIGQLSTIPPKQRSFDKIQKYVHNKNSLPKEVFDGGFILEKIDGPLSTGSLVLVDTDIDSNPSVTFNYFQHPQDLRRCVYGIKTIEKILKTNHFANLTANGAGYPMETLLNMSISANINLIPKHTNDTTSLEQFCRDTVTTIWHYHGGCHVDKVVDQHYRVIGISGLRVIDGSALFSSPGTNPQATVLMMGRYMGVKILRERLGRTAG >Sspon.05G0014610-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:54727590:54750571:1 gene:Sspon.05G0014610-1A transcript:Sspon.05G0014610-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSSLVLLAAALLALASWQQAVAYDPSPLQDFCVADKNSPVRVNGFPCKDPMAVTPDDFYNPAMIIDKRRDTNNKVGSNVTNINVESFPGLNTLGISLARIDYAPLGVNPPHIHPRATELLTVLEGTLYLGFVTSNPNRLFSKVVKKGDVFVFPKAMIHFQMNLDHEKPAAALSSLSSQNPGVITIASAVFGSKPPISDDVLAKAFQQAVAYDPSPLQDFCVADKNSSVRVNGFPCKDPMAVTPDDFYNPAMIIDKRRDTNNKVGSNVTNINVESFPGLNTLGISLARIDYAPLGVNPPHIHPRATELLTVLEGTLYLGFVTSNPNRLFSKVVKKGDVFVFPKAMIHFQMNLDHEKPAAALSSLSSQNPGVITIASAVFGSKPPISDDVLAKAFQ >Sspon.05G0017760-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:70904285:70907166:-1 gene:Sspon.05G0017760-1P transcript:Sspon.05G0017760-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHMALQTPGVLLGTTPQGNTCLHIACIHGHERFCKNVLALTNNQQSPAAASLLGAVNADGETPLLAAVTSGHVSLASFILGRCREEQLNEAILTQDKRGFNALHHAIRSGHRKLALELIDAEPGLSKAVNKYDESPMFIAVMRNYADVSKKLLEIPDSAHGGAYGYNALHAAVRSGNPVVAKWIMEKRPELAREEDKHDATPMHMAVHWDKIDVLRVLLEHDWSLGYVFDSNGAPILASVASRGHVGAARELLKHCPDAPYAPANGIAQMLLPQMLLPNLQIVLPTTCLHQAVKGGHMELLEFFLGSKHLRKLVNMRDYGKQTPLHEAVRKCDPKIVNALLQHPDTDVTVLNSSGNPATWLLSPMTEHAKTLNWNEVSMLMLKADPEAANDTYNLHKQTKDRVTSESRKDIKILTQTYTGNTSLVAILIATITFAAAFTLPGGYSNDAGSEGLPIMARKVAFQAFLTSDSLAMFSSLAVAFISTMSRWEDFEFLLHYRSLTKKLMWISYIATTTAFATGLYTVLAPRLLWLAITICLLSVLLPVLTKLLGEWPVLKLRIHLGKTFKSELLDM >Sspon.03G0036390-3D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3D:37512227:37513609:1 gene:Sspon.03G0036390-3D transcript:Sspon.03G0036390-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GVELDPKDKGQRVAELQPAAGGKGRGDHGVWDWSLTGACCSMSSVCAALHFGFFPQAHGKKQSSLVVGGSAGNNSQDASIKVFMADSKVSLTFIS >Sspon.05G0003420-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:10307368:10309528:-1 gene:Sspon.05G0003420-1A transcript:Sspon.05G0003420-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AMSSSLVESKKTACVTKTLLEKGYAVKTTVRNPSLIHYSVCRLLHALPSTIYLTKVADRIRFERSDFNCPSCQALGPLEVLRADLDEGGSFDEAVAGCNYAFLVAAPINLASGEDPQKELIEPAIPGTLNVMRSCVKAGTVRRVVLTSSAAAVYIRQDLRGGDDGHVLDDESWSDVEYLKANKPPTWGYSASKVLAEKAASRFAHEHGVSLVTVCPVITLGAAPAPTTGTSILHCLSLLSGDKASLGVLKVLEPVSGSVPLVHIDDLCRAELFVAEEAAAAGRYNCCSHSTTVVDLARFLTQKYPQYQDQLLEKPRACILSAKLEREGFSSSTTPWMNVIEYGKALGILSN >Sspon.05G0011550-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:35320035:35320903:-1 gene:Sspon.05G0011550-4D transcript:Sspon.05G0011550-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding PRQTVSLIRNRRPHRDWAPSTRSSSFAARDHGPKPSEVYGFVGSITTVIATAVYLAWAYTPEPVLRSVGITYYPSKYWALVVPSFLIVAVVLSMGIYMGLNFVATPPPTSCSTIFDENSRERTTFSPAIEEEMPIEPISDISIDQINNLMFGDK >Sspon.04G0007360-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:21879025:21883563:-1 gene:Sspon.04G0007360-4D transcript:Sspon.04G0007360-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADEAKAKGNAAFSAGRFEEAARHFTDAIALAPGNHVLYSNRSAALASLHRYSDALADAQKTVELKPDWAKGYSRLGAAHLGLGDAASAVAAYEKGLALEPSNDGLKAGLEDAKKAAAAPPRRGPSGPDGLGQMFQGPELWSKIASDPTTRAYLSEPDFMQMLREVQRNPSSISTYLSDPRMMQVLSLMLNVKIQRPEASESSQSTPPPPQQQQQTPPPETKAREAEPEPMDLTDEEKERKERKAAAQKEKELGNAAYKKKDFETAIQHYTKALELDDEDISYLTNRAAVYIEMGKYDECIKDCDKAVERGRELRADFKMISRALTRKGTALVKLAKTSKDFDIAIETFQKALTEHRNPDTLKKLNEAERAKKELEQQEYYDPTLADQEREKGNEFFKEQKYPEAIKHYTEALRRNPNDPRVYSNRAACYTKLGAMPEGLKDAEKCLELDPTFTKGYTRKGAIQFFMKEYDKAMETYQAGLKHDPNNQELLDGVRRCIEQINKANRGEISQDELQERQNKAMQDPEIQNILTDPIMRQENPRAAQEHLKNPGVMQKIQKLVSAGIVQMR >Sspon.04G0011480-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:38238838:38256803:-1 gene:Sspon.04G0011480-4D transcript:Sspon.04G0011480-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPARVDAEKDAQHELEMKVAKYSRGQGADLKTLGDKKLKGQLSVKEKLYGQSAKAAAKAEKWLMPNDGGYTSNGRYMLVGGRKGHLAMMDMLHMDLIKEFEVRETVRDVTFLHNEQLYAVAQKKYPYIYNQHGTEIHCLKEHGQALKLQFLSKQFLLASINSFGQLHYQDVSTGEMVANYRTGLGRTDVMRANPYNAVIGLGHAGGKVTMWKPTSVKPLVTMLCHHGPVTAVAFDRGGHLMATAGVDRKIKIWDLRKYEVVHSYPQRAQSLDFSQKGLLACSNGSQVEIYRDFGGHDYKLYMKHKIMKGYQVGKVLFRPYEDILGIGHSMGFSSILVPGSGEPNFDTFVDNPMETTKQKREKEVHALLDKLPPETIMLNPNMIATVRAPKKKEKKNKKEIEEEMEDAIEAAKNIERKKKTKGRSKPSKRAKKKEEDVFKAKRPFLEQSEEINGRPDKKQRIGEEVELPKALQRFAKKPQS >Sspon.03G0007130-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:26515388:26524309:-1 gene:Sspon.03G0007130-2B transcript:Sspon.03G0007130-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LLHHRLLPRLLLVPSTHTSTTLRPSRLLLRLSLSPRFSALSHLAAPLTVDQSDDEGAPQGKVQVRLPLDRLFVPPGATVDAGDQDAVSAGVLKGSNIVLGPYARGDAQVVNADFVKSSVRPDDCPRDGLPEFALVGRSNVGKSSLLNSLVRRKRLALTSKKPGNISANSQPGLLQFFRLDHLCTFSFTLWRYTASVQQKVNKGKTQCINHFKVNDSWYLVDLPGYGYSKATGGIKQEHMHLREAMEDSGAGAGTGRKKLKHRLAAILSVFSRRAGGGGRKRRDNEGEAKPPPPLAFPSYSRLGSGKTKPGGGSGNGNGIVDRRLSLSAPRPAPLVHITIDCAGRRSVDAADPSLLAFDATDAGRKAERRSTAGGGMPHETAGEWEGRKCPPSSPFVAHLPPLPPVARWKERANTNGASRRLSTHSSRRLVSSSSSDDEYDEDSRNLFSSRSFSSDSSDFYNCPRKNTTTTRARASVSSPCRAPPPAPASAARRRGTSQSCRYSFELPRGSTASAATDGGFAVVKRSADPYEDFRKSMQEMIAAEWPAAGGDGNDDGEGDHSAERLLETYLVLNSPRHYPAILAAFADV >Sspon.03G0017590-1P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3D:42194955:42197609:1 gene:Sspon.03G0017590-1P transcript:Sspon.03G0017590-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRARRPLLVLLLVAGVAATAESKVYAPADRVLLNCGSTTDGLDADGRKWVADTNDNTWLTDSGKSSLLMAADKVDNGLPSTIPYMTARVFTMEAMYNFSVNPRDRHWVRLHFYPSSYNGIAAGGFHFSVSTSTGITLLRNFSVYTYTKALSQAYIIREFSLPPTPAGFLTLTFTPTPMGNETYAFVNGIEVVSMPDIFVDPAIMVGFADQTVDIASSTLQTMYRFNVGGSYIPPSNDSGLTRHWYDDTPYVYGATSGVTYRAGPHFQIKYPSEIAEYAAPPEVYLGTRSMGSDPRLNQNYNLTWTMPVDANFTYVARLHFCELLLSRANQRAFDIYVNNKTAQSDADVVGMTSEKGVPMYKDYVVYVSEEPDGLQAMWVALHPSVALRPQFYDAILNGLEIFKLNDTAGNLAAPDPEPSRLLAKAELGAGEHEVPESKPHPHMARVMGGTAGGAAALGIVAAICVVWYHEKKNREAASAGGSHTSGWLPLYHSHTSNKSSGHLPANLAGMCRHFSFADIKVATKNFSESLVIGVGGFGKVYRGVVDGDTKVAIKRSNPSSEQGVHEFQTEVEMLSKLRHRHLVSLIGFCEDAGEMILVYDYMEHGTLREHLYMGGKPPLSWRHRLDICIGAARGLHYLHTGAKYTIIHRDVKTTNILVDGDWVAKVSDFGLSKSGPTTMNQTHVSTMVKGSFGYLDPEYFRRQQLTDKSDVYSFGVVLFEVLLARPALDPALPREQISLADYALSCQRNGTLPDVVDPAIKDQIAPECFKKVADTAEKCLAEQSIDRPSMGDVLWNLEFALQLQDTFEGGSCGRRTVGDGSGSGTGRPALEPSNSNGSTASVTTLGTSSTSRAHEACVIMEETDDEVANSAAFSQLVCPTGR >Sspon.02G0006560-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:20781332:20782698:1 gene:Sspon.02G0006560-2C transcript:Sspon.02G0006560-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ANRRRLARAPPSSNEGKEATAAKLPPGSLGLPVIGQSLGLLRAMRANTAERWIQDRIHRYGPVSKLSLFGAPTVLLTGPAANKFIFFSSALALQQPRSVQRILGDKSILELMGADHKRIRGALAEFLKPDMLKLYVGKIDGEVRRHLDECWAGRRTVTVMPLMKRLTFDIISLLLFGLQRSPLQDELAGDFAQVIDGMWAVPVNLPFTAFNRSLRASARARRLIAGIARETRAKLERGEASRSSDLIACLLSLIDDSGARLLSEDEIVDNSMVALVAGHDTSSVLMTFMVRQLANDPDTLAAMVQGDRRRGSRRRARGDRKEQGRRRGPGLEDLAKMKYTWRVALETLRVVPPVFGNFRRAIQDIEFDGYLVPKGWQVFWVASVTHMDTGIFHEPAKFDPSRFENQSASAAPPCSFVAFGGGPRICVGMEFARIETL >Sspon.03G0041710-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3C:44583900:44588982:1 gene:Sspon.03G0041710-1C transcript:Sspon.03G0041710-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDKQKGTGTSSVTSSSLRPPLISCRPAKGGRSGASKQRLSAVEREDGGRQAAGRGEEHRAGAIVVDHAASVRRTRWRLVSDDEETGKQAAALGRSAARCCIGEAAVRAWQRGRDEASHGDAGAKSWFENESKAHSVSDGEGLQACASWRVPPSWWPSLLKRNLSVLGTDQYCRSAVAGWATVVASSLHAIVEPSYSCLQFQYSGDGITCTSSLHAEAVPRGEWGSGDKFAETHRQRCGELIHGVDCVKPPIKPEKVVRTWAARLSLGVLVEEGVCRACHRAHQGCLCLAQWLAQATVLAQRVQCLRWGTGELHAPPLTFAPVSNSATKRPPFVQATSGQQLAAGLRLLCRAFLTRHALPTPLLSCPPSPPSLPFPSLHFATGRWGTLPDEATSVRTPAEMVARVCRRLRDARVVTSGLRRPLTTRRKQHNHSRPNVRW >Sspon.04G0021710-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:5133408:5139688:-1 gene:Sspon.04G0021710-2C transcript:Sspon.04G0021710-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFLHLTPPPAPLEAAVGGCRDGDLPEMLFMFSVCHVIIFLQEGFRFNTQTLKKFRLLQSSKHALAPFVKTLVAAAMPAKAVASDTPTRATHRASSISPPARRGGHAGRQSSAISLMSGTSSNAFVLPGQCIPVLLFVFEDDALDVSSAAASSDDMGDASSSNQGSTSDGLSKQSLASKSSGSVVMLARAANKSEGNSGKKLHSSLEGQIRVLLKKCRVLAGMEPGHIGPRGVSNMSHHLPLFSLDTSRVVALLERYINKKREPLDIIAGLFEDSLCSKSPLDILSLENNYHPTNHDDFQLIKDFIFRQSDALRGRGGYSSNASAGSVAGVGMVAAAAAAAAASAAAGKPANAPDLPTFDKWLSMSTSILTALLSGQSESKTNTSPKKNGQLPAAGSNAIEIALSCLESYNGLNMKFSSSWCQRVLPAAKEIYLKGLPAFYPTSMHEVQLQKALQSFGSMVKGPAVWVFSKKLEDECRAIWESGRQQCDAVSLTGRPCKHRRHGDFSSSDAMKQHSSGYVFLHACACGRSRRLRDDPFDFETANVSFNCFQNCDDLLPSLALPRGSDACSFSASSWRLVRLGGARYYKPTKGLLQSGFSSKERYLLRWIISAGKGQVRNGIRSNTVTSSTRSGMNPQTPPIVTGEVKSAVTQVTAQIKSAKLESSGKQPEMEPMSNSSINFGKGLPNFTMKKPFAEVVAGTTSKDSEFPALQQMRPPKPGGRKDERQMNIGDQISGRGHAAVSQGPVAETESAKASRNKSSENSDGKPFLQIGSNIVPVIVGNETRETSLPVQQFVVYVGFEHECPYGHRFLLSEKHMEEIDSSCLQYQRPHVNKEAEGKHAQKLLQNASGLIASAVDINSARKNSKPLQSSGRNSQQQSLQLRVDAETSQPSPWLSDPQNGKRGEHYFPSITIDDGGEAFSLMNRNLPIYMHCPHCKISERKEHQDVKFAGAVSQLQRIFIVTPDFPVLLASCPLVQFEARAGARDDGGAWDVEAGASELGLALE >Sspon.01G0015610-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:45297270:45300546:-1 gene:Sspon.01G0015610-1A transcript:Sspon.01G0015610-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGACYRRPSPLQRHQLLLLPAVLVLLVIVGAAAKGAGRNVITHIKGFEGPLPFHLETGYVEVDEEHGARLFYYFIESERNPAEDPLILWITGGPGCSALSGLLFEIGPLKFDVAGYTEGFPRLVYFEDSWTKVSNVIFLDAPVGTGFSYSVEEAGLNVSLTESGRQHHVFLRKWLAEHPEFASNPLYIGGDSYSGYTVPVAAMDIAASPPDPEKPNLVGYLVGNAGTDNRYDTGGKVPFMHGMALISDELYEAARLGCGGDFYKTPDPTNAACASAMLAINMVTFLVNPVHILEPFCGAAVRVGSIFQGYGSGEGGGRRSMLVQDDVSHPGFFAKQRLNLPVECRDNGYRLSYIWADDPE >Sspon.02G0008340-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2A:24563750:24566448:1 gene:Sspon.02G0008340-1A transcript:Sspon.02G0008340-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLEKIVLSNDLKILVARRVGSLFGGIVEKGNLEAKEVAFKVLEHISTNAESAKVLIEENVLLPLFRVLSINRTSLLPPRLQEAAAAVLANLVASGVDFGTVPLDGDRTLVSEDIVHSLLLLISNTSPPIQCKLLEFFDTLSGSTGTVLSIVSAIKSSGAITNLVQFVESDHQESRTASIKLIYKISFHLDHEIAQVFRASPTLLGCLVKVAFLNDGNADEQDAALQILANLPKRDKHLTRELMEQGAFKIVASKVLSIYRRDAGSDIYDNALLEGLAKFLARITYVLRDEPRCVSLAREYNLAALFTSLLRLNGLHEVQVVSAKALMNLSLESKYLTSTPKFDDSEQRSKHALFGRKPPNIQFCRVHSGVCSIRDNFCILEGKAVERLIRCLNHSNKKVVEAALAALCTLLEDGVETAEGVSVLHRSNGVAPIFDILKENPTGSLQHRVTWAVERILRAEDIAQAASTDHSLGSALVHAFQHGDSRTRRIAEAALKH >Sspon.06G0023300-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6B:42376963:42377436:1 gene:Sspon.06G0023300-1B transcript:Sspon.06G0023300-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQRSPTSRPCHRQLLWRICYLHTPVAPLASSAGGRTDGGSHQNHRKLASHQNHRKDLLRAICQRLTTGNRGCRISSHRNKKTYHPLPTIRPEPLGRLRRINNHSCTEYGRIPRYGHSGSPPEDDSHTILARAGEASMGKAPYPYNCLGNRSCGGGQ >Sspon.06G0024880-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:58383936:58409900:1 gene:Sspon.06G0024880-1B transcript:Sspon.06G0024880-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLHLGAVPTLIVSSPSTAQAVLRTQDHIFASRATSPVTDILFYGSTDVVFSPYGEHWRQVKKIVSAHLLATKKIRSYSHAREHEVRLVVAKIREAATAGTAVDLSELLVSFANDVLCHAVFGNFFGEKGRNKLFQELVEANSSLLSGFNVEDYFPVLVRLDIIKRMVCAKAHKVKNMWDNLLNTLIDDYESKPPSKRDGDENYLIDVLLSLQQENKLTRDHIKAQLEVMFEAGTDTSFIVLEYAMAQLMLNPRLMNKLQAEVRNAIAKGKEMVTEDELDSLAYLKAVIKETLRLHMPAPLLVPHFSMADCNIEGYTIPSGTRAIVNSWALARDPTYWEKAEEFMPERFMEGGSATAIDNKGNDFQYLPFGAGRRMCPGANFAIANIEVMLANLVYHFNWELPTELAKTGIDMTESKLNNRLISKVIQPEMADAHHGHPELLLQAKSPPQVALVISVLLVCPLLVLLLVLRHFGTTPSRATEQLLDKLPSPPSRLPIVGHLHLVGRLPHVSLRDLSAKHGRDGLMLLHLGAVRLVMAKIHEAATTGTAIDLSELLNSFANDIMCHAVSGKFFREEGRNKLFRELVEVNMSLRSGFNLEDYFPMLVNLDIIKRIVCAKAQKVNKMWGNLLDILMDDHASKPASERDREESDFIDVLLSLQQEYNLMRDHIKAQLVVMFETGTDTSFIVLEYAMAQLMQNPCHMNKLQTEAVIKETLRLHMPAPLLLPHLSMADCNIEGYTIPSGTRAIVNSWALARDPSYWEKAGEFMPERFMEGGSAAAMDNKGNDFQYLPFGAGRRICPGINFASSTIKVMLANLVYHFNWELPMELAKKGIDMTESFGLTVHRPGFNKFSLEVHHGGSFCGHGANRTYVDGKVTWYDNLEVQFWCYVWIEDLVLQLDYGLTDNVSVYWLLPGKELSDGLRILSSDEDCMVMTQVADRVKNFVLYFDHYKYTGVTNWDDVLNPISEIDGEPKIEKMEESVIKKNNQKVKEVIKDLNKYTKL >Sspon.04G0001020-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:3596827:3601192:1 gene:Sspon.04G0001020-2C transcript:Sspon.04G0001020-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinesin-like protein KIN-10A [Source:Projected from Arabidopsis thaliana (AT4G14330) UniProtKB/Swiss-Prot;Acc:Q8VWI7] MAPPPTPPARHGRGRDPLRTPASKHRLNFPAATPRNANHGGGGATEHPVEVIGRIRNLASGTASALEIAGGGTAVRVRGDTGGCRDFTLDGVSVSEEEDLEGFYRRFVRSRIQGVRVGAKCTVMVYGPTGSGKSHTMFGCGAKQPGIVYRALRDILEGGGGGGGGGEGDSAEDDAGFDAGLFVQVAVLEIYNEEIYDLLAGSGATAKGNAPKARLEVMGKKAKNATYISGNEAGKISREVSKVEKRRTVKSTLCNERSSRSHCMIILDVPSVGGRLMLVDMAGSENIEAAGQTGFEAKMQTAKINQGNTALKRVVESIANGDSHVPFRDSKLTMLLQDSFEDDKSKILMILCASPDPKELHKTVSTLEYGAKAKCIIRAAHAATPRDKMSSEESSAMLNSRIVAMNQFIHKLQKENKLREKERNEAQNVLRLKEEELAQLRAKLKLIEGQEMEKTWSLRTELMKMEEAMLKQQQELTALRQRLQEVEHEKANGSQLVQQDIFGGRLLARLSEMPAGLDQSMAMSMSMDLDMGDQPAMQDVKVIKEDTRQQGHMWNQTATAASASTGSVVQEDDVRLSGYPEKTVLSTVFEEGDEEDAEKECGLQEEVRKEVVEENFKVDITQHTLSEPDDPATRKHRIENIFRLCGNHREIAKKPKVQSPAKEMFWNENKSPAKQVFGDQNKSPANQTFGDENKVPSAWGAIETPMCDVRVTDSPVSSQLSPIVCQVVDAPLADQLNRCSAAEKSDPDKENSLAGQKDGGLLEVYIKWESGSLIKGLKLLHNSCLSDLRKLIEAHFEEVGSKQQHQFTFLLLGDPSGAPVSRDKEASVQISRLPHWNNQTDSYLACLRAAKKPAADHMPFSPLDSKLNTVVKDAHLTAGAMSPKVNQMSSPNKVNQMSSPKVNQMSPNYIRELRA >Sspon.03G0046510-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3D:38908860:38909201:1 gene:Sspon.03G0046510-1D transcript:Sspon.03G0046510-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKREKGKKAHLKAQLSLRPSPASSLPLSHLSLSRTRPPPPLPAPVPAAARRRPHKPVRAPAVARSSFSPTGDLRRTLLHPTGAGDELRPPRGPPTPLGQATGLASRLIARNRA >Sspon.07G0013150-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7A:47727561:47728649:-1 gene:Sspon.07G0013150-1A transcript:Sspon.07G0013150-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding KCCRSSCSCPHFILTTACRPCAIGQSPFAAALSLRSHCCIPAARSCLKLSPLSAASMSYNMGRHKDGKLEPRTKAHHDQPTFERYKKAKQDLHPTFSQQQQSTSRRPSRATRGAPQYRESGDGDSSPSSDDDEEEDYRVEPRKRKSTDRDSDDDSGDEEIEEEEAPPF >Sspon.01G0026490-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:69028541:69032738:-1 gene:Sspon.01G0026490-2P transcript:Sspon.01G0026490-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALGSTNVYSSPETYGQTASLTLSSRVKRRVQVAKTCELLWSKSCCARNGEAITHTQATTTKVSPTLVSLFMQSYICSTSAATMQEHRQADRAPKMAMSKRTASRALLVMTVTVVILHRTLCVAASPATPVTAPPATKQTRTPALFLFGDSIVDTGNNNGIITTIRCNFAPYGQDFPGHNATGRFSNGKVPGDIVASRLGIKEYVPAYLGTELSDFDLLTGVSFASGGCGFDPLTAELVSVLTMDNQLDLFKEYKEKLKRVAGAHRAADIVSTSLYLVITGTDDLANTYFTTPFRRDYDLESYIEFVVQCASDFIKKLYGQGARRINIAGAPPIGCVPSQRTNAGGLERECVSLYNQASVVYNAALEKEIKRLNGSEALPGSVLKYIDLYTPLLDMIQRPDAYGDLFTRKYMHTNPAPDRVFSPETTPLLTCLSGCLHVAGFDVTNRGCCGTGVFEVTLTCNRYTAEPCGDPSKFLFWDTYHLTREATTSSWRRSLTDTGCDES >Sspon.07G0012740-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7C:52797376:52798288:-1 gene:Sspon.07G0012740-2C transcript:Sspon.07G0012740-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPELAVMSASAAEQGRRRLEGDDYQATAASPSPHARAPPSSRYKGVVPQPNGRWGAQIYERHARVWLGTFADEATAARAYDVAALRFRGRGAAANFPGRPADAAEMAFLAARPKAEVVDMLRKHTYDDELRQALGSGGSGGDRSLGLGLVLPRVALFEKAVTPSDVGRLNRLVVPKLHAEKHFPPLEEGADAAPVLLAFEDVGVGGGTGKVWRFRYSYWTSSQSYVLTRGWSRFVREKDLAAGDTVAFSQAAITDDVGDYGCGAAADVHRVQEAEEERRRLQRQRRRRARREAVRRQHCRCCDW >Sspon.01G0001430-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:3975326:3976117:1 gene:Sspon.01G0001430-3C transcript:Sspon.01G0001430-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVAPPPLQQHLSDSFSRGWLMKRGARAPSLERLVADADLGHSFGNSRSFSFIDMDPGELFSMRWTGTATAPPESDFDFGLIPGVGGGGSDPSSPVLVSASRVIRDGRLLPSDPAGLRERGAADPPSAPRSPLFRSAHSTPTSPSPSPSSCSSGRTAGRTRGGGASSWKILLQYLRFLGPLYRKVRFLRRFPAPRRRPRVAPASPARASTSSLEWCHGNADTAVRDAILYCKKSSVRPTSLAPPPPTTESNQIQTLTTFVLSD >Sspon.04G0013230-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4A:47046979:47047806:1 gene:Sspon.04G0013230-1A transcript:Sspon.04G0013230-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ALFAAPGCTIRATDFFDASPGCPRCTGLPEERRFTRAALSRGYAVLAVSSRAECWSLDGGGGGDGEDGSELAAVQSIIKWWTTEKYPQLAGLPLVGIGASSGGYFLSALAARVKFSSVAVMIAEGVYGAMAEIPTGYPPALFVHMPKDTERAQLVADSMGRLREKHVDVREIRCDDFAVSAEFLAGRVPGLTRAFADALVDVLRRKGFVDEKGFLKKDGRRTRWKEAAEEAKVLPEGFGLERHVTEELNVAYAYHEFTSLKNTEIFQWFESHMNH >Sspon.01G0045010-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:86797450:86799624:1 gene:Sspon.01G0045010-1B transcript:Sspon.01G0045010-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SATSGFYAFVDPADESNELELFLDQPRSMHHYPPVSYTFNDSDHITSSTSYSSATDGPQFCDLSSNAAPDWYGTSVADSSNNSWINSDITINYLNKLLMDEDNDDKVKLHHGEHALRAMEEPFYRILGQNNPAYPDSPSLCSCGHLNNLDDSINKSSGLSCSSCSVAIDSSNNHSNHNMQAFEAPWSLSDIVKETKRSTEGTRNMELGVKIDGLSIAEKRSRDNQSLQVNVADTSTHASSEVHSGYYSRTKDSYLLEARSSKQVAFSFNGPTRDEMFDRVLLFSEHKPTDEAIVLQEMMTNKSTGHSQNEQGRTSARRKTRGKKQQKKEVVDLRTILIHCAQAVSVNNHTLANDMLNIIRQHSSITGDDTQRLAFCLVDCLEARLAGTGSQLYRNLITKIFNPVGILKVFQLTLAVHPLPRASFYFANKTILDVSKGKSKVHIIDFGIYFGFQWPSLFEQLTKREDGPPKVRITGIELPKQGFRPNQMNKQNTGQRLADYASMFNVPFEYQAISSKWETICIEDLNIEEDDVLIVNCIYRMENVGDETVSINSARNRVLNTIRMMKPKVFVHGIVNGSYGTPFFLTRFKEVMYHYSALFDIFDKTVPQDNETRMLIERGIFQCQLLNVIACEGSERIERPENYKKWKSRNLNAGLEQLPLNPDIVKVIREMVGKYHKDYVINEDDHWLLLGWKGRILNAISTWKPSESYDGDKTYIRSFLVQAEV >Sspon.03G0005140-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:14700624:14701848:-1 gene:Sspon.03G0005140-1A transcript:Sspon.03G0005140-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSPCCEKAHTNKGAWTKEEDQRLIAYIKAHGEGCWRSLPKAAGLLRCGKSCRLRWMNYLRPDLKRGNFTDDDDEIIIKLHALLGNKWSLIAGQLPGRTDNEIKNYWNTHIKRKLLSRGIDPQTHRPLSGGSGGAAAGSGLTTSSTAGFPSPLPAPAPRPARPAIAIPPNVMFARPAAPEDGHSSSGGSTDAPRCPDLNLDLDLSVGPPCSPPKTPAAAVTTPTSQQQQQMQRTTICLCYHLGVRSGDACSCKTAASPVGFRFLRPLEEGQYI >Sspon.08G0003730-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8A:10495081:10496793:1 gene:Sspon.08G0003730-1A transcript:Sspon.08G0003730-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYQPWNSVFLSQQNNRSRLISRRNSLPNMWDLLAMNLERRHKPELMLALPNLLPPLLRARHFGGSASSGQAPWQAGSNVKHDDSLAHSSSSVLDFGPTNYPLKPGLQVQLNPGSSGPFPLSLFLSSGTADRANAAALRQVFAGDEHPPGGIVLGTMEGGGSPGPSQAIPVSGDAYCNSSAAPADAAGSSSPAVAKLRKLLFRRMLIGVNDGRYFHGLFHCIDKQGNIILQDAVEYRSAARHCSPPTEQRCLGLILIPAACWSSCQVDCSVEEKMSLLCLE >Sspon.02G0007240-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:21772290:21781612:1 gene:Sspon.02G0007240-1A transcript:Sspon.02G0007240-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MWESLTDCFSISQIRLNSMFLSKPCSLALPPDSPHRAVDPQYEGIKRFLLTLLLFYSKQSKSIRGANVVYDRITSQVDAPAIYDVFQLEKTFKTTFSLLVLHMWLVLRRLKEEGKDGVKFGQYIYEMYNHDVELRVSKAGYIYEMYNHDVELRVSKAGVNLLLIKWMKELEKIFYGNIVKYDAAISPEARQDDLVNVIWRNIYAEEGSEAMDAAAAPAVQALARYTRREATCLSLTDKDSMFSGNFKFTTLLPATPSPSPKKPVR >Sspon.01G0020020-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1B:79876009:79876455:-1 gene:Sspon.01G0020020-2B transcript:Sspon.01G0020020-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTKLAFLAVAVLLLQASWCAVARARHHHDPDPCGNPDLAHHCSSLAVSSPNGGTPAVMTVTSFEGDGNGGGPAACDGSYHNNGDPIAALSTGWYAGGSRCQKPIRITSTQTGRTVVAEVVDECSSDNMVSTSQAVWDALGLNTYIGE >Sspon.06G0022150-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:23834230:23836003:-1 gene:Sspon.06G0022150-1B transcript:Sspon.06G0022150-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGHDYYKKVKMNLSISHGGVCIFMLGLRSTNTNKIQFTQRLPLGPELHMGKERCCLRGLDHLHGPTSHSICGNFLIYKPSPTSERFMFEHDESLRADLLPINFPASYENGKLEDFLHRWMKNHEHKNFWFSMFPERRYFFSIRETRSTTEVAIHTNPFTDLYAPIGTGSSRTGGWYTTIMKLPFIFSIRIGFLLASSGGSRSLLRQLQKDKLHWNRESFVHNCIKGVKIVAAARRGSTLISNNLSSLPQWISILL >Sspon.06G0016450-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:89046238:89047746:1 gene:Sspon.06G0016450-1A transcript:Sspon.06G0016450-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEAEAAHTQTEYQTKTQINFVARLQLCKIPIFSPIGFAAADADVAMEPAADSRSGSGLVTDTVSACDWSRLPEDMLVSVLGALHVADAIRSGAVCASWHAAYVSFRRLRLPSPRQPPCLLYASDAVTPGAAALHCPSTGATLRIPTRGPARPPAPAGLRPRLAGHRRRGLRPAPLNPVTGAQVALPPITALHHVERGADEQGDPAYLVYENLSVYSFSKHRCEVNTKPTILEMDDAYECMYFRVVLSAGPSAGRGCVVLLLHMPLGEVSFARLGDDRWTWVAPGDDDDDTGLPSRYGYRDAMYSAADGLFYLLGLDASMCSLDLNGPSPVARKILDSVPKSVDASKYLVQTPAGDILQVWRSREEVDSEIPVEYPPDYVVDDTIAGQDPCLELNTFEMQLYKVDLHGHRVELIKSLPENALFLGYNGSMCIPVKDFPGLKPNCAYITDDSMEYINFWKHNRREIGIWSLAEQSMSKLVDVSPTTYPWLNWPSPIWIQPSFF >Sspon.03G0000030-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:37310:44531:-1 gene:Sspon.03G0000030-1A transcript:Sspon.03G0000030-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Purple acid phosphatase 16 [Source:Projected from Arabidopsis thaliana (AT3G10150) UniProtKB/TrEMBL;Acc:A0A1I9LQV7] MRKWWHGLAAAGALLAALAAALALSVAGLRPATEHAHGQPSSSAADSRRPPLRFGPGGVFKVALFADLHYGEDAWTDWGPAQDAASDRVMAAVLEAENPDFVVYLGDLVTANNLPIPNASLYWDRAISPSRSRGVPWATVFGNHDDMPFEWPPEWFSPDGVPPLRCPPPTICTPDSGCSFRGTPRIDLMAAESGANRLSYSSSGPRELWPGVSNYVLQVLSRRRRSRGDDHDPALLMYFLDSGGGSYTEVVSSAQVKWFHSQSQFLNPNGRIPELIFWHIPSTAYVKVAPKAKSEIRKPCVGSINKEEVAPQAAEWGMMDALAKRPSVKAVFVGHNHGLDWCCPYEELWLCFARHTGYGGYGDWPKGARIIQLLGGRSGASYEGDYFEIEVKVCIFCNGKLLPVVASVKGFYLVRMPHNVSHSLVDLPQQLSITYC >Sspon.05G0034150-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:50252799:50256145:1 gene:Sspon.05G0034150-1C transcript:Sspon.05G0034150-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-prolyl cis-trans isomerase Pin1 [Source:Projected from Arabidopsis thaliana (AT2G18040) UniProtKB/Swiss-Prot;Acc:Q9SL42] MSSAAGGDGETVRASHILIKHQGSRRKASWKDPDGRIISATTRADAAARLLDLRSQILSGQANFADLAARHSDCSSARRGGDLGTFGRKQMQKPFEDATYALKVGELSEIVDTDSGFGKPTAGGEAAGAKPGEMQLFMVMVGL >Sspon.08G0026520-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8C:23966076:23966612:-1 gene:Sspon.08G0026520-1C transcript:Sspon.08G0026520-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLQRPAQHILFPLPTEGRHALDSRWARVLDLTEGEPKPLPLLISATAALLPPRLPSRAASVGKESTGIFFAFPLALLRLHRLVVGRRSAGVLSRLTERCAVSVLSSPSPSPPIAHQVRKLMCSVPVLLDSSTEPSFARPSSAGELSISSNGTTASHTGVGCSSGAMPNPQDTTETSA >Sspon.04G0009010-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:26594038:26602147:-1 gene:Sspon.04G0009010-2D transcript:Sspon.04G0009010-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVAKMPAVGRLWLLLGVVLAFGVAARPAQASRNTHYDFVIKETKVTRLCHEKTILAVNGQFPGPTIYARKDDVVIVNVYNQGNKNITLHWHGVDQPRNPWSDGPEYITQCPIQPGANFTYKIIFTEEEGTLWWHAHSDFDRATVHGAIVIHPKRGSVYPYPKPHKEMPIILGEWWNADVEQLYEATKQGGDASISDANTINGQPSDFAPCSKEDTFKMFVEHGKTYLLRVINAELTNEMFFGIAGHRLTMVGTDGRYLGPFTVDHIMIAPGQTMNMLLEANRPTDGSANNRYYMAARAFATNTLVNFTKTNATAILEYTDAPPFAGPPDSPDLPAFGDIAAATAYTTQLRSLVTKEHPIDVPMEVDEHMLVTISVNTLPCEPNKTCAGPGGNCFAASLNNVSFRNPTTDILDAYYRESISDVYEPDFPNKPPFFFNFTAPNPPQELWLTKRATKVKVVEYGTVVEVVFQDTAILGAESHPMHLHGFSLYVVGRGFGNFDKTKDPATYNLVDPPDHNTVSVPAGCWAAMRFRAANPGVWFMHCHFDRHTVWGMDTVFIIKETKVTRLCHEKTILAVNGQFPGPTIYARKDDVVIVNVYNQGNKNITLHWHGVDQPRNPWSDGPEYITQCPIQPGANFTYKIIFTEEEGTLWWHAHSDFDRATVHGAIVIHPKRGSVYPYPKPHKEMPIILGEWWNADGGDASISDANTINGQLGDFAPCSKEDTFKMFVEHGKTYLLRVINAELTNEMFFGIAGHRLTVVGTDGRYLGPFTVDHIMIAPGQTMNMLFEANRPTDGSANNRYYMAARAFATNTLVNFTKTNATAILEYTDAPPFAGPPDSPDLPAFGDIAAATAYTTQLRSLVTKEHPIDVPMEVDEHMLMTISVNTLPCEPNKTCAGPGGNRFAASLNNVSFRNPTTDILDAYYRESISDMYEPDFPNKPPFFFNFTAPNPPQELWLTKRATKVKVVEYGTVVEVVFQDTAILGAESHPMHLHGFSFYVVGRGFGNFDKTKDPATYNLVDPPYQNTVSVPAGGWAAMRFRAANPGVWFMHCHFDRHTVWGMDTVFIVKNGKTPDAQMLPRPPSMPSSIFKCN >Sspon.08G0020560-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:23293976:23295592:1 gene:Sspon.08G0020560-1B transcript:Sspon.08G0020560-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVFRGLALVLILSCFSSCCLPTVSSLTSNSDGFLQCLSENIPSGLIYTQAASNFIDVLVSSVRNPRLFSNATVRPLCIVTPVDVSHVQAAVRCGRANGVRLRVRSGGHDYEGLSYRSERPDEVFGVVDLSNLRAITVSADDERPVPTAWVDSGATLGELYYTIAKNNSEMAFPAGICPTIGVGGHFSGGGIGMMMRRFGLSIDNVLDAKLVNASGDLVDRDAMGEDHFWAIRGGGGESFGIVVSWKVSLVKVPSTVTAFNIFRTVDQGAIDVLTKWQDVAPDLPSDITIRVIIQGQRATFQSLYLGTCSDLVPMLNSSFPELGMTSADCLEMTWLQSAAFFNFWNRHTPVEALLDRKTSLGTFTKNKSDYVRRAIPKEAWNSIFPWLTMSGAGMIILEPHGGFIGTIPAGATPYPHRSGVLYNIQYITFWSSGNEEGAAATTWISNFYDFMEQYVSKNPRETYVNYRDLDIGENVVVNDVSTFDSGRVWGEKYFAGNFQRLAAVKGTVDPTDYFRNEQSIPPQQSTASKRRSGKRSD >Sspon.06G0024440-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:5113102:5113548:-1 gene:Sspon.06G0024440-1P transcript:Sspon.06G0024440-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGKDLTEDQIASMREAFSLFDTDGDGKIAPSELGVLMRSLGGNPTQAQLRDIAAQEKLTAPFDFPRFLELMRAHLKPEPFDRPLRDAFRVLDKDGSGTVSVADLRHVLTSIGEKLEAHEFDEWIREVDVAPDGTIRYDDFIRRIVAK >Sspon.01G0001330-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:4229838:4232260:1 gene:Sspon.01G0001330-1A transcript:Sspon.01G0001330-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGIARGRLAEERKAWRKNHPHGFVAKPETLPDGTVNLMIWHCTIPGKQGTDWEGGYFPLTLHFSEDYPSKPPKCKFPQGFFHPNVYPSGTVCLSILNEDSGWRPAITVKQILVGIQDLLDQPNPADPAQTDGYHLFIQDPTEYKRRVRLQAKQYPALV >Sspon.01G0061110-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:90004282:90008257:-1 gene:Sspon.01G0061110-1D transcript:Sspon.01G0061110-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATARERRLPQLNLTLDMPSCSSRYPALAPIAAPNPSTSVARHGDFRPSDFERLAILGRGNGGTVYKVSHRGTSALYAVLHGAEPAAVAEADIACRVDSPYIVRCHSVLPTASDDVSLLLELMEGGSLDSLVVGHGGLPEGAVAEVAAQVLSGLAYLRSRCVVHRDIKPANILVSRAGQVKLADFGIASVVSRAGEHCAAYEGTPAYMSPERFDTERLQDGHADRADPYAADVWSLGVTVMELVMGRYPLLPPGQTPSWPALMWAICFGEPPALPDGVASPELRDFVAACLQKDHRKRATAVELLAHPFVAGRDVATSRRAFREVIEL >Sspon.01G0048620-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:109969107:109970739:-1 gene:Sspon.01G0048620-1B transcript:Sspon.01G0048620-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPSVAPSLQLRPCPRPHRNSCPPSSMRRRVACSASAADADVVDLFDAAKLTVDKFVSSGMVVGLGSGPASALAIQYLGTRLRRGSLTGISAVTSSVLSANEADMAGIRASSYQEGTQVSTNILLVLATQEEEQPSETIDFAFADAEVIEEGTLAAVIGRRKTESGEPSFMAEKAMAKSADKLAFITGNDKYVTGVEGSIPVLVKSGNWIDTAEEIDDLFLGDAEVCPSKLIPYTLLEFQNWRSCWVSQPEVWRRPSFGTAGPLGGDHPLVTKEGHHILDVIFTTPIPDLGQVAEKLDKIDGVVDHGIICSNQSYAVIASKGEVQVLDVKSSMIQ >Sspon.02G0027650-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:100261962:100262466:-1 gene:Sspon.02G0027650-1A transcript:Sspon.02G0027650-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRHALAKSASLLREVKNKQRTNLVKRLEPAEARSPETSLWVPHPRTGIYYPKGFEWVMEDVPSSAASFQQSYWFRTGEAEPASSTTSKNDSASFDHPFA >Sspon.03G0017810-3D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3D:42717848:42718285:1 gene:Sspon.03G0017810-3D transcript:Sspon.03G0017810-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ATTREGAKSTASAAVATAAEVVRAFYDGVNRRDLAAVEPLIAEGCVYEDLVFPQPFVGRERIIGFFGEFMGTISPDLQFVIDDISADDSAAVGVTWHLEWRGRPFPFSRGCSFYRLLGSESEQQPLQIVYEQQLHLLLLSSFLQY >Sspon.02G0016750-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2A:46925601:46925734:1 gene:Sspon.02G0016750-1A transcript:Sspon.02G0016750-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ALLSAPNPDDPLADNVAKHWKANEAEAVETGEGITNLVQVLAA >Sspon.06G0012280-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:48463565:48469844:1 gene:Sspon.06G0012280-4D transcript:Sspon.06G0012280-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGKTHRFSKGHPLGFVPDYRHGVVETVGESKGLGASPARIDSGSSCAALPPKRKCVASVNSGDGEGASGFSVPREVFSLPRMTALDKKDLELRLRHELAQVRDLQSRLFSRGPAVSMNGMAAASAPPAGDVLPKKKVEKLKRSDSVQMDRGAPPLVAAAVAAPVASSVNYTSSLKQCANLLKSLMSHGWAGPFLVPVDIVKLNIPDYFEIVKQPMDLGTIQKKMKAGMYSTPREFAADVRLTFSNAMNYNPVNNDVHLMAKTLSKNFETRWRLIEKKLPKPDEKPPRDEKPPQPDERPPQPVEKPPVREPTKKNSTKRVAIEKEDPTKKKPSKKSVPKQDIFREEDSADNPVLQPKKRKTSPLVQDAPLVEDIVPAGKRVMTSEQKYDLSARLQSYGAFIPDHVVEFIRSHADDCDAGEEELELDMDALGDDTLFELQKLLDDYDRVNPSRNLTEEEPHEVESRSQYELINPSVCNNEGNELIDEDVDIGENDPPVSTLPPVVLEDETADRSSKHSTSSSSSSGSESSSSDSDSSSSSGSDTDAKAPQQNSGSKEKILPVDGLVDKEKDSLNTLNLPEQSTNPIPVSADGEGGNVSEKQVSPDNPDKQIRAALLRSRFADTILKAREKALDQTTKKDPEKLRREREELERVQREERARLQAEAKAAEDVRKRAEAAAAAEAAAEAKRQRELEREAARKALQEMEKTVDINEGSHFLKDLEMLGSVTGEQIPNLVGETSPGFQMGSNTLEKLGLYMKNDDDDEDGDFTDEPVADVEEGEID >Sspon.07G0015620-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7A:55750252:55750553:-1 gene:Sspon.07G0015620-1A transcript:Sspon.07G0015620-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding EGRERKVHSEKPAPGLATMDLMLSRAKGSRRRRRRQRPRPPDEEWRCQQHVDTARDGARRDEAEWVRRGMEHGAAADMAWLDAGWGRCREVAWGGRMAR >Sspon.07G0016750-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7B:64535899:64536743:-1 gene:Sspon.07G0016750-2B transcript:Sspon.07G0016750-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVVVAVAVVLLSVSASPPAAAQPWQYCSRSAQYSPNSTYQINLEAVSAALPRNASSSPALFATAARGAGDDSDDRVFALTLCRGDADAAGCLDCVADAFRHARGSYPVDKEVTVLYDACFLYFSGQDFLATTANVGQISLYNTPQNNASADPLADALFTARVRALLNGTARWAAYGNARRFATARIWNGSVAAPVPTMYALAQCTPDLSSADCWDCLEDLVGKAPLAGGTIGARTAGVRCSYRYENYVFFRGVPMLNMGTPPPPSTQPTAGRRSGASLN >Sspon.05G0023620-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:8019265:8023982:1 gene:Sspon.05G0023620-1B transcript:Sspon.05G0023620-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGRDQHQQQAQAQAQAAPRVGSPPQAGAGGGVMMQHAAAAFGAAATPPGMPPASAANVMHGMPLAFNNPMAPSPGASSPMNMKPADMPPGPMYRADSGAPGLQQQHPGSGGVAVSGGELVKKKRGRPRKYGPDGSIGLGLKSAAAAGTEAAGGQSGGGGSSSNPDGKRRGRPPGSGKKKQLDALGSSGTSFTPHIITVKPNEDVASKIMAFSQQGPRTTCIISANGALCTATLRQPATSGGIVTYEGHFDILSLSGSFLLAEDGDTRSRTGGLSVALAGSDGRIVGGCVAGMLMAATPVQVVVGSFIAEGKKPKEEQPKREPTSVPPHTAGFGAASTASPPSDGTSSEHSDDPGSPMGPNGSTFTNAGLPLHSTFASAGWSLSGNQSRYDPDLKMVTD >Sspon.05G0016660-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:64080277:64081516:-1 gene:Sspon.05G0016660-3D transcript:Sspon.05G0016660-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAATADPPGYFVGRPLHYQDQQPQAVPPPPAEAAVDDQNAVNAQVPGYYKGRVSRPSDGNEHSNNADAAVQQNREPGFLAKLFGGCFSGSSGNSN >Sspon.04G0027020-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4B:54784424:54784819:1 gene:Sspon.04G0027020-1B transcript:Sspon.04G0027020-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGARPEKSWRTASAARSTTQARLQGRRQGTPAPRSTPWAGSCWAPLKRLCHLDAAERDPVKVRRSPSYERRRKRHGCSVVRVPVGRRPYGVGFDGKQALGSDEMQRRTEGGRRRREASWPSRSVFPSAQQH >Sspon.08G0014410-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:56349999:56350409:-1 gene:Sspon.08G0014410-3D transcript:Sspon.08G0014410-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DGPHEADGAQVHWRQGAAEAAGDQGGAEVGPGDRRREEAAPLPPGHRGAARDPQVPEEHGAAHPQAPVPAPGPRDRAGLQDRPPLPVLRRRRAAGGRRGLPRRALRGHQPLRHPRQARHHHAQGHPACPPHPRGARL >Sspon.05G0007670-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:24987466:24988848:1 gene:Sspon.05G0007670-3C transcript:Sspon.05G0007670-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AMCTPAAGRGRVRLNVGGRVFETTAATLASAGRDTMLGAMLDASWNASRDDATAVGGVAEYFIDRDPACFAVLLDLLRTGGLHVPPGVPEATLYREALYYGLLDRVRAARLGEFDGDRLRLAASVPGRAPGDGTAVRAAPDGGCCVAHGGAVRVYNWMLEERRPVYYPGHAPINDAAYLDAATLLVAARERPGRRDDDGGVAAFSALTGGLRHRFRVAHDRQPRSFTAGALAVDDGGGCAVFASCKGRFNEYGIGVWDANTGEQADFFYEPPGCALGDADRLQWLDGTNTLMVATMFPRTDSSFVSLLDFRDKSVVWSWSDVGTPASLEDKHAVHAVVMEDGRSVCVINQYDDLGFLDLRSSAGGVRWRSRSKLATGGKTKALISEEVCYPKLATYGGQLFASTGDTVSVFSGPDHVLTSTLRGGQGGAICDFSIGGDRLFALHSEENVFDVWETPPPAII >Sspon.03G0003390-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:25060599:25064245:-1 gene:Sspon.03G0003390-3C transcript:Sspon.03G0003390-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:BAG family molecular chaperone regulator 4 [Source:Projected from Arabidopsis thaliana (AT3G51780) UniProtKB/Swiss-Prot;Acc:Q8RX71] MMSGRSGGRDAEGEWEVRPGGMLVQRRDGEAPGPVIRIRVSYGANFREVVVPAQATFGELKSILVQTTGLEPERQRLFFRGKEKSDREFLHAAGVKDGAKLLLLEKPAPANIEQKVEPVVMDESMMKACDAVARVRAEVDKLSAKVCDLEKNVLGGRKVEDKEFVVLTELLMMQLLKLDGIEAEGEARAQRKAEVRRVQSLVETLDKLKARNANPFSDHNKAVSVTTQWETFENGMGSLSAPPPRVSSTQVNTDWEQFD >Sspon.02G0038290-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2D:36553894:36556185:-1 gene:Sspon.02G0038290-2D transcript:Sspon.02G0038290-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAGVAARTHARTRRGMNRAPNHPPGESSRGEEWNGAMEVCQGWSVRPCGFRGPGGRIVAEARSVFPSEASVRNKLLKFESRSMRRVGNGDATVATPTSPVAPGKAKAGRPSAVHDPSAQPPPTLTCCSLLISLALSVHPKRGQEPNPNLRAPALQQHRHETAVQLSGFTCRGGTASSSTSKARGGPGPRAIENVSPTAARSASLPIRLRATSELVPATASAIYQIHVHPKLRKSLALLAPGSLVDLFAASFSFHWPWLPCPGLVPDCSTSPDTPLRRSYSCGCLGRTADECGCTGGERGTETGGERGTSRRTKMVAAAGLRRAGGRFLLARDIKSSGANVHFLGRGFGGGVTMPRALLEDPAPAPAPAMDAPATTTTGTGPHPASAASAAASRITPAVLFVTVVLAVLLLVSGLLHVLRRLFLKSHHASAGAGERQLQHLFFPAHDDGAGGSGGGGGGGLGQAAIDALPEFAYGELSGGGGAAAASRKGKEKAARPFDCAVCLCEFADHDRLRLLPLCGHAFHVACIDVWLRSSATCPLCRTKLSARHLAAAAAAADALPSSVGQDVEEQKPQQDQAPPDAAEAASSSVVLPVRLGRFKNSDDDAAESSSSTGDATSRIDRRRCYSMGSYQYVLADEHLLVSVHLRHGNAGGGGAGTACGTSAAVTAASGGDEQHQSKKVFARGDSFSVSKIWQWRGSKRLPGGLCADDGLPWAPAAKDDHASENTRQHSDT >Sspon.01G0020750-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:81690862:81691438:1 gene:Sspon.01G0020750-2B transcript:Sspon.01G0020750-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAVPLILELDPSHDRAGRVIEDIVRLERRIFPKHESLARSLHDELKRRNSGLIYTTSGADGEEVIGYAMYTCNTSLCATITKLAVKENCRRQGHGEALLAAAVERCRRRKIQRLSLHVDPTRAAAVALYRKAGFQVDTTVEAYYAPHRDAYR >Sspon.08G0011370-4D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8D:46464589:46465078:-1 gene:Sspon.08G0011370-4D transcript:Sspon.08G0011370-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPASSPSTTTSGSPATTAGGGPRPYRSRFGDTTLTKVFVGGLAWETPSEGLRQHFERYGDILEAVVITDRLTGRSKGYGFVTFREPEAARRAVQDPNPTIAGRRANCNIASLGPPPRPAQAQPR >Sspon.03G0021120-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:65076139:65081028:-1 gene:Sspon.03G0021120-1A transcript:Sspon.03G0021120-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSYAAAAAGSSSRKPNRTSNVASSTARPPVPSPSPAPAPPAVNPSIISDSDPSSYSSSSADEADLTASDSATASVVSAYLSVAGEGADLSKVGIFLSSAARRRSPPCLICFDPIRPSDPVWSCSSSCFAILHLPCIQSWAHQSASGAAVPCPTWGCPKCRFAYPKSETPSSYICFCSKTVDPAPDPWILPHSCGDVCGRRLDANLDSGCEHTCLLLCHPGPCPPCPAVVPNARCFCGAHREPRRCAHQRYSCGGQCNKRLSCGLHRCPVDCHDGPCPPCAVRGSHKCECGETMEEKLCSQRIFQCKRECGGMLDCGKHRCERGCHGGKCGECPLRGRRTCPCGKKDYPRLECDAEAATCGSTCEKVLGCGRHRCPERCHRGPCDETCRLVITKACRCGGLKKEVPCYQELTCERKCQCLRNCGRHACKRRCCAGDCPPCSETCDRKLRCGNHKCLSPCHRGVCSPCPLMKTISCACGKTCFEVPCGTEKNQKPPKCSKKCSIPRLCRHKLECRPHKCHYGACPPCKLTCGEELSCGHTCKERCHGPISPPNPEFTLKPTKKKMGKHIEFTPGTPCPPCKEVVLVPCFGQHLGQERAMPCCKWRPFACENLCGNPLLCGNHYCTKSCHVLEVPLNQPEGDRIASISKANTLAEPCEQCNLRCQRVREPPCSHPCPLPCHLSDCPSCKVLVKRPCHCGAMVHAFECVYFNNLNAKEQIKVRTCGGPCHRKLPNCPHLCSEVCHPGICPSVDQCMKKVNTRCACNTLKQEWLCQDVLKEYRKSGRDPKEVPRSQFGVGLLACGEDCKKKLKAPDSELHLRKSQENKSPAVEVVNVPKRRKRRDRGQEVKISNFQEVKTYARRVLLIILLSIIVAAGLYLLWKGIFWLSDWMNEMEEQRAKQRHPRGAML >Sspon.01G0050820-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:8408407:8408977:-1 gene:Sspon.01G0050820-1C transcript:Sspon.01G0050820-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRVDDLIGELCLRPAKVLGKKKRKEFQTVELLVRMDCEGCERRVRKALEDMKGVSSVEVDPKENKVSVSGYVEAPEVMERLRRRAGKEAQPWPYVPYEVVPHPYAPGAYDKKAPPGYVRNVLDDPDAAPLVRASSMEERYTTAFSDDNPNSCAVM >Sspon.04G0018860-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4A:67403349:67403473:1 gene:Sspon.04G0018860-1A transcript:Sspon.04G0018860-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding PPRITILPVPEEEPDSPTYPTGPTSPGSPRATSVRVNNASE >Sspon.01G0026700-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:116468636:116473864:1 gene:Sspon.01G0026700-2B transcript:Sspon.01G0026700-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSDSELVERLQEVLRSSDLNTTTTAALRRRLEEDFGADLSHKKAFIREQVDLFLAEVAAEAEPEEPKEEEPEEAPVPKEEEPEAEAEEGEGVEEGVEGEDEEEEEDEDGDSSGSRKKQRGNDGKKRGGGFTKLCSLSPALQEFVGASELARTEVVKKLWAYIRENNLQDQNNRRKILPDERLRKIFNVNSIDMFQMNKALTKHIWPLNSEVLAPKYLFDYDLPGPVSPDRSTPKEKPQKRDRNEGKKPKGGSSGAGSGLLVPLQLSDDLVKFIGTGESMLSRSDVVKKMWDYIKENNLQENYIPFE >Sspon.01G0002470-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:6628307:6629489:1 gene:Sspon.01G0002470-2D transcript:Sspon.01G0002470-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAISSLMLQEGWRKGPWTALEDRLLTEYVQQHGEGCWNSVAKLTGLRRSGKSCRLRWVNYLRPDLKRGKITPDEETVILQLHAMLGNRWSAIARCLPGRTDNEIKNYWRTHFKKARPSRRARAQLLHQYQLQQQEQRHQYLQSLQLLQQQQQQHQQQQLLLQQQSQQQLVVKQQHLEQQSPPEPDHQAMMAMMDSLQSTGECSCSPVSPVLVPEQCCALPDDDDAMLWDSLWRLVDGDGCGDGSGSSGGDY >Sspon.03G0017170-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:74902043:74907050:-1 gene:Sspon.03G0017170-2C transcript:Sspon.03G0017170-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLPGGNGEPRPPPPPFPSLIKLGRAVTARHVDRLLTVLLRRRRHRLLAALASQALANAIAPTPRTHLLAASALLDSARPRDAAQRLALASHTASRRLWDALLRRACAGGGDPRHALELLSAAIEDHGMVLSPSTYREMVVLLCAHGEVGCAFRVFDLMTRRGCQVEDRVCSSIVSGLSRTGKAGAGLDFYEKVKSQFSGFDPGLVTLTSVVHALGLEGRTGEMAELMQEMECKGMNADAVFYGSMVHGYMSRGFLMEGLREHRSMLEKGIEADVINYTTVIDGLCREGSVEKVMGFLDELERVDAKPNLITYTSLVGGFCKRDRLEDAFSIVRKLEQTGVVVDEYVYSILIDSLCKMEDLDRAFSLLTEMENKGIKASIITYNAIINGLCKAGHTEKALEISEGVAADNFTYSTLLHGYIKRGDITGVMAIKDRLEGSGISMDVVTCNVLIKASFMINKVNDAWSLFHKMPEMGLRPNTITYHTIIDKLCKAEEVDKALELFDEYRKDSRFSTAVVHECLIKALYNALAHLKKVQKPEDAYDSMTNSGQASPVAYDISSNSLLRSSDGIVQPMIDGDDSLSKLSGDTDIDYQKLLGKSFNDDFESYYAAIASLCSKGEVVKANKAVEAMIQNCACSQQEAATYQCGNKRRGATAEDIDLDVISG >Sspon.01G0010680-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:32119602:32122019:-1 gene:Sspon.01G0010680-4D transcript:Sspon.01G0010680-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGILFSCPADDYDPLDLQEEAPAPATSSAAGPGEPAILRASLGSGKLRIEGSLSFKRAQAALQVETEISIRTADAAAMPAPAPREVARARFAEPAATDSPKHEAAALRLQKVYKSFRTRRQLADCAVLVEQSWWKLLDFALLKRSSVSFFDIEKQETAMSKWSRARTRVAKIDPRHRYGHNLHYYYDCWLHSESKQPFFYWLDVGEGREINLEGKCSRSKLLSQCIKYLGPKEREDYEVVIEDGKFLYKKSGRILDTSCGPRDAKWIFVLSTSKNLYVGQKKKGTFQHSSFLAGGATSAAGRLVVENGTLKAIWPHSGHYRPTEENFQEFKSFLKDNLVDLTDVKMSPDEEDEEFWGSLRRITSASEKTQDQTTAAPEETGPCQTAPEAVSTDSQKREEETATTRPEPSEDVDQEAAEEQQAPVPREKILQRINSKKEMKSYQLGKQLSFKWTTGAGPRIGCVRDYPSELQLQALEQVNLSPRCSAAAAASRFASPLRRSFNQPASARGCEASTPREAFRSPLQHGALAMAATAD >Sspon.08G0002580-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:5650836:5651711:1 gene:Sspon.08G0002580-3C transcript:Sspon.08G0002580-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Xyloglucan endotransglucosylase/hydrolase [Source:Projected from Arabidopsis thaliana (AT2G18800) UniProtKB/TrEMBL;Acc:A0A178VQ29] MASSAGAMKTLALAAAAAVLLLLHGGIVASAGGNFNQDVDITWGDGRGKILNNGQLLTLSMDRSSGSGFQSKTQYLYGRFDMQLKLVPGNSAGTVTTFYLSSQGSAHDEIDFEFLGNVSGEPYTVHTNVYSQGKGGREQQFRMWFDPTADFHAYSVLWNPAHIVFYVDGVPIREFRSRNDGAVPFPTSQPMRVYASVWDAEEWATQGGRVRTDWSKAPFVASYRGYAAAGCTAPDAAACARSNGAWMSQELDSAAQEQLRRAQANYMIYNYCTDKYRFPQGPPPECSSPAK >Sspon.07G0021870-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:5090288:5091814:-1 gene:Sspon.07G0021870-1B transcript:Sspon.07G0021870-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPWASWQRPTPAWDATSLASALKTAAACRSAPHVRPLHAVLLKLGLSASAILATSLAHLVLRSGFPLYARELLDEMLRPDVVSWISLITGHAHQGLHREFLSLLWRMVGSGVELNGYSLSGGLLACAGVGQAALALGKEIRASVVKMSLHGPVDLVVENGVLDMYSRCGSVEYALRVFRVMPVRNIVAWNSMMAALLGRRQAEDALRLFVSMVSCGAGVDGFSFSIVVDACGELALLKQGMQVHAQVVVGGFEADVVGRNSLINMYAKCGCVDSAELVFKEVSSNDAVLWTTMISAYGKFGRVQDAVSMFDRMAYLGIKQDGIAYLAVLSACSHGGLVREGWYYFKLMSDGSSSVKMQPEHYGCMADLLCRRGYLEEALEFVENIPFDSSVAAWSALLNSSRIHRNTKLSQLAASCLLKLDPENHSNWVALSNVHASGNDWHETWTIRESMSRECVKKEPGCSWVELCDGVHVV >Sspon.07G0035060-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7D:82434300:82437672:1 gene:Sspon.07G0035060-2D transcript:Sspon.07G0035060-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLPIPCIPIIVITALSVFHLQQASIVAASFSANGNGSASDLSTLLAFKAQFSDPLGILGSNWTAKTSFCQWLGVSCSRRHRQRVVALELPEIPLQGEVTPHLGNLSFLAVLNLTNTGLTGSIPSDIGRLHRLRSLDLSYNTLSGALPSAMGNLTSLEVLELYNNSISGTIPEELHGLHNLRYMDFQKNSLSGSIPESLFNSTPWLSYLNLDNNSLSGMIPHSIGSLPTLQALGLQANQLSGMVPQAIFNMSTLQELYLGGNYNLEGPIPGNKSFSLPMLQIIALQLNSFTGNLPQGLSECQYLQVLSLAENSFDGPVPTWLANLPELANIELSGNNLDGPIPPVLSNLTNLVILDLSFGNLTGEIPLEFGQLSQLMVLALSHNQLTGPFPSFASNLSELSYIQLGANRLSGFLPMTLGSTGSLVSVVLYGNYLEGNLNFLASLSNCRQLQRLDVGLNHFTGRIPDYIGNLSSQLSFFFADRNNLTGELPATMSNISSLNWIDLSENHLSSSIPKSIMMMNKLVIMYLYGNHLSGPIPKQLCVLGSLEQLVLHDNQLSGSIPDQIGNLSKLVYLDLSQNQLSLTIPASLFHLDSLVQLDLYQNSLNGALPVQIGSLKQISIIDLSSNIFVGSLPGSFGQLQTLTNLNLSHNSFNDSVPDSYGNLRSLKSLDLSYNDLSGTIPGYLAKLTELAILNLSFNKLHGQIPEGGVFANITLQSLIGNSGLCGVSRLGFLPCQSNYHSSNNGRRILILSILASAILVGALVSFLYVLIRKKLKKQEMVVSAGIVDMNNYRLVSYHEIVRATENFSESNLLGAGSFGKVYKGQLIDGMVVAIKVLNMQLEQATRSFEAECRVLRMARHRNLIRIVNTCSNMDFKALVLQYMPNGSLETCLHSENRPCLGILKRLEILLDVSKAMEYLHYQHCEVVLHCDLKPSNVLFDENMTAHVADFGIAKLLFGDDNSAVSMSMPGTIGYMAPEYGSSGKASRKSDIFSYGIMLLEILTGKKPTDPMFGGQLSLKMWVNQAFPRKLIDVVDERLLQDPSISCMDNFLESMFELGLLCLCDIPDKRVTMSDVVVTLNKIKKDYSRSTAV >Sspon.01G0032950-2P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1A:111926056:111930263:1 gene:Sspon.01G0032950-2P transcript:Sspon.01G0032950-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MITGAAQMDGGILVVSAPDGPMPQTKEHILLARQVGVPSLVCFLNKVDAVDDPELLELVEMELRELLTFYKFPGDEIPIIRGSALSALQGNNDEIGKNAILKLMDAVDEYIPDPVRQLDKPFLMPIEDVFSIQGRGTVVTGRVEQGTIKTGEDVEILGLTQSGPLKTTVTGVEMFKKILDHGEAGDNVGLLLRGLKRGDVERGQVVCKPGSLKTYKKFEAEIYVLTKDEGGRHTHFMTNYSPQFYFRTADVTGKVELIGETKMVLPGDNVTANFELISPVPLEPGQRFALREGGRTVGAGVVSKVIS >Sspon.08G0001950-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:2715893:2720144:1 gene:Sspon.08G0001950-2B transcript:Sspon.08G0001950-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTVSFSPANVQMLQARSGHGHAAFGSCSAVPRAGPRLRSTAIRVSSEQEAAAAIRAPSGRTIEECEADAVAGKFPAPPPLVRPKAPEGTPEIRPLDMAKRPRRNRKSPALRAAFQETSISPANFVLPLFIHEGEEDAPIGAMPGCYRLGWRHGLLDEVYKARDVGVNSFVLFPKVPDALKSPTGDEAYNDNGLVPRTIRLLKDKFPDIVIYTDVALDPYSSDGHDGIVREDGVIMNDETVYQLCKQAVSQARAGADVVSPSDMMDGRIGALRSALDAEGFHDVSIMSYTAKYASSFYGPFREALDSNPRFGDKKTYQMNPANYREALIETAADEAEGADILLVKPGLPYLDIIRLLRDNSALPIAAYQVSGEYSMIKAAGALGMVDEQKVMMESLMCLRRAGADVILTYFARHAAAVLCGMGPK >Sspon.06G0018800-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:102158998:102162092:1 gene:Sspon.06G0018800-1A transcript:Sspon.06G0018800-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKKIREYDSKRLLKEHLKRLAGIDLTILSAQITQSTDFAELLSQQPWLSTMKLVVKPDMLFGKRGKSGLVALNLDFDQVKEFVKERLGVEVEMGGCKAPITTFIVEPFVPHDQEYYLSIVSERLGSTISFSECGGIEIEENWDKVKTIFLPTEKPMTPDSCAPLIATLPLEARGKIGDFIKGVFAVFQDLDFSFLEMNPFTMVNGEPYPLDMRGELDDTAAFKNFKKWGGIEFPLPFGRVLSPTESFIHELDEKTSASLKFTVLNPKGRIWTMVAGGGASVIYADTVGDLGYASELGNYAEYSGAPNEEEVLNYARVVLDCATADPDGRKRALLIGGGIANFTDVAATFNGIIRALREKESKLKASRMHIYVRRGGPNYQSGLAKMRKLGAELGVPIEVYGPEATMTGICKQAIQCIMAAA >Sspon.02G0044590-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2B:102902999:102908487:-1 gene:Sspon.02G0044590-1B transcript:Sspon.02G0044590-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AGSGKSTLLKILAG >Sspon.07G0026850-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:55885160:55888033:-1 gene:Sspon.07G0026850-1B transcript:Sspon.07G0026850-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEGRVDPDRSGTDPSKSPFPLPLLFHHQQAAAAADSSSSSLLLGLDQSQNPRAVLSAVLAPPWLLCTGLDSELCCAVDQTDRRRFIPSPPLLLVLLWKEKPSQDSNAPAALDGNPQPMDQTEDNSMPSAQQQEEAIKKKFGGLMPKKPPLISKDHERAYFDSADWALGKQGVAKPKGPLEALRPKLQPTRQQQQQRARRSIYTSSENKVIIMDGVALVELRWLI >Sspon.02G0002540-2T-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:8589790:8591413:-1 gene:Sspon.02G0002540-2T transcript:Sspon.02G0002540-2T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLAWMLAAAVAAVLASWAFNALVHLVWRPHAITRRFREQGVRGPDYRFFSGSLGEIKRLRGEGAAVTLDVDDHDFIPMVQPHLRKWIALYGRTFVYWTGARPNVCVADVNVVRQVLFDRTGLYPKNLMNPHVSRLLGKGLVLTDGDDWKRHRKVVHPAFNMDKLKMMTVTMSDCARSMMSEWEAQLAKGGEVEIELSSRFEELTADVISHTAFGSSYDEGKRVFLAQRELQYLAFSTFFNVQIPAFRYLPTEKNLRTWKLDRQVRGMLMDIIKTRLANKDTAGYGNDLLGLMLEACAPEHGETPVLSMDEIIDECKTFFFAGHDTTSHLLTWASFLLSTHPEWQDRLREEVRRECGDEVPTGDALNKLKLVNMFLLETLRLYGPVSLIQRKTGSDLDLGGIRVPEGAILTIPIATIHRDKEVWGDDAGEFKPERFENGVTRAAKYPNALLSFSSGPRSCIGQNFAMIEAKAVVAMILQRFALELSPKYVHAPMDVITLRPRHGLPMLLKRL >Sspon.02G0054440-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:98549224:98549472:-1 gene:Sspon.02G0054440-2D transcript:Sspon.02G0054440-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKICLDEKWKLSSSSKKGSRRSAAVAPATSEASPRGLKGHTSSRGPATRSAVPGRQASLVKEQRARFYIMRRCVTMLVCWRD >Sspon.08G0001280-3D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8D:2067959:2069577:-1 gene:Sspon.08G0001280-3D transcript:Sspon.08G0001280-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTHDDTAPPLVTDGHRSTPHGWMEDGPSRRGATRLVGADAATFTITNRCGYTLWPGILSNAGAPPPSTTGFALAPGQSATVTVGASWSGRIWARTLCATNTSTGAFACATADCGSGAVECSGRGAAPPATLVELTLAGGTGGDDFYDVSLVDGFNVPMLVAPRVAAANGSSCRPTGCAADLNAACPAELRVPAGGGGGAGAPTVACRSACEAFAAAEYCCSGAYGSPATCAPTAYSRFFKAACPSAYSYAYDDATSTFTCASAAGGGGYDVVFCPSAS >Sspon.08G0011370-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:47682681:47683218:1 gene:Sspon.08G0011370-3C transcript:Sspon.08G0011370-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LQDHHTTAPLRARALARDGSPGVVAVDDDVWVAGHHAGRAAAVPVAVRRHDADEGVRGRLAWETPSEGLRQHFERYGDILEAVVITDRLTGRSKGYGFVTFREPEAARRAVQDPNPTIAGRRANCNIASLGPPPRPAQAQPR >Sspon.02G0011730-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:3542102:3544923:1 gene:Sspon.02G0011730-3C transcript:Sspon.02G0011730-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGARDNGEARDEKKGTGSDYVPTRDSFSSQGESRVPKKLGKKETKDNSPRMTKSSAGRLAQNKLQHKGLNNVQNKSQKQKKTISAAKAVEVRKPDIARIPSRAPSDLSEETDDIISDAGSVDDKGTEEAKEIDVLDEAPHCDQSTGTDDETPDMEDKVVDHEKSVVGQGNGELVSKIGKLEQELREVAALEVSLYSVVPEHGSSAHKLHTPARRLSRLYIHASKFWSEDKRASVAKSIASGLVLVAKSSSNDASRLTFWLSNTVVLREIIVQTFGISHQFTPSITTMNMNGATRKLDGKSMTMLWRNNSSHAKTGGMPDDWQETSTLLAALEKIESWIFSRIVETVWWQALTPHMQKRAEGSSTPKAGRVLGPALGDQQQGTFSVNLWKAAFNDAFNRMCPLRAGGHECGCLPVLAKLVMEQCVARLDVAMFNAILRESASEIPTDPISDPIVDPKVLPIPAGDLSFGSGAQLKNSIGNWSRWLTDKLAIDDDDSEDIRDVEDERRGAAETKSFQLLNELSDLLMLPKDMLLEKSIRKEVCPSIGLQLVTRILCNFTPDEFCPDAVPSTVLEELNSESLLERYTNKDMINVFPCIAAPTVYRAPSTLDAAEKVADIGGGAKLDRKASMVQRRGYTSDDDLDDLDSPLASLIDRSAPSPSNGFAHFSAQRGVSMENTRYTLLREVWLEQR >Sspon.08G0012730-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:54212767:54215823:-1 gene:Sspon.08G0012730-1A transcript:Sspon.08G0012730-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAFTSLLPAATSAAATASRLPSAAAGAASTFVRLPHHPTGWAGASVAAPRTAARRRAPGVAYATAATEKSIYDYTVKDIDGKDVPLKKFKNKVLLIVNVASQCGLTTANYTELSHIYEKYKTQGFEILAFPCNQFGAQEPGSNTQIKQFACTRFKAEFPIFDKVDVNGPNTAPIYKFLKSSAGGFLGDLVKWNFEKFLVDKNGKVIERYPPTTSPFQIE >Sspon.03G0001160-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:11667166:11674196:1 gene:Sspon.03G0001160-2B transcript:Sspon.03G0001160-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAHQRPKSRSVPYSQMLSRLLPLRHHRRLLQTLLRSAPDVDHHQRLCSSSAASSPSLSIWRRKKEMGKEGLMAVAQLKRLAALPPAGGHPRLEQFMRSHVSRLLRTDLLAVLAELLRQDHVILSMKIYGVVRKEIWYRPDMYLYRDMLYMLARNKKINETRQVWADLKSEDVLFDQHTYGDIVRAFCDAGLIDLAMEIYEDMRSSPDPPLSLPFRVILKGLVPYPELREKIKQDFLELFPDMIVYDPPDTLSDIDEEFNISPSLIELASSSEDQKSGTYSKKFSTVWRTSRSRK >Sspon.04G0008370-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:24321718:24321990:-1 gene:Sspon.04G0008370-1A transcript:Sspon.04G0008370-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALMPPFVVSLLLCLLAAAAPAASAARAFFVFGDSLVDNGNNNYLLTTARADAPPYGIDFPTHRATGRFSNGLNIPDIISNIYNAIDD >Sspon.02G0037840-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2B:36249166:36249378:-1 gene:Sspon.02G0037840-1B transcript:Sspon.02G0037840-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRKVMFTVGKKKNKSVASQMSRRPFQHMAQRLRELKKIVPDAQDADVEVLLRRTADYICILELKLTVLRR >Sspon.01G0037240-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:17799707:17802795:-1 gene:Sspon.01G0037240-1P transcript:Sspon.01G0037240-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAVYGGLKGKLGVEDAPSCSSTASASHFHPRTSRTWRKGAKDKQLRVKGPVRIPTKVLHITTRKSPCGEGTNTWDRFEFRIHKRVIDLISSPDVVKQITSITIEPGVEVEYKK >Sspon.03G0006210-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:17112167:17115376:-1 gene:Sspon.03G0006210-1A transcript:Sspon.03G0006210-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLPPRIPTAHGAAAAWADDFAEFAASRRGAHRRSLSDSVAFVEVAPADGAAGEFDRLDDDQLMSMFPDEAGGGGGSSSAPGSENGGSSDSDGDKRVGGAPAGGTTTGNGNACDGEQNEAAGDAQAPATGPAAAASTEMIRDPKRVKRILANRQSAQRSRVRKLQYISELERSVTTLQNEVSVLSPRVAFLDQQRTILTVGNSHLKQRIAALAQDKIFKDAHQEALKKEIERLRQVYEQQNLKMSAGAAASDHGPPPPRG >Sspon.04G0015310-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4B:61344326:61346434:1 gene:Sspon.04G0015310-2B transcript:Sspon.04G0015310-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFSKQGQAPMPNNIGSQPLPSSNVQSNQTEHPSLFYPSSLPGDWSSQQMFSMGTSVPVSSYYIVPMSQQSVQLGASRPEVSRPLGAQPLLSRVSLRPPQQVLSIQTSLPAMAGSQPSPSMAGRKSQQTIASPKVQMLKSPSFQSSNKRSAQKEPPSKVQHQQFESVRSKFRESLVAALSLDLDQLNKSQSPNNVHSDGSTDNFKPVAGDVVQDSVATTSKDVANSGIATTVAPSRCEENEKLSSELAPEMITSINDDMQQQSNQVSSEDDLLGQCMVADELLQGHGLSWVSDLDAGISESNAEPNDLKRPRTSDVESESKRIKSANELAMDKEKFNQRAESLAFRIEEELFKLFGGVNKKYKEKGRSLLFNLKDKSNPELRERVLSGDIAPERLCSMTAEELASKELSEWRLAKAEEFAQMVVLPNREVDPRRLVRKTHKGEFQVEVEEPDGFSVEVELGSNLTNIPSKAVEDQTKSNGTDRKEDVQEKDKASDSTSQDEDGGTCNNDMPGDVEYIDSEKADLMQELILDDMKDTVNLPPIPSLDEFMQGLDSEPPFVDLSVGTSQEDVNDSEEPDTTMEPEDLPETEDNASAPEKTESESDKSSAQVNSEPKLESPGHTAVPNSDLTEPRDGELNKSSPSPGKGEAKKTTTDNVSNPDSVHHSQAASIPMIRESIWEGAIQLTVSSLSNVVAIFKRYVSI >Sspon.02G0034070-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:10114048:10115556:1 gene:Sspon.02G0034070-1B transcript:Sspon.02G0034070-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRSPGNSPRRLSPSPTPAPSTPRPPSPTPSTASASASASASALATASSKRRRPEVLDEDTYVAAIERIIERDFFPDLPRLRDRLDWLQAVRSRDPLILRDAQLKILDRRRRVQRRGTGPVPTPTPATSTALRSPSFLTTPAGSVAGGVGAPEEEEDDIADALSLDGFFCRFTSEDNESFSRILDKVNQRRRERYAHLLEPAEVGNKPLLEDAERDRITDGYGTSGQPPSTLEGAKFVAKNLLMYYPADRGEAPLTEEERAERLKAMTKEIDKSNTRLHGRATADDARPREEEAAILYAPVASSTPGGMAYHDPDKLKKYDLEDLRKTPNPFYLESDKKADNGYSFVRTPSPAPGVDESPFMTWGEIDGTPLRLDPDETPGGSERAHFKIPPPPARDVKAHLLSRDAARKIKERSKIFHRPPLPSPARGGSASPRTLSPAAQKFVRNAISKSAKSSNTIDESLRASYRGSTPSASTPKTRFSRDPGLASRSPSTRQGSTPPW >Sspon.01G0002690-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:7410809:7412977:-1 gene:Sspon.01G0002690-2C transcript:Sspon.01G0002690-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VHAQQRRGKVREQWTLTPDQSDTGACTTGGNARYGRRAAARYLIADTATTKPRSGHRTVSTDFCMFNFELVRKYGIQIFGPVVLNGFPLCRIHWRSASTTATWFPVTTSSWLSALSAIKNRMYSKIVQIVEHVSVNTSARNATSSMMMYLRTNFTVMDAAYVGKTGGAENFYHCDKCGCCYTSLLKDSHCCVDRAMHHNCPVCIEIDNSSPTTVSIRLDESYQCAPLWTHDSPGMPVRDEGASAVLMPGLPEVCLQHVRHMAKARSRASPMPAIYQKKMIWILCNDCGMTSNVQFHILAHKCPRCSSYNTRQTRGEPAACSRV >Sspon.08G0008180-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:29721596:29721938:1 gene:Sspon.08G0008180-1T transcript:Sspon.08G0008180-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MANHQEKPTTPPPPPPPEGASPAPACPAAAARTRAAPGTQTRQMPRSPMRRLFGTIQPLVLPRLGHRHPRRRRLLRARMACQGSNPLPSRAEPQHDANSKSVAKEN >Sspon.02G0058040-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2D:69032149:69034473:1 gene:Sspon.02G0058040-1D transcript:Sspon.02G0058040-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ENAKLMTLLNEKAKIIELSRVEIYKLRLALQASKQQNLHLAQNNSQMLAEINAGKDRIKILQHELSCTTALLKVKGSELDRNKNAAKGQRKGVKAQVLKGTASIVAGVDLVTSGVEHHLVESQSAVSSNTVCLEPPQDGKQKRTPQRRRSSRLNQGSCEIGGVSQNKLHEDTVAPLAASTLSVQKQYGQTTGKHMEKSLQNECSATVHEVVMASEFEEIQE >Sspon.06G0015280-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:67519103:67522461:1 gene:Sspon.06G0015280-2B transcript:Sspon.06G0015280-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEIEVVSEGGRRDGGEGEERRRQQQGAAREPPADPVVDVYSAAAYGDLERLRGFVEQGGAGAAALREPDGNGYHALQWAALNNYPHVALYLIEHGGDVNATDHARQTALHWAAVRGSTSVADVLMEHGARVEAADINGYRSNATKVSFEDKFFPGKSRKIGYAPFLFAYLVVCLILFLNSIVF >Sspon.05G0022980-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:4853007:4856131:-1 gene:Sspon.05G0022980-1P transcript:Sspon.05G0022980-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLNLVALIFGTLLLLLRRSSGAGAGISSDTLNNGGNITDGEMLLSAGGSFTLGFFSPSTTVPTKRYLGIWFTASGTDAVLWVANRDTPLNTTSGVLVMSSRVGLRLLDGSGQAAWSSNTTAASASSVAQLLESGNLVIVREQSSSDAFQWQSFDHPSNTLLAGMRFGKNLKTGLEWSLTSWRAKDDPATGAYRRVMDTRGLPDIVTWQGSAKKYRAGPWNGRWFSGLPEMDSQKLFYIQMVDSSDEVTYVLNTTAGTPFSRIVLDEVGKVRVLLWIPSSRVWKEFPWLPRDACDAYASCGAFGLCNVDAASAPSCSCAVGFSPVNTSEWSRNESSDGCERDVPLECDRNGTVTTDRFTLVHGVKLPDTDNATVDMGATLEQCKAWCLANCSCVAYAPADIRGEGNGSGCVMWKDNIVDVRYIENGQDLYLRLAKSESATGKRGRVAKILVPVIASVLVLTAAGLYLVWICKLRAKRRNKDNLRKAILGYSTAPYELGDENVELPFVSFGEIAAATNKFSEDNMLGQGGFGKVYKGTLGQNIEVAIKRLGQSSGQGVEEFRNEVVLIAKLQHRNLVRLLGCCIDGDEKLLIYEYLPNRSLDSIIFGKYLLDWPTRFKIIKGVSRGLLYLHQDSRLTIIHRDLKTSNILLDADMSPKISDFGMARIFGGNQHEANTNRVVGTYGYMSPEYAMDGAFSVKSDTYSFGVIVLEIISGLKISLTHCKGFSSLLAYAWSLWIDDRATDLVDSSLAKSCSYSEALRCIQIGLLCVQDNPNSRPLMSSVVTMLENETTPLPAPIQPMYFSYRGTTQGTEENTSSSMNNMSLA >Sspon.05G0037660-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:19034353:19037436:-1 gene:Sspon.05G0037660-1D transcript:Sspon.05G0037660-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lysine histidine transporter-like 7 [Source:Projected from Arabidopsis thaliana (AT4G35180) UniProtKB/Swiss-Prot;Acc:Q84WE9] MRALDASTILYPARIVPRHGNGVVERPDEDRGNRSPDRSSPHIDPPAVRALTPTPSCFTPGSLHACPADACHLGAWTNLTSPVTETRRQRRHRNHVRHGSTVLHQLGPLLATPNLPITIEVTGKPACALSTVYPSYNMSAGGPSTFPLAAGPGHARRPHQRRVTHQPLCMKHDRTAPVATNQTQVPNRKSNTPTGAGRAAERGRVQRAAGSGGHHVVVQQADAISEVQSAPPTPRPPTPPVVSTPPSQIQSPRAPSGGRSPLHAMASPLRAMASPLRAMATPLASPVRKAVAGVKAVGNITRLADPRDAWLPITESRSGNAYYAAFHNLSSGIGFQALVLPTAFASLGWTWAIICLTLAFGWQLYTLWLLVRLHEPVAGATRYSRYMHLANTILALLPVLYLSAGISTALIIVGGGSMKSLFSIACGESCLARNLTTVEWYLVFVCAAGLLSQLPNLNSIAGVSLVGATAAVAYCTMIWVVSVGKGRVAAVSYEPVKATNDVDAALGILNGLGIIAFAFRGHNVGTMPSTVKHPSHVPMWKGVKVAYAIIALCLYPIAIGMYQLIRADFGRHQQLLSIPSGGILSALYKFHSRDVSRLVLGTTTLLVIINCLTTYQIYAMPVYDNMEAGYVHKKNRPCPWWMRSGFRAFFAATNFLIAVALPFLSQLAALLGGISLPVTLAYPCFMWVAIKKPRKGTATWNVNWALGILGMGISVVLIVGNLWGLVQTGLRLNFFKPDDMQ >Sspon.04G0008100-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:23604882:23605484:1 gene:Sspon.04G0008100-2P transcript:Sspon.04G0008100-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMSPNPDSPSSGGGNGIGPSSGGASPSVGSMTPQSPSRYEAQKRRDWNTFGQYLRNHRPPLSLAQCSGAHVLEFLRYLDQFGKTKVHGPACPFFGHPNPPAPCPCPLRQAWGSLDALVGRLRAAFEENGGRPESNPFAARAVRLYLREVREHQARARGVSYEKKKRKKPQQLTGDSSGGLLHGHTHQPPPPPPPAGAAC >Sspon.05G0013930-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:5B:50638645:50638941:1 gene:Sspon.05G0013930-2B transcript:Sspon.05G0013930-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding QPLQNVSCATVSKIEGKDLNYPSFLVTLTEAAPVVEVRRTVSNVSQAVLAYTAEVVAPPSVAVEVVPPRLEFGSVNQKTDFRVRFSTVTPPPKAARQK >Sspon.03G0047170-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3D:54651015:54652012:1 gene:Sspon.03G0047170-1D transcript:Sspon.03G0047170-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTETVMVNKKMRHLLAECDTGLTTLCQEQEEEEEEDTPDEENGNNVNDKMEKDRAVAENKVEPDEELKEQPQEQPVEKMDAPSWESGSVGRILDLNELAPGVGFDDRPSKLDSERWLGRLDNSYVEILFFIYLNAAGCILTSEQGRVSWPEGP >Sspon.01G0007450-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:19962429:19966975:-1 gene:Sspon.01G0007450-4D transcript:Sspon.01G0007450-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSDAGVAPRKRRVPAVNGLAKDVPAVNGMTKEEPATAPPRLLTLPTVLTIGRVAAVPLLISTFYMEGPWAATATTGIFLAAAVTDWLDGYIARKMQLGTPFGAFLDPVADKLMVAATLVLLCTKPLETSLLNDGPWLLTVPSIAIIGREITMSAVREWAASQSSKVLEAVAVNNLGKWKTATQMTALTLLLASRDPSLPAQGALVAPGVALLYVSAGLAIWSLVVYMRKIWRILLK >Sspon.04G0022320-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:5236858:5239506:-1 gene:Sspon.04G0022320-1B transcript:Sspon.04G0022320-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTRLHLAVLLLLGIASDRARGGRVATELVTPDFTASYLLFQDSYGAFLASPSGAFHAVVYNPGDQLERFYLAVLHAPSKTCVWVANRAAPITDRTAPLQLTAKGISIEDPNGTTIWSTPPFGTPVAALRLDDHGNLALLDARNATLWQSFDRPTDSIVSSQRLPAGAFLASAASDSDFSEGDYRLNVTAADVLLTWMGSTYWRLSNDASSTVDRSGTVAYMAVNGTGLYLLAADGGVVIQVSLPAAELRVVRLGYDGKLQIESFASANSSNSPMDGGFVAPSDGCALPLSCGALGLCTPKGCTCPPLFAASRDGGCTPSDGSAPLSVSSCGSTGGGGNNSLPVSYLSLGNGVEYFANKLAPPTVSGDNVSTCQTLCTSNCSCLGYFYDDSALSCYLVQHQLGSFMNADPTKASDKLGYVKVQSSQLSRPSSNSSSKSTLIAILLPTMVALVLIVVVSATVIRAWRKEVGRSSRSRDQQLRRQRSPSDSAHLVRDIDDDDDDIVIPGLPTRFTHDEIEDMTNSFRIKIGAGGFGAVYKGELPDGSEVAVKRIEGVGMQGKREFCTEIAVIGNIHHINLVRLRGFCTQGQRRLLVYEYMNRGSLDRSLFRPTGPLLEWKERMDVAVGAARGLAYLHFGCDQRIIHCDVKPENILLADGGQVKIADFGLAKFLTPEQSGLFTTMRGTRGYLAPEWLSNTAITDRTDVYSFGMVLLELVRGRKNRSEHVSDGGGEGSNSSNGTTGSSSRGAKSDYFPLAALEAHETGQYAELADPRLQGRVVSEEVERLVKVALCCLHEDPHLRPSMAVVVGMLEGSIALWEPRVQSLGFLRLYGRGFSVPSDGGGSDMNLKHMASPMDRSGTTTSTTMSGWPSYMSSSQLSGPR >Sspon.01G0030740-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:108333925:108334691:-1 gene:Sspon.01G0030740-2B transcript:Sspon.01G0030740-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ARTAINRVAVSAFIICKTAPARIFHSYVRHRRPLLVGLAGSSSSSSMAAARAEKDGGSKLERLRGRLISCMHGQDNEARASPCLELVSSSASAAHHCTLPTTEHLPPPLLMHLRPARRKGCTVRACARPGANWSGGYCTDYRPRPQLPADGNGARGKAFDLVGESLSTPMEKEPYQRGSYNHKQGKSSQRHFLAKYTS >Sspon.08G0027590-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:52517774:52518227:1 gene:Sspon.08G0027590-1C transcript:Sspon.08G0027590-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVPNYGQVRSFPMKCSDEVNPRKAPYKISNQRLRELGLEFTPAAQALYETVICFQDKGVLPVSAGPSSLKIN >Sspon.05G0030720-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:92398334:92410592:1 gene:Sspon.05G0030720-1B transcript:Sspon.05G0030720-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMTQACKKRRGVYISSESGESDTDSDVEGIKLSRKSGVLSISTCQHQSSYKVKAASMKSSRMIRLCGNIVRKLIDHKGGWLFKEPVDPVLYGIPDYFDVIRNPMDLGTVKNKLTKKQYVSIEEFAADVRLTFSNAMKYNPPENDVHKVAKELNGIFDSEWESVERKFRVQNPVQEQQTMKVIKVRGIMDSKPTVPRELVACSNSKPLIARGPVACSNLIAKKTLTDALSSKVKIKFSVRSSEHTSSKDIPVQAAGRKEGSLNHSVPTGNKKASLNCTLPCTKENAKISRIRETERSSGSIGKESWSCNDTSTSPLASSAQGEESYLHDEPLSPSKALRAAMLRSRFAGTIVKAQQKALLDHGKNIDPVKLQLEKERLEKRQQEEKARIEAQVKAAEAAAQRKFDEEMRMKREREREAARLALRMMKKTVDIDNSDFLKELENFSETWQSNPPGKLIVDFIDGDLPPGLGSPLERLGLFMKNDFEDEVEQEMEDSASPSMDVDMKDFQEDAGHEMQDSISPVTVIGTKNDFQGAEGHEMEDSVSPSTVIDTKKDSEEETEHEMVDSVSPSMDVDTEEGEISC >Sspon.06G0002470-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:4338350:4340723:1 gene:Sspon.06G0002470-2B transcript:Sspon.06G0002470-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQKSAQATKDNYVMVQKSDSIAHANKKGMGCGIDSKTEIKNGRVFKIDQVKCIPEKGTIVMELMCHDNSDTQRSFEGVHTATGRVRPSPNTFQRTEETGQDPDISVHSANMKNDRCSTKGMGEENQSANNFHRKMDRQFARNKDGAVEGKAKGNYRKGLEGKDRDSVVKKRKTECENKEKEVEKYGTFNEQKHEDLDASKDKVNNLVRLSCLNEQKFASDIRKMKDFDPNSSPHGQHECQHSYNNGVTGSRYSEEQMPSVSSSGYESSKAYLKQPHPDINYLSQVHCIPSTQDFSEYIDQDWLFSADHVRQKTVTFKAAESRQNKEAILELGPFLRDVVVSETVEKYSVIAIGIDRYT >Sspon.06G0001580-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:3554525:3559343:1 gene:Sspon.06G0001580-3C transcript:Sspon.06G0001580-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAISTAAAAAGGMLRARLRSASRVRGGGEGAGRWTTPGHEERPKGYLFNRPPPPPGESRKWEDWELPCYITSFLTVVILGVGLNAKPDLTIETWAHEKALERLQQQELAAATAVSGGGDADAEGS >Sspon.06G0017850-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:72018001:72026614:1 gene:Sspon.06G0017850-3C transcript:Sspon.06G0017850-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to Na+/H+ antiporter [Source: Projected from Oryza sativa (Os11g0648000)] MGLGVAAAMARGDPSADYGSIAAVVLFVALMCVCIIVGHLVEANRWMNESITALFIGLGTGVVILVASGGKHSRVLMFSEDLFFIYLLPPIIFNAGFQVKKKQFFRNLITITLFGAVGTLISFTIISLGALGLISRLNIGALELGDYLALGAIFSATDSVCTLQVLSQDETPFLYSLVFGEGVVNDATSVVLFNAIQNFDLGDISGAKLLNFIGSFLYLFGTSTILGVASGLLSAYIIKKLYFGRHSTDREVSIMMLMAYLSYMLAELLDLSGILTVFFCGIVMSHYTWHNVTESSRVTTNPVKSIALSSTILALVLVSRAAFVFPLSFLSNLTKKTPGGKISFRQQVIVWWAGLLRGAVSIALAFNKFTRSGHTQQPSNAIMITSTISVVFVSTVVFGLLTKPLISLLIPPRHLSREPSSISEPSSPKSFFEQLATNSPDHLDLENGISLRRPMSPHHYWRKFDDGFMRPVFGGRGFVPFVPGSPTESSIPLLPGNEN >Sspon.02G0034810-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:14224535:14227274:-1 gene:Sspon.02G0034810-1B transcript:Sspon.02G0034810-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVAWNQVKLHDFLQSPEDLERLYCEIHLLKTLKHRNIMKFYTSWVDVSRRNINFITEMFTSGTLRQYRQRHRRVNIWAVKHWCRQILSGLLYLHSHNPPIIHRDLKCDNIFVNGNQGEVKIGDLGLAAILRKSHAVHCVGTPEFMAPEVYEEEYNELVDIYSFGMCVLEMVTFEYPYSECTHPVQIYKKVISGTKPEALYKVKDPTVRRFVEKCLATASQRLSARELLEDPFLQGDDVAVSLDGGDYHVPSNYIRQPSYLGHTYSNGSMMSNGFSESIDEDALSEDCEDDDMKGQDGIDLFKENEDEPLGNVDITIKGRKSEDGGIFLRLRISDNDGRVRNIYFPFDVEADTALSVATEMVAELDITDHEVTRIADMIDGEVSALVPDWRPGPGIEEAPDTSYCHNCGSNVSSCGSLYAYMSLGRQGCQCAELHGRFEEITFQADGEQCDLQESAGSSDDGGGQTEHYVKSKESTHVNGLVQMGRRDLSSQLCFSSFQEQSCSSNYYENDTNHHTNGFDMKHEVKIAKYKARKMAQLKKAIHPSLDFDNAYGVNRMKPSLNKLQSFHIGKNHSFRVPTSP >Sspon.05G0009010-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:28290260:28293436:-1 gene:Sspon.05G0009010-4D transcript:Sspon.05G0009010-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGPSDANGQGTVLSLQHPRSGDETGYLFIDGQLQEINWFKERYGAWFLGDYVCEDGGLYYCTPVDPIFIFLPTFEAARMSNGKDPGKFRQLDEILYVEGYPGYQQLMNVASHHMELVCEVKEVSNMKFFRLDNSKVLSWLCCKVYNLKEVFPKLGKNYAAQTEKEQAASIVSSTVKEAVQMIREYLKDEPWLTFLCKKLQLDVNEITVDATTKIGEASFYADSCPAPGLPSESKTANGGSKSSKGRPAKKPKTEVGSKNIKDMFRKVTRSGSGS >Sspon.02G0034420-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:16251098:16256368:1 gene:Sspon.02G0034420-2C transcript:Sspon.02G0034420-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SAT32 [Source:Projected from Arabidopsis thaliana (AT1G27760) UniProtKB/TrEMBL;Acc:A0A178WAC4] MGKSKKSKARGGGDDLLDSSDADSVGSSSTALSDLSISYATEHVNSQEFVLDKYIDALYEKRGSTREAALVKLVDAFESFMLHGLVENRYATLLSQFNNSVKKGSTKEVFLASHAIGLLAITLGAGSNSHQIMEESHPQLCRVLQAWSDASKMISALDCLAVITFVGATDLAETELSLKAMWDVIHPKSGSNVGTVRKPKPPLLAAALSAWTFLLTTIGSWRINTDSWKELVFSVFLLLPIAFLSTLLEADDRAVRMAAGEALALCFELNLLDVSSCEDGDVNTGGTGGSKNKLFLDMQALKAKISGLASNLSAEAGGKGADKKNLTDQRDLFQRILDFIKYGDCPEESIKIAGKRDVLRVSSWSELIQLNFLKRFLGKGFLKHVQENGLLQEIFDIKVDKAETLSSTDKKIFRSEEEKERALKLNKERRLAQVRKNAVMLDE >Sspon.06G0000020-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:3522418:3528058:-1 gene:Sspon.06G0000020-2B transcript:Sspon.06G0000020-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCAAAFSLLITLAASVTPAASQGAGDAAVLRAFLASLPPASQRVLLPSWNATTTNSSVAGPSHCAFLGVNCTVTGAVAAVNLSGQGLSGELAASAPGLCALPALATLDLSLNSFTGAIPAALTACSALATLELRNNSLSGAIPPEAAALPALTYLSLSGNGLSGPVPEFPARCGLQYLSLYGNQITGELPRSLGYCGNLTVLFLSSNKIGGTLPDIFGSLTKLQKLYLDSNLFTGELPASTGELGNLEKFMASMNGFNGSIPESIGKCGSLTTLLLHNNQFTGTIPAVIGNLSRLQRLTIKDTFVTGEIPPEIGKCQELLIVDLQNNNLTGTIPPELAELKKLWSLSLYHNMLHGPVPAALWQMPQLEKLALYNNSLSGEIPAEINHMSSLRDLLLAFNNFTGELPQDLGLNTTHGLVRVDVMGNHFHGTIPPGLCTGGQLAILDLALNKFSGSIPNEIIKCQSLCRARLTNNLFNGSLPSDLGINTGWSYMELGGNQFEGRIPSVLGSWRNLTVLDLSRNSFSGPIPPELGALTLLGSLNLSSNKLRLVRLDLQNNLLNESIPAEIISLSSLQHLVLSGNKLSGEIPDAFTSTQGLLELQLSDNSLKGAIPWSLGKLQFISQIINISSNMLSGTIPSSLSNLRMLEMLDMSENSLSGPIPPQLSNMISLSAVNVSFNQLSGLLPAGWAKLAELSPEGFLGNPQLCIQSENAPCSKNQSRRRIRRNTRIIIALLLSALAVMASGLCAIHYMVKRSRRRLLAKHVSVRGLDTTEELPEDLTYDDILRATDNWSEKYVIGRGRHGTVYRTELAPGRQWAVKTIDLSQIKFPIEMKILNMVKHRNIVKMEGYCIRGNFEHGYNTRLTEKSDVYSYGVVLLELLCRKMPVDPAFGDGYRNGN >Sspon.03G0036580-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:91003160:91009102:1 gene:Sspon.03G0036580-1B transcript:Sspon.03G0036580-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSATSAAAQEPPPSQGMQRVPAPRVRARCGCALNLVLFLAVTASFAGAAYRARHRPRDLAFVATAYYLLALLLCCVAKLELLRADPAAGDAQRRRARLAAWAVSVALSVMFASRVADIMPMLPLKLAVWAVTAVFLALGLNLLLCCHDADRPAEPEHGGPGSRLARRWRRRGAPRGRPAPLPRRDRRLCVRGLPRAPQRRDLAFAIVTYYLFVVLACCVAKLRQLRRDPAATAAERRRVRIGAWCISVALGTTVTSRVADAMPGLALKLVVWGSPSCSTGSGSTSFSSAKAPSAATRRSSAAAKPTPAVHPRRLCTAGCPRRRRSNEIPAKPTVMSRDALRKLITSL >Sspon.07G0024340-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7C:20915949:20916260:1 gene:Sspon.07G0024340-2C transcript:Sspon.07G0024340-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTFLLNERPDDTLLEASVTDQSQEARQGLKPACSQRLPYERMARAKVWDVVLPSKTRPLHSFHNIQEMSSKSASKPFLVVSGRCSREDSHQEASSPSAGGIR >Sspon.04G0019220-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:74825169:74826713:-1 gene:Sspon.04G0019220-3D transcript:Sspon.04G0019220-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDRARELFDVMPARNDVSWLMMISGYMKRKRVREAREIFGRAPSPATSVCNALLSGYAEHGYMKDAEELFGWMQRPDLVSWNAMITGYTRAGIMQVARRLFDEMPEKDTVSWTAIVRGYLQNGDVDAGWKVFQDMPDRDVLAWNTMMSGFVMSERLDDALRLFADMPDRDLVSWNTILQGYVQQGDMDSATTWFRRMPEKDGTSWNTLISGYKDEGALSLLSEMTRGGYRPDQATWSVAISICASLAALGCGRMVHVCTIKTGFEHDALVMSSLISMYSKCGLITEASQVFDLIVQRDTVTWNAMIATYAYHGLAAEALTLFDRMTKDGFSPDHATFLSVLSACAHKGYLYEGCHYFRSMQQDWNLIPRSDHYSCMVDLLGRSGFVHQAYNFTRKIPSNLQINAWETLFSSCNAHGDIQLGELVAKNVLQSRPSDGGMYTLLSNIYAAKGMWSSAASVRGLMKEQGLKKETGCSWIELKGDVVSFSSNDNAHPLIEQICQEVDNLSILIEEAS >Sspon.04G0021230-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4A:74649032:74649487:1 gene:Sspon.04G0021230-1A transcript:Sspon.04G0021230-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LTLMNMSYTWEIASFMEELASEEGSQLSDNLVHWRSDKISSEQEAMIFDIKFLLDKLLGDMPHIARRLLEIRSTNTLLHSRCSLPDVLSVCTPIALISLHVMLLGSKWVFSSRDTTPDVPT >Sspon.04G0020370-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:79975218:79977426:-1 gene:Sspon.04G0020370-3D transcript:Sspon.04G0020370-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding HGHGHPAAHGSLRPPLPAPPLRLLLPRSAPPAHGLRRRRCLLSTAPAPARPLPARRNWDGDGTGTGDVEAFRAGVSVYKPRSYDVLVTDAARSLACAIDDGKTRLEIEFPAPQFIDANIQLALVVARKLKELKGTRSCIVFPDQPEKRRASQLFRTAIDMIEGVTVSSLDDVPTDPVNSFFKSIRNTLDFDFSDDNE >Sspon.06G0024830-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:58223433:58227830:1 gene:Sspon.06G0024830-1B transcript:Sspon.06G0024830-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MACPRGRTSFPLCFFLMICLMAQLGASNVVLMANNTTLSFDDVEATFTPAVKVSGVNGVLYAVEPMDACSPLRTKAIEGSVSPFALVIRGGCQFDDKVRNAQDAGFKAAVVYDNEDNGVLVSMAGSSSGTHIYAVFVSKASGEEFKKYSGQTDAELWIIPTYENSAWSIMAISFISLLAMSAILAACFFVRRHQIRRDRDRLPQAREFHGMSSQLVKAMPSLIFTKVQEDNCTSATCAICLEDYSVGEKLRVLPCRHKFHAACVDLWLTSWRTFCPVCKRDANAGTSNPPVSESTPLLSSAIGLPESAALASFRSTVAASPPRPISRHPSSRSISRNYSISGSSIPRTPNPRFYANSSPICTSGSNLDLANMSSSWSRTSHLASAHSLCGGHLSPLIDIRNTSPHISRSAYGSPSRYIGSSHMSHGSPSYNLGSSGQRNPYLRHCTLSGPSLFTMVPQSPQQIQLQHGGDSETNLSAAASTQSFRQLYLQYCPDSDTSSQSLPGC >Sspon.04G0012360-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4A:40720940:40727524:1 gene:Sspon.04G0012360-1A transcript:Sspon.04G0012360-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLLASRSIRSSFSAVASTRGASPRPSRVATLAAAAGGARLLRAVPKEARVPRASCRIGRLTRQHPTRTVVAVPAAATYYRIPLRPGDPPSAPAYPHRFPRLMESLLASRSIRSSFSAVASTRGASPRPSRVATLAAAGARPLRAVPKDSILEALAKDDMLNATEVVQWENGMTVNDIAASQGIRIRRHLRPTASLKEIEEGLGAPLNILEKIIWDKEIEVAEGHAKKPLEEVIQAAQKAPPSRDFYCALEAAYKRNGVPALIAEVKKASPSRGVLRENFDPVEIAQAYEKNGAACLSILTDEKYFQGSFENLEKVRTSGVKCPLLCKEFVIDKWQIYNARSKGADAILLIAAVLPDADITYFLKICAELGMTALIECWMA >Sspon.08G0013240-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:39339125:39340908:1 gene:Sspon.08G0013240-3C transcript:Sspon.08G0013240-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTILASETMDIPEGVTVTVAAKLVTVEGPRGKLTRNFKHLNLDFQLQEGGRKLKVDAWFGTRRTMAAIRTAISHVQNLIKGVTKGYRYKMRFVYAHFPINASITNSNTAIEIRNFLGEKKVRKVDMLEGVTILRSEKVKDELILDGNDIELVSRSAALINQKCHVKKKDIRKFLDGIYVSDKGVIEEEQ >Sspon.01G0037150-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:20233469:20235215:-1 gene:Sspon.01G0037150-1B transcript:Sspon.01G0037150-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLEATMICIDNSEWMRNGDYAPSRFQAQADAVNLICGAKTQSNPENTVGVMTMAGKGVRVLVTPTSDLGKILACMHGLEVGAEANLAAAIQVAQLALKHRQNKRQQQRIIAFIGSPVKYDKKVLETIGKKLKKNNVALDIVDFGESDDEKPEKLEALIAAVNSSDSSHIVHVPPGDNALSDVLLSTPIFTGEEGGSGFAASAAAAAATGASGFEFGVDPNVDPELALALRLSMEEERARQEAIAKKAAEDTSNTENNNASSSNSDSVMAEAEPASTAAAGDKKEQPKVYHCPSVTTKNALTCLKCFKFCCMYSHEIFSAISFKIESAFAYILPGSTIKTYMMIC >Sspon.04G0019700-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4A:69585654:69585857:1 gene:Sspon.04G0019700-1A transcript:Sspon.04G0019700-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGADPKRTSWPELLGIPATPAVMRINHDRPELAVEVLPLGMKLSKGFNPKRVRVFFDPRDSQGLVAK >Sspon.03G0000460-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3A:1403230:1411156:1 gene:Sspon.03G0000460-1A transcript:Sspon.03G0000460-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRALCLLLVVLVCRFHLPPPLMTAAAATFPGVMARSSDAALQSSSDCPSSCGDVEIPYPFGIGDNCSLHNAFTITCNTSFSRPLRPYLGDFEVISISVEAGELRVFSPVSFVCYDKSSSSSSTPSRSIAWSLRLHSPFLISTRRNVFTAIGCSTQALLLGRKGYFTGCTTTCQSLDLAAEDRAECTGLGCCQAAISGKLDTMRINWDRPNYLPDNQAWTFSPCSYFFVAEKSWYVFSRQDLVGVEGFSRRVGNRTIPLVLDWAVGNRINYRFLWALKVQIDPIYSAHDATRHSFGIVATTSVFLFHLRSTIFGIVATTNYGVVAFDVENGESMSWGYGELVIVMRCHTRLEKRREGESMEMELEEREVKHVATCVLAFLIYLGIREMKKRKQRKFFNKNGGEILKDVGIIIFTEGELKKMTNGYKKIIGEGAFGKVYMGTMDNGTKQVAIKCSFAKSKQLRHDEFRNEITFQFKIDHANVVRLIGCCLETNVPKLVFEFVPNGSLCDLLHGARRQELPLPARLHIAIGAAEALSYMHSHGHHNYVHGDVKSANILLDNDLTPKVSDFGSAKLVSIVNGNAKWCVSGDMNYIDPTFGVVLLELITRKTAKYDESNSLPIDFVKCCKEQGNGRAMYDREILMAHHAQECLDKIGALAVQCLKEDVDERPTMAQVLKQLEQVN >Sspon.01G0033520-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:111155342:111156460:-1 gene:Sspon.01G0033520-2D transcript:Sspon.01G0033520-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding QQAAHGEPQPPPPPSLVFDAARLSGLSDIPQQFLWPADESPTPDAAQELPVPLIDLTGDAAEVVRQVRRACDLHGFFHVVNHGIDDALLQEAHRCMDAFFTLPLSGQAARAAAPGGQLRLRQQLHGPLRLQAAVEGDAVVPLLGRGRRRRPGLLRRQARRGVPPPRGGVRPLLLRDEPALAGAHGGARGQPGRGPPPLPPLLPGERLHHAPQLLPAVPAALRHAGHRTALRPHLAHHPPPGRRGGAPGVRRRHPAVALHPAPPGRLRRQHRRHLHGALQRPLQELPPPRRRQQPGAPPVARLLPVPGDGQGGAAARGAGGRRQPEGVPGLHVADAAGLHHEALQVRHEDARGILQLAHHPWRTPPGLITSMID >Sspon.06G0007420-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:25932364:25936816:-1 gene:Sspon.06G0007420-2D transcript:Sspon.06G0007420-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPCTRQPYVQPSHTTMHPRLLMAARLGDTQRLKNLLDDGRSVSVGAHAHPGFVVRVEGSSSSSGPSAATPHTTCSSGSFSLLHVVAACGDGDEFLESAKVIHDRARHLLGSPDRNGDTPLHLAARAGNTRMVSHLIHLAKTTDDEGDGRHGADESSRLVKELLHAENRLGETALHDAVRVGSRGMVIRLMEEDPELASFPREGGASPLYLAVVMEEVAIARSLHDMSHGCLSYAGPNGQNALHAAVLRGKVMTAMLLGWNEGLTEQGDHDGCTPLHFATSQQPEEGRSLLCRISQHSNKFPWIRFTTTTSTAAADIPLLLLQTNPCSAYCRDAGGAFPIHVAAAVGAHKAVSTLLGHVSGQRRPARRRREDFPPRCSGEEETQRSQARMPGRHHKDGNTALHLAVKAGDTDTFFLLFGNRRVRMDLANNNGQTCRDLSLIDIPPGLSYKWNPKQMIHRALTRASATHGIRRWDQFEEEYILRPRVEDEEKESVKLNNSTQTLGISSVLIATVTFGATFALPGGYIADDHANGGAPTLAGRHAFRVFVVANALAFICSSLGTVGLMYSGITTVDLPIRQKHFLRSFFFVSSSLTSLVVAFAWGSYTVLSPVAHSTAVAICVISMVVIVYRSLGRFERMYALTAPLYVRAGIRPLLVLAKDIFTRMLRA >Sspon.02G0000980-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:3210053:3210880:1 gene:Sspon.02G0000980-4D transcript:Sspon.02G0000980-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSWFHRLRRKTAGAGAIASGGAEPPAPPPCSPNRASYYVPSRDRALALPRRRAAAGEDNPKLRDTRFPPRSPQPSDIVFDVVAARRDDRFSAAAAPELKLRPILTRPPPSADAAGGGGSSSAAASPTGRARPPRFHFDAAKGGAAPDNHSRKTEEACKRSQRQRQGRSRSRRRRAGRLRWVYESLVVVKDSADPEEDFLESMAEMIAANGVRSPRGLEELLACYLALNAADHHRAIVAAFRRAWLHLHLHCVPPPTPPSETRCMHEHDSCIVID >Sspon.05G0004910-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:15549260:15552343:1 gene:Sspon.05G0004910-1P transcript:Sspon.05G0004910-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding IRFPLPTPSTKPITRTPAGRASPCLRRAASPPRTPPALALPSFPLQAPTRLPELPPAALVPASAASESTRARTSPSSCHRAARRRSNGYGKRARAPVPPVPRLGRGHPPHRRRRAADPRAAGGRFIHGLLCAFSSRGKTVRPSSSSSSKAVRLVLDCNKLLFAWPHEFRFTVPQKFFLHFYVVGAVVTTSLLLAIWFYAYMKMTPLMPEASSYSTIASHLVGGSNSFSLANFWSSHPMEHKYRVWRTVFVLILMEIQVLRRLYETEHVFHYSPSARMHIIGYLTGIFYYVAAPLSLASSCLPEAIQYLRYQIAEFIPLLKLGWCQWIGAVIFIWGSLHQIRCHAILGSLRDTKILMN >Sspon.02G0031250-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:106256059:106259925:1 gene:Sspon.02G0031250-2C transcript:Sspon.02G0031250-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Class III homeodomain-leucine zipper (HD-Zip III) protein, Age-dependent leaf senescenc [Source: Projected from Oryza sativa (Os12g0612700)] MSKATGTAVNWVQMVGISGVAARACGLVSLEPTKVAEILKDRASWYRDCRHVDILHVIPTGNGGTIELIYMQTYALTTLAEPRDFWTLRYTSGLDDGSLVICERSLTQSTGGPSGPNTPNFIRAEASSVPEVLRPLYECPKILAQKMTAAALRHIRQIAHESNGEMPYGVGRQPAVLRTFSQRLSRGFNDAVSGFPDDGWSPLLSSDGAEDITITINSSPNKLIGSHVSPSPFFSAMGGGIMCAKASMLLQNVPPAILVRFLREHRSEWADPGVDAYSAASLRANPYGVPGLRAGGFMGNQVILPLARTLEHEECLEVIRLEGHGFSHEEVLMSRDMFLLQLCSGVDENAPGACAQLVFAPIDESFADDAPLLPSGFRVIPLDAKTDVPSATRTLDLASALEVGSGGGLRALSDGSGTCTTRSVLTIAFQFSFENHLRESVAAMARQYVRGVMASVQRVAMAIAPSRLGSHIELKHPPGSPEALALATWIGRSYRAHTGTEIRWSDTEGADSPLMPFWKHNDAILCCSLKPAFTLKFANSAGFDILETTVVNIQDLPLEAVLDDDGQKALFAQLPKIMQQGLAYLPGGVCRSSMGRQASYEQAVAWKVVGDDGAPQCLALMLVNWTFI >Sspon.04G0017850-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:64803321:64807291:1 gene:Sspon.04G0017850-1A transcript:Sspon.04G0017850-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein SCO1 homolog 1, mitochondrial [Source:Projected from Arabidopsis thaliana (AT3G08950) UniProtKB/Swiss-Prot;Acc:Q8VYP0] MFGAGFLGRARFFSSDAAAATQGGSKPPAPAAASSAGGEGGGDGQSGKSEQADTGKAVRGGPVSWLSFLLLLVTGGGIIVYYDKEKKRHIEELKNRTSAVKPGHSVGTAAIGGPFKLLNHDGKPVTEKDFMGKWTLFYFGFTHCPDICPDELLKMAAAIDKIKEKAKLDVVPVFITVDPERDTVEQVRDYVKEFHPDLIGLTGTTDEVRQVARAYRVYYMKTEEEGSDYLVDHSIVMYLMNPEMKFVKFYGKNYDADSLADGIIKEIKEHK >Sspon.06G0023470-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:43664560:43668065:-1 gene:Sspon.06G0023470-1P transcript:Sspon.06G0023470-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMDPSAAAQAEDAARRIEEAEAFFRAAPPLRDRDRLAASLADFVARHAAGKGVVCITSGGTTVPLEQRCVRFIDNFSSGQRGAASTEYFLKAGYPVIFIHRRGSKQPYCRFLPEDSFLDLFELGEDSEIQVPQSRSSVVKAAISNYRKAIDEGLLLKLPFTTIFEYLQLLQMVATSMNCLGHRGMFYLAAAVSDFYVPWESMAKHKIQSAGGPLNMQLNQAPKMLFILRKKWAPSAFCVSFKLETDPNILLQKAEMALKKYGMNVVVANELANYKDVVVMVTSSGRTTVSRSSKEEDLEVQLTDLLVKMHSEHISQPNS >Sspon.01G0024730-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:86211498:86216785:-1 gene:Sspon.01G0024730-3D transcript:Sspon.01G0024730-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQFFGGSSLTSVAPEATPAPAAPPGTGTGASAQVLYVFNRNGVCLLYREWHRPLRTLDPTQDHKLMFGLLFSLRSFTAKIDPTTAEKGNLGVPLLPGQGCSFYSFKTNTYKLNFMESPSGIKLILITHPRTGDQRDSLKHIYNLYVEYVVKNPLYAPGIPIKCELFNKHLDQYVRTLI >Sspon.03G0020760-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:83412924:83414348:1 gene:Sspon.03G0020760-2P transcript:Sspon.03G0020760-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTVTAALSLKLLIDRKAQRVLFAEASKEVVDFLFSLLALPVATAIKLVGKEAMVGCVGNLYVSVDKLDSTYVQTGAAKDALLCPTVLSPAATTNSSLLRLPEKSSVQPQTFYRCAANSGYGNCRAYFTDEHGKACPQCRCSMTAIANYLPSEGPSGSGPVAAQSEVKGFVQGIVTYTVMDNLTVTPMSAISSITLLNTFGVRDIGDLEEKTVQLGYNEGLAILRASLKSKSVLTDVFLYGNKNAPGAGGRA >Sspon.01G0043000-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:70361978:70363440:-1 gene:Sspon.01G0043000-1B transcript:Sspon.01G0043000-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTMAVTVEITRRAVLRPSPPASARAGGSKSPLTPFDRASTDGYIPTVFAWNAPAPDNDAIVDGLLAAVARYAHLAARMGVDDRGRKCFHLNDAGVLVVEATADADLADVLVAHDVAAHINELYPKADKERVDEPLFQVQLTRYRCGGLVIGTVCQHLVADGQSMSSFYTAWAMAVRNASATNLPSPFTDRAAIAIPRSPPMPRFDHRNIEFRGEHSSTHAVLPMDRIKNLAINFPEEFVADLKARVGGRCTTFQCLLAHAWKKITAARDLAPEEFTQIRVAVNCRGRANPPVPMQYFGNMVLWAFPRMQARELLSSSYAAVVGAIRDDVARVDAEYIQSFVDFGDMAERAGEELASTAAGPGTAFCPDLEVDSWLGFRFHDLDFGYGPPCAFLPPDLPVEGLMILVPSCAAKGGVDLFMALDDDH >Sspon.06G0013730-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:5100601:5102773:1 gene:Sspon.06G0013730-3C transcript:Sspon.06G0013730-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVIVRLVRSLDKIKEPAARSLIIWVFGEYSFMGDLTTKIVPPVLKYLTWSFAAEVVETKLQILNCSAKVIMRCTEEHMEEFKRIVAYVIELATCDLNYDVRDRARLLSKLLPCYMTHQGPSHQPQNGDIYKELADHIFNGKLQPTSHSASNYRIYLPGSLSQVVLHAAPGYAPLPNPQSMELNHNVSEATRGKAKLSGSNNSDTESRTSTYESSSVYDSESEGAGLSDRDTVESHQDQEDNQDAPLVQIYDASIQQGQIGHNTEENLADLISTDLTELMSKSALESWLDEAPAEPVVQNLTQTSSARVSFTNRNFERKPILHSLLDSSGSNGLSVLYAFSSEVSPRSRLLVCVDLYFENVTTQQLTDITIESEEASSSVDSIDQTSEGSSGIPTIVPVEEIHSLAPQQMAKMVLQVHFHHHLLPLKLSVLCNGKRHPAKLYPDIAYFIRPLPMDLNTFLCKENQLRGMFEYARRCTFKDHHQKLEHEDSAEHSDKNLQVAQSVASKILSNANVHLVSMDMPVTFSVDDASGLCWRFSSEILSTSKPCLITILAEGHASGPLDLTVKVNSEDTVFALNLLNRVVAIID >Sspon.07G0012480-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:42542237:42551891:-1 gene:Sspon.07G0012480-3D transcript:Sspon.07G0012480-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVPVPPLPLLASHAAVRAAASVVSPSRRVRLADDHPPQVAALRRGDWVKLICGASFEDAADVRNLSLVYTLAGVDCIDCAADASVVGAVNEGIDLAASIVPAVQRPWVMISVNDDCRDLHFRKAEFDPEDCPPDCSKPCEKVCPADAISLERSMVGGEHTRSDPLRGKYEGGVITERCYGCGRCLLVCPYDRIRAVSYVRDPTMTAELLKSNHVDAIEIHTTGMGTDMFNTLWDSLSRSINNVKLVAVSLPDIGESTVDLMNAMYTIMESHFKGYNLWQLDGRPMSGDIGRGATRETVSFAAHVASMSERPPGFYQLAGGTNSYTIDCLKKAGLFQSISVAGTAASEMASSHQALIGGIAYGGYARKIVGRALRKIPEQFGPVRIEEHPDHLLEALQEAMSLVGPVKGYISSPSKPFIKQVDRQ >Sspon.03G0023490-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:94976909:94977739:1 gene:Sspon.03G0023490-2B transcript:Sspon.03G0023490-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AMEARRTGRSLLASFVLAALAAQALVAVVESRTGPVEKLSPGEDVKKPDCVPGMDPRSFPGTGGGHGITPVTPSHGGSGSPGTTPSHGGGGYVPTPSHGGTLPSPSHGGSGSSPTSPSTGGGGYGGSPSTPDGGGYGGSPSTPGGSSGTYGGGSPSPAHGGAYGSSPTPAYGDSPSHGGIGTSSPTPFVPMDPHSFGTCE >Sspon.05G0019600-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:83828782:83836247:1 gene:Sspon.05G0019600-1A transcript:Sspon.05G0019600-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQLAPTPRPSPAAAARRRPRPSTSACICCSSVHELERSPSPRPRPGASLREAKRVVLVRHGQSTWNAEGRIQGSSDLSVLTPKGESQAETSRQMLLSDSFDACFTSPLARSRRTAEIIWQGRDDDLIPDSDLREIDLYSFQGLLKHEGKERYGLLYRQWQKNAANFSIDGHYPVQELWGRAQSCWERILAHQGKSVLVVAHNAVNQALVATSLGLGAEYFWILLQSNCGVSVLDFSPRTGGGPPSVCLNRLNQTPNSPVAGGSSAGRRTSKRIILACQGATQSSSEISLGGMGYAPLNMLGTIQSQKTAELLLDLKVNSIICSPQVAAVDTATVICEVQEAADCLGADCVPRYVEMKKMIELEIEDAYQAKQKSFGEIVQSGWVGGMEYKLLERLWAQSKDAWKALLNELADDTSERVLVAVGHPAIHLALICRCLDLPMEYMSSFHLDDGSISVIDFPDGPKGRGNEANDEYSISIRMMSSGCQLKRERWIHGTRRDADKGCCHDLHGGSCKPLMEYTTPHQVSRYQQHGYSGDSHYTGRPRGRATVESDDDALLFLAVPAGWLIRLLAFLGELVASAILSLVCPVAALVGALRALPAAVASSLRRTARGLLAAACTFVALVAALLVSVLLGFVLVRHWVEDPVTARQQLFFDYTEAQPSAAVVLDGAVLPAGHSVRVSMALLFPDSYHNRKVGMFQIKAEAVSVTGITMASATQPYMLRYKSTPVRLVQSALLSVPLTLGIRSETQAASLKVLQYREGHGRHRRTGVIRVFLQPRAATVQLPQVYRAEVVVQTALPWAKSLVRGLKWTLYVWVSSSVYIALIVLAVCWAWPLVVSARNRRLSEIQADGKMASGLGSGDIGESSSKEVPEDFAVKWRERRSKRKAQFRTRLHGGSMELGSTEGSTSSVAVVETRKLWSVPFMVAEAAHRSIFLLLRASILSDWFMIHGPMDQDLVQTADPASTVVLVLLLIGELNMRKALGFLNHSTGLLLSAR >Sspon.03G0044250-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3C:90891510:90894080:1 gene:Sspon.03G0044250-1C transcript:Sspon.03G0044250-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFWLF >Sspon.05G0021230-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:89444874:89446236:-1 gene:Sspon.05G0021230-1A transcript:Sspon.05G0021230-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCVGNATAETAAPAAAIQGHYRQNQPRGRLTLFTPAPPPPGIRGASSPHRPRIASSPTPPYQRNSGSSSSIVYQNVQSSDLVASPAVSYPSPTVRPSVRPRPRRRKDLASPQGLLICSTLDDLTCINSTPFRLRHDQAIRILFTIMDHDMGEEG >Sspon.01G0029080-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:101957149:101975912:-1 gene:Sspon.01G0029080-1P transcript:Sspon.01G0029080-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFSRRPLAAALHLAPLSPPLLLFFASTSSSCSPAAAAASASGSRGCTAVRMDSGAVETASTGAVWSTPSAEPRSISVGKEVFCNRSLNMRNITAVGFDMDYTLAQYKPETFEALAYYGTIEKLVKDLRYPEELLTWEFDWKYMVRGLVLDKKRGNILKELSKEEKVAAYGSTLIRDSFDEPDYALIDTLFSLGEAYLFAQLVDFMDKNPGKVPAGTDYPLMYRDVRSAVDLCHRDGTLKRMVAKDPARYIIEDLAIVPMLEMIRKSGRSTFLVTNSLWDYTDVVMNYLCGPYTSDVGSGLNHNWLQYFDIVITGSSKPSFFHDDNRAGIFEIGSPRSSHQQSTPVQKVYQGGNVGHLHRLLSVASSSQILYVGDHIYGDILRSKKVLGWRTMLVIPELEQEVKLLSESKSTRKELRHLRMERDSIEDRIHHLEWSLKLDDVTENQKGKLFSEHDNLLKQREHVRRLHQEAQRQHHQKFHKVWGQLMKTGYQNSRFAHQVERFACLYSSQVTNFGLYSPNKYYRPSEDYMPHEFDVLGLQKASPIVKERRQRKAVPIMPGVNIENSAFC >Sspon.07G0009780-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:28282566:28287008:-1 gene:Sspon.07G0009780-1A transcript:Sspon.07G0009780-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha,alpha-trehalose-phosphate synthase [UDP-forming] 6 [Source:Projected from Arabidopsis thaliana (AT1G68020) UniProtKB/Swiss-Prot;Acc:Q94AH8] MEFIYIGCLRDDIPQAEQDAVAQALLETHNCVPAFLPTDIAERYYHGFCKQHLWPLFHYMLPLSPDLGGRFDRALWQGYVSANKIFADKVLEVINPDDDFVWVHDYHLMVLPTFLRKRFNRIKLGFFLHSPFPSSEIYKTLPVREELLRALLNSDLIGFHTFDYARHFLSCCGRMLGLSYESKRGHICLEYYGRTVSIKILPVGVHMEQLKTVLGLPETEAKVAELMEMYSGKGRVVMLGVDDMDIFKGISLKLLAMEELLRQHPEWRGKLVLVQVANPARGRGKDVAEVQAETYSMVQRINEVYGEPGYEPVVLIDEPLQFYERVAYYVIAEVCLVTAVRDGMNLIPYEYIVSRQGNEKLDRMLRQGKPEEKKSMLVVSEFIGCSPSLSGAIRVNPWNIEAVADAMETALVLPENEKRLRHDKHFRYVSTHDVGYWAISFLEDLKRTCSDHSQRRCWGIGFGLRFRVVSLDLHFRKLSLESILMAYRRAKTRAILLDYDGTLMPQAINKSPSTESVRILNSLCGDKNNVVYLCSGYDRRTLHEWFPCENLGIAAEHGYFLRCKRDAEWKTCVTATDCSWKQIAEPVMCLYSETTDGSTIENRETVLVWNYEDADPDFGSCQAKELVDHLESVLANEPVSVKTTPHSVEVKPQGVSKGLVARRMLVSMKERGQCPDFVLCIGDDKSDEDMFQLIASAACGDSLGSKADVFACTVGRKPSKARYYLDDAAEVVRLMQGLSYVSEELALANHRDEDEDSSLDVWE >Sspon.01G0044760-3D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:75038322:75039832:-1 gene:Sspon.01G0044760-3D transcript:Sspon.01G0044760-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRPPCSSATISGGLLLCIAFFAELVNGGAIPPALYVLGDSQADVGNNNYLVTPARADFPHNGVDYPGHVATGRFSNGYNFVDFLAAGLGVASPPAYRSIFNATGSSSSSMFLKGVNFASGGAGVLALTNMGLCISFDEQIERDYYSVYAALSRQLGKAQASIHLAESVFAIAIGGNDIIDRVLLDPAGPLNSTQFIDMMTQSLKRQLQRMYGLGMRRLFFVGAAPLGCITLMREQRSLGVDNSQGCNAEANSLSVEYNTAVASLLRDLSAQHSDFQYSFNTSTALLLYIQELEANGSAEVKAACCGLGSDNAMFGCTPASSLCPNRSNHVFWDFVHPTELTAQKLTRVAFDGSPPLVSP >Sspon.06G0000830-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6A:2921535:2925668:-1 gene:Sspon.06G0000830-1A transcript:Sspon.06G0000830-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome c oxidase assembly protein COX15 [Source:Projected from Arabidopsis thaliana (AT5G56090) UniProtKB/Swiss-Prot;Acc:Q9FKT8] MGSRVAAALLRRGRDQASALLTPRLPRNAPAPAPAPRVGSASSSCGGGGSCLVPPRPGPAGAFSPASRFGSFHAFRSQAPKTVFGQCTRRMSTTAAALNSATANGAANSGLKLLVTKGPQAQKAVGIWLFGCAAWVFSLVILGGITRLTRSGLSMTDWKFTGEIPPITDDVWQLEFEKYKQSPEYKRVNKGMSLEDFKFIYWMEYAHRMWGRALGFVFAGPFAYFIAKGYVTRQLGLRLSALFALGGAQGLIGWWMVKSGLEEPTSEYVEPRVSPYRLATHLTSAFVIYCGILWTALSVVMPDPPTGSMSWVNGAGKIRKLAIPVSAVVGITAISGAFVAGNDAGHAYNSFPKMGDSWIPEDVFSMEPFIRNFFENTSTVQENRHASSSQVTDRKHTWDGCSPGYIGHIYTIDVCSNLFGLSAPSWSIDSVVTYDPSHSHSKEAVTCS >Sspon.02G0048040-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:20728842:20730364:1 gene:Sspon.02G0048040-2P transcript:Sspon.02G0048040-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQTGPVVDQLSNEVANEVLHAVGQFLVEESFYDVALNWLQQLTDLVMENGSDYLGIPLDAKRDLLLGLHEATAIELPDDWEGATPMQIMKQLASSWRIDLQQLIN >Sspon.03G0018870-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3C:78566630:78568780:-1 gene:Sspon.03G0018870-2C transcript:Sspon.03G0018870-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSRFVFAPLAVLTFLAYKYWKTRISIDAVEKFLQMQQALSPTRYAYTDITAITGHFREKLGQGGYGSVYKGVLPGDVHVAVKMLGNSLCNGDEFISEVSTIGSIHHVNVVRLVGFCSEEMRRALVYEYMPRGSLDKYIFSSEQRFSWDKLNEIALGIARGINYLHQGCEMQILHFDIKPHNILLDNNFTPKVADFGLARLYPRDNNFVPVSAARGTIGYIAPEMISRNFGVVSCKSDVYSFGMLLLEMAGGRRNLDQHAERRSQTYYPAWVYNHLTRQEVGEICEAFDIHEVERKLCVVGLWCIQMKSHDRPTMSEVIGMLEAGVDGLQIPPEPFFCGDEQNSIT >Sspon.04G0021890-3P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:5694341:5703460:1 gene:Sspon.04G0021890-3P transcript:Sspon.04G0021890-3P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTPAAAVPMAASGPPLEDCLRLLRGERDEQKLAGLLVAANVCRAGDADAVAKVYRAVGPRFLRRLLSTGLGKVEGGKEEEREAYLRLAVTVLAGLARVPEVAADEGVVSTVPLVAEVVAKSTDPAITEECFELLSLIAISSEDGAYKFCEAGVIDMIFLQILSLTDGSKCIELAINLMQLLVHKLKVDTMSVEKLQGMTSMVTCLARLFAVLHTAVKFHALHMLTTLLSQKESPLHDSLRSIPASIWESHIRVGITAILQNRVVSSEKLHALLLAECMMSILGEDWLSEDFEVQDNQNVLPVDKIIKMISNASSSEGAPIQTIRESTIMQAITGLNETISLVLDFLQDAKDHGQRKGDDLLAAARIVGSYLAEAPYACKEKTGNLLEFIFSIEGQDESSPFYSICFMLPMLSQITMEVDGCKTLASFGSYKAVIDCLVKMTEQDGMMIDNGSMFLACDTIINFMSNRKSVHIQVDSRFIRLLKALVTWAGRTTDASSVTMTASCLCAMLLDLTSEEFLLSCSHFDTKTLGSLSELIIRSLQQDIPDDDREQFNQKQIIVSGYRRWADRFPHVKNVVEQHVSV >Sspon.04G0012110-4D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7D:59422134:59422678:1 gene:Sspon.04G0012110-4D transcript:Sspon.04G0012110-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATHAFLLASALLSLSCFHAIASDPSLLQDFCVVDKMSTVRVNGFPCKDAKDVVAEDFFFPGLHMAGNTTNKQGSAVTPVNVAQIAGLNTLGISLARIDYAPFGLNPPHTHPRATEILTVLEGSLYVGFVTSNPDNKLIAK >Sspon.01G0047340-2D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1D:115396688:115397269:-1 gene:Sspon.01G0047340-2D transcript:Sspon.01G0047340-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDALFFNTQTILFLNHQASRFVQLQEPRTPSASGGLFHQASKPLPYAHTSNTMNSTLPSISFQSLCFKIQPAPQPSLWPPRKQSTTKPGLSLKLTRAALGPDTNSGFNNADRGGTTLPSSPLSDVVQEFYSSLNEKNSKRLDKLMAPDCILEDTAYYKPLDAKVNFSSASAFHIPVTSTCYIGASGFPGSYT >Sspon.03G0004470-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:18081145:18081981:-1 gene:Sspon.03G0004470-4D transcript:Sspon.03G0004470-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTTKALACVAWALSLINVVMAADYVVGNPAGGWDGRTDYKSWAAAQTFAPGDSLSKCHQASARINILCRLSLCVACLPTTRKIPIALIPMQMQHDAAFKYNSFHSVMEVTKDAYEACTTIDPIFYDNSGSTTIALTMPGTRYFICGAPGHCLGGMKMVVEVADRPAPTTPTSPPPPPMTLAPAPRPWSPSPAPGAAPPRCAGHKKHRKRYCPPETLHAPAPAPTVQAVEAFPVAMFAPMSAPSPPPPTSGGPAVLRATWGEATAALVALGWFMLVAL >Sspon.02G0015650-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:42791892:42797645:1 gene:Sspon.02G0015650-1A transcript:Sspon.02G0015650-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALLELFLGSSSAPVDWEAEAYPAYGDFAVLPLLVAFFPAVRFLLDRLVFEVLARKLMFGKGHDKLAETDDSRKKINKFKESAWKLKLKAVYMYAAGFYTYSIFALLFWETRRSDFGVSMSHHIATVALIVLSYIFRFARVGSVVLALHDASDIFLEIGKMAKYSSCEWLAVVAFLLFVASWILLRLYIPFLDPKKHKIPR >Sspon.05G0005090-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:15817552:15820665:-1 gene:Sspon.05G0005090-1A transcript:Sspon.05G0005090-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSMTYIAACAAALATFVALLRWAYRWSHPKCRGRLPPGSMGVPLLGETMQFFAPNPSCDVSPFVKERVKRYGSIFKTSIVGRQVVVSADPDMNYFVFQQEGKLFESWYPDTFTEIFGRDNVGSLHGFMYKYLKTLVLRLYGQENLKAVLLAETDAACRGSLASWAAQPSIELKEGLSTMIFDLTAKKLIGYEPSKSSESLRKNFVAFIRGLISFPVNIPGTAYHECMEVINKSMLRVFSNRSSSSIGGNTTTGAEEGDEGAQGHDEGADGGPERRCEDFFDHVIQELRREKPLLTETIALDLMFVLLFASFETTALALTLGVKLLTENPKVVDALREEHDAIAKNRKDPDAPVTWAEYRSMTFTNQVIMEMVRLANIVPGIFRKALQDVEIKGYTIPAGWGVMVCPPAVHLNPDIYEDPLAFNPWRWQGKPEITGGTKHFMAFGGGLRFCVGTDLSRVLMATFIHSLVTKY >Sspon.01G0045460-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1B:89801190:89801333:1 gene:Sspon.01G0045460-1B transcript:Sspon.01G0045460-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding EDKMRRMNIPNGYKCLMGGVAKGGTSEAWSRGFEPPVAVKCAFLKAS >Sspon.07G0033510-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7C:61369704:61373697:1 gene:Sspon.07G0033510-1C transcript:Sspon.07G0033510-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARQILVEAPDDDDDEEDFDDDDDDDDDEDDDDDDDDDVEEEDVVVEVNKKQDEWWQRLKELQSQYGPALAARDKEAKERILDYDPKQGGAYYTRLLYVYDLASFDYDEESPLRPMRFTDAVYKSKHDYELCEAVNILSVKMGSLDIDFPIHVYGTVIARDSLDKKCVYLFRRGREDSQTINSKDESLILTGPKRGIALISDTYVETNLMIKGDDELQQDRELSKGILTIQGIARRWLKSCELESCSLATRLSTVDVVYAVVKDAVEATISVEVLAGEYFGEITACASSIKNRLVLHDSRLTQCASDQNIATVIPLLRPVVAVYVKEKLLLTVVAHTDGEMTKCIDYTPRVNGSNLDEIIVGATTLSVRVVWSPGSAADERCREPKPPGAIFPRTERTPPRNGFAKSRSRHSFLRTRYAAVESSLAGAAPQAPSGKTKTCAATGKSRSIHPLRCRRPHSHLSILEAKRRHSPHLGKRRDLWPPPTDSYTGTPHREYHLHGLALVTGVELQRGEEESERTYSAVTPPPPPPLPGNLNLETLGPKLPPTPPAGKRLTIFATSRRPAGHRRRTRPRAPSAATRSPFFASLNCSGGAFERERSGLSPNCLPVWWCLTSYDGNICP >Sspon.02G0016380-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:47396833:47400947:-1 gene:Sspon.02G0016380-3C transcript:Sspon.02G0016380-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKCHAAAALAVAFAAAAAAAVAADRGLSLVGAAVAPEVEEMSLFRKVANLMWRSDGNSYQHVWPPMEVGWQIVLGSLIGFFGAAFGSVGGVGGGGIFVPMLTLIIGFDPKSSTAISKCMIMGASVSTVYYNLKLKHPTLDMPVIDYDLAVLIQPMLMLGISIGVIFNVVFPDWLVTVLLIILFLGTSTKAFLKGIETWKKETIIQGFVLHIFHILQYSNEAAKRLEQTAGEEAEYAPLPTGPGAAANKKTLSSDEAPSLIKNIHWKEVGLLSFVWVAFLVLQVTKNYTATCSPWYWVLNLLQVPVSVGVTLYEGFGLMSGKRVLSSKGSEQTTMKFHQVVVYGLFGIAAGLVGGLLGLGGGFIMGPLFLELGIPPQCYGYLCNDVLIFHVGCRILPLEPVSSALCCLFHLCGVCCCLIGQHAVRKLINWLGRASLIIFILAFMIFVSAISLGGVGVSNMVHKIARHEYMGFENLCKYDA >Sspon.02G0003560-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2A:11370625:11372074:-1 gene:Sspon.02G0003560-1A transcript:Sspon.02G0003560-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AGEAGEGALDAPEDVYVDAAAGGALYTATRDGWLQRMHPNNGSWERWRFVGGTGLLGITPSADGTMLVCDA >Sspon.03G0000510-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:1515143:1520454:-1 gene:Sspon.03G0000510-1A transcript:Sspon.03G0000510-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGWGDVYKVVAATVPLYFALFLGYGSVRWWRIFTREQCDAVNRLVAFFALPFFTFEFTLHTDPFQVNYRAVAADVISKAVIVGVIACWAARVASAGKGPGGGGWAGCAVGWSITGFSLSTLTNSLVVGVPMARAMYGEWAQQLVVQLSVFQAIVWLTLLLFVLEFRKAAIGMYVDVPDSPVKDVEAAASAATVVVVPVKPSLWALVKVVAHKLSRNPNTYASFVGITWACVANRLHIELPSAFEGSVLIMSKSGTGMAMFSMGLFMAQQEKVLACGPSFAALGLVLKFALGPAAMAIGSIAVGLRGDVLRVAIIQAALPQSITSFIFAKEYGLHADVLSTAVIFGMLVSLPLLVGFYIVLELIR >Sspon.05G0033930-2D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:5D:51446475:51446696:1 gene:Sspon.05G0033930-2D transcript:Sspon.05G0033930-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RQPVYSVAFSPDGEYLASGSLDQCLHIWSVKEGRILKTYRGSGGIFEVCWNKEGSKIAACFSNNTVCVMDFRM >Sspon.01G0037240-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:15838634:15841108:1 gene:Sspon.01G0037240-2C transcript:Sspon.01G0037240-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAVYGGLKGKLGVEDAPELQLNRIRITLSSKNVKNLEKGTNTWDRFEFRIHKRVIDLISSPDVVKQITSITIEPGVEVEVTIADYNK >Sspon.08G0018340-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8B:5782179:5783050:1 gene:Sspon.08G0018340-1B transcript:Sspon.08G0018340-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSSSSPLNDTITIRRLLLQQPSQPHSTVPTVFPRLGNEREMVIGGLDDGAGASARSGVKKQRVDEQGDGTAHPEFPTHLALKEAFRMGVLACGWCDLWRSRWDRNSIEVCLRSSDDPQRELGALEQEPTPRLRMDRFSLVAETSWLKSSELRRFIRYAADCRIEDLHVETRKSSKTKLNFHLPLSSRTLVCLSLRRISISNMYYKGARPFHALEAIRLYSVSIKVGFTKMMELCPSLVTLDLRGCDIHCDPDTPIVSAADHQDCHRRE >Sspon.01G0060060-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1D:72872538:72872734:1 gene:Sspon.01G0060060-1D transcript:Sspon.01G0060060-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RSWHAPCSRGTLWWTWRASSPWRCSWCATRPRCSRASRASSSGGSGSSCACSASTTSSSSSRLSA >Sspon.01G0017800-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:71510991:71514738:-1 gene:Sspon.01G0017800-2B transcript:Sspon.01G0017800-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAASRPPPPHGAGVRVRAPLVESVSCYCRLDTGLKTVVDARKFVPGAKMCMQPEVKQNKRKSRGPRKERSRKQEPLLPGLPDDLAIACLIRVPRVEHPNLRMVCRRWNRLLSGNYFYSLRKKIGVAEEWVYVFKRDREGKISWHAFDPLHQLWKSLPPVPHEYSEALGFGCAVLSGCYLYLFGGKDPLRGSMRRVVFYNARTNKWHRAPDMMRKRHFFGSCVLNNCLYVAGGECEGIQRTLQSAEVYDPNRNRWACITEMNNGMVPFIGVVYDGKWFLKGLDSHRQVTSEVYLPSSNTWSAIDDEMVTGWRNPSISFNGRLYSADCRDGCKLRVYDENTGTWTRFMDSKHHLGSSRAFEAAALVSLNGKLCVIRNNMSITLVDVSDPTMSVETGSARMWETVSRKGQHRSFVANLWSSIAGRNLKSHIIHCQVLQSMTSLK >Sspon.08G0006270-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:58166320:58169448:-1 gene:Sspon.08G0006270-1P transcript:Sspon.08G0006270-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLYAYGDGGCLVSAPAELAGLFCRGAVQQRKRTLVAATAVAAAAAECVRVAKKQRQQLPLPLPSLDALPDECLFEILRRVPGGRGRGASACVSRRWLALLGSIRASELGQAAADTPSLPDLNEEFVMEEEDKEESPADRRAVDRVLEGKEATDVRLAAMAVVAGSRGGLEKLAVRGSHPTRGVTDQGLSAVARGSPNLGSLALWDVPLITDAGLAEIAAGCPSLERLDISRCPLITDKGLAAVAQGCPNLVSLTIEACSGVANEGLRAIGRSCVKLQALNIKNCPLVGDQGISSLVCSATASLAKIRLQGLNITDASLAVIGYYGKAVTDLTLTRLATVGERGFWVMANAAGLQNLRCMSVTSCPGVTDLALISIAKFCPSLKQLYLRKCGHVSDAGLKAFTESAKVFENLQLEECNRVTLVGILAFLLNCSQKFRALSLVKCMGIKDICSAPAQLPLCRSLRFLTIKDCPGFTDASLAVVGMICPQLEQVDLSGLGEVTDNGLLPLIQSSEAGLIKVDLSGCKSITDVAVSSLVKGHGKSLKKVSLEGCSKITDASLFTMSESCTELAELDLSNCMVSDYGVAILASARHLKLRVLSLSGCSKVTQKSVPFLGNLGQSLEGLNLQFCNMIGNHNIASLEKQLWWCDILA >Sspon.01G0015480-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:50192703:50196931:1 gene:Sspon.01G0015480-2B transcript:Sspon.01G0015480-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLPPPADKEQSEHEEIHVSSGGVQAYTDDDDCESRRPLLLRAPASAECYSVSAAVFPFFFPALGGLLYGYDIGATSGATISLKSSTFSGTTWYNLSSVQTGLVVSGSLYGALIGSVLAYTIADFLGRRKELILASISYLIGALLTAVAPNFAIMVVGRFLFGIGIGLAMHAAPMYIAETAPSQIRGMLISLKEFFIVLGMLLGYIAGNLYVEVVSGWRYMYASSTPLCLIMGVGMCWLPSSPRWLLLCAIQGKGNLPETKENATRCLCRLRGQASPDLVSEQIDLILEELSYIDQEKQASFGEIFQGKCLKAMIIGCGLVFFQQVTGQPSVLYYAATIFQSAGFSGASDATRVSILLGLLKLIMTGVAVLVVDRLGRRPLLIGGVSGITVALFLLSSYYTLLKDASYVAVIALLLYVGCYQLSFGPIGWLMISEVFPLRLRGRGLGVAVLVNFASNALVTFSFSPLEDLIGTGTLFSGFGVIAVASLVFIFWIVPETKGLTLEEIEASL >Sspon.05G0014750-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5A:56519578:56520117:1 gene:Sspon.05G0014750-1A transcript:Sspon.05G0014750-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQGTSLLPKDKGPTSEPGKAIGIKRLQTDTPSGPAYHNVYVRRKVESEHSKVNPSQELKGNGKDKAKELEACQDVQHEEANKPQVASPVAESVELVSSKSPEQPNAEIVPEKTEPPVASSTGIHEEVKQSSIEYWNERFNRLQTYLENCDNSTQEGYLRKY >Sspon.02G0046030-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:113620312:113623770:1 gene:Sspon.02G0046030-1B transcript:Sspon.02G0046030-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPYGDGKGPSLKSQSQNQQPRPGPRLKPAIEMEDLINLLHGSDPVRVELTRLENELQFKEKELGDAQAEIKALRLSDRAREKAVQDLTEELAKVDEKLKLTESLLESKNLEAKKINDEKKAALAAQFAAEATLRRVHAAQKDDDMPPIEAILAPLEAELKLARQEIAKLQDDNRALDRLTKSKEAALLEAERTVQMALAKASLVDDLQNKNQELMKQIEICQEENKILDRMHRQKVAEVEKLTQTVRELEESVLAGGAAANAVRDYQRKVQEMNEEMKTLDRELARAKVSANRVAVVVANEWKDGNDKVMPVKQWLEERRILQGEMQQLRDKLAIAERAARSEAQLKEKFQLRLKVLEEGLRMSTSRTNVSGTRRQSIGGADGLSKANGFLSKRPSFQMRSSVSTTTTLVNHAKGASKSFDGGCRSLERYKGLVNGNGMNVSTDSSEDKESNNSDEKCNEFASAESEDSVSGVLYDMLQKEVIALRKACHEKDQSLKDKDDAVEMLAKKVDTLTKAMESDAKKSRRELAAMEKELAAVRVEKEQDSRAKRFGSSSGSGSSSQLPPGRTLPRSGSARNM >Sspon.04G0016810-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4C:67495075:67495725:-1 gene:Sspon.04G0016810-2C transcript:Sspon.04G0016810-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVLYHMEAEVDKERGDTGLNGGGDGRRGHRRGVSAAAPVELNLLAGMVGVGGARQNAVRAAQLPPLVVVPPDVARSPVVVAAAVESEPPQLFACHYCRRQFYSSQALGGHQNAHKRERTLARHRGAAAPLGVGHDHMHAARGGGGAPFTVVHGAFAQAAQALEWNNDARSGQAPPVVVVAAAGERLFTGGGYVVDSPGVGVGQDELPKLDLTLKL >Sspon.03G0019550-3P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:83389340:83389537:-1 gene:Sspon.03G0019550-3P transcript:Sspon.03G0019550-3P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding METNGGKLGCCTKCNFSFSGLYTCDDIVSKCDPVCKVCTVVKKYPVKQFQCTDTFLGMCGPPCKN >Sspon.02G0025770-3C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2C:94865307:94868839:1 gene:Sspon.02G0025770-3C transcript:Sspon.02G0025770-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSVCGRRVAVNLNGELGHYFRSYKGLRQGDPLSPLLFNLVADTLSTMLERAFENSNLVGVTPHLVNSGLTHLQYADDTVLFLQNSQQNIANLKFLLFCYEEISGMKINYNKSEVFTIGISREESEKIVDTFNCKLGQFLMKYLGLPISYKRLSKEELSSSAGKVERRMEIWKCNQLSHGGRSILINSSLTSIPMYTMGFYWLHEGTQKRLDTARERFFWKVLATKRSYHMIKWEALASPKEFGGLGFIDTRTMNTALLAKWIYKLDRGENNLALEVLRKKYLSDNSFCQSRQRGSSQFWQDLLKAKDWYERGTKWKVGNGKKIRYWHDVWLGDCSLKIRYPRLFHISRQQEWSVFDLREVN >Sspon.02G0011230-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:4429052:4436503:-1 gene:Sspon.02G0011230-2P transcript:Sspon.02G0011230-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEASRGCSSPYSLIFVLALAHWCVTTMASSTFTVSNYCSQPIWPGTLAGAGTPQLPTTGFRLDQGQSVQVSAPTGWSGRVWARTGCVFDADGKGTCQTGDCGGRLECAGTGATPPATLFEVTLGKGSAGAADLDYYDVSLVDGYNLPVVAVECGGGTVACRSACEAFGQDQYCCSGAYATPTACRPTAYSSVFKSACPRAYSYAYDDRSSTFTCHSAAGYTVAFCLPPSG >Sspon.04G0020520-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:75193097:75194845:1 gene:Sspon.04G0020520-2B transcript:Sspon.04G0020520-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEARVTALVLLVTVSSILYTGAGAATAGDERAALLALKAGFVDSLGALADWTDGAKASPHCRWTGVRCNAAGLIDALDLSGKNLSGKVTDDVLRLPSLTVLNLSSNAFATTLPKSLAPLSNLQVFDVSQNSFEGAFPAGLGSCADLATVNASGNNFVGALPADLANATSLETVDLRGSFFGGDIPAAYRSLTKLKFLGLSGNNITGKIPAELGELESLESLIIGYNVLEGSIPPELGSLANLQYLDLAVGNLDGPIPAELGKLPALTALYLYKNNLEGKIPPELGNISTLVFLDLSDNSLTGPIPDEVAQLSHLRLLNLMCNHLDGTVPGAIGDMPSLEVLELWNNSLTGQLPASLGKSSPLQWVDVSSNSFTGPVPAGICAGKALAKLIMFNNGFTGGIPAGLASCTSLVRVRMQSNRLTGTIPIGFGKLPSLQRLELARNDLSGEIPGDLASSTSLSFIDLSHNHLQYSLPSSLFTIPTLQSFLASDNIISGELPDQFQDCPALAALDLSNNRLAGAIPSSLASCQRLVKLNLRHNRLTGEIPKSLAMMPAMAILDLSSNSLTGGIPENFGSSLLDLGPQ >Sspon.05G0021610-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:59328242:59336292:1 gene:Sspon.05G0021610-1A transcript:Sspon.05G0021610-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTPVPAARQCLAPPAVTALDAAVASARRRAHAQTTSLHLIASLLSPTAAPLLRDALARARSAAYSPRLQLKALDLCFAVSLDRLPSSPTSSASATTTTSSSSSNDQHEPPVANSLMAAIKRSQANQRRNPDTFHFYHHQPSTFPNAVKVDLSHLVLAILDDPLVSRVFADAGFRSNEIKLAILRPAPPVPLLARGLPTRARPPPLFLCSFAAADDADVPSPAPALAGAAPGEDNCRRITDILARGRNPMLVGVGAASAAADFANASPYRILPVTHQTDLLAVAAAAPATPGSGLIFSIGDLKDLVPDEADLQDAARRVVAEVTRLLETRRAAGRQTVWVMGWSATYETYLAFLSKFPLVDKDWELQLLPITAVRDAGPAPGLVPPPAPATTVAALSMPATTRYSFSIHRQITCLFLLFYYWLSARALPREYVESSVIVPYIESSVIIPRTGASFILVICSFMESFVPFGGFMCDTYEANSLTANSCPQALRCQQCNDRYEQEVTIISGSGITAEAHQEGLPSMLQNGSMMGPNNGFDALKVRDDHIVLSTKILNLKKKWNEYCLRLHQGCNRINRDPCQLFPHQIDVRVDRERCANPNQSSQSVALQREVIRPSAVSSLHTNTTAKSISAPSISTQMNADLVLNLQVRKSKSDEPLQDRALPSQHSNSSNCDNPEDHASPLSAAPVATDLVLATPRGSSSKDSSNALCKHVEDAEGSIQLMPRKVDDLNLKPSHFSAQPYTCFRSSSNWDQTSPSALHSAASGGASAFGQWQRPSPLAAQSYDLSNYKLLMERLFKAVGRQEEALSAICASIVRCRSTERRRGANKKNDIWFSFYGSDSIAKRRVGVALAELMHDSSENLIYLDLSLHDWGNPNFRGKHATDCISEELRRKRRSVIFLDNVDKADCLVQESLIHAMETGRYKDLHGGRVADLNDSIVVLSTRMIQGCQDASLGMEEGNVFSEEKVVAARGNQLKIIVEPGTANISGGPGGKVVVSSRHSLRNNQASLYSSSFSKRKLQISDEQEKTAESPSTSKRLHRTSSVPFDLNLPGDEAEVQDGDDDSSSSHGNSSGDPEGSVGNLLRSVDESINFKPFDFGKLCEDILQEFSNTMSKTLGSRCRLEIDAVAMEQVVAAAAWASDSHEKRPVRTWVEQVFARSLEQLK >Sspon.06G0007690-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:36062763:36066462:1 gene:Sspon.06G0007690-1A transcript:Sspon.06G0007690-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGKRRHARNPTAGGPHRSAGAGRRRPVPELPSFVSPASVAAAFSSPPRAAAAPGAAAPAPMASPPTPYPLSIPPSAPAPLIRSVAQALEVTVDTAPCADPAASVSMYSYGPDVFGEIGLGLSADEEEAGDRELHLGLGFRDGGHEEVEFKLKELAEEDVSFNTPKQEQKVKPNPGFLLIGGVRIYTEDISSPESDRMSSSDEDLESKSGDGERFENDDGDSDEEGSEGEERDSVSAGESSGSESDEDLIFGDSSSVDNEVVADYMEGIGGSDELLSSKWITGMNLGDADTVEQIYTDDDDNDRFVKKGKEKLEGYALMTASEQYGMKRPNSVEKRKGKGMVCNGDLPSMQVMGLEENNVWMPNRSRKGSKTGSSSSQLSRSWPNEGRKSKKHQSRPEF >Sspon.03G0034720-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3B:76119097:76119725:-1 gene:Sspon.03G0034720-1B transcript:Sspon.03G0034720-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRSSDTSNPLEMEFGAPPGNYNNPSQQAHRSRPRTITNTCQTSTAAPSRLGGGNHQEKQESRSKRTPNRADRTRWSHRPDTLVAHYPRAKLDRTRWSRNRPDAPVKPSSAPASQRPDALLDEPDAIVSTSGRFQ >Sspon.02G0023070-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:77969474:77971882:1 gene:Sspon.02G0023070-1A transcript:Sspon.02G0023070-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALQASSPSAFRAVPATANASCRRQFQVRAQVAGGGSSSSSVGADGGKMMVSKAEPAAAATSSSGPWKIDFSSGEKPATPLLDTINYPLHMKNLSTSELEQLAAELRAEIVHTVSKTGGHLSSSLGVVELSVALHHVFDTPDDKIIWDVGHQAYGHKILTGRRSRMHTIRQTSGLAGFPKRDESAHDAFGVGHSSTSISAALGMAVARDLLGRKNHVISVIGDGAMTAGQAYEAMNNSGYLDANMIVVLNDNKQVSLPTATLDGPSKPVGALSRALTKLQSSTKFRRLREAAKSVTKQIGGPTHEVAAKVDEYARGMISASGSSLFEELGLYYIGPVDGHSVEDLVTIFQKVKSMPAPGPVLIHIMTEKGKGYPPAEAAADRMHGVVKFEPSTGRQAKSKSPTLSYTQYFAESLIREAEADDKVVAIHAAMGGGTGLNYFQKRFPERCFDVGIAEQHAVTFAAGLAAEGLKPFCAIYSSFLQRGYDQVVHDVDLQRLPVRFALDRAGLVGADGPTHCGAFDVTYMACLPNMVVMAPADEAELMHMVATAAAIDDRPSCFRFPRGNGVGAVLPPGNKGAPLEVGRGRVLVGGTRVALLGYGTMVQACLKAAEALKEHDVYVTVADARFCKPLDTQLIRELAAEHEVLITAEEGSIGGFGSHVAHYLSLTGLLDGPLKLRSMFLPDRYIDHGAPQDQMEEAGLTPRHIAATVLSLLGRPLEAMQLK >Sspon.01G0019010-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:76249215:76251521:-1 gene:Sspon.01G0019010-2B transcript:Sspon.01G0019010-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKDGILSDDKMAKMGSVQPNGQLVDIIIIDSQSDENKIGVETSIRDSSLKEHKEPPCTTMDNNANEETSITDDDSEADSYEFSLRESDNEQASASEEGTEVPLTEEEVEELVAEFLEVESKAAQAQESLEKESLDKIETEVRLELSERLQGDEVFEHLESAVSTEMEQFQMQWENELDDLEIRSSILLEQLDAAGIELPRLYKSIESQVPNVCETEAWKSRTHWAGSQVPEEANRSIKNADEYLQSCRPVRRKHGRLLEEGASGFLAGKVPVGDDDSVKCHEKSWSSFNELIKSKENAENTFGSSNWASVYLASTPQEAAALGLQFPGVDE >Sspon.07G0006660-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:12543785:12546799:1 gene:Sspon.07G0006660-3C transcript:Sspon.07G0006660-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:At5g05930 [Source:Projected from Arabidopsis thaliana (AT5G05930) UniProtKB/TrEMBL;Acc:Q8L870] MWPLCVISERLFKMAGDDGVQAAAGSPSPDGQIPLARRSYYVDQAFTWDCGLACVLMVLRTLGIDCCAGIADLERLCRTTRSITAYDIAFLLLSGHCIAIALVDKSKLNLPCMSDHDVQQFNDESDYMGHYVVICGYDADDCEFEIRDPASSRKRERVTMKSLDEARKSFGTDEDILLVSLTGKSGMKLSRKLLACSM >Sspon.03G0021550-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:84353798:84356731:-1 gene:Sspon.03G0021550-2C transcript:Sspon.03G0021550-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GTPNSTPNASGGAKSSKKSGGQKKSLEAAGSTQPSSKKQKTSGAFQEQSIDQLNDVTAVSGVNIREEEEQLLSAPKEESLASQEARRIAQEEEENLFLRKGPLLKKLAEIARKCDLKNISGDVEHCLSMRIDTEKTGHRLVITSDVGRQILQMNQKAKEEWDKKQAEEADKNKKQTEADGSGATELEKEKEESRPKNVKPNKEEDDKMRTNAANVAARQAVGGSDMLSKWQLMAEQARQKREGLDVSAASQPGRGPGSRPLSKFGKGPGEHQEGSKRSHSVAFGTGGMKRPGSTPFAGPQRMISVKDVICALEREPQMTKSRLIYRLHERLPDSTVD >Sspon.01G0010290-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1A:28859155:28860161:-1 gene:Sspon.01G0010290-1A transcript:Sspon.01G0010290-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCSVTTRPWPMTPSSSSVALARTSGFRRSSDTAHSIVTAEESVPPAMRIVSDVVNIILTDSECI >Sspon.05G0019680-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:84586235:84589353:-1 gene:Sspon.05G0019680-1A transcript:Sspon.05G0019680-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSSSRAHHLLRPLLRGFHASAQALARAEPHEFSKSSGYLGSWEPAAEPREAWARLDRLRKGLRRQYAYEVQLMEAERQRKADARADAARIANQERKAAKLAAAETRAAGAPRTLVGFDPPVSFHPLLQLPAMKERAEKLESWRKKEKLKEQKKAEQKELLRKKSSMWLSEDKIEQQILTAIMQTTPL >Sspon.06G0019370-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:891070:892063:-1 gene:Sspon.06G0019370-3D transcript:Sspon.06G0019370-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPGMATGGGGSIPDVHSNTDSSNKTLLKSQALYKYILDTTVLPNEPECMRELRLLTDKHERYVRGTWRRPRTRRSCCGLRMLIKLSGARNAIEVGVFTGCSLLATALALPDDGKVIAIDVSREYYDIGRPFIEKAGVAHKVDFLEGPALERLDALLADDANHGAFDFAFVDADKPNYVRYHEQLLRLVRLGGAIVYDNTLWDGTVALPPDAPMSDRDRRFSAAVRDLNARLAADPRVEVCQLDVADGVTICRRVV >Sspon.01G0039620-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:35891311:35891717:1 gene:Sspon.01G0039620-1B transcript:Sspon.01G0039620-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVLSGAHRRPDVVTPDKLDNQYYKNVLAHRVLFTSDASLLSSPATAKLVSDNANIPGWWEDRFKAAMVKMASVEVKTGNTGEIRRNCRVVN >Sspon.01G0031620-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:108171691:108193906:1 gene:Sspon.01G0031620-1A transcript:Sspon.01G0031620-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding METAVFSAASLFHGADDSDDEQGMSIKATPTQPSSPPSPLRRSPRAPNGRCFLFCGVEDEMQVCAEGKKPAALEYEERAHDFPGMNQSILDGRRVLELGSGTGALAIFLRKAFEVDITTSDYDDKEIEENIAYNCRANTLDVLPHIRHVKQYDNHIKTVSFLLNEYKQNVHKADSITITNKSGTQVPAKSPVFLMSWRRRIGKDQSLFFDGCEKAGLEVQHLGDLVYLISNKK >Sspon.01G0035160-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:8727496:8728065:-1 gene:Sspon.01G0035160-1B transcript:Sspon.01G0035160-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKAVVVAVLLMQCCDVILSARPLLNAAAGADGGWQLGQVLDKGGNGSPSDPGNGNCDYTKPGNPGCPSSI >Sspon.08G0019660-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:14017843:14023153:1 gene:Sspon.08G0019660-1B transcript:Sspon.08G0019660-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGAAGRTRTAVCVTGAGGFVASWLVERLLAGGRYVVHGTVRDPGTKSLLVFLFPVNWRVRAYMTTCWTICAWCAGDAKNAHLAAMDGAADRLHLFRADLLDYGSVAAAIAGCDGVFHVASPVPSTYPIGDPEAPHLSSARLALPAAALFLPSAFSYASVQSLLLQVELLAPAVTGTMNVLKACSEAKVKRVVVVSSVSAVMVNPGWPQDEVMDEACWSDVEFCRTTQVTAKASHPVDLFLSSSQLEEIRWFAPVLRSHIDIGPIKHLCLLPFLCQNWYCLSKTLAETEAFDYAKRTGLDVVSVCPSLVIGPLLQSTVNASSSIIVDCLKGDHEVKLKLRNFVDVRDVADALLLVYEAPEASGRYICDANARQVSDVIALLKNWYPAYNHATNGERPAMDGDAGKTRTMKTVCVTGAGGFVASWLVQLLLSRGDYLVRGTVRDPSDPKNAHLMALDGAGERLRLFKADLLDRASVAAAIAGCDGVFHVASPVPAVEPTNPDVEVLAPAVTGTQNVLEASHAANVRRVVVVSSVAAVIANPNIPDDAVVDEDCWSDEDYCRATKNWYCVSKAVAEREALAYGERTGMDVVTVCPPWVVGPLLQPTVNATSMRFVAYLK >Sspon.04G0022330-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:5166781:5171721:-1 gene:Sspon.04G0022330-2C transcript:Sspon.04G0022330-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding METEGMLVDRGYLSEIENAAIAELQVATDKHRPGETWPQSKTFKVLNEENVATEGKKTSKYRTIELCSIVEDLKTDKFTRGWPKTSEDALRSLAGKIPTKYIYTMDDIQEDDEDTSGSENPYGDSSCGTVYEAFGGGKNGKEACHAIAALCQIRFINSLLSKFILPLQNQPTLEKDRYNIRQAFVAAPGNSLIVADYGQLELRVLAHLTNCKSMLDAFKAGGDFHSRTAMNMYQHIRDAVHEKKVLLEWHPQPGQEKPPVPLLKDAFGAERRKAKTLNFSIAYGKTAVGLSKDWKVSVKEARDTLKLWYRDRKEVLAWQKIQKKLVHEKCEVYTLLGRSRHFPNSGERGHIERAAINAPVQCGISDRQGSAADIVMCAMLEIERNTRLKELGWRLLLQVHDELILEGPSESAEVAKAIVVECMSKPFYGTNILKVDLAVDAKCAESWCAAK >Sspon.01G0020740-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:76852611:76855316:1 gene:Sspon.01G0020740-1A transcript:Sspon.01G0020740-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLSYSPAAHIFLFLVQWTDCSLAGALGLLRILIYKVYVDGTTTMSTHERKASIKEFYAVIFPSLLQLQRGITDVEDKKQKAVCMERYRKKDEDERGSLSDIDVEREEECGICMEMNSKVVLPNCTHAMCLRCYQDWSSRSQSCPFCRDNLKKTCPGDLWIYVEDQDVVDMETVSSENLRRLFMYISKLPLIVPDVIFSEKLYESNLELTY >Sspon.03G0001320-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:11763590:11766487:1 gene:Sspon.03G0001320-1P transcript:Sspon.03G0001320-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGFVKTQKTSAYSKRFQVKFKRRRAGKTDYRARIRLINQDKNKYNTPKYRFVVRFTNKDITAQIISASIAGDMILASAYSHELPQYGLEVGLTNYAAAYCTGLLLARRVLKIRGLDQEYEGNVEATGEDFSVEPADERRPFRALLDVGLVRTTTGNRVFGALKGALDGGLDIPHSEKRFAGFKKDDKQLDADIHRKYIYGGHVADYMKNLAEEEPEKYQAHFSEYIKKGIEAEDMEALYKKVHAAIRADPSVVKSTKQPPKEHKRYNPKKLTYEQRKASLVERLNQLNSGAADDDDEDDE >Sspon.04G0015470-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:57937131:57940474:-1 gene:Sspon.04G0015470-1A transcript:Sspon.04G0015470-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFGKSLNNQIVETLPDWRDKFLSYKDLKKRLKQIGAGAGAGERRSKRQRVCDGGGAGAGSSPAMTPEEAGFVALLDAELDKFNAFFLEKEEDYVIRLKELQDRVVSAVEMGSAEELLRVRKEIVDFHGEMVLLENYSALNYTGLVKILKKYDKRTGALIRLPFIQNVMQEPFCATDVLYKLVKECEEMLDQLLPRNQRSVPSEDDGKEDSDSDDKLAKPSASLANGNGTRDMELEEIEDMESMYTKSTVAALRALREIRSGSSTVSAFSLPPLR >Sspon.05G0023060-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:4905412:4905765:-1 gene:Sspon.05G0023060-1P transcript:Sspon.05G0023060-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVKAPWMVAMSVGAVEALKDQAGLCRWNYALRSIHRAAKANAPSFAQAKKLAPAERKPAGRGGDEDRHVPQLLGPQLELVFSVQFSPSLSRLADSHRASGLRLRPGRLPPAIVT >Sspon.08G0017060-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:61617223:61620401:1 gene:Sspon.08G0017060-2B transcript:Sspon.08G0017060-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSASSAGAAMGMGGGYPHQPPPLPQRGAAAAVFTAAQWAELEQQALIYKYLMAGVPVPPDLLLPVRPSPHSAAFSFASPAASPFYHHHHHHPSLSYYAYYGKKLDPEPWRCRRTDGKKWRCSKEAHPDSKYCERHMHRGRNRSRKPVESKTASPAHSSQPQLSTVTTTTREAAAPLESLATAGTHGLSLGGGAGSSHLNVDASNAHYRYGSKYPLGAKSDAGELSFFSGASGNSRGFTIDSPSDNSWHSLPSNVPPFTLSKGRDSGLLPGAYSYSHIEPPQELGQVTIASLSQEQERQPFGSGGAGTGGGLLGNVKQENQPLRPFFDEWPGTRDSWSEMDDARSNRTSFSTTQLSISIPMPRCD >Sspon.07G0013030-4D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7D:46490392:46491285:1 gene:Sspon.07G0013030-4D transcript:Sspon.07G0013030-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVPVIDFSKLDGAERAETLAQIANGCEEWGFFQLVNHGIPLDLLERVKKVCSDCYRLREAGFKASEPVRTLEALVDAERRGEEVAPVDDLDWEDIFYIHDGCQWPSDPPAFKETMREYRAELRKLAERVMEAMDENLGLDRGSIKAAFSGDGRHEPFFATKVSHYPPCPRPDLITGLRAHTDAGGVILLFQDDRVGGLEVLKDGQWTDVQPLAGAIVVNTGDQIEVLSNGRYRSAWHRVLPMRDGNRRSIASFYNPANEATISPAAVASGGGEAYPKYVFGDYMDVYAKQKFQAKEP >Sspon.02G0002270-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:7320131:7326048:-1 gene:Sspon.02G0002270-1A transcript:Sspon.02G0002270-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVRDSLEMTHTPDYLNFLRCYFRAFSAILTTYTKPQATENAEHKLRNVVIEILNRLPHSEVLRPFVQDLLKLSLRVLTQDNEDNALLAIRIVFDLLRNFRPTVEAEVQPFLDFVVNIYHKFPATVSYFFENPNTSASMAASMPMQHLDPTADAPVTMQVPGGGQLNPSTRSFKIVTESPLVVMFLFQLYAKLVQTNIPHLLPLMVAAISIKGPDKVPPHLKTPFNDLKGAQVKTLSFLTYLLKSNADYIKSYEESICKSIVNLLELLVGLKQVLNTEYKRGLFPLIDTLLDERVLIGTGRVCIETLRPLAYTLLAELVHYVREDISLPQLSRIIYLFSRNMHDSSLTLIIHTTSARLMLNLVEPIYQKGVDQQSMDEARVLLGRILDAFVGKFRTLKRTIPQLLEEGEEGKEQPNLRMKLEVPLQTVLNLQQPLEYTKEINDYKSLIKTLAVGMKTIIWSITHAHWPRPQQQNQQSSNLSVQPFRGLREDEVRKTSGVLKSGVHCLALFKEKDDDREILQSFSQMLAIMEARDIMDMFSFCMPDLFDSMITNNQLLHIFSTLLQAPKVLRPFTDVLINFLVSSKLEALKQPDSPAAKLVLQLFRFLFIAAAKAPESCERTLQPHVPVIMEVCMKSATEVEKPLGYMHLLRNMFRALNSAKFDSLMRDLIPSLQPCLNMLLSMLDGPISEDMRDLILELCLILPARLSSLLPHIPRLMKPLVLALKGSDDLVSLALRTLEFWIDSLNPDFLEPSMANLMSEASSLHMGHKIFGTVREAGGRNRRFLREPLALECKENPEHGLRLVLTFEPATPFLVPLDRCIQQAVGAVMQGNGMEAFYRKQALQFIRVCLDSLLNLRENVPGEGVSPGVLGTLLISSLDPSRRRNDASDM >Sspon.06G0032980-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:6341444:6344658:1 gene:Sspon.06G0032980-1D transcript:Sspon.06G0032980-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSHNSAAHGVTPYQRVTPRAPPPVPASSSAARRSNSAALPASSNVRSILYGPLYSMVYNTRLTSFKSCFRISTSQAIALGPKNKTYSCSFWQTYNVNILLASAVALEQNQ >Sspon.08G0013150-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8A:55859751:55861834:-1 gene:Sspon.08G0013150-1A transcript:Sspon.08G0013150-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALYRLSKQSFHPPAVVSPDAGDEDEIPWILIENEAYVADRRNSTTAFSDCRCDGQVQVTLFIAPPPHVSYLYPDIIATDEDLILLRIAVSDGKDQHRTDAFDLFVYQPAGDGGPLLTRLGRPNSYCDYGFSGYQVGLVSCTADRRDDHDGSSGGGLSAPPLHDRCSLRTYMKGPRLHVVASSSTSTTPSSKPGPPSTSRCRINTSRNTRMKKATFSIGTPSPPVSPFLSPPANPFLDLAPQPLPPCNTQGRRTPSSLDPCVASRLLVGSVRGQPPPHRIHVRRAKGEPVAATNRVTATGGGRRRPIVVDLQGSPGLHAAVEASTTVEASTYTVAGSALAGARSGLRLATVGGGIGRGAVQDSACLPLRRLLRSPPLLLRVGKERGEEGREAWEGRGEEGREASPGGKGKEALRRVGKEREAEALCWEEGRRRRAALDFSGGRGCVSVRIWATIFGGGPFSVPASENRFMEAGTLRGPPLKRGYFWRRLS >Sspon.01G0044440-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1B:82623527:82623898:1 gene:Sspon.01G0044440-1B transcript:Sspon.01G0044440-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGKHHQQQMAAAAVAPKGCVTVRVGLEGEEQRRFAVPLGHLKHPLFGALLEEAEREYGFRHQGAIAIPCRVDRFVQVEHLIGQDLHGTSSCAQHLVDLDGGALANVGGGAAHHHHIHLHLPRF >Sspon.04G0022470-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:6333664:6336144:1 gene:Sspon.04G0022470-1B transcript:Sspon.04G0022470-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding HMTNQDVVVSEMGIAAGAALPGASPALLACRGAAAGAMSLRYLDLAAAAARSASCTWVDAMRASSPTRSRAAADVDEFTAWQRKHPSALGKFEQIASASKGKKVVMFLDYDGTLSPIVTDPDAAYMSDAVRPRAALPRSPAGTYSLSWRPAARTKVHPRLTFSPLRCTGAQMRAAVRDVAKHFPTAIVSGRCRDQVRHFVDLSELYYAGSHGMDIKGPGSQPGSVLCQPASEFLPVIDQVYKALVEKTKSTPGAKVENNKFCLSVHFRCVDEQRWNALAEQVKAVIKDYPKLKLTQGRQVLEIRPSIKWDKGKALEFLLESPGFANCSDVLPVYIGDDRTDEDAFQVLRKRGQGIGILVSKCPKETNASYSLQDPGEVMDFLLRLVEWKRKSSAAPAGVAQLRTQQPGGRAVD >Sspon.01G0051910-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:53970929:53974144:-1 gene:Sspon.01G0051910-1P transcript:Sspon.01G0051910-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNGITKNPCFSSDPYAAAVASDPLPDDSHGHSFTYVPSGAAFDQPPTAAATSSESSFFSLSGAAISANPATSASMPSFRALNEMTWPQSTACTFESSRSFAAVPLQAAPPRLSMSGPVQFTSGRFSETSCSASTISGPPSDSPFMSGPLDRSSSASASVAVGLQPSVSQLIAERRAARSHLRDERSLLRFFVRTASKLRLGSPRYGRRPQEPAEPIKVSFNDGDYRSPPNGNVEWAQGMAGEDRFHVAVSEEHGWVFVGIYDGFNGPDATDYLFANLYVAVHGELKGVLWDDIQAGDGARCGQQEAATGNAERLCLAEADGDGAEAKRRRTEVPMPGNNATPVHRDVLRALARALKKTEDAFFAAAEERAAESPELGLMGSCVLVMVMKGTDVYVMNVGDSRAVLARRPEPDLKNVLGKASQDLQQFKAEIMRELEAHDMDGLQAVQLTAEHSTAVQEEVMRIKGQHLNDRHAIVNGRVKGKINVTRAFGVAYLKQPKWNSRLLEAFRINYVGTDPYVTCAPSLCHHRIVGSQDKFLVLSSDGLYQYFTNKEVVDQVESFTAAEPDGDPRSTSSGSSCTAPRGRPVSMETRQLLEIPRGARRHYHDDVSIIVISFEGRIWRSSV >Sspon.04G0003710-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:11394760:11395969:-1 gene:Sspon.04G0003710-1A transcript:Sspon.04G0003710-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DEQLVVVSQVLVSDINIGYEEIVNTQVLAFNGQPVKNLKNLATMVENCKDEFLKFDLEYDQIVVLETKTAKAATQDILTTHCIPSAMSDDLKA >Sspon.04G0035100-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:1840748:1847366:1 gene:Sspon.04G0035100-1D transcript:Sspon.04G0035100-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASPPQGLTPALQASFLCSLALAFLRAGRLSAASHVVSSLPASPPARLLRRLIPALASSGLAAAAVRFCPVPGDTLTLNSIILSYCSLRSLRPALSLLRSSSGAQAQVASDTVSYNIFLAGLSEQGHGRLAPPVLAEMCKRGVPWDGVTVSTALVGLCRTGLVSEAEALAEMLLRGRGIDGLDVVGWNALIDGHRKVQDMAAALVVVERMRTQGVALDVVGYNTLVNGFCHSGDADAALEVVERMKADGVEPNVVTYTTLIGEYCKRKGIEEAFSLYEGMVRSGVLPDVVTLSALVDGLCRDGRFSEAYVLFREMDKIGVAPNHVTYCTLIDSLAKALRGNESLGLLGEMVSRGVVMDLVMYTALMDRLGKEGKIEEAKDVLWHAQSDNITPNCVTYTVLVDAHCRAGNIDGAEQVLLQMEEKSLRPNVVTFSSIINGLVKRGCLGKAADYMRKMKDSGIAPNVVTYGTLIDGFFKFQGQEAALDVYRDMLHEGVEANNFVIDSLVNGLRKNGNIEGAEALFKDMGERGLLLDHVNYTTLMDGLFKTGNMPAAFKVGQELMEKNLSPDAVVYNVFINCLCTLGKFSEAKSFLKEMRNTGLEPDQATYNTMIAARCREGKTSKALKLLKEMKWNSIKPNLITYTTLVVGLLEAGVVAKAKNLLNEMASAGFTPTSLTHQRVLQACSGGRKPDVILEIHELMMSAGLHADITVYNTLVHVLCCHGMTMKATVVLDEMLGRGIAPDTITFNALILGHCKSSHLDNAFAMYAQMLHQSLSPNIATFNTLLGGLESAGRIGEADTVLSEMKKMGFEPNNLTYDILVTGYAKKSNKVEALRLYCEMVSKGFIPKASTYNSLMSDFAKAGMMNQAKELFSEMKRRGVLHTSSTYDILLNGWSKLRNGTEVRILLKDMKELGFKPSKGTIGSMSRAFSRPGMTGEARRLLKTLFKLPVSIIFIYAKIYGGFEKIQSSICDLVAVARLLNATLVIPEIQATTRAKGISDVVIVHGLPKDLREARKKIKFPTVSPRNSATPEYYIKEVLPRLIKSKFHGIIVNGGNCLQSMLPASLEEFQKLRCRVAIYALRLRPQIQALGSQIVGRLRASGRPYVAYHPGLLRDTLAFHGCAELFQDIHTELIQYRRNQMIKRGTVKEQLTVDSVSRKMAGLCPLMPEEVGLLLQALGYPPTTIIFLAGSETFGGQRMLIPLRAMFANLVDHTSLCSQRELFDLVGPEDPLTPDLPQPPPPKSEKQLIEEWKRAGPRPRPLPPPPARPFYVHEKEGWYGWIGENDTEPDASLIEFRRQAHRLLWDALDYFVSVEADAFFPGFTMTGVVGQTTQ >Sspon.01G0034580-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:5253989:5257678:-1 gene:Sspon.01G0034580-2D transcript:Sspon.01G0034580-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGFVKTQKTNAYFKRFQVKFKRRRAGKTDYRARIRLINQDKNKYNTPKYRFVVRFTNKDITAQIVSASIAGDMVLAAAYSHELPRYGLEVGLTNYAAAYCTGLLLARRVLKIRDLDQEYEGNVEATGEDFSVEPAEGRRPFRALLDVGLIRTTTGNRVFGALKGALDGGLDIPHSDKRFAGFKKDDKQLDADIHRKYIYGLHVAEYMKNLADEEPEKYQAHFSEYIKKGIEADDMEALYKKVHAAIRADPSMAKSTKTPPKEHKRYNPKKLTYEQRKASLVERLNALNSSGGADDDDEDDE >Sspon.05G0014000-3D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5D:49268699:49269224:1 gene:Sspon.05G0014000-3D transcript:Sspon.05G0014000-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSMLPHLRESVAHALELPLHWRAPRLETRWFIDYYARDINMCPLLLQFAKLDFNQVQDEHQKDLAAVTWWSRNIGLGEKLPFARDRLMKCFHYANGIVWDPKLGPCRQMLAKVSNLFVYLDDVYDVYGTMDELVLFTNAIAR >Sspon.04G0015080-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:64734495:64739399:-1 gene:Sspon.04G0015080-4D transcript:Sspon.04G0015080-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATTAGAGAATPQPPRQYKLAPQSELRVEVLPDAPLRVRLVTGTAEIFGTELPPEGWVPVPPRSKIAIFTWHGATVELDGVSESEYTSDETPMVIYVNTHAILDARRARARAAAAQGGDMEASQSDCCGATDSGKSTLCKMLLSWAAKLGWKPTYVDLDIGQGSITIPGCISATPIEKPIDIVDGIPLEMPLVYFYGHPNPSINADVYKVLMKELAKTLEKQFSGNAESRAAGMVINTMGWVEGLGYELLLNAIETFKANVVLEKLWKMLKDAVQSKSNIDVVKLHKSEGVVLRNSKYRQKTRSFRIREYFYGIANDLAPHSNIVNFSDVSVYKIGGGHQAPRSALPIGAEPVADPTRLVAVNISTDMIHTVLAISYAKEPDEIISSNIAGFIHVTDVDIQRKKLTYIAPCPGDLPSRLLIASSLTWYEA >Sspon.06G0014780-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:63931303:63932634:1 gene:Sspon.06G0014780-2B transcript:Sspon.06G0014780-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RMAATHGPASIPQDQESRPVPAQRPNGASAAVLGIGTANPANCLRQDEYADWYFRVTKSDHLTTLKNKMKRTGEKSGIKKRHFHYTAQTIADHPEFLDRAAPSLDARLGITQDAVPELAAAAAARAIAEWGRPATDITHLVVTTNSSAHAPGADLRLAALLGLRPTVQRTLLYLHGCFGGCSALRVAKDLAENNRGARVLVASSEVTTLLTFRAPEEAHLEALVAMALCGDGAGAVIIGAGEPTAVERPIFYTVSASQATLAGTEHALSMQLGTSGMELGISAEVPALLRGTIEGCLADMLAPLGVPVVPGAGWNDLFWAVHPGGRAILDSCEAALTLDAGKLAASRHVLSEYGNMIGATVFFILDEIRCRRQDGDDDCESGVMLGIGPGITVEMIVLQAAGSQGRN >Sspon.05G0000060-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:922062:926169:1 gene:Sspon.05G0000060-2D transcript:Sspon.05G0000060-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVTSTAAASASGGGRWYTGMSADNIKGLVLALSSSLFIGASFIIKKKGLKKAASSGVRAVLMFHVMTGVGGYSYLYEPLWWIGMITMIVGEVANFAAYAFAPAILVTPLGALSIIIRHEFSVMELYALPYCNLLFILSLIWLFCSAVLAHVMLREKLHIFGILGCVLCVVGSTTIVLHAPPEREIESVTEVWDLATEPAFMCYAAVVIAIAAILVYRFVPLYGQTHVMVYIGVCSLVGSISVMSVKALGIALKLTFSGTNQLIYPQTWAFTLVVISCIITQMNYLNKALDTFNTAVVSPIYYTMFTSLTILASVIMFKDWDRQNPTQIVTEMCGFVTILSGTFLLHKTKDMADGGLLTSSSFRLPTSSSVRFSKQTDEDGEGIPLRSSESFRSPH >Sspon.01G0018210-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:72777710:72779960:-1 gene:Sspon.01G0018210-2B transcript:Sspon.01G0018210-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLSLAKGDLDLQVNELSRRSVALESENSHLKEYIIYLVEILRTRLVLSEFDLDTNQSVCQELVVELENCMAQLVQKDDELMEAEEKVQLLQEKNRELCGVVGSLQVAIEGAKVVKGELEKKITRLIEQCSSKDDEILLLHQDNETLQSEVEQCEREFVVLMDDAITSSVNSAVYEEKAFKLMMNGEATENRAISLKELLMKEVSSRDAHVEDLQKKFAGIQEEHAELKAEFNTHLALITSLSDHVSALEEDARSLSKPCTIDDKEETAWVHHVQEGNDELESHCLPKGTLKLQGLIARIEALQVVVLNAKDRQDQESAESAAKLAATSTEIQELKARGSSRMEAKEIYSDHEKQDVEVSKGKQAQIMKDIELDKISTCPPYGAGAAFYPLGTGANVELDDDMLQLWEAAERSYKNETAKSSSSEHDIQAVEDLKSEYPSSELVRGRDLGLNKLEVSKGAVEPHEAWSKNVLERLTSDAQRLLSIQASIEELKQKMEGPSKGKSPMNSEYSSVSTQLHETEGFVLEQINFNNKLTRKAENYPALSDNMNTEREGYSSRRKISEQVQKGSENVARLELELQKIQYVLLKLEEEHEYRRLKVSDKRTRVLLRDYLYGRKDRGGGQKQKKKRVPFCGCVRPKSRTEP >Sspon.08G0001400-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:1545220:1550910:-1 gene:Sspon.08G0001400-2B transcript:Sspon.08G0001400-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSEQSSRFVQELVLYAASAALSCLVLFAGLHHLDPNRAASQKAQQQKKEIAKRLGRPLVSTTPYEDVIACDVINPDSIDVEFDSIGGLDQVKQALYELVILPLRRPELFAFGKLLSPQKGVLLYGPPGTGKTMLAKAIARESGAVFINVRISNLMSKWFGDAQKLVAAVFSLAHKLQPAIIFIDEVDSFLGQRRTTDHEAMTNMKTEFMSLWDGFTTDQNARVMVLAATNRPSELDEAILRRFTQIFEIGIPVQSERSKILQVVLKGENVEPNIDYDHIARLCEGFTGSDILELCKQAAFYPIRELLDNEKNGRQLDRGRLGEEEPLLLSEGSRLLRSHRAAAGGAGQVGLVADEHDDDGLVGVGAELGDPARGVVEGAAAGDVVDEHGSQRAAVVGAGDRPVALLAGGVPDLGLDAVAGDVD >Sspon.07G0037390-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:53374148:53374400:-1 gene:Sspon.07G0037390-1D transcript:Sspon.07G0037390-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AMTASYTIFSARSMLLFIGYVGGVGTLALRSVTKGTSEASALRQGWREGSAEPASDRRRCRAAKQR >Sspon.08G0006730-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:18638175:18638642:1 gene:Sspon.08G0006730-2B transcript:Sspon.08G0006730-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:MBF1C [Source:Projected from Arabidopsis thaliana (AT3G24500) UniProtKB/TrEMBL;Acc:A0A178VDH9] MPTGRLSGNITQDWEPVVLRRTKPKAGDLKSAKAVNQALRSGAAVETVRKSAAGTNKHSAAVAPASRKLDETTEPAAVERVAAEVRAAIQKARVAKGWSQAELAKRINERAQVVQEYESGKAAPAQAVLAKMERALEVKLRGKSVGAPLAAAGGK >Sspon.08G0003800-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:10786118:10787261:-1 gene:Sspon.08G0003800-1A transcript:Sspon.08G0003800-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPCERVERTKLHEQTRKLSTAHTCQWNWHAATAELLGGVAAEAADVSADHGDAEEAEAEHGVDRVAEVVPRGRVVARPVRGVSARTHERGPAQHEGAAAGVGEQRAVRGARLQEAEEVVRVVLAHAAVVHRGRRRGLVVDGAAHAGVVDAVRRVVQRVERRRVDEVGDLVHVDPEAVDGEHPSEQGDLARPELGGAWVEEVREVDVPWPHLAEVVRAAPLQEHVLLHPSDERPPAHRSPFPGTWVYDDDVFLTVPVKAVDELPHGAGREVHRIQREVLERVHVVDVRPHHLQRDPGLGVPGHHVLQVSQVLVPPPALVEPCNEPERIAIANARSAARTE >Sspon.05G0003340-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:10085404:10086425:1 gene:Sspon.05G0003340-1P transcript:Sspon.05G0003340-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTLPELPQDVLMSIFCTLEVPDPVRAGSVCSSWHAAYTCLHDLGKYKQSQTSCLFYTSESAGDNVGFLYSLKENRSYKLTLPEPSIRSRFLIGSSNGWLITADERSELHLVNPITGEQVALPSVMTIEHVKPIFDVSGTIHKYELSYDIGKVYTPPSLHDPSDLREYLYFKAFVFPDPSTGSYIVDTQD >Sspon.02G0045490-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2B:109536442:109540411:1 gene:Sspon.02G0045490-1B transcript:Sspon.02G0045490-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable serine/threonine protein kinase IRE [Source:Projected from Arabidopsis thaliana (AT5G62310) UniProtKB/Swiss-Prot;Acc:Q9LE81] MLEHKQLTVDFSPARIFSPSSGDGSAAADGHSPSGKMASWKKLPSPAEKNKISKNAAGAGDASGDAAATATKAAAAGAGDDSPAKKKPITRHGKTTVDEIVERVDAASIHIHPDGLACLGGDAAMNLAEIPSRYPEAQQIIVDGKPRMICRICDFEIPMACAEGHFVVCTLADRCDAKGHSADQRLLRVAEVLDRVLACFDTRGGGSLGVGGGRASTSSESDSNNAADHDPLSQLLTPRRVAADQAQGVRGPGELPADREPARHRPGRRGHQELEYNSLEDLSSYLEDLNAVIDTRKVDALVVETFGRRIAKLLQEKFMQLCGQIDDMGGAAADQQPHLHPIDEDGPMMESSGVTMMSSRTTTTLNGSNANRFKDRTSIEDFEIIKPISRGAFGRVFLAKKRVTGDLFAIKVLRKADMIRKNAVESILAERDILISARNPFVVRFFYSFTCRENLYLVMEYLNGGDLYSLLRNLGCLDEDMARTYIAELVLALEYLHSMNVIHRDLKPDNLLISRDGHIKVIGVGLINSTDDLSGPDVSSVLVGDHQPTDAEHREQKRQQRQKQTAVGTPDYLAPEILLGMTHGSSSSSPAASSILLVIFSGGSSEMRDLSFSDMVKAHPFFKDINWDMLARQKVAFIPSTDDEYDTSYFACRHAWGSTDEQVNAAGNDDYDDRSETSSMSCGSSPHSDYEEDVSVLMCIIHKLIPPCD >Sspon.08G0020670-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:24858995:24860097:-1 gene:Sspon.08G0020670-2D transcript:Sspon.08G0020670-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHAAHTSPFMPPSKPHLNDLIGSQRHVVSEIISRPGHRNRTFSLEAAAAADMSTTVTVSPPPDPLGDAPAAPGTSSSNFTLLYIIVAVLAGVILYMAFRHGQSVLAEWRRLQAGGHAEAEASGTRLGLSLDDIAALPTFTYRAQAAVLADILARSPLRNSCSCSTSGFGSPRLHLGSRTPSPAPQVYGRVDDRCSKSPPPGMSEIVVVPSKSPSPMRFSTSRQLSARSVGTLESIEVITSASASPSPVPISEDGGGSLSKSQ >Sspon.01G0050400-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:2209560:2213142:-1 gene:Sspon.01G0050400-2D transcript:Sspon.01G0050400-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDPKPRRSPAAPTLAAKLRKHSTWLLLLLWFALSLALFLSATPPAAAPLSRSSFLRSKPRALAAAPPVRIYVYDLPARFNRDWAAADARCARHLFAAEVAVHEALLAYAGRAARPEDADLFFVPVYVSCNFSTPNGFPSLSHARGLLAEAVDLVRARMPYWNRSAGADHVFVASHDFGACFHPMVSVSGEKLPTFSGLSLLRCLEDVAIADGIPEFLKRSILLQTFGVQGHHVCQDVEHVVIPPHVPPEVAHELPEPEKAQRDIFAFFRGKMEVHPKNISGRFYSKKVRTELLQHYGRNRKFYLKRKRFDNYRSEMARSLFCLCPLGWAPWSPRLVESVLLGCIPVIIADNIRLPFPSVLQWPEISLQVAEKDIANLEMVLDHVVATNLTVIQKNLWDPVKRKALVFNRPMEVGDATWQVLRELEVLLDQSQRKRYVGSWRRINISKHRATSALSSDTVS >Sspon.02G0021300-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:71630303:71635438:-1 gene:Sspon.02G0021300-1P transcript:Sspon.02G0021300-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPPRRLLATSFLSLLLVLVLLHHHSPCAAAAAVSPSLGLGENLLGAARAPGFAAWLRGLRRRIHERPELAFQEHRTSELVRAELDAIGVPYAWSVAQTGVVATIAAGDGGGSDGPVVALRADMDALPMQFEASCCGWVCGWVGGGRGGGKEAAARGLGDGGARAMPDNVEVAAARPLAARPPTASRVPLGVSQGWVLGFCRRSRPDELVDWEHKSKESGKMHACGHDAHTTMLLGAAKLLHARKDDLKGTVRLVFQPGEEGHAGAYHILREGVLDDVSAIFGLHVDPGLPVGTVSSRPGPFLAAAGRFLVTVTGKGGHAAGPQDAVDPIVAASSAIVSLQMLVAREIDPLQSAVVSVTFMKGGDAHNVIPEKVSFGGTFRSLTTEGFSYLMKRIKEIIEAHATVHRCTAVIDFMEEELRPYPATVNDEGMYHHAREVAETMLGQDNVRIGAQSMGAEDFSFYAQKFAGAFFFIGVRNKSMEAMHPLHSPYFVIDEDVLPVGAAFHAAVAMEGQQIVSHPKDIGSQITRSSKQSIGPQGKGRSESASRGIRC >Sspon.06G0007830-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:31436636:31439481:-1 gene:Sspon.06G0007830-2C transcript:Sspon.06G0007830-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LHCTGSRSYIAQAHVVKQEKYKDVQPTALDLFKDFHCSKNKGFSEPIKKAIADMEAIMAKPVQDEEQPKSVNHAISQVVKSTTFLQVAGIQPNFNNSSKGGTSSKVQQLQAELEIEKKSKEDLRHEFEAMKKESEMAMETLKKESEMARAKQAEEIEKIKKASQETMSFLRQMLGPRDGVPT >Sspon.02G0007030-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:15182529:15183895:1 gene:Sspon.02G0007030-3D transcript:Sspon.02G0007030-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSAPASVREICRAQRADGPAAVLAIGTANPANCVPQDEFPDFYFRATKSDHLTGLKEKFMRVCQKLGVQKRYLHHTEELLSAHPEFLDHSSPSLDARLDIVKTAVPELAAQACRKAIAEWGRPAADITHLVVTTNSGAHIPGVDFRLVPLLGLRPTVRRTMLYLNGCFTGAAARRLARDLAENNRGASVLVVCAEITVLLFNGPEEGCFQTLVNQGLFGDGAGAVIVGADPVAAPPAERPLFEIVSAAQAIIPESEGVITMHLTRGGYGGNISTRQVPVLIGDNIERCLTDAFAPLGVIGAEWNDLFWDVHPGSSAILDQVDAVLKLKPEKLAASRRVLSEYGNMFGVTVIFVLDELRRRMENGEEEGAPEWGVMVAFGPGLTVETMVLHRSGTPAEKKLAEA >Sspon.01G0052030-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:19733174:19734063:-1 gene:Sspon.01G0052030-1C transcript:Sspon.01G0052030-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine-rich repeat secretory protein 55 [Source:Projected from Arabidopsis thaliana (AT5G48540) UniProtKB/Swiss-Prot;Acc:Q9LV60] MEFSTMRCCILLVSLALLPLGMAADSIGRYCSGSSYAGSNKAVTSINSVLADLVATASTEGYATSTAGKGNNIIYGLAQCRGDVSASDCSACLADAAKQLPSTCSYSSDARIWYDYCFMRYENANFFGQADTDAGVILVNVQAMDNPKAFEKAVGKVIGKATAQASAAGSAGLGRDKEQYTPFVSIYGLAQCTRDLAPLTCAQCLSTAVSRFGDYCGAQQGCQINYSSCRVRYEIYPFYFPLAGNGAGGRATTDMTKNTKIVVHP >Sspon.05G0022330-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:7247312:7247875:1 gene:Sspon.05G0022330-2D transcript:Sspon.05G0022330-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWHAWLSGARLEPALVYEYALVFARNELEADDVAFLDHEFLHSMGISVAKHRLEILKLAWRDRRSRARPAALARLLLGRVARYVRSLARRDEDGSTALVLVLSQQLQADVVGRSPCGGGGGVSKHKQQRRGGKALQRAKSEPKGPAPRASIGGRAAAAVHAVGDVEGGDGDEMVRWDRLFQDLKPN >Sspon.02G0007840-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:22043136:22049758:-1 gene:Sspon.02G0007840-2B transcript:Sspon.02G0007840-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endoplasmic reticulum (ER) stress sensor, Transducer of ER stress, ER stress respons [Source: Projected from Oryza sativa (Os07g0471000)] MRSLRRVLIPLVLLAGLAFRVDDGGAALRPPPAPALPATPPRLSLPGGAAPEDDGAAAASRSTEIVAVGARSTEIVAPAGPKKQSLRELLVRPQPARHEPANLVSGEAKAEPSPVLQFYDNGTIQLVDQLSQSPLWEITTGPPLSDHITTTDSGLNYLIYPLMDGNGTELWEVYNGNNVRLPWKLEEFVARSPYIRDSVVTVGSKVSTVFVVNADTGEIIYRHSIPAVLNELEGPGIEGAPSKLNARTSDGSEKIIVLVRTDYSLSASDLGKHLFNWTRTSFTANQYAKYNHPDMLDQSPCLRGDIPCIRTEGLPLALPDSDSDSANVIVLKDGTPFISIHGSDALEPVQTPRKLPNTAGKSNIVLDDSQNQTYDGAQSHVISADPKATKNPTRNTYGWLFPLFPIFLVIGYLLSLISASKSCRQFVIQLIKPFTHNKKSVDIRGRSEGTPKRRKTRKKDGLVNSPETLTASDKECSETGGSTEAPMENSALTDALGGRQIGKLYVSNKEIGRGSNGTVVFEGSYDGRQVAVKRLLRSHNDIAEKETQNLIISDRDPNIVRLYGCDHDSDFVYISLERCHCSLADLIQKHSYLSSGESISNNEVSVSIKSKVSNVKGIDVELWMQDGLPSAHLLKLMRDVVAGLVHLHNLGIIHRDLKPQNVLISTEGPIRAKLSDMGISKHLQDDMTSVSHHGTGIGSSGWQAPEQLRHGRQTRAMDLFSLGCLIFYCITKGKHPFGEYYERDTNIVNNRFDLFVVDHIPEAVHLISQLLQPNPEIRPTAVYVMHHPLFWSPEFRLSFLRDTSDRIEKTSETDLINALESIAPMAFGAKWGEKLDAALVTDMGRYRKYNFESIRDLLRYIRNKSGHYRELSDDLKGILGSLPEGFDRYFASRFPKLLIEVYKVLWVHCKDEEAFSKYFNGSSIRIGGIH >Sspon.05G0010800-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:59696047:59697378:-1 gene:Sspon.05G0010800-2C transcript:Sspon.05G0010800-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AFSSSNGVPPGFRFHPTDEELLQYYLKKKVGFEKFDLEVIREVDLNKIEPWELQGICRIGSAPQNEWYFFSHKDRKYPTGSRTNRATTVGFWKATGRDKCIRTSYRKIGMRKTLVFYRGRAPHGQKTDWIMHEYRLEDADDAQGGTSVRGWVVCRVFKKKCFFKIGGGEGSTSQGADAGGHLAVSPPLGGHHDQARAALAYMHPHPYYHHASSYYSQMQAPAPHAAAYSHHVQVQDLLTNHRPTDDGAGTGYDFSGLPVEHHPGGGLDVGSSDGVATDGGQLAGEGRDQTTGTAAEQWQAMDGFSNGGSAAVQQMTGGMSSGGAQRGGEMDLWGYGR >Sspon.01G0033180-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:112220394:112220837:1 gene:Sspon.01G0033180-1A transcript:Sspon.01G0033180-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPRLFVGLGHIEGLDRSSPSPPVPPAFHLTLDAQVRISVSGCCNRIGGGNSMLRVIYHGMLLARGHVPTFCVCGGVANVEAKNDAVLLREEVRALIQSEQHVVGKAEFDVEGEVAGLGYLHCKAFLLQGNAKEGSKGTCQVDYCH >Sspon.01G0046370-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:89415686:89419055:-1 gene:Sspon.01G0046370-2C transcript:Sspon.01G0046370-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVRASVKRLCGFCKVVKRRGIVFIHCTANPKHKQRQGFSTIAEAAASCVHLPLPPPASGSASAAAFTEASKVARQEMSMKFNWPLGLAALLKNGEK >Sspon.07G0011090-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:37405758:37409531:1 gene:Sspon.07G0011090-1A transcript:Sspon.07G0011090-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to 60S ribosomal protein L17-1 [Source: Projected from Oryza sativa (Os09g0258600)] MVKYSREPTNPTKSAKAMGRDLRVHFKNTRETAFALRKLSLTKAKRYLEDVIAHKQAIPFRRYCGGVGRTAQAKSRHSNGQGRWPVKSARFILDLLKNAESNAEVKGLDVDTLYVSHIQVNQAQKQRRRTYRAHGRINPYMSSPCHIELILSEKEEPVKKEAESQIATRKA >Sspon.01G0013400-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:43856237:43856941:1 gene:Sspon.01G0013400-2B transcript:Sspon.01G0013400-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding PMAGAGANDDLKVLGVWTSPFVIRVRIVLNLKGLAYEYVEEDLGNKSALLVGSNPVHKSVPVLLHAGRAINESQIILQYIDEVWAGTGPAVLPSDPYERAAARFWAAYIDDKVRSAWLGMLFRCKNEEERAEAVARAGEALDTLEGAFRDCSKGGKKPFFGGDGIGFVDVVLGGYLGWFGAVGKIIGRRLIDPAKTPLLAAWEDRFRAADVAKGVVPDDVDKVLAFLQTLLAISK >Sspon.06G0007330-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:27517007:27526354:1 gene:Sspon.06G0007330-1A transcript:Sspon.06G0007330-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSSRPLLRRLAELARGRVRANHRMLSSASSSTAAIERASQSPAEALAVRMTEGCVRRLKELHAKEPSAEGKMLRLSVEAGGCSGFQYSFALDDKKNSDDRVFETDGVKLVVDDISYDFVKGATVDYEEELIRSAFVVSTNPSAVGGCSCKSSFMVK >Sspon.06G0021290-2D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6D:14045743:14046126:-1 gene:Sspon.06G0021290-2D transcript:Sspon.06G0021290-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDDGHEVWAEARKTSARAGGQRQLRGRWEVTSVEAEEGRWRHWTSDPVDGRSRRPGRRQTRRRTYRGWRTMATKRGQRRGRRARWSYVTAPKWGKWAQIADGSMYCSRTVGAITVDGLLHIQTPVL >Sspon.01G0023170-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:81493191:81504569:-1 gene:Sspon.01G0023170-2D transcript:Sspon.01G0023170-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEQARSGAPMEWTTVQHLDLRHSGGRRGASARPMQPHAAAFRSSQAIVAVAIGTHVVEFDALTGSKIASIDLGTRVVRMAYSPTTSHVVIAILEDATIRSCDFATEQTLVLHSPEKKTDHVSIDTEVHLALTPLEPIVFFGFHKRMSVTGYSLSLGGTVDGGRPPTKIKTDLKKPIVNLACHPRLPVLYVAYAEGLIRAYNIQTYAVHYTLQLAVDSTIKLMGAGAFGFHPTLEWIFVGDRGGTLLAWDVSTERPSMIGITQAGSQPITSVSWLPTLRLLVTISKDGALQVWKTRVIINPNRQPMETHFFERAAIETMDITKILTLQGGEAVYPLPRIKNLAVHPKFNLAAVIFADMSGTEAAKNKAAYTREGRRQLFALLQGARGSTAAVLKEKLLALGSSGILAEHQLQAQLQEQHLKGQSQLTISDVARKAFLHSHFMEGHAKSGPISRLPLVTISDSSNLLRDVPVCQPFHLELNFFNKETRVVQYPVRAFYLDGFNLMAHNLSSGADNLYKKLYSTVLTVHQNNVFLFVTFYLFSNSHVTHPQIPSNVECHPKNMSYSPKQHLFLVVFELSGTAGVAHEVVLYWEQTDLQTVNSKGSSIRGRDAAFLGPDDNQYAILEEDRTSLNLFSLKAVATKEALENNAAVLEENTFADNAANSTERQGPLQFTFESEVDRIFSSPLESTLLYVISGKHIGLAKLLQGYRLSTDNGLSITTKTDGKKFIKLKPNETVLQAHWQTTLRGPVVGILTNQRVLIASADLDILSSSSTKFDRALIFSSATAISMLGWDNKVRSILSTSFPRSVLLGALNDRLLLVNPTDINPRQKKGVEIRGCLVGLLEPLLIGFATMQQYFEQKLDLSEVLYQITSRFDSLRITPRSLDILTKGPPVCGDLAVSLSQAGPQFTQIMRCNYAIKALRFSTALSILKDEFLRSRDYPQCPPTSHLFQRFRELGYACIKYGQFDSAKETFEVITDHESMLDLFICHLNPSALRRLAQKLEESGTDSELRRYLERILRVRSTGWTQGVFANFAAESMVPKGPEWAGGNWEIKTPTNIKNIPHWELAGEVMPYMKTTEAGIPSVVADHIGVYLGVMKGRGNVVEVSERSLVKAIAAASSENAQAVSSASAEKNKAIAGGDSVGDTLARQLGVQIASADEQAKAAEDFKKTLYGVVDDGSSDEDESTSKTKKIHIRIRDKPAAPTVDVNKLKEATKQIGLGPPPLSRTRSLSGTPQDFNQAPTQPGGPAAVVSPAMPNAAIDLFGTNALVQPQAASSATGPVIAGMGVTAGPIPEDFFQNTIPSHQLAAQLPPPGIVLSRMAQPAPGMDQGRPVPNQMMANVGLPDGGVPPQAPPQQSQFPPQQSQFPQQPGIPMDSIGLPDGGVPPQSQPLPSQGQALPSQAQGFRPGISAPSQPIDLSALEGPGAPKQAARPPAPTAVRPGQVPRGAPAAECYKMALAHLEQNQLTDALSCLDEAFLALAKDQSREADIKAQATICAQYKIAVALLQEIARLQRVQGAGALSAKEEMARLSRHLASLPIQAKHRINCIRTAIKRNMEVQNYAYAKQMLDLLYSKAPPTKQDELKSLIDMCAQRGLTNKSIDPFEDPSQFCSVTLSRLSTIGHDVCDLCGAKFSALSAPGCVICGMGSIKRSDALAGGPGPVPSPFG >Sspon.03G0003340-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:17905728:17911388:1 gene:Sspon.03G0003340-2B transcript:Sspon.03G0003340-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRATAAAPLLPLLRLHPLKVTGLKTRTAAVLASAAQPAQMERRQEVKAVKLRAVEATPDSFASFGQVVTASPDGVEFGPHDAQLDLSRGIPRFYIMRLQDRPLKFSTITHHASVTQCLGAIGGQDWYLGVAKPSIVDGASEQGGPEEGRKLLQSAAGHYYLPPDPAEVCVFRVSGSKFLKLNKGTWHAGPLFKADAVDFYNLELSNTNVVDHTTHYFKKHDGITFVVED >Sspon.01G0025740-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1A:90202599:90202826:1 gene:Sspon.01G0025740-1A transcript:Sspon.01G0025740-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVEEEVGRLKEEIQRLGQQQPDGSYKVPPFLSDSHPLGSHRRSEPPASPRFLFPVLCCHLQDGPRQDSSPSLDA >Sspon.02G0004450-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:13999045:14001629:-1 gene:Sspon.02G0004450-1A transcript:Sspon.02G0004450-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEERRIRSRIESGLSILIPAIGFDEILAKSCCIPLHGSNFEDDDEPMQVEEDLERTQFSSLQKEKRRQWGEENGDAFPGIVKHFLGVLIREAFPGIVKYKGRDEPAWHLQQWPSGKENAARRVVRNVVKKLIPQMFSEGRIQSVITYYNRVLGRKIRRAEACQTDLTKEEYMQAIPWWAQKAPETGSWLQNCGGATKLIIQTQLNTPIPPLVLPSQSGLSHQGTSGGLGVGPAETGAATATEVGEGEVAANGGHIT >Sspon.04G0034080-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:70912668:70920787:1 gene:Sspon.04G0034080-1C transcript:Sspon.04G0034080-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPLHFSYMKYNISLRLHILAVSLVLLLSLATPISSCTEKEKTSLLQFLDGLSKDSGLAKSWQEEGTDCCKWEGVTCNGNKTVFEVSLPSRGLEGSITSLGNLTGLQHLNLSYNSLSGDLPLELMSSSSITVLDVSFNQLSGDLHELPSSTPGQPLQVLDISSNLFTGQFTSTTWKGMQNLIALNASNNSFTGQIPGHFCNIAPSFAVLELSYNKLSGSIPPGLGNCSELRMLKAGHNNLSGTLPDELFNATLSFIWAMSGELPSTLSNCTNLITIDLENNSFTGELAKVLKDSKNLTTILIGENFKNETMPDDDSIAGFENLQLSNNSLTGDIPKELMNMPMLTTEKTAAHLDPRIFYLTVYYGPSRQYRIPIVFPKVLDLSSNRLTGVIPQEIGQLNALLSLDISSNNLTGPIPPSICNLTNLLVLDLSNNNLTGRIPAALENLHFLSTFNISNNNLEGPIPTGRQFSTFQNSSFEGNPKLCGSMVAHQCSSAQASPVTRKEQKKMVSFAIAFGVFFAGIAILLLLGRLLVSIRVKCLAAKSRREDSGDLEMTSFSSSSEHELVMMPQGKGEKNKLTFSDIVKSTNNFNKENIIGCGGYGLVYKAELPDGSKLAIKKLNSEMCLMEREFTAEVEALSMAQHENLVPLWGYCIHGNSRFLIYSFMENGSLDDWLHNRDNDASTFLDWPMRLKIAQGASRGLSCIHNVCKPHIVHHDIKCSNILLDKEFKAYVADFGLSRLILPNKTHVTTELVGTLGYIPPEYGHGWVATLRGDIYSFGVVLLELLTGLRPVPVLSTSKELVPWVLKMRSQGKQIEVLDPTLRGTGHEDQMLMMLEVACKGWDRLEERPGPQLRIPHRTSFCFNPITVLHSLARLRGGQAIGSLYTVSSIRIASRRVYLHPGDKLGDAVNLAHVRTVGSLVKTHLGSRQLNTRPKDNLVLLKLWPA >Sspon.05G0001610-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:5018301:5023158:-1 gene:Sspon.05G0001610-1A transcript:Sspon.05G0001610-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFGCRSRRYTSSSVVIVLVLEVHEFIKRTFKFLNTDTFEHNDGIQKCIRVCLETGPRGGRGRNRRGMDSSGWRDDKPFDSRCSTSWPYHLRKFLRFHLCKENKDTQEPLGVIGKMVRVQGQRINVLLQPNRVNTELLLRNHHQNRKTKAGSTDGQVDRSAPAFGDVNVFDNVLREGPDPASRLIGRVQGFGVNASLDGSSIFSAFDFVFSGDYGEYSGSTLTAQGQYDPTGGPSERSIVGGTGKLRFARGYMKSRLVNSTNTTIVVVFDMYFTLAH >Sspon.06G0006370-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:21761654:21765083:-1 gene:Sspon.06G0006370-4D transcript:Sspon.06G0006370-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAAAAFNRAAFTARPLHRPPRPLLHLAGAEDALAGRSGAPLTRPRCSGSLSVGVGSYGSVPALATVSALQLTYLCASAEHTPVFPRQQSWDPYKLLGVDHDASEEEVRSARNFLLKQYAGYEESEEAIEGAYDKIIMSSYSHRKKSKINLKSKLKKQVEESPSWLKALLGYFEVPSLEIISRRFAFFAFFAGWSIATSAETGPAFQLALSLVSCIYFLNEKMKNLVRASTTGLGVFVGGWILGSFLVPVIPAFIIPPTWSLELLTSLTAYVFMFLGCTFLKYHAMTGSSFSNPSADRRISALEYSSYLTGSYRRGCSPSTTSSSIARTVALSLDRQPNRTEKRSRLLGVLTRWRHAGTRPFVTTCATYANATYQSGDPDCSEKIKVWIDPHIP >Sspon.03G0020010-2D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3D:48310612:48311112:1 gene:Sspon.03G0020010-2D transcript:Sspon.03G0020010-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPTFLFLPLLASLLLLHDHASADDCEPAACGNLTLSYPFWLGNSSTNHPSSDPCGHPGFEVWCGNDGRVASLKGSSIHVLSINYTTNSLVASHARVAGADSVCQTNFNMSSSIALSLFAISAQNRALCFLYGCNGTEPSGPEYANATSNCSAPIYAYLGGAYYWA >Sspon.07G0034690-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7D:76337121:76350030:-1 gene:Sspon.07G0034690-2D transcript:Sspon.07G0034690-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHQGAGDGEERQQQLDDRDKGSVVQQQQQLLLPSSSSSWRAAAASFREILSRSLSFSLSQRHEQEKDDEVELRWAAVERLPTLDRLHTSLQQLHAAADKDQDQLQAPPAPWQAVDVRTLGAAERRMVVDTLVANIHRDNLRLLRKRRQRMDRVGVRPPTVEVRWRDVRVEAECQVVHGKPLPTIWNTVVSSLSVVSTVLGLNDRQQARVRILHGVSGVVKPSRLTLLLGPLMAMEDQIGALAELLKGMQSRMDQQHDSLRRTLEANTSALRDLCGKSPVTSAATASILASSSDECETEVANSTTASSSRAVTSSSAPLAPSPTPTSFQEATLATNIVPTVPIVNRDDCVREAVVTTNDGKSPTTSATPSASSPPVATPQDVDWAVLVVSSDHSEVTHPNCSTPGLHQVATILVPVTAFSVTSMCTLTSMPLLDVSIANPTAATELILMKHVRCSTSASVPTQNTKLDIPTATAKHLPGQLPGCLSSARGQWASDEKPVTTVAITSISAAVISVFHYISINPVLSIGVHQLCLNTNAGNTHELLECKSSRDTLRPRPWPSFSCYQAKPATFSLYNKSHNFISFTNYVPAPAVGAVLSCLVTIPCNANKVSEVIEVMHVSPYALLVNDSDSEGIPQHRVNEVGELILWQESIYTAEDKIASLKLLNGYDIFGLCNVGLSPELMMLNSSDQFFFFSGVTRETATDMECARHSRILVLPYLISDIMTQYAGILLDFIGQPKSTVAATAAMAINPKLHVETLQNRASPEIENVFNDAFWESLDAVVNALDNVTARMYIDSRCVYFQKPLLESGTLGAKCNTQMVIPHLTENYGASKDPPERQAPMCTIHSVSHNIDHYLTWARSEFEGLLEKTPTEVNAFLSNPGGYATAARTAGYVQARDQLERVIECLETDKCETFQDCITWARLKFEDYFSNRVKQLTFTFPEDTMTSSGAPFWSAPKRFPRPLEFSSSDSSILLDFVDSFEHHECKCLGITYVREKSITKKSQQQKGLAHHGISRLWVSDCPVSVLIYDDPLRGLVLAWEVTHIMGRCGLIANAPHRRGIKTTYAKWNIATESQVSLLLQVQWDPGGHHLNIVSFSTSVWVWDPGDLEHICLLLRRLGDKPCFKEGRPPGCGKTTLLKALAGKLSATGLKVTGEVEYNGVELSGFVPEKTAAYIDQYDLHVPEMTVRETIDFSARFQGVGNRAEIMKEVIRREKEAGITPDPDVDTYMKAISMEGLERSMQTDYIMKSRAKPSYEHAESSRKLQAFSPALQLCTAYNREYVSRVARLRPGIRSPSSVPGRRGRKRTHSALGKIVYHGSKSRIMSFFESCGFKCPDRKGVADFLQEVLSKKDQQQYWSHNGETYNFVTIDQFCDKFRVSQIGQNLAGEISKPYNKSNGKNALSYSIYSLSKWELLKACFSRELLLMKRNAFLYISKAMQLAVIATITGTVFLRTYMGIDRVGANYYMGSLFYALLMLMVNGFPEIVMAVNRLSVFYKQRDYYFYPAWAYAVPAFILKVPVSLVVSIAWTSLSYFLIGYAPEASR >Sspon.04G0015050-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4A:56355092:56355328:1 gene:Sspon.04G0015050-1A transcript:Sspon.04G0015050-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFMLSDPAFSAAVQHLMDLPDELERQLNAPTRAYGPDRWAMANTPMDVKELPSGPIVLAVDMPGVSLVDVKVQVEEGN >Sspon.02G0049470-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:38999401:38999805:1 gene:Sspon.02G0049470-1C transcript:Sspon.02G0049470-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GTHTAAVARRLRRRRRHWKCRCTCASSCWCCSSSWASRGTRATSRPRSRSRTRRASCSWRRRSRCCWPCGCCPASPATAWGGAWATCWRCRCPSGTPSTAPAGRRGASASCSCCSSSWSPTSPTSGRNGSRSYKL >Sspon.02G0001240-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:2562288:2563013:-1 gene:Sspon.02G0001240-2D transcript:Sspon.02G0001240-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYLSELLDGKEIVPVGPLLVDDYDAAAAGGTSSESDRITRWLDAQPPASVVLVSFGSEYFMSEQQLARMARGLELSGERFLWVVRFPKGPEDEDNAARALPRGFAPAPGRGLVVEGWAPQRRVLAHPACGAFLSHCGWSSVLESLSAGVPIVALPLHIDQPLGANLAAELGAAVRVPQERFGEFRAEDVARAVRGAMRGEESRAAELREVVARNDAHVAVLVQRMARLCGKGQQRVAVPN >Sspon.04G0002420-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:7364488:7366687:-1 gene:Sspon.04G0002420-1A transcript:Sspon.04G0002420-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGDDRRAPLLGSGAGTGGRPPSLRRRDSARSLRSSFLSRLPDKVRAGLDPECLADIDLSRAKGLSQGVREYYEKQFATLKTFEQVEARCMPGEFDSDVEASDSEDTEQKQSEFAMKISNYANIVLLVFKVYATIRTGSMAIAASTLDSLLDFMAGGILWFTHLSMKRVNIYKYPIGKLRVQPVGIIVFAAIMATLGFQVLVQAVEQLVENKPGEKMTSEQLIWLYSIMLSATAVKLALWLYCKSSGNSIVQAYAKVWNSTLSILQKQHTSKLSFKFACTCAIQDHYFDVITNVVGLVAAVLGDKFLWWIDPVGAVILAVYTIVNWSKTVLENAATLVGQCAPPEMLQMLTYLAMKHDPRVRRVDTVRAYSFGALYFVEVDIELSEDMALREAHTIGESLQEKIEKLPVVERAFVHIDFESTHKPEHKVRSRLPATDP >Sspon.08G0007950-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:28633855:28651800:1 gene:Sspon.08G0007950-1A transcript:Sspon.08G0007950-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding PVHGVLVASGTPPRKRRKRGTPKQNGHAATHLRASALQNPARPLLTPFPSPPPPPPNPNPRARLLVPTGHGVVLVPIANGTEPMEAVITIDVLRRAGADVAVASVEPGAATVAASWGVKLAADALLADLAEDEFDLISLPGGMPGSSTFRDCKVLENMVKKHVEKGKLYAAICAAPAMALGTWGLLNGLKATCYPSFMDKLPSEVHAVESRVQIDGKCVTSRGPGTAMEYSVILVEQLYGKEKAKEVAGPMVMRPQHGVEFSIKELNATSWNVGETPNILVPIASGTEEMEATMIIDILRRAKANVVVASLEDKLEIVASRKVKMIADVLLDDALKEQYDLILLPGGLGGAEAYAKSDKLMDLIKKQAEANRLYGAICASPAIALEPHGLLKGKKGTSYPAMWNKLADQSECNNRVVVDGNLITSQGPGTSMEFSLAIVEKLFGRERALQLAKTMVLVPIANGTEPMEAVITIDVLRRAGADVAVASVEPGAATVAASWGVKLAADALLADLAEDEFDLISLPGGMPGSSTFRDCKVLENMVKKHVEKGKLYAAICAAPAMALGTWGLLNGLKATCYPSFMDKLPSEVHAVESRVQIDGKCVTSRGPGTAMEYSVILVEQLYGKEKAKEVAGPMVMRPQHGVEFSIKELNATSWNVGETPNILVPIASGTEEMEATMIIDILRRAKANVVVASLEDKLEIVASRKVKMIADVLLDDALKEQYDLILLPGGLGGAEAYAKSDKLMDLIKKQAEANRLYGAICASPAIALEPHGLLKGKKGTSYPAMWNKLADQSECNNRVVVDGNLITSQGPGTSMEFSLAIVEKLFGRERALQLAKTMLFTSLGHWPLISTNHPGDQGRMIS >Sspon.05G0006400-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:5A:20346151:20347275:-1 gene:Sspon.05G0006400-1A transcript:Sspon.05G0006400-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAITEQSNFIFSPMSLRAGLAQLAVGTHGPTLRQLLTFLGSENTHHLDVAIARLLTNVTTWPQLSFAAGIFVDRTLFLTPEFVSSAVSAHQAVARSVDFKNQPAAATAEMNAFVEQATAGRIRNLVSDGAVDVGTEIVLANSMYFKATWERRFDPLDTVPHYFYRRDGEPVWVPFLSDAGMQFAESFDAPGLEFKVLQCFYKMVERDGRLDSRAPCFCMLIFLPRDDGLLDLLRLAVTEPDFVMRCAPRSEQEVCPWKVPQVQVLLRVRRSERAPAARAVRAVHVRCRPVGDGVEHATRGALRVRHEADVRRGCRRGRHDSRCSDVLLFEPNWSLLVTAAAADELCGGPSVHVRDRRVRQGRAGHVMDPSKED >Sspon.07G0021620-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:4150880:4151308:1 gene:Sspon.07G0021620-2D transcript:Sspon.07G0021620-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAPLGARNMVARRSASMTSADCDVASCAQAPPTLGDPSWSTTSKAETPLESMSLRTAARHLVVVMSSVREWAPRMGRMGTRSTPTTKAPTGAWLTATWTHPPGAAQRSSTERAVGRKRNRASSWSSLNAARLRYPCSLARW >Sspon.04G0019160-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4A:68300233:68300550:-1 gene:Sspon.04G0019160-1A transcript:Sspon.04G0019160-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VLRQQCRPQPQATPPSPSPKTGRSAGPALFGGATTNQNVREMRETRVAHQHSAPAEQGKQPKRTNGKEAAGGSSPYGTQWMHALVHHHTIIALHAEYIISGMACA >Sspon.05G0009700-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:19409931:19417564:1 gene:Sspon.05G0009700-3C transcript:Sspon.05G0009700-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSDAASPASAATARDAKKKRGNRSAAKLKQSKRETRRGQFLSKGQGNDGKVATSPTGAGSNAGSPILASPHPPLPRRRVDSRSRGSDPEDREEAGAAGLEVDGSGLDSPGSDKSQGGDCPQRKGFSGNGGGPSLSSGSSVWSSSRSVSDAEEHTGGPEDESEVFDDWEMAADALYVDDKFRSHADTPPALTTAAPAHAARPEPIRSKTRAWAPDDIFRPQSLPSISKQASFPASIGNRWPEMGMSAVQQGILSLPLSCPICCDDLDPTDSSFCPCPCGFHMCLFCHNRIKLEDGRCPGCRKQYNTVSAAEGGGGGAKAAVGTGREMEKRLSRSCSMGPNHTFSIACMMLWKVKPKRAHFHHAMLGLWKLRQQHDKPCGCKD >Sspon.02G0001220-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:4854956:4858460:-1 gene:Sspon.02G0001220-2C transcript:Sspon.02G0001220-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAAAHSCRKRRHADAHEGASLPRRRRLTIVPNLFQAVRSFALRTIASAPHKRRHDDATSSSRLCRRRSRHRHLSPVPFPALRPLSTSPRRRRKLLVDDARHAVSTPCRRRSRSRSSLLPRVRHFPVFGPFALHFLLTTGGLAPRRLRKPAEVDMGNRISQLRGKSTSDGVSEAHTKRLDGSPEVVDLTVEAELENIDVVGRRNGDWSVPALGSSRSLEKKAMFCKALQWSKKRGGRLHEKFRLAELPDPLDTTPKEDLSELFTPLSDKEEREVDTLLYNRNHSDKVIVIHEPSNIEITNEKLQCLRPRGWLNDELTCGIAGYDYQSVRRWTTFKRLGYGLVECEKIFVPVHRNVHWCLVVINMKDKTLQYLDSLGGLGHDVLKVLTRYIVDELKDKSNLEVDPSSWVVVSESLPLQQNGWDCGMFMLKYIDFHSRGIKPSFSQEHMMYFRNRTAKEIMTLRAD >Sspon.06G0019140-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:105633975:105634920:1 gene:Sspon.06G0019140-1A transcript:Sspon.06G0019140-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGFAPEHELRLLDKGGNVLRAFDIRGSSVMLAPTHLDLIFVDRAQPTWRRRPWGPFYDTSFSQEHKATVNGVLYFLPSNTYSASHARNRIAAFDLESEEWKPEMIKCPPLQCKDDQWHMSVSLTELKGTLCVVHNILRLDSYRGCYVYIWLLMDPNKSIWDKKYRIQMPERSLIFTEPLDILDDGTILLLLNASRKAGNGESYHRYILRFYNFGNETFTDTDYMEMPEGFIATDVAASVPASYRIIVLT >Sspon.02G0020290-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2B:66330576:66331403:1 gene:Sspon.02G0020290-2B transcript:Sspon.02G0020290-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAADARTALLAQLVILAVFLFAFYDFPSILLRFATSFLAPPRGLCYFLAFNVILISLALLFHHDTASSSPSFADPSGSPSSDGDAPQPAFQGPPLPPITEAELYGGEAPPEEVVFEDKEAVYVKTVRAQAQPPRRTMSENTRDGAAGRTSTMRAASPELPRAKSENGRPRSAVAAAAAAAPVELVTDDEEAFWQAVEAFIAKQHAHARFHHEESLIAHAAAGGGDGPAGQQQSVLAVERAAIRGGAGESDDRGGDRREHPLPSAPPAPPYVPWA >Sspon.02G0045270-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2C:105458632:105459492:-1 gene:Sspon.02G0045270-2C transcript:Sspon.02G0045270-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRDGGRASSATGDERGGMEEVAVAAAMERAACKRPRGALDGGGSAAAWVASRVARAAAGGKDRHSKVVTARGLRDRRVRLSVPTAIQFYDIQDRLGVDQPSKAIEWLIRAAAAAIDGLPSLDCSFALPTAAGATSPPGAADDAEVSTSDTSKSSVLSLANAPPDNAAAGGGGAFAELILHCSANDSKPVEQQQPTLAYYAAQPPSAAHAASAMSFETMPPHFSFIQEQPHPAAAFERGTLQSNAAVAAPLWPTSQQACLLQRFAASPADATGLPFFLGGGAAASPV >Sspon.08G0012850-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:54679574:54681151:1 gene:Sspon.08G0012850-1A transcript:Sspon.08G0012850-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MENCVRGRAGGRVREEAGSSLPLPPPPRLAAANSSNAAAAAAAAASIRAHLARTASGVDAQPSPRSLLSRILLRGGGGDGGSGGGAFGCRVRLPRRYGGGLREERKDGAEQGETPRVKVVEPPPPPPPELPLETPRSSLGRKKPEEELVSMNLGLGASLVLLLSKSAVELNKMVELRAQMEALVSEIRQAARWKEDEKKQANHPAAPSTSQESDGSSATTTAVKDPIAFPAADADAASNCSRTAADNAVEGRAAVVMDLMEAELQAELSRMQQRQRGGTVHGHGDADTRIAAMPGLEVKTKKGDADDSASRSCVDDGDDGAHKRDDDEVDGNGEDDEEYEEAEEEEDDDDEDGGYGEDRMSPPHGGVSARALERRLHELLQKRQQDRIVELESALDSAQHRLHEKEREVVWWRDAAKLVSHRRDESRRIAR >Sspon.01G0061360-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:92980311:92984975:-1 gene:Sspon.01G0061360-1D transcript:Sspon.01G0061360-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTLSASPFRPSLPGLQAPDSAKVKHVSVRTPVRRLPVAASAAPSGAAAAARERRRFLERYGLNPDDFEEDAEEDPREERRDRRRRRQSGRGEQTAEAAVTPSKAAEPRETHKMLQPALIHLGGRGFAFRPDTSEDQHVLGGKVRRRKLLSPRDRNVRPMMEVVRGAAFDILQAHFVEMDPWVISEVLKPNLECTGFIDVSHIHMLRVEKFLANAEKSQGLYHNSTREEV >Sspon.03G0019390-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3D:46121484:46125514:-1 gene:Sspon.03G0019390-2D transcript:Sspon.03G0019390-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGERRLPAGACLLAVAMVLQAAAVVLVLGEESDGAGGLLDPRQLEKFVDELPDMPRLRGYGVTEGGALVAGNLTIGMYDTTWKFHRDLPATRVFAYGTSKETATVPGPTIVAMRGVPTHVTWANHLPARHFLPWDPTLTTAAAPGGRGIPTVVHLHGGVQHSSSDGHSLAWFTSGLAATGPSFSPPPYAYPNRQPPGNLWYHDHAMGLTRVNILAGLMGAYRVASPAEEAPLNLPSGEAFDRNLVLFDRDFCAADGALFMNRTGNNPGVHPQWQPEYFGAVVVVNGKAWPYLRVRRRRYRFRILNASNARFFRLSLSGGLRFVHVASDSVYLARPVATDKFLLAPSEIADVVVDFAESAADADAVVLSDDAPAPYPGDPGEKAETVAVMKFVIEGGATTEPDTSTVPATLMPHYPRPDAREAATTRLITMYEYTKAGTDEPTHLYLNARSYMDPVTETPREGTSEVWEVINLTDDNHPLHVHLAVFAVLEQRSLRRVDEFRDCMKRRNDARACGLARHLAGGRRHVVPRQERGWKNVFKVRPSAVTRILVRFKPLTDAASPESRFPFDVTTGPGYVYHCHILDHEDNEMMRPMKIVR >Sspon.01G0021530-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:78148296:78151090:1 gene:Sspon.01G0021530-4D transcript:Sspon.01G0021530-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMFYYLVFGALAAIVAALELGKSGKDRVATSPAFNSFKNNYILKGFDPQWLSITFSKAIFLGNGLVAIVAGLFANFLADNMGFGPVAPFDAAACFLAIGMAIILSSWGENYGDSSDSKDLITQFKGAAKAIASDEKIALLGAIQSLFEGSMYTFVFLWTPALSPKDEEIPHGFIFATFMLSSMLGSSIASRLLARKLKVEGYMQIVFSVSAFTLFLPVVSNFLVPPSGEKGGSISLGGCLQLLGFCTFESCVGIFWPSIMKMRSQYIPEEARSTIMNFFRIPLNLFVCVVLYNVNAFPITVMFGMCSIFLFMAAILQRRLMVVSDLHRSTKAAEMTAEDEPLNP >Sspon.06G0013700-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:71059956:71062344:1 gene:Sspon.06G0013700-1A transcript:Sspon.06G0013700-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATATAAAYHCNAILHLPRPPHFRRLPPLPVPALVTRGAASFGLGAARLGPKVRASSVPPPPPFFETVEEEEEEEGGWSDAEAGFSDEAEDEQEWAGGNGAARGEDLGADAGEDLSGWARQWPRPRELFVCNLPRRCDVQDLLELFTPHGTVLSVEDLLVCVLMLTPQVVYTSQISRDAETGISRGTAFVTMRSLAEARTAINVLDGFDLDGREVFVKLASDVISNRKNVNLSHITPMKDHIFESPYKIYVGNLAWSVQPQDLRELFTQCGTVVSTRLLTDRKGGRNRVYGFLSFSSAEELEAALRLDRTVFYGRDIVVKEAIVERQTR >Sspon.01G0003720-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:8743108:8746040:1 gene:Sspon.01G0003720-2B transcript:Sspon.01G0003720-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALQTLYPHRHAAAVSPAPSSVPRRGHPPPQPLLHLPPRRRLAGGAARPRAVAAAVSGAVNEARRRGAPQGGGGEDGRKDTDLATLGNLCVDVVLSVPQLPPAPREERKAYMERLAASLPDQKFWEAGGNCNLAFAAARLGLSCSTLGHAGEEIYGKFLLDVLQAEGISVVGMLENTNAAVCRQAYETLLCWVLVDPFQKHGFCSRADFSEEPAFSWIRKLPADIRTAIHHSKILFCNGYAFDEFFPDVIASSIDCAIDSGTAVFFDPGPRGKSLLHGTLDEQRALEHALRLCDVLLLTSDEAEALTNIRNSVQAGQELLKRGIRTKQVVIKMGSKGSIMVTKNTISCAPAFKIDVVDTVGCGDSFTAAIAFGFLHDLPAVNTLTLANAVGAATATGCGAGRNVARLDKVLQLLREADLNEEDTLWTELIEGNSLCIEVSILSGMARNGFSERIVHVPVTKVVSDLLPMLEAVLERNAVQA >Sspon.03G0001890-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:16038500:16045940:1 gene:Sspon.03G0001890-1P transcript:Sspon.03G0001890-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDPVAAPSSSSTAPAAAAAARAAAAAAAAPAVTSAPPALAPPQPPATAAAVPEAAAACRRQLFTVELRPGETTIVSWKKLLKEAGHTAAAPPVKVEPAFAAHAGPSGAAHPAENDPKDPTQPNRFNAVIEKIERLYMGKHSSDEEDLNDVPDDDQYDTEDSFIDDAELDEYFEVDNLKTKHDGYFVNKGKLEQIEPGTSANVAPKKRRRKDASSTYLETNHLAPVDYFDIGDVPGKSSARGTVQAGKQLASSNIGSYGQYYEDNRVAKNKTSGPGGAPKRKSSEFSGGDAAARAKVIKDVSHAPLELRDLEKHKAAALPVDYAHKSKTSETFDYAYPAFRDKGTSVQLDFQQRKVSGENQDPSNRIYRKEKHGTSEYPGMAMASAVYSTQTMHPVGREGSGTKPKGTRLERAIRDLQKIVAEYRPPTIDINEVDPNGQAAVKRRLPPEVKQKLAKVARLSANQGKIQEHELMNRLMGIVGHLVQRRTLKRNMKEMVESGISAKLEKADRFQRVKLEINEMIKARMAAKSKVNEQQDGSADDFQVANDDRRALKSKYAMDTALEDKMCDLYDMYVEGMDEDKGPQSRKLYVELAELWPQGYMDNVGIKDAIYRSKERKRLLYSQQKVRSEERMKRKRMAAAAKLQDGFPVVMQSGVVPQVAQPPITNSITYLVADYGQNQGSKFFERARETSSSAIPDDGSKNAGEMKKKKRKHEYDLVDTEANLPKAPLQHGSEKQKPSKPADEASAGSLPTMATTQTVLGLPTVSQNQQPS >Sspon.02G0001850-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:6198815:6200167:-1 gene:Sspon.02G0001850-1P transcript:Sspon.02G0001850-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGARMLSVLLLLLVAGGAAASRSSSSSSCPATPPDAGNTLQVSHAFGPCSPLGPGMAAPSWAGFLADQASRDASRLLYLDSLAARGRARAYAPIASGRQLLQTPTYVVRARLGTPPQQLLLAVDTSNDAAWIPCAGCAGCPTSSAPPFDPAASTSYRPVPCGSPLCAQAPNAACPPAGRASCGFSLTYADSSLQAALSQDSLAVAGDAVKAYTFGCLQKATGTAAPPQGLLGLGRGPLSFLSQTRDMYQATFSYCLPSFKSLNFSGTLRLGRNGQPQRIKTTPLLANPHRSSLYYVNMTGIRVGKKVVPIPAPALAFDPATGAGTVLDSGTMFTLLVAPAYVAVRDEVRRRVGAPVSSLGGFDTCFNTTAVAWPPVTLLFDGMQVTLPEENVVIHSTYGTISCLAMAAAPDGVNTVLNVIASMQQQNHRVLFDVPNGRVGFARERCTAA >Sspon.01G0025690-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:90165290:90173438:-1 gene:Sspon.01G0025690-1A transcript:Sspon.01G0025690-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRPPIAARVRRSLFLLLCCCCLAAWSPPAVRALPLCTDGRAPVPLNRTLGFCSAYGGDSRSRSSCCDAAADSALRKRFVAMNISDAACAGVVKSVLCAECNPFSAELFNSSSKIQMVPLLCNYTSSASSAQSKDSTQDYCKLVWETCKNVTIVNSPFQPPLQGSAKLPSSSSKLTDVWQSEDEFCTSFGGSSGDQSLCFNGNAVFFNSTEPSPTPKGICLERIGNGSFLNMAPHPDGSNRVFLSSQAGKIWLATIPEQGSGGTLQYDEENPFLDITDEVHHDSQFGLMGIAFHPKFATNGRFFVSYNCDRTQSPKCAGRCSCNSDANCDPSKLGPDNGAQPCQYQVVVSEYSAKISSSNVSMATSANPSEIRRIFTMGLPYTAHHGGQILFGPTDGYLYLMMGDGGSEGDPFNFSQNKKSLLGKIMRLDVDSTQSQSQTTNQSLWGNYSIPKDNPFADDSDFQPEIWALGFSNPWRCSFDSERPRYFYCGDVGKDAYEEVDLITKGGNYGWRVYEGPYIYHPQKSPGGNTSLESINAIFPVMGYDHSTVNKEIGSASITGGYVYRGSTDPCLYGRYLYTDLYSSLMWTGTETPEGSGNYTSAVKPVSCSKTSPIACESTAGSTDPLLGYIFSFGEDNNRDIFVLASKGVYRVVRPSLCGYTCPAEKLATDNGTTPGGPSSFAPARRVGRSVAVALALMIVRVL >Sspon.07G0009070-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:25187857:25193972:-1 gene:Sspon.07G0009070-1A transcript:Sspon.07G0009070-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQGRREEAAPEGTMRKGDKRVESCSRAGLLVPSSSYYSREVAHRAPRGKCVAQSLSNPSPLPAPPPPLPSTRETTTTTMVARSPNAKPDRQTAAALAAAAALNPALVRETLKKVGSGLERMLDSIRSIWFCGEVDVFRRVDRCMARLQELQYTVAGGAKVVSGVSLSPRSTRGYLRTSLRCKQETKRSPNGKFGGGGGGGGEGAQWRRMSLPAMLLGETVLEIVQASQFARDIVTAAGTTNREPPMTPKPAPRTRKPAAAAEQTPLRARRAREKQSHRSGAATRFADAATPPSRGRVRSRIQFKPASPVAVGRPSVSANRVSPKNRPWAKKAVMFPNPTFHASTSAAAANTDACATPSPSKKQKRFYKTRSPVVVARQQTPHKFLVKSPPSALGSKLRIHGKALPAARPAAVSPPPPVKVQASPAKTRRCSDEARVADQSEAVAEQEPGQRRRGLKQRPGVSLTVRTVSSKISSSLRTKNRTSLYCLNVLTRKAGRKTRSSSAQLGSARYDNEPSQDFSSLAITSQLELAREPLLELITSRAELTFWLVRITSQLLTSQNEPSRTEPARLVKKKPDCSLVDVLARPPQNLPRPSSFLEKFLLQAVLRQPPPHLFPTHRHPPSRPPSRSRDSPSGCRLPHSLRPPLAPLMPPPRAPRSSPSLIWSSRLPTSPSSLDDARARGGRRRTQRTELRRRSCRLRTASTQRLRCDGKLRLAVTGERTWPGALHKTRSCLLLPCWCRYVVRQNRRLSPSSKKQRCPSPSAVQQIEPTLCSLLYEEPPPLSKVKSLIDLSFVMFLLVW >Sspon.03G0014490-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:46013611:46018957:-1 gene:Sspon.03G0014490-1T transcript:Sspon.03G0014490-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKGRTELDVGADGVAVITIYNPPVNSLSIDVLYSLKESYEEALRRSDVKAIVVTGKGGKFSGGFDISSFGGVQGGQTLQPKVGYIAIDILTDTVEAATKPSVAAIDGLALGGGLEVAMACHARIATPTAQLGLPELQLGIIPGFGGTQRLPRLVGLTKSLEMMLLSKPIKGGEAHQLGLVDALVSPNDLVNTARQWALDIYECRRPWIKSLYKTDKLEPLGEAREILKFARAQAQKQAANLHHPLVCIDVVEEGIVAGPRAGLWKEATSFQELLFSDTCKSLVHVFFSQRATSKIPGATDLGLMPRKITKVAILGGGLMGSGIATAMILSNYPVVLKEVNEKFLNAGIDRIKANLQSRVKKGKMTEERYEKAMSLVTGVLDYERFKDVDLAVIENVKLKQQIFSDLEKYCPSHCILATNTSTIDLNLIGEKTKSQDRIAGAHFFSPAHVMPLLEIVRTQHTSPQVVVDLLDVGKKIKKTPIVVGNCTGFAVNRMFFPYTQSALFYVDLGMDVYKIDRACTKFGMPMGPFRLADLVGFGVAVATGMQYLENFPERVYKSMLLPLMMEDNRAGEATQKGFYKYEGKRKATPDPEIMKYIEKSRSMAGVTPDPELLKLSEKDIVEMVFFPVINEACRVLDEGIAVKASDLDIASIFGMGFPPYRSAFENPGPICLFQLIN >Sspon.06G0019990-3D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6D:5361868:5362070:-1 gene:Sspon.06G0019990-3D transcript:Sspon.06G0019990-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTTTTTAAGGWPALNKEQETAAGGGRRRTLVGSRAPTCTYNECRGCRHRCSVQE >Sspon.05G0012380-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:5A:37786565:37786846:1 gene:Sspon.05G0012380-1A transcript:Sspon.05G0012380-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSPPPRHSPEPDACTQPVDAGGSWGNGSMAQKMMLKGLTPTAQQMLATVSRTLAATSSPPPPQSDAPSRAAYQTLEIERDSDANPPLLPHFQ >Sspon.07G0013060-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:47348326:47354483:-1 gene:Sspon.07G0013060-1A transcript:Sspon.07G0013060-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVPVIDFSKLDGAERAETLAQIANGCEEWGFFQLVNHGIPLDLLERVKKEVAPVDDLDWEDIFYIHDGCQWPSDPPAFKETMREYRAELRKLAERVMEAMDENLGLDRGTSRPPSPRRPHEPFFGTKVSHYPPCPRPDLITGLRAHTDAGGVILLFQDDRVGGLEVLKDGQWTDKVCSDCYRLREAGFKASEPVRTLEALVDAERRGEEVAPVDDLDWEDIFYIHDGCQWPSDPPAFKETMREYRAELRKLAERVMEAMDENLGLDRGSIKAAFSGDGRHEPFFGTKVSHYPPCPRPDLITGLRAHTDAGGVILLFQDDRVGGLEVLKDGQWTDVQPLAGAIVVNTGDQIEVLSNGRYRSAWHRVLPMRDGNRRSIASSTTRPMRPPSRRRRCRPAAAPRRTPSTCSATTWTCTPSRSSRPRSPGSRPSRRRRQSHLQQHKREKKESNY >Sspon.07G0004000-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:9203042:9204532:-1 gene:Sspon.07G0004000-3D transcript:Sspon.07G0004000-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VMALTSDRFMGRALRIHRLVKRGLAAGLRRCSRGATTTAVPAEASPSPPALRQLGENAFAVDADALLLKPSPGAAFPPYFLAAVEAGGYARGLVLLALYPVLRALPLGARVRAMAMVSFCGLRRDEAARVGRAVLPKLFSREAPGVHAVEPALRELPKEAKVVAVSQTFPTVMVEAFLKQYVGFDAVAGRELKGGPRYLTGAMAELDTERVVARVLEQTEKTSSCSYGPKPVVFHDGRLAFTPTAAAALAMYIYFPFGVVLAVIRIAIYILLPWRVSAVAAALTGVRVRVIGATATPAADDDSGKPHAGRLYACNHRTLLDAVGIASALGRPVASVSYSLGRLSEVLSPIPLRRLTRDREEDRRRMSSMLARGDVVVCPEGTTCREPYLLRFSPLFAELAAEVTPVAVDARTSVFYATSTSPLAKSLDSVYFLMNPRPEYSVQFLEPVSTEGGKSSIEVANEVQRDLASALGFEGTTLTRKDKYLLLAGNEGVVKTK >Sspon.03G0001890-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:16078355:16079342:1 gene:Sspon.03G0001890-2C transcript:Sspon.03G0001890-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNVGIKDAIYRSKERKRLLYSQQKVRSEERMKRKRMAAAAKLQDGFPVVMQSGVVPQVAQPPITNSITYLVADYGQNQGSKFFERARETSSSAIPDDGSKNAGEMKKKKRKHEYDLVDTEANLPKAPLQHGSEKQKPSKPADEASAGSLPTMATTQTVLGLPTVSQNQQPS >Sspon.01G0043880-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1B:77845023:77845250:-1 gene:Sspon.01G0043880-1B transcript:Sspon.01G0043880-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLLSLYGSGGRRRTSRGSGTAAPVRRLLRRLRSSFGRSTARRRAVRFGYDLHSYSQNFDDGVASSAPAAMACS >Sspon.05G0028690-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:66609475:66615351:1 gene:Sspon.05G0028690-2C transcript:Sspon.05G0028690-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVRCSCTALLPVLFCFMICQLCYGTVTDIQCLKKLKASVDPDNKLEWTFNNNTEGSICGFNGVECWHPNENRVLSLHLGSFGLKGQFPDGLENCSSMTSLDLSSNSLSGPIPADISKRLPFITNLDLSYNSFSGEIPEALANCSYLNIVSLQHNKLTGTIPGQLAALNRLAQFNVADNQLLGQIPSSLSKFPASNFANQDLCGRPLSNDCTANSSSQTGVIVGSAVGGAVITLIIVAVILFIVLRKMPAKKLKDVEENKWAKTIKGAKGAKVSMFEKSVSKMKLNDLMKATDDFTKDNIIGTGRSGTMYRATLPDGSFLAIKRLQDTQHSEDQFTSEMSTLGSVRQRNLVPLLGYCIAKNERLLVYKYMPKGSLYDNLHQQNSDKKALEWSLRLKIAIGSARGLAWLHHSCNPRILHRNISSKCILLDDDYDPKISDFGLARLMNPIDTHLSTFVNGEFGDLGYVAPEYTRTLVATPKGDVYSFGVVLLELVTREEPTHVSNAPENFKGSLVDWITYLSNNSILQDAIDKSLIGKGNDAELLQCMKVACSCVLSSPKERPTMFEVYQLLRAVGEKYHFSAADDELTMQPQNANPEDELIVAN >Sspon.06G0006540-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:22689438:22692603:1 gene:Sspon.06G0006540-4D transcript:Sspon.06G0006540-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDRDLLGFPPAVGAAMSSAAALLLLPILAAAGEGAACPTPPAAAVILRHASTSCRTVDALGLRGHRAGVVEGDDGALQKAVALVLQNREDFVAILFYASWCPFSKIFRTDFQKLSSFFPTIAHFSFEESNIKPRVLSRYGVRAFPTIFLLNSTVRVRYHGSRAMNSLAMFYKDVTGLNPVSLDTTSLERMEDTVTIIDHDKKTEKEDSLLSWARSPNRLLHQDTCLALASSFVLLRLLHFLLPKLNACMKQAWRTRLYELNRLFPSLS >Sspon.03G0043380-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3C:80379459:80382985:-1 gene:Sspon.03G0043380-1C transcript:Sspon.03G0043380-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKDILLLGHHLNRPARTSKPSRRPSPIRVRVCFGLLDQQGLSLLGEVDRATPVDNQRSRGAAIAGFRAELFGSRIELCRDSTKSRVIRTFRKIGIPSSHQLVIRASGYNTHRYETSMMHEPRTIAATPPSAGRSILSSPPTYDGIGADEYIEWENKPHTWNDMKILMRETFVNPSLVINSYDEEHQLDQSPIIPPAVPNLLQDNAQKSGDDMTENDVLPVSCKNSTRDTSADSESKGTNVSQSTNPSKLNFRFVGSPIVLHWEGHNSSIRSAIEVNEHLIERLFDNLSNRSGPTLISHWQGLEIIETFRHYFYRVLRRRHGLVIHTWDPDPSWSTPQEDGEHLRDAQGHPPPWPPP >Sspon.02G0033120-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:4673992:4675091:1 gene:Sspon.02G0033120-1B transcript:Sspon.02G0033120-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTSARLKARLAPDLGRGGIHGFWIKLNHIRKLPLPFPRHAPFHSSLHRGALDSHTTRPLYKHTPGTRLRPPQQTPRQQARQTKENRNNMCGGAIISEFIPQRDAARGKRGLCAEDFWPHEATAAADFDDFAAASFHPDQGNTHVHLHTCYLKRERKTMYRGIRRRPWGKWAAEIRDPAKGARVWLGTFATAEAAARAYDRAARRIRGAKAKVNFPNEDPPPDYDDDDSHAGAAQGMLAMACGGRGGGRDHLVGYDVDVMGMGMGMGMGTFFQHHPNPPYVPDAVAVAQQEQAPTVAYVHHQLPPPQDDAAGMDMWTFDGINTAVPM >Sspon.05G0009640-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:19118080:19119201:1 gene:Sspon.05G0009640-3C transcript:Sspon.05G0009640-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASPALLSLTPAVSRHPHLLFSPCPPPRHVRLAPLPATPSSPGGTGPGGPGVFLSPRALSQLDELAAFRYEHAFPHGLLTVRALRRGPDDDAVAEALVRLLASSFSETVRWAPAQRYAQLLTFVIRRYLHDRRGLAPHSAVLVGFYRPADADDDGAATEGDRDDEGGEGEGEDEGEMACTAEVSFDAVGAPGAPPTPTPPLDFPYICNMTVKTPLRRRGIGKQLLKACEDLIFKMNARRRVYLHCRIIDQVPFNMYRKAGYIIVQTDSILVWLSLQKRKYLMSKELPQASVVSETSTKNFDDNI >Sspon.02G0001780-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:5774904:5776788:-1 gene:Sspon.02G0001780-1A transcript:Sspon.02G0001780-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVTYIDDSHAEVIDPPKNEEMLDVTELVGDHIQHSPKPNVASCGNVRELLECPVCLSAMYPPIHQCSNGHTLCSGCKPRVHNRCPTCRHELGNIRCLALEKVAASLELPCKYQNFGCFGIYPYYCKLKHESQCQYRPYTCPYAGSECTVAGDIPYLVNHLKDDHKVDMHNGSTFNHRYVKSNPHEVENATWMLTVFSCFGQYFCLHFEAFQLGMAPVYIAFLRFMGDDAEAKNYSYSLEVGGSGRKMTWQGVPRSIRDSHRKVRDSYDGLIIQRNMALFFSGGDRKELKLRVTGRIWKEQ >Sspon.03G0017550-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:78942988:78944033:1 gene:Sspon.03G0017550-1T transcript:Sspon.03G0017550-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VRGAADGSSDDDAAGRDARRPVAAQGAGAARRAVRRPGRRLPRRALRALRPRRLHPLPRRRRVALRGTCTSSAKATSAGAASVALPHDRGRQPGAAGRVRLQQQRPPRARVRRRRLHPPRRRHVRGARLHAGRRRRPAVRLPRPRRRPGPPARRVHRRRAHLADRLPLLPHVVLLRARRGDAHDRHRRGVRPHERLAEAAARVPPGAPGDVRGSGDPGARHRRRRLRGLHHGRAGAAAEGTASVPTRRRSLRGLRRSPPGRPAPAPASAATTPSSGAVRAGDNGGAVPG >Sspon.07G0003620-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:322744:323535:1 gene:Sspon.07G0003620-1P transcript:Sspon.07G0003620-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSREQHTHHSYEHLVLDPASLGVPVSWADPAAVEIPPQLLAALGEYLSAARVGGDEADAEADDEFMMYEFKVRRCARARSHDWTACPYAHPGEAARRRDPRRVTYTGEPCPDFRRRPGAACPRGLACPFAHGTFELWLHPSRYRTRPCRAGAACRRRVCFFAHTAAELRAGAKDECSPLSLSPKSTLAPLWESPPVSPVEGQRRWVDAIDEPSSDADAEMEELMLAMRELSFRKAQASSAPVLPAVTEEDGPDLGWVSELVM >Sspon.05G0035730-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:79626339:79626955:1 gene:Sspon.05G0035730-1C transcript:Sspon.05G0035730-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMQKPMKACGITIARSFTEVDGRILQPPKIKAGNGEDIFTRNGRWNFNNKRLIRASSVENWAVVNFSARCNVRGLVRNLIKCGGMKGIMVKAPFDVIDERPSMRWSPAVRRVEDMFEQ >Sspon.02G0040780-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:72014251:72015171:-1 gene:Sspon.02G0040780-1B transcript:Sspon.02G0040780-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPPLPAPPPPEPSGGVVAPVAVVAPHFCAPYVVQLSVKEKFSLREGDFAITDTNGAVVVTVKGALISIHNRRLLLDAAGNPLLSLREKVISMHNTWEAYRGDSTKSSDLLFTAKKSSIIQPFKTEMYIYLASNTSHEVCDFMMKGSFKERSCSFYLGNSNTLIAKMHREHSATSMLLGTDYFSLTVFPNVDYVFISALVVILQEIHTDKND >Sspon.08G0001620-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:5135647:5139399:-1 gene:Sspon.08G0001620-1A transcript:Sspon.08G0001620-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGFSLRGGGGGGGGRGGDRGGDHPIGADSLFLYARGAAAAAADTAASGGGGGGGIGFQLWHPHQQAAAAAAAAPHTSQFFSSGAVATGVVLGFSSHDGGAGGIGVAGGAGGGRAGTSCQDCGNNAKKDCVHMRCRTCCRSRGFSCPTHVKSTWVPAAKRRERQQQLAALFRGAANSNSASAAAAAAAAAAASKRPRELVRSLGRLPSANSAMVTTTTSSGDGGGGRFPPELNVEAVFRCVRIGPVDEPDAELAYQTAVSIGGHTFKGILRDHGPADDAAVGQLPPSSAEYHQLTAGQAREGSSPAGSSEAAATVATSAAVLMDPYPTPIGAFAAGTHNLLFRLGMDEQSASAACSRVQNTGNFEWKF >Sspon.03G0034540-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:37961469:37963503:-1 gene:Sspon.03G0034540-2D transcript:Sspon.03G0034540-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:IAA31 [Source:Projected from Arabidopsis thaliana (AT3G17600) UniProtKB/TrEMBL;Acc:A0A384LMF0] MAECKDSRRSPSSSMDSSTHPVLSSTSSGCRPAARRDDLSTDLQLGLSLSPASSLLVAAESKSIPSTPRNQVLPDWPPIKPFLRSALTASARRRRTLFVKVYMEGVPIGRKLDLLLLDGYDSLLAKLHHMFKASITYADVMEYHQRVPHEKAAHVLTYEDQDGDWMMVGDVPW >Sspon.07G0015740-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:56035679:56037450:1 gene:Sspon.07G0015740-1A transcript:Sspon.07G0015740-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALDYSSAPTESRRAPPRRCQRPMPPTTVRLIQAQFHYIGHRSSIRESRPTTTTMSSTATAAAPECGGSAKTSWPEVVGLSVEEAKKVILKDKPDADIVVLPVGSFVTADFVPNRVRIFVDTVAQTPHVG >Sspon.06G0022160-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:23852039:23852197:-1 gene:Sspon.06G0022160-1B transcript:Sspon.06G0022160-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTLGWKQSLWFLYPVRIIRKNQSPGWLVSLVIGDYLAWFGEHLLGLRLVFC >Sspon.03G0009100-3D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3D:29087683:29090087:-1 gene:Sspon.03G0009100-3D transcript:Sspon.03G0009100-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AFLPCPDDGPTASPPRAAPALSASVGDLYGFICSGPLVDRIGYTKEKVADSIDRWLRCGVQVARLLRLDELQLSEAEKARIYQFYIPVFLWCEDQVVEHRAKYDDGDDIPPLVVCTRINIGLFCSYYLLRLEARGHVDVRFRTKIGVSAPQGSGKTTLVFALDHLFQVAGRKSATLSIDDFYLTTKEQSAFGGRGDRADPSVWPEVEGPLQLEVVNKNLEAYYDAWDRFIKSWIVIKIREPSCVYQWRLQAEIAMKADGKPGMSDEEQIIFTSFI >Sspon.05G0033200-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:27346171:27348443:1 gene:Sspon.05G0033200-1C transcript:Sspon.05G0033200-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSLARSPSPGAALPAPRVAHFALPLLPLRSPRRQYQALRLRLRVAASSPPEAQAAAPVAEEGEEQGEKRRKLYVANLPWSFPAPEIEKLFAQHGTVKDVEVRVGGAIDFRERNAVCSGEPLRPRVRFGCPTLNFQLRYSAFCCVCSVKVIKGKDGRNRGFAFVTMSTAEEAAAAAEKLNSHDVMGRTIKVEFSKSFRKPAPLPPPGTIIERHKLYVSNLPWKARAPNVKEFFANFNPLSANVIFDNGKAAGYGFVSFGTKEEAEAALTELDGKELMGRPVRLNWRESGDDKVEVAKADSEVEVVNIEGASIDHASTDGGEDKQE >Sspon.07G0029470-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:74010683:74013973:-1 gene:Sspon.07G0029470-2C transcript:Sspon.07G0029470-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGGDGGDKEAFFHCLDRVPSGLHLDADFPSDDDDDDDDDEDDVRVSFTSAAGDQNFKSFRRYQSPVLVDDDDEEEEEDDDEDTSKYDMWMSDEPMSIQERRRRLHQGLGMASSRDLALRRHSMKKRPADVPRTMSRSVSRPKPPPSPIPPTAASAAANVPSTTAAAQAVSAAPRPAKEAITRRRSDSNLVVVRDGASVSGKPPSSSQQPLRRVRSLPARHDAAARDSTTVVRKAQAVASRELPAVPPAAAAPADKGSKGDGDEGSSKNNRDSEKEQVAVVAATTPKDVSTSTQTGVLGLEEIEKFIGNTPIMKLMRRGTSQHQPAPLPAGVPPKADKAAGSKKKGGWLKNIKSVAIGFMQDKDTNAKSGVASTAAAVPKSVATNNASAGAAPPASASERLKVHQYGKSSKELTGLYMCQEIQAHEGSIWSIKFSADGRRLASAGEDSVVCVWQVVETSAPPCSLAAMDGKSGPLAPLPAPGAADGSSALASTMSKKSTNKGKSGGRDALPEHLVVPDKVFALAEQPVCVLEGHQDDVLDLTWSKSDQLLSSSMDKTVRLWDTESKACLKTFAHSDYVTCIQFNPVDDRYFISGSLDAKVRLWSIPDRQVVDWTDLNEMVTAVSYTPDGQGAIIGSHKGSCRLYKTAGCKLSAEAQIDIQAKKRKAQAKKITGFQFAPGNPAEVLVTSADSQIRVFDGVTMVQKFRGFKNTSSQITAAYTSDGRYAVCASEDSNVYLWRTTRVPPAAAIGIGMKPKTWCTIRSYENFYCKDVSAAVPWTQSPPPPGAGDGSPTGGSPVQGASCNDESCSVATKPEGSDPSNSAGGGGKGDSGNAWGLVVVTASLGGEIRVYQNFGMPFRIKGQGNLFY >Sspon.04G0036770-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:48481994:48493749:-1 gene:Sspon.04G0036770-1D transcript:Sspon.04G0036770-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPIPRTRRQAAQPPHGASGGPAEEINMHQGYPKETLVRFLKAREWNVSKAHKMIVDSLNWRIQNEIDSVLERPIVPVDLYRSIRDSQLIGLSGYTKEGLPVFGIGVGHSTYDKASLSALSQIKILTSISTVDDLNYPEKTETYYVVNVPYIFSACWKVVKPLLQERTKKKVKVLTGCGRDELLKIMDYSSLPHFCRREGSGSSKHSSTDVDNCFSLDHPFHKELYDHIKEQASCRELIKMGSLHVSIPEPDPDDAKIVEVIQAEFQKIGEQDESPNGNKD >Sspon.06G0008980-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:48199414:48208183:1 gene:Sspon.06G0008980-1P transcript:Sspon.06G0008980-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPETGTYRWMAPEMIQHRPYNQKVDIYSFAIVLWELVTGNLPFANMTAVQAAFAVVNKGVRPAIPHDCLPALGEIMTRCWDADPEVRPPFTEIVRMLEQVEMEVLTTVRKARFRCCVTQPMTLD >Sspon.01G0018190-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:72716579:72719835:1 gene:Sspon.01G0018190-2B transcript:Sspon.01G0018190-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDGGGNSKMSKLSWSKSLVRKWFNIRGKSHDFHADAAAVGAGSGRSGGGDDDWRDGSFTRRDSCAAKKSRTERASRRSHERSRRSKIDLDAAEATVMLDYRIFAATWNVGGRAPPGSLSLDDWLRTSPPADIYVLGFQEIVPLNAGNVLGAEDNGPARKWVSLVRRTLNSLPGSGGSGSLQTPSPAPYLVAEMDADFERSTQNNPSFFHRRSFQSGLSRSMRVDGDILAGPGPARLERRYSVNDRVMYGSRPSDYEANCRWGGGGGQSDDEDDHVGGGESPITVFSPMSHGYGNAQPMEECSGSARGPARYCLVASKQMVGLFLMIWARKEMKNDIRNLKVSCVGRGLMGYLGNKGSISISMVLHQTSFCFVCSHLTSGQKDGDEHRRNSDVMEILRKTRFPRVCGQYERSPETILEHDRIIWLGDLNYRIALSYRSVKALVEMRNWKALLEKDQLTREQRGGRVFPGWNEGRIYFPPTYKYSNNSDKYAGDDMNQKEKKRTPAWCDRILWYGRGLSQLSYVRGESRFSDHRPVYSMFIAEVESINHSQIQKMSSWSSQLDIEELLPYSYGYTEIDHYGYTDLNFY >Sspon.03G0005910-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:16526802:16529493:-1 gene:Sspon.03G0005910-1A transcript:Sspon.03G0005910-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKRQGPPKHQNRYAWKPNLGQKINETEPGGRFRPLSEITGVCQRCRDQIDWKRRYGKYKPIVEPAKCQKCGKRNVRQAYHNVCRDCSKDLGICAKCCTRVNELVGRDANEEESERKELEEMNKGKGGESGPSVPKIGDRSREGDIFPAASLDEYAEQAREQEDDSDEEAGDFVED >Sspon.05G0016240-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:65932866:65937666:1 gene:Sspon.05G0016240-1A transcript:Sspon.05G0016240-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMANAKLHKQALLPPRSPFPTAAPSPYADRGPIARPQVGAAHHHRHGHHQRTSSESFIEEQPPLWLDDLLNEPETPAVRQSGGRAGHRRSSSDSFTLFDSGAAGAAGAYASGFEGMGRGGRQPAPWGGVQEYYAKPASFGRAHGRPWEQGMPNSAGFRHGGGLPMPTKDKVGGHHGPPNVPREHDHGMDKRTPDDAGHDQKVGAKEGVLPKHAQSEADNKRAKQQYAQRSRVRKLQYIAELEGRVQALQSEGVEVSAEMEFLTQQNIMLDLENKALKQRLESLAQEQLIKRLQQEMFEREIGRLRSLYQQQQQQQQQVPALVRSNSRDLDVQFANLSLKHKDPNSGRDALSGPLCT >Sspon.05G0028930-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:68937341:68942639:-1 gene:Sspon.05G0028930-2D transcript:Sspon.05G0028930-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVTKDISELQDILVEITSWLFIVRDRIESEPSCQWVLNVAYDIDDLLNEVLIEAEKHKMDINGDNCSIADYFCAKPKSFLFRRKVAHKIKAIKQRFSEIVKQRSDITTILNNLPSNQHVPSKKRTIGELSLLSNVEQSRIPIRNLEKDGIITKLIESNEGENDWIVSIVGLGGSGKTTLAKQICQDDKIKQHFKSTIFWVHVSEEFDVEELIGKLFETILEQKSDLHAQQHMVHAISSKLRGKKFLLVLDDAWHDDRLDWEQFMVLVNCGAPGSKILLTTRDQKVAEATKSRSIFNLAFLSEVESWTLFLENSGLVEDDLESEFIQHEMVTSLQNMAPDCILRCRYLSLTSTTEKVSSNLFDKAHALYVSGGNISFDKPMKKCCNIRSVVLDYTSDTPFPLFILKFEFLGYLRICNVNCTEFPEAISSCWNLQALHVTECKGFSTLPESIGKLKKLRNLELLMVTDLRSLPQSIGDCQDLRSLQLYSCYKLIEIPTSIVKIQKLIVLDIVSCVCVYNQLQRFTWEHRNLDRINLSGCHSLRDLPSAFSCRTLRTLDLSRTDITSLPQWVTLICTLECIKLEYCMMFVELPKGITNLRRLEVLNLNGCLKLRCMPSGFGHLTRLRWLGLFVVGCGGDDARLSELENLDMISGWLRICNLKYLKDSGEANKACLKKKNNIHSLTLNWSRETEEELASDIEEDLRVLDSLEPPSGIEFLQIIGYLGPHLPCWIRKQRDSSCLESIMPNQTSPLKFLWLTELSLELLLNLKSLQGLVELPSLKNFLLIRMPNLEELWITNGLETGEEQGGVQYCFPVLTNLHIEHCPKLYVKPWLPPCLEKLTFKESNEQLLSP >Sspon.06G0010330-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:56710559:56711093:1 gene:Sspon.06G0010330-1A transcript:Sspon.06G0010330-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSPSSAATSTLPRQLLRYVSSQLVAALNRPTPTISLPRRPGAPRQGSGGHVHAASAVATITRVATPMRQPTPPGHPAQGSGGKVHAAPAAPAASMLMRGPAPPGPPAQGSGGKVHAVSLAATARVLLQGPPPPGPPAEGAGGRGGNIHAVAS >Sspon.06G0014950-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:81985099:81988202:-1 gene:Sspon.06G0014950-1A transcript:Sspon.06G0014950-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPPRPPLPTPPPSSRHLHLLVVVPVLCFAAILTPAPAAVAAAAAGNTGGAGGEGEGGVIRTRTAAVARSAMDTKQFVSAKDVLKKEPVSDVIALKESMKYFDADFFNDSKLREMEDGAKEFNVPAFRENRKLVASENGPLHGIPYGLKDIIAVPHYKTTWGSRTFKNQVIDSEAYVYKRLKSAGAVLVAXXXXXXXX >Sspon.01G0045000-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:81926940:81928742:-1 gene:Sspon.01G0045000-2C transcript:Sspon.01G0045000-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQPGCRMLPDSNNSDVDELLQLGTTGAAERANGTSAKFSLGLLAPLLEVTRGNARDAT >Sspon.03G0033400-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3C:54752361:54752537:-1 gene:Sspon.03G0033400-2C transcript:Sspon.03G0033400-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding QATSQPKKLAPLRSQADRSNDSKDGEHTPKKSKKFLKSILSRRKSRKDEPLPSYFDDY >Sspon.06G0017690-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6A:96065985:96066482:-1 gene:Sspon.06G0017690-1A transcript:Sspon.06G0017690-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MANHRTAAALLLIASLFMAVTISRADARPTVRPNKMAALRRDAHGRGYAAEAAAPPELERKPKPEPEQKPKPEPERKPELTCKKVHGVQAGETCYSVGEGAGLTQDQFLGFNPNLCCEKLFIGQW >Sspon.05G0003660-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:23698206:23701135:-1 gene:Sspon.05G0003660-1P transcript:Sspon.05G0003660-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGGGRRAATAAALGRWCLVILAVASALGVSGPAFYWRYKKGFSSSPASTAAVAASSPSCPPCSCDCPAPLSLKSIAPDCGKNNPELAKEMEKQFVDLLNEELKLQQVVAEEHSHHMNATLVEAKRQATQYQREAEKCNAATETCEETREQSEAAISKEKKLTAMWEQRARQLGWQDSRATSIE >Sspon.07G0001210-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:1719829:1722381:1 gene:Sspon.07G0001210-2C transcript:Sspon.07G0001210-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Receptor like protein kinase S.2 [Source:Projected from Arabidopsis thaliana (AT2G32800) UniProtKB/Swiss-Prot;Acc:O48837] MSSSLRRLCFVLPMDVDEAVPPASSDSEATSRRSQVTSTLEAYARATAGHLLSCFRRYRPCCRGGADRCHDSSGMPFEDIAGVVDEDVAGRKQLAGAGGGPRIFSYSELYIGTRGFSDGEVLGSGGFGRVYRAVLPSDGTTVAVKCVADSTARFDKSFLAELAAVARLRHRNLVRLRGWCVRAGEELMLVYDYMPNRSLDRLLFAPAKAKAAAPALSWDRRRRIVTGLAAALFYLHEQLDTQIIHRDVKTSNVMLDSEYNARLGDFGLARWLEHAVSADDVQPHLEVSPSPTSLRLSSSSASASANYQFRLMDTSRIGGTIGYLPPESLQRRATGGTAKSDVFSFGIVLLEVATGRRAVDLAYPDDQIFMLDWGKLLDAADAKLPDGGASTLFDVGRVMHLGLLCSLHDPRAQPLRRLLRRRPPASAVVRGAPKYISLTTSSSSDSGATTVSTDRSTATTSLSKPVYATAAADTIYHTAEDGSRAGSRSASADSGRRSSSRLSPVASIPHVDVPREISFKEIVAITNDFSESQVVAELDFGTGYEGFLDTGHGRVHVLVKRLGMKTCPALRVRFARELCNLAKLRHRNLVQLRGWCTDHGEMLVVYDYAPGSLLSHYLLQRRHGGAAVLPWRQRYSIVRALASAILYLHEEWDEQVIHRNITSSAVFLDPDMNPRLGSFALAEFLSRNEHGHHVVVTASSAKGIFGYMSPEYMDTGEATTMADVYSFGVVVLEVVTGMMAVDGRLPEVLLVRKVQLFEQLNRPVEALADQRLDGKFDGRSSPGGAAEHEEDSEHSGWQRRSAGQVRAEEGEHGGLAEEERD >Sspon.04G0000260-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:1917845:1919041:-1 gene:Sspon.04G0000260-3D transcript:Sspon.04G0000260-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTSSELVAQTKKTTNTKTAALTLLMTTTTPTSSSTDDHSTADLVQVDDSHAHHQPLAAAVKRKQRTKRRRHHPPAAASSSASASVSSSESTTTEEEDMAHCLILLAQGAAGASVVDSKPSPAVLPSSTLPTAPHQNQAPPPPAAPPRAERYTSRKYTEAAATPDGVRAGFYVYECKTCNKCFPTFQALGGHRASHKKPRLAATADGDIAAAVNVDGTTTTTATKAPPPMATTTTASPSAPPLAVQRQPQPRPLQTAAIDIAAATSAAFPDVTVTTALSLSVAAAAASGKLRVHECSICGAEFASGQALGGHMRRHRPLNAPDRAVTTAIVAADTTGNSNSKKESSAGINLELDLNLPAPSDEEAAVVSLLPPPPPPPPAASCSVSASSTTARRLGSC >Sspon.02G0057960-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2D:68825978:68830406:1 gene:Sspon.02G0057960-1D transcript:Sspon.02G0057960-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding FQEFKSFADLRRRLESPLMVIFAYGKVNGLLTKEDLKCAAEHVFGVDLTDRVVQKVITKQCIADRYDDFAMSSWWPKLAKLLPLRYARLLTLRCQPSNAPTHGFGQPVAGLVTASRCPVEAAQRGGALSRRRAEARVGLVAASRHPGGAAQLGGAPARQGGGGGRPGRGLAASRRPDGASQLRCPGAAAHTRDDARRSQARRRTVLADPPIGRGPLLADPNPCGARSWGVEDSMLKMTRGGPGRLHGPSALPPSGLPSRGSAASAFCTCCGLFCSPSVADDADCKSVHLAQVVPVQEPAMSWWLRSRKTVDKAFRRGFDSLFFLLGWMLWKERNVRTFNGVSKTAIELARESMTSKALIFHDASLTQNDNDIVYLDQNYVEYMGSPIYIGGRTRNLLQHAIWNDTSFLTSVNVMDYSLLVGVDKGKHELVFGIID >Sspon.02G0023040-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2A:77862144:77862776:1 gene:Sspon.02G0023040-1A transcript:Sspon.02G0023040-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLASLAAEKWWLLPAFLAMYAAIYCVGQLVVFRRWAPRQRLEGASCLISLFHGTPAMLAAAGAILALPVVARSFAAPNARLQDHILDYSIAYFTMDLLHYLVFLPEDILIIAHHLTTLFVLVTCRYLICDGAYALLVLLFLAEVTSLLHNVWTLAGSWQDQSPAAAHVYSVVSPPFYTLYMLVRGVAGPLFLLKMTAFYLSGQVVDVIP >Sspon.05G0012100-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:26762209:26764969:-1 gene:Sspon.05G0012100-3C transcript:Sspon.05G0012100-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLAQPHGDKSSSSGGGRQRQGMAAAQAQQRSASFHGRGTEQQRHQQPQQKQRPKTLPDLLAGVRGASFRSGSPPPDGGDTGRSPRRTPSKVLVSVAVQQSMWPLHVMASAEWTVADLVAAAVALYVKEGRRPLLPSTDPAAFGLHYSQFSLESLNPKEKIMELGSRSFFLCPRSSAAGQDVSSSSGTANGVIRPASGKTPAWLSYMPFWPTM >Sspon.01G0022150-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:80275269:80280504:1 gene:Sspon.01G0022150-3C transcript:Sspon.01G0022150-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTASDQQNTPLLSADGGSGGEGVVALPGEAGGSGHGVSAQLERILADESAPRARRLARAARVELRLLVALAAPAVAVYMINYSMSLSTRIFCGQLGTLELAAASLGNVGIQVFAYGVMLGMGSAVETLCGQAYGAHKYDMLGIYMQRSFVLLTATGVPLAVIYVFSKQILLLLGESERIASAAWVFVLGLIPQIFAYAFNFPIQKFLQAQSIVAPSAYISTATLAVHLALSWFAVYRLGLGLLGASLILSLSWWVIVAAQFVYIVRSQRCRRTWTGFSCRAFSGLPEFLKLSFASAVMLCLETWYTQITVLIAGLLKDPEIALDSLAVCMSISGWVFMVSVGFNAAASVRVSNELGAGHPMATSFSVKVVTTLSLMVASIIAVIVMCLRDYISYVFTRGDDVARAVSTMTPLLAVTIAFVAYVNIACYYGIGIPLGCVLGFYFDLGAMGIWGGMIGGLIVQTLILIWVTLRTDWNKEVEQARMRLNKWDDKKKHLLAED >Sspon.07G0025570-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7C:22975313:22975564:1 gene:Sspon.07G0025570-2C transcript:Sspon.07G0025570-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPTRRCMSAVTCALSCGDLKKAPARPCTRRWRPPPSTRMDDCPISSMSDRGYHGTNADASACSTARLTSGSELTTAGEPHR >Sspon.02G0014730-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:39451391:39454941:-1 gene:Sspon.02G0014730-1A transcript:Sspon.02G0014730-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GMFPMASPLPPLSLILLRPLPCRPVLLSRQRKPSPTVRVEARSEQRLQRRPVTGRWRRSWRRGGGFACFSYNAKNKTPPPSDQSSDEWAIVRRWDVPWEWQTVVLSMVGCGVSFVLTGLVEQSALQYLGFKAVEATIDEKAEILFFGHRSVTVVVLGVIFGITNTFRPFPDDVFRSDINEPFKLQNGWLLWAGVGLFGAIISIALAGAAMTYLNGETPEREVKQIFALATRPFWCTAIGIVIYYYVLTKMFLSLTQTDSLVLLLPLIGSSTTSTAFLVGITGVLAPLLEETLFRGFLMVSLTNRFPTPFCVLVSAAVFALAHLTPGQFPQLFIPVFGMPSSFSNLVEYYAGVALGFSYAQTRNLLTPITIHAFWNSGVILLLTFLQYFFDCFSCKDMISRSSWEHR >Sspon.02G0006420-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:18952777:18954749:1 gene:Sspon.02G0006420-1A transcript:Sspon.02G0006420-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTEPPRRPWAGALLLPLAAAAVAAVLSCCCCHGASAAAASFGDNFEITGAEDHVKTSADGQTWYLYLDNKTGVGFQTKQRYLFGWFSMKLKLVGNDSAGVVTAYYMCSDVDAAPQRDELDFEFLGNRSGEPYIIQTNVYHNGVGGREMRHWLWFDPTADFHTYAILWNTKHIVFFVDKVAIRVYPNDASKPGGGSGFFPISKPMYIFSSIWNADDWATRGGLDRTDWAKAPFVSSYRDFATDACAWPADSNAPPACAAATGDSWWDQPPAWALDAGQRLDNAWVARNLLIYDYCDDRKRFPTPPEECALRAAATA >Sspon.01G0006990-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1A:18929627:18931378:-1 gene:Sspon.01G0006990-1A transcript:Sspon.01G0006990-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RALPHGASARGHAPSTAPHFNRLRSEYRGELLMPLRHLYPPESTRLVGTVAPLPRLTARRCRSHYGVPEPLATAQPRAGFLLKNHVRVHGSSLWAVWAERNRASTRPATGRWAE >Sspon.04G0002390-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:7345401:7356076:1 gene:Sspon.04G0002390-1A transcript:Sspon.04G0002390-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRPASRQRKAGHASAAAGPKGLHQHQQQLPQSGSPTSTTTTTTSSSRLTPELSLDGPVSSLFAGLDEDPAPKENVTVTVRFRPLSPREIRQGEEVAWYADGDTVVRSEQNPSVAYAYDRVFAPTTTTRHVYDVAAQHVVSGAMEGVNGTIFAYGVTSSGKTHTMHGDQRSPGIIPLAVKDAFSIIQETPNREFLLRVSYLEIYNEVVNDLLNPACQNLRIREDPQGTFVEGIKEEVVLSPAHALSLIAAGEEHRHVGSTNFNLLSSRSHTIFTLTIESSPCGESNEGEAVTFSQLVISKLTDGKATHIPFRDSKLTRLLQSSLSGQGRVSLICTVTPASSNSEETHNTLKFAHRAKRIEIQASQNKIIDEKSLIKKYQTEIRRLKEELEQLKMGIITGTPSKDAEEDNIILWKQKLEDGNVKLQSRLEQEEEAKAALLARIQRLTKLILVSTKATPTSRFSPHPGPRRRHSFGEEELAYLPYRRRDIMMDNESNELLLPVEGFGVSLEDSSKEEKKNRKGLLNWFKLRKRDGASILTSSEGDKSSLTKSTAPSTPIGESVNFPAEPRISNSLAGENVSADLFSIGHGEFPSGSIHGEETPLASGKTMDHVDLLREQLKILSGEVAFNTSALKRLTEEAGRSPKNEKIQMEMKKKTDEIKGKQQQIASLEREIAHATLGTQGKVDKLELSPSYHELLEQLNEKSFELEVKAADNRVIQDQLNEKIGECMELQAEVTHLKEQLSQALEAKDLLSNSMTQNNRVNHEVEHHADQDVPREISSEPQQKPQQSVEICELKQKVSELIEIKAQLEDRNQKLLEESTYAKGLASAAGVELKALSEEVTKLMNQNEKLATELSSLRSPTPAPRRVSNGPRGTRRESMSRRHEPASRRDMNASHEREKALETMLMEKEQKEAELQRKVEESKQKEAFLESELANMWVLVAKLKKSQGYDHEDPEAKHDGS >Sspon.01G0056970-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1C:94515850:94516218:1 gene:Sspon.01G0056970-1C transcript:Sspon.01G0056970-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNKVAPRTSARHLPLRPHHPSAPGVGTGRPHPVVDLLLLLTHTRHSAPRPRPPAPAIYAYLLAGRVAGGARPPAPELTASLHPPIGPLPSSSSSSSLPCCCCSACFNRHLFIMFFFLDTDC >Sspon.05G0031860-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:10357855:10360159:-1 gene:Sspon.05G0031860-1C transcript:Sspon.05G0031860-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHAVVHHRAPPPRRCSRGHRCSSVVVRAAAATVTGAAATAPDSPSAAFWDYNLLFRSQRAESRDPVALRVTEGAIPPDFPAGTYYLAGPGMFADDHGSTVHPLDGHGYLRSFRFGGADGGGAATAHYSARYVETAAKREEHEDAGGPSSWRFTHRGPFSVLQGGNRVGNVKVMKNVANTSVLRWGGRVLCLWEGGEPYELDPRTLETIGPFDILGRVGGGAGTDEAARDDDSCEAARHGRRRRPWLQEAGIDVAARLLRPVLSGVFRMPAKRLLAHYKIDQERNRLLMVACNAEDMLLPRSNFTFYEFDADFALVQTREFVVPDHLMIHDWTFTDSHYVLLGNRIRLDIPVACGVWAGSLLALTGTHPMIAALAVDPSRQSTPVYLLPRSPEAEAEATGRDWSVPIEAPTQMWSMHVGNAFEERNARGGINIQLHMSGCSYQWFNFHRMFGYNWQNKKLDPSFMNIAKGREWLPRLVQVSIDLDKRGACRGCSVRRLSDQWTRPADFPAINPGFANRRNRFIYAGGASGSRRFLPYFPFDSVVKVDVSDGSARSWSAAGRKFVGEPVFVPTGSCEDDGYVLLVE >Sspon.04G0006470-3P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:18353021:18359643:1 gene:Sspon.04G0006470-3P transcript:Sspon.04G0006470-3P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNSSAAAFFGVRDGDQQDQIKPLISPQQQQAAALPGVAGAPTAAAQPPPKKKRTMPADPDAEVIALSPKTLLATNRFVCEVCNKGFQREQNLQLHRRGHNLPWKLKQKNPLQAQRRRVYLCPEPTCVHHDPARALGDLTGIKKHFCRKHGEKKWKCDKCSKRYAVQSDWKAHSKICGTREYRCDCGTLFSRRDSFITHRAFCDALAQESARLPPTSLSSLTSHLYGATNAGNMALSLSQVGSHLTSTLQDGHHHHHPSTELLRLGATAGGGSSIAARLDHLLSPNGASAFRPPQAPPSSASFFLNAAGASVGQDFGDDAGNGPHSYLQANKPFHGLMQLSDLQGNGAGGPSLFNLGFFANNGNSSGSSHEHASQGLMNNDQFSGGAGGGGGGGGSEVSAAGIFGGNFIGGGDQVPPPGLYSDQASMLPQMSATALLQKAAQMGATSSANGGAASMFRGFVGSSSPHVRPATPHMEQSDANLNDLMNSLAGGGVGAGGMFGGGNGAVSAGMFDPRQLCNMAEHEVKFGQGGGDMTRDFLGVGGGGIVRGISTPRGGGDHQSSSDMSSLEAEMKSASSFNGGRMP >Sspon.04G0002800-3C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4C:9667181:9667552:-1 gene:Sspon.04G0002800-3C transcript:Sspon.04G0002800-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQIDAAIASTEYARACALLDPAPASASSQPPAQPETGPESQGDRGASPPACYDARVADEAYRAACAALGAGRPDAAVRSLRAALASCPPDKTAAVAKVRSMLAIASAQLHKQQHQAQQQSRK >Sspon.02G0019060-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:64160604:64166594:-1 gene:Sspon.02G0019060-3C transcript:Sspon.02G0019060-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding METTSTANEQTGRGAMWELERNLDQPMDAEAGRLRNMYREKTYPTLVLLQLAFQSLGVVFGDLGTSPLYVFYNIFPREIEDTEQVIGALSLIIYSLTLIPLVKYVFIVLRANDNGQGGTFALYSLLCRHAKINTIPNQHRTDEELTTYSRYTYDEKSLAAKIKRWLEGHQIRKNVILILVLFGTCMAVGDGILTPAISVLSATGGIQVEEPSMRNDVVVIVSVVILIGLFSMQHFGTDKVSWLFAPIVFIWFILIGILGAVNISKYDQSVLKAFNPIYVYRYFKRGKTSWASLGGIMLSITGTEALFADLSYFPVQAIQIAFTVVVFPCLLLQYTGQAAYIAQNKDQVSHAFYHSLPDSVLWPSLIVATAAAVVASQATISMTYSIIKQALALGCFPRVRIIHTSKKYLGQIYSPDINWILLIFCIAVTAGFKNQSQIANAYGTAVIMVMLVTTFLMIPIMLLVWRSHWTLVILFTALSLLVEIPYFTAVVRKIDQGGWVPLVFAVAFLIIMYVWHYGTLKRYEFEMHSKVSMAWILGLGPSLGLVRVPGVGLVYTELASGVPHIFSHFITNLPAIHSTLVFVCVKYLPVYTVPVDERFLVKRIGPKNFHMFRCVARYGYKDIHKKDDDFEQMLFNSLMLFVRLESMMEEYTDSDEYSTLELNQQAGNANQRINGISTGSNMDLSYTSHDSIIQVQSPNHMGNSQVVLSGQMYQTVGDEIAFLNACRDAGVVHILGNTIIRARRDSGFIKKIAINYMYAFLRKICRENSAIFNVPHESLLNVGQVFYV >Sspon.08G0008290-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8D:27045226:27048206:1 gene:Sspon.08G0008290-2D transcript:Sspon.08G0008290-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSTLVTPTVSSLSHLSKSSNFNLQLWSLHALLLTIEAAGLSYVSQVQGTLFLAMEILLLEENGYVDLRQGIGHLINAIVAVLGPELAPGSTFFSRCKSVIAEISSSNEMATLL >Sspon.05G0010710-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:24822098:24826177:1 gene:Sspon.05G0010710-3C transcript:Sspon.05G0010710-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADRLLASCIPGGMEAAEREDGEGLELSLSLHPSPSSPPRFQAVFACCYCPRKFRSSQALGGHQNAHKLQRNLARRGREAASAPPAPPPAAVPDQQGSSRASGGSESSTTPRSRAEPPPGADAWGEGRHHRRHQHHHLYQQRPALAGATEASSGASSRGGKEDAAEEIIDLQ >Sspon.04G0007590-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:21162704:21167538:-1 gene:Sspon.04G0007590-3C transcript:Sspon.04G0007590-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LFYESLDRILSSSGSSTSASDDDDGADRPRRRRGCDAPAAAAAAALDLWTSQPAPVQERRRRLLQLMGLAGDPSVAGFETGRSASEDAAGPPPASPVSRSRSGGAALGSAGKPPLGGGRLRSSLSDGSDAADEDPRCLIRNLDDGSEYVVREEFGLREVGTGRHLTVEELARSPIVQELMRRQAFSTPNSNCTSNSQSGASTPIERSSSGSSNGGARYKRRSSWLRSIRCAAGSLVTHSRDRRSSDEKDTSSEKGGHHSSSTTDDSQDSVPRHGPTRVKVRQYGKSYKELSGLFMTQQIQAHNGSIWSIKFSPDGRYLASAGEDCIIHVWEVLEFERAGKEREVKENGVCNPLVAMVCNESSEKMVASAAPSGSHWEKKLRSKVLHSGGSVSSDRLMVPDYVFALSEKPVITFAGHSEDVLDLSWSKSQYLLSSSMDKTVRLWHMSSTYCLKTFSHTDYVTCIQFNPVDDRYFISGSLDEKVRIWSIPKREIVDWVDLHEMVTAACYTPDGKGALIGSHKGSCHLYDTSDDMLCYKTQIDLQNKRRKSSQKKITGFQFVPGDSSVVIITSADSRIRVLDGFELVHRFKGFRNTSSQISACLTGNGRYIISASEDSHVYVWRNNDGSKPSRKKGIVSVTNTHEHFHCENVTVAVTWPFTSTTMTSLMNPRKQEELDRGSENDYSPQGLQTKLAKEQEMPDVEYRSTNITSNNSNHNGDRTSPTWPEELITPSNQSLRATTCHANGGDQALNRSAWGLVIVTAGRGGQIRTFQNFGFPVRV >Sspon.01G0050780-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:7601931:7602236:1 gene:Sspon.01G0050780-1C transcript:Sspon.01G0050780-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQYPPPLLLRRRRQGWGIRARGLNKSGVSPRWATGSIILSFQSPSLLAAKFYGDMHPTCMGHPTTSLAGVLVYMQGTNDNGGCRRQSLLIFCLGVFALGLV >Sspon.01G0013420-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:36696402:36697250:1 gene:Sspon.01G0013420-1A transcript:Sspon.01G0013420-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MWSSPFVIRARVALNLKGLAYRYVEDDLDSKSELLLASNPVHRKVPVLLHDGRPVCESRVIVEYVDEAFPASVPRLLPADPYHRAVARFWASYVVAVLEKFEQAFEECSGGKAFFGGDAAGLVDVVLGGFLGWLRASEVMCGVRVIDPAKTPLLAAWADRFAALDGVREVIPDVQRLLEYNKMRRARRGL >Sspon.08G0002690-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:7829401:7830806:1 gene:Sspon.08G0002690-1A transcript:Sspon.08G0002690-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAPAPLQCFLTGSSRPVCTATTPKHARRPSRISCKAAGDDKDKVPSGGNDLGVKLGKLAMVALAAGVLVLGPVDGAMAAKSGGRVGGQAFRSAPRSSGPRINNSRTNIYINPPVAPPLGGYGYGSPFFGGYGYGWSPFSFFAPGPSVAVGVGGGLDTLVLFLVLGAIVGAVRRFLNRNNDDYDDY >Sspon.04G0017610-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4A:64085285:64087590:-1 gene:Sspon.04G0017610-1A transcript:Sspon.04G0017610-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGALSRLHPRMSPSWNGSWLLMQTFYHGLIT >Sspon.03G0034080-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:67944956:67945252:1 gene:Sspon.03G0034080-1B transcript:Sspon.03G0034080-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHHHHDKQNKSEQAAGDWRKEEKHHKHMEQLAQLGAAAAGAYAVHEKHKAKKDPEHARSHRIKEEIAATIAAGSAGFAIHEHHKRKEAKKHGHHHHH >Sspon.02G0046970-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:9732416:9733643:-1 gene:Sspon.02G0046970-1C transcript:Sspon.02G0046970-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQGKELKTRPDPKVEIQEKGEIFFFYRPKVDKDEVHSPDDVQRMYIVLRPESTGGGRAVEEKQAPDSGKEGRKRHQQGDGGQGGGEEKGAECGHGKQEVNIEEQPLLRLIVMGKKSLPDPAKHSRPYWGYVELVTTKVQDIKDALKEEEYSTATRGKRHRPAARALGEGVYRILKHESSGGRGRSPHTHLVYKLELPTRGAGEPQEAMNVEPEASFLVQVKNPDPPSGGGFRGLQNKRRAAGAFGSRRFAPADPPDLLNYEGCELLLIAASDDVEDELGLQLEGEVEVEDGEGENQQAAAGCSDLVKMFGEVADVKPLLSGSWTKPRLIR >Sspon.05G0020670-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:87594463:87596532:1 gene:Sspon.05G0020670-1A transcript:Sspon.05G0020670-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNNNHFEIRVWYNMPPDSTTEHLLQTIYERARQAISPTQGDQPVQEGSCDDIAGMLRHLLANKKYLLIIIGISSKTMLNCVRASLPDDNSGSRVVLVLDIENEEVAWHANGMNKGISDGIRHLSRLDKEKSVKLFCSRAVRTNLSDETTGNSMMSMYREVVYNITWGYPLAIVVLAGLLRFKEKPGQWTAVLQQLRSGPPMEEAHQQDGEGNQITGPAMFQEDRIEEKMSPPTTEAANLSTRTSIERVFWASFEDLPNDLKSCFLYLAAFPKDTFLSTNSIVRMWMAEGFIRPQKGKTIEELGHDYFKELALRCLVQVSAMNESGGIKKVIVHRRLHGFLHSEAREAGFIDAHDMHDVFVPPSVRRLSFMSFQGGYTTFTNKFCKLRSFICWAKEKDHRPSSNGGGSGGVDEEHWHDLKFLQGSDLLRVIDVRGLRIKELPNEIGNKIHLRYLRVNSEHLKELPSSIQRLLNLQTLDIRDTEVEEIHPSFWKIKTLRHVIAKNLTLPSSINEELHELQTLHGVKPSKGEWDQDNCPLLKMTKLRLAKTNDAPEDIQEELRKAGFIRSRTPFQPVYRLSYKQGAAKQEQQGEASSSKMEQQHQGEKAEE >Sspon.01G0012180-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:39578393:39586172:1 gene:Sspon.01G0012180-2B transcript:Sspon.01G0012180-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSLGDLGWPVEDRILVLNVLCGLSDRYAHLRMWITRQRPFPTFAGHPAGVGRFSGVLVFLDGSGCYSAAAVCSTTVVRSTAAVSPGSLPLGQAEVGGSWRSSSPRRGSRRRPRWSRPGSGTGWAAGGHHGLPSRTRGPGASPCGPSMLPGATFVHLVLHRCSTWCSTSRRSTWCSTLRHPTWGCRLGPGGLARSFSTMGLTPPIGP >Sspon.02G0018370-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:61889852:61890364:1 gene:Sspon.02G0018370-3C transcript:Sspon.02G0018370-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSRLALVAVMAAVVLGMASAATYNVGEPGGSWDLSTNYGTWVSSKRFHPGDQIVFKYSPQAHDVVEVSKADYDSCSNARPIATHNSGNDAIALASPGTRYFICGFPGHCTGGMKIQIDVVPSASSLTPAGAPAANSPPPTSTPDSAATKATGFGALAAVMIAAGLMAY >Sspon.04G0035910-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:20340079:20340585:1 gene:Sspon.04G0035910-1D transcript:Sspon.04G0035910-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding KLAPPPPHRIAPTPKPSTSILLAHFSLVAAHSPSLRSRTPRRDGLDLLPRRRSRGLRCRPLGGGLPLRSLRGPRRRRRRPPRLVLLQGAGGARVLRGIVAAAAAQRGGGGQADVAAEHSVELPCSLSGYPLSHLSRALT >Sspon.02G0001790-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:5858025:5862355:1 gene:Sspon.02G0001790-2P transcript:Sspon.02G0001790-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRRRHALAPVVEELISPMNSLDDGCLMHIFSFLSPIPDRYNTALVCHRWRFLACHPRLWLRVERPIRDVMEPGVYPNLEAAVSAARPGDTILIAAGGSHVACNIQIKKPICIIGGGDLPDDTVLTCSRGFDNALEFLSTCKIANLTIRAELGCCLLHRSGKLTIQECLLQCEQNPLDYLSFPIISTAIEYNSFPSLKEQGHGVTVVRTRIEGGAKAVRTNGTLALQRVRAIYSRSSVFFWFESKNDE >Sspon.08G0018820-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:9251199:9252031:1 gene:Sspon.08G0018820-1P transcript:Sspon.08G0018820-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVGGGAADSPGAAAAAGAEAPRPSRYESQKRRDWHTFGQYLRNHRPPLELARCSGAHVLEFLRYLDQFGKTKVHAPGCPFFGHPSPPAPCPCPLRQAWGSLDALVGRLRAAFEEHGGRPEANPFGARAVRLYLREPGQGARHRLREEAPEAAVRFVPVVPAGRDDPAAAAPPVSSPVLSDAAAERADVRAHVPDAGHPHHHLHQHHFFMPHPQFLHGFSLLPANPEAVAANGNGSSSSASVAAGSGDEIALAMAAAAEAHAAGCMLPLSVFN >Sspon.03G0002980-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:7573289:7576861:-1 gene:Sspon.03G0002980-1A transcript:Sspon.03G0002980-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRKFFVGGNWKCNGTGEDVKKIVTVLNEAEVPSEDVVVAGPFRLWLVFDWIDLCADLLVGWNSGWCAEVVVSPPFVFLQQVKGLLRLDFAVAAQNCWVRKGGAFTGEISAEMLVNLQVPWVILGILSAELCWVIACIGETLEQREAGTTMDVVAAQTKAIAEKISDWTNVVLAYEPVWAIGTGKVHDGLRKWLHSNVSPAVAESTRIIYGGSVNGANCKELAAQPDVDGFLVGGASLKPEFVDIIKSATVKSSSA >Sspon.08G0030030-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:54320049:54322850:-1 gene:Sspon.08G0030030-1D transcript:Sspon.08G0030030-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVVPIRVLFETPYGFAFFGFNGDYVNVENPLEIIWTYLADSTTAELAIWPLEFEKFENKSDAIGTSGIDDPITRMIKDWYSSGETILVGKNEHKLAIETELKIACRCDEVAFEVMWGLENHLHILVPDEELELREEDGKYRSQGLHMFLRSLNYNIEPELVNGQIAETACFIYHCLEVNKELLQCLRMAGYPEKESIDTQGWDALKYVTAVQIMCMDEHSSNHCQMFLEELQKIDGGKGAVEVNPVKVDKLKELDVLVKQAHEKWGRVRKLQEELDKSESIAKKRKREA >Sspon.06G0011120-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:60178393:60186722:1 gene:Sspon.06G0011120-1P transcript:Sspon.06G0011120-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDARFPYSPAEVAKVELVQFGILSPDEIRQMSVVQIEHAETMERGKPKPGGLSDPRLGTIDRKIKCETCMAGMAECPGHFGHLELAKPMFHIGFIKTVLSIMRCVCFNCSKILADEDETKFKQALKIRNPKNRLKRIYDACKSKKVCAGGDDLDVQEQDTDEPVKKRGGCGAQQPNITVDGMKMVAEFKAPKKKSDDQDQLPEPVERKQILSAERVLNVLKRISDEDCLLLGLNPKYARPDWMILQVLPVPPPPDDLTHQLAMIIRHNENLRRQERNGAPAHIITEFAQLLQFHIATYFDNDLPGQPRATQRSGRPIKSICSRLKAKEGRIRGNLMGKRVDFSARTVITPDPNINIDELGVPWSIALNLTYPETVTPYNIERLKELVEYGPHPPPGKTGAKYIIREDGQRLDLRYVKKSSDQHLELGYKVERHLNDGDFVLFNRQPSLHKMSIMGHRIKIMPYSTFRLNLSVTSPYNADFDGDEMNMHVPQSFETRAEVLELMMVPKCIVSPQSNRPVMGIVQDTLLGCRKITKRDTLIEKDVFMNILMWWQDFDGKIPAPAILKPRPIWTGKQVFNLIIPKQINLIRFSAWHSEEEKGFITPGDTMVRIEKGELLSGTLCKKSLGTGTGSLIHVIWEEVGPDAARKFLGHTQWLVNYWLLQNGFSIGIGDTIADAATMESINKTISDAKDAVKELIKKAHEKQLEAEPGRTMMESFENRVNQVLNKARDDAGSSAQKSLSESNNLKAMVTAGSKGSFINISQMTACVGQQNVEGKRIPFGFIDRTLPHFTKDDYGPESRGFVENSYLRGLTPQEFFFHAMGGREGLIDTAVKTSETGYIQRRLVKAMEDIMVKYDGTVRNSLGDVIQFLYGEDGMDAVWIETQKLDSLKMKKPEFDNLFRYELDDENWRPNYMLPEHVDDLKTIREFRNVFEAEVQKLEADRYQLGTEIATTGDNSWPMPVNLKRLIWNAQKTFRIDFRRPSDMHPMEIVEAVDKLQERLKVVPGDDPMSIEAQKNATLFFNILLRSTFASKRVLKEYRLTKEAFEWVIGEIESRFLQSLVAPGEMIGCVAAQSIGEPATQMTLNTFHYAGVSAKNVTLGVPRLREIINVAKKIKTPSLSVYLKPEVNQKKELAKNVQCALEYTTLRSVTHATEIWYDPDPLGTIIEEDAEFVQSYYEMPDEDIDPDKISPWLLRIELNREMMVDKKLSMADIADKINREFDDDLSCIFNDDNADKLILRIRITNDEAPKGEIQDESAEDDVFLKKIEGNMLTEMALRGIPDINKVFIKEGKVNTFYQDEGFKAANEWMLDTEGVNLLAVMCHEDVDATRTTSNHLIEVIEVLGIEAVRRSLLDELRVVISFDGSYVNYRHLAILCDTMTYRGHLMAITRHGINRNDTGPLMRCSFEETVDILLDAAVYAESDHLRGVTENIMLGQLAPIGTGGCALYLNDQMLQQAIELQLPSYVEGLDFGMTPARSPISGTPYHEGMMSPSYLLSPNIRASPINTDASFSPYVGHMAFSPFPSPGGYSPSSGGYSPSSPVFTPEKGYSPLSPSYSPASPSYSPTSPSYTPGSPTYSPTSPNYSPTSPTYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPVYSPTSPAYSPTSPAYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPAYSPTSPGYSPTSPSYSPTSPSYSPTSPSYNPSSAKYSPSHAYSPSSPRMTPYSQTSPSYSPTSPTYSPTSPSYSQPSPSYSPTSPSGSYSPTAPGYSPSSTGQGNDKDDESTR >Sspon.07G0034040-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7C:68184486:68198783:1 gene:Sspon.07G0034040-1C transcript:Sspon.07G0034040-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDPHPPLPPPRPPVEVVGTARDAELSLSAALSREEVLCRRRRRLVQLCSLYRAQYWVLADELPARHGEYWWEHGASPALDDEPPHALPPPLLPPKENGVSAGAPENGVVVGPLENCAVSPPVSAAGGRAGCAASNCEAKAMPLSPYCFNHILLDPKQQLYQPCAFPTKKSLGRGGEHSRVRGGLAASTCTGVGAAVVSTVAGVRGMAASTLAGSSMPIASQASVRFGAALPGGALPAAAAATGAHAALPAAGASHPAAPPPGAAHLPLGAALPAGVSFPPGACCPPNANWSTAAAPCHRRCALGGVVGVPSAGPPNSALAAALVAARAAAAEGQARVRAAALVWERRGVQNIRLLVPVVLEPESPSYARWRDLVLLTLRRYALDDHVLADASVAVQTPSWIRLDSIVLSWILGTISLDLHDLVRNTPDARRAWLALEGQFLGNAEARALRLDASFRTFVQGDLSLRMSCPYTSSQNGKVERMIRTTNDTVHTLLFQASLPARFWAEGLHTSTYLLNRLPSAACPAPTPHHALFGTPPRDDHLRVFGCACYSNTAATSSHKLDPRSTLCVFLGYSPDHKGYRCFDLTSRRVLISRHVVFDESVFPFSSTTSTPVPDPPSLFPADTVAQPLFPWSPAGTASPRFLPATCPGSPVGLGPSSSGAAPTSPVGADPGPSSPDSAPGGPCRSSSPGPALAPPSRFAALVRVYQRRPRPPPLEVPSPPGTPTPPPQSPPARGAPPVYHPPLLHRHPRHVHPMVTRHAAGTLPPRALEASTGDALVSPRSTPRFSPTRRGKLLPRPPDSNVVTGKWIWTHKRRADGTLERYKARWVLRGFTQRPGVDYDETFSPVVKPATVRTVLSLALARSWPVHQLDVKNAFLHGLLTETVYCSQPAGFVDSSRPDMVCRLNRSLYGLKQASQAWNHRFAAFLLTLGFVEAKSDTSLFIYHHGADTAYLLLYVDDIVLTASIEHRPAGLLLHQRQYTRDILERAGMTDCNPCSTPVDTQGKLSEIEGPPVSDPTAYRSLAGALQYLTFTRPDITYAVQQVCLHMHDPREPHLTALKRLLRYLRGTLDYDLLLHRASSADLVVYTDANWAGCPDTRRSTSGYAVFLGGNLVSWSSKRQPVVSRSSAEAEYRAVANGVAEASWLRQLLAELHTTPSRSTLIYCDNVSADYLSTNPIQHQRTKHVEIDLHFVRDRVAMGEVRVLHVPTTSQFADIFTKGLPSSTFTEFRSSLNITSG >Sspon.08G0006020-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8D:16229918:16231394:-1 gene:Sspon.08G0006020-2D transcript:Sspon.08G0006020-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LSPATPPDVVAVLRLLESSGLPAAAGLAAASASSSPLPLAAVLLHRPLPPLLGYCLHARAAWAGLLADRYLANALLAFYVGLPDHLSHALKAFDDLPRHDVVANSSVLAALVRAGLPRRALLQLRTMASGGYVTDDDVAPSTHALSASAKAGVVLRNLRAGACVHETIVVQGYGDDGVVLGALVDMYGHSGAPGDARRAFEEMCPPDGICYTSLISAFVRNDWFNEALRWFRAMVVTDGVWPDGCTFGSMMTVLGNLKWARQGREAHAQVVTRGLCGNMIVESSTLDMYAKCGMMVDARKVFDRMKVRNAVSWCALLGGIVRVGSMRRFSHCFDRCIWRTMTGIALELFCGLALNGHGERAISLFNEMIREGVKPDYITFIGVLFACSHTGMVEEGRNYFNSMNKDYGIPLALNITIALLTSLVE >Sspon.01G0016060-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:49020958:49026935:1 gene:Sspon.01G0016060-2C transcript:Sspon.01G0016060-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHDAAPVIPAPAGKSLLVVHFASDVEDEVWLKFYSSSAKQMGRGSKIVIVSKIKLLSRLGTVQPICLNSLSHQEFSYLFKVLAFGSTNPEEHPRLASVAQDLAEALRGSLVTANLYAHLLRKNQHVGAWLCILQKYRNVVENNMSIFGVHPKNIMDKEHPIYITSLTPLSSSPILRLMPLIQKLMPPKGDCQSN >Sspon.02G0023780-3C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:83961387:83964826:1 gene:Sspon.02G0023780-3C transcript:Sspon.02G0023780-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAEASRTSEARRDAVFGRWVVFSPARSRRPTDLKSHSPANPSPAGPAGADAPEPPKPSCPFCAGRESECAPQIFRVPPDGTAPWRIRVIQNLYPALRRDVEPPPPVLPEGEALPDEPGERAVPGFGFHDVVIETPRHDVRLWDLDAEGVRDVLLAYAERVRQLGEHPVVKYVQALDLGSLLKTMLQKLSKQLNDPPFNFMIHSAPFGLSSSCLPYTHWFLQIVPQLSVVGGFELGSGCYINPVFPEDAAKILRELDCSV >Sspon.02G0047180-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:12213400:12219815:-1 gene:Sspon.02G0047180-1C transcript:Sspon.02G0047180-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LQTARCSARTEASTRSSSTRHVVTIPTRRVFKSKPVSVFVASASDGDGDPDGTLFVVETWPDHEAKRLDPEYKQFEAFVYRRTRMSSLAKSWHCQLLPPLPHLHNPKYWRVNVGISSYAFVGGGSHICVSLRPSWSTSETLLKKKNEVAGTYCLDTASHTWSKVGDWTLPFVGKVEYVPELKLCVWEQETDVKAMKRYGWLPNVGPDPKLAYLGSGRFCIARFFDFATADLQKNRRAFCCVDRCGGNAAASARSTPTVATRWRWISGRSSRRRHLLAAGGGVRDDGTLCLPRPSYSFRAGDSDASDEWGMHCFPLGDRKVVCADQSGLAFAFNAETRVVGTMCGLGKPKRMPFSLPNFSYVQIRTKKGPRLCFSSLFVMERFPEPEAKNSDGKSRQFEVFFNDMSVLHCAGSGKWSQRLLPQPPLVVRKARKGGRSSTRPGPVEITAYYFYFEDGSYICVSAGTYCLDTDDPEAWKWTQVGKWMLPFHGKVEYVPELKLWFGFSAESRRLAAADLSGMDPLSQDQESPQLVGTWQELDTPKDWRECRDPQVVNLGSASR >Sspon.01G0044610-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:80054980:80059503:1 gene:Sspon.01G0044610-2C transcript:Sspon.01G0044610-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:At3g24315 [Source:Projected from Arabidopsis thaliana (AT3G24315) UniProtKB/TrEMBL;Acc:Q1LYX4] LEENITAIESCGKAGKGTEEANSLPRLNGSAQDALQLLKSLQFRLDLLAQQLPTFDEVQSGQATLKSWDEQYKKLRVSLRNANLQAKDNIRQAAQEERALLLGGGEESTIRRRNLQTKAGMTSAAESITESLRRSRQMMVQEVERSTSTLATFDESTSVLRKAEGEYQGHRSLLMRTRGLLSTMQRQDVLDRIILTIGFIIFSLAVLYVVSRRIGLLTLQRKLADAIRSGSLSAEDLVAKAQHGSAPANVPAPPPIYDEL >Sspon.02G0039680-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:59877155:59884376:1 gene:Sspon.02G0039680-1B transcript:Sspon.02G0039680-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNLDSTTPPAAAAAEMGTTEPAERDSTTSSVSALNETHKCKKKKINKKKTRKKKIKKKKNKTREQQKLPSDLDSTVVADGQVEVEEQEATDAAASAMEGTPKCNEEDAKVSVSLMPEKETNTTVVSVSESTPKRKKRRKKKNKMQGQLDSAKASSSVVAMDIIVADKSENGCTDVVGASGHADVNMDPTNGDDPSSAQSKANDADVLLKNKDGNKGNKNCAENNDLLQESSAGRKRRRGKRKWALANGGSDFSDDGVEAHEEKIRNIYSPRGSLDMSYCTVTGCKTIDNKDKPLVLKELKKVWNKDEPNLPWDQGEFSPSNTLLVDDSPYKALCNPPNTAIFPEPYSYLNQRDDYSLGPGGDLRVYLQRIAAADNVQNFVRDNPFGQKSITESDPNWNFYVKIVDKMEKQIVDKSEKEIVDKVERSLG >Sspon.03G0036920-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:90189195:90190556:1 gene:Sspon.03G0036920-2C transcript:Sspon.03G0036920-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIGNRRKERSSKLSVRCRDLGVAVLDWISFPTIAQKVLSCDEEGISAKLPGTRGLCCCSLEEKEEKEMGGLLLPHHQTFPNSLQAAIPGSLSLHKGVQLFCSPRQGFPRSFWSGIIRSEANGNGVASPDMVSKHSKEELIAFFRDIQTSIAESSPKASKRTRKQPPDPLKEVHRREQSHGRGDGGPGDVSEERQRKVMNLEDMNVADLRELARARRMRGYSKLKKGELIDRLKGVMQ >Sspon.08G0018380-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:5873565:5877251:-1 gene:Sspon.08G0018380-1B transcript:Sspon.08G0018380-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MYWPIEDTGQGPALPIAPASGRRAAGSSARRPPPPASRTARLPTSPRARRAPPPFGGESAQPKIEADFLDPTLLLDETHYQEGYKNGYNDGLASGKEEGRQVGLKTGFQVGEELGFIQGCLDVWTSAILIDQNAFSARVRKNIEQLAALVSSYPLSDPENEQIQDVMEKIRLKFRVITASLGVKLEYEGHPTSSKQDVEDL >Sspon.02G0025740-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:94178980:94179694:-1 gene:Sspon.02G0025740-2C transcript:Sspon.02G0025740-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLPGGFLSVRVLRGINLVSCDAKGSDPYVVLSLDGQKLKTSVMKKTVNPVWNEDLTLAVMDASAPIKLEVFDKDTFSKDDRMGDAEFDIEALVQIIQMDLEDIRSGTVVRTVRPGGKDSCLADESHIIWDNGQVVQDLLLKLRNVDTGVVHLQLKWVTIP >Sspon.03G0033800-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:62042207:62046592:-1 gene:Sspon.03G0033800-1B transcript:Sspon.03G0033800-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTVTFAKLSVESYPKAAAAAVGVKGFSTVLLFVNDTEHAYHGLRTRLLHIKENHEFQKIGVSGKIHHIATARLIVHLNSPRSFKKAAAPISVSICNTVSPGGSSQESYRAKEQICYFNDNIVDVNNGKSYCRTSRIGRGEPMHYGGTVGLEFDGINLIGNIKHLMNAENSLPKWKMIEKYTNAATKLPRHIKESVLPMAL >Sspon.03G0012740-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:35258914:35262406:-1 gene:Sspon.03G0012740-1A transcript:Sspon.03G0012740-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Elongation factor Ts, mitochondrial [Source:Projected from Arabidopsis thaliana (AT4G11120) UniProtKB/Swiss-Prot;Acc:Q5XF75] MAWGQGAKRSILGILFRAQQQTARAYSSSAFQTHQLSTHVPQDGVFLRRFSSEVSSSEQMNLIKQLRQRTSAPIKDVKASLLACNWDIEAAQKDLRKRGVALAAKKSSRTAAEGLLAIAQDDKRAAVVELNCETDFVARNDVFQYLASSLAKMALSAQGPGELFMPFGPELLENMSINLDHPMLSGETTVQNAVTEVAAMVGENRWVYTHGMGRIAGLVTLEAEDSSTLLDAVKSVGSSIAMQIVATKPLFLSKELAESSGKSQMAMDKMVEGRLRKYYEEVVLMEQKYVLNDNTNVKTVLNDLSKEVGSKVTIGNFIRMEVGEGIERTEAADGSEVAAGAM >Sspon.02G0036380-2D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2D:51465312:51465560:-1 gene:Sspon.02G0036380-2D transcript:Sspon.02G0036380-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGGVGKGQRPVRESWATAFCMADHSPYRPTDREPGAPDPPPTLRRCRDWAEIPGPAQLETWRATGERATAQGPGPALGKL >Sspon.02G0006460-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:19021643:19023098:-1 gene:Sspon.02G0006460-1A transcript:Sspon.02G0006460-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDAAANHPVVAAGGDAGQSSFSANSSPAAAGGFVALDVGALSSLAGDAGPPGTPTAPPRTPKVMRSLSRKGDRKPPPADADANGTAGGGSERPQLFVHVAAGDLGDAPGSARLVVHTPLTGTPGSKSRRFGRRPAPWLDPRRVVFLFATL >Sspon.07G0004370-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:6712278:6712520:-1 gene:Sspon.07G0004370-2C transcript:Sspon.07G0004370-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASRTVGIALLVFLVIAAELVAVPEARLIQCASPNAGGAVTSDGESAAGFQRPSKWNRGRVLSGEKRSVPGGPDPQHHN >Sspon.05G0012140-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:27909022:27909369:1 gene:Sspon.05G0012140-3C transcript:Sspon.05G0012140-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DGVQLRRHRGGGGQVPRPRPWPPPPPPQHDADRRGGVAQDDAEELVVRLVRPQPRPRPRRRAQVRVCAGHARRLLQVPPPPQQLPRPPGVPSVPGHLRRAAAGRGPGLLPHRRGAV >Sspon.08G0013300-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8A:56086146:56087172:1 gene:Sspon.08G0013300-1A transcript:Sspon.08G0013300-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQCWRGPRSITVTLEGICFRYRSVVGVVERFFGDGSTANPHHEQL >Sspon.04G0002560-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:8865382:8866414:1 gene:Sspon.04G0002560-2D transcript:Sspon.04G0002560-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSSVAGALVPSVPKPEPAPSGDTSAAALALPEEAGTRPASASPQGPAAEEEGPADRDLLCPICMALIKDAFLTACGHSFCYMCIVTHLSNKSDCPCCGHYLTKAQLYPNFLLDKI >Sspon.01G0019280-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1A:72240562:72246493:1 gene:Sspon.01G0019280-1A transcript:Sspon.01G0019280-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLRNRLLIWYIYAL >Sspon.02G0012870-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:31744163:31745047:1 gene:Sspon.02G0012870-1P transcript:Sspon.02G0012870-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPVQPSSKSTKETVKNALSRWGRKVGEATRKAEDLSRNTWQHLRTAPSIAEAAVGRIAQGTKVLAEGGHDKIFRQAFSAPPDEQLRKSYACYLSTAAGPVMGVLYLSTARVAFCSDSPLSYEASGGDRTEWSHYKVAIPLHRLRAASPSANKLKPAEKFIQLVSVDSHEFWFMGFVNYDSAVAHLQEALSGFRNLQA >Sspon.08G0002330-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:3765898:3771495:1 gene:Sspon.08G0002330-2B transcript:Sspon.08G0002330-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQIEAELLILQAFIAQAGAKVGDKAFDAWLDQVRDVAHEVEDTIDEYAYLAVQAVDTGSFFKRKFRQIKKFAAWQKFHSQISQVEARIQRLGEIRNRYGILAGEIDRSNKLRKPSQLFMSDSSYLTDSSEIVGYVDEIGRLTQWLLEDKQERTLIAIFGMGGLGKTTIASSVYKNKNITRTFNCQAWVTVSQTYQVEELLREIINQLIDQRASMASGFMTMNRMRLVEAIQSYLLDKKYFIVLDDVWDKDAWLFLNYAFARNNCGSKVLITTRRNDVSCLAVDHYTIELKTLQYAESWELFCKKAFRASKDSQCPENLRFFAEKIVARCQGLPLAIVTIGSTLSYREFEEHGWESFYSQLSWQLANNPELNWISNVLNMSLNDLPSYLRSCFLYCSLYPEDYNINRKMISKLWIAEGLVEDREDGTTMEEVANYYLVELTQRCLLRVTESNAYGRPRTFVMHDLVREATSIIAKKEKFGIAYGDASSTQVPHEVRRLCIQRGAQTMNSIASSRLRSFILFDTEVPCSWIDEILSRFRLLRVLCLRFANIGQVPGMVTELYNLRYIDFSYTKVKTIPASFGKLVNLQVLDLRFTYVEELPLEITMLTNLRHLQVFVVHDLLQRSLDCFSATKIPGNICLLKNLQALHIVSASKDLVSQLGNLTLLRSLAIMKVRQSYIAELWSSLTKMPNFSRLLISAIDTDEVLDLKMLKPLPNLKFLWLAGKLDAGVLPSMFSKFEKLARLKMDWSGLKKDPIISFSHMLNLVDLRLYGTYGGEQLTFCAGWFPKLIRLELGDMEHLDWIEIEDGTMIGLHHLELVGLGNVKAVPAGIQYLRTLHQMFLTDMSKGFIQRLQGSDNYIVQHIPNIHIFYSSDSQAVNNLHLVPWLVKKFGPGAAKHAPTFTKIDDFEL >Sspon.01G0055500-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:79481228:79483681:-1 gene:Sspon.01G0055500-1C transcript:Sspon.01G0055500-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMTLTLDDLKTALLMPRELAAGFILQYTVMPLSGFFVSKLLKLPSHYAAGLILVACCPGGVHASNIVTYLARANVALSVLMTAASTFAAAVMCYSHICNPQLSCYLCFLFTSCSLMQFMTPFLTSKLAGQYVAVDPMGLFVSTSQVVLAPVLLGALLNQYCNGLVQLVSPLMPFIAVATVAVLCGNAIAQNASAILASGSQVVLSVGCLHASGFFFGYVLSRLLGIDISSARTISIEVGMQNSVLGLVLATKHFGNPLTAVPCAVSSICHSVYGSILAGIWRSMPTKNKGE >Sspon.05G0019240-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:82436120:82436761:1 gene:Sspon.05G0019240-1P transcript:Sspon.05G0019240-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding WRSRLPACTSRWHTQLSRRFSRPRPSGRCCRCSQRRASPSSSQSRSPSPAAPTPTRGGTRARPSRPAQNPQPRQAHVPPAASRTPPDADPPPAYASPARTRRRRPSPAGRTPRRGGTRTRRQRAQLRRRRLQASGPSAARRPQSSGTCTRSVACRRLRHSTTARAAVLAATTWTRRRRYYGSRGPCTLDRASSSPRRNPACFPCQQRSWFPLHS >Sspon.01G0054670-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:60129308:60130792:1 gene:Sspon.01G0054670-2D transcript:Sspon.01G0054670-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPFSRLLPAVPLLLILLGGALTPAYADNGGNRRRHTRLFAFGNSLTDTGNGAIFPVTAGGPSTQPPYGETYFGHPSGRASNGRLFLDFLVEELKVPQPTPYLAGRTAADFVNGANFALGGATALDQAFLATKGINPFVPISLTNETSWFQNVLQLLDASDYDQRKIMAKSVFYVGEIGVNDYFVALSNNSVDVALSLVPHIIDTIRSALTAMIAAGARTVVVSGMLPIGCEPQQLALFPGGPGDYDPTNGCITRFNVLAEHHNHMLRMMLRELRSNYGRSLTLLYADIYRPVLKAVASPALYGFGDTPLAACCGGGGGPNNFNFIAFCGTPASTTCADPSKFVSWDGIHFTEAANRFIARNMIKGLLSRAAAYIATD >Sspon.07G0008740-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7A:24076522:24076743:-1 gene:Sspon.07G0008740-1A transcript:Sspon.07G0008740-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVVEVAAVAVGLDPPAVAAADHALVVVLAPAGGHAVMVLEEVARLVHQRRVAPARQHEPLTAPVVPACAHT >Sspon.02G0008130-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:23836552:23843277:-1 gene:Sspon.02G0008130-1A transcript:Sspon.02G0008130-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA mismatch repair protein MSH4 [Source:Projected from Arabidopsis thaliana (AT4G17380) UniProtKB/Swiss-Prot;Acc:F4JP48] MSNEELFFGLTQGLRKFPKESDKVLCHFCFKPKKVTYEVLKPANGRRSQMLISDIIVLKTALDAIPFLSKVLKGAKSFLLHNIYQTVCENPKYANMRKRIGDVIDEDVVHSRAPFVACTQECFAVKAGIDGLLDVARRSFCDTSEAIHNLAKKYREEYNMPNLKMPYNIRQGFYFIIPQKDITDRLPNKFIQVVRHGKNIHCSSLELASPNDIFLSEASNMVLVMGPNMSGKSTYLQQICLIVILAQIGCYVPAQFASLRVVDRIFTRIGNGDNVENNSSTFMTEMKETAFIMQNVSSRSLVVVDELGRATSSSDGLAIAWSCCEYLLSVKAYTVFATHMERLSELATMYPNVKILHFEVDLRNDRLDFKFRLKDGVRRVPHYGLLLARVAGIPTSVIDASTSITSQITEQEMTRMDANCEEYRSLQMTYQVVQRLICLKYSNQGDDYIREALQNLKESYAAGRLT >Sspon.08G0007010-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:22172042:22179356:-1 gene:Sspon.08G0007010-2C transcript:Sspon.08G0007010-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAQSPVSSVVTSFSLQLQQAAFAHPHGDGQHGGSVRGRAGLRGGDAVRAGGTGAQPHPGRALEEMPIAIETDKAKTQHYELPTAFFKLVLGRNLKYSSCYFPDDSSTLEDAEVAMMDLYCERSKLQDGQSILDVGCGWGSLSLYIAKKYRNCSVTGICNSTTQKAFIEEQCRENELSNVEIIVADISKFEMERSFDRIISIEMFEHMKNYKSLLKKISRWMKEDGLLFVHFFCHKTFPYHFEDKNDDDWITRYFFSGGTMPSANLLLYFQEDVSVVNHWLVSGTHYARTSEEWLKRMDKSITSIRPIFEKTYGKESTIKWIAYWRTFFISVAELFGYNNGDEWMVAHYLFQKK >Sspon.03G0017750-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:79367529:79373256:-1 gene:Sspon.03G0017750-2B transcript:Sspon.03G0017750-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTAAGSLEWNLYHAYRLLHVKQVYMRHLCNDTLATVVNGQFPGPPVEATEGDTVIVHLVNESPFEITIHWHGVKQRLTCWADGAGMVTQCPIQPNSTFTYRFKVDGQEGTLWWHSHVSILRATLHGIIIIRPKNFSNGGDFSDNPAGATINGKLGDQYNCSGVVEDNFVLNVERGKTYLLRLVNGALFSEYYFKVAGHKFTVVGADANYITPYTTDVVAIAPGETFDVLMVADAPPCRYYMAVIANQPPLPDPQIPVFVSRGIVQYTDIPRADADRCRDQPPQMPEMPDQHDTMTTFYFHGNLSGLPTHPLLPQLRGRVDDHLFISLGKGTICREDKPSCNRGGSGEAIEVAYMNNVSFRLPEKMSLLEARQYGANDMAVQELPTRPPSVFNFTDPALIPVGPGKPLEKIEATRKATTVRRFKHNATVEVVFQSTATMQSDSNPMHLHGHDFFVLAQGHGNYHPAKHVSTYNLVDPLLKNTVQVPRLGWAVVRFVADNPGAWFMHCHFEFHIAMGMATVFEVANGATPEDTLPPPPSDLPKCIHKKE >Sspon.06G0010540-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:41708728:41709267:1 gene:Sspon.06G0010540-3D transcript:Sspon.06G0010540-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAASPPPAPAAASLVPQRHATATARGAFSRSSHHQPHPHHHRRRRLAALPAVVAPGPPKAKRASCACSPTTHPGSYRCALHRGQAAAGGGGAGAGAPLPLPASSSSSAAPVSSRLSAPRRASMANPLVRIAAVEGGDHIRRALAALVRPPPSQTRRRAEAFRPTPSRLSAMSSASS >Sspon.07G0020580-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:69977884:69982460:-1 gene:Sspon.07G0020580-3C transcript:Sspon.07G0020580-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding TLDRSGPLPRTGTDPFPIISSIIHHPRADPNCENRRPPLLCFRLFPRQTDLLPPATAVDRAAERPTPPRNRQLSSCPRRRRRRKASQPAPSCPHPPTPRFLWAPEPRRRERFRHPLFVPLLEIRTSARSSVPSVGNIMSSEDKAMASCVTSLSPKAMASEPDPNRMMISRSNIEYSQTNGQANAVLGPVAIFWDIENCPVPSDVRPEDVASNVRMALRMHPIVRGAVTMLSAYGDFNAFPRRLREGCQRTGVKLVDVPNGRKDAADKAILVDMFLFALDNHPPSSIMLISGDVDFAPALHILGQRGYTIVIAIPSSVTVSSALSSAGSFVWDWPSLARGEGIVIPRSLVRRLADYPCYVNSGNVGQFPDNQNEEEAIVYTGTSRNEYGGRPPINQMYCYNTFQTTREPSKALYTVEDGNCGTSSRPHNLSCGQSESPEAGQGLTDEQSWWVRPGDLQGLKGQLIRLFELSGGSVPLVRIPSEYLKLFGRHLYVSEYGAVKLVHLFEKMADSFVVIGKGHRKMICLCNSGDRNLKNYSSTPIILKKKNTGSSALEESTIGACQQLGSSSDDLSEDEPNINPDIDGSYVFNEHLDSFRREIQELLVCYSCPVPLGNFESLYEQRYKKIIDYESFGVTGLEELVEKVKDVVDLHEDEASKSKFLIANYTTG >Sspon.06G0024710-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:57512331:57513020:1 gene:Sspon.06G0024710-1B transcript:Sspon.06G0024710-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATTTKNFLVLLLLAALPLATLSSRAGPSSAHKSHGHKSSPSAKHPPPSPPSSPPSAPPPAPAAAALVRATCNSTAYYDLCVSALGADPSSATADVRGLSAIAVSVAAANASGGAATAAALAANGTAPASTATSADGTVQALLHACAAKYASARDALAAAGDSIALEDYDFASVHVSAAAEYPQVCRTLFRRQRPGQYPAELAAREETLKQLCSVALDIIALLSNSS >Sspon.03G0030280-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:20339313:20339831:-1 gene:Sspon.03G0030280-1B transcript:Sspon.03G0030280-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEAQAEGPALPAAPPPPLAHGSSSASPEKRGLPIPGNDGGSSSEEEEQRRLPEPKRRRACVAALDSVHSSVAEEEAEDSPGSGCGGDGDGDGDGASFSFQHARGGFVALETTPKFGSFNPPGEAELAGLDLKPAGHEADAEGSPEADDEVPASSAPGAEAKDESSQLLGGE >Sspon.02G0020230-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:66229381:66247118:-1 gene:Sspon.02G0020230-1A transcript:Sspon.02G0020230-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLISGMVMGVMVGVALMAGWSRVMRRRSTKRIAKAADIKVLGALTRDDLRKLCGDGFPEWISFPQFEQAATIVVKESVEPLLDDYRPPGIKSLKFRKFSLGNVSPKIEGIRIQNLQPGQIIMDIDFRWGGNPSIILAVDAVVASLPIQPEPKIQYTLKAIGGSLTAVPGLSDMIDIPFGTDDLAYLFFLPSDLELKPQGRLSVTVVKATSLRNKEMIGKSDPYVKLYVRPMFKVKTKVIDDDLNPEWNETFDLIVEDKETQSVIFEVYDEDNLQQDKRLGVAKLAVNTLEPEITQEVTLKLLHSVDPIKNRDTKDRGTLHLKPAAIAAAENPGWAAGNAEDGLPPYPAGPPAPYGAGGAL >Sspon.01G0020290-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:73101426:73111020:-1 gene:Sspon.01G0020290-3D transcript:Sspon.01G0020290-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQCRDLKMSEGDTTQLDLEKGLPQMSNDDDSSTPATMHKMKVDTEDAGSKIESPTPEKLESRSKGVVVSSLARNLLAERYKDRFANQFIEDEGDTDDEDYNDSISPAASRSRISRTIQLLEKHKDLLNLFNRMESSIRLLHLRKRMATFSNIATQVEILTKSSMIIFLHYRKLSYSHLAQMKHLFPEAIQIKRILLHDEKSQCMYADMEIILVMDVVECTSPDQSPSMAICEAFYSKLLNFLDTHYKGTDIPEAILPEPFNLRSRGQLYLEAPHNGHAAKPHLQGTTETTEDGLSNASHFPQSFQKLMSQKVITDGAEKTQLLPDPANLSSVNAYGTEGTNRSPKKQDKHASVTVNSEISATPSRHLISCCQEGTPKQETSESPLLAQTPAMQTPKRPLPTPLEKLEATCGHISEPCSASSARRSLNTSLKFEGSSPSYQDRMKHEATAKKGIFSEDSSSFNKSLEENDPVFFFTDKEKINLADPVGTQEKMASLHSTFDIVCDISHSTKNSLITKQELFHNILANNLEIEQMGEVEEQLHILENLAPDWISKKVINGGEILYRQCNIEAKLTECIHY >Sspon.07G0021450-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:2819243:2822389:1 gene:Sspon.07G0021450-1B transcript:Sspon.07G0021450-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAKLLLPFPSLPAALHLAAAAAAGRAPKSLFLGASLPICPPPPPFPLRLRPRRAAVAVVAQAAVKRRKEVPFDNVIQRDKKLKLVLKLRNILVAQPDRVMSLRDLGRFRRDLGLTRKRRLIALLKRFPGVFEIVEEGVYSLRFRLTPPAERLYLDELRLKNESEGLAVTKLRKLLMMSQEKRILIEKIAHLKHDLGLPPEFRDTICLRYPQYFRIVRMDRGPALELTHWDPELAISAAELAEEENRAREAEERNLIIDRPLKFNRAQIDAGEARRIAQFKEMPYISPYADFSHLRSGSAEKEKHACGVVHEILSLTVEKRTLVDHLTHFREEFRFSQSLRGMIIRHPDMFYGLGLPREAYKDSQLVEKNKLVLLKEKMRALVAVPRFPRRGAARIGEEGEGINGSLQLSNEGSDDEYDEDEGLSDMEDLISELSGGNSDADYQWGDGWFGENDDAPPDFGDDDSSPQEVRVAMKNADGSANGMAPVPVFPDGRPRERCRYLLNLMTKKVGNKKCKPVTGSTNVHPETNR >Sspon.01G0003750-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:10627972:10628532:-1 gene:Sspon.01G0003750-1A transcript:Sspon.01G0003750-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding CWFCCSCKTLRMYEARHCGSTALFRCISTNLASSFSQPSLRMSSPISEARLMKNSTTRPLKSPHCFSRSTDLRNVAYRASYVPGSATSAHTSIWPSRSRHSRRNRCSMGWNAAGKLGRALSPRYAIATRSCASAAACGSENGPMARHEPRSGSTSTRAIHPPPLGPRVTPVYWRRRRGGRGTPPPGS >Sspon.08G0009830-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:37433256:37438253:1 gene:Sspon.08G0009830-2B transcript:Sspon.08G0009830-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALQLRRLLPHGYAQRHRAVTHALSPPPPLAMLQFEVFQRYSSTPWPFLPFRPCEMCGVAFRSNSRRKISSRNNFGVALQPKSSAFFTPGLFGNRSSVCFRATDSCGIALKVNNIGNSRPFSTTYSKKLRFLIKNKTSFSNLNMRREDGSVAHSLFHRSEKRQSTLATCSTIADEASTSTSNSAKSVTDAKTDTAKRKSSRGSKKEADGDMKEKEVHTKKKRISARTRKAATKTTESTSANQENKKTDNCKSKKGADSSKEKKVNNRSKSKAKVSAASSVPSEAEICMKSSIDGSGIEKKSLVSLYPPRAKSVVVVESATKAKVIRNYLGDMYEVLPSYGHVRDLAGRSKSVRPDDDFSTVWEVPAAAWTHLKSIKVALKGAENLILASDPDREGEAIAWHIKEMLEQQGALGYDVTVARVAFHEITENAIKKALMSPRYIDMDLVNAYLARRSLDYLIGFGISPLLWRKLPGCQSAGRVQSAALALVCDREAEIEQFKPQEYWTVQTYFKMQSADPSNGTCIPFRIKHLNSKKLDQLSIPSQEEAQAIEKRIHSSKFEVLGVKRSKIQKNPPMPYITSSLQQDAANKLHFTAGHTMKIAQKLYEGINLSSEETTGLITYIRTDGFHIWSEVRFRGH >Sspon.01G0056980-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1C:94941524:94942162:1 gene:Sspon.01G0056980-1C transcript:Sspon.01G0056980-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLEVFKRLPPPRDVIRCAAVCRRWRRVVSGAGAACLPRPPTHFGFFRNYGPSPQPPFVPTAGLSLDIGFLPVPPACGAVLVDARNRRLLLRELGPGYPRELRLLVCSPLEKMYVRLPPLFIAGHRVACCVLVPGEGVAFRVVVVLFGTDPNHFEVLVYSSVSSAWESATGPVHRNLVPRQGPSVVVGDVMYKLQGEEKYIMVIDAVQMTLSA >Sspon.06G0013940-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:73003080:73013634:1 gene:Sspon.06G0013940-1A transcript:Sspon.06G0013940-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPNPRAPLLLAVIVLALGASVAAGAEDDASGISLGRRAGGFLHGLKKKDALVEGDHGVALDEVGPGLFDALFASLSMILVSEIGDETFIIAALMAMRHPKSIVLSGALSALYVMTVLSTGLGRIVPNLISRKHTNSAATVLYLFFGLRLLYIAWKSDPKGSQKKEMEEVEEKLESGQGKSTIRRFFARFCTPIFLEAFILTFLAEWGDRSQIATIALATHKNAIGVAVGASLGHTVCTSLAVVGGSMLASKISQRTVATIGGVLFLGFSVSSYFYPPL >Sspon.04G0005090-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:10407422:10416139:-1 gene:Sspon.04G0005090-2B transcript:Sspon.04G0005090-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDHGKSDGWDEVLEEDDELASVCKYPISTSFLSSGTSRRSKSVKKPRFSLRGYDFVPLDVKTDNLCTGGQEGISAVPSTRASQTMVAERLENVEEQTEDLAPEFALPTKKENTSVSELLDNLQRRSGSVVRTPSLGRSGSYVETASLSHQHTRTKYWKPKLLSSEKKTLAILGDRSIDSEDPLEHDATQNHLSLVNKDENQQTMADLFQEVFNPTNMDGAMNSMRSTGAGNYGRMQQIMQMEKDWHAEFLRRYSREQGDLKGTTVQIMSRSLEGKLTVCSCVFQEKSNDAAISNASADSTIDESRTRRTIIFSPKICDNVDLLVGNIIHIFPPWYDSLIRLYKE >Sspon.02G0038950-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:47219332:47221855:-1 gene:Sspon.02G0038950-1B transcript:Sspon.02G0038950-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVAGRVFRSSAIVKRHDYTGIESEDGYHIRIGCPLNIPKTRENGFSEEVCESFKYGFPIQWQRLVNPKMVPDNEHARSPSESTTGAPSPSVEDYMAYFLSDSFSSSITYDYDLTENDFYSSVGYSGNTDGLTTQSPSNLPDDNAGNITASLGLYGLRMGVPEKPLAPPGESCNCGQESYQHESTQIDASKQEIVNRSISSVSVKQSTGSISSNSKVDGNILAPSKISSVVNEGYRSTVGCGQAEEDADIQQENMHSCSSEHGMVTLPIDCTSSQLGEPRIPKSGKDSVNLGTTDALKLPTEGMTTPKLGAIRGSEDSTGRRLRSGKVLEMPSGGPMKRGHKQKKIQLEASSEQMVNQGATSTADLTSHENDFSAAEVVVEEKLESYDSCRKGRVRPAEGKGKRKRESA >Sspon.07G0009080-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7A:25269347:25273947:1 gene:Sspon.07G0009080-1A transcript:Sspon.07G0009080-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPPTTPRLLLSPTSKDLLTAASFASPPSPTSDDPASPLDAFASDPVLSAFLSPSFSPSDFSSAALSSGLAASRAEQLQDAIRLLRRHLRVEVLRRHPLLLSHLLSLRSASASLSSLPSHLNLLSSHLSLLSSHLSAPRSHLAHSSASLSSLLATADLLLHSHRLVRLSSRLLASSPAPDLARQAELYREIRLLYEEKNLSGINAVDEEMRKVDAAASKLRSEASAVIDRGVSESNQNDVWCGLQVYYNLGELKTAVEGLVGKHKAAGAKSVAVALDMKAISMTAGVGGGPGGVQRSGTPQIGGSKKAAEALWDRMRQCMEELHRAVTAAWQLQTVLTKKRVPFTQMLFLEEVWQEGEPLITERVWGAIVKAFASQMKSTFTASSFVKEIFTLGYPRLFSMVENLLERISRDTDVKGTLPALTPEGKDHMISAIEIFQTAFLALCHSRLSDYVNSIFPMSNRGTIPSKDQISRLVSRMQEEIEVVRTHGHLLVLVLREIGKTLLLLAQRAEYQISTGPEARQVTGTATAAQLKNFALCLHLQEVHMRISSVLSTLPNVASEVLSPSLGVVYGVACDSVTSLFQAMLDRLESCILKMHEQDFSGHGMDAAMDNNASAYMEELQKCAVHFRSEFLSKLLPSSSSRSETLCTIMVRRMASRVLIFFIRHASLVRPLSEAGKLRMARDMAELELAVGQNLYPVEQLGAPYRALRAFRPVLFLETSQLEKSPLLQDLPPSVILHHLYSRGPDELQSPLQRNKLTPLQYSLWLDSQGEDQIWKGVKATLDDYETWVRSRGDKEFSPVYPLMLQIGSALSHATT >Sspon.01G0000170-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:1472053:1476043:1 gene:Sspon.01G0000170-2D transcript:Sspon.01G0000170-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPGAAASSLAAAVLDAATPPAAAAATSRVIDYLARHADDQPRAFFADAFPSLLYRLFVSAPSSPSFIDLAAADPALADLLLSLLSPTGPLLAAADRLALIRYVFPSERLPDWLRLALGSPPSTDLASPLLSRRVASELHLSVFEYYLFWFAYYPVSSASSAAPASNPPLTSRARLETWVSTIATTAIRKPGQKPESSLYLRLLYAYLRELVLTRTPLGKMPGGGTLLHRPPSDGADAIDSFARAEFFLHTLVQFWLVGDDFSPLPMQTCHALGLRLPSRARADLSERPPSPGLGDAVKLLVMYLNCCDGRALVDARMPSPQGMPVSNGLCDTQVGFWNPLIQRPLYRFVLRNFLFCPIGGVIKNATQVFSVWLAYMEPWKVTQQELDGYGKQQAGDEKEPQTTKMVYNSSWRTYVLSNYLFYNSMIVHFLGFAHKFIHSDVASVLLMVHKVLEVLSSSPELLGLLHKVDAACHSRLVASSPASDEVLKYVPSIREQLKDWEDGLSESDADGSLLHEHWNSDLRLFSYDENGAYNLLQLLLIRAESEILRLPGDTQQALQTLDSIKSQMKTAFQGHIERINGNTSLEKLHNQHHEVRGEVFTPKHPSLRKGSWADVKYKGEWMKRPISETEVAWLARILIRLSDWLNDALGLDCADAEGSPPGATYVQFDGNELNTVGGPKDAARMALGAVCSLMVLVGQAVLKLMRSRRVKISLRVFASKKLLSAAVLLYAVVAVTRNVSR >Sspon.04G0012910-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:44904440:44908626:-1 gene:Sspon.04G0012910-1A transcript:Sspon.04G0012910-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDLGARRFIPPRRIEDQDTGSEWKLRRDEHVQQMIKDRWDERLAFLAVDVVRKDVCNDNVSSATSKARCVSGVTNGSNVEATDVEGLRTRGALAREAAAKAKREAQEAELKAAAAREVAEAAAREEIEATRNEFAVAREDERGLEVMALEVVAPEASIQSTTTRRALFLGDKLQAEPVKKMTPRKK >Sspon.02G0028610-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:125930123:125932602:1 gene:Sspon.02G0028610-1T transcript:Sspon.02G0028610-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGEGSGFDAAHMKKPRSSAARRPRPEGGGPAAEQRDNNVSPPSPSASSRSGPRRLLLTSDENATGPDGGNRSKREFLLNAPSPERATKGSIRLRSEAAAGGSRKSEGSSSHGAHAPEGNRGSSPAGEKLGKVKLKIRNVLPKPNPDTPPAKPPRPVDSRHQQKPGHLNNGAKDSDRSTSSRDKKARKERSIEETMAQEQAGKVQREPSSDPVRKSKRLAKKSVDNEFDEDYDTSNVGTPEDWDGTREPKSKGSSSKKSASKKGKNRNKVYEADNDFVTSRSGKKRSRESADDDNTEEEPTSDSEPDVEDTEQKTATESPVNVRSEPLTTRRRALQSWMDGSSSSTVEFPDGLPPAPSRSKKDKLSEEEMLAKKAEAAQRRRMQVEKATKESEAEAIRKILGMDSDKKKEERKQKEREEKERATRAQNIAANSVRWVMGPTGTVISFPHAVGLPSIFDSKPHSYPPPREKCAGPSCTNDYKYRHSKLNLPLCSLKCYKAVQGNA >Sspon.02G0055800-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:12750371:12753490:1 gene:Sspon.02G0055800-1D transcript:Sspon.02G0055800-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVKRSLLLCLLLLLPSFAVPVHGQPSRGIRLELTHVDARGDLTGPDRVRRAADRSHRRVNGLLAAVSPPTASTSTLRSDGGGGGAAATAAASVHASTATYLVDLAIGTPPLALSAVLDTGSDLIWTQCDAPCRRCFPQPAPLYAPARSATYANVSCGSRLCEALPSLRPSSRCSASASAQVRGCAYYYSYGDGSSTDGVLATEAFTFGAGTTVHGLAFGCGTDNLGGTDNSSGLVGMGRGPLSLVSQLGVTRFSYCFTPFNDTTTSSPLFLGSSASLSPAAKSTPFVPNPTGPRRSSYYYLSLEGITVGDTLLPIDPAVFRLTASGHGGLIIDSGTTFTALEERAFVVLARAVAARVALPLARGAHLGLSVCFAAPEGRGPEAVAVPRLVLHFDGADMELPRSSAVVEDSVAGVACLGMEDVSRHGVQSCSSAADACCCSHRLPLAGPANTAHGFRATLARVHQHPGNYSAAARRDARRLALLSYRAPAGGAATTTSTASASSRRGLLEALAENGAGAYHMTLSVGTPPLAFPAIIDTGSDLTWTQCAPCTTACFAQPTPLYDPARSSTFSKLPCASALCQALPSAFRACNATGCVYDYRYAVGFTAGYLAADTLAIGDGDASSFAGVAFGCSTANGGDMDGASGIVGLGSSALSLLSQLGVGRFSYCFRSDADAGASPILFGSLANVTGDTVQSTPLVRNPVAARRRAPYYYVNLTGIAVGSTDLAVTSSTFGFTAAGAGGVIVDSGTTFTYLAEAGYAMLREVFLSQTAGLMTRVSGAQFDFDLCFEEAGDADVPVPRLVFRFAGGAEYAVPRQSYFDAVDERGSVACLL >Sspon.03G0031560-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:26780013:26780844:-1 gene:Sspon.03G0031560-2D transcript:Sspon.03G0031560-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKDTFALGVIILILLFNVSAGQTIVIENDNAVTARLTKGHSRKILTEVQDYDYGGANSRHDPRRKPGIGGRNG >Sspon.01G0054040-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:47578878:47579066:-1 gene:Sspon.01G0054040-2D transcript:Sspon.01G0054040-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RCGGRSPDGVCVSTERSLLVCVPSMHTRPIHACNACLTKLNCHSPTSFGISRLLRVFALLCSH >Sspon.05G0021760-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:91103333:91104024:1 gene:Sspon.05G0021760-1A transcript:Sspon.05G0021760-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MCIVQAAAQAVHAGVRVRAILPSRGPSQVRHRPQGLRRQQCQQDAAGAACSAESRRREQPGVRGERTHKGSRVRLRRCHLLPPAAGLPAPGAARPRQGRDPLRPDATRRVSFSCCCASTGKATTPSPPPAGDGMRGLWQPARAEWPDDEHVEQH >Sspon.05G0020350-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:88650866:88656524:1 gene:Sspon.05G0020350-2B transcript:Sspon.05G0020350-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LDGTLLQYVLSSSPLQLPVSRMAAKPLLPIPAAAAARLPFRLLAPPAPPPRLLPFLARPFLPQRRSLSASALPAGRRSRPPAPVISEGRDDEDAAVGRPVCPGCGVFMQDEDPNLPGFFKNPSSGSQDETGGGGEVLLAADTDAFLEDQEEGVAAEDASEAELEGLDSDIDEFLEEIDDGDEEADGSPVKGATDMDAFASDWDSDWEMEEDEDEKWRKELDGFTLPGVGYGNITEETIQRLKKEKLSKSERKRRAREAKRAEAEEDLAVVCSRCHSLRNYGLVKNDKAENLIPDFDFDRFISSRVMKRSAGTPVIVMVVDCADFDGSFPKRAAKSLFEALEGRRNSKASETPRLVLVGTKVDLLPWQQMGVRLDRWVRGRAKAFGAPKLDAVFLISVHRDLAVRNLISYIKESAGPRSNVWVIGAQNAGKSTLINAFAKKQGVKITRLTEAAVPGTTLGILRVTGVLPAKAKMYDTPGLLHPYIMAMRLNNEERKMVEIRKELRPRSFRVKEGQSVHIGGLTRLDVLKSSAQTIYITVWASSNVPLHLGKTENADELREKHFGIRLQPPIGPERVNELGHWTERHIEVSGASWDVNSMDIAVSGLGWYSLGLKGTATVSLWTFEGIGVTERDAMILHRAQFLERPGFWLPIAIANALGEETRKKNEKRKAEQRRREEEELLLEEMVYSKERLFFVDGMEDQDECIDDKAGVLAAPEATMKVTTMASSSAFYSSHVIVVVFLSALLLSATHHYTSHAQADGIDPLLPLCKSIGGGSRDFGIDFCISALGSDNRSRDAGPNFPVIAIDLLAANATSTGAKIGGLLKTAGGHGGGGDEVTRDALESCQALYGGIVGLLPGCTALVDDGKFDRAVLTLERTASAAKECEDAFTQKKLSSPLAVEDDAAFKLAKLAVSLL >Sspon.03G0040250-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:27733581:27744809:-1 gene:Sspon.03G0040250-1P transcript:Sspon.03G0040250-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGFNGDDNDGHHFNDDGYASGLAGGFNSGYTGAFNSGYGDGSFNSAYGDGGFNSGFGEGGHTRDFLSQPVSVSASGAHTSAGAPPPAFASSSNPSPSQLRLDSLDLNASQSWPDMEAYQGTSTNRVLHINEDTDEVCLRCTRTNLAYAATSRAPGPAERTPRMRLLKPKEAAALPREALEAHIVSLLRGCRGLLALRSAHAHLTRLRLPRLAAAFALSKLLASCASAPAPQAAAASSYARSLFDQIPDPTAFCYNSLIRALPAPAPALAVYRRMLRAGSPHPNTFTLAFALKACAAVPATGEGRQLHAQALRQGLEASAYVQTGLLNLYARCEQVALAMTVFDGMAPDKSLIAWSAMISGYSRVGMVNEALGLFREMQAAGVEPDEVTMVGVISACAKAGALDLGKWVHAYIDRKGITVDLELSTALIDMYAKCGLIERAREVFDAMVEKDTKAWSAMIVGFAIHGLVEDALGLFSRMLELKVRPNNVTFIGVLSACAHSGLVDDGRRYWSIMQNLEIKPSMENYGCMVDLLCRSGLLDDAYSFVIGMPVSPNSIIWRTLLVACKSSNRIDIAESASKRLLELEPHNPENYVLLSNLYASISQWDRVSYLRKKMKDSNVTAVAGCSSIEINGYLHEFVVSDDSHPEIKEIRLVLREIADRVRRAGHKPWTAAVLHDVGEEEKEVALCEHSERLAIAYGLLKTKAPHVIRVMSESTSWTAGVVAAYYNEDYPGVPGVAAAAYNEDFLSAPGVRFVPTDQELIADYLGRKLRGESLPTCVVHDGHNAYAEHPKKLVPKLGEGIEGSWYLFSPRQRKYAGGMRPKRAMGDDEGHWKATGAEKPVLGGTDGKEVIGTRRALTLHEDTYEVGSRGRRRRAKNKSASKPSKWKMVEFVCSNSCRAPGNDTALDPMLLNDFVLCKITNRSLSVEGDEEQPTSDGGGGGEPYEKHLPEQQQAGGGGAGTQAGQQQQGPSGGGAASHGNQQPAPAEAPLPDYGHDLVAQFLIDVDCDFN >Sspon.02G0042390-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:82548427:82549286:-1 gene:Sspon.02G0042390-1B transcript:Sspon.02G0042390-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GCRATGAPAQVPPWPFRAWHWAIHGREGAPAASDRGRGAGVRERQAVQRHPPAAPGARQAYGRQGPPGLREPQAIPARVTAPARAAPGAGHRRPLPQHPEPRRRPAQAAARGQRCQHGEGGGEAAAGSAGGRLVPLVAGEHGGRRRRRRRGHHQ >Sspon.02G0018930-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:62439357:62448146:1 gene:Sspon.02G0018930-2B transcript:Sspon.02G0018930-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTCIMSASKQNKRQTNSTNSEQCRPGKKTKLDSSHCLVSLKPHIGLKWDQYLRKVVPEKEQVGILWSDMAPFMESQKHCSGLADVTYVPPETFSLKSLRGVLSYEVWSTSLTEAERKFLIQFLPSEPDAEEIEKIITLEILSSVGKFSDLIPVDPFYFAFLGSSSLCYGDIHPDALLNKEKHIKKDEKAYHVNLLNYHSNMVETLKNWRKRWLSCGDTENLFRTQLNHIKRLKQSGDGIQTKHVSRVIGGLDKSHVKPYGALLEDEQRRLREHWLKMSCNDLPASFEVLRERKVLMEKSRKLLGLELEQKNVSVLRKADQLPYKTKGLGQPGASENDGTPVFQNDQVECSPQSILQGGHDQSALQDQDDEQSVYHIHCINVEDHDLMVVRGIDITSQSEQNSEWPDQNRNGVSCVDKGIYCCANNPDKQSEVLMDIKLCKDGLVVDNPGCKNMQLEDLDGVSYKGPSVHAYEQDQDLESIGHAVVNHNCHHSQNISSEMSHSKMTTVIDQDETENVMIPSCSSSHLPKSSVEQMHVEDFLDLNDQAAKVEKVRWQLAGPLQSHYCPLENIMYNGSGDLQIKQPYLSSGRHNSSVYLNNVISSQPQPQLATSAFPVDNPASVIEPFSNLQSNGQLETTKDIGAVSYPFRHANSMKQSTALHCLVNKRLAQSAPFPRLLQEQHQLIDRSDNSLYAQLHEDYYTDVSFPTKVNLQISEQHSYAASDSMDHRYNWFPQGSQSHINNDNQLGLQSGNCLPQALPSGSSTDGTLFSAISQYKQPSVHMGHGESSRRQLIEPRNQFGPPQNFLSRSQDTNPTFPDMYGFTQNMASGTSSQVAPVGSLDSSHWTNFIQQNPGMPSDFTNRPFRGPWTR >Sspon.01G0031020-3D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:103498939:103501085:1 gene:Sspon.01G0031020-3D transcript:Sspon.01G0031020-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVLFENPAGPVQGMFGWARNDRGRGPTPWISSAWKHSRPTVKAERPTVCSIPAHPRLFPSPPSDPISKAPAMDLPASRGRWRRRSARSHLPLVVAVLVLLLPTSLLFSSAYSTMLRALLPAPSTPGGADTPRPRCGGSAELEGERFLWYAPHSGFSNQVGEFRNAAVAAALLNRTLVVPPVLDHHAVVLGSCPKFRVTDPASLRAAVWDHAMQLLLDRRYVSMGDIVDLSSIKSMVRTIDFRVFVSLWCSVDMRKTCFSQLCCAVSGGSSMPDDYNRCRSLLSGLGGSEKGCVYHVQDDCRTTVWTYQQNDDGALDQFQPDEELKRRKKISYVRRRRDIYKALGPGSQAEDATLLAFGTLFSGSYRGSESYFDIHESPKDQRIQRLLDKIEFLPFAPEIMAAGKEFAKNNIKEPFLCAQLRLLDGQFKNHWKATFSALKEKLKAVELEIKRNKTNGLIHMFIMTDLPPANWTKTFLANVATDEQYKLYTLKESDELVLQTAEKLMAAEHGVRSGFLPKIIESTEKDCDPVQLPEILLSIEESVCSCASLGFVGTAGSTIAGSIETMRKNNVCKL >Sspon.01G0019820-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1A:73833960:73838654:1 gene:Sspon.01G0019820-1A transcript:Sspon.01G0019820-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVYVQLGGVQCLALLDSGSTHNFVSGSVACRAGLQFQPCPGAGVTVANGDRVACRGLVRDVGIRIADEVFSVDCYSIPLDRWDMVLGVSFLRTLGPILWDFDDLCMAFTRGDHRVFWRGVGSTRHDVQSTRLYSVRTNEQSVLTRLLDSFEDVFAEPTGLPPARPCDHRIHLLPNTAPVAVRPYRYPQLQKDELEKQCAAMLAKASSAQVQPHSRLRALNKRTVKDKFPIPVVEELLDELHGARYFTKLDLRSGYHQVRVHSGDIEKTAFRTHHGHFEFLVMPFGLTNAPATFQSLMNAVLQPFLRKFVLVFFDDILIYSKSWSEHLKHLNAVLTTLRQHQLHVKRSKCAFATTSVAYLGHTINGSGVAMDGDKVEAVATWPQPRSARGLRGFLGLAGYYRRFIKGFGTIAAPFTSLLKKDAFCWSPEALAAFDALKQALCAAPVLQLPDFDKPFFVDCDASGTGFGAVLHQEEGAIAFFSRPFAQRHLKLAAYERELIGLVQAVRHWRPYLWGRRFTVRTDHYALKFLLDQRLSTVPQHQWVSKLFGFDFSVEYRPGRLNTVADALSRRLEDDLSLHTLSTPVFDIYSDLRRELQEDDQLRALRDSIVEERGHPWRLVDGLILHGRRIYLPATSAALQTALQLAHTTGHEGMQRTLQRLRADFFVDNDRRLVQDFVRSCVTCQRNKTDALHPAGLLQPLEVHGKSVILTVVDRFSKYAHFIALSHPYTAISVARAFFEAIVRLHGFPSSIVSGRDPVFTGHVWRDLFRLAGVQLKMSTAFHPQTDGQSEAVNKMIAMYLRCLTGDRPRAWLDWLPWAEYCYNTAYHSSLHTTPFQVVYGRPPPALVPYTAGSSRTDAVDVLLQDRDEFLADVRERLLQAQVYSKKQYDARHRALEFAVGDWVWLRLLHRPAQSLVAGPIGKLRPRFVGPFRVLERIGTVAYRLELPDGARIHDVFHVGVLKPFRGDSPPTSPPLLPPMRHGRLLLEPARALRAQRRRGEWHVLIQWLHLPEVDATWEPLDDFRARFPSFQLEDELFVEGGRDVMFGKVYERRAQRRG >Sspon.03G0034230-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3B:69718450:69718822:-1 gene:Sspon.03G0034230-1B transcript:Sspon.03G0034230-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GKGLGFWFAASWAVEVGSAGTTAHRRGRGGGDREEAEGQPRFRFPTLETLIWKLMSSSRSSWSSSGADQSSSPAVSPAAMPSDGSGEAGIRQEESLGEGSRGRRGGGGRGRWRGDEADADPQSK >Sspon.02G0030840-2D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2D:92926654:92928729:1 gene:Sspon.02G0030840-2D transcript:Sspon.02G0030840-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASCLLLLHLAFLFVAAAGQDNTTMEPAYASCSTTGNYTGGSQYKKNLDQLLAALPAAASDNGGFYKGSVGAGTDAVFGLIMCFADSDASKCLDCLSRAPAGITAACPGSRSVNAVYDACVLRYSAAPIPDAADLDYVPPVSIATPTTSDAVRASWVPLMRKLAGGVETSPLRISNDSTPYSGPQKGRMYGLAQCTRDLNASECNRCISSYAYKLWSLFPNNISGALRGYSCYLRYQVGAFDVTLPPEPALAPYQAVPGTRPAHPPSPQELPGSFSPARPSSSSKRGVVTVGMPVSLVSVLVTLGLSAFLLIRRRREKDRFREEAREQEREQCDFFDDDEPEMEDEFEKGTGPKRFRYGELAIATGNFADDRKLGEGGFGSVYSGFLKEMNLHVAIKRVSKGSKQGRKEYATEVRIISQLRHRNLVQLVGWCRGGGDLLLVYELMPNGSLDRHLYSSGSEDADDTLLPWPRRHDVVLGLGSALLYLHEEWEQCVVHRDIKPSNVMLDASFHARLGDFGLARLVDHDRGSHTTVVAGTMGYMDPECVITGRADVESDVYSFGVVLLEIACGRRPMVVAAARRGHEGEGVVHIVEWVWEYYGRGAVLDAADARLKGEFDAREVETVMLVGLWCAHPDRSLRPSIRQAVNVLRQEAPLPRLPARMPVATYMPPPDAFYYTSSVTTGGTAPLSPA >Sspon.01G0055880-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1C:84941750:84943013:-1 gene:Sspon.01G0055880-1C transcript:Sspon.01G0055880-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSMAGGGAPSRSASTIVANIARKCIASLPFTVGGHRWHISYYPNGNKSETKDYISLFLHLHDRVTKPVEAQFMFRFVGVVAEQPLTLGELHSFSFGKGWGHYLIKSEDLEQSKHLRDDSFAIRCDVVVVTNEFRHLGSLLLTEKGADVVFDVAGETFAAHRCVLAARSPVFSAELFGAMKESDTGGVVHIEDMEPRVFKALLYFVYTDLFPMTKRTKEVEEGVVEAEEGDVDDDDDEGVFSQHLLTKEAEEGGNDDGDEGVFSQHLLTKEAEEGGIDDDDEDVLSQHLLVAADKYNLERLKLLCESKLCDYIDVGTVATILALAEQHHCHELKKVCFHFLSSPANLRAVVASDGFKHLSRSCPSVMEELVAMLGNLVP >Sspon.04G0033790-2D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4D:68454873:68455499:1 gene:Sspon.04G0033790-2D transcript:Sspon.04G0033790-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLKLSCVHHGSLSRQAHLPASPAPARVIAADGSLKELPASSSSSSVSDVLGQSGDAASPSSFFVCNSNALYFNERPPALAPGEVLQPGHIYFVLPAAMLEKPLSTADMAALAVRATTALASSSNSKPRRRHGQRRGRGGGKKKAVRVMPVREEMEDGGENVFFNEKLNQQTLGEFGMLLSPAKKDEKLAAAAATSRLKRVLSIIQEDT >Sspon.01G0023530-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:89925147:89927116:-1 gene:Sspon.01G0023530-2B transcript:Sspon.01G0023530-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCTSRKVRIAKVTTHTSAEASRSCETIGTESAQSNHSKNQQVDHENPVALNTEQPCPLIVNSLGKLGDDHQSHLLETTEGLVSKLKKVPQSLTAPFKGLENRENVVLGSNNLGSSCSTADLGNSVLGKSGDKSNRMKDSGASLSSKHTSDGCKNMEGKSVKAKKTVVDAHKNTFWTVDSLRNGKDKSEAHGDSNRDILNGFTPGGDKEEVTLQLDHRAINELLPRSMATNVQQLPTLQNDVVDTVMPYSPNDGCEELFSCPGIKNISSVRERSVDPINISSSSHDTIESSESSERFRECQKASSCCRRKTVKMAMASSSSEESGEDTMSENVSLEYVLACRSYLSKAQKKRVMKLIQEIQPEFTIFISIMRRNNVQPPGPFLGITKEYAIAHFPDKTTNVTLETPGKSKKWHPKFYKRDESRKNMLMGRWLDFVRDNHVQEGDICLLVPTKDEIRCTFMVYVLHETTHSRGGAGFQMGGPCPGASSAKMASEIHIEEEPTAG >Sspon.04G0025510-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:31005438:31007641:-1 gene:Sspon.04G0025510-2C transcript:Sspon.04G0025510-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEPSFAERSLIFHKDYLNDATIVTSSAVNITEHVVLTADGHSLPYDYLVIATGHAFTSPASRAQRLKEFQRDNGKIESSESVMIIGGGPTGVELAAEIAVDYPGKKVTLIHRGPRLLEFIGEKASEKCLDWLTSKKVDVLLQHQLTWAHYQTQRRCTRHQAEKQPLSSWLHDTILKESLDSKGRVMVEKDLRVKGYNNIFAIGDITDIPEIKQAYIAHKHALLVAKNLKLLIKGLPNSKLATYNPGYPLALVSLGRKEGLLQLPLLTLCGCLPGKIKSGDVFVGKTRKNLGLNG >Sspon.03G0003700-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:9615301:9618556:1 gene:Sspon.03G0003700-1A transcript:Sspon.03G0003700-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAACPLLLLAALLAVSATTSALTDDVLALVVFKTDVSDPSGRLATWTEDDDRPCSWPAVGCDARTGRVTSLSLPAASLSGRLPRALLRLDALLSLALPRNNLSGPVLPNLLTALPRLRSLDLSSNRLAAPIPAQLFAQCRAVRSISLGHNQLSGYIPPAVASCASLVSLNLSSNCLAGPIPDGLWSLPSLRSLDLSGNELSGSVPGGFPRTSSLREVDLSANLLAGEIPADVGEAELLKSLDFGHNLFAGGLPESLRRLTGLRFLGAGGNALAGELPEWIGEMWALERLDLSGNRFAGDIPYTIANCKNLVEVDLSRNALTGDLPWWVFGLPLQRVSVAGNQLNGWVKVPDDAAMALRVLDLSSNAFSGEIPLRITVFAGLQSLNLSSNSFSGQLPVGIGGMRLLEVLDVSANRLEGTVPPEIGGAVALRDLRMGRNSLTGRIPSQIGNCSSLVALDFSHNNLTGPIPSTMGNLTSLQVVNLSQNKLNGTLPVELSNLPSLHIFDVSHNMLTGDLPNSRFFNNIPESFLMDNSGLCSSRKNDSCSAVMPKPIVLNPNSSSNPSSQTTPSAPSNMHHKKIILSISTLIAIAGGAAIAIGVITISVLNRRVRARAAAPRSAPVTALSDDYLSQSPENDASSGKLVMFGKGSPEFSAGGHALLNKDCELGRGGFGAVYKTVLRDGQPVAIKKLTVSSLVKSKDDFERQVKMLSKVRHHNIVALRGFYWTSSLQLLIYDYLPGGNLHKHLHECNEDNLLSWMERFDIILGVARGLTYLHQHGIIHYNLKSSNVLLDSNGEPKVGDYGLAKLLPMLDRYVLSSKIQSALGYMAPEFACKTVKITEKCDVYGFGVLVLEVLTGRRPVEYLEDDVVVLCDLVRSALEEGRPEDCIDPRLCGEFPMDEALPIIKLGLVCTSQVPSNRPDMGEVVSMLELVRSPQDSAEDELV >Sspon.07G0020240-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:78458726:78461936:1 gene:Sspon.07G0020240-2B transcript:Sspon.07G0020240-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VTFLRFNNAELLPYFRTPRSAAASRRPTRLRLRLVPSLLPHQEYKGAFVVEGGERWSTGRWTIRARRRSLSWRRTIPSPTLSDSPRVAFCGYSIPHPAENKVNIRVQTTGDPAKDVLKDALQDLMVMCQHIRGTLDTAVADFRGNKTAEAMDIDLNKK >Sspon.03G0024270-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:92578613:92580983:-1 gene:Sspon.03G0024270-3C transcript:Sspon.03G0024270-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGGELDAFDAGRCADGYALGLAVGRRFAEVIRSRMRQDLVLREQLLPFASTAEAQPLLAALQSANRERYPRYWDELVGTADGSGVPLLHDGNVALLGHTYLVRATLPDGASFTAYTYAGELPSCAFGFNSNGVAFTLNSVPPVNDEIVAGAIGRNFVSRDLLEAKNLEDAIHRVCSPTVAVGHSYNLMDVRGRRIVNVETASGSRFAVREAGAVPFFHANMYRHFQLKQVQDENSMSREKRAAQCPVDSKATALWLLGDTADEKYPIYMTGDSQSVTFFHVF >Sspon.04G0000790-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:13290565:13292437:-1 gene:Sspon.04G0000790-1P transcript:Sspon.04G0000790-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATAMDHDGGGDVVTPGELLGNSLTLAAGRGAYADGRSVRASVTGRRRIVPPAPGSEDQRSTVEVVGHKAHGAVPQPGSVVIARVTKVMARMASADIMCVDSKAIKEKFTGMIRQQDVRATEIDKVDMYQSYRPGDIVKAMLSLGDARAYYLSTAKNELGVVSAQSIAGTKGCKMQFIGGTLVPISWTEMQCDLTGQIEQRKVAKVE >Sspon.01G0009010-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:27314107:27318035:-1 gene:Sspon.01G0009010-2D transcript:Sspon.01G0009010-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSCACARLVPPLFSPAPSPKPRPAFAHGPTPARSRAVVALAGAASRDDAPAPLSGFDFLALKRELEEEEEAVVAVDAKEGGGAVNEDDGEMEAERSAGGTRRRRRRQMTRRSALLAKQVISVSSARSLGFVSQLWIDAASWAVALVEVRPSLLSGEAEKFLFEDIYQVGDVVLVENESVIDNEHKLVGLHSLVGYNVVTSRRRNVGKVRGFTFDISSGAMESLELDSFGLSIVPSSLVSTYCLFVEDVLDIVSDTIVVHEDAVSRVQRLTQGILGTQKIHGPGGEIEEYSRIGGRRRRRANGQGKSGGRDRELRREARHQEDDWELPMDY >Sspon.06G0012430-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:66118545:66119638:-1 gene:Sspon.06G0012430-1A transcript:Sspon.06G0012430-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVKHAVAYRKCRDSGHSVDDVYGKIISIASNARRCYAADDAKVKGLSDAEFATMMFLDGCFLLWLMAGSGDDPLLRWCAISAGPSFLKDISMLENQIPSLVLEALMEFWPVDVDSFVYAKMMDGFLLRAKGKMVPSWIMRSQRFLHTFLEKCRHVVVLGQNYRRLIDEGGSEDRDNSDERWPFTVSSSAVDGGSGTDRCQGDGEHGRTVCGHERSEEVRLRRALSLSPVFINDVVACYLINLAALEVAGASTASSFVSDGYVVSSYLSVLAMLMDGEEDVHELRRRGVLCSHFSNTQMLAFVKGFGQHHRLGYNYFCIVGDIEEYMRRRP >Sspon.01G0047200-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:101314406:101322565:1 gene:Sspon.01G0047200-1B transcript:Sspon.01G0047200-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRRRSHTWAGVGKTAQAAAAHAALFCFTLFLALRVDGRTTYSWWIIFVPLWLFHGIVARGRFSMPAPSLPHGRHWAPCHSIVAAPLLIAFELLLCIYLESIRVRNHPSVDLKIVFLPLLAFEAIILIDNFSRMCRALMPGDEESMSDEAIWETLQGTPASARHIPIFALFLPLFILQGAGVLFSLARLVEKVVLLLRNGPVSPNYLTASSKVRDCFAFLHHGSRLLGWWSIDEGSKEEQARLFYTESTRRRFFVGFATRERYAWCCFPAGTELYVGLVLRNARSVQSAVCQLKSACSLDHNFKLIEVCTSEKVYNVWGKYKYIMSNQKHGKMADEHFVISVQLS >Sspon.08G0004990-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8A:14571499:14572107:1 gene:Sspon.08G0004990-1A transcript:Sspon.08G0004990-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKPGKKTSRGRQKIEIRRIEKKESRQVTQCKRKGGLLKKASELHLLCGAHVAIIVFKRTEPEGKEVPVARRGKRDDGSIAFAMGTPSVDHVLRRCALLHAGEGLTAVEDVGAVAAERAVMEARARETEQTRALVEAEKARNDAVGEKVLQAVEVSGRRFWWEVDVGVLGEAELPVFTSQLQRLRESVQLQANKSQTSATPAA >Sspon.05G0014950-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:54930838:54935132:1 gene:Sspon.05G0014950-2B transcript:Sspon.05G0014950-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyruvate dehydrogenase E1 component subunit alpha [Source:Projected from Arabidopsis thaliana (AT1G01090) UniProtKB/TrEMBL;Acc:A0A178W8A7] MAAASFTAAKFLAPVAARSGGERAPPLPAGASSSSSFVRTLRRGGAHHPRLRTALAVSSDLLAGNKAAQAAATHPAVTREEALELYEDMVLGRVFEDMCAQMYYRGKMFGFVHLYNGQEAVSTGFIKLLNQADCVVSTYRDHVHALSKGVPARSVMAELFGKATGCCRGQGGSMHMFSAPHNLLGGFAFIGEGIPVATGAAFAAKYRHEVLKESSPDGLDVTLAFFGDGTCNNGQFFECLNMAQLWKLPIVFVVENNLWAIGMSHLRATSDPEIWKKGPSFGMPGVHVDGMDVLKVREVAKEAIERARRGEGPTLVECETYRFRGHSLADPDELRKPDEKTHYAARDPITALKKYIIEQNLATESELKSIEKKIDDVVEEAVEFADASPHPPRSQLLENVFADPKGFGIGPDGKYRCEDPKFTQGTAQV >Sspon.06G0022550-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:33407494:33409321:1 gene:Sspon.06G0022550-1B transcript:Sspon.06G0022550-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MCDATGRAALCAPSAGLHGTLSALGLAAFSALTKLDLRNNNNSGSIPANISSLTYLDLSQNSLSGDLPDTLPSTSQRMRYLNLSANGLYGSIPRSLSNMHDMRVFDVSRNKLAGAIPPDLFMNWPEITSFYAQNNSLAGSIPPEISNASKLQTLFLHCNNLYGKITVEVGRVASLRRLMLSWNSLTGPIPHSVGNLTSLVLLGIFCNNLIGKIPLEIANLTALESLDLDTNQLEGEVPQALSALQSLQFLDISNNKLSGVIPYLNTRKLLAISLANNSFTGVFPIVLCQQSCLQILDLSNNKLYGKLPRCLWNMQDLLFIDLSSNAFSGNVQMLKNFNLSLESVHLANNGLIGGFPHVLKRCRRLLILDLGIDLSSNYLSGDIPPELTKLVGLRFLNLSRNCLSGGIPKDIGNLVVLETLDLSLNELSGSIPSSISELMSLNSLNFPTIICQ >Sspon.02G0020850-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:67892539:67897937:-1 gene:Sspon.02G0020850-1A transcript:Sspon.02G0020850-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding KRSKDRFASFVAHSTEDLNPVGTGSGGVSCSGWGSMMKQIFGRRKASKTADKEFAGGRTFNQLSGSGAADLSGQPPFLSGTGNTYGSGNRTGFQGSRINDALFSSNFRPLPSFKDVPNTEKQNLLIKKLNMCCTLFDFTDAAKNIKEKEIKALMLLEIDEYVRTATVKFPEIVVEAITKMISVNLFRTLISPPREKKVLQAFDLEEDEPLMDPAWPHLYHVYELLLTFIQSPENDAKLAKRYIDNSFILRLLELFDSEDARERDRLKTVLHRIYGKFMVYRPFIRKAINNIFYQFIYETEKHNGIAELLEIFGSIINGFALPLKEEHKLFLVRTLIPLHKPRCTTVYHRQLSYCITQFVEKDCKLADTVIRGLIKYWPITNSTKEVLFLGELEEILDATQPAEFQKCMVPLSHQIARCLNSSHFQVAERVLFFWNNSHFENFVKQNSKVILPIIFPALEKNINGHWNQVVQSLSLNVRKLFSDRDPQLFTECLRKYEEDKDREKEFKLKQELAWKRLDEIASAKVTSGEAVLVSPTLPRQPSVFFGNTPVSLVLAESLDIVQDWVVDKRGYMKPPRQF >Sspon.07G0037430-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7D:53677195:53678036:1 gene:Sspon.07G0037430-1D transcript:Sspon.07G0037430-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPALYVPPDLMWRLHPIRPHVASAARDPSRLLQQQQADEWRLVDTKLKADAELDATEWHAAPVKKVAPMEKTVDTMEIVLTTSGMARWRGKMVDDGAGAWWRERTAAGWVRWRAEGSLRGDEKIDIFLHFRYQHLKQYSILLFY >Sspon.01G0026340-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:23917955:23921906:-1 gene:Sspon.01G0026340-1P transcript:Sspon.01G0026340-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRKSPVAAEERAGMEEGKGERCAVEEVALVVPETDDPSLPVMTFRAWTLGLGSCVVLIFLNTFFTYRTQPLTISGILAQILVLPAGRFMAAVLPDREVRFLGGRLGTFNLNPGPFNVKEHVIITIFANCGVSYGGGDAYSIGAITVMKAYYKQTLSFACALLIVLTTQILGYGWAGMLRRYLVDPAEMWWPSNLAQVSLFRALHEKEEEGGKSRGPSRMRFFLIVFFASFAYYALPGYLLPILTFFSWACWVWPHSITAQQVGSGYHGLGVGAFTLDWAGISAYHGSPLVAPWASIANTAVGFVMFIYVIVPLCYWQFNTFDARRFPIFSNQLFTASGQKYDTTKVLTKDFDLNVAAYDSYGKLYLSPLFAISIGSGFLRFTATIVHVLLFHGGDMWKQSKSAMNAVKLDVHAKLMQRYRQVPQWWFLMLLLGSVVVSLLMSFVWKEEVQLPWWGMLFAFALAFVVTLPIGQPGYDIIAQFMIGYALPGKPIANLLFKIYGRISTVHALSFLADLKLGHYMKIPPRCMYTAQLVGTVVAGVVNLAVAWWMLDNIENICDVEALHPDSPWTCPKYRVTFDASVIWGLIGPGRLFGRHGLYRNLVWLFLVGAVLPVPVWLLSRAFPEKKWIALINVPVISYGFAGMPPATPTNIATWLVTGTIFNFFVFRYRKAWWQKYNYVLSAALDAGTAFMGVLIFFALQNAHHDLKWWGTEVDHCPLASCPTAPGIAVKGCPVF >Sspon.05G0024290-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:21227177:21229170:-1 gene:Sspon.05G0024290-1P transcript:Sspon.05G0024290-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGMSDSAGGVRAGAELMVEQFHLKVLHAVLAVRTPRPLAAAATAAASFRRRDRWFHLPLHDPPPPPEAADRLDEMAPGEPLVVDVLLCPAGGVGARGEVVERWTVACEPWPDAAGGEEVAVNRAYKHCLTLLRSVYAALRVLPAYRIFRLLCANPSYNYEMGHRVDTFAEPLSRPQEAAMRSQRFVPVETQLGRLVVSVQYLPSLAAFNLEITSLSPSVIIPDYVGSPAAEPMRAFPASLTEATGFGFPPSYQQQRPHSWASPAFWPHTPAHQARFSPPSVFYASPTPSPPHFPPRLLRWESAPMPIPQVSERRSPAHRQNMLPPPSPRRGDMGAAGALESPSESGRLIGRMEEHRIADPYATSSPRHKGKDNKDESGRFSALSSCDSPRQDDLDDVDYHFDVDDVDTPVSQP >Sspon.01G0041060-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:70025531:70029041:1 gene:Sspon.01G0041060-3D transcript:Sspon.01G0041060-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RSWFTKLQTREKSIGKKKELPPNGKESGDDAPSSATKQRVAAAKQYIEKHYKEQMKHLQDRKERRCSLEKKLADADVSEEEVHNILKQFEKKETEYMRLQRHKMSVEDFDLLTMIGKGAFGEVRVCREKTTGNVYAMKKLKKSEMLRRGQVEHVRAERNLLAEVDHHCIVKLYCSFQDSEYLYLIMEYLPGGDMMTLLMRKDTLTEDEARFYVGETVLAIEAIHRHNYIHRDIKPDNLLLDKYGHLRLSDFGLCKPLDYSNFPDLNEKDVTPTKSSSMHGDGKQQSMPKRSQQEQLEHWQKNRRTLAYSTVGTPDYIAPEVLLKKGYGMECDWWSLGAIMYEMLVGYPPFYSDEPMTTCRKIVNWRTHLKFPEEARLTADAKDLISKLLCNVDQRLGTKGAEEIKEHPWFSGLEWDKLYEIEAAYLPQVTDELDTQNFEKFEESSDNVQCSAKTGPWRKMLSSKDLNFVGYTYKNFELVNDHDVPGMAELKKKEKAKRPSVKSLFDSPEGGEGEGEEAQQPEDEAASAEGSVRKPAAEPELTRSLSSPST >Sspon.02G0023340-3C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2C:81988692:81991823:1 gene:Sspon.02G0023340-3C transcript:Sspon.02G0023340-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNIYADTIDKAAEEILQVLKENTNTTRTVGSRYNVFYFDGWDGLGASAVLRAIAQRLSTTSADGKRALAELEFDQVIHIDCSMWESKRALQRAVAEQLRLPSEVMELFDRQDEEDDFRGVTQGSRTELQQVVRELYQHRQNRRFLVIFHNGSSEEIDLASCCGFLLSGFSTSKVLWTFQGRFQLKPRTKVDTAMKTAGTTDVFLSAYPQKKRREQLWPYLVLQEAEEVVAACNVVNTVSGNIIHQSEEVADCFRYMLEVGFRGRHLTDYDLVTHSANYWMCDGIIQHRQQHDEDIIGLWRAAEAWHREMRLDMDYHQYVPSPQLIGSWQIQSKPYWTSPTYGFILIPSRAKINEDMFQHCADKLSVLKLSGCTIDFQSPPFLCCHSLRFLWLDHCKGTRTSTDVAGKEEDIRRCFRRLWVLDVRYTDCDQILSVQKLALMIQLRELNVIGARGWDMGQLQGRLPNIHKLRVKKSGISCSCPENDLFSEMKMMEHLDFSGNYSTGGSRRMTSLFWLGVSSNVSSLETVIIVDGCRGVQQISFRGCTGLKNLHLGGLMLDLHTLDISGTAVKTLDLSTTTIPYLDELYLLDCKKLCAILWPPKDQMKPEGLGKLCIDTTQPAPTAQSREEKPKRGASTATIGTSVAPAATLHGSRPSSEFEWLISVRDARILVSLEPVYSDSRKAYVEVCSPPGLTVVAGGSKDKGIKSGSSGEQQVPVYPDTTVDHLRQGSRGNCDTLGMLWMWPCPDVVDLPEKSCYMHIQDQMGIKLLSGGEETSTITVPEFVVDCAKILHVHDCQSMTIFPSGTKYLGSEWHMLEWCRIERCPKLDCVFTPGEKLDGGFTYMLRTFWASQLLKARYIWKKSEPSGFYRTFPDLAFLHLGCCPRLIHVLPLSRETFNPDSFTLQFGHSLSQLETLEITWCGDLREVFPLDTKAKDYIEQKPQTVTLYFPSLKCIHLHELPRLQRICGVRMSAPNLETVKVRGCWSLTRLPNVSGSNKIVECDCEKEWWDRLEWDDGSQVEHYKPIHSQYYKKTMLRGTVLR >Sspon.02G0010130-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:30881962:30882525:1 gene:Sspon.02G0010130-1P transcript:Sspon.02G0010130-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARSSDQSVVHVLLLPFPAQGHINPLLQFGKRLAGRSGVRCTLAATRFVINSTKPTPSSVHVAAISDGCDERGPDELGGMGVPYFERIESAGSETLDELLRSESELGRPVHVVVYDAVAPWAQRVARRRGAASAAFLTQPCVVDILYAHAWTGRVPPPPLRPEEVRDMPGLSAQLEVGDMPTFLVDI >Sspon.03G0018220-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3A:55879782:55884502:1 gene:Sspon.03G0018220-1A transcript:Sspon.03G0018220-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein NCA1 [Source:Projected from Arabidopsis thaliana (AT3G54360) UniProtKB/Swiss-Prot;Acc:Q9M2V1] MSSLCPFAGATTGGGVCPVKSDKSNAGVCPAKSDKNISGVCPVTGKDHGSELKESSDHGEEKDTDPRMVPAKCPFGYDSNTFKLGPLSCIVCQALLHESSKCKPCAHKFCKACISRFKDCPLCGADIEGIEPDAELQALVDRFIDGHARIKRSHATWDIEGAGGKNKVIYEDVSMERGAFLVQQAMRAFRAQNIESAKSRLTMCADDIREELKSSEANLDLCLQLGAVLGMLGDCCRTLGDVPSAITYYEESAEFLSKPPAKDLELVHTLSVSLNKIGDLRYYDGDLQSARNYYARSLDVRRNAVKEHSAVASQVIDLATSLAKVADVDRNLGNESAAVEGFEEAIQCLEKLKLDSEQTSLEQRRLSVLGFLHNQLADK >Sspon.03G0002150-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:5178641:5181289:-1 gene:Sspon.03G0002150-1A transcript:Sspon.03G0002150-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHNHGDTIPLHPSSAQSDMDEIESLIHAAPSPATVLPARPPSPTRASIPVSSSPAPAPVPTKPPLPAASIPISVSVSPVPASVSVPIGTDGFGPPPNTLTEPVWDTVKRDLARIVSNLKLVVFPNPYREDPGKALRDWDLWGPFFFIVFLGLTLSWSASVKKSEVFAVAFAVLAAGAIILTLNVLLLGGHIIFFQSLSLLGYCLFPLDVGALVCMLKDNVILKIVVVTITLAWSSWAAYPFMSAAVNPRRKALALYPVFLMYISVGFLIIAID >Sspon.07G0000930-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:7163839:7167708:-1 gene:Sspon.07G0000930-3C transcript:Sspon.07G0000930-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:At1g09850 [Source:Projected from Arabidopsis thaliana (AT1G09850) UniProtKB/TrEMBL;Acc:Q0WVJ5] MPSVLHLLLLPLLLAASAAAAATAGAGGDPPAYEALFDAWCAEHGKAYATPEERASRLAVFADNAAFVAAHNARANAAGGGAPPSYTLALNAFADLTHEEFRAARLGRIAAGAAALRSPAAPVYRGLHGGLGAVPDALDWRESGAVTKVKDQGSCGACWSFSTTGAMEGINKIKTGSLVSLSEQELIDCDRSYNSGCGGGLMDYAYKFVVKNGGIDTEEDYPYREADGTCNKNKLKKRVVTIDGYSDVPSNKEDSLLQAVAQQPVSVGICGSARAFQLYSQGIFDGPCPTSLDHAVLIVGYGSEGGKDYWIVKNSWGESWGMKGYMHMHRNTGDSKGVCGINMMASFPTKSSPNPPPPSPGPGPTKCSLLTYCPEGSTCCCSWRVLGFCLSWSCCELDNAVCCKDKKSCCPHDYPVCDTDRGLCLKASGNFSSIEGIKRKQTLSKAPSWTGWMELMDQ >Sspon.01G0050150-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:120370049:120373710:-1 gene:Sspon.01G0050150-1B transcript:Sspon.01G0050150-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLREQLVGEQESTAALVELVDELKRKTEDTPPPETLAPSPTLIAFAPSAETLADMELDTSTSLAAGTSPAAVLEHGEPSVRDPSLLSPAFSPAPSPGLVPASGSGSGSSWYEQIPPSPAVGVGNGSLSVHAHATSSSQSVPSSSFPTAGTLPMVGYDLFGNPEATAATPLPTLLGGQWEQGDSSSMAASVLPECPSPGLFGFPGESAVWPSEEMSSSFFSPSSAGGLAPQSSDNLVGFAQPPSDSEMEPLPQSLRLLSLAVEDSPVDAPLPPIEEEDEMDVSAFLVSDPEDQLPNASWMATSPQMGGGGCGASASWMAPGSTCNNWTPMLAPTAASTCTMVSTSSGSMAFHSGGSSIGVASSIGGMMKPSSPVLPLVPPSPQAAGASSTNFNGFAQPSSSSVLVPHAPNLQGPLLPVKQEVMEMEYVDATARPHPDFISLIDDDEDGLYQDAIPCSIPGLNGWSKSSSGHSQGPSFAPEAESRYNVFGSWGSSSSNSSPASFAPGSLLGISSGSPSFAPSSWGGSSSSSPSFATGSWGSSSGSPSFAPSSWGGSSSSSPSFATGSWGSSSSSPSFATGSWGGSSSSPNFARSMPCFDRSTSIDNYGSSSMMLNLSIGGKMPSTSGLPPLPRAGASSSKGGAGIVFTDPEMAIIAKDKKLQELLRTDQKKVKR >Sspon.02G0043110-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2B:89465557:89466528:-1 gene:Sspon.02G0043110-1B transcript:Sspon.02G0043110-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPQLMDELVEEILLRLPPDSPASLVRAGLICKRWCHLVSDPGFQRRFREFHGSRPILGFLNTNNDSVGDNGYTYCTTSFVPEPGSALRRVEHPRLLPRECRHGRVLLYSKHRYDFAIIVVWDPITGERVELPNLPSAFLFVNTWTAAVLCAAAPSGTCDHLDCHGGPFIVVLVVQYHMDDLPISSYVYSSESGAWSEPTFSLPHSGGDWIGWLHSSQLVGNALYFVPVLRDFTFSILQYDLGTREITAINPPKAAHMDRIFELIATKDGRLGFAGVEETKLSLWSREVVGGGRWALCQVIDLEKLFPGASSPVYLALLKIL >Sspon.04G0012900-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:55552313:55574267:1 gene:Sspon.04G0012900-3D transcript:Sspon.04G0012900-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPNSGDVGAAGDLVETGLLAAASKEEAPAVETTATGSRVRQGVRRAAAQLQEVFLGTKLFPLFSAVPLAVAAEHFRFGRAWVFVFSLIGLAPLAERVSFLSEHIADTAGPTAGGLLNATCGNVPELIIALFALHKEKMEILKWSLLGSILSNLLLVLGSSLLCGGLANTGKERPLDRRQTDVSIGLLMLGVLCHILPLLSKYTTSTGDSTGSVLELSRLCAIVMLIAYFGGLVFQLKTHHQFLCKSLLICPRIELQESSQSSSSNSDDEVINNSVIGSAGTVIWLIGMTVVIAVLSNYVITTIEEASDALGIPVRFVSIILLPVVGNAAEHAGAIIFAFKNKIDITLGIALGSATQILLLVVPVILIVSWVNGVPMDLDLNLVETGSLVMTVFTTAFTLQDGKWHYLKGFNLTLCYIVIAVCFFTIKAVPRDNTKGAAVGVGTGALREERQRRERTADRIRLRIGSGHIADTAGPTAGGLLNATCGNVPELIIALFALHKEKMEILKWSLLGSILSNLLLVLGSSLLCGGLANTGKERPLDRRQTDVSIGLLMLGVLCHILPLLSKYTTSTGDSTGSVLELSRLCAIVMLIAYFGGLVFQLKTHHQFLCKSLLICPRIELQESSQSSSSNSDDEVINNSVIGSAGTVIWLIGMTVVIAVLSNYVITTIEEASDALGIPVRFVSIILLPVVGNAAEHAGAIIFAFKNKIDITLGIALGSATQILLLVVPVILIVSWVNGVPMDLDLNLVETGSLVMTVFTTAFTLQDGKWHYLKGFNLTLCYIVIAVCFFTIKAVPTPKKVHA >Sspon.05G0038690-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5D:52561240:52565673:-1 gene:Sspon.05G0038690-1D transcript:Sspon.05G0038690-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DPALADSFLERTQAGDLFSAAPRARHPLPSGTTIVDQIDLLQEQVKMLAGEVALCTSSLKRLTEQATNSP >Sspon.08G0021150-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:33432618:33434670:-1 gene:Sspon.08G0021150-3D transcript:Sspon.08G0021150-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAHVDPLVVGRVIGDVVDLFVPTVAMSVRFGTKDLTNGCEIKPSMAAAAPAVQIAGRANDLFTLPQRANDEGVDPLVRELAAETCPAPEAQVVAAAGAPGPPVGTRYLLASSPLHCARCRPRRVSTAAPHLVILSSLAQQATIYTCQQHALLFIWSLLTRSSALSSGETVVPYLGPCPPVGIHRYVLVVYQQKARFMAPPALAPGAEVEASRARFRNRAFADRHDLGLPVAAMYFNAQKEPANRHRYY >Sspon.03G0025600-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:1084000:1090268:-1 gene:Sspon.03G0025600-2D transcript:Sspon.03G0025600-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding TMASSSYSCYGCLLVLVTAAAVFFTVSDAQVPGFVSIDCGGSANYTDELGLRWTGDAGWFPFGQTATISVPSEKRAQYSTVRYFPSPSKHCYTLRVRTRTRYLVRATFLYGNFDSSNVFPEFDLYLGASHWSTIVIYDDAKVVTREAVVLAADPALSVCLSSAATTGQPFISTLELRQLNGSLYYTDYEADAFLALSARINFGAPTADPVRYPDDPYDRIWESDMVRRANFLVDVAAGTVNVSTDRPVFVASSERPPQKVMQTAVVGSLGELTYRLDLPGFPGNGWAFSYFAEIEEFVVPETRKFKLYIPGLPDVSKPTVDIGENAPGKYRLYEPGFFNISLPFVLSFAFRKTNDSSKGPILNAFEIYKYIHIDLGSPAAPVMASLTSRYPLADWAMEGGDPCLPSPWSWVKCTSEAQPRVVSRNLSGKNLTGNIPPEVAALPCLADSGLANNMLTGPIPDLSGSSNLSISHLENNQLTGNVPSYFGSLPKLSDRYLQNNKLSGSIPRALLSRSIIFSYSGNMYLGIGKQEKKHVIIIISALLGASLLLAAALCCYMLTRKAMNRDSSSTAAGGPHDDDVVAEKVLPAEQNKKLQNYPSTTRQSSGRIATETAHPYRLCELEAATNKFASRIGSGGFGIVYYGKLSDGKEIAVKVPSNDSYQGKKQFSNEVALLSRIHHRNLVAFLGYCHEDGRNILVYEFMHNGTLKEQLPGRDKHISWIKRLEIAEDAAKGIEYLHTGCTPSIIHRDIKTSNILLDKHMRAKVSDFGLSKLLAAEGKESHASTNVRGTLGYLDPRYYISQQLTEKSDMYSFGIILLELISGRSPISTIAFGDHFRNIGPWAKFYYESGDIEAIIDPSISIAGEYQDVQSVWKIAEAAVRCIDVEPRKRPCMPQSLCNACGIRYRKRRRQALGLDAAADSQQDQQQPKKKATADPQQQDHHHLRKKTAAAADPQQDQHHQRKKAATDPQQQDRKKAAAAAASSTNKKDKDKEDNKKKKDQQVTVELRVVGFGKEVMLKQRRRMRRKKCMSEEERAAVLLMALSSGVIYAS >Sspon.06G0002910-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:7703664:7707898:-1 gene:Sspon.06G0002910-3C transcript:Sspon.06G0002910-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSGGGGGGGGGGQQWPCDYCGEAAAALHCRADAARLCVACDRHVHAANALSRKHVRAPLCAGCAARPAAARVSPVPGADPAFLCADCCEGCDAAMRVPVEGFSGCPSAAELAASWGLDLRRAAVGDDGHGRAEDKDGGDIDHDPFLSVLDYSVLGVVNPDLRDLYVPCDPPRVPAPDAAGARPLRGEALSDQLAEMARREAGTAHAHPHSDLSPRTPRRTSAASSGRLPPGKMAPPAAMPTHHPPPAAAQEVPPPYTSLLMMASANCADLIGVADRVGDDDEQLLWDCAAPSVLPTQIWDFNLGRSRDHDEKSALEVGYGSNHGGFMIKSYSDMLKEISSGTTKDLEDIYDSRYCSTAEDIMSSNICQLSSKNVSTASNKRKLSSCASTMDGPTTSGNHVPTSGPALTREISFGDQTVSAPAAERPAVRIDSETLAQNRDSAMQRYREKKKNRRYEKHIRYESRKLRADTRKRVKGRFVKSTEALNAGYGG >Sspon.01G0034340-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:3422719:3423030:1 gene:Sspon.01G0034340-3D transcript:Sspon.01G0034340-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDAVTYTEHARRKTVTAMDVVYALKRQGRTLYGFGG >Sspon.07G0018980-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:75965568:75978503:-1 gene:Sspon.07G0018980-3C transcript:Sspon.07G0018980-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGAGAGALLPWMRPAAPLLSAAGWAAPGGSPLTLTVDVAPAAAHPAHPADPADAVDPALPGDATAATAAADLLLTGGDGAASNPLQPISTLAATLASARCDPLNTNDTPYTHIHEVVFSTIDKPKLLSQDTDGLHKALEASILRNEDLGSWSGSSHSSAAERTLPFQVKGGEWEIDKRLLKMGGIIASGSCGDLYHGTYLGEDVAVKVLRAEHLNKNVWNEFTQEVYILREVHHANVVRFIGACTKPPQFCIITEYMSGGSLYDFVHKQHNVLNLTTLLKFAVDVCRGMCYLHERGIIHRDLKTANLLMDKDHVVKVADFGVARFQDQGGIMTAETGTYRWMAPERCWEADPSNRPAFSDILDELEDLLAHVQVQHPPWQKINQAVTKDHMG >Sspon.01G0001060-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:3156176:3160829:1 gene:Sspon.01G0001060-3D transcript:Sspon.01G0001060-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAATAPSRKTETYTDTKRRDDVRGANIAAARAVADAVRTSLGPRGMDKMISSGDQAQEVIITNDGATILSRMALIQPAARMLAELSRSQDAAAGDGTTTVVVLAGSLLRRAQPLLSAGAHPTAAADALHRLATRAVEILHAMAIPIELSDRESLVKSASTALNSKVVSQYSTLLSPLAVDAALSVVDPAHPDLLDLRDIRIVKKLGGTVDDTELVRGLIFDKKASHAAGGPTRVENAKIAVIQFQISPPKTDIEQSVIVSDYAQMDRILREERNYIIGMVKKIKAAGCNVLLIQKSILRDAVTDLSLHYLAKAKILVVKDVERDEIEFITKTLNCLPIANIEHFRTDKLGYADVVEEISVGEGKVVKITGIRDMGRTATVLVRGSNQLVIDEAERSLHDALCVIRCLVNKRFLIAGGGAPEIEMSMQLAAWAKELRGMESYCIKEFAEALEVIPYTLAENAGLNPISIVTELRNRHARGEKNAGINVRKGQITNILEENVVQPLLVSTSAITLACECVRMILKIDDIVTVR >Sspon.07G0001600-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:3667082:3671194:-1 gene:Sspon.07G0001600-1A transcript:Sspon.07G0001600-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLPTKPALNPVLLPLACLALPRALPPIRLHAEKRLRRLSSAAVSAAASTSSSADPSAELRALCSHGQLAQALWLLESSAEPPDEDAYVALFRLCEWRRAVEPGLRACAHADDRHAWFGLRLGNAMLSMLVRFGETWHAWRVFAKMPERDVFSWNVMVGGYGKAGLLEEALDLYHRMMWAGVRPDVYTFPCVLRSCGGVPDWRMGREVHAHVLRFGFGEEVDVLNALMTMYSKCGDVVAAHKVFDSMTVMDCISWNAMIAGHFENGECNAGLELFLTMLQDEVQPNLMTITSVTVASGLLSDITFAKEMHGLAVKRGFATDIAFCNSLIQMYATLGMMGQARTVFSRMDARDAMSWTAMISGYEKNGFPDKALEVYALMEVNNVIHDDITIASALAACACLGRLDVGVKLHELAESKGFMSYVVVTNALLEMYAKSKRIDKGIEVFKCMPEKDVVSWSSMIAGFCFNHRNFEALYYFRHMLADVKPNSVTFIAALAACAATGALRSGKEIHAHVLRCGIAYEGYLPNALIDLYVKCGQTGYAWAQFCAHGAKDVVSWNIMIAGFVAHGHGDTALSFFNQMVKIGECPDEVTFVALLCACSRGGMVSEGWELFHSMTEKYSIVPNLKHYACMVDLLSRVGQLTEAYNFINEMPITPDAAVWGALLNGCRIHRHVELGELAAKYVLELEPNDAGYHVLLCDLYADAGIWDKLARVRKTMREKGLDHDSGCSWVEVKGVVHAFLTDDESHPQIREINTVLEGIYERMKASGCAPVESHSPEDEVLKDDIFCGHSERLAVAFGLINTTPGTSISVTKNQYTLSNSTILRMEVVRVEMKIPTIASKLIQIDKY >Sspon.02G0035980-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:22297879:22304431:-1 gene:Sspon.02G0035980-1B transcript:Sspon.02G0035980-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mediator of RNA polymerase II transcription subunit 8 [Source:Projected from Arabidopsis thaliana (AT2G03070) UniProtKB/Swiss-Prot;Acc:Q4V3C1] MDPAAAALGAAPAAGAPPPGAAAGEQQAAPRVERLSAGVQQQLNLEGMRARAVGLYKAISRILEDFDVIARTNPSASPKWQDVLGQFSMVSMELFNIVEDIKNVSKVFVVYPRNVNAENATILPVMLSSKLLPEMEVEETTKREQLLSGITNLPVPTQIEKLKVRIDMIGSACETAEKVIAECRKSYGLGTRQGTNLGPTLDKAQAAKIQEQEGLLRAAVNYGEGLRVPGDQRQPQSLPSHLIEVLPLGDGSQNFGDSSGSYPKNTSTFAPNSVNNQGNQVQASGGQLLGRPAPSPGNTGTPNFENVSTPPMPYANSPRSGTNMMNTPSPQQHLTPQQQRQKLMQASQQQQLHQQQLRPSAAGMLAQVPGQQQMQYNQALSQQFHNRQMQPGRMQPGMAQSQLNQGTQLRSHLGQFTGAANSAMFNAAQASSNSQMMANIPGSQSLMPQMQYGMAGGHPQRSHPQMLTDQMFGMGATNSSMMGMQQQQQGVYGNMQAGAQNMQQGMVGLQNQTQNPNFTQQRQQNQQ >Sspon.08G0016930-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:60955282:60957203:-1 gene:Sspon.08G0016930-2B transcript:Sspon.08G0016930-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGASPKSRKGAPVKLGSMKEAPAAVAAVATGGGNGGKVPAEEVWEVRPGGMLVQKRGGGGRAGDDEPSPNVKPVPTIRVKVKHAGVTHEIYISSEASFGELKKLVAAKTGLHPDDQKVLYKDKERDSKAFLDMAGVKDRSKVVVVEDPEARARRLIEERRNGHLEKAARAVAAVTAEVDKLAPKVAALDASVRKGEKVAENDVVQVTELLMNELLKLDAVVGDGDVKAQRRMQVKRVQKYVETLDAVAAKNAAIVRKSGEKAAAKPAPAPPPQQQSRHQHQHQHQQPRQQQQGPTRWEMFDLLSSLPSTSSASSTTTVSSTASSGAPPPTNRLDWML >Sspon.05G0010680-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:24777074:24779544:-1 gene:Sspon.05G0010680-3C transcript:Sspon.05G0010680-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQGVTMSSSMESSYLPATTESLAKAQEAKDASESISILYRVIQDPSSSADALRTKEVAITNLTNYLTKENRAEELRNLLTQLRPFFAVIPKAKTAKIVRGIIDAVAKIPGTSSLQISLCKEMVEWTRSEKRTFLRQRVEARLAALLLENQEYTEALTLLTSLIKEVRRLDDKLLLVDIDLLESKLHFSLRNLPKAKASLTAARTAANAIYVPPAQQGTIDLQSGILHAEEKDYKTAYSYFFEAFEAFGALEDPKAIFSLKYMLLCKIMVNQADDVAGIISSKAGLKYLGPDVDAMKAVADAYSKRSLKYFETALRDYKSQLEEDPIVHRHLSSLYDTLLEQNLCRLIEPYSRVEIAHIAEMIELPVDHVEKKLSQMILDKKFAGTLDQGAGCLIIFEDPKMEEIFPATLETISNVGKVVDSLYMRSAKIMA >Sspon.07G0033940-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:63972598:63973329:-1 gene:Sspon.07G0033940-2D transcript:Sspon.07G0033940-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATAADIAPGPSPPPPELNLTGILENGGQYTTLLRLLNATRINEQIASQLKNSYDGLTFFAPNDSAFTKLRAGTLNALTDQEQIQLLLYHVLPRYYSLTTFQTASNPLHTEASGPGGMYSVNVSTSTTSPLVNVSTGVVDVPISSTLFAHFPFAVYSIDDVLQPPQMFGSSHKASAPAPGPAAAAAGRQKGVPKSDVAAEPSEAVEESEGSTNAAAAGRGTAVWMTVVVLGGMAFLNLLVSA >Sspon.07G0026790-3D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7D:49022801:49026607:-1 gene:Sspon.07G0026790-3D transcript:Sspon.07G0026790-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRTFRHRSGNRVPVDSVATDVVENGDSNNNQSGSSVEGSQRRKRKCTKLIHPVRGQRIPIKPLGEEQFEFVNYSPKMEKGIGGQITALLKLEYPSMIEESSGEKYYAKKWAHYDVMEDEEGMTAADRFREEFWPIKDKVACKKLLREAQYLKVQPDWISEEAWHNICAYWCSTEYKRKRLLAQESRNQPDFAQNRGGSRPYGQTKQYLDDYFVTLQAEHPDDHELRRSGDLDPGVLYKSSGRGLAHGRVPIGNGAIRKCEMKATARRMTSQSNSGSYQYLVRRNAQLEQGYNFGIILGKHMQDIFGKLNMEVPAEIADILETARNACAPPSDQPGSVQHPDNKPYIDEGTRLEFDDDEGLWSSGAYESINTTPTASDAESDRHDRESDAVSDADKIESSTKHGKQKKENWEQI >Sspon.02G0043240-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:90337808:90339117:-1 gene:Sspon.02G0043240-1T transcript:Sspon.02G0043240-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SMVVVVAAVEEDGGGPVTVREFDGASDRDRAAVERLEGACEVGPSAGKLCLFTDLLGDPLCRVRHSPAFLMLVRVPPAARISAAIASSSIHPSLKCECLACVPQVAEAAAGGEIVGVVRGCVKTVACGRGQDDLFSKVAYLLGLRVSPRTGAAAEEWFRQAGAEYAYVATDRDNDPSVRLFTSRCGYAKFRTPSVLVHPVFRHDLAPPRRVAVLRVPPRDAELLYRARFAGVEFFPRDIDAVLSNPLSLGTFLAVPASSKPWRGAEAFLASPPPSWAVGSVWNCKDAFRLEVRGAPRLWRAAARATRAADRALSRWLLLRVPSVPNLFEPFGMHFLYGLGGAGPDAPRMATALCRHAHNVARRAGARVVATEVAACDPLRGAVPHWPRLGAEDLWCIKRLADGYGDGALGDWTKAPPGASIFVDPREF >Sspon.07G0006960-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:13843068:13843885:1 gene:Sspon.07G0006960-3C transcript:Sspon.07G0006960-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFYYGSSSSGAAWAVAPAGSRPWTKAEDKVFEGALVMFPEHLPNRWVLVASRLHDRTPQEAWDHYQALITDVDLIERGMVDAPDSWDDDDAAAGGGRAGRGRGEERRRGLFLEGLEKYGRGDWRNISRWSVKTRTPTQVASHAQKYFIRQASAATRGDTKRKSIHDITAP >Sspon.07G0031550-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:24782731:24782922:-1 gene:Sspon.07G0031550-2D transcript:Sspon.07G0031550-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPCLRNKVREINLVHYQGGTAQRALANFLLSNPPVIDRLWCEFAEGPMRTQVQLVREIEGWL >Sspon.02G0039620-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:44512081:44516886:-1 gene:Sspon.02G0039620-2C transcript:Sspon.02G0039620-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSNRDPSSRKSGAGNIFIKNLDKSIDNKALYDTFCAFGNILSCKIATDPSGESRGYGFVQFERDESAQSAIDKLNGCLSMTRKCMLDLLFASRTGKINVYVKNLSDTVTDDELKEMFGKYGTITSAVVMRDSDGKSRCFGFVNFENADDAAQAVQELNGKIFNDKELYVGRAQKKSEREMELKEKFEKNIQEVAEKFQNTNLYLKNLEDNIDDEKLRELFAEYGNITSCKVMRDSNGVSRGSGFVAFKSAEDANRALTEMNGKMVGSKPLYVALAQRKEDRKAKLQAQFSQMRPVAMAPSVGPRMPMFPPGVPGVGQQLFYGQPPPAFINPQAGFAFQQPLMPGMRPGGPMPNFMMPMVQQGQQPQRPAGRRAGAGGMQQPMPMGGQQQMFPRGGRGYRYPTGRGMPDPGMHSVGAVMPSPYEMGAVPMRDAGLSQPVPIGALATALANAPPDQQRLMLGENLYPLVEQLEREQAAKVTGMLLEMDQTEVLHLLESPDALKAKVAEAMEVLRSAQHLQQSNASPEQQLANLSLNDGVVSS >Sspon.02G0035140-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2D:11460891:11461762:1 gene:Sspon.02G0035140-2D transcript:Sspon.02G0035140-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRTATPPVKAQRRCSSVPTLLQSARSAAAPPSKRSAATPPCQHSSSPRAVPPLLPSAHRAEELEAMARRQNHRSELPDADLHPAAAEKELSFGAPMRASGTGTEVSNPDLAELNNPDLVELKHKSFSSCLIKQGLPLEVAISSSSLILCSHTIFEDATPELGRDFFWMVIFL >Sspon.03G0003270-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:8240451:8245246:-1 gene:Sspon.03G0003270-1A transcript:Sspon.03G0003270-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPKKAAPSKAELAKKQKVVEDKTFGLKNKNKSKNVQKYVQSLHQAVQPKPDTSKTAAKKKKEEEKAREKELNDLFKVAVSQPKVPVGVDPKSIVCEFFKAGQCQKGFKCKFSHDLNVQRKGEKIDIYTDKRDAETMEDWDQETLEKVVESKKTEYQQNKPTDIVCKYFLDAVEKKQYGWFWVCPNGGKECHYRHALPPGYVLKSQMKALLEEESEKIAIEDEIEDQRKKTKTTTPMTTELFMEWKRKKAEEREAGQAALKAERAKNDRMSGRELFMADASVFVDDAEAYEVYEREEEPEANEESSNKSQDAGPSSSTSNGKEVEEPDDEDIDVDDDLDIDELNELEASLSRTSIQIREPGEGTSS >Sspon.03G0019570-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:60893925:60897232:1 gene:Sspon.03G0019570-1A transcript:Sspon.03G0019570-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AMSSPSPLCAASCSTALSAPPPLLRFRRSSAPVSASVARASPAVSDDLVLRIAEQLEDSVTSTSPLLDPLRSASALSLLSTPWPTRRSSEAFRFTDISYLRSLPISLPSRQPDLTLPSSPFSSHVLFSDGILLSSSGAHVSTLADLPPGRARDRAAAALAASAEFAHKDLFYDFNAVGARDVVVVHVPEGVKVADDPIHIMFAYTDSGAESMLMSNPRVLVVAEKAAEVAIVEEHFGAGEEGGCYWANPVAEIIIDEGARVVHSYVQQQSFAAAHTKWTVVKQDTTSKYEFVEVSTGAKLNRHNLHIEQLGPETETELSTLHLTSQNKQIHDLHSRLILNHPRGFSRQLHKCIACGTGNSIFDGNIKVNRYAQQTDAGQETKCLILSPKALVNVKPNLQIIADDVKCTHGAAISGELDPNELFYFQARGINTETATDALLYFFGAHVIKRIPYKPISEKALAQFKELLASSRQTTNEALHRRDFVSKDM >Sspon.05G0003770-3P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:14518147:14520614:-1 gene:Sspon.05G0003770-3P transcript:Sspon.05G0003770-3P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSEAAKVAVPESVLRKQKREEQWAAEKKEKALAEKKKSIESRKLIFTRAKQYAEEYDAQQEKELVQLKREARLKGGFYVSPEAKLLFVVRIRGINAMHPKTRKILQLLRLRQIFNGVFLKVNKATINMLRRVEPYVAYGYPNLKSVRELIYKRGYGKLNKQRIPLSNNQVIEEGLGKHNIICIEDLVHEIMTVGPHFKEANNFLWPFKLKAPLGGLKKKRNHYVEGGDAGNRENYINELIKRMN >Sspon.05G0027590-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:5C:49176706:49177083:1 gene:Sspon.05G0027590-2C transcript:Sspon.05G0027590-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADAGTTEEGLPIFSGKEPATKKEEEAPAIAICAALVVLVLSIVGVVYLSIGVVHLLGGHRHRWFGPYDPVEYTVTIAAVSGLDPAKDLHGPPALLYPAFNLTLRVASPRTAEDRECVKPGTTVE >Sspon.04G0017880-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:64850375:64855212:-1 gene:Sspon.04G0017880-1A transcript:Sspon.04G0017880-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELRHSTAARASNSPAKRDSDASAASSPFLASPSSRGSRGGGGDDDGKDTHRSSPLLSHHHHHHKRVHLLTSPFRSLALEDPRSPAASSSYRILLALLALLLAAGIFCAPLLWSRLNTPYLCHKEGITLHCPETKEPPSLWENPRAATTSWKPYVPSVNETSGYIFIHAEGGLNQQRIAICNAVAIAKIMRATLILPVLKQDQIWKDQSKFEDIFDVDHFINYLKDDVRIVRDIPDWFTEKDELFTSIKRTVKNIPKYASAQFYIDNVLPRIKEKKIMSIKPFVDRLGYDNVPMEINRLRCRVNYHALKFLPDIEEMADKLAARMRNRTGNINPYIVYSRACICTRHYPTQMELTLSKALHLRFEKGMVGLSFCDFAGTREEKAMMAAYRQKEWPRRYKNGSHLWPLALQKRKEGRCPLEPGEIAVILRALGYTSGTQIYVASGQVYGGKNRMAPLRNMFPNLVTKEELASAEELAPFRRHVTSLAALDFLVCLRSDVFVMTHGGNFAKLIIGARRYAGHRLKSVKPDKGLMSKSLGDPDMGWASFAEDVVVTHRTRTGLPEPTFPSYDLWENPLTPCMCRA >Sspon.04G0028210-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:64552934:64554840:-1 gene:Sspon.04G0028210-1B transcript:Sspon.04G0028210-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADLPLYLLLAILLGIPLILFATASRPRAGGLRLPPGPWALPVIGHLHHLAGALPHRALRDLARRHGPLMMLRLGELSVVVASSPDAAREVMRTHDATFASRPTGPMQELAYRGARGVVFAPYGEGWRQLRKICALELLSARRVQSFRPVREGEVGRLLRSVAAAAASSSPVNLSQGIAAYVADSTVRAIIGSRFKHRDAYLRMLQEGLKIVPGMTLPDLFPSSRLALLVSRVPGRIERHRRGMQRFIDTIIAEHRENRASAKEDDDDDEDLLDVLLRLQKEVDSQHPLTTENIKTVMLDMFGAGSETSATTLQWAMAELMGNPRVMRKAQAEVRRAVGDHGKVTEDKLTSLRYLPLVIKETLRLHPAGPLLLPRECGSACQVLGFDIPKGTMVIVNGWAIGRDPAHWDEADEFVPERFDRGERDFRGTDFEFIPFGGGRRMCPGMAFGLAHVELALAALLFHFDWELPGGMAAERLDMTEAFGITTRLKNDLVLVAVPRVPVSIVE >Sspon.05G0021710-3P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:28636596:28642739:-1 gene:Sspon.05G0021710-3P transcript:Sspon.05G0021710-3P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKCRYLVPCDMPVGQFIFILRSRLHLSPGTALFVFVNNTLPQTASLMGSVYDSDKDKDGFLYMCYSSEKTFG >Sspon.02G0044690-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:103821303:103822982:1 gene:Sspon.02G0044690-1B transcript:Sspon.02G0044690-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo-like helical domain containing protein [Source: Projected from Oryza sativa (Os12g0570000)] MKKFHESIAQLEQALCDFPYDKLDISDEVREQVELVHVQLKRAKERVDMPDDEFYNELLSLYDKSHDPSAELDILGRLSKKLHLTTITDLTQESLALHEMVASGGGNDPGEHVENMSMLLKKIKDFVQTQNPEMGPPVTTKLMDSNGQPRPVNIPDEFRCPISLELMKDPVIVATGQTYERTFIEKWLASGHHTCPNTQQRMPNTTLTPNYVLRSLIAQWCEANGIDPPKRSTKPDKPTSSCSPSERAIINALLSKLCSADPEEQRSSAAELRLLAKRNANNRICIAEAGAIPLLLSLLSSSDLQTQEHAVTALLNLSIHEDNKSSIILSGAVPGIVHVLKNGSMQARENAAATLFSLSVVDEYKVTIGGTGAIPALVVLLSEGSPRGMKDAAAALFNLCIYQGNKGRAIRAGLVPLIMGLVTNPTGALLDEAMAILSILSSHPEGKAAIGAAEPVPVLVEMIGSGSPRNRENAAAVMLHLSVHNVHLARAQECGI >Sspon.01G0002840-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:7724984:7725777:-1 gene:Sspon.01G0002840-1A transcript:Sspon.01G0002840-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ASYMMETRIC LEAVES2 (AS2)/LATERAL ORGAN BOUNDARIES (LOB) domain transcription factor, Crown root formatio [Source: Projected from Oryza sativa (Os03g0149100)] MTGFGSPCGACKFLRRKCVRGCVFAPYFCHEQGAAHFAAIHKVFGASNVSKLLAHLPLADRPEAAVTISYEAQARLRDPIYGCVAHIFALQQQVMTLQAQLASLKAQGQQGVHEDAKGYVGSGAAEQLGYGYPWCNGNGGVSGGAVGAPAAQPGAYGNGGHESLTALLGSDYMQQSLYHAFEHAGADEGNASFEAAAESSSFGAEESGWRSSSGYQDCEDLQSVAYAYLNHR >Sspon.08G0001440-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:2832022:2832456:-1 gene:Sspon.08G0001440-2C transcript:Sspon.08G0001440-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVHRLKKQHSAPSLGGSGSGSASMPPKGCMAVRVVGPAGGCGGKGGREEEEEERFVVPVGYLKHPLFVALLKAAEEEYGFEQKGAITIPCGVDHFRHVQGIIHHQRHHHNHHVSGGSGSGSGGGGHHGHHSSNHFHIAGCFRA >Sspon.03G0025530-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:43201143:43208581:-1 gene:Sspon.03G0025530-2B transcript:Sspon.03G0025530-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPPAPEAQPEQAPAAAADPAEDAPVEKPPAAPLTPEPDAAAAADGAADEVEDDEEYVSDPDDAPLPARRRREASDDEGSEDGRPRARIGPDQDDDGQGAPEAYDDEVDEEDEEYYDEEEEDVGEGFEEEYEGRAAPPMEDGGGGGQVSRGEDGVTGEEGMPEGEAKGEGEEKEQEPFAVPTSGAFYMHDDRFQEENRGRRRAARFREPAPNAAAARDSGQVSHAQPEVVPPKKNVISSSLNSASPPFYPSGASTQDFPVAAQRRDIQTGGSNKIPPSSMKMDDNSKLQSGSMVRGRTSMDYGGRDRFHADGPVRSSPGRAPTTSLNSGFTSSSVNPGQSPIVRASGGNSNIGISSNNQPTSSLHQTSRISTQPQNHGSVMHQKSGQVQNQSAARIPTQQLSHRTSNSSPAAQHLPVKSTESSENGSYPSLNNSKTASAVVKANSQETGMGSFMYGGAQVIGAAGLSQGDQNFPGTPALLPVMQFGGQHPGGLGVPTVGMALPGYVAQQQMGMGNNEMAWLPLLAGATGAFGGSYPPYIALDPSFYSRSSGQTSSSVPSRGSKSPPRNDIGNEELDQRQNKPRRYSEMNFSQ >Sspon.03G0006880-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3B:25760937:25764030:-1 gene:Sspon.03G0006880-2B transcript:Sspon.03G0006880-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRICELGDGDAGLAWQQEQQEEEVQASGGGELVRLKAKRALVGAGARVLFYPTLLYNVLRNRFEADFRWWDRVDQFILLGAVPFPSDVPRLKQLGVQGVVTLNEPYETLVPTSLYQANEIEHLVIPTRDYLFAPSLEDISQAIDFIHRMYCQLDSDVIKYRNMTPEAALDHVRSIRPRVLLAPSQWHAVSSFGTLTTGQLPVQSTNLGCFLEAIEARCTNTENDDFHVMEFDCEDSGLPLSQIMLSKPASPTGCTDAVLITEADLEGYDTYIGTRKDAVSLVVATRRPIMRRLSCLFGSLKLNNSNCEPASSRFTE >Sspon.02G0041930-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2B:79933586:79933960:-1 gene:Sspon.02G0041930-1B transcript:Sspon.02G0041930-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding METSRCGGRRRHGARRCSNGAGISLAGWRHTKLLPSPAWLLAVARASAVKAHRLGGDGCGGRRGGCGIWNADGAVEAERNREGFFSVRAPSIIYIILLKC >Sspon.04G0017220-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:66874546:66877239:1 gene:Sspon.04G0017220-2B transcript:Sspon.04G0017220-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGARFHGMIGRGGGKGMQDNEINGFYNMPYYQKFGEGSHMSVDSTDGFNLTNCAGGSVAMSVDNSSVGSNESRTVILKHPGLRDAPTASYSVGNSVFRPNRVAAHTLNEDALARVLMDPNHPTEILNSYEQWTIDLGRLDMGDPFAQGAFGKLYRGTYNGEDVAIKLLEKPENDPERAHLMEQQFVQEVMMLSRLSHPNIVRFIGACRKSIVWCIITEYAKGGSVRQFLARRQNKSVPLRLAVKQALDVARGMAYVHALGFIHRDLKSDNLLISADKSIKIADFGVARIEVKTEGMTQRQEPTVGWHRKEMIQHRPYDHKVDVYSFGIVLWELITGMLPFTNMTAVQAAFAVVNKGARPVIPQDCLPSLSHIMTRCWDANPEVRPPFTEIVCMLESAEMELVSNVRKARFRCCISEPMTTD >Sspon.07G0014670-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7B:58026486:58029400:1 gene:Sspon.07G0014670-2B transcript:Sspon.07G0014670-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding NGDARGVEHVRLRDRQVHRRGQVRQGVPRPREAGRPDQLVLSDSLDCPKISPPTEVNLRVAMMIQSGYVVALKVIFKAKLEKYRFHAHLRREIEIQRDLDHPNVLRLFAWFHDEEKVVLVLEYAARGELYKVLRAAGRFDERTAATGRLKIADFGWAARSNVKRHTLCGTIDYLAPEMIEKKAHDHAVDNWTLGILCYEFLYGSPPFEADEQDDTLRRYGAEEASVFLFSLVFIPYHFVCHCHMNFVQDSYGGLGIPFNSSCVFRG >Sspon.02G0014020-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:37221858:37222717:-1 gene:Sspon.02G0014020-1A transcript:Sspon.02G0014020-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLDQLWDDTVAGPRPDSGLGKLRKYASFSPSSSAAAPSPTAEGAAASSGSAAPVVTRSITMLRPPALSVITSPRSESSSAPSSPSPASGAPDSPFGAATTPKGEGWKKLRRKGRMADGGDAPGTPRSPTVYDWVVISSLDR >Sspon.06G0032260-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:81784224:81786679:1 gene:Sspon.06G0032260-1C transcript:Sspon.06G0032260-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSERAGAPAPAAAAIAGTYVCLGVLTEPPAAGWLIGDQRCRAVASAPDHMDEQPARGRYHPFEEIAEMVQLDDGEPAHLTDAESARTIVEVNNKATVMISTLVGDGVQERIILPEFPYLTDENGDIYFEVDNEDALLESVMGEDKIATATKNNIDWLDRPPASLVVEGQLRPAFAEESTMVAKHISSIAAAIKINLPKVKIEEYQKAKPDIIAHSAPNVISRLRAGGDKITQALKSLCWRCKAIQVEVQFLQIDCKNRSRISTLHIMEEKFTISQGLVADTLPGHEAAVIGVDCLGFDLRVCSGTQVQTLRFAFPTKATSEFAAEKQIHELLFPRNTHQEGQSPQAQEKS >Sspon.02G0028960-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:115031401:115034532:-1 gene:Sspon.02G0028960-3C transcript:Sspon.02G0028960-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARCLALVALLLLGLAAAAAADAPFVVAHKKVSLSRPKPGVERVAVSLDLYNQGSATAYDVSINDDSWPTEAFELVTGEKSKTLERLDPGATASHTYVLETKTQGRFQGSPAIITYRVPTKTALQEAYSTPIFPLDILAERPPEKKFEWVSNHAIACTS >Sspon.06G0030820-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:50439496:50440706:1 gene:Sspon.06G0030820-2D transcript:Sspon.06G0030820-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVVVAADALVLESFAGGAIDATKRLRGGDGGGFPSLAAPLSDREWGMTTSCSEPASPHLYLCAVVERPDQGARSKAQKTIKTQHFLLENQIPWLVLEVLTEFMNVDVRRFVTGMGDKFLPFPRKERKPEDGCCRRRRGMRCVCIPTALAKTTRPADEESRGVGSTEQHKPPHLLGLLRFTQVSCMPEQVKNYTGVWSSWSSSAVELAEMGVVLTPSTEAWFGDMHLRRRHLYGELSLSPVFLSDVTACWLVNMAALEASMAGAARDTDGFVVSSYLSVLAMLMDRKEDVHELRRRGLVHGALSNKQALGFFKGLGQHLRFGRRYFAALEEIDSYKRHRSVRIKAYKFVYNYYKFIAAFLSVTGVLIGIFKTLLSLKRY >Sspon.07G0001360-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:3153574:3158972:-1 gene:Sspon.07G0001360-1A transcript:Sspon.07G0001360-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKSGALDLASGLGGKITKEEVKSAVDEYEKYHGYYGGKEEARKSNYTDMVNKYYDLATSFYEYGWGESFHFAHRWNGESLRESIKRHEHFLALQLGLKPGMKVLDVGCGIGGPLREIARFSSTSVTGLNNNEYQITRGKELNRLAGVSGTCDFVKVVWDKDLAEDSPLPWYLPLDPSRFSLSSFRLTSVGRMITRTMVKALEYIGLAPQGSERVSNFLEKAAEGLVEGGKKEIFTPMYFFLARKPLSDLVDFQTEQGGILKKPGIIDTTPSSVSDH >Sspon.02G0022260-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2A:74405442:74406845:-1 gene:Sspon.02G0022260-1A transcript:Sspon.02G0022260-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLPGRHHCHHKFTPSSPPASFRSPHLRRLRPLRPPILASANPPPPPSPLPSHFPSPRRPWRWRHSASGNGAGDVVQDLPPVEADGKATVGGKKSFWGAVSLIIGTAVGPGMLGLPSATIRSGQAPSVAAILLSWVYVVSSIVLVAELSFAAMERDGVDEVSFTGLASSTLGAGLGAVVAVVYATLSFSLLVACVAGIGSLVSQLFPGVDPVLANALFPCFAGVLIAFFPFKAVDGANRALCGLMLVSITALVVTGVSVGRTSLLKSLGYASWSPGAILPAIPVTVLTLGFHVITPFICKIVGDSVYDARKAILIGGAVPLAMVLSWNAVILGLASAGGNAGFDDPIKLLLSVNPAALPAVRGFAFAALATSLIGYAVSFPKQLADTVELILQRFSQKQESVHQPNASSSRNGAILTWTVLIIPIFIASFFSAAFSKALDFAGVYANCFLFGILPPVMAWIHRSQMKK >Sspon.07G0019530-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:76255536:76267927:-1 gene:Sspon.07G0019530-2B transcript:Sspon.07G0019530-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVHHLLRRGVSSGSQIQPLRGLFLASQELGRHPLSSAAAGDAAAELRGAREDVRQLLKATSCHPILVRLGWHDAGTYDKNIPEWPKCGGANGSLRFEVELKHGANAGLVNALKLIQPIKDKFSGVTYADLFQLASATAIEEAGGPKIPMIYGRVDVIAPEQCPPEGRLPAAGPPSPANICEIVALSGAHTLGRARPERSGWGKPETKYTKDGPGAPGGQSWTSQWLKFDNSYFKAIKERRDEDLLVLPTDAVLFEDSSFKIYAEKYAKDQDAFFEDYAEAHAKLSNLGAKFDPPKVRLGWHDAGTYDKNIPEWPKCGGANGSLRFEVELKHGANAGLVNALKLIQPIKDKFSGVTYADLFQLASATAIEEAGGPKIPMIYGRVDVIAPEQCPPEGRLPAAGPPSPAEHLREVFYIMGLNDKEIVALSGAHTLGRARPERSGWGKPETKYTKDGPGAPGGQSWTSQWLKFDNSYFKAIKERRDEDLLVLPTDAVLFEDSSFKIYAEKYAKDQDAFFEDYAEAHAKLSNLGAKFDPPKGISLE >Sspon.03G0000720-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:2159771:2164018:1 gene:Sspon.03G0000720-1A transcript:Sspon.03G0000720-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPHGAGTGGGGVGRRKAGAAAAAASREWMVVPASGPARVEEAGKHAVMARTGLPARDLRVLDPLLSYPSTILGRERAIVVNLERVKALITAAEVLLPNSKDPAFARFVRDLQTRVLASSSDQAAELTDMEGESSVVASPFPVPSSSEGHEVEMTKKTTAVVPEMTSSSSMPNLAIAKDGNTKVLPFEFRALEVCLESACRSLEEETSTLEQEAYPALDELTSKISTLNLERVRQIKSRLVAISGRVQKVRDELEHLLDDEMDMAEMYLTEKLTQQEISEASSRVEVDDPSQTEEDRQNRTSNTPFLISIDNIKWDEDYRSEPDGSNGSFIGYKPNIEELEMLLEAYFVQIDGTLNKLSHLREYVDDTEDYINIMLDDKQNQLLQMGVMLSTATVVITAGVAVVGLFGMNIGISLYNPTTEEETRAANRKFWETTFGTVAGCVILYIIAMGWGKRSGLLQ >Sspon.07G0026710-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:54738635:54741915:1 gene:Sspon.07G0026710-1B transcript:Sspon.07G0026710-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVTNADVEVVDFDLDSLGGPGPIQSIEGWIVLVTGVHEEAQEEDLQNAFQEFGQGYALIEYEKFEEAQAAIKELDGAELYKQTMSVDWAFSSGPAKRRNTRKRSPPRARSRTPPRRRH >Sspon.04G0024270-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:18581449:18582391:-1 gene:Sspon.04G0024270-1B transcript:Sspon.04G0024270-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVVTLSGPSCTNPTGEETTMLRRASINARKRDWAKLPRDLAAEIAGCLLGIDLSEYIRFCAVCKPWRRFTDDPRLLNSRFFPRNWVMLTNYGKDDDTHRHLLNVATGASIVDDFPEFSGHHPLGHAEGLLVLSNTETSSIRLFNPLTHAMTDLLDVSSSTSISALKAETDFGTGLSYRGFGVIDGGGGGAASPATVVARGIADTSALTCGAHQVSVLSLRGRFYLSTSTGDVLTVELHPVPRLVHVVRNRKTAPPPTTTTVPATICSFYLAPSDRAWR >Sspon.02G0039140-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2B:49010785:49024511:-1 gene:Sspon.02G0039140-1B transcript:Sspon.02G0039140-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MERFYKRKAQDSGSGRNLCHPDDINWEEERIFSGMKIVKTTLSDRMGRTPLDNSIAPPLPAGLHILTSLKKLDITRCPSIRLLPKNGLPNSLQNLTIGYCSAIRSLPKDSLPNSLQELHFYNCPSIRALPKGGLPSSLKLLEVRDGSEDLTRQCRNLVIAMGCIISPLTFTLFFRAFDIGNPDGYWKAPYVLIFRNMAILGVQCVGELSVGFFTFAVATNVARDFMSRRYRRYVPLPTAMAVPSWMPTSPSTCAWGAWSCFAWHKMNSVEAELLPPGAGRRFRFHPRRPSRPHCSLLPSPSRREGHNLRDRKTTAGDYELRSRGPPTLDHNALDNLVSRSTRSSSSPTAAPPPPTQNPSPLPLPAPPTTPSSAAAPAKPCSPPPPPNPPTTMSEPTLAEVLEMLQTMNAKITTIETEMAKKEKAETSSGGGDHYRYDGGHGHEFHPKPKKWDFPRYDGTTDPMLFLNKCDAYFLQHRTTGDDKVRTASYHLDDVAQLWFTQIQEDDGTPNWSHFKELLNLRFGPPLRSAPMFELAECRRTGTVEDYSNRFQALLPRAGRLEEHQRVQLFTGGLLPPLSHAVRLHHPTTLVEAMSLARQVELMEAERPAPGPLRAPPRGGAAAPPVRPALPAPHRCWRCPPRRSQRRSKDAPRTAPRAVSPRKRWPSVVASIDDADEGAEDAEAAKEAPLFSLHAVAGVAVGAPILLRVTLGAANLVALVDTGSTHNFIGDAAARHTGLPIHPRPRLTATVANGERVTCPGVLKQAVISIAGMTFDIDLYVMPLAGYDMVLGTQWMAALGTISWDVVTHTLAFRHAGRDVRWSGVACTETPLAHSVTTDAPLLEGLLDSFSDVFAEPSGLPPPRSRDHSIVLKPGAAPVAVRPYRYPVAHKDELERQCASMIEQGIVRRSDSAFSSPALLVKKADGTWRFCIDYRALNALTVKDAFPIPVVEELLDELKGARFFTKLDLRSGYHQVRMKPEDIHKTAFRTHDGLYEFLVMPFGLCNAPATFQSLMNDVLRIFLRRFVLVFFDDILIYSSSWADHLRHLRAVLTELRRHRLFIKRSKCAFGVRSVSYLGHIISEQGVAMDPAKVQAVLDWPAPRSPRAVRGFLGLAGYYRRFVLNYGSIAAPLTALLKKDGFSWTEEAAAAFAALKSAVTTAPVLALPDFNKPFIVECDASTLGFGAVLLQDKHPLAYFSKPMAPRHRSLAAYERELIGLVHAVRHWRPYLWGRRFTVKTDHYSLKYLLDQRLATIPQHHWVGKLLGFDFVVEYKPGAQNTVADALSRRDTDCDTEAGAVLALSAPRFDFFDKLRRAQQDAPALVALRDEVTSGSEARHGLYGTASSPTAGASTSTPTLPSFGSCSARCTTTGTRGSNAPYTDCAATTCQRNKAEHLHPAGLLLPLPVPSAVWSDIGLDFVEALPKVKGKSVILTVVDRLSKYAHFIPLAHPYSAESVAQAFFAEIVRLHGMPQSMVSDRDPVFTSKFWSELMRLMGAKLHMTSAFHPQSDGQTEAANRVITMYLRCFTGDRPRQWLRWLPWAEFIYNTAYQSSLRDTPFRITMAEREEFLQDVRYRLEQAQAAQKRHYDKLHRAVSYKQGDWVLLRLRHRPVASLDVAATGKLKPRYFGPYRIAEMINEVAARLELPPRSRLHDVFHVGLFKPWVGDPPSAPPPLPVVHHGATVPEPERVVKARLARGVRQVLVHWKHEPASSATWEDRDSFIERYPHFQLADELSLEEGRDVMYGNTYARTRRARDVRRAAARKGEVQQAHGQISG >Sspon.03G0014960-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:48000736:48003724:-1 gene:Sspon.03G0014960-1A transcript:Sspon.03G0014960-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALLHSSHMYHEAKSSFAHHGVKFSNLEVDLPAMMAQKDKAVAGLTKGIEGLFKKNKVTYVKGFGKLSSPSEVSVDLIDGGSTVVKGKNIVIATGSDVKSLPGITIDEKKVVSSTGALCLSEIPKKLVVIGAGYIGLEMGSVWNRLGSEVTVVEFAPDIVPSMDGEVRRQFQRMLEKQKFKFMLKTKVVGCDTSGDGVKLTLEPAAGGEQTILEADVVLVSAGRTPFTSGIGLETLGVETDKAGRILVDKRFMTNVKGVYAIGDAIPGPMLAHKAEEDGVACVEFIAGKEGHVDYDTVPGVVYTHPEVASVGKTEEQVKDLGIAYRVGKFPLLANSRAKAIDDAEGVVKVIAEKETDKILGVHIMAPNAGEIIHEAVLALQYGASSEDVARTCHAHPTVSEALKEACLQTFDKAIHI >Sspon.07G0031000-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:15265563:15265787:-1 gene:Sspon.07G0031000-1C transcript:Sspon.07G0031000-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFLLSHRTKSFSYGDRFVLVLTAAHLPKAFNCLRLLQKLHVMKIGATAFSKPLPSSLITCSLKKFSATIAEGF >Sspon.02G0010080-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:28084572:28085985:1 gene:Sspon.02G0010080-1A transcript:Sspon.02G0010080-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVFQIEEFSNLSVPLPAVLQVSVTHQLKNILQEYVDHGSKIFKFYVIGDKVFYAVRDSMPNARFLKSSSGGEALTFNSLKTLPVATKEQQLQTGVQDSKLLDANLVEEAAKFLKGLLGLTIFGFDVVVQEGTEDHVIVDLNYLPSFKEVPDSEAVPAFWDAVRQAYESRQGNAQG >Sspon.02G0045300-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2C:105386559:105388796:-1 gene:Sspon.02G0045300-2C transcript:Sspon.02G0045300-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cation/H(+) antiporter 19 [Source:Projected from Arabidopsis thaliana (AT3G17630) UniProtKB/Swiss-Prot;Acc:Q9LUN4] GGILLGPSALGRSHKFLHAVFPAQSMTVLDTLANIGLLFFLFLVGLELDISAIRRTGKKALAIALAGISAPFALGIGTSFAFRATIVKGTPQGPFLVFMGVALSITAFPVLARILAELKLLTTDLGRMAMSAAAVNDVAAWILLALAIALSGDGSPIISLWVLLTAAGFVIAISLFLRPVLAWMARRSPEGEPVKEVYICATLAIVLGAGFVTDTIGIHALFGAFMVGIVVPKDGPFAGVLIEKVEDLISGLFLPLYFVSSGLKTDVATIKGAKSWGLLVLVIANACLGKIGGTVITSLLVKIPVREAVTLGFLMNTKGLVELIVLNIGRDRKVLNDEAFAILVLMALFTTFITTPIVMAIYKPARRTVPYKRRTVECAPGDADSELRVLACFHTSRHIPTLLNLVEASRGTAGRRLTLYAMHLVELSERSSAISLVQRARSDGMPFFNGKEQRTEQVVVAFEAFQQLSSVRVRAMTAISDLDTIHRDVIDSAADKRAAIIVMPYHKALHHDGTFVSLGSAYHAINKRVLREAPCSVAILVDRGLGGHAQVSAKNVSFSVAALFFGGPDDREALAYATRMAEHPGVAVTLARFQPSRPQLLDEAESAADEAAVEAFKAKVGGVKDGSVRFEEPEAYTREQVLETIESLSGFNVFVVGRMPPAAPLVEKPDELGPVGSYLVSPDFRTSASVLVIKRYDPATNPKSKRFDPKARPPVATEEDTLDEEMGMGSSAVVPVVQSPMSHIA >Sspon.01G0046460-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:97943680:97949719:1 gene:Sspon.01G0046460-1B transcript:Sspon.01G0046460-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPHPHPQEDKSDRHSAGAGASREQERRPSKAWGILIFGLIGVTTASFAITQVRRSVDWFYTQLNKVQSTSSWRYTSNSSSRGSFSEEAKKRYYQRMQQEYEEEQERVQRIRHMQSVFNRERNKFRRGYESWRENGPPGGYNYIPRDDWYWQTDTSHSEHKNRRTYTPAGPRVYPMSHHYAVLGLDRSRATPYTDAEVKTAFRTKAMEVHPDQNQDDREAAEEKFKEVVKSYEAIKLERKKQFSLSAFRSAASRPPRAAASLTPPGRDHAVPPLHPLRRGLSTAAADDPSLASSAEHAYRLLRRHHSDPQRLAAALSASGLDASSPHLLDAVLRRCGAASSLALHFFHWCSPSLPSPLPSSLALLAKSFSRASSAPSPSLLAPLPSQLLGPSLLCPVLRRLPQPRLLPFALSLLSARPDHDQPALFLSLLESLSKAGHVVTAEQLVEELQPRFPLSLRHYTALLYGWCRQGKLDEAKHVLARMKAADVALDVVAFNTLLAGFVADGRFEDAFELAGQMELRGCPPNAVSYTTLIQGLGSRGRVDEAMRVFVEMRRKGCAPDAVTYGTLVGTFCKADKISQGYEFLDAMSRDGLRVDAAVYHGFFVVHEKKEQLEECLELMERMRECRCPPDLKIYNVVIRLACRLGETKQAMTLWNEMESGGLSPGVDTFAIMVSGLVGQGLLIEACSYFKDMVGRGLFVAPQYGVLKDLLNALVRDEKLELAKDVWECIVSKGCELNVSAWTIWIHALYAKKHVKEACLYCLDMLEAGLMPQPDTFAKLMKGLKKLYNCQIAAEITEKVRKMAEERHVSFKMYKRRGVRDLEEKPKAKRRGQKQRRRRQPGHGQSSRNADILDASDEVEFSG >Sspon.07G0011160-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:43613991:43634007:-1 gene:Sspon.07G0011160-2B transcript:Sspon.07G0011160-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAEENSSLFLIFILTMIALPLVPYTILRLCRAASEKAKTIHCRCSGCHRSGKYRKSIYKRISNFSTCSNLTILLLWIVMIFLVYYIKHVSREVQVFEPFSILGLEAGASESDIKKSYRRLSIQYHPDKNPDPEAHKYFVEYISKAYQALTDPISRENYEKYGHPDGRQGMQMGIALPKFLLNIDGASGGIMLLGIVGFCILLPLMIAVIYLSRSSKYTGNYVMHQTLSTYYYFMKPSLAPSKVMDVFIKAAEYMEMPVRRSDDEPLQKLFVAVRSELNLDLKNIKTEQAKFWKQHPSLVKMELLIQAHLMCESFALTPALLKDYRHMLELAPRLLEELVKIAVLPRNPHGFGWLRPAIGVIELSQNIVQLAVPDFHPHHDRAAPRALHDPASVSRCFREGQDHPLPLLGLPRSGKYRKSIYKRISNFSTCSNLTILLLWIVMIFLVYYIKHVSREVQVFEPFSILGLEAGASESDIKKSYRRLSIQYHPDKNPDPEAHKYFVEYISKAYQALTDPISRENYEKYGHPDGRQGMQMGIALPKFLLNIDGASGGIMLLGIVGFCILLPLMIAVIYLSRSSKYTGNYVMHQTLSTYYYFMKPSLAPSKVMDVFIKAAEYMEMPVRRSDDEPLQKLFVAVRSELNLDLKNIKTEQAKFWKQHPSLVKMELLIQAHLMCESFALTPALLKDYRHMLELAPRLLEELVKIAVLPRNPHGFGWLRPAIGVIELSQNIVQAVPLSARKASGGNSEGIAPFLQLPHFTEATVKKIARKKIRAFQELCDMSLEDRAALLTQVAGLSEEQARDVELVLEMIPSIEVDIKCETEGEEGIQEGDVVTMYAWVSLQRRNGLTAALPHCPNFPFHKEENFWLLLADVASNDVWLSQKVSFMDEATAITAASKAIQETQEALGASAKEIGNAVKEAVDRVKKGSRLVMGKFQAPAEGTHNLTSFCLCDSWIGCDTKTSFKLKVLKRSRAGTRGHVPEEGPAAAAEDGIEEDEEEEEEEYDDYESEYSDDEEDEKNKGKGKGKLVNGSAHQGAESDIDSGSDE >Sspon.02G0016080-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2B:42636303:42636832:1 gene:Sspon.02G0016080-2B transcript:Sspon.02G0016080-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKGRTGQRVRLYVRGTILGYKRSKSNQYETTSLVQIEGVNTKEDVAWYAGKRMAYIYKAKTKSSETRYRCIWGKVTRPHGNSGVVRAKFKSNLPPESMGRKVRVFMYPSSI >Sspon.05G0009730-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:19358450:19363684:1 gene:Sspon.05G0009730-3C transcript:Sspon.05G0009730-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPQTVPRRGLFIGGAWREPCLGLRLPVVNPATEATIGDIPAGTAEDVEIAVAAARDAFSRDGGRHWSRASGAVRANFLRAIAAKIKDRKSELALLETLDSGKPLDEASADMDDVAACFEYFADLAEALDGKQRSPISLPMENFKSYVLKEPIGVVGLITPWNYPLLMATWKVAPALAAGCTAVLKPSELASVSCLELGAICMEIGLPPGVLNVITGLGLEAGAPLSSHPHVDKIAFTGSTETGKRIMTSAAQMVKPVSLELGGKSPLIVFDDIDDIDKAVEWAMFGIFANAGQVCSATSRLLLHEKIAKKFLDRLVAWAKNIKVSDPLEEGCRLGSVVSEGQYEKIKKFISTARSEGATILYGGARPQHLRRGFFLEPTIITDVSTSMQIWREEVFGPVICVKEFRTESEAVELANDTHYGLAGAVISNDEERCERISKALHSGIIWINCSQPCFVQAPWGGNKQSGFGRELGEWGLDNYMTVKQVTKYCSDEPWGWYQPPSKL >Sspon.06G0028070-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6C:1884918:1885418:-1 gene:Sspon.06G0028070-1C transcript:Sspon.06G0028070-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGLCNRNGLSCDSRPNISTARLSYSGLFRRLHTSLCSPPPFRPPWLHPYPYPQISDPRNEPAMACRLLTVLLAASLLLPLAHPDSTSSPLATTAYDELCLRGFPRGLLPSNARAYMLDTGSRALPPSTSALAVASARGELPRRLQRPPHGLPRRLPHLRPRRHLG >Sspon.08G0006230-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8B:16607314:16609682:-1 gene:Sspon.08G0006230-2B transcript:Sspon.08G0006230-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAQTSAFVHESKRRKFRALSHGVEADNSTSKVFPTITNDSSNGRHGDTYNGQTQFSTPFSIGSKTILEDKHASTSGGMVQPSSSRGEIDVFGSIPREGSAAMKNVAFNLQGSDGKSMTENRAIFGRASGVDNISRGASVSVHPKSSETAFKILKQLDRTIPSPTSKPLGLRQTLANRNTSSVATNRQIKGPDFSIGNGNKQSSINESGSANSETTYGKKVQQPQSSPIAEESSERVQRSGANSDVSEAGTSQQPLKSNLTPTSVAEVLDNKNTSKGFSFTFPIPKAPSSLLEPPPTPTMASPPRTLPITNEDIPTFTFGSPSTANKLVFSFNSTSSSLGAGATDPTFKFGSDNKRELVF >Sspon.06G0004730-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6A:15173895:15174833:1 gene:Sspon.06G0004730-1A transcript:Sspon.06G0004730-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFDEHDDGDEEMTPMPVSSIYDAPMQPGLVGLGGGGGGGGTPKPGDSGGGSFRTPGGVVGGGGGGGGGGGTRYRECLKNHAVGIGGHAVDGCGEFMAAGEEGSIDALRCAACGCHRNFHRKESDSPTGGSGADPAAAALSPAAITAYGAAAAHHHHHQFSPYYRTPAGYLLHQHQQLAVAAAGHMQMQRPLALPSTSPHSGRVDEGDDMSGLIGPMVVAPMVGMSLGSGGGPSGSGGSGSGKKRFRTKFTQEQKDRMLAFAEHLGWRIQKHDEAAVQQFCEEVCVKRHVLKVWMHNNKHTLGKKPSFPGAGA >Sspon.06G0010140-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:43188575:43194983:-1 gene:Sspon.06G0010140-1T transcript:Sspon.06G0010140-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPAPATAGELLRVEPLELRFPFELKKQISCSMQLSNHTDDYIAFKVKTTSPKKYSVRPNTGVVLPRSTCDVVVTMQAQREAPPDMQCKDKFLVQSVVAPAGITVKDVTGDMFTKESGNKMEEVKLRVTYVAPPQPPSPVPEESEEGSPSRASESENGDGPAGGFTRALRERIEPQDKSTEAGALISKLTEEKNSAIQQNHRLRQELDLVRQEISKRRGGGFSFIIVIIVALIGIIMGYLMNSAHTSFVKRSPARRAAAINIVNTALQLLDEMYPVSKGPMAAPTDPVPSIIAVTVASARELPFKELWVPRSADTAVVIRA >Sspon.07G0000970-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:2009860:2011552:-1 gene:Sspon.07G0000970-1P transcript:Sspon.07G0000970-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALTPEGIFLLAFCVSSVVSSSSAGSQSCRSFTSMFTLGDSYIDTGNFVIMAAPVIPVWIDKPPYGITFFGRPTGRTGDGRAIIDFMAEAFDIPLLPASLANSSDVSRGVNFAVGGATAIDVGFFERNKLVPFKLLNNSLDVQLGWLEDLRPSLCNTTERSCGECFSKSLFFVGEFGVNDYTFTWMANKTKSEVMAFVPKVVRTIASAVERLIVRDGAAHVVVPGNPPIGCSPALLTLLRTSPSAAEYDRIGCLRGVNDVARHHNALLHAAVDGLRAKHPKATIIFADFYTPIRRILENPSQFGVVNDVLKACCGTGGAYNWNASAVCGMPGVAACANPSVYVNWDGVHFTEAVYRYIAEGWLYGPYADPPILKATRP >Sspon.05G0026310-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:33307170:33307466:-1 gene:Sspon.05G0026310-1B transcript:Sspon.05G0026310-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVQVWQHWREKRASDVVDGDLGVEGQEHAARQALRCVHVALLCVQSDRARRPTMGQVIAMLSSGDDGAAEELPEPSLPGYVVRPTAASGAQLCFGCR >Sspon.04G0014020-3D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4D:57623416:57624402:-1 gene:Sspon.04G0014020-3D transcript:Sspon.04G0014020-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDGNQKQSSHDREWTDRRSNETALEPVREAPDPSHHTALLISTRLLLGLLGMAVPVVVVEEAAAVAVAVAVAEPPLHDRLPEPPDHLFHLFILVVAVLAMLSLSVTEPLPHHILLLILFVVVVVEVEPLHVHALRGRLLLLLLLLAVLLVVVDVVETVAGHAVVEVEVEPPPQHLLLPRPDRRRALVVVPVSVGERRLPAVTVRERTIRVRLGHGLHLLRLPGLLCGCGQDGKLAHRLAPLAVARIMVVEPARRVTVHAVVALPPEVVVEAAGAVAVEPVGRQAEEFEIMPLLRRDREGLLVSGAGARLGGERGVGRQPILVADGDGG >Sspon.05G0034250-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:5C:52727258:52727493:1 gene:Sspon.05G0034250-1C transcript:Sspon.05G0034250-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DLVRCLPPYSPLAVLAANLPEFYMGKGGEAMRVEVESAAREALLDAAVLAGERRRWPESMRGVRFRQRWLCCPRVAE >Sspon.01G0042620-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:60172861:60176780:-1 gene:Sspon.01G0042620-3D transcript:Sspon.01G0042620-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLALRLGFVAPPYPRTSSGRFAFSPSSCRAVANDAGVGGPARPVTVDGDPPTVVSAPGRRIVASTYVSRLASPFIYSFSTYGTVLHVRASNVSTSGAVGDVHGDLSQTRAALVLAGVLSAESEGHLWTGGRTVLVQVGDILDRGEDEIAILSLLSSLNMQAKSQGGAVFQVNGNHETMNVEGDFRYCDPGGFDECVRFLDYLDECDGNWDNAFLNWINVCERRKKEYGALPNGDWRPWDFVKKQKGFAARSSLLKRGGPLACELARHPVVLKINDWVFCHGGLLPHHVEYGIDRMNREVSIWMKCSGEDRDDETDIPFIATRGYDSVVWSRLYSQDPAERTRRALMLSSIVAERTLEAVGAKGMVVGHTPQMHGVNCKCDGKVWCVDVGMSSGILYSRPEVLEIVNDRPRVLKKRRELYDEMEVLDYL >Sspon.05G0006120-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:13334442:13346153:1 gene:Sspon.05G0006120-2B transcript:Sspon.05G0006120-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCLRLFKGRYKSCKRRPEAMAPAPVPAALSSTVSTEARSLASAAAASCASFASSSANVSEASSARPAASGSSGSGSASSARSIPELYEERGALREFGLRELRAATRDFSPLLMVGEGGFGCVYRGVLRLSGGGPGGTPVAVKRLNPNGGQEWLAEVHFLGVVEHRNLVRLIGYCASQTDRGPQRLLVYEFIPNTPTGRWTTTCSTGRTLCFHGKSGCRSHWALPRDCCISMRAWNFRYQFSISSHIIYRDFKASNVLLDEEFRPKLSDFGLAREGPSEGQTHVSTAVMGTFGYAAPDYVQTGHLTTKSDVWSLGVVLYEILTARRSIERNRPRNEQKLLEWVRRHPPESEQFAAIMDARLQGRYPMRGATEVARLANGCLAKHAKDRPTMREVVEGLRQATRHTEMNGVVVVVGAAAECQGSPPRAPGAEDASAVAVAAEARKRRMLHLAALGGAAADAHARRRLMLMRAAATAAAAPTLPVAEMGCLRLFKGRYKSCKRRPEAMAPAPVPAALSSTVSTEARSLASAAAASCASFASSSANVSEASSARPAASGSSGSGSASSARSIPELYEERGALREFGLRELRAATRDFSPLLMVGEGGFGCVYRGVLRLSGGGPGGTPVAVKRLNPNGGQVMGTFGYAAPDYVQTGHLTTKSDVWSLGVVLYEILTARRSIERNRPRNEQKLLEWVRRHPPESEQFAAIMDARLQGRYPMRGATEVARLANGCLAKHAKDRPTMREVVEGLRQATRHTEMNGVVVVVGAAAECQGSPPRAPGAEDASAVAVAAEARKRRMLHLAALG >Sspon.03G0002960-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:22875470:22888010:1 gene:Sspon.03G0002960-2C transcript:Sspon.03G0002960-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNHAYSRLGISGAGAAVPSPPSSPRRAWGRRASAKGGWSARASAGAVRRVARAVLAALLRRQAVFLFAPLLYVAAMLLYMGSISLDSVPRIISRPAPGSVYRSPQLYARLRADMDADNATDAVSLPESNGYIYIEANGGLNQQRTSICNAVAVAGFLNATLVIPNFHYHSIWRDPSKFNDIYDEDYFIQRLKNDVRVVDKVPEFIMERFGHNLSNAFNFKIKAWSPIQFYADIVLPKLIEERLIRISPFANRLSFDAPPAVQRLRCLANFEALKFSKPITTISNILVSRMREKSVENNGKYVAVHLRFEEDMVAFSCCVFDGGDDEKKELDAAREKDQSAHMGIFHVIKTSNIRPLH >Sspon.08G0001850-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:4006808:4009468:-1 gene:Sspon.08G0001850-3C transcript:Sspon.08G0001850-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSPVGDGGSAERWRAEAARAFQHYLDRAAPHTAGRWAGTLVAAAVYALRVYYVRAFYVVTYGLGIYLLNLLIGFLSPMVDPELEALEAGPGLPTRGSDEFKPFIRRLPEFKFWYAITKAFCIAFVMTFFSVFDVPVFWPILLCYWIVLFVLTMKRQIVHMIKYKYVPFSIGKQRYGGKKGPAASASKD >Sspon.04G0003800-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4B:7389568:7390079:1 gene:Sspon.04G0003800-2B transcript:Sspon.04G0003800-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRLLAHRQFGCPSRRLVGRSPALPVLCNNVLPGYRYYSAEKHDDTTLGEIGDKASSTAEEFLRVAKEKTDDVEESAKETLHETKEAVVGESDDEKEKFKRRVEEG >Sspon.01G0046940-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:100711393:100712451:-1 gene:Sspon.01G0046940-2P transcript:Sspon.01G0046940-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDQQALPVPVPVPPNPNPTAPGDPTPPSASAARKLPIKRRSPRPSSSPPSSSSPASSDPLRAPAPGGGGSDQQQPPFKFQRIWSESDELRFLQGLLGCGAQGLVFPRDLNVFYDRFSESMPQPYTRAQLSEKLRRLKNKFRSMSARVAGGLDPARLAPHDRDVLHLCSRLWDPANAATSPFSASAGTSGNKRRRANPRGTPLPPPDASGDSNSHDYNGIGSSAPGLFPDGSNGEDMFYLEQESGHLGDHEGAALVADSRFGVIVQEQPEAVVTLPNGNNGIGNEMNVECKMVVPCSNEHPMANAVLDVFEECLREAKSNGIINVAMWTGGLRKVSLPSGGERRGWMSLMS >Sspon.02G0039270-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:55704322:55709416:1 gene:Sspon.02G0039270-2C transcript:Sspon.02G0039270-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADRPPSSLPTPPLPGHRSQLLLLGPRPLPRPSLPRTTSGSRPSPRLSRSRAGPHTSLPRPGPHTSLPRPSPSLPTRRRPSPQGGTPRARAVGRTLLLLSPELRISYRRPALLWYLQGTALPPSRPPSPAFWVRTPGPGALTTFQPPWLPSCAPPGLMPPSSIGQPWLLVGARLLFLARVPTPPSPPPLSLPARPPRRGRLVCVRPPPSGSVSATRLTPWLARSQRPSISPLPRARTPEPPPLPRRDAASPLPGRGVQNIRLLVPVVLEPESPSYARWRDLVLLTLRRYALDDHVLVDASVAVQTPSWLRLDSIGMADSLGDLGWLVEDRILVLNVLRGLSDRYAHLWTWITRQRPFPTFLEVRDDLVMEELTQGLQPGSTTSTGSSSPSTALAATSPCPSAPPRSTAPPPPSLLGPPPSGPSGGGGAVAVAVDAEGVAGHPWSGRIYMWPYHATGADSRPPVAMLTGAPPAGPPLGAFPATAWTPPSGALPGVAGWDQAALARSFSTMGLTPPVGPEWIADLGATYHTTPDPGILSSLHSPSSLPSSIMVANGSCLPVTSVGTAGAHGSFRLSDVLVAPSMVHNLSIRRFTADNSCSVEFDSSGLTVKDLASRRPLLRCDSTGPLYTLRFLAAPSSSSPSFLSAAFAASTSPTTWHRHLCHPGRDALIQLSRSSGLPCTRGHDEQLCHACQLGRHVRLPFSSSSHAARIFDLVHCDLWTSPVLSMSGYKYYLVVVDDFSHYSWTFPLRAKSDTFTTLLHFFTWVSTQFGLTIKAVQCDNGREFDNSTSRDFFLSRCSVAHASLPARFWAEGLHTSTYLLNRLPSAACPAPTPHHALFGTPPRYDHLRVFGCACYPNTAATSSHKLDPRSTLCVFLGYSPDHKGYRCFDLTSRRVLISRHVVFDESVFPFSSTTTTPVPEPPSLFPTDPVIQPPFSWSAGTASPCSVPATGPGSPAGPDPSSSGAAPSSPIGADPGPSSPASASGGSCRSPTPDPAPAPPSRFAAPVRVYQRRPRPPPLAVPSPPGTPTPPPQSLPARGAPPVYHPPLLHRHPRHVHPMVTRHAAGTLPPRALEASTGDAVVSPVPSSVRDALLDPHWRRAMEEEYAALLANQTWELVPRPQGSNVVTGKWIWTHKRRADGSLERYKARWVLRGFTQRPGVDYDETFSPVVKPATVRTVLSLALARSWPVHQLDVKNAFLHGLLTETVYCSRPAGFVDSTRPNMVCRLNRSLYGLKQAPRAWNHRFAAFLLTLGFMEAKSDTSLFVYHHGADTAYLLLYVDDIVLTASSEPLLRRIIAALQQEFAIKDLGELHHFLGVTVEHRLAGLLLHQRQYTRDILERAGMTDCNPCSTPVDTQGKLFEVEGPPVADPTAYRSLAGALQYLTFTRPDITYAVQQVCLHMHDPREPHFTALKRLLRYLRGTLDYGLLLHRASSTDLVVYTDADWAGCPDTRRSTSGYAVFLGGNLVSWSSKRQPGVSRSSAEAEYRAVANGVAEAAWLRQLLAELHTPPSRSTLIYCDNVSAVYLSTNPIQHQRTKHVEIDLHFVRDRVAMGEVQVLHVPTTS >Sspon.08G0015250-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:58406308:58409683:-1 gene:Sspon.08G0015250-3D transcript:Sspon.08G0015250-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALASGTSRPLLGRPAGTARPHLAVSSSSPASSIRFRRGAGAGRAAVVSLRAPAPPAAAAAATSGSIAPAISLTEKALKHLNKMRAERNEDLCLRIGVKQGGCSGMSYTMEFESRANASPGDSVVEYDGFAIVCDPKSLLFMFGMELDYSDALIGGGFSFQNPNATKTCGCGKSFATGKETEAAATTCNN >Sspon.07G0013170-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:53299383:53300846:-1 gene:Sspon.07G0013170-2B transcript:Sspon.07G0013170-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDLPEALLAEIAKRLTSPSDLKSLSLVSKRLYAVEGELRNSMYIGCGVFPLTVALIRLCFRYPNLCKVEFNYSGWTSNHGMQLDKHGLQVFSSCCTSLTDLTLSFCTNVDDSGLRLLVCFKKLMSLRLNTLPAITSSALLQVAIGCKNLSSLHLIGCNKVGGTIWLEYLGRFRSLNELVVNRCEMIRQFDLLKFGPGWMKLQKFEFQIKGCPNIFDPRDPSCLEHCQYRYDFSCESLEDLTLARVSTEKEIGLRCLLRKCNALKNLCLYYVLGVQDNDIVTLSNNCSNLTSISLRLTPEFNEGHVFRTSLTDDSLKALALRCRKLQSFELIFWGCDENWPEIGFTQEGLVMLIQSCPIRNLVLSGAHIFDDEGMKAISSAQFLESLELMDCINVTNAGMRLLAHCPCLINLTLRQCDRFSDAGVTEVARARKLETLVIEGCSRVSPEAVQGAATSVHYTKDYPGLFSLGRARLSGRMKRPTVCAPG >Sspon.05G0018080-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:76088814:76094408:-1 gene:Sspon.05G0018080-1A transcript:Sspon.05G0018080-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRGVLLLAAAVLLAVAHSPLAYASEADHKIHWFSIFNSFMMVIFLTGLVSMILMRTLRNDYAKYAREDDDLESLERDVNEESGWKLVHGDVFRPPRSLVFLSALVGIGTQLAALILLVIVLAIVGMLYVGRGAIITTFIVCYALTSFISGYVSGGLYSRNGGKNWIKAMILTASLFPFLCFSIGLVLNTIAIFYRSLAAIPFGTMVVMFVLWAFISFPLVLLGTVVGRNWSGAPNNPCRVKTIPRPIPEKKWYLTPSVISLMGGLLPFGSIFIEMYFVFTSFWNYKVYYVYGFMLLVFVILIIVTICVTIVGTYFLLNAENYHWQWTSFFSAASTALYVYLYSIYYYHVKTKMSGFFQTSFYFGYTLMFCLGLGILCGAVGYLGSTLFVRRIYRNIKCD >Sspon.08G0004340-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8A:12529398:12530354:1 gene:Sspon.08G0004340-1A transcript:Sspon.08G0004340-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPRMTCWEMNSDVGIPRLIAVPATASSCSRTNKFELRPKEARSTIPLPLVAPAQAAVRRGALSLSATTRLLRSFPLAQD >Sspon.03G0021170-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:87689275:87689526:-1 gene:Sspon.03G0021170-2B transcript:Sspon.03G0021170-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding WRLRRTRRGRPWQRRWCCACCCWRRGRRRPPAPIRGSTYRMTRCSAARCWATARRTRARRPNAPGSPPTSTPAAAARSSSAAAD >Sspon.06G0019390-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6C:1329251:1329748:-1 gene:Sspon.06G0019390-2C transcript:Sspon.06G0019390-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTALKDRHLMCVRHGRRGNRRVVASGPAASVASPAQWAVRKNPLDGGRAWWWARFSFQERRNRTVGSKRPGRDRKLCQASPVGRRTASTSSPRHETEQAAPSVACMSCASEVRSARSPGVGAGATVWLDRRRAQRADRRRHGPECGGAMRPYLSRGSGAIRHTRR >Sspon.05G0009580-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:27650011:27653484:-1 gene:Sspon.05G0009580-1A transcript:Sspon.05G0009580-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Agmatine deiminase [Source:Projected from Arabidopsis thaliana (AT5G08170) UniProtKB/Swiss-Prot;Acc:Q8GWW7] MLKVLEGLPAKMGFRMPAEWEPHEQCWMGWPERPDNWRENAGPAQEVFARAAIAISKFEHVTLCASAKQYPKVHELMEHQTNIRVVEMSMNDSWFRDMGPTFITCKGDSRNGEQTIAGIDWQFNAWGGTCITTEECLLNPNRNPNMTKLEIENELKDFLGVSKVIWIPRGLYGDEDTNGHVDNMCCFIKPGVILLSWTDDEKDPQYERSVEALSVLSQSVDAKGRQLEVVKIHVPGPLYMTKEEAEGVVSTGHAVPREPGTRLTASYVNFYIANGGIIAPSFGDNKWDKEAHAVLQKAFPDHEVVYLDCAFYLQTFKGLRQLALCPVELN >Sspon.03G0023410-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3C:89804915:89808877:1 gene:Sspon.03G0023410-2C transcript:Sspon.03G0023410-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHANNGRRDQHTPRSAKLASPRGGGGVVGGGEHHYSPSAKTPRGGGAGSASPTKLPSSAAWLMGSRWAPSAALSLLLFLAVALAVTSSSSSYLSSSSSFFSFLPATRAADFVEGERAATQPAAAANDMSPPPGAGVPRLAYLISGSKGDLDRLWRVLHALYHPRNQYVVHLDREAPVAERLELAARVANSTVFRRAGNVHVIRRANMVTYRGPTMVSNTLHACAVLLRRGGAWDWFINLSASDYPLMTQDGEGSRRIGKPPVSGGRGAAARREATVSGRPRRRGAAACGSHRVARSRREGSRAADVELGRRGGQLSSRPRREGETGPPASGMGIGEGAAAAPGRADILHVFSTVPRNVNFIGHTGNLGWKFGQRAQPMIVDPGLYGSKKQDLFWVTPKRELPTAFKLFTGSAWVALTRDFVEYTVWGWDNLPRTLLMYYANFVSSPEGYFQTLLCNAPRFVPTVANHDLHHIRWDVPPRQHPRALALADMPAMLASGAPFARKFPRDDPVLDAIDDGLLARPRPANGTGNGTAGEVAFVPGGWCGADAACRAVDNDWVLRPGPGAERFGRLIDRIVRSDAFPNRQCK >Sspon.04G0019860-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:70141989:70145930:-1 gene:Sspon.04G0019860-1A transcript:Sspon.04G0019860-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAKDAAVALSSGHRMPAVGLGVWRMDKPDVRGLIHAALRVGYRHLDCAADYQNEAEVGDALAEAFQTGLVKREDLFITTKLWNSDHGHVLEACKDSLKKLQLDYLDLYLIHFPVATRHTGVGTTSSALGDDGVLDIDTTISLETTWHAMEELVSMGLVRSIGISNYDIFLTRDCLAYAKIKPAVNQIETHPYFQRDSLVKFCQKHGICVTAHTPLGGSTANTEWFGTVSCLDDPVIKSLAEKYGKTPAQLVLRWGLQRNTVVIPKTSKLERLQENFEVFDFDISGEDMEKMKAVDRNYRTNQPAKFWGIDLFA >Sspon.08G0007600-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:25171240:25176557:-1 gene:Sspon.08G0007600-1T transcript:Sspon.08G0007600-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MANDSLTRGHIIGDVLDPFTGSVPLTVMYDGRPVFEGMEFRASGVSVKPRVEIGGRELIPYENPSPTMGIHRIVLVLYQQLGRGTVFAPQVRQNFNLRNFARRFNLGKPVAAMYFNCQRQTGTGLIDKLGRFGLLYLTLRARQEPTDLPRALPGMGRQIARWQHGAHRARRAEVLPTLGSTWSWRTAPWKCRARSTVSSIET >Sspon.04G0037110-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:22842208:22843597:1 gene:Sspon.04G0037110-1P transcript:Sspon.04G0037110-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LLMAAPFVWKTYMMVEDPGTDGVIGWGKGNNSFVVADPFVFSQTLLPAHFKHNNFSSFVRQLNNQGFRKVDPDRWEFAHASFLRGQTHLLRNIVRRGSSSGAGAGGGGGGKRKDAAASGGPAEASASGDDMAMVATEVVCLKQEQRAIDDRVASMWRRVQETERRPKQMLAFLLKVVGDRDRLHRLVGDAAAPDNGFTSGAAAEPPAAAEGGEKRARLLLDGDNMVALGPEAIDFAGFYSGGGVFGDVAAGSGGGGCSFAFGGG >Sspon.07G0016240-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:63359907:63367349:1 gene:Sspon.07G0016240-2C transcript:Sspon.07G0016240-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPTKSQSLQVEKEKERSTSAEAAAKQSALSGGCPSVMVRKKRTGPGESSGEASGAPGQGSSQRPQQLNRVPVVEGNTRAAVDIRAVERRLHSNQAVGHLSINRVTTRDVVDIRDVAVHRHSFLLAGRLSLNRVAIRDVVDTRAVAGHLHSILVVGHHLGLNRMTTRGVVVRVPEGECRSHTVAGMWEVVLDQVFLQVHLDQFPSCTKPQMSNVKPLWWQRHHHRELARPRSLGRPRVQPAKLVRWHQRQAKRLDSHCALARVSITPEVTSRGVNRAVMGELVNLYRHSHLDGRLPAYDGRKSLYTAGALPFTSKTFEITLQDEEDSLGGGQRRQRVFRVVIKFAARADLHHLAMFLAGRQPDAPQEALQVLDIVLRELPTARYCPVGRSFYSPNLGRRQHLGDGLETWRGFYQSIRPTQMGLSLNIDMSSTAFIEPLPVIEFVAQLLNRDISVRPLSDSDRVKIKKALRGVKVEVTHRGNMRRKYRISGLTSQATRELSFPIDDRGTVKTVVQYFLETYGFSIQHTTLPCLQVGNQQRPNYLPMEVCKIVEGQRYSKRLNEKQITALLKVTCQRPHEREKDILQTVHHNAYSEDPYAQEFGIRIDERLASVEARVLPPPKLKYHDSGRERDVWPRVGQWNMMNKKMVNGGRVSSWACINFSRNVQDGAARSFCHELALMCQVSGMDFALEPVLPAGYARPEHVERALKGRYQDAMNILRPQGRELDLLIVILPDNNGSLYGDLKRICETDLGLVSQCCLTKHVFKANKQQYLANVALKINVKVGGRNTVLVDALTRRIPLVSDVPTIIFGADVTHPHPGEDSSPSIAAVVASQDWPEVTKISECSSPSPRIDTGSFQSISRSPKGGSVSGGMVRELLISFWRATGQKPKRIIFYRDGVSEGQFYQVLLYELDAIRRACASLESDYQPPVTFVVVQKRHHTRLFANNHNDPRAVDKSGNILPGTVVDSKICHPTEFDFYLCSHAGIQGTSRPAHYHVLWDENKFTADGLQTLTNNLCYTYARCTRSYLLRTMLTWQPFRARFYMEPDTTDSGSMASGATPSRGPPPEVRNTRAGVGNVAVRPLPALKENVKRVMFYC >Sspon.03G0025030-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3A:75827764:75830226:1 gene:Sspon.03G0025030-1A transcript:Sspon.03G0025030-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RHPRAGGLDIWLARGRGRGGPRWPACWRCQAVAGEECCHPERRTARGLERERRESRQAAVAGYGSLRAVHGSSCGQPEGRHGGGPRWRPGLGEKPPRVIGARAEAGEEPEGAETSEGQREATCVAEASASTQRETEKREGKAATPLTPGTTAAAFVVYTFVATCASALAQVPALLAGRNGPEGARRATRRSSSSHATGAGVAPGPDSGAYWSTRTSGVGRWRTSGRTARPPGGVLANTFESLERGVVQALRDPWCVPGRALPPMYCVGPLLSSEDGSAERHGTGAWRGSTRGRSAASCSKGEHSVEQLREIAVGLDKSGHPFLWLWVIRAPDSNEEHDSNQLQAINGANRDISPSISDGLTLSRELRKPSKQIIFVFPRGQLWRRTMPLDQQLMIEAIVPRGSSMIQNQLRKLHRCVICR >Sspon.05G0009870-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:30715291:30716930:1 gene:Sspon.05G0009870-4D transcript:Sspon.05G0009870-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPMAVHPTTTPALSLRARVSPPWPSTSLAADSSSPCSRTVGFKSRRLPLRSLRSVVAAAAADAVGAEEEEVQLGGGVDAVDEEEAENKVVVPERQDPTLVLKFIWMEKNIGIALDQLVPGYGSIPLSPYYFWPRKDAWEELRAKLEEKEWISQKQMIILLNQATDIINLWQQGGGSLST >Sspon.04G0026380-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:42707756:42708248:-1 gene:Sspon.04G0026380-1B transcript:Sspon.04G0026380-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGFTILFNALFTLALTYLKPYGNSRPSVSEEELNEKHAIIKGEVVLDGSNHLVSSSSHRSTRVKTETDSAIMEDDSSLTKKGIIIPFVPLSLTFDNIKYSVDMPQVKYRKFISYTSNKGNLIIIL >Sspon.08G0016360-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:64829705:64830433:1 gene:Sspon.08G0016360-1A transcript:Sspon.08G0016360-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLTTKGGAVFPVTWPIIPVLASTNGGPYHPIGYCLGVIKNSIFSTEDATIGCTLFSLSLAISYLIANINIYKNFMTGLKVVFDRRRSVLGWEKFDCYKDAKMQDGGSPDTSLGSPAAARDDSAPGSPDGDYVPFAPLPWQSNATDGPYYPGRVPLTWPQR >Sspon.06G0008970-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:39032877:39036439:1 gene:Sspon.06G0008970-2B transcript:Sspon.06G0008970-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSGHSLSLAVAALVLAVALCTAARRTCAIGVNWGTQLSHQLPASTVVRLLQDNGFDRVKLFDAEDTILGALKGSGIQVMVGIPNDLLADLAAGGKAADNWVAKNVSGHVRDGVDIRYVAVGNEPFLETFNGTYLNTTFPAMQNIQAALVKAGLADKVKVTVPLNADVYQSPTGKPSDGDFRADIHGLMLTIVQFLASTGAPFVANVYPFISLYADPNFPLDYAFFQGSSSPVVDGGVTYQNTFDANHDTLVAALRRNGFGNVSVVVGEVGWPSDGDANANLDYARRFNQGFLTHIASGQGTPLRPGPVDAYLFSLIDEDRKSIQPGNFERHWGIFYYDGTPKYPLSLAGGNGSTLKPARGVKYLEKKWCVLKPSANLADQKVGDSVSYACGLADCTSLGYKTSCAGLDAKGNVSYAYNIYYQTMDQDDRACDFNGLATTTSVDPSAGTCRFIIEIDVGAAAPRSATGVAAAVLTALVLSVLL >Sspon.03G0007900-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:21345054:21345341:1 gene:Sspon.03G0007900-1A transcript:Sspon.03G0007900-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAAAAMMVLAVVALAAVVPRAEAGQNCICECMKLCVRTRIPSMGQCAGKCREKACTKSCEEACTLKGFPKLPSEGISACEVAPLTPDEVHMLH >Sspon.05G0036460-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:87265796:87268063:1 gene:Sspon.05G0036460-1C transcript:Sspon.05G0036460-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPASAATKCSSSASGVALLLLLVLMAAGTSSAQLSTSFYSSSCPGVYDAVKSVIQSAIATEQRMGASIVRLFFHDCFVQVRYIPPVHHLFTGCDASLLLDDTSSFQGEKMATPNNASVRGFEVIDAVKSAVEKVCPGVVSCADILAIAARDSVVIVGRRDSTTASFSGANNNIPPPTSGLANLTSLFAAQGLSQKDMVALAGDNNLAPLDLQTPTVFENNYYKNLVCKKGLLHSDQELFNGGATDAQVQSYISSQSTFFSDFVTGMIKMGDITPLTGSNGQIRKNCRRVN >Sspon.06G0008730-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:37615707:37618426:-1 gene:Sspon.06G0008730-2B transcript:Sspon.06G0008730-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRFPSHQLSNGLYVSGRPEQPKEKAPVICSSAMPYTGGDIKKSGELGKMFDLHRKSGPLGNQPSRNTSFGGAANNSGPVSNAVGRSNYSGSISSAVPGTGGSSRTKSNSGPLNKHGEPTKRSSGPQSAGVTPMARQNSGPLPPVLPTTGLITSGPITSGQLNSSGAQRKVSGPLDSSVSMKMRTTSFAHNPAVTNLNVGDGYSIKGSIPTTIIWLVALLFLVGFVAGGFILAAIHNPILLIVVVVIFGFVAALVTWNICWGTKGVIGFISRYPDADLRTAKDGEYVKVTGVVTCGNLPLESSFQRVPRCVYTSTCLYEYRGWDSKAANTTHRRFTWGLRSMERHAVDFYISDFQSGLRALVRTGSGARVTPYVDESVVIDINPDNKDMLPEFLRWLRGRNLSSDDRIMRLKEGYIKEGSTVSVMGVVQRNENVLMIVPPAEPISTGCQWAKCILPASLEGLVLRCEDTSDLDVIPV >Sspon.03G0017120-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:41010159:41013636:-1 gene:Sspon.03G0017120-2D transcript:Sspon.03G0017120-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSMGSLVLLCLVSPLLLPSVVLGHPWGGLFPQFYDHSCPKAKEIVQSIVAQAVAKETRMAASLVRLHFHDCFVKVGGPYWDVPLGRKDSLGASIQGSNNDIPAPNNTLPTIITKFKRQGLNVVDVVALSGGHTIGMSRCTSFRQRLYNQTGNGMADSTLDVSYAAQLRQGCPRSGGDNNLFPLDFVTPAKFDNFYYKNLLAGKGLLSSDENPLLYWLSLFLMSYSSTFTPEA >Sspon.02G0035310-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:18525265:18530875:-1 gene:Sspon.02G0035310-1B transcript:Sspon.02G0035310-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GPAT9 [Source:Projected from Arabidopsis thaliana (AT5G60620) UniProtKB/TrEMBL;Acc:A0A178UCY8] MASSSVAADMELDRPNLEDYLPPDSLPQEAPRSLHLRDLLDISPVLTEAAGAIVDDSFTRCFKSNSPEPWNWNIYLFPLWCFGVVIRYGILFPLRSLTLALGWLAFFAAFFPVHFLFKGQDKLRSKIERKLVEMMCSVFVASWTGVIKYHGPRPSTRPHQVFVANHTSMIDFIILEQMTAFAVIMQKHPGWVGFIQKTILESVGCIWFNRNDLRDREVTARKLRDHVQQPDNNPLLIFPEGTCVNNQYTVMFKKGAFELGCAVCPIAIKYNKIFVDAFWNSKKQSFTMHLVRLMTSWAVVCDVWYLEPQYLREGETAIAFAERVRDMIAARAGLKKVPWDGYLKHNRPSPKHTEEKQRIFAESVLRRLEEK >Sspon.07G0007590-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:15872984:15874969:1 gene:Sspon.07G0007590-1T transcript:Sspon.07G0007590-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKTLIFHEGKAPKGDRTDWVMYEYKMEDEDLVCAGFSKDAYVLCKIFKKSGLGPRIGEQYGAPFNEAEWDNAEAESSMFPLMTSSEVVNPTEGPRAQPAAPAGALQEPPLHNSSATCAGEESSFDHATANTCAEDVTFGYTVASSAIQDIPAQMSGDGVVSVNNISNEANDMYSPRDCDGFLLEELSRFLNDSPVRNTPFGECSGLPPMPEAEAHAFEVNSFGLYNELSGLVGFGGVDNNFNTSNVETTDYVVLPPDS >Sspon.01G0037930-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:26989633:26990611:1 gene:Sspon.01G0037930-1B transcript:Sspon.01G0037930-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPAPAPSAASAAAVDREEIVFLDVETSTPPRVLLLEFGAVVVCSRRLVDVSSYTTLVRPADLDAVPDPTARCNGITRDTVADAPPFRDVADKVYDVLHGEYVVSACSPFDHIVSSRRVWAGHNIVKFDSVIIRDAFAEIGRPPPEPKGMVDTLPLLTQWFGPRAGDMKLASLANYFGLGKQRHRSLDDVKMNIDVLKNCATVLFLVFSHLLFPREYNWFI >Sspon.06G0005140-2D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6D:15650228:15650444:-1 gene:Sspon.06G0005140-2D transcript:Sspon.06G0005140-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding EQIELFVVELNAELNATECAEVTGLELGGDTDLGSGGGRRMERGRDGRHESEQGMRGTGGVRPVSGGGASA >Sspon.03G0026830-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:3923211:3925815:-1 gene:Sspon.03G0026830-1B transcript:Sspon.03G0026830-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase TIO [Source:Projected from Arabidopsis thaliana (AT1G50240) UniProtKB/Swiss-Prot;Acc:Q2QAV0] LISRACLQNVSFCAIMCRPWNSPSDVDAVEYGGDGTIVSDMFSLLSLCGSYLNKESKQNSNQKCKLSNPHALVVHCCLALATIAACLKSEGESSASVILTSSQKKQRSRLSVLAHLSSVDDTVKSCLQPHCASAMLALSSLVSLENGGQTRSSLCETALALFPRMATLHTLLNFALCRYNAGLLNLFGLRDGSIGLLETRLKWGGPLAIEQACSVGIPQLLIRLLTDGFSKEISDGKDSSTNRSGLSPLGVVWTLSALSQCLPGGVFREILYRREQLKLLTDLLSDTHLKALAAWTGLGGGKRGVRELINSVVDILAFPFVAVQSSPNMPLASASINSGFLLNVASPGGRIGTENKEMLKTIEQNMPQYIQVLLEVGIPGCMLRCLDYVDMEDLGRPLAIVAKMAGYRPLALQLHKEGLLDPSRVAALLEGPIAKETLLDFLMIISDLARMSKDFYVPIDKAGLVGFLKNFLSNGDPDIRAKACVPLATCAAIAPTFMAHLKYAANKVIQLVVDRCSDPDKRTRKFACFAVGNAAYHNDMLYEELRRSIPQLTTLLLGPEEDKTKGNAAGALSNLVRNSDKLCEDIVSQGAIQALLKMVGSYSTVALSPSRRDALTESPLRIVLFALRKMCDHAICRNFLRSSELLPVIVHLRQSPDPTISEYASAIATRVSQA >Sspon.05G0011200-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:31984702:31985880:1 gene:Sspon.05G0011200-1A transcript:Sspon.05G0011200-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGRCSQEKGTAEARSGERKKRWWNGEGAGEKDVNEKERPEEAQDEDDDEEEADVGTAEEEHDDDGRSCLRRRSHRRVAAVAGAAGDGDAEGLGIAVRVRLCRGSWCGRQSRQMSAGVSGGAAQEGRDCVGLLWSGHGPWRGGDVIRMCSFGRNSAAE >Sspon.01G0020310-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1A:75090344:75090859:1 gene:Sspon.01G0020310-1A transcript:Sspon.01G0020310-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMFLLVALSASQMAFSLRPGAGLGVCRPSGYLPGRSGNCEKSNDPDCCEDGKKYPQYRCSPPVTASTKAVLTLNSFEKGKDGGGPSECDNAYHSDEEKVVALSTGWFSNMARCGHRIKITANGNSVYAKVVDECDSVEGCDEDHNFEPPCDNDIVDASPAVWDALGLDQNV >Sspon.02G0032500-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:99010898:99016186:1 gene:Sspon.02G0032500-3C transcript:Sspon.02G0032500-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNGIAPRDVCVVGVARTPMGGFLGALSSLPATKLGSIAIEAALKRANVDPAVVQEVFFGNVLSANLGQAPARQAALGAGIPNTVVCTTVNKVCASGMKATMFAAQSIQLGINDIVVAGGMESMSNAPKYIAEARKGSRFGHDTLVDAMLKDGLWDVYNDCAMGMCAELCADNHALTREDQDAFAIQSNERGIATRDSGAFAWEIVPIEVPVGRGKPPVLIEKDESLDKFDPAKLKKLRPSFKENGGTVTAGNASSISDGAAALVLVSGQKAQELGLQVLARIRGYADAAQAPELFTTTPALAIPKAIANAGLESSHVDFYEINEAFSAVALANQKLLGIPSEKINVHGGAVSLGHPLGCSGARILVTLLGVLREKGGKIGVAGVCNGGGGASALVVELA >Sspon.07G0008010-3C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7C:17731602:17732015:1 gene:Sspon.07G0008010-3C transcript:Sspon.07G0008010-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAFATASASASASNLSLTAFSLPLPQSLRPSPSHALHGATSSFPRPRRCGWGAVVRCAKRTGKRRYPSEKKLLNRRQQELLRQASPEEGSKGRESGYWRLSKLAVPARDDPGKDFTGISLPLLQAIAKAIKFPVR >Sspon.01G0032180-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:110121964:110130492:1 gene:Sspon.01G0032180-3D transcript:Sspon.01G0032180-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSAAASAVPPEDDVCSVCHDRFRIPCQANCSHWFCGKSLLLLFFLSLPRPAVPLFSLGRFGSRSDCVEGNLLKTVDRLAVDRDGVLYGSGQKEGFELSRIRGFAKLLRLARECIIRVWHHGPAVQACKCPICRRLINLLVPAALSEQEDDPQAHRILGEIQHYNCIFGGAPRSLTQVARPTLLHPKTVQRTNGSPADSPTCVQGADDDDGRTECNLCAEPCRHSSRKCAGLFGFVDDLLILLIVFLHLAAVYRSLLLYRHGGQ >Sspon.01G0045140-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:87231818:87238353:1 gene:Sspon.01G0045140-1B transcript:Sspon.01G0045140-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALDLCPSGLDICGSGETSSAMVLAGRSPPSMPVVAAAALSFEDSLEERLCLPFQTPLIQGPPRLHRPQTPAQVTSLRRSEHIAAQPREADITKQAQRVLMNKLGLEATSPNVASDTVRKYKTAFREPLLDSTHDTLQLFLDGKFDPTDIPKSRAKQLVMQPLNCISFLLGLAILSATLGPFVAIAHRELLSVTGSKGGAEIKLELSVDKIRTDEEVRSNVLTGRRLAFGDAVMEQKETKNSESRTSSGENKNYSTNSHVPSNIKDSSSSRMQAGPSRNRVKLEGSTSVIALSIPNPQRLRTLPSKHSGNSNAGSKKELRDSVVHRTLYKINEDWKEKMLEASDEVLKFLNKDYHASPHKRKPRNKFRGHIAINLLVQRDSKAPIWNPDFIRYEPES >Sspon.01G0004640-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:12369849:12370988:1 gene:Sspon.01G0004640-1A transcript:Sspon.01G0004640-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDVSLNRPIKAEPTAGGIAKGNRVLDTMSAGWTDERHMLYISSMEASFVNQLYNHGNNPHHANGTSFKVLRRGVWEYIKYEKTNNAPVRSGAKCCIPANPWIQHFRPRDCGSNAQSDGVEASVGDHESGTQANRKGLSVSHAREWEACKGEPQLPHESTEVSDQNFADDEAEVEAESVKACKRRRLSSTSHYRDE >Sspon.01G0042260-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:89158206:89160378:1 gene:Sspon.01G0042260-2C transcript:Sspon.01G0042260-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MYVRADKIDFKNLDAQLEKTRSQVWLERQRSQRSASPRPETPLLEWEIDLAKLDIQNQIAHGTFGVVYRGTYDGHDVAVKVLDWGHDGQDTAAKHREAFEKEVAVWQKLDHPNVTKFVGASMGTSQLKIPKKGSTSSSCGGRTAPNECCVVVVEFQHGGTLKTLMYNHRDKKLSYRKVVRLALDLAR >Sspon.08G0013230-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:63590728:63592291:-1 gene:Sspon.08G0013230-2B transcript:Sspon.08G0013230-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGDHWGNWAFLLSAAAFGTWAEENTSWGAALSGALVSIMAGLTATAVGLVTPGAPAHDAVMEYLLPAAVPLLLLGADLRRVVRTTGDLLKAFLLGSVATVIGTTVAYLLIPMRSLGQDSWKIAAALMGSYIGGAVNYVAISEALGLTPSVLAAGVAADNLISALYFMALFSLASNIPAEPKTATASPQKDGEPDEGGGGRLFVLNGGAAVALSFIICKVGSGMAAWLGVQCGTLPCVTALVVFMATAFPGPLGRLAPAGESLALILMQLFFAVVGANGNVVDAVTRAPSVFAFALVQVSVHLAVVLAAGRIMGMDRKPLLIASNANVGGPTTAAAMATAKGWTSLVVPGILVGIFGISIATFLGIGYGMFVLRRICG >Sspon.01G0016770-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:24650369:24651227:-1 gene:Sspon.01G0016770-1P transcript:Sspon.01G0016770-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTRSNGDGHGHGGAGSWYPQRRPHYGYGGGSASFRGCCCCLFLLLTFLALLALAVALVVVLVVKPRKPQFDLNQVSVQYLLVASPTSPAAASPAGVPPAAPGAAYLSLNITLLFTAENPNKVGIRYGATAFDVMYHGVPLGVAAVPGFEQPAHSTRLLQTRVIVDRFNVLQTDAQDLIRDAAINDRVELRITGDVGAKILVLGFSSPKVQVSVDCAIAISPRSQSLKYKQCGVDGLSV >Sspon.03G0004710-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:12432539:12434186:-1 gene:Sspon.03G0004710-1A transcript:Sspon.03G0004710-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSPLRTVHLRSSSSSSPADTVAIAVDGDSGVDLARVGLALGLDPASVRPNGYFLSRGPDHVCSAVTWRALLAFFAKRGLPTGADAAAPVVVHGKPAAPTAQSSDPTTPPCPKRKSGVEVERCPKKSKPQENKSALPKRRHDVLSDEIILGLKRRLRLDDPIPAKKIKQVECGSEIQQPVKFSCGFVNANGMRLRDEETQQPVQFSCGFVNANGKRLRDEEMITSLSCKRVR >Sspon.02G0004830-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2B:14897332:14898246:1 gene:Sspon.02G0004830-2B transcript:Sspon.02G0004830-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polygalacturonase-inhibiting protein, Inhibitor of fungal polygalacturonase, Regulation of floral organ numbe [Source: Projected from Oryza sativa (Os07g0568700)] MASTTTTFLAVFLLVASASAAPARSCSPSDLHALLSVKQALGNPATLSTWTASSPNCCAWDHLRCNDAGRVNNVFIDAADDVHGQIPSAVGGLTELMSLTLFKLPGLTGSIPTCLTALSKLEFLTISHTSVSGAIPESLARLRSLDSVDLSSNQLTGGIPASFADLPNLRSLDLRHNQLTGTIPAGLVQGQFRSLILSYNQLTGPIPRDDAHDEINTVDLSHNKLTGDPSHLFVAGRPIGKVDLSWNYLDFDLSKLVFPPELTYLDLSHNQIRGTVPPSLERLSTLQKLDLSYNRLCGPLPKGHG >Sspon.02G0013220-3P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:14381326:14390111:-1 gene:Sspon.02G0013220-3P transcript:Sspon.02G0013220-3P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKHLAVLFGLLAVAALCLLGSNTARAQVLFQGFNWESCKKQGGWYKFLQARVDDIANAGATHVWLPPPSHSVSPQGYMPGRLYDLNESRYGTEAELRSLIAAFRGKGIEAVADIVINHRCADKKDGRGVYCIFEGGAGPDGGGRLNWDADMICSDDTQFSNGRGNRDTGKDFGAAPDIDHLNPRVQRELSDWLNWLSADVGFTGGWRLDFAKGYSAAVAKAYVDRTKPTFVVAEIWSSLSYDGDGKPKHNQDGDRQELVDWANAVGGPAAVFDFTTKGVLQAAVQGELWRMRDGNGKAPGMIGWLPEKAVTFIDNHDTGSTQNSWLFPRDKVMQGYAYILTHPGIPSIFYDHVFEWNLKQEISTLAAIRKRNGIHPGSKLSIVKAEGDVYVATIDDKVITKIGPRYDVGSLIPSGFSVAAHGDGYCHSQTEMKHSSSLYLLFLVALCTSLHCGLVQAQVLFQYGTAAELKSLIAAFHGRGVQCVADIVINHRCADKKDARGVYCIFEGGTPDDRLDWGPGMICSDDTQYSDGTGHRDTGEGFAAAPDIDHLNDRVQRELSAWLNWLKSDDVGFDGWRLDFAKGYSPAVAKMYVDNTGPSFVVAEIWNSLSYSGDGKPSPNQDQCRQELVNWVQAVGGPAMAFDFTTKGLLQAGVQGELWRLRDSSGKAAGMIGWMPEKAVTFVDNHDTGSTQKLWPFPSDKVMQGYAYILTHPGVPCIEISTLTAIRARNGIHAGSKLRILVADADAYVAVVDEKVMVKIGTRYDVSNVIPSDFHPAAHGKDYCVWEKGGLR >Sspon.02G0021890-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:73210009:73237516:-1 gene:Sspon.02G0021890-1A transcript:Sspon.02G0021890-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTKTTSRFVPAPKLRLPSPSLLLLDHQCSEKDREANKGMVVYLVDASPKLFTPATTQDDEKQETHFHTIVNCITESLKTQIIGRSYDEVAICFFNTKEKKNLQDSAGVYVYNVGDREQLDRPTAKLIKDFSCIEDSFMSTIGSRFGIIAGSRENTLYNALWVAQALLRKGSVKTVSKRILIFTNEDDPFGTITGAVKTDMIRTTDAEDLGLSIELLPLSWPDEQFDMFLFYAKEKKNLQDSAGVYVYNVGDREQLDRPTAKLIKDFSCIEDSFMSTIGSRFGIIAGSRENTLYNALWVAQALLRKGSVKTVSKRILIFTNEDDPFGTITGAVKTDMIRTTDAEDLGLSIELLPLSWPDEQFDMFLFYAAERSFICNDTGALLQGVQTRFQMYNDTIVKFSVLELSEVKRVASHHLRLLGFKPLDCLKDYHNLRPSTFIYPSDERIFGSTRVFVALHSSMLRLGRFALAFYGNPTRPQLVALVAQEEVTSSGGQFEPPGMHMIYFPYSDDIRYPEQGRTGVVHVTSDDAPRATDEQIKKASNLFKRIDLKKFSACQFANPGKASRGDASKKRKEITDAAVQISAAYDWAELADNGKLKEMTVAELKSYLTAHGLPVSGKKEVLVSRILTHLGM >Sspon.06G0013100-3D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6D:51978230:51980276:-1 gene:Sspon.06G0013100-3D transcript:Sspon.06G0013100-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding KLQDINYEHMFDLIKEVAMELAETNAVGSENLLKLSSLLSLSTNMELYMESVSLENLRTRALRSENREELELAEQMVPLVNYMHDHLLREKQQLSINGVPIPADFCCPLSLELMSDPVIVASGQTYERVYIKLWLDEGFTICPKTRQRLGHSNLIPNYTVKALIANWCESHDIRLPDPMKSLKLNFPSAASSLQDSSAAGGSPLHPSVVSRANIPGSPEADVYLRNLNGASPPHSLANQNSHLHANRPGHEVSTSQTSENANGSAPDVSRLSLASSEARESSLEGRRGGLIGQMSEHSTEEAFQSSNLDRDLQDNLASSSLNGSLPNSGQLDGECDNGITRVPSDRTNYSSDASGEVTDGGGAPVASSVPQREHLIPPRLGTRGQFIRRQPSDRGFPRIISSSTMDARSDLSAIENQVRKLIDDLKSDSIDVQRSAASDLRSLAKHNMENRIVIANCGAVNLLVSLLHSPDAKTQEHAVTALLNLSINDNNKIAIANADAVDPLIHVLETGNPEAKENSAATLFSLSVIEENKVRIGRSGAIKPLVDLLGNGTPRGKKDAATALFNLSILHENKARIVQADAVRHLVELMDPAAGMVDKAVAVLANLATIPEGRNAIGQARGIPALVEVVELGSARGKENAAAALLQLCTNSNRFCSIVLQEGAVPPLVALSQSGTPRAREK >Sspon.02G0000780-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:3342818:3363420:1 gene:Sspon.02G0000780-2B transcript:Sspon.02G0000780-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear poly(A) polymerase 3 [Source:Projected from Arabidopsis thaliana (AT3G06560) UniProtKB/Swiss-Prot;Acc:Q56XM9] MAYMAAVAPVPWWPPPPELAPVGFLDTSSAAGYPEPQTLPFLLAPTPPPPPPLPAGYPLLPPSAPIVIQLQPDPSFLAEVDQRRSSSLVQFLKDEGAVPSPEDEKKREKVIRELKKIVMHWADAVAYEQSVPQGLATATVLTYGSYTLGAHGPESDIDVLCVGPCIATLQYHFFVVLRQILEGRSEVSGLQTVEKAKVPLMRFRFTGIAVDFTYAQLPAINTFSPQLLQKIDTRSWRSLSGVRVNEQIVQLVPNAEKFQALLRCIKLWARKRGLHCHYLGFFAGIHLAILAAYVCRKFPDASVNGLFEMFFQTFAHWPWQVPVSLHDEPTSCLHSEGRLMPIVMPCTPPEFCVSNVIKGSFKKIREELTRGYALTRYLGFFAGIHLAILAAYVCRKFPDASVNGLFEMFFQTFAHWPWQVPSFVSNVIKGSFKKIREELTRGYALTRDPLRHDFQWTWLFEPFPYDQKYQQFLRIALCAPTFAELRDWAGWVKSRFRILILKLERAGIECDPCPLEEFDHTDNDPNVVFYWGLIPERIIQVDTSALKEDFMEDITNDVYGTVKCTHSDVTISVVGLPQLPKSMCSQSVHWQYMQHCMMATRAQMKARAQVG >Sspon.07G0001960-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:14250938:14251873:1 gene:Sspon.07G0001960-2B transcript:Sspon.07G0001960-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LASPADELFYKGKLLPLHLPPRIQMVEELLDGRGHGGCRAGREFLHLHGISRTAPATPYESCTASPANSCYVSGELNVEEYFQEYAAAAGLALADGAAAAPGGERKPWSRKLRFMRQLNLGLRLKASKAYIKTIFAAKPGNPDDLGAATTARGAREELAHAHAHAHGHPRAWRKNPFAQIRSNRCIASHGGVVGGSSRAAPAGGRCKEREHGHRRSFSSVIIRYSSSNKTSPVPALPLPPPSYSSSCSSSSSSSSASSSVRTSSESDGAGPALRRSSSASSEVENPIQGLIAYCKKSQQLASVRKSASDAGF >Sspon.07G0006680-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:17278179:17280712:-1 gene:Sspon.07G0006680-1A transcript:Sspon.07G0006680-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGGEHSSMDHPDAEDLERGELRRDAPEFADGDDGDEIETQYFSDAEDRSWASHSRQDSTAAYEDCISPCASARASSVDADADADADGEAAREHRRKSSCVSEGSLDDVDLEAGLSEIIKASPEKAEQNCRICHLGLESTAAESGAGITLGCSCKGDLSYAHKQCADTWFKIRGNKICEICSSTASNVVVLGDPEFSDQWSETNNVAAVQAPPAETRRFWQGHRFLNFLLACMVFAFVISWLFHFNVPG >Sspon.07G0001340-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:3138359:3147756:1 gene:Sspon.07G0001340-1A transcript:Sspon.07G0001340-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAARRERRHHRKAAAATAAAAGAAGVGGGGGAAAAARAAYGDVFGGPPRFAAPFGGAPLDYAEVFGGVAATCSIPYLDLPPAPASGAFFACRGEGDYGEIFGRFDFADFALPYEDLFGAPEPQPEPQPEAARQTDPEIASSSGSSTRSSFKKESSQPEDEPSILPQHYQNLDHCQHFKDHKFSPISFSPDTESLQFVMSYNKTTERRPDDLIEMTTCTAKPSLDFVIDSRNLSHDTVINHVSRIVNDTMANDDNNKNPSSANTSVRSPESDFAVDQKQQNPSWTPISGSMSGNENHKNSDIHSTRSTVTPPDYAFLRVSDSDAQTQPIKVQPILSQQPKLLNKKESAAKGCVNIVNHNCSPTSAEHTTSSSNMPHTDKRVDANPTSASAAMKEAMDFAEARLKAAKALLEGKGDSFKLRKKPSHLRSTRSTEIKSPIFVDVDTSEQKLSVKKSSKEEKNPDDSLFDKHKKLSAVRLDHFDDNGKKVHPLEKPQHMLQHCTESCQTSSKLEKLGNWKSGNDFFELSGDVQKCKTDEATEGGDKCEQMDPITSVINDQRETEFNAADSDLDRYEKLWEVNDGRNVGVKHVNLRENKTAPVDKDRVSVILEPSTENMAHQKTHNSIGEGLVTLENAKESHDTDKCLELPTSGASAKLDVFKDVSGSLPEACSSVNASDLRDHGNISPNVSPLAGTSQEDRNSKLAPEVSSDSGMQHTSRSNEKLQESSDVSNVAISQGSNIKSLILEELKESDVCDAFTRGPNRIEQEAETYGREKISFIDGSFLLPSHFHNKGAKTNLVSEKVQKVEIEKKVGPCAHPEVTIVDLDADCPKDANNDILQNDNFADPEESNMLNVFEVASKLIKRELDQEMHGSLGHGEEENMEEGIDAFVSDINGKEADETALENSEWTGTEEGSARVNQEDQNSSGSTNRGKNDVDAKRDTTCDEVGSESLSGDEVVIKATSDSTGEVSNRGERELPTERSTCEDHRKASIMEEKDTTARISKAEHVPSPLETTCGVTKSAEAPTSVERENMTRADSACEKDKGSSQRVQEKKENKRRLAKERELAEEKERRKLEKERELAEEMERMKLEKEKELAEEKERRKLEKERELAEEKERRKLEEAERERERKRIDLLLKERQEKHMREHLLKLAEKMALERITAARQRASAEAREKEERASVQATAERATREARIKAERAAVERATAEARERAIEKAKAEKALAEARERRERYKSSFKESFKSTNQDIRQESQFQRALSSNFIRNSDSGNRAVVEVESALRHKARLERHQRTAERVTKALAEKNMRDLLAQREQAEKHRLSEFLDPEIKRWSNGKEGNLRALLSTLQYILGADSGWQPVPLTDLITAVAVKKAYRKATLCVHPDKLQQRGATIRQKYICEKVFDLLKDAWNKFTSEER >Sspon.02G0026590-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:20736504:20741236:1 gene:Sspon.02G0026590-1P transcript:Sspon.02G0026590-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEMAAAAPAAGKGKRKRHLSEDDVYLLLHRYAPGTILTALQEVAQHAEGRRIDWRAVVGKSATGITSAREYQMLWRHFAYHHDLDDSVDAGDQPLGDDSDLELELEPNPIPTKEALSEASALAKDEREAPACIAFALPFFNTLFYHDQVSRSVLAAYTYSIYYEYELPFYLIINLQDGSHRMSQESLHLRFRNVKALISGSSREQASGHRINLDPPVLNTQNEKIVRVPSEKQLAQSHRITNVTGPVANSKQPSHIGPSPGHLDPNGASKKRKKPKAWSKEEDADLAAGVQKYGEGKWEDILHKCNFDSTRTPDQLSQRWALKRPGGSTKPASAKHASVGSEERSAALKALSLAVGPMRRSAFAPKMPEVRSAATPSPHRHRHRRCQSQSQLLCLCVWQLKCRLRFIKGNKLLSKLRHQNCQMLQIRHGRSKQLSQIPPSFLPQYKQQQLLLGDFHSLNCRKFFESRT >Sspon.03G0024210-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:2234415:2235403:-1 gene:Sspon.03G0024210-1P transcript:Sspon.03G0024210-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DIQSTTELQSSAQGTNEVQSQQPNPMVTDAPAGNLGSLSVASNDNKKVSREDIELVQNLIERCLQLYMNKGEVVRTLSTRARIEPGFTTLVWQKLEEENSEFFRAYYIRLKLKRQIILFNHLLQHQYNLMKYPAPPNIPLARYKMEFTICQ >Sspon.05G0004800-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5A:14572007:14573427:1 gene:Sspon.05G0004800-1A transcript:Sspon.05G0004800-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding PGPESAAAAEAEHLLSLAESELSAGRLRAARRHALRASRLYPSCPRASVVATAANVLLADASSHHATLLLPDPDDPDASPLSASELRRHFKSLVKSLRVGPDYAAAYPSSAAPLRRRSAAPPRPTRRSPPRPPELSGPPAPDAASSTSSSAKAEPPALAPAPAPRGPPAAKKPNTEKLEMTLAEMQLQLSKKRRGAKAPESSSRDLVVVDDDEEEQEDGEEAEAENNHSDLMAVEDSDFYNFDADRGERCFKRGQLWALYADADGMPRQYALVDGVQRGTQFRVQIRWLDGEEGKPCGQFKVGRAETVDSVNVFSHLLACERTAREVYQVYPRKASVWALHGGEEGDAATTKYDIAVMLSGYDERYGASFGYLEKVEGFRSIFTRRDVGSHAVHFLQKDDLGVLSHQIPARKVSKGEGSALPPGDCWELDPASLPPELLHIEAVKPRE >Sspon.02G0048340-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:15466842:15467717:-1 gene:Sspon.02G0048340-2D transcript:Sspon.02G0048340-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha expansin 26 [Source: Projected from Oryza sativa (Os12g0546800)] MSSRRRSWTIWAPLLASLLLVGLVLSAAGAKVVVEEEEDGGASKKKPHVNHGKFKADPWTDGHATFYGGRDGSGTTDGGACGYKGELGKDYGALTAAVGPSLYSSGAGCGACYELKGTKGTVVVTATNQAPPPVSGQKGEHFDLTMPAFLKIAEEKAGIVPITYRKYVVQPKNILPIDTCTSLITANCRVACVRQGGIRYTITGNPHYNMVMVTNVGGAGDVVGLSVKGNKRVKWTPMKRSWGQLWTTEVDLTGESLTFRVMTGDHRKATSWHVAPRDWKFDKTYQATKNF >Sspon.02G0029680-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:97349284:97352584:1 gene:Sspon.02G0029680-4D transcript:Sspon.02G0029680-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPAGGAGDAAPAPVSASAAAAARAVEEARALLPASAPAPDYDDEEDLEERAYEAAEKVIVSISDGPDPEDGDGGDDDAAFCSSSGSATPPFSWRKLWLFTGPGFLMSIAFLDPGNLEGDLQAGAVAGDTLLWLLMWATAMGLLVQLLAARLGVATGRHLAELCRDEYPDWARRALWLMAEVAMVGADIQEVIGSAIAIKILSRGYLPLWAGVVITSLDCFIFLSLENYGVRKLEAVFAFLIATMAVSFAWMFTDTKPNGKDLLIGILVPKLSSRTIRQAVGVVGCVIMPHNVFLHSALVQSRKIDQNKEYQVREALRYYSIESTIALAVSFMINLFVTTIFAKGFYGSKEAGNIGLENAGQYLQEKFGGGFFPILYIWGIGLLAAGQSSTITGTYAGQFIMGGFLNLRLKKWVRALITRSFAIVPTIIVALFFDTSDSALDVLNEWLNVLQSIQIPFALIPLITLVSKEQVMGVFKIGPNTQVSYHEFDTLMYLFS >Sspon.03G0029150-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:12267656:12276390:1 gene:Sspon.03G0029150-2D transcript:Sspon.03G0029150-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDANTLLLPCADGAVAGAVDFRGRPASRSGTGRWSAAMFVLGVEIAERFAYHGVSANLISYLTGPLGESTAGAAAAINAWSGVATMLPLLMACVADAWLGRYRTIVLASLLFVVSMGMLTVSALPAFHHDGCSSYASRSLACSPSPVQLAIFYVSLYLVALAEAGHKPCAQAFGADQFDQNDPKESVSRSSFFNWWYFGMCSGTAVTTMVSSYIQDNVGWGLGFGIPCLVMVFALLMFLLGTRNYRYYTSTESSPFARLARAFAALVKGSKSSQYDGTLASDDAGHREEVKGVLRLFPIWATCIIYAVIFSQSSTFFTKQAATLDRRIGSTLRVPPAALQTFISVTIMVFIPVYDRAFVPLVRRLTRLSSGITMLQRIGTGLVLALVAMVVAALVEMRRLGVARDAGLVDQPKAALPMSLWWMVPQYVLFGLSDVFAMIGLQEFFYDQVPDALRSLGLAFFLSIFGVGHLFSSFIISAIDGATKKSGASWFSNNLNRAHLDYFYWLLAGLCAVELAAFVIVSRVYVYKKRVSHDNNDAVIEVHSLLLYQPAMVDTVAGATDYRGQPASRAATGGWKPSVFVMAMEIAERFAYKGVAANLITYLTGPLGQPMARAAASIDAWKGVSQMLPLPLACVADAWLGRYRAIVLASLIFVVSMGALSLSSALPALRGGHVAIFYVALYLVALGEGAHKPCAQAFAADQFDEKDPEESVARSSFFNWWYFGMCAGTAVTTMVSSYVQDNVGWGLGFGIPCIVIVASLALFLLGTRSYRFYTTMEASPFSRVGKALLALIQSWAPNRHTSPCIAASRKLGDGDEDSAGAVEEVKSVLRLLPIWASCIIYAIIFSQTSTFFTKQAATLDRRIGARFKVPPAALQTFISVSIVVFIPVYDRLFVPLARRYTGRPTGITMLQRVGAGLALSLVAVALSALVEMKRLRVATEAGLVNTPKAQLPMTLWWMVPQYVLIGVADVFAMIGLQEFFYDQVPDAVRSLGLALFLSIFGVGHLLSSFLISVIDKTTARSGTSWFSNNLNRAHLDYFYWLLTGLCAVELVAFVLFSRVYAYKRKSGSDGGNGDL >Sspon.06G0016320-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:76801967:76823498:-1 gene:Sspon.06G0016320-2C transcript:Sspon.06G0016320-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVIRASKVLSRPMMFYMKLEEKHQAMEEEKIQLEAKLKKEQEEALKQLRKSLTFKANPMPSFYHEATPSPKAEFKKLPTTRPKSPKLGRRKTTTATTSMETSNSSSESEGATRPCCRANRDGLDSNCKCSGASRSKAQQATNAAKLAGPKKQQPKHRVHKIAGEGAINIAGLACQIHRSHSINRRDPVDVIIASLAYPLGRWRSPKPPRSLRFTRSPVSARRAMSAATCLFPAAVSLSLPSTSTPASAGRRCLRSPTALLRCSPTRRRGPVRALDERLLEAAPAETEEVQTGVDVGDGGGVAEGDGVGAEEVEEELELEQQRPPPRAFVKSRRQRQEEEDAAAGQDGSSSSTAKRLSTPNPRTYIGSGKVSEIRSAIQALDVETVIFDDELSPGQLRNLEKSFGGSVRVCDRTALILDIFNQRAATHEAALQVTLAQMEYQLPRLTKMWSHLERQAGGQVKGMGEKQIEVDKRILRTQISALRKELESVRKHRKLYRNRRQSVPIPVVSLMKNGTEFLLTDTVGFIQKLPTMLVAAFRATLEEISESSVIVHLVDISHPLAQQQIDAVERVLKELDVESIPKLIVWNKEYKENGTFVKAHVPLPLARLLTPLRQQVVATVQGSYGNEGYSGIESSEQTDDERAGGGSEAASEEPDLQGQPHAKLLPRGDAVPKAEFKKLPTTRPKSPKLGRRKTTTATTSMETSNSSSESEGATRPCCRANRDGLDSNCKCSGASRNNYGVLLKFAQSFIKVFACRTHQQPGKMFMPRHVAPRGLACQIHRSHSINRRDPVDVIIASLAYPLGRWRSPKPPRSLRFTRSPVSARRAMSAATCLFPAAVSLSLPSTSTPASAGRRCLRSPTALLRCSPTRRRGPVRALDERLLEAAPAETEEVQTGVDVGDGGGVAEGDGVGAEEVKEELELEQQRPPPRAFVKSRRQRQEEEEAAAGQDRFKLINGKEIFQEKAYLVGVECKRTGGNLFGIEESLKELEQLADTAGLVVVGSTYQKLSTPNPRTYIGSGKVSEIRSAIQALDVETVIFDDELSPGQLRNLEKSFGGSVRVCDRTALILDIFNQRAATHEAALQVTLAQMEYQLPRLTKMWSHLERQAGGQVKGMGEKQIEVDKRILRTQISALRKELESVRKHRKLYRNRRQSVPIPVVSLMKNGTEFLLTDTVGFIQKLPTMLVAAFRATLEEISESSVIVHLVDISHPLAQQQIDAVERVLKELDVESIPKLIVWNKEYKENGTFVKAHVPLPLARLLTPLRQQVVATV >Sspon.07G0022380-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:7732408:7740554:1 gene:Sspon.07G0022380-1B transcript:Sspon.07G0022380-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLPLPCVKSEQQPLLISSAHKTLLLRCSKPGPPPDGTASGLPRRGSGRDMGNSCSGFPSKGTARYDFDAKKFYSKNECLITVEELLKSADFLVDDSCVFGVRILQADVSPKNNLDVAPDNTITIRELFLQKKEFIKGNYTWNVNNFLALKDPVLSPAFEACGHKWHIKMHPLGDQYSTDSLSMYLQMHDPAELSQESGKMSPCVQWKSWMGWSDFIPLKILKDPSKGYLVGSKWSVKADITCIGSSNDVQTPLVASLLKDEKVCRQKKKKERKIKQLGQQSAHKKDQRKKLGPKLRPEELPIW >Sspon.02G0012070-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:30314883:30316790:1 gene:Sspon.02G0012070-2B transcript:Sspon.02G0012070-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSGPARGSSASAGESLRNSCNDFARTLARLPATIMEGLSRSIPRRAPRRSHPHHLQPPPPPQLLLPPFVPEELFFFSLFEQQYGGHHPFFYGCRFADALRAARREGKLVFVYLHDPGHPYTEPFCRRTLCADVVVEFLDANFVSWGAVTGSGEGPGMVSSLQPGSFPFCAVVAPVSDESIAVLQQVEGPVSPSELVEILQRTIDEQGAAFIPSGPVEQAAAARSSRSAEEEERRRSAQRLRQEQDAAYMESLRKDQSFLVMAAHISSPSETPALTSLLNCNLLAFGCRRKKDPERVSKREFPSREQATSFAQDVQIMIRFPNGERRQQSFHHTDTIREVYRYVDSQGIPGIGSYQLVRSYPRKTYGQQQLGMTLGDAGFYPSVTLYIEQLQ >Sspon.04G0031350-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:11237679:11240671:1 gene:Sspon.04G0031350-1P transcript:Sspon.04G0031350-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding KYNIADSPCEIQFLPSVDDLVEPAHYGNFTQFSLSYILKEKVLVGNGFFEPVFGGYQSLGDREETYHAKDQTLHCGFVRGPDDYPSTGFDLDENDRRYMATCHVAVSSCIFGSSDYLRRPTKSRIGSYAKKNVCFVMFMDELTLATLSSEGHTPDGNGFIGLWRSVVVKHLPYEDMRRAGKVPKFLAHRLFPSAMYSIWLDSKLRLHADPMLIIEYFLWRQKAEYAISMHYGRSCVWEEVLQNKRLNKYNHTAIDEQFHFYQSDGLVKFNNSGQLPVLPSYVPEGSFIVRAHTPMSNLFSCLWFNEVNRFTSRDQLSFTYTYLKLRRTNPGKTFHLNMFKDCERRAIAKLFHHRTNETTDPPPANFRLGTIRHSYKAETAI >Sspon.01G0041840-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:56402259:56402657:1 gene:Sspon.01G0041840-1B transcript:Sspon.01G0041840-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSSSLVVSLLVLLLLFAAGAPSLGVAAVSVHQRQGAADDGHGGSAAADSDAFYQKVGTFAVWVYSLWNDIKPVPKLESVLSASTRPAEGSAMEYLLVLRVAGLGTFRALVWGVPAEGSEDWKLKYFEPVDG >Sspon.07G0016470-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:58243379:58245142:1 gene:Sspon.07G0016470-1A transcript:Sspon.07G0016470-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPPPQGNGNGNGNGSITTRDALAYLKEVKDKFQEKPHTYEQFLQVMREFKSNRLDSAGVIARVKTLFHGYPDLILGFNTFLPKGKDKQPVDYDRAISLVYMIKSRFQQEEHVYRSFLRILNMYRMHNKPIQDVYDEVIVLLHGHSDLLEEFIHFLPDTPTPPPQAAATTSKARHDDKNAVMHSATRAQTVQQNNDARPRPLLFRRRRSPSASPLSITRALVVLEEVQASGGDGNGDLKLEVCELKLQISEMKAQLGQVIVKVMEAKDEIKEEIAK >Sspon.02G0043270-2D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2D:84384025:84385275:-1 gene:Sspon.02G0043270-2D transcript:Sspon.02G0043270-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHQGHYTLDTFRYQSHKEEDTKAQVVSHCLSLSTLNFLKGFYSSSNRNTQILEELQEAFHRLSSMILDAEKLVVFLTSYPRMHRQPYSMHLLLGSCMFGRQMEAELVLSFLLHTHPNAAEQLEVLSIVGPGKVGKSTLVAHVCYDKRVRDHFSEIMFLSDHHFKSDKLTHLGEGCLKKYQNSTLNKDGRMLVVIEATGDFNEEEWKRMYATCKRYMKSGSKIIITSRYDKITKLGTTRAVTLKHLSNEAYWYFFKTLTFGSTDPVMHPKLTCLAMEIARILKRCFFGATGTINCLRDNFDINFWCKVLSFLRGFSKWHVSKFGDHPCDALSQNSRPVHLGRMVRSSEEIVVYHQYERSSQEEVPKVSMKSLVYGDVNPSGRFEALAFSSQIPPYYNYIYSCEIRDLRTQGAKRKRS >Sspon.05G0003380-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:10407866:10409682:1 gene:Sspon.05G0003380-1P transcript:Sspon.05G0003380-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding IAPLQRVVNLVVPQSTRAATPLRSSAAQSSSTPLRSSAAATMEEQQFQTSVDVSVSNMQRDGVDVPDPLTLGTAMMLTYSYDAIPDPPVSPAAPLASAIAARAPADGVDRISLLPDSLLRNVVSRLPAKDAARTAALATRWRGLWRSVPLAVVDAHILPDSVPADHTMPGGEDVLSWAVAVVASRVLDAHPGPFRCVHLSRCHMASHQADIERWLELLAAKGVQELVFFNRPWPIDHPLPGALYGCTSVTRPPAPRHVQGPQHRRASLVLDTVAIEERDLHFLIDRSPVLEVLTITTNQTGAQPAVRAGDNVCRSAHHCGGRSSSGEAAHVDDTPVFWKGKEAGCIDCVQRHVKKFVFQEFRGKKSELAFLRFIAERAQVLEKMAVMVASKCFSSADAVNAS >Sspon.03G0026080-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3C:7057171:7057953:1 gene:Sspon.03G0026080-2C transcript:Sspon.03G0026080-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GQLRRLTNLRILGIQLHCREKLGDHDMGRYQESLESSLTLLAKHELKSLEIDFGNYPAADKLMDSICSDARCLQKLICCPSLSRLPQRMSSLVNLAHLGIGVTKIKQEELCILGGMPTLLYVSLISSEAPGDRLTIGRQLFCCLKEFVFRTKGIGGLRMVCEREAMPMLKRFNLEFNAEESESDMGFEFSFEHLASLEHLSVDIYCYGATRSRVEAAEAAIKNTTSIHPGRPTLQIKRWSEDLMVEDKDEKETWLKDYKTD >Sspon.01G0010620-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1A:29569641:29571702:-1 gene:Sspon.01G0010620-1A transcript:Sspon.01G0010620-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGRHGEPLGGVCASSVGGGAAAGSGAPATARSLRAGRRLQEMRQKMREAVLAAVRLQAAARGLLARRQAREMRGLQLVPVPRAPLLRHQAALRHIEGLDLVRCVMEIGRGIATSGGELDVYSAGVWGRGCVATHRQTL >Sspon.01G0046380-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:19245609:19248943:1 gene:Sspon.01G0046380-2D transcript:Sspon.01G0046380-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSNQSLQIKKVQVRKALAHKEAMAKGKAKADAVAPLHAGFKFKKSHLMLCANELNKKFAMGVTVDQVDRHYRYHKENWKYIATALRNSGNTFDETRCMVNVSESEKAELSDRARRLLAKPIKFFYEMRELFIGSNADGSLAMDQNTCMDADNGSDSDESRELIDLNCYTQPEDIEGEDSDTLPTPTRHATFSDSTDHFERKHPRANNSLREEVSKKPRKKSRFADSTDDITATMKSLRETLVATAPPQMPQLTDPHAILWQKLEAIPMTPDQRVLIGEHLSTKENK >Sspon.03G0031890-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:31899903:31900841:-1 gene:Sspon.03G0031890-1B transcript:Sspon.03G0031890-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVATRSKTAPGPRPRSRPRPDLAAHPSEEELITSFLRPRVVCVAGDRPCASFIHDADVYSAGPGELTGGHAPAVASNGDSAWYFFSAVRAKTRDGQRKARTVDTGEGCWHSEAGAKPVVEEGHGGRVLVGHRQGFSFVTKVDGRRVRSGWLMVELSLDGADADDVVLCKIYFSPRARASAAAASASSGRKRKAAADDKNPANSARRRRRGRPTEAEAGTPAPNDAEEKDNIQSRRGLADDNSTVTDDPDALWTDDSFFSWWMRNKDWLMEEYSIVDRPNEENQKTYGLDEYLRLLKHHYIDFGCGHSPVLNS >Sspon.01G0032970-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:111668148:111672161:-1 gene:Sspon.01G0032970-1P transcript:Sspon.01G0032970-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGDSTNLDAAIESLLNVEKQMRLAGDVAGTRKAVIDIVELCYKAGAWKTLNDQILLLSKRRGQLKQAITAMVQKAMDYIDLTPDIDTRIELIKTLSSVSAGKIYVEIERARLIRRLAKIKEEQGQIDEAADLMQEVAVETFGSMAKTEKIAFILEQVRLCLDRQDYVRAQILSRKISTRVFEADPLKEKKKPKEGDNIVQDAPADIPSLLELKRIYYELMIRYYMHNNDYLEICRCYKAIYDIPAIKEDPTKWIPILRKICWYLVLAPHDPMQSSLLNATLEDKNLTEIPNFRLLLKQLVTMEVIQWTTLWEFAKHEYENERIFSEELWVPKLQKI >Sspon.02G0026020-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:96453574:96454748:1 gene:Sspon.02G0026020-3C transcript:Sspon.02G0026020-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATRLALALLLAAAVAASQLPAAAVAANYTVGDEKGWNPDVDYTAWVKKHKPFYKGDWLIFQYQNGRSDVVQVDEVGYDNCDKANALSSYSKGSTYAFQLKEAKDYYFICSYGYCYHGMKVHVTAKSSGSSSSGSGSSSSSGDDSSSSDTSSDDSPSPPAKKSKAKSSAASPPPSLLAATPIAATAAAALLLNRML >Sspon.06G0011240-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:48044795:48045565:1 gene:Sspon.06G0011240-2C transcript:Sspon.06G0011240-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding HEKWLVAAAGLCAGAAGPGVDGGLPHLAAPPHPAPPGDHRDRHQRHQPPHLGAPHHGGPVGEARGAGGADAAQHHHGLHRAGLRRHHAQLDRRRAHDQRRRPRAASSPLPASRWAPAAARPRWPPSSSRCSSASSSRSSSTCSPSGTTATRGSSSTCRSPPTAAPRASSATSPPRSTGDSTSGRSASAPTTSRAPSSSGSSGPSPCAPPASPWSPRSTSSTSTRSGRTRTTTTTTAAARMKVTAKEETRPPCVAASE >Sspon.03G0033350-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3B:48904932:48909273:1 gene:Sspon.03G0033350-1B transcript:Sspon.03G0033350-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEAKDNEVYEEDLVDYEEEVENVVDGAATNGSADVVKKGYVGIHSSGFRDFLLKPELLRAIQDCGFEHPSEGKFYFTFLLLANYALYYHLGVMHGFEGLQHECIPQAILGMDVICQAKSGMGKTAVFVLSTLQQIDPVAGQVAALVLCHTRELAYQICHEFERFSKYLPELRVAVFYGGVHIKNHKDLLKNDCPHIVVGTAGRILALARDKDLPLKNVRHFILDECDKMLESLGRPMEIYVDDEAKLTLHGLVQHYIKLSEAEKNRKLNDLLDALDFNQVVIFVKSVSRAAELNKLLCECNFPSICIHSGMTQEERICRRAP >Sspon.05G0035670-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:78355883:78359723:1 gene:Sspon.05G0035670-1C transcript:Sspon.05G0035670-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLSANEGIEGVRFAVTGGQGFVGAALCLDLLRRGAREVRSLDLRAVSAWSPQLLDAGVRLIQGDVRNKDEVRRAFRGVDCVFHLASYGMSGKEMVQAGRCDQVNINGTCNVLDACHENGVRRLVYVSTYNVVFGGKAIANGNEALPYFPIEDHVDAYGRSKSVAEQLVLKSNGRPAKSDKSTRLYTCAIRPAAIYGPGEERHLPRILSLAKLGLAFFKIGGPDVKTDWVYIDNLVLALILASMGLLDDIPDRKGTPVAAGQAYFICDGSPCNTFEFIISPLFQSLGYASPRVTLDTSLALAISRIFLFISTMFYPWLDSKWIPQPLILPAEVYKVGVTHYFSFLKARQELGYVPMVSPREGLAATIAYWQERKRMELDGPTIFTWLAVIIGMLAVFSSAYLPPVGPLKWVLDIHLFVFRSILVIRLVFVTAVAAHLGEAVYAWFLAKKVDPRNATGWFWQTFALGFFSLRYLLKRARG >Sspon.03G0038660-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:6858514:6860975:1 gene:Sspon.03G0038660-1C transcript:Sspon.03G0038660-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGFYLDLLRSCAALPHVAAVHAHIARAHPTASLFLRNFLLAAYCRLGAPIHAARLLDEMPRRNAVSYNLLIAAYSRAGLPGRALATFARAPAAAGFRVDRFTYAAALAACSRALDVRTGKAVHAMAVLDGLGNGVFLSNSIASMYAGCGEMGEARRVFEAAEEHDDVSWNSLLSGYVRAGAREETLKVFSLMCHHGIGWNSFALGSIIKCCASGGDVGGHIAEAVHGCVVKAGLDADLFLASAMIDMYAKRGALINAVALFKLVPDPNVIVFNAMIAGFCRDEASVGKEVTREALSLYSELQSRGMQPSEFTFSSILRACNLAGEFGFGKQIHGQVLKHSFQDDDYIGSALIDLYSDSGCMEDGYRCFRSLPKQDIVTWTSMISGCVQNELFEKALRLFQESIRYGLKPDLFTMSSVMNACASLAVARTGEQIQCLAIKYGFNRFTAMGNSFIHMCARSGDVDAATRRFQEMESRDVVSWSAVISSHAQHGCARDALRIFNEMMDAKVAPNEITFLSVLTACSHGGLVDEGLRYYEIMNNEYGLSPTIKHCTCVVDLLGRAGRLVDAEAFIRDSAFHDDAVVWRSLLASCRIHGDMERGQLVADRIMDLEPTSSASYVILYNMYLDAGEVSLASKTRDLMKERGVKKEPGLSWIELRSGVHSFVAGDKSHPESNAIYKKLAEMLSKIEKLASTDNASTESDGISSREQNLVGCHSEKLAVAFGMIHLPQSAPIRVMKNLRVCRDCHSTVKLISGSEKREIILRDAIRFHHFRGGSCSCGDYW >Sspon.08G0026500-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:23062817:23068068:1 gene:Sspon.08G0026500-1C transcript:Sspon.08G0026500-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPPGPGDEGEATQPQPLEWRFAQVFGERAAGEDVQEVDIISAIEFDKSGDHLATGDKGGRVVLFERTDARDFDYLKSLEIEEKINKIKWCQTANNALSLLSTNDKTIKYWKVQEKKVKRVAVMNLDSSQGVGSSTTAIASTSSSKAPLPNGGCSDNDGETFISADDLRINLWNLEINSQSFNIVDVKPTNMEDLTGNST >Sspon.01G0057940-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1D:18150263:18150461:-1 gene:Sspon.01G0057940-1D transcript:Sspon.01G0057940-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VRWGVVGAYRGTDDAWHSDLPPQGNKTYSLHLLNAAATLTAFGIKGKLPVLACLCQAQGLGGVHL >Sspon.07G0010780-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:35763793:35765023:-1 gene:Sspon.07G0010780-1A transcript:Sspon.07G0010780-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSRGEKLGTIVCVLAHVSLLLLVLAPCVCKATDDYGGGLSDDHYSESCPELEIITKKSLAPIFAVDITSPAALLRLLFHDCQVHGCDGSILLSSDERRHITSELDSRRNLGIRHVSTIGLVKAAVEAACPRLVSCADIVVLAAREAVAHAGGPRIPSVPLGRRDATTTSTQAADAQLPSCFLGIDGALDIFTRHGMTVEETVAILGSHTLGGGHCINIDTGREWRDEAFQALLHLQCPKIVPPLITEAVIVVPSDLTPTWFDTHYYWGAVAGRGLFNVDAEASTDARTAGYVRRFAHDREGFFGVFASAFVKLAGFGVLTGEEGEIRKECHVVNY >Sspon.03G0005470-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:15726853:15727524:1 gene:Sspon.03G0005470-1A transcript:Sspon.03G0005470-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GMAIVGAEYCDPEERVLTVRKTSHFSPGDGFAAYDARTGGLAFRADTYGRGHGGGAASAGELALLGPAGEPLLTVRRRRPSLHHRWEGFLGARADGQKALFSARRPSILGGAAAGAVIELLPPPPAAARAAPELLRVDGSFPRRCCRVVAAPRAEGEKAKVVAEIRRKVDEGARVVMGRDVFVLRVSPGFDAAFAMGIVLVLDQIAGDEASVDAGADVVDAKIW >Sspon.01G0011970-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:32637305:32640548:-1 gene:Sspon.01G0011970-1A transcript:Sspon.01G0011970-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPDSSINNFHLHYAVNVEGTKNVIDACIRCKVKRLIYTSSPSVVFDGVHGIFNADESMPFPDKFNDSYSETKADAERLVMRANGREGLLTCCIRPSSIFGPGDKLMVPSLVAAARAGKSKYIIGDGNNYYDFTYVENVAYGHVCAEKTLSSADGAKIAAGKTYFITNMEPIKFWEFMSLILEGLGYERPSVKIPVSVMMPVAHVVEWTYQKFAKYGMKVPQLTPSRIRLLSCNRTFSCSRAKDQLGYEPLVSLKDGLKRTVESYPHLQAQNQRSISKASIFLGNGNLAKTVLWEDAKQTVTVLLLLAVIYYHLFTCGYTFITAMAKLLSLTALFLFIHGMLPSNVFGHKVEKLEASNFHITQAHAHHIAHSITSCWNSLVSLSLLVVSILSSMSSQAAFKIGFKAYEKWEDSIDSMVGDACTILLNIGSAKKSSSQKQ >Sspon.01G0001770-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:3828373:3832702:-1 gene:Sspon.01G0001770-2B transcript:Sspon.01G0001770-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMAHEIQDKATVIKGAPAVEPSDRFFWFNRPGWVLFLIHLTLFQNAFQMAHFVWTLLTPGLKECYHERMGLTIMKVVVGVALQVLCSYITFPLYALVTQMGSHMKKTIFEEQTAKAVMKWRKTAKDKVKQREAGGYLDGLMSADTTPSHSRATSPSRGNSPVHLLHKYKGRSEEPQSAPSSPGRGQGRELGDMYPVADQQRLHRLDPERKRAASSTAIDIDIADADFSFSMR >Sspon.07G0016880-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:60597830:60600489:-1 gene:Sspon.07G0016880-1A transcript:Sspon.07G0016880-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRESPPTQGEPSTSSAVDDSYSADRVEDSQLFLSVPALNQAASYLAQTASFLTQCLPVPGYVGLSEEGQELATLPPASASGRLSVQTSSVEPAGTNSSLGQADCVGSPSQENTGQMVPSHVFQNGASLFQGLVERARKTVRGSADDIGWLQRDQSLPTNEDGTARFLEILDSVRKNEHKLPDSVVYLLVPGLFSNHGPLYFVKTKAYFSKMGLACHIAKIHSESSVSKNAREIKEYIEEIYWGSRKRVLLLGHSKGGVDAAAALSLYWPQLKDKVAGLALAQSPYGGSPVASDILREGQLGDYVRLRKIMEILVSKVLKGDLQALEDLTYERRKEFLRQHPLPQDVPIVSFHTEASITPSVLTALSHVAHLELPIAADGNSTRIPVVMPLSAAMAACSQLLVARYGEKSDGLVTRKDAEVPGSVAVRPERKLDHAWMVYSSLKEEPRDQADTSQVCEALLTLLVEVAQKRRHEMAMKDE >Sspon.01G0029550-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1A:102710379:102711895:-1 gene:Sspon.01G0029550-1A transcript:Sspon.01G0029550-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKHRWAFERPTSSLLLRKPTNNKSGRGMLRGLLREEKSTQAIVYTVAPLQFPHRVPFRNAFGHSPISQRFGPTRSPSPRCARARRRVAPATEEEEEENPKFSIPMATRAPPQSSSTGSVTVTIDPSPSSSSSTAPPPAPAPAPESVVLRLKRRAKKKVSWKEGTVDNEGLGRKSSKKCCIFHKEVPFDEDCSDDEAPGGGH >Sspon.05G0023950-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:9482050:9486475:1 gene:Sspon.05G0023950-2C transcript:Sspon.05G0023950-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRRRRHHHHGRWVVPAVAPAAAAFAAAGLLLLVVAFHCFLSPPLSDGGGGGGSRVFRRPNPPFLVRTLPTILGATGGPRFINGQTRLVVDFVILPCLTRDSCSSLPTFCFSEQLNKPAEVRRNVIGAVDFAVPVSAEFRVRFVPFFPRSGELSGHFAEFRDGWFAERREQAWGGALGVEGGGQLLRLQQRHQAIRRYAFLATYDAEAVTKSDRYLMIATSGGLNQQRTGIIDAVVAARILNATLVIPKLDEESFWKDASDFAEIFDVDSFISSLSNDVKIVRQVPDRNGKPPSPYKMRIPRKCTPKCYESRVLPALLKKHVVQLTKFDYRLSNKLETDLQKLRCRVNYHALRFTDPILKMGEMLVQRMREKSGRFIALHLRFEPDMLAFSGCYYGGGDIERRELGEIRKRWKTLHASNPDRERRHGKCPLTPEEVGLMLRALGFGKDVHLYVASGDVYGGEETLAPLKALFPNFHSKETLANKELAPFLPYSSRMAALDFLVCDRSDVFVTNNNGNMARMLAGRRRYFGHRRTIRPNTKKLYSLFLNRTSMSWDTFASKVQTFQKGFMGEPNEIKLGRGEFHEHPVDCICAKSKGKTGQSRPHLVKGAGEAVENHSIDGELDWKDLDYGEHTPLGRDSSNETESDDIRVGGSDIPELEDMMSD >Sspon.02G0023260-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:9017184:9018738:1 gene:Sspon.02G0023260-2C transcript:Sspon.02G0023260-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At1g05750, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G05750) UniProtKB/Swiss-Prot;Acc:Q9MA50] MTQGSLALAQAVLEEKTKRKHMAVAAAPSLALPPPPRSTKESQRTPRHAPRDVVSWTSTIARAARQGDLHAAAASLCAMLSSPAAPAPNDVTLLTVLSACAGSPSSPLARPLALTLHARALKLFPSHLLLSTCLARFYLASRLPHLALQLFDSMPIRSVVTYNTMISGLIRNGLVDAAFEVLDGMPGPDKVSWTALIDGFVKNGRHDEAIDCFRAMLLDGVKPDYVTLIAVVSACAEVGSLGLGMWVHRLVLRQGLERNVRVANSLIDMYARCGQVNLAAQVFRSIRKRTVVSWNSMIVGFAANGRCTDAIELFEEMRRQGFKPDAVTLTGVLTACSHAGLTEHGLRYYDLMTTEHGVPARMEHYGCVVDLLGRAGRLDEAMRVVETMPIRPNEVVLGALLAGCRMHGDLDMAEQLMQHLFELDPGGDANYVLLSNIYAAVGKWDGAGKVRSLMKTRGVKKRPGHSTVEINGEVHEFCAGEIDQMLGLLRHEMAKYGCDDHGSSSLDGD >Sspon.06G0027280-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6B:84463595:84465436:1 gene:Sspon.06G0027280-1B transcript:Sspon.06G0027280-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFIHVGKTFSVGDIFHDMLEQITESKPSKDKDLKSLKRELEEKLKNKCFLLVLDDLWVNGDNMKERRILLDVLAGGQSGSRILVTAQKKDAAAALGAHEKMQIPIPDLEEEQYLSMFMHYALELSQVTSTDYERYEAIGRKVTEKLRRSPIAARTVAARLHSNNSIDFWETTAKLDVLNETMGALWWSYQQLGVDIRRCFAYCSTFPRGYELHRDNLVRIWIAQGFVNTRSNATEELEDVGRRYFDELLTFLFLQAERKDSFMIFIIHDLLHELAERVSGTEMVEKILDLGNLRTLIIDEDHYPKRVERNQELEKVLGHLFMRMRKLRALIIELMDRGYELSVPVSIYQMKHLRYLSLAFYVGRLILPSTFSKLHHIQVIGFRSPDPSYPEDMANLICLRHIFGWLRFPIPNIGQLTSLQTLTSFEVKDGQGYELKQLKHLNKLGTLRIEGLEIVRSKEEALEAHLSHKVRVEAEDLQELDIRYYRGSRYPSWMLTRQFATIQKPQSNCTDLSCIVAEASWHLFLKIVNSLWVRVSLKFTVVTGTGCLKIWSASCLSRH >Sspon.08G0017050-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:66654153:66655715:1 gene:Sspon.08G0017050-1A transcript:Sspon.08G0017050-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPYPVLQQQVHFGHACKPTATASVHHHGHGNPKADAVAQSLRRGAPADARGLRALIKALPASSAAAAVAMHAHATKLGLDRERTVRNGLIALYLACGERAAATALFDAFPGDGPDVVSWTAMVTGHARLGLARDAVALFFAMLELDDGVSVDAVAVAAGFAACAEARDLTLAREAHRGVAAARVALDVVAWNALVDMYAKCGDVAAARRWFRRMPVAKTIVSWNTMLAALARAGEHGEALALFREMQRAGVRPDGATFVAVLGACAQLGALDTGRWVHAYMRRQLGCDADGVVGNALLDMYAKCGAVDQAAAVFDGMAWRDVYTYASMIAGLATHGRAEEALALFSAMRRAGVRPNSVVLLGVLSACCHSGLVDEGLRHLGGMEEAYGVAPGVEHYGCAIDMLGRAGRLDEAAALVAAMPVPPDALVRGSLLAACRACGDVERAERVMRWMLAVDCSGGEAGDHVLMSNMYASKGRHGRALQLRKQMRRSKIVKDPGCSSIEIDGVVHEFQAVPANAIA >Sspon.06G0019500-2D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6D:2158409:2158738:1 gene:Sspon.06G0019500-2D transcript:Sspon.06G0019500-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLGVDVVYTQSWEAPTGVSIDYENNLYVVGCGVDVYMFGDNETDLLGSCMSICTKNREVMERANVGDAACGGFGCCSIWLSTGRQAFTLKLGRHNSTIAQLDEVLPSVK >Sspon.06G0005210-4D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6D:16217372:16217773:-1 gene:Sspon.06G0005210-4D transcript:Sspon.06G0005210-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGGLTKLRCMIKRWHSSSRIARAPSPGPGGEDDGGARGRGASFHGADEVPKGLHPVYVGRSRRRYLIAEELVSHPLFQTLVDRTGGATGGAAAGTTVVGCEVVLFEHLLWMLENADPQPESLDELVDYYAC >Sspon.03G0011540-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:31368086:31371476:1 gene:Sspon.03G0011540-1A transcript:Sspon.03G0011540-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPPFLPVSLLSRGTQPASLSLSCHTTQAATGTDPPAIRFADSNLQTFPPSEARGKIAGAYRPPTDADDTFSSKGGGAGSGGRGGSAGSDDAAQGGWFRMFSVAAYKPYFDVDTSDVVERIWESVFPFRGTFTEKTSENPDLYGPFWTCTTLIFVAASIATFVTYLSHKWHKKEWNYDINLVTWSAGLFYGYVTFVPLLLYVILKYFSAPAGLVQLWCLYGYSLFIFIPASLLSIVPIEIFRWVIAGVAGFMSATFVAVNLRAHIVNSGERWFLIVAGIFLLQLGLAVLLKLYFFTITV >Sspon.05G0033860-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5C:44592165:44592847:-1 gene:Sspon.05G0033860-1C transcript:Sspon.05G0033860-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALLLLSLLLLLSSTPLQAQQNITHGSSLTPTPQGPSISWLSPSGDFAFAFGASRAPNGQRPPVSVEVASRGIFRGYAAGGIRSRDISLSQ >Sspon.01G0013160-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:20847809:20849620:-1 gene:Sspon.01G0013160-1P transcript:Sspon.01G0013160-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQHKDPTNRAASDGLPDGVAPRKKTNVRFAFACAILASMTSILLGYDIGVMSGAALFIKEDLKISDVEVEVLLGILNLYSLIGSFAAGRTSDWIGRRLTIILAAVIFFVGAFMMGFSVNYPMLMAGRFVAGIGVGYALMIAPVYTAEVSPASSRGFLTSFPEVFINFGILLGYVSNYAFAHLSLKLGWRLMLGIGAAPSVVLALMVLGMPESPRWLVMKGRLADAKVVLSKTSNTPEEAALRLADIKEAAGIPADLDGDVVAVPKRTGGEERVWKELILSPTPAVRRVLLSALGIHFFQQSSGIDSVVLYSPRVFQSTGIADKNKLLGTTCAVGVTKTVFILVATFTLDRFGRRPLLLTSTGGMVVSLVGLGFGLTVIGHHPEGTTIPWAIGVCIASILGVVAFFSIGLGPITWVYSSEIFPLHLRALGCALGVGVNRVTSGVISMTFLSLSKGITIGGSFFLYAGIASLAWVFFFTYLPETRGRTLEQMGDLFGMIPSMAGDSDQQSSHGKEKKTSSNVEMSSSTATSSDIRNEG >Sspon.06G0003050-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:7348178:7352588:-1 gene:Sspon.06G0003050-2D transcript:Sspon.06G0003050-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFMRFRPQLIFSSLLRSTPKPREPRTQPAATLAAAAARRRHHTTSTVTSMAAPSEDALRRALAERQAAADAQAEAVRALKAGGGASKADVDAAVEALKALKVEAGAAARRLQQALGAGAGGAAREELRQAVVNTLERKLFYIPSFKIYRGVAGLYDYGPPGCRVKANVLSFWRQHFVLEENMLEVDCPCVTPEVVLKASGHVEKFTDLMVKDEKTGTCYRADHLLKDFCKEKLEKDLALPQEKADEFKRILAILDDLSAEELGAKIKEYGIVAPDTKNPLSDPYPFNLMFQTSIGPTGLSVGYMRPETAQGIFVNFKDLYYYNGQKLPFAAAQIGQAFRNEYSLRLELDNQISPRQGLLRVREFTLAEIEHFVDPEDKSHPKFVDVADLEFLMFPRELQLSGESAKLMKLGEAVSKGTVNNETLGYFIGRVYLFLTCLGIDKGRLRFRQHLPNEMAHYAADCWDAEIECSYGWIECVGIADRSAYDLKAHTEKSGVPLVAHEKFSKPREVEKLVIVPSKKDLGLAFKGNQKMVLEALEAMSEKEALEMKAELETKGETNFKVCTLGKDVVITKKMVSISMEKKLEHQRVFTPSVIEPSFGIGRIIYCLFEHSFYTRPSKSEEEQLNVFRFPPLVAPIKCTVFPLVKNQEFDDAAKVIAKALTTAGISHIIDTTAISIGRRYARTDEIGVPFAVTVDSATNVTIRERDSKEQIRVDTNEVASVVKQLTEGQSTWADVSAKYPAHVGPQVALSVGAHRSAGVLPNKMILFGILQPSS >Sspon.06G0010610-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:46441007:46445217:-1 gene:Sspon.06G0010610-3C transcript:Sspon.06G0010610-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPARPAPSAVRPLHPTALPPRRPTPPLTSEPNHFTSGSQGGSHVAEAEQPGPPTSALFVLSAPRSRSLRAPKAAGPAVAVSTLLHCSAARRIGRGRGGGGMSLFGLGRNQKTFRPKKSAPSGSKGAQLRKHIDATLGSGNLREAVRLPPGEDINEWLAVNTVDFFNQVNLLYGTLTEFCTPESCPTMTAGPKYEYRWADGVQIKKPIEVSAPKYVEYLMDWIEGQLDDESIFPQKLGTPFPPNFKEVVKTIFKRLFRVYAHIYHSHFQKIVSLKEEAHLNTCFKHFILFTNEFGLIDKKELAPLQELIESIIVPY >Sspon.07G0021390-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7B:2272253:2272550:-1 gene:Sspon.07G0021390-1B transcript:Sspon.07G0021390-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GRPMPLAHFGQTYDVTCTTNLTSFVSYSHPYTVGSLRSVFSPPCLYPVPLAHFSLPRCHAPHLRRSLLTPHSYPPSITRPIRTSLLASVVRPTAHFLS >Sspon.07G0019500-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:71995477:72001762:1 gene:Sspon.07G0019500-1A transcript:Sspon.07G0019500-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRKASSNSDARTKWRKRKRVAASASPSKQPADHSDDSDTAAAANGDDEASRAASANGGGGTLAGGGDDDPVLDLRAAEVLSSSAFPAAVRRAVGRPHPSVLAVIAAERGAASSDGAPATPAPVPVLENISNGQLQVVSAMLPDHPSLSYDPDKPSTYVCTPPPLMEGCGVHKQFYGKLHIVPRHSDWFVPTTVHRLERQVVPQYFSGKSQGQTPEKYMMLRNKVIAKYLERPGKRLVFAECQGLVTSTPELYDLSRIVRFLESWGIINYLATGSVHRGLRMAASLIKEETTGELQLVSAPMKSIDGLILFDRPKCSIRADDISSSVSTSSAPFVANGDADSANLDEKIWERLSESSCSFCSQPLPSLHYESQKEADIALCSDCFHNAKFVTGHSSLDFQRVDGMKDGSDTDGDRWTDQETLLLLEGIEKFNDNWNHIAGHVGTKSKAQCIHHFIRLPVEDGSQPGNQIPFINSANPVMSLVAFLAAEVGPRVAASCASAALSVLTRDDSRMHAEGIDAMGHATHLNYGPSSSISSETVKNAAICGLSAAATKSKLFADQEEREIQRLSATIINHQLKRLELKLKQFAEVETMLLKESERLEVMRQQLVTQCVRLLSTRFTSTGGTIPGGSSSMVSNPMNQVTGLRPLMMPGSVSQSSMPAMYANNMQGHPQMALLQQRQQMLSFGPRLPLSAINPGSSSSTPNMMFNPGMPNSAAPNHHPLLRSPSGNNSN >Sspon.08G0019020-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:12368966:12369292:-1 gene:Sspon.08G0019020-2C transcript:Sspon.08G0019020-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAGSSAAAASAARRGPSSSASSPGCRGGRGCAPALGRLVRKLRRQSRLLCAARHGAASSSAARCCHQYDPLSYSRNFDFGTALDGNEACSFASRFVLAASAARQPQ >Sspon.08G0007940-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:25492255:25493798:1 gene:Sspon.08G0007940-2B transcript:Sspon.08G0007940-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIAIYNDAATAVVTPGKLNMAICHAWVLTIVVVVAGAAPHLLVRGDGPSASALASCDLFRGRWVADKSYPLYDASTCPFVPGVFDCRRNGRPDDAYLKFRWSPANCRLPRFDGADFLRTWRGKTVMFVGDSLSMNQWVSLACMLHAAAPAPVRATFTSGEPVSAVRFEEYDLLLVLYHTTFLVDVVQEDIGRVLKLDSMRNASEWLGAHLLVFNTWHWWTYRGASQVWDYVQDGNSTYRDMDRLTAFSKALSTWARWVDANVDASKTRVFYQGISPSHYMSKQQESQAGTAPAGGGGSCLKQTRPLQEATDAAGGGTTRLAPVQAVVRGVLDAMTAPVSLLDITALSQLRIDAHPSVYGGPGRDGMDCTHWCIAGLPDAWNHIMYAMLLQQ >Sspon.04G0015980-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:62968894:62974695:1 gene:Sspon.04G0015980-2B transcript:Sspon.04G0015980-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGERGESSGERQGTVERRMLRSRYHAVKNLISDERDEMARADSDRFTAIIQQVDCLHEFVQRPREQIADAEALLGIASTLVTSVRSQSSEGITPSDFITALLKKFGQQGTPDDESVSLRWGDLGHSVSHIFRPMPGCCTMLGPMDTEVKQRKVAAVGRKRTARPTENTCPEELADSSEEVKSDTDRNVLVIFDVLRRKKSTKLENLVLNRLSFAQTVENIFALSFLVKDGRVEINIDNNGHHIVRPRNAPAASAIASGEVSYSHFVFRFDFKDWKLMKEAVMEGEELLPHRTSQSALCNEENDQPNTEARVQITPIRKLSRNRGLILQDHVVEETPEENQTTWISSSSRLAQLVVTMGKVTLVAKRTRSFCEVLTYLGLEVASCATTTTTTMSSASWVLGLWRGIATDTGVLGLWRGIATDTDNQLTDHSTSIPDLIEGALKLNVFQARV >Sspon.04G0030270-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4B:76546612:76549353:-1 gene:Sspon.04G0030270-1B transcript:Sspon.04G0030270-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAAVVLALSKLVTSFGVASLQAFIKKEVTLSQDLPRTAKCIERELDMIHHFLSQVGSKIYSNTVLEGWIVRVRKVSYCVEDIIDEYCYNIDLLQEEGFFKRVIRTTYYANAFRVIASEMKDIEEEIKHLSQLKRDYREMFNELLDNTSNNTKIQSLTYNENPHAIKVDDIVGMKEYLELLQKWLDPKELKRIVISVWGFGGLGKTTLVRKVYDREMGLKSFHCYAWVKISHSYDIRVIFRQLIQELNRGYGETPADLGIMHCDKLGDALRGAVSNKRYFIVLDDVWDTRAFNELSDLLVDNHKGSRIIITTRNNDAASLAQEMFKMKLNPLGADDAFELFCRRTFQNSNMECPCHLKELSKQIVNKCGGLPLAINAIGNVLAVQEPDEITWRRMDNQFKCELEDNPSLDKVRSALSISFAYLPRHLKNCFLYCSMFPQDYIFTHEQIVKLWIAEGFVSHRGQSTLEEVANGYFTELIHRSMLQLVENDETGRVVKCRMHDIVRELTLSFSRKERFGMADITNLENKGDVRRLLLSNPDQVNQLITTRMDFPHLRTFIATREVASDQLLCLLISKYKYLSVLELRESPITKIPENIGNLFNLHYLGLRRTHVKSLPRSIKRLLNLETLDLKSTCIATLPKEVSRLKKLRHLFAEKLADEKKQHLRYFQGVKFPDGIFDLVQLQTLKTVEATKRSVELLKRLPELRLLCVENVRRADCGILFASISNMQHLYSLLISAKDLEEPLNFAAFDPRHTQLEKLTIRGCWDNETFRGPVFCEYGINIKYLTLTFCENDADPLSSISLSMPNLIFLSIRRGCWADDIIVRAGWFPQLKTLYLENLDRLKRLFIEEGALVRLEVLLMLSLTSLKEVPKGLELVSSLKKLNVSMQPPEFKLEWERDNWRMKLHHVQEICV >Sspon.01G0060330-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1D:76832322:76835552:-1 gene:Sspon.01G0060330-1D transcript:Sspon.01G0060330-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLDQGLAFTIFTEAELEQATNKFDKSEILGHGGHGTVYKGVIKDIPVAIKKCALIDDRHKREFGKEMLILSQINHRNIVKLLGCCLEVEVPMLVYEFVPNGTLFDLIHGTKRTMHIPFSSLLRIANEAAEGLAFLHSYANPPIRHGDVKSSNILLDENYMAKVSDFGASILAPTDEAQFVTMVQGTCGYLDPEYMQTCWLTDKSDVYSFGVVILEILTGQMAFKLIDQEVQRSLSSSFLMAMKENNLDAILDGRIKGRESVELLRGLAELAKHCLDMCGDNRPTMKEVSEELSRLRKLSKHPWIQGGTETENLLVGQSTEYFEIEQSTEYPREDEEMPMSQSSSYFFR >Sspon.08G0002980-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:8295930:8298842:-1 gene:Sspon.08G0002980-1A transcript:Sspon.08G0002980-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPVPEWATKEPCLMGIDEAGRGPVLDSKTLKEEQREELFESLKVNSSIGWEVDVICPKDLSAKMLKKSKVNLNEISHNSAMGLVRKLLDMGVLLAELIIFLLEKVYIDTVGDPEKYRIKLTEKFPGIKFVVAKKADSLYPVVSGASIVAKVTRDRALRNWVFDETALNMHMKTGSGYPGGNQFLVINDFTKLYSYDSVSLKNIQIDGADPDTKQWLEDHKHPVFGFPTLVRFSWGTCTPFFKDAVEVTWESDEVDEDGTGNGSTKRQVKLSSLGFTGFKRKTEEIESSGKGRCKFFQARKLELVRKFQ >Sspon.01G0001220-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:3597247:3598836:1 gene:Sspon.01G0001220-1P transcript:Sspon.01G0001220-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSEQAPAAEVVEGSETAAPAPVESEEAAPRAEEQQQEDEAPVVVEDVKEGEDEDEDDDDDDDDDDDEADEGELGAGATEGTKQSRSEKKSRKAMMKLGMKPVTGVTRITIKRAKNILFVVSKPDVFKSPTSETYVIFGEAKIEDLSSQLQAQAAQQFRMQDLSKVMAKQDAAAPADEEEEVDEAGIEPRDIDLVMTQASVTRAKAVKALKAHDGDIVSAIMELTA >Sspon.01G0027090-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:95482560:95491774:1 gene:Sspon.01G0027090-1A transcript:Sspon.01G0027090-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histidine kinase, Cytokinin signalin [Source: Projected from Oryza sativa (Os03g0717700)] MMPHRASPPARRVEGKNHGEIRFTRSPAAFSPSRKAASQQKHIEAAAGEEREREGGRRAEKKTRRQASSSLLCPRILTKAEERAEAGVVGGLRCGAAHVRAAAVEAAGKDGEEGSRWTLKEKLLLVWVLVAATFGVYLHWNSRHAALRKAEEGLVSMCEERARMLQDQFAVSVNHVHALAILVATFHYEKHPPALDQDTFAEYTARTSFERPLLSGVAGWSTPTGEDFERQQGWIIKTMKHEPSPVQDEYAPVVYSQETVSYIEGLDMMSGEEDRENVLRSRASGKAVLTRPFRLMSNHLGVVLTFPVYHVDLPPDAKEEERVAATAGYLGGSFDVESLVENLLKQLAGNQELVVNVYDVTNSSDPLVMYGSEVPLGIPSPSHICMLDFGDPFRKHHMVCRYRNKPHLPWSAISTPSGVFVICVLVGYIIFAAWSRYDNVKEDCRKMEELKKQAEAADVAKSQFLATVSHEIRTPMNGVLGMLDMLLDTGLTSTQRDFGQTAQVCGKALISLINEVLDRAKIEAGKLDLESVPFDLRSILDDVIALFSSKSRDKGIELAVYVSERVPEILLGDPGRFRQIITNLVGNSIKFTERGHIFVQVHLGDHSNLATESEVEPVANGMNGHKDEKTAVATSVSLNTLSGFEAADSRNSWENFKALLSYEKSEMPYESVSDKVTLVVSVEDTGIGIPLDAQAKVFTPFMQADSSTSRTYGGTGIGLSISKCLVELMRGQINFVSRPQVGSTFTFTAVLQRCDRSAIGDSKPVMLHPLPSSFKGLSALLVDRRPVRATVTKYHLQRLGIACDVVATIELALGVLSGRNGSSLTSTKQPCMLLIESDSWAFKIAVSLRSRLLEMKQNGHTHVLPKIILLAAAESGKLKAQCAVDSVITKPLKASALAACLFQTLGISITQSSNERRDNSGSLHGLLLGKNILVVDDNKVNLRVAAGTLKKFGAKVECVESGKDALALLQVPYKFHLCLMDIQMPEMDGFEATQQIRAMEAKANEQAVACDDSERDGATRAAKWHLPVLAMTADVIQATHEECTKYGMDGYVTKPFEEKQLFQALKKFLDPGMSS >Sspon.02G0040700-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:71464639:71472351:-1 gene:Sspon.02G0040700-1B transcript:Sspon.02G0040700-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVQEARDADGDIHGSRRSGDRRHQPRARRVLVFPLPFQGHIDPMLHLAGVLHSRGLAVTVLHTRFNALDPARHPEFQFVAVPDGTPADVAATGRIIDIILSMNAAMESSSSAAVEEALASAAALADQPRAACLFIDANLLAVHRAARKIGLPTLVLRTGSAACFGCFLAYPMLHEKGYLPPRESQLCTPVPELPPLRVKDLVYSKHSDHELVRRVLARASETVRGCSGLVINTFEALEAAEIGRLRDELADLPVILAAGPLHKLSSSRGAGSSLLAPDRSCIEWLDAQRSGSVLYVSFGSLAAMDSSEFLTSWRWPGVWQRAATPSSGSSDPTSVRLPDGFEDAVKAGRGVVVRWAPQQEVLAHRAVGGFWSHCGWNSTLEAISEGVPMICRPDAVDQMMNTRYVQDVWGVGFELEGKLERGKIKDAIRKLMSEREGDEMRERAQELRGKVASCLERSSGSSQIAIDKLGICEPSVLEIEAENGDSKEQMKRNAEETGTRLLFVCKRNIPIEVARMKARATQALRIWKKINSPAATGAMERTHLHEVLLVLEGSRAGATSAWTAALGLGSVRLARASEPGGAMRGRRRRRG >Sspon.03G0017900-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3A:55335845:55336888:1 gene:Sspon.03G0017900-1A transcript:Sspon.03G0017900-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATTATNRRVILKQYVEGYPREEHMELLRGAEVPLRLTGAEPAGSVLVRNLYLSCDPYMRPKMSRPLRESYTAAFVPGDAITGYGVSRVLDSSDPRFAPGDLVWGVTGWEDYSVVTPPVTKFLAKISHHGEGVPLSYYTGILGMPGLTAYVGFHEICAPKKGETVFVSAASGAVGQLVGQFARLAGCHVVGSAGSKEKVELLKTKFGFHDAFNYKEEPDLGAALKRCFPDGIDIYFENVGGAMLDAVLLNMRVHGRIAVCGLISQYNLADGDKDAVRNLGAVIAKRLRLQGFIEPDHKHLYPQYEAWVLPYIRDGTLAYVEDVAEGLESAPKALIGLFHGRNVGKQL >Sspon.04G0009260-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:29544496:29547541:1 gene:Sspon.04G0009260-2C transcript:Sspon.04G0009260-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDAASEISDWEVLSATSACGGGDDDEVLVVSGGGGDVVLDHFALTPDPAAACPGDGEGSCSGPGGAWQGLELLDGFDPIPESSFNLAAGVRSQQPPIAGVDDASEEGSILQATLARGATRSADGNQAEEVVDAEIEQESNSVISRGELCPVLQPAHHGVGETLDSDAATPAGASLQCEVSESSLVQLDDGEIVAGVESSCLEDAVSSDGIHGEQEEQVQGSNANAATGCDEPDGEAKDGALPLAHTPGTEEGEKQFVVWWRLPFKLLHYCAWKVKPVWSFSIAAAFLGLVVLGRRMYRMKRKARGLPQIKIAFDDKRASQFADRAARLNEAFLVARRVPMLRTSSGATLLWSMVQDR >Sspon.03G0025790-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:745088:748212:-1 gene:Sspon.03G0025790-1B transcript:Sspon.03G0025790-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLRLHLHLPRPPLPALPSALSSSTTPCLWRGHLPASRLLCSTHPTSPPDAASAAPPSIVAGLLDYLNESWTQFHAIAEAKRQLLDAGFKLLSESDDWDLQPGGRYFFTRNMSCLVAFAIGEKYRVGNGFNIIAAHTDSPCLKLKPRSATIKSGHQMVNVQTYGSGLWHTWFDRDLTLAGRVILKATDGSFKHKLVKLTRPLIRVPTLAIHLNRTVNTDGFKPNLETHLVPLLATKHEDTTTNSDDKSSSSTKVTHHPLLLQILSEEIGCDSDEIIGMELNVCDTQPSCLGGGNNEFIYSGRLDNLASCYCALRSLMDSSKMAQQLSNEKAIRMVAMFDNEEIPDPQLDPMWVQIQCKGLVHQPFPGYETNHRFLDASVDGEGALERAIHSSFLVSADMAHALHPNYPEKHEECHRPELQKGLVIKHNANQRYATSAVTAFLFKEIARIHNLPVQEFVVRNDMGCGSTIGPILASGVGIRTVDCGIPQLSMHSVREMCGKEDVDTTYRHFKAFFETFSDIDRKLD >Sspon.02G0020570-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2A:67013730:67013960:-1 gene:Sspon.02G0020570-1A transcript:Sspon.02G0020570-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKAGATAALLTVLVVLAASAEMAHGVCNLSSAGIRACQPAAAIRNPTDKPSAECCAALAAADLACLCRYKNAAGVW >Sspon.06G0003890-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:69359578:69363481:-1 gene:Sspon.06G0003890-1P transcript:Sspon.06G0003890-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQLGLPPGYRFVPTDEELVRLHLLPCILDQPQPRNDIIVDDPLSAPPWALLEKHGRKQEAFFFAVGQAMNAKGNRQKRTCEGHGTWQGQGKRKREEEGKESKKRMRVSVCGSTKEIEWEKYGLNFQERGVKGSTGWVMHEYSITSPPEFARSPMRVYCIRHSGHGKNAKKHNRDAEDWGSDDEDDDEVDDATLATTWCAAEEDPALFIGEYPLAPQAEYVTSLPVAVADVVNAIPADGSGAGAGNDQDLPALVLDDATTWSAAEEDPTLFIGEYPLAPQAEYVTSLPVAVADVVNANPADGEGAGAGNDQDLPGLVEDDNYFAFMNSLSDLTGVRNGDEVSKGSVVNGQKDCIKLRTSFALTMDVASASPRSRRRWAALRRGAH >Sspon.02G0015620-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2A:42596964:42597311:1 gene:Sspon.02G0015620-1A transcript:Sspon.02G0015620-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MERFAAMVTAHRAAPVPAPAPAAKVEAKGKGKGKKGKVVEDAAYLSIQLEEIVIVKNDDVASLGAARGRSTLTSGASTPMGQRVAAVARAPPSGMSAAAAAAAAARGALSTTSGWI >Sspon.02G0040810-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2C:75180525:75181170:1 gene:Sspon.02G0040810-2C transcript:Sspon.02G0040810-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LNLTVNFFSSKNTKFIAGCPSLPSQMKTVVCAMEDLQCQADSPSSEEDGNDIRDPEEPQDNDEEPSDSPIRDGYSYSDYCFQQPSSDQVQPMDEQTRTAGSDVEDDLVGEFAPSMERSEIFDTRRELNGPRASPLCSLSPCSDDDVGLEEGTGLMSPLLMPNASSDDGDGRHILDGNHVVDLVTPTPVGRLRRRDCISSICPKIIDLTSSPIVIQ >Sspon.07G0030220-1P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7C:3733809:3735075:-1 gene:Sspon.07G0030220-1P transcript:Sspon.07G0030220-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGRHEAEADGGKPGGYSSSGLPPSEPPHLKGQPPPEYGYGTFQGPRQPPVGFPQPAPPPGFGGGGGGYHQQQPYAPAEPYYAQGYQAVPGYGPVAEGRPVRMRRLPCCGLGLGWCL >Sspon.02G0038670-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:43770674:43773240:1 gene:Sspon.02G0038670-1B transcript:Sspon.02G0038670-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSWVDSFSSSWVRLSIRDGNHGTKKVRKPKAWKHPQPITMAQLRQMREEFWDTAPHYGGQKEIWDALRVASESEVSHAQAVVESAGIIVSNADLTLCYDERGAKYELPKYVLSEPTNLIRDS >Sspon.02G0017350-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:49933482:49957341:1 gene:Sspon.02G0017350-1A transcript:Sspon.02G0017350-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALELSLASASPPAGGGLAQPPPLAPPGKEQQVAGVGILLQISMLVLSFVLGHVLRRHRFYYLPEASASLLIGLVVGGLANISNTETNTSLSPKPFFANFGAIVTFAILGTFIASVVTGVLVYLGGLTFLMYKLPLVECLMFGALISATDPVTVLSIFQELGSDVNLYALVFGESVLNDAPLTTQYLFKYAGLDVDKYMLAEGLGLSGIVSILFTGMVMKHYTYSNLSDSSQRFVSAFFHLLSSLAETFVFIYMGFDIAMEEHSWAANVFSCAYLVNMSRPEHRRIPLKHQKALWFSGLRGAMAFALALQSVHELPEGHGKTIFTTTTAIVVLTVLLIGGSTGTMLEALDVVGDENTSIEHSSASIIGSQSTTKTKFL >Sspon.02G0024160-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:82827071:82827656:-1 gene:Sspon.02G0024160-1A transcript:Sspon.02G0024160-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Light-harvesting complex-like protein OHP1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G02120) UniProtKB/Swiss-Prot;Acc:O81208] MLPVTEKLKKESFYKLSAVHFLFVPDQVEVPRVQPKLSEPFLGFTQTAEIWNSRACTIGLIGTFLVELVLNKGILQMIGVEVGKGLDLPL >Sspon.01G0008780-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:27234358:27238399:-1 gene:Sspon.01G0008780-2P transcript:Sspon.01G0008780-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSQKSRVLGAMSSSLPILPNPFKGSFPRPCNPQHIPMLRQLPDDSMPLRNDIHQSASLHPRAGVIGAPYSGYSASPLDSVSNHDSQSMVAPYISQSSSFEAFPSLSDNTPGTHTEAAWFTSSMDVSPLYTDNIAAPDDNRIQSIPAMTSDETAKQNDWWADIMNDDWKDILDATATDSHSKAMIQTSNSATSLPAVNQSASSHSMEICPVASPPNSSNASVAKQRMRWTPELHECFVDAVNQLGGSEKATPKGVLKLMKVDGLTIYHVKSHLQKYRTARYKPDLSEGTSEKRTAAEELVLDLKTSMDLTEALRLQMEVQKRLHEQLEIQRKLQLRIEEQGKYLQMMFEKQSQSSTEKVQDPSSRDTAAKPSSTLNQSANKDSGATMDPNRTGDSAKTAELGERSSGLGVKQKLVEIESDTEGATDDGSKISQEKRRKLQDS >Sspon.07G0002350-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:5098340:5099436:-1 gene:Sspon.07G0002350-3D transcript:Sspon.07G0002350-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRAAQTGGGGAGAGAGAGGAARLKASPRALFSCGIFSTCTHPALSPTATPNNNVVPGSGGGGCGGIKGGGSGGTPCAEASSASPVAVEAAAAATTPPPPQRQHQRAQQRNVGPSSSSSSSSSSASQSFTQWRLPVHHPPHASASASGAGASGDALLSAEEKFATGEVVAALRTVEREMEAAARPVPAGVVAGVVAAVREPATARLAAKVLLVVLLEEGNRETAVEAGAASAAVEAVAASGPAGATAERALAALELLCTAPGGARREALAAPVLARAVEGMAGRGRECAIGVLAAIYGGGGGGAGGEDGASSSPPPPEVVKAVVAAMQGECSARGRRKGAQLLRALQEGGRLGLAWDGVGDQ >Sspon.02G0013350-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:16082989:16085482:-1 gene:Sspon.02G0013350-1P transcript:Sspon.02G0013350-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTSCLIERQDQRHPLGAVLFQGRKDRAQRPASLDFGCPGVARSSAHSPGFPVTGVGVMNKGLGISNSSSHGRSDVLSSPGTPPSYNRRGMAGVGYQQGPNSERVIPPSAGHRRHPGSGMVLPYSSGRTLPSKWEDAERWIFSPNPNNTLGRSVPQLWRPKSKSGPVGSPGRFDGAYSCVSSSAQLLDNGRVGTPTVNAPYMAGVLLPEHVCGGVMDWGRDLSGASGEDSSNGRGGRSAQMNGRHPVMQSTRVSQQLGSAAESYQSLLTSLESIQDGGIESIKDSATSSAPIIVRKDVATQTSPDISRSSSPSMRASFSRSLSAQQVKELESCFSKLEVRDVQVDDRVTLTRWSKKHVTRGSDKNAPNIIEWKKKTMDSKSSAWEVSETAKCISKIEGEEAKMTAWDNMQKAEAEAAIQKLVIKLEKKRPYSLERIFNTLRSGSRKTQVLRSTSTTNQDQHISRTIKTAPHLSKNGQMSSLSGCFT >Sspon.01G0009940-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1A:27975366:27975575:1 gene:Sspon.01G0009940-1A transcript:Sspon.01G0009940-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSARAATGGALARALRGYRQGVVSHGSSPTAACVVRASGSSAPPVPRRASATAVDVGAVLLKRQYPEVTQ >Sspon.07G0003630-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:8782751:8785545:-1 gene:Sspon.07G0003630-1A transcript:Sspon.07G0003630-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQHREESGASVMDKISDKLHGRGGGSSSSSDSDDERSSATAAVKAKIYRLFGREKPVHSVLGGGKPADLFLWRNKRISGGVLAGATAIWLLFEVMDYHLLTLLCHCLILTLAILFLWSNATTFINKGPAVNVARSLRYEINRGFATLREIGQGHDLKKFLIVIAGLWILSVLGSCCNFLTLSYIVFMVLYTVPVLYEKHEDKVDAFGEKAMVELKKYYTIFDEKCLSKIPKGPLKDKKQH >Sspon.01G0026110-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:90985800:90986982:-1 gene:Sspon.01G0026110-1A transcript:Sspon.01G0026110-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ornithine aminotransferase, mitochondrial [Source:Projected from Arabidopsis thaliana (AT5G46180) UniProtKB/Swiss-Prot;Acc:Q9FNK4] ILGKALGAGVVPVSAVLADKDVMLCIRPGEHGSTFGGNPLASAVAVASLKVVRDEGLVERAAKLGQEFRDQLQKVQQKFPQIIREVRGRGLLNAVDLNNDALSPASAYDICIKLKERGILAKPTHDTIIRLAPPLSISPEELAEASKALSDVLEHDLPQMQKQIKRPESEAEKPVCDRCGRDLYV >Sspon.01G0059770-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:67679143:67681592:-1 gene:Sspon.01G0059770-1D transcript:Sspon.01G0059770-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRELFPGRRGRATASWRGSGHAIGTFSVTVRLELIDQAVAEACWLTSVYEPTDHALKEAFLMELEGLATSCVGSWLICGDFNLIYQAQDKNNDRLNRMMRHGLKDSAECAFCGQEDETVDHLLVGCVFTRDLWFRLLRPGVGNRCRPLRAVWKERNARVFNRTACSVEQTRRALLEEADEWVAAGFTAICEFLFAKPPLTLMTWPFTQDAASLTRNLTTDATSVVSAMRPMDRSSTFRTNASSFPAKNSSVRTGPGATTFAVTPLAATSLARILVIASTAPLVAVYATYPGRSVPKMEDENVTMRPPLFGGTCLAASWHTRNVPRTFTANMASKSSTVVSASAGYLGFRRPTLATTTCGGDSNASSARSKSTRTASGSDTSARPRRAGRA >Sspon.05G0005140-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:16133138:16138193:-1 gene:Sspon.05G0005140-1A transcript:Sspon.05G0005140-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAASSLSTLLSTLRVDGPWTPPGTWESVVPESGAARVSDLGGRRLQPIYELASVTDDALVHLALHALYGVKSSLDEIEELSALFSSNPADRTSNRVTNVWFRSSSTTSVGSILKSLRSTGLAVFFLHKFVRFYLFQSREMNCASREVHGQDDSDDNDIQQHRPYSLVNQAFAAAVNKVLEGYFCSLNTLPASIRLRRSAGQSSIPSKISDGMSVTLCQEGLNTDANVEFENFPRGTDLLSYLYVHLRDADPVHYGLLKYLFIRSCEPYFNFIKSWIYRASVDDPYEEFLITQTENKGAQGISSDVTDEFTLFPLKVIPFDTASNFLHKRTDLLDTSVSDAELFYGDSNAALPCNMAADEKDHDASLNSEESSDKEDPLESSECSSYTSMDDTEVESSTACENLSSSMFSLYCTSTDEAKCSLVTRKLLSSQASSVHHRINCAIPIDECEKDESLSCQHVPVHSQNTKHTALPDGLELDYQYSQFSPFHRFMKRTSSSSENIDSVGEFLYTDHKRSVEKVSHGNAVYPLHSESGPTKLSNSKNNGKFGNINQPWNTSIPYNLSLNPILKNAACYRMESDVQQKSNNQSLASFDFESVMDPCEVYCARSPSCLDESLNGAATVVHPSTQPYEQPDCSTKLLQAHTRSILSSSGEMSTRDSLQKNASGGAFWERSLLYNDKSKEKPAVDFSSQFDMPLDIVIDKCIMQEVLLQYKYVSSFTMKLLEEGFDLCAHLLALR >Sspon.02G0019810-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:64417782:64420045:1 gene:Sspon.02G0019810-1A transcript:Sspon.02G0019810-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGPGWSPGSGTRVRSSPHDRTQRGQGRSSLAAEPGAELARSTHGGAQTSLATLSVGHGIKEPRLASSLSPSPSRRPREPPPLVAAAGQPVPWLAVPLLLPSPLLPPGPKCWPPPHPLLPLALCQPPHPLVLCQPPEHPARPSQGREPLLLAPPVLLAREPPTSLLPAAGAAFLPAAGAAFPPATRPSGAGPPVFPAPPPSQLSTARAGALAAAASPGGARPDAAVACPLAPPAAGGPPAPAQPLLVAPGAAAGPSAPPPSSLTRGVAGHWRAARRLWAAPAPHPCVRCGPRLGPPTGAAASHGCCHHRCCPWPGLDPLRLRAPLPHGMGTRGSGFPGRPGGPPPSAAHLWRGRWPGLGAASVLARSAVVSSGFSSPRDERGWGAVADAVVADADVVGVLVSTTRHGPSRPPVWATPSAAVAHSFSTMGPTTPVGPQWIADSGVVGPQWIADSGATYLTFTRPDITYAVQQLCLHMHDPREPHLTALKRLLRYLRGTVGYGLLLHRRSSSTEMVVYTDADWTRCPDTRRSTSGYVVFLDGNLVSWSSKRQQVASCSSAEAEYRAVANGVAEVSWLRQLLAELHNPLLRSTLVYCDNVSAVYLSTNPVQHQWTKHVEIDLHFIRDRVTIGEVRVLHVPTTSQFADIFTKGLPSSTFTAFRSSLNITSG >Sspon.07G0007030-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7B:16501436:16503547:-1 gene:Sspon.07G0007030-2B transcript:Sspon.07G0007030-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNHELLRAAATGDKALLEQVLGLRSTTDNGGELEATQRGSRSCLKGVTSEGNTALHIAAGRGYLEHARVMCDLDASLVRARNNLRNTPLICAAKAGHVDVVCYLIEHALATATVAAAPAWDAGASSDEESMLRARNSEGATATHEAIRNGHEAVLAKLMSADGGLAAVVDGKGFSPLYLAAALGRADMVDVLIAGSPPDGVKSPAYYAGPDGQTALHAAVLASEEMSKSLWCWEPTLAKKVDNSGNTALHHAASAGKIGAVKLLLQEDSSLAYIPDVDGLFPVHTAAKMGKIDIIEQLMETCPNSDELLDNRGRNVLHCAIEHKKEKVVQHMCKNPRFGRMMNARDIRGNTPLHLAVKHGCDRIAMLLVRDVKVNLSIMNNDGATPLDLAINELDHGYTYPMNPEVLIVQCLVWCGAHRSPRRRDEYLNKRTGVGGSEKELSKFTNLTQNRAIGSVLIATVTFAAPFTMPGTAADAAERPAFWAFILSNALAFLCSTVATCLLMYAGLTTVHPRYRSRYHVWSSNLLHVGVLLVIATFAFGVHLALSPPGTGIPGGNLNAAVCAMACVSVVFAHPGTWWPTVLAKPIWARLGLKGLLGVLLGPRPIPCQKLLLSRTPWLNLFKMLATLLILALILVTFLLDMSYLQPPAAQHRFSSNLLLQSQRQFCSYPT >Sspon.02G0015550-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:45938921:45941848:1 gene:Sspon.02G0015550-3C transcript:Sspon.02G0015550-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMALSRFTQWLWPGGAAARVAATHEHPSAGLTSSSFPDFPSGFREPDTVTFYTGGGAGGRRARPRRVRNRRRSRGEARVDREYDMVIVPSDGGGCLSGSDSDDSDWSIGWLEPQAPELQTDGDPENCFAVLVPCYRHGRQEQQPRRHEGRFLGAGALTDGGLSDGKNFVEQWLSSLQN >Sspon.02G0016740-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:50320334:50325371:-1 gene:Sspon.02G0016740-3C transcript:Sspon.02G0016740-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MERLSSIDAQLRMLVPGKVSEDDKLIEYDALLLDRFLDILQDLHGDDLKEMVQECYEVAAEYETKHDLQKLDELGKMITSLDPGDSIVIAKSFSHMLNLANLAEEVQIAYRRRIKLKKGDFADENSAITESDIEETLKRLVVDLKKSPAEVFDALKSQTVDLVLTAHPTQSVRRSLLQKHSRIRNCLVQLYSKDITPDDKQELDEALQREIQAAFRTDEIRRTQPTPQDEMRAGMSYFHETIWKGVPKFLRRVDTALKNIGINERVPYNAPLIQFSSWMGGDRDGNPRVTPEVTRDVCLLARMMASNLYCSQIEDLMFELSMWRCSDELRMRADELHRSTKKDAKHYIEFWKKVPPNEPYRVILSDVRDKLYNTRERSRELLSSGHSDIPEEATLTTVEQLLEPLELCYRSLCACGDRVIADGSLLDFLRQVSTFGLSLVRLDIRQESDRHTDVLDAITTYLGIGSYREWPEERRQEWLLSELNGKRPLFGPDLPKTEEIADVLDTFYVIAELPADNFGAYIISMATAPSDVLAVELLQRECHVKTPLRVVPLFEKLADLEAAPAALARLFSIDWYRHRINGKQEVMIGYSDSGKDAGRLSAAWQLYKAQEELIKVAKDFGVKLTMFHGRGGTVGRGGGPSHLAILSQPPDTIHGSLRVTVQGEVIEQSFGEEHLCFRTLQRFTAATLEHGMHPPNAPKPEWRALLDEMAVVATEEYRSIVFKEPRFVEYFRLATPETEYGRMNIGSRPSKRKPSGGIESLRAIPWIFAWTQTRFHLPVWLGFGAAFKHVLQKDIRNLHMLQEMYNEWPFFRVTIDLVEMVFAKGNPGIAALYDKLLVSEELQPLGEKLRANYEETQKLLLQVAGHRDLLEGDPYLKQRLRLRDAYITTLNVCQAYTLKRIRDLDYHVALRPHLSKEVMDSTKAAAELVKLNPGSEYAPGLEDTLILTMKGIAAGLQNTG >Sspon.06G0001620-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:3785808:3788533:-1 gene:Sspon.06G0001620-3C transcript:Sspon.06G0001620-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AGL26 [Source:Projected from Arabidopsis thaliana (AT5G26880) UniProtKB/TrEMBL;Acc:A0A178UI04] MEAGLRALGHRYCSGGGGCAVSLGGFAAVRAIRIRRQRRAAAFCSREWQEIASPPGSRSRSGVSVSLTSPALANVSAVAVGGDGNGPVGSGVEVARARRMLHVVLVSPLIPGNTGSIARTCAASAVGLHLVGVRYVVVKIHDSWDHFCDYFMKQEGDKRLLAFTKRGTQIHSDFSYRPGDWLVFGSETKGLPQQALEDCCKEGLGGGTVRIPMVETYVRCLNLSVSVGIALYEAARQLNYEQLHYQPELPQEAQGLFPAEDIYA >Sspon.08G0027840-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:3066055:3073697:1 gene:Sspon.08G0027840-1D transcript:Sspon.08G0027840-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAKKTKKSTDNINNKLQLVMKSGKYTLGYKTVLRTLRNSKSKLVIIANNCPPLRKSEIEYYAMLAKVTVHHFHGNNVDLGTACGKYYRVCCLSIIDPGDSDIIKTTPAKLVIIANNCPPLRKSEIEYYAMLAKVTVHHFHGNNVDLGTACGKYYRVCCLSIIDPGDSDIIKTTPGEQTFLGDH >Sspon.03G0021940-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:89379475:89382923:1 gene:Sspon.03G0021940-2B transcript:Sspon.03G0021940-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding TMVVEDITEGVKNLAVAGDAAAVSGGEGQRRGGGGSSNRIQVSNTKKPLFFYVNLAQRYMQQHGDVELSALGTAIATVVTVAEILKNNGFAVEIEIRTSTVEINDESRGRPFQKAQIEIILGKSDKFDELMAAAAEERGEVEDGEEQA >Sspon.02G0004310-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:36665058:36674361:1 gene:Sspon.02G0004310-2B transcript:Sspon.02G0004310-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAGLLPSALDVGGRFRPGSLGPAGSVPHLAAAAPAAWHAAALAAALGSAAAAATKQPADAVHRPGAGLPRAATVAACEPGIQDYEKLSTCLMDKVCKLELEKKLLEEQSQDQKTEIYKLKANLESCEKAIDDCTLQHELEKDAILLELLNLQKEVSILSSSSLMKEKESIRKELDRTKTKLRETENKLKNSIQEKIKLQSEKAEAHKEIKKLQSQRTLLERDLRKRDSATVDKRHELNSMPHELSGAFEHMQEDYQNLEMHALDMDAEISSLQEALVTSIAEKDEALSKVELMTSELEDLANKLNSTESERNSFSNEIALLTKKLNASESTLKSLETSLNSVSREKEDLGMQLTDALLDMESERSIWTAKEKEYLEANHRLNICLDENRKLSEDLIKVRQELGCCREQCKILEDKLALSMESDMNEKGMKCRNVSCISGACKESGQIVEKGRTISGAVCENFEQELHKQLLMITEERNCLLSETQQLRFLVAEAELIHAKDTIDELSSRISVMEDAAANNKEKTKLRMEIRWLRPQLDAHRGRLKEAMDEMKTMDAKYQQASTMLKKELSQNAREVLRLRGMLKELQSASN >Sspon.01G0033460-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:114583849:114585815:-1 gene:Sspon.01G0033460-2D transcript:Sspon.01G0033460-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MILAVLFANSEGNILIERFHGVPAEERLHWRSFLVKLGSENLKGSKNEELHVASHKSVSIVYTTIGDVCLYIVGKDEYDELALAEVIFAITSAVKDVCGKPPTERLFLDKYGRICLCLDEIVWQGLLENTEKDRVRRLIRLRPPVEP >Sspon.08G0007500-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:22244098:22249413:-1 gene:Sspon.08G0007500-4D transcript:Sspon.08G0007500-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEDSRKKRRDLEELLKSRMDTWKQKEIMVNQFLGLQIQNIQDLRLSSVSIRHEIQNCQKRWSEELNGLGQSLNILINDSENYHAALEENRKLYNEIQELKGNIRVYCRIRPFLPGEDQKSTIIEYVGDNGDLVFKDIEPLIRSVLDGYSVCIFAYGQTGSGKTYTMTGPENAMENERGVNYRALNDLFHISHNRGDTIMYEINVQMIEIYNEQIRDLLGSNEIMNASQPNGLVVPDATLHPVNSASDVIELMRIGLANRAVGSTLLNERSSRSHSVVTIHIRGVDLKTGATIRGALHLVDLAGSERVDRSAVTGNRLKEAQHINKSLSALGDINPDVSSYSETLSTLKFAERVSGVELGTSKANKEGKDIRECMEQLSVLKHKIAKKDEEINRLQQLKTQTPRVRTAKRADSPLKHSSSSPGISNLGSKIHHRRTASSGKAMSIGSRAGSDNFSDISDRHSESGSMQSVDDILPHREIIGLPKLSIGAMGPNSADPELVFFGYADSEERLSDISDSCLSMGTETDVSVSSTVELTRFQEQENTSSTQKEQESAPKTPNDRLSKVATRVQKTKASKPAQTSLWPKLRDPPALRSPSGKRIKLSHSNTNNICTKNVQYFETMDISQHNRK >Sspon.01G0004600-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:12276791:12277649:-1 gene:Sspon.01G0004600-1A transcript:Sspon.01G0004600-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DPKSAKPLPPRGESGCLDLGASSNAGLRRRAARRAPAHHPAVAEEAAAHSPENVRGEDSGAGDDERGQQRPGVCGTRVLEALLLLPQGPGRHHGRLRVQVRARLLQRRMPVPPYSQRGAAGDGNASQEAPRRVPQTARGGAQDARTKSAHHTAANRCTII >Sspon.07G0013650-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:87601132:87605526:1 gene:Sspon.07G0013650-1P transcript:Sspon.07G0013650-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLDFAVRLRNPELVGPARQTPRETKRLSDIEDQVGLRWHVPFVLFYRGRGAAAGNDDPAAIVRRALGEALVPYYPLAGRLREVERRKLVVDCTGEGVVFVEADADVRLAELEAAGLRPPFPCMDQLLFDVKGSGGVLNSPLLLIQVTRLRCGGFVFALRLNHTMCDAAGIVQFMRAVGELARGLPEPTVAPVWLREILDARSPPRPSFPHHEYDPVPPPPPSSFPPPGDMVMRTFTFGPDHVAAIKKGLSAQRDGSKATTFEALAAFIWRARTAALEIPADEDARLVIAANVRGVRDLGLPAGYYGNACVYPAVVATAAALRVRGGGTLGAAVELVREAKRAAMSAEYVRSTADLMALCERPSLVTANMLIVSDNRHAGFRHVDFGWGEPVYGGPAGALFVLSFIVAVKNGDGEDAIDVPIALPRTAMDRFASEVTRLRCGGFVFALRLNHTMCDAAGIVQFMRAVGELARDLPEPTVARSPPKPSFPHHEYDPVQPPPSSFPPPGDMVMRTFTFGPDVVVVEHRVEAQRVLDGGERVPGVLGQRRHGIVGEHQD >Sspon.01G0012290-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:33425387:33428158:-1 gene:Sspon.01G0012290-1A transcript:Sspon.01G0012290-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARATNAHHHHHHRLLLLCLSLAAAATTAWAHGGGDGDSDADADADGGAGAARPDLRARGLVEAKLWCLAVVFAGTLLGGVSPYFMRWNEAFLALGTQFAGGVFLGTALMHFLSDANETFGDLLPDSGYPWAFMLACAGYVVTMFADVAISYVEGKMGTTNGTISDPTPHETHGSDHSAASMLRNASTLGDSVLLIVALCFHSVFEGIAIGVAETKADAWKALWTISLHKIFAAIAMGIALLRMLPNRPLLSCFAYAFAFAISSPIGVGIGIIIDATTQGRVADWIFAVSMGLATGVFVYVSINHLLSKGYRPRRPVAVDTPVGRWLAVVLGVAVIAVVMIWDT >Sspon.07G0009110-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:23731014:23734228:1 gene:Sspon.07G0009110-2B transcript:Sspon.07G0009110-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFVGDTMESIRSMQIRQVLTQIISLGMIVTSALIIWKGLIVFTGSESPVVVVLSGSMEPGFKRGDILFLHMSKDPIRTGEIVVFNVDGREIPIVHRVIKVVHERQDTAEVDILTKGDNNFGDDRLLYAHGQLWLQQHHIMGRAVGYLPYVGWVTIIMTEKPFIKYLLIGALGLLVITSKD >Sspon.07G0020190-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:71588840:71591664:1 gene:Sspon.07G0020190-1P transcript:Sspon.07G0020190-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPYCCKCKMKTYYANSAALVVNPVMVSTSVTPNVREASKLLGDVSLLTISDMRNAAESIYKLGPKYVLVKGGDMPDSSDAIDVFFDGKEFTGLRGLRIKTRNTHGTGCTLASCIAAELAKGATMLHAVQVAKKFVESALYHSKDLVIGNGPQGPFDHLFELKSLLYKMGSLQKFNPDDLFLYAVTDSGMNKKWGRSIKDAVKAAIEGGATIVQLREKDAETREFLEAAKACVEICKSSGVPLLINDRIDVALACNADGVHVGQSDMPAWEVRELLGPGKIIGVSCKTPAQAEQAWKDGANYIGCGGVFPTSTKENNPTLGFEGLRTVCLASKLPVVAIGGINAGNAGSVMELGFPNLKGVAVVSALFDRECVATETRNLRSILKNACSRS >Sspon.03G0046190-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3D:33614532:33615359:-1 gene:Sspon.03G0046190-1D transcript:Sspon.03G0046190-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTTRSRAELSPKPNHTLTYAAVIVDGGSGGPFFQTLSAHGSLEWERRKQRRQQRVLLRFMEKLNPHTLSPTPIRSSTASTSRPQGPAPPTRSALVDARPTQLTRSSAHTHPAVDRQHQQTAGAGATHQISSRRRTSNAAHQIFCTIVPLQRSSRAWGPRPAHSVESCAGVVASRAPNSSRRQPPGAEPGWRRVAPRPVRLRLSAKSTS >Sspon.02G0035770-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2B:21522172:21522444:1 gene:Sspon.02G0035770-1B transcript:Sspon.02G0035770-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKQNQPSEQCKKLSKYRQQEGRGLTLRNAEGSCSASALALGGFSLMTISSRSEDGEGEDGDSSRSEGDEDGDGDEDYLSIWLWLWLWFS >Sspon.08G0029770-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8D:48587529:48589277:-1 gene:Sspon.08G0029770-1D transcript:Sspon.08G0029770-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGQIHLDLPRGVRTYGPSDVKDQFHIHKKTDEILAVRAKIERIYRCLEPDSHNGLFEMGFCFGLMDPRTNILVNLDTSRYPALATSRDPLLGREGREPSRPAGKGREPSPPAGGGGGRGREHVPPRARGVKRGREPLPLTPAEQVEAKIEKMAQRSLDGLTAFLTCLFPYLPDAEARKYLDAAGADPLVAALLIIRRRNMQFDYYNPLTTRAAFEVALRCAAVAAKHPDPLRFVKLWMVMLFEPPPLEGKGISPVTNSPHGGLPIKFIFPALGAIKTAEKTVLDRITLLDVSWDFASTRFELANPEIQASIELLPPARAHMKRMLLAKIHGFYIQALGRLPKVELRSCYHRSMLMGGYCYGPLDPVSNIIVNTIWYEQHFPSGKQFEVSMISTQLLWRIVARSLYGLISFLCTRHQGITPDQAIKCLLVTNVYLPAATRTASLDDSPDLPLPASFADAYAAAGAAAFHCSPLAQSEFLTSQIGDAYESFPLNYKDGYPLSSEDIRSICRVLETCSSSSGTHQQQAIAPTKVKKRVYANMCQCSDSFWGQHDRITSMVSDALDKFNATAVVSFFPSSLLPTL >Sspon.02G0009360-4D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2D:21187597:21188217:1 gene:Sspon.02G0009360-4D transcript:Sspon.02G0009360-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKQLCEMHRARRRTRIIATALLVACVTIATATVLAVYLVFRPLKPQASVVRAAVYHMAVTAGNSSEGRGPPYTLAASVRFTTLLHNPSDRATVFYDSLFAYVTYRGEMVAPPVPLPGVVQERGADVALSPRFGLGGAVPVPVSADTAQALKGDCAAHRVELLLVVMGRVKYRSGPLMTRWRGLYLRCDVTVGLGVDATVGGDEAGD >Sspon.01G0048430-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:108904027:108907968:1 gene:Sspon.01G0048430-1B transcript:Sspon.01G0048430-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAKLTPVGSPVPWWITVVYGPQHDDEKVEFLNELLHFREANHGPWLLCGDFNMIYQAEDKNNDWLDRRNMRRFRAFINTAHLQEIALVGRRFTWSSERERPTLERLDRFLASLDTSHGAKRRFRFESFWTKLPGFLDVVALAWSRSLINTDHFRLLDFKLWNVVRALQSWSATKIGSVRFQLTLAHEVVLRFDEAQDYRTLTVQEAELRRSLKLRILGLASLARTIARQRSRLLFLAEGDANTKFFHLQAYHRKQKSQIDSLMVHGSEVVRDDLMAQALYEHYNSILGSSFEQSRRINLSAIGLPSLELSGLEDLFTKDEVCSVVLDLPNDKAPGPDGFTGLFYKNAWDIIKVDIMNAFNAFWSQDARSLSHLNYAYIILLKKKSHSAEIRDYRPISLFQQDNFRAVRLSCEALHARRVPSMLLKIDIMKAFDSVSWTFLLEMLQHLGFGKRWRNWISAILCTASTKILLNGEDGRRICHARSTLQIFGLASGLFANLDKSVATPMHCSEDDIARVQHILSCRIEGFPSQYLGIPLSIFKLKKGEEQALIDVIAAPLCRPFQSTCRLRCACPRGRLSALTNSARYSFDVVPPFLEGDARLPGRLSADRAILVDWEWLISDGRELCFGFGGLGFAGAATVSALGNGESTLFWTDNWLQGTSVRCLAPAVFAAVPKRKSLWCDVRRLLQTAHDNFGKPARHRVSNSSSGLLCMEDAGRHIGDGVMDFKKQLVLPVPFVCFWPDRRRVLWLGCVPRRRRAGATRRCSERFAVGAAASWDLCVRITQLGRAPWVFTFVGTDWRTAARPSCQTQTKPAGRRYVFFSPSLPAAFMPPVSATARLSLFFLPGTTRGGEVAIGALKKLINAWSTVP >Sspon.01G0036560-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:18940939:18943510:-1 gene:Sspon.01G0036560-1B transcript:Sspon.01G0036560-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSSGDVKAAIMRPAAAAAAAAGTSTLLRGWREFRRSGAPARFLCFEDGKWVDVTGEAVPRLRRAFQERRAMADASCGGKAYLFDFLRMVRIDEATGEETGLAWIDHRGGCFFPAPADCGGGRNKRKRDGAPPAEDEAESSSGVDERSGESPGVGVDAKRRKAWAGNAAARLEENDKYYQVVSKLFLSYGMAQRGAAITAVRKIAHGARTAAFQEQAQLLADARGAAAGTAKFAWYGASADDVAAVVDQSFARNNATRLGARKHGDGVHLSPPQCPYTSAMLTKADGSGEAHIVLCRVLMGRPEAVPAGSSQSCPSSHSYDSAVDRLENPQWYVVWNKDMNTRVLPEYVVSFKCSKLQQMQESSEATSKLKKRSPVVRDMFPTLLAEIEQIVPDKCNLLQESYNSFKMGQLKKDQFIRFLRSYVGDKVLTTVAKKLRGC >Sspon.08G0002350-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:7041024:7046980:-1 gene:Sspon.08G0002350-1A transcript:Sspon.08G0002350-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGGEGLWGVSLCGKRGYDHERGGGRAGYEDDRNHGRYLNRAPDWPDSGYGATNDGPGVTQSYILEVEDAYCIPLRESTREGLMTYKQFMQVLEDDISPSEAERRYQEYRTEYITTQKRAYFDLHKNEDWLKDKYHPTNLLSVIERRNERCKVIAKDFFLDLQNGTLDLGPGITAGAASKPGGSDVNSEDDMDSDKRRKHGKDSAKGTDSLSGAPKAHPVSSESRRVQADIEQTLALVRKLDAEKGIEGNVLSSGDHDKSDVDKSHIGSMGPIIIIRGLTTVKGLEGVELLDTILTYLWRIHGVDYYGTSESHEAKGLRHVRVDSKTSSTSDVSSADWEKKLDTFWHERLNGQDPLVILTAKDKIDAAAAEVLEPLVRKIRDEKYGWKYGCGAKGCTKLFHAPEFVHKHLRLKHPDLVLESTSKVREDLYFQNYMNDPNAPGGTPVMQQSAPDRGRRRPSMDNRLKFDRGSNREHDKTERDGGRYGRGDHSPSRDGPDDQMFDGFRGRPSNGPFVAEFPPPPILMPVPGAGPLGPFVPAPPEIAMHMLREQGPPPPFEPNGPPHGNTGMLGPMMGGPAPIIAMPPNFRHDPRRLRSYNDLDAPDEEVTVLDYRSL >Sspon.01G0014520-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:35768914:35770946:1 gene:Sspon.01G0014520-2C transcript:Sspon.01G0014520-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARYDVEKGGRNNGEGIKHYSPPPPEHHLYPQRDGEREWVPWFVPLVAAVNIVLFAVAMYVNNCPAHAAASSRRGGGACVARGFLHRFSFQPLSENPLLGPSSATLQKLGALVWDKVVQEHQGWRLVTCIWLHAGVAHLLANMISLVLIGLRLEQQFGYVRIGIIYLVSGVGGSVLSSLFIRNTISVGASGALFGLLGAMLSELFTNWTIYSNKAAALVTLLVVIAINLAIGILPHVDNFAHIGGFLTGFLLGFVFLMRPHYGWMQRYVLPSDVKYTTKKYLAYQWALLAVASVLAVVGFAVGLGMLFRGVNANDHCGWCHYLSCVPTSRWSCGK >Sspon.02G0033120-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:4541238:4542049:1 gene:Sspon.02G0033120-2C transcript:Sspon.02G0033120-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGGAIISEFIPQRDAARGKRGLCAEDFWPHAATAAADFDDFAAASFHPDQGNTHDPPPDYDDDDSHAGAAQGMLAMACGGRGRDHLVGYDVDVMGMGMGTFFQHHPNPPYVPDAVAVAQQEQAPTVAYVHHQLPPPQDDAAGMDMWTFDGINTAVP >Sspon.05G0014640-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5A:55217721:55219498:-1 gene:Sspon.05G0014640-1A transcript:Sspon.05G0014640-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MILPIHAFLVMIPNYTFLRTFGCACWPNLRPYNNRKLQFRSQKCVFLGYSNSHKGFKCLDPPEGRVYISRDIVFDEHVFPFATLHPNADADARL >Sspon.03G0006890-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:25788509:25795254:1 gene:Sspon.03G0006890-2B transcript:Sspon.03G0006890-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSHIILPKEEEEEAAAVAEAGLGVAVEANHDSPAQRPYQEQAPGKAALPFSATCVRISRDSYPNLRALRNASTMALHDDDAAFVKIEEGDYGYVLDDVPHLTDYLPDLPTFPNPLQDHPAYSTVKQYFVNADDTVPEKVVVQKNSPRGVHFRRAGPRQRVYFESEEVKACIVTCGGLCPGLNTVIRELVCGLSHMYNVSNVFGIQNGYKGFYSSNYLPMTPKSVNDIHKRGGTVLGTSRGGHDTKKMLTTFKIVYIIGGDGTQKGAYEIYKEIRRRGLQVAVAGIPKTIDNDIAVIDKSFGFDSAVEEAQRAINAAHVEASSAENGIGLVKLMGRYSGFIAMYATLASRDVDCCLIPESPFYLEGEGGLLNRRLKENNHMVIVVAEGAGQDLIAQSIPAADQQDASGNKLLLDVGLWLTHKIKDYCKSKKMEMTIKYIDPTYMIRAIPSNASDNVYCTLLAHSAIHGAMAGYSFTVGMRVTSTRNKVRITDRMWARLLSSTNQPSFLSQKDIDAAREADKVANHMKSGENAKNQSAPVLANGTDGVEAQPAAPTPPQQPATDVPVPQIASPDVDNARVPNSGNADDAGPAAGDQEEQTNQEAETAETDVAGGTRTQAEVKPTPGTNASWPATLPDRPERGVAPAPRTEHAQAAEMEPDVDTAKPEGCTTPPPPPVHESEGSDTQSADGRGSPMHGMQAGAGVKRDVAASKSRLFAFRSFTRDKKVIKSAGAVDTASPDRQKAGEAARRTKRRARRGGRDSGSDRGGVLVFAMHIAPGSGTSHNDGCRMHCGGAV >Sspon.01G0049230-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:95322533:95323552:1 gene:Sspon.01G0049230-2D transcript:Sspon.01G0049230-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LVLSRSQRPADSNSTRPRWARDGALECPHRVPRSDVTNPPCAEQEKEADATHAIAASIPSSSSSSSPSLSPPPPPTINASISKYHSIREHKAGYLLLRFLAGLGVNERSPAGGTCPGRTKDSKKESSVAAGRMREYRYGEDEQHEPQPGCGEGAGQAAANCAAVCCCCPLALLDVLLLVTVRLPAGVMRRVRRRRHRHRGRGGAGANRKRPASAAAAAPASSTGSSGKAMIGATLDIEEEEEEEARGQAESAAAASELEREIMSSHFYGAGFWRSVSSSSSSSSMRYQ >Sspon.01G0019290-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1A:72251321:72253134:-1 gene:Sspon.01G0019290-1A transcript:Sspon.01G0019290-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASFFVVQIHLEPPLHGRPGRPGQRRVDRRERWCSPLAGRPRRQYAGDRFVPYRTRASQAAVPSCSCPAPLRCARLWMTRRHTPHGAAGQAGLERKIKRRVRLPRRGREEHPRVVLYYIDSAAQHSITGHRVHWVLDTGPSATGALVAGAQCLQLRRSCDCEEQAEAAQMK >Sspon.07G0008710-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7A:23987329:23988699:1 gene:Sspon.07G0008710-1A transcript:Sspon.07G0008710-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAASTATATRLILPRPSVPFRSLLLSRRRGRLRRAVHGNAVAAGGGGGSGAGEGAAKEPPRTLFPGGFKRPEIQVPALVLRVGAEEALRCGDEVAAAVSRGVGIVVLEAGEEGGGRAYEAARALRATVGDRAYLLVAERVDVASAVGASGVVLADDGIPAIVARSMMMKSNADSIYLPIVARRIQSANSAISASSSEGADFLIVNTGTGDFADVMNGGVGQHVKIPIFFMLNHLSEGAYSDFTSRLLQSGASGVVTSLAGMQLLTDDLIKKDFSKVDSAEEVPRASYSSAGVLEDVNNVMVLTRDREKTKVAGFTKLDEKVIQLIEIEKPILNEAIAIIRKAAPMVII >Sspon.01G0059910-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:70223964:70224451:1 gene:Sspon.01G0059910-1D transcript:Sspon.01G0059910-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKALSATSDEQRIWEISGAQSLSSLTGATRSVWPHGDTHAESDKEGGSGSWGEGVVSNKELSEGVEGTEEPASMISIAASAWERSTS >Sspon.01G0030970-1P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1D:105669102:105669958:-1 gene:Sspon.01G0030970-1P transcript:Sspon.01G0030970-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DSARTEAGKPTKAEKLARNSNLLPRLGSAPSPVPISKSSLLFQHPILSFPLPVPVRLAAPPTPTRRDPGTGGAMDTEPFDETELLALPASPVASPPRRLKRLKKFSSQTTATITTATTISLPAGSPPPSLSSPPQAEATPGQETLAPSPSPRPPTPPDADAPTPLPHSSPAPVSSPLPPTDTPDDDSDDYEDDGLDPLFSETVVAGGWDPLGAPAEGDGEDDDDLGLWGGGLIEELRREQMSAKKRLDMDEADGEGRRPRGRGARGRGRRRRRPRSRPGRRSGLT >Sspon.08G0008610-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:34767055:34767318:-1 gene:Sspon.08G0008610-1A transcript:Sspon.08G0008610-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding WRGWLRREGRRRCRPARRRCCAARRRRCRACRRRPGAAPTAAAGWRPRTWRPSGCSAACRSAAGPSGGSPAPPAPGASSPTRPFCMTR >Sspon.08G0009260-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:39527715:39529726:1 gene:Sspon.08G0009260-1P transcript:Sspon.08G0009260-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNLLHIPFLLPLLLSVALNLVCFTTVTSEDQFVYSGFSGNNFTLDGTATVTPDGVLELTNHTVHLKGHAFYPAPWRFRKSPGEMVQSFSVTFVFGMVPIYSDECTDGMTFLISPTKDFSGAQHSQYLGLLNKTSDGKASNHIFAVELDSSQNTEFNDIDDNHIGININSLTSFQSRSAAFYDDKNGIFQNLSLVSRKEMQVWVDYNGETAQINVTLAPLSVSKPSKPLLSATYNLSTVLEDPSYIGFSASTGPINSLYCVLGWSLGINSPAPVIDIAKLPKLPDVGPKPLSKVLEIILPIVTATFIILVGTTVILFVRRRMKYAEVQEDWEAEFGPHRFPYKDLFHATDGFKNKNLLGLGGFGKVYKGVASGLFYLHERWEKVVIHRDIKASNVLLDSEMNGRLGDFGLARLYDHGTNPQTTHVVGTMGYIAPELAVLVTCGQRPINSHAEDSSQILIDWVVKHWHEGSLTYTVDSRLQGSYNADEVCLALNLGLMCAHPVCNARPSMRQVIQYLNGEMSLPEMMPTNLSYSVLALMQNEGFDQYTSISGSSGITSSLSSGR >Sspon.03G0034580-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:38107783:38113438:-1 gene:Sspon.03G0034580-3D transcript:Sspon.03G0034580-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGEGAGAGAGWEAAVRAEVGAVAWWDDPDSADLRAKFRAFTGQRSDWPQPTVLFWKDLLLRVARRLRVCSAPAHLVGVPRPLPPLTNPPELPSSLPNVLTGRRRPTAGYERLEEMRADGDILLKSELIDPSSRSLHQLVRRVSLMTIGSRRSVSQEDILVFKLLVEERAADIARQLSDSHWTSTCVVTISKFNSFFVDREDAHVALCFLTQNGKARHLSGRKQDPIEGVKFALTASQVPAVSKLDHDTLHLVWTEEKLQGQLDVLDRRWEMLRALASFKSGDKQAAYRYVKQSKLFSQSRSRCTQLLERIEEVISLIANAESNKKVYEAIQIGILAMKDNHVSIDEVSIHMKEVDELVAAQREVDAALESVPLQSLDDEGDIEEEFSKLEAELQDDIPHIHVQEPMAPSNEESPDEVVSLE >Sspon.01G0031120-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1A:106860235:106868839:-1 gene:Sspon.01G0031120-1A transcript:Sspon.01G0031120-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RLPADYFPDRVPITIIDTSSRFCLRAPLPRISDFPEQKPKLIAECQTADAVVLTYACDRPATLERLSSFWLPELRRLQLKAPVIVVGCKLDLRDEQQVSLEQVMAPIMQSFREIETCIECSALRQIQVPEVFYYAQKAVLHPTAPLFDQELQSLKPRCVRALKRIFIICDNDKDGALSDVELNEFQVRCFSAPLQPTEISGVKRVVQEKMPEGVNESGLTLTGFLFLHALFIEKGRLETTWTVLRKFGYDNDIKLRDDLIAMPIKRAPDQDGALLPTELEDLFSTAPENPWSSDPYKDCAEKNVLGGLSLEGFLSKWALMTLLDPTNSYANLVYVGYPGEFSSAFTVTRKRRVDRKKQQTHRSVFQCYVFGARGSGKTSLLQSFIGRQPSDALPSNSERFATNSVEMADGTRKTLILREIPEGDVRSLLSDKESLAPCDVAVFVYDSCDEYSWQRARDLLVQVATHGENTGYEVPCLIVAAKDDLDQSSQALQESTRVSQDMGIETPIPISVKLRDLNNIFCRIVYAAQQPHLSIPETEAGKTRRQYRQLLNRSLMVVSVGAAVAVVGLAAYRVYAARKNSCSSGWDYDDPVNKWAQRWFEAEVYFKKRWIGGDARSVAVADSRSIHEGGHQAKCKGPVRAARATATAAEMHADTQASSTENTMSTTDTVSANGIDILPALLVPDPGSAARSLRGPGGNRSPETPAASCRAWTNKVMVVDQGRGRGAHEVREGGRMGGLGKRSLGEARHGSRAG >Sspon.06G0033130-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6D:9393777:9393977:-1 gene:Sspon.06G0033130-1D transcript:Sspon.06G0033130-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSRSSVYSLKPSEPPPMVMASMARWATHVLSPCMAVTDQQFDPARFDNASVVPPFAFVLRLPRE >Sspon.06G0026330-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:76146422:76148433:-1 gene:Sspon.06G0026330-3D transcript:Sspon.06G0026330-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFIVLLAAAAAIAFLLHFVTKNHRSCSTYNLPPGNLGIPVIGQTFSLLYALRSNTDDQWFRTRIKRYGPVSKMSVLGSPTVLLAGTAPNHFIFTNEGLILTQTRALRSLLRRSILTLTGDELKQVRSALQGYLRPEMVRRYVGKMDGEVRRQLKLNWVGRSTVNVLSLARSLTLGVICSVVFGEEASTIADALATDFQLLGDAILSFPVNIPFTRFGKGMRSSAKIRETITKFAKRREESLLEEQCTISTTDFVTYMLVMRSKGVHSLTLEDIVDNVMGIIVGAHGTTSVLITFMICHLANEPDALAKITEEQDEIANKKGTDGALTWEHVSSMKYTWRVALETLQTVPPVFGSFRTATKDIEYEGYHIPKGWKVFAAQSITHMDSRFFTEPTKFDPSRFEKRSSIPPYSFLPFGGGPRMCPGTEFSRVETMVAMHYLVTQFRWKLCFKDEAYKKDPKPTPVFGCPVELELRVPPTMTYDA >Sspon.01G0026760-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:100600905:100604602:-1 gene:Sspon.01G0026760-2B transcript:Sspon.01G0026760-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMVSQAPMAGAGAGATLTAALCKRSNRVARVLAYALLEWILIALLLANGVFSYLISRFAAFFGLAPPCALCCRLGVDSLFETHRRGGAEPLRRLLCDVHAAELSRLGYCSAHRRLADAGDMCEDCAAAAAPGKAMLSWMGRSELGERDLACACCGIALESGFYSPPFLLTALAPCGSDCAHKEEEEVARPDGDAVFVSEGDPVIELFDEKPFVEDDSIGVLAYGAEVVANVERLVPLESIDSLVVAMGTVPSQSGDKGEEAVDHGDVRQNNVDMENTVGTNEEKIVITPDDDKVDDVVDRLIGEQIAAVVFVPACIEATLDDGINAGKTVEAFADHQPPEDDSGLEDKDQKISFEDEISEDEQAEQATPQQELCAMPTDPSDHEFVERLDRSIELEHFPWAESKHKMNSMPVEASEHVAVTQIEEKDQKISFEDEISEDEQAEQATPQQELRAMPTDPSDHEFVERSERSIELEHFPQAESKHKMNSMPVEASEHVAVTQEKQVQQAEVNQELDSIPVSSRDHTDEELEGERTAQAGFEQECDSVPIDSGEHGCMTSYARTDDEQTEVKQKVTSVTADVLHYAADTFNVNTNTWKEDIEDDPTEVALTAIHQISYEPLTSLDKFSPDHSVSEEDREPDTPTHIEGICDSQELLDSKAAVSDAKSVDSSVATLSTDLESTELVSVDQLKSALASTRKSLKTLYFELENERSAAAIAADETMAMINRLQEQKAAMQMEAIQYQRLMEEQSEYDQEALQRLNEQVVKREKEKQDLERELELYRHKVHLYEVKVRKMSRHKADDQNGSSSTSSSAEDSDDLSQSFYEGDESSHGLNGSNGSIPTDVVLQETARHLVTLDGSLADFEEERLSILEQLKVLEDKLFDLDDEESDSMKHFSEENHLSGASNGFSDDDSCFKLHDKRKSVTYRGKKLLPLFDDATVEAGNIPQGDEAHHSTEVTLDLAREQHKLAIANEIDQVNERLHALEADREYIKQCVRTLKKGGKGFDLLQEILQHLRDLRRIEQRARNSGELSPHYLHLYTD >Sspon.01G0031220-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:107386939:107390644:-1 gene:Sspon.01G0031220-1A transcript:Sspon.01G0031220-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGVVAEPKTKYDRQLRIWGDQGQAALEKASICLLNCGPTGTEALKNLVLGGIGSVTVVDGSKVEASDLGNNFLLDEGCLGQPRAKSICSFLQELNDAVKAKFVEEFPAHLIDSNPSFFSQFTVVIATQLPETSLLKLDDICRKADIVLVAARSYGLTGLVRVSVKEHCVIESKPDHFLDDLRLHNPWTELKQFAKSIDINDKDPVVHKHTPYIVILVRLAEKWADAHDGNMPSTRQEKKEFKDLIRAHMLNVDEENYKEAVDSSYKVSVTPGI >Sspon.04G0018470-4D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4D:75574145:75576123:1 gene:Sspon.04G0018470-4D transcript:Sspon.04G0018470-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLAPEGSQFDDKQYDKKMQELLIEDFFTSYDEVCESFDNMGLQENLLRGIYAYGFEKPSAIQQRGIVPFCKGLDVIQQAQSGTGKTATFCSGILQQLDYGLVECQALVLAPTRELAQQIEKVMRALGDYLGVKVHACVGGTSVREDQRILASGVHVVVGTPGRVFDMLRRQSLRPDNIKMFVLDEADEMLSRGFKDQIYDIFQLLPSKIQVGVFSATMPPEALEITRKFMNKPVRILVKRDELTLEGIKQFYVNVEKEDWKLDTLCDLYETLAITQSVIFVNTRRKVDWLTDKMRSRDHTVSATHGDMDQNTRDIIMREFRSGSSRVLITTDLLARGIDVQQVSLVINYDLPTQPENYLHRIGRSGRFGRKGVAINFVTRDDERMLFDIQRFYNVTIEELPANVADLL >Sspon.01G0053700-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:40963647:40964182:1 gene:Sspon.01G0053700-1C transcript:Sspon.01G0053700-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRLQRCPASYGFGAAARMRVAGAAPTGRTRKEPRIAIAKASLIHPSIRSRGDKSKEAPERNRYVPGPPRARPGSADDWAAPARETKFKVTDNPSMEMAVNARDEEQYGKNDNHLGLG >Sspon.05G0022200-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:2427531:2428936:1 gene:Sspon.05G0022200-1B transcript:Sspon.05G0022200-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MICCPKLTFTEETQGLKQTAYRKSDRTKKFTSRVDHILKNHSGVGVKSLKINSITPGIEEVILFPPTKHKAEYNFPCSLLLDECGNSIRYLYINDCAFRPRNLTKLHLDHVRVTGDELESLISRSFGLEQLELRSCMEIICLKIPFWLDRLSSLTVSSCDKLQVIESRAPNLSAVELYIDPVQLLLGESSRVKNLNLGFSRDVSCVSYAITKLPSIVPHLETLTLYSGSERINTPTVANKFLHLKYLGISLAEDDDDETAFRAYDYLSLVSFLDASPILETFILSVDQLDMHHDSVSGDVASYLRQIPEHKHDRLKKVQINGFCSAKSIWLS >Sspon.03G0007390-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:20129635:20133822:1 gene:Sspon.03G0007390-1A transcript:Sspon.03G0007390-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPALSTHHLQLRLWWRRLGRRGAAFALALLAAALVLTLSRYAAGPAASPPASPSYGHRLPALVDITLVYGATDKGAVCLDGTPPAYHFLPGFGDGSHNWLLHLEGGSWCRNFESCARRKKTNLGSSAHMDTRAEFVGILSDDQSQNPDFYNWNKVKIRYCDGASFSGHVQDEVKKNGTGFFFRGQRIWEAVMAELLSKGLARAKQAFLTGCSAGGLSTYIHCDDFRALLPNTPTVKCLADGGFFLDDVRKRFPHCSSDMEPGQCFFPQEVAKSITTPMFILNPAYDVWQVEHVLSPEGSDPQNLWQNCRTDITKCSSKQLDVLQGFRKALLDAINEFKKRRDWGMFIDSCFIHCQSMNALTWHSPSAARINNKTAAEAVGDWFFDRREVKEIDCEYPCNPTCYNVVLDQPYKQD >Sspon.08G0015260-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:56238525:56247821:1 gene:Sspon.08G0015260-1P transcript:Sspon.08G0015260-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRYPRSCRAAASLSHVFLTRSRRQAMATTAPLLSPQHVRPAHLPRRLPPLHLASHLARWVLLRRAPPPCTAKFGKFDASDAPAEAEGAEAAADGGVAQPAEEDDSCLPSDLEGAIRQSGKASADFVNSGGLRAIAKLLIPQLEFLNEEGAQAELWALSKIFLDTLVQETGQKVTAIFPDAGAAALLKYQWKDAQFKCASLSDRKPVDPEDEVAVMIIPDHQMLEAVERIASQLSDDPIRPLVMWNPRLVSGDVGVGFNVRNLRRNFLSTFTTVYSMRPLPTGAVFRCFPGQWKVFYDDPNRPNRYLLARELTSRPDATDIERIFGGADEQSEEAPSLMNNVMGVFSSVSRFMRVISK >Sspon.05G0000290-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:1698024:1698839:-1 gene:Sspon.05G0000290-1A transcript:Sspon.05G0000290-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRKLAVASLFFNASEGSQCLSSSASVSLSAASFSTAASWQWPSCTQARTRSFRGDNSPKIVSMRHDTSSKPEEQEEGYKYSYKTSMNPAYVHDCSSAGAADCYSSSGLSDSSATQSLYLSTAPAEPADVAADEDEAIIHGLRSSTTRRLLFEPESTSSIVKTKKAAAAFDGATALAIESADPYGDFRRSMEEMVLSHGADDWGWLEEMLGWYLRANGKKTHGLIVGAFVDLLVALASSASAPSSSCSSSLKQSHHLQAAKIGEHHRAQTE >Sspon.01G0015800-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:46811834:46814201:1 gene:Sspon.01G0015800-1A transcript:Sspon.01G0015800-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATDEENALLLHLQPTPQVVASEYASDGSVDINKQPALKKSTGNWRARYPILGVGFSECMLFSAIATNLVTLLTTVLHESKVDAASNISSWSGVCYLTPLLGAFVADSYLGRYWTMVVFLPVYIVAMLVLVSSASLPMLFQSDVHPALVYLGLYLAAIASGGVKPCISAFGADQFDVNDPVELVKKGSFFNWYFFLTTTSSLLSGTVIVWLQDNVGWAVSYVIPTVLMLICFPAFLAGSRVYRFRKMGVSPLISILQVVVAAVRKWHIKLPDDSSLLYDEQASSPSITEHKNKHTNQFRFFDKAAIVPSGNESTAQSSPWRLCTVTQVEELKMLLSTLPTWASFVVFYAVTAQMQSTMIEQGMLMDNHVGSWFSMHNSGEYEQSRPWKLYGQTRHK >Sspon.05G0005040-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:2220377:2220725:-1 gene:Sspon.05G0005040-2B transcript:Sspon.05G0005040-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRSPATQSKLGAKRATFYFEKSKKEVYSALLYCIDFGSCPQFRDEEEISDGNHIIHMEWVLKTNISLEPLLAKSPPLRFADDEWRVIRYYNEEELEAPPVAADVHDDD >Sspon.02G0003640-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:11544774:11549519:-1 gene:Sspon.02G0003640-1A transcript:Sspon.02G0003640-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:WAV2 [Source:Projected from Arabidopsis thaliana (AT5G20520) UniProtKB/TrEMBL;Acc:A0A178UB23] MVGWLKALAYSAGGVAVAGLAALVALQERLVYVPVLPGLARAYPITPARLGLIYEDVWLRAADGVRLHSWFIRHSPTCRGPTFLFFQENAGSILLSPMYGESDGYPSQKGITYDAQAALDHLAQRKDIDTSRIVIFGRSLGGAVGAVLAKNNPDKVAALILENTFTSILDMAGIMLPFLRWFIGGSSSKGPKLLNCVVRSPWNTLDIVGEVKQPILFLSGLQDELVPPPHMKMLYDKAFDHNRNCRFVDFPSGMHMDTWMSGGDRYWRTIQLFLDQYAPGVQNRDASFKSEITEDEFYPCK >Sspon.03G0019920-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3C:81322362:81323269:1 gene:Sspon.03G0019920-2C transcript:Sspon.03G0019920-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MILRVVAADGPGREHCPPVLCGNVTISFPFGLIPDGAVQTNCSAGEIGSKHIYDNRSLHIAEYRHRHPYFNRSSHTKAAESWRPTPPPYLLLRSQSAPSIISSSSTIAPSRRSQAWTSWICHNNTFVRAADGRSDESGGYFLEGCTATMVPVLGVSGKVNATNYEQLVRDGLLATWQPPLPLPSPPVASNQGLRADDIQARAQSHILRRPNGIRSPFARRGSSRLTLSDLGYLPCFPRSTGSFGQRQLE >Sspon.03G0004230-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:12056346:12058366:-1 gene:Sspon.03G0004230-2P transcript:Sspon.03G0004230-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGREGGGGVAGGMSDSVLRKVLLSYFYVAVWIFLSFSVIVYNKYILDPKMYNWPFPISLTMVHMGFCSSLAVALVRVLRVVDLPTSPSMTPQFYTSSVVPIGALYAMSLWFSNSAYIYLSVSFIQMLKALMPVAVYSIGVLFKKETFRSSSMLNMLSISFGVAIAAYGEARFDVRGVALQLAAVAFEATRLVLIQILLTSKGISLNPITSLYYVAPCCFCFLVVPWAFVELPRLRAVGHLPTGFLHLWDQLTLRLRAQPCRLLASRQDLGADHNVAGVVKDWLLIAFSWSVIRDTVTPINLFGYGIAFLGVGYYNHVKLQALKAKEAQKKSAQADEEAGSLLQERDGHGDLMQGAKIGVWSTVAFKEVYAGFLHFNFGSEEQMRSIYGLGNMHLLAGELCPVIYLDTFVLQIGSLALLPLVSIA >Sspon.07G0017510-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:82835954:82839905:1 gene:Sspon.07G0017510-2C transcript:Sspon.07G0017510-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTPVKSVLPVVLLGCGGVGRYLLRHIVSCRPLHANQGVAIRVVGVADSSSLLVAEDVHFTGLDDALLTQLCAAKSAGSPLSSLLAQGYCQLFKNPEARGKVIDAATTLGRTTGLVLVDCSATYDTVSLLKDAVDHGCCIVLANKKPLTGAYEDFQKLVSNFRRIRFESTVGAGLPVIASVTRIIASGDPISRIVGSLSGTLGYVMSELEDGKKFSEVVKNAKSLGYTEPDPRDDLGGMDVARKALILARLLGQQISMENINVESLYPSELGPDAVSTKDFLESGLVQLDKSMEERVKAASSRGNVLRYVCEIESTGCQVGLKELPKDSALGRLRGSDNVVEIYSRCYQSSPLVIQGAGAGNDTTAAGVLADIIDLQDLFLMTTA >Sspon.02G0017650-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2A:51696543:51697016:1 gene:Sspon.02G0017650-1A transcript:Sspon.02G0017650-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMPDQLTVSITDLVRSVAAIQSYLGIPPLQPASWPLLQSAVVSLPPVFPYGMLGYGTTLLPFQDVQPPVQPTLQQSSSDGHHDGAARKMLTCKVSAAVQLQAAMRGLLARRLLQEMRQHMQGDLGDGQPQLSGVRPRPVGRPPATALTRCCLQARAW >Sspon.06G0021190-2D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6D:13330051:13331040:1 gene:Sspon.06G0021190-2D transcript:Sspon.06G0021190-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPDAEVTFEFVPVIRQYKSGRVERLHPIKPVPPSVDAATGVASKDVTLDPATGLWARLYLPADPDDLPAPSPGGGTNTDSDRRRLPIVLYLHGGGLVVGSAADAPEHAFVNRLAARPGALAVSVEYRLAPEHPVPACYDDAWAALRWVVTPATAADPWVRDHGDVARVFVLGFSAGGNLAHNLTLRAGSEPDLLPRGARVQGMALLHPLFLSPAGPGGEVAKHAWVRGKLAEMWAFACGEGRAAAGADDPRVNPLADGAPSLRRLGCGRVLVCLADDALVAEGKAYYDALLANGWDAADVELLDSAPADHEFHLREPDSAEAVLLMDRL >Sspon.05G0006530-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:11095058:11098541:-1 gene:Sspon.05G0006530-2P transcript:Sspon.05G0006530-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARVRDRTEDFKEAVRVAALSHGYTEAQLAALMSSFIIRKPSPKSPFTNAAIKTLESIRELERFIVKHRRDYVDLHRTTEQERDSIEHEVGVFVKACKEQIDILKNRIHEEEKNVSGKTWLGTRDESSRLDLIAHQHGVVLILSERLHSVTAQFDRLRSMRFQDAINRRMPRKKIQKRPEIEPAEPSKSNLVLQSDVSKIVEQEVSTAPMRVQEQLLDDETKALQVELTSLLDAVQETETKMIEMSALNHLMSTHVLQQAQQIQYLYDQAVEATNNVERGNKELSQAIQRNSS >Sspon.03G0005950-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:40220038:40222279:1 gene:Sspon.03G0005950-2B transcript:Sspon.03G0005950-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGGGGSGGGGDHHGVYHQHGYGHLARADADADAGAEYVFHSSNDMESFFFNQPASSAGVDGSGSRAGAADELMPPYSSITDYLQGFLQDPSGLARHLDAPCPAEDAPIKHELSVDVSHDSQGTSGAPGEGAAMLTPNSSVSLSSSDREGEGGQPRRCKKGRPKAEDAEGDEKEQEDGENSTKANKSKKKAEKRQRQPRVAFLTKSEVDHLEDGYRWRKYGQKAVKNSPYPRSYYRCTTPKCGVKKRVERSYQDPSTVITTYEGQHTHHSPASLRAGGAHLFMSNVHGGLPPHLMPSSFGRPDLMSMMHPAMGANPSMFLPSMPPPPHMSTPSPGPPLQQHHFTDYALLQDLFPSTMPNNP >Sspon.08G0018780-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:8311967:8318177:-1 gene:Sspon.08G0018780-1B transcript:Sspon.08G0018780-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFDLVTLVDFCHRKDMRDPFDAPVDLINADHNGGNQVSRTVAVSAGDYGLQNGGPKSFAPNSDTLVRHQVQGASLHKDLAVEDPNIRIMDPETKELYFRSQSQEDEILLLRKQIAEASLKELRLLSEKHILERRLTDLRMAVDEKQEEAISGALKQLNQKKTHLDDNMRLANELKVEEEELYFFTSSLLSMLAEYNIRPPQINASTITTGTKRLYQQMHWKLRSLNDNLGDMTQPGNIYNPNHQQDSNRNALQQYAQGPSDRHIEQMYHGSNFQQDTGGTAPSNYFEGEGRVDGDSQLYQPDGDALPGIEGFQIAGEPTPGFQLTACGFPTNGTTLCNFQWVRHLENGIRQSIEGATMYDYVVTADDVGTLLAVDCTPMDDNGRQGDLVTEFANNGNKITCDPEMQSRIDAYVSTGRAEFEIYVL >Sspon.02G0014770-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:37586550:37591465:1 gene:Sspon.02G0014770-2B transcript:Sspon.02G0014770-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAGPAMDAGGGGGRAGVSAPAAANGSYGLVRTVVGYSTSPLFFWFLTVVLVAVIHIVSGSSKPSSSRADEKERERKEREKARRAEEREAPGRGDDRVLEMMRSFSFMQATEEDYMEGMIAYDYNGVDAGGTAHGPAATEPFAPSPALTTAASLSFKFQHQMPEIPTEPAVVVSREFTAAREETAVVSREFTAAREEDEREREEEKESFVPLQAAEKQEHKHEAEEAEFVVEEREVEEQSREVVQEAAPPKIVATTHNYQFLTERDFRGFVREPEAMTVRVQESFVPPSPPPAHHQPEERRVVDVSSRSDRFLTERDFRPANEPDVCESVASSGKRAPSLKPKPASSPSVASMGGGAVGARMSVASEFSGFGDSDSESSASDGYSVKDLVVDSDSDWFLSEKDFPATARDSGNLKSYKAKVLKAIEALEAASKLEPSYQDSATTVSPGSVRQGSPDTIPDGSPKFPEDMWSRSPSPDVEYKEDEEKATREAEARYDEDIEQRSTAEEEEGIDMSDDDEHSSGGKKVESAPAYDLAPVADDCMDHSEKEIITLNDHSGGEAASDAQRSLEAVSARQLAVVASDQDAGPVKRSPEPSEQEFMGMVDHSLEHSSDDRKETASESDQSYEIVFDDKRRPEPPEAGFVGTSGHSHELISDVWKEIVSRNDQPRLGAHADEQGLDTAEEEYVGTSDRSNSLVSYQKKVTFSTTNDQPHAAVSDEKSVPETPEQEFSLTDHRNGVVPDAKNISETREDEEQDSANDHLDNAARQAHISVTGKAKVYEEDGEDPEVKWKDLTDEEEDELESLWEHQDLIEQLKLELKKVRSIGLPTILEESETPKAPMEDLKPWRIDAKFLREDPMDELNKFYKSYRERMRKFDILCYQKMYAIDFLQLRGPQQSSNSLKSLSPTVASILSHNFRSSRRRSPEDPSERFLKELRYDLETVYVGQMCLSWEFLRWQYEQARDLPESDPYHSHQYNQVAGEFQQFQVVVQRFVEDESFKGPRLPTTSTTVAFFAISCKCP >Sspon.05G0003060-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:1312322:1315197:-1 gene:Sspon.05G0003060-2C transcript:Sspon.05G0003060-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISAMAVMHNERQTRVQLQEFQAGHWGNYRESNLIQLPGRWKRYLLSALLANSKIYMICMAQYILGLDVQSMSLFSIELPNGVEHEYGANITLSRAEGSGFCLIHVMRFQINVWRYTMDNTMDSSSTGNWELIDTISLRQDTEQIILLSYFKQDSGESLVDAKMRLRKVN >Sspon.04G0005510-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:15840370:15843217:-1 gene:Sspon.04G0005510-1A transcript:Sspon.04G0005510-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADRRWCCALACALALVVAADGLLVDITYVESAVAKGAVCLDGSAPAYHLARGSGSGENSWLVHFEGGGWCNNVTTCLQRKRTRLGSSKEMVTQIAFSGILSDTPDGNPDFYNWNKVKVRYCDGSSFTGDVEEVDPVSPYSTISQSSVFSLKILSYDVARKSTIMMPHLISPLFCLLFRQRSYTIEVRGYGKLSWRTCLQKGWAKLKILRQALISGCSAGGLTSILHCDRFHDLLPPAARVKCLSDAGFFINEKDVAGVGYIAAFFNDVVATHGSAKNLPPSCTSMLPPGMCFFPQNEVKQIQTPLFILNAAYDSWQVRNILVPGVADPHGQWHSCKHDIGQCSASQLRVLQGFRGDFLKEVSEQWISDSRGLFINSCFVHCQSESQELWLSSDSPMLGNTTIANAVGDWFFDRSTFQKIDCPYPCDSTCHNRIYEDSSQA >Sspon.03G0019730-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:47441656:47443862:1 gene:Sspon.03G0019730-4D transcript:Sspon.03G0019730-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein SAR DEFICIENT 1 [Source:Projected from Arabidopsis thaliana (AT1G73805) UniProtKB/Swiss-Prot;Acc:Q9C9T2] MAAPKRLHNGYEQDGDQPDDKRMRRLPSFSTVIREAMMQKHMHSLLRCLEPLLRRVVQEELQAGLMQSPRYIERLPETPPAERAAWKLAFRTPPQLPIFTGSKIEDEAGNPLEVILVDADTGSPAALPQAALRVEVVPVFGDFPPDGREDWSADEFQRNVVKEREGKRPLLTGDVSLTMRDGRATVGELQFTDNSSWVRCRKFRIAVRAVPGSCDGARIQEAMTEAFMVRDHRGELYRKHYPPVLADDVWRLEKIGKEGAFHRKLRRSNVSTVQEFVRMLMVKPDELRALGSNSVLVLMMGCSVPVGDQILGDGMTDRMWEATTNHAKTCAPDDKVYAHTTPHGTIYVDSIFNIVRVDLGGGVQWPLPVQQLNRGQTVSGVAHHLRTEGQSSMGFACPDMCTLFRLRQMMVQQLLLDAYEHRQSLQEADAFMLHGHAANNVPLLQNAAAHVAVPAPADTPLWFPNAAEMDFPVDDVVVPIPQANNSFAYQWPGQAFHMPG >Sspon.08G0001230-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:4367815:4370668:-1 gene:Sspon.08G0001230-1A transcript:Sspon.08G0001230-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding HGSVGRRVPAAAAARELLLPAVLQQRLARQERQRQRRRRAREGRHQGQEDDAQGLPRRHHLHLRHRWAVPGSGDRRSDRAGDGERAVPRHRDRRHHRRARLHRGRRLLHPPLAGAPLRDLEHPLRAERDLQPPDRQAGAREGRPGGAEGGPEPDERGGLVAVQGVTGPVRGGGHQRHATGVHRQAPGVVDHRGVQARRRRRPLRLLRLSPGFPGRGEGAEPAGLLARVPRAVHRRLAHQARLLPALQEEAL >Sspon.02G0015120-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:41133134:41136575:-1 gene:Sspon.02G0015120-1A transcript:Sspon.02G0015120-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MWAPPAASPTGAIPSLRPSRAVAVRVLVTLPTAARPQDQPRRRLCLAAPPPAPEMASAAAEGEGEEEEDVVVVEGDTERKKAVEMDAAMRRELAIRRLREEAEAEANEAGTRKGRSRRDFAVFENARGDALFTQSWTPAAADRLKGVVVLLHGLNEHSGRYNHFAKLLNDQGLKVYAMDWIGHGGSDGVHGYVSSLDHAVGDLKEFLEDVVLEENRGLPCFLFGHSTGGAIVLKAVLDPFVELHVEGVVLTSPAIHVQPSHPIIKVVAPIFSMLAPKYRVSALHKRGPPVSRDPEALKMKYSDPLVYTGPIRVRTGNEILRISSFLQRNLSRVTVPFLVLHGTADTITDPRASERLYQASMSTNKSIKLYDGYLHDLLFEPERDDIANDIINWLSTRLDVLQG >Sspon.02G0049420-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:38641663:38642016:-1 gene:Sspon.02G0049420-1C transcript:Sspon.02G0049420-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding QEIEPPADSPTASPSLLRTLSSEFGKKPNLAGRFALPCIPDDLIFSVLLSRLPSKSAIRCKFVCKVWFAIILIAD >Sspon.07G0009200-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:24128647:24131076:1 gene:Sspon.07G0009200-2B transcript:Sspon.07G0009200-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding NNTGAVEEFYLLTKPEYSGPAPTREPPPIPATAPSPVVIPPPDVEPAPVIVSSPVAATNLTKSQSFVSPTEKELTIDDIEDFEDDEDEFDSRRASRRHQTDASDLSLRLPLFETGITDDDLRETAYEILVAAAGASGGLIVPQKEKKKEKRHRLMRKLGRSKSESVDTSTQRQPGLVGLLETMRAQLEITESMDIRTRQGLLNAMVGKVGKRMDNLLIPLELLCCISRAEFSDMKAYLRWQKRQLNMLEEGLINHPVVGFGELGRKVNELRSLFRKIEESESLPPSAAEVQRTECLRSLREVATSLSERPARGDLTGEVCHWADGYHLNVALYEKMLGSVFDILDEGKLTE >Sspon.07G0007640-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:17526013:17528239:1 gene:Sspon.07G0007640-1P transcript:Sspon.07G0007640-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Floral homeotic protein PISTILLATA [Source:Projected from Arabidopsis thaliana (AT5G20240) UniProtKB/Swiss-Prot;Acc:P48007] MGRGKIEIKRIENSTNRQVTFSKRRNGILKKAREISVLCDAEVGVVIFSSAGKLYDYCSPKTSLSKILEKYQTNSGKILWDEKHKSLSAEIDRIKKENDTMQIELRHLKGEDLNSLQPKDLIMIEEALDNGLTNLNEKLMEHWERRVTNNKMMEDENKLLAFKLHQQDIALSGSMKDLELGYHPDRDLAAQMPITFRVQPNHPNLQDNNYG >Sspon.02G0022150-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2A:73976516:73976695:-1 gene:Sspon.02G0022150-1A transcript:Sspon.02G0022150-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPCRRSGGLAPPSGRPALATPTPTTREIRGSLLGYGGGERRGAGERRSAAATCLVEGR >Sspon.01G0020030-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1B:79864896:79865261:-1 gene:Sspon.01G0020030-2B transcript:Sspon.01G0020030-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGPRLLVPGRVDTVQGGTPAMMTVNSFEEGGDGGDPSECDGHYHSNKDLIMALSTGWYATGKRCFKPIRITNTQTGHSVVARVVDECDSHRGCREQHRRHVPGRLGRARARLQHRRGSRHL >Sspon.05G0033960-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5C:46465511:46468849:-1 gene:Sspon.05G0033960-1C transcript:Sspon.05G0033960-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGKISLPVGVLTMSSRRDIVQIAYALQPAPAAPAGVARVDVRFIFCSPAQRFTNYAESPRLEDKTSRNLFRIPYNTIDPA >Sspon.03G0028110-3D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3D:7022020:7023838:1 gene:Sspon.03G0028110-3D transcript:Sspon.03G0028110-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRRRWGWALGRREVKRGKQWGGDKEGTERQRGTDIFQWAESALGAQAWYSFEGYGPAIRSLRVGGSNMSREVTGRTPPPNRGTHDAQESEKQRGRGASKPNRSMRASINRPPTPSAEEDRDKEPSLGEIINIKLVESGEKEKLMELLRERLVECGWRDEMKALCSYLKLPR >Sspon.01G0049290-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:112289054:112294003:1 gene:Sspon.01G0049290-1B transcript:Sspon.01G0049290-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPSNNRGTCSRSSSARSRHSARVVAQTPVDAQLHAEFEGSQRHFDYSSSVSAANRPSASTGTVSTYLQNMQRGRYIQPFGCLLAVHPDTFALLAYSENAPEMLDLTPHAVPTIDQRDALAVGADVRTLFRSQSSVALHKAATFGEVNLLNPILVHARTSGKPFYAILHRIDVGLVIDLEPVNPADVPVTAAGALKSYKLAAKAISRLQSLPSGNLSLLCDVLVREVSELTGYDRVMAYKFHEDEHGEVISECRRSDLEPYLGLHYPATDIPQASRFLFMKNKVRMICDCSATPVKIIQDDSLAQPLSLCGSTLRASHGCHAQYMANMGSVASLVMSVTINDDEEEDGDTRSDQQPKGRKLWGLVVCHHTSPRFVPFPLRYACEFLLQVFGIQLNKEVELAAQAKERHILRTQTLLCDMLLRDAPVGIFTQSPNVMDLVKCDGAALYYQNQLLVLGSTPSESEIKSIATWLQENHDGSTGLSTDSLVEAGYPGAAALREVVCGMAAIKISSKDFIFWFRSHTTKEIKWGGAKHEPVDADDNGRKMHPRSSFKAFLEVVKWRSVPWEDVEMDAIHSLQLILRGSLQDEDTNRNNVRSIVKAPSDDMKKIQGLLELRTVTNEMIRLIETATAPVLAVDIAGNINGWNNKAAELTGLPAMEAIGRPLIDLVVADSIEVVKQILDSALQGIEEQNLEIKLKAFHEQECNGPVILMVNSCCSRDLSEKVVGVCFVAQDLTRQKMIMDKYTRIQGDYVAIVKNPSELIPPIFMINDLGSCLEWNKAMQKITGIQREDAIDKFLIGEVFTLHDYGCRVKDHATLTKLSILMNAVISGQDPEKLLFGFFDTDGKYIECLLTVNKRTNAEGKITGAICFLHVASPELQHALQVQKMSEQAATNSFKELTYIHQELRNPLNGMQFTCNLLEPSELTEEQRQLLSSNILCQDQLKKILHDTDLESIEQCYMEMNTIEFNLEEALNTVLMQGIPLGKEKRISIERDWPVEVSRMYLYGDNLRLQQVLADYLACALQFTQPAEGPIVLQVIPKKENIGSGMQIAHLEFRIVHPAPGVPEALIQEMFRHNPGVSREGLGLYICQKLVKTMSGTVQYLREADTSSFIILIEFPVAQLSSKRSKPSTSKF >Sspon.04G0001070-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:4201446:4210666:1 gene:Sspon.04G0001070-1A transcript:Sspon.04G0001070-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding KREVNKKVLKVPGIEQKILDATSNEPWGPHGSLLADIAQATHNYHEYQMIMNIVWKRVSDTGKNWRHVYKALADFQYIDSSGRDQGSNVRRKSQSLVSLVNDKERIQEVRQKALATRDKYRSAFAPGGRSPGGYGGGYDNDRYEGSYGSRYDNRNGNGRERDYGYRDDDRYGGPGDTPNREGDRYSRDSNERYGRDYREDEYKGSHSNHEYAEGAGRRSYGRERDSYGDDEAYSSRGRGSNADAPTQDDRPIERKLSNQQIASPPPNYEDVTRDGQDNLHDDRNGGSVPAAAPRVSSPSVPATTVPAGHVNGVHENTVEDVPAPPTAHAEPNGFDEFDPRGSVPDSSPPVNPAPVANSLEMDLFGSDPISSLALVSVPQPTTTSNVEAPANSGFETNSFVGMPPASTGFGENSFYCLLILQQIDASNPFGDPTPFKAVLDESPALPQTHAAPAGSFQSTGPGADVNPFQPASATSFGFGDTLGDLTFASNAAPEQQDMFANAASFPSEVAPANPSVVLQQPVPTNFPSQAPQPVAGPLHAAPTSFAPSQAAPTSFAPSQASQAAPAFAYSQAPHPGAANQSQFPQTAAPSFPPSQVPQSVAPNLPSGQSDFFMQPVSGSGIDSLSGVPTQNGAPSYIPPQTSQFAAPANQQPSQQNFLPQTSIPALQPTLISRGASQPLGVPNSVPTGGSFSLQSSSSAPPETIISALQVNQTEPVKKFEPKSKLWSDTLSRGLVNLDISGPKANPHADIGVDFDSINRKEKRQEKKINQAPVVSTVTMAKAMGAGSGIGRAGAGAMAPPPNSMGAGRGMGMGPGAGAGYGGGMGMNRPMGMGMGMGMNQQQMRMGMNQQPMGMGMGMNQQPMGMGMGMNQQQMGGMNMGMGMNQGMPMRPPMGMAPGGIPGAGYNQMGAAYGGQQPYGGY >Sspon.04G0013010-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:53679764:53686040:-1 gene:Sspon.04G0013010-3C transcript:Sspon.04G0013010-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRWKLNSSVYKRVPSRETAMEPDVETPMRMTDSGGGAGPSWRMSLPHVCVATLTSFLFGYHSGVVNEPLESISADLGFAGNTLAEGLVVSICLGGAFVGCLFSGSIADGIGRRRAFQLSALPMTIGAAISALTNSLEGMLLGRFLVGTGMGLGPPVASLYITEVSPPTVRGTYGSFVQIATCLGIIVSLLIGTPVKDIDRWWRVCFWVATIPATLQALGMEFCAESPQWLYKCGRISEAEMQFEKLLGPLHVKSAMAELSRSERGDDGESVKYSELFYGRHFNVVFIGTTLFALQQLSGINSVFYFSSTVFRSVGVPSNLANICMGISNLSGSIVAMLLMDKLGRKVLLSGSFLGMAFAMVLQAVGANRQYLGSTSVYLSVGGILLFVLSFSLGAGPVPGLLLPEIFPNKIRAKAMALCMSVHWIVNFFVSLLFLRLLEQLGPQLLYTIFSSVCVVASIFVRRHVVETKGKTLQEIEVSLLQPQ >Sspon.07G0018620-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7A:67374582:67377525:-1 gene:Sspon.07G0018620-1A transcript:Sspon.07G0018620-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRSTPARRWASSLREHEGEDGAACWRRWRSRPSLDVGEAAATDRFSAVAGGSDDGAAAAGEEGGDGGDGAAAATMRRLPPVPRPAAAVASTSTPDIVAELGRVISTRRWNKGRAYKRLAPSVTAAHVADLFRAPVSPLDPATALAFFEWVARRPGFRHTAASHAALLQLLARRRAPANYDKLVVSMISCSGTAEDMCEAVDAIQAIRRVGGKRLVLSPKCYNLALRSLLRFDMTEYMGKLYSHLVQEGLLPDTVTYNTMIMAYCKKGNLAIAHRYFRLLRESGMEMDTYTCNALLLGYCRTGDLRKACWLLMMMPLMGCRRNEYSYTILIQGLCEARCVREALVLLVMMEGRIHDARRLLDEMPLRGVVPSVWTYNAMIDGYCKSGRMKDALGIKALMERNGCNPDDWTYNTLIYGLCGGKPDEAEELLNGAIVRGFTPTVITFTNIINGYCKAERIDDALRVKSSMISSNCKLDLQAYGVLINVLIKKHKLKEAKETLNEMFANGLAPNVVIYSSIIDGYCKVGKVGAALEVFKLMEQEGCRPNAWTYSSLIYGLIQDQKLHKAMALITKMQEDGITPGVITYTTLIQGQCKKHEFDNAFRLFEMMEQNGLTPDEQAYNVLTDALCKSGRAEEAYSFLVRKGVVLTKDSAKQHV >Sspon.04G0028150-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4D:69319592:69325314:1 gene:Sspon.04G0028150-2D transcript:Sspon.04G0028150-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Centromere/kinetochore protein zw10 homolog [Source:Projected from Arabidopsis thaliana (AT2G32900) UniProtKB/Swiss-Prot;Acc:O48626] LQTIDALGYGMAKIADSMMKHILVPAISNIQVSVIEEGGPEHFVSVLSVVPSEEIKDNKDGSNLYSRIIDVIKFVCKFICMENSKWVQSFAKLTWPRISDLVITHFLSKAVPNEASKLIEFQDVVRSTAEFENQLRSMMFLSPDRKDGKLTQYVDDVEVHFAVRKRSEILVKARNILVQYDYDSPLESGDQGDSVVDLLFQPEKCFTSKSALLVMKLVHGALKDASLSSARVAKEFCFAARDVLLLYKAIVPVQLEKQFDSLSQVAAIVHNDFYHLSQEILGLAFQYRADFPSDLQKQVVFVDLAPIFSQMADAVLRRQIQLAVDTISEAIDGAEGFQNTHQPQHYESAKFSIEQLQGLIHLALENLSSLFVSLVENDDGSTKFLNHDTWIQLDGILPSLKKFRKLAELLDMSLKSITACWESGDLARCGFTSSEVQNFIKAIFADSPLRKECIGWIVRTPA >Sspon.07G0022590-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:9513831:9519175:1 gene:Sspon.07G0022590-2D transcript:Sspon.07G0022590-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSASRRATKTTGDGWSRRSGAPVASLITGPLSRVRQTQAGDARQPVLPMDSRCPHLLLLLLLPLLLPPSSGVAAAAGVIRLPTGGRAGALACAPRDPAVYDRPVIGIVSHPGDGAGGRISNTTATSYIGASYVKFVEAAGARVIPLVYNEPEERLREYVLDKNDAGEPFPLFAQCLGFELVSMIVSKDNNILETFDAQNQASTLQFPSYALEGSVFQRFDPDLIKKVSTSCLVMQNHRYGISPKRYRENGALSSFFKILTTSPDENGKKAIFEWRKPMIPHSEDAVQVTQHFANHFISQARKSPNRPPADKVLDNLIYNYSPTFSGKKSKSFEEVYIFA >Sspon.02G0008440-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:24723064:24726892:1 gene:Sspon.02G0008440-1A transcript:Sspon.02G0008440-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLGDRRMRFPEFISTATDPLVFDLGDGEELPAVVVLVVQRTRPLTSDKTRLELLVRTSEGAEEEMARVARFATHTTRFSGVELDGAKKPKANSPPSDMMVVPGNSGLPGTRRSSENIIDSEARKREQTKVLVGADASALSGLGCDHEGLAPINLVVHDEFHRAMPGGTGGVKTIANYGPVLRAQTDAKSKGFTDVLYLDSVHRRYLEEVSSCNVFVVKGGVVATPTTRGTILPGITRKSVIELSRDRGYKVEEHLVSIDDLMGADEVFCTGTAVVAISEAIEQSLI >Sspon.05G0002690-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:7935715:7936669:-1 gene:Sspon.05G0002690-1A transcript:Sspon.05G0002690-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYQAPPPGTAAYPPPGTAYPPPGQQAYPPPGEGYPPPAYGAPPPMAAGGYPPPPPPQQQQDSKGGNDGFLKGCLAALCCCCMLDMCF >Sspon.04G0014570-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4B:57181645:57182355:1 gene:Sspon.04G0014570-2B transcript:Sspon.04G0014570-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADIGGSAPVNPGGASYSLTRASSPSGITLGGGGSGGSDPIPAWLKNLSKQLSDKSYPNFLASSSNSNSNAPATPQNGSPPSSPPRLRKMARYSSPPPVTPPPSPERASNSNVLPPPWATGAGASRFSFKTSTPPLMSPVTGSRAPGPDPVRLLAGFQISYAADNKAPAYSSFVASGASSLGAGSSASASAWMLPPLPGRSSTGASAAVRGRGGALLSPLGLSFSRSGGEQAGAREE >Sspon.06G0021550-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:17998509:18020411:-1 gene:Sspon.06G0021550-2C transcript:Sspon.06G0021550-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSSGLAAMRQIEIQKLRGIRIFEDITNPKGGKGILLKSVGVVGANPDTVFEVVLNLDKHKRYEWDMLTADLELVETIDGYCDVVYGTYEPKYLNWWKSKKDFVFSRQWFRGQDGAYTILQTPAGYKKRPPKHGYERTKINPSTWEIRSLNTSGSSSPKCVVTLMLEISLSFWGRWKRKHSSNFDKSIPFALLSQVAGLREYFAANPALTSELPSTVVKSKASETLIIQSEHEDSEPGDEFYDALARGESFEDEDSDDDDDATSPKAGKVKLKNVSWAIAGLTLKTTKALVETSELVTNSSPVAVDPSHFHGTLHRAKSENDQNSWSAPGGEKFMIRGKTYLTDYTKVVGGDPLLKLLAVDWFKVNERFDSVALHPKSLVQSEAAKKIPFILVINLQVPAKPNYNLVMYYAAERPVNKDSLLGRFIDGTDAYRDARFKLIPSIVEGYWMVKRAVGTKACLLGKAVTCNYLRQDNFLEIDVDIGSSSVARSIIGLVLGYVTSIVVDLAILIEAKEEKELPEYILGTVRLNRVNPDSAVSI >Sspon.01G0055960-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1C:85534344:85536181:-1 gene:Sspon.01G0055960-1C transcript:Sspon.01G0055960-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding IWVQNAIVAIACYSGYNQEDSVIMNQSSIDRGFFRSLFFRSYRDEEKKMGTLVKEEFGRPNRENTMGMRHGSYDKLDDDGLAPPGTRVSGEDVIIGKTSPIPQDDAQGQASRYSKRDHSTSLRHSESGMVDQVRMRSVRIPQIGDKFSSRHGQKGTVGMTYTQEDMPWTIEGITPDIIVNPHAIPSRMTIGQLIECIMGKVAAQMGREGDATPFTDVTVDNISKALHTCNYQMRGFETMYNGHTGRKLTAMIFLGPTYYQRLKHMVDDKIHSRGRGPVQILTRQPAEGRSRDGGLRFGEMERDCMIAHGAAFFLKERLFDQSDAYRVHVCEKCGLIAIANLKKNSFECRGCKNKTDIVQVHIPYACKLLFQELMAMAIAPRMLTHDMKTGKDQKKR >Sspon.02G0016570-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2B:47014774:47015253:1 gene:Sspon.02G0016570-2B transcript:Sspon.02G0016570-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARDMASTPSTRAPSQKMTRPPAASIRASSSGRSGLWSDDSGTGGAPSPPRQRRARLSPQLATTTRRSSTTATTAVDPTWSHLCASQRQVPPLAAAAARPPADITSASIRAKLRSIAAVQEAAGEQETSAAPASSSSASSSCIRSRHASATCEQPWPSNT >Sspon.04G0005270-3C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4C:9149209:9149730:1 gene:Sspon.04G0005270-3C transcript:Sspon.04G0005270-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLPDPTVYYPASSNAILRAQPSTAAASKGSFGPVFAVLAVISFLAVAACVVGRLCGRRLSRRRSADQDFYASDAVGGDLEKGFEITYPTMKPMASSRAMIHDIDDGFEIKFAPGKPAAWKNDSKADNKGRQHQGQVQGQGQHLHQQPQLVGIPKGYAVPKEYAGFRYPAEAV >Sspon.01G0027030-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:95324117:95344398:-1 gene:Sspon.01G0027030-1A transcript:Sspon.01G0027030-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHSAYDAVELVADVPGNIEAVASHAGKLLIAVSDTEGFLWGSDCSLRIYSAPSPSSDSGGEIRWDGPYALERQEPRFWRRPPLAMEVSASRDLLISLSEWVALHRLPGLETVAVVSSKTKGANVFAWDERRGFLAVGRQKRLTVFRLDSGREFVEVKEFGVPDILKSMAWCGDNICLGIRREYMIINSMTGALTEVFSSGRIAPPLVVPLPTGELILGKDNIGVFVDQNGKLIQDGRIIWSDTPASVVIHRPYAVARLPRHVEICSLRAPNALVQTVVLRDVQKLVQTDNCILAALSNSVHCLLPVPIGAQIVQLTASGEFEEALALCKLLPPEDSNLRAAKESSIHIRYGHFLFDSGSYEEAMEQFSDSHVDITYVLSLYPSIILPQTNIIGEHDKLLDMPELARESSDVTDEMESYSLQLHEPDDKSPLEVKKMSHNTLAALVKYLQKKRSGIIERATAEVTEEVVSGAVHHSLKLSEPYKPKKLSKKRAQTHTSSIAREMATVLDTSLLQALILTGQSSGAIELLKGLNYCDLKICEEFLKERSEYMVLLELYKSNEMHREALRLLNQLVEESKSEMENTDFNKKFNPQMILEYLRPLCRSDPMLVLESSLYVLERNPSETIQLFLSENVPADLVNSYLKQHAPNLQSTYLELMLSMNDTGINPNLQNELVQLYLSEVLDWYKILKEEGNWTERTYSPTRKKLISTLESNSGYNTDLLLKRLPQDALFEERAILYGKINQHLRALSLYVHKLQMPERAVAYCDRVYEEREQQPSKSNIYFNLLQIYLNPRKAEKEFEQKIIPVASQYAGIQKASATKLKGGRIGKKVVEIEGADDIRFSPSGTDSGRSDGDGDDVNDVNDGGPIMLNEALELLSQRWDRINGAQALRLLPRDTKLQDLVSFLEPLLRNSSEHRRNYLVIKNLIFRANLQVKEDLYKRCQAVVKIDGDSTCSLCHKRVANSAFAIYPNGQTLVHFVCFKESQQIKAVRGANSVKRR >Sspon.03G0025660-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3B:400533:405530:-1 gene:Sspon.03G0025660-1B transcript:Sspon.03G0025660-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTRHAASTLPPRALAASTGDVPVSPVPSSIRDALLDPHWRRVMEEEYAALLANQTWELVPRPPGSNVVTNKWIWTHKRRADGTLERYKARWVLRGFTQRPGVDYNETFSPVVKPATVRTVLSLALARSWPVHQLDVKNAFLHGLLIETVYCSQPAGFVDSSRPDMVCRLNRSLYGLKQAPQAWNHRFVAFLLTLGFVEAKSDTSLFIYHHGADTAYLLLYVDDIVLTASSEPLLGRIITALQQEFAMKDLGVLHHFLGVTVEPHPAGLLLHQRQYTRDILERAGMTDCNPCSTPVDTQGKLSEADAPPVSDPTAYRRLAGALQYLTFTRPDITYAVQQICLHMHDPREPHLTALKRLLRYLRGTIDYGLLLHRSSSADLVVYTDTDWAGCPDTRRSTSSYAVFLGGNLVSWSYKRQPVVSRSSAEAEYHAVANGVAEASWLRQLLAELHTPPSRSTLIYCDNVSAVYLSTNPIQHQRTKHVKIDLHFVRDRVAMDEVRVLHVPTTSQFADIFTKGLPSSTFTEFRSSLNITSG >Sspon.03G0024570-3D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3D:59478888:59485170:1 gene:Sspon.03G0024570-3D transcript:Sspon.03G0024570-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTFGYLAPEYAASGKLTEKSDVFSFGVMLLELITGRRPVNSRQADDNLVDWARPLMIKAFEDGNHDALVDPRLGSEYNDNEMARMIACAAACVRHSSRRRPRMGQVVRALEGDVSLDDLNEGVRPGHSRFMGSYSSSASDYDTNQYNEDLKKFRKMALGGSGLQSSSGQTPTSEYGQNPSVSSSDGHQATTTTTTQEAEEPAASVKTDGDSAAAASKHEATAEQGQEREGEAIRRRQAQPDKENQPTATTENPRPTRRPSKPAGKQRRAKRTNPEAEFPIPSSTSGPLLFLLRPPLRPGPNPPASADPDPAPRDHGDAQANAPQGHHPRRQRGRQDLADEPGSDSETCRVFMYWAADFSVWFLCARRYVNNKFSNQYKATIGADFLTKEVQIDDRLFTLQVCLLRLLYSTIIKVSSPFALPGVIYLGSTMNPRPD >Sspon.02G0012250-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2A:32366610:32367863:1 gene:Sspon.02G0012250-1A transcript:Sspon.02G0012250-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIEEHTASLSGENPITRLPPDIIEGILLRLPVSSLLRLRRVCKQWRNMISVPRFIKEHAYRAPKHLLLYLPKLSVSASLHPKTAKPCHATVIDEKWSPSTWDASHMDPDDHLFASCNGLLCFYKTYTLKISNPATGQCLHLLKPYGILLHDFHYLYSFGFHPITGEYKLVHFLREPQRYKSGQPFHFDTIQVYTLGEDKWRAIKAPIPCCMVHLGVVNVDGAMYWLTEDEGTSCGMAVVSFDLREEMFALIQLPALEVKETASCATPKVAYYMTEIDDKVCVVTMSYQSHAPRWRRYNAELSGRTDIWALESDKWFLKYSIQSPSLSRYIPQPCFIHREKIILQDCDSNVWYHDLRGKIVQIEHGEEVKLLHLGDYRFYETQSYFYKETLAPLSIYARAAIVRAPLGPLAPSVASN >Sspon.07G0007130-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:14704918:14709833:1 gene:Sspon.07G0007130-3D transcript:Sspon.07G0007130-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPQRVYEAWKGNNKFFFGGRLIFGPDAKSLILSVSLIVVPVLVFCGFVARHLRHHFPDYNAGYAIPAVLVLLLITSAQDPGIVPRAAHPPEEEFSYGNALSGGTPGRLQFPRVKEVMVNGTPVKVKYCDTCMVYRPPRCSHCSICNNCVERFDHHCPWVGQCIGQRNYRYFFMFVSSSTLLCIYVFAMSALYIKFLMDEGYPTVWKAFKHSPASLGLLIYCFIALWFVGGLTGFHLYLISTNQTTYENFRYRSDSRPNIYSQGCLNNFLEVFCSKTKPSKHKFRAYAQEEVRPPTVSFGREVEDEPVGGPRLKVEDDLEIGSDLLKISQRRNYEDVGVEVGGPHRHSSEMEGIANAKFVACSESQIPAVGSDVRVRHSSWDRRSGNWDMSSDVLTRSASDVIERSVFATEAAHPSLAETH >Sspon.02G0024170-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:84426226:84426761:-1 gene:Sspon.02G0024170-2B transcript:Sspon.02G0024170-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GLPALRRRVHVRRRRAPVPRPPGLRLAQVRGGDAVREGGQEEVPGHPLRLHRLRHQPGHGDDDDADAVRGAVRAGAVGEPEGDGGAQAGGARLRAADGRPRRVHARRHAGLRRRRPHPRRRHRARAQEHRRPRPDHRLD >Sspon.04G0009120-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:23228028:23228513:-1 gene:Sspon.04G0009120-2B transcript:Sspon.04G0009120-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSSAGSRASRDVVDVELLKAVAQAWHAQSGNPRPSSRPSGPGANDPAGGAGARARAGAAPRRQSRFKLEAMAAAAAPDVRARERSWDFAQSLWDPYELVAVARRLESGLVIADHAAAAAPQDGGDARGATEKRGRESGRSLRNLFLRSTSKRFGFEEPSS >Sspon.06G0026070-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:75607356:75609530:-1 gene:Sspon.06G0026070-1B transcript:Sspon.06G0026070-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTPSDLAAVMMGEAAAGSESGRCCFPSPINRTLPPSCARTSPGRLPKGLRVDMSLKTKGTNGTSVLQELPRSLLR >Sspon.08G0010520-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:40561082:40561957:1 gene:Sspon.08G0010520-4D transcript:Sspon.08G0010520-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLDADMIPTSPSADSSPSSSDLDTESTGSFFPDRSTTLGTLMGVSAFGERRAARAPAAAGEETAEGAQRAAPDREEARSVGVGVWRRRRSRRRRRGRGRSSLGGSWWRLCRDHGDGGGPPTSLGEFLDMERQLAGADFLCDDGGTGTGASGRETAAAAAATALFEDGRVRPPQPQQQPAAEERGRWRLLRASEGSSSSSLARLPVLLTGICSGGAG >Sspon.01G0063270-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:112201056:112204219:-1 gene:Sspon.01G0063270-1D transcript:Sspon.01G0063270-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTHQANTLRLYLTCIRNTLEAAMCLQNFPCQEVERHNKPEVELKTSPELLLNPVLICRNEAEKCLIETSINSIRISMKVKQADELENILAKKFLRFLSMRAEAFQVLRRKPVQGYDISFLITNYHCEDMHKHKLIDFIVQFMEDIDKEISELKLSVNTRGRLVATEFLKQFI >Sspon.03G0001880-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:20217395:20220457:1 gene:Sspon.03G0001880-1P transcript:Sspon.03G0001880-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPENPHHGSDRLGKEVDRRVLLPVSPADDKDNGAAVINLWVQLKIPDPFVWSHDETLASAERELDAPVVDVGAAMRGDCSGIRRAAEQVSGACSSHGLFQVTGHGLDPTLARAALDGAADFFRLPLATKQRASRAPGNVTGYTTAHADRFTANLPWKETLSFGHRDSRTSGSHVVVDYFTSTLGSDFKPLGVVYQDYCNAMKEVSLAVMEVIGVSLGVGRSYYRDFFADGSSIMRCNYYPPCPEPERTLGTGPHCDPSALTVLLQDGDVDGLQVLVDGEWRTVRPRTGALVVSIGDTFMALSNGRYRSCLHRAVVHRERERRSMVFFLCPREDRVVRPPPRLLAVAAREQEQPRRYPDFTWADLERFTQRHYRADAGTLDAFARWLVGAAPTC >Sspon.01G0016930-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1A:60277068:60277868:-1 gene:Sspon.01G0016930-1A transcript:Sspon.01G0016930-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMAMDHRQWCRLHATASTPPPLGAMQYGELIHSLIATPVQAAPIMVDTEQGQAMAIVGASRSRCYHLITPAQAACYYRVGGRLGPAPRPRVMKPYRGLGAPAPSMTTKLYRGVRQRHWGKWVAEIRLPRNRTRLWLGTYDTAEEAALAYDGAAFRLRGDAARLNFPELRLGGRHLAPPLHPTVDAKLQAVTSAPATASPRPESPDIKNEQGCGSGSEASTTTMATADGAGLSPSSTGSSSLAAKATPLPDMQELDFSEAPWEEADG >Sspon.02G0038440-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2B:41362094:41363412:-1 gene:Sspon.02G0038440-1B transcript:Sspon.02G0038440-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAGRRTLALVNLAAIMERADEALLPAVYREVGAALGATPVALGALTLYRSAVQAACYPLAAYAAVRYNRAHVVAVGALLWAAATFLVAVSDTFAQIKSLKRLPTLPRSHAKKKKKRETHDLSPPHSAPPQGHGGGLCPVLLSAADSHEWEDESVASWGISEDCYGGGYFSETADEAAATSPRPRTRRRQRVGGGGHIAAEQEGRRWQDRAGAVQGVPAGGGASGGCVRCRDMLGSGAQDPVHSIS >Sspon.03G0036720-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:74692332:74692598:-1 gene:Sspon.03G0036720-2C transcript:Sspon.03G0036720-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIVLLLSELLGGESASVLAAERYMGGHRSLGEFRPAVTGALAKQGQRLVEDQRAAAGTEPVREDKKREESFEDLAVSRIAVDIMWP >Sspon.01G0012860-2D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1D:38040144:38040824:-1 gene:Sspon.01G0012860-2D transcript:Sspon.01G0012860-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGFEAIPDRTALSLALPTPAPGPGLKLNKEDYLAICLAALAGTRKFGLGRERDQEQHQHQPTNKWCPPTHAPAQQELRFRCAVCGKAFASYQALGGHKSSHRKPPTPEQYAAAAAQPQAVSTADSEETTSSSGGTAGGPHRCTICRRGFATGQALGGHKRCHYWDGTSSVSVSLSASATVSSGVTVRNFDLNLMPVPENAGMKRWVEEEEVQSPLPIKKRRILD >Sspon.03G0027360-1P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3C:9580974:9583573:1 gene:Sspon.03G0027360-1P transcript:Sspon.03G0027360-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSALRLCPGAGLVNAARPGRRGAGRPAGREGEPQARLRKAWGHDGVRAGRGGTRTRRRSCGAREARGYGGRRGAEAWGTWPLYFGRSGFRTEEANGTSAQRSCGSTMIPVYQNVLLHEPRHSLLCARVFPCSITVLHCRSRKNPPSPPQLAPLRFCSAQRRQPLSPSSYSTSASRSPSPHPLMPARPPQAACASRGHPGHCLVPSAPHPPPPEVATPPPPSGRRSALAGSKPYSRIPKPRPAPRNAAAAAGPSPHPRRPPAPLPLPASRYLIHVCRPDHPSQLCISWTPGALPSVVCPSPASSRGCAATVAIGPPLRPCWLGFGVAPAREPRRSQINPRPAALLPVRPPPIPAVRRISQVPALRPSLFLPTAARRWVGLALHSTRAPACPNPSGAARMPVRATPPAPALQVLASLPSSDIRLRDTYAARIEAFGSPKDVGEVVLRWIARTRRSPDINATLIDAAFREDADNVKYYKLEFRVESPSFQRHNVAVCCARDGKLYTMNAQAPESARKAVQKEFFAMADTFSLVNDA >Sspon.02G0023040-3C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2C:80688938:80689414:1 gene:Sspon.02G0023040-3C transcript:Sspon.02G0023040-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAAAGAILALPVVARSFAAPNARLQDHILDYNIAYFTMDLLHYLVFLPEDILIIAHHLATLFVLVTCRYLVCDSAYALLVLLFLAEVTSLLHNVWTLTGSWRDQSPVAARVYSVVSPPFYTLYMLVKGVAGPLFLLKMTAFYLSGQVVDVIPWWGNI >Sspon.01G0019030-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:71214969:71216876:-1 gene:Sspon.01G0019030-1A transcript:Sspon.01G0019030-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVDALHGDSAAAAAADERFGGGTGYADLQAFFDHTAPEVKASGCGSDDEEELEWLSNKDAFPTVETMDSAGARPRTKGVRQSRAVVAWSAAGRRQCRHCGTQSTPQWREGPMGRRTLCNACGIKYRAGRLLPEYRPAKSPTFSSVLHSNRHDRIVQLRRLREEAVQTQTSLAAAGYGKEGGKELERLSNKSVFLAVDTMAPAGQRPRTKGLQRPRRVLAWSPPPLPRTPAQGRVPGGGDDGAGGGADEGRAAAPAGPRRSQGRRDGMAVEQRRVPGGGDDGVGVDAAADEGRAVGQRLNQPGGGEMALDQGLRVPFVGDSCTAGGGAADNGPAAAAAEAPSGGGVDHAARAAALQAPASAVAQRWCQHCGTGKTPQWREGPDGRRTLCNACGQRYKKGGLMPEYRPASSPTFCPTLHSNHRRIVQRLRASPVVTTAIAAAVDAGDK >Sspon.04G0016180-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:59496681:59498243:1 gene:Sspon.04G0016180-1A transcript:Sspon.04G0016180-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQWPTAALQLLCRPSLSAAQLRQVDAHLLSSFSHLLADRFLPNQLLRSLLPAHPLGALRLFPRLRRILPDFRPNNYTFSFLLKAAADSSAPPSLGPDGAHAIVPSLHALAVVLAWDAHAYVANGLIHAYATHGVLSSARRLFGDAVASRAADVCSWTSLLTACAKAGQVDEARALFDGMPRRNDVAWSAMLSAYVAAGSFADAVRLFEDMLRSGVRPNRAAVVGVLAACGALGALDQGRWVHALLVGAHGGGATAMDGVVATALVDMYAKCGSLDTARQVFAAAPWGQRDVFAYTAMISGLSDHARCEEAIELFGQMQAERVRPNEVTFICVLTACGRAGLVGRAKEVFRSMAAVHGMEPGVEHYGCLVDVLGRAGLLAEAMEAVRSMPMKPDSYVLGALLNACAAHGDVEAGEQVVRWLAELGLDHNGVHVQLSNMYAGWSKWEEVLKVRRNMEDRKVAKVPGCSMLEVDGVACEFVAGDRSHPRMREIMSAIRDLHGQLRQLDHDSCYLTMEMEFQLS >Sspon.08G0013240-3P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:65367209:65368931:-1 gene:Sspon.08G0013240-3P transcript:Sspon.08G0013240-3P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTILASETMDIPEGVTVTVAAKLVTVEGPRGKLTRNFKHLNLDFQLQEGGRKLKVDAWFGTRRTMAAIRTAISHVQNLIKGVTKGYRYKMRFVYAHFPINASITNSNTAIEIRNFLGEKKVRKVDMLEGVTILRSEKVKDELILDGNDIELVSRSAALINQKCHVKKKDIRKFLDGIYVSDKGVIEEEQ >Sspon.02G0019520-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2A:63519421:63520028:-1 gene:Sspon.02G0019520-1A transcript:Sspon.02G0019520-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LQDVLDRVFSKLQLNEVVRTSVLSIEWRHMWAISSKLRLDGITICGRPRYFCNKPSYTKEFIDGVNTVLQQLRGKVVEELEVKFEFESILVDHLNNWISFAVSSLVKNLVLDLAPAEFVGVKDRYMFPIELFDGASISRIQHIKLSCVSFRPRSLFRGFPNLRKLDLHLFDASEMDLDDMLSGCANLEWLSFIRCHVNDELK >Sspon.06G0007340-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:25290611:25295884:-1 gene:Sspon.06G0007340-2D transcript:Sspon.06G0007340-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTRTRLVLLLLLALTVLSPLALYTSRLPAALNPIQTRGFPGEITNQGRGGKADKLNALPLETVSSLKEPVGIVFSEELTESKSQDLKVGEHKSRVLSEVTVAADDTALKADEVIEQVTTLEAQDGGLVKGAGVSDEQEKNIGSQQQSSSEESSQETMPKQTPAKVIAENSQSAKTDGKTKIAVLPDVRIRNIKDQLIKAKVYLGLGSIRANSQYLKDLRQRIREVQKVLGDASKDSDLPKNANEKVKALEQMLIKGKQMQDDCSIVVKKLRAMLHSAEEQLHTHKKQTVFLTQLAAKTLPKGLHCLPLRLANEYFSLDPVQQQFPNQQKLINPKLYHYALFSDNILATAVVVNSTVLNAKHPSDHVFHIVTDKLNYAPMRMWFVSNPPGKATIEVQHIGEFTWLNDSYSPVLKQLGSQSMIDYYFGTNRANSDSNLKYRNPKYLSILNHLRFYLPEIYPKLDKMVFLDDDIVVKKDLTGLWSINMKGKVNGAVETCGESFHRYDRYLNFSNPTIAKSFDPHACGWAFGMNVFDLAEWRRQNITQIYHSWQKLNEDRSLWKLGTLPPGLITFWNKTFPLSRSWHVLGLGYNPHVNSRDIERAAVIHYNGNMKPWLEIGLPKFRSYWSKYKV >Sspon.01G0036230-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:17805156:17806856:-1 gene:Sspon.01G0036230-1B transcript:Sspon.01G0036230-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding EMGSSAAQRRRRQWTLALVTAAALLERADEALLPAVYKEVGEALGATPTALGSLTLCRALVQAVCYPLATCAAARYDRARVVAAGAFLWAVATLLVGASGTFLQMALARGFNGVGLALVVPAIYSLVADYSDDGTRGTAFGWVSMAQSMGHVAGNTLGVLLAATSFLGVPGWRLAFYALALVSASIATLTWLLGTDRRPVSVKATAAATLAQLAREAKDVVKVPTFQIIVAQGMAGSVPWSALSFAAMWLELVGFTHWQTTVLTNLNNLANALGALFAGFVGDPVALRFPNTGRIALAQVCTASSVPLAAVLLLALPDNPSAGAVYAATFFIFGFVSPWCPASTNSPIFAEIVPEKARTTVYALDRCFETVFASFAPPVVGILAERVFGYQPAASGTSVEADRENAAALSKAVFAEIAVPITVCCLTYTALYWTYPADRQHAQTAALQAVAGDQDCYCEASLVAHAAGAEGLNQALLA >Sspon.05G0032470-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:18023851:18024135:1 gene:Sspon.05G0032470-1C transcript:Sspon.05G0032470-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNAHVPVTAMREPIRYWVNKLHPLLSVVTQQAMAVSRLLLLFLLLSVAAGAYDAGGTFLRGSSSGHPLLPIR >Sspon.08G0016580-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8A:65280093:65280775:-1 gene:Sspon.08G0016580-1A transcript:Sspon.08G0016580-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GFGFLVHDVSFELEKAHPFPEHVNSLDEDPREVQPPVEPAANKHQRAFQFHQTEPEKWIPDADLKSASGSPGAPTTRTGPAAPGTSTVALGGAAAEASEEAIRTPRRRDAARDAAGGEGRGRRGNGDQRQKRSARALAEADAMGAQRVSGSFLPHVEQLRISMGRPKEH >Sspon.01G0023840-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1B:90730210:90730947:-1 gene:Sspon.01G0023840-2B transcript:Sspon.01G0023840-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRARARSRTVASGLADHAWVADISGARTVQVFLQYLDLWERVCAVHVSPGVPDAVIWRWSSDHKYSAPSAYGAMFIGSIKPFGVDLIWNTRAPPRVRFFFWLALRQRCWTANRRMRHGLQDNDDCIFCLQASETLDHIVLGCVFSRQVWHLLLSRIGLADVVTHGDVDLFVWWTWARRRAPRHCRKGFDSLIMLTCWSLWKERNARTFNNEITLVLGLCLKILEEATLWLRAGFSCLSSLLSLL >Sspon.05G0008400-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:25366171:25370847:-1 gene:Sspon.05G0008400-1A transcript:Sspon.05G0008400-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MIYLYGGYFKEVSSDKEKGTVHADMWSLDPRTWEWNKVKKAGMPPGPRAGFSMCVHKKRAVLFGGVVDMEVEGDVIMSMFMNELYGFQLDNHRWYPLELRKDKPAKIKARNIKRKESTNDVEANAIDNEGAEVMEDLEQAIEGQPEVNGVSNQLIKSLNITKDGSSRSIDILSDSTAQEASLEAVKPSGRINACMAVGKDMLYLYGGMMEVKDREITLDDLYSLNLSKLDEWKCIISASESEWLEISEEEDDDDDDDDDEADDDENEEGDASQTDEDDEEPGESLKDFYKRTNMYWQMAAYEHTQHTGKELRKDGFDLAETRYKELKPILDELAVLEAEQKAEEEASASTSSKKDTKKPKRRVQEGSFGSNECLSGDSFKRVLQEEAALNECASGTQLLNECCGKRPVRQLVPRARGEEGHQETQAEECRKIVFALNECLSVRQVNS >Sspon.02G0022340-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2A:75007301:75007501:-1 gene:Sspon.02G0022340-1A transcript:Sspon.02G0022340-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRSIIALDIPPQPNVKTERTNGPTPWAKDFDSFSISFHQNIRRPSGALQCLEKQKQSLYSTDMAL >Sspon.01G0056140-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1C:86422113:86422300:1 gene:Sspon.01G0056140-1C transcript:Sspon.01G0056140-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding KGNNSRVGDVVKNSSVSPTSGKPLEPSGNLGSQSSPIPHLKTKRSSKVASAKVTNNTSANT >Sspon.02G0028330-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:103239454:103244306:1 gene:Sspon.02G0028330-1A transcript:Sspon.02G0028330-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPMTRSTTTCCRQLYRARSELPTVLAGSSWQWQLMKRDEFEVRKRSYSSRRRVYHPCSSGAARGYRTVGDRVTVLTIDGGGIQGLIPGT >Sspon.05G0039110-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:61691324:61692609:-1 gene:Sspon.05G0039110-1D transcript:Sspon.05G0039110-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNHDAARSTSSAHSYACWQILFLRSPQKAFGKYETPHIFAYWATEYDLEVQIVSPAVQAFLILLDSRTSGGGGDGIQEHVEDDAAAFGDQGEQGDAATEGVQLPEADGLQGASINGGGASGIIFWTTNST >Sspon.01G0011040-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:30815626:30829592:-1 gene:Sspon.01G0011040-2C transcript:Sspon.01G0011040-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MERDKRPEMIDVVERLRVLNKASHQHQGERSGLFSWARKSKPVPAARVTTVPAKILVLPAHLCRQFSFAEMKAATNNFYGRLRVRDGAFGSVYRGKIDGGKADVAIKRHMPYSVQGEHEFRTEIEMSSKVRHCNVAQLIGYCDEMGEMILVYEYMARGCLRDHLYRTKHPPLTWNRRLEICVGAARGLHCLHASQVIYRNLKSEDILLDDAWVAKLTDLALCKTAGPSMDEITRVIGTGEMEAATNNFDKSLLIDSGLFDRVYHGKIDGVAITLVDVTHVYSVCAFHSLIEITSKLGHGHLVPLIGYCDDQEMTLLVYEYLAGGNLREHLYGTRKPPLNWIQRMEICIGVARGLCYLHGLQLTHGAVRTSNILLDEECLAKITNLALPPNLLDTHATEVCEADGYIDPEYLRTGLRTEKSDVYCFGLVLLEVLFGRPVIKCQRLEEQVASLLIWMNLPEHKVEELIPGADKSRWMVHNNMNILEGVAKLAGECLRMERDRRPEMIAVAERLRRLRKASLQGQKRRGWFSWATKSLPPAPPSPELYRKFSFAEMKSATQNFDKSLLVGKGEFGRVYRGMIDGSGTNMVAIKCLKRRHTVKIRSMLRHRHLVPMIGYCDEDEMILVYEYMAHGSVRDHLFETHNSPLTMKSPLSWKQRLEISDNISAGSIGAARGLHYLHTCAEKAIIHRNVKLTNILLDNEWVVKISDNIETDPITDTICNAHGNIYDPEYDSTGRLTEKSDVFSFGAVLLEVLCARPFLGKDCLLHWAVRCKEEGNLHQIVDCHLKRKMDPHSLFKFVETCENCLANRSTGRPSMADVIADLEYALQLQVSAEAGSRA >Sspon.08G0008240-3C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8C:31606919:31607306:-1 gene:Sspon.08G0008240-3C transcript:Sspon.08G0008240-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RFQDEAMNIPLAGIEAILWSNDLQVASEDAIYDFVIKWARAQYPKLEERREILGTRLLPLVRFCHMTCRKLRKVLACSDLDHEQATKCVTEALLYKADAPHRQRALAADVMTCRKYAERAYKYRPRSA >Sspon.02G0003830-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:11237020:11241960:-1 gene:Sspon.02G0003830-1P transcript:Sspon.02G0003830-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRPPPPPPPPTPPMAARTLLLLVLLLLLLGDATSASVSGEREALLKFKAAVTADPGGLLRDWAPASKDHCRWPGVSWSRSTSPPRPGARSRARCPGRRGAAGARVLALPSHALSGPLPPAIWTLRRLRVLDLSGNRLQGGIPAVLACVALQTLDLAYNQLNGSVPAALGALPVLRRLSLASNRFGGAIPDELGGAVLDVSGNMLVGGIPRSLGNCTELQALLLSSNNLDDIIPPEIGHLKNLRALDVSRNSLSGPVPAELGGCIQLSVLVLSNPYASPGGSDSSDYGEPDDFNYFQGGIPDAIATLPKLRMLWAPRATLEGELPGNWSSCQSLEMMNLGENLFSGGIPKGLVECENMKFLNLSMNNFTGSVDPSLPVPCMDVFDVTGNQLSGSIPVFISKKNCLSSQPPLDDLVSEYSSFFTYQALAGFMSSSSPLGVHLTSYHSFSRNNFTGAVTSLPLATEKLGMQGSYAFLADGNHLGGQLQPSLFNKCNSSRGFVVEVSNNLISGAIPADIGSLCSYLVVLGIAGNQLSGMIPSSIGELSYLISLDLSRNRLGGVIPTSVKNLPHLQRLSLAQNLLNGTIPADINQLHALKVLDLSSNLLTGVIPGALADLRNLTALLLNNNNLTGKIPSGFANSASLTTFNVSFNNLSGPVPTNGNTRYWESFTAILSCVHFGCAISCSAGRGLNSNDNNDTVPSDSQNEGANNSFNAIEIASITSATAIVSVLLALIVLFIYTRKCAPRMSARSSGRREVTLFQDIGVPITYETVVRATGSFNASNCIGSGGFGATYKAEIAPGVLVAIKRLSVGRFQGAQQFDAEIKTLGRLRHPNLVTLVGYHLGESEMFLIYNYLSGGNLERFIQERSKRPVDWKMLHKIALDVAKALAYLHDTCVPRILHRDVKPSNILLDTNNTAYLSDFGLARLLGNSETHATTGVAGTFGYVAPEYAMTCRVSDKADVYSYGVVLMELISDKKALDPSFSPYGNGFNIVAWACMLLRQGRAREFFIDGLWDVGPHDDLVETLHLAVMCTVDSLSIRPTMKQVVQRLKQLQPPILFLLGGPLLEPAEARREVGEEAIDADVVDPVVVLGVGVLPEVEVQELVLVAGCTCSPRACAMATRSDGVASRLPLVGGTTVSFHGPMPSTYSPEVISWYGWLVSSVRTVTSSMRKTSGESVRPATRTSDVGAKDWRKTGLACHAGDMYLPP >Sspon.02G0025330-1P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:95941467:95943047:-1 gene:Sspon.02G0025330-1P transcript:Sspon.02G0025330-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLDVVEIGMGADLSLDLRHFASKAVRQSKDDAPAPDMDACIRRLEEERGKIEMFKRELPLCARLLADVIDVMKEEAGKKTTRRSDRSLAAAAAVAEDEEDGAAGDKSKWMSTAQLWTGDSGRQDAESEFGALRSQKQDKGRSSLSRGGAGGGILPFKAAVGSGAPAFAPVCLRMDDKTARVGMPDLSLMSPPATKSAGEDSRRQVVGFAQAAARAAAMATAAPALGLQSQSQQQTAQQQQSRKVRRCWSTELHRQFVAALNQLGGPEVATPKQIRELMKVDGLTNDEVKSHLQKYRLHNRRAPGSSMVSQPIVLVGGLWIPQEQSSSQSGSPHGPLHFSTSGIAVSSAATISCEEEDGRSESYGWK >Sspon.07G0029940-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:80946926:80951355:1 gene:Sspon.07G0029940-1B transcript:Sspon.07G0029940-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GAMALQCLVDWKGRPVNPQRQGGIKATMFIAVLVVMTNIGNIPMLLNVVSYLHGKMHMGIADASTTATNFFGAICVFTFFGAFISDSYVKRFYTILIFAPIELQGYMLLACQAHFPSLHPPPCDIVNHPSECTAVSGRNLSLLTLGLYVIPIGEGAVRVCAAALGGDQFDGDDPRELRGKASFFNWYAFCISLGGFVGLVFVVWVQNNEGWDLGFVLSALVAVLGTLVLVAGLPFYRHQKPTGSPLTRIPQVFVAAFRKRNLSVPEDLVGMHETTSIEALERTSGKRFLDKAAVDDGDARRWSQCTVTQVEEAKIILRMLPVFVSSVLGYLPIPLLLTFTVQQGGTMDTRLGGTHVPPASLFVIPIVFQMLILVVYDRAVVPWLRRATGYAGGITHLQRIGVGFASNVVALAVAAAVEARRRRHGGAAAAEMSVFWLTPQFFLLGVMDVTSFVGLLEFFYSEASAGMKSIGGAVFFCILGIASWLGSFLIRVVNRVTARHGRGTGWLDGASLSAGRLDLFYWLLALFGLVALLLYLLCAWRYTYRHHPSRMQSAMEDHRVSPASKKLDAA >Sspon.08G0009140-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:35331192:35335507:1 gene:Sspon.08G0009140-2B transcript:Sspon.08G0009140-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRWLHWSVMAGDITCGSLLQKLQLIWDEVGESEEDRDKVLYQLDQECLDVYKRKVDQATNSRDLLIQALDDSKIELARLLSALGEKAIARTPEKTTGTIKQQLAAIAPTLEQLTKQKNERKREFVNVQSQIDQICGEIAVTIEVGEQVTTPQVNEDDLTLERLEDFRSQLQELEKEKSNRLEKVLEYVSMVHDLCTVLGLDFLSTVTEVHPSLDDSVGDNCKSISNDTLSKLDKTVATLNEDKKLRLSKLQELAGQLYDLWDLMDAPKEERRMFDHVTCNRSASVDEVTAPGSLALDLIEQAEVEVQRLDQLKYSKMKEIAFKKQTELEDIYAGAHIVIDTAAAHEKILALIEAGNIEPSELIADMDAQIAKAKEEALSRKDILDKVERWMSACEEESWLEDYNRDDNRYNSSRGAHLNLKRAEKARILVNKIPALVETLVAKTRAWEENRGLSFMYDGVPLLAMLDEYVMLRQEREEEKKRMREQKRYIEQQLNTDHEGPFGSRVSPNRPASSKKAIGPKLNGSVSNGTPPNRRLSISGQQNGGGHGRSGGKDSKKDTAKTASPGNNAAAAAPVGVAATAKEDAASQVHLNDCFHNAAILVILEVLLPVILTLKE >Sspon.05G0015270-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:57381379:57385022:1 gene:Sspon.05G0015270-2B transcript:Sspon.05G0015270-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAICLMAASCASSATTLVSQSRGCFSGGVTQMESFLNKFFPEVVSGMKSAKRDAYCMYDNQLLTAFTSSMYIGSSLSSLVASRVTRRVGRQSVMLIGGVLFLVGSIINAGAVSVGMLIMGQMLLGFGVGFTTQAAPLYLAETSPPRWRGAFTIAYHIFVCIGSVIANMVNYLTNSMPYWGWRISLGVAAVPAIIVIVGALLVTDSPSSLVLRGEPDKARASLQRIRGSDANIEAEFKDIVCAVEEACRNEQGAFKRLCNRGYRPYAVMMVAIPVFFQFTGMIVVFVFAPVLFRTVGFSSQKAILGSAIVNLVTLCAVVTSTFVVDRYGRRSLFLIGGISMILFQVAVSWILAEHLGKHNAVTMDRSYAMAVLVLMCLYTFSLGLSWDSLKWVILSEIHPVETRSVGQAISMTIAFILYFVQAQVFTTLLCNLKFGIFLFFAGWVLAMTAFITVFLPETKGVPLEAMRAVWARH >Sspon.07G0008510-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:23647231:23663429:1 gene:Sspon.07G0008510-2C transcript:Sspon.07G0008510-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEQQKQQPQRPRRKGQKRKLEDEASAAAAAAAVAAAASSLGSAGADDDNEEEDGSAGTPEICCRHSHAALAREVRAQVDVLVRCASSWRHADRAAAKRATHVLAELAKNEEVVNVIVEGGAVAALVCHLEEPAVAAPTQEEQQLRPFEHEVEKGAAFALGLLAVKPEHQQLIVDAGALPPLVKLLKRQKNTTNSRVVNSVIKRAADAITNLAHENSNIKTSVRMEGGIPPLVELLESQDLKVQRAAAGALRTLAFKNDENKTQIVQCNALPTLILMLRSEDAAIHYEAVGVIGNLVHSSPNIKKEVLNAGALQPVIGLLSSCCTESQREAALLLGQFASADSDCKVHIVQRGAVRPLIEMLQSADVQLREMSAFALGRLAQDYVSDFIKVGGVQKLQDGEFIVQATKDCVAKTLKRLEEKINGRVLKHLLYLMRVGEKSVQRRVALALAHLCAPEDQRTIFIDNNGLDLLLDLLISMSSKHQQDGSAALYKLANKAAALSPMDAAPPSPTPQVYLGEQYVNSSTLSDVTFLVEGKRFYAHRIALLACSDAFRAMFDGGYREKDARDIEIPNIRWEVFELMMRFIYTGSVQVTSEIAQDLLRAADQYLLEGLKRLCEYTIA >Sspon.04G0003440-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:11119931:11126665:1 gene:Sspon.04G0003440-2D transcript:Sspon.04G0003440-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RINT1-like protein MAG2 [Source:Projected from Arabidopsis thaliana (AT3G47700) UniProtKB/Swiss-Prot;Acc:Q9STU3] MEAAAQSHPLPLLQDIDPGVRRFIDARFRSAADLATASAVEAEIRGHCAELEASISNLYVRLQEAAAAYSSCRDVAGSALSGVRGGLGALKASGSTGAGEEVEVRTEQMQFEQLPALASEVARVEMVREYAEMALKLDSLVGDIEDAVSSSVTGKLKSPVDNSEKTHHVAIGYLKNIEDLLVSVATTRPQWTRLVSSVDHRVDRSLAILRPQAIVDHRSLLSSLGWPPSLAGSKFSSIDSGKQAEIVNPLFSMRGDLKSKYSESFLALCNLQELQKRRKARQLKGHDVGNQLRQPLWVIEELVNPISTAAQHHFSKWAEKPEFVFALAYKIIRDFVDSMDEILQPIVDKANLIGYSCREEWISGMVIALSTYLVKEIFPKQIELLQESSSSSDAISTAYQARVSWLSLVDLMISFDKQIQDLISGTGLLLTVKDDDSWQRISVLCVFCDRPDWLQVWAEIERQESLNKLQSAMDLEKNWSARIQGTMLEYDSDDYKSPLITSAVQQTLSLLIDRARPIPSITLRAEFIRMSAAPIISEFLGYMLRRCQEAEGLTALADDNALLKVSQSINAARYFESTLTEWCEDVFFLEMENLPVNGEQEINHLKEFRVEWADKISTVILRGFDARSRDYLKNRRQWLEKSEGPAVSRAFIESLDYMQGQLSKLQGGLNTVDFVTKCGKRGRPAAFRRIFTSGIKISSDGVERLQGDLSVLFAIFSACLVGDIEDAVSSSVTGKLKSPVDNSEKTHHVAIGYLKNIEDLLVSVATTRPQWTRLVSSVDHRVDRSLAILRPQAIVDHRSLLSSLGWPPSLAGSKFSSIDSGKQAEIVNPLFSMRGDLKSKYSESFLALCNLQELQKRRKARQLKGHDVGNQLRQPLWVIEELVNPISTAAQHHFSKWAEKPDLFLPLLIR >Sspon.07G0009770-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:25002803:25008325:1 gene:Sspon.07G0009770-3C transcript:Sspon.07G0009770-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MISARTAASPASAWKRGGRSEGGGRCDGCRTYRKTLRRSAAAVKVRALPPRRVEAVAMGSAAETEKEQEQEEVEVAAAGGVVEDHYGEDGAAEEVPIMPWAFSVASGYTLLRDPHHNKGLAFTEKERDAHHLRGLLPPAVVSQELQVKKIMHNLRQYQVPLQRYMAMMDLQERNERLFYKLLIDNVEELLPVVYTPTVGEACQKYGSIFRQPQGLYVSLRDKGKVLEVLRNWPHRNIECLPITIDVGTNNEKLLNDEFYIGLRQKRATGEEYHELIEEFMAAVKQIYGEKVLIQFEDFANHNAFDLLAKYRKSHLVFNDDIQAGTGIAELIALEISKQTKAPIEECRKKVWLVDSKGLIVDSRKNSLQSFKKPWAHEHEPLTTLYDAVQSIKPTVLIGTSGVGRTFTKEVVEAMASFNERPIIFSLSNPTSHSECTAEEAYNWTQGRAIFASGSPFDPVEYDGKIFVPGQANNAYIFPGFGLGLVISGAIRVHEDMLLAASEALAAQATQENFDKGSIFPPFTNIRKISAHIAAAVAAKAYELGLATRLPPPRDLVKYAESCMYTPVYRNYR >Sspon.01G0049020-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:110803264:110804837:1 gene:Sspon.01G0049020-1B transcript:Sspon.01G0049020-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALFAVKAASTSQSSSSSPAVLQSPSPSRRWDTAAAVKMPQRKKAAAVVRAVAAPPAPPVPGPAKPAGTRCRLPVSQTMSRLKAQGKTAFIPYITAGDPDLATTAEALRLLDACGADVIELGVPFSDPYADGPVIQASMARARASGATPDGVLAMLREVTLELSCPVVLFSYFNPIVRWGLPDFAAAVKEAGAHGLIVPDLPYADTCALRSEAIKNDLELVLLTTPATPEERMKEITKTSEGFVYLVSVNGVTGSRADVNTRVESLIKEVKQVTDKPVAVGFGISKPEHVKQIAEWGADGVIIGSAMVKQL >Sspon.04G0000380-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:2295368:2299541:-1 gene:Sspon.04G0000380-3D transcript:Sspon.04G0000380-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPRGYRSNRTSLFDGIEEGGIRATSYSSHEIDEQENDRAIDGLQDRVSILKRGNDMDASRGFLSGTVDKFKMVFETKSSRRMGTLVASFVALFLLVYYLTR >Sspon.03G0022080-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3D:36730271:36731781:-1 gene:Sspon.03G0022080-2D transcript:Sspon.03G0022080-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVRGVVNELVPYEVREFLFSGLGYLRSRMSSQHTVVIEETEGWASNQLYDAARTYLATRINTDMQRLRVSRVAEGKSLMFSMEEGEEMADVHDGAEFRWRLVCRDGASGGSGSGGGHARGGGGGGNYRVEVRSFEMSFHRRHKEKAIASYLPHILAEAKKIKEQDRTLKIYMNEGESWFAIDLHHPSTFTTLAMDREMKRSVMDDLERFVRRKEYYKRIGKAWKRGYLLYGPPGTGKSSLIAAMANYLKFDVYDLELTEVNWNSTLRRLLIGMTNRSILVIEDIDCSVDLQQRAEEGQDGGTKSSPSPSEDKVTLSGLLNFVDGLWSTSGEERIIIFTTNYKERLDPALLRPGRMDMHIHMGYCCPESFRILASNYHCITEHHTYPEIEALIKEVMVTPAEVAEVLMRNDDTDIALEGLIRFLKGKKGDAKDGQGENVEHVTKEDEKEMMPTKKDDPPVDQNLHDAGKQ >Sspon.06G0029600-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6D:24922214:24925412:1 gene:Sspon.06G0029600-2D transcript:Sspon.06G0029600-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSFHAVTVKEAVQCITPYLEDTSQPNQLIYFDGWRGLGASAVLTSIAEDPPPSVRNKFDKIIHIDCSRWKNRRELQRKIAQKLGLPQHVMDILDKQDKEDDFSGADEGSRIEIVAVGREIHQALQGQSCLVVFHNGSTNMVDLNDFGIPQPADRWSNFVRKVLWTFRGRLHAIPGMKPAADPTRAPSSSSAESTKPGNQSQTDQEELSRENVLATEGEEVDNSQVYLYSYLEDSESEQWNGLVHEEAAEIAQYSHKLGVTSEAAALCCKYMLLLNSRGVDVLDYNWATHASNYWVCDGIIQELQQDKAWEVATALLEEMKLDDFSSYRLPDFGDKTRWVIASDPYTVEEIKPGTTSFFCSNKKRGRVASLPTNIFQRSAEQLHVLKLCLCTFSFSSPPFLHCRKIRFLGLDKCTDQPKKLEEIKEGKEEDDRRKINFFQSLWVLDICDTDWELDLSPNIIESMLQNIREIHIKRGRIWSNSNAWTWRHVHNIHKLRVIEPTLHWKEEDKDEETKDEFMNMSKLELLDLSGNSTIKALPRLSGATSLSTLVLDGCIGLTTVAPEALPPYLERFSFDAGEGKQDNKKAKISRISMAGCARLVNFRLHGSLLNLEELDLSNTSVKTLDLKDEVVQVPFLQRLILLGCEKIRAILWPKAGEHEEMCNARVAITDMRLLQSLLLAGSNKFCWNTARFNLNLYLSSASKDDGQNNRKEKMGHPYNAGRSVASPQHKSPILKSHQIYSDINTDKATGNHDGSSAQQFQPLDFHIEIGEEISSANVVTEQGIRAVRFVLNKVNSLHVHDSFSINTVIPGSMVTRESWSGLKLCSIERCPDLNTVFTTDDVFCFPELETFWGAHLLTARCIWSKGWKTTPLPYFGKLQAIILHLCPRLTFVLPLSWPQTLSSLETLHIIYCGDLNQVFPVEAEFLKELSSGELELPNLKHIHLHEVPKLHQICKVKMFTPKLETIWVRGCWSLKRIPATTDRPDSRPVVDCEKNWWNKLEWDGKKSRHHPSLFELHHSKYYKKNLLRSS >Sspon.02G0021030-3D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2D:64325634:64326662:1 gene:Sspon.02G0021030-3D transcript:Sspon.02G0021030-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPTGESQPPQPADRAVDLLGDLPTFVLDKILAGLPAPDVVRTSVLSPPWRHRWESVPGLDIQLHDVRDEGGAWGSAAGFLERCAGPVGRVSIRGVPLSVYHRADDWVRAVAGKSPRSLSLALPLATPLPSLFRCDPAALAKLELRCCAIPGPPDGFAGFQRLTKLDLDDVVFTGGNAWAQLEAMVSAAAPTLVDLRLQNIAFSVADGGFVPGRWVILAPNLRRLVLCLRIAGAGHWELGPLPNLESARIFLNDSAENRDYVQMFTAISNVRELHIGNFDTATQVNSTVTGSHLLSLTITSSCIGPALRAHLFCIGLAFTIIVWRACLSIEFMCLHISEIQQ >Sspon.03G0026220-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3C:7297728:7302246:-1 gene:Sspon.03G0026220-2C transcript:Sspon.03G0026220-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD kinase 1 [Source:Projected from Arabidopsis thaliana (AT3G21070) UniProtKB/TrEMBL;Acc:A0A1I9LRY1] VSDERVNVDTVASHQSENGSISTASSTVSLESEKAAYEFLAQTPVRSTDAHLVEFSEAMRTVAKALRRVAEGKAAAQAEAAEWKRKYELETAHKQPSKIKGYNSCISNDLDKLASQLTLETPAPDQLGCCGKHGICAHEVLQDEVPGAIPRSNHKVVGRKASFKLSWGCNGDKNGQHKHDFVSFEKGDITTAERSSKQIYLDCSYNHCIDISLPVFWPTLIDEEIKMLHTKVDLIVTLGGDGTVLWVPGILFTPICPHSLSFRPLILPEYVTLRVQVPYNSRGNAWASFDGKDRQQLSPGDALICSISPWPVPTACLVDSTTDFLRSIHEGLHWNLRKSQSFDGPRD >Sspon.03G0020210-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:62713889:62717593:-1 gene:Sspon.03G0020210-1A transcript:Sspon.03G0020210-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSLSSSSSPLRLSGTPAGPGSSPAPTPRPTLVAAGPAAAPTTTRLRAISPSPSPSPLPTPVESFGFDALKETFSVDVAAADARPLDVPLAAPFTIASSRLVAVNNVAVRVELRSGAIGWGEAPVLPSVTAEDQPAALDAAGRACAALAGAPAAPLGVLLHDVASVLPGHAFASVRNPQLLVRLTKFPLPRWIAKCLIIDPREDRNVIRVKKSRLFGNLNLSHVLYPMLMARAGVEMALIDAVANSIRIPLWRLFGGASDSVTTDITIPIVTPNEAAQLAAKYRGQGFQTLKLKVGKNLNSDIEVLKAIRLVHPDCSFILDANEGYTANQAIEVLDRLNEMGVTPVLFEQPVHRDDWDGLRDVSTVAMEKYKVAVAADESCRSLLDAQKIIQGNLAHVINIKLAKLGVLGALEIIDVARKANISLMIGGMVETRIAMGFAGHLAAGLGCFSIKKAFLDYAEANRAVRGAGAVHVACDRPEFLILALQKFQSRVKNSVQL >Sspon.03G0028260-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:9386681:9388921:1 gene:Sspon.03G0028260-1P transcript:Sspon.03G0028260-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFNKLGGLLRHSALASSVAASSSPALFNAARLMSTKLFVGGLSWGVDDVKLRDAFSSFGEVAEARVITDRDTGRSRGFGFVNYTNSDDANAAISGMDGKEIDGRPVRVNIANDRPTGNRGGGGGFGGGDYGGGNQSYGGGY >Sspon.04G0017060-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:13134001:13135744:-1 gene:Sspon.04G0017060-1P transcript:Sspon.04G0017060-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MELHKYWGVGGRRCGSCEAAPAAVHCRTCVGGSFLCTTCDARPAHARLGHERVWMCEVCELAPAAITCKADAAVLCAACDADIHDANPLARRHARVPVAPIGSEAAAAAVEAMLFGTGEAAAASEADEHNAAAAEQQQHAHAHAHAHALNLNVEAKDMKLDYFFSELDPYLSVEIPRFQHADSVVPNGAGGAAVELDFTCGIGVKHSYSSYTATSLDLAHSGSSSEVGVVPEAFGGGGGSFELDFTRPKPQAYMPYTATPQSHSVSSVDVEVVPERGDLPAVRPVPLMGESREARLMRYREKRKNRRFEKTIRYASRKAYAETRPRIKGRFAKRADHDGDADADDAEAEAAVPSSYVLDFGYGVVPSFA >Sspon.01G0058900-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:36430027:36430245:-1 gene:Sspon.01G0058900-1D transcript:Sspon.01G0058900-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MICHTLITIIHHPPAKLLDTQGIGATCYARSFTMQIVNNSVHYFSTRSYGLFSRPDNFFLNYVILILSWLDS >Sspon.04G0029140-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:76466627:76467841:1 gene:Sspon.04G0029140-3D transcript:Sspon.04G0029140-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAAVGVGGAVACLLPQRRRGVTVVPWSGAAACVGRRRASVAVRASYEAGVGVMATKVGMMTYFEPETGKPVPVTVVGFREGGNVVTQVKTAATDGYDAVQVGYHGVREDKLTRPELGHLGKAGAPPLKHLQEFRLTAVDAFEPGQPLDFSDLFKEGDIIDVSGNTIGKGFQGGIKRHNFKRGLMTHGSKSHRQLGSIGAGTTPGRVYKGKKMPGRMGGTKTKIRKLKIVKIDNDLRVLMIKGAVPGKPGNLLRITPAKIVGKNIPKN >Sspon.05G0006120-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:19727931:19729660:1 gene:Sspon.05G0006120-1A transcript:Sspon.05G0006120-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCLRLFKGRYKSCKRRPEAMAPGPAPAALSSTVSTEARSLASAAAASCASFASSSANVSEASGARPAASGSSGSGSASSARSIPELYEERGALREFGLRELRAATRDFSPLLMVGEGGFGCVYRGVLRLPGGGPGGTPVAVKRLNPNGGQVMGTFGYAAPDYVQTGHLTTKSDVWSLGVVLYEILTARRSIERNRPRNEQKLLEWVRRHPPESEQFGAIMDARLQGRYPMRGATEVARLANGCLAKHAKDRPTMREVVEGLRQATRHTEMDGVVVVVGAAAECQGSPPREDASAVAVAAQARKRRMLHLAALGGAAADAHARRRLMLMRAAATAAAAP >Sspon.02G0050080-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:48658545:48660780:-1 gene:Sspon.02G0050080-1C transcript:Sspon.02G0050080-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGILQATSSTKVSNLRTPAGKDQEPLTTLGEGSNNLQLRAQSPPLLQAVYRVGKHGRVTRNPQQLEDQVPLECNSQAMHLNLTQSHSRCATKQRDEWRGCSLAQRMSLGGLPLHALVDCTGAKHHKEGERAQKDLILGRRRRSRLDRTTGNLNLDLLGSTIDRQETSGFCRLAEPYEPLKARKTADPADGNRCARFRPSQL >Sspon.03G0022970-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:70058720:70067866:-1 gene:Sspon.03G0022970-1A transcript:Sspon.03G0022970-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVARKQPPPPPQAGGARRRGGGGVRVGPARLEGLPAAWPGAAAVKVKWPAPGGALSQMLTGRWARGVTAVEPVGAGGTVRWEPRDGNRFRLDVVDPAGARGRPERGVFFSVLYGFQEQGRGKELVRLEEIGTAMISLEECCWEMQLQQQRQQLVVVPIRVRKDGWASDAMLYVNVELVDANTRSDIERAVSFREKPRTNMAPRPTMRDSRKSLEAATYDDVLDLKQLLDLAEKEGRVAVYGNKRNSDTSSVSSISSSSSSSSTISISSASTSGGASPEPASTSKRRFLPWMRRSRDFDKRSTESLSQELHMKCMDDDPSGSWETREFTSRDAETKLRTPVFFASIDQRDDSAGGESACTALVAVLAAALHANHPTMPTRPELDALIRDGSKLCDDEAHMAQFPNRHFDLDTVLASRARPIAVQHDKAFVGFFQPESFASLSGAMSFDDIWREISGGEREPGRADVYIVSWNDHFFVLKVESDCYYIIDTLGERLYEGCDKAYMLRFDGAEGTQEVIVTGKECCREFIKRFLAAIPLREELEIEERGAGSTMVVARRTGGCRSSSTSQSYETTIDDQLVNVELVDANTRSDIERAVSFREKPRTNMAPRPTMRDSRKSLEAATYDDVLDLKQLLDLAEKEGRVAVYGNKRNSDTSSVSSISSSSSSSSTISISSASTSGGASPEPASTSKRRFLPWMRRSRDFDKRSTESLSQELHMKCMDDDPSGSWETREFTSRDAETKLRTPVFFASIDQRDDSAGGESACTALVAFLAAALHANHPTMPTRPELDALIRDGSSEWRKLCDDEAHMAQFPNRHFDLDTVLASRAPIAVQHDKAFVGFFQPESFASLSGAMSFDDIWREISGGEHEPGRADVYIVSWNDHFFVLKVESNCYYIIDTLGERLYEGCDKAYMLRFDGLSEMQAEGTQEVIVTGKECCREFIKRFLAAIPLREELEIEKRGAGSIDGGGAPHRRLQIEFHFTVLRDHDR >Sspon.05G0022360-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:7201525:7208258:1 gene:Sspon.05G0022360-2D transcript:Sspon.05G0022360-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLEESSPRSSVPSEVGGRSTLRSSMPGFGSSFNALRSFLSGVRKGSGRLKSLGQSLTSGAPKTAFAEDLKSYKRTIFDPQDKILFQMNWVFFSSCLFAVAVDPLFFFLPIINDSNCIGIDKKLAVTSTIIRTVIDFVYLIRVCLQFRTAYVAPSSRVFGTGELVIDPMLIAKRYIKSYFAMDFVALLPLPQIVVWRYLNIPDGPDVLTTKTALVWVVLIQYIPRLFRIFPVITDLKRTAGVFIETAWAGAAYYLLWFMLAGHSYLQSVAIRLEEMRVKKRDAEQWMHHRSLPPEMRHRVRKYERYRWLETRGVDEESLVQTLPKDLRRDIKRHLCLGLVKRVPLFENMDERLLDAICERLRPALYTENEFILREGDPVDEMHFILHGCLESVTTDGGRSGFFNKVQLKEGSFCGDELLTWALDPKSAANFPVSSRTVKALTEVEAFALCAEELKFVASQFRRLHSRQVQHTFRFYSQQWRTWAACFIQAAWRRYYKRKMAEQRRKEEEAASRPSSSHPSLGATIYASRFAANAMRGVHRLRSKAVPTIVRLPKPPEPDFGLDDAD >Sspon.05G0011890-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:26576196:26577944:1 gene:Sspon.05G0011890-2C transcript:Sspon.05G0011890-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMVAQALVVVSALLAVAATAATAAEYVRPPPGRIILTERTEPADHPQQVHVSAVGEKHMRVSWVTDDKHEPSVVEYGKASRNYTMSATGDHTSYRYFLYSSGRIHHVTIGPLEPSTVYYYRCSKAGREFSLRTPPAALPIELALVGDLGQTEWTASTLAHVSKTDYDMLLVPGDLSYADTQQPLWDSFARFVQRHASRRPWMVTQGNHEVEAAPLPPVPGSPPPFAAYGARWRMPHEESGSPSNLYYSFGAAGAAVHVVMLGSYAPFDASSDQYRWLATDLAAVDRRATPWLVVLLHAPWYNTNAAHQGEGEAMRKAMERLLFDARVDVVFAGHVHAYERFTRVYDNEVNPCGPVYITIGDGGNREGLALNFDKNHRLVPLSMMREASFGHGRLRVVNATSAHWSWHRNDDADSVVRDELWLESLAANAACRQHAAPAAVNSWDHE >Sspon.08G0010550-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:46671377:46672304:1 gene:Sspon.08G0010550-1A transcript:Sspon.08G0010550-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GHLGSIELAGDHFVQEEVCANMQPPSTTTTTTEVWQWQCGTCRADWKMLRQVTITCCPHRHGGRCFQGQGTPPPPSPSAGNPAPHCRHHHHHHHHSQEEGTSSRALAAGAEGSNADAGRHATITTLNPAPPAVVAEVCWVPDPYLMVQQLREFESLNDELVALTVQLQEYAEEIHKSTKDDVNAGTGMNMNWLLALPANVRDVVILARDVIESFIAISTSAPPAN >Sspon.03G0036700-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:41461590:41466909:-1 gene:Sspon.03G0036700-1T transcript:Sspon.03G0036700-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGKSGKTVQSLPDTLSSLMGLNKYLTPSWIESVSHIIEELSPTKPKMKVMVQTAQNICPDDTESDAEVAKIHMLEIYMGNLRDLLVPGSKTQGFKKVPSLSIKTDPDGGIEIENLVAVTVNSFQEVKRLYEVGTRFRSTASTMANSTSSRSHCLIRIALTSFDAPERKRARNKLWMIDLGGSERLVKTKATGKRLKEGKAINLSLSALGDVIDALQTKKAHVPYRNSKLTQVLRDSIGCESKTLMLVHIRPNEDDLCETICTLGFATRVRSIRLENEEPQEVKARKEHLLMELEQEISDLEQECEGIIRKIKKLKETIEHFKGPQPSVETNFDISHPSSEELKTDMSKNTRNSKNQRDVSSRLPRFMKPTASSQHRIGLNKHIPVSNKTKPPVPPKRRPSSVYAESVRLPVNAATWQSECSSECSISMTSDMNWVPSIQDGTECSQDTSEYETKQVIFSEHEKPPQGQVISFTESAKTQDKTEEMGIIDIDSWIHQQIVENTGICHSERMLDIMNTASPVQKEMTNGSKLSQDDDSDLNLPTQNVEDIKETRALNQFTRTELYTPPSEELCSNVKMKEHKNEKLACHGSCRRSLQEELGNWKPEQPDKEPKAVPNMQPENKFHDNEHHIGKLTKFIRALRTAWIGALLGLGTMNLGLEQDFFKSLTL >Sspon.01G0017820-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:33973975:33976456:-1 gene:Sspon.01G0017820-1P transcript:Sspon.01G0017820-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLKRALDVEEEVVDGDEEELAGCPDAKRRRTFVNSSMQEAIGAQYMQRHLPKLEPFLRRVVSTSPREEEVHNVLIRHIDSANRLPLQLKTASKRYKLQFQGNLPQTLFTGNRVEAENKQPLRLVLTDAATNQTVTSGPLSSMKVELLVLDGDFNADERLEHTEKEFSESVVFEREGKRPLLSGEVIIVLEKGTASIRDISFTDNSSWIRSRKFRLGARMSRASSIEERVQEAVSNPFLVKDHRGEVYKKHHPPALADDVWRLEKIGKDGVFHKKLADFGIHTVQDFLRNLVMDQYGLRSLLGSGMSNKMWESTVEHARECVLDDKLYSYCSGHGIVLLFNCIYEALVVKLQQDAYKFPDRIAEFKVQSQSAAEQPPAAAVQPRGAVACARGADARPPRTVSSSLQQARWQLARRPCLLLNPQLLQHQQQPLSEALEDVLQSASAAHQLSPAEPWFPSFGAGGFDARDPFDVQFSGSQPCGLLLSSTGA >Sspon.07G0023970-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:19476566:19479042:1 gene:Sspon.07G0023970-2D transcript:Sspon.07G0023970-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLLVRNPASSCGGCAFLPAAAALRPPSATLSWRRPGCSRRSKRYLSLPRASTDGSGSGAAAAEASTVGDTLEREDGGGGAEAGVSAESSNRKQPPPVDPKIEKELKKAVQKTAATFAPRASTKTKNPAVPGTALYTVFEVQGYVSMLLGGALSFNLIFPSNEPDIWRLMGMWSIWMFTIPSLRARDCSNKEKEALNYLFLLIPLINVIIPFFVKSFAVVWSADTVAFFVMYAWK >Sspon.06G0006160-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:19600752:19602825:-1 gene:Sspon.06G0006160-3D transcript:Sspon.06G0006160-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARQRRPIGGARVAAPFVVVALLAALLPGLVAQDLAGDRLALLALRNALDSGRLLPWNTTEPSPCGWRGVVCSNQTQGVPQRVVELRLPGKRLVGTIPLGTVGNLTALQALSLRHNGITGGIPADIGNCGQLTVVDLTNNQFTGAVPEGFFSLAVLRKVGLSRNRLTGGVSQDFNRLKQLDTLFLDSNDFAGALPPGLYLPSLSRFNVSFNAQLTGPVPASLATMPASAFQGTALCDGPLLPTCPNSTPPAPPPASPSPGGGKKKPLSRWAIVGIIAGAAFVLLLIVGLVACLRRRQAAAAGRPADAAAANVHEATAPITVTLARTDRDAVKQSHAPPLAPVMISEAKKLVFLGKAPERPYDLETLLRASAEVLSKGQHGTTYRATLDGGEPVLAVKRLREVHLSENEFRNQATALGALRHDNLTRLRAYFYSKEEKLLVYDFVGAGSLSALLHGRSQLDFTARARIALAAARGVAFIHQGGAKSSHGNIKSSNVVVTATRDGAYVSDYGIAQITGAAAPPRRGAGYHAPEVTDARSVPQSADVYSFGVVVLELLSGRAPLHALPEGADGVDLPRWVRSVVQEEWTSEVFDAAIANEPRVEGEMMRLLQLGIECTEQRPDRRPTMAQVEARIERIVEDASRKADFSSTDGSRSVSA >Sspon.02G0046750-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2C:7418706:7420661:1 gene:Sspon.02G0046750-1C transcript:Sspon.02G0046750-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSPPGDNTDPQLDLLGSGVRGTVPIQRQQLPVLSGSASLPASGSSAAPPDSRDSASSPGLPQPDPGTGGTGATEAAPTSTLILPADLSAPGSSVTTAPVSDPVATPAQRPVTRAQRGIQKPKSYTDGTIRWGMLAASSTDEPRSLDEAFRDKNWCMAMESEHQALLKNQTWHLVPRPKGKNVIGCKWVYKIKRKADGSIDRYKARLVAKGFKQRYGLDYEDTFSPVVKAATIRLILSVAVSRGWSLRQLDVQNAFLHGILEEEVYMDQPPGYADKVHPGYVCKLDKAIYGLKQAPRAWYARLCNRLQSLGFTPSKADTSLFYYSRSDHSLFVLVYVDDIIVASSSQDATDALLRDLQKDFALKDLGDLHYFLGIEVKKSSDGLLLTQERYATDVLSRSGMDKAKPVETPLPTAGKLSLSDGEKLGSEDSTRFRSIVGALQYLTLTRPDISYAVNKVCQFLHAPTSVHWSAVKRILRYVRGTVKFGLQIRRSKSMLVSAFSDADWAGDVDDRRSTGGFAVYLGENLVSWTARKQATVSRSSTEAEYKALANATAELMWVQKLLTELQISHPPAARLWCDNLGAKYLSANPVFHARTKHIEIDFHFVRERVAQKLLDIRFINTGDQVADGFTKPIPVAKLQKFRHNLNLVSG >Sspon.01G0017050-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:61538175:61539219:1 gene:Sspon.01G0017050-1A transcript:Sspon.01G0017050-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor bHLH99 [Source:Projected from Arabidopsis thaliana (AT5G65320) UniProtKB/Swiss-Prot;Acc:Q9FKQ6] MALLDAVVHPSQPQGHFGYGHWDFSYGPPFLSDATGGYGDSHVPYAGDWDPFLLASSLVHDAQEWGQVVGSNKALPEREVVQSSAIEPPSSPVTTTTTTKRKRRRAKVVKNEEETESQRMTHIAVERNRRRQMNEYLAVLRSLMPPSYAQRGDQASIVGGAINYVRELEQLLQSLEVQRSLKEHSGNGKSCNPFAAFFSFPRYSSATSASHRGSGNHTTMEESSTSAGSSRSPASVTTDIEASMVDGHASVKVQAPRRPRQLLRLAAGLQQLGLTTLHLNVSTAGTMVMYAFSLK >Sspon.04G0030110-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4B:75686695:75687784:1 gene:Sspon.04G0030110-1B transcript:Sspon.04G0030110-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIRSRKRKASTTPAEANAIPVPELSDEMIVEILVRLPVKSLLRCRAVCKAWRAIVNDPLFVSAHLWWSAMRWEQEPSFLVTPVTRDRVIPWPWEDMKEGGWPTTFSNQIRFYGYQWQWQQSASDYGHGQQQTASHRNNLRHAPRTCHCVGLGLDPRTGKYKVVQAFYRPVDTFPGIYGIQMGMEVFTVGGGDYEDWTETTEDPPCPLQRWQTGVTVKGFIFWSVDTVQFDEPIPRGLVRLSLADEDFDVIDLPGSLRPAHDDFTLDALHGQGELCLTARTSDVSVTIWTTTACAMASGSRATPSLRAPASLPPDGSCCRRQPNAAM >Sspon.05G0020570-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:87099497:87102590:1 gene:Sspon.05G0020570-1A transcript:Sspon.05G0020570-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADDGAGRCSTLRVARPPRHRYMPSSKEHCEARDGLLSKIESSYTEAQHRLAVRGRSVTLSRFLDAGVCIGLLDPVSNIMVNAICTSDRWPDLQEKVLASGSVVDDDEKLAEMGRLSMQGLIAFLVYLFPYLAEWEAIRYLLLADADLLCAARTIVANRGMLLRFSLNSPASAPSVEAALALAAQVSKHPQPKQLVRVWMLLCSRLHQAFSLLSEVDSHSPLRELERLKTMLDDPAAANLNIPWHLAASRPPYMNAIAKMPYQHTRSLRMVLLDTIHGFYLQALARLPRGELRSHLHRSLLRAGHCYGPMDPVSNIIYNTIWYHANFPAAVTPVLDVIGPDSLTRLESRSFYGLVSFIQTRYHHLSEHQAVQCLIAACGQLSLADPKLMSSAEQGKGEQRHHCMWNHYDEVIRNVEQQSPCAGVQEAYMAAAIAAWHPNPDEQATFLASWKGDPVAMNQLTSEDVHRWSKLMSPQQAPTPERVCKSGYPVVAGKMRSEAQQRRVSRKVKAALRKHLLEDGKPAYDLHIISCVNENVGGPEYCEEIAEDCLSFAPCRYLYTHVNFLATQKDSLSNTSYPTLFFAEFDNKKKDGAPLVCCPVHEPIAFAAEHVRCLYCEAAGARVVHPTSMEFHGGGEEFEKVIRGEHSLSNSWLICKNNFAVERMCAVEEDFMYVDVNETD >Sspon.07G0001350-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7B:1988228:1988488:-1 gene:Sspon.07G0001350-2B transcript:Sspon.07G0001350-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGQRTWPAFKSLPLAGTVVLPSVRRRNRLRQLLPKERLSADGFDVLKRLLSCNADKRPSASAALRSPWFTKDIDSTAAAAAKTVQ >Sspon.02G0051510-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:79784259:79807203:-1 gene:Sspon.02G0051510-1T transcript:Sspon.02G0051510-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPACSLLSPHAPRRILPLLLLLRLSSSAVSAPALAMPRRDGTKPPPRKWKPEATDVSYSSSSSAAAEITEPVRKMTLASQAPPAGAGPGPGPGPAQLWVPRGYTTSAGDGPGVASASTSTSGTATAERDGVVSEKLSRLFKAAPGFEVDNSTFTEAQIRATFYPKFENEKSDQETRTRMIEMVSHGLANLEVTLKHSGSLFMYAGHHGGAYAKNSFGNVYTAVGVFVLGRLFREAWGKEAPKMQAEFNDFLEKNRVSISMELVTAVLGDHGQRPKDDYAVVTAVTELGNGKPKFYSTPEVIAFCRKWRLPTNHVWLFSTRKSATSFFAAYDALCEEGTATPVCKALDEIADIAVPGSKDHVKVQGEILEGLVARIVPRQSSTQMEEVLKTFPQAPLDGGVSDLGPSLREICAANRSDEKQQIKALLENVGASMCPDHSDWFGNGGLDAQSRNVDKSVVTKFLQAHPADYATKKLQEMIRLMKQRHFSAAFKCYWNYHKIDSLSNDNLYYKMVIHVHNDSVFRRYQQEMRKNQGLWPLYRGFFVDVNLFKANNKKAAELAKDGDALLKNINGALDSNSSTVDGLADEDSNLMVKLKFLTYKLRTFLIRNGLSTLFKDGPSAYRAYYLRQMKNWGTSANKQRELSKMAVYIRRKYGNKPLSSSTYLSEAEPFLEQYAKRSPANQALIGAAGNLVQTENFLAVLDAERDEEGDLRADHGAAPSSPVSTSVDVVPKTEGLIVFFPGIPGCAKSALCKEILNTPGGLGDDRPLHSLMGDLIKGRYWQKVADERRKKPARITLADKNAPNEEIEDMCGSTKAAAVPVVPDSEGTDSNPFSLEALAVFMFRVLQRVNHPGNLDKASPNAGYVLLMFYHLYDGKSRREFENELYERFGSLVKMPLLKPDRAPLPGAVKAILDEGISLFRMHQSRHGRAEPSKGSYAKEWAQWEQRLRVTLFGNADYLNSIQVPFDYAVKEVLEQLKTVAKGDLKTPDTGKRKFGNIMFAAVRLTPPDILSLLHKVAEKDTAVNSFLNKIRLEDNLKKAHVTLAHKRGHGVAAVASYGIYQHQEVPVSFNALYYTDKMAALEAQLGAVNDEQINSRNEWPHATLWTAPGVAAKEANVLPQLASEGKAERVPIDPPITISGVVDFY >Sspon.01G0003800-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:10732828:10734093:1 gene:Sspon.01G0003800-1A transcript:Sspon.01G0003800-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQQRTLVYSFVARGTTVLADHAEVSGNFASVAAQCLQKLPANNNRFNYNCDGHTFNYHIHEGFTYCVVATESAGRQLPIGFIERVKEEFSKKYSGGKAKNANANGLKREYGPKLKEHMRYCDQHPEEIDKLAKVKAQVTEVKGVMMQNIEKVDLSFYYSDDYPLLVLDRGEKIELLVDKTEDLRSQAQDFRKQGTKIRQKMWWENMKMKLIVFGIVVALILLIVLTVCKDFNC >Sspon.02G0041860-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2D:73546569:73552795:-1 gene:Sspon.02G0041860-2D transcript:Sspon.02G0041860-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPPSPTPSNRAAPPLRPPDLPLPSALVPPKKRRVLRTPPKATTPLPPPPPVPVAVAVAVPTPPTQADNAESPLFSAQKPSPPPPAEKPSSPPPPPPPAKKPSSPPSPPPTPAENPSSPPPPPPPPAEKPSSPPTPPLAEKPSSPPPPPPPAEKPSLPPPPPPSAVDEKPSAPPPTDTAAEPAPAAKPRKITRKVRTIRRRVPKGTIAARKAAAEAASAAAGALQPGKVRAPDKSSRNAAPAADEVVQKEQSFGGDTIEKPATTCNPTAVCETLLGKGTAVCETLLGKGTVGGGTLASGPATDIGGEHEVEKKLRSRGEDRAEAGMSERQRRRMTEVFVGGLNRDATEEDVRAALSVAGEITEVRMIMDATTKKNKGYCFVRYCESAQARKAIAKFSKVKICGKQCRVAALDGNDKIFLGNLDKKWKKDDIMKLLQKIGVENIDVVTLLADSNNPGYNREFAFLELETYKDAQIAYKKLSRKDVFGKGLNIRVAWAEPLNGPDEKQIHKVNIRVTLAKSVQKGKKNMEDHKFCISGRDKTKTAKSERILGPSSLHILPSRSRAVPITGDKKSSTDHDFVQVLRDLAPWRHEHTGSDSDSDSDSVLSEEPWRGIQNWKELGVWLVRPRSFLADWPVPGPVPAGMLRPVFNPDVNGNVRSFRPDLEPKSARNQNWSRFSSSPRPGTEPDLLPSGSVPAHASTRRLELLSRGDGGAARGDHGAVRGNCGAAPFPFLVLLAGPFPWSLQQPSPSSVAVFPQDPGFLPSAACPICSAVAWLPLLVVFPAFVVLLQ >Sspon.01G0007450-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:32461201:32464121:1 gene:Sspon.01G0007450-2B transcript:Sspon.01G0007450-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGPWAATATTCIFLAAAVTDWLDGYIARKMQLGTPFGAFLDPVADKLMVAATLVLLCTKPLETSLLNDGPWLLTVPSIAIIGREITMSAVREWAASQNSKVLEAVAVNNLGKWKTATQMTALTLLLASRDPSLPAQGALVAPGVALLYVSAGLAIWSLVVYMRKIWRILLK >Sspon.08G0001370-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:4768369:4771614:1 gene:Sspon.08G0001370-1A transcript:Sspon.08G0001370-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Novel plant SNARE 11 [Source:Projected from Arabidopsis thaliana (AT2G35190) UniProtKB/Swiss-Prot;Acc:Q944A9] MEKQQSSASGHGISITRGHSIKQVHHAIGQIGDILRALQNGFQKLEKIKDANRRSRQLEELTDKMRDCKRHIKNFERVSKDEAGHTDPATAKMLHDRKQSMCLVLNIKELNSYVALKKHFFFSDMTNQQLMDQGNQLIDETDQAIARSKQTVQETVNVGTETAAALKAQTEQMSRVVNELDSIHFSIKKASQLVKEISRQVATDRCIMAMLFLIVAGVIAVIIVKIVNPHNKDIRDIPGLAPPVSRRLLR >Sspon.08G0010650-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:46922462:46932811:1 gene:Sspon.08G0010650-1A transcript:Sspon.08G0010650-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADFFFGSPFRRLFHTRPFPAFEWSSGAAAMDWVETPASHVLRINVPGLGKDDVKVQVEEGNVLTIRGAPPAAKEKEKDKGKGKGKEDEEEGTVWHVAERGRPEFARAVALPENVRVDGIRASVENGVLTVVVPKEAAPARPKPRPIASEAKAMMAWPSHRVHAAAAPQLRIRIRPPQLQQILAWQMNYRSVLHCGHQPNMPQRRQIAVTNQTLQQLAENADAAEQEPIRRPQDETGRESETEPILPRKEVFFSYGATEEHQPESSACPAEDPLSEKICFLIPCGHCFTCFTCARRYACFSSFVMGIRKQGLPDLSKADPQRKKTREPLDRYRWAVQKDKIKQEKKEQKDKIKLEKKEQKEKIKLEKKEKQKELRERIKQEKKELKEKQKLEQKEKRNKKQKELYKKDQKLQNPIPPSSHPSHSSPPLPSAAASPPPPHPTPPLHQHSLLPHRQHPDPTAGGAATLGSALPSRRRMQPSTDRRRRGGPVATSSRSEWRPRPSPAASAPGPAAGPDAAVPILPLPTLASEARRMQPSTDRRRGGGPVATASRSDPSPAASAPGPAAGPDAAGPILPLPIPASEARPSHRRSRRPNHGNNGNNHSHRSAPPQEQNDNARYRRRGPPPERPAPAPTASARERAPSPAAAARGDGAVPQLVLEIQDKLARGAVECMICYDMVRRSDPIWSCGSCFSIFHLPCILQWVRSPASAAVASPAAHPASPSWRCPGCQSVYATLAHDLAYNCFCGRRRDPPNDHFLTPHSCGEPCFKPLERAEPPGAKGEDVDATRCPHVCVLQCHPGPCPPCKAFAPDQTCPCRKQIIVRRCADRSTPMLPCKRHRCEKVCHTGSCGDCAALFSARCFCGRKNETLLCGDMMVKGKLSEDGCELMPGICELMPGICNTVTASADLGTEEVGAWPRSMGDSHWLQMSVYFDSISKPACDGTSPAHGDDRLPLRWWRPAAR >Sspon.05G0030150-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5B:87528964:87531351:1 gene:Sspon.05G0030150-1B transcript:Sspon.05G0030150-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSHLSISAAAVIFIVSVVLLLNSHVGSCSCYKRIFGFGDGTMDTGNFVHMLGKAPSRLKELPYGKSFFKNATGRMSDGRVLIDFYDARKHFLGDSDLVLMGEIGGNDYYAYFNAGNKPHGNAADEHITNVMTYIMHFVEKHNQRLRWEVNRLRSFYPDVKLIYADYYGATMEFIKNPGKFGIADPLVACCGGDGPYHTSMECNSTAKIWGDPGRFANWDGMHMTEKAYNIIVQG >Sspon.05G0015060-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:51165809:51166096:-1 gene:Sspon.05G0015060-2C transcript:Sspon.05G0015060-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTICLLLSCSSEAKLCTKCCDADDDSAEDPVFKFSHDSDKRYLIVLPLRTMQEPSLFISPRIPPKGSLEPSAPTWTTITYSVIVLAMCKYILFAI >Sspon.06G0029530-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6C:24396371:24405447:1 gene:Sspon.06G0029530-1C transcript:Sspon.06G0029530-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATALSLGKAVLDGALDYAKSVLAEEIALQLGVEQDVAFITDELEMMQAFLMAADEEQDKHKVLLTWVKQVRESAYNVEDSLMDFSAHSDRRPSCWWCVPRALWERRDIAKEVKELRTRVEEVSSRNLRYRLIKGSGSRAITTAAEEQASIASAAMSGINEAMRTAMGQEKPMVDLCQLINDGDEDLRVIAMWGESSDDTGMISAIQKVYDDSTVNANFGFRAWVTIMHPFDPTEFIRSLVRQFYENFPEKLDETTHRRKTIGASAYMKMQSMTQSEITDVFDTQVSDNSYLVVIDDLSTIMEWNCINKYFPNNKMGSRIIVPTPQFRIANMCAEKPYQISELKQPSSHPTIYLFHKKVVRTSDSSTVSTTKIETISARSNNEIQEEEEQQPKDEGRDKAYDSSAGNKFERSKTMALVDEVLLGRKTEKSKLIELVRQPGDKQVISVWGMGGIGKTTLVQSVYRSPELGGWKRAWATALRPFNPDLLIRRLAMDLLGLSKETSQMELKELTQKLIKLLNEDKCLIVLDDISSITEWDLVKSCLENAGRIIVTTREKNIAKHCSKEEKNMCNLSGLKENLALELFKKKVSKDHAEEIELGSDMMEQARKIVKKCDGLPLAISTIGGFLATRPKTATEWRKINDRIGAELEISPELRTIKTVLVRSYDGLPYHLKACFLYLSIFPEDHKIRRKPLIRRWVAEGYARQMPGMTAEEVGDKYFEELLDRSMILQGDEVNIYSGKIGSCQLHDIMRQICISKAREENLSFTLEGECGLSSSNMQGAVRHLAISSSWTRDKDVFDRILDLSHVRSLTTVFGEWRLFFISKKMRFLRVLDLKGMQGTKSHDLNQIGKLVHLKYISLRGCSGFENLPNSWGSLKNLQTLDLRGTLVGILQSNITNLRKLQFLRADYVLIPRGIRKLNALCTLAYVRVHEKEEGTLKELSQLHQLRKLGIEGITGTNNKEFWSAIASLNLLLSLSVNWTSFLDYFVNWNSDGSLELDSSLGGSVLPPRSIESLKLCSQVVRLTEWIHHLQNLSRLHLLCTELQQDAIEAVGELPHLAVLVMLAYSFKGEELVFKQLASFPSLVLLDLGWIGNVLAFVKFEDGTMPKLELLRIYGWKELQELSGLRNLANLKEIRLKGFGGCEQFKDNVQEQLQAAVAGNPN >Sspon.04G0022590-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:7566481:7568436:1 gene:Sspon.04G0022590-1B transcript:Sspon.04G0022590-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTPVSRYRLRPRSVRVAVSEIPLATRRTARQPQPVPAAADATTEPAIPSNFLCPISLEMMRDPVTAPTGITYDRDSVEGWLERGHSTCPVTARPLRAEDLVPNHATRRMIQEWCVANRALGVERVPTPRVPLSAADAAELLAAVSAAARPRDAPACRQLAARVRALGKESDRNRRCLAAAGAARALSSAFSQHVDQPALASSLTTSGGALDEILAALVVFFPLGEESRSHIASPASLNAVVSILSHGETTAKASAAVVLREIASSSDPECLGAMSETSGIHNALISLLQRPVSPQATKAALVTAYYLVTNSGLAASRLVDLGMVELLVELLVDADKGTTEKALAVLDSLLLTEEGRGKACAHALAVPVLVKKMQHVSDMATEFAVSALWRLCKSFSSEGPCKAEALQLGAFQKLLLLLQVGCMGVTKERASELLRLLNASRDGVECIETTSQEQTSKGFENKTMARDTSS >Sspon.02G0023930-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:81577511:81584953:-1 gene:Sspon.02G0023930-1A transcript:Sspon.02G0023930-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to cDNA clone:J023038F18, full insert sequence [Source: Projected from Oryza sativa (Os07g0160100)] MSAQFASEHACYVNCNYCNTILVVNVPNSCSHNIVTVKCGHCTMVLSMDLSPFHQQARTVPDNQIVQNRGFQYNNFGSYEQASSRNLRTPSMYPVSNNQPQVPPIRPPEKRQRVPSAYNRFIKEEIQRIKMSNPEISHREAFSAAAKNWAHLPRLHFGLSVADGGGGGGSN >Sspon.01G0050610-2D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8D:8249288:8250061:-1 gene:Sspon.01G0050610-2D transcript:Sspon.01G0050610-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding CEGRHSLRSELNTEDAGHHHGVEFVPHSASSEKRLFQNIQLLDSSGTPFPTPLVLRDDMQTPGTAYASHRGTSISGKRVRTRKQFIYPVLRSIENRLRQTELIEDSSPLASSLKGRNLEADSIKDPTQISSTSVVKSGLSETPSYSAPDADASDEVKEALSPDELLDGKGLPKSNSDEKNDALSLSRWLQSSSADAENQGDVKCSAGDQSYDNCSFVTEKPVFMASDLNLDTDNPTPRLPNAWDGNGIPNTTTRYKE >Sspon.02G0018830-2P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:60420327:60422509:1 gene:Sspon.02G0018830-2P transcript:Sspon.02G0018830-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VIKLTDFGTPGADFNNILYLREIDDADKLVAAIQAKKGGKAVVVGGGYIGLELSAALKINDFDVTMVFPEPWCMPRLFTADIAAFYEAYYTNKGVKILKGTLAVGFDADANGDVTAVKLKDGTVLEADIVVVGVGGRPLTTLFKDQVAEEKGGIKTDAFFETSVPGVYAIGDVATFPLKMYNELRRVEHVDHSRKSAEQAVKAIKGKESGEPVPEYDYLPYFYSRSFDLGWQFYGDNVGETILFGDSDPTSSKPKFGSYWIKDGKVLGAFLEGGSPDENKAIAKVAKTQPPVANLEELKEGLQFASKI >Sspon.01G0032750-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:113522651:113530085:1 gene:Sspon.01G0032750-3D transcript:Sspon.01G0032750-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLAAAAAAPPPATDLFGEPIEAHPPWFKPDAFLRAGFDPDAYVAELRSYVPLESLAAELRAHLAALRAELVGLINRDYADFVGLSARLKGVDAAAARMRAPLADLRDKVAAFRAGASASLAELRAGLEQRAAAAAARELLELLLDTSHVVSKVEKLIKELPSAPSDSSNAEVPNNDTGMPNGEAGTGVRETQSILLERIASEMNRLKFYISHAQNLPFIENMEKRVQGATKLLDGSLERCFVDGLEHRDAKVIYNCLRAYAAIDNTSSAEELFRTTVVSPLIQKIVPQNYARAVAGASSDELEDDYQQIKECVEKDFKFILEISSSENSGLHVFDFLGNSILKEVLSAIQKGKPGAFSPGKPKEFLRNYKASLGFLDFLEGYCLSKSAVTKFRSEPAYTDFMRQWNVGVYFSLRFQEIAGGLDSTLTNTFSPTGSNEAQGKPLLLKQSIKLLESLDSCWSDEVLVFSHCDKFLRLSLQLISRYTTWLSSGLSARKASDGSPNSPADAEWALSIPIEDFIYIMHDVHAVIGELSESGSFIGHVNQLLGSCPIEVLNLVKQSILQAVEPLKELLPAIMNVMIGIIVKKSNEDLKHLKGITATYRMTSKLPVRHSPYVSGILHPLKARKTESSLQRLRQGAQRRVGASTDASDNIISDTDKICMQLFLDIQEYARNLRAIGIDAREIDFYRALWQCVAPKDKQENLQCGGRHSTVSFG >Sspon.02G0004650-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:9709789:9712929:1 gene:Sspon.02G0004650-2D transcript:Sspon.02G0004650-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGANTTAAVCPCPEYAEVDPTGRYGRFSDVLGKGASKIVYRAFDEYQGMEVAWNQVKLHDFLQSPEDLERLYCEIHLLKTLKHRNIMKFYTSWVDVSRRNINFITEMFTSGTLRQYRQRHRRVNIWAVKHWCRQILSGLLYLHSHNPPIIHRDLKCDNIFVNGNQGEVKIGDLGLAAILRKSHAVHCVGTPEFMAPEVYEEEYNELVDIYSFGMCVLEMVTFEYPYSECTHPVQIYKKVISGTKPEALYKVKDPTVRRFVEKCLATASQRLSARELLEDPFLQGDDVAVSLDGGDYHVPSNYIRQPSYLGHTYSNGSMMSNGFSESIDEDALSEDCEDDDMKGQDGIDLFQENEDEPLGNVDITIKGRKSEDGGIFLRLRISDNDGRVRNIYFPFDVEADTALSVATEMVAELDITDHEVTRIADMIDGEVSALVPDWRPGPGIEEAPDTSYCHNCGSNVSSCGSLYAYMSLGRQGCQCAELHGRFEEITFQADGEQCDLQESAGSSDDGGGQTEHYVKSKESTHVNGLVQMGGRDLSSQLCFSSFQEQSCSSNHYENDTNHHTNGFDMKHEVKIAKYKARKMAQLKKAIHPSLDFDNAYGVNRMKPSLNKLQSFHIGKNHSFRVPTSPGKASTDCHSDLNSQVWHSRHPDPGAQRARHCEVNAVGSSPDYMFTARRYYTGAQLPPNLPRTKSVPPLSAVDA >Sspon.01G0055610-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1C:81914021:81915855:1 gene:Sspon.01G0055610-1C transcript:Sspon.01G0055610-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGYIESLQRLGFPLDDDLATDAILQSLLASFESFIMNYHMNGLKKTLTELHGTLKMTEVSLRKALGHVMTVQKGKKRKRPAKAKKPAERHWSRNCKKYLEEKKKNGGATFAQDINGLKIIKRFARDDVDLCVGNGAKVAVLAVGTYSLSLPSGLVLELNNCYFVPALNKNIISASYLEDEGHIGKKRIERLHKDGLLDSFDYESIETCESCLLGKMTKAPFVGQSERARYYFYNRQENKMFVARNAVFLEKEFLSKEVSGSTVRPKEVRETQENVPVFIDEEVQ >Sspon.03G0017350-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:78442208:78447736:-1 gene:Sspon.03G0017350-2B transcript:Sspon.03G0017350-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPPHAEEAAAAAGAGGGGEGGGGSPGTGLEGPMLRLGLDGGGEEGEDGELGAGEEADARLPERPGEADCGYYLRTGACGFGERCRYNHPRDRGGTEFGGGAKNGAAQDFPERQGQPVCEYYLKTGTCKFGSNCKYHHPKQDGSVQSVILNNNGFPLRPGEKECSYYTKTGQCKFGSTCKFNHPEFGGIPVAPGIYPPLQSASVPSPHTYAPNWQMGRSPAVPGSYIPGSYTPMMLSSGMVPLQGWSPYPASVNPVASGGAQQTVQAGPLYGMGHHGSSTAIAYGGAYLPYSSSTGQSSNNHQEHGFPERPGQPECQYFMRTGDCKFGTTCKYNHPRDWSTPKSNYMFSHLCLPLRPGAQPCAYYAQNGYCRYGVACKYDHPMGTLGYSSSALPLSDMPIAPYPIGFSVATLAPSSSSPEYISTKDPSINQVASPVAAPEHVGAILPKGVFPSDTIMRTQTSTSVGSSSPGGGR >Sspon.03G0006190-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:21674627:21682086:-1 gene:Sspon.03G0006190-4D transcript:Sspon.03G0006190-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPDSARKRLALLALLLLAAPACPASAAPVEDGLLSNGDFETAPAGGFVKSASVAEGASSIPGWTINGTVELISAGQHQGGMILIVPQGDHAVRLGNDASVGQVVDVEKGSEYAITFSAARTCAQLEALNVSVLGGVSQTVDLQTLYNIEGWDAYALAFQATEEQAHLQFMNPGMEDDPTCGPILDNVAVKKLFTPDKSKDNVVLNGDFEEGPWMFPNTSFGVLLPTNLDEQTSAIPGWMIESNRAVRYIDSDEYKVPQGKRAIELLSGKEGIISQMVETTPQKVYSLTFTLGTAGDSCQPPMAVMAFAGDQAQNFHYSPMGNATSQAANVTFTARAERTRVAFYSVYYNTRSDDHSSLCGPVIDDVRVWGLNAATGLKASIGLVLGIVGAVGMEKYYGLLYELLQLDLTSTAQQKRQTLTSRMAGP >Sspon.05G0000710-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:2635889:2641855:1 gene:Sspon.05G0000710-1A transcript:Sspon.05G0000710-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALKPKSETQRLVTACIMDHPRLKSSKQKHFLCITRSIATGELNFISTEPLELHGPSSTQHVNASDIGAPASSMNHLSTSMDDHRASSEPIDLTEAEPTSSVCSGQKGSGSGKKRSIVGVLQGYLDHRIKQSKTFVDALDETSKHTGDYSIKNCMDLLKSIEELSDLEKAQATSIMKSEVNREIFINFKNPTVRLLWIKGETAPKRPDHESMAAIIPKKLACRGQFASRGIMIGTSNTTLFSTLRVCCSRRNAQLDACDTSLLCSSRSASPSSTSPGPPFPCAMLTPPPPNSDSISSSALPLVSGTHRCTNATDSAETAANAANAPATVTARQMERNDMATAPLAIRLSANPTATACARSRSG >Sspon.08G0023570-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:56658110:56659554:-1 gene:Sspon.08G0023570-1B transcript:Sspon.08G0023570-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHAVDPSLNVTSSWVPLSHSYGPCSAASDSSSPKPVDVLLQDQRRAEYIQRKLSDDDDIPGSEQLIMALEPAATGGQRRPPGVKQTMVLDTASDVAWVQCAPCPAPQCHPQTDVLYDPSRSSTYSPLPCSSPTCRQLGPYANGCTPSGQCQYRVVYPDGRSTMGTYISDTLTLNPTSTITGFQFGCSHAVQGHFPNDTAGIMALGRGAQSLATQTKATYGDVFSYCLPRTPSYGGFFILGVPRVSASRYVLTPMFGVPQAPMLYLVRLQAIVVAGQTLSVPPVVFAAGSVVDSRTVITRLPPTAYMALRSAFRARMTAYRAAPPKNQLDTCYDFSGVGSSIKLPRITLVFDHSAAVELDPSGILFADCLAFAPNSDDRALGVIGNVQLQTYEVLYNVASATMGFRRDAC >Sspon.07G0025140-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7B:37780045:37783497:1 gene:Sspon.07G0025140-1B transcript:Sspon.07G0025140-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SPNKTPPLGHCPAGAASATLTTARHILAAVAGGDAPAPPMAADWSWARRAWEKWAAKHIGPSGKPVQAALLLNYDPSGPSRLLPVIAEQERTQLSALDMQPFLDFVKRGNLQTEFFSVGPNQWELDIEGERAITIDAHPPTLVL >Sspon.02G0015390-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:36205613:36206348:-1 gene:Sspon.02G0015390-4D transcript:Sspon.02G0015390-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCQAAEVAAVIIQHPGGKVDRLYWSTTAAEVMRNNPGHYVALVILRVPADDNKAAAAGDAAAASAGGGGAKITRVKLLKPKDTLLLGQVYRLITAQEVTKALQARKNEKMQRCEAIRQQHEQLRRGDGADQSSSNQEKQLLIPPPRCLSLQDGKQDKDRHRGRGRHWRPALQSISEAASQSSSSFSESATS >Sspon.07G0022430-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:8076527:8077063:-1 gene:Sspon.07G0022430-1B transcript:Sspon.07G0022430-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLQPCPSPTARPSIVSYHIMEEFEEAEILWPAAGSDDRGNSQGDDDGNGGEAAPMPCSVRPEAAAPRPAAAAPVEISRRKRRCRPWPASEYYTTTFDEETDVADDDEEGRCTDDAKGTTNDGLVIVPPHVLLARRRLVVGGRTAAYSMCAGKGRTLKGRDLRDVRNQVLKMTGFIEE >Sspon.06G0011560-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:50430961:50435003:1 gene:Sspon.06G0011560-2B transcript:Sspon.06G0011560-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASAAEVRYGIVGVGMMGREHLHNLAHLAAEVEREQSVRVRVTGLADPHQESLRLGLQLAAELSLPAPQVRFKTFSGHRELLDSGLCDAIIVSSPNMTHYKILMDIISHREPHHILVEKPLCTTVQDCKKVIEAAKQRPDILVQVGLEYRYMPPVAKLIDIVKSGTLGQVRMVAIREHRFPFLVKVNNWNRFNCNSGGTLVEKCCHFFDLMRLFAAANPVRVMASGAIDVNHKDEVYDGKVPDIIDNAYVIVEFDNGSRGMLDLCMFAEGSRNEQEICVVGDIGKGETFVPESIVRFGKRAEGRDGVVTIMAEDERIKYQGLHHGSSYLEHLNFLSAVRAQGASGPSVNLSDGLLSVAIGVAGQLSIEKGRFVTMEEVLGL >Sspon.02G0050410-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:60776737:60778225:1 gene:Sspon.02G0050410-1C transcript:Sspon.02G0050410-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLPAAPASVGVFLLLLFLFLSTTRKRPVKWIWPRCPEQETPAAPEQEMLAPPLPPPPPTILLDPSALPRAGDASSSRAGDVDAAPAAAAHEPARPVRGASHPRYPCHPREGAACLQCPCRPWEGPPERGEGPPEGAAEGRDGAEEVAGVEE >Sspon.01G0009330-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:29667732:29671058:-1 gene:Sspon.01G0009330-3D transcript:Sspon.01G0009330-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MANPSFLVGILGNVISILVFASPIATFRRIVRNKSTEDFRWLPYVTTLLSTSLWTFYGLLKPHGLLVVTVNGAGAALEAVYVTLYLIYAPRETKAKMGKLVLAVNVGFLAAVVAVALLALHGGARLFAVGLLCAALTIGMYAAPLGSMRTVVKTRSVEYMPFSLSFFLFLNGGVWSVYSLLVKDYFIGVPNAIGFVLGTAQLVLYLAYRNKAAPAPARKDDDDEAAAASGDEEEGLAHLMGPPQVEMMAQQRGRLRLHKGQSLPKPPTGGPLSSPRHGFGSIIKSLSATPVELHSVLYQHGLGRGRFEPVKKDDVDANH >Sspon.01G0058710-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:33062403:33063545:1 gene:Sspon.01G0058710-1P transcript:Sspon.01G0058710-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNILEGVAKLAGECLRMERDRRPEMIAVAERLRRLRKASLQGQKRRGWFSWATKSLPPAPPSPELYRKFSFAEMKAATQNFDKSLLVGKGEFGRVYRGMIDGSGTNMVAIKCLKRRHTVKIRSMLRHRHLVPMIGYCDEDEMILVYEYMAHGSVRDHLFETHNSPLTMKSPLSWKQRLEICIGAARGLHYLHTCAEKAIIHRNVKLTNILLDNEWVVKISDNIETDPITDTICNAHGNIYDPEYDSTGRLTEKSDVFSFGAVLLEVLCARPFLGKDCLLHWAVRCKEEGNLHQIVDCHLKRKMDPHSLFKFVETCENCLANRSTGRPSMADVIADLEYALQLQVSAEAGSRVGDAMSLEVDSNKDSMAWWYQSTSSSGSS >Sspon.07G0017630-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:63484946:63490345:1 gene:Sspon.07G0017630-1A transcript:Sspon.07G0017630-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRHLHRSLRAIHCLPATGSAACNPAPLHRLWDSHIEDTDIIIDRIRKSTRKLEEGPVGKNMSSAEKRKFLINTLLSLEDSREAVYGTLDAWVAFEQDFPLASLKQALSVLEKEEQWHRIVQVIKWMLSKGRGNTMRTYELLACALEKDNRAEEAHRIWQKKIGHDLHSVPWRFCRLMLAIYYRNNRLDRLVKLFKELEACGRKPPSKDIVRKVEDAYEILGLVEEKKALLDKYKDLYNKPSRNDRKKGSKSKKTETDKTSADGSKESKTETSEDLEDHSFPLDEKSDASAES >Sspon.04G0022960-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:14018416:14020139:1 gene:Sspon.04G0022960-2C transcript:Sspon.04G0022960-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSERKRGAGTRKDEVVTREYTINLHKRLHGCTFKKKAPNAIKEIRKFAQKAMGTTDVRIDVKLNKHIWSSGIRSVPRRVRVRIARKRNDEEDAKEELYSLVTVAEIPPEGLKGLGTKVVEDED >Sspon.08G0011580-1P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8A:6094609:6095256:1 gene:Sspon.08G0011580-1P transcript:Sspon.08G0011580-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAYGLDVECPHIFDGTHFARWRNWMTCNFKFISPQLWWIVDVGFSFAIDKKNATQAQSKCLHLDSQATNVFYRSMDSSILYEIIDFKSAHEIWVFLNEKYGAISNDDEPKEKAHEDVEHDHNKVVVEDCSTSRSSDDKNDHITRSLDKNDDNATSDASNDSTSCTLDGEDDGYESDASTSSSISSHGTLRYLLVVLLLIVMVQILSFYINSQRP >Sspon.08G0012380-1T-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8A:53156752:53156988:1 gene:Sspon.08G0012380-1T transcript:Sspon.08G0012380-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRRNAQRHEGGAVDVDNIDNRPVPSSDGRALHRELLGGRNTTRKLMCREIGQLRGRMPPRPDVNFCTEKFRRFKLQV >Sspon.04G0018190-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4B:69090680:69093583:1 gene:Sspon.04G0018190-2B transcript:Sspon.04G0018190-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SCTEQESDSLLHFLAGLSQDGGLTKSWQNGTDCCTWEGITCSPDRMVTDVLLASRNLEGGISPFLGNLSGLLRLNLSYNLLSGVLPLELVSSSTIIVLDVSFNQLNGGLQELQSSTPLRPLKVLNISSNLFTGQFPSTTWEVMKSLVVLNVSNNSFTGQITATFCVSVPSFAVLELSYNQFSGSIPPGLSNCSRLTSLSAGDNNLNGTLPDDLLHIALLEHLSFPNNQLEGSIGDVSKLQNLVTLNLEGNGFSGGIPDSISKLTRLEEIYLGNNNMSGELPSTLSRCTKLQIIDLKSNSFCGELTKVNFSNLPNLKTLDLMGNKFNGTIPESIYSCKNLTALRLSFNRFHGQLSGKISNLMSLSFLSLVDISLTNITSAFQILKSCRNLTTLLIGLNFKHEVMPEDDRIDGFENLHVFSITGCSLYGKIPPWLSKLKSLEVLSLSNNLLSGSIPDWIRNLNSLFYIDLSNNSFTGEIPTALMEMPMLKTGSVTPEVFELLVYKLHTPQSLQYRIPSAFPKLLKLGNNNFSGEIPKEIGQLEGLLSLDLSFNKLTGEIPESICNITNLQVLDLSSNDFTGTIPAALNNLHFLSQFNVSNNDLEGIITTAGQLGTFPSSSFDGNPKLCGPMIVNHCGSAETPQVSKKQQNKKAIFVLAFGVSFGGISIMFLLACLLLLFRRTSFMARNRSNNKDAIEAIPSNFNSEQSMVIVPQRKGEQNKLIFTDLVKATNNFDSENIIGCGGYGLVYKAELPDGSKLAIKKLNSEMSLMDREFSAEVEALSMAQHDHLVPLWGYCIKGNSRFLIYSYMENGSLDDWLHNRDDDASTFLDWPMRLKIAQGAGQGLSHIHNVCRPHIVHRDIKSSNILLDKDFKAYLADFGLARLILPNKTHVTTELVGTLGYIPPEYCQGWVATLRGDMYSFGVVLLELLTGQRPVPISYKSKELVQWVHDMRSQGKQIEVLDPALRGT >Sspon.06G0002470-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:8092621:8097626:1 gene:Sspon.06G0002470-1A transcript:Sspon.06G0002470-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRCFPFPPPGFESKPRSEERHKDLLRKEKHKDKKHRKAKDREKGETKEKGRDHSKDKHNRKHKRAKCGERKKNKDIYKDRNQTLRHRKPEENGHHESVKDIIPADELVTRIFGQGDHTDHKYNNTELLPWSTDSIGCTGPKEKERNLLGRMVKKSAQTTKDNYVMVQKSDSIAHANKKGMGCGIDSKTEIKNGKSLQDRSAQMHSRRRHNCNGVGVCHDNSDTQRSFEGVHTATGRVRPSPNTFQRAEETGQDPDISVHSANVKNDRCSTKGMGEENQSANNFHRKMDRQFAEGKAKGNYRKGLEGKDRDSVVKKRKTECENKEKEVEKYGTFNEQKHEDLGASKDKVDNLMRLGCLNEQKFASDIKKMKDFDPNSSPHGQHECQHSYNNGVTGSRYSEEQMPSVSSSGYESSKAYLKQPHPDINYLSQVHCIPSTQDFSEYIDQDWLFSVDHVRQKTVTFKAAESRQVWSDAQLIDTADNKEAILELGPFLRDVVVSETVE >Sspon.03G0027030-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:9004227:9008699:1 gene:Sspon.03G0027030-2C transcript:Sspon.03G0027030-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRPPTPRSSAAAGRDASRRRMGKDAGEAQQPPDGAGGGAGGGAGGSRAGSARRFCCCGGGGAARVVRLQCVAALVLGVAVLLSALFWLPPFAGRGGGKEGPDPGDEFGAAIVASFRLHKTVPELSGNKSQLELDIYEEVGIPNCTTVPELSGNKSQLELDIYEEVGIPNCTVGPPIPLIYTLLDIFIQWIKLDKHHLQHCPFPENVTISSTSLSILRSKFMSLVVRQSTIHLTEPYLGIHRPLKRNKIIPPQTAFLLQKPHATFNFTLNYPIYKLQDRTNELKDQMKAGLLLNPYENLYIKLTNSQGSTILPPTIVETSIVLEVGNRLSVPRMKQLARTITNSSSSSGNLGLNHTVFGREVLMHRVLHPCIIMVITAIITITTVMTTTGIQLLLPLQYIFLCRNPDIVLHLHLDVHTAKTSQRKEGLLHQPLSLQLMITDLLPLLYHHIHRHLCLLVVLDMVPGQVLLQVKRQHEQILLGCHKWHLHLIHLMPPGCRAMFPAVGSFLCLLYAP >Sspon.02G0013810-1P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2D:30087272:30087937:-1 gene:Sspon.02G0013810-1P transcript:Sspon.02G0013810-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLPAISLYTSPPGAVYSSEFDPTSRGSSPSTTAAPPPPAASHRLPSGGGGLSCLFSSPAAAAAPPRAPAHDDLGALWHDGSDDLSVAGGYSYSHSHSSPPLKRRDLHHHHHSPVSVFQGPSSSSPSRSPPASWLAARDRDRLFAGFVRNALGSCVDYAPPTSPRPEVGAGELAFELDENLAEASPACEPYARELLAGAQDRHRIFHEELVVKAFLEAEKAH >Sspon.07G0004630-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:11363162:11371112:1 gene:Sspon.07G0004630-2B transcript:Sspon.07G0004630-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKHGRNGYDDDNVNPFAISGGVGVLFRSDHLPLALAFGIRRLVVAHPPDLSVVRGPDGEMRICALGGGSVPPATNSRLSPLSHEPADFYNVDIPLDSSKDLKKKEKELQAMEAELNKRERELKRKEEAASRAGIVIEEKNWPPFFPLIHHDISNEIPIHLQRMQYLAFSSFLGKLLSVQNVLYFLRFSYPMLVPHAGLVVCLFWNIIATTTAWIKGEGVMIWLLAIIYFISGAPGAYVLWYRPLYNAMRTESALKFGWFLLFYMIHIIFCVWAAVAPPFPFKGKSLAGILPAIDVISKNAIVGIFYFVGFGLFCLESLLSIGVIQQVYMYFRGSGKAAEMKREAAPVGYDIRGYPHRVKIVTGI >Sspon.01G0042270-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:64743591:64746551:1 gene:Sspon.01G0042270-1B transcript:Sspon.01G0042270-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATMRK1 [Source:Projected from Arabidopsis thaliana (AT3G63260) UniProtKB/TrEMBL;Acc:O22100] MSYAGASIAGGGAAARGRSRSFGSRSINGADGAGVFVRAGADNEMYVRADKIDFKNLDVQLEKTRSQVWLERQRSQRSASPRPETPLLEWEIDLAKLDIQNQIAHGTFGVVYRGTYDGHDVAGTSVHTAIITSGPHRRRTLSMGVGPAAPHPSIFEGGRRPEVPTTKVVENGRWEGLTQGQLRALAPKRPSSTRARCGANGDVNVGVDKGIKAKTYEAGWATWRKINSVEGRHGSVVIGEEARRCVLDWGHDGQDTAAKHREAFEKEVAVWQKLDHPNVTKFTAPCTSESLKLAGGMQFVGASMGTSQLKIPKKGSTSSCGGRTAPNECCVVVVEFQHGGTLKTLMYNHRDKKLSYRKVVRLALDLARGLSYLHSKKIMHRDVKAENMLLDRKRTLKIADFGSCEVTGQTGTLGYMAPEVLQGKPYDHKCDVYSFGILLWETYCCAMAYPNYSLADISYHVVKLGIRPDIPRCCPRALADIMTRCWDGNPDNRPEMSEVVALLEKIDTSSGKGGMTPVDDVAQGCSCFGFNRSVA >Sspon.02G0028900-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:105061125:105062730:1 gene:Sspon.02G0028900-1A transcript:Sspon.02G0028900-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRWAVLLPSSALLLLWIVCSCNWALLSVAASPYGGNMTDLMRHVEFFDEDRDGILTVPESTKGFIAIGMDPAFALTMATATHAAFGPLTTPPGKLPSVNIHVSHIHGAVHPSDSGAYDKKGNFVPKKFERIFQKFSHSEEDALSWLEVEAMLIANRDILRPLSWPAAETEWQLIHMLGKDRHGYLHKDTLRGVYDGTVFPKMRDHTIDPHLGGHSDA >Sspon.05G0013230-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:43909871:43914940:1 gene:Sspon.05G0013230-4D transcript:Sspon.05G0013230-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable cysteine protease RD19C [Source:Projected from Arabidopsis thaliana (AT4G16190) UniProtKB/Swiss-Prot;Acc:Q9SUL1] MARLLLLLAFLFTTAALCSPDASAAEDPLIEQVVGDGADDELELNAEAHFASFVQRFGKTYRDDEERAHRLSVFKANLLRARRHQRLDPTAVHGVTKFSDLTPAEFRRQFLGLRRRSSGRDLFKGSGSAHEAPILPTDGLPTDFDWREHGAVGPVKDQGSCGSCWSFSTSGALEGAHYLATGKLEVLSEQQMVDCDHECDPSEPRSCDSGCNGGLMTTAFSYLQKVGGLEREKDYPYNGRDDTCKFDKSKVVAQVHNFSVVSVNEDQIAANLVKHGPLAIGINAVFMQTYIGGVSCPYICGRHLDHGVLLVGYGSAGYAPIRFKEKPYWIIKNSWGENWGENGYYKICRGPHVQNKCGVDSMVSTVTAIHSSKKE >Sspon.03G0007320-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3A:19975146:19977662:-1 gene:Sspon.03G0007320-1A transcript:Sspon.03G0007320-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LKRAFQKLQCPVPCLLEATTAAAVAPSATQPLQGLSRRRAAFADQQPNLSEAGATTSLASALARERIRRRGAGPEEQEALPFWQRTWFLALLLAMAAASFALALLLYLGLDLPEAAPAQSYAADPDTVVEITYGSVIKLMHERTKFRLHSHDVPYGSGSGQQSVTSFPNVDDANSYWIVRPQPDSSAKQGDPITHGTTIRLQHMRTRKWLHSHLHASPITGNLEVSCFGGENESDTGDYWRLEIEGSGKTWRQDQRIRLRHVDTGGYLHSHDRKYTRIAGGQQEVCGVGDKRPDNLWLAAEGVYLPVIQRK >Sspon.08G0020370-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8C:22973245:22973954:1 gene:Sspon.08G0020370-2C transcript:Sspon.08G0020370-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AVPFQSTMRNHQERQLGLRKNEAPWALNKMLEHLQIQYGNPPFLSPHLKLVWPVGHHLPPPGAGHEPDPSGGYLYDDGFRAHFLQVYIQAALGSVRNGSDVRGFFVWSFMDVFEFVFAYSFRFGLYGVDFAAEDRTRYARSSARWYAGFLRRSSGGGDLTLAQPLGHGSTYFE >Sspon.06G0008510-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:33611174:33615602:-1 gene:Sspon.06G0008510-4D transcript:Sspon.06G0008510-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAWWRRKVVPRARRAWAAVAARLRARKPGSGGILKLHEDVQTCGYKDVQVMFDMLTSELEAAAQAQKPPPSPPRKQALPPAWPGRSSSTIAAAQ >Sspon.02G0027830-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:104395670:104398555:-1 gene:Sspon.02G0027830-4D transcript:Sspon.02G0027830-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein STABILIZED1 [Source:Projected from Arabidopsis thaliana (AT4G03430) UniProtKB/Swiss-Prot;Acc:Q9ZT71] MSGTTPTPTPTPTPTPLPPPPAARPARYDFLNSKPPPNYEIEKYRASNPKITEQFADLKRKLADLSAQEWESIPEIGDYSLRNKKKRFESFVPVPDTLLEKARQEQEHVTALDPKSRAAGGTETPWAQTPVTDLTAVGEGRGTVLSLKLDRLSDSVSGLTVVDPKGYLTDLKSMKITSDAEISDIKKARLLLKSVTQTNPKHPPGWIAAARLEEIAGKLQVARQLIQRGCEECPKNEDVWLEACRLASPDEAKAVIARGVMSIPNSVKLWLQAAKLESSDLNKSRVLRKGLEHIPDSVRLWKAVVELANEEDARLLLHRAVECCPLHVELWLALARLETYDQARKVLNKAREKLPKEPAIWITAAKLEEANGNAQSVNKVIERGIRSLQREGMDIDREAWLKEAEAAERAGSVLTCQAIVKNTIGIGVDDEDRKRTWVADAEECKKRGSIETARAIYAHALTVFLTKKSIWLKAAQLEKSHGTRESLDALLKKAVNYNPRAEVLWLMAAKEKWLAGDVPAARAILQEAYAAIPNSEEIWLAAFKLEFENNEPERARMLLAKARERGGTERVWMKSAIVERELGNVGEERRLLEEGLKLFPAFFKLWLMLGQMEDRLGNGAKAKEVFENGLKHCPSCIPLWLSLASLEEKISGLSKSRAVLTMARKKNPATPELWLAAIRAELRNGNKKEADALLAKALQECPTSGILWAAAIEMAPRPQRKGKSTDAIKRSDHDPHVIATVAKLFWLDRKVDKARSWLNRAVTLAPDIGDFWALYYKFELQHGTVDTQKDVLKRCVAAEPKHGEKWQAISKAVENSHLPVEALLKKAVVVVAVEENANPAGA >Sspon.05G0021800-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:5A:91418974:91419420:1 gene:Sspon.05G0021800-1A transcript:Sspon.05G0021800-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MELEQHINGSGNLDPSGTHTVVTLKDGTKVWAPEAPHIAYEDEPRLMLLREWSLFDSMLCSSYVATKLKTWTDNGLKKLKLLLTRMEFPLADCQKSFQYMSMEVKRKMQDEFDRLLPEYGLTDFYYRRFLRVHGYSLTADMCLLSKGA >Sspon.02G0024520-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:88289553:88292647:1 gene:Sspon.02G0024520-3C transcript:Sspon.02G0024520-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARNLLRGAAYAAACGHRFPVPVPSGALAGPGSRSIGAAAQGLRHYAAPSSVEEAVGNLELHAPKANRRSNIPKKNGTAMMLPLHFHYEDVLRQDLLLKQNHANIMQVPGLFEIKLAPKAGSDLKIPIGKMAMEVLSGQRFKEAKSDPFAKARKSSRTNPFIGADKDSSTVFAQPTVLRGHAIDYGPKQSGLVFDDKLTAHHS >Sspon.07G0026310-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:52677528:52680134:1 gene:Sspon.07G0026310-1B transcript:Sspon.07G0026310-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding KRRLDEVCLERFQQYSRTYIQSWILQGKVIVDGRVVNKAGTQVSEKSVIEIKAEIPKYVCRAGHKLEAAIKGFDIDCDGKIALDSGLSTGGFTDCLLQHGASHVYGVDVGYGQVAEKIRTHERVSVIERTNLRYLSQLPELVDLVTLDLSFISILLVMPAVIKVMKTDSTLITLIKPQFEARRSQVGGGGIVRDPLVHKEVLDRIISGVEEFGFCN >Sspon.02G0024090-4D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2D:79066443:79067206:-1 gene:Sspon.02G0024090-4D transcript:Sspon.02G0024090-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ISGALLYIRDDFVSVDRNTWLQEMIVSMAVAGAIIGAAIGGWTTDRFGRRTSILVADFLFFAGAVVMASATGPAQLVVGRVFVGLGVGMASMTSPLYISEASPARIRGALVSTNGFLITGGQFLAYLINLAFTKAPGTWRWMLGVAALPAVVQFGLMLALPESPRWLYRKAPPCFARASKAEL >Sspon.05G0027100-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5B:49244629:49245966:-1 gene:Sspon.05G0027100-1B transcript:Sspon.05G0027100-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYRHDDMSCGFRTSIGGRRVGSRGPCDGWSHGPSDKCLSSLFPATGETTSPSSFSPSHGSRVEGSRQQAQAPPCAHGSRSSVFVLASGVAAVTERERYQEGRKRQRNC >Sspon.04G0003040-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:9247065:9249559:1 gene:Sspon.04G0003040-1P transcript:Sspon.04G0003040-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lysine-specific histone demethylase 1 homolog 1 [Source:Projected from Arabidopsis thaliana (AT1G62830) UniProtKB/Swiss-Prot;Acc:Q8VXV7] MEEGSKAQLPPPSHTEAMEQPPPVPMDQDEVREAAETMEEEEATAADVSDAADPMEDGEAAGDSAAAAEPMEDDAPTSSPTPSAPSATAAVDDSTIARKRRRRKKQFPGMIPTAGVRVLRGSSSSSSHTAAHLTGVPRRRGRPPTSSSLRLARELDSEALIALAAGFPADSLSEDEIVAAVLPRIGGAEQANYLVVRNHIVASGVLIPSPPLPPTPRSHPFEPSTLPLLPRRIPSCLSTHTSISASPPPSSRFPHSLLPLLLPRPSSLLAPALPVWLPHAPDCVAVIEGRFRPGGRVFTKTMRSSAVEYPHTVAAADLGGSVLTGINGNPLGVIARQLGFPLHKVRDKCPLYLPDGRPVDPDMDARVEAAFNQLLDKVCQLRQVIADGLPHGVDLSLGMALEAFRAAHGVAADHEERMLLDWHLANLEYANAAPLADLSMAFWDQDDPYEMGGDHCFIPGGNSQFVHAFADGIPIFYGQNVKRIRYGRDGVMVHTDKQAFCGDMVLCTVPLGVLKKGDIKFVPELPAQKKEAIRRLGFVQFEQASPMENVEKVLETLRKIFSPKGIDVPNPLQAICTRWGTDRFTYGSYSYVAIGASGDDYDILAESVHDRVFFAGEATNRRYPATMHGALLSGYREAANILRAARRRAKNVYSPEKMDINVEVKVGVNGEVKDTVKDSCIDLDDLFRSPDAAFGGSQFSMTHLPLNQIQFLCCVLELKVRLWFTFPLWINNEKACSCVAAIEGDEQRLSTMYRDFGTKLVGLDSL >Sspon.01G0058780-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1D:35676713:35677237:-1 gene:Sspon.01G0058780-1D transcript:Sspon.01G0058780-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGCHQSGGKRERGAAFTSISGRWPPWPASVARRRALVLPILTTVHPASNPNRKRRSPRTHLLNLGKLRRLGNETRLGMNGGAIAGSRKASISQFKAPKLKRRAWGGREEDGKLTQAKKKGANPPVSDDGLRPNTPRVPSFEVRFHGIKLGLLDGKRDERVAASLLYYQNLVFG >Sspon.08G0011780-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8B:47253832:47254269:1 gene:Sspon.08G0011780-2B transcript:Sspon.08G0011780-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRRRSGRQPSAYLVSKLRQQEALQRRCGPGTVAYSNALEQLRSGRSGGGDIIASPECKYLVSISYRGLGNRILAAASAFLYALLTERVLLVDPSNDMGELFCEPFPGTTWLLPSSGFPLASYTNFSVSTAESYVLYSVNRLAVD >Sspon.01G0030480-1P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:76744774:76751752:-1 gene:Sspon.01G0030480-1P transcript:Sspon.01G0030480-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAKSSRSRPAGHSGVFPVGSAAAVGSGGGGGGDGGVQLADKLKIFKTDNFDPDAYVQSKCQTMNEKVSLPAISDPAALRDLKKASAEEMRRSVYANYAAFIRTSKEISDLEGELLSIRNLLNTQAALIHGLSEGVQIDSLTSGPEGSAEDDISNVEDQEPSEIQKWSADFPDMLDVLLAERRVDEALDALDEAERSVSDNRQRLADQLAEAACQSSTRGIELRAAASALKRLGDGPRAHSLLLSAHNQRLQCNMQTIHPSSTSYGGAYTAALAQQVFSVIAQALSDSVDVFGDESCYASELVTWATKQVMSFALLPKLSSSAHRFNSMVQDFFEDVAPLLSLQLGGSTMDGITQIFNSYVNLLISALPGSMDDEANLDGLGHKIVRMAETEEQQLALLANASLLAEELLPRAAMKLSSINQSMDDLRKRGTDKQNRVPEQREWKRKLQRMVDRLRDSFCRQHALELIFTDEGDTHLSAEMYISMDNTVEEPEWVPSPIFQELYAKLNRMASIAAEMFVGRERFATLLMMRLTETVILWLSEDQAFWEEIEQGAKPLGPLGLQQFYLDMQFVIIFGQGRFLSRH >Sspon.04G0009550-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:27461017:27464024:1 gene:Sspon.04G0009550-3C transcript:Sspon.04G0009550-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AMRVAPRVLFLVRDAAGYGAALADALRPRPGLTRESSPFERPLDKYGLDGEKASGDLLSFSDSSGSPQVSIFVLPDYKPPLAACVVNEVLELISSEATSTERVLIVPFITRSSSYRRGMEHATKAAPVLHGAEIGATTDYTHLFVGGTTKPPTSLQIRSEPILCLLEMVRVLKMPTVLLVTSGGQQQGKSSTVSDLQVLQCLGEHLAKHMALEFSKETVLKTGIEKSPIDQEPWRELYG >Sspon.05G0024980-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:16857528:16862462:-1 gene:Sspon.05G0024980-2C transcript:Sspon.05G0024980-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPAAKARAPKHLVALAVVAILGLVLVADYLWASSSASSSAVWSSRLNLHTSPAGSTPPVAKKIKEDKKSVGSTDINATFADLPAPELKWEEMAEAPVARLDGAAIQIKNLLYVFAGYGTINHVRNLPDIFHYPIPSCFVFQDIYFSTLYIWSSYTLLHMMISLYILEGIIEKVHSHVDIYNFSDNTWGGRFDMPKDMAHSHLGMVTDGRFIYVVTGQYGPQCRGPTARNFVLDTETKEWHDLPPLPVPRACVVANDKLLVIGGQEGDFMAKPGSPIFKCVRRSEVVYSNVYMLDDGTTWKELPPMPKPDSHIEFAWVNVNNSLVIAGGSTDRHPITKKMVMVGEVFRFNLDTLEWSVIGRLPFRIKTTLVGYWDGWLYFTSGQRDKGPKDPSPKKVVGCMWRTKLHL >Sspon.08G0004930-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:11885160:11887866:-1 gene:Sspon.08G0004930-2B transcript:Sspon.08G0004930-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKSIVIIVERRHHFHRVLVIASVLASIAVVAIILSTLYAWILWRRSRRLPRGKSVRSAGFVSRFSGLPRLALEFLNCSWPAHLHCADTARESCCSTHSRRAGRACGDDRVPSLEAATGRFSESNVLGVGGFGCVYKAVFDGGVTAAVKRLEGGDPECEKEFENELDLLGRIRHPNIVSLLGFCVHEGNHYIVYELMEKGSLDTQLHDLHTGSFWCVAIFRAFTWISPELAYPDEDRTRHGQISDFGLAVTSGNIDKGSMKLSGTLGYVAPEYLLDGKLTEKSDVYAFGVVLLELLMGRKPVEKMSQTQCQSIVTWAMPQLTDRTKLPNIVDPVIRDTMDPKHLYQVAAVAVLCVQPEPSYRPLITDVLHSLVPLVPVELGGTLRVVAPPSPNLKRSPC >Sspon.06G0013830-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:72405987:72410174:-1 gene:Sspon.06G0013830-1A transcript:Sspon.06G0013830-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding HMCTRALLPSTTAIYPRAPSSLPVLPSAPPPPLLASATPVTPSHAAAAAMDPVATWGLTPLAGADPEIYDLLEREKRRQRRGIELIASENFTSFAVMEALGSPLTNKYSEGMPGARYYGGNDVIDEIENLCRSRALAAFRLDAASWGVNVQPYSGSPPTSPPTPRCSTRTTGSWGSTFPPADTSLMGTTRPAGRRSPPPRSTLRACRTSATTGYIDYEKLEEKALDFRPKLIICGGSAYPRDWDYARLRAVADKVGALLLCDMAHISGLVAAQEAANPFEYCDVVTTTTHKSLRGPRAGMIFYRKGPKPPRRANLRVQDKINFAVFPSLQGGPHNHQIAALAVALQQTMTPGFKVYAKQVKANAVAIGNYLMSKGYKMVTDGTENHLVLWDLRPLGLTGNKVEKLCDLCHITLNKNAVFGDSSALAPGGVRTGAPAMTSRGLVEKDFEQIGEFLHQAVTICLNIQKEYGKLLKDFNKGLVNNKDIENLKTQVEKFADSFDMPGFTLESMKYKE >Sspon.02G0006630-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:20883487:20887961:-1 gene:Sspon.02G0006630-2C transcript:Sspon.02G0006630-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPPHQPPMNGQHGPPQPQGSGAPTPPQQQAPAPAPPYYQQQPPPPPPQYYQQGPPQPWGQQQQYAPPPQQYPPQTQQYGPPPQQYAPPPPQQYAQPPPQYAQPPQYAQPPQYGTTPGSGEVRTLWIGDLQYWMDENYLHYTAFAPVGQQIASVKIIRNKQTGHSEGYGFIEFYSRAAAEHTLMNFNGQMMPNVEMAYKLNWASASTGDKRGDSGSDHTIFVGDLAPDVTDSMLEDVFRANYPSVRGAKVVVDRITGRPKGYGFVHFGDLNEQARAMTEMNGMMLSTRHMRIGAAANKKNTDAQQTYATNGAYQSSQGNSSENDPNNTTVFVGGLDSNVDEECLRQIFTPYGEISYVKIPVGKHCGFVQFTSRSCAEEAIQMLNGSQIGGQKVRLSWGRTPQNRQASQQDANSQYNGNSYYGYQQQGYEGYGYAAPNTQDPSMQNYYGYPGYGNYEQQQPTQEQQQQQQQPPPPQQ >Sspon.04G0034940-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4C:80071730:80072536:1 gene:Sspon.04G0034940-1C transcript:Sspon.04G0034940-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MYFSTSKFDFETVGKKFGIEIRKEKAIFRLLGRAPPFRPTGRSRPGPSTPPPYLSRARHARPRQAPRAPWPPCAGGTWRPVAPAAALDFSHASTHPAVPAHSSIPFASPQQQNSRAALLRRRPPALVGVARSGHRSAPPAPPSSFELRLSLAQPVLAPALHGKASFDGHCSPEHGRALWRIPPCGLLLSAPPPLLSLCASCSPWLAGAQAPFPWPSLGREWPASDHQRRCSAMDGGDRSPELHWPPHVRHRVHGVEVVIWVTLRWSSG >Sspon.07G0032790-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:54288193:54293359:1 gene:Sspon.07G0032790-1C transcript:Sspon.07G0032790-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPTEGERNDGAGDSQSTRRRAPVSRPTPAVTTGSRVALPEPSPSPQFGKRSWSDEKSGDDEVNSYNKEKRTNARSQEDAAAGSASAAASQEDTAAAASPATVSDRASAEAIPDGVASDVSSPIRRPYMVREPWDSANIIPYQDENAKYQAKLDRQNKLLSLNHIGPTTCLDDESFLSVRESVTQMVFQTAKAVLGLTSYIDSKLLKQCSGFLIGWNKDTKRGTVLTSANLLCTKSPNIDDWSCPREYAPDVEVLIHLLDDTTQKGTLINYHKHYNIALFEVDMNMSTKLPPVSTELVDYGQEFGDGGPAIDIEGRIVGMTNPTNGAAFIPHLFILKCLRMWKDFKSFHREKMSRKFNIDAGLIVLQNHILVLTEPQVSEESAAEKIGIRNGDVIESLNGKCIPTVVEFSNNDHELSYVEVGLFQVRKQKRCTKTLTLNVSDDMEVIAEGKYPVSAALKNSVDMSSRQETPQPTSDRNEV >Sspon.05G0025070-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:5C:17735206:17735751:1 gene:Sspon.05G0025070-2C transcript:Sspon.05G0025070-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GGGRSEAADQFKRLHDAVLLDVLNRIGDIKVLGRCALVSRRFHALVLLVNSSSSALTASSRRPAALLLRRRTGLPAAPGASRGHGTFTHIACVLVSGIARPIHALGQILSPAAATVSRRSEPLPVPVADVSHHSSSEELRSSCGASTSSCPRASSALTMACSKSGRPTSAPPSAAASSSAPR >Sspon.08G0009270-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:39701626:39703180:-1 gene:Sspon.08G0009270-2C transcript:Sspon.08G0009270-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAVHCLLVCLVLLVSPYLGCSYHTSYTHGGRHYVLRSNRDQRQPKQTPTCSSAHSGTSSSDALPVLHRLSPCSPLGAARSQQLEKPSVTDVLHRDAVRLRSLFQDQNRGSPAPAPTSAGGGLSIPSRGDPIQELPGAFEYHVIAWFGTPVQQFTVGFDTSTTGATQLRCKPCAADEPCDHAFDPSASSSIAHVPCGSPDCPFKGCSGPSCTLGVSINNTLLGNATFFTDRLTLTPWNTVDNFRFVCLEAGFGPGDSSTGILDLSRNSHSLASRAAPSSPDAVAFSYCLSSNPSDVGFLSLGATKPELLGRKVSYTPLRSNPHNGNQYVVELVGVGLGGVDLPIPRATIARGGTILELHTTFTYLKPQVYAVLRDEFRKSMSQYPVAPPLGSLDTCYNFTALNSFSVPAVTLKFDGGAEVDLWMDEMMYFPEPGSYFSVGCLAFVAQDGGAVIGSMAQMSTEVVYDVRGGKVGFVPNRC >Sspon.06G0010860-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:58881653:58886940:1 gene:Sspon.06G0010860-1A transcript:Sspon.06G0010860-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G80770) TAIR;Acc:AT1G80770] MASPSSSSRHHAPLPLSAREARRPPSPPQRLQGELDDMNGEQRKQETVGAFQKIPMVMPATDILMSAQRKSRNVPPTKGIANIAKRERNKGAKQLDALMKELSVPLRTYTENFPKRRDLHPYERSLIELTFGEGYYEQVLGRVDTLRKRITSVGKQHASVCAKSTTKREAEERLTEGRKKLEEAFQHGKHAVDDLVNVAKALHSMPVVDLHIPTLCLVGSPNVGKSSLVHILSSGKPEVCSYPFTTRGILMGHIVSNHERFQVTDTPGLLTRHDDDRNNIERLTLAVLSYLPIAVLYVHDLSEDCGTSVADQYITYKHIKDRFSDRLWLDVISKCDLLGKKEPINFHDADDDVAQYRRLGPEGALRVSVQSEIGVKELKERVHELLTFQMARIKASKAEHETQEVGTSVVF >Sspon.02G0011960-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:30269118:30272096:-1 gene:Sspon.02G0011960-2B transcript:Sspon.02G0011960-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SLTDKSKLDAQPELFIHIVPDKASNTLSIIDSGIGMTKSDLVNNLGTIARSGTKEFMEALAAGADVSMIGQFGVGFYSAYLVAERVVVTTKHNDDEQYVWESQAGGSFTVTRDTGTKITLFLKDDQERRLKDLVKKHSEFISYPISLWTEKTTEKEISDDEDEEEKKDAEEGKVEDVDEEKEEKEKKKKKIKEVSHEWSLINKQKPIWMRKPEEITKEEYAAFYKSLTNDWEEHLAVKHFSVEGQLEFKAVLFVPKRAPFDLFDTKKKQNNIKLYVRRVFIMDNCEELIPEWLSFVKGIVDSEDLPLNISRETLQQNKILKVIRKNLVKKCVELFFEIAENKEDYNKFYEAFSKNLKLGIHEDSQNRSKIAELLRYHSTKSGDELTSLKDYVTRMKEGQNDIYYITGESKKAVENSPFLEKLKKRGYEVLYMVDAIDEYAVGQLKEFEGKKLVSATKEGLKLDETEDEKKRKEELKEKFEGLCKVIKEVLGDKVEKVVVSDRVVDSPCCLVTGEYGWTANMERIMKAQALRDSSMAGYMSSKKTMEINPENPIMDELRKRAEADKNDKSVKDLVMLLFETALLTSGFSLDDPNTFGTRIHRMLKLGLSIDEDESAEAEAEMPPLEDDAGESKMEEVD >Sspon.07G0023870-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:19232639:19233844:1 gene:Sspon.07G0023870-3D transcript:Sspon.07G0023870-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTARLGAVVACVVLAAVAVAPRPAAGILDPVDFLALQAVRRSLDDMPGSEFFDGWDFTADPCGFPGVFCDGDRVAALALGDPRAGSPGLTGRLDPALGRLSALTELSLVPGRVEGELPASLASCSSLRFLAVSKNLLSGPIPDGFSALSNLRTLDVSFNQISGTIPPSIAALPSITNLILCHNQLTGGVPSFPDSSPLLRLDLKHNALSGGVPTLPSGLQYLSVSANKLTGTVDQVLPRLTRLNFLDLSMNQLEGPIPASVFSLPLSVLQLQRNFFAGPVQPSNDVTIPMVDLSYNRFWGQLSPLLAGVGQLYLNNNRFTGEVPARLVQELVGSGGLQVLYLQHNFLTGIEISPSSSLPSTVSLCLMYNCMVPPVYAPCPIKAGSQNTRPADQCPEWRG >Sspon.08G0015110-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:60420871:60422502:-1 gene:Sspon.08G0015110-1A transcript:Sspon.08G0015110-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPQAQGRRVSSIVLAVFLLVVGMGTRGGEAQPLVPAVMTFGDSTVDVGNNDYLHTIIKANFPPYGRDFANHVATGRFCNGKLATDITADTLGFTTYPAAYLSPQASGKNLLIGANFASAGSGYYDHTALMYHAIPLSQQLEYFKEYQSKLAAVAGAGQAHSIITGALYIISAGASDFVQNYYINPFLYKTQTADQFSDRLIGIFSNTVSQLYGMGARRIGVTSLPPLGCLPASITLFGHGSNGCVSRLNRDSQSFNRKMNATVDVLSRRYPDLKIAVFDIYTPLYDLATDPQSQGFTEARRGCCGTGTVETTVLLCNPKSIGTCPNATSYVFWDAVHPSEAANQVLADSLITEGLILVT >Sspon.01G0012280-8P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2D:63747346:63748755:-1 gene:Sspon.01G0012280-8P transcript:Sspon.01G0012280-8P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPDGIPIEVWRYLGAKAIVWLTKLFNLIFRSNKMPEEWRSILVPIFKNKGDVQSCTNYREIKLMGHTMKLWERVIEHRLRRVTHVTQNQFGFMPGRSTMEAIFLLQQLMERYREQKKDLHMVFIDLEKAYDKVPRNVMWWALEKHKVPTKYVTLIKDMYRDVVTFVRTCDGDTNEFPIKIGLHQGSALSPYLFALVMDEVTRDIQGGIPWCMLFADDVVLVDDSRAGVNRKLELWRQTLESKGFRLSRTKTEYMRCDFSATRHEEGDVSLDGQVVAKKDTFRYLGSMLQKDGDIDEDVRHRISAGWLKWRQASGVLCDKRVPQKLKGKFYRTAICPAMLYGAECWPTKRRHVQQLSVAEMRMLRWCCGHTRRNRVRNDDIRDRVGVAPIEEKLIQHRLRWFGHVQRRPPEAPVRSGVLKWADNVKSGRGRPKLTWDESVKRDLKEWNIPKDLAMDRSAWRLAINVPEP >Sspon.06G0016150-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:87991765:87997077:-1 gene:Sspon.06G0016150-1A transcript:Sspon.06G0016150-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLVTYGYQALKESLAAAITSSPHAADPRRPHALAVVSGLAANGYLASLLVARYARLGDPDAARGVFDAAAAASSSSSPSAAPPKPLLYNAMLRGYLALGLPRETAALLRAMLASGSSCAPDRHTYHLAATACARAPDLELGRRVEAAAAACGLAASDVLVATALIGMHAKAGDMGAARRVFDRMLHRDAVAWNAMIGGYTRAGRLAEAVEMFGMMRSADGAGPTEATLVSLVSGYAGFGSWKVRGMMHAAVIKSGFQHSLFVSNALLEMYAELGCLSEALLVFRQMEVKDSVTWSSMIGGLVRNSKPGYAVRLFHWMLLNSEVSVTRSILLNVIMACSELGDWREGKWIEENYVMCNGSEFKRDPSVVTTLIYMYAKCGQLDLSESLLHGSAEVRGDVVAWNALIKGCGELGQVEKAIGFAIQMHRTGIDPDAVTFLEILPMVSLIPSLKRGWKYMLTLLKEVSRMNGQLPIHLFACMVDLGVLVYAWSGLAAEVVKLFEMMKKTKVQPNHYTIIAVLTACKNTGLVEEGMCMKEQYGLEPVIEHVSCVVDMLCRTGRLTDAYHLIQSFHSEHAINPIFGSRYWGNLVIGEAAARHLLSLDPENRANYKMLADIYVSIGRRDRAGDVLRMSMSKELDLRPGCSWTEGPQGIPRRRHHLLPARRRPPPPPRARRRLRPRRQRLPRVPPRRPLRPPRRPRCRARGLRRSRRRLAPPPPPRRRPSRSSTTPCSGATSRWASRATAALLRAMLASGSSCAPDRHTYHLAATACARAPDLELGRRVEAAAAACGLAASDVLVATALIGMHAKAGDMGAARRVFDRMLHRDAVAWNAMIGGYTRAGRLAEAVEMFGMMRSADGAGPTEATLVSLVSGYAGFGSWKVRGMMHAAVIKSGFQHSLFVSNALLEMYAELGCLSEALLVFRQMEVKDSVTWSSMIGGLVRNSKPGYAVRLFHWMLLNSEVSVTRSILLNVIMACSELGTGEKENGLKKTMSCVMAVNSREIPL >Sspon.05G0012570-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:32925804:32929636:1 gene:Sspon.05G0012570-2B transcript:Sspon.05G0012570-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRAPASGFTLLIMDDKSKVKKEIDGPLGPPPRKGGLKFAPKVPQKKPAKVVPKKEPVEESKEEAVDKELLMKLKMSQNKYPSARIKSEEKSKNAAKSGTQVAFGQGNSSYARYFPMPKKDSSAGISETYLSSLLMTRTIPYRLLFLDLNTLVSIAYSGEASMLPKEYAEPWDYSHDYPVTLPLRRPYSGNPEILDEEEFGESSASRAQDAKLSAAEELGLMAFDLAWYACFVLIGSGGESQFVFFQFPSSLPLPRQPQSVADPNVVSDERREGMRPLPHIGSKLKEIPEGYMGKILVYRSGKVKMKIGDALFDVSSGSNCMFVQEVAAINTREKHCCTMGEISKRAVISPDIDYMLGSVDKMEE >Sspon.01G0053040-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:29401775:29403276:-1 gene:Sspon.01G0053040-1C transcript:Sspon.01G0053040-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLVVVLPLLLLVVCPYAAVSYPAGTRRCTRLFSLGDSLTDAGNFASLSLNRSVLAFPYGETFFRRPTGRFCDGRLIVDFIAEALKLPFSTPFLLGKTAEDFRAGANMAVSGATALSQQVFKDMGLDLTILPPYSLDVQLEWLKRVLHMLAPTGPERQDIMSSSLFLLGEIGFNDYNHPFFQNRSFTAQIRPLLPKVIKKIENATKVLIGLGAKTIVVPGVIPMGCVPRYLTIFQSNDPDDYDAAGCIRWLNDFAEEHNRALRRMLDHVRPRDPTVAVVVYADYYGAILEITRSPQKHGFRKDVALTACCGDGGPHNSGKLIACNATSILCPDPSRHISWDGIHLTEAAYQFVARGVLDGPYAAPSSILSKCRR >Sspon.05G0026280-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:31944581:31945014:1 gene:Sspon.05G0026280-2C transcript:Sspon.05G0026280-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQAIESHRAGAEVVTGGDAICRKKSIELLEELGIPKASCPSRTSRSSGKKKVEHTFKKIKQTVSYAAEVTAFAEKGKLRKITGVKTKELMLWLSVVEVYVPEASPEKVTFKTGTGLSDTFDASAFALGE >Sspon.02G0011300-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:30345815:30356902:-1 gene:Sspon.02G0011300-1A transcript:Sspon.02G0011300-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYQDAPGGGGKLSLASVGFAGPGAGAGGGGYKELLVMALPTDDGLDGAKVAEAIGVRLPDVGGAVRTILESREAREFASGALAGAMSKAILAPLETIRTRMVVGVGSRHIFGSLVEIIEQNGWQGLWAGNTINMLRIIPTQAVELGTFECVKRSMIEAQEKWKENGCPKIQLGNLKIELPLHFLSPVAIAGAAAGIAGTLACHPLEVIKDRLTINREVYPSISLAFSKIYRTDGIGGLYAGLCPTLIGMLPYSTCYFFMYDTIKTSYCRLQKKSSLSRPELLVIGALSGLTASTISFPLEVARKRLMVGALQGKCPPNMIAALSEVIREEGFLGLYRGWGASCLKVMPNSGITWVFYETWKDILLADRDKPRA >Sspon.07G0007080-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:16598417:16600146:1 gene:Sspon.07G0007080-1T transcript:Sspon.07G0007080-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQENGATNEHAAEEHQDVMEVEPKQRRAPRLNERILSSLSRRSVAAHPWHDLEIGPEAPAVFNVVVEITKGSKVKYELDKKTGLIKVDRVLYSSVVYPHNYGFIPRTLCEDNDPMDVLVLMQEPVLPGAFLRARAIGLMPMIDQGEKDDKIIAVCADDPEYRHYNDISELSPHRLQEIRRFFEDYKKNENKEVAVNDFLPAAAAREAIQYSMDLYGQYIMQTLRR >Sspon.05G0007600-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:15413634:15424817:-1 gene:Sspon.05G0007600-1P transcript:Sspon.05G0007600-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDQDGEKKVKSVPAHAGSSDIMGSACSRKRGQLVQEDDLYSARFSKSGSFKWLLYTLPRSNSADVQRRTQGPAPGRCPSLVELCVAKVCKDINTYSDFSLLPRDLTQQIFNELVECGCLTEASLGAFRDCALQDICLGDYPGVTDAWMEVVASQGQSLLSVDLSCSDVTDSGFNLLKDCSSMQSLACDYCDKISEHGLKTLSGFSNLTSLSIKKCAAVTAEGAKTFANLVNLVNLDLERCPKIHGGLVHLKGLKKLEKLNMRYCNCITDSDMKYLSDLTNLRELQLSSCKISDFGVSYLRGLHKLGHLNLEGCSVTAACLKVISELALLVLLNLSRCGICDEGCENLEGLTKLKALNLGFNHITDACLIHLKDLISLECLNLDSCKIGDKGLFHLKGLIQLKSLELSDTEVGSNGLRHLSGLRNLQSINLSFTLVTDIGLKKISGLSSLKSLNIDNRQITDTGLASLTRFSSIIRQCFALSHWIDTP >Sspon.01G0035980-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:16207912:16209025:1 gene:Sspon.01G0035980-1B transcript:Sspon.01G0035980-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVLVDFIYSSKASEDGYYGNFSDHEDDSFGGRKEKRKRSKDAEQGSRGPLDGSHDEPMPLPNPMVGFNLPNVSLRSVDRSLPSKSIGPPFFYYENVAIAPKGVWTTISWFLYDIQPEFVDSRFLCAAARKRGYIHNLPIENRSPLLPLPPKTIFEAFPHTKKWWPSWDPRKQFNCLLTSMAKPKLTEQIHHALAKCKDPPPQRVRKYVLETCRTTNLVWVGLNKIAHLEPDEMEFLLGFPKDHTRGIGRTERFKSLGNSFHVDTVAYHLSALQDMFPHGMNVLSLFSGIGGAEVALHRLGICTKTVVSVEISEVNKFILRTWWNQTQTGTLIEITDV >Sspon.04G0006360-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:14086764:14092783:-1 gene:Sspon.04G0006360-2B transcript:Sspon.04G0006360-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIETDGRFGNKRVHNRLGPGSGGVPSSTNGKVCNYWRAGRCNRFPCPFLHSELPEAAPPKRPTGPGGNVWRNPHTGGRGGGGHSRWGKGPGGGSGIASHKPPDRPCKYFLAGTECSYGERCRYPHSFCISDSITMLTPLKGHEKGVTGIALPAGSDKLYSGSKDGTVRMWDCQTGQCAGVIPVGREVGCMIIEGPWLFVGMPDAVKVWNMQTAAEMSLTGPTGQVYALAVASELLFAATQDGRILAWRFSATTNCFEPAASLDGHKLAVVSLIVGGMRLYSASMDKTIRVWDLATLQCIQTLSDHTDVVMSVLCWDQFLLSCSLDQTIKVWAATESGNLEVTYTHKEDQGALALSGMPDAQSKPVLLCSLNDNTVRLYDLPSFNDRGRLFSKQEIRALQMGPGGLFFTGDGSGELKVWQWVDGAQT >Sspon.08G0012140-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:52149562:52153653:1 gene:Sspon.08G0012140-1A transcript:Sspon.08G0012140-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSSTAKAAAPSNNADHVLLIPPDHPPPTPPHPSNNQQPNPPPAETPKLSQNPEKHPTSSPSRPPLPPAALLRRRSSIAKPKSRFVEPPTPTHPDSAHSSPVHPAAAASLTATPTHRAAAGVSTPHTPAEADDDEYLFRNKDGSRAPASAARCRRRARLGLELCVLVLFLGLLVVSLVVPPLQGRVLWGLEIWKWCVMVIAVFSGHLLSRWLVTLLVFVVERNFLLRTKVLYFVFGLKKSFQVCLWLALVLIAWSQLFDSDVGRSHKTARILNCVSRFLASMLIGSVIWLVKTFLMKVVASTFHRETFFDRIQESVFHQYVLQMLSGPPLMELAENVGREGSGLGRVSISRAKDKEEKGVPEVIDVVKLRKMSQEKVSAWTMRGLITAIRSSRLSTISNTIESFDDVDGMEQKDKEINSEWEAKAAAYAIFKNVARPGYKHIEEVDLLRFFTKEEVDLVIPMFEGASETGKIKKSALKNWVVKAYLDRKSLAHSLNDTKTAVMQLHNLISVIVIIIIIIVTLLLMGIATTKILVVISSQLLVVVFIFGNACKTVFEALIFVFIMHPFDVGDRCVIDGTQMTVEEMNILTTVLLKNDNEKIYYPNSVLSTKPISNFYRSPNMYDTIDFAIDVSTSVESIGALRSKIKGYLESKPTHWHPAHTVNLKDILDVNKINMSLCVQHTMNFQNIREKNIRRSELVMELKKIFEEMSIRYHLLPQKVELTYVSPNPLPMACKCFHATFVIDSANVFMLGFYGMVTCDKN >Sspon.08G0021650-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:41798302:41798910:-1 gene:Sspon.08G0021650-1B transcript:Sspon.08G0021650-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GEEEADDAPRRVRGGVHPAGAAAARPEAQPERPRGRHRHRAGRARARPPPQQRRRPPPPPSRQQREEGDERQESQPVAVAVADDAATARAQAQEGPPPRGAAPGAARRRNLRRHRALGRRRSRMRQRAARHVDMQRHRRARDRRQREAARRRVVQRHHHRARGLRCRGRRRREAAARGLPGGGEGVACPLLVVRAWLGEPTDR >Sspon.03G0017140-1P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3A:75208664:75208993:1 gene:Sspon.03G0017140-1P transcript:Sspon.03G0017140-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMLRWCCGHTRRDRVRNDDIRDRVGVAPIEEKLIQHRLRWFGHIQRRPPEAPVRSGVLKRADNVKRGRGRPKLTWDESVKRDLKEWNISKDLAMDRSAWRLAINVPEP >Sspon.06G0003750-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:9789976:9791580:-1 gene:Sspon.06G0003750-2C transcript:Sspon.06G0003750-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MCHCSEPTQCHHQVLLPPTKACPALGDRPRPARRGDGGAAIEVIASILRLAVPMVGAGLLMYMRSLVSMLFLGRLGRLPLAGGSLALGFANITGYSVLSGLAAGMDPVCGQAFGAGRTSVLTAALRRTVALLLAASVPISLLWLAMHRVLVATGQDPDIAAAAYDFILCSFPDLVVQSFLHPLRVYLRAQSVTLPLTYAAAAALLLHIPVNCLLVQSLRLGIRGVALGAVCTNLNFLLLLVAYVYHTGLMHGDDGGNGKADALCATAAAAEDVDAVEWGCLLRLSLHSCMSVCLEWWWYEIMVLLCGVLADPKAAVAAMGILIQTTSLLYIFPHSLSCAVSTRVGHELGAGRPERARLVARVGLCCGAALGLVACAFAASVRGVWARMFTTDAAILRLASAALPILGAAELGNCPQTAGCGVLRGSARPGNAARINVSAFYGVGMPAALALAFWPARLDFAGMWVGMLAAQLVCAALMLHAVLRTDWAEQAVRASVLTGGGGGGVIVIADVKSGHADAAKVKMDNGMLVVTVLT >Sspon.01G0017740-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:70643021:70647010:1 gene:Sspon.01G0017740-2B transcript:Sspon.01G0017740-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEIAITVAAANGTFRTCSGVSPTAPGGRRAAVLGRWRPLAPANLRLSSPAVRVPRATSAAAVEDWSNTDIVPIPKVIIDQDSDPDATIVEITLGDRLGELLDTMNALKNLGLNVVKASVCLDSTGKHNKFSITKASTGRKIDDPELLEAIRLTIINNMIVYHPESSSQLAMGATFGPEAPTEEVDVDIATHIDIYDGPERSLLVVETADRPGLLVDLVKIISDININVQSGEFDTEGLLAKAKFHVSYRGKPLMEALKQVLSNSLRYFLRRPTTEDASF >Sspon.02G0043760-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:96523871:96532003:-1 gene:Sspon.02G0043760-1B transcript:Sspon.02G0043760-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LVVKLRAYAKGSSADRPPPPRAPALRRCSWRGWSRLPAPLIDSTEPSAGEFISFGEHGQSAAEMKIQKANAGILTNFEVLDFLQTRGAKVDPMGCLGAVAASECKVYEYLLKTPACNQTRESIYEFVKRSEGFRLAEADKLNVINWRPSSAADAYAMIEECGKRFSRDDRGEACDEDKRVQEFLDMVEVLPPPPPKAEAEAETMQE >Sspon.07G0026270-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7B:52488195:52490047:1 gene:Sspon.07G0026270-1B transcript:Sspon.07G0026270-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVAQSCCTLHQGHTYKLRPLNPGNSRKLFLKRIFGSEEGCPSDLSEVCDDILKKCDGLPLAIIAIAGLLAGKAPTVDEWNKVQCSFGHALERHSDVNRMIQILSLSYFDLPLHLRSCLLYLSIFPEDCVIGKDRLILRWIAEGFVHEEHGFTQYEVGERCFNELTNRSLIQPVNSGFSVFFCRVHDTILEFILSKALEENFVTLFDVPNIRVDPHRKIRRLSLQDRNEVSDALVGLWEKRTYYHARSVSVFPGSLDGLPSLQKFKHLRVLDLEDCEGLQAHHLTHLGGLFALRYLSFRDTRIDELPEEIGELQYLQTLDVRCTDIRKLPSSVGRLARLATLLCDPVVQLRDGFGKNMQALQQLEDINVSKQSASFAQELRQLRNLRTLEVMIDDEVSEDLVSSLCTLAMEPHPLGLKILKILRIVVPRVPRWIGSLDNLQDLTLFVEQLGVADFGLLGSLNALSSLVLWVTIEVADRSSSSDGTQQVKINGSHGFPSLRWFDVGSQFCAFGLLFEAGAMPKLHELDLRFDMDKTGSLTEGEFDFGIQHLTCLALVRWYLGYFRFREEEPEHPAWDGLKKAVSSHLNHPRMYCLL >Sspon.06G0017100-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:93131039:93132416:1 gene:Sspon.06G0017100-1A transcript:Sspon.06G0017100-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKITVQSSKTVKPAYGSCGRRSSVASFATADFVPLTVLDKVTVDVYVSRIYFFRPPAPPNSAMEAGLAKALAEYREWAGRLGVDAASGNRRILLNEAGARFVEAAADVALDSVMPWEPTPETTSLLHPSGDDDDGADELMLLQCTRFACGSFAVSTTAHHMVGDGPAVRSFVLAWGQATHGAAVDPVPVHDRVSFFVPRNPPRVEFEHRGAEFKPRGETQAGTSNTSSGPGGQVVVVHRAHFSREMISELRSRASSSADTSRTYTTLQCVVAHLWQCITKARRIDTDNTATELHIAVNGRACMRHPQVPDGYTGNAVLWARPTATAGDLVAMPLRQVVELIRQEVSRIDDGYFRSFIDFASSGAVENEQLVPTADPSETAKSPHVAVYSVLSPSSLCLVTGLVAVVPSFCDDGSVDAYVSLFSQNVDDFRTCCYSLAAAGEARL >Sspon.08G0019920-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:15900942:15902529:1 gene:Sspon.08G0019920-1B transcript:Sspon.08G0019920-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding CMTLYPATATPLWRWLAPPRRCPSSIPPICPRALLRTSSRTSTSHFCTEDPDIIYCVLCLWDLLCYI >Sspon.02G0021380-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:71822754:71823099:1 gene:Sspon.02G0021380-1A transcript:Sspon.02G0021380-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGRAPPNAISAPPCAEKTTPPDGDDWYDVLPSKFSLTRRAYGTTHYGMGRMTLALTFKTGQATGNLDLAFQGQGHRHHNQQRQPMT >Sspon.06G0004370-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:13855676:13863220:-1 gene:Sspon.06G0004370-1A transcript:Sspon.06G0004370-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:O-linked N-acetylglucosamine transferase, Negative regulator of gibberellin (GA) signaling, Brassinosteroid (BR) synthesi [Source: Projected from Oryza sativa (Os08g0559300)] MEQPGMDSVPGKESNGIAPNLNGGVSPAKQQLEGKEALRYANILRSRNKFADAINLYDIVLEKEGANVEALIGKGICLQAQNLPRQAIECFTEVVKIEPGNACALTHCGMIYKDEGHLVEAAEAYQKARTADPSYKPASELLAIVLTDLGTSLKLAGNTEEGIQKYCEALEVDNHYAKAALERPLYAEAYCNMGVIYKNRGDLEAAIACYERCLTISPNFEIAKNNMAIALTDLGTKVKIEGDINQGVAYYKKALFYNWHYADAMYNLGVAYGEMLNFEMAIVFYELALHFNPRCAEACNNLGVIYKDRDNLDKAVECYQMALSIKPLFAQSLNNLGVVYTVQGKMDSAASMIEKAIHANPTYAEAYNNLGVLYRDAGSITLAIHAYERCLQIDPDSRNAGQNRLLAMNYIDEGSDDKLYEAHREWGKRFMKLYPQYTSWDNSKVADRPLIIGYLSPDYFTHSVSYFIEAPLTHHDYTNCKVVVYSGVVKADAKTLRFKDKVLKKGGLWRDIYGIDEKRVASLVREDKVDILVELTGHTANNKLGTMACRPAPIQVTWIGYPNTTGLPTIDYRISDSLADPPITKQKLHQKYCKFGPEYYVQSLTPGLCLDTFPYAGTTTTCESLYMGVPCVTMAGAVHAHNVGVSLLSKVGLGRLVAKTEDEYVSLALGLASDVNALQELRMSLRELMMKSPICDGEKFTRGLEAAYRDMWHRYCDGDVPSLRRLELLEEHPVVNKPDLHKASEKLADLKAQRASVTVEEDKQPPIMANGVRSPDSPAPAKCEANGNSSQ >Sspon.02G0010170-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:28245110:28247143:-1 gene:Sspon.02G0010170-1A transcript:Sspon.02G0010170-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGLGLGLALTCATGAQRRRRRGPHRGNENGLARTTSDDGRSDGDTN >Sspon.01G0056170-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:86505765:86506123:1 gene:Sspon.01G0056170-1C transcript:Sspon.01G0056170-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding WARPRPGGRSAPGESSRRRRASRARPRRSAPAATGRCREGTCPCGSLATAARTTSMRLSWCRWRCSRSPACWSCWRWPSGSTGTASPACCGSPATPGASSSSWVSRAWPGS >Sspon.03G0040440-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3C:29022877:29023349:1 gene:Sspon.03G0040440-1C transcript:Sspon.03G0040440-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DPGIRGVPRRGGDGAAVHGGGAGVRVGAVAQGLLRRAVQGDQPLRGALRRAGAYLPHGLHQRPLPHEPAPHRRRHAAEDRHAARAHGLGLLDPLPPARRWQGVVVGGGVADQVGGDQLLGGVAAQHHHHGRAAPGRHVRARVGRAHEADRRHAVLHL >Sspon.03G0025930-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:1675027:1677995:-1 gene:Sspon.03G0025930-3D transcript:Sspon.03G0025930-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MYMLQYPYKSQKVHIYRIDPPCTTAADGFPRFPLLMKIAECPLDRFSAIFGLVECGSEILAVAYKDESCIDVAVYRLADLVIGRLEELEEQGDGDGDGDGDGGQNSSERDVS >Sspon.05G0008160-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:24913825:24923996:1 gene:Sspon.05G0008160-1A transcript:Sspon.05G0008160-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLLRPAAIAGGRQVWPVAEDEEGAREAEAASQRLVEAVARGDEREAGELLAAGRADVNYAGVVWLRARRVAEAEPREGAAAEARAVHEEIRADVSPLFLAAGNGDVALVRALLAKGADVNGKVFRGYPATAAAREGCAEVAELLVRAGASQPACEEAVVEAALQGQAALAAIYMGSDLVRPRVAVHALVSAAARGFVDVVDALIKCGADANATARVLLRSLKPSLHANVDCTALFAAIVSRQVAVVRHLLQAGVKRDTKVRLGAWSWDASTGEELRVGAGLAEPYDAVWCAVEYYESTGSILRMLLRSGYTSTATHLGRTLLHHAILCGSAGAVQTLLASGADSEAPVKTSRSNRSRPVHLAARLGQPEILRMLVDRGCDVNARAEAGDTAAILCSRHKREDCLGVLVSAGADVALLNSAGDSPASVASSGGWKTGFERAVIGAIRSGTIPRSSDRNVFSPLMFGALCGDATAMEVLLAQSDVDVDEQDLDGCSPIMAAAKTGNVEAFRALVFAGANVKLSNKRGETAIGLAQQSKKRDLFEQVMLEFALEKGMPGGFYALHCASRRGDTAAVRHLASTGCDVNIPDGDGYTPLMLAAREGHAGVCELLISYGARCDLRTPRGETALSLARVSLATTGFNKAEDVIMDELGRQVVLQGAHVRKHTKGGRGRPHGKSLRMVAAAGVLRWGGSNRRNVICREAEVGGSSAFQRHRQRKGDAYEPGLFRVVTATGREVHFVCQGGEEAAELWVRGIRAVTRAAFGKRGKE >Sspon.07G0028760-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:68623168:68628302:1 gene:Sspon.07G0028760-1B transcript:Sspon.07G0028760-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAPAAMDVDDEGAGGGARNQSTMVVVKSEAVRTNTDPPVVDPEPVEDVGGDTTECSSSFGDTCSGVQDAPGDGEPEVNSGMSARADGGTPWKPPRKKVTAEWRNYVRPILWRCQWLELRMRELSSQVSKYDRELALNKKQKELQAASKANGSMSESMQIHKGHGNSIMKRRKRKRHEENLDTPLYINKHQILSYYHDKQNKGAETDGLLIDDDCGSTVPIRGGLDSVTLLDSEDYDVIFEQLSLKDILLTIDGVQSRVHLLRGRLSEAHYEGRNLAFSEGNTHVRVAQKRQHTKKRSSYTECRYTKPQKKKNLNVLLKDDNGPALSGRPSSPDRETDTHIKDANRSAEERSGECKHLREKAITMDLLLGIGNSIPNGYIEDLCKENSDDILIGNQATSDVCQQFDKAKHLPSGTSKELNNSAPVEVKNTCAPVKVDSTCAPVEVDTTCAPVEVDTTCALAVGQESSIEKSASKEPVSSGSKQELNSRKKKRKKGSLFTRKKQRKEASKTPAVKEKTEGTLSAANTQTESTPSAAKEKTKGTPSAATGPQTMTARSAGKKRKSVNEPADAKEHGSGNLFSASTEQKTGKPSSAMKRKRRPKHCKGEN >Sspon.03G0020320-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:63152266:63156200:-1 gene:Sspon.03G0020320-1A transcript:Sspon.03G0020320-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SGMKTFPLSGGSTISLALFSDVSNLRELLDLMQSGKLEPEVAFLNAFQVPDVFPVLAAALKALLSKTRESLTTRTLHSELVYNYSGSFQITESLKRCGISDDTTYILAACFDASDMKAVEKLIRGTEIDLTELERRANQPQIWQHYKIPPQELSISTLPDAIVCRIAARDA >Sspon.04G0003410-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:6819720:6825381:-1 gene:Sspon.04G0003410-2B transcript:Sspon.04G0003410-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MYHAMRLRCLLLRPPMWSNSSSLGISATGVSGGSFVRRFSALGAPRPPGPARRLCRFYGSKGGVGSAEARGAAASSAAAGSSGRCFEQEHARLGEKDQQEWLSSERFLTGCKRRESTFLTRRERFRSEFLRRVVPWEKGSLSWHNFPYYVNENARQLLSECVASHLRHKDVTSEYGSRLESSGGRILLQSSPGTELYRERFVRALAHELQVPLLVLDSSVLAPYDYGEDYSESEEEEDEHAESEDEGSESEMEDDGDEDWTSNNGKSGESDDEDALKSVEDLKKSVDDLKKLVPCTIEEFAKRIVGAEEITASESSETPESSEEEKRPFKRGDRVKYVGASGVIEADQRGLSNGQRGEVYEVNGDQVAVIFDPSIEKSHDAHEDVTSKEENGTATIYWVDSQDIAHDHDTESDDWHIALEALCEVLPSLQPIIVYFPDSSQWLSRAVSKSDRREFVQRVEKMFDRFTGPVVLICGQNILAAAPKDKEHPALMFHNLSRLSSLPSPLKRLVGGLKGERYSRSGDISKLFTNSLTVPLPEVLEEHDLSCVDLLHVKSDGIVLTKQ >Sspon.08G0021830-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:45152623:45163040:1 gene:Sspon.08G0021830-2C transcript:Sspon.08G0021830-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNI-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G10510) UniProtKB/TrEMBL;Acc:Q93ZV8] MESSLLLSSPRPLKPFHPLRFPTARRRHASFRGKPSPPPPPLPPLAHGSRRLGLAVPRAASVSFGDGFRSQDRPPGAGHVGARRRAYREAQGEAAVPPLAAAARAVAPYAAPVGAVLVLSFVIWKVVQNMIPGKKKDHNSGESAPSGIMWSFAAGSNLSTPSLSAEKELRKNLNKFYKELRTSKTVNMAGRQFGDEGLFFLAESLAYNKSAEEVDFSGNGITAVGIEAFDGILQINTALKTLNLSGNDIGDEGAKCLSDILVENVGIQKLLLNSINIGDEGAKAISNMLKKNKSIRILQLSNNTIDYSGFVSIAEALLENNSIRSLYLKLVTHNLAALIGNYGGPLGASSLAQGILGNKVAITFIPKLDLSYNPIGSDGVKALCDVLKFHGKIQTLKLGWCQDDGAFALAQALKANEDLAVTSLNLANNFFTKFGQVALSEARDH >Sspon.03G0004700-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:12118668:12125199:-1 gene:Sspon.03G0004700-3C transcript:Sspon.03G0004700-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMPQSFLLLPSFLPPASLVRVASRPLSSSSLTALRFHRGDAKLSPPLLAASHSPPGGFRGYGDSGGSDGGSGGDGGRGMDSPDPGDGWWRRRLQALHPEFLLLFLLLQSGAASALAEALGNSGDDAGACGRGGKRTRLVPDPTWTSYLIAGDDGWKREDEGEKVGGGAEELAALRRQLGRSWRRCTDVAVQLLLPDGYPHSVSSDYLNYSLWRGVQGVASQISGVLSTQALLYAVGLGKGAIPTAAAVNWVLKDGLGYLSKIMLSKFGRHFDVNPKGWRLFADFLENMAYGLEILTPVFPHLFVPIGAAAGAGRSAASLIQAATRSCFYAGFAVQRNFAEVIAKGEAQGMVSKFVGIGLGIALANHIGSSVPLALISFAGITAVHMYCNLKSYQSIQLRTLNPYRASLVFSEYLLSGQVPSVKEVNDEEPLFFNLSLGASRQQSKILSAEAKDAADRICGGYKWLSEIIESKEDACALFDLYKNEQYLLMDYKGKFCIVLKEGSSPEDMLKSLFHVSYLYWLERYMGFKPSNVASECRPGGRLEVSLDYAQREFSHVKHDGSDGGWVMDGLIARPLPVRIRIGDGTYLKVTLEVVELEGEALDYGNLVLVFGVAYIRKKGIKANKTKPLKFQAIDEPSILHIIQASELGNGIDEAVVELSDPPDMA >Sspon.02G0003420-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:11683093:11683660:1 gene:Sspon.02G0003420-2C transcript:Sspon.02G0003420-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVVQADEERNKLRELVAEERRRCRRGTGGGATTAGTRCGRGRRRRELRADGRGRRGGGGRVGGQQDGLLEVVHGGAGGIWGGGCGGGDGEGKRVGAIYEERRREERGFLRREPVLLPLFARMGGFAAFFGFGSPVLLWV >Sspon.02G0005120-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:10224114:10228259:1 gene:Sspon.02G0005120-3D transcript:Sspon.02G0005120-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAAAAAAAAAAALDSGVSAVSFGFVATAILVSMFLAMAILEHFLRPPAHAPGHAPPRGILRLFLGRGGERGAAPGADLEAARKLQGHAPLEIPVYPKGVSVLMPGQDMPTFIAHPAPAPCPPERIRWPSHQSPPFTGSSSNPS >Sspon.03G0020530-4D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3D:50596946:50606246:1 gene:Sspon.03G0020530-4D transcript:Sspon.03G0020530-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLPFRGLCPLVYKTDADSVSHVVTLLLPSTLQPISKEPDRFEVFFFFTRRSLGFPSLALHWHSVRARRAQPSRLSSSPVVSQDVQQQQMRPRDMAVDRQRAMRVPASVLP >Sspon.01G0059150-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:40720059:40723603:-1 gene:Sspon.01G0059150-1D transcript:Sspon.01G0059150-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPTSVALLSYLATLFILPHCLVHSLANIDFIYSGFQQAANLSLDGSASVLRGGALQLTNDSNSVIGHAFFDSPVQVVRGNSVVSFSTTFVLDIVTADNHGGGHGLAFVMSASKVLPGASDGIYLGLLGDSNNGNSSNHVFAVEFDTMQVRRLNETNGNHVGVDLNSLVSDVSEPAAYFDDDGKNISVVLEGAQPIQAWVDYDGGAEVLNVTIAPTSVTSRPHKPLISTVVDLLPIFKQDMYVGFSSSTGEKLASSHYILAWSFRTDGAAKPIDLSRLPKVPKRASPPPSISTVIKITALSCMFTLTVIVAVIALALWIRRRTAMETLEDWELDHPHRLPYKELYTATKGFEASELLGAGGFGQASRATDVFAFGVLLLEVACGRRPIDPVTGDSLLRRVRDHGVGGDLVRAVDERLDGCYDKVEAKLVLWLGLVCSQSRPEARPSMRQVCQYLDGELEMQEEAVLVFPDVDSVDEGSLASMTWSSCSCNTMSGGSLLAGRVRDHGVGGDLVRAVDERLDGCYDKEEAKLVLWLGLLCSQSRPEARPSMRQVCQYLDGELEMQEEAVLVFPDVDSVEDGSLASMTWSSCSCNTMSGGSLLAGR >Sspon.02G0044300-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:121873951:121884162:-1 gene:Sspon.02G0044300-2C transcript:Sspon.02G0044300-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVAGEGSLSSQKGKEREEDGADGRSQREEGVEVADGKGDLGDLYGAAAGWVEARTSCPHLGTMPPAGADDLARVPPPDSPCSRFGAPPSSSNSLWTLWNSPQFNFREQH >Sspon.03G0013520-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:54403849:54406401:-1 gene:Sspon.03G0013520-3C transcript:Sspon.03G0013520-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTTASYSSYSSSRDAAAAHLVRLLLVALLLAAGWGWATAANDTQRFRPGDELRRYRRVQALLKRLNKPALRTIQARACTSPPPARRAPSLRALFAMTALTSPDGDLMDCVAAHLQPAFDHPRLRGQRPLADPPARPKGRHRRRRPSNDTTADAGVQLWAASGEACPEGSVPIRRVTEADVLRASSVRRFGRAPAGRVRRDSVSGGHEVSTASEFSLSQIWVIAGSFGNDLNTIEAGWQVSPQLYGDNAPRFFTYWTTDAYQTTGCYNLLCSGFIQTNSRIAMGAAISPTSAYNAGQFDISLLVWKDPNHGNWWLEFGSGELVGYWPSLLFSHLASHASMVQFGGEVVNTRASGSHTATQMGSGHFAGEGFGRASYFRNLEVVDWDNSLVPLAAGFHVTADHPNCYDIQGGVNAVWGNYFYYGGPGRNVRCT >Sspon.02G0020210-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:87507068:87508120:-1 gene:Sspon.02G0020210-2P transcript:Sspon.02G0020210-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDDGSLGIRNWGFYDTVKGNLGLQLMSSVPPDRDTKSLLPNGAFLQHHGHHNAPHQLHMQHPQHARGPAGGRASVGMPAESQPIHMDFSRNEAWLHQLHHQRPPEQKVFHSRPIGPAGHVGHPGHGGHPAHGGHIVHHHPTGYGMISDAQHTLQMMQPPLESQHQEPPPCKEEEAPPPLVEDHSVPVVTTGPPVKKRQRGRQQNRQPKSPKPKKPKKAAVPLEDGAPNGHAPRRRGPKKTVGMVINGIELDLANIPTPVCSCTGAPQQCYRWGAGGWQSACCTTSISTYPLPMSTKRRGARISGRKMSQGAFKKVLEKLAGEGYNLANPIDLKTFWAKHGTNKFVTIR >Sspon.04G0018870-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:2507657:2513333:-1 gene:Sspon.04G0018870-2P transcript:Sspon.04G0018870-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGAIVHGFRRWFHRRNGSTSGSNQSSVAGEGVDGSSDLEVIEDPDLVGLRAIRVPKRKMPLPVESHRKNSVEMEFFTEYGEASQYQIQEVIGKGSYGVVAAAVDTRTGERVAIKKINDVFEHVSDATRILREIKLLRLLRHPDIVEIKHIMLPPSRREFQDIYVVFELMESDLHQVIKANDDLTPEHHQFFLYQLLRALKYIHAANVFHRDLKPKNILANSDCKLKICDFGLARASFNDAPSAIFWTDYVATRWYRAPELCGSFFSKYTPAIDIWSIGCIFAELLTGRPLFPGKNVVHQLDLITDLLGTPSSETLSRIRNEKARRYLSCMRKKYPVPFTHKFRNADPLALRLLERLLAFDPKDRPTAEEALADPYFSSLANVEREPSRHPISKLEFEFERRKLAKDDVRELIYREILEYHPQMLDEYMKGGEQISFLYPSGVDRFKRQFAHLEEHYSKGERGSPLQRKHASLPRERVVVSKDGNTEQHINDQERSADSVARTTVSPPRSEDADMNDVKSTSLSSRSYLKSASISASKCVVVTNKHPEDDEIPEEMDDDVDGLSKQVSRMHS >Sspon.06G0001650-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6C:3905785:3907598:1 gene:Sspon.06G0001650-2C transcript:Sspon.06G0001650-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDHLAIIASELGDATDFEVDGIDNLSENDVSDEEIDAEELARRMWKDKVKLKRIRERQQKLALQRLELEKSKTKKISDQALRKKMTRAQDGILKYMLKLMEVCNARGFVYGIIPEKGKPVSGASDNIRAWWKEKVKFDKNGPAAIVKYEVEHSMLSNPKISGAMNQHSLMDLQDGTLGSLLSALMQHCSPQQRSYPLDKGVPPPWWPSGNEPWWPALGLPKGEVPPYRKPHDLKKVWKVGVLTGVIKHMAPNFDKIRNRVRKSKCLQDKMTAKESLIWLGVLQREEKSIHSFGGALLPMAQHSTSEDRTEGIYSSSDEYDVDRLEQPPRSTSSKDDEGDAQPVLQIRGEQISTRRRPSARPPVAEVEVEVTQRNDNQPEVVCKAADNMDFIDPIDVLGMTNQPTSPNHAPTIGALQQHGDCQGNFLSPGAVINNYNSNQAASAAQSSTYLGDHPLACEGSDIANSWSGHSFRQDIGLGPIGFNPSPVVHQGLAMQQPLPLPMDHQAPIMGTGALTANGSYSLPTAGSGNSGTIVGETHQQLMDDPFFGDAGDSTFGGIPFSLVPFSSPMLNFDDL >Sspon.04G0004020-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:12680470:12683608:1 gene:Sspon.04G0004020-2C transcript:Sspon.04G0004020-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAATSPSPEQPLLRPPSPQPNPRADSGSGNPASPSPSAARPSRLAALIGRAAGRRGPSMLVRETAALQLQRRRADWAHSRPVVALDIAWNVAFAAAAAVVLASSAEERPVKPLRLWLVGYAAQCLVHVGLVCADTRRGTRRARGSASDVESAGAGTDSSDADSEDDERAEGRSRLTVVFLAFDVFFAVFCVAVACFIGIALCCCLPCVIAILYALAGQEGASDADINVLPRYRYSDPSEDGEKGSDEGLMIPILNNSGMSTSERILLREDAECCVCLSPYEDGAELSALPCNHHFHWTCITKWLRMNATCPLCKYNILKGSDSA >Sspon.01G0027400-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:99182304:99191212:1 gene:Sspon.01G0027400-2B transcript:Sspon.01G0027400-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLSHGVGGSDESVHSTFASRYVRTSLPRHSIPKEAAYQIINDELMLDGNPRLNLASFVTTWMEPECDKLIQASVNKNYVDMDEYPVTTELQVRSPRRSCFILAPSIAHQSSRSYLGLVSGHDSVNRCVNIIAHLFNAPLGDSETAVGVGTVGSSEAIMLAGLAFKRRWQNKMKAAGKPCDKPNIVTGANVQVCWEKFARYFEVELKEVKLSDGYYVMDPQKAVDMVDENTICVAAILGSTLNGEFEDVKLLNDLLTKKNAETGWDTPIHVDAASGGFIAPFLYPELEWDFRLPLVKSINVSGHKYGLVYAGIGWCIWRTKEDLPEELIFHINYLGADQPTFTLNFSKGSSQVIAQYYQLIRLGFEGYKNIMENCQENAMVLKQGLEKTGKFNIVSKDNGVPLVAFSLKDSSRHNEFEISDFLRRFGWIVPAYTMPPDAQHVTVLRVVIREDFSRTLAERLVLDIEKVLHELDALPARVPSGDLAALAAAEESEREMEKKRQVISLWKRAVLAKKKTNGVC >Sspon.06G0028110-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:2373856:2375029:-1 gene:Sspon.06G0028110-1T transcript:Sspon.06G0028110-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MERVESLGAAVFFITLVLPWVVSSPAAAASGPAAGSSCTSRCGNIIIAYPFGVEPGCYHAAGGFNLTCRQQGDPPSPGLFLGDGTVQVTDISVPSGTVRIKSRRMEFGQGPYSRGRITTGSVTWGGGLPEGGQFFLSESTGMVLVIGCNIQVDVHATDVDYRLVGSCTAVCPMLSGLNPYSSVLPYPYLPNGSCGGLGCCEANIILGYSFYRIQIQNRSISSSSYATSLIKAGIYIIDRDSSTYNIDTSSLGLDGGPPVTMDWVISGAQCPTTNKSAAECRSANSFCLDYVTQVGHRGYRCHCSYGYQGNPYVLGGCQDIDECKSPDIYHCYGNCRNTPGSFTCQCPAGFTGNASFPNGCK >Sspon.04G0015340-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:17726941:17729089:1 gene:Sspon.04G0015340-2B transcript:Sspon.04G0015340-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMDAEAGRWWTWNAAAVVAAGACLLLLMRVADALWWRPRRLEAHFARQGVRGPPYRFLLGCVTEMVALMAEAAAKPMSPPDSHDALPRVLAFYHYWRKIYGPMFLIWFGPTPRLTVADPELVREVLLTHADAFDRYEAHPIVRKLEGHGLVSLHDDKWALHRRVLTPAFYPDNLNRLAPHVGRSVAALAERWRAMASAAPGGEVELDVAEWYQAVAEEAIARATFGRSCDSGRVVFRMQARLMAFASEAFRKVFVPGYRFLPTKKNRLQWSLDREIRRGLVTLIGNRSLEAARDDDDAELNDDKGSNGFRDLLGFMINANDKKKKAAPAIPVEDMLEECKTFFFAGKQTTTNLLTWATVLLAMHPDWQERARQEVLAVCGADELPSKEHLPKLKTVRQLQLQLPVAHFFSFQTKPPNICSPFPRGHFGNSATTKSLTLFVSSLSLLSLSQLGMILNETLRLYPPAVATIRRAMRDVTLGGVSIPQDTELLIPIMAMHHDAALWGPDATQFNPARFAAGGAAKAAAHPLAFIPFGLGPRMCIGQNLALLEAKLTLAVVLQRFQLARSPSYVHAPTVLMLLYPQYGAPVIFRPRPVPVPVSSQLSD >Sspon.04G0021950-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:6529887:6532485:-1 gene:Sspon.04G0021950-3D transcript:Sspon.04G0021950-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPKRKRRAPPAKSQKQTDPDPQVPPGADAPLEERLIWVSQQESERRITAIKATQDAEAGNIPYQLQLVRSYCSKEQLEGSAMQYFQENLPDLSVVPNEEYDVLELKWNNGDEWMVGDFADDRTLRASIASFTTADGLQFPRDPDFYRRTSNFSDFAWSEQPEGQMAGAAEAFQTPGAVSNRLSCGMTPKTVRLPKNGEMLLSVRGSPLGVYKEENLSAIEGIELVLLNLVTEMKMLPANSLDITNYESQE >Sspon.04G0021800-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4B:1502164:1505010:1 gene:Sspon.04G0021800-1B transcript:Sspon.04G0021800-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRTPFLPHLPLFSPTFLPLRDPGRSFPRAPTLRSLDQTIVVSTEPAAAARPAAPGPPSAAGVSSPPPGLPAPPLPLPSHCAAALHPHSDAAARPAAPGPPSAAGLRSSQNGKAERMIRTTNDIMRTLLLQASLPARFWAKCLHTSTYLLNRLPSTACPAPTPHHALFGTPPRYDHLRVFKCACYPNTAATAPHKLAPRSTLCVFLGYSPDHKGYRCFDLTSRRVLISRHVVFDESAFPYSTTSPPTPPADPAEASFFPTDPVILPPFSLCPAGTAPARSPGGPASPLPDSHQDLPPVPDTAEAAPELPPSLPVASLPPVVPDATVPIAGTRAPTPPPGRFGLVYQRRREPSPPSSPPGRFGIVYERRRGPAPPLSSPAPSSPVHAPPASPRSRADPPVYHPPLLHRDPRHTHPMVTRQASRPQALTAAACEPRISPVPSSVRAALADPHWRRAMDEEYAALLANQTRADGSLERYKARWVLRGFTQRPGIDYAETFSPVVKPATVRTVLSLALERSWPVHQLDVKNAFLHGTLTETVYCSQPAGFVDSSRPDFVCRLNKSLYGLKQAPRAWYSRFATFLQTLGFTEAKSDTSLFIYHYGGETAYLLLYVDDIVLTASSESLLHRIIASLQKEFAMKDLGVLHHFLGVTVEPRPSGLFLHQRQFTCDILERAGMTACQSCSTPVDTQGKLSEAGGPMLGPADSTAYRSLAGALQYLTFTRPDITYAVQQLCLYMHAPREPHLAAMKRLLRYLRGTLGYGLLLGRSSTAELVVYTDADWAGGPDTRRSTSGYAVFLGGNLVSWSSKRQPVVSRSNAEAEYRAVANGVAEAAWLRQLLAELHRPLARSTLVYCDNVSAVYLSTNPVQHQRTKHVEIDLHFVRDRVAIGDVRVLHVPTTSQFADIFTKGLPSSTFSEFRSSLNVSSG >Sspon.02G0033480-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2B:7319998:7322135:1 gene:Sspon.02G0033480-1B transcript:Sspon.02G0033480-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLLIVPCRRRSRLLRRREARATAAGRCLVRRHVGGESSRVAQASSGEEPVDAFLPSDASVATVKSLVRRSDGGAAARPEHLAAHARLVKSACPDAFLVTTAMRGYLRACLPLQALLLLRSLLPRAPRLLGNSFSLSLALQATAALSGSVPAILGLGLGAASLHARALKSGFAAADLFVRTALVEAYAKAGRADLARAAFDEAPRRDVFLCNVMLAVYVARGEVAEARRVFDGMRERDMVSWNTMIHGYAVNGEVALAREMFDGMQVRDAFSWSSMMSAYAKGRRSKDALELWREMRAACVNPDCITMVSVLSACGDMGALTVGAEVHQFVGSNGVELDVKLGTALIDMYAKCGDIENSVRVFHSMPVKDVLTWSSMIIGLANHGLGHDALSLFSRMLSEGLQPNEITFIGVLISCTHLGLVSDGKKYFSSMSVVHGVTPKVEHYGCMVDLLGRSGHIEEARQLIRDMPFDPDAVIWRALLGACRIYKNVEVAEEAMAKLRVLDPHADGHYVLLSNIYAQANSWEGVAEMRRTLRRESIQRIPGRSSIEWQNTIYEFVSGDRSHPRFKEIYKMLEEMMDRLRQAGYKPMTGLVLQDIDEQSKERALAEHSEKLAIAFGLLTTPAGSTLRITKNLRACEDCHSAIKLISLLYKRKLIIRDRNRFHHFSEGQCSCKDYW >Sspon.05G0022770-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:8458657:8463109:-1 gene:Sspon.05G0022770-3D transcript:Sspon.05G0022770-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MELHRNGTNGSCHSSCGPLTDYYIPDYILKPDSERVTVDSVPCCPVVVFINSKSGGQLGSSLMKTYRELLNETQAHRIRFEFHKGAADHTFMRIDGEPWKQPLPKEDDTVVVEISNLHQVAMLANDPCRSKSVNDPSSPSCHSHEDDESNSLEDEDEWEDGRKKFGAAATFKIPDEVDIAHL >Sspon.07G0000700-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:940533:944075:1 gene:Sspon.07G0000700-4D transcript:Sspon.07G0000700-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFWSQLLDSLPLPNIKWICPTAASRPVAAFGGFPCTAWFDVEDTSIDGRDDIEGLDASAAHIANLLSSEPSDVKLGIGGFSMGAAVALHSAACYAHGKFASGIPYPITLNAVISLSGWLPCSRTLRGKMESSHIAVRRAASLPILLGHGRVDEVVVYRNGERSAEILRNSGFSFPLPPDNQID >Sspon.07G0001800-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:3599426:3602925:1 gene:Sspon.07G0001800-4D transcript:Sspon.07G0001800-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAASSSATACFLSPLPPPRRPRHFLRHLARAAATKPAPAPASASSLALPSPWPWARLRRLRELVPAEAAGRLLSSAAGSLIVALASASLVLGDAGAASAFVVSTPRRLQADELATVRLFQENTPSVVYITNLAVRQDAFTLDVLEVPQGSGSGFVWDKSGHVVTNFHVIRGASDLRVTLADQSVYEAQVVGFDQDKDVAVLRIKAPKDKLRPIPVGMSADLLVGQKVYAIGNPFGLDHTLTTGVISGLRREISSAATGRPIQDVIQTDAAINPGNSGGPLLDSSGNLIGVNTAIYSPSGASSGVGFSIPVDTVGGIVDQLIKFGKVTRPILGIKFAPDQSVEQLGLSGVLVLDAPPNGPAGKAGLQSTKRDPYGRLVLGDIITSVNGTKVTNGSDLYRILDQCKVGETVSFCFFDLYIHVYKSGNLMCLIIAKILNCVVFKVTVEVLRGDHKEKIPVVLEPKADES >Sspon.05G0009840-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:23155639:23158448:1 gene:Sspon.05G0009840-2B transcript:Sspon.05G0009840-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMPATMSGLSRRLLLCLFLIACLAALVLSIAGSARKTKETDNGDAKFRVLRGLDTLGRKQKQRHGHGISPAPAPARAHLPLLHKDARLPVPGEVAHNHNRGGNANATAPRQRHSSARERDGGGERGSKKKSTPLVVVAAAAALSGAALVLLAVLVVFLTCRKFQGRRGGGGADQSGTNKVSFEPGPGMFYLDAIKPYLDDAGRDGGGKAAPEMAGPKDQEPKREDEECGGACSDDDGAESVHSSCCFQSSHFSYSELAKGGQADGVSPSPSVRSKRRGSAPTTPSDKTKAASPYSPLGPRTPSSDERGRRAHSPSSTSVSVLTEQSFNDHELQGTAHSVRSLKFQSGGACHAKEAGAEADAASRKTVPPPPPPPPPPPPPPPPPVMVKQQQNVQISCGGPAVPPPPPPPPPLLIPQRQNAQRSHGPILPPPQAPPGLFRQTAPVGKNGAPLPKLKPLHWDKVRAAPNRRMVWDRIRSSSFELDEQMIESLFGYNAAARCSVKLEEGQSRSPSLGHHVLDPKRLQNITILMKAVNATADQIYAALLQGNGLSVQQLEALIKMAPTKEEVEKLESYDGDVGGLVAAERLLKVALTIPCAFARVEAMLYRETFADEVSHIRKSFAMLEDACRELMSSKLFLKLLEAVLKTGNRMNVGTARGGAMAFKLDTLLKLADVKGTDGKTTLLHFVVQEMVRSQKPPPARAAEGPDIVAGLAAELTNVRKTATVDLDVLTTSVSSLSHGLSRIKALVGTDQLAGDEKGQRFVAFMAPFVSQVEEVIRELEDGERRVLAHVRDITEYYHGDVGKEEASPLRIFVIVRDFLAMLERVSKE >Sspon.07G0021250-2P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7B:1827562:1828329:1 gene:Sspon.07G0021250-2P transcript:Sspon.07G0021250-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GSFYIFESSPYQETLQGDDGARQQLSHQFVAFVYHSQSKSWQRQLLAPPPFVYDPKHYEHYRHPDITSYAVVERGGSHAIFLSVDGAGTYCLDTVTHTWSYVGDWVLPFEGKVEYVPELKLWFSICTEGRQLGAADLSTMDSQPQIVGTWKELEAPGHWRETKPPQLVNLGSGRFCITRFFCALLNPRSSVNPMVLAGYDAVEDFTVLTGIDVVPCVHDAHGTANDTIGGGNGSKGKVELQMIKHNSRRHMSDGSD >Sspon.01G0001830-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:3900686:3901592:-1 gene:Sspon.01G0001830-2B transcript:Sspon.01G0001830-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNDTVILTTLNSAWSEPGSVLDVFLESFRSGESTRELLDHLVIVSLDMTAHARCRQVHRHCFALVTDGVDFSGQKNFMTDGYLKMMWRRIDFLREVLEKGFSFVFTDTDIVWFRNPLPHFYPDGDFQIACDHFTGDPSDLSNAPNGGFAYVRSNTETTEFYRFWYAARERHPGLHDQDVLNAIKRDPYVAELGVRIRFLSTELFGGLCEPSRNMSRVCTMHANCCIGLRCKISDLNAMLQDWRRFRALPREDKHSVSWTVPRNCR >Sspon.06G0009580-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:51052168:51058898:-1 gene:Sspon.06G0009580-1A transcript:Sspon.06G0009580-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPKAKKDAAPAERPILGRFSSHLKIGIVGLPNVGKSTFFNIVTKLAIPAENFPFCTIEPNEARVNVPDERFDWLCKLYKPKSEVPAYLEVTDIAGLIRGAHAGDGLGNAFLSHIRAVDGIFHVLRAFEDAEITHVDDTVDPVRDMETISEELRLKDIEFMKKRLEDLDKSMKRSNDKLLKIEHELCERQLMFLPFPGQVIAHLEEGKDVRLGDWKAADIEILNTFQLLSAKPVVYLVNMSEKDFQRKKNKFLPKIHACMIPKIIKTGFAAIHLIYFFTAGPDEVKCWQIRRQTKAPQAAGAIHTDFERGFICAEVMKFEDLKELGSESAVKAAGKYKQEGKTYVVQDGDIIFFKFNVSGGGKK >Sspon.01G0022590-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:82357952:82368539:1 gene:Sspon.01G0022590-1A transcript:Sspon.01G0022590-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:XEG113 [Source:Projected from Arabidopsis thaliana (AT2G35610) UniProtKB/TrEMBL;Acc:A0A178W347] MAAWCSAESTKPVFVGIYGAVLGGFAVSALFFLLSSFSSLSAPTLPLPAAAIAGANLSAPPTLVQPETMYNRPIWKPPPRRARMPSPRAFRLTRDMVAARARDGVIVVTFGNYAFLDFILTWVRHLTDLGVDSLLVGAMDTKLLRELYFRGVPVFDMGSRMATEDAGWGSPTFHRMGREKVLLINALLPFGYELLMCDTDMVWLKNPLPYLARYPDADLLTSSDQVIPTVTDDSLENWREVTGAFNIGIFHWRPTEPAKRLAKDWKDLVTSDDKLWDQNAFNDLVRKKFGQPVQGGDLVYSYDGKLKLGVLPASIFCSGHTYFVQGMYKQLHLEPYAVHTTFQYAGTEGKRHRLREAMLFFDQPSYYDSPGGFLSFKPNIPKSLLLDGAHTVESHFELVNYQLKQIRTALAVASLLKRTLVMPPLWCRLDRMWFGHPGILEGTMTRQPFLCPMDHVFEVHVMLKDLPKEEFGPHIDFREYSFLENPSLPKEVKNSLLDVQLCDEHSSRCSAVDETDKHRSFLLPRNSTEEKLLDLLSPYKDVKIIQFSSMVDAFGGFADAAVEKKFRNRVKRSVGLWCCVEFREIGHIYYDMYWDEKPGWKPHPPETREQDHPP >Sspon.03G0018300-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:77548929:77557128:1 gene:Sspon.03G0018300-1T transcript:Sspon.03G0018300-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGDSSGAGAGAGGAVAAAAKWRSDASRAFQYYLDRSTPHATGRWLGTLAAAAIYALRVYMVQGFYIVTYGLGIYLLNLLIGFLSPMVDPELDPSAAAEGPALPTRGSDEFKPFIRRLPEFKFWYAITKAFVIAFVMTFFSVFDVPVFWPILLCYWVVLFVLTMKRQIVHMIKYKYVPFSIGKQKYGGKKSVASTSSSKD >Sspon.01G0001090-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:3270281:3275703:1 gene:Sspon.01G0001090-4D transcript:Sspon.01G0001090-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEAKDVGILAMDIYFPPNCVLQEELETHDGVSKGKYTIGLGQESMAFCTEVEDVISMRYWLSLTVVKSLLKNYNIDPKSIGRLEVGSETVIDKSKSIKTWLMQIFEESGNTDIEGVDSSNACYGGTAALFNCVNWVESNSWDGRYGLVVCTDSAVYAEGPARPTGGAAAIAMLIGPNAPISFESKYRGSHMAHVYDFYKPDLASEYPVVDGKLSQTCYLMALDSCYNVFCKKYEKHEGKQFSIFDADYVVFHSPYNKVPPKKFVEALKLMEHRYGAKDFVTSQDTSLLSAGTYYLTHVDSMYRRFYDVKGDAVTTAMSNGH >Sspon.07G0015490-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:55507636:55509880:1 gene:Sspon.07G0015490-1A transcript:Sspon.07G0015490-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVLLQALNPSSLVRSGFGSNGEVSDEVDQVRALREEVVFEVCLHQGKDLSREGPARVGGDVSFGSEVLRWVQTVRKRWPRGAIGEPHAQGVCGGHDDLGAQEGTALDICRWPRRARRPLGQEDL >Sspon.03G0021570-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3B:88300896:88301693:1 gene:Sspon.03G0021570-2B transcript:Sspon.03G0021570-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAITSKEKEEALQLKQGESKVFSKLFTRESSAAAPSFRVYYGVASAGSVPFLWESQPGTPKNDAISTATLQPPLTPPPSYYTASRQQQAAPPHSLSHHRRNRSSSSSSSSSAAATATGKNNKKLSTKHYISIFSAMLPKMILHRRWSSKPAASSGSSPSAASSSCSSSSWSAFSSSASSSLSLSSFRSAQSPAACSSMRSRVFAFSAADDDSEVEQAAPPMCFSVRHESFRAFRGCRVAMTVKSALASVGGHGHAAGSTAAQKV >Sspon.06G0011310-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:44604380:44608030:1 gene:Sspon.06G0011310-2P transcript:Sspon.06G0011310-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMSLISLTWEIKGQPNCARKQRGVELFLCWAIMQGFVMMLQNRYQRQRLYTWIALGKAKRMDVVWGETAGVEGQLFLLCPLLFLLQ >Sspon.06G0023020-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:41775821:41780849:-1 gene:Sspon.06G0023020-2C transcript:Sspon.06G0023020-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMASMSDSETTNRGSMWELDQNLDQPMDEEASQLKNMYREKKFSSILLLRLAFQSLGVVFGDLVLSAAGGIRVQNQNMSTDVVVIVAVVILIGLFCMQHYGTDKVGWLFAPLVLLWFILIGSVGLVNIHKYNSSVLRAYNPVYTFRYFRRGKSEIWTSLGGVMLSITGTAVVIVMLVTTFLMVPVMLLVWKSHWVLVVIFLVLSLTVELPYFTACINKVDQGGWVPLVIAITFFVIMYVWHFCTVKRYEFEMHSKVSMAWILGLGPSLGLVRVPGIGFVYTELASGVPHIFSHFITNLPAIHSVVVFVCVKYLPVYTVPAEERFIMKRIGPKNYHMFRCVARYGYKDIHKKDDNFEKMLLDWLLIFVRLESMMDGYSDSEDFTLMEQKAERSTRSLQLTEKVGGNTMSSIGDLSYSSQDSIVPAKSPLTGNSLTRYSSQTFSDELEFLNRCKDAGVVHILGNTIVQARRDSGIVKKVAVNYVYAFLRKLCRENSVIFNVPHESLLNVGQIYYI >Sspon.07G0010290-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:27154369:27157367:1 gene:Sspon.07G0010290-4D transcript:Sspon.07G0010290-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRPPGVSFKLVLLGDGRVGKTSLVLRYVNNVFSDKQEATVQASYLTKRLVVEGVPITLSIWDTAGQEKFHALGPIYYRDADAALLVYDITDSDSFLRVTKWVKELKQMASKDIVMAIAANKSDLVRLKNIDTQDAVSYAESIATNLFVTSAKAGTGIDDVFS >Sspon.02G0025480-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:88311315:88312045:-1 gene:Sspon.02G0025480-1A transcript:Sspon.02G0025480-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPSAALMEELIEEILLRLPPDEPASLFRASLVCKLWCRLISGPRFRRRYRGFHRTPPMLGFLCGRLASDGAQTRFVPTSAFCSMRATGREIPVPKLTGPGTWNAAVVFAAAAAGGAGSCNHLDCHRGPFLVLYVLSCSPETFICTYSSDAGSWSDPIFTSQHDDRVDPNPFSSSVLVGNALYFESWSIERVLKYDLEFHEFSVVGLPPSTSFFEHPVGA >Sspon.04G0021970-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:2432598:2433220:1 gene:Sspon.04G0021970-1B transcript:Sspon.04G0021970-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MACAALISVRRTRPSGSRRSWRRRCVRTAPSPTGSACAPTTPSGDARDPTNSRSSDLDSAVADPAAAFCAVQRQAQALAPHQARLLSVGIGRLLRWKARV >Sspon.05G0007230-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:16818726:16820370:-1 gene:Sspon.05G0007230-2B transcript:Sspon.05G0007230-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGATTAKQARNGDRGAAKTTDGKADAAGAKAGDVGKGDAGNKAGGAMHHHGMSAVEAKDSQTIVALQAPVTVMRPVRGDLEEHVPKPYLARALAAPDIYHPDGTTDDEHRHHHMSVLQQHVAFFDRDDNGIIYPWETYSGCRALGFNMIMSFFIAVVVNGAMSYATLPGWLPSPLFPIYVHNIHKSKHGSDSGTYDNEGRFMPVNFENMFSKYARTSPDRLTYRELWSMTEGFREVFDLFGWVAAKLEWTILYVLARDDEGYLSREAMRRVYDGSLFEYVERQRAQHAKMS >Sspon.08G0009490-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:41565121:41565651:-1 gene:Sspon.08G0009490-1A transcript:Sspon.08G0009490-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRLQTYAAFSLLATASAVYYAFSSREQFYPAMVYLSTSKICFVLLLNTGLVAMCVAWQLVKRLFLGSLREAEVERLNEQAWREVVEILFAVTIFRQDFSVSFLAMVAALLLIKALHWLAQKRVEYIETTPSVPLLSHIRIASFMAFLLIVDCLFLSNSLRSLIQKWEASVAIFFSF >Sspon.02G0022200-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:74144031:74144609:1 gene:Sspon.02G0022200-1A transcript:Sspon.02G0022200-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLMTALQLVMKKSSAHDGLVKGLREAAKAIEKHAAQLCVLAEDCDQPDYVKLVKALCAEHNVHLVTVPAAKTLGEWAGLCK >Sspon.05G0011500-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:24701976:24704278:1 gene:Sspon.05G0011500-1P transcript:Sspon.05G0011500-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGGNAAAAAKDVDAEVVIVGGGIAGLATALALRRAGAAARGVLVLERHAGLRATGAALTIFPNGWFALRALGVAHKLASRYDAYETSKVTNLDTGATQVFRFAGNKNKGEEVRVRAVYRKALLEALAEELPPGTVRFSSKLVSIDTERAAGDSSETVVLGLDDGTVIRAKVLIGCDGVHSVVARWLGLSEPASSGRSAVRGLSVFPDGHGIKRELRQFLSEGLRAGMVPISDTDVYWFLKEAAGDTVKTLREVTDNLAAHMPAEYLDVVRRSDHGNLSWAPLLYRNPVSVLLGAAARGPVTVAGDAFHPMTPDMAQGGCSALEDAVVLARALSSAATPAEGVAAYVARRRWRAAWLVAGAYLSGWVQQGGTGVRGVRGWMVKAFRDWIFYRFVFPRLADTMWFDCGDLTPPPPPTIGKNHHTE >Sspon.07G0030550-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:11810439:11813649:1 gene:Sspon.07G0030550-2D transcript:Sspon.07G0030550-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAISLRLEGGELMPGGVNSPVRAFKSVGGQPVVFDSVKGSRMWDVDGNEYIDYVGSWGPAIIGHADDKTEYRKIDQKKKRWDQRCTKPGN >Sspon.01G0038230-2D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1D:24556460:24557221:-1 gene:Sspon.01G0038230-2D transcript:Sspon.01G0038230-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding HGVDAPSISQPSLFRALPLSTAREMSGGARSVLEAWRLGVVKYGEALQLQERLVSDRKAGRVGDLVLSLQHPPTYTLGKRREKAERNLLAPEAELRALGAELHRTERGGDVTFHGPRQAVLYPVLSLRALRLGARRYVEGLESAMIQVAALHGVSARPGDPGETGVWVGDRKIGAIGVRISSGFTWHGLAFNIDPDLGYFEHIVPCGIAGKGVTSLRREVGDGVELPADGVIHDQLVRCLATTLGFTDVEFKDD >Sspon.07G0003040-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:7282502:7287987:-1 gene:Sspon.07G0003040-1P transcript:Sspon.07G0003040-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDQGVVAVDAPRSEIKQLIMEDCSFGWFKLHALPMLERMAIVDTTVIYRLSSFPYLRHFSLTKCHGFSKSRTIRFTPDWDLDRYLGGSLGITNLVVRFTGYDRWFKPWSPALLLPKLRRLLIADVPSSWDVSWPRLLIEAAPCLESLHIHISPWEEDPCDDIAWQSPKFCHNHLKELVMVGFDGTERQIYFVNFVTEVSTSLQLVSLYKKGHVQDMGRWDWAMVTQRYDWDEDEKFSSQRINTKQLEFLVKVLAPRPWAASGIWDNRGTKTY >Sspon.01G0000480-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:867803:870913:-1 gene:Sspon.01G0000480-2B transcript:Sspon.01G0000480-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFKLHRHRSSDRVGDRFDFRFSNFRAVQVPAVSDRLFLSIVSVDNGKTIAKSSKVASRSGICQWPDTILEPIWFSKDEVSKEFEECQYKIIVSLGSTKSGILGEIFLNLSNFLNIVDPTAISLPLKRCNSGTVLQLKVQCLGTKSKLSGVRSLRDMAPRHDDRSPTPTNDEMDNRSDCSDGMFNKGVRSSSENHLGGTYQDESGNRETSFSASGSHRSSNSGDSTADRTNFSPRDNSNGGLYAGRQDSASSYASYVSAGRGDDGLRSNNSSFSSRASGPSLLQGNTPKTFSNGLSQLSMGHLTHLKIFLKLLKKQLRSSVMRQKCGNATLAS >Sspon.03G0030580-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:18492994:18501713:-1 gene:Sspon.03G0030580-2D transcript:Sspon.03G0030580-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRMKLVDSPSTNPKIVERNFGQRDISLASRAAIANNSDTAKKSNLTESALVKDIYTTSQAVSAPVVLPRDILEDKTVSNVRRGTGDTAAVPDDFRPVHKRKPSLSGSAVDSDSSSIFTEPDVCSEGLSGLKFSFGLTPYYKKEEFGDVDNEGIAQIAEKMDRTVSLDHSLQLNDDKSFESPCSTTETAKVKYVRGVAVPLGKTKSLVERWEKRESSSTDYSPQIGSYSDRVSRNDNPPSHLAEPSTTYEKDLSTVDEMMAPVNLVQNHDEFINAVKLRLTKLEMMRHVFEQSGIKGAIAAVAKLPDNAVQADVVSALKGKLDLFNLEIFSTFLPVLSGLLCSKTERHATVSLETLLDLIKIFGPVIHSTLSANLGVGVNIQAEQRLQRCTRCFNHLQKIQQTLNPLIMRGDEAAQLAQELNLSLQDLVVI >Sspon.02G0029230-1P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2C:114005267:114007426:1 gene:Sspon.02G0029230-1P transcript:Sspon.02G0029230-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSYDPSPSPGADDLLLYLSDLGPTSPSAYLDPSSPSDYLDLPPTPQPQQGNIGPAQDMLLPYISSMLMEDDIDGTFFYDYPDNPALLQAQQSFLDVLSDDASSPTTTTAAATSHRSASASASVNHSSSNGSASAPLTPAAVDSYAPPPGAQFDGFDLDPAAFFSNGANSDLMSSSFLKGMEEANKFLPPQDKLVIDLDPPDDPKRFVLPTPAPAGDKLAPGFNAAAAPTVPAAVAVAVKEEEEVVLAAPGNAAAAVLGRGRRNRFDDDDEEDLELQRRSSKQSALQGDGDERDVFEKYIITDPEKCTEMMQNLRIAMQEAAAKQEAAAAAGNGKAKGRRGGRDVVDLRTLLVHCAQAVASDDRRSATELLRQIKQHASPQGDATQRLAHCFAEGLQARLAGTGSMVYQSLMAKRTSAVDILQAYQLYMAAICFKKIAFVFSNNTIYNAALGKKKIHIVEYGINYGFQWPCFLRWIADREGGPPEVRITGIDLPQPGFRPTQRIEETGRRLSKYAQQFGVPFKYQAIAASKMESIRAEDLNLDPEEVLIVNCLYQFKNLMDESVVIESPRDIVLNNIRKMRPHAFIHGIVNGSFSAPFFVTRFREALFFYSALFDALDATTPRDSNQRMLIEENLFGRAALNVIACEGTDRVERPETYKQWQVRNQRAGLKQQPLNPDVVQIVRSKVKDCYHKDFVIDIDHHWLLQGWKGRILYAISTW >Sspon.03G0031980-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:31265840:31268638:-1 gene:Sspon.03G0031980-3D transcript:Sspon.03G0031980-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAERRLARVAAHLVPSFPVPHATVPPLAPSPTAASSSSSSSPAGDSYRRVHGDVPSEPPEWRAATDESGKEFVDIIYEKAVGEGIAKITINRPDRRNAFRPLTVKELMRAFNDARDDSSIGVIILTGKGTKAFCSGGDQALRDSDGYVDFDSFGRINVLDLQVQIRRLPKPVIAMVAGYAVGGGHVLHMVCDITIAADNAIFGQTGPKVGSFDAGYGSSIMSRLVGPKRAREMWFLSRFYTADEADKMGLVNTVVPLAELEQETVKWCRQILRNSPMAIRVLKSALNAADDGHAGLQELGGNATLIFYGTEEAKEGKNAYMERRRPDFSKFPRKP >Sspon.01G0009830-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:30159058:30161476:1 gene:Sspon.01G0009830-4D transcript:Sspon.01G0009830-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to Superoxide dismutase [Source: Projected from Oryza sativa (Os03g0351500)] MVKAVAVLGSSEGVNGTIFFTQEGDGPTTVTGSVSGLKPGLHGFHVHALGDTTNGCISTGPHYNPASKEHGAPEDENRHAGDLGNVTAGADGVANISVTDSQ >Sspon.06G0010960-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:59425240:59448194:-1 gene:Sspon.06G0010960-1A transcript:Sspon.06G0010960-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVARCELLGEEAELLRRVDGGVGTRRGSGDGGIGEEVRRLDERRALLGLAAGKVCGEVGSDGVEGGVGRRHEEDGGWDLLVAILRERRFGGDALRGLILPYLKTDRFTEVFGLRRVTAIGSIQMEVNSSGEETVVKVRKPYTITKQRERWTEAEHKRFLEALKLYGRAWQRIEVGQFIIKSEYVLVFYQFSLADQLLKQITTRNSWLEKEAMNNGTSPGQAHDIDIPPPRPKRKPNSPYPRKSGLSSETTTKELPNDKSTKPNMPLSNGNVQMAGDASLQKFQRKELSEKGSCSEVLNLFRDAPSASFSSVNKSSSNHGAPRGIEASKTEIRDMTIMENNFLNPNTQEDVKEISDQEMERLNGIQISSKCEHSHEGYLDLSMQQMKLKPKSVETTYVDKQTARASHSLAERNGTASILVTATEGTHSDQTSDQVGINGSMNPCIHPMLSADPKFDSSATPQPFPHNYAAFAPMMQCNCDQDTYRSFVNMSSTFSSMLVSTLLSNPAIHAAARLAASYWPAAEGNTPIDPNQENPADGVQGRNIGSPPSMASIVAATVAAASAWWATQGLLPFFAPPMAFPFVPAPSAAFPTVDVPLPSEKDRDCPVENAQKECQEALKQGQFEGLRVAASSVSDGSGKGEVALHTELKISPVQNADATPTTGADTSDAFRNKKKQDRSSCGSNTPSSSDVDADNVPEKEDNANEKVKQASCSNNSSAGDTNHRRFRSSGSTSDSWKEVSEEVGRLAFDALFSREKLPQSFSPPQAEDSKEVAKEEEDEVTTVAVDLNKNATSIDHDLDTMDEPRASFPNELSHLKLKSRRTGFKPYKRCSVEAKENRVPASDENNEYN >Sspon.02G0008520-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:17999312:18001558:1 gene:Sspon.02G0008520-3D transcript:Sspon.02G0008520-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFKRFVEIGRVALVNYGKDYAVRRWWVSLLLIQRCYRTRTPLYRPLPPPNPSFCLDFRRASPSRSSRFTAPPPLASPTAARMPFKKFVEIGRVALVNYGKDYGRLVVIVDVVDQNRALVDAPDMVRCQMNFKRLSLTDIKIDIKRVPKKTTLIKAMEEADVKTKWENSSWGKKLIVQKRRAALNDFDRFKVMLAKIKRGGAIRQELAKLKKASTA >Sspon.01G0046210-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1B:96658557:96660957:1 gene:Sspon.01G0046210-1B transcript:Sspon.01G0046210-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GQEHDNALHRTSVRPDAPLLDHNT >Sspon.07G0008310-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:21032641:21035363:-1 gene:Sspon.07G0008310-2B transcript:Sspon.07G0008310-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AKRKHGRPASAEAEDGVTLVLPPRPRRRLGAARRAPALLSPPRSLALCRAPSVRAPLVRGSAVPGPRGAAGRRPPSVAAMSSSTPPPGPVQKSEEEWQAILTPEQFNILRRKGTEYPGTGEYDKFFEEGIYKCAGCGTPLYKSSTKFNSGCGWPAFYEGLPGAIKQTPDPDGRRTEITCTACGGHLGHIFKGEGFKTPTNERHCVNSISLMLSKKKKNSISLKFTPS >Sspon.02G0017100-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:48478534:48480960:1 gene:Sspon.02G0017100-1A transcript:Sspon.02G0017100-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDAPATKKKRLQGPRHKESTPKGNEDDVDHISCLPNGILGEIISLLPTMDGVRTKCLSSKWSRLWLSAPLNFDYCDLQDPNDDKDLSPTLNGLQELVFHIPEVDFTLSLYPPPPASIFRFSSTLRIVSFGGCCLPDIMANQLQFPNLKQLTLFDAIISEETLHAMLDSCPALKNLLMKYNEAFRCVQINSRSLKSIGVHTNSFRQGPTLEELIIEDAPFLERLISFERYSRLHISVISAPKLETLGCIFENSNQTMLTLGSTTFLVTRTLSEVTLFTLTCYNCAFQGIRLVDMGAMVPMHTIKVLSMFPLSLCLDDVLNFIRCFPCLEKLYIQLLSRAQGHTNRWCHKHRNLIKYLVLRLKTIVITNYRGVIEQSEFVTFFVENARMLESMRFVVPSCFYRDKNWIRRQHRQLKLDKSSSRGATFTFTDNQCHHNMIHVLRAGDLSAADPLYVHLATTFKLNVYFGPYSF >Sspon.04G0034790-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4C:79125562:79126299:-1 gene:Sspon.04G0034790-1C transcript:Sspon.04G0034790-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKTPQRSPVKHPLSSRSIVRPPNPAAFPFVRHPTAWRGAGVRPRESTGGARQGEAGAGAGRGGRHGRPPWSARPLRLDPHGSTRLVSVGGGGRRGEGRMCAAGWGKGTLPPAAAVRAVHAPGPSGKARLSRAPPHRSSLTRARSTGGARRARLAAARAALARGRGSRTEKRPRRSPSAPEAAVHLPGAAPAAGGWREEARWEGAGSRGGRGGGIRRRRWTGGGDREGGGCERRERSPGVEGFY >Sspon.04G0024520-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:47148122:47150454:1 gene:Sspon.04G0024520-2D transcript:Sspon.04G0024520-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLRQVPNRQREGPVLVTSEPSDFNDDEPLQKMEAANIGSSRTVEIKTYSEFSAIQQSSQDDFAVLIHLKAPYANPEQVTGRSVNATSVGGYPTSRAPVDLVTVLDVSGSMAGTKLALLKRAMGFVIQHLGPSDRLSVIAFSSTARRLFHLRRMSHSGRQQALQAVNSLGASGGTNIADALKKAAKVIEDRSHQNPVCSIILLSDGQDTYNIPSNIRGARPDYSSLVPSSILSHTFRLVPVHGFGFGVDHDSDALHSIAEASGGTFSFIEDEGVIQDAFAQCIGGLLSVVVQNMQVNVECVHPGVRLRCIKSGSYLSKVAAHGRNGSIDVGHLYADEERDFLLSVSLPHCREQTTLLKVACAYRDSLTNEDIKIQGDEVTILRPKSPISEPVCMEVDRERNRVRAADAIEAARAAAERGALSDAVSILEGCRRILSESFSSRSGDRLCMALDAELREMQERMANRQRYEASGRAYLLSGLSSHSWQRATARGDSTDSDTLVYSYQTPSMVHMLQRSQNHCPSPQGPSQVQQPRIMVKPQPSHGARGGEAGLEIRGIDLGAVSAVDMILRVD >Sspon.08G0013050-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:55358488:55359396:1 gene:Sspon.08G0013050-1A transcript:Sspon.08G0013050-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGTPAASSSLQAALSYCVRQVRAYDYHHYLCLLHLPPDMRKAAFTFRAFNVETAKAMDVVSDPRTGLMRLLWWKDAVDKVFANKLVEHPVAQALSSVVTDHKVSKHWLKRSVEARINDANRDEGTIPETSTELERYAEDTQSTILYMTLQAGGIQSTVADHAASHIGKASGLLLLLKALPHHVNKQGTVPYIPASVAEECGLLTREGGRSEVRMDERLPDAVFKVASVAEAHLHKARELASSVPKDAIPVLLPALPAQVLLDTLRRCEFNVFDSRVSRGVHGVSPLWYQLKLNWNAWRSKY >Sspon.07G0001540-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:2481602:2482099:-1 gene:Sspon.07G0001540-3C transcript:Sspon.07G0001540-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDRQQHGHGHGGGEHPAADPPSSATLLRRVQTHAPNSTQVVGFLTLLVSGAVLLLLTGLTLTGAVVALVFLGPIALLTSPIWVPIAVALFVLAAAALSACGFLVAALAVGTWMYRYFTGRHPVGADRVDYARSRIADTASHVKDYAREYGGYLHNRAKDAAPGA >Sspon.04G0003870-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:44607369:44608274:-1 gene:Sspon.04G0003870-2P transcript:Sspon.04G0003870-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAILRRLTPTATAASPRVAPLPLPLPLPLLARGVSDSTDAITVETSVPFKSHIVDPPPREATTSARELLSQPYNSQIITVAVVYKAKLIRGFCHLYDGQEAVAVGMEAAITRADAIITAYRDHCAYLARGGDLVAAFAELMGRRDGCSRGKGGSMHFYKRDANFFGGHGIVGAQVPLGCGIAFAQRYRKEGTVTFDLYGDGAANQGQLFEALNMAALWKLPVVLVCENNHYGMGTAEWRASKSPAYYKRGDYVPGLK >Sspon.05G0034920-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:66864975:66865510:-1 gene:Sspon.05G0034920-1C transcript:Sspon.05G0034920-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLKISSKSTLVVFAVTWLLMALLATAVSSAGNQEAENRGVCAKVKGTCTEKVCGGMCADIGSNGVGSCKGSYCCCQPKSPTHIVQLSIRLWSKYNTHSQFLIRLNVLGTPLIAPTPLKMMY >Sspon.02G0025530-4D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2D:83850119:83856026:1 gene:Sspon.02G0025530-4D transcript:Sspon.02G0025530-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGVFQSGKVIAVKKIFDAHLLDNNNKFENEITCLMGVRHQNVVQLVDDFLVLDWDMRYEIIRGVCRGLHYLHDKCHIVHLDLKPQNILMDTMMMPKIADFGLSRLLGEQKSKTVTTNCAGTLGYMAQEYIDRGIISPKADIFSLGVIIIEVITGRRDYPNIGKRTETSLKHFTEEVVGSWKKRLELIPMHMSPQMHIQQVEQCISIALKCLEPDLNKRPTSLDIVQSLNAEETKSRSLENSTSVGEKDRRNQNLQSQVNMSIINIPRTISAINGLNVCANLFKCVISAISSLKSKWSGTRQEKLQGDVRHLQSDLQCLSDTLPSMYSLIDRGEWRIHDHFVADLLSKLKDAVYDAEDLLDEFRWYEKKITVEGNAISVEPIINFFHSVTQSSFNKVADIQKRLNYISGQLEKMGLLQALPRFDKSFRPETTAFPIEPEIFGRNMEKEKLITMLGVPIDNSTGPSGCKRKRNGVCSSASNQICATIDSNEAKRTSVHVLPIVGIGGIGKTTLAQDICNNSKVICHFKLIIWICVSDDFDVKRLTKEALEQSSREVPKNDNLNFLQGALADSLNTRTFLLILDDMWNENEQDWKRFCAPFRNVLQGSMMLVTTRSPKVANVVRTMDPFPLGGLNKDVFRKFFKLCVFGSDTSNNDPELECIGEKILPKLKGSPLAAKTLGRLLGMSFDPAHWDRILKSQLWELQQKETDILPALRLSYMYLPFYLKRCFSFCAVYPTDYDFKKEDLVEIWVAEGFVEHQPNVSLQHTGGQYFEELAYLSFFQKHPWANDKYVMHDLIHEMAQLVSKDECCVIKDKKDFRRIPQNVLHLSVLNGGDIKCSDLMNLCKHRKLRTLLCDMPLKSETGNTTMVKWCAELFCMRVMVCSSISKWGLPSSISNLKNLRYLKILDPCLCKSLPAEFCCLYNMQIIYAEKWEIDDIPSGFGLNWQSGMLLPSSLQNLRLSICGDFSALFPSCLEKLTSLEELHISYCKCLVSVPGDIWSSNLKSLQRLKLDRCPKLKSVGGPQAIAHIKDLFIDRCPELKEIDQPLRKGSFS >Sspon.03G0025720-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:602129:605676:1 gene:Sspon.03G0025720-1B transcript:Sspon.03G0025720-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding CCVSSHGHGHGLSRPLPRLRTARLVFPSAPSPRLSSRIPASPDPPLDVAAGDATGEDDWPAPDDDQDQEQEDRDEGIGFQIQVSKVGKRNRRLVRARVRVHAPLDAVWATLTDYEGLADFIPGLSECRLLDQHDGFARLYQVRSAPQIRLISRVHRVEGQVGEQDLALGFKFNAKGTIDCYEGDMELVPAAGARRREIAFNMIDGDFKLFQGKWSVEEVPTCPFLGGGNSEEQEFQTTLSYLLELEPKLWVPVRLLEGRICSEIKNNLVCIREQAQRIHRLQHEVTFSIAMVSPLLQQPVHFCHLEKT >Sspon.01G0020920-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1A:77204561:77205302:1 gene:Sspon.01G0020920-1A transcript:Sspon.01G0020920-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ELEGRKLAVECTGEGVLFIEADADVRLDHFGDALQPPFPCLDELIFDVPGSSEVLGSPLLLFQVTRLACGGFILGVRLHHTMADAQGLVQFLGAVAELARGAAAPTVRPVWGRELLEARDPPRPAFAHREYDEVPDTKGTLIPLDDMVHRSFFFGSQEIAAIRANLPPALRARASTFDLLTGLLWKCRTAALAPDADEVMRMICIVNARGGKSGIA >Sspon.02G0029720-3C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:112152536:112154402:-1 gene:Sspon.02G0029720-3C transcript:Sspon.02G0029720-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADKENAAPAVGARAAPGPRLTRAAAKRAAAAAAVDGPGDAKRRRVALGELPALANNAALRAPSRPVKPSKPASRSGKHARPGASEARGPSAVAAAAAEVEVEAERCASSSPPRAAESNSASFSAPRATADTDSSASSSPPRAAAAAPADAQLCGSYASDIYTYLRSLEVEPQRRSRSDYIEAVQADVTAHMRSILVDWLVEVAEEYKLVADTLYLAISYVDRFLSVNALSRDKLQLLGVASMLIAAKYEEISPPHPEDFCYITDNTYTKEELLKMESDILKLLKFELGNPTIKTFLRLLTHDFRRFTRSAHEDKKRSILLMEFLGSYLAELSLLDYGCLRFLPSVVAASVMFVARLTIDPNVNPWNTKLQKMTGYKVSELKDCIVAIHDLQLNRKCPSLMAIRDKYKQHKFKCVSTLLPPVVIPASYFEDLDE >Sspon.07G0010820-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:35953092:35966784:-1 gene:Sspon.07G0010820-1T transcript:Sspon.07G0010820-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLLLPLPFAAAAAASASSLHLAASRLRLPAVSVARRECLFVGRVVGGVVRAPARLAKRGLCAGAEAGGSAGTVVGQEEAMEWVKKDRRRLLHVVYRVGDLDKTIKFYTECLGMKLLRKRDIPEERYTNAFLGYGPEDSHFVVELTYNYGVESYDIGTAFGHFGIAVEDVAKTVELIKAKGGTVTREPGPVKGGKSVIAFVEDPDGYKFELIERGPTPEPLCQVMLRVGDLDRAINFYEKAFGMELLRKRDNPEYKYTIAMMGYGPEDKNAVLELTYNYGVKEYDKGNAYAQIAISTDDVYKTAEAIRVNGGKITREPGPLPGINTKITACTDPDGWKTDKQN >Sspon.07G0027690-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7B:60993876:60994076:-1 gene:Sspon.07G0027690-1B transcript:Sspon.07G0027690-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding EKRKGKEKGGGRERKEPDPPKLFVTARGRDDVAITFISGGIGTALLHVVTAPNHPAPLAFRPRHHW >Sspon.06G0011230-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:48128938:48136262:-1 gene:Sspon.06G0011230-3C transcript:Sspon.06G0011230-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSMTIGAKYKTTIKDPGTPGILRMNDDRFTFTPNDPRSAMKFNVDFRTIKGHKFNKVEGNKAALLNLSKEDKAGGYIFEFDNVGNRDLCRDFVARVLGKHQGIVPPRPTMTPENSVASTALEQLSAAEVERRVKLLREDSELQKLHKKFVLGNILQESEFWATRKNLLDDEANKRSKQRPSFKNALVDIKPMTDGRTNKVTFQITPEMIHQIFAEKPAVRRAYLDFVPKKMSDTRFWEKYCRAEYLVRTKNTAAATAEAAGDEELAIFLKNDDILAKEAKMKIKRVDPTLDMEADTGDDYIHLPDHGIHRDGNKETVDADSELARRTLSQDLNRHAAVVLEGRSLDVESTDPKTLAEALVRSKKEPPSTSIVDDANRERLVKVARMMEIEDLQAPQSLPYAPLCIKDPREYFDSQQANALRSLGGSNDGRKARTCSLSTEEAFHHLMDQMSSIKVNKLNCPIIQSDMALKVLNELNEGISRSRRLNLKNPQEGLLGQLPQHTRDELMDHWTAIQELLRHFWSSYPITSAVLYNKVQRVKEAMTQIYQKLQLIKESAQPDVRHEISRLVKPMTQALDAAFNHDLEQQQKSSKAGNRHNGF >Sspon.01G0042460-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:57436288:57442814:1 gene:Sspon.01G0042460-3D transcript:Sspon.01G0042460-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLFVEVLPRDATGGAAVLQCRRCHVDAASVAAILSRDFHGRLGRAYLFDRVVNISLGPNEDRYFRTGRHTVNDIYCICCQEILGWRYEKAYEETEKYKEGKFILERAMMCKQAP >Sspon.02G0044270-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:121481540:121483549:1 gene:Sspon.02G0044270-2C transcript:Sspon.02G0044270-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQCPCFGGSVQAAEQERRAEADRRESQDARAKAAEAAERRQQEYEKSAAGRAAKAQMKAMKESKTSNQGGEPVLKWQMGS >Sspon.02G0028930-3C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2C:115321996:115322618:1 gene:Sspon.02G0028930-3C transcript:Sspon.02G0028930-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding HVDAGLEYLHKSCQPPLIHRDLKPKNILLSATLKAKIADFGLTEALHDESTTHVTTHPAGTLGYLDPEYYNTSQLSEKSDVYSFGVLLLELITGQPPAVSISDTESIHTAHWVHEKLLEGDIATIADPRMDGEYDVNSVWKVAELALKCLKQPSRERPMMTDIVAELKESLELEASYAMGYYSSAPSSTLDLSAASADLHIDAHCST >Sspon.08G0025330-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8C:7982154:7986369:-1 gene:Sspon.08G0025330-1C transcript:Sspon.08G0025330-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAYSSSGLQCLQMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRL >Sspon.02G0016640-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:46459012:46461412:-1 gene:Sspon.02G0016640-1A transcript:Sspon.02G0016640-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sugar transport protein 14 [Source:Projected from Arabidopsis thaliana (AT1G77210) UniProtKB/Swiss-Prot;Acc:Q8GW61] MAGGFGGGGAAAGRAEFYEGKITGYFILACIVGSFGGSLFGYDLGVSSGVTSMDDFLKKFFPDVYHRKQAHLHETDYCKYDNQLLTLFTSSLYFAGLVSTFGASYVTKRRGRRASIMVGATSFFLGGAVNAAAMNIAMLIVGRVLLGVGIGFGNQAVPLYLSEIAPYRIRGAVNQLFQLTTCLGILVADIINYFTDRLHPWGWRLSLGLAMGPATAIFVGALFLPETPNSLVERGHLEEARRVLEKVRGTHKVDAEFEDLKEASDAARAVTGTFRNLLAVRNRPQLIIGALGIPAFQQLSGMNSILFYSPVIFQSLGFGSSAALYSSIITGSMLVVGALISMVTVDRLGRRFLFIEAGIQMISSMVVVAVILALKFGKGEELSKGVSTVLVVAICLFVVAYGWSWGPLGWLVPSELFPLEMRSAGQSVVVCVNLFWTAAVAQCFLAALCHLRWGVFVLFASLIVVMSIFVILLLPETKQVPIEEIWMLFDKH >Sspon.02G0007920-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:22222210:22223602:-1 gene:Sspon.02G0007920-2B transcript:Sspon.02G0007920-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPLKIPLVGQHTTIDDVTVWEWSGSAYDEGDGAAEWFSTYFGKPSRLVPFKEVSETRPTNPDYAQGYTVMFTDAFPILMASQASLDALNEILKEPVPMNRFRPNILVDGCHPCSEDLWKTVKINKLTFIGVRLCDRCKIPNIDQEKAIPGTESNETLRTFRSGEVLRPSHKNKRQVYFGQNLVCKESLSMSAEGNGRAIKVGDPVYVVQSFSSHDEAPA >Sspon.02G0035950-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:17299996:17309420:1 gene:Sspon.02G0035950-2D transcript:Sspon.02G0035950-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MANKATAATSWRWRLLLLLLVTVAALCWIPPAIAAAAAAAAASTANGARRSLLGFVEAQGNASYHCTPSGPCVPCQYSEKNDEKYSCSETGYRLPLKCVEAQNATKEGNKSKQRKILDDASTSGGTKSASGGPKHYITYRSCAPLVGEEKLSVLGFEVMMAGMLLVSGPFVYYRKRRTNLMQGAARIPTSDPRF >Sspon.06G0013370-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:57399358:57401374:-1 gene:Sspon.06G0013370-2C transcript:Sspon.06G0013370-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAVVSVSMGVMKPVLSKLATLMGDEYKKIKRLRKVVAFLQRELGDMDALLEKMDSADELDPQAKKWRRDIIEMSYNIEDRIDDFMHSVGEADDKIGILRKAAKYLRTFNDRRRLANEFQEIKTLVIEASERRKRYMLDQCISVTAPIVVDPRVSAIYKESTSLVGIDAQKDELVNWAMDEGKRLKFMAIVGPGGLGKTTLANEVHREVGGKFDCKAFISVSQKPQVIGLFNSLLSQLGLKTYSHACQLQDPINELRGHLQDKRYFIIVDDLWDMQAWDAIQCAFPPSNHCSRVIITTRNENVARSCCHNGHGCIHNMKHLRLKEAIF >Sspon.07G0002840-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:6393925:6395706:1 gene:Sspon.07G0002840-2B transcript:Sspon.07G0002840-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding QALKEAFEVICNKGDSGKSSAKLLATFCDNILKKGCSEKLSDEAIEDAFFKQGLRSVDIVTSFQKMRFSGVLLLDNDRFHKEEEKKDAELAKKLASVADLSVIPVKQKQAMKQARKDVVMLDE >Sspon.01G0032650-1P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:113176816:113181231:-1 gene:Sspon.01G0032650-1P transcript:Sspon.01G0032650-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTTTANRLGLLVAATVVLVVATAAAPALAAAPAAAAAATPPPSPFRRVYAFGDSFTDTGNTHSTTGPYSFGYVSSPPYGATFFHRSTNRYSDGRLVVDFLAETLALPTYLPPYLSSNSNSTTAVGVNFAVAGATAIEHDFFARNNLSIDVTPQSIMTQLDWFDAHLRAAAGAGERSAVADALFWVGEIGANDYAYTVVARDTIPPKLVRTMAVQRVTAFVEVLFCTMYDVAIVVVVGLLERGAKYVIVQGLPLTGCLPLAMTLARADDRDAVGCAASVNRQSYAHNRRLLAGLRELRRRHPGAVVAYADYYAAHLAVMRAPARYGFAEPFRTCCGSGGGAYNFDLFATCGSPQVTTACARPADYVNWDGVHMTEAMYKVVAGMFFRDAYCRPAFKDLLAMKAQGKP >Sspon.05G0002190-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:6864456:6867792:-1 gene:Sspon.05G0002190-1A transcript:Sspon.05G0002190-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARWAIAIHGGAGVDPNLPEHRQEEAKRVLARCLQVGVDLLRAGAQALDVVEAVVRELESDPFFNSGRGSALTRAGTVEMEASIMDGRGRRCGAVSGVSTVKNPVSLARRVMDKSPHSYLAFDGAEEFAREQGLETVDNSYFITEDNVGMLKLAKEAGTILFDYRIPLTGADTCSALAGAADSSNHLKAGMVMNGLPISVYAPETVGCAVVDSSGACAAATSTGGLMNKMTGRIGDSPLIGSGTYACGACAVSCTGEGEAIIRCTLARDVAAVMEYKGLPLQQAVDYCVKERLDEGFAGLIAVSSDGEVAYGFNCTGMFRGCATEDGFMEVGIWE >Sspon.06G0016770-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:72901441:72902995:-1 gene:Sspon.06G0016770-2D transcript:Sspon.06G0016770-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCVPDHEFAMREVAQAYELIKSQQPLLQFCNEQQSSATTTNPVQRLLNEALKALRLALSVMDPQLPAAVTGHHSSSTPANRPHLLRQKSSAGPGDSEGVMSTMTRGKRRRSNDASSRILLTSMPHEDGYHWRKYGEKQINGTHFTRNYFRCSYKYDRGCQATKQIQQHNNSDPPMFQVTYNSEHTCNHTTTSTKYNNDNDLPHLSYSNAEGIVTIPTSHAMKEQEQGPLSSLVEVSTLCLDSMPTEDPLCFSNHYTLNPYQADDLVMEMSNIPCVRSDGYLDIGPMALPVETLEDTPFNDLELDELFDSSWIDN >Sspon.08G0008060-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:29215073:29218652:-1 gene:Sspon.08G0008060-1A transcript:Sspon.08G0008060-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LPPTSHLPPPPSPDPSPSSLPVADFSSCNPTSAVTGCRKLRDTEFFTRQDPYVVLEYATTKLRTRTCTDGGRNPTFDEKFHIPLIEGLRELNVIVWNSNTLTHDDFVGSGRVYLHKVLTNGYDDSSWPLQTRHMRSAGEVKLIMHVDVSAMKNKMGRSVAASSAHPVPALSMPVPTPAPAPAPAPAPAPAPAPALASAIPYTGVTPSYPPVSAYPAATAYPAYPTPSHAPYTTAEYPPPPQQPFQPPPAGYPPSYPPQPYEQSYPPQPYGQSYPPQPYGQQPYPPPPAAQSPYPPAPYPGTYPPRPY >Sspon.06G0023690-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:47210172:47211744:1 gene:Sspon.06G0023690-1B transcript:Sspon.06G0023690-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLAYVLIFLLHLTASTCDATSRNDHLLFAGRADDDATAASPAIHYHQQCHTAKVLSDYENMHFHPSMMARRGGPTPRPSAPSVEAAGVGGAPPPPSLFAVEPSGVEGAPPPPGKQDTSAAMEPSLARGEGASSEASAAEQDDDLGVDYAGPKTHPHVGESTPFIETLMQLPAGHSAAASMLASDCPHRGNGVLPLHGYGDSFLDDE >Sspon.05G0006590-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:11886083:11898174:-1 gene:Sspon.05G0006590-3C transcript:Sspon.05G0006590-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAREPRIAVVTGANRGIGLEVCRQLAVNGITVVLTAMDEGMGAAAVKKLKGLAFSDVLFHQLDITDVSSIARLANFLNTQFGKLDILTYDAAKEGLQTNYYGTKHVIEALLPLLKASDDGRIVNVSSDFGLLRHFRNEDLKQEVNDVSKLTEERLDELLDQFLRDFKAGTAEARGWPVAFAAYKVSKAAVNAYSRILAAKQPALRVNCAHPGYVKSDITLHSGLLTPEEARAMWVAVVTGGNKGIGLEVCRQLASNGITVVLTARDEKRGAAAVEELTDAGLSDVIFHQLEVTDAQSIARLAAFLKARFGKLDILVTTRRPQSELIYICWCVEQIKGMDAFQMAEWMWKQSRPTSDAAKAGIQTNYYGMKKVTEALLPLLQASADGRVVNAGALDAHEWPTEFSAYKVAKVALNSYSRLLARRHPELRFNCAHPGYVKTDMTRQTGLLTPAEGAANLVKVALLPEGGPTGAFFALGQEAPFV >Sspon.07G0037910-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7D:64271023:64271438:-1 gene:Sspon.07G0037910-1D transcript:Sspon.07G0037910-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AFWRSETPIFYCAESRKRNGGERRSLRAPDAAPDAGRVRSVHRGAGAARVCDRTRVWPDQRVRSVQPGENVKRVTGASGAHGTGASGQVQRSRAQRSAIGRGWRVRSRTTGRVRSRRVLTGTRPDAGTVASGRSKERV >Sspon.06G0026090-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:75635489:75639793:1 gene:Sspon.06G0026090-1B transcript:Sspon.06G0026090-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKASSPLIPPPSEIDLEAGGGGEQLQCRICLETDGRDFIAPCKCKGTSKYVHRDCLDHWRAVKEGFAFSHCTTCKAPYYLRVHSHTDRKWRTLKFRFFVTRDILFIFALVQIVITALAYLVHFIDGYQQYWLRTAWSFDNEVSFYYICDCHLPGTLCMWTDCTTCFEGCATTAGECGGCLGGAGEAGLPLLLIMGVIVLGLFTVIGIFYSVLVATMVGQRIWQRHYHILAKRMLTKEYVVEDVDGERADWCPPPLPAEHISQLKSLGLL >Sspon.02G0015500-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:21415880:21421280:1 gene:Sspon.02G0015500-2P transcript:Sspon.02G0015500-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSKSYSNLLDMTSGDGFDFRQPFKSLPRVVTSPGIISDPDWDTISDGNSVGSASSTEKKIIVANFLPLNCTRDETGKLSFSLDHDALLMQLKDGFSNETDAVYVGSLKVQVDPSEQDQVAQKLLREYRCIPTFLPSDLQQQFYHGFCKQQLWPLFHYMLPICLDKGELFDRNLFQAYVRANKLFADKVMEAINTDDDCVWVHDYHLMLLPTFLRKRLHRIKIGFFLHSPFPSSEIYRTLPVRDEILKSLLNADLIGFQTYDYARHFLSCCSRLLGLHYESKRGYIGIEYFGRTVSLKILSVGVHVGRLESVLKLPATISKVQEIEQSYKGKILMLGVDDMDIFKGISLKLLGLELLLDRNPKLREKVVLVQIINPARSTGKDVQEAITEAVSVAERINTKYGSSSYKPVVLIDHRIPFYEKIAFYAASDCCIVNAVRDGMNLVPYEYTVCRQGNEEIDKLRGLDKDTHHTSTLIVSEFVGCSPSLSGAFRVNPWSVDDVADALCRATDLTESEKRLRHEKHYRYVSTHDVAYWARSFAQDLERACKDHYSRRCWAIGFGLNFRVIALSPGFRKLSSEHFVSSYNKASRRAIFLDYDGTLVAQSSINKAPSEEVISILNTLCNDPKNVVFIVSGRGRDSLDEWFSPCEKLGVAAEHGYFIRWSKGAAWESSYSSPQQEWKHIAEPIMQVYTETTDGSSIESKESALVWHYLDADHDFGSFQAKELQDHLERVLSNEPVVVKCGHYIVEVKPQGVSKGRAVNKLIHTLVNNGKAPDFLMCVGNDRSDEDMFECINGMTSNDVLSPTVPEVFACSVGQKPSKAKYYVDDTSEVIRLLRNVTRISSQREDVNASHVRVTFRDVLDYVD >Sspon.02G0030150-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2A:109689905:109690216:1 gene:Sspon.02G0030150-1A transcript:Sspon.02G0030150-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDMDDGQQQSRCMADCSCQAKVSERLSAFLFLLSRVRHRDGLDYCRSGVCERDIGEQARLCESSVMAWNERVRSCCPLPTACSWKCPQAEAGLGMNGQRVRH >Sspon.01G0023790-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:84253088:84256223:-1 gene:Sspon.01G0023790-3C transcript:Sspon.01G0023790-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:A member of Aux/IAA family protein, Regulation of lateral root initiatio [Source: Projected from Oryza sativa (Os03g0633500)] MAGLGFEETELRLGLPGGNDAGDAAAAARKRGFEETIDLKLKLEQPAAASSAAAHVEQEQEDEAGAGDVVVPAAVPLPSPPAATTGSAGTTMKRSPSQSSVVTADAQPADPEKPRAPKAQAVGWPPVRSFRKNILAVQSQRGGGGGDGGKLLAPPAFVKVSMDGAPYLRKVDLRTYGSYQELSKALQNMFSSFTIGSCGWQQGTTMMKETKLADLVSGSDEYVPTYEDKDGDWMLVGDVPWE >Sspon.05G0015450-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:59403129:59415718:1 gene:Sspon.05G0015450-1A transcript:Sspon.05G0015450-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLSPIPCICVVPVYVDYRLKSPGFWNFIPYESQFQQYLSSGLSLSLYQLEVSTFMWEEGPRLRMDLKLFPNNTPYFNANEVLRLNGMFTGWQIPDSDIFGPYELLSFNRGWYNAILPQGRKSGLSTGAIVGVVIAAFAAAAILSSLVTIIILRRRSRHSSSKKRSAKRISMKITGVKDFTFDELSHCTHDFNDSTLIGQGGYGKVYRGVLADGTVVAIKRAQQGSLQGSKEFFTEIELLSRLHHRNLVSLLGYCDEEDEQMLVYEYMPNGNLRDHLSARAKVPLDFPMRLRIALGSSRGILYLHTEADPPIYHRDIKASNILLDSKFVAKVADFGLSRLAPLPETEGSAPGHVSTVVKGTPYFLTHKLTDKSDVYSLGVVFLELLTGMQPISHGRNIVREVLAANQSGMIFSVVDNRMGSYPAECVEKFAALALRCCQDETDSRPSMVEVVRELETIWRMTPGTENIASSESGVLGMGSSSSNTTSTPTALGSRMASSDDHYISSMEVSGGNLLSSAPVRSFRCFDISELKRRVLAGRRLARHSCRARRGSAEGNAAGTCPVHVRRLALSRGTNHGLTALPNACAELLRSASHGGLTTSGPSLDAEFTRYMPRSPPKLPPDGHPRVRRSCVVFAADVDRLCAAASTPARRATRHEAPPAHEWKLLAAAVGWLDAHCRKDAVDADPGAVLKPATAAQLGFDAPDAADRGIRGVRAAWWLHAGWWRVGDSGPPHALHRVPRPSHLSGPPRAGRHHRTTPTPDATPPIS >Sspon.02G0011610-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:30877387:30881963:1 gene:Sspon.02G0011610-1A transcript:Sspon.02G0011610-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTAPPVVSIFALLNMDLVQLFITACVPVFNMLLVTGVGSFLATDFAGILSKEARKHLNNVSNVKGCTKAVIVFYVFSPSLIAIYLAKTITMESLAKLWFMPVNILLAFTFGLFFGWIVVKVTRAPAKLKGLILGCCSAGNLGNIFLIIIPALCQEKGSPFGAADVCQDIGLAYSSLSMAIGAVFVWSIAYNIVRVTSNLTEGDDDAQTNETKVLNSGNVTEENCSTSNDSTDECTLPLISTSIRPAKDKDSMLERTRKVLSSISEAVDLKKLFAPSTIAVIVGFIIGGTPLIRNAIIGDSAPLRVLQESSELIGGGAIPSITLIMGANLLNGVRGRASVPPSVIAGVIVVRYVLLPLLGTALVKGAVRLGLIQPDPLYQFILHLQYAVPPAMNIGTIMQLFGVGESECSVIFVWVYALASVAVTIWSAFFMWTLS >Sspon.03G0000920-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3B:10600655:10601005:-1 gene:Sspon.03G0000920-2B transcript:Sspon.03G0000920-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDIVQAEYSSSSPAATSTWWMVRLLCSLPYEGGDEIDRCCVHRMDFSTLKWCNVRDLGGRAFLLSRFYFGASCSAGGEHGLLPDRVYFVFDRNKTLQVFDVQDGSHQLQKLDGAPK >Sspon.04G0026760-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:50131070:50136049:1 gene:Sspon.04G0026760-1B transcript:Sspon.04G0026760-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLFGPTTYTSIGGNKYGFVIVDDFTRYTWVFFLVDKSDVFDIFRKFIKRVQNEFETIIKKVRSDNGSEFRNTRVEELCDELGIKHQFLAKYTPQSNGLVERKNRTLIDMARSMLNEYNVSHSFWAEAINTACFYSNRLYCHKFLEKTPYEILNGRKPNIAYFRVFGCKCHILKKGTRLSKFEKKCDEGFLLGYSTSSKAYRVWNLASGQLEEVHDVEFDKTNGSQDEEENLDVVRGTQLSNAMKEMDIGDIRPKQVIDVDDNKDQVLQSPITQASGSLMQNQASTSSQVQQDQQVASSSSPTHDQYQHPSNQVQMLQPTNIARDHPLDSIIGDIQRGVQTRSRLALFCAHYSFVSMKEPKDIDKALRDSDWVNAMHEELNNFKRNEVWELVERPNDHNVIGTKWVFRNKQDQDGIVVRNKARLVAQGYTQIEGLDFGETYAPLHCSSAIFDDPRVPREYLLAVTSGRGSSTYGFEAEPFQQWGLGTDMMIGLDRDPQTDAWDSPLSGEWKKGNIACHKSEARGLRPTARGTPREPGFGDEAFWRSQVNFGPPNPATEEKALWSKGKHVRCHTPCPPKTQQSDAPGADSPRAGGQETAISRHITTYRQHRRDPGR >Sspon.04G0009700-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:28214978:28217184:-1 gene:Sspon.04G0009700-1P transcript:Sspon.04G0009700-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSPCCEKDGLKKGPWTPEEDQKLLAYIEQHGHGCWRSLPAKAGLQRCGKSCRLRWTNYLRPDIKRGKFSLQEEQTIIQLHALLGNRWSAIATHLPKRTDNEIKNYWNTHLKKRLAKMGIDPVTHKPRSDALGTGGGGGAGAGGGAASAQHARAAARLSHTAQWESARLEAEARLAREARLRALAASAASASASVSAPPHMPGAAAAHRHDSPTSTLSFSESAALASVLQEAHGAAAARAAMQPMQAYEVACKEQQQQWGDHVVNVADAGFAAAGFTGLLLDGSLSQQDLRPATRGDEGAAEADAGLHETEEEKNYWDSIMNLASVAVPASEAYSTSSASFSTSVVAVPAPEAYSPALDF >Sspon.01G0029420-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:111685075:111685362:1 gene:Sspon.01G0029420-2P transcript:Sspon.01G0029420-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RRMGKSASVKRGHIPVLVGEGEELKRVLVHRKVLQHPYFTGLLELAAMEFEHDQKGVLRIPCDIRCFQAIVQLIRSRTRRRRKVTISCLLFLKLM >Sspon.02G0007390-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2B:21302155:21302709:1 gene:Sspon.02G0007390-2B transcript:Sspon.02G0007390-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMYLPPRSSSAGMRSIRRELQRKRSKPLALTRSVAKKPSASPPPRHGSSDAVQGPCPRPPRQEVPSSTISHSRGSTVTDASPQSRPRSSSRCNPASPPPPLRRLDPSSAGSAFRATPAVPAQLKPGTVVRVRTRTVKLKTGQVLVLCLKATIVSSSTDGGYEVVYDASFPRGDPKSTVHVAPHQ >Sspon.03G0017720-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:42491176:42501545:-1 gene:Sspon.03G0017720-3D transcript:Sspon.03G0017720-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPAPVAPPPVTPPPAATRAAQEPGRHRRRPTPPAPPRAATGRDPRRAGGNPRHRRRPTPPAPPRAATGPASRRHRPRPAPPAVTRAATGRHRAQIGGNQLSGEIPKELGLMSSLQIALNLSYNNLSGNIPSELGNLALLESLFLNNNKLTGEIPTTFANLSSLLELNKTVVRADLIGSKCKTAILSAVAKLEGIKSMDINDENCTLTVVGTVDTVAIVLELKKARLAAAIVSVEDDKPKEPEPPKEDEDPCQCREACVQACVEACEKG >Sspon.05G0020450-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:67078396:67082285:1 gene:Sspon.05G0020450-1P transcript:Sspon.05G0020450-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:At5g21140 [Source:Projected from Arabidopsis thaliana (AT5G21140) UniProtKB/TrEMBL;Acc:Q84VP9] MAPLSWRHHTLLQALLHRGPLSERDFHAVFAGVSGKDPATHQQLFNDTLLKINKDLAYLQFELRACINQYDGMVYYGVVNNIVDDESKLGTKYSVPQIAFYKGLLEAIVQEAGIDGSITSIDALNVRLDNQVVIVDGSEDSQSRLPSSIKNFSLSQKEKTLDELIRDHWLSYTSTDKIGLGTRSFLDLRSWFRGNDIPSCVVCNEACIKASSCPNEGCNVRIHEYCLKKKFSQRKASRACPSCGTEWPCQDGEADGDDDVNEPGEDQVSSANRSLRKKHKRVKAELVEENNNAGPSTEVPRRTLKSAKAEAVEAAQEASSAGASQPGRGSKRRKKY >Sspon.07G0012580-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:53556706:53559564:-1 gene:Sspon.07G0012580-3C transcript:Sspon.07G0012580-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIDLVAGGRNKKTKRTAPKSDDVYLKLLVKLYRFLVRRTKSNFNAVILKRLFMSKTNRPPISLRRLVKFMEGKEENIAVIVGTVTDDKRIQEVPAMKVTALRFTETARARIVNAGGECLTFDQLALRAPLGENTVLLRGPKNAREAVRHFGKAPGVPHSHTKPYVRSKGRKFEKARGRRNSRGFKV >Sspon.06G0002440-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:5387944:5389293:1 gene:Sspon.06G0002440-3D transcript:Sspon.06G0002440-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAAVLCRLGLGLRRLSTLPEFPAAAAGAPQPHHPTSKDAYFAAVHHLSTIVRRDFYLERTLNRLRLPSPFPPDLALRIIRAAAPTAPLHATRFLAWLRAKPSFTASAEHFDALLLPLARARLFPHLWSLASDMLGLGLPLSPTAFSAVISSYGHSRLPDQAVEVFNRLPRFGCPQTTEVYNSLLDALCANGNFTGAYKLLRRMARKGVAPDRATFSTLVDSWCAAGKLEEAQAFLDDMATRGFRPPVRGRDLLVDGLVRAGHLEEAKAFALRMTKEGILPDVATFNSLAEALCNAGDVDFAVALLADASSRGLCPDISTYKVMLPAVAKVGKIEEAFRLFYAAVEDGHRPFPSLYAAIIKALCKAGRFADAFAFFGDMRTKGHPPNRPVYVMLVKMCVRGGRFVEAANYLVEMIEAGFTPRAPTFSAVVDGLRHCGKHDLARRLEQLE >Sspon.02G0008040-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:16005980:16007385:1 gene:Sspon.02G0008040-3C transcript:Sspon.02G0008040-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding HGNGAASELPLLECYYMERAHQHELEGRRLPADGDGVPDRGGLPAGAGAAGAPGRGGGGAAVVEAVPLPPGARAGGRPRRLRVRRRLRARPPRRLLRRRQAQPERRAQRRDRVPGHAAARAHHPPRRGGRAPPAGPEQPPGLRQAGARRAGAQRHHRPLRLRERLRVRPLPGRRLRAPGHPDARRLLLAAPAAAGRRLRRRIARVPPLQRALPVAAHGGEERRQDGGLPAQGAPLRRRHRRQVARQGAPEHGLRQLHPRLHQAREHQEVV >Sspon.02G0008880-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:27756467:27764439:-1 gene:Sspon.02G0008880-2C transcript:Sspon.02G0008880-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEEAAESCGSHAAAAAASSGGGAARPATSSSSAAAAAAAQARKQQQSNKLEVYTEVLRRLHDAGLPDARAPGFDDELWNHFNRLPARYAMDVNVERAEDVLTHKRLLEQAKDPAQRPAFAVRAVQVSPILDGNQTDADSNTAGEEVASRPLNRQQSIHPPPAFGSSTNLEALALEASKSHQDHDSTFDNGRSLYRPMHEITFSTIDKPKLLSELTSLLGELGLNIQEAHAFSTNDGYSLDVFVVVGWHDELMWSKTDKNYRGTYCSQDVAIKVLKPERINADMQREFAQEVYIMRKVRHKNVVQFIGASTKPPNLCIVTEFMSGGSVYDYLHKHKGVFKLPALVGVAMDVSKGMNYLHQNNIIHRDLKTANLLMDENGTVKVADFGVARVKAQSGVMTAETGTYRWMAPEVIEHKPYDHKADVFSFGILMWELLTGKIPYEYLTPLQAAVGVVQKGLRPTVPKHTHARLSELLQKCVVILFWTCSCSIPARCGMD >Sspon.07G0001820-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:3680169:3682700:1 gene:Sspon.07G0001820-4D transcript:Sspon.07G0001820-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKHNNVIPNGHFKKHWQNYVKTWFNQPARKQRRRIARQKKAVKIFPRPTAGPLRPIVQCQTLKYNMKSRAGRGFTLEELKAAGIPKKLAPTIGISANVQRLKTYKAKLVIFPRRARKVKAGDSIAEELATATQVQGDYMPITRGEKRSVEVVKVTEEMKSFAAYGKLRLERMNKKHLGTRQKKAAEAEKEEKK >Sspon.03G0035320-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3B:79722958:79725468:1 gene:Sspon.03G0035320-1B transcript:Sspon.03G0035320-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGHPTPAAARLGGVTSRRHAELLLHSGVDGVSVKDLRLRRVVPPAAGSLDTSPECVAPVKPGSVESTPPEAVSAAAAAGDLDRKLVPPRSKLVRDPRSFGYRRLLPFLNEMTKNDSSIGKEVFLHDTAAHSKDGLGGSDSGLADESMGVSHCEPEAMDSVETMVVNTGGDTDVKDTCNNVTEEIKIVPHDLTNKPLLGRCTRSRFVHHPSSFSYKRMLPFLMENEISAQESDRVKIRKVGEERQLASNENGASASGQQYLAVSDDSSQECNRAQAEIMEEEKPPKADANHVLDDRQLKPPVLEASPPDCSAVEVQNVMQQEALTSSQAPLTSFEGELTSDGDNVQAVGQHQLVVSEESPEECKRDEVKRSIRDEAVKLDGGYALDSREFQLAASEVSSSENSMAEVQGAAQEEPLPLDGVEENSDKGDYASKEQAQLCVTNESLAAQLQDNAEFAEVLQCQNLDSGSHDVGFGSPTKRVVPLLHRHCAQQPQDSVVSLDDQLLNDDIQMVCRPSDPCAVDRSLSMEEMSGCIPFTQSPSSKAGISRPSGAHSMGKRALSPKKLSPKKGILKRSTRGCKGICMCLDCCTFRLHADRAFEFSRKQMKEADDIISNLLKEVASLRSLLEKPAGQ >Sspon.07G0007680-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:20653194:20656092:-1 gene:Sspon.07G0007680-1A transcript:Sspon.07G0007680-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MWALNNAKAGGPCLTPRHPAPLSLASPSTAAAGRTFWRRTPVWAPLVAVRASGGTRKDGPGGGEASSGEEADSKASSSGHDDASTPTGDTSAGLNQPHAEPNTSKPINVSSSNYWRDVRANLVRREQELLVDPSTPTESKASSGDPLQLPQKWAHAIPMPEAGCVLVATEALDDDSIFERTVIFILRLGSRGTFDGPFGVILNRPLYTKIKHVNPTFQDQATPFGDSPLFFGGPVDMSMFLVRTDDSSRLKGFEEVVPGICYGFRTDLEKAAVLMKSGAIRTQDVRFYVGHAAWDYEQLLGEIRAGYWAVASCSTELISDALTGDPSCLWTEILQLMGGQYSELSEKPKQDSSLYIMAACLWISAIAEYEERASDERHIG >Sspon.03G0004820-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:53393214:53407203:1 gene:Sspon.03G0004820-3C transcript:Sspon.03G0004820-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSMDEINLLQQAQRQHQHHLMVRGIGEEIDLEIGPGDDPSFSGASLVAVTSTHDTVVHADDHKSLLIPCSQTGAADGLAPQQHLAQGEEEHDGMLRQPSGHTKKKKKVVKKWREEWADTYKWAYVAVHDNTTRIFCSVCKEYGRKHRRNPYGNEGSRNMQMSALEEHNNSLLHKEALRLQLASKEKLQPPEIERPVYVKALSKTAASILECVLRRDPHEAEYIQSIQEVVHSLEPVLVKNTQYIQILERLLEPERCFIFRVPWIDDRGEAHVNRGFRVQFSQALGPCRGGLRFHPSMSLSVAKFLAFEHTLKNALSLYKLGGAAGGSDFDPKGKSDNEIMRFCQSFMDELYRYLGPDQDFPAEDIGVGPREMGYLFGQYRRLSGHFQISIVVLMQGNFTGPKIFWSGSSFRTEATGYGLVFFARLLLAEMNKELKGLRCVISGSGKIAMHVLEKLLPCGAIPVTVSDSKGYLLDEDGFDYMKYSLLRDIKAQQKSLKEYLKSYPHAKYIDDAKPWSEQYDVAFPCASHNEIDQGEAVAIINSGCRVLVECSNMPCTVQAVDILRKAKVFVAPAKATAAGGVALGELELNPEFNLMQLSVEDFENKIQDAIKQTYERSVKAAQDYGIMKESPEFVFLRFAKSDDAFT >Sspon.02G0051840-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2C:83839822:83841876:-1 gene:Sspon.02G0051840-1C transcript:Sspon.02G0051840-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSDGEGRGSEATAARKPRKRQLVMESSDSEADEFCISTRQNASGAASVGNAGAGSQGGGDQSGEKPVTASPEKVSGVKSSGGDGLEKKTGVELQSSSSQPAAKRIRVETAHGDGSGCSGGASKGGTGGKMLPRGLPTWRFERPEVRGGRVLDEKGGVGMKASSASKMKEQVSSLGDKRRQVELQKHERRTPLKTDQGKVIVSGQQEVLRLQGKRGVLRILPKHDKVTRDAGDGKILSRQTEVDGETGNVRIPTKRGVLKLLPKNNGAATESNDCKLLSKKVNKVDEETGDDKMPMKNTKVIDKETSDGKTLAITTKLDGEFHGHKHGHKVPMKDCTVDMETVAEKFQHRNSKADGETKERYKGYEEKSGAPAEFRKQDANGEKRVVGKLLSPVAMRKSDPSVVGVSLGQKMKQQNSKQQLKNYSLSLKDDSTKSSEQKNLKKRLLEHKGSSESLSKKAHSKAVDLQGTSGPVLNKLKMKKPRGGPLYTHKQDLRNKIKRVLLDNGWKIDLRQRKNKDYEDSVYVSPAGVGYWSITKAYAVFQEQFQNMGRSSKLNNTKPGASDAISKDDLALLKKNIVKRRTNKEICGAEKKPGVSRNRSRSSKDILASRGSRNKHQNKEDRVKDRRCGLLVRGSTHDTEDNMDGYIPYEWKRTVYSWMIDLGVVSEDMQVKYMNNNRTREMLA >Sspon.08G0024230-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:63113974:63120527:1 gene:Sspon.08G0024230-1B transcript:Sspon.08G0024230-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCSCCYPVALFIILCSSPSPFRSIRLGLAASLASLLLRPSDPCQMEVPGCASSIKQKMEPCEEEEPPLPPPPPPFEASDDWEVTPLSGDNPFFTSVMCKSQVQVPFQQIIPARFHRHLPEVRAPAVLLCRGRSWTVSYCGIRKWRRLQGGWKDFARDNGLRLGDACVFELVVPTTAGTEAEAAAATERDGNDSSKEGKKMEVVLRGGLPEEITSRGATSDDPLG >Sspon.05G0010700-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:21861656:21862740:1 gene:Sspon.05G0010700-1P transcript:Sspon.05G0010700-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding PLHRIPRLPWATPVSDCAQWRHLVRGPKAVNKPVAKGESRARTPTPQISHLSLSLPLLSPSLALAQVVVAQSLRRSAPTRAEPRERASPSSAPRCSAPRRWTRRYSVRTFFLAPASAAGSDWRLRAGGGVEAMQRQGRHLERSNSKRALDHGGGADDGDRPSKRPRVPALARRDETVECGRGPMYLIPDCPAREE >Sspon.03G0010420-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:35559307:35565659:-1 gene:Sspon.03G0010420-2B transcript:Sspon.03G0010420-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cinnamoyl-CoA reductase-like gene family member, Defense respons [Source: Projected from Oryza sativa (Os01g0639200)] MGVLRSTQSLQAEVDELRAALLAGGHGEAAGGGWRRSAGHADAKRAPRGDAAARAVCVTGGISFVGFAVVDRLLRHGYTVRLALETQEDMDKLREMEMFGEDGRDGVWTVMANVMDAESLHHAFDGCAGVFHTSAFVDPGGMSGYTKHMATLEAQAAERVIEACVRTESVRKCVFTSSLLACVWRQNYPHDRRCPTIIDESCWSDESLCRDNKLWFALGKTAAEKAAWRAARGRDLKLVTICPALVTGPGFCRRNSTASIAYLKGARAMLADGLLATANVETVAEAHVHAYEAMGDNTAGGRYICYDHVVKRPEEFAELERQLGLPGGAAAAQGSDDDRPVRFELCKRKLSRLMSSRRRCTYDTYYSVAFD >Sspon.04G0003180-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:49437842:49438924:1 gene:Sspon.04G0003180-1P transcript:Sspon.04G0003180-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIDLHTNQLSSSSSSSSDQELMKALEPFIRSASSPTSSTCTTTSPFSYSYSYPYCSALPQDSYYLPATSSYTALPPPPPAPTTATSFSQLPPLPQSSSSYTSPAASYPTSSADAASGLAALNHLGPAQIHQIQAQLLVQHQQQQRGLLAAAFLGPRAQPMKHAGAPPPSASAANAKLFRGVRQRHWGKWVAEIRLPRNRTRLWLGTFDSAEDAALAYDKAAFRLRGDAARLNFPSLRRGGAHLAGPLDASVDAKLTAICQSLTTAEPASSKAAAASTTAAAPPDSPKASASTTTTEGDESVHSAGSPPSSLPAFPQQQQQNHPEMASLDFTEAPWDESAALHLNKYPSWEIDWDSILS >Sspon.03G0019220-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:45360568:45361077:-1 gene:Sspon.03G0019220-3D transcript:Sspon.03G0019220-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding PMTSCEFAAVRLPGLLALRSGRISRPSPIPPPPPAVTKNKKPAASSTSSSRCASSRRDDAEFSCNNGGGGGRMVDEGMVVLRRRIHEMEAAERGWEPPDEWAAWEKEWYATYDADVCRLLGLLQAFLASSRPGVGVGLVAVLVLAVPASAFALVSLLLDASRAIVSSLHH >Sspon.03G0040840-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:33766205:33767152:1 gene:Sspon.03G0040840-1C transcript:Sspon.03G0040840-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSTAANVSDRPISPDTTRVAWVGTGVMGQSMAGHLLSAGYALTVFNRTASKTQGLVSRGASLADSPRAAASAADVIFLMVGFPSDVRSTALDPSTGALSGLAPGGILVDMTTSDPTLAAEIAAAAAAAGCSAVDAPVSGGDRGARNATLSIFAGGDAAVVARLAPLFKLMGNALYMGGPGAGQRAKLGNQIAIASTMVGLVEGMVYAHKAGLDVAKWLEAISTGAAGSKSLELYGKRILERDMAAGFYVRHFVKDLGICLSECQAMGLSLPGLALAQQLYVSLIAHGEGGLGTQALILAVERLNNTSLEKDE >Sspon.07G0003670-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:8799565:8801790:-1 gene:Sspon.07G0003670-1A transcript:Sspon.07G0003670-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGKKRTFVLYPSLGVGHLIPMVELAKHLLRHGHGALIAVVNPPDTDAVSAATVARLAAANPGIAFRLLPVPASPDVGAHPVKRDLDTLQLANPALRDLLRDSLAGAVDALLLDMFCVDALDVAAELGVPAYFFFASAAGDLAVFLNLPYLYPTLPSSFRDMGEALVRCPGMPPIQALDMPWTVRDRESDASHATKVRMYQWKRIPEGRGVLVNSFDWLEPRALRALGDGVCVPGRPTPRVFCIGPLVNDGSTTGESGEGHECLAWLDAQPKRSVVFLCFGSKGAFSAAQLQEIARGLESSGHRFLWVVRSPPEEEGQSPEPDLGRLLPAGFLDRNRDKGMVVKNWVPQAQVVRHEAVGAFVTHCGWNSALEAIVSGLPMICWPLYAEQGLNKVFMVEEMKIAVPLGRYEEFVRAEEVEAKVRLVMEAEEGRILRERLAVAREKVLGATMEGGSSEVAFAEFLRDLDKSSSITGSISIMMLTSVHDHLASSKSFKNSSNATDDGPPSASASAAFSFAIASLSLSFSPSSDSITSLTFFSTSSALTSSSS >Sspon.08G0006420-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:17096461:17100961:-1 gene:Sspon.08G0006420-4D transcript:Sspon.08G0006420-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding TRLSVRLHLHLHLHPWHARDEATTDCGAGQPQPDATGRQRRTNAKVNPPAHRTQQRPLTRPSTATRSPARAHLPFPFTLQASTSAAPAVTSPRHATSRASPNRRRPPQIKPPARRDPVATAVPPEEERQGEHRGMLEAKPPSPGSGAAGAAAHIHGHRRWAAPLLASVLLSSLLISASLFFSSSRALLLSFSPLPSAASAEPLFVEAKLRQQMRAEERPARGAVPRIAYLISGSAGDGAALRRTLRALYHPANTYVVHLDLEAPAAERAELASAIRADPVYARFRNVKVVTRANLVTYRGPTMVANTLHAAAILLREGGDWDWFINLSASDYPLVTQDDLLHVLSELPRQLNFIEHTSDIGWKEYQRAKPVIIDPGLYSLQKSDVFWITEKRSVPTAFKLFTGSAWMMLTHQFIEYCIWGWDNLPRTVLMYYANFLSSPEGYFHTVICNVPEFRNTTVNHDLHFISWDNPPKQHPHYLTLADFDGMVNSNAPFARKFGREDPVLDKIDQELLGRQPDGFVPGGWTDLLNTTEKGKPFTVERVQDLRPGPGVDRLKKLVTGLLTQEGFDDKHCL >Sspon.05G0038780-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5D:53900096:53901168:-1 gene:Sspon.05G0038780-1D transcript:Sspon.05G0038780-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAFSLSAPCRIVLRARRMNLSKPDCQGLTVPIGATGGMAGKDPLEEWIDLMERLDFNLGDGVNNSLMWFMA >Sspon.06G0021180-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:13364648:13366190:-1 gene:Sspon.06G0021180-2D transcript:Sspon.06G0021180-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LLVAAGDEEKAGLLRRLVPGAAERLRLFDADLFDAATFAPAIAGCQFVFLVATPFGLENAGSKYKSTAEAVVDAVRAVLRQCEESRTVKRVIHTASVSAASPLKEEVSGVIGYKDFISECCWTSLDVDYPLRSAHFDKYILSKLQSERELLSYNGGESPAFEVVTLALGLVAGDTVLGRAPETVESAVSPVSGNQPYFGLPRILQQLLGSLPLVHVDDVCDALIFCMERRPSIAGRFLCAAAYPTIHDVVGHYAKKFPHLDILKETEAVARVQPDGDRLGELGF >Sspon.01G0027020-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:95314267:95319609:1 gene:Sspon.01G0027020-1A transcript:Sspon.01G0027020-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LIVAGFFVCLDSFLSLLTIMPARIVITIWRVLKTRKFRRPNAADLSDYGCFVVLALGVASLQMIDISLIYHVIRGQGTIKLYVVYNVLEIFDKLCQSFGEDVLQVLFNSAEGLSACSTDNVTFELMRFILDEAIAAITLSTCIIAHNNALLALLVSNNFAEIKSNVFKRVSKENLHSLVYYDIIERFHITAFLLFVLAQNILEAEGPWFDSFLTNASLVFLCEVLIDAIKHSFLAKFNEIKPVAYSEFLEDLCKQILNDKPDDHKKDLTFIPLAPACVVIRVLTPVYATLLPAGPFIWRMFWILLWSVLTYFMLAIFKIIVGLILRCLANWSVRTMDQKS >Sspon.06G0009970-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:53882759:53885774:-1 gene:Sspon.06G0009970-1P transcript:Sspon.06G0009970-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding EAMIPSVRLSPGPAAFSGSSLRSKLPSIPSISSLKPSKYVVSSLKPLYLAPLNGPRTAELKSRRQPLEFCCAASAADDKESKTQVAPVQSEGAQRLKISIYFATWWALNVIFNIYNKKVLNAFPYPWLTSTLSLACGSAMMLFSWATRLVEAPKTDLDFWKVLMKVAVAHTIGHVAATVSMSKVAVSFTHIIKSAEPAFSVLVSRFLLGETFPVPVYLSLLPIIGGCALAAVTELNFNTPGFMGAMISNLAFVFRNIFSKRGMKGKSVSGMNYYACLSIMSLVILTPFAIAMEGPQMWAAGWQKALAEVGPNVYRWIAAQSVFYHLYNQVSYMSLDQISPLTFSIGNTMKRISVIVSSIIIFHTPVRPVNALGAAIAILGTFLYMQAK >Sspon.08G0011810-3D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4D:9549277:9552944:-1 gene:Sspon.08G0011810-3D transcript:Sspon.08G0011810-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLIGFLLTLPLLFLVFGGRWGSSSFPSSSSSSPATSSSPPVVSKPVARHVDAGDRGATPQGQKPVALKNVSASTATSVSQDKLLGGLLSAAFDESSCQSRYKSNLYRKPSPFPLSPYLAQKLRKYEAYHKKCGPGTKRYRRAVKQLKSGRNADSSECKYVVWFPCNGLGNRMLTIASTFLYALLTDRVLLMHVSAEQEGLFCEPFPGSSWVLPGNFPQNNPHKLHIGAPESYANMLKNNVVRNDVDPASVPASSLPPYVYLHVEQFQLKLSDNVFCDEDQAMLGKFNWMILKSDSYFAPALFLTPMFEAELAKMFPQKEAVFHHLGRYLFHPTNRVWGIIKRYYEAYLARVDEKIGFQIRIFPEKPIKFENMYDQLMRCIREQRLLPELGTAETTTTNATADAGNGKVKAVLIASLYSGYYEKIRGMYYESPTKSGEIVAVFQPSHEEQQQYTSNEHNQKALAEIYLLSYCDKITMSAWSTFGYVAYSFAGVKPWILLRPDWNRETSDVACVRSASVEPCLHSPPILGCRAKRDVDVAAVKPYVRHCEDVGFGLKLFDS >Sspon.05G0031290-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:4303361:4305622:-1 gene:Sspon.05G0031290-1C transcript:Sspon.05G0031290-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKSGYTRPLPLAGDTAPPPPSAVLYVANCGPAVGVTDADVRSAFGAFGEVAGVQAADDSGARTIVRFHEPGAAEAAMAALHGRPCDLLAGRVLHIRYSVPVKPKARSGGSVQVALAASELGIPGIYMVQEFVTAAEEQELLSAVDSRTWKRLAKRRVQHYGYEFLYETRNIDSKQFLGELPTFVSTVLEKIASFPGVKDCTIRLIDQLTVNEYPCGVGLSPHIDTHSAFEEMIFSLSLAGPCIMEFRKYTKGSWHAPSVVNGVDEDSSQEPECIRKAIFLPPRSMLLMSGEGRYAWHHYIPHHKIDAVGGQVIKRNSRRVSFTFRKVSFILFVSWRTGLNLLF >Sspon.06G0032310-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:83089715:83094934:1 gene:Sspon.06G0032310-1C transcript:Sspon.06G0032310-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding FNFLFSVLLDGGRGLAAAGGGRVQPAVVGARSSLLRSPRRTALALSLPAPQLCLCRCRGHPRSPPFVRPQGNLGGISFRVVVVFFPRGLLLETPSFSSGLVGKVDFTVRHLFSSVQIFPSSFSGFSSGQPSFSPLTRQVT >Sspon.05G0017170-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:67390751:67396656:-1 gene:Sspon.05G0017170-3D transcript:Sspon.05G0017170-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMPSGPPNPMGPGQPVGGAAASLLRANSSLLSGGGQPGMMGGGGGAGGGGGMLSSQSPFSSLGSPRTQFGGNGLLGGASNVSSLLNRPPFGNGGPMPGPGSMQGGGMQMSTLQQRAGLDDASPDDEEFKFSQDGATDFGFISILAAAAAAAAAATTTTTSFDNNIEYWRNFVNEYFAPNAKKRWCVSLYGSGRQTTGVFPQDVWHCEICNRKPGRGFETTVEVLPRLCQIKYASGTLEELLYIDMPRESQNTSGQIILDYTKAIQESVFEQLRVVREGHLRIVFNPDLKIASWEFCARRHEELIPRRSIIPQVSNLGAVVQKYQATAQNSTSLSAQDMQNNCNSFVACARQLAKALEVPLVNDLGYTKRYVRCLQIAEVVNCMKDLIDHSRQTGSGPIDSLHNFPRRTPSGISTLQPQQQQTEEQQAIPQSSNQSGQNSAPMAGVQPSASANGDVTSNNSLSCAPSTSVPSPSVVGLLQNSMNSRQDHPMSSTNGGPYNGGNVAIPKVNSTSSLQSNPSTSFPSPAPTTSNNSMMPAPQNTNQLSSPTTSSSIPPMQPPATRPQEAEPSDSQSSVQKILQDLMSSQMNGVGHSGNDMKTPNGLTHGVNGVNCLVGNAVTNNSGMGFGTMSGFGHGMRTAMANNPMAMGARMGMNHGAHDLSQLGQLHQQQQQHQQQHDIGNQLLGGFRSANSFNNIQYDWKPSQ >Sspon.01G0019700-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:71501504:71506598:-1 gene:Sspon.01G0019700-3D transcript:Sspon.01G0019700-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEETLVAVPLAPHHHQARLDALPHHLAPKPPPPPGPIAADKGEEEGDRHHRPADGVAGEPPAQVLRSVTQPGLAAVAGAGEEGDVYYARKMLQGVVLRPPPHLPQPEAPPGLARAHSTPAPHEYAAEEEEEEEEERQRQHKRPVERSASANSAGTIVDDVVSIGRFFRDRRDVLSSAITRRISSLRESSSPPSAKAAAVVDTYGVQEIHLPNVKVTVRLKDAIAAEDDDVGYSFSGGHIKGRVSFFSRSGCRDCAAVRAFFRQSGLPYVEINLDVFPEREAELASRAGAAARVPQIFLNEKLLGGLVVLNSLRNSGEFERRVRDLAGRRCPDSAPRVPVYGFDDEAGAAAAGGGKGEEAAEDVMVGIVRVLRHRLPIQDRFVRVKLVKNCFSGTDMVDGIVNHLDCSRKKAVEIGKELARKHFIHHVFREHDFEDGTQNLYRFLEHDPAVPKYYNFRGSTNDGEPKPAAAVGQRMTKIMLAILEAYASDDRRHLDYGRIAASEEFRRYANLVQELQRADMTQLPAEERLPFFLNLHNAMAIHAVIRVGQPGAVDRRPFFSDFQYVVGGHPYSLAAIRNGILRANRRQPYTLAKPFGSNDRRLELAQRRANPLVHFALCDATRSSPIVRFYTTQGVEPELRHAAREFFLHGGVEIDLESRTVHLTRIIKWYSADFGQDRDILRWLLNYLDPTKAGLLTHLLNDGGPINISYMNYDWSLNV >Sspon.03G0021540-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:65849713:65853406:1 gene:Sspon.03G0021540-1A transcript:Sspon.03G0021540-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mini-chromosome maintenance complex-binding protein [Source:Projected from Arabidopsis thaliana (AT2G40550) UniProtKB/Swiss-Prot;Acc:Q501D5] MVGPQYDLVGNPLGAVRATFERTAAAAAAESGGADPVAAFRGKDWGAGDLFRSFLFEQDGLAKVPVLDASNLGLIKPNTLVRYRGMVQDMLGNEYYIGAFKDGSTWRTNKFTDLSPFSMPHPCDSHLWERHLFHCVPAPGQNSWTLESSPGPDLRRMSNCLAPELREKRKRDGDDDAMDVSENGHGESSFCKKPKEGDVHVSSSSTEVAGTVPEMNGGDHHIPGSSFSCLVKIYDMPESQVKLNDVIEFIGVYTFDPELAAPSDNPDDIMLDLMEDVTVQLPPSKVPRLHCLVWRKLSSHDFISRPVVEVDITKFVVMKSVHSPSLLKGIRQSLLSHLTLVLGNDELAAQCLLLHLLSRLRNRVDVVTVGRLSLNFTGFNKESASIFGNQLYSLIQKLVPYSQAIPLSIDYLNSATLQPRKDNKSGRLVTGVLQLPQGTHLTFDETLLQTGSLTSKGVENTMLLKNLMESQKVDYDFEYYKLEMAADVQLLTLSEGKSNILPSDLVVPFRPSSVPTVNTGAEETESWRWYLATVRSLPQSTEPETYQMIQDEMVSAMRDDRSLGCSELSRWLTMAQIMASSFGEKSLSLEHWHMVKELERLRKQRMQ >Sspon.01G0049160-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1B:111805522:111805818:-1 gene:Sspon.01G0049160-1B transcript:Sspon.01G0049160-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPEKRHDLLSSSCSCRRTSLTVASPSPPHAPREEAMTNSSFHATTGEVSRPLLLARLEKKLRLLCLCTPDKRGASLLILNHLAEEVNDKQGLDVTLH >Sspon.03G0003730-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:26075900:26080880:-1 gene:Sspon.03G0003730-3C transcript:Sspon.03G0003730-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein FATTY ACID EXPORT 3, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G38550) UniProtKB/Swiss-Prot;Acc:Q9ZVH7] MAASLLHAATASLQSSTRAGAAAFHPLASAPSLRLARSSFSSSRRLEVSLRADSPGHRFAGRGAPRDRRVVAALAGEQTEGSEVSDDKGNSNGEIKPEEAQEAWKVMLEQFKAEALRMQALSMQAYDVYSKRTREILLEASEKLKIQADKAQKDLSVIAAEVSQEGQEYLTMAARNSPDSIKDITTTFRALGKLNWPSEYEDYHVGIPFGTFLTVGGFLNFMLTGSTSALRFGIVLGLALLALGISSLRSQREGGRWPRLLIKGQAAIASVIFFREFSVLLQNGWFPKIFMVLLRLVHIGPFKLLPLFSFYTQRS >Sspon.02G0059920-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2D:103189564:103194321:1 gene:Sspon.02G0059920-1D transcript:Sspon.02G0059920-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSAPPSSSIPASTSPPPAASSDLSETSTASAQPLTLESLGAKVDMIANALASMQQAWAGLLRAPAPPASAPPPPPPPPPVPTAPLPPGAGVPLHLMQWPASPSPLPAWLQPSVPASTPIYSMATSPSPTAYTTMPATAVPSLPPASTLFGGVDGPLFFGAPSPSGGQPQGGLDPALAAALAGAQAGPKFYKLEFPTYDGSTDPLNWLNQCEQFFRGQQTLASARTWLASYHLRGVAQTWYYALEQDEGMPPWERFRELCSLRFGPPVLGTRLAELARLPFGSSVQDYSERYNAVLCHAHNLSARQKAELYVGGLPDHLRKQVQLRAPPDLQSAMYLARAFEECEPPPAPQPRGARPPQRATWTPQQRTPPAGPPTSTAPTPTFRRLSPAEQQERRRQGLCFNCDEPYVRGHVCKRLFYLEADDYIDEAPVEAAADVPSTELAAGEMAAANALVVSLQAVAGIRAANSMLLPVVIKGERFLALLDTGSTHNFVSGETMRRLGLVPGGRGASEDHGGQWRPHALGVAGAAAPSPAPSAQLLSAAASDSRQPLLDVLLQQHDVVFTEPTGLPPARSYDHRIHLLPGTAPVAVRPYRYPQLQKDELERQCAAMLAQGIIRPSTSPFSAPVLLVRKADQSWRFCIDYRALNAKTSKDKFPIPVVDELLDELHGARFFTKLDLRSGYHQVRMHPDDIAKTAFRTHQVTKSPWPLRVPARHGPSICSTSTSSSAPCERTISTLKRSKCSFGAPSVAYLGHVIAEGGVAMDADKVAAVAAWPLPRSARGLRGFLGLAGYYRKFIRDFGIIAAPLTRLLRRDAFVWDDDATTAFEALKRALTTGPVLQMPNFDETFVVDCDASGAGFGAVLHQGAGPLAYFSRPFAARHLKLAAYERELIGLVQAVRHWRPYLWGRPFLVRTDHYSLKFLLDQRLSTVPQHQWISKLFGFDFTVEYRPGRLNTVADALSRRDSEAVPPVPSPDASGAAVAVSGPSFALLDDIRRATTEAPDGQRLLQQLQTGELGEPWRLDSGLLLHGSRIFVPDHGDLRHQVLLLAHAAGHEGTQKTLHRLRADFYLPRDTALVRDLVRSCTTCQRNKTEALQPAGLLQPLDVPSQVWADISMDFIEGLPKVAGKSVILTVVDRFSKYAHFIALGHPYTAASVARAFFDGIMAGVKLRMSTAFHPQTDGQSEVVNKVIAMYLRCVTGDRPRAWVDWLSWAEYCYNTSFHTALRATPFEVVYGRPPPPILPYKPGTARTEDADALLRSRDDMLAEVRQRLLQAQQLSKRYYDANHRDVEFEVGAWVWLRLLHRTAQQSLDPRSKRKLGPRWAGPFRVLERIGRVAYRLQLPEGARIHDVFHVGLLKQHRGDPPTAAGVLPPAVAGRVLPEPERVLQAQQRRGVWKILIKWRGLPVDDATWESLDEFRAEHPDFQLEDELFLQAGRDVMTGIPYRRRASASG >Sspon.03G0006660-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:33622394:33627546:1 gene:Sspon.03G0006660-2C transcript:Sspon.03G0006660-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLGGNRFWKPLQLFCSSNFQGSQVAMLVKMTAAAKKSSWFLGYLDEQFCQVEDLQDEASPNFAEEVVTLFFKDSARLISNVEQALEKYPKDFNRWDTYMQQLKGSCSSCMRSFQKVKREHGALRQKLEAYFQLLRQAGPTGAATSPGM >Sspon.02G0001070-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:4282263:4283543:-1 gene:Sspon.02G0001070-3C transcript:Sspon.02G0001070-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPATGAVAGTVLLGRYELGGLLGRGASAKVYLARDLLTGRSVAIKSFPNPRAGAAGDRPVAIEREAGILRRLRHRHVVRLHEILATRKKVHFVLDLAAGGELFSLVDASGRMTEDLARHYFRQLVSAVRYCHARGVYHRDIKPENLLLDEAGALMVADFGLGAVATAADGSSLLRHTMCGTPAYVAPEILSRKGYEPAKVDIWSCGVVLFVLAAGYLPFNDASLVNMYRKIYAGRFRCPGWFSPALRDLLRRVLDPDPSARIDADGIVAHPWFRHGASDEEMGRLMHGGGGGQEEAWFGPAEFKADEEDREPTAFDILSFSPGSDLSALFVGAGKERVFVGEPAAAVLARVEAAGRKGGYRVRRDGKRATAVYVEEEESVVAKVSVFRLADAVSVVEVVKGDGADAALFWTELLEPAVKPPGAELL >Sspon.05G0016560-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:67615154:67620041:1 gene:Sspon.05G0016560-2B transcript:Sspon.05G0016560-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAALLALSKIGFYLAGEAATFVATKFSNLTELPNIVQRVRRQLLMMNLFIRKTGALYLSDELLKGWISEVRMLAYRVEDVMDNFSYHYLRYKNDQFLKRLSKGINYTAIFSGISDELIQIEKEIVHVSMLKDQWLRPVQELLPTQIHNSELQFPQYSVPQFMKDEDLVGIEKNSEQLTKWLRSDTQDLKVVSVCGMGGIGKTTLIANVYEKQRDNFKVLLWLTISQTYKSVEALLRKLLEMTRADSDNMEMGKRKSEGIDSMDILKVKTELRAVFGAKKYLVVLDDIWNPQVYESMRDVFEDSKNGSCIVITTRKEDVAALASKHYQLKLSPLGLKDALCLLCTKAFPYNDSESEDPSKVMELATDTANKCNGSSLVNCPAELRELATGVAKKFEALLLEQCPAELQELATYAIRKCEGLSMAKSSSELLELANDIAKKSVVLPVAKCPLEMQVLAVNIVKKCGGLPLAIVSIGSLLSTRKLILPVWKQIYDQLPSELEKYAQVRGILSLSYYDLPGELRNCFLYCSMFPEDYPLPREKLVRLWIAEGFVVKKGNSTLEEVAEGYLMELIHRNMLQVVDNDELGGVSTFRMHDILRELALTISKVEMFGIVNDFGAVIQMDRDVRRLSAFRWRKMKNDASKMKFPRLRTLMASETIVMSIPSILSESKYLTVLELQDSEVTTLPASIGHLFNLRYIGLRNTGINVLPESIKNLINLQTLDVKSTNIRNLPHGIVKLTKLRHILADRYADVKQSEFRYFVGVEAPIGLSNLEELQTLETVQSSIYLAEQLENMMQLRSVWIENITTAHCSKICKVLSMMPLLDSLLLSASNVNEPLSFEDLKPTSTNSTG >Sspon.05G0023330-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:5B:6935927:6936382:1 gene:Sspon.05G0023330-1B transcript:Sspon.05G0023330-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKSKKSNKNSKKFEDLKNLPCPFHKGAKHTTAECRQLQELGWYAKNNKRKGKDKDDNSKDGDVNADPGFQQSKGQIVVIFAGVPSSSHRSAKKLALWDIMVGEPSTPKYLNWSEYLIQFSKKDQWTSVANVGHYPLILGPTIAGMIVPEV >Sspon.01G0007200-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:19445042:19448310:1 gene:Sspon.01G0007200-1A transcript:Sspon.01G0007200-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAARPSNGWCLYSPPFKAVLPFPHLGAALLARLRDGTAKFELLDDSALAHAPPAWPRLHCFARVASSSSLYVCVISSSTLSAYLNRLEGKQSEIAKTCLRGGWSAALNKVEHYGVQRVTGDGRCMFRALAKGMAKNKGIPLAPREEVQDADDLRMAVKEIICDSETERQEYKEAVIAITVEQSLKRYCQRIKRPDFWGGESELLVLSRLCRQPIIIYIPEREYHGRGNGFIPIAEYGLEFTKNTKQWKKKTPVRLLYSGRNHYDLL >Sspon.05G0028060-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5B:60133795:60135583:-1 gene:Sspon.05G0028060-1B transcript:Sspon.05G0028060-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SKLGTSLHTESATPRRARASVQSPNLQLSPTHARIDRSSSTHRRRRRKQIDRQLTKVDPRRRHGKRPLPADEEEEEEELPPPPPKHEQLDQEDVVSQLQGATFSGGGGPSSSSVVVGAGPSPEAYAQYYYSARADHDASAVASALAHVIRASPDQQLPPQQAAAALYAAGVPGGQHQQAAAHHHPGGHVAAAAAAEEEQAGQRRHYRGVRQRPWGKWAAEIRDPKKAARVWLGTFDTAEDAAIAYDEAALRFKGTKAKLNFPERVQGRTDLGFLVTRGIPDRHLQHPSAAVTLAAMPPPPHHRGHQTVVPYPDLMQYAQLLQGGGRGAGGDHAEAAAAAAAVQQAQQQLMMMGGGRGVNLPFSFSPSSSSSAVQILDFSTQQLIRPGGGPPSSPAAAMSSSSAALRRRRPRRRPRPQQRRRPAAV >Sspon.01G0036130-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:96237718:96242036:-1 gene:Sspon.01G0036130-1T transcript:Sspon.01G0036130-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSARRAAKSQHAAATPRIISLNLARRSGGGRPSRGAGRAQPRPPPPPVNLGALFEMERRVRGLESAPASPPPCSRAAPRSQEDAEEQEEKWRFQAEILRAECNFLRMEREVALRKLDRHRGQMEAALKSAVETLVSGRKKMDGKGDVCVAAALDEGIEDLEEMMEELRVEKESGRRAMSGVRELQRSHGRNFDRQASSLRRRLEKMPPSDPEPCIKDIREIALPVPVPAPPLPPAEQSDDDERVHIANTSDVEMLRMKMEGMSKGMRERMAEYSRRLEAVASGDNTGCQSRKCGNRHSRKASASSQRSWSGGSTASTGNAPSTLDAGPHGRSRQNVVPEKRQQQHTIMAEECKLVGSSSCCDCREIVWKIMEQVKAESDQWTEMQDMLEQVRLEMQELQSSRDTWQHRAMASDISLRSLNSQILEWKNRAQASEQRVEELHRKISELESKLHTFKVHLPTPAAIPIPNQNQWSDACKMENPRAKPHHQRSQESGKEEIKKHVLICRVKHSPSSVLPKRSPFQEIGNISLPRHR >Sspon.04G0026220-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:42079089:42079572:1 gene:Sspon.04G0026220-2C transcript:Sspon.04G0026220-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDIARMLVHGLDFFMMDGNMLSLMKTAFTNLPHPPAATTAPLSGAVRALDGVDRISFLPARILRDIVSRLPVKDAARTTVLSTRWRRVWHTTPLVLVDAHLLPSASIGTGRSRLGADPRDIADAVPSAASTSPAPRWRRIPTSSRSGSSN >Sspon.03G0043620-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:50098641:50098898:-1 gene:Sspon.03G0043620-2D transcript:Sspon.03G0043620-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AMDAGTTFYRSPHGVVFEQHRFAYYATLAGIFAAGLAEVVTACWLASSGQQGRARSRAFRAGVVCASVVPLVAIIALGGFSVVMKG >Sspon.07G0008250-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:22592305:22593691:-1 gene:Sspon.07G0008250-1A transcript:Sspon.07G0008250-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLSQELAEQLRLVMEPTLASHSVYCESFRRDKIWLRRTKPNKRNYQVVIAVDDSRSMSEGKCGKFAIEALVTVCRAMSQLEVGQFAVASFGKKGNVRVLHDFDQIFNGEAGVNMISSLSFEQDNKIEDQPVSDLLTYLNAMLDTAVARARTPSGQNPLQQLILIISDGKFHEKENLRRHVRDVLNRKRMVAYVLLDSPEDSIINLKEACFKVGEKVELKKYMDSFPFPYYVMLQNIEALPRTLADLIRQWFELMQSANE >Sspon.04G0037180-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4D:62724808:62726680:-1 gene:Sspon.04G0037180-1D transcript:Sspon.04G0037180-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVRFLTTAKEAIERKHGRGKRGAFFKGKGRPRCKSEPKEVEGETSEQQDHYCRCGGTGHWSRNCCATKHLVELYQGPSRSKDMESSRKSHFTTEPKGQERNDILVDAKRNGEDVQMDERRGGDLRVLGTRFRGDVHVDKSSSHLYHLRIQLKKLNLNVPEGHRPEDGALKWQLNLQLRWSLKDPHPEDGNPIASRV >Sspon.08G0027960-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8D:4638970:4644271:1 gene:Sspon.08G0027960-1D transcript:Sspon.08G0027960-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DSLPPSFQQKETLHVPSTSGKTTELLVQSEPPPPPPPPPPPAPANEEDPPERNGNPHPFEGPVAPGEVNNIMQMADQMMNQLIEENQIQDQEAMVEDNGSAIHGGADSSIVPFLQQGQGANRELITENKRVFQTSLVIDTAKTNQTKLQDYQNQDASLDHPALKFIIKSNSNNHSESQKVIEQREAILKFLAGGLKHLTASQRNNGSSKIMDSFTVPSSLVQVDLTALGITSLQIAVNISDQNAASLSSSSEPSKLLLQSYDDTQPHHNPTEVPARTSQITKVYRRRRFKIRERILGNQTTEGASQEITSSIFVHDQNSSAAGNQIEGLGEENLAATIKRKCSNTTPFTTMKLRRSPRFAGRLDGHKPASVLPKKTVTRGKSKSKKVKPQSQLLGDILLSPTCQATEFPGLSTVAKFAEMGSVFPPIPIVEIQKLAIDSCCISPLEVTAELLLAPRTEKEAEVIEINKFSITAKFSSMHNGHIWFLTTVYGPCQGPDRDAFVHWLNNLQIDDPVNWMIVGDFNFYRSLEDRNKNGGNINDIVIFNEIISNLGLLEIPLKGRKYTWSNMQDDPLLEQIDWVFTSTNWISDYPNTLLLPMARPTSDHIPCKIQIGTNIPKAQTFRFENFWIDHPGFMEIVNSVWSTNVRSSNSATRVSSKFKLLRAALKKWSKKLSNLSRLIKKCNNTLEVLDTLEEQRPLFLQEYNFRRILKAHILKLLRYKKDYWKKRYTVRWTKLGDEGTKFFHAAATERYRINTITSLIAEDGRSVTEHNEKAGLLLDDFKKRMGFSSNPVMLYSLDQIVQTRDDLDPLSAPFTTTDIDEVIRHMPLDKAPGPDGFNGLFLKKCWHIIKEDIYTLCFDFFNGTLNLEAINSSFITLIPKNIPWVNLVWKAYYSNGQIPHASADKGSFWWKDVLKLCDLFRGIAKCKIGDGSTVLFWSDLWNDNVLESKFPRLYSFARNKKISVATFLTNNTLEAQFHLPLSEQAFQEFQGLQAHIQALQIDSNTSDSWEYIWGDKNYSSSKCYNLPYKNSQPPAPFLWIWNSKCCNKLRVFSWLLLMDRLNTRDILRRKKQKLQGDNYNCVLCNNNTEETAFHLFFSCPFSQSCWQHLGISWDFSLEFFQMMHQAKLQYQSTFFMEIFTIAAWEIWKQRNNFIFDRGRPSFVSWKSSFCVEAKLQAHRFSEYKRPVFLDCIATLV >Sspon.07G0008120-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:19391536:19394538:-1 gene:Sspon.07G0008120-2B transcript:Sspon.07G0008120-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTMMPRRAVVLFAAALLAASAAAVSGFHLGGGRERPRQGRARRAPRAGRGRGRRALRRRPPQQEPEFPCTGCCFGVY >Sspon.05G0026060-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:30738533:30741151:1 gene:Sspon.05G0026060-1B transcript:Sspon.05G0026060-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLQFVADVRPAVGGGPAGGGADAGAGTRRALHPRGASRLRPPPLALRLLPHSPRDGATDVREGRPPTGRGRDRGRRRRGRGRERDI >Sspon.01G0032130-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1A:109197852:109198466:-1 gene:Sspon.01G0032130-1A transcript:Sspon.01G0032130-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAKPPAITLERSRLNSSLQRNVSSALVVKLSDNKCGGKLSVRRNVELGKSRREWRALSADQAQASVMDVDEECKQVLASLNFSSEDAEKMLKKAFGWIHSPYWSEERKKEVPNAEVVTGVLNYIRSLGLSDEDLHKLLKKFPEVLGCDLDSEVKLNVSKLDSDWGINGKTLRSLLLRNPRVLGYNIDCRGDCMAQCTRCWVRF >Sspon.01G0060510-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1D:80147500:80148075:1 gene:Sspon.01G0060510-1D transcript:Sspon.01G0060510-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSNATAMYGEARSGRHHDRVVLVRGIGKVQPREAAAPRTCGPEFPTRHVNLVRGSSARHSVELFVGSKTRHTQAVSACSSALLQCADFGVTAVDAPPCALRPYLALPLSAVLPVEFKLGVVNCRWPSHVECGLYGARGPVAGELRKVVGNQQQAAGSRRRDMVALSSLARHALRFQARPIHTCSLLLAGA >Sspon.03G0005580-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:15926081:15931832:1 gene:Sspon.03G0005580-1A transcript:Sspon.03G0005580-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATIVNTTEEEPMLAVVRFTAELAWADAGPEVADPEVTRLCLEAQEHILAGRWLDMASLMLASADLLLTSPSRVADKGNVAVMEWILVTKAGSEDQALQITNLICGKLTQQPGDKPALRLKVLYNLLPSPYGKAFVYKKALELATAGKAAEYIIPSLKNIDSFVSEWGIGNLEQRELYLAITSILKDQKGCDMRDSLRLVINMAKEYFNFLNKYLATFKGSDDESATIGDAKEEAVAAIIEFVKSSNLFQCDLLNMPAVSQLEKDEKYQLVYELLKIFLTKRLDSYLEFQTANSALLKDYGLVHEECVTKMRLMSLLDLSSRCSGEIPYSAITVELRINDDEVEQWIVKAIASKILDCKVDQLNQTVIVSRHTERIFGMAQWHGLRTKLGVWRTSERYMESTKVINCTFIIAVV >Sspon.05G0009380-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:18512265:18515539:-1 gene:Sspon.05G0009380-3C transcript:Sspon.05G0009380-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHCRYGQVFKSHVLHPHGGVLRPGPQPLHPAERGAAVPVQLPQADPWHPGKSSMLVVLGEDHKRLRNLALALVTSTKLKPSYLGDIEKIALHVVGAWRHDGGGVRVVAFCEEARKFAFSVIVKQVLGLSPEEPVTARILEDFLAFMKGLISFPLYIPGTPYAKAVQARERISNTVKGIIKERRSAGSCNKGDFLDVLLSSNELSDEEKVSFVLDSLLGGYETTSLLISMVVYFLGQSAQDLDLVKREHDSIRSNKGKEECLTSEDYKKMEYTQQVINEALRCGNIVKFVHRKALKDVKYKEYLLAFAKRRCSKGSGSPFCSVAEYLIPSGWKVLPVFSAVHLNPSLHGNAQQFQPCRWEVLMFMVPFICVTHLIDIYQGTSQGTSKRFTPFGGGPRLCPGSELAKVEAAFFLHHLVLNYRWRIDGDDIPMAYPYVEFQRGLPIEIEPTSPES >Sspon.01G0054630-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:63810974:63811906:-1 gene:Sspon.01G0054630-1C transcript:Sspon.01G0054630-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MERHGGVNGSDGTAAAERDDLDAGAYDEEEDEEEELAGCRGGLGEKKRRLAADQVRALERSFEVDNKLDPERKARIARDLSLHPRQVAVWFQNRRARWKTKQIERDFAALRARHDALRAECDALRRDKDALAAEVRKWIGANKGAEGQGGEADGGEAGVRGGAAAGRDEDSGGGGRPLCILRTVSTDSDSSAVFNEEASPAPYSGAALDQQQQQQQTDYQLAGFTGFTSFLASSFPSIYHGDSHLAQEADGFFSAGAGADGFFAEEQSSGIGSWYG >Sspon.08G0023440-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:55392694:55395020:1 gene:Sspon.08G0023440-1B transcript:Sspon.08G0023440-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVRELIAVLILNEHSLFSGVHQPWRTLNPPLAPTLSCRSSRRVGLRLALRLADVAFPDINLVVLVLSMRWFCLSPPGSAERRWARFAIWVASSSLTVGAAWGLVAPTTSEAMVWGIAAFGVVDKRGSATEHLANGCPVAPGLHLF >Sspon.08G0004290-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8A:12429802:12430398:-1 gene:Sspon.08G0004290-1A transcript:Sspon.08G0004290-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAADSGGDGGSSSGEKTIVLVSSDNSARFEVREAAALLSQTVRRMIDEAGADASGDGITLPDVDAKTLAKVLEYCNKHAPASSSSSAAEAAPPAEGEEDLERFDRELMHVDMCTLYSLVMAASYLEIEGLLNLTCQTITDMIKGKTPEQIRKTFGITGEFTPRRRKRCAARTPGPSSVVAVASALWKIDDPARLSS >Sspon.08G0001110-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:1150091:1151008:-1 gene:Sspon.08G0001110-2B transcript:Sspon.08G0001110-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGYGYGYSHLQAAAAAALVVGWALAVASPAGAFAPSAWSKGSATFYGGSDASGTMGTLHLFVSIVTTDAAAAVRSLASGARMHAGGACGYGNLYAQGYGTRTAALSTALFNDGASCGQCYRVVCDAATDPRWCRRGRGASVTVTATNFCPPNYALASDGGGWCNPPRQHFDMAQPAWERIGVYQGGIVPVLFRRVPCRRRGGVRFTVSGRDYFELVLVTNVAGAGAVRGMDVMGTRTAGWMPMSRNWGANWQSLAYLNGQGLSFRVTADDGQTIVFADVVPPSWTFGQTYESRLQFN >Sspon.05G0028970-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:74265623:74267670:-1 gene:Sspon.05G0028970-1B transcript:Sspon.05G0028970-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRKASQASIFRAHGPNVLARKPKQHATCHNSSRMTFGKFATIVILLACTLLTSLNPVNGGCTEQDKNEILNLCKDNVKRGAPVVTLPLDCPCCVKAKKVKDMLCILQWMTFPEKRIYDENKIIRLQWWCKVNQ >Sspon.04G0014590-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:60830382:60836586:-1 gene:Sspon.04G0014590-4D transcript:Sspon.04G0014590-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTERVNGPMDPPRPAGGRSGGVGAGDSPVRWDDDDDDSGGGRVEGLAGLHIFDQEADESPAKNDMSNSLDTKCIPVANGFSNETTDTSVETEPGKGLNPLHEHTGIWVPVSVPPMTAQAREEWHRGFGSNGGYFPEEEFSWELDEENYEMTMWDVFADMVVAAKDKMVSAATYDFGRRGMSVVSNFFLQEAWKDMAQTLADANAGIANELLETEPTKWLPDSAASSCMLCGVRFHPIMCSRHHCRFCGGVFCNGCSKGRSLMPPKFRTAEPQRVCDVCGVRLESIQPQLMNQISRASQLPTRDVTDLSTLRSWLNFPWAHTMEYEIYKAANSLRSYCKVGGLKPEKAIPDTILRQAKGLAIVTVVKVGMMVTYKLGTGLVVARRVDGSWSPPSAISTCGIGYGAQAGGEIADFIIVLRNTDAIRTFSGKAHLSVGAGVSASAGHVGRAAEADFRAGDGGYAACYTYSCSKGAFVGCAFNGSIVSTRDTENARFYGGPVRASDILLGSMARPPAASPLYKALSELFDKIGK >Sspon.06G0005150-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6A:17229939:17231378:1 gene:Sspon.06G0005150-1A transcript:Sspon.06G0005150-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLAIVILRRLKGTSRHVYRLPPGPRPWPVIGNFNLIGALPHRSIHELSKKYGELMHLRFGSYSVVVASSAEMAKLFLKTHDLLFLDRPRTAAGKHTTYNYADITWSPYGAYWRHARRICATQLFSPSRLASFEHIRTDEVRSLVRGLFAASASGRAVRLNKDHLSTLSMNVITRMVLGKRLFDGGEKENVAEGPVSSLADFKWMMDELLLVNGVLNIGDWIPWLDWLDLQGYVRRMKRIGKRFSEFIEYIIDEHGERRRREGESFVARDMVDVLMQLADDPTFEVQIGRVGVKAFTQDLIVGGSESTAVTVEWAISELLRKPSIFAMATEELDRVVGHSRWVTEKDIAHLPYIEAIVKETMRLHPIVPLLIPRVTREDASIAGYDIPKGTCVLINVWTIGKDPALWDAPEEFRPERFVGSKIDVKGQDFELLPFGSGRRMCPGYNLGLKEIQLSLANLLHGFAWRLPEGVAKEDLSMDE >Sspon.02G0046740-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:7105698:7105913:1 gene:Sspon.02G0046740-1C transcript:Sspon.02G0046740-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MIEQALKLMEYDSSDVNCFHNLRMIEMVEETISEAENYTIFMGDIGLMETSEPLSSLVARAKHLLDDC >Sspon.02G0042530-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:87794817:87810407:-1 gene:Sspon.02G0042530-2C transcript:Sspon.02G0042530-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSQSLTPYLRGRAHAPWCCRFVRALLVAALVVVVVVHGDGATSTTAAAAAGAAATADDQRPKIPAIFMFGDSIVDPGNNNNRITEAKANFPPYGQDFPGGVATGRFSNGLVPGDLLASKLGIKELLPPFLSSDLELKTYSLVWRLPVVAVAMIRSHPSLRDDQLELFQDYKQKLATLVGEKEMTRVISQGVFFTVMGSNDIVNNYFTLPIRRHEYDLPSYVDFLVSSAINFTKTLNDMGAKKIAFLGVPPLGCCPSQITLGGSPSRQCEPSRNQASQIQLHTRWPKIPAIFVFGDSIVDPGNTNNLPLTAAKANFPPYGQDFPGGVATGRFSNGLVPGDLFASKLGIKELLPPFLSPDLQQKDLLTGVAFACGGSGYDPLTSTFANTLSSTDQLKLFHDYKQKLTALVGEKEMTRVISQSVFFTFMGPNDIINNYFLLPLRRHQYDLPSYVDFLVSSAINFTKEIERLNAERSASGSKISACPNVIDYIFWDAFHPSEKAYKIVVDKLVQEASKYLLR >Sspon.03G0007800-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:21113172:21115899:-1 gene:Sspon.03G0007800-1A transcript:Sspon.03G0007800-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEDEANAAAAVAEDAEAAQGRNWRRKGKHDKPKPWDEDPNIDRWKIEKFDPAWNEGGMLEVSSFSTLFPQYRGKHLFLSPSCLPRFSRWNAWDWKKYLQEAWPLVKGALKEHGISCELNLVEGSMTVSTTRKTRDPFIIIKARELIKLLSRSVPAPQAIKILDDEMNCDIIKIGGLVRNKERFVKRRERLLGPNLSTLKAIEILTGCYILVQGNTVAAMGNYRGRGLKQVRRIVEDCIKNVKHPVYHIKIDLELENGEYFMSDKKKSAKKWQEKLEKQSGRAEENKRKREAAFVPPKENTAGPSESDKNATDNSEIADIAKSLKKKAKEFRKNEAQESVIAESYLASNDELRQKKKKKSTKSK >Sspon.04G0014790-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4A:55314711:55315142:1 gene:Sspon.04G0014790-1A transcript:Sspon.04G0014790-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKTLSKEGSGPLPRALAIALGKEFLEKILKISLPRARDEALGKDLKKIKKFFAEGRGKPSAKNFKKNISLPRAGPRQRSRQRRRCCDGCFSLPRAVVVLGKGFAECPIKGPRQRPLCRLKIRRGAFAEGSPRQSLCHASPVV >Sspon.07G0000770-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:1736596:1738574:1 gene:Sspon.07G0000770-1A transcript:Sspon.07G0000770-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AMTSTVTTLSRPIAAGSRPRRSGPAVLSLSKGQQRPAAAAGAVHELRRAKERTRNKLPPPPSRAAAAATATEQQKQEEEERATDYNEVAAALESIYKLSPAVEVEEEKRHGGDEDDEGGKKAKKKGKSRVVSLPPLFNHSSRASSVSSLLHAAARLIVAMCGGHMHAQAGRSTVIVKSRRRRRGRRMDLGKRVEMKSAAATSREQQQVEEDEGEREREFEEMLLREHSVSTDMGSLDWKRMKIPPVLTSSQSARLFKTMQPMQAIFEVQESLREELQRDPTDAELAEATGMTVHQLRRRLDVGRAARNKLIQHNLRLVPYAINKYYPDMGTDERFDDLCQAGANGLITAIDRFEPKRGFRISTYALFWIRHSIVRAMTLSSFTRFPFAMESERQEIGKAREELAFELGRPATDEEVRRKVGISPQRYRDVLRMTRPTYSLHARNRVTQEELINEVTDDDAIGVDAGDRHNTLLRLAIDDQLDSLKPKESLVIRQRFGLDGRGKRTLSEIAGNLSISREMVRKYELKALMKLKHPTRVEYL >Sspon.06G0034860-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6D:56893653:56894422:1 gene:Sspon.06G0034860-1D transcript:Sspon.06G0034860-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSAAASSSALGLVFAATLPVVMAMVPSAASSTPPFAASVQSQTPSPRLGTDGAGPSNAAPSNVEAPAPPVVEAPVSPVEEVVAEPVAVPAYVPMEPMSPAPAPPRYWCDFCKEFSLMPHSLEYAYSLPSPTPASPTPVATPLDPWFLATQAAPALDAVKVEEEEVVTGPGIGYLINPGGTRAARTGVSPYFFLDRAGSSAPPPARAGSSAPPPAATPPTAAPPPATRAEAA >Sspon.07G0023840-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:20158930:20160438:1 gene:Sspon.07G0023840-1P transcript:Sspon.07G0023840-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPETNASIRSLSSYSLLDGPVDGMLSPANNTSCKPKTTNNSVLSNVASTDIPNGCLTTVDSGQQEASDSHSSVEFTQYFQEGYCKISELDDCRELTEAVTDADSSSSHCEREKPEEDGDNDDLLGGVFAFSEEG >Sspon.07G0024810-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7B:30473962:30476229:1 gene:Sspon.07G0024810-1B transcript:Sspon.07G0024810-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKGRWFDTVQRILSTSEPDPLETQTDAKFIAQAQSQELEFQHEKAANLRDKPSFKKLWQFGKSNSSSASTSAAPALDVEAHHTQLPQSPAPDNKQHFEEITPEGQFVETRCEEGECIVRPVEVASPASKVDSTTAPMAAAAIARPTVISPTTWSARSKEDIAATRIQAACRGHLARKPHQERGMARLMKLVDEGFAVKRQTEEALYCMQMMTRIQTQIYSRRLKTEEDKKALKSQTKVKQSLDKTKIGDGWDHSLQSKEQMEAVLKMKQEAASRRQRALSYAFSQQWRNRNTSSARAAHAPAPMFMDPGNPNWGWSWTERWMAAARPWEDQTTTPQDTGRVASKSASSRLPRVAVSVHIPTTTTTPKGKSFRPPNWSSSLSSPSTPPPRTSPLISGRTTMLASPRSGPLHATSGLQHTKSLRPDRRPRSSQELSVNSPRRAVPASPRGSGSSSPLHTSSGTTTMQLERRPRSSQDRGMSSPRLGAKDAPLRRTTSLRAELPRRLSLGSANASASMGDDEGAPVTPSYMQPTKSVRAKVVRCPSPSAALATSDMFDAPESSPALAPLQVPPSPSSAKKRLSQAFADKASASSPSKVALER >Sspon.06G0027490-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6B:86129979:86131257:1 gene:Sspon.06G0027490-1B transcript:Sspon.06G0027490-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDPHEPAARSPEEPTNRINIQQFFWKFINDPVEAEIEAKFQGQIEAQLGGVIANFGDRYLRKPTREDIQRLLRIGEARGFLGMLGSLDCMHWQWRNCPKAWRGQYTRGDIKHPSVMLEAVASQDLWIWHAFFGIVGSNNDINILNRSPLFTEVLQGRAREVYFTVNGTEYKKGHYLADGIYPEWATFVKTIPLPQCEKDKLYAEHQEGARKDMERAFGVLQARFAIIRNPLCMWQMNSLAEIMYACIILHNMIVEDERDSFRVRYANNYKSEYDQPNSSSTLAGFGNRPIHGFFNTTGGRRRH >Sspon.01G0000030-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:95056670:95058815:-1 gene:Sspon.01G0000030-2B transcript:Sspon.01G0000030-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MWWSSSLSSRAVAAALLCLLVAHGSNCAKHNNGGKSHEQGGRGGHAHAHAHAAPTPSPSPPPVYPTPTTTPPPASNGSSGGWFNARATWYGAPNGAGPDDNGGACGFKDVNMPPFSAMTSCGNEPLFKDGKGCGSCYQIRCKAHPACSGVPETVIITDMNYYPVAPYHFDLSGTAFGAMAKDDRNDELRHAGIIDIQFKRVPCQYPGLTVTFHIERGSNPNYLAVLVEYENGDGDVVQVDLMESRPDDGEPTGVWEPMRESWGSIWRMDTRRPLHGPFSLRVTNESGKTLVADQVIPADWQPDNVYSSIVQFD >Sspon.08G0008730-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8A:37246588:37247916:-1 gene:Sspon.08G0008730-1A transcript:Sspon.08G0008730-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MELRQLPVLIINPAVSFPSLKFRKIFKEHSVILPSPRSGDSLETIPEETRYDFISLSDEILQMICRARSRNLVSPLSKAPNTLRTVFKSLTAFFCSSKGSSDSSWKKPKNIALSKLQKDKY >Sspon.03G0021050-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:64872403:64873728:-1 gene:Sspon.03G0021050-1A transcript:Sspon.03G0021050-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTYGVKDKKELLRSDSRLLTVDTSRLLAPTPRGISVFCCPRCSSIHELCALCTERTRTTSPIRSIVDRRRPRPAQQDLQKKLARSPQEKQSRGGRTASSLMASPPPGPPPAWSVTLSLRHRGGLEIRATAENLLPGWGRGGEHLSLLLRLRRRFLLSVTSQCGGPSPAAATQPGTPPRGGRSRFVRFLRSRWARLTKPRVNSIWRRKKQPPARVAAAAVLRDHRDQVTRSPLFFNHSRHQCIQFLIGLRLPGFLPACLQRSRTLTRPDFWAMAWTPKSAATLRFVFVAAVLLAIVVAIVMVFRITGDLSNRWVKFVELMEHPPLSLNCNYKCLLVDLQQVDMKATYVRATKGSS >Sspon.06G0005090-3D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6D:15595271:15595657:1 gene:Sspon.06G0005090-3D transcript:Sspon.06G0005090-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNSNAIYAAVRHLHFQSGLTQFLTASYTLNILKKTQRLCANALMATISVTTVRWSTATCWTNGAGGCSATATTPSRVPRNSAAELNHSTLRFHHRRRSRRPAAAGAGALLLPALDAATFPQQFLTIS >Sspon.07G0014800-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:59041238:59042987:-1 gene:Sspon.07G0014800-3C transcript:Sspon.07G0014800-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MERHAGMAFLDLVAKQVDPGAPGFWRDFLLGMLKPLAATAVVAMAVALSFSQRLGLEGEMLYAIARAFLQLSVIGFVLQFIFTQKNALWILLAYLFMVTVAGYTAGQRAKQVPRGKYIACVSILVGTAITMFLLVLLNVFPFTPRYIIPVAGMMVGNAMTVTGVTMKKLREDVKIQRNLVETALALGATPRQATLQQVKRSLVIALSPVIDNAKTVGLIALPGAMTGLIMGGASPLEAIQLQIVVMNMLMGASTVSSILSTYFCWPAFFTKAFQLDDKVFAD >Sspon.03G0031060-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:22251712:22254334:1 gene:Sspon.03G0031060-2D transcript:Sspon.03G0031060-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LTENNKACCSRLQSSGVKTAPFLRDQLDQKRHRCQKHDAYRRRSDPACAPSEQQSDGVGERAVRQPPLGPGDRPGVLHERGASGKPLAQPGAAPLAHQAQELPLVPLRLVETPQRRITAQQLAEESSRIAPVLLLVVIFDLRCEERAAGPYGDGLRVQGDRGRHFDCGLWRRRRGYGGWAPAPGVGFRRGRRRRRVTRPRRIGLRSGGDMDPVAAGRGGGAPRGCHCASSSARRSCRLRSLRLRRSSRLNAVDLTSRRGCWWWLLGLGAGERLGTSRSVSDSIAAGKLDS >Sspon.04G0012190-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:41167741:41178619:-1 gene:Sspon.04G0012190-3C transcript:Sspon.04G0012190-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding EAVKLKPSFADAYLNQGNVYKALGMPQDAIMCYQRALQARPDYAMAYGNLATIYYEQGQLDMAIRCYNQAIVYDPQFVEAYNNMGNALKDAGRVDEAINCYRSCLALQANHPQALTNLGNIYMEWNMISAAASFYKAAISVTSGLSSPLNNLAVIYKQQGNYADAITCYTEVLRIDPTAADALVNRGNTFKEIGRVNEAIQDYVQAATIRPNMAEAHANLASAYKDSGHVETAIVSYKQALRLRPDFPEATCNLLHTLQMSVLPSVQPFHAIAYPIDPMLALEISRKYAAHCSLTASRFGLPPFVHPPPVPVKAEGKHCRLRVGYVSSDFGNHPLSHLMGSVFGMHDRANIEVFCYALSQNDGTEWRQRIQSEAEHFVDVSAMTSDNIARLINQDKIQILINLNGYTKGARNEIFALQPAPIQVSYMGFPGTTGAAYIDYLVTDEFVSPTRYAHIYSEKLVHLPHCYFVNDYKQKNRDCLTPVCPHKRSDYGLPEDKFIFACFNQLYKMDPEIFDTWCNILKRVPNSALWLLRFPAAGETRVRAHAAARGVRSDQIIFTDVAMKNEHIRRSALADLFLDTPLCNAHTTGTDILWAGLPMITLPLEKMATRVAGSLCLATGLGEMIVSSMKEYEDRAVDLALNPVKLQALTNKLKEVRMTCPLFDTARWSLVTSLQY >Sspon.04G0012750-3C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4C:54146912:54147427:1 gene:Sspon.04G0012750-3C transcript:Sspon.04G0012750-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LVCDRKTDARWCKPGVSVTVTATNFCPPNSALPDGGWCNTVRAHFDMAQPAWEKIGVFSGGIIPVIYRRVSCVRKGGVRFTVNGHDYFNLVLLTNVAGPGSIRAMDVKSSQGWMHMARNWGANWHSLTYLTGQGLSFRVTVTDGQTIVFADVVPRKWRFGQSFASNLQFKL >Sspon.02G0032600-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:121106852:121109131:1 gene:Sspon.02G0032600-1A transcript:Sspon.02G0032600-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSAQGLLKMPPPIYGHTGDPAGSPPPGCRTNGTTAEGCTRDGRTILQVTFWVASPPRVSSFSIHCLDIQTSVYGNLPKALYSEDDLVLFRVPIVTISHGDDDDQHHIVSEDNHYFVYQAGTKNTPPSLHLLPIPHHLEFNDREAVLLRCRDQDMFYLALLVRSLHAGYPALSLQDADVVYIMQTSDPNKDKASVIAVDIRNKQDCKRCGLFWHWKVSGLQSFLPSKWDLQGNAQLPSRIVAASSGGIGVHRAAAVADGGGSRPWCSTRARRWWLPPSVLDAHRAVAASGHGARSCAGCGGGAQPWDFRPLGLARAGRRRLPATVLGPRRAAAAAAPGRGARPARGAAAVPGHEARPT >Sspon.01G0031320-3P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:13321550:13322452:1 gene:Sspon.01G0031320-3P transcript:Sspon.01G0031320-3P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding IMQEFQSIPGLAGRLFGGAGAGAADLRRAQAHAQQGPGARCGGVSPAAVKCPRCESTNTKFCYYNNYNLSQPRHFCKSCRRYWTKGGVLRNVPVGGGCRKTKRASSSSSSASSATSSVPSTPTSGDAAANKNPRRASASSPRSTNSGSASPTAAAATTPTPTPATPATPSSNSVAAFTMSSHHHSNPFSTIDVVAPPAPIFADQAAALASLFAPPLPPPLPVFTFAAQPKEEAPTTPSELQLVAGHAAAPSSSVVSEDMAPFASLDAAGIFELGDAASAAAYWNAGSCWTDVVQDPSMYLP >Sspon.06G0033780-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:21740642:21741781:1 gene:Sspon.06G0033780-1D transcript:Sspon.06G0033780-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMEIAKVDLRGVVPRGAGWEAARAAVTASMVAHGCVVVAHDALGADLRRDLFSRALPELFALPIEVKQRTVSDKGQFRGYIGQRPGMAWESLRVGEPTNAASVLGFAEILWPEGNPEFCETIVSFAKNMMELEETVETLVLEGLGARAESIGAHFGLLGHGIRLSQYGVPPDTESSMSMQAHYDDSVVTAIVQHEVEGLEVHVGDGRWVAVPAETGTFTFVAGSMLTPSRVCMCVQVVTNGRVPACLHRVRTPSNRERFSVLFGRRQKDGVAVRALEDLVDAEHPLVYNPLRHEEYSKWRYSEEGLKFSDPLKVYCGVDK >Sspon.02G0049830-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2C:43740700:43741176:-1 gene:Sspon.02G0049830-1C transcript:Sspon.02G0049830-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPAYVSHGGGARLHHVGGDGAVGPHGGGRAQPARVRGTPLRTTPRLLLAYVSHGGGARHYHVGGDVSRAGFTAVDVLSPCMFVGLLVGLHHAVLLAYVGGDGVARPHGSGRAQLARVHGTPLVGLRHAMLPAYVSHGGGVGLCHVRGGGAEKYLPYD >Sspon.07G0004730-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:10774816:10776144:1 gene:Sspon.07G0004730-2D transcript:Sspon.07G0004730-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKPYFLWGDTHATSLAAFDSGVGIFKSNALETAPAPAAATAATDTALVSPELGAAAVARPRLRRDSSGSGKKKQQQQQEAGGGVVGAKKPPQRGLGVAELERLRCGGDPLLELSAVVGDAAAGAQGQGHPLLHYHHHRHLQMPAASAFEAAAGARYCSQLLAPAPPPPPPGPVCVLHPPAAAGCQREPPVAPEQQYFRDRWSRMGGFSTAGGGADHLSQSQSQLLPATLAPEHPSSQSTIWRPAVSSSSCLHTGHRCDICSRRMRALTENGGALAPTSPDYSIYDLATAMATARQGDAFLAQERKQGPAEAEAPAKKDVREIEFFPAASAHHTGGRGRVSVPDESEITSLFSS >Sspon.04G0015140-4P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:21739670:21755826:1 gene:Sspon.04G0015140-4P transcript:Sspon.04G0015140-4P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Class-I type histone deacetylase, Seedling root growt [Source: Projected from Oryza sativa (Os06g0583400)] MDASAGGGGNSLPTTGADGSKRRVCYFYDAEVGNYYYGQGHPMKPHRIRMTHALLGRYGLLDQMQVLRPHPARDRDLCRFHADDYVAFLRSVTPETQQDQIRALKRFNVGEDCPVFDGLYSFCQTYAGGSVGGAVKLNHGHDIAINWAGGLHHAKKCEASGFCYVNDIVLAILELLKYHQRVLYVDIDIHHGDGVEEAFYTTDRVMTVSFHKFGDYFPGTGDIRDVGHSKGKYYSLNVPLDDGIDDESYQSLFKPIMGKVMEVFNPGAVVLQCGADSLSGDRLGCFNLSIKGHAECVRFMRSFNVPLLLLGGGGYTIRNVARCWCYETGVALGHELTDKMPPNEYYEYFGPDYTLHVAPSNMENKNTRHQLDDIKSKLLDNLSKLRHAPSVQFQERPPEAELPEQDEDKENPDERRDADSDVEMNDAKPLDDSGRRGGIQNLRMKKESAETEAIDQDVNSAAAEHSGGTGPVADGVGSSKQTFPNDTSPMAIDEPNALKVEQESSNKLQDQPTVHQKP >Sspon.02G0003510-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:11280703:11289422:-1 gene:Sspon.02G0003510-1A transcript:Sspon.02G0003510-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSRVGSFCDLCKEGCPPGDLVYRCVDCLFDVHPLCTLLPQTIRSPLHPRHDLRLVPSPGTFHPRHDLRLVPSPGTCMCGCEELSVWNYVCSCPFMVNIACVSGAPSSGGRSNTSSGQSLAVTPSRRSSVAKFLLKTSFHVAINAATGGLASPTQYNNTSNHTCDICRSKLAGLIGYRYFDIHEACADYFKQTISFFRAPSR >Sspon.06G0035880-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:86373621:86377112:1 gene:Sspon.06G0035880-1D transcript:Sspon.06G0035880-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVVALLPSWWSSHDERRDHSWGGGCGWPGWGRASIGHGHSYPHVLFASGVVGRERCFGSWMSCEGFFFEGRAVKELGARKEVVALLLRRCPLERGKEGSNIHILSKENVPKVEAEGQEMVQRSCFVVHEKNGYTHYIGLWLKTSTACAQLQAAATRCVRVHTEEQDKL >Sspon.06G0009290-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:40196349:40199118:1 gene:Sspon.06G0009290-2B transcript:Sspon.06G0009290-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFALDTLCGQAFGARQYHLLGIYKQRAMLVIGLTCVPFALLWVYAGQILVFLHQDHAIAAEAGAYARWLIPSIALYVPLQCHIRFLQTQSLVLPVMASSGATTLCHLAVCWALVYKAGLGSKGAAVSNAISYAVNLVILALYVRLSSACKRTWNGFSMEGFKELRQFANLAVPSATRVSNELGAGQPQAAKLAMWVVMCMALSSGFLLALAMILLRSVWGHVYSNEKEVVAYIAKMMPVLAISFFIDGIHGSLSGVLTGCGKQKIGAITNLGAFYLAGIPMAVLLAFVFHMNGMAVKAKDR >Sspon.03G0004320-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:10791704:10794419:-1 gene:Sspon.03G0004320-1A transcript:Sspon.03G0004320-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MCNSNVKSAGVAQIDGRPVLQPAGNRVAAAPDAARPLKKSLQKSLSMPASYNNSSNATAAAARPAPHENTRAAAAGSLLPPTTPASVTARAAAKAAGAAVTAEKSRSTKASRKPGAVLPVVTFAALEAFEPAAAGSIAAAQRKHAAQAQAQRKMRIAHYGRTASFSRVEGRVGATATGTAAAAAEPAVPASPTGHDEKRCSFITPYSDPLYVAYHDEEWGVPVHDDELLFEMLTLSGVQVGADWTSILKKRHVYREAFSGFNVDAVAKYTEKQMASLSADYGLDLGTVRGTVNNACRILEVRRDFGSLDRYVWAFVNNKPLSPSYKYSRKIPVKTSKSESISKDMVRRGFRFVGPTVIHSFMQAVGLTNDHLVSCPRHRVCSSAAAGAAARVN >Sspon.03G0016180-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:51555111:51557809:1 gene:Sspon.03G0016180-1A transcript:Sspon.03G0016180-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MCAWCRSDSNEFAELLWENGQAVVHGRRKQPQAAFPPFTCGAASSSRAQEKQPGTDPVALLKTGGLFGDFSPGLDATRDNGDLHDSVPWIHYPIVEEDSAAPALAESYSPDFFSELHAAAVAAAAATTNLSPLPPPVQHTGNNRSTPVATTTSSRGPEPSKETHRIPVPGPAEFAATRKPRPESGGEGLMNFSLFSRPAAMARASLQRPPQTGTDKASNVTTSTRVESMVLQLASGPRTAPVFTDQRMPWSQSKEVRFSCAAAPTAGNLQQEMPRDMTPQKKVETRKASEVAVATSSVCSGNGAGIGNNESWRLQKRKSQAECSASQDDDLDDESGGMQRSGSRGTKRSRTAEVHNLSERRRRDRINEKMRALQELIPNCNKIDKASMLDEAIEYLKTLQLQVQMMSMGSGLCIPPMLLPPTMQHLQIPPIAHFPHLGMGLGYGMGVLDMNSTAAVPFPPMPGVHFPCSMIPGTPPQGLGMPGRNMMPMFGVPGQAIHPSASSIQPFPSLAGLPVRPNLAPQVSAVMANMVQEQQQGVATQQQQSLNNEAQQGANTGDPQLQTIVQAENQHFSVPSSAQTESNQFLDGGGNTTHAAGRNEAET >Sspon.06G0011570-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:63186306:63189413:-1 gene:Sspon.06G0011570-1A transcript:Sspon.06G0011570-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATMGITSSSPPPLPLHGGLLLLLPLLTITSAASSAPLPLLALLSLKSSLHDPAGALRPWTYAAAASAGATRSLAPLWCAWPGVSCDPATGDIAGLDLSRRNLSGTFSATAARLLAPTLTSLNLSANAFAEEFPAAVFQLRRLRSLDVSHNFFNGTFPDGVAALGAALAELDAYSNCFVGPLPRGLGELRRLQRLNLGGSFFNGSVPAEIGQLRSLRFLHLAGNALTGRLPSELGALASLEQLKIGYNAYDGGVPAELGNLTRLQYLDIAVANLSGPLPPELGELARLEKMFLFKNQLAGAIPPRWSRLRALQALDLSDNLLTGAIPAGLGDLANLTMLNLMSNFLSGTIPAAIGALPSLEVLQLWNNSLTGRLPESLGASGRLVRVDVSTNSLSGPIPPGVCTGNRLARLILFDNRFDSAIPASLAACSSLWRVRLESNRLSGDIPVGFGAIRNLTYLDLSSNSLTGGIPADLVSSPSLEYINISGNPVGGALPNVSWQAPNLQVFAASKCALHGEVPEFGAAGCSNLYRLELAGNDLTGAIPSDISTCKRLVSLRLQHNQLTGEIPAELAALPSITEIDLSWNELTGVVPPGFTNCTTLETFDVSFNHLVTAGSPSSASSPGAGERASARRNAAMWVSAVAVAFAGMVVLAVTARWLQWREDDGAGATGRGSGGGARARPNVVVGPWRMTAFQRLDITADDVARCVEGSDGIIGAGSSGTVYRAKMPNGEVIAVKKLWRQPSAQKEGGGAPAAEPPKRRKEPAADAVGNGNRSMLAEVEVLGHLRHRNIVRLLGWCTDGEATLLLYEYMPNGSLDDLLHGAGAGKAGLDWDARHRIAVGVAQGVSYLHHDCVPAVAHRDLKPSNILLDADMEARVADFGVAKALQAAAPMSVVAGSYGYIAPEYTYTLQVDEKSDVYSFGVVLLEILTGRRSVETEYGEGSNIVDWVRRKVAGAGAGDVMDAAAWAADQQQAGGEAAVARDEMALALRVALLCTSRCPQERPPMRDVVSMLQEARRGRKLLAKKQQAQPKIN >Sspon.01G0038480-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:23140199:23140612:-1 gene:Sspon.01G0038480-2C transcript:Sspon.01G0038480-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RMNSNRLSARKSRMKRQQYVDDLTAENERLRLDNEAMRASVGDVLQRSSALEQENRVLAAHARQLCAALLLRNSQLRLLGDVAGVPLDVPGVPDHLVQLYGGVQMPVTPLSSSVTPLSSSVTPLSPSLSPSPPPPPPQ >Sspon.03G0020430-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:82741065:82744482:1 gene:Sspon.03G0020430-3C transcript:Sspon.03G0020430-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKFFVGGNWKCNGTTDQVEKIVKTLNEGQVPPSDVVEVVVSPPYVFLPVVKSLLRPEFQVAAQNCWVKKGGAFTGEVSAEMLANLGVPWVILGHSERRALLGESNEFVGDKVAYALSQGLKVIACVGETLEQREAGSTMEVVAAQTKAIAEKINDWSNVVIAYEPVWAIGTGKVASPAQAQEVHASLRDWLKTNVSPEVAESTRIIYGGSVTAANCKELAAQPDVDGFLVGGASLKPEFIDIINAATVKSA >Sspon.05G0006300-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:13917880:13920056:1 gene:Sspon.05G0006300-2B transcript:Sspon.05G0006300-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor with zinc finger domain and helix-loop-helix domain (YABBY domain), Leaf developmen [Source: Projected from Oryza sativa (Os04g0536300)] MMSSVPETFNLDQQHLVQQQQPPPAEQEQICYVHCSYCDTILAVGVPCSSLFQTVTVRCGHCSNLLYVNLRALLLPPPAAANQLPPFGGQALLSPTSPHGLLDAETMSFQAPSLQPSAEPPSACVSTITCINNTCGGNSASAMSSMAPPPPAKPALLQEPQLPKSNKTSEKRQRVPSAYNRFIKDEIQRIKASNPDITHREAFSAAAKNWAHFPHIHFGLMPDQGLKKNPMQNQEGAECMLFKDGLYAAAAAAAAAAATAASSMGISPF >Sspon.05G0004290-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:27338582:27340520:1 gene:Sspon.05G0004290-3C transcript:Sspon.05G0004290-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIDDESPLRVNTRGGAMGGGGCDGAENQRWPPWLKPLLGTSFFGQCKLHADAHKSECNMYCLDCMNGALCSQCLAYHRDHHAIQIRRSSYHDVIRVSEIQKVLDITGVQTYIINSARVVFLNERPQPRPGKGVTNTCEVCERSLLDTFRFCSLGCKIVGTSGDFRIRKKHAVVKKKKKQAQHHHHQQHRGAAAAESEDDSSTSTSGGSDKSSVVQSFTPSTPPATANSFRTGKRRKGVPHRSPFGSLMVEF >Sspon.04G0021960-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:6019047:6019633:1 gene:Sspon.04G0021960-2C transcript:Sspon.04G0021960-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPQQRYRGVRQRHWGSWVSEIRHPLLYLHRFNLASVQAAQRQREAATAASAAAAASAATPPPTPPAAQWGGRFLEEQHVEQMIEELLDSNFSMEICC >Sspon.06G0025830-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:72428020:72430743:-1 gene:Sspon.06G0025830-1B transcript:Sspon.06G0025830-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GYKYNRAMEATALSVGKSVLNGALGYAKSAFAEEVALQLGIQKDHTFVADELEMMRSFMMEAHEERDNNKVVKTWVKQVRDTAYDVEDSLQDFAVRLKRPSWWGFPRTLLDRRRVAKQMKELRAKVEDVSQRNVRYHLIKGSSGSKAATTSAEQSGLTVAAIFGIDDARRAAKQDNQRVDLVQLINKEDEDLKVIAVWGTSGDMGQTTIIREAYENQDVQIKFPCRAWVRVMRPFSPKDFVQSLVNQLHATQGVEALLEKEKTEQDLAKEFNGYVNDTRCLIVLNNLSTIEEWDQIKKCFPNNKKGSRIIVSSTQVEVASLCAGQESQASELKQLSADQTLYAFYDKGSQYGNDSVKPLSMSDVAATSRNDHIAAHGEIIDDESMDADKKKVARKSLTRIRTHVGDLEESQLIGRKKEKSEIIDLISNNDNSQQVQVISVWGMGGLGKTTLVGGVYQILKLRDKFDKYVFVTIMRPFNLAELLRTLAGQLHEGSSKKEELLENRVSSKKSLASMEVDKLTEKLERLLEKKSCLIVLDDFLETSEWDLIKPRLLPLLEKTSRIIVTTREENIANHCSGRNGVFFSMMMHFIS >Sspon.05G0019400-5P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:74696439:74701527:1 gene:Sspon.05G0019400-5P transcript:Sspon.05G0019400-5P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGEVSDLVFAKSGPTIILLAGLQGVGKTTVCAKLAFYLKKMGKSCMLVAADVYRPAAIDQLTILGKKVGVPVYSEGTEAKPSQIAKNGLKEAKVNKADVIIVDTAGRLQVDKAMMNELKEVKKAVNPTEVLLVVDAMTGQEAAGLVSSFNDEIGITGAILTKLDGDSRGGAALSVKEVSGKPIKFVGQGERIEDLEPFYPDRMAQRILGMGDVLSFVEKAQEVMRQEDAEELQKKILSAKFNFNDFLKQTQMIAQMGSFSRLIGMIPGMNKVTPAQIREAEKNLKFMESMIN >Sspon.07G0015760-1P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7C:34553661:34553882:-1 gene:Sspon.07G0015760-1P transcript:Sspon.07G0015760-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSIVMGATGGKNRTSWPEVVGMSIKEATKIILKDMPNAHIEILPVGSIVTQDFHLDRVRIFVDIVAQTPTVG >Sspon.02G0015410-4D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2D:36247167:36250615:-1 gene:Sspon.02G0015410-4D transcript:Sspon.02G0015410-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPPPKADELQPFPPKEQLPGVAFCITSPPPWPEAILLGFQHFIVMLGTTVIIPSALVPQMGGGNEEKARVVQTILFVAGINTLFQTFFGTRLPVVMGGSYIFVGPTISIILAGRYSNETNPHEKFLRTMRGTQGALLIASTIQIILGFSGLWRNVVKLLSPLAAVPLVSLVGFGLYELGFPGVAKCVEIGLPEVFLLVVFSQYLSQVLDFGKSVFSRFSVLFTVSIVWLYAYILTIGGAYKNSPPKTQVHCRVDRSGLISGAPWISVPYPFQWGAPTFDAGEAFAMMMTSFIALVESTGAFIGASRYASATMIPPSIISRGVGWQGIGLLLDSFFGTATGTSVSVENIGLIALTRIGSRRVVQISAGFMIFFSVLGKFGALFASIPLPIFAGMYCLFFAYVGGVGLSLLQFCNLNSFRTKFIMGFAFFMGLSVPQYFNEYTAVASYGPVHTGARWFNDMINVPFTSKPFVAGLVAYILDNTLQIKESAVRKDRGNHWWEKFRSFKKDARSQEFYSLPFNLNKFFPSV >Sspon.01G0024060-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:86467737:86468483:1 gene:Sspon.01G0024060-1A transcript:Sspon.01G0024060-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LSHIGMGVSMQLEMMSDAIDETLDKDEAEEETEELTNQVLDEIGVDVASQLSSAPKGRIGATNKKVDNSQARNAAAPARNVAAPPESSAEVDDLERRLASLRRI >Sspon.03G0004210-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:12134121:12138101:-1 gene:Sspon.03G0004210-2P transcript:Sspon.03G0004210-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDASLLLSVALAVVLIPLSLALLNRLRLGRLPPGPRPWPVLGNLRQIKPIRCRCFQEWADRYGPVISVWFGSGLTIVVSTSELAKEVLKENDQQLADRPRNRSTQRFSRNGQDLIWADYGPHYIKVRKLCNLELFTPKRLEALRPIREDEVTAMVESVYRAATAPGNEGKPLVVRNHLSMVAFNNITRLAFGKRFMNANGDIDEQGREFKTIVNNGIKIGASLSVAEFIWYLRWLCPLNEELYKTHNERRDRLTMKIIEEHAKSLKESGAKQHFVDALFTLKEQYDLSEDTVIGLLWDMITAGMDTTVISVEWAMAELVRSPRVQKKLQEELDRVVGRDRVMLETDFQNLPYLQAVVKESLRLHPPTPLMLPHKASTNVKIGGYDIPKGANVMVNVWAVARDPKVWSNPLEYRPERFLEENIDIKGSDFRVLPFGAGRRVCPGAQLGINLVASMIGHLLHHFEWSLPEGTRPEDVNMMESPGLVTFMGTPLQAVAKPRLEEELYNRVPVEM >Sspon.02G0036190-2D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2D:18362805:18363258:1 gene:Sspon.02G0036190-2D transcript:Sspon.02G0036190-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSEDPGPPPGSILLDPIGYISDRTNHTTAGGFTKGGKRIEVTFWVAHPPRASYFSVRSRGLEISDHPAIVTTEKDLALLRVPICRPNCNFDPTDCDYFVYEAGTKPTLRLIAMPPYLSFSDCSVGLLRIRRRGMFFIAILCWTFSPSPSG >Sspon.02G0025190-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:87322370:87323154:-1 gene:Sspon.02G0025190-1A transcript:Sspon.02G0025190-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMDELVEEFLLRLPPDDPASLVRAALVCKLWRRILSSRGFRRRFRDFHRMQGLPPRPRPQRPSLGWQRDGRLGPHHGREAAAAQPAASARTERHYPLGGLERGGALRLQLEVHYTSWLRLENSRLHLWSREAASDGLLESAQTRVVELETMLPSINPFSMATFVDGVGVFLVTTADGLFSIDRDSDRATEVCEGREINNVVPYVSFCST >Sspon.04G0016660-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:64835222:64841653:-1 gene:Sspon.04G0016660-2B transcript:Sspon.04G0016660-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGNDNWINSYLDAILDAGKAAIGGDRPSLLLRERGHFSPARYFVEEVITGYDETDLYKTWLRANAMRSPQERNTRLENMTWRIWNLARKKKEFEKEEACRLSKRQPETEKTRADATADMSEDLFEGEKGEDAGDPSVAYGDSTTGSSPKTSSIDKLYIVLISLHGLVRGENMELGRDSDTGGQVKYVVELAKALSSSPGVYRVDLLTRQILAPNFDRSYGEPAELLVSTSGKNSKQEKGENSGAYIIRIPFGPKDKYLAKEHLWPFIQEFVDGALSHIVRMSKAIGEETGRGHPVWPSVIHGHYASAGIAAALLSGALNLPMAFTGHFLGKDKLEGLLKQGRQTREQINMTYKIMCRIEAEELSLDASEIVIASTRQEIEEQWNLYDGFEVILARKLRARVKRGANCYGRFMPRMVIIPPGVEFGHIIHDFDMDGEEENPSPASEDPPIWSQIMRFFTNPRKPMILAVARPYPEKNITTLVKAFGECRPLRELANLTLIMGNREAISKMHNMSAAVLTSVLTLIDEYDLYGQVAYPKHHKHSEVPDIYRLAARTKGAFVNVAYFEQFGVTLIEAAMNGLPIIATKNGAPVEINQVLNNGLLVDPHDQNAIADALYKLLSDKQLWSRCRENGLTNIHQFSWPEHCKNYLSRILTLGPRSPAIGNREERSNTPISGRRQIIVISVDSVNKEDLVRIIRNAIEVIHTQNMSGSAGFVLSTSLTISEIHSLLLSGGMLPTDFDAFICNSGSNIYYPSYSGETPNNSKITFALDQNHQSHIEYRWGGEGLRKYLVKWATSVVERKGRTERQIIFEDPEHSSAYCLAFRVVNPNHVPNVAVLVGESGDSDYEELLGGLHRTVILKGEFNTPANRIHTVRRYPLQDVVPLDSSNITGVEGYTTDDLKSALQQMGILTQ >Sspon.01G0005040-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1A:13363388:13364182:-1 gene:Sspon.01G0005040-1A transcript:Sspon.01G0005040-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLQSSALLPEATKRPPWLSLVGSVVASSTGTGTAAATSKKRKRDGGDDDRGEVVDGIELNFDAAPLPPEWQRCLDIKSGQIHYYNTRTQKRTWKDPRGEPDYRAAAPTSAEDDDEEEDSANCAPPGLDLELNLTFEPRRALAHDKKKPRHTTATKAAADDRRRLQLAAEEAEDSGGGGGSREMVAGVCARCHMLVMMCRASPACPNCKFLHPPASRAAPPPPVPLKLGLQLLCCRD >Sspon.05G0015650-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:50256991:50257796:-1 gene:Sspon.05G0015650-2C transcript:Sspon.05G0015650-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYRELELTLLSAQELKSVNLITRMDVYAVVSISGDPLTRQCTAPDTYGGRNPCWNATFRFAVPPTAAAAAGASLHVLLRAERFLGDRDVGEAVVPLAGILAGATGVGPQPPQVASYYVRKLHRWEPRGVLNVSYRLGPVVAPVGVPRQPSHHHPSAVAYPAPGRPAGRVVAEHDEAAQDKSPVVMAYPVGVRQQTSHPPAAKPDAYRPPSPPPPRPAAVHAARHEEAPAPALAPAPRNGGSNGLGHRGRGPQAQ >Sspon.06G0017820-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:96821944:96826870:1 gene:Sspon.06G0017820-1A transcript:Sspon.06G0017820-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AMASCKLYSALLAVAVVLAVGPATWPVSAAAHLHFYMHDVLTGSAPTAVQVLNGPRGHMGDTIVIDDVLTETASRSSSMVGRAQGHYIWASTGNPELLVTMNMVLTSGPYAGTSATVVGRDDIGAPVRELSVVGGTGQFRMARGYVLWKKLYSALLAVAVVLAVGPATRPVSATTHLHFYVHDVLTGSAPTAVQVLNGPRGHMGDTIVVDDVLTANASRSSSMVGRAQGYYIWASTGNPELLVTMNMVLTSGPYAGSSVTVVGRDDILAPVRELSVVGGTGLFRMARGYVLWKTVSLDHRNGVLELDVYVL >Sspon.02G0054590-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:115094668:115132064:1 gene:Sspon.02G0054590-1C transcript:Sspon.02G0054590-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPLLWLALSCAALAFSTSAAGLRLELTHVDAKENCTTEERMRRATERTHRRLASMAGGEASAPVHWNESQYIAEYLIGDPPQQAEAIIDTGSNLIWTQCSTCRAAGCFGQNLTFYDPSRSRTAKAVACNDTACALGSETRCARDNKACAVLTSYGAGVIGGVLGTEVFTFGQSESSENNVSLAFGCITASRLTPGSLDGASGIIGLGRGKLSLPSQLGDNRFSYCLTPYFSDATNTSLLFVGASAGLSAGQPVTSVPFLKNPDDDPFNTFYYLPLTGITVGTAKLAVPAAAFDLREVAPAKWAGTLIDSGSPFTSLVDVAYQALRDELVRQLGASVVPPPAEAEGLDLCVGAAHGDAGKLVPPLVLHFGSGSGGGDVVVPPENYWGPVDDSTSCMVVFSSGGPNSTLPLNETTIIGNYMQQDMQLLYDLEQGVLSFQPADCSSVSSDLSIVDLDVPFGLMFVASASINAYRNLGVLAVVTWKVLFVSADFGFGNQVAATEWLIQHLETTSAAVLSLSAFIMTLLPPRTFSSDEDFGYDFLSSWDFGNDFLSSWKLPKSGKDTIDFTVPKSSKKFSFDNLDDFELDGAFDKLPSFQMGMSDLDFSSPQKKKVKHSSSNADHSEEKKETDKENFSFSFDFSELGKFSLDGKLGIEEKSTSRFTGKSDPVSSEVKKDTQRGLSAKGNAILEENNSTNKAHTLDTCTLRPSHLTNHESVKNVSQPTSNIDAADSSDKMQERTSVNPATMEQTKVDSVLNDNPGEQPKEIYPTKAPVNLPSQDFSCSAISSEDPTQGLADPVNSKDAPIVDSGKVHVSRESNDDEQLNGLRSRDTSIINPNVSRRPVGQFNSWNEVLEESVSLNEGSQDNQSFSSAPKKFLKKTSHGTKNTEEEISGPKSLSCSMQREIRSVEPALTKERGSFSLLSKSVHMYLVVVKEGGVLQG >Sspon.08G0026240-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:18861595:18864367:-1 gene:Sspon.08G0026240-1C transcript:Sspon.08G0026240-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMIDEPLYPIAVLIDELKNEDIQLRLNSIRKLSTIARALGEERTRKELIPFLSENNDDEDEVLLAMAEELGVFIPYVGGVEHAHVLLPPLETLCTVEETCVRDKAVESLCRIGAQMKESDIVDWFAPVVKRLAAGEWFTARVSSCGLFHIAYPSAPEQLKAELRTIYGQLCQDDMPMVRRAAASNLGKFASTVEQSHLKTEIMSIFDDLTQDGLKYRKNRKIPPKESVNTKYH >Sspon.04G0007180-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:21200939:21203015:-1 gene:Sspon.04G0007180-1P transcript:Sspon.04G0007180-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MCKCLCLPAFLFGGTVTLQAQKEFPPDMQCKDKFLIQSTKVAASTDMDEIPPDTFNKETDKVIEEMKLKVVYTLPSGGSDDSSVSSLGSRSFKAASDDLAMLKNASIEEIQTIQRLKEERDNMLQQNQQMQRELDVLRRRRSRKGDAGFSLTFAAFAGLIGVLVGLLMSLIFSSPPADA >Sspon.01G0059640-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:63499749:63502021:1 gene:Sspon.01G0059640-1D transcript:Sspon.01G0059640-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MCPEDGCFPGLYCSSMLSDPWLSDGCTMILTSAWRSTEVILSIDVLSGKVTRITPEDSYYSWSALALDGNNVLAVSSSPIDPPYISYGRPVTPEGQAHRWTWDEVTSPLMASNSKVKSLLLHHSVSILKIPVPSPSDDLSDGITLNTRDTISGKLPFEAIFVSCKDSSHSPTVVILHGGPHSVSVSSYSKSSAFLASLGFNLLIINYRYSLGIPMQFTSYVWQYFQSDCYLIKKEVHQVTERKLCNHFLEKLDPRYRLWLNSAFLFLLNQSIPYSVEQDVQDCLTALDFAIKEELVDASKVSMLMGFRVGVADTPAPDRFAVAAARNPVCNLSLMIGTTDIPDWCYIVACGTEAKQYASEAPSSNHLHLFYQKSPIAHISEVKAPLLMLLGGADRRVPVSNGLQYARGLRERGGEVKIMMFPEDIHEINLPRSDFESFLNIGVWFKKHLNAAA >Sspon.01G0000880-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:1792230:1795207:-1 gene:Sspon.01G0000880-2B transcript:Sspon.01G0000880-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MINTSLSIRKNPVRGRSGKQHDFAVPSSASAMMNLQTPLPPTSSPAPAPRASRAPAVPAARRGTGRRRQRRKPSSSPSTHEPEAEHGQPDALARILRTEAAVSGVSRKAAAARQQSTNLWPRAVLEALDSAVAACRWESALEIFELLRKQHWYEPRSQTYARLLMMLGKCRQPGPAAALFKAMLSERLRPTADVYTALVGAYGYSGLLEEALAAVEQMKGAADCKPDGYTFSVLIDCCAKSRRFDLIPAVLDEMSYLGIECNSVIHNAIIDGYGKAAMFEEMESALSAMLESGSNVPDIYTINSVIGAYGNHGRTDEMEKRYSEFQLMGVEPDTKTFNIMIKSYGKAGMYDKMMSIFRYMKKRFFSPTTVTLNTVIECFGRAGNIEKMEYYFRLMKIQGVKPNPITYCSLVNGYGKAGLLDKVPGIIRQTENTNVVLDTPFFNCVISAYAKSGDIKIMEEMLQLMKEKKCKPDKITYATMIQAYTAHGMDEAARLLEMEAERFDKRSLGPVSEVDGK >Sspon.07G0032590-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7C:51958233:51958435:1 gene:Sspon.07G0032590-1C transcript:Sspon.07G0032590-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFNMSRDPLVVGHVVGDIVDPFITTASLRVFYNNKEMTNASKLKPSQVMNEPRVHISGRDMRTLYTL >Sspon.07G0029950-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:81004710:81016640:1 gene:Sspon.07G0029950-1B transcript:Sspon.07G0029950-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALQGLVDWRGRPVTGKRHGGVRASVFIHVLIVMANISNIPLILNLVSYLHGTMHMGVKDASTTSTNFFGAICFFSLLGAFISDSYVKRFYTILIFAPIEIMGYVLLACQAHFPSLHPPPCDMVNHPNQCTVVSGRNLSLLTLGLYLIPVGEGSMRACAAALGGDQFDGENPAELHAKISYFNWFAFSISLGGFVGLVFLVWVQDNEGWGLSFALAALMLFVGMVVVGCGLPFYRHQKPMGSPLTRILQVFVAAFRKRKLSIPENPMEMHELTDSTGKSVEFMERTPDFKFLDTAAVDDGDKRAWSLCTVTQVEEAKIILRMLPIFLSSILGNVSIPLLLSLTVQQGGAMDTRLGATSIPAASLFIVPIVFQMLTLVAYDRAIVPWLRRVTGRASGVTHLQRVGVGFVFSVMALAVAAVVEGRRRSMAATGAPPMSVFWLIPQFFLLGVMDVTSFVGLLEFFYSEASAGMKSIGGAIVFCILGVSSWLGSFLIQVVNHATAHRGGGHGWLDGPNLNASRLDLFYWLLAVFGLVSFFLYLLCSWSCLHAICHGQGYMLLAYQAHFPSLHPPPCDMINNPSDCTPVSGRNQSLLTLGLYLIPIGESSLRTCAAALGGDQFDEDTPEELPGKISFFNWFEISISLGAMIGVVFLVWVQDNVGWDLGFTLAALMVLVGTLGVAVGLPFYRHQKPAGSPVTRILQVFVAAFRKRKLRVPENLMEMHNKVTDGTGTSVESMERTAGFISILAYIPFSLLLSLTVEQAGTMDTRLGGIDIPPASLTVIPVAVQVLILLVYDRAVVPWLRRATGYAGGVTHLQRAGVGFASSVLAIATAALVEGRRRRRSGAPPMSAFWLTPQFVLLCVLDVTSFVGLLEFFYSEVAAGMKSIGGSLVFCILGVGSWLGSLLIQVVNDATARRGGGDSGHGWLGGANLNASRLDLFYWLLAVLELVAFGLYVLCAWSY >Sspon.07G0012650-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:45057977:45064579:1 gene:Sspon.07G0012650-1A transcript:Sspon.07G0012650-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVGAAEPKEQAATAAGAPAEEDKAAAVSCSICLDAVLAASGERSTARLHCGHEFHLDCIGSAFNAKGVMQCPNCRKIEKGNWLYANGSRSAHDVSMDEWAHDEDLYDVSYSEMPLRFHWCPFGRLAQLPSLFEYVLLHMIIFYSCLTFCSRIPCTQFHLSN >Sspon.02G0003060-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:9210967:9212079:1 gene:Sspon.02G0003060-2C transcript:Sspon.02G0003060-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTLENLRRMCGHVVERATLAPSQLSGDPASFHSRCILAQRLDLFFPERTTTCAPICAKFGAIEAIALCRRLDMAVIVFRDEASVPIAFWRQEEASHGVNLYPPAASTTGDSVSVSAEGSTEPTRTEPTVEATRIEGNTQSTPLWMESRPAIVFQNYLSEGPGTTSFVPARSQIYGPTLGADGHLWMDGTSFTKYEKGVEINRRASVRVVQVPQLLADLAAGQTWLKPPPSPRITSLR >Sspon.07G0007750-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:18432767:18443748:1 gene:Sspon.07G0007750-2B transcript:Sspon.07G0007750-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEGETAALEFTPTWIVAAVCSLIVLLSLVAERCLHYIGKTLKKKNQKPLGEALLKVKEELMLLGFISLLLTVFQGMIQRTCIPEHWTFHMLPCEKPDEKAGEAATTEHFVAVGTLGRIGRRLLSEGAAGAEQCRNKVIRLWNWNFGVHYNDFLFLFEPWWSGKVPLLSLEATHQLHIFIFVLAITHVIFSVTTMLLGGAQIHQWKQWENGIQKEKEAPGNGPKKVTHVHHDEFIKKRFKGIGKDSIILSWLHSFGKQFYRSVSKSDYTTMRLGFIMTHCPGNPKFDFHRYMVRVLEADFKKVVGISWYLWVFVVIFLLLNVNGWHTYFWIAFLPLFLLLAIGTKLEHVIAQLVHDVAEKHTAIEGDVIVKPSDDQFWFGKPKIILYLIHFILFPNAFEIAFFFWILSTYGFDSCIMGQVRFIVPRLVIGVVIQLLCSYSTLPLYAIVTQMGSCYKKEIFNEHVQQGVLGWAQKVKMRKGLKGAAAASKDESTINADSAGPSVKIEMAKAGEDVQVVGNAE >Sspon.08G0024680-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:737563:738528:1 gene:Sspon.08G0024680-2P transcript:Sspon.08G0024680-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVATAALLAASARAEQCGSQAGGALCPNCLCCSKFGWCGTTSDYCGSGCQSQCTGSCGSTPTPSTPTPTPSSGGGSVASIISESLFNQMLLHRNDAACPASGFYTYAAFIAAANAFPGFGTTGGADAQKRELAAFLAQTSHETTGGWATAPDGAYAWGYCFKEEQGAAAGPDYCEPSTQWPCAAGKKYYGRGPIQISYNYNYGPAGQAIGAGILANPDLVASDPTVSFETAVWFWMTPQSPKPSCHAVMTGQWTPSAADTAAGRLPGYGVVTNIINGGLECGHGADSRVTDRIGFYKRYCDLLGVSYGDNLDCGNQRPFNS >Sspon.04G0021470-3P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:1237786:1243070:1 gene:Sspon.04G0021470-3P transcript:Sspon.04G0021470-3P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHARARPRPRQHIRVLVAAAMLLLSSALFTTARFPLAVSARLPNPTTTTTRLLLFAGLPSSSPLRAFCPRARPSPATCAAFSSTMAATDNPLLVADFDFPPFDRVEPSHVRPGIRELLTRLEGELEELEKGVEPTWGKLVEPLERITDRLEVIWGMVDHLKAVKDSADLRAAVEEVQPDKVKFQLRLGQSKPIYEAFKAIRNSSDWDSLSDARKRIVEAQIKEAVLSGVALEDEQREKFNQIEQELEKLTQKFSENVLDATKKFEKLITDKKEIEGLPATALGLAAQTAVSKGHENATAENGPWVITLDAPSYIPVMQHAQNRELREEVYRAYLTRASSGELDNTNIISQILKLRLEKAKLLGYKNYAEVSMAQKMATVERVEELLEKLRAASWDHAVKDMEDLKIFAKDSGSAEANDLTHWDLTFWSERLRESKYDINEEELRPYFALPKVMDGLFTLAHKLFGVTVEPADGLAPVWHSDVKFYCVKDSSNSPVAYFYFDPYSRPSEKRGGAWMNVVFSRSRVLARNGLAARLPVAHMVCNQTPPVGVKPSLMTFREVETVFHEFGHALQHMLTKQDEGFVAGIRGVEWDAVELPSQFMENWCYHKNTLLSIAKHYETGETLPEEIYAKLVAAKNFRAGTFSLRQIRFASVDMELHTTYDPDGSLSIYDVDRRVAERTQVLAPLPEDRFLCSFSHIFAGGYAAGYYSYKWAEVLSADAFSAFEDAGLDNEKAIEETGRRFRDTVLALGGGKSPLEVFVSFRGREPSPEPLLRHNGLLPVAA >Sspon.02G0037270-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:28052562:28054749:-1 gene:Sspon.02G0037270-2D transcript:Sspon.02G0037270-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVENGVQLTLTSTLKSTGKTRISADGLNNGSHATKEKHEHNGTLQPSKGQKQQLCTTCAKGHTCQSVINRTRQMRALMDSKKPYQAHSVFKHLMDEGHQPSLVTYTILLTALTNQRMFESIPSLLAQVELAGIRPDSIFFNALINAFVEAKRMGEAINTFWKMKHSGCHPTTSTFNTLIKGYGIVGKPEESQRVFDMMCIEGSVRPNLTTYNILVKAWCDQRNLEEAWGVVGKMRAGGVEPDIVTYNTIANAYANNEETWRAEELIVEIQTRVRTSERTWGIIIGGYCREGRLEEALRCIQQMKDAGIVPNVVIFNTLLKGFLDANDMAAVNNILGLMEQFGIKPDIVTYSHQLNTFSSLGHMAKCMKVFDKMVESGIEPDPQVYSILAKGFVRAKQPEKAEDLLLQMSHLGVCPNVVTFTTVISGWCSVADMESAMRVYEKMCKSGVYPNLRTFETLIWGYSEQKQPWKAEEVLQMMRETGVKPKQSTYCLIADAWKAVGLIENMNNSNGSPNGPFAIDKLDHSDDNCNVQISEDNNKLQSFDESNGRAMNGRSRSSFLQITNALGSSGIVSAKVLKAGEFHPKD >Sspon.03G0020780-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3A:64334246:64334897:1 gene:Sspon.03G0020780-1A transcript:Sspon.03G0020780-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GSHGHRRAEHEAPHRPEGAAGAVRGGQQGSRRLPLLPPRASRRHGGEAGGQGGHGRLRRQPLRQRRQARLHLRAGRRRQGRAPLPDRAVAGSDHQQLPPPPAGEVFCATQVFLQVRLQQQLPQLHHGRAGQGVPELWPPHDDGSAVSAVGGVQRLRRGGGAERGERVRAGYRDVHGAGRPDGDAHVRHLQHHPAQHLRGEGPRRPPREERAAGLQR >Sspon.08G0021310-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:37195184:37196596:-1 gene:Sspon.08G0021310-1T transcript:Sspon.08G0021310-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLAAVLLPLLVLGASPACQGQGQGAAATHNITAILAAHPDLTDFSAALVSTGAAAEIDRRQTITVLVVDNAVMARLKAQKPDPKELERVIYLHVLLDYFDAAKLGSIQGGFAQVTSLYQATGKAQGSDGILNITVFTDGRVAAFTPSAPSNRLPTAFYQRSIKEVPSDIAVLQVKDLIWSPATADGAPAPTPESQPGAAPELTDLLSKNGCGGFAGLLAATADAVAAYDRSAGGAAGLTVFCPADKAVEAFNSTFKNLTADARLALLLYHGVAAHYSAQSLKAINGDVGTLATDGSKNHDEYNLTVRADGDTVKLSSGSASAATVTKTLLDKAPLAVYLIDAVLLPRELSNGGQGRTAPAPAPGSSPVHAPTPTPALAPPSPALAPVSPPPAHAPTPTPPLAPAPEAAPPTHRRRPAPSPEDTTPAPSPDEDGQPPADQKNSGARDTASWTLGAAVAAAAAATVFLLW >Sspon.02G0022430-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:75357016:75363882:1 gene:Sspon.02G0022430-1A transcript:Sspon.02G0022430-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGDADGVKSGRRGGGQVCQICGDGVGTTAEGDVFTACDVCGFPVCRPCYEYERKDGTQACPQCKTKYKRHKGSPAIRGEEGDETDADDASDFNYPASGNEDQKQKIADRMRSWRMNAGGSGDVGRPKYDSGEIGLTKYDSGEIPRGYIPSVTNSQISGEIPGASPDHHMMSPTGNIGKRAPFPYVNHSPNPSREFSGSIGNVAWKERVDGWKMKQDKGTIPMTNGTSIAPSEGRGVGDIDASTDYNMEDALLNDETRQPLSRKVPLPSSRINPYRMVIVLRLIVLSIFLHYRITNPVRNAYPLWLLSVICEIWFALSWILDQFPKWFPINRETYLDRLALRYDREGEPSQLAAVDIFVSTVDPMKEPPLVTANTVLSILAVDYPVDKVSCYVSDDGAAMLTFDALAETSEFARKWVPFVKKYNIEPRAPEWYFSQKIDYLKDKVHPSFVKDRRAMKREYEEFKVRINGLVAKAQKVPEEGWIMQDGTPWPGNNTRDHPGMIQVFLGHSGGLDTEGNELPRLVYVSREKRPGFQHHKKAGAMNALVRVSAVLTNGQYMLNLDCDHYINNSKALREAMCFLMDPNLGRSVCYVQFPQRFDGIDRNDRYANRNTVFFDINLRGLDGIQGPVYVGTGCVFNRTALYGYEPPIKQKKKGGFLSSLCGGRKKASKSKKKGSDKKKSQKHVDSSVPVFNLEDIEEGVEGAGFDDEKSLLMSQMSLEKRFGQSAAFVASTLMEYGGVPQSATPESLLKEAIHVISCGYEDKTEWGTEIGWIYGSVTEDILTGFKMHARGWRSIYCMPKRPAFKGSAPINLSDRLNQVLRWALGSVEILFSRHCPLWYGYGGRLKFLERFAYINTTIYPLTSIPLLVYCILPAICLLTGKFIIPEISNFASIWFISLFISIFATGILEMRWSGVGIDEWWRNEQFWVIGGISAHLFAVFQGLLKVLAGIDTNFTVTSKASDEDGDFAELYMFKWTTLLIPPTTILIINLVGVVAGISYAINSGYQSWGPLFGKLFFAFWVIVHLYPFLKGLMGRQNRTPTIVVVWAILLASIFSLLWVRIDPFTTRVTGPDTQTFWGDI >Sspon.05G0017990-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:75799036:75803944:1 gene:Sspon.05G0017990-1A transcript:Sspon.05G0017990-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASSSRGRSGSAVLKRRRGVGAATGSGSTAQSLNDDILRSVFSRLDDHFDLARCSAVCGSWNRIIETAHLMRDLYYKRNPPAKGSSSNISVKSYFEMLAMDEHASSFSRGPAEAFQWIGHPIRATLCRMKSGSILTGVGDKVLRLWSAESCKFMNEYSVPNSKTLVDFDFDENKIVGLTSSQICIWRRSEPRSIFQSGGASFNHGLCMSYADPEVVIGCEDGRAFVYDMYSRSCSSIYRLHSSPVTCLALTDDQLIVGGSTFGTVAIADQTSGQKLGVLKSAYAPLAIRSLSFGTNSHMIFAGSSAGYAHCWDLRTLRPLWEKRVSPNVIYSTRHLPGDTATLAVGGIDGVLRVICQKTGEPIRCLVVDADRPAEAAASRSRSQIEKKPVRRIGPDAQ >Sspon.01G0038360-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1B:29757831:29757985:-1 gene:Sspon.01G0038360-1B transcript:Sspon.01G0038360-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPTVEVLSTGISPVREIWPVNDHPARARLTGGTWLTPGPAVSESGVTPP >Sspon.08G0005360-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:13289194:13289960:-1 gene:Sspon.08G0005360-2B transcript:Sspon.08G0005360-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding IKDSVCPTELEPADGKSKVNVNLVRKEEEFTEPVKRAAPFEGERRTLMEPSDNNTSNAAASSSVPAPRTITVDDSLPSTSLQIRFADGSRLVARFNTSHTITMCGHLSTQQGQKPVSTRCRPGSPQSRLRTRPRPSRKPASPTR >Sspon.05G0015240-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:52710421:52713397:1 gene:Sspon.05G0015240-3C transcript:Sspon.05G0015240-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MISSNDTDATASLACPNCNYLNLAGFPAGVRFASSDQELIEHLESLVLVKEGGGSRAHALINHFIPTIERDIGICYTHPENLPGVTRDGHSNHFFHRTSKAYPTSTRIRRKILSERGQRSSEDVGEAHWQQTGKTRPVIVCGRQKGCKKILVLQNTKQGKRERTNWVMHQYHLGMSEEEKDGELVLSKVFYRCPDATVIEQNDEKVEVTSEATPNILPVSGAASVTAATVTMVQLEQHQLQRQAHSHDQCKFAPAKMFQEVGVGDPVMIAFTVMCGKKFARRNRRNHGEPEDPMHK >Sspon.06G0011850-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:51740383:51741503:-1 gene:Sspon.06G0011850-3C transcript:Sspon.06G0011850-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MENSGEDLSSTVSHSFSGDGGSASSEESGWTSYIDYFMETQQQQRRKEEVSRRAGDPSTDDAGAGRRCSYTSECSSDTVVGASTWLPVLAEPSVVSRRLSLREGWRRKKVMYDESLEDTATSPISSPKLIKLTRDSDATHHQKKVNSCAEISRSKRKSTGSDVNGANTTIDTSIKEDSAYDNNELMRKKGLCLVPMSAFHV >Sspon.03G0021510-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:84155587:84155898:1 gene:Sspon.03G0021510-3C transcript:Sspon.03G0021510-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DVGSRQGRQGPGQGRREASPEGAPRQHPGDHQAGDPEAGAEGRREAHLRAHLRGDPRRAQDLPRERHPRRRHLHRARAPQDRHRYGRRLRAQAPGPHALRLRRL >Sspon.03G0000630-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3A:2019039:2020001:1 gene:Sspon.03G0000630-1A transcript:Sspon.03G0000630-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMQDLFSVPSCFSAGEKLPDVPASSAAATRSGQSAATLVYRAGIAGQDRLVTVTWCRNLLTHGLSVSIEGSAGGGKDKSGSSGGSSRDREWGDADGGSGASSKQGCSTACKVEMQPWHFWRKYGAKQFHVDGRAVDVVWDLRSARYSDEPEPLSDYYVAVVSDDEVVLLLGNLKKEAFRRTGSRPSLRDAVLVCKKEHVFSKKRFLTKARFHDRGKLHDISIECSSSNLSGVDVDMVIKIDGSVNVLVRHLQWKFRGNECISINHLKVQVYWDAHDWLFGTGMRNALFIFKPEPLSTTAADIHTDEYSDFCLFLYAWKLE >Sspon.08G0005110-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:15728998:15734890:1 gene:Sspon.08G0005110-3C transcript:Sspon.08G0005110-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Purple acid phosphatase [Source:Projected from Arabidopsis thaliana (AT5G34850) UniProtKB/TrEMBL;Acc:A0A178UD94] MWTVCSPNCLVGDLPTLRQRRRARARREAPPPAAPGCAAAIAHLLLCGAEIHPGANWSPLSLAVTSTPESMRGWGFLVLSLHVLSCLVSGVASGRTSSYVRTEFPSNDIPLDSEWFAIPKGYNAPQQVHITQGDYDGKAVIVSWVTPEEPGPSEVFYGKEKQYDQKAEGTTTNYTFYDYKSGYIHHCLVDGLEYNTKYYYKIGSGDSAREFWFETPPAIDPDASYTFGIIGDLGQTFNSLSTLQHYEKTGGQTVLFVGDLSYADRYEHNDGIRWDSWGRFVESSTAYQPWIWNTGNHEIEYRPDLGETSTFKPYLHRYMTPYLASKCSSPMWYAVRRASAHIIVLSSYSPFVKYTPQWLWLKHEFKRVDREKTPWLIVLMHSPMYNSNEAHYMEGESMRAAFEKWFVKYKVDLGMFMLMRDQFYDPQPDYSAFREASYGHSILQLKNRTHAVYQWNRNDDGNPVPADTVVFHNQYWTSSTRRRRLKKNHFHLENLEDLISLF >Sspon.02G0033340-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:6294767:6298546:1 gene:Sspon.02G0033340-1B transcript:Sspon.02G0033340-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSSSVEHSVHQMPADGGAGQGEVATAERRLNCFVRCVALIERLGNALGTLAFTWATVVLLGGYLTVLRSDDKNDFWFAITIFFLEAFRMFSRNNRTFYKLFFGTRVYGVLLVVVLLVTVSRMRFAGIINLADSVLGKEKQEFWRRVALNLCMIGAIVTAAFSFNDWYIPLVMIVVEASTLVLVSFGNFQVPAAVLRVVLPLIRFGRISDDYPCKEDDAKPSHAPSPAPASAPSGGGCNPSIINLAPSLYIFYGMVLGQGALYLVACVVEHSSLEDFSSAVGDSKTQPRGSSMGSGLHTRHTLLQREPTRTRLLVKLKASTETMTRLMRMLHRTGQENQTTIRLFAAKVIDELAKSLLVVNSPGIVQNVSLLLDWGNQHKRVNPLLDDTDEEEEQQNDLATGNRTEREDAVGDSGNLLETQESLTQQIGTSNKKNSWITRQWRQVSEFWSIPQEGPLTEQDLLPVIGMSIIESLATYDQSNCAEISKADDLIWKITRFTSFCRTDTNYTDAEKKVLVHSLKVFYRLTSIDGEIGITLRHKISKHPFLLLRNLSEVLGDITSNYETRKVAAGIIRNLAIDASMRLVIGRVQRIITRLKHAFLTPDQPSSSTSASVSRSREALRKVAGQALAMLAISNVNNCLAMLRQTGYSFIEELTNMIRGERYRCVAASLLRNVCMHARSELKETDLKQLSFISRVVLERIFRAEGEELEIFIGLSSHIYEAIPEEFARDSEYGQIKVTFVERLVNVLNANKEPNADCLGIRRVILEQAIKLTEYDSSN >Sspon.05G0005700-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:12518121:12519152:-1 gene:Sspon.05G0005700-2B transcript:Sspon.05G0005700-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable pectinesterase 68 [Source:Projected from Arabidopsis thaliana (AT5G47500) UniProtKB/Swiss-Prot;Acc:Q8LPF3] MPGTQGGQAVAFRISGDKAFFFGCGFYGAQDTLCDDAGRHYFRDCYIEGSIDFVFGNARSLYKDCELHSTAQRFGSVAAHGRRDPCERTGFAFVNCRVTGTGRLYVGRAMGQYSRIVYAYTYFDSVIAPGGWDDWDHTSNKSMTAFFGMYRNWGPGVDAVHGVSWARELDYFAARPFLGKSFVNGYHWLTPDV >Sspon.05G0018140-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:73356424:73356975:1 gene:Sspon.05G0018140-2C transcript:Sspon.05G0018140-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATTTSSRAASTTLLLLLCAAALAPGATAADDTGFTTFKLYFHDIVAGTSSPTAVRIAQAASSNTSSTSFGAVVAIDDPLTTGPTRASGTEIGRAQGTYTFADQKTFGLLMVMNFVFTGGEHNGSTLSIMGRNEVLSDIREMSIVGGSGKFRMAKGYVQAHTIDSGATSGETVVQYTVNVKA >Sspon.03G0002890-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:16354402:16359276:1 gene:Sspon.03G0002890-2B transcript:Sspon.03G0002890-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSSLSRALARSARSSRPLRQGSLLEGHAGLRAAPTPRPTIPGGDDRGLGFARSYLTSALGSRAAAPTGDWRFLLASSQFRRLFADGSNKNYEKYHPKEKEQKPEGDGSDESEPKKDSNSKNQWNFLEDVMKKFQEFLAPLLLLGLMISFQEFKNKLLEPGLVDHIVVANKSVAKVYVRSSPSSNQGQDGNIHITTSHLPGTGSPSKYKYYFNIGSVDSFEEKLEEAQQAMGRDPHVYVPVTYTSEVNWFQELMRFAPTVLLVGLIYVAGKKMKGGISIGGPGGGARGIFNIGKAQVTKMDKNSKNKVFFKDVAGCDEAKQEIMEFVHFLKNPKKYEELGAKIPKGALLVGPPGTGKTLLAKATAGESDVPFLSISGSDFMEMFVGVGPSRVRNLFQEARQCAPSIVFIDEIDAIGRARGRGGFSGGNDERESTLNQLLVEMDGFGTTSGVVVLAGTNRPDILDKALLRPGRFDRQISIDKPDINGRDQIFRIYLKKLKLDKEPSFYSQRLAALTPGFAGADIANVCNEAALIAARSEDAQITIQHFEAAIDRVIGGLEKKNKVISKLERRTVAYHESGHAVAGWFLEHAEPLLKVTIVPRGTAALGFAQYVPNDSLLMTKEQLFDMTCMTLGGRAAEEVLIGKISTGAQNDLEKVTQMTYAQVAVYGFSEKVGLLSFPQKGFEMSKPYSSHTASIIDTEVREWVTKAYQRTVDLIKTHKEQVAQIAELLLEKEVLHHDDLVRVLGERPFKTAEPTNYDRFKQGFQDEVVDKSSEATDANPSPLGVVPT >Sspon.03G0007690-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:25755911:25767783:1 gene:Sspon.03G0007690-4D transcript:Sspon.03G0007690-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAKLLVQYVWCGCAPKSLLAGNQLGVAPCGLHRRRKSETLRAQYIDVRELRICAGTWNVAGRLPPNDLNIQEWLDMEEPADIYVLGFQEIVPLNAGNIFGAEDNRPVAMWEHIIRETLNKISPDKPKYKCHSDPPSPSRFKPSDDAFVMEDELISESDSESDGEVHPLNEQDLVDSVDGNHGNKCEHPTDAPETILQDDEFSRLPSMKTFDRSNNLSFKESNLEEKICQKLLTKTFSNSERLGMIWPEPPLDMLAQCLPDSTKSFASGKALRSYLSFKSVNGDSGPFAEDNSVPDFNINCAAVKRKRPYFVRIISKQMVGVYLSIWVRRSLRKHIQSLKVSTVGGSISVSMSIYQTHFCFICCHLTSGEKEGDELKRNADVQEIHRRTIFNPVSRVNMPKTIYDHERIVWLGDLNYRINLPYEKTHELISKQDWNELFGKDQLKVELQKGHLFEGWTEGVINFPPTYKYKVNSEKYISDDHKSGRRTPAWCDRILSHGKGMRLLSYKTVDLRLSDHRPVIAVYMADVEVFSSKKLQRALTFTDAEVEEQLSFEEDRAKDFSKVT >Sspon.02G0025370-3C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:93347534:93349411:-1 gene:Sspon.02G0025370-3C transcript:Sspon.02G0025370-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATQSGGQLAAKPSEDDAGVDHLSSLPDDVLTRILLRLDDAAAAGRTSVLSSRWRRLWLLLPELRFPLCSDPRLVAAALAAHDAALSYLSVGTLDPDGESVAAWLPAVARRLTGHLSFTNHAPGRDIDDDDGAGGGEERGSLELPCLASATSVSLDLGLAVPPAGVFARLTDISLTRVLFRGPCALGDAVSSPRCPCLLKLMVRGARGLDNLTIRSDSLREMTLDKVRGLERLAVASPALEYMSVLACFISDWVQPVADISAPALKVLRWGDLFDPSSVHLGTMKHLESVCPMILLVYGSPAIDNQDCLELLRCFKTIQCLCLTLAYMPNIDDKLYLMEEMTMLPDIIVLDVSVIANEHAFGASLFYVLRLCSGIKKLTLDLSSPSLEVIVISLGQTQCQRLPHEWGSGEGNNRSKVLPPQKFCRETASNPGPGDSAR >Sspon.05G0007970-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:16127882:16131518:-1 gene:Sspon.05G0007970-2C transcript:Sspon.05G0007970-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DPASPADPAASCSASASASASASAAAAASGDVAAMLPDSPPRRGAGHRRAQSDILLGGAALPDDLTFDADLGVVGEACVAGDEDEEDDDEDEEGGGGAGGSRMFEMFLENGGTLPGPSEPSAHPHPAATPTPPPRPRHQHSMSMDGSTSLLGSAAAGTPGRAGADAKKAISDAKLAELALVDPKRAKRCLANRQSAARSKERKMRYIAELERKVQTLQSEATTLSAQLAMLQVDTSGLTSENSDLKIRVQTMEQQVRLQDGMNDRLRDEIQQLKVATGQV >Sspon.01G0009270-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1A:26433521:26434669:-1 gene:Sspon.01G0009270-1A transcript:Sspon.01G0009270-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHRLPPVAMQVAAMQRQRQQQQQQFVHHLQVHQQQGTHHQPLPPPPPPPQQQQHQNSGGVGSSRAAGGRRCCPLRQSRKGCMKGKGGPDNQQCPYRGVRQRTWGKWVAEIREPNRGTRLWLGTFGSAFEAACAYDNAARKLYGDCAHLNLQLPPPAVAAMAAGGGGPAVVVSSPSPDTVAAGPAAAAGGHNRHHQYLQQQQQQQAAMAAAPMMMMQYSSSYSADASSSNSGSFSNSYSSSSPVTTAAVAASPTYNYNHHQMFQMTPPPSSCSGVMMAPAVPQAQGCHVNTTTTTTTTMEMQRHQQMIRELAAAPLHQEPDDFADFMTWLPEAEDFGLQGFQEVPPEVFDEAAGGIWDHTAATWSTPTMMIDSAAGAAQHQQ >Sspon.01G0049090-1T-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1C:95711190:95711519:-1 gene:Sspon.01G0049090-1T transcript:Sspon.01G0049090-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFAVATIPSLAAPAAKTKRSGGVTYVEGMNAYSGLKGLNKVNMLGVRKTADYSFAKIVASLSSPAGSKRRGGGAFGAQMNAAAEIFRIAVTMNGLVLVGVAVGFVLLR >Sspon.03G0043290-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:79754943:79755785:1 gene:Sspon.03G0043290-1C transcript:Sspon.03G0043290-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSTAAEDDAPKAKRTSGAGDVDLISGLDEDVLLRVLELAGDTRDALRTCVLSRRWLGLWKRIPRLRFASCYPAVSTAACSAAERHAALKRQYVSCVNDILTERCDGSEEPDYYPVQSFRDVVDQRDHSPEVSMAADVATERCAALEQFVSCVNDILARRAQSDCAIESLVISYTTGADTYGYKPREQVTSAFVDAAQGWIQYAFRRHGLKSFIMDLHGLKCYLVGVGMPLKLKEEEEEGKTTCPCPAPVVLLDELPNPPIGMETMHLAIRRRKRPAPCC >Sspon.06G0017790-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:72375836:72380823:1 gene:Sspon.06G0017790-2C transcript:Sspon.06G0017790-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMSSPCVSHHQQVHLRAGGRLPAPAPAAASFAPPALRGRRRVARAAMSAEAAPGIAPAAAEEGAGTPAQQQALLAEMMEEAAVWCAVNGLVVGDRANQRSGTVPGVGLVHAPFSLLPARFPASFWKQACELAPIFNELVDRVSLDGEFLQAALSRQVLNSIHWILLDQCATYSFSNFRTKQVDEFTARLLEIHEKMMAINKKEDIRLGLHRSDYMLDSETNSLLQIELNTISSSFPGLGSLVSELHRTLLNQYGKLLGLDPKRIPQNWAATQFAEALGKAWAEYNNDSAVVLMIVQPEERNMYDQYWLINHLKESYPLYRTIKVFGNHLPLFFVWKTLSQVEAEGQVLTDGTLVIDGRTVAVVYFRAGYAPTDYPSEAEWRARLLMEQSSAVKCPSISYHLVGTKKIQQELAKPNVLERFLDNKEDIAKLRKSFAGLWSLDNEEIVKSAIEKPDLFVLKPQREGGGNNIYGQDLRDTLIKLQKEQGESLAAYILMQRIFPKASLTPLVKGGDWFEDLTISELGIYGAYLRNKDKVILNNQSGYLMRTKVSSSNEGGVAAGFAVLDSVLLTDE >Sspon.04G0015580-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4C:64323415:64325356:1 gene:Sspon.04G0015580-2C transcript:Sspon.04G0015580-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding HGRAAAVPRAPRNVPRTPPACSNARAPRCDHAFLLLRLRLSPPSSSSLLLLLRETAAVMMASPRWKSGDGRPRRLLLLLCCCLLAFPCHAQAQVTNNNISHRSDQGGIHSFVGTYGINYGRIADNLPPPEVVVRLLKLAKIRNVKIYDAEHKVLDAFRGTGLNLVVAIPNEFLTDMAANPAKAMDWLNENVQPYYPSTRIVGITVGNEVLGGADTGLAEALVGAVLNVHDALRMLRLDAKIELSTPHSEAVFANSYPPSACVFKDELMVYLRPLLDFFSKTGAPFYVNAYPFLAYMSDPSHIDINYALFKPNAGIVDPKTGLHYDNMFDAQLDAAYFALEAAGYSGMEVRVAETGWASAGDATEAGANMENAVTYDRNLRKRLFLRKGTPYRPDRVAKAYIFALFNENLKPGPTSERHFGLFKPDGSVSINIGLKGLLPSSAPPHPLLPFKCVRSMLRELDAHGLMALMKS >Sspon.01G0025710-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1A:90180493:90181224:1 gene:Sspon.01G0025710-1A transcript:Sspon.01G0025710-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAEATCDGSDARIRLMCSHGGRFLPCGPDGALRYVGGETRVLVVPRAATFADLTARLSEMAGCAEVRAVRHRLADKGVQDVIVSVTCDEELAHMHDEYDRLRATRPSATFRIFVTTTTHAGSSGGVVCRRRSAAGLPPLPLEMRHAQSEQALAVRAHQQQAKQAMRRVQSTQEFAGAIRLQPSFHHRCNQQCCSSYQRRNVCTPAPTAAQPVCALPYMSKKVAPPWMPAAKATERVFAIRV >Sspon.05G0027360-2D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:5D:50517906:50518293:-1 gene:Sspon.05G0027360-2D transcript:Sspon.05G0027360-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DIVFRRLEGRHRTFEEIINSQVILITRFNLDDCFPWLAKVGGVFARLLFAKAFTLKKQWDVLLDEIITEHAAKLEEERHDKVSDDAGQSQEEDADFVHVLLSLQQEYRLTRQQVKSILVVCVLRNKLL >Sspon.01G0014830-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:43884560:43886375:1 gene:Sspon.01G0014830-3D transcript:Sspon.01G0014830-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQWDDLNTRLLSYFSNAGTVISRLQALGEDKNYGPLHGVPNIKEEFMGNQMEVLELIFVNAREVLEKFNGIMKALNKALRGNKQMVRGGLALTAKPMQLQVGILPTIAECLDGFQTLCEMHQSSPYSTLYLLTKFVDQL >Sspon.07G0016780-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:64399957:64401054:-1 gene:Sspon.07G0016780-2B transcript:Sspon.07G0016780-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTTRSGGDRSALAGLRLRRLIPLLRRHRLYRAAHVLKRKTCVFFDAARLRRMMLRDRWAAASAYALSFVDYRDCSHEADELNYRILLLRVLAALAAGQARSVEPLFRRIYACIQFFPEYDRIRGLLLAMRSDDTKYYSHCVVDCLSSTANMHQLLNPDRDVGFSCRSSRLYGRFKTRAVQGIMDLVAKCPELNKASTRHTESWRSTSHHKNKASSLPAHILTLSFLTKRSPQISHRMNSS >Sspon.05G0007620-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:25173590:25182234:-1 gene:Sspon.05G0007620-2D transcript:Sspon.05G0007620-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Trafficking protein particle complex II-specific subunit 120 homolog [Source:Projected from Arabidopsis thaliana (AT5G11040) UniProtKB/Swiss-Prot;Acc:Q9FY61] MEPGLSIESGSAIRVAVLPVGGPIPPPRLREYAALVARHARVDLASLRTYYAEHQKSPFQHQPWETGCLRLKFVLGGCVPSPWEDFQSSRKVLAVIGICHLPSSPDLDRVAADFIDAARSYPSALANRCFAFCPTDAQLSGKKRDDIIMFPPSDQQSLELHMLTMIQDLAASLLMEFEKWVLRAESTGTILKTPLDSQSSLGSEEVIKAKKRRLGRAQKIIGDYCLLAGSPVDANAHYTTAIELARLTGDVFWHAGALEGSVCALVVDRMGQSDPVLEDEVKYRYYTIIQLYRRATLQDNAQRVSPVSFELEAALKLARYLCRQELAKEVSDLLMGAADGAKALIDASDRLILYIEIARLFGTLGYKRKAAFFSRQVAQLYLQQDNAYAAMSAMQVLTMTTNAYHVQSRKTMKMNHDLSKEPRAGNTDSGKVHPQSIVSLFESQWSTLQMVVLREILMSSIRAADPLSSWSAAARLLRSFYPLITPGGQSGLASSLANSADKLPTGTRCADPCLPFIRLHSFPLHPSQRDIVKRNPHKKEWCKAGASGTSKQEISWIVGEPVQVMVELANPCSFDLVVESIYLSVHSGNFDAFPVSKSDKYQFLGALFIALVLLQNTYSKRSIVCSLELHKGLSFLILSDAVALASLRVSTFLAFLLFLLCHFANVVGGDGSILLYEGEIRDVLITLTNAGTVPLKKQMLHWKNQDSVISIAHSTWKSALLLNRVENSADLETDGSRSPANSRRIAREGINPFLDIHYAGPAANLENGDVSLPPGRRLVVPLNICVVQGLRLVRARLLSMEIPARFTETHLKPVRSKDINLLKIDPYKGSWGLRLLELELFNPTDVVFDVDVAVHLDDADVDQEVISEGDAACHKTRIDRDYSARVLIPLENFKLPVLDASFFVKESSSDEPLGSRAAAIAERNAKAELNASINNLISKIKVKWHSGRNSSGELNIKDAIQAALQASIMDILLPDPLTFSFKLAKNGTVINDDSSKDSGSVLRCKDPISAHEMTHMEVQIRNNTKEIIQMNLSISCKDVAGENCFDENSATVLWAGVLSDIHLEVPPLQEVIHPFSMYFLVPGDYSLQAASVIIDATDVLRARAKAESPDEPILCRGSPFHIRVPATTKK >Sspon.02G0021470-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:72142158:72154741:-1 gene:Sspon.02G0021470-1A transcript:Sspon.02G0021470-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding METIILFVVPLLIPVSSLRANSNSKKRRPPSPWAFPFVGSIHHMLTSQPQAALRDLAERHGPVMLLRLGQVDTVVVSSSPAAAQEVLQGNDLSFASRPSLVASEIICYGNLDLAFAPYGDYWRALRKLCVLELLSARKVRHFAPIRDSVTMSLVAEIRAAATDGGGEEAAAVNLSRLLVSCTNSITVGVILVTLVHQGAEEWRGEAGRSRSGSLGLSQQEGAHDARHSGDHDSPHIAFDCRSALTITGLATFGDVCSGERKDQFLSAVAVVLSHASGFCVSDLFPSLWFVDVLTGTRHTKKILNQILSVTPSLYNSKQNHPLDLPLDDPTVSNIPLLLSRVCRRKTCDIGGFEVAEGCRVMINAWAMARSPEYWGDDAEEFRPTRFETSVADYKGTEFQYLPFGSGRRMCPGAAFGMATLELVVARLLCYFDWSLPGKMRPEELDMETIVVSTARRRNQLHLVATPHDVRVE >Sspon.04G0026400-1P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4B:59654819:59657960:1 gene:Sspon.04G0026400-1P transcript:Sspon.04G0026400-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAHSSLFETTERSTLLDDAPNKASTTMSGVPDPAEMAAKLDRILGQLTTLNNWTSGSPGPRSSSWARTPPTSPKPPPRMVAATVATVGVGGGGGERWWWQWRLPPQSLRRALWPSLIATPPPQITFLSFDGEFDP >Sspon.06G0000730-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:2814760:2817371:1 gene:Sspon.06G0000730-1A transcript:Sspon.06G0000730-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLRSGHLLPPPLAPPQGGTRSPAFCPEDRLSGLPDDLLILVLVRLQCAREAARKSVLSRRWAGLWKQLPELTFKGVDNDSVKAALACVTRPTLELLNIRLNGMVAPGDVSSLLGAAAPLAPDKLIVTLEESIDPFEADELHCLSRTTSLQLTAQDLYIAPPLFGEFTSLKSLFLHSCRIDLGGLLPVCPCLSILKISDYWGRTPSPFTLLRLRSSAWTSVVGTPVMTLMDVEGDGERQSTTEAIARLPVTNFSVLELYISANAHVIGPLVSCLLQILPAIQKLKLVMVCQSEIWRWWRL >Sspon.03G0030610-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:21972915:21973858:-1 gene:Sspon.03G0030610-1P transcript:Sspon.03G0030610-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRRRGKRGRTSPAPPTKRRRGGAPEIESDDYPEAVPTPAPAAPQPSSVMVAGLPPGCGVLELKSRLQAYGPIARARVDASAATGYVTFRSGAAAVAAIAASLDPGGGIAIGSKKVLVVQASEAPHNSISTIRAAEPAGRSSHDATVKNVTDNSAILSSKAASGATYKAREIVAYDDLF >Sspon.04G0011690-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:36413186:36419900:-1 gene:Sspon.04G0011690-1T transcript:Sspon.04G0011690-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDVCEGKDFSFPQQEERVLELWAKLDAFHEQLRRTEGGKEFIFYDGPPFATGLPHYGHILAGTIKDVVTRHQSMRGRHVSRRFGWDCHGLPVEFEIDKALGITNRQQVFDLGIGKYNETCRSIVTKYVSEWEAMVTRTGRWIDFKNDYKTMDINFMESVWWVFAQLWRRTSSIRASRSCLTAQDAKLHCQTLRQHWTIGLAVPDPAVMVSFPIVGDADNAALVAWTTTPWTLPSNLALCVNANLMYAKVKDKSNGAVYVIAESRLGQLPVKAKASGKKQAPSKGSNAEAVQDGLDKESYELLAKIPGASLVGLKYTPLFDFFIELQETAFRVIADNYVTDDSGTGVVHCAPAFGEDDHRVCLAAGIFETAGLVVAVDDDGCFIEKISDFKGRYVKEADKDIINAVKDKGRLVSKGSIEHSYPFCWRSGTPLIYRAVPSW >Sspon.02G0037730-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2D:30788110:30792724:1 gene:Sspon.02G0037730-2D transcript:Sspon.02G0037730-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKCPSSTAASAWRPSPHPGPLPRHAVPAQVPIPCPDPACPEAYGEDIAVLHPEVCKKSIDFAAFSNWGYRLTERAIPPNLRAYCPNRQCGVLLEATGGKTPAKAFCPMCSHPMCATCGFDWSHDDADGSSQHDCNEGPNAALLQKLAEERRWKQCPRCKFLVEKVDGCDFMHCRCKFVFCCGCGLPKGRQTGMEQGAELCRCRQQAAAVNAVL >Sspon.03G0003340-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:8355918:8359157:1 gene:Sspon.03G0003340-1A transcript:Sspon.03G0003340-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQALGLGRGCIPLHASHCRRTASPSPPAPPRPRNVTRIKTCIAAVRASAAQMERRQEVKVVKLRAVEATPESFASFGQVITASPDGDEFGPHDAQLDLSRGTPRFYIMRLQDRPLKFSTITHHASVTQCLGSIGGHDWYLGVAKPSIVDGESEQSGPEGRKLLQSAAGHYYLPPDPAEVRVFRVSGSKFLKLNKGTWHAGPLFKADAVDFYNLELSNTNVVDHTTHYFKKHDGITFLVED >Sspon.08G0022860-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:51583175:51587247:-1 gene:Sspon.08G0022860-1B transcript:Sspon.08G0022860-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAAGVGRHRVRIAVVGDVVPFISLDNHALSSLGGRGRQTYGFGTVIALCYPMLGSRFKGLEARRRDTREDLRTGVLHIDLIVAVLGSLDDASLSRVRGCARDSDGDYGNENVELVKSISDLQFPKAAILGNHDCWHTHQFSEKKADRVRLQLASLGEQHVGYKCLDFPSIKLSVVGGRPFSCGGDRLFRPKLLSKCYDVNDMAGSAKKIYDAAAGAPEGHSVVLLAHNGPTGLGSRMDDICGRDWVPGGARVCIPLVVFGHMHKSLAYRRGLRKMIAFGANHTIYLNGAVVPRVKFSQTIPRYEQNQPEGSGSIAPTLRVFTIADLSEGRVEKISEVWVLVSGARTEVEEEIVLYKHPQEHM >Sspon.07G0013330-3C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7C:54436915:54437403:-1 gene:Sspon.07G0013330-3C transcript:Sspon.07G0013330-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDCTHQPMSYPEPASPAPAPAPPAAPPQEATGDSESDTPVSRLSLTVGDRPLVATGYAEDDAESCSGADNNRVPAASVDGDDGHGADDDAAMEGDENEVDSRMSVPWWHRTVQDAAAGAGAGGGCARPQAAAEGGSAVAAGGSHATDSNRLFWEACIAHGY >Sspon.03G0017470-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:54569888:54575278:1 gene:Sspon.03G0017470-1A transcript:Sspon.03G0017470-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFQDLEAGHVRGAPLGGGRRNGRGPAGAAGAGASQAVASGVFQINTAVATFQRLVNTLGTPKDTPDLRDRIHKTRQHITQLVKDTSDKLKQASEADHRVEVSATKKIADAKLAKDFQAVLKEFQKAQRLAVEREAAYAPFITQAGLPQSYNSSEVNNGADKLAEQRTQLLESRRQELVFLDNEIVFNEAIIEERDQGIQEIQHQITEVNEIFKDLAVLVHDQGAMIDDIDSHIENAVVSTSQAKGQLSKAAKTQKSNSSLPGMNPGIHLEVSRRPV >Sspon.07G0010940-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:36612162:36615028:1 gene:Sspon.07G0010940-1A transcript:Sspon.07G0010940-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VFHDTKKMSSNSSLTESLHEKTIVFGLKLWVVIGIAVGVSLLGILLILLLCLTIQSCIKRSRKPLKDCGMHQIPPAYKDIKEVGMVDQFPANDFVVHDGLLLTIQNEPEPVESVDRDAIQLAQEEKLKQREENNVSGSFPITDGCEGTQIVSVNEHSSTHATVDSAPLAGLPEFSYLGWGHWFTLRDLELATNRFAKDNVIGEGGYGVVYRGRLSNGTPVAVKKILNNLGQAEREFRVEVEAIGHVRHKNLVRLLGYCVEGTQRMLVYEYVNNGNLESWLHGELSQYSSLTWLARMKILLGTAKAYVAPEYANSGLLNEKSDVYSFGVVLLEAITGRDPIDYDRPTNEVNLVDWLKMMVANRRSEEVVDPNLERKPSTKELKRAL >Sspon.04G0014550-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:58402664:58408013:-1 gene:Sspon.04G0014550-3C transcript:Sspon.04G0014550-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNCSSCCAAAVPSPPVLLARPRGGLAASCSTRTDQKVLFLGSNQFPRIIYSPLSRASSRLSRREVIAFAGQQPWDLGRFFKTLYFFNGPPNPLKIVESIISSFTGPASSEAPKKMETSDVVLVTGATGGVGRRVVDILRKKGVPIRVLARNGDKARSMLGPDVHLIIGDVTKEDTLDPKLFKGIKKIKGPSPEMVEYIGMQNLINAIKNSVGLSEGKLLFGLKGNLSGKIVWGALDDVVMGGVSESTFQILPTGSEISGPTGLFKGTVSTSNNGGFTSIRTKNFIVPEDLSAYDGIELRVKGDGRRYKLIIRTSYEWDTVGYTASFDTTKGEWQSVKVPFSSLKPVFRARTMTDAPPFDASNITSLQLMFSKFEYDGILNPTFTEGPFELPFSSIRAYINEPITPRFVHVSSAGVTRPERPGLDLSKQPPAGEDLIRESGIPYTIVRPCALTEEPAGADLIFDQGDNITGKISREEVARICVAALASPNAVGKTFEVKSTVPFSEPYVIDPSNPPSEKDYEVYFKELKEGITGKEALEATPAQV >Sspon.03G0003290-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:8263905:8264682:1 gene:Sspon.03G0003290-1A transcript:Sspon.03G0003290-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LDHGPTPSSSLFTADERPGYLGFLHRTHPERLLFSSVRSPHSPPLLLPLRPAPAPFSPLLASPRARFYKGFGEGARSPAMADDGGSHEGGGSGGYREQDRFLPIANISRIMKKAVPANGKIAKDAKETLQECVSEFISFVTSEASDKCQKEKRKTINGDDLLWAMATLGFEEYVEPLKIYLQKYRE >Sspon.01G0014020-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:39847270:39847749:1 gene:Sspon.01G0014020-3C transcript:Sspon.01G0014020-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPRRNGSGATALAAVLALGHFLLLATHSDACGSSSCPKPTPAPAPCSPTAPKAQGGGGARCPVNALKLGACASVLGGLVSLELGQQQRPAASSSSSTQPCCQLLGGLADLDASVCLCTALRANVLSIVQLGAHVELSVLVNYCGKKLPQGFQCARAN >Sspon.07G0036460-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:35987959:35995579:-1 gene:Sspon.07G0036460-1D transcript:Sspon.07G0036460-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGSTPVRSNHKVATDFNLHRANEVHANAGLCLPHFLTIKSEKQKSFGILTDVVPVPFVSRSPPMPGTQLSAAASLCQSLRQIFDEQPTVCLLKKVGGESGSNPVTQVSDPRELKRQRDRARLAKHRAAMTEEQRSEINRKRREAYHKKKANSKNDKLSIDGDVATDIENTVDTENNDWLHSNQSYQPVRIVLTPVSIGFKQMNQREFLDVLHAKPSQFEEEKQWLGGWTNDAKSLARTTN >Sspon.01G0051420-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:17852861:17856607:1 gene:Sspon.01G0051420-2D transcript:Sspon.01G0051420-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MERSNSSWFGWWRKKAREGQSVGRPRDREKVVVDGSEIRELVEDREAFGVLVDSKFRQLDADGHGMLSVSELRPAVEDIGAALGLPAEGASPNTDHIYSEVLLVATPTYYTSKTKELLWLPLTLFTTRLACVQVVSELTHGTSQGEVSKAEFQEALSDILLGMAAGLKRDPLVILRMDGEDLRDFVAGSKYEPTAAAIFSQVGFGSEDASSLRQCVLAALHKLTVDHGVPPASDTWVADNIVEPALQQLPADQLEQQPASRDDLFQQLKKLLGAIADRLQEQPVIVAHTDNHYDGSGVKRLLANKFELDKLLDSVWKGLPAEHKSKASKEYLIAALDKMADAASLPYYGAVEEVDAVVEESIKTAGVEEGKAADEAEFKKSLTDVLRAIMLRLNDNPVFVSTDIVVHEPSSLVN >Sspon.07G0004720-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:11402375:11406986:-1 gene:Sspon.07G0004720-2B transcript:Sspon.07G0004720-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGLSARSSPSSSTASPSDDPRRKEQGRHATSGSGRRSRWRRRVQWLGGAAWALDPRARWVRDWNRAYLLACAAGLMVDPLFLYAVSLSGPLMCLFVDGWLAAAVTALRCAVDAMHVWNVATQIRIARGAGAAAQGSKPVAGGAGDEEQQQQQGAEEDDDEEAARKLPEDATPRKGMLLDFFVILPVMQVVVWVAAPAMIRAGLTTPVMTVLLVSFLLEYLPKIYHAARLLRRMQGQSGYIFGTIWWGIALNLMAYFVAAHAVGACWYLLGVQRASKCLKEQCLQAAAGGCARSSAVACAAPLYYGGAPSSTGTVGSGDRLAWARNAQARGTCLSSGGDNYQYGAYSWTVMLVANPSRVERMLLPIFWGLMTLSTFGNLESTTEWVEIVFNIVTITGGLVLVTMLIGNIKVFLNATTSKKQAMHTRLRSVEWWMKRKNLPRSFRARVRQFERQRWAATRGVDECQIVRDLPEGLRRDIKYHLCLDLVRQVPFFQHMDDLVLENICDRVKSLIFPKGETIVREGDVVQRMLFIVRGHLQCSQVLRNGATSSCTLGPGNFSGDELLSWCLRRPFLERLPTSSATLVTLESTEVFGLDAADVKYVTQHFRYTFTNDKVRRSARYYSPGWRTWAAVAIQLAWRRYKHRKTLSSLSFIRPRRPLSRCSSLGEEKLRLYTAILTSPKPNQDDDF >Sspon.03G0003460-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:8688206:8689552:1 gene:Sspon.03G0003460-1A transcript:Sspon.03G0003460-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLAAAARAVLLLLAVAGVLLRPAAAEIKQEFFKDDSRGSILFEKFGFGLKGMVSISVTGAKASSTLAKPDPAQLGFFLLSDESLFEAIYDQPAPTDLNPHPESSPTCVLASPYVSPLFTFADLDGKDYYNMTFPITHPDEYSLFFANCAPETTVTMEVRTDMYNTNLDGTKDYLSVGMASVPGIYAFFAVCYVVFLAGWLYVTLYRNRLSAHRIHHLMSGLLVARMLYCISAAEDQHYIRTAGTPHGWDVMFYLFQLVKGVILFAVIALIGTGWSFLKPFLQDKEKKVLMVVIPLQVAANIAAAVVGETGPFLQGWVTWNQIFLFVDVACCCAVLFPVVWSMRSLRESSKTDGKAARTLAKLTLFRQFYVAVIGYLYFTRIIVYALKTITNYKYRWVSVAAEEVATMAFYMFMFYMFRPAERNQYFALDEDDEEAAEMALREEEFEL >Sspon.05G0015670-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:48818172:48819931:-1 gene:Sspon.05G0015670-3C transcript:Sspon.05G0015670-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGLEKLNILPFKVAAYDTVAKEMAFFDPSRSQDFLFISGTKMRTFAKNGENPPDGFMCPGGWKVLVDYYNSLQAEEATPVPV >Sspon.03G0029400-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:21688333:21689596:1 gene:Sspon.03G0029400-2C transcript:Sspon.03G0029400-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At1g28690, mitochondrial [Source:Projected from Arabidopsis thaliana (AT1G28690) UniProtKB/Swiss-Prot;Acc:Q1PFQ9] AGWPIRPNPIIPSSRDTSAHKYLVAGYFRLGHLGEALGVVWQLAASTRWLDVFALSMALKLSAALALPGLVTREVHAAWTRSYVKSGSLGYERRVHGAMLVRSVVCSTALVVGCMNEGLFEDVKDVVAYNAMVEGYSKTEETTKGSLEMFKAMQRAGLRPTVSTFVSVLGACSLLSSPELGEQVHCQGMKSGLVFDIKVESALVDMYAKCGWVEDDRRIFNQMQERNVITWTLMIDGYGKNGLSDEALQLFGEMRERRDVKPNHATFLSILSACAYARLLSQGQEAFLSMESEYLLRPWMKHYACMVDLLGRFGSVQQAYDFVQGIPVRPNSDVWAALLGAATLHGDMDMTNIASREVFELSRKGRPGAYMAFSNTLAAAGKWDGVHDVREMKQRGVLKDTVCSWV >Sspon.07G0003430-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:7937896:7943590:-1 gene:Sspon.07G0003430-2D transcript:Sspon.07G0003430-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSAARRLLTSRARARAFALAAAAPYSHSAGSSPSRPRFPTPKEIRRGLDEFVVGQDKAKKVLSVAVHNHYKRIYNESSNKCSAKSLARGGVATSCDDDIELEKSNILLIGPTGSGKTLLAKTLARYVNVPFVIADATAITQREAKNPADCSCYLLLPDVTNRLLFQAGYSGEDVESVIYKLLVAADFNIEAAEHGIVYIDEVDKLAKKADCHEDRRDVSGEGVQQALLKIFEGTVINVPRKRSQDNIPQGYVEVDTRNILFICGGAFFGLEKIVSERHQHYPFGFGIPICHELRSCSWTTALQESCSIDTVGSIASALGTILDIFFVENDDLIAYGLIPEFIGRLPITVGLTNLSEEQLVQVVLREPKNAIGKQYKKLFKMNDVKLHFTDNALRMIAKKAVAKETGARGLRSIMEDILTEAMFEIPDAGEGKEKVIAVLVDEESVGPLNHRGCGAKIFRDDGALELYVYQNNIKLPELIQSNPKRRRIFRLCLLVALSATKLWIYQTFPCFSSIYEWIVLMLCKVPNQIIIGCHIRHQLPFSHSSEHSNCIFKSPSSTICINHHPEASEINCNPQWRVMLTKHSVEQLKCILQPPILPKCINHLIVALGPKPHRRFNTEHLFVTLHSLLLHSHKEVCLHESPIHNAIKNWLAPNLVLEFLVQLHGFGSIPSTQEPSHEDSVDLGIRPDESRVKHLILELDGIREPAVVDKTLDDDAVGRRGGRHGGAGAGEEAEVVERVVGEAARGERGESTCVAAVGGTAAWRAKRCSAKKSSRRRARRSAARTAAQVKDEGRQLECSAWRVRSAASGRSACGPERSARIRLWNARRDGASVGSGSARRTARGEGAGVGGVATNVSLRRSRREAAAEAASACASGKSAGARRRAETGFDGDILLPRLGLGLGDGRRAARWVLVGFGLPGVENREQEMLF >Sspon.07G0018780-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:73162347:73165728:-1 gene:Sspon.07G0018780-2B transcript:Sspon.07G0018780-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHYHQEPKTLQRADICDRATAHTGGAAATFGGSGFVHMIIVAMVIAVESVISWMPMYSEMKLAFFVYLWYPKTKGSDVVYDTFLRPIVMQYEPNIEQRLLHLRAKSGQLISFYMKNFADKGTAFFMDVLRYVVSEKPEGSNAEVMEISPSDLLSIACTLRNKKSGWSPFATKRRPPSPPPEPLFDSNPDAALLAEALRGAIGAKPRRGSNDKHY >Sspon.01G0016400-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:51822869:51823774:-1 gene:Sspon.01G0016400-3D transcript:Sspon.01G0016400-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTVTSCTLLSLGSAVRPAVYSSGRPAAVAVASLSSPWRSPRPLSMCRATSQKGDHNPKTDLHPFNIPAFVLVHPVPPREERWQLEEDAEKVNLWFEVPGQSQYDLAVEIDEDVLVIKKKVHVVGGDVGQRSPGGGVTDYAPQQQTRRGGTAAEASKEAAAQQGGEVIYARMLLPAGYSREGVEAELKSGVLRVTVAKIKERARRKIDVSIQVK >Sspon.07G0022760-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:27131953:27132579:-1 gene:Sspon.07G0022760-1P transcript:Sspon.07G0022760-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKVHPNVLPSPAERAAAAAAGPAAGGEEEEATSLTVWRKSLLFNCRGYTVFDARGDLAFRVDSYDAEAEVVLMDPAGRPAFTVRRKRLSLSGEQWLVYAGEETRRRRPVYAVKRGGGKSMARVTPCTGAGAVASYEVEGSYARRRCVVYDAERRAVAEVQPKEAVGTDVFRLLVQPGVDVSLAMAVVVALDQLFGRPSLLRSWSYSP >Sspon.01G0008360-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1A:23285788:23286303:1 gene:Sspon.01G0008360-1A transcript:Sspon.01G0008360-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVPAEVLVEAAALGVVPVEVSAVVKAEEAGLVVVLVEAAVLVAEEEPGLVVVTAEEQVRVVALAVGMAEVLVQVVALEAAMEVVLVQEAVTVEELVGALVVDTVEVQAQEVAPEAATVEELVVATAEVLVVVLAVVQVEATVVVLALGVALALAAAVVMAVVPEVEVASA >Sspon.08G0012170-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:48622547:48623885:1 gene:Sspon.08G0012170-2B transcript:Sspon.08G0012170-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Duplicated homeodomain-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G35550) TAIR;Acc:AT5G35550] MGRRACCAKEGVKRGAWTAKEDDILAAYVKAHGQGKWREVPQKAGLRRCGKSCRLRWLNYLRPNIKRGNISYDEEDLIIRLHKLLGNRWSLIAGRLPGRTDNEIKNYWNSTLGRRAGTGSVGSSRVVVIAPDTGSHATPAASGSCETGQKQGAAAPRADPDSAGSAAATGTAAAVVWAPKAVRCTGGLLFFHRDTPAPHAGDETTTPVVGGGGGEAGSSPDDCSSSMASVSPLVGSQQDEPCFSGGGGDWMDDVRALASFLESDEEWLRCLTTAEHEQLA >Sspon.05G0001340-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:3246390:3250994:-1 gene:Sspon.05G0001340-2D transcript:Sspon.05G0001340-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWTVSCSRVFVVRCSASSSGGGGGGGHGQQHRSGGNAVRVNGAAHRAPLQVGAALETSINRSLAELSAPVLPLLPATGGDGDVGGEERSRQNIPTEKQTVDPFRQALIVEGGVRYQQTLVVRSYEVGPDKTATLETVLNLLQETALNHVWMSGLLGDGFGATHGMIRNNLIWVVSRMHVQVDQYPIWGEVLDIDTWVGSSGKNGMRRDWLIRGRNSGDVFVRATRQGRALDPERSLVTRRLSKMPEEVRGEIAPWFIDRHAIQEEATEKIVKLDSNAMYIDSDLKTLPDHFLQQNQLSSITLEYRKECGSSDIVQSICQPDDDSIPPQENVSMVIGPSLSPEIISGHHSLAGALQQSPMKYTHLLQLKAGDKYEEIVRGRTTWKKKSYKAP >Sspon.04G0011320-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:34905067:34906035:-1 gene:Sspon.04G0011320-1A transcript:Sspon.04G0011320-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MERLRRRPEPAAIDITWVSCRGVRSSLPFHTPCLYASVSVTPSSAKNAHGNRRPHRVKTPTDRAGGENPEWDAPLRLYLPEPEASPPPAERELEAGKNRKDRGDDDVLLVRFELKAEVAVLGDVLAASAAVPVPDLVADGRTRRVSYQLAGPDGRQPNGVISFSYTFHQQRNDGHHQSGDAELVVAPPCPTPTVSSPVAQPPPTAAAPRLYPAIEWPLTEEIPIYHTLLPAGAAAVTLANSRYYPPPPPSATPVEVEPVAVYPPAPETSSCSLYPSVLPASTMYPPVDLAPVSCYPPASPTPYGVKRGYGAAPRWDHRCLYG >Sspon.02G0058120-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2D:69865877:69868208:-1 gene:Sspon.02G0058120-1D transcript:Sspon.02G0058120-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATEVGALPDDALADVFRGLPLRSLAVVRCVCKAWRDVVDAHALLLPHLHLLPRTVRGVFINYLDHDHPHHFVRPCSPSTIPRVDGTLSFLPNDDGRRWVSVLDHCNGLLLLCGKTGLSELCVCNPATRRWTVLPRWWEDAAAKRRQPYAGAYIAFDPAESPQYEVILVPAVPKAPSPPMDNRCWTAEEVQRRAQLWEKEKDAPFCLDWFFSLPDDGTAVGQWVQHDINDPCRYMEWRGYMEWPPAPWTLRVFSSSTGQWEDMAFVREGLPAGTVGKMRLDQELPYRQRWRYGVYIYHHGSLYVHCQGSFVTEHDHQLKIWILNESCGQMEWVLKYEIVVGHYLSSIAYDSSGNRQHDGSWTVEATHNTDHDVESLLEEENFEIHDIDYDDESLSEEENIEIHDTDYDDESLSEEENIEIQDTDDESLSEEENNEIQDTDDEFLSEEENIEIHDTNHDVESLPVEENIEIQDTNHDVESLSKEGYEWDSDNDDTFTINTESQEYFVHDQDLNIIGFHPYKKVVFM >Sspon.05G0002840-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:8453030:8454294:-1 gene:Sspon.05G0002840-1A transcript:Sspon.05G0002840-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding HDKAIDSSFASHNKGGKRNSAPSKAERAERGPKPQTTTAVSKTDSTIHTPGAPVPRQSPSDAAGCRCQPWPIPTATSPIRACLPVHQSQPRFHPLTDGGEEVGADDGRREEAAQVQARDGGAARDPQVPEEHGAADPEAAVPAPGPRDRAGLQDGPPVPEPRRAGAPGGRRGLPRGALRGHQPLRHPRQARHHHAQGHPARPPHPRRARV >Sspon.01G0028420-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:99392312:99394303:1 gene:Sspon.01G0028420-1A transcript:Sspon.01G0028420-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GNWCHEYRKLKAKIETIQKCQKHLMGEDLETLNLKELQQLEQQLESSLKHIRTRKEKSLQEENKVLQKEKALLLPAARGEAESPAAASASGPNSTADQFVFLVLHDKGSCPNNKYQEATDKKNDRPVLAAASLWQQVGEWWKRQQRSRRLALDCHHGCLAT >Sspon.02G0006460-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:18562526:18563194:1 gene:Sspon.02G0006460-1T transcript:Sspon.02G0006460-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RGRATGSRPRPTPTPTAPPVRHSSGRSCSCTWRPATSGTRPAARGWSSTRRWPARPEASPGGSAGGRRRGSIPGGWSSSSPRCKKYPF >Sspon.01G0000320-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:741132:743563:-1 gene:Sspon.01G0000320-2B transcript:Sspon.01G0000320-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPSPKPRGRGHRQHPPSSTFTRRLHVARCFPDPPPPTPNPVLSLLSAVPDWADAIQERRVRDRRPLYDHAAWRDHRSSRRHLRHLLTSLSSRAILSLAPPVSAFTAFAAAIATYNTLLPAYALTASSLPYQLTAPALALLLVFRTEASYARFDEGRKAWMRVLAGAADLAGIVMHLHTRPGPAADDHPLINYILAFPVALKCHIIFDSDVKGDLQGLLAEDDLNVVLASKHRPRCIIEFISQSLQMLDLDEQKRSIMESKLSIFLEGIGVCEQLMGIPIPLSYTRLTSRFLVLWHLTLPVILWEECKWIVVPATFISAASLFCIEEVGVLIEEPFPMLALDALCKQLHDGIKDVMAVQNSVHSRLVAKTTKDHRGSRCENNGWPSSKREAAKID >Sspon.04G0027490-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4B:59575938:59578352:1 gene:Sspon.04G0027490-1B transcript:Sspon.04G0027490-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGWVDGRGAGARSLAGARSARSGRAAPQVQVQVQQLTGIQKPVGGTAQCGTGSAHRSRKSEIVRMFHPPVRQSEEAIATIVPRYTHSVRVLDERFIRILKIFKWGPDAEKALEVLMLRVDHWLVREVMKTDIGVNVKMQFFRWAAKRRNYEHDTSTYMALIRCLEVVEQYGEMWKMIQEMVRNPICVVTPTELSEVVRMLGNAKMVSKAIAIFYQIKTRKCQPTAQAYNSMIIMLMHEGQYEKVHELYNEMSTEGHCFPDTMTYSALISAFCKLGRRDSAIQLLNEMKENGMQPTTKIYTMLIALFFKFDDIHGALSLFEEMRHQYCRLDVFTYTELIRGLGKAGRIDEAYHFFYEMQREGCRPDTVVMNNMINFLGKAGRLDDAMSCFRRWEHCGALLV >Sspon.02G0035100-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:16338753:16342620:1 gene:Sspon.02G0035100-1B transcript:Sspon.02G0035100-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNVKRSLFSDGSNILEKNDQTPVTIADFGVQALISLELQRLFPSIPLVAEEDSASLRSSKADDNSSDILVESIFSAVADKVSNNGSPLTQDGVLRAIDRGGKDAVSFDSNLATYWVLDPIDGTKGFLRGNDALYVVGLALVVNGKVTVGVMGCPNWTNDDIANKKDDSATACNGCGILMVSHIGCGTWSRRLSAEIGQFTTVQDIWKRCFVDTCSIAHMARYCIPDSQTWDMIPLSVTFNSTTDASDPRNENEILLLSVFCGSLCKYLTVASGRASVFVLQARPTTQIKSWDHAVGVICVQEAGGQTSDWSGKPLDLAADLTSRRIIYPSGGVLVTNGVLHDKLVEMISANYK >Sspon.07G0028150-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7B:64505012:64507417:1 gene:Sspon.07G0028150-1B transcript:Sspon.07G0028150-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRAKASSLILPARAEASAWIFPQPAILSTAFTAHASGSTAAATTTLAADPFAIANALSAAAVSTSMSAGSSLHASAIKLGVSADTFTATHLLIYYAKRGHLTSALDLFDETPRRNLVTWTAMVSAAARGGAPDLGLALFSSMVRSGFCPNEFALASALGACCQSVVVADVKLGRSLHGLVVKAGLDGNPYVGSSLMLVYAKHGRVAAVERVFAGIASSSRDVACWNAMLEGYVANGRGYDAMRTVVLMHRSGMAADMFTYISAVKASSITSDLNFGRQVHGLVIHGVFQSNTSVMNTLMDMYFKAGQKETAVGIFGKIGWKDTVSWNTMISGLAHDEDEMAAADCFVDMSRYGCKPNQVTFSVMLRLSGAKESASLGLQILGLAYRHGYSDNVLVANAVINMLSQCGMLSCAYGFFCNLGVRNVVTWNEMIAGYGLHGCSEDAMRLFHSLVCFGARPDEFTYPAVLSAFQQDHDARNHEQIHASVLKQGFASCQFVSTSLIKAKAALGSVLDTLKIIEDAGKMDLVSWGVTISAFVKYGLDKEALFIFNLFRADCPEKPDEFILATVLNACANGALIRQCRCIHSLVVRTGHSKHFCVSNALVDAYAKCGDITAAKSAFAEVSSVTEDAILYNTMLTAYANHGLIHEVLSLYQDMTHLQLAPTPATFVAVISACSHLGLVEQGKLLFSSMLSAHGMNPIRANYACLIDLLARRGLLEEAKGVIEAMPFQPWPAVWGSLMNGCRIHGNKELSVLAAQQILRMAPNSDGAYVSLSHVYAEDGDWQSAEDTRRKMAENQVQKAQ >Sspon.01G0061260-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:92449117:92449677:-1 gene:Sspon.01G0061260-1P transcript:Sspon.01G0061260-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AMATACFLLVTFLALCAAPAPAHGARVLTPGGAGAVTKAQQGGTSSNATAADEYLAPHNQARAAVAVAPLRWSADLASAAGKTVAQQQRQGGCAFADMGASPYGANQGWASYRARPAEVVALWVAEGRYYTHANNTCAAGRQCGTYTQVVWRRTAEVGCAQASCATGATLTLCLYNPHGNVQGQSPY >Sspon.06G0001660-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6B:3745326:3751467:-1 gene:Sspon.06G0001660-2B transcript:Sspon.06G0001660-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VIGTTAPRVEWWLVCSWSRI >Sspon.02G0035430-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:19129690:19132000:-1 gene:Sspon.02G0035430-1B transcript:Sspon.02G0035430-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to (S)-2-hydroxy-acid oxidase, peroxisomal (EC 1.1.3.15) (Glycolate oxidase) (GOX) (Short chain alpha-hydroxy acid oxidase) [Source: Projected from Oryza sativa (Os07g0616500)] MEDNLPVNVREYQELAKKALPKMHYDYINGGAEDEYTLRENIAAYGRILLRPRVLRDVSKIDMSTSLLGYNMPSPVIVAPTGSHKLANPEGEVATARAAAACNTIMVTTFVCEGGGSSSGDMLNFRPSVVLSFSSSCRIEEVASSCNAIRFYQLYVYKRRDVSATLVRRAESLGFKAIVLTVDTPVLGRREADIRNKMVAPRLSNLEGLMSLDDFDGGEGGSKLERFARETLDPSLSRAAAACNTIMVLSFSSSCRIEEVASSCNAIRFYQLYVYKRRDVSATLVRRAESLGFKAIVLTVDTPVLGRREADIRNKRLQAGTIRTGDAGST >Sspon.05G0020600-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:82953031:82957443:1 gene:Sspon.05G0020600-2D transcript:Sspon.05G0020600-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SVLFSSLASDHRPFPPDSSQLDDPPLTPQLRLPFLPATPPGAPQPPMVHMPNTTIAPRLVSNLLLMREEDGSARGPRSMSRPKLDLKWPVWPHRTQISLERSKKRYQAERQLHARLTARRPCPYLGWEGGVVFCFPRLLHSDKTETRPPLPAPNNTPPDPRRPQSELPKVSSSPLPSAPGRRPPAPPPVDSFSPSSGTYGNGTHPLLCPPLLRSDPSVLLVAWWVFSASPPRIPLLIDRQTSRRVPFPRGRAALSMSMRGAARRALSRRFLTTSRHDGPPGGGPRHGARRRPADPAMHKLAQILLPGLAAVCVDGTTGDLFRNPSVVAVDLRKEMVDYITQRSDTFIADALIESEANQDGPEAEMPDDPFEIVSVFMDDFSSTKRNIIGHVSGWLLSDSRRQDRRLLLAAGAREAIAEVLLKNVDLKTKFHCPEKYENEERLADHKEQCSFRPVVCPNDGCRAKDHDAACLYKVLQCEQGCEKRLLRRDMDRHCVTCPFGCDSSFPDRDLEKHCAEFLQAHLVKVLKAIHKKGRSKKSSKSLLRSWKRGVKARLVPNRHFFSRLHLDHLGTTACPHRRQGMAAGGPKSLSLLAAGQRQRKRCFASFALVRHRRLRAVPQPCGQAHRGGNCAC >Sspon.02G0045790-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:111947545:111948537:-1 gene:Sspon.02G0045790-1B transcript:Sspon.02G0045790-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTIRTAAAAPSPAIKPARGGPRPLRAFSEVGWLLLPLRLGFGFVRFSGFGFGFVGERNEMAASDVEYRCFVGGLAWATDDNSLHTAFSTYGEVLDSKIIMDRETQRSRGFGFVTFSTEEAMRSAIEGMNGKELDGRNITVNEAQSRGGPRSGGGGGGYGGGRGGGGGYGRRDGGGYGGGGGGYGGGGYGGRSGGGGGYGGGGYGGGSRGGGYGNSEGNWRN >Sspon.02G0011430-4D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2D:24655057:24657525:1 gene:Sspon.02G0011430-4D transcript:Sspon.02G0011430-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GGPDVMNNRLWHIVDVRDVADALLLLYEKKESSGRYICSPNHICTKDLVALLKKMYPNILDVDQKASLTCQKLLDLGWEPRKLEETPSDSVECYVKAGLLRGVDGHPCRLPHLFRLAYRGEWDARVVSRGLGPGQRVGGGSGFHPCTASSDAKEMPILLKPMAGTGVQDPGPENVPLLLKVVDVLDHDALTVTAEMEVLDRNTLMATPKAAFLAPQKRHPRRAGRRMTIGTHDTNSLWYAGGSGTHDNRRQTTPVDRCHALLEFAHDDGLMDNINGGGMASPPPPRVCVTGGGGYVASWLVKLLLSRGYAVHATVRDPSDPKNAHLQVLDGAPESLLLFTADMLDRDALAAAVAGCEGVFHVASPVPADKACSANNVQKAIVVSSTAAVRFNPSWPQDRIKDESCWSDKNLCIKNEVHSCSLWSIPRVNYSSTL >Sspon.02G0004790-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:23496158:23497210:1 gene:Sspon.02G0004790-1A transcript:Sspon.02G0004790-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSQPSHGRVTIDVCPKCSKGFRDPVLLVEHVEREHGGTSRGLIPIQSPIPRYQVKLTSTPSLVLYHWPPPLGCRIPTTRHLHRRPPRQRTPLPVPEVNK >Sspon.03G0009690-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:41045349:41046181:1 gene:Sspon.03G0009690-3C transcript:Sspon.03G0009690-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHEAAPCTCGLLYGSCGGGCSLLFAAAAEDHYYKHCGGGDGEAFSAGPYGGSVDCTLSLGTPSTRRAEAGAARAPAAAAAGLHWETPSAPSCNGRQQETRGGAEAGARRCANCDTTSTPLWRNGPRGPKSLCNACGIRYKKEERRAAAAAVAPAAVVADGGVDYASYGYARQPQQWGCYGPAAVAKAASFGMFGDAAAEVVDGPCLPWGLGVMPSSPAFGTVREMPSLFQYY >Sspon.02G0007830-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:16391380:16396270:-1 gene:Sspon.02G0007830-2D transcript:Sspon.02G0007830-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHQRGGGRVGGRGQANPNVAQGQGGRGYGGRGGQYYGGDDNGGRGGGRGGGRGGGRGFDGRGGGYQEGGRGGRGGGGFQEVRGGGRGGGGGYQGGARGGGGGYYEGHGGGRGGGGGGYQGGGRGGRGFQGQGGSDYGRERGLGGLQPPRPDLRQAGPPLADRYAADAAALREKFKTMDIHRDAPMFPARPGFGAVGTPCVVKANHFFVGLVDKGLHHYDVTISPETTLKGIYRQVMSKLVSENRQTELGGRLPAYDGQKSLFTAGELPFKSKEFVVTLPGRVEKRYKVVIKHATAVSLHQLFMLMAGYPTDIPTQALQVLDIVLRDIVLNERNSMEYVAVGRSFFSPLIAPGPKNLGLGVEGWKGFYQSIRPTQKGLSVIVATKSIVHEMDLALLNLQLKKALRGVRIEVTHRGDARRNFESSAGVQKSVADYFREAYKLEMHYDFLPCLQVGSDQRPNYLPMEVCKIVAGQQYRKKLDSQQVSKLMDSTCQRPSDREKNIRQVVEQNDYNRTERASEFGMEVDYRPTSVQARVLPAPTLKYRGTGSESLCCPKDGQWNMIKKQVVHGARVGNWACVNFCHNLPRDVVGKFCSDLVKWSRTTGVDMDNLRLPVYSVRPEQVETDLHKIYQNAQNRLRVQKIDLLLAILPDKNGNLYGNFKRICETEIGIMSQCCLDKNVQSAGPPYFANVAIKINAKFGGRNLEFANPKESLPVVSIEPTIIFGADVTHPAALDDTAPSIASVVASQDWPNVANYNGIARAQGHRKELIDGLEDIVKELLLAFQERSKQRPKQLIFYRDGVSEGQFKQVLEQEIPEIEKAWKALYNEKPKITFIVVQKRHHTRLFPNDRQWTDRSGNILPGTVVDKNICHPTEFDFFLCSHAGIKGTSRPTHYHVLRDDNKFTADALQSLTYNLCY >Sspon.07G0001800-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:3092601:3096806:1 gene:Sspon.07G0001800-2B transcript:Sspon.07G0001800-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAASSSATACFLSPLPPPRRPRHFLRHLARAAATKPAPASASASSLALPSPWPWARLRRLRELVPAEAAGRLLSSAAGSLIVALASASLVLGDAGAASAFVVSTPRKLQADELATVRLFQENTPSVVYITNLAVRQDAFTLDVLEVPQGSGSGFVWDKSGHIVTNFHVIRGASDLRVTLADQSVYEAQVVGFDQDKDVAVLRIKAPKDKLRPIPVGMSADLLVGQKVYAIGNPFGLDHTLTTGVISGLRREISSAATGRPIQDVIQTDAAINPGNSGGPLLDSSGNLIGVNTAIYSPSGASSGVGFSIPVDTVGGIVDQLIKFGKVTRPILGIKFAPDQSVEQLGLSGVLVLDAPPNGPAGKAGLQSTKRDPYGRLILGDIITSVNGTKVTNGSDLYRILDQCKVGETVTVEVLRGDHKEKIPVVLEPKADES >Sspon.02G0026690-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2A:94583151:94583546:1 gene:Sspon.02G0026690-1A transcript:Sspon.02G0026690-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding FQMELPPWASFLGIVLAIVLFLVSTLHRHRCQNPSCKYNLPPGPGPWPVIGNLNLIGPLPHRSVHELSKHYGSLMSLHFGSLPVVVGSSINMARFFLKTHDLAFIDRPRTASGRYTGYNYSDMLWSPYGAY >Sspon.08G0011410-3C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8C:47705308:47705616:-1 gene:Sspon.08G0011410-3C transcript:Sspon.08G0011410-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEVLAAVAETIKNFAVIYLVDITEVPDFNTMYELYDPSTVMFFFRNKHIMIDLGTGNNNKINWALKDKQEFIDIVETVYRGARKGRGLVIAPKDYSTKYRY >Sspon.01G0038720-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1B:31541935:31542210:-1 gene:Sspon.01G0038720-1B transcript:Sspon.01G0038720-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAPTRAEVLALFRSLLRTAKQFSDYNIREYTRRRAADAFRENRDLADAPAAAAAFAEGKKQLEVAKRQALVYSLYAPKAKSVMELKVQ >Sspon.08G0013720-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:55274756:55276324:-1 gene:Sspon.08G0013720-2D transcript:Sspon.08G0013720-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MELSLTLSSPALQFILLLPLLTLLCLLYLRQDPKKQPRAHGLKVYPLVGTLPHFVKNQRRFLDWSTDVLKRDPSHTLSFKALGLTGGAITANPANVEHILKTNFANYPKGELTVSMIEDFLGHGIFNSDGEQWLWQRKAASYEFSKRSLRNFVVDAVRFEVVERLLPLLDGARRDGRTLDVQDVLERFALDNICRVAFGEDPACLAEEGMAAPESAEFMRAFNDAQSATMARFMSPVKSLWRLKRLLNMEPERRMREAVGTVHGYADRIIRERRARGEAAGLAACGGDDDFLSRFAASGEHSDESLRDVVTNFLLAGRDTTSSALTWFFWLVSTRPDVEEKIVREVRAVRRGSSEQERVGTFSFDELRDMQYLHAAITESMRLYPPVALDTHSCKEDDFLPDGTFVGKGWLTTYSAFAMGRVEDIWGADCEEFRPERWIGEDGAFRPESPFKYPVFHAGPRMCLGKEMAYIQMKSIAACVLERFSFQFVGGEERPGLVLSLTLRMEGGLPMKVIKRTNSALG >Sspon.02G0056520-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2D:28331834:28333706:-1 gene:Sspon.02G0056520-1D transcript:Sspon.02G0056520-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGGADPLPPATGRPALHNRRPPLPRRPPSATVVDESVVVEDVPDGEDEDEAADATAATAGVGTPPRAGVPSTQPPTTGSTLSPSTNPFFPSLGGRPKHRRWADDDDDDSDGERPPTNLMAVLRPARPATASPAFVQARPAAWGRRGGADAGQRLAQRRRRRSRPRPQLVHGLPTRPVEGRAPVQQRLGSRRRVSAPMTTVGGRFCHIRRRGPASMSVQPHRGTGHRGRPQKIPPQFRGRCFNCLSLTHRVATCRVPRRCLRCRGLGHVARDCGRSKATTDGRGRPRHACADRRPSPQPTPPRDMPSTDGTTMGATRGAHATRRRRRRRRCRGGTPSVEIAKASTAGDASTSTVPRFVLGPDPLAEALGARFDPLDLPVSVDPMMDELAAVLVTRSAAAPAPGCRPPEGQTSLWDPTSTAPQCMAESPPSSPVRGALASTAAPSEEFPPSPGDAPAGQVSSGGPMTAPQCTADPSAPLAGTTTLSEDESPSPSPGEAARRLARFTEAVWVARPPPLISSPPKQKAAPRRTLPKRSRRIAAQRMDHIPTSKRGEVLLMRRMRFLEPSAPPSSAAKDSYESFFEGDISAADAEALDSLFPACRGRC >Sspon.06G0032010-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:76738654:76741031:1 gene:Sspon.06G0032010-1C transcript:Sspon.06G0032010-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGADTAGEFLRSRTEYLVAVDFDCRGAVTNSGEAFDEMAQEKRRRFDADRDEPPPSPWAGLQADALGVVLRFLPSLADRARVRSVCRNWRAASYGRGVAPPLPVLVMPRFRFAGMTPGGVLTATVRRAWMPPEVDADHADCVGSSDAWLVGARQAGGECFLVNAFSHVDGHGVKFSRMKDCLLEKLLPTPLGSNHVLSCNMVEWRGRLLLIIRYYGGYQVRHRVLKVEVFAMDFSTKPISLTEIHSFGGDCIFVGSGGCKSFPAGQYRGVEGDLIYFVPDHYNPHDAFVYNMRDGKIQLIVEPLPRRICAPVQSLGFPGRNRSQKLSEPRTLSFRQNLPAAPCP >Sspon.07G0003640-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:8791205:8794451:1 gene:Sspon.07G0003640-1A transcript:Sspon.07G0003640-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSILDSLASLGLGYAIAIALGFLVLLASVLLASHFCLRRGGAAQVFGAGAGVGSARHAASSASSSGHISITVPRVVFVAEDYDSPGSSSRGAAAAASPVGLDPSVIASLLLKIGFLIDEIARC >Sspon.02G0023120-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:78126019:78127699:-1 gene:Sspon.02G0023120-1A transcript:Sspon.02G0023120-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPIAAPVSSLTAAPPQGTAGDARSAVNLLRSLARARRADLSHRALLLFRTLHASPAPPPPRYSLPAALSAAAFLAALPEGRQLHALAAKLALLAPAHAHSAVVVANSLVHLYASCGRPGAALAVFRGVPDTDRSLVSWNTAVDALAGNGDHLAALDLFREMQRDRPDLAPDAYTLQSVLGACAAAGALSLGLYAHALLLRELGGHASSAAVSRDVLINNSLVDLYGKCGAVDLARQVFDRMPQRDLASWNAMVLALANHGRVRDSLHLFDRMTRVENVVPNAITFVTVLSACNHGGLVDEGRRYFAAMVGEYGIRPRIEHYGCMVDILARAGFIEEALDVVAGMNCRPDSIIWRSLLDACCKRNAGLELSEAMAKLALDVPDDAVSGVYVLLSRVYASAQRWNDVGMIRQLMCEEGFKKEPGFSSIEMDGSVHQFVAGDTSHPQSEEIYEKLDEIQQRLTSAGYKPDLMDHVLAKITGDP >Sspon.06G0027690-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:90807647:90809048:-1 gene:Sspon.06G0027690-2D transcript:Sspon.06G0027690-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTVREEMRKGPWTEQEDMQLVCTVRLFGDRRWDFIAQVSGLNRTGKSCRLRWVNYLHPGLKHGRMSPQEERLIIELHARWGNRWSRIARRLPGRTDNEIKNYWRTHMRKKAQERKMSMSSPSSSSSSLTYQACLLDTIPVIGMGSGDTHNGGCVTSALENTQSVMDGYPIDQIWNEIEAPQAPALLGIAEGKEKTCSSIPCHLPSSAMWDYKYPEVFWKMEDKEIMM >Sspon.07G0014490-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7A:52383119:52392104:1 gene:Sspon.07G0014490-1A transcript:Sspon.07G0014490-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SUMO (small ubiquitin-related modifier) E3-ligase, Abiotic stress response, Stress adaptatio [Source: Projected from Oryza sativa (Os05g0125000)] MQVCPPDLPSRSHSGSDFNHFRPKEEATDFYHVETKVRCLCNSTMLNDNMIKCEDGRCQVWQHVTCVLIPDKPTEGAGPDIPPHFYCELCRLNRADPFWVTTGNPLLPVKFMSSGVGNDGASVTQIVEKTFQLSRADRETVQRPEYDLQVWCILINDKVQFRMQWPQYAELQVNGIPVRVMTRPGSQLLGINGRDDGPLVTTCSREGINKISLSRVDARTFCFGVRIVRRRTVTQVLDLIPKEGEGESFEDALARVRRCLGGGGATDNADSDSDLEVVTESVTVNLRCPNSGSRMRTAGRFKPCVHMGCFDLETFVELNQRSRKLRNCSEDVNELDVKPDGSWRVKGDAAPRDLSQWHMPDGTLCDSKEDTNPGVTSVNEFEREGTSDGHRTLKLGIKKNPDGSWQVSNKAGDKKPGGRNHIQNKKGFSTPKMPMISSPTGSCRDGEDASVNQEGGGIQFDTALNQEFDSFAHNFGQTYNTEDRQQQPLHNAADVIVLSDSDEENDPIVCPPPVYANTTTHGESFPFVTDARSGYPERYQEDAGVGTSGLGLLSNTGDFEIINWQLPSYTQPEQAFQFFGTNADVGNPFVGPHNSFNIAPEDYSLDCNVGIEDPSAAHDVSICRNSNDVHGSLVDNPLALAGDDPSLQIFLPSQPSTVPLQEELSEHANTPNGVHPDDWRISLTLAAGGGGNEESTSVDDLKSQPKVPPKEAGVEPLLDAG >Sspon.07G0011690-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:39576508:39580808:-1 gene:Sspon.07G0011690-4D transcript:Sspon.07G0011690-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pre-mRNA splicing factor SR-like 1 [Source:Projected from Arabidopsis thaliana (AT5G37370) UniProtKB/Swiss-Prot;Acc:Q8RWB1] MEIQTSGKPIDVLMEKVLRMNILSSDYFKELYRLKTYHEVIDEIYNTVEHVEPWMTGNCRGPSTAFCLLYKFFTMKLTVKQMHGLLKHLDSPYIRAIGFLYLRYVVDPKVLWTWYEPYLRDDEEFSPGSNGRKTTMGVYVRDLILGQYYFDSLLPRIPLPVTRQITANLEKMKLPTKLSGATGDSSRQGSEDTARRPPSVKASLSVSFGQRAPHRASTRDSSPVRRTVTHDDHRRSYSPSRRSASREGPDHDRSDRELDRSSRDRDRDRDRSSRDRYRSSRDLDRDKDVRDYHRHERDSRDREYYRSRRSEERQDDRRDCESSRHRRSSSRHRSRSRSRSRSRRSQSRSRSRGRNEQRSSPFRDANKEKAATVSSNLAKLKDLYGDITKKEDSDAEKLHRDSCAEEVIRLGGPRWR >Sspon.04G0020150-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:76104850:76116875:1 gene:Sspon.04G0020150-3C transcript:Sspon.04G0020150-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDSPPPPAQPRRQSEAGAGGRRTDKHGRRLEVYNEVLARLRSSSAAAVSPAFEDALWAHFHRLPARYALDVNAERADDVVTHQRLLQEARDPERRPALSVRVVQALGLETNEGDVRSTNDTDHSVHLISRPMHEITFATIDKPKLLSQLTCLLAELGLDIQEAHAFSTIDGYSLDVFVVTGWHLESTEQLQGKLLQKFHNAETRAWPVSSSSSPPSEGPQGGEGMPSTSVEIPTDGADVWEIDLKLLKFGSKVASGSNGDLYRGTYCNQDVAIKIVRSERISADMYRDFAQEVYIMRFIPYEYLTPLQAAIGVVQKGIRPMIPKDTHPKLIELLQKCWHRDPAERPDFSQILEILQKLSKEVKTDPEGRHKTKS >Sspon.06G0006270-3D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6D:21032362:21038307:-1 gene:Sspon.06G0006270-3D transcript:Sspon.06G0006270-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DSKVPEVVTRVEGLLADSDIGSGSEIFDVIREQEWNISRKELMDIVEIGILSEADLDQIISSDFNPNRCGFEDKGEIWVENILNLGVSPAKLVENMKERFVSSGGAIFEGKSLSSIYVYNDLAVLKLSDGDSLSCRLVVDAMGNFSPIVRQAFPAGSGSNDRTTYMFTYVDPKFGGPKLEELLEVFWDLMPAYQDVVLENLDIRRVIFGIFPTYRIGICEAVEGDFLDAHSLQLLNPYMVGLGVILEWSGHFMMLGYYTFLSTFIDPVLRSWVESLPPRDKYQWKRYLEAWRYGAGLDYRQGE >Sspon.03G0024710-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:98546341:98549869:1 gene:Sspon.03G0024710-2B transcript:Sspon.03G0024710-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPYDREDGLPPSAPPRAAADAYDPNYVPDSVKTFVVHLYRHIRDKNVYEIHQMYEGGFQRLSDRLFRDAPWPSAEAVAPYCDGDHVFLLLYRELWYRHAHARLSPLTAAHRAESWTNYCDLFSVVLHGVVNMQLPNQWLWDMVDEFVYQFQSFCQYRAKLKTKTEDELNQLKQFDKAWNVYGVLNYLQALVEKSMITQILEREKEGLEQFTATDGYDYEGGSNVLKVLGYYSMIGLLRIHCLLGDYHTGLKCLAPIDLNQQGVYTIVIGSHISTIYHYGFANLMMRRYVEATREFNKILLYILKYKQYHQKSPQYDQILKKNEQMYALLAICLSLCPQNKLIDENVSTQLKEKYNDKMTKMQRYDDEAYAAYDELFSYACPKFITPSPPVLDQPLTNYNQDAYRLQLKLFLYEVKQQQLLSGIRSYLKMYSTITISKLAQYMEMDEATLRSILMTYKHKMHAVDSDGKIVSSADFDFYIVEDVIHVVESKPTKRHGDYFLRQILKFEEMIGELEKVHFD >Sspon.04G0005450-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:15290883:15294299:-1 gene:Sspon.04G0005450-3C transcript:Sspon.04G0005450-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFADLEAGALRAPLGRKARGGSNDARALVFQITTAVASYRRLLNSLGTPKDTLTLRDNLNKTSQNILQLAKDAKNKLQKAAEADKSTDTSADKRIADMKLAKDFAATMEEFRKLQSLAIQRETAYKPVAPQNAQSNYTTDDRSSEPGNMPEQRALLAAKRQEVLQLDNEIVFNEAIIEEREQAIQEIQQQIGEVHEVFKDLATLEIDMNIDKSEVVTKEAKKEVANAARTQKSNSSLLGPKRTGPDDSRMW >Sspon.04G0006040-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:8200795:8203171:1 gene:Sspon.04G0006040-2B transcript:Sspon.04G0006040-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding TWASAARVGPGVEEVEGAGAGAGAVGTARSLSAGAARRRRRGPGSSAPAWRTSWWPRREEAEDARGREREGCRRATFWRPASSAGSLSPATATSSCTGSTSRSVPRSAGGSRSNWTRSWSGRRAPPRRWRRELHPPRTWSPRRGLPRPGPGQSLPANT >Sspon.04G0021250-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:77925301:77929670:-1 gene:Sspon.04G0021250-3C transcript:Sspon.04G0021250-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding IMFRRLKTLTISPALASGVTSQHHQLQQRAPVSGTAKGKSKLKAGQPLKRSTIGAKKGPPSTGGGGGGRGRREAIERITNISESCLNASTPLRHLSPKERLREAKREELGLVSKERQRELDIAKAKAKAKSKGTGGDDGDRVLMGPPGLDYISLGLVDEEAIPKYELTVEDGRRLAKEYSRVLMRRHRARQTAESTLLTLKKEAIAALPEKLRAAAMVPDMTPFPANRYMATLTPPIEGYIEEVTPPPPSAKSPPRQGDVAEVEHVTRRLRAGDADADGTVTHNSEPSM >Sspon.01G0021930-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:79256746:79262889:1 gene:Sspon.01G0021930-2C transcript:Sspon.01G0021930-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMPPSRPWAELQHDLLVAIMNRVGAPDLLSGGASRACSSWRAAARDPLAWRRVDLRDWVALTSGRRAARPGHSSSGVPVHAALFGILEVAAKSAEGRIEVVLLPEFADEDHLLFLAERCPNLQYFSLPSTCMTYDQFCKAIGGLHSLKGMAVDETLINYDVLLHVHQCCPDFVELKVSALYVDEEMASVICNSLPNLKKLEIPSADMSSAAITKFLDCLEELEHLDISGYETSAISSSVLEKASWLKVFLWNSKFELGEFMDCSNCGEHNINPQEPCKCMMEHKVMDWLAGPSQAS >Sspon.01G0003310-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:92338449:92346445:1 gene:Sspon.01G0003310-2P transcript:Sspon.01G0003310-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding METEFQDGKQEVIEAWYMDDSQEDQRLPHHRDPKEFIPLEKLSELGIISWRLNPDNWENDENLKKIREARGYSYMDICDVCPEKLPNYETKIKNFFEEHLHTDEEIRYCLEGSGYFDVRDENDQWIRVAVKKGGMIVLPAGMYHRFTLDNDNYIKAMRLFVGEPVWTPYNRPHDHLPARKEYLDKLLKPEGQAVEAR >Sspon.06G0002730-3D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6D:5987206:5989108:-1 gene:Sspon.06G0002730-3D transcript:Sspon.06G0002730-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSERALKDLNISQSADLENGKDNSVKPCITKPVLNGNKCANKEEKAPSACQDAVTNGNEAVIADVEYIDSENLVDLSDVNGALSTLAKRLDSKDWVMTCEALNNVRQLAMYHKERLQELLEPLVPLIAKSVKNPRSAVCKTALMTCADIFKAYGDLMVDSIDPLASQDKRFVCEAAEAALISMTNWISPLLLLPRMQPYLKNKNPRIRAKASVCFSKSVPRLDVVGIKEYGMDKLIQIVATQLCDQLPESREAARNLALELQAFYEKSQASTSNEHEGEPSASPDAESWEAFCQSKLSALSAQAILRVTSTTKQGVTSTTTKEGDTSAPKEGLAVGC >Sspon.02G0028950-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:105272846:105275969:1 gene:Sspon.02G0028950-1A transcript:Sspon.02G0028950-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable inactive shikimate kinase like 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G26900) UniProtKB/Swiss-Prot;Acc:Q9LW20] MAMRAATAAATCFFSPSSVSPRRSSSATPPASLSIGRCIQRHRLRAFPSSEIPLEELNPSVDLLRKTGEAVGDFRKTPIYIVGTDCTAKRNIAKLLANSIIYRYLCSEELLEDVLGGKDALRAFKESDEKAYLEVETEGLKQLTSMGSLVLCCGDGAVMNSTNLGLLRHGVSIWIDVPLEMAANDMLKSTGTQATTDPDSFSQAMSKLRQRYDELKERYGASDITVSVQSVASQRGYSSVDLVTLEDMVLEIVRQIEKLIRAKEMMEAAGRPF >Sspon.02G0018830-4D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2D:57081576:57083784:-1 gene:Sspon.02G0018830-4D transcript:Sspon.02G0018830-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Monodehydroascorbate reductase 1 [Source:Projected from Arabidopsis thaliana (AT3G52880) UniProtKB/TrEMBL;Acc:F4J849] VIKLTDFGTPGADFNNILYLREIDDADKLVAAIQAKKGGKAVVVGGGYIGLELSAALKINDFDVTMVFPEPWCMPRLFTADIAAFYEAYYTNKGVKILKGTLAVGFDADANGDVTAVKLKDGTVLEADIVVVGVGGRPLTTLFKGQVAEEKGGIKTDAFFETSVPGVYAIGDVATFPLKMYNELRRVEHVDHSRKSAEQAVKAIKGKESGEPVPEYDYLPYFYSRSFDLGWQFYGDNVGETILFGDSDPTSSKPKFGSYWIKDGKVLGAFLEGGAPDENKAIAKVAKTQPPVANLEELKEGLQFASKI >Sspon.01G0007260-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:19743688:19750029:-1 gene:Sspon.01G0007260-1A transcript:Sspon.01G0007260-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYFPEKRNLEDLWLSAFPVGTEWENIDKIKEFNWSFENLEKGLEEGGELHGKTVYMFGSTEHCPFPPSDKIGINSVERENEEIVPMKAMKLAWVPYVPLEDRYFCIIMLSRIDSLKTKIFTLGCTQRRSALKHLKIERVKKFDYCMPYYMPLQPLEDEDDTVINFLYPLEPPIVDEFDWEMDDYEDFADQKVQEGSLPEGEKEKFKEFLKEKVRERKRELKQAKEARKKAIDDMDPKMKEAFENIKFYKFYPVKTPNTPDVNNVKARYINRYYRNAHYLMKEKGEGKDKMQENTEEQGIVREDNVSSISDAEELAQSFGGRTWLGQTGVAKNPSPVRGG >Sspon.07G0036560-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:38385404:38389316:-1 gene:Sspon.07G0036560-1D transcript:Sspon.07G0036560-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQRAASNAYLWWWASHVRTKQSKWLDNNLRDMEDRVKCILFLLGEEADLDCLLNACQTSKRDRTWSREQRDPREPEQRNQADGEWSPNL >Sspon.04G0032690-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:30782358:30784293:1 gene:Sspon.04G0032690-1C transcript:Sspon.04G0032690-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATALSCGARSGLRLLAAGVVAASAPASRAFQSTGVRRMGAHAHDEPYYVHAKHMYNLHRMKHQQLKVSLAVLAAVGTGVGVPVYAVVFQQKKTASA >Sspon.01G0052050-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:19892857:19908548:1 gene:Sspon.01G0052050-1C transcript:Sspon.01G0052050-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQKETNGSNGEHISTRPPPTPSPLRFSKFFQANLRILVTGGAGFIGSHLVDKLMENEKHEVIVADNFFTGSKDNLKKWIGHPRFELIRHDVTQPLLVEVDQIYHLACPASPIFYKHNPVKTIKTNVIGTLNMLGLAKRVGARILLTSTSEVYGDPLEHPQTEAYWGNVNPIGVRSCYDEGKRVAETLMFDYHRQHGIEIRIARIFNTYGPRMNIDDGRVVSNFIAQAVRGEPLTVQRPGTQTRSFCYVADMVDGLIKLMNGNNTGPINLGNPGEFTMLELAENVKELINPDVTVTMTENTPDDPRQRKPDITKAKEVLGWEPKIVLRDGLVLMEDDFRERLATKMATYEPKNILITGAAGFIASHVANRLVRNYPQYKIVVLDKIDYCSNLKNLNPSRSSPNFKFVKGDIASADLVNYLLITESIDTIMHFAAQTHVDNSFGNSFEFTKNNIYGTHVLLEACKVTGQIRRFIHVSTDEVYGETDEDAVVGNHEASQLLPTNPYSATKAGAEMLVMAYGRSYGLPVITTRGNNVYGPNQFPEKLIPKFILLAMRGLPLPIHGDGSNVRSYLYCEDVAEAFEVVLHKGEVGHVYNIGTVKERRVIDVAKDMCRLFGLDTEKVIRFVENRPFNDQRYFLDDQKLKRLGWAERTPWEEGLKKTIEWYTTNPDYWGDVTGALLPHPRMLMTPGVERHNWTEEIKSLTSSPAEASTTAPATSTKRTTDAPQKPLYKFLIYGRTGWIGGLLGKICDKQGIPYEYGKGRLEERSQLLEDIRNVKPTHVFNAAGVTGRPNVDWCETHKQDTIRTNVVGTLNLADVCREQGLLVINYATGCIFEYDAKHPEGSGIGFKEEDTPNFTGSFYSKTKAMVEELLKEYDNVCTLRVRMPISSDLSNPRNFITKIARYDKVVNIPNSMTILDELLPISIEMAKRDCRGIWNFTNPGVVSHNEILEMYKKYINPDFKWTNFTLEEQAKVIVAPRSNNEMDASKLKAEFPQLLSIKDSLIKYVFEPNRKVPVN >Sspon.02G0020380-3C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:67894280:67903571:-1 gene:Sspon.02G0020380-3C transcript:Sspon.02G0020380-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:STRS1 [Source:Projected from Arabidopsis thaliana (AT1G31970) UniProtKB/TrEMBL;Acc:A0A178W5E1] GSINRRLRFTEPPLRFPSKTLEIKLPVPLPAVLARHRSPALLPTSTTSPAAMGHNLDAEQAEAPRSSKKEKKSKKDKKRKLAAEAEEEAAVTATEEAVKSSKKKKRVENGPGAGGGEAENGAEKAVAVTGKGSEDPKYAPLRSFSAADLPSPVLDCCSAFARPSPIQAHAWPFLLDGRDFIGIAATGSGKTIAFGVPALMHIRKKVGGKAGKKTVPRCLVLSPTRELAQQIADVLSEAGAPCGIKSVCLYGGTKKEPQISALKSGVDIVIGTPGRMKDLIEMGVCCLNEVSFVVLDEADRMLDMGFEPEVRAILSQTSSVRQMVMFSATWPLAVHKLAQEFMDPNPIKVVIGSEDLAANHDVMQIIEVLDDRTRDSRLLALLDKYHQAQRGWKAVSVHGDKAQHDRTKALSLFKEGKCPLMIATDVASRGLDIPDVEVVINYSYPLTTEDYVHRIGRTGRAGKKGVAHTFFTQANKALAGELVNVLREADQVVPPALMKFGTHVKKKESKIYGSHFKEITADAPKPTKITFGDSDED >Sspon.02G0018100-1P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2B:53486786:53487680:-1 gene:Sspon.02G0018100-1P transcript:Sspon.02G0018100-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAADDQPETVEVTLRAVGPSRPTTLRLPPLLSVAELRGRIARERRLAGTEEVRLRLVLRGKTLPHQDDTHVNLRDGDTLMVAVAPKPPANHLLDNDDEEEEEEELISF >Sspon.06G0010930-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:59192682:59193026:1 gene:Sspon.06G0010930-1A transcript:Sspon.06G0010930-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VHGPPYLLASAGRRREGRSDLRAAAPAGAGGRAGARGGRGGDRSGRRRQWHHSRRRRLARRGRRWWRRRHAEAGEQHRLPDLRGDVPGEVPRKQPLPVGRVLPALQVRQLQRLVP >Sspon.01G0000740-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:2545234:2546435:-1 gene:Sspon.01G0000740-3D transcript:Sspon.01G0000740-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLQRRGGLLCCGCGGSAAAVAVGGRAPFPGDDSAADGQLPGAKSAAGTGTGVAATARQLSWAQVEAMTGGFTSAVVGEGGFSTVYLARLAGSLAAVKVHRSSERLHRAFRQELDALLRVRHPHIVRLLAFCDQRDEGVLVLEFAPNGNLHEQLHGSGGGTAPPMPWARRVSVALQVARALEYLHERCEPQVVHGDVKASNVLLDAGMGARLCDFGSARAGFSAAVVARPGPGQRPRVRAVLGSPGYVDPHYLRSGVVTKKSDVYSFGVLLLELLTGVQAFRDGRLLTASVAPKLAAAAAGDGVAGELVDRRLGCRYDAREAAAVVALAAACVGDNPSLRPSMADVVRTLEQLQHGRSSVVVSTAAGGKQ >Sspon.03G0022470-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:87103185:87106596:1 gene:Sspon.03G0022470-3C transcript:Sspon.03G0022470-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTPARKRLMRDFKRLMQDPPAGISGAPQDNNIMLWNAVIFGPDDTPWDGGTFKLTLQFNEEYPNKPPTVRFVSRMFHPNIYADGSICLDILQNQWSPIYDVAAILTSIQSLLCDPNPNSPANSEAARMFSENKREYNRKVREVVEQSWTAD >Sspon.05G0030110-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:80381915:80394089:-1 gene:Sspon.05G0030110-3D transcript:Sspon.05G0030110-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATFKWSIDNFSSFLDKGEGWTNSRVFKIMGHDWYLKLNPMDRNSCDEECVSLRLQLSQTSVEPNTIVDASFRLIIYDQLYGKDSEHYVSHTFQTASTSSGKSCMIKLAKLKNSSGFLVNNNCVFGVKFIKVVTTKAKTTSEKLFVKNTSTLPEAKAAYTWCIADFFGMENPGYSPEFAAGGYKWSIASSKKKTTSPCWSQFSNNSRIWGWENVVSMEDMQDSSNGYLIKTKCYIEAEYPPKTPAHPTRAKMDLNQFVPSQQSALTMDSAESTAAAAARAPNGAARDIDDDDDDAVPEVAACISTMLDRGGSVESHRLFLARRTALEMLRDRGYAVPEDELARTLPEFRAWWEDKPELERLAFSTALASDPSNKSWHHPATGMVSTVEFVMYVSTEVKGLRALVKVVFCPPEPVKLAAIRVVYTGVKDENLSRLILILQGRIMSKARESIKEIFPYKVDTFQITELLVNITKHALKPKHQVLTAEEKAKLLKEYNVVDSQLPRMLENDAVARYYGLGKGTVVKVIYDISLWLELDDTWLHALIHSDFLADYEFHLSGDIPRDGLKSLISKEDKKRREAIAHSGST >Sspon.06G0023710-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:47847042:47851147:1 gene:Sspon.06G0023710-2C transcript:Sspon.06G0023710-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRRRIRDRRKYLYSKSVEASQRALFERKRRIRAALEEGKPVPTELRNEEHELRRQIDLEDQEPRVPKSMVDNEYATALVREPKILLTTSRNPSAPLTQFVKVISEIIESWRSHDITDLILVHEHRGQPDGLIVSHVPNISTAYFGLLNVVTQHGIKSRKAMGKMSEAYPHLVLDNFSTQVGERTATILKHLFPMPKPDSKRIITFANRDEYISF >Sspon.08G0004230-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:12224648:12227792:-1 gene:Sspon.08G0004230-1A transcript:Sspon.08G0004230-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAFGGSGCGGGRTWVAVVGAGTGRFSQRHGRGLLHARTAEVPIAPLPLSIYELLGGVCLSYLHRRPLGRLQLLLRPALGAHPSTRRILMHSLLCDADSIGSANLSKDLVKDIPVVGCLVWASIKFLFLFSYPRVHLVLAAASRALVGLELEPRFDRPYLSALLRDFWGRRWNLSVPALLRQRVFCPVRARLGTSVGVLTAFVVSGVMHEAMFSYVTLLPPTGEAAVFFTLHGTCAVAEGCGPRTRGGRARGGTRVGGATPVQRGSTSGPRSRAARPRGRAGRTGAPAPAQPTRVTPPPERAPAP >Sspon.08G0022980-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:51977705:51979602:-1 gene:Sspon.08G0022980-1P transcript:Sspon.08G0022980-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAAGSNIGHLMSGGVLANRVVGSQYQSNTEDIDESSADELRDATSYAKDNAMLIQVPVLGTATKTFWRLSDEATRISRKLALILRNHHSVCKCLTAPLQVSNVRIGSAGNVKLRGARFTTKGFSIERVRDDYKNLSRVLKQLISISGGDINNLPPDYRDFLQLLTQDNLTTEDEFLIVNNAALLPLKNRTEVFLMLYDRIVKYLGRKNREKRNRILSNLPYENDWLDTATANKKINQWVVKSDVQKKEYKRTALDLLRLNRNVRSHLHEYGHDDDVEEILYCEWPMLLFAMEKQLHLEGELQDTDIENKFG >Sspon.08G0015100-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:60397331:60400474:1 gene:Sspon.08G0015100-1A transcript:Sspon.08G0015100-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAQRDTKPFVSPITATAIQRSLHGPSARQPPVLPSEHGGGARVLAGLHLQPQAHPQAACVLNRAASELSGGVGDRASGAGEALEGTSARSPCLAPRLSRKFWSAGDYDAAATGSALQPPSVQNRMCIHPEFLHSNATSHKWPFGAVAELLDNAVDEIEKGGATRILLDKIIDKRNGSPALLVQDDGGGMDPNSLRRCMSFGFSEKQSGSSIGQYGNGFKTSTMRLGADAIVFSRCTKGGEPTQSIGLLSYTFLVETGQTDVVVPVVDYKCNLMKGQTQRLERHGSEQFSSNLSVLLKWSPFATEEELMQNFCDIGPHGTKIIVFNLWSNDDGKWELDFDTNPEDIMISGAPNPEEISNSVKRTNENHLANRLRYSLRVYASVLYLQLPDYFRIILRGQEVKRHSIVADLMYPECITYKPQGCGIKEAGVLTTIGFLNGSPTISVHGFNIYHKNRLILPFHRVLSSASSKGRGVSGVLEAGFIKPTHDKQDFEKSQG >Sspon.08G0004710-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8A:13572782:13575187:1 gene:Sspon.08G0004710-1A transcript:Sspon.08G0004710-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFGFRAGDRRPRHPSPDRRFTPPQAGPFHGEPPPSPFEWEAAARRERIIREEVERRLIEEEVRRELALARARFHGGFGPVPFVGPDGHTIVPPPPPGPFYMPDGPFMPPMPLPLMPVAVGMHPNCPPPALFGSWEGFGPRRLPGFGQPMTRPVPPPKRRHQLQLREIAPSESSEVLSSETKVGVKRKADASSATTKPTKLQNAARDWSCALCQVSATSEASLNQHLEGKKHKSKLVQCGAIKDTGKSGLQVTTGNNNVAGTSDARKKIHILVDGEMHQVVQKSNCVWCERCRVSCTNAAAMADHLRGKKHSLLNNAR >Sspon.02G0013870-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:36775200:36777181:-1 gene:Sspon.02G0013870-1P transcript:Sspon.02G0013870-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MELPTWASFLGVVLATVMLLKAILGRRRRVYNLPPGPKPWPIIGNLNLMGALPHRSIHELSRKYGPLMQLRFGSFPVVVGSSVDMAKFFLKTHDVVFTDRPKTAAGKYTTYNYRDITWSPYGAYWRQARKMCLTELFSAKRLESYEYIRAAEVRALLRDLHSASGSGRAVMLKDHLSTVSLNVITRMVLGKKYLDKDEVASAGSVTTPEEFKWMLDELFLLNGVLNIGDSIPWLDWMDLQGYIKRMKKLSKMFDRFLEHVVEEHNQRRLREGKDFVAKDMVDVLLQIADDPTLEVELNRESVKAFTQDLIAGGTESSAVTVEWAISELLKKPEVIVKATEELDRVIGRGRWVTEKDIPSLPYVDAIVKETMRLHPVAPMLVPRLSREDTTVAGYDIPAGTRVLVSVWSIGRDPALWDAPEEFMPERFLGSRLDVKGQDYELLPFGSGRRMCPGYSLGLKVIQVSLANLLHGFSWSLPDGVTKEELSMEEIFGLSTPRKFPLEAVVEPKLPAHLYAEP >Sspon.01G0041110-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:40416467:40417585:-1 gene:Sspon.01G0041110-2C transcript:Sspon.01G0041110-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SVMDRHTCKLCFRRFHNGRALGGHMRSHVMAASAAAFPSPLSRQPQSPPLSLASTSSTEMDDKPAAQQKPPPTPCVAREGTKKRFDDSPGFCSGGRAAARGESSVVQDGESDTESSSPRFAVSRRRSKRARRCAPPPPPPAAVASSDDPEQPAASGVSDATPVEDVAMSLVMLSRDSWTRSSRSGRGGPAISEAAEQNNDDDDGGVRSFVGAGAADDADHHEDDDVARPPRGGHHQCGVCRKVFRSYQALGGHRASIKKGKGGCVPVPVPPPAAPSSSKAHHGPALAVHECPFCFRVFESGQALGGHKRAHMPLYAAAGIGAPAPAPTTPSPRTPAAKCGDSSGSFDLNVPAAATDDDFELSAVYDTEFGGAR >Sspon.01G0029630-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:103152424:103156367:-1 gene:Sspon.01G0029630-2P transcript:Sspon.01G0029630-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSEAEKPLRRIAASFEELAAVAKQQPAVSMDAGAFSRACSNVSVLFGASASPSTIILTFLSLWYSSLITSLDLLQVDDLVEASKSISTLPSMVELDIQTDTVRKPGSHTRNLLRVKRGIDMVKVLFEQILVTEGNSLRDAASVAYAQVFAPHHGWAIRKAVAAGMYALPSKSQLLKKLNEDEESAKVQMQNFVRSSAPVICYVEELFTSRNLGIDW >Sspon.03G0034990-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:77742354:77743575:1 gene:Sspon.03G0034990-1B transcript:Sspon.03G0034990-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARQRQQRRRGSGGLRLVAVPVLLLALALALLSLCLARAGAVVAPSVSLAGTAPASESEHGVATALKNALAQEEVARGHSTASTAAAEEDEGDGAVVLERRVAMESTEDYGFPSANSRHVPHP >Sspon.03G0014030-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:43336992:43337687:-1 gene:Sspon.03G0014030-1T transcript:Sspon.03G0014030-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVSGNSSLAGAADESGMEPWRELFRGRDIYDVIGKAILVAATDSPQEFRRRRDGIVEQIYTAPTVPIPQGRAAAAGERSGRALEVSDKGSKVASCTVAPAEEPEDNNDRKKGIAAAQHANGNGDNADDADYGAAGMEWLKSLADQMDAETQEINEVLRIKDILLNHHEQSADNLFDSLRRLQLMQLTADKIK >Sspon.06G0014890-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:81829362:81830970:-1 gene:Sspon.06G0014890-1A transcript:Sspon.06G0014890-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable protein S-acyltransferase 4 [Source:Projected from Arabidopsis thaliana (AT3G56930) UniProtKB/Swiss-Prot;Acc:Q9M1K5] MKGRPLFKSPLPRSYLSDTSSVSSAAVATHRVYQVWRGKNRFLCGGRLIFGPDASSIVLTVALIMTPLALFVAFVSFRLAELIGKPLGTAVPATAMAVGVFDVVVLVLTSGRDPGIIPRNARPPEPDDAATDSSSFASPATGASWSLPPTRDVYVNGVVVKVKYCHTCMLYRPPRCSHCSVCNNCVERFDHHCPWVGQCIGRRNYRFFFMFISSTTFLCLYVFAFCWVNLLLISRRYGVSFGHAVAESPVSGCLIVYTFVTAWFVGGLTAFHSYLVCTNQTTYENFRYRYERKANPFNRGAGSNIAEIFFSPIPPSRNDFRAKVSPADPDAAALYYLGPLSSESRISFYTRASLSFDMAKASFDLNYSAKRTSVASSDFGDIYGSHGGHGHGGALDRVSTTHLQQPRHSIFGGPGRESKKAEDEADAVTAELGATMHTHYGGSAGRPRGREFEVV >Sspon.03G0006510-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:17805953:17807912:-1 gene:Sspon.03G0006510-1A transcript:Sspon.03G0006510-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding QMSETSAASHRPIKSSPPSHAPGRLTLSLTSPFASPLLLLLAASAAAVFLYSLTAARSYESRSEVPSLSSPTVETIESARTFWELPAASPARAVLFVAHGCRCRPENFWPPSPRCPGCVGLPEDVAITDRALRRGFAVLAVASARECWSLGKEVNATKRVIRSWAAKNGLEGLPVVALGASSGGYFVSRLAVKMSLAAVVIMIAEGAFGGSAGALPAVYPPAMFIHMPKDKRRAALVDKNSKMLMKNGVEVKELQSLELPLTPTLLSERIPGLDRGLSERIWTAFREEGFVDEKGYMKEDGRETPWKDALVKRGFWKEVSALADHIQEELNLAYGYHEMTSLQADDSDVKVAGYWASSSLELFFWSCQQFSQPSFYGS >Sspon.05G0001060-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:3645423:3646287:1 gene:Sspon.05G0001060-1A transcript:Sspon.05G0001060-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALASTSATAPAAVLKTPFLGARRALANAAAAKPAPRRALVVVAAVAPKKSWIPAFKSDAEFINPPWLDGSLPGDFGFDPLGLGKDPAFLKWYREAELIHGRWAMAAVLGIFVGQAWSGIPWFEAGADPGAIAPFSFGSLLGTQLLLMGWVESKRWVDFFNPDSQSVEWATPWSRTAENFANFTGEQGYPGGKFFDPLGLAGTVKDGVYIPDVDKLERLKLAEIKHARLAMLAMLIFYFEAGQGKTPLGALGL >Sspon.02G0013620-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:36153489:36156293:-1 gene:Sspon.02G0013620-1A transcript:Sspon.02G0013620-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGFCAPAAGSPPDEATPEPFRSLQIATCTAAAAAATTKKKRRPAGTPDPDAEVVSLSPRTLLESDRYVCEICGQGFQRDQNLQMHRRRHKVPWKLLKREAGEAARKRVFVCPEPSCLHHDPSHALGDLVGIKKHFRRKHSGQRQWACVRCSKAYAVHSDYKAHLKTCGTRGHSCDCGRVFSRVESFIEHQDTCNAGRARADASTACGAGVAAASAGSQQQAPPAMSLSRTASSTSPSSDIVISPVAWSGPAPAIPSPTTAAFHRFEQVPSPRTAPSDHHHGGHHNLELQLMPPSCSGAGGGAPAYCGCAPRTPAVPPQSHAAAVMQLQLSIGVCGGGGFGDAGERGDEVMMLAAAAREKEEEAQEQLRQAVAEKAAADEARAQAKRHAELAEQELASAKRMRRQAQVELSRAHALREHAVRQVNATLLQITCLGCRHKFRARAPQLMGAVVAPEVACSYMSSVVTAEGGDAEVVDDEPPLDGAEAMLRRRQHAVAMDVVL >Sspon.01G0026840-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:89779397:89783986:-1 gene:Sspon.01G0026840-3D transcript:Sspon.01G0026840-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEGSSGPVVVPRNFRLLEELERGEKGIGDGTVSYGMDDADDIYMRSWTGTIIGPPNTVHEGRIYQLKLFCDTDYPDKPPTVRFQTRINMTCVNQETGLVEPSLFPMLGNWEREHTMEDILVSLKREMSTPQNRRLYQPHEGNEDQRVEQKGLSLRCVIM >Sspon.07G0028440-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:66587759:66588847:-1 gene:Sspon.07G0028440-1B transcript:Sspon.07G0028440-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAVTVGVRHGRRSVARATSGRRRPLRGCGKTDCSRERPAAVSTNEDVAALLNNDASIIIHLDRTRREHSRPPPPARPSAPPSLPPSPVAAAPVEFEGRPTDGPMAAAAAVYRRVLKAVQKHVGGGATKQHFRDFVAAEFRAPAGTEADARARLRLAGDYAYLLTSVHHHKVLELRYWVCVVPPVTALICSLSYDGGNLSRAIVDCPAAFVI >Sspon.08G0001020-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8B:973529:975919:1 gene:Sspon.08G0001020-2B transcript:Sspon.08G0001020-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGYEVGMKVLVLSLVVGAVAAIRPSKLLPAVPAVYVFGDSTLDVGNNNYLPGKDVPRANKPYYGIDLPGSGRPTGRFSNGYNTADFVAKALGFKKSPLAYLELKAQNKLIPSAITRGVSYASAGAGILDSTNAGNNIPLSQQVRLFESTKVEMEAKVGPRAVSKLLSKSFFLVGAGSNDFFAFATAQAKQNRTATQSDVTAFYGSLLSNYSATITELYKLGARKIGIINVGPVGCVPRVRVLNATGACADGLNQLAAGFDAALKSAMAALAPKLPGLAYSIADSFGLTQATFANPMGLGFVSSDSACCGSGRLGAQGDCTPTATLCPSRDRYIFWDSVHPSQRAAMLGAQAYFDGPAQYTSPTSFKQLAKMMS >Sspon.01G0028090-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:50807927:50810822:1 gene:Sspon.01G0028090-2C transcript:Sspon.01G0028090-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPSMEGMEEANRTAVQSCHRVLALLSNPHGQLVPSKDLVAATGDAVAKFGSLTAKLSNSNGNGLQLQGHARVRKIKKPLPIFDSNLFLESSAVAAAATVAKTPSPSPITGLQLFPRYHQMEGSSSKDPIRIPAQFPKRLLLENPAAGLEGPSSKAPPVQMVQPVSVAPPAGTPTPALPAAHLHFLQQHQSYQRFQLMHQMKIQNEMMKRSNLGDQGGSLGGGGKGVNLKFDSSNCTASSSRSFLSSLSMEGSLVSLDGNRASRPFQLVSGSQTSSTPELGLVHRRRCAGREDGSGRCTTGSRCHCSKKRKLRIRRSIKVPAISNKVADIPSDEFSWRKYGQKPIKGSPHPRGYYKCSSVRGCPARKHVERCVDDPSMLIVTYEGDHNHNRVLAQPA >Sspon.06G0016850-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:91564958:91568784:1 gene:Sspon.06G0016850-1A transcript:Sspon.06G0016850-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MELAVGASDSAMRSLLGKLGSLLAQEYTLISGVRSEIQYMNNELSSMYAFLRRLTHATAAGATHDEQTKDWIEQVRDVAYDIEDCVDDFTHRLGHQPRGEGLLVNLRRVWYTMTTLWARQDVASKIIDLKNRAHEVGERRTRYGVKNPNDDPTPIPNSNQGGPGPVRHYATDHLQPLAPQLIGTMEPVGQGVAIDEHGQWLTTGSAGDVRILAIVGFGGLGKTTMALALQRRFGEKFDSRAWVQASQKLNLASLLRGILEQVMLQQDPEHRGGTGTSEGRFDGNEGWSVKQLKEKLKAQLEHKSYLLFIDDVWSVTSWKIIWESLPRSQKGRSIVVTTRFKSVANACRYNNQEHIYMLEPLPHEVSTQLFFGTVTDPKPEEFKETKNEIIKKCGGLPLAIVAVAGLLARRDLTEESHWKTKIENMNLSHVRSLTVFENLHHLPSYSFKSVILQVLDLEGCKNLNTNQLKKIFKMFQLKYLSLRNMYIKKLPSEIGKLQYLETLDVRETNVTELPSSIGRLQKMVHLLGGNKSTRLPLRFTEEIAKMTALQTLSGIEINRDLTPDLGSMHGLTRLKKLSIYNLRDFHTSNELLPAIEHLTGYSLKSLAIDDGFSGFLNSMDDLSTPPKYILSLDLSGKLFCVPKWIKELETLEKLTLSLTSLRTDGLQVLSQLPKLSEGAYGLENLASLQQIYLRVSQQASDATKEKVTNIRSSVSTHGKKPTIVVDEYYDTTGFPFPLRSWNWKRGCGARQRQQGEQSTIEAGAAAGEADESASVQQSCDGPNRQPKTRIRAQET >Sspon.06G0012520-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:54210895:54212805:-1 gene:Sspon.06G0012520-1T transcript:Sspon.06G0012520-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARNGRVRVSRSGGGEPEDSQDRISALPDSILHLILVLVPLVDAIRTCVLSRRWRAVWTRLPLLFFDDVQAPRVSRFPDLVDGVLRGYADDDDDVDMGDLFVSVYRRDSVGDDPVSFVSTLADLAAQRISGRFGLYLSPAAVNVYQEEFLKNLYPDPEDDKEEEEAVTLQLPCFPRVTELSITFVGVDLGMPTTDTFAKLTKLFISGVRFTDGGEEISQVVSLCCPCIERLELHRIGGLRRLTVLAQSLVSMVLSRILVLEQLQVAAENLRHMLVDKCFVLSITRAFMFLSVPALEQLLWQDRCPEVIRHWMLPSCLRRLVVAELEFDYLFHAGGRSNFTRILQLFKCVDTLRLELPNAHDPTNEENLIENVNLPCYSELEFMVNHTGHKFGPTILNLLRRCSCVQKVMLQMFGSREVGYIPCPSNCNCRQLSVWTDNAINLDSLEWVAMYEFRATQDERSFIYYIMRNAKHLRKVSILFSLGANPTRRFFRKLYKLSASSGCTVECYSH >Sspon.06G0017840-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:96909718:96918889:-1 gene:Sspon.06G0017840-1A transcript:Sspon.06G0017840-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SCC3 [Source:Projected from Arabidopsis thaliana (AT2G47980) UniProtKB/TrEMBL;Acc:A0A178VN21] MEETLASLRRPKRRGRPPRPREEYHAAYFEDVAEADGLAPPQSKRKRAASAAAAASLEDQPLIDIIKHNGRLISHAVKRLVEDYESKKNSVTFQILTMLFEACGAKHEIYPDYLRESDVDDIVVSLVDLARKGLVEDNYNSKHKDLKNFKENVVSFWDSLVLECQNGPLFDDLLFQKIKDYVVALSWVYRQVASLIGLQLVTSFISVAKTLSGQRETTQRQLNAEKKKQTDGPLIESLNNRLALTHANITYLEELMRKIFNGLFMHRYRDVDPEIRMSCIRSLGIWVVSYPSLFLQDIYLKYLGWTLNDKNAGVRRTSILALQSLYEVDDNIPSLGLFTERFYTRMIQLADDIDVSVAVSAIGLIKQLLRHQLLSDDDLGPLYDLLIDEPPMIRRAIGELVYDHLIAQNIKTSHPGARDGENEPSEVHIGRMLQILREFSDDPVLSSYVIDDIWDDMKAMKDWRCIVSVLLDENPAIELTDMDGTNLVRMLHASARKAVGERIVPAVDNRKLYYNKGQKEILENSRREITSALLTRYPQLLRKFISDKAKISPLVDMMTLLKLELYSYKRQEKHFKTAIDLIADAFFKHGEKGPLRSCIKAIIFCCTECQADLKDYAENKLKNLEDELVLKVRTAIKEVEAGDDEYSLLVNLKRLYELQLSKPVKNDSLFEDMYRILAHLRDMDNEVKSFLLLNMHLQVAWCLHAIDGENPSETCIDELLSKQSSLFDQLYYYLVVLPTYQKEGRSTTVLSCRVCIITAEMWCLFKKSKYSSTRLESLGYLPQSDMVQKFWKLCEQQLNISDETEDEDANEEYIEDTNRDAVMIAAAKLVLADTVSKDYLGPEIVSHYVSHGASTTEIIKHLITSLKKNTNIDMGALFFEALKRAYERYMAHVHDGENQILTGKSYSECQDLASRLAGSYVGAARIKNKSDILKIIQDGVSFAFVDLPNQLSFLEASLLPFVSKLQSSDIPDILADVEKRTQDTNMVGDQGAWRPFFTFVEHLRDKHAKNEVLHEEEEKPVRRRGRPRKVRDVPDVPDLHGARDVRGKKLFRDDGHNSSGEESISASDHQGHGEDDDSDGDADQPLINTIRSSAAKLRSLKVSQQGTSSHKGEAIAERMRAVPHIAGDDFQTLNDQFKQHSGPALTSV >Sspon.01G0042080-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:62502264:62503172:-1 gene:Sspon.01G0042080-1B transcript:Sspon.01G0042080-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKREEEPKTLNQQGPGHLAPKSPDAYGLSNPNNPARAASSAMRRRKLGAHARVSSLLSSSGICIGDLSARLLRPACVRLVQEREPGGLTGESGEDQERQRARKSCIAWVTAGGGGGDGRRGCLAVAREQRSRFYIFRRCVAMLICWHKYKKI >Sspon.02G0059300-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2D:91663140:91664906:1 gene:Sspon.02G0059300-1D transcript:Sspon.02G0059300-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFALAEGENNDSWSWFMRLLRVHVLGPSRTICLISDRHIGILNAAGEHIDGHPPLVHRWCMRHFAANFWRRQRKKEVADKLKELCNKCTECEFKETMVELEKMLNQASKAWLEQQMENKAKWALAYDEGGFRYGIMTMNSSESFNRVFKGVRSLPVSGIVEFSFKKCNEYFVTRYGLALRNEEELGRWGKEAEELAKQQDYAPYPDLKKVGKGRRKKKRLKGDMDNMKGYDDDMYGGGDFDEERAQNLCSICKNPGHNARFHRRARQEVQGCKMEARAPHPRFSLIEADYDKDHRAKALSEQQRPLRFLEIVRVYNSTLPTLDPALLTAFVDRWRPETHTFHTPCGELTITMQDVKMILCLSLSGHPVTGVVDESTWVDLVEQFCG >Sspon.06G0030410-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:60719096:60721957:-1 gene:Sspon.06G0030410-1P transcript:Sspon.06G0030410-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVPLAPYPTPPVPFTPPNGGQSQLVCTGCRNLLMYPAGATSVCCAVCSTVTAVPAPGTEMAQLVCGGCHTLLMYIRGATSVQCSCCHTVNLAMEANQVAHVNCGNCRMLLMYQYGARSVKCAVCNFVTSVGASPGAEQKPSS >Sspon.01G0042150-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:64235543:64239172:-1 gene:Sspon.01G0042150-1B transcript:Sspon.01G0042150-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEIVKINQSAFIRGRRIHENFHTVQLICRWLYARCCPTVLLKVNLAKAFDTVAWSFLLEVLEHIGFPLRWRDWISTMLATASTKVLVNGRPGCHICHAHGLRQGDPLLPFLFIIVMEVLNALIAEADRQALLTPLPGNVIKYRASIYADDLDFPTKYLGAPLSLSWLSRANEQAFVDVVAAWIPTWKGGHLTKAGRITLVQTTLSAIPVHVSICCALSPWAIGEIDKRRCAFLWAGTDSVARGKCMVTWPMVCSPKDLGGLGLPDLRILGFALCLRWEWQRWTQPDVAWALLPSKTEHAIDLMFRASVTVQVGDGATAHFWTDAWLSDGAICSFTPNLFRAVGCRRRGRSVREALANRQWARDVTGTPTAVVLIEYVQLWDKVDGVQLQLNTPDRLIWKWTADSEYTSSFAYRAFFNGRTSLAGCREVWRTSAPPKDDESSDHLLASCVYTSEVWHRLLAHVDFQHLCPSSDPSLVDWWLQVRTLVSNAFRRGFDSLMLLVSWEIWKEHNRRTFDGKLRRSALGKAPKIFRWSEETSAFHAFFTGAADGESRQTRGVRTSGGSEPDVRVCGGNGFPWPALASSVPFQGHARDANASRSFVRCLAPVVFHTGNDGGGGALAAFGCICVPIFYPDEGPWSWRSIVICQFLQNLSRPAITTTTATRARVFCSRAAMLLRSCRTRFSAVVVPPPILPEFSSWKPIYHTYQLLVVASAIDGESRHTKPAMAMHMVPTAASNPAYVPALVVQAQRLAGRVSPAKRLGAIHLARPRRRRPLVNSRCSCTNFFAL >Sspon.07G0009570-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:24239319:24243291:-1 gene:Sspon.07G0009570-4D transcript:Sspon.07G0009570-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASELTYRGGGASTAASAGAGGYSPKPSKPLAWLPRAARYAVAEHRPLFALAGMLIAAAVISIASPSASSTSTGAASSYSNNNYNNNPLARFSVEPAHHRDVATRHFVGGKVPLGLKRKALRVLVTGGAGFVGSHLVDRLLERGDSVIVVDNFFTGRKDNILHHLNDPNFEMIRHDVVEPILLEVDQIYHLACPASPVHYKYNPIKTIISFLYEFLQFRCHKTNVVGTLNMLGLAKRINAKFLLTSTSEVYGDPLQHPQVETYWGNVNPIGVRSCYDEGKRTAETLTMDYHRGANLEVRIARIFNTYGPRMCIDDGRVVSNFVAQALRKEPLTVYGDGKQTRSFQYVSDLVEGLMKLMEGDHVGPFNLGNPGEFTMLELAKVVQDTIDPNAQIEFRQNTQDDPHKRKPDISRAKELLGWEPKIPLHEGLPLMVNDFRKRIFGDQDTAATTGNQQG >Sspon.06G0005260-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:17722278:17730322:1 gene:Sspon.06G0005260-1A transcript:Sspon.06G0005260-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDSKEFAVGIFDALARRRRQNLERISKDELYEFWLQISDQSFDARLQIFFDMVDTNVDGRITREEVQELIVLSASANKLSKLKEQAEEYASLIMEELDPENLGYIEVWIHAYKYTTATLLTGWPGHVRTTQLWQLEALLLQRDAYMTYSRPMSSGSAAQWSQGLSAGAGAGVGGQQEPQPQPSWQRQLRRRWSPRRAAARARVAAAESWRRAWVVALWVAAMASLFAWRFVQYRRSAAFRVMGYCLPTAKGAAETLKLNMALVLLPVCRNTLTWLRSTWARFFVPFDDSIAFHKEYRLVARFFGRDKPSYRALLAGAEGVTGIVMVTLMAVSFTLATRPFRKREADRANKAAATRGGRRWWPLAHLAGFNAFWYSHHLLIVVYLLLLVHGWFMFLVDKWYQRTTWMYISVPLVLYVGERTLRAFRSKAYAVKILKVCLLPGNVLTITMSKPYGFRYRSGQYIFLQCPTISPFEWHPFSITSAPGDDYISVHIQTRGDWTQELKRIFVENYFTPCVPRRAAFGELGAVEHKRFFHACILHAMSAPAPLPPRLLVDGPYGAPAQDFRNYDVLLLVGLGIGATPFISILRDLLNNIKLADELMDLAMETSRSEDSANSLSASTASSSNKRRAYRTSCAHFYWVTREPGSFEWFKGVMNEVAEMDKKGVIELHNYLTSVYEERDARTTLLSMVQALNHAKHGVDIVSGTRVRTHFARPNWKEVFTRIASKHPNSTVGVFYCGRPTLAKELKKLSLDMSHKTGTRFDFHK >Sspon.03G0000310-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:883479:887673:1 gene:Sspon.03G0000310-1A transcript:Sspon.03G0000310-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVTARGRSGGGAGMEEKCDAPGLGFLGLDRMRVLLPPLRLPEKLSASRTLRTHLFTNYRMRKVRDSSRWLIPLWVSIWVFIASLIYLRMSHQAVEKRRESLAIMCDDRARMLQDQLNVSMNHLQALAILVSTFHHSKTPSAIDQKTFAWYAERTAFERPLTSGVAYGVKVTHAEREQFERQQGWSIKKMYSSKTKKQSQGPGNAEDAEVREPAEEYAPVIFAQDAYKHVISFDLLSGADDRDNVLRARESGKGVLTAPFKLLNNRLGVISTYAVYKYDLPPDARPQERIQAAIGYLGGIFDIEALVDKSLHQLAGKQSIMVNVYDTTNEKPISMYGSNDTGSGMCQVSTLNFGDPTRKHEMHCRFIQSPPWPWLAITTSIGIFVIGLLVGYIIYATAKRIAKVEDDFQEMSVLKKRAEDADVAKSQFLATVSHEIRTPMNGVLGMLQMLMDTDLDTTQQDYVRTAQASGKALVSLINEVLDQAKIESGKLELEAVPFDIRTVCDDILSLFCGKAQEKELEVIKDYSLAVTPCSFTVFYYKCTDFILVIPCYIAQQVQLAVFVSDQVPQTLIGDPGRIRQIITNLVGNSIKFTEKGHIYLTVHVVEEIMHCLEVETGTQYANTLSGYPVADRKRSWENFRLFSRELNSSEMPFAPVASDSISLIISVEDTGVGIPFDAQSRVFTPFMQVGPSIARIHGGTGIGLSISKCLVGLMKGEIGFASKPQVGSTFTFTAVLTRAHSSVNENNLSEFKEINALVVDHRPVRAKVTKYHLQRLGVHTELTTDLDQYISKINCGSQIAKLVLIDKETWVKESHSMPLLVTKLRNKDQPDSTKLFLLENPNSSDKSNSHIFREYNLNVIMKPLRASMLQVSLQRALGGIDKLHCRNGVVGNSTLGSLLHKKQIIVVDDNIVNLKVAAGALKKYGAEVTCADSGKKALTLLKPPHNFDACFMDIQMPEMDGFEATRRIRVMERDLNEQIDRGEAPPECAGVRQWRTPILAMTADVIQATHEQCLKSEMDGYVSKPFEGEQLYREVARFFLNQDQVQ >Sspon.04G0008880-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:26796848:26805616:-1 gene:Sspon.04G0008880-4D transcript:Sspon.04G0008880-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGSSESSPLSGRNSFSSPLSSFKDLELSSESGCLSIIVLGASGDLAKKKTFPALFHLFQQLVLRFANRLFLPLWNRDNIANVQIVFKEDFGTDGRGGYFDQYGIIRDIIQNHLLQVFCLVAMEKPVSLKPEHIRDEKVKVLQSVEPIKHEEVVIGQYDGYKDDPTVPDDSNTPTYASVVLRVHNERWEGVPFILKAGKALNSRKAEIRVQFKDAPGDIFRCKKQGRNEFVIRLQPSEAMYMKLTVKKPGLEMATEQSELDLSYGLRYNDVKIPEAYERLILDTIRGDQQHFVRRDELRAAWEIFTPLLHDIDGGKLKSVPYKPGTRGPQEADELSKRMGYVQTHGYIWVAPTLSKV >Sspon.01G0000560-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:1161116:1163808:-1 gene:Sspon.01G0000560-3C transcript:Sspon.01G0000560-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding HQMALPSSSMRAASSTVYPVYLSLYHHPNSHHACFRPSRANHDNTHKCTKSPKILRRSLFSLPASFLLLHTSSSLAVDDTNTPSTSTIDTTITDRIFMDFSVCPSYFRSDRPLGAELSSCPDSEPLGRVVFGLYGRLLPVTTANFKATCTAAAYRGTLVHKLLQGQFFAAGRQGSRRDKGEVEPPSGLVRNSETVNPKAFELRHARPGTLSLCLGQNDDDDDIKLNPNYHNVEFLVTTGPGPCPELDGQNIVFGTVYQGMDVITSIATIPTYKPAERIRLFNDFAQLIGDERAQTARAMWDRPLKTVYISDCGELKVTKQSLSPPSL >Sspon.03G0025620-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:5666584:5670704:1 gene:Sspon.03G0025620-2C transcript:Sspon.03G0025620-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPALSTHHLQLRLWWRRLGRRGAAFALALLAAALVLTLSRYAAGPAASPPASSSYGHRLPALVDITLVYGATDKGAVCLDGTPPAYHFLPGFGDGSHNWLLHLEGGSWCRNFESCARRKKTNLGSSAHMDTRAEFVGILSDDQSQNPDFYNWNKVKIRYCDGASFSGHVQDEVKKNGTGFFFRGQRIWEAVMAELLSKGLARAKQAFLTGCSAGGLSTYIHCDDFRALLPNTPTVKCLADGGFFLDDVRKRFPHCSSDMEPGQCFFPQEVAKSITTPMFILNPAYDVWQVEHVLSPEGSDPQNLWQNCRTDITKCSSKQLDVLQGFRKALLDAINEFKKRRDWGMFIDSCFIHCQSMNALTWHSPSAARINNKTAAEAVGDWFFDRREVKEIDCEYPCNPTCYNVVLDQPYKQD >Sspon.07G0010370-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:31921081:31922657:1 gene:Sspon.07G0010370-1A transcript:Sspon.07G0010370-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDKEKEKVKEKEKHDGTDVEEEEEDEEGNKRIVVLGPQVPLKEQLELDKDDESLRRWKEQLLGQVDTEQLGETAEPEVKVLNLTILSPGRPDLVLPIPFQADEKGYAFALKDGSPYSFRFSFIVSNNIVSGLKYTNTVWKTGVKVENQKMMLGTFSPQLEPYVYEGEEETTPAGIFARGSYSAKLKMLHQSLMPHSQFVDDDGKCYLEMSYYFEIRKEWPAGTQ >Sspon.03G0006160-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:9755707:9756996:1 gene:Sspon.03G0006160-3D transcript:Sspon.03G0006160-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVLAKPPPALDQISLLRSPQPGDAASFFGVPAVDLSSPGAALAVVDACERFGFFKVVNHGVPTGVVDRLEAEAVGFFASPQAEKDACGPANPLGYGNKRIGRNGDMGWLEYLLLALDGAGHASSVSKASPVPSSSLRDAVNQYVAAVRGVATSVLEAVAEGLGVAPRDALSGMVTDAASDQVFRINHYPSCPLLQRLPDSCGVTGFGEHTDPQLVSVLRSNGTAGLQVALHDDGRWVPVPPDRDAFFVIVGDSLQVLTNGRLKSVRHRVVANSLKPRVSMIYFAGPAPAQRMAPLPQLLGHGEQSLYRDFTWGDYKKAAYRSRLGDNRLDPFRI >Sspon.01G0006450-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:14770879:14780742:-1 gene:Sspon.01G0006450-3C transcript:Sspon.01G0006450-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSLGIPVKLLHEAAGHVVTVELKTGEVYRGSMVECEDNWNCQLENITFTAKDGKVSQLEHVFIRGSRVRFMIIPDMLKNAPMFKRLEARIRGKGSAIGVGRGRAVAMRARAAAGRGGGPVGRGSAPPVRR >Sspon.04G0021190-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:74509020:74509924:1 gene:Sspon.04G0021190-1P transcript:Sspon.04G0021190-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAGVVAIATTLTVRDMLYLYCDARSVYERFIGMGSHPEQARNAVALLLWLDQAFHQAMPIRHLPTLDATAVSMVASEANRILDCLQQQSHHPAVLPPIPFISALCQCHHGGIDTAFLAFNQDLVVRGVADILDGVGALIFDDRLYRLLRRYQTGLVGRLSELEAPYTCRPVTVPEDCRSMFVTFSKGQPAVDRQEIFDYFRHKWGDCIVRVLMEKTTRGTPPMYGRIIFKSEAFVSLVLNGKHTVKITIGYRQIWLRKYIPRPHTINNL >Sspon.01G0024070-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:91658438:91669590:-1 gene:Sspon.01G0024070-2B transcript:Sspon.01G0024070-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RECA1 [Source:Projected from Arabidopsis thaliana (AT1G79050) UniProtKB/TrEMBL;Acc:A0A178WA75] MAVATVTASHLAPSFPALSRRRRAAPRTAAGGLTARARRLRCEFVAGGGNGALSGEDDPRLVDRQKALDAAMNDINSSFGKGSVTRLGSAGGAFVYVCSFLISSLKSSLAFTFVLYFYYSDYYCLQPSETFPSGCLTLDFALGGGLPKGRVVEVYGPESSGKTTLALHAIAEVQKLGGNAMLVDAEHAFDPAYSKALGVDIENLIVCQPDNGEMALEIADRMCRSGAIDLICIDSVSALTPRAEIEGEIGMQQMGLQARLMSQALRKMSGNASKAGCTLMFLNQIRYKIGVFYGNPEVTSGGIALKFFASVRLEIRPIGKIKSPKGDEDVGVKVRVRVQKSKVSRPYKQAEFEIIFGEGVSKLGCVLDCAELMDVVAKKGSWYSYKDIRLGQGREKALQYLRESPTICDEIEKVVRAMIPEGSRHMSLLAFGQSSSTEDEQVYDEQ >Sspon.03G0019080-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:59220252:59222663:-1 gene:Sspon.03G0019080-1A transcript:Sspon.03G0019080-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SGRNAPLEDTRRDETFLGDSGDASSAAKANPAAASGRSEAVPAKDDDAPAAGLLPPVSSEEAANSTQESGGLEDEELQVQDAVANPSKRSIDSAAATTTTPSSSNGSSPSVVHSDPAILPAPAQQILPPPAQEVKALADQHIPAVPEVKQADSEAPAREWKPLCDITSNRRIDWCELDGDVRVLGANASVTLVAPPGAGDRTFREESWRIKPYPRKADPNAMRVVRVLTVQSVSGEAPACTDRHDVPALVFSDRGYTGNYFHAFTDVILPLFLTARQYSGEVLLLVTNLQAWWVGKFLPVFKSISNYELIDLDKDPRVHCFRHVQVGLTSHDDFSIDPRRAPNGYSMVDFTKFMRATYGLPRDVAAADPTKRPRLLLIARARTRRFVNTEEIVRGAEKLGFEVVVSEGTHEVAPFAELANSCDAIMGVHGAGLTNMVFVPTGGVVIQVVPLGGLEFVAGYFRGPSRDMGLRYLEYRITPEESTLTDQYPRDHPIFTDPNGIKSKGWESLKDAYLDKQDVRLDMKRFRPTLKKAIAHLRKAAKAKA >Sspon.05G0034330-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:54104617:54108352:-1 gene:Sspon.05G0034330-1C transcript:Sspon.05G0034330-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFVLACLAAMPAASAASGDDGGILYIPSAAYIASHCPSSCGDVNISYPFGIGAGCFRQGFELTCNHTTQPSKLFLGNSTTQVIDMHGSTVSVSDNYNPKPKEHCQRSCGNMSIPFPFGLAEDCFGNERFRLNCTATNETLFSTAYIQYHVTDLSVEDGTLTVSNMLNNASSGKEVIIAQATENGGVYMDGPVEDDFDFSMEYDIVIRWAVTNSSCEQAMHGNIKEIHTYKMAAQLNPTRQIRQEISFHQLVMQHDQHVYSTQNIIAATDLHMENLN >Sspon.05G0012870-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:33417076:33425854:1 gene:Sspon.05G0012870-3C transcript:Sspon.05G0012870-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEAARRRQEMAVEGQRHLEETIAAAFQILVSMNDELCNAGLWSSSSVSAAAAAAAGSQHHHSATPPPPPHSADSDAADAGGAPGPGGSLDEARHRYKSAVAALRASISAVSPCAQDIGTTESEADHAEIERLEEHASALRKEIERKNKQVKLLMDQLRDLITDVAMWQSPCSSR >Sspon.01G0031120-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:105913649:105920111:-1 gene:Sspon.01G0031120-2D transcript:Sspon.01G0031120-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGATSPAAAANLAGKSGVRVVVIGDPGTGKSSLIVALATEQFPENVPRVMPPTRLPADYFPDRVPITIIDTSSSPEQKPKLIAECQTADAVVLTYACDRPATLERLSSFWLPELRRLQLKAPVIVVGCKLDLRDEQQVSLEQVMAPIMQSFREIETCIECSALRQIQVPEVFYYAQKAVLHPTAPLFDQELQSLKPRCVRALKRIFIICDNDKDGALSDVELNEFQVRCFSAPLQPTEISGVKRVVQEKMPEGVNESGLTLTGFLFLHALFIEKGRLETTWTVLRKFGYDNDIKLRDDLIAMPIKRAPDQTLEMTSEVVNFLRGIFNMFDIDNDGALLPTELEDLFSTAPENPWSSDPYKDCAEKNVLGGLSLEGFLSKWALMTLLDPTNSYANLVYVGYPGEFSSAFTVTRKRRVDRKKQQTQRSVFQCYVFGARGSGKTSLLQSFIGRQPSDALPSNSERFATNSVELADGTRKTLILREIPEGDVRSLLSDKESLAPCDVAVFVYDSCDEYSWQRARDLLVQVATHGENTGYEVPCLIVAAKDDLDQSSQALQESTRVSQDMGIETPIPISVKLRDLNNIFCRIVYAAQQPHLSIPETEAGKTRRQYRQLLNRSLMVVSVGAAVAVVGLAAYRLERTRPPEALLDKWGNK >Sspon.04G0023910-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4B:15874241:15875278:-1 gene:Sspon.04G0023910-1B transcript:Sspon.04G0023910-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTTCKLCSRRFSSPRALAGHMRSHSIARVQEAAAAAAVAAKQQISSASSASTSFAAADEDVGLETPASTYELRENPKRSLRVADAAFSDRESEAESTPPHAKRVNAAAIWGEPEPASSLSEVSTPEDVALSLMMLSRDSWPSAVLTEDDDGSDDGSDDGYAPPPAAPLRRAPAPAPVEKRTQFQCVACKKVFRSYQALGGHRASNVRGGRGGCCAPPVAPAPAPPLQPQPPLSPLPEHRDGDEDEDMDDAKQQPRERPHCYRVVSSGQALAGHKRSHVCGAAAAASLAGTGTATATATASTTSAATPPSPINNSPCMIDLNVAPPSEDAELSAVSDPHFNPGA >Sspon.06G0002810-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:8671309:8672095:1 gene:Sspon.06G0002810-1A transcript:Sspon.06G0002810-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LIASKNNDAEKDAEDNESLAGDGFDTINRVKDAVEKKCPGVVSCADIIALAARDVVYLADGPYWRVELGRLDALASRASDVKGKLPDPDMHVKELTPVFQTNGFTTKEMVALSGAHTVGFAHCTRFTRRLYSYSSSTPTDPSFNSDYADQLKQACPRNVGPTIAVNMDPVSPIKFDNAYYTNLQYGLGLFTSDQVLYTDETTKPIVDKFAASQKEFFDAFVAAMLKLG >Sspon.03G0006630-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:18259058:18264596:1 gene:Sspon.03G0006630-2P transcript:Sspon.03G0006630-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDADSADKLIADDEGSPPVPDTVQVGSSPKYRVEKKLGKGGFGQVYVGHRMSATGPGAVEVALKFEHRTSKGCHYGPPYEWAVYNAVGGIHGVPRVHYKGRQGDYFVMVMDMLGPSLWDVWNNNSHTMSPEMVACIAIESISILEKMHSKGYVHGDVKPENFLLGTPGTPEEKKLFLVDLGLATKWKDSATGQHVEYDQRPDVFRGTVRYASVHAHLGRIGSRRDDLESLAYTLVFLLRGRLPWQGYQGENKGFLVCKKKMATSPESLCCFCPQPFKEFVEYVVNLKFDEEPNYAKCVSLFDSVVGPNPDVRPINTDGAQKLIHQVGQKRGRLLIEEEADEQPKKKIRMGMPATQWISVYNGRRPMKQRYHYNVADSRLVQHIEKGNDDGLFISCITSCSNLWAIIMDAGTGFTAQVYELTPHFLHKVSDLHLGSFYEWIMEQWERNYYITALAGANNGSSVVVMSKGTAYTQQSYKVSDTFPYKWINKKWRDGFYVTSMATAGSRWAVVMSRNAGFSDQVVELDFLYPSEGIHKRWDSGYRITATAATWDQAAFVLSVPRRRPTDETQETLRTTAFPSQHVKDKWSKNLYLASVCYGRTLVVDVLGR >Sspon.03G0003700-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:26014976:26018949:-1 gene:Sspon.03G0003700-3C transcript:Sspon.03G0003700-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAACPLLLLAALLAVSATTSALTDDVLALVVFKTDVSDPSGRLATWTEDDDRPCSWPAVGCDARTGRVTSLSLPAASLSGRLPRALLRLDALLSLALPRNNLSGPVLPNLLTALPRLRSLDLSSNRLAAPIPAQLFAQCRALSGYIPPAVASCASLVSLNLSSNRLAGPIPDGLWSLPSLRSLDLSGNELSGSVPGGFPRTSSLREVDLSANLLAGEIPADVGEAELLKSLDFGHNLFTGGLPESLRRLTGLRFLGAGGNALAGELPEWIGEMWALERFDLSGNRFAGDIPYTIANCKNLVEVDLSRNALTGDLPWWVFGLPLQRVSVAGNQLNGWVKVPDDAAMALRVLDLSSNAFSGEIPLRITVFAGLQSLNLSSNSFSGQLPAGIGGMRLLEVLDVSANRLEGTVPPEIGGAVALRDLRMGRNSLTGRIPSQIGNCSSLVALDFSHNNLTGPIPSTMGNLTSLQVVNLSQNKLNGTLPVELSNLPSLHIFDVSHNMLTGDLPNSRFFNNIPESFLMDNSGLCSSRKNDSCSAVMPKPIVLNPNSSSNPSSQTTPSAPSNMHHKKIILSISTLIAIAGGAAIAIGVITISVLNRRFRARAAAPRSAPVTALSDDYLSQSPENDASSGKLVMFGKGSPEFSAGGHALLNKDCELGRGGFGAVYKTVLRDGQPVAIKKLTVSSLVKSKDDFERQVKMLSKITEKCDVYGFGVLVLEVLTGRRPVEYLEDDVVVLCDLVRSALEEGRPEDCIDPRLCGEFPMDEALPIIKLGLVCTSQVPSNRPDMGEVVSMLELVRSPQDSAEDELVM >Sspon.03G0041270-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3C:39317840:39318035:-1 gene:Sspon.03G0041270-1C transcript:Sspon.03G0041270-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MESPSMLKIATLNIVSACWNARSLSGVFGPIALDEDITPSHTHNDPLLDIQGSITRARACQLNLE >Sspon.03G0004110-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:10456811:10464560:1 gene:Sspon.03G0004110-1A transcript:Sspon.03G0004110-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RSCVLERGAQPRSPPSARRRRFAHSATVPPPAARSSAGLLDLSGDLTTRGSPHMTRVIHDSGDGLQKEALDLVSSDVNFPKGRFPDYRIGPNNQIIDPEETHEAVPLKEIVAKETSQLLEQHKRLSVRDLKEKFEKGLSGASKLSEEAKRREAASLDRQVLLKKLRDVLDTLKGRVAGRNRDDAEEAISLVEALAVQLTQREGELIYEKAEVKKLANFLKQATEDARKVAEEERALALAEIEKARAAIEKVEKALHVHDSASSSREKEVMDMEFELQALRTLISEKTQLCNQLKKELAMIKRLEEDSSDLFELEGSNNLGSQFCIIPRVDGAPNIANCPIQWYRVISGGTRELISGATKFTYAPEPLDVGRLLQAEIVLNADKIIVQTDGPIDNGLERYVDSLMKRTDIEFNVVVTQMNGKDYSSNSVHVFHIGKLRIKLRKGWSTKARESYSTTMKLCGSRGGGNAAARAVFWQARKGLSYTLAFETDRDRNAAIMIARKFASNCNIALAGPGDQGT >Sspon.04G0019900-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:78506038:78508677:1 gene:Sspon.04G0019900-3D transcript:Sspon.04G0019900-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAYEDLLPVMGERLGTAGLLAELRAGFRLLADPARGAITPESLRRGAAAALGVAGMAPAEAAAMVREGDADGDGALSEAEFCVLMVRLSPGIMADAEAWLADAIADELQMMDGGVAMDDAAAGEDHSGASSQDHAAGGGGGTTGPAGAPATATARRPSKEEDQRAEIGSHLTSVA >Sspon.02G0044200-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2B:100059675:100073036:1 gene:Sspon.02G0044200-1B transcript:Sspon.02G0044200-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DDIDEKMALFVKQFGKFMKKKGYRARRRKNSSKKNEHTMRCFRCHSKDHLIAKCPYDSDDEDAIKKERKKQKKKQEKKESSHKKKNDSHVATWDSDDSSSDDEDDNKSKKKGHASIAIQEKVSLFDTPSCFMAKAAKVSSDDESDHDNASDSDSDIENENLKKEVKELNHTLAKAYGGEDRLLMCLGSQRASLYKEGLGYNPKKGKAAFAPHKTRFVKNNGSYCKSCKQVGHIEQHCMNKKSNANVSSIKFDSFYILTKGTNGVHAKFIGAPWMGSKKKAIWWVHSTYDRDSCMFKSIDTSQNGGFDAITFGNNKKGKVKGLGKIAISNDMSISNVLLVESLDFNLLSVAQLCDLGFKCIFGSDDVEVISVDGSNLIFKGFRHVRGLKDVTFEKDKPCSACQAGKQVGNNILSDVYDLFKSFVKRVQNEFETTIKKIRSDNGSEFKNTRIEDLCDDLGIGHQFSPTYTPQSNGVVERKNRTLIDMARSMLSEYNVSHSFWSEAINTACYCSNRLYCHGKLGKTPYELLNGRKPNIAYFRVFGCKCYILKKGTRLSKFEKKCDEGFLLGYSTTSKAYRVWNLTNGTLEEVHDVEFDETQGSQNEAQNLDDVRGDQLSNAMKNMDIGDIRPRQVDDDDDIITYAPVARLEAIRILLAYACAHNIKLYQMDVKSAFLNGYINEEVYVEQPPGFEDDKKPNHVYKLKKALYGLKQAPRAWYERLRDFLLSKGFKMGKVDTTLFTKKLGNDLFVLQIYVDDIIFGSTNQDFCEEFGNMMAKEFEMKYIKDMIKKFGLQDAKPMSTPMGTNDQLGIDASGNMVDQKQYRSMIGSLLYVTASRPDVMFSVCKCARYQASPRESHLKATKRILRYLKGTHDVGLWFPKGSNFELIGYSDSDYGGCKIDRMSTSGTCQLLGRSLVSWSSKKQNSVALSTAEAEYISAGSCCAQLLWMKATLNDFGIKFKNVPLFCDNESAIKMTQNPPIWTLQQHQIQRQAQDTAAALAQIQARQDEFQRQQLEIQRQQVEMQRQSQQQYMDLLRHLITVGVPPPVSVMTQALHSSGPSSQGQPSFQFTSPQQQVPQSFQSPSFTPIHTGFTPLDQPRPHLLTGTPIGDFSASYSELTGQPTPSHTTTFHTSSGLSAPEATVPLVTGTGTTETIPSSVASTDPPATGALQAQVTQTDPLVATQSVPVPAQTQTAPQPRASSEGHPDSSDSEGDSLHFVITPRTSAPDTTPSVPLFGLFPTDRTWSSTAPDASGQFVTAARVSVLTTGRGPREDRTRWSVADTCHLGNTPVGRDLAVIGASGHSPVRSREDRTRPVITDRTRPRVRCDHSKVPCTIGRVRSPLTGASGRSVQNRDLTVTGDRRVRRLGGDWEFGDHPAELVDDPTHLKEIKVKQEKPIAFKASSSKGKSKVESDDDDPFDDETMALLVHKMGRFMKKKGYGARKRRDFMKAKEMLCYNCNSPDHVVAKCPYEDKRYHDGELKLKKNKKDKKEKKEKKSFTINKKKKGGGYVVTWDSDNSDSDDDSSSDDERTTRRAIASIALSNKSSIFDTTSTCLMAKPTKVKYDDSDDDSCASDGYRSDDEEDEDYSKDDLLGIIDQMSKGYKRTTKKCKILEQELIAKSNENDALMEELVALKKSKECKGIEQELKALRKSFDELEASRECLKEDHEDLEVAHTRLKEAHSSLLELIKEKDLKLEKLMKEAKEEQVIVTCDIGLTCDIIDDSLFVGPTNASCSSSSSTTTNSTSTTSDSSLVVENETLKREVDDLTRALGNAYGGDARLLKCLGSQRFSLNKEGLGYTPKKGKAAFATPKSRFVKSNGRYCNRCKQVGHLEINCNKMNKNNNKFHAKMDYIPFDSCYVLTKGEKGVHARFVGTPIVGPKKKAIWVPKSLVTNLQGPKQGDVKALGRIAISNDHSISNVLLVEKLNFNLLSVAQLCDLGFKCIFGVDDVEIIHMGILSWDKSDVFDIFKKFIKRVQNEFETTIKKVRSDNGSEFRNTRVDELCEELGIKHQFSAKYTPQSNGLVERKNRTLIDMARSMLNEYNQPPLLPQVLEKTPYEILNGRKPNIAYFRVFGCKCYILKKGTRLSKFEKKCDEGFLLGYSTTSKAYRVWNLASGLLEEVHDVEFDETNGSQDEENNLDDVRGTQLTNAMKEMDIGDIRPKQVIDVDDNKDQVLQ >Sspon.04G0010250-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:30785039:30787037:1 gene:Sspon.04G0010250-1T transcript:Sspon.04G0010250-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKNEELSTNIATVRRGYYGLIDTDIKLKILRELVEEAIQTSAIREILSDRVDQKQVLNATKRENTRKDKQEQNLNTEIAMKKEENQTDAVQGGHEGVDELVRGKENDKSNISRSRTEGKQHLVRHLETEIEKLSIRSSPLGKDRQYNRYWFFKREGRLFVESADSREWGYYSTKEEVTDDLVLDALMGSLNVKGIRERALKRQLEKFYSKISNALEKRSKDIANKMLLEEGVLRRSTRVRANPKDSPSMAFLKYVNKWKDN >Sspon.01G0048720-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1B:110153028:110153996:-1 gene:Sspon.01G0048720-1B transcript:Sspon.01G0048720-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding QGSISISMSLHHTTFCFVCCHLTSG >Sspon.07G0016330-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:63611162:63614413:-1 gene:Sspon.07G0016330-1P transcript:Sspon.07G0016330-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLPPPPAFLPFLLLLSLLLLLPASTISTTQTNATLPSPPLFPPPPPPAPRPPHRHHHTASPRARLTPPPPPSLPPPPPYPTPTPVAVPPAQAPPPTPPPAPPVTTSPTPKYPSSSATPSTGDPYPFTNNPFFPTANSPPPPTPAAETQPSGDGGLPTFPANISTLVAPTPRSSGSRRFPVLQALLLSLLSLCLLLLSALLSIHLVRRLRHRGGGRSGAAASSASAAHRRAASNHDDDDDGDEEGRSLKPPPMPTSSTNPSTEFLYLGTLATPPPSGPQHPGTSSNLRPGSPELRPLPPLPRVGPPSGEFASRSSASDPSTVPPAAAADASSSSLSPSSPSASSPTLGSSPVHFRPPSIPQPRGRAPNPSPPKRRPSPPKGAAEPVAAHAWNPFVPLPPRPAVASSHDGDSDQMMDLRKSRPLHSDKLKPGSLHMKDEVIQLYLNNSAAVAAPREVCLLGALRCHGIGMIVGALGVSKEQLRDALLEGNAHGLGVEALRMLTQMVLSNEEELKLKYFKDDSLTRLCPVDAFLKAMLDVPFAFKRVDAMLYIASFYLEINQLRMSYATLEGACQEMRSSRLFHKVLEAVVNFGNFMNTNSGLEPNTVLKIADVKGADGKAALMQFLVQEIVKPEGYNVMEDGSGTCKMNASILQYDAECRKHGLEVVTKLAAELSNTKKAASIDITRLSQSVSELGMGLGKVHDVVRLNSVVTSAESARRFHNSMSTFLRHAEEEILKLQSQESICLSSVKEMAEWFIGGESGNDEAHMFRIFAGVREFLAMLDRICKEAGEVNSNNWVGATTASWMAAPMGMTP >Sspon.01G0053760-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:42990635:42994737:1 gene:Sspon.01G0053760-2D transcript:Sspon.01G0053760-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDSATPPRLRRFVDPPRRRAAPCRRQPPAEQIAAHRNSSPSRLVVLPPSLGSPAAQQRWSPAPPRRQVPALHAVEVNMLKSILSQSWKRGAHMLCEGKSAPALLTCWSQFHSGQALSSSRSFFGVEDFVDEDNSRPYTYKKEKRSKNPHKHISFKQRTIAYMEPFTLDVFISKRFVSASLTHRSTCRQVAVAGTNSKDIKAVLQSRSDILASLAVGPFLVERAKEADVYTCTYTPREWDKFEGKIRAVVQSLIDNGINVKLYLD >Sspon.03G0032270-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3C:44569056:44570024:-1 gene:Sspon.03G0032270-2C transcript:Sspon.03G0032270-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding EAIAKHHAHPSHNQIPLTTDISNNRGAQKETKELTSDTAPRPKNDNSNRAGDKEQPIPMNNNGESVGPAKPTGKFRFSIVYGVSNNPLLVGHCTVNYHYQATDMDHLLRRIEQGFRSSAQETQSQPNVSQPARCLERITVSAASGLL >Sspon.05G0038140-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:33844274:33846948:1 gene:Sspon.05G0038140-1D transcript:Sspon.05G0038140-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPLVLPFTILLAGVLLLSGPALAEVETAVELGEVVLTLDAGNFSEVVAKHQFIVVEFYAPCRALSQLLAKANGVMVTYPQYEKAAAVLRNHDPPLVLAKVDAYDERNKEIKDKYQIIENGGKDVRGYGGPRDADGIVEYLKKQVGPASMELSSAEAAQSSIGDKGVVLVGVFPEFAGVEYENFMAVAEKKRSDYDFFHTSDASILPRGDQAIKGPVVRLFKPFDELFVDSQDFDTDALEKFIEVSGFPSVVTFDADPTNHKFLERYYSTPSAKAMLFLNFSDDRIETFKSQIQEAAKKFSANNISFLIGDVESADRAFQMPNKLSCKWFQYFGLKENDVPLLFVIAQGGKYLNPTIDPDQVIPWLKEYIVEAFSTLLCFLYGNLTPYVKSEPIPKVNDQPVKVVVADSIDDIVFNSGKNVLLEFYAPWCGHCRKLAPILEEVAVALQDDEEVIIAKMDGTANDIPTDLAVEGYPTIYFYSTTGDLYNYNGGRTAEDIISFIKKNKGPRAGAMDEVTQTGAGAVEEGITSSSPSGLPKDEL >Sspon.01G0052090-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:19940206:19941851:1 gene:Sspon.01G0052090-1P transcript:Sspon.01G0052090-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ASGIRIRQAADNAQRASGSIDCCSLINQHTKEVRVGKASPNRGHGRGVEAKGEHGDGQTTGKRRGGTAAIRRRRGHAPRFLLGFFSSTPRLSAASLPRTFPSPRAAAGSSSSSSEMANAASGMAVDDDCKHKLLELKAKRTYRFVVFKVEEKQKQVVVDKLGEPNLTYDDFAATLLATSAGTASTTSTSSPDTAKIRSKMLYASSKERFKWELDGIQVELQATDPTEMGIDVIRGRAN >Sspon.08G0007150-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:22335413:22338865:1 gene:Sspon.08G0007150-1A transcript:Sspon.08G0007150-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARFDMPFRRFFEHPKHAHPAFLSPVPFELLGLNQPASCDVHAPAESRPKRAPARATGRRCAASHHEQNQEDARRAVPGLPIIGNLHQLKEKKPHQTFSRWSEEYGPIYTIRTGASSAVVVNSAEIAKEAMVAKYTSISTRKLSKAISVISRGKKIVALSDEGEFHKMVKRHIMVSMLGASAQTQFRGTRDTMIDNMLSTFHTLVADDPETPLNFRDALSEDVSSVYVEEFGKAFSRKEIFQTAVTDMMMCAIEVDWRDFFPYLSWIPNRSFEERVLTTEARRTAVMQALINQQKKRIACGETRISYLDFLLAENTLTDEQLLMLVWEALVEAADTTLVTTEWAMYEIAKHPEKQEYLYQEIQKVCGNKTVTEDHLPELPYLNAVFHETLRRHSPVPLVPPRFVHENTNLAGYEVPAGTEMIINLYGCNMNKSDWAEPEEWKPERFLDGRYEAADMYKTMAFGAGRRACAGSRQAMNISCAAIARFVQEFTWRLKEGDEDTADTIQITTNRLYPLHVYLTPRGRK >Sspon.02G0050710-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:59447855:59449259:-1 gene:Sspon.02G0050710-2D transcript:Sspon.02G0050710-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTKMKKGILKPFRYISNMMDGKEPEMQIGFPTDVKHVAHIGWDGPGSNNNNNNNNAGGAPSWMKDYHSAPLDSSSFRSESGGTAAANPWASQEIVMDGGSSIGDTSFRDTKSEAGGADIGGGDSPPSPGTRRSRRHRSRGSATSSMDVTGAEGAEEKKKEKGKKGTRKNRKKDKSAGDDASATCQDLPAVPKKSNRRKNKGSSEGNGGAAAKDATTAAPEEGAAATTPPAVDDD >Sspon.07G0032190-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:46805127:46806274:-1 gene:Sspon.07G0032190-1C transcript:Sspon.07G0032190-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKRMDLSEKMSMENNAANQESNGEMFVHRVARSGLVLKFKQVDKYGTLPSKHTISSQEGMMSGGKQNVRELLDNFEANCSSNAIPLLLHTCNECGKCFKEISSLHGHKGVHRREEKKRMRMMMADQQPITVEASNVVSSPKPREKKKDEMKTRFAARALEMLSMEFKQHRPDFLSASMTYSNKHISADEETDEMREVAEILPTKNSLLEDGGAGSSGGGRERCTWKHVCDKCNKKFPNGKVLGGHKRRHWWEEHYYKMALLEDRNVKPRLPILPHRESERSGLVVVPTDEKIQPAGTELVVAAHTVPLACDDVQQPQQAMSLGNETELPVPS >Sspon.06G0004740-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:12776809:12779827:-1 gene:Sspon.06G0004740-4D transcript:Sspon.06G0004740-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSCYDVAASMLLCAEEHSSILCFEEEEEEEELEAVGRKRGRSPDYGDDFGVDLFPPQSEECVAGLVEKEPEHMPRADYGERLRGGGGDGVDLCVRREAIDWIWKVYTYYSFRPLTAYLAVNYLDRFLSRYELPEGKDWMTQLLSVTCVSLAAKMEETAVPQSLDLQVGDVRYVFEAKTIQRMELLVLSALNWRMQAVTPFSYMDYFINKLNGGNAAPRSWLSQSAELILCAARGTCCIGFRPSEIAAAVAAAVVVGEGNVAGIENACAHVDKERVLRCQDAIQSMASSAIDTVPPPKSASGRTSSPVPVPQSPVGVLDAGCLSYKSEDDAAAAAATVAASHGASGSSSSSPLVTSKRRKLTSRRVDCSDRSKCVPNDQSEL >Sspon.02G0046280-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:10607401:10609480:-1 gene:Sspon.02G0046280-1C transcript:Sspon.02G0046280-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLTFPYFLFFAQVPGSPRVFFSSRVFKFKPRSAKEVRLRHTVGEGKERQGGAAGKMFDDQDLGFFANFLGIFIFVLVIAYHFVMADPKYEGN >Sspon.02G0009750-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:26179909:26182067:1 gene:Sspon.02G0009750-2B transcript:Sspon.02G0009750-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIGGSEAGGGACAAGSRRSVLVTGGAGFIGTHTVLRLLEQGYGVTVVDNFHNSVPEALDRVRLIAGPALSARLDFIRGDLRSTEDLEKVFAARRYDAVIHFAGLKAVGESVAHPEMYYENNLVGTINLYKTMKEHGCKKLVFSSSATVYGWPEVIPCVEDSKLQAANPYGRTKLILEDMARDYHRADPEWSIVLLRYFNPIGAHSSGEIGEDPKGIPNNLLPYIQQVAVGRLPQLNVYGHDYPTRDGTAIRDYIHVVDLADGHIAALNKLFDAPDIGCVAYNLGTGRGTSVLEMVAAFKKASGKEIPTKLCPRRPGDATEVYASTEKAERELGWRAQYGIEEMCRDQWNWAKKNPYGYCGTAEK >Sspon.07G0020090-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:71922151:71922777:-1 gene:Sspon.07G0020090-1P transcript:Sspon.07G0020090-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTTLLFSPSSSLFLTKPSPVAKGRTAVADVRCSSGPLLSVGHEEEGEREGVAVVGRRRALASAAAAVCGAPVLGFAGLGLAATQGLLAGRIPGLSEPDENGWRTYRRPDDKSRGHGVGWSPIIPYSFK >Sspon.08G0022820-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8C:52511546:52514678:1 gene:Sspon.08G0022820-2C transcript:Sspon.08G0022820-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSELVLLWEGGGGAGGVGGGGETRGGPGGG >Sspon.04G0008930-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:22704407:22711005:-1 gene:Sspon.04G0008930-2B transcript:Sspon.04G0008930-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Embryo defective 2423 [Source:Projected from Arabidopsis thaliana (AT3G48470) UniProtKB/TrEMBL;Acc:F4JF17] MASTRSSSSPSRGAGDGKKRLEALALDRVAEAADAVASAACAGEVVRAIHAVASLLFPVDSEAVAGTVEEPFRSQWLPKINVSARKEIYDSFFVKGPPTEVIQALVPALSEKEVSKEDHRTFFSNLESQLLAGAEAATIELAADKEANEHCVLSSMFHFVGEALSRVCRRGSTGILVAELIPKIRNHLHRCVPPDHRAISPEMIQHVCQSQFWFNMVEAMRDQHSIERLTEELLRQLASQHISDEEAYWILWTLFNQSFKRRLESPIDLIRKMASAVALTFSKVVDPKNPLYLDDNCCEIVDWDFGVLSPKEIKAPLDAVESKTKPKARENKRNAIEKKAKAIKHDIPDVRAKIVEINSIDHDQMYDTATNGQFEEEECDEESMNMDAYSDSSLEPYDLSDDDTDLQKKFSHLSDLAAALRKPDDPDGVENALNYAEKLVRASPDELRYNSGDLVRALVHVRCSDVAMEGEEDSAEEKRQKALVALLVTCPFESLDVLTKLLYSFSVDIGQRILIIDAMTEAAQELAETKTVKTEQRRGNLITDTSPSWLVPSNIGPPGAGPWREVSEPGTLLSWSHRYEREVPSKSGQLKSGKSRKWGLGKAKDLQVEWSKNRFPLYAAAFMLPVMQGYDKRSHGVDLLNRDFVVLGKLIYMLGVCMKSMAMHPEASALAPALLDMIRSRDVSRHAEAYVRRSALFAASCILISLHPSYVASSLIEGNQDISTGLEWIRTWALQVAEADPDTECTSMAMTCLRLHSEMALQTSRALESADHSKTGRAIPSKLDSIILPFGNMM >Sspon.05G0017680-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:66734850:66737475:-1 gene:Sspon.05G0017680-2B transcript:Sspon.05G0017680-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIVEDRQLQHAAGREAAKAVAALPTKLELERGDTGGAVNRGTEEEGSYCLIKSVGHLSNHGFTKLPDRYVLPEPDRPGDGLGRVKLPVVDLARLRDPAHRASELETLDAACRHSGFFQVVNHGVARELIDGLLDVARRFFELPLPRRARYMSPDVRAPVRYGTSFNQAKDAVLFWRDFLKLGCQPLHAIVASWPDEPADLREVAARYAMANHELFMELMEAALEALGIPCGHSESLLGELEAGYSQIMLNCYPACPQPDLTLGLPPHSDYCLFTLLLQDQVQGLQILHHGHWLTVNAVPGSIIANVGDHLEIYSNGLYKSKLHRVRVNSTQARISAASFHSVPAERMIGPAAELVDEGNPRRYKDTDYATFLNFLASTEGMHKTFLQSRK >Sspon.01G0015860-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:48950243:48957460:1 gene:Sspon.01G0015860-1T transcript:Sspon.01G0015860-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:U1SNRNP [Source:Projected from Arabidopsis thaliana (AT3G50670) UniProtKB/TrEMBL;Acc:A0A178VDW4] MCKVRLVTEKDTSKPRGYAFIEYVHTRDMKNAYKQADGRKLDNRRVLVDVERGRTVPNWRPRRLGGGLGSSRMGGADADNKDSTREQQHGGRPRSEEPRRDDRRADRDREKSRERVRERDREERTRERSHDRTRDRDSRDEKHHHRDRERTRDRERGKDRERDHGRDRDRDRRDRDRDRGRDYDRERDHARSHDRHRERGRDRGERDYERTSHERDRGHMHERDADYGNGGTKHGKNLSSYRQDYGSGQYEQHKGHDTYGYGQDGRGHETEHSKRHEHEYYRVDSYGKMEANYQMQPNNAEPEGPEEGEAYEEGDYQYHQA >Sspon.06G0014760-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:61452212:61453630:-1 gene:Sspon.06G0014760-3D transcript:Sspon.06G0014760-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALQAPSSLLRAPAPAPLPRSSFSAPCSLRLPAPARRRVARAATAARITMRVASKQSYICRDCGYIYNDRTPFDKLADNYFCPVCGAPKRRFRPYEPAVAKNANSTDVRKARKEQLKKEESLGQALPIAIVAGIIALAGLYFYLNNVYS >Sspon.06G0002290-3C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6C:5801335:5804054:1 gene:Sspon.06G0002290-3C transcript:Sspon.06G0002290-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALAGAASSSTLPVRHPPSRVAAQSLALRPSRCGPLRAAGAGGGSGKDDAPAAPAANGSPVLKLKSDSSQNGVLPITADKSQKASSTSVTTDSSGSRAGLFRTPISGGVQSATFAHGLPPPALAVRNLMEQVPGWSGLSNARVTIFGDVYPLPAEQQEWAHKQYVAKHQQWASQQWGNFYYYRMHNISDIYFIGGFGTVAWIDVKEYETIQPDKIAVDGGDLQSLKELNAIFSKPLREVLSSEGEVDDAALISVDSKGIDIRVRQGAQFNIQRLAFDVPHKVETLEEAKKALHKIIKDKQQIK >Sspon.04G0012700-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:46325507:46330048:1 gene:Sspon.04G0012700-1P transcript:Sspon.04G0012700-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGLWLLSRAVGRLRPLLVQGPRRGFSASAPEQLHVCVVGSGPAGFYTADRVDIIDRLPTPFGLVRSGVAPDHPETKIVVNQFSRVAANGRCSFFGNVTLGRDISLSELRKTYHAVVLAYGAESDRSLGIPGEDLKGIHSAREFVWWYNGHPDMCDLCPDLKNTESAVVLGQGNVALDVARILLRCKAELATTDITDYALDALHSSTIRKVYLVGRRGPVQAACTAKELREILGLKNVHICIKEADLVTTPADEEEMRNSRIQRRVYELLSKAASAHGGNNYNDQKELHFVFFRRPTRFIPAENGSTIGAVQLEKTVLKGDEVTGKQVAVGTGEFEDLKCGLVLKSIGYKSLPVQGLPFDKNRGVVPNLRGRVLSIESETATVERGLYVVGWLKRGPTGIVATNLHCAEETVASILEDDKKGVLRTPSDSKKQGRTGLLEILEQKNVRFVPFSGWEKIDSMEKMAGQLRNKPREKITTWDGLQKAANE >Sspon.05G0001420-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:4583300:4592568:-1 gene:Sspon.05G0001420-1A transcript:Sspon.05G0001420-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinesin-like calmodulin-binding protein (ZWICHEL) [Source:Projected from Arabidopsis thaliana (AT5G65930) UniProtKB/TrEMBL;Acc:F4JXM5] MAAGGVGPAQHQAPNGASGSVNNGGAAAATPLHGSAASTANGAADGYDSDGYSFAPPTPSTLSMSIPPELAGAIPLIDRFQVEGFLKAMQKQIHSAGKRGFFSKKSVGPQAREKFTLEDMLCFQKDPIPTSLLKISSDLVSRSIKLFHVILKYMGIDSPAIISLEERIELVAKLYKHTLKRSELRDELFAQISKQTRNNPDRGWSIRAWELMYLCASSMPPSKDIGAYLSEYVHCVAHGATTDSDVRVLALNTLNALKRSVKAGPRVTNPAREEIEALLTSRKLTTIVFFLDETFEEITYDMATTVADAVEELAGIIKLSVYSSFSLFECRKVVNGSKSSEVGNEEYIGLDDNKYIGDLLSEFKSAKDRNKGEILHCKLVFKKRLFRESDEAVTDPMFVQLSYVQDCQFVCYCYSSNLVSRIDLTNNIVLQLQHDYILGNYPVGRDDASQLSALQILVEIGYIDNPESCVEWISLLERFLPRQVAITRPKRDWELDIISRFQLMEHLSKDDARQQFLRILRTLPYGNSVFFSVRKIDDPIGLLPGKIILGINKRGVHFFRPVPKEYLHSAELRDIMQFGSSNTAVFFKMRVAGVLHIFQFETKQGEEICVALQTHINDVMLRRYSKARSANSVTSQNDVNQAYKPPNIEMYEKRVQELTKTVEESQKKADRLQEDLQLKTKQETEMQEELEGLRDTLQSERQSSKEVKNELVKLKSLCDEKESALQAALMEKSRLETRLTSGQGHERDTLTTVGSVNSDIEMLTKLKEELKSYQKELDASKEVSKKLMLEKNLLDQKVQRLERIKNEEKSTMEKVYADECRKLKSQIAELEQKLEVATRSLNVAESNLAVSNAEVDGLQNSLKELDELREFKADVDRKNQQTAEILKRQGAQLVELENLYKQEQVLRKRYYNTIEDMKGKIRVFCRLRPLNDKELSFEEKNIVCSPDEFTIAHPWKDEKSKQHIYDRVFDANTSQEEVFEDTKYLVQSAFDGYNVCIFAYGQTGSGKTFTIYGSDNNPGLTPRATSELFRVIKRDGNKYSFSLKAYMVELYQDNLVDLLLPRNAKQLKLEIKKDTKGVVTVENATVVSISSIEELRAIITRGSERRHTAGTNMNDESSRSHLILSIIIESTNLQTQSYARGKLSFVDLAGSERVKKSGSAGKQLKEAQSINKSLSALADVIGALSSDGQHIPYRNHKLTMLMSDSLGGNAKTLMFVNVSPAESNLEETYNSLMYASRVRCIVNDTSKHVAPKEIMRLKKLIAYWKEQAGKRSDGDELEEIQEERISRERADNRLTG >Sspon.01G0014320-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:40889183:40891031:1 gene:Sspon.01G0014320-2C transcript:Sspon.01G0014320-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding YMQIAARKRVPVIGGVKKPRRYRPGTVALSEIRKYQKGTELLIRKMPFQRLVREIAQLHQSDLRFQSHAILALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDVHLATRIRGERH >Sspon.03G0007960-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:26479996:26485431:-1 gene:Sspon.03G0007960-3D transcript:Sspon.03G0007960-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPRVGNKFRLGRKIGSGSFGEIYLGTNIQTNEEVAIKLVGLTIFVLTAGIPNVRWFGVEGDYNVLVMDLLGPSLEDLFNFCSRKLSLKTVLMLADQMINRVEFVHSKSFLHRDIKPDNFLMGLGRRANQVGVNTIFSMENKNLTGTARYASVNTHLGIAFLGKVLKQEQRSKSMRRISEKKVATSIEGHGAGPSGLAPALQNDRQSGPEEARTSGWSSMDRRRAPLPITSVGALSKQKAPVGNDATVSRDAVISGPNFLGRSSGSSRRPVISSSRDVVATDSSEPLRARTTDASPGAFRRASGPQRSSPVHSAEQKRSSSGRHPSNVKNYDSALKGIEGLNFDGDERVQY >Sspon.04G0006610-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:18468748:18469968:1 gene:Sspon.04G0006610-3C transcript:Sspon.04G0006610-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARHAKTDSDVTSLAASTPPRSPRGRPAYYVLSPAASHPDVHLGGASGVVAGTTPAESPLHYHFHHHHRQSSGAGGGGGMHHSRESSTGRLLFSDQLRSGGDVAAVVSVPWRRLGHGGSGAGSVGDDDDDDASLMRGGGFAESPWRCYALGAFAFVAVFAFFLLVLWGASRSYKPHVVVKSVVFESYHIQGGTDRTGVPTKMMSVNATVRLRFRNRGTFFSLHVTATPFLLFYGDLTVASGDVRTISI >Sspon.01G0043830-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:72708675:72712560:1 gene:Sspon.01G0043830-2C transcript:Sspon.01G0043830-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQARRCPFPSRSTACARKSTHCIDRQPAAPARAASSKSQDHHVLTAPASGPPRPPHRTNGYGHAALRSATRPMPTPPPAHARRLLAAPLLAILALAAVAANANAATPPSPADALLAWKLSLGDPAALSTWTNATQVSICTTWRGVACDAAGRVVSLRLPGLGLTGGLDALDPAAFPSLTSLDLKDNNLSGAIPESLSQLRALATIDLASNGLNGTIPPQLGALTGLVELRLYNNNLVGDIPYQLSRLPKIVQLDLGSNDLTSAPLSPMPTVEFLSLSLNYLNGSFPEFVLKSGNVTYLDLSQNGFSGPIPDALPERLPNLQWLNLSANAFSGRIPASLARLTRLRDLHLGGNSLTGGVPDFLGSMSQLRVLELGSNQLGGPLPPDLGRLKMLQRLDVKNASLVSTLPPELGSLSNLTFVDLSTNHLSGGLPASFAGMQRMREFGISSNNLTGEIPGQLFTSWPELISFQAQTNSLTGTIPPEVGKATKLLILYLFNNNLAGGIPSELGGLVNLAELDLSVNSLSGPIPSSFGNLKQLTRLALFFNELTGKIPPEIGNMTALQILDVNTNDLEGELPSTISLLRNLQYLSVFDNNMSGTVPPDLGAGLALTDVSFANNSFSGELPQRLCDGFALNNFTANHNNFSGKLPPCLKNCSELYRVRLEGNHFTGDISEVFGVHPSMDYLDISGNKLTGRLSDDWGQCTKITRLKMDGNNISGAIPAAFGNMTSLQDLSLAANSLAGAFPPELGNLNFLFNLNLSHNSFSGPIPTSLGNNSKLQKLDLSGNMLNGTIPVGIDNLGSLTYLDLSKNELSGQIPSELGNLFQLQTLLDLSSNSLSGPIPSNLVKLANLQKLNLSHNELNGSIPASFSRMSSLEIVDFSYNHLTGEIPSGNAFQNSSAEAYIGNLGLCGNVQGIPSCDRSSASGHHKRTVIVIVFSVVGAVLLAGIVACLILACRRRPREQKVLEASTNDPYESVIWEKEGKFTFLDIVNATDSFNEFFCIGKGGFGSVYKAELPSGQVVAVKRFHVAETGDISEVSRKSFENEIKALTEVRHRNIVKLHGFCTSGDYMYLVYEYLERGSLGKTMYGEEGKKKLDWSMRVKVVQGVAHALAYLHHDCSQPIVHRDITVNNILLESEFEPRLILVTS >Sspon.02G0027100-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:98453479:98455146:1 gene:Sspon.02G0027100-1A transcript:Sspon.02G0027100-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKDGKHDVPATTAAPPPAGAPKPAAPAPPAESARWGTRQMGPPAAPGAHPENQEAARWTAARGDQELPPYVIMGEPVAAPPQQQRGKGDSPMEHILDFFNTWSRKAEELASNIWFNRKFSQILLSLGAKALSEGGFDKLYKQTFSSSPEEHLKKTFACYLSTATGPVAGTLYLTNMNVAFCSDRPLSFTAPSGQTAWSYYKVMIPLGKIATVEPVTMKENPPEKYVHIVTVDSHDFWFMGFVSYDKAVHNLVEAVSQRSQSQHGVGVATAGS >Sspon.04G0036060-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4D:22267885:22268238:-1 gene:Sspon.04G0036060-1D transcript:Sspon.04G0036060-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWLRRQRSVGAHPRAAAARGWRRVCSAVTRLQLGGARLGAARRVHVALASTMTTLRLAQAHWAAVLIVSARHGCEAVVSCLGRDADTAALCGTARQHRRAQSCRASPWLGGPYGHA >Sspon.02G0014660-3T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:33018041:33019813:-1 gene:Sspon.02G0014660-3T transcript:Sspon.02G0014660-3T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding KYIDQLASVIPIANGTVRTALDTGCGVASWGAYLLKRNVLAMSFAPRDSHEAQVQFALERGVPAVIGVLGTIKLPYPSRAFDMAHCSRCLIPWGANDGMYMMEVDRVLRPGGYWVLSGPPINWKVNYKGWQRTKKDLEAEQNRIEEIADLLCWEKISEKGEMAIWRKRVNTESCPSRQEESTVQMCESTNPDDVWYKKMKTCVTPLPDVKDESEVAGGAIKPFPARLNAVPPRIANGLIPGVSSEAFQKDNKMWKKHVKAYSSVNKYLLTGRYRNIMDMNAGLGGFAAAIESPKSWVMNVVPTIAKIPTLGAVYERGLIGIYHDWCEAFSTYPRTYDLIHASSLFTLYKNKCSMEDILLEMDRILRPEGAVIIRDDVDVLTKVNSLALGMRWNTKMVDHEDGPLVREKILYAVKQYWVGGNQTAIA >Sspon.05G0018960-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:80869688:80874696:-1 gene:Sspon.05G0018960-1A transcript:Sspon.05G0018960-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGDALRRLCEEIGWSYAVFWKAIGAADPVHLVREDGYCGHTSCPVGSEPSESLPSDAAGCSVPAADTICSLVNNVMASQVHVVGQGTVGRAAFSGNHQWIVHGTATGHGLSSEVAAEMNNQFRVGIQTIAIIPVLPRGVLQLGSTGLVMENTNFVMFAKKLCSQLNNRSSMAVSASAKNGSSQHCQSRPDTATVSTSTPPHALLNASLLKVVQQNGHPVREHTVYAEPDLRFIQQASFCESQFGRNLRSVGMNSSLTSPSLTSVKNQSLLMNNIGQLQLNNNAHSSADLAMARDIILKSLVRQDSSVCENTNMNMHHGRYVVSNDINGPGNFDFLPVGTRASRANLSTSVSSQILDHASGTLQQKQSLVPFKIPQSSELAKKMENPESRPFQTPSVRTSESDGQVSNSFNMDQENLLSRSNNVRQDQKINRFSDPSANVSTQRIKNRDGCEAGMPIERASSLLVEPAADNDLFDMFGSEFHQFSHNVGADLVTWSGTESQNSDRDVPESSIYLNSSPLFSSLDNELHYSGILSLTDTDQLLDAVISNVNPSGKQCTDDSASCKTALTDVPSTSHLGSVDLKRCESSGMPSMLIKHELAHLLNSHASLINLRRVVFPKIMECTNLRYVFGLRVNMKCESASASNSKGLDTPSKANRKRSRQGESPKPRPKDRQLIQDRIKELRELVPNGAKCSIDGLLEKTVKHMLFLQSVTKNADKLKDSTESKILGSENGPLWKDYFEGGATWAFDVGSQSMTCPIIVEDLDRPRQMLVEMLCEDRGIFLEIADFIKGLGLTILRGVMEMRKSKIWARFTVEANRDVTRMEIFLSLVRLLEPNCDGSGAAENANNMNMPLGLVCQPVIPATGRIQ >Sspon.02G0031900-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:116643738:116647069:-1 gene:Sspon.02G0031900-1A transcript:Sspon.02G0031900-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQTVVLKVGMSCQGCVGAVKRILGKMEGVESFDVDIKEQKVTVKGNVTPDAVLQTVSKTGKKTEFWEAEPVTSESAAAPADATTA >Sspon.05G0033460-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5C:33363070:33363804:1 gene:Sspon.05G0033460-1C transcript:Sspon.05G0033460-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GQCCRVGAPWRLYAGRGPTGQAERVSRAPRRRTHPHSRLCPSLSPSPSQGSAPRLHCYRVLPRARALSSLCHGPIPSVSGTPTPSSTRSTPSLDRLSKGEAALVTSVTAAISGTSPEYMLPGPLVKLIPSLDEALWPRRRRRTATGTPSSALTGREYSPTTSRVTVAPHSVRDHAVSMTVVSVSPADPLAASSAVTVAPLLLVSLTRGARSVNGRVREQESEAGR >Sspon.02G0035920-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:22244932:22246959:-1 gene:Sspon.02G0035920-1B transcript:Sspon.02G0035920-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKRNRPQYHPFTQQQLPACKPILAPQTVIPVLLFVGIVFILIGLGCIAASNRVVEVVYQYETSCIPGYMLDNKVAYIQNPSIDKTCTRILKVPKDMKHPIYIYYQLDKFYQNHRRYVKSRNNKQLINPKEANNTQYCKPEATEHGSPVVPCGLVAWSLFNDTYSFARGNKALRVHKRGISWTSEREHIFGKQVFPRNFQKGALIGGGTLDPRIPVSTSGACYWKAGLLVSIIYCLKLSRDALRIRQLSEQEDLIVWMRTAALPMFRKLYGRIQVDLRAGELITVTMQNNYNTYSFGGKKALVLSTAGVLGGKNSFLGRGYVIVGLACLLLALLLTMLCLVFPLKEEHLALEYPLPRPAR >Sspon.06G0012350-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:48869375:48873910:-1 gene:Sspon.06G0012350-3D transcript:Sspon.06G0012350-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLCRSAAAAAAATRSVALRSRSSMKRPFLAATSPVAPPRIRRPLVAAALASLESLMPLHSAVASARLRSCIAAGSACWSCLSQERYVTECCVGITTNHAPDVILQSRVKSVVRHIICHHGLPILDTIVRNDNAHHSINDIIKVLRLCNYMRPQD >Sspon.03G0021890-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:66654388:66657320:-1 gene:Sspon.03G0021890-1A transcript:Sspon.03G0021890-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTRDRGAGGGSASRVGDGDVDLGEGWDWGAIPRLLSSACLFLCSGWVRFAHVPLLPSFLARLSFPHLVNRVRSAPPGTEPTVGGRRGCAAATAERNSYFWQGRGCGCWQIEDSFCGNRLGRSGLKLEVYGRSWNAAYESLLGSNKPFPQPIPLHEMVDFLVDIWEQEGLYD >Sspon.05G0009410-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:22335029:22337893:1 gene:Sspon.05G0009410-2B transcript:Sspon.05G0009410-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVRLPGASKPATAMATAALSLPPLPHRTPPPVAPSVSPPNSASASLKRLCKEGDLRQALRLLTARAPPAREHYGWVLDLVAARRAAAEGRQLHAHALATGSLDEDDDGFLATKLVFMYGRCGRVDDARRLFNGMPARTVFSWNALVGAYLSSGSAGEAMRVYGAMRASAAPGSAPDGCTLASVLKACGAEGNGRCGGEVHGLAVKVGLGRSTLVANALIGMYAKCGLLDSALRVFEWLQDARDVASWNSVISGCVQNGRALEALALFRGMQSAGFCMNSYTAVAVLQVCAELALLSLGRELHAALLKCGSELNIQFNALVVMYAKCGRVDSALRVFGQIAEKDYISWNSMLSCYVQNGFCAEAIEFFGAMLQHGFQPDHACVVSLSSALGHLSRLNNGREVHAYAIKQRLHTDLQVGNTLMDMYIKCDSIECSAKVFESMSIRDHISWTTILACFAQSSRHSEALEMFLELQKEGIMVDSMMIGSILETCSGLKSTSLLKQVHAYAIRNGLLDLILKNRLIDIYGQCGEFDHSLNLFQRVEKKDIVSWTSMINCCTNSGRLDEAISLFTEMQKANIQPDSVALVSILVAIAGLSSLTKGKQVHGFLIRRNFPIEGPVVSSLVDMYSGCGSMNYAIRVFERAKCKDVVLWTAMINATGMHGHGKQAIDLFKRMLQTGLTPDHVSFLALLYACSHSKLVEEGKHYLDIMTSKYRLKPWQEHYACVVDILGRSGQTEEAYEFIKSMPMDPKSVVWCALLGACRVHKNYDLAVVAANKLLELEPDNPGNCILVSNVFAEMGKWDNVKEVRTRMAERGLRKNPACSWIEIGNNIHTFISRDYCHRDSEAIHLKLSEITEKLQKEGGYVEDTRFVLHDVSKKEKIDMLHKHSERLAIAFGLISTRPGMPIRIAKNLRVCGDCHEFTKLVSKLFEREIVVRDANRFHHFSGGSCSCEDFW >Sspon.01G0009180-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1A:26241701:26246768:1 gene:Sspon.01G0009180-1A transcript:Sspon.01G0009180-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SYQSLCERYPSFRERSENVDLVVEISLQPWKVFKPDGVILFSDILTPLPGMNIPFDIVKGKGPVIYDPLRTAAAVNEVREFVPEEWVPYVGQALNLLRQESRALGGRRTTAHKMGILQATSSTRVSNLRTSAGKDQEPLTTLGELNHLRCSKPSTVSGNTEDSLLLWTPDRTLRFREGPDAPVARSTSALSLSTQSASLFRFNKMKPASSRKELLHPSLTLCTSMAASARKQSDGFGAMTTTTASFDDMALASLLLLHSAQLSEPLGNEQLLRASERNAAPLISPRELAPRSPSSVDLTTGPRCTVVIDLAMGACPAVTANLAAERHRRGWLLDCLLVKNEAAVLGFVGAPFTLASYCVEGGSSKNFTIIKKMAFSEPAILHNLLQKFTTSMANYIKYQADNGAQAVQIFDSWATELSPADFEEFSLPYLKQIVDSVKETHPNLPLILYASGSGGLLERLPLTGVDVVSLDWTVDMAEGRKRLGSNIAVQGNVDPGVLFGSKEFISKRIYDTVQKAGNVGHVLNLGHGIKVGTPEENVAHFFEVAKGIRY >Sspon.08G0012870-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:52644343:52653003:1 gene:Sspon.08G0012870-3C transcript:Sspon.08G0012870-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLVERLRVRSERRPRYALDESDDDLPLRAVAGKGKDRQNDAPAERIEREDAVSPLTEMERILDIEVLEAPREDSSSTEPRSKKMERYLIKVSEKEYSEAANIHPRLRTRLNNFRRQKEAMKKEAERSGEDIVAIRPEWTTVDRILASRKNSVGEREYYVKWNELTYEECTWENESDISVFQPEIERFNEIQSRRKKSGDKGKATREPRQFKESPTFPSGGTLHPYQLEGLNFLRYSWFHNKRVILGDEMGLGKRCLYCKDKAFGSNVVSGFEKTMTIAGKTIQSIAFLASLFEDKFGPHLVVAPLSTLRNWEREFATWAPQMNVVMYFGAAASRDIIRKHEFYYPKEKLKKLKKKKSSPSNEEKKQSRIRFDVLLTSYEMINMDSNVLKNI >Sspon.08G0012530-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:53689330:53690880:1 gene:Sspon.08G0012530-1A transcript:Sspon.08G0012530-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase (Fragment) [Source:Projected from Arabidopsis thaliana (AT5G17050) UniProtKB/TrEMBL;Acc:W8PUE7] MAPAASSPPPHVAVVAFPFSSHAAVLLSFARALAVAAAPSGATLSFLSTASSLAQLRKAAGGGGGGSAGHGLPGNLHFVEVPDGAPAATEGPVPVPRQMQLFMAAAEAGGVKAGLEAARAAAGGARVSCVVGDAFVWPAADAAAAAGAPWVPVWTAASCALLAHLRTDALREDVGDQAANRADELLITHPGLARYRVRDLPDGVVSGDFNYVISLLLHRMGQRLPRAAGAVALNTFPGLDPPEVTAALAEILPNCLPFGPYHLLLPKDDADTAAPADPHGCLAWLDRHPSAASRTFGTVASPRPDELRELAAGLEASGAPFLWSLREDSWPLLPPGFLDRVAGAGSGLVVPWAPQVPVLRHPSVGAFVTHAGWASVLEGVSSGVPMACRPFFGDQRMNARSVAHVWGFGAAFEAGMTRAGVAAAMEELLRGEEGARMRARAQELQAAVAEAFGPGGACRKNFDEFVQI >Sspon.03G0033190-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:53542764:53543402:1 gene:Sspon.03G0033190-2C transcript:Sspon.03G0033190-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPVARVHLAMAHAALPALLPTPPKWKMLPLLPTPCPCVAAILGLPKPLAKPSRADSDERWDARKTKPASIESSASSSPRSADSVRSSGKNATSPPPKPGRADSVDRWDAHKKAASPASSSSSSTSPLVSSTCTISCASSAERRDVHKKHRPPQAKALDDGESSSTGSNDIDTEEEILWKPRAMYAGPGFVVAAPESSMLPMPTTFLVRVA >Sspon.02G0049500-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2C:39314172:39314447:1 gene:Sspon.02G0049500-1C transcript:Sspon.02G0049500-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPWWSNKLEHCWAKETGVRLHRMWALLHNCCQFERPPLCPNLGSAPRTSKAPGRGRRRATAAEGRESRGQERSLWSVAQLPIRCGGEVAG >Sspon.08G0018940-4P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:12789196:12794264:1 gene:Sspon.08G0018940-4P transcript:Sspon.08G0018940-4P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSSSRPLRQRHHSSPLLILLVSLSVLLTSLPISATADGLPELGGGDGLYREILRDETVLRLNELGKISDGEGYLERTFLSPASIRATAVIINWMKDAGLTTWVDQMGNIHGRFEPTNSTKEALLIGSHMDTVIDAGMYDGSLGIICAISALKVLKVTGKLQRLTRPVEVIAFSDEEGVRFQTTFLGSAAVAGTLPESILQVSDKSGTTVQDVLKMNSFEATSTAISQARYNPESVGSYVEVHLEQGPVLEALRYPLGVVKGIAGQTRLKVIVDGSQGHAGTVPMKLRRDPMVAAAELVVTLERLCKEPNKLLTCDEECSCFTEESLAGLVCTVGELNTWPSASNVIPGQVNFTVDIRAMDDQVRETIVTSFSRLVLQKCDDRLVDCKVEHKHSAAATPCDPELTSQLKRAARSTVSAMPGRTVAAASETPVLMSGAGHDAMAMARLTKIGMLFVRCRGGISHSPEESVMDDDVWAAGLALFNFIDQNVLAVSEEELEAGQNAVAES >Sspon.03G0026980-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:8948316:8952251:1 gene:Sspon.03G0026980-2C transcript:Sspon.03G0026980-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGSVHVNGSVHGGNGTATEERLDELRRLLSKSEGDLLKIVSVGAGAWGSVFAALLQDAYGHFRDKVQIRIWRRPGRTVDRSTAEHLFEVINSREDVLRRLIRRCAYLKYVEARLGDRTLYADEILKDGFCLNMIETPLCPLKVVTNLQEAVWDADIVVNGVPSTETREVFDEISKYWKERISVPVIISLAKGIEASLDPIPRIITPTQMISSATGVPTENILYLGGPNIASEIYNKEYANARICGSNKWRKPLAKFLRQPHFIVWDNSDLVTHEVMGGLKNVYAIGAGMVAALTNESATSKSVYFAHCTSEMIFITHLLTEQPEKLAGPLLADTYVTLLKGRNAWYGQMLAKGELSPDMGDSIKGKGMIQGVSAVGAFFELLSQPSLSVQHPEESKLVAPAELCPILKRLYRILIKRELPARDILQALRDETMNDPRERIEMAQSHAFYRPSLLGKP >Sspon.03G0016550-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:39222820:39228784:1 gene:Sspon.03G0016550-4D transcript:Sspon.03G0016550-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:COP9 signalosome complex subunit 2 [Source:Projected from Arabidopsis thaliana (AT2G26990) UniProtKB/Swiss-Prot;Acc:Q8W207] MGSDADMEDYGFEYSDEEPEEQDVDIENQYYNSKGLVETDPEGALAGFDQVVSMEPEKAEWGFKALKQTVKLYYKLGKYKEMMDAYREMLTYIKSAVTRNYSEKCINNIMDFVSGSASQNFTLLQEFYQTTLKALEEAKNERLWFKTNLKLCKIWFDIGEYGRMSKILKELHKSCQREDGSDDQKKGTQLLEVYAIEIQMYTETKNNKKLKELYQRALSIKSAIPHPRIMGIIRECGGKMHMAERQWAEAATDFFEAFKNYDEAGNPRRIQCLKYLVLANMLMESEVNPFDGQEAKPYKNDPEILAMTNLIAAYQKNDIMEFEKILKSNRRTIMDDPFIRNYIEDLLKNIRTQVLLKLIKPYTRIRIPFISQELNFPEKDVEQLLVSLILDNRIQGHIDQVNKLLERGERSKGMRKYNAIDKWNTQLKSIYQTLSNRVG >Sspon.07G0000740-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:1170709:1176003:-1 gene:Sspon.07G0000740-3C transcript:Sspon.07G0000740-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCDGAVKDQDQDQETAAASTASAASVVAASEASPAPVVVVVVSARPSARSSPHDKRLGVRHPLKHRRFRAGGKMMVEPGGVPPAHAVPEGEEQEEEEEEEEGASEVEEEELVDAEAEQTSSAGTAMQGAEVEVSSAPAARVQEMEVEVEVEVEGGEMEVSPEPAVAVGGTELEPHPDEEDEVSSVAVARGEGKQEATAPPPPASAVLAVEAPRDMDQDGERAEKERRDKERERQKERERVDEVGYMSGGWKSVDGTLNCGYSSFRGKRASMEDFYDIKSSKINDKQINLFGIFDGHGGSRAAEYLKEHLFENLMKHPEFMTNTKLAISETYRKTDSEFLDAEKNTHRDDGSTASTAVMVGDHLYVANVGDSRAVISKAGKAIALSEDHKPNRSDERKRIESAGGIVMWAGTWRVGGVLAMSRAFGNRLLKQFVIADPEIQEQEINDELEFLIIASDGLWDVVPNEDAVSLVKMEEEPEAAARKLTETAFSRGSGDNITCIVVKFQHDKPGIGSGGGHSPSSPPGDKS >Sspon.06G0017730-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:96221804:96225448:-1 gene:Sspon.06G0017730-1A transcript:Sspon.06G0017730-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSTWEKRLVKRYYDKLFKEYCIADMTQYKKGKIGLRWRTEKEVISGKGQFICGNRHCDEKHGLGSYEVNFSYVEAGEQKQALVKLVACKRCAEKLAYKRQKEKEKENELYCEKEINLKDSDKRKRKHEENDDTSEDEDEKYRRKKKDRSGASSRSSGNNDEGFEEYLEGMFP >Sspon.05G0000390-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:1955896:1958481:1 gene:Sspon.05G0000390-1A transcript:Sspon.05G0000390-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGNSPKDARYLPRWASHRKDNASNQGGHGSEGSSRDLLKARLSTSCTVSSSFCCASFFLLLAPRVLILLYRHIDSYSICSRLLLHLLPPPLEIQGGGRMTHPLDHYGFGGGRRNGRGKGSSMRQPFFPEFPIVCAERRFTEKMAWSGREFSSSALVTGTWTGEIGRSVAVIAWVIGNGNHYDFTSADCQVTNLVLWVTISSILRVQVRALLIGSGLTALSFCPPFFPPDLS >Sspon.01G0046910-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:100580342:100591420:1 gene:Sspon.01G0046910-1B transcript:Sspon.01G0046910-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTVLDSHFLALTAIVTVGYQLLFFIITALLRFDKVTDFAGSTNFVIIAILTLALKGAWHFRQIVLTVLVTIWGLRLGLFLLMRILQWGEDRRFDKMRDNLGKLAFFWIFQAVWVWSVSLPVTVVNASDRNPSIEARDIIGWIIWLVGICVEAIADQQKLVFKNSPSNRGKWCDVGLWKYSRHPNYFGEMFLWWGIFVASTPVLSDAEWLVILGPIFLTLLLLFVSGIPLLESSADKRYGRLEEYRVYKNTTSPLIPLPPAVYGSLPAWFKVAFLLELPLYNPGPGGWAAAYTNNSPKTVQLTWRRNLSHGSWQL >Sspon.06G0012210-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:65145845:65150304:-1 gene:Sspon.06G0012210-1A transcript:Sspon.06G0012210-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASKPLPLRLAAPLALALLLALALVANFLWASSSRRVSTLSASSSRTVMRKRAKAVGHLNATYADLLAPHWDWEEMPAAPVPRLDGASVQIGDLLYVFAGYGNIDHVHSHVDVYNFTSNTWAQRFDMPKEMAHSHLGMASDGRYIYAVSGQYGPQCRASINRNFAFDTETREWHELPPLPLPRYAPATQLWRGRLHVMGGGKEDRHEPGLEHWSLAVKDGKALENDWQTEVPIPRGGPHRACVVANDKLFVIGGQEGDFMPKPGSPIFKCVRRHEVVYGDVYMLDDGAKWKQLSPMPKPDSHIEFAWVVVNNSIVIVGGTTEKHPITKKMILVGEVFRFDLEALTWSVIGRMPFRMKTALAGYWEGWLYFTSGQRDRGPDNPAPKKVVGNPPLPEWTPALCYAVHLGSSKFCIVRFFYTGKVYVCPESHHSYKREEDLHAVITGVEVQGCGGGDVQVVRHKSERYKVDVRLYYQLL >Sspon.07G0012960-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:52650194:52656476:-1 gene:Sspon.07G0012960-2B transcript:Sspon.07G0012960-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATEQGPEPLRYQTLALRVSIHCEGCKKKVKKVLHSIEGVYKTDIDTQQQKVVVIGNVSADALVKKLLKSGKHAEPWPEPAAPAPPAAGSPPGPGGSPGSGGGKKKKKKKSNKSPAAATNNKPAEPAPAPAEGNGGPRPPEQHDKADGGASCDEASDGEQDKPEAGGGGNGSPDAGEAHESGASGKVAPSAMTPHGPQPIAPAANGNGGGKKKGKKGGHGNGNGNANANGDGGAGAGAGAIVEVHPPPDAPMKPTAGNSGPLTVVDAGPYPPPPPGAAMSYPGYYAPGGVHPPAYVMSYSSAHPSSALRSSAYYHPMAGAAYTPGAAGGCGGYFYSTAPVSAAPGSYYLFSEENANACSVMKAKQPLTRTACMSLDHSLICNKHCPECGEGNLLKIGPSVSLHTIYSHHLHILGGRCCICFTLSTLIGVFSGRILRVSLPCPSATPKESERELDSLSAVSNWTGTWIPNIHGIIGLFIHGPTVVMCQEMPI >Sspon.02G0028400-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:117258767:117260929:-1 gene:Sspon.02G0028400-3C transcript:Sspon.02G0028400-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSPAASSSSYTDSTGSSSDSGSTSSGSDRRRRHRRHRSSSSRKEGASSSALKARKDRRSRHKRRRREREHSRRRSPSDGDSYRHLICLWPHCISLCDSLGRGSEAKIGIINETRVNTKSFIQKKESEHADGPVQLSKFLGRDKEKEEGPQRSAISGKKIMMKLEKTKEDKAAESKRNELLKFLNASYD >Sspon.02G0041150-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:74250644:74251634:-1 gene:Sspon.02G0041150-1B transcript:Sspon.02G0041150-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRSSCAPRLAAPTLALLCFLSPGVVESAGVFTFINQCKTTIWPAATPGDSFGGGGFALRPGQSAVFKAPAGGWSGRIWGRTGCAFDASGNGTCATGSCGTLLKCGTSGATPVSLAEFTLAADRDFYDVSLVDGFNLPVTVRPVNGRGGNCSAAGCDGDLRETCPPELAVKEGGQTVACRSACDVFDTDQYCCRGRFGSQPTCPPTPYSKRFKDACPTAYSYAYDDASSLFTCSGADYIITFCASRKQQACSYHNNQLVCSDASRRSWPITSKLVLLLLPPLIFSALQFLV >Sspon.03G0035820-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:81671743:81672237:1 gene:Sspon.03G0035820-1P transcript:Sspon.03G0035820-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding PAASTRSPIQKTLAAAAAAAASQVSRSVHPLHRRHEAQPPRHQLPPEVPQGALHGPVLRPPRAHVRGAVDGAPPQVQRALHPDPQGRRGAGRARHLQGSRGQGGAGVPPPLGHPRRADHPREGERLHRQRGHPPLQGHRDQAEARQGPQGAPRPQGPGPQRRQG >Sspon.05G0001570-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:1076802:1080123:1 gene:Sspon.05G0001570-2B transcript:Sspon.05G0001570-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGAGAARKRSRPETANGAVAGGKRSKDTESFQTGQSSKSKPCTKFFSTIGCPFGEGCHFLHFVPGGYPAVAKMLNLGSPAVSAPSRTHVDHAALTGASHPASTGKTRMCTKYNTTEGCKFGDKCHFAHSERELGKPAYMSHEGPPMGGRYGGRPEPLQQASMGPPAGNFGASATAKISVDASLAGGIIGKGGVNTKQISRVTGVKLSIRDHESNPSQKNIELEGNFDQIKQASDMVRDLIATISASMPVKNPSAAAAPAGGRGGGLGGRNNYKTKLCENFVKGACTFGDRCHFAHGETEQRRGAA >Sspon.01G0058520-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:29707645:29710486:-1 gene:Sspon.01G0058520-1D transcript:Sspon.01G0058520-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPGNSWDLRSCKQKSGESLRDYIRRFSSQRTELPNVTDSDVIMAFLSGTACKELVRELGRNTPLTANGLMDIITNFAAGEEAVGAIFGGDQDKGKQKVDDPAGSGRGSKRNNRKKRRTNRASSRPRPTSLLPPLNARNLEGPPAGVSSTKCSKSRVPTTRGPPTTTSRTVTCSVGRGFPEIHDCFMIYGGPSTRLSTRQRKRERREVFSVQPAVPHFLDMSEVAITFDRDDHPDYVPNPGVYPLVVDPIIANTRLTKVLMDGGSSLNIIYAQTLDLLGIERTRLQPSVGGFHRVVPGKRAEPVGRVNLPVCFGTPSNFRKETLTFKVVGFRGTYHAILGRSCYARFMAVPNYAYLKLKMPGPNGVITVGPSYEHAYECDVECVEHEEAVLESATLAADLDGLANEILDPKRHAGSFEPTEDEAVLVDFLRANVDIFAWSPSDMPGIPREVAEHALGIRASSKPVKQRLRRFDEEKRKVIGKEIHKLLEAGFIKEVHHPEWLANPVLVKKKSGK >Sspon.06G0014040-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:56675777:56679132:1 gene:Sspon.06G0014040-3D transcript:Sspon.06G0014040-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDIRLPADILTSVMSRAATGPRAACIAATVSPAFRSAADSDTVWSRFLPADLAPLVYPSPPPRSKKELFLRLSGTHVLLEDGRTSAWLHRETGAKCYMVAASVMHIVWGGSPQYWRWIPRQDSRFPECAELLAVCWLDFSCSIESRMLSENTRYAAYLVFKMDDDCYGLDSPLQVASIHIGQDEISSHPVRLQNNIGNEQNDGAEEGAAPRLPMERPDGWMELEIGEWDNHGGEDRQVCARVRETTYGGNWKKGLILQGVEIRPKS >Sspon.03G0035330-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:77170700:77173588:-1 gene:Sspon.03G0035330-2C transcript:Sspon.03G0035330-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPRPRPPLLLLLLPIPLACSSAADLAALLALKAAVAHDPGGVLSAWSAASATSYCRWRGVNCYPSSSVVAAIDLSASSLSGTLPASLPLPPRLRRLDLAGNNFSGSIPNAFLASTTLLYLDLSFNSLSGTLRIPPSLANSSSPPCAALTHLRLAGNLLVNNIPAGIAQCRSLRVLDLSRNVLEGAIPRRLGRLAALRVLDVSRNSLTDRIPVELASCRKLAVLVLTNITASPWEQPEFNAFVGGLPAEVLAIPELAVLWAPRANLDGRLPLSRNGTCSLLALNLGRNYISGAVPLWLGECQDLTFLDLSSNSFEGSMPTELSIGCLTYLNVSGNHLSGPLLSPEESKCSNRLSTDDIVMQYYDELVGNTLIGNPFGSEFGGISNVTLHDFSNNGFGGTLPFLTLSRQGNYSYGLWLNGNMFNTTLSDGFFGFCKDATGIAVNLSSNQLSGSIDMLSSCITIHNFDAGYNMFSGSIPAGIAALHLLKSLVLKGNNLTGQVPVQFGDLAALEVLDLSRNYLSGSIPLHLADASHLEVLRLDHNRLSGSIPSSFSELAQLTVLDVSFNNLSGVIPNLRHPADCGFFIGNSLLYQCFGTHASLPPTEAINSSKGGSQVTRFKSLIVILVGAAAAVISFLLVILTFFVCERRKRAKISNSRTKVVVTFTDAPPELTYESLIRATSNFSIQNLIGTGGFGATYKAELAPGFLVAVKRLAMGRFQGLQQFDAEIRTLGRIRHRNLVTLIGYHLGESDTFLIYNYLSEYATTCRVSDKADVYSFGVVLLELMSGKRSLDPSFSQFGNGFTIVSWGRMLMQEDNTSEFFSRGLLDTARKDRLTEMLNTALSCTSESVAVRPSMRQVAAKLKQLGNDR >Sspon.04G0029020-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:69705715:69717427:-1 gene:Sspon.04G0029020-1B transcript:Sspon.04G0029020-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding TPKKDTVTLSSDSDASLRNSPSRAGEDNHEEDSLSAAKRKDAQQTKTKKNKDGDAEDDMQDKLTGNSVSQRLPLIFHDKVQRSKALIECDGDSIDLSGDIGTIYKTTIVPSRTFCVIEAIMNDFIQLEPQSNLFEAETMMEGTLDGFTFDSDEEGDKLYEPQANQNDQNNEDEGQPKAKTKRKAEKTAGKAPKKAKVAGKGPKKGARKTQPAKRASKDVHAVRSVAQDHPSRPPSAPSRHASTAHGRRAPRSAELQRAREAETTRHSFLFFPSLPLRRLPASFISASASPRGRPVAAATVAPPPLCSPTASSDLLLTPYRPARRRAPAPPWPAAVRSRIWGPVVKRLASSVPLDVSPLPRRRHCVLMHSSENKLVCSNSGRSSKGNETSMELVPVPKRPTRHDASRQCKSDSPLKRSPRKARNATLAKTIKNKYHCSPLKQRRASDSVSGKVATGLTVRRRKKRKMQNTDEATRLERRARYFLIKIKLEQNLLDAYSGDGWNGQSREKIKPEKELQRARKQIIKCKIAIRDIIRQLGLYTSTGSLDDPAMPPDQSTNPEHTMCSTCKSHESFPSNKFIFCEGPCKRAYHEKCLEPPLNKSVLPTSSHGWLCKFCLCKVKILETINAHLGTSFTVKCPIEDIFKEAMEQIDSEDALDEDWLSEYSGDEDYDPDENEDSGNCMDSGEEIMSDDSNGSGSPLYSPNDDIPDFISADLNDVEGFCHTNLDLGIDAVEDDLAQILTYQRPRRDVDYRRLNEEMFGKIIGNEEQSEDEDWGHERRKKRMTRSGGAGDNSVDFSNVISEEKSQKKGRKLFRIPPAAVEVLRKAFAENELPPRDVKENLSRELGISFEKIDKWFKNTRCAALRDRKAEGNSHNTAPSKSSRNKGKAGISGKNLEMRKMESTSSPVWLHNKGGCLFPTGQAKGLDPPPHPTLPPKKKLLSNFIELIVWSEHESTLPTSKPCLQSEISHPTTNEVSTLVQATSWMDAGSCAEVQEATPWVDIGASDYQPFLDVIDEMCGLECRLQRLKENMLSSGIDGKTTGESDMGNQAVVLVPTAELKEKAPHGSFFGHYCP >Sspon.05G0015220-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:56662985:56665203:-1 gene:Sspon.05G0015220-3D transcript:Sspon.05G0015220-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CCT(CONSTANS, CONSTANS-LIKE, and TIMING OF CHLOROPHYLL A/B BINDING1) domain protein, Heading date, Long-day repression, Regulator of growth, development, and stress-respons [Source: Projected from Oryza sativa (Os07g0261200)] MSGPACGVCGAAACCRHLFHTGDDNDDLNSRALFSVFPAVHHHEPSPKMQQQPPGCLHEFQFFGHQDDDDHQESVAWLFDHPPPPAREVGDDDRSPAENQPHHRAFDPFGTQYHHPGNGLTFEVDARLGLGSGGAARQTETAAASATIMSFCGSTFTDAASSRLKEPTLTDDSQLQMPVDQSTEREVKLMRYKEKRMRRCYEKQIRYASRKAYAQVRPRVKGRFAKVTEACSATADN >Sspon.05G0003490-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:10438404:10449967:1 gene:Sspon.05G0003490-1A transcript:Sspon.05G0003490-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAGGGCLCHAVPSRRPVVRGCLVRCRWLLVLLSPPVLDRRIDGIALCEGCVFCLFLARIAFVLPCLSHQVVAERCHLPLVSPVAELSHDGDPVHALPMPSLSALPPFSLPSHNPYIPAYVPDRHVVTSPASIQARRRRRRRLDQRVLARTGLLTMDNPHFRCGQFNEAYIEALKGYKCTVKLTGFPEDQRCCYKLSFNAEDETSEGKFFAYDAQARAIIKRDAVAVAKQQAREPGFPRFLTEAISRKFTFHVILTEDSYSDIHDKTWLVKSVLVDHEFEAIRAAHRAQIQAQDVASPGSTGGVSDVHAAQHATNVLVPALTQQVLTTQSGATTSALNTDPTPGKEVTEINSLRLAHHHCSLIPASKRRNIHRRQRQVATGADDESANAQLDRDRTPPPSSSEHQAKNHTGHLTDVRA >Sspon.06G0006100-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:19194060:19200573:1 gene:Sspon.06G0006100-2D transcript:Sspon.06G0006100-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LMREAGATEGSIGSKGAVDMNGSPVSTAIAGRPSNLQDLMVSLANLQIKFCTCAGRLSSSSPHNLENTDISMTCKGCTGESTADTGGPSCSGKLNNMGLELPRPLDLEVRWKTGNRRQRATRRARTSFGEGTSRDGIGSFYLFGCATNQEMSQDAAVSEYEQLGVSILGRRFSDPMENVPIKKRRFHMDCSPSPPPTPLLVDPYEKILGSSSKAVRSYEKHCKLKMQGIEYKEENKGRFGADDFSGIDILASAACESEMGGEILNGECSKLAHPPEERKLENTTGSSKLSLLHNMEDKLNIPGTSHCIYDRPLGSSNSAPDIKSLFVATPTISENLVESAYAPKVNCSSYSALNSADKTEIVSDAKSSSVAVANSSDNPEKTIGCSQDTVVQTNHANATRDSRLHWDLNVAMEAWDTNCGDVDDPLVSTVIDHDDARNDMNKPGTSHHFESRDGSVADHSVDTIHMADALKHVSANTKDTCDCPADGLSHPSQNLQLLESGSVGNDALTETMDLPDQQNSRFASVMDSDIRSDPEPAIIMEHFASAANVEKTDGSHPQPVHSEGLSNMSSMDVHVGSNSLQTSELGTTLKPLASRLVSEDSTNLPKAVMDSHIRSDPEPALIMEHFASAANVDKIDGSYPQPVHSESLSDMSSMNVHVGSNSLQTSELGTTVKPLASRLVSEESTNLPTVGTFLKKVTDFGWSDNKVEEASEQSISESKNQELLDVDSGTSKKGEHETDIFYANKRAKDAEDLTHPEDNHGSSDCDMAHAHEEDGAVAMINSKDSLITCANSSTLETYCISDAAPQVPGPSSECHKPEFITDAGSTVDSKAAAHSYQNGCKNELGKVVSNVCSDQCYETDTSHISKNLAGVEKVDVEEDDSQYEDGELRESDDCYWVGDGYEEVKHANWHYQVSEDYKNEEATPGLAPLHTDSIAKNVVIPVANYNGTQSRKEDVAVSPVSSKRSWLTNCLDGGPIADGKAQSIHSRGDTKMYGSNTGRVAARSATTVSQSERCNDALGDDMLNIRMKNTGWDMMPEDQKHSQHDARDVTDSSNRCGLSSDAARDDESLRKKGLSNRDLQRVERQKSFDRPQRNELSRSDDGYGSGSKSERTMDSHRSHGMYGASRHVQTSGRGEWVENSKHPRPTLPKSPEYYNYGPSGPRNAAEAAVAKMESSGFVVAHDGTLVRAVDAANAGQMPRRMRNTLSSSGRLISGRGSPIDRDGACGMSRGPVHAREASPERHFGANSNRSSRYGPEMEKDHTTDGNLSSVRCSLSSRQRGTPASRASLNLSHAHRRSPSGSRSRSPHDWAPRNRSKIMANGGSTLRRHSRSPPNHMAKGRIVRMASPQRQPGYDDRAMRYSPLSRNNTYSQHASTWVDGRNGSAVNISDDHNKRYSRRSPPLRIASRNDRFDVMDSQGRPRSGEFYRPTQGRLLYGYDRENKHGRNGEDEREYTNRYVNHSVKPYDRSGAVKQFRNNTGDKFRTRISAPRSPELQRRVSPRRFDRSFER >Sspon.08G0013930-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:54053341:54053940:-1 gene:Sspon.08G0013930-2B transcript:Sspon.08G0013930-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MERDATAFCPPPQPAPAAPASAPPATVLGAGGGAVAPLDGAAVADNPGGHRDGAGAGLTAAAIRKKAAEVGARVDALHCGVGGLAGGGGASPQPLSSHHRRRPKNPDLNREPTPDTSDDE >Sspon.04G0020340-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:74261720:74264661:1 gene:Sspon.04G0020340-2B transcript:Sspon.04G0020340-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKISFLMLLVLSLFLFPNGIHKSLAARPSVVSIGSILRLNSTTGGVSDVAIHAAVDDINSDPTVLNGTTLRVDTRDANCDDGFLGMVQALQFMETDVIAIIGPQCSPIAHIISYVANELRVPLMSFASDATLSSIQFPFFMRTMPSDVYQMAAVAAVVDYYQWKIVTAIYVDDDYGRNGIAALDDELTARRCKIAYKVGFRSNAKKSELLNLLVTVSNMESRIIILHTGSEPGLKLLSIANALNMMGNGYVWIATDWLSAYLDANSSVPAETINGMQGVLTVRPHTPNSKMKSNLVSRWSSLSKKYNHSDLRISAYGFYVYDSVWTVARALDAFFDDSGRISFTNDSRLRDETGGTLHLEAMSIFDMGNKLLDKIRNVNFTGVSGPVQFNAQFELIHPAYDIISIIGNGMRTIGFWSNYTRLLSTVLPEDLYLKPTNTSLANEQLYDVIWPGQTAQKPRGWAFPSNAKELKIGVPNRFSFKEFVSQDNATGSMKGYCIDVFTQALSLLPYPVYTIGNGTKNPDYDELVQMVEDNDFDAAVGDIVITMSRTKTNDFTQPFIESGLVILAPIKKHITSSWAFLQPFTLGMWCVTGLSFLVVGAVIWILEHRINDEFRGSPRQQIITIVWFSFSTLFFAHRENTMSTLGRGVLIIWLFVVLIIQSSYTASLTSILTVQQLETSIRGIDDLKDSDYPIGFQVGSFAEEYMVKELNISRSRLKALGSPEEYAENLKLGPKKGGVMAIVDERSYVELFLSTYCKIA >Sspon.04G0008200-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:20806739:20808886:1 gene:Sspon.04G0008200-2B transcript:Sspon.04G0008200-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALWLKLLLAVGLPIAALAAVVFLLYRRRSLPRNAPPELPEVSPTARAKPPTASPGLAKLNMRYNAASGRVGLRFQQLHQHQHHAVRHRGSGGGAQQGAFQWADHPRLVTEAAENGWAQFVFAVAPPRSKSASSSPLWGTCPLCDAGTSRDMAEAAAWELPAGSSERMQAVRLNPAAAASSRKWLPGSIPSPLRGDTDAGNGNPSALCLARMSLPLPGPPLAGTPFPQDAYFEITIIYLNTKRPEWSASRASRGGRDGSSESDRVKLISFAPDAKNPVQENRAAAKDGEQDKRRHLVMSLGLATASTAPQRPSLAGTYSSSIGFHSDGAVYLDGMKLVYESDKSSWAGVDKVVGCGFEPAKRKVFFTVDGQLVHAVSCNAEAFSRPLYPVLASSFDVMALVNLGQGKFRYAPANARRTANPCFVRAASAVDDARGGSGGSMGLDFDDSGELFSMGRVDSGWLETAKVSRSRKESIGGSGAASVVGDPEAESDLFEIPLRD >Sspon.07G0012150-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:43440465:43443509:1 gene:Sspon.07G0012150-1A transcript:Sspon.07G0012150-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEGRKKGVPALGWWLMLVGSLRLASVWFGFFDIWALRVAVFSQTEMTDVHGRTFGVWTLLTCTLCFLCALNLENRPLYLATFLSFIYALGHFLTEYLIYHTMAAANLSTVGFFAGTSIIWMLVQWNHHVNPRGAQAVKQS >Sspon.08G0014480-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:59259452:59260897:-1 gene:Sspon.08G0014480-1A transcript:Sspon.08G0014480-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIGKLMEAYETCIAELRKAESSRRKISLKKVEARFPKEARKLVPEAILKQIISLNSLDMELYDHAKKIFTQEHLLMLKAQQSMVGQHRQLGEQKEGWTSIIWSDGICSPDGGLPWMVVFLGLGITTIIVLVTLAVMMRKRTSKLKG >Sspon.01G0016640-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:56889068:56895499:1 gene:Sspon.01G0016640-1A transcript:Sspon.01G0016640-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGAWGGTTQKCASCGRTVYPVEELAADGRVYHRPCFRCHHCKSTLQFSNYSSVEGVLYCKPHYDQILKSTGSLEKSFEEKSNGHKGQQSSRFSNMFVGTQEKCVVCNKTVYPLEKVALNGNSYHKSCFRCTHGGCMLSPSNHITHEGKLYCKTHHSQLFMVKGNFSQFEDNSGIAKVATEKQPETEEATKNPDQGDEVTRKSVENEPTDEKTSKNDEKQLQSSVDVTKPSESATAENERGTESESKNNVVNKKPSESSVEEPLQNSVVDVKPSGNSAAMRKPWQRSLQTDKPFLSSTSTVKPSPGSDTTEKPSSSNGVDMKQSESSTLVKKPWQQNVPTENPPQSVLPSDKPSATSVDDAKPSERSKVVKKPWQSNTAAEKQLQNSAPTEKSQKSVATDKPSPTTNMKSLDNTTEVKSPWGRRMFNNKSLKSTVGTEKSSATSVVHVRPGETSTVAPVPQQQTENIEKPSDTSADDAKSADDTKSADDVKLSVASPDDAKSADDTKTTDDVKPSENTAAVVRKSWQRNIGTGKQPLTTAVDPKVTETSGTVKRLWQRSAATDKPSQSATAVVKPLQSSVAVSKPFQSNVAVKKTWQRSVTPENQLESSMSSNKPLPSKVAVDSLVQSNTVEKMFQSNVPTEEPQKVIVATENQSQTIEVTKKSNDTSMKLSVTSETTKVPPLAATVLQSDVSTEKPSQTDMPTIAPSQIPEPTEKPSESAFNAEKLSKTDTAAEKPLQSMITEKELLEMLDRKRRVLLELIQAEGVTGDSSVPLHSPLRPGAAEPLLADLEAWSWPPSVEVAREVA >Sspon.07G0010230-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:29180116:29184135:-1 gene:Sspon.07G0010230-2B transcript:Sspon.07G0010230-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Patellin-6 [Source:Projected from Arabidopsis thaliana (AT3G51670) UniProtKB/Swiss-Prot;Acc:Q9SCU1] MSPTTTPSPAPVAAPASKGAKRSLMSSLMDATALLRSSSFKEDSYVAAALPSSELRALADLKALLATHPDPISIWGVPLNPHSPPAAADDAAPAPAPAPTPAPVDERADVVLLKFLRARDFRVRDAHAMLLRCAAWRAEFGADAVLDEDLGFKDLEGVVAYMHGWDRDGHPVCYNAYGVFKDRDMYERVFGDGDRLACFLRWRVQVMERGVRALTLRPGGVNAIIQVTDLKDMPKRELRAASNQILSLFQDNYPEMVARKVINCRISSATRLACLAFRIRTPELNLNDAAGASPTRPQVFINVPWYFSVLFSMISPFLTERTKSKFVIAREGNVAETLYKFIRPELVPVQYGGLSRAGDLENGPPKPASEFTIKGGEKVFLEIDGIEASATITWDLVVGGWDLEYGAEYVPAAEDSYTLCVEKTRMVSATAEEPVHNTFTAREAGKMVLSIDNSGSRKRKVAAYRYFVRKPSV >Sspon.08G0002970-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:8280145:8280429:-1 gene:Sspon.08G0002970-1A transcript:Sspon.08G0002970-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCCSRSRVVCPVLRLLAVLLLLLSPCSAQLNAAAVQVRSARRLLLVSRPSTSRQKADQQQQMRVGGGSTPFKQAAASFGRKIPRSGRNPIHNR >Sspon.05G0028540-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:68789216:68793382:1 gene:Sspon.05G0028540-1B transcript:Sspon.05G0028540-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVMANAATSVLGSVIGKLGAMLTEKYQLAKKVESGICFLRDELSTMDAVLRMLSEKDDDQIDPRAKDWRSKVRELSYDIEDCIDRFMLNHSHGGSKANFVRKAMQKVKTLFEDGGIAEEIRELKSLVSEQSDRAKRYYDINQCLLTSSSQPVLLDPRAPALFQEARDLVGIDAPREEIISLLRCEDKEHKVVSIYGIGGQGKTTLAMQVYHKITEAAFDSRAFVSVSQTPDMKKLLRDILSQISKGHFDQSQMLETVEQLIRTVKECLKDKRYFILIDDIWSVSAWELVRSALPVNDNGSRIITTTRIKAIANSCCTGIAAQMYEAMPLKEIAKTIKIGAQIRSINIFGSNSVLVNKHATEFLNSQVLRVLNIEGLVGECPLGHVKSLGQLKYLRIHNYSLVGKLPRDIEKLQHLETLDVRWQRLENLPASIIQLQKLVRLHVPRSVRLPDGIRNLQALEELSRIDLGIQSVKCIQGLSDLTNLRVLEIDWPYFTEFRDMEGDKKAFISMLSKLFTRLRELRVWGSDADATLPFMSSFVPTSPPLRKLVLNTRVLNCMGPQISSLVNLTRLRIFVRGKAGKEGINILASLPMLLSLSVGLFKDNDGDSGIIYPRNAISPQGFQRLLKFHFRCYSRDAALVFEPGAMPKLERLKLQLMARCQFKWEGGLVLGLQNLAGLKHVDVDVDCSAAVADEVEALENDIRDAAGVHPNSPMLQRGKMVVS >Sspon.03G0002830-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:7064655:7072345:-1 gene:Sspon.03G0002830-1A transcript:Sspon.03G0002830-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDSAPIGLLPLDSVVLWPGASGEPHLLASAVAMRGEMGGGGGEGGEEELEDELEALLSSGAGGQRRRPADASERERERELSMFRSGSAPPTIEGSLNAISGLLRGDGEVAVTAAPIPVAEALNGHSGLLSEEELRADPAYLSYYYSHGNLNPRLPPPVLSKEDWRSTQRLKSGVVGGIGDKRKPIQEDARQGTGTAVGRSLFSQHPGFERDEEAMNDGGGAAEWVDVGGDGLIGLSLGRQRSFADILQDNIGRRTPTSEHPSRTASRNSFLDNQEPVNPAENQYAMHNDILDVHRPIGNMQNVSSLHSLNTSTSQTFASIMGSSVSRNATPDPHYAARVPSPGLPPVGVRITSNDKKLNSSSPFNTVSSKAVGTDDILSALSNMNLSKSGSQNDNNNISRSNFQRDISDQQKFSLDSQAAQVHNKQHSVMLETDDGYLGMSQSSNSSFADVNNSVAGLAEFRNSTNTRLDGHLEMQRSSNLSARSYQKSPSSSNESPGGSPAQHHSFDGINSAFLNYGLSGYPLSPGLPSMMPPLFESAAAASAIAALGADSRNLGNNSLSSPTLSLTDAHNLGRGGNQAPTGLQSPLTDPFYVQYLKATQYAAQGAGSYGDPSFERGYMGNSYANLNAVQKAYIEALLQQQKQFEMPLLGKSTASNHGYYGNLAFGMGMAYPGSPLSSPVASQSGPGSPLRLGERNLRFPSNLRNLGGWNSDPSGYMNENFPSSLLDEFKSNKARSFELAEIAGHVVEFSADQYGSRFIQQKLETATVEEKNMVFEEIMPHALSLMTDVFGNYVVQKFFEHGSAEQRRELADKLFGHVLALSLQMYGCRVIQKAIEVVDLDQKTKMVTELDGHIMKCVRDQNGNHVIQKCIECVPEDSIQFIISTFYGHVVPLSTHPYGCRVIQRVLEHCADPKTQQIVMDEILQSVCMLAQDQYGNYVVQHVLEHGKPHERSIIIEKLAGQIIQMSQQKFASNVVEKCLTFGGPTEREVLINEMLGTTDENEPLQAMMKDQFGNYVVQKVLETCDDQQRELILSRVKVHLNALKKYTYGKHIVARVEKLVAAGERRIALQSQNPS >Sspon.05G0031050-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:13530484:13532852:1 gene:Sspon.05G0031050-2P transcript:Sspon.05G0031050-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRVPSMELHRSSPLISGGRGRNTCPQKKPFLVQAKRLGGLEKASTRPGTQESGQPKKRAPLVRGTVSPPLPVPGNIPRPPYVGTKYVPEIAKEVQMHDKESIVHMRAACELAARVLDYAGTLVKPSVTTDEIDKAVHKMIIDAGAYPSPLGYGGFPKSVCTSVNECMCHGIPDSRELQDGDIINIDVTVYLNGYHGDTSKTFLCGEVDEASKRLVKVTEECLLRGISTCKHGTSFKKIGRRIRYNILHRFL >Sspon.02G0030060-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:111190205:111191533:1 gene:Sspon.02G0030060-3C transcript:Sspon.02G0030060-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding YKSTCTCALVFIKLASQTSTSTHYTTTSDLWASLHFTMEAGGLITEVGWTEFDFLSHGEESEVMAQLLGAFPSHGEEGQHELLHWLDQASNAYSDSIPPACEGYYFLSNSNEALGSSSCAAPGALGSVQEEHGAAEYLNVTANHSFNCYGNGDPSCEDLDDPISAAANKSKRKHMVEEHDGQTQTRGRKCARSVGEPKRAKRAKKSGDEDPGTAIASGSPTSCCTSDSDSNASLESADADARRPKGKRRAGRGATTEPQSIYARKRRERINERLKILQGLVPNGTKVDMSTMLEEAVHYVKFLRLQIRLLSSDDTWMYAPIAYNGMNIGIHLNMDR >Sspon.03G0011230-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:30481428:30482084:-1 gene:Sspon.03G0011230-1A transcript:Sspon.03G0011230-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNQAPPVPRVKLGTQGFEVSKLGFGCMGLTGAYNSPVDDEAGIAVITHAFSRGVTLFDTSDVYGPLTNEILLGKALKQLPREQVQVATKFGIIRRDERGAPTICGRLEYVRACCEASLRRLDIDCIDLYYQHRIDTTIPIEET >Sspon.03G0031400-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:25635505:25636707:1 gene:Sspon.03G0031400-3D transcript:Sspon.03G0031400-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSQYIGLQYRGAPHGGTGDLPQTRRIPTGPGQPTSPNNSTNHEPETLAAPMSDDAAAAASPSPSTSPSPSSASSSGVSPSPSSPRTKRRRTDRYALGFEFAPRLAPYELPEDPLPDKPKRSGPKWTERSTFALLDAWGDSFIRAGRSIIRADEWLEVARLVCAAADRPAGYFSDSQCRNRVDTLRKKFKKERERARLASRRSGPSPSKWVYYDKMVSILCPSPLPLPLPAPPPPPLPLQLVPLAAKRRRDRQPSRRFQWGMKAPERLLGGGGDVVGPRVSGSGAELGEREPQKNSAVEVDRNGFVALTESIQKFEEVFARMESSKRQHMVEVEQMRRDLQRDLDAKWREILEKAQAEIARLSNEDEDEGDADEDGDVGDDKRLEEDGDEQNNSAMDASP >Sspon.06G0012060-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:52367829:52370590:-1 gene:Sspon.06G0012060-2C transcript:Sspon.06G0012060-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMAAGFGSGSGSNHLRPRRPSPSPSPSPAVGKPLPSGAVPRHAFVFDGEGGFSEAPWGLAAAASGSARLRPGEFTWHHVELPRSKAKPLHHAQALIELLCPPLTLQEILAFVATGPHCASSSSGDGDGAGALLLRVSSPGPVGSAYALRLAVRVTESSVVTVSVGGVPRLAFGTRQASLLSEVPLGVVAASLSDGGHGGGRAVDGGVVIEERLLESLLAMNHADGAHTDNPVPRTVSNLLVHVLGTHVDHVHDIVTRLEMDLDAIELQLDKGGHFLRKLLLDGRRFPKMHLDLQRLLQVVSHGEQVFPRVKEKCASKSWFATGDIAALEDLIGRLRRLKENLGFITNRVTTLQASLDSWQSEQINKSLYYLSFLSIIFLPLSIVTGVFGMNVGGVPWTEQNKNPKNRDGFMNVMLICVVILLLLLLCFLFPSLYSHVTAWRTRRELTRSNSQNKRHLKLFKGHKEGYMRL >Sspon.06G0031100-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:59230417:59232410:1 gene:Sspon.06G0031100-1C transcript:Sspon.06G0031100-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABI3/VP1 transcription factor family protein, Regulation of iron-deficiency response and toleranc [Source: Projected from Oryza sativa (Os08g0101000)] MSLGPNICAHMTPMEGSISAKEPENSEDLPAVVRSSDEMDTRNSGEIHRDTVGPLPESKQSHESCASKDAEAGLPPLVQGDPLILQMDDMVLPIIWKFKYRFWPNNKSRMYILEAAGEFVKTHGLQAGDALIIYKNSEPGKFIIRGEKSIQQTNP >Sspon.02G0003790-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:13453744:13456467:1 gene:Sspon.02G0003790-2C transcript:Sspon.02G0003790-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LIPAKCRYCHPERSRWQPSWQHALRARGSSRQKQRRPAK >Sspon.02G0017910-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:54924104:54926957:1 gene:Sspon.02G0017910-1A transcript:Sspon.02G0017910-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVGTSNLFLVVVLGWSSQTALSQILLQAFNWESWNKGGPGWYDYLQSQVDDIAAAGITHVWLPPPSHSVDAQGYLPGRLYDLNVSQYGNETQLRALIAAFHGKGVKCIADIVLNHRTAESKDGRGVYCIFEGGTPDGRLDWGPHMICRNDSYSDGTGNVDTGLDYKPAPDLDHLNDVVRSDLTGWLQWMKSDAVGFDGWRLDFANGYSPAVAGMYINSTTPDLAVAEIWTDLAYEQDGRPRADQDAHRQVLADWVDAVGGPAAAFDYTTKGILQAALNFSQLSWMKDAQGGRPVWLVCAHAVTFVDNHDTGSKTHQLWPFPPAMILQGYAYILTHPGTPCIFYDHFFDPNMKDQITTMMKIRTRNKIGPASKLRILLAENDAYVAEIDGSVLAKVGPRYDVSKYVPDGLQVSTSGSDFAIWEKSSVVQTNTPPPSTTTTRSRRWVAPVVATVAPLSALLACGAAVMFLFRRQKRRSANAIDHSDDEDDDDRDQADFEKEVLGPRRYHYRELAAATGNFGEENKLGSGGFGPVYRGYLAAQDRHVAVKVLSPETSTQGRRQFEAEVRIISQLRHRNLVQLVGWCESRKAGLLLVYELVPEGSLDQHLYGAAERLLAWPERYRIAVGLGAALVYLHEEWEQYVVHGDIKPSNIMLDSSHTAKLGDFGLARLLDHGVGPRTTRVVMGTMGYMDPDLVNTHKPSRASDVYSFGVVLLEVACGRPATDELPDGETLALPEWVWELYDRGAVLEAADGRLDGQFDVWEMERMLVVGLWCSHPVPRERPSIVHALNVLQSRDTTLPALPTNVHRGAVAPTAGFSAYVHSMSSVGSVGEPC >Sspon.08G0017350-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8B:1373690:1374404:1 gene:Sspon.08G0017350-1B transcript:Sspon.08G0017350-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSSGRINVPTTRSDGRDSDGESVVGSGAGPSVPRRNSCAVEGVALDLDVTGKSTWGVRASEPCQRGP >Sspon.03G0003310-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:8309369:8312562:1 gene:Sspon.03G0003310-1A transcript:Sspon.03G0003310-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding NGSLEHPTRTSSQGSNKAGRTARLAESPTGLSPKVDRRTALSAEREKRRPPTTKLSELESQLSQLQDELKKAKEQLHSSELSRKRALQEADDAKAQAAAGSAQARDSEAQLAELSSAEEARLLELRRLSQERDRSWQSELEAMQKQHAADSTALAAAMGEVHRLRVQLAAAARADRRQDVVEALATIDELRVKLKASEEAEVQARAMHEECKQQLEASRATIDSLLTDGSKLMDSFSLVVKELEESRAKVKALEDEIAETSSKVASGPCNCSGSESEAAELRAELEAAEARYQEEKILSTGALHENGQLKQELRQYESEKGSATARTAEADAAEAAKKGEMETELRRLRVQAEQWRKAAETAMALLTVGKGGNGKVVERSESWEGGKYAGLCEELDDDAAARKNGNVLRRISG >Sspon.03G0020440-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:82830800:82832415:-1 gene:Sspon.03G0020440-3C transcript:Sspon.03G0020440-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCCGGNCGCGSGCKCGSGCGGCKMYPDMAEQVTTTTTTQTLIMGVAPSKGHAEGGFEVATAGAENDGCKCGPNCSCNPCTCKVQAVMRKEI >Sspon.05G0008520-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:25561826:25564421:1 gene:Sspon.05G0008520-1A transcript:Sspon.05G0008520-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIRCADPTVRFLRERMEKAGCQVWPRLIQAATCSDAGGYASGHGIMVCCNHMAFQDQITQVLIHELIHAYDDCVAKNLDWKNCAHHACSEARKLSPFTMSCIIRANHLSGDCHYKRELLRGFMKIRGHEQDCVKRRALMSLKNNPYCSETAAKDAIEAVWDICYNDTRPFDRAP >Sspon.07G0004630-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:12013074:12018008:1 gene:Sspon.07G0004630-1A transcript:Sspon.07G0004630-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKHGRNGYDDDNVNPFAGGSVPPATNSRLSPLSHEPADFYNVDIPLDSSKELKRKEEVASRAGIVIEEKNWPPFFPLIHHDISNEIPIHLQRMQYLAFSFSYPMLVPHAGLVACLFWNIIATTTAWIKGEGVMIWLLAIIYFISGAPGAYVLWYRPLYNAMRTESALKFGWFFLFYMIHIIFCVWAAVAPPFPFKGKSLAGILPAIDVISKNAIVGIFYFVGFGLFCLESLLSIGVIQQVYMYFRGSGKAAEMKREAARSALSSAF >Sspon.01G0038150-3P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:27892511:27896014:-1 gene:Sspon.01G0038150-3P transcript:Sspon.01G0038150-3P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQPEHADPPGRAFPPPAAPAPAAARGAHHRRARSEVAFRLPDDLGLGGGGGPDGDAFDEIGSEDDLFSTFMDIEKISSSGPADRDRDRAAETSSPPRPKHRHSSSVDGSGLFFSPGIGGGAGKDAAASLAEVMEAKKAMTPEQLAELAAIDPKRAKRILANRQSAARSKERKARYITELERKVQTLQTEATTLSAQLTLFQRDTTGLSSENAELKIRLQAMEQQAQLRDALNDALKQELERLKHATGEMTNSSETYNMRFQHVPYNSSFFPLSQQNASPHLGSTQLPPPFHPPHPNVPNHQMLSHPNTLPDIMQQESLGRLQGLDIGKGPLVVKSESSSISASESSSTF >Sspon.02G0020950-4D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2D:63692932:63693297:-1 gene:Sspon.02G0020950-4D transcript:Sspon.02G0020950-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGLQLVLCPVPLCFAIRLRFATWKASISSCVMEIGHGIATSGGELGVYSAGVWGRGCVATHRRTLISAVVLRHRPLRGRLRWSLSRLISGGYTRAPLSFRWAPWDPGGYTRAGPSRGGCPS >Sspon.03G0030200-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:28229791:28232929:1 gene:Sspon.03G0030200-1P transcript:Sspon.03G0030200-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFQALTLTPLPFSLHSSRRRVRVRVLAVAADQTPPPPPVPPSEPANSPSRLLRELAQRKKAVSPKKKHPPRRFILKPPLDDERLTRRFLGSPQLSLKALPLLSSCLPSAPLSTADRTWMDEYLLEAKQALGYPLAPSETLGEGDDCPARHFDVLLYLAFQHLDPSSERTRTRHVRNGHSRLWFLGQYVLELAFCEFFLQRYPRESPGPMRERVFALIGKKVLPRWLKAASLHNLVFPYDDLDKMIRKDREPPSKAVFWAIFGAIYLCFGMPEVYRVLFEAFGMDPDDESCQPKLRRQLEDVDYVSVEFEKRQLTWQDVAAYRPPPDALFAHPRLFRACVPPGMHRFRGNIWDFDSRPKVMNTLGYPLPMNDRIPEITEARNIELGLGLQLCFLHPSKHKFEHPRFCYERLEYVGQKIQDLVMAERLLMKHLDAPGRWLAEKHRRMLMNKYCGRYLRDKHLQHYIIYGETVQDRFEHNRRLRNPSTTSVQQALHGLAYCVYGKPDVRRLMFEVFDFEQVQPKAV >Sspon.06G0021710-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6C:19485294:19485599:1 gene:Sspon.06G0021710-2C transcript:Sspon.06G0021710-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVMAASSSTDNSELLPASRETTQAAAGARVVKCEGVVFTVTEGNEVAQAARGGAAAARVLGSESFFDAATCTRRHFVDVQGKAEAMLFLVSVREDQRCIVD >Sspon.06G0036000-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6D:87634355:87634639:1 gene:Sspon.06G0036000-1D transcript:Sspon.06G0036000-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MELVTGAMGSLIPKLKDLLKEEYKLQTGVKDQVRSLELELESAHAALRKVAKVQPDQIDELVKIWSREVREASYDMEDILDTYLVRVKGSSKLND >Sspon.01G0010230-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:28791912:28800463:1 gene:Sspon.01G0010230-1A transcript:Sspon.01G0010230-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQLVNFIIRPPRADYSPNDDLLEQEFMLKGRWFLRKDLEVKNGQGKTLQCSHYMPVVIPEGKALPCVIYCHGNSGCRADASEAAIILLPSNITVFTLDFSGSGLSEGEHVTLGWNEREDLKAVVNYLRTDGNVSCIGLWGRSMGAVTSLMYGAEDPSIAGMVLDSPFSNLVDLMMELVDTYKYPLPKFTVKLAIQHMRKIVKRKASFDIMDLDTIQVAKRCFVPALFGHATEDDFILPHHSDKIYESYIGDKNIIKFDGDHNSPRPQFYFDSITIFFHNVLNPPEVAEDHYFLTPHSSLGQVPSGATTEDRADRTEGLDSDHGPSSSSVSTATPPNGRNGRLLTPTSDDGEYVEYSFDSLSDMPYTVEDEDRMLMQAILESLKDYEQSNTKNVQSTASDAAPKE >Sspon.02G0031380-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:91074269:91079108:1 gene:Sspon.02G0031380-3D transcript:Sspon.02G0031380-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MACRTGPRELTGAVDLISHYKLLPHHDFFCKKPLPLAISDTHYLHNVVGDTEIRKGEGMELDQLVQNAYMRDKPAYIQPFDMETLGQAFQLRETAPVDLPSTEKGIPTISGKPKSESKDKEKKHKSTKTETGTKTRNIRSTNIGIRIGVRTKIKTKTRIRKKIKVKRKHEGTEDSADVHKHKKSKMLAAKSSVTR >Sspon.06G0034650-1P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6D:52083461:52083850:-1 gene:Sspon.06G0034650-1P transcript:Sspon.06G0034650-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPSSSMPPPVRPLQIPHPSGGWPPFSPVTPHSQRPLQASPTFMAVRPPISVSPVGATPPQGPIALAPSNMPTMYRGQHPPVPNFTGSAPLVSRPPGGAQSFPTAAPQGPSSVAFPGGASPQSPYPPIT >Sspon.03G0011100-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:46351845:46359432:1 gene:Sspon.03G0011100-3C transcript:Sspon.03G0011100-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MENRRRRHAPAPISPVLADPVGKSGRSEQPRLGGEAAPTMGSDLKEMKYRRRIGVEERPQCSDQRGGADWAALQQDPVELLRKLDELRDQITRSCNVVGQPREHRRVSRRAVSMLPEQLEPPPLPGYHRSRYGGRYGHGLPPPSPYAPSRPEHGERYVRQSSGHYRQYPGKQWENGGMGPGGYHHYGCACPHCLHGQRALPQEENIPMARYFAGQHESYRFQRSPSVSSDYDRRSVASSLYSHRSVLKRRAEYFRKKAEHICRPVDGAAPFSVCSSCYKLLQVPMGKCIGRKQNQNRFQCGSCCQIISLKHEEENGIPFAPSSSLYAPEMEQSSSDQMRRDPVHQRHDFNSMFYNSNDHSNMQNNMDFTDDNSLSSTMSNDRIDKECGSTRSIQSKAEVLSFSPSRSVDLGSPKDILCERDADCMVEPSVDGPLNPRSPALEDKLVDPLCAQEKGNNEDGQGMPYRRALICKGEYDVNDEYDGGIISTRNKQESNEDDKDATEDESSCRSSEQKSKEDNCCNIEDSSKTYEHNSGEDEASSLVAGSEKYECMNIKDDNSSPGGEDTCNKYEPKVKGDENCVLGAESVSNNCDENNKDVTETGSISERHEELKNEEDNGKLQQPFIEDANSQSGSGSSINERTNSGFSRGSSEAGLDEDQSSTGKSGDSSFFAGFLKKGFKDLSLLNKSMDSVKVLINGHPISERALKKAEKKAGPVDPGSYWYDYRAGFWGVMGRECIGIVPPFIREFNYPMARNCAGGDTGVFVNGRELHQRDLDLLVGRGLPRISGKSYSIEMSGNVIDEATGKKLRSLGKLAPTLMHWMITEKIQAITISNIM >Sspon.01G0013640-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:37638977:37639563:-1 gene:Sspon.01G0013640-1A transcript:Sspon.01G0013640-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPALALVVAAVTVVVCAEARVPDYYHPSTFTVTGKVQCQDCTKNWNAYAYNAKPIPGSKVSITCRDDNNRVVYHGSDATDGQGVFNIDVPRKAKNTGRDIEASRCLVRLASSGDAACAVFTDFNRGRTGQIPSRLTHASPNKETYAVGPYYCTLQQCDVK >Sspon.01G0040980-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:39914395:39915192:1 gene:Sspon.01G0040980-3D transcript:Sspon.01G0040980-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRQDGQEELERSQVSTHATWNPCPHCGITRTSSRSPNSARQMAHSASSSSAFASSSPSAAGAAPRAAAAAATASAYASFGSAFSAFFFSPLLAAVVVLVATLAPVACCAWWWWPCPPPPSPGLLLEGEDAGPPSSAPAPLLRRRDRAQRATAARPTTQMSAQSRAARITTTSELTAGGGSAGGMPGMRLGFGFVLGMAPPLSLLLWRRRREYDGRSIAGVGRAPVGEGRFRQNAWSGERTERGGPVPVLEVGMVNDGEGQASG >Sspon.05G0009180-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:26659597:26661652:1 gene:Sspon.05G0009180-1A transcript:Sspon.05G0009180-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSSKLSQGIKKASRSHAYHRRGLWAIKAKHGGAFPKAEKPAAVAEPKFYPADDVKPRKPSTRKPKPTKLRSSITPGTVLILLAGRFMGKRVVFLKQLKSGLLLVTGPFKINGVPIRRVNQTYVIATSTKVDISGVNVEKFDDKYFAREKKKKATKTEGELFETEKEATKDLPQFKKDDQKAVDAELIKAIEAVPDLKTYLGARFSLRDGDKPHEMVF >Sspon.07G0008220-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:19698275:19707376:1 gene:Sspon.07G0008220-1P transcript:Sspon.07G0008220-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLEDEYYEPEGQNTDGSGSVQVNDEFAKLHNDIFHMTRMRSRLIERYKSMDTNRGIISTAKLLSRREIDCSGKGMFSSCDRAFVLGRYVPMNGPELLDRMDSRAYVSQFSADGTLFVAGFQGSHIRIYDADRGWSIHKDIHARCLRWTISDVSLSPDQRYLAYSSLAPIIHIVNVGNAARESYANVTDIHDGLDFSQHEDVQYSFGIFSVKFSSDGRELVAGSNDESIYVYDLQANKLTLRLPAHTVWDRRCLSTGQAAGVLTGHLQGVTHIDSRGDGRSFISNGKDQAIKLCADGAPTWDYRYSRYPQQHKQLKHPHDQSLATYRGHSVLRTLIRCYFSPAYSTGQKYIYTGSYDSCVHIYDVVSGSQVAKLKWHQMAIRDCSWHPFEPTLVSSSWDGHVAKWTSARDQEASDVD >Sspon.02G0014780-4D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6D:18085808:18087589:1 gene:Sspon.02G0014780-4D transcript:Sspon.02G0014780-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQRLTYRKRHSYATKSNQTRVVKTPGGKLVYQYTKKRASGPKCPVTGKKIQGIPHLRPAEYKRSRLSRNRRTVNRPYGGVLSGIAVRERIIRAFLVEEQKIVKKVLKIQKTKDKTTAK >Sspon.07G0012510-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:51215779:51221008:1 gene:Sspon.07G0012510-1P transcript:Sspon.07G0012510-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDFLVSAATGALSPVLHKLAALLGDEYKRFKGVRGEVKFLIRELEAMHAFLLKKSEEENPDAQEKAWMKEVRELSYDIEDSLDDFKVRVDDDSTKPDGFIDKCKKLLQLDKTKARRRIAKAIEKLKGQVMEVSERNARYKQIGETVMNTTKVAVDPRALAIFEDASRLVGMDQPKQEVISFLTGDDGSISSPQPKIVSIVGIGGLGKTTLANRVYVELKDQFQCRAFLSVSRNPDIFGILRTILVEVTGRDCYKTDILQLIMEIKDFLRTKRYFIVIDDVWDVETWDTIKYALSNNSRGSVIITTTRIHDVAKACCSLYPNGWVYQPKPLQEQYSKRLLQNRIFGLGNKCPENLKEVSDKILKKYIIVVDDVWDVETWDTIKYALSSNSCGSVIITTTRIHDVAKACCSLFSDGWVYKLKPLEEQDSKMFLRVLDFTGRLLENHHLANIGELLQLRYLGLRRTSITELPEQIGALQYLETLDIRHCNIIQLPTALVQLKRLVNLLGPQKLNCYPGGIKNMQALEELNLVAIDDINAASICVEEIGDLTKMRHLNISLRSIDSIDKESYVDLLKKMVSSFQRLNKLQTLVVHFGYYGEARYAVIFDGDDVIIEVPWCSGSLRKLCFWMSPMSRVPSWMKSLVNIEEIYMRIKKLDQESLCILGDLPVLVDLQLEMVNNVEGIHSTDEQRLLISNRYPYRSLRHFGVGFTTSGSHSSIPMLTFEAGSMPKLEQLDIDFDADITISVSNGRLDFGLRHLSQLTDVECDMYGTELNIWRVQTAIKRALDNHPKRPRFLKHEFPSTEGGRSSNRVRLRPRRRSQSTVHTTQPKPSFVADVSEQEVVQR >Sspon.01G0011990-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:34971832:34977402:1 gene:Sspon.01G0011990-3D transcript:Sspon.01G0011990-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAERAITRRGGIGAVLGTADRDFLVRNSGEQVKICSIEANTVALYFSASWCAPCRLFTPKLVETYQELLELQQQGKSRLEVVFVSRDRDEESFNAYFAKMPWLAVPFSDSECVKRLMSRFKVNGIPNLVILGAETGEIYTKQGVKFISEYGAGASPFTPERIGELKEQERADKDSQTIHSILGTPTRDYLISSRGDRVPISELEGKHVALCFLARPMNEFTAALAEIHEKLRQAGEKFEVVAVYFRCDESVFQESFACMPWLAIPHGDSMCEKLVRYFDLRALPTLVLVGPDGKTLNSNIADVVEEHGVDAWEGFPFDDEKMEVLISRSKAKAATQTLESLLVSGDLDYVVGKDGAKVPVADLVGKTVILYFSAKWCAPCRAFLPTLVKEYGKIKEKTSDFEIIFVSVDKDQSAYDEYFSAMPWLALLLEDERKASLMKKMKIRAIPSLVAVGPSGATLTTDAKSHIVAHGADAFPFTEEVLEELGRKLDEEARAWPGKVRHELHELHELALTRRDVAVTYTCDECEGLGSSWSYRCDRCDFDLHPKCALGKEEEEAKAGIEQLLPRLRMCAREEEINLSMIFDSITWGYAACLCL >Sspon.07G0010130-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:28470188:28471707:-1 gene:Sspon.07G0010130-2B transcript:Sspon.07G0010130-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LREQQQQQNRYWSGHFGNKASTSPDVTTTDQWPPWLPWAPKAATPPTMSFPPTRAPAPSPAAAVVSNPWHSIALPPQPPVVVAPAEVSSRPVVAGAAAGAARPHSGVSRPVYVIAAAGASLVAAVSVALFVLFYRSNKVVTVRPWATGLSGQLQKAFVTGVPSLKRSELEIACEDFSNVIGSISDYMVYKGTLSTGVEIAVVSTTNNSAKEWSKHCESQFRKKITSLSRVNHKNFVNLLGYCQEEKPFTRMMVFEYAPNGTLFEHLHVREDGYLDWPTRLRVAVGVAYCLEHMHQLSPPEILRALDTSTICLTDDFAAKISDVFFCDEPRLPLSLSLSPPALSDRESVVYSYGMVLLETMTGRFTASDGGLLESWAAAYLRGERQLRDVMDPALRSSFHAATVDRLDGVIRGCTDREPRRRLAMPEVAKRLREITAMPPDAATPKVSPL >Sspon.04G0035710-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:16001275:16004187:1 gene:Sspon.04G0035710-1D transcript:Sspon.04G0035710-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGGGGGSGAHKAASGSAPSPAPPAPNPTAMLSALMSKRAKLQEELRSIERQVDEHLAGRDDGREYGAGRSKGGSTPANGQGKPKKGGRPGGRDGKRLRPSNDPDLDDEDDY >Sspon.02G0055150-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:507081:509732:-1 gene:Sspon.02G0055150-1D transcript:Sspon.02G0055150-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding EEMPDDEQAVAGAGAPCGACRTLRRRFVPGCVFAPYFTAEDFAAVHGVFGASNVSKMLERVELPEQRRVAAATLVEEAKARTRDPTFGRVSYLRILQEVNDKARAQVDEAREEIAREFGALAASEPIDVAAAGAAVRVEARAQVDAALKHAREQDARLLGVRNANEARWRELHPEAGTGTGKHAGNGKGIDVKRPRGPSLSEQTMLMPRATKQRRSSRPPGFPDERSHQQMAVAEAEREKALSMRQAAPAKQHGHHHLAAQHGETEPPRVPEGYGHGQLTAATEASREEAMKLVQAPAAAPQRLDSAGQHAGTGQPRHAGDAAAGRTPGQGSTSVLLEAMMQLAGAGDKQHQQQHLVAQHAENEHDITQEMMQLQELLDMAELARKQQMMKNDVMTAMGQWDSAAGGAREQDVMTMMQQIPANTWQHQDPAPQHAGFRDGPQTTGNMSQQFAWTQQYHDPAAAHHASPWQHQMAEVHQPVAASASQVASEQDTLLLLQQHQVPAAELLSAGGARQFDNLAAQYDDTELTLGYGHPDMHQQVASTGQVAGVQDMNSRHAAAAVHAEREMMQLAAGAQQHQQQHAQNGLDIALGNGHPDAETQAMLQELAAIVELADERAMIRKQWEQDPDAAWLQEIMSRQTEPRHVQKELDITPGNGHPDGSTQEIQQAQELSAMAEMQRKREMLMRQFVAAERAREQKLQMLMRQFVAAERAREQELITQQAAAAQQQHPVAQQYSGTELDISLGQGHGHPYWHQPTVQETLQERQLPTVQQMLQEQQLPDAVGFGRHQPDTTSVQQVAAYANGEHGSGAGATMAFLSPGSAKDASFLVDQQPQPNGHQMGSSLPLLPTSLGQLHAQVSHQQRTDGGGQDLNSDLAAYLHCES >Sspon.04G0020750-1P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4A:72933242:72941817:1 gene:Sspon.04G0020750-1P transcript:Sspon.04G0020750-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFYDGSGVTPFLPRHKIVKVLSGLNSLPSECGYNHPMPVNVVGGTKKGIQKNSLENGDDLGHGRICSLGSWNNLDRMAIRRVKLGHRMRQTRLRGNLSRPTPVSNSILITVGNGATLPVTDHASSSISTSKAPLHLSNVLVSPKLVKNLISVRSLTRDNNVSVEFDPFGFSVKDRPTNSVILRCDSTGDLYPLASSAPEALAVTAPSLDLWHQRLGHPGRQALHRSLSSLQFTSTAAPSKICDACQLSKHEFDNTALRSHLATHGVALWLSCPYTSPQNGKAEWILRTLNDCVRTLLIHAGMLTEYWAKALSTATHLLNRRLCETSGAVTPFQRLLGAPPNYEQLHVFDYLCFPNQTATTPHKLSARSTPCAFLRYPPDHRGHRSLDLQTCRIITSRHVIFDETRFPFRCPVSALAPTTAGLDTVPDATILLQPAAQHAATPPAPAQAQPDRTLERYKARWVMRGFTQRAGVDFGETFTPVVKPATIRIVLTIAASRRWATKQLDVSNAFLHGHLKEHILCQQPTGFVDAARPGAVCLLDKSLYGLRQAPRAWYTLFANFFITLGFRSTRSNSSLFVLRCGNNIAYLLLYVDDVILTGSRAGLLQHIVDRLRAEFAVKDLGNLRFFLGIDVKRTAADFYLSQERYAEDILECVGMANCKPVSTPVDSRGKLTADGEVIDDASDYRSLAGVLMYLTVTRPDLAFAVQQACLHMHDPRAPHLTMMKRILRYVRRTTSHSLLLRPSPNLDITAYSDADWAGCPEMRRSTSGFCVFLGDSLVSWSSKRQPTVSRSSAEAEYRAVANVAAECIWLRQLLSELHCHLDKATVAYCDNISAMVWSSASRCAMLVRAADEGRRPEIGDLDVSSGVDEDVVGLDVAVVHTDQASGSSRTQTRAARSHGEATTVMMDEVGVEGAVATELHDDEGALPGRYDLMDVHDVEDLLLTLAERRAVAAPPDLTGKGSPLAAAPAMPA >Sspon.02G0005420-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:18350264:18357251:-1 gene:Sspon.02G0005420-3C transcript:Sspon.02G0005420-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRRGRGKGRGRAKPRAKATEPEPELEEAVESEIEAGDAKAEEESGAESEDAKEDESESDAESMDAEANEEAGENGADEMDAEPEAKAGEAKAEAAATEAEAKPDASDAEDEEGGETGSDCEADAKGADPKAKAEASDGEDEEAAAAETDGESEEEAAETGGENEEDGGDSDTEGDAAESPPSPPSRTRRRKRAATPDDEPEETPTPSRRRRRRKSGERGDSLPPLPDHLRCRRSDGKKWRCSGRALPTVSFCEYHYARANKGKKLPADGEVLAVALQRQKNKRKGRRSINPPMSPQAATTDRQTRDLPNGLMTISPGSSGPAALSSPVTTKVGVEIPAPIQRCYRSKNAEPLPVGPVKVVPRAMSMTKAAARTCHCCGIKKAARVANCKNCDKNFCNSCINKWYSKLSRKDIKARCPACRGLCNCKQCSLGHTRGATHKEPPSGERKILSIKISNHQFYKLLPVKLDQEQLDELELEAKIQGTKISNVRVQVAENGQNESLYCNNCKLSASQFLRCCPTCPFKLCLSCCQKIREGNMSDSTPEDKFKNRLLQQESVHEDGSITCPSIELGGCGDAMLNLIYASPSSQSEELSSDCELDAAGNHSGVKDAQVHGSPVPESNGRLSAAAQTETMST >Sspon.08G0013900-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8A:57493095:57493397:-1 gene:Sspon.08G0013900-1A transcript:Sspon.08G0013900-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRKSRTSKLMAEPKKKPKLDTDFTCPFCNHPRAVQSRIFLKGRRPFAVASCSVCKEAFYTVAHEPTEPIDVYSEWIDSCEEANEGVAVRPPRLGEARN >Sspon.05G0008360-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:17036958:17042095:-1 gene:Sspon.05G0008360-3C transcript:Sspon.05G0008360-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGSTPSSRRNSFNSLSRDLDLPSEQGCLSIVVLGASGDLAKKKTFPALYHLFDQGFIQSGEVHIFGYARSNLSDDGLRERIRGYLKGAPEDLSEFLQLIKYVSGSYDTGEGFEKLNKAISEYEASNKSGSYRRLFYLALPPSVYPSVCKMIRTYCMNPSSQPGWTRVIVEKPFGKDLDSAEELSAQLGELFEEHQLFRIDHYLGKELVQNLLVLRFANRLFLPLWNRDNIDNIQIVFREDFGTEGRGGYFDQYGIIRDIIQNHLLQVFCLIAMEKPVSLKPEHIRDEKVKVLQSVNPIKPEEVVLGQYDGYKDDPTVPDDSNTPTFASVVLRVHNERWEGVPFILKAGKALNSRKAEVRVQFKDVPGDIFRSMCIEVNINGVVYS >Sspon.07G0012520-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:46817312:46821306:-1 gene:Sspon.07G0012520-1A transcript:Sspon.07G0012520-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPGKGGAARFAAALFVLLNLAVAIAGKSYYDVLQVPKGASEDQIKRSYRKLALKYHPDKNPDNEEANKRFAEINNAYEVLTDQEKRKIYDRYGEEGLKQFQGGRGGGGGMNIQDIFSSFFGGGGGGMEEEEEQILKGDEVIVELDASLEDLYMGGSLKVWREKNVIKPAPGKRSCNCRNEVYHRQIGPGMYQQMTEQVCDQCPNVKFVREGEFLTVDIEKGMKDGQEVLFFEEGEPKIDGEPGDLKFRIRTAPHSRFRREGNDLHATVTISLVQALVGFEKTIKHLDNHLVEIGTKGITKPKEIRKFKGEGMPLYQSNKKGDLYVTFEVLFPKTLTDDQKAKLKDILV >Sspon.01G0053140-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:32686937:32690086:-1 gene:Sspon.01G0053140-1C transcript:Sspon.01G0053140-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVADQRTKPAVLEMLGFSTHRLNLNAEVTQRLSIAKEAATRSATVQSHEDLARKLKATEEQVKKGIPSIDRGSKFGNY >Sspon.04G0007750-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:21929412:21935904:1 gene:Sspon.04G0007750-1A transcript:Sspon.04G0007750-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDSQYSFSLTTFSPSGKLVQIEHALTAVGSGQTSLGIKAANGVVIATEKKLPSILVDETSVQKIQALTPNIGVVYSGMGPDFRVLVRKSRKQAQQYYRLYKEHIPVTQLVRETAAVMQEFTQSGGVRPFGVSLLIAGYDDNGPQLYQVDPSGSYFSWKASAMGKNVSNAKTFLEKRYTEDMELDDAIHTAILTLKEGYEGQISSNNIEIGIIRADREFKVLSPAEIKDFLEEVE >Sspon.03G0041050-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3D:25815514:25817283:1 gene:Sspon.03G0041050-2D transcript:Sspon.03G0041050-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding METTRLFLALQCVQCATMQVKQQKKSNNKWVCVVCNQRQSVLRVHARGYRAADLRRFVQDANLARGRREFAPLAEPDVGWDPAAIEEQGDVLPIEKRQTEWSEYLDDLGEPGNGCGGLGADASDGVQRSKSSLFGERKGSDTTGLHWIEHDESASTEATTDVVVEDEVHPDFI >Sspon.03G0014910-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:67502899:67503174:1 gene:Sspon.03G0014910-2C transcript:Sspon.03G0014910-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGFAVDASSSSQFVSGFEEPLMACCGYGGPPYNYNANVSCLGPGFRVCEDGTKFVSWDGVHYTDAANAVVAAKILSGQFSTPKMPFDYF >Sspon.04G0005130-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:14824259:14825529:1 gene:Sspon.04G0005130-3C transcript:Sspon.04G0005130-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GWAIRAAEPIPQGTFVCEYIGEVVKADKTMKNAESVSSKGGCSYLFDIASQIDRERVRTVGAIEYLIDATRSGNASRYINHSCSPNLSTRLVLVESKDCQLAHIGLFANQDIAVGEELAYDYRQKLVAGDGCPCHCGAINCRGRVY >Sspon.04G0010100-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:29881019:29882789:1 gene:Sspon.04G0010100-4D transcript:Sspon.04G0010100-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMQVIDEYDEQSEVADRLCIKIVPLFHFYKDGVLVESFATRDKQRILAAIRKYTSAEQEPEQEQQE >Sspon.03G0026440-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:2689578:2693367:-1 gene:Sspon.03G0026440-1B transcript:Sspon.03G0026440-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein LONG AFTER FAR-RED 3 [Source:Projected from Arabidopsis thaliana (AT3G55850) UniProtKB/Swiss-Prot;Acc:A0A1I9LN01] FQFGEGIPIPIMPLPLAAQSALLAAAVAIATATVLLPFPWTPPRDRFADMVLANATIYTADPARPFADAMAVRGGHVLRVGTYESVKELKGRHTHELSLSGNVVLPGFVDSHVHFIDGGLQLARVPLRGVRSKDDFIGRVKAAVRDKHPGQWILGGGWNNDFWGGDLPAAAWLDDISPDNPVWLSRMDGHMGVANSLAMKIAGMDKNTDDPIGGTIIRTTEGEPTGLLVDTAMRLIFDVIEKVSSRERREALLRASRHALMRGVTTVVDVGSYFPGASTEKTWQDFAEIYKWAHSMEKMIMRVCLFFPMPTWSRVSDLINEHGRSLSQWIHLGGVKAFLDGSLGSSSALFHDLLMDFYSLMRVILIIMVAIHAIGDKANDMLLDMIDKVVDLNGVKDRRFRIEHAQHLAPGAANRFGKHGIIASVQPDHLLDDADSAGNKIGVERADRSSYTFRSLLDGGAQLAFGSDWPVSDINPLQAIRTAMFRKPPGWELPWIPAERLTLDQSLKAHTMSAAYACFLDHAVGSLSEGKYADFVVLPSTSWDEFSNDVPEQVLATYVSGRQAYP >Sspon.07G0008660-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:23794644:23811794:1 gene:Sspon.07G0008660-1A transcript:Sspon.07G0008660-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:E3 ubiquitin-protein ligase KEG [Source:Projected from Arabidopsis thaliana (AT5G13530) UniProtKB/Swiss-Prot;Acc:Q9FY48] MRVPCCSLCNVRYDEEERTPLLLHCGHGFCRACLSRMLAAAPGATLACPRCRHPTAVGNSVSALRKNFPILSLLSASPSSPSFLHSDSGSSSDGSEDEDDFFARPSRRPAPAPAAPPPGCSSFDLASHPDLKLARRIGSGPPGPAGQEVWAGTLSRGGGVKRCKHQVAVKRVPLAAGDGLEVVQEEVERLRRASTWCRNVCTFHGAVRVGSHLCFVMDRYVGSVQAEMRQNGGRLTLEQILRYGADIARGVAELHAAGIVCMSIKPSNILLDAHGHAAVSDYGLSAILKNLTSRRVPDDSNAGIDATLLSPNYTAPEAWGPLKKSLNMFWDSANGISPESDAWSFGCTLVEMCTGAVPWAGLSAEEICKSVVKEKKPPPQYSRVVGVGLPGELWKMIGECLQFRASRRPSFQDMLKTFLRHLLDIPRSPPASPENDFANENLPNGMEPPTTSILEMVHDNPNALHHLVCEGDAAGVRDLLAKAASERNGSLIRSLLEAQNTDGLTALHLACRRGSAELVEAIVAYQENVDILDKDEDPPIVFALAAGSPRCVRALVGRSASINSRLREGLGPTLAHVCAHHGQPECMQELLMAGADPNAVDGEEAIDVPSPVGTALCMAAALKKEHEEEGRELVRILLAAGADPTAQDDPHCRTALHTAAMIDDVELVKIILEAGVDVNIRNAQNTTPLHVALNRGANSCVGLLLAAGANCNIQDDDGDNAFHIAADAAKMIRENLTWIAQMLLQPSPAVDVRNHRGWTLRDFLERLPREWIYEELMETLEDKGVHLSPTIYEVADWVKFRRTVSSPAFGWQGAGPRSIGFVQSVVDNDHLAVSFCTGEARVLTSEIIKVIPLNRGQHVQLKPDVAEPRFGWRGQSRDSIGTVLCVDDDGILRVGFPGASRGWRADPAEIERVEEYKVGNWVRIRPSLTVAVHGMESITPGSVGIVYSIRPDSSLLLGLCYLSHPWLCEPEEVEHVDPFKIGDQVCVKRSVAEPRYAWGGETHHSVGKIIDIESDGLLIIDIPNRAAPWQADPSDMEKIENFKVGDWIRVKATVPSPKYGWEDVTRNSIGIVHSLQDDGDVGVAFCFRSKPFLCSVADVEKAQPFEVGEKVHVSPSISEPRLGWLNETAATIGAISRIDMDGTLNIKVSGRKSLWKVAPGDAERLSAFEVGDWVRPKPSIGSRPTYDWNSVGRISIAVVHSIQDSGYLELAGCFRNGKWLTHNTDIEKVQTLKIGQHVRFRAGISEPRWGWRDANPDSRGIIAGVHADGEVRVAFFGVPGLWRGDPADLEIENIFEVGEWVRLRNDVEQWRSLKPGSIGVVHGVGYQGDAWDGTIHVAFCGEQERWIGPSSQLEGVSKFVVGQRVRIRGCIRQPRFGWSNHSHSSIGTISSIDADGKLRIHTPAGARAWLIDPAEVEKVEEEEEVCVGDWVKVKDSVGTPVYQWGDVNHNSIGVVHRADDGELWIAFCFCERLWLCKAWEVEKVRPFREGDKVRIRPGLVSPRWGWGMETYASKGEVVGVDANGKLRIKFRWRDRLWIGDPSDIVLDDAHLLTEASNGF >Sspon.06G0001060-1P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6C:7437024:7437956:1 gene:Sspon.06G0001060-1P transcript:Sspon.06G0001060-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCTHERTNRATFLQVYRKKSTGGFSSVPYVVALFSSVLWIFYALVKTNSRPLLTINAFGCGVEAAYIVFYLAYAPRKERLRTLAYFFLLDVAAFALVVVVTLFVVREPHRVKFLGSVCLAFSMAVFVAPLSIIVKVIKTKSVEFLPISLSFCLTLSAVAWFCYGLFTKDPFVMYPNVGGFFFSCVQMGLYFWYRKPRPAKNNAVLPTTTDGAGAVQVQGQVIELAPNTVAILSVSPIPIVGVHKIEVVEQQHKEAAVAAETRRMAAANPDGAMPE >Sspon.01G0058890-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:36273627:36277145:1 gene:Sspon.01G0058890-1D transcript:Sspon.01G0058890-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSNEGMYPPGGFTNFLQSNSSPKTVHLVGNTTSTTRISPTTPSFRGTLPGESNAQDKETINIDEDEPIEAKDECYQKKKKPKAFSAISEKLDKSIEEKDREKMAETQQIMANSKVEAARLNDKPPHDANPRDEDGSIDPEDLYTVDEFLAEQDLLEDLQDQMMLWSPMASGDFCNLMASRGLLNLPEMMSCNLMIPPYYFIL >Sspon.04G0011970-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:37191913:37199113:1 gene:Sspon.04G0011970-3C transcript:Sspon.04G0011970-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLRRRRLLGLCSGKDSLPVDLPKPIENEKTVEVVHSNVKPFSVHPLPLAKTSDVLTKSSNGSDDSKEEKTQYYPEVDILEFFIGNLRELVLSSLSHSEVSLKNIVCNRKSDKKIAFFFLVTSGKEIKRRKRHRRKQYVDQEPCIMRGVYFKNMKWQAAIKVDKKQIHLGTVGTQDEAARLYDRAAFMCGREPNFELSEEEKRELRKYTWEGFLAVTRNTITSKKQRKVGLPRRSKADLFMGQSDGDTEMANGGGSSNSDNGDAETSAS >Sspon.03G0001260-1P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:5A:306933:308960:-1 gene:Sspon.03G0001260-1P transcript:Sspon.03G0001260-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKNSCAGGCEIQASGINYRIAVSSRPHPPLKVWSRSDDDVHVQDHQDHHHSVRHVLRDVSCRARPGELLAIVGPSGAGKSTLLEILAGRLSPSPQPDLLLLDGAATHSADLRRVSGYVTQQDVLFPLLTVRETLLFSARLRLGARLPAKDMDARVEALLDDLTLRRVAATRIKDLSGGERRRASIGVEAVHDPPVLILDEPTSGLDSASALQIVGALRAMAETRGRTVLLSIHQPGARIVKMFDSVLLLAAGCVLHQGTVDQLRTLLGDAGLHLPPHVDAVEFAIDSVDVLRLHHRHASAAGLQAPPPQPQQPSSREREGRCTLQHLFQLHGKQVADEDTAAVVPVMASSAAATAGSRYANSGAREVAVLSQRFFKNVARTRQLFACRTVCMLVAGLALGSIFYDLGEDKVAERVGLFAFLLTFLLSSTTEALPIFLQERDILAKETSSGAYRVSSYAVANAVVFLPFQLALAVVFAAPVYWLAGLRRTAAAFGYFLLVIWLILYTANSVVVCFAAAAPDFVVGNAAIQGVMGSFFLFSGYFIARSAMPACWVFMHYLSLFKWPFEALLVNEFAGGGRCVVRALGQCVATGDEVLRREGLGEECRWRNVGVMVAFMAAYRVLGYAVLRVRCSLALNKGTVAAGSPGLGLSRRLRSQLAIIGASAWPSPSSSSTPP >Sspon.07G0007820-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:18569253:18572954:-1 gene:Sspon.07G0007820-2B transcript:Sspon.07G0007820-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSAGPRRVSLKAHRPDWKSELRTNCLRRVRKDRTDLLWKIREQGHLPANDMEKVESAVRNIISDEIEKLKQSNEGKEEQEMDVIWEYQGPQYAKPAEIESEDVLLEMERLLYEDIREELIRKQLEALDEEDAYLAQSVFDQMQLNDNEAAETAKLWCPVCKRGDLRETHNLIYCTLCKLRLDLGEDKMTLEFLRERLANAHTDHFDRGCKSSPKFCLQTMFGLTALYIQCEECNIFDIVV >Sspon.02G0034600-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:16934732:16936209:1 gene:Sspon.02G0034600-2C transcript:Sspon.02G0034600-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAFHFQPLAATRVHLSPLPFAAAAAAAWAPSPSSVAIAAHHHDHHGRRRFSAIVATASAAATTEFDFKAYMGERAVAVNRALDAAIPAGEPPAALHDAMRYALLAGGKRVRPALCLAACAVVGGPEAWAMPAAAAVEMVHTMSLVHDDLPCMDDDDLRRGKPTCHVVYGEPIAVLAGDALLSLSFHHMASVGSYPPDVDPEKHPARVVRAIGELARCIGSEGLVAGQVVDLEMTGTSEPVPLERLEYIHLHKTAALLEASVVIGAIIGGGTDEQIERLRKYARSIGLLFQVVDDILDVTKSSEELGKTAGKDLASDKTTYPKLLGLDKSREFAERLLSDAIEQLDCFDKEKAAPLLHLANYIAHRQN >Sspon.02G0028690-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:104402727:104405762:-1 gene:Sspon.02G0028690-1A transcript:Sspon.02G0028690-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AMANAASCVLLQVAAILPKNTASSPAHFATTVFGQAPGVVYALAFCRGDVVNDTTCGECVANTFDLFNSSLSSQQQCYKAAYYYGGSGSCSLVYSADNILTSSNTTDGNNGDDPPFTRWSLNSWGNWGNWNTTNITGDADDVALTVGLLNELLVKTVQTAASTTPERFTTGVMDSPTIVFYSMAQCTPDLSDSKCLACLTRLLGTLNFTMTLRMGGQLHVIRCFFRYEAYPFYDGKPMLHLGRPPVTAPTPVTAPVPVKHTGRMNNLWIILIAVFPPAVAAFLCFIFCCPCLRSYRTGSRRTRDLKAQEELVWQGKNSSEFSVFEFEQLLEATNNFSEENKLGQGGFGAVYKGKFPQGLEIAVKRLSSHSGQGFIEFKNEVQLIAKLQHRNLVRLLGCCSQEEEKILVYEYLPNKSLDFFISDENRRALLDWNKRIAITEGIAHGLLYLHKHSRLRVIHRDLKPSNILLDGEMNPKISDFGLAKIFTSNNTEESTTRRQAWKLFEEERWSELIDASLDTISHSEEMMRCMNIALLCVQEKAADRPTISDVVAMLGNKSIILVKPKHPAYFNLSSVGNEEASTAMRPSSINDVTISVTTTGR >Sspon.03G0021410-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:59791556:59795579:-1 gene:Sspon.03G0021410-2B transcript:Sspon.03G0021410-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box/LRR-repeat protein 3 [Source:Projected from Arabidopsis thaliana (AT5G01720) UniProtKB/Swiss-Prot;Acc:Q8RWU5] MAAAASHQQHRHPKRRRLSLALTPSPSPTPSPAAAPPLDSLADELLFLVLDRVAQADPRALKSFALASRACHTAESRHRRTLRPLRADLLPAALARYPCATRLDLSLCARVPDATLASAVSGSSSCTSLRAVDLSRSRGFGAAGVAALAASCPGLADLDLSNGTDLGDAAAAEGAPEALAGKVEAAHRHGLGCVAVGCAELRELSLKWCLGVSDLGIQLLALKCRKLNSLDLSYTMITKDSFPAIMKLPNLQELTLVGCIGIDDDALGSLEKECSKSLQVLDLSRCQNITDVGVSAILKSVPNLLELDLSYCCPVTPPMVRSLQKIPKLWTLKLEGCKFMVDGLKAIGTSCVSLRELSLSKCSGVTDTEFSFAVSRLKNLRKLDITCCRNITDVSLVAITSSCTSLISLRMESCSRVSSGALQLIGKHCSCLEELDLTDTDLDDEGLKALAKCSKLSSLKIGICLKTSDEGLTHIGRSCPKLCDIDLYRCGGLSDDGIIQIAQGCPMLESINLSYCTEITDRSLISLSKCAKLNTLEIRGCPMITSTGLSEIAMGCRLLSKLDIKKCFEINDVGMLYLSQFSHSLRQINLSYCSVTDIGLLSLSSISGLQNMTIVHLAGITPNGLTATLMVCGCLTKVKLHEAFKSMMPPHMIKNVEARGCLFQWIDKPFKVEVEPCDVWKQQSQDVLELIGRILSQTNE >Sspon.08G0026290-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:17408631:17410753:-1 gene:Sspon.08G0026290-2D transcript:Sspon.08G0026290-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSSSGARVSDSGGVVVSGSEAALPTYDPLSAAGRREAARTRALGRAVHCIPVVLLVCALLLWLSASSHTHLEAEVGLKPAEANH >Sspon.06G0015270-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:65296726:65300053:-1 gene:Sspon.06G0015270-3D transcript:Sspon.06G0015270-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLEFQVMTADIDEKSIRRENPDDLVMVLAEAKADAIMSRLNLPDYQKEGNQPTLLITSDIYVIIFDFEVVVHEGIIREKPTTKEEARQFLKGYSGGHVSTVGSVVVTNLTTGKRLGSLDKAEVYFHDIPDEVIENLIDEGVVFRVAGGLLLEHPLTLPFVEAVVGSSDSVMGLSKEIANKLIHDALST >Sspon.02G0048040-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2D:13741154:13746859:-1 gene:Sspon.02G0048040-2D transcript:Sspon.02G0048040-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATALSKSAVKSHPRSPTTAQPPPPPPPNPGSSAASAGGGAAPPPPPSAAVGAMPSKNAAMTELKSRVLAALAKLSDRDTHHIAVEELDRIIRAPPSTDAVPMLLNALASDSPGLASPARRESLRLLATLCASHPDAAAPHIHKVLAHLARRLKDPASDTSVRDACRDVAGQLAAVYLRPLSASGVAEAGNATVTLFVKPLFEVMGEQNKAVQGGAAACLAKAVEGAGPGPGSIGMFGKLGPRICKLLAGQGVQAKAALLGVMGSLAQVGAISSQNMQQTLQSIRDCLENSDWATRKAAADTLCVFATHSGHLIGDGTAPTIAALEACRFDKVRPVRDSMIDAVQLWKKLTGEDANDAADGRNKDLADGEGKLDSRRSMQRGGKSESFDDSSPDSPSNNVKGSSMAEKAAVLLKKRPTLTDRELNPEFFQKLETRKTDDLAVEVVVPRKTLQSHLRSEGDTEEDDDPVGPADSNGSAEDEANLTQMRASSNFQNIRDKWAGQRGNRNKDTKARTADVEHRGEPGTKDSTAATMNIPGEGPFINNKTNWLAIQRQLTHLERQQTSLVNMLQDFMGGSHDSMVTLENRVRGLERVVEEMAREISLSSGRRGGGPALGFDSSPGRSSKYNGFHEYSNSKFGRGGDGRMGFAERYFSADGMASGTKNPSRRPDSEQWDSYAYSGSRSSMNARRGLDPVSSDNRMPRNERSNDQVGPRRGWDKGQGPFRFGEGPSARSAWRASKDEATLEAIRVAGEDNGNSRASARVAIPELDGEALNDDNQGDERGPLWEAWTRAMDAVHVDDMDSAYAEVLSTGDAELLVKLMEQTGPIVDQLSNEVANEVLHAVGQFLVEESFYDVALNWLQQLCFEIMAPFKRMREPSTAR >Sspon.06G0010620-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:58045901:58048674:-1 gene:Sspon.06G0010620-1A transcript:Sspon.06G0010620-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AUGMIN subunit 7 [Source:Projected from Arabidopsis thaliana (AT5G17620) UniProtKB/Swiss-Prot;Acc:Q0WTP1] MASKQMEEIQRKLSLLEYPRANAPAQSLLFAGVERYRLLEWLFFRLLGDRSPFTQQNWQGDSLDRDEENNRIQHLAEIANFLGITPSVDTEAIQGRGSYEERVELLQLIVDLVEASCYADNPEWSVDKQLEKDVQLVDSIAEKQAQIFSEECKLFPADVQIQSIYPLPDIAELELKLSEYTKKMSNLQQMVQELASKYDYNPNEDYAETELKLREHLKSFLETVKSFNTIYTKEIHPWTHMMEVPQLHGFGPAANRLLEAYNTLLKFLGNLRSLRDSYTAMAAGSLSASNEPSSVTKIISDCESALTFLNHSLSILSTSVA >Sspon.01G0010690-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:30106512:30111056:-1 gene:Sspon.01G0010690-2C transcript:Sspon.01G0010690-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFPLSDKNARPRPPRAPCPVAVAFGPRKLPETGDHPPRSPCPRQKENMGRSYDYSPSPPRGYRRRTRSPSPRGRYGGRGRDLPTSLLVRNLRRDCSGRGRSYDRRLRSRSPGNSDSPRGRPRSHSPSYSPAPKRKHYSRSPSPRPRERSVSRSPADSRSRSASPNVSRSPRRQRSLSVSE >Sspon.03G0012650-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3A:35008996:35011642:1 gene:Sspon.03G0012650-1A transcript:Sspon.03G0012650-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGGPLSPDEYRVASPPALLHQPASLIVVAIDRDRNSQLAVKWVMDHLLSGASQIVLLHVAAHYPTNHGFAMAEMTQGALEAEMKEIFVPYRGFFNRNGQVEVSEVVLEEADVSKAILGYITANKIQSIALGGASRNAFTKKFKNADVPSTLMKCAPDYCNIYVVAKGKSVNVRLAKCGVPPMHSGAEIPSDTDSQSGLYMRRGSRGHLPPVMPDATRRSVDGRTLPELNTRPAFRERSLPSSATKNVVVLPGKDYSETSSRSARHESFGGDLDFGPSTRFSSIDFGDNLDLSTTLTASPAREPMSPATGAQRDIEVEMRRLRLELKQTMDMYNAACKEAINAKQRAKEMQMMKLEEARRLEEARHAEESALAVAEMEKAKCRAAMEAAEAAQRLADLEAQRRRNAEVRARREADEKVRALDAISNHDFRYRKYHIDEIEMATERFSDELKIGEGGYGPVYRASLDHTPVAIKVLRPDAHQGRKQFQQEVEVLSCIRHPNMVLLLGACPEYGCLVYEYMEHGSLEDRLFRRGGTPPIPWAQRFRIAAEIATALLFLHQTKPEPLVHRDLKPANILLDRNYVSKISDVGLARLVPPSVADSVTQYRLTATAGTFCYIDPEYQQTGKLGVKSDIYSLGVLLLQVVTARPPMGLTHHVEKAIDAGTFAQMLDITVKDWPVEEALGYAKLALKCTEMRRRDRPDLATVILPELNRLRNLGHAYEARMNAAGAYGGSGESGAQVSATSMTVGGSWKTAES >Sspon.01G0035970-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:13605484:13607229:-1 gene:Sspon.01G0035970-2D transcript:Sspon.01G0035970-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GMSHFHPTQHAGDNDFQMWQQQMMFKQLQEFQRQQQLQQSDHGARMQPSFGQFHAPAKPLPADQLSTMPNEMVNNEAMNSAWPHNFTGGDPSLTSNSQMLNNGSTNWDQIVGAPGVGNFMNGSMLANAQNQSMRPMGLATHQVDQSFYPMHATSSRGPGNQYSQFLGIPADPQSALARVGSDQSEKTSRPFNSSMNEHGLHMQGTASLMHNFRGKGGFLNNSLMQSQGDNIRAGSPVTMNQLQHGFQTHDFHGRSNQVDLQAGMQEKSAMQVGQASRGASLDPTEEKILFGSDEDSNWGALLRGDNDNGNSLDNDNYGGGYSSLQSGSWSALMQEALQSTTSENSPKEEWSGLSFHKSEQVMANNATLSGRDDNKLTGLSSANLENARPSPSSSYADGTMNNPNLASFQHAIRPPFERRDHMAHESPTAPVNNHQSTSEVNNGYFQQGLKQIQSDGRQEQAHLANGIWPHQKTELLRNNLQSTGVHATPPGAHGFWMSQQNTADHNINRESNNNQNDWKANNALGQDMRNSQSVFNSNENSWKSTGGNANSVQRLQQRKSDVSTAHESSDGKNVSMMSSSMQ >Sspon.01G0057660-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:13858085:13861161:-1 gene:Sspon.01G0057660-1P transcript:Sspon.01G0057660-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATAAGAAAAAAAAVSLIACYLLLHKSRPNLPWVPTVGFSRASGRRARRRGLVEAIGNTPLIRINSLSDATGCEILGKAEFLNPGGSVKDRVAVKIIEEALESGDLVYGGTVTEGSAGSTAISLATVAPAYDCRCHVVIPDDAAIEKSQIIEALGATVERVRPVSITHRDHFVNIARRRALEANKLAAAQRESNEKQINGLSHVGSEMMDDKLTAMQRESNKMQNNDPAHVSTEIPHCGRCDVISDSKGGFFADQFENMANYQAHYEWTGPEIWKQTKGNLHAFVAAAGTGGTIAGVSRYLKEKNRSIKCFLMDPPGSGLFNKVTGGVMYTKEEAEGKRLKNPFDTITEGIGINRVTKNFMMAELDGAYRGSDREAVEMSRFLLRNDGLFVGSSSAMNCVGAVRVAQDLGPGHTIVTILCDSGMRHLSKFFNEQYLADHGLTPTATGLEFLDK >Sspon.05G0024210-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:9862401:9863809:-1 gene:Sspon.05G0024210-2D transcript:Sspon.05G0024210-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin-like protein CXXS1 [Source:Projected from Arabidopsis thaliana (AT1G11530) UniProtKB/Swiss-Prot;Acc:Q8LDI5] MEIQHRGGVGNSKVVKVQSEEAWDLFTDQASKEGRPVVAHFGASWCVTSLSMNYKFEELAQTHPEVLFLYVDVDDVQSVSSKYGVKAMPTFFLIKNKEVVRKIVGANPDEVKKLVDASAEPCETPQIVVE >Sspon.07G0005670-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7A:14541635:14542984:-1 gene:Sspon.07G0005670-1A transcript:Sspon.07G0005670-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSAFFLLVYLLYSLHVPACSATTDSISAGEALIGHAKLVSSNGRESPVIGHHRTTKLAIFEDGNLAIFNQATKSVVWSTHASITGKNSTAVLLDNGNLVLRDATNSSNILWQSFDYPTDIMPPGAKFGIDKTTGLNRRVVSKRSMIDPSPGRYCQELDPTGAPQFVFTLCNTSIVYWSTGEWNGQYFNAMPEMSGRTLFDYKFINNDKEEYFQSILLEKDLISISILDISGQNKLLIWLEAKQEWTTIYTQPKDLCDIYATCGPFTICNSNAPSPCGCMRGFSARSPEDWEQEDHTGGCTRNTPLDCSTRNHSGAKTTDKFYPLPDATLPTKGDIIGSVWSTEQCEQACLNNCSCSAFAYSSGDRCSLWYDDLLNIRSYTNGTTDDGGILYLPIAAKDAYNWRNSKR >Sspon.02G0046580-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:2092571:2097035:-1 gene:Sspon.02G0046580-2D transcript:Sspon.02G0046580-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGSERLLLLLVASSSLLSAVIAAQQTCPADLHSKCDGAASDDWEGEFFPGVPKIKYEGPTSKNPLAYKWYNKEEVILGKKMKDWMRFSVAFWHTFRGTGADPFGAPTKAWPWEDGTNSLAMAKRRMRAHFEFMEKLGVDKWCFHDRDIAPDGKTLEETNANLDEIVELAKQLQGETNIKPLWGTAQLFMHPRYMHGAATSPEVKVYAYAAAQVKKALEVTHYLGGENYVFWGGREGYQTLLNTDMKRELDHLANFLQAAVDYKKKIGFNGTLLIEPKPQEPTKHQYDWDVATTFAFLQKYGLTGEFKINVECNHATLSGHSCHHELETARINGLLGNIDANTGDPQVGWDTDQFMTDIAEATLVMSSVVKNGGLAPGGFNFDAKLRRESTDVEDMFLAHISGMDTLARGLHNVAKLIEDGSLDDLVRKRYQSFDSEIGALIEAGKGDFETLEKKVLEWGEPTVPSGKQELAEILFQSAL >Sspon.01G0063140-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:114194795:114196684:-1 gene:Sspon.01G0063140-1P transcript:Sspon.01G0063140-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATARLRSAASLRGALLRHFSVGPASTPHAVSRVPDFHVPQSIMWRHFSTSRPNSLARHDNFGLVACLHTQIRWASQAAAVKETEASGSKISIGPKPKQIKEDDEDANLVYQGPISSTIKKVKLLSLSTCCLSVSLGPVITFMTSPDMNVILKGAVASTVIFLSATTTAALHWFVSPYIHKLRWRPGSDSFEAEVLTWLATPLKRTVKFADVRPPETNRPFVTFKAEGNFYFVDAEHFSNKALLARLTPQKLPHESAFKNL >Sspon.03G0019970-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3A:62038822:62043524:1 gene:Sspon.03G0019970-1A transcript:Sspon.03G0019970-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPNFKMLLDEIKVVQTSIANIDSRLSSRIDSVEHAIAGRFDRIEHAVQVFDTWKPKVDASMEEFRAEIGAFRKTDESVDRIRSEMTALRKTVSRTALESTTASPTGVLPPPLAFPTPATAGGSIFSPIGHGVESSHRGMGFEPKSPVKGTQSHLHPIPPPKLHRSFSGSALVTTGLGGTNGDRGDWPGGRGHHDPRPPWETNHTGNKIPKMNFPKFDGENPKLWITWCEDYFDLYSVEPEVWIKCATMNFVNSSAAARWLQSLDPRVKRQPWKDFCQLVLNRFGKAQYEALVRQMFNIRQTSSVQDYIDRFSSLIDQLVAYGRSTDPVFFSMRFVDGLRSDIRNPVHMQRPQDFDTACVLALLQEELQDPAGRKDSRRPDMYSVTKPPPKGALPLPLPPPRFERGIQEPPPVEERRGRGVDDKLKTLRDYRRARGLCIRCGERWSRDHKCSETVQLHVLQEFWDICHSVDPEAPEQDTASNSDAQVFLAVSVAALSGKSSFNAIQFQGQVQGHSASILIDSGSSHTFVSRSFAAKLSGQSPLSQPLTVKIADGQIIQCDSEILQLNWSVQGCEFQSDAKILSLAHFDIIVGMDWLARFSPMQVDWHEKWNFSLSSFHPKDILHPETVNILFHYCRVLLQSTFGPTVILRRSKMRLIMDKIFTSTLWKELFKLAKVDLHMSTAYHPQSDGQTERVNQCLETFLRCFVHACPRQWHQWIDLAEFWYNTSLHSALGRSPFEVLYGYSPTQFGVQAMDDVPVTDITSWLHDRALMSTVIHQHLLRAKHRMKKYADEQRSERQFNVGDWVFLKIQPYIQSSLAARSNQKLAFKFFGPYRIAARVGSVAYRLELPPSSSVHPVFHVSQLKKAVGAHHSVTTTLPPSSVIWSVPARILQQRQITKGTRSVQQGLIQWSNLPASLATWEDLQYLRQQFPRAGIWKQPAAQGRGNVSASAADDDDEPAPGSIAASPDAEPAGGPLPDGLNARARRPMKRNPRYFGPAWQSK >Sspon.02G0022310-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:75944432:75947467:1 gene:Sspon.02G0022310-2C transcript:Sspon.02G0022310-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGRSPAVSGRRRSRQKGSLTLSSRPPAQELPPLPPGTEIEARVDDEGYYGSWFEATVVDFKPASGPRYPALYTVAYSHLVSEDGPEPVAASHVRPRPPPPPSAPGSSSSPPAPGFQLHDIVEAFDCDGWWSGIVVAPVPDLADPGSTVTVAFPITRKVMPFSAHLVRRRRDYIGGEWVPSLSVVAFQPKRGARIYKAGEKVEVLREREAYGASWFPATVAKAVDRLSYIVEYLDEQEGGGKATEYLHFGYIRPAEYHRLRESKVQLGPGTAVEVHCDGAWSQGVVRRVVREGCEYVVSVNGEMVEQLLTKAADQLRPLCIWNGKHWTIPTDKGQHNLRQQYASQKRTISPADATSSDDEGLQRASVSETNATLSGLCKSLASNHCLNSCSLLSEKNGLSVFPHRTLNTCSVSKNGLLCSSSGYSAPPDELMPNGIGETESQDVEMVLSDGQHKTLVCGRNANETCDMLSTPEVRKQNIASSLINQQTQERPFFVKTLGVKKGITKNRRVETHSKSHQGKNDAPEHVTGGTSSGSDAEGVNFNLLQWLETKVPFVKRSPAWRLMDVMDVFKEVPQQPHFHPLRQFLPASREEMALGLLVTFASSVEDIRKSSIGDSTASLEEKIATLRHLEENGFSVQFLRSRLIKLLRIKSDHSNYIAEKEQLKALLLEKTTSLSQIDKRLEKKEQTIAELEEELGRARWEAQKIMEEKECEDEELSRLKAADRSVEEAC >Sspon.02G0051530-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:79926045:79929272:1 gene:Sspon.02G0051530-1C transcript:Sspon.02G0051530-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFFLPCLFLSLSLHLASITSGESPGDIQFLYLGFVGTNLSLDGTATITSNGLLELTNGTLDSKGHAFYPIPLHFRTPNGKVQSFSVCFVFGIRSSYLSMTRQGLAFVVAPSKNFSDALPNQYLGLTNIVNNNNPMNHFIAVELDTVQNIEFKDIDSNHVGIDINGLNSIESHTVGYYDEISSSFHNLSLNSGNAMQVWVDYSGEAKQINVTMATLEMEKPARPLISTTYDLSTVVQESAYVGFSSSTDEIDSRHYVLGWSFAMNRSAPKIDIARLPKLPRNGQKPQSKLLEIILPIATALFICVVGFTIILLLRRRLRYAELKEDWEVEFGPHRFSYKDLYHATEGFKNRNLLGAGGFGKVYKGVLQSSKLEIAVKRVSHESRQGMKEFISEVVSIGRIRHRNLVQLLGYCRRKGELLLVYDYMSNGSLDKYLYCVDGKLSLNWDQRFQIIKNIASGLLYLHEKWEQVVIHRDIKASNVLLGSDMSARLGDFGLARLYDHGTDIQTTHVVGTMGYMAPELVCTGKASPLTDVFAFGIFLLEVACGQRPVNNNTGDEQALLVDWVLEHWRKGSLTETVDTRLHERITNASHPLSTHSITHSTAAAQYEQEEEAGHRHVGRRVAAGHHQKLPLADQMPTPSCQACCLLSSPFVVPSSQVHIKVDFFVPPPMLDSWLRPFP >Sspon.04G0023200-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:231519:234231:1 gene:Sspon.04G0023200-1P transcript:Sspon.04G0023200-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAHDFGGGDSELEEQSNEGYQEPAFEAFMCPLTRQVMHDPVTIETGQTFEREAILKWFRECRDSGRKPTCPLTQAELRSTDISPSIALRNVIDEWRARNEDKELDKAFASLARASAQDDADTLRALLYVSHLCHRSAAKKTLVRRQGVIPTITDLLKSSSRRVRLKALEVLRLIVEDNHENKEELGKGDTIRTIIKFLSNENFQERELAVSLLYELSKLDPICERIGAIYGAILLLVGMASSKSENGVAVEKAENTLKNLETYETNVKQMAENGRLQPLLTKLIEADHKATAAGTPEVQVAMAEYLGELALANDVKVVVAEQVGALLVSIMKTGSLPAREATLKALREISSNESSAKILLQAGILPPLVKDLFSLGAGHLPMRLKEVSAAILANLVASGASFRSIPLDDSGETLLSEEMVHSLLHLISNTGPAIECKLLNVLVGLTGSPSTVADVVSAIRSSGATISLIQFVEAAHREIRVESLKLLRNVSPYMGAELADALGLGGSTGHLGSLLGVVTVTEDHRSGVTEEQAAAVALLGDLPERDWNLTRQLQELGAFRALATKLVELRRGTIRGNRHVAPFTEGAVKVLYRVTCALQEEEAEVYVELAREVGLAPLFVELLQQMSSGQDAVLLYSAMALENLSLQSARLTDVPEPPPPPRSLMCGCFGQPQPQPPGAMGTCRVHGGFCSLRETFCLAEGGCKAVERLVACLEHADARVVEAALAALSTLVGDDVANATEGVLVVGEAEGLRPVVEVLVENRTEALRRRAVWAVERILRVEDITLEVAADQTVASALVEAYRNGDVRTRQTAERALRHLDRIPNFSSAFHQAKGRA >Sspon.02G0041850-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:73449101:73454721:-1 gene:Sspon.02G0041850-3D transcript:Sspon.02G0041850-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTRVAFVVALILRFIYGGMHIVAKGAFDEGMSTSVFVFYRHATAILFMVPVAFVLERKTAPALSYKVSLKLFVHAFFGYVQVRISGSINVYSIGLSYASATSSSAISNVLPVVAFFFAVLLRIESLNVKTFQGTAKLTGIVLCLAGVIELAFYQGPELKSFNHHRLLHHISNSHAEVTAHAVRSWLLGIFLMTLGTIFWAVWTVLQGPMLDAYPSKLLNTTLQVVFATTQSFFIALAVERDFSRWKLKLDLSLIAVLYSVWVIDKSGPVFLAMTMPITLLVTIVLSSFLGEAVTLGSVLGGIIMVGGLYCVLWAKRAEQVDVTKEETASPVQATQ >Sspon.02G0015990-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:42399379:42403857:-1 gene:Sspon.02G0015990-2B transcript:Sspon.02G0015990-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGAGVEPPAPPAEAAAAAGLPPRRLRPSRVSAKRSWPPGCGRFPAPPPAPASSAAAGPEVNGVDGASTVGGGRADEEAAPAVISPSVQNGCPDKVEEAAVAPATVSPVRQNGAPPRQQGSDKVEEVADPAAIPPSDQNCALPQQDENKVEALAAILPAARSSALPHVLPQSGPERARQDGDGGENGEAQLLRDAGELSSDGQEGNRVVQVAVPMVAVLGSCRTVGASSVHNGDKGDGLLVAEEKGRGGSSDVGQEVAVNGDVTEIGNKTGGSELQRKENGIAGRRRKRWMEPSLNPPPKKRAVSAVRKFPPGCGRTAVTTEVSEVLKGSPVHTFSPGCGRATVTTTDTGVLDVSPISTFAPGCGRSPVNATGSGDEGLPSEATPVNNGDALVARPGLGESASPTLALEASNKKLESKKIVDEGHSKAHNRVQVRDDFAGTKQDGDQRNAVPKATLRSVSDGKMKGKLSAHKGKQVAQEVVGDKMKNKLDGSLQRSNLRTPLSNSINAKTKVKRLDSDKMNVGLLGNAGASAGGKMESKTLSAKKEVACSNMNTKQKKFAPKLKGDDIGKDNLHSSARESKLGKHVATDQIEEPNQIIVQALMAPDNCPWTRGRKSIASASKSLVPRNKLKGKDASSSKPLVPRNKIKGKDATPKDIPTRKVDFSDSINDETMDDNETRGRKSIVSTSKSLVPMNKLDATPNDIPIGKVASFEVSNDETMDDNDDINLEDDDNSRALVAYGEKREICVTVLPSVPSGSHHKQPRDHDIDARSKVRKLLQLFQATYRKLTQVEEQGKRKVGRIDLEAAKALKNDPIYKKIGAVVGNIPGVEVGDEFHFRVELSIVGLHRPFQGGIDDAKVNGVLVALSIVASGGYPDELSSSGELIYTGSGGKAGGNKGRDDQKLARGNLALKNCIKTKSPVRVIHGFKGQSRSEVGHSKGKQTSTFTYDGLYEVLECWQEGPKGEMVFKYKLQRIAGQPELALHVVKATRKSKVREGLCLPDISQGSERIPICVINTIDDMRPAPFKYITKVIYPALYEKEPPKGCNCTNGCSDSIGCACAVKNGGEIPFNFNGAIVEARPLIYECGPSCRCPPTCHNRVSQHGIKIPLEIFKTGKTGWGVRSLSSISSGSFICEYTGELLKDEEAEKRQNDEYLFDIGNNYHDEELWEGLKSVVGVGSSTSSCETMEGFTIDAAECGNVGRFINHSCSPNLYAQNVLWDHDDMRMPHVMFFAVENIPPLQELTYHYNYNVGEVYDKNHKEKVKHCYCGASDCCGRLY >Sspon.03G0012660-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:35067829:35071390:-1 gene:Sspon.03G0012660-1A transcript:Sspon.03G0012660-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLKLQKRLAASVLKCGKGKVWLDPNEVSEISMANSRQNIRKLVKDGFIIKKPQKIHSRSRARRAHEAKQKGRHSGYGKRRGTREARLPTKILWMRRMRVLRRLLRKYREAKKIDKHMYHDMYMKVKGNMFKNKRYPQDKAEKLREKTLSDQFEAKRAKSKASRERKIARREERLAQGTKESKEVKYGNKAF >Sspon.02G0001570-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:5664970:5673674:-1 gene:Sspon.02G0001570-2P transcript:Sspon.02G0001570-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALVGRAVRKAFPGYGTFAGVVESYDPDAGYFRVLYEDGDSEEVDADEMAQILVGPAMPSALQQLQYTPPRDAAGRRPKKRRRGDGDGGEDDSSPTLTPDGVVLAVPAAGGEDTEPATPAEASGGQAAAEKKRRVSPGPESSRPLRRSARQAKAAERAAEMEAAAAVAAAAEAEEEAAVAAASTPQQSGRKRPRANGSGRYRSVSRDLEAAAVKELPPKPELPPSSQGLDLGGLPVLDVFQVYSCLRSFSKQLFLSPFSLETFVAALRNLNWELLDLATWPIYLAEYLLTRGTELRYGMKLTDLSLLSTEYYRQPAGVKLELLRSLSDDVLEIGAIRSRLSESDGNDEGFRGTGVRRKKRGSSAKVAVDSSQFPEGSAEMDDGNSDECYLCGMDGNLLCCDGCPAAFHSKCVGVVEDLLPEGDWYCPECLIQKNDGSRNIANPMRGAEILGTDPHGRLYFFTCGYLLVFEAKLGLLIKPKRIRKIHHLRNNPEIGSSILILLANAAEELKLKLCENKDGKKPVQDLESSLRRVAITAEWQKPADSVEVVGSAAHILVRSSNKSLSHGSARKPGRKLSPNGELKVDSRDVGVYWWRGGKLSRQVFHWKRLPQSLVNKAARQAGRRKIPTILYTDGSQFARRKRIEGTNVEYLLDFGKRENIPPVISKHGTKLEEPSSERNRYWLSEGHVPLNLLKAYEAKTFARLLKKKETDELPKKTKKMRVPKPEMPRKTGFDYLFEKAEKRSTMFCGHCHKEVIASEAVNCQYCAAIFHRKHFTVPRGARNAVYVCNKCLDEKVLKVGSPQKKAAPKKQKKQNKQKKQKKQSRKIETRRNQIVLKYKKKIGKKGKRGRPRKNPPDLSKNESSKILENWFHGDIYSVTIENVNNLIGFKCHRCRLRSLPVCPYAETVTILKGQSDKDHGIKFVDHSVNKFVEDEDPNCPKDLGALGSLQELHDHDIERRLNGHITEIEFSYNNCLEELNGHGSLKELDAHSTEKDPEDDKSLKKVDTHNELKELDDPGSEKEPGDHYCPKDLNSHINLKDLDNCRTDKEIKNDNCLNELDGDNNWKQFDAHSTEKDPEDDKSLKNTHNELKELDDPGCEKEPGDHYCPKDLDSDTNLKDLHNCRTDKEIKNNNCLNELDGNNNWKALDSHNSQEELDCTTNSKFSPKEIQCPMELDGFSSLKLVNHNVLEELDNHNCLKESGNKNSSKELDNNESPKDPGDFLAEHFNNIRISGKEAL >Sspon.03G0010440-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:35471503:35477350:1 gene:Sspon.03G0010440-2B transcript:Sspon.03G0010440-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIKDEQQPLHILFLPFLAPGHLIPIADIAALFAAREVKCTILTTPVNAQVIRSAVDRANDASRGTEGALALDIAVVPFPDVGLPPGVECGPALNSMEDREKFFHAVQLLREPFDRVLRGESPRRRGDRQLLRLGAPDDPDALVLLPGLPHRVELRRSQMFEPKKRPEHWAFMQRGNAADQRSYGEVFNSFHELEPDYLEHYTTTLGRRAWLVGPVALASKDAVTRGASNGLSPDADGCQQWLDTKPEGSVVYVSFGTLAHFSPPELRELARGLDLSGKNFVWVISGDADTEESEWMPDGFAELMARGDRGFIIRGWAPQMLILTHPAVGGFVTHCGWNSTLEAMGAGVPMVTWPRFADQFYNEKLVVELLKVGVGVGSTDYGSKLETRRVIGGEVIAEAIRRVMGEGEDAEAIREKAKELGEKARCAVAKGGSSYNDVGRCAGAGTQPAMATKHEQQPLHILFLPFLAPGHLIPIADIAALFAAREVKCTILTTPVNAQVIRSAVDCANDASRGTEGALAIDIVVVPFPDVGLPPGVECGPALNSMEDREKLFHAVQLLREPFNRFLEEKHPDAVVTDSFFDWSADAAAEYGVPRIAFLGSSLFSRACSDTTVRNNPVEGAPDDPDALVLLPGLPHRVEMRRSQMFEPKKRPEHWAFMQRGNAADQRSYGEVFNSFHKLEPDYLEHYTTTLGRRAWLVGPVALASKDAVTRGASNGLSPDADGCQQWLDTKPEGSVVYVSFGTLAHFSPPELRELARGLDLSGKNFVWVISGDADTEESEWISDGFAELMARGDRGFIIRGWAPQMLILTHPAVGGFVTHCGWNSTLEAMGAGVPMVTWPRFADQFYNEKLVVELLKVGVSVGSTDYASKFETRRVIGGEVIAEAIGRVMGDGEDAEAIREKAKELGEKARRAVAKGGSSYNDVG >Sspon.07G0020970-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:78483334:78484154:1 gene:Sspon.07G0020970-1A transcript:Sspon.07G0020970-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGKDNHHADKGCYPPFGYPPVHSTRSYASAPPVAYPYPYAHGSICPPPLGSYPYPSPMYSSHTGYPPSGYPSYTQSAYPSMGGYPGASLYATQHHGHGSNMGATLVGGAAAAAAAAYGVHHLTHGHHPHGHHLGHFGKFKHHHHGHYGKFKHGKFGKHIGLGGKHGLLGWKHHHHGFFGGKYKRWK >Sspon.02G0007990-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:23138962:23140800:1 gene:Sspon.02G0007990-1A transcript:Sspon.02G0007990-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPFCAACRLAVPAPSPPAARAPRPRRGLVAVRAEAGGGINPAIRKEEDKVVDTVLTGELAKPLTAYCRCWRSGTFPLCDGAHVKHNKATGDNVGPLLVKK >Sspon.03G0036950-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:95794051:95794776:-1 gene:Sspon.03G0036950-1B transcript:Sspon.03G0036950-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding PPMKSNKDLCTVERRGRVHLITITGAGEHRLNPDLLASLRSAVAASAGAGALVLAAEGKFFSNGFDLAWARAAPPHLHGTMDGGLRVLVADLLALRMPTVAAVTGHAAAAGCALALAHDAVLMRGARGFLYMSEVDVGIKFVDFVAAVLRDKVPDAAARRDLVLRGDRVAAAEAVRRGLVDAAVDGGPEDVVAAAVAEAERLAARGWDGEAVAEIRKAAWPQLWGQVKDHGAGLAPAAARPR >Sspon.07G0020440-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:79959233:79963346:1 gene:Sspon.07G0020440-2B transcript:Sspon.07G0020440-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGRIEIKRIENNTSRQVTFCKRRNGLLKKAYELSVLCDAEVALIVFSSRGRLYEYANNSVKATIERYKKAHTVGSSSGPPLLEHNAQQFYQQESAKLRNQIQMLQNTNRHLVGDSVGNLSLKELKQLESRLEKGISKIRARKNELLAAEINYMAKRETELQNDHMNLRTKIEEGEQQLQQVTVARSVAAAAATNVELNPFLEMDTKCFFPGGPFATLDMKCFFPGSFQMLDAAAAQQRQMLATELNLGYQLAPPGSDAANNNPH >Sspon.01G0029010-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:100943242:100947530:-1 gene:Sspon.01G0029010-1A transcript:Sspon.01G0029010-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPRPAARAHTPTAPLRSALTHHHLPMLPRCCHRRCPGAFCLAVLLLLHHLHLHAGAQAALALARQDVAALHGLRASLGVRASDWPDRADPCAFWSGVACRAGRVAELRLSGLRRTRAGARRAAFAVDQLRRLTALEAFNASGFPLPGRIPSWFGRGLPPSLAVVDLRNARVNGELPTDLGVSGNLTTLVLSGNSLSGSIPPSLFSIPGLRVLDLSANDLTGPLPNMSFSASGGAGVLFNASGNSLYGAIGDAAGSLRKRFWVVDVSDNYFDQVLGAGFQNGTDGVADFRMNCLSGAASQRTRGDCEAFYTRNGVRLALDPKPSSPSPQPQPPQVLLMPSTSKRGGKWKFVLAGVLGGAAIVVILGLSALVVCLLRRRGRRPRGRGVEQTEEGIRSGRRSSSVNPVTMSPTASPGASGSPKGLPVIIDEFTDEQLHHAAGGFGDDNLVKRGHSGDMYHGVLESGFQVVIKKIDLKSSKKCQGELSFLTKHSHGRIVPLLGHLAKDEEELLVYKYMAKGDLTTALHKKSVEVEQGLRSLDWITRLKIAIGVAEGLCFLHDECSPPLVHRDIQASSVLLDDNFEVRLGSLGEICTQQSAGSQSFFSRILRSSSITLANYNIMSLNHIDKTSAKIKRVHGPLTRTYQVHQLAAHTMFTVLGRCY >Sspon.02G0027960-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:120180286:120183803:1 gene:Sspon.02G0027960-3C transcript:Sspon.02G0027960-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNLLTDSFELGKREQAPGNVDIELGLQGDLTSSAQPGFEGFYEQVKEIENLLNTLTKLLKDLQNSNEESKVVTKASAMKEVKKRMEKDVNEVTKIARLAKSKVEQLNKDNAANREKPGFGKGSGVDRSRTTTTVALTKKLRERILEFQVPPFNFKFHLIIYSFLQISNRTVTGERADEESHIQTIDKLIETGDGEQIFQRAIQEQGRGRVLILSAYVLDTLQEIQERHDTVKEIERKLLDLQQIFLDLAVLVEAHGEMLDNIETQVTGAVEHIQTGTKLLQKAKKLQKNTRKCTCIAIIILLIIILIVILSLKPWSWGK >Sspon.03G0025560-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:123461:128439:1 gene:Sspon.03G0025560-1B transcript:Sspon.03G0025560-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKCYFLVCLIPLDMSVENLFYNMVARRKTLQNSNDDYPRFPSHQCELFLQKDLIRHPLYHHSSDVIGYHLLLMSQHGANRADVHSSSTSSRLDAIRNIYGASVVRDLIEIEVSDEDAGDAVFKMDGYISNANYVAKKITMILFINDRLVDCTALKRAIEFVYSATLPQASKPFIYMSINLPSEHVDVNIHPTKKETFLYLKVETSYDVCAHAVNSSALTQVCTQKDKGTDVKMASGMKSQKTPVSQMVRTDPRNPSGRLHTYWHGQSSNLEKKSDLVSVRNVVRSRRNPKDAGDLSSRHELLMEIDSHCHPGLLEVIKNCTYVGLADEVFALIQHNTHLYLVNVVNVSKELMYQQALCRFGNFNAIQLSEPAPLQELLLMALNDDELIGDENDEEKLEIAEVNSKILKENSEMINEYFSIHVDQDGNLTRLPVVLDQYTPDMDRLPEFVLTMGNDVTWDDEKECFRMAAAAIGNFYALHPPILPNPSGSGVQLYKKNKDYMASGEHVDSTDEDDIDHELLAEAETAWSQREWTIQHVLFPSMRLFLKPPKSMATDGTFVQVASLEKLYKIFERC >Sspon.02G0053890-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:108867360:108883471:-1 gene:Sspon.02G0053890-1C transcript:Sspon.02G0053890-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSTADLAIQAARDGNLCLLKQISNELGLRGVKGFKGRTLLHFAAGGGHLEACKFLVEDLGFHVNSTSAEGETPILVATEAEGDGNLPVLRYLLDRGGDPAMPDARGFTPLHNAAENGHYEAVRLLLSKGVPVDPLNHRGTPLIVAAAMGQDQVVKILLDHGADPNKVVHYDHSPLTMACCARSLKCVKLLTQAGADVNSKSPHELPVLMIAVNKGLTDIVKFLLEVGADPNIPDMRGNFPIMLAAGHEHRELVEILFFQTKPIPLFPDWSVDGIIRAMKYLSFEPQEQKFADCYILIYMLEPLERYGPESVEEQIAVAKSKGKEAFAKGDYVDAAYSYMLAMTKNPHDATLFANRSLCWLRLREGERALSDAQHCKTLRPRWAKAWYQMADKVNLRETKDTKGRNALHWAAVNGHLEVCRFLVEESGLDVNSDSESGQLPVHSAAAGRSESVLRYLLDRGGDPVRPDLRGTTPLHFAAEEGNCEAVRLLLSKGVDVEPVNFMGTPLHLAASQDQDQVMKILLEHGADPKRVVNYVFTPLLMACNGPSLKCAKLLAVDDGFTEIVKFLLEAGADPNIADEDGRIPIMCAGAHGKRELVEILFPWTKPIPSMPEWSVDGIIRGMKYLRFEAQGAVLKEHIADAKSKGKEAFAKGEYFAAVYFYGLAVDRDPFDATLFSNISLCWLRVRDGKQALSFAQKCRMMRPRWSKAWYREGAAHRFLKHYRGAVDAFKQALKLDPASNEIKNALGEATDAMRNTTRFPHHQSASDQAERGLCTTGGDLASNQLSAGTACGGCVGAGAVGGRGGFWACLFAKFQTSVDADGSTHACDPILN >Sspon.01G0051880-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1C:18489677:18491239:-1 gene:Sspon.01G0051880-1C transcript:Sspon.01G0051880-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATNYRDGIAGDLWCGPCNSLPCWLVPVPVGQHTVATNAASSNTYHSCPVERSSVVARTSKVRGGGTDDRCSKARRRDGDGDSDPAVGPHRLLELEGKKNRGKRTEMRAPGGRKARAQRERRGTLRGHPREAGRLAPVHSFAGAWSPLALFGGALATAVRRIPSQHKKATSEREDVRALPIRLVPTLTSTAMPTTAKLFLFVSAVCFVRCCMGWDGTGCVGAGAL >Sspon.01G0008750-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:26212319:26215969:-1 gene:Sspon.01G0008750-1P transcript:Sspon.01G0008750-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMYGRDPWGGPLEICHPDSATDDDRSRNLDIDRGALSRTLDETQQSWLLAGPGDQGRKRKKYVDIGCLVVSRKLFVWTLGVLLAAAVFAGVVAGIAKAIPRRHRPPPPPDDYTVALHKALMFFNAQRSGKLPKHNNIPWRGNSCMKDGLSDPAVRRSLVGGYYDAGDAVKFNFPAAFSMTLLSWSVIEYTAKYDAVGELGHVRDIIKWGSDYFLKTFNSTADSIDRVIAQVGSAATSPGSTQPNDHYCWMRPEDIDYPRPVVECHACSDLAAEMAAALAAASIVFKDNKAYSQKLVHGATTLFQFARERRGRYSAGGSDAAKFYNSTSYWDEFVWGSSWLYLATGNSSYLTLATHPKLAKHAGAFWGGPDYGVFSWDNKLTGAQVLLSRLRLFLSPGYPYEEMLRTFHNQTSIIMCSYLPIFKSFNRTRGGLIQLNHGKSQLLQYVVNAAFLASVFSDYLEAADTPGWYCGPHFYSIEVLRSFARTQIEYILGKNPLKMSYVVGFGNHYPKHVHHRGASIPKNGVHYGCKGGWKWRDTKKPNPNIIVGAMVAGPDRHDGFKDVRKNFNYTEATLAGNAGLVAALVALSGEGHGV >Sspon.03G0004590-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:11702658:11713821:1 gene:Sspon.03G0004590-1T transcript:Sspon.03G0004590-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VNQGCFEMPANTDGSEDLFQSHEDLQNQSRTSNLDNEHFPRDASNHANVEEATGPPYEDLSNDFSGGISTEHSDLSEIKWESTDEMLGNTGQDGDHFTSMGMFSLTHNTDVPDISCTEFNMGERTESIRNGNSSCLTMQEEHLQAECGGYPHPDYISVDMVDERSLHDLPHGFSQNNEQYEMEQFPQSICESGSMQMDSPDQYCDDTSLSDLYMDVSSPESISCEQNQSEDICFKSESSTDSSPIPSSRNSTTEDADKYLGQTSKQLLDSKIVPFSNQHTFKNMGYQKPLALHKQYAYRSDNSSIHNSSRGCFNRDGDGAFDLCVLEGNRNPAPDHRLPYQGKFHHNFQQPMYGNSLIPAFGGMRYKPHDERITLRLALQDISQPKSEANPPDGVLAVPLLRHQKIALSWMVQKETSSSHCSGGILADDQGLGKTVSAISLILTERSPVPRSATIKNEPCEAVNLDDDDEDDCIEPHPKKLMQTCSSEVTTNTVKQENPIVAVKTRPAAGTLVVCPTSVLRQWAGELKNKVTSKANLSFLVYHGSNRTKDPNELTKYDVVLTTYSIVSMEVPKQSNPDSDDEEKGKPDRYGAPVSSSGSKKRKASSSKKTKSKSAAESCLPEKPLAKVAWFRVILDEAQSIKNYRTQVARACWGLRAKRRWCLSGTPIQNAVEDLYSYFRFLRYDPYAVYKQFCTMIKIPISRNPTNGYKKLQVVLKTVMLRRTKATMLDGKPIISLPPKTVSLKTVDFTSEERAFYNTLEVESREQFKDAPEDPVVTICGHVFCNQCILEQLTGDDSICPVSNCRVRLNTTSLFSRGTLECSLSRLTCDFKSDDTCMEMIHAEKHPGIDSSYASSKVRAALDILLSLPKIDPTQMTDSNVQSGLNFDGKGTSEQTDTKLTEKAIVFSQWTRMLDLLEVHLKASHVTYRRLDGTMSVAARDKAVKDFNMVPEVTVMIMSLKAASLGLNMVAACHVLMLDLWWNPTTEDQAVDRAHRIGQTRPVTVSRLTIKDTVEDRILALQKTLSWAHGSSSLSLTDETLPEDP >Sspon.04G0035380-1P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8D:48395177:48395677:1 gene:Sspon.04G0035380-1P transcript:Sspon.04G0035380-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKTAVAASSNDAAAARHGGSGKQRTYKGVRMRSWGSWVSEVRAPGQKTRIWLGSHSTADAAARAYDAALLCLKGSAAAPDLNFPLRLPFDLPPAGAMSPKAIQRVAAAAAASSATPFAPCAENNNGSACTDGDGDITPAWSSSSPARDDVSSPESTVSSESDLSGD >Sspon.03G0022970-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:55244002:55247499:-1 gene:Sspon.03G0022970-4D transcript:Sspon.03G0022970-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVARKQPPPPPQAGGARRRGGGGVRVGPARLEGLPAAWPGAAAVKVKWPAPGGALSQMLTGRWARGVTAVEPVGAGGTVRWEPRDGNRFRLDVVDPAGARGRPERGVFFSVLYGFQEQGRGKELVRLEEIGTAMISLEECCWEMQLQQQRQQLVVVPIRVRKDGWASDAMLYVNVELVDANTRSDIERAVSFREKPRTNMAPRPTMRDSRKSLEAATYDDVLDLKQLLDLAEKEGRVAVYGNKRNSDTSSVSSISSSSSSSSTISISSASTSGGASPEPASTSKRRFLPWMRRSRDFDKRSAESLSQELHMKCMDDDPSGSWETREFTSRDAETKLRTPVFFASIDQRDDSAGGESACTALVAVLAAALHANHPTMPTRPELDALIRDGSSEWRKLCDDEAHMAQFPNRHFDLDTVLASRARPIAVQHDKAFVGFFQPESFASLSGAMSFDDIWREISGGEREPGRADVYIVSWNDHFFVLKVESDCYYIIDTLGERLYEGCDKAYMLRFDGASEMQAEGTQEVIVTGKECCREFIKRFLAAIPLREELEIEERGAGSTDGGGAPHRRLQIEFHFTVLRDHDR >Sspon.03G0042310-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:59838846:59839091:-1 gene:Sspon.03G0042310-1C transcript:Sspon.03G0042310-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNISRKMDSAFILRATNTLNLRVPQSCCECFKEWSFLRFTTDHQRLGLLLNVPLSRNRSAQSTLACIFLMRLAPAANLVMN >Sspon.07G0031910-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7C:39306252:39306758:1 gene:Sspon.07G0031910-1C transcript:Sspon.07G0031910-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding NKNIRGFGGISPRGPRRRVEEAEDGDEAGAVEEEADPQRDDADPPQPSPADQHRRKKAKEEDDPVHGARHQTKQHHRNHADPVRRNGDGDQRGSKTPRRTTIAQTLPVTQREGRELGGKEGKSGERIYRQGISAIGEHGRKVHGSRARLRELAKAAAAAPKSPARGRE >Sspon.04G0007170-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4A:20387073:20387615:-1 gene:Sspon.04G0007170-1A transcript:Sspon.04G0007170-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPVSECSEMTMAKLLVCGHRPVVMSDDDTIERPPRADDAGWCWRRVRVYADAKVLSQSVLEAWSSMANAETLQHFNGIKVKLVFFKQQVEVERDDVAVVYQVVQPRPDKAVRRLRLELDALPRHAVCPFALALVRLPGRCWFHAGRRSRPTEDPSRRFLHPRTRARNRDPPHSLPYASC >Sspon.06G0008490-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:38786322:38789808:-1 gene:Sspon.06G0008490-3C transcript:Sspon.06G0008490-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPAPAGAASAAASPSPFAELVKAPSGLEKIVLRGARNCCAEIYLYGGQVTSWKNDNGEELLFLSSKAIFKPPKAIRGGIPVCFPQFGTHGNLEQHGFARNRFWTIDNNPPPLPVNPAIKAFVDLILKPSEEDLKIWPHSFEFRLRVALGPSGDLSLTSRIRNTNTDGRPFSYTFAYHTYFSVSDISEVRVEGLETMDYLDNLKAKERFTEQGDAIVFESEVDKVYLAAPSKIAIIDHEKKRTFVVTKEGLPDAVVWNPWDKKAKAMQDFGDAEYKSMLCVEPAAVERPITLKPGEEWKGRLVLSAVPSSYCSGQLDPLK >Sspon.04G0035060-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:1115918:1123901:1 gene:Sspon.04G0035060-1P transcript:Sspon.04G0035060-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPLMSLGAAVYSYLATPTALPRPRRGRRVLTFRATASSGAGAVLDRRRRPQNVAGDFFVDQRCIDCQTCRWMAPEVFKRVDGKATVAAQPSTEEERIKALQALLSCPTSSIHTEEPPKDILQVQNMFPLPIDDKLLPSGILAMQSHHQHVMFRAFISADTILKTHLGQHRTCPRYTQKLANNIEKLGGARYMLLTHILGVAVYSYFSTPTAPPRPRRCILPFRAAAASPAALDRRRRPQNVAGDFFVGEQSKIQLLSFPPTARALPDCRAVFAFSRFHPPRRRRADHRCIDCQTCRWMAPEVFKRVDGKAAVAAQPSSEEERTKALQALLSCPTSSIHTEKPPKDILQVQNMFPLPIDDKLLPGVYLCGYNSEDSYGATSYLPKVEETTADVEWKLEGNGPWNIGTDFELSIPQAIPHLMIKSNIFLGLGLLVLFTGDHVAKSEESDDLNLFLMYSKQSVSLQLESIRKLLEVEFEWLLPGHGYRIKYKDVQAKNAAMESLLANYTS >Sspon.01G0010200-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:75510045:75519178:-1 gene:Sspon.01G0010200-3C transcript:Sspon.01G0010200-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPFLTAAWPCAILLILASALQSQAMASSGAGRLEWQVLTRANFSSQIRLHPHVLLLATMPWYGESRSLMADIEHLVGSNEELGRLKLMVVYRNSEKLLIDAIGAAEGIKVVYYQRSMQFKYQGKLRAHDILSAVRYIMSLKHEEAPFVVLHTQEDVETFIESTDKSVILYESCGWFTRLAHGGSNQSYEAASSNNHTENVDISGKTMTRESDGPLELVSVVKKVENEEQAFGVGGQLTGSPWKGGFALANESVSEQIGNTNDRYRKCTMQKFHQFESFYAKLTAIAREYLLPPEIAKFGLITERSLLPSLDAVNEGNQETWFITTHHMGCKTCSVIAKDGDDLRSLVQSHHILGIKEVSADESGREAIFPTNRPSVILFIDRLSHSSKVRDESKLVIKLLRQYVQNNYPFHVSNGALSSSTSKTRSKAVPSLRNTGISDAYSQTARLSAWASKLVALGDKMSVMVVNDGDSISYRSSSQGSGANPLYDVLTKLLHKARPGHRSKKTRISLVSRDVGLKMLSDDSEIKVVKSLSVEESEYKRTDDASATTDNSNDDITEVSVDETTAKETEYIDDGQAPSILDKSLATYPDEHDSARESNNTEMEDQSKSEASDMSVDLMEDASNNADSSSEVGGMLHKHIVEKTVTEAFQILQHDERNLYADQEESVSSNEQVDVSSVLSKKISKTEDAIYENTFDLSEGSEESDTRCPHHATCSSSRVPLRDDTDFTDQATSSISDDRFAGAFYFSDGGYRLLRTLTGGSRIPSLVIIDPVEQKHYIFPEESEYSYDSLQNYLDSFMNQSLPSYYRVTSSAISSKELPRPPFVNHDFHEANSIPQLTAISFCPLVFGPRGCDSKSEASFSNTESIASGWNKDVMVLFSNSWCGFCQRAELVVRELHRSFKSFSSYSDSVSANAQDVHNEEKTEEYVMKGFPAIYMIDCTSNECHHLLKSAGMEELYPTLLLFPAENKSAIAYEGGMSVPHLIEFLESHVSNSRHLLEYKGFMWKKRMTTQHDAPQAIQFQVSDKGSGNVGSELSSHPDVVTGSILTASEKLGTAVPFDNTKVLIVSSDSHEGFHGLIINKRLSWGVFKDLDSSMEPIKHAPLFYGGPVVVQGYHLVSLSRVAWEGYMQVIPGVYYGNIVATSRVVTRIKLGEQSVEDLWFFLGYSGWGYSQLFDELSEGAWLVSGKPIEHLDWPKS >Sspon.01G0022350-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:81936712:81939057:1 gene:Sspon.01G0022350-1A transcript:Sspon.01G0022350-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LVQGWTIFEILRYMPEHNWSAYEEALKANPVLAKMMISGVVYSLGDWIAQCYEGKPIFDFDRARMFRSGLVGFTLHGSLSHYYYHICEALFPFKDWWVVPAKVAFDQTIWSAIWNSIYFVVLGFLRLESPTTIYSELKSTFWPMLTAGWKLWPFAHLITYGVVPVEQRLLWVDCVELVWVTILSTYSNEKSEARNSDSTSTPAASKDNSR >Sspon.02G0029500-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:98021346:98024034:-1 gene:Sspon.02G0029500-3D transcript:Sspon.02G0029500-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRYRGKVLTNTPIVRASNVENYASSSGEAVHLGTTAATRRRSDGSNITFHLTQLQWHHSELDTENGNGKWKLFLPCICVMQSLAFKKHTGLKFSIFPVGPVVCQEEAWFDSVSILGSDSDEDFSSVNGDLPAMSNSTGTQLMQCEDASSIVDAIQKFERIFDGSSVAQAVGQYLKRDAIKLEAERPKIASPEACDVSSGKVEDAKTRNEGIKILTKLRRGEDACNTLKSFKDGEKPHEIIFKSSTPVCTPCHANKVQPLAVASPRGQKKKSAVVRLSFKRQSFDGEQTTEICSSRRYLIRPRAGLLVPQAGEKISVGCWSVLEPSTFKLRGESFFKDKKKSPAPSCSPYTPFGVDIFMSPRKIHHIAQHIELPSVKPNEKIPSLLIVNIQMPTYPAAMFLGDSDGEGINLVLYFKLNDNFEKEISPQFHDSIKRLVNDEIEKVKGFPLDSTVPFRERLKILAGLVNPDDMNLSSAERKLVQAYNEKPVLSRPQHNFYVGSNYLEIDLDVHRFSFISRKGLEAFRERLKHGVIDLGLTIQAQKQEELPEHVLCSVRLNKVDFVDNGQIPTLLPCDDD >Sspon.03G0027290-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:9305322:9309001:-1 gene:Sspon.03G0027290-2C transcript:Sspon.03G0027290-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGKESEALNIKKMVTHTLDCMARGGVHDHVGGGFHRYSVDECWHECSSHMLSASSVPHFEKMLYDQGQIVNVYLDTFMITGDEYYSIVARDILDYLRRDMIGKEGEIFSAEDADSAEYEGAPRKKEGAFYVWTSKEIEDTLGENAELFKNHYYVKSSGNCDLSPMSDPHNEFSCKNVLIERKPASLMASKCGKSLDEYSQILGIVGRSCLMSKRPRPHLDDKVIVSWNGLAISAFARASQILMSGPSGTGTIFNFPVTGCNPVEYLEVAENAANFIKEKLYDASSKRLHHSYRNGPSKAPGFLDDYAFLISGLLDLYEFGGKIEWLQWALQLQDELFLDKQGGGYFNTPGEDPSVLLRVKEDYDGAEPSGNSVAAINLIRLSSIFDASKSTGYKRNVEHLLAVFETRLRQLSIALPLMCCAADMLSVPSRKQVVLVGQKGSEEFQDMVAAMFSLYDPNRTVIQIDPRNTEEMEFWDGNNANITQMARSSPPGKPAVAHVCQDFKCSPPVTSPEALREMLNKTLVAASSA >Sspon.01G0049490-1P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1B:113212222:113214744:-1 gene:Sspon.01G0049490-1P transcript:Sspon.01G0049490-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSMLEWKRVPMFIILSILGITSVTSTNAIASQKGLFVPQDNYLISCGASGSVQLDDGRTFRSDPESVSFLSTPVDIKITAKNSPTAASPLSPLYLSARVFSDVSTYSFFVSQPGRHWIRLYFLPIPDKKYNLTSATFSVFTDNMVLLHDFSFIASPPNPILKEYIVVTQGDTLKIIFTPKDSIAFINAIEVVSAPPTLIPNTTNGLPPQEQFDISNNALQVVYRLNMGGALVTAFNDTLGRTWLPDAPFLKLEAAAQAAWVPPRTIKYPDDKVVTPFIAPANIYSTAQQTASTNTSQARFNITWEMEAEPGFKYLIRLHFCDIISKALNSLYFNVYINGMMAVSNLDLSSLTMGLAVAYYQDFTVDSSSIINSTLLVQVGPSTTDSSNTDAILNGLEVMKISNQANSLDGQFSPKTSSQLGKRILTGIGLSVAVIAATLAIVVCCRQNRRPEWQKTDSFQSWFLRLNSTQSSFMSSCSRLSRNRFGSTRTKSGFSSLFASSAYGLGRYFTFAEIQKATKNFEEKDVIGVGGFGKVYLGVLEDGTKLAIKRGNPSSDQGMNEFLTEIQMLSKLRHRHLVSLIGCCDENNEMILVYEFMSNGPLRDHLYGGTNLKPLSWKQRLEISIGAAKGLHYLHTGAAQGIIHRDVKTTNILLDENFVAKVADFGLSKAAPSLEQTHVSTAVKGSFGYLDPEYFRRQQLTEKSDVYSFGVVLFEVLCARPAINPALPRDQVNLAEWALTCYRKGELNKIVDPHIAGQIRPDSLEMFAEAAEKCLADYGVDRPSMGDVLWKLEFALQLQEKGDVVDGTSDGIPMKHFNDSVYDDMEKSSSAGPPVQGR >Sspon.03G0015320-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:72395491:72402308:1 gene:Sspon.03G0015320-2B transcript:Sspon.03G0015320-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRRDLFLATILALAACADTAAGKKAAIVPRKHEQLHPQAAAAAGGSSSCDVYRGSWVVDESYPLYDAASCPFVRKEFDCRRMGRPDTTYLKYRWQPNPPCSLPRFDGVKLLNMWRGKKVMFVGDSLVVNQYESLLCMLHAAAPGARTNQSWASGENPSITVRFEDYGVTLVYFLSHYLVDLANDTAGRTVLKLDGMDEGRAWLGADVLVFGSWRWWWRKSWDYIQDGNTAVQDMDRTQAFTKALQTWARWVDANLAQTSTRVFFQGYSPNHLDSQEWGAPPGKTCIGETQPLNNAAAYHGQPNPQDAIVRRTLGGMSKPVLLLDITFMSELRKDGHTTKYNGDSLGRDCTHWCVAGVPDTWNTVLYAVLAGNS >Sspon.07G0026630-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7B:54505765:54505929:-1 gene:Sspon.07G0026630-1B transcript:Sspon.07G0026630-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFIARIGSMECTTWHMCRHWAYGRVSRVLRGNIPGYRLPDCGYVGSDEDVGFF >Sspon.07G0004070-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:9342012:9346209:-1 gene:Sspon.07G0004070-4D transcript:Sspon.07G0004070-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGASLGVRSSGSYGSLPQQQLGGCQSSPSPPPPLAARKPAKMSLGGAGAGAGGPRVFARICKLAGRRQRMLLLLLVAVAVAFCFLFSSLVSKGDEDASPGVETMLVFSDHVRSFVNPVWTSSGRQVAQRGSLTVNGLNTTSQMEKQSDSKQQVQELIQSFPPAVVMDHHPCENFSLSPPPIDRKRTGPRPCPVCYLPVEQALALRPAKPSLSPILQRLNYMFEENLIPKESKSGSLFGGFPSLEERDKSYDIKDSMTVHCGFIRGKIPGLNTGFDIDEADRSEMQLCQRTVVASAIFGNYDVMQQPENISNFSKDTVCFFMFLDEETEAAIKNSTTIGHTKRIGLWRVVVVRNLPFTDARRNGKVPKLLLHRLFPNVRYSIWIDGKLKLVRDPYQVLERFLWRKNVSFAISRHYRRFDVFEEAEANKAGGKYDNTSIDYQIEFYKREGLTHYSSAKLPITSDVPEGCVIIREHIPITNLFTCLWFNEVDRFTSRDQLSFSTVRDKIRSRVNWTADMFLDCERRDFVVQSYHRELLEQRQATLRSWPPQRPPIVRYQPRKMLPDNAAKEPWKASATKKLSGKRTRDKKSSSRRTHRTNGKEAIQL >Sspon.07G0004230-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:9554629:9555588:1 gene:Sspon.07G0004230-3D transcript:Sspon.07G0004230-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRWGIRRQNAAPSARCEEDDGGERGSKGKGRGAFSFSPLSWLAKLAAKDKPGGGAAAKRSPASTPKNTAAAATALPSSCIPKRTSPSPAAVTVTPGSSSQPRRSTADAPVPRRRSVGNDNADSVAAARRQSCRHGRRHCSVGGDRELPPLGRLIPFSLLAGGSPARAAAAPSDTDAAGARRRRRRRSSRRLSVSGGRRPSFSGRMPPRVRVRSPRRAAATVAPAQLEGLAVVRRTRDPQRAFRESMVEMIASAGGAGPDAAPPRPEELERLLACYLSLNADEHHDCIVKVFRQVWFEYVNLLPRPESGGRRRPPTARRC >Sspon.02G0004670-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:14853459:14856700:-1 gene:Sspon.02G0004670-1A transcript:Sspon.02G0004670-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLETENRLASLLIEEARRLQLEADREGVHAYLRNPNVRHRPNSRFLTATVRGVQQANRVVEVNEMWRAREKELELESKMKSRNSRSNDRDDFRGEKRKSELRNHSSSSRVEQEGTTYNNSYSDQEDGLRDDEIERFLHSRVKRGRGAVGSRMDEPGPYLDSLSRHQDNRPSPDIRVEEKWERR >Sspon.01G0001950-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:5480820:5484286:1 gene:Sspon.01G0001950-3D transcript:Sspon.01G0001950-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPFAARLAAPAALLLFLLAAPASATNFTCETAGKTCQSAIGYAVPNATTYGELVTRFNTTTTLAELLGANGLPASTPASTPLAAKTTVRVPFRCRCGSNGVGQSEGGPIYVVYPLDGLDHIARDVFDAFVTYQEIATANNITNVNLIQVGQKLRIPLPCTCDQVDGADVMHFAYSVAKGDDPPGIAAKFGVTESTLLSVNKITDPKSLIQGQILDVPLPVCQSSISTTSADYNLLVPNGTYVLTADDCIKCSCSASNYEHLDCSPVQGRRCPAVPPCSGGLTLGQANGTDCASRMCAYSGYTNTTSLTLHTSLVSANETACQKGGAARSEFAGSMWRTSVIAFHMALIMICFL >Sspon.02G0033330-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:6291065:6291355:1 gene:Sspon.02G0033330-1B transcript:Sspon.02G0033330-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHGGTAQPQSRGVEHSVHMPADGGGAGHGGQGEVATPERRLNCFVRCVALIERLGNALGTLAWATVVLLGGFSTKLRHGDDFWFATAIFFLEAFW >Sspon.07G0020780-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:77861640:77867336:-1 gene:Sspon.07G0020780-1A transcript:Sspon.07G0020780-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDPHPPLPPPRPPVEVVGTARDAELSLSAALSREEVLCRRRRRLVQLCSLYRAQYWVLADELPARHGEYWWEHGASPALDDEPPHALPPPLLPPKENGVSAGAPENGVVVGPLENCAVSPPVSAAGGRAGCAASNCEAKAMPLSPYCFNHILLDPKQQLYQPCAFPTKKSGLPNGEATCGKPVLRGTAPLRCADHDPKSEKLIVEALRNAGIDLPLTTKSVPKLSLLISETVREIQLKRKLSMNGGKTATSD >Sspon.04G0012520-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:41641206:41642798:-1 gene:Sspon.04G0012520-1A transcript:Sspon.04G0012520-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSAARVAVATAVSLAMHWLLRSFLQAQHPSLSMLLPAAVFLGIAATGNADAANAPPGPAAVPVFGNWLQVGNDLNHRFLARLSARYGPVFRLRLGVRNLVVVSDPRLATEVLHTQGVEFGSRPRNVVFDIFTANGADMVFTEYGDHWRRMRRVMTLPFFTARVVQQYRDMWEAEMDAVVSDIFADSAAQRAGFVVRRRLQLMLYNIMYRMMFDAGFDSVDDPMFVEATRFNSERSRLAQSFDYNYGDFIPILRPFLRSYLSKCKDLQTRRLAFFNNNYVEKRRKVMDTPGDKNKLRCAIDHILEAEKSGEITSENVIYIVENINVAAIETTLWSIEWALAEVVNHPAVQRKVRDEIKAVVGDDEPITESTIHSLPYLQAVIKETLRLHSPIPLLVPHMNLEEAKLGGYTIPKGSKVVVNAWWLANNPELWEKPEEFRPERFLGEEKSVDATVGGKVDFRFLPFGVGRRSCPGIILALPILALIVGKLVRSFEMVPPPGVEKLDVSEKGGQFSLHIANHSVIAFHPVSP >Sspon.08G0018430-1P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8B:6182843:6185170:1 gene:Sspon.08G0018430-1P transcript:Sspon.08G0018430-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTGQLLLMSVAILGSAGCFASDSDTITAKSAISGSRTVVSRGGNFELGFFRPAGDSNTASSSDGSNHYYVGIWYKKAVSPCTPVWVANRAAPVSDPASSQLAVAADGNLVLANEAGELVWSSNVVISGSSLNGTVAVLLDSGNLVLRRDDGEVLWQSAEHPTDTWLPGVRLGMNKITGHVQALTCWRSSSDPAPGMYSLGIDPNGTSQFFTYWNTTVSFWSSGEWNGNIFAGVPEMTSHYFYNFEFVSNANASYFDYSLQDPTVISRFILDVSGQVRQLIWVPSADEWMIIWAEPHQLCDVYAVCGVFGVCDEKREPFCSCPAGFRPSSMGDWELGDHSHGCRRNHPLQCDSGKDGDAFLLVPGISLPGSPSPVDASSAQDCRLACLRSCDCNAYSYGRRCALWYGDLLNLQRRVDDTAGMGDLHLRLSAMDVSSKGRNRTAVFVSTGSVASILVLCAIVSVFVKMFRRRQSSIRFMQAAAEGGSLVAFKYSDLRRATKNFSEKLGGGGFGSVYRGNLPGGGPAVAVKRLEGLLCVGEKQFRNEVRTIGTIQHVNLVRLRGFSSHGSERLLVYDYMPNGSLDRALFGGAAAAPTLSWSARFQIALGAARGLLYLHEGCRDCIIHCDIKPENILLDEDLVPKVADFGMAKLLGRDFSRVLTTVRGTIGYLAPEWISGVPITAKADVYSYGMVLLEIISGRRNARGWATTEQEASLSGYFPLVAARKVNEGEALVGLLDERLHGDADARELERACRVACWCVQDAEAHRPSMEQV >Sspon.03G0034980-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3C:74863708:74863913:-1 gene:Sspon.03G0034980-2C transcript:Sspon.03G0034980-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHV >Sspon.04G0014070-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4C:51837551:51838243:-1 gene:Sspon.04G0014070-2C transcript:Sspon.04G0014070-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFENMDEQLLDAMCDRLKPMLYTEGSCIIREGDPVNEMLFIMRGTLESTTTNGGQTGFFNSNVLKGGDFCGEELLTWALDPTSASNLPGSTRTVKTLSEVEAFALRADDLKFVATQFRRLHSKQLQHTFRFYSQQWRTWAACFIQAAWHRYCRKKLEEALYEKEKRLQAAIVSDGTTSLSLGAALYASRFAGNMMRILRRNATRKARLQERVPARLLQKPAEPNFFAEDS >Sspon.02G0052230-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:88886367:88890052:1 gene:Sspon.02G0052230-1C transcript:Sspon.02G0052230-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SVGPFIHAQKKHTTHRKILTCHPIWRLRPRIWRWRFPFWRRHVLTKRKDILMNKKRKSPKPNLLHTHCTHARTPPQHTHTHRTQTHAHAPLGFLTQERAACRGRLPPQHALRRRHSSSSPMALAAPRSAP >Sspon.07G0013210-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7A:47780543:47780965:-1 gene:Sspon.07G0013210-1A transcript:Sspon.07G0013210-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTEAPSPASQRRRQCAAAVAAAAAACLAPLVVFLAVLVLVPSLIPRLLLRPHHFVPYVASGELRALAFDAAASAVTYNLSVVLRFDGPPSMYARRYTGIRAAQFYAGQELGAVVALPGFTQRSGGGNALPVAWAGVQRV >Sspon.04G0014590-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:23001263:23007298:1 gene:Sspon.04G0014590-2P transcript:Sspon.04G0014590-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTDRLYPPIEPYDLEPPQVLAAAGSGEEEEAGVCDAPVMWDDEPDASPSPEAVIEHSLLDSSDTEFASPTGEARLGFRGGARGGKGGSFRGPHIFEKGARDPPPEVFKEERLGVVNSDEFSDEMTTAKESGENNYDGFPSCEQTGIIWLPPSVPPMTKHDHDEWQKGFGYNSAGSPEEEYKWDIDYGDLEMTMWDVLSEMVVAGKDKILSIASFDLGTHGVSMISDFFLEEALKDKAQTLEDVSAGSEHALLETEPTNRACQLPTQDLTDLSTLRSWINIPWAVRMEYEIYKAANSIHGYCKIKSSVDFRTQISDLTCVTYRANCLRNELVGELKPEKSIPDSILRQAKGLAIITEVKVGMMLTYKIGTGLVVARRGDGSWSPPSAISTCGLGYGVQAGGELADYIIVLRNTDAIKTFSGNAHMSMGAGISASAGHFGRVAEADFRAGDGGYAACYTYSCSKGAFVGCALNGSVVSTRDSVNARFYGGPIKASEILLGSLPKPPAAATLYKALSVLFDKINKRMSASRRCSYSGAGGVC >Sspon.08G0004880-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:15023868:15025474:1 gene:Sspon.08G0004880-2C transcript:Sspon.08G0004880-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSEVRAATASRRIVDYLNDGEELGAEGAVVETPPCSPAAAVAVAVAVGESARSALHRFRWPRLVRHRLRRKGGGDKGKQEEVVVQKGDDLPVADVSTSGCGSSAASDTRHSDLGVGLSLVFLLAKTSDEFNKMVKVRAEMEALLKEIRDEVRIKSGGGTEGGRDAPKDRNRESTTSSCVTTDGNEVQSARARMEYRAASSSSVELAGDEKSSSSEDDGGCCARMDVLEEEFHAEMELLQVNYGSETPSFLPEEEEEEQYCEPYDEMADYRNGIDDDSGGVVEEDDDGDDDNAEYNGVSAVQLERRLHELLHERNRDRIEELEAALLCAEQKLVEKEMEVSLWKDTAKFALRQEEELQ >Sspon.02G0016880-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:47567967:47570963:-1 gene:Sspon.02G0016880-1A transcript:Sspon.02G0016880-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSQQWPHICFLSTCPGSGCFTITTTLIHLRLLFFLLLSIPPGPGLSWFAAPPAYLGFIAIAA >Sspon.06G0012490-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:54136263:54140875:1 gene:Sspon.06G0012490-2B transcript:Sspon.06G0012490-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKVDLNGRTGSQTKRKGSTSQQDENPLDSQIKGYSGPNLPEDIWCYIHSLMPMRDAAKVACVSRAFVGSWRCHPNLHFSKETLGLDEIACQKDGIADFNSRVDSILKKHSGIGLKVFRLDVHSVYDKDPCHLDRLDSLLQVVVKPGIEELDLILTISPSLERFELRYCDSIVCLKIPCLQQLNYLDVVCCSIRLRAIESKAPNLSSVKFVVSPFYARADLPSVMPNLEALTIRSDGEVDKVPMVPSKFLHLKYLSIAIGGLTFDYMSLVIREVTKAHVTVFDDPSDLRTEEVGASMTTVDVVGEDESRSIGRLILGKTKNKEGKHGWRAVEEGALSLETGMTTSGRAQANQS >Sspon.01G0011830-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:39016180:39017741:1 gene:Sspon.01G0011830-2B transcript:Sspon.01G0011830-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSAAQGRRRRQWTLALVTTAALLERADEQLLPAVYKEVGEALGVSPTALGSLTLCRALVQAVCYPLATCAAARYDRARVVAAGAFLWAVATLLVGASGTFLQMALARGFNGVGLALVVPAIYSLVADYSDDGTRGSAFGWVVMAQFMGRVAGNTLGVLLAATSFLGVPGWRLAFYALALVSASIAALTWLLGADPRRPSVKVATAAATLAQLAREAEGVVKVPTFQIIVAQGVAGSVPWSALSFAAMWLELVGFTHWQTTVLTSLNNLANALGALFAGSVGDPLARRFPNTGRIALAQVSTASTVPLAAVLLLALPDNPSAGAAYAATFFILGFVMPWCPVSTNNPIFAEIVPEKARTTVYALDRCFETVFASFAPPLVGILAERVFGYQPAASGRSVEADRENAAALGMAVFAEIAVPVAVCCLAYTGLYWTYPADRQHAQTAALQA >Sspon.03G0023020-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:70362685:70363539:-1 gene:Sspon.03G0023020-1A transcript:Sspon.03G0023020-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNGKPTPQPPAAGNGAGGPPKMYQRPIYRPQQAPAKRRRGGRSCPFSCCCCFFWTVLVILLLAFLAAVVGGAFYLLYRPHRPAFTLTVARVTKLSLSSSATAPALSDAIDVTLTAKNPNKKLVYLYDDFTVTAATAANAVPLGETTVPGFTADAGNITIIKATVSASALTVDPTAAASDIKKSGEFPITLDLETKAGVRVGGLKTKKIGIQVHCDGVKVAAPAAPPAAPAAKKKKLGKAAAADAPAPAAAAVDDAPAPPAAASTVARVCEVRIRVKIWKWTF >Sspon.06G0000840-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:402277:406697:-1 gene:Sspon.06G0000840-4D transcript:Sspon.06G0000840-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable galactinol--sucrose galactosyltransferase 1 [Source:Projected from Arabidopsis thaliana (AT1G55740) UniProtKB/Swiss-Prot;Acc:Q84VX0] MTVGAGIAVQDGSLLALGAEVLREVRPNVLVTPAAGGGLTNGAFLGVRSAPAGSRSVFPVGKLRDQRFMCTFRFKMWWMTQRMGSSGRDIPFETQFLIVEGTDGLQSTGDGTGEQPVVYTVFLPILEGSFRSVLQGNADDELEICLESGDPDVESFEGSHLVFVGAGSDPFDVITNSVKVVERHLQTFSHREKKKMPDMLNWFGWCTWDAFYTNVTAQGVKEGLQSFEKGGVSPRFVIIDDGWQSVAMDPVGIACISDNSAKEGHREDDPAKGLAHIVNEIKGKHELKYVYVWHAITGYWGGVRPGVVGMEHYESKMQQPVSSPGVQKNEPCDALDSITTNGMGLVNPERVFSFYNELHSYLASAGIDGVKVDVQNILETLGAGHGGRVLLARKYQQALEASVARNFPDNGIISCMSHNTDNLYSSKRSAVIRASDDFWPRDPASHTIHIASVAYNTVFLGEFMQPDWDMFHSVHPMAEYHAAARAVGGCAIYVSDKPGNHDFNLLKKLVLPDGSILRAKLPGRPTRDCLFSDPARDGKSILKIWNLNEHSGVIGAFNCQGAGWCQVGKKNLIHDEQPGTVTGVIRAQDVGYLAKVADHSWNGDVIVYSHVGGEVVYLPKNASLPVTLRSREYEVFTVVPLKHLPNGVSFAPIGLVGMFNSGGAVREVRFGEDADVELKVRGSGTVGAYSSTRPRSVAIDSKAVGFCYDDACGLVTFELGLPEQELYLWTVSVEY >Sspon.02G0057080-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2D:42793493:42794426:1 gene:Sspon.02G0057080-1D transcript:Sspon.02G0057080-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSKMSHAASVPNTGAPPLPPDALFEVPLRLPAKDLCRLRAVCRSWRALTYDPHFAAVHKSRQAEPLFAFTFRDRDGDDPGVAVVGLSGQVLRRIPFGSDGILLTHLDRLCVIRMCRPSLAASVLSPATAAALALPGPHSDEFVGTCTDQRFDWDSFLTHNCQAVTYAFGQVASTGDYKVLRIGHPDRLQPELCDIITLDGSSQGRWRRKQNPPSDLMRNQIMECLAVNGVVHFFFDHGLKEPTSIAPFDLDTEKWMPTLRGPEPLRSAKFGLISGRLLSLANLNGSLVIVDNNVCVSFDLWFLVDHKR >Sspon.04G0018810-3C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4C:72706912:72709041:-1 gene:Sspon.04G0018810-3C transcript:Sspon.04G0018810-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ALLFVVFIDNPDDEPAVAPFRLPRRRSWSAFTAGVAAFPGGCATRRRSSSSKLVRSTRPSPSTADDAPLPATPALSAGLLRGRAGKPPPHFSPSATPDLSADLDFSATPALSAVSEVSGCAAIAWRPCRRMARLTVPRPRQLGVATPSSTVFAATSTRQLLPRLRPRRPRPRLLRHKEGYPPLLRSSHNVRDMVATAGGCHRFGFVRLQSHRVCAPVVTAGGCWSMQIKDDAVVKFKGELAVLELELQALVGLAEEIANFDVPLGSRRINGKYIQSHLLSRLEAVHDKIMEQIKDVDSLKTQEISVYWVGMAENVQIMGSFDGWSQGEAMSMEYSGDYGRFSATLKLRPGRYEIKFLVDGEWRLSPEYPTAGDGMTENNILIVE >Sspon.02G0001280-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:4513464:4515229:-1 gene:Sspon.02G0001280-1A transcript:Sspon.02G0001280-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGEAEPLQYTTTTLRVSIHCEGCKKKVKKVLHGIEGVYKVTVDAAQHKVTVTGEVGADALLRRLHKAGKQAALWPSPAPVEAKKPEEATPAALPVQAGESCAKDAADAKPPKEAEAKEKPPEKGDGKEKKPAKGQKADKGEAEAKPNKDEATKDAEAITEPKETKKGSPEPAKQDAAEEPSGGGKKGKKNKKNKPKEGGEGEEAPVPAPAAPEKKPHQPQPPVPAPSPGPERPPPAAFPYYAAQPVLSYNVAHPSSSVSYYAPTPGVPMHPMPPPAPPQVVPYGYPPYPPMVPVPAEFLYGPPGMRSSPPEESYNNMFNEDNANSCSLM >Sspon.07G0009270-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7A:26110835:26112457:-1 gene:Sspon.07G0009270-1A transcript:Sspon.07G0009270-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLENSNSKGKAVVKEEVCPLTPVEQKPFAVMSNQDDKAMLKKEVEEVSLPILETAAPHHHQTPSNDVSGSDDNNDVLGGTSGVQHVGSSSASAARMMQQAAMQWGAVAAPTVILPACQQLDSSRILLIKEVMQHCVTALATGDVLAANTGLVIMSTLASADGDPLQRVAFAFAEALGRRALQQMLPGLYGGLLQLDFPPQPAATGYTGATRLCFDALCPLLRVAGSVANHAIVTAMEGEEHVHVVDLGGASPNQWLELLHLFAVRPEGKPSSLRLTVVSEEEGLLSCTAWLLHREAARLHITFTFNPVRSHIDRLSPHDVASFGVHGGEALVITSTLQLHRLIADVTSVDLPAAADHKHDGKKGKGKKQPKHQITMADAFLRVLCDLSPKLMVLTEQEAYHNGASLGDRIRNAFDYYAALFNDLEAGGAPRESAARAAVERMLLRQEIMDIVARDGSSRRERHESVMEWAQRMGMAGFRPMHLQVRRFDAFADPGLLALQLSLQGTLRYWVAQDNTCFIIYANMTPMFSVTSWQPATTTG >Sspon.01G0024080-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:83250397:83254023:-1 gene:Sspon.01G0024080-4D transcript:Sspon.01G0024080-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSQIVCHACRTVLHYPRGASSVCCAVCQAITTVPPPGLEMAQLICGGCRTLLMYTRSADTVNTVAPTPSARPTSNESSYSGSSTSAPKSQPQNVTVVVENPMTVDDKGKLGKLQNVVNG >Sspon.05G0002990-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:5344507:5348180:-1 gene:Sspon.05G0002990-2B transcript:Sspon.05G0002990-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGLLACASTLARRAASAPARVRHLAGAAEAAEAELKKTALYDFHVAHGGKMVPFAGWSMPIQYKDSIMDSTVNCRANGGLFDVAHMCGLSLRGRDAIPFLESLVIADVAALRDGTGTLTVFTNKKGGAIDDSVVTKVTDHHIYLVVNAGCRDKDLAHIEEHMEAFNKKGGDGPLAAPTLQLLTKEDLSKMYFSDFKLIDINGYSCFLTRTGYTGEDGFEISVPSENAVDLAKAILEKSEGKVRLTGLGARDSLRLEAGLCLYGNDMEQHITPVEAGLSWAIGKRRKAEGGFLGADVILKQLQEGPKIRRVGMITQGPPARSHSELVSSSGESIGEVTSGGFSPCLKKNIAMGYVKSGMHKAGTEFKVVVRGKSYDAVVTKMPFVPTKYYKPS >Sspon.01G0005970-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:14857648:14861220:-1 gene:Sspon.01G0005970-1P transcript:Sspon.01G0005970-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSFEMNDLKKIGLGLTGFGIFFSFLGIVFFFDKGLIAMGNILFLSGLGLTIGLKSTMQFFTKPKNYKGTISFGAGFFLVLIGWPFFGMLLEAYGFIVLFSGFWPTLAVFLQRIPIIGWIFQQPFVTSFLDRYRGKRVPV >Sspon.03G0001920-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:16061108:16062985:1 gene:Sspon.03G0001920-3C transcript:Sspon.03G0001920-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAENVVATYYYPTAAPAAMEVCGAELGQGAGDECFDDDGRPKRNDVHADVSGCAAGTMWTASAHIITAVIGSGVLSLGWAIAQLGWVAGPAVMLLFSLVTYYTSSLLADCYRSGDPCAGKRNYTYMDAVNANISGIKVQICGFLQYANIVGVAIGYTIAASISMLGVQGSLTGISIGVVTPMDKVWRSLQAFGDIAFAYSYSLILIEIQDTIRAPPPSESTVMKRATVVSVAVTTLFYMLCGCMGYAAFGDAAPGNLLTGFGFYEPFWLLDVANSAIVVHLVGAYQVYCQPLFAFVEKWAAQRWPDSAYVTGEIEVPLPATRRCCKVNLFRATWRTAFVVATTVVSMLLPFFNDVVGFLGALGFWPLTVYFPVEMYVVQKKVPRWSPRWVCLQMLSLGCLVISVAAAAGSIACIASDLKVYRPFKSY >Sspon.04G0021830-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:5002785:5003943:-1 gene:Sspon.04G0021830-1P transcript:Sspon.04G0021830-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGACAVAVPVATPAAPPRGGAGPSSNLAPRGSSFSRRVNCRPPAWSRPRGARLAGRDPGEAGTDAGVGQILKEDSGYLWTLVLGSLSGAASHKVRKHPAPGHHKAKHCGSSADGVPASGSCSLGFAQSELGGLIQFEDYSKPRIRESRSLKLLSNNSSIAFCKMKKFATLLNEFF >Sspon.06G0007590-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:27572939:27577343:-1 gene:Sspon.06G0007590-3C transcript:Sspon.06G0007590-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMTAIASPSSRTLIPLRHHGAAPSPSTSGDSSLRLLRAHPRHGRRSRGVSVSTPAARSRPFVFSPHAVSDSKSSQTCLDPDASTSVLGIILGGGAGTRLYPLTKKRAKPAVPLGANYRLIDIPVSNCLNSNISKIYVLTQFNSASLNRHLSRAYGSNIGGYKNEGFVEVLAAQQSPDNPNWFQGTADAVRQYLWLFEEHNVMEFLILAGDHLYRMDYEKFIQAHRETDADITVAALPMDEARATAFGLMKIDEEGRIIEFAEKPKGEQLKAMMVDTTILGLDDVRAKEMPYIASMGIYVFSKDVMLQLLREQFPGANDFGSEVIPGATSIGKRVQAYLYDGYWEDIGTIEAFYNANLGITKKPVPDFSFYDRSAPIYTQPRHLPPSKVLDADVTDSVIGEGCVIKNCKIHHSVVGLRSCISEGAIIEDTLLMGADYYETEADKKLLAENGSIPIGIGKNSHIRRAIIDKNARIGDNVKILNADNVQEAARETDGYFIKGGIVTVIKDALLPSGTV >Sspon.02G0042250-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2B:81776019:81776663:1 gene:Sspon.02G0042250-1B transcript:Sspon.02G0042250-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIASALANHWAVGGSSCLEYMSNRSDWCFNVLIWKLEPQCDQGEAVHAKSCTKRSQEGT >Sspon.04G0010210-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:26203462:26205185:1 gene:Sspon.04G0010210-2B transcript:Sspon.04G0010210-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCTSPDPPDYCSAESPELKLYQAFIFSVPVFFTFVLLLFFYLFYLRRRRANWQSLRMRTNNLIRGDNTRLECGLKKEMREMLPVVIFKESFLIRETQCSVCLADYQPDERLQKIPPCGHTFHISCIDHWLSTNTTCPLCRVSLLPAPKATSIDLDLEAQTAVEESLNVHHHEEGFVDGNTPQEGQAAEGDGVGSVQAEEPHSDVAEQLTVTVVAEPQADAEGSPSTTCQTVKGKK >Sspon.04G0018490-4D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4D:75567723:75570054:1 gene:Sspon.04G0018490-4D transcript:Sspon.04G0018490-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RPGASSGILLGATTLPSVMLSRLIQLSRLLPTDPNEPKGEALLVSSGLILYFGDMLAHTLSKMEFSVSSKAFIRTPGTRSDMTAIIQPAFLDLAFGAAFAVFLVLEMIRIWEIYPLG >Sspon.02G0016720-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2A:46796491:46797681:-1 gene:Sspon.02G0016720-1A transcript:Sspon.02G0016720-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPIRDWASLAPDLVELIGWRVLAGDLQDYVRFRAVCSHWSASTGRPHIRDPRFHPRRWMMLPEGHGLYPGHPDLRGFVRFLNLSTGALVRAHLPLLDDHVILDSVDGLLLLHCDRDTAMRLLHPFTSEIVDLPPLASLLPQVETLRFYHRSLTMNPYASVSVSSRGAVTVMLAFTDLDRVAYATDGDQQWTLWEPFMSLLSSDLSKKSLLSSNGKIYMVEYAYQSQKVHIYRVDPPCTTAADGFPHFPLLMKIAECPLDRFSIIVNLVECGSEILLVAYNDESCLDLAVYRLADLVIGRFVPITSIGNYALVLGERCLCVLLSPNKWLPSVSPNSVICIHNYQSGQNAGEYVFEHYSLGTGMWTPASDGDLVEMPPPSPHEFTHHVFTCCYRRYW >Sspon.02G0031060-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2A:113618180:113619280:1 gene:Sspon.02G0031060-1A transcript:Sspon.02G0031060-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPAKQQAAGAPASQPVFPDEILEEIFLRLDAAEDLARASAACTTFRRVVSARRFLHRFRCLHPPPVLGVLDWTRPPFHPAEPPHRSAPAARALAQAADFTFSFLGTPHCWQVCDARDSRVLLYQRTNITAPFADLMVCDPLHRRHVQLPPIPDDLAAATGGRGMQDFDPFLDPDTDKDTEKQDFSFRVICAVHCQHKLVTFHFSSVTGQWLGITFNRTVPLEFFFVRFQEMFERHYAHGCYFWMIGVDSSFMIMLNIHDMKLSVVDLPPGPGMDDNTQARAIVEAGEGMIGLFTLSDGMLQLYYKSLQGTEWQHERIIPLPKPNSDWSIVHAAAGHLLLKASQLDASQLQCGGHSISHSISRHS >Sspon.06G0023340-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:42840859:42841194:1 gene:Sspon.06G0023340-1B transcript:Sspon.06G0023340-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RAMAIGFTIMLLSVSAGIHFSAGGFGLLLSFMGLLAGANIVAVGVRMHEHPVVFDGACAQAAFMRRNTAAVGLVIASCAVMVVSGEADLVLCFAMFALLLLGVSPINVGARG >Sspon.01G0057870-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:16653285:16654154:1 gene:Sspon.01G0057870-1D transcript:Sspon.01G0057870-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding TTTAAARTTTPCHGMRPRLDWGTSPIFAPCWSPSGGRPPRSSWSRRPRRRRRKRRRRRRKRRRRRAPRREGPLCPGPSKRGRRDACASPGPPSSGRGWDGGACVVIGGATDRRENPRPAGLGGTKGAGQGAGQGPKERPACWWGRCEATVGRHGRLGSRGVEALGANFRVSVPAWLKRKAEPMGPTPVKSLRAGAAVLNRTVEQDVAWVRQVEAAKGRLRQQQEAADATTVAGPDPTAVGFESARAVAPSSVEAEPRPLPTVEAAVEAVMV >Sspon.04G0007020-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:19624151:19627459:1 gene:Sspon.04G0007020-2C transcript:Sspon.04G0007020-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRPYAVKGRKKKRKLEEASASDAAPPAEEVEELPPPEVGSGEEKVSEEDEAAARKEEHAAADGLPILPRMVDGKRQPGAIFVLERACLEVGKVGKTMQILNSDDHANYLRKQNRNPADYRPDIIHQALLAIFDSPLTKAGRLQAVYVRTEKGVLFEIKPHVRMPRTFKRFCGLMSQLLQKLSITAVGKREKLLNVVKNPVTRYLPVGARLSFSAEKSVNLFDYVAKSSDDEPLVFVVGAMAHGKIDKEYTDDYIQICNYPLSAACCLNRICSALEQKWNIQ >Sspon.08G0020940-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8C:31030700:31035127:-1 gene:Sspon.08G0020940-2C transcript:Sspon.08G0020940-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSPVPERSLIIESDDDDDTQSAVATNWTGHGHDEEEPGSDSDSSSSSSSCATPRRGPSSPAYTQQWPQSYRQSIDILSSVHSPNLSFLGTPTLSRLSNSFLAITDSFRGKAPETISNFIKPLLGPTSSDEQQQRHEDTRKSSQHIMPSRKSSLQQIPEDQKPLVVGHEASHNRNCSYTQGVMNGINVLCGVGILSTPYAIKQGGWIGLVILCLFALLAWYTGVLLRHCLDSKEGLETYPDIGHAAFGSTDHVPNACIAHYIDASNVDVSAAGGVIASILVVICLFLVGVVNNVGFENEGTALNLPGIPIAIGLYGYCYSGHGVFPNIYSSLKNRNQFPSILFTWWQIQ >Sspon.07G0011880-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:55296385:55298769:-1 gene:Sspon.07G0011880-2D transcript:Sspon.07G0011880-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSMAAASCSPASSSSRTWAAARRSPAPPSLPSSRHAAFLCSQSSSYPSSSHGCRWPVALPLDIRGACARCPRPCSRRASPRTRAAAAASPPAAGKPEGAGGISRTLQLGTMILVWYLLNIYFNIYNKLVLKAIPFPYTITTFHFASGSFFITLMWLLNLHPKPRLSLEQYAKLLPLALIHMLGNVFTNMSLGKVAVSFTHTIKAMEPFFSVLLSALFLGADSLDDINLFSIITVMAFLLSAPLMLYVEGIKFSPSYLQNAGVNVKELCVRAALDGTCFYFYQQVSYSLLARVSPVTHSVANSLKRVVVIVSSVLFFRTPISPINALGTGVALAGVFLYSQFKKLKPKTKAA >Sspon.05G0010820-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:30592212:30595511:-1 gene:Sspon.05G0010820-1A transcript:Sspon.05G0010820-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRQKIANSGWAAFDRKWRSADGSGDEGDADSFPALSSFGSPDLASSSITEKNGLKPKPFASVVRPSVDSGAVSNGRGNEISANHVDNGNHGAISASVNKVKLLKDAHSWADSNLIEDVLAAVNNDVSQASDLLKAMVSPDLQTGEGRTSGQLAAVRNKTHGLPSESAAAGKANPDSSQAATKHSQSASNAFLRGDHAAAKELSLRAQEERAAAEKLNNKAAEEIFRLRNSNNDIWKIDMHGLHASEAVAVLERHLHMIEFQQPGNKSASTEDLAKLESAYSESTTGSNIELTAEKVVLRRPKQSILHVITGMGNHSKGQASLPVAVRGFLIENGYRFDELRPGVFAVRPKFRRR >Sspon.02G0046110-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:372624:374412:1 gene:Sspon.02G0046110-1C transcript:Sspon.02G0046110-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEQRNPAIRQVSSSLLALAFASSVLLGLAAGGTFYEECDATWEPQNCWAYDDGNRLSLALVSSSSGSMIRSKRQFVYGTVSTMIQLVPGDSAGTVTTYYTSSLGDNHDEIDFEFLGNVSGQPYTIHTNVYAAGVGNKEMQFKPWFDPTADYHNYQGQLARAQGRACNYTISWTPCMIVWYIDGVPIRVFRNYAATHGVAFPTNQPMYAYSSIWAAEDWATQGGRVKADWSKAPFVASYHGIDLDVCECYGGGCVSTCAAAFAGGDCSSLSDAQVGKMQWVQSSYRIYDYCVDPKRLVNGQKP >Sspon.02G0016760-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:47068277:47073472:1 gene:Sspon.02G0016760-1A transcript:Sspon.02G0016760-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHQKREGSSADDDCTSKRLKGTDTASETGGSVEASVSRQMDAEARRTCQKESEVPSDKCVPDGEAAASSQVSGEQKMVLTAVEADAAEDKGCRHTMEDTWVVLPDADAESPGSLRCAHFAIYDGHGGRLAADYAQKHLHQNVIAAGLPRELMDVKAAKKAVIEGIQCVKVSVELMNLCCKKVLKVVVANAGDAKAVLARSISTEGEGVVDETKSQLKAIVLTREHKAIFPLERSRIQKSHFVPPVMVLAGGSVGSNGRLQGRIEVSRAFGDRQFKKLSCSFTSILFKLHILQETSSATLAVRRLVKEAVRERRCKDNCTAVLIVFKH >Sspon.02G0001150-1P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:28417954:28420030:1 gene:Sspon.02G0001150-1P transcript:Sspon.02G0001150-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SSQGIDLVAGGRNKKTKRTAPKSDDVYLKLLVKLYRFLVRRTKSNFNAVILKRLFMSKTNRPPLSLRRLVNFMEGKENQIAVIVGTVTDDKRVYEVPAMKVAALRFTETARARIVNAGGECLTFDQLALRAPLGQNTVLLRGPKNAREAVKHFGPAPGVPHSHTKPYVRSKGRKFEKARGRRNSRGFK >Sspon.06G0007760-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:37217756:37225930:1 gene:Sspon.06G0007760-1T transcript:Sspon.06G0007760-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPDDEPSAQRKAVDLHLPRDTEPASAPAFNRRAAPRVFSYGDDPVASASPSAQRCASFPQRHGHGHGEAALAPAASFAAWVDGGPAAAALEQAMSQYGGAGAALPEFVGAGGGEGIFRVPLRAAMHPARPPALEVRPHPLRETQVGAFLRTLACDPRRRQLWAGAESGVRVWDLHEAFRGWRPGSGPRRRGDEEAAPFRESVPVPPVLCAAVDGANGLVWTGHRDGRIRAWRMDHAAPSPVGGGPAGGAPMFMEALSWQAYSRTPVLAIVVTSYGEIWSGSEGGVIKAWPWDAIAKSLSFTSGENHMAASLVEKSYIDLRNNATVGNMCSLPAADVKHMLADHCRAKVWSLTSMTFALWDAKTRELLKVFGIDGQVDLARPEAPVMPEQFIEEEIKVKPTKKEKPQGSFSFFQKSRNALMGAADAVRRVATKGTLVEDNRRTEAVAQAMNGTIWSGCTDGSIIVWDGNGNRLQEFHYHSSSVQCIKTLGERVWVGYASGTIQVMDVEGNLLAGWIGHSCPVINMAISGSYIFSLAHHGGIRGWPLSSPSPLDDILRYGLASRELSYTRLENLKILVGTWNVAQEKASPEALRSWLGGAFFDVGLVVVGLQEVEMGAGVLAMAAAKESVGLEGSANGQWWIDSIGKTLDEGISFHRVGSRQLAGLLIAAWASNDLKPHVGDVDAAAVPCGFGRAIGNKGGVGLRIRVFDRRICFVNNHFAAHQENVSRRNADFDHIYRTMTFNKPHGSTASATSVQLHKAASANENQADEDRPELAEADMVVFLGDFNYRLNGITYDEARDMVSQRSFDWLRERDQLRAEMKAGNVFQGMREGPIKFPPTYKFQRHQLGLSGYDSGEKKRIPAWCDRILYRDSRSVSIAECSLECPVVAAITAYEACMDVTDSDHKPVRCTFSIDIARVDELIRRQEFGKIIESNKKVCSFLRELHFVPDTIVSTNNIILENQEDVILRITNNCETSKAAFEILCEGQSIRKQDGTKSELLLRASFGFPLWLEVQPSIGLIEPGETMEVAVHHEDFFTQEEFVDGVQQNWWCEATRDMEVVLSVNVTGSSSTEAVTHRITVRHCCPVPSAPPTVNPRSITDSPSDAESSSKNNQLNHLLRSDFAKFGCSEVHDLCDVQKRNL >Sspon.01G0028050-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:64373973:64376160:1 gene:Sspon.01G0028050-1P transcript:Sspon.01G0028050-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADSGARRQPTFTKVDQLRPGTHGHNLIVKVVDSKMVVQRGREGGPQGRQMRIAECLVGDETASLSSLQETIKWM >Sspon.03G0007410-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:20161083:20166140:1 gene:Sspon.03G0007410-1A transcript:Sspon.03G0007410-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MESTLKGIRGSDAPCVLDMDDAATVAGGVEDTYGEDRATEEQLVTPWTVSVASGYNLLRDPRYNKGLAFTERERETHYLRGLLPPAIVSQELQERKIMNNIRQYQLPLQRYMALMDLQEGNERLFYKLLIDNVEELLPIVYTPTVGEACQKYGSIFSRPQGLYISLKEKGKILEVLKNWPERSIQVIVVTDGERILGLGDLGCQCLPITLDVGTNNEELLNDEFYIGLRQRRATGQEYTDFLQEFMSAVKQNYGEKVLIQFEDFANHNAFDLLARYGTTHLVFNDDIQGTASVVLAGLIAAQTLLGGSLADHTYLFLGAGEAGTGIAELIALEISRQTKAPIEECRKKIWLVDSKGLIVSTRKESLQHFKKPWAHEHKPVSNLLDAVNAIKPTVLIGTSGKGQTFTKDVVEAISSFNKRPIILALSNPTSQSECTAEQAYTWSKGQAVFATGSPFDPVEYNDKIHVPGQANNAYIFPGFGLGVVMSGAIRVHDDMLLAASEALAQQVTEENFEKGLIYPPFSNIRKISAHIAANVAAKAYELGLASRRPRPKDLVKFAESCMYSPIYRNYR >Sspon.07G0021430-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:3175375:3176598:-1 gene:Sspon.07G0021430-3D transcript:Sspon.07G0021430-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSQHGGLGDTARRWRELHGGGNNSGWAGLLDPLDLDLRRTVLRYGEMAQATYDAFNRERASPHAGLSRFARARFFDRVRLPAHATAYRVTKFLYATSSVVVPEAFILRSVSRSRRCRESNWIGYVAVATDEGKAALGRRDVVVVWRGTMQKLEWADDLEFPMVPTRGLLGDGERCDAMVHRGWLSMYTSTDPASSHNQDSARHQALSEVRRLVDTYRDEELSITVTGHSLGAALATLNAFDIAANGYNVAAAGAAACPVTAFAFASPRIGGGGFKKQFDAVPGLRLLRVRNARDVVPKYPIMFYHDVGAELAIDTGESPYLRSPGHEQTWHNLEVYLHGVAGTRGPRGGFELAVARDVALVNKTYDALRDDYGVPPGWWMPLNRGMVEGADGRWSLMDCEEDEDDE >Sspon.03G0042840-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:73498187:73505091:1 gene:Sspon.03G0042840-1C transcript:Sspon.03G0042840-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ITMDQHDSMADSPRRRHNLLRDKVQLVKRKDSDRYEIIRFHDPLSFEKGFFVVIRACQLLAQHNDGLIFVGVAGPSDPRLTDYDTLLENIHGLKEGRSVQVPIYDFKSSCRIGYRTVDVPSSRIVIIEGIYALSEKLRPVMDLRVSVTGGVHFDLVKRVLRDIQRAGQEPEEIIQQISETVYPMYKAFIEPDLETAHIKIVNKFNPFSGFQNPMYILKEWVTDNSFIISPRITFEVGVRLLGGLMALGYTIAAILKRSSRVFSDGKATVKIDWLEQLNRHYIQVQGRDRLYVKFVADQLGLDGSYIPRTYIEQIQLEKLINDVMALPEDLKTKLSIDDELVSSPKEAFSRVSADRRNKLMKSGLSQSYSTHRDKSVVKLSKLTDRRFGGGQALEPPAIDQGAITQLSEQISTLNERMDEFTSRVEELNSKFSVKKHLPSQQNLALPNDACSGSTPTNLFVSQLGNGTLIPHSSSSNQLSKDSPMIEEVMNILRGQRQVIHQLDNLTNLLHEHLVLTRQANTASRNRVLDIDTVICPLIFLTVASIGYFMFKGLNRG >Sspon.01G0031940-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:105570610:105574220:1 gene:Sspon.01G0031940-2B transcript:Sspon.01G0031940-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to Actin 7 (Actin 2) [Source: Projected from Oryza sativa (Os03g0836000)] MADGEDIQPLVCDNGTGMVKAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQSKRGILTLKYPIEHGIVNNWDDMEKIWHHTFYNELRVAPEEHPVLLTEAPLNPKANREKMTQIMFETFNAPAMYVAIQAVLSLYASGRTTGKTTSFLALVIGIVLDSGDGVTHTVPIYEGYALPHAILRLDLAGRDLTDCLMKILTERGYSFTTTAEREIVRDIKEKLAFVALDYEQELETAKSSSSVEKSYELPDGQVITIGAERFRCPEVLFQPSLIGMEAPGIHETTYNSIMKCDVDIRKDLYGNIVLSGGTTMFPGIADRMSKEITALAPSSMKIKVVAPPERKYSVWIGGSILASLSTFQQMWISKEEYDESGPAIVHRKCF >Sspon.06G0012030-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:64628725:64633831:-1 gene:Sspon.06G0012030-1A transcript:Sspon.06G0012030-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MACLKLGSRADVFRKQGQEWYCTSGLPSDITVVVGEQSFHLHKFPLLSKSGLLEKRIREKIDKGDDSCTVDLSDIPGGAKAFELAAKFCYGVKFEMTASNVVHLRCAADYLEMTEEISEENLIAQTEYFLTQTVLRSWKDSVKALQTCDNVLDVAERLQIVKRCVDSIATRSCSDPDLFGWPVAQYGGPVQSPGGSLLWNGISTGARPRNSNPDWWYDDVSCLSLPLYKKLISAMEYRGISQEIIVGSLNHYAKRRLPGLNRRKSISDVSNCLSMTSLTSIPSEDDQKYLLEEIDRLLPFQRGVTSCKLLFGLLRTAIFLKASPSCMSNLERRIAEVAPDMNLKLPKFRSLAAAIPDYARPIDDGLYRAIDIYLKAHPHLSESEKEELCRVMDCQKLSLEACTHAAQNERLPLRIIVQVLFFEQLQLRSSIAECLMISEPLDGGGVSRQLGGLPVSGEHHRGAGWPLAARENQTLREGMDSMKQRVSELEKECSAMREDIERLGRSRSAGKSRFPFALTAKPVCSTKDKEAAPETSKSKSKAAATGSEDKLAVVKGGAASDGALQLKHRKHKMNLSAC >Sspon.03G0009990-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:41839516:41846417:-1 gene:Sspon.03G0009990-3C transcript:Sspon.03G0009990-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNVVEVEPGRPAADGRPSVGPTYRSAFARDGFPPPVPGMDSCYDIFRMAVEKYPNNRMLGHREIVDGKAGAYVWKTYKEVFDIANKIGNSIRSCGLAKGSRCGIYGANCPEWIITMEACNAHGIYCVPLYDTLGAGAVEFILCHAEVEIAFAEEKKIELLVKTLPKSNEFLKSIVSFGKVTQEQKEEVRKYGLSVYSWDEFLSLAADQEFDLPVKEKSDICTIMYTSGTTGDPKGVLISNASIICLIAGVDRLLSSQNEELAESDVYMSYLPLAHIFDRVVEELFIFHGASIGFWQGDVKLLVEDIGVLKPTVMCAVPRVLDRIFSGLQAKISSGGFLKSTLFNVAYKFKHFRMMRGAKHNEAASICDKVVFSKVKEGLGGNVRVILSGAAPLATHVEEYLRVVTCAHVLQGYGLTETCAGSFVSLPNQMSMIGTVGPPVPNIDVRLESVPEMDYDALASTPRGEICIRGETLFSGYYKREDLTKEVLIDGWFHTGDIGEWQPDGCMKIIDRKKNIFKLSQGEYVAVENLENVYGLVSALDSIWVYGNSFESFLVAVVNPTRRLSRVGLQQME >Sspon.04G0034970-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:42957530:42959477:1 gene:Sspon.04G0034970-1D transcript:Sspon.04G0034970-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to Phospholipase A2 precursor (EC 3.1.1.4) (Phosphatidylcholine 2- acylhydrolase) (Group IB phospholipase A2) [Source: Projected from Oryza sativa (Os02g0831700)] MSPGRALLAILLAVVLASASAALQPPPCSRSCAALNCDSVGIRYGKYCGVGWSGCDGEEPCDDLDACCRDHDSCVEKKGMMSVKCHEKFKNCMRKVKKAGKVGFSKKCPYEMAMATMIQGMDMAIMLSQLGSQKVEL >Sspon.01G0054220-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1C:49740278:49742049:-1 gene:Sspon.01G0054220-1C transcript:Sspon.01G0054220-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIDQVLTWGCEAIGTPRGGCSGCAATPATSARGTAAKDRGSSRTRGCCGTARAWVRGYPTA >Sspon.05G0037030-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:8006583:8013082:-1 gene:Sspon.05G0037030-1D transcript:Sspon.05G0037030-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDWGGDVSLLLRSAALVLPEKLIISVMVHPIKDYVAELPCFERTSYLSLELMGSLPITLPQSGEFTALKSLHLQSCCVDLGALRPLCPCLCILNILNVSDLRHADTVIVHSPSLEEFSLEINIHDICRIDIATPVLKEVTLEVDIAKGFSLSFSAPMVKKLRWGCSYSCVTVGFGQIWCLVSIRERELDEFHVVSLTIMSSANVRVPCPVNCPCEHPINGRSEDVSLSNLEVVEIHGLQGEDDEVDFLKVILRCATVLRRLTMTISDDIAPSNNGYEKICSIMKEYPDVECHIMASVSEGCTRFESATPSQQTVIWFKPSEISLEIAGRYTRSNAMLVQFRGKDPTSLPGQADVDAYMYSEERRKLKEKYEKELKDTRARELMYAEEAAILDKELKKEKLKNAAAIKELQEKAEQKLQDELQRKVKSQQIEKAQEIAKAELAAAVAKEKASQIEQIAEANLNFNSLKETIRHFSLLPAGGGGILAHAVARVASSIKIKGDNSGDGIESLINKVESLIVDGDLSTAADALEQGLHGTEAEEIATEWVKQARKRAIAEQTLALLHACASWTTFS >Sspon.04G0024890-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:38939320:38943136:-1 gene:Sspon.04G0024890-3D transcript:Sspon.04G0024890-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKEQLGTLLRDLDALKQHPDDLASTIDRMRGRLVAMMNPAAGAAASRSKIKDMSAEVVDNNPYSRLMALQRMGVVENYERIRDYSVAIVGIGGVGSVAAEMLTRCGIGRLLLYDYDTVELANMNRLFFRPEQVGMTKTDAAVQTLSGINPDVVLEACNELGQTWMESASGVDERTLKREGVCAASLPTTMGVVAGLLVQNALKYLLNFGQVSPYL >Sspon.01G0056460-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:87907534:87915219:1 gene:Sspon.01G0056460-2D transcript:Sspon.01G0056460-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding HLEKYGIPVPSYALVNREYPYQELDYFIEQEDFVEVHGKRFLKPFVEKPVNGDDHRIMIYYPSSAGGGMKELFRKVGNRSSEFHPDVRRVRREGSYIYEEFMPTGGTDVKVYTVGPGYAHAEARKSPVVDGVVLRNPDGKEVRYPVLLTPTEKQMARDVCNAFRQMLVSASSPSHQVLSSKLSLCRISAGVCGFDLLRCDGRSYVCDVNGWSFVKNSYKYYDDAACILRKIFLDAKAPHLSSTIPPTLPWKSEPVQPTEGLTRQGSGIIGTFGQSEELRCVIVVIRHGDRTPKQKVKLKVTEEKLLNLMLKYNGGKPRVETKLKSAVQLQDLLDATRQLVPPTRSGRESDSDADDIEHIEKLRQVKAVLEEGGHFSGIYRKVQLKPLKWIKVPKRNGDGEEERPVEALMILKYGGVLTHAGRKQLCRSGSGSGFSEGAPYQMATGGGSLLRYGRSRNPFCLRRRSRDLQLCRSGSGFEFSEGAAQANTRPFIWKWMSTTLVDSMSQPQRLQSLSWRMSTVERGCPCQIRGLLFCGEARDTCPWWRREGYPAVINA >Sspon.07G0019610-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:75243928:75246789:1 gene:Sspon.07G0019610-1P transcript:Sspon.07G0019610-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGGGLSRLVWRPKGSQASPTPASSAEGDAASCSATLDNDDLLGQILLRLPPLPSSLPRAAAVCKRWRRLVADPGFLRRFRAHNGKPPLLGFFFYNRGKIGFTPVLDPPDPIPAADRLTLRLPRGSNIQGCRHGRVLIAYGNSFLVWDPVSGDQCHLPYPSASGGTKYAINATIICAASATDQGHVHGSCHSSPYRVVFLGSHGEQMINYVYSSETGTWGDAISMTCLNPFDPDDFISCYNTLVGNSIYWLLNERTSSILEFDLDKQSLATIEVPPEVIDINPVVREESEFLIMPAEGCGLGLLMIAGFSARIWKRKYSCDGNAGWVLINTIKLDNHLRLKLWAYTFPPVILGFAEEHNVVFLLTGGRAIFMVHLDSWQFKKLPDKKMYRLCYPFTSFCAAGLGPLSPVTSVPQVMSAMDSWSPLEAINGEPNSLVEVGVADRWDPMVLEASINATSVQNQSS >Sspon.01G0050240-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:122433034:122436841:-1 gene:Sspon.01G0050240-1B transcript:Sspon.01G0050240-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGVQEQFEIKFRLPDGTDIGPRRFPPASTVATLKETIIAQWPKDKEKGPRTVNDVKLINAGKILENNKTLSECKSPICDFSGMTTMHVVVRAPTSSKQSDKRAAKKAKDFRCGCAIM >Sspon.06G0002620-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:5667590:5669967:1 gene:Sspon.06G0002620-4D transcript:Sspon.06G0002620-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAILKRHSSTDTDSTKSTAAAGGEASAAVAASLSDDMLAEIILRLPVDSVARSKCVSKAWCATVSDGYLRRRLPLQLSVVYFPDDADSASARGKTRPRFACADSAAGDGGVGLLRDRDLGFFPFLDVSVVCDACNGLLLLRAAGTRRFYVVDPVTRRWAALPSPSRDPRLSMLAFDPSSGSGYHVINFTGRWHDRGGEVEVFSSETRAWSLRDAEFGVPAASLSGSVHFHASAVYVLASDPDCVVRMDVTAGLECAVAELPEPADGGGDGRLAHSAGRLHYVATDGALRLKVWVLDSESPALRWRLKHAVKLGDVVGLEGECGGRGSEARFLALHPEKDALYVWSAGKLQLLEYDLTRKEVTGAWAFGKGEKNRVVKTWLVPSSMYLSDCLPLADDAHVIWDATT >Sspon.01G0046140-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1C:86911487:86914788:1 gene:Sspon.01G0046140-2C transcript:Sspon.01G0046140-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRKNDVDDDEPRVSRLKPPTSKSKSQKKKSNHDIMENGPFSGQNFQKMGGADPSNWSSYGSAVSFSESCVADASEMTGSAQSHAWESLVPSRKRSCVTRLKPSSVEKLAKDLNSIMHEEQLYYLSGSSEENLLYHSETPVGSFEIGSGSVLLRHLNSKSLEEESEASSIPADNKSYITSESYSGSVSFVSHSGNKAAINLNAATVRPKRSPLRIEDNGRRDKLHYENQHILESVDSPLVSADLEEKEIKETRGVENINGSKGFTKSTIKPVKRSRDDNHFQSNSELDGTMRSPIRVLKSGALTPQFKNSSLPKSGYATKDSTCTGGALNLFMLPPEKLSLFVPPQYANSDHDLLLEIPLNARHPEAELLCQPSQLSSITHSSTSVGGVAEGEGHLKQP >Sspon.05G0006090-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:13014817:13016009:1 gene:Sspon.05G0006090-2B transcript:Sspon.05G0006090-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATAPMPVHVESLQTAVPMRTAGAGKSLPIAVSGPPLTAAELQRRFRAVLYYRGAGAEVEAAARERAAWVKESLCAALVDHPEMAGRLRRRSDGDGDGRLWEVKLRDTGVRLVQASVEATMAEFLEARGLDRERKEAALALWTDVDVHEPDICAPLFMQLTRFLDGGYAVGVSCSLLLADPLSLIGFLKSWARKKTEMQAQSKHVAHPVIQYTHYIQSPGAAKRVKSGTSTLDTATATAADNTKTVLFRATDSSDRRALAAACVGMASKRLGGAKEPPRFTVIARDSSKGLHVQTCGTVEYGDREACLGHGHGLAARVAQWSEAGLEDLALEGSKPVHVSYSISPCADEGLVVVMPAGGAELLISVTVPNY >Sspon.06G0021400-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:14827090:14831247:-1 gene:Sspon.06G0021400-1B transcript:Sspon.06G0021400-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATMFARPLLAALLLPAFLLSAASAADSKNNPADQLVTLINSNRTASKVSTVSDNQGLGCIALQYIKAYEGQCDQVDDKKPMESSFTDTFAPTCGVQATTLSKITGRLVGCQSKYVSPAEAFDILVNDAKGLQILHSKNHTEVGAAVSGTDRGGPYFWCVLFSDGKPTTSFKVDGEVPKTAIHPGCFSGNNDDCMGPTNGAISVNAGASRLVAALLFSVACAFALIYDFYT >Sspon.03G0008430-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:22714657:22734285:1 gene:Sspon.03G0008430-1A transcript:Sspon.03G0008430-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MIEMIGALLAEGERGAESLGILVSTVEADVMADIVIETMKHLPEASFHLATNNGVQQLNFKYSSGLLTQNLPANSDSALFAAQSTPTADGVILSPPDAFVMTSVHDAKRDPRRDPRRLDPRRIVSPSAVNSIQVKMETNSVHQTDNLSNTLYSNSGKAENYSDYSGDLQKNEDEQHSASQPNQTIAKDKLELLDVATEPEPTSEVEAPVDVKIHSSDVDEDMVKPMSSEVISLDESDSMDLEVDPFLPVPEASTPEDTNHDLPVITSQLELSEKGKISINKLAIGRILDDYKKNSLNARFSLLAHLIAQSADDDNIMDLIQRHIIFHSHDQKGYELAMHVLYQLQSISVANSPESSASTSKHYEKFFISLARSLIDSLPASDKSFSKLLCDAPYLPESSFRLLEDLCMSEDNSQQLKDGDGDRVAQGLGTVWSLILGRPPLRHVCLDIALKCAAHSQDEVRGRAVRLVSKRLYDLSYATEKIEQFAIESLVRVANEHTVDADINLKSLKESTAEIEVDSQGTSVSGSQIPDIEFSENEPFKTSSVSPKKSAVSVSEAKRRTSLFFALCIKRPSLLQHLFNVYGRSPKVVKQDASILIPLLPSFPKEEVLPIFPRLVDLPLGRFQDALARILQGTAHTGPALTPAEVLIAIHDINPEKDKVTDACTACFEQRTVFTQQVLEKSLNQLVDRIPIPLLFMRTVIQALDAFPALVDFVMGILSRLVNKQIWKMPKLWVGFLKLSFQTQPRSFDVLLQLPPPQLEFMLNKYPNLRTPLSSFVNQRNMHNTLPRQILNSLGFLSEPQQAPMTFVPATLQTADATTSLPGATLM >Sspon.08G0012190-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8A:52192219:52193132:1 gene:Sspon.08G0012190-1A transcript:Sspon.08G0012190-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADKSYKEAGSSCRKTGQESSYLELWTASHRHADGRSGVDGDCDFANIGLEGQERTRGVGAPGRRDALSRGVARLLSSRRPSRPLRRCRPVATALHVLDAPPRHARATLLLRLAAANAHPATPAPLRCCRPAATALPTPRPVAAYAPSRPCRCRCPMGDGGSGRRIGG >Sspon.01G0029640-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:99521068:99523547:1 gene:Sspon.01G0029640-2D transcript:Sspon.01G0029640-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPWLGERQLCRSLNPPLTAVAAAEKEARASYGMGTGIVVHLHDVILTVAAFWPIFRAVVPQLKRRRKEKAPCACRSLGSGRLADVVVERQACRSLIWGAAALPLIQGGAAAPCPLFATATSASLLLLHVGSGKVKMSLPCAEVRAVDFKTKVHTCVLLLPSSALVNQYAMQAQEARQEINSWVPEATKGLITDVLPHGSVDADTGLMLVNAVYFKGKWVTPFDERCTEVEDFYLLDGTTVETPLMRDCGSYLVVVHGGFKVLTLSYQAALAFPPCGGGMRMAKVTRRCGVARYSLCIFLPDAHDVLWSLVDELAASGPAFLHDHLPWREVCVGKLRLHRFKMSLDSDLSDALQGLEATLDRGDEGAADLTDMAERRGYPGVSPTKIDKVFHKAVVELNEEGTKAAAMTYVYALGPPTCSPPGYRPETVDFVADHPFAFFIMEEVSGAVVFADCVLDRSSDTFHLS >Sspon.05G0035050-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:68442944:68444585:1 gene:Sspon.05G0035050-2D transcript:Sspon.05G0035050-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPDHSRMVMPPTFATGSADRLSALPDEILQHILSFLPAQEAVRTCVLAQSWRQVWELVRRLHITGTTTPTYVGQVRGFVDRLLQARLSMISRSPLDMCEIEFDMFDDNDEAFIHRWIGHVLQCRVQWLSLNIFDNEADEMPWFPLPEPLFSPYLTRLDLYGIEFNASFAHFSSCPALQDLKIEKCDLSEVSEMKSPSLRRLSIKDCISCPNWRFRIFVPRLVWLWLDIPSADRTPQLESMPDLVAAYVKLDWCLDECICEGDRLDCCHVNQAAYSYSYSDIDDGDEEDSDSYASDHAVVDYGYSSTEDDDDEHDEEGFSVDDISSKVTGKCIILGGLSEATDLTLISSHEMFVFRRDLRWCPTFSKLRTLLINDYWCEPPDCRALARILEHSLVLEKLTIVLSGKGPKYEVEMKGCLNAVRRPAMMISEHLSIVEVKCDVVNDTFHNVLRFLDSLNI >Sspon.03G0016530-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:72583670:72585294:1 gene:Sspon.03G0016530-3C transcript:Sspon.03G0016530-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTLATAATAAWTREEDKAFENAVAAAAAPPADGPPDDGWFTELVASVPARTAEEVRRHYEALVEDVAAIEAGRIPLPRYAGEESSAATPEGSGAAASAPKDGGGGGGSGHRREERKSGGGGVDAGKSCSKAEQERRKGVPWTEEEHRLFLLGLDKFGKGDWRSISRNFVISRTPTQVASHAQKYFIRLNSMNRDRRRSSIHDITSVTAGDVAAAGAPITGPAAAGAMPMGPAGMKHHHPAPPMGMYGHAPMGHPVAGHMVAPAAVGTPVMFPPGHHSPYVVPVGYPAPPAKMHQ >Sspon.07G0000670-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:1534579:1536680:-1 gene:Sspon.07G0000670-1P transcript:Sspon.07G0000670-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFPQGKKRFDMDPPVGPFGTKEEPAVIESYYNKRIVGCPGGEGEDEHDVVWFWLQKDKPHECPVCSQYFVLKVIGEGGDPDGHDDDEDDHH >Sspon.04G0002400-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:8321467:8321919:1 gene:Sspon.04G0002400-2D transcript:Sspon.04G0002400-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding WRAAATTTTRARATAARQRRSTSSCSWAPSRCWAPRRSTRATSPRWRAWWSRSGSPSCCPRCCSCSPCSTGRPRPGRGGRGAAPSRRCWLGTSPPCTPAADGAASTTSGTAARGRRRRRGAWRSRSPSCCSSSPTSPASRTCGSRWSNAGD >Sspon.08G0024840-1P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8C:4728331:4730262:-1 gene:Sspon.08G0024840-1P transcript:Sspon.08G0024840-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGKKSMSKEMEKKPVDILAGSDEDSDGGEEDLSKIQINEEYARRFEHNKRREALQRLEERRKLGLVPASDDDESVSESESSEEEDEEAAIASRLVDRRVFEVIRRIRSGDPRILDKDAKVYSESEEEGGEEAENAEKEGESKQEKKKTKKEKPLYLKDVNARHLLEEGPEFAAQSSRSSSKFERIAYDEQQKKGLEAFLEAQKEVLGDGDDNDDLFQVKPKARAGADDEAEEDEEEKQTKELAGEVFGKDEELDENEKFLKEFFLKRPYLEAEKRKSYLDDIQELSDDEEELEIQEEYEHGYNYRHEEAMASGAVVADRVMGHSRVVEGSVRKKESSRKQQRKSKEERMARIKQEQAEELKHLKNLKKKEIAEKLERIRMIAGIEGDAACKLGADDLEEDFDPEDYDKKMQEMFDDSYYEADDVDPEFGSGEEMDLEKPDFDKEDELLGLPKGWASDQSKEESTATDAKGAKGKISLKDKVELEKEMEEYYKLDYEDTIGDIKTRFKYKQVKPNSFGLSAYDILVADDKDLNQYVSIKKLAPYREDEWEVTHHKRQSKDLILGGQKEGKKDKSGKKSRSEEGGPSSSKTEKDRLTNGQESTNDKKKTTRSERRKRRKADLKISDDRLAAFGKTNSKRHKSN >Sspon.01G0020740-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:74293733:74296480:-1 gene:Sspon.01G0020740-2D transcript:Sspon.01G0020740-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKAYRDSIKVLEADIQHANTLASEFPRDYDGACLQMRLSYSPAAHIFLFLVQWTDCSLAGALGLLRILIYKVYVDGTTTMSTHERKASIKEFYAVIFPSLLQLQRGITDVEDKKQKAVCMERYRKKDEDERGSLSDIDVEREEECGICMEMNSKVVLPNCTHAMCLRCYQDWSSRSQSCPFCRDNLKKTCPGDLWIYVEDEDVVDMETVSSENLRRLFMYISKLPLIVPDVIFSVYDSHIK >Sspon.01G0018590-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:65907381:65912034:-1 gene:Sspon.01G0018590-4D transcript:Sspon.01G0018590-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKQGLNYTTLHPFWRKSYAHFANCAHARTNAGGACGYDNLYNAGYGVNNAALSSTLFNDGASCGQCYLITCDTSRPGGQSCKPGNSITVSATNLCPANYALPNGGWCGPGRPHFDMSQPAWENIGIYSAGLIPVLYQQVKCSRTGGVRFSIAGSQYFLLVNIQNLGGSGSVGAAWVKGDKTGWIQMSRNWGANWQALTGLVGQGLSFAVTTTGGQYIQFLNVVPRWWHTCYVRARTRSKVPVMAPRMLVLWCVLSASTVIASVVGWSPGTATFYGGSDGSGTMGGACGYGNLYSAGYGVNNAALSQTLFNDGASCGQCYTITCDGSGSRTGSQYCKPGNTVTVTATNLCPPNYGLPNGGWCGPGRPHFDMSQPAWENIGIVQGGIIPVLYQQVKCSRSGGVRFNIAGSNYFLLVSIQNLGGSGSVAAASVKGTNTGWIQMSRNWGANWQALSGLTGQALSFAVTSTGGQYIQFLNVAPTWW >Sspon.02G0031990-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2B:111629419:111630236:-1 gene:Sspon.02G0031990-2B transcript:Sspon.02G0031990-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYRSLATRIWGPAAYALRRHPGPVVPPPPPAAAAHSRFVGTIRNQVIAVEQNAIF >Sspon.01G0045300-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:97803510:97810380:1 gene:Sspon.01G0045300-2C transcript:Sspon.01G0045300-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVPFLCASYLLVSIISKAASEHCAGRSSSKSSEHSVVTSNSKNSEQQACINSANRILGSNSKPFAGIILKKPQQVGVLPLRVRGSIASSPWKLFSPKAAAPKSGDGLRIAVLGASGYTGAEIVRFLANHPQFHIKVMTADRKAGEQFGSVFPHLRTLDLPRLVAIKDADFSDVDAVFCCLPHGTTQEIIKSLPRHLKIVDLSADFRLRDINEYAEWYGHSHRAPELQEEAVYGLTELQRDDIRNARLVANPGCYPTSIQLPLVPLVKAKLIKLTNIIIDAKSGVSGAGRGAKEANLYTEIAEGIHAYGITSHRHVPEIEQGLTDAAESKVTISFTPHLMCMTRGMQSTIYVELASGVTPRDLYEHLKSTYEDEEFVKLLHGSSTPHTSHVKGSNYCFMNVYEDRIPGRAIIISVIDNLVKGASGQALQNLNLMMGLPENMGLQYPPLFP >Sspon.01G0007290-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:20049932:20050780:1 gene:Sspon.01G0007290-2P transcript:Sspon.01G0007290-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSTGAGAGGKVKKGAGGRKAGGPRKKSVSRSVKAGLQFPVGRIGRYLKKGRYAQRVGTGAPVYLAAVLEYLAAEVLELAGNAARDNKKTRIIPRHVLLAIRNDEELGKLLAGVTIAHGGVLPNINPVLLPKKTAEKASSGGSKEPKSPKKAAKSPKKA >Sspon.03G0004850-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:53430058:53433636:1 gene:Sspon.03G0004850-3C transcript:Sspon.03G0004850-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSCAGEEEWPGGVTGPDAEVGALVWVRRRNGSWWPGRILGMDELPENIVIPPRSAGTPIKLLGRPDGSIDWYNLEKSKRVKSFRCGEYDECIEKAKVLARQQKRTHTEGRYVRREDAIMHALEIERSRFPNNCDDLEEDATNDMCASQNICSAKSININGLSKKSRGARSLYDIEENSAQESSQALTLYKKPQNLSSSSTRYASSKRKKRKGHKDHEDDTVQGFQRMRDLREIGTKNVPKQKSGAAIVSDVPLLESGPSFGYDLSSANGIKKGKQSQSSIRKKRSNIGQSYDSLSKKDRHHPLSMLSEDSEVSGTYYHWDPSGQSSSQYPGGQIPNLFESSRAKNIFPSDVNNCSYSSGTSSLETLLDTSHINHNKGSVNAVTLKDADPCTTGFLNDDCPDYDGFLDAVTLEGDVLQEGHLDTYGSCASIKDQISKLGNQSTGCGIGGIPSTRHNRNSKKKSITSVNLIPKESHKRDKNSLLQCEGIIKSEGTVFRPVELEDNTQHATPEHDESSETISNHSNSEKGTTSLPNYVPLQVLPPPGQRPDLKPPRCPVTSPTKRARADRLYDVELTVQRSYKGKPIVGYPVTVEVLEDSFPTQVEMSTTW >Sspon.01G0032380-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:105481235:105484595:-1 gene:Sspon.01G0032380-2B transcript:Sspon.01G0032380-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MESPTSTASRPDFYDFLDRMRRPAAADLFRSIKSFLVSFSFHEPNDEEDGSKVQTFLAEMESAIRDHPLWANATSQEIDHALEGLEKYVMTKLFDRTFGTSTEDAVTNMEISEKIGLLQQFVKPHHLDIPKVLHNEASWLLAVKELQKINSFKAPREKLQCVMSCCQVINNLLLNISMSNDRTLSGADEFLPILIYITIKASNSWQLRETKLISEVEYYLTNLISAKMFIIDVNARSLSMEESEFQKHMELARLATQVSVASPSSSQGLPTSARASQEEIDMAGPRFPFMDSETESLTPGEVKQLHDLYRKVVTRYTLLSKALRKLSIDEDQLINSVHDS >Sspon.01G0007680-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:17410921:17413219:-1 gene:Sspon.01G0007680-2B transcript:Sspon.01G0007680-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTGGVFSGSVNLKYVKLGYQYLVNHFLTLLLVPVMAATALELARLGPGELLSLWRSLELDLVHILCSAFLVVFVGTVYVMSRPRPVYLVDYACYKPPASCRVPFATFMEHTRLISDDDKSVRFQTRILERSGLGEDTCLPPANHYIPPNPSMEASRAEAQLVIFSAIDDLVRRTGLKPKDIDILVVNCSLFSPTPSLSAMIINKYKLRSNIRSFNLSGMGCSAGLISIDLARDMLQVHPNSNALVVSTEIITPNFYQGSRRDMLLPNCLFRMGAAAILLSNRRREARRAKYRLVHVVRTHKGADDRAYRCVYQEEDDQGFSGISLSKELMAIAGDALKSNITTIGPLVLPMSEQLLFFFRLVGRKLINRSWRPYIPDFKLAFEHFCIHAGGRAVIDELQKNLQLSQRHVEASRMTLHRFGNTSSSSLWYELAYIEAKGRMRRGDRVWQIGFGSGFKCNSAVWKCLRSIKTPTNGPWDDCIHRYPVDVPEVVKL >Sspon.01G0049450-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:96578441:96579452:1 gene:Sspon.01G0049450-2D transcript:Sspon.01G0049450-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPFMVCAHTLTPAKPVTPLQCSKATHQEARAKSLQLAAASPNYPHLATATGYITAEQKPMQGRSYKSPPKPQATTLTLSPPPQPPQTSGGQNRMKLEATATVALLLFLLLAPPSPRVSMAGSAFCDGKCGVRCSKASRHDDCLKYCGICCATCNCVPSGTAGHKDECPCYRDMTTGHGNRTRPKCP >Sspon.05G0000380-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:1969669:1972629:1 gene:Sspon.05G0000380-1A transcript:Sspon.05G0000380-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATYKLGVEVVSAHDLMPKDGHGSASACVELIFDGQRFRTAVKEKDLNPVWNERFYFNISDPSNLPELALEAYVYNVNKTLESSRSFLGKVRIAGTSFVPFPDSVVMHYPLEKRGMFSRVKGELGMKVYITNDPAIKASNPLPAMDPVSNNPPPAPSPAEQIAADITGTNLHTSQEHRSEAKTLHTIAKEVHHHHNHGHLPASFGEQPSKYSADQMKPQSQPPRIVRMYSAASQQPMDYALKETSPFLGGGQVVGGRVIRGEKNASTYDLVERMQYLFVRVVKARDLPDMDVTGSLDPYVEVRVGNYRGITKHFEKQKNPEWNAVFAFSRDRMQASVLEVVVKDKDLIKDDFVGFVRFDLNDVPIRVPPDSPLAPEWYRLVGKSGDKSMGELMLAVWVGTQADEAFPDAWHSDAATLEDPSAVTHMKSKVYHAPRLWYLRVNIIEAQDVAIFDKTRFPDVFVRAQVGHQLGRTKPVQARNFNPFWNEDLMFVAAEPFEDHLVLTLEDRVGPNKDEMLGRVIIPLAMIDRRADDRIVHGKWFNLEKPVLVDVDQLKKEKFSTRLHLRLCLDGGYHVLDESTNYSSDLRPTAKQLWKPSIGLLELGVLGAQGIVPMKTRDGKGSSDTYCVAKYGSKWVRTRTIMNNPNPRFNEQYTWEVYDPATVLTVGVFDNGQLGEKSGEKTSSGKDGKIGKVRIRLSTLETGRVYTHSYPLLVLHSSGVKKMGELHLAIRFSSTSLVNMLYLYSRPLLPKMHYVRPIPVLQVDMLRHQAVQIVAARLSRMEPPLRKEVVEYMTDFDSHLWSMRKSKANFFRLMTVFSGLFAVSKWFNGVCSWKNPITTVLVHILFIMLVCFPELILPTVFLYMFLIGIWNFRYRPRYPPHMNTKISHAEAVHPDELDEEFDTFPTSRNPEVVRMRYDRLRSVAGRIQTVVGDIATQGERVQALLSWRDPRATAVFVLFCLIAAIVLYVTPLQVIAALGGFYVMRHP >Sspon.06G0022250-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:27546815:27550381:-1 gene:Sspon.06G0022250-2C transcript:Sspon.06G0022250-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cellulose synthase-like protein D4 [Source:Projected from Arabidopsis thaliana (AT4G38190) UniProtKB/Swiss-Prot;Acc:Q9SZL9] MSNPPQKKAIRNPGAGAGGPAGGSRGPAGNTVKFARRTASGRYVSLSREDIDMEGELGADYTNYTVQIPPTPDNQPMMDQASVAMKAEEQYVSNSLFTGGFNSVTRAHLMDKVIESEVTHPQMAGSRGSRCAMPACDGKVMRNERGEDIDPCECRFKICRDCYLDAQKDGCICPGCKEHYKIGEYAEDDPNDASSGKHYLPGPGGGMMNNSKSLLARNQNGEFDHNRWLFESSGTYGYGNAFWPKGGMYDDDLDDEGGPGGGGDGMLPEQKPFKPLTRKIPMPTSIISPYRIFIVIRMFVLLFYLTWRVRNPNMEALWLWGMSIVCELWFAFSWLLDMLPKVNPVNRSTDLAVLKEKFETPSPSNPHGRSDLPGLDVFVSTADPEKEPVLTTATTILSILAADYPVEKLACYVSDDGGALLTFEAMAEAASFANIWVPFCKKHDIEPRQPDSYFSIKGDPTKGKRRSDFVKDRRKVKREFDEFKVRINGLPDSIRRRSDAFNAREDMKMLKHLRETGADPAEQPKVKKATWMADGTHWPGTWAVSAPDHAKGNHAGILQALTLIDCRLASVYLSIYLSIYHIGGTAGGLIMRCDVRQVMLKPPSPDPLYGMHDEEQLIDFSDVDIRLPMLVYMSREKRPGYDHNKKAGAMNALVRCSAVMSNGPFILNFDCDHYINNAQAIREAMCFVMDRGGERIAYIQFPQRFEGIDPSDRYANNNTVFFDGNMRALDGLQGPMYVGTGCMFRRFALYGFDPPRTTEYTGLLFKKKKVTLSKVADPAGETDTQSLNHKQGQGGAVDFDAELTSMIVPRRFGNSSALMASIPVAEFQARPLADHPAVQHGRPPGSLTVPRPPLDPPTVAEAVSVISCWYEDKTEWGDRVGWIYGSVTEDVVSGYRMHNRGWRSVYCIPKRDAFLGTAPINMTDRLHQVLRWATGSVEIFFSRNNAFLASRRLMFLQRVAYLNVGIYPFTSIFLLVYCFIPALSLFSGFFIVQTLNVAFLCYLLTITITLIALGILEVKWSGIELEDWWRNEQFWLISGTSAHLYAVVQGLLKVMAGIEISFTLTAKAAAEDNEDIYADLYVVKWSSLLIPPITIGMINLIAIAFAFARTVYSDNPRWGKFIGGGFFSFWVLAHLYPFAKGLMGRRGKTPTIVFVWSGLISITISLLWVAISPPEASAGGRSAGFQFP >Sspon.07G0034070-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7C:68670696:68671214:-1 gene:Sspon.07G0034070-1C transcript:Sspon.07G0034070-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GSAGGFGALQFRTPVVKKEDGVVSAGWHDASWGRVGEPRIVDVASIRGRASKWLEGGRRGWEGVTLDPCRRDALLRKSRGGSRGWRMTAGFRLAAAARRERRGASLLGQLGHSAGCSARGASAVAGTAKLGRCCFAWARARTRCWAAVWAAAAAWAALPFSISSPSFSLFYF >Sspon.03G0014940-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:47992650:47995960:1 gene:Sspon.03G0014940-1A transcript:Sspon.03G0014940-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTSSAGDVGASVRSSVNVQKVRLQVKHFLLLQIPVAHIKSLMMLNWMTVLGLSPSKACTVDQGSKNGQQQTQHEMSEDAKKLAAKALAAAKNAAAVASGRGKVEITEVRDFAGKDIEIKKLVDANSKEAIEKAKAAGAAPSAVDNILEQIRKKQKLSVLDKTKKDWGEYKEENRGLEEELDAYKKSSNQYLDKQSFLQRTDYREFERERDARLSMMSKRRTDTREDDV >Sspon.06G0023890-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:49049677:49053311:1 gene:Sspon.06G0023890-1P transcript:Sspon.06G0023890-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKFLNYTNVQGLLMLSMVLLASCVIHAHIISGEAKEVSNAGSAMMTTMGAPRKIIGDNKDLLCYLKGLEYCCDLIQKCWHDIKDVQGLLMLSMVLLASCVIDAHIISGEAKEISNTGSATMTTMGATRKIIGDNKDLLCYLKGLLYCCDRIQKCWHDIKECLEHCHGGN >Sspon.02G0035100-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:18458122:18462291:-1 gene:Sspon.02G0035100-2C transcript:Sspon.02G0035100-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNAAGDAAVSVAAGACGLPFQPECATHHRELAAAVAAVELACRLCVDVKRSLFSDGSNILEKNDQTPVTIADFGVQALISLELQRLFPSIPLVAEEDSASLRSSKADDNSSDILVESIFSAVADKVSNNGSPLTQDDVFRAIDRGGKDAVSFDSNPATYWVLDPIDGTKGFLRGNDALYVVGLALVVNGKVTVRVMGCPNWTNDDIANKKDDSAAACNGRGILMVSHIGCGTWSRRLSAEIGQFTMVQDIWKRCFVDTCSIAHMARYCIPDSQTWDMIPLSVTFNSTTDASDPRNENEILLLSVFCGSLCKYLTVASGRASVFVLQARLTTQIKSWDHAVGVICVQEAGGQTSDWSGKPLDLAADLTSRRIIYPSGGVLVTNGVLHDKLVEMISANYK >Sspon.02G0005330-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:16845222:16845895:1 gene:Sspon.02G0005330-1A transcript:Sspon.02G0005330-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLHVKLSFVLARHVPQGWPLELCPTGEGGGDCDGGQGTASDHSARPLRPGDAGARRSHGRQRPWHGGQLWRARRSQAARPRQARHAVLARVQLSKEEERGSEHEDKLSLGLGLDLRHTYTPSTATGNRRTAGLHRGKDATQAAAFTCHERLGAVHGKNTAGSLSWASRWDSRSRRQHVSSICT >Sspon.07G0012690-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:45626851:45636796:1 gene:Sspon.07G0012690-4D transcript:Sspon.07G0012690-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLLQLRLLLPRAIVERCSCSLRLTPSRLSVRAAAAAAAASVAGAVPSSVMHDEPCVLPFSPEVAPHHRELAAAVASVERACRLCVDVKASLLSGDRKFLEKNDKTPVTIADFGVQALISFELQQLFPSIPLVAEEDSACLRVSNNVSPLSHDDVLRAIDRGGKDAVSFNSNPATYWVLDPIDGTKSFLKGGDDSLYVVGLALVVDGKLAVGVMGCPNWTDGITGKTNDESLAAPPGRGILMAWDHAVGVICVQEAGGQ >Sspon.04G0030820-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:2952109:2953937:-1 gene:Sspon.04G0030820-1P transcript:Sspon.04G0030820-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVERSDAAFVNGARQEGYKVTLNVYDLSNGLARQLSTSFLGKPIEAIWHTGVVVYGNEYYFGGGIQSSPAGTTPYGRPLRTVELGVTHIPREVFEDYLRDIAPRYTAETYRLLTHNCNNFSHEVAQFLVGTGAGVPDYILNLPAEVMSSPMGPLIMPMIQNLESTLRSNNAPQATQFVPTPASVSAPAKREAPAEKASKEATSSAPAPAPSPAPAADPLGSARGKVQEEVMREFAAIMASGTLRASEAAALAMRRVMERHGDGATMQQG >Sspon.04G0003110-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:10264567:10267392:-1 gene:Sspon.04G0003110-3D transcript:Sspon.04G0003110-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLTVPSSVPAVAEDCEQLHKAFEGWGTNEKLIISILAHRNAAQRRAIRRAYAEAYGKELLRALGDEIHGKFERAVILWTLDPAERDAVLANEEAKKWHPGGRALVEIACARTPAQLFAAKQAYHDRFKRSLEEDVASHVTGDFRKLLVPLVSAYRYDGPEVNTSLAHSEAKILHEKIDKKAYSDEEIIRILTTRSKAQLLATFNSYKDQFGHAINKDLKADPKDEFLATLRAIIRCFTCPDRYFEKVIRLALGGVGTDEDALTRVITTRAEVDLKLIKEAYQKRNSVPLERAVAKDTTRDYEDILLALLGAE >Sspon.03G0019220-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:59449502:59450011:-1 gene:Sspon.03G0019220-1A transcript:Sspon.03G0019220-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSCEFAAVRLPGLLALRSGRISRPSPIPPPPPAVTKNKKPAASSTSSSRCASSRRDDAEFSCNNGGGGGRMVDEGMVVLRRRIHEMEAAERGWEPPDEWAAWEKEWYATYDADVCRLLGLLQAFLASSRPGVGVGLVAVLVLAVPASAFVLVSLLLDASRAIVSNLPH >Sspon.05G0015880-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:62751043:62755398:-1 gene:Sspon.05G0015880-2B transcript:Sspon.05G0015880-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLLLFAVLAAVAAFLLFKFATVVDGDLTLVSRGPPRRERVDGKVVWITGASRGIGEVLAMQFANLGAKLILSARNKDELDRVKKNILSKNPDSRVEVLPMDLSAGEESLKEVKRGALEESEQGLKATLNVNVFGTITLTRLLAPYMLDRGMGHFVVMSSAAGKVPAPGQAIYSASKHALNGYFASLRSELCTKGIKVTVVCPGPIETPQSSASASQCAEVLTSWIHVVAQQLKLKIWYPKREKRVSVERCVELTIVAATHGLKEAWISYQPVLTVMYLVQYMPTVGYWLMDKVGAKRLDAAAKKGNTYSWNLIFGSKKSA >Sspon.04G0016480-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:60635998:60640851:1 gene:Sspon.04G0016480-1A transcript:Sspon.04G0016480-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGRKRRRRDGSEAPSMHPRNRYAAAAPDFAALAELYPSFRPFVSVTDRGRASVDFTDFSATRELTRVLLLHDHGVNWWFTSMIGRKANLKLLISKVRAAGASVVKTTEFVQGQTARWGLAWSFIAPRPRKMVLSSSAPAKNHHSFMLQVTLSDEQIEAAILHGDDYAGSLEDSAAKLQSVVKGISFRITVFEQFPGTLLIKGSLLNKALSGTFSSLFSQLEDALRMECLSKVR >Sspon.02G0047770-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:12309826:12318240:1 gene:Sspon.02G0047770-2D transcript:Sspon.02G0047770-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALLSKEHMFCTSWKVVTRQAEHVEALALPRQSESAETFSGMGFCWSSRPNRTGGTYQSNLQLVAVALPANASATPAGFAAGTVGALPNQISALALCRGDTDAPTCRACVADTFPGAQQDCPNSKDVTVYQDASIVRFSDQRFLEFPGVNSPYAVSSWDSDNLTVPAAWFDAAVAALMNATADRAVSVSVSVTKASSNSSARKYFATGVEDFDAEQYPKIYGLAQCVPDLTAVQCRGCLGSLVASMPGFLDGKPAGRSLGVWCNLRYSVSPFDTGPAMLHLPAPALAPAPAPTAVPSVVTPKTGAGRRRAAGIAAGVACFVVLVVTFSGVALVRFRRKIAEKNGRCRSLEKIGRAKCTVFDFFTLQEATENFSEDLKIGEGGFGIVYKGKLPDGQEVAVKKLLDSATGHGLLQLQNELQVLATLQHKNLVRLHGFCVHQNEKMIRYNIIVGIAKGIMYLHEDSRIRIIHRDLKGNNILLGENADPKISDFGLARLLGNHTQTKTATVAGTYGYMAPEYAIRGSVSPKIDIFSFGVLVLEIVTRRRNTSFNDCDTVNLLSDKSTSHLITRTEVSTDKPVWKCWIQGIASQLVDQILDGFSRTQALRCIQIGLLCVQSDPDDRPDISSVVSMLTRDSMELQAPAQPAFVFGRASPVVSQPYEQHFCGYDRSDVIFQEGITVNEVTLTNPYPR >Sspon.07G0026180-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7B:52146107:52146446:-1 gene:Sspon.07G0026180-1B transcript:Sspon.07G0026180-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ELYPQSYFPHAPAAPARIFHRSAGSRLLATGSGLPVAGFVRSGGGAPLPEEPVLGRATLPEGRACGEERHCHRREGGRRREAGEEALGRGGEGVLESGRGGSAREREAGGCG >Sspon.04G0019340-3C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4C:73967613:73969722:1 gene:Sspon.04G0019340-3C transcript:Sspon.04G0019340-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVGVLALQGSYNEHMAGTESDFVSLWPLLPTRWQLLVLLISLVCVWVGAALRRIGVRGVEVRKPEQLLGVDSLIIPGGESTTMAKLANYHNLVGGFSSFCSPRSFLFSLLVLIGSSMTSLVSLSVCSDSVAGAGDESSLCACKTSLPFVVQFPALREFVGSGKPVWGTCAGLIFLANKALQSFETELSVPKLSEKEGGNETCRGVFIRAPAILEVGPDVEILADCPVPADRPSITISFGEGTETNG >Sspon.04G0005740-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:15647685:15652674:-1 gene:Sspon.04G0005740-2C transcript:Sspon.04G0005740-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEQSTKPTKSLFAEFLNCVLVEPLLLIVYSDSDASLSGIVKELEPISVERSALLTVMDDSPILGVIEKEQDASVEDCEDLAEFSPAREMTDEIIPVTEDKEEGKQEEVTEGKRLPLKENMVYPVNMVYPVNMVYPVSCMSVLSSSLSDQIIQLRISVDHVAHLEPSDGGSAATQCEDSTLKPSLKTKPWGCLPRDFAFSTLIVIPSKEYNNTLLMTYLTMFTNCSSTMNELVEKITTSSERLPGEEAEISRGYV >Sspon.02G0059830-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2D:101203843:101204350:-1 gene:Sspon.02G0059830-1D transcript:Sspon.02G0059830-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MCRGDEVHHGATTLDGTRKQAKRRPDTASIYRTIQANVMCKKILLAGTWLSLVVDALRQPRCRRPRVVDSMSSYGSRRHRHIVALCQPSPSTDVATRAHDSISPGDPWQKLSCMKTS >Sspon.08G0004370-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:10573314:10579376:1 gene:Sspon.08G0004370-2D transcript:Sspon.08G0004370-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFVERVTTFEDSEKESEYGYVRKVSGPVVVADGMGGAAMYELVRVGHDNLIGEIIRLEGDSATIQVYEETAGLMVNDPVLRTRKPLSVELGPGILGNIFDGIQRPLKTIAIKSGDVYIPRGVSVPALDKDQLWEFQPKKLGVGDAITGGDLYATVFENTLMQHHVALPPGSMGKISFIAPAGQYSLQDTVLELEFQGIKKQFTMLQTWPVRSPRPVSSKLAADTPLLTGQRVLDALFPSVLGGTCAIPGAFGCGKTVISQALSKVLLLFDYSNSEAVVYVGCGERGNEMAEVLMDFPQLTMTLPDGREESVMKRTTLVANTSNMPVAAREASIYTGITIAEYFRDMGYNVSMMADSTSRWAEALREISGRLAEMPADSGYPAYLASRLASFYERAGKVKCLGSPDRTGSVTIVGAVSPPGGDFSDPVTSATLSIVQVFWGLDKKLAQRKHFPSVNWLISYSKYSKALESFYEKFDPNFIDIRTKAREVLQREDDLNEIVQLVGKDALAESDKITLETAKLLREDYLAQNAFTPYDKFCPFYKSVWMMRNIIHFNTLANQAVERAASADGQKITYSVIKHRLGDLFYRLFHKSSRIQLKVKRL >Sspon.01G0031710-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:109047233:109049507:-1 gene:Sspon.01G0031710-3D transcript:Sspon.01G0031710-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPACPLALTFFFCCILLLQTICCSGVFVELSYDSTQVKTLSSSVVTEYRVMVTDKQRAYLFLKPFVCREKPCRSEPLAAAGSFVNEVLGPNRRLNVSSSIVTATDTQLGALRRTLQSFQASLGDAGLAESVKVSPELSLSSLRIIVKDRARAGKKRWGKVVEFVRRTGSFVLVRVETEAEADSDLAVDAGIEEAVAEVAALLGAGAGAGVVIHIKSRAAPSAVAMAKLRGDTGREKRLLGVLVDVSSPRRQLGEARATAHDEFSPVSNPATTPVNNPVTVPATNPVANPMAPGFVTVPSTNPGNGFATNPNLPPLYPEPTTPVTMPMPDPTTPTMPPVTVPPPFTNPVAAPTTMPGTVTNPAAPAVTNPATTPSQFPGTSPVTNPVTTYPYPQQGGVGVGGGMPATQPVYQPPATTMPGTGTVQPGAPTVAGQAWCVAKSGIMDTTLQDAIDYACGIGGADCSPIQPMGTCYNPNTLQAHASYAFNSYFQRNPSATSCDFGGAGMLVNFRRRPGTTGSGGMSGTGYNPAGGMSGTMGGGSGSSVLNANNPGGNSMYGGYDNPTGLTAGSAPLSCGGWVVLCLVWMVTFAFVKEKV >Sspon.01G0047020-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:93253362:93255210:-1 gene:Sspon.01G0047020-2C transcript:Sspon.01G0047020-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHLFTAGPFFFSEKRKIQHQLYSRALVWPTGPSCDHGLASYAALKQHSLTLVPRALLVTTIDFLGHRAPRPRATIQLPARSAKRTSLSLPVDLHFTSSTLPLPGPLSHQQALEFLPSWLVQRADEWLREEAVQAWAEPSAPWRTTYYVGDSNGWSFSSPSWPNGKHFRAGDTLAFCNACSAQVRSAAFGRLLGTENGHALLFRYIPWIHNVVAVDEDGYNGCTTPPGITLARGDNFFICTRFGHCNLGMKLVLRQRRRP >Sspon.07G0006090-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:15760848:15768476:1 gene:Sspon.07G0006090-1A transcript:Sspon.07G0006090-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRAQLLLVGLPAFLFFSDLTHIFAPPPPHLRHPPHHHHPHPPHHHHPHPPHHPHPPHHHPHPPHQHQHPDPSAAIIQEPRVDGAGFGSTVELQFCASCSYKGTAVTMARMLETSFPGIHVILRNYPPPFPKRVLSKVIPIVQVGAIATIMAGDHIFPRLGMVPPPWYYSLRANRFGTMATIWLVGNFAQSFLQSSGAFEVYCNGDLVFSKLAEQRFPSEFELRDLIGSRLPESPFGRNLGRTLT >Sspon.06G0002690-4D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6D:5708918:5709404:1 gene:Sspon.06G0002690-4D transcript:Sspon.06G0002690-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RGASAALWGHAHLPLLARAGSKESVEYILQALWRTRRTGLDAADRTVARDALQLASDAELDPLLVCLRILIRRCVNENVDKDDIPKLFPEEVPPELQKLLTLLLQKFQPEWQQDAAKDQ >Sspon.01G0029190-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:102039071:102040655:-1 gene:Sspon.01G0029190-1P transcript:Sspon.01G0029190-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHIFKIAAILVAISAIWIALLETSTVPRSYTWLLPIYLVVALGCYGLFMVGFGLMFFPTCPQEAVLLQQDIVEAKEFLAKKGVDVGSG >Sspon.02G0026120-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:90739922:90740472:-1 gene:Sspon.02G0026120-1A transcript:Sspon.02G0026120-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMYPRFTRAAALVAAIVVVLQLLQLATAVRPAPAVAGGNGMQHLHFFMHDGYPTAVLIVNGSTAAPMLPGNRRFGDTTGTYVLASLDEARPALLLSMNLVLADGSTVAVMGRNDVMAPVRELSVVGGTGRFRMATGYVLWKTASWRADNDAVLELDVFLRAS >Sspon.03G0000600-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:1944864:1945136:1 gene:Sspon.03G0000600-1T transcript:Sspon.03G0000600-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHYQEVDYCSEEVKSVAGGPAGFGRHHGGHGGVQQHVVKEKFEEVDSVSRAGGRHGHFEARESKFEEDVNTCTGEFHERKENFVVKADK >Sspon.07G0012820-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7A:46407250:46407771:1 gene:Sspon.07G0012820-1A transcript:Sspon.07G0012820-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLPRRRQLHHLQWQWQGQPAALLPSPIEPAARLPPQGTAPAATLSPPHRAAEQGRTEEGSRRRGASGRLPGHPAGRRPSAHPSRGPPGILLPPVTLSARAAQAHRSRELHRPFLPSSPNPASTPTPAAPLPISGGRHGAPSPTTPWIQRCPWQGALLHVHTHKSPGCLHGG >Sspon.03G0031510-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:28343934:28347433:1 gene:Sspon.03G0031510-1B transcript:Sspon.03G0031510-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MISHPECGLGGSKCAITQINELDLNTAALDPDHYATGLRVLLQKELRNSDVSQLGRIVLPKKEAESYLPILMAKDGKSLCMHDLQNAQLWTFKYRYWFNNKSRMYQGDFIVIYKDDENNRFVIGAKKAGDEHTATVPQVHEHIHISAALPAPQAFHDYAGPVAAEADMLAIVPQADEIFDGILNSLPEIPVANVRYSDFFDPFGDSMDMANPLSSNNPSVNLATHFHDDKIGSCSFPYPKSGPLM >Sspon.01G0039510-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:35168674:35171497:-1 gene:Sspon.01G0039510-1B transcript:Sspon.01G0039510-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAESVPETSIHEFTVKDCNGKEVSLETYKGKVLLVVNVASKCGFTEVNYTQLTELYQKYRDKDFEILAFPCNQFLRQEPGSNEQIKDFACTRFKAEYPVFQKVRVNGPDAAPVYKFLKASKPGLFGSARIKWNFTKFLVDKNGKVIERYGTSTAPMSIEKDIQKALEE >Sspon.04G0015470-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:61935197:61935505:1 gene:Sspon.04G0015470-2B transcript:Sspon.04G0015470-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSMSSISSSSMSPVPLPLASEALGFAGLWSLSLSSFPSSSLGTDRWFRGRSWSSISSHSLTSLYSTSVAQNGSCITFWMKGRRISAPVLLSYFLRILTSPG >Sspon.07G0028320-1P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7C:84409249:84409602:-1 gene:Sspon.07G0028320-1P transcript:Sspon.07G0028320-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALRVSCRSSGLNVVSCSSLCCCLWMLLSIHVPGAGSLSFNLSFSQPQSPANLRELLNCTGDATIDTEGLHLTKDRGEQPATFSAGQAQYVEAVPLWDRASGKMASFTITFHFNHY >Sspon.01G0012450-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1C:33395631:33400396:1 gene:Sspon.01G0012450-2C transcript:Sspon.01G0012450-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLAAPPSSAATAPPAAPPGAAASSSVPWPATGQYAPGTGDAAIAPLPAAAPPSSAAWPASGRLPAAATAPLPAATPSSPAAWPTPGLQAGCCLASGVTVGTALPFAGATRSPALLPSPTPSASAPLFPAPWAQQALAFAAGSAPATAPFPATSPSWAPAALGMAPAWPGHPPALPAGAGHPLAPLTSGAGTGQAWAPHPSPTPLSFLAPGGEPRLPAAAMLATASPGFPPPGWDSAALAHSFSTLAPRTPVGPQWIADSGATYHTTPDPTILSSVQLPSSYHPSSIMVANGSCLPVTSVGAAGAHGSFRLPDVLVAPSMVHNLLSIRRFTADNSCSVEFDSSGLTVRDLASRRPLLRCDSTGPLYTLRFPASPSSSSPTPLSAAFATTTSSTTWHRRLGHPGRDALMQLSRSSDIRCPRTHDEPLCHACQLGRHVRLPFHSSSSQAAHIFDLIHCDLWTSPVISVSGYKFYLVLLDDFSHYSWTFPLRAKSDAFPAILHFFAWVSTQFGLTIKAVQCDNGREFDNSTSRAFFLSHGVQLRMSCPYTSSQNGKAERMIRTTNDTVRTLLFQASLPARFWAEGLHTSTYLLNRLPSTACPAPTPHHALFGTPPRYDHLRVFGCACYPNTAATSSHKLDPRSTLCVFLGYSPDHKGYRCFDLTSRRVLISRHVVFDESVFPFSSTTTPPVPLRCAPGRPPVPDPQRVRARRPRARPRPLRLVQTRGLRPPLLPRGVVSVADVGSRPGTCPGTSSTPDPVPAPAPAPPSRFAAPVRVYQRRPRPPPLALPSPPGTPTPPPQSPPARGAPPVYHPPLLHRHPRHVHPMVTRHAAGTLPPRALEASTGDAVVSPVPSSVRDALLDPHWRRAMEEEYAALLANQTWELVPRPPGSNVVTGKWIWTHKRRADGSLERYKARWVLRGFTQRPGVDYDETFSPVVKPATVRTVLSLALARSWPVHQLDVKNAFLHGLLTETVYCSQPAGFVDTTRPDMVCRLNRSLYGLKQAPRAWNHRFAAFLLTLGFMEAKSDTSLFVYHRGADTAYLLLYVDDIVLTASSEPLLRRIITALQQEFAMKDLGELHHFLGVTVEHRPTGLLLHQRQYTRDILERAGMTDCNPCSTPVDTQGKLSEAEGPPPHLTALKRLLRYLRGTLDYGLLLHRTSSTDLVVYTDADWAGCPDTRRSTSGYAVFLGGNLVSWSSKRQPVVSRSSAEAEYRAVANGVAEAAWLRQLLAELHTPPSRSTLIYCDNVSAVYLSTNPIQHQRTKHVEIDLHFVRDRVAMGEVRVLHVPTTSQFADIFTKGLPSSTFAEFRSSLNITGG >Sspon.03G0017930-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:79421963:79425816:-1 gene:Sspon.03G0017930-2B transcript:Sspon.03G0017930-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNQHREEDALRGQAVKNQKVLTLHLGDMGQDPGNEILAAEGIFHFQQVAPGCSKHIPRIVAKLNSKLNSSLFSVVLLQEPIRTRFCNHDKQIEQAYDDLLNSSKKTLSSMMELQEALLESNQATKGANEIPSASNGDNDEWSEVQRLRTRITTFRNTEIDKWHRKIQVTTGAAALKGKLHAFNQNISDQVAGYMRDPSRMINRMYLTNSAVGVFGKDVGEPGTAEEGHIMEGDPELIDDSEFYQQLLKEFLESCDRGASESAFYALKKQQVKKRKLVDRRASKSRKIRYHVHEKITNFMAPEPMVLPPMAPKLFENLFGKGS >Sspon.03G0025320-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3B:99856964:99857578:1 gene:Sspon.03G0025320-2B transcript:Sspon.03G0025320-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGVSTLRSPSSSSSKADQHCGGFVGDHHHVVFPTSGGGSDCCDGFAMVDVDDNLLDYIDFSCDVPFFDADGDILPDLEVDPTELLAEFSSTPPPDDLLLASASPAAVHVDDEAANKAPVVPDDDVKQQLAVVTTEVAQEEKRLLEEQTCGDEKHVAASKQTTEEDSCAGAAVSDTKSSAEGHSKKKPAPGKNSHGKRKVKVLA >Sspon.07G0000660-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:915916:918002:1 gene:Sspon.07G0000660-2B transcript:Sspon.07G0000660-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSTSWRDKQRPDLVNFIATFLATNLYRLNFLSLSPDFLFNNGGLSVAFIFDTDWLPEREAAVFSRVSTLKRQFKYIYVVVVVRSPEQNESFSQSYFKYGMELGCPTFVPVCDPEMGFEKIVRIAHARGVCKQKDIFAAMRTEREQTVQCMDAFLQVVTSIPGIDSHDANALAQAIGSIEAIAKASKESILENTDLSTEKAERIARFFRDPQYFLSPKISKI >Sspon.03G0004630-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:10848824:10852938:1 gene:Sspon.03G0004630-2P transcript:Sspon.03G0004630-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLAELGGSIARALARMSNATVVDEKVLADCLNEICRALLQADVRFETVRDVKANIKRIVNLDALAAGTNKRRIIQQAVVDEIRRMLDPGKPSFTPSKGSLMWSCSGKTTTCTKYADYHRRKGFNPALVCADTFRAGAFDQLKQNATKAKIPFYGSYTESDPVKIAVEGVDMFRKEKCDLIIVDTSGRHKQEAALFEEMRQVSEATKPDLVIFVMDGSIGQAAFDQAQAFKQSASVGAVIVTKMDGHAKGGGALSAVAATKSPVIFIGTGEHIPDFEVFEVNPFVSRMGDLSGLVNKIHEVIIPNNQPPKIVPQLVEGTFTLRLLYEMFQSLQSMGPLGQVVSMIPGFSAQFIEKGKEKEGQAKIKRYMAMMDSMTDAELDGTNPKLMNQSRINRIARGSGRLVEEVVHMLEEYKRIAKMWKKLPLPTNNRRLNTNRDIRPIANAIPPNMLNQLGGLVGLQNMMKQMGAQSR >Sspon.01G0038480-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:30402433:30404113:-1 gene:Sspon.01G0038480-1B transcript:Sspon.01G0038480-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding PPAAAQGARRAAVTTEEERRRNRMTSNRLSARKSRMKRQQYVDDLTAENERLRLDNEAMRASVGDVLQRSSALEQENRVLAAHARQLCAALLLRNSQLRLLGDVAGVPLDVTGVPDHLVQLYGGVQMPVTPLSPSLSPSPPPPPPQLQLPLEIQLMLLQPDVMDAVGYSGVRALGKVSITNTGRRHQELVETAWFASSAPAPVVRLNSLFAFWLICGP >Sspon.02G0042830-3D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2D:80856372:80857549:-1 gene:Sspon.02G0042830-3D transcript:Sspon.02G0042830-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGNSTGDHCSYDGLQQCSFQTMLHKSMRHRLWLVNALLVAGVILGGVIVGIDLFGQCYRYHRLTRFIFVGATTLFLPVMSTVVTLAAGSNDYVTVEQGGMHSQLAAKCDPENNQSMMVARQSFALRDNPRLIFAYMQQPQATSYYRREPTVTDDEDAPAPPPLMVMGEGKRHMEKQSHGYVWKGDSGVAVHRKDGLVTIDSVWKMTAFFPFSTLQRLKDLCLSFAFFKLLRCRFARYSLNNADASNDMFTFFWSLLLKDGVHNRVFEVISDVISFVHDYYYSSIPIFYSKCWLPTGVSLSHS >Sspon.07G0006810-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:13046015:13049372:1 gene:Sspon.07G0006810-2C transcript:Sspon.07G0006810-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AEDSGAILRHISSLKDMVDKVNEEIEHNIQKTREIESEIVQHSETEKHYLNKESELTKEVSVTEFELNGLIQVAGTESDLLKVAEGNLEFQKVAQDRIQKRLSDKMYG >Sspon.07G0014110-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:50788517:50793337:1 gene:Sspon.07G0014110-1P transcript:Sspon.07G0014110-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPPRTPGRRDAASPQTPKGFNPGDPVEVVPDEAGLRGAHFAAVVVGPSAKPRGGYTVEYDSLLESEDSDRRLREALPARSLRPRPPPPASGSGARCPRSTPPSTRSSTTHGGSGSPSAAPPARPAARVCFPETREVMEFDAADVRPHLEWVAGEWRSPDSMVVTLTREIPKTMPYAKGMQIEVSKLEDNSVVAWSPAVVAKTIWKNNLLVDYTISKCYSTALSEEIVDVKHVRPCPPQASEISFCINDEVEAFQGDRWWVGVITNVHPEFKYTFKSAHLGTEVEVNQKSLRLRYDWVDDQWEQVSKNVAKLKFTQGVKVEVCSDDEGFRGAWFEATIVKPVGSKFLVEYATLKDDDDTKPLKETVEARHIRPCPPDIPVTDGFKLLDEVDAFCNDGWWVGVVSKVLGEKRCMVYFRPWKEEMEFEHAQLRLHYDWMGGRWMRASP >Sspon.02G0037470-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:38642665:38651301:1 gene:Sspon.02G0037470-2C transcript:Sspon.02G0037470-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLCLSVALWKEKLDGASSRSVRGRVVVHKVAGAEGGDCEYGILGGDADDVLGVEQKAAAMGVKSGGVEGAAAAVSGGTPVRPIWQRRVLMGVKCQLPRFSGMILYDESGRPVCSGIRDRALDQQLQKNVTNQVIMHILELKS >Sspon.03G0018460-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:56994483:56995461:-1 gene:Sspon.03G0018460-1A transcript:Sspon.03G0018460-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAREMACFFGPAPPVAALSPFHEGQAESHGHSSSHTAGHARGHWRPAEDAKLRDLVAQYGPQNWNLIANKLHGRSGKSCRLRWFNQLDPRLNRRPFSEEEEERLLAAHRAYGNKWALIARLFPGRTDNAVKNHWHVLMARKQREQQSGSPRPRRRKPSSSSSSSSAVVDVRHQHASSPLPFRAGIHPEAAAAVATRARAYSDGGESDESVSISGTDLSLGSVGGAGADPCFHHQSSYDAGTTCLLGSSPLLLHCMLRSVPSPASHRATASDDGCGKGKLALPFFDFLGVGAT >Sspon.02G0045350-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2B:109034143:109035888:1 gene:Sspon.02G0045350-1B transcript:Sspon.02G0045350-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQALTASSPASSSSSSSSCPSSSTAHRHRRGTRSSVRFAPPRAATAAANSVYSAPLAAAAVRYAAPSKPAAPIAPIAPAQDGEKGLSFLQRAAAAALDAFESGVIANLLERPRALPRSADPAVQIAGNFAPVGEQPPVRSLPVSGRIPPFISGVYVRNGANPCFEPAAGHHLFDGDGMVHAVRIRNGAAESYACRFTETARLRQERALGRAVFPKAIGELHGHSGIARLALFYARGLCGLVDPSRGTGVANAGLVYFNGRLLAMSEDDLPYQVRVTADGDLRTVGRYDFDGQLAGCASMIAHPKLDPASGELFALSYDVIKRPYLRYFYFRPDGTKSDDVEIPLAQPTMIHDFAITERFVVVPDHQVVFKLGEMFRGGSPVVLDESKTSRFGVLPKYARDASEMAWVDVPDCFCFHLWNAWEDEATGEVVVIGSCMTPADSIFNDDDGDRGLQSVLTEIRLDTRTGASTRRAVLPASAQVNLEVGMVNRGMLGRRTRYAYLAVAEPWPKVSGFAKVDLATGDLVRFDYGEGRFGGEPCFVPTEGAPARGEDDGYILSLVRDERAGTSELLVVNAADMRLEAT >Sspon.04G0018350-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4C:71690268:71692847:1 gene:Sspon.04G0018350-2C transcript:Sspon.04G0018350-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding PNVYFPPGPHDASRLADASVRTWHHHRPPERRSRATRGGEPMEVATGDEELKSLLQNFNRVSQGYKDALMEVQALRVNCSTEFKRREALESHITDLKKDNERLRRLYTETLFKFTNQVKFHAEAQSLKEELEKANSRLLSMEEEHKRETEQLKHSSEMNINALENKLSHALVQQASGEAAMKQLKLELSAHKSHIDMLGSRLEQVTADVHLQYKNEIQDLRDVISVEQEEKKDMHRKLQNAENELRITRMKQAEQQRDSVSVQHVETLKQKVMKLRKENESLKRRLASSEP >Sspon.06G0019030-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:104743913:104746657:-1 gene:Sspon.06G0019030-1A transcript:Sspon.06G0019030-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQWHVDESMILLPWVPPRPKYVGKHEAGEPFAASPSRNLPSVQAGVSSASPAARPAAASVASGIHPLPQVSQTSESSDFPLPAMSATPEDFLAAQGAPYLAAPEPFSPSVFLDLPPTPGRPADDDDPDLVLPFISRMLMEEDIDDKFFYQFPDHPALLTAQQPYAQILSADSSSSSHAATTTTSSSSGSRTAAGNSTLSPSSNAPASSPDHASWPPYDDQVDISDLLRSPPYMGVGLDDFAALLSPAAQGQDDATAGFHFHQSPQFSDGGGGGGGAQRQQSPLAAQNAITSGGAQQRQRSIQSAGFSDGAEQQGEDTKAEAAATLPAGDADHAALASAFYGAQNGGNMDMLNMAFLKGMEEAKKFLPTTSSLLIDLDDTSGKSLPANRDSKPSNAFAATQVKEEEEEEQVVNAISMFGGSRSTNGRGRKNRHAEEDDLEAETGRSSKLMMPEQEETGANELYNEIMACDYPTFLKRMEDLRIAMDSESEKSARKQSLVNEVVDLRTMLIHCAQSVATGDRRSATEVLKQIKQHSSPRGDATQRLAHCFAMGLEARLAGTGSQAYQSLMAQHTSVVDFLKAYSLYMAACCFMKVRHIFSNNAICDAVAGRSKLHIVEYGVQHGFLYPGLFYQLARMEGGPPEVRLTAIAVPQPGFRPAHQIEETGRRLSNIAREMGVPFKFRGIAAKWEAVCAKDLNIDPDEVLVVNSECYIGNLMDESILVDSPSPRDTVLNNIREMRPNVFIHTVVNGTYGAPFFLTRFREALFFFSAQFDMIDATIPRDNAERLLIERDIFGTFALNVIACEGADRVERPETYKQWQVRNHRAGLRQLSLNPEVVKAARHKVKNFYHRDFLVDEDNRWLLLGWKGRVLYAMSTWVAEDNKPIF >Sspon.02G0004570-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:14417847:14419210:1 gene:Sspon.02G0004570-1A transcript:Sspon.02G0004570-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding HDHLQLLRPPYGALHRAPLRVRHLERHAPAPGLITGFRPPPPRRRRARRIASSPMRSPSSNGCGVVPGSVAFTFGTEEWQQALLNLASPPLPPPPPRRRRRCSASRTSGSEDKGKRTMADGLRSLQRTIEAYRRGNYSLEYDDRNESEVMGYIHGYYKEAFDRLPCPLDPLVLEAGFCFGFFDPVSNIIVNTAAYRGP >Sspon.03G0028270-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:9380452:9383678:1 gene:Sspon.03G0028270-1P transcript:Sspon.03G0028270-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAAAAANGGACCHAAKGPGYATPLEAMEKGPREKLVYVTCVYNGTGINKPDYLATVDLDPDSPTYSQVIHRLPVTHIGDELHHSGWNSCSSCHGDPSAKRRFLILPSLLSGRVYVVDTATDPRAPSLHKVVESEDIAEKTGLGFPHTSHCLASGDIMISCLGDKEGNAAGNGFLLLDSEFNVKGRWEKPGHSPLFGYDFWYQPRHKTMISSSWGAPAAFRTGFNLQHVQDGLYGRHLHVYDWPGGELKQTLDLGDTGLLPLEVRFLHDPSKDTGYVGCALTSNMVRFFKTTDGSWSHEVAISVKPLKVRNWILPEMPGLITDFVLSLDDRYLYLVNWLHGDIRQYNIEDPAKPFLAGQVWVGGLLQKGSDVVYVTDDGQEEQYNVPQVKGHRLRGGPQMIQLSLDGKRIYVTNSLFSRWDEQFFGDDLVKKGSHMLQIDVDTEKGGLAINPNFFVDLAPSLMVLP >Sspon.01G0055980-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:85559298:85559756:-1 gene:Sspon.01G0055980-1C transcript:Sspon.01G0055980-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DMESTKPLAKCKLQSSASDRALPAGTSSADKVASFQGIGSEAMEGVVVLLNCFVSPFGNRVRIALKLKGVAYEEKAENLAAKSPLLLSSNPVHAQVPVLIVDGKPVCQSLVILDFIDDAFSAAGKPLLPADPYARAHARFWASYVDTKVVALI >Sspon.02G0036060-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:22437676:22438751:-1 gene:Sspon.02G0036060-1B transcript:Sspon.02G0036060-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEETSQANGSATSSLRRKAAETIATSLETYRSKPFSFWLLLFLSSGAMLTAFPASSLLSRLYYNDGGQSKWILSWAAVAGWPLPALFLLPLYALGKASPTPLSLALCFWYALLGFLSAADNLMYAWAYAYLPASTASLVAASSLAFSALFGRAIAKNTLNMSSLNAVVVITAGVVIVALDSGSDRPLGVTPRQYALGFVLDVLGSALHGLIFALSELVFARVLGRRSFHVVLEQQAAVSLCAFAFTSAGLAAARFAHGGEAAYANVTVWTAVTFQLGVLGGTGVLFLASTVLAGVLNAVRVPLTSIAAVMWFHDPMSGFKILALVITVWGFASYMVGHSSVRKTSTS >Sspon.04G0022150-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:4356734:4359961:-1 gene:Sspon.04G0022150-1B transcript:Sspon.04G0022150-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGEPQLRRGEWWPATKHTLYSASLATMFLPDAPLLSLVSQHRQQGTLWVVLRIAHMHMQQSKHGHKLRSRYISDQGCPGKSTPRCAYLCLIASYILWAYVQCGRIQIQQRIMAEEA >Sspon.06G0008060-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:42134295:42138630:-1 gene:Sspon.06G0008060-1A transcript:Sspon.06G0008060-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSPSFRRHPLASSVDLVRWLPSSSTSPSGRLLAAAVHDPTSAPAASSIHLLPLSEPGSPLASLPLPSRATALRSSPAALAAATSSGSLHFLPSSFDSDAAVSVPGGAGFHVGPVRGLDCGGEEWVTAGEDGRVHVVGGAADGRVVARRVWDGKGMSGYEAARWASPVEFATGGAGCGAQWWDRRKGDAVVAQCNGIWGRGIVTGMVHSIDIHPSRKHICVVGGSSGTIFAWDLRWPQQPIPLSGVGLNGTAGPVCESEVWEVLFDTYTQSSDIISSASTRILPVMMCSEDGILAVVEQDERPLELLAEACAINSFDIDPQNPSDVVCPLEWESIGVLTRGRDAMAEE >Sspon.03G0008660-3D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3D:28409203:28412424:1 gene:Sspon.03G0008660-3D transcript:Sspon.03G0008660-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding QVFPLLTKKFSCEEQADLVWQFLCNIPVNMVAEFLPWLSTSVTSDEHQDIRNCLCKVVPDEKLLQQVVFTWMEGKATREVAESFAAGNSVRNNSVEDVPDQGEIHICSHHDSRLGSKNCAESNGPQADRHPIDDILYWHNAIRMELHDIKEETRRVQQSEDFSDISAFNERLQFIADVCIYHSIAEDQVVFPAVDSELSFVQEHAEEERRFNNFRCLIQQIQIAGAKSTALDFYSKLCSHADKILETIEKHFRNEETKAIRKDLEYLDVESGKLIDGDESCLRQFIGRFRLLWGLYRAHSNAEDEIVFPALESRETLHNWRSKINL >Sspon.03G0013180-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:54142848:54148934:1 gene:Sspon.03G0013180-3C transcript:Sspon.03G0013180-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGRRDKDFSMALSDLGKPDQDFQDQVNYPGCAKWIAQEPGGKRFERRLGYHDRDDEEHVIYGLDEAVMTMHEGEVASFTIPPQHAFGAAGSSNQYELALVPPNSVVIYELELLSVVDVEEHPWPWTKTTEESIEAAKIKERDGDDLFNSGKYLRAYRRYLRILPYHDETKEEPTIRLNLMDILLSCLRQQSDDETKEIPSRHQIKEMLISLTLKSAECAMQLQRYEQAFDRYQEVTQLDPRNAKALQMVAQPLPKSSVAIHAPSMNRGLEFIQAVMISYYPDMCGVLGYCSSSSMRLVDGHEPSGRRIVAPPVATPEANPNQASQPPVSTASAPTAVHQSLPAPAPPAVSFAVGGRSTTTTSPPQPTRIEARGLPGTGQPA >Sspon.04G0020890-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:73225016:73225924:-1 gene:Sspon.04G0020890-1A transcript:Sspon.04G0020890-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIAQTILTRDLADEIALVDALPDKLLGEMLDLQHAAAFLPRTRLVSDTDMSVTRGSDLAIVTAGARQIPGETRLNLLQRNVALFRKIVPALAEHSPDAILLIVSNPVDVLTYVAWKLSGFPVSRVVGSGTNLDSSRFRFLLAEHLDVNAQDVNAYMVGEHGDSSVAVWSTLSVAGMPVLKSLQESHSSFGEEALEGIRRAVVDSAYEVIGLKGYTSWAIGYSVANLVSSLLRDQRRIHPVSVLAAGFHGIPEDHEVFLSLPARLGRAGVLGVADMELTEEETRRLRRSAKTLWENSQLLGL >Sspon.04G0027760-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:65888066:65888338:-1 gene:Sspon.04G0027760-2D transcript:Sspon.04G0027760-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VPRGKPWGGAAASARGEPSARRHQPISLVAAAAPMGIRRWAIWGFRVSDVSRSRFIFPFACGWMRRASSSVYPHPAGCCAQTACAVRHDL >Sspon.04G0017080-3P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:13501416:13510477:1 gene:Sspon.04G0017080-3P transcript:Sspon.04G0017080-3P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:4-coumarate:coenzyme A ligase, Lignin biosynthesis, Defense against woundin [Source: Projected from Oryza sativa (Os06g0656500)] MGSVAEDSAATAAASVVFRSKLPDIEIPRHLSLQAYCFERLPEASSRPCLIDGQTGAVHTYADVERLTRTAAAALRGLGVGKGDVVMNLLRNCPEFAFVFLGAARLGAATTTANPFYTPHEIHRQAAAAGAKVIVTEACAVEKVRGFAAERGVPVVAVDGAFEGCLELGALMDAAEPLADDEEVDPDDVVALPYSSGTTGLPKGVMLTHRSLVTSVAQQVDGENPNLYFSSDDVVLCVLPLFHIYSLNSVLLAGLRAGCAIVIMRKFEIGALVELVRAHGVTVAPFVPPIVVEIAKSPRVGAHDLASIRMVMSGAAPMGKDLQDAFMAKIPNAVLGQGYGMTEAGPVLAMCLAFAKEPFEVKSGSCGTVVRNAELKIVDPDTSASLGRNQPGEICIRGEQIMKGYLNDPEATKNTIDKDGWLHTGDIGYVDDDDEIFIVDRLKEIIKYKGFQVPPAELEALLITHPEIKDAAVVSMKDELAGEVPVAFIIRSEGSEISENEIKQFVAKEVVFYKRINRVFFTDSIPKNPSGKILRKDLRARLAAGIPSSDNTQSKS >Sspon.08G0030490-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8D:60217673:60218552:-1 gene:Sspon.08G0030490-1D transcript:Sspon.08G0030490-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GGTADRERKGNKPWPPRAAAEGGPANSALLLARGRATRRGWPARTPRGGPADSKLQLARRDGGGEEEGEHPSEAAAGGAGRGGCRAEPPARRGAMRRRHGSCGHPDAGVRLERGSPGGLPPKALGWRTSAPGLRRWGLPAAGISAGGVARAWGRAAMAAVPEGCPRPFPHRCGAQAGPRLRRRASRVGAGGGGCGHRWGLPAPQRVLPARSVPGRAGATRAQGGRGARERQLRISGHGGCVCTLRIVWEGARKGAERSDESEGALGRLYSEREGVLETRGRRIRHERWCGFR >Sspon.05G0013800-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:42401015:42426540:-1 gene:Sspon.05G0013800-3C transcript:Sspon.05G0013800-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGASLLSPSCALLRRLPCASRISCSSHFKRFDRVRRFSPAAMSTSSGPKEAPANNPGLQTEVDPATKGYFLQQTMLRVKDPKVSLDFYSCVMGMSLLKRLDFEEMKFSLYFLGYEDVTSAPDDHIKRTEWTFRQKATLELTHNWGTENDPEFKGYHNGNSDPRGFGHIGVTVDDVHKACERFERLGVEFVKKPDDGKIKGIAFIKDPDGYWIEIFDHTIGTRFDRVRRFSPAAMSTSSGPKEAPANNPGLQTEVDPATKGYFLQQTMLRVKDPKVSLDFYSCVMGMSLLKRLDFEEMKFSLYFLGYEDVTSAPDDHIKRTEWTFRQKATLELTHNWGTENDPEFKGHIGVTVDDVHKACERFERLGVEFVKKPDDGKIKGIAFIKDPDGYWIEIFDHTIGTMLRVKDPKQRWDLLNPVVDGGKGREEATGAPQSACMQGKETGGWREERLLKRLDFEEMKFSLYFLGYEDVTSAPDDHIKRTEWTFRQKATLELTHNWGTENDPEFKGYHNGNSDPRGFGHIGVTVDDVHKACERFERLGVEFVKKPDDGKIKGIAFIKDPDGYWIEIFDHTIGTVTSSAS >Sspon.08G0004350-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8D:10540021:10541731:-1 gene:Sspon.08G0004350-2D transcript:Sspon.08G0004350-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding KARRAGLFAPCVFIREMRGRTPGLLREARERDGEREKWGRLAAGCWSRRPHCYRRFPVGHHLPQRFLARHYQRFHVDKQLPPEIPHEPLPQLLLTFAVELAPQLHHQDHSSTQPTELTPQIHQDLQRDSSSTPASSSLHLHHFSPIKVPCPCHCPSWSARSCGEAASSSAPPGPMAMGAPSQLRHAMVGTTAASNGRVAGTVEKPCVFVNSIL >Sspon.01G0020430-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:13750508:13752039:-1 gene:Sspon.01G0020430-3C transcript:Sspon.01G0020430-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFHRTMARCLWAGKNAAASGAGAAIPKPAAPHPPARRSLPAVDDCPTLAFLRPKPTAVGYTTATVPVPAHCFPALPVGDHLFHRLQLDGLIPPVSTTARPPPEHAGVTVEQARKVARAAEMEVARARLRSNAQSVVSMSEFAALCVDIAGGVEGGRRLGRALDESGGVIVLGDAVFLRPDMVAEAIGSILPVKQQLQPAARAGDGSEAARKRELEAMEAQKAAIEAAAAAQVRRELWCGLGLVATQTLGFMRLTFWELSWDVMEPICFYVTSLYFMSGYAFFMRTATEPSFEGFFRSRFASKQRRLMRARGFDVHRYNALRKQQGLLPLGDPDALARSAM >Sspon.02G0017800-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:56663949:56674028:1 gene:Sspon.02G0017800-2C transcript:Sspon.02G0017800-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRGGDRSGGPSSGGDRSGARFQRGPSRWSGSGGGGLGGSPPHRYSSRGAADGGGGGGGGGRFHPYRGSSDYSSGGGGYRGGGGGGNDFGEQRQRYGGGNRGGGRGDFQDHDSRSNYVKLFVGSVPRTATEEDVRPLFEEHGDVLEVALIKDRKTGEQQGCCFVKYATSEEAERAIRGLHNQYTLPGAMGPIQVRYADGERERHGAIEHKLFVASLNKQATPKEIEEIFAPYGHVEDVYIMRDGMRQSRGCGFVKFSSKEPAVEAMNALNGTYIMRGCEQPLVIRFADPKRPRPAESRGGPAFGGPGFSPRSDATLVIRPTANLDESRGRHMPPESWRPSSPRSMAPNQYNNFGSDNPLALSGGTVTSADNAAFRPPMFPGNGSLSNQTAVPTSSHMGMNTPMVQGHHLGGQQIPPLQKPPGPPQNFPVQLQNAQPGQPLQGPIPQIGQLQVPQSTGPVSFGQNISSMQLPGQPPASQPLMQQNASLGALQALPSVQSNSMQAVPGQQQLPTSVASQMLQQSMQQMPSQAPQLLLQQQAALQSSYQSSQQAIYQLQQQLQLMQQQSNLNQQPSAQVPKQQSGQPVQSSNPGAPGAIVPININATPQQVGSPAVSLTCNWTEHTSPEGFKYYYNSITRESKWEKPEEYVLYEQQQKLLLLQQHQQKIAVQQLQSPPQGQSLTSMQPIQQLPQAQGQTQMHMKQQELNYSQFQAAGSIDPNRIQQVAIIKH >Sspon.04G0021120-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:74016064:74018490:1 gene:Sspon.04G0021120-1A transcript:Sspon.04G0021120-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAARSRAAAAWARLLSLRPHSLAESTALPRHRHHLGSRITPPRRHLAFSASAGGARPNQRIQSERVVHELLAQVERERQDRRAKDGKDQEEEPEEEDYMGVKPLIEKLERRKAKEAAADEGFWEPTDSDSDEDDERYTPDAIKRRVDEFERKCKRHGEYLQSFAEADTLDEAHKWMTKINKFEERHLKLPLEYRVIGDMMNLLKDATGKERFVLLQKLNRAVRIMEIKEAYDPSNPANFGLIQHQQVGSPEDVMLNAGFDKEKQMIQGAELEGKERDDMLIEKLNAIEKKIEEKLQLLDHTFGKKGRVLEEEIKDLVEERNSLSEKKRRPMYRKGFDVKVIDVNRTCKVTKGGQIAKFTALLATGNYNGVVGFAKAKGPTAKIAIQRAYEKCFQNLHYMERYEDHTIAHAIQAKYEKTKIYLWPGPMRSGMSAAGRTVETVLYLAGFSNVKTKIIGSRNPLNVIKALFIALNAIETPKDVQQKFGRTVVESYLL >Sspon.01G0052990-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:28407282:28410866:1 gene:Sspon.01G0052990-1C transcript:Sspon.01G0052990-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Villin, villin/gelsolin superfamily protein, Actin binding protein, Regulation of plant architectur [Source: Projected from Oryza sativa (Os03g0356700)] MSNAKVVLDPAFQGAGHKPGTEIWRIEDFKPVALPKSDYGKFYCGDSYIVLQTTCKGGAYIFDIHFWIGKDSSQDEAGTAAIKTVELDSMLGGRAVQHREPQCYESDKFLSYFKPCIIPMEGGFASGFKTPEVDKFEIRLYICKGKRAIRVKEVPFARSSLNHDDVFILDTENKIFQFNGANSNIQERAKALEVIQHLKEKYHDGVCAVAIVDDGKLQAESDSGEFWVLFGGFAPIGKKTISDDDVVLETTPPKLFSIANGQLKLEDTALTKSILENTKCFLLDCGAELFVWVGRVTQVEDRKAASAAVEKFIIKENRPKTTRITQVIQGYENHTFKSKFESWPVSSTAGSASTEEGRGKVTALLKQKGVDVKGITKTSVPVNDEVPPLLDGGGKLEVWCINGSVKTALPKEELGKFYSGDCYVVLYTYHSADKKEEFYLTYWIGKNSVQEDQEAAFQIANTTWNSLKGRPVLGRIYQGKEPPQFVALFQPMVILKGGTSSGYKKFVEEKGLKDETYCADGVALIRISGTAVHNNKTLQVDA >Sspon.04G0025880-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4B:33012546:33012719:-1 gene:Sspon.04G0025880-1B transcript:Sspon.04G0025880-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding QGGCVTCLVRSSSGGAQQGRLRGYFMRLKHSFGSTHNRIHRKGSVAWPEYSSSGAHG >Sspon.07G0013780-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7C:55871659:55872072:1 gene:Sspon.07G0013780-2C transcript:Sspon.07G0013780-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGAGDPPAHPAAAFRVDRALQALGFEFTRVTAEEVVGRLPVTETCCQVVGIGSSARAAAIASIFCFRLIVPNPLSRVMDDGGGRWMQPFDWLNGGVSALMAETTASIGCYVASGYRRLAGVQLSINHVGPARLGDL >Sspon.07G0005250-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:12286369:12289814:1 gene:Sspon.07G0005250-1T transcript:Sspon.07G0005250-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMYHTKNFSVPFAPQRAQNNEHASNIGGIGGPNVSNPANPVGSGKQRLRWTSDLHNRFVDAIAQLGGPDRATPKGVLTVMGVPGITIYHVKSHLQKYRLAKYIPESPAEGSKDEKKDSSDSLSNTDSAPGLQINEALKMQMEVQKRLHEQLEVQRQLQLRIEAQGRYLQMIIEEQQKLGASFKASEDQKLSDSPPSLDDYPESMQPSPKKPRIDALSLDSERDRTQPEFESHLIGPWDQEICGKNICGVAFPVEEFKADPGMSKS >Sspon.01G0019510-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:73115951:73117400:-1 gene:Sspon.01G0019510-1A transcript:Sspon.01G0019510-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SGRSNHGRNQKSAKVATIPSTPAVQAGRAAASSEMEEKSSFTWRIDGFSSLLDKQKGWTNSGYFEMKELCRYLQLNLKDRKSRDERDYVSLVLVLSKTSDLKPNTIVEASFKLLIYDQAYGRHSEPLVSHQFQTTQSSRSSGISCMILVETLKEPCSGFIVGDSCVFGVELIKLTTAKAKHSSGTLHVQKINGFSAREAYTWVIVDFLTLKGRCYSPEFEIGGRFRFLTMYPSGIDGNEEFLSLYLHMAKPDGDASLQNSGVLVEVSLSIKDKVTSNRNTSPGRCQFQATEDCDGWGWAKFMATKSV >Sspon.01G0001970-1P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1A:5865456:5866433:-1 gene:Sspon.01G0001970-1P transcript:Sspon.01G0001970-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVKVFGSPTSAEVARVLMCLFEKEVEFQLIRVDAYRGTKRMPQYLKLQPHGEALTFEDDSLTLSDSRGILRHVSHKYAKQGNPDLIGTGALERASIEQWLQTEAQSFDSPSAEMVYSLAILPPTLPRQQNDNNGTGTGSGFNARDVAVGSNADASSGKRGVAGSQQPAASQSQVSPQKEEEMLKLFEQRKKDLEKLLDIYEQRLEEAKYLAGDNFTIADLSHLPNADRLVSDPRSRRMFESRKNVSRWWHDVSSRDTWQYVKSLQRPPSTSTDASAKNGQQQQHLPGSTD >Sspon.06G0016070-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:69792594:69796738:-1 gene:Sspon.06G0016070-3D transcript:Sspon.06G0016070-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GSQNGEDSMKPVSKSDVATTSTDDHIAAHGEIIDDQSMDIDEKKVARKSLTRIKTRVGALEESQLIGRKKEISEIIDLISNNDNSQQVEVISVWGMGGLGKTTLVRGVYQSPKLSDKFEKYVFITIMHPFNLKELLRSLAEQLQEGSSKKEELLENRISSKKSLASMEVDKLTEQLRRLLEKKSSLIVLDDFFETLEWDLIKPTLLPLLEKKSRIIVTTREENIANHCSGKHGVVHNLQVLQPDDALCLLSEKVFDDTAYLDEQNDPNLVKEAKQILKKCGGLPLAIVVIGGLLKNRPKTTEEWRQLNENINAELEMNPKLGMIRTVLEKSYDGLPYHLKSCFLYLSIFPKDENISRRRLVHRWAAEGYSHETHGKSAIEIADDYFMELKNRSMILPYQKSVCSRKTIDSCKVHDLMRDIAISKSMEENLVFRLEANSSNIHGAIRHLAISSNWKGDKSEFEGTVDLSRVRSLSLFGEWKPFFISNKMRFLRVLDFEETSFDVKYHHLDQIWKLIHLKFLSLRGCMSIDLLPDSLGNLRQLQILDVRDTWIKALPKTITKLQKLQYIHVGCKSDYVSEESDSLMKRCLEGACLCATCCLPLLWNIDGLRRKALTRRDACTFACCVKFPAVVTGAHEETGAMVPRGIRKLKDLHTLREVNVGRGNNILRDIGMLTGLRKLGVSCINKKNGRAFCSAISNLSRLESLSVSSAGKPGLCGCLDEISSPLENLQSLKLYGNLENLPQWIKELQHLVKLKLAGTGLLEHDAAMEFLGNLPSLEILVLCWSSFQCEELGFKSLQGGIAFRSLRVLTLSVSGIKSIKFDEGAMPKLERLQVTGGVNNEFDFPGLGFLPSINEVQVRVSFPWNWDRIRAAPDPETQRKIGEEEELEEQRKKGELKKKIQDQLAQNTNEPIVTVN >Sspon.04G0037960-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4D:80379972:80381078:1 gene:Sspon.04G0037960-1D transcript:Sspon.04G0037960-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTLLTKTHGAARFLSGSSSSSTVSALPGKGQPFADEYLVSFAIGTPPQPVKMTLDTGSDLVWTQCQPCVACYDQALPYFDMLNSSTFVPFPCNSSTCRQLPWPSCGNGTSTQAWGNQTCGYTYLYADMSVTSGVLAADTFTFDGGAAVDDLAFGCGLFNQGPLDFNSTGTGIAGFGRGPLSLPSQLKVDNFSYCFTNITGSTPSAVLLGLPANLYGGGGATVQTTPLNMTSTSSYYLNLQSIRVGSTTLQVPASTFALKDDGLTGGTIIDSGTSITLLPPPVYSLLRDAFVSQLNMTPVNVTSLPDVLCFPASTKTAMPKLQLQFEGATLDLPQENYVFRQENNTCIAIESSGGDITIIGNYQQQNLH >Sspon.03G0018360-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:77837575:77842413:-1 gene:Sspon.03G0018360-2C transcript:Sspon.03G0018360-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSLQCFKQSGGGNGGGPGKRLERRLSLGEYKKAVSWSKYLVAPPGARIRGGGEELWSADLSKLEIRGKFASGRHSRVYSGRYAGREVAIKMVSQPEEDAALAAELERQFASEVALLLRLHHPNIISFVAACKKPPVFCIITEFMAGGSLRKYLHQQEPHSVPHKLVLKLALDIARGMSYLHSQGILHRDLKSENILLGEDMSVKVADFGISCLESQCGSGKGFTGTYRWMAPEMIKEKHHTRKVDVYSFGIVMWEILTALVPFSDMTPEQAAVAVALKNARPPLPASCPVAISHLIMQCWATNPDKRPQFDDIVAILESYKEALDEDPSFFLSYIPPPHHSHHHHHHQSLLRCFPRSMRRSASLKLLSYPLQMRGQAVKRIQAFYTILLSSIVGL >Sspon.02G0056620-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2D:29900379:29901250:1 gene:Sspon.02G0056620-1D transcript:Sspon.02G0056620-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MYDCGLLHRAVRKEYDTFHRTVGLAKRNSDRDGELGTWRARHATNPRKSFSATSTSASGARPRRSGGATNVATIARREFAIASVPVLCTPTWSGGDNVMSRVHAAGRGFGGAVSNSASVAIPRSMQDQDVTAATNCSRRTDTNHAYSGGSNGRRFRHWTAKSEIPIIRRIPD >Sspon.05G0028630-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:70040176:70044807:-1 gene:Sspon.05G0028630-1B transcript:Sspon.05G0028630-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MELVECLASCTNFCGNEDIRQESATKVNTDPMHSVKWKGINVLLSHLCPKCSKKHDDTASGSQTTGCTCWQWFFTPSTSNDSATVATANGGNTEVLTSQPEENGFAPSYKLDNEETSVPAVGHHRKPSMHHRLKIWISSGHNGIIGKYGNKLELGVPHVARPLSDEHAKPGWPDWLINVAPEAVQGWFPRRPDSFEKLDKVGQGTYSSVYKARDLKTGKFVALKKVRFLNVEPESVRFMAREILILRKLNHPNIIKLQGIITSSVSQSLYLVFEYMEHDLVGLAATPGLKFTEPQVKCLFQQLLSGLDHCHSNGVLHRDLKSSNLLIDNDGVLKIADFGLATSFDPDNQQPLTSRVATLWYRPPELLLGATKYGPSVDLWSTGCIFAELLAGRPILPGRTEVEQLHKIFKLCGSPSGDYWSKLEVPQTGMFKPSHQYSGCIAETFKDFPQSALITCLRYNQMLVEQLLRLSEFFRKKPLACSPSSLPKYPPSKEYDARLRREEAMRKRKAAESVSGFRSVETDGNPFASEKTKVAMIRPESADARNEVLGSQNSNLGSKGSVVKYKDKSRIYQHSGSMITAKGNMERMLKEHEKNIQEAVRKARLNKSREL >Sspon.01G0031320-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:3129542:3130585:1 gene:Sspon.01G0031320-3C transcript:Sspon.01G0031320-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GGCGRCERRRRADWRRLRYPAGLHDRAGQARQDPTAGAGAEVPALRVHQHQVLLLQQLLALPAAPLLQDVPPVLDARRRAPERARRRWVPPQQAHQVVQVQLLVGRLCFWRGRDVVVHLVHCYRRQQRGRRLCRHHAASSGPRAAAVPGLVAPSRRRGSLQHRRVKVRVPRTELAGPCGLPPVRCQRRRRRQRCHRAGAVAPAADTAVPLPKRPPRRRATDNVWHLPVRRGRPRRGRYRLRRPHAGWLQGAQLSRADHAAGVGKDGGQPSVRGDGKQLAEGVPWSPWEPPILGRRQQRRCKWQQRRRRWQHRWWWRRRRRRRRRCGSGQQLGGPVRIQLVFVRERAVT >Sspon.05G0006470-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:11917391:11922996:-1 gene:Sspon.05G0006470-1P transcript:Sspon.05G0006470-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAVSNPWSNRIALVTGGNKGVGLEMCRQLATKGLRVVLTSRNTARGVEAVEAIRTSSAAAEVFFHQLDVTDPSSAARLADFIRGQFGRLDILINNAGVSGVDRDPLLVAKVKDQRHTRKLNNVMRTNYYGAKLVTEALLPLLQLSSSGKIVNVSSGFGLLRHINSEELRKEFDDIDNLTEKRLEELLDLFLEDFKANLLEAHGWPSGGSSAYKVAKAALNAYTRILAKKYPTMRINCLTPGYVKTDMSMYMGVLTLEEGAHNPVKVAVLPDDGPTSAYFDLNGEASFNKRKRYKTVGSNKIAVVTGGNKGIGLEVCRQLAGNGITVVLTARDETRGAAAVEELRELGLSDVIFHQLDITDASSIARLADFLKTRFGRVDVLINNAAFGGVEYARDPAAHGSVTSEEELSGMDRDQRLEWLWRNTRETYDAAKKGLQTNYYGTKHVIEVLLPLLQASSDGRIVNVSSDFGLLRFFRNEELKQELYNVDKLTEERLDELLDMFLKDFEAGEVDARGWPEAFSAYKVAKAAMNAYSRILATKQPELRVNCVHPGYIKTDITLHSGLLMPEEGAGNVVKVALLPEGGVTGAFFFEDSEEASFV >Sspon.04G0030700-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:2774703:2782662:-1 gene:Sspon.04G0030700-2D transcript:Sspon.04G0030700-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAIHQFAECITCHAWSPDQSMIAFCPNNHEVHIYKFFTDKWEKLHVLSKHDQIVSGIDWSKSSNKIVTVSHDRNSYVWTQEGSDWVPTLVILKLNRAALCVQWSPKENKFAVGSGAKSVCVCYYEQENNWWISKVIRKRHESSVTSLAWHPNNIYLATTSTDGKCRVFSTFIKGVDKRGSQSSTSTDSKFGEQIAQLDLSSTWVVTKWEDIGLCRFVFLYQIVIESVKSALFLRHHLLWHNNHAVALTWHNSMVYFIDEVESSPAAQNLALRDLPLRDVLFVSERTLIGVGFDCNPMIFAADDTGLWSFIRFLDERKAAPSASKASQVESEFVPLYSTYGIGLTTWSPLASGVLTGKYSKGSVPADSRFALDNYKNLANRSLVDDTLRKVNGLKPIAAELGVSLAQLSIAWCASNPNVSSVITGATKKARYIFQTHVLQRITTALSKHVYMIVENMKALDVIPLLTPEVLDRIEAVVQSKPKRTESY >Sspon.03G0028930-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3B:12325448:12328308:-1 gene:Sspon.03G0028930-1B transcript:Sspon.03G0028930-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding QNVLHWHIVDEQSFPIEIPSYPKLWNGSYSYSERYTMSDAIDIVRYAEKRGVNVLAEIDVPGHARSWLNNNHMNVSDAYRDFVLRSQKIAISHGYDIINWYPLFDNFSRFQLGEDVAPKVVAAGHRCIVSNQDKWYLDHLDASWEGFYMNEPLKGINDTKQQQLVIGGEVCMWGEEIDASDIQQTIWPRAAAAAERLWTPIEKLANDTRFVTSRLARFRCLLNQRGVAAAPLAGYGRASPSEPGPCVRQ >Sspon.02G0024280-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:83145813:83149755:-1 gene:Sspon.02G0024280-1A transcript:Sspon.02G0024280-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVRYLGASGSRKWLLAMDGGERPATSHKALHPFVPDGELVELLWQDGAVVAHSQALAHHHRHPLVQVGAGNTGASGVTAEAPPLPWLPCSGGALGGDVYSQLWQSIAQTDGRVVGGDAAAVARPPAKSGNSGAGSSRTAGEVGSSFCGSNLVAAALHLDDDIDDVGVAAALPMPLDDPAATATGGAAGAGGSTSSRWNSNALTKRSRDEFDEFVREKETHLQDADLDTVDETPPSSRDRRPASNKRRTRAAEVHNMSERRRRDRINEKMRALQELVPHCNKVKKQILAHSAVLILTIAQTDKASILDEAIEYLKSLQMQVQIMWMSTGMAPMMIPGAHQLMPPMTMGFNSARMPPPAVQFLSQMQRVPPPFMTNPLPNQMPQILPLPTNAPSVTDQAQRNRMALPRNPFLHPNDNDALTTPPQVPSLFGYGPQMVQVNEIQEILTGTAAPALGTDLPSSSDGTGT >Sspon.06G0011500-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6A:62745731:62746723:1 gene:Sspon.06G0011500-1A transcript:Sspon.06G0011500-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKSSPRLKLNLCQVTVALYVFIKAWSSSSKRISAAAICILILATIRCLEKPWAFRRASFNNLITFFDRASRTTPSIEDQMSLQTYIREARNIVQENNPPPEVDFSRQSVPYFNCQPSITKTHGNLFVDLPYPYPERLDHLRSFWQLDEGVFYSSIEQGLSNMFNCLYTKDVQGFLSHSFFGTILVAAVCYALPGWPTTYQKLLEEGYSKSYVLITSVLLYGTLFLDISHSITTARLLTSWEATVSQHNLISFFARSRRQTWLVRIAARWLCQDWMDQYWCLEPCDSSSIRALTELTRNSVKTWWTDLIMDADSYRKVNDANGHWTLECEE >Sspon.08G0007690-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:21685474:21686881:-1 gene:Sspon.08G0007690-1P transcript:Sspon.08G0007690-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SMPPTRRARSPAATPPSPYAIKGWLSRCRRSTRSRNSRRAAAGADDDPLAILPDDLLFGSIFSRVFSDAADIARCAAACRRWGRIVAAHGATTICRSLPPPDRLLPHLALGFFHGGTDDGNPRRRRLAASSQPSPWFVPMASATRLIGSPFPGLLLGGSLFHHARPVASRNGLVVLELWSVGARRTEDLALAVCNPMTGDVALLPPLAGPGHDYACALLTADDLLDEHGPPRPRRPGLFFSLVQLCGATPPPRPRTATEAGGRKKPGAKIKGHILRHLGQAVVLAGVAYWPMYLGALGVRLDGAGAAIVDVCMVPYSSPNALPGNRLLGTTPDGRLSFISVGVREDISIFSFRVETLDLRSIGDMSTAASRWEKTKLIYLHQLKEVSTTTQIKLRWLCEKSGTLFFTVGEGASTSGAFALNLATTSFEKLADGAECNSWTSFCGYEMDHTTLIASITARFT >Sspon.02G0049770-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:43626844:43629243:-1 gene:Sspon.02G0049770-1C transcript:Sspon.02G0049770-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQSQAVPAPAPHIPDPATARTQAGARPVRRDVVDHSRSGSLASPPAHTSRLAAGMQKPSLVLAQDRVSEFAKPNPIELLGLVANEKFHMSSRGAKLNSLLSQG >Sspon.06G0010990-4D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6D:43004558:43005352:1 gene:Sspon.06G0010990-4D transcript:Sspon.06G0010990-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTSSRMLGSGFLEFKLNYAQIHHLGIGDVVSSENFSAGGYLRRVNCYPRGDKVDGDNEHLSIYLQLLTKSKNVRAIFDASMVCRDGTLSSTDALRSVEVYPPRRGIELGWKRFVKRSHLELLYVMNGRVTILCGVIVIDSTLPVPPPPDLASHLRHLLDSALGTDVSFIVGGEVFRAHRAVLAARSPVFNAELFGAMADATMPSITLHNIEPAAFKVMLQFVYTDALPSDDELGDPLAEMMIHLLVAADRFALDRLKVICELKL >Sspon.02G0000100-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:1192605:1195243:-1 gene:Sspon.02G0000100-1P transcript:Sspon.02G0000100-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AMAYRTLEVTLLSAKNLKRVNLISRMEVYAVVTISGDPLTRQCTQPDRYGGRHPCWNTSFRFNIPPSAATATSCLHVLLRTERAMGDRDVGEVIVPLADILAGGGAASDPGARPPQLASYQVRKVHRYEPRGVLNVSYRLGPIVAPQARAVDEAAGAGAFVGYPVPRQFYTPPYAYLPSAAPLSLAPPPPPAQAAGRDAQLHPPTQYSVPAATYPQQAAAGRAALPAPASGESNGKLDFGVGLGAGLVSGAIGGMLVAGDVMMSDAAAYNSGYRAGLADGGAAAAYKNSHQVHDRVEAKRTQVHKNYGLVP >Sspon.03G0035010-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:78147031:78150658:-1 gene:Sspon.03G0035010-1T transcript:Sspon.03G0035010-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGGVGPGGGGVGVGGGGDVELVSKTLQFEHKLFYFDLKENPRGRYLKISEKTSATRSTIIVPVDGVPWFLDLFDYYIRTDERDAFSKELRLQTKVSEASVNRNRSTIIVPAGSSGEEGWEAFRNVLLEINNEASRLYVLPNHPNQQHMEPPERLPGLSDDVGAGFIAGHGSQSASGPEVDVDRLVDLPPQEEISGMGMSKVIRADQKRFFFDLGSNNRGHYLRISEVAGADRSSIILPLSGLKQFHEMVGHFVDIMKDRLEGMTGANVRTVEPSQR >Sspon.01G0018590-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:70228261:70233098:-1 gene:Sspon.01G0018590-1P transcript:Sspon.01G0018590-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRSLILCVAFSACLALAAAGWSPGTATFYGGLDGWGTMGGACGYDNLYNAGYGVNNAALSSTLFNDGASCGQCYLITCDTSRPGGQSCKPGNSITVSATNLCPANYALPNGGWCGPGRPHFDMSQPAWENIGIYSAGLIPVLYQQVKCSRTGGVRFSIAGSQYFLLVNIQNLGGSGSVGAAWVKGDKTGWIQMSRNWGANWQALTGLVGQGLSFAVTTTGGQYIQFLNVRADTVTCTNAGYGVNNAALSQTLFNDGTSCGQCYAITCDGSGSRTGSQYCKPGNTVTVTATNLCPPNYGLPNGGWCGPGRPHFDMSQPAWEKIGIVQGGIIPVLYQQVKCSRSGGVRFNIAGSNYFLLVSIQNLGGSGSVAAASVKGSNTGWIQMSRNWGANWQALSGLTGQALSFAVTSTGGQYIQFLNVAPTWWQFGQTYSTNQQFYY >Sspon.03G0024080-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:73369001:73370155:1 gene:Sspon.03G0024080-1A transcript:Sspon.03G0024080-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DMDMGSVPFADAGLLDAFYGGGHGHNDYGLVSQLGLGASSTSPVVLDGGGLLDAAASTEEAPKRKGDHSRDDKAAMALKNHSEAERRRRERINSHLATLRTMVPCSDQMDKAALLAEVITHVKKLKATAARIRDHCAVPADADDVAVELVQGAPPSSTGGVLVRATLSCDDGADVFADVKHALRPLRLSVVGSEVTTLGGRVRFTFLIMSSSSCGNGNGGEVGAVVDSVHQALQSVLDRANSALEFAPRASLLNKRRRVSTFESSSSSS >Sspon.05G0021700-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:90928205:90935754:1 gene:Sspon.05G0021700-1A transcript:Sspon.05G0021700-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTTATKQQQLVQSLAANKSLPPPPPHSSSQDDDNDDVVRQLVTGRWRSSLSSGLRAALACTIVGLVSLYAPAALHRHITFPAFSYVVTVILVTDATLGTALRGAVSALHGTLMGAAPSVVALWLAHRAGAAESVVATSALVALTAFAVALPESVGPVAKRIALGQAIIVYVAKFQQGERPSRGLALLHPANVVACTALGVAAALLAVLLPWPRLATREARDKCRAYKVVAAERVRVMADAFATGVGVEAEACSRRRRWQMAACMSEANRLASASTTLLNRMNAIKEDLQWEQRAVVVDDNIEMPLTGMQIALATLQQADGGHGRNNDNNMVGLVMAMRDQIRLALLTPNKQRRFGLSSTATATPSNKSPTPTNYDYHEHQQLAPFLFIFSLYQLHRRCCSGDGPETPLTAAAASIPNAKQVAPTTTMTTGQELLLEQPADLLPDDEQEQEEVVGLDDQARAEAGEKATTTMTTKHQQTRGPSAGCISWRRPRRRRLVAAAKCGFSLGLAVLLGLLFSNDHGFWSGLIVATTMTAGRESTWAVAVARAHGTALGSIYGVLCCLLMSQQQLLTMDLRFVALLPWMVLATFLKRSRAYGPAGGVAAALSVVIIMGRRYDEAPMAFTIARLVETFIGISVEIFKYIVTNVTRARQRCDASIPGIFTISCAVLADLVFQPGGRPSGQAREQLTRCIAALADCCCLVAEPSKKVQQELALLRKYATEAGTEPTYLWLPPFPAACYDKIQGSLGRMAQLLQLYHQARRCMSVSLSHQQLHHHQVDVDDDTNTNTIQQRRFSSLASSSLSHCLRMLEPRREAKGQVVDLEAGTAAAGCSCCYKDEEVAAFFLAQAGEAKLLLLNDDDDDSVQQPEERFL >Sspon.02G0056070-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:16145502:16151567:1 gene:Sspon.02G0056070-1D transcript:Sspon.02G0056070-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGRLRLQVLAAVMGMALAAAASEQFEFQFQEVTVDDIHQGFKNGSLTSTALVRFYLDQIARLNPLLHAVIEVNPDALAQAARADAERSASGGRCAVGLHGIPVLLKDNIATRDRLNTTAGSLALLGSVVRRDAGVVARLRRAGAIILGKANPSEWSNFRQPMRVERWAWRCGGSQHGSSDIGIGDRWLHPLPIVSQLCGWDQTNCWLDAEATGAASTYIPHGGYTQFLRIQGLRGKRIGVPNILFQGYDDTQLTVCEKHLDTMRQQGAVMVMDLDIATNFTDLVYQETLLMNAEFKLSINDYLSDLLYSPVRSLAQVIAFNEAHPIEERLKDFGQSNLIAAEETNGIGIRERAASQRLKEISTNGLDKMMKEHQLDAIVGFNYLTFPVLAIGGYPGIAVPAGYDKQGVPFAIYFGGLKGYEPRLIEIAYAFEQATKARRPPAFKT >Sspon.04G0022250-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:4712063:4718161:1 gene:Sspon.04G0022250-1B transcript:Sspon.04G0022250-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDGGEGSAAVAAAAAAEAAAAEEVVARNPRCFLDVSIGGELEGRIVVELYASVAPRTAENFRALCTGEKGVGAETGVKLHYKVRSGSRGSCFHRIVKGFMVQGGDITVGDGTGGQSIYGLNFEDENFVLKHERKGMLSMANAGPNTNGSQFFITTTRTPHLDGNHVVFGRAIKGMGVVRAMEHISVDEADRPTDDIVIVDCGELPEGANDGVVNFFKDGDMYPDWPIDLDEKPAEISWWMDAVESAKAFGNESFKRQDYKTALRKYRKSMRYLDLCWEKEDIDEERSTALRKTKSIIFTNSSACKLKLGDFEGALLDADFALREREDNAKAFFRQGQVRMALNHIDAAVESFKQALELEPNDGGIKRELAAAKKKISDRRDREKKAFSKLFQPSGGSEKGDKAQVPQGRRGSIFTASVQRLGLSNKLFCTIIKQDGPAMASPN >Sspon.06G0005320-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:16840502:16851727:-1 gene:Sspon.06G0005320-2C transcript:Sspon.06G0005320-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGGAAGGGGGGKRGADDGIAGWAARGVVRSWMPRRGDHSPPPASQSAAAAVGQPLPHDLSRDFWMPDQSCRVCYDCDAQFTILNRRHHCRHCGRVFCARCTANSVPRSPGDAAREDGERIRVCNYCYKRWLEEDAAARGDGAGLQPSSPSLSAASVGSDKSSFAGTNGQMSSYANISYSDFASVPVHGEGNCGEDDGYPEKQQPAMDPAPAMEPAAYADKSSDRFNFCLQRSDDEDDDYTVFRSDLEEQHMQNSDEYYGPMYFDGHHVDCSDDAKESTSPRNDLTTLADSLGADKNEDHTVDECCNARSSSMYSMEVLDNDPVDFENNSSLWVPPEPEDEEDDHDGEHDDDEGVDATGEWGYLRSNSFGSGHCRSRDKSAEEHKKAMKDIVDGHFRALVSQLLQAEKVPLVDKSGKESWLDIVTSLSWEAASLLKPDTTSKGGQMDPGGYVKVKCLACGHPSESFVVKGVVCKKNVAHRRMSSKKEKPKILILGGALEYQRVSNLLSSFDTLLQQETDYLKMAVAKIKAHQPSVVLVEKSVSRYAQDLFLEKNISLVLNIKRPLLERISRCTGAHIVPSIDYLSSQKLGRCDLFHVEKYVEEHGTAGEGGKKMLKTLMFFEGCPKPFGCTILLKGANGDELKKVKHVVQYGVFAAYHLALETSFLVDEGATLPELPLKSPIIVALPDKPSSADRSISTIPIIPIPSASSPNSCLQAFGLQNDDLTFNGTKRMEQTALGAPYDETASVQINSQNGHMTCLLDMVPQPTVGPLAQQSNMHCPDGTGDVNIKMNLHDSLPGTTRNALVNDFSVSPAHPMNLVSAKGDKVADKPAAPLNEQISHDDDSAKDNSVAKTDEIPASPADNQSILVSLSSRCVWKETLCERPHLLRIKYYGNFDKPLGRFLRDQLFDQSNLCQSCELPPEAHVYCYVHPQGSLTISVRKLSVKLPGDGENDGRIWMWHRCLRCPRVNGLPPATKRIVMSDAAWGLSFGKFLELSFSNHAAASRVASCGHSLHRDCLRFYGFGEMVACFRYASIKVHSVYLPPPKLDFTSEHQEWVEEEAKEVDDSAELLFSEVLNALHKISGGRPITGSFDGNLKILELRRNIGELEEILVAEKTDFMESLNNLLKKDMRKGQPFIDILEVNKLRRHLLLLSYLWDQRLKFIANSGGKYCDALAGLRIGSGNSDFNGKSVGATPAPKLEKGSKVTEILSTTKEGLLHQSSCPPHGEDEVFNQANESNENSSGNVAELNGTEDSIAKINHVTSADVKDQLDNQESRTGVRRVVSDGQFPVTTDIPDTLDAKWRGQNGPAPDSNLAKPLPSVEDTAVDVKSQTKAVHTSTFTARSGDAAEELLRWLKMPYMTSNSSLITTTSSPLRFTSLADYIPKYVELFCELSQKGGARLFLPTGANDIVIPVFDDEPTSVISYALVSPMYCFQLSDESSKNRDKDSSLPLPVYDSGNFNPFHLFEEFGSHYDVTSSVSGVRGSLAPDQVHLSVSFEDGGPLGKVKYNVTCYYAKKFEALRRSCCPSELDFLRSISRCKKWGAQGGKSNVFFAKSLDDRFIIKQVTKTELESFLKFGTEYFKYLSESISTGSPTCLAKILGIYQVTIKHVKGGKESKMDLLVMENLLFGRNITRLYDLKGSSRSRYNADSNGSNKVLLDQNLIEAMPTSPIFVGNKAKRLLERAVWNDTSFLAGIDVMDYSLLVGVDEEKHELVLGIIDFMRQYTWDKHLETWVKSSGILGGPKNASPTVISPMQYKKRFRKAMSAYFIVIPEQWMPAIINPNKSSSNICQEDSQNGSQE >Sspon.01G0013850-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:38794989:38797960:-1 gene:Sspon.01G0013850-3C transcript:Sspon.01G0013850-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRSGGRKLPFFTRSSSSSSSKRNRSARRLPSPSKQDNATRALLASPSAASPSATPGSAAAGQTAQPPPPLSATAGAGGAVPGKVTKKKAGARLWMRLDRWGTSEVVELDKASIIRRAGLPPRDLRILGPVFSRSSSILAREKAMVINLEFIRVIVTAEEVLLLDPLVHEVLPFVDQLRQHLPLRSLVGGNGECAPDGNGEKQKGSPGGQVPCLNEATGAEHELPFEFHVLEVALEVVCSSLDLSVADLERHATPVLDELTKNVSTRNLERVRSLKSHLTRLLARVQKVRDEIEHLLDDNEDMEHLYLTRKQVQNQQVEALMSSAASNSIVPAGTGVPRLNSSFRRSMSIATSMHLDNDVEDLEMLLEAYFMQLDGIRNRILSYIDDTEDYVNIQLDNQRNELIQLQLTLTIVSFGIAANTFIAGAFAMNIPSSLYNITDGSLFWPFVGGTSSACFVVTMLLLGYAWWKKLLGP >Sspon.02G0018900-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:60635090:60639412:-1 gene:Sspon.02G0018900-1A transcript:Sspon.02G0018900-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding NGGRRRRRPPRAGALWERGGASSLITRDRCSSGGGTPRLPRVSRRRWSHPPGEARRRSHTPRLLPPPLPLQRFALAPAAAGALGVWCLHGVDVSYGLFRTLLCRHQVPPQKFFFETTWYIIDYFIHEEFMTSTSVIPYFYPTYLLILLIWRERRDEARCSQKYREIWAEYCKLVPWRILPYVY >Sspon.02G0017560-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2A:51300931:51301344:-1 gene:Sspon.02G0017560-1A transcript:Sspon.02G0017560-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRLKQFIPKEQPSGLEGRTVDVGNVKVHIREAIAEGGFSSVYAARDLVNPAKQYALKHVIVQDEESLGLVRKEITVMRSLKGHPNVVTLVAHAILDMGRTREALLLMEFCEKSLVSVLEGRGAGYFDEEKVALIFRD >Sspon.06G0019260-1T-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6C:17298695:17299195:-1 gene:Sspon.06G0019260-1T transcript:Sspon.06G0019260-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPDGDPAFHRNEAISAVQDVDQYYGEDDDFDELYNDVNVGDGFLRNSHPPPPLPPQHAPPPQQNHLQQQQQLPPPPAQLNHNHQHQQQQQLPPRPCRRRSSPRRTPSRHRRRTPRPSSRSTRRVRVNRRPSRISRRLSTTRFSRATGSTGREGTTAAVPSLSPTEP >Sspon.02G0042800-2D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2D:81037403:81039436:-1 gene:Sspon.02G0042800-2D transcript:Sspon.02G0042800-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLLLLPMSSFVVAFVLLCLGLNIPGFGDAGNNDSFVFSGFAGTGANLILDGTAVITRGGILELTNNEADVKGHAFHPTLIQFKDSLNGTVQSFSVTFIFAIISPSSVLSSDGMAFIIAPEKDFSNAAWGQYLGFLNSTTNNGPTAASSNHIFAVELDTVRNVEFQDIDNNHVGIDINTLSSVRSGTAAFYDDKEGGTLKNLTLSSGDAMQVWIDYDGKAKEINVTLAPTPMAMRGAKPSKPLLSYISDLKDVLTDKAYIGFSGATGPVGSQHCLLAWSFAMNGPAPPIDFKKIPKMPNSGHHEALVKDMEIGLPIAAFVLILATCITVILLVRRHLTYAELREDWEVEFGPHRFSYKELFKATEGFKSKHLLGAGGFGKVYKGVLPQSGTEVAVKRVSHDSTQGLKEFISEVVSIGHLRHRNLVQLLGYCRRKGELLLVYDYMPSGSLDKYLYGEDDKPLLEWAQRFQIVKDVASGLFYLHEKWQQVVVHRDVKASNVLLDGGMVAHLGDFGLARLYDHGADLQTTHVVGTMGYIAPELARTGKASPLTDVFAFGTFLLEVTCGRRPVVDTVHHGRKLLVDRVLEYWRRGSLEETVDSRLQGNYDVDEARMVLTLGLMCSHPFPGERPTMRQVMQYLDGDAPLPDLTPANMSLLSLMQDQTSFDQSALQYPWSGTSI >Sspon.06G0035940-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6D:87586026:87587025:1 gene:Sspon.06G0035940-1D transcript:Sspon.06G0035940-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LDLRLAIVVFAHAAGTSGPTRFPPDLRISSGSGRRYRSFSCTIFAGAVVASADGGLPRLVRDLRNRVRRLAFPERTQDSVRMSVCLSIAQASATWGMGLRHLGHLCPDTMIFLNLSWNKFYGVLPSWIGELMNLWFLQLSNNMLYGEIPANITTLRHLQYLNLASNNLSGLVPLSLSNLTGMTLKYPKESNQNKLDGYRNLDILSIVMKHEELKYVGYRMFYVVGIDLSLNQLTGGIPDEISSLDRLLNLNLSWNQLRGKIPGKIGHLKALESLDLSRNNLSNEIPESMSDLASLSYMDLSYNNLTGRVPSGRQLDTLYLANPSMYDGNEGLC >Sspon.03G0027830-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3B:6848932:6851342:-1 gene:Sspon.03G0027830-1B transcript:Sspon.03G0027830-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MANANAGGGAAGEGEWLNVAQLRALVQAQDPRAKVSQSPTRPLIYLLVRAGAEVDNLTLRRFLRARDHNVDKAGAMLLKFLRWRAEAAPSGSVPEEAVGGELEQDKVYMGGVDRTGRPIIVGFLAKHYSANRDMAEFKSFVVYFFDKICARIPRGQEKFLAIMDLKGWGYANCDVRAYIAAIEIMQNYYPERLGKALMINVPYIFLKVWKTMIYPFIDANTRDKFVFVEDKSLRETLRREIDETQLPEFLGGKMPLVSLKDYAQQPQPVCE >Sspon.04G0022340-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:5370990:5372810:-1 gene:Sspon.04G0022340-1B transcript:Sspon.04G0022340-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYTKDQLLARLQELKIDFTCYDHPVVLTVEEQDKKHRLYVISALAGTKVDMKILSQRLGLGKGGLRMAPEENLLEVLQVPLGCVTPFALINESARYGVLL >Sspon.08G0013070-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:55392944:55398146:-1 gene:Sspon.08G0013070-1A transcript:Sspon.08G0013070-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALAPLLAVAFLAAAAQAADPFAFFDWDVSYITASPLGVPQQVIAINKQFPGPIMNVTTNYNVVVNVLNSLDEPLLITWDGIQQRKNCWQDGVLGTTCPIPPGWNWTYNFQVKDQIGSFFYFPPLGMQRAAGGFGGITVNNRAVISVPFDTPDGDITLFIGDWYKMNHTHLRKMLDDGKELGMPDGVLMNGKGPYRYNDSLVPDGIEHETIKVEPGKTYRFRVHNVGVSTSLNLRIQNHNMALVETEGSYTMKQNFTNLDIHVGQSYSFLVTMDQNASSDYYIVASARFVNESLWTRVTGVAILQYSNSKGKASGPLPDPPNDEYDKTFSMNQARSIRTSVINSTYKNFLEIVFQNNDTTVQTYHIDGYAFWVVGMDYGEWTENSRGTYNKWDGVSRCTTQVFPGAWTAVMLSLDSPGFWNVRTENLDTWYLGQETYIRVVDPNGGYNVTEMVAPDNMLYCGLLKDKQKAQKPHGSRSSASAAKLNYHVLAVLLALVTVSA >Sspon.01G0027620-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:98814621:98824157:-1 gene:Sspon.01G0027620-2B transcript:Sspon.01G0027620-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:WDR5a [Source:Projected from Arabidopsis thaliana (AT3G49660) UniProtKB/TrEMBL;Acc:A0A178VK59] MAAPAADDASASPGYVLRSTLEGHRRAVSTVKFSPDGRLLASASADKLLRVWSSSDLTPVAELAGHGEGVSDLSFSPDGRLLASASDDRTVRIWDLAVGGGARLIKTLTGHTNYAFCVSFSPHGNVLASGSFDETVRVWEVRSGKCLRVLPAHSEPVTAVDFDREGDMIVSGSYDGLCRVWDSATGHCVKTLIDDESPPVSFAKFSPNGKFILAATLDSTLRLWNFSAGKFLKTYTGHVNTKYCIPAAFSITNGKYIVSGSEDKCVYLWDLQSRRIVQKLEGHTDTVIAVSCHPRENMIASGALDNDKTVKRRSEDASNDNFSRVAQESWRVLGAK >Sspon.03G0004340-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:29350417:29350899:-1 gene:Sspon.03G0004340-3C transcript:Sspon.03G0004340-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHIVRERDATDAGPDAVVKLTVFESVLWRRVSQPPLGGAPARERRASSSSFSYASASAGGGIRGCSRDVVAGFRRRRWEPALLRGHSGFLPLGSWLSDCSKGARRWFATLPRRPGLGTEGRWCGSTGRMGRRGKYMERWEVARWVREPGRDAERRERRGK >Sspon.02G0042030-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:83882963:83890069:-1 gene:Sspon.02G0042030-2C transcript:Sspon.02G0042030-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding NVLPRYQVGTKGDTSSRHSEERRQPSTDDVRKSKPGSRYFTAFGVDLSPDNMAVAIVYFVQGVLGLARLAVSFYLKDDLHLDPAETAVVSGFSSLPWLIKPLYGFIRSHKNLPHPFLSDVCVHLILNPLLLPFSDSIPLFGYRRRSYLFLSGLLGALSWSLMATVVSSKYSAASSILLGSLSVAFSDVVVDSMVVERARGESQSTSGSLQSLCWGSSAFGGIVSAYFSGSLVDTYGVRFVFGVTAFLPLMTSAVAVLVNEHRISSGERTTLLSGSGFVESSKQHVRQLWTSVKQPNILLPTLFIFLWQATPQSDSAMFFFITNKLGFTPEFLGRVKLVTSFASLLGVGLYNYFLKEVPLRKIFLVTTIIGSALGMTQVLLVTGLNRQFGISDEWFSIGDSLIITVLGQASFMPVLVLAAKLCPPGMEATLFATLMSISNAGSVTGGLVGAGLTRIFGVTRDTFGNLPLLIVICNLSSLLPLPLLGLLPEESGQSFTNGLTTSS >Sspon.05G0000760-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:2734721:2736097:-1 gene:Sspon.05G0000760-1A transcript:Sspon.05G0000760-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWPPPSTSPSPSPSLVVRSPRQTVSLIRNRRPHRDWAPSSRSPSFAARDHGPKPSEVYGFVGSITTVIATAVYLAWAYTPEPVLRSLGITYYPSKYWALAVPSFVIVAVVLSMGIYMGLNFVATPPPTSFSTIFDENSRERTAFSSAIEEERPIEPISDISIDQINNLMFGDR >Sspon.03G0011050-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3B:37168853:37173500:-1 gene:Sspon.03G0011050-2B transcript:Sspon.03G0011050-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNRASRYDRHAAEQQPASSTPPKPQTQPPTQTQTQQQYQQAPPQPQPKPAAVAGAGARAAGRVLGRPMEDVRATYTFGRELGRGQFGVTYLVTHRETGQRFACKSIATRKLVHRDDIEDVRREVQIMHHLTGHRNIVELRGAYEDRHSVNLVMELCEGGELFDRIIAKGHYTERAAAALCREIVAVVHSCHSMGVFHRDLKPENFLFLNNKEDSPLKATDFGLSVFFKHGGEGGKKVVRLLVLASTSTGKQVPPAALLAWKQILPARLLPAWQVPPATLLPAWNFLLDELVLLPAGETFKDLVGSAYYVAPEVLKRHYGAEADIWSAGVILYILLSGVPPFWAENEDGIFDAILRGHIDFSSDPWPSISNGAKDLVKKMLRPDPKERLTAAEILNHPWIREDGEAPDKPLDITVIGRMKQFRAMNKLKKVALKVVAENLSDEEIMGLKEMFRSLDTDNSGTITLEELRSGLPKLGTKISESEIKQLMEAADVDGNGTIDYAEFISATMHLNRLEKEDHILKAFEYFDKDHSGYITVDELEEALKKYEMGDDKTIKEIIAEVDSDHDGRINYQEFVAMMRNNSPEIVPNRRRMF >Sspon.04G0035680-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:15254317:15254895:-1 gene:Sspon.04G0035680-1P transcript:Sspon.04G0035680-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATILENIQKARFLPTRPLKDELPTFQGGFGGGGKEESHLMGLRKRLSSFSGKIQPISSASAEWAFRRSKSAPSLGAAFAAGGGSLRQWWQWGVGWLLSKKPGFAGDLEMNEEEIAALGRQGRGSWAHILYKMRSGVRRLVMSTHSLPTTHKQSSLPSSASAVHNNVQCKPAAAFAYAQRQSFHHTGHAMAH >Sspon.08G0026600-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:27937687:27942861:-1 gene:Sspon.08G0026600-1C transcript:Sspon.08G0026600-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LPVPPPSDLHQHLGELLQSQEGADVTFHVSGESFAAHKALLAARSPVFKAKFFGGMEETSSDFVVIEDMEPVVFKSMLHFIYTDMAPELDGDQEPQAAATMAQHLLAAADRYGLNRLKLICECKLSGGISIDTAATTLALAEQHHCSLLKAKCYLVNDSLTVECTVTVLRDLDTADKGQPLPVPPPSDLHQHLGELLQSQEGADVTFHVSGESFAAHKALLAARSPVFKAKFFGGMEETSSDFVVIEDMEPVVFKSMLHFIYTDMAPELDGDQEPQAAATMAQHLLAAADRYGLNRLKLICECKLSGGISIDTAATTLALAEQHHCSLLKA >Sspon.06G0020350-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6B:8795166:8795735:-1 gene:Sspon.06G0020350-1B transcript:Sspon.06G0020350-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGQGRQQRGPAPGTWSRVGRRRGPWTPLTCPGTHQQLRRRRRSGGAGSLLGPAPALPSATWKPSGTGGTSSTAVPTMTRMDKAFLLADATAYITELCGRVDQLQVEVTRAAMRRANPFVVDDHAAAASSPGSSATGQEAAALRLTSAARHAPARLMDALRGLDLLVQHACVCRVGGVTVQAGRRRRRA >Sspon.01G0041320-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:44784661:44794401:-1 gene:Sspon.01G0041320-2C transcript:Sspon.01G0041320-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFASRHAAAEAATSAAASAAAPAGVAEEPEYLARYLVVKHSWRGRYRRVLCIASSGVVTLDPTTLNLTNSYDAGSEFDHAEALAATDEFTLAVRTDARGKFKPMRFSSPLRPGILTELHRLRPVQASFDFPVLHLRRRTHEWAPFKLKVTPVGVELLEASGDVRWCLDFRDMNSPAIVLLGDSYGRKTTEGGGFVLCPLYGRKSKAFMAASGTTNTAIISHLTKTAKLKIGLSLSVDNSQSMKTDDFIAKRAMEAVGAAETRHGEWSVTRLRSAARGTASIESLSLGVGPRGGLGEQGDSVSRLLVLTSTSLVERRPENYEVDILSSILYELLKLFSPEAVIVRPLSAVSALVRFAEEPQMFAFEFNDGCPIHVYASTSRDNLLATVLDVLQNQRQCAISVLPRLTMPGHRIDPPCGVAHLQMPHHVCYHAHKTFAAVAKEAVASSDTVPGAKIRLWRRIREFNACVPYTGVPVNIEVPEVVLMALISLLPTVPQSLPADAPPLPPPSPKAAATIMGFVACLRRLLTSRSVASHVMAFPVAVGRIMGLLRNGSEGVAAEAAGLVAMLIGGGPGDSSILLMDTRGESHATYMHAKSVLFSQPMYVPILVNRLRPISVSPLLSLSVVEVLEAMLCEPHGETTQHATFVELLRQVAGLRRRLFALFAHPAESVRETISVIMRTIAEEDAIAAESMRDAALKDGALLRHLLNAFFFPAGERRDVSRQLVALWADSYQPALDLLSRILPPGLVAYLHTRSDEDSQSQYDEAPLSRRQRRILQQRRVRGSKSMAVQEQGIPPNGVEDGETFRHTSVGTYGGADVHQRHVGPYSSTHSPLPGINIDPSHTVSVPHGSVPEALSENNHQIGAPQLDSHVYSVDSNANGNLVSSSHSDFSVPAQVVVENTPVGSGRLLQELREALQTEVHNLDVEKERADDIVPGSSVTEDAGGSDNLPRISWNYAEFSVSYPSLSKEVCVGQYYLRLLLESGSNYRAQDFPLRDPVAFFRALYHRFLCDADIGLTVDGAVPDELGSSDDWCDMGRLDGFGGGGGSSVRELCSRAMAIVYEQHYKVIGPFDGTAHITVLLDRTDDRVLRHRLLLLLKALMNDLSNVEACVLVGGCVLAVDLLTVAHETSERTAIPLQSNLIAATAFMEPSKEWMYIDKDGTQVGPLEKDAIRRLWSKKSIDWTTKCWASGMSDWKRLRDIRELRWALSVRVPVLTPTQIGDAALSILHSMASARSDLDDAGEIVTPTPRVKRILSSPRCLPHVAQVMLTGEPSIVEAAASLLKAIVTRNPKAMIRLYSTGAFYFALAYPGSNLLSIAQLFSATHTHQAFHGGEEAALSSSLPLAKRSVLGGLLPESLLYVLERSGPSAFAGAMVSDSDTPEIIWTHKMRAENLIRQVLQHLGDFPQKLAQHCHSLYDYAPMPPVTYPNLKDEMWCHRYYLRNLCDEIRFPNWPIVEHVEFLQSLLVMWREELTRRPLDLSEEEACKILEISLDDLVLGENGSSKQSSDLSSANSGNKIENIDEEKLKRQYRKLAIKYHPDKNPEGREKFVAVQKAYERLQASMQGLQGPQVWRLLLLLKAQCILYKRYGDVLEPFKYAGYPMLLNAVTVDKDDSNFLSSDRAPLLIAASELIWLTCASSSLNGEELIRDGGIPLLATLLSRCMCIVQPTTPANEPAARIVTNIMHTFSVLSQFESGRVEILKFGGLVEDIVHCTELEFVPSAVDAALLTAANVSVSSELQNALLRAGFLWYVLPLLLQYDSTAEGNETCEAPGVGARVQIAKNLHAVHATQALSKLCGLSSDDILYPDNKPAYNALKALLTPKLADMLRNRPPKELLSNLNSNLESPE >Sspon.06G0025190-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:85505535:85508580:-1 gene:Sspon.06G0025190-2C transcript:Sspon.06G0025190-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIEDVKKVVTMNLSHLRSLTVSSEAFTLLPTLSSFPIIRVLNLYGCTQVDNSHCKHICNLYHLRYLKLSLTSITEIPNEIGNLQLLQFLDLNMTNIKALPPAFVQLRKLEFLCVDNRTRLPECLGNLISLQKLSPSIKISSPTMLRELSRLTELRRLMLRFDDWDDKSYEEPFVQCLSNLVNLESLQIFDCHNGLGSNSNIGMLLTPGPQQLRSMNIGPGTVGCVPRWMPSLFALSALDVTLLTLEEEDLQVLGSIPSLRSLYIWVKEHRKDRHKRLAMGSDDCAMEVEFAAGAMRKLQTIRLDLHVRHTLDQFGDFDCGLESLSSLERAVVHMNCCRAELEEVEAAEASILKALELNPNRPTLELDKNTGRGQDEGASSGFKVLCWAQFCENHQSYLLVPLLLPRSFSVHFQSQPHLLAALTSCRAAPMATLSAISAKAGYGYCRAPTLSWKGSRVSDRNVVSMATTRRGGLLVSLRSPRFRVYAAKAETVSKVMDIVKQQLALAADVGLTAESKFADLGADSLDTVEIVMALEEEFKITVEEDNAQNITTIQEAADLIDKLVGQNPAAPTA >Sspon.02G0032680-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:85870122:85871087:-1 gene:Sspon.02G0032680-2D transcript:Sspon.02G0032680-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLLLLSLPATGAIIYRCKHCDTHLAYDTDIIARVASFLTFRCKNGKAYLFNRIVNVNVGSKDDRMMTTGLHTVCDIFCVACGAILGWKYLVAFEKSQRYKEGKFILDRSTALAARGGGPDAHDHAHAHRAHNHHARVTSSADDDDPSDDEDDQHM >Sspon.01G0039250-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:33262629:33263043:1 gene:Sspon.01G0039250-1B transcript:Sspon.01G0039250-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLDKLWDDTVAGPRPDTGLGRLRKQPVRPAAVKINACGFVDRVDPAEDAAVFVPPSPASGSEEAPVKVTRSIMIKRPAGYPSSPRSAASTPPASPLGTTPPISPFAGA >Sspon.07G0015540-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7A:55595252:55595725:-1 gene:Sspon.07G0015540-1A transcript:Sspon.07G0015540-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIRFESDRERTTEEKKEQISIRKNPDETLTLKNREERMEGKVNATVTTVTMRLREGEDAGSPVYPQPPSRHPVLVADRLHGRRAGQRERAKPWARAQSTKGSRGLQQRAPPRRQRRRRTRLRPHTCAGEGNHGGAACSPWVAMNGGGRCLLSLWRR >Sspon.07G0021980-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:5800340:5801204:1 gene:Sspon.07G0021980-2D transcript:Sspon.07G0021980-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding QWSPRRPRPRRGPGTPSSSAASTFPPAGDAASPWPSAVTGTRTTTPPSPPPATRVARTAGPDRRRERRPRRRSRRPWRGEEAQQEAPRRQWNLRERTSWRDYRAEDARQARKLGSTDAGGGSRGFSVALTRQEIDADKAPRRPRKRTKSVQRKIQTLCPGSSLTEVTRDRYKVNEGAERRILSPASRKEEMDFQPRSLIE >Sspon.04G0023850-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:15593551:15595644:1 gene:Sspon.04G0023850-1B transcript:Sspon.04G0023850-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRAKPPGIHLFECAQGSSLSLRSCQALVLILTFFSYASYHAARKTMSVVKSVLDPKPSNLGFLHCPRCLDVDKFYTAENYVVLYGGWAPFDSRDGTALLGDIDLAFLAIYAIGMFFVGHIGDRVDLRVLLTIGMIGTGFFTAAFGAGYWLNVHNFYYFLGIQLIAGLFQSTGWPSVVAVVGNWFGKRKRGLIMGIWNAHTSVGNISGSLIAAALLKFGWGWSFVIPGAIIALVGLIVFLFLPASPESIGAEDDHFKDSGKNQMGTPLLEGQTEVTEKAVGFIEAFRIPGVVPFALCLFFCKLVAYTFLYWLPFYISHTAVGGEYLSDSTAGVLSTLFDLGGVIGGILAGHISDRLDARALTAASFTFSGIPALFLYRVYGSISLYWNVTLMFITGMLVNGPYALITTAVSADLGTHSSLRGNSRALATVTAIIDGTGSAGAAIGPLLTGYISAKSWTAVFTMLMVAALIAGLLLSRLVMAEVSAKLESWRSAAAPNDLPVSSVEGA >Sspon.06G0027980-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6C:392974:400231:1 gene:Sspon.06G0027980-1C transcript:Sspon.06G0027980-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDISLHILHWLSSILHLSIHSVKLPTASCRLSHGHLSAQNKWSHFHLCKLERSIAIAIFERTSHKRQPRGVGTESIGQTICPKTEALSRAREWRFEDMSWSSTTISPAYYEIGAIGEYYAVVIQILHPQEISAVSRISHQNVIKLVGYADVDEYFALVYERAQQDLEGYKPPNKVELDNLLLGLARGLRAIHSAKFIHWDIQPRNILLMKDGTVQIADFGLATKRNEKMKFFNDRRHGVFNPDDTEESKEKFDVYCFGRVLRQLVLKDKDRWSPTKCPRTLLSDRCIKGEPNERPSMDEVVSEIKNIQKNEHIEPELTSKKRKRH >Sspon.03G0032230-3D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3D:33797305:33798861:-1 gene:Sspon.03G0032230-3D transcript:Sspon.03G0032230-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRMAPRRKAAATATGGGDNNSGSSSESASAQSSPRRKLLVLATAVPSALRWRNVLAALFQRAAYHLLWLVESVVVNPSFFSSSTRRPSSSAPQPPPHRPSRPSSSAPQPPPQLLLLPTFPASPRPPAAAAAPRRPCSYPTPALQAAAPKAPEPTLAATACGGANCVQRKVATTRRVEPRTGGAVARYSGGRHPQHAHVRSDSSPRALRPPPPSLATGRRDEPKQRADEAQMIFF >Sspon.08G0008000-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:26319425:26326401:1 gene:Sspon.08G0008000-2B transcript:Sspon.08G0008000-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGSSCPQIGQRRSSSRKDESPRPGTQHESPGGTKGSLEGYLVRSPSTRAAAAASAVPVGSPRGGDPCARRSLSAAMDVDVGSSAPVVAVVGDGADFDLRRSTADFLSHSCSAILPLRGDCDYSEQWGMKQKRSAAQSFLVPCDNDYVKKQRVAHCGGLEALKELDDNVASMKQCINHHGGSEAVEEPFEGEQVSCVGFSALQRCSFTPSTAQRKVGFFLAPVETLKSVSRNSLTSPGEEFWNAAIELADGISAQADKVCGRPEFDAAEDKSSCAVAVCSKTLCRSGRDELDCQNTVGSNDTHHMEKLSNKVESLAVNSQHIINSPLPVKQLDFFHEDDIKVSCSKFEAKGSYEACNAQADHVPLKDSGLLGKENLKDPVDVMKKSANNLHTDSAAMRCQGVFKSTIEGKVHPTQEGERDSHLIRRDHNQPTHNENKSLAAYSNNCKTWIDSKSKFASEEVEASTPTSSIPLKDHSKLSSWLPPELCAMYMKKGISELYPWQLAFFHLTIFACVEKFLQQSVANYCCPLYYALLDLSQGLFGLCPHCAKPKFGHGRNPDMAKFRQYKIIGAKCLGQKESCVLCIHEVLADLSCLFIRGHTCTLHILRCLLAGKSFVAEVLMLRRILSSGNMAILVLPYVSICAEKAEHLEQLLEPLGRHVRSFYGNQGGGSLPKDTAVAVCTIEKANSLVNKLLEDGRLSELGIIVIDELHMVGDQHRGYLLELMLTKLRYAAGEGTSQSSSGEISGSSSGKMASHGLQIIGMSATMPNIAAVADWLQRHLINM >Sspon.01G0037570-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1B:23526453:23529046:1 gene:Sspon.01G0037570-1B transcript:Sspon.01G0037570-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDAENAEDEKKEAPSADAAEKTEEGEDKKEEAPAVDAAEKTDEKEVAPAVYAAEKTEQSTEGQAQPNNVAAPESEIKGDGKPAESESAPPAIVDEEKKEEKIENGQAAEPAVPSLASSEGEKKENGGATEPAPLVAETKADAPPPAEAEKGAENPGQVNTAPQEPTAANCDNKGQIQPGASAVRCT >Sspon.06G0009230-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:41731674:41732088:-1 gene:Sspon.06G0009230-2C transcript:Sspon.06G0009230-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKVATQVAVLLLLLIVVAQEAAVPLAEARVCRRRSAGFKGICMSDHNCAQVCLQEGWGGGNCDGIMRQCKCIRQC >Sspon.02G0031120-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:113659281:113663523:-1 gene:Sspon.02G0031120-1A transcript:Sspon.02G0031120-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLERGSKTPPPSAAATATATTSTCCGNKRPQLRDRLVALQPVVLRAAAALATAAAAAVMALNAQSYTAVVAIVGTRPLTQTFTAKFRDTPAFVYFVIANAIAAAYNLVVLLVRCLILRRRTAGLVVHMLDMMIMALLATGAATAAAMAELGKNGNVHARWNPICDRFGSFCSRGGVALASSFTGVALMLALNLLSAASNAQCSPIGQQHIRNSAVESQELSTYCLISMLVIATIHQEKKDDGKEEMLGCDCHAYFPE >Sspon.06G0008720-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:46276126:46281500:-1 gene:Sspon.06G0008720-1A transcript:Sspon.06G0008720-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCGGAAGGGGERMEEDEAGASTSSSPPPIAQLGYDQVLSILRLLPPEAVLSFAATCRAFRAWASSDALWEALCRRDWGARATAALAERRRDRHGGGGGLQAPWQRVYAEVARLGALSSRRVPVRGASPRPRASHSLNLVAGWLVLFGGGCEGGHHLDDTWVAYAGTGAGNRPPAILTWQQLASGTPDLGQRLNDTWIGQIICEEPRRMRISWRLLEVGPLAPPPRGAHAACCVDDKFIVIHGGVGLYGSRLGDTWLLDLSNGLRSGSWHQIGNTWPLPPPRSGHSLTWIGGTRMVLFGGRGSEFEVLNDVWLFDISDQYPKWKELKYDLSSALGELPFPRVGHSAILALGGKVLVYGGEDSQMRRKDDFWILDTPALLQYESGSKKMTKKMWKKLRIDGQCPNYRSFHGACVDTSGCCVYIFGGMVDGLVHPAEAWGLRFDGHLYQVELLLHL >Sspon.05G0006670-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:20938781:20944920:1 gene:Sspon.05G0006670-1A transcript:Sspon.05G0006670-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSRALALFLLCALLPALPISAALLFGGGKSAAAAKAGVDMEWRPATATWYGEAEGDGSDGGACGYGTLVDVVPMKARVGSVSPVLFKDGEGCGACYKVKCLDHGICSRRAVTVIVTDECPGGLCAFGRTHFDLSGAAYSRMAVAGAGGRLRDRGQLNVVYRRTACKYGGKNIAFRVNEGSTNFWLSLLANSVEWLDMRHVWGATWCLVRGPLVGPFSVRLTTLSARKTLTARDVIPRNWTPKATYTSRLNFQPSL >Sspon.07G0007200-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:7569531:7570603:1 gene:Sspon.07G0007200-2B transcript:Sspon.07G0007200-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MERHAEKPLLVLLVLSCLLLLPLVSAIPTPRSLRLGSHQQHPPVLKLTSSQEAAIAAAMNMGRPTARMVVEVNDYQPSGPNNRHDPPKGPGRA >Sspon.07G0021440-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:2767753:2768833:-1 gene:Sspon.07G0021440-1B transcript:Sspon.07G0021440-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ethylene-responsive transcription factor ERF061 [Source:Projected from Arabidopsis thaliana (AT1G64380) UniProtKB/Swiss-Prot;Acc:Q9C7W2] MGRLAYIRPPGARHVDQQPQCPSRHGRNSLLPQLLQLVPTTHHTRSATMDASLRTLPPAGSSFPGEVRSAVSSLLLSSAGGTSALDTVFSHLPPLGSSVYHRQCELLRHFAASQPQTHQPHTAAACSSSSSSTSSAASASFQLQLQLAPAPPDDPAVAAAMLRQKLYRGVRQRQWGKWVAEIRLPQNRVRVWLGTYDSPETAAHAYDRAAYRLRGEYARLNFPGVMDAPDPACPDHLRQLRAAVDAKIQAIRARMARKRARARKQREESARSTGSGSGAEAANKPAAARPVVSEGAATTTTTTTTSETTTTPCGSPDGVLSVSAVSADGDCLLERMPSFDPELIWEMLNF >Sspon.02G0006200-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:17976667:17981223:1 gene:Sspon.02G0006200-2B transcript:Sspon.02G0006200-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAARGRGASPRLAAGHLLFLASLLLLLSPRATAQAQPWKVCGNTGNYTANSTYQSNLASLATALSRNASSSRALFAKGSVGVLPDIAYALALCRGDANATACGSCVTTAFQSAQQLCAFDKDATVYYDGCYLRFSNMDFLDDTTSNDNEMILMNTQNVSSPVKVFDAAVRVLLNATGDYAAANSTRLFATGEEAFDATDPTIYGLTQCTPDMTPADCRRCLGDILGIMPQYLSGRKGGRVLGVRCNFRYEVYPFFTGGPTLRLPAPSSLSPSPAPAPTPVPVNVTPTATPPGRTRNKTGIVLAIALPIVAAVLSISTVCLCFFWRRRPAREQTPSDSTNVGDIESIDSLLLDISTLRAATGNFDESNRLGEGGFGAVYKGVLPDGQEIAVKRLSQSSGQGIQELKNELVLVAKLQHKNLVRLVGVCLQEHEKLLVYEYMPNRSIDTILFDPEKNKELDWAKRVKIINGIARGLQYLHEDSQLKIIHRDLKASNVLLDSYYTPKISDFGLARLFGGDQSRDVTSRVVGTYGYMAPEYAMRGHYSVKSDVFSFGILILEILTGRRSSGSFNIEESVDLLSLVRHSAIPKLSTQVWEHWTMGTIVEVMDPSLRGKAPAQQMLKYVHIGLLCVQDNPVDRPMMSTVNVMLSGSIFSLQAPLKPVFFIPKSGYYSTVYSESNPTASQSTANVTSRAISPNE >Sspon.03G0008440-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3A:22718785:22723182:-1 gene:Sspon.03G0008440-1A transcript:Sspon.03G0008440-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGVWCFPISLFILHGIRDRVINQILGFCFRSFLLSTFPRRLTSKAAVHTMSVTADSSAAAAPPAVLVHPYTAVNVKTHIPVILEMKNPNFTKWASFFKSLCGKFSLLPHISESADPPANDPSWPIAEYTVRGWILNTVDDSVLDLAITDENQTARELWVEIEALFRSNRAPRAVFLLEEFHSLKQGDSTIDEYCQKIKVKAAALRDVGETIDDKQLVLSLLRGLNPRFSATADDMANATVLPSFPRAREMLSLKELRLAKDEKTTAASAMQGGGGGQQHGGNRPMVPWFCYTPWAPQGGPPHGDWQQGPRPGWQHPNAQGGRHQGLMGTNPQAHFAAPPPPMWDQAGLIASLNQMALQNSGWVMDSGATSHMHNSDGILLSRHPSSSSITVGNGHQLPVSYSGHSHLPGRTSPFSLRNVLVVPSLVRNLLSVRQFTRDNHCTIEFDAFGFSVKDLQTRRVILRSNSSDGLYTVPATPQANLATSTDLWHHRLGHPGAATLDLLRNNNSISCNKSAPTLCHPCQLGKHVRLPFGNSSSVSSLPFELVHCDVWTSPVASISGAQYYLVILDDFTHYCWMFPLVRKSEVATHIINFCAFVHTQFSLLVKVVQADNGTEFVNTALTTFFASSVPYEQLYQQPPDYTILRVFGCLCYPNLTATSKHKLAPRSTACVFLGYPSSHQGYRCLDLTTRRIISRHVVFAETTFPFQSDSTSALPSSLDFLAGTPAPVPRTAAVAPSPLDAEQPRHRAHLEELAEDPAILQIGPVLPSAPAAPPHLRVYTRRRTAPAAPITSSPAPAISEQPAPAPAVAAAPAVAAASPLPPPPPPTRPVTRLQTGSLRPVDRYGFPPAAHVATGSTASPIPRDYRGGLADSQWHAAMAEEYKALVDNDTWRLVPRPPGANVVTGKLIFRHKFHSDGTLARHKARWVVRGFTQRHGVDYNETFSPVVKPATIRVVLSIAASRQWPIHQLDVKNAFLHGHLHETRSLYGLKQAPRAWHQRFATFLRQLGFVASATDASLFIYSEGGSSAYLLLYVDDIVLTASSAALLRRIIARLHSEFTMTDLGELHHFLGISVTRSSDGLFLSQRQYAVDLLQKAGMAECHSTSTPVDSRAKLSASAGAPVADPAAYRSLAGSLQYLTLTRPDLAYVVQQVCLFMHDPREAHLALIKHILRYVKGTLSAGLHIGTGPVDKLIAYSDADWAGCPDSRRSTSGFYVFLGDSLVSWSSKRQTTVSRSSAEAEYRAVAHVVAECCWLRQLLQELHVPLKVATVVYCDNVSAVYMTANPVHHRRTKHIEIDIHFVREKVALGEVRVLHVPSRFQFADIMTKG >Sspon.02G0027900-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:101440307:101443266:-1 gene:Sspon.02G0027900-1A transcript:Sspon.02G0027900-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMRSVVGKLKALTPRMPRFGAGPPKDMLGYKRPLIDPTKYDSVKELNEALPALLDKKFKYELYWAEREAFERYLKSYNRVTYMTTAAGFGAITWFALWFLDPLLEDD >Sspon.06G0005740-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:17651847:17653447:1 gene:Sspon.06G0005740-3D transcript:Sspon.06G0005740-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALARRLLRLRPHLGTLPLPSRSSSHFLGTRTYISDMRRSAFIDRLLRSVRSEISSLNNFAPPPPPPPPTPFTVEDRPGEQWARLRRVFPAAEGEEAEVRVDATLVDGALPPSRSGADTGGPPRLHISVKVEVSKAARPGMALTFECSAWPDEMEVRRVFPVRRGGPAPVQQYVGRQFSELDEEMQSAVQDYLEQIGVNDDLAAFLHAYMENKEHTELIRWLKNVECHIKK >Sspon.01G0022650-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:79980053:79984704:-1 gene:Sspon.01G0022650-3D transcript:Sspon.01G0022650-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGAGSASGLEEKLAGLSTGGDGQNPPPAGEGGEEPQLSKNAKKREEKRKKLEEERRLKEEEKKNKAAAATASGKPQKASAADDDDMDPTQYYENRLKTLDSLKATGVNPYPHKFPVGISVAEYIEKYKTLSNGEKLTDVTECLAGRIMNKRTSSSKLFFYDLYGGGMKVQVMADARTSELDEAEFSKYHSGVKRGDIVGIAGYPGKSNRGELSVFPNKFVVLSPCLHMMPRQKGEGSAVPVPWTPGMGRNIENYVLRDQETRYRQRYLDLMVNHEVRHIFKTRSKVVSFIRKFLDEREFLEVETPMMNMIAGGAAARPFVTHHNELNMRLFMRIAPELYLKELVVGGLDRVYEIGKQFRNEGIDLTHNPEFTTCE >Sspon.02G0038790-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:49291694:49292713:1 gene:Sspon.02G0038790-2D transcript:Sspon.02G0038790-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPTVQKVRIFCADPDATDSSDDEDDKNIAEKKMVREVLVPVTNSKTSNSLKTLVPCGTKDLEVSEKKGKSSRFRGVRKRPWGRWAAEIRDPVRKTRKWIGSYDSEEAAAAAYQAYANQIRDEVLAIKTQQSVSERAPLSSSSSVSCVSSSAPCEQIAHEPQNRVLVERDPEPVDEVFLNFSPTPKEISMDDLLGRIDEIPVCDSVRPADELPLETRLEDAFPISDFIGSRDEHPYDHYIGLADISHLPLPMNDPAFNLDAELDWSGFDFAAIEQCNNEYGFRFQSIYGCLAVCGRGPEAIDL >Sspon.02G0012210-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:95877826:95879696:1 gene:Sspon.02G0012210-2P transcript:Sspon.02G0012210-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRSALRRGGAAARQVALAGEASPRDFLRMRVAERERARRRRRDPGRDEFFVPTPESLAWLDSVSLPMVLTAAAVALFTKLLMMEHEATDQERRERKIKNSHPDQGKVRALTREEWEEVQEVRPRTPFESKLARPHAHIRTGEPVRLEDVKDWATDVIADSFTRAEETTKRK >Sspon.03G0002080-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:12433905:12443394:1 gene:Sspon.03G0002080-3D transcript:Sspon.03G0002080-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESDGGGEADSPSPDPRSGGGASPDPRPPRPQLTKSRTISGSAAASILAADRVAGGGGGGGGGGGLRDNILVRRSSTAPLPPSTASAAGASSAPRRLTVAVDDPSYAAPNGGVLDRDWCYPSFLGPHASRPRPPRLQQTPTTAGRRSANPTVPVPPRVVVSQREEEKSLASVVKRPALLEERRPLPPRTLPPPRAPRFDLSPYLPLLLVITIASSTLAIWQWIKVMGLQEKIRSCSDGNAGDREGTEKVSFDGDHGFAFIGSGNWNLAPSSTIFALAVPLFLLKYIDQLRRRQTNSMRGRSGEEEVPLKKRIAYKVDVFFSGHPYAKLLALLLATIVLIAWGGIALYVVSGSGFLEALWLSWTFVADSGNHADQVGLGPRIVSVSISSGGMLVFATMLGLVSDAISEKVDSWRKGKSEVIEINHILILGWSDKLGSLLKQLAIANKSIGGGVVVVLAERDKEEMEMDIAKLEFDFMGTSVICRSGSPLILADLKKVSVSKARAIIVLASDENADQSDARALRVVLSLTGVKEGLRGHVVVEMSDLDNEPLVKLVGGELIETVVAHDVIGRLMIQCALQPGLAQIWEDILGFENAEFYIKRWTELDGMRFGDVLISFPDAVPCGVKVASKSGKILMNPDDDFVLTEGDEVLVIAEDDDTYAPAPLPEVNKGFLPNIPTPPKYPEKILFCGWRRDIHDMIMVLEAFLAPGSELWMFNEVPEKEREIKLTDGGLDIGGLTNIKLVHKEGNAVIRRHLESLPLETFDSILILADESVEDSIVHSDSRSLATLLLIRDIQSKRLPSKELKSPHRYNGFCHSAWIREMQHASDKSIIISEILDSRTRNLVSVSKISDYVLSNELVSMALAMVAEDKQINRVLEELFAEEGNEMCIRSAEFYLYEQEELSFFDIMVRARERDEIVIGYRLANTDQAIINPEHKSEIRKWSLDDVFVVISKVLTMDPTNHLGIAKIAYLKPWSWAAIQENILQLQISMAYLLVFENFVLRMNYEK >Sspon.07G0001200-3P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:2064846:2068755:-1 gene:Sspon.07G0001200-3P transcript:Sspon.07G0001200-3P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLAMMGGWGVSDGYEGSKRPRMMMESNPYFAVNAGSPLDVSKRSRMVEPGPPYFGAMGSNASGASGGFYQPFNSNLTGAGAGTGIQNFPGVRLRGLPFDCNDIDICKFFVGLDIVDCLLVNKNGRFTGEAFVVFPTAMQAEFALHRNRQNMGRRYVEVFRCKKQEYYCAIANEVSQGGYFESEYRRSPPPPRPKKPAEDKGSMEYTEVLKLRGLPYSATTEDIIKFFLEYELTEENVHIAYRSDGKATGEAFVEFPTAEVAKTAMCKDKMTIGTRYVELFPSTPEEASRARTRGRH >Sspon.02G0006110-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:18567283:18568372:-1 gene:Sspon.02G0006110-1A transcript:Sspon.02G0006110-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGPAGENSACSQPCSRRAPHPGRNLGLGRHFCRLPGPYSARLLSAVHHSGRLSGDFARNKNPAVGAA >Sspon.06G0013450-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:53682507:53685373:1 gene:Sspon.06G0013450-4D transcript:Sspon.06G0013450-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SWR1 complex subunit 2 [Source:Projected from Arabidopsis thaliana (AT2G36740) UniProtKB/Swiss-Prot;Acc:F4IP06] LRSKSFLFFRITRLLEDEVEQDEAFWNQEALKDEENDDNYVEEQDAGDEFDSDFGEDESEPDDEPEKEERERLPIKKRLMFPGKTLRKTNVKKKKVAPKPEDDAKADKSADKPSASTQADVPDELEAEKTIRKSTRTSVIVRQAEREAIRAEKEATAKPIKRKKEGEEKRMTQEEMLLEAAETEIMNMRNLERVLAREEEVKKKAVVHKDTYEGPTVRFFSRDGESRLEFINGATFGSELCTTSSPYPEKPVCVVTGLPAKYRDPKTGLPYATMEAFKKIRESFLKEEADRKRPNMSNMGELFESITGEHLMPKKRRVEI >Sspon.05G0013640-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:48040280:48049307:1 gene:Sspon.05G0013640-1A transcript:Sspon.05G0013640-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHPPCLTSATGGDHHRVLCLLGLPRADPDPARTDGFVYAGKPPAWGETVVVEAFLDPVCPDSRDAWPALKKVVEHYSSRVSVVVHLFPLPYHSYAFIACRSIHAVNKINPSFEGYYNQPTYEKSRATVVNEITKNLVAPIIGETNLAAYRAGFNDSQSDMATRISFKNGCARGVTGTPYFFVNGIPINDSGSPLEYKHWISVLDPLVGKM >Sspon.05G0015350-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:53246382:53249495:-1 gene:Sspon.05G0015350-2C transcript:Sspon.05G0015350-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGEHEAEESSSQRRERLLALRSAANASPAGAPPPAPAGSLLPDPDLPGDQAASVCPPPPQRFDYYTNPAAAFTSSYSGGATNPTWSHKRKSPPACYAPPPPAYGNYGSNYHPPHQQHIPSQVHSPSPIPQDAPGSSPWRSPMQFQDPMSGYQGAPRAPHPWGSHSGPQGRGSYSNSPNFGFRHPNPSRGGSPMNYGPRGGPYSSYGRGREPNYFGSPGSRGRGGRGGVGFQNHPGRQGRSYFNKSMLDDPWLDLQPAVGNILIPRAEYDSNKSWLPESLRKKETPAQGQIKSTSGLSLAEYLDLSFNELPGFRASVGTVSTVMVHITTNFWA >Sspon.07G0036650-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7D:39966176:39968663:1 gene:Sspon.07G0036650-1D transcript:Sspon.07G0036650-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding FGRRKRRMQWAGLRLQAPAPTAAWTGPRPRTARWPQGRFTAARRALVASAAASDANSSSNSPGRDEEREEVVQREKKEKAVASLLMRSQKYAMLKQQLAVAAQFEDYKEAARLRDSLRSFEEEEPVLRLRRLMKKAIDEERFEDAAKYRDELTILAPHSLLKCSSDATTLEGDFEMKHIDKAGSSTFNVAIAPFSLSILGDDNDVLL >Sspon.07G0013680-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:65469140:65472172:1 gene:Sspon.07G0013680-3C transcript:Sspon.07G0013680-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRHSCCYKQKLRKGLWSPEEDEKLMNHITKHGHGCWSSVPKLAGLQRCGKSCRLRWINYLRPDLKRGAFSQDEEDLIIELHAVLGNRWSQIAAQLPGRTDNEIKNLWNSCIKKKLRQKGIDPNTHKPLTEADRSGVAPTISTERTSGSSDVNPSSAGALGNLSHLLSETAQSSMLMPVYDKNRTETPNLARPKVPPKELFLGQLTAGHEGPSTCRSSGQTLYFPFQQPLGYNSESGSGDGANMNSLWFNQSDFNCSTISTVMPPVSPSALSTSMGLNLPPDNPRHGGTGIGSTAVDSFYWDGTNPSSSSSTGSRGSNSMGFEPQSTSSILENSVFPWTDIGQEKDTRAHLVEELKWPDLLHGTFAETTTAMQNQSQTLYDDVIKAESQFSIEGICASWFQNQQPQQQLQAAPDMYDKDLQRMQLSFENI >Sspon.02G0030380-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:95005414:95007364:-1 gene:Sspon.02G0030380-3D transcript:Sspon.02G0030380-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLPSPPCSPCHHRAAAAPLPAPTAASARHRRGSLRLAAAGARSGPTAEPKPRPTPADRRRCECFDLHRQLVPYGEAYCWQKSIVERRKGLLDSGEDHSDTLIALQHPPVYTLGTRSKKEYLHFDMEDAPFEVHRIDRGGEVTYHGPGQLVMYPIMNLKYHKEDLDWYFRSLEEVIIRALKSAFSITATRVEGLTGVWVGNQKVAAIGIHGSRMIVYHGLALNVTTDLAPFKMIDPCGIKDRGVGSIKEILRKASGAREIDDASLMDIAYDSMIKEFAELFQLSLDISPDCSFQ >Sspon.04G0005020-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:10232276:10234926:-1 gene:Sspon.04G0005020-2P transcript:Sspon.04G0005020-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYNTRKDAGGGGGGGAPPFAVPCVDIKSFVASLAFLTLFVAFWQFQPYGSLLTAARTSASSPCTLLTATAATDVASPDATSGLPQTRTNRPTAAATSNAAPVRLAKAARLARPEDPNKRVLRPYGSAAALFVQMGAYRGGPRTFAIVGLASKPTHVFGTPYFKCEWLPNPSAGDPSPRPVRTKAYKMLPDWGYGRVYTVVVVNCTFPSNPNAGNAGGKLLVHAYYSTASRRYERFVALEEAPGSYDESRFSPPFQYDYLYCGSSLYGNLSASRMREWVHFVLHDAGGISPEVKAVLDPWVRAGRVTVQDIRAQAEYDGYYYNQFLVVNDCLHRYRHAANWTFFFDVDEYLYLPNGRKLNEVLDQLSGYSQFTIEQNPMSSKLCLQDPSRNYSREWGFEKLVFRNSITKVRRDRKYAIQARNAYSAGVHMSQNLYGRTTHKTENLIRYYHYHNSISVMGEPCREFVPMPVNGSKTMFEGVPYVYDDNMKRLADEIKRFENTLGSAQT >Sspon.05G0013440-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:46960573:46961699:-1 gene:Sspon.05G0013440-1A transcript:Sspon.05G0013440-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VYTLHPAIRKFLDLWIAVVGGVHSHLIARIQRDKNRAGFSISQTEIMTTVFPLFQQYIEPHLVHAHVGTRNIIVDLFFFTLHNCPIFCCYDASSKFVYLCIVISPLAWQLKIQNDFDPVLSPESSLFVLKSKKQVSYQDILKVLDASKVCSSVQNFTDVYLRLPGIPTNGQLTEGECIRVRICEGRFALLIREPIREGNFIVQPKVDFDISASTVAGLLKL >Sspon.05G0021290-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:89699033:89701096:1 gene:Sspon.05G0021290-1A transcript:Sspon.05G0021290-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DMDHPWCFLHLLHLLLIPLLLMLSAASWTTGASGDRYGEFIFDGFFGNDLTMDGEAIVSDGLLRLTGGQSLSEGHAFYTYPLNFTSDIVLNGSSAPSFSTTFVFAILPQFSDSMGGHGLAFVLSSTKELFTALPSQYLGLLNAWNNGNMSNHLLAVELDTVQSLEFQDIDSNHIGIDVDSLQSMTSHTAGYYTPDGEFNPLSLISTKPMQVWVDYDSKHTILNVTIAPYYSMSTKPSRPLLSVPCNLSSILPTTAVYAGFSSAIGSLNTRHYIIGWSFKLNGEAPALDYSALSLKTIRALAQQIGVRRHHGYKTIICIVLLPTVAISILASAVIVKVHMKRRLKARKIELDWEKEYGPPSFTYKDLFAATNGFKDHMLQGIASGLLYLHEECEQVIIHRDIKSSNVLLDNEMNARLGDFGLARSHDHGVDAHTTRVAGTWGYIAPELARLGKATKATDVFAFGVLTMEVACGRRPIWVNTDNGEPLALADWVLAAWQGGSITDTVDPRLDDYVEEEIELVLKLGLLCSHPSPNARPCMRLVMQYLQRDAHIPSDLEPNNLLNIGLVQDEMHDHHAMSCPATVITDLSK >Sspon.02G0043650-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:94199696:94209806:-1 gene:Sspon.02G0043650-1B transcript:Sspon.02G0043650-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLASLINKGREAYITGTTNPTALPAETVLKMATINGAKAVLWDNEIGSLEVGKKADLVVVNPFTWSMVPLHDSIANIVYCMRTENIESVMCNGRWIMKDHKIMNLNEEEVISSAVKRANDLLARAGINLPRRMNYVDLVLGSERRHGNGYKMAEGLAYGWIWAFCHGEEACRVERRCLVVQRCWSLTPCLLKLQTNGVGGDLSGCPLRVCNLH >Sspon.08G0014770-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:54863890:54866023:1 gene:Sspon.08G0014770-2B transcript:Sspon.08G0014770-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRKSAAKPPPKKRMDKLDTVFSCPFCNHGGSVECRIDMKNLIGEASCRICQENFSTTVNALTEPIDIYSEWIDECERVNTVEGDDDA >Sspon.04G0033810-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:68780941:68784085:-1 gene:Sspon.04G0033810-2D transcript:Sspon.04G0033810-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AGFAGDDPSGFGYDFGDDGDNDPFCYDPFEDYGGDGDEGEGLIGGPFALDYGDGGEYCISGFAFRDGDDDGGGVLVGDEQAPLSSHDEPILETLGRSFDSDGGLSQFYPHLVSALELVEDTSGEEEEEGAMISGNARGGGGSEFERGAVVEEVADDDVDGIGLMLGGLTLDPRPVVGGFQGLVDAVEEATSDDDMGEVGHVGGLLLSGFDLVGPRVVTRPFRMVVGGEDTDSDDADWNLVDALAGRVGEAARRLPASRAVVDGLPEVALSDEEASYGCAVCKDGVAAGQSVLRLPCKHYFHGECIRPWLAIRNTCPVCRFELPTGDADVVSLAQQSRTGVVSLAQQSAPAQVSFHPMDSGLVGNGNKE >Sspon.02G0041830-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2C:82419412:82419797:-1 gene:Sspon.02G0041830-2C transcript:Sspon.02G0041830-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VKMGEDSLKRMMSSLTSIPIPELPSSDVPVPIQDDIINYFTTTPADDALVDNSFMPMPDPLQLQLQAEEPTINGTLNTTERNQIATHCAGAMELIQETMGAMPTSSGSTLQESELLGPNETINMHMY >Sspon.04G0024310-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:21715962:21716156:1 gene:Sspon.04G0024310-2C transcript:Sspon.04G0024310-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGGGGGHYDGGGSGAGNANSLFGGGGFMPSQSTVVPESSGFSKVLPNPPFVLFPFVHLFFIPC >Sspon.06G0002150-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:3998157:4000721:1 gene:Sspon.06G0002150-4D transcript:Sspon.06G0002150-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSPELEGLRRIAPSRFVSFAFPNPFLGHASNPYGDGGGGDGDAWECVRVAVLDSPLPAPADPATAAMLVPSGRHRDWIFSTRAGHLHLLLSSTCFSRLVLVGPELSAPSPRVVSCVRRPDPDPAHARLLPLLLALCPMAAFRDNAVPDVPLLVFQDDLLGLAPIKFVSGPVVGEMVVEDVAIDCSPGPAELRRRMRFKRMPCLVQTQVRLCRSPAAASSPLLEALEGSGELLQPEVGGSLVQPYLQAMVAGLAVIAPSIEESIRSGVRPRCLCAGVGGGSLLMSVRVGLQFDVIGIEADGVVLDVARNHFGLVEDEFLHVHVDDAIQMIEGFARQGEPDMNFSAVMVDLDSSDAMCGVSAPPLEMTHGNVLISVRTILHRHGILILNVIPPPADRSFYKGMIDLLRQVFSELYEIDVGNGENLVLIATVSPIETTVTEGKSLVTKEECFLAMYTPHLIQTLDNWAEAMAYQYVATRTMV >Sspon.08G0018700-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:7562115:7574892:1 gene:Sspon.08G0018700-1B transcript:Sspon.08G0018700-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLYLGANKLEGAIPPWLGNLSSLVSIDLQKNGLVGQIAESLGNLELLTVFSLSVNKLSGSIPHSIGNLHSLNKLFLHENGFEGSLPHSMFNLSSLEILTINDNNLTGVFPPDMGNELFKLKTFIISYNKFHESEFEATNDADWSFMSSLSNCSNMRQLGLESNKLKGVLPNSIANFSIGMEFLSIEDNKITGTIPGGIGNLVNLEILSLSKLKKLNLLSLSNNILSGPIPETLGNLRQLTKLFLSGNHISGPIPSSLKNCPLEVLDLSHNNLFGSIPKELFFISTLSIYMNLSHNSLLGTLPSEVGNLKNLNEIDFSNNMISSEVPDSISDCQSLVYLSLSRNIIQGKIPVSLGTLRGLFRLDLSYNNLSGTIPETLATLTGISSLNLMFNKLQGRVPTNGVFQNATVVFVTGNDGLCGGIPQLKLPPCSNHSTKKSHKKIAMILSICSGCIFVTLVFVVSSLHQKSQETKVFMLMVSEHGLGNEVSTHGDVYSFGILLLEMFTTKRPTANEFEEAIGLRNYVQMAVPDKVSIIVDQQLLTEIQDDEPSTSNSSSIRGVRIACITSILQVGICCSDETPTDRPPIGDALKELQAIRDKFQKHLCHKGGRHQLAEV >Sspon.05G0006170-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:27174156:27176066:-1 gene:Sspon.05G0006170-3C transcript:Sspon.05G0006170-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQAAIIADRFDRVESDAYDPIDPNGNITINWDFQVMVSIHNYQMYRHIEHPGWRLSWNWTGKEVIWNTVGSETTEQGDCSRVGAANARPHCCQRRPVMVDLPPGTPYNRQVANCCRGGVLSSLVQNNLTSTAAFQMVVGEFTLAKDDGSGNMEPEKPWHFDIGVPGVDQPGTPALPASSDDEPAAPIVWCSEHMCPIRVHWHVKVNYRQYWRVKVTVSNYNLVKNYSDWNLVLQHPNLRSLTQLFSFNYKPLVEYGSFNDTGMFWGLRFYNEMLLQDGNVQTEMILEKESDFTYSGGWAFPRRVYFNGHECVMPPADQYPRLPNGASALRGR >Sspon.03G0014470-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:67978547:67983679:1 gene:Sspon.03G0014470-2B transcript:Sspon.03G0014470-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSIADRINSYQTQPTKPLSEAEIRQLCVEAKQVLLSQPNLLRIHAPVKICGDIHGQFVDLLRLFDLGGYPPTSTYIFLGDYVDRGKQSLETICLLLAYKLKYPDNIYLLRGNHEDAKINRVYGFYDECKRRFNVRLWKIFCDCFNCLPMAALIDDKVLCMHGGLSPELNSLDQIKDIERPTEIPDYGLLCDLLWSDPSHDTEGWGESDRGVSCTFGADKLVEFLEKNDLDLVCRAHQVVEDGYEFFAERRLVTIFSAPNYCGEFDNAGALLSIDESLMCSFQILKPNETGAPHSRKPLPSKAPKGENV >Sspon.04G0002830-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:4691707:4692917:-1 gene:Sspon.04G0002830-2B transcript:Sspon.04G0002830-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MERVALLRTSGRRLLHRCRRGRPVVPTAAASSLARRTSSFSLPARGYSALPRGGARFLAAAAPLHCSGRYWPAAAPQLARRLSAPAVSTSPSPVPYDTDDVHEYAAKLGFEKVSEQTIDECKSTAVLYKHKKTGAEVMSVSNDDENKVFGIVFRTPPKNSTGIPHILEHSVLCGSRKYPLKEPFVELLKGSLHTFLNAF >Sspon.03G0011850-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:32211179:32212973:1 gene:Sspon.03G0011850-1A transcript:Sspon.03G0011850-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AMATYDKAIESYKKAVTTAASLAASAMLVRGVVNELVPYEVREFLFSGLGYLRSRMSSQHTVVIEETEGWASNQLYDAARTYLATRINTDMQRLRVSRVAEGKSLMFSMEEGEEMADVHDGAEFRWRLVCRDGAGAGSGSGGGHARGGGGGGGNYRVEVRSFEMSFHRRHKEKAIASYLPHILAEAKKIKEQDRTLKIYMNEGESWFAIDLHHPSTFTTLAMDREMKRSVMDDLERFVRRKEYYKRIGKAWKRGYLLYGPPGTGKSSLIAAMANYLKFDVYDLELTEVNWNSTLRRLLIGMTNRSILVIEDIDCSVDLQQRAEEGQDGGTKSSPPSEDQVTLSGLLNFVDGLWSTSGEERIIIFTTNYRERLDPALLRPGRMDMHIHMGYCCPESFRILASNYHCITEHHTYPEIEALIKEVMVTPAEVAEVLMRNDDTDIALEGLIRFLKGKKGDAKDGQGENVEHVTKEDEKEMMPTKKDDPPVDQNLHDAGKQ >Sspon.01G0038330-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:29737379:29740531:-1 gene:Sspon.01G0038330-1B transcript:Sspon.01G0038330-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIMGWPTKVSHIRRKQTGPGSAHGAPPRSRGCSAFDRRVLAGDTPKGLVGRLGFQSELNTGHGGTAAPDDTTLPALIGLDRVQQMSKQLTTTCSSRRTKRRRGGDGCRRRRWMRRRELAVEAMFRRVLCTKRETAEPMSIRTSSKARTREGRERERDAPQRAVHGEGAHGGETDGSGEALGTTLGSNDAHGRGAPGCESNWTEGEASAASPDSPAPARACTRKQLRVHTRGSGAKGGGRRQAAEWHGDWGSGRLGKESRGAALTRSSLPGRDRLRAAAKWAVCWASSLTACASFLRVPVRMSLVAVG >Sspon.05G0017710-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:75608328:75614840:1 gene:Sspon.05G0017710-2B transcript:Sspon.05G0017710-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD kinase 2 [Source:Projected from Arabidopsis thaliana (AT1G21640) UniProtKB/TrEMBL;Acc:F4HY34] ALSSCGCHRGARRDNIRHDSDAAALPRRDLVAAPAQSSGLSWPRPLLPAAGAALGLMLGVCAPHGPVKLPAASVGGVRVAWVAGRWWWRPAAARHGVSARASSYGSRIGLDSQNFHTRDLSQLLWVGPVPGDIAEIEAYCRIFRAAEQLHTAVMSALCDPETGECPVRYDVESEDLPVLEDKVAAVLGCMLALLNRGRNEVLSGRSGVASAFQGSEDSTSDRIPPLALFRGDMKRCCESMQVALASYLAPSEPRGLDVWRKMQRLKNACYDAGFPRPDGYPCPTLFANWFPVYLSIVSDDSGTDELEVAFWRGGQVSEEGLAWLLEKGFKTIVDLGKKMLKMIFQEAVSSGKIEVVNMPVEIGTAPSAEQVQQFAALVSDGTKKPIYLHSKEGVSRTSAMVSRWKQYVTRSERLAVQNHSLNGNGKVLTSYKAMQHMGSPSSSTNGTENGTIAESDRTMNNGESCEIDIETARHNLEVTNALSNDQSTQQGQMPGTGAELLSNFKLESNPLKAQFPTCDMTRFFRSKKVYPKSVLNSRRRSSSLLVSRRKQNLSAERNGTMDYEAAEFAVLESSNGKSFDNDYILSVASGITNGKPSNNGASSLIEEKETEVLVATVDTRASASSSNGKVQVGSQKSAEKNGAPYLERNKSDNVDGNMCASSTGVVRLQSRRKAEMFLVRTDGFSCTREKVTESSLAFTHPSTQQQMLMWKSPPKTVLLLKKLGDELMEEAKEVASFLHHQEKMNVLVEPDVHDTFARIPGYGFVQTFYTQDTSDLHERVDFVTCLGGDGLILHASNLFRTSVPPVVSFNLGSLGFLTSHNFKGFRQDLRDLIHGNNTLGVYITLRMRLRCEIFRKGKAMPGKVFDVLNEVVVDRGSNPYLSKIECYEHNHLITKVQADGVIVATPTGSTAYSTAAGGSMVHPNVPCMLFTPICPHSLSFRPVILPDSARLELKIPDEARSNAWVSFDGKRRQQLSRGDSVRISMSQHPLPTVNKSDQTCDWFRSLISSLIHLFDLELTWR >Sspon.07G0033710-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:62929148:62931374:1 gene:Sspon.07G0033710-1C transcript:Sspon.07G0033710-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTKDAPHPSPTIRAAARYYIYLRCLAPVLLKKQEVDAFQPSKMLTTSSLDDNYSAVPGPWKFQLVHR >Sspon.05G0011840-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:29342014:29345443:-1 gene:Sspon.05G0011840-2B transcript:Sspon.05G0011840-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cell-wall invertase, Carbon partitioning during early grain fillin [Source: Projected from Oryza sativa (Os04g0413500)] MRALGGRLAWAWLAAVLLLLVVQLAGASHVVYEEEKLEVMEAAEVPPSIVHPLLRTGYHFQPPKNWINAPFYYKGWYHFFYQYNPKGAVWGNIVWAHSVSRDLINWVALEPAIEPSIPSDKYGCWSGSATTMPDGTPVIMYTGIDRPNTNYQVQNVAYPRNKSDPLLREWAKPSYNPIIVPEGGINATQFRDPTTAWRAAADGDSHWRLLIGSVTSTGATAARGVAYVYRSRDFRRWTRVRRPLHSAPTGMWECPDFYPVSTDGRRVGLETSVSSGPRVKHVLKNSLDLRRYDYYTVGTYDRKAEQYVPDDPAGDEHRLRYDYGNFYASKTFYDPAKRRRILWGWANESDTAADDVAKGWAGIQAIPRTVWLDPSGKQLLQWPVEEVEALRGKSVTLKDRVIRPGQHVEVTGIQTAQPLDPALAYDAERLCGVKRADVKGGVGPFGLWVLASANLKERTAVFFRVFKAAGSNKPVVLMCTDPTKSSLNPDLYRPTFAGFVDTDITNGKISLRSLIDRSVVESFGAGGKTCILSRVYPSLAIGKNARLYAFNNGKADVRVSRLAAWEMKKPLMNGA >Sspon.03G0001340-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:15046784:15049396:1 gene:Sspon.03G0001340-3C transcript:Sspon.03G0001340-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFYGSNFSDFSLSSAASPTTAGWNWTGTGQRSGTAGITSMASAATLPKLQLRPRCNSYLQVTDQVHAHIKTCGACKFQTHIHGLLVSAGGRRWRHTFLPVSAVGTGRGSSVTEADRKSDLSLENVKTSVVSRDDEKINVRVQLPGKATQKVFDEALTFLARDAPPVPGFRKSKGGKTSNIPSSILLQMLGKSRVTKFVLQEILSITIEEFIKKENLKVKPEIKTTQSEGEMELAFAPGSAFGFNVILQLEKSDSDEEDSEEKPDSDEDSKEKPDSDDDLKEQKSGSSE >Sspon.07G0021770-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:5039297:5040294:-1 gene:Sspon.07G0021770-3D transcript:Sspon.07G0021770-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVKNSNVGAPARVGLVAPVDVVVPPGNTGLDPSQTSFFQVLNIPTKINKGTVEIITPVELIKKGDKVGSSESALLAKLGIRPFSYGLQITSVYEDGSVFSPEVLDLTEEDLVEKFAAGVSMVASLSLALSYPTLAAVPHMFINGYKNVLAVAVETDYSYPHADKIKEYLKDPSKFAVAAPVAAADSGASAAPKEEEKAPEPAEESDEELGFSLFDD >Sspon.02G0017310-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:49682103:49687343:-1 gene:Sspon.02G0017310-1A transcript:Sspon.02G0017310-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAFSAALLLHVHFQLLLLLFSPSAAQPGFISLDCGGARDHTDAIGIQWTSDATFVSGGGQTAQLLVQNGQQQAQQQLTTVRYFPADNRKYCYTMNVRNRTRYLVRATFLYGNFDNSNVYPKFDISIGASPWSTIVVDDATTPVVEEAIILAAAPTLSVCLSNASTGQPFISTLELRQFNGSLYYTTDETRFFLGLSARINFGEASNDSVRYPDDPFDRIWESDSARRANYLVDVAPGTERISTTKPIFVGTNEEPPEKVMQTAVVGQDGSLNYRLDLEGFPANAWGVSYFAEIEDLAPNETRKFKLEVPGMPALSKPTVDVEENAQGKYRLYEPGYTNLTLPFVFSFGFRKTNDSSKGPILNALEIYKYVQITMGSQDANIMASMVSRYPQEGWAQEGGDPCLPASWSWVQCSSEASPRVFSITLSGKNITGSIPVELTKLSGLVELRLDGNSFSGQIPDFSECRNLQYIHLENNQLTGELPSSLGDLPNLKELYVQNNKLSGQVPKALFKRSIILNFSGNSGLHIVSNGISHTIIVICVVIGAIILLGVAIGCYFITCRRKKKSHEDTVVIAAPAKKLGSYFSEVATESAHRFSLSEIEDATDKFERRIGSGGFGIVYYGKLADGREIAVKLLTNDSYQGIREFLNEVTLLSRIHHRHLVTFLGYSQQDGKNILVYEFMHNGTLKEHLRGADNVKITSWLKRLEIAEDSAKGIEYLHTGCSPTIIHRDLKSSNILLDKNMRAKVADFGLSKPAVDGSHVSSIVRGTVGYLDPEYYISQQLTEKSDIYSFGVILLELISGHEPISNDNFGLNCRNIVAWARSHIESGNIHAIVDESLDRGYDLQSVWKIAEVAIMCVKPKGAQRPPISEVLKEIQDAIAIERGPQEMQRSTIQQQLLVSSANNNSGSVAVDLEHNGASFDELLMRPGLR >Sspon.02G0029380-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:106869048:106885372:-1 gene:Sspon.02G0029380-1A transcript:Sspon.02G0029380-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAYRFRNQNSQVATLWNEKTIDLRTTALNLFQLIVSLPDGAGTHKMLHPSWVGVSEYVSEYWSVIIATVVFAFVGAVTIYYTVNQLNKNISLSLIKAIKARAKRYKKWKDKVPAASHIWRKEVIPAYSGGTIHQCDICGTAAHPSCSGNAHKDCKCVSMAGLDRVLHQWAVQWIDSADHSEEDSFCCYCDESCNGAFLAGSPVWYCMWCQRLVHVDCHSSLAKETGDICDLGPLKRLILSPLCVKELHWTGAGILSSITNGANELASTVRERIRIRSKRYKRGSASADSDSSGAIELPSDVEGDSQEVNSAAKRTDDQANGELNEVHQSSESEKDKQRVPENTATTNKSNVQRENSHVQNNQKYEIINVPSDSRPLLVFINKRSGAQSGDSLRQRLQILLNPVQVFELSKQQGPDVGLALFRKVTHFRVLVCGGDGTAGWVLDAIEKQKFETPPPVAILPAGTGNDLARVLCWGGGLGVIEKRGGLFSVLQDVEHAAVTVLDRWKITIKDNQGKLMASPKFMNNYFGVGCDAKVALDIHNLREENPERFYSQFMNKVLYAREGAKNIMDNTFDCFPWDVKLEIDGSKIDIPQARLRRSYMGGVDLWKNEDDVSDTYLPQSMHDKKLEVVSFTGMLHLGRLQVGLSRAKRLAQGHHIKVEISTAMPIQVDGEPWSQEPGTIEAFMLKRVSEEPLGHAASVMADILENAENSGIISASQKRTLLQEIASRLLVLVCGGDGTAGWVLDAIEKQKFETPPPVAILPAGTGNDLARVLCWGGGLGVIEKRGGLFSVLQDVEHAAVTVLDRWKITIKDNQGKLMASPKFMNNYFGVGCDAKVALDIHNLREENPERFYSQFMNKVLYAREGAKNIMDNTFDCFPWDVKLEIDGSKIDIPQASILVANIRSYMGGVDLWKSEDDVSDTYLPQSMHDKKLEVVSFTGMLHLGRLQVGLSRAKRLAQGHHIKVEISTTMPIQVDGEPWSQEPGTIEAFMLKRVSEEPLGHAASVMADILENAENSGIISASQKRTLLQEIASRL >Sspon.03G0023300-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:71582671:71583284:-1 gene:Sspon.03G0023300-1A transcript:Sspon.03G0023300-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MESQDLRRACSEIASRLEKLVIIGGGGSSNPRPDVVASSAATAAAKAVAAGSDQQVETVRCACCGVGEDCTAAYIRDVRASFCGDWLCGLCAEAVKERVRRQEQDPADGGGGGVAAALGAHEAECRDFNATTRLNPTLSLAGSMRRIARRSLDQRTASCQERRSSLDASAARAAALTRSASCKPATRTAAGDRWR >Sspon.05G0030230-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:88008249:88014258:1 gene:Sspon.05G0030230-1B transcript:Sspon.05G0030230-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGEVESGAAAPFLETKPQVYFDGCPGCVMDRRKAENPGIPYRLFFHVWIIIFVSCASYMLGRALTSTAWGMAYVVEVCRVEHQAIGLSLVSTAWAIALIIGPAIGGYLAQNNIV >Sspon.05G0015120-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5A:58191151:58193435:1 gene:Sspon.05G0015120-1A transcript:Sspon.05G0015120-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIRPVCLLLLMLLLLGASAGEPRCKETPDGSQKWGYVVPRHGARSAHPPPPPPPRQPSHGQRSYGSRAARRWKLMNSRADECVIPMPILHGGNEMELQDSPVGVGFSYTDHPRALAKTDAQVASDMFGVIKLLLKELASLPSSPLYLVGESYGGKTAAMVGVLLARAIQDRTIDITLGEPRLARGEPAEDVDVDTMYGRGERVIGDMVEIPKPRRAIRSCWWRAARGGDQRRWDVQAPDHVSVYGGAQHGVCRRPKKTACVAEKKDCDVRAEMGHEEVAASDREAVVAAMAMKTMAVAESLVTASQD >Sspon.01G0040510-3D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:36797475:36798411:-1 gene:Sspon.01G0040510-3D transcript:Sspon.01G0040510-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein SPIRAL1 [Source:Projected from Arabidopsis thaliana (AT2G03680) UniProtKB/Swiss-Prot;Acc:Q9SJW3] MSRGGSAGGGQSSLGYLFGSGEPPKPVVAPPAATAPPAEKPPAAKPDVNKQIAAGVTSQTNNYHRADGQNTGNFLTDRPSTKVHAAPGGGSSLGYLFGGN >Sspon.05G0010120-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:31370110:31373281:-1 gene:Sspon.05G0010120-2P transcript:Sspon.05G0010120-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGFAVAAGPSVDYGGRVTFSVVVTCLMAASGGLIFGYDIGISGGVTAMESFLSRFFPGVLRRMAAARRDEYCVYDSHVLTAFTSSLYLAGLAASLVASRVTRAIGRQAVMLAGGALFFAGAAVNAAAVNVAMLIVGRMLLGFGIGFTNQAAPVYLAETAPPKWRGAFTTGFQLFLSIGNLAANLVNYGTSRIPTWGWRLSLGLAAAPAAVIVAGALLIPDTPSSLLLRGRPVEEARAALRRVRGAKADVDAELEDVARAVDAARAHEEGAFRRILRREHRHHLAMAVAVPLFQQLTGVIVIAFFSPRRRAHGRRHPRAVNLGSTLLSTVTVDRYGRRPLLLTGGFVMIICQVAVAWIMGSQIGADGGNAMARPYSLAVLALTCVFSAAFGWSWGPLTWVIPGEIFPVEIRSAGQGISVAVNLGATFLLTQTFLSMLCALKYATFIYYAAWVAVMTAFVVAFLPETKGVPLEAMGAIWERHWYWRRFVQPPPAKTTAEDP >Sspon.08G0011830-1P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8A:50988253:50988630:-1 gene:Sspon.08G0011830-1P transcript:Sspon.08G0011830-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNRLMVDRPNQEPPDPSNRAVTRNSRTRGAGLKLDRIGPRPPLLVDAADQQDASHATPPPKRLSPPQLTRWRRPTPSPRAPPSPSPLRPCPTRPPSPPLRRRLQTRSLPPRPSSSTTSPAWLPT >Sspon.01G0031000-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:106676447:106696106:1 gene:Sspon.01G0031000-1A transcript:Sspon.01G0031000-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSSGASPAAGDTALDDGDDDDGSLSVQRSSIAGLSFKANAVVARCSRILGVSINDLRNNFDKQASDSIKQPRSYARNFLEYCCFMALAQISQVTGYLADKSFRRLSFDMMLAWEVPSSSSQLTVKVEVDSTVSLEAFTRIAPAIPTIADIVTCANLFDVLSCSTGGRLSFSVYEKYLSELDRAVKKMKTQSESSLLSNFRSQRGERILEVDGTLTTQPVLEHVGISTWPGRLILTDHALYLEALRVVTYDKPKVYELAEDLKQVVKPELTGPWGSRLFDKAVMYKSTTLAVKKMKTQSESSLLSNFRSQRGERILEVDGTLTTQPVLEHVGISTWPGRLILTDHALYLEALRVVTYDKPKVYELAEDLKQVVKPELTGPWGSRLFDKAVMYKSTTLTEPVIIEFPELAGHSRRDYWLAIISEVLYAHRFIRKFDKSGVDKEETILKAVLGILRLQAIEELHFEVPNRHESLLMFNLCDKLPGGDVILETLASSISSRTSDRTNQPGTSRGMHAVLSNLGVVSPVNSGERLFVGEIVVGEISALQKAVTDSMNNYKKVELAQASVDGVKVDGLDTNLAVMKELLSPVSELWRVLLLLTSWEEPMKSMVFCFLFSYIIIRGWIVYFIVTVLLFSATFMFLTRLTNQGKQMSEVKVVSPPPLNTMEQLLAVQNAISKIEELVQDANIVLLKIRALLLAFPSQATDRAILALVLMALSLAIVPTRVLLLLVFLEVSTNHSPLRRASTERCTRRLREWWFSIPAAPVVVEKEKEDKKTR >Sspon.05G0004870-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:15204378:15205856:-1 gene:Sspon.05G0004870-1P transcript:Sspon.05G0004870-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLSRALHCSSLLLTALLFIFPSSAAVPPALFIFGDSLVDAGNNDYLVTLSKANAPPYGVDFAFSGGKPTGRFTNGMTIADIMGNVKCTTLSGESLGQKSLAPPFLAPNSSAAVTNSGINYGSGSSGIFDDTGSFYIGRIPLGHQISYFEKTRSQILETMDQEAATDFFKKALFIIAAGSNDILEYVSPSVPFFGREKPDPSYFQDALVSNLTFYLKRLNGLGARKFVVSDVGPLGCIPYVRALEFMPAGECSASANQVTEGYNKKLKRMVEKMNQEMGPESKFVYTDTYKIVMEIIQNHRQYGFDDALDPCCGGSFPPFLCIGVTNSSSSLCSDRSKYVFWDAFHPTEAANLIVAGKLLDGDAGAAWPINVRELSQYEHK >Sspon.04G0026970-3D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4D:57757572:57758844:-1 gene:Sspon.04G0026970-3D transcript:Sspon.04G0026970-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRADSSSNVCIEDSYISTGDDLVAIKSGWDEYGIAYGRPSSGITIRRVRGSSPFSGIAIGSEASGGVRDVLVEDCSIFDSGYGIHIKTNVGRGGYIRNVTVDNVRMSGVRSGVRIAGDVGDHPDAHFSQLAVPLVDAVRIRNVWGVNVQHPGSLEGIRSSPFTRICLSNVKLFGWRSDAAWRCRDVRGAALGVQPSPCAELFTSFASAGSSCS >Sspon.01G0048520-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:101508585:101513279:1 gene:Sspon.01G0048520-2D transcript:Sspon.01G0048520-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSATGESGGDPAAARRRWDLTNKGPESTTMLKEAVEMSTDEESDGVVICHPNGNTDGCDEAISGSRDDDSPEGQETSSIKDPDVEGDTQEDKCVNQDSLKLIDQEKSAPPKSPAKSATASSGSERPKRVIPQPFSLSTQRRSSGVNGGVTNPSANKDKSGDKSSISPASMTKKSTTMAPRKTLQPEQAFHPLDEDSCSVTSSTTTSTRAGRTKTTVPVAPSFVCANRADKRKEFYTKLEEKHKALEAEKDEAEARKKEEQDVALKQLRKSLVIKAKPMPSFYQEGPPPKAELKKVPPTRAKSPKFTSSRRKSCSDTPQTPEGKTTNATSTRSHRHSIGSSKDANRVQCSPKSGVATKTRSVKPELKAL >Sspon.08G0015800-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:60050776:60057075:1 gene:Sspon.08G0015800-3D transcript:Sspon.08G0015800-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPRTQSKCVCARARARVMRRDERMEIEEAGGGGEMEEMEAPAVSTVAVAVSGGRSSRHALKWALDKFVPEGRVLFRILHVRPAITMVPTPMGNFIPISQVREDVASAYRKEAEWRASNMLVPFQKMCAQRKVEAEAVLLESDDVASAISEEIGKFNICKFFIKEYISKRDQALAIINKLSNRRASYSSSVVSEISYNDEPALSRSHSIISEMQFSSGSSGNSVYKSFHRDNLPDNSDQASVSEISENVNHLSDQDDLRLQIERLRVKLQHLHKLRERAQHESLDTTQKLHKLGTRQIEEEIKLKEIQLTEDTIRRLVRSQEMEEREAAREAEFNRRSDEMEAKHSYDIEEANENEMGKKIAGRIYKAKFHHTVAAVKVLNSPEGCGTQQLQQELEVLGKIRHPHLLMMLGACPEHGCLVYEYMENGSLDDMQEEYIAIGLDSLGGAAALMFLHSSKPEPIIHRDLKPANILLDSNLIGDVGLSTLLPSMGQYLSTMIKNTAPVGTFCYIDPEYQRTGVLSMKSDVYALGIVLLQLLTARSPMGLAHLVETALEDGCFVDILDATAGQWPLSETQELAVLALRCSEMRRKDRPDLNDHVLPTLERLKDVAAKAREDAFQGQTAPPSHFICPILQEVMVDPYVASDGYTYDRKAIELWLSTNETSPMTNLRLPNKSLIPNHSLRSAIMDWRSKSK >Sspon.05G0025210-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3C:18653287:18654588:1 gene:Sspon.05G0025210-2C transcript:Sspon.05G0025210-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LQLSSVKAGGMMSFAIDSLGGLWIWGSCPQQTDAGEFCIASSSIPLPVWDFHGHTVVKVACGNEHVVAAVSVGETYTEGDLVCYAWGNNNHGQLGLGDKESRSRPVLISAFSEGSSWEVYEIACGASHTAVLANKKSSDQIESRCWTFGLGDKGQLGHGTTATICSPQPVDGLPTGSFLISLDCGLFHTTVVSSDGEVWCWGMERGLGLCPDASFSGVDEGDALYPIRVQSPETNGFKFLGPVQIACGAAHTVLVAGDGYRMWAWGRGRSGVLGRGQTADSYIPCVVMWPPLDENFQEIHEDQEGGSTSRVNDRTSTKLNQKLSAASEELQFLRSKLTLMERYANILHISIFRKPLDERALPRLLQESSVFDIRKEFENILDAADTDELNRLEIFYRSMLSGVKNKLLKRRVQEMVQQCIISLSAGRQNPQDK >Sspon.02G0011250-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:24367643:24370327:1 gene:Sspon.02G0011250-4D transcript:Sspon.02G0011250-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAC domain containing protein 38 [Source:Projected from Arabidopsis thaliana (AT2G24430) UniProtKB/TrEMBL;Acc:Q9ZQ25] MVNFQASTLPIWEEFSNCQAFGAKKAKLGEKEWYFFSLRDRKYPTGVRTNRATNAGYWKTTGKDKEIYTGQLPATPELVGMKKTLDEWVVCRVFAKSAGAKKYPSNNAHSRSHHHHHPYALDMVPPLLPTLLQHDPFARHYHHHPHPYMTPADLAELARFARGTPGLHPHIQPHPGTSAAAYMNPAAAAVAAPPSFTLSGSGLNLNLGASPAMPSPPPPPQALHAMSMAMGGQTGNHHQVMAGEHQQQQMATAAGLGGCVIVPGADGAFGADAAGGRYQSLDVEQLVERYWPVGYQV >Sspon.02G0010870-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:33644953:33648064:1 gene:Sspon.02G0010870-3C transcript:Sspon.02G0010870-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMIGWGDVYKVVAAMAPLYFALGLGYGSVRWWKLFTPDQCDAINRLVTYFAVPFFAFDFAARIDPFALSYRVLAADALSKLAVALALGAWAAAARRGGGGGKGKDRALSWCITGFSLATLNNTLVVGVPLLDAMYGKWARDLIVQLSVVQFIVYFPALLLAFEAEGAAGGAGKLAVAEEAAGDVDESGGGVGGETAVQSSFWPLVRAVWTKVARNPNIYAGILGVSWACVTNRWHIETPSIIQGSVLVMSKTGVGLAMFSMGLFMALQEKIIVCGAGPTVLGMALRFVAGPAATASGAVALGLRGDVLRLAVMQAALPQSITTFVFAREYGLHADVLSTAVIFGTLASLPVLIVYYIVLGLIRQVRVEEEPRAPFLYLNPV >Sspon.01G0048860-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:100695828:100699998:-1 gene:Sspon.01G0048860-2D transcript:Sspon.01G0048860-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SYVGRREFSLTLENDIYLRFQSFDNATELESSIKDKCPFKIDIGPVYSVDPSKRHAYAQSGNSVFVPVERELIFDIMILVSITYSGYTVAVVVSIAGRSYTDVLRSFFNDRLLLNQQLFASEERCQNILDLIPDENVASELYDKWQGNRRSSLSKEDVNATRWDQLKTTLQSGKHKAQGLRRCVEEIVFSYTYPRLDMEVVFVFQLIPIIVMILILQPFQLYHRLGKNVPREIHHFLQNILSGTIAESMQGGTGKCIQCKTPTIQEFSELVFKSHSGEKTGPM >Sspon.07G0035120-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7D:946102:948185:1 gene:Sspon.07G0035120-1D transcript:Sspon.07G0035120-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding QVVDWLKEDGTLGATELQMRLKD >Sspon.06G0026200-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:77548094:77555021:1 gene:Sspon.06G0026200-1B transcript:Sspon.06G0026200-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSGEGSHSVPTGDAGGSPSDRGGAAPSASALVCPPPSKSTVARRLDGLDIQGDEDTPSSQPATSKKKKRGARAGGADKNGRGLRQFSMRVCEKVESKGRTTYNEQQYDEKNIRRRVYDALNVLMAMEIISKDKKEIQWRGLPKTSTNDIEELKTEVIGLKGRIDKKKEYLQDLEDQYVCLQNLDRRNKQLYGSGDAPSGTLALPFILLKTSRHANVQVEMSEDEQTMHIDVDSPSELWDETFVMKAMGLCGKEETDCTQASVANGGECSSTPDNHWHQITAASRDKRSSCHGGFQSKREHSQLESQTTTVKNPGDVPISALSKKLPGLTGSSGANKTAKHRCIHYHWTK >Sspon.06G0007000-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:23141581:23147760:1 gene:Sspon.06G0007000-1T transcript:Sspon.06G0007000-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAATAATAEIVTAVTATTSEMEATATAITTAAAAGPPPAIPEKYMHKNRLQSFSDRMYKKPPIYKVESEGEPHQPKFRCTVEVGGQQFSSAGSFDRKKEAEQDAAKVAYEILAAVGEDDIKEAFGLIDQDAVFCKSILNEFAVKTKTTWPSYSLDSLTKPLTLFAATVVFDGNRYTGESARNKKDAEQNAARAVIKSILAMHNTCMVGIVRSKKQLITAVRSSGSTPATFTPIQFTRPVSYAAYGGPDHVAPVLQNASSSLAVQGGINAVPAVGASANPSSANVSRSKSKKRKARV >Sspon.07G0007660-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:16097815:16102027:-1 gene:Sspon.07G0007660-3C transcript:Sspon.07G0007660-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYFFLQGTSQMFGHGSISFGRFDLESLEWEKWSVFTNDKRHEEFGKFNGLVAKKKAYFEEYFKRIRELKALQQQNQQTELHLEYNGDGSDSSQTGEYESATAHGAPTESETLVDDSTKQTKAATTIEHGMECYGYHENGSLVNEISASTHSSPVGDLEQIGKQMRGSVSGKTDILVQDANSSQYDPMVPETMITPNKRTIEKDSRVGQASKIIPKTVKMISSNVSDHTNVSKGPCSGKPSVINQVAKPENILSLRRPREATSDLVGTAVRSGITGLRRPSSAALQRPSTRERRPVTKDASRKHAEVTTPCRPSTSERRTAIRDSALKHGNNAIPCRPSTAHRRPIAKESATKQCNIATPRRPSTADRRPVTQDSTPKLSNIAAPHRPSTAHRRPISKESAPKHFNVASPHRSSTGQRHTSARDMASKHIGIATSCLPSVVKQCPITGEDAHKHADVVTLSRSSTADRRPIVRDVAPKHATLSLPRRPSTAERRPIARDVAPKNGLPHRPSTAERRPIARNVELKHAPAQRPSTAERRPVTRETVLKQTNVANSRLPLTPDRCLTKKSVISTPERPSTGGRCPITNGTTVWSRGIPNYLKGAMVTEVTPQKAITPRVIRSSKLENLSYAKETVELQVDRKQKSSPFNLPSRKMLTSNVRDDQGLEKFKKPNKEEGVQAHAYKSNNATPSQTGNVKTKAPVPPPPPLPPGRPYCTEKKPNVNSSPVGGRKPKASAPRWH >Sspon.03G0032850-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:41790354:41793280:1 gene:Sspon.03G0032850-1B transcript:Sspon.03G0032850-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDHSSEIQISLKLVQGSDGFCVYDNVGGLAFLVDNYSRGGPDSFCVYDNAGGLVFLVDNYSRWGKLRAGELLLMKGQGTLLLSFRPQAIIRLRTRIPHMGVHEIVRQDNQGASIVNADHKYQLTGLGRDANISSRSD >Sspon.08G0006430-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:19747052:19757230:1 gene:Sspon.08G0006430-3C transcript:Sspon.08G0006430-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SHS1 [Source:Projected from Arabidopsis thaliana (AT4G32400) UniProtKB/TrEMBL;Acc:A0A178UW29] MAATMVAMTARSKNSVLSVEKKQGWSIQLPELRFPWDSHEDMGFSLSLQGSGPAHGGLFASVGLKVSTGAPAVAPGPGDKDIKIPFADHCMKYVSEAVGYKVISTKAEPVEEEVVDAKAKKAAKKRGLKLKIKIGNPHLRRLVSGAFAGAVSRTCVAPLETIRTHLMVGSNGDSMIEVFQSIMNTEGWTGLFRGNLVNVIRVAPSKAIELFAFDTAKKFLTPKADEPPKTFLPPSLVAGALAGVSSTLCMYPLELIKTRLTIEKDVYDNFLHAFVKILREEGPSELYRGLTPSLIGVVPYAATNYYAYDTLKKLYRKTFKQEEISNIATLLIGSAAGAISSTATFPLEVARKQMQVGAVGGRQIYKNVFHALYCIMEKEGIGGLYKGLGPSCIKLMPAAGISFMCYEACKKILVEDNEDSE >Sspon.02G0012210-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:30788622:30790480:1 gene:Sspon.02G0012210-2B transcript:Sspon.02G0012210-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRSALRRGGAAARQVSLAGEASPRDFLRMRVAERERARRRRRDPGRDEFFVPTPESLAWLDSVSLPMVLTAAAVALFTKLLMMEHEATDQERRERKIKNSHPDQGKVRALTREEWEEVQEVRPRTPFESKLARPHAHIRTGEPVRLEDVKDWATDVIADSFTRAEETTKRK >Sspon.01G0016680-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:57477700:57479335:-1 gene:Sspon.01G0016680-1A transcript:Sspon.01G0016680-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding PVAFPTRQPLHLIALLFHLHVSSASIARCPGTVPVASKRRDGARGRRRRPEPRPVRGRRRREPQGRPCKVGWGTDEKALIDVLGRRTAAQRAEIRRAYAGLYRESLLDRLRSELSGDFRNAVVLWTMDPAERDARLVNRALGGDRRVMGVGDHHACWALVEVACASAPDHLIAVRRAYRSLFGCSLEEDVAACPALQDPLRKLLVSLVRSYRCGTERVDEDVARLEAAQLAEAIRKRRQPHGDEVARIVSTRSKHQLRATFKLYKQDHGTDVDEDITKHSSSQFAKILRSAVWCLTSPEKHFAEAIRYSVLGFGTDEDTLTRAIISGSEIGMNKIKEEYKVRFKTTVTSDVVGDTSGYYKDFLLTLVGSED >Sspon.08G0017270-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8B:1003734:1006554:-1 gene:Sspon.08G0017270-1B transcript:Sspon.08G0017270-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding CVVAPHPPSEPPLRPPPSPFGLRQWRPAADPQQRSPSTPPPPFPSLLHHPLPILKSGDTWRCPTRYNVWGRLALHLDDSRQQLHQGGWRRRRGRVSVLRRPTGWLDLALPCRARPAVQGRGGFLQAEGVRVQGKTTSCTNFLQEEGNRVRVLARHRGRQSCIANSSMAAVARPAKAAAARACCFFKDVSSSAWAAWARGHVDLASIEGGVDGNHWEALRDLLPAYRCITKVTVGDGRSTSFWWDVWTEDALLAQRFPCLFSHCTNHNVSVFNVCLDGLDSVLVARLSLQVIHEKAEVSCLINSTHLQQHLDERNSFFAANSHRLDTSQLYRTSLQNIHSVWASKSILQLCMEELGPTLPRKTSWMMPCALCGQSEEDCEHLIFRCPVANSLWAHLGWDMNQLPPISNLWEIPRPDQIPLDLFSTFIMLGCWHI >Sspon.05G0017620-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:73554992:73556711:-1 gene:Sspon.05G0017620-1A transcript:Sspon.05G0017620-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASAGGSLSTASASLFPTPTATPPPRLLCRRRTKPTARASPIPRPKKPPPLSCTAAATPTPAPAAAAASKPAGSWRDLCSFNAWVVRDYRRLVDSVGALEPALRRLSDEQVACGTNTPPPPSASGSSIQILKAKTAEFRGRLTRGETLADVQAGTSAVLTAIAELHRFLRLGWLLSDVLMRLSVSTPEVFAVVREAARRTLGMRHFDVQIIGGAVLHDGCIAEMKTGEGKTLVSTLAAYLNALTGEGVHGTLSTMILFSVVTVNDYLAQRDAEWMGRVHRFLGLTVGLVQFGNT >Sspon.01G0008930-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:24956005:24957350:-1 gene:Sspon.01G0008930-1A transcript:Sspon.01G0008930-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DMVTGSIGPMVSRTLAPWRQVMLLTLLPQLFLSTTVVVARQWQCLWPGQASDDAGCLSWRVMVEANNARGWRTVPAQCVGYVNGYMTRGQYQRDLAGVMEQASAYADEIAADADGLDAWVFDIDDTCLSNLLYYEAKQFRAYDPLAFKAWASREACPGIRPVLGLFTTLLDKGFKVFLLSGRDEETLGSCTAANLEAEGFSGYERLMIRTPEYRGQSSSIFKSAIRRQLVDAGYRIRGNVGDQWSDLQGDSVGDRVFKIPNPMYFVP >Sspon.03G0024580-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3A:74773916:74775685:-1 gene:Sspon.03G0024580-1A transcript:Sspon.03G0024580-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding TSRGDDKLDTTPPTQGPTLLVAPASPYPLPRSTLSRSTGPSPPRMRAGASAVLAATPPPAQPSPSVSSPGPDPAVASDCGGLAAPDPAADSTRGGFMGPRGDCLRPQLGEGDVAGVQGLPPLAVATSSPPRPLATQLASAPASSSSTPPPYAGASPSPGAGNASIGAGSGAPRPLQATPEAPSPPGATTSEPKPAAVSATVGGMVDETMVVVEDVLDDEDEDAARPRSTATKRHRPVPMKLVGLCFNCLAHDHIAAQCRSPSHCLRCKGTDHRARACKRARSSTCKRGPSLACKPSSSVARHPREHRPDGPRRPSLLPNYRAATGQRPTSSSPCRVPWSDVPLSSLQQHHSSSRPASPLPGVLAASGADLPLVLVALVGHQPSVTPEKIQEHLSSGFRVSTGTARVCAWLGGPFACKCQQDSHPSVAMQEGRQAVHPELQLVVDCCLEVQRGDFDPMLVEVEACLAKGPHRAGLTSQAVSEVAQAMDLCLLGPDECGSGQTHQGPHSWSQSRLGDPHPRSRSISSPSRMGQTKVAPPFRLLLAQRLTRQP >Sspon.01G0024020-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:86166293:86167211:-1 gene:Sspon.01G0024020-1A transcript:Sspon.01G0024020-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding METAAAASIQGPGAAPWADMETDCLVHVFARLDLEDLAAAAPLVCRGWSRAAADPSLWRALDLRRDHVARFMPWGALANAFERRYAVHRFSLAGFLRLCVSRSRGCAEDVALPPLLAEPADEIDHISLQCPRLRRLALPQLTAGDEARLPDLVPRWPLLEHLELEAKPSSSSFPTLAEQLALHCPGFASLKTSGDVKPEDVAALARCLPRLRSLCLDRSYLPKEHLLAILAACRGLREFSARSCVGFNERDEEVLRLGARIQRFDVGGSKSKL >Sspon.02G0001360-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:4713055:4714165:-1 gene:Sspon.02G0001360-1A transcript:Sspon.02G0001360-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHVVDGSSQQPEYEFEAVRLELELFSPSLVDKPYVVVFNKMDLPEASERWNAFREKLQSEGIEPFCISAINRQGTQDVIHAAYKLLQKERQRMKETEGWSGLENLNHVSDAIKKERRAPMNEFEVFHDKGTNTWNVVGAGIERFVQMTNWQYSDSLKRFQHALEACGVNRALSKQGVKEGDTVIIGEMEMVWN >Sspon.02G0034270-2P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:14150313:14151441:1 gene:Sspon.02G0034270-2P transcript:Sspon.02G0034270-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPGAIASEGLKHRVFEVSLADLQSDEDQAYRKIRLRAEDVQGRNVLTNFWGMTFTTDKLRSLVKKWQTLIEAQVDVKSTDNYMLRLFCIGFTKRRPNQVKRTCYAQASQIRQIRRKMTEIMSNQASSCDLKELVSKFIPEVIGKKIEKATSSIFPLQNVYIRKVKILKAPKFDIGKLMEVHGDYAKEDAGVQMERPAEGDLAMWGQEVAASE >Sspon.03G0027150-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3C:9111384:9112574:1 gene:Sspon.03G0027150-2C transcript:Sspon.03G0027150-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMPRRRNKPQLLSAISLLVLAWPASCADPVLLPVAKDPATSLYTIPVRDGANHVIDLAGPLLWSTCAVDHLPAKISCHDTTCKLANAYRAPGCHEADRPCASKTQCTAYPYNPVTGRCAAATLVHTRLIANTTDGRNPLSQVSVRAVAACAPKKLLARLPAGAAGVAGLADAGLALPAQVAASQRVANRFLLCLPRRGEGAAVFGGGPLILLPESAVGDLTSTLAFTALRRRRDNPLYYIPVQGVTVNQVPVPLPASALATGGVVLCTRVPYTALQPDVYRPVVQAFDKALARNDAKVPAVAPFELCYRSSFLGNTRLGYAVPDIALVLEGGKSWTFVGSSSMVDVNGQTACLAFVEMKGVKAGDPAAAAAVVGGFQMENHLLQFDLEKKQLGFAK >Sspon.06G0013730-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:71087011:71092792:1 gene:Sspon.06G0013730-1A transcript:Sspon.06G0013730-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AP-3 complex subunit beta [Source:Projected from Arabidopsis thaliana (AT3G55480) UniProtKB/TrEMBL;Acc:F4IWW1] MFGLQASGAAASWVVGRMGTDAHLYDDPDDASIPELLDSRFDADKVDALKRLLALIAQGVDVAHLFPQLFLLLLSTRWVLTQLALPPSLPPLLSSEQNHKCGQVVKNVASQSLEVKKLVYLYLLHYADKRQNEALLSINIFQKDLSDINPLVRAWALRTMAGIRLHVVAPLVLVAIKKCARDPSAYVRKCAAYALCKLCDLLPDESTALEEIVDILFNDNSPGVVGAAAVAFKSVCPSCLPLVSKHFRRLCETLPDIEEWTQIALIEILLRYVIARHGLVKDSLLFASDLPTETQGIADSDAVASVPTQPDSISNGVSDTISSIMLFRHYIEQCSGPSDREVNNLKLSSVTTNSNDDVAILLKCTSPLLWSRNSGVVLAAASVHWIMAPIGDVKRIVGPILFTLRSSPDAAYVMLGNVLVFAKTMPSLFAPFYEDFFVNASDPYQTRALKLEILTIIATEPSIPAIFEEFQDYIKDPDRKFVADTVAAIALCAQKLPSIATACLEGLLTLVFYESFISNSVHLDGEDAVLVQAILSIKAIVKMDPVSHEKVIVRLVRSLDKIKEPAARSLIIWVFGEYSFMGDLTTKIVPPVLKYLTWSFAAEVVETKLQILNCSAKVIMRCTEEHMEEFKRIVAYVIELATCDLNYDVRDRARLLSKLLPCYMTHQGPSHQPQNGDIYKELADHIFNGKLQPTSHSASNYRIYLPGSLSQVVLHAAPGYAPLPNPQSMELNHNVSEATRGKAKLSGSNNSDTESGTSTYESSSVYDSESEGAGLSDRDTVESHQDQEDNQDAPLVQIYDASIQQGQIGHNTEENLADLISTDLTELMSKSALESWLDEAPAEPVVQNLTQTSSARVSFTNRNFERKPILHSLLDSSGSNGLSVLYAFSSEVSPRSRLLVCVDLYFENVTTQQLTDITIESEEASSSVDSIDQTSEGSSGIPTIVPVEEIHSLAPQQMAKMVLQVHFHHHLLPLKLSVLCNGKRHPAKLHPDIAYFVRPLPMDLNTFLCKENQLRGMFEYARRCTFKDHRQKLEHEDSAEHSDKNLQVAQSVASKILSNANVYLVSMDMPVTFSVDDASGLCWRFSSEILSTSKPCLITILAEGRASGPLDLTVKVNSEDTVFALNLLNRVVAIID >Sspon.08G0006980-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:21739938:21742679:-1 gene:Sspon.08G0006980-1A transcript:Sspon.08G0006980-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AEEGITAIKELCHAAKAKENREFGAFIAASAASMMVAWYFLSPDARGAYNMRYIIPMLLGLACLTSGLSLMLLSQNILNLQEDLVDDVQLVTSKWLSLLCSILPVLTLLSSLVLSGYKVYRYIGLIILGLVMTPLALLRWYIGRNVEGSGEQVDNEHKEQLEAAFKFISAISNSAFGGLVALVVNYNITGYSGHTKGAVLVAIFILFTIGILGLLLMEIRTKVPEINNSRLRGSIIKAMWFIIIFMLLLLAGAALAEVFAIVEFWTFAAFLPLAFASAIYLFPERCVRVPRNNNANEYLMEQFNWKADKGIKVSMWSFMAIISIFGGFLHGHDKIQYLKACIILLTSAFMSGLVLTLLTIRPDPTSASLSAATTVLDWTASATFGAAIFAIMVAMVLQILFNL >Sspon.02G0037440-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:33381322:33388315:1 gene:Sspon.02G0037440-1B transcript:Sspon.02G0037440-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLAAFPSSSTNLATPATLPWHEMPLNSSATVSYSLLRRSLCASLRRISRMASAAAPTSAPAAAAATENGAAKATEQRPVQVANRLEKFKTTIFTQMSMLAIKHGAINLGQGFPNFDGPDFVKEAAIQAIDAGKNQYARGFGVPELNSAIAERFLKDSGLQVDPDKEVTVTSGCTEAIASTILGLINPGDEVILFAPFYDSYEATLSMAGANVKAITLRAPDFAVPLEELKAAVSKNTKAIMINTPHNPTGKMFTREELEFIATLCKENDVLLFSDEVYDKLAFEADHISMASIPGMYERTVTMNSLGKTFSLTGWKIGWAIAPPHLTWGLRQAHSFLTFATCTPMQAAAAAALRAPDSYYEELKRDYSAKKAILLEGLEAAGFIVYPSSGTYFIMVDHTPFGFNNDIEFCEYLIREVGVAAIPPSVFYLNPEEGKNLVRFTFCKDEDTLRGAVERMKTRLRKK >Sspon.01G0000330-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:43458034:43461257:1 gene:Sspon.01G0000330-3D transcript:Sspon.01G0000330-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQDAGFKRKGHSGLGAGPETLKASCCTSEENESRNRRYHQLKCSESNSGQLHLDYIPNFHCKSLPTRSRKTNAEQSVIGKRGSMYQSSSEISRIRKIQEGRRKIDSAFDGDAFLSFDIVDASSRPSTSEAYLHSHQNRRSGAKPSVETARKINRASKDFLDLSFRELPDENFKLDRPRLDSTLLKNDGDDGFLEISLEKEITKGGPCRNAAPVLLNTESGKCTQTNYLHKTRGCPSENNCGERGRDSASSSKSTPVKASSFDGTCQSNGVQHHIIENNTKARSSPFKKMLDPIMKSKSLRSPVLMEKGDSNSITGIGSKKNSMSRKSLLGDFSRTEHCQPNGQTQRVKSALSPAHLQAVLRLDSKNGVQVFEFCVEGPEESISARNWKTGDELKSIYTFHSGGKRSSAAGRISKDGGLNLPPIVGQVQVSSYLCSEVGKDGTVNNSVITEFVSYDIAHARRIVEEKTQCTETPQQPLCGVVDKSISCDSPQRINPMEENKIGRNNSDASTSCPWSEEDLYPHLEIAATVIEVPFSKDKSKEMKNGSSPCTVKVVTPSGLHGSPSDDEASPSPLLDRWRYGGGCDCGGWDMACPIEILGNAYDNNWAESITTNAKHPMELFVQGSKEELPVLSMKENGRGQFLVDFHGRLSALQAFSVCISLLHCSEASIAISLEKGKQKLYSSSLKLLLEEDVRHLIEAVTAEEKKQQKKKRREKAPPSVLLDPPFSPIGRGSWNAVPVPGLGGVICLTAVGLGQEVGVKAVRNVVGILRVVGSCHVRTRSAEEWNPMV >Sspon.02G0018680-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:61836876:61837529:1 gene:Sspon.02G0018680-2B transcript:Sspon.02G0018680-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALKLNNCMTTSSSLVLVLLALWAAPLAVVAGDPDILTDYIIPATTNAANITGAFFTYSGLRGALALQAPENFTAAKASMAEFPALNGQSVSYAVLSYGPGSINPTHTHPRASELLLVLDGALSVGFVDTAGKLFTQDLAAGDLFVFPKGTVHWQFNSGTQPAKALSAFGSAAAGLVSLPATLFGASDIDDVVLAKSFKTDVATIQKLKAGLAPKP >Sspon.04G0031710-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:16266345:16268968:1 gene:Sspon.04G0031710-1C transcript:Sspon.04G0031710-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDRNLKALHEKDESAKASRQISRSKFFLVVLVCSFAWYVVPGYLFPTLTSISWVCWIFSKSVTAQQLGSGMLGLGLGAFTLDWSTVSSYLYSPLISPFFATANIFFGYVFFLYVILPVAYWGFNLYNAKTFPIFSSHLFMSNGTEYNIPSIVNSQFQLDTDTYDKNGKINLSVFFAVGYGFSFATIAATITHVGLFYGKEIYQRFKSSQKEKPDVHTKLMKKYSDIPAWWFHSLMALSIAVALLLCTVLNHESPGLNVITEYVMGLIKPGYPIANVCFKTYGYMSMQQAVAFLSDFKLGHYMKIPPKSMFLVQFVGTIVAGTVNIGTAWWLLGSIHGICSDSLPADSPWTCPNDRVFFDASVIWGLVGPRRIFGPEGHYGALNWFFLIGLAGPVIVYAFHRMFPNQKWIPLINLPVLFGATAAMPPATTVNYNSWLLIGTIFNFFVFRYRKMWWIRYNYILSAALDAGVAFMGVVLYFSLTMQNKSIDWWGTAGEHCPLARCPTAKGVDLDDGVCPLQKTNRLIIWAMQGKLSS >Sspon.05G0008490-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:25526762:25528850:1 gene:Sspon.05G0008490-1A transcript:Sspon.05G0008490-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LLSLKVLSSELRHLKAIKCRFPMNATDSKASMVIKVHNIVNETTPQSCPSLQQIPPSILLHVLSSYGLEPKDLAALEASLICTTIYKMCSAACKFYRSEADFEPDATLSLPEVAAYDTCCRKAIFKSMNNQEKGRLKQRCGGSWKLVLMYLLIGEKNYHRGKSQVVAGPGHSIAVTAKGDVYSFGANSSGQLGLGNTEGQFEPCLIRSLQGIRITQAAVGSRRTMLVSDTGSVYAFGQDSFAGLEFAGAYTSIPKVVESLKSIFVVQASVGGHFSAVLSREGQVYTFCWGREARLGHRSDPNDLEPRLLSGLLEDALVVQIAAGNCYLLMLAYQPTGMSVYSVGCGLGGKLGHGCTTNLTIPMVIQHFQTLKVKPVSISAGAFHCAVLASDGRVFTWGWHYYGCLGHDDEQKSIELPTAVAGLGSVKARHVSAGYCSTFVIAENGDVYSFGCHLSHNLGFKAARVEDVGERIPKLATRIAALDEKAVQISATNTLDWVGDSYVPDHSHTFVLTESGRLYSLGAGSKGQLGVKLAEGQKTRPAPDRVAIDLA >Sspon.02G0020170-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:65821928:65823062:-1 gene:Sspon.02G0020170-2P transcript:Sspon.02G0020170-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPDAVGGAAAEEALLGRNASGGGRRCQWVDLAVLVTVWLCFFVMHLFIGGEGAQGVFDIEPCGIVYWLITMAQIPVAVAFTVCIGHQKRKSQAQQHGQVIPAKRKLDALPAYVFPVAALLTGVMSGLFGIGGGLLLNPVLLQIGVPPTTASATTMFMVLFCASMSMVQFIILGVDGIASALLYAATCFVASIVGLVGIQGAIRRSGRASLIVFMVAGILALSALVIACSGAVRVWEDYMSGQYMGFKMPC >Sspon.07G0026640-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7B:54535508:54536376:1 gene:Sspon.07G0026640-1B transcript:Sspon.07G0026640-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMASKSLDTSQGTQAAATGSQPDIQSPIKQETPATDVNVEEEMYVVEVSDDDDSSDKVLNKLKTREARVSYSMGRIQVVGCAHCGVVPANREYNYAENSHRQGRHSTTGEGVGRASLARARGGRGGRRQGRRVCVLQGGSAVQTAGQCARGQARSTVEILSDDRLGDRQL >Sspon.01G0036090-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:17085281:17088794:1 gene:Sspon.01G0036090-1B transcript:Sspon.01G0036090-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Microtubule-associated protein 70-5 [Source:Projected from Arabidopsis thaliana (AT4G17220) UniProtKB/Swiss-Prot;Acc:Q8GYX3] NTKRWLSCLFETNVWCLFHAEKERELGHAYSEIKGLKVTEALKDKAIAELSKELKKQDEKMRGLEKQLEQKNLEVKRLNNERKEALSAQFAAEATLRRIHSSQKDEESVPFDAIIAPLESDIRKYRHEIAVLQDDKKALERHLKLKEVALVEAGNILRSALERALIVEDVQNQNIELKKQMEIYHEENKLLEKANRQKVLEVEKLTHTISELEESILATGEVANAVHFYQNQVTKLNEEKRTLERELARAKVYVNRVASTAANEWKDDSDKLMPVKRWLEERRLLQGEIQRLRDKITIAEKSSKIEAQLNDKLKRRLKSLEDDMRNETSNPSTKEINKQATPRRSTSQPKQCKTARVLPQPYSHEAIDRRRPISQPRTSVAGKVLKQPNSETKPIDKTSVVKRFDSPRARTVYSKGECPIKNQPWASKGKLDVVAGKENKVQNPNSKTRLDVSHLQGHADTKAFDGNDEYGIQSNEHHEAMENERNGDSSSDESSS >Sspon.02G0037020-2D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2D:24504926:24505573:1 gene:Sspon.02G0037020-2D transcript:Sspon.02G0037020-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQPHFRGALLFSRSLSSLGSLKGEKAQASPMLGGRDPLTPFLVASLKRAARLRCGEQLHALAAKSGFLASNAFVRNSVLSFYSRLPPSLASALQLFDETPAPLRDAAARNSVLAALTRAGHLDRAQRLLEEMPRMHRDAVSYTTLVTALARAGHAGRAVAVFRGMLSENVVPNEVTLAGVVTAFARHGAPATVGMIHGFALQRALDGFVIVATNL >Sspon.04G0004990-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4A:14367516:14372316:1 gene:Sspon.04G0004990-1A transcript:Sspon.04G0004990-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPQQVSWSPHPRRTTSYNKSIVTITTGRNEGLLLKQSVRPGGGAGPVPAPLSVRSFARHAEHLSPAPSAAAALRVPCTPPVRQTPRGRSASVRSPSTNRPQAAGRRPHRAGPARHATHTPSRTTSSTHDTQSSHAPPRWWMDVRRLGGRIAAALRALTAGGSLPIPAWIANGLVMISLVLSSCDLLRLCGDRDRSLGFPLGGREFVTVVCQLASIVYLFTLCGTGIPSANTETPASRDQGGSPNQTRAAAAADAPEALHDGVEDGDEEIVAAVVSGALQSHHLESRLGDCHRAARLRREALRRMTGRGVEGLPLDGMDYQAILGQCCEMPVGYVQVPVGVAGPLLLDGVQYHVPMATTEGCLVASVNRGCRAIAASGGAVSSLFRDGMSRAPIVKLPSIKRAAELKEFVEALANFETLAAVFNRSSRFGKLQGIQCALAGRNLYMRFTSSTGDAMGMNMVSKGVENVLGYLQTDFPDMDIISLSGNYCSDKKPAAVNWIEGRGKSVVCEATVKGGVVQSVLKTTVQKLVELNIVKNLAGSAVAGALGGSNAHASNIVTALFIATGQDPAQNVESSQCLTMMEAVNDGGDLHISVTMPSIEVGTIGGGTCLASQAACLNLLGVKGPNRDSPGENAKLLATIVAGSVLA >Sspon.05G0016750-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:64514207:64518752:-1 gene:Sspon.05G0016750-2D transcript:Sspon.05G0016750-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMGAAVRAAAADAVVTFLWVLCASALGASTAAVTSYLGVPEGAGGHYALLVTASLLAVLLFTFDLLCGALGGASFNPTDFAASYAAGLDSPSLFSVALRFPAQAAGAVGGALAISELMPAQYKHTLAGPSLKVDPHTGALAEGVLTFVITLAVLWVIVKGPRNAILKTLLLSVSIVSLILAGAEYTGPSMNPANAFGWAYVNNWHNTWEQLYVYWICPFIGAMLAGWIFRAVFLPPAPKPKTKKA >Sspon.08G0005440-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:16528513:16530383:1 gene:Sspon.08G0005440-1A transcript:Sspon.08G0005440-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LKTDIPKSRAKQLVMQPLNCISFLLGLAILSAALGPFVAIAHRELLSVTGSKGGAEIKLELSVDKIRTDEEVRSNVLTGRRLAFGDAVMEQKETKNSESRTSSGENKNYSTNSHVPSNIKDSSSSRMQAGPSRNRVKLEGSTSVIALSIPNPQRLRTLPSKHSGNSNAGSKKELRDSVVHRTLYKINEDWKEKMLEASDEVLKFLNKDYHASPHKRKP >Sspon.02G0001590-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:5331326:5337413:1 gene:Sspon.02G0001590-1A transcript:Sspon.02G0001590-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLTSLHCSCPLLRPSVRITPAPVSAPTLPVLRRTRTRTRRQLQRSLCSQYAGDRQPPPPPEPDSPQQIERLFSNLNQATMKHEPGSVTSAIFLVAGTTVVTGLLVAEVNVNTMCELGSGGVSLNPKKPQSVTSHHSALELTFNDSVMHSLKPLTVCYGYRWESGTLFSLAFGGICYFGRTAIVAGTAIPLALFLVWDGVILGTLPGLAGSSTVSDPLELLRSSNGVVGITDTVLWKTKTNFNMPSGLQPIVEAFSFLAIGTSYIGFILGLSDFLADLLKLPRGQNKPLPYILTLVPPLILSLLDPEIFFKALDFAGTYGVFRSPGKGGAVGNEDKGTVGNRARWGTGGAAGKWAARERWGKGPPRRWWADAYPDWCARIESLRCFGQDRTVPRRLIKRP >Sspon.02G0001180-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:939085:947679:-1 gene:Sspon.02G0001180-3D transcript:Sspon.02G0001180-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAKLAAGPGWLPGPTPPPHRPRLLRAAWACPLARPRLARRPQRHPDRPAHYFLPHSSSSFHRRGGSRETRAEAKARARFGRFRREAFRPAGDMAEEKGALQSAREWVVEHKLRAVGCMLRLSHWLPWLGLRWWSTTIINKGQGRKFTNMQSSSYHLIVIAIAAHKKSS >Sspon.06G0016620-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:72387309:72388538:-1 gene:Sspon.06G0016620-2D transcript:Sspon.06G0016620-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MWADQRMILALDSASASSSAPDLTTLSEDLPSVSRNCVIESLQVAAWVAQKGGGQVVEGLVNCYSKKKQKKNKKIGGGSWSPAAKSWGSEMEGAEESGEVEVNTTELIGGIGRELAISCLLRLPRSYYYDVACVNRSFYSLVRVGELYRLRREAGIVEQMIYCSCNVLEWEGFDPCRQRWFSIPSMPPIECFTLADKESLAVGTNILVFGKRVEAHVVLRYSLLTNSWMTGEMMNTPRCLFGSASFGEKAIVAGGIGENGALSSAELYDSEMRTWTTLPSMNRARQMCSGFFMDGKFYVIGGKAEKHNEVLSCAEEFDLENSTWRLIPDMAQGLNGGSGAPPLVAVVNNELYAADYATKEVRKYDKENNAWITLGLLPGRYTSVHGWGIAFRSCGDMLIVIGAMSVGGGG >Sspon.02G0005840-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:11760005:11762792:-1 gene:Sspon.02G0005840-4D transcript:Sspon.02G0005840-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:V-type proton ATPase subunit H [Source:Projected from Arabidopsis thaliana (AT3G42050) UniProtKB/Swiss-Prot;Acc:Q9LX65] MPRLVEVVKGSTKEKVVRVVVMSFRNLLAKGAFAAQMIDLGLPQIVQNLKAQAWTDEDLLDALNQLEVGLKENLKKLSSFDKYKQQVLLGHLDWSPMHKDPSFWRENINNFEENDFQILRVLMTIIDTSSDTTALAVACYDLSQFLQYHPSGRIVVADLKAKDRVMKLMNHENTEVRKNALLCVQRLFLGAKYASFLQA >Sspon.03G0003640-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:9453328:9455783:1 gene:Sspon.03G0003640-1A transcript:Sspon.03G0003640-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPAKGKMWRRTSSSLLLRITDICKVHSVAVAENVGEKPNAGSTWGSSEDGAHLKIYPQRVSDHESCSGTSTTRYEEAVVEKILDAVSGLKLAYLKVQQAHVPYDPEKVAAAGEHFVSELEETAGLKDLYFGVSKWSNPIYQSHVSSRIHEHQKFALELQADICKKDSELVLLRAEFEELERRNMELKEEVDRRALRMQREISFDIGKGGSIDMFIELFENSSKCMHDFTKLVISSMKISGWDLNYSKFPVDKSVVFEKRTHKKYCVEAYFARAMLMVTKEEYFSMDSFYHVMSFKDPFDALVESPNSTFGKFCREKYLVAVPSNMEDSFFGNLDHRAFVEMGGHPRTQFYQTFARMARYVWALLTVARFLKPRAEMFFVKSGVQFQKKHMESVPAKLTTEEAKISVGFTVMPGFKIGCTVIRCRWFHFHTCSLVILPPPLVDSLASYSNSASFSDRTCEKSSASVMLPSRDCLLSSSFPFA >Sspon.04G0014390-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4A:53806689:53808681:-1 gene:Sspon.04G0014390-1A transcript:Sspon.04G0014390-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding PQRGFRLESEEGEVGDDEEDEGEEGDDDGGSTTDVGGGSGSSSNNSSTNNNSESKKADGKGGSKSEVNGEQRVPSVRQYNRSKLPRLRWTPDLHMAFVHAVERLGGQERATPKLVLQMMNVRGLSIAHVKSHLQMYRSKKLDQDGRPRGAVSSGYSPMDFHFMRGDRRFHDMSFFQRAAALSSSRLERGSFFASRNCSAPELSRLYGLLHHRPAPTQTFDFRNSSFRNHEWASSNQQEAITISRNHVTPPSTSPQTHTHSLASSAALRSDRRWWPFTDAGAAAAVAAGEHRAETGTNVKFDSCIGSSSRPLPLAMSPAAVSGDRRLPFRWRHGGSGRDAVGYPGPGSSSKITTRSSDPVVIDYGQQLERQKHVEPSASATPSEEACLKRRPSPVEAQDATPDLQLSLSPSSVLAKKRKTISSSSMDTTSCEFSISLSLSPPATAVSMQQQQRQQKTRRSSDSSGG >Sspon.05G0024880-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:26490473:26492663:1 gene:Sspon.05G0024880-2D transcript:Sspon.05G0024880-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VAAAIQIVLKSSQPNLVVKLDMQLIETLHMGISADSVQLSILNHPKIKLKSEHVRVIDRAKLRIYPAGTDKRKLQLELHNLKSILPKVIVKGIPTVERVVIDEVKVNNETERYQLTNLLAVMGTPGVDASKTKSNHIMETNQRLGIEAARRSIIDEIQYTMKSHGMNIDRRHMMLLADLMTYKGEILGITRYGIAKMKSSVLMLASFEKTSEHLFNASYSGREDQIEGVSECIIMGIPMQLGTGILKVRQRLDHVPEFKYQPDPILS >Sspon.05G0002770-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:8229043:8230128:1 gene:Sspon.05G0002770-1A transcript:Sspon.05G0002770-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAVTSPDPFAFHCPMATAAPVEADVDEFEFHVVPAAAAALSAADELFSGGKLVPLHRPAPASAPCSPPPCLEVEPASEPTSPRAPRCAGRRWRDLLLLVSSSRKPKAASGSSGDASKCAAEGYLNARRETHFRPLLSRDSSSSSSASSVDSGKNARRPPPSSCSPLRTRSAPVANLLHLMSRTRSTGDKVGAAAADAPLRPKRQEPAAAGAHPLLTRASSSSSSSASSSDSCRNPRAGAGAGPWRPRGPSRPSSRPAVAAESPRVSASGRVVFRGLERCSSTPASAGIGPRRPRPRGMERSYSANVRVDPVINVFGFGHLFMPSSPAKEKKADRERDVAAGRRNRPEKLAMVLRDPQD >Sspon.04G0003900-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:12452582:12454618:1 gene:Sspon.04G0003900-3C transcript:Sspon.04G0003900-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGKYSGARTKRRWRGLAAAAWVLIAVVGAAVMHWTQRRQSMDRAEERLISMCEERARMLQEQFGVTVNHVHAIAILIATFNYEKSPPAIDADTFAKYTARTSFERTLLNGVAYAQRVFHHEREMFESQQGWIMNTMQREPAPPRDEYAPVIFFQDTVSYLARIDMMSGEEDQENILRARTTGKAVLTNPFRLLGSNHLGVVLTFAVYRPDLPADASVEQRVEATI >Sspon.01G0031540-3D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1D:108482665:108484081:1 gene:Sspon.01G0031540-3D transcript:Sspon.01G0031540-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant protein of unknown function (DUF641) [Source:Projected from Arabidopsis thaliana (AT5G58960) TAIR;Acc:AT5G58960] MATKPVTVGDLIHRVASSCLSNRLPCNYTLRDSVDSDLDDEDDDPFADAVSSSEKCRRSPSAAEAEEIEVEEGEEEEEEEKLKIWEEEEQEKERLAAAAKGDADALMAEVFDAVSGVRRAYAALQGAHCPWDPDKMRAADAAVVAELRHLARLRDRFRRSAAAGHIPRPNPSVPPLREAVAPYEAALDDLQRQLQSKQAEVDGLKEKLAAATSRRNGRHHHHPLSKQNGPGGVPTAELFTSCAEQARAATRAFAGHLAHLMRAAGLELAAATRSLTKIPVSSPQLAKHALEAHVTRALLGGFEHESFYLDGSLSSLLDPAAFRRERYVQFRDMRGMEPAELLGVLPTCAFGRYAAAKFTALLPPRVEEAVLGDGEHRRVVNAGAHPRTPFYGEFLRAAKAVWLLHLLAFALEPPPSHFEAGRGAEFHPEYMESVTGAPPHAGAGMVVGFAVAPGFRLGNGAVVRARVYLVPR >Sspon.06G0011970-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:51929021:51932403:1 gene:Sspon.06G0011970-3C transcript:Sspon.06G0011970-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MERGNGGSGRPPSPPPPAAPAERKAAWRDGAVTYFHLLFYIAISGGQIFFNKASHAAPHLPSRWVLSSKEINFPYPVALTLLHMVFSSVVCFAITKVFKIIKIEEGMTTDIYISSVIPIGAMFAMTLWLGNSAYLYISVAFAQMLKAIMPVAVFLLGAAFGLEEMSYKMLSIMSVISVGVIVASVGEITISWVGVVYQMGGVVAEALRLIFIEIFLKKKGVKLNLISMMYYVSPCSAVCLFIPWLFLEKPKMDDSISWNFPPFTLFLNCLCTFVLNMSVFLVISRTSALTARVTGVVRDWSVVLLSAAIFADTQLTFINIIGYAIAIAGVVAYNNHKLKAKPQGNPQQGDENKVITGSTRDVVLSMNSGKEAS >Sspon.04G0035660-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:14662700:14665922:1 gene:Sspon.04G0035660-1D transcript:Sspon.04G0035660-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGGAGGAAGQRPRCRWRGSAAGGAGRHKASQQEGWPYGQRREAAGAPPSFPWRVASSLQAAGGALFPSNGGAGDMGATVVPSSPPATAHPRPLESGRQQVGGQASSNPVGFLLTDAGNRSLSGEPASSPAAVAQGQGAYTCFI >Sspon.03G0036420-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:59843316:59846224:-1 gene:Sspon.03G0036420-2C transcript:Sspon.03G0036420-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFGGSSSLASGAKRPFEYGRTHVVRPKGTHKATIVWLHGLGDNGASWSQLLETLPLPNIKWICPTAPSRPVSVFGGFPSTAWFDVADLSEDAPDDIEGMDASAAHVANLLSTEPADIKLGVGGFSMGAATALYSATCFAHGKYGNGNPYPVNLSLAVGLSGWLPCARTLKNRIEASPEAAQRASSIPLLLCHGKADDVVLYKHGERSADALKANGFSNVLFKSYNSLGHYTVPEEMDEVCKWLTANLGLGAKSS >Sspon.01G0020540-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:78283349:78286935:1 gene:Sspon.01G0020540-3C transcript:Sspon.01G0020540-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRVAGRGGRPLLGGSGGGGKRGGRPSMAVVAALLLACAALLLLLALGALSLPGASDGPGGRGAGLARPRPRSRFRRSTFDSCGARDAWEKGEPWTEVLSWEPRAFVYHNFLSKEECDHLISLAKPHMRKSTVVDSTTGGSKDSRVRTSSGMFLRRGQDKIIQTIEKRIADYTFIPVEHGEGLQVLHYEVGQKYDPHFDYFHDDHNTKNGGQRIATLLISDVEDGGETVFPSSTTNSSSSPFYNELSECAKGSLSVKPKMGDALLFWSMKPDGSLDPTSLHGGCPVIKGNKWSSTKWMRVHEYKYPIRGKTEEALCNIFRVCLA >Sspon.07G0019540-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:72130576:72138533:-1 gene:Sspon.07G0019540-1T transcript:Sspon.07G0019540-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLPPSPVSARGEHPRRARRVQRVWLLLASSHCYSSSHCLKVPISRPFLQNRAGLRHFLDSFVAPEQLSVNALNEQFSGLNDTKQDRAKGDSKSKHSSDANSSSAVAETRLVDLLDSTLWNRRLVPSSERLVYALVHQIFHGIKEHFLVTTELKVSIIRKPFTCFLLMPIVDKLPALLRQDLESAFEEELDSIFDVTQLRQSLGQKKRELEIELKRIKRLKEKFGEINKKLNSLQDMS >Sspon.02G0028060-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:98955519:98962719:-1 gene:Sspon.02G0028060-2B transcript:Sspon.02G0028060-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCMAGHFKMSSVLKLVMMENHTAPDDVICEMTAAQVLQKQLFDAHEPNLLDENDMHIFGSKPMADPLDLVCCSTCKKPVKASQYAVHTGLGTSVDSSSTINVPTNHLRDAPVPLATKMYHSQGNYRLRMELGQLYRESCVQHLSGHTTPNVSHENRLMASRFTPCGNSALPASQQSLVPQPKPLASASEPCSGIPQQLAASRPNQSQGIKTERASTQASAIKTEGSRSRGNKATVPHSRNKGSKKTQQQPNGRVHVIKSSVE >Sspon.01G0036720-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1C:14417926:14418510:1 gene:Sspon.01G0036720-2C transcript:Sspon.01G0036720-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSAPVVQVSAAVVLAVLFATATAAGANNATVATNMAARRGGVNSTVALPASTAASNGSLQYTCALPVPQSQEPDDQAVPHREGQLPRRLRDAADPFHALPSAPGGSGRDTDDCYVMKVYPDGSWVVVDVVSCRQAAPACYLTCNDGDEPGRDGAAGTTPTAAAPRGTLPSLLADFERCGDHATAQGAAVPAI >Sspon.02G0011750-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:25486557:25487090:-1 gene:Sspon.02G0011750-1T transcript:Sspon.02G0011750-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQRDKKEEPTELRAPEITLCANNCGFPGNPATQNLCQSCFSAATASRSSSPSPPSPTSSSSASAPVAAAVSQPRPALVDAAAVELQASPAAAAVGQSMEVVAAAAPAAAARTSVNRCSSCRKRVGLTGFRCRCGELFCGAHRYSDRHGCSYDYKGAARDAIARENPVVRAAKIVRF >Sspon.07G0009590-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:27256178:27259513:1 gene:Sspon.07G0009590-1A transcript:Sspon.07G0009590-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTCNFQADDVATPVVSNVFDGSGELIAGVASVEAVENFITPSWIYRFRHHITNAPLITCTSPNEIELVAMANSLSPSVKYNFHKIEQFKEKADSVEYLFEMLSPAVFFLLEKGIKLLIVTLGSNGVFICCKEHTNFMKDQRKCKQTLFSRQLLEKMDGCFPLCGESSSRTCVFHLPAISASVISLTGAGDCLVGGVLSALCAGFDIIQSVAIGVAIAKASVESEANVPDDISAANIADDAQSVLHSAKVLWCK >Sspon.02G0000340-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:2348532:2350900:-1 gene:Sspon.02G0000340-2C transcript:Sspon.02G0000340-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTRLRYKAPDDDKSYCSLPDLIIDSAAASHLIVDSDSAVSASSQFEQQQQHQIIIALNNQQPPPPRPQTKDSSSSSDDDDTEEEDDDEPAVEEDDGNDDQKKDAVIAEYITLMATNDRARGRRANRLRDSAKRHLLSAGWTFWMKLKSNGREELRYRAPTGRSYISLHTACQAFKTSRQAALPTTASASNSSSKIDRRRVHATPATILRAVRPSRVGDEDGGTSHCRPVVMIRKKRKLSELIGDGSDDAVNVTMKKCTTIKKKETCCQVVGAGGTQLIKKSITTTTLTRKKRRKKATASKSQARVLQPNSTASASACQRRSRTLLSVLVDKDIVVLRDKVTYRAARDGPAAKDGFITGEGIRCTCCNKTFTVAEFAAHATARRGSTVTDRREAWARVFLKDGRSLSQCLVELMRRDVGVVAARNGGVRVKEKCSDPEGDSVCSICNDGGELLLCDNCPSAFHHACVGLQATPEGDWFCPWCRCGVCGGSDFDHDTAAGLTDKTIIYCEQCERELEGVSLNILRRRRRQQEQEEETTTDAAEHGQLCSALDVLHECFVTLIEPRTQTDLTADIVFNRESELRRLNFRGYYVVGLEKAGELITVGTLRVFGTDVAELPLVGTRFAHRRQGMCHLLVTELQKVLRQVGVRRLVLPAVPELLPMWTASLGFHPMTHSDMMEIAAEHAILSFQGTTMCQKSLLA >Sspon.06G0020210-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6B:8304635:8305111:-1 gene:Sspon.06G0020210-1B transcript:Sspon.06G0020210-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRSGSFQHPVSYDITCPGMASHFYGTSLQSPQQGSTKHHFKAPRRLASSRPIKREAGLHGEQATKATSDEQLATYNIATNISSIILYSFFFSFETWLVALSRKLVTPTQAPRCKEIHTSLTPAGRRAFFCPNQDKPRVFSLHHHPGKGHAAFYSLV >Sspon.05G0015400-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:59050134:59056006:-1 gene:Sspon.05G0015400-1A transcript:Sspon.05G0015400-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVAPVRSLSRLSPAGTPSATGNGIHIHIFSPSSTGLAVIYLLISSISYLGVAYLPLIHHSSLPLTPLMSNPCAGMELGASTALYPLHRCKTIYLVRHAQGIHNVAGEKDFGAYMSHDLFDAQLTPLGWSQVDGLREHVKKSGLAEKIELVISSPLLRTMQTAVGVFGGEKYTDGVNSPPLMVENAGHSGRPAVSSLNCPPFIAVEACREHLIENDEDVLWEPDVRETNEAVALRGMKFLDCFANCELRSMVLVDRSMLGSYSPRFNYPGKIPAGLDTPSDIADKKHLKEAQRS >Sspon.01G0039540-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:28956198:28967398:1 gene:Sspon.01G0039540-2C transcript:Sspon.01G0039540-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPNNSASPPASLETGAGLTAATAGAFEPCAWGDFFVTYAPPLSQESEERMRERANQLKGEVRRRMFDAGGEAMRSVSDMVTLVDTLQRLGIDNHFRQEVDAALKRINSCESLPESSGSGGTVPRPWTTTASMPLLSAFVYLGNMASGSLQLQAYITHKYATLTDVFDRFRDERTGSFNESLTSDPRGLLSLYNAAHMATPGEQALDEAISFARRHLASMKGRLSSPLEEQVSRALDIPLARLPKRLETMHYVAEYGKEEGHDAVVLELARLDFDLVRFLHLRELKDLSLWWKDLYGNVKLNYARDRLVENYFWTCGVFHEEEYSRARMLFAKTFGLLSLMDDTYDVYATVEECHILNDAIQRWDESTASILPEYMKMFYTNLVRNFQEFEDSLPPNEKYRVSYAKQAFKLSSKYYLDEAKWCSEKYAPSFKEHMEVSVMSSGFPTLAVVLLMGAGDMATREAFEWAIGVPDVVSASGEVARFLNDIASYKKGKNKKDVASSVECYAKEHGTSGEEAVAAIAGMAEHAWRTINRSCMEMDSALLPAAQLVVNLTKTLEVIYLGGRDAYTFAADLKDLVVSLFLNGPTESEERMRERANQLKGEVRRRMFDAGGEAMRSVSDMVTLVDTLQRLGIDNHFRQEVDAALKRINSCESLPESSGSGGTVPRPWTTTASMPLLSAFVYLGNMASGSLQLQAYITHKYATLTDVFDRFRDERTGSFNESLTSDPRGLLSLYNAAHMATPGEQALDEAISFARRHLASMKGRLSSPLEEQVSRALDIPLARLPKRLETMHYVAEYGKEEGHDAVVLELARLDFDLVRFLHLRELKDLSLWWKDLYGNVKLNYARDRLVENYFWTCGVFHEEEYSRARMLFAKTFGLLSLMDDTYDVYAAVEECHILNDAIQRWDESTASILPEYMKMFYTNLVRNFQEFEDSLQPNEKYRVSYAKQALKLLSKYYLDEAKWCSEKYAPSFKEHMEVSVMSSGFPTLAVVLLMGAGDMATREAFEWAIGVPDVASASGEVARFLNDIASYKKGKNKKDVASSVECYAKEHGTSGEEAVAAIAGMAEHAWRTINRSCVETDSALLPAAQLVVNLTKTLEVIYLGGRDAYTFAADLKDLVVSLFLNGPT >Sspon.04G0025620-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:34611966:34616171:1 gene:Sspon.04G0025620-2D transcript:Sspon.04G0025620-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHHGYRRRCHCSILLVASVILAWPAWASASAGSAGTDADHRALMQFRSIVTGDPYGALASWGGGGNMSAARTPCGWRGVICGVRGRRHGRVTALDLRGLGLASSVAPSSLSGLTYLRRLDLSDNRLSGGMPSPLPPSLELLNLSRNTLQGPLPPELGSLHRLQVLNLGFNNLTGTIPASLGNLTSLTVLSLTSNYLTGAIPVSLSNLQALTSLYLNGNMLRGSIPSTIFNVSSLQHLVVQINNLTGTLPPEAGSRLPSLKLLSVDSNRLHGAIPASLCNASKLEVVQMLDNSFSGVIPNCLGANLKNLWALVLDNNQLEANADADWGFMDSLTNCSNLKVIGLSANKLGRVLPGSIANLSTSMQFLSIFINVVSGQIPQEIGNLVNLNTLYMHLNNLTGTIPDSLGKLNKLSNLHLYGNKLSGQIPSTIGNLTVLSRLFLDDNMLTGPIPSGLGSCPLQSLNLENNRLTEYGLGNEVSIYGDIYSFGVLLLEIFTGKRPTDMQDLNLHRYVELALQDQKVASVVDYQLLPVQDQEHEGRTCSSSSTREMIVACIASILHIGILCSKELPTDRLLIGDALRELHGVKDMYNRKHLLADSM >Sspon.02G0012170-1P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6A:2910851:2914240:1 gene:Sspon.02G0012170-1P transcript:Sspon.02G0012170-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRLRATAAARLVALLVCLSPALLAPCRAVNEQGQALLRWKGPVRGALDSSWRAADATPCRWQGVGCDARGNVVSLTIKSVDLGGTLPAGPELRPLRPSLKTLVLSGTNLTGAIPKEFGDLAELTTLDLSKNQLSGAIPPELCRLTKLQSLALNTNSLRGAIPGDVGNLTSLTTLTLYDNELSGAIPASIGNLKKLQVLRAGGNQALKGPLPPEIGGCTDLTMLGLAETGLSGSLPETIGQLKKIQTIAIYTAMLTGSIPESIGNCTELTSLYLYQNSLSGPIPPQLGQLRKLQTVLLWQNQLVGTIPPEIANCKDLVLIDLSLNSLTGPIPSSFGTLPNLQQLQLSTNKLTGAIPPELSNCTSLTDIEVDNNELSGEIGIDFSRLRNLTLFYAWQNRLTGPVPAGLAQCEGLQSLDLSYNNLTGAVPRELFALQNLTKLLLLDNDLSGFIPPEIGNCTNLYRLRLNTNRLSGAIPAEIGKLKNLNFLDLGSNRLVGPLPAALSGCDNLEFMDLHSNALSGTLPDELPRSLQFVDISDNKLAGLLGPGIGLLPELTKLNLGKNRISGGIPPELGSCEKLQLLDLGDNALSGGIPPELGKLPSLEISLNLSCNRLSGEIPAQFGELDKLGSLDISYNQLSGSLAPLARLENLVMLNISYNTFSGELPDTPFFQKLPLSDIAGNHLLVVGAGGDEASRHAAVSALKLAMTILVVVSALLLLTATYVLARSRRRNGAIHGHGADETWEVTLYQKLDFSVDEVVRALTSANVIGTGSSGVVYRVALPNGDSLAVKKMWSSDEAGAFRNEISALGSIRHRNIVRLLGWGANRSTKLLFYTYLPNGSLSGFLHRGGVKGAADWGARYDVALGVAHAVAYLHHDCLPAILHGDIKAMNVLLGPRNEPYLADFGLARVLSGAVASGSAKLDSSKAPRIAGSYGYIAPEYASMQRITEKSDVYSFGVVVLEILTGRHPLDPTLPGGTHLVQWVREHVRAKRATAELLDPRLRGKPEAQVQEMLQMFSVAMLCIAHRAEDRPAMNDVVALLKEIRRPAERSEEGKEQPACNAAAAATAASAAAPLDGQAQRSPPRSLLPKGDSSSC >Sspon.08G0026280-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8C:19908341:19908604:1 gene:Sspon.08G0026280-1C transcript:Sspon.08G0026280-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHGSALRKEEFVTVVAALRWSALCSDDIPIIMADDVVCRRRGSGGGSSGGADGHRRDRSGSLVPDPEPVAPLSPDPAPAGVAPCFL >Sspon.02G0037380-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:37781170:37793095:1 gene:Sspon.02G0037380-2C transcript:Sspon.02G0037380-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARP protein (REF) [Source:Projected from Arabidopsis thaliana (AT1G49670) TAIR;Acc:AT1G49670] MELKPGMSALVTGGASGIGKALCIAFARRGLFVTVVDFLEENGREVATLVQKENSKFHGDLRVPSSIFVKCDVSNADNLAACFEKHVHTYGGLDICINCAGIGNKTLVYDDTSDGTRTWRHAVNVNLVAVIDGTRIASQIMRDQKKPGVIINIGSAAGLYPMFFDPIYSATKGGVVMFTRSLARLKRHGVRVNVLCPEFVQTNMAEQMSRKIIDSTGGYLKMEDVVNGTSFLVVHTLSHNFRNATRLERVQLRFPIKAHSALVKIIYAGVNASDVNFSSGRYFSGNPKETASRLPFDAGFEVPAKHLLPVPRPDPEVVAMLTSGLTASIGLEKAGQMTSGKVVLVTAAAGGTGQFAVQLAKLAGNKVVATCGGESKAELLASLGVDRVINYRNERVKDVLKKEFPRGVDIIYESVGGEMFDLCLNALAVHGHLIVIGMISQYQGEDGWKPKNYTGLCEKILAKSQTVAGFFLVQYAHLWQDHLNKLFDLYASGKLKVSLDPKKFLGVASVPDAVEYLHSGKSVGKPALVHISPSTTSGKALCIALARKGVFVTVIDFSEENGREVVSIVQKENKHIHQYARVPSAIFIKCDVTNGDALAAAFRKHVDTFGGLDICINCAGFVNKSLVYDDKSDGTSTWKRAVNVNLVAVIDGTRIA >Sspon.02G0058510-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:77459044:77465128:1 gene:Sspon.02G0058510-1P transcript:Sspon.02G0058510-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPSLARLNDALDLADMDKQVYSTPREGEKAFKPEAYAVTWSPSDQQSHDDIVAHQSSFEPEAYPVRWKKPDQQLQGEVVAHQSSFTPKAYAVTWGPPDQQSHSNVAARQNSFAPEAYPVKWKQSNQQLQGDVAAQHSSFMPEAYAVTWGPLDQQSHSNVAARQNSFAPEAYPVKWKQSNQQLQGDVATHNSSFMPEAYAVTWGPPDQRSHDNVAARQNSFAPEAYPVKWKQSIQQLQGDVVAHHSSFTPEAYAVTWDPSDQQSHGDVVARQNSFEPEAYPVKWKKPDQQLQGDDVAAHQSSFTPEAYAVKWGPPDQQSYGDVVARQNSFEPEAYRVIWKQPVQGDSGASTVFFSLSDSPTGKFGGEDGFPDPQRFVLRADADAIPFSYSQLDTILRMFRILRGSKKAEQVAATLRTCEADESPDPHTCATSEQAAADFAAASLGVKASELVAIVTVVHGRKDAARYVVAPDGVARIGKAAGGPAVAAAVPCHPMAYPYMVHYCHRPADVEALRIELTGLGDGEAATTAIAMCHTNTTSWDARYFEMLNATRGEEICHFMPRNYVLWLPAAD >Sspon.08G0018740-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8B:8092589:8092945:1 gene:Sspon.08G0018740-1B transcript:Sspon.08G0018740-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSTRRRPSPIQRSLSAVTYWSTNRCPFSLASLHSPFILHSPIILPDKDVVRTVIHATAVRTGMTCACGHQTATRQGSSVAVHAVIHLFRAPRVHHHATDQRARHIEGSREGSEGATI >Sspon.01G0018330-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:73849758:73852887:1 gene:Sspon.01G0018330-2B transcript:Sspon.01G0018330-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGKMPRAKLGRQGLEVSKLGFGCMGLTGVYNAPVPEEAGIAIIKHAFEAGITFFDTADAYGPHTNEVLLGKALKHLPREKVQVATKCGIAGFDASGMCVKGTPDYVRDCCEASLQRLAVDYIDIYYQHRIDQSVPIEETMGELKKLVEEGKVKYVGLSESSADTIRRAHAVHPITAVQLEWSLWTRDIEEDIIPVCRELGIGIVPYSPLGRGFFAGRAAVERVPSESLLSKHPRYTGENLEKNKVLYTRLEMLSKKYGCTPAQLALSWVLHQGEDVVPIP >Sspon.08G0002870-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:8032954:8034780:-1 gene:Sspon.08G0002870-1A transcript:Sspon.08G0002870-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPLYLRSSGSFKRLLLLLSIGHRSPTTKPVDADASTNDATKEPGSSPGRSSRPAWRCFSYDEVHRATDGFHERNLVGRGGSSEVYRGELPDGRAVAVKRLLGASACERRERDFLAELGTVGHARHPNVCALLGCCVDQDLYLVFDFSRRGSVAANLHDETLPAMGWAARHGIAVGTARGLEYLHKGCRRRIIHRDIKASNVLLTDDLQPQISDFGLAKWLPAEWTHRAIAPIEGTFGYGTPRHGSSARPLLSDGKTEALVDPRLGGDYDGEQARRVAFVASLCVRAPATWRPSMTEVRASRLCRAVLELLEGGEIRQDRWAMPEAAASDEEQPWWLDVLDDEYEDEDFSTPSPSSS >Sspon.02G0008120-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:23716656:23720477:1 gene:Sspon.02G0008120-1A transcript:Sspon.02G0008120-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTLHRHQPVADVPVVGSTQHAPVTTTMLPTRVRRRSHASPRHGLSATELPPTHDPIHHFISPMMSTPRSGMVALQSGMIREDSTGKGPFDSATMALPAVSGEAVLCPAPIQITAKKDPREARELREPKIHPPKQKRLSSPPPPPPPAQPESGSISMGRRRRQWCGKSDPDKESDSKSWARLAPMGTAGQSEGGGGDSATSRTQRAFPVDSNDFVNNCYLVPFSEGFLDVRRGRGLLLVPVVSRDHVVHGGVHHRDSVNSGRRMN >Sspon.05G0004380-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:16471384:16473408:1 gene:Sspon.05G0004380-3D transcript:Sspon.05G0004380-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding HLLLQYDQGGAERLIVDGACQLAAHGHDVHVFTSHHDKNRCFEETVSGLFPVTVYGDFLPRHVFYRFHAVCAYLRCIFVALCVLLRWPFFDVILVDQVSVVIPLLKLRASSKIIFYCHFPDLLLAQHTTMLRRLYRKPIDMIEETTTGMADLILVNSKFTAATFARTFSGLHARGIEPGVLYPAVSVEQFHEPHAYKLNFLSINRFERKKNLDLAISAFALLRSVASTLPGDALREATLTVAGGYDKRLKENVEYLEELKRLAVTEGVSGLVNFVTSCSTSERNELLSNCLCVLYTPKDEHFGIVPLEAMAAHKPVIACNSGGPVETVVNEVTGFLCDPSPTEFSKAMLKLVNDHDLAVRLGEQARDHVVQKFSTKTFGDLLNSYVLNIYHERME >Sspon.01G0006010-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:15902133:15907977:1 gene:Sspon.01G0006010-1P transcript:Sspon.01G0006010-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLRISPSMRSITISSSNGVVDSMKVRVAPQPPPPPPPPHGAARRGGGGGGGWYWRAVAFPVVVALGCLLPFAFILAAVPALEAGGSKCSSVDCLGRRIGPSFLGRQGGDSTRLVQDLYRIFDQVNNEEFPSNEKLPESFRDFLLEMKDNHYDARTFAVRLKATMESMDKEVKRSRLAEQLYKHYAATAIPKGIHCLSLRLTDEYSSNAHARKQLPPPELLPLLSDNSFQHYILASDNILAASVVVSSTVRSSSVPEKVVFHVITDKKTYPGMHSWFALNSISPAIVEVKGVHQFDWLTRENVPVLEAIENHRGVRNHYHGDHGTVSSASDNPRVLASKLQARSPKYISLLNHLRIYLPELFPNLNKVVFLDDDIVVQRDLSPLWAINLEGKVNGAVETCRGEDNWVMSKRFRTYFNFSHPVIARSLDPDECAWAYGMNIFDLAAWRKTNIRDTYHFWLKENLKSGLTLWKFGTLPPALIAFRGHVHGIDPSWHLLGLGYQDKTDIESVRRAAVIHYNGQCKPWLDIAFKNLQPFWTKHVNYSNDFVRNCHILEPQHVKE >Sspon.07G0008410-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:20811015:20812237:1 gene:Sspon.07G0008410-2B transcript:Sspon.07G0008410-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVDRRTAQRSNVRRLCSRDDRIHVFKMPAVRGLPGSKDQRMSTSGAPSQATTAAAVLCVLAAALALTSGQQCGSQAGGATCRDCLCCSQFGFCGNTSDYCGAGCQSQCTGCGPGPAGPGVASVVPRDLFERLLLHRNDAACPARGFYTYDAFLAAAAAFPAFGTTGEGDEQRKREVAAFLGQTSHETTGGWPTAPDGPFSWGYCFKEERSPPSDYCEPRPEWPCAPGKKYFGRGPIQISFNYNYGPAGRAIGVDLLNNPDLVATDPVVSFKTALWFWMTARDNKPSCHAVITGQWTPTAADRAAGRGAPGYGVVTNIINGGIECGHGADPRVTDRIGFYKRYCDVFRIGYGSNLDCDGQRPFNSGVAVELAAQ >Sspon.02G0006990-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:15100600:15107166:1 gene:Sspon.02G0006990-1T transcript:Sspon.02G0006990-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Receptor-like protein 4 [Source:Projected from Arabidopsis thaliana (AT1G28340) UniProtKB/Swiss-Prot;Acc:F4HWL3] MRWRSPAVLQLRLWLLAVSASSAALGVLAADLSKEPFTIRISCGSFDDVRTAPTNTLWYRDFGYTGGRFANATRPSFILPPLKTLRYFPLSDGPENCYNINNVPNGHYQVRLFFALLDNPNLDSEPIFDVSVEGTLFSSLLLGWSSDDEKTFAEALVFVQDSSLSVCFHSTGHGDPSILSIEVLQIDDNAYNFGPPWGKGTVFRTAKRLKCGSGKPAFDEDLNGIRWGGDRFWLGLQTLSSSSDDQSISTENVIAETLLTPNFYPQSIYQSAIVGTDRQPSLSFEMDVTPNKNYSVWLHFAEIDNGVTAEEQRVFDVLINGDTAFKDVDIIRMTGERFTALVLNKTVAVSGTTLKIILQPVKGTCAIINAIEVFEIIPAEKKTLPQEVNALRTLKGSLGLPLRLGWNGDPCVPQQHPWNGVDCQFDNTKGNWIIDGLGLDNQGLKGVIPSDISKLQHLQNINLSGNSIKGNIPISLGTISAVQVLDLSYNELNGSIPESLGELALLQILNLNGNRLSGRVPASLGGRPLHRARFNFTDNAGLCGIPGLRECGPHLSMAAKIGMAFGVLLAILFLVVFAACWWKRRQNIIRAKKLAAGKKCEQLSNEKSMMYKGVAASCPGPVPADRGDSPRDAGDVDPGQVQDELAGFGVPELEHDVLGDLAVLPRDVALGGEAVALLRVDRLHHRAVVDVAEVLLGDLHEVEDAELGVAHAVVL >Sspon.05G0003790-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:14756151:14757388:1 gene:Sspon.05G0003790-4D transcript:Sspon.05G0003790-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SHGGIALQLQAPVQHASRRRSADGRDAPERGEPGRRHRDQMGRKPHLLLHGRRHRVAGAVPGRRAGRLLLPVKGDVPLRHHQHGNQARRGQLRRRGRHRADDLRRPVVVSRRDRPGVPRQPHRRANHAPHQHLRQRCRRAGAAVLPPVRPHRRLPHLHHRVEPQVQQDQSGREGDPRVQELRGVRRGVPDVAAAAGVRQPVGRGRVGDAGRAHQDRLVGGALRRLLPQLHLHLVPAVARRVVVRRRAQGLDALRPGPEDAGRAVVGEPAVQDLRLLLRPQEVQRVRLPQGMLAATART >Sspon.06G0011760-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:46852320:46855288:-1 gene:Sspon.06G0011760-4D transcript:Sspon.06G0011760-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At5g50390, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G50390) UniProtKB/Swiss-Prot;Acc:Q9FK33] MDLQLAPPPLANLRLFAHRPKAAYASTSTSTATAAPSTSCSSSTEQPLKPRPRLPRQSPAPRPNPNPARLPALCAAIERHAAAGRHAEALDLFRLARAGAPFTPLPARTYHALLLAAAALREPGAAAAVAWHVESSGFELDLYTHNHVLRAYLECGMLAEARRAFDGMPDRNGVTWGIMMGGLVDRGRPRAALALFREMMRAEEAGGHAPPPRSLVVALRAATASASARAGRQLHCCVVKAGACGDVADRYLACALLDMYTKCGLIDEARRVFDGLPQPHRTSVVAWNSMLAAYVLHGRSEEALELYQEMCRSHVSMDQFTFSTMLGVFSRLGLLEHAKQAHAGLIQRGLPLDIVGNTALVDLYCKWGRMEDARNVFERMPRRNLISWNALIAGYGYHGMGDKAIEMFERLIAEGVAPNHVTFLAVLNACRFSGLVDKGKRIFQLMTENLRIKPRAMHYACVIELFGREGLLDEADSMIRRAPFTPTANMWGALLTASRIHKNMHLAKLAAEQLLAMEPEKLNSYLVLLNLYVSSGRQDDACKEARYIMKEVREAGFVAEENELLPDIHPEEQKISRAYHSERLAIAFGLISTSPHTPLRITQSHRLCIDCHKQSAIKQVAAHNTIVSR >Sspon.08G0006620-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8B:18167190:18170330:-1 gene:Sspon.08G0006620-2B transcript:Sspon.08G0006620-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDWKPRRPDMLTDTFGFGRIINDGLMFRQNFSIRSYEIGADRTASIETLMNHLQETALNHVKTAGLLGDGFGSTPEMSKRNLFWVVSQMQAIVERYPCWGDTVEVDTWVSANGKNGMRRDWHIRDSITGHTILKATSKWVMMNKLTRKLARIPDEVRTEIEPYFFERSAIVDEDNRKLPKLPDGQSTSAAKYVRTGLTPRWADLDINQHVNNVKYIAWILESAPISILENHELASIVLDYKRECGRDSVLQSHTSVHTDCNSESGETTLHCEHVLSLESGPTMVKARTMWRPKQTKAQETVVPSSF >Sspon.01G0020790-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1A:76996159:76996458:-1 gene:Sspon.01G0020790-1A transcript:Sspon.01G0020790-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RGQIQSLTTAGVLVFVYVRYLLSPRGHSPQAAASTRGLAESLQQQLSGPDASVAPSADQHTSTPPVSSFPGCTAPPPDVASLTTSSGGNPLLSGELPQFR >Sspon.01G0023930-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:92424475:92425821:-1 gene:Sspon.01G0023930-2B transcript:Sspon.01G0023930-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAYAATPSPPTTTSTCVDKLSHQIFSLLESKFLIGGWCPSSGAGTPARALLDGAGRVRVLAIDGCGAGAEDALLAAAALARLEAKLRDCTGDPDARVADFFDVAAGAGAGGVLAAMLLLRGNDGRPRYSAQEALAFVAGSVGRKDWCGRGRRGGLAKLFRGSRGGGDRMLRRVFGDATLRDTVAPLLVPCYDLGTAAPFMFSRADAVESDSFDFRLRDVCAATCAAGGALESVRSVDGATAIAGASGGVAAMGNPAAAAITHVLHNKQEFPLATGVEDLLVLSIGAGASASAANGSSTPIPTRSPSPRELARVTAEGVADMVDESVAMAFGHACGSNYVRIQASKAPAADEAAVAAAGAMMLAQRNVESVLFRGRRLSERTNADKVDALAAELVKEQERRRRSPLPNVAIKQVGTPRLSSATTASSGTATARTVSTMPSPASWESRR >Sspon.01G0003260-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:8926192:8929541:-1 gene:Sspon.01G0003260-1A transcript:Sspon.01G0003260-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDELMTAAPAAGPGTGSRPPGGGGRKHLSSIATTTLDRSVNDLVADFELGLKTAAVGNYSRKLSSGLQIIASHDIGEKISDGSLSRFTFDMMLAWETPTPSDQQVTMESIAKEREDRKEPLGENEAVMGDETSLFYSDIMPLLVNEEPTVGEEAYVWFGSVFPLACDVVNARFTFEALTATTANRLHYPAYDRFLKEMDKSFKFFQDLPTPTGVEFAEDEFILHMEGTAGTQRVVRHIGTTSWPGRLTLTNKALYFEASGKISYETAIKVDLSDTGIEHQISTASTGPFGVPLFDKAIVFESLSEPLVLEFPEMTSSTRRDMWLTLIREVLFIHRFISMYNIVSPIHKWEVHSRIILGVIRLHAAREMLRMSPPPASSFLVFSLYDDMPKGDFVLEQLASNLKQTSTITRLSASYVFKGLSKSYVTPLSAELAKDHDADSSSHEQPLASLENKIGQVKDEAREVTAANAAIEEMKDEGISDSLLVLVGLVGPIGKLRPVIQQITSWERPLVTGTILAVALLTIYNLHTSLKLIILAMYLNKLESENGTGHVMCIFSLPHVQRMVQLCVSCIPDTGSWPDGLGKAKKDRHDMLGSDHRYFFGQDNNGEHSGGTAKPTKSAQVHQDSKRCHPQVVVDCAGKVAKGSTPVVDRQQLRLFDPKVILHTETMIWMLTGSAVVVAVIPFKYILIGLMAGSFAANTRVARAC >Sspon.05G0039970-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:82822911:82824194:1 gene:Sspon.05G0039970-1D transcript:Sspon.05G0039970-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MWILEPVWPYIFPSSSSPCYWPWSTVDSLPSQRSSHTIRYELAEDPKPGVHVARRKGRQHLEMARATAAHPLGPEAAVVSTVVSGALKIVANKLAPLLIKEYSSIVGVKEDLQELQDLADEINLWLETTAGNSIWK >Sspon.06G0008500-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:38832865:38835043:-1 gene:Sspon.06G0008500-3C transcript:Sspon.06G0008500-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRTVSGLLVTKGGSILVFREESPRHKAAACCTRLGCSSKLFPNKDRKTHRAPMETSALQRSQVLRKSNRMSPQGSISYDRSTCRNTASAFSETDNIPRRKENPGCHLLARLKERVNASRKRSMGGLGSPNISSANTSSSSRSISRSICRPASRMKKDVGRGAEAMRMHKARESSGSSREDVLTRNSNQDPSDRFLSRSLLRHRSRLQQRPISSFEDTLDDSSEYWHFDMDDSEEEEYSSGVEVAKMACKHYYHTTCIQQWLQQKNWCPICKCVASVISS >Sspon.03G0008850-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3A:24010240:24014903:1 gene:Sspon.03G0008850-1A transcript:Sspon.03G0008850-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLPVMDTFVLPVHATIMGVHAVYVPIVGFIVRALVVAPYRIVANWLPQAPVVARSPPIMINGCLFVVENFEKWVHAMKFKIMRPNTMNKYGAVLDDFGLEAMLNQFMEQFIAPISKVFYPEVGGGTLDSHHAFIVEYGKDRDLELGFHVDDSEVTLNVCLGKQFSGGELYFRGPKEGEAAAPDAADEPAASVAPPASS >Sspon.02G0015490-3C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:46143788:46148050:-1 gene:Sspon.02G0015490-3C transcript:Sspon.02G0015490-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative potassium transporter 12 [Source:Projected from Arabidopsis thaliana (AT1G60160) UniProtKB/Swiss-Prot;Acc:O80739] MDDGGIQEEPPSARFLTPTRSGGTRWVDGSEVDSSESAPSWSLEDERSAGAVSSNGGAAAASRVSSGAFRRRLGKRPRRVDSLDVESMNVRGAHGHSAKEISMLSTLAMAFQTLGVVYGDMGTSPLYVFSDVFSKVPIKSEVEILGALSLVMYTIALIPFAKYVFIVLKANDNGEGGTFALYSLICRYAKVSMLPNQQRVDEDISSFRLKLPTPELERAISVKDCLEKKPLFKNILLFLVLMGTSMVIGDGILTPSMSGVLFWPVFVIATLAAMIASQAMISATFSCIKQAMALGCFPRIKIIHTSKKVMGQIYIPVMNWFLMVMCIIIVATFRSTNDIANPYGIAEVGVMMVSTALVTLVMLLIWQTNLFLVLCFPILFGAVEFVYLTAVLSKIQEGGWLPLAFSSLFLCIMYTWNYGSVLKYQSEMRGKISLDFILDLGATLGTVRVPGIGLVYNELVQGIPSIFGQLLVTLPAMHSTIVFVCIKYVPVPYVALEERFLFRRVGQKDYHMFRCVARYGYKDIRKEDHGFFEQLLVESLEKFLRREAQEIALEASTMEAERDDISVVSEVPQSPACEGDLQTPLLSDQRSGDNNRMVTTDGSDPVLPSSSMSAEEDPGLEYELAALREAMASGFTYLLAHGDVRARKESLFTKKFVINYFYAFLRRNCRAGTATLKVPHSNIMRVGMTYMV >Sspon.05G0031450-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:5916893:5919638:-1 gene:Sspon.05G0031450-1C transcript:Sspon.05G0031450-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ALAELYVINGQYEKALSLYAELLKPEVFEFIEKYNLHDAIRDKVELYAEYEPRMLLPFLRTSQNYRLDKAYEIFAQKELVREQVFVLGRMGNAKEALSTIINKLEDMQEAVEFVTEQHDDELWEELIRQCLQKPEMVGNLLEHTVGNLDPLYIVNLVPDGLEIPRLRDRLVKIVTDYRTETSLRNGCNDILKADCVNLLVKYYHEARRGVYMASMDEEVHGNRTDDGSSSRGHERSSSVRALDIKSRTRCGARCCLCFDPLPIQDISVIVFYCCHAYHLSCLEGGLDSMRSNSNQDSDSGTDDEDGSPSGQSLCLRSREAEGK >Sspon.01G0002740-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:7326642:7329508:-1 gene:Sspon.01G0002740-1A transcript:Sspon.01G0002740-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPASSYDCSFKVLLIGDSAVGKSSLLVSFVSAAHIDDDIAPTIGVDFKIKFLNVGGKKLKLTIWDTAGQERFRTITSSYYRGAHGIILVYDVTKRESFTNLSDVWTKEIELHSTNKECVKMLVGNKVDK >Sspon.07G0006940-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7A:18099246:18099458:-1 gene:Sspon.07G0006940-1A transcript:Sspon.07G0006940-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDTATEQRKARACRTRTSCTPERRGRRLLHAHGAAPFVASSSSSASLSWLRSFRFWRRATGSERMMTDR >Sspon.02G0010750-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:23714968:23717208:1 gene:Sspon.02G0010750-4D transcript:Sspon.02G0010750-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSRLLRGSRNGGMRPATAADAHQSRLLYELCALLLTVLRASPDDMAGAGARPLLPRQVTPAGVASMLLGASMALMLCGSVTFMLGFFLMPWVVGLGFLLLFVGFVTNLSGVWRAILLWPSASDSPKEASSPWHIFSKPSFMSM >Sspon.05G0005800-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:20133844:20135951:-1 gene:Sspon.05G0005800-2D transcript:Sspon.05G0005800-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPNSLLQRLASWCPWLLRLTDEPKQPVKVLVTGAAGQIGYAIVAMIARGLMLGPDQPVVLHMLDIPRSAETLNGVRMELIDAALPLLRGVVATSDEAEAFRGVNFAVLIGGWPRKEGMQRKDLIAKNVLVVANPANTNALVLKEFAPTVPAKNITCLTRLDHNRALGQISEKLGVHVADVRNAIVWGNHSSTQFPDACHATARTQHGEKPVVELIADEKWLKEEFVSVVQQRGEAVIKARKQSSSLSAASAACDHMRDWILGTPKGTWVSMGVYSDGSYGVPEGIFYSFPVTCDKGEWSIVQGLEVDDFARSKMELSANELDEERSMAYEFVSSERDQ >Sspon.01G0025960-2D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1D:89155821:89156480:1 gene:Sspon.01G0025960-2D transcript:Sspon.01G0025960-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAPVKFAALAVAIVAAVALSSFFHYQCRHRPSPFVSTEVLRLIVVSNEQEVGEEMDLADGNVELRCYGFSEHNHNRSAWSARADIPSGAEANLTFPAVHGDEVFEVLCSYRGANRCWAHGVRVFENPGHDNLFCSQQIGGCTVRFRKDGGVEKVYQTNGELDVQPPIFMGFVPDFDNARDSGCSSASCVGRTINRVIGEESCCDDSCGAWEKALPKK >Sspon.05G0009890-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:5A:28131434:28132006:1 gene:Sspon.05G0009890-1A transcript:Sspon.05G0009890-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclic pyranopterin monophosphate synthase, mitochondrial [Source:Projected from Arabidopsis thaliana (AT1G01290) UniProtKB/Swiss-Prot;Acc:Q39056] MESVFGESPSSSPLGSSPSQQPARHSNGAEDSPLVLTHTDSSGQAKMVDVSPKEDSKRVAIASCRVLLGQKVFNLVASNEIAKGDVLTVAKIAGITGAKQTSNLIPLCHNINLSHVRVDLTLNEEDSSVVIEGEATTSGKTGVEMEALTAVAIAGLTVYDMCKAASKDICITDVCLQHKSGGKSGSWSRN >Sspon.02G0041900-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:79408812:79414287:-1 gene:Sspon.02G0041900-1B transcript:Sspon.02G0041900-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSFLSESPCDEQHIHGYGFNPQSWLQVERGKLPKSSYSPSSIESLIKIAEPPVVPLYKPLDYVEVLSRIHEELEQCSPSERPGLYLVQSQVFRGLGEAKLRQRSLHSAWRCASTVHEKVIFGAWLRYEKRGEEIISDVLASCRKCCREFGLLDVASEMPVRNFEVIGSWETGSSSQLSSMVTFQIQGGRVTCDRCKIASLSIPFCSMLNGPFTESQLELVDLSENGISLEGMRAFRDLPVEILLEILVFANTFCCDRLKDACDRKLASFVSSRQDAVELMTLAFEENAPVLAASCLQMLLQELPDCLADDLVISLFLGATAQQQLIMVGQASFLLYCLLIAGIARIAGIQGQKALAYEKLSSVITSNLPLGWMYLERSLYSEGDRKLADLDKASELDPTLTYPYMYRAASLMRKKDAKLALEEINRLLGFKLALECLELRICLYLALEDYKSAICDIHAILTLSPDYRMLEGRVAASKIGTLLGAHVEQWNTAECWLQLYERWSSVDDIGSLSVIFRMLESDAAKGVLYFRQSLLLLRLNCPEAAMRSLQLARHHAATEHERLVYEGGFYMTRGTEALQKAEESISIQRSFEAFFLKAYVLADSGVDPSYSATVISLLEDALKCPSDRFGRALNNLGGVYVDCGKLDSAADCYTSALKIRHTRAHQGLARVHFLRNNREAAYEEMTKLIEKAKNNASAYEKRSEYCEREQTMTDLQTVTQLDPLRVYPYRYRAAVLMDSHKENDAIAELSRAISFKADLHLLHLRAAFHEHIGDVPSALRDCRAALSLDPNHQEMLELQKRVNSQEP >Sspon.03G0032280-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3B:36601210:36601436:-1 gene:Sspon.03G0032280-1B transcript:Sspon.03G0032280-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LMLPAFSACCEEFVSRWGSDGWCELDVWPELQALTGDVISRTAFGSSYLEGRRIFELQSEQAELFVGAVQKIAIP >Sspon.01G0010190-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:28760715:28773594:1 gene:Sspon.01G0010190-3C transcript:Sspon.01G0010190-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRAWTTTNVLSSGCRAGDQTSCVSDLVSSSSWDILLHRIGDLLMCYILRHSSIFLPVKKNGFFQVTGLPLNQKPMFASMSKNQQPQSTKGKYLCYLCHNPKMSQNISGGRVNNSKFAFSSPDTSTWKFHNPKSSGSYGSAKFREPNCLIEGCNHSELPLTNGSMKCSSLDNQNPRKRKRMYSWQRHNKQKQICSEDRLSTGCSKINISRFGVHDVLLENLCATVNDKVRFLEPTVDNDSLAMSSDVTHSHTKESYGVLSYEKSPSSVFDIGPSQCSKSRIQSTFPQVGLPNFMHLNNGPICFNCLMLNSSKCVSVDLLISRHAIFYNRRTSYNVFHGNRILIYFMRWLTHVAKQGVVTYNNLGRNVRQTQELRHIKQKEKTRCIISYNTYIWNQGMLCKVIEMRLPCVYYNKFEFLIMKTDDDCRVTCLSLPKLMNKLIRNSKRCQYKKLLLKHCSVNSKRAADVAKNDNEKAQFLIGGKSAYYDQAYVQLEAYSTHQQVVSFIWAVLRRIIPEPLLGNSCGKRSLRINIWKFIKLRSFDLQNLLPKAFECSKTAPEKQQRRLGRVKETLYHSKLKRQLIAT >Sspon.04G0007660-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:21311101:21312910:-1 gene:Sspon.04G0007660-1P transcript:Sspon.04G0007660-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AMRKSSSGHVIGVPVTSKAYGVEEVSSRDPSFRKGDAAGDHLAVSLTHPSPYASFGYKPGSKGQVIHWVSKLGRRAQGFREHVTLGPKLSETVKGKLSLGARILQAGGVERVFRQAFSADKGERLVKALQCYIYTTGGPIAGMLFVSTKKVAFRSDRPITVTSPRGGDTPRVTYKVVVPLRRIDKVRPTDNVDRPEEKYIHVATVDGFEFWFMGFVSYQRSCKYMQQAVSELNLQ >Sspon.03G0011330-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:38298606:38310019:1 gene:Sspon.03G0011330-2B transcript:Sspon.03G0011330-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-like modifier-activating enzyme atg7 [Source:Projected from Arabidopsis thaliana (AT5G45900) UniProtKB/Swiss-Prot;Acc:Q94CD5] MRGFQNLDREQLLKAEAKKILLDIVSGKVEEDPSVLLRFLVTSFADLKNWKVYYNVAFPSLVFNSRMTLLNLQPASKVLTKEEVMFMCTYISSDSSASIRQLKDWKACQGNYQKIEKVQFFCYREYKGNPDLEQSLIGEASFPSPCGWDGADFLPDAIGWEGINPGKRTKEMKPKEIDLQSMNPASQDEEKQLMHLKLMGWRHFPVNIEKLSHVRVLLLGAGTLGCEVARLLMTWGVRKLTVVDSNSVATSDLVKQSLYIDKDCGVPRVAAIVPHLKDRCPAVEVEGIQMEIPVPGHPVSSSNVASVLDDCKHLQTLVAANDAVFLLTDTWESRWLPTLLCASENKIAISVVLGCDSYLVMRHGAGPGTSGGTDEVITQIENLSTEDALGHQRLGCCFCNDAASLINLHLAKQWSSLLGCYIILTRYMPQAILLVWKQNISLVYCRISYEDHSQSVLSEYRRRGLDFVMQAINYPTYLKDFTGISDLKRPDTCPKIPASISVNSDKISDVRCLLLGAGTLGCDVARILMDCGVRRLTVVDSGRVVVSNLARQSLYTSDDRGAPKATAILRHLVERCPSVDAQGVKMEIPMPGHPVSPGEAAGVLQDCERLKELVASHDAIFLLTDTRESRWLPTLLCTNENKIAITAALGYDSYLVMRHGAGPGISCEASNAATATDKLSTEDALGRQRLGCYFCNDVIAPVDSVSNRTLDQQCTVTRPGLASIASGRAADLFRRMLYHPDGIHAPGEIAGTGSSHEHGLLPHQMRGSLSQYNLLTLLGYSSSNCTACSNAVLSEYRRRGMDFVMQVINEPTYLEDLTGLTDLMKSTSYSQVEWVDDTDEDDFIEV >Sspon.05G0011940-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:34902371:34905676:1 gene:Sspon.05G0011940-1A transcript:Sspon.05G0011940-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTVSGEKRPPPPAPASSASRKDLYIHFNAIQAFAEKYEGTARKLILALFICLSALLYKQIQPPPPKIAGSPGGPPVTATRTRLSDGRYLAYLETGVPKEKAKYKIIFVHGFDSCRNDALPISKIDLFHGMRNRWMQELAQELGVYLLSFDRPGYAESDPHPGRTEKSIALDIAELADNLQLGPKFHLIGFSMGGEIMWSCLKYIPHSQKLFPASSVIAYNPALLSEEDKLLMAKFGYRTYMPQIRQQGEHECLHRDMMVGFGKWSWSPLQLENPFADADDDGQLEGAGKVHLWHGAEDLIVPVSLSRYISEKLPWVVYHELPKAGHLFPIGDGMADTIVKSLLLGDDYPSSASASQQPPDSP >Sspon.08G0018990-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:51829604:51831799:-1 gene:Sspon.08G0018990-2C transcript:Sspon.08G0018990-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding TLLNFEQHNHLCAAVSQERVVITNNHGERLVGLLHHTGSNKIVVLCHGFIASKNDSLILDLAAALTKKGISVFCFDFSGNGESEGQFEYGNYRKEADDLHSVVLYLYQKSYDIAAVVGHSKGGDVVILYASVYNDVSTIVNLSGRFDLKKGIEERIGEGSIDRINKEGYLDVKDKSENVNYRVTKESLMERLNTDMRAASVSISKECRFLTVHGSADKTIPVEDAHEFAKHIPNHKLHVIEGANHNYTAHRKEVADAVVDFLTSNG >Sspon.02G0043620-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2B:93657705:93658634:-1 gene:Sspon.02G0043620-1B transcript:Sspon.02G0043620-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPPFICTVGSPNIGVGGFGIEGVADVNENTALGIGLNGDVAGPVFGEPYEGGAGVVNLLENTDCAKNAGLELKAKDELVAGEDGGAVPVTEVGPEAAAQENRELEFKGLEPIVAEPTVEEPAMPNSRMELKVVLLLFAASDLRCTAGELAVRTGGKPKATLGLPVQDDAKDLAATNSEELPANIFLAADKLPKPVLEKVRLPPVEQLCVDFEAKLVEMVEEPAL >Sspon.04G0008380-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:24332511:24333557:-1 gene:Sspon.04G0008380-1A transcript:Sspon.04G0008380-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRTGNKLARALAIWCLAVLLLLLPCAARPISETSTIDGSRSKHLPLRGSLLRGPESVAFDGAGAGPYSGVSDGRVLKWNGFARGWSTYAYSPGYDAEACTASRARPAELTESKCGRPLGLRFHHRSGNLYIADAYKGLMRVGPGGGEATVLAAEADGVPLRFTNGVDVDQVTGDVFFTDSSMNYPRSQHERVTATGDSSGRLMKYDPKTGQVTVLQAGITYPNGLAISADRTHLVVALTGPCKLLRYWIEGPKAGTSEHLADLPGYPDNVRADGRGGFWVALHREKMELPFGPDSHLLAVRVGADGQVVQVMRGPKSVRPTEVVEREGGKLYMGSVELPYVAVVRE >Sspon.01G0011120-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1A:30628110:30628535:1 gene:Sspon.01G0011120-1A transcript:Sspon.01G0011120-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNSCHRRCSPLVSKIRRDAFVIQLFSLVLTQISLADEACRLQEFSRSRMEMQHDRLDNEMLMSWSMDRPIYVGLQDGLKKADKISELPGQPEKAAFDQYAGYVTVDATSGKALFYYFAEAAEDPSTKPLVLWLNGGKEAY >Sspon.01G0028790-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:100441830:100446105:-1 gene:Sspon.01G0028790-1A transcript:Sspon.01G0028790-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTREEWSMSDFEIGKYIGEGKFGKVYLAREKQSGYVVALKVIFKAKLEKYRFHAHLRREIEIQHSLDHPNVLRLFAWFHDEERVVLVLEYAARGELYKVLRAAGRFTERTAATYVASLAGALAYCHKKQVIHRDIKPENLLLDIEGRLKIADFGWAARSNAKRHTLCGTIDYLAPEMVEKKAHDHAVDNWTLGILCYEFLYGSPPFEADEQDDTLRRIVKVDLAFPSTPYVSSEAKDLISKLLVKDSSKRLCLEDIMKHPWIKRNADPSGSCIKQKDVTRSKREEVVSSSKVSASIVQNKHKA >Sspon.01G0040170-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:31791056:31796571:1 gene:Sspon.01G0040170-2C transcript:Sspon.01G0040170-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding PPMEDPNKTFADVVKLLTSWLPRRSNPDNVSRTSGCLITVVEFAMTVTHNSPYSTAGIIVVAVGGFSVCTANSIPVSSGPDRNIDEGDRIRVCNFCFKQWEQERVTSLKQVQPVLSPSLSEASLFSTKSAITINSVTTTAGSYSTGNYQHVGCVTSISPPKCCHDKTSHNMQEAHAPEKCLSTVSNKDDSSVQFGYYTNRSDDEDEEYPAYCSDRQVQNQQQSGQYYGPDEFYELDTPYNSKTSQTVEESVTSKELSRHVLDQGFPSTPTVTKSDNEPEPDNSSECGAASSIYALESNDTNPVDFEKDELFWLPPEPEDEEDEMQTDLFDDDDDDDESIADGERSRIRSSSSFGSGEFRSRDRSGEEHKKVMKNVVDGHFRALISQLLEVENISLHEGDDMGWLEIVTSVSWEAANFLRPDTSQGGGMDPGGYVKVKCLACGHRSESTVVKGVVCKKNVAHRRMTTRIEKPRLLLLAGALEYHRVTNQLSSIDTLLQQETDHLKMAVAKIVAQKPNLLLVENSVSRYAQDLLLEKNISLVLNIKQPLLQRIARCTGAQIVPSIDLLPSQKLGYCELFHVDKYDEQSVSSGNVSKKMVKTMMFFEGCPKPLGCTVLLKGGSMDELKKIKHVVQYGIFAAYHLALETSFLADEGATLPELPLKSPLTVALPDKRSTADSSISAVSGFTINVSNSQQTDSFDHLGTNYIMSTHPGENDVVEAPVSSESFTSQNTYSHSLGPWCANNINFNNGTGDGDGLVKVTATSTSVSISSTSTSGALTNHTPRYSSVDEKSMHFGGYHDGSTRLHGKTVTMDSTSTSSCYHQSTVKASTNINSSNVKESLEGSYALANVKTINKNNSVVVQPVPTAAVQNQETSQGDDSTSNKDEVVPSDHQSILVSLSTRCVWKGTICERSQLLRIKYYGNFDKPLGRFLRDYLFDQGYQCRSCDKPPEAHVHCYTHRQGSLTISVRKLTEFVLSGERDGKIWMWHRCLKCPWSNGFPPATQRIVMSDAAWGLSLGKFLELSFSNHAAASRVASCGHSLHRDCLRFYGFGKMVACFRYAPISVHSVYLPPHKLDFGHQPLDWIQKEANEVIERAKHLFDEVLHSLHSISDKKVQGSSLNMEFSNYIADLEIMLRKE >Sspon.05G0029430-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:78600858:78601430:1 gene:Sspon.05G0029430-1B transcript:Sspon.05G0029430-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPDDENNHEVDVITAHGDSIVLRMMPRRRHTVVDGSVVPPTFDHFMYRVGTATRPPSLTLLPGLRFPRKYHSPRFLLDDDTAVLRRGEDDLLVAQIDTGHHNDGPDLADLFVLRVGWSEWELKRAVPIAHEEGEELMGSLTSPSMTIPVNYDIGLVVWDMAEEASPKLRHIPLPVSYDPSY >Sspon.08G0009730-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8A:42650634:42651194:1 gene:Sspon.08G0009730-1A transcript:Sspon.08G0009730-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGQPAPARPVVVVAMKGHPGSGKSTAARAIAAALRCPLLDKDDVRDCTLPLEGLVAAGMLNDLSYAVLWRMVERQVRLGLSVVIDSPLSRRAHLDVLTRLAGALVVVVECRPGDNAEWRRRLESRGAAVANGGGGDGWHKPNTWAELERLLEGYQGCTDYEIEDVPRIVLDTTDPAVDAQAIAEK >Sspon.03G0002350-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:21224326:21228150:-1 gene:Sspon.03G0002350-2C transcript:Sspon.03G0002350-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTLRSATTPSPAAASTPRSVKRRLTPGRTGESPDASRHTSPHRFPHAGTGTVCMPKLLSASPKSSRKRLYGDLVAAEKPKWNPRDASQMRAVKEALHVATVPSSELVCRDNELRRVLECARRVSSRRRLVASMLVIVDEMDYLITRDRAVLHDLFMLTTCPFSRCILIGIANAIDLADRFLPKLESLNCKPLVVTFRAYSKDQISDIVKHRLKDLEYDVFEPLALEFCARKIAAASGDMRKALGVCRSAVEVLEAKLQDSPDQELGIVTFDHMDIALSKAFKSAVVDSILCLPQHQQMVLCALANTFQHCKKKATTLGELNKSYIEICRSTQVPAVGMLEFSNMCMVLSDQGFMKLGQSKEDKLRRVTLQIDRSDITFAFKVLSFNMIKPWLHHEALSWSAEISVHVMSYGSIM >Sspon.05G0010370-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5B:24455253:24457162:-1 gene:Sspon.05G0010370-2B transcript:Sspon.05G0010370-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSQSHLSPWRGSAARRRWCQAAARPTTVLVIAVTVFAPLLLAVVLFGFRVTPSGANSTWVSAGVRVVLKAVSDEGTSGPGPLATVPDPSDRLLGGLLSPDFDESSCLSRYSAALYRRPSLHAISTYLVSALRRYESLHRRCGPGTPAYARAVELLRANASFATSSSSAASGSSCSYAVWTPIEGLGNRILSITSTFLYALLTDRVLLLHSSGDDLHGLFCEPFPGSTWILPAADKDDFPIRGIEKLTDRWHHDSLGSVLRRGEDPGMAPWLYVHLRHDYTKDNRDQQFFCDDVQAKLRTVPWLVFRSDNYFVPGLFLMPRHEAELARMFPRRDVVFHHLGRYLFHPSNTVWGMVTRYHDSYFAKADERVGIQVRRFYWAPISTDDLFGQILNCTQREDILPGAAKGGSTAGGQPGKQKAVLVVSLHGEYSEKLRDLYHEHGAAGGEAVSVYQPTHLGSQRSGEQQHNQKALAEMVLLSFSDAVVTSAVSTFGYVGQGLAGLRPWVLTSPVNKKAPADTPCRRAATIEPCFHAPLDYDCRAKAKGDAGRRVRHIRHCEDFPRGVQLVE >Sspon.01G0056660-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:91392799:91393788:-1 gene:Sspon.01G0056660-1C transcript:Sspon.01G0056660-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding HGPAVGVRARGVVTVGGGAAGPAVQGGGAGCVVSGHGPRHAKRVVGARAPASGRGPRRRVPRPRGAGETVAAAGRRRPAAAARFLL >Sspon.06G0003230-4D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6D:7598076:7601102:-1 gene:Sspon.06G0003230-4D transcript:Sspon.06G0003230-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAANDSSSSADKRILTRIETMEYESRFIKRQSEIQEIINKLNSENKGQEYEVVTVFGKGGLGKTTLVKSIYQNEDLRTNFQKRAFVTIKHPFNLKDILDSLVKQLDKEEKFAGKEKDKERGGDKTKLEVKQRKSWLAALLNQGKYLIVLDDISSIKEWDNIKNYLPETNTEGRIIVTTSTENIARHCSNKKDGGNIRKLKCLDEKDALNLFKETVFRGIEDWDGKFPDSDLCKEADSILTKCNGFPLAILTIGGILAKQPKTLVEWRKLNEYIGAEIKTNPELDPIRTILVKCYDYLPYDLKYCFLYLSIFPEDHTISRRRLVHRWIAEGYSSGEHGKSAKEIADGYFTELIDRKMIVPFVESDGSTKGVDSCKFNNLIHEMSTLKSSEENLVFRLEEGCCMNTQGKIRHLSVSDNWNGDRSEFEDIVHPSSIRSLTVFGKWRPFFISEKMRMLRVLDLEGTSGLNDHHLEHIGKLVHLKYLSLRGCHHICYLPNSLGNMHQLETLDVKHTHIIKLPKTITKLRKLHYLRGGGIGFLGANSYEEAVEDMPKLLQRRLCLLSICSVAYCVACCAPQLMKNVCNGDPNRRDVCTACCCTVLPFVARHLDLSGVLVPSGFNKLTAMHTLGTVNIGRGKAILQDISRLTRLRKLGLTGINNGNRKECSLALSALSNNLESLSVRSVGNPGLVGCLDFDDMYSPPENLKSLKLYGNLVKLPAWIDGLQNLVKLTLRRSMIEKQEQAKAMQILGNLPSLETLRLLEKSFQGEELLFVKDEKAFRSLTRLVLILLNNLKAVKFEEGATRKLKMLQFYGLPSKSNAKLFDGLSSLESLKEFMLDDDAMYDKSFVEGLRKQLAANTNMPILKRYS >Sspon.03G0041120-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:26669480:26678253:-1 gene:Sspon.03G0041120-2D transcript:Sspon.03G0041120-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQMKKLTYIGMELQFEWDQVAAFVRQPDGSLFSWRERYCCFRYLIYGIVNKTNSEIVLKFDDKEFHWKQSESLLTRLEAEGVVKLVFPLHDEIKRKQLLRNWALNWLEFTWQPIDEIYSYFGTKIATYFAFLGMYARWLFFPAVFGLATQLIDFGFLSDSLTVEEKEFGDVSAEKRKLQRNEWFGVLLRIRNNAIIVLAIICLQLPFELAYAHLYEITETEVMRYLLTAVYLVAIQYYTRIGGKVSVNLIKYENNQGEESSSASLVYKVFGLYFMQSYIGLFYHASLYRDILALRQVLIQRLIVSQACLQQNVIENSIPYLKYSYKKYIAVHKKKHEKESPVGRSVRLSTRVEKEYLKPSYTASIGAELEDGLFDDFLELTLQFGMIMMFACAFPLIFCFAALNNVTEIRADALKLLVMLKRPVPRAAATIGAWLNIFQFLVVMAICTNCLLLVCLYDEEGKWRIEPGLAAILIMEHALLLIKFGFSHFVPEEPAWVKANRVRYVAQAQNVCSKQLLRSISKFDRKLD >Sspon.02G0015520-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:42323661:42324843:1 gene:Sspon.02G0015520-1A transcript:Sspon.02G0015520-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GLDAGLGLDQVRHVTSYSWPCSTRRAVVHGPWFMVLHELVHDVRLGWLTVKWVLAESCRATYGEPGPSRIFRFSSDLTVGSMS >Sspon.02G0039910-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2B:63069064:63073655:-1 gene:Sspon.02G0039910-1B transcript:Sspon.02G0039910-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADDDYNEMDVGSASPPTQPLPSSHRMRTPEIEVHDCVLFSAHFIEGAEEELENNNEDAPDDVVGAEAEDKEQEKTACTRKTTNMNAPVMVELEGETDPLEILRQHEKTQLPKCEQSYNRSNMIICKIEGATWTTYVSSKGYSFTKGQTGEFHANECYTGYGILDNIK >Sspon.05G0008590-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:15912037:15914892:-1 gene:Sspon.05G0008590-4D transcript:Sspon.05G0008590-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LLGAGAERGGGAVLQLTVCSLLFLFPNRARVLALPPPPGAAAVVEATQEEEDKEWEAALQKWKTKTYALSVPLRVVALRGSFPPSWIKDFVEAQGKRLKFSPELRANLDGIFSEMSQCMDKGQVQPKSAMAADIISLGDSWLGYAIRKGLLEPVKNAEEQDWFRCLSDRWKVHLRRNQNGEADPNGTIWGVPYRWGTMVIAYKKNKFKRHNLKPIQDWEDLWRPELAGRISMVDSPREVIGAVLKHLGSSYNTVDMEIDVNGGREAVLNSFTQLQKQVQLFDSMNYLKAFSVGDVWVAVGWSSDVIPAAKRMSNVAVIVPKSGSSLWADLWAIPCATRFQTDQIGSRTRGPSPLIHQWFDFCLQSARSLPFRQDVIPGASPLYLENPVPEVPQDKNKRKPKLDTNLLRGASARDPGEMRVFGTPVRYGAGGLPVADV >Sspon.07G0028520-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:67274487:67274708:-1 gene:Sspon.07G0028520-1B transcript:Sspon.07G0028520-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding PMAAAAAAEDAKEKELLSSVVGDIRSYSGSDPLRPWLRYGTRTHRSSFLPCLFSASPHRFLRPADRLIRPPAVC >Sspon.03G0006710-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:23403421:23405517:1 gene:Sspon.03G0006710-1P transcript:Sspon.03G0006710-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LCGCAMAAEGSDPASPASAPLPLPLPKRRKISLEHRTRPSQVTLDKDKSVASTTLLQIPGSLPARVDLNKVIEAKRFAVLQAQHEGCLGSYKSFDSLFGNYLFPVIPTNDFFDQV >Sspon.03G0010280-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:27922055:27923612:1 gene:Sspon.03G0010280-1P transcript:Sspon.03G0010280-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADHPLAGEHHHPSPASSAATALGPLLLLPSELLHEILLRLAVPELLRVRSVARPLSSLISSPDFRRLYHLSSASSGPGPAAAWLLLFKKLPPRDAAIRGFHGPSGRWFRIPVSAILAPAVPPGEDLYFLAASASSFLFAANGRRELVVVDLTARAARRLPPSPLGPRGTSSWRRFGLKLVADPPGSSRFRFLFAELVNNTPFLFEYRSETDAWQQSEAVLAEGEGAEPAAAPDGDGTYLCAAHAGPDCVMVYAGPREDDRPVFFRPRFPNNAAAAGHGGDRLHVAAVVRSAAIDDPTSRTRVKVVTGVDLYGFGAGAVGGDWELVASVPGDLVAGFRKPYAVMTGLLAEREGVVRLVLISNCRGAWDLVWLSYDRARREWRWVPVPDWGSSKGLNMAGIAVSSTFSRLWPLAAPASSSSSHQ >Sspon.01G0046340-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1B:97037614:97038015:1 gene:Sspon.01G0046340-1B transcript:Sspon.01G0046340-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPARISTPTRSGGYSTRGEQPHAIKAIQANKCHDPRARGHVRRLYDHQGDRRPGSSYQLLYDKPGRSGGDDEGHDETTSHRTADEPPPYQQYRHDRHSSTTPHHIATWAQDHDDNHARTYATPRQDDLPCRSS >Sspon.07G0023250-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:30436533:30451444:1 gene:Sspon.07G0023250-1P transcript:Sspon.07G0023250-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMERGVVVRVGWGREPEAARQRGGRFQRMVDAVADEGAAGRGGGGAHGALRGAVVLRGGRRRVLPTEAAARVAGGGGGGGDGTGATPDVFSCPCAPSRTSATPCPRRDAVVRHGLLPVLCSRLLAIEYLDVAEQCLQAFEKISRRQPTQCLQAGMINAVLAYIDFFAASIQIVEKVAACLKASWTPLALQLILWTSSVTRVNEALKLANQLIPSAARDVEDTQIILAKEKIITDEPSKPEILQELLKETNIPSFLAGLLSRKDHHVLTSSLKIIEILMQKLPDAYLGFFIKEGVVNAVEALLNQEDCSKSTHLPDDMQQPETQPVIRNKTTCFCYALDARRSEAAEKRTCRIGKDSLFTFARHVKTTYFTKDVVSSEMGLTEILQKLKTCCAVLNETTDKSSEQCNLQNEEYLSTILSEVMMELHGGETMTTFEFLESGLVKSLSNYLSNGKYLQKAESPPSGTALESKYADDDSQERDSTPSQKADSPSEGLTCENQNPPLETSPKQGTSSSGPTERNTTILSDNTVQQKLVFSLNGKELDRSVTLYQSILQDQINAGSDIILDMQFWRTVHDITFRAANPEANRTAVNPEANDSPRHSSTAVSSINENITGFTWQMLPFFSSMLLGKLPCKLDRSGPSYDILFMLHILEGLNRYSFHLVSDERNRSFAHGRITNLDDLKAEVFSIPQQEFVSAKLTDKLEQQMHDPLVSRSCCLPLWCTELMSACPFLFSFEARWKYFQLTTFGSLKNHHGHMMDASVNSVAERVSSHSRKKFKVDRDDILVSAAKMMKSHAKSNALLEVEYKEEVGTGLGPTMEFYTLISHEFQKSGLGMWRGEFPCESGTNDAHVSGFVVAPNGLFPRPWSASADSASFQEVSERFHLLGLVVAKAIKDNRILDIPFSKAFYKLILGQELNIYDIQSFDSELAISLVEFQALACRRKYAESNFTRDCQIISDLTYRGCRIEDLAIEFGVPGYPEYVLSSGSRSDSLNAENLEEYVCHVVDATVKSGIARQMEAFKSGFNEVFPLKKLQVFSEDELERLLCGEQDTWDFAKLLDHIKFDHGYTSSSPPVINLLEIIQEFGSLERRAFLQFITGSPRLPPGGLAALNPKFTVVRKHNSNDADDDLPSVMTCANYLKLPPYSSKEKMREKLLYAITEGQGKGKHGVNVGVSRGCERWHPKSPENG >Sspon.08G0005190-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:12473500:12481727:1 gene:Sspon.08G0005190-2B transcript:Sspon.08G0005190-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDRCRDRDMFLRELDRSMGLSVGFNPADLWPSSRLVGQLSGAVRRAEECRDTVFGILDGIIKEHLQRMDIGGAGQACEDLLDVLLKIHKDGSLQIPLDMDVLKAVIFDIFGAGSETSATTLEWAMAELIRNPKAMQRATAEVREAFGARGAVAAHALGEHRYLHLVIRETFRLHTPRPLLLPRQSQVPCRVLGYDVPAGTTVLVNVWALGRDGRYWPGDPEEFRPERFEAEASAVEFKGADFELLPFDAGRRMCPGMSFGLANVELALASLLFHFDWEAPGVSDPAEFDMTVAFGITARRKANLLLRPILRVPLPAGHQAAMEMAALPVHLLLLLPLLAVVSFLWLSRAALCRRGGGPRLPPSPWALPVIGHLHHLAGALPHRAMRDLAARHGPVMLLRLGGLPVVVASSADAAREVMKARDIEFATRPVTRMVRLAIPEGAEGIIFAPYGDGWRQTRKICTVELLSARRVQSFRPSEEAGRLLRAVASAASVVNLSELLAVYAADSSVRAIIGSRFKDRDTFLAMLERGLKLFANLSLPDLYPSSRLAMLVSRMPGRMKRHRQEVVAFLDAMVREHEESRAPDDDKEDLLDVLLRIQREGDLQFPLTTDNIKSVVGDMFAGGSETAATTLQWIMAELMRNPRVMKKAQDEVRQALTVAGRQRITEDDLSNLHYMHLVIKEGLRLHPPLPLLLPRECRSSCQVLGFDVPAGTIVFVNAWAIARDPSSWDKPKEFVPERFEGSGVDFKGTDFEYVPFGAGRRMCPGMAFGLVTMELALASLLYHFDWELPLGMTATDIDMTEEMGVTARRLHDLLLVPFVRVPVPMTMT >Sspon.04G0025930-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:39918897:39923276:-1 gene:Sspon.04G0025930-2D transcript:Sspon.04G0025930-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSPKAAKSMVKQRIWRHRLVALFAAVLITAVLAVVVFSGLFAQDPNATSEFTAVNVGYTRPVRDKSESTAASGSPNKEDLATAASDQELDDGNSEPNQAFQGTMPAAGEGGTATAVPAQQERSTGGSEPEEKQSSPQDQEGEEATARSGLIQYTRCTPQTGTTICDLSNQRFDICELCGDARTIGRSSTVMYVPQSLTSNGEEWNIPAQSRKSLPWIKKVTVKTLKASQQVPRCTSRHAIPAIVFALGGFTGNVWHDVSDVLVPLFLTARQFDQDVQLLITNNQPWFIKKYSAIFHRLTRHNIIDFDADDEVRCYPHVIVGLRSHRDLGIDPNSTPQNYTMMDFRLFVREAYGLPAPEVDIPYRADKDDPEKKPRIMLIDRGKTRRFMNMPDILRGLDWFGFEVVRADPRIDSNLEEFVRLVDSCDAMMGVHGAGLTNMVFLRSGAVLVHVVPYGIEFMANGFYGAPARDMGLRHVQYSISPDESTLLEKYGGNHTVIKDPETIRNSGWEKVGEFYMTKQDVVLNMTRFGPSLLKAIEFIV >Sspon.04G0034130-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:71482034:71484400:1 gene:Sspon.04G0034130-1C transcript:Sspon.04G0034130-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ISPLAARRHEACAVARCRRSCPPAAAQVWDPPSAALLWPTLLPSARRPESRNSLKSSEAWLHATAVRDLRLRPSEGVTTTHGLCQCADPATSTVAAREGGVTRRAGEGHGTRQPGSRGGEGHSRACREGA >Sspon.02G0014350-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2B:36268170:36270640:-1 gene:Sspon.02G0014350-2B transcript:Sspon.02G0014350-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGLGLAYLWGRDVRRGTPVVVTMENPNYSVVEIDGPETEALRAGVPGPTMDKGRGRSAKQFTWVLLLRAHRAAGCLASLAAVTWALPSAVAKRFRRAAAAEGLGHGHGRGWLLYRFIKALLALSVLALTVELAAYWNGWHFHRPNLTVPELHVPEVEDIQGWLHTAYLAWMSFRADYIRRPIEFLSKVCILLFVVQSLDRLVLCIGCFWIKLRKIKPRIEGDPFREGSGYLHPMVLVQIPMCNEKEVYEQSISAVCQLDWPRDKFLIQVLDDSSDESIQMLIKAEVSKWNQQGVNIIYRHRVLRTGYKAGNLNSAMSCDYVKNFEFVAIFDADFQPSPDFLKKTIPHFEGNPELGLVQARWSFVNKDENLLTRLQNINLCFHFEVEQQVNGVFLNFFGFNGTAGVWRIQALEESGGWLERTTVEDMDIAVRAHLNGWKFIFLNDVKVLCEVPESYEAYRKQQHRWHSGPMHLFRLCLPDIITAKAELPVWVICYVPVCMSFLNILPSPRSFPFIVPYLLFENTMSVTKFNAMVSGLFKLGSSYEWVVTKKSGRSSELDLLTSRKCITLPQLQKQLPENSELIEINVPKEQHEKVPHDAKKANKIYKKELALSLLLLTAATRSLLSAQGIHFYFLLFQGVSFLAVGLDLIGEQIS >Sspon.02G0052140-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2D:79489405:79494971:1 gene:Sspon.02G0052140-2D transcript:Sspon.02G0052140-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RAQGLISLPIQLPHCQEFPILHYADDTLVFMNGNAREIYFLKALLNSFAELSGLKLLWACYYSNGSLPMNQTVLSLFVECPLSRTIWEHVATWSNCENLQPAQWGEAMDVEDWFLKMIESGSRKAHTLAILTLWCIWSQRNAAVFNNKTSTAAQVFARIKDESLLWATAGAKNIDWISAMPDDILVKILSLVTIREAAMTDCLSSRWRHLQENVHRLSLNAHALGMQVLAKSNYHENPDLWNSEATKFVHKVNKLLRHHNVVLNIMSSCSALRLLALSKCHQLINLRVSHAQLLRMGVNDCKCLISISIHAEKLEDFSYKGHKVDVQYKYVPVVHRLGAHFVKKNECPLECIGAHSKLRSLTLQFPSCLQVPCVLQKGERFVGLKEIVLCLLTSWKEHIHSVAYLLRAAPVVETFKIEVKLGLLINSVKSDGFGIFPSHMMGTGLWKFATVELAGSQWPKNYILSALHTVKFGGFSGEAELLQLLFFLLRRSPKLKDLLIDPLSYHYKGCDRWKRKKSEDATRCYYARGVALKHLSPEIPSTVKFSIMLLACSVLAQRDVADYR >Sspon.02G0016230-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2A:44445904:44446272:-1 gene:Sspon.02G0016230-1A transcript:Sspon.02G0016230-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MENLRACIYDRIKGEILGCASGTNGKSLLQMPSSSNEESFAVYWTQESGDADDQTVEQQPEDLKPQEQQLNELVDTQMEDIVGVAKNINSRGKDKLVEQVAQWISVITKSDDNVKMLLKLTW >Sspon.06G0026920-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:81567725:81574254:1 gene:Sspon.06G0026920-1B transcript:Sspon.06G0026920-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLALGGLERIVRAALKIKNAVETVQQNKEECRDIQTCAARITGLLSWLKYLTMGAVMHPAFSRSLHDLGDSMEEALKHITNCQQRSALRHLLGAGNMAKQLRRVHDDILHKLALANFATSILQVQISVYYDGAHPPLLPQVAGGVNSYDSNLSAIEMRVSGF >Sspon.07G0018350-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:66107960:66113849:1 gene:Sspon.07G0018350-1A transcript:Sspon.07G0018350-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGDDALARKRNRVRRKRLRSSENAVSARVAAIIASKRRRKSGKRRGCEGMCFSLPTPDDPFNERHGKKRKVDDEPTEDTAAAAKDGDNPKKGANTKKQQPLAKAGAEAKAKSKAVRERATGAEEDRVDFDRPSKFLVVCLNAIRDAVAPEDGGGSIHGAGDWGVELWRCCSAQAPSDVLDASGACATVDQTAWLVSTACDIVARKERLGMVVSCPFLLYLVPSQEKAAQVIDGLKCFVDHNVSDKIFSIRDAISNNPQITIFTDPSDKKVATMAKSLLRGRITKLSINDSVSSRSAFIAQHVHFCPSEELKTSKVKEILEQILQSHPKKTSKVLLVVASDQKTRQIVGLMNVIVKDRENMAVTDVEEFETLLVVDLPPSVDEYVEILMSAALHVIGGEVHSIFCNADASIAKPLAELLADCSQVVPEFLKNLESS >Sspon.02G0025090-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:86487163:86488546:-1 gene:Sspon.02G0025090-1A transcript:Sspon.02G0025090-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTSAVSFLVQEAMMKSRALVSFVLGKCIKMTILVFIFDLHMFVKLMSVVRLLDKAVQLVLQKYSKSRRVLVNHIKHIQRMVREAIVIHCTPADRIVEQQERCSKYSQQAIGFAISTYSGFLVSPESYSTGDTVIKIATSAAFFVAIISDLVSWKMKPR >Sspon.02G0002630-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:9295016:9303135:-1 gene:Sspon.02G0002630-1P transcript:Sspon.02G0002630-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MANLGGGAEAHARFKQYEYRANSSLVLTTDSRPRDTHEPTGEPETLWGRIDPRSFGDRAVQNKPPELQEKLSKSRTNKSKRDAAAAVDPDLPRRDAKRRRRAASAQEVSVLSLTDDAVYKPQTKETRAAYEALLSLIQQQLGGQPLDVLAGAADEVLATLKNDKVKNPDKKKDIEQLLNPISSQLFDQLVSIGKLITDFHDAAAGDAAGAPSADAMDTTLDDDVGVAVEFEEDEDEESDFDQVQDELDEDDEDDMAELNGPGGMQMGGELDDDDMQNANQGLAVNVQDIDAYWLQRKISQAYGDGDIDAQQSQKLAEDILKIIAEGDDRDVENRLVMLLDYEKFDLIKLLLRNRLKIVWCTRLARAEDQEQRKKIEEEMASDPSLAPILEQLHATRASAKERQKNLEKSIRDEAKRLLNNDAAAGADGARDRRAAERDMESGWLKGQRQLLDLESLSFQQGGLFMANKKCELPTGSFRTPHKGYEEVHVPALKAKPYETGEKIVKISDMPEFARSAFDGMTQLNRVQSRVYDTALFKPDNILLCAPTGAGKTNVAVLTILQQIGLHMQDDGQFDNTKYKIVYVAPMKALVAEVVGNLSKRLAGYNVTVRELSGDQNLTKQQIDETQIIVTTPEKWDIVTRKSGDRTYTQMVKLLIIDEIHLLHDNRGPVLESIVARTVRQIETTKEHIRLVGLSATLPNYEDVALFLRVRKESLFYFDNSYRPCPLAQQYIGITVRKPLQRMQLMNEICYEKVMAAAGKHQVLIFVHSRKETAKTAKAIRDTALANDTVSRFLKNESASQEILGTHAELVKNNDLKDLLPYGFAIHHAGMARVDRELVEELFADKHIQVLVSTATLAWGVNLPAHTVIIKGTQIYNPEKGAWTELSPLDVMQMLGRAGRPQYDTHGEGIILTGHSELQFYLSLMNQQLPIESQFISKLADQLNAEIVLGTIQNAREACSWLGYTYLYIRMLRNPTLYGLPADILESDKTLDERRADLIHSAANLLDRNNLIKYDRKTGYFQVTDLGRIASYYYISHGTISTYNEYLKPTMGDIELCRLFSLSEEFKYVGVRLDEKMELAKLLDRVPIPVKESLEEPSAKINVLLQAYISRLKLEGLSLSSDMVYIRQSAGRLLRALFEIVLKRGWAQLAEKALNLCKMVDKQMWSVQTPLRQFTGIPKEILMKLEKKELAWERYYDLSSQEIGELIRYPKMGRQLHKCIHQLPKLNLSAHVQPITRTVLGFELTITPDFQWDDKVHGYVEPFWVIVEDNDGEYILHHEYFMLKKQYVDEDHTLNFTVPIYEPLPPQYFIRVVSDKWLGSQTILPVCFRHLILPEKYAPPTELLDLQPLPVSALRNARYEGLYSAFKHFNPIQTQVFTVLYNSDDSVLVAAPTGSGKTICAEFAILRNHQRAVSGESNMRVVYIAPIEALAKERYRDWERKFGEFAKVVELTGETAADLKLLDKGEIIISTPEKWDALSRRWKQRKHIQQVSLFIVDELHLLGSDKGHVLEVIVSRMRRISSHIGSNIRIVALSASLANAKDLGEWIGATSHGLFNFPPAVRPVPLEIHIQGVDIANFEARMQAMTKPTYTAITQHAKNSKPALVYVPTRKHARLTALDLCAYSSVEGAGTPFLLGSEDEMDTFTRGVEEETLKNTLKCGVGYLHEGLSELDQELVTQLFLGGRIQVCVASSTMCWGRPLPAHLVVVMGTQYYDGRENAHTDYPITDLLQMMGHASRPLQDNSGKCVILCHAPRKEYYKKFLFEAFPVESNLHHFLHDHMNAEVVVGVVENKQDAVDYLTWTFMYRRLTKNPNFYNLQGVSHRHLSDHLSELVETVLNDLESSKCVAIEEDMYLKPLNLGLIASYYYISYTTIERFSSMLTQKTKVKGLLEILASASEYAELPGRPGEEEFIERLVRHQRFSIEKPKYGDPHVKANALLQAHFSRHTVVGNLAADQREILLSAHRLLQAMVDVISSNGWLSLALSAMELSQMVTQGMWDRDSVLLQVPHFTKDLARRCQENEGKPIESIFDLAEMGVDEMRDLLQLSNSQLQDIIEFFKRFPNVDMTYEVREGDDITAGDNVTVQVTLERDMTNVSSEVGPVHAPRFPKPKEEGWWLVIGDSSTNQLLAIKRVALQKRARVKLEFSAPAEAGRKDYMIYLMSDSYLGCDQEYEFTVDVKDAGAD >Sspon.03G0027340-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:23276798:23276983:-1 gene:Sspon.03G0027340-1B transcript:Sspon.03G0027340-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVGGSKHFVLVHGLCHGAWCWYKAATALESAGHRVTALETWPRCRGGARNPRWGAALNSK >Sspon.04G0015030-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:56297910:56302428:-1 gene:Sspon.04G0015030-1A transcript:Sspon.04G0015030-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAEQTAEQFRGQARLPHFATPRRYDLRLTPDLAACAFAGSVAVSLAVAEPTRFLVLNAAELDVAPGGVSFAPQGSDQVLQPLEVTNVPEDEILIIRFSEVLPLGEGTLTIAFQGTLNDKMHGFYRSVYELNGEKKNMAVTQFEPADARRCFPCWDEPAFKAVFKITLEVPSETIALSNMPVIEEKINGPTKIVYFQESPIMSTYLVAVIVGIFDYVEDFTTDGTRVRVYTQVGKSAQGKFALEVALKTLVLFKEYFAVPYPLPKMDMIAIPDFASGAMENYGLVTYRETALLFDERHSAAANKQRVAVVVAHELAHQWFGNLVTMEWWTHLWLNEGFATWVSYLAADQFFPEWNVWTQFLEESTTGFKLDALAGSHPIEVDINHVDEIDEIFDAISYRKGASVIRMLQSYLGAEVFQ >Sspon.01G0057080-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1C:97289476:97292720:-1 gene:Sspon.01G0057080-1C transcript:Sspon.01G0057080-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding QGREIEREGESVPVSRQSPRTISRGRRLADRNRPSSPLALRAPNCDWSCNGKRTIWVCTLTYELGNLKEHRGLTAERKRTVTKYWTEGNGPNPTLHQRGRGEQSVCGGGGRRRKRTMQAVARAARGLAAAAAAARPSAMEAGHRGQVQQARGIVVQVRDGNLERALSVMERKMRSSGMERLIRARTHHHVKDSEKRVLARKALMQRVRSQELGKKLREILIKKIRSPSSPIPVPGPVRASGHWPFTGSICVWVLRFERLAMQILH >Sspon.06G0029810-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:35477613:35478044:1 gene:Sspon.06G0029810-1P transcript:Sspon.06G0029810-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAVFKFDGAADSVLEVSRDDYNRCSTASPLAVHKATAGAATVPLPRSGPYYFVGGAPGSCQKGERLLLVVMSEKHGRGRLRGLAPAPEPAAESPFAASFVGGPAAAPAPATGAAGRTAAAGNTGGALLVGAAAVLGAMLVGC >Sspon.08G0007050-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:19489694:19497346:1 gene:Sspon.08G0007050-4D transcript:Sspon.08G0007050-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSQAPALAGEALRQKRILSSKLYLEVPSSKAPVVYSPAYDISFLGLEKLHLFDSAKWGRICRYLTREGYLDKKRMVEPLEACKEDLLVVHTEAYLNSLKSSFRVSSIVEVPPVSLVPNWIVHKKLLYPFRKQVGGSILSAKLAIERGWTINVGGGFHHCSADEGGGFCAYADISLCIQFAFVRLNISSVLILDLDAHQGNGHEKDFANDGAYFNFLWILVLYICQFDTSLSLTGRVYILDMYNAGIYPFDFTAKQYIDQKVELVSGTKTDEYLEQLDKALEVCSSRFQPQLIVYNAGTDILDGDPLGRLKVSPEGVVTRDEKVFRFAKDQNIPLLMLTSGEILLTQSYESANAVFLCTMPIYAALSGGYMKSSARVIADSIINLSNKNLIGLDSQLG >Sspon.05G0028510-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:68425304:68427089:-1 gene:Sspon.05G0028510-1B transcript:Sspon.05G0028510-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKERKQGFFATLKEEVVRGLSPARSRGKSPAPPRSASPARMLIPRRRKAPAAPPPPPPEKVLQQYLGEQLVARSGSLRPGGEALAPLIEGPDAERLAAGDPDAEDSGRREGFGHWVRGHLTRTPSMASSAAAGGGGPGGSSGSFRRSDLRLLLGVMGAPLAPISSKPAEPLPLLSIKATPILMQESSSAQYILHQYTAASGGYRLLQSVRNAYAMGKVRMVASEFETATRVVKNRRPSGRGAAAVEQGGFVLWQMAPDMWYVELAVGGSKVHAGSNGRLVWRHTPWLGAHAAKGPVRPLRRVLQGLDPLTTAGLFAEARCVGEKKVNGEDCFILKLSADPQTLKLRSEGPAEIIRHVLFGYFSQRTGLIVHIEDSHLTRIQPHAGGDAVYWETTISSALEDYRAVEGIMIAHSGRSAVTLFRFGEAAMSHTKTRMEEAWSIEEVAFNVPGLSVDCFIPPADIRSGSVGEACELPSQSHGDRAKTGAVHPSRVAAVERAHAGAGAASRGEKIMWRVE >Sspon.03G0010200-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:32536058:32539241:-1 gene:Sspon.03G0010200-4D transcript:Sspon.03G0010200-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VFSFGDSLTDTGNFRFYYGNNSGEPALRPPYGETFFRRATGRFSNGRLVLDFIADTMGLPFVRPYLSGRRAEDFACGANFAVGGATALGPDFFRSRGFEIGDGRVHLDLEMKWFHDLLELLCRSGRSGCSDMMSQSLFIVGEIGGNDYNLPLLSRVPIEKIRSFTPSVVAKISSTITELIGLGAKTLVVPGNLPIGCVPKYLLIFKSIEEPLVACCGGEGPYGVSLSTACGYGDYKVCDNPDKYGSWDGFHPSEAAYKAIAMGLLRGTYTQPSIASTTSSCPQLTELGSSVEYKVLYDL >Sspon.08G0003440-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:7684286:7686031:-1 gene:Sspon.08G0003440-3C transcript:Sspon.08G0003440-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEAEEAPGVSNGEALELLMSMASSSLACCVSQFPAKWQSIKDKLHQLCCSLNSLCSGVCGDGDGNDDDEEHPVLAEVLRLASATVRSVQVVASQCSDGSYKGGRLRLRSDLDNLSCKLDAHMKQLKEMASSGVASPSKAIVAVRPSAEASVGEKAFYLKDLFSRIRIGGTVQRIQALATIRELLAEDEFCAKVVALDVDDGIALLTGFLESTEACIQEEAAGAVAVVASSECYRGMLVKAGVIAPLVQLLENADTASELGKEKAAHALRELTENSDNVWAVCAHGGLTTLLHACAGAGSSSKLISSSFAVLRNLSRVEEVKMFMVEQGVVTELVKLSQKKEEVRKLGAVELLHAMALDDADVREEAVGMGVIQSLLQLIYPDLPYSYKAREVALAAIWFFCFSSANSLNDLISSDVLSWLLFYLNNGDYAVLECTLKILRHLSEVSEEYNRMMGRAGYLSSLSSLLGAKSCRVREMAAQVLSSLLMLHPNRVIFIQDGDNLNKLLQLLDPAEGKLMAKDLILSAIMSLAETHSGRKKIVTSENFCCLKELADSGDFDAKKIVRKLSNNRLQTIFSKIWSV >Sspon.04G0028830-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4B:68571036:68571650:-1 gene:Sspon.04G0028830-1B transcript:Sspon.04G0028830-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLSSSTLALVVLLLISMASRARPCTDQEKSSLLRFISGLSWDGGLATSLWRNNNGTAADCCSWEGITCSGGGVVTEVSLPGRGLQGPISPALGDLVSLRRLNLSHNSLSGELPLERFLMLSSPSGLVAIDVSFNRLEGELCELPSSNSGWPLQVLNISSNQFTGEFPSATWQAMDDLVVLNASNNSFHGRMPTSFCISSLSSFT >Sspon.08G0004870-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:12067933:12077956:-1 gene:Sspon.08G0004870-4D transcript:Sspon.08G0004870-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLAEAPSPSPSSSSGSDDFAALLDSELELASGADSAFPGDPSSAFAATDDEGEDEDEDPEELEEQNGRPLHSEDHNLLPPLIPKGVEWRNNAKIKEHQLGLTKFPLVHLKMFRSRHVHILDILVDFALNVASDKTRKMFQELLLVTSTRIIKMQGLRLDTSEIDRLRGADLKNLLRERKLVLILDLDHTLINSTKLQDISSAENDLGIQTAASKDDPNRSIFALDSMQMLTKLRPFVREFLKEASNMFEMYIYTMGDKAYAIEIAKLLDPSNIYFPSKVISNSDCTQRHQKGLDVILGAESVAVILDDTEYVIKAVRKEILQGCKIVFSRVFPNNTRPQEQMFWKMAEYLGAVCSTDVDSSVTHVVTVDLGTEKARWGVDNKKFLVHPRWIEAANFRWHRLPEEDFPVTAPKEKSREKDNAVSGKKETSKNKENSAAGQKETMKDKEENAAAGLKETMKDEEKNAVAGQKETGNDEGNVAGQEKDDGKENATATTGPTD >Sspon.02G0006150-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:18583524:18584245:-1 gene:Sspon.02G0006150-1A transcript:Sspon.02G0006150-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIISGTVLLAAVAVAALAATASGKDYTVGGSDQWDTYIDYDKWTAGKKFMVGDTITFKYMPYHNVLEVTAADYASCNADSPISTHSGGNTAFKLTATGTRYFICGIPRHCLNGTMHVTITTVPYDAAAAAAAADDAPASGPAQAPLQSPPADAYVPGPAGHKVPLGGVAAGKSPAAAPSNAPRYGQPVAAVAGLALAALVALVA >Sspon.05G0001710-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:988532:994048:1 gene:Sspon.05G0001710-2B transcript:Sspon.05G0001710-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSKNGCLKILVCAGSGSDPAAGSDADADDHPDEVSVVACPLPLCRGMRRLLLSLSLSSFRAASCTAPKNKAISDKSRWSFRRRSTRHRALKNSDISEPETLSSSKAKADIAPSNNVYTSTYSYASEKPLQQDKPDEKILHQEKPEEKPLHQENSDEKLLEKPIEKPIDKLMEEPADQIIEKSIELPTQKITESPTDEPAEKINDAPTEEPAEKITETASENTAEGTIENATEETAERAVEELIEEPDETISVSSTGPKQGENTSLVEGSSADPEEDHLESAATNLQPGSGTCIATEELLNQKDLVKLQAVIRGHLVRKQASESLQCLLAIIKIQGLIRAYQAQHSPGKIQETIVHSSGEKLLRNGFALKLMDNTLTSKSIRIKCDPSESDVTWEWMERWTSLVPPITVEHLPEHKENSELMGETVTEQVTEHAQCDEDIVQLDSELSFPKLVADDVKETVEKSDSSALEAPASVPDESPKMEMRHDPESELIETTNIDAEQVTDQKAENDVEEPFMSSDQQYAQADASREPSPLPGKFESSNEDSGDAYNPEQTLEMEGKRFVARKSCNPAFAAAQLKFEELSTNSIVSRSNSSSHLDGVSKSRVHTPSSQEDYSSTQDGTGIQESSVGHDTKMIVAASECGTEISISSTLDSPDRSEGDGGEIVMEIGALENRNYVTDKADKDSNIVHSEVKNAPELEAQPQKEVEQNGHVPALEIEAQPQKELVQEPHVEPEMSDLHERLEKSVASYATPEGTPMSRTTIPESHGTPSSEVSVNTKKSRSKKPKSHASKRSLASPGSESVGRSSTDNFSKESRHAKRESSSKAAKSDHIDQEPRMSNSNSNPLPSYMQFTESARAKASSPKMSPDVQDSNPRKRHSLPMTNGKHDSSPRMQRSSSQAQQNVKSNGPAPHNASATNQLFSVRITLLRIVCFMARDVS >Sspon.01G0001450-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:3244760:3247610:1 gene:Sspon.01G0001450-2B transcript:Sspon.01G0001450-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LQVAVAVAVALWAASLLLAASCARAGASSGGPGCRKHVAKVTEYGAVGDGRTLNTAAFARAVADLSRRARDGGAALVVPPGKWLTGPFNLTSCFTLYLDEGAEILASQVSEKAPQPNPILLPPFRSPGRTSFPCRCKNGTINGQGQVWWDKFHAKELKSTRGHLLELLYSDNIIISNVTFINAPYWNLHPTYCTFFLACQDRGLLHRLRRRLRRREERVGRVRHQVQHAEPAHRHQEALIALGSEMSGGIRDVRAEDNVAINTESAVRVKSGAGRGGFVRDIFVRGLSLHTMKWVFWMTGNYGQHPDNTSNPNAMPEVTGINYSDVFAENVTMAGRMEGIPNDPYTAICISNVTARLAPNAQELQWNCTNVKGVTSDVSPKPCPELGAEGKPCAFPVEELVIGPPELPKC >Sspon.08G0030430-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:59797505:59797923:-1 gene:Sspon.08G0030430-1D transcript:Sspon.08G0030430-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAEATLAGAKAAAVATIASAVPTLASVRMLPWAKANINPTGQALIVSTVAGMAYFIAADKKILSLARRHSFEEAPEHLRNTSFQGTGRLHPAFFRP >Sspon.05G0013610-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:47944696:47947193:1 gene:Sspon.05G0013610-1A transcript:Sspon.05G0013610-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VYGSSVSGPIRHKCLSVIGKLMYFSSAEMIQSLLSTTNISSFLAGILAWKDPQVLIPALQIAEVLMEKLPEIFVKMFVREGVVHAVESLICPEFTGQVTPQVSQLDSHVDSITTSQNRRNRRRNNAVNTENSLPDGSKGSHSVIANSPPSTAEVPNNSLRALVSNHAKSFKDKYFPSEPGSSDIAVTDDLLKLRALCAKLNTTADTIKTKAKGKSKAVVGNSFDVLCNIEEQLDGIIAEMLSELSKGDGVSTFEFIGSGVVTALLTYLSCGTFGREKVSEANIPNLRHQAVRRYKAFISHALPNDKNGNRTPMAFLVHKLQSALSSLERFPVVLSHSGRAPTLGGSRLTTGLGALSQPFKLRLCRAPGEKSLKDYSSNIVLIDPLASLAAVEDFLWPRVQRTEPVSKPPVSSANNSESGAASSTAPSIPPGTQSGRRASLRSQSSAATSGAIKKDYQEGSINTSKGKGKAVLKSSLDESKGPHTRNAARRKAASEKDVELKPSHGHSTSEDEDLDASPVEIDDALMLDDDDEDVSEDEDDDHEA >Sspon.04G0029710-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:73580391:73580561:1 gene:Sspon.04G0029710-1B transcript:Sspon.04G0029710-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSVFCSVAFVKVLAATVAGVAAGPVGSVMRLSANAATLGLSRQRHCHRKIVCMV >Sspon.06G0012610-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6B:54562337:54563041:1 gene:Sspon.06G0012610-2B transcript:Sspon.06G0012610-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MACHLRSASVPSSPRSNETNVEEQLQSLKAAVSSPSATIKTMVNGLSKLGSIYDRIDVLTCLPTSQRKAVEEELERSLVLLDLCSAVQESFVELEASVQDMQLALKRGDDAALQTKVQCYARLVKKAQKLFKKINKKNVSDIEGCRLINLVAEAREITVSILESTLHLLSKQIAMPSSSKWSLVSKSFQKKTVLCGAEQLQGLELDVVDLESRVGTLFRTLIQSRVSLLNTLSL >Sspon.07G0000050-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:99975:103649:-1 gene:Sspon.07G0000050-1A transcript:Sspon.07G0000050-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKLVDIVHFLHLEIYDAFGRPDSEEPQETAKHHNRLGPAGLALHYANIINHIDNILTASRIKAEMEKILRWLVPFASNTNKAHHGFGWVGEWANTGSELNCKLSGQMDMSRIETLYHADKEKTEALILELVVWLHHLISKSRNASGGVRSPIKSPVSSPTQKGGAIKPFPGKTNNSPPILTQEDQDMLRDVKYKKFVPGISKSQEFDTKSSHSKQSRLSKSNSHSPASGNRKDLLPVRRPSMLPVIDFEIDRTKALDLIDRLDNLKIQ >Sspon.03G0025240-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3B:100203147:100206588:1 gene:Sspon.03G0025240-2B transcript:Sspon.03G0025240-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LVSGKAGDDTKGPDRDKAKELLELSKIAKQKASSASGPSIVSSSTSQGVAKSQQGSSKAGQNSVSPPAGKQNASPGLAHGSQAKAIPSYMDDFKYGFPSSGLSCETMKWWRTNSDTDCVPIKDGSHEAHESTTHEPSKGMTDDDELDWGADEAEAEADGAVTAEASAQLCSLRRKAVDDGRKLLNGDNRRGQEFSRLNKRQKLALAQGKGTNVDGNKRKSKGQRQIEKAYSIDKEEEQSKQGICIQHLLRAAGGIGFWIYLIGGYGTSTWVK >Sspon.07G0023600-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:18016977:18018712:1 gene:Sspon.07G0023600-1B transcript:Sspon.07G0023600-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rieske [2Fe-2S] region domain containing protein [Source: Projected from Oryza sativa (Os07g0556200)] MATSAALSTAANPTQLCRSRATLGKPAKGLGPGMGRERAQSITCQAASSISADRVPDMEKRKLMNLLLLGAISLPTVGMVVPYGAFFVPAGSGGAGGGTYAKDKLGNDVTVDEWLKTHGPNDRTLAQGLKACLLCCVDAHGHGRRARVQGDPTYLVVEQDKTLATYGINAVCTHLGCVVPWNSAENKFICPCHGSQYNNQGKVVRGPAPLVKTNAPISSNWKSATDFNLLHFQLICFFALLFCKQSLALVHVDVDDGKVLFVPWVETDFRTGEDPWWKA >Sspon.07G0018970-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:69078028:69080365:1 gene:Sspon.07G0018970-1A transcript:Sspon.07G0018970-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPQVLGVLPEDSNQMETMSYPCSPLIPFPTHHEESSYLLWSPQVLIPLENGNMCDGDADPSPDHHQQQDHELMNMLLQEANVLLLQDELSNGDPSLDGSDQRLGRQENGSLLLGVQEEFMEESSLGDLVVAGARAVESRDSISASAILSRIDAAPISSSDHLACYFAWGLRSRISGECRPVADAAAPGNRMPAYRMLQELSPFIKFAHFTANQAILEATADDSAVHVVDLNVGEGVQWASLMSDLARHGSRKPFRLTEAFVTADEDSGAGAHRMAATARRLSEFAASLGLPFQYSSLHVRSDEDLHGFATSCCSGGSGTSVIVSCDTTDQSYSSLTRLQLLLAGSVVRILRPKLVITTEEELFRMGRNPGAASFAEFFREALHHFGALLESLASCFRDGGYGACLALVEKEALGPRIQDAVGQYQYGPLAGGACSVELEGFRACEMSSFSVAQGRMLAGLFSSGFGVVHGEGRLAFTTLRRKVWRDGLSTLAGGLTTACVEAAGPTPVANGPAHLHYPWRAPPTLSRQ >Sspon.03G0025540-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:33513246:33515441:-1 gene:Sspon.03G0025540-3D transcript:Sspon.03G0025540-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGPAMATKHEQQPLHILFLPFLAPGHLIPIADIAALFAAREVKCTILTTPVNAQVIRSAVDCANDTSRGTEGALAIDIVVVPFPDVGLPPGVECGPALNSMEDREKLFHAVQLLREPFNRFLEEKHPDAVVTDSFFDWSADAAAEYGVPRIAFLGSSLFSRACSDTTVRNNPVEGAPDDPDALVLLPGLPHSVEMRRSQMFEPKKRPEHWAFMQRGNAADQRSYGEVFNSFHKLEPDYLEHYTTTLGRRAWLVGPVALASKDAVTRGASNGLSPDADGCQQWLDTKPEGSVVYVSFGTLAHFSPPELRELARGLDLSGKNFVWESEWIPDGFAELMARGDRGFIIRGWAPQMLILTHPAVGGVRDALWVELDPGGHGRRCAYGDFYNEKLVVELLKVGVSVGSTDYASKFETRRVIGGEVIAEAIGRVMGDGEDAEAIREKAKELGEKARRAVAKGGSSYNDVGRLELRQYLIGSPVEA >Sspon.03G0024340-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:97661028:97663449:-1 gene:Sspon.03G0024340-2B transcript:Sspon.03G0024340-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEALGGPGCRGPPADVLRDKWLQRLKSEILQKTKEIEKDWVTTGCTILADSWTDNKLKALINFSVASPMGTFFLKTVDASSHFKTHRGLYDLFDEVIQEVGPENVVQIIADRNINYGSIDKLIMQNYSTIFWSPCASFCINSMLDDFSKIDWVNQCICQAQTITRFIYNNKWVLDFMRKYMAGQELVCSGITKSVSDFLTLKSLLKHRSKLKQMFHSTEYSSSSYASRSIPCVEILNDDELWRAVEEIVAVSEPLLRVMRDVSGGKPAIGYIYESMTKVTDSIRTYYIMDEGKCKSFLDIVEQKWQTELHSPLHSAAAFLSPSIQYNPEIKFFSTIKEEFYLVLDKVLTTPDLRHDITAQLHAFRKAQGMFGSNIAKEARNNTSPGMWWEQYGDSAPSLQRAAVRITSQVCSTLTFQRDWGIILQNHYEKRNKLDKEALADQAYVHYNLTLHSEPKTKKKLDADPMRWIQST >Sspon.06G0010770-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:58445074:58446920:1 gene:Sspon.06G0010770-1A transcript:Sspon.06G0010770-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPAEDAAVPEATATAMPPAAAAQTVWYDPMSSPQAPAPHQQPAIEAVAETSPSVSSDARPLRVYADGIYDLFHFGHARALEQAKKSFPNTYLLVGCCSDEITHMYKGKTVMTEDERYESLRHCKWVDEVIPDAPWVINQEFIDKHNIDYVAHDVLPYADTSGAANDVYEFVKAIGKFKETKRTEGISTSDIIMRILKDYNQYIMRNLTRGYSRKDLGVSYVKEKQLRVNMGISKLREKVKEHQEKVIKLLCNYFETDLHMIRKTLISNALHTQFHSAAKIAGTNPVEWMENADRWIVGFLEKFEEGCHMMETAIKDRIQEGLKRQGRSESNLSGEDSD >Sspon.06G0006820-2D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6D:16841055:16842176:1 gene:Sspon.06G0006820-2D transcript:Sspon.06G0006820-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLVLWPWFSLFSAFVWSSWSLSPDGAALLALSKSLMLPGSTISTWSASDATPCKWKGVLCDGMNHAISLNLSSSGVSGSLGPQIGLLNYLQVLDLSANNISGSIPPELGNCSMLEQLDLSQNFMSGKIPASMGNLTKLSLLSLYNNSLNGAIPEDLFKNQRLQQVYLLDNQLSGSIPFSVGEMTSLKSLWLYENMLSGVLPSSIGNCTKLEELYLLDNLLSGSLPETLSEIKGLKVFDATTNSFTGEIPFSFEKNCKLEIFILSFNYIKGEIPSWLGNCSSLQQLGFVNNSLSGKIPNSLGLLSNLTYLLLSQNSLSGPIPPEIGNCRLLQWLELDANKLQGTVPKELANLRNLSKLFLFENRLMGEFPEEIW >Sspon.04G0018490-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:69858697:69861808:-1 gene:Sspon.04G0018490-2B transcript:Sspon.04G0018490-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSRLIQLSRLLPTDPNEPKEFAYLEMQYWAASISCLSVLALFLWHLRKSRSSGISKHLEYGSLLIVLYLMTFFLSFLLKTDGGLMVMTSMVYMLCHGVAAVILIKHILEKFPSCSSFGEALLVSSGLILYFGDMLAHTLSKMEFSVSSKAFIRTPGTRSDMTAIIQGILLGLFLLPLLYKSSLQVWYSCRMKGKQQTQAAEEHTRKRIGSAVFYISLLVVLIFLLPSWTHHVQGLKVHPFVWILNYMFTDSHERLALCAYWICVIYVSVRRFYSISKQSKTERILLRKYYHLVAVLIFSPAVIFQPAFLDLAFGAAFAVFLVLEMIRIWEIYPLGHLVHQFMNAFTDHRDSEILIVSHFSLLLGCALPKWMSSGLNDRPLAPFAGILSLGIGDTMASMIGYKYGVLRWSKTGSESQNNRRHGSGHNFSASSLLDPGVTLSFQWNWLSLLIAVTLSGLLEAYTAQLDNAFIP >Sspon.07G0024850-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:30976697:30981765:1 gene:Sspon.07G0024850-1P transcript:Sspon.07G0024850-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAAASSVTKFIKCVTVGDGAVGKTCMLICYTCNKFPTDYIPTVFDNFSANVSVDGSIVNLGLWDTAGQEDYSRLRPLSYRGADVFILSFSLVSRASYENVLKKWMPELRRFSPSVPVVLVGTKLGEDRSSQFKLSVTADLREDRSYLADHSAASIISTEQGEELRKQIGAVAYIECSSKTQRNIKAVFDTAIKVVLQPPRRREVTRKKMKTTSFVYIFAID >Sspon.02G0016180-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:44357146:44365304:-1 gene:Sspon.02G0016180-1A transcript:Sspon.02G0016180-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLLVLLAVFVLVPAVHVLGDKKELSIDCGLPNMYSGYTDTRTDLTYISDGTFVDVGESHVVAAAADQAKNGRVDAIQSLRSFPSGKWNCYALPTDTAVRYLVRMQFLYGNYDGKGSASVEFDIRLGAVPWDTVTVGLGDTNATTKEAVFVAWAGWAPVCLVNTGRGTPFVSAVELRKLVDGLYPPVSGNQSMSVYDRQKMGTDVPLTRHPTDTHDRLWYGKSGPQWRNMSTRFDIQPDPSFDVSSPILQTAVEPAGNNSMSLTVIAGKDYRNTYRFMAFLHFADFNNTQVREFDIYVNDKPLTVSNPYSPRYLAGSCVRSPEWYSAPDGRHNITLVGTAASVLPPMLNALEIYTLIDMDDALSPSTMPNDLRPESTHDDLARDPELENNGPRSAKGHEGNLQNSESRQFTYKELEKITNNFEGFIGHGGFGRVYYGRLENGTEVAVKMRSESSLHGLDEFLAEVQSLTKVHHRNLVSLVGYCWEGDHLALVYEYLSQGSLFDHLRGKNGVAETLNWRTRVRVVLEAAQGCNPPIIHGDVKTSNILLGRNLEAKLADFGLSKTYLSDSQTHISATAAGTSGYIDPEYYHTGRLTESSDVYSFGVVLLEAATGKAPILPGHGHIVQRVNQMITAGEDISCIADARLDGAYDVNSMWKVVDTANQCTSDAVAQRPTMATVVAQLKESLALEEARESDSSVRATLGGDISALLSA >Sspon.04G0012470-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4A:41588844:41589599:-1 gene:Sspon.04G0012470-1A transcript:Sspon.04G0012470-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSEDGDILALLSEPSLKEEQLEASESDDMFPAIMEAIISNKNVVELSPEEAAWADSCFVQTSELSDNDWGAMRSALLDALEKPTESPDDISESAHNAISEAKLHSLPAENISEHGDIHMEQINNTDDDQDGIEACEIADVIRGAQEHGKQMDSYVAEAGDELASSEVLEQTQSTGSIFKVWDLEVPFDDDDDGELELIKDLKKLLKEKDSLPEDVYPTLPPDDEAKPLSQISIDELVAGLSDLSIHRANE >Sspon.03G0023740-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3A:72586467:72591539:1 gene:Sspon.03G0023740-1A transcript:Sspon.03G0023740-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dol-P-Man:Man(6)GlcNAc(2)-PP-Dol alpha-1,2-mannosyltransferase [Source:Projected from Arabidopsis thaliana (AT1G16900) UniProtKB/Swiss-Prot;Acc:Q9FZ49] MSLSSARQRRATAASPPTDDGYAKDAKDRRRRPGGDGDGEDEGIKWFLPFLALGLLRHMSASSNLIHDCDEVFNYWEPLHFLLYRSGFQTWEYSGSGDLPVMVVRFGAGQVYNVTVLKIKVRVFYSVRIFLGLISTITETVLVVALSRRYGKRLACYVLAMLCLSSGCFFASTSFLPSSFSMYAVTLSSALFLLEKYAGAVSVAASGVILGWPFSILVFLPVTVYSLIRGSFRRVFLSGFLTSLCFLAHKEERFLYPIYTLICVAAAAVIDSLPDFFHDKYSSDQSIFEKIYQHLEHHDDTGPGSILCVGSEWHRYPSSFFIPSYISEVRWIDDGFRGLLPFPFNETLGGTTAAPSYFNNKNKAAEGQYLKDIGACTLLVELDLRRPYPSRGSDLSTWEALAALQFLDRELSPALYRSFFIPYRWQQNNVFGLYKLLRRLHPDHA >Sspon.07G0008050-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:17797656:17800651:1 gene:Sspon.07G0008050-2C transcript:Sspon.07G0008050-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SFPQGLALIEHQQRLTHSLTTGTSEFSGSLQLAMGTTTATMDSNADGEQGRRKKGGFRTIPLILASEVCDRFATAGFSANLITYLTQQLHLPMVEASNLLTNFGGTSAFTPILGAFAADSFAGRFWTIIAGSVAYQIGMIGVVVSALLPSLRPPPCKPPATPCQRASGWQLALLYLSLLCTSLGSGGIRPCVVAFGADQFEQQKQQQDSSAAEAAKAEAERKRRYFNLYFFTMGFAVLLALTVVVYIQENVGWGWGFGIPAIGMFISIVVFLVGYPLYVLLKPGGSPFTRLVQVVAAAFKKRHAAVPEDPGMLYQDKELDALISTNGRLLHTNQLTFFDRAAIVTPGDITPSGQPDPWRLSTVHRVEELKSIVRLLPIWSAGIMLATAGSHNYTFTIMQARTMDRHMGPGHFQIPPATLTIFSTAAMLVTLAFYDRVFVPLARRVTGLPSGITYFQRMGIGLAISVLSVASAALVETKRRDAAARHGLLDSPAAVVPISVFWLVPQFAVHGIGDAFSSVGHMEFLYDQAPESMRSSAVALFWLAGSIGSYMGTVLVTAVQRATRGRGEWLQDNINRGRLDNYYWLVTCLMVLNFGYYLVCFYFYTMKPLEVAEDDNGGGDHDKDCELSSSLQKNGGGGT >Sspon.08G0006720-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3B:96552895:96554608:1 gene:Sspon.08G0006720-2B transcript:Sspon.08G0006720-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVILATRACNNIWQDRGRIIARFADLVEQHADELAALESLDAGKHPAITKAVDIGNAAGSLRYFAGAADKIHGETLKMQAQFQAYTLREPLGVAGIIIPWNFPSTMFAIKVAPALAAGCAMVVKPAEQTPLSALYFAHLAKLAGVPDGVINVVPGFGSTAGAALASHMNVDMVTFTGSTEVGRLIMKASAESNLKPVYLELGGKSPLIIFDDADLDMAVELAVSANFFNKERIYSRFEEKLAERMKTWVVGDPFSVPRANQGPQVDKVQYERVLSYIDHGKREGATLLTGGRPCGQKEKGYYIEPTVFTNVMEDMIVAKEEIFGPVMCLMKFKTVEEAIARANDTRYGLGAGVVTRDLDVANRVVRSVRAGVVWVNCYFAMGSDCPFGGRKMSGFGKDEGMHALDKYLALKSVVTPLRASPWM >Sspon.02G0035470-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:19402538:19403402:1 gene:Sspon.02G0035470-1B transcript:Sspon.02G0035470-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAAIGVVALFLAVASFGVNANTDSNDVNALNVFYTTMNSPPQLTNWVSQNGDPCGQSWLGVTCSGSRVTAINLSGMRLNGTLGYNMNQLTALVQL >Sspon.04G0029120-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:70322731:70324281:1 gene:Sspon.04G0029120-1P transcript:Sspon.04G0029120-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRTALLARGAAAGLCLAALAVLAADTRKGWARDSYSNYTQFRYSEAVNVIGFIYSVFQFVALAELMRTKKHLIPHPKRDLFDFTMDQLVPELTSVKG >Sspon.07G0017770-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:81226382:81230562:1 gene:Sspon.07G0017770-3C transcript:Sspon.07G0017770-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding TMDLADIPQQQRLIAGLALLVATAIFLKLLLSFRSGGGGKKRLPPTIPGAPVVGGLVKFMRGPIPMIREQYARLGSVFTVPIITRKITFLIGPEVSAHFFKGNEAEMSQQEVYKFNVPTFGPGVVFDVDYSVRQEQFRFFTEALRANKLRSYVDQMVAEAQEYFSKWGESGTVDLKYELEHLIILTASRCLLGREVREKLFDDVSALFHDLDNGMQPISVLFPYLPIPAHKRRDRARARLAEIFATIIKSRKASGQSEEDMLQCFIDSKYKNGRPTTEGEVTGLLIAALFAGQHTSSITSTWTGAYMLRFKQYFAEAVEEQKDVMKRHGNKIDHDILAEMDVLYRCIKEALRLHPPLIMLLRQSHSDFTVTTKEGKEYDIPKGHIVATSPSFANRLPHIYKNPDSYDPDRFGPGREEDKAAGAFSYISFGGGRHGCLGEPFAYLQIKAIWTHLLRNFEFELVSPFPENDWNAMVVGIKGEVMVNYKRRKLVMDN >Sspon.03G0038880-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3C:8343751:8346365:-1 gene:Sspon.03G0038880-1C transcript:Sspon.03G0038880-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPEPDPDPPDPLPLVNLQPLEPLPPRSATSPAVPLHQLPDPAPPTPALPGDGSFAGALQGVGVVDAVAARSLVRSPEPGPCVAGGHAGAARPSPCVPATTQAPVASATSTGEVGGAPAAAHFAPPPPPATSPVPSAPSSTSLPFSVVGCGAPSPSATARTTPTAVGPGQLMVDESLVLVEDLLESEDEADEDDDVAAPTLTAPTLFSSPAPPLSDALAAATRGPSPGLSSSLSPHAAPFHPGGSAVGRSKACRWADADVDDSDAEGPPIVSPTPYLDAVLHRPLHPRTSPRFMRNRPTPAVAYGATAVEGARLTRRCHRRSSGASGSRGAPTGSAARVPTHLRPSACCDGGMVVGVGDARHGGVQRRRRHRRRSLPTHLEHAGRVTSVGADRVLVQQRLGPRVPSSRRRRFSAPDVDGWRDVLPCVTEAGRSNPKLGVGSVATLTVPRPKQKQLSQVKRIPAELLGRCFKCLSYSHRALDCFDLSGGRQPATTLCLRPPMASQHAPRGGVGATAAGAVGGGRRRRRRRRHRARPKDSGLGAPADQERLPSSPTAAFGLASSTVASCPHEPDLLAVVPCEGTGPPTWVDPMLDELDASLELAALLVASRSVGASALGRPSTPPAAPLGAQARLVDARSPPPTVHLNFADDVASGVEAEAPSSHVNDTGRVDVEAADAALAVSEAALAVDLCLLGPDVCGLGETSSAMVLAGRSPPAMSAVAAAASSIEESLEERLCLPLQTPLIHGLPRLRRSRTPAPVTSLRRSERIAAQPREADTTKQAQRVLMNKLGLEAPSPNIGSDTVRKYKAAFLEPLSDSTHDALQLLLGGEFDPVAMKLNMIGLDDEDN >Sspon.05G0031300-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:4611232:4613867:1 gene:Sspon.05G0031300-1P transcript:Sspon.05G0031300-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLGRRAGSYICSELCGTALNQRHYSTSRVDWKQLRPMILKRIKKRSKEYPIKRMIPVAEEVVRAREIVNEGVSRLLKVVPVQSCKFCPEAHIGATGHQMKTCYGFKRMIKDRPHEWQPGNLNDILVPVQAFHQKNMFEDEIKHDQRFDFTRVPAVLELCHHAGADIPDEILYKSEQIFNTLKSNNQQSAPFLPDELRYIGQRTLDAWEYLRLGVTKLLLVYPSKVCKHCSEVHIGQSGHKARMCGVFKFEGWKGMHKWNKAGVDDLVPQKIVWHRRPHDPPVLVDGGRDYYGHAPAVIELCMQNPEGETEKKRFSEVI >Sspon.01G0019030-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:71973986:71975460:-1 gene:Sspon.01G0019030-3C transcript:Sspon.01G0019030-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVDALHGDSAAAAADELFGGGTGYADLQAFFNHTAPEVKASGCGSDEEELEWLSNKDAFPTVETMDSAGARPRTKGVRQSRAVVAWSAAGRRQCRHCGTQSTPQWREGPMGRRTLCNACGIKYRAGRLLPEYRPAKSPTFSSVLHSNRHDRIVQLRRLREEAVQTQTSLAAAGYGKEGGKELERLSNKSVFLAVDTMAPAGQRPRTKGLQRPRRVLAWSPPPLPRTPAQGRAPASAVAQRWCQHCGTGKTPQWREGPDGRRTLCNACGQRYKKGGLMPEYRPASSPTFCPTLHSNHRRIIQRLRASPVVTTAIAAAVDAGDK >Sspon.08G0029230-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:29086380:29088642:-1 gene:Sspon.08G0029230-1D transcript:Sspon.08G0029230-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPINLEKKPQSPQARYSTSSPIPIPCRPWEPAVRRREVATPATPARGRHARRTSARSPLPRHHREVATLPYAGAGAGDGKQQVCGDLAIRCAALNNRDPRDLIAHGQASSPAKSPVRHPQVHKLASEILPISWQAAAENLGLATSPPYLALSSSSNPNYANGVNFASVAHKCSSFLVPISFIPRTQFIRNMAQLQLNISCVLKYYHRGVVHFYRKLAAVASLSEMSRNQHLKYYQK >Sspon.02G0011270-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:24491946:24503349:1 gene:Sspon.02G0011270-4D transcript:Sspon.02G0011270-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRDTGYYDVLEVSPTATEAEIKKAYYVKARQVHPDKNPNDPDAAARNWGRHTKCSVTPHSVKPMTHMGNLVFQTIIEPAAIFAMLFGSELFEEYIGQLAMASMASFDGFTEDKEIDGRRLQEQMRVVQKEREEKLAELLKDRLHLYLQGNKEEFIRYAEDEVTRLSNAAYGVDMLSTIGYVYSRQAAKELGKKAKYLGVPFIAEWFRNKGHFIKSQLTAATGAIALMQLQEDLRKHLSAECHYSEEELEAYMLAHKSVMVDSLWKLNVADIEATLSHVLQDSTARKEELRARAKGLKTLGKIFQQVKLSTTEGDPAAMNNTINNLDENDGSSPESSPKSPRDQMFDANPPYSQSPYVEAPQFGGYCSFDFPMPIAPPGAQRDPIPYRAIDPV >Sspon.07G0038640-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7D:82886861:82887709:-1 gene:Sspon.07G0038640-1D transcript:Sspon.07G0038640-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHHIPCYLLRRLHRGTTIVAASEARGLPRQGLTLLLALAAPVGVVRPGGGAVTGAARLACGVGAAAKSSGRSSGAGGGAEGDTGGGGEIGAAQDEQQKGMNTRFIGPVGPQIQARGVQTGQTPTPITATNLKQFATGGQAVFQQTNGKQKYPLIKAG >Sspon.08G0017490-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:3029184:3031907:1 gene:Sspon.08G0017490-1T transcript:Sspon.08G0017490-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPRSRIRGRDPPPPPPPSGGRYRRRSPAPPSPRHQRRPRDPLPPQPKRRSSELPPPRRYEENPNPLPNGFAAAAAERRSRGDILLEAGRLAAHYLVAKGLLPEHVLLAREDPNHNPSYRPEPPAPVPAPVPAPAPAPAPTPAPSSYGRKRDEDDGPRWRRSGSGAGDLGRVSKWDDDREAKRSGWDRKSHSFDGRRKYNDGGGGDADRGGRRTRDYNEPKRPPMSRSYSYNDRRASADGRVDRRRRSRSRSRSRSRTRSYYSGSRRDPDLRAGTRDLDRSKVPDSGVVPAGGGDGGVHNVDVDEIPRQLKVPSSVVVMEMNDSAVEAVAIEDKQVESETAGLDHAQDMSEGEDGEFAEEISEDEDGEFAASDLNDEDGDEMDDTRSQPSDVHVHISESIEEPVHRQSQLSNTEEGMETGIAHMDACMVEPLAENNVCSETRYEMEAPQNGAETAVGDLYRDEQEQPLTEDNGCSEVKYEMETPQNGAETAVSDLYRDEQEQPLTEDNGCSEVKYEMEAPQSEFGTGVGNLDRDEQEQLLAENNNCSEVRYDMEAPQGEVETGVGRLRDDQAQQLMVTDGYSELRYERETPQTEVETVVGDLSRDEQELPAWYKIFDLNVIETPVDCEVSEISCGHPADDLCDSVPDLVGLVNQQANDDTSEIQGQDDHAGANQMLEDESDLNNYDLNNEADEHAQDDTSENQVQDENAEDNHLLEDGHDLTKYDVNNEAGEHAHDNHLVNNAEILLNHSMVAHTSDNCHMNNEKMLLKQNVDEQQMENEQMLIDQVNTVQVLDIHHVNDEQLLLGHGADDHHQMEPNPMAFPLGAHDLDNNYYLSSKQILLNNDADQHAGDIHHLKDGQIILDEAADGQARVHNMGNGRTIPEIDLEDDYAQQSASRNTGDYLESM >Sspon.01G0023700-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:82626041:82631123:1 gene:Sspon.01G0023700-3D transcript:Sspon.01G0023700-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP binding protein-like [Source:Projected from Arabidopsis thaliana (AT4G19540) UniProtKB/TrEMBL;Acc:O49472] MGHSFFSMHQIASRLGLLGRRWYSSAAKGGPSIAGVGDIIAVASGKGGVGKSTTAVNIAVALAKEFKLQVGLLDADIYGPSIPTMMNLHAKPEVSEDMKMIPVENHGVRCMSIGFLVDKDAPIVWRGPMVMSALEKMTRGVAWGELDILVVDMPPGTGDAQLSMSQRLRLSGALIVSTPQDIALIDARRGANMFRKVQVPILGLVENMSCFKCPKCGEKSYIFGEGGAKRTAEEMDMKLLGDVPLEISIRTGSDEGKPIVVSSPNSASAQAYINVAEKVTQRLNELAEERRMGPEILL >Sspon.02G0028010-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:119791922:119795737:-1 gene:Sspon.02G0028010-3C transcript:Sspon.02G0028010-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bifunctional 3-dehydroquinate dehydratase/shikimate dehydrogenase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G06350) UniProtKB/Swiss-Prot;Acc:Q9SQT8] MPMATAMSVSAVTPAAAAAARPRTMVCVPATARAPREMAEELAAAAALGADLAELRLDCLAGFAPRRDLPVILAKPRPLPALVTYRPKWEGGEYEGDDESRFEALLLAMELGAEYVDVELKVADKFMKLISGKKPDNCKLIVSSHNFERTPSSEELANLVAQIQATGADIVKIATTATEIVDVAKMFQILVHCQEKQVPIIGLVMNDRGFISRVLCPKYGGFLTFGSLKKGKESAPAQPTAADLINVYNIRQIGPDTKVFGIIGKPVGHSKSPILHNEAFRSVGFNAVYVPFLVDDLAKFLNTYSSPDFAGFSCTIPHKEAAVRCCDEVDPIARDIGAVNTIVRRPDGKLVGYNTDYVGAISAIEDGIRAFEQRDPDTSPLAGRLFVVIGAGGAGKALAYGAKEKGARVVIANRTFARAQELANLIGGPALTLADLENYHPEEGMILANTTAIGMHPNVNETPLSKQALKSYAVVFDAVYTPKETRLLREAAECGATVVSGLEMFIRQAMGQFEHFTGMPAPDSLMRDIVLTKT >Sspon.01G0010910-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:30211790:30214798:-1 gene:Sspon.01G0010910-1A transcript:Sspon.01G0010910-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQAINDDIGSGQITALVHHPLEFAASISNAKKECLALGCFLPETLALIKCNPWTMTKKPHRWIPKTLPNALRFTPLCVLHQSRQSIGCWHPTTWRNAAQVACLQLSSSSSNNNNAMASARSWSRLFAISAFVCLLVVHPAAMVSGLRREDMVLGRDRAPTPAEPPVASTGKQFATATAVGSAQMSKWRVRRGSDPIHNRS >Sspon.02G0020730-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:67465534:67467124:1 gene:Sspon.02G0020730-1A transcript:Sspon.02G0020730-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MENILISTSVQVQESTPVLANALIWPDHTNVPARVAPQITQAIARLLVVIAICSGIAVAIIIFTAVFVRRKLRVWKARKSREFFFRQNRGLLLQRLVDKDIAERMIFTLEELERATNNFDDARKLGGGGHGTIYKGILSDQRVVAIKKSKIVVKRDIDDFINEVAILSQTQVPLLVYEFISIGTLSDHLHVATPLSLPWKERVRVALEISRSLAYLHSAATMSIVHQDIKSSNILLDERLIAKVSAFGASRDIPVDQIGVNTAVQGIFGYLDPEYYHTWRLTEKSDVYSFGVILVELLTRKKPFDCMPSSGASLTAEFILLVNQDKLSEILDPQVTEEGGQKAKEVAAIAVMCLSLHGDAMRQVETRLEALLTEVHGHENIVEIDGLILNDQQSNGDARDNLSRRCSMEEFLCSMSLP >Sspon.05G0020050-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:80877390:80886576:-1 gene:Sspon.05G0020050-4D transcript:Sspon.05G0020050-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MERLESYLKEHFDVPAKNPSEEAQRRWRSAVGLVKNRRRRFRMVPDLDRRNQDEAQRQSIQEKIRLALYVQKAAITFIDGAKHKDYRITEDIIKAGFSINPDELASITSKHDVKALKMHGGADGISKKIRSSFDHGISASDLDTRQNIYGINRYVEKPSRSFWMFVWDALQDMTLIILMVCALISAVVGLASEGWPKGMYDGLGIILSILLVVMVTAISDYRQSLQFKELDNEKKKIFIHVTRDGSRQKISIYDLAVGDIVHLSIGDQVPADGLYIHGYSLLIDESSLSGESDPVYISQDKPFILAGTKVQDGSAKMIVTAVGMRTEWGRLMSTLSEGGEDETPLQVKLNGVATIIGKIGLMFATLTFVVLMVRFLIEKGLTVGLSKWYSTDALTIVNYFATAVTIIVVAVPEGLPLAVTLSLAFAMKKLMNDKALVRHLSACETMGSAGTICTDKTGTLTTNHMVVDKIWISEVSKSVTSSNSLEDLTSAISPATLSLLLQGIFENTSAEVVNEKDGRQTVLGTPTERAIFEFGLKLAGLDAEDRTCTKVKVEPFNSVKKKMAVLVSLQNGVYRWFTKDGGGNSVPLSEAQRKNILDTIYSFASDALRTLCLAYKEVDDFDDDADSPTSGFTLISIFGIKDPLRPGVKDAVKACMSAGIIVRMVTGDNINTAKAIAKECGILTDGDVAIEGPEFRSKSPEEMRDLIPKIRVMARSLPLDKHTLVTNLRGMFREVVAVTGDGTNDAPALHEADIGLAMGIAGTEVAKESADVIVLDDNFTTIINVARWGRAVYINIQKFVQFQLTVNIVALVINFVSACITGSAPLTAVQLLWVNMIMDTLGALALATEPPNDDMMKRPPVGRGESFITKVMWRNIIGQSLYQLVVLGVLMFAGEQFLNIKGADSKSVINTLIFNSFVFCQVFNEINSREMEKINVFRGMVTNWIFIAIIAVTVVFQVVIIEFLGTFASTVPLNWQLWLLSVGLGSVSLIVGAILKCIPVTKSDGVPASPNGYAPLPSGPDDI >Sspon.02G0038900-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:47051306:47053689:1 gene:Sspon.02G0038900-1B transcript:Sspon.02G0038900-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAIAKSSMELVLVPCAVAIMLGYHLHLLYRIFRRPHTTTIGYENHNKLAWVERVARATAPEEAALALSVISDGISASTTLASLCIALASLIGAWVSSSASPALTSAGGEATATATAKYASLLACFLASFTCFVQSAGCYVHASFLISALGSDAPVSHVSRAVLRGGGFWAAGLRALYLATALLVWVAFGAAAMLACSVLTVAVLYLLDGNSVPMHRHQFMLRSAFPLGEALGILHGYSSKVSQISFVANKHDDNVCISVIPELL >Sspon.04G0014290-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:55863155:55866891:1 gene:Sspon.04G0014290-2B transcript:Sspon.04G0014290-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GFMPRHGVGLPPPEARSPFVASAPIPALNNSTSISDHIPPLAVGVLLARSLFHSCVSVTMPTRLPLLLLLLLLLTVAGAAHGRRGISSSSSSDGGGLSLLPAGSSDDGGGGSAATVLRLPSSVGEDPPEAAACETTYGFLPCTDTAPGNLFLVLAYGFLMFKAATYLSGGSELLLQILGPGIVGGLFLPILGALPDAMLISVSGLSGTKEVAQSQVLIGMGLLAGSTVMLLTLLWGSCVVVGKCDLSENSIATDSRDTKGFSLSGSGVSTDVQTSYAARIMAISVLPFIIVQIPQIFKLHSGHRLTVLLGLIVAALLVLAYCLKQIFQPWIQRRRLEYAGLKHVMSGLLRHAQMHVFGRLVHEDGTPNIPVICRLFHKIDLDNDGKLERGELQAFIIGVKFEDVDLDSNLAVDQVMADFDTSHNFVIEKAEFIDGILRWLEEAKRSVVTSGSYSKKFLQDFLQRTRDEHNLLLGKDDNDGEAIENPTWTSFKAILLLLLGTAMAAAFADPLVDAVHNFSNATSIPSFFISFIAMPMATNSSEAVSAIIFASRKKQRTLSLTFPQVYGGVTMNNTLCLAVFLGLVYVRGLTWDFSSEVLIIFLVCTIMGLFTSFRTNFPLWTCFVAYLLYPLSLVIVYVLDYKFGW >Sspon.05G0009040-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:26411772:26412508:-1 gene:Sspon.05G0009040-1A transcript:Sspon.05G0009040-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQQPFSRHAGFFASLQRVEDRLASEQHQEQKKSPPPRTTTATATTRQSEPSPFSDTMTASPLLFLDPAAPATAAPSGTAADRDSSGPALDFLTAVTEEDQRIQQDDDNDDHDGGGEDIARLRRCSACHRCRGAATAAAATTTTTTTEAGATAAARTGSWPRSWAWSAPSATGRRRGWTPGSAITTAGKAAGAGSPRGWRTCCSPGLLPTRRPLRFRPA >Sspon.01G0034890-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1C:7362980:7367826:-1 gene:Sspon.01G0034890-2C transcript:Sspon.01G0034890-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEELTSGDAAAASLAQEPRGQADGEGRSPREAEAFEDAVEEASTASASPVACCGGEAAAEASSPSAWRSQGDGPLAADEAETYESPSSSSSGCAAMEGEESPSVSELREEPGRVDTGMAASPEGQRAGGAEEHENSMATLGAGSPSREETESCMHSAPSSPSRSASSTSSPPLSASSTSSPQLPQIKQQSRHVRTGSFQRFREQMQRAWKWGPIGGGGGGERSPRELLLRTTVNIEAMANQKRQWYQVRSKSQDNLQYREPTSLFEHFFVVGLHSYANVGVIEDAFAKKKAWESNVARSEIVDRRKIQYHGPIPSMEPQILFKYPPGKRAEVREADLPSFCFPEGVKARLIERTPSMSDLNEVIFGQEHLSRDDLSFIFSLKERLDRITQFASEIALAEPVPRPVKEQDGVDGDFDSSNGIPYIDWTEYAVPVNSISGLISSSSVPSERDMSSYLFRSWEPNSSQSISASEISDSSYVREVKKEARHSFQHYEDCISGNLEPRCDSFGRASYIYDNGNTSSDLLSMHSPTSRRLKRAQSVDSLESSVKGAASDEEYEVNVKHEVIVDDEKVIGWAKVHNNEPLQIVCGYHALPLPPRGGELVFRPLEHLQPVKYSRPGLSLLGFREAILDNALIKAETNKVDA >Sspon.04G0008060-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:22906780:22912376:-1 gene:Sspon.04G0008060-1A transcript:Sspon.04G0008060-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MECETGFVRSLNGDGLCMSAPRASDPLNWGKAAEDLSGSHLEEVKRMVAEFREPVVKIQGASLSIAQVAAVAAGAGGEARVELDESARERVKASSDWVMSSMMNGTDSYGVTTGFGATSHRRTKEGGALQRELIRFLNAGAFGTGADGHVLPAEATRAAMLVRINTLLQGYSGIRFEILEAIAKLLNANVTPCLPLRGTITASGDLVPLSYIAGLITGRQNSVAVAPDGRKVDAAEAFKIAGIEHGFFELQPKEGLAMVNGTAVGSGLASTVLFEANVLAIMAEVISAVFCEVMTGKPEFTDHLTHKLKHHPGQIEAAAIMEHILEGSSYMKLAKKLGELDPLMKPKQDRYALRTSPQWLGPQIEVIRFATKSIEREINSVNDNPLIDVSRGKALHGGNFQGTPIGVSMDNTRLALAAIGKLMFAQFSELVNDYYNNGLPSNLSGGRNPSLDYGFKGAEIAMASYCSELQFLGNPVTNHVQSAEQHNQDVNSLGLISSRKTAEAVDILKLMTSTFLIALCQAIDLRHIEENVKAAVKNCVTQVAKKSLSLNARGGLHNARFCEKDLQTAIDREAVFAYADDPCSPNYPLMQKLRAVLIEHALANGDAERVVETSIFAKVAEFEQQVRAALPKEVEAARAAVESGNPLVPNRIKECRSYPLYRFVREEVGTEYLTGEKTRSPGEELNKVLVAINQRKHIDPLLECLKEWNGEPLPLC >Sspon.06G0005900-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:18554332:18557158:1 gene:Sspon.06G0005900-3C transcript:Sspon.06G0005900-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LWPLPLAPAVRVSPAAEEERAVRVLPLARTPSAAAATHDTMPCSSPAPTWLLRVSSAAADQASSSSSSKGGGRVLTAGTTTMDTAAAAAGGGGGGGGNAADLQESSSSGQSRLAARGHWRPAEDAKLRELVALYGPQNWNLIAEKLDGRSGKSCRLRWFNQLDPRISKRPFSDEEEERLMAAHRFYGNKWAMIARLFPGRTDNAVKNHWHVIMARKYREQSTAYRRRKLNQAVQRKLEAAASAAVVAMPPGAGSTGDVVGAVGHHHHHHHHLLAAAAAHAHDAAYSFAAADPYGAFGFSQYCSSFPSFPPASAEDPPPPPPFCLFPALRQSLPSRPPLPSTTRHDTTRHDTAFALVHHRPSSAASANADSRRLPWPLSSSDAAGGGAGRYGEPQQQLLLPVVHGGSWIDGVGMAGGHHEAQFVLGNSGGAAAAFEGTTRQQSAGGAHFEAAAAAAPPAFIDFLGVGAT >Sspon.08G0004440-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:12632882:12640779:1 gene:Sspon.08G0004440-1A transcript:Sspon.08G0004440-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRAPERRRGAGPLLLLALVALAAAPRLVRAVTDAADVSAINGLYISLGSPPLPGWTGNGGDPCGDSWQGVVCTGSSITGIFLSANQLTGSIPSSLSKLEKLTAMSVNGNSLNGDLPDAFDSLNRLRYFFEQLDWRVTIFNEKLGIFDFIKGRESIQYQHSPICISTFNRISTNSNTSRTKTSSNANTSTYHFKFNAPSTSTPISFRSSSPT >Sspon.07G0037110-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:48722830:48731532:1 gene:Sspon.07G0037110-1D transcript:Sspon.07G0037110-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSLIHVSSLLIGVVRKNKGKSACASPNPKSINSSLPFMHSSAACRHRRGTGRGAEPATVAGVAGGDWLRFRIEADRTLPFCLPPDCVAGDGSASSIHNMRVKFGYLECYLEYIDYPGEKKEWVRLFRKNPATRSNQNSREGTQIMIRPSFPKWQTEKVGMLLVYFNIINLNQMMKMTTMIAVTPGNRCAELQIRLRKLQAP >Sspon.08G0007700-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:25884525:25887210:-1 gene:Sspon.08G0007700-3C transcript:Sspon.08G0007700-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LCPFLQCHPSSNLLPDVQLSTRRASPMPLHVHPLLLLLLLLAATSLPAPAISSNSSSCAGRDDAAIVAAAFRFVRNFRAPRAKACQPVRELRLPSRNLTGAVSWAALANLSALAALDLSGNALQGAIPGRFWRAPSLRAVDVSRNQLGGALRVQEPNPRLRSLNVSGNRFTGVDGVEALSGLVALDVSANRIRAVPRGLRRLARVERLDLSSNAMQGRFPGDLPPLGGVRSLNVSYNRFSGVVDTGAVTKFGRSAFVHAGNASSLVFSDNSTTAPRRPRPSPPSPSPLGKSKKKGGSGTTTTTTTESKATRRSKKRRHLSIVAVAVICGVASLATLLCLVGCVACGALRCRRRQKGGKDDEEKKKKKQPQWGEKGEEEEEEEEDVVVAAARGASSAAPVVLFERPLMQLTLGDLAAATSGFGRESQLAERGGRSGAAYRAVLPGDLHVVVRVVEGAVAGLREDNDDPAAAATAFRELARLRHPNILPLLGYCIAGKEKLLLYEYMEKGDLHRWLHELPAGRPDMDDTGSGDIWEAAEAKRSISDWPTRHRIALGVARGLAFLHQGWAGGGGSAVVHGHLVPTNVLLCDDLEPRISDFFGHNHNGNATPEGDVYGFGVLVLELMTGQAGWDEASVSWARGFIRDGKGLDIVDPRVRDEAAAGAEAEAAEREMVECLRVGYLCTAHSPDKRPTMQQVVGVLKDIRAAAPLKPGQGS >Sspon.03G0024010-4D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3D:57841179:57842525:-1 gene:Sspon.03G0024010-4D transcript:Sspon.03G0024010-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPAIFSFGNSYADTGNFVRLISSIPFGNPPYGETFFGHPTGRASNGRLVVDFVAAAVGLPFVPPYLAEGQNFSTGANFAVIGATALDLAYYQRQNITTVPPFNTSLSVQLGWFEQLRPSLCNATARGCDDDDDYLGKSLFFMGEFGGNDYVFLLAANKTVAQTKTYVPAIVKAIGDGVEKLIHLGARRIVVPGNVPMGCLPIILTLYASLDPSDYDSYGCLHEFNGLARYHNQQLRTQVHALRGRHPRVAIAFADYYQPVLAFLTTPALFGFNGSTTLVVCCGAGAGGGRYNYSVAAGCGRPGVATACADPSAAVNWDGIHLTEASYGNIAEAWLWGPSAEPPILSLITFE >Sspon.02G0036920-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:23857220:23865912:-1 gene:Sspon.02G0036920-2D transcript:Sspon.02G0036920-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNKFLSYHLAAALRREVDPAAALRLFLNPPASTTPFRYSLRCYDLIISRLASARLFPAMESILSRLASSSSGPRPREQLLCRVISAYGRARLPAAARRAFTHPAFPGPRTARALNTLLHALLACRAPLRNLLAVCRDAGIPPDACTYNILMRAAAAAGSLDHARHLFDEMLRRGIAPTVVTFGTLVAALCNAGLLEDAFEMKEVMVRQYHVLPNAYVYTSLMKGLCEKGDVDAAVRLKEEMAGKADLVLDSAVYATLVRALFRVGRKGAVVGLLEEMKGRGIVADRVVYNAMIAGFCEDERDFGAAFAVLGDMHKNGCKTDAVSYNTLVAGLGKLGRWRDASELVEDMPRQGCHPDVVTYRMLFDGMCAAGEFLEANQVLDEMVFKGFAPSKDGAQKFVQGIEREGDVALLESVLCRLAKVNALVSSGWEKAVSEESAHHQIKKSNRLMRSKRKLTGPYFPTTKLPTTKLRGFTGINVENR >Sspon.01G0054830-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1C:69675042:69681742:1 gene:Sspon.01G0054830-1C transcript:Sspon.01G0054830-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SRWSWTKSGADVVIHAATTEHTGTPLPVEDFINSFKKPLTQPILMSPPCLRKTRATRAKEIEDEDLIPKRSARLVAKRIPGGVQAATDTVKEGGHASALPGQEVAGSGIRSRRLILYIWSPSVVYPFVVCLEPLCSAQGSWSLATVYRLVDKSMKLEFLDELKEIHVDCPRPLLICGDFNLIYQAADKSNERINLRSMRHFCHALDDMQWFSAEKGKEDLIYNYYNSILGTPFDRLHSLHLDGLLPQLDLTSIDACFSKEEIWATIKDMPPDRAPGPNGFTRLFYRVAWDIIKADVVNAFNALWFSKLFAKCLARKLAPKLKLIMAPNQSAFIKERSIHDNFWSVQLACRQQWHHHGGGGDHPAEPLPRNPALWNKMAVTRRCTAAPMAALNSLIREANRCAALTPLHSRAIVHRRASLYADDLVVLLAPLESDLECLHQILQLFAGASGLVTNVDKCIATPIRCSDDMVTIVQLRRVDEQPLVDANAARIPTWKLGLLTNAGHALLTKLLRNMVLDPLQSDRFVWKWSPDEKYLASSACRTFFNGLTELLGAKELWQTKAPPHIRGQHRPTVPSHSLGHPIWSSKGSPLATVLLFIGWSAGHGLRSTSITKALSEPSSHFEGASFLSPRPPHLRDSDSKPQLPERSNDRLPVSPPGVLRASMSLS >Sspon.05G0033350-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5D:40613746:40614266:1 gene:Sspon.05G0033350-2D transcript:Sspon.05G0033350-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MELKQTGSGRETTGNCVKQDQAPNPWHQRRDQGSSAAIEQDPHVSELERTPARGRRNGGAPRQAQADVAAEMGQFAAQDVDLVGDELLRTVSICSETARFTAYGVDSAKSRAAEVTDGRSDDGSQR >Sspon.01G0025170-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1A:89020231:89020596:-1 gene:Sspon.01G0025170-1A transcript:Sspon.01G0025170-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LLPDDVLADVLRSHPPRGLAVSRCVCKSWLAVVDARRLLRMDLLPLSLGGFFMNFNNYYISKFVAPILDGPSISIKHDYLPKAGSHSVGYVDDRSNGLVLVVRRYDDNGDRIRYALNSVTR >Sspon.06G0001980-1P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6A:6726188:6727081:-1 gene:Sspon.06G0001980-1P transcript:Sspon.06G0001980-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIGLRLQGIHKVNPSSITLVVSHGPLSAHAAPLKPHPPLPLDPQSTVHTTATLFYLFSPVFLVACRRRAMTATAPSSSSGAARRGRSQSQQGRGGRSAAAAGARRPHKDLGWTGVRERLWGGWAAEIRIPRTRSRLWVGRFQHALQAALAYDAAMFCFYGERLPSQRKFNFPGAPRPAIPEHIRVQLTVANIKEIAADYGRSCAAFFFAAPAQLYCPTPAAAPPAPPTPPLMVPSAPAPAPVTHGAAAASAEATSRTTDVHAAGNAGNRVDGGFMETADCLLSCNPDDFVGVLDMDD >Sspon.06G0004840-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:12300289:12301885:-1 gene:Sspon.06G0004840-1T transcript:Sspon.06G0004840-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVYAAADHSTNGGSGLTLNPAAVAQRALSLVGASTPLSSTPPAYGNIVTVLSIDGGGVRGIIPGTILGFLEEKLQEFDARPDARLADYFDVIAGTSTGGLVTAMLTAPNKDGRPLFAAKDINDFYLKHCPKIFPPNSSGGPLGLFKKLSGPKYDGKYLHSLVRELLGETRVSQALQNIVIPTFDIKLLQPTVFSKYDAINDVSKDALLSDVCISTSAAPTYLPGHQFETKDKDGKPRAFNLIDGGVAANNPTLLAMTDVSKQILLGNQDFFPIKPADYGKFMVLSLGTGSAKVEEKFDAVQSSKWGLLGWLNNKDTTPIIDSFSQASADLVDIHASVLFQALHSAKQYLRIQDDELTGETSSVDVSTVENLNRLVDVGKGLLKKPACKVNIETGKNEPDAHRGTNEDELVRFAKMLVRERRARIQKKGNDNTL >Sspon.06G0017840-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:72039234:72049311:1 gene:Sspon.06G0017840-3C transcript:Sspon.06G0017840-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SCC3 [Source:Projected from Arabidopsis thaliana (AT2G47980) UniProtKB/TrEMBL;Acc:A0A178VN21] MEETLASLRRPKRRGRPPRPREEYHAADFEDVAEADGLAPPQSKRKRAASAAAAASLEDQPLIDIIKHNGRLISHAVKRLVEDYESKKNSVTFQILTMLFEACGAKHEIYPDYLRESDVDDIVVSLVDLARKGLVEDNYNSKHKDLKNFKENVVSFWDSLVLECQNGPLFDDLLFQKIKDYVVALSCAPPRVYRQVASLIGLQLVTSFISVAKTLSGQRIWVVSYPSLFLQDIYLKYLGWTLNDKNAGVRRTSILALQSLYEVDDNIPSLGLFTERFYTRMIQLADDIDVSVAVSAIGLIKQLLRHQLLSDDDLGPLYDLLIDEPPMIRRAIGELVYDHLIAQNIKTSHPGARDGENEPSEVHIGRMLQILREFSDDPVLSSYVIDDIWDDMKAMKDWRCIVSVLLDENPAIELTDMDGTNLVRMLHASARKAVGEKIVPAVDNRKLYYNKGQKEILENSRREITSALLTRYPQLLRKFISDKAKISPLVDMMTLLKLELYSYKRQEKHFKTAIDLIADAFFKHGEKGPLRSCIKAIIFCCTECQADLKDYAENKLKNLEDELVLKVRTAIKEVEAGDDEYSLLVNLKRLYELQLSKPVKNDSLFEDMYRILAHLRDMDNEVKSFLLLNMHLQVAWCLHAIDGENPSETCIDELLSKQSSLFDQLYYYLVVLPTYQKEGRSTTVLSCRVCIITAEMWCLFKKSKYSSTRLESLGYLPQSDMVQKFWKLCEQQLNISDETEDEDANEEYIEDTNRDAVMIAAAKLVLADTVSKDYLGPEIVSHYVSHGASTTEIIKHLITSLKKNTNIDMGALFFEALKRAYERYMAHVHDGENQILTGKSYSECQDLASRLAGSYVGAARIKNKSDILKIIQDGVSFAFVDLPNQLSFLEASLLPFVSKLQSSDIPDILADVEKRTQDTNMVGDQGAWRPFFTFVEHLRDKHAKNEVLHEEEEKPVRRRGRPRKVRDVPDVPDLHGARDVRGKKLFRDDGHNSSGEESISASDHQGHDEDDDSDGDADQPLINTIRSSAAKLRSLKVSQQGTSSHKGEAIAERMHAVPHIAGDDFQTLNDQFKQHSGLALTSV >Sspon.02G0035840-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:21971868:21974759:1 gene:Sspon.02G0035840-1B transcript:Sspon.02G0035840-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFALSQIEHDLPMPPHLLSRPLPDAIKAELERLFLDKVSFRLLMFKPFNGEVLVGRISGYDDKGLQVSLDFFSDICIPGHLMQYGTVRGPDGRWMLNTEDGDELYLDLDDEIRFLVSSTKYPPIPIDQKEDDPPFAPMQIVVSIYIYPHS >Sspon.04G0006770-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:15408595:15409927:-1 gene:Sspon.04G0006770-2B transcript:Sspon.04G0006770-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPREGVLELLLVSAEGLKHAHHHPRRSKRHYVTIECGDKTVTSKITQGRGKKIWWNEKFRFALTDAERKELEKVTLTIMEMDKFTEDTAVGETRVYVGEIISEGSELELLQMKPAPYNVVLEDGTYKGVLKLGLKFISSVSLEQSRDCVRCPAPTPKQTIATGWYGLFLNFALPSIPWRRLFFFCSRWAWNHGQVPRKRADKCFGLVPSKGTKKVEFNTKEGL >Sspon.06G0021630-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:18429109:18432046:-1 gene:Sspon.06G0021630-1B transcript:Sspon.06G0021630-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLAVKHVFLLTASLVLLLLSFEVLEGVSRVSHGGGEVSAVAVTRDADSGFLSRFRMMLVNLDHHRPRHPHRSHQKSSAAPAPAPAVQVHEALPTPIPTPAAPTPAPLPHESHRRMPLRNHSRIAPARSVARKLGGGGHARLPTAAIVALAVAGACLLVLGVAMAAAASLRRSRKLQKKPFKLFLHGSRAHRSTCATINVSSHPSPGSAVQCRDYPILTESSKSMSLESSKSKSLSVLPTASKSAELIISDYAVKTSTNMQSDEADSFHSLSCSRSSGGSITESPLQICDKPITDPSPSSRHRDDSPSSSSYQSLSPDCRSPFCPKIPPFTAPDHTHVLNAICHLPENPDEEKTGVSHQKTATATNNSGSMGHPEAPKVEQVNAKLSHLSSGYKSTSYATETTPSETNSAFRVSSTNINLDPKESIRNSAEEAKSKPSGTTSIPKTPPPPPPPIKPTSSLKGQNSGQPPLPPPLPIQLQVGKDGLPLPRLKPLHWDKVRAPPNRSMVWNDIQSSSFEFEFDEQMIKSLFAYNFQGPAKHEDHKSKTLSTSKHVIEHHKLQNTTILLKTLNASTEQVCSSITEGTGLSTQQLEALVKMKPSEEEEKKLLDYDGDINMLDPAENFVKVLLTIPMSFSRIEAMLYKETFDDEVAHLRMSFALIKGACSELRSSKLFLRLLEAVLKTGNRMNVGTIRGGASAFRLDALLKLSDIRGADGKTTLLHFVVQEMERLQGSKASDKLSGTSGSCQATLAEREEYPEIGTEFVSELSNELGNVKKVASIDLDTLKSSISNLSHGLAQLIRLVGEELTCNDRNRNFLQCMRSFQTHAENTMQELKVDEAKVLQQVRELTEYYHGEVGKNESNLLHIFVIMRDFLGLLDRVCRDMRGTKHIQPLNIVLPL >Sspon.02G0026600-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:83619323:83620315:-1 gene:Sspon.02G0026600-1P transcript:Sspon.02G0026600-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VVVVGGAGAARGGGLPRRAAADERRHGAAQRGAGGGVVPGGHPRRRLRRGVEGRDVGRTARPEHAAVPAAAPGRGQRRADPRGGLLPRRRLLHRVRAVAQLSRLVPPPVLRAPRRGALLRLPPGARAPAARRAGGRRQGHVLAALRRRPLARRRGRLRARLRRGRLGGRQHRAPRRRRARKVRPRPRHPDPRRAPPRAGLRWRGPHARRAGVPARRVPHHRDVRQVRAAGAPRRRRQGRPRAQPGGAARAGAGGRGDGARACGRRRARRDEGPERAVRAADEGGVGQGGGVRGGRRRRPRLLPDGPLVGARRRARTHRAPVRRRAHGLGVG >Sspon.03G0000370-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:21465570:21467549:-1 gene:Sspon.03G0000370-2C transcript:Sspon.03G0000370-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPPPDGQEKVIAAAQHIVKSLASSKNAADDMIRILSGFDNRLSLMSDLFPPPPSAAAVDSILETDEGTSQGEGDEPDPDEDDAVARAEAEWDAAAEVIERWESPAAGVALVFDSREDAEEYLAAAACLAGAPGPRADAALQAAMARLEDEFRHLLVRGAPPLAAEDLQASLLRRLSLTVPSFNSSAVDLDCPSFAQHHAAAAEGADEQQGGRSSASDDEISPYLIAPDTVGALRDIADVMLRAGYAPELCQVYGEVRRDTLMECLAVLGVDKMSLEEVQRVEWSVLDGKMKKWIQALKVVVRGLLAEERRICSQVLAADPNAEEECFTEAAKACVLQMLNFGDAIAIGKRSTEKLFRILGMYEALAEVLPELEGLFSGEAKDFIKEEAEGILARLGDAVRGTIEEFANAIQGETSRRPLPGGEIHPLTRYVMNYVRLLADYNASLNMLLECWDTELTGDDNPNMTPLGHCVLMLITHLQCKIDEKSRLYEDEALQNIFLMNNLLYIVQKVKDSELKTLLGDNWIRKRRGQIRQYSTGYLRSSWTRVLACLRDDGLPHTMGSSSQLKAALKDRFKNFNLAFEELYRTQTSWRVVDPQLREELKISISEKVLPAYRSFVGRFRGQLEGGRGSARYIKYNPDDLENQVSDFFEGRKPNA >Sspon.03G0044550-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3D:137322:138107:1 gene:Sspon.03G0044550-1D transcript:Sspon.03G0044550-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKGSSSRTASMEVEGMGVQQPEDGEENVQAPGKEEEVSSAKELDLLGALGSEPPEAKAVEAVAPGKGKEKGMLAAEEKSPATGTATASGGVEKKRSFKCNYCQRKFYTSQALGGHQNAHKRERSLAKRGAAAAAAAAAAAGRGLYGGADPFLPPHHLRFQHAWPYSAGGSRPSPFLGFGRGSAAAPFYGMHHGWAAHAQTQSSMAGLTRHTGADRPAYTPPGYGYGSSSRAPAPAVLDSTMAGLRWSGAVDSGAAASGTHE >Sspon.02G0029810-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:97314922:97316884:1 gene:Sspon.02G0029810-2D transcript:Sspon.02G0029810-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAVASDVVLILLAVVIPLLITATVWNRRGRGHDDGAPSPPSLPLLGHLHLLGKPLHHSLATIAAAHGTGGTPAPLLSLRLGARHTLLVSEHAAAEECFTAHDAALAGRPRLLVGDRLNYGYTTVGWSSHGDHWRALRRFLAVELFNASRLAASAADRRAEVATLVGSLLREAAGPEPSRAAAVTLRPRLFELVLNVILRALTGAPRHGGDVRSLQEIIEETFEVTGIMNVGDYYPALRWIDRLRGVDAALIRLQARRDAFVAGLVHDKRQSRRAGGPDTENNGTIDELLSLQEVDPEYYTDTVIKGIVSILLSTGTETSALTIEWAMAQLLTHPEAMLKARAEIDANVGRSRLVEESDMTNLPYLHCVVKETLRLCPVGPVIPAHEAMEDCTVGGFHVRRGTMILVNAWVIHRDPKLWEAPEEFRPERFLDTAMVTMVTAPLLPFGLGRRRCPGEGMAMRLMGLTLAALVQCFDWDVGECSAVDMTEGVGLSMPMAKPLAAICRPREFVKSVLSAST >Sspon.07G0037350-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7D:53102959:53103512:1 gene:Sspon.07G0037350-1D transcript:Sspon.07G0037350-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ARASVLVAAIPSQPPAIVVCLPLARKGARNHLPQSLAQGKSPSAAGAVLIQRDPASPPLPALHPSVSPPSQQALRPQCNRSGDGNVATPNGRRVRCQVALEVIQPRMGVIPHGGGSRGSDNGGGLACCAWRSKRSTAAGAPGSTSASPAGSAPAAPPTSSASASPS >Sspon.05G0009350-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:22196396:22198087:1 gene:Sspon.05G0009350-2B transcript:Sspon.05G0009350-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:MYB80 [Source:Projected from Arabidopsis thaliana (AT5G56110) UniProtKB/TrEMBL;Acc:A0A178UM75] MGRIPCCEKDSVKRGQWTPEEDNKLLSYITQYGTRNWRLIPKNAGLQRCGKSCRLRWTNYLRPDLKHGEFTDAEEQTIIKLHSVVGNRWSVIAAQLPGRTDNDVKNHWNTKLKKKLSGMGIDPVTHKSFSHLMAEIATTLAPPQVAHLAEAALGCFKDEMLHLLTKKRPTDFPSPAVPPDMAIAGGSGAGAAPCGFPAPPQTDDTIERIKLGLSRAIMSEPGAPPGKQQQQQPWAPADMTEGLAGMYATYNPAAHGQEEFRYNNGTVPEYVLGGGAGAGDADQGTSMWSHPSMYSGGSGTEAAPRPAAVLPEKGNDSVGSSGGGDDEEADDVKDGGKGGSDMSGLFGSDCVLWDLPDELTNHMLRQPGLRKKLSNSMDRQYLHGA >Sspon.02G0057370-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2D:57154999:57155460:1 gene:Sspon.02G0057370-1D transcript:Sspon.02G0057370-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADGESTGSPPAGLGRWRQGVHGMSEKGAKSGARGGEQWRLTGGQFGRRVRRWRCARGRRSRGRERRRAGARKLGMGERVQSAGGLEDRGARCGGHRRPDGDGERRMPATGRAASARARACRGVRWRAATMRGRAGERAGGWAGLPRERAEK >Sspon.08G0019760-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8B:14727238:14728247:-1 gene:Sspon.08G0019760-1B transcript:Sspon.08G0019760-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIASFHLQTGSEASHFRQLVCASRIGRLASSAKCCTTGSAYDAAVKTGIVFTVDHALGWCGAGALFWSLRNQLGEPKKPASPPYNGNCFGSTLAPVLKVPVLPESEPHQTCSN >Sspon.02G0002300-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:7477456:7478508:-1 gene:Sspon.02G0002300-1P transcript:Sspon.02G0002300-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMELEGGSNGQETARAPPPPALPWMVQLQLYIIVAVGRIAMSRSGTVNRRFFSNVDRPARASARPDKNGVRSADVTVDASRGLWARVFSPSQAEAPLPVLVYFHGGAFTLLSASSIPYDDMCRRFCHGLGAVVVSVNYRLAPEHRCPAAYDDGLDVLRYLASAGLPDDVAVPVDLSRCFLAGDSAGGNIVHHVAQRWTDSSSSMCMAPSSPTILGLAGAILIQPFFGGEARTGSEERLDGKAWVVTVRDSDWAWRAFLPEGADRNHPAAHVTGESADLADGFPPAMVVVGGLDPLQDWQRQYAGVLDKKGKVVQLVEFDEAIHGFFWFPELPETGKLTAKMKAFMESSA >Sspon.05G0022180-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:2289160:2289474:1 gene:Sspon.05G0022180-1B transcript:Sspon.05G0022180-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVYVALLAVPAVAAGFLQAFQFAFLLWPFNLALPLARHLPRACIALRGVASFYDGELRRYAYAGAVPVQPRSHRYASLRAVQQRTHGDAVVAHAMVALVDISY >Sspon.03G0040740-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:32424589:32426143:1 gene:Sspon.03G0040740-1C transcript:Sspon.03G0040740-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMTLGPGSSSEANKAEENNVPAEAEDRNNTNSCAEPSGNNTWMLNIQDIDPAVVEELPPEIQREIQGWVHPSKHPSTKRRGSTISSYFPPASYKAYYLAILYMAYLSEPA >Sspon.07G0015580-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:59924916:59927789:-1 gene:Sspon.07G0015580-2B transcript:Sspon.07G0015580-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Outer envelope pore protein 16-1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G28900) UniProtKB/Swiss-Prot;Acc:Q9ZV24] TMPRGGFSGSLRSPKIDVVIDMGNPFLNRTVDGFLKIGAVGACKVAAEETFECLHTGDVSKHRIEHQLKKMCQEGAYSGTVAGVYVGMVYGVERVRGRSDQKNAMIGGALSGALISGASNSHRNKIIKDAITAGAVATAVEFINCLT >Sspon.08G0018940-3P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8C:12729250:12734023:-1 gene:Sspon.08G0018940-3P transcript:Sspon.08G0018940-3P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSSSRPLRQRHHSSPLLILLVSLSVLLTSLPISATADGLPELGGGDGLYREILRDETVLRLNELGKDTVIDAGMYDGSLGIICAISALKVLKVTGKLQRLTRPVEVIAFSDEEGVRFQTTFLGSAAVAGTLPESILQVSDKSGTTVQDVLKMNSFEATSTAISQARYNPESVGSYVEVHLEQGPVLEALRYPLGVVKGIAGQTRLKVIVDGSQGHAGTVPMKLRRDPMVAAAELVVTLERLCKEPNKLLTYDEECSCFTEESLAGLVCTVGELNTWPSASNVIPGQVNFTVDIRAMDDQVRETIVTSFSRLVLQKCDDRLVDCKVEHKHSAAATPCDPELTSQLKRAARSTVSAMPGRTVAAASETPVLMSGAGHDAMAMARLTKIGMLFVRCRGGISHSPEESVMDDDVWAAGLALFNFIDQNVLAVSEEELEAGQNA >Sspon.03G0015110-3C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3C:68775729:68776294:1 gene:Sspon.03G0015110-3C transcript:Sspon.03G0015110-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVASVNDFAGSRSNGLFINSCFAHCQSELPATWSDAAGGASPAIQSRGIAKSVGDWYFGRAQVKAIDCPYPCDRTCRNIM >Sspon.01G0049070-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:111225621:111227156:1 gene:Sspon.01G0049070-1T transcript:Sspon.01G0049070-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GHGELPLLPGGAVLVRPPAASDDQGDRVGDGPSARGGVPEAPAPAAEGAGRLRPAGAGRDPRPVRLLLRAGRPRRVLHLPRARARLHRGSAQHAAGPLRGARPARGGAPRRRLLPGALRHLARGQDGPVHGHLHALHRPHRRLPRALVPRPQRAQPRAAQDAPLLPPVAPPAARDPQVPRGVRRTLRCHGERAGQGRQGRLRPPQRRRRLLLPVPGAAGARPRRVGAPGRRAQADHQVGAVPAQPAAQPGPAQARRGLPAPLVPPPAGAGQEGLRPAGRLLPRRGQERDRRGRAPRHCAGGGGAQHPLRHVLQLLRRHEDPVPVARQVAGPRRRAHARAAGDGGARRRARARRRGDHEGAGRDAAGEVGRVRGAADRAPRGDAVRARQAGHGGGEPRLRLRGARGGDALRLPAHGHQGPARLRARRGVRARQVPRRGWRAPASPRRLVQRARDGVAHAAGQAVRRQGLRRAHRAPPRRRALPPLRLLRRPGRRLRAGVVGDHHLAQESHLLS >Sspon.01G0061800-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1D:97657122:97661123:1 gene:Sspon.01G0061800-1D transcript:Sspon.01G0061800-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQRLCAQPRMACAARGVCTRPSSSLRWCPRAVEPLPAAEHRGAGAVRPRADQALPTHAAVSRYSPAASRSRHARLRASEPPHAHPYACRRGVALPQPASAAPRGSPALAPRRQHAGGLYDTHPRRCHLTSTAASMSDGAMGEPSREKGIMRTSGEGRRRQG >Sspon.01G0026050-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:90647720:90654709:-1 gene:Sspon.01G0026050-1A transcript:Sspon.01G0026050-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ethylene response sensor 1 [Source:Projected from Arabidopsis thaliana (AT2G40940) UniProtKB/Swiss-Prot;Acc:Q38846] MDDKRNVVRTDAITELAGWTDVIASSHYGLPMIFSSNFFIALAYFSIPLELIYFVKKSSFFPYRWVLIQFGAFIVLCGATHLINLWTFTTHTKTVAMVMTIAKVSTAVVSCATALMLVHIIPDLLSVKTRELFLKNKAEELDREMGLIRTQEETGRHVRMLTHEIRSTLDRHTILKTTLVELGRTLGLEECALWMPSRSGSSLQLSHTLRHQITVGSVPINLPVVNQVFSSNRAIIIPHTSPLARIRPLAGRYVPPEVAAVRVPLLHLSNFQINDWPELSAKSFAIMVLMLPSDSARKWHVAVALSHAAILEESMRARDLLMEQNVALDLARREAEMAIRARNDFLAVMNHEMRTPMNAIIALSSLLLETELTPEQRLMVETVLKNLPLCAIGDEKRLMQTILNISGNAVKFTKEGHITLVASIVKADSLREFRTPEFHPTASDDHFYLKVQVKDTGCGIGPQDLPHVFTKFAHPQSGGNQGFNGSGLGLAICKRFVSLMGGHIWIDSEGTGRGCTATFVVKLGVCDNTNTYQQQLIPLVWPSSADSDLSVPKVLPDGRGSTSLKSRYQRSV >Sspon.01G0051640-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:24534057:24537400:-1 gene:Sspon.01G0051640-1P transcript:Sspon.01G0051640-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTRSNGDGHGHGHGGAGSWYPQRRPHYGYGGGSASFRGCCCCLFLLLTFLALLALAVALVVVLVVKPRKPQFDLNQVSVQYLLVASPTSPAAASPAGNPNKVGIRYGATAFDVMYHGVPLGVAAVPGFEQPAHSTRLLQTRVIVDRFNDLIRDAAINDRVELRITGDVGAKILVLGFSSPKVQVRIDTPPATGTNNFRSLPPQVSVDCAIAISPRSQSLKYKQCGEPSRRRRRCRSCNPVEMVKVGGSRNPVAFAAIFLILRFVYWEWKGEVRPGECGWLIPHSHDCAATVTVTTPFPPSLDLDMRLYHCLGLVDFLISLHPTCPFRTWVVGASLELESEQLRRLENHAFGVFDLGQNRWPELPRKRSWTAFFWSQAHFGLFSPFKSPPDSHRFSSSSPPSRPRVLAPRPVPPPAAMLRSCASGCTGRASAATPRPRAAPAAAPRPRAGGSARPCAGGRARPPPAVVPRPHAGGSARPCASGRAPSPHPCARGGGCRDRDLLSEEHHRFSLTVHGCDCEEARRSEAELRARGGRRRRHMVATARGAAARHDHGWRPPSARRNVLENQTRGVAPRNQAVAGHSLTTKKSNTSDISGE >Sspon.07G0000890-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:1938303:1942740:-1 gene:Sspon.07G0000890-1A transcript:Sspon.07G0000890-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAQQSVNAGKAKVDMQVDLTHMLCEALLLPPLRSSGVTLSQIVGRISLKHPNLFGRSEKLDVILDKGINDSNVVVAYRRPRPEWLSQQSFVIQHSMTPEVAVHGFPADNFTRSGSRGINLSRLSFGLELNEPATSNWTSGTSVKFEHIRPVNNQGHSIARDHDGFPLTCSGNLHDNMIILKQESGYADVNDNSFLKVNFQMEQGLPLVPKSLTFNRVKCAVSKGIKLGPTFLVTSLTGGSIVGDMAPYQAFAIGGLGSVRGYGEGAVGSGRLCLVANCEYTIPLVKHLEGSIFMDCGSDLGSARHVPGNPALRQGKPGFGIGFGYGLHFNTDLGQIRVDYAMNAFNRKTIYFGINSSGGS >Sspon.01G0038050-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:27839695:27842096:1 gene:Sspon.01G0038050-1B transcript:Sspon.01G0038050-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGKGKRRSARLLKLEEQKNDGDSTAVCLLDPWQIIRNSISGLSARGKRKRNEEIQVKKRINLQPLGAFNSLPPVLICRMILKQLQGEASCSHQEPVYASNTNNLPSQSSVGQIIEYILDELELRDRHELFAMPDDIQVTDYAELVSRPGDFATLRQKNKDGMYTALEQFEAMALLDQAKLVFMSLKSNQMFSESELAAWHQRHADQLQQPITPEGREGGGRGPSRHMAALPLQPSAATPRKRSAAEAKKQENANTGGSNTPENQRGRQRGARESKATPPGKKARKADTLFRSMGGAAGAATAGFDGGAGLVALRRLTYTDKAVADHGWRAIAATPVFQARHVTLSDVRPTDRRPLGVWVAKKKVSILKHFSGAMQIVQQPQEQTFRGSLQRFVRQAGLKARVAAEFRTLECVSRARHSPTPQCWNGFAPSAGFLPPSPRPPGAATLEARPSSSDQQAAAPECKLETDEVLKLFVLMGTPAAFLGRAKKMFGHDEPEESAAKEGQLTKAADDARARAGTATAAETGHGKKSSASEPSPAACGPFAPPKLVPGRLGFGQFAGSSARPFKLKSKPSASNASGKKK >Sspon.04G0014410-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:60170553:60170996:1 gene:Sspon.04G0014410-2D transcript:Sspon.04G0014410-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQANNDLPSPNSDLDTLIAAFGKKNFTDRELVALSGAHTIGLAQCANVDKSQQQRCINANTNVLPLDEKTPEDFDNRYYGNLPNRGLLHSDRVLVDRADLRGLVVKYAFNKNLFFQDFANAMKKMSEMGVLTGANGEIRRNCSRVN >Sspon.03G0018820-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:58288688:58289254:-1 gene:Sspon.03G0018820-1A transcript:Sspon.03G0018820-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding WRGLCSSPIGPIRQPRPPTPTPARRRPPSSSSSYLSSPPPSPRSSCLSAPAARTPGHGSSAGRPPWRRRRSRSWSRSRTAAAPAGTSSCSPASAASASRRPRWPRWSRGTAARRRRVARAAATTTWPPTATVPRRRRRCGRRPSSWATSAARSSSPATSPTIPTATRCSLNRRRRSTRMPPTMRRPRVS >Sspon.08G0021560-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:40731969:40733199:-1 gene:Sspon.08G0021560-1B transcript:Sspon.08G0021560-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding APAPPARSRKPRHRPLSRQPPAPPAPAPPEARATTPATLSSLTAGPSHPPAATSSAAPGHHATTPLPAIPQATANSTVARRPSGSSPSPLRRRTLPISLPHPLVSPHALASSCGDNFPSSEPS >Sspon.06G0003550-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:8570631:8571357:-1 gene:Sspon.06G0003550-1P transcript:Sspon.06G0003550-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPPAAPLFPSLDTEANSSRMVKEVPIPPRPVKPPASRLPGKPDGATTSARPASHTASSSSKTTCVKGAPAVSKEKKQPRTADQRPSHKVPTNGKQKAAAAAVPGTRTSGAGAPKKHSERCYASQAAAHKHGQGSGRPRSPFQGTEESHHNSPIDIPAPTSTAGGECSEQRFWVRRRCQEEEEWQGDEAAMSAGCDKGHDDVGAAVTGQEE >Sspon.01G0039560-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:29310600:29312006:1 gene:Sspon.01G0039560-2C transcript:Sspon.01G0039560-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable serine/threonine-protein kinase PBL15 [Source:Projected from Arabidopsis thaliana (AT1G61590) UniProtKB/Swiss-Prot;Acc:Q9SY91] MPKPWRPVLASVTKCCAAEDAAVAPDGLARCRPQQSELSRRLASFRRLSSLANSPASGGTTITMADKDGSVKQQEGGGEMAGPLQLHSFGLGELRGVTHDFSSSFLLGEGGFGAVYKGFVDAGMRPGLGAQPLPACSVAHLRLHAGISATLPWGTRLKVAIGAAKGLAFLHAATTPVIYRDFKASNILLDSDFTAKLSDFGLAKMGPEGEDTHVTTRVMGTHGYAAPEYVQTGHLNVKSDVYSFGVVLLELLTGRRAMENVRGRTAHADQQVKLVDWTRPYLSGGSRRLRCIMDHRLAGHYSVKGARAVAQLAVHCTAQQPRDRPRMVAVIEALEKLQGLKDMAVTVGLWPATAPVAGRNAISAKIRAEVKGAGAGSRRRSASSKLP >Sspon.01G0063180-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:111686917:111688308:-1 gene:Sspon.01G0063180-1D transcript:Sspon.01G0063180-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGWMKSLNPDIDGVTGIETNHMDASDPTSRAVRFSDGAEYVDPDPGHSMQAIYEQRTIFDALHDEGFSFGIYYQYPPSTLFYRNLRQLKYAGSFHAFDLDFRRHCREGKLPSYVVVEQRYFDLKILPGNDDHPSHDVAEGQRFVKEVYEALRSGPQWEETLLVVTYDEHGGFYDHVPTPAGAGVVPSPDGIVSAAPSSSASTASASASRRCSFTLPEPVKLRRTAVAEHAPLSEFQEELVQLAAVLNGDHTKTRTRTSSWRDDGGGGGQ >Sspon.06G0000170-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:12365748:12367305:-1 gene:Sspon.06G0000170-2C transcript:Sspon.06G0000170-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DFRSHRAALFDGIEEGGVRAPAYSSREIHEHENDQALDSLHDRVSVLKRLTGDIHEEVENHNRMLDRMGNDMDASRGFLSGTVDKFKMVFETKSSRRMATMVASFIVVFLLIYYLTK >Sspon.01G0019960-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1A:74167970:74171684:1 gene:Sspon.01G0019960-1A transcript:Sspon.01G0019960-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKLRSQNSGPPAAAASLRFAALLQSCGRTGDIRRGRALHARLLLTGAAATSTFLANHLITMYSHCADAASAVRVFGVVPRPNLVSWTTLVSGLVQNSMHHDALAAFAAMRRAHVAPTQFALSSAARAAAALSAPRPGTQLHCIGIRLGFDTELFVASNLADMYSKCGLLDEACRVFGQMPHRDAVTWTTMIDGYAKNGSLEVAILAFRDMKCEGLVGADQHVFCSALSASGGLKDGWFGRSLHCCIIKAGFELETVVRNALLDMYAKSGDLESASRVVKIDPGGWNVVSGTSLIDGYIEADRIEEALETYTELGRQGVEPNEFTFASMIKGCAMQDLLEQGAQLHAQVIKTSLISDSFVGSTIVYMYGKCGLISLSLQLFNEIGYHTEVAWNAVINVYAQHGHGWGAIQAFDRMISSGIRPNHITFVCLLTACSHAGLVDEGLEYFYSMKDAHGIEPKEEHYSCIIDMYGRAGRLDEAEKFISEMPVKPNEYGWCSLLGACRMRGNKDLGEVAAQNLMKLDPNNTGIHVSLSGIYASLGQWEDVKAVRKLMKDNSIKKLPGFS >Sspon.06G0012880-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:55776405:55780978:1 gene:Sspon.06G0012880-2B transcript:Sspon.06G0012880-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQAGRRRSSPTMGGGGSGDHQQQHGGGSGDDGQLQQGGGDMVMPGFRFHPTEEELIDFYLRRRVEGKRFNIELINLVDLYRYDPWDLPALASIGDKEWYFYVPRDRKYRNGDRPNRVTPSGYWKATGADRTVYVEVKRPIGLKKTLVFYVGKAPKGLRSSWIMNEYRLPSGEADRYQKEISLCKVYKRPGIEDNFHLSTTTTRSSSSKAAATMEKKHHRTSASPRLAPMFDGGHSTAHMNKPYSGANTTIAMTSSAAARAATMAPQTSMFLSTPSLSSTTSTEEDGTSLYHMKGANPPMLPSSTHALLNANSATMATIPIDELSRAIGAYNSQGNPNQPPLPSQGPLLPFPSMEKIWDWNPLLESPKFQVYIKERELE >Sspon.02G0007300-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2A:21708170:21709372:1 gene:Sspon.02G0007300-1A transcript:Sspon.02G0007300-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MANPDPTQMTSVATRVAALCDVIQEHRRTGTAISARRAAAISAMVDDVAATAAEGSPRACRRKRRMANARGYKQEGRRVGEGERGVVVRARHRTTGQAVAVKSLHRRRGGSHAADVLREACFTAAGGGHPSLVAFRTVARAPGTADYYIVMDHVGPSLRSVMADRGGWPFPEAEARRVMRQLLAGAEAIHRHGVVHRDIKPDNILVGDGGAGAVKICNYGVAKSVAEKDPPQAFAGTMAYMAPEVLVKNADHDTLADVWSLGCVMVEILTGKLPFAVAAKDEDDEAKQLFKIFDVLGVPCKRAWQALKPQVHDDKVQVWRARQLRDRHGSSRNRLRELVSEEILSGDGFQVLKGLLTCDPEKRLTAAAALRCPWFTDNVDDAVASERTTKVTMIAAVASKP >Sspon.04G0016920-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4A:61839271:61842343:-1 gene:Sspon.04G0016920-1A transcript:Sspon.04G0016920-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding QLIRMGVYLSTPKTDKLSENGENDRLKFGLSSMQGWRATMEDAHSALLDLDNETAFFGVFDGHGGDVELKQNKFLPPEKQIVTANPDINVVELCDDDDFVVVACDGIWDCMSSQQLVDFIHERINMESSLSAVCERVLDRCLAPSTIAGDGCDNMTMILVQFKKPVDRNKKAEVAGQSANNADEVKSR >Sspon.07G0010710-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:31911641:31915967:1 gene:Sspon.07G0010710-1T transcript:Sspon.07G0010710-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMDSVECLSLPDAAMDVDDVDGHPHHGHHGHLGLPLHPAHLPSWVPAARECRGRRGGPGGAAGAAGAGGGPPATSVHELLECPVCTNSMFPPIHQCQNGHTLCSTCKARVHNRCPTCRQELGDIRCLALEKVAESLELPCKYCSLGCPEIFPYYSKIKHEAQCSFRPYNCPYAGSECAVAGDIPFLVAHLRDDHKVDMHSGCTFNHRYVKSNPREVENATWMLTVFHCFGQYFCLHFEAFQLGMAPVYMAFLRFMGDENEARNYTYSLEVGGNGRKMVWEGTPRSIRDSHRKVRDSHDGLIIQRNMALFFSGGDRKELKLRVTGRIWKEQTNPDGACIPNLCS >Sspon.02G0048410-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:24576163:24578275:-1 gene:Sspon.02G0048410-1C transcript:Sspon.02G0048410-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKAMAGDVIFMPCSIRAGNPVTLEETNTDHSQLTFDGNVDPDSLAGALSRLGELAQVGPKLNRLCIHLPGNLKLGDARISFLLHAADKLASLELVVKHWRTLWQMREHQKKLGRGVPCDLGSPTQNTSVTNGHDGRRRRRRRRRRRPFRRGFINISTGHCRYVDLPELRGQEVFGPTAEGLLVLLDRAFPYVVRLLNSFTRHAAELPPVAPLLNKSYLESASVINERSFDVSGAGVADGGSTVAVHFKWIRTLAIAKPGDEHWIVVDQGRWLCPALSFAGRFYCATSKAVMVLETGTGVEHSPPRLAVAAELMRPFSRFMMDTVHQGNTGCIGWTLDASRGGSSARGGHPPPKKSPPWIYCVEGADIAIHV >Sspon.01G0045680-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:92004388:92008504:-1 gene:Sspon.01G0045680-1B transcript:Sspon.01G0045680-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEDLPCAMEEDLPCAMEEDLLEAMVEDVPGAMTEDLLSGLEAKLEGVNITEDKEEAMNAQIMKDYNMWIENKPCLDNLVITHTLEWPSLTVEWLPDRAEYPGQGQSVPKIVLGTHARGDFPNYLVIAEVQIVQQINHDGEVNRARYMPQNSFIIATKTVSAEVYVFDYSKHPSKPPLDGACNPDLRLKGHNSEGYGLSWSIFKEGHLLSGSDDAQICLWDIKANSKNKSLDALQIFKHHDGVVEDVAWHLRHEYLFGSVGDDHHLLIWDLRSPAPTKPVQSVVAHQGEVNCLAFNPFNEWVVATGSTDKTVKLFDLRKIDTSLHTFDCHKRLMIWDLSRIDQEQTPEDAEDGPPELMFIHGGHTSKISDFSWNPCEDWVIASVAEDNILQIWQMAENIYHDEDDLPISDEPAKTS >Sspon.03G0026710-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:3672020:3675633:1 gene:Sspon.03G0026710-1B transcript:Sspon.03G0026710-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAAVDVEDLLVRVKTGAEDELAAVAREVAALAGDGRLGEDDDEDGLLVPALLARLAAAGTADARVSVLAALRRLAGCVAGESKERLASIEALSSIVRSLSRDVDERTEAIAVLLDLSDIPQVRQRIGRIKGCIVMLVTLRNAHESGTVDDAEKLLSILSSNPQNVLLMAEAGYFLPLIQYLKQGSDMNKVLMATAISKMFLSEHMKSSLGEDGAIEPLVDMFKYGNLEAKHSALGALRNLSSSLQNAEILINSGVTGPLLQLLFSVTSVLMTLREPASAILAAIAQSERILFHKDVAPQMLSLLNLSSPVIQLHLLRALNSISGHAMLKEPEGKLGKMEGCNCFYLS >Sspon.05G0022060-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:5047378:5049290:-1 gene:Sspon.05G0022060-2D transcript:Sspon.05G0022060-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTNLGGPNYAVELGRLDGKTFNRAIVKHVLPGPGFNLDQLNSLFAQNGLTQTDMIALSDVLTQASNAMHYTAGAHTIGVTHCDKFVRRIYTFKQRLAWNPPMNLDFLRSLRLVCPINYSPTAFAMLDVTTPKVFDNAYFNNLRYNKGLLASDQVLFTDRRSRPTVNLFAANSTAFNEAFVAAMAKLGRIGVKTGSDGEIRRVCTAVN >Sspon.02G0004780-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:15114897:15119014:1 gene:Sspon.02G0004780-1A transcript:Sspon.02G0004780-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALGKTRSSPLISWWAPPPGKLSDDIDGSSDLDADKKGLDSEMDMLGRGNSEKKDGERIRRGLDIDLEDQKVQRIPADEFVPKKFTLQLDLEKPSLGDEKSPSERRQQQPQPQQLQQQKPSKAEIKHEKSAMPAVTPPMPIPVGGWLGNFPPFGYLSPVPGLSAAGLHHPMDVKPGSSSGLQHAALLPPPTRSKRCATHCYIAQFIQHQQRVAKMNSFWPPAAAAAAAAAANRSGPFFGARPFGMGVVPPTDAASMLVNPMQGSYAVRAHTPMQEAKAPSMATSPFQGSLSKDKALGNAAGAESSQRKQPPAHETQQSTPIPNMLQAPAFIFPFNQQHAMVAAANAANRAGDAKSSGASNAMPPSASAHTSAANSGAAAMNLSFANLQPDAQFLAILQNGYPFQVAAHPGGPPYRGMAPPGPAVPFFNGHVYSSPMLHPSQQQGMQPQGHQKTQMPNLPSSSQKHQPQQSQGLLGYAPNANAAAAAASNLQNYSSGNQRPVLLPGLTHRQESDKTGQDGPSSDDKPSHSQKSGYEHNFAVPVHLPNFAMMPAAQPAGSQSEKKSSDHHHHQQQQQPQVSRGQGVRIDLASSQPFVMPFGSIGPGSTPTGLDFSALAQNPAVFQSHQEAARHGYPQLNFAAAQSMQSTQHKPQHGETKSVAGDSSSTPIAGDSERKKSTSTKYSGDSQQHSLSFTRTESKNYVTPFLSGSTNESRYPDGLSMASFQNMMYPSSAAQGGVQSPQLKASSGRGTPSSTATTPPAAPPPNLIVMKNSSLHQQQAKVPMQALSTPGHQPPSSLSMNSSKMGPSVTNLSTGGGDLSRSSNAPVASGSPSNSVSKSTGGSPPATGNAKGVQQPVQLPSPQQQSAKNSASTSGSKSTPTNHFSMAMPSILGQQPNASPGSNPGSKQQSHMPPSSMKQPPFQQGHFFISNTYAPQAPGAGGAAALGLYQKRPGDKTQQQAPHQQNALSAAAGNNMKALHPSSGGFMHLAAATQSAGGVPHTHMSAAQLTFGTMPMPLKPSSDQKPAAGTYLLPNHLNTEFSSFSL >Sspon.05G0003660-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:15437862:15440015:1 gene:Sspon.05G0003660-2C transcript:Sspon.05G0003660-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGGGRRAATAAALGRWCLVILAVASALGVSGPAFYWRYKKGFSSSPASTAAVAASSPSCPPCSCDARRPFPLSPSPQGHQMNAIAYVTLYHCYELWACQLLNNRYYVNWTKTLMFVLKYADCGKNNPELAKEMEKQFVDLLNEELKLQQVVAEEHSHHMNATLVEAKRQATQYQREAEKCNAATETCEETREQSEAAISKEKKLTAMWEQRARQLGWQDSRATS >Sspon.01G0061780-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1D:97196248:97197090:1 gene:Sspon.01G0061780-1D transcript:Sspon.01G0061780-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDSSACSICGARDSWRHSLIECNMARCIWALEKDEITEHICHIEDQDARSWLVAVMQSLKHEDLIRVTVTLWAIWYARRKAIHEDIYQSPLSTHNFITSFVADLQLAQPKVKDRPTVQRSNIHWIPPPAGLKKINVDAALAKNSGTVVAAAVARDFAGHFLGASAVVMEGSLEPETMEAISCKEGLALASDLLLQDFRVACDNAGVVASIREGSMGSYGHIVQEIRARSNDFSFVEFVHEGRRSNVDAHNLARSCIYAQLGRHVWFVTPPDGVCTSYEE >Sspon.06G0030930-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:56568283:56580705:-1 gene:Sspon.06G0030930-1C transcript:Sspon.06G0030930-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVVAAVDALAAVSFAGGAIDAMKRLRGGDGGGFLSLAAPLSDRDGEYQPRVLSRPAPHLYLCAGARSKAQKAVGPNGGRSKPNKGTYRQLEDRQVHWLASGAPRCAHSTPGDPSSAASPMTTTPRRPHLDLPKGIDFSRIALGHDFLSGIDKRDNTSMSCFGGMTAPLVTPTPAAFKTLAAGHQAGFACWGDGDVPEVPAHDLPGVMLTIALGNDTTCILSGSGRHRNMDFLAIQADGDAVTDGFGTGGSLVYNSSIPGACARKKSCPCDIISGSSALCGTGDAEDGEELAVCQACPILIANGRDVSAAGDDSGKKKAKTLAVAMVMPAPLVSPQRPARPLGCEEFTLRDLRRSGAAASGRFDAERAFRAELRLLSRVNHRNLVQLLGFCEERGERILVFEFMPHGALHDHLHGGGSSSSGYSPLFASWEARLRVALDAARGVEYLHCYAVPAIIHRDVKPSNILLDGEWTAKVSDFGLSLARGSTAAAAAASSSATAGTVGYIDPEYYRLQELTERSDVYSFGVVLLELVTGRKAIHRTSQDGSGSPCQPILDERVPPPRGHEVEAVARVAKIASEPIMSEVVAELEWAVTLCEESIVAGAAAAAGGQNSSRHGGSDLSRPFHTRELGLGFGFGLGLGLGSSRPITHGSVHLPGRSILSHDASPTTLLPHKRWPSEAQRSAVGLARHGAWPPPSRGARLTALLGVSHRQCLPSAMCSHYHRPVAYKHRQAAASDEHHTPTSLHSVEQQGDGRMARLGSYGWGVLVLIPTLGSRPRGERERRGQGAGATDGVEVYVRGRTRQTGKDCNDIVGAVRYVPVVSLFTTWFGRHKPLTITGGGQEQSPTLANTSKLLQAV >Sspon.02G0023120-3D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2D:71177706:71179671:1 gene:Sspon.02G0023120-3D transcript:Sspon.02G0023120-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSITPRAAAPSRVGVDESESRGPGPYAPARPGENTPKSPNARCRPHNHAAHRILSSPNSPNPGAHHAPRHATPPRPRTMPPIAAPVSSLPAAPPQGTAGDARSAVNLLRSLARARRADLSHRALLLFRTLHASPAPPPPRYSLPAALSAAAFLAVLPEGRQLHALAAKLALLAPAHAHSAVVVANSLVHLYASCGRPGAALAVFRGVPDTDRSLVSWNTAVDALAGNGDHLAALDLFREMQRDRPDLAPDAYTLQSVLGACAAAGALSLGLYAHALLLRELGGHASSAAVSRDVLINNSLVDLYGKCGAVDLARQVFDRMPQRDLASWNAMVLALANHGRVRDSLHLFDRMTRVENVVPNAITFVAVLSACNHGGLVDEGRRYFAAMVGEYGIRPRIEHYGCMVDILARAGFIEEALDVVAGMNCRPDSIIWRSLLDACCKRNAGLELSEAMAKLALDVPDDAVSGVYVLLSRVYASAQRWNDVGMIRQLMCEEGFKKEPGFSSIEMDGSVHQFVAGDTSHPQSEEIYEKLDEIQQRLTSAGYKPDLSEAPMVADIDRTKGATLRLHSERLAISFGLLNATPGAPIRILKNLRVCKDCHTISKLISKLYDVEII >Sspon.03G0027520-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:5963673:5964862:-1 gene:Sspon.03G0027520-1B transcript:Sspon.03G0027520-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRALDNTMPAAVEERPKKVAKAAVKAASPGSGGKKKKKGNDENSAPRATAAAAEQAVEYIPSEELAAAASPKAKASGLVADLDSKDWVRTCEALNDARRLAIHHPALLNPILEKVVLAVVKTMKSPRSAVLKTSIMACADIFSSFGNLLSSVSDDAFDKLLLQLLLKASQDKRFVCEEAEKAMRAMAASMPPLPLLKKLKAYVHHANLRVRAKAAVAISHCAARMDIEAMKEFGMSALLQVAAELLNDRLPEAREAARGVVASMHAASGQEDDAAASWESLCSLSLPPISAQAVAKITAASSS >Sspon.06G0019350-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:934545:941479:1 gene:Sspon.06G0019350-2C transcript:Sspon.06G0019350-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding PMTDGARVEAAPRLAQWRVDALPCYTYRKSLPFRIGLWKRYLSVERNNKQTCVKLFAENSNSPKNGPSAPIASFVTKLLISLPPNQQTIVHPGRFVIEIEFLDLKVADPQGGEPASIWASRQIKQCSDSTALSSLARMLHEDILTDITINAADGSVRAHRAILATRSPVFRSMFSHDLREKELSTVDISDMSLDACRAFLSYIYGDVRGEEFLANRLALLRAADKYDIDDLREACHESLLEDIDTGNVLERLQTAHLYRLPRLKGGCLRFLVDFRKVYEMHDDLDAFLQTADRDLVAEVFHGVLAAWSGR >Sspon.02G0031350-4D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2D:91190835:91192647:-1 gene:Sspon.02G0031350-4D transcript:Sspon.02G0031350-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ALRPAPSRTRRRLTAPRRRRRFRHGQGGAQEGEEEQEKGGSGSQWSRRRPRREGRGGRLRRRLPRGRRAPPHARRPPVRGRPRGNCGRHPLPCTVRLCCCRFGAMNSL >Sspon.06G0017340-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:78147195:78148688:-1 gene:Sspon.06G0017340-2B transcript:Sspon.06G0017340-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGATQEPHLVLVPWQGGISHIIPMTDIGRLLASHGAAVNIITTPANAALVQSRVEDLATPPHGAAGITVTAIPFPAAQAGLPEGCERMDLLRSPADVPRFFAANRQFGEAVARYCCGSEAMLRRRPSCVVAGMCHTWALGMARELGVPCYIFHGFGAFALLCIEYLYKHRPHEAVSSADELVNIPALPAYDCRLSRAQLPTHFAASTTMGGGTMQEIREFDVVVDGVVVNSFEELEHGSCELLAAATGKTVVAVGPVSLCRHSSSLDLQAMADDARHVLEWLDTKETKSVVYVSFGSAGCMPHTQLMQLGMALASCPCPVVWVVKGADSLPDDVKKWLRESFNDDGKCLVLRGWAPQVAILAHRAVGGFLTHCGWGSTLEAIAAGMPMATWPLFAEQFLNERLIVDVLGVGVSVGVRNPTENVLTAGTTNASKVDLEAEVGMEQVAKALERLMDQGAEGEQRRRKAQEHKAKANGALEKGGSSYMNLEKLIQCASW >Sspon.06G0022330-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6B:28823088:28824711:1 gene:Sspon.06G0022330-1B transcript:Sspon.06G0022330-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPQRQANPSSPTFLRHDAHLLGAAAFTAGGTSTAGAEVPVAAGAHVVGRRGSEETAPVRALGGAAAAAGCFLLLRLHEDAAVLLLCRRRWRLSNRRGRDRGHCNSSGGVRILTSSQLLGHVSSSSLGGLNLLLLVDGSSGGVGGLDQVFLVALGAEVLGRPWRPSRSAVRTQELSVRSRNKSSRTKRSRCHVRRPSERIDGGGAGGLQRRCSGTDVRQRLRVLREHGDQEPLLQEVSSGGAAAPMCANGCGFFGNTATKNLCSKCYKEHLIETADAAAPVAEKKIEVAKAAAHVSEQLAGQDPDATAAAVAVATATPVAHAPSSVTEKKNGDGPVETQKQEASVGGGAAIKCAANGCGFFGSTATKNMCSGCYKDFLKDAHAAPAAEDKVVLAAEQPAAAQISASTSSAAPAVKSAAPNRCASCRKKVGLLGFPCRCGGTFCSLHRYAEKHACDFDFKAAGREKIAKNNPLVVAAKINKI >Sspon.05G0025910-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:35657319:35657567:1 gene:Sspon.05G0025910-2D transcript:Sspon.05G0025910-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RRRSKRVVLQPPCWFLLVLLLVFLPTGCQASRGILQPFKGRPLQGGASNNFFGFLPRRPAPPSGPSRQHNSVGLESQRQKQP >Sspon.08G0004730-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:11458411:11460875:1 gene:Sspon.08G0004730-1P transcript:Sspon.08G0004730-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MCQLQLSGSLSSPQVGHRYILLHRAATSLCLTVMEKNTVSSSNGVRVCVTGGAGFIGSWLVKKLLEKGYTVHATLRNIGLHSRAQIDPLINLLEKSGLLRRLVPGAAESGRLVLFEADLYDAATFAPAIAGCQFVFLVATPFQHDATSAKQYKSTAEAALDAARVILRQCADSKTVKRVIHTGTMATCSPLKEDSTGFKDAVDESCWTPLDVEYPLRDPQFHVRCEYILSKHLSEKALLAYNAAESPAFEVVTVPCPVDRTTAGLESAASPFGALRMLQRLMGSVPLAHVDDVCDALVFCMERPSMAGRFLCAAVYPTVDEIVGHFAAKYPHLDILRAHSNKLGELGFRYKYGMEEILDGSSPAVRHTWRRGCCSEAQPAAACAWLAAWAARQERRGWP >Sspon.08G0011710-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:50655342:50661089:1 gene:Sspon.08G0011710-1A transcript:Sspon.08G0011710-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)H dehydrogenase C1 [Source:Projected from Arabidopsis thaliana (AT5G08740) TAIR;Acc:AT5G08740] MSCRAAPWGRPSHIAGPRVPTPARPRFALGSRNLWKNSWTIGAGVSNMLALPSRLFRCMASSGSGDGGFARSTSTDEAVAPLPLYSWPDKQRPRVCILGGGFGGLYTALRLDSLVWPNDKRPQVLLVDQSDKFVFKPMLYELLSGEVDVWEIAPSFTELLKNTSVQFVRDSVKLLRPSDHFRRGPGEPCTGGVVHLESGTVIEYDWLVLALGAEAKIDVVPGSAEYALPFTTLEDALRVESKLKMLERKRFGKSSPTIEVAIVGLGYSGVELAATISERLKNTGTVKAINVQTTICPTAPQGNRDAALKVLESRNIQLFLGYFVTCIKEASASDDSSSIVTYSEVDGDHRKLILDLQPAERGLKGQTLEADLVLWTVGSTSQIPRLQPPDAPYVIPLNGRGQVETEETLQVKGHPRIFAIGDSAALRDPSGKLLPATAQVAFQQADFAGWNLWAAINDRPLLPFRFQNLGEMMTLGRNDAAVTASFIEGLTLEGPLGHAARKLVYCLRMPTDEHRVKVGISWFTKTAIDSLASVQNAVSNTLTG >Sspon.04G0022310-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4B:4984918:4990984:1 gene:Sspon.04G0022310-1B transcript:Sspon.04G0022310-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPSNSHGSGGDEERLPLRGVLETQQRAPGTPPVHHAAEQLKEARRGGSRLWRASVRAGLLLCLLTVPAVLLLLRWQADSSPQWVFDFEAPEEDDDQDIQDDMSDDLSPSPQIAYDRLLGGLLVEGFDEKSCRSRYQFARYHNSPSIPSPYLIERLRKQEALQKKCGPGTKAYKEASKQLKSGQSINVTDCNYLYLIIHAGLGNRMLEITSAFLYALLTNRILLVDRYKEIADLFCEPFPGTSWLVPSDFPLNNDEFSQSSPESYGNMLQNKVFGGNTDRSLAGNRPPYVYLHLDGTYGFHDKLFFCEDDQQFLQGVSWLIMRTDMYFVPSLFLIPAFQDELSRLFPEKDTVFHHLARYLFHPTNNVWYSVTKYFRSYLAKAEKRVGIQIRIYETKGILQRNGPFPHILNQILSCAQNEKLLPEVSMAEGAAAETQNNRTAAGTQNNRTIAVLTTSLSSWYSDQIQKKYDEQPAVDGITVRVFQPSHEEYQRSRNKKHNMKALAEIYLLSMSDVLITSGFSTFGYAAQGLAGLKPWIMFRSENHVMPDPPCGRAMSIEPCFHQAPSYDCKAKKDADLGK >Sspon.02G0049350-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:38012847:38015379:1 gene:Sspon.02G0049350-1C transcript:Sspon.02G0049350-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCPVSLSSLPPLFSRFLLHTYLMSYSASYYSLTPSGLAHLARRLPDKLRRDVGQGRRERDMGPDGAFIHVGKAAELTGSPGVMPMLSSLRYFPRTTRRLLLRGPGGDARPVPGPHDVLLRRFDGGGAPPVFDQIIDGTRWSAVDTAGGYARGLATYYEAVVEATGKELSVCLARSAATAPGRSPFINALEVVPLEGSVYSAVNFTAYALSTVARHSFGYNGSIIGYPDDRFNRYWEPYSDDGSIPVVESQASVATEAFWNKPPEAVFRRGLTASRDKSLDLQWPLAPLPAASYYLALYFQDNREPSALSWRVFDVAVNGQPFFTGLNVSTAGSMVYGAQWPLSGQTRITLTPAPGSPVGPLINAAELMMVVPLGGRTHPRDVIGMQELARGFMNPPSDWRGDPCLPKGNSWTGVTCNQDPLARVMGLNLTNLRVGGSISDNIANLTAISSIWLVGNNLTGPIPDLSPLHHLVSLHLEDNGLTGPLPESLGNLTRLEELCILL >Sspon.01G0015450-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:44713333:44717908:-1 gene:Sspon.01G0015450-1A transcript:Sspon.01G0015450-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LMAAPAPAPSAASAAAVDREEIVFLDVETSTPPRVLLLEFGAVVVCSRRLVDVSSYTTLVRPADLDAVPDPTARCNGITRDTVADAPPFRDVADKVYDVLPGRVWAGHNIVKFDSVIIRDAFAEIGRPPPEPKGMVDTLPLLTQWFGPRAGDMQLASLANYFGLGKQRHRSLDDVKMNIDVLKNCATVLFQEECLRGVLPVQNMLEGATIRTQVTSNPARNRDSNELVGSHVEEMMLDTTTQMDARSSGGFSGFVELDDVSTESIKISVMLLQHQSGPRAIFQHKGAQLHLSCPGLEVRWVSTKFLPKLSIMVDIPDNLSKVLVFCDNLAQSSSPEGRSSSPWKPLIKRYGNANRPTVRLSIATVVSGNTAMYSTQIYQKDCNDVIPKLASRKVDAAELESMLQGNKVDAFFSLEIYDYQQNAGIRLVAKRLDVHF >Sspon.03G0006260-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:17177528:17179400:1 gene:Sspon.03G0006260-1A transcript:Sspon.03G0006260-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRSRGNEPPPPRASQRVPLHLKTTADANGAHHRPVGDRSSPKVGDRHSPRSPLPEKKRAAGTRVAELEAKLGKVQDELKKLREQLASAEAAKKDAQVALEEAKKRVGTKGSPVSTTTATATSPPSVGVESAKKPEELKVPQPAAEEESSINAPATDVFEVVRAESGDKENQSAADDCEVVSCGEKAALAEKEEVEEEETKKMIGEDNSAEAVETDGTEKEESPEVVELKAKLAEKDTEIAALAAENAELKKQAGEAAEAAKKAGEDAASKAAQAEHDLKEGATREARVGEQLRESEAAREALDGELRRLRVQTEQWRKAAEAAAAVLGGDNHLTGLAGNGNGWGCSPATMPDDGDDEGFGGKRKGAGIRVLGDLWKKKGSK >Sspon.01G0003480-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:9501604:9502040:-1 gene:Sspon.01G0003480-3C transcript:Sspon.01G0003480-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKAVVVAVLLMQCREVIVAARPLLHASAGADGGTTMVMQVLDKGNNGPRRPGGGNCSWKKPGHPGCPPQPSYRRLLE >Sspon.02G0046710-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:6093145:6094292:-1 gene:Sspon.02G0046710-1C transcript:Sspon.02G0046710-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding TCSCFRAPSNSWIIA >Sspon.06G0014980-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:82815259:82817718:-1 gene:Sspon.06G0014980-3C transcript:Sspon.06G0014980-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATARWPLVAAVAVALLLVEVATAAAPRKPVDVPFQKNYVPTWAQDHIHYIDGGREVQLYLDKSSGTGFQTRGSYLFGHFSMHMKLVGGDSAGTVTAFYLSSQNSEHDEIDFEFLGNRTGQPYILQTNVFTGGKGDREQRIYLWFDPTKEYHSYSVLWNLYMIAFFVDDVPIRVFKNTSADLGVRYPFSQPMKLYSSLWNADDWATRGGREKTDWSNAPFVASYRGFHVDGCEASAEARYCATQGARWWDQPEFRDLDAAQYRKLADVRRRYTIYNYCTDGDRYAAVPPPECARDRDV >Sspon.04G0017320-3P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:12665776:12669801:-1 gene:Sspon.04G0017320-3P transcript:Sspon.04G0017320-3P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMRPGWVGGLVEESFFVGCAAHENRKKNEKNIFCLGCCASICPHCAPAHRHHLLIQVLLLRTSSHAGSKMCMPSYEFFLVFFPKEHGRRLRSVRLFFSYHVRRYVYNDVVRLDDLERLIDCSFVQPYTINSAKVIFLKPRPQSRPFKGSGNVCLTCDRILQEPFHFCCLSCKVDHVMMQGGGDLSNILYVPGGGGPPDLVGCGFPRFENLRFDDDPAGQYGGQVTPNSILEDPMLQHGGSSSGGSSARNARRGDGVPTRKKKSGGGGGGGFFPQIVLSLGNRRKGAPHRAPL >Sspon.02G0027920-1P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2B:99656102:99656812:-1 gene:Sspon.02G0027920-1P transcript:Sspon.02G0027920-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VEVWLQTFGPGQRTPIHRHSCEEVFIVLKGKGTLLLGSSSLKYPGQPQEIPVFQNTTFSIPVNDPHQVWNSDEHEDLQVLVIISRPPVKM >Sspon.08G0007040-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8B:19683151:19684345:1 gene:Sspon.08G0007040-2B transcript:Sspon.08G0007040-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VSMPRSNVIILTDPGSKISVNQGSATLLPIEGNYSRGNLMLQRIKTYIAFLEQKLVEFDRMEGLNHFVLTDSDIAVVDDLGHIFKKYPHCHLALTFRNNKGQPLNSGFVAVRGTRDGITKAVEFLKQVLEAYSSRYIKASRMLGDQLALAWVVKSHLPSAFGKFSKHEAFTGEVNGASVLFLPCAVYNWTPPEGAGQFHGIPLDVKLYARLMTISSACKCGSFLQDYT >Sspon.01G0002430-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1A:6596630:6600329:1 gene:Sspon.01G0002430-1A transcript:Sspon.01G0002430-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALMAPPPPALKYEACHNPQQGLVSESALRIIVETKACFVVLALALAYFLTASQHRLWSSSHLIKGFLFAVTQPVTRFLFGMFTMLLSMPFRSDLYLLWGILLLAGYEGVYTISGYGVSARRSDLAVHEFTRAYNIVTLGLYVRYYSSASQFRCPLWALWALMVAKFLERIVRFKVANGRYGDASTTFVADYMKHEHKIDEKDCNYLIVGDSEAKAERVESQYEAQYRPAEDTVTVAKVWGCDGRLLKSKTHGKELRDVCLSFALCKLLRRKFAGVATAESERTKAQRLVFDDLISSGRDRTFRVVRTELGFARDLLYTKYPILFSSGFPVVSTALFVVTVGVSVWITVSAVRHYRVPHGSSSNLVHGKNVDLLITFVIVGMVTGMEICEFFIHLFSDWTKVMVVTEYVRKPWLRNSPFLNSVLKFICCGKIAEPIGSSLGQLDLLKATKKQRRLPACIVKLYHSARSFVLLTGDEDFRVNKCKTLRPVPADIEEMICSTLANNRKGLVDGHESLKRRTELEGDVLLSEHCKAQTHIERIMMWHVATSTLEMKAGRGGSNKRLMDLEDGRRSRKGSGSRRVDYRLVATTLSKYCAYLVFYKPKLLPVASNSVRYMCNVLLDEAKAVGGKEAPNGGEETAANGKEEGPTHDIQEEVGIVSRGRKLASGLESVLAHGGDGLWEALAELWCELIVSMAPHGSIVAHQKELGKGGEFITHLWALLYHAGIDDKFSGSSAVAAADAPLAETTTTAGTVPASSA >Sspon.06G0032360-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:84081189:84083117:1 gene:Sspon.06G0032360-1C transcript:Sspon.06G0032360-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRAHGRAQITSIIFRRGIGIDRTTDYFFMEKVDVIISRAWRSLLRVTRIDRLFSKKQVLSGKDTKKTDEINDDVEDLYVERVRLEKMELSIKNLLRKITIQEPTFERIIVVYRRASSESKPDRGIFVKHFKHIPMADMELVLPEKKNPSLTPMDWVTFLISAVIGLVTLIGSLEMPKADIWVVTAILSGLVGYCAKIYFTFQANMVAYQNLITKSMYDKQLDSGRGTLLHLCDDVIQQEVKEVIISYYILMEQGKATVQDLDLRCEELIKEEFGMECNFDVVDAVKKLEKLGIVSR >Sspon.05G0008330-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:19506283:19509388:-1 gene:Sspon.05G0008330-2B transcript:Sspon.05G0008330-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSGPPPAPTPPLLLPESSGEDGGHDSSSRAAAAAGSAPKRRAETWVREETLCLIALRREMDTHFNTSKSNKHLWEAISARMRDQGFDRSPTMCTDKWRNLLKEFKKARSHSRNSSCGGGSGAGGNGNAKMAYYKEIDDLLKRRGKATGSGSGGCVGSGAGKSPTSNSKIESYLQPILGIDDRLEDDRHPLPLTAADAVATNGVNPWNWRDTSTNGTNLHITRGDNHGSFGGRVILVKWGDYTKRIGIDGTPEAIKEAIKSAFGLRTRRAFWLEDEDEVVRALDRDMPVGTYTLNLDNGMTIKLCDGNRMQTPEDKTFYTEEDFRDFLSRRGWTFLREYGGYTNVDSLDDLQPGVMYQGLRSLVNLNVASEANVLCNTHMD >Sspon.04G0002180-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:6890749:6901662:-1 gene:Sspon.04G0002180-1P transcript:Sspon.04G0002180-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:20 kDa chaperonin, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G20720) UniProtKB/Swiss-Prot;Acc:O65282] MASLQVSGAGVGAAAFAAKGKASIGALRLPSTVTVGEVRPVRRAFRGLVVRAATVVAPKYTTLKPLADRVLVKINSSEEKTTGGILLPTTAQSKPQGGEVVAVGEGRIIGDKKVDVSIQVGAQVVYSKYAGTEVELNDYNHLVLKEDDIIGILETDDVKDMKPLNDRVLIKVAEAEDKTPGGLLLTETTKEKPSIGTGLSARGMASLQVSGVGVGAAAFAAKGKASIGALRLPSTVTVGEVRPVRRAFRGLVVRAATVVAPKYTTLKPLADRVLVKINSSEEKTTGGILLPTTAQSKPQGGEVVAVGEGRIIGDKKVDVSIQVGAQVVYSKYAGTEVELNDYNHLVLKEDDIIGILETDDVKDMKPLNDRVLIKVAEAEDKTPGGLLLTETTKEKPSIGTVVAVGPGSLDDEGKRSPLSVSAGSTVLYSKYAGSEFKGADGTNYIVLRVSDLMAVLS >Sspon.06G0007790-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6A:38434934:38436037:-1 gene:Sspon.06G0007790-1A transcript:Sspon.06G0007790-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGADDKPMAHANVSIELLRLGFPFGNAVTKEILDLPAYEKWFTSRFSVATFENEMKWYGTEWTQNHEDYRVADSMLNLLQKYRIKVRGHNVFWDDQNSQMQWVKPLNLAQLKAAMQKRLKSVVSRYAGKVIHWDVVNENLHFNFFETKLGPSASAQIYQQVGQLDRNPILFMNEFNTLEQPGDPNPVPAKYVAKMNQIRSYPGNGGLKLGVGLESHFTTPNLPYMRSSLDTLAKLKLPMWLTEVDVVKSPNQVKYLEQVLREGFGHPNVDGIVMWAAWHAKGCYVMCLTDNSFKNLPVGDLVDKLIAEWKTHRASATTDDNGAVELDLPLGEYEFTVSHPSLKSPAVQTMTVDTSSSASENTINVNS >Sspon.07G0033490-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:53147633:53148731:1 gene:Sspon.07G0033490-2D transcript:Sspon.07G0033490-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSGNGRSIAGITKRWRELHGENSWKGLLDPLDLDLRKSIISYGELAEATYDGFNTERRSPHAGACMYGYSDLPASSGVAAAGHYEVTRFIYATSGQPLPDAFLVQPRWSFRAGGRRQPLGDGAHGLPVPVHFNQTNARDQVFEEVRRLVELYKDEEMSITITGHSLGAAISILSAVDIVSNGMNVPATGDGSSSTKKPACPVTAIVFACPHVGDRFFRAAFQSFRDLKALHVKNAGDVVPMYPPLAYVDVAVTLNINTGRSPYLKWPGTVQTLHNLECYLHGVAGEQGSAGGFKLEVERDVALVNKGADALKDEYPVPASWWALKNKGMVKDDADGQLKLKDFKQI >Sspon.05G0011920-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:34838997:34840056:-1 gene:Sspon.05G0011920-1A transcript:Sspon.05G0011920-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRVLEPLVVGKVIGEVIDNFNPTVKMTVTYGSNKQPRVEVQGDDMRSFFTLSPKPYIGIHRFVFVLFKQKSRQDYFSTRRFAADNDLGLPVAAVYFNAQRETAARRR >Sspon.01G0008860-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:3026153:3026752:-1 gene:Sspon.01G0008860-1P transcript:Sspon.01G0008860-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYYGRDQRYGGYGGGIATPGYAPPVPYGMSQVNIEGDGCGRPLPPQPTVKVYCRANPNYAMTIRDGKVVLAPANPKDEYQHWIKDMRWSTSIKDEEGYPAFALVNKATGEAIKHSLGQSHPVRLVPYNPDFLDESVLWTESRDVGNGFRCIRMVNNIYLNFDALHGDKWHGGVRDGTDIVLWKWCEGDNQRWKIQPYY >Sspon.08G0023710-2D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8D:60089030:60089212:-1 gene:Sspon.08G0023710-2D transcript:Sspon.08G0023710-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLILELGIWVLPFTLLLAPARRMVRLVDELQRIFLAVACRRAPPPTLGRLWSRLDRLDSAT >Sspon.07G0022500-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:8763530:8765043:1 gene:Sspon.07G0022500-1B transcript:Sspon.07G0022500-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding HELPSRGRRGPRGGGRGAVRDGVVRLRRRVRRRGGPLPGRRRRRRGRGRRGRGRVRPPGPPRVRAGAAAEDELGQHLRHDVHDIAAPSQERSVAVLRGQVAVVRVHVGGALPGGPAQEGQPLQAEDQVVQELRRAGRDDGQEAARFQLLRQPRPRRRQRVQDAAHSERVPPV >Sspon.07G0010460-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:28090003:28091547:1 gene:Sspon.07G0010460-2D transcript:Sspon.07G0010460-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDNKEKENEHEKHDGADIEEEEEDEGHKRVVVLGPQVPLKEQLELDKDDESLRRWKEQLLGQVDTEQLGETAEPEVKVLNLTILSPGRPDLVLPIPFQADDKGYAFTLKDGSLYSFRFSFTVSNNIVSGLKYTNTVWKTGVRVENQKMMLGTFSPQLEPYVYEGEEETTPAGIFARGSYSAKLKFFDDDGKCYLETSYYFEIRKEWPATQ >Sspon.03G0007300-3D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3D:25014458:25014802:-1 gene:Sspon.03G0007300-3D transcript:Sspon.03G0007300-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVARSKQFVADGLAAGGSDTSLRHVQNRESGFRLWQMASLPPALIAFDGRVQAIEPLWNLPGLGSRVPHPDLVRFSAVLHFSGPRKPWLEVAFPELRQLWLAHLNASDSFLQGC >Sspon.05G0017040-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:66497489:66498349:1 gene:Sspon.05G0017040-2C transcript:Sspon.05G0017040-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AMTNGYLFREYIGAQFTGVQFTDVPINAMLSFHFLLSFCIDYTPVDQQPTPAPTNGVFSPFWDTDNLSPDAVAAIKAAHPNVAVMAGLGGDSVQDIVKAVFTPKSIDSWVANAVTSLTGIINTYGLDGVDVDYEHFADGVAVNTFVECIGRLLTQLKKKMPYITTSIAPFEDPVIQKYYQPLWRKYSGVIDYVNFQFYGYGDNTDVPTYVQFYNNQSANYPGGKVLASFMTDNTTGLISPDLGISAAKELQRQNKLPGLFIWSADSSKQSSYGFKYETEAQQIIANH >Sspon.01G0013750-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:37937147:37938504:1 gene:Sspon.01G0013750-1A transcript:Sspon.01G0013750-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GCRHETRRVPAPRLATPPSLLLGGPVTGRRLRPLRAPVQGHLPGLIAGPPRWFVRVRRLGRVLQRRPPRRGRPRAVQGRRRLRCVLPGAVQGQRAVQRGGRQGGGDGPGADDDVEPHGPGAERRGVRGHGHQRRQGRAPQRPPRRRRRVQEVTLRVRQGPQPVDTRGGEEPPSKRPLHQVPVPGRPDRHRRRRRRHRRVVQLAVHDAGPRAGVEHGAGAGGAAAAPAGGDRRLRRQVGVGGVGGAPATVGGRPRLRHRGAGLRRRAGRVLPLRHARVAVT >Sspon.04G0012440-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:41217949:41220709:1 gene:Sspon.04G0012440-1A transcript:Sspon.04G0012440-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTAVDPGPADHLLAQAPAPAPVAVAGAGVGGDGQLEVVINVSSSDTDSDVPGAGGGKRSRPVAGRGGGRDREEKKARILAAAATVPAGFLEPLPRPPVKLLPSPAPGRSVTKQFWKAGDYDGKSDHLLAVEAAQHSDSGMDHVRVHPKFLHSNATSHKWALGDYDALVDFTAFAELLDNSLDEVVNGATYVHIDMMESKKDGTRMLLIEDNGGGMNPDKMRHCMSLGYSAKSKVKNTIGQYGNGFKTSTMRLGADVLVFSRSRGIEGTRPSQSVGMLSYTFLRSTNKEDIIVPMLYQGLVIHLAATSRACFGLLHSKTSQWVGSRGVPVCDGGNGPSHLDDKGSEHRKSDRLNDQHWGYRCGCA >Sspon.08G0001710-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:2127457:2130524:1 gene:Sspon.08G0001710-2B transcript:Sspon.08G0001710-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHFKNRYIVVEVFIDAGRGEQDPVILTQFNITKVIRDSIQLNFGECGLAGSLGSLQVKYVNPVTKLCIIRVSREDHQKVWAAITMVRCIGKIPVSFNLLDMSGSIRACKKAALECDEAKFEQYKVAAGDRITADIIKSVESCFEKIRGLES >Sspon.03G0037910-1P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3C:8398517:8399319:1 gene:Sspon.03G0037910-1P transcript:Sspon.03G0037910-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSPPVKLIGHFASPFVHRAAAALRLKGVPYEFIQEDLENKSVLLLRHNPVHKKVPVLLHGDRAVCESLVIVEYVDEAFDGPPLLPSDPAGRAAARFWAHFMDTKCRTPLVLSFLTEGEQQEGFMKETKENLALLQAQLDGKRFFGGDSVGYLDIALSGMSHWMAVFEEMTGVSLLADDDFPALRRWAKEYTSNEAVKQCLPSIEHLKAHFSATAKKDKVKAGATAMLQQQQ >Sspon.02G0007400-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2B:21262030:21264139:1 gene:Sspon.02G0007400-2B transcript:Sspon.02G0007400-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGMVVAVTAHSRTARALVLNTAVSLESSALAQIAPHMPAMSPAPAAATSPSHEDDCCMAWLDGQEDRSVVYVSLGSLAVITRQQFEELLAGLVAAGHAFLWVLRPDAVKASGDGDAAALRVREAIRSAESGGTARFVAWAPQQDVLRHRAVGCFLTHAGWNSTMEGIVEGVPMVCWPCFADQQINSRFMGAVWRNGLDMKDVCDRGVVESMVREAMESAEIRRSAQALAEQHQCVGPYSDAGVDPLLPWAIETAEELGVPALAFRTASACSFLAYLSMPRLFDLGELPFPAGGDLDEPVRGFPGMESYLRRRDLIRQCRRISETVDVDPMLHLLATVTAHNVNARALILNTAATLEGSAVTNIARRTRDVFAIGPLHAMSPAPAVALTCGARTTGARHGSTATPTGPSCS >Sspon.01G0028940-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:89778503:89785623:-1 gene:Sspon.01G0028940-3C transcript:Sspon.01G0028940-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:KNOTTED-type homeodomain protein, KNOX protein, Shoot formation during embryogenesis, Control of seed shattering, Control of internode development, Repression of lignin biosynthesi [Source: Projected from Oryza sativa (Os07g0129700)] MDQSFGNLGAGAGSSSGGSNSKAAAVSSSSFLQLPLSTAAASSPAYYGAPLALLHHHAAAGGPSSQQQQQLPYAKHASAEMSAAEAEAIKAKIVAHPQYSALLAAYLDCQKVGAPPDVLERLTAMAAKLDARPPGRHEPRDPELDQFMEAYCNVLVKYREELTRPIDEAMEFLKRVEAQLDSISGGGSSSSARLSLTDGKSEGVGSSEDDMDPSGRENDSPEIDPRAEDKELKYQLLKKYSGYLSSLRQEFSKKKKKGKLPKEARQKLLHWWELHYKWPYPSETEKIALAESTGLDQKQINNWFINQRKRHWKPSEDMPFVMMEGFHPQNAAALYMDGPFMADGMYRLGS >Sspon.05G0025650-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:25958889:25960031:1 gene:Sspon.05G0025650-1B transcript:Sspon.05G0025650-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATASSSSEPTRSGSTIVAPRSYQVITIDGYSRSLNAQQPGCSRPTFSSHPFRAGGRTWHVIYRPMGSSWRPENTDCIALYLVLDDVVVAGDEAAVFAQATFSLLDQRSREPVYTSTTTNVFSAAQQHQCPGLKKACFDFLGVSSAGFLEAIETQEFEYLARSCPTIVKELISGLLARDLERETLGELCSRVPLFRGL >Sspon.05G0011430-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:34679040:34680994:-1 gene:Sspon.05G0011430-3D transcript:Sspon.05G0011430-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AMLLAASRSGWHVPAYSSVPLAAANANAGLRLSALADQTPAAASCIACAILQEDRTSAKSSDLPVRPPSASMAWGPRVERERESAAEEEQRPTAAVEEEQRPTAAVEEEQRPTAARGSSAAGARAGAPPPSRARDLRRRASRVEEVRRRAARGRAPPPGPRAGGGGRPRAHARLARGRIWIRPRRAARPPALEPAGEREGRGAEGGPGREGRGAEGGRGGASLGREEGRERMRGSCSVLVLPLPAISQRMSYKTRSVRDAMRLYAGKRSMQPQTQTPTPTPTPTMGWSEQSLAAETAAIVSALTHVVSSGRGPPRQAPALVMPPWHRVDDSAVHRGQLAGVAAQEPAPAPVARKYRGVRRRPWGKWAAEIRDPHKAARVWLGTFATAEDAARAYDAAALRFRGGRAKLNFPEAEAARRAKDAEATSAAARAGPPAALLESQSQAAAGDADDVADYIDYSRILEAAEPSGIMDGLFGGHGNGRFRGSWSIGTSPPSSGGSGALLAGASSSSAPLFHQTDGGKQSSNNSAAFGD >Sspon.04G0004440-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:13312826:13315563:-1 gene:Sspon.04G0004440-3C transcript:Sspon.04G0004440-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLWERARAFAGEAAKRSQELSAEAAKRSSALVSETAKKSKEIFSETASKSREIAAEATKQADLLAGQIKHLASDLPVPSIPPIPAIPPIVAAASSEPDAAELERYGITDDLREFVKGMTISTFRDFPLQDEPEMSDVPTVSNVRQDLNEWQTRHATLVLSAVKEISKFRYELCPRYMKERKFWRVYFLLVKSYIAPFEKKYFEELKVKAEEEKKDSQKEASQASTAAEPKDTKAPSKTSSTNPEHDLDVFLLGDLGSDDEGPVDGDDGLDDDFDKIDAASGLESDDDDKKPATGKAEDA >Sspon.01G0015080-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:44410918:44415137:1 gene:Sspon.01G0015080-1P transcript:Sspon.01G0015080-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGGMTPATADAVEELTRLYRDLPSRPAVEEVEAAAAVLASADAEEEARLAEIAREEAAARARPPAQGVPADLLDVLWEARRNSVRLRALQQRKEAAHVIELERRFKLFDDLIQRASRAVSPGGGGARGGGGVAVVDHEVVEVEARRNPALAALATEIDRGSRGALVLEPTSVSSLRRAASAGTDTEKLGLIQVASLIESSAKKGTTELNLRGKLVDQVEWLPVSLGKLQDVTELDLSENRIMALPSTIGSLRYLTKLDLHSNQLINLPDTFGELSSLIDLDLHANQLKSLPTSFGNLTSLANLDLSSNLLKVLPDCLGKLKNLRRLIAETNELEELPYTIGSCTSLVELRLDFNQLKALPEAIGKLENLEILTLHYNRIKGLPTTIGHLTRLRELDVSFNEVETIPENICFAASLVKLNVSRNFADLRALPKSIGELEMLEELDISSNQIRVLPYSFGHLSKLRVFLADETPLEVPPKEVVKLGAQSPLVSEKGRRARAAERGSGKGAQLAAGPLALGPARARMGGRGRLGLQSELGCGASFWETRGPAGLLLRSGQKEKERKRV >Sspon.04G0012690-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4A:43755516:43755811:1 gene:Sspon.04G0012690-1A transcript:Sspon.04G0012690-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AAKGDACPSTWRPCPTPRPPLLLLPALYAAPWVTGRGRGRALHLPLALARPRSLLPRSLAALSLTSLSHRAAAIAIAGGAKLAAADALAPPHTLLAAP >Sspon.03G0041290-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3C:39325009:39325366:-1 gene:Sspon.03G0041290-1C transcript:Sspon.03G0041290-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISEKPWSCPVRNSLPCLVVPRKITLLTKIRRDRTTVSGVIESPHIYKIQLGNGFTRAYRTQQAKRYPFDVANLASALCIIRRLNLPEHH >Sspon.01G0032250-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:110284181:110288300:-1 gene:Sspon.01G0032250-3D transcript:Sspon.01G0032250-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:BTB/POZ domain-containing protein At2g13690 [Source:Projected from Arabidopsis thaliana (AT2G13690) UniProtKB/Swiss-Prot;Acc:Q9SKH2] MLSQTPTSVSLAEEERGRSSPASAPPAGPPVPRPTTHMTDSTHHTIRRAAARPRGWCCSFAGVPDSPEHRRAFPASSAAVPKLPPPPPLPPKSSHSSPSSKLAGLIDPRRILSPGRVSPIDSEGSPAVADAEGPLREQSAPFVALREDDDEENGEGEARELDLRLFLRGRDGRCVAMDLDSAVLCGSSAFFAGMVPDASGVGGRRVEVDGVDNLEAFKEAVELMFEPDAVRWLARAGVSRAIAVLEVRCIPFISFPFCLFLPTPDSTVQVSSSIMFDRGIESCLKYIEAVPWNENEEEKLKHVFARCTFDESVCKDVLARLQQQCTSGSEDLTVQLVESITSGTNNGARKEMQSLVSGLLSKSSVYHKDLSGLNKRGLYQICRSCLSSLVELFMEDSEPVEDAGQAMTTSDSRPMIEKISKQTENLNWLFDILLNNDMAEEYVELWARQDELIRIHEQASPMFRYELSRISASVFIALGKGRIQCPSNTRSQLFHGWFRPMLMDFGWLQRCSKGLDVRILEENLGQALLTLPLHQQQSLFVEWFQCFASRGTECPNLSRAFQVWWRRSFVRSSVEVRR >Sspon.06G0000720-3D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6D:676138:677962:-1 gene:Sspon.06G0000720-3D transcript:Sspon.06G0000720-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDRYTSQPRGFGFITYSNPAVVDKVIEDKHVINGKQVEIKRTIPKGSVQSSSKDFKTKKIFVGGLPSTLTEDDFKSFFARYGTVVDHQIMFDHETKRSRGFGFIVFASEQVVDDLLANGNMIDLAGSKVEIKKAEPKKSSNPPPPPVHGRNARSAYDSGSRDHPSADNYGGMASAYGNYRGGGFGPYRSDAGFGGRLGNYGGIGDFGGAYGRYYAGLGGYGAASSFGYPSRFGLYGGGFGGAYAGADLSGYRRGGADESFSAAGNTGFGGDADESFGGPGSSGFSGTGYGGAYDPTLGGYGSTSTPDTNRGSFTGGYGRYHPYG >Sspon.05G0029380-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:78519548:78521834:-1 gene:Sspon.05G0029380-1B transcript:Sspon.05G0029380-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGSVLCIVLGSNKQRLTALYHAIQSHVAWCMCLEDDLKRHKARESLDMPDTTAHLLQNFTKRILRRQPLPLRRSSQYWSWLMLNINGCHADDSFVTDARTVAECRSSKGRLLRVSFGPRAAPPASSFLYFDFRRRTRNVFDCISVIAAHRDSFLLQLSNTRIPSDYEDNYFVYTAGAAGTAIACDSVYEHYIGRRSFCPTLDSGNTGLLRRGGEKDLLVVQLDVCDCIDIGVLRPGTDMRQWELKLVPILQDGDEVVQRSSGRDDIPVGDRYLYARSTTRTASSCVRYLPVPPELSRPSRSSDSLFHDALIMVPDRKMGATGATSVRFVSIDESQHSRFACTTVIRTWTMDLSMDDKPLAWVKDGEMGCEEIWALPGYESLPPTNLLCPVVSLDDPRLRDLHPAPWRWRGRRPGHVPVRDDVIKRVPIVEHREGSSEMQPWRWWQRDLVADAVVPVDYSTGFIVCDMAEEISKLRYVWLPATKNNGYCGHYPREMRAYRTLGTTGPNASSALLLPHVRVPNYGCLPRNKHLEYPMVSSDDPDVIYFKVEGMAVEINTRSKKLLSINTWSSGTRDQ >Sspon.04G0023440-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:17462594:17465294:1 gene:Sspon.04G0023440-3D transcript:Sspon.04G0023440-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARDDGFPVWEAALLAGVAAVFAAGLAGVYVSMPRSDYSFLKLPRNLQELQVLTVHLEGYTSDYTIQVLVGYCAVYIFMQTFMIPGTIFMSLLAGALFGQLGGLALVIFAATAGASSCYFLSKLVGKPLVFSLWPDKLTFFQKQVAKRREKLLNYMLFLRVTPTLPNTFINFSSPIVGVPYHTFFLATAIGLIPAAYVTVRAGIALSDLRSLNDLYDPQSIAVLFLIGLVSVTPTLLGKNETPSRAPDMAASTN >Sspon.07G0016610-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7A:59288030:59290855:-1 gene:Sspon.07G0016610-1A transcript:Sspon.07G0016610-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPDDPVLAACKHKLSHFRIKELKDVLDQLGLSKQGKKQDLVDRVMAVLISQQDQDYLIGSNETLANYRFLLPVSRKMQEPTNTVTPSRSHGSGHGVKHKKKPDESAQAVKVRCPCGDSKPNDSMIKFLGHREPSVTPCLNRTLYRSSRRRHSPAPAAVPPGRATPSPASGRISRAPWLCREEEPKKWQLLWAPLYLGRSNSPFI >Sspon.01G0041090-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:46188766:46192323:-1 gene:Sspon.01G0041090-1B transcript:Sspon.01G0041090-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDLNKFYASFWKQSSSSLRSLHSVHEHKLMNLGSRRNQTQEVSTNSSKRKSLSEIVDLNSDVRTSIRRKSDAYHEVIDLEKPSISGDVVEAIGCSGFGNLANQNGKSQDGSCCISPENTSLAESLQLCRDWNTSRVSVGSVGSSDTPDCQSPIKTSNTEARHSLFDLNVPQEESLLMPSTLFPSSSTYCGNFPDNPRETCEKHVSGIGSMKGSSITVITPNLVADSSSDVVTESSVQQKFLFDLNVSPESTDMPSEISDYRNKVVNNDVSKGAAPDHSLSTKNSLHAENSTKHVVPGNDHMLANKDDSHVLLTAPTTNGINKVQSPESETIDKELLILGSPLVDNNFHPRLGISHSGASNIQKLSVLQDKVDDDGTAADIAARTLLSIFQHSSDCMAHCFGSSNQTAAQNGDDEPQPSLDSFEKMVLNLEEIKDDGQSVNVSPRYNEGPACRIKLKRGRGMRNFQREIIPGLLLGRQEICEDLEVIGYEPKKTRSRKTRKGPGASSTRPRPRKRGAVKH >Sspon.05G0027640-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:50560410:50577668:-1 gene:Sspon.05G0027640-2C transcript:Sspon.05G0027640-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:At5g11860 [Source:Projected from Arabidopsis thaliana (AT5G11860) UniProtKB/TrEMBL;Acc:Q9LYI7] MWVPSVGTDDWYVAVAARHSPPLLPPRKTPRRPRRRIGATRVSAVGDTPPREAPPPPDPGTHATPSAFAAPPRVTAGALRGHPTHIVRPVTSTSASAQGCLGFGVSGAGAKSHRRRSRSRARARGVPLLLRSGLRLEVVKETCSTVYVIITMQTRKKGAAAGDRANPKTSRPSRRATQSSVAEKKVTDLITSSSKKQRPVGITSKKHSIGGRKLLVSCDTTDAENDAPQVAHSIPPDLQHSDGVADDRPSDSIFSPTYHHHKEGGLNNLSKGLEEQTTHVHGSKEASLKSGSNLACNTRDGINDHSCTLNLHSAGQSTLLEVDEYSELGNLSSEVSAIYLAMQQSKLECIDEQSQDSTSTEGYGEAEETEEYDDFDPYSFIKDLPDLSMVVPKFRPVLLPKQTRSCPMMTLVLDLDETLVHSTLEHCEDADFTFPVHFNFREHTIYVRCRPYLKEFLERVASMFETIIFTASQSIYAEQLLNVLDPKRKLFRHRVYRESCVYVEGNYMKDLTVLGRDLTRVMIVDNSPQAFGFQLDNGIPIESWFDDPNDTELLKLLPFLESLVGVEDVRPYIARKFNLREKAFGFQLDNGIPIESWFDDPNDTELMKLLPFLERLVGVEDVRPYIARKFNLREKIGREGSRKSRDPIPAQRLSSRPTACSRAAIGLSRPTSCGD >Sspon.03G0025210-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:94834552:94836554:1 gene:Sspon.03G0025210-1P transcript:Sspon.03G0025210-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSYVQGDDEVPVYESGAENLETTYYEFNGHKHNIQVQVLQKVQEKWAASSVPPPYPAMYSSVFGGIILDPAMMVLPIDDHMVHRGHGVFDTAMILDGALYELDAHLDRFLRSAAAARVGTPPFPRDALRSILIQMTAASGCRRGSIRYWLSSGPGDFLLSSRGCPTPAFYGVVIASEYEQCGRDGVRAVTATVPMKPPQFATMKNVNYLPNVLSIMDAEDRGAFASVWVDEEGYVAEGPMVNVAFVTPDKHLVLPAFDKILGGCTAKRMLALAPRLVESGLLAGVSTRNITVVDAKGSVEMAFVGSGLPVLPVVEWDGKPIGDGKVGPLMQALSDLLWEDMKSGPDRIPVPYKQ >Sspon.02G0036380-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2B:24632642:24632824:-1 gene:Sspon.02G0036380-1B transcript:Sspon.02G0036380-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADHSPYRPTDREPGAPDPPPTFRRCRDWAEIPGPAQLETWRATGERATAQGPGPALGKL >Sspon.03G0026740-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:8213943:8214671:1 gene:Sspon.03G0026740-2C transcript:Sspon.03G0026740-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMHKKARHQWPPFALRRYAGGFWLPEVTAKEGLPAVHSCFTPRPTDVILASFPKSGTTWLKALAFATLKRSMHPPSDDDHPLCHRSPHECVRFLGIELNDKNKDEFEALPSPRVLATHLPYSLLPGSITGDGEHLGYPRMRWSPTGYSRGRRRWLGPQWQHVLQYWEESVRRPARVLFLRYEEMLLEPEMHVRKLAKFMGCEFFEEEEEGGVVSAIVELC >Sspon.07G0022000-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:5779706:5787418:1 gene:Sspon.07G0022000-1B transcript:Sspon.07G0022000-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLHLSFLLFAASLAAYGGERTVGQNDYSSSSLCQQSLVCGNNVDVRYPFFLADAKGTAAFDGNTNTNTSSSNCGYPGMMIACEGGRATLKLMGDNYTVLDINYDNHTVTVADADALSGGDCPRVTHNVSIPTETWLNLSTTANGNLVFFFGCVFTAATPPPAVSPINCSSFRNLDGVSYVETEPDVTPPEQWPRGCNESVVVPVLKDALLGSSSGGGNLSRLNSDGYSKVLKQGFQLTWDDPTAGPCRACEQSGGRCGHNQRGEFFECLCSDGRCGKSDIVSAVSGAILLSLLFVMCVLYRHKKFHGLMSCKRGSKHTPSIESFLRKHEAEPPKRYTYSEVKKMTKSFAEKLGQGGFGTVYKGNLSSGRLIAVKLLNNSKDHGQEFMNEVASIMRTSHVNVVTLLGYCLEGSKRALIYEYMPNGSLERFASGQNSEGKNLISWEKLFDITVGIARELEYLHRGCNTRIVHFDIKPHNILLDQDFCPKISDFGLAKLCVKKESIISIDGARGTIGYIAPEVFSKQFGEVSSKSDVYSFGMVILEMVGARKNINKSSEASTKYFPQWKQLAGCALSLAQHAAVGAAFPTMAGAHGVSCVGAHVAAPAPAPAPGASRLSTALATSRNSSRDALLAAAMLAWTWTPC >Sspon.02G0020520-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:66836491:66839058:-1 gene:Sspon.02G0020520-2B transcript:Sspon.02G0020520-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKRKTDRAHVLDKAKHLSRLNVKESGKVMLKRGEGKLEKQFRMSCVGCDLFVCYRSEEDLEVAPFIYVVDGALSSVAAETNPHDAPVPPCITQLEGGLVQVAIEVEDRAQRSAITRVNADDVRVTVAAPAARGEANSELLEFMGKVLGLRLTQMTLQRGWNNKSKLLIVEDLSARQVYEKLLEAVQP >Sspon.06G0012230-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:53154629:53156673:1 gene:Sspon.06G0012230-1P transcript:Sspon.06G0012230-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATASVNFKSREDHRKQLELEEARKAGLAPAEVDEDGNEINPHIPQYMSSAPWYLNAERPSLKHQRKWKSDPNYTKSWYDRGAKLFQANKYRKGACENCGAMTHDKKACMERPRSVGAKWTNVNIAPDEKVESFELDYDGKRDRWNGYDPSTYTRVIADYEAREEARKKYLKEQQLKKLEEKDTEKDDENVGSEDDEEDGLRIDEAKVDESAQMDFAKVEKRVRTTGGGSTGTVRNLRIREDTAKYLLNLDVNSAYYDPKTRSMREDPLPDADPNDKFYVGDNQNRLSGQALEFKQLNIHAWEAFEKGQDIHMQAAPSQAELLYKSFKIKKEMLKSEHKDKIMEKYGNAASEDTIPRELLLGQSEKEIEYDRT >Sspon.02G0000530-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:23021165:23022385:1 gene:Sspon.02G0000530-2B transcript:Sspon.02G0000530-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ERHLHRCLRQDEERAQRVAGADGGHPGRRGPVLRHAGRAQHRSPPAGRRRRRGHAQLGIGGRPRQAAAPGRRQPGWVAGLQAQRDGGGGRQRRREDDQGGAGQGAAQEPVPVRGVREGRHVQGVRLRGAAPDERGVDRRRRREDHHHGEQELQDEPDHQGHGHHGGDRQRLLHAGHPGGEHGGRREPPGRGAARAERPGRLLPVHLRRLPGHAVHARAAAVLPRLPGDRHHRLHLRQLPGGAAELPDPAAEAHGEPGQHHHGAGAAGQALRRRHRAAQLHHRAAPGLQGGRRREDPHLPGPPLEGVLPDALHPERHRRVHRPQGMARVERRLRARDALLRGGGQPRRRRRHEQARQVGRHQDRHLRGGAEGVHRRDLHPGAAVHPQVRGAIHPGIAAAGATRQDALI >Sspon.06G0017270-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:93956862:93958313:-1 gene:Sspon.06G0017270-1A transcript:Sspon.06G0017270-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHESSPLLQDSIEIRCDMAIVKGITVHHINPWRLKWCPPGMAQVGRHRHGWHGMARNK >Sspon.02G0001110-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:4625249:4631408:1 gene:Sspon.02G0001110-2B transcript:Sspon.02G0001110-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRPPKPKRAAAAATFLLSLSLLLLPLGFVATHRAFSGGVAAASTSTAKRVLLGDDAHANAAANADAAEHAAAVERHCAGTLHRDVCASTLATIPDLAQKPVRDVISAVVARAAAAVRASASNCSAYLRRPAGAGALRVRDRLALSDCVELLGHTLAQLGTAEEELSAGNRTVTDEESVAGVQTVLSAALTNQYTCLDGFAGPSASEDGRVRPYIQGRIYHVAHLVSNSLAMLRRLPQRRRRGREALELEGYGRVRRGFPSWVSAADRRRLQQQVVVPDLVVAKDGSGNFTTVGEAVAAAPNNSEARFVIYIKAGGYFENVEVGSEKTNLMFVGDGMWRTVIKASRNFVDNSTTFRSATLAVVGTGFLARDLTVENAAGPSKHQAVALRVNADLAAFYRCSFAGYQDTLYAHSLRQFYRDCDVYGTVDFVFGDAAAVLQGCSLYARRPGPGQKNVVTAQGREDPNQNTGIVVHGGKVAAAADLIPVLGNVSSYLGRPWKQYSRTVFVQTKMEPLVHPRGWLEWNGTFALDTLYYAEYMNRGPGADTSARVAWPGYHVLTNATDAANFTVLDFIQGDLWLNSTSFPYTLGFT >Sspon.08G0000160-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:1040067:1043248:-1 gene:Sspon.08G0000160-1P transcript:Sspon.08G0000160-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRRSREGGRSLSLSLGWPACCLGFLLKLLAFLQAFAAVSALLYAAWMLSRWARHHQLHLSDLLSPGLWFPSLVMAAGLFYCLLLLAGYLAAELNTGCCLCFYTIPAMAMMLLEAALAAHLALNQHWIQDLPEDRTGELHNLLSFIHNNLDLCKWAALAIFATQALSLLLAMILRAMLSARTVDYDSDEDFVVIRRPLLVAQAPAPYLPTTVDTRGARPDLWSSAMRHKYGLNTSDYTYNTLDANAAPSQ >Sspon.01G0007360-3D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:96059703:96061406:1 gene:Sspon.01G0007360-3D transcript:Sspon.01G0007360-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKAHVLVLPMPCQGHVTPLMELSHRLVDQGFEVTFINTDVDHALVVAALEASGGGGMAALGGGIHLASIPDGLADDEDRKDINKLVDAYSRHMPSYLEGLLADIEAAGRPRAKWLVGDVNMGWSFEVAKKFGIRVVSFWAAATACLAFMLKIPKLIEEGLISDKGLPVRQETFQLAPGMPPLHSSQLSWNNAGEPEGQHIIFELVTRNNKLNELAEMVVSNSFHEAEAGAFNLFPSILPIGPLFADPAFRKPVGHFLPEDERCIKWLDAQPDASVVYVAFGSMTIFDARQFEELAEGLELTGRPFLWVVRPDFTPGLSKAWLHEFRDRVGGRGMIVSWCPQQQVLAHRAVACFVSHCGWNSTMEGVRNGVPFLCWPYFCDQYLNRSYIINVWRTGLAVTPDADGIVSKEELRSKVEQVVGDAEIKDRARVFKDAARRCIAEGGSSDDNFKKLVNLLSE >Sspon.02G0015190-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:40018138:40021936:1 gene:Sspon.02G0015190-2B transcript:Sspon.02G0015190-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRHNISKSLAVLLRARMQPNPISSPPPPQPIPPPPPTDPAAPPVAHVRHWLHASASAASPSPAALDSFSDGYRSLDIVGRREVLRSLAIDYDVPRARVRDLMRQYMSVASAEPSGGDDVEAEGKEGAASALYRMERGLRDALRPRYAGFLEAMNAQPGGLKLLAVLRADLLALLWEENAPALRALDSYLKEKLVTWLSPAALTLHQITWDDPASLLEKIVAYEEVLWDDPPTPESEASCALFYSISSTQPGLSGINLGKFLLKRVIDMLRRDMPSVQFFATLSPIPGFMQWLLAKLASQIKLSEAESQEGNSLGACSIFKESILLPDEEGMIHDAIEQDDGKQGIELLQDILKSRQWVKSDKLSAALKPPLMRLCARYLAREKKRGKALDAVANFHLQNGAMIERINWMADQSEKGIQQSGGIMVNYLYRLENIEEYALSYSSTGLIHSSPSLSILS >Sspon.05G0013490-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:47242513:47245041:1 gene:Sspon.05G0013490-1A transcript:Sspon.05G0013490-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAATTLYFFFLSLAPTLFLLLLHSLIKNKKRHGRLPPSPPSLPVIGHLHLLKKPLHRTLSALAAQHGPVLLLRFGSRRVVHVADPAVAEECFTTHDVTFANRPRLPSARYGPNWRNLRRIATVDVFSSHRVLRSADVRASEVRDMARRLFKAAAGADADADASRPVRCDVKARAFELALNTVARVIAGKRYYGDGTAAASEEAERFRAMVREYFAMHGASNVQDFVPVLALVDIGGVNKRAKRLSKARNEWAQRLIDEHRAAAVAGTELGKTMVGDLLEMQASDPEAYSDKVIRALCLWGMALLLNHPAAMAKAQAEIDEVVGTARILEEADLPNLPYLRCIVTETLRLHPVGPLLAPHESASDCSVGGYDVPAGTTLLVNVHAMHRDPRVWAEEPERFSPERFEGGKSDGKWMLPFGMGRRRCPGEGLAVKMVGLALGTLVQCFEWRRAGDEEVRHDGSVRGDHAKVRAVGGVLLA >Sspon.02G0008760-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:25120765:25121007:-1 gene:Sspon.02G0008760-1A transcript:Sspon.02G0008760-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VILPSLPVKPNSLDICRSSAKTVYTAQWPLLATEVQHLSTSFAAVLQVRRFLPRTAILCHFLAS >Sspon.06G0029310-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6C:20017488:20018361:-1 gene:Sspon.06G0029310-1C transcript:Sspon.06G0029310-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFALAEGENNSSWSWFMRFLRTQVLGASRTICLISDRHAGILHAAGEDIEGFPSLVHRWCMRHFATNFWWRQRKKEVSDMVKALCCVRTEYQFKKKMRELEKVMNQAAKTWLQDQIEQKKKWALAYDEGVKGSRCPRGGVSESRHSPLVLVGAPAQGCSSPLIRAKIKCSLRADSSTLRRGESSKTAHKMSWVIHKLRRMITKLPITTKPSR >Sspon.07G0022510-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:8839301:8843178:1 gene:Sspon.07G0022510-3D transcript:Sspon.07G0022510-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIRELRDGLEVEDDEREGGLGGDGEVVAVVRLRAKRALVGAGARVLFYPTLLYNVLRNRFDGEFRWWDRVDKYVLLGAVPFSSDVPRLKQLGVRGVVTLNEPYETLVPTSLYQAHGINHLEIPTRDYLFAPSLEHICRAVDFIHCNEMQGGSTYVHCKAGRGRSTTIVLCFLIKYRNMTPQGALDHARSVRPRVLLAPAQWQAVKMFSELNGRCLSIQSSNPTCSAVSYEESSELSSMRSSRCLSIQSSNEGTITSDEESSESSFGDPEFDGYVTTEFDSEHFVLPCCRGMLSRPTSPTGCSDAVFVTEADLEGYETYADDRKDVVEVQVVVRHKPIMRKLTCFLGSLKLTGNCEPPAGRLTEVRAC >Sspon.07G0025830-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:49206812:49207450:-1 gene:Sspon.07G0025830-2C transcript:Sspon.07G0025830-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTVLLPVLLSFLFLPLASLALTQDFCVADLTCSDTPAGYPCKSSVTANDFYYHGLAGQGKINPLIKAAVTPAFVGQFPGVNGLGISAARLDIEVGGVVPLHTHPAGSELLFVTQGTVAAGFISSGSNTVYTKTLYAGDIMVFPQGLLHYQYNAGTGPAVGLVAFSSSNPGLQITDFALFANNLPSAVVEKVTFLDDAQVKKLKSVLGGSG >Sspon.02G0027770-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:121193085:121199097:-1 gene:Sspon.02G0027770-3C transcript:Sspon.02G0027770-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQAKSAPGRREMGRGRSPEPLDFFIWTVEDVGLWLEEINLGGYRQVFEENGVNGEYLESLSMFTTEQILRFIRRCHMKWGDFITLCKELRRIKVACLKGEQEVRRPWWAPACLSVVFVRAAKQNRKSRVVSLKLEP >Sspon.01G0003100-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:8400461:8405204:-1 gene:Sspon.01G0003100-1A transcript:Sspon.01G0003100-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSADPHVAVAGAGTPPSDSPVGAKKAGAAAAVWKLPAGATVPVAVAVESPIMDADSWPALPGLVSPPPPAAGPAAKASPKAASPASTAAVMTPPSLGNPGAPDANPGNEAPVRNPVARRALVMPAADGLEKSAPAPEPSPVYVPNNARSNGADPHQNGRFGSHPHGRGGGYGGGNRRGNGGGGGRRGSEHHGGFDGQRRGGGRRDGHGPVHQQRGHQPTYIRAPPTLAVVAGAPPPPPPFPRYSSDTPYGAPMGFPDIAPHVYYFAAPTSEGIQGLPFVPHPASPQAVLIDPFRKGLLEQIEYYFSDANLCKDLYLRQRMDDQGWVPLSLIAGFPQVQKITNNIQFILETVMLSNVVEVQGDKLRRCGAWENWLLPKQNYSAGSFFGPLSPMTSNIDSLASQFRSVGLEGATYHANMPGMHGEALLTRSATSVSLGYHASTFGGLQSNESGPLFGPKSARNLLRSDTF >Sspon.05G0033090-1P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5C:26390761:26392671:1 gene:Sspon.05G0033090-1P transcript:Sspon.05G0033090-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVRPSLACFPSDPALHEACGIPWGVAVTPFSATDERGSKPAVGAEGHLLPRCQSCFAYFSLLCPLDRWSWNCAVCGAENDLPADAAARYARDGGHDPPEMRSAFVDLLLPGTRLSLAVLIGCSALWHASEEFLELVKSALQAALEALSPGSLFGLLTFSSKIGLYDVQGPIPIVKNVFIPPDSDGALQVDLEDIMPLCSFLAPLHLNRLIVAKIA >Sspon.07G0008210-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:18413650:18415711:1 gene:Sspon.07G0008210-2C transcript:Sspon.07G0008210-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSDEVNRNECKGAVPIHTWVLISNFKLAYNMLRRADGTFDRDLAEFLDRRVPPDARAQEGVSSFDHVIDTSTGLEVRIYRAAAANNGAAGAAAVTLPILDFLAGAPSPDPFPVILFFHGGSFAHSSSGTAIYDNLCRRFVKLSKGVVVSVNYRRAPEHRYPCAYEDGWTALKWAMSQPFLRSGADARPRVFLSGDSSGGNIAHHVAVRAADAGISICGNILLNAMFGGTERTESERRLDGKYFVTLQDRDWYWKAYLPEDADRDHPACNPFGPNGRRLRGLPFTKSLIIVSGLDLTCDRQLAYAEGLQEDGHHVKLVYREKATIGFYLLSNTDHYHE >Sspon.01G0001610-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:4587598:4587906:-1 gene:Sspon.01G0001610-1A transcript:Sspon.01G0001610-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGVGELRAEQRATTTTWACGAATAAVAGVGLAGAGVLVWWALAFHPARQQLWMVPVGLVLLGTPLLAWLSLFASGACRWLGRLRDHQPPPVRPAPDPERR >Sspon.06G0011170-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:60454248:60459432:-1 gene:Sspon.06G0011170-1A transcript:Sspon.06G0011170-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VMAVVNPSNNPAMFDDDDDVPLSFKRTSNSLKSARPTPSKQEGSSGGAARPAPSKQEGSSGGAGNPVRSHKPVAPNPQKNGVTGASRPVHMKPPSSSPNHRPSGSGQPNSSAQHSSKGNSIVDKSKLKRPLVKDERSDDSDDEVPIGLRRKAEEKKLKRVDTGVQKADDSDDDDKPLSLKINSSKMSSNSASKPVPQRTVAPKVEQPDEDSDDDKPLASRLPNNAGPKSGGDISEDSEDEKPLAARFSKVTGSGNLKTASSSKGLNSDTNGQRNLGKRPLDNSNQTSSAIKKAKPSNVSASASVKREIKTDDNDNTPLAQRLKMGESSKGKPSTKSIVKKSPASAKDIKKLKGKWKLKKNMKNSQFSKTMKVPPGSGGGKKWTTLEHNGVIFPPPYKPHGVKMLYNGQPVDLTPEQEQVATMFAVMKDTDMRASKHSLITFSLTGEKFKEFELCDFTPIYEWHLREKEKKKQMTTEAIFFFERKQEKKALKEEKLKQEEKYMWAVVNGVKEQVGNFRVEPPGLFRGRGEHPQMGKLKRRIRPSDITINIGKGAPVPECPIPGDSWKEVKHDNTVTWLAFWNDPISQKDFKYVFLAASSSLKGQSDKEKYEKSRKLQGHIQRIRQNYTKDFKNKDVTRRQIAVATYLIDKLALRAGNEQDDDEADTVGCCTLKVDNVTCLPPNKIQFDFLGKDSIRYFNTVEVEELVYKAIEGFRVGKKPGEDLFDNIDTTRLNAHLKDLMPGLTAKVFRTYNASITLDGQLHEETEDGTLLEKIAVYQRANKQVAIICNHQRSVSKSHESQMIKLNEKIDELQSQIEELRADLSKAKKGKPLGYDKEGKQKRNLAPDRIEKKIAMVEGKIDKMEMDKMIKEDLKTVALGTSKINYLDPRITVAWCKRHEVPIEQIFNKSLVAKFGWAMDVDPDFRF >Sspon.02G0021290-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2A:71347847:71348062:1 gene:Sspon.02G0021290-1A transcript:Sspon.02G0021290-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIANQQLLSWVTIVSRRTATTIALPSELAVETASEGRHAIVFSVDPGMHHLRYNRILLHGCCSRNAAADV >Sspon.01G0048950-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:110680884:110682865:1 gene:Sspon.01G0048950-1P transcript:Sspon.01G0048950-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLKNSAVETFKENNMIFTSEGNFHSKKMREDYVASPNQVDVVQTRCKWIIGDVTEVLDRNTWKLGKILKMLKNNYFVIRLADCIQLKEFHISSLRIPRGLEAPQSKPFHAADKATGRGKRQPADGALPGTRAAQQMGHRTTYDLGSSGKKRKAAADASRHPRRAAAHPRNAVAASNLNGGMTDSYLQSSSQAIEDAECSVASCSVNDLCRLGNGGNAKRRLAAAGCLPDDAMSACPCTPGDDEEEEPAAGVHGLELEAYRSTMRALYASGPLTWEQEALLTNLRLSLNISNEEHLLQLRRLLSS >Sspon.07G0023320-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:12254575:12261233:1 gene:Sspon.07G0023320-2C transcript:Sspon.07G0023320-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKFFLLNTGAAIPSVGLGTWQISPAVVEDAIRAALQAHIHIFKRKISSALLRSDCNKLRLGSYKDLITKEAYDAKRAEVQREDMSKAADDDVKEISNPIDASNSNTSNKRRRGRPKKNEAADDDVKEISNPIDASNSNTSNKRKRGRPKKNDKTPSSQGKEKESYLRFEIVTIGSRIMDNPLMISSESSTANGSEEYNHSIHTSVGFALRKLFEEGILKREDLFITSKLWCTDHDPEDVLEAIDNTLQHLQLDYLDLYL >Sspon.08G0005190-3C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8C:15869475:15873836:1 gene:Sspon.08G0005190-3C transcript:Sspon.08G0005190-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDHYYVYLGLALVSLLVVLAKRRRGPAAGHGLRLPPGPWQLPVIGSMHHLAGQLPHRAMRDLARRHGPVMLLRIGEVPTLVISSREAAREVMKTHDTSFASRPLSATVRVLTNNGRDIIFAPYGEHWRQLRKLAITELLSARRVLSFRAIREEEVAAMLRACAAAAAESRPVEMRARLSALVADATVRAVMGDRCRDRDVFLRELDRSIGLSAGFNPADLWPSSRLVGLLSGAVRRAEECRDTVFGILDGIIKEHLQRMDSGGAGEAEDLLDVLLKIYKDGSLQIPLDMDVLKAVIFDIFGAGSETSATTLEWAIAELIRNPKAMQRATAEVREAFGARGAVAEHALGELRYLHLVIRETFRLHTPLPLLLPRQSQVPCRVLGYDVPAGTTVLVNVWALGRDGRYWPGDPEEFRPERFEAEASAVEFKGADFELLPFGAGRRMCPGMSFGLANVELALASLLFHFDWEAPGVSDPAEFDMTEAFGITARRKANLLLRPILRVPLPAGTQYSSGTHSARVVQNLTREYARGQAAASSTLTLFSFPSPPQTSYNTAAAPRSRGPTRQWRRPEARTPGRAPTGGKRGRERCYGRRRRGGGNQAAIGARAAWWRRLGQTTVSAVADNCARQLQ >Sspon.03G0022540-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3A:68658004:68658280:-1 gene:Sspon.03G0022540-1A transcript:Sspon.03G0022540-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DLEESMFLNQPEDAAGGFNDHLHLFSEPQTSTFITPSKNKLSIQSKKGKVSPSISEEWEKLIVIDDLNDNFASPAHPRPAVLTNLPAPSHRL >Sspon.01G0048410-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:108837989:108843171:-1 gene:Sspon.01G0048410-1B transcript:Sspon.01G0048410-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARCRGARTGHTTQIAGLNGAARKLSELPLSPAPPTAGGHPGPGIHCSPRRWSLRPRRPPQPSLLVTPAMASTAENAAVSPNPPPRALLRRGILAAGCPGAPPGHVLSGLWHKGSRFREVHVVLSLSCAGLSSEAMELQGLNFHPGFGVHEVIRSKFGCSVSPATSDHSFFLVASFGRCKFKLSPASVGVLLQATIRGSAVDFAILALLDRVFRFSVSSRPVGFHVLKLQPFECSSFKVFFHLWSNGGPNWVREWNAFCGEQGENEGIIFQNNLQIGMFRTFFANPPELPRPTKPFWLVPKYLNKAEAEDKTPIEVPTEWLGFFKALLSAPAQQNWAKQLLEFNFPNLLHKGNDWVATLSLSYKPSPSEACNLLGTKPLTTKELEEAIPQEIMDDTSPIKKRSKKGKADTPIVDSALNDDQLEEQILMNKKKMEPMGKKMKNKEEKKKNPDDKDDIN >Sspon.02G0030620-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:106291715:106294377:1 gene:Sspon.02G0030620-2B transcript:Sspon.02G0030620-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGHNVESTTAGDEVQLQRRRHQDGFDSDGGSSGISFFEPWTEPATRGGRGSSGHGPRNGGGYKTAEKRMIRFALQLAVLEKAASMLGKLAFVWATVVLLGGFASSLHYLDFWSMTVILVGEGARVFGRGNELQWQRHSTARSSAGSSSALRVPGSRFCRRVLNAIAIVPSGAGGARPVEGEAAVVGAHGTVQVVVPLPHAEAEAEQRVRHTPDDPPLPYTGWVIVSKNAGVLLNSLQVLSSVACVALSAIGLCNCRQRSRSVDEARNQRPALVLFYSLALAEAALFLLEKAYWTWKVLCCGLLDEISSECELGACGLVALTRFFYDAYSQCIGKSIFDGVKMDLVTFAKELIESDFLDEQLIGVRILRQFARSKSSAPETLRKVGTSARSIERLVEMLNWKSPEEEEVRRCAAEVVSKLVGKSQNALRVAGIHGSIESVLSLLHSHTQRSAPGDGGAGAGAARARPAAAEVGSYDYEGFNLVGLLILKKLARDHDNCGKIGAVRGLLSRVVEFTHVPPALLHLHLHAHGQSQDQAAAGSRIRAAKRSLQVLKLLVTATGNTGKKLRREVADRVFTVSNLRGILQHGHQHGELQKLAIDVLTGLALDDGAKEGMVTRTGGVVKLLLSLFLNAERAYATELRSGAGEALAMLALESKTNCGAFLKMDGVPDRLIAALDDRDLRLNAARILRNLCAYSDEESSVRLRAVTAAMPAVLQMTMGETVSEKLLEASVGLTTQICMFLSGEQFEEELRRRGAGKAMYVERLASILRRHTYPEIKVPRIRRFVVLQAMWLMRADDDYVRLFREVDMVSLLVSIGDTTSDLECYHVFSGSVGLSQHRVSFSIIVESALKLLGGCGGQQTLGF >Sspon.01G0012770-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:41725582:41727853:-1 gene:Sspon.01G0012770-2B transcript:Sspon.01G0012770-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GEGEEAGFLFGAQGGGRAGPLASEVPGEVAAASAQPQDGGGGGGGGSAAGGARPVRAGPARRALRQPAAGRRGPGAAHGGPGRRRGRHVRRGVRTARRVRAVGPRPPDPCPADVRRRRVVSALRPPPPPRRHGRAARARLGLRRRAAAASLRQGRPHCNPRRRSTSCSSTWRRRGGAKVLRSVRNAYAMGKVRMVASEFETATRVVKNRGSAAAAAVEQGGFVLWRMSPDMWYVELAVGGSKVRAGCNGRLVWRHTPWLGAHAAKGPVRPLRRALQGLDPLSTAGLFAEARCVGEKKVGDEDCFILKLCADAETLRQRSEGPAEIIRHVLCGYFSQRTGLLVHVEDSHLTRIQPHAGGDAVYWETTISSFLEDYRAVDDGGVVIAHAGRSAVTLFRFGEMAMSHTKTRMEEAWTIQEAAFNVPGLSTDCFIPPADIRRGGDSVGSEPCGGGELPPRGGKGGAVHPARVAAAAADRVHPRRDDKIHWTVEM >Sspon.05G0028080-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:5B:60453342:60454670:1 gene:Sspon.05G0028080-1B transcript:Sspon.05G0028080-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GVKEGACQPKDFRLISLQNCPVKIITKVLTIRLQRFIQHLVDVDQTGFVKGRSISENFVYATELVQHCHRKKIPTIVLKLDFAKAFDSVAWGSLLTVLQARNFPPIWISWMKQLLVTSHSAVLVNGCPGRWIKCKRGVRQGDALSPYLFLLVADVLQQMIKQDQGVRHPAVDSSCPVLQYADNTLLLVHAEITDVRRLKKILDDFASASGLKINFSKSTLVPTHVPAQRLQRIVRLLQCQLGAFPQTYLGLPLSNVKLNLSAFAPLISKADRRLAGWQATLLNHQGCLVLINSVLDRLTTYLMQALVLPPGIIDKLDSRRRAFLWSGTDKASGAQCLVRWENAQKPKEVGGLGIRSLVTQNACLLIKLLHRLHHPDSSAWAVWARQHVDLASLEGDVVGNHWGAASLEGDVVGNHWGALRDLLHAYRCITKVTVGDGRSTSFW >Sspon.04G0002140-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:4085645:4087508:1 gene:Sspon.04G0002140-2B transcript:Sspon.04G0002140-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endoglucanase 16 [Source:Projected from Arabidopsis thaliana (AT3G43860) UniProtKB/Swiss-Prot;Acc:Q8VYG3] MRALSHGSSMRAHVALALAALVLAGDALHPALAAAGFDYKDALSKTIIFLEAQRSGKLPPNNRVKWRGDSALDDGKLANVDLTGGYYDAGDNVKYGLPLAFTVTTLAWTALAFKPELEQTKELEHVNEAIRWGTDYLLKCAARKNKLWVQVGDPNLDHQCWVRPENMKAPRTLYEIDEKTPGTEIAAETAAALAASSMVFRNDKKYSRALLNKAKLLFLFAKAHQGSYDGECPFYCSYSGYNDELLWAATWLYLATKRQVYADFIAHEAISSSVAEFSWDLKFPGAQVLLAEFNMTSGGGAQNFKVQADNFVCAVLPDTAFHQVFITPGGVIHLRDGANTQYVTSTSFLFVVYSDLLLRTGQSVMCGKQPVAPARLREFARQQMDYLLGANPRHSSYVVGFGANSPTQPHHRGASTPVLAPGTDVNCGLSFGEWMAPDKPNPNELTGAIVGGPDKNDGFVDKRGNSSYTEPCTYINSLAIGPLAALAVRGAQL >Sspon.03G0012960-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:36352515:36354513:-1 gene:Sspon.03G0012960-1A transcript:Sspon.03G0012960-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGSFTEKGKQYPGKMTVFVFLACLVASSGGLIFGYDIGISGGVTSMDPFLKQFFPSVYAKEQEVVETNQYCKFDSVLLTLFTSSLYLAALVASLFAGYITNRCGRRVSMLGGGVIFLVGAVLNGLAQNVAMLIIGRIFLGIGVGFSNQSVPLYLSEMAPAKMRGMLNISFQLMITIGILIANLINYFTAKIAGGWGWRIGLGLAAVPAVIMVGGSIFLPDTPNSLVARGKVESARAMLRRIRGTDDVSLEFDDLLAASEATRAIENPWRTLLQRRYRPQLVMALLIPTLQQLTGINVVMFYAPVLFKTIGFGGTASLMSAVITGLVNMFATFVSIATVDRLGRRKLLLQGGIQMILAQFVLGTLIAVKFGMTGVAVISRSYAIGVVFCICVFVSAFAWSWGPLGWLVPSEIFPLEIRSAAQSAVVVFNMIFTFVIAQIFLMLLCHLKFGLFYFFGAWEIAMTLFVYFFLPETKGIPIEEMDRIWANHWYWNRFVDGGRKVEL >Sspon.08G0007880-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:24780998:24781747:-1 gene:Sspon.08G0007880-3D transcript:Sspon.08G0007880-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLPWLDLPFTFLTLFLATRLAYDYYGDVAAAFAGGFSIQVFLFYCFARWYRYAIAGRAGDDAGGRDDPSPSARPPPQQQGDAEAPPVLTPLLGSPDGVRPSTLANRCFAVVFMVFVPLVIVVFEQSQADVVAYALCLANMIVMVVWLSPDTGSTVSAAKSFLRLSDDEDDGSGGSAGAEDDKCCVCLAGMREDQALRALPLCRHRFHDKCIGKWLKAHPTCPVCRATAVPPPLHSGGSDLLDDDISPV >Sspon.07G0029510-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:70237248:70239221:1 gene:Sspon.07G0029510-2D transcript:Sspon.07G0029510-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MITIIYIVYIAGWQQHRIPAFGDWNYNYSYPRDDGAGVDDWPAVTPCFDFASATRTAPAPRPAAQQKCEKRSSMPNGRRMRIPAFGEWNHHGADGDGIGTWPAVMTPFFDLATPQKAAPKTGRRRGGGDGFGAAKLVPTAAEAPQGHVQTRRSKVADSGAYAAAAAARRSCFSVAKPVDDDLYGVPPDMMIYGKPAPRSSLFCIAKMHANFEDIQKPQLQISIARTPKAHVKHT >Sspon.07G0024800-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:10954046:10954261:1 gene:Sspon.07G0024800-2C transcript:Sspon.07G0024800-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHHVRNVHRDLAYACPTAKTGVLGGAAFLNLDAMLFWIVCLMLGRNVREDYFDDHGGYGGDGVAGTGIEEK >Sspon.02G0039310-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:51119699:51125038:-1 gene:Sspon.02G0039310-1P transcript:Sspon.02G0039310-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPSDSPYAGGVFFVNIHFPPDYPFKPPKVNFQTKVYHPNINSNGSICLDILKEQWSPALTISKVLLSISSLLTDPNPDDPLVPEIAHMYKNQRQRYEETARAWTQKYAMG >Sspon.02G0002880-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:7778508:7779741:1 gene:Sspon.02G0002880-2B transcript:Sspon.02G0002880-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAANTPQCHRPWQLVVPSRASASRRPGRPAAAAGVGAGGAPNAWTGRPPPPARRAVRAKAGAAEVRPSSPPDAVTYSASISTDTPLHEPPGVSHLPPNLCECGHGCGLGQELKLSRTGLCARVQVSFDEYLQDRARVFRAMFPDESRSQRVGDVRNHHLTRTLLSISSASSPGETYRFWVLQGEWRVQMLPLQFLLLTVRPVVVMQLLHRAGGLDLRVTEWELRGLDVSYAPSSFDLGVSGSLYADRSRSQSRGCRMRGHLKIAITCVLPPPLRLVPETVLRGVAESVLSRLAEKMKRDVDVGLVADFRRFHREKAAASGATPTLDATASGRDQASES >Sspon.06G0032210-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:80628508:80643824:-1 gene:Sspon.06G0032210-1C transcript:Sspon.06G0032210-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEQDKARRFNVFRENVRYIHEANKKDRPFRLVLNKFADMTTDEFRRTYAGSRTRHHRALSGGRRHGEGSFMYAEAGNLPPAVDWRCWAFSTIAAVEGINKIRTGKLVSLSEQELVDCDDVDNQGCNGGLMDYAFQYIKRNGGITTESNYPYLAEQRSCNKAKERSHDVTIDGYEDVPANNEDALQKAVANQPVAIAIEASGQDFQFYSEFNQNCALMAALLGASSQGVYTGSCGTDLDHGVAAVGYGITRDGTKYWIVKNSWGEDWGERGYIRMQRGISDSQGLCGIAMEPSYPTKTATHGAITIDNLRVLDVSSSKPTTIEMSKPSPVKPAKKKKHMQ >Sspon.03G0030070-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:19585416:19586702:1 gene:Sspon.03G0030070-1B transcript:Sspon.03G0030070-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VAGARRGYDACGARRIRYPPSGRCHRLRGLLLSLFLWCLDSICRIERKQGVGRVCPVPFLTETSLCNWGSSLWMGEPSADFSAEGVSSSSGLCHSTCLKPGCEHGCACWENKYIEAVISCGQDDLAVEEIGMALTEVMHTLDDDKEPGLDEDSDNDASDDPILSLESDST >Sspon.06G0013260-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:56702430:56707455:-1 gene:Sspon.06G0013260-3C transcript:Sspon.06G0013260-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:TOM1-like protein 5 [Source:Projected from Arabidopsis thaliana (AT5G63640) UniProtKB/Swiss-Prot;Acc:Q9FFQ0] MAAEMVKAATSDKLKEMDWAKNIEICELVAQDPGKAKDVIKSIKKSIGSRSKRTQLFAVMLLEMLLNNCGEPIHRQVIDNGLLPILVKIVKKKTDLPVREKIFLLLDATQTSLGGAKAKFPQYYGAYYELVSAGVQFSNRPNVVVTRAEVPVPEPRTEPNNESLATRLNEAQQEVHTQPAPDASIVQKASSVMEVLRDVLNSMDPRHPEGATDEFVLDLVEQCTFQKQRIMHLVMTSKDETVVSQAIELNEELHKVLVRHDALLSVHPTTTVPSNIKEEAEEEDAESLYRRLRKGKALSQDYTDDSVPSFRSMPEDKLRRPLTIQSPHPDKRLGALNIRSPDREEPRHDPAPLIPPPPAKHAERERFFREKSIDGVASLPGHLRGLSQHSRDGSSSCSGSTDYGD >Sspon.07G0013550-1P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7D:48555199:48555985:-1 gene:Sspon.07G0013550-1P transcript:Sspon.07G0013550-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRYSLDRWLHPRSNDQTHKLSLTQLLNIATDVADALDYLHNSSCPTVIRCDLKPSNILLGSDWTAYVADFGLAKLIGESMDRTNLNIGTESTIRIRGTTGYVPPEYGAGGQASVAGDAYSFGVTLLEMFTGKEPTDDMFIEGLTLHLLAEAGLPDMISEIIDPELLHAELYDNDSEIVSCLASVIRVGVSCSKDNPSERMNMEHAAAQMHRIKEYIVEIP >Sspon.03G0016930-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:40572091:40573170:1 gene:Sspon.03G0016930-3D transcript:Sspon.03G0016930-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAASTAAALAPLRLLSSSSNRISKPFLPRPHRLTLSFQRLTARSTASPSPSTTTTSSPSGSVDPAQLPPALRDIVGLFQSVPDARTRYKQLLAYAARLPPMDPALKTDANRVRGCVSQVWVHAEPDEGDGGGRSVRFHADSDAQLTKGLAALLVLGLSGAPAADVARVPVEFIELLGIRQSLSPSRNSGLLNMLNLMKRKALEIAGGDSTTSQQSVQEAAEPRGVDEKEPEFAAFGVQEEERPEEAERRDEDEQLGEVPLDAEGNGAVSGGRKERIRESLERALSPVELEIEDISHLHKGHAGVAGSNGETHFNVRVVSKEFEGKSLLKRHRAVYDLLQEELKTGLHALSIDAKTPSE >Sspon.04G0004810-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:14020444:14023304:-1 gene:Sspon.04G0004810-2C transcript:Sspon.04G0004810-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTSPEPAAAGEMNDSIHIRRLELSDHERGFVALLSQLSACPDLTASEFATRFAELAAQGDDHVILVAEDPSASDRRILATGCLFVERKFLRGGGKVGHVEDVVVDAAARGRGLGLRIVRRLVEIARDGGCYKVILDCTPELRAYYAKCGFVEKGVQMATCCLACPTKPVRQPPPPARHQSIPAEQSDDSMLHAVNESIPAETVGRRVLMPEGAAPQIKPAVNV >Sspon.03G0005310-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:21182292:21184590:-1 gene:Sspon.03G0005310-2B transcript:Sspon.03G0005310-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGRIRESQRLPCKFRAHACRTAKHGSIVLKDEWFKWFPRAYVSGEKKQVHHVSRPILNNGPKHRPLTPMRRCRGVACVAIILSTAFLLIVYLAPITTFVVRLFSVHYSRKATSFLFGMWLSLWPFLFEKINKTKVIFSGENVPPKRRVLLFANHRTEVDWMYLWDLALRKGHLGYIKYILKSSLMKLPIFSWAFHIFEFIPVERKWEIDEAVIQNKLSKFKNPRDPIWLAVFPEGTDYTEKKCIKSQEYASEHGLPNLEHVLLPKTKGFICCLQELRSSLDEG >Sspon.01G0023300-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:82691998:82700846:-1 gene:Sspon.01G0023300-3C transcript:Sspon.01G0023300-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFQVVVLAGGTSDSEKLSPLVSKVDVPKALLPVANRPVLSYALDLLEASDLKDLIVVVEGQEAAQLVDAWVSSAYLDRLRVEVVVVSEDLGSAGALRAISKRLTANDILVVSGDLVTDVLPGAVAATHRRNGAAVTALLCSVPVSGPSDTASSSGKDKAKKPNRLNIVGLDRSKQFLLHIVSGTDVEKDVQVHKRKIQAVGQMEIRSDLMDAHLYAFKRTILQDVLEQKEAYRSIRLEVLPYLVRSQLRSAPSGGSGTAVDETVSSAVQSSGNLQCLSQHRVIAPSAFKQDGCGHRCCAYIATKSKYCHRLNSIQSYCDINRDVIGEASHLSGYSFFAQNNIIHPSSVLGSKTTIGPHCILAEGSQLGDKCSVKRSVIGRHCRIGSNVKIVNSVVMNHVVIEDGCHIQGSVVCNNVQLQERAVLKDCQVGAGYIVTAGSEHKAESLARKYSEL >Sspon.05G0016440-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:67109637:67131485:1 gene:Sspon.05G0016440-2B transcript:Sspon.05G0016440-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGDSSRESSLNDNSQISSAFPREPKLEFIKNITKDFSSEREVGHGAFGVVYKGILQSGQLVAVKKLVRTSGVHDRRFQNEAGNLQILEHRNIVKLLGSCYQVEKKLVERNGRHFLSDVPEKFLCYEYLSNGSLDKYIYEDAEISLRSSLLRNESSGIDWPMRFKIILGICNGLHFLHEEQSEAIVHLNLKPSNIMLGDDMVPKIADFGLSRLFGEEQTRILTQNVVGWMIHCPEYHYRGEISVKSDIFSLGVLILEIVTGLKRDLNIQDISSKLLIENVSKNWTKMSHIESKYPSLEEQHTLQVKRCIELGLNCVEMDPKKRPTVGSIIGKLEEISHEASIHKFMEKKLQLITEFPREPKLHFVEEITGNFASECEIGKGSFGVVYKGMLQNGEVVAVKRLLVVPEINLDKQFTNEVFSLIDLNHRNVVKLIGYCYEIHKKLVESHGRYVFADTQERILCYEYLPRGSLDKYLYGASHELNWSISFKIIQGICQGLQFLHELQRPIIHMDLKPGNILLDDNLMPKIADFGLSRLFGEEQTRTLTSNVVGARGYMAPEYYYRGEVSAKSDIYSLGILIIEIVTGLKVDSNTEDLSSKNLIDNVQKTWTKMPQIALKYPTLEASSLQQGDSSRESSLNDNSQISSAFPREPKLEFIKNITKDFSSEREVGHGAFGVVYKGILQSGQLVAVKKLVRTSGVHDRRFQNEAGNLQILEHRNIVKLLGSCYQVEKKLVERNGRHFLSDVPEKFLCYEYLSNGSLDKYIYDESSGIDWPMRFKIILGICNGLHFLHEERSEAIVHLNLKPSNIMLGDDMVPKIADFGLSRLFGEEQTRILTQNVVGWIGEISVKSDIFSLGVLILEIVTGLKRDLNIQDISSKLLIENVSKNWTKMSHIESKYPSLEEQHMLQVKRCIELGLNCVEMDPKKRPTVGSIIGKLEEISHEASIHKFMEKKLQLITEFPREPKLHFVEEITGNFASECEIGKGSFGVVYKGMLQNGEVVAVKRLLVVPEINLDKQFTNEVFSLIDLNHRNVVKLIGYCYEIHKKLVESHGRYVFADTQEMILCYEYLPRGSLDKYLYGASHELNWSISFKIIQGICQGLQFLHELQRPIIHMDLKPGNILLDDNLMPKIADFGLSRLFGEEQTRTLTSNVVGARGYMAPEYYYRGEVSAKSDIYSLGILIIEIVTGLKVDSNTEDLSSKNLIDNVQKTWTKMPQIALKYPTLEASSLQQMDPKKRPTVGSIIGKLEEISHEASIHKFMEKKLQLITEFPREPKLHFVEEITGNFASECEIGKGSFGVVYKGMLQNGEVVAVKRLLVVPEINLDKQFTNEVFSLIDLNHRNVVKLIGYCYEIHKKLVESHGRYVFADTQERILCYEYLPRGSLDKYLYGASHELNWSISFKIIQGICQGLQFLHELQRPIIHMDLKPGNILLDDNLMPKIADFGLSRLFGEEQTRTLTSNVVGARGYMAPEYYYRGEVSAKSDIYSLGILIIEIVTGLKVDSNTEDLSSKNLIDNNVALTNRGTKNLDKNAADSLKYPTLEASSLQQVRRCIEVGLNCVSENPKERPSIGEIIKQLHGSSFPSSS >Sspon.02G0001120-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:2869183:2882985:1 gene:Sspon.02G0001120-3D transcript:Sspon.02G0001120-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable acyl-CoA dehydrogenase IBR3 [Source:Projected from Arabidopsis thaliana (AT3G06810) UniProtKB/Swiss-Prot;Acc:Q8RWZ3] MAKLTSELLRPVDPAAALDEAALLCYLAANVPGFPGPAPALSLTQFGHGQSNPTYCIHASASAPGGGPARRYVLRKKPPGAILQSAHAVEREYQVLKALGDHTDVPVPKVYCLCTDASVIGTPFYIMEYLEGIIYPDSALMGVTPSKRRAIYFSTAKTLAAIHKVDVNAIGLQKYGRRDNYCKRQVERWERQYLASTGEGKPARYQRMLDLARWLKEHVPKEDSLAGSGTGLVHGDYRPDNLVFHPTEDRVIGVIDWELSTLGNQMCDVAYSCLPYIIDAALSERTSYGGFQHTGIPDGIPQLEEYLSVYCSYSARPWPAANWKFYIAFSLFRGASIYAGVYHRWTMGNASGGERAKFAGRVGNAMVDCAWDFINTVNVLQKPPSKGAPWQEFHKEEESLTSEKNQGKFVPSEKVMQLQKKLIKFIEDHIYPMEGEFYKHAQSTSRWTIHPEEENLKALAKKEGLWNLFIPLDSAARARKLLFEDHSQISLGSSNDLLLGAGLTNLEYGYLCEIMGRSVWAPQVFNCGAPDTGNMEVLLRFGTKEQQKQWLVPLLEGTIRSGFAMTEPQVASSDATNIECSISRQGDFYVINGRKWWTSGAMDPRCKILILMGKTDFSAPKHKQQSMILVDINTPGVQIKRPLLVFGFDDAPHGHAEISFENVRVPVTNILLGEGRGFEIAQGRLGPGRLHHCMRLVGAAERGMDLMVGRALSRTAFGKRIAQHGSFLSDLAKCRIELEQARLLVLEAADQLDRHGNKKARGILAMAKVAAPNMALKVLDMAMQVHGAAGVSSDTVLSHLWATARTLRIADGPDEVHLGTIAKLELQRARL >Sspon.03G0011320-3C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3C:47019723:47021071:1 gene:Sspon.03G0011320-3C transcript:Sspon.03G0011320-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAAPTVVKSAPELVAPAGPTPGGTLPLSSIDKTAAVRVSVDFIQVFPPAAAAGGDQDAAVAAMRDGFARALVPYYPVAGRIADASPGEPVVDCTGQGIWFVQAAVSCALTDVNYLERPLLIPKEELLPRPPPEEKLEDLVLMAQVTWCSTGRARRSSSRRLARWAGLPAPSIAPVWDRDAIPDPPKLPRGPPPSFTAFSFVTQVVEISPESIARIKDEFKGATGQTCSTFDAVTAVVFKCRALAMALPDDAEVRLGFAASTRHLLHGVLPSVDGYYGNCVYPVGITRTSKAIREASLPEVVGVMREAKEALTTRFTDWMRGGAKDDHYNVPLDYGTVTVSDWSRVGFNEVDYGFGEPGYVFTLNDDVNIVASVIYLKPPAPKRGIRLMLRC >Sspon.08G0004310-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8A:12499379:12501116:-1 gene:Sspon.08G0004310-1A transcript:Sspon.08G0004310-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSDIAWLVAAGLDCGDDASNGQDRADHDHHDGDGDQYLHAAEAEDGGGEGHGVPTKSPQRNLFPEDYRDKVWIRGHVRKGPKTNASRICSCGTSPGAARATPCAGAGASAAPALRQRRPLSSSSSSSSSPSSSSRRKAAVRSGVNGSLGFGPSVGGVAGHPHGLSPAVAP >Sspon.06G0022110-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:23413068:23414985:-1 gene:Sspon.06G0022110-1B transcript:Sspon.06G0022110-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LPQQALVKLVLPSSASRGSGAVADAAARRTASEAAGRSGRCVAASASSSPATAAVATEVPGVMKAWVYDAYGDASVLKLDEAAAVPAVADDQVLVKVVAAALNPVDAKRRAGKFQATDSPLPTVPGYDVAGVVLKVGSQVKNLKEGDEVYGMISEKPLDGPKQSGSLAEYTAVEEKLLALKPKGLDFAQAACLPLAVQTANGGLEIAGLSAGKSVLVLGGAGGVGSLAIQLAKHVYGASKVAATASTKKIELLKSLGADVAIDYTKDNFEELPDKYDVVLDAVGQGDKAVKVVKEDGSVVVLTGAVSPPGFRFVVTSNGAVLGKLNPYLESGKVKPLIDPEGPFAFSQVVEAFSYLETGRATGKVVISPIP >Sspon.07G0002890-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:7189942:7195784:1 gene:Sspon.07G0002890-1A transcript:Sspon.07G0002890-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase STN7, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G68830) UniProtKB/Swiss-Prot;Acc:Q9S713] MAASGLGLSTSFLPGHDTLLRRRRRRPASPAAASFRPVTAELGGVATELGRQLVEAVGVGLPCTVMQCGDVIYRSTLPQNDGLTITAPGVALALAAVSYLWATPASRRGSSTCSSSPSPSASSGLPSARWVSRLKFRIISPLSPPYDDFVLGKKLGEGAFGVVYKASLANPEAAKKQGDVVVKKATEYGAVEIWMNERVRRACASSCADFLYGFRETKAKGKRAEEYWIIWRFEGEDTLYDLMQSKEFPYNVRSRTFKIIDLGAAADLRVGINYIPKEFLLDPRYAAPEQYIMSTQTPSAPSVPVATTLSPVLWQLNLPDRFDIYSLGLIFLQMAFPSLRTDSSLIQFNRQLKRCDYDLQAWRNLVEPRATAELRRGFDIMDLDNGIGWELLTSMVRYKARQRTSAEGALAHPYFNREGLLGLSVMQNARLQLFRATQKDYSEAARWVIGLMARSGTEDVGGFTEAQLQELREIKPKKGSAQRNVLASVLRLQKKILKTINESMDELASQRKSIWWSRWIPREE >Sspon.05G0001390-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:4571782:4574454:-1 gene:Sspon.05G0001390-1A transcript:Sspon.05G0001390-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAMAAGRRLLHLLPGLELCLRSRALTLLSPSRADGMARRWREPPRRKLVTCRQGAFEEGNAARDKAVPIPDELLGHCKDANGRALDLEPIGKNSANESVQFSFEEESDDVVFEISESLVRDVEKAAIELLAARAFTVSELRKKLRSKKYPFDTIDAVIANFKSRGLLNDGFYAESFSRSRWLSSTWGPRRIKQALRQKGVPEAEVDQATRRVFQDGHGHGKEATFGISEASMDHLFVQASKQWQRGQSLTLENRRARIVRWLQYRGFNWAVTNSIIRRLEAQRPP >Sspon.01G0044580-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:79100658:79104747:1 gene:Sspon.01G0044580-2D transcript:Sspon.01G0044580-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTASSLAAGYGSDGVYRSPRTAAPIASEPGLSLADLVLRRAAACPSAPALVDAATGRALTFGALRSAVLVAAAALSSRAGVGRGDAVLLLAPNCVLYPVCFLAVTALGAVATTANPLYTPREIAKQAADARAKLVVTVSDLLPKIAGLRLPTILLDGASVPSDCPSSNVTLYSDLVAGVQEAEYRRPPAVGQGDTAALFYSSGTTGESKGVVLTYRNFIAAATMVTSDQDELGEGRNVFLCFLPMFHIFGMAVVTLGQLQRGNAVVVMARFDVDAVLAAVERHRVTYLFGAPPAMIALAKHGGGGRYDLSSLRCIGSGAAPLGKDVMVAMADRFPGADIIQGYGMTETCGIISLEYLQKGRARQFGSTGALVTGVEAKTVDAKTMKHLPPTQLGEICVRGPNIMEGYFNNVQATEFTIKHGWLHTGDLGYFDERGQLHVVDRLKELIKYKGFQIAPAEVEGLLLSHPEILDAAVIPYPDPEAGEVPIAYVVRSPKSSLAEVDVKKFIEKQVMLARSPA >Sspon.01G0036380-1P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:18679333:18680683:-1 gene:Sspon.01G0036380-1P transcript:Sspon.01G0036380-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DAQLSRNMNTGKCLKDGKRKGSGEDISSLHSLDEASALLQREVSMECADEKAGDAGAKREDYVHVRAKRGQATNSHSLAERFRREKINERMKLLQDLVPGCNKITGKAMMLDEIINYVQSLQRQVEFLSMKLSAISPELNCDLDLQDILCIQDASSAFPGYNLQASNVHLNLYRASEEGFSHRIIPNPENVHVTRNAQLSAFPQRGVIWNEELRSIAPNAFASDTIAAVLNFVRFLKIHFHIADSMKVE >Sspon.07G0015840-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7A:56198465:56199870:-1 gene:Sspon.07G0015840-1A transcript:Sspon.07G0015840-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTRVCGGGHALGGCGGAVGRQGDAWPRPPRASGSGAGTGGSRAAAPRGDRGAYDSSAPTLPAAPLPSWFRRFSPHRPFFIHPWSGKASIQHLKPCWTWIDLRWFWRPGGWMRWSGLDVESADDGGAVEAVRRGQSMVLALNNDNDNARLGRPCGAAASRVLTMVKEDLAMAEDELQPPIAAAAHQGYADEDDPAVAERTLPELTAKRLHATERNILSAGYKEMQKL >Sspon.07G0019990-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:66582847:66585994:1 gene:Sspon.07G0019990-2B transcript:Sspon.07G0019990-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKPKHRNPYASLCICMLNIFLCGGRLIFGPDAASLLLTTFLIVAPTIIFCYQRKSTFYASGGQQQMHQAAALIVTITTIMDLVFLSMTSARDPGIVPRNTRAPPEADEFLGSNTPSMDWSGGRTPRMRFRRTKDVIINGFTVKVKFCETCLRYRPPRSSHCSICNNCVHKFDHHCPWVGQCIGLRNYRFFFLFIATSTFLCIFVFIFSWLSVYSQMKDNGGFIWKALRKEAYSFALIIYTSIVVWFVGGLTVFHLYLIGTNQTTYENFRYHYDKKDNPYRKSIAANFAEVFFTKIPPPMNNFRSWVGEGALEAGFYTPYIGLDVTNPREKIDLDMESKEVLVGGMQIPTVLQNIDYGSFEESSDDKRRNAGEKSVHFPIAWAQGNGDAGTSAGAATAFNDETSEDDVNEIGSPNTTTTQASAEAITEPRGEGDAKETNSLNTTAKSPKE >Sspon.05G0008290-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:25194720:25196769:1 gene:Sspon.05G0008290-1A transcript:Sspon.05G0008290-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Malate synthase [Source:Projected from Arabidopsis thaliana (AT5G03860) UniProtKB/Swiss-Prot;Acc:Q9LZC3] MAASTTAAPSPCYDAPEGVDVRGRYDREFAGILTRDALGFVAVLQREFRGAVRYAMEQRREAQRRYDAGELPRFDPATRLVRDGDWTCAPVPPAVADRTVEITGPAEPRKMVINALNSGAKVFMADFEDALSPTWENLMHGQVNLRDAVAGTISFRDVARGREYKLNDRTAKLFVRPRGWHLPEAHILVDGEPAIGCLVDFGLYFFHNHAAFRAGQGAGFGPFFYLPKMEHSREARIWNGVFQRAEKAAGIEPGSIRATVLVETLPAVFQMNEILHELREHSAGLNCGRWDYIFSYVKTFRAHPDRLLPDRALVGMAQHFMRSYSHLLIHTCHRRGVHAMGGMAAQIPIKDDAAANEAALELVRKDKLREVRAGHDGTWAAHPGLIPAIREVFEGHLGGRPNQIGDAAGHEGASVREEDLIQPPRGARTVDGLRLNVRVGVQYLAAWLAGSGSVPLYNLMEDAATAEISRVQNWQWLHHGAALDAGGVEVRATPELLARVIEEEMARVEAEVGHERFRKGRYEEAGRIFSRQCTAPELDDFLTLDAYNLIVAHHPGASPCKL >Sspon.06G0015930-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:71493813:71495078:-1 gene:Sspon.06G0015930-2B transcript:Sspon.06G0015930-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFYMATQAAIAGGAKGIIFEQYSTDVLENQMYCQGHMPCVAVDRETIFRILSSESTVAKISPAATVVGAQVASSRVAMFSSRGPSAQYPGILKPDIAAPGVSILAAKGDSYELMSGTSMACPHVSAVVALLKAVHPDWSPAMLKSAIVTTASVTDRFGIPIQANSVQRKPADAFDMGGGIIQPDKAIDPGLVYDILPDDYNNVSDEVDIELLNLPSIAVPDLKESVTFTRTVTNVGPVKATYRAVVEAPAGVRMSVEPPVIAFEKGGARNATFKVTFVAKQRVQGGYAFGSLTWLDDGKHSVRIPVAVRTVIRDFVADTS >Sspon.04G0029840-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4B:74303478:74304330:1 gene:Sspon.04G0029840-1B transcript:Sspon.04G0029840-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMRSRSVTLLRHLARPRAASSLTTEASTAPPVTVASIRRELSLLRDLVKVEAKVDESRRLYDRFSGRIFPVVVAVLLLVEWNQDASIKELKESVAKLKEEKEKAASATMVSSSAMPASEPESEPTVANGTSCVGSST >Sspon.05G0034430-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:56895186:56898110:1 gene:Sspon.05G0034430-1C transcript:Sspon.05G0034430-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MELVASLPPRGGGFSFEEADAVPPPLPPPAVDRATTAGHGARAGTASSGSGDGGLLRLCPLLSPPASRPASPLLCPPGVAHLLRLGSGGHPSSSSSAPFPSPYPRASASDQAEHGDSHLVSHDGHFVKMDTRKRMRKDDIEGRWTTM >Sspon.06G0015940-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:69365975:69368272:1 gene:Sspon.06G0015940-3D transcript:Sspon.06G0015940-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMCTHQNSPKMASRSQAISSSALLLGFLVLLLPLQYTDASSGETTIYVVYMGRKMHDDPSMVMASHHAALTSILGSKDEALNSIVYSYKHGFSGFAAKLTEAQAEELRKYPGVVRVKPNTYHELHTTRSWDFLGMSYGQQPSSSSSRLLRKAKYGEDVIVGVIDSGIWPESRSFDDSGYGPVPKRWKGVCQTGQAFNASNCNRKVIGARWYAGDGIDEYKSARDAHGHGTHTASTVAGSPVRDASHGAASGLAAGLARGGAPRARLAIYKACHRVGLETSCGYASVIAAVDDAIGDGVDVLSLSLGGSTEYRETVHAVMAGITVVFSAGNDGPVQQSVVNVLAWVITVAAATVDRTFPTVVTLGEGEKLVGQSLYYHNRSAASKSNDGFTSLHAVTGCEKQQLESENITGKVIVCKAPSSGLASAAQGAIAGGAKGIIFEQYNSDTLGSQMFCEGHMPCVVVDKETIFRIKDSR >Sspon.04G0004810-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:13993533:13994024:1 gene:Sspon.04G0004810-1A transcript:Sspon.04G0004810-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTSPEPTAAGETNDSIHIRRLELSDHERGFVALLSQLSACPDLTASEFATRFAELAAQGDDHVILVAEDPSASDRRILATGCLFVERKFLRGGGKVGHVEDVVVDAAARGRGLGLRIVRHLVEIARDGGCYKVILDCTPELRAYYAKCGFVEKGVQMAVYF >Sspon.04G0033530-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4C:59867220:59867723:1 gene:Sspon.04G0033530-1C transcript:Sspon.04G0033530-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVPAWRRCSVGLAAGRVDPSPPGPNLPSPSPDIWQSAAMPAVAAFDLFSCRAADYCPAASSGLCSVKLVVSGLGAWGPATSGCGRPAGAAASSPSRPVVACAGAGLPLRLPGACSGVCGSVCWRGVAAVPPVHLLSSSFWVKTLPSFLLWAGGGAAVCVIFLEASS >Sspon.04G0029150-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:70501703:70506345:1 gene:Sspon.04G0029150-1B transcript:Sspon.04G0029150-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGGEDVRKVSRQDIQLHVSPHGKTVLQVQNLIERCLQLYMNQKEVVNTLSFQAKIEPSFTELVWQKLEEENRDFFKAYYVRLMLMNQIEAFNKLLEQQYQIMTKDHPSGMPSMPPTAPNGSNSNTLNQNVPFLPDTIPSTAMQDNLLRNGGSSSIVNGAPSNDQFIYAGKVVHGLPGGMDASSSLLAAHNSTVGQLNGHNGTTIKIESGYSSNSDFGFGNENVFLEQSVGDVSGGSFSSSELNGQPLGDPILDMDSSSYGFLSQIPRNFSFSDLTEDFSHSAVACVQKLAIGKELHPVCSRVGNTQNSPPKKGSLVLGDVLADDLGRRDAEEVPGLSWHGALDHEHPEVVVDLDDLELPDLGLGSSHPPGHLLPLVHTPRRRPGSDGTQLPVALGTVRHQPALEVVPLDATCRSMPQPTARERIKNRLNMVG >Sspon.02G0026810-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:75266995:75277952:1 gene:Sspon.02G0026810-2B transcript:Sspon.02G0026810-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ITVVVGNIPSSDSSGRLILLPKASAQYSVLSESKRAKKKSGYKIGSLVEAEIIDIKSLELLLQFGGNLHGRIHITEVLEEDSDEHPFSKLRIGQKLIARIVAEAEPSGKSGKNFKWELSIRPCIVKGEFEELTARKEQKHTTNEIVRAYVVKVDKEWVWLTVSRNVMAHLFVLDSSSEPSELKEFQQRFSVGQAVKGRVINVNREKRLLRLKALDNQCTQPNIDEIQQSKSSVFEQTKQGDIIGGRVQKILPGVGGLVVQIGPHLHGRVHYTEIVDSWVAEPLSGFHEGQFVKCKVLSVSRSSEGSLRVDLSLRSSNLIRDSNNSGLVDDLAICTSRIEKIKDLLPGTEIKGYVKNVNSKGCFIMLSRMVEARITLSNLSDEYVENLQKDFPVGMLVHGRVLSTDLSSGRVEASLRKTTGSKLEKLDDISYSDLHVGDIIDGQVKRVESFGLFVTIRRSELVGLCHVSELSDEPVVDINSCYKAGDMVKAKILKIDEKRHRVSLGMKKSYFDSDLTADTNDDEDDESALIDISVAPQMVEYHNRSLVHRKAEPMVSVPSLQVSLDESEGSDLEDNNNNNNNNNKGLQIAIETEATDKKNDKQSKKEARKQRELEISAMEEKALQGDVPQTPDDFEKLVRSSPNSSFVWIKYMATLLDLADVEKARAVAERALKTIIPREEEEKLNVWVAYFNLENEYGSPREDAVKKVFQRALQYCDPKKLHLALLAMYERTEQYELADELLDRMTKRFKTSCKIWLCRIQFALKQGKDVEYIKSIVNRALLSLPHRKRIKFLSQTAILEFKCGVPEEGRSRFELILREYPKRTDLWSVYLDQEIRIGDIEVIRALFERVTCLTLPPKKMQFLFKKYLNFEKSLGEDNERIQLVQQKAIEYVQSSLPSQDNP >Sspon.03G0011510-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:31314706:31318195:-1 gene:Sspon.03G0011510-1A transcript:Sspon.03G0011510-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEPQRVHRDADGDLPAAVTAPLLAHPPSPADPSPATVGSPEITDEEIDAASAACCRICLESDSEPGDELISPCMCKGTQQFVHRSCLDHWRSVKEGTAFSHCTTCKARFHLRVECLEDDICRRMKFRLFVARDVITIFLVIQATIAAIGGMAYFLDKDGSFRNKFSDDWERFLSKHPVPFYYCVGVVAFFVLVGFVGLILHLSSYNNNDPCLVGSRDCCFGWGLVDLPASMEACFAFAVIFVIMLAILGVAYGFFAATVAIQRIWQRHYHILTKKELTKEYVVEDLPGGYTPPKMDPELEQRLKVLQLM >Sspon.06G0017520-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:95294360:95295337:1 gene:Sspon.06G0017520-1A transcript:Sspon.06G0017520-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding TMCSARGMQIFINVGILLGYVSNYAFADLPAHLGWRVMYAAGVLPPVLVAAGVLAMPESPRWLAMRGRYAHARAVLSRTSDTPAEADLCLEEIKCAASVTAVPGSTRDDNGTWTELLVRPTAVVRRILTCVVGLQFFQEASGIEAVVLYSPLVFKRAGMSSSSDRTVLGATVAVGAVKTLSILAATLLSDRLGRRPLLLASTAGVAVAMASLAASLWLGATSACVASVLAFVLAFSVGFGPLVPAYGAEVLPLRLRAQGTSVGTAVGRLVCAAVSMTFISLAGAITMPGCFLLYAGVAAAAFVFVYTRLPETRGRSLEDMDVLFAK >Sspon.02G0020030-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2B:67011515:67012419:1 gene:Sspon.02G0020030-2B transcript:Sspon.02G0020030-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATNRSPLQLPLMALAVAALFIVGSHAGSISIYWGQNGGEGSLADTCATGNYKFVNIAFLAAFGNGQPPVFNLAGHCDPTNGGCASQSADIKSCQSRGVKVMLSIGGGAGSYYLNSSADARNVATYLKGYSNSGRRVYLTAAPQCPFPDAWVGGALNTGLFDYVWVQFYNNPPCQYTSGSTTDLADAWKQWLTIPAKRIFLGLPASPQAAGSGFIPADDLKSQVLPLIKSSGKYGGIMLWSKYYDDQDDYSSSVKSDV >Sspon.08G0010840-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8A:47738743:47739276:1 gene:Sspon.08G0010840-1A transcript:Sspon.08G0010840-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTMPPSPSPHATVKREIDVAEPSAYTPAPPPRKKRRRGGRLPVTPTQLPLSPPLLTPQTIPSVASGDASLAGLTPTPACSAVKVEPGADAGVGGHRRVAGKPNESRDPRSVARPAAAEPPTLWLNRRRLGQILHELAGAHRWRDAARVVSTLLSGNRRPDSYEDTRRMFVVSNHA >Sspon.07G0001490-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:3462835:3467593:1 gene:Sspon.07G0001490-1A transcript:Sspon.07G0001490-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKWFTPAAPSGVLLLPSLAHTTQPHAGTLAVATRALIHLLILASPTSPPLPSHPSLCLSMTPPPGHPEPASLTLHCRQAPRTHRSPWQCSSPASTPPAGAGAPRARRSSRAAGPSRALRRRTGPVPLDFSSPRSGRAGDGRRSTFREDVGHAAAETYLVTGLAFTLLGYLGVGYRWISQLIALLVYAVLLMPGFIKVGYYYFFSSQVIRSVVYGEQPRNRLDLYMPRDNSKSSPVVAFVTGGAWIIGYKAWGALLGRRLAERGIIVACIDYRNFPQGTISDMVSDASEAISFICNNVNYLMGQSAGAHIAACALLEQAVKESKGESTYWNVAQIKAYFGLSGGYNIQNLVDHFHERGLYRSIFLSIMEGVESLPRFSPEIVAKKLSAETISLLPQIVLLHGTADYSIPSSASETFADVLKQAGGKVELQLYEGKTHTDVFLQDPLRGGRDKMLEDVLSVIHVDDASARERDASAPTPERLVYEWQIKLARQISPF >Sspon.03G0045110-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3D:9452551:9454418:1 gene:Sspon.03G0045110-1D transcript:Sspon.03G0045110-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding CDNQFRVTFDWEVEKQGIPGAIIVKNNHASEFFLKTITIDNVPGHGTIVFVANSWIYPQSKYRYNRVFFANDTYLPSQMPAALKPYRDDELRNLRGDDQQGPYQEHDRVYRYDVYNDLGLPDSGNPRPVLGGTTELPYPRRCRTGRKPTKTDPSSESRLTLVDGDVYVPRDERFGHIKKSDFYGYAIKALVNAVIPAIRTYVDLSPGEFDSFKDIMKLYEGGIQLPKIPALEDLRKQFPLELVKDVLPVGGDYLLKLPMPQIIKGRSSLRANDAELIFNLVVKYGYQFHLHYSGYAEDKTGWMTDEEFGREILAGVNPMIVKRLTEFPPRSSLDPSKYGDHTSTVTEAHLENKLEGLTVQQALDGNRLYILDHHDNFMPFLVRINSLEGNFIYATRTLLFLRGDGTLVPVAIELSLPELRDGLTTAKSTVYTPTSTTGAEAWVWHLAKAYANVNDYCWHQLISHWLNTHAVMEPFVIATNRQLSVTHPVHKLLLPHYRDTMNINSNARQMLVKTPAASSRPPCSRA >Sspon.02G0014160-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:37727726:37727878:1 gene:Sspon.02G0014160-1A transcript:Sspon.02G0014160-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYGRPHFARIQTKQAGIFLPRTMMDMDPRLYALLASIGCHAMHPAAIAK >Sspon.03G0000270-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:6172067:6175278:1 gene:Sspon.03G0000270-3D transcript:Sspon.03G0000270-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box only protein 6 [Source:Projected from Arabidopsis thaliana (AT1G27340) UniProtKB/Swiss-Prot;Acc:Q9FZK1] MGEVAALRQLVGQVQELWDLYGANAHPDDYCGGRSGYNSELLKIMETNQSPLRKRPRRDRNREKAPSSNKTEVMQQEIWRDFPEDLFETVIARLPVAAIFRFRTVCRKWSSLLGSDSFSHQYSEAPRGLPWFYTITHENANNNVAMYDPSLKKWHHPSVPLTPTKIVIPVASVVVLNFYICNPLMQSLKEIPPRSVQGWSRVAVGMVLNGRSSSDGYKVMWLGNDGTYEVYDSTKNMWSCPGTFPPGIKLPLALNFRSQPVAVGSTVYFMCAEPDGVLSYDVSTGIWRQFAIPLPLHLTDHTLAEFQGRVMLVGLLCKNAATCVCIWELQKMTLLWKEVDRMPNIWCLEFYGKHMKMTCLGNSGLLMLSLKAKCMNRLVTYNLLKREWQKVPDCMLPCSRKKQWIACGTAFDPVPCALA >Sspon.01G0037050-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:15016543:15017544:1 gene:Sspon.01G0037050-1P transcript:Sspon.01G0037050-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASASSNAKQSLYPDVDQSHPDLNTPFFSAPTTSTTTGNSLYPTVDPNELAENLFPETAEEDAAPPPPTTEETIVAVPGAQLHLVDPDRSLDLGAGTLSIARLRQGDHSVAVLARLIPEKPHHRRGLFRLFSSGRSDGGAEQEPVQWPLTRDVAAVKLDPAHYFFSLHVPHTDHLDDKDDAEDAEADAEAALSYGLTVVGKGQEKVLEELDRVLEEYTTFSVKQVEAAAKEKSEVMDARAVAEITPEEAVGDKKEVVEEKSAAFWTTIAPNVDDYSSSVARLIARGSGQLVRGIIWCGDITAEGLRRGEEVVKKSVGPSAKPTQVKPSTLRRMK >Sspon.06G0001390-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:4391052:4391474:-1 gene:Sspon.06G0001390-1A transcript:Sspon.06G0001390-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DERGDPGREHCPQLRGGRERLQLLGGRPVRCSRRRPRRPAHVRRDGRGAHEPACAGEALRRGRGRGGAGGARGAVPRPVRAVRQGRQRQGGPPRVPRRDEGGDARRGKRARLPSRADGRRGGQLPQGRRRQGARPALQSCI >Sspon.01G0060920-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1D:85604111:85604404:-1 gene:Sspon.01G0060920-1D transcript:Sspon.01G0060920-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVVKRIRSRLESARTHGRWATRRVESDGTVGSSEWTRLAAQPSWPRVLRWAVVQLRACVWAEACGPVGIGQVGAAHVVFVYYDTGALGLGGAAPDR >Sspon.01G0060030-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:72671314:72671932:-1 gene:Sspon.01G0060030-1D transcript:Sspon.01G0060030-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHPETSRPRFSHRRRSRNKPAAALHSVVRSVRQNLWDLPILAAAATSFLPPSAATGVASADSVERGVLGCANTSESKLRLHRAASCRSPRATQPLDIRAAGAMSPAPPRRSGTPTRLPYTLRWAACASSVGQVALEPAYTDSTEQGWKEKGI >Sspon.03G0003490-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:15552308:15553054:1 gene:Sspon.03G0003490-1P transcript:Sspon.03G0003490-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSGPSSSAAGGGDDDAHAPPQQHQVQPLAQAQPQPQQAAAPPQPPPPQQLSRYESQKRRDWNTFLQYLRNHRPPLTLARCSGAHVIEFLKYLDQFGKTKVHAAGCAYFGQPNPPAPCPCPLRQAWGSLDALIGRLRAAYEESGHAPESNPFAARAVRIYLRDVRDAQAKARGIPYEKKSRKRKQPAASGEASSSSAAAAAAREAAGAAGSAGDGSSGSAAAGKAAPTTGQGSATTAAAAPASTSRV >Sspon.07G0007420-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:17303025:17305565:-1 gene:Sspon.07G0007420-2B transcript:Sspon.07G0007420-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRSRRFLARTPRRRQPKAAGKAEPSPTAAPTYTRDVVRRATAILRDHPWSAARPLLLSLPGLAWDSHTVARVLKTHPPLQKAFLFFRLAATASRTFRHDRFTYTSMIHLLGEAGRVQAMLRLLAEMLRAGVAPDAATFTTVMHWLARAGDVDGAMRVWAEMKARSRPTVVSYTACVKILFDAGRAEEARKVFREMVAEGLRPTCKTYTYTVNLGKFEATLEIMDKMKEACVQPDKALCNILVQKCSRAGETSVLTCILQYMKEHFIVLRRPIFLEALEALKASGDSDELLREVNPHLSYEGIENDPILSDQGYLTDRSIILYLMSANKWSAIEQMVNQMAPKNVKMETHILSDIIEASCTDRKPSCGLTVMRYGLGVGCELGRSAYCSLLGLYIRTGSFDLVLEIVEELIKSGCNLGTYLSSVLILRLGYAGQSACAAHIFGLLTADKNVVSYTALINAYFQAGKVDGALDLFTQMRTNRISACLGTYEVLIHGLKKAGLKQESDYYRRERMNMQWHLQYHGQRSPEDTLCNHLFCGLHG >Sspon.05G0017920-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:75664108:75666567:1 gene:Sspon.05G0017920-1A transcript:Sspon.05G0017920-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSWKMVVRVLLVLPLLPLASSSMVFKLGGSVYPTEHFYVTMNIGEPAKPYFLDIDTGSNLTWLECHDNNGPCNTCNKVPHPLYRPTLKKLVPCADPVCDALHKDLGTTKDCRAAPRQCDYEIHYLDGSSSLGVLVLDKFSLPTGSAPNIAFGCGYDQMQNSKKAPKKVPVDGILGLGRGSVDLVSQLKHSGAVSKNVIGHCLSSKGGGYLFIGEENVPPSHVTWVPMAPTTPEEPNHYSPGQATLHLGRNPIGTKPFKAIFDSGSTYTYLPENLHAQLVSALKASLSKSPLKLVSDTDTKLRLCWKGPKPFKTVHDLPKEFKSLVTLKFDHGVTMTIPPENYLIITGHGNACFGILELPGYDLFVIGGISMQEQLVIYDNEKGRLAWMPSPCDKMPKSKAAIISRI >Sspon.08G0005660-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:14999159:15002810:1 gene:Sspon.08G0005660-4D transcript:Sspon.08G0005660-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQEQGVEEPPPQAEGEAQPAADVPMSEAAAAEDEEEEPVMGEGEGEGGADGAADAVDSVKASVKPEAEGAREGDREELNGGPTADLVGEVVKLENGDGPVMVGGSADEGGAGDGGDDKGLVGQNQPIVNQLVLVPAEEDLALTKISNNSFMFDYTTGGDDSGTEEEQAAFMKELERFHREKMLEFKPPKFYGEGLNCLKLWRQVTGLGGYDQVTSCKLWRQVGESFKPPKTCTTVSWTFRNFYEKALLEYEKHKIETGEFQVASSALPDRIGSESQVGGSHVSGSGRARRESATRAMQGWHSQRLLGKNR >Sspon.04G0001850-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:7144987:7146616:1 gene:Sspon.04G0001850-3D transcript:Sspon.04G0001850-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLLFVPNKTLAPPSPAPIPRARHRLASRPPSAAAFFRGLFPTKPPAAKADLLRLIADQGRGLETQSDPSRLADIVSCIDALAAVSPGADTVSDAVKLSGTWRLLWTTEQEQLFIVRNAPFFRTAAGDVLQVIDVPGGALNNVITFPPSGAFIVNGEIEVQPPQRVNFRQQSSICFIIVSTDRRLNVECGCACSRFTRAILRGNKFDTVYLDDDIRVAKDIRGDYLVVERA >Sspon.05G0004950-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:9633612:9654060:1 gene:Sspon.05G0004950-2B transcript:Sspon.05G0004950-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MELHDELPEATLNAIKFDLMTSTDMEKLSSMSVIEVSDVTSPKDWGEKRFKQHQHASIARSPSVMERISIVAEAAERVSNRSKGKGLLEGLPQDYWDFVPSENKQVQSNMTKIILSPYQVFHMLKKSDPELIKQFVSRRELFEEIVVRTVSEGAVWCVTNARDFMGLVRMIHPSNPIFPPILKQHAVLVHDDRTKAYKRMVDVSRRIDDYRQHPQFSVLASSLVSSRLYSKKTDGETSTDTSGMKWLKDAVLSKRSDNAFRSTMVGDPKIKLWEIGIPEDLASSLVVSEHYGCKALDFLSSAQEVLCEFLTMRGLSVSLSDLYMFSDHYSRRKLNEGVKLALDEAEEAFRIKQILLDPINIPVLKCHDETEDVTYRQSDCIQSNPSVIRSSIMAFKDVFSDLLKMVQQHKSLNYEAEGTNERVGGQNLYAMIRNSFIEGLNPLECLLHAISGRANFFSENADVPGTLTRKLMYHLRDIHVAYDGTVRSSYGQQIVQFSYDSADDPVDKLGAPVGCWAACSISEAAYGALEHPVNGLEDSPLMNLQEVFKCHKATNSGDHIGLLFLSRHLKKYRYGLEYASLEVKNHLERVNFSDLVETIMIIYDGHDNIRKEGTWTAHFHISKEMMKKKRLGLRFVIEELTKEYNATRDQLNNAIPSIRISRRKCVVGDEGVKTSACCITVVALAEPNSMSQLDTIKKRVIPIILDTLLKGFLEFKDVEIQCQHDGELLVKVCMSDHCKGGRFWATLQNACIPVMELIDWELSRPSHVADIFCSYGIDSAWKYFVESLKSATTDIGRNIRREHLLVIADSMSVTGQFHAISSQGLKQQRTRLSISSPFSEACFSSPAQSFINAAKQCSVDNLCGSLDAIAWGKEPFNGTSGPFEIMHSGKPHEPEQNESIYDFLRSPKVQNVEKNHLDTRRQSTENASICRLACKSKGSATVNGVAITIDQDFLHAKVSIWDNIIDMRTSLQNMLREYPLNGYVMEPDKSQLIEALKFHPRGAEKIGIGLNPIHPETRCFILLRNDDTTEDFSYHKCVHGAANSISPQLGSYLKKLYHRG >Sspon.01G0013660-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:37699228:37700436:-1 gene:Sspon.01G0013660-1P transcript:Sspon.01G0013660-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLWAPSVYVLLLARLIDGFGIGLAVTLVPLYISETAPTDIRGLLNTLPQFSGSGGMFLSYCMVFGMSLMPTPDWRLMLGVLSIPSLIYFGLTVFYLPESPRWLVSKGRMAEAKRVLQRLRGREDVSGEMALLVEGLEVGKDTRIEEYIIGPDDELADEGLAPDPEKIKLYGPEEGLSWVARPVRGQSALGSALGLISRHGSMASQGKPLVDPVVTLFGSVHEKMPEIMGSMRSTLFPNFGSMFSVAEQQQVKADWDAESQREGDDYASDHGGDDIEDNLQSPLISRQATSVEGKEIAAPHGSIMGAVGRSSSLQGGEAVSSMGIGGGWQLAWKWTEREGEDGQKEGGFQRIYLHEEGVQGNRGSILSLPGG >Sspon.08G0029390-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:35631969:35639505:1 gene:Sspon.08G0029390-1D transcript:Sspon.08G0029390-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MACVRSNRLSYATAYATPGSMAIKPFVIMLLPMALLLLAAGSSPVVAQLELGYYSKTCPNVEAMVRTEMEKIISAAPSLAGPLLRLHFHDCFVRGCDASVLLNSTESNLAERDAKPNKSLRGFGSVERVKAKLEAACPNTVSCADIVTLMARDAVVLAKGPFWPVALGRRDGRVSSATEAAEQLPPAYGDIPLLTKIFASKGLDVKDLAVLSGAHTLGTAHCPSYADRLYNFSSAYNADPSLDSEYVDRLRTRCKSVDDKAMLSEMDPGSYKTFDTSYYRHVAKRRGLFHSPVVAQLELGYYSKTCPNVEATVRTEMEKIISAAPSLAGPLLRLHFHDCFVRGCDASVLLNSTATNLSEMDAIPNRSLRGFGSVERVKAKLEAACPNTVSCADVLTLMARDAVVLAKGPFWPVALGRRDGRVSSATEAADQLPPANGDIPLLTKIFASKGLDTKDLAVLSGGHTLGTAHCRSYAARLYDFSSAYSSDPSLDSEYADRLRTRCSSVDDKTTLTEMDPGSYKTFDTSYFRQVAKRRGLF >Sspon.04G0017180-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:12530925:12537085:1 gene:Sspon.04G0017180-1P transcript:Sspon.04G0017180-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFVERVTTFEDSEKESEYGYVRKVSGPVVVADGMGGAAMYELVRVGHDNLIGEIIRLEGDSATIQVYEETAGLMVNDPVLRTRKPLSVELGPGILGNIFDGIQRPLKTIAIKSGDVYIPCGVSVPALDKDQLWEFQPKKLGVGDAITGGDLYATVFENTLMQHHVALPPGSMGKISFIAPAGQYSLQDTVLELEFQGIKKQFTMLQTWPVRSPRPVSSKLAADTPLLTGQRVLDALFPSVLGGTCAIPGAFGCGKTVISQALSKYSNSEAVVYVGCGERGNEMAEVLMDFPQLTMTLPDGREESVMKRTTLVANTSNMPVAAREASIYTGITIAEYFRDMGYNVSMMADSTSRWAEALREISGRLHCDFLVLTVINILQAEMPADSGYPAYLASRLASFYERAGKVKCLGSPDRTGSVTIVGAVSPPGGDFSDPVTSATLSIVQVFWGLDKKLAQRKHFPSVNWLISYSKYSKALESFYEKFDPDFIDIRTKAREVLQREDDLNEIVQLVGKDALAESDKITLETAKLLREDYLAQNAFTPYDKFCPFYKSVWMMRNIIHFNTLANQAVERAASADGQKITYSVIKHRLGDLFYRLVSQKFEDPAEGEEALVGKFKKLCDDLTVGFRNLEDEAR >Sspon.01G0047010-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:93246817:93250800:-1 gene:Sspon.01G0047010-2C transcript:Sspon.01G0047010-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKRPRDDHSSSFASAPKRQYGAGGGYGAQQGYSEERSSARRVADHYSARSNQTLEERENSPIIHLKKLNNWVGLNSVCHAYPAIPGFLIKWATRDIKSVLIQLYARPGDCVLDLACGKGGDLIKWDKAKVGYYVGVDIAEGSIKDCMTRYNGDTDQQRRKKFSFPARLICTDCYEARLDEYLYEDAPFDICSCQFALHYSWSTEARARQALANVSALLRPGGIFIGTMPDANVIIKRLRESEGLEFGNSVYWISFGEEYAEKKFPASRPFGIKYKFHLEYDLELVLMKNFHEFVHEYLQKPEFTELMRRLGALGDGRQDQSTLSQDEWEVSYLYLAFVLRKRGQPPAQRRANNANRGKMFLTEGDIEVLGI >Sspon.01G0018750-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1A:69619073:69619324:-1 gene:Sspon.01G0018750-1A transcript:Sspon.01G0018750-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSDGAADLGEVREDAREEPRGRRCSDRGAGTRSSMCGGSPSRWAGGVGVGGVEEWVQEAAHVLSYEQAVVAFSVGRKEKRS >Sspon.02G0030500-3D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2D:94139239:94140264:1 gene:Sspon.02G0030500-3D transcript:Sspon.02G0030500-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RADHNIQDVRSKVFPFKRKKVNAEEAESPITLPVKVKERSISSLVVNTPRVAPAASTRRRTRAVTRKAAALRGLGPIIVDPLKKDNDNSNKQTDNSSLLDSLSKIPQTRRQLLSNGDTSSHPSVKDKAGDNKDLDKSELWKPLNCLVDAASKTKTPTSAQSPALKGDKPREFPSSEHSSRTEAREPLQKSKAEDDKSDDPEPIVLLRKRGRPARKRKDSLTETNAASAATAIQARKALSPIWFSLIASFDQKGDPPLPQIPAHYLRIK >Sspon.03G0008170-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:6835898:6840669:-1 gene:Sspon.03G0008170-1P transcript:Sspon.03G0008170-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ALITLKKGSNLIKYSRKGRPKIREFRLSSDETSLVWHSHSKVKQLVLSSVSRIIPGQRTAVFRRFLRPEKDYLSFSLIYKNGQRSLDLVCKDQTEVEVWFSTLETLITSCRKSYSADGPSDRLSVSDEVSHYQDNTFHDTTLDIASSITRTFNSAGYSTTNPLNSAKTDVVSDRGNMLRASTDSSRLSISSAPSSSSQGSGQDDIESLGDVYVWGEVWTDVIPAEGSLNYLCSKADILIPKPLESDVVLDVQQIACGYRHIALTTRQGEVFAWGEELGGRLGHGTDADISRPKLVEALAVSNVEYIACGEFHTCAVTASGDLYTWGDGYYNAGLLGHGAGTSHWLPKRVSGPLEGVQVLSVSCGSWHSALTTSSGKVFTFGDGTFGALGHGNRETVAYPKEVETLSGFRTMKVACGLWHSAAIVEGSNQAGVNVMSRKLYTWGAGDKNQLGHGDKDARLVPTCIQSIIDYNFHQVACGHSMTIALSTSGHVFTMGSSSNGQLGNPKSDGKQPISVQDRLAGELVEEISCGSCHVAVLTSRSEVYTWGMGANGRLGHGGVEDKKKPTLVEALKDRHVKSIACGSNFTTCICIHKWVSGADQSVCSGCRQPFGFTRKRHNCYNCGLVHCHACSSRKVLKAALAPTPGKPHRVCDSCFMKLKAAETSSNSSHSKRNAIARRSVDSKDRSERPEIRPSRLATGSTPEPLKQAEIKAVRNEIKPDPMSTMRAPQVPSMLPFNNLAFGATFGGPASLKPMAMVPMPMAMPMSPSPLTKKPNPPAATPLCGKSDTDNLKMAKQVLNEDISKLQSQVNKLKQKCDAQEEQLQKAERRAENSASIAAEESSRRNGVLEFIRFLDNELKSIADRVPSDAADNLKTLQNHSERFLTEQGIRPLEVMSHDGSTGNASSSVVSLAGESPCHRIMENSSRANGDLAPKLGTHGEVQLIEQFEPGVYVTLIQLRDGTKVFKRVRFSKRRFAEQQAEEWWRENQERVFRKYNHPAN >Sspon.02G0013270-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2A:35527992:35528405:-1 gene:Sspon.02G0013270-1A transcript:Sspon.02G0013270-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAITCLGALGSDVPQPPGKRRKGDALLSWLGCSSLIIIEPNNAVRETETQLAAMILVPRKPCIRSWLPLGVGPSVVLCRAAPSSLLRVLACTGMCTSTDLTNFAASCSWFLQTIVHRVNTRHCAWRRILRRDRTAL >Sspon.03G0009690-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:32855259:32856067:1 gene:Sspon.03G0009690-2B transcript:Sspon.03G0009690-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHEAAPCTCGLLYGSCGGGCSLLFAAAAGDHYYKHCGGGDGEAFSAGPYGGSVDCTLSLGTPSTRRAEAGAARAPAAGLHWEAPSAPSCNGRQQETRGAEAGARRCANCDTTSTPLWRNGPRGPKSLCNACGIRYKKEERRAAAAAVAPAAVAADGGVDYASYGYARQPQQWGCYGPAAVAKAASFGMFGDAAAEVVDGPCLPWGLGVMPSSPAFGTVREMPSLF >Sspon.07G0006870-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7C:13532077:13532671:-1 gene:Sspon.07G0006870-2C transcript:Sspon.07G0006870-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VGAEADEEKLEFLLTELKDKDITEVIAAGRERLSSVTSGGGAIAVGAPAAAAGGGAAPAEEVKKEEKVEEKEESDEDMGFSLFD >Sspon.04G0013790-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:55167957:55171310:1 gene:Sspon.04G0013790-3C transcript:Sspon.04G0013790-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:50S ribosomal protein L21, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G35680) UniProtKB/Swiss-Prot;Acc:P51412] MATATLPLRLLPSKTLTLTTLPSARRSLSVAAVEPRRWRLRAAAEEAPEAVEVEFVEAEEEPAVPEPVEAQLAAAGAGKDADIFAVVMIGSRQYIVMPGRYIYTQRLKGANVNDQIILNKVLLVSTREKAYIGMPVVTNAAVHAGRDDKVIVFKFKKKKKYQRKLGHRQPNTRLRITGISGYEEYPADPILDPAAA >Sspon.01G0010980-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:30343881:30347886:1 gene:Sspon.01G0010980-1A transcript:Sspon.01G0010980-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSNDCATPRSDGRGSSSGSDGNGGRSVNTSSRRRRRMPSSPTGTQLMSEFNAAADAGGSGSSSSPSSSGALDLEGVLLLATPVATDNTIIMEGELLDDDDEPGTPSGSGTRGSASLVDNNGLGTSASARRSASVDNNGPSNRVSISDLDLVLVSSGSQGSSGGGSGGRRSDSNDQFPQREGHRRMYAPSRNNRYYEAGSSSRQAAPGQWQGVGPPNIQIQPQQGPEIPYPFNFHRAPGFRIPPNMNFQLLQVGPECPIPVPPPTPPPWVGPRFGAGLGVQLGPPVQGPVHLYGSPALYPPPGVTTMVIPRRSSGLYPPPGVTIRHPPTSNGDPPPPPEQPARTRVTITAPPASEGPRQPEATPPEQTVPSRVTIKAPPAGEGSSSSPPKQPEQPETRPSQPVPLARVPTFWWPPTAEEDALFTERLHGPSRRSRRLPVFEDICPGDEPSQPPPPPPPPPPPSQPPPPPPPPPPESP >Sspon.04G0026860-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4C:53736776:53742881:1 gene:Sspon.04G0026860-2C transcript:Sspon.04G0026860-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTTLPAVCVVAAVTAAAILLTAGGWGSSPNEMATSSFFDGSDPPLNITDEHFLDGLLTADFSYMSCRSRYEFAVYHKHLSHKPSPYLIAKLRKQEALQKRCGPGTAAHKKAVRRLDSGEGVVDDDGCRYLVYISYRGLGNRMLAIASAFLYAVLTERVLFVDGGKDADALFCEPFPGTTWLLPRPGWLSFSPLRRLQDYEGGSKESLGNMLQSGSITVSADGNVSWSAPRPPPYLYLHLSGAYGFHDKLFFCGAHQRLLGEVSWLFMWTDNYIVPGLFLTPAFSGELEAMFPEKEAVFYHLGRYLFHPTNRVWHAIKSYYHSNLAGVGQRVGVQIRVFQKKQPPRAVLEQLLSCLRDKKLLPETDAATEGGNGTTSRAVLVTSLSSWYYDRIRDKYGGRIAGDVHQPSHEGRQRWHDAAHDMRALSEMYLLSMCDVLVTSGYSTFGYVAQGLAGLRPWVMARVPMWATDWREGLEPRDPPCRRAVSVEPCFHAPSAFDCTAGRDVDLDKVSPYIRRCVDVKYGIKLVNESSGQ >Sspon.05G0015590-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:51448499:51448855:-1 gene:Sspon.05G0015590-3D transcript:Sspon.05G0015590-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAMSYFRAPRRLYGRKQQQQRESAALLVDDDQGEAAAAAGAVPKGYFAVYVGAESRRFVVPTSYLSQPAFRELMERAAEEFGFGQAGGLRIPCREEDFQATVAALEQSRRRGGGRARG >Sspon.05G0015190-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:57173633:57186951:-1 gene:Sspon.05G0015190-2B transcript:Sspon.05G0015190-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGAAAGTKWIRHIQRLSAVKVSAEAVERGQSRVIDASLTLIRERAKLKAELLRALGGVKASASLLGVPLGHNSSFLQGPAFAPPRIREAIWCKELNDPRVLTDVGDVPIQEIRDCGVEDDRLMHVISESVKTVMEEEPLRPLVLGGDHSISYPVVRAVSEKLGGPVDILHLDAHPDIYDCFEGNTYSHASSFARIMEGGYARRLLQVGLRSITKEGREQGKRFGVEQYEMRTFSKDREKLENLKLGEGVKGVYVSVDVDCLDPAFAPGVSHIEPGGLSFRSTSSRMLNILQPNLREGGLCRCASACWSWPRPSQTRLDAVPSFRCLHGRGRCHIDLAECARRGVAVANSGTVYSADVADHAVGMLVDVLRRVSAAERFFRRRLWLLQDGGHPLASKLGGKRVGIIGLGNIGLLIAKRLEAFGCAVYYNSRRPKDSYFSNVHHLASESDILVVACALDKETRHIVNKDDQEMGGAAAGTKWIRHIQRLSAVKVSAEAVERGQSRVIDASLTLIRERAKLKAELLRALGGVKASASLLGVPLGHNSSFLQGPAFAPPRIREAIWCKELNDPRVLTDVGDVPIQEIRDCGVEDDRLMHVISESVKTVMEEEPLRPLVLGGDHSISYPVVRAVSEKLGGPVDILHLDAHPDIYDCFEGNTYSHASSFARIMEGGYARRLLQVGLRSITKEGREQGKRFGVEQYEMRTFSKDREKLENLKLGEGVKGVYVSVDVDCLDPAFAPGVSHIEPGGLSFRDVLNILQNLQGDVVAADVVEFNPQRDTVDGMTAMVAAKLVRELTAKISKITPFLLKQSERVDFDVSLRFRVLELASAEPDPPRRRPLLPLPRQHGRGRCHIDLAECARRGVAVANSGTVYSADVADHAVGMLVDVLRRVSAAERFFRRRLWLLQDGGHPLASKVPSNLLFFIPLGGKRVGIIGLGNIGLLIAKRLEAFGCAVYYNSRRPKDSYFSNVHHLASESDILVVACALDKETRHIVNKDLLSMDNVVLTPHSAVYTMESRSDLCEHLICNLEAFFAGKPLITPGCVAVQASSVQLLGDNTDQ >Sspon.02G0031030-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:92082535:92084795:-1 gene:Sspon.02G0031030-2D transcript:Sspon.02G0031030-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQFYSINLYWQLIVYRLYLTRNVAVAGSSSGIHIYAVFVSKASGEVLKKFSGHTDVEVWILPTFENSAWSIMAISFISLLAMSAVLATCFFVRRHRIRRDHPRIPEAREFHGMSSQLVKAMPSLIFTKVQEDNCTSSMCAICLEDYSVGEKLRVLPCHHKFHAACVDLWLTSWRTFCPVCKRDAMSGVSELPATEATPLLSSAVRLPSRPSSFRSSVAASPPRPISRHPSSHSVSRAYSVSSTPQSPNPFRSHTNLPGIHTSRSTADLANMSSPHPRISHLSSTHSLVGSHLSPPISIRYSLPQLAHSGHGSPSPHVSSPYISNSGYGSSSYYYLGGSSQQGRT >Sspon.07G0008090-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:18836291:18844753:-1 gene:Sspon.07G0008090-4D transcript:Sspon.07G0008090-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MANATVPESQPQVQAAPGGRKVVDEVSGWLRVLDDGSVDRTWTGPPEVLPMMQPVAPYAVPRDGHTLHDLPGEPSFRIYLPEVAGGRDKGGRLPVIVHLHGGGFCFSHPSWVMYHHFYSRLACAAPAVVVSVELPLAPERRLPAHIDTAVAALRRLRSIALSQDGALADKAAKLLREAADVSRVFLVGDSSGANISHFAAARVGQDGAGVWAPLCVAGCVLIQPGFMRATRSRSELEVGDSVFFTLDMLDKCNAMALPVGATKEHPFTCPMGPQAPPLESVPLPPMLVAVAENDLVRDTNLEYCDALRAAGKEVEWLLNSGMGHAFYLNKFAVDMDPSTGERTQELIDAIQQPQVQLAGGRKVVDEVSGWLRVLDDGSVDRTWTGPPEALPLMEPVAPYAVPRDGHTLHDLPGEPNLRVYLPEAKAEGAARLPVILHLHGGGFCITHPSWLMYHHFYARLACAVPAVVVTVELPLAPECRLPAHIDTGVAALRRLRSIALSEDGALDDPAAALLREAADVSRVFLIGDSSGGNLVHLVAARVAQDDAGSWAPLRVAGGIPIHPGFVRATRSRSELETKADSVFFTLDMLDKFLALALPEGATKDHPFTCPMGPQAPPLESVPLPPLLVSVAENDLIRDTNLEYCNALRAAGKEVEVLINHGMSHSFYLN >Sspon.02G0047430-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:8315870:8318336:-1 gene:Sspon.02G0047430-2D transcript:Sspon.02G0047430-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VSLAGSQIPSPSSGQSPCAAARPQRRQGHSMRTIRSALLQPDSSPGSTSPAPRDGGADAGDSDIENLTDSVIDFHLRELAATAGPAHPAAVAKSSSAINAAATELLDLSRDFSDYSSFNSDISGELERLAAAAGAAPPRSDAPDAAAVDLNDLESMDLSADAAPLERVEPFVLACVQALGPDAGPDARRGAAARIRLLAKHRSDIRELIGVSGAIPALVPLLRSTDPVAQESAVTALLNLSLEERNRSAITAAGAIKPLVYALRTGTAPAKQNAACALLSLSGIEENRATIGACGAIPPLVALLSAGSTRGKKDALTTLYRLCSARRNKERAVSAGAVVPLVHLIGERGSGTCEKAMVVLGSLAGIAEGREAVVEAGGIPALVEAIEDGPAKEKEFAVVALLQMCSDSPHNRALLVREGAIPPLVALSQSGSARAKHKVRSTNPTFLFDKFQCCGVTKVLLHNLQAETLLGYLREQRQGVGCRAGAVGATSLAR >Sspon.06G0015510-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:80045701:80049616:1 gene:Sspon.06G0015510-2C transcript:Sspon.06G0015510-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALKTNVCSLLCELAVNSGRAQHCGKCALVYTPQLAVNKIMTGNRIHCHDKIKHEADINGNIKIFTEVEIEIITRNFSTLIGKGGFGEVYMGILDDDNEPVAVKKYINEDLREVFMEEVRIHSKISHKNVVKLIGYCIGEHTLMIVMEYMSKGNLNDILHYSEISIPLDVRLGIAIGEIFDAAIANENNMKILKEMKKLAIECLSLISFRRPQMTDVVKRLRILRKELKDRHENYSESILASHHSWRKNNRQEIIMPTYNSKMQIKKSLGFLKKNLSNSKIQSEPSNVRILTQEELKEVTHNYSYLLSGGTSGKVYKGTLEDNTVVAVRIFSEVLEGFEEAFINGGMILSQIFHRNIIKLLGYCPDADCPAFMYEYAANGSLSDVLDRHEDFPLDLRAKIAVKIAEALEYLHSSTTGIIRHGYVVPSKILLDDNFMPKLTGFSWARKFIKESNIIASDYAISSHQLPSSGFYSDPIHDHCVSLKVKTDVYQFGVLLLTLISRKNFVFYADHDDLISQFRTACLADGSGRAFFDDDIAAHGGDIVLLEEMGRLSLKCICEEIDQRPTMKEVAEHLRIITRSWKKCSSERATLVSKTVSTSHIAGAEGTKPDRPLGSDIRD >Sspon.06G0004300-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:13710859:13713710:-1 gene:Sspon.06G0004300-1A transcript:Sspon.06G0004300-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARHFKYVILGGGVAAGYAAREFAKQGVNPGDLAIISKEPVAPYERPALSKGYLFPQNAARLPGFHTCVGSGGERLLPEWYSEKGIELILSTEIVKADLASKTLTSASGDTFTYETLLIATGSSVIKLTDFGVQGAESNNILYLRDIADADKLVAAMQAKKDGKAVIVGGGYIGLELSAALKINNFDVTMVYPEPWCMPRLFTAGIAHFYEGYYTNKGIKIVKGTVAVGFDADANGDVTKVKLKNGSVLDADIVIVGVGGRPLTGLFKGQVDEEKGGLKTDAFFETSVAGVYAIGDVATFPLKLYNEQRRVEHVDHARKSAEQAVRAIKAKESGESVAEYDYLPYFYSRSFDVAWQFYGDNVGDDVLFGDNDPASAKPKFGSYWVKDGKVVGVFLEGGSAEENQAIARVARAQPPVADVQVLKEEGIEFAAKI >Sspon.01G0031770-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:105632200:105637132:-1 gene:Sspon.01G0031770-2B transcript:Sspon.01G0031770-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLTPPVGPEWIADSGATYHTTPDPGILSSLHSPSSSLPSSIMVANGSCLPVTSVGTAGAHGSFRLPDVLVAPSMVHNLLSIRRFTADNSCSVEFDSSGLTVKDLASRRPLLRCDSSGPLYTLRFPAAHSSSSPSLLSAAFAASPSSTTWHRRLGHPGRDALIQLSRSSGLPCTRAHDEQLCHACQLGRHVRLPFSSSSSHAARIFDLVHCDLWTSPVISISGYKYYLVVVDDFSHYSWTFPLRAKSDTFTTLLHFFTWVSTQFGLTIKAVQCDNGREFDNSTSRAFFLSHGVQLRMSCPYTSSQNGKAERMIRTTNDTVRTLLFQASLPARFWAEGLHTSTYLLNRLPSAACPAPTPHHALFGTPPRYDHLRVFGCACYPNTAATSSHKLDPRSTLCVFLGYSPDHKGYRCFDLASRRVLISRHVVFDEYRFTALRAGHRSRLPCESGPLVLRRGPVSFGWCRPGAFVPCFCSGGSCRLPTPDPVSTPAPAPPSRFAAPVRVYQRRPRPPPVAVPSPPGTPTPPPLSPPARGPSPVYHPPLLHRHPRHVHPMVTRHAAGTLPPRVLAASTDDAVVSPVPSSVRDALLDPHWRRAMEEEYAALLANQTWELVPRPPGANVVTGKWIWTHKRRADGSLERYKARWVLRGFTQRPGVDYDETFSPVVKPATVRTVLSLALARSWPIHQLDVKNAFLHGLLTETVYCSQPAGFVDTTRPDMVCRLNRSLYGLKQAPRAWNHRFATFLMTLGFVEAKSDTSLFVYHHGTDTAYLLLYVDDIVLTASSEPLLRRIIAALQQEFAMKDLGELHHFLGVTVEHRPAGLLLHQQQYTRDILERAGMSDCKPCSTPVDTQGKLSETEGPPVADPTAYRSLAGALQYLTFTRPDITYAVQQVCLHMHDPREPHLTALKRLLRYLRGTLDYGLLLHRASSTDLVVYTDADWAGCPDTRRSTSGYAVFLGGNLVSWSSKRQPVVSRSSAEAEYRAVANGVAEAAWLRQLLAELHTPPSRSTLIYCDNVSAVYLSTNPIQHQRTKHVEIDLHFVRDRVAMGEVRVLHVPTTSQFADIFTKGLPSSTFTEFRSSLNITGG >Sspon.05G0031050-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:13558127:13562306:1 gene:Sspon.05G0031050-2D transcript:Sspon.05G0031050-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRVPSMELHRSSPLVSGGRGRNTCPQKKPFLVQAKRLGGLEKASTRPGTQESGQPKKRAPLVRGTVSPPLPVPGNIPRPPYVGTKYVPEIAKEVQMHDKESIVHMRAACELAARVLDYAGTLVKPSVTTDEIDKAVHKMIIDAGAYPSPLGYGGFPKSVCTSVNECMCHGIPDSRELQDGDIINIDVTVYLNGYHGDTSKTFLCGEVDEASKRLVKVTEECLLRGISTCKHGTSFKKIGRRISEHAERNGFGVVECFVGHGVGRVFHSEPIIYHQRNNRPGQMIEGQTFTIEPIISMGSIDCDMWDDGWTAVTTDGSLAAQFEHTILITRTGAEILTKC >Sspon.07G0018420-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:72303046:72307384:-1 gene:Sspon.07G0018420-2B transcript:Sspon.07G0018420-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVADTSCSVHPEGGKGDDVLETVARIGSKLASEEGGETVVVESLRELQGVHITFEALEATKIGRAVNALRKSAEARQLAAALYKGWKALANERRAPPQQPGIEKGPSTPPPPPVNAKQSARPEDELEPIAPTSVVVEAAPEVKLHLHEGFDSAATILRKPKSLRVINTNAPGKTEHRRVMVVRRTAAAPAPAPASNIARRNGGGPNSNNQQACCPATASHQGTNAATKRVSPTGTAPLTTANKPPTALPKPIGSSGACKRKAETPAVFDEARLARARIRLHEGYKEASAVKEKRKIKEINVIDALGKKARQRTACHVRGARLPTGKGSKLRAEQSREAFRGAQTEKKMGVLGEEVSVVMRPLLHLLVGMVLYEAAEEMAVPALVDKITAALCPADSRSCPEAIYLTGLQSSAPAEPCWGHGGLRPPLAVDEFSKI >Sspon.04G0003300-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:45010469:45013887:-1 gene:Sspon.04G0003300-2B transcript:Sspon.04G0003300-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGKEPIEVKFRLFDGTDIGPSKYDPNTTVAALKEFVLARWPQDKEIVPKTVNDVKLINAGRILENSKTLAESRVPVGEVPGSVITMHVVVRPPQSNKSDKQQSNSPKPNRCGCTIL >Sspon.07G0010740-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8B:11353166:11354965:1 gene:Sspon.07G0010740-2B transcript:Sspon.07G0010740-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAYGLDVECPHIFDGTHFARWRNWMTCNFKFISPQLWWIVDVGFSFAIDKKNATQAQSKCLHLDSQATNVFYRSMDSSILCEIIDFESAHEIWVFLNEKYGAISNDDEPKKEAHEDVEHDHNKVVVEDCSTSRSSDDKNDHITRSLDKKNDNATSDASNDSTSCTLDGEDDGYESDASTSSSISSHGDTKVSIGGFVVDCDGPNFELLYKLSKALRNEMAKTSKLKNENSFLKTTCEQQKHLLYVTTCSHEELKLAHEELSVAHDNLAQEHALLTKKLSNEETKTSESSSFGSNDQSHITNPCDVGKKHVSTSCDDLLDMPCSSQLNVCSTSMSCETNLLKENNELKSEVKNLSNKLERCYNSKVTFEHIMKTQRNLGDKSGLGFKKKLTRGERKQEKRMKRLLQKKLSHSMCYRCHEAGHLANGCPNIEKLKKMKEEERLKYVKCFKCRTWGHLTSMCPTKQLVKQQVKPQPKPLVEQEKTLQEQIKINHGDDGDLIKKKKKTRRGGKARHPMQIQDAKMMSKNQNEKRNLTHIKCFKCEDMGHFVSGCPTKLEKKAQATHKRQGIEKQHMSKEEKAHSKRSCYLCRERGHMAHSCPL >Sspon.04G0031220-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:9029810:9038209:-1 gene:Sspon.04G0031220-2D transcript:Sspon.04G0031220-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGAHIATASPEVGGASSSASSASSYGGSASGRSWLRKGVHLRRRRRRVVAVKGGERAGGGDVQDLALPLGMSFAAVLAQVLNRCSGSEGRLGPDVLSKMCTSAVKESLTNIYGDKFQSFMSNFEKAFGSTLRTIHLINETPVYEQDIAQCSYKDGNSVPDEIKLDGADSLPEIKLGGADSQSQIHDVQTDMPPSSMNNQIVLHAGVNHQLVHLTRSRSNPEIDQHILSVFERSLNEQARSNELKELEIGLTMRKLQLSRSQLALSSDSHMLEKIKVRMGFQKASFKEKKFKTEMEDTKHAELFRKLIDMLLTAVVLMSVCFGYGTYIYSYQRITAATSACAAISREYTSWWMPSSVSAFNSGLLLFKCHVIAATRIFFGILMLLLIGWLIFQRSAMTGPNMPVTFNVMILGVLCGYFGSCCVDTLGGDGNVWLIYWEALCSIHLLGNIWPSLLHRMLYGPISVTHRTKAVHLPYWARRYTFYVLVSLILPCLAGLLPFASLSDWKDLAVQHLKSRFNGNNIED >Sspon.04G0022070-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:3756415:3767666:-1 gene:Sspon.04G0022070-1B transcript:Sspon.04G0022070-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPGSDFKALLHAKAYGRCFNCFARDHRISQCRDPPRCILSSKSGHKARYCRAPPQGGPLLHKAPSAFKPPHTPPPNHHRSPPPPPPLPSSPTQSSAVRMDEFPAGMPGHAGKRPAHVVACVKRSPSIIQMERDLNTDAVIAVQTDARVQLSCELVLAAAAAWFRIDASLLTVAMSGRASYLIRFPDAGSRQRALSPPQSFQAGGVNLRLLPWTRLANARTATVPYRVRVCIEGIPDHAWDLDTARAFFPQSTFVEEIDNVKLSAKEQACLCAWVWTAEPSLTPKQGSLQIEEPLQMEGQFTHYPELGVTDSPPRRAGPAEMLQYEVLVHIHEIWDYAFESNSYGTGDVDFSSLESEPWPRKIPFAWHLGVIDGEGARRRTVLGRLGPRRRDNNEDDDEGDFRDHSLGVHRFPHAAPDQEFALMTKTPQMLLPRAHWEDPMLEESLGSRPLLIAATTIEDADVSATVVQLPAVPIQDAGAVDLEAVPSQPVEEVTLLGTQDQPEAMADLGTQDLLVPVQPPVLSTPVINKKTTARKKKEKSQLLPVKQSARLAAKPKTNLTIEEEATALLIKKSGVLFTGTAPSNAAQAEFTEKFTEPLKQDVVGGFMEMLGIEDDAMGDDFLASIAIPACSKNETKPLKKRTAQGKSTRKCQRVVTTTAGQRNVQLRRSSFAWHVTELPTSSSPSVAVVVGSPPADAALSAQPPPPARPAASSSCAQSALTSASLRSMRARHPSRSRSSLRRTYASYILPLTSPPPRPSPPAASSSPPSSAPSPPPSSSWSLAVVVAPVVVVRSARRCLYPANWRSSSAAIAQRSAAPGPESPGMQAHPVEIFLSFCIVQQLWHAISFCPMPTDVENYMISAPCHGAVVGRWLGAWPVSLDLERPWQ >Sspon.02G0007590-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:22089161:22090339:-1 gene:Sspon.02G0007590-1A transcript:Sspon.02G0007590-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SVKQQSDASSDGNGETLYIRLAAKEVASGVATRRKRGISTGVATGVAVGASVAALILVVILGLMIWRRKGKWFTSTIENPQGGIGIIAFRHIDLQRATRNFSERLGGGSFGSVFKGCLGDSVALAVKRLDGARQGEKQFRAEVNSVGIIQHINLVKLIGFCCEDDKRLLVYEYMPNHSLDLHLFKANGTVLDWNLRYQIAIGVARGLAYLHTGCRDCIIHCDIKPENILLDASFVPKIADFGMAKVLGREFSQAITTMRGTIGYLAPEWIGGTAITSKVDVYSYGMVLFEVVSGRKNSSPEYFGDGDYSSFFPMQVARKLRSGEVGSLVDEKLHGDVNLNEVERVCKVACWCIQENESARPTMAEVVQFLEGLSELGIPPLPRLLNAVTGGSP >Sspon.06G0022090-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:23205388:23206373:1 gene:Sspon.06G0022090-1B transcript:Sspon.06G0022090-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPSSKMRACVLRAHVDSSSNEVLNANSWNVCTVEQVEDTKHALSVIPMWSAMITSLLIPTASFRVLQAETMDHCVGTTKFQMLAGSIAIFEITRREKMLSHKQKMGISVLFSITCALSASVVEALRRMQAIRQGLKNNIDGVVNISVLWLAPQCVFAGLIGAFGSIGQIKFYYAVLPKTMSSLALALLPLASGVANIASTVIVKLVKLITCSGFIYFVACCYWFEEPSPNQLAEPNEDEANMR >Sspon.04G0014930-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4B:59182082:59184942:-1 gene:Sspon.04G0014930-2B transcript:Sspon.04G0014930-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVLHAGSVNKNAFKTLIAAEYSGVKVELVKNFQMGVSNKTPEFLKMNPIGKVPVLETPDGPIFESNAIARYVTRLKADNPLYGSSLIDYAHIEQWIDFATTEVDANIGKWLYPRMGFYPYAAVTEETAIAALKRAFGSLNAHLASNTFLVGHSVTLADIVLTCNLYLGFNRILTKSFTSEFPHVERYFWTLVNQPNFKKVIGDVKQADAVPPLQKKAAPAKEQKPKEAKKEAPKPKAAEKPAEEEEAPKPKPKNPLDLLPPSKMILDDWKRLYSNTKTNFREVAIKGFWDMYDPEGYSLWFCDY >Sspon.03G0033570-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:51612843:51628779:-1 gene:Sspon.03G0033570-1B transcript:Sspon.03G0033570-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAVQFPIFGTLVSTAIKSFYEPAEELLKHSRFSAIMFVALGAYAFVLNPIVNIMFGIAGGKLVERVRGSIGARLSTDALIVKRLTISTIILAFTIAMVANWKLALIITVVVPLVGFQSFAQMKLLVGLNRKAKLKYEEASQLATDAVGGIRTVAALCAEKNMMEMYDKKCESPIREGIREVLLVAWVLASPSLYSTLCMLFVSMLALISFSMESRHFLKCLGCGHIYPYILHALICLSCMCSSIWLTDREVFFVLVLGTGAISRASALGGDTNKAIDAVSIFEILDSKSKIDYSNEEGVTIANVRGDIDFQNVYFKYPLRPNIQIFKDLSLSIPSGKTVALVGESGSGKSTAIALLERFYDPDFSNIFLDGVDLRNLKVSWLRLQVGLVGQEPVLFNDTIRANIAYGKQGEASEEDIAAAAEAANAHQFISALPDGYNTIVERGESSCPKQRVAIARAIMKDPRVLLLDEATSALDAESERVVQQALDTVAIGRTTVVVAHRLSTIKGADIIAVLKNGTIAEKGKHEELMQLKDRTYASLATPSVTAFVEGQGAAYRMFKTIERKPDIDIYDTTGIILEDIRGDVELKDVYFSYPSRSKHLVFHGLSLRVASGTTMALVGESGSGKSTVISLVVRFYDPLAGEVLIDGVDIRRMKLRWIRQNIGLVSQEPVLFSTTIRENIAYGMENITHEEIKRAIELANAAKFIDKFPDGLDTVVGERGIQLSGGQKQSIAIARAIVKNPKILLLDEATSALDMESETVIQVALNQVMLERTTIIVAHRLTTVKNADHGNVVEQGSHLELMKIPEGAYSQLIHLQESQQEAKISNVDPDIEIMNASVSRSISSKPRSQSLSRNSSGKISTTSGLSGRHSFVAPLGVPDPTEFNNALDLEENAQKVQSALKKAPIGRLFYLNKPEASVLALGSITAAMVAVQFPIFGTLVSTAIKSFYEPAEELLKHSRFSAIMFVALGAYAFVLNPIVNIMFGIAGGKLVERVRSMTFQSIMRQEINWFDKPEHSIGSIGARLSTDALIVKRLVGDNLLFNIQTISTIILAFTIAMVANWKLALIITVVVPLVGFQSFAQMKLLVGLNRKAKLKYEEASQLATDAVGGIRTVAALCAEKNMMEMYDKKCESPRREGIREDVVGGLGFGFSFLVFYFVYALCFYVGAHFVQHGIATFPEVFRVRTHLPIYSARIDLFVLHVFFVLVLGTGAISRASALGGDTNKAIDAVVSIFEILDSKSKIDCSNEEGVTIANVRGDIDFQNVYFKYPLRPNIQIFKDLSLSIPSGKTVALVGESGSGKSTAIALLERFYDPDFSNIFLDGVDLRNLKVSWLRLQVGLVGQEPVLFNDTIRANIAYGKQGEASEEDIAAAAEAANAHQFISALPDGYNTIIGERGIQLSGGQKQRVAIARAIMKDPRVLLLDEATSALDAESERVVQQALDTVAIGRTTVVVAHRLSTIKGADIIAVLKNGTIAEKGKHEYDIGDDVQHVLG >Sspon.01G0024800-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1A:88223877:88224491:-1 gene:Sspon.01G0024800-1A transcript:Sspon.01G0024800-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVQQGGAPAPAPAAELEVSLREFTLSDADAEAFMSWASDPRVVRFQRRDAYEHVDQARRYIADHVLPHPWYRAICAGAGAPLPVVGSISVKPGPAEDGRLFRASVGYRVAYAHWGRGVATRAVRAAAEAVFAAWPWLLRLEAVADVENPASQRVLEKAGFVREGVLRKYILLKGRPRDMVMFSIVVDTDTDRRQLQQDKPDGP >Sspon.01G0005150-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:11438294:11442799:1 gene:Sspon.01G0005150-1P transcript:Sspon.01G0005150-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFIESFRVESPHVRYGPTEIESEYRYDTTELVHEGKDGASRWVVCPKSVKYNFRTSTAVPKLGVMLVGWGGNNGSTLTAGVIANREGISWATKDKVQQANYFGSLTQASTIRVGSYNGEEIYAPFKSLLPMVNPDDLVFGGWDISNMNLADSMTRAKVLDIDLQKQLRPYMESMVPLPGIYDPDFIAANQGSRANNVIKGTKKEQVEQIIKDIREFKEKNKVDKIVVLWTANTERYSNVCTGLNDTMENLLASVDKNEAEISPSTLYAIACVMEGVPFINGSPQNTFVPGLIDLAIKNNCLIGGDDFKSGQTKMKSVLVDFLVGAGIKPTSIVSYNHLGNNDGMNLSAPQTFRSKEISKSNVVDDMVSSNAILYEPGEHPDHVVVIKYVPYVGDSKRAMDEYTSEIFMGGKNTIVLHNTCEDSLLAAPIILDLVLLAELSTRIQLKAEGEDKFHSFHPVATILSYLTKAPLVPPGTPVVNALAKQRAMLENIMRACVGLAPENNMILEYK >Sspon.07G0008520-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:23211910:23212216:1 gene:Sspon.07G0008520-1A transcript:Sspon.07G0008520-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASATTLSGAGTLIFLIQSILTRMSARAKSQLCPTCIASSSLCLATTHPGFYAQAEPSSATTHFNCSTAPGLHHTLSPPLPINHWI >Sspon.01G0061120-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:90348903:90353365:1 gene:Sspon.01G0061120-1D transcript:Sspon.01G0061120-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRNQELEEVVPNDSDPLLGRENRESESSVELSPPQPASVSPPEIEDEETDGSSAACCRICLEAESEIGDELISPCMCKGTQQFVHRSCLDHWRSVKLDLEVKLYNAESWLPFLCHEGFAFSHCTTCKAQFHLRVETWEDNSWRKMKFRIFVARDVLLVFLAVQLTIAIIGAIAYFLDRDGSFRNSFSDGWDRFLSKHPIPFYYCIGVVVFFVLLGFFGLIVHCSSFNDNQDPCLAGCRNCCYGWGILDCLPASLEACFALVLVFIVVFAILGIAYGFLAATMAVAEPCTYVMQEYVVEDLHGNYTAPKLDPEHEERLKMLKLL >Sspon.06G0018480-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:67900817:67903567:1 gene:Sspon.06G0018480-3C transcript:Sspon.06G0018480-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWAARFLTAVSFLAAGVLFAPDALGAGGGSGSGAGAAAAARLVHVLAFATAWGAGLWVTFIGGIVMFKYLPRHQFGSLQGKMFPAYFMLISACTAISVAAFAYLHPWKTASTIERYQLGFLISALGCNLSNLLVFTPMTVESALLAQMMMKRHKMEKDLGIGTEVGYSKNAETAKRSPALAAMNRKFGMIHGLSSLANIMAFGSLAMHSWYLSSKLDL >Sspon.04G0005320-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4A:15495527:15496006:1 gene:Sspon.04G0005320-1A transcript:Sspon.04G0005320-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding FLSMKIAASNPVVSFDIVEDLFGRQLKQACSPAAALPAMVLPAGLQMSPMQQVHPSAAAGSTGFGLDMVVNNLYAPAAASCPVSAAPSVSVAAGPSTIEPCLNVNGTAAWDIGSQNLFSGFDAQFQSVESE >Sspon.01G0037190-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1B:20782759:20783016:-1 gene:Sspon.01G0037190-1B transcript:Sspon.01G0037190-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKSRTIPIVFLVFCVVFAAAVDSDEVPASFDVLLHLQLQPEKAASLGYDCFTLCTAGCFAAGFPGDYCDIVCERECADEAQKH >Sspon.08G0014640-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8A:59653438:59653707:-1 gene:Sspon.08G0014640-1A transcript:Sspon.08G0014640-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASITNLNTLMKVLLCAALLLSTTVVAARSHSEPDCRRLAVAPRSHSEPDCRRRLAVTVVAITGRRMLGAAAYFESKRESPSGSDPQHH >Sspon.03G0022310-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:86916217:86919498:-1 gene:Sspon.03G0022310-3C transcript:Sspon.03G0022310-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVQKQRRAPAEVFWPKIVLKKWLNLKSKDLDFGADDEEEEEDDDDGSDVDAQGNCGCDDDRGGGARRPAEDGAQITDESLESAPYKLRRRNSETLRAQYINTKELRVCVGTWNAGGKAPPDDLDIAEWLGTGGDAEPADIYVLGFQEVVPLNAGNVFGAEDGRPALAWESVIRGALRQAQPSRPRYRCYSHPPSPSRFDQPVDAAAAGDELRPGGTDTETDTDEDVPFSFPVRAEEYVTATPKKLSRLNHFSVVVDANGSEAELNGDELDDEPDQPPPPPQQLGLQQRALLRSLSRADRVGLVWPEQPLDLLPPRALNAASSFKASKSFKSFRGSFRSRVADAAAADDLPMIPDLDLDGALRKKSRSPFVRIVSKQMVGIFLTVWVRRDLRKCVQNLKVSTVGVGAMGYIGNKGAVSVSMSVYQTMFCFVCSHLAAGEKPGDVHKRNADVHEIHRRTRFAALGYLQLPRDIHDHDRIFWLGDLNYRLDASYERAHELISAGRWRQLAETDQLKRELRKGRAFDGWTEGVLEFAPTYKYELSSRRYVGVGDDQSHRGGGGRRTPAWCDRVLSYGKGLKLLRYRRSELALSDHRPVTAMYAAEVEVFSSRKLQRALTLTDAEVEAGQ >Sspon.02G0059960-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:104721166:104730012:1 gene:Sspon.02G0059960-1D transcript:Sspon.02G0059960-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTPSPLPRWAPTPSPSRPLWRPWGGGGTPEAHHHPAGGVGTGWWSLASGVFAWGGRGRHRGSASLDAAAAAGDDIACGVVPGRSGCELQVVLSAAAVAADAGAGGDPRAFLTWEDVGVTVAGGPRGAPDVRILDGISGHARPGEVLAIMGPSGGGKTTLLDTLAGRLGPGMNKTGLILLNGRQEKLAYGTSAYVTQDNVLMSTLSVREAMYYSAQLQLPDTMPLPEKRAHAERVIREMGLADAMDTRIGGRITKGISGGQRKRLSICIEMLTRPRLLFLDEPTSGLDSAASYHVMSHIARVATRDGMTVVAAVHQPSGDVFELFHGLCLLAAGRMVFFGTTSDATEFFTLNGFPCPHLRSPSDHFLRTINKDFDEETVESSKAKRKTAAEAIDILATAYRSSSYLEKTTEQIIEMKNMDGASFRRREQASFATKLLVLTRRSFLNMHRDIGYYWMRLAIYMGIGVCLGTIFFQVGYGYSSIQVFRKERLSGHYGVSEFVISNTISATPYLSVIAVLPGAMLYYLTGLTKGVDHFIYFVIVVCMCCLLVESMMMVIAAIVPDFLMGIIIGAGVQGVMMLNGGFFRLPNELPKPIWKYPCYYMSFHKYAVQGLYKNEFMGLSFPSDQLIESNVTINGIQVLKDKLQVELGYSKWVNLAILCGMIVIYRMMFFAIVKITEKIRQKMGGKRGCVR >Sspon.01G0019990-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:74278583:74289610:1 gene:Sspon.01G0019990-1A transcript:Sspon.01G0019990-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein arginine methyltransferase 6 [Source:Projected from Arabidopsis thaliana (AT3G20020) UniProtKB/TrEMBL;Acc:A0A178VA69] MVSPQGQVAPGAHPHPAAPPCTDYDVAYFKAYSHIGVHEEMLKDHVRTSTYRNAIMHHKDLISGKVVLDVGCGTGVLSIFCAFAGATRVYAVDASDIALQAMEIVRENELSDKVVVLHGRIEDVNIEEKVDVIISEWMGYMLLYESMLGSIIFARDKWLKPGGLILPSHASLYMAPVTNCQRYHDSIYFWRDVYGIKMSSMMPLAKQCAFMEPSVETISGENVLTWPTVVAQVDCYTIQAQELETITAAFKFTSMLQAPLHGFAFWFDVEFNGPVRQKSKKQPSQSSDGNAQNASPSSKKKKPDVSIVLSTAPEDAPTHWQQTLLYLFEPIELNKDQIIEGSVTISQSQQHARFLNICLKYFKVNGISIGLPQASRES >Sspon.08G0005820-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8A:18386934:18389207:-1 gene:Sspon.08G0005820-1A transcript:Sspon.08G0005820-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQGRGGSGAPAGGGGGGLAGWLGVDKPSSTYDLVEQMFFLYVRVVKAKDLPPNPLTGAPMDPYVEVRLGNYKGKTRHFDRRANPEWDQVFAFSKSRVQSNVLEVFLKDREMLGRDDYVGKVTFDLAEVPTRVPPDSPLAPQWYRLEERRGERGKVRGELMLAVWIGTQADEAFPEAWHSDAAAVRGEGVASVRSKAYVSPKLWYLRVNVIEAQDVQPQERGRAPEVFVKAQVGNQILKTSVAAAPTLNPRWNEDLVFVVAEPFEEQLVLTVEDRVSPRKDNLLGRVVLPLTLFEKRLDHRPFVQSRWFDLEKFGVGPAIEGETRRELRFASRVHVRACLEGAYHVMDESTMYISDTRPTARQLWKPPVGVLEVGMLGAAGLQPMKTRDGRGTTDAYCVAKYGQKWVRTRTMIGTFAPTWNEQYTWEVFDPCTVITIGVFDNCHLGVNSGNGGQPARDARIGKIRIRLSTLETDRVYTHAYPLIALQRSGVKKMGELRLAVRFTCLSLINMVHLYTQPLLPRMHYLHPFTVTQLDALRYQAMGIVAARLGRAEPPLHREVVEYMLDVESHMWSMRRSKANFFRAVSLFSGFAAAARWFSDVCHWKNVATTALVHVLLLILVWYPELILPTVFLYMFLIGLWNYRRRPRHPPHMDTKMSWAEAVHPDELDEEFDTFPTSRPQDVVYMRYDRLRSVAGRIQTVVGDMATQGERLQSLLSWRDPRATCLFVIFCLLAAVVLYVTPFRIVALVAGLYVLRHP >Sspon.04G0000300-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:2696527:2698020:-1 gene:Sspon.04G0000300-1P transcript:Sspon.04G0000300-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVSVYLERADMFRHLGRLLSWRSQGGRDLLVRTCAVSALSSALFTNDTCCVVLTEFILKIARQNNLPPRPFLLALASSANIGSAATPIGNPQNLVIARHLVRAVRVRHPPATLVGSVVNAAVLLALYWNQLDGGCKPAGGRGAEEVVAVPTEVVEEEDVTSHRFSPATMSHLLRRGSQQQGAAGYDEAVPVPVVAHQDPVKPANGVHHRRNGTTTAAVVNGKDPVNPLHEDDPDADADADADDWNSKAWKTCVYVITLGMLVALLLGLNMSWSAITAALALIVLDFKDARPCLEKVSYPLLLFFCGMFITVDGFNKTGIPSAFWEFMEPYARIDTPTGTAVLAAVILLLSNVASNVPTGHPAAGRPRGGVGGGHLPAAETNAWLILAWTSTVAGNLSLLGSAANLIVCEQARRSQQYGYTLSFFSHLQFGFPATLVVTGIGLMLIRTY >Sspon.01G0021290-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:78217874:78218148:1 gene:Sspon.01G0021290-1A transcript:Sspon.01G0021290-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWDEREWDRPMEFVPEARSGGDGEGVDVTGSREIKMMPFGAGRRICAGLGIAMLHLEYFVANLIGEFEWKEVPGDEVDFAETREFTT >Sspon.07G0027400-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7B:60170918:60172181:1 gene:Sspon.07G0027400-1B transcript:Sspon.07G0027400-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MERPDAVGVRSGRNAIWTFHPGLRCPSPPRAGPAGPTDDNEGVCTLRLYPSPALQVGAAVSVMMPLPITGAASRRRRLAAAPRLSMACGMVNRFPCLESHGDRAAQC >Sspon.01G0015720-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:51896270:51902587:1 gene:Sspon.01G0015720-2B transcript:Sspon.01G0015720-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LLLIFYSCEFNLFQFWSALSPRARHELLRLDKQTLIEHARKNLYCSRCNGLLLESFTQIVMYGKSLLHEGSCEPRIQEVEAEEVQDPSVHPWGGLSTTKDGILTLLDCFINAKSLHVIQNVFDNARAREREREMLYPDACGGGGRGWISPVIPNYGRGHGTRDTCALHTARLSCDTLVDFWSALGEETRSSLLRMKEEDFIERLMHRFDSKRFCRDCRRNVIREFKELKELKRMRREPHCTSWFCVADTAFKCEVFEDAILVDWHQSLLEQDGIYHHFEWAIGTDEGKSDILNFENVGMNGQVHRKGLDLDQFEDYFVTLRAWRLDGRCTEFCVKAHALKGQSCVHRRLIVGDGFVTITKGESIRSFFEHAEEAEEEDEDDVVDRDSNDPDGDIAHPQKHAKSPELAREFLLDAAAVIFKEQVEKAFREGTARQNAHSVFVSLALKLLEERVHVACKEIITLEKQTKLLEEEEKEKREEEERRERRRTKEREKKNRRKERLKGKDREKEKTLFRSKKSDDLSPLSLCNQAAPSNNESQDVLDLRYSDSEEEDNVVVREHYPDSSADQSSSKDSDERSNEHECSATAEFVPSDCDGSFLCDESKSSRNLRFRRDFPQEKDASYWYEDCRDDSGDTQWQSRERIRNNTRNYNAVFNANNRTRDRYNPCSCGHQEDYRYFSTATRSSRETKMSRKTVAEKPRLQYRRCYPPDSFAVSNGGRVGGTPNKSPGPKQVWEPMDARKKIGNGNSATGAADGSDQVECSKDISECEKVEVVCEPLAEICSEISAEACKSDTDQPCRQGEKNQSACSDGSKCVDKPNGFLTKDTGRTTNLTSSDSSSCLSEGDRDSSMSSMTSLSAQNVESSSTSDSEESSDRNNSSPGDPPAKNVSRSLLEMCAGNGFREYQPKGLNPPNGNQFGFMVSPLQDQMLHQQKVHAPPYSSTFMGFHNHPLAVPTNGYLPYPQPGHFYPGPMAPVGYGVAGNQRVDFPMQYTNNIHAYSGPEFGFLPSQPVHKTPVSFHAVPVPPLTTLCRSGVPVVINQERQQSPAPFPKLKQAVPDPETSCAEDTSKQKGDDADSTPFSLFQFNLPIGPPTLATTKEEQSGAMPSTATAPAQIARAQPCSREETNVKEYNIFSGCNGVMFQLN >Sspon.08G0002210-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:5199650:5200258:-1 gene:Sspon.08G0002210-4D transcript:Sspon.08G0002210-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCAFSSAGSAGALRPCAGVRVIHTNGYVEDFEGPGVVTVATVTGCLSDSSAAGNGNGNGGDNNNGKGYVLCSAAHLLQPGRGPFRPDDALQPGTVYFLLPQSVFQAESSAVDLACLMNRLTALARKGCATAAPKPSPLDALFDAAAGSRQPVAVPVAAAKEKEKDSPGRAAPWRPRLDRIDESFGRASMRSASSRSACSEA >Sspon.06G0005600-4D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6D:17473551:17475708:-1 gene:Sspon.06G0005600-4D transcript:Sspon.06G0005600-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSAIHTPLLLCTMALLLTGLVSPSTAGLSLDTVRDFLTREEDTIVFSLIERAKYPLNRPAYDPLHFGAGIGAEPGRRLNASFAELFIRESEAVQSKDLYPAAALVNVNDAIWSMYFNELLPLLAKNGDDGNYAVTADADLTCLQVLSRRINYGRYVAEVKFRGDQKTYTSLIQAKDRDALMKLLTSEAQEDVVKRRVEKKAVVFGQSITLDEPIQTDVNNSSQANFKVDPSVVYKLYDQWVIPLTKQVEVEYLLHRLN >Sspon.02G0008830-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:25207161:25209014:1 gene:Sspon.02G0008830-1P transcript:Sspon.02G0008830-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRTALRLLGHVLLAVASIAAAAAGDAGKIGICHGRVGSNLPPPSAAAALLKQNGITKARLFLPDPAVLPAFAAAGIDLMVGVPNENLTFLAESGPEGFYAPHLVPAMRNLHAALAALGLDGKVKVSSAHASSVLAASYPPSAGAFDAASLPVLRPMLQFLADTGAPFMVNTYPFISYVNDPANVQLAYALFGAGAAPVRDGVLVYTNLFDATVDALVAALEKEGFGAVPVAVTETGWPTAGHPAATPQNAAAYNAKIVERAVRGVGTPKRPGVPVEVFLFDLYDEDGKPGPEFERHFGIFREDGGKAYDINFA >Sspon.05G0018000-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:76973535:76977106:-1 gene:Sspon.05G0018000-2B transcript:Sspon.05G0018000-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGWLIISVAILRVQPDVKKLFLLIRAADVESAKQRVETEVTGREIFQILKEKHGNDFEGFIKEKICPLLGDVMYENLGLAPAKLREVCKEIDIIVNGAAPTNFYERYDVAFDTNVMGAKHICEFAKRCSNLKMLLHVSTAYVAGEQEGIVLEKPFHLGETLREGTQLDIESELNLIKETRRELKANCSSEKAERRTMKELGLKRAREFGWPNTYVFTKAMGEMLLGHLRGDLPVVIVRPSIITSVLNEPLPGWMEGIRTIDSFIIGYAKQALSIFLVELDLIMDVIPGDMVVNGMMVALAMAAHSEEQGQQQSIYHLTSSVRHPAPYAVLAEYGHRYFLHNPLRSGAGAKNSGEPVRPSRMRFFRTLPRFRAYMAVNFRLPLEILRLLNIALCGAFSRRYDELSRKYRYVMHIAELYAPYALFKGCFDDSNTERLRAVMADNNGRDKRSKGYDNFGFDPKCIDWDDYFYRVHIPGVVKYLCD >Sspon.08G0004210-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:12161395:12164573:1 gene:Sspon.08G0004210-1A transcript:Sspon.08G0004210-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVGSADSFNSILSKVQDEKLPAVFYYTAVWCGPCRAMAPLVSKLSSQYPKIPVYKVDIDMEGLGNKLHGLNICSVPTFHFYHKGQKIGEIVGADAKKLEVVMESLHKQQ >Sspon.05G0036650-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:5C:89241654:89242607:-1 gene:Sspon.05G0036650-1C transcript:Sspon.05G0036650-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSPVIKEEARSPKQAQATQQPSGSGERKPRPQLAEALKCPRCNSNNTKFCYYNNYSTTQPRYFCKGCRRYWTHGGALRNVPVGGGCRKNKRATGSVSSSGTSSSSSAAYAPLSPGTNTSSSKMSINTQLMMVPNMMMPTPTTTGLFPNVLPTLMSTGGGGNFNFTMDNQHASLPFMPMSLSNQASVPVLAAGGSETMPSFLEMLRRGLLHGSSSYDAGLAMSGNNNGMDMSFPLPAYGAMYGHGLSGSTTDDARQLVGTQQGVNTDGGFAGSAGVQEEEEEEEGDNKAMVKSSKSNNGGSLLDRYWTKPNNNNNKG >Sspon.02G0050830-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:68328259:68329056:1 gene:Sspon.02G0050830-1C transcript:Sspon.02G0050830-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTTWCPCCLILLALCSIFPPSVHVRKRNRGSAPLRGSRWTVCAGGAEVVCHGAGEQAVPTSKGQALADEYGIKFFETSAKTNLNVEQVFFSIARDIKQRLAESDSKPE >Sspon.02G0005480-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:16634392:16636662:1 gene:Sspon.02G0005480-2B transcript:Sspon.02G0005480-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MCCSWTLQLQESAGWRRLPVFASSAQRLGLPVRMFRAVSLMCPEFVQSFLAGFGASGALTSALRFTTKAAFENTRDGFREGAIRPRKGCLRGIPDCHGQPCRCRNHKAYPGQAPAARLSNKELPLQNKDLAADIYVLTLSVFPASCPRTLAHTAWAHGRCHLTCVYFVYVPSNKSCGFPIQPYVLVLIATYNAGDLVGRCLPLARRLRLAVRPDGGAQRIQGKSIGMFRSAVVPLFRALTVFSAVPLQGPEQNALGNVLVACLLAGISTGVALDWLWLIGKGW >Sspon.03G0025730-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:4622016:4623146:1 gene:Sspon.03G0025730-1P transcript:Sspon.03G0025730-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMKPVVIVAALAVLCVGLLSASSCLARDFSIVGYSEEDLSSHESLAELFERWLSRHRKAYASLEEKLRRFQVFKDNLHHIDETNRKVSSYWLGLNEFADLTHDEFKATYLGLRPSGGDDDDEEEEEGSPSLADGSSFRYEGVDAASLPKSVDWRSKGAVTGVKNQGQCGSCWAFSTVAAVEGINQIVTGNLTALSEQELIDCDTDGNNGCNGGLMDYAFSYIALNGGLHTEEAYPYLMEEGTCQRSSEKKKHKQSDAAVVTISGYEDVPRNNEQALLKALAHQPVSVAIEASGRNFQFYSGGVFDGPCGTQLGHGVAAVGYGTAGKDDSGHDYIIVKNSWGPSWGEKGYIRMRRGTGKRQGLCGINKMASYPTKN >Sspon.07G0002170-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:4077603:4082288:-1 gene:Sspon.07G0002170-3C transcript:Sspon.07G0002170-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding NGGGGKEKEPPCGGVGGVPGAGGGAGGAVPGAWSGGAQGPAERDGDPGERRAVHHLHPPDEVDAAGHAAQQPAEQLVRERVHGVRAHRQRLRQPQAGHAEQAVAAGAGVAGAVPRPAAVLLPRLLRDRQQPRPHAGLGLRRALHAQHHRRQQQPGERVHGRRRHPPRHAAARDAAARRLLRRHRAAAQRTVRRQAPGVGAARALQEARQGGIRRRGARRRRQGRRAALRRGRARRLELAGRSAPGCRGLLPVIHRHRHHQHQAPVHQQQHGTPRHPGGAPGGVVGAIRSAEGVAQAGGEGCPWASVAGRRRGGRGAADGHKQGAQGLAVQRVQAAAPRHARGHADQRAADGQLQRADHRGAHQRRLRQDEGRRAQRAVAAGADPDGALLRDAPVLQPLHARHPQRQGHHAGVRPRRPLQVRHRAVREQHQRVHGRQLDAAGQPRQQGLPARHLPRRQGAAPVRAVRPQAAHAGARARTRAGQVQDQEEEEVRRHRRAAHGRRRHLHLRRPEGGGRARRRRGGH >Sspon.06G0025290-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:65595072:65596556:1 gene:Sspon.06G0025290-1P transcript:Sspon.06G0025290-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAMAGESSIRRAWVVDVEKTLDEADASVEVTRWQRHSIYRVPACIKDLNRKAYKPQVVSLGPFHHGRDGELLPMEEHKRRALRHLLRRAKRPLEEFAAAVEDVAEQLESAYLDLGDEWRGADGRERFLEMMIVDGCFLLEVMKATEEDGRNNVSDYAHNDPIFSHHGVLYMVPYIRRDMLMLENQLPLLLLEKLVAVETGNPPSADVINRMVLRFMSGSPSPRLPPGSTLGLHPLDVRRRSMLYGPKQPPQVPSRDIAPDTTDIIRSAVELYEAGIRFRKTKSDSLHNIRFRGGVLSMPAVSVDDSTEYMFLNLMAFERLHVGAGNDVTAYVFFMDNIIDSARDVALLSTSGIIQNAVGSDKAVAQLFNSISKDVVLEPQSSLDAMQREVNAYCGKPWNLWRANLIHTYFRSPWAFMSLAAAVFLLVMTVMQTVYTVLPFYQQDQTSGGSPAAPAP >Sspon.03G0021620-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:65975130:65979990:-1 gene:Sspon.03G0021620-1A transcript:Sspon.03G0021620-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRMHRMQTRKSHSWWWDSHISPKNSKWLAENLEEMDKQVKEMLKLIEDEGDSFAKKAEMYFQRRPLLVNHVENFYRMYRALAERYDNVTGELRKGLALQSQGSGISETDSETQSISPSPEPNMEQNTAKPKCKTTAVGFDVFLGSGGSSDISKKGSDGSSSSSSSDSDSEVDEASEENGNGISYIMDGRITELEDELQEARQQIEALEAKNMHCQCEKLEESLKQVSNEKEDLVAAILASKNEIEDLKGEMASTTKHFEAQLVHRDHEIEKCKQEVEQVSEKYFHEKSALESEIERLQEVVKNFERNLTEVTGEKLQLEAQVKELEQVSNNLEDSSAEIIKLQEIIKDLQARLENDSNEKGVLEERAMELEQVRRQLEDSRVETRELQATIKDLKEDLEKALQEKAELQNRMKDVEQATSDLNSLVASLEGKLTATEAQLEQLHVEKAEASLESEKHLSQLIQAIAHLKTEIELLSSEKAEVENKVSVLLIDVTTRDEKLKEMDNHLHQLHLEHVKLIEEADIARKDVSGLRSRVCELEEEVEKQKLIISDSAEGKREAIRQLCFSLDHYRHGYQQLRQLLQDHKRPVVMAT >Sspon.01G0031140-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:106894593:106898071:-1 gene:Sspon.01G0031140-1A transcript:Sspon.01G0031140-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDESGEASVGSFRIGPSTLLGRGVALRVLLFSSLWRLRARVYAAISRVRSSALPVAASWLHLRNTHGVLLMVVLFALFLRKLSGARSRAALARRRRQYEKAMLHAGTYEVWARAAKVLDKMSDKVHEADFYDEELIRNRLEELRRRREDGSLRDVVFCMRGDLVRNLGNMCNPELHKGRLEVPKLIKEYIEEVSTQLRMVCESDTDELLLEEKLAFVQETRHAFGRTALLLSGGASLGSFHVGVVKTLVEHKLLPRIIAGSSVGSIICSIVATRTWPEIESFFTDSLQTLQFFDRIGGIFAVMRRVTTYGALHDISQMQRLLRDLTSNLTFQEAYDMTGRVLGITVCSPRKNEPPRCLNYLTSPHVVIWSAVTASCAFPGLFEAQELMAKDRFGNIVPFHAPFATDPELGPGASKRRWRDGSLEMDLPMMRLKELFNVNHFIVSQTNPHISPLLRMKELVRAYGGHFAGKLARLAEMEVKYRCNQILEIGLPMGGLAKLFAQDWEGDVTMVMPATVAQYLKIIQNPTYAELQMAANQGRRCTWEKLSAIRANCAIELALDESIAVLNHKRRLKRSIERTAAASQGHSNYVRLRTPTRVPSWSCICRENSSESLSEEISAVATSSTQQGAALIVGTPALSHHVRRNSHDGSESESETIDLNSWTRSGGPLMRTASADSTSSESTFPSDPRPNNSSSVTMQGRCTENSETKSCNFVNTRASQASTPTSSTVSEGDLLQPEALLTAQNDSVTELAESSCAEAYVATCDAISGSDCAEDNKDAADSSNHSLDMMIL >Sspon.07G0032440-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7C:50129357:50129623:1 gene:Sspon.07G0032440-1C transcript:Sspon.07G0032440-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVPHQATTLPWPFYWRFAVRAWALGAPQNQAVALRKLVASAICKSSALTYATGVFRCPNSGLNSGHEQYLDTVAILFLFGNNCPNID >Sspon.05G0028150-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:60117530:60121617:-1 gene:Sspon.05G0028150-3D transcript:Sspon.05G0028150-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFLSHIVIFWLNFLVDGVTNGLLWLPVRLNSRLSETSSTLLGRTVAIESRKQSYVPAEGTIFTNNQAVEHTRLSETSVSPRKEFHLQNLDLANDRTTYCCGRKSTEIVFSTQVPTSPSSRGHHYSNSPVPSRTFGQCPASPTSWQDDSRSSSSPQPLPLPPGSPCLPPRSLQWKKGKLLGSGTFGQVYMGFNSEGGQMCAIKEVKVISDDSNSKECLRQLNQCNETLSVYLEYVSGGSIHKLLQEYGPFGEAVLRIYTAQILSGLAYLHGRNTVHRDIKGANILVDPNGDIKLADFGMAKHISAYTSIKSFKGSPYWMAPEVIMNSNGYSLSVDIWSLGCTILEMATAKPPWSQYEGVAAIFKIGNSKDIPDIPDNLSSEAKSFLKLCLQRDPAARPTAAQLMDHPFVKDHATVRSSRSGITRDMFPTSTDGKNSMVKIATSSYRSLSPLRDPDIMIRNLPGPTSPIPSTSSRRITALYVLLLSDLLQFTYCELCLN >Sspon.08G0022820-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8B:51348676:51349496:1 gene:Sspon.08G0022820-1B transcript:Sspon.08G0022820-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVVFLFDETEDAKNAHLRALPGAAERLTLCRADLLDGGALRAAVAGCHGVFHTASPMTDDPLCLCT >Sspon.03G0011170-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:37515127:37518559:-1 gene:Sspon.03G0011170-2B transcript:Sspon.03G0011170-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPACCMQEHTRVSENRSQRNFANPVLAYVTPCDRNRLVLEGEHNFDAKWVSELQSNGSLVVPRIVLEAFPAVLLLEKKQKEKAIDLIVKSCTISFADKHMLYDGLTSGFNRDKGYDGIVLESWSRWAIYGVLDDRELRYMALQFLKQLGEALHSVSSKSSSHHLELIYVIPAPRMQKLNNQDFGPEDLMHLADTLDGFSLMTYDFSGPQNPGPSAPLKWVHDSLAALLSAKGSSHSNSHSRMIFLGINFYGNDFLLSRGWMNSTFQ >Sspon.03G0019140-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:79427934:79432098:-1 gene:Sspon.03G0019140-2C transcript:Sspon.03G0019140-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFCHSATRRRRPSRTNLPFAAYPRFANPVNCGLSKRKRKDLSPDLGILDDKSFKKLTVFLSLFYEMHFLASFHVPTVTMTAILIGNTGRGRTVEGTRSMNILTDARRRKRRSRRSTDSSDESGSEYESRSEEERPRKKRLHRRRHHRHSSRSDSEDYSSDEEEQRSAKDHSESRRRHHRSSDDDSDKDKGKVKSRHGKRLRSSDEDARSDSNSHNHHRSQSLEESSDDRDAAESGKMRNGKRSHKNGHRHRPHRHHRHHHERHSNSAEPNDKRQLLKDGERAVGSDSAN >Sspon.02G0054310-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:111893595:111894806:-1 gene:Sspon.02G0054310-1C transcript:Sspon.02G0054310-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQHHDCTTATLFTMPGRPPSSLSATPKLCRSASSFPTAPTDSREAFSAGPVAPFSIGVANSAPLASSTPVNSTRRQTSIPKQQEDVTMKVHVANICFSLYSRIAAVPATPWYAAPLQRDASRPRRCDMLHHDRTTVACSTVVPFTAPGRLPSSLSAAPGLYRSPSSHSTVLTDRRDAFSIGLVTPFSTGAASSAPPASSTPVNSTR >Sspon.07G0035180-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7D:2408666:2409204:-1 gene:Sspon.07G0035180-1D transcript:Sspon.07G0035180-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRFAGFATSGVLPNSARSKRRVVGEEKERKGEKEAQERRGWDWGPTSRCSFHRSKKAARAGAGIRHGELDRPETHPGTASYRARHGGREDDVRSDGTHRWPRPAHDGTNLRARPVATTRSVLERRGYVHIRGYAVAFRLVAPYQPRRLLLPNSGADF >Sspon.07G0020330-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:71357380:71367657:1 gene:Sspon.07G0020330-3C transcript:Sspon.07G0020330-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AMACFSSSTTKVSLRFGAKAFARNCLPAGYLHSVVSFPVSRTLDGGLCSPIRVENENRAYGSNLTFGALKGRHLNTTLSSTNGHVKVPVFCAPGNSGIAQSGDATCIPDLDITNSENVISFCHNWGVGLVVVGPEAPLVAGLANDLVKAGIPTFGPSSEAAALEGSKDFMKKLCDKYNIPTAQYQTFTNPADAKQYVKDQGAPIVVKADGLAAGKGVVIAMTLDEAFEAIDTMLIEGSFGSAGSLVIIEEFLEGEEASFFALVDGETALPLESAQDHKRVGDGDVGPNTGGMGAYSPAPIVTEELKKKVMESIILPTVKGMAAEGCKFVGVLYAGLMIEKKSGLPKLIEYNVRFGDPECQVLMMRLESDLAQVLLAACRGELGKVSLTWSPELATVVVMASEGYPGPYKKGTVIKNIDEAEQVSPAVKIFHAGTAFDTDGNFVAVGGRVLGVTAKGKDIEEARAKAYDALDVVDWPEGFYRHDIDTMLIEGSFGSARSHVIIEEFLEGEEASFFALVDGETTLPLESAQDHKRLGDGDVGPNTEGMAAKGCKFVGLIIEKKSGHPKLIEYNVRFGDPECQVLTMRLESDLAQVLLVACQGELG >Sspon.05G0020070-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:85680653:85682343:-1 gene:Sspon.05G0020070-1A transcript:Sspon.05G0020070-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNELSSCSQMFYPIPSLLFDCLEFREVSQKEQTQRTKINFSSLLKVPKNLLKSRDFQEECVLSAIQVLSAHFAQWSYHVSFPEVATIPLFLLKKLHEQTTIESLHRPIKRLIDQ >Sspon.06G0007620-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:26685585:26686669:1 gene:Sspon.06G0007620-2B transcript:Sspon.06G0007620-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MILENLSKIEHVPSTQFHDRPSDPEAPEEKEEDMDKRPPQRSRLWSGGAYDSDTEDPDNLKSESKDVTANLQMKDEPKNDL >Sspon.03G0042820-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3C:73325776:73325891:-1 gene:Sspon.03G0042820-1C transcript:Sspon.03G0042820-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRSSRAPRARIDFPNSQRVHSHQLSLRVLAWPRVQP >Sspon.08G0009170-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:35406299:35407537:-1 gene:Sspon.08G0009170-2D transcript:Sspon.08G0009170-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTYSESEAEQRHCVSAPSAPYSNVPTPSFSETLRHSRARTNYIMSQASKGMGMASTPNDAAVTFPTRLGGFVDSLEYVVTLGFGTPSVPLVLLMDTGSDVSWVQCTPCNSTRCYPQKDPLFDPSKSSTYAPIACHTDTCRKLAGNHYQNGCTGGGTQCGYSVEYADGSHSRGVYSNETLTLAPGVTVKDFHFGCGHDQRGPNDKYDGLLGLGGAPESLVAQTSSVYGGAFSYCLPALNSEAGFLALGAPPSANTSAFVFTPMRHLPGYATFYMRGWEAAGYPGTVVTELPETAYNALEAALRKALAAYPMVPSEDFDTCYNFTGYSNVTVPRVALTFSGGATIDLDVPNGILVNDWPDDGLGIIGNVNQRTLEVLYDAGRGNVGFRAGAC >Sspon.04G0002930-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:9042578:9058446:-1 gene:Sspon.04G0002930-1A transcript:Sspon.04G0002930-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMGNNKAKSEGARCRRHRQQGAGVCASCLRDRLSHLSLSASLPSVVRGEEAAEGYSRYHHDEASSCCSEASTAYSSEGSSAASSECASPGDDEMRRVSLLMRHERVVGDADAVAAFLRARREQRRRTTATSFWAKLLHATRGGGKKDQGCSMAARGKMLEERAAAAKWIHISCVVIIVIVKMTLRIREIQPLGGTYARH >Sspon.01G0060110-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:73968965:73972510:1 gene:Sspon.01G0060110-1D transcript:Sspon.01G0060110-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGEIVPNPTAALAAALAAALPPTAPPNPAAALATINIKTHLPVTLELHPPNYRAWRELFTTLLGKFSAGHHIDGTPVPDPVTPEWTTTDFSVRSLLYSSISPKLMNKVMTPGANARTIWLAIEAQFQNNKASRALALEADFRNLAQGNLSFSDYSERLKSYADGLADLGFPVNEPTLVLTLIRGLSPTLRHMGTLIKFRDPLPTFSTACSMLELEEADLKTPQPGEQTALVVAPQPPTPQPPASPSAPQQSSSAPRNNYKNRNKNKNRNSAPSSGRSGTPGGFRPFLNPYTGTFQMWPMPPTQGVLGPRPGVPHQAMFAGAPPGFPTAGTPAAAATTSATNTWDPTALINTLNSMTLQQPDWFMDSGASSHLSNNQGILSSTSPSHTPTNILVGNGSSIPITARGSVVFPSPTRQFSLSNVLVSPPLVTNLISVRKFTIDNLVSVEFDPFGLSVKDLKTKALLYRCNSSGDLYPFFTTPTSTRAVLLALAEDIWHRRLGHPSAKGIRFRLSCPHTSQQNGKVERVIRSINNILRTLLIQAGLPPAFWADALHTATHLFNLQPTKVLKNLTPHQALFGSKPSYSHLRAFGCRCYPNLSATTPHKLAPRSTECIFLGYPSDHKGYRCLDLKSNKIIMSRHVVFDELTFPYAARTRTPASSYSFLDDFADDDTVLLGAPAPLPGVPGSLSAGGSAPSPGTPGSTAPHRPAPSPDATEPAPSSSQAAARVPASLTGPAPMHGAPSSTSTSHATCVPSMHGAAGAGTSTLAPNDSSTRPPIMAVYTRRSRPVELSVEQRDPAPAPPAPQQASRASAPAPIPASQRSSEAQKVPIPPISNDHPMVTRAKSGFRTPATFQAAGPSPIPTSYKKALADPNWKNAMVEEFNALTANRTWDLVPAPPNANIVTGKWIYRQKLKSDGSLDRYKARWVLRGFSQQPGVDFEETFSPVVKPATIHTVLSLAISSNWSIHQLDVKNAFLNGTLEETVYSQQPSGFIDPAFPQHVCKLNKSLYGLKQAPRAWYTRFQSFILSTGFCSSKCDTSLFIRKSGDG >Sspon.01G0010360-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:28973533:28975153:-1 gene:Sspon.01G0010360-1A transcript:Sspon.01G0010360-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDSNVVVLAVGVAMLLLVASKLGSLLVTKNKKSKLNLPPGPWTLPLIGSVHHLVSNPVIHRGLRDLSRKHGPLMMLRLGEVPTLVVSSAEAAEAVTKMHDTAFADRYVHATLAVLTFNGTDLAFGAYGERWRQLRKICVLELLSAARVRSFQRVREEEVARFVGSLAASASAGAAVDMTKMISRLINDTFVRESIGSRYEHRDEYLDALDTAMRQTSVLTVADLFPSSRLMQMLGTAPRKALACRNRITRIMDEIIREKREAMAVDRGDKAAAHDALLGVLLRLQKEDTLPIPLTNDTIVALMLDLFVAGSDTSSTTLNWCMTQLVRNPAAMSRAQAEVREAFKGKSALTEDDLLAAAELGYLKLVVKETLRLHCPVPLLLPRRCRETVQVMGYDVPMGTAVFVNAWAVCRDPKYWDDGEEFRPERFEEKKSSGVDFKGTNYEFLPFGSGRRMCPGVNLGLANIELALASLLYHFDWKLPSGMEPKDVDDGEAAGLIVKKKTGL >Sspon.02G0025080-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:70157830:70161249:1 gene:Sspon.02G0025080-3C transcript:Sspon.02G0025080-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSENTGAPLEEEQPQAPPTPNPEPSEVAPGEVEEEPQTLERAQELFDRGAKAIDDEDFVEAVDCLSQALEIRTSHYGELALECASTYFKYGCALLYKAQEESDFLGNVPKSVPNEESVKSTASKDDSGTSKVSGTNVEDVVSSEKADAEEGQNSNGKDQEKGNGEVEKDDDDDDDVDEKMGDEEDNDLDLSWKMLDIARAIVEKSPENTMEKVKIYSALAEVATKREDIDNSLSDYMKALSMLEHLVEPDHRRVVELNFRICLVYELVSKIGDAIPYCAKAISLCKSRIQSLKSSKDALLAGKDGDASAAEAEGGSEKSDAEKELEQLTSILPDLEKKLEDLEQANPSPAMEEMVKTIASRELKLN >Sspon.07G0019440-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:71635852:71636554:-1 gene:Sspon.07G0019440-2P transcript:Sspon.07G0019440-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSPCCEKAHTNKGAWTKEEDQRLVAYIKAHGEGCWRSLPKAAGLLRCGKSCRLRWINYLRPDLKRGNFTQEEDELIIKLHQILGNKWSLIAARLPGRTDNEIKNYWNTHIKRKLIARGIDPRTHQPASAAAVAPAPAAAAAPSSHRHHADDKAVVVRSSCGSRDSTSGSVPHQLGLGGGIDLNLSLSPPTSQPSSPAAAN >Sspon.06G0015740-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:68173268:68179440:-1 gene:Sspon.06G0015740-3D transcript:Sspon.06G0015740-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding PSMPGAFHSLLPLKRKRKSKRGRRRSHPKENHLAASAGDPSFHLKSSTSSSYRDIGCLVEPLGNLFLSATPRGNLRDAGLGAFRPLPDDLLLEVLGLLPARDLAALSAASKALYVVASHDPLWRALVLDELGGAFDFAGSWRATYIAAAASGGQAYLIPPRALKIKGFYSDYLFQSWLCANMEMRHEWLARDNIERRRGMSVEQFIAEVEEPNRPVLLEGCIDTWPALQKWSRDYLLEISAGKEFAVGPVSMPLDRYFRYADNVQEERPLYLFDAKFAEKVLEMGRDYEVPEYFREDLFSVLGKERPDYRWVIIGPAGSGSSFHVDPNSTSAWNAIIKGAKKWVLFPPEVVPPGVHPSADGAEVTSPVSIMEWFMNFYGACKTWEKRPIECVCRAGEVVFVPNGWWHLVINLEESIAITQNYVSRRNLLSVLDFLKKPNASELVSGTKDRVNLHDKFRGAIEAAHSGMIKQLELEAQQKAAAQKKKASFWDSAADDTGLQSIYLELSIPEASGKIA >Sspon.08G0030040-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8D:54479230:54481001:-1 gene:Sspon.08G0030040-1D transcript:Sspon.08G0030040-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPECSRRDASPSATLLIVSIALYTVLAAASSPKALASSSSLSSRAALEQQLPLSDDLTTDQERAGRPGEETRRPLSVEQELDVARAAIRRTAQRHGDAGRAGNGNGNVSSANSWFDAGVEHALLATVYRNPAAFHRSYTEMEKRFRVYVYEEGEPPILHDGPCKNIYTIEGRFIEQLELMAPSSPASSSRQGSRRRRAASGVRTSEPARAHAFFLPFSVSQMVQFAYRPNTYDKTPLRAIVADYVRVVASRHPYWNRSAGADHFMLACHDWGPAASMGHPELYANGIRALCNANTSEGFRPGQDVSVPDINLYDGEMPRELLEPAAPGVTSRPFLAFFAGGRHGHIRDLLLRHWKDRDPDVFPVYEHRHDDAFDYCSFLRRARFCLCPSGFEVASPRVVEAIHAECVPVILSDGYALPFADVLRWEAFSVAVPVADIPRLREVLERIPAPEVERLQQGVRLVKRHFLLNQPPQRLDMFNMILHS >Sspon.01G0021010-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:77393154:77393441:-1 gene:Sspon.01G0021010-1A transcript:Sspon.01G0021010-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding WRSRRWRCSWPWRWLWWRRRAPAGRRRSSATRRSWRCARRRSSAGRRPRRRAAPTCARSSRASASTRATRRTAATSTAPTPAARSPPAASPSRAAR >Sspon.01G0026570-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:92856560:92857012:1 gene:Sspon.01G0026570-1A transcript:Sspon.01G0026570-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHASRTRVMLPVVLSLCAVALLLSPASSADPESLGAAVGGGDSWHAESPAEAPTGVAATLKWTEDDVADGIGAPLPAGRQALRPRRHPSSALSPDARRGLDHEARCGPRVSVGRGVSQWPGWEPRCRGGATHGGDAGAPAVHRLQPLFDE >Sspon.02G0025310-1T-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:95930506:95931110:-1 gene:Sspon.02G0025310-1T transcript:Sspon.02G0025310-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPPAPFLLLLLLAALAGADDPYRYFTWTVTYGPITPLRTTQQGILINGQFPGPRIDCVTNDNLVVTVINALDEPFLLTWNGINQRKNSWQDGVAGTNCAIPPGAKL >Sspon.03G0025310-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:76537266:76540410:-1 gene:Sspon.03G0025310-1A transcript:Sspon.03G0025310-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSALGTLTGGAAGVSGLLRLRRRAAPAPAIAAPSHLPAGTAKCAALPDAAPIVWGRQLRPALLLPAALLQSSQPARKHNLRPAAAAAESAGEAKGFLEKYPALVTGFFFFMWYFLNVIFNILNKKIYNYFPYPYFVSLIHLVVGVAYCLVGWSLGLPKRAPVNANLLKLLFPVAVCHGIGHVTSNVSFAAVAVSFAHTIKALEPFFSAAATQFILGQQVPFSLWLSLAPVVIGVSMASLTELSFNWTGFINAMISNISFTYRSIYSKKAMTDMDSTNVYAYISIIALIVCIPPAIIFEGPQLMSHGFSDAIAKVGLTKFVSDLVLVGLFYHLYNQIATNTLERVAPLTHAVGNVLKRVFVIGFSIVVFGNKISTQTGIGTSIAIAGVALYSYIKAKIEEEKR >Sspon.02G0008240-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:26989074:26990735:-1 gene:Sspon.02G0008240-2C transcript:Sspon.02G0008240-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHLYIRDNIYNPDVSLINSLLDMYAKCGQVDTAISLFSEMCNRNVVSWNVIIGGLALHGRALDTITFFRSMVRDYFSPDGITFVALLSACSHGGLLETGQHYFESMRHVYNVKHEVEHYACMVDLLGRRGHLEKAVCLIKEMPMKPDVVVWGALLGACRIHGNVKIGRQVIKQLLELEGISRGLFVLISNLLYETHQWEDMKRLRKLMKEWGTRKDMAVSSIEINNSIHEFGVEDIRHESSSEIYAVVDQLSYHLISLHLLAVHPEDLCVVECHGSLGKPLDQLD >Sspon.05G0037610-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:17324991:17329002:-1 gene:Sspon.05G0037610-1D transcript:Sspon.05G0037610-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGGIARGRLAEERKSWRKSHPHGFVAKPETLPDGSVNLMVWKCVIPGKEGAWKASITVRQILIGIQDLLDNPNPASAAQDICYRLYKKDMPKYKDHVRQQAKHYPSVV >Sspon.01G0022400-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:82029056:82032627:1 gene:Sspon.01G0022400-1A transcript:Sspon.01G0022400-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRAAQQRRALWRVVGYVYGARRRNSGDLSPRRRLAIQNHPRPTPLPPEPAGFNNDIGASVDIPLDNKKDLKKKERELMAKEAELNRREQEIKRREEALARAGVLIEPKNWPPFFPIIHVDISNDIPVHLQRVQYVSFASLLGLVICLFWNILCVTAAWITGHDPRIWFLAVIYFITGCPGAYFLWYRPLYRAMRKDSAFSYGWFFLFYFFHIAFCIYAAISPPFFYVGRSLAFIPLIPFVADKYFCFQIMYFMGFALFVLEALLSIWVFQKVYWFFRGKGSEAQMRPDAASRAPPF >Sspon.06G0028380-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:5762853:5770817:1 gene:Sspon.06G0028380-1C transcript:Sspon.06G0028380-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein DOT4, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G18750) UniProtKB/Swiss-Prot;Acc:Q9SN39] MATPPLSSVSTHHRAPPPWPPPKTATSPRTSFRCGVLAPTAGQVLEAATAAPSSRSTKNPPSRVLSSDVNLQIQRLCQADDLAAALRLLGSDCGVDVRSYCAVVQLCGEKRSLEAARRAHALVRAGTGGIGSVLGKRLVLAYLKCGDLSGARTVFDEMPPQVADVRVWTSLMSAYAKAGDFQEGVSLFRQMQCCGVSPDAHAVSCVLKCIASLGSIEEGEVIHGLLEKLGLGEACAVANALIALYSRCGCMEDAMQVFDSMHPRDAISWNSMISGCFSNGWHDRAVELFSKMWSEGTEISSVTVLSVLPACAELGYDLVGKAVHGYSIKSGLLWDLESVQSGIDDALGSKLVFMYLKCGDMGSARMVFDAMSSKDWHSTNQIFRSMAQKNVVSWTAMITSYTRAGLFDKVAGLLQEMVLDGIKPDVFAVTSALHAFAGDESLKQGKSVHGYAIRNGMEKLLPVANALMEMSMEEARLVFDHVTNKDIISWNTLIGAEGWKFFNAMRKEYKIEPKLKHYTCIVDLLCRTGNLKEAFEFIESMPIEPDSSIWVSLLHGCRIHRDVKLAEKVADRVFKLEPENTGYYVLLANIYAEAERWEAVKKLKNKIGGRGLRENTGCSWTEVRGKVHVFIADNRNHPQWDRIAEFLDDVATRMRGEGHDPKKKYALMGANDAVHDEALCGHSSKLAIAFGVLNLPEGQPIRVTKNSKVCSHCHEAAKFISKMYNRDIILRDSSRFHHFEGGRGPRTKEIRLGDLSQQPGRTMPSLRRISAHRPAYEAQKVSAGDEVHIHRSSVGTERVAACVLQKIGLIGAFRESKQIVMREVAYMNGIFEGFKRRKDGGKRMGLKFDRVKYWLSIGAQPSDPVERILFHAGILPPPPALTMAHKGGPRDRHPIDPMTGRPLDIEGLTIVDDPSTPQSENGAPIEEVFLLTEATQCSRNAKAVSPRNLFFGGCNPKHYKACNHTSQISAQFS >Sspon.05G0023480-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:4434238:4437206:1 gene:Sspon.05G0023480-2C transcript:Sspon.05G0023480-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHTSTAFSEPPAGDGAQPSERNRQRPRSALRGALGVAFPIAVSFLFSFLVGLAGLALGGLSSTASVSMPSTCRILSTGVDLRSSKVCELGLLNYRAKHIFYPSSKRRFRCHDDYYWASVLQVTICVVMHFPKHISRRTSKNVEYTEYFSGQVNESYSCRYMLGSNKADIHSDKLFNCTAEEPSTTELLKRILILFSEMYVSEDFSSERMLGYVAAGVVLGMLSSMFITVLFRGFYGLLLAAARWAVRKHRIRAFTSRLKRACLLVAYVSAVGWITLQYSKFIGLKELLSDSELME >Sspon.02G0045780-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:100684989:100687599:1 gene:Sspon.02G0045780-2C transcript:Sspon.02G0045780-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVDVADAHSVEPGSGVGVLGCAFWRTTMGPFLCYEPVSRCADLVPAPAEVMQWRYWELGEMEGTLCVTCMDERVQAVVVIRLDFARRGAVSWSLAGHFEGGCLRGRQHVQLLRSQGKAEVVMWDPGSETVVAMDIEGRTTRTIKFIPGSAYYADFIPYVRSLAAVSGSQTG >Sspon.02G0028770-1P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2D:97219947:97223033:1 gene:Sspon.02G0028770-1P transcript:Sspon.02G0028770-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAVGARAAGELRLPTAVPCVAVRPRSCALPRVTVPRGGLPAAATKISAVPRRNVLSTMLSTSSILLLGPRQITLAETTGGAFREYIDIFDGYTFLYPKSWIQVKGAGADIFFRDPFVLDENMSVEISSPSSSKYTSVEDLGPPEKAAEKVLKQYLTEFMSTRLGVRRESNVLSAVSKVADDGKLYYEVEVNIKSYASNNELAVMPEDRVQSLEWDRRYLSVLGVENKRLYELRLQTPEQVFMQEEEDIRRVMDSFR >Sspon.03G0005090-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:14537771:14543247:-1 gene:Sspon.03G0005090-1A transcript:Sspon.03G0005090-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQFGDTTYTKVFVGGLAWETQKETMRKYFEQFGEILEAVVITDKNTGRSKGYGFVTFRDPDAAMRACVDPAPVIDGRRANCNLASLGVQRSRPPTPQHGGARNFRVMKSFSQQAGIQGGLGAAFPSHATFPHYAIPQGLPYHVYGYSPYTPDYGYPTNFYNIYGGAQYPFYGGAAAGMVTGTSPFYPYFQFGQSGNTTTNYTSGQGYNLQYPQMFQFSTVSSTAAAVTGFAQHYGGPLHDYGTHSSNPANSNTSCPSLPVDSLALCSVRCSRATLGLTMVSILIVAVLSRFQRVESMHPSLTPKGTIVDHVYQPKCSPPYPTWSCGHWILALAPGWAQSFMPQGWGVLTDKYKSQPF >Sspon.01G0004350-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:11244855:11248482:1 gene:Sspon.01G0004350-2C transcript:Sspon.01G0004350-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRISVPSMRATAGSASASATALAAAMNMKQQPHGASTLLALLSLSLLLLRLLLRLRLAAFRDAALSLHLLARLRLRPVLLRLHDGGSGGSATTLRVWCPATPSPKPPLLLLHGFGGDAKWTWARNLPRLSRHFHVYAPDLVFFGAQSRSASPLRSVAFQARCAAEAMRLLGVPRYDVAGISYGGFVAYRMAAAEASDAVGRLVIMTTGVAATPREMRAMAAREDRPVEEALLPDTAEGLRLLVRRSMHRPPPWMPDFVLDDFIQLMYVDQKRERAELLHELLKNGAGFDPLPVLTQLPLLQETLIIWGDKDQVFPVDLGHRLHRLLGERSRLEIVRDAGHALQLEGADHVNRFIKSFLLDERTGPGAGRK >Sspon.06G0035950-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6D:87592581:87596803:-1 gene:Sspon.06G0035950-1D transcript:Sspon.06G0035950-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHSTARPPPVCGLDPRAAGAGCLPVGPLPAPSRTAGAERY >Sspon.03G0004820-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:13603167:13610650:1 gene:Sspon.03G0004820-1A transcript:Sspon.03G0004820-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLTARSAAAAAASAGSRPPQSPLRLTDAPCHPPFLLPDQSLLLHPLYPRQPDSPNSPNPSAKIPIPVAAIGAGFSRFPAGAGIASPHDAASKLMNSMDEINLLQQAQRQHQHHLMVRGIGEEIDLEIGPGDDPSFSGASLVAVTSTHDTVVHADDHKSLLIPCSQTGAADGLAPQQHLAQGEEEHDGMLRQPSGHTKKKKKVVKKWREEWADTYKWAYVAVHDNTTRIFCSVCKEYGRKHRRNPYGNEGSRNMQMSALEEHNNSLLHKEALRLQLASKEKLQPPEIERPVYVKALSKTAASILECVLRRDPHEAEYIQSIQEVVHSLEPVLVKNTQYIQILERLLEPERCFIFRVPWIDDRGEAHVNRGFRVQFSQALGPCRGGLRFHPSMSLSVAKFLAFEHTLKNALSLYKLGGAAGGSDFDPKGKSDNEIMRFCQSFMDELYRYLGPDQDFPAEDIGVGPREMGYLFGQYRRLSGHFQGNFTGPKIFWSGSSFRTEATGYGLVFFARLLLAEMNKELKGLRCVISGSGKIAMHVLEKLLPCGAIPVTVSDSKGYLLDEDGFDYMKYSLLRDIKAQQKSLKEYLKSYPHAKYIDGAKPWSEQYDVAFPCASHNEIDQGEAVAIINSGCRVLVECSNMPCTVQAVDILRKAKVFVAPAKATAAGGVALGELELNPEFNLMQLSVEDFENKIQDAIKQTYERSVKAAQDYGIMKESPESLVHGANICAFLNIAQAMADQG >Sspon.08G0027670-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8D:704748:706292:1 gene:Sspon.08G0027670-1D transcript:Sspon.08G0027670-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MINDNLDTRGGNPGCLISELGCFITMPTSLQEWAHPANRFLCEWFTAHPLPFPPARLLLMCSRLTSGSLKPLPLEVVPHVGSSTAAAP >Sspon.03G0032220-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3B:35809674:35810022:1 gene:Sspon.03G0032220-1B transcript:Sspon.03G0032220-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ACRVSCAVRFSRRHPPASSSLFSNTLASRLPPPTPAVSLPPGLPTVVPRRTKYKGKVEVHCSRPCPNSYHPVVRHRPLGGAPITELAASQSATTTVSTYLPKPSLLNPKEEEAVV >Sspon.03G0028840-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3B:12118764:12120568:-1 gene:Sspon.03G0028840-1B transcript:Sspon.03G0028840-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRQDARSRVYDYCSKCSTSYTYRDRKAGDLFLTQTTLPPSGSLRSPMTLSKKPRDNNHGSHYPGTARLPGRTLGSLFASPLHPLQHQSANPSLSRLEKCH >Sspon.07G0035310-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7D:5325476:5325712:-1 gene:Sspon.07G0035310-1D transcript:Sspon.07G0035310-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAIHLDVRAQPVAISVWNWITFRSQASASGAGNMLFCSSALSLTPCCPCSHALDVAGTEPDPYGHYTHSSAGAALT >Sspon.02G0032290-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:112261453:112268601:-1 gene:Sspon.02G0032290-2B transcript:Sspon.02G0032290-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Xylulose kinase 2 [Source:Projected from Arabidopsis thaliana (AT5G49650) UniProtKB/Swiss-Prot;Acc:Q949W8] MGGAGGDCCLLRLPDDSLFLGLDCSTQSLKATVLDAGLGIVATDSVHFDSDLPHYGTRGGVLRDQDPDERGRIVSPPLMWAEALDLLLGRLRSRADLRRVAAVSGSAQQHGSVYWAKGAGAALAALDPAAGEGLAPQLAAAGALAAPESPVWMDSSTAAQCREVEAAMGGPLRLARLTGCRAHRRCTGPQIRKMHQTRPQVYEATERVSLVSSFMASLLVGGYACIDETDGAGMNIMDIDTRQLRQDALQAMAPNLEERIGKLAPAHAVAGKIAPYFVQRFQFSSSCLVIQWSGDNPNSLAGLTLSNPGDLAISLGTSDTVFGVTDSPEPTLEGNIFPNPVDPKTYMVLLCYKNGSLTREDLRNRYAERSWDLFNRLLEETAPLNGGKLGFYYKEHEILPPLPVGFHRYIVKNFTSGPLDEMVEEEVDKFDPPSEVRAIIEGQFMSMRGHAEQCGLPVPPKQIIATGGASSNPAILKIMASIFGCPVYTSQRSDSASLGAALRAAHGWLCKQQDEFVPFSCVYSGRLDGTSLGMKLAVPFGDCEGDTELLNNYTLLVKKRLEIEQKLIARFGGPEVYDTNTKEFAS >Sspon.03G0008640-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:23219102:23222324:-1 gene:Sspon.03G0008640-1A transcript:Sspon.03G0008640-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVTSTIAARFAFFPPTPPSYTVVVADAATGRLTIPEISRAPSRRRRRDGDGGSSSASSVAAAEEEDGAEVVRLRTRRGNEIVGVYVRHARASATMLYSHGNAADLGQMYGLFVELSRRLRVNLFGYDYSGYGRSTGKPTECNTYADIEAAYNCLKEKYGVADEDIILYGQSVGSGPTIDLASRLPDLRAVVLHSPILSGLRVLYPVKRTFWFDIYKNIDKIGLVNCPVLVIHGTSDDVVDCSHGKQLWEQCKVKYSPLWLSGGGHCNLELYPDYIKHLKKFVSSVSKKTSKPEPKEITAKDGTTSKETEETEEAYSQKPQEATKCSQISRKSLDSRVGKSKTVDVPDKPRMSSDDIDKFRRRRCLVW >Sspon.08G0001760-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:3773414:3774130:1 gene:Sspon.08G0001760-2C transcript:Sspon.08G0001760-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSTEPARGPYYASAAAAPTAGGVEAPTQHYKARHGVQQIRQEERHRSSGLVWALVILCTVLAIGVIVTGATVFAVYLLYKPKTPYLLVSDARLETLVYDQSGTIRYLQLALTVLAENSNSKTDATFSRVNLAVGFRGAEVALLRAGTFAVPRRSSFPLRYQVVSAGRQLSPQGMEAMAGALRAGVVPLDLFGKARTTWKVGIFASLQFWTRISCRFLFNYPGNGTALPIDCRSKSP >Sspon.01G0014390-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:42010074:42011483:1 gene:Sspon.01G0014390-2C transcript:Sspon.01G0014390-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPRRYLVALLALLCACTTTAPPASVAAANVPITTCRSFCGNITVDYPFALHPGCGHAGLRDLLFCINGALMLHLPSGSYRVLDVDYAYRGLTLHDPAMSDCRALDLTPAGRGNGFVLEPWREPYLSPDPDNAFLLLGCRATSPLFQGFPDRHLPCRNVSGMGCGDYLGCVAWDDYYAGGGGRRPSGDAAYGAAAGQPPECCAVPWAAIRAVNVSRLECEGYSSAYSLAPVRAERGAAGWAYGIRVSWTLPESNRGFCGACRATGGACGHDMESHADLCLCGDWNSTSNCDSSADAARSGAAAATPRAVAGLRWAILASGLTSLWYYASRSNL >Sspon.02G0021420-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:75854511:75854732:1 gene:Sspon.02G0021420-3D transcript:Sspon.02G0021420-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding PMSRVVAMLTGKTEVADEVAKPSYVTDWQFRGGKSSCTTSSYWGSTSTPELSRQREIDPLTQSPTITGASQELE >Sspon.02G0013660-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:15487632:15489045:1 gene:Sspon.02G0013660-2P transcript:Sspon.02G0013660-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MELGLSLGEAAVPDAAGRAAALELGLGLGVGIGASAAGTGRGGEGGRGISRAAGTGTGWWAAPATPEPAVRLSLVSSSLGLQWPPSDAGFCHAGRGEAPAARGFDVNRAPSSVAVSGLAALEDDEDDPAGAAALSSSPNDSAGSFPLDLGGGPRAHAEGGAAAQAGGGERSSSRASDEDEGASARKKLRLSKEQSAFLEDSFKEHSTLNPKQKAALAKQLNLRPRQVEVWFQNRRARTKLKQTEVDCEYLKRCCETLTEENRRLHKELAELRALKTAPPFFMRLPATTLSMCPSCERVASGPNPASTSAPAASSTPLAAATSYAAAVAAPVVRGEHRPSSFAALFAATRSFPLPSQPRPPAPASNC >Sspon.05G0009390-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:22318696:22323527:1 gene:Sspon.05G0009390-2B transcript:Sspon.05G0009390-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSAWAVRLASLLAFGLVLVSVEASIGDVDPRYRTCVRECQTTGIIGENVISHCQSKENDTSVGGSWYNQEQIYIQWKQLNCMPSSDEIPSVKQLFLSLVLGDVSTKSDMLHQEPLSAALSAVNLLMHFTGWLSFFLLVNYKLPLRPQTKRTYYEYTSLWHIYAILSMNAWFWSTIFHTRDIDLTEKLDYSSAVALLGYSLILSLLRAFNVKDEATRVMFAAPILAFVTTHILYLNFYELDYGWNIKVCVAMAVVQLLTWAVWAGVSRHPSRLKLWTVVFGGALAMFLELYDFPPYMGYADAHSLWHASTIPLTYLWWSFIKDDAKFR >Sspon.03G0004390-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:11327745:11328515:1 gene:Sspon.03G0004390-1A transcript:Sspon.03G0004390-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGHRMTTTGDPPAPLVAREEGGGEDQDDAAEAGCGGGRGDGGKAKRRQVEAVLAELMTSGRTRPVQHTGGR >Sspon.07G0011340-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7A:39393746:39396197:-1 gene:Sspon.07G0011340-1A transcript:Sspon.07G0011340-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHSMPCVDHVEQLCDTYGATKLKRWPFPRQASYRATEQLELVHCDLCGPVSPTSLGGRCYFLLLVDDATRYMWVVLLDSKAAAADSIKRHQAAAEKECGRKLWVLRNDNGGEFTTAEFTAYCSDEGIQHHYSAPYSPLQNGVVERHNQTVVAATQALLKQHGMPMVYWGEVVMTVVHLLNHSPTSALDGKTPYEAWHGRKPVVSYLRVFGYLAFVKELNHIGKLDNCSSPGVFIGYAKGAKAYRVLDLATRRVHVACDVVFDEGRGWECDKAVDDGSAITLRDFTVKYA >Sspon.07G0024650-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:27391892:27393518:1 gene:Sspon.07G0024650-1B transcript:Sspon.07G0024650-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRHHASSSTRTAPTLFRTSNRQRHPPITWSSEVAEKTPTTTKRKWKGRLAFTLNDEDEDEECDVFSGSWVRDDAEHPLYREEACPYIHPQLTCQARGRPDTAYQRWRWQPHDCRLPAFDAVRMLEALRGKRMLYVGDSLGRGQFASMVCLLQSAIPDTGARSFEMSADQQHTVFTAREYNATVEFYWAPFLLESNSDNAAVHRISERMVRRGSIGYHGRHWEGVDVIVFNTYLWWCTGLRFRILNGPWEDAGKVTAWEWVSTEEAYGMAFRDMLQWVRDNMDFNTTRVFFTSMSPTHQKSQDWGDAPGHNCYNETTMIEDPGYWGSDGRRSVMRVIREILDGDGADVPLTFLNITQMSMYRKDAHTSIYKKQWSQPTAAQLADPKTYADCVHWCLPGLQDTWNELLYSKLFYP >Sspon.01G0059050-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:38712765:38713171:1 gene:Sspon.01G0059050-1D transcript:Sspon.01G0059050-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RKSRTHERAAALEEARRRGREEPRSICRPPPIPGWLAPASRHPARQARAAVPAWHAGSTAAGRRAGRRPPLPTALELGCPLNFHNEDTVTIWGRARGSWRTGG >Sspon.05G0013520-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:47515097:47524331:1 gene:Sspon.05G0013520-1A transcript:Sspon.05G0013520-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DAIYFMQPTKEKYRIFMSDMSGKNSLYKKYPVQRELVAHIKKDSTVLTRISALSEVNLEYFAIDSQVFITDHDKALEELFSEDAEGSHKYNACLNTMATRIATVFASLRVFPRVHYRVARTIDASTLTTLRDLVPTKLAAAVWNSLARYKSTIPEFPQTETCELLIVDRSIDQVAPIIHEWTYDAMCHDLLCMDGNKYVQEVPSKNGSANEKKEVLLEDHDPVWLELRHAHIADVGGELSTKQLQKMVQALPQYSDQIDKLTLHVEVAGKLNNIIKEQHLKDVGQLEQDLVFGDAGTKELISFLRTRMVVSRENKLRLLMIYASINPEKFFESEKGAKLMQVAGLSADDMIVVNNMRCLRGPDTKKSSVGAFTLKFDLQKVKPGIRKERIGEESTWMLSRFYPILEVLIEKLSKGELPKDEYHCMNDPSPSFRGIPGSTSAQTSPAHQPAQSMRSRRIGGTWARPRNSDDGYSRFSVLKHASSDLRKLGQRLFIFVIGGATRSEVRVAHKLSGKLKREIILGSSSLDDPPQFITVLKMLSTEELSLDDLQI >Sspon.01G0006600-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:17388936:17393465:-1 gene:Sspon.01G0006600-1P transcript:Sspon.01G0006600-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSFLSKDTHAQTRLNWPWRSQSPLSAQLLVDIPPEIELSDYRRLPSSGSESPSGLLHGEGVKEEHIPDLDIFFERLYEYFCAKGLRCIITKWIIEVLNVLFMVCCIGFFFLFVDWDNLIHLKCGVEALESGEKPCDLMKVIKHDPLVPFTLPKMIIVGSMVIMTAYGLTNFLKFFVQLRSTLNVRQFYYDRLKVNDLEIQTISWPKIIEKVVLLQKSQKLCVVRDLSEHDIIMRIMRKENYLIGMVNKGILSFPIHSCVPGAGPTVGSHEHGRRNYLILPKALEWTLNWCIFQSMFDSKFCVRKEFLTSPDVLKKRLIFVGIAMIILSPCLVIFPLVYVILRHAEEIYNHPSTASSRRWSNLSRWIFREYNEVDHFFRHRMNNGAVHSLNYLKQFPTPLVSIIAKFVSFVSGGLAGALIIIGFVGESILEGHIFGRNLLWYTIVFGTIAAISRKVVADELQVIDPEGAMCLAVHQTHYMPKRWRGKENSELVRREFETLFQVTN >Sspon.08G0007180-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:22404315:22405926:-1 gene:Sspon.08G0007180-1A transcript:Sspon.08G0007180-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLELQAAGGGGTRSVSLCVDSPTAELGRGDLGSRGGGGPSRDRIVSRRHVSLRLLGVGGGVAFEVVGRNPIVVRSPDGGGGTSRVFRRGEKGELRAGDTLSLSLRAPAFWAVRAREGNGDGDADVEPAVLDAVARRERRTRERKERERERRAAEEAMEVTEGEEGGALDSDVEGFDIDLADIDPVREFGFLSMGHEFDSYPKGRIRAPKDWNWFLEETKRSSDDEASSKRRGRSKGQSWNKKKDGEGEDEDWTGESEDEKESLARVPSVKRPKYATRSKGPEKPRKENSKVGSGKSIDEDEGARMKRMSKMKLWEVDEPMEDLSDEEEEFDDEEDDD >Sspon.03G0022890-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:92311724:92314643:1 gene:Sspon.03G0022890-2B transcript:Sspon.03G0022890-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDSSGSVSVDVERIFFGGKEHRVRTRHGPLSVSVYGDEDKPALVTYPDVALNHMSCFQGLFFCPEAASLLLHNFCVYHITPQGHELGAAPISADVPLPSVDDLADQVADVLDFFSLGSVMCLGVTAGAYVLTLFAVTLAKNVMCTPSQTKYRERVLGLMLVSPVCKAPSWSEWLYNKNLMSQVLLNLLYYYGTRGLVKESLLQRYFSMDVRGNGQDPESEIVQACRSLLDERQGTNVWRFLQAINRRHDLTESLKKLQCRTLIFVGDSSQFHADAVHMTTKLDRRYGALVEVQACGSLVTEEQPHAMVIPMEYFLMGYGLYRPSQQESSPRSTLSPFCISPELLSPESMGVKLKPIKTRTSLNV >Sspon.04G0006800-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:15452958:15484808:-1 gene:Sspon.04G0006800-2B transcript:Sspon.04G0006800-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MWASLHKPVAHANLHLLIAVSACSGPLHFGTASAVAAALTTRRSSPSRGVAATAMPSSSPSPPPPAEETTASAAAPAPAGGFRLTEPSFLESLMPKKEIGVDRFLAAHPEYDGRGALIAIFDSGVDPAAAGLQTTSDGKPKILDVLDCTGSGDVDTSKVVKADADGAIVGASGARLVINPSWKNPSQEWHVGCKLIYELFTDTLISRLKKERKKKWDEENQEAISDALKQLNEFEKKHPKPDDTILKKAHEDLQSRLDYLRKQAEGYDDKGPVIDIVTWNDGDVWRVAVDTQTLEGNNDGGKLADFVPLTNYRLERKYAIFSKLDACSFVANVYNDGNLVSIVTDCSPHATHVAGIAAAFHPDEPLLNGVAPGAQLISCKIGDTRLGSMETGTGLVRALIAAVEHKCDLINMSYGEPTLLPDYGRFIDLSNEVVDKHRIIFISSAGNNGPALNTVGAPGGTSTSIIGVGAYVSPAMAAGAHCVVQPPAKGMEYTWSSRGPTADGDLGVSISAPGGAVAPVPTWTLQSRMLMNGTSMASPSACGGVALLVSGMKAEGIPSSPYSVRKAIENTAASISNAPEEKLTTGNGLLQVDRAFEYAQQAKKLPLVSYRISINQVGKSSPRLRGIYLRGGNACRQTSEWTVQLDPKFHEGASNLEQLVPFEECLQLHSTDTSVVQIPEFILVTNNGRSFNIVVNPANISSGLHYFEVYGIDYKAPWRGPIFRVPITVIKPITLLGEPPLLSISNLSFQSGHIERRFINVPFGASWAEVTMRTSAFDTPRRFFLDTVQICPLKRPVKWEAVVTFSSPSSKNFSFPVEGGLTLELSIAQFWSSGIASHEPTCVDFEIVFHGISIDQKVTTLDGESPLLIVARSLLASEKLVPVATLNKIRMPYRPVECNLSSLPTDRDRLPSGKQIIALTLTYKFKLEDSAEIKPHVPLLNNRIYDNKFESQFYRISDSNKRIYSSGDVYPSYVKLSKGEYTLHLYIRHENVQFLEKLKELVLFIERKLDKKDFVPLSFYSEPDGPIVGSGTFKSTVLVPGEPEAFYVGPPSREKLPKNAPPGAVLVGSITYGTVSTFNKKDEQNHHAPVSYSISYTILPSKVDDDKEKGVSVGTKSVSEQLDEEVRDTKIKFLSSVKQQTEEDKSAWSELVASLKSEYPKYTPLLSKILECVLQKGTDDDKISHEKEVIAAADEVVGSIDKEELAKYLSLNSDPEDEEAQLVDALYQKCLALAEIESLKSDESIEASGKDIFEENYKELIKWVDAKSAKYGTLTVLREKRCGRPGTALKILNDLIQNESEPKKKLYDLKIQLIEEMGWSHVSTYEKQWMQVRFPPSLPPF >Sspon.03G0022700-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:87642328:87644546:-1 gene:Sspon.03G0022700-3C transcript:Sspon.03G0022700-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVNLLLAALIACSHALVVGTLALGDRRPWPPSLAALAPDRHQRHGGGLDGLRQHHVGAAGGGPVPVVHGRPGGAPGRGQLHPRWPYTIAFRGRGHSLMGQAFAPGGVVVNMASLGDAAAAAAPRINVSADGRYVDAGGEQMWIDVLRASLARGVAPRSWTDYLYLTVGGTLSNAGISGQAFRHGPQISNVLELDVITGHGEMMTCSKELNADLFDAVLGGLGQFGVITRARIVVEPAPARARWVRLVYTDFATFTADQERLIAPRPDGAGFGPMSYVEGSVFVNQSLATDLTNTEFFSDADVARIVALARERNATTVYSIEATINYDNATSVDQELKSVLDTLSFVEGFAFQRDVTYEEFLDRVHSEEVALDKLGLWRVPHPWLNMFVPRSRIADVDRGVFKGILQGTDIVGPLVVYPLNKSMWDDDMSAATPSEDVFYAVSLLFSSVANDLARLKEQNQRILRFCDHAGIQYKSYLSRYTNRSDWVRHFGTVKWNRFVEMKNKYDPNKLLSPGQDIFN >Sspon.07G0017220-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:80652435:80655242:1 gene:Sspon.07G0017220-4D transcript:Sspon.07G0017220-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQCCSKGASGAAADDEAPGAKAEAPSRAASNGGHQRPSASASAAPGGGTAGTNKAAASPSGGRASTSSSKPAGPLGAVLGRPMEDVRATYSMGKELGRGQFGVTHLCTHRSTGEKLACKTIAKRKLATKEDVEDVRREVQIMHHLSGQRNVVDLRGAYEDKHNVHLVMELCAGGELFDRIIAKGQYTERGAASLLRTIVQIVHTCHAMGVMHRDIKPENFLLLSKAEDAPLKATDFGLSVFFKEGEVLRDIVGSAYYIAPEVLKRRYGPEADIWSVGVMLYIFLAGVPPFWAENENGIFTAILRGQLDLSSEPWPHISPGAKDLVRKMLHINPKERLTAFQVLNHPWIKEDGDAPDTPLDNVVLNRLKQFRAMNQFKKAALRIIAGCLSEEEITGLKEMFKNIDKDNSGTITLEELKNGLAKHGTKLSDGEIQQLMDAADADGNGLIDYDEFVTATVHMNKLDREEHLYTAFQYFDKDNSGYITREELEQALKEQGLYDAEKIKEVISDADSDNDGRIDYSEFVAMMRKGTAGAEPMNNKKRRDKTQ >Sspon.06G0021130-2D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6D:27883046:27883969:1 gene:Sspon.06G0021130-2D transcript:Sspon.06G0021130-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQASVAVAEALYAGNVTAPPIAGVADLAVAGLAVAIAALAVAASFVLVSFDAHAGQGRLRRILDLGPSLCGPRLLLAFFVGLLAAAEMLRLPFFRGAAMLPPRRHVMPCLAYPLVAHGIAEPGLLASVLLLLRASVGGARLPAAALAVPLACLPFLTAHVLVLATPATVAAYPGQLAHAADGAGHCAYPAYAATLLLALVAVYLPLLATACWDVAAVAINRRLRARAYALSVLILVPLPLQVLALALTSVWDMHQYTSPTVGLVGFVAVAVAAESTLVILVMLPVHDALVLVQHLPAATAGQEAPDG >Sspon.06G0001760-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:29348816:29349910:1 gene:Sspon.06G0001760-1P transcript:Sspon.06G0001760-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKASIKFRDDDRPLLRAKVPVGVLGLPFLSGLAAGGDGKDLRFDLSTAFPSGPALRLSYRPNEPLQPFALSIRTGLGPLGSPIRAPFALAAEFNLTSSNPPAFSLLFKPRIGDFAVASSVRSPPPPPPVSTPPPLAIKMADLTTNGDDHDREAHGNGFSFAGNGFAANVAAAAGTGGGGVGALLSGMRLTTRSVLPLWSKASLRFQWGLRVPPEIKAALADDGYGRKAGSLAISKLPLLVMNKITIEHTPKVPSQPETDKKRKKDAPPAAEGEEFSLMKRQLEKLNVESTMLRRSVEDLRAEIGAGKGDGRKLPAAVPPPQHSFMSKPDRHFHSNAKELVDSGTKPAPNEASEELKKALEARRK >Sspon.03G0027460-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:5827598:5833304:1 gene:Sspon.03G0027460-1B transcript:Sspon.03G0027460-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGEEPSQMRRALVDSLAGAISGGISRTVTSPLDVIKIRFQVQLEPTTSWGILRRDVYGPSKYTGLLQATKDILREEGLPGFWRGNVPALLMYMPYTAIQFTVLHKLKTFASGSSRTEDHLHLSPYLSYVSGALAGCAATIGSYPFDLLRTILASQGEPKVYPNMRSAFVDIIKTRGVQGLYSGLSPTLVEIIPYAGLQFGSYDTFKRSMMNWNRYKYSHLNLGSEDDSVSSFQLFLCGFAAGTFSKAACHPLDVVKKRFQIEGLKRHPRYGARIESRTYKGMYHALKEIVAKEGFGGLYKGLFPSLVKSAPAGAVTFVAYEYISD >Sspon.05G0005050-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:15664939:15666232:1 gene:Sspon.05G0005050-1A transcript:Sspon.05G0005050-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Replication factor C subunit 2 [Source:Projected from Arabidopsis thaliana (AT1G63160) UniProtKB/Swiss-Prot;Acc:Q9CAM7] MASSSADTYDIPWVEKYRPTRVADVVGNSDAVARLEVIARDGNMPNLILSGPPGTGKTTSILALAHELLGPSYREAVLELNASDDRGLDVVRNKIKMFAQKKVTLQPGRHKIVILDEADSMTSGAQQALRRTMEIYSNTTRFALACNTSSKIIEPIQSRCAIVRFSRLSDQEILGRLMIVVAAEKVIFGTA >Sspon.06G0034650-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6D:51938902:51939659:1 gene:Sspon.06G0034650-1D transcript:Sspon.06G0034650-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VKSTATSTTTAVPSAVASKDAVSANVQLVQNTTSQPDLLHYQSHNAPWLPTPQTNAPSIPSTGPVLSTLPNNSLQPQPPAGSFSMPPYTGQPPHMNSMPRNPFPVPGPQQSTPSNQQHPPQFRANNSSIGPSFGQPPGIVSPHMTPSSSMPLPVRPLQIPHPSGGWPPFSPVTPQSQRPLQASPTFMAVRPPISVSPVGATPPQGPIALTPSNMPTMYRGQHPPAPNFTGSAPFVSRPPGGPQSFPTAVPQG >Sspon.01G0038620-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:31003794:31004243:1 gene:Sspon.01G0038620-1B transcript:Sspon.01G0038620-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLIHRSNVFDPFSLDLWDPFEGFPFGSGSSSSLFPSFPRISSETAAFAGARIDWKETPEAHVFKADIPGLKKEEVKVEIEDGNILQISGERNKDQEEKTDTWHRVERSSGRFLRRFRLPENAKTEQIRAAMENGVLTVTVPKEDVKKPE >Sspon.01G0040950-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:38498234:38501005:1 gene:Sspon.01G0040950-2C transcript:Sspon.01G0040950-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPTCIYLVGYLAVIFLSHHRLLCSVAAVDFLYNGFQHAAHLSLDGSASILRGGALQLTNDSNDLMGHAFFDSPVRAVSGNAVVSFSTAFVFDIVTVGHGGGHGLAFVVAASKVLPGASTEQYLGLLGKNTSGKSSNHVFAVEFDTVQANGLLNETNGNHVGVDLNSLVSNVSEPAAYFTDDGKNVSVTLESAQRIQAWVDYDGSTKVLNVTIAPVSVASRPHRPLISHVIDLLPIFKQDMYVGFSAATGKLASSHYILAWSFRTGGAAQAIDLSRLPKVPKPPAPPPSMSTVIKIVALSCVVTLTTIVLAIAFALWLRRRAILAETLEEWERDHPHRLPYKELYKATKGFKNSELLGAGGFGQVYRGVLRRSGEVVAIKRISSNGTQGMREFVAEVASLGRMRHRHLVELRGWCKRGQDLLLVYEFMPNGSLDAHLFGRAGTGKPPGPSSAPALLAWEQRAMILRGVASGLVYLHEEWEQVVVHRDVKASNVLLGADLGPRLGDFGLARLYEHGADPTTTRIVGTLGYMAPELTETAKATKATDVFAFGALILEVVCGRRPIDPVTGVNLLRWVRDLGVRGDLVHAVDERLNGRYDKEEAKLLLWLGLMCSQTRPEARPSIRQVCQYLDGEMEMQEEAVLVFSDVSSFDFGSLPTLTWSSCNTMSVGSLHSGRPLTTQPSVRTVVKIDPGLRRAKVWATQNRRRPKLSITILLLGRISSRSSSPWQEPTPDAPPRYDDPKRGDHYFDF >Sspon.05G0014030-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:51562245:51564490:1 gene:Sspon.05G0014030-2P transcript:Sspon.05G0014030-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPSTVALLILMNMMCTIGYFDHASFLGTKAQETTLLADSYGSNEGTGFTKGINLGRKLTDHDGYPIYRHESSLRRKPRRKLNLSELDPAGEPLVPFGVMGPYKMRVHRGVSEGQHAHHVQIVVSSLAELNNLEISRLQEELGGFIMETITLSNQVVGFGLYVI >Sspon.06G0024110-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6C:51243223:51244992:1 gene:Sspon.06G0024110-2C transcript:Sspon.06G0024110-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium/calmodulin-regulated receptor-like kinase 1 [Source:Projected from Arabidopsis thaliana (AT5G54590) UniProtKB/Swiss-Prot;Acc:Q9FIU5] MSSGEILAVKVLSNNSKQGEKEFQNEVADFGLSREEMVTRNGANIRGTYGYLDPEYVSTRSFTKKSDVYSYGVLLFELIAGRNPQQGLMEYVELAAINADAKTGWEEIADSRLEGAFDVEELNDMSAVAYRCVSRVSRKRPAMRDVVQALT >Sspon.01G0018870-3D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:67310855:67314421:-1 gene:Sspon.01G0018870-3D transcript:Sspon.01G0018870-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding WGRTYDDADALSKATGCGAAQSLSPSYPNSPRRGAERSGEERRFRPSAVAAVLGSSLSKMSSPSKRREMDLMKLMMSDYKVEMVNDGMQEFFVEFKGPTESIYQGGVWKVRVELPDAYPYKSPSIGFINKIYHPNVDEMSGSVCLDVINQTWSPMFDLVNVFEVFLPQLLLYPNPSDPLNGEAAALMMRDRSAYEQKVKEYCEKYAKAEDAGITPEDKSSDEELSEEEDDSGDEAILGNPDP >Sspon.03G0005740-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3B:18271514:18272898:-1 gene:Sspon.03G0005740-2B transcript:Sspon.03G0005740-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCSSTPPAPTSAAAPGAPTCAPGSRTRPSIAPPSTGLPPPPRSSSCSSTSSGRRSSPAPSRTIALSAAPSATPLSKRRRPSTSSSSTSRRRAGRRRCRGCGACTPWEGADVRVRVPPRRPDGHHRRRAGPRRGRRLRALAEDTRGLVLRAH >Sspon.03G0017140-3P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3C:15766171:15766788:-1 gene:Sspon.03G0017140-3P transcript:Sspon.03G0017140-3P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGDVSLDRQVVVKKDTFRYLGSMLQKDGDIDEDVRHRISAGWLKWRQVSGVLCDKRVPQKLKGKFYRTTIRPAMLYGAECWPTKRRHVQQLSVAEMHMLRWCCGHRRRDRVRNDDIRDRVGVAPIEEKLIQHRLRWFGHVQRRPPEAPVRSGVLKRADNVKNGRGRPKLTWDESVKRDLKEWNISKDLAMDRSAWRLAINVPEP >Sspon.06G0013200-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:68759131:68766901:-1 gene:Sspon.06G0013200-1A transcript:Sspon.06G0013200-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRKRGRKPARKKAGPAESTSPDSASSPSTEASDSPRDQAEEDNNAPPVIDAGAAVPEPPPRPPPPAQPQPSEPSRKPSAAAPANPPADITYSRPKVGAVYGRVKLKFKSSKTVDSPLRPQQQDSSEAQGPPADAGKSESAAVPEVIKGADSEKAQIVTDGLQADGQGLESSDGDKEKVPRKVGGIKIKLAGPPSVENSTPDRKADSADEPALSKQESLSEGKKMEDGVELRSSQESEEKQSTPEHQRNEKELAAALEAIKKVMKMDAADPFNVPVDPVALEIPDYFDVIDTPMDFGTICQNLERGDKYMNSEDVYKDVQFIWDNCIKYNSKGDYIIELMKRVKKGFMKYWLAAGLYSDVLDSGGNDNTGDEDAKGHSKSKAKNKRRRPGNDRHKSDCICAVCQVTRRKKERDEILAIIDNETAAMDSNTSEQHDMEGNSGGNNLGNHDTCSSQEQPSQTYVYKETSEANDSGIRMEDVGKFSIDQTSSLPLPDYEDEGSRQYLEEKGQAEYRYMNSNEEHTPTGTQPNEYSDVEHHQQKGQTETSQEVEMEEDYPMQQENPSFLQLCASLFPSNGSTTFRVRHSLFRPRRQAPLKESPLHVAMAAIMKR >Sspon.01G0056340-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:88838951:88845642:1 gene:Sspon.01G0056340-1P transcript:Sspon.01G0056340-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPRMLGGAGAGGIDPIAEEPHHHARSPADLGPDPAALACAISAEASAVLAVVDAVANCRFEVGAEPAAEEAVFMRMLQALLACLRASAAPALGDQHVCTAVNTCFRVVHQAAAKSELLQRFSRHAVHELIRCVFARLPQIGSADGVDAAVKPEMGGMNMNHPFGIRQMENGNGSYVSETGASDENSADGSGLIVEPYGVPCMVEIFHFLCSLLNVVEQIGLDEDLPLFALKLINSAIELGGSSIQKHPKLLSLVQDELFRNLMQFGLSMSPLILSIVCSIALNLYHHLRTELKLQLEAFFSCIIIRLAQPRFGATYHQQEIAMEALVDFCRQKNFMVEMYANLDCDITCRNVFEELANLLSKSAFPINCPLSSMHILALEGLIAVIQGMADRIGNATSRPELLPVELDEYTPFWTVKCENFSDPQHWVKFVRQRKYVKRRLMIGADHFNRDPKKGLEFLQGTHLLPEKLDPQSVACFFRYTAGLDKNLVGDFLGNHDEFCVQVLHEFAQTFDFQEMNLDTALRLFLETFRLPGESQKIQRVLEAFSDRYYEQSPQSFANKDTALLLSYSIIMLNTDQHNMQVKKKMTEEDFIKNNRNINGGSDLPREMLSELYHSICRNEIKTTPEQGLGYFEMSPSRWIDLMRKSKSTSPYIVGDSQPFLDHDMFAVMSGPTIAAIAVVFDHSEHEEVLLTCVDGFLGVAKISAFHHLEDVLDDLVVSLCKFTTLLNTSLVEEPVTAFGDDLKARLATETLFTIANRYGDYIRTGWRNVLDCILRLHKLGLLPARVASDAADDSELPAEAVQGKAAPSAVPPSHIPVMGTPRKSSGLMGRFSQLLSLDSEEPRSQPTEQQLAAHQRTLQTIQKCRIDSIFTESKFLQPDSLLQLARALIWAAGRPQKVASSPDDEDTAVFCLELLIAITLNNRDRIVLLWQGVYEHIANIVQSTVMPCALVEKAIFGLLRICKSLLPYKENLADELLRSLQLVLKLDARVADAYCENITQEVARLVKANAAHIKSQMGWRTVILLLSITARHPDASEVGFEAIVFIMTEGAHLSLANYGFCIEASRQFAESRVGLADRSVRALDLMSDSVRSLAMWSQEIKATCEEGEKGLEAIREMWLKLLQALKKLSLDQREEVRNHALASLQRCLTATEEICLQSATWSHAFDLVIFSLLDDLLEISQNHSQKDYRNMEGSLVLAMKLVAKVYLQLLPDLFGLSSFCKLWLGVLSRMEKYIKIKVRGKRSDKLQEVIPDLLKNILLVMKNKGILAKRSTIGGDSLWELTWLHANNISTSLLPDVFPSQEYEQQSSAGSPRGPSPVEA >Sspon.01G0000790-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:2730107:2736299:1 gene:Sspon.01G0000790-1A transcript:Sspon.01G0000790-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRSRSMRRSSAPTPARAAAPAFTVSPADYRLLEEVGYGANAVVYRAEFIPTGRTVAVKCLDLDRVNSNLDDVRKETQTMSLIDHPNVIRSYCSFVVGHNLWVVMPFMSEGSCLHLMKVAYPDGFEEPVIASVLKETLKALDYLHRQGHIHRDVKAGNILIDNPGVVKLGDFGVSACMFDRGDRQRARNTFVGTPCWMAPEVLQPGTGYNFKADIWSFGITALELAHGHAPFSKYPPMKVLLMTLQNAPPGLDYDRDKRFSKSFKEMVAMCLVKDQTKRPTAEKLLKHSFFKNAKPPELTVKSTLTDLPPLWDRVKALQLKDAAQLALKRMPSSEQEALSMSEYQRGVSAWNFDIEDLKAQASLDTSSDGYFGKPTPSNGNNCRDAGVQSVSDKQKNGTRRANNLSGPLSLPTRASANSLSLSRTKILVVLCHFQLALLQIVFLLLFDRLQALWDKPKRTMVEIKGRFSVTSENVDLAKGPSLRKSASVGDWSVNDKTT >Sspon.06G0001380-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:1866651:1868930:1 gene:Sspon.06G0001380-2P transcript:Sspon.06G0001380-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSLAAACTDRRAHSILPTSKPVGLTQPNPPRLQKPHGRMPNRMTRSRHYKKPGQQSKLSLSTHTNTSQARTPTMSVEILDGSTVRSFVEDEGAFNTSVDGRFAALDADHDGLLTYAEMAGELMSLRVLEKHFGVDEAVVAPDELAGLYRSLFARFDRDGSGKVDRQEFRAEMKEVMLAVANGLGFLPVQMVVEEGSFLRVAVDRELGQLAKAA >Sspon.03G0010760-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:29360234:29361836:1 gene:Sspon.03G0010760-1A transcript:Sspon.03G0010760-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAAFELLYVLGSLVALGLAWQALEWGWLSPRRLGRALRSEGLRGTAYRFPAGDAKEDERLLAAARTKPMPLLSHAISARVEPLVHNAIQEHGKISMVWFGPTPRVILSDAKLVREVLSNKFGHFQKPKLPSNFIKLIAQGLTVTVHEGEKWALHRKIINPAFHLEKLKKMLPAFTACTSELMGRWEESMGCDNAREIDVWPELQDLTGDAISRAAFGSSLTEGRRIFRIQSEQIQIANGMTNLYIPGYTYLPTKLNRKIKANAREVEALLRGIITKREIAMKNGHADDSDLLGMLMQSNIEESHDGSGSSKPVMTMEDIVGELKLFYFAGMETTSVLLTWTLVSKDAPAFFPFGWGPRICVGQNFALLEAKVALAMILQRFSFELSPSYTHAPFAVSTLQPDHGAQIVMKKI >Sspon.03G0010260-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:27728786:27732692:-1 gene:Sspon.03G0010260-1A transcript:Sspon.03G0010260-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGKGWAERVRRGVKTVWFMVAMVASLLVASAPALVAAGDVAVALWLEVRLGCFRGDGLRGHLQRYRFRNSLADIPLVSVVRSVVITCVYLMSDTSGLSHGPYLGTTTFCSLASLLILLIKASVYSPAQDIGPELSPSLPDHKLNLKKLWGMPVLFLSSLVFALGHVIVAYRTSCRARRKLLIHRIDPESILAYKNAFSGCYKVPRSPTPYSGKLFTRSESETKRKTLIQDDRDLPISFLADSDSMFIACQGVTIHYKVSDPAASLPSSPDSFSERDTHHDVISSSISPRRQRHESPPSASSNTRRLLNRSFSHQYHHTTLYAPLLVEPVASPTLLDDIPLMCLDDGNADGCLNHEGFDLEAGGPGWGLTSRPRRKDWEDKNLPNPYELESQVDLLISFCSEMGLHSVVLVGHDDGGLLALKAAEKLRTYGGDRKVEVKGVVLIGVSLSREVIPAFARILLHTPLRKKHMVRPLLRTEITQVINRRAWYDATKLTTEILNLYKAPLFVEGWDEALHEVGRLSFSTVLPSKRAADLLRSVEDLPVLVVAGSEDALVSVKSAQAMASKLRIVTISGCGHLPHEECPKALLSALSPFISTLVPSEDLLQRL >Sspon.02G0000470-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:1979244:1982175:1 gene:Sspon.02G0000470-1P transcript:Sspon.02G0000470-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPPFLLRILLPLASLSWLLLLARGSAATGVLGGIGADEDEWSRQPAAAAHLILGDSRNMQARRLLQSGKNEHPQPPRQAPPPNPHQKKPKTNERPHRPKQHQHKMHAPSPSPFSSAPKALPSPSASAPAISSSGSHHPLAAPPRPLSSSPSISPPHKHPSRKYSLVAAGSAVFVVMAAASVMYCRVKKVGTVRPWATGLSGQLQRAFVTGVPALKRSELEAACEDFSNIVGSTPSCMLYKGTLSSGVEIAVVSSSVTSVKDWSKECESHYRKKITSLSKVSHKNFMNLLGYCEEDTPFTRAMVFEYAPNGTLFEHLHVREADNLDWATRLRISMGIAYCLEHMHQLNPPVVPRNFDASTIYLTDDFAAKVSDLDFWSDTATATATNTKGSDSSSTTDDEFSSVSDIDVMVHQYGMLLLEILTGKVAYSEEEDGVSLEQLASRYFDGNMPLVELIDPSLGSFPQEAAHAMCEVARSCVDPDPKKRPRMVQVAARMKEITALGPEGATPK >Sspon.06G0015640-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:79870524:79874810:1 gene:Sspon.06G0015640-3C transcript:Sspon.06G0015640-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPAVKLLLHHVLAATFLTSNALQLRPSGGAGAAGASCIQHERDALLAFKHGISSDPMDLLASWQKDYGDCCRWRGVRCSNRTGHVLKLQLRNVPVTSSNLYTHDNPFRNTALVGQISHSLLALDKLVHLDLSMNNLTGSGGHIPDFLGSLVNLRYLNISGIPFSGRVPPHLGNLSKLKYFDLSLLRSRGQLYSTDISWLAGLSLLEYLDMSMVNLSTIVDWPHVVNMIPSMKVLRLSSCSLLSANQSLPHINLTNLERLDLSGNFFHHPMASSWLWNLTGLQYISLKFNYFYGQVPDALGDMTSLQVLDLSRNHNMGIMTTSLKKLCNLTVLDLSFCFSKGNIKELIEQMPQCRTNKLQELHLEGNNITGMMPSQMPHLTSLVVLDISWNNLTGVIPSVVGQLASLSTLDLSGNHLSGHVPSEIGMLADLNVLDLRSNDLSGDITEKHFARLAKLKSLLLYGNSLNFTVSSEWLPPFSLEEANLAHCQIGPLFPAWLRSQVDIVWVDISSTGITDKFPDWFSTTFSKATYLDISHNQIHGTLPKSMEFMSLEWFYLSSNNLTGEIPSLPRNISMLDLSLNSLSGNLPTKFRPRQLLSLNLFSNHITGGLPESICEVQWLYELYLGNNLFEGELPRCFNATTLGFLLLGNNSFSGDFPVFLQNSKQLEFIDLSQNKFSGNLPHWIGGLVELRFLCLSENMFSGNIPISITNLTHLHHLNLASNRLSGVIPCGMSSLTAMTQKYVKDDSIDEDAYRSYETLNRDIGQHFSVVTKGQELYYDVRIFELVSIDLSHNHLSGGIPEEIASLDALFNLNLSRNYVSEEIPDKIGAMKSLFSLDLSNNMLSGEIPSSLSDLSGLSYLDLSNNNLTGPVPSGQQLDTLFAEYPSMYSGNSGLCGPTLRKICSGNNSSSQHVHEHGFEPMSFYFGLGLGFMLGLWLVFCVLLFKKAWRVAYSCLIDKIYDQMYVLVVVTWKNLAREGSTAEIIPSNLVIFEQLGSLELKGWSDEVLLDAERHRLKDLNCYILSLGQLEDVLLSVNDLHRAIGLPLPNITCTHK >Sspon.05G0032960-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:24681144:24682189:1 gene:Sspon.05G0032960-1C transcript:Sspon.05G0032960-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMSVHATESFDIEEEYKNTLRTQSNACFLSKEQRPEEEMELFLDTQQDFFTSLMLQNTVLMRPGAAPSEMELALADYFDASAEALEMCRQLLRNVKSTQSNYRSMDRFLAAMADGTASTSSSAPLTVADEEPSFPVRSNPFCTTTRSNFRQIHDRCSSILQSIRSSHGRVARKLRIVKAVKKLSRTLLVIASVAAAAAAIGAGPYLLFLIGLLIGPAAAAGLCQIALKRRPLTATVTKERSGGKTTTALSLLQDRLDTAAKGTYVLGRDLDTLNQLVARLSDGIERENAMAWRCVEAASDRCPAAVLEMVSELRRSCLASGRLAEELEEHGFGDP >Sspon.05G0011990-3C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5C:26379898:26381154:1 gene:Sspon.05G0011990-3C transcript:Sspon.05G0011990-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha carbonic anhydrase 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G52720) UniProtKB/Swiss-Prot;Acc:O04846] GGGFRVSIQMTTTCTANAAFFVLLLCSCCVAAALACDPNGGGAKFGYIGSIGPAHWGSLSPSFRQCARGTHQSPIDISTAEAVLNPALQPLHRDYTVANATLVDNVFNIALRFDDDGAAGGVRIDGKVYRLKQMHWHSPSEHTINGRRFPVELHMVHASDDGNVTVVAMLYRMHHHRQTKVSSSSIIMIASSIIVTEFCVCFGRERRRQIQDKLAALYAEGCRAEEGAGSVPAGNVSLWSLRLYSHTYYRYVGSFTTPPCTENVVWSVLAQVREMTVDQAAALMAPLEQAYRRNNRPTQPTNGRVVQVYHRFMNKKTAP >Sspon.05G0017960-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:76919761:76923031:1 gene:Sspon.05G0017960-2B transcript:Sspon.05G0017960-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGELDSDVVVRYFRGKSILITGSTGFLGKVLVEKILRVQPDVKKLFLLIRAADVESAKQRLENKVTGREIFQILKEKHGNGFESFIQEKVCPLPGDVMYENLGLGPAKLRELCKEIDIIVNGAATTNFYERYDVAFDTNVMGAKHICEFAKRCSNLKMLLHVSTAYVAGEQEGIVLEKPFHLGETLREGTHLDIESELNLIKETRRELKANPCSEKAERRTMKELGLKRAREFGWPNTYVFTKAMGEMLLGHLRGDLPVVIVRPSIITSILNEPLPGWMEGIRTIDSFIVGYAKQALSIFLVDLDLIMDVIPGDMVVNGMMVAMAAHSEEQAQQLSIYHLTSSTRQPAPYAVLAESLQRYFLHNPPRSGGKKNSGEPVQPPSRMRFFRTLPRFRAYMAVNFRLPLEVLRLLNIAVCGAFSRRHDELSRMYRYVMHIAELYAPYSLFKGW >Sspon.06G0008980-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:48258254:48259165:-1 gene:Sspon.06G0008980-1A transcript:Sspon.06G0008980-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RVMAQGANFPGFIGAVGGNDNGANFGGNFCDMAFYQKLGEGSNMSVDSINSMQTSMHGGSIASSVCSNSDSRTGMLGHPGLKGPVIVGSYSVGHSIFRPGRVSHALSEDALAHALMDNKFPTETLKDYEEWTIDLGNLHMGMAFAQGAFGKLYRGTYNGMDVAIKLLERPEADPEKAQLLEQQFVQEVMMLATLRHPNIVKFIGACRKPLVWCIVTEYAKGGSLKNFLSKRQNRSVPLKLAVKQALDVARGMAYVHGLGFIHRDLKSDNLLISGDKSIKIADFGVARIEVKTEGMTPETGTYRW >Sspon.01G0017880-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:68519391:68521195:1 gene:Sspon.01G0017880-3C transcript:Sspon.01G0017880-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKDGSGSQDLGHRFWPMLSYACGELCVIILLYVAALASYAATRLARICGLRPPCIMCTRLDRALHGKPWFSGDLVCALHHSEVSSLAHCKSHDQLACSGDLCKACLLSCKAVGVGEEVNSRSASSRSTRLCSCCSDPFKNARSAQKHSEAANAVESWNSVPADHPNEKTFVVGIEEVHESDGSPGSHGKSRKDSAPSANVGTAKSNYRSAAPTRIAVDRNGSVKNASVPRVNLTSPRPSEIISARDSNSTTQQEVKALLSQISSVRGLDSSSSEGSPSPGINALNEESNPTSKRPYLERNYSVLEPSDGSLTDDVEGESSLENVKKQLELNKKSMAALYKELEEERSASAVAASQTMAMINRLQEEKAAMQMEALQYLRMMEQQADHDHLAIQDLHDLLTEREKELLDLDAELAHCRRLLQNDPFNGDRLDGTDTLNNTDFVGSAMLHFEDDKAYILESLSRLEENLGISTNRIASGDARNSQEDILFEDHTRADGSPSNSDKLSGVASLKIEISLLNIRLRALEEDQEFLKQVLSSLRCGSDGLQCIQEITSHLAELRRVVTH >Sspon.07G0010300-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:27568865:27571726:-1 gene:Sspon.07G0010300-3D transcript:Sspon.07G0010300-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQHPTCAPPPAPAPVPVAWAVVPVDFKVVKKGPEMAMHDATGRLAFRVSASDGGATALQDDAGGVLVTVRSGGQGKWQAFSGNSLEERHIIFTAKVISASSSRKEVHVFISPRSTVEDSKPSYRLIGSTFRRACTIIKGDSIVAQTNLLYKLKKTIYSRRKFRVTIYPANDNILVMAMIVRFTALLLLLLLLLLGMRKQQTQLASQHGQRLDK >Sspon.05G0037700-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:19732004:19738933:-1 gene:Sspon.05G0037700-1D transcript:Sspon.05G0037700-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVATTRALLVSCFHGGGGGSEMSRRLSLRPRYPSMPRRPKGVAVAGGGGDGDLEAAVGTGNEEEEEKVAVFSVSGMTCAACAGSVEKAVKRLPGIHDAAVDVLWGRAQVVFCPAFVSENKITEAIEDVGFEAKLIDEEVKEKNILLCRLHIKGMACKYCTSTVEFALQASPGVQRASVALATEEAEVRYDRRIISASQLIQAVEQTGFEAILVTTGEDQSRIDLKMDGVLDERLIMILTSSVESLPGVENIKFNSELHKVTISYKPDQTGPRDLIEVIKSATFGNVNASIYPEADGRDQHRYGEIKQYRQSFMWSLIFTIPVFLTSMVFMYIPWLKDGLEKKVINMMSIGELFRWILSTPVQFVIGRKFYAGAYKAMCRGSPNMDVLIALGTNTAYFYSVYSVLRAATSENYMSTDFFETSSMLISFILLGKYLEILAKGKTSEAIAKLMDLAPETATLLMYDHEGNVAGEKEIDSRLIQKNDVIKVVPGGKVASDGFVVWGQSHVNESMITGESRPVAKRKGDTVIGGTVNENGVLHVRATFVGSEGALAQIVRLVESAQMAKAPVQKFADHISRVFVPLVNGIVFDPIYSLILWKNAKQSAPCFQVILLSMLTWLAWFVAGRLHSYPHSWIPQSMDSFQLALQFGISVMVIACPCALGLATPTAVMVATGVGASHGVLIKGGQALESAQKVDCIVFDKTGTLTIGKPVVVDTKLLKNMVLREFYDYAAAAEVNSEHPLAKAIVEHAKKLHPEENHIWPEAREFISVTGQGVKVEVSDKSVIVGNKSFMLSSGIDIPLEASEILMEEEEKARTGIIVAIDQEVAGIISVSDPIKPNAHEVISYLKSMNVESIMVTGDNWGTANAIGKEVGIGKIIAEAKPEQKAERVKELQLSGRTVAMVGDGINDSPALVSADVGLAIGAGTDVAIEAADIVLMKSNLEDVITAIDLSRKTFFRIRMNYVWALGYNVIGIPIAAGVLFPSTGFRLPPWVAGAAMAASSVSVVCWSLLLRYYKAPKIVGS >Sspon.03G0038920-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:8492372:8492695:1 gene:Sspon.03G0038920-1C transcript:Sspon.03G0038920-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding KVVVKEAKENLTLLEAQLNRKRFFGGDTPGYLDIAACALGLWLSVLEEVTGVTLVDDNEFPALCQWAKEYKSNEALKPCMLDKDQVVAYFTGNKERYKMWAKTTLGQ >Sspon.01G0024470-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:85497169:85499035:-1 gene:Sspon.01G0024470-4D transcript:Sspon.01G0024470-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTTVEGSVGAPAAVKRRRRDAPGRRRSGGVRVRRRVQVDATTAPAPLQRLLAACRRAFGGPGTVPSPDDVALIRDILDKMGAEDVHLRAVIKAAAASFPRTHPNPIITRTTIYKCKNFSIVIFLLPPGAVIPLHDHPGMTVFSKLLLGSLHVTSYDWVDAEDGPPTAVGGGGDRRKFNFFLLRLAKRVVDADLRAPCDALVLFPESGGNMHRFAAATACAVLDVLGPPYSGDRDCTYYQDLPYRHHHHDDDAGNEAAGDGDVVPAATDDEQKPRLGWLLETRKPKELHMYEVPYRGPPILWKKRPA >Sspon.06G0019950-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6B:6072733:6074029:-1 gene:Sspon.06G0019950-1B transcript:Sspon.06G0019950-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLQPISDSIAVTMKTIFQVFVLQRSGVPSFSDELKLVQVFSSEEGEDGKKLVWGWSHVESLYLSNGWVTFLCNIMDADTIAVPPSNMGRDLSLLLDSKAGADVSFTVKGETTQAHCAILAARSPVFRAELFGSMADATSPSITVQGIEPATFKAMVGFIYTDELPEDDEFGDAFIQMMQKLLAAADRYVLDRLKLICARKLWDNISEDTLFAVVLVCADTYNCPELKSKCIDFFAADKN >Sspon.02G0010640-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:23436922:23438981:1 gene:Sspon.02G0010640-4D transcript:Sspon.02G0010640-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MYKNQLQELAQRSCFSLPSYVCTREGPDHAPRFRAAVTFNGETFEGPSGCTTLRQAEHAAAEVALARLSLRGPSTSLAARVLDETGVYKNLLQETAHRAGLKLPAYTTVRSGPGHSPVFSSTVELAGMSFAGDPARTKKQAEKNAAMAAWSSLKQMPEARKEPGAGDEQEHVVVARVLAALKPRDDGDGKSASPPLQKHSGNGSSSSALPNPSLYRHQWRPRNTSVQPPRTGPLQPPAGPRILPPLHLLQQPACGSREAAAAAELVRMLERAMVRDRVAVAAEAMPPSPCYYAPAAASAYHHGGSPAAPRTFAAGGYHAPAVSVRSVIPVCAAPPRRPPPPAKEERNDPATPSDVCKRA >Sspon.08G0006070-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:19218090:19219959:-1 gene:Sspon.08G0006070-1A transcript:Sspon.08G0006070-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAFLIKTAKYLATPGKGILASDESTGTIGKRLSSINLENVESNRQALRELLFTAPGVFDYLSGVILFEETLYQKTSDGTPFVDVIRAGGAVPGIKVDKGTVEIAGTNGETTAQGLDSLGARCAKYYEAGARFAKWRAVLKVGPAEPSELAVRQNAEGLARYALICQEFGLVPIVEPEIITDGGHDIKTCAAVTERVLAAVYKSLNDHKVLLEGTLLKTNMVTPAEYTVAALRRTVPPAVPGVVFLSGGQSEEEATQNLDAMNKLEVLKPWTLSFSFGRALQQSTLKKWLGKKENVAAAQATFLVRCKANSEAGLGKYTGSGAGDAAASESLYVKGYKY >Sspon.01G0031390-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:107768484:107771127:1 gene:Sspon.01G0031390-1A transcript:Sspon.01G0031390-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKMVLEFEVADDHEVWVHDSSVDHRGRSPSRATTGSWKAAMFIILIEFSERLSYFGIATSLMIYLTKVLQEEMKVAAKNANYWMSVTTLMPLLGGFLADGYLGRFKTVVVSTAVYLLGLTVLATAQLAPRLRPDHSPRLHEALFFAGIYLVSVGTGGHKPALESFGADQFDEAHAAERVQKMSFFNWWNCALCSGVLLGVTAIVYAQERVGWGAATVVLAAVMAASLAVFLAGRRFYRYRVPEGSPLTPLLRVVVAAFRKRRLPLPADAAELYEVKPAQSGKKRLLCHTYQLRFLDRAAIVEPGAGEDAFGPWRLATVTQVEETKLVLAMVPIWVCTLPFGMAVAQVSTFFIKQGSVMDRRLGPHFELPPASIFALSAIAMIATVAAYDKALVPYLRRATGGERGISILRRIGIGMAFSIAGMGVAAAVERRRLLSASSAAQPPSVLWLVPQFALMGVADGFALVGLQEYFYEQVPDGMRSLGIGLYLSVIGAGSFLSSLVITAADRASSRVGRASWFAKDLNRSRLDLFYWLLACIGAVNLAFYALVATKCSYKQTVRAGRVGDDKSAPAGADVECAAGFVAA >Sspon.05G0014950-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:54109612:54113602:-1 gene:Sspon.05G0014950-4D transcript:Sspon.05G0014950-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyruvate dehydrogenase E1 component subunit alpha [Source:Projected from Arabidopsis thaliana (AT1G01090) UniProtKB/TrEMBL;Acc:A0A178W8A7] MAAASFTAAKFLAPVAARSGGERAPPLPAGASSSSSFVRTLRRGGAHHPRLRTALAVSSDLLAGNKAAQAAATHPAVTREEALELYEDMVLGRVFEDMCAQMYYRGKMFGFVHLYNGQEAVSTGFIKLLNQADCVVSTYRDHVHALSKGVPARSVMAELFGKATGCCRGQGGSMHMFSAPHNLLGGFAFIGEGIPVATGAAFAAKYRHEVLKESSPDGLDVTLAFFGDGTCNNGQFFECLNMAQLWKLPIVFVVENNLWAIGMSHLRATSDPEIWKKGPSFGMPGVHVDGMDVLKVREVAKEAIERARRGEGPTLVECETYRFRGHSLADPDELRKPDEKTHYAARDPITALKKYIIEQNLATESELKSIEKKIDDVVEEAVEFADASPHPPRSQLLENVFADPKGFGIGPDGKYRCEDPKFTQGTAQV >Sspon.06G0018870-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:88205506:88208008:1 gene:Sspon.06G0018870-2D transcript:Sspon.06G0018870-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSYSVAPVQSELKMTLYNKEVYSGRGINGVTTLVNGGPIGTTWVFSWPVTDGPPGGADANIVGHLQGTGVQVATSPNYVWHYSLGLVFGQKSRFNGSTLQIQGTSQINGEWSIVGGTGELTMAKGTVKRTEISYMGNTRISELNIHVSGNTGCKFLET >Sspon.08G0024230-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8D:64942223:64942867:1 gene:Sspon.08G0024230-2D transcript:Sspon.08G0024230-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVPGCASSIKQRMEPCEEEEPPLPPPPPFEASDDWEVTPLSGDNPFFTSVMCKSQVQVPFQQIIPARFHRHLPEVRAPAVLLCRGRSWTVSYCSVGKWRRLQGGWKDFARDNGLRLGDACVFELVVPTTAGTEAAAATERDGNDSSKEGKKMEVVFRVQVLRGGLPEEITSRGATSDDPL >Sspon.01G0006870-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:18363189:18366473:1 gene:Sspon.01G0006870-1A transcript:Sspon.01G0006870-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPGAAAVAATSQQADCCGHGPGPSWRGGCDVPGGASCSYLPLRKRLSVDGKFQAPRICIWECDGEAGDITCDIVAAPLRRSCSARAMPPPPPGSLFRRMMTPPQREVEDDVAARRPGETISKGHRSYGLMLNLQLGISYSVGKSSALPFRKLSSSDFDPREKVWTRFPPEGSKLTPPHHSVDFRWKDYCPAVFRCHTIALLPVDFGHLEKAMHLRKLFGVDPADYMLAICGSDTLRELASPGKSGSCFFVTQDDRFMIKTVKKAEMKVLIRMLRSYYEHVCRYKSTLLTKFYGTHCIKQAGCPKVRFIIMGNFCCSEYKIHRRFDLKGSSHGRTIDKAEEKIDETTTLKDLDLDYAFHLQRFESGCKDRDFIVDSRKPLVQLGKNMPAQAERRSKFLLNKRHLFITTSSGGFRDVYLYFGIIDILQDYDITKKLEHAYKSFQVTAPLTFYIMGSIGEVLGMIVLRIPSVPWNSGKDGRFTGRTVF >Sspon.08G0002120-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:4786997:4787706:1 gene:Sspon.08G0002120-1P transcript:Sspon.08G0002120-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKREGRQHGTVRISRSKVLKTAAAAADADGESPAAEPLTVAAAGKPTNASRMPAAPVRGLPRHPVTKARDKAKGAHKLRACDVALNHRLVSWRVVDQGQGVPEYRGKSASSLLAYLAGSGNSWHEDDDYAAGLEAPDAGLSDLYDLFVGRRADADADAGGEEADPDPAARDTDVDDVGEIQAIGEQGLLLDDKEDGDDDEEEEEDMGFCMVGITIAVEFSDGEEDWIVVEEI >Sspon.01G0054670-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:65243368:65244894:1 gene:Sspon.01G0054670-1C transcript:Sspon.01G0054670-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPFSRLLPAVPLLLILLGGALTPAYADNGGNRRRHTCLFAFGNSLTDTGNGAIFPVTAGGPSTQPPYGETYFGHPSGRASNGRLILDFLVEELKVPQPTPYLAGRTAADFVNGANFALGGATALDQAFLATKGINPLVPISLTNETSWFQNVLQLLDASDYDQSKIMAKSVFYVGEIGVNDYFVALSNNSVDVALSLVPHIIDTIRSALTAMIAAGARTVVVSGMLPIGCEPQQLALFPGGPGDYDPTNGCITRFNVLAEHHNHMLRMMLRELRSNYGRSLTLLYADIYRPVLKAVASPALYGFGDTPLAACCGGGGGPNNFNFIAFCGTPASTTCADPSKFVSWDGIHFTEAANRFIARNMIKGLLSRAAAYIATD >Sspon.02G0014880-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:38917558:38925853:1 gene:Sspon.02G0014880-2B transcript:Sspon.02G0014880-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription initiation factor TFIID subunit 2 [Source:Projected from Arabidopsis thaliana (AT1G73960) UniProtKB/Swiss-Prot;Acc:Q8LPF0] ANCTVCESDVSGATALSSTAASSDLYGTQTIGSYGKVRSLKAVAVLQMLEKQMGPDSFRKVIVAPNRASRTLSTKEFRHLANKVGNLERPFLKEFFPRWVESCGCPIMRLGISYNKKRNMIELAVSRGCTAKATPDPDSHTNGDPREGDAGWPGMMSVRVHETDGAYDHPVLPMAGEALQVVEIQCHSRLAAKRVWKSKKNTKLDGSDDNIDASTQENRTSVDSPLLWIRVDPEMEYLAEIHFHQPVQMWINQLEKDKDVISQSQAISVLEKLPQLSFAVINALNNFLNDTKAFWRVRIEAAYALAVTSSEATDLAGLLHLVKFYKSRRFDADIGMPRPNDFHDVPEYFVLEAIPHAVALVRSSDKNSPKGAIEFILQLLKYNDNNGNIYSDVYWLSAMVQAIGELEFGQQGMGFLSSLLKRIDRLLQFDNFMPGYNGVLTVSCIRTLARIARRVSSSVCLDRICELIAPFRDMDKPWKVRIEASRVLIDLELHHKGLDAALLLFLKYVDEEKSLRGATKLAVHVLRICQASIVPYANDQINLTTLIGLLHLLAGTKAYNNVFLRHHVFCIMQVAAGRSPTLFGVPKVVTPPPVVKDICSDQHTKADSSIPQPSKPQEPSTSTPSVREVLPTSGPTKDADNISNCSERRNVVKIRVKLTASSSKASDADHRGHSHGGRNENELGPCSSMSVDAPMVGAANEPLNVSNHNIEEQNSCHDRESRMSASVSNAKLMDRHEISKELQCTADSRLDALPKDQFSPAINPPEVLTKTGSQLEGVSTSYDGNQAPECVNGVETKERKKKDKKDKKRKRDEKRDKKDDPEYLEKKRLKKEKKKMEKELARKQLEGEGRATPEQRKTVKPSGSQEVLPARPPAPVLSAEPAPARSSEPQVSSKETTVDTARTAAKPRIKIRVKPLVRKPEGN >Sspon.03G0020350-3D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3D:49957219:49957557:1 gene:Sspon.03G0020350-3D transcript:Sspon.03G0020350-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPADLALQRQLQAPEAGAASSKVVTALWVLGLFTASVAITLAMREPLPVPGVHKNAYLLALSVAFFAGVAGVMAAVCIGWPTTPAAAGRRATGRKKLVYSAAAVAVGGFMV >Sspon.07G0018210-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:76794239:76795237:1 gene:Sspon.07G0018210-3D transcript:Sspon.07G0018210-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MCPLRVILIFLSATIAGFFLIRGLNAEPDQFDADADESGSPRAPLPLHSKVGSAVKTGFWTMVDMASGRYLWRTLVAQPAKSESDKAR >Sspon.05G0024220-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:13234268:13235796:-1 gene:Sspon.05G0024220-1B transcript:Sspon.05G0024220-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEENRDGNPNGGGEGGATSWSRSLVKQIFVFHLLLRSAGGMDGPRGLAPGRGRGRGRGQGRTVPPEWTGDSGSGQGAGPDGSWSYSWGWTSGPGGGWGYGHGSAQGPRGAADGAAHGFAYGSGGGGSGRGGFEFSFGAGGGSFGVGGGHGSGSWSARGSGHREEGAVVADTEEGRLASGAVYYC >Sspon.01G0047940-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:106561441:106562818:-1 gene:Sspon.01G0047940-1B transcript:Sspon.01G0047940-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding HMANLGGGGGAEAHARFKQYEYRANASKSFGDRAVQNKPPPELEEKLSRSRTRKAKRDAADTGLPCRDAKRRRRAVSDRGISVLSLTDDAVYKPQTKETSAVYEALLSLIQQQLGGQPLDVLAGSADEVLATLKNDKVKNPDKKKGIEQLLGTISNQLFDQLVSTAMLLPVRLLPPAMLLPVRLLPMQWTPPWMVNVGFAVGWEENEDDESDFDQAQDELDDDDEDNTTELNGPEGMQMDSALDDNDMQNADQGLAVNVQDIDAYWLQRKISQAYGDGDIDVQQSQKLAEDILKIIAEGDDRDVENCLVMLLDYEKFDLIKLLLRNRLKIVWRTRLARAEDQE >Sspon.07G0009660-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:26028066:26030211:-1 gene:Sspon.07G0009660-2B transcript:Sspon.07G0009660-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALHSAAAAALPALLLLSFSGLTLSDATPSTPVSPSAACNATTDPTFCRSVLPPRGKGDLYTYGRFSVAESLAGARKFAALVDRYLARHRHLSSSAIGALRDCQLMAELNVDFLTAAGATIKTTDTLLDPQADDVHTLLSAILTNQQTCFDGLQAASGSWSDRGGLDAPIANGTKLYSLSLSLFTRAWVPTAKPAHPHKGGSNEPPHHGHGHSGKNKKPPAADAARRGLFDVTDDEMVRRMAIEGPESTVAVNTVVTVDQSGAGNFTTIGDAVAAAPKNLNGSTGYYVVYVLAGVYEENVVVPKHNKYIMMTVVTGNRSVVDGWTTFQSATFGFVAMNMTFRNTAGPAKHQAVAFRSGADLFEAYQDTLYTHSFRQFYRGCDVYGTVDYVFGNAAVVFQGCTFYSRLPLQGQCNTRPQPEHGHLHPGLLPRGGARARRQRRLHHAQLPRPAVEELLAHGGHGVLRRRARRPRGWMPWSGDFALDTLYYAEYNNTGPGADTSRRVAWPGFHVLGDGTDAGNFTVTSMVLGENWLPQTGVPFTSGLIS >Sspon.05G0033520-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:33513911:33519372:-1 gene:Sspon.05G0033520-1C transcript:Sspon.05G0033520-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIVRSHRQVAAAAAAAGGGGAGALPTYKAAPQLEVRLEEFELFAIDRLRVLKGISDGLSRGKRPEEMEKLVSELWKTHMRHLDPAETLNKDIISHFVLRLVYCRTEELRKWFLSMETTLFRYRFRLESPESQRMLMTEFQLPYKALPHSEFEAVKDKLSQVARSIGQSANEVPDLVASRRVFLSKGYAYVAMSQVVSLVVTQFRCNISKALALTNRKWTATIKEQEKDRLTPIVEALSNAYFGPDYSQPKDAVDISLKDIDQLAKSSFPLCMRHMLDKLRENHHLKHGGRMQFGLFLKGAGLKLEDALAFWRAEFSQKIGSERFDKEYAYTIRHNYGKEGKRTVSPSSMCNLKLHQNYYLLSHMLLQDYTPYSCQKIISATPGVGDHHGCPFRHFGEENLQAALNKMGIGGHALEEIMDKVKNRHYQLACTLTFETTHGVSCDSGINHPNQYFSESQKVLRAKIFYTRYYKPTNNLLVKQQ >Sspon.03G0028180-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:12246478:12247380:-1 gene:Sspon.03G0028180-2C transcript:Sspon.03G0028180-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGRRPEMRRTMTLSEQLSTPDPAIRDFLKIPHDADVGEGDSPSAVDAQGNGGGGDRTTGWKPLRDRLRLRRAVGAWQKPKPGAAAAHAPTNSGDLSNISSANTRSNKYNYNPGEAAAAFSRTFSRAPSLKATPTFSRVASTRVGPTASRSSSRRPATHDFRDVEERRHDDDDGEEEEDDDDEEDEDEDEEEEEKEEAPAAQMSLMALLGQTDEWDDDEDEEDGGGGARKKGEGEDDEDDGEGREEEMVHVCCVCMVRHKGAAFIPCGHTFCRLCSRELWVSRGNCPLCNGFIQEILDIF >Sspon.03G0038710-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:2459567:2459758:-1 gene:Sspon.03G0038710-2D transcript:Sspon.03G0038710-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MCPGLTFGLANIEMALASLLYHFDWELPGGADPGELDMDEAYGITARRKTDLALKATPFVPTN >Sspon.05G0008750-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5A:26051944:26053601:-1 gene:Sspon.05G0008750-1A transcript:Sspon.05G0008750-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding METLHAHDELFSCVVLVLVTTITILYLKKLLLAAFERRAGSPSFPCPRGLPLIGNLHQLGTAPHDSLAALAAKHAAPLMLLRLGSVPTLVVSTADALRAVFQPNDRAMSGRPALYAATRITYGLQDIVFSPPDGAFWRAARRASLSELLSAPRVRSFRDVREGEAAALVAAITDMSGSGSPVNLSEELMATSNKILRRVAFGDGGGEESIEAGKVLDETQKLLGGFFVADYMPWLGWLDALRGLRRRLERNFHELDAFYEKVIDDHLSKRGAGADASKGEDLVDVLLRLHGDPAYQSTFNSRDQIKGILTDMFIAGTDTAAATVEWTMTELVRHPDILAKAQKEVRAAVVGKDIVLESDLPRLKYLKQVIRESMRVHPPVPLLVPRETIEPCTVYGCEIPARTRVFVNAKAIGQDPDAWGPDAARFVPERHEEIADLSDHKPWHDSFSLVPFGIGRRSCPGVHFATSVVELLLANLLFCFDWRAPRGEVDLEQETGLTVHRKNPLVLVAERRGVL >Sspon.04G0007700-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:22941253:22943895:1 gene:Sspon.04G0007700-3D transcript:Sspon.04G0007700-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPEKAEEAAKQPMEAEAGDSVDPRELVSSDDEIDYSVEPEFYDPDLDDVDERWVDRQRKGRTSDAVLSCPACFTTLCLDCQRHEKYVNQYRAMFVRNCKVKIDQILREGKSKRKNRKGRAADPTAASEGESKGQAYHPVCCEVCSTEVGVFDEDEVYHFFNVIPSNS >Sspon.01G0021690-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:79672560:79676312:1 gene:Sspon.01G0021690-1A transcript:Sspon.01G0021690-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MINEVPEVHVDPRMDPSYESAEEPDYKKAVDWTMHRNGPDQLFPGRKGTELNSMENHTQKSLDASGHISSLPTEDVEHRGAGSNTNLNNMAPEPASLGVLSNDTEPEKVWHYRDPNGNVQGPFTLLQLSRWASFFPRDLRIWLTFESEENSLLLTEVLPKQQKDFIQPSAVTTSDKSIWAGSGQDRINSNANNSSSPMGYNMVCSSALSSSSAECYDPTKDLKPLGGTLPSRSPKDAHALHEVQNQVNNSSTILSSAGPYVPPGSHDERVSRDRVGEWNSSQDNGGIWSPTTNHSRKSNAQQHPDGCTGKHQMQTESKCSSHVSVLTPQQSERDPATSLSTTSRPEFKAMCQQEGSSWSSATNAGTHDLQLSIALAKPETCSPTNPVEDRDSSSASAVSCQSGAPACIPQPDPTSTSNSRKIEASMDQRKTCQPDTSSESFDRLPEPKSGSLFSLKTQHLESEYPSPIPKLEGKETSVNQSGSTSVAHENLATKTCVRAPTSKIDSLQPLKERSCSTDRDSTNQLEHLFEENVIKRNNEVVDPVSDAEGIAVSDVLESLTEQDCPLQNLVPASAEEEQPQCSSPIALSPWGEPSYYQGEAVDSALWGVQDDPGNDMWSMPSPTPGIHNSSGLGADGKDASCIIEEARADQGVSAFVETLPTQGEKKMEHANSSASGSLVPEQVKPKASTASGPSLDGSTKALGWQPPGSSLEGSAKALGWKPPALSLVGIGNAAGSQASCPSTQGSTKSSGWQQSSSPDGSRKASAWQRSSTSPEGSGKAHGWQRSGSFTQGVTKSAGWQPSGSSTDRSAKVDGWQRTSSSPEGSRKASGWHSSGRESSKVTSGASENRKLSSSHQATTPTGRHSLAKKQGSSDKGAAGWEEAPGNSLEASKRQQGNSDKNAGWGEALGSNRSWHPSSGNPSRGSQGNHQHDRHFHSSDLRRGSSNYPRRSDHRHDHGSGGSSRPSSRGQSQRGVCKYYENGHCWKGSKCQYLHR >Sspon.04G0002920-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:10132302:10140615:1 gene:Sspon.04G0002920-2C transcript:Sspon.04G0002920-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MWVFYLISLPLTLGMVVVTLRYFAGPAVPRYVVITVGYAWFCSLSIIILVPADIWQTLTDSAKGGIGFFWSWSYWSTFILTWAVVPTIQGYEDAGDFTVKERLKTSIHMNLLFYSIVGAIGLIGLILLLIMHRAWDGGIVGFAMACSNTFGLVTGAFLLGFGLSEIPRNIWKNADWSHRQKVLSHRVAKMAVKLDNAHQEYSNAIVVAQATSNQMSKRDILRPYMDIIDNMLSQMLREDPSFKPSGGRLGENDMDYDTDDKSMATLRRQLRRAHEEYYRCKSEYMTCVMEALKLEDTIKNYERRDANGWKYVSSFRESRSGTLGSILDTIEFIWCCILRKQLQKAFAVILGCMSAAILLAEATLLPSGVDLSLFSILIKAVGKQEVLVQVAAFVPLMYMCICTYYSLFKIGMLMFYSLTPRQTSSVSLLMICSMVARYAPPISYNFLNLIRLGGNAKTTFEKRMGNIDDAVPFFGRGFNRIYPLIMVVYTLLVASNFFGRVIDFLGSWKRFKFQREEENIDERSWLEQGCKVGEQVIPLARNFNGVNTDIESQNVPLVENTVEMKVGATSSRNDRRAGQLKYANNRETIASKYTSVREQNRQSGKAMRKEISPNSVSLLEERNSEQRSNAGVPPTGVSATWASMKIGFQNFKANMGSKKFLPLRQDPGFVLNSNASSPESLDDIFQRLKRRPANVPVDYLDDDDDDNTGDMDLHFQDQ >Sspon.02G0017990-2T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:49810113:49811570:1 gene:Sspon.02G0017990-2T transcript:Sspon.02G0017990-2T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAALIGTGAVCGARPPAMYVFGSSILDVGNNNYLRGAAVGRANSPYNGVDFPGSIPTGRFSNCYNIADYVAKNMGFACSPPPYLSLAQSSSGPLVQTALTSGINYASGGAGILDSTNAGSTIPLSKEVTYFGATKAKMVAAVGPNTVNPVISRSIFLIGMGNNDLYVFAASERGRNRSDADQRRDAAALYSSLISNYSAAVTELYSLGARKFAVINVWLLGCVPGERVLSPTGACSGILNEVVAGFNKALGSLLVDLAARLPGLVYSLGDSFGFTEDVLANPGASGYTDVASTCCGGGRLGAEAWCARNSTLCVNRDQHVFWDRVHPSQRTAFLIARAFYDGPSKYTTPINFMQLAQSGSE >Sspon.03G0007030-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:19152013:19153518:-1 gene:Sspon.03G0007030-1A transcript:Sspon.03G0007030-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGSVKQIAVLYPVGGVGHIGPMTQLAKVFLHHGYDVTMVLIEPPIKSTDSGAGFIERVAATNPSITFHVLPPPIPTPDFASSTKHPFLLILELMRKYNEELESFLRSIPRERLHSLVIDLFGTHAIDVATKVGVPVYKFFASGAGTLAIFTQLPALLAGRQTGLKELGDRPLEFLGVPSMPASHLVTSLQESPEDELCRTMMKILERNADTHGVLVNTFESLESRALQALRDPLCVPGQVLPPVYSVGPLVGRGGTTDKQEGGPRHECLAWLDAQPERSVVFLCWGSKGALPKEQLKEIAVGLERCGQRFLWVVRTPAGSDGPKRYWEQRGEADLDALLPEGFLERTKGRGLVVTSWAPQVDVLNHPATGVFVTHCGWNSTLEAIAAGVPMLCWPLAGAEQRMNKVFITEDMGVGVEMEGYMAGFIKAEEMEGKVRLALEAEEGTRLRKRALQLKKETEEALEDGGSSQAAFLRFLSDACCKSLESSFGSDVLARHHRQ >Sspon.02G0020450-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:66546485:66547964:-1 gene:Sspon.02G0020450-2B transcript:Sspon.02G0020450-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPALTPRCVSRATAQGTGQEKVQDIKASGKGAGDVPAAAAAAAGEEQLVIRAPVHCDGCGRKLRRSLQRLEGVGEVTVDSRTNTVVVRGRTAVENATEVVQVVERRTGEKAVLVSPLPEKLPPHSSAPKGGETNDKKNDASTKDMGNDLPEVDMEMVTVLKINLHCDACSEEIKRRILKITGVEEAVPHLKSSQVMVKGKVEPATLVGFIHKCTGRRGAIVRAEPLDNDLLQSAKSPAPSVEAESKNGGRQNGKKKEEPNEENKGGGGDEDNNVDDEDPETEKPSDGGHGATAEEHGAHAGEAPNHNNGDHADGIVLENHTKDDRLFTVPMPAGVVTVAPEMALSNRSYYYPAYPSYAQYYYPYQQYQYPQAYPAPYACSPAAMYGYGYPANYPPEAF >Sspon.08G0008480-1T-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8D:23357799:23361016:-1 gene:Sspon.08G0008480-1T transcript:Sspon.08G0008480-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGGSDYANYTVLMPPTPDNQPYSVGGGGGGAPSSASAGGTKPDDLPLPPYGPSASSKLVNRRGGAGADDGVGGGSGKMDRRLSTARVPAPSKSLLVRSQTGDFDHNRWLFETKGTYGIGNAYWPQDSNAYADDEDGGVGSGPVKMEDLVDKPWKPLSRKVPIPPGILSPYRLLVLVRFISLFLFLIWRVTNPNLDALWLWGISIVCEFWFAFSWLLDQMPKLNPINRAVDLSALREKFESVTPSNPTGRSDLPGLDVFISTADPYKEPPLTTANSLLSILGTEYPVEKLFVYISDDGGALLTFEAMAEACEFAKVWVPFCRKHSIEPRNPDAYFNQKGDPTKGKKRPDFVKDRRWIKREYDEFKVRINGLADLIRRRANAMNARERKIARDKAAAASSDAPVADAPTVKATWMADGTHWPGTWLDSAPDHGKGDHASIVQMMIKNPHYDVLHGDAGSHPYLDFTGVDVRIPMFVYLSREKRPGYDHNKKAGAMNAMVRASAILSNGPFMLNFDCDHYIYNCMAIREAMCYMLDRGGDRICYIQFPQRFEGIDPSDRYANHNTVFFDGNMRALDGLQGPMYVGTGCLFRRYAVYGFNPPRTNEYRGIYGQVKVPIDPHGHSGPGAAEELRPLSEHPDHEAPQRFGKSKMFIETIAVAEYQGRPLQDHPSVQNGRPPGALLMPRPPLDAATVAESVAVISCWYEDGTEWGLRVGWIYGSVTEDVVTGYRMHNRGWRSVYCITRRDAFRGTAPINLTDRLHQVLRWATGSVEIFFSKNNALLASQRLKFLQRLSYLNVGIYPFTSLFLIMYCLLPALSLFSGQFIVATLDPTFLCYLLLITITLMLLCLLEVKWSGIGLEEWWRNEQFWVIGGTSAHLAAVLQGLLKVIAGIEISFTLTAKAAAEDDDDPFAELYLVKWTSLFIPPLAVIGINIIALVVGVSRTVYAEIPQYSKLLGGGFFSFWVLAHYYPFAKGLMGRRGRTPTLVYVWAGLISITVSLLWITISPPDDRITQGG >Sspon.01G0032410-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:109661667:109663952:-1 gene:Sspon.01G0032410-1A transcript:Sspon.01G0032410-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGVRYLGSQDFYPTITNKISAISAHIQSVHGIPDDDNLPTMSTFATKLKNTALCMDAAIAHIDEAAYTILKNPGHALNLTAFKDGPASTEIPLPSEYTYRLKTIFNDVIQRIHNTRPIIETVETQKHRFETYITIGNFTRRYYRSHEKNAAGAEAAAIFYSLKHVTNVLGYRIIDLNYPTLATMVADLDRWNAEINMLQLLAMFMMNQTNQMYDEIITAYEPLHMLDFYSPAWEHIVRSTSEALDMVNNYWSDIDYLYHKCDEHKVIHTASNPIPTKKRGNILHLVQIRAGTSLDTAMFVHVIIHTIK >Sspon.01G0039800-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:8681702:8683322:-1 gene:Sspon.01G0039800-1P transcript:Sspon.01G0039800-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding PRNLITKSSSHPERHGVCALLHSLSPRFIALSSTKPAAPAASAFLPLRPPLRTVSAPGRRVFEPVAVAVSSEYETEGAEQEEEGAEEFSEDLKLFVGNLPFSVDSAQLAGLFEQAGSVEMVEVVYDRMTGRSRGFGFVTMSSAEEAGAAVEQFNGYTFQGRPLRVNCGPPPPRDEFTPRAPRGGGGGGGSFVDSGNKVYVGNLAWGVDNSTLENLFSEQGQVLDAKVIYDRESGRSRGFGFVTYGTVEEVNNAISNLDGIDLDGRQIRVTVAESKPRRQF >Sspon.03G0020730-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3C:83185751:83186200:-1 gene:Sspon.03G0020730-2C transcript:Sspon.03G0020730-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKAEKKPAAKKPAEEEPAAEKAPAGKKPKAEKRLPAGKSAGKEGGDKKGKKKAKKSVETYKIYIFKVLKQVHPDIGISSKAMSIMNSFINDIFEKLAGEAAKLARYNKKPTITSREIQTSVRLVLPGELAKHAVSEGTKAVTKFTSS >Sspon.04G0018260-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:75100823:75108045:-1 gene:Sspon.04G0018260-1P transcript:Sspon.04G0018260-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPPRGGTVIDPAKCRLMNVDEKRELVRDLSKSPESAPDRLQSWTRREIVEILCSDLGRERKYTGLSKQRMLDYLFRVVSGKSSGPVEHVQEKEKGKEKEKEKEKERESIPEPNTTNHQSPAKRPRKSDNPSRLPIITNNSAASDVTGPPNNPRFCQNVACRAILRDKFCRRCSCCICFNYDDNKDPSLWLSCSSDQHLQKDTCGFSCHLECALKDERTGILQSGQCKKLDGGYYCTRCWKQNDLLGSWKKQLVTAKDARRLDVLCHRIYLSHKILASTEKYLVLHEIVDTALKKLEAEVGPISGAPNMGRGIVSRLTVGAEVQKLCAQAIDAMESLFSDVSPASSRIQRPSMIPPNFVKFEAITQTSVVVFLDLVHCPMLAQEATSFNVWHRVAVTESYLSNPSGIILAPSKKLPVTGLAPATSYIFKVIAFKNSIELGSWEIRMKTNCQKDDPRGSMPGGTGLGQNSESPKANSDGQSDPSSEGVDSNNNTAVYADLNKSPESDFEYCENPEILDSDKASHHPSERIDDLQNIQMAADRVTEVTELEEAPGLSASALDEEPNACVQTVLLRESNSLEHNQRAVVPRSQDTSNVLAGNELMIVGPRYAGSVPPNATRLVENSKENGGRGFKPKPCDNVVQNGSSKPEREPGNSSNKRTSGKMEDLGHKDNFSEVSYEYCVKVVRWLECEGYIETNFRVKFLTWFSLHATPQERKIVSVYVDTLIEDPVSLSGQLVDSFSERIYSKKRPSMPSAQRRLGKEMASTDVT >Sspon.03G0015080-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:50563898:50568114:1 gene:Sspon.03G0015080-3D transcript:Sspon.03G0015080-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEETPPGQRPQPPPAHASPPFVPAPFTPPPRVFSPGLAAARGTPSPGSGPGPATAHLSTPPGPPVFSSPLRPAAVPFRATPASPHPVPFAASGGYSSSSSATAATTASLPTSSAPHFLNGAATPHGDHAPAPSPLQGDGLDNPYVQFSANKVLKQKKLLNAPSLGFGALVSPGKEVSPGPEVVERDPRRCLNCGAYVNLYCDVQIGSGQWQCVICKKLSGSEGEFVVSSKQDLLHWPELASTTVDYVQVGNRRPGFVPVTDTRVSGPIFILIDECLDEAHLQHLQGSLHAFVDSLPPTAKIGIITYGRTVSVYDFSEGAAVSADVLPGNKSPTHESLKALIYGTGVYLSPIHASLPVAHTIFSSLRPYQLSVPEVSRDRCVGAAVEVALGIIQGPSVELSRGIIKRSGGNCRILVCAGGPSTFGPGSVPHSVKHPNYAYLEKTAMKWMESLGHEAQRHSTVVDIFCAGQCPVRVPVLQPLAKCSGGVLLVHDDFGEAFGVNLQRASTRAAGSHGLFEIRCSDNMLVTQVIGPGEEASPDSHETFKHDSSFCIQMHSVEENQSFSVSMETKGDIKSDFVFFQFAVHYSNIYQTEITRVITMRLQTVDGLSAYLASVQEDVASVIIGKRTVLRARTASDAIDMRLSIDERVKDIALKFGTQAPKSKLYRFPKELASLPECLFHLKRGPLLGSIVGHEDERSVLRNLFLNASFDLSLRMLAPRCIMHREGGTFEELPAYDLVMQSNAAVVLDHGTDIFIWLGAELAAQEGQSAAALVACRTLAEELSEQRFPAPRILSFKQGSSQARYFVSRLIPAHKDPTYEQESRFPQLRTLTPEQRARLKSSFIQFDDHSFCEWMRSLKLVPPEPS >Sspon.01G0028890-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:100520814:100524534:-1 gene:Sspon.01G0028890-1A transcript:Sspon.01G0028890-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSSSLIQGISISVSDDDEATGKVRVRVRRKRSRGPVSASARRRRILFRAARLGVPLLLAALAVSLLLYESYRLTPSRSSTLPPPSFADISRLSRNARAADGFRKSCLKFLDPEKLQNLELPEIPETNLSIKEVVYRSSLPDIDNDTPSHKESSRFNSFTGYQTLTEREESFKPQIVSDVDKEYMRSCEVVVATCAFGGGDDLHQPIGMTDNSIRKVCYVAFWDEVTRAAQEEEGHKIGEDLIIGLWRIILVSNLPFSDQRLNGKIPKLISHRLFPMARYSIWVDSKSQFRRDPLGVLEALLWRSNSSLALSEHGARSSLYDEAKAIVKKHKATPEEVEVQLDQYRQDGIPDEKRFNGKKALAEASVIVRDHAPLTNLFMCTWFNEVVRFTSRDQLSFPYVLRRLRPPGVHLFPVCARKDLVNSFGHRRKVKPLVKETT >Sspon.07G0014400-1P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7D:51303367:51309911:-1 gene:Sspon.07G0014400-1P transcript:Sspon.07G0014400-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPPDRRDYLYREGRRHDGGGDPLLPPAPTPPRWRDSPYHPPPPPPLRDHSRPSPRRAPPSASSGRPAPRESSDLRPPPPLRPPPAPLISSNRSAISEGYYRQGGGAYDRSYPDDPPPVYTPSRSDRYWAEDEGGGYKGFGGRYGGGGRRDGRDMRGSYRRSPYRGGYGSDFSRNHPEQPPPPPPRRSPLRDNLPRVTPWRRRESRSEAADAVGQTTRLSASGKEASAQPLAVAGPHGTEDEAPRKKARLGWGQGLAKYEKQKVQGPADPAEAVADGSPADGEQKTTSLVPLPAPSAAPVPEPEPEPEPAPAHAAASPAPPAAPVLLSAPVRCSSPVTAPPYCSPAPEDKSCEMTPNTVTNSTKDVMEADDKTFNNEFSIKLDELGDDPVNSLANMLVELIQHDDSCSGDSNGPTSTRKLLLLKESISKEIEKTELEIDSLEGELKSVNTEAATTLEGSPTGVTHTENLSPSSGTSKVPVSVEICDASDMIKEPGELIGSPKVHVVQDADVKGADMMEIEADPVCNAKTVPSEESAVSPGVAEGEVCAAADLSSLKASEEAEVSDDLPVMQWAYNDHKSDLLGSVTSANNDIAKEMNEVLFKSSPADTPGLEMLASSHLLSQRNSDLIVKERLGVRKTRLRLKEQILTVKFKAYRHLWKEDVRLLSAKKQRSKSNKRIDQSNRTSLIGSQRQRSSNRSRLTMPAGNLSTFSTPEMSDVARKLFSEFHIKRCRNYLKMPALIIDEKEKECLRFLSKNGLVEDPVLVEKERVMINPWTQEEKEIFMEMLAKFGKDFSKISSFITHKTTADCVEFYYKHHKSDSFREVKKLLDLRQQQPTSNYLGAKSGQKWNPESNAASLDMLGAASVVAAHGLEYANRSSDKECIDNVPLHERESVAADVLAGICGTLSPDGMGSCITSSADPGQKISMKRVEHVLSQENDKIVDEEDTLSDQECEVDPVDWNDDEKSIFIEAMNNYGKDFAQISSCVKSKSYEQCKVFFSKARKSLGLDLIHQGAADVSMPASDTNGGRSDTDEACAVEIDSAICSTQSCSKNVIYVCPTEGAIGGPNSVIKISKQAEGDISNGCDVDVKIEEDEKEADKKCSIVDDKRSSDGTHQAGPIDINCPESTDKLQGTDDVDHQVNMHSSSAISSSTKHAMATHLEVRSSLHSLEVLQTDKAEGTGTDPSRVEECSHHALDNTPMKTGNSGASAFIASDNGIKDSVHISNMTGASTVSPAFTSSYQHSVPGDMPLLKPKPLVTPLTPKDLMPVQFSSVLPDPTAFRFEGIASITTPNFDDSENRVSSARGAKDMSKYPAFKDPSGNQHTLFRNIDGYMNHRLTTELPIFSERTASTVSTSQTDRFTQTKFQNGRSSSLCLPNSSDGIQWPRKHEEVLEGSLRPCSRNTSSEGDEQQKRPGDVKLFGQILSQQSTLQSSGSSCNGSKSKPPSPKIDTSSVRLMNNPKDHVVCSSRPGITPHLGLEERSARSYGHLDGSMTQSEHLLVMTKCQGSLAGVPFYSAKNGTLGVFPDYQQPLVPQHQSDPKRLERFSDPQKRNGLELISGFQQPGRVTRFGGAGILVSNVSDPVAAALKAQYGPGSKIMGSDADPWKDIGSR >Sspon.07G0032120-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:16912093:16914397:1 gene:Sspon.07G0032120-2D transcript:Sspon.07G0032120-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPLSSTDSLVPPLRRRPRRLVFDSRYGWIFDEWTDPADQALSGGRGMFCAVTVARSLVNAAASSINYAASSISRVLERPKRLPLPAHMSTLAFRKKQQAWFRELECSGVVADLKLGVV >Sspon.06G0014380-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:76122505:76123412:1 gene:Sspon.06G0014380-1T transcript:Sspon.06G0014380-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQSGKLLLLLPALVLLLLVQAQGARPAAASKAKPTSKCAASSVTVSQSNTGDKAGYDPVFEVTVRNTCRCAVRAVYLRSEGFASSVAVDPRLFRREGRDYLVGDGRRIEPAAEVRFRYAWDRAFRMTTATVHDDCS >Sspon.03G0018090-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:55619770:55619982:1 gene:Sspon.03G0018090-1A transcript:Sspon.03G0018090-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADWGPVLIAVLFFVLLTPGLLCQIPGSGRGVPEFHSMRTSGVAIFVHTLLFFGFCAIFMVAIGVHLYAG >Sspon.08G0002090-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:4771808:4773899:1 gene:Sspon.08G0002090-3C transcript:Sspon.08G0002090-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWPAPRDTPSVRRCSSAGSSPTTSPRCCSTCPTSSPSTCSAPRLGPPQAKQPGYHPRYVDVFFMSTSAVTVTGLATVEMEDLSSAQLVVLTLLMLLGSEMFVSLLGLVLESSRKQQQQRESHQDHDSRVMAAAVRDEPDLEEANDPAAPSADSSGDGGDRKESCRAVRTLALVVSAYMAAILVVGSVLVFAYVATVPTARDVLARKRINAALFSVSTTVSSFTNGGLLPTNESMAVFAANRGLLLLLAAQILAGSTLLPVFLRLAVGATRGLARALFLFTERGGPVEELVPMDMEKSAAAAGFGHLLPSGSRAASLAATVVAVAAAAATLLCCLNWNSAVFAGLTAGEKLTNAVFMAVNVRQAGENSVDCSLVAPAVLVLFLAMMSVTLLRAKLKHTTRQHVVRSLTDFACQPTTFRCIPASATFFSAHDDGGERKRSGAGEPECRDGAEKKKRRLSLNSMLLSPLACNAAAVMLACITERRSITVDPLNFSTFNVIFEVMSAYGNVGLSTGYSCSRLPPAAEEATAACHDKPYSFSGWWSDQGKLLLVLLMLYGRLKGFHGQRRRR >Sspon.02G0030710-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:106453386:106460572:1 gene:Sspon.02G0030710-2B transcript:Sspon.02G0030710-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRLLHLHLRRGRGRGRGGSPGSPVPALPLLAARLLSSSSCSPPPTASSASAGGGIEKVLVANRGEIACRVMRTARRLGVATVAVYSDADRGALHVRAADEAVRIGPPPARESYLNAGAIIDAALRTGAQAIHPGYGFLSESADFAQLCEAEGLKFIGPPASAIRDMGDKSASKRIMGAAGVPLVPGYHGADQDIELLKLEADKIGYPVLIKPTHGGGGKGMRIVQSPDEFVDAVLSAQREAAASFGVNTLLIEKYITQPRHVEVQVFGDQHGNAIYLYERDCSLQRRHQKIIEEAPAPNVSAEFRSHIGGAAVSAAKAVGYYNAGTVEFIVDTLSGEFYFMEMNTRLQVEHPVTEMIVGQDLVEWQIRVANGERLPLSQEQVPLNGHAFEARIYAENVPRGFLPATGTLHHYRPVPSDPTVRVETGVEEGDTVSMHYDPMIAKLVVWGESRTAALVKLKNCLSNFQIAGLPTNVGFLQELASHSAFERGLVDTHFIECYKDDLLSISKKSSEESHGVSELGAILAAACICKKDHITSKESQRANNTLSVWYNNPPFRMHHFARHPLDLELEENDGFSEKLLKLFITHKSDGSYFIETEDGTSGLDVKVDHKGDHDFRVDVSGLQTDVTLASYSKGNSKHIHVWHGKHHHHYRQTVRTEHVVDDSSQPSHASEGKSHPKGSVLAPMAGLVVKVLLEDGAQVEAGQPVMVMEAMKMEHVVKAPRAGYVEGLKVTAGQQVFDSSVLFTIKDNAES >Sspon.01G0000950-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:3154188:3154376:1 gene:Sspon.01G0000950-1A transcript:Sspon.01G0000950-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGFDRAGYGQSDPNPNRSVKSAALDVEELADALGLGPKFYVIGISLGCHAVWGALKYIPDR >Sspon.01G0016530-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:58741114:58744237:1 gene:Sspon.01G0016530-2B transcript:Sspon.01G0016530-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVYREAVSAGLLPSSDVLSQVLGCLRLPHGSSLKSSFIENMGISSDIPQHPNINSLFEGFGEYDIRAFSILEEAASLGAVGSISMKETRILIDARKLKIYTAEVSLLRTLRSLKHRLAAGSRLPNVTILLPTEKKQVDIDEKEKTLKLAGRIGQAVGSLLRRLGISYQGEESHGRMRINGLTLRRWFSPKLNSKSSAAAPADLLPLPSRLAKGIAGQQRDIRNLSLE >Sspon.05G0011260-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:32211808:32214058:-1 gene:Sspon.05G0011260-1A transcript:Sspon.05G0011260-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoribosylglycinamide formyltransferase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G31220) UniProtKB/Swiss-Prot;Acc:P52422] MEAAAAHAPPAPALRRSLALSPTPAVRPDPKPWQGVVLSARRQSHAVRCEPPRRPEARLRAAAAAQPGGADAAAAGRRKRLAVFVSGGGSNFRAIHEAALGGPVHGDVVALVTDKPGCGGAEYARSNGIPVLVFPKSKSAPEGISVAQLLDSLRGYSVDFVLLAGYLKLIPAELIQEYPKSILNIHPSLLPAFGGKGFYGSKVHKAVIASGARYSGPTVHFVDEHYDTGKTLAQRVVPVFADDTPELLAARVLHEEHQVYVEAVAALCEDRIVWREDGVPLIKSRINPDVYL >Sspon.03G0034900-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3C:73994434:73997048:-1 gene:Sspon.03G0034900-2C transcript:Sspon.03G0034900-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GRPPVISPPRREAPRPAPAAFLQQVPPWFCAPELPLNFLLSRRFSRRSRHARLVVSSCPARGTAPSSSSRFSCPSVWMDDPGGGGGDCRFAFEDFGRGDGELGNGHPRWGLRWAAIRREQGGLRLRRMLPLNSSLLAASFSKDAEVMLKMGSTTDKREQYRLMRDAMEKRFIRVAKGSLVGGVRLGMFTATFFGIQNLLIDTRGVHDVFNIAAAGSATAAAFGLICWVQLKLAEKANLEIANSKPSIDLTEGKGNQTRVGAAIERLEGSLKK >Sspon.02G0022280-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2A:74633641:74634372:1 gene:Sspon.02G0022280-1A transcript:Sspon.02G0022280-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRRKIEIKPIENENSRQVCFSKRRQGLFKKASEISILCGAMVGSVVFSSFGKSFSFGHPSIDDVVNRFLNSVTPDGPASSGANHDNSLAVTGTVQGLNMEYLELQQSLDSQKKKKERLQEATKKEMGERMMQWLNANILELSLDELQEFQKLLEAIDGVVKEKENNIVVEARETEGSATQPPMEIASALQYQFGEHISANSMAFTAPSSSNGFIDGFEVNDPLLSGGLQDVCGLGNFPYNQNH >Sspon.04G0026470-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4B:43452966:43453319:-1 gene:Sspon.04G0026470-1B transcript:Sspon.04G0026470-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAPTAELVLLAAFLCVPTLLLSMPHSPPPPCSPPSSISTASKRRRPPSSLFTALIDHRAGERAVAGRARLGASRAGRCHVVPPSSEAHRPEPFPALLCIVWRKKSEKHMLQMFQVF >Sspon.02G0028230-1P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2A:112948777:112949397:-1 gene:Sspon.02G0028230-1P transcript:Sspon.02G0028230-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding QDALQILACKEMRIHPNILSENSEMDEEGGEGGGTASALLAAKGRAVTQVAKKNLIQIAVPIFIELKRLLESKNSPLIGCLMECLRALLKDYKNEMDEILVADKQLQRELLYDMQKYEAGKGKGKAAAQAEAEAGPSGTGRSPAGESARATVRSVLKEVNLKAPTPPLHSMSVPKVKSILGSAEPGSRRPDVLESVRRLQPFESDDE >Sspon.08G0003250-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:8721839:8722893:1 gene:Sspon.08G0003250-1A transcript:Sspon.08G0003250-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSICQSPAGHADTPPCHERRHAPMHTHTSDSIPTRGTSRTPFPYAVGATTAATAATPAPSEPDRPSEPSSPAFRAAGGAGANKETDSGSSSSAADDFSFSASGQRSPSTSTSTSALCFGAGGAGMRLWSSSKALARASKIHDAQQAAASVAPKGYAVGRHLRRISRRLRKARAAYKEAPAAPRRGAVDDTRERAEAVARAIAHCKETLRRGTPRRRRLPPPLSSLSLDLRLRDRQDEIIASAAAHCDGLSSDSRPPPPAAVFPTALARQAPCMRLPHIQAGGRESAAAAAAAGTPSSSQISGPVTSMEVETRGSFSELEFLETFDGDEELIDRHFITVQI >Sspon.02G0009110-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:26036406:26037231:1 gene:Sspon.02G0009110-1A transcript:Sspon.02G0009110-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGLLCMQWPGAYCEDSDNGCCVPKYGYPAEDFFVEFFQTFDVSINKPVVRCRNGSPFDAKKLDKIENNINHYWTKIKCPPTDGVNAWKSAWDSYGVCSGLKQLDYFKAALNLRKQADLLGALADQGILPDYKLYSTAKIKYAVTAKLGVEPGLQCRDGPFGKKQLYQVYLCVDTDAKTFIKCPKLPATLSCPASVVFHPFYTWMLNSTAAAAAAFDSKILLPTQT >Sspon.07G0019230-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:75272693:75274308:1 gene:Sspon.07G0019230-3C transcript:Sspon.07G0019230-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMADEGDKGEAQPPGLDPRTRRSAATRATGDMAGGGGEEESEGAPGYVLSLPAAWLPLPVAVSCLDATVRRKGRSRPRLRAQPSGWWAFKLPVVPAPEEAKRPVPPAAAVNNPPEEALRRGGDAAVEVGADEAGHALQRLRGPAQGGRGTAGQVQRRPAQPTSRTPARPPPPDSPVSESSPDSPIWESGSVPDVYLVRKKPLKRGRPPPRPRTQPAPAPAPAVYLVKKKKKKKAAASARKPWRPSKSAKQCLHCGSSSTPQWREGPLGRSTLCNACGVRYRQGRLLPEYRPIASPTFEPSEHANRHSQVLQLHRQRKSQSHQQQHPPRAMDVLQFPPQRWHVKEEYPPTPLHQPLLHPVVDGNLAGGDCALGHGADAGHGGGDKGSDLNNAPSSLDSLLLEGPSAPLLVDGDEPLIA >Sspon.03G0003210-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:8110516:8111779:1 gene:Sspon.03G0003210-1A transcript:Sspon.03G0003210-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRQSSSSPSPSSYSDALMHHHAASFSAAALSVTVPAQIPTAGGYLDGGGNVGAFSSPPSSCYSSSLPSSYYNYNSIQRSISSHSLPMHLQLADVSLGGVGGGSGFYLPSSPSTHQLTTLPPLSSSPSSSSGEFFEFTSSCPVRRVFSTGDLQGMNGSSPTRPVPSGDGCGQEGGGPFSQKVGRYSAEERKGRIERYRVKRHQRNFNKKITYACRKTLADSRPRVKGRFARNGETDAEADEREASDNSYEYGCSHNELSSNGNTYSAGSCYDGHYSNRLNGGGGGGSNGAGDNGEWWWRAPGAAAADDEVQRQRQVVGFDEEDELWATLGDMLSVNLA >Sspon.07G0001770-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:4248663:4252354:-1 gene:Sspon.07G0001770-1A transcript:Sspon.07G0001770-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMGRFVNSMDPRSGLEVIRDWNGVAQVVLRSPKGASARVSLHGGQVVSWRNDRGEELLFTSSKAIFKPPNAMRGGIQMCFPQFGYSGTLERHGFARNRIWALDDEHPPLNHNDNGSKVSVDLILKPSEDDLKCWPHCFEFHLRVSLSKDGDLSLISRIRNVNGKPFSFSFAYHTYLSVSDISEVRIEGLETLDYLDNLSHKERFTEQGDAITFESEVDRVYVSSPNVVAVLDHEKKHSFVIRKEGLPDIVVWNPWEKKSKTMVDFGDEEYKQMLCVDAAAVERAITLRPGEEWTGKLELSAVLSTNCSDHLDHPAII >Sspon.07G0010250-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:29238705:29240812:1 gene:Sspon.07G0010250-2B transcript:Sspon.07G0010250-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADVEKAAPVAAPAEGAGGDAAGGRTGAVGAVLRRWRTQDLLDRSGSALRAGAWALSLLAFLVMACNEHGDWRQFDRYEEYRYIVAIGLLAFVYTTLQLLRHGVRLTGGQDLQPKTGLLVDFAGDQVTAYLMMSALSAAIPITNRMREGADNVFTDSSAASISMAFFAFVCLALSALISGFKLAKQTYI >Sspon.06G0029850-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:35846298:35847866:1 gene:Sspon.06G0029850-1P transcript:Sspon.06G0029850-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHSTTLALHLLVVVVVLALTFAASASVSAPVNKSCVTGSAGASVSIGYGGARASAGAGVSLGADAYRTTCPAPRRAVAADPRMAASLLRLHFHDCFVNPFFVGEKTAVPNANSLRGFEVIDAIKAELERECPETVSCADLLAIAARDSVVVSGGPSWEVEVGRKDSRTASLQGANINLPAPTSGVATLVQKFRSVGLSAKDMVALSARCTSFSARLAGVGGVSEGGAGAFKDLTFLQSLQQLCTGSAGSALAHLDLATPATFDNQYYINLLSGDGLLPSDQALASLSSGAGAVPGVEADVASLVAIYAFDASVFFQDFAESMLRMGRLAPGAGTSGEVRRNCRVVNSSG >Sspon.04G0020580-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4A:72488144:72490034:1 gene:Sspon.04G0020580-1A transcript:Sspon.04G0020580-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFAIACFECFRCFRGIEEATAIYSTVAPDTRTATTLTAVPKYHQAPAAARGVAIVQLYTDWNYLSFQFQSIIILRFPMDRKPLLWRRSVEAYAEWPGNNYGFELANVCWALLTSLPKVDLVF >Sspon.07G0002360-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:5808407:5808962:1 gene:Sspon.07G0002360-1A transcript:Sspon.07G0002360-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASNSGSGDSMEWGRGRSSRKGKRGGGGGSGSDKPRQPQRGLGVAQLEKIRMQSEMAEYLHRPLGHPPPIHRTGSFNLEDSRLSHSLPSSPSSSAFYAANIGVSSSSYPIHRPTHHLAVYTAIY >Sspon.03G0007170-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:19588768:19589851:1 gene:Sspon.03G0007170-1A transcript:Sspon.03G0007170-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:At1g29280 [Source:Projected from Arabidopsis thaliana (AT1G29280) UniProtKB/TrEMBL;Acc:Q0V866] MDGEWSDGAAASTPTLSGGESKAGPAGGVSSSADCPGSPVSPVPPSTSPAAATGTGRRRSATKRVVTVPLADVSGPRPKGVSEGNTPTDSWAWRKYGQKPIKGSPFPRAYYRCSSSKGCPARKQVERSWAEPDKVIVTYSFEHSHSDAVARAQNRPAPKPKAAQLPVPAPEPAPESPSSGSHDVAAATAVCGGAPAAAAETEVVGAAAAVEVHDEFRWLYDGVSVTSSASPSDVEAADEMLYGAMSFFGAAAPPAAPLPDEFGDVGGLFDYGEGGEEDAMFAGLGELPECAMVFRRHAGDGLPVAGGVK >Sspon.01G0046860-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1C:91096136:91098334:1 gene:Sspon.01G0046860-2C transcript:Sspon.01G0046860-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRASTPGRTLPLAAPSPVALLRPAYVPTPARTACPRPDSRLPSRSHSIRLPLLSSMPPMSSTPATFAPLRDIELRVNEPPFVQAANRCYTEKACCNTSSLKVQRSGLPATVTVPPA >Sspon.07G0004810-3C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7C:7682620:7683966:-1 gene:Sspon.07G0004810-3C transcript:Sspon.07G0004810-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPESSKKLRILLVPFFATSHIGPFTDLAVRLATACPDAVDLTLAVTPANVHVVRSALGRHGAEASGAVKIATYPFPRVDGLAPGVENLSAAGDDGWRIDAVAVDEALTRPAQEALIREQSPDAVITDVHFVTWNNAVAAELGVPCVTFSVVGIFSTLVMYHLGRAAAAVRDGQEVIIPGLPAPEIRIPASELPEFLRRQPEHDGVRQCHVAMGRCFGVALNSFVDLEQPYCDMCVRSGFLKRAYFIGPLSLPLPPAGASGGDSPCVEWLGAKPRFSVVYVCFGTFAAISEEQLRELALGLEASGKPFLWVVRAGGWTPPEGWEERVGERGMLVRGWAPQTAIFAHPAVGVFLTHCGSSSLQEAAAAGVPMLTWPLVFDQFIEERLVTEVLKIGERVWSGPRSTRYEEQTVVPAEAVAREVARSRAGVLAAKARSAVAEGGSSFCDLR >Sspon.06G0017220-2D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6D:75358095:75359522:-1 gene:Sspon.06G0017220-2D transcript:Sspon.06G0017220-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDARSPSSPLHVVICPWLAFGHILPYLELAERLASRGHRVSFLSTARNLARLPPRRHATIDLVALPLPRVEGLPEGAESTNDVPGDRLEPLFEAFDGLAAPFAEFLTAACAAGGEGSRPRPDWIVADTLTHWAATVAVQHKVPCAMLVSSAAVVSAFACGARDCTELAAASIFEQPASVAVEEPPAGMPRYEWKRNAAGLFTPHERTGMSVVRRITLTLQRCTITAMRSCPEWELHAFSHAAALLGKPLVPLGLLPPSPDGGRGAGKNRDDATMRWLDAQPPKSVVYVALGSEVPLRLEQVHEMAHGLEMAGARFLWALRPPRDGVPDMDVLPPGFQERTHGHGLVTMGWVPQNAVLAHGAVGAFLTHCGRSSLIEGLLYGHPLIMLPISGDQGPNARLMEGRKVGLQVPRDEDDGSFNRDGIAKVVRAVMVEEDTRRVFVKNALKLQEVVADEELHERYIDGFVQQLRSYTPS >Sspon.06G0020310-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:8979581:8979967:1 gene:Sspon.06G0020310-2C transcript:Sspon.06G0020310-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GVPELQFQQLPRRPPDGAGLLPGRAHHVHRRRRGAAARQRRGAVPLRRRRRTRRAPPPPPRPSPPQTGRHHRRGRGRGAAMGRRRRAGHLRAARHGRPAAAAEAERPGVALAQHAGAAGLPRDDGACVT >Sspon.06G0002460-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:4358336:4361692:-1 gene:Sspon.06G0002460-2B transcript:Sspon.06G0002460-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEDFEMPPAGADEMMGDDEMGDFGGDEGPVLKVGEEKEVGKQGLKKKLLKEGEGWETPEVGDEVEGQVIKGWDQGIKTMKKGENAVFTIPPDLAYGASGSPPTIPPNATLQFDVELLSWTSVKDICKDGGIFKKILKEGEKWENPKDPDEVLAYTLIIAVVKYEARLEDGTVVSKSEGVEFTVKDGYFCPALAKAVKTMKKAEKVLLTVKPQYGFGEKGRPAAGEEGDVPPNATLVIDLELVSWKTVTEIGDDKKILKKVLKEGEGYERPNEGAVVEVKITGKLQDGTVFTKKGHDEEPFKFKTDEEEVIDGLDRAVLNMKKGEVALVTIPPEYAFGSTESKQDLAVVPPNSTVVYEVELVSFVKDKESWDLNNEEKIEAAGKKKEEGNALFKLGKYARASKRYEKAAKYIEYESSFSEDEKKQSKQLKISCNLNNAACKLKLKDYKEAAKLCTKVLELDSQNVKALYRRVQAYIQLADLELAEADIKKALEIDPNNRDVKLEYKTLKEKIKEYNKKDAKFYSNMFAKMTK >Sspon.03G0013670-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3A:39162623:39167553:1 gene:Sspon.03G0013670-1A transcript:Sspon.03G0013670-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CBL-interacting serine/threonine-protein kinase 8 [Source:Projected from Arabidopsis thaliana (AT4G24400) UniProtKB/Swiss-Prot;Acc:Q9STV4] MVGGGGGGGALRRVGKYEVGRTIGEGTFAKVKFAQNTETGESVAMKVLDRSSILKHKMAEQVLASRKKIFIILEFITGGELFDKIIRHGRLNEADARRYFQQLIDGVDFCHKKGVYHRDLKPENLLLDSQGNLKISDFGLSAWPAQGSSLLRTTCGTPNYVAPEVLSHKGYNGALADTWSCGVILYVLLAGYLPFDEVDLTTLYGKIESAEYSFPAWFSGGAKSLIRRILDPNPETRIRIEEIRNDEWFQKNYEPVKEIENEEVNLDDVNAAFDDPEGEVAFLGLAGARGISYLLAFVVV >Sspon.05G0010600-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:32654387:32657435:1 gene:Sspon.05G0010600-4D transcript:Sspon.05G0010600-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSGSGGGVEGGVGEGPTTLDELYKINVVPAELHFKFRKELQGLRVGLNLEFYNLEVNDFEAKIVLKPLDYDRKWKFQYKPISGDIQLLSKKIPVTKFLNLQVGIGHNYHLNATGWKWKLSTCLGGDGVSQIRNKSKLSLFPGFDLRIGWKAEYVLPEIHGAVGTGEPAFSMNYGRLHASIDRVEAIVTQSDRY >Sspon.07G0026790-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7B:55266063:55272384:1 gene:Sspon.07G0026790-1B transcript:Sspon.07G0026790-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAELTTGCVGRRTADRHPARQRHGLMGSDLQVFHSVQRPVVTRPLHASDAGRTHHTPTPWIDGIGPPTLAVLTCWPVAAGEGEACHSSLYSSSKLATGICICFCLLVLFGYPAGERRRFRVYTCPVYTGFKVLALINPYSWSLGEMSKTRRKKEPPDGKKPRSLQNVDLIRIGWYRKIWDIKNIRLGDIEAQTSAVSHRIGPRFRVSVSSVADRSQNRAAAWARRGGRTPAVAPLVSREWASRSSRHPPVCSLPPFTPRPCRAHRGETSRRHRRRALPHGRVSSPSHCSHPNTFHSSARDSSTSSIPYLSQPRGGKSALPFPPPRGLAGVRPRDGSPWLAHCRHSPSLLAPVSGSWSHDGAKHKSALSRSSLKTVSKVAKTLSDGAESHVRRVGFDAMLDYTLEKLETRELLLWLMDRYDEGSLPDDEGILDEYSFFIRISPEKRVLVNPQAVNNVLGTPFNDNLADSVSGDKSGVLEAMFDEFEAAGINVKTTTRKFNERLNAWQSKVKKQISLKALKEYMQWIKDNNKGSEKEAQVFFYILFNRLLMPSSDPNLTGENILCGDLQKIETINWSKVICKHLKEGIIKRRERKKKVGVKTASVAWGCTFVLAICYLDTLITDEEPKETPRIKYYTKEKIANLLKKARDVDKFVYVPEGKQAVNTQKDKKEDKKGKKQDKKRDGAKRWESEQDMCKDKGMLLPSVDHLLQASMDKVPEVQDEMNALVKDYQSFVKKKVHEIRVYQSSVVAQAMSLEEKVRETNEFYAEHIESKARFTDDTIVMEDKDKEVIENMKITPAMDISRHKLCEFEFVKSLKPTGELSNFIVDTLAYLWNKDWKDKDKVMLSQGAVVTSLKTHLASQDIQFVGTEHEICVPQQRNSYDCGLYMLQFILNFGDEAIKRINKHPDNKPCIDEGTRLEFDDDEGLWS >Sspon.06G0012770-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:67314625:67316706:1 gene:Sspon.06G0012770-1A transcript:Sspon.06G0012770-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVMAAAMQGLGRATTRVATVSLLLLLLPVLLASLAGGSPPPDPVSCTRGTSDCTVTSTYGSFPDRTICRAANATFPRTEQELVAAVASAAAARRKVKVATSHSHSFPKLACPGGRDGTIISTERLNATVRVDAARRLLTVESGMLLRDLVRVAADNGLALPHSPYWYGLTVGGMLATGAHGSSLWGKGSAVHEYVVALRIVTPAPAAQGFAVVRELAAGDPDLDAAKVSLGVLGVISQVTFELQPQFKRSVKFVTRDDEDMAEKLAVWGDLHEFGDVAWLPRQGKAIYREDDRVDVSTPGNGLNNYIGFRAQPTLGLLTARKAEERLEENGTDIARCLAARLPAATFELQAYGFTNDGVFFTGYPVVGFQHRIQASGTCINGGDDGLLSACTVAMSKVPAFVADVQQLRDLNPRAFCGMDAKMGVLMRYVKSSSAYLGKAEDSLDFDVTYYRSYDEGVPRAHADVYDELEQMALRKYGALPHWGKNRNFAFDGAIARYPGAAKFLEVKDRYDPDGIFSSEWSDQVLGISGSPNVVGPRCAVEGLCVCSDDEHCAPEQGYFCRPGKVYTDARVCVFEQRTIRLVDEL >Sspon.04G0020220-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:71125515:71125667:1 gene:Sspon.04G0020220-1A transcript:Sspon.04G0020220-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDATKCTFKCFHPGDCDRCCKGHGWDHGKCAALECECCNPGTEPPLPSLK >Sspon.06G0002150-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:5239924:5241928:1 gene:Sspon.06G0002150-3C transcript:Sspon.06G0002150-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVEDVAIDCSPGPAELRRRMRFKRMPCLVQTQVRLCQSPAAASSPLLEALEGSGELLQPEVGGSLVQPYLQAMVAGLAVIAPSIEESIRSGVRPRCLCAGVGGGSLLMSVRVGLQFDVIGIEADGVVLDVARNHFGLVEDEFLHVHVDDAIQMIEGFARQGEPDMNFSAVMVDLDSSDAMCGVSAPPLEMTHGNVLISVRTILHRHGVLILNVIPPPADRSFYKGMIDLLRQVFSELYEIDVGNGENLVLIATVSPIETTVTEGKSLVTEEECFLAMYTPHLIQTLDNWAEAMAYQYVATRTMV >Sspon.03G0007990-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:21540153:21544530:1 gene:Sspon.03G0007990-1A transcript:Sspon.03G0007990-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPLMNVNFHSLLFTPMPELDSEVATQLLRLDLLRHLATNTHRVVRLVSATVIQRGQDLLLDRLCQTEEYPLRFDSPPRSRVLEWYVQYRSPRRIRSPGPGTMARDPESSSRSSLARQGKHHGACACARNETPHLAADPVSPSRGELPSWAGGEAADDGTGISPLAREPLLFHFGSDALVSPEADTWRVPASGNACPLNDTYPPVSALQLERINVNRPKQQLVITATQHQRDHPTSYHAGETKARAEVTTGVPACCTLHVMGAAQEKGREAKHKASHAADRAMGMGHDAADRSMGMGHDAKDGAYGAKDAASDAAGRAMDKGRGAAEATREKACEARDSASGAAGDHARDGAQQTGSYIAQTAEAARQKAAGAGQYAKDTVVAGKDKTGALLQQAGEKVRNTAVGAKDTVVNTAVGAKDAVMNSLGMAGENNDGTTTNAGKDTSTRKPGRDY >Sspon.03G0039460-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:10489596:10492702:-1 gene:Sspon.03G0039460-2D transcript:Sspon.03G0039460-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:K-box region and MADS-box transcription factor family protein [Source:Projected from Arabidopsis thaliana (AT4G09960) TAIR;Acc:AT4G09960] MGRGKIEIKRIENTTSRQVTFCKRRNGLLKKAYELSILCDAEIALVIFSCRGRLYEYSSNSVRSTIERYKKASASTSGTAPVIDINSLQFFQQEVGKLRQQIQTLQNSNRHLMGESIGNMTAKELKGLETRLERGIGRIRSKKNELLLAEIEYMQKREADLHNENMFLRAKVAEVERALQQEAAEDQTMTMVPAAVRGATTELKALPASFDPRGYYQYQQPQHVQASVLGAASAASSSQYSEQPQGQGQQEYHHQTALHLGYHVKIDDSAAGKGFL >Sspon.02G0000060-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:462260:463466:-1 gene:Sspon.02G0000060-1A transcript:Sspon.02G0000060-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVSVCRGPAVPAFEAPCWLRPVEPYKQPEVVVDDDRPAQVDIWNAIQADVEKVSAGAKKASKPYVHPLVRRSSSLMSQKSLEVCTESLGNETGSGDFTSSLDMASLFDSPLPAAAAAAAPEESFWQHDAARCCEEEQWDSKDLAAVNYHCLAGTRSPRRSFPPPLPSMSRRDGPCLQMGARRQDGRLVVEAVAVRPRGAASKITEAAAEAPYFRTVEMEEDDEVTEEEEEVEVGDRGTVVEVKVSTQPQTHTAAKVHRSTLVINKFVGSTPVSVVDQPRFDADTTQPEADTCDETAAAQSPRPTLRRMPSSTTTLAAAVAVASTGTDDAPPAPEEDNDECGGLHLSASTGPAVETKQPLLSFTSRRGDKQDLLQS >Sspon.01G0063270-3P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:113552544:113555702:-1 gene:Sspon.01G0063270-3P transcript:Sspon.01G0063270-3P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTHQANTLRLYLTCIRNTLEAAMCLQNFPCQEVERHNKPEVELKTSPELLLNPVLICRNEAEKCLIETSINSIRISMKVKQADELENILAKKFLRFLSMRAEAFQVLRRKPVQGYDISFLITNYHCEDMHKHKLIDFIVQFMEDIDKEISELKLSVNTRGRLVATEFLKQFI >Sspon.02G0019710-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:64295093:64296323:-1 gene:Sspon.02G0019710-2B transcript:Sspon.02G0019710-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycolipid transfer protein 1 [Source:Projected from Arabidopsis thaliana (AT2G33470) UniProtKB/Swiss-Prot;Acc:O22797] MQMEGTVFTPSLEGMKHVKSESGVILTKPFLQVCKQILPVLEKFGSAMSIVKTDIGGNITRLETKYAFDPTKYEQLHSMVKVEVSAKTAKSSSSCTNGLLWLTRAMDFLVALFHNLIQHPEWQMSQVCSDAYSRTLKKWHGWLASSSFSVAIKLAPDRKKFMEIISGSGDINADIEKFCATFSPLLEENHKFLASVGMDDLKAS >Sspon.03G0036470-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:53797352:53800433:1 gene:Sspon.03G0036470-2D transcript:Sspon.03G0036470-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAEAPSASASSAENRLLQSLADRGWRFRDPTDEAIQALLLASPTPSPEAVESELVDMDLRLFGVKYLPDRATTAATSKRLSYLHGPVVLQVVSVRDIYRSIIDASFKNPQQRRLLRFGLTDGICEVVAIEFSPIPFITEEIAPGTKIRLENKIPINNGILCLSAKNMNQKYSGLSRPSLRLSQSDDGVGPPPFEKLDIEARPCRATKVQAYPARKLAVTSDHAPVNSGGKPMNEDSNNVNKDTIESKAESKEITQDSRPKEVSEAVPVQNQAAAQKLLQKMSQAMPEDRRGRGHRFKGKGKEEDAQVFTLDEWEKRKAIGSKPAAESYVHDTSRDEELARQLQEQLDLEDMHVVVEEIFEAGAGAGEGAEDEEGAEE >Sspon.04G0009020-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:26574070:26576129:1 gene:Sspon.04G0009020-3D transcript:Sspon.04G0009020-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFCADFAALRSSPADVRVITSDGSTIRAHSSVLAAASPVLERMIVGARRGAAAGEWAGDAVGAHGPALLALAHAYRVPWLKRRAEAAVAARLTAERAVDALKLAALCDAPRLYLACARLAGKDLDAVERSEGWRFAGRHDAALRLNLLQLLHDADQRKERWERERASQHVYGQLSDAMAFLDRIFAGEGSCEDEDGVRRGLEQLMRHFAACGGRTRKPAACPRCRRAFQLLRLHASVCDRAGDGDGGEPCKVALCSNLKAKMQEEGVDKTWKLLVKKVTRARVMSALASREVPEVVKKSWAKYSS >Sspon.06G0015070-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:86000104:86002721:1 gene:Sspon.06G0015070-3C transcript:Sspon.06G0015070-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRVTPLLLPGVVAAASSLLLLLVAPSAAELTRVEHPPKTEGSLAILAVGDWGRRGQFNQTLVAQQMGVVGEKLDIDFVISTGDNIYDDGIANTSDPLFKECFTNIYTAQSLQTPWYIVLGNHDYTGNALAQQDPAIRDVDSRYLNLAKSFIVNSGIADFFLVDTSPFYLKYWNSSKYDWRNVAPRDTYIENLLKDLDDALTQSEAPWKIVVGHHPISSGCEHGNTTELQELLRPILEA >Sspon.07G0018420-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:66641275:66665041:1 gene:Sspon.07G0018420-1A transcript:Sspon.07G0018420-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GDGGQPRGGGAAAPPCWRSSSRRSSPTTRGGRCRSTTRTAAAGRRRTSTTRSTRRGGAAHVVMLGSYAAFGEGSEQHRWLTRDLARVDRRRTPWLLVLLHAPWYNTNQAHQGEGEQMRAAMERMLYEARVDVVFAGHVHAYERFTRIYDNEADSRGPMYITIGDGGNREGLALKFIKDHKSAHLSEFREATFGHGRLRIVNETTPCGRGTATTTRSPPSATRCSAFALSRGEKEQGVHITFEALEATKIGRAVNALRKSAPSEEARQLAAALYKGWKALANERFRSSRAPPQQPGIEKGPSTPPPPPVNAKQSARPEDELETIAPTSVVVEAAPEAKLHLHEGFDSAATILRKPKSLRLINTNAPGKTEHRRVMVVRRTAAVPAPAPASNTARRNGGDPNSNNQQACCPATASHQGTNAATKRVSPTGTAPLSTANKPPTALPKPIGSSGACKRKAETPAVFDEARLARARIRLHEGYKEASAVKEKRKIKEINVIDALGKKARQRTACHILALPLMSHIIGEKGIICVSILASIAYALLYGVAWAWWVPYFSSLLDVIFVMARPAISQSYISILSQFIITIHATVSREVISTDQGKAQGFIATIQSVAILLAPVFMNPLTSYFISEEAPFNCKGSSFLVASFVLVSPFLYLGMATSLCFAWTLNPEGRDKCTEVSVPDE >Sspon.08G0020370-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:20201470:20203756:1 gene:Sspon.08G0020370-3D transcript:Sspon.08G0020370-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLVMELGPRGDGCCPRVLLVPLLLLLAALLSSAAAITRGDFPEGFVFGASTSAYQEDVKLMHDMGLDAYRFSIAWPRLIPDGRGAVNPKGLEYYNSLIDELVRYGIQPHVTIYHFDLPQALQDEYNGLLSPRIIDDFTAYADVCFRSFGDRVKHWSTVNEPNVEPIGGYDLGDFPPCRCSYPFGQLGLTCTRGNSTTEPYVVAHHLLLAHASAVSLYRRKYQGEQGGRIGLTLLAWWYEPATPKPEDVQAAARANDFTIGWFMHPLVYGDYPPVMRRNVGSRLPVLTAQESAMVRGSFDFVGINQYGAILVEADLGQLKRELRDYYGDAAFNNVTLPFQSTIRNNVSSHKNHTKIPAQTDITHSLSLLRAGG >Sspon.07G0004720-4D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7D:10753850:10758232:-1 gene:Sspon.07G0004720-4D transcript:Sspon.07G0004720-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclic nucleotide-gated ion channel 4 [Source:Projected from Arabidopsis thaliana (AT5G54250) UniProtKB/Swiss-Prot;Acc:Q94AS9] MSSGLSARSSPSSSTASPSDDPRRKEQGRHATSGSGRRSRWRRRVQWLGGAAWALDPRARWVRDWNRAYLLACAAGLMVDPLFLYAVSLSGPLMCLFVDGWLAAAVTALRCAVDAMHVWNVATQIRIARGAGAAAQGSKPVAGGAGDEEEQQQGAEEDDDEEAARKLPDDATPRKGMLLDFFVILPVMQNGAHRGEEEARRAYSPTPSLPQPRRRRAAKKSKPPRIDNPQQTLAPRTRNDGEQNLGLVVVWVAAPAMIRAGLTTPVMTVLLVSFLLEYLPKIYHAARLLRRMQGQSGYIFGTIWWGIALNLMAYFVAAHAVGACWYLLGVQRASKCLKEQCLQAAGGGCARSSAVACAAPLYYGGSPSSTGTVGRGDRLAWARNAQARGTCLSSGGDNYQYGAYSWTVMLVANPSRVERMLLPIFWGLMTLSTFGNLESTTEWVEIVFNIVTITGGLVLVTMLIGNIKVFLNATTSKKQAMHTRLRSVEWWMKRKNLPRSFRARVRQFERQRWAATRGVDECQIVRDLPEGLRRDIKYHLCLDLVRQVPFFQHMDDLVLENICDRVKSLIFPKGETIVREGDVVQRMLFIVRGHLQCSQVLRNGATSSCTLGPGNFSGDELLSWCLRRPFLERLPTSSATLVTLESTEVFGLDAADVKYVTQHFRYTFTNDKVRRSARYYSPGWRTWAAVAIQLAWRRYKHRKTLSSLSFIRPRRPLSRCSSLGEEKLRLYTAILTSPKPNQDDDF >Sspon.01G0009160-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:14267530:14270974:1 gene:Sspon.01G0009160-2C transcript:Sspon.01G0009160-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAPSTAAASTLLLPVLLLIATATHCTAADSSSSPDAAALLNLSAAVADPSGYLSTHWTADTALCSWPHVSCDATDTRVISLDLSGLNLSGPIPAAALSSLPHLQSLNLSNNILNSTAFPNEIIASLKSLRVLDLYNNNLTGPLPAALPNLTDLVHVHLGGNFFSGSIPRSYGQWSRIRYLALSGNELTGEIPVELGNLTTLRELYLGYYNNFTGGIPPELGRLRALVRLDMANCGISGEIPPEVANLTSLDTLFLQINALSGRLPTEIGAMGALKSLDLSNNLFVGEIPASFASLKNLTLLNLFRNRLAGEIPEFIGDLPSLEVLQLWENNFTGGIPTNLGVAATSLKIVDVSTNKLTGVLPSKLCAGERLETFIALGNSLFGDIPDGLAGCPSLMRIRLGENFLNGTIPAKLFTLPNLTQVELHDNLLSGELSLDGGKVSSSIGELSLYSNQLTGQVPTGIGGLSGLQKLLLAGNRMSGELPPAVGKLQQLSKADLSGNLISGAVPPAIGRCRLLTFLDISSNKLSGSIPPELASLRILNYLNVSHNALEGEIPPAIAGMQSLTAVDFSYNNLSGEVPSTGQFAYFNATSFAGNAGLCGAFLSPCRSHGVATSGFGSLSSTSKLLLVLGLLALSIIFAAAAVLKARSLKRSAEARAWRLTAFQRLDFAVDDVLDCLKEENVIGKGGSGIVYKGAMPGGAVVAVKRLPAIGRAGAAHDDYGFSAEIQTLGRIRHRHIVRLLGFAANRETNLLVYEYMPNGSLGEVLHGKKGGHLQWATRFKIAVEAAKGLCYLHHDCSPPILHRDVKSNNILLDADFEAHVADFGLAKFLCGNAGGSECMSAIAGSYGYIAPEYAYTLKVDEKSDVYSFGVVLLELITGRKPVGEFGDGVDIVQWVRMVTGSSKEGVMKIADPRLSTVPLYELTHVFYVAMLCVAEQSVERPTMREVVQILADMPGSTSTSIDVPLVIEPKEDGSPGEKQQEGPHDSPPQQDLLSI >Sspon.04G0001260-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:1759640:1762156:-1 gene:Sspon.04G0001260-2B transcript:Sspon.04G0001260-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RID2 [Source:Projected from Arabidopsis thaliana (AT5G57280) UniProtKB/TrEMBL;Acc:A0A178UNY0] LRGEKPRRGATRRRVSMPRPEFQAPPDVFYNESEARKYTTSSRIIEIQSRISERALELLALPNDGVPKMLLDIGCGSGLSGETLTEHGHHWIGYDISKSMLDVALERETEGDLLLADMGQGLGLRPGVIDGAISISAVQWLCNADKSSHDPRLRLKAFFGSLYRCLARGARAVLQFYADNVKQSEMIVTAAMRAGFAGGVVVDWPHSSKAKKSYLVLTCGPPSVNTSLPRGKGENGEMCSDNDDNESSDEDGDRTVGIYERNRPKKRQKTKKNGKGKDWLLRKKEQMRRRGHDVPADTKYTGRKRKSYF >Sspon.05G0034170-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:54429883:54430236:-1 gene:Sspon.05G0034170-2D transcript:Sspon.05G0034170-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLQAKSFRKKSSHSHNNRAPRRWPSRLVDGFRRMLVGLFSFPPRPPKVTFSVDEHRAGAGVAGGGGGGGGDASKRSSWSSSNLHPLNAHYDEAIADCVEFFNKSARVDLRSRPHF >Sspon.04G0014570-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:60810493:60812160:1 gene:Sspon.04G0014570-4D transcript:Sspon.04G0014570-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMKGGVGAGGSGGDEVWARAGDGNKNGKQAAVRAPSWRERENNRVRERCRRVIARRIFAGLRMYGNYALPRHCDNNVVLMALCEEAGWTVEADGTTYRKGPKPDPAGDQHMADIGGSAPVNPGGASYSLTRASSPSGITLGGGGSGGSDPIPAWLKNLSKQLSDKSYPNFLASSSNSNSNAPATPQNGSPPSSPPRLRKMARYSSPPPVTPPPSSERASNSNVLPPPWATGAGASRFSFKTSTPPLMSPVTGGRAPGPDPVRLLAGFQISYAADNKAPAYSSFVASGASSLGAGSSASASAWMLPPLPGRSSSGASAAVRGRGGALMSGRGGALLSPLGFSFRRSGGEQSGAREEE >Sspon.08G0002210-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:3649736:3650326:-1 gene:Sspon.08G0002210-2B transcript:Sspon.08G0002210-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCAFSSAGSVGALRPCAGVRVIHTNGYVEDFEGPGVVTVATVTGCLSDSSAGDNNNGKGYVLCSAAHLLQPGRGPFRPDDALQPGTVYFLLPQSVFQAESSAVDLACLMNRLTALARKGCATAAPKPSPLDALFDAAAATGTRQPVAVPVAAAKEKEKDSPGRAAPWRPRLDRIDESFGRASMRSASSRSACSEA >Sspon.03G0011160-4D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3D:34903893:34909435:1 gene:Sspon.03G0011160-4D transcript:Sspon.03G0011160-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein SEH1 [Source:Projected from Arabidopsis thaliana (AT1G64350) UniProtKB/Swiss-Prot;Acc:Q93VR9] MTERKVAELGPGTACCGWNYCGRRLAAGAVDGSVSVYDSQPSPSFKWQVSSASLLRLSPLNPKPPHEDDLPPSQTAHEQAIVNVVWLPPEYGDAIACACADGTLSLWEEVTAYSDGQVKVYELLDSLELDKWQLQAEFQNITDPISRFGKPACTSASIAWNPRRGGSQQASFAIGFNSDSPHFNSCKIWEFEEAHQRWLPLVELGSPEDKGDRVCAVAWAPNIGRPYEIIAVATCKGIAIWHIGLNTDADSGPSARNVALLNGHDGEVWQLEWDMGGMTLASTGGDGMVKLWQANLDGVWHEQAVLDCSGSHV >Sspon.07G0010360-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:31892522:31894301:1 gene:Sspon.07G0010360-1A transcript:Sspon.07G0010360-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASANAISTASLISPLSQGRATRARYGRSQRFVVRAEAKDIAFDQKSRAALQAGVEKLANAVGVTLGPRGRNVVLDEYGSPKVVNDGVTIARAIELYDPMENAGAALIREVASKTNDSAGDGTTTASVLAREIIKLGLLSVTSGANPVSLKKGIDKTVQGLIQELENKARPVKGGGDIKAVASISAGNDEFIGSMIAEAIDKVGPDGVLSIESSSSFETTVEVEEGME >Sspon.05G0012720-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5A:39833355:39835228:-1 gene:Sspon.05G0012720-1A transcript:Sspon.05G0012720-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQAYSTRQKGAEASSSRRWAAMEMLSMLKVGYTILRSETPATDLVNTFMDWAARRSLVLLAIFLPPYYIYKLTTSAFAAAVPEDVAGKVALITGASSGIGEQIAYQYAKKGARLALVARRVGSLHDVAERAKDVGSPNVLVVAGDVANPEDCQRFVQATVDHFGRLDHLVNNAGVANVCWFEEVSDVADLKQVLAVNFWGAVHPTHCALPHLKKSGGKIFTNSSAAAVLAMPRMSFYNASKAVVLNFFETLRMELGDEVGITIATPGWIESEMTKGKHLSKEGTVEVDQDTRDAQVGLFPVLRAARCAEDILDAICRGRRHLTVPLWYRALFLWRTLAPEVTDLSQRLFYRRTAAGHGNQAKAKRFLEFTGAKGVLQPASLHSSDIKRE >Sspon.02G0001460-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:32288258:32291179:1 gene:Sspon.02G0001460-3C transcript:Sspon.02G0001460-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHQEELPQAWWFDSHNLARPSPWLNSTLSELDDKTKQMLKLIDQDADSFAQRAEMYYKKRPVLVDMLGDLYRTHRSLAEQYDLLKHGSGTRHTVFGLSSCTQSRSQASSTNGKTTPRSSCSASLYDSESEVDDPEQDEDEAETKTEQPSSPEQQQQEREQVEQQMRAEIESLKAQNAALQKAAEESAAALRAELAGKDEEKREVIRQLASSMDVMRQENLTLREHIARGSSSKHSSSAPRAAAFDLRKVARGLFSARLFTAHCRPTGPIVAL >Sspon.08G0027620-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:52762058:52790632:-1 gene:Sspon.08G0027620-1C transcript:Sspon.08G0027620-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAPRGGGDEPPPPPPSSAAAGHAAGNEPVTPTSALGSGLNRRGSRGAGMATFSMEVFDNEVVPSTLNSIAPILRVAAEIEHERPRVAYLCRFYAFEKAHRLDQNSIGRGVRQFKTALLQRLEKDNSPSLAKRVKKSDAREIESFYQQYYENYVRALDKGEQADRAQLGKAYQTAGVLFEVLCAVNKNEKVEELNPEIIRLHKDVQEKKDIYTPFNILPLDAASASQSIMQLEEIKAAVAALRNIRGLTWPSTFEPQRQKGGDLDLLDWLRAMFGFQRDSVRNQREHLILFLANVHIRLEPKPDPLSKLDDRAVDVVMTKLFNNYRKWCKFLSRKHSLRFPQGAQPQEIQQRKILYLGLYLLIWGEAANIRFMPECLCYIFHNMAYELHGLLAGNVSIVTGENIRPSYGGDEEAFLKKVVTPFYRVIRKEAGKSQHGKTPHSAWSNYDDLNDQYLLPRNLIGNCFLTNAGSSPQKDSTKSTGKTNFVETRTFWHIFRSFDRMWTFYILALQAMLIFAWNDYSVTEILRKDLFHRCKFVDIMRNILKMIVSAVWAVVLPFFYISTASKVNLLPLKDLDKYLRYVKGVPPLYILAVAVYLIPNILSAALFLFPMFRRWIENSDWHIVRLLLWWSQKRIYVGRGMHESQVSLFNLLSWDAYSPLFNSKIQPLIKPTKDVMGVHNIKYEWHEFFPNASYNIGAIMSLWAPVLLVYLMDTQIWYAIFSTIFGGMTGALGRLGEVSPNKRTEAAKFAQLWNEVICSFREEDLISDSAAENVLMHREMDLLVVPYSSDPSLKLMQWPLFLLASKIPIALDMAAQFRPRDSDLWKRICADEYMKCAVLECYESFKLVLNLLVIGENEKRIIGIIIKEIEANIGKNTFLANFRMSALPVLCKKFVELVSTLRLDNVVLTLHGHLQKERDASKFDNVVLLLQDMLEVITRDMMVNEIRELAEFGHGNKDSVPRRQLFAGSGTKPAIVFPPPVSAQWEEQIKRLYLLLTVKESAMDVPTNLEARRRIAFFTNSLFMDMPRAPRVRKMLSFSVMTPYYSEETVYSKSDLDLENEDGVSIIFYLQKIYPDEWNNFMERINCKRESEVWGNEENVLQLRHWASLRGQTLCRTVRGMMYYRRALKLQAFLDMASESEILEGYKAVADPAEEEKKSQRSLSSQLEAVADMKFTYVATCQIYGNQKQSGDRRATDILNLMVNYPGLRVAYIDEVEEREGDKVQKVFYSVLVKALDNHDQEIYRIKLPGPAKLGEGKPENQNHAIIFTRGEALQTIDMNQDNYLEEALKMRNLLEEFNENHGVRQPTILGVREHIFTGGVSSLAWFMSNQETSFVTIGQRVLANPLKVRFHYGHPDVFDRIFHITRGGISKASCGINLSEDIFAGFNSTLRRGNVTHHEYIQVGKGRDVGLNQISLFEAKVACGNGEQILSRDIYRLGHRFDFFRMLSCYFTTVGFYISSMMVVIIVYVFLYGRLYLALSGLEFAIMKQARMRGNSALQAAMGSQSIVQLGLLMALPMFMEIGLERGFRSALGDFIIMQLQLCSVFFTFSLGTKSHYFGRTILHGGAKYRATGRGFVVRHVRFAENYRMYSRSHFVKALELMLLLVVYELYGDVATDSTAYILLTSSMWFLVITWLFAPFLFNPSGFEWQKIVDDWDDWTKWISSRGGIGVPANKAWESWWEEEQEHLQSTGLLGRFWEIILSLRFFIFQYGIMYHLNISAGNKSISVYGLSWLVIVAVVMVLKVVSMGRKKFSADFQLMFRLLKLFLFIGSVGTLAVLFTVLHLTVGDIFASFLAFAPTGWAILQISQASKPVIKACGLWGSVKALSRGYEYLMGIVIFVPVAVLAWFPFVSEFQTRLLFNQAFSRGLQISRILAGGKKQR >Sspon.04G0008120-3P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:24426118:24428059:-1 gene:Sspon.04G0008120-3P transcript:Sspon.04G0008120-3P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSALASSLLASEEKQEAAPVRHYKGVNDLDKVVLHEVRGSSAEVPVCHSLKEGFMETALTSPDTMEGARPPGLFITVEKNPPEARLLQLGVKSWPKWGCPPGRFPLKFDAALTCYLVKGRVRAAVKGSRECVEFGAGDLVVFPKGLSCTWDVVVGVDKHYNFDPS >Sspon.05G0031360-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:4573978:4578022:-1 gene:Sspon.05G0031360-1C transcript:Sspon.05G0031360-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSFGQCSAKGCLDNLYRSIDGSAKEFIRQECQSLLLAPKLAPFFGCCASKILQVDELAPRELTINACFACFKIGGFSDFVRCHRTNYSSYKYYPVCENKLKSCKLCEANPKIPISGSEKGEAYVNGEQAKFVVTDDLRVLPLSLASTVQIVREAKIQTSNLVEKEITLTKSQVSRAMQFNSRGHSAQPTPRIKGSHTTSSSQITTMAKNEAPTTKSTIEVKLFVDKEKRKVLFAESDKEFVDVLFGFLTMPLGAVVRLLDKQSRMGCFDEVYKSVEDLSTDYFQTKGCKAMLLKPLKAASSYCCQLKINIDDTKPRAAYVCIDTSCCGRQKFITTDDLQVAPASTSLLLSLCKKFGVQDPTDLDKTILQLTSDKRTSLLKRSLTFKQPLTGLHFNVPMSDDAGLGSVDWNLCNEQENEADDKVGQVKIKVLQTKTNSAEINKRMSGGFCVLALRVPLGSVAKPFCQRLPKGCIDNLYRSIDSCTRCMRAERKRLLLAPELPPFFGCGASQILQVDEITPRKIKIDFCFTCFKLGGFSNYSRCHQKGQILRIDGNCQNYTKTAELSEF >Sspon.02G0000700-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:2554352:2555955:1 gene:Sspon.02G0000700-1A transcript:Sspon.02G0000700-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VLVGMASTACFVIVSKNDIPIYEAEVGSAPKKEDLSYHHQFILHAALDVVQDLAWTTNAMFLKSVDRFNDLVVSVYIFLNPLYLPGSRITSSHFDTKVRALARKYL >Sspon.01G0039090-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:20254383:20257956:-1 gene:Sspon.01G0039090-2C transcript:Sspon.01G0039090-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVNWELQGCCDRDQRIFIAAVGVSTVVILLLWRTFLLTPFKLITVFLHETSHALACKLTCGDVEGMQVHANEGGVTQTRGGIYWIILPAGYLGSSFWGMVFILASTNLLATRIAAGCFILALFIVLFVAENWFLRWLCLGFIVFIAVVWVIQEFTSFHILKYVILFIGVMNSLFSVYDIYDDLISRRVNTSDAEKFAEICPCPCNGFAWGVIWSAPNFNNNPDGNDTHANICCSLGDSSRLSFSALQYTLDWSYCLE >Sspon.01G0007410-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:32587240:32588474:1 gene:Sspon.01G0007410-2B transcript:Sspon.01G0007410-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFGRVPILNPRYKTAGWRADRVLVVSSPPATFRAWQPAFRRNPSPRHRIVVVSAAPGSGKSTVLPRYLANHGFGPVICAQPRLLAVTVASSKPGQERESDDVWFTTTRVLIDMLCCNRRPPFVAAFRTIVVDEAHDRTLCTDLLLGVVKAAVAAEEMGHLNVVVCTAGGPEDSSLSDFFGAPIVAFQRAVHPVAVHYSRGPMLDMVSAVVEEVRDIHRSKPPGDVLVFLPDIIRILEAYEKLEQLDMPGLVLCLIHDNLPKEFMGYALDPAPGGSRKVVLATDVAETAVLVPGITYVVDTGVLSEDPFAMVSKEAAIRRAAVAGAAFAGHCHRLYMEGEYAGFQEHTVPHVKRDGGALNKLALMLKRHAADGMPGFELLDPPVAPSLENVVAEL >Sspon.08G0019070-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8B:10147464:10147739:-1 gene:Sspon.08G0019070-1B transcript:Sspon.08G0019070-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MANGRRGSEDDRRGRLSARERESMHAWERLGWLLGRLGLLGWAMKREREEAGARVGRNRKRAHVWLGQARGRRRETARVEVSRFSFSENVK >Sspon.04G0013590-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4A:49192533:49192889:-1 gene:Sspon.04G0013590-1A transcript:Sspon.04G0013590-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKNKGKGGKNRKRGKNEADDEKRELVFKEDGQEYAQVTRMLGNGRCEALCIDGTKRLCHIRGKMHKKVWIAAGDIVLVGLRDYQDDKADVILKYMNDEARLLKAYGEIPDNVRLNEGV >Sspon.03G0011900-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:36987562:36991847:1 gene:Sspon.03G0011900-3D transcript:Sspon.03G0011900-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTKNQFDLLVDVDNDDPSHLIAAAEKKAAASPKPAPAAPAKLPTKPPPPAQAVKESRNYGAPARDGAGRGRGGFRGGRTGPRREFGEGNANGVEGGYGGGGFGDSGFQRREEGDGKAMERGRGPRQPYRGGGRRGGYTDGEAGDESARPPRRAYERHSGTGRGYEMKREGAGRGNWGTVTDEALAQEAVETEGAPAVAEDETKPEDVPQSEAEKGKEGEPTEAEEPEDKEMTLEEYEKVLEEKRKALLGLKSEERKVEVDKELQSMQQLSVKKGADEIFIKLGSDKDKKKENSERDERAKKSVSINEFLKPAEGERYYSPGGRGRGRGRGRGDRGGFRGGYSPREFAAAPAPAIQDQSQFPSLGGK >Sspon.01G0043150-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1B:71906148:71906615:1 gene:Sspon.01G0043150-1B transcript:Sspon.01G0043150-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMATLKDAAARKPVLATIRLIVPAGAARPAPPVGPALGFYRLNLMAFCKDFNARTQKYKADTPMQVTLTAYKDSTFEFVVKSPSVSWFLKKAAGIETASSRPGHSNVSSLTLHHVYEIAKLKQADPFCKHMSLEALCKSIIGTANSMGIAIVKDL >Sspon.05G0005710-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:12429279:12433881:-1 gene:Sspon.05G0005710-1P transcript:Sspon.05G0005710-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRARQAKRRSRGTKNRKGKKKYPPLTRHGGGERAAVEASTELRPPADAPGPGAVRPSGPRSYPDLGVVVRSGVRSVPASLVGMGWSAGLWWLVAAVWVTAAAAAEGEVAAVGAAPRRHAYASMMYMGTPRDYEFYVATRVMMRSLRRLSADADRVVIASLDVPPLWVQALKDDGVKVVSVENLKNPYAKQENFNMRFKLTLNKLYAWSLVSYERVVMLDSDNIFLQNTDELFQCGQFCAVFINPCIFHTGLFVLQPSMDVFKNMLHELAVGRENPDGADQGFLASYFPDLLDQPMFHPPANGTKLQGTYRLPLGYQMDASYYYLKLRWSIPCGPNSVITFPSAPWFKPWYWWSWPVLPLGLSWHEQRRETLGYSSEIPVVLIQAVLYIGVIAVTRLARPSLSKMCYNRRMEKNTTFLLSLLRVVAAWSILAAYTIPFFIIPRTVHPLLGWPLYLLGSFSLSSIVINVFLLHPMTVLTTWFGFIGALLVMAYPWYLNGVVRALAVFAYAFCCAPLIWASLVKTMSSLHVLIERDAFRLGEANQNAEFTKLY >Sspon.02G0004520-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:17124744:17126208:-1 gene:Sspon.02G0004520-3C transcript:Sspon.02G0004520-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVSASSSSTTAVAALPRNGQRASSSFLGGKTLLRQAEAARPSFAVRAAADPDRPIWFPGSTPPPWLDGSLPGDFGFDPWGLGSDPESLRWNVQAELVHCRWAMLGAAGIFIPEFLTKIGILNTPFWYTAGEQQYFTDTTTLFIIELILIGWAEGRRWADIIKPGSVNTDPIFPSNKLTGTDVGYPGGLWFDPLGWGSGSPEKIKELRTKEIKNGRLAMLAVMGAWFQAEYTGTGPIDNLFAHLADPGHATIFQAFTPK >Sspon.02G0040980-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2C:76006962:76007366:-1 gene:Sspon.02G0040980-2C transcript:Sspon.02G0040980-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MACSSSRLVLAVLLAVLVAAAAASAPSYCVPGQAIPNSPLSGCVWYVASRSCDVAVAMLPVLKATCCGQLQDIPAECRCRALRVMMEAPLVVGAEPGAQQRCRAAQARFAPAVVTEAECRLRTVHGRPFCNKLDA >Sspon.07G0009560-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:26996328:27005389:1 gene:Sspon.07G0009560-1A transcript:Sspon.07G0009560-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTAPAAVPPRTCMDSLIAFLHHHLRALLADPAALHATRRRCLALLTPPLRHRCRNNVSGGGQEEEKEENDDDEAVLAALHGSIDALLPPSVVDGAAAAAVCLAGVEEALQAPALLPEHGETAGLDNHRVAACAYFYLALVRCAQGDAWQMAMDLLQAVAVCPAAVAATAREDGGAHRAPGLAPRALWEGLFDEGVLARAGGAGEDDAARRAARRYKDWLMYYKVVAADGAQNGGELLFTAAVITAYVYDRTNHLVDREGISTVSASKFGVHDGFAELKDFLSIADQDFQEDTKGSSDSRCLHEMLQESQSDSRVSFYSHLDSSEESDSEAAPYDKGRSAKIMPIDADFLAAKLHERTNHNKNLTWCTSPENAMIYAPESPMYQVDDHEMKSNCLQSNRSNGSLNNLSNSVLELKNANSYSTSNYSAKDAMFPQCSPRYDLRCFSTFSTKFIKKSSLSDLVSRGSMSRKFKTSTTSDDWSDVSSRWGKDSQVDFLERFEKAVSKLLISDGLESCLDAGSEVTTIWQLLNNTSEARHKSSVRQDILDQLLDSISTSKKDKVVRASVYVLLLMISEDRNMMRGIKRKDFHLSNLAIALKRDVHEAAILIYLLDPTPLEIKNLDLLPSLLHVACNSGSQKWPAMLPLTPTSASIALIEILVTAFDYVTNNVHLASLSSPPILSKLVDVAKNHNLEEGVALAAILIRCVRLNGNCKKFLSQATPVDPFLHLLRRKEHCAKCAALEYFHEILQIPRSSANSLLQEIRRQGGIAIMHTLMVSLHQTEPEHRVLAASLLLQLDMMERSDGRSVFQDEAMEVLLDSLSSQENSRVQALSACFLSNLGGTYSWSGESYTAAWLTKKAGLTSTSQRNTIRNIDWLDSCLQDTEISTWSNKSARAIIKIGVPFISALAKGMQSKVKGTSQDCLICSAWLGSELAALGENAIRYSACEILLHDIASHLHPGNELDERVLACMCLYNYTSGKGKQMLMSLSEGSRESLRRLSSFTWMAEELLQVTDYFLSSKPRVSCVHTQILEIGQPGNGAATAIAFFRGQLFAGYSNGTIRAWDIKGQRAVIIREVKEHKKAVTCFALSETGENLLSGSADKSIRVWEMAQRKLECVEMIQTREAVQKLDICGDKVLVLTQNNVLKFSCASRSSQTFYRSKHVKSLAVYQGKAYLGCKDSSIQELDVSVESNIEIRAPRRSWMISKQSISSIVVYRDWMYCASAQVEGSALKDWKKRCKPNMTMPIPKGTSVEAMAVVEDFIYLNCSRSPSIIQIWLREKQQKVGRLSAGSKITSLFTANDMIFCGTEAGLIKVSNNQICPEN >Sspon.04G0018240-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:65753257:65759914:1 gene:Sspon.04G0018240-1A transcript:Sspon.04G0018240-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFPAQSPQGCQHPERVQGRACKEGRMAAREGQRCRPVDSIAVLQPIQIFCHGSQNKASSSGVRGEEKSGKPENRQLIPSARDIMKNVENSDTTKEFIARHGSVKCICSTGRRSSVDIIYGDEEARRTTTDVTDGEQGTWGELGEVLLEADADKRAANVLQAAAAHQSSVDRVVSLNRLPDSSHRDGSVYRDTYEWQKDYRIADRTEKLYGYIAARDELEPFLNYVVNISRDDPFTAEQGSLINMAPKRGISLDYGTLIEYDMKIKTGEQEKDDLQLIDGASVIGLMGTVDRSVFTSRIIGDYGAIDISASRLDRAVEVTVEVTASEVQDIFRLCLGCFISGLHKEIRLFDGTIGEPCGLKRYVVAVVMGTQMELKFKVGAESSGYSEHCCSFTAHQHGHADQLIKTDFASFLVK >Sspon.05G0011290-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:26785394:26790857:-1 gene:Sspon.05G0011290-2B transcript:Sspon.05G0011290-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSELYGIRVQPVPPFSSLSYKPDPALIHHCLPDELMLEIFTRMSPYTLGRAACVCRKWKYTARLYVSRNTYIHTGVTEWQFKKTVNVISPDKVKDAVKCMHFRASKADCIFKGDYILSEDGQIEMALLYPGHRYTLVRMRLRLRGTTVGANNRLDVLKILTTGVNATELQNWKGSILELVEGWEEDETHDPDVPAVSHSRGLSPFVFVPFEEADTSVLNLPVEKMDYYVPG >Sspon.06G0009030-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:37305376:37328555:1 gene:Sspon.06G0009030-2D transcript:Sspon.06G0009030-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRGASPAASAPVQVRCAGCRGVLAVAPGMTEFICPKCRMAQRLPPELMPPSPPKASPTPPPSGPTPPPPPPPSLPPQPPPPPPPQPVPHPLARRSAPRAQGVDPTKIQLPCARCKAVLNVPHGLERFRCPQCGVDLAVDLSKLRHFLASAGPGFVPPPLPPPPPPPPPVPMPMPHLPFLPMMPRLPVPVPMLPMFPPVELPEEINEVAVDVERDEDESGTFGETFIDYRPPKLSLGLPHPDPVVETSSLSAVQPPEPTYKLTMMKELDETNALSSLQIETIVYACQRHLHHLPTGARAGFFIGDGAGVGKGRTIAGLIWENWQQGRHKALWISIGSDLKYDARRDLDDVGAKCVEVHALNKLPYSKLDSKTIGITDGVVFVTYSSLIASSENRSRLQQLVQWCGSEFDGLLVFDECHKAKNLIPEAGSQPTRTGKAVLEIQDMLPQARVVYCSATGASEPRNLGYMVRLGLWGDGTSFENFHQFLGALEKGGVGALELVAMDMKARGMYVCRTLSYKGANFDVLESLLEERMMNMYRKAAALWVELRVELLSAIEYYAEDKVNSAQIWRLYWASHQRFFRHMCMSAKVPAVVRLAKEALAEEKCVVIGLQSTGEARTEEAVAKYGIEMDDFVSGPRELLLKLVEDNYPLPPKPDCFEQDEEKVQEFQHKRHGSDMSLKGRVSKLGKKEDVSEDGGDEYPAPESDHESTESDEDFYMCQICNTEEEKSLLLYCSICASRVHPGCLTPPWTEIVTDDWTCYGCKEKVESYLKERDAYLTELSKRYDAALDRKSKILDIIRSLDLPSNPLDDIIDQLGGPDNVAEITGRRGMLIRASDGKGVIYQARNTKEVALDMINMHEKQQFMDGEKNVAIISEAGSAGVSLHADRRAKNQRRRVHITLELPWSADRAIQQLLFTNLGGEKRFASIVAKRLESLGALTQGDRRAGLSLSAFNYDSNYGKKALTMMYRGIMEQDAFPVVPFGCSENQATLEEFITKAKAALVSVGIIRDPIMCNGKNGGKLTGRILDSDMHDVARFLNRILGLLFDLFTSILDIVIQNARIEGQLDSGIVDIKAKSVEMKDSPKIVHVDTVSGASTVLYTFTVDRGVSWELANAILEERLKDKANSSSDGFYESRKEWMGRRHFLLAFEGSAEGLYRVIRPAVGEASREMPLVELKSKYRKVSSVDKISKGWQEEYDSSSKQCMHGPKCKLGSNCMVGRRLQEINVLGGLILPVWGAVAKALAKQVRLIHKRIRVVRLETTTDNKRFVGLIIPNSAVESVLEGLQWVQDIDD >Sspon.01G0015360-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:44543421:44545238:1 gene:Sspon.01G0015360-1A transcript:Sspon.01G0015360-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDNLMDKVNALGERLKISGAEVSRKMSVGVSNMSCKMKEFFQGQNMADKIVDEATLETMDAPDWATNLEICDMVNTDRVNSVEVIRAVKRRIMLKNPRVQYLSLVLLETIVKNCDKAFSEIAAERVLDEMVKLIDDPQTIVNNRNKALVLIEAWGESGDDLRYLPVYEETYKSLRSRGIRFPGRDDESLAPIFTPPRSVPVAEPYSEVAQEGYQEIPDESFAPARTAPAVQVNEAFEVARNSVELLSTVLSSSPQKEVLQDELATTLVQQCQQCQYTIQRIIETAGDNEAQLFEALSIHEELQKVLSKYEKLKEPVCVELEPEPAMIPVTVEPEESPRTVSKEDAHVRKPGGSGDQSGRDDLLQDLDDMIFGKKGATSSHQDTTPRKDKDDFISF >Sspon.02G0023500-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2B:79290768:79293446:-1 gene:Sspon.02G0023500-2B transcript:Sspon.02G0023500-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RRPLHRPPLPLPNTPPFPFPLTFHRHRLPTPRLGSTLALFLVVPFCLCLHIRLRAPPLPARALPPRRAPPPPALGPARRRRPLQAPPRPAIPRRRGPHRAPAPPPPLALPFFLWAGRQKGFRHCFPAFHALASLLSAAGLPAAADQLPDLMRAHGRPVSHPQLTLLVRLHTAARRPLRALHALRRFRHEFDVQPQVHACNRVLGALAAAGHVQDALKLFD >Sspon.08G0001340-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:4228941:4232810:-1 gene:Sspon.08G0001340-1P transcript:Sspon.08G0001340-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATAPPPAAVSTTLSALIRCQKIRSSSPRAFYARCVSSNASAKAAEPSESRKGGHGGMRLEEAVPVGEGRSRIDAWISARLGGGGVSRARVQASIRAGLVAVNGRPVSKVSHTVKGGDLVSCTVSELQPLRAVAEDIPLDIVYEDDHVLVVNKPAHMVVHPAPGNANGTLVNAILHHCKISTFTCLAHSSTGDECLDSSDDDIDVFNVDQFATEDASSEVRNALVHPGIVHRLDKGTSGLLVVAKDEHSHAQLAEQFKLHTIRRVYISLTCGVPNPNSGRIEASIARDPNNRIRMIATAGSGHRYARHAASRYKVREVFAGGGSALVEWRLETGRTHQIRAHAKYIGIPLLGDETYGGTKSMALSLLRPRTPLKYHSHLSNLISKVDRPCLHAALLGFKHPHSGKILEFSCPPPDDFSEVLDELRKVTSSDAQNGDGMQEVNKGDRYHNL >Sspon.03G0016400-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:72234426:72238823:1 gene:Sspon.03G0016400-2C transcript:Sspon.03G0016400-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAAAVALMVAAAVLSPAYVVLASNSEGDALYALRRALADPRGVLQSWDPTLVNPCTWFHVTCNRDNRVTRVDLGNSNLSGSLVPELGHLEHLQYLELYQNNIEGTIPADLGNLKSLISLDLYNNNITGTIPKELGKLKSLVFLRLNDNRLTGPVPRELTKISNLKVIDVSNNDLCGTIPTSGPFEHIPLSNFDNNPRMEGPELQGLATYRNKLFKRKKLYK >Sspon.06G0023760-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:59106339:59110841:-1 gene:Sspon.06G0023760-2C transcript:Sspon.06G0023760-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSGELLASISSALAVAFVLLACVELGDAAAAVGVYRLIQYDLAGAPLGSRAAVINHHAAALPLPAGADLSRSALVAPLLDLPLSFLREYLVEKKHLGGLLILLPTNRGGDKESADDKGQVKGVLTQLEKLLVHEQVPYPVYFALHDDSFDNLLADIHKIASSGQPASATTGGYKLVVSSAEPRKVSSPTISNIQGWLPGLKGEGDSEQLPTIAIVANYDTFGAAPALSVGSDSNGSGVVALLEIARLFSRLYSNPKTRGKYNILFGLTSGGPYNYNGTSKWLRSFDQRVRESIDYAICLNSVGSWSNDLWMHVSKPPENPYIKEIFEEFSDVSKEMGVSVGIKHKKINVSNPRVAWEHEQFSRFRVTALTLSEMSTPPEFLESTGGLHDTRESTDVESVIRTVRLVSETLARHIYGLKGRNIDVFAENSSLAINPHYVRSWLDLLSRTPRVAPFLQKNDPFIAALKKELSEHTTDVHVQSDALDGMFTFYDATKATLNVYQGVDDLINIFRRPPSRKLKGA >Sspon.02G0011860-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:35560959:35565765:-1 gene:Sspon.02G0011860-3C transcript:Sspon.02G0011860-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATQTGVAASKVLILVGAGMTGSILLRNGRLSDVLGELQEIMKGVNQGTASGPYDIALIQAQIRNLAQEVRDLTLSRPITILNGKSDSGGGLSSYILPAAAIGAMGYCYMWWKGLSLSDVMFVTKRNMANAVQSMSKQLEQVSSALAATKRHLTQRLENLDGKMDEQVEVSKAIRNEVNDVKDDLSQIGFDIETIQQMVAGLEGKIELLENKQDVANTGIWYLCQVAGGLKDGINAKFFQEASEKLKLSHSAPPENKPVKGLEFFSESAKEQKVADSKPIAVAIDGENPKKTTAVKGTAVHRSIRFSYRKEGLAL >Sspon.01G0031800-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:109338499:109343005:-1 gene:Sspon.01G0031800-3D transcript:Sspon.01G0031800-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPPQPCLPDFVPSLYYDPALAGNLQHEYSGNDCLQLKGHQTYTEFGGYKQEDILIHKARARLMQPSFALVCDKRTKCLLLFIRGAISTKERLTAATAAEVPFHHIILSEGEISNVVLGYAHCGMLAGARWIAKLVIPHLHNKMQEFSGYHIKVIGHSMGAGIGAILTYILREHYEFLSCSCLAFAPPACMTWDLAESGKDFITSLVNRNDVVPAFSKVSSESLRSEVMVSSKLDDVHDHFHRGLFASISQRVAFIKSHMLSISNSIGKIADHGSTFAQRCSRYHTPTANGHSVDCSRQQVVASEETVVLLVNNDHFTSVKPADSGLTSQEGSDSNAASDTQQSPPPTDEGEEEAPNQNGAGKDKEKEAVSEGSLPSRRIIHMVALPPPPDPNSGDGTSSGEIIGIYETPRDLYGKIRLAPNMIKEHYMPSYISTMESLLEQLQKDDDDTSVCTASNDL >Sspon.04G0032840-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:48298152:48300642:1 gene:Sspon.04G0032840-2D transcript:Sspon.04G0032840-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTAPNIEMIASSLRHCSLNGGAGAGAGAGGGSGRRRGGTRRRGAEGGDDSEGVTVELNSEVALPYHWEQCLDIRTGQVYYINWEDGTRTPVDPRTTSAFSSPTPRSTSSASRRTRRASTPSSGYTSVSSSVGADVTGTWRGAAGNDSGYDNDDEEEDDGEEDEDENEDEDEDEDEAESSSTTSSSSSSTGSSRGSAVSSTLSSFSPTDESGSGDNGGRGLGGAGHVLVAAGCRACFMYFMVPKRADVCPKCGSSGLLHLSRNGYA >Sspon.04G0015630-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4B:62459893:62461173:1 gene:Sspon.04G0015630-2B transcript:Sspon.04G0015630-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding TYLSGSPVHLPLPVYLALWLPGGGGASGGALVGPFTGDEHPSGMPFASRPFPLCETEYPKTLNRGEYCTHITDIRMSLCTIARRLCLSKPSSGSRLSVICAHLYSTEAAKDTGAKKYKYPDVYDPYGPMPPPSEKVVDLADRIAALPPGEIKQIAPALLFRLNQEPPQAISGQGFSFGAQGGSGKAEEKKAEKTVFDVKLEKFDAAAKIKIIKEIRTFTDLGLKEAKELVEKAPVILKQSLTKEEAEAIIGKIKAAGGVAVME >Sspon.06G0007300-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:23434590:23464612:1 gene:Sspon.06G0007300-2B transcript:Sspon.06G0007300-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MESEADAMALASDAAAPELPSSQLGEQIPCFAAVKLYERCLIPCANYSEFWIRYSEYVDAKGGQEIANHALGRASSCFVKGNTKAASEIYETAIEDAMQKNNIELLPDLYSNFAQFIYAASHSIIEAKQVFVKGINRAPCKPLIKGFIQFMSTHGGPKDIPLLDSVISNAVTPGSDVSTALSTEDREDISLLFLEFVDLYGGIKELRKAWARHSKLFPHSTGNISRHYSTMGNSLQETNKRRKTEPLMVARDHSLAHKADDFSLKVDKESEPQVDKDIVDSGKGHRDAGEQKALEIVNSHKETIRAAQECTDMVHSEHILDKNGMQKQMNSHAKEETNQDLILHEQNDEKTNSCDVEAPVAESGDCDSPSKAIASSENINSQGKVIVESARSHLETVCSKYDSPSGSNMHKEGNSAGPARMSPELEERQHVEVQVKVDTEHDLSVSNANLERPFDGPNRTECDKEISAIGHGSQDHIQSSQSHELSACAKPSSLDLVKTKSDTVDFQAQLRHQLGNAQTHQSNNLSQNMQQQELTMAQNVQTSAQIHDQLFAQSNHGNQQYLQVMQGYASQMGQYYQQQLYYLQAQHNQQMQSLQQQQLPTEHLQQNFMQQVQQLNQQMVLWQQQVQQQQQVVLQQALPVQQLPDEKQGQCPSGDTKHDQNKQQQQAPQMDQQSQQLQQQQLLYLQQQQQQQQQMYLIQQQQMYQQQQAQQQQLFQQQLMQQQYVLQMPQQQQDSVQQQQQQQQLFQQQQQQMMLLQRQQQQLMQQQIQQYLQQQQNQQGPKDQAYKSNPQDGRNRQMEHGQLSEASQSDGYKLRSGEQSELSYPSTPQSQRSNH >Sspon.04G0021240-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:77320487:77327919:-1 gene:Sspon.04G0021240-3D transcript:Sspon.04G0021240-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYYNILKVNRNATLEDLKKSYRRLARTWHPDKNPTGGVEAEAKFKQITEAYEARYTKCNVLSDPEKRAIYDQYGEEGLKGMPPPGSQSRSSTTAGPSGPSNFRYNPSDPDDFFAEFMASNKTYSSDQDRTRFQPRSHWTSARNSRSEAPSGSRKESGSSTSHVEKPPPVEKTLLCTLEELYNGTKRKMKITRNVAKPDGRVEVETEVLAVEVLPGWKKGTKITFPNKGDKLHGQLAQDLTFVLDSKPHDVYNLEGNNLLVKQEIPLVDALAGAEINLRTLDGRNLPVRVEEVVRPGYEVVLENEGWPIRKEPGKKGKLVIKFDVTFPMRFDYMGRKQMSTEWGKRLSELAKRLEDILYRTFPNKNDYYNMIKGPIEPQLKFAIKTLVDQHQQYQQNLQLPTQTPSSSSSNQNDYKCYDLGTA >Sspon.07G0018040-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:63413544:63430686:-1 gene:Sspon.07G0018040-2B transcript:Sspon.07G0018040-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPARSDSEAMFMVDSAVSSEILLPLPAAAQGDGRVQHASEVRLLGYCREDKELLLVYEYMAKGSLENHLFRSEPRIVVNDTMMIIMLWKTWRCSERHHMASGSGDQTQNTGVFPAMQEYACSTGNNDVVYPQYGIGYSSGQNGAQGAYLQHQHFEGCMYMSGNGQMCGPYPPEQLYEGLSTGFLPQSLAIYAVFGGKTADPVQLIFLKQFLSQWNVGAMASTPNASMETEKVASHAKMVFPDIYHVDGKFGPFTLVSLMGMWSGEHKEPLEATANDSASLNGLVGDIVDDVSHQLHAGIMKSARRVLIDEIFSSILPDLIVSKKTEKQLAAKLKNQVTKPDSVSNKKDSTIKVKVNTSCTVPKKGNSYNTAPVDCSVAIQFTAVHGKFADILPAVWQTVYYESMKNVWDEILSECVMDYCDVWLQRNCILNLPSTSISVIPDDNMKAQDSHELSPKDLDATECDMDFPPGFGPCWKSPESSLSPSLLEVNGSAMDGKSESSTTLFSGPLAVVQRMLANELYISSKQSLFHYFEEVIAEEITNCLCFGLESSIDQEQIGTPVHAPESPMSAETSMHETLNPIEMAGGDELNIVEMAMTTRTSPIEMAVDEELNTVEATRTSPTELTSVETSTAAEMTTDKMPTSHVCAVEEHLSMSYARIFEKMDICKTAELDEKFDEVPPGMETGLVPLPLMDKNIYKPSKSMNSIPLISRYITLALCRQKLHENVVREWTSLFSDTISKCLGSWYTRRNAVTKSADGSSKLKEKTYYRKRKFEKTCQSKSSKKPVEISMDEQLSKPLCQLVDRKIYVKNIQESNKALTSKKVSFVDKPSKKGAKTVANDAHDLNIQQDLTLLSSEVPKRARSSHPTKKHMVANRTPTGNDNVADNSMLTKHVKKKKGRDISSETSQKVKPMISCPESDGCARVSINGWEWRNWVRNATPSERARVRGYRVRTILSASSNNNVWINSQAKVSSARTNRVKLRNLLAAAEGAELLKITQMKARKKRLRFQRSKIHEWGLVAIELIEAEDFVIEYVGQLIHRRVSDIRESQYEKSGIGSSYLFRLDDDFVVDATKRGGLARFINHSCDPNCYTKVITVDGQKKIFIYAKRRIYAGEEITYNYKFPLEEKKIPCHCGSRRCRGSMN >Sspon.08G0004730-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:14394875:14404340:1 gene:Sspon.08G0004730-2C transcript:Sspon.08G0004730-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKNRVSSSNGVRVCVTGGAGFIGSWLVKKLLEKGYTVHATLRNIGDEEKSGLLRRLVPGAAESGRLVLFEADLYDAATFAPAIAGCQFVFLVATPFQHDATSAKVRFTTTVPQPIAVLKEILFTTDLVRTDSEQYKSTAEAALDAARVILRQCADSKTVKRVIHTSTMATCSPLKEDSTGFKDAVDESCWTPLDVEYPLRDPQFHEYILSKLLSEKALLAYNAAESPAFEVVTVPCPVVAGDMLQGRTTAGLESTASPVTRDARQFGALRMLQRLMGSVPLAHVDDVCDALVFCMERPSMAGRFLCAAVYPTVDEIVDHFAAKYPHLDILRETELGLPSVQAHSNKLGELGFRYKYGMEEILDGSVDCAVRFGRLDASKFSMQER >Sspon.06G0006440-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:21263162:21266177:1 gene:Sspon.06G0006440-1P transcript:Sspon.06G0006440-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPRHLFLLLPLRLLFLLPLLAAAKPVLEDGYTVTTFADLNPLPASGPHPYAILPRPRAGDLLLLDSAGSALYTLSLSSSPGEPRRLAGGKRGSGFDDGDAAFDRPRSVAVDAADNVYVADQRHGAVRKVAPSGYTTTIAGGLSSGPGHRDGLAQNATFSADFELVYVPKICALLVADRGNRMVRQINLKPEDCAHEKQSGLGTTSVSVIAILCALLGSIIGFLVRHFYPVNTVRSETYRQEVSINHFFSRIQKPLLQTQRKATLISFCDIKSAVASSMDYTLLHRLIRLGRGCLAMVFPSVRLQQEVPLRPSRRRPELRKTSTAPNIGLNNKAPFPPTGQLGDLISFAGDAGDKEGSGNANSQEGKVPSYEGDLMGLLYIPPGSVKKIDHMIETNLSGFSSHVNRRRLTVSGCSVSTRVHGDK >Sspon.07G0002610-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:6317227:6319867:-1 gene:Sspon.07G0002610-1A transcript:Sspon.07G0002610-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPALLKGGEPTEVQDPEFVLQQGGAEGDSGVEEALDDKSGMLQKGKGSVVAESEHELPEFEFQRRSLEALVKPVNVGRLLNGGAHINSESDIFEWWKPSSPLGTSVGTPGAEFYDAFEEMSSDGGTRSSRGMDDDLREMRLSLLMEIERRTQAEEALEIWQQEWKNLSHHLSLIDLSLPSPSIAEDSDGSSGDPGAELCQQIMVSQLVAAAIARGFARAEVESDTETMIATKNFEIARLSDRVQYYEAANREMSQRNQEAIKMSRQQRKGRKKRQKWFWVSVGLAVTLGATAIAWSYLPSSQPQASGDSNSAAS >Sspon.02G0041690-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:81763639:81765343:-1 gene:Sspon.02G0041690-2C transcript:Sspon.02G0041690-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPIRVPTLADAVLRPPCRSHCVAACLPCRSAAHATRGAAAARRRTVPPLANDREAKKEAFRKYLESSGVLDTLTKVLVALYEENDKPSSAVEFVQQKLGGPSISDYEKLKAEKLDLQLKYDKLLETHKETCRQLEELKNMKYGAPWN >Sspon.02G0016700-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:46787831:46789285:1 gene:Sspon.02G0016700-1A transcript:Sspon.02G0016700-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAITSAATDDLISRAISFLVDKLTNRECMEDKLQRLQPLLLRVRTVVDEADARCITNSGMLMQLKQLSESMYRGYYVLDNFKYRPVIESDEQKNLNTQFWFHVLKRYKWTLENNMLLFGDHPRRICLKGRPIDITKLIYHPAPFRTLPPHHVEGDVSATQLPRVSFGDLIVGSASPPKKMFELMTWESRLPPHSKIVHICVEDKTQDAAQSNKKQRRGVAI >Sspon.01G0049640-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:96015930:96016350:-1 gene:Sspon.01G0049640-2D transcript:Sspon.01G0049640-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCGLCLELEDGAWCVCRTDLADSALQKTLDYACGGGADCKPILQNGACFAPDTVKAHCSYAVNSFYQRNNQNPQACVFSGTATLSNNDPSGNGCTYPATP >Sspon.01G0008570-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:28825712:28829789:-1 gene:Sspon.01G0008570-1P transcript:Sspon.01G0008570-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFKNRNDTPVAHIATGQSPAPLPTDDLASETIDSQAERPKDDPAVDPQTERPKDNMAINAQTEKIKDHTGVDSHAEKPKDDTRVLDISIDETPVTSPLKETSTSTAAFPVNGKFKEPLRDVRNVARDLNSCIKEIEILFIKASDSGKEVPRMLEADKVNFRPLLPEEKERKSLFLNVTPPQAEVQYLTWHRSMSSLSSSSRNPLGTTSKEDIDGLTGNIFGGVYMNSGSHASTLDRLYAWERKLYDEVKASSAVCRQYDDKCRQLRHQESRGESQLSIDKTRAVVKDLHSRILVAIQRIDMISKNIEDLRDKELQPQLEELIGSLTHMWATMLECHRHQHDIIKLVSNTGNMKASIRSESQFQATLLLQVELNTLCSNFQKWIASHKAYLQSLNSWLLKCVKSLQKKRKSSRKKKVEADPITKYAVAPIFKTCESWINLLGDLPTDLEDAVKDLAAHINRYVPRQEKQRGGSKRTLSLSRSGRSNGEMGEVQRSDPPMDLQSSLEIFLGKLERFSDISLQKYMELKEDINKAKERYEKAQADQRI >Sspon.02G0005840-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:18937907:18943731:-1 gene:Sspon.02G0005840-3C transcript:Sspon.02G0005840-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:V-type proton ATPase subunit H [Source:Projected from Arabidopsis thaliana (AT3G42050) UniProtKB/Swiss-Prot;Acc:Q9LX65] MDRAELATEQVLKRDIPWEHYMSTKLISGTCLQLLRRYDHKPESQRAPLLEEDGPAYVRVFLNILRSISKEETVEYMLALIDEMLASCCGKATGLYKKKVNGTLPNGDASNSKSKLTSTHEVLRGLVDWLCSQLRNPTHPSCSIPTSIHCLSTLLREPYVRTLFVQADGIKLLIPLISPASTQQSIQLLYETSLCIWLLSFYDAAVDYLSTTRVMPRLVEVVKGSTKEKVVRVVVMSFRNLLAKGAFAAQMIDLGLPQIVQNLKAQAWTDEDLLDALNQLEVGLKENLKKLSSFDKYKQQVLLGHLDWSPMHKDPSFWRENINNFEENDFQILRVLMTIIDTSSDTTALAVACYDLSQFLQYHPSGRIVVADLKAKDRVMKLMNHENTEVRKNALLCVQRLFLGAKYASFLQA >Sspon.01G0005080-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:11980528:11986000:1 gene:Sspon.01G0005080-3D transcript:Sspon.01G0005080-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDFCVVCADTLEWVAYGSCGHRDVCSTCVARLRFVMDDKKCCICKTVCPFVFVTKAMGEYTRVVTDFSVFPSGVNEGKAGDFWYHEDTQAYFDDADHYRMIRAMCRLSCSVCDNAEDQVALAAQAKRRSKFRSIDQLKGHLFHQHRLHMCNLCLEGRKVFICEQKLYSRSQLAQHMKTGDSEVDGSEVERNGFAGHPLCEFCKSSFYGDNELYMHMSREHYSCHICQRQHPGQYDYFRNYDDLERHNAMEHGGRMSRSQRNAALQIPTSFIYRRNEQEQRRGRGRGRNALHDGSDSHISSSGQNGRATADGHAGRLDNVSGSFQSLSIGSSSGGAEVGQGRTGRVLEQLSFPPLLDPDIPDNSVDSFHDETSFPSLSEQQSRYALALNQSARGAARLGDESLFPPLPGSSNNRGAASAQQGLQSLAKSTLAARLQRSKGPVKVLNTARPRPSENLEVLSSSTQTWPTPDQGLLSGSSQLRPGTQPTREIGSVPAVSSNIVWNPVATNKMKHSVSTPNLVSGGSSAQASSSSAYGSNRSQDPHQGNQTLPVAEDVRAANKSLVERMRSALGMDEDRYSAFKEIAGEYRQGIIDTSEYLSYVEQFGLSHLVPEMARLLPDPQKQRELADAYYTNTRFKSLQENGGGTSSQEGNRKKKGKGKAPVTESSAANDVKGALADNILDTVRRLQSNHQAQEGEAEVLSKDGYRPSKGVQPAAGPSSNLDSSSGAKDNTGKGGGNKQPKKTSKFLRARLGDNSLATLDLNRPSASPERPERESQGPETGLLVRGAWKNGGGQKLFLSNGRK >Sspon.04G0016940-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:61898615:61902929:1 gene:Sspon.04G0016940-1A transcript:Sspon.04G0016940-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Autophagy-related protein 11 [Source:Projected from Arabidopsis thaliana (AT4G30790) UniProtKB/Swiss-Prot;Acc:Q9SUG7] MSSGSAVTGGGAEEAAAAVPLGQKLMVHVAENGNTLEFQCGGDTLVEAIQHSIQLHCGIPPSDQLLLCGNTSLDGANGHALAYYKLPRDDREVFLYNKARLLADSRPPAPESLYIPEPNIPPPPRPQDSPPVDASADPALKALVSYETRFRYHFQVANAVYQSSLAKFELCRRLLREGQVQERALDTARSNLEHTFRKLSQRYSEFLRCFTQQHRSHVEMLANFERDVQKLRAVRLHPALQSEGRHCLMDLLKENDLRKLADGCLSSHKKFEVKVSQLKANFLELKKRVEGLFNAMSSGGCKDVEKLIKEHQGVIGDQKIIMQALSKDVDTSKKLVDDCSSCQLSASLRPHDAVSAVGRIYEVHEKDNLPSIRNFDHRLTKLLEKCKDKKNEMNTLVHVCMQRVKSSQISIKGMMSELIAFQEVMGHQEDFDNLKIVSGLGHAYRACVAEVARRKSYFKLYTGLAGTYAEKLATECQNEKTRREDFHRTWSRYIPDDVMCSMGLFDSPSQCDVKVAPFDRDLLPIDVDDVEKLAPQSILGSFLKSERSQLAKPLLSNSSTSGNLNKSEQNPLSADDKMDFQDFLGGYDSIDIAGTSKLEVENARLKAELASAIAILCNVGAEYGYESIDEGQIDAVLKKAREKTAEALAAKDEFAYQLQSLLTAKQEKCLAYEKRIQDLEERLANQYMQGHMVSGSKGTSDSLLSAFKSNDCNLDVCGGRQTQIRDESSVAMDETSSTSEQPSKQTEGGDENMTDISGALNLQLLDSAACTNLDAFMTELPRDNEHKIVNIDKEGHMLTQLTMADTSDVPIEDPLSILNSRTNEHHALELRNKELLVSELQNTLDQKSKQLGETEIKLSAMMDEVNSLNKELEQTRGLLDESQMNCAHLENCLHEAREEARTNKCSADRRAVEYDALRSSALRIHGLFERLNNCVTAPGVTGFAESLRSLAISLASSVKKDEADTTVQFQQCIKILADKVYLLTRQSAELLERYSAMQAVHGGITKELDEKKELIKNLYNKLQLEKQASKEKISFGRFEVHELAVFFRNPAGHYEAINRNCSNYYLSEESVALFTEHHPQHPAYIIGQIVHIERRIVHPGQMGGAPRPDSSGGRRLPASMLNPYNLPGGCEYFVVTVAMLPDAAR >Sspon.03G0025080-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:76007550:76011597:1 gene:Sspon.03G0025080-1P transcript:Sspon.03G0025080-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPSLPSQIVQFNSKEMEDKLKRLAHKVSHHEDNIRFLKSQLNTVEEACIDLEIKLGNYHSSVAAVVNNDTSAQEAEQRTIRSILDQDNTAAGIICQLKVRHHELASKMPLMKDIIGFVATLGKVNNDNLSRLLTEYLGMDNMLALVCKTYDGVKGLEKYDKDGIIDKTSGVHGLGRSVGKFLDGRFTVFCLENLRPFSGDVNIDDPQRKLILHRPRLPGGEPPPGFLDFAVNMIHLDRAHLSCLTASGHGLRETLFYTLFSHLQVYKTRADIQSALPLIKDGAVSLDGGILRPNGSFCLGDSQNLEVKFPVNIEVSSLPENIAEMEEQVKLKNWEKERVLEDMKREGIC >Sspon.03G0034650-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3B:76001334:76003302:-1 gene:Sspon.03G0034650-1B transcript:Sspon.03G0034650-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTVLALGGGRACPSPAPGGSDRVHTIVAEGPILQQGMEGDDAGLDQGMGAGDAGIDHGVEEEGMEDGDDGALQDMEGDQGQGMEAGDAELEEAMLDEDGALIQQQDMEGDDSGLEQEIEAGDEGIDQGMEDVDDGALQDMEGGQGQGMEAGDAGLEEAMEHEDGAHQQDMVEGDDAGIEQEQGMEEEDDDVFDLDQFIEDMGGGIALLPQNHVLPLSIPEYEASPAHLLLQWNEFQRSGPLETIVLLADRDEEDGHGAGPPETVGPLFRDGDYHSIDTILPEWTIELIRETFNARTHATIEVLLLDGRKIQLDFLRKICVEVDEEGTSLRTIAMYWVDDQYRVFVSARIFGMDEETVRQRFTEECQGRLIITEVQRCFHRLHAMDPFCERMQVQRPVFGWYGAEAELVQHGAFQDEVLHTNWERVEILGFERAHGRGVHIASMRRIMK >Sspon.06G0035470-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6D:75354834:75355692:1 gene:Sspon.06G0035470-1D transcript:Sspon.06G0035470-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLYIYIYIYDRRVDDLARRFAAFLPVQLLPPPCSTPGGAWAARRATSSAASASTTPSTLVAAWQSSAAGWKNGGSGRSVAGASAAVSKDGATTLAAMSPSAPLGGVAHSSNSGYVLYVLFVRARRAASPPRSPVHEGRAEPTPDRIRARQGRTGEEQPRPPFTPWWGRSSRSRLPSEAGRVTVGEPRARRWSHADAELHPRLRARRGVHGVGAAAAAGYDPVGEKPPRPPVREEATHSVAESSDPP >Sspon.03G0019690-2P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3B:83874842:83876124:-1 gene:Sspon.03G0019690-2P transcript:Sspon.03G0019690-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDTKKPTRAASRLGGRLVGVASMLLFVSLGFVLGVTSSNAMFIRYYLPFMPPLRSSAAPSSSSPPPLTTPPTPSQPPPPPPPQNPQVQSAGFLSPSGVMHNMTDEELYWRASMAPMVRRTPVSRVPKVAFLFLVRGELPLRPLWEKFFAGHEGRYSIYVHAHPSYTGSPPTDSAFYGRYIPSQITKWGDASLVEAERRLLANALLDLGNERFALFSEACIPVYDFPTVYAFLTGSDTSFVDCYENGGSRSRYRPFFATRNITLARWRKGAQWFEMDRALALESVADDFCFPAFRDFCVGRRECLIDEHYLPTLVSLLGWGRRNANRTLTYADWKRPVNRHPHTHGADEVTEERIREIREEGGRRCFYNGARKGICNLFARKFSPDTLQPLLRLAPKVMGFG >Sspon.08G0024210-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:62662605:62672448:1 gene:Sspon.08G0024210-1B transcript:Sspon.08G0024210-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQNLALNIAEKGFPISVYNRTTSKVDETVQRAKAEGNLPVYGFHDPASFVNSIQKPRVVIMLVKAGAPVDQTIATLAAHLEQGDCIIDGGNEWYENTERREKAMEERGLLYLGMGVSGGEEGARNGPSLMPGGSFEAYKYIEDIVLKVAAQVPESGPCVTYIGKGGSGNFVKMVHNGIEYGDMQLISEAYDVLKSVGKLTNSELHQVFSEWNKGELLSFLIEITADIFSIKDEQGEGYLVDKVLDKTGMKGTGKWTVQQAAELSVAAPTIEASLDSRFLSGLKDERVEASKIFQGDYSTDLPVDKAQLIEDVRQALYASKICSYAQGMNIIKAKSSEKGWGLNLGELARIWKGGCIIRAIFLDRIKKAYDRNPNLANLLVDPEFAQEIMDRQAAWRRVVCLAINNGVSTPGMSASLAYFDSYRRDRLPANLVQAQRDYFGAHTYEREMALTRIGLAGLAVMGQNLALNIAEKGFPISVYNRTTSKVDETVQRAKAEGNLPVYGFHDPASFVNSIQKPRVVIMLVKAGAPVDQTIATLAAHLEQGDCIIDGGNEWYENTERREKAMEERGLLYLGMGVSGGEEGARNGPSLMPGGSFEAYKYIEDIVLKVAAQVPESGPCVTYIGKGGSGNFVKMVHNGIEYGDMQLISEAYDVLKSVGKLTNSELHQVFSEWNKGELLSFLIEITADIFSIKDEQGEGYLVDKVLDKTGMKGTGKWTVQQAAELSVAAPTIEASLDSRFLSGLKDERVEASKIFQGDYSTDLPVDKAQLIEDVRQALYASKICSYAQGMNIIKAKSSEKGWGLNLGELARIWKGGCIIRAIFLDRIKKAYDRNPNLANLLVDPEFAQEIMDRQAAWRRVVCLAINNGVSTPGMSASLAYFDSYRRDRLPANLVQAQRDYFGAHTYER >Sspon.02G0032060-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:88098326:88102156:-1 gene:Sspon.02G0032060-2D transcript:Sspon.02G0032060-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAAAAAAAARCVPHRASPPETAKAPASIPTSSAASASAPLRAAVAPGPGRRLPAAPLRCASSSPENSTPPDLGLLLEVEGVLADVYRFGNRQAFNVAFQSLGLDCANWTEPIYADLVRKARGDEERMLALFFDRIGWPTSLPTSEKGSFIKSVLREKLKALEEFSASDSLPLRPGVETFIDDALSEGVPLAILAAYGRNGEKISRSIAMKLGPERISKIKIVGKVEVEESFYGQLVLGKGVTSGVDEQLVREAQKASSAEKQRIAEEVASILKLSVDITAFESSDKVIAALRAGSEYVGCDVQNCVLVAGSQSGVLAAERIGMPCIVVRSSFTARAEFHSAKAVMDGFGDTDLTVSKLLSKRWS >Sspon.01G0000530-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:998865:1010539:1 gene:Sspon.01G0000530-2B transcript:Sspon.01G0000530-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGILERIKEIEAEMARTQKNKATEYHLGQLKAKLAKLRTQLLEPPKVSSHSCLQLDYQKVNVGKSTLLTMLTGTHSEAASYEFTTLTCIPGIIHYNDTKIQLLDLPGIIEGASEGKGRGRQVIAVAKSSDLVLMVLDASKSEGHRQILTRELEAVGLRLNKRPPQIYFKRKKTGGISFNTTAPLTHIDEKLCYQILHEYKIHNAEVLFREDATVDDLIDVIEGNRKYIKCVYVYNKIDVVGIDDVDKLARQPNSLLNLDRLLARMWDEMGLVRVYTKPQGQQPDFTDPVVLSTVQYHLGQLKAKLAKLRTQLLEPPKVSSHSCLQLDYQKVNVGKSTLLTMLTGTHSEAASYEFTTLTCIPGIIHYNDTKIQLLDLPGIIEGASEGKGRGRQVIAVAKSSDLVLMVLDASKSEGHRQILTRELEAVGLRLNKRPPQIYFKRKKTGGISFNTTAPLTHIDEKLCYQILHEYKIHNAEVLFREDATVDDLIDVIEGNRKYIKCVYVYNKIDVVGIDDVDKLARQPNSLLNLDRLLARMWDEMGLVRVYTKPQGQQPDFTDPVVLSTVRSLPFPRLLFTLAFPRNRGNRLADTRVVYHQDRGGCTVEDFCNHIHRSLLKDVKYVLVWGTSARHYPQHCGLSHGLQDEDVVQIVKKKEKEEGGRGRFKSHTNAPDRISDRVKKAPLKT >Sspon.07G0029600-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:1865947:1869635:1 gene:Sspon.07G0029600-2D transcript:Sspon.07G0029600-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:H(+)-ATPase 5 [Source:Projected from Arabidopsis thaliana (AT2G24520) TAIR;Acc:AT2G24520] MEFAAVVAIGFAYGDNRPPDWQDFVGIIVLLVINSTISFIEENNAGSAAEALMANLAPKTKVLRDGRWSEEDAAVLVPGDIISIKLGDIIPADARLLEGDALKIDQSALTGECLPVTKNPGDSVYSGSTCKQGEIEAIVIATGVHTFFGRAAHLVDSTNQVGHFQQVLKAIGNFCIATIAIGIFVEVIIMYAVQHRRYREGIDNILVLLIGGIPIAMPTVLSVTMAIGSHKLSLQGAITKRMTAIEEMAGMDVLCSDKTGTLTLNKLSVDRGLIEVFAADVEKNDVVLFAARASRVENQDAIDAAMVGMLSDPKEAREGIEEVHFFPFNPVDKRTALTYIDLADGTWHRVSKGAPEQPWEFVGLLPLLDPPRSDSSDTIKRALDLGVNLAIAKETGRRLGMGTNMYPSSALLGKSKNEATASIPVDDLIEKADGFAGVFPALKKADIGIAVAGATDAARSASDIVLTQEGLSVIISAVLTSRAIFQRMKNYTLNEIFATGIVYGAYMAVMTVVFFWAMRSTDFFSDTFHVRSLRGSTDEMMSALYLQVSIISQALIFVTRSRSWCFTERPGFLLCAAFVVAQIVATLIAVLANFGFAHIRGIGWGWAGVIWLYSLVSFVPLDLFKFAIRYVLSGRAWNNLLQNKTAFTTKRNYGKEEREAQWATTQRSLHGLDIEAGGGGGGGDRSYAEELPEIAEQAKRRAEFARLREKNTLRGHLESAAKVRGIDINAVRTPFYSIGRPGGVAFVRVFRSGAYMKQVSSISIAS >Sspon.07G0001040-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:1297272:1300834:-1 gene:Sspon.07G0001040-3C transcript:Sspon.07G0001040-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VNKVLSASMRVNLGLLLPLMDKYAAPTWAILISGFFMLLSVSLSMYLIFEHLSAYNNPEEQKFVLGVILMVPCYAIESYVSLVNPDTSVYCGILRDGYEAFAMYCFGRYITACLGGEDRTIAFLKREGGEDSGEPLLHHVSEKGVIHHHFPINYILKPWRLGVRFYQIIKFGIFQYVIIKTLTASLSLILQPFGVYCDGEFKWGCGYPYFAVVLNFSQYWALYCLVEWYTATKDELAHIKPLAKFLAFKSIVFLTWWQGVIIAIMYSLGLVRSPLAQSLELKTSIQDFIICIEMGIASVVHLYVFPAKPYELLGKQYSPTNISVLGDYAASDPVDPDEVKDISRPTKVRLPQLEPDEIVATNIKESVRDFVIGSGEYVIKDFKFTVNQAVRPVEKRFDKMKKNIKFSRQSQDDNWVSASTPERTIRGIDDPLISGSASDSGIVGKGKRHRRDPSSAAAVDSWEGTEQAPDGFVIRGRRWEIKKS >Sspon.03G0007090-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:34769066:34774830:1 gene:Sspon.03G0007090-2C transcript:Sspon.03G0007090-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NADPH-dependent diflavin oxidoreductase 1 [Source:Projected from Arabidopsis thaliana (AT3G02280) UniProtKB/Swiss-Prot;Acc:Q6NPS8] MSSSGRLLVLYASQTGNAMDAAERVGREAERGGCPAVDVLSMDSFDPSRLPSERFVVFVVSTTGQGDPPDSMKGFWRYLLRKDLDRQWLEGIHHAVFGLGDSGYQKYNFAAKKLDRRLLHLGAEPVLEIGLGDDQHPSGYEGALDPWVLSLWKSLNEINPSLLPRVSDINDSNLSILGDPKVHVIYYSSNEVSQDPILSDPSKIISSARSMSPALRFHADGEPPYMLQMVKNQRLTKEGSDRDVRHFELEDPSSAISYKIGDALEILPSQNPSAVDAFIERCNLDPDCYMTIGVRSGDKVSKGLVVNSQMDRIKLRTFVALTMDVASASPRRYFFEVLSFFATSEHEKERLQYFASPEGRDDLYQYNQKESRTVLEVLEDFPSVQMPFEWLVQLTPPLKKRALSISSSPLVHPDQIHLTVSIVAWVTPYKRTRHGLCSTWLAGLNPNKDNLIPCWIHQGSLPPPCPLVPLVLIGPGTGCAPFRAFVEERAAQAAAEPTAPVLFIFGCRNQDNDFLYKDFWLTHAQDEGVLSSKKGGGLFVAFSRDQPQKVYVQHKIKEQSSRVWNLLLSDAVIYIAGSSTKMPADVTAALEEVICKEGGVKQADASKWLRDLERAGRLNIETWS >Sspon.04G0015830-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:28451724:28452239:1 gene:Sspon.04G0015830-3D transcript:Sspon.04G0015830-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHKETGCQAPEGPILCINNCGFFGSAATMNMCSKCHKEMIMKQEQAKLAASSIDSIVNGNDAVMEPVVSGNTVVAVAQVELQTMNVQPTDVAGPSEGAAVISKGKVGPNRCSTCRKRVGLTGFNCRCGNLYCALHRYSDKHDCKFDYRTAARDAIAKANPVVKADKLDKI >Sspon.05G0025150-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:20912648:20919278:-1 gene:Sspon.05G0025150-1B transcript:Sspon.05G0025150-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAISKPLLSDLEAQIGKVPSTHIRPVGDRPDLANVDNESGVGIPLIDLKKLNGPERPKVVEAIGRACEFDGFFMVRDEPRHPGGGRRGMLRVAREFFHLPESERLKCYSDDPKKAIRLSTSFNVRTEKVLELLPVDLMDMSPAADIKRQERGASWISAAISSDCALTRWLRKFKFKLGGSSSSSLLPVVAPLQRQPAHWESHSPGTRGFAAGAPRPSSQRHSSAAAESTSILVSEIPLGDSGSFPPPPSLSLSSASSSPSRDTATRTPFSTTGENAAPDATGSEEPVRARRSGSPPELPAPAADPEDALKVFVVNIGANITLLVNIKDISSSWKTEWKLLYGVFVLLCVLWTVTSIYAAWSHADSWARTFSSLCARLGLLVATALFVVNTTCQLGPFGCVAGVPAAALFVLFLAVVWMAMALVEDQHHRLFVKLKVTMGVSKAN >Sspon.08G0013250-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:56023444:56027688:-1 gene:Sspon.08G0013250-1A transcript:Sspon.08G0013250-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRPRHAGNGAGRSQLSPLEWELHRRILNGGLARRGASAEDVANTLRIHHPKLGRHKHGPFVACVRRALASIPIPSPSSPSSSDSSSDDGSSASRRRRRRRHDAHATTSSSTSVSDAAAHPSQPAPTFNLTSSIIRSNYAAQTAKRNQQLEVEVTAEKPRRLITADGGGGGDAKLEAAPAAAEGFGRGDKGPRFADLGGMEAVIEELMMEVVVPLCHPELPHRLGVRPVAGLLLHGPPGCGKTTLAHAIANETGVPFYKISAPEVVSGVSGASEENIRGLFQKAYRTAPSIVFIDEIDAIASKRENLQREMERRIVTQLMTCMDQFHQNIGSGSGNLDAESSEKKPGYVIVIGATNRPDAVDQALRRPGRFDREISLGVPDENARKQILKMLTQHLRLEGEFDLFKIARATPGFVGADLKALVDKAGNLAMKRIIDERRAQYRHENDGSSKHDWWRQPWDESEVEGLHITMDDFVEATKMVQPSLRREGFSSVPDVTWDDVGGLDSLRKEFDRCIIRCIKHPEDYEVFGVNMQAGFLLFGPPGCGKTLIAKAVAHEAGANFIHIKVVDAFIFSHQLFNAIQSSSKPFLFLGPELLNKYVGESESEVRKIFTRARTNSPCILFFDEVDALTTKRGKEGGWVVERLLNQLLIELDGADQRQGVYVIGATNRIDVIDDAVLRPGRFGKKHYVPLPDADERVSILKAHARSKPVSTDVDLDALARRAECNNLTGADLASLVNEAAMAALEERLEFIENGTSSMSSSCLIEISHFERALSMIKPSVSEQQIKHYEALSKRYSSN >Sspon.01G0005320-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:12740270:12747240:-1 gene:Sspon.01G0005320-2B transcript:Sspon.01G0005320-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:At5g42970 [Source:Projected from Arabidopsis thaliana (AT5G42970) UniProtKB/TrEMBL;Acc:Q1H5B6] MDSSLASAAAIADQRQKIEQYRHILASVLSSSPPDISQAKRFLDHMVSDEVPLVVSRQLLQTFAQDLGKLESDAQKEVAHYALTQIQPRVVSFEEQVVVIREKLAELYESEQQWSKAAQMLSGIDLDSGIRMLDDTNKLSKCVQIARLYLEDDDAVNAEAFINKASFLVTSSHQEVLNLQYKVCYARILDLKRRFLEAALRYYDISQIEQRKIGDEEIDENALEQALSAAVTCTILAGAGPQRSRVLATLYKDERCSKLKIYPILQKVYLERILRKPEIDAFAEELRPHQKALLPDRSTVLDRAMIEHNLLSASKLYTNISFDELGTLLGIDPRKAEKIASRMIYEDRMRGSIDQVEAVIHFDDDTEELQQWDQQIAGLCQALNDILDSMSSKGIVIPV >Sspon.08G0010380-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8A:45405185:45407791:1 gene:Sspon.08G0010380-1A transcript:Sspon.08G0010380-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKAATYARHSCGCAELHKSASPPPPRTEKEAAMAMEETSKMTGRKKGGLRTMPFIFANEVAEKLAVVGFSTNMLTYLTTQLHMPLAKAATTLTNFGGTSAATPLIGAFLADACIGRFWTIAAASVVYQVVRHGAPDGVSGAAAVPPAAVQAGRRGDVPGGGAVAAGGAVRVPAPERGGRRRVPPCIVAFGADQFDESRAAERARSWGFFNWYYFCNGASMLLAVTAVVYVQDNVGWGWGLGVPAFCMGVSVAAFVAGYPMYRRLEPAGSPFTRLAQVVVAAVKKRRLPAADVDPARLYENDELDAPISMYGKLVHTDQLSFFDRAAIVTDGDLVTLTDADSSKPSPAPVPNPWRLSTVHRVEELKSVIRMGPIWAAGILVITGSSTQHTFSCSRRAPWTAAWRRACPRSRSPRVHDRLRAARYAPHPLRLRPRARPRGAPLHGAGPRHLLPPPHGRRLRHQRARHPGGRLRGAAPQGRRGGDGRRHGRRHVPAVGLLAGTAVRAARRGRGVHLRGAPRVHVRPGAREHAQHGDGALLAVHLAGKLREHAVGGRRASLERGPRRRQLAAGQHQSRQAGLLLLGRHDAAGHEPGVLRHLRQAVQVQACAAPQERGGRRRQGTCGAARE >Sspon.08G0006430-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:17049448:17052530:1 gene:Sspon.08G0006430-2B transcript:Sspon.08G0006430-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SHS1 [Source:Projected from Arabidopsis thaliana (AT4G32400) UniProtKB/TrEMBL;Acc:A0A178UW29] MAATMVAMTARSKNSVLSVEKKQGWSIQLPELRFPWDSHEDKGFSLSLQGSGPAHGGLFASVGLKVSTGAPAVAPGPGDKDIKIPFADHCMKYVSEAVGYKVISTKAEPVEEEVVDAKAKKAAKKRGLKLKIKIGNPHLRRLVSGAFAGAVSRTCVAPLETIRTHLMVGSNGDSMIEVFQSIMNTEGWTGLFRGNLVNVIRVAPSKAIELFAFDTAKKFLTPKADESPKTFLPPSLVAGALAGVSSTLCMYPLELIKTRLTIEKDVYDNFLHAFVKILREEGPSELYRGLTPSLIGVVPYAATNYYAYDTLKKLYRKTFKQEEISNIATLLIGSAAGAISSTATFPLEVARKQMQVGAVGGRQIYKNVFHALYCIMEKEGIGGLYKGLGPSCIKLMPAAGISFMCYEACKKILVEGNED >Sspon.02G0010540-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:28862397:28863127:1 gene:Sspon.02G0010540-1A transcript:Sspon.02G0010540-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGWSSLPADLINRIADRLLATDEATTWTTTWTSAPCAAAGAPRSSTADPKSSPRDPRFRPRQWAMLDEDLPLLRRYFVVAGATGGSVVLAERTAPHAARVLNPFTGAMVSFEAPVPSEGKVAAHVIGSSPTLVLLSDHSIYRAHPDSKSFAERKEEDFDFPPVRIAVKVGIYAAAREDASLGSLLVLVATKIMELAAKPFAECFPGAEAQAVNRCFLLPLF >Sspon.02G0003650-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:13261629:13263090:-1 gene:Sspon.02G0003650-2C transcript:Sspon.02G0003650-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase (Fragment) [Source:Projected from Arabidopsis thaliana (AT5G17050) UniProtKB/TrEMBL;Acc:W8PUE7] MGSPHVAVVAFPFASHAPKLLMVARALATAAPSATFSFISTADSLARLGVSAVPGNLRFVEVPAAGGDDKGILPWRRMELFVEAAEAGGLRQALEMARAAAGGSRVTCVVGDAFMSMAAEAGVPWVAVWTGGPCALLAHLIGDAIREDISDHAANRAEELLTSHPGLGSFRVRDLPFGGVGASGDMHRVMSLLLSRLAQRLPRAATAVALNAFPGLFPQDVSAALANALPNSLPIGPYHLLPGAAAPTDDPHGCLAWLAQRPAGTVAYVSFGTVAALPPDELRELASGLEASSAPFLWSLREDAWPLLPPGFVDRAKTNGSGLLVPWTPQAAVLRHPAVGAFVTHSGWGAVVEGMSGGVPMACRPFFGDQQMNARAVAHLWCFGTAFGDDTPMTSGVVAEVVTSLLTGAEGARMRATARDLRARVVEAFGPDGGSVNNFHKFVEVVCAR >Sspon.06G0020930-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6B:11738125:11738490:-1 gene:Sspon.06G0020930-1B transcript:Sspon.06G0020930-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVLRRLRLRLPLRRPLLVSSSSFPCPSASPSPSTSSSFSCWSSTGEGRRSMASSPSPASAAVVAEGSAARRFWIAASTREAAFAAYTPFILSLAAGNLRLDVFRHYIAQDAHFLRAFARA >Sspon.01G0013610-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:38866334:38866589:-1 gene:Sspon.01G0013610-3C transcript:Sspon.01G0013610-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTPWFSIPLTKLGLKKEPKLMGTTNNQILVSKTMTYLFSLTSQTQGPIEAEKTFLEPLSSTAQCIV >Sspon.05G0010140-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:29057394:29060846:1 gene:Sspon.05G0010140-1A transcript:Sspon.05G0010140-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipoyl synthase, mitochondrial [Source:Projected from Arabidopsis thaliana (AT2G20860) UniProtKB/Swiss-Prot;Acc:Q9ZWT1] MHGRRHLAASLTWALTQAPSRSISSTPSLLQTLDPSVPSPPPTAGAGRLAELRRRLQADAPSLGDFTYSVEVGTRQRPLPKPKWMKETVPGGAKYAAIKAKLRELKLHTVCEEARCPNLGECWSGGETGTATATIMILGDTCTRGCRFCNVKTSRTPPPPDPDEPSNVAQAIASWGLEYIVITSVDRDDLPDQGSGHFAETVQKLKALKPEMLIEALVPDFRGDPSCVEKVATSGLHVFAHNIETVEELQRNVRDYRANFKQSIDVLKMAKEYAPPGTLTKTSIMLGCGETPDQVISTMEKVRAAGVDVITFGQYMRPSKRHMPVSEYVTPEAFEKYRALGVEMGFRYVASGPMVRSSYKAGEFYIKAMIEADRAKSTTAADSSA >Sspon.02G0046530-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:2576156:2581725:-1 gene:Sspon.02G0046530-2D transcript:Sspon.02G0046530-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDEGGAGEPLSDRQKREIAVWFLSNAPAGEIHYVAKGKPHAPPAFPPIPMPVYNPARHLTRAPTHAVASRPCADVRALLGDDAVYEAAAAEAFPEYNKARLVSLELPDRSGDIIISTYGEIDKNNYLDPRTAQVATIDHMKQTCTKLRPAEDEELPSAYIEEFRCALDVELSKYVVEAYPKGACAIYCTSGKDIEGPGADFSFTAVISAAKRSPQNFCNGSWRSIWTMDFNYELQFVDIKGNIQVDAHYFEEGNVQLDTNIDRKDSTIMQSPDDCAVSITNIIRHHESEYLSSLEESYLNLSDATFKDLRRKLPVTRTLFPWHNTLALSLTRDLAKELALGK >Sspon.02G0054840-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:121505501:121507078:1 gene:Sspon.02G0054840-1C transcript:Sspon.02G0054840-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKMLHAAPVHATLPECFIFPADKLPQAKATSATVSLPIIDLSLGRDEVRRAILDAGKEIGFFQVVNHGVSLEAMRDMEAVCQEFFALPAEDKAGLYSEDTGKTTRIYSSTMFDTGAEKYWRDCLRLACSFPDVGDSPKNWPDKPARLREVVERFTVQTRGLGMQILRLLCEGLGLRPDFLEGDISGGDVVLHVNHYPPCPDPTATLGLPPHCDRNLLTLLLPSMVRGLEVAYSGDWIKVDPVPGAFVVNFGCQLEVVTNGVLKSIEHRVMTNLGVARTTVATFIMPTTDCLIGPAAEFLGDDNPPAYRTLTFGEFKRIYSVVKLGSSLNLTTNLKDVQKEL >Sspon.03G0010850-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3A:29537094:29540343:1 gene:Sspon.03G0010850-1A transcript:Sspon.03G0010850-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRVLLMLRETSPWALGGAAASVALLWLVAWTLEWAWWTPRRLDRALRAQGLKGTRYRLLKGDVRESARLNREARTKPLPLGSHDIIPRVLPMLHNMVKDNGTNSFTWFGPTPRVIIPDPELMREVLSNKFGHFGKPLFSRLGKLLANGLANHEGEKWAKHRRILNPAFHHEKIKRMLPVFATCCTDMINRWENSMSSEGSSEIDVWPEFQNLTGDVISRTAFGSNYQEGRNIFRLQGELAERLIQSFQTIFIPGYWFLPTKNNRRMKEIDWEIRKILHGIIRKRERAFIDGEGSNDDLLGLLVESNMRETNGNAKLGMSTEDMIEECKLFYFAGMETTSVLLTWTLIVLSMHPEWQEQAREEVLNHFGRGRPDFDSLNRLKIVTMILYEVLRLYPPVVLLTRRTYKEMVLGGIKYPSGVTLLLPIIFIHHDPNIWGKDASEFNPQRFEDGISNATKHQAAFFPFGWGPRICIGQNFALLEAKMALCTILQHFSFELSPSYTHAPYTVITLHPQH >Sspon.04G0018640-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:70126431:70129634:1 gene:Sspon.04G0018640-2B transcript:Sspon.04G0018640-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEETAAAAAATATATAEKASSYRYWVREATGDAAPLPVPRKLDPAANGNGNGNPPPLGSVWNQAGTWEEKNLNSWANSRIKDLLGSLGSLEFPTGKASVDEVSKCSGDAFQVTVRNKKRVGYNYELSLRFKGEWLIKEENKKIKGHLDIPEFSFGEIDDLEVQVRFSDDKDLASDDKTRICKDLKSFLAPIQEKMREFEEELKGR >Sspon.07G0006630-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:15215671:15218441:1 gene:Sspon.07G0006630-2B transcript:Sspon.07G0006630-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKKKSAPAAAGAAAPPPANGYFSTVFSASPAGSANDAKQSDLYTMLNKQSSRGQNGSSIADGKTHGCPTYKDAKHAYPNESSESPYFGSSVHYGGREFYSSTLQKQPANEPHTNYKEDNPNGSATRGDWWQGSLYY >Sspon.02G0018770-4D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2D:57006454:57009328:1 gene:Sspon.02G0018770-4D transcript:Sspon.02G0018770-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNALMWNDSVAIMMAFQLPGVQCEKAGHPEVPVAEGSHEPLKGGKPDVADFVHGSEGLGNIELPDPTIKKVEESAAEFLVDKVSQFPGEVSVLALGPLTNVALAIKKDPSFVKNVKKIVVLGGAFFSAGNATPSAEANIHHDPEAADIVFTSGANIYVVGLNITTQVLNLLILADKDLLELRNSKGKHAQFLCDVCNFYLDWHIKSYGAPVIFLHDPVSFAALVRPELFTFKKGVVRVETQGICVGHTSMDMLLKKWNSENPWTGYSPISVAWTVDVPKVVAFVKELVIKQ >Sspon.01G0049250-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:95133308:95136562:1 gene:Sspon.01G0049250-2D transcript:Sspon.01G0049250-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKASAASRYAAYDSPSPSPSPSPRRAAPSAAAATQGGAHGSSRALVVAGRSGRDLLGAKPQPQAHGNLGSVLRRLISMDKKPPSSKNQLPVPPAAAAAAAAAAKNNGGGKLPGLSRKLFQKASSTEAAAPRKTKALTDVKNGGNNANTRTLGMVLRSERELLAQTKAQEDEIAALRLQLENKDREVERLKDLCLRQREEIRTLKDAVLFPDAEPEPEPDRRLRDEISTLTDQIQCLAQELAQVKAEKHSVRSCFDEDGYCSSPRTPGFNEETAFSLECSIGEAETPNYGSPDEMFSKDLNPCLTPCIAKSKSDVSAQFQSSSQFTKESSGSHRSSGKARGDFSYSSFGRPMSKSSDHHKPTSGTSNKRRTCAAEIWLLPPERQNGLMAWASLGFSALAR >Sspon.08G0001730-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:5418460:5421731:1 gene:Sspon.08G0001730-1A transcript:Sspon.08G0001730-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAKKTKKSTDNINNKLQLVMKSGKYTLGYKTVLRTLRNSKSKLVIIANNCPPLRKSEIEYYAMLAKVTVHHFHGNNVDLGTACGKYYRVCCLSIIDPGDSDIIKTTPGEQTFLGDH >Sspon.01G0034130-2D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1D:2363187:2363501:1 gene:Sspon.01G0034130-2D transcript:Sspon.01G0034130-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPECPRLAHAGTEDFWQDMYLVFDPTVSSSSSHPCFEVFLVPRVPFDRSIQELDQYGNRALYPESLQIDTALLGSHLPLSPCILNVFSSVTHGVMGTEVVSP >Sspon.01G0008100-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:21953176:21954632:1 gene:Sspon.01G0008100-3D transcript:Sspon.01G0008100-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAADYDRAYRPYAAPPRPAPAGEYDRPYRNEVVPYGDRRLDIVVKPPARSPPLPLPVSTRSGGSGGAGSAWCFSDPEMKRRRRVASYKAYSVEGKVKASFRRGFRWIKAKCSELIHGWDVII >Sspon.06G0012840-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:67624282:67624746:-1 gene:Sspon.06G0012840-1A transcript:Sspon.06G0012840-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DADDAPPAPEQQQQEGGADGAGAAAAAAAGRRQGRRVVGGGQGRPPPRGGPGGGMPTRGSCSGRRGRIRRRRRVPRRGRHGRRGSAGVVGRRVPPVLRAAVDGAARPRPRRHPARRLPRAPLQLRLVARAAAGQRLIQVRGCAAAAVGRRGRRQV >Sspon.06G0005150-3D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6D:15658194:15659708:1 gene:Sspon.06G0005150-3D transcript:Sspon.06G0005150-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MELSPLLVTSLAMVLAIVILRRLKGTSRHVCRLPPGPRPWPVIGNFNLIGALPHRSIHELSKNYGELMHLRFGSYSVVVGSSVEMAKLFLKTHDLLFLDRPRTAAGKHTTYNYADTTWSPYGAYWRHARRICATQLFSPSRLASFEHIRTDEVRSLVRGLFAASASGHAVQLNKDHLSTLSMNVITRMVLGKRLFDGGEKENVAEGPVSSLADFKWMMDELLLVNGVLNIGDWIPWLDWLDLQGYVRRMKRIGKRFSEFIEYILDEHGERRRREGESFVARDMVDVLMQLADDPTFEVQIGRVGVKAFTQDLIVGGSESTAVTVEWAISELLRKPSIFAMATEELDRVVGHGRWVTEKNIAHLPYIEAIVKETMRLHPIVPLLIPRVTREDASIAGYDIPKGTCVLINVWTIGKDPALWDAQEEFRPERFVGSKIDLKGQDFELLPFGSGRRMCPGYNLGLKEIQLSLANLLHGFAWRLPESVAKEDLSMDEVFGLSTTRKFPLE >Sspon.07G0001600-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:2442695:2445148:-1 gene:Sspon.07G0001600-2B transcript:Sspon.07G0001600-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At1g15510, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G15510) UniProtKB/Swiss-Prot;Acc:Q9M9E2] EMPPLPTKPALNPVLLPLASLALPRALPPIRLHAEKRLRRLSSAAVSAAASTSSSADPSAELRALCSHGQLAQALWLLESSAEPPDEDAYVALFRLCEWRRAVEPGLRACAHADDRHAWFGLRLGNAMLSMLVRFGETWHAWRVFAKMPERDVFSWNVMVGGYGKAGLLEEALDLYHRMMWAGVRPDVYTFPCVLRSCGGVPDWRMGREVHAHVLRFGFGEEVDVLNALMTMYSKCGDVVAAHKVFDSMTVMDCISWNAMIAGHFENGECNAGLELFLTMLQDEVQPNLMTITSVTVASGLLSDITFAKETHGLAVKRGFATDVAFCNSLIQMYATLGMMGQARTVFSRMDARDAMSWTAMISGYEKNGFPDKALEVYALMEVNNVIHDDITIASALAACACLGRLDVGVKLHELAESKGFMSYVVVTNALLEMYAKSKRIDKAIEVFKCMPEKDVVSWSSMIAGFCFNHRNFEALYYFRHMLTDVKPNSVTFIAALAACAATGALRSGKEIHAHVLRCGIAYEGYLPNALIDLYVKCGQTGYAWAQFCAHGAKDVVSWNIMIAGFVAHGHGDTALSFFNQMVKIGECPDEVTFVALLCACSRGGMVSKGWELFHSMTEKYSIVPNLKHYACMVDLLSRVGQLTEAYNFINEMPITPDAAVWGALLNGCRIHRHVELGELAAKYVLELEPNDAGYHVLLCDLYADAGIWDKLARVRKTMREKGLDHDSGCSWVEVKGVVHAFLTDDESHPQIREINTVLEGIYERMKASGCAPVESHSPEDEVLKDDIFCGHSERLAVAFGLINTTPGTSISVTKNQY >Sspon.08G0022540-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8C:51301332:51306380:1 gene:Sspon.08G0022540-2C transcript:Sspon.08G0022540-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sucrose synthase 2 (EC 2.4.1.13) [Source: Projected from Oryza sativa (Os06g0194900)] AHSHRSQQLPPLFIGSSSVPEKASSIGLLPVDHLAMAAKLTRLHSLRERLGATFSSHPNELIALFSRYVNQGKGMLQRHQLLAEFDALFDSDKEKYAPFEDFLRAAQEAIVLPPWVALAIRPRPGVWDYIRVNVSELAVEELSVSEYLAFKEQLVDGNSNSNFVLELDFEPFNASFPRPSMSKSIGNGVQFLNRHLSSKLFQDKESLYPLLNFLKAHNYKGTTMMLNDRIQSLRGLQSSLRKAEEYLLSVPQDTPYSEFNHRFQELGLEKGWGDTAKRVLDTLHLLLDLLEAPDPANLEKFLGTIPMMFNVVILSPHGYFAQSNVLGYPDTGGQVVYILDQVRALENEMLLRIKQQGLDITPRSSLYVTRLLPDAVGTTCGQRLEKVIGTEHTDIIRIPFRNENGILRKWISRFDVWPYLETYTEDVASEIMLEMQTKPDLIVGNYSDGNLVATLLAHKLGVTQCTIAHALEKTKYPNSDIYLDKFDSQYHFSCQFTADLIAMNHTDFIITSTFQEIAGSKDTVGQYESHIAFTLPGLYRVVHGIDVFDPKFNIVSPGADMSVYYPYTETDKRLTAFHPEIEELIYSDVENDEHKFVLKDKNKPIIFSMARLDRVKNMTGLVEMYGKNARLRELANLVIVAGDHGKESKDREEQAEFKKMYSLIDEYNLKGHIRWISAQMNRVRNAELYRYICDTKGAFVQPAFYEAFGLTVIESMTCGLPTIATCHGGPAEIIVDGVSGLHIDPYHSDKAADILVNFFEKCKADPSYWDKISQGGLQRIYE >Sspon.01G0028980-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:97751643:97778606:-1 gene:Sspon.01G0028980-3D transcript:Sspon.01G0028980-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDSRHARSLLAAGVLLAGVAGVLGAGGDDEQFVYSGFTGAPLALEGTAVITASGLLELTNGTAQLKGHAVHPAPLRFQRTPDGPVRSFSASFVFGIIPPYSDLSGHGIVFFVGKDSFANALPSQYLGFLNTANNGNASNHVFGVELDTIRSTEFKDPDDNHVGIDVNSLTSVNATTAGYYDDGTGAFRNLTLISAKPMQVWVDYDGETVRINVFLAPLGTPKPSRPLVSATQNLSDVLVDPAYVGFSSATGTVRSEHYVLGWSFAMDGPAPDINIASLPKLPRFGPKPRSKVLEIVLPIATAAFVLAVVAAVVALVRRRLKYAELREDWEVEFGPHRFTYKDLFRATEGFKSKMLLGVGGFGRLARSGKASPLTDVFAFGAFILEVVCGRRPVEQSMTDSRLMLVDWVLEHWQKGSLAEVIDAKLQGCYDDDEAMLALKLGLLCSHPLPAARPSMRQVMQYLDGDMPFPELTPSHLSFSMLALMRSEGFDSFVLSASTHPSSTATMPLLVFLLCVSLNLVHSSGNAIDFVFNGFAGANLTVDDSAMVTPDGVLVLTNGTYMMKGHGVYPAPLHFRSPSGAGGGVLSFSTTFVFAILSEYAELSAYGIAFFITPTKSFSDTNHVGININGLRSVKAASAAYYDDGGVLRNLSLISGKAMQVWVDYDGPSTERNVTLAPLRVPKPKKPLLSRAVDLSTVITDTSYVGFASSLGSMSSRHCILGWSFSLNGSSAPPLDYSKLPMPPVAGGGGGRSNTVLEAALPIAIVAFVLAAVTSVFVFGWRRVKYAELREEWEDEFGPRRFSYKDLFHATGGFDDQHLLGAGGFGKVTCGRRPIEEDARGDGDRVLLVDWVLGRWSEGRISDCVDARLQGKYDAGEASLALKLGLLCTQASPGARPSMPEVVRYLDGSLGLPEPCPTELDFGAMASLQSNGFDTCAMLYPTSSAASDESHGTVSDLLNLVHSSGDAIDFVFNGFAGANLTVDDSAMVTPDGVLVLTNGTYLMKGHGVYPAPLHFRSSAGGGALSFSTTFVFAILSEYAELSTYGMAFFIAPTKSFPDTLPSQFMGLFNTSDVGNATNHVFAVELDTLLDVEFGDMDSNHVGIDINGLRSVKAASAAYYDDGGVLRNLSLISGKAMQVWVDYDGPSTELNVTLAPLRVPKPKKPLLSRAVDLSTVVTDTSYVGFASSLGSMSSRHCILGWSFSLNGSSAPPLDYSKLPMPPVAGGGGGRSNTVLEAALPIAVVAFVLAAVTSVFVFGWRRVKYAELREEWEDEFGPRRFSYKDLFHATGGFDDRHLLGAGGFGKVTCGRRPIEEDARGEGDRVLLVDWVLGRWSEGRISDCVDARLQGEYDAGEASLALKLGLLYTQASPGARPSMPEVVRYLDGSLGLPEPSPTELDFGAMASLQSNGFDSYAMWYPTSSAASDESHGTVSDLSGGR >Sspon.02G0035500-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:11375277:11379399:1 gene:Sspon.02G0035500-2C transcript:Sspon.02G0035500-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding EHQNQLHSLGEEANISGDKPSKKLLKFPSISETSNQGTNKTYLEEIYSSGPNRMKFLCNFGGRFLPRPVDGKLRYVGGEKHLIQINQGLSWQGLISKTTKLIRQAHIVKYHLPGEQVNVLISVASDDDVHHMIDECIVLEESKERPTMYLFTDEDDEHHVHFVVGGSSDEDTEAQYIALINGYRYTRPGEKLSARGPGSASASDLDQLMFDIDDAGSLTGTGRTEEASAFLRSKRSQNIVTETSKESRIPLHKFPPIIMEQMTNQDCAIQSNKGEVSSYPTRKQSRNVNLASSMPLEFTYPSKWEQNGSNSTSRQIPELHRTATDISKIGHNAERDKESASSRTELMIPSDENNSRMPSLSTNFSSMTQHTSPVNKLLREQTETIVPFIQSNNSIDFQKLVTEEPVGRSVYEMLASPSGDYQKPVHKWPSSDESMINTRCSSQEDIIAYSDTYQLNKIRSTKLQNRTEWTAPTQISGSNEAGAHILWDDTHISVMNPYTHEQVFSVNTTGCIEHILPDVMHTDVAKKDNLSMPVVYDSEMACSPRPFTSSDNKAAGLQKNRHVHASEEKQQAPDSRQQDKQIVHETRENKQALPANATLDRDIISNVQVISNEDLEDLQEMGSGAFGTVFHGRWRGTDVAIKRIKNSCFMYSSPETDKLIVEFWREAAILSKLHHPNVLAFYGIVNNGPGGTLATVTEFMASGSLKKVLLHKQKLLDRRKRITLAMDAAIGMEYLHSKDIIHFDLKCDNLLVNLKDPSRPICKVSDFGLSKVKQTTMVSGGMRGTLPWMAPEMLEMSSKMVSTKVDVYSFGIIMWEILTGQEPYAGMHHGGVIGGILSNTLRPPVPASCDPQWRELMEQCWSNEPDKRPSFKEVVSHLRSMLEANQSRPLI >Sspon.06G0030110-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6C:41209179:41209543:-1 gene:Sspon.06G0030110-1C transcript:Sspon.06G0030110-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLTWQSLHEETGLPLPLEERQGCRSPDQGAAKRRGVAPPGRALPVSSAPTAARRGAASPLPPAHFVPDSSSPRPS >Sspon.03G0022590-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:68736613:68739320:1 gene:Sspon.03G0022590-1A transcript:Sspon.03G0022590-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPATAVQRLFEACREVFTGAGPGAVPPPAGVERIKSILDSITAADVRLTSNMSYFRRVDAHGTPKITYLHLYKCEAFSIGIFCLPSRGVIPLHNHPGMTVFSKLLFGAMHIKSYDWAAAQQDTPVIGLIAFSFLSPLIIDVQLQGPRLAKVKVDGILTAPHETSVLYPEDGGNMHCFTAQNACAVLDVLGPPYDDGSGRHCQYYNVSSSAVSV >Sspon.02G0044680-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:114783879:114785317:1 gene:Sspon.02G0044680-2C transcript:Sspon.02G0044680-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHMHCHFSLSLIQGLDFVLSDARKHGIKMILSLVNYFGDYGGRKHDQKAVLTRVNTFTGVAYKDDPTILAWELMNEPRCQSDVTCPTILQSWIMEMAAHVKSIDGNHLLEAGLEGFYGLSPPPSRSSVNPPRHDKTGTDFIANNQVPGIDFATVHSYPDKWLRGLESQLRFQGTWLDAHIADAQAVLRKPLL >Sspon.04G0014320-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4A:53326306:53330089:1 gene:Sspon.04G0014320-1A transcript:Sspon.04G0014320-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQAHSKSYFQQFSDGVQVKRNSGSFTIELLPSLGATINQSNKLKKFIISPYDPRYRYWELFLIVLVIYSAWICPFELAFLRDLSSTLLLVENIVNSFFAIDIVLTFFVAYVDSKTHLLVDDRKKIAVTLFAVHCAGCFNYMIADRYPDPERTWIGAVMPTFRSESLWTRYVTALYWSITTLTTTGYGDLHAENPREMLFDICYMLFNLGLTAYLIGNMTNLVVHGTSRTQNFNLIKTINGNEQVYEKVEEGDMFGEVGALCDIPQPFTCRTTTLSQLLRIRKIRLTEIMQEHREDSNIIMNNLFQKLKLQENLSELNQLDRRFMHKYELFHAPREAWLLPQPYLQYTEHKFEDISKKVPTFGGDHGSTKLAAETIQLRMPQQGNSHDHGNFGATDGMAGEEDKRNEVHINCETKKGTKEFCVQIKSEDCQKFPGFHPTKLVSRDYAEIDDISVIRDGDHLFLLQM >Sspon.02G0041740-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:92761716:92765462:1 gene:Sspon.02G0041740-1P transcript:Sspon.02G0041740-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGWWCEETVALLLRRPAVAEMAVDVLLCAVPIWAAVMIGLAVGWSWRPRWTGLLFLGLRSRLRILWLWVPPGLGARRLWLACTALSACSVAPRLLSSAFRRRRSRLRGKRQDKASPEDHAAAAPDGGGCADGRTIFEGEHDTVTEKDLEHLLQLLDNKESGDTAWQNLMERTTSNMTYKAWRREPEEGPIMYCSRTIFEDATPELVRDFFWDGDFRLKWDPMLAYSKTLDEFPQNGATIVHWIKKFPFFCSDREYIFGGRIWESGKTYYCVTKGVPYPSLPKKEKPRRVELYFSSWRIRAVQSPKHVGQQSACEVTLVHYEDMGIPKDVARVAVRHGMWGAVKKLQSGFRAYQQMRGTENTLSHSAIMARVTTKISISDSNGPLDHDLSVADEVSDEDDSSQAVQHGFDWKWVVVGGAVAAVCVLNTGLVGKVLLLGAARRQAKK >Sspon.05G0010570-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:29960020:29961231:1 gene:Sspon.05G0010570-1A transcript:Sspon.05G0010570-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDDDVSHSLSPSPPSSSALPVDGPVTVAAAPPGAFMAVALPIHRTAASLYASATGGGGGGGSGGGGGGGGREDAWSDGATSALIDAWGERFVALGRGSLRHPQWQEVADAVSSRDGYSKAPKSDVQCKNRIDTLKKKYKVERAKPVSAWQFFDRLDFLLAPTYGNKPGSGGGGGHNSNSRTQMPAALRVGFPQRSRTPLMPAAGSAAKRRAPSPEPSVSSESSDGFPPVPALPAVNGKRKRTDEGRADDGGSSGDDRAQGLRELAQAIRRFGEAYERVEAAKLEQAAEMERRRMDFTQELESQRVQFFLNTQMELTQAKNHASPATAAVPAGGSSRRMSVVTDAGGSSNHHSRYRISHGDRHRHAPRPHYQQYHDNNNHGGAATASEGEQSDDEEDDYEEES >Sspon.07G0010020-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7A:29389460:29391722:-1 gene:Sspon.07G0010020-1A transcript:Sspon.07G0010020-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAIGSRRLTVLREFRPHGLAVEEADGEGAPGAPPPQDYDYFLFDPALAVSPNPDPSDEASSSGADGDHELFIRGNQMNAISDALLCVLQVDTLSLYNITGEIVSIPLPYAVSSIWPLPFGLLLQKSTDGGHMVSSSSSLLNARDLNRPSKEYGLTYNVPFQANTLETDSKANGAIISSHLILKHPLEEPQRRGFI >Sspon.04G0031560-2D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4D:15634421:15634768:-1 gene:Sspon.04G0031560-2D transcript:Sspon.04G0031560-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VLQVTVFLFVRLPRKVVVKTKRIILRRWHRRRPASSLSSKAAGLKLADLLDLDDGFEAAFGIGDEGAGDWKERCFAVSGGDDDGDSVWEAIIEQEGLFWFGSFWGRREQEEGSVPE >Sspon.06G0009810-6P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6B:42347397:42347881:-1 gene:Sspon.06G0009810-6P transcript:Sspon.06G0009810-6P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VKVNGFVCKDPMAVNADDFFKAANLDKPRDTNKVGSNVTLINVMQLPGLNTLGISLARIDYAPLGQNPPHTHPRATEILTVLEGTLYVGFVTSNTDNGNKLFAKVLNKGDVFVFPQGLIHFQFNPIHDKPAVAIAALSSQNPGAITIANAVFGSKPPISDD >Sspon.02G0020530-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:66958402:66968723:1 gene:Sspon.02G0020530-1A transcript:Sspon.02G0020530-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSARTKSAMDAHRPHRYFQAVARRYGPVVEVQLGRVRTVVVSSPDAAKEVLRTNDLHCCSRPNSPGMMLSYDFLDVAFSPYSDYWREMRKLFILELLSTRRVQSFSYARAAEVDRLVSSLATGSPPGAAVDLSEKLYALSDGVVGTVAFGKMYGSAQFERSSFQRVMDETLRVLGSFTFEDFFPASRLARLADVLTGAAARRRRIFRQIDRFFDSVIDKHLEPERLQAGVQEDMVDALVKMWREEQADGDDAHGLTRDHIKGILMDTFSGGIDTCAVTMIWIMAELMRNPRVMRKAQAEVRGLVGNKPRVDEEDVKNLSYLKMVVKENFRIHPPGTLLIPRETMTSCVIGGYDVLPGTRIFVNVWAMGRDPSIWDRPEEFNPERFEGSHVDFRGSNFELLPFGSGRRSCPAIAMGVANVELALANLLHCFDWQLPSGMKEEDIDMEETGQLAFRKM >Sspon.01G0002240-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:69511332:69514819:-1 gene:Sspon.01G0002240-4D transcript:Sspon.01G0002240-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGRKRKGKFRNSLRRMAMECLCSGEQLKGADETVRSSDSTITKDFSASGYSSRNGEIEQYLDNGNIEEAELSLREGICLNYEEARALLGRLEYQRGHVEAALRVFDGIDMSALVPKMKISIARKADRRKTHSQWDSPPMPLHAVSLLMEAIYLKARALHDLGKDKEAAQECKMILDIVEAAVPEGLPAGFGKGCKLNEIICKSVELLPELWKSGGFSLETISSYRRSLLNNWNLDGETIARIQKKFAVFLLYSGCEARPPNLHSQLDGSFVPRNNMEEAILLLMILLRKFNLKRIERDPSVMHHLTFALSMSGQLIPLAGQFEELLPGVLDKKEWLYSVALCYLAEEDDLSALNLLKRILKSGEDSDHLKELLLASKACIEMSAHTEGASYARRAIANMQGGCKPMAGLADLLLGVALSNQARSAISDTDRASWQCEALEALGNAEKKMHGKDSRALYSLSLENAVQRKLEFAAFYAKRLVKLEAGSELRSWLLLARILSAQKLFADAETVVDAALDQTGKWCQGDLLRTKARIQAAQGQFRDAVETYTQLLAIIQLRTKSLTAGFCLPKGVLGS >Sspon.03G0038310-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3C:4000829:4001482:1 gene:Sspon.03G0038310-1C transcript:Sspon.03G0038310-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MANSTGPCIIFYTQLPRCRPLQASISLPFHSSLTPNQPATATATTPPRAGRAMDADDSSSASSSSSSPPASPAGHHLHRLPPKRRTGRKKFRETRHPVYRGVRARGGGTRWVCEVREPQAQARIWLGTYPTPEMAARAHDVAAIALRGATAADLNFPDSEHALPRARTAAPQDIRCAAAQAAELYRPSSSSASGLLQQHARRTIVPPPPEASPCCWTT >Sspon.01G0006060-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:15307129:15309917:-1 gene:Sspon.01G0006060-2B transcript:Sspon.01G0006060-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding KALNEIKSSLGWRVVYSWVGDDPCGHGDLPPWSGVTCSQQGDYRVVTELEVYAVSIVGPFPTAVTNLLDLRRLDLHNNKLTGPIPPQIGRLKHLRILNLRWNKLQDVLPPEIGELKKLTHLYLSFNNFKGEIPVELANLPALRYLYLHENRFTGRIPPELGTLKNLRHLDVGNNHLTGTLRDFIGNGNGFPSLRNLYLNNNELTGVLPDQIANLTNLEILHLSNNKMIGSISPKLVHIPRLIYLYLDNNNFIGRIPEGLYRHPFLKELYIEGNHFRPGTRSKGTHKVLELPDADILV >Sspon.05G0021780-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:83985574:83991790:-1 gene:Sspon.05G0021780-3D transcript:Sspon.05G0021780-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGGGGKAQNGDLPSDAGVIPRAVKRIFDILEAQSAEYSMKVSFLELYNEELTDLLAPEESKFSDDKSKKPMALMEDGKGLRKS >Sspon.04G0024620-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:24716138:24718740:1 gene:Sspon.04G0024620-2C transcript:Sspon.04G0024620-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPCHGVATANPHLPGALAANRYGSPTRPSFYPSAAFLRLQGPAFRHEKKSLALRAGADFHRYAIPSVKGIKPLLSSPKLKPRTQVGCQASLSSFSYPELSSKPKWWWRTLACVPYLLPLHNMWGHADAIYQLHPYLQRFSLPYAFIDTMALLPGWLFLAIFMTIYFFVVRRKWSPHFLRFHIILAILLDTGSQALATACNWNPSIVFQGKQMAYFWMTMAFIQIFTVVECMRCALSGVYANIPFISHAAFIHSDLNLFR >Sspon.08G0009970-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:43414693:43423102:1 gene:Sspon.08G0009970-1A transcript:Sspon.08G0009970-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LVVPYPAKGHTIPLLDLAGLLASLGGLRLTVVTTAASAHLLHPLLAAHRDTVRELILPFPSHPAFPAGVESAKGLPPALFGALIVAFSGLRGLLGDWIRERSDGPDRVVAVLSDFFCGWTQPLAAEFGIPRIVFSSSAVYGTAVLHSLFRRMPRRNDEDDSDESLISFPDIPGTPAYPWRQLSQLYRSLKEGDEVSEGVKRNFLWNLESSSFVSNTFRRLEERYLGAPLADLGFRRVREVGPLAPDAGAAGNRGGKTDVAAADLCAWLDRFDDDGSVMYISFGSMAILHPPHAAALAAALERTGVAFVWAAGPTVTLPEGFEERVASADGRGRVIRGWAPQVAVLRHRAVGWFVTHCGWNSVLESCAAGVALLAWPMAADQFVNARLLVDEVGVAVPVSWGGLGTAPAADEVARVLDVAVVSGQRRDVVARAKELAEEATAVLQFEVFQRYSSTPWPFLPFRPCEMCGVAFRSNSRRKISYRNNFGVALQPKSSAFFTPGLFGNRSSVCFRATDSCGIALKVNSIGNSRPFSTTYSKKLRFLIKNKTSFSNLNMRREDGSVAHSLFHRSEKRQSTLATCSTIADEASTSTSNSAKSVTDAKTDTAKRKSSRGSKKEADGDMKEKEVHTKKKRISARTRKAATKTTESTSANQENKKTDNCKSKKGADSSKEKKVNNRSKSKAKVSAASSVPSEAEICMKSSIDGSGIEKKSLVSLYPPRAKSVVVVESATKAKVIQNYLGDMYEVLPSYGHVRDLAGRSKSVRPDDDFSMVWEVPAAAWTHLKSIKVALKGAENLILASDPDREGEAIAWHIKEMLEQQAGRVQSAALALVCDREAEIEQFKPQEYWTVQTYFKMQSADPSNGTCIPFRIKHLNSKKLDQLSIPSQEEAQAIEKRIHSSKFEVLGVKRSKIQKNPPMPYITSSLQQDAANKLHFTAGHTMKIAQKLYEGINLSSEETTGLITYIRTDGFHISDGAAEDIRSLAKERYGQKYVSEDTRKYLKKVKNAQEAHEAIRPTSIR >Sspon.06G0017310-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:77987136:77991227:1 gene:Sspon.06G0017310-2B transcript:Sspon.06G0017310-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MERSFIIVCKRAIVLDEMKSTVFSAVVSIGYALLGWDFAALLEANHHMEKEFELLNGPSIEGITLAASTFGAIVITIFSGALLDWLGRRAILVYSSLVLFSGGVLMLWSPNIYIVLLARLIVGSGSGLVFTCVPIYISETSPPNMRGLLGTMPQFMFFLGTIFSYCLIFWLTLMSSPNWRIMIGAISAPSIVYFALLVYYLPESPRWLASDGKISEARVSLQWLRGKKHDVSGEIAVIVEGVDIISDSAVGTARAQSFSGTSASHTWPRSTFYWQLSDPLVDLLGSIHGNMSEGGSRRNSFFPAFNSFSFPEHEHMNEHRDGNSDQQTREAYSAGEVNNGDGLRASLLSQAASVEVNDTNTSFTSEGSSSYLRRHGTSVLAQEFMASIHDYDIEEEEIHGFVSPHQSAPRDMESTGRHPFRHQIVRLSETADMKFKWRVLLQPGIRHALCYGMLIQALQQSTGISGLLRCAPEILEQVGVSWFSDIGLSPHSTSILICILHALLILPCITAAMLLMDVCGRRVLVLATTPILILSLSVMSMSTLLNMGLFERAIVFHFALTICFCSYVVGLGPIPNILCSEIFPTKARATCASFCSLSFWFGGLLSAYCFPVMLSTIGLGGACGIYALVCCAPLFLFYYRIPETKMLNLELIAELFKLSRQEYVQ >Sspon.03G0034250-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3C:67428277:67434062:-1 gene:Sspon.03G0034250-2C transcript:Sspon.03G0034250-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPMPWPARSLRRSTSSSLPLHGTLEPTPPPRLAAARPIPPSSGTIRLTRSWRSFTTRPGGVQNIRLLVPVVLEPESPSYARWRDLVLLTLRRYALDDHVLVDALVAVQTPSWLRLDSIVLSWILGTISLDLHDLVRTSPDARRAWL >Sspon.04G0008610-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:25080285:25083933:1 gene:Sspon.04G0008610-1A transcript:Sspon.04G0008610-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAAAKKMTRSISRQLSSGAARLWRQLSLDPHTQRRGGPGPAAGARQTRFAIARQSSLDPTPHGGPDGSSAHQQLAVPENLDATMRLLFAACQGDAAGVEELLRSGVDVDSIDLDGRTALHIAACEGQGEVVRLLLDWKANINARDRWGSTPAADAKHYGHFEVYNLLRARGAKVPKTRKTPMAVSNPKEVPEYELNPLELEFRRGEEGTYLAKWYGSKVFVKILDKESFSDADSINAFKDELTLLEKARHPNLVQFVGAVTQNVPMMIVSEYHQKGDLASYLEMKGRLKPHKAIRFALEIARGLNYLHECKPEPIIHGHLSPKNIVQDDEGQLKVAGFGSLSLTKVSEDKVQMAQPVAKLDNVYIAPEVYKNEPFDRSVDVFAFGLILYEVHKAIQSTCKESRLTMC >Sspon.03G0041930-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3D:36515158:36522067:1 gene:Sspon.03G0041930-2D transcript:Sspon.03G0041930-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMWTVCW >Sspon.08G0027450-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8C:48499818:48500677:-1 gene:Sspon.08G0027450-1C transcript:Sspon.08G0027450-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding KLGAPALTHGPRIPCHRRGVRPPCARCLRHESGSHSPVPTLAVDCVSQAATLIDCLDYVHQGSTKRWLATAGWIKGGCELRTCWIEEGCTRHCRQWGGGCEERPSLRYRCHRSCSRLHRPQRKRPSRLTARAAGAPANIAARTTGAATAPTVESVLSSTLPEQRRYRHSTVATLSTRQGAEVDGKEEKNGRDDKKIGTTSEELYFPIPVPTPIQTPPMCVSSTVIRSGRSARMTKECFASFISPITL >Sspon.03G0002170-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:5329847:5331396:1 gene:Sspon.03G0002170-1A transcript:Sspon.03G0002170-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLTRLPSSSCSSTAPQRQPCAGKPPFRGGACWRKREKLPGLRARLAVKPPRAAPGKGGIVPASDDDDGVSLGTVKLPGNIDIARFESLLFQWGNSLCQGANLPLPVPLKVDKVEGGIRLGFIAVDDGATQTLVYIDCLVSPAPDGSGLVFQAIRNGPMKDKEPPGEPRIMRSLLEALQKCIQIARV >Sspon.05G0027090-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:5B:49243545:49243871:1 gene:Sspon.05G0027090-1B transcript:Sspon.05G0027090-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNEADIGSGTGWSLVIILGGVVVVRSLPPRTWAVTSLPRMRRWQSGVGTRDGHVTSHDSRSRAAASLKQCMILRFRLSYMPMLQLHPRALGPCGVGPLLSESRDRRHA >Sspon.08G0011590-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:50419378:50423230:-1 gene:Sspon.08G0011590-1A transcript:Sspon.08G0011590-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRLASIFSPVRSPTSAPAHLLQRALPSFSNRRAHPSQPRMSSSSAASSTPPAAAAAGGEKPAVAPYGSWRSPITADVVSGADKRLGGIALAGDGRLLWIEGRPEEKGRMVIVKEEDNPVDVIPQEFAARTLAQEYGGGAFAVDKSVVVFSNYKDQRLYKQTIGIGAPPVPLTPDYGAPNVSYADGVFDPHFSRYVTVVEDRRKDSLNPTTTIAAIDLSGSDVHEPKVLISGNDFYAFPRIDQNKKRIAWIEWSHPNMPWDKSELWVGYFAESG >Sspon.02G0016460-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:48248770:48250213:1 gene:Sspon.02G0016460-2C transcript:Sspon.02G0016460-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQVHAPHVVMLTSPGVGHVAPVAELAARLAAYHGFTSTIVTYTNLSSPTNSCVLASLPPGVSTTALPEVPIDDLPADAHIVTRILIVVQRTLPHLRALLRSLLDTPAGVTVFLTDLLCPAALAVAQDLGVPRYVFYPSSVMSLSMLLHAPELARTTTCELRDLPDPVIIPGCLPLHGADLVEPLQDRANPVYALVVDLCLDYLRGDGFIVHTLDAMEHETLEALKDLSDKGVYPPAYAVGPFLRSYSDKSAEHHCMRWLDGQPDGSVLYVCFGSGGTLSSTQTAELAAGLEASGQSDKDSCGAYFGPGDHVDDPLSYLPEGFTERTRGTGLVVPQWAPQVEILEHRAVGGFLSHCGWNSSLETVSSGVPVLAWPLFAEQRMNAVKLVHVGLALRVSAREDGVVPREEVAAVTRELMVGEKGAMARKKARQLQAEASKASVPGGPAHQALAAVVDMWKCAPSSPAVAAGGL >Sspon.06G0004460-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:14011016:14025134:1 gene:Sspon.06G0004460-1A transcript:Sspon.06G0004460-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSMALTSPSLPAAGPVTGRRLQRVRAAAVSDEPKLNKYSARITEPKSQGASQAVLYGVGLTDADLRKPQVGVSSVWYEGNTCNMHLLRLAEAVRDGVREAGMVGFRFNTVGVSDAISMGTRGMCYSLQSRDLIADSIETVMGAQHYDANISIPGCDKNMPGTIMAMGRLNRPSIMIYGGTIKPGHFQGNSYDIVSAFQCYGEYVSGSITDEQRKNVLRNSCPGAGACGGMYTANTMASAIETLGMSLPYSSSTPAEDPLKLEECRLAGKYLLELLKMDLKPKDIITEKSLRNAMVIVMALGGSTNAVLHLIAIARSVGLHLTLDDFQKVSDQVPFLADLKPSGKYVMEDLHKQIIRPLDNPIKSTGHIQILYGNLAPEGSVAKITGKEGLFFSGPALVFEGEESMITAISENPANFKGKVVVIRGEGPKGGPGMPEMLTPTSAIMGAGLGKECALLTDGRFSGGSHGFVVGHICPEAQEGGPIGLVQSGDVITIDVSKRVIDVDLTEQQLEERRRKWTPPRTSPPVELFGSTSSLWLQRREDASLMSRMCYILLGCAHDSMALTSPSLPAAGPVTGRRLQRVRAAAVSDEPKLNKYSARITEPKSQGASQAVLYGVGLTDADLRKPQVGVSSVWYEGNTCNMHLLRLAEAVRDGVREAGMVGFRFNTVGVSDAISMGTRGMCYSLQSRDLIADSIETVMGAQHYDANISIPGCDKNMPGTIMAMGRLNRPSIMIYGGTIKPGHFQGNSYDIVSAFQCYGEYVSGSITDEQRKNVLRNSCPGAGACGGMYTANTMASAIETLGMSLPYSSSTPAEDPLKLEECRLAGKYLLELLKMDLKPKDIITEKSLRNAMVIVMALGGSTNAVLHLIAIARSVGLHLTLDDFQKVSDQVPFLADLKPSGKYVMEDLHKIGGTPAVIHYLLEQGLLDGDCMTVTGKTLAENAKIFPPLSEGQQIIRPLDNPIKSTGHIQILYGNLAPEGSVAKITGKEGLFFSGPALVFEGEESMITAISENPANFKGKVVVIRGEGPKGGPGMPEMLTPTSAIMGAGLGKECALLTDGRFSGGSHGFVVGHICPEAQEGGPIGLVQSGDVITIDVSKRVIDVDLTEQQLEERRRKWTPPQYKSTRGALWKYIKLVAPASRGCVTDE >Sspon.02G0017810-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:12791137:12795277:1 gene:Sspon.02G0017810-3C transcript:Sspon.02G0017810-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIMKLSQGGVCLARYNKNPTTSCEIQTSMRFALPRDHLDLMWRVEITPMMMRGGRLTGLTSQVLGMRCFSTEIFVSRLSFYTTEEEFKDVFSPFGTVEEARLMRDQQTGRIKGFGFVKYSSQAEAEKAVKAMDGRILRGRLIFVEMAKGHKSK >Sspon.01G0006450-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:19997764:20001904:1 gene:Sspon.01G0006450-2B transcript:Sspon.01G0006450-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSLGIPVKLLHEAAGHVVTVELKTGEVYRGSMVECEDNWNCQLENITFTAKDGKVSQLEHVFIRGSRVRFMIIPDMLKNAPMFKRLEARIRGKGSAIGVGRGRAVAMRARAAAGRGGGPVGRGSAPPVRR >Sspon.05G0016320-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:61431731:61443812:1 gene:Sspon.05G0016320-4D transcript:Sspon.05G0016320-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSKGKKKNPSASPRPSPRTPPSRSSAADSRTGDGERSVDLPSIAAAAAAQFPALVPRGGDGCFAGIVAEVAPRDGSRGGRLGRLWLSEAAMVGAGMRPGCLVSVSLISSSSDQLDAFPLVNLFEECSRFFDLDLDNDLLYGEAGKNFVVATVLSSREVPKNGIKLSWDLACVLGQPLVGRSLFISPLCTLQAPKRSDDIHILRVMKCKNLYLSLVPPNVGSSNGIGSESDYHHERSAMVMETPKRGPSTPLHKKESHHFASNSGSSMCLDPTTARSALADEKVNELLHTSAVRWLNGRHLLKGNFVPLSICGKLSLFVVMGAEPDSSSQDVLCEKGNTLSNAEDSTKSGETPVFFLVDGTTKVHLSDSVCPKQLGSDKPGLPSELYEYDDKRNEDSNHAPTLGGLSKESATIKGIISFSLADQIGLPRYKGILLYGPPGTGKTSLASSCAYDAGVNLYTINGPEIISHYYGESEQSLYDIFSSAKQAAPSVIFIDELDAIAPSRKDGSEELSIRMVATLLKLMDEIGPSDRVLLIAATNRPDSIDPALRRPGRLDKEIEIGVPSPGQRMDILRRLLIGVHHSLSNEELESVALVTHGFVGADLAALCNEAALSALRRYISLKENSTQQLGHPGCSFDNCSQDTEDPSSLSSSFSRLTMSSDDVACMKGGNLKGSESYDGTDEIPLLVTIKDFDKAKTKVRPSAMREVVLELPKVRWGDVGGQSSVKEQLIEAIQLPQKCPEAFERLGIRPPRGLLMIGPPGCSKTLMARAAASEAKLNFLAVKGPELFSKWVGDSEKAVRSLFAKARANAPAILFFDEIDGLAVTRGQENDGTSVADRVLSQLLVEMDGLDQRVGVTVIAATNRPDKIDPALLRPGRFDRVLDVQPPNEADRADIFRIHTRSMPCSADLNLNELARLTEGYTGADIKLICREAAVAALDKFTDRILIFQQESFDIQEVAMRHFKSAVGRTKPSDV >Sspon.05G0013020-3C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:5C:34949306:34951378:-1 gene:Sspon.05G0013020-3C transcript:Sspon.05G0013020-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPSSPPPQRREVGEGGELPVPVPAAVVGDDKVLAAAQHIVKSLATSKNAADDMIRILSGFDHRLSSITSDLFPSPSPAGTSDPDPEPPGGEQEPSSSSSLADFDAAEQLIHLWDTTPEALVFEAPEDDAAHYLAAVDVAVDHLSTPAVSGRAGVAVQLAMSRLEDELRHSVPLDASGLYCSLRRLSLGSMDDLDTSSEFDPATPHSQDGGGGPDTARSASIAGNNPFEDQVFDLVRPEAVDEIRAIADRMVHAGYGSELAQVYCAIRRDLLDDCLTVLGVERLSIDEVQRIEWKQLNDKMKKWVHGVKTVVRSLLTGERRLCDLVLAASDELRDECFVESTKGCIMQIRNFGDAVSVCTRSPEKLSRILDMYEALAEVIPELKELFFGSYGDDVIHDLEGVLERLGDAVKGTLLEFGKVLQQESSRRPMMAGEIHPMTRYVMNYLRLLVVYSDTLDTLLDDSGAGDVDHNILHNGTDEDQEYLKSLTPLGRRLVKLISYLEVNLDEKSKLYEDGALQCIFSMNNTLYIVQKVKDSELGRILGDHWIRRRRGKIRQNSKSYLRVSWTKVLSYLKDDGHGSSGSGSFGSSGNSSSRIKEKFKNFNLAFEEIYRSQTLWKVPDPQLREELKISISENVIPAYRAFTGRYGSLVDSGRNSGKYIKYTPEDLENYLSDLFEGSLGSANHSRRR >Sspon.01G0001260-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:4087719:4088640:1 gene:Sspon.01G0001260-1A transcript:Sspon.01G0001260-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQWADKQQQQAASTSAAVAAQMPFLALLQGAGVVMEAEEEQRHDERKRQAFARAVSDLDLLESCVTQAVAPAAAAASRTERRRKRPRPRARAAPPPEKRRKPEEAESQRMTHIAVERNRRRLMNDHLASLRSLIPSSYIPRGDQATVVGGAIDYVKQLEQQLVALQAAAAARRGGAAGAGAAVATAASDGVFVCPQYASYSDSPRCGLGAGVDVEATAAVGGHVRVRVAGRRWPGRLVRAVAALEDLRLAVLHLAVTSVGHDAVVYCFNLKVSEKCH >Sspon.01G0009980-3D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:30411543:30414950:1 gene:Sspon.01G0009980-3D transcript:Sspon.01G0009980-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MENPRPQPPAPTPPMAPLPVPVHPPIAPIPVPPPRAPAAAASMASTSTSSAGGGGEAEYEVSDDHRAARERHERAVQELLQRRRAYAMAVPTNDSAVRARLRRLGEPITLFGEREMERRDRLRALMVRLEADGQVDRLLRAQEDDQAARAGEEEEEEQIQYPFFTEGTQELLKARVDIAQYSLPRAKARIERAKRRHEDPDEDPEAEAELVVKQAGEFVLECSEIGDDRPLTGCSFSRDASILATSSWSGIIKVWSMPQITKVATLKGHTERATDVAFSPADDCLATASADRTAKLWKPDGSLLMSFDGHLDRLARLAFHPSGKYLGTASFDKTWRLWDINTGKELLLQEGHSRSVYGVSFHPDGSLAASCGLDAYARVWDLRSGRLFFALKGHVKPVLGVSFSPNGYLVATGSEDNFCRIWDLRKRQMLYSIPGHKSLISHVKFEPQEGYYLATCSYDTKAAMDRRL >Sspon.06G0001510-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:2456216:2457818:1 gene:Sspon.06G0001510-4D transcript:Sspon.06G0001510-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLGLGNPNLSSFLRVTSSMSRPTNLPAHSPALSRTRLVGGCRRSPSKSRGAAANRLGRRQNRAPPRRRTIAACVVPSYGRRQRESDGLSIWQHQHSRWRLPLDLKPPSRHMT >Sspon.01G0047320-2D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1D:115400117:115400368:1 gene:Sspon.01G0047320-2D transcript:Sspon.01G0047320-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAPVAAYPAKMACCLQLHLLRRPAPAPLPARVLLLLSTPRLRLRPVRASPDPGSSPPNSFAGWSSDSADDGGDKSTLGFGPAG >Sspon.03G0036550-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:90453464:90455119:-1 gene:Sspon.03G0036550-1B transcript:Sspon.03G0036550-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSNTAGKPLSAAAAVSWEFHATGPRNQSTPGWRDLIRSSWRDPNYRRIAMSCFVQAAYLLELDRQESRTGEAALAPNWWKPFKYKLVRPLIDSRDGSIYGALLEWDQLSALADLIVVKPQGAPKVVLALRGTVLKQLTVVRDLEDDLRLFALDSLRGSVRFTGALEVLKSAIHKHGSANVCVAGHSLGAGFALQVGKALAKDGTFVECHLFNPPSVSLGTGLRKIQEKASSVLKRYISRSGSSSSSNVSPGEELQAASQVEVREEELNKEVKRWVPNLYINSCDYICCFYADRSGVATVTTEKHSGVHSKLYVIAKGPSKFLEAHGLQQWWSDDSELHLAVHDSKLMYRHLKSLYV >Sspon.03G0035900-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:93643128:93646581:1 gene:Sspon.03G0035900-2C transcript:Sspon.03G0035900-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sulfoquinovosyl transferase SQD2 [Source:Projected from Arabidopsis thaliana (AT5G01220) UniProtKB/Swiss-Prot;Acc:Q8S4F6] MAIDGEEAPPLLLDEAARPRRVALFVEPSPFAYISGYKNRFQNFIKHLREMGDEVIVVTNHEGVPQEFHGAKVIGSWSFPCPMYGKVPLSLALSPRIISEVAKFKPDIIHASSPGIMVFGALAIAKLLGVPLVMSYHTHVPVYIPRYTFSWLVEPMWQVIRFLHRAADLTLVPSAAISKDFETAQVISANRIRLWNKGVDSASFHPRFRNHEMRVRLSDSEPEKPLVIHVGRFGREKNLDFLKMVMDRLPGVRIAFIGDGPYRGELEKMFEGMPAVFTGMMQGEELSQAYASGDIFIMPSESETLGQVVLESMSSGVPVVAARAGGIPDIIPEDQEGKTSFLFTPGDLEDCLGKVQQLLMNREFRDNMGQMARSEMEKCDWRAASKTIRNEFYNAAIWYWQKKRAELVKPLQWLAQMFLPAPEVRSITQC >Sspon.08G0010080-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:39089868:39090994:1 gene:Sspon.08G0010080-1P transcript:Sspon.08G0010080-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLKASILAILGFAFLCGAALAARDLNDDSAMVARHEQWMVQYNRVYKDATEKAQRFEVLKANVKFIESFNAGENRKFWLGVNQFADLTNDEFRATKTNKGFKPSHVKIPTGFRYQNVSVDALPTTIDWRTKGAVTPIKDQGQCGCCWAFSAIAATEGIVKISTGKLTSLSEQELVDCDVHGEDQGCEGGLMDDAFKFIIKNGGLTTESSYPYTAADGKCKSGSNSAATIKGYEDVPANDEAALMKAVANQPVSVAVDGGDMTFQFYSGGVMTGSCGTDLDHGIAAIGYGQTTDGTKYWLMKNSWGTTWGENGYLRMEKDISDKRGMCGLAMEPSYPT >Sspon.01G0032690-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:112250756:112251299:-1 gene:Sspon.01G0032690-1P transcript:Sspon.01G0032690-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDRRGSSGGGDRFAVFPFSMGCMSQSAVSVADPSEKKAQAQAQGDPSSSSSAAAVTTATMAAQVPAAGSSEEGAAGEAVKAAATPGLVAAGVSRLMKGIRSLSQMFAAYDGEEEEEEEREMVIGYPTDVQHVGHIGWDGHNNTVGAAPPWRAW >Sspon.04G0008040-10P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:23077010:23080449:1 gene:Sspon.04G0008040-10P transcript:Sspon.04G0008040-10P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MECENGHVAAASGNGVCLATPRAADPLNWGKAAEDLTGSHLEAVKRMVEEYRRPLVKMEGASLTVAQVAAVAAAGEARVELDESARGRVKASSDWVMNSMMNGTDSYGVTTGFGATSHRRTKEGGALQRELIRFLNAGAFGTGDDGHVLPAAATRAAMLVRINTLLQGYSGIRFEILETIAALLNANVTPCLPLRGTITASGDLVPLSYIAGLVTGRPNSTAVAPDGRKVDAAEAFKIAGIQHGFFELQPKEGLAMVNGTAVGSGLASIVLFEANVLAVLAEVMSAVFCEVMNGKPEFTDHLTHKLKHHPGQIESAAIMEHILDGSSYMMLAKKLGELDPLAIAAIGKLMFAQFSELVNDFYNNGLPSNLSGGRNPSLDYGFKGAEIAMASYCSELQFLANPVTNHVQSAEQHNQDVNSLGLISSRKTAEAVDVLKLMSSTFLIALCQAVDLRHLEENLKSAVKSCVMTVAKKTLSTSATGTLHNARFCEKDLLTAIDREAVFAYADDPCSANYPLMQKMRSVLVEHALANGDAERNPDTSVFAKLATFEEELRAALPREVEAARAAVENGAAAIPNRIAECRSYPLYKFVREELGTEYLTGEKTRSPGEEVNKVFVAMNLGKHIDAVLECLKEWNEHAHHTFVIQLNPVAKQNYPNTT >Sspon.07G0000510-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:780128:781047:1 gene:Sspon.07G0000510-4D transcript:Sspon.07G0000510-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHAVPSRCSNCGSYGHGSIACRIATADHQRGSEAAAAGLRLFGVQLHAASTSGGGAAAPPAPASQLHRSYSVDCCLSLQGGWPLPVSPSPSGQLLSIDECSAQRAGDGGCYLSDDGGRGSAALRERKKGVPWSEEEHRLFLVGLEKLGRGDWRGISRGFVTTRTPTQVASHAQKFFLRQNSAGAAKKSSKRRSSLFDMVQDCESGSRHVVLVVSNPSGCRAAGPSDSLSPKASVSEMDKEQAHGQYHCSPLNLELGMSLSSSSTPSIET >Sspon.05G0011650-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:28723996:28730057:1 gene:Sspon.05G0011650-2B transcript:Sspon.05G0011650-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGGRRSAAAGGEAVEGSRRRKPLRVNTLFGAESYSLKMPRSESDSDDVFFDAFEDVRSPGEPSCSEDCSTSDEVSVPMTFEYEIWSNEPMSVQERRQRFLKGMGFDDFVSARTDSFQCHGEITAVESSTNMEERSVSGHSSVDSSVCDNESEFDGACCIRDMDSGKRYIVHNGAHSSITDMLKEVGSDKVMSLLEFESLLGLSRSVQKLLRRGCGNSPAKQTKSAKKKDVKSLWKKFTTKRSFGSICKYDVHVKNCTNSIPTRTRVQHRKKKFLEFSAVYMDQEIRAHKGSIRVMKFSPSGWYLASGGEDCVVRIWQIIEVEASPKLYRGEDPCEMVEKVQVINTNIEKGRNQGLAVIPKKVFRISETPLHEFRGHTSDILDMAWSKSDYLLTSSKDKTVRLWKPGCDGCLAVFKHKDYVTCVQFNPIDEKYFISGSLDGKVRIWDVLDKRVTDWADTRNIITALSYQPDGKGFIVGTIAGACRFYDQSGENIQLEKELFVQGKKKSAASRINSLQLCTSDSTGIIITSGDSKIRVANGDTIQKFEGPWKSKALSSPSLTSDGRYLISAGKDSNVYIWNFANSGDAKSVHSCELFFSKDVTTAVPWPGVLQDGHTKPSCLTEKSSSAPILRQHGECQSPGPWSFVDCSKGSATWPEEKLPSTAKPESSPQLGDCLSLISAAWSTVIVTASRDGVIRSFPNYGLPVRL >Sspon.01G0000410-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:1662047:1663564:-1 gene:Sspon.01G0000410-1A transcript:Sspon.01G0000410-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Anion transporter, Silicon efflux transporter, Arsenic species (As) uptak [Source: Projected from Oryza sativa (Os03g0107300)] MALASLAKVVLGSLAFGVFWVLAVFPSVPFMPIGRTAGALLSAVLMIVFHVISPDDAYASVDLPILGLLFATMVVGSYLKSAGMFKHLGTLLAWRSQGGRDLLCRVCVVTALASALFTNDTCCVVLTEFVLELAAERNLPAKPFLLALASSANIGSSATPIGNPQNLVIAFNSKIPFPKFLLGILPAMLAGMAVNMVMLLCMYWKDLDGSSSPIDVDGKRMEAVEEGAGVEPSPKLQLGSTNGGGNGYMSPLMTENISTKHPWFMQCTEERRKLFLKSFAYIVTVGMVIAYMVGLNMSWTAITTAIALVVVDFRDAEPCLNTVSYSLLVFFSGMFITVSGFNKTGLPAAIWNFMAPYSKVNSVGGISVLSIIILLLSNLASNVPTVLLMGGEVASAAELISPAAVVRSWLLLAWVSTVAGNLSLLGSAANLIVCEQARRATRNAYDLTFWQHIVFGVPSTLIVTAIGIPLIGKINI >Sspon.04G0009370-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:27757132:27762772:-1 gene:Sspon.04G0009370-1A transcript:Sspon.04G0009370-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPPSKIESMRKWVVDHKLRAVGCLWLGGISSSIAYNWSRPNMKTSVKIIHARLHAQALTLAALIGSACVEYYDNKYGSSGPKGKFGTRANGLKDLSLGNLQLD >Sspon.06G0005720-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:17063487:17070157:1 gene:Sspon.06G0005720-2B transcript:Sspon.06G0005720-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLVGLTGGIASGKSTVSNLFRDSGAPVVDADVVARDVVQKGTRGWKKIVKAFGNEILLESGEINRALLGQIVFSDPSKRQLLNRLLAPHISSGIVWEIAKLWMEGCKVIILDIPLLFETKMDRWTNPVIAVWVDPKVQIERLISRDGCSEEQAQNRINAQLALDWKKSEADIVIDNSGSLDDTKEKFQEVLRKVSEPLTWKERLRSRDGLISVVVCTAVGILLAQKNLL >Sspon.03G0041250-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:39072621:39073348:-1 gene:Sspon.03G0041250-1C transcript:Sspon.03G0041250-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASFLRPLLPPQPLLSARRPHLPAAPTTSTTTVRCTAAPKPATSTPKPSQEEANSRALQQVEPNGAAATPDEANGNPNSIPDEETPASATVTTSFAKPRGWAIADFLEKLEGLLARGRYGSAQLLGTVAGVVTERAREEAEVLVAEGGVEERVVTELFRVLRLVEMDVEMVKAAVKEETVKERVETVRARCRQAILVALSL >Sspon.05G0020950-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:90287670:90288365:1 gene:Sspon.05G0020950-2B transcript:Sspon.05G0020950-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATSSSTGGSSVLPTHTTPSAPAYPASSSTKPPATSPNPRRRCLCICLLITLAFLIALAITLLVLFLTVLRVRDPTTRVVSTRLSGIAPRLTFPAVSLQLNITLLLVVSVHNPNPASFAYASGGHTDLTYRGVQVGSAEIDPGRIPSRGDGNVSLALTIQADRFASDLPQLLSDVESGALPLEAATRIPGKVNILGLIKRHAVAYSDCSFVFGVSEMRLRSQQCRDRTKL >Sspon.06G0004490-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:14188481:14192919:1 gene:Sspon.06G0004490-1A transcript:Sspon.06G0004490-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSRGSLAALLLLACAAAAGAAGTPDGSEEWGYVEVRPKAHMFWWLYHSPQRVDNGTTPWPTVLWLQGGPGASGVGYGNFMEIGPLDEDLKPRATTWLAKADLLFVDNPVGTGFSYVEGGDRSLMAHTDDEAARDLVTLLCALYRDSPWLRGSPLYIVAESYGGKFAVTTALAALRAVGQGRLRATLAGVALGDSWISPLDFVLSWGPLLYQVSRVDEKGLQQCNSVASKIKEQLEKKQFADAEASWSELENVVSANSNSVNFYNFLKDELSGDSSTTTAAAVSTLASFRRRNGYSGYLKSMAAAAAASSSEQEGGFDGLMNTVIKKKLGIIPKDLNWGDQSDDVFVALEGDFMKPRIQEVDQLLKLGVNVTIYNGQLDLICATKGTMDWVQKLKWDGLNSFLSAPRTPIYCDKEGQSGTQTQAFVKSYKNLNFYWILEAGHMVPIDNPCPALKMLADITRSPAK >Sspon.07G0027710-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:62371249:62376006:-1 gene:Sspon.07G0027710-2C transcript:Sspon.07G0027710-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRAGAMAALLPSSSSSSSPSSLLRLPRRFLSLTATPYPLYYDLIVHRPADPNPPKSSASDAAGADRQPQPASDEQPLDRAKRRYLRKRRSRLLPDPDATTTTTKPSSSSSEFVELRPEVVDFPRLHAREEALYFHDTFAMPWEKDKHYRMLYRLEKKYFPHQSLDNAFVSADAAPASDADRSLVFFDDEKKEDEGGERVFSKKGGDSGDKGEMLERKVEDFFRSLKKGPGQADTKAKRPGAEPRQVKREVPREEERPQPYLVTRTTELPPRWDGPAGTVVLMDKPKGWTSFTVCGKLRRLVKVQKVGHAGTLDPMATGLLIVCVGKATKIVDSYQGMVKGYSGVFRLGEATSTWDADSPIIQREPWEHIKDEDIRKAAASFKGEIWQVPPMFSAIKVGGEKMYDKARRGETVELSPRRISIYQFDIERSLEDRQNLIFRVTCSKGTYIRSLCADLGKSLGSCAHLTALRRDSIGEYLVNDAWNFDELEQQITKGYL >Sspon.07G0027300-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7B:59531114:59531463:1 gene:Sspon.07G0027300-1B transcript:Sspon.07G0027300-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MELYKNEVTSVTVTGHSLGVSLATLNAVDMVANGVNAPANSSQPPCPVTAIVFASPHVGNLTFREAFRSFDDLRALHVKNAGDIMLTYPPIGYFDVAVPLPPRPGRRTCVTREFFF >Sspon.01G0046930-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:100613586:100614287:-1 gene:Sspon.01G0046930-1B transcript:Sspon.01G0046930-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQWHRMANAVLDVFEECLREAKVNRIINGGDVDGGSEESELAKQWRVQMMDDLDVLSRRKPEAEVAHREWMILILLR >Sspon.01G0032050-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1B:105058540:105059121:-1 gene:Sspon.01G0032050-2B transcript:Sspon.01G0032050-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRTEEAEKAFRSAEERFLVDDLDGALRVARNAKRHLASLPALQSALAAYEVHGGRNCWYAVLGVGVGGGQPETVTHDHDAIKKRYKSLCLVLHPDKNRSAAAEGAFKLLQRAWEVLSARHPPGTVPDPGPGPGPKPRPPPPPGAPDPDDWRSSFFSNSPPPGPDWRSSYSRYKPKRPPPPFSSASSVNFNAW >Sspon.03G0031010-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:23915136:23920389:1 gene:Sspon.03G0031010-1B transcript:Sspon.03G0031010-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DISMVLSTKEHHEVMEDLSIKCSAYCSLLPPITSIPSEINPQEPSSNEATSSVSTLITQINSSKKIKGDDPALILYTSGTTGKPKGVVHTHKGILSQVQILSEAWGYRSEDQFLHCLPAHVHGLFNALFAPLYSGSVVEFIPKFSVSGIWQRWRESYPSDGSKNKEAITVPTMYTRLLQGYDSMGPDQQSAASYAAKHLRLMMCGSSALPSPLMKRWEEVTGHRLLERYGMTEFVMALSNPLHGIRKEGTVGKPLPRVEAKIIMEDGTETTTGVGELCIRSPSLFKEYWKKPEVTAESFIDGGFFKTGDTVTVDEEGYFIILGRTNADIMKFGGYKLSALEIEAVLLEHDAVLECAVLGLPDEAYGEVICAIIVPKEDAKKTAEQDSKPVLTLEALTSWSKDKLAPYKIPTKLYLWDSLPRNAMGKVNKKELKKLLGA >Sspon.04G0027280-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:61076358:61077964:-1 gene:Sspon.04G0027280-3D transcript:Sspon.04G0027280-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MANDSLVTARVIGDVLDPFYSSIDLMVLFNGMPIVSGMELRSPTVSERPRVEIGGDDYRVAYTLVMVDPDAPNPSNPTLREYLHWMVTDIPASTDDTYGREVMCYEAPNPTTGIHRMVLVLFRQLGRETVYAPSMRHNFSTRGFARRYNLGAPVAAMYFNCQRQNGSGGRRFTGPYTGGRHGG >Sspon.06G0014930-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:64755105:64758778:-1 gene:Sspon.06G0014930-2B transcript:Sspon.06G0014930-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARRSRGWGWARGAAAFAAVALAVGAGRRYGWDGASAVAAFREARGALGPWAAPAYVAAHALTLALCPPYAIFFEGGAALIFGFLPGVACVFSAKVLGASLSFWIGRAIFRYFTSAMEWLQRNKYFHVVVKGVERDGWKFVLLARFSPLPSYIINYALSATDVGFFRDFLLPTVIGCLPMILQNVSIVSLAGAAVASTTGSNKSHIYSYLFPAMGIVSSVLISWRIKQYSSALAVPEELQSSPTNGNDNGDAKLASTPSKNTSSGKTRKRR >Sspon.03G0025170-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3A:76157475:76158050:1 gene:Sspon.03G0025170-1A transcript:Sspon.03G0025170-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPAAVLVSNGAISPHAPPSAAAFLDSTPGAYTTARGSLYWWPRHLRRLAESATLLARSHPHLLGLPLPLARSRALDLDFLSIQSLVNPSVRVAIREMRTRPPMTKDEHLALTALVRGAGADSISDSGDGLEVFVHVGMYSPPVFGESGARLTVAGRGRDAAAAKYAPWARLVLYCNLNFCKFWLLRELS >Sspon.04G0026950-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:55682368:55683610:-1 gene:Sspon.04G0026950-2C transcript:Sspon.04G0026950-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALGVSATMAFLLFSLVVVSHCDAALQADSATNASSSYSVVVPAKVHAVHDAEGFLRLPSSVAEPDPDRSPVEPVAEPDPDRSPLDSDELATKKPCGEGQQGYEKAKKQIDDEDEEEEEKEKANKKEGKKKTKKHHDGDDKGKKEKAKKHRRQSDDEDKEEENTKKRIHGRYHHAEDELVKKKNKLSRHIKNNDNGVDSDDEEEEEKMAKRWRKAIKIRSGHGLRSQREEA >Sspon.01G0018120-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:67729620:67731773:-1 gene:Sspon.01G0018120-1A transcript:Sspon.01G0018120-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding PCRPPGDIGGTLSASAIAGGTVTGHHLLHIDCYSRSKEELPNGKCIKSCPFSAGGRSWRIEYFPNGVRSSVEEFISFYLRLDQIVDQPVKARVRFSLLDQAGEPVPSHSRITQVHGFCADSPDFGYGDFIKRAWLEESEHLKDNRFTIRCDVIINEELRAVPASPLVVVPPSNLQLNFGDLLASNEGADVTFLVAGETFQAHRCVLAARSPVFKAEVFGRMKESTRGAVIRVDDMDAQVFRALLDFVYTDTLPDFQDSMKKQEEAALAQHLLVAADRYNLERLKLICEDRLCGHIDTASAATILALAEQHHCRGLKEACFRFLSTPSTLNAVMATNGFDHLTRSCPSILKELLSNIAAVNLTPMGSLPNA >Sspon.03G0005070-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:16775508:16776652:-1 gene:Sspon.03G0005070-3C transcript:Sspon.03G0005070-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVALDTAEKQVQEYQTKLVALEEQLSKSDVSIFFARSGINKLQFIAVEDERMQFLDKLNYVEQELAATKGRESVLQERLLKELSEYQERYRGQVMKINELEAQLNKEIGSRISAESSVSSAKELIKDLEVNLHRLSENSEREKKTLKKELSYVKEDLSLSASKNNAE >Sspon.05G0004650-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:13887570:13891446:1 gene:Sspon.05G0004650-1A transcript:Sspon.05G0004650-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding IQKKERGLVSFLLKPIPHICALPAGERLSTTVPASGEHGPLHPRGLRRAGAHGRCYRMDLKDSLSRFKQQQERCQSSLASIAASSSKPKHRAQPAHAPNVPARPSQPIKFSNDTERLQHINSIRKSPVGAQIKLVIELLYKTRQAFTAEQINEATYVDIHGNKAVFDSLRNNPKVSYDGRRFSYKSKHDLKGKDQLLVLIRKFPEGLAVVEVKDAYPNVLEDLQALKAAGEVWLLSNMDSQEDIVYPNDPKAKIKVDDDLKQLFREIELPRDMVDIEKELQKNGFKPMTNTAKRRAAAQINGVKPKAKPKKKQREITKRTKLTNAHLPELFQNLNT >Sspon.04G0004060-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:12501064:12504560:-1 gene:Sspon.04G0004060-1P transcript:Sspon.04G0004060-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNCLKNSRSILSRLLRHRPHVAAAPTPAPVPAAQSPASRYYYASRVLRNKPAVSRPAPPPQLPRPRHYYTSPRRQEVIHFDRRRGGSRWYHDQRKLTAAVLITSGGAVAFYFGHLETVPYTNRSHLVVLSPRLERQLGETQFAELKKEFGPKILPPLHPDSIRVRLIASEIVRAVHRGLSGHQRHSAAYGEDASYGYGGIADDLTIMNRDADATAAMLGASPDKNARAAVAAQRDDEVLDVGDECRSRGKARGAQPQTAHLDGLNWEVIVVKDDIVNAFCLPGGKIVVFTGLLNKFRADAEVATVLGHEVGHAIARHAAEQITKNLWVAILQIVILQFIYMPDLINAVSTLLLRLPFSRRMEIEADHIGLLLLAAAGYDPRVAPSVYEKLGKIGGDSALNNYLSTHPSSKKRAQLLSRASVMNEALELYREVSAGQGTEGFL >Sspon.03G0028650-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:10476464:10490821:1 gene:Sspon.03G0028650-1P transcript:Sspon.03G0028650-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSVGVKIYSVFFKLFLRHRLQSLAAAGDLDNAAFGVSCRPDEATAPPNPAFSVAADGVASKDLHIDPNSSLSVRIFLPTPPPSSPHAHLLAHAPSRRASDPTPTPPAPAAGAPYRGYLPHAVASPRAAVSARRRLPIVVQFHGGGFVTGSNTAAANDAFCRRVAKLCDAIVVAGRAMPSVGVKIYSVFFKLFLRHRLQSLAAAGDLDNAAFGVSCRPDEATAPPNPAFSAAADGVASKDLHIDPNSSLSVRIFLPTPPPPSPHAHLLAHAPSRRASDPTPTPPRPPPARRTEGTSRTPSPRRAPPFRRAGGCPSSLAPESRYPAAFEDGVKVLKWIAKQANLAMMTKVGGGVDTFGASTVEPWIAAHAMPSVGVKIYSVFFKLFLRHRLQSLAAAGDLDNAAFGVSCRPDEATAPPNPAFSVAADGVASKDLHIDPNSSLSVRIFLPTPPPSSPHAHLLAHAPSRRASDPTPTPPAPAAGAPYRGYLPHAVASPRAAVSARRRLPIVVQFHGGGFVTGSNTAAANDAFCRRVAKLCDAIVVARLLQALPPPPPPVAGRRGDLDNAASGSPAALTRPPRRPTRPSPPPPTASPPRTSTSTPTPPLRPHLPPTPPPPSPHAHLLAHAPSRRASDPTPTPPAPAAGAPYRGYLPHAVASPRAAVSARRRLPIVVQFHGGGFVTGSNTAAANDAFCRRVAKLCDAIVVAVGYRLAPESRYPAAFEDGVKVLKWIAKQANLAMMTKVGGGVDTFGASTVEPWIAAHGDPARCVLLGASCGANIADYVTRKVVEDGKPFDPVKVVAQVLMYPFFIGSVPTHSEIRLANSYFYDKSTCLLAWRLFLSEKEFNLDHPAANPLAPGRGGPPLKCMPPTLTVIAEHDWMRDRAIAYSEELRKVNVDSPVLDYKDTVHEFATLDVFLKTPQAQACAEDIAIWMKKYISLRGHEFSY >Sspon.07G0037860-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:63577092:63581617:1 gene:Sspon.07G0037860-1D transcript:Sspon.07G0037860-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNFALREVLGDHVDQKGSIVLPEKLRFDFSHGKPVQPEDLRKIESIVNQQIKDELGVYALEIKLEDAKRINGLRAVFGEIYPDPVRVVSVGRKVEDLLADPDSKEWLSISTELCGGTHITNTRDAKAFALLSEEGIAKGVRRITAVTAVCATQAMELASSIDSDINENISVGGSFVGKDYRLISISLYQKIASIKSGLDAAAIPAAKKADLRAKVSKLEAKKKMGEQNIHKAVKSAMDAAEAALSGNKPFCVTHVDVGLDTTAVREAVIKVMDKKGLPIMLFSTDEASNKAVIYAGVPPNAPSGFKVLDWLTPSIAPLKGRGGGGKNGIAQGQGSDASQLKEAMALANSIASMKLC >Sspon.08G0001960-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:2930599:2933482:1 gene:Sspon.08G0001960-2B transcript:Sspon.08G0001960-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLPLAKARWGFSPQPEPQPARSTCRSQLEQDVSHRSPPPSAHACSLSPPHALTWNARAPAQVKRLRKALQEETALHAVLEGALGRAAVTLADMAYLPTNVRTSARTPRRGWFGLVSPASPAQELLSNICILETAVTKLEEEMVSLHFQLIQERNERRLVEYRLKHLPPPPSACSCHPGKLRPDDTTGEKCSSQGVEVYPRAVLHEQAVKLQRQISGKGFADPNQLSEDIVRCMRNIFISLSDSCRDSSRNSSMENQESIPSPTGNYSISAFWSLSEPSSISSWVQSPQVDLNYNNNLLASETVFDPYKAREKLSWADIGSYSAAAEVSWMSVGKKQLEYAAESLRKFRLFIEQLAEINPIHLNDDARLAFWINLYNALMMHAYLAYGVPRSDMKLFSLMQKAAYTIGGHSFSAAFIEYVILKMKPPSHRPQMALLLALQKIKVPEEQKKFCIAAPEPLLTFALSCGMYSSPGVKIYTANNVREELQDAQRDFIRASVGVSRKGKLLVPKILHCLPVVLLTTTASLSGSRIFYLSSKLHLLITVCLKGGKVS >Sspon.08G0002190-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:5002546:5004433:1 gene:Sspon.08G0002190-3C transcript:Sspon.08G0002190-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDAAAPAAPPPPPGTLQKSYFDVLGICCPSEVPLVEKLLRPLPGVRTVTVIVPSRTVIVLHDAAATSPAQIVKALNQARLEASVRAYGSGSEKKVANKWPSPYVLFCGVFLVVSLFEHFWAPLKWFALVAAAAGLPPIVLRSFAAARRLTLDVNILMLIA >Sspon.05G0027840-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:57335591:57339748:1 gene:Sspon.05G0027840-1B transcript:Sspon.05G0027840-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding HMGARPPSRPAFCSQPPPGSANGHSARSPTVHCHLVAKSSAGIAGSGPGGTDLARCLPPYSPLAVLAANVPEFDMGKAEAMRVEVESATREGLLDAAAGRRPASRGATAMAEEHARPASAFSPSLLHRELEKQLLAWGGVLRPHSSLDPLPSGRQPQRSDRSCIARSTADVRGDSGAMISSNGTDATASLACPNCNYQRLNLSSVLSYLKSFDCNKNLAGFPAGLRFAPSDQQLIEHLESMVLAKEGAGSRAHALLNHFIPTIEGGNDICYTHPENLLGVTRNGLSKHFFHRTSKAYPTGTRKRRKILSESGQRSNEDVGEAHWHKTGKTHPVIVGGRQKGCKKILVLHNIKQGMREKTNWVMHQYHLGMSEEENDGELVLSKVFYRCPDATMVEQNDEKVEVTSEATPNILPVSGAAAVTAATVTMVQQQQHQLQRQAHGHDQCKFAPPNVFQQAGVGDQVSGDQGQVHGNNHHIPSQHLVQSVRMEPDTTLSLQVGVGVSGDQGQVHGNNHHIQSQHHVQSVDTEPNTTLSLALSTGWCK >Sspon.01G0001680-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:4537554:4541355:1 gene:Sspon.01G0001680-4D transcript:Sspon.01G0001680-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADELRSTSVVQKVHGQSVLLSRISSYSAMNNPVFNNANSAYNAPRRSYHGMNATVGLSSVMAPSPVFASAPKEKGFSGFMIDFMMGGVSAAVSKTAAAPIERVKLLIQNQDEMLKTGRLSEPYKGIGDCFARTIRDEGFVSLWRGNTANVIRYFPTQALNFAFKDHFKRMFNFKKDKDGYWKWFAGNLASGGAAGACSLFFVYSLDYARTRLANDAKAAKTGGERQFNGLVDVYRKTLASDGIRGLYRGFNISCVGIIVYRGLYFGMYDSLKPVLLVGTLQDNFLASFLLGWGITIGAGLASYPIDTVRRRMMMTSGEAVKYNSSLDAFKQIVAKEGTKSLFKGAGANILRAVAGAGVLAGYDKLQVIVFGKKYGSGGG >Sspon.08G0005430-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:13962376:13969064:1 gene:Sspon.08G0005430-3D transcript:Sspon.08G0005430-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding PSEQNTYADIEAVYKCLIETYGASEENIILYGQSVGSGPTLDLASRLPHLRAVVLHSPISSGLRVMYPVKHTYWFDIYKNIDKIPLVKCPVLVIHGTADEVVDCSHGRALWELSKVKYEPLWVKGGNHCNLELYPEYIKHLKKFVSAIERSPPVKDESPESSDPSDPSETGSESAESSRRSTDIRDKPRSSIDHRPSIDRREKPRGSIDRRDKSRKSVDQLDKPRASLDQPDRPRKSIDRFGGMMRSVKLCNIDCFTAASGS >Sspon.05G0020860-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:90245718:90251822:-1 gene:Sspon.05G0020860-2B transcript:Sspon.05G0020860-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMFHMRSVSLSNLTHLDLNKNQLDGTITEEHFASARNLKYIDLSYNALKIDLRSDWKPPSQLDIVNFASCRMGIADSLPQWFSDAFSNIKTLPTDMGSMSLRELYLTGQIPTLPPNISILDLSNNFLSGPLLSAVGSANLKHLSLFSNQIAGHIPKYFCKFQGLFELDLSNNFMEGELPSCLGVMEKIEFLALSNNGLSGEFPSFVQNFTNMLFLDLSRNKFSGGLPMWIGKLTSLAILRLSHNMFYGNILVNITNLVCLQYMDLKNNKISSSLPSYFSNLKTMRMTHMRNICGMGYFYYPDLILIDHIDSFHLSSMSMVLKGQELNYGSINRVLGSSMMSMDLSCNNLIGKIPEEIVLLVGLVNLNLSWNHLSGVVPNKTSQGTCFQGKYQWRREWGAGGGQAPPGLVYNITSRLATND >Sspon.04G0012140-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4B:14929842:14930860:1 gene:Sspon.04G0012140-2B transcript:Sspon.04G0012140-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDERNTQQHQGGEAQENAAADQVEVKGRGLLDSLLGRKKHEDQEKKQQEEELATGMEKVTVAEPEKHEHKKEEHEAAGEKKESLLAKLHRTSSSSSSSSDEEEEVIDENGEIVKRKKKKALKEKIKEKLPGHHKDHEADHYTVVPAPAAAPAPVVETHAHHQEEEHKPHFAAPAPPPHVETHVHQHDHGVVVQKVEDDVKTETPPAPEEEKKGLLDKIKEKLPGGHKKPEDASAAAAPAVVHAPAPAPHTEDVSSPDGKEKKGLLGKIMDKIPGYHKSSGEDDHKDAAGEHKTSS >Sspon.04G0010390-4P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:23386268:23398252:1 gene:Sspon.04G0010390-4P transcript:Sspon.04G0010390-4P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAERLAASAATLRGSAAAATPSARRAARASRAFFPPSPASSARARVGLRAAPSPLPQKARAVRCAAVAAASDVAQVKAAREDIRELLRTTHCHPILVRLGWHDAGTYDKNIEEWPQRGGANGSLRFDVELKHGANAGLINALKLIQPIKDKYPSITYADLFQLASATAIEEAGGPKIPMKYGRVDVTGPEQCPPEGKLPDAGPSSPADHLREVFYRMGLDDKEIVALSGAHTLGRSRPERSGWGKPETKYTKNGPGAPGGQSWTVEWLRFDNSYFKDIKEKRDQDLLVLPTDAALFEDPKFKVYAEKYAEDQDAFFRDYAEAHAKLSNLGAKFQPPQGFSLDD >Sspon.01G0043380-2T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:38664417:38666034:1 gene:Sspon.01G0043380-2T transcript:Sspon.01G0043380-2T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIRKSASRLLGSAYSASAAPTVDAAPRFDLLPPPPHPAPCSAPESCSGPGFSSPSASSAEPCELSRSPWDLIADLSLSGQKEEDELVDKYFVHVTTRASWLFSATMPATSTKKKNKKLPAAAYRDSTPQLRREVVKRAAMNKEEDTTKKKAKVKKEEGRVKKEEEQDGTARVWMCKKNDGRRWHCNRPVSQPDTLCEYHFVQKRSYLNPDFEFHSVAELEEALPAPGPAPAPVQAAASKSTTSSNKPRKKKKKPGNDCSATEGFYYYAGFGPFRTKRQCRSGGMNEPLPAKQEEEEETHTPEDASHPTDEAPEVAEFTNQQAACQDVSSCDNDDIAGIAGVDEESSDDDYDGIGIAGCNMDGTVDPQASIGEGKRKAPWKRWRKPVKARSLKSL >Sspon.06G0025950-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2C:70209581:70209862:1 gene:Sspon.06G0025950-2C transcript:Sspon.06G0025950-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRAPPGPNALKELDPGGISAANSVRTELISRQQSAHSSATPTRAPYGQGFREVPCRRASAKQGTGMALLHALTARGCGLWRVADGCRAMASRE >Sspon.03G0013040-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3A:36483288:36484735:1 gene:Sspon.03G0013040-1A transcript:Sspon.03G0013040-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEARRRVEFTRGNHAAATIDQIDYAYYEAAGDPFVAAGIVCSTSSPPSPYSWRRSPHHHRISRHVVDLVEKATRQNGAEARGAQCGPSIVAQQSAHFFLSSRLSPSYACPVQSFLPFPRPVLHFHRLVVPSVLPLGCPRFPPADGVTRREMDGSAGAGWRSVSSPGDGRQHGRRPEEHLLVLWIQGRLRGHPGWLRRQPRPPSAAISGRPSSSSQAPRPDPAATQAPGRSAWPHPTA >Sspon.06G0002350-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:7412822:7414990:-1 gene:Sspon.06G0002350-1A transcript:Sspon.06G0002350-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTAVAAGVSPAHGGFRRSGSGKPDPEEADRMRNANGFFSVPDEEEEEEEEHEHGDEAAAARREEEDEEEALSEASSIGAASSDSSSIGENSASDKEEEEEVESKAQGPGMMGLATLESLEDALPTKRGLSNFYAGKSKSFTSLAEAAATAAAKEIAKPENPFNKRRRVLQAWSRRRASCSALATTYLPPLLAPDHAVVEEDDEEGADDEDEDEEPKGGLRSRRPPTFPSPRLSVHATAGGQMARNSSFRSPRSFSMMDLHSAGCDYE >Sspon.07G0035530-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:8542956:8546875:-1 gene:Sspon.07G0035530-1D transcript:Sspon.07G0035530-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAVVSSSGLLLLCLAAVASVAGVLQARAQPDSIGFISIDCGLSGTASYVDDTTKLSYVPDTGFIDGDAGSNHNISAEYMTPMLSKRYHNVRSFTAAAARATATRSAPSSRGSSTSSVPPSMVNITDADAAVMVEAIVLVPDTSVQVCLVDTGSGAPFISGLDLRPLKSTLYPQANATQGLVLVARLNFGPTDDTALVRYPDDPHDRVWIPWVDTATWNSLSTTQRVQNIDNDNFEAPSKVMQTAVAPRNRSRSIEFNWDSEPGPKDPTPGYIAIMHFSELQLLPAGAVRQFYINLNGKPWYPKAFTPEYLYSDAVFNTNPYRGVAQYNISINATANSTLPPIINAIEVFSVISTTNVATDSQDVSAITAIKAKYHVQKNWMGDPCVPKTLAWDGLTCSYAISSPPRITGLNLSFSGLSGDISSSFANLKAVQNVDLSHNSLTGSIPDSLSQLSSLTVLYGNNPSLCSNGNSCQTTKGKSKLAIYIAVAVVLVVVVLVSVVLLLCFILKQKKQGQAKNAVKPQNETPLNHAPPGDAYSQSSLQLENRRFTYKELEMITSNFQRVLGRGGFGSVYDGFLEDGTQVAVKLRSDSSNQGVKEFLAEAQTLTRIHHKNLVSMIGYCKDGDYMALVYEYMSEGTLQEHIAGNGRSRGFLSWRQRLRIAVESAQGLEYLHKGCNPSLIHRDVKAANILLNAKLEAKIADFGLTKAFNRDNDTHVYHATMQPTTKSDVYSFGVVLLELITGRPAILRDPEPTSVIQWARQRLARGNIEGVVDPRMRGDHDVNGVWKAADVALKCTAQASAQRPTMTDVVAQLQECLQLEEARAAGDVNGGGYYTGGGGSSSSGPYSGYNAYAAGEGQSTDVSQSST >Sspon.07G0013780-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7A:49706592:49707146:-1 gene:Sspon.07G0013780-1A transcript:Sspon.07G0013780-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGAGDPPAHPAAAFRVDRALQALGFEFTRVTAEEVVGRLPVTETCCQVVGIGSSARAAAIASIFCFRLIIPNPLSRVMDDGGDRWMQPFDWLNGGVSALMAETTASIGCYVASGYRRLAGPHTPRRHGACLVLSVANKCSARLQAVQSSELPPPSLTSMGCDGLLQSHPLDLGVILPIVHSS >Sspon.07G0009530-3D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7D:23824807:23825975:-1 gene:Sspon.07G0009530-3D transcript:Sspon.07G0009530-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSSSLVAAALVLSCAALFLFCCFTTGAADAGNGGGGLRLGYYSESCPRAEDIVKEQVAQLYHKHGNTAVSWLRALFHDCMVRSCDASLLLDPTTATSAASEKASPRSFGMRNFKYIDDIKAAVERECPGTVSCADVLALAARDGAAILGGPRVVGMRTGRRDSRESHYAEVERDIPNHNDSVSTVLARFAAVGVDAEGTVALLGAHSVGRVHCSNLVARLYPALDAGLDPGYGAYLRGRCPTADAREDTRDVAYARNDRATPMVLDNMYYKNLLARRGLLLVDQRLADDPRTAPFVARMAADNAYFHDRFAAALLTMSEYNPLGDDEGEVRCDCRFVNSAA >Sspon.05G0002560-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:12323807:12326273:-1 gene:Sspon.05G0002560-3D transcript:Sspon.05G0002560-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLLPKLTTPSGPSCKLPPSPLLKPQLAQPGHGGGKIQGSGSGSGSGAAQVAAPGHLSLLLLLSSPQQAADPASKSTATKNRGKGGGDPQRSDFYLNLGTAVRTLRDDLPDVFDREPNYDIYREDITFVDPLNTFHGIDNYKTIFWALRFHGRLLFREIGLDVSRIWQLTETSIVVRWELWGTPRVPWESYGCFSGTSRYKVDRNGKIYEHKVDNLALDFPRSVAKVGSIADMVVATPSPNLTFWNVVGTGDGCSWTKLYEAVVEAVEREEHSSTGIGVGGLPVPCSFGCGCGCSF >Sspon.06G0001250-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6A:4071649:4072374:-1 gene:Sspon.06G0001250-1A transcript:Sspon.06G0001250-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTRVSESRRALRFTAAAAASVVLLLPPPFIITTAAAGSAGWGRSNCTRSCGNISIPYPFGIEPGCHIEGEDGFNLTCDHSHHLPKLFIGDGTVQASRSTSVEAGTTTCSAPALPYVCPLAADAGGSRSRTSDNACTGVGCCQANIVPISSFYNIKVHRIDDTAASTDSDYDVYIVDGVFSYNPRH >Sspon.05G0002640-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:12753492:12756043:-1 gene:Sspon.05G0002640-2D transcript:Sspon.05G0002640-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MESPFRADVVKGKVALVTGGGSGICFEIAAQLARHGAQVAIMGRRREVLDKAVAALRSQGLRAVGFDGDVRKQEDAARVLAATVGHFGKLDILVNGAAGNFLASPEDLTPKGFRTVLEIDTLGTYTMCYEALKYLKKDGPGKGPSTGGLIINISATLHYSASWYQIHVSAAKAGVDSITRSLALEWGTDYDIRVNGIAPGPIQDTPGVRKLAPEEMSKGLREMMPLFKFGEKRDIAMAALYLASDAGKYVNGTTLVVDGGLWLSHPRHIPKEEVRELSKVVEKKIRISGVGVPSSKL >Sspon.01G0037610-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:30224202:30225506:1 gene:Sspon.01G0037610-2D transcript:Sspon.01G0037610-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVQPRVAPRRHPPPPAVPPLPAAPSSQAPAARPQLPCKRSRADAVAVAHGRNKERVAESCCPSSSRLEQLELLVDDDSGEEDDGCGSCVDGAGGAGGGQDDEEEESGSRGVAWWSQESGGGRRRCSLWANGSRATEGGQLRAGGEHDDEDPTVAAARRQEDDRKFWEACLASIDPSINGQAMHLLTTACSCRSPLGRG >Sspon.03G0032740-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3C:48923541:48924329:1 gene:Sspon.03G0032740-2C transcript:Sspon.03G0032740-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATMALTSRAFVGKPATSTRDVFGEGRITMRKTAAKPKPAASGSPWYGADRVLYLGPFSGEPPSYLTGEFPGDYGWDTAGLSADPETFAKNRELEVIHCRWAMLGALGCVFPELLARNGVKFGEAVWFKAGSQIFSEGGLDYLGNPSLIHAQSILAIWACQVVLMGAVEGYRIAGGPLGEVVDPLYPGGSFDPLGLADDPEAFAELKVKEIKNGRLAMFSMFGFFVQAIVTGKGPLENLADHLADPVNNNAWAYATNFVPG >Sspon.05G0031570-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:6483680:6495046:-1 gene:Sspon.05G0031570-1C transcript:Sspon.05G0031570-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTRPSSTPASRFPQLLLVVVIACTVPGVRCFDRRFPHLDRVRELHRREGSSSAEQEAAARGLLARLLPSHSTSFEFRVISTEQCGGKACFVINNHPLFDGEGTPEILILGVSGVEISAGFHWYLKHYCAAHISWYKTGGAQLSSIPHPGSLPRVPDGGVLIQRPIDWSYYQNAVTSSYSFAWWDWYRWEKEIDWMALQGINLPLAFTGQESIWQRVFQRYNISKSDLDDFFGGPAFLAWSRMANMHGWGGPLPQTWLDDQLALQKKILSRMYAFGMFPVLPAFSGNIPAALKSKFPSAKALLHSVPVGKMIVLDLYAEVKPVGVGMSMEGIEQNPIVYDLMSEMAFHHRQVDLQVWVETYPTRRYGKPVKGLQDA >Sspon.01G0024090-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:85209803:85211011:1 gene:Sspon.01G0024090-3C transcript:Sspon.01G0024090-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PID [Source:Projected from Arabidopsis thaliana (AT2G34650) UniProtKB/TrEMBL;Acc:A0A178VTE5] MAAPASSSTTATAAPASSPPPKPPNNAASTMLEPQSPSPCPDAAAPAASSVSSASCCSSSSSAGSSQADRSSTFSVDSSSAATPSSSPPRPHRASDVAWAPIRGRALGPRDFTLLRRVGAGDIGTVYLCRLESQAAEGSSACEYAMKVVDRRALAKKGKLARAAAEKRVLRRLDHPFLPTMEEDVAEPAAPTCLPIPELQLLRLRRWKRRAAPRPRFVAEPVDARSSSFVGTHEYVAPEVARGGGHGAAVDWWAYGVFLYELIYGRTPFVGENNEATLRNIVRRPLEFPPPRPRTRTRT >Sspon.04G0027400-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:63458087:63462627:1 gene:Sspon.04G0027400-3D transcript:Sspon.04G0027400-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPAAAARKPSSASTVALTLTLALASAGLLFLLLRLSPSSPSPTAHPHRRLRLRARAHGHAHHHQIPFDPVVADIERRLEDREWERLAAAGLHAPGMEAAPVPEDLTDGDADADEDYINDAARFNVTLRVEALFPKIDVDPADGAVTGAELAAWNLANARREVLHRTARELELHDRDHDGRVAFGEYERPSWAWRFDGLDPCNEFGSSLDTRHPATTAGSFLHPADTTNPKLIHWLCKEEVRERDKDNDGKLNFQEFFSGLFYSIRHYDDEGITDDTGGSDAPAKKSFSHLDLDNDGLLSADELKPIIDNLHPSEHFYAKQQADYVISQADTNKDGQLSMKEMIENPYVFYNALFTEDDYGFHDELQSQFVNHTNPGSSVSHELPILNIISVKIVQIS >Sspon.08G0005910-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:28750573:28753676:-1 gene:Sspon.08G0005910-4D transcript:Sspon.08G0005910-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger protein WIP5 [Source:Projected from Arabidopsis thaliana (AT1G51220) UniProtKB/Swiss-Prot;Acc:Q8W031] PLQPNPPLHHGRPLHQFPQRLLLPLPSLQRSPASSYLHHPPPPPSPPIREALPLLSNLTPASSATNHHHGSDVRDHKDCKHATSCSDNQEAADQAAAGEVTVALHIGLPSPSPSESAADGGESQEPAAAEGRSQLQQQGVDHEVGGGGEEEEGGEEDAAMAVGCASIGIGRLTKGQYWIPTPSQILIGPTQFSCPVCYKTFNRYNNMQMHMWGHGSQYRKGPESLRGTQPTAMLRLPCYCCAAGCRNNIDHPRARPLKDFRTLQTHYRRKHGIKPFMCRKCGKAFAVRGDWRTHEKNCGKLWYCACGSDFKHKRSLKDHIRAFGHGHAACGIDCFDDLDDDDRDPSS >Sspon.04G0009460-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:26860857:26869458:-1 gene:Sspon.04G0009460-3C transcript:Sspon.04G0009460-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPGGDEEEGRAITGAGTGAIYTGRGSSKAQIRDKGVPHLVLGLLCQIIKIQLLADLDIKKTPQLAELVADDNGKEAEELDTLAPDKMLLKWMNFHLKKAGYKKTVTNFSTDVKDGEAYAYLLSTLAPELSSKTMIETSDPKERAQKASREERAFRLWINSLGIPTYVNNLFEDVRTGWVMLEVLDKISPGSVNWKHASKPPIIMPFRKVENCNQVIKIGKEMNFSLVNVAGNDIVQGNKKLILAFLWQLMRTSILQLLKNLRSHSKEKEITDVDILIWANNKVKESGKTSHIESFKDKTIADGMFFLELLSAVQSRVVDWNMVKKGEDEDEKKMNATYIISVARKLGCTVFLLPEDIMEVNPKMILTLTASIMYWSLQKQGPYQSPGPQDTLPEEEECEEEEEEEEEEEDFEGGVEDGD >Sspon.06G0007510-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:29281618:29286567:1 gene:Sspon.06G0007510-1A transcript:Sspon.06G0007510-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding QHRLRPASFAGTPTVSAVIVARPPRVAIVVALLLRISRVSAVVVALSLPSAERLSSCCTSPKPYRRHALLLLGIGKSQLNKKIERSNQALAKLHSSWSPSEQSADGELLTEEEKMMFRGLGANGWACSPRKTGYL >Sspon.05G0012070-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:35197441:35200373:-1 gene:Sspon.05G0012070-1A transcript:Sspon.05G0012070-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding TLASHPGPVARFRLALTTLRARVPAAEAWFRSLTAGARRAREVSLICPPEWCHRALADPLLTSATLETLALGECRFSDAGAAAASASRLTDLSLSHTHISEAALQSLLSGCPALRNVIFRHIQGPRRIRITSCRSLVLFGVWHYKHLEELTVEDAPRLECLLGDVHLGASVTIIGAPKLTALGYLVVGFRNFFHGIDKPAVEELSLNEASLTCTSQKVNKGLRAPFNSVKILAISMTFSSKKDMATVMNLLKCFSFLETLHIQVDTIDFGYYQKNEPIGYRELESKVKNLIGEVEERNMFEFVCFLLANAQVLQIMKIQSAMSNTPEWITEQQNLIQCHRASVEAKVVFEGLKVVHRKRFSIEAVNALPDPFDSDIDIMA >Sspon.07G0017140-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:83733024:83734193:1 gene:Sspon.07G0017140-3C transcript:Sspon.07G0017140-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding IAMGAGDDTKPAAMEVTSSSSSPSSSPTPAPPPPSVLRSVLLSYAYVGIWMSLSFSVIVYNKYILDPKLYNWPFPISLTMIHMAFCATLAFLLVRVLRVVDVPSPPKQAMTPRLYASSVLPIGALYALSLWFSNSAYIYLSVSFIQMLKALMPVAVYSLAVALRTDAFRRATLLNMLAISAGVAVAAYGEARFDVFGVMLQLLAVAAEATRLVLIQILLTSKGVSLNPITSLYYVAPCCLVFLTIPWYAIELPRLRAAAAGGRLARPDVFVFGTNSFCAFALNLAVFLLVGKTSALTMNVAGVVKDWLLIAFSWTVIKDTVTPINLVGYGIAFLGVAYYNHAKLQALKAKEAQRKAAAMAVAKPDDDAEAGARLLPPDNKDGAGDGGDHK >Sspon.02G0027090-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:95492079:95493860:-1 gene:Sspon.02G0027090-2B transcript:Sspon.02G0027090-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LPMEVKDAAKPRLPPGFRFRPTDEELIVHYLRRRALASPLPPAVDIPDVRILAHDPSDLLLAGFSEQERYFFTCKEAKYVKGRRANRATGAGYWKATGKEKPVAVAIPAAARGGQGQGQQGQAVLVGMKRSLVFYRGKPPTGSKTDWVMHEYRLAGAGLAPCRRAAAQDGDADAAVSRPAEGWVLCRVFRKKKGSAASAAASPGEDRSDGESERAGAGGPGFIDFFARADAAGRRRRAASPVVSSSCLTDASPERQQGREQETTSRG >Sspon.08G0019380-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:14808566:14818554:-1 gene:Sspon.08G0019380-2C transcript:Sspon.08G0019380-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIQVVVAPVLIASCWLINEAWRAPCDDPNLAKTVDSTCFCQIWFASKDPIPTNSLLKSSSDLVNRSIKA >Sspon.07G0014550-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7D:51613916:51615681:1 gene:Sspon.07G0014550-2D transcript:Sspon.07G0014550-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAACEGLPPVDKATTASSKGWLPRVTATDPIRPSWGYRAQQGLLWEQHALYNNDLHRYTPSFIHPRRQLFRSMANESTPILGLSIWNWYCDTSNTTVWMAWNWELEFNLNTNRHWLYLPLPISNTELQTFVLSHIHPPRLPFPTRRPHCLRREPPSVSSPLTRSRPPPPSPPTRSCPHCCCPRRKPPPPPSSTSSASAPFFPILDAQRSFSMAGVPLPWPVEIYAWPRSSSSCPWQPRSARHGQGRAHHGYGCNASSAPLLPRVSIPRFCIQTGNEFELLAKIPKANSMRPDVWTPVPAPCVPRLHPGVPTRGPRHLDRMCRSPDLQHAETTRASYAFHAHAGEDMLRSLGSAPSDCSRRLRQVVPLQHVQHQIYFCNIQMKTCNIRPKQLKHLQHTFETVAKHPKTLDGHYKRMRHPYLLLQHTDKTLATYI >Sspon.02G0048640-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:27440960:27441873:-1 gene:Sspon.02G0048640-1C transcript:Sspon.02G0048640-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWWPVEDAFVKSFAPTAMVIVDSRWREVDGKSLLLVVGASHGQRWKGYREVHHCHGQVAALKTDAALLTPDPNASRVQEPRMAALDSVRNWSSGSADLGLV >Sspon.01G0010090-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1B:34425349:34425633:1 gene:Sspon.01G0010090-2B transcript:Sspon.01G0010090-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLYIVGLGLGDERGVTVRGLDAVRRCARVYMEAYTALLTVGPDPPSRLDSLVRAFSIRAAHRLFAQNPVWPGRRPSLTHFPVAFSLQEKLYGKGD >Sspon.02G0010490-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:28833297:28835638:-1 gene:Sspon.02G0010490-1A transcript:Sspon.02G0010490-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SDASFNEVLRVLGNLLLKGNKVPANTYYAKKLISLLTMDVEKVHACRNHCILYRGDDYKDLESCPNCGASRYKTNKDYQEEENGASVSIGKKRKKTTKNTQKSSKTTGHEEVDYYAQRRVYKCADPTENHLLNEELMNIYNEFCWFIMKEIIHKDGKYFDPDGELAKPEYQDLIDIDRLELNHEDY >Sspon.03G0011100-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:30240883:30246391:1 gene:Sspon.03G0011100-1A transcript:Sspon.03G0011100-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSDLKEMKYRRRIGVEERPQCSDQGGGADWAALQQDPVELLRKLDELRDQITRSCNVVGQPREHRRVSRRAVSMLPEQLEPPPLPGYHRSRYGGRYGHGLPPPSPYAPPRPEHGERYVRQSSGHYRQYPGKQWENGGMGPGGYHHYGCACPHCLHGQRALPQEENIPMARYFAGQHESYRFQRSPSVSSDYDRRSVASSLYSHRSVLKRRAEYFRKKAEHICRPVDGAAPFSVCSSCYKLLQVPMGKCIGRKQNQNRFQCGSCCQIISLKHEEENGILFAPSSSLYAPEMEQSSSDQMRRDPVHQRHEDFNSMFYNSNDHSNMQNNLDFTDDNSLSSTMSNDRIDKECGSTRSIQSKAEGLSFSPSRSVDIGSPKDILCERDADCMVEPSVDGPLSPRSPALEDKLVDPLCAQEKGNNEDDQGMPYRRALICKGEYDVNDEYDGGISTRNKQESNEDDKDATEDESSCRSSEQKSKEDNCCNIEDSSKTYKHNSGEDEASSLVAGSEKYECMNIKDDNSSPGGEDTCNKYEPKVKGDENCVLGAESVSNNCDENNKDVTETGSISERHEELKNEEDNGKLQQPFIEDANSQSGSGSSINERTNSGFSRGSSEAGLDEDQSSTGKSGDSSFFAGFLKKGFKDLSLLNKSMDSVKVLINGHPISERALKKAEKKAGPVDPGSYWYDYRAGFWGVMGRECIGIVPPFIREFNYPMARNCAGGDTGVFVNGRELHQRDLDLLVGRGLPRISGKSYSIEMSGNVIDEATGKKLRSLGKLAPTLELLDHKGHADPYLNHAPKSQVPAGLDAGVGSHLQLYLH >Sspon.01G0035440-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:11271347:11274639:-1 gene:Sspon.01G0035440-1B transcript:Sspon.01G0035440-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTESLVRNKPGMASIKEMPVVQDGPPPGGFAPVRYARRIPTSGPSATAIFLTTFGAFAYGMYQVGQGNKVRRALKEEKIAARSAILPMLQAEEDERFVKEWKKYLEEEARIMKDVPGWKVGENVYNSGKWMPPATGELRPEVW >Sspon.05G0018310-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:75467105:75468157:-1 gene:Sspon.05G0018310-2B transcript:Sspon.05G0018310-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDESNATCGKRLASIGLENTEANRQAYRTLLVTAPGLGQYISGAILFEETLYQSAVDGRKIVDILVEQGIVPGIKVDKGLVPLAGSNNESWCQGLDGLASREAAYYQQGARFAKWRTVVSIPNGPSELAVKEAAWGLARYAAISQDNGLVPIVEPEILLDGEHGIERTFEVAQKVWAETFYAMAENNVMFEGILLKPSMVTPGAEAKDRATPEQVAEYTLKLLHRRIPPSSEVEATQNLNAMNQGTNPWHVSFSYARALQNTCLKTWGGQPEKVKAAQDALLLRAKANSLAQLGKYTSDGEAAEAKEGMFVKNYSY >Sspon.08G0005600-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:17123283:17129918:-1 gene:Sspon.08G0005600-1P transcript:Sspon.08G0005600-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAKSVCVTGAGGFIASWLVKLLLSRGQYKVRGTVRDPDRRPQGPAGASKNAHLKALEGAGERLQLLKADLLDYSSVASAIAGCEGVFHVASPVPSGRSSNPEVEVIGPAVVGTTNVLKACYEAKVKRVVVVSSVVAVFSNPNWPKDKAFDEDCWSDEDYCRKNEDWYYLSKTLSEREAFAYAAKTGLDVVTICPALVLGPLMQSMLNASSKILLNYFKGDRETVENRLRNMVDVRDVADALLLAYEKPEVSGRYICSSHPIKVSDMINILKTLYPTYPYPKNFVETDDNSIYSSEKLQKLGWTFRPIEETLRDSVESYKAFGILN >Sspon.04G0014040-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:51769851:51772032:-1 gene:Sspon.04G0014040-1A transcript:Sspon.04G0014040-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGNIEIGSVREVNVKSGLPATRSTERLELLDDNEHILSNYSFIITVHPEVIDGRPGTLVIESFVVDVPDGNTKDETCYFVEALLKCNLKSLAEVSEGQVIKDQTEPLDR >Sspon.01G0048330-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:105211416:105213259:1 gene:Sspon.01G0048330-2D transcript:Sspon.01G0048330-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATMGMKKGLLVLTLGLAMAATSSAVVYKVGDASGWTILGNVNYTDWTSKKNFLHVPTGHPQRAGGEEGRLRQLHQLDADRHAHLRRRQDRHQEPGHRFFICGVPGHCAAGQKLNIRVLKTRSSDAPSPAPAARSGSAASPSPSTEPSGASASPPASSTDSPPDATATTAPAPNANGAGVSAGHRAVVVAMALAAVASM >Sspon.05G0026360-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:42033148:42037349:1 gene:Sspon.05G0026360-1P transcript:Sspon.05G0026360-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTGGADKPGSGGGGAVKTPSDFLKSIRGRPVVVKLNSGVDYRGILACLDGYMNIAMEQTEEYVNGQLKNKYGDAFIRGNNVLYISTSKRTLTDGA >Sspon.06G0032250-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:81691244:81719596:1 gene:Sspon.06G0032250-1C transcript:Sspon.06G0032250-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAEASELQARLAAAVHALNHDARPSARLAANQWLLALQRSPQAWAVATSLLAAPDPPPPADLLFFAAQMLRRKVQSPGSAFPGLGLAPQLLDALLLAARRFCAAPAPRQLLTQICLALAALALRAEGGVDGLFARMPHLPPPAVLELLTVLPEEAAQDQAGDTGVDAAARCRFTRELLAHAPAVLEFLHGQSEKAPTDDDGVPPHERNRRILRCLLSWVRVGCFSETPAAALATHPLLAFAFNSLQVSFSFEVAIEVMTELVSQHQELPEAFLSKTPYIREVLLLPALANRSEKIIAGLACLMCEVGQAAPALVAEGGSQALALTDGLLRCSLAHFILGVDVNTAKRNVVQELFVPVFSSLLDALLFRAQIDTDSDGAPCIPEGLTQFRMNLEELLLFSGGWDFSSQKIPWKEVEVRMYALSMVADTILQDERSFDFSIIMHFVNILSSRTPVELNGSLFLVYKSFGDVIGSYSKWLSSSQSNIRPLLLFYASGISKSISSNACSLALRKLCEDASSFIHEPQNLEILFWISEGMNKGNLQLEDEEEIISAITHALSSVSEKELKKSSLARLLCSSYSAVEKIIDIDRDQSLRQNPAAYTQSLDLAVRGLYRMSAMFHHLDTSVTSGLVDDDIIIVLLGIFWPLLEKLFGSSHMENVNLSAAVCRSLSSAIHSCGHHFHILLPKVMECLSANFLLFLYVMLIIITKLLACHLISINLLTLLDLIQISAANVIEEFGHKEEYGALCIRTFETLSSASSISALNSSYTCDQEPDLVEAYTYFTSMFIRCCPKEAIVASSSLLELSFQKAAICSTAMHRGAALAAMSYMSCFLEAVLAAVLESPECIPNGSPGVALIQILARCGEGLLSNVLYALLGVSALSRVHKSATILQQLAALCSFCEGTAWKAVLSWNSLCGWLQSTVKSLSSEYIKQGEAEIIVPLWLKVLQDAGSDYLYSRTGDNMRSHQGYMQGKGGRTLKRIIRDFAESHRNVPIPSPS >Sspon.04G0005140-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4D:15512361:15513075:1 gene:Sspon.04G0005140-2D transcript:Sspon.04G0005140-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTYFSWNQIGLVGGDPLMSHKHSPKRKDLPGERNLTKQEKIRQIQTTTPAAPQTKFSAPTSACAASPLRPWHLLYAPPWPVCRIWMCLRRKNERRRREREEREDLSLVKGLVRNRWWVRIMGPHWFSGSYYAPLKAKIKGSVHGPPTHLAAS >Sspon.03G0008390-3C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3C:37535978:37541340:-1 gene:Sspon.03G0008390-3C transcript:Sspon.03G0008390-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding QFLSAARALAVGSMAALLRCTGFIDSLWGCGFLFSQDLDVAIVRATNHVENPPKERHLRKIVAATSIARPRADVAYCIHALARRLAKTRNWIVALKTLVVIHRLLREGDPAFREEFLTFTQRVRILQLSNFKDDSSPEKLECFRVLKYDIEAERLSKQGQGPEKAEGAANNNYLVQYALAQVLKESFKIYCAINDGIINLVDKFFEMPKHEALKALDIYRRAGQQAGNLSDFYESCRGLELARNFQFPTLREPPQTFLSTMEEYVKEAPRMMPVIEPLELPERLLLTYKPEEEEEVREPVPIVEEKLQVVEEPAPVPSSQIASPPKPEIADTGDLLGLGDSNPTVSAIEESNALALAILPTGVDNSTTTTQQDRGFDPTGWELALVTTSSNMTPLSMESNLGGGFDKLTLDSLYDDGTYRQMQQQQLYGSAPPNPFMASDPFTVSNQVAPPPSVQMAAMVPQPQHLPMMIEANPFGPQQHHTGIAPAANPFLDAGLGAFPAVNGMHPQTNPFGGAAQLL >Sspon.04G0019890-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4B:72589900:72598619:1 gene:Sspon.04G0019890-2B transcript:Sspon.04G0019890-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQDETAVRLADTSIQIPEYRPINVFVNFYSKPEYKFRVDRENFFPQPKVNGAVISFKLKNAEEYPPVSSPKSFFSMVNSAFMGKRKMLRKSLQHLCSSSEIEAALDNIGLPVTGPFNPAFSSSVYPACSPPLPLSLALGDNRATRRRRPPRCPGLPRPLRQVFAGDEYPTGMRTPSRPFLLRETERPNPNFELSGMRPIFCGNLDYDVRISEVERLFGKYGRVERVDLKTGFAFVYMEDERDAEDAIHRLDGIDFGRKGRRIRVEWTKEDRTVGRRGSSRRSPTNARPTKTLFVINFDPINTRIRDLERHFDKYGRVANVRIKKNFAFVQFEVQEDATKALEGTNGSHFMDRVISVEYALRDDDEKGERGNGYSPDRRGRERSPGRRRSPSPYGRGRERGSPDYGRSKERGSPDYGRGGRSPDNGRGVSPINGGRGDHGRGGRSPNYDREHREASPRRERREASPGYDRSPRLAIPFGVISYLCTLR >Sspon.08G0022240-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:53934775:53936607:-1 gene:Sspon.08G0022240-3D transcript:Sspon.08G0022240-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIQVPVLGTRKTFWRLSDEATRISRKLALILRNQHSVCKYLTAPLQVSDVWIGSTGNVKLRGVSFTGKGFFSIEHVRDDYKHLSRVLELMIMISGGDINKLPPDYKDFLSLLRWNNLTRKDEFLIVNNAALLPMKNRTEVFLMLHDRIVNYLGQKNRSKKNRILYNLPYKNDWLDTATANAKINQWVVNVKNEYKRTSIDLLRLNRNVRSHLHEYNHDDDIEEILYCEWPMLLIVMETMLHLEGELQDTGIHNKFEGVIINSAV >Sspon.06G0008260-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:43338329:43343715:-1 gene:Sspon.06G0008260-1A transcript:Sspon.06G0008260-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLKAADSTISSSSTWSRRFPPLQKLLRSNSTRKLAQSSNEGAEDKSSPRAVLDGPEQEGGENQVTEECYSTSSHDVSRRGQNGLWRRLSDMKLWLPFLRNMGDDSARGSDVGSTYAEDHKPAWRCFSFQEISVATNDFHPDNMAGRGGYAEVYKGTLSDGQHIAVKRLAKGTPSEQKEKEFLAELGIQGHVCHPNTSYLLGCCVENGLYLIFEFCANGTLASALHGKSGITLEWPLRYKIAVGVARGLQYLHMFCKHRIIHRDIKASNVLLGDDFEPQANYFGLAKWLPKQWTHHSVIPIEGTFGYLAPEYFMHGIVDEKTDIFAFGVLLLEIVTGRRPIDCSKQSLLQWAKPLLEAGQVTELADPNLGDDYDKDQLNRMVAVASRCIMRPAMWRPSMAEVLHFLSTTDECLKEPEKWNIPEDEVDDMDDYRYDMFRISCSLALHQKKKDKSAAMRV >Sspon.07G0006280-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:14581440:14583284:-1 gene:Sspon.07G0006280-2B transcript:Sspon.07G0006280-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPQPKSGLFVGINKGHVVTKRELPPRPSHRKGKATKRVSMVRGLIREVAGFAPYEKRITELLKVGKDKRALKVAKRKLGTHKRAKKKREEMAGVLRKMRSAGTHTDKKK >Sspon.08G0021330-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:37477814:37483237:1 gene:Sspon.08G0021330-1B transcript:Sspon.08G0021330-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDREASILRAKTQAALAEGISWGMAEDAIEESAEDDADEITWQTYKGQLTDRQEKTRSKIVKRMEKIGNMKKEIDAIRVKDISQGGLTQGQQTQIARNEQRISQIMEELDNLEETLNDSIRESIGARSGKAKRGSHKASLEEEDDILSDDDEFFDRTKKKSSQKSSEQQSVETADSLLEKKDVITNDIESKTKLLEEEKHKLSQSDTPDLRDDLDAYMSGLSSQLVHDKIARIQKELSDLQDELDRVVYLLKIADPMGEAASKRDLKPREAKPPTSNDNPRLESKKQNKIGKATAEEKPKDSSSEVGTDKPAKLQTDVSKNQEDGSRPAFAMPKPQWLGDKRIIEPEENFINKEKSDAEEPDNFVDYKDRKTILSSGSGKELEEAAPGLILRKRKSADQSVASEANSSSVESEASVADAVALLLKHKRGLQTSEEMENENEPDSSKREGKKSKQKRVLGPARPDFLEARPESETWVPPEGQTGDGRTALNDRLGY >Sspon.03G0001760-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:4358552:4359450:1 gene:Sspon.03G0001760-1A transcript:Sspon.03G0001760-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding TALAIGDQSFHTGVVPELADYYYVTPHGWVLLVAPGSSPRTTRLWDPRPGERVSLPAMEGELPEYGDDGKCYLSDAPTAASCHVLVLDIRKPSFRYCRVGDDDSGGWKSHDYNIGDRILPPPDYAPPGSLVILQRPPWATPELSFVDYPEPEFPEGCNCRRGNLVASRGELFDVYIQYATRVDLSGAEAGAATPREVKDLGDRAFLLSYPNSQLLVSASKYGIKGNRVYFSHNVLKEMDGGLLCIYDLDEQSLEAVRPCPDIPELLRNPFWVLPTHQDI >Sspon.02G0043950-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2B:98089664:98090525:-1 gene:Sspon.02G0043950-1B transcript:Sspon.02G0043950-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding FLKDKWRNQKGSSGARYSTLALLKRDLHERINGSSRAAPVSTVPDPLLSSFVGNFYEVDLPKDAKKESLAETEVGSDNLEQKAAESVDEPLLPEVKEERTRRSQFVQGLVLSLMFDDIL >Sspon.01G0021500-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:83448882:83449330:1 gene:Sspon.01G0021500-2B transcript:Sspon.01G0021500-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAREAACCTAVSMALTSRVLLVLATTFTVVVVILPATARAAWVDYPSGVPCGVTIPVEQCDPGDAAANSACMDICHYGGCRRGGQCVSLGLARGRGCHCKC >Sspon.06G0015750-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:70463059:70464832:-1 gene:Sspon.06G0015750-2P transcript:Sspon.06G0015750-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MWNPYDLFVDLSPPYSDRLSSLKAMVNNPDIAHDLVRYGLSMTFDRLYTKIILGWVYYLRFPVIAVSLITVLVEFRLSHREAYDGTDVKITLVLLCLALALDYLLPAVIYTTKIIGRLIGIGKARWPDQVAQYNLIGYLARNKKHKKLRWLGTLLVCKDYVDQLWCMKPSKSSREINMLVHGYIAKGWTEHHIKDMATYRAFNDNRGQWTLKQEGCSSSLDWGLRRPFDESVLLWHLATDFCFLHMDPSPAHEAAYEAARRSKEISNYMVYLLFINPEMLMTGARRSQFRAMYSQLKDIPLVVQGVWVEMLCFSAGRCRGYLHAKSLGKGGEFLSYVWLLLFYMGMETVAEKMQRSELQEETDKDPTGDSSSGNNVIQ >Sspon.05G0005210-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:16558232:16567153:1 gene:Sspon.05G0005210-1A transcript:Sspon.05G0005210-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTLPKERSTALPPPTASASTKRESARHEVPKIPHFSGHQLATPHSQSPPQLVPCSVRLIQRLNPQNMGFDKEASSSSSRLDAAPLLPQHGLHGCGGGAGGGHLSSQPKTFANVFIAVVGSGVLGLPYTFSRTGWAAGTLLLLAVAALTFHCMMLLVAARRRIADEHPKIASFGDLGHAIYGAPGRHAVDVMLVLSQASFCVGYLIFISNTMAHLYPIGADSPASPLLTAKALFIWAMLPFQLGLNSIKTLTLLAPLSIFADVVDLGAMGVVLGQDASIWLTNKPPVFAFAGPAELLYGLGVAVYAFEGIGMVLPLEAEAADKRRFGATLALSMAFIAGMYGLFGAMGYLAFGAATRDIITTNLGTGWLSVLVQLGLCINLFFTMPVMMNPVYEVAERLLCGKRYAWWLRWILVVVVGLLAMLVPNFADFLSLVGSSVCVVLGFVLPAAFHLKVFGAEIGWTGLVADVAVIVIGIALAEASSSSSWLDAAPLLPQHGLHGGSPGAGGHLSSQPKTFANVFIAVVGTGVLGLPYTFSRTGWAAGTLLLLAVAALTFHCMMLLVAARRRIADEHTKIASFGDLGHAIYGAPGRHAVDAMLVLSQVSFCVGYVIFISNTMAHLYPIGVDSPASPLLTAKALFIWAMLPFQLGLNSIKTLTLLAPLSIFADVVDLSAMGVVLGQDASIWLANKPPVFAFAGPAELLYGLGVAVYAFEAIGMVLPLEAEAADKRRFGATLALSLAFIAVMYGLFGAMGYLAFGSATRDIITTNLGTGWLSILVQLGLCINLFFAMPVSMNPVYEVVERLLCDRRYAWWLRWILVVAVGLLAMLVPNFADFISLVGSSVCVVLLFVLPAAFHFKVFGAEIGWTGRVADVALIVIGIALAVSGTWTSLVQIFSSSN >Sspon.02G0032790-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2B:154768:156553:-1 gene:Sspon.02G0032790-1B transcript:Sspon.02G0032790-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPRPNGNLTQWPARQRDSLGAARRVRPPTRPQPALLFFRRPAPLPLVASTFSRPPLPPLVSYTRHPHASRPPPVLHLAILPEKRCGPHLPVLLPQEPRFRKEGKASACLCLPGLSSDGVQGGGRLRLPLQGRSHRRLRRRQIQPALQVHAQRVQPRVQVHHRGRVCHQEHQGRRQGRQGPDLGHRRPGEVSSNYKCVLQRGCWRTCRVRC >Sspon.03G0010520-3P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:2533053:2534114:-1 gene:Sspon.03G0010520-3P transcript:Sspon.03G0010520-3P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLSMTTSAAARAQWTPRQNKLFEQALAVYDKDTPDRWHNIARAVGGGKSAEDVRRYYDLLEQDVGRIESGKVPFPAYRCSTGYGTA >Sspon.02G0027850-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2A:101281509:101282009:1 gene:Sspon.02G0027850-1A transcript:Sspon.02G0027850-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKAHLRAQLSHGHLIPSPPLPASLFPSLSLPPKLCPRGRARCSPAPLPAPNIASFPTGRRHPRRRYLLPHRRATSAPPPRVLALADLPAATASSHRPLLSQSSPDLHAAPTPAFSLLARSPPPVDPPAALDLGAHRLTSAYPLRRGGAPPPSVEARPGEPRRAPF >Sspon.01G0002050-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:5861518:5862606:1 gene:Sspon.01G0002050-3C transcript:Sspon.01G0002050-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWTAAVVGVGLVYWFVWVMGAAEVKGKRAVDLKMGSITRDKVQDKYTQYWSFFRRPKETATTAASAEKVPAFVDTFYNLVTDIYEWGWGQSFHFSPSLPGRSHRDATRVHEERVADLLGARPGHRLLDVGCGVGGPMRAIAAHSGSNVVGITINEYQVNRARAHNRKAGLDSPRCEVVCGNFLSMPFPDASFDGAYSIEATCHAPRLQDVYGEVYRVLKPGGLYVSYEWVTTPLYRAEDPDHVECIHGIERGDALPGLRRQDEIASIAKEVGFEVVKEQDLALPPALPWWTRLKMGRVAYWRNSLVVRVLTMLRIAPKGVSEVHEMLYETAQHLTRGGETGIFTPMHMVLLRKPVSTEEAK >Sspon.05G0007570-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:17628896:17634688:-1 gene:Sspon.05G0007570-2B transcript:Sspon.05G0007570-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PHT1.1 [Source:Projected from Arabidopsis thaliana (AT1G80050) UniProtKB/TrEMBL;Acc:A0A178W1K2] MGEQENHKIGGGNAMAVDEAVAAAKRKSLGAADPRLQGISDAIRVVPHFPKPGIMFNDITELLLRPGVFKDAVDMFVERYRGMGIAAVAGIEARGFIFGPAIALAIGAKFIPLRKPKKLPGEVFSESYVLEYGMDCLEMHVGAVEPGERVVVVDDLVATGGTLSAAIRLLERAGANVVECACLIGLPKYKDFYKLNGKPVYILKSFLSSPPKKLTTSYICTHEQGGMDTENSAVICVEAKARCCIFMESVRWIG >Sspon.08G0017500-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:1929598:1938240:-1 gene:Sspon.08G0017500-1B transcript:Sspon.08G0017500-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVRCDVCGAAPAAVLCCADEAALCSACDRRVHRANKLAHKHRRIPLLQPASGNDDSSDAAAAEAPLCDVCKERRGLVFCVEDRAILCPDCDDPIHSANDLTAKHTRFLLVGAKLSAALVDQVPASPEDDDCARGDGAACEPDAVPAVCAQGSCAPRPRLLSPAAAAVAASRTTSPTSAPAGASTTSSSTTPRSQRHRYKAGYSDDGHEQVPSLDADLFDVVAGGRPGKRGSAWSSGGGALGFDKVPASVVVDPTAAKQQQGCVRERSWNSDSDSDVFAVPEFPQPPPPKKARPAPAPAPTFWLAMQVRCDVCGAAPAAVLCCADEAALCSACDRRVHRANKLAHKHRRIPLLQPASGNDDSSDAAAAEAPLCDVCKERRGLVFCVEDRAILCPDCDDPIHSANDLTAKHTRFLLVGAKLSAALVDQVPASPEDDDCARGDGAACEPDAVPAVCAQGSCAAKASALESGGGGGSSISDYLTNICPGWRVDDLLFDDPAFSAASKAGYSDDGHEQVPSLDADLFDVVAGGRPGKRGSAWSSGGGALGFDKVPASVVVDPTAAKQQQGCVRERSWNSDSDSDVFAVPEFPQPPPPKKARPAPAPAPTFWCF >Sspon.06G0013480-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:70342315:70343279:1 gene:Sspon.06G0013480-1A transcript:Sspon.06G0013480-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAC domain-containing protein 30 [Source:Projected from Arabidopsis thaliana (AT1G71930) UniProtKB/Swiss-Prot;Acc:Q9C8W9] MDEQQQQQAPCVPPGFRFHPTEEELVGYYLARKVTSQKIDLDIIREVDLYRIEPWDLQERCGYYGGGGAGGQDQEEPTEYYFFSYKDRKYPSGTRTNRATAAGFWKATGRDKPVLSSTTTSRSSAGSISVVIGMRKTLVFYRGRAPNGRKTDWIIHEYRLQSNEHAPTQEEGWVVCRAFQKPMPNQQHIRHACYAAAGGGYLPGSYGSTVPATYYYDGPVPAPRLLMGGGAAAAPPPAPHDRGGLAAESKLQVQLLADMPPLQSPSVDGVVQHHSYNADHVAAAIAA >Sspon.05G0017930-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:75716189:75719749:1 gene:Sspon.05G0017930-1A transcript:Sspon.05G0017930-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRGLMEQDLSKLDVTQLHPLSPEVISRQATINIGTIGHVAHGKSTVVKAISGVQTVRFKNELERNITIKLGYANAKIYKCEDNRCPGPMCYKAYGSGKEDSPLCDVPGFENCRMKLLRHVSFVDCPGHDILMATMLNGAAIMDGALLLIAANESCPQPQTSEHLAAVEIMRLQHIIILQNKIDLIQESAAMNQHEAIQKFIQGTIAQGAPVVPISAQLKYNIDVICEYIVKKIPIPERNFTSPPNMIAIRSFHVNKPGSEVNDIKGGVAGGSILKGVLRVNQKIEVRPGIVMKDESGRIKCTPIYTRIVSLYAEQNELQFAVPGGLIGVGTTMDPTLTRADRLVGQVLGEVGSLPDVYIELEVNFFLLRRLLGVRTSGTERASRVSKLVKGEILMLNIGSMSTGAKVAAVKNDLAKLQLTAPVCTNKLEKLALSRRIEKHWRLIGWGTIQAGTTLDVPPCPL >Sspon.06G0013420-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:53641434:53645470:1 gene:Sspon.06G0013420-3D transcript:Sspon.06G0013420-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MWTRLFQVKQIRHGKEVKIDLMVMENLLFGHNISRIYDLKGATFSRRVTDSNDHDTVYLDQNYVEDMGFSPIYIGGRTKHLLQRAIWNDTAFLTVSIKHFFATVTGSYSVNVMDYSLLVGVDKEKHELVFGIIDYLRQYTWDKQLETWVKTSLVVPKNVSPTVISPKEYKKRFRKFMAKYFLSVPDTWSPDNSSKQCKPVGQSNHKLAEVQNDIFPVDEVLHQAVQAWYAWTIDECEFMMSSLYFGQAILIAALRGRDWIWWADEAQLFPPEAEPYPKYGIWIALLLTMISLAFGPARQLNQFGIATFAGSGSLHPIEVQRMILAQKTFTKRLFLMIIQPNGDWGQISKRSITRKESHKSGHKADNLRTGGAKLAMAAKVGEHLPALDDLIEEHPNPATDDLGSLAEAVSDVVAVAAHGDDPVEVLLEAVDGGPGLAELVHHDPASAAAADDASFHLLGDALSQAGDGRDVAAARGAELVVVGDGGEVGEAGDGAGDEEDEEEGGGVAQRVEDAAEAVEGVELELERGAVVEQERGRVEPGVGERAVVLVERDGVAPGRGDGALEVARGRGVGVGAAGATGAVEPVAERGGDAGGGGAVGVEPRGARAGELAGVPQAGGLVHVLVQPALSRRQVEALHQVEELRVQGTQQRRRLLQEGRR >Sspon.05G0021020-3P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:77994664:77998454:-1 gene:Sspon.05G0021020-3P transcript:Sspon.05G0021020-3P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPKCGYIRRAVAAVAAASSKGDDDDGDPTATVVELDLSALPGGADAFEKAARYCYGANFEITPANAAALRCAAAFLDMRHPSADLGRRVDEFLARSGLRTLPGAVAVLRSCEAPDDLILRAAAEELGVAHRAADAVALGVCREALFPTTTASSSRPPGWWKAELAALSPRSFGKVVKALRCRRADPAVLAAAAGSYAELVLAEVLAGPRDCADQRALLESVVDVLPSSADAPGIPAAFLCRLLHAAVATEASAKACRDLELRVAAVLDQATAEDLFGVALDAAGERVKNADTVRRVVTAFVERQRQAAAPESERRRSRRASMSGTTAATELGAGTAGALEKVARTVDEVAAEIATEEALPISKFVGVAGAVPKDARPSHDCLYRAVDIYLKTHPALDEIQREKVCSVMDPLKLSYQARLHASQNKRLPLQAVLSALYYDQLKLRSADAAAATSMGDVVADTQSSSSAAGRARAQAMADAALARENEALRLELARMRAYMSGMQHSKGCESSTPSSPSLTAKKANFFGSELARMRAYMSGMHHSKGSGSTPPSSPSRTAKKASVLGSVSRSLSRLNPFRGGWTTNHTGSIAAGGRQCKTMHHHHVVTPKRRRSSIAKDGFNCNFETPFVPSMPQVQGSSPCSDLPPELLGLVFLRHPVCVEPSLSSYRLLHVSLCA >Sspon.04G0034400-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:73617656:73619854:1 gene:Sspon.04G0034400-1C transcript:Sspon.04G0034400-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding FSMKGRSNGGDSSLVYGTAIAIAMCVAILFGCLAVTAHTGRSPHQLLSKVGRRTTRALYLASSGSNTTSAYHTEDNCFEALDDETKTKLITIIFCTAKCYCHSDRKEDVCYCCQPGQGCYDTLQECQAKCPVCNPTCPDGITVDGQQLHGAKNGTLI >Sspon.01G0034670-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:15999198:16007371:1 gene:Sspon.01G0034670-2D transcript:Sspon.01G0034670-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASTSTSLSFGFSSHHRPTPRIRPQPQPQSQPPKPLRLSLTLTPATAKPRLAAAPDDVAVADIVEKDWSFLDSAGANLQSALAAGALSPASRVLALTPTASFVSSLLASSPCELLVAAHESMYVLAGVKEAHDEVRCFHLEGGGGGRGGGVVEAVPERFDDFDVVFVCYFPGMGVSAAALLKSLAKRCSKGARVVMFLDQGRQNFEQQRREHPDVVTSDLPSKAFLEKAASGNKYEITEFVDEPSFYLAVLQFQG >Sspon.03G0008860-3C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3C:39346306:39349884:1 gene:Sspon.03G0008860-3C transcript:Sspon.03G0008860-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTRHAAGTLPPRALAASTGDAVVSPVPSSVRDALLDPHWRRAMEEEYAALLTNQTWELVPRPPGSNVVTGKWIWTHKRRADGTLECYKARWVLRGFTQRPGVDYDETFSPVVKPATVRTVLSLALARSWPVHHLDVKNAFLHGLLTETVYCSQPAGFVDSTRPDMVCRLNRSLYGLKQAPRAWNHRFAAFLLTLGFVEAKSDTSLFVYHHGADTAYLLLYVDDIVLTASSEPLLRRIIAALQQEFAMKDLGELHHFLGITVEHRPAGLLLHQRQYTRDILERAGMTDCNPCSTPVDTQGKLSEVEGPPVSDPTAYRSLAGALQYLTFTRPDITYAVQQVCLHMHDPREPHLTALKRLLHYLRGTLDYGLLHRASSTDLVVYTDADWAGCPDTRRSTSGYAVFLGGNLVSWSSKRQSVVSRSSAEAEYQAVANGVAEASWLRQLLAELHTPPSRSTLIYCDNVSAVYLSTNPIQHQRTKHVEIDLHFVRDRVAMGEVRVLHVPTTSQFADIFTKGLPSSTFDEFRSSLNITGG >Sspon.07G0007350-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7B:17041276:17047813:1 gene:Sspon.07G0007350-2B transcript:Sspon.07G0007350-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRAAVEIAERFSFYGVSANLITFLTGPLGEGVAAAASAINAWNGTAQLLPLLGGTLADSWLGRYRTIVLASLVYILSLSADESINKSPLLRRRCLPARTTTPTPCWRAPTTAWPATRRRWTTAAAPPTAAPPAAGAVEIAERFAFYGVSSNLINYLTGPLGEGTAAAAAAINAWNGVAQLLPLLGGALADKWLGRYRTIVIASLLYVLGLGMLAFSTLLSSGSGHQCTTAGGQTCAPSTLKVTFFYVSLYIVAVAQGGHKPCVQAFGADQFDQSDPKETVSRSSFFNWWYFGMCAGTAVTLVFLSYVQDNIGWGLGFGIPCAVMAAALAVFLLGTRTYRYYVTAGDRGLFARASEAFAEWRSRLKALQHADRPAAEGAPGFSASVDEEEQAAVSNNAGLVKEAKAIIRLFPIWATCLLYAVAFSQSSTFFTKQAATLDRRVGRHGLQVPPAALQSFISITIVVFIPIYDRVLVPVSRRYSGKPSGITMLQRIGTGMFLSLLSMVIAALVEKHRLGVARDAGLVDKPKVPLPMSLWWMVPQYVLFGAADVFTMVGLQEFFYDQVPDKLRSLGLALYLSIFGVGSFISSALVSVIDRMTTARGGSWFNNNLNRGHVDYFYWLLAALSAVELLAYVFFAVTYKYKNKGA >Sspon.01G0002890-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:71475085:71476710:1 gene:Sspon.01G0002890-2P transcript:Sspon.01G0002890-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGGDGLQVLSALDAAKTQWYHFTAIIVAGMGFFTDAYDLFCISLVTKLLGRIYYTDTSKENPGSLPPNVAAAVNGVAFCGTLAGQLFFGWLGDKLGRKSVYGMTLMLMVICSIASGLSFGHTPTGVMATLCFFRFWLGFGIGGDYPLSATIMSEYANKKTRGAFIAAVFAMQGFGILAGGIVTLIISAAFRAGYPAPAYKDDHFNSTVPQADFVWRIILMLGAAPAVLTYYWRMKMPETARYTALVAKNAKQAAADMSKVLQTEIVDEQEKLDEMVTAESNTFGLFSREFARRHGLHLVGTATTWFLLDIAFYSQNLFQKDIFTAINWIPKANTMSALEEVYRISRAQTLIALCGTVPGYWFTVALIDVVGRFAIQLMGFFMMTVFMLGLAIPYHHWTTKGNHIGFVVMYAFTFFFANFGPNSTTFIVPAEIFPARLRSTCHGISAASGKAGAIIGAFGFLYAAQNQDKNKTDAGYPAGIGVRNSLFVLAASNMLGFVLTFLVPESKGKSLEEMSGEADDAEEEAVGSRAVRPSETQMV >Sspon.07G0036030-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7D:20072055:20072210:-1 gene:Sspon.07G0036030-1D transcript:Sspon.07G0036030-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQPDSHSGGSSSPTLDEQMDGRFGRPQPPVLPRDRNRIRSSASAAELVEQ >Sspon.01G0006130-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:16288626:16291116:-1 gene:Sspon.01G0006130-1P transcript:Sspon.01G0006130-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ASRAQSVPVRRTNQSVQELEDYAITKARETTHKVNDCVRAAEAIREDATQTILTLHRQGEQIMRSHQVAADIEQDLTVSTDCTAAVLLTIESHLGYDRKTDPINRSEKLLGSLGGLFSKSWRPKRNQQIKGPVSGNNYSATTANHTEQRWKLGIAPARQERPSHVQTTPVSAIEKIQAERSNQDDALSDLCSTLGQLKEMAVDMGTEIDRQNKALVPFSDNVDELNFRLKGANQRGRRLLGK >Sspon.06G0022790-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:38741926:38742584:1 gene:Sspon.06G0022790-2C transcript:Sspon.06G0022790-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKGKSTKGRQRIEMKSIEGEEARQVSFSKRRPSLFKKASELSTLCGAEVAVVTFSPGGKCFSFGHPSTSSVAYRFLAVHTFDGLTMGSGSHGSQGSIGTSHEMNQQVMELQQLMETEKRKKEGAVEAMERERIHELEELRKELCMVQNMVKERCHANKKAATTISYAYGALASIVWWPECRNHVYHFPKFEQWPT >Sspon.08G0002620-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:5704837:5706048:1 gene:Sspon.08G0002620-3C transcript:Sspon.08G0002620-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MERSRRLLLSDYDGAIESPLPSPPPPSSATTFRPGVAVVVGILTSVFSITFLLLLYAKHCKRSAAESSGPYGSAGGHGGGFGSSGAGGAGGGDRRNSGVDRAVTKAAAAATKEPAPPPAPAPTPAPSGRRISGRHSTGSVRAPGRVGPASRRSADGGVAVGCFDGAKVRKDRVLLMEPAAVVAEPDPVAFDRRFGHRILVSTAGGCEGETAPAAQERWSDLRPSDLMFVRAEFLVTEAGRYSCSAAVVNSGNARSAIGVRSLSELAAGVRRLPPIRAGACEGEARAGSGARRWPGSSWWAPRGTPPARNGPIA >Sspon.05G0011990-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:34951903:34953200:-1 gene:Sspon.05G0011990-1A transcript:Sspon.05G0011990-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPCTSNAAFFLLLLCACCVAALACDPIRVHRLYRSCPLGQLEPQLQASPIDISTAEAVLNPALQPLHRDYTVANATLVDNVFNIALRFDDDGAAGGVRTDGKVYRLKQMHWHSPSEHTINGRRFPVELHMVHASDDGNVTVVAMLYRFRTSWLRCTPRAAERRRAPAQFPPGTLYSHTYYRYVGSFTTPPCTENVVWSVLAQAAALMAPLEQAYRRNNRPTQPTNGRVVQVYHRFMNKKTAP >Sspon.02G0020410-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:67966448:67969741:-1 gene:Sspon.02G0020410-2C transcript:Sspon.02G0020410-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding IYSSRSKESVQADLILSFPEERPVVVLSEMGKTTNKLLMAGEKAVRCGATNVSELDELSFVKELHLGYGLLDELEQLLKGIAMMKELTLRTRDYLVSFGECMSTRIFAALLNKIGVKARQYDAFDLGFITTEDFTNADILEATYPAVAKRLHGDWINDPAIPIVTGFLGKGWRSGAITTLGRGGSDLTATTIGKALGLREIQVWKDVDGVLTCDPNIHPKAKPVPYLTFDEAAELAYFGAQVLHPQSMRPAREGDVPVRVKNSYNRRAPGTLITKERDMSKTWASLLIVWLPVKSAYL >Sspon.01G0030020-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1A:104367743:104368898:-1 gene:Sspon.01G0030020-1A transcript:Sspon.01G0030020-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GFHQGHPLPMPSAGRGHGTMTGYRRPRVVPVTSMATAWSRPHHHLQDRRDPCNEAKDDVTLEALSLLARSLSLIFMAPGLAPMTTAPRWLPRHRQLGVVGQLAWGLGANFNGAKLCYLGASVDGAKP >Sspon.07G0009430-2P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7D:23697100:23697476:1 gene:Sspon.07G0009430-2P transcript:Sspon.07G0009430-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LGKTFWANRAEQRPSGIVGVIGAKRSVSFSRLGSSSSIPVGRNGTGNRIAMASDSYDSSGKNKSLETARRLLEVTSTTEQEAEAEQLPSLPSGFYDAFVLRGIHVVQALQPGTLLCHFTVPSRLL >Sspon.07G0022110-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:6638858:6643068:1 gene:Sspon.07G0022110-1B transcript:Sspon.07G0022110-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MELTCDLRKAIRTFQSDGVEKRFQIGRLRLDIGGGKARAKTGRVPPTRKRRERLDSLLPATGPQLAADAVPSQVKVRSEEPCDLRCARPKMADPELEAIRQRRMQELMAQRGGANEQNAGQQKAQEDAKQEAEERRQMMLAQILSSEARERLSRIALVKPDKARGVEDVLLRAAQTGGISEKVSEERLISLLEQINTHTSKQTKVTIQRRRSVLDDDD >Sspon.05G0000700-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:1896348:1899977:1 gene:Sspon.05G0000700-2D transcript:Sspon.05G0000700-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNWCCLPSRRAKEEENPYSNTIGGIYSEKNIRLFSYAELRSATDNFNRSNKVGRGGFGIVYKGTIRNGREVAVKVLSAESRQGIREFLTEIDVITNVKHPNLVELIGCCVEGSNRILVYEYLKNSSLDRALLGSNSEPADFTWSIRSTICLGVAQGLAYLHEEIASPIVHRDIKASNILLDKNYVPKIGDFGLAKLFPDNVTHISTRVAGTTGYLAPEYAWHGQLTKKADIYSFGILILEIVSGTSSSRSILMDDKVLLEKVSLFHCVLEIEFPGRRGLDRIPRWGMGWHQKTAGNAWELYEAERLKELVDPALVDYPEEEVIRYIKVALFCLQAAAARRPTMPQVVTMLSKPIRINESELTAPGYLHENSRRSPGSKATVSSNYRFKNSASEDSNMFSTVVPATVTQMSPR >Sspon.03G0018470-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:57023419:57024354:-1 gene:Sspon.03G0018470-1A transcript:Sspon.03G0018470-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHEMACFFGPAPPVAALSPFHEGQAESHGHSSRRTGHARGHWRPAEDAKLKDLVAQYGPQNWNLIANKLHGRSGKSCRLRWFNQLDPRLNRRPFSEVEEERLLAAHRACGNKWALIARLFPGRTDNAVKNHWHVLMARKQRERSGSGAPRRRKPSSSSAVATRHHVSSPLPFRAGIHPEAATRARAYSDDGESEESECTSGTDLSLGSVCGAVPCFHHQSSYDAGATCLLGSSPFLHSMLCSVPSSARPRAAASDDGCGKAKLALPFFDFLGVGAT >Sspon.02G0012360-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:31059248:31066542:1 gene:Sspon.02G0012360-2B transcript:Sspon.02G0012360-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCRRPCPSVLLRLFATSCRRSSLHPSQPHLPRATALRTLPVAKKVPFNVSAHGRSWSDPYHWMRDTSDPDLQAFLAAENAYADAFVGSAGGGGLRARLAAEMRARLPASAVTPPQPWGPWFVSRCVVVHLLYYQYVPEGKEYPVLSRKLRPSSDLVGTLLDYLSGSEKEQVLLDWNDVAEKNGYVHIGTCRISPDHRFLAYTVDTSGGELFSLEVKDLLSEHVIFSPPDKGIVFVIESDNLREGLWPIRKRVDKVQYFLEHHDGFFYILTNAPVNDTETTTEGYYLARCRAEKSLVDRWQIVTLPASDCTIQDMDIFHDNLVLYLQKNGSPLFCSINMPIDVDVQEPKELDDLNPWFFPIPSELCSIVAGSNNDFMSSTYRLVVSSPVVQIPDLTVDYDLRKKTFTILHQEEVTTLSANLGSLGFQSNASSIQQNLHLVENSQSWSDLSKLFTCQRIEVISHDGVSIPLVILYSREAHCHGESPGILYGYGAYGEDLDKSWCSERLSLLSRGWVLGFADVRGGGDLSWHLAGTKANKINSMKDFAACGMHLIKEGFVHQNRLCAIGCSAGGLLVGAVINMLPDLFSAAVLKVPFLDICNTMLDPTLPLTVLDYEEFGDPNIPAEFEAISSYSPYDNLAPGVCYPPVLVTASFNDTRVGVWEAAKWVAKVRDITCTSCSQSVILKTNMQSGHFGEGGRFMHCDETAFEYTFLMKALGLDGIAMTERWSRDIGGGGGGGGG >Sspon.04G0008480-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:25420730:25422841:-1 gene:Sspon.04G0008480-4D transcript:Sspon.04G0008480-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFLALDLIYVSNLAHIEIKTAPADFRFPTTNQTRHCFTRYVEYHRPSKERVERESIPVDQAKRE >Sspon.04G0004450-1P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4A:13299768:13299996:1 gene:Sspon.04G0004450-1P transcript:Sspon.04G0004450-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RNKALVSELSKPPPGSKDLYFPTQYSQSFHAQCMACLWKQNKSYWRNPSYTAMRIFFTTVVALIFSTIFLNFGKK >Sspon.01G0002930-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:6484987:6485853:-1 gene:Sspon.01G0002930-2B transcript:Sspon.01G0002930-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIITVVGILAAFALLASYYAFVTKCQLLRAVWSRHPPWHRRARGAGVGGSRDEPSFVAGRASATEDGRRGLGLPLIRMLPVVKRRDDALAGETIVIEVRGEHERWFSSHGTTTGARPAGGGGGRGPRHPKQPPRRNKAESVGDEAIETRKTTDAEFAAVQPLRRSLSLDSSCDKHLYVSIQELLATQRQVRERDPSVHS >Sspon.04G0003480-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4A:10749580:10750137:1 gene:Sspon.04G0003480-1A transcript:Sspon.04G0003480-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VLSKSFTITNNCRYTVWPGILSSAGSPSLETTGFELPPGASQTLQAPAGWSGRLWGRTLCTTDDTNNKFACATGDCGSGAVSCGGGNAAPPATLAEFTLDGSGGMDFYDVSLVDGYNLPLLVAPSGAAPGAVGTNCAPTGCLVDLNAACPADLRVVVTSSSAAADVNADDVVACKSACLAFGSPQT >Sspon.01G0037360-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:96266407:96269521:-1 gene:Sspon.01G0037360-2C transcript:Sspon.01G0037360-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHEFQAFLAAWSEACNSSDDRSVFLVPEGKAYLLMPVIFRGPCRAVSITAMIKGTLEAPSNRSVWLDHNLQEWITFEGIDRLRVLGGGTLNGNGLQWWINSCKLNKSMALYFRRCNHLVVEELEVRDSMQMHVAIAYSWNVVVSKLFITAPGWSPNTDGIHVSNSREVSISKCTISTGDDCISIVTGSMFVRVTSIFCGPGHGISIGSLGANNSWAHVSDVLVEKATLLGTTNGVRIKTWQGGHGCAERISFQDISMHNVTNPIIIDQNYCDSKRPCHEQGSAVALRNIRYRNIHGTSASKVAVNFMCSGALHCDGILMQDIYLVGEGRYATCSYTNATARIYSYGFALFTGNDRMVA >Sspon.04G0026940-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:53886711:53890888:1 gene:Sspon.04G0026940-1B transcript:Sspon.04G0026940-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVILSSAAPRTLTPPARPRRQPRTSGLRGGLAARLSGALGLAHAGAGAALAAPLSYEEALRLSSDSGGDGAGFALPDLDLNLNLDGLVDFVNENPLVVAAGVAAVALPLLLAQILGGGGSSKPYAVVSARAAYQRLLEEPDAQLVDIRPLKDAREAGTPDLKEAKKKAAAVPYNGEDKNGFLKKLTHRFKDPENTTLVILDKFDGNSGLVAELVTTNGYKAAFAVKDGAEGSRGWKSSNLPWKAPAKGFSFDLGELFGDGSDGLPVTIGLAAATGLGVLAYTEIETLLQFLGSAAVVQLVVTKLLYAEDRQKTLKQIDEFFNKKVAPKELVDEIKEIGQALLPLPGDAKSQPATATPVAATPTAAPTEAAPAAATPTPLSPYTNYPDLKPPSPPGSTVTEGPAVVNSAPVADASTESSPPPTRRPQSPYPNYPDFKPPSSPSPSPP >Sspon.07G0019620-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:74125645:74127087:1 gene:Sspon.07G0019620-2C transcript:Sspon.07G0019620-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AMVQIKPAEVSLEAGNLAGHSAELDDDGRPRRTGTVLTASAHIVTSVIGSGVLSLPWAVAQLGWAAGPPVLLVFGGATYYTSTLLAECYRAGGGGNGDPAATGGRRNYSYMEAVRAILGGWKVSFCGVIQYANLAAVAVGYTIAASISMQAVWRANCFHARGHADACRSSSVPYMIAFGATQIVFSQIPDFHQIEWLSIVASVMSFTYSGIGIGLAVLQTVANGGFRGTLTGVAVGAAGVTVAQKVWSTMQALGNIAFAYSFSNVLIEIQDTIKAPPPSETAVMNKATALSIATTTAFYALCGCMGYAAFGNAAPDNLLTGFGFYEPFWLVDVANAAIVVHLVGAYQVFCQPIYAFVESRAAAAWPESAFISKELRVGPFAPSALRLVWRSAFVCLATVVAMALPFFGSVVGLIGAFTFWPLTVYFPVEMYIKQRAVTRGSTEWICLKALAAVCLVVSVVATAGSISSFVGAFKVFRPFSG >Sspon.07G0013450-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:54128891:54130490:1 gene:Sspon.07G0013450-2B transcript:Sspon.07G0013450-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding PMDPNFNGVWSASEIDMVKSFIASHNTNNTYTNDTNKNHKDIVDELQARLPGKEKHQVIQLYVHLVDEMNTMQSNNQQVVVSNALVNDNFGAPMEDTDMDNMDMFHGYILDDVEAMKMVEEPPHKLNTIPKKKRQYPVAWTHEEHRNFLRGLEVYGRGSWKNISRYFVPTRTPIQICSHAQKYFHRKECTTRKQRFTINDVGLYDTEPWVQKNSSSSEALAFGHNAYSTNYFDFEGQHTVLNKLTHASQESSRQVATWTRGQHIIGSSSIDPTMVQTNSLGWEALAFTSGANNTNCYEFDGQYDAMNNLACANQASNNQVATWTRGQQTTCPSVAPMAVQNTSPSWEVLSFAGSAYNTNYYDFDGQQGALNNITRGQ >Sspon.05G0019450-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:78103909:78105104:1 gene:Sspon.05G0019450-4D transcript:Sspon.05G0019450-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative phosphatidylethanolamine-binding protein, Rice TFL1/CEN homolog, Control of inflorescence architecture, Repression of flowerin [Source: Projected from Oryza sativa (Os11g0152500)] MSRSVESLVVGRVIGEVLDFFSPCVNMVVTYNSNKLVFNGHEIYPSAVVSKPRVEVQGGDLRSFFTLVMTDPDVPGPSDPYLREHLHWIVTDIPGTTDASFGREVISYESPRPSIGIHRFIFVLFKQKRRQTVAVPSSRDHFITRQFAEENDLGLPVAAVYFNAQRETAARRR >Sspon.06G0000020-3D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6D:2576642:2580083:-1 gene:Sspon.06G0000020-3D transcript:Sspon.06G0000020-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHHGHPSISLLPFLHSEFRARAGSTQQTASTAADSTSRQQLAPMSCAAAFSLLIALAASVTPAASQGAGDAAVLRAFLASLPPASQRVLLPSWNATTTNSSVAGPSHCAFLGVNCTVTGAVAAVNLSGQGLSGELAASAPGLCALPALTTLDLSLNSFTGAIPAALTLCSALATLELRNNSLSGAMPPEVAALPALTYLSLSGNGLSGPVPEFPARCGLQYLSLYGNQITGELPRSLGNCGNLTVLFLSSNKIGGTLPDIFGSLTKLQKLYLDSNLFTGELPASIGELGNLEKFMASMNGFNGSIPESIGKCGSLTTLLLHNNQFTGTIPAVIGNLSRLQRLTIKDTFVTGEIPPEIGKCQELLILDLQNNNLTGTIPPELAELKKLWSLSLYHNMLHGPVPAALWQMPQLEKLALYNNSLSGEIPAEINHMSSLRDLLLAFNNFTGELPQDLGLNTTHGLVRVDVMGNHFHGTIPPGLCTGGQLAILDLALNRFSGSIPNEIIKCQSLWRARLANNHFNGSLPSDLGINTGWSYVELGGNQFEGRIPSVLGSWRNLTVLDLSRNSFSGPIPPELGALTLLGSLNLSSNKLSGPIPHELGNCRRLVRLDLQNNLLNGSIPAEIISLSSLQHLVLSGNKLSGEIPDAFTSTQGLLELQLSDNSLKGAIPWSLGKLQFISQIINISSNMLSGTIPSSLSNLRMLEMLDMSENSLSGPIPPQLSNMISLSAVNVSFNQLSGLLPAGWAKLAELSPEGFLGNPQLCIQSENAPCSKNQSRRRIRRNTRIIIALLLSALAVMASGLCAIHYMVKRSRRRLLAKHVSVRGLDTTEELPEDLTYDDILRATDNWSEKYVIGRGRHGTVYRTELAPGRQWAVKTIDLSQIKFPIEMKILNMVKHRNIVKMEGYCIRGNFGVILSEYMPEGTLFELLHGRKPQVPLDWKVRHQIALGAAQGLSYLHHDCVPMIVHRDVKSSNILMDADLVPKITDFGMGKIVGDEDADATVSVVVGTLGYIAPEHGYNTRLTEKSDVYSYGVVLLELLCRKMPVDPAFGDGVDIVTWMRLNLKHADYCSVMSFLDEEIMYWPEDEKAKVLDLLELAISCTQVAFESRPSMRE >Sspon.07G0016660-1P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5C:88978429:88979332:-1 gene:Sspon.07G0016660-1P transcript:Sspon.07G0016660-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LYRSPGKTGSHFLPSSELFSPKEKGDIMLSTTCWCIMLASLFAMACAFGPAQVLKMYGLPYLVFVMWLDLVTYLHHHGHQERLPWYRGEEWSYLRGGLTTVDRDYGWINNIHHDIGTHVIHHLFPQIPHYHLVEATKAARPVLGRYYREPQKSGPLPLHLLAVLLRSLRVDHFVSDHGD >Sspon.05G0010210-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:29213229:29214792:-1 gene:Sspon.05G0010210-1A transcript:Sspon.05G0010210-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSIAADADRRPHAVCVPFPAQGHVTPMLKLAKILHSRGFHITFVNTEFNHRRLLRSRGAGALDGLPDFRFAAIPEGLPPSDADATQDVPSLCRATMENCLPHFRSLLAELNSSPDAPPVTCVVGDDVMSFTLEAAREIGVPCALFWTASVCGYMGYRYYRDLMEKGIFPLKDAEQLTNRFLDTPADWAPGMGKHFRLKDFPSFMRSTDPDEFMFHFALKVTEQIAGADAVILNTFDELEQEALDAMRAMIPSSASIHTIGPLAFLAEEIVPQGDPADAFGSNLWKEDVSCFDWLHGRAARSVVYVNYGSITVMTNEELVEFAWGLANSGHDFLWIIRPDLVNGDAAVLPPEFLEAIKGRGHLASWCPQEVVLRHEAVGVFLTHSGWNSTMESLCAGVPMLCWPFFAEQQTNCRYKCVEWGVAMEIGHDVRREVVEEKIREVMGGEKGKEMHRRAVEWQETGLRATRSGGRSYANLDKLVADVLLSGGGKSS >Sspon.06G0022820-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:36635248:36636370:1 gene:Sspon.06G0022820-3D transcript:Sspon.06G0022820-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPLPSSCSHARMAVVVALLLMTTAAPAAVALLKDDIEFMWGPDHSFFYDDGNTLALCLEKTHGSGFRSKGAYLYARYDIDVKLVANNSAGTVTTVYLTTDDVRPGYHDEVDMEFLGNVTGEPYTLHTNIFVDSVGNREQQFRLWFDPTKDFHTYSVEWTPKHIIFLIDGTPIRVYKHESSRGGTFPTQRHMRLDGSLWNADDWATQGGRVKTDWTHAPFYAYYCNLRVTPCAPSSSTGVASCSDEPPASGALQKVRVEHLLYDYCEDQNRFKDTGLPKECTAD >Sspon.04G0020770-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:75760863:75769824:1 gene:Sspon.04G0020770-2B transcript:Sspon.04G0020770-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding TMAMATQGLADQDHHHQLSEPSTNSSSTSHHGAAAASSIATNRWGPYSGAGDFASNMAVILAALLTALALALALNAAVRYLQDRLRCSSNLIKKNIVGEDICQVAMAAMAPQGQAEHEHDHRSEPNSSHPDPGAAATSSIATNRWGPYSGAGDFASNMAVILAALLTALALALALNAAVRYLLGRSRRARQDCIGSLKREPTLVSTYGKQGGRAVIISSRRGTPCASCRPCRQGFHARCIERWLAGGRRSSCPTCRAPAVVAAGSPVAAAQPEAAGASSSCSTTRSIGVWLIQSGWARCHHQFADGDAVRVMPAYSTRAASSAGSRGDAARPA >Sspon.03G0013200-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:46478125:46483256:1 gene:Sspon.03G0013200-2B transcript:Sspon.03G0013200-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAPLLLALAVLAAAAWPSLAAEGPAAALQDPAELLRRAKEPAFADWMVGVRRRIHENPELGYEEFQTSELVRRELDALGIPYRHPFAVTGVVATVGTGGPPFVALRADMDALPLQESVEWEHKSKVPGKMHGCGHDAHVAMLLGSAKILQEHRDELMGTVVLVFQPAEEGGGGAQKMIEAGALENIDAIFGLHIADSVPIGVLASRPGPIMAGSGFFEAVISGKGGHAALPHHTIDPILAASNVIVSLQQLVSREADPLDSQVVTVGKFQGGGAFNVIPDSVTIGGTFRAFLKESFNQLKQRIEEVIVSQASVQRCSASVDFLTKDRPFFPPTINSPELHDFFVNVASEMVGSRNVRDRQPLMGAEDFSFYAEAIPSTYYYFVGMYNETRGLQAPHHSPYFTVNEDALPYGAAAQVALAARYLLEHQQPAATTDKAKPHDEL >Sspon.04G0018500-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:3280907:3287923:1 gene:Sspon.04G0018500-2B transcript:Sspon.04G0018500-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQYREAAVVPRESILRAEAEWLSSIKADLVVSDVVPIVCRVASDLGVCSVCIGNFSWDFIYAEYIMEAGYHHRSIVWQIAEDYSYCDILLRLPGYGPSMLNYIWLLFFLKIVYSLFFGFQENRVLFHSIVPAFRNVIEVPLVVRGLRKSRSEVRKELGIEENAKVLVFNFEGQPAGWKLKQEWLPDGWICLVCGASDSQDVPPNFIKLAKDAYTPDVMAASDCMLGKIGYGTASEVLACKLPLVFVRRDYFNEEPFLQNLLEYYQNSIEMIRSDFLAGHWKPYLLRALTLQPCYNGPINGGEVVAQILQDTAVGKKCISDKSSGARRLQDAMVSGYVLQRAPGRNAGIPDWYSLSETETGAGPTSKNVATKESAASCIENFEILHGDLQGLTDTIDFLKSLSELSGNDLMSPKKQRLERTAASVLFNWETEIYVARAPGRLDVMGGIADYSGSLVLQMPLREACHVAVQRNHPSKQKLWEHTKARQLENAGLVPVVQIVSFGSDLSNRAPTFNMDLSDFMDGGKPISYEKARELFCQDPSQKWAAYVAGTILVLMTELGAQFTDSISILVSSAVPEGKGVSSSASVEVATMSAIAAAYGLNIIPRDLALLCQKVENHVVGAPCGVMDQMASACGEANKLLAMVCQPAEVKELVSIPTHIRFWGLDSGIRHSVGGGDYGSVRVGTYMGRKMIKVLDFSPPVQSDCYKENGMGVLKSEAALEYLCNLPPHRYEAVYAKDIPEMISGEAFSEKYGDHDTVTVIDPKRSYSVKAPTRHPIYENFRVEAFKTLLAAGNTDEQLSALGELMYQCHNSYSACGLGSDGTDRLVNLVREMQHRKTSEGGSPSLFGAKITGGGSGGTVCVIGKNCTRSSEEIAEIQHRYKAETGYLPILFDGSSPGAGKFGYLKIR >Sspon.01G0018470-3C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1C:97880162:97880735:1 gene:Sspon.01G0018470-3C transcript:Sspon.01G0018470-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMRPRATGLSSIRREVLVSAVFTALLVASILLLPSLLLPGSTGTGPRRWPFLSPSTAADEAEEQQQARYPVTFAYLISASTGDASRAARLLAALYHPANTYLVHLDREAPAEEHRRLAELVSGRGGVYARAGNVWIVGRPNLVTYRGPTMLTTTLHAVAVLLRLPAAGTGSSTSAPPTTRSSPRTVIHT >Sspon.07G0030090-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:2049979:2050929:-1 gene:Sspon.07G0030090-1C transcript:Sspon.07G0030090-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTWHAPRGRGDTGAAVFGGVAATCSIPYLDLPPAPASGAFFACRGKGDYGEIFGRFDFADFALPYEDLFGAPEPQPEPQPEAARQTDPEIASSSGSSTRSVLHALLPPTLSDIHRLPVCVCVFPDVDSKDGHEWGSRFAFSIRKCDHVTS >Sspon.03G0016010-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:49794727:49804751:-1 gene:Sspon.03G0016010-3D transcript:Sspon.03G0016010-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:actin-related protein 5 [Source:Projected from Arabidopsis thaliana (AT3G12380) TAIR;Acc:AT3G12380] MSSVTRPRREADFARFPSSTPIVIDNGASTFRIGWAGEAEPRLSFRNVVQRPRHRSTGETVSIVGDTDPSLMKFFDCTRSAVRSPFDDDVVYQFEYMEYILDYGFDRLGANSEYHFTLNRASITWDKAEELKKEHCYIALDYMAELQIFKNNKEEADEKMRYWQLPWVPPPKDEPPSEEELARKAALKEKASQRLRDMAAAKRSQKIVELEKQLSYLEEVMEQLDEAEEQEATAILGRSGYLSQQEIKSAILKATQSLRKAKGESNGNDEKTDASAADKYPLVSVPDETLTPEQLKEKKKQILLKTTTEGKLRAKQKRAEEEALREKQEEKRRAENPELYLEELRARYSELSEKFEQRKRQKVNGGQTNGNHSSSGGEIDPTFVSKSEAVQLTPEPPKVRPLTAEDYRIAIGIERFRCPEVLFQPGMIGIDQAGIDEMVSMSLRRLMEDESVKERLCQSILVTGGSSLFPGMIPRLESGIRRYRPYLAPLKLVRAADPILDAWRGAAAFAASSKFGKQTFSLADYREHGENLFHRVPKSIFVFLTNL >Sspon.03G0031670-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:29895533:29898887:-1 gene:Sspon.03G0031670-1B transcript:Sspon.03G0031670-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPRPPHPPPPPPPPPGPPPLRAHRLPLPPTRPAPASLLLAPPSSPARALALLFPDSSAHLFPSLPPVATSSSPAHTPVPSPLAAAACFALLLPSSHLLFLSAHPSPSSPSVHLRAYSLASAPAFPRFAPVSLSFKRHASAAGLPLQGLPFGLGVRLAGGVNAVALLSLSTGQIWVLAPKLAADGRTVELHKCAVVELEPARPVYAMEVAMGRLLLGEAGGLRVFPLRGLMKGGKEREGKKEVAVAVGRKGCHKKNGMLNGLVVPVKCVSYGGSDEGDVVSTRKLTTLRVKQSSGSYCSFLLAFQNDDHKSEGSMELLKSVKAVSIYPLSKNKFLVLDSSGVLHVFSLSMTEMGSGAASKQYSENIHTYRLDYPMKVQLSAVFSVTSIKTQIFWVSDGGHTVHVMSAVETESPNGDNGDVTGERELATIKLSAIEAIFTSEKVQHIVSISKDSVLILGQDEKLMSSTEDSKNRKGHISAHLMETFEQVLPDYGQTMP >Sspon.01G0007280-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:20015702:20025732:1 gene:Sspon.01G0007280-2C transcript:Sspon.01G0007280-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPSLLLLHSRAPLQPRPFRMNSRAAPSRVVVCSVASAEGFISAAPILLPEGPWKQVEGGVTAAKGFKAAGIYGGLRAKGEKPDLALVACDVDATVAGTFTTNVVAAAPVLYCKHVLSTSKTGRAVLINAGQANAATSTGVIGQRIKKDALLNSLPRLVGSLSSSVQGANSAAVAITTTDLVSKSIAVQTEIGGVAIRIGGMAKGSGMIHPNMATMLGVLTTDAQVSSDVWREMVRTSVSRSFNQITVDGDTSTNDCVIAMASGLSGLSGIQSLDSTEAQQFQACLDAVMQGLAKSIAWDGEGATCLIEVTVSGANSEAEAAKIARSVASSSLVKAAVFGRDPNWGRIACSVGYSGIQFDANRLDISLGVIPLMKNGQPLPFDRSAASRYLKDAGDAHGTVNIDISVGSGGGNGKAWGCDLSYEYVEINAEYTTSAASRYLKDAGDAHGTVNIDISVGSGGGNGKAWGCDLSYEYVEINAEYTT >Sspon.02G0037510-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:39017795:39030251:1 gene:Sspon.02G0037510-2C transcript:Sspon.02G0037510-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHFVMVPWLAVGHILPFAELARRIALQGHRVTLLSTPRNTRRLIDIPPDLDGLVHVVDVPLSRVERLPEDAEATIDLHSFDQLPCLRRAYDAAFSAKLSEILQGPEPSRPDWLLIDYAAYWGPAAAARHGVPCAFVSLFGAAVLGFFGPREALMGHGEHAKTKLEHLTAVPDYVPFPTTVAYRGHEVRAMFKPGMIPEDGEVSEGHRIAKSIEESQIVGVRSSRELDPEWLQLVGELYQKPVIPLGLFPPPPTQDVAGHEATLQWLDRQAPRSVVYVAFGSEAKLTGAQLQAVALGLEAFGMPFLWAYRGPADSDARDGAAGLPEGFEERVNGWGLVCRGWVPQVSLLAHRSVGGFVTHAGWNSVTEGLARGVRLVLLPLLFDQGLNARHLVEKKMGVEVARDEDDGSFTAEDVAAALRRVMVGDEGQEFGAKAQELAEVVGNDEVNDKCVRDFLRCVSEYGRQQQGIKDSSGRQQQGIKDSSVQTATHDTTVIVSEATLRPENSTSLSPDHMAHDKAPREGDAQERRGEAGGDHELQEPVELALEAGVVGGVEVEHEQLLREDGRDEEQGERVAAPRAGAVEVQRGGRVDEEREADDGVLVVVQAVGRDGAVGVERQEGGVVHEHLHGELDGAAGDDEDELEVADARRREPDWRHVRVGAQGEEPDVVEQVPQADGQEAGAWKDVADAAARSAAHCSIAGRPPVVATET >Sspon.07G0035820-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:13640621:13642584:-1 gene:Sspon.07G0035820-1D transcript:Sspon.07G0035820-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPKSLPFIAFEHKRDAYGFAVRPQHLQRYKEYAGIYKEEEEERSDRWKNFLERQPESSGQDASDNAKGDGSESLHEKTVAGPRKIEIWTPIRSSLSNIEQMMSLRIEKKQSSAGKQQAKDGTHPLKVEESKLSEDSDDEFYDVDKVDPSQEVQSSDTGNADAGSRSQEENYISKEELECLVHGGLPMALRGELWQAFVGTGARRIEGYYDSLAAEGELDNKCSDSPTSEGVHEKWIGQIDKDLPRTFPGHPALDEDGRNALRRLLIAYAKHNPSVGYCQV >Sspon.01G0020070-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:74522772:74528607:1 gene:Sspon.01G0020070-1A transcript:Sspon.01G0020070-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MREDQRRAGGANQGRKSVEKRKGGSNRGKKRVGEIDIAMRENAKSLYSVQLKSIGRGLDIDTLIDVRRAQLAYKLSDEIAAEMFREHVKKLVQENISSALDILKSPGEHDHDRRADDLKILYKAYATEVLSDGIVDDEKLSPLNELRNIFGLGKRETEGILSDVKAHIYRKTLAKSFNTELASVPSKAAFLQILCEKLQFDPELASKMHEEIYRQKLQQFVADGELSKEEVEALMAFQVRLCIPQETVDAAHTEICGQLFEKVVKEAIASVDGYNADRREAVRKAAQSLNLKPEAAMAIFSKAVRKLFLSYIQRAKEAGNRIETAKELKIVVSELLADIKGEISPVAETEASSAASESEGEDDEHEWESLDTLRKTRPDKELKEKLRKSSQKEITLKDDIPLRDRAELYETYLMFCITGETTNVSFGTAISTKKDDSEFLMLKQLGDILGLTRKETQDVHIKFAEKAFVQQAEVILADGKLTEAKADQLAKIQKQVGLPTENAQKIIKGITTTKLSSAIEASVARGQIGIQQVRGLREANFQLDSLIAEPQRESIYRKTVEEIFSSGTGDFDEEEMYVKIPADLIISSEKAKSIVQDIAKVRLENSLVQAIALLRQKKRDDVVSSLNDMLACDAAVPASQPLSWPTPGELDDLYAIYLKSIPRPEKLSRLQYLLGISNEKANKIRDAASEGSLPIAAEEKEELAF >Sspon.06G0034820-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:56538835:56546696:1 gene:Sspon.06G0034820-1D transcript:Sspon.06G0034820-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MACRCKGWTRSCCGACGLVARSLDHVSMFVVLLSWNQRELKFQATHTSMARIKKIMQADEDVGKIALAAIRNANISPRGRGRGRGRGRGRPPTKRKEVGYVQFEDESSMFAEQGEPLPGEETVPEINNGNEIMPQSTQPPVEAPPTAPAQATSSKVEEANTDHQSDWPMPDAIGNIGVGPSGFGHLTVQVDEDEDYDNEDYNVTHDPVGSQLCISTMLKSRIKEMTNLGRYRPIRLLKDKENLRVTAGFRMKQLACIFFHVNPCDPKLPMATTKLHRKVQIDITLLGNGDVKLRNLVPLGEVRVEILLAIKLGRHRDLAVECEPQLHGALDHLLIQNRQRPRVAHAGGADGAVGLGAVVVRAGAERLGDGRELDMGLDPDDGFEALCLLLSRLLGRQLN >Sspon.08G0001850-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:3238755:3241535:-1 gene:Sspon.08G0001850-4D transcript:Sspon.08G0001850-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSPVGDGGSAERWRAEAARAFQHYLDRAAPHTAGRWAGTLVAAAVYALRVYYVRAFYVVTYGLGIYLLNLLIGFLSPMVDPELEALEAGPGLPTRGSDEFKPFIRRLPEFKFWYAITKAFCIAFVMTFFSVFDVPVFWPILLCYWIVLFVLTMKRQIVHMIKYKYVPFSIGKQRYGGKKGPAASASKD >Sspon.05G0017380-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:72563327:72565988:1 gene:Sspon.05G0017380-1A transcript:Sspon.05G0017380-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPQTRSQKKALAAASPPLVIDPADRISRLPGEIQAQILSFLPAQEAVRTWIRRVLKCQIQMLRIHIDTRRSYEAYLEMSNMPLLVSRHLTRLELTGVWFVCRCVNLDGCPALEDLEINECMLLGVRKIMSLSLRRLVITSCDSNYDEKRIQICVPRLVSLRLDNTDFPAGESVRTPLLDRMPELVGAEVWIDSYMDKCDCDNPMACYHVTRDGDTSDIDSDSDDNEEGSGDYAGQNTTKCVVLEGLAQARDLVLLANGPMYIFRRDLRWCPTFSKLKTLVLDHDLWCQPADCSALACILEHAPLLEKLTLNSSCRSYCAVDMEIIGPKTLTAKTRGYGDDKEVKNELCSMAL >Sspon.06G0007130-3C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6C:25396602:25396856:1 gene:Sspon.06G0007130-3C transcript:Sspon.06G0007130-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAGTFLSACAAGSLRRAPSLIPGRLLLPVPLSRARWDRSHGCSIVGVGASTRRAPTLRRNASAETVVPYVPGSGKYIAPDYLV >Sspon.06G0013790-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:71892724:71896860:-1 gene:Sspon.06G0013790-1A transcript:Sspon.06G0013790-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEMVVAARAPAPAAGRWGAAPPQELLERLKDYGQEGTFAFWDELAPEERDHLIRDIEAYPQEKVGVFVQRGKGGPLSVVEYSEMDAAMTTEINQTTGRLRYCWSNVLREEEFAPVKNANGATYDTPDSARLMLLRLHSRWVVAAGGFLTHSVPLYMTGIEVSPLCSYAGENLEAICRGRTFHAPSEISF >Sspon.02G0015270-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:41582916:41585698:1 gene:Sspon.02G0015270-1A transcript:Sspon.02G0015270-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DEAD-box ATP-dependent RNA helicase 22 [Source:Projected from Arabidopsis thaliana (AT1G59990) UniProtKB/Swiss-Prot;Acc:Q944S1] ATCIPHVLMANDVIVAAETGSGKTHGYLVPLIEKLCSKSSTTEDDNCQDTTPGAHDIVLQVVRMANSLLDKSGEPLKSAAAVCGPKGWPAVHPDILVATPAALLNYLFDYDPEKRRRERFLRNVKFVVFDEADMLLCGSFENQVIRLIHMLRFDEKLLSRAQDSGKEVSLGSDNEYHEDSRFETAEFSGSDEEIEDNIAQDRPVKVENSHVGAHKDWRRVRKIYRRSKQYVFVAATLPQSGKKTAGGVLKRMFPDAVWVSGTYLHRHNPRLERRWMEVTADTQVDALLNAVKYGLMSEVHDAKDVPRRTMVFTNTVDAANSVSDILRRAGIPCILYHRENSLEERANNLQSFRENGGVLVCTDAAARGLDVPNVSHVIQAEFAACAVDFLHRVGRTARAGQSGIVTSLYTEANRDLVRAVRQAEELAQPVERAFSRKRSFRNKLKKQALQKREALILSRQTLFLILAMNI >Sspon.04G0005120-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:11308554:11309015:-1 gene:Sspon.04G0005120-2B transcript:Sspon.04G0005120-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKAEKKPAAKKPAEEPSEKAAPAEKAPAGKKPKAEKRLPAGKSAGKEGGEKKGRKKGKKSVETYKIYIFKVLKQVHPDIGISSKAMSIMNSFINDIFEKLAAEAAKLARYNKKPTITSREIQTSVRLVLPGELAKHAVSEGTKAVTKFTSS >Sspon.07G0029320-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:75763569:75764322:1 gene:Sspon.07G0029320-1B transcript:Sspon.07G0029320-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHQSQGRNWTGSPMKVRSAGSSAKVECPFFHVPLVRIQSQQDHSFGEWFLKCPYNIWGDPTTCGYIRPEVVKVKLEAQEQRLKAKKEASGECCSEMKEELQELKQTVDSVVAELWPLKMKFAEPIHEPKPAAKQIVIDYSVFVGIVGVVIGVVVACLWK >Sspon.01G0047640-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1B:104394045:104394410:-1 gene:Sspon.01G0047640-1B transcript:Sspon.01G0047640-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKVRNRGTVRALAGCLGKRRNKENACRLLVAYAGLLLLLRPVVAATSRAAAAACRRCCRSFRRRHCCLGKGEGNGKRSHSWRLLLAPASPIPAAPAARRRRRCLFEESTNDYILEWGTIG >Sspon.08G0006600-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8A:20484162:20485100:-1 gene:Sspon.08G0006600-1A transcript:Sspon.08G0006600-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVSHTHARGTSTTPDSARRGRGAPPTARIFICSGHLAFLRPPVHRTHSPPTATAQRSRALLRDARPRFPLLPSAAAAFVLCAACLTKQSLSAGRTNGAARPQALRENRRSPEQAHPASDVDELDQLRGGFPGRVRPRLRRHRAHPRLPGSSSADAAAAADRKRAHADHDKPPSPKARAVGWPPVRAYRRNALRDEQAKLVKVAVDGAPYLRKVDLAAHDGYAALLRALHGMFASCLGADGAGRLVDAATGAEYVPTYEDKDGDWMLVGDVPF >Sspon.02G0007520-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:24651551:24663244:-1 gene:Sspon.02G0007520-2C transcript:Sspon.02G0007520-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDADDGSRATPPLDAAAAAFKSQVMELQDLVLARSMFPATALPELANVDALVTAMESQVETIRRRLQEELDAIPKAKKLVQRSLKEEEKLQHIHANLPSGMRRMLLLLSLNRAHQANECDVKIKDEPVAAPRKGRAPAPRWYISSEELDSLSSYMRGRLTLEKVNIAINEVASYAEANAHLVTCPKKKEDTWDKALELRDIAAKEAVKGNHFFLEADIKGPGLKLDNTGKAILT >Sspon.02G0022600-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:77599487:77599797:1 gene:Sspon.02G0022600-2C transcript:Sspon.02G0022600-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPFVLNEVLKSLAMQLREESTTEKNNKSPKPLTEVLGELTKRKRCLIVLDDLSSVVEWGLIIQSLPKMENASRIVITTREENIAKRCSEKQETRRSQS >Sspon.02G0014920-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:33789575:33793957:1 gene:Sspon.02G0014920-3D transcript:Sspon.02G0014920-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMDDAVAEADLADPNPDVGDLFHHYDGLYFRGALAAAGFTAQWRSSSSPPLSSNHGPTFRAWMEAINKCRAQDCQRPDGGYSITTRHDFIPEEPHSFKGMVWKCRSCGDTLLRAINQGPPSDACCIENDDISASCGNMLCYWHNHKDDCSGTYEKTTMLKLEAPSQKKVAGGAQLLLTLPSEMSKSKGAIQESSSSILQLPWSTKATKPNTEDKHLCLGSGSNGKPQGSSSSKKADKRRRPEMVGETSVMPAAPQGKPNQNRGLVATVKQAPVSVEGYNDAKSLGRYTSKKAGKRHKPDHLQKTSVLPSAPQGTPKLKHALVATENNKLSSAERSNNAKSPITNTSKKAGEQHELQIAQKACSQPANPQKRLKQDPVAPEKKELSPLMGCSNEKLLDRSSSKKAYKQHEPEDIQETPVLSTAPGIKPMASVFVASEKQRKGKCKRKKPVKEKEYAVMSAWLNFYESDRSSGSPEPLVNKRTERRRRERERAIMLTYSRSKKIKTEPSVNSGTYASVSSHRIEMAPQDGSMQQSRPPSPCSDHAVGTTANQVVVTPATGDQSQPSAPRLDVVPFLQPADPLTSPDQSNAPEMIAMRLMDEQPTHWMWR >Sspon.03G0015810-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:25297648:25299914:1 gene:Sspon.03G0015810-2B transcript:Sspon.03G0015810-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LGTTYSCVAVWRHDRGEVFANDQGNRLTPSCVAFTDAERLVGEAAVNPTNIIFGENYTPALNKDLFSKCMKALDKCLCDAKMDKSSVHDVVLVGGSTRIPKVQTMLRDFFCGKELCRSINPDEAVAYGAAIQASVLSGPTDDGRLVDMLLREVTPLSLGIETEDNFTMSVVIPRNTAIPTKKVRRFTTLYDNQTSVSFPVYEGESASTKENNLLSKFRLTDVPPAPQGVPGFDVTFDIDANGVMKVSAEDRDTGHKNSITIVNHGGRLRKEEIARLVQKAE >Sspon.05G0013550-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:47754318:47761015:-1 gene:Sspon.05G0013550-1A transcript:Sspon.05G0013550-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GFLKICQLPSAYNYDNYWPVQKVPLHGTPHQVTYYAEQSLYPLIVSVPVRPLNQVLSSMADQELGLHIENDVTIGDDLQKVYTVDEFEVRIMELGKPSGRWETRFTIPMQSFENALTVRIVTLQNTSTKENETLMAIGTAYVLGEDVAARGRVLLFSFSKSENSQNLVTEVYSKESKGAVSAVASLQGHLLIASGPKITLNKWTGSELTAVAFYDAPLHVVSLNIEQGSQLSLLAKDFGSLDCFATEFLIDGSTLSLVVSDSDKNVQTNGIPQLLVANLQWVLDWEGNDVRTVLVWCTREGLSQKSDFLLCPKMVESWKGQKLLSRAEFHVGAHVSKFLRLQMLPTQGLASEKTNRFALVFGTLDGGIGCIAPVDELTFRRLQSLQRKLVDAVPHVCGLNPRSFRHFKSNGKAHRPGPDNIIDFELLSHYEMLSLEEQLEIAQQIGTTRSQILSNFSDFSLGTSF >Sspon.02G0004840-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:4853222:4856987:1 gene:Sspon.02G0004840-3C transcript:Sspon.02G0004840-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCCVTPNGAADAGGGKKRKEPKEPKQKKGKKPNPFSIEYNRAAPASAPRLVVLREPTGRDIAARYELGAELGRGEFGVTYLCTDRASGEALACKSISKKKLRTPVDIEDVRREVEIMRHLPKHPNVVTLRDTYEDDNAVHLVMELCEGGELFDRIVARGHYTERAAALVTRTIVEVVQMCHKHGVMHRDLKPENFLFANKKESAALKAIDFGLSVFFTPGERFTEIVGSPYYMAPEVLKRNYGPEVDVWSAGVILYILLCGVPPFWAETEQGVAQAIIRSAIDFKRDPWPRVSDNAKDLVRGMLNPDPKRRLTAQQVLDHPWLQNIKKAPNVNLGETVKARLQQFSVMNKFKKHALRVIAEHLSVEEAADIKDMFEKMDLNKDQMLSFDELKLGLHKFGHQMPDADVQILMEAADADGNGSLDYGEFVTLSVHLRKIGNDEHLHKAFAYFDRNQSGYIEIDELRESLADDLGQNHEEVINAIIRDVDTDKDGKISYDEFAAMMKAGTDWRKASRQYSRERFTSLSLKLQKDGSLQMTSTR >Sspon.03G0029770-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:18131388:18133108:-1 gene:Sspon.03G0029770-1B transcript:Sspon.03G0029770-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSSEAATATSRTTTGGSALPGPPPPTPSNHHAAPSSAGAGGATDASLSAFLHRLLLSSPAPQLRYPLAARSQASPSLPPLVSLESPHQRALRDAAADVGYFHLAGHGLPSELPSSAVAELSQLDTSTRLESNLRTLGFSVEEGEEEQDVDGGADDPAVVFDACEGDMDALPAAAEYARRMRDVGMRVVALLSGCHDTGFREEPFAEGRRKPRCLLWASRVSTADTAPPAVGKAKAYPYVVALHCQWEASGLEDAPPSWVMNDGGEWTAVGARDGAILVTIGDIAQHGETDRLSLTVLITLSLDNIISPLVPLSNAAGEGREDEANGAGADDEDGWRFHSFLLEDYAWRVYNQRLQFKDPLIRYRI >Sspon.02G0020850-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:70913778:70918008:1 gene:Sspon.02G0020850-3C transcript:Sspon.02G0020850-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LNPVGTGSGGVSCSGWGSMMKQIFGRRKASKTADKEFAGGRTFNQLSGSGAADLSGQPPFLSGTGNTYGSGNRTGFQDSRINDALFSSNFRPLPSFKDVPNTEKQNLLIKKLNMCCTLFDFTDAAKNIKEKEIKALMLLEIDEYVRTATVKFPEIVVEAITKMISVNLFRTLISPPREKKVLQAFDLEEDEPLMDPAWPHLYHVYELLLTFIQSPENDAKLAKRYIDNSFILRLLELFDSEDARERDRLKTVLHRIYGKFMVYRPFIRKAINNIFYQFIYETEKHNGIAELLEIFGSIINGFALPLKEEHKLFLVRTLIPLHKPRCTTVYHRQLSYCITQFVEKDCKLADTVIRGLIKYWPITNSTKEVLFLGELEEILDATQPAEFQKCMVPLSHQIARCLNSSHFQVAERVLFFWNNSHFENFVKQNSKVILPIIFPALEQNINGHWNQVVQSLSLNVRKLFSDRDPQLFTECLRKYEEDKDREKEFKLKQELAWKRLDEI >Sspon.05G0031720-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:8228532:8230004:1 gene:Sspon.05G0031720-1C transcript:Sspon.05G0031720-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPKLDPSQVVEVFVRVTGGEVGAASSLAPKIGPLGLSPKKIGEDIAKETAKDWKGLRVTVKLTVQNRQAKVSVVPSAAALVIKALKEPERDRKKVKNIKHSGNISLDDVVEIAKTMRPRSMAKEMAGTVKEILGTCVSVGCTVDGKDPKDLQQEIDDGEAIQLKEIIRRC >Sspon.01G0011030-1P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:37285192:37290194:-1 gene:Sspon.01G0011030-1P transcript:Sspon.01G0011030-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHDLCDDLELVADCGYDDYLDDFHFHDGFPSPTTPSASGGASGLQQHEQMGDTSALDFKEGKDMQGIPWERLNYTRDQYRQMRLKEYKSYKNLTRSRSGLEQLRNLLWPTSKHDVYLVQNYSVMHWSALLQRGREVLNVAGRLAPTQSPDLQMLPAVQNVKGARPLSRVQISTMAVKDNLMVAGGFQGELICKYVDKPGVAFCTNLSGDNSTTNAVDIYQAPNDGGEQRLRGQNVRRREIQLLTQFTFPWSVNSTSVSPDGKLLAVLGDSTDCLIADPQSGKAMSTLRGHQDYSFASAWHPDGRVLATGNQDGTCRLWDARRLSEPFAVLGARIGAVRGLRFSPDGRFLAAAEAADFVHVYDAAAGYVGAEQEVELFGEVAGAAFSPDGEALFVSVADRTYGGLLEFRRRRADGYLDACFF >Sspon.05G0019460-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:81055538:81070109:1 gene:Sspon.05G0019460-3C transcript:Sspon.05G0019460-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVPATIAQTELATTDHQTHPNSSQNATSTNPKKQEVTLELRKYILLLASLVATVTYAAGFSPPGDVWQDTAAGHLAGDPIIRDTHHKRYVAFFYCNATAFAASLVVIVITLTLAYLDDNKDKYSGSKQIVFQYMEKQWIAVRTLQVAMVLDLLSLMGAYIAGTCQDTFTTVYSSVLVGFVIFYLVVQVAMASTDTGSGGVPEGQVEERQPKPKKSFRKQVKVLEALASVRDKVKAKERFRKVLMLLATFAVSITYSAGLSMPGGFWDSAGAGHGPGDAILKDRHNTRLAVFFGFNTLAFVASLLIIVVLLDTKTHRRRRHGLIVVALLSLIVAYNAGSCRQTDTTVYVFSLIPAVLLYISFLYFVADHIDAKWKAMKNHLRVTEGKTEKQKAADKARSLVLLLATLAATITYQAGLDPPGGVWQDNSGGHTAGDPILLTTNARRYKAFFYCNSVSLVASLVAIVLVQSKSLLKHHVLEAVMILDLFGLIGAYAAGSSRDVNTSIHAMALAGAVLVYVVIHVIFITLDHDTRTRSESDKKKENELAFFYCNSVSFMLSIALIILLVNPNMYRPAIRTHALSVCTGVGLFGLMGAYAAGSTQHLKTSVYIFVLVAVVIFFIVLLFLVFWFTGCSKTPQGTPIETNTQDTEEDKDTKKKRANLKYLMLLGILVASVTYQAGLEPPGGAWQNSSGGYDAGDPVMHDNRRPRYLAFFYSNSTSFMASVSVMVILLLLLPPKQLVRNDHWHKRWLVVMNTTIVLDLLGLLGAYAAGSSRRWKTSVYVLLLVIAVLVYMGFHLLLSCIIIRRSQSAQPLPGDSSAFFYCNSVSFMLSIALIILLVNPNMYRPAIRTHALSVCTGVGLFGLMGAYAAGSTQHLKTSVYIFVLVAVVIFFIVLLFLVFWFTGCSKTPQGTPIETNTQDTEEDKDTKKKRANLKYLMLLGILVASVTYQAGLEPPGGAWQNSSGGYDAGDPVMHDNRRPRYLAFFYSNSTSFMASVSVMVILLLLLPPKQLVRNDHWHKRWLVVMNTTIVLDLLGLLGAYAAGSSRRWKTSVYVLLLVIAVLVYMGFHLLLSCIIIRRSQSAQPLPGDSSVTPERIATLPA >Sspon.07G0007090-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:14864250:14866500:-1 gene:Sspon.07G0007090-2P transcript:Sspon.07G0007090-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AKMSFRSIVRDVRDSFGSLSRRSFEVTIAGLSGLTVHHRGKSQSTVHELRDTDLIIQESRWANLPPELLRDVIRRLEASESTWPNRKHVVSCAAVCRAWREMCREIVLSPEFSGKLTFPVSLSQPGPRDGMIQCFIKRDKSKSTYHLYLCLRSAVLMENGKFLLSAKRNRKTTCTEYIISMDADNISRSSSTYIGNSRSNFLGTKFMISDTQPPYSGAVVPHAGRTSRRFNSKKVSPKVPTGSYNIAQVTYELNVLGTRGPRRMHCVMHSIPASAVEPGGIVPGQPEQILPRALEESFRSTTSFSKSSIMDRSMDFSSSRDFSSARFSDIAGGAMAGDEEGQNKERPLVLRNKAQDGTSSCSAGASTSVA >Sspon.06G0010630-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:58049032:58051408:1 gene:Sspon.06G0010630-1A transcript:Sspon.06G0010630-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATQISKKKKFVSDGVFYAELNEMLTRELAEDGYSGVEVRVTPMRTEIIIRATRTQNVLGEKGRRIRELTSVVQKRFNFPENGVELYAEKVVNRGLCAIAQAESLRYKLLGGLAVRRACYGVLRFVMESGAKGCEVIVSGKLRAQRAKSMKFKDGYMISSGQPVNEYIDSAVRHVLLRQGVLGIKVKIMLDWDPKGKVGPITPLPDLVTIHTPKDEDELRPPVLAPELSFAETSYIDMYRMKLWFLIVCP >Sspon.08G0007860-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:27340403:27347272:1 gene:Sspon.08G0007860-1A transcript:Sspon.08G0007860-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GHAGGGREVAALPLRLRRALWRLPQKLWGGASRGGGAAGVGVLSSGRWTPCATAVAVLTAGGVAMANLPSDGLDDLLFDFYKKQVLKYLRTKMTPEMVRTLQRIKDVHTYADSEFFLGGVNSRLESMFGSGLSKEAYCEVITPPMKRKDISGISPAAPAKRIATRGNPTEHPFNIDAKVLFPEVQEIPVIEGPSKTSKELAKLFCIGGQLLPSPVMVCEMHCAFAIKGLPAEVAANQIVE >Sspon.05G0015380-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:59030498:59034628:1 gene:Sspon.05G0015380-1A transcript:Sspon.05G0015380-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRSEVIEAGKLVNLWNEWGIQILVLVSFGLQVFLLVFGGVRRHSNSTALMFFLWSAYLLADSTAIYTLGHLSVDSRSDEHQLVAFWAPFLLLHLGGPDNITAYALEDNALWLRHLQTLAVQVLGAAYVIYEYITASRALLLLASMSMFVAGLLKYGERIWALKCGNISSIRSSISIRKVKTDPYQLLALGTSEEDLLLGAHSQFDICKGVFADIIMLPNPSVRSQSKPRSVISYLGEDLYKLVEMELSLMYDFLYTKAAVIHTWYGFCIHFISLFGTATTFLLFQLSINSSGNRYSRVDVAISYVLLVGALVLEIISVCRAVLSTWTCSLLHRRGRGWEWPLHIVTSLGQRVHPASRRLWSGSIGQYNLFHLCTRNTNEVGSRLAMKLGLEDWWNKMHFSGTFPHANSSLSIQDIKKLVLQALQSKEQALQHQDTDLNSRGSFILKRMEAYKDFARWSVNIDFDESILVWHIATEVYIRKSKTKHAKELLEATEVLSNYLMFLLVVKPNMLPGAARHNIHLTVCEQLEGQCQMRFGDKDNPVAPSPISWNPYYILKELFHHDGPSCSRIPRREKLAEVAWRFSQFALGSVRAPNPHGDSIRDNANMYAILLANELLSIELQWQDQRDTLELILGVWVEMSLYAADHCSQESHARQLSNGCEFITIVSLLAHHFKYYSGVFRGTDNLGEDKPARS >Sspon.01G0009420-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:29607455:29610811:1 gene:Sspon.01G0009420-3D transcript:Sspon.01G0009420-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATAASSAAAAAAASNSDEEEDYEEYIPVAKRRAMEAERLRQLRFSKSAPASAASTLPLPPPPPLLPAQTSVPDAAAKPSLLVKATQLKRAAPEVTATEQRIQQEKEVIENLSDNKSLRSVREIAKGIIYTEPIQTGWKPPLRLRRMPLAKANELRRKWHIIVDGDDVPPPARDFRDLRLPEPMLRKLRERGIVQPTPIQVQGLPVVLSGRDMIGIAFTGSGKTLVFVLPLIMVAVQEETLMPIVPGEGPFGMIICPSRELAKQTYDVIEQFLIPLKEAGYPEIRPLLCIGGVDMRTQLDVLKKGVHIVVATPGRLKDLLAKKKMNLDNCRYLTLDEADRLVDLGFEDDIKEVFDHFKDQRQTLLFSATMPQKIQNFAKNALVKPVTVNVGRAGAANLDVIQEVEYVKEDARIIYLLECLQKTPPPVLIFCENKADVDYIHEYLLLKGVEAVAIHGGKDQEERQNAIDSFKTGRKDVLVATDVASKGLDFPDIQHVINYDMPAEIENYVHRIGRTGRCGKTGIATTFINKNQTETTLLDLKHLLKEAKQRIPPVLAELNDPLEDEEIIAKESGVKGCAYCGGLGHRVSDCPKLEHQKSMAIAGSRKDYFGGGGYRGEI >Sspon.01G0013140-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:42954025:42959943:1 gene:Sspon.01G0013140-2B transcript:Sspon.01G0013140-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPASACTNLNFGCPRRSTRFKNIHTIYDEDSDRDPSTFKRIKTEVIDYELSVGSVSDKDSEQDCHDVSLKDLRTQCKAKNQKTSKITLEGCGIKNQAKTEDGIDLDKPLIALKQKRPKASPAKANIKMDALRSSPFAAKEEDTTSLRDEILSYAQSSLLKATMQDPVLEKLGRRVAELEQSKVVIDCTEEIVGEQMCCAEVNNTAGALVSCAKPDVLCEIKTEDTNHSEFGTSICSIKNPEHSSFELQQKLMEGDDRVPQSCFMTQPAQLADVSDHSCKQTCSFKENNFDDITAAKATEVISSLGLIASENITNSDMDKSSTSNGFMACSFSQSCHDRVDNDDDWNLFVHGNEPVKILEELSPIDESSTDTPSDLCGNTEMNCTSLEGVAQMQAEGQLDSIVCCGVRPKHMLLDMEIEDTATGTFTFDKAIDLAHPANFVAQDGRLESIVYDVLNNNAQRTASKNKSYVGLPDTTVIQSSLIDFTDNCPEDKKASDDKISPPNNVDWPYKLNSTIDYGISRSINNDEGSEEELVPQHQLYQSCSDKFNLSSVMPEISNAEESKKLSAGDQNSSATSLETDGRIQKTEFFVDEESIEEHAPKVLLSKRKIMSPTSQEKLCSALTGIDLCDGVQRLKSKTIIEDHGKTPISLPQPAHMQDRSIFRTDRRLKGRTSVSPTSKGVLKSTGSPPHEQTTCSCMRSSPVVLDTEKAVEFSQRQMHDMENIAAKLIRSLKHMKSIVDESLSTEAYSLLPNFNIAEVRHEMECALLILNSSKIRAASEDALEVERTTRKWLSIMNKDCSRFCKILSLAKKNAVSHPEAPRKQRKITFADEAGGMLCHVKVFKDGQASLLSECQSDL >Sspon.02G0048730-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2C:27774354:27774635:-1 gene:Sspon.02G0048730-1C transcript:Sspon.02G0048730-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRPFSGCFSYAARPRRGIRPTETRRPPDPPRFLGAVSCRRVRDLILLPAAFPRCDPLLAIVLASPLPITQKRQVPASACNSSTGHTGQGRS >Sspon.05G0026180-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:5B:31593891:31594391:1 gene:Sspon.05G0026180-1B transcript:Sspon.05G0026180-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFYLVAHLEAHLTNRPLGQVEQGHTGQSTTLSAPHPHPRTWALGGGARSRQQGCAAPMASSSRSTRARDATAAPLSAAPSPSSPLPAPCSPSQILARGRSASSQQPRPAEDGRSPVGAGARRRGGSPLSRSLSPLPATRSPLLAPRSPSQVPAPLRERLWPKAKR >Sspon.02G0007360-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:24295286:24300419:1 gene:Sspon.02G0007360-2C transcript:Sspon.02G0007360-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleobase-ascorbate transporter 11 [Source:Projected from Arabidopsis thaliana (AT4G38050) UniProtKB/Swiss-Prot;Acc:Q6SZ87] MPSSRRTTGRGGAGAGGAGAGGAGGGDGDGDRVPPFMGNNRDHNPRELRSWARRTGFHPSAFFSGESNSSFASSAAPPPPPPAASRRPPRPPPERERDPDPDTEDDLDPAPPLDLERGPAPGRGRGGRGARPRRRIDLRGELEIPPGFGREEAVPRSAEPDAGRDARRRNGGVERDQAPANAGRNGNAAVAVADAEARKKAEEAEAKRKAEEAEARRKKEDEERDAELAAYYQEQWANEDEGAADAAAAETAPLYEASGGLRCGVTENPGWAPLIFYGIQHYLSIAGSLVFVPLILVPTMGGSDEDTATVISTMLLVSGLTTILHTFLGSRLPLIQGSSFVYLAPALVIANSEEFRNLSDNCLLYDMQKFKHIMRELQGAILVGSVFQIILGYTGLMSLFLRLINPVVVAPTIAAVGLAFFSYGFPQAGSCVEISLPLILLVLLCTLYMRKISLFGNHIFLVYAVPLSVAIVWAYAFFLTAGGAYNFKGCSSNIPSSNILLDSCRRHLETMRRCRTDVSTAWKTAAWVRVPYPFQWGPPTFHFKTGIIMIIVSLVASVDSLSSYHAASLLVNLSPPTRGVVSRGIGLEGISTFIAGVWGTGTGSTTLTENIHTLETTKMGSRRALQLGATVLVIFSFFGKIGALLASIPLALAASVLCFTWALIIALGLSTLRYTQAASSRNMIIVGFTLFISLSIPAYFQQYEPSSNLILPSYLLPYAAASSGPVRTASSGLNYAVNALLSINVVVALLVALILDNTVPGSRQERGVYIWTDPKSLEVDPATLEPYKLPEKISCWFKWAKCIGI >Sspon.07G0026160-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7B:52100213:52100654:-1 gene:Sspon.07G0026160-1B transcript:Sspon.07G0026160-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSFRDLIDHGSEEVIADLEEVFTDSLIVPDSQAALGSADVVVSDEIVPVSTYVVPVSEEVASKELFAGSEEVFADSLVPPDSADVLVSDEVVPFCTNVVADSVQEVTDSIEDVLDDEVVHCPCCGTLHAGGVFGDACFQARRNARR >Sspon.03G0021150-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3B:87698706:87707437:1 gene:Sspon.03G0021150-2B transcript:Sspon.03G0021150-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKRVTGGVGRDEDGAKVGLPSLDLSLAFPQATPASIFPPSASDYYQFDDLLTSEERSIRKKVRSIVEKEIAPIMAEYWEKAEFPFHAIPKLASLGVAGGTIKGYGCPGLSITASAVTMAEMARAPGGWHIDGQKRWIGNSTFADVLVVLARNADTQQLNGFIVRKGAPGLKATKIENKIGLRMVQNGDIVFNKVFVPEEDRLPGITSFQDISKVLAISRIMVAWQPIGISMGVFDMCHRYLKERKQFGVPLASFQLNQEKLVRMLGNVQSMLLVGWRLCKLYESGKMTPGHASLGKAWNSRMAREVVSLGRELLGGNGILADFLVAKAFCDLEPIYSYEGTYDINSLVTGREITGIASFKPAALAKARL >Sspon.06G0008000-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:41861898:41867156:1 gene:Sspon.06G0008000-1A transcript:Sspon.06G0008000-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGDEAETASPASPEARDWSDMTPVCLGEAFSRLALEDLWRGAMACCHSWRDAARSRPGLFAVLDLEPGFAESTPGAEVAAWWTPAFQRRVDTMLRSVATLAAGELCEIHVRHCSDDALAFAAERSPRLSILSIKTSPAITDRSMLTVGACCPMLTELDISNCYEVSYKSLEVIGQSCQNLRVLKRNIFNWIDPSEHVGIVPEDYLRECPEDGDREAITISKFMPKLKHLELRFSKLTAVGLSSIPEGCKDLEVLDLFGCANLTSRGIDQAAANLKNLVTLVKPNFYIPRSSFHMGRYNHWQLYDERFQTNQRPWSMEHPPHATKPLNLYIGVPYVPLFPSSTSLVPKDIETKEKTEVPESATFAGI >Sspon.04G0020340-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:71528996:71534857:-1 gene:Sspon.04G0020340-1A transcript:Sspon.04G0020340-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKISFLMLLVLSLFLFPNGIHKSLAARPSVVSIGSILRLNSTTGGVSDVAIHAAVDDINSDPTVLNGTTLRVDTRDTNCDDGFLGMVQALQFMETDVVAIIGPQCSPIAHIISYVANELRVPLMSFASDATLSSIQFPFFMRTMPSDLYQMAAVAAVVDYYQWKIVTAIYVDDDYGRNGIAALDDELTARRCKISYKVGFRSNAKKSELLNLLVTVSNMESRIIILHTGSEPGLKLLSIANALNIMGNGYVWIATDWLSAYLDANSSVPAETINGMQGVLTVRPHTPNSKMKSNLVSRWSSLSKKYNHSDLRISAYGFYVYDSVWTVARALDAFFDDSGRISFTNDSRLRDETGGTLHLEAMSIFDMGNKLLDKIRNVNFTGVSGPVQFNAQFELIHPAYDIISIIGNGMRTIGFWSNYTRLLSTVLPEDLYLKPPNTSLANEQLYDVIWPGETAQKPRGWAFPSNAKELKIGVPNRFSFKEFVSQDNATGSMKGYCIDVFTQALALLPYPVTYRFIPFGNGTENPHYDELVQMVVDNDFDAAVGDIVITMSRTKTIDFTQPFIESGLVILAPIKKHISSSWAFLQPFTLGMWCVTGLSFLVVGAVIWILEHRINDEFRGSPRQQIITIVWFSFSTLFFAHRENTMSTLGRGVLIIWLFVVLIIQSSYTASLTSILTVQQLETSIRGIDDLKDSDYPIGFQVGSFAEEYMVKELNISRSRLKALGSPEEYAENLKLGPKKGGVMAIVDERSYVELFLSTYCKIAVAGSDFTSTGWGFAFPRDSPLQVDLSTAILTLSENGELQRIHDKWLKTGDCSTEFVDSNQLRLESFMGLFLICGAACVLALLIYFGITLRQYLRHEQPGSAISVDAGSSTSKRSLRKFFSFVDDRQPSPKKKRTMSLSRSSMPTTPMSKSNRPGTEIDIES >Sspon.05G0005130-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:10377537:10380181:-1 gene:Sspon.05G0005130-2B transcript:Sspon.05G0005130-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMEEEAFFDSREELTASPAPSPGPAFPWSGSLDSVCQRRERFMRSMGLECCPAPLQADAVATVGDIEKEEEVVPEFGRLWSQSDENDCSMSSWSTEETGSSVDGVSDDNSVSGSSRDDASSKVGRSFSSLSLIQRLVSRSGKLSGVPKAIERRRNGWLRRLGLRAGVLDHGGDEASTSSSESEQNRCGRYERVKVRCYRKRSKELSAVYQGQVIKGHDGAILTMKFSPDGQFLASGGEDGVVRVWGVTQSEDCKIPMDDPSCVYLKAHRQSGLAPVDADNEKKCKVKGVKQSADSACVVIPTMLFQISDEPLHEFRGHSGDVLNLSWSNNKHLLSASTDKTVRLWEIGSANCITVFPHSNFVTCVQFNPANENRFISGSIDGKIRVWDIPRCSVIDWVDIRDIITAVCYRPDGKGAVVGTITGNCRFYDASDNLLRFETQIALSGKKKSSLKRITAFEFSPSNPSKLMVTSADSKIKILDGTIVTQNYSGLRTGSCQSLATFTPDGQHIVSASEDSNIYVWNHENQDEPSLKHAKTIWSSERFHSNNAAIAIPWNGQKPRNPVSLASQILSPQGDNFWCMSKAVKCSSSRSEDSAINSFVSRFAPGIFNLNQEFSESTCRSSATWPEEILPSRSIRAILDESQYKFLRNCFQTTPNSWGQVIVTAGWDGKIRLFQNYGLPAHQ >Sspon.07G0022290-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:16702561:16708904:-1 gene:Sspon.07G0022290-2D transcript:Sspon.07G0022290-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPYCEVGRHADGDKWEGVRLFYRRYGRGATKVLLIIGLAGTHDSWGPQIKGLTGSLEPDDEAVRPDEEAGVGAAGAAEAAPAEADEAVGGGDGIEVCCFDNRGVGRSSVLPNKSYYSTAIMAMDALALMDHLGWKKAHVFGHSMGAMIACKVAAMAPHRLCSLALLNVTGGGFQCFPKVDGQMLSLAFRFLRAKTPEERALVDLETHYTKGYLEETVGSCTRRMVLYQEYVKGISSTGMQSNCGFEGQVNACWTHKMTTKELDTIRSAGFLVSVIHGRYDIIAQLCHAKRLAERLLPVARMVELHGAHLVSHERPDEVNNALMDLIKATKSSMKPEEWSAQPENTSETGALISARPITVTMQTDEGVIVMGFEHMRNIVRVMKPVRVAAIES >Sspon.02G0053220-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:88232213:88235327:-1 gene:Sspon.02G0053220-2D transcript:Sspon.02G0053220-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLAASSVLFLLVAIVGCASAATFTIKNNCPYTVWPAATPVGGGTQLNPGQTWTINVPAGTQSGRVWGRTGCSFNSGGRGHCQTGDCGGALHCTLSGQPPLTLAEFTIGNTSGNNDYYDISVIDGFNLPMDFSCSTGVNLHCGAPLCPDAYLYPTDDKKTHGCRGNSNYKVTFCPASGHQEEAEGMKSPDEHGGGEWSQQDVLHSGKPSPSATLGEEPPANPLTVKAASPSAENRALGKCFAEFDAVGRRPTPLRAAAPRPEAATLPQHI >Sspon.01G0013290-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:36371265:36374804:1 gene:Sspon.01G0013290-3C transcript:Sspon.01G0013290-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAQDYYSTAVAAAGLALLAICSYYLLLVSRRGQRGGGGNGKQPKRYAPVVGTVLHQLYHVRRLHDYHTDLFRQRKTFQLLVPAGRRQIYTCDPAVVEHILRTNFANYGKGTFNYENMSDLLGDGIFAVDGDKWRQQRKIASYDFSTRALRDFSGAVFKRNAARLAGIVSRNAASGQPMEFQGLALRATMDSIFTIAFGLDLDTLLGGGSGSGEGSRFAAAFDDASEFTLLRYVNAFWKAQRFLGVGPEAKLRRRVKVVDEFVYKCIRDRAQELSDSKATEDAVPDSRQDMLSRFIRTATNETGTVDHKYLRDIILNIVIAGKDTTAGALAWFLYMACKHPEIQEKICQEATKVTSASETATVDEFAQSLTDEALNKMHYLHAALTETLRLYPSVPLDNKQCFGDDVLPDGSSVSKGDIVFYVPYAMGRMEYLWGKDAEVFRPERWLDQNGEFQQESPFKFTAFQAGPRICLGKEFAYRQMKVFAAVLLRFFVFRLRDGEKATVNYRTMITLHIDEGLHLTATAR >Sspon.06G0002930-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:23335581:23344400:1 gene:Sspon.06G0002930-4D transcript:Sspon.06G0002930-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLISASRAGGGRNVSALCAMLGSGGSRIGLRLMQSLEEGADLLRERCLKFHKGCRKYTEGLGEAYDGDIAFASSLETFGGGHNDPISVAFGGPVMTKFTIALREIGTYKEVLRSQVEHMLNDKLLQFVEIDLPEMKDARKRFDKATLLYDQAREKYLSLKKGTRTDVATAVEDELHSARSSFEQARFNLVTALSNMEAKKRFEFLEAVSGTMDAHLRYFKQGYELLHQMEPYINQVLAFAQQSRERSNYEQAALLERMQEFKRQIDRESRWSPNGMNDSPNGDGIQTIGRSSHKMIEEAMQSASKGKVQTIRQGYLSKRSSNLRGDWKRRFFVLDSRGMLYYYRKQNSRPSSGYSNQRTSIPSEHGSGLLSRWFSSHYHGGVHDEKSVACHTVNLLTSTIKVDADQSDLRFCFRIISPTKNYTLQAESAMDQMDWIEKITGVIASLLSSQSPERRLLLSPKGSSHHRTASSSSSFSSSTELEHSINEDCMLEKNSGSGYFEHSARVAQHHRTSMMKPDKPIDLLRKVAGNNSCADCGASEPDWASLNLGVLLCIECSGVHRNMGVHISKVRSLTLDVRVWEPSVINLFQSIGNTFANSVWEEMLPSSSCYAEKDFVRKHNVDEIQLAEQMWDNVSSNNKKGVYSLIVGSNANVNFSYGHTSFNSALTLGKALLLQEQSTSPSNGSSRCFDCNPLEKGSTGDSVSPASTSARIDGLDDYVEGLSLLHLACRVADLGMVELLLQYGANVNSTDSRGRTPLHHSIMKGRHVYAKLLLSRGADSQAVDRDGRTALQYAIDSGTIEDEEILVLLEDPSR >Sspon.04G0007570-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:12191473:12194199:1 gene:Sspon.04G0007570-2C transcript:Sspon.04G0007570-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGQDLVRERKRDTWWMRLLARAPCRLRFPGWRPSIGRHLLHGIAKDNSYCGIGVVLSPLRHHQPAAETPEDGVCGQLSGGILWQSFDHPTNTLKATNDPSPGTLRYMVVTRGEPPEIAMVDSSGATRFHTVVGIPEMASYVDENETKEQVTAYLPPQHNRAWMMVGPRWVMGRSCKIAPFGGRFGSGRSDLKNPARRPHPPCLSSKAQATRPGPVATLVPHVRTACSMQFPTRPPTFPSPMAIMVATATAVASPSSSTSLDPLHPSPRFLPPASTPARCRLLPTPPRWCARLSIAPRVAVGSDVSSSHDVAAEEAAAAPKVGKRVRVTAPVRVHHVAKAPGLELRGMEGVVKQYVGVWKGKRVTANLPFKVEFKLKLDGQEKPVRFIAHLREQEFEIVGDE >Sspon.02G0019970-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2A:65108990:65110870:-1 gene:Sspon.02G0019970-1A transcript:Sspon.02G0019970-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHYRPSTRKVYEPDSHDPTYTSQYKARNSYSNNRYYFLRKRTVVPTTYTDPQQHVKVQTSGARFGRKIYKAVTYRVKPGTATAEVLDTFKSTEQMSGKAESQVTYSQAHNPTSTDHKESESHGTLVASSGNAPSYKDVALARPGTIAKTQIQKPRDDVPQNQPSLGQIIAQEMKDSLVDSLQVEQGPISANTNNSKEETNVLGKLQKLEETKFSEGELEIENLDKDRLQDLPIPKAEEYGIGSEPVNSPKDANVSSNTSQELSSSNNDGAAIEFSESTGSVKTEQTEKSDTEFFEAVPCSIENISVSASTTNAGSLEGVGSEKSKPNLVLNNIDLREMPNKKLSASAPPFNPSPPSVLSPLSGNVGLPPPGAIPGVGPWPVNVSLHPGHSTMVPSGPPLCTSPHHLYPPAPRSPNLMHPVPFIYPPYSQPHVVPSTTFPMNTNIFRPNHYGWQPYMGSAPSEFVPMSSWSSSHTVDFIPTPHVVDPISQSLADKHIQSDAAVVSIGPSLDSNAAVAKEEMETPAVVVSGNLISNKHDDQDKQLKDAIRIELSPDMQEDNRHDEGSFRIYVKGKSRRKQTLRIPISLLNRTYGSRSFKLVYNKVVRENDIFRPSSVSFAEVASSGN >Sspon.08G0009950-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:42628125:42631314:-1 gene:Sspon.08G0009950-3C transcript:Sspon.08G0009950-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQDIKSHRAGAEVITGDAACRKKSVELLEELGLPKGLLPMEDIQEFGYNRETGFMWLIQGKKKVEHTFKKIKQTVSYATEVTAFVEKGKLRKITGVKTKELMLWLSVVEVYVPEASPDKVTFKTGTGLSDTFDALAFALGDHRASAEVVTGDAACRKKSVELLEELGLPKGLLPMEDIQEFGYDRDTGFLWLVQGKKKVEHTFKKIKQTVSYASEVTAITEKGKLKKITGVKTKELMLWLSVVEVYVPEASPEKVTFKT >Sspon.05G0039810-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:79564140:79567055:1 gene:Sspon.05G0039810-1D transcript:Sspon.05G0039810-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSCLHDVLEYLTALHTLRQTRSSMGWTTSEIEGLCLVGGPPRAGIRSTVSESLCCMIAYTKLQHLLLVLSMPLLTKLSTNGVFALSSMKVNNVLWMLPTVGCRSSLSCSYNVFHGKEKRGSSSLDNIWTAALQVMLSTPVVGCPQAPTYFHDMGVQCLIVLNKFVTY >Sspon.05G0023670-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:8206217:8208217:1 gene:Sspon.05G0023670-1B transcript:Sspon.05G0023670-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVMGIISFLPYFNWLSWIFAWLDSGRRRYLVYAAVYLAPYLRTNLSLSPDESWLPIASIFICILHIQLEAGIRSGDIESFKFIERAWKLISPSAAKEKDGHHGNKRGSIGMGDRHNRRIPTAHESRERLRNSEIFKRKLDDPNDEKQKKSDWN >Sspon.06G0002460-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:4381740:4385535:-1 gene:Sspon.06G0002460-1P transcript:Sspon.06G0002460-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEDFEMPPAGADEMMGDDEMGDFGGDEGPVLKVGEEKEVGKQGLKKKLLKEGEGWETPEVGDEVEVHYTGTLLDGTKFDSSRDRGDPFKFKLGQGQVIKGWDQGIKTMKKGENAVFTIPPDLAYGASGSPPTIPPNATLQFDVELLSWTSVKDICKDGGIFKKILKEGEKWENPKDPDEVLAYTLIIAVVKYEARLEDGTVVSKSEGVEFTVKDGYFCPALAKAVKTMKKAEKVLLTVKPQYGFGEKGRPAAGEEGDVPPNATLVIDLELVSWKTVTEIGDDKKILKKVLKEGEGYERPNEGAVVEVKITGKLQDGTVFTKKGHDEEPFKFKTDEEEVIDGLDRAVLNMKKGEVALVTIPPEYAFGSTESKQDLAVVPPNSTVVYEVELVSFVKDKESWDLNNEEKIEAAGKKKEEGNALFKLGKYARASKRYEKAAKYIEYESSFSEDEKKQSKQLKISCNLNNAACKLKLKDYKEAAKLCTKVLELDSQNVKALYRRVQAYIQLADLELAETDIKKALEIDPNNRDVKLEYKTLKEKIKEYNKKDAKFYSNMFAKMTK >Sspon.03G0039730-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:20402993:20408318:1 gene:Sspon.03G0039730-1C transcript:Sspon.03G0039730-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVVMLPWLAFGHILPFTELAKGIARQGHRVTLFSTPRNTRRLIRIPPELAGQIRVVDIALPRVERLPEDAEASIDLPSDDLRPYLRVAYDAAFADTLSAILQEPGPERPDWVVIDYAAYWAPAAAARHGVPCAFLSLFGAAALSFYGPPEGLMGRGKYARTKPEDLTVVPNYVPFPTTVAHRGFEARELFKPGLVPDDSGVSEGHRFGVSIGESQVVGIRSRSELESEWLQVLDKLYQKPVIPVGLFPPPPTQDIAGHEATLRWLDRQARGSVVYAAFGSEAKLTSAQLQTIALGLEASGLPFIWAFRPPADGEAKPGQGTGGLPEGFEERVNGRGLVCRGWVPQPRLLAHESVGGFLTHAGWNSISEGLSRGVRMVLLPLMFDQGLNARLLVEKKIGIEVERDEDDGTFAPKDIADALRTAMVENQGGTRVKELAEVFGNDEANDQCLRDFLRYLSDTAGSIKGSSIPFRG >Sspon.06G0020860-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6B:11267935:11269270:1 gene:Sspon.06G0020860-1B transcript:Sspon.06G0020860-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SITANQGFYLLGLDNTSPTFASAIQNSVPAITFAMAAALRIEKVRLDRRDGVAKVAGTLACVAGASVITLYKGPTIFGPSGGGEMTTVSKAVGGDKNWTLGCVYLIGHCLSWSGWLVLQAPVLKKYPARLSVTSYTCFFGVIQFLVIAAFMERDADAWKFHSGSELFTILYAGFIASGVAFAVQIWCIDRGGPVFVAVYQPVQTLVVAIMASLTLGEKFYLGGIIGAVLIIAGLYLVLWGKSEERARIARDATALVSGAGDRDREGLLAPGSGGGIRSKAAASAGVTQPLLLPSSTSTDNV >Sspon.08G0019270-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8B:11102821:11103285:-1 gene:Sspon.08G0019270-1B transcript:Sspon.08G0019270-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VETEGVERLDPGSRESESPRGAVEPRAGRNVVEASRRGGLVRVGDRTRSRHSVEPASYEADLTYVLRSQHFSGGGARAHPPGVAPEASVEY >Sspon.01G0003370-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:9225200:9231389:-1 gene:Sspon.01G0003370-1A transcript:Sspon.01G0003370-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNQHLHRRLQAQVKAKDIYLHESMVVFNVVRRERLAQRIKALVSPFRKPDLSLSMHNFTKAEFYRVKRKNNTIYNGKAWKEGAGAQLRLHEAPPVTGAKPQNSKTQSHDVSLLSKSNRTPSPSSSTMLSTRRSMWPFK >Sspon.03G0008800-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:5344675:5348214:1 gene:Sspon.03G0008800-1P transcript:Sspon.03G0008800-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRRVASSLLRSVSRLRAASPAAPRPRAPPHRPSPAGYLFNRTAAYASSAAAQAAPATPPPATGKTGGGKITDEFTGAGSIGQVCQVIGAVVDVRFDEGLPPILTALEVLDNNIRLVLEVAQHLGESMVRTIAMDGTEGLVRGQRVLNTGSPITVPVGRATLGRIINVIGEPIDERGDISKCLDLRYILLEGVCARTFVNLLPFYISATNHFLPIHREAPAFVEQATEQQILVTGIKVVDLLAPYQRGGKIGLFGGAGVGKTVLIMELINNVAKAHGGFSVFAGVGERTREGNDLYREMIESGVIKLGDKQMNEPPGARARVGLTGLTVAEHFRDAEGQDVLLFIDNIFRFTQANSEVSALLGRIPSAVGYQPTLATDLGGLQERITTTKKGSITSVQAIYVPADDLTDPAPATTFAHLDATTVLSRQISELGIYPAVDPLDSTSRMLSPHVLGEDHYNTARGVQKVLQNYKNLQDIIAILGMDELSEDDKLTVARARKIQRFLSQPFHVAEVFTGAPGKYVELKESVKSFQGVLDGKYDDLPEQSFTWLEASRKSLPRLRKLPRSLLHKEASCLLNPVQVPFLDFKHLFVLFAVRQDEPESHLLLRDACFSLFLFFHLTPK >Sspon.01G0007000-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1C:16744764:16744979:-1 gene:Sspon.01G0007000-2C transcript:Sspon.01G0007000-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ENEEQECIGSDRQSGSGGRDLQAPGWIGRNGQRGSVCFSFRKGDEHVCAPFPIRKSSRQSYSYQRPSANDC >Sspon.04G0007350-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4A:20974792:20975448:1 gene:Sspon.04G0007350-1A transcript:Sspon.04G0007350-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPNLSSDAPKFPSKPKHAEADVEAAAAAHGVDYLARAQWLRAAVLGANDGLVSVASLMIGVGAVNDGAREMLVSGLAGLVAGACSMAVGEFVSVYAQYDIQVAHSERGGSDDSSSGGRGGDEERLPSPTKAAAASALAFAVGAALPLPSGAFVRPWAVRVAAVCAASSLGLAGFGAAGAYLGGASIVRSGLRVLLGGWLAMAATFAILRLFSLALKTH >Sspon.05G0032450-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:27842135:27854910:-1 gene:Sspon.05G0032450-2D transcript:Sspon.05G0032450-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRCSCSPLAACVALVLLAASLLACHHGCAAAAVAAPTTLSTASRWVVDESGSRVKLACVNWPSHLEPMLAEGLGKRPVGAIAGDVAAMGFNCVRFTWPTFLVTNASYSDLTVAQSFQRLNLTESLASIRVNNPGVVDLKLIDAFKASLCLFSDDTTRHAVVSSLGEHNVMVILDNHLSKPGWCCSNTDGNGFFGDALFDPDVWVDGLTKMATMFAGVPNVSYPFLLPLDRGLPARRAAVPVREQGPEEAAPASRGRRRRRTAAARAVLAGAEQGEGGRSWAMEGALLLCLGRLDRADDTHPRRHARRYMQRGAEAVHAANPRVLVILSGLQFDNDLAFLNSRPVNLSFTGKVAFEVHWYSFFDSPEWSSGNANQVCARITAGITRRAFYLLDKGWPVILSEFGVDNRGITNDNRYYGCAAATAADLDLDWALWALQGSYYLREGVLGLDEVYGVLDWAWSRPRNATALRRVQALQRPLRGPGLAEAAPYTVLFHPVSGMCVVVRRRSPTLTQPLELGLGPCNETEAWEYSAQQQRLALRDIALLCLRAEGAGRPATLGVSCGDAMAKWSLVSDSKLHVAVNATSSAGSDGMLCLDVGADGRSVVTNPCRCLSADNSCDPQGQWFKLSGSRVKLACVNWPSHLEPMLAEGLDKRPVGAIAGDVAAMGFNCVRFTWPTFLVTNASYSNLTVAQSFQRLNLTESLAGIRVNNPGVVDLKLIDAFKASLCLFSDDTTRHAVVSSLGEHNVMVILDNHLSKPGWCCSNTDGNGFFGDALFDPDVWVDGLTKMATMFAGVPNVRGAEAVHAANPRVLVILSGLQFDNDLAFLNSRPVNLSFTGKVAFEVHWYSFSNGPEWSSGNANQACARITASITRRAFYLLDKGWPVILSEFGVDNRGVNTNDNRYYGCAAATAADLDLDWALWALQGSYYLREGVLGLDEVYGVLDWAWSRPRNATALRRVQALQRPLRGPGLAEAAPYTVLFHPVSGMCVVVRRRSPTLTLTQPLELGLGPCNETEAWEYSAQQQRLALRDIALLCLRAEGAGRPATLGVSCGDAMAKWSLVSDSKLHVAVNATSSAGSDGMLCLDVGADGRSVVTNPCRCLSADNSCDPQGQWFKLVSSTRSVVTKQTMLAQLPLKLKNWKI >Sspon.01G0028940-4P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:80944889:80956512:1 gene:Sspon.01G0028940-4P transcript:Sspon.01G0028940-4P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:KNOTTED-type homeodomain protein, KNOX protein, Shoot formation during embryogenesis, Control of seed shattering, Control of internode development, Repression of lignin biosynthesi [Source: Projected from Oryza sativa (Os07g0129700)] MDQSFGNLGAGAGSSSGGSNSKAAAVSSSSFLQLPLSTAAASSPAYYGAPLALLHHHAAAGGPSSQQQQQLPYAKHASAEMSAAEAEAIKAKIVAHPQYSALLAAYLDCQKVGAPPDVLERLTAMAAKLDARPPGRHEPRDPELDQFMEAYCNVLVKYREELTRPIDEAMEFLKRVEAQLDSISGGGSSSSARLSLTDGKSEGVGSSEDDMDPSGRENDPPEIDPRAEDKELKYQLLKKYSGYLSSLRQEFSKKKKKGKLPKEARQKLLHWWELHYKWPYPSETEKIALAESTGLDQKQINNWFINQRKRHWKPSEDMPFVMMEGFHPQNAAALYMDGPFMADGMYRLGS >Sspon.05G0006490-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:21867859:21870591:-1 gene:Sspon.05G0006490-4D transcript:Sspon.05G0006490-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGMEAEEGVMATDFFWSYTDEPHASRRREILAKYPQIKELFGPDPWAFLKIALVVSLQLWTATFLRDASWLKLLTVAYFFGSFLNHNLFLAIHELSHNLAFTTPSLNRWLGIFANLPIGVPMSITFQKYHLEHHRFQGVDGIDMDIPSQAEAHAVRNAVSKSIWVVLQLFFYALRPVFLKPKPPGLWEFTNLAVQVGLDAGLVCLCGWRSLAYLILSTFVGGGMHPMAGHFISEHYVFSPEQETYSYYGPLNLMAWHVGYHNEHHDFPRIPGTRLHRVKEIAPEYYDSLKSYRSWSQVIYMYIMDQTVGPFSRIKRKAPKKDS >Sspon.01G0024630-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:87861395:87865735:1 gene:Sspon.01G0024630-1A transcript:Sspon.01G0024630-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASNGGGGGGPGPGPISAEAESALGEAIRLVFGRWTALQMAVENQWGGRDSRAKADQFGESILFWFCRTKGPCYFEDLVDMMYDKISESFNADFEDNSVEEVAEQLLIIHEECLQNNYSSIEKLRNSHVQGNAVSQSRQVVADDDDDSDSSDDGDDTPMMDDQEAVPEDMAVDRPRPPRPTPDADGWTVVPPRRGGRRQN >Sspon.07G0018860-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:80782171:80782821:1 gene:Sspon.07G0018860-3D transcript:Sspon.07G0018860-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGRRRAAVSVVAAVSLLLLLSSCSFSVVVAGDEGEPAVGSPDTNQLCVSKCGTCPTICTASPPTILPMTAPPPPALALLPLSAPPPPYLELVLPPPPPGDVNDLLPPVMPLTSPPAPESPCSTPPSSSSSEPPPSPPPPPPPKSSGSGSGSGSSSSSSSPSAPSHFSSPPSPPSSSNPYYYLYLSGGAKARGGASSSACAALVLAAFLPVVVALF >Sspon.01G0020780-3C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1C:77168254:77168629:1 gene:Sspon.01G0020780-3C transcript:Sspon.01G0020780-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPPRVCVTGGGGFVASWLVKLLLSRGYAVHATVRDPCDPKNEFLMQLDKASENLRLFKADVLDSGTLAAAFAGCEGVFHMASPVPEDKMVDPE >Sspon.01G0011180-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1A:30822057:30822856:-1 gene:Sspon.01G0011180-1A transcript:Sspon.01G0011180-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTPAEELAPGLPTNPSEEPAPAPRLPTATPSEKPTPGLPPPKGPVDQPRRRRRPCVFLSFAAARDSFLRGRFLSAGLRPFSVRLPSPVGTSTVVHLWAPPRPARRPVLLLHGFGASATWQWAPYLRSLLAAGLDPIVPDLLFFGASSSTVPDRSDTFQARTVKAAMDGMGVRRFAVVGVSYGGFVGYRMAAMYPDAVERVVLVSSGVCLEEGDLAAGLFPVADVGEAAELLVPRRPAEVRRLVKLTFVRPPPIMPSCFLKDYIN >Sspon.02G0000240-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:1100747:1107764:-1 gene:Sspon.02G0000240-1A transcript:Sspon.02G0000240-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRTTTFFVLSLTILLGTSVAAVTDVSQEAQLRKFMSSRALKKLTKRASRANEAEETDPWADPNAFAHLPERCKGPASGSKEADRVLGLPGQPPRVNFRQYSGYVTVNEEHGRELFYYFVESPYNATSKPLILWLNGGPGCSSLGFGAMKELGPFRVNPDGTLRRNKHSWNNLANVIFLESPAGVGFSFSRNASDYDTGDQRTAEDTYVFLIKWLERFPEYKGRDFYITGESYGGHYVPELATVIMHMNRFPGLLTRINLQGIFFGNPLLDDYMNDKGELEFLWSHGVASDEEWAAILGNCTFTPSDDWPCVDAALAVRRGNIDDYDIYAPVCLQSDNGTYFASSHSLPGYDPCSYYYIEPYLNKHAVKKALHARLDTNWTGCSGDFDSVCSLLATRYSVNDLNLTITTKWHPWYTPDSERPTCSSWESPAGVGFSYSNTSSDYDKSGDQRTADDAFVFLINWLERFPEYKAGTFYISGESYAGHYVPQLAAAILSHNIKSKSDIINLRAILVGNPYLDDNKNTKGQIDYLRSHGVISDEVWANITKNCKFSPADGNACSDAMALYDSGYISGYNIYAPVCIDEPNGNYYPSSNVPGIDPCSNYYIQAYMNNPLVQKAFHARMTKWSGCTDLHWKDAPVSMMPTIKWLQGHGLPVWLYSGDFDAVCPLTATRYSITDLELSVMEPWRPWTATIE >Sspon.08G0008680-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:35475281:35478259:-1 gene:Sspon.08G0008680-1A transcript:Sspon.08G0008680-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADDALPLASSAPSWPVSMHSAAAAAVYYLGFSFALAQGRAAGVFDLGGARRRGCRWSRAVELALREKVAALERQVEELRHRRAEDARANEKVAGIFASHEQRWFAERKSLRRQVHAVVAAARAREAKREEEAAELRRQVEEQRDMVALKDRALEQEVQRRQGAEERLRAAERSAEELRERAGREALEHAAEVRKHKAAFVELASAQRQLEADLARAARLADTAEAELRAALERRDEAAATAADLSTEAARLRRDADHKDKILSAMLRKSKIDMEDREMLVREVKMCKARRKQAELEADRWRKMWESRGHRRGSSRSSARGAPADLLLPAGSSADKLLAPDAVARATKDTTKILFVDHVEGDGKKDHRQAPAKELTTIECVDRYANHVDDKPAVEEYQGLQEWFQMETEKYTAMIKHRHTAEIEAFTEQLRLKDEKLEAFRWRAVSMDVEATRLRSRIQELEGRLARHEKHSAGLEALLLDRANENRALEEQLETLQAQAPGVEMCTPAGGQDDGPDDHSIPCSPVKVVQRTMSSGSSRHQESTEVSKYQTKLDEVVSVSPEDHKEDWKELDVHETEALVVSVGDLASAAAAATSMEHDRHDAPASRQSFRSEIEEEKEVYTDPGNAQTTGSSSQEQEATSELALVVLPPGQKSSAWKTDIHALAVSYKIKRLKQQLLVLEKLANECKEEAAATKPSGSEASCSSSSRQQPRSRYHTMMSFLSKHVKRYQSLDDKIDDLCARMEESKRSAGRERHGAGEQSQSQSAALGQFLEETFQLQRFMVATGQKLLETQSRIAPGLARDRSGGGGDGDGVDMKRLMEVARALLRDVQRGLEVRIARIIGDLEGTLTFHGILRTTR >Sspon.07G0003310-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:7726410:7728510:1 gene:Sspon.07G0003310-3D transcript:Sspon.07G0003310-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFMLRVRLASFFAGAAAAAAGGGYFLYKDYKLANDSMALKVRTPPPFLLASHQILDYCACIAIGALGDGGTRARIGMLRAGWGDRGGEPSRDGVPSSFSPGRHATDVLFDDPITVLWFCFTKHASVDRLMQGLQDSTDTRYKALEKRLAALEERSTGAAPD >Sspon.05G0013380-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7B:60779725:60780498:-1 gene:Sspon.05G0013380-2B transcript:Sspon.05G0013380-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGDKAANGAATIPNGASAASVGAQLRPGGQNHRVAPAKFRYVAVDTEFPGTVYRPAAPAHTLKLEERYRVLRSTVDALDPIQLGLTLFDVGGHLPSLSDATTATATRYVWEFNFREFDMWRHRHAPESIVALRAIGVDLDWTRRHGEDAVAVFGPRLRKWARVELGLAGVVTASGGYDLAYLVKLMFGPGFRMPASAAEFEVVAGVLLHRRSMFDVREMARLCPTDDLRCSLDSVAEKLNVARAAGQAHQAGYDSL >Sspon.07G0006370-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:16699980:16702141:1 gene:Sspon.07G0006370-1A transcript:Sspon.07G0006370-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLIFAIAILAATTAAVLFHGSDAQELTQSHQTERISGAGARTFSFEDDPVGKLKVYVYDLPAKYNTEPLEKDPRCLTHMFATEIFVHRSLLSSAVRTLDPEEADWFYAPVYTTCDLTASGHPMPFDSPQMMRSAIRLIAERWPYWNRSEGADHFFVTPHDFGACFHFQEEKAMARGILPVLRRATLVQTFGQRNHVCLKDGSITIPPYAPPWKMEAQLLPPATPRSIFVYFRGLFYDAGNDPEGGYYARGARASVWENFKSNPLFDISTVHPTTYYQDMQRAVFCLCPLGWAPWSPRLVEAVVFGCIPVVIADDIVLPFADAIPWADIGVFAGHHPDVHPVEVVLRKQRLLASPAMKRAVLFPQPAQPGDAFHQILNGLARKLPHGDDAFLRNGQTFLNWTAGPPRDLKPW >Sspon.03G0004770-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3A:13391957:13392375:-1 gene:Sspon.03G0004770-1A transcript:Sspon.03G0004770-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFHLGTERVQGVGSPVRFPQRGEMSNEKETALVWSIVTKFMDRWGRGTGSRYVVRYLREIFTLRVENEPVNLDRGRRTRVEVVNPFYVTMVWSGLWYSEWSEARGVILG >Sspon.06G0013280-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:56839892:56849875:1 gene:Sspon.06G0013280-3C transcript:Sspon.06G0013280-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGGTLQINWHDQQPVLSLDFHPASRRLATAGADHDIKIWVVASDGSDDKLPTATFKYALVPNNTAHSSAVNVLRFSPSGEYLASGADGGGIILWKLHPVDGGEAWKIHKTLLFHHKDVLDLQWSHDSAFLVSASVDNTCIIWEASKGDSRHSTVHQKLEGHLHYVQGVAWDPLGQYIASLSSDRTCKIYANKPQGKSKNVERLNFVCQHTLVKVEYQNHDESKPPIKSHLFHDETLPSFFRRLAWSPDGSFLVLPAGLSKHSSEVINTAYIMSRRDLSRPAIQLPGASKAIVAVRFCPVLFKPRGSNPDGLFKLPYRVVFAVATLNSLYVYDTESVSPILVHAGLHYAAITDIAWWVLIQFCFCSLPIELCISTGASNSSLQSIYVRSSDAKYLAVSSRDGYCTIIEFENEELGELHILPGTGELAEGNLACENKKPVTVDSMEVDVDDNKVKEATIPVAVEVTLPPVSTKNSTSSKPAKRRITPIAIN >Sspon.01G0028800-1P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1A:100363398:100364057:-1 gene:Sspon.01G0028800-1P transcript:Sspon.01G0028800-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTGGIAGASSLAASPSISAGFNPSALLPFLQATKWLPCSDIVTAATASRSSGRPAAATAAPAPRTAAPAPRTAAPSPRPAPRAAAPSPCPSPVPSPAVAAPSKVGIQALVGSASIASGSAVIGRGAIASGAAGMVKKSGPSLPAGAGVRRKTGWLSRWVSSCSDDAKTVFAAVTVPLLYKSSLAEPRSIPSRSMFPTFDVGDRILAEKVCFISVPFVI >Sspon.01G0028830-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:100405755:100406528:-1 gene:Sspon.01G0028830-1A transcript:Sspon.01G0028830-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSWFTMDSAELLNIALDKYMKNLIRSSVELVGRSVQRDAWKGTPPFQFLFAYSQMLPSKDSVVSSCCWSSIKVWLCLRPRCCCCSLLDDTTKSTLDLEKISLCFHISSEI >Sspon.01G0024710-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:97543407:97553063:-1 gene:Sspon.01G0024710-2B transcript:Sspon.01G0024710-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLECLRRGLSLVQQPTTRVLARPYLHPARLFLHHFAADAMGEGSSAGKDAKAKGKGKSKAPAADSVLVVRDDSYLEAVTQKRIRLFEEIQARQAVERLNISGEVIKVTLPDGAIKEGKKWITTPMDIAKEISSGFASSCLIAQVDETLWDMGRPLEGDCKLQMFKFDTNEGRDTFWHSSAHILGESIERAYGCKLCIGPCTTRGEGFYYDAYYKDQTLNEEHFGIIESQAKKAVAEKQPFERIEVEIINELPEDKTITVYRCGPLVDLCRGPHIPNTSFVKAFACLKASSSYWRGKVDRESLQRVYGISFPDSRRLTEYKHFLEEAKKRDHRILGKAQELFFFHELSPGSCFFLPHGARIYNKLMDFMRQQYRARGYQEVLSPNMYNMQLWETSGHAANYKENMFVFEIEKQEFGLKPMNCPGHCLMFANRVRSYRELPLRMADFGVLHRNELSGALTGLTRVRRFQQDDAHIFCREDQIKDEVKAVLEFINYVYEIFGFKYELELSTRPEKYLGEIETWNKAEQQLTEALNEFGKPWKINEGDGAFYGPKIDIGVFDALKRKFQCATLQLDFQLPIRFKLAYSAEDEAKIERPVMIHRAILGSVERMFAILLEHYNGKWPLWLSPRQAIVCSVSSGSVEYAKQVLATLHEAGFHVDIDASDRTIQKKVREAQLAQFNYILVVGAQEAETGNICVRVRDNADLATMSVDGFITRLKEEIM >Sspon.03G0013400-3C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3C:54796718:54798401:-1 gene:Sspon.03G0013400-3C transcript:Sspon.03G0013400-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAPCDGDGDADWCHVLGDFNFNLLLCSSSSSPHAMAGSRSVYWSASVSRARTSDFDSPAGQVASPDGSRFSTFISSLLPDVRARDCLPIAAAPPGPGAGGHLQRCETEVLEASSDGAFVAADCFSSALMNLHREDDSFYMSMYSAPPPAVGDQYCSDQLPPLPVDGALTSLDDALLLAPLSDIDLEAFDNADEQKPPLDQLIMIPPAVHHHHTAAATRAPPIHGTTAGQNAGVFVHAHHKKAMAIEDSCFRRGGAGGVEMAAVRHHDERRQAGSAVALVPPPPPLPQPLPPLSLPRPRPRRSGGVRQSAPAGKTRLDHIGFDELRKYFYMPITRAAREMNVGLTVLKKRCRELGVARWPHRKMKSLKSLMANVQEMGNGISPVAVQQELAALETYCAFMEENPWIELTDRTKKLRQACFKESYKRRRAAAVSVMEMDHIYSFGQQHHHHQLQPPTS >Sspon.04G0005370-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:15526534:15529724:-1 gene:Sspon.04G0005370-1A transcript:Sspon.04G0005370-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPAAAISGSSSHLVVSSPRLRQPLTLPPRTARPIAAAAASPVARRGVAVAAVSSPAVSAAAGKDAKQAPKDFLHISDFDKDTIMKILNQAIEVKAVIKSGDRSFQPFKGKSMAMIFAKPSMRTRVSFETGFFLLGGHAIYLGPDDIQMGKREETRDVARVLSGYNDIIMARVFAHQDILDLAKYAPVPVINGLTDYNHPCQIMADALTMLEHIGRIESTKVVYVGDGNNIVHSWLLLAAVLPFHFVCACPKGFEPDAKTVEIARSAGISKIEITNDPREAVKGADVVYTDVWASMGQKEEADYRKQKFQGFTVDEALMEIAGPQAYLMHCLPAERGVEVTDGAIEAPNSIVFPQAENRMHAQNAIMLHVLGA >Sspon.03G0032040-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:33794522:33800793:-1 gene:Sspon.03G0032040-1B transcript:Sspon.03G0032040-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRSSRHRSHRSHRRGGSADRSESEGEESAPAAGAREEAAAAARVSRDPEPEKRRSSSGKEAVRSGNGYAEHGKKRKERVEEAVVDVVSDRWNSGVCDDHLVDKRSKSETFGHAEVEKLADKSRGSGDESKRSSRRAVVVDDRAEEVASKSDSGKRRSEKEKDLGRKESTGHYKDDRDREREKEREREKEWERQKERDRERKREKEREREREREREKDRDRERDRERERERERPKERERDKKDYDSKHERYEDRKSGSKTSRTEEEVYSYRSTDVNEISAKEKYNNPDMQADKHSRRKDDSEDTDKWPTDNRESDDRKTLSRYEHGKSRSSKEQRFDDDKYKEKYKDDYGRDKRQHDDKFSDERVARGHESDRADYKSAKDGHRSSESHYRKDAVQDVEHYEDYGNRYKESRGKKRPPEENDDQYDLKPPSTRDQRVHLEKSSGSGRLDSLIERARPDRSSSPSKIHSRSSPSPSSYHDKDQSRHGSKAIDHGKREMPYDERNSRPRTSSGRERTPASRLRDRDAENWSSERLKQKDDHQPRDVALEISTSSHYDRTPRKDKHTSPKQLSEKSPSSGDQRFSGRLSGGRSLDSKGERNSLTKYRDRDGDLAQERSHHQDRTPAKVPFREPTPSNSSIGRGGHFSGSSPNHPMPPSARNSDSSFLGLHDDDRRPQNGDRRFHGHQKRNDMNSVRGHGHAWNNPPNWPSPVSNGFVPIQHGGAPGFHPPVHQFPGPPMFNIRPQMKLNQPGVSYPMHDAVDRFSTHMRPFGWPNHLDESCPPHMQVWNGGSGVFPGEPYMYGRQEWDQNRPHAGSRGWELTGDVSKGPSDVPDAELPVAKKEPDSAITAVSDSGGQHNLQPQAEQKEIPHLTAETIEAKDYDSKRSKSLEAPQGAQLVTSMLLKNGVVFSKNYLSRISVSHDLVESELYKRCISLLGDLGVTKASHLVRNELQRALALHKNQTQKGLITASASVKMEKNMDVPEDDHDMEMLEPVVSNPALHCHTDVMGEGSLSKQELGDGIGGTIPATIGSGGLDAPPEIPLAQPEVVVATTAITQPNKDMEDVLPPAIEDGALQATLEHAVGILEVTPADGLEDVAPSAVGESGDDMEIILPAMAEPRVGKDAAPVASPLDSQEKPSIMQDTETGMEVEVDKVNDGSPGVGRVSSILGTKLDVAATDGDSEALLVESRGVNSLY >Sspon.06G0013010-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:68000441:68005506:1 gene:Sspon.06G0013010-1A transcript:Sspon.06G0013010-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCVSSKQFHGGDDHGDGKPRRRPSSNSLKRLVSYSSSKRHEDLEEEDEGVPVPATSSSSAVRRTGNDASTARLIRKPSAPVVEAVPALPEEAATLAVGAADAERAVAAATGNRKRPPADVQVNGAAEPEPRSGGLRPEGEAKPRIRDVPNGVQGEHVAAGWPRWLTEVAAEAVRGWQPRRAESFEKLDKIGQGTYSSVYKARDLENGKIVALKKVRFANMDPESVRFMAREIHILRRLDHPNVIKLEGLVTSRMSSSLYLVFEYMEHDLAGLAATPGLKFSEPQVKCYMQQLLSGLDHCHNRGVLHRDIKGANLLLDNNGILKIADFGLATFFNPNQKQHLTSRVVTLWYRPPELLLGATNYGAAVDLWSAGCILAELLSGKPIMPGRTEVEQLHKIFKLCGSPSEEFWANLKLSRATIFKPQHPYRRCVNDVYKDFPTTALTLLDHLLAVEPGNRGTAASALDSEFFTTKPYACDPSSLPKYPPSKEYDAKLRDEEARRQRAAAKGQEAEAGRRKQLPAPDGNNGLQHRRVQVNPKSSSYKFTPKEDAVSGFPIDPPARAADNGYPQRVPLMQAGRSSSTLGRSSGMDPKAQRFHTSQIITAEMSNQSTASGQRGNAPKMSNLGESARRQYLREHRSSSRYSQLTAADPSDRPEWNHQVQERPSSSHRKDDAAANKEPTAILREHERQIQQAVRRARLDKGKGKHNVERDQSEALLYTTGNIRADR >Sspon.03G0004010-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:26999785:27008924:-1 gene:Sspon.03G0004010-2C transcript:Sspon.03G0004010-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein MOR1 [Source:Projected from Arabidopsis thaliana (AT2G35630) UniProtKB/Swiss-Prot;Acc:Q94FN2] VLDTASSASAGSSDGLPREDISAKITPTLLKNLGSPDWKVRLESIDAVTKIVEEANKRIQPTGTADLFSALRGRLYDSNKNLVMATLSTIGALASAMGPSVEKSSKGILADVLKCLGDNKKHMRECTLTALDSWVAAAQLDKMVPYIIVSLGDQKTGSEGRKDLFDWLSKHVSKMGDPSEALPLLKPSASSLMDKSSEVRKAAESFMNEILRICGQEMVCFILLLHSFIFPFNAAAFSLQLNLLFGVGFSESVKMVTTSMSLPSKAGLKNNKHGPNDRGSNVGKPVSQRGLPARASVTMVSTQDPAQSQALFNIKDSNKEERERRVLVRKFKFEEPRREQIDELKIDLFKHFREDVSLRLWNSDFKRQIDGIELLQKALPSSGKEVIELLDILLREKMGELIKQMVNIYSLPKLLPYVLEGLRSKNNRTRIECVDIIGYFIDHHGTEVGGLMKNLPSVAALTAERDGEIRKAALNTLATAYKNLGDDVWRYVGKLSDAQRSMLDDRFKWKAREMDKRREGRPGDARAALRRSVRENGSDIAEQSGEVVSRSVTGSMISRDFGYADAHMDRHMVTRQIPAATGPADWREALEIVALGLPEQSVEGMKVICHELTQAADPESTVLEDLIKEADRLVSCLAVMVPKTFNFSLSGASSRSCKYVLNTLMQTFQIKRLAHAVKEGTLDNLITELLLWLLDERVPLMDDGSQLLKALNVLMLKILDNAERTSSFVVLINLLRPLDPSRWPSPTPAESLAVKNQKFSDLVVKCLIKLTKVLQSTIYEVDLDRILQSIHIYLQELGMEEIRRRAGADDKPLRMVKTVLHELVKLRGTAIKGHLSMVPIDAEPQPIILAYIDLNLQTLAAARMLTPSGPMGQTHWGDAASNNPNPSSHSADAQLKQELAAVFKKIGDKQTCTIGLYELYRITQLYPKVDIFAQLQNASEAFRTYIRDGLAQVEKNAAAGRTPSSLPLSTPPPIAAIPSPKFAPSPVHTKSIGSKTDYNEDNASGETQPFRGQGTLDALRERMKSIQAAAIGHFDGAQARPLASMNGSNMLHGGTRLDGEPQQQSNIPPMDERALSGLQARMERLKSGSMESL >Sspon.07G0011820-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:42172445:42176900:1 gene:Sspon.07G0011820-1A transcript:Sspon.07G0011820-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPVAAEEGEDPRWRRSNTDCVSFLASRFACTKGANCEFRHCEGARFNQSCWYWFRGNCVNPSCTFRHPTLESLNRTKPLADPLLSYASASIKASSPCYFYYNSYCKKGDNCPFLHESITRKDVVGISSEALTSNLAKNSNPAGNQMIESSKDALANPCQRSPDLTKDHQSGLPASSSPKHNGLILNAPQTTVDTVGYMKSSTLSDQSSGDSAIEHAEQDISRDSSPGFDVLVDDGLSNMIDLEHQSTQERDTEVLHVKHCVGDSIVYGLDYHDAKYNEQGLHGFEHGSCLDYFEGVQGHDCSTTSGHILHNRINLVNPSCEEHVPRFFNPRSLMGSHAGSDHQNSQIGRISKRPPERRGAKGNNGCNKRCRIHEARNGSEEIDTRPTHDMQNSLIGDCSPPLACATFRGQKKKSKRKQRHVRSARPSKYSTAKVKHLDSEDFMGPKTLAQIKEEKCRSKSSASHPTVHMPHGRSSSNDFEGPKSLIELLKVKGRTSVDRESCCSKFGLGQEPEGSWGSAADAVLPALDPPSPKVSWCMFCGIFRKIPHSHPNPSPEDHYASVFQMIVEYKAFILRMGCYAEY >Sspon.08G0018010-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:4601761:4603206:1 gene:Sspon.08G0018010-1B transcript:Sspon.08G0018010-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMASSASALLLAVSLAALHWCCRHGQGADAGSGNGITAIYSLGDSITDTGNLVKEAPPGMFETIKHLPYGVTFGYPTGRCSDGLLMIDFLAQDLGLPFLNPYLGKNKSFDHGVNFAVAGATAVDPADQYNLTVPVPVAFNSLKVQLRWFKNFLKYTFGTDQGKQPLQIRRRLQTSLVLVGEIGGNDYNYAFFQDKPVAEVEKLIPGVVKTIIDAAKEVLDMGASRVIVPGNFPIGCVPGYLAMNAAKSEPADYDSAGCLRELNDFAAKHNSRLRRAVADLQASYPDAAVAYADYFDSFLTLLHNASTLGFDAASTRKACCGGGGGEYNFDWWRMCGFDGAAACAEPSTYLSWDGIHMTQAAYRAMSRLIYHG >Sspon.04G0017100-2D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4D:70946279:70946788:1 gene:Sspon.04G0017100-2D transcript:Sspon.04G0017100-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPFLLVLLAVLLPAPPSLGAGYGEGAGTIDITKILAGFPEFSNFSAMLTETNVALGINSRDKVTVLAPNNTAVAAAFGGVPKIPRSFLADLLALHVVLDYIDEPRLGALKRGRVGQGSVVTTLLQAMRAVPRGTGFLRVYSGRDGRATISSAAPAGSGTPPSRGWSPRS >Sspon.05G0002080-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:4697779:4710991:1 gene:Sspon.05G0002080-3D transcript:Sspon.05G0002080-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLVHRNLVRNGPGSVKLVPEEEDDLWHAYNLIAIGDNLQAVTVRKVLREVASGGRDAERVKLKLEIVVESVDYDKEGSVLRVRGKNITENDHVKIGQFHTLELELKRPFVLRKALKKFFEHVLQLVPEEEDDLWHAYNLIAIGDNLQAVTVRKVLREVASGGRDAERVKLKLEIVVESVDYDKEGSVLRVRGKNITENDHVKIGQFHTLELELKRPFVLRKEIWDWLALETIQQACDPAASADLAALKKFFEHVLQALLKHIDFEVVQCVVIASPGFTKDQFRDYLFLEAARRDLRVIIENKQRLVLAHATSGYKHSLKEVLDTPGVMALIKDTKAAQEVRALQDFFNMLTNDPARACYGPKHVEIAHEQYAAVQTLLITDTLFRNTDIATRQKYVNLVESVKKSNSTVHIFSSMHVSGEQLAQLTGIAAILRFPLPQLEDIEM >Sspon.01G0007780-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1A:21622659:21623462:-1 gene:Sspon.01G0007780-1A transcript:Sspon.01G0007780-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VDTTTGEADEDGVEDEYQLEDFEIVSADYMLRVAVSNFRNAWENMDPESERVDEYGLGVRESLAEAVNAVINILGMQPCEGTEVVPRNARSHTCLLSGVFIGNVKVLVRLSFGLSGPNEVAMKLAVRSDDPEVSDKIHEVVASG >Sspon.03G0034490-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:74339552:74340621:-1 gene:Sspon.03G0034490-1B transcript:Sspon.03G0034490-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRVADVMLLLLGCDGSVLLDPSPANPDPEKASPSNGGLRGLEVIQEAKRQLESACPGTVSCADILAFAARDASNILSSGAINYGVPSGRRDGLTSAASDASQSLPPPFAQLDRLTELFAAKGFTQDELVTLSGAHSVGRAHCGSFSQRIHPNVSDTMDKEYGAGLQQQCPTDAGDAVAVDQDQGTPADLDNQYYRNVLAGKVLFNSDWALISNDTTRQMVADNAGNQAQWAAKFIDAMRKMGALDVLTGDQGEVRSFCN >Sspon.02G0053960-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:108998979:109002528:1 gene:Sspon.02G0053960-1C transcript:Sspon.02G0053960-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRPQFARGPRRQQRRDSGGAQPRDAAAAPVQDLAAAPVRDAAAARDVAAQAHQEALRKELVVRALRRTRPRRWQPPLPTTTRAPDVTTICNYGLMSSSREVSQMKDEATIDLLQAGACKYVT >Sspon.05G0035390-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:72705404:72710507:-1 gene:Sspon.05G0035390-1C transcript:Sspon.05G0035390-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVPVPPPRSDNDEGPRSVQKSYTITKSRESWTDTEHDKFLKGRVVCGGHYGICAHTRAADAKQKAMSCQGIDKLANPSSLPSPSPCSPRTIGAASTALALQYAEPATYRRSWRTPHPLYNRAAIDRRSSPPLLPPRRAACPQQGLKFFQSNYDPIASMFMKAWKFDIGNWEGDPNGGYKIITLNDIGI >Sspon.02G0046970-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:6599019:6600176:1 gene:Sspon.02G0046970-1P transcript:Sspon.02G0046970-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQGKEVKTRPDPKVEIQEKGEIFFFYRPKVDKDEVHSPDDVQRMYIVLRPESTGGGRAVEEKQAPDSGKEGRKRHQQGDGGQGGGGAEGGHGKQEVNIEEQPLLRLIVMGKKSLPDPAKHSRPYWGYVELVTTKVQDIKDALKEEEYITATRGKRHRPAARALGEGVYRILKHESSGGRGRSPHTHLVYKLELPTRGAGEPQEAMNVEPEASFLVQVKNPDPPSGGGFRGLQNKRRAAFPAHLQGVFGSRRTRQTCSTTRAASCCSSRRRTTW >Sspon.06G0011590-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:50077810:50082745:1 gene:Sspon.06G0011590-2C transcript:Sspon.06G0011590-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GMSKFPTPVVTWHVADGFSTSAVHLDSRLLTHGSPLHDDVVPAEGGFQLTANLVAAGNQRRGNQSPRQQREGALLLWQWQFSTATRGMEASGSESGPMPLRNAETVAHPKPPVHPQVPPPRRRSEVVLPPLETQLQQPPAGFRAVQQPVSISLPASPGSLGVLTPTASTTDSGDLRRQAMANAARGPHRLAAQDTGSNSVRFTQPDRDREAMMFHSQPIPRPPSASTAGRGSRAGAAMNWHADRRYDSFKTWSGKLERQITHLAGGPPDDLGDDVGDVIGSHSHRSHATSVPEVDRFYAALEGPELDQLKPSEDLVLPSDTTWPFLLRFPISAFGIPMGVSSQAILWKVITLSVPTTFLHVTSKVNLVLWCVSAAIMAAVSATYACKVALYFEAVRREYYHPIRVNFFFAPWVTCLYLAIGVPHAVTWAARLPHWLWYVLMAPLLCLGLKIYGQWMSGGQRRLSKVANPSNHLSLLGNFVGAQLGATMGLREGPLFFFAVGLAHYVVLFVTLYQRLPTNETLPKELHPVFFLFVAAPSVSSVAWSKITGQFGMFSKVAFFVGMFLYASLGVRINFFRGFRFSLAWWAYTSPMAGAAAAAIRYSTEVDNAFTKALCVALSAVATLTVAALLATTVVHAFVLRNLFPNDICIAITERKVKPIMELQESDGPHDIEATDAGATAA >Sspon.02G0000210-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:1864533:1865129:-1 gene:Sspon.02G0000210-2C transcript:Sspon.02G0000210-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTMTVPSATMRRLRRELEISRNRSKAPLAPTGGVVAKKPSPPPPSKSKPSSPAKSKCIGKGAQVRVRTRVGTVCTGQHLVLWLRAVVECAADEDADGCLRVAYDYTNGKFPRVARVSPNDVKLHVVPPADASATAASTGSSTATSDHSTSTSSSSQSQQDKAGPPPRPTVAGKKLPLLKKLEKEMLMSSSKAIMSCL >Sspon.06G0008930-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:47877199:47886521:1 gene:Sspon.06G0008930-1A transcript:Sspon.06G0008930-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSADLVLKLSCEGCGNTSDLYGTGCKHTTLCSDCGKSMARSRARCLVCSAPITRLIREYNVRANSVTDKTYSIGRFVTGLPPFSKKKNAENKWSLHKEGLQGRQITENMREKYSKKPWILEDETGQYQYQGQMEGSQSATATYYLLMRHGKEFNAYPA >Sspon.06G0026980-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:82032483:82033845:1 gene:Sspon.06G0026980-1B transcript:Sspon.06G0026980-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIITSMITLRYSRCSSPLCNTYTDNREAPKDFLHEGHGGESGISLPLSNDTYVVGASSLECMEEANMFLPKDNFTKDERIKKRYNKDHLVEEVRSTSKDMMMIKEPEEKCGNEMLDKMMLNAYETWIKGMDGLGENDHMRAHELLMQIKQHASATGDATQRLAHCFTKGLEAMAGKSRLHIVDYGTRFGFYWAGLLRLLASKEGGPPEVKFTAITRPKPVYYPSEQIEKIGCRLMKCAHEFGFPLFKFHTIMRNWEDISIMDLHTDVDEVLVVSDLFSFSILMEESIFFDSPSPRDTVLNSIEEMRPDVFIQSVLNRSHGSSFLSRFREMLFYYMAVFDMLDTTIPRESKS >Sspon.03G0022210-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:46314139:46320331:1 gene:Sspon.03G0022210-1P transcript:Sspon.03G0022210-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMTRAAVLLSLLCCLAAAGRGEAAAELAVSVDPSWRFPNQRLRDAYVALQTWKQQAIFSDPRGFTADWVGPGVCNYTGVYCAPLPRGCRAPGARRRRPRPQPRRHRGLPPVGARPPRRPLAAPPQLQPLLRPRPATLRRLRLLVELDLSNRLVGAFPAVVLDLPALKFLDLRSTTSRAPSRRRSSTPLDAIFLNHNRLRSPLPDNFGSSPASVIVLADNSFGGCLPASLGNMSGTLNEILLINNGLDSCVAGMRKVEQLDVAHNRLSGAVPEAICALPLLKNLTISDNYFTGEPPSCARVVPPPDGDRGNCLPNRPAQRTPQQCAAFYSQPPVDCAAFQCKPFVPVPPPPPPSYPGPAAVLLSLLCCLAAAGRGEAAAELAVSVDPSWRFPNQRLRDAYVALQTWKQQAIFSDPRGFTADWVGPGVCNYTGVYCAPLPRGVPGAGELAVAGLDLNHGDIAGYLPSELGLLADLSLLHLNSNRFCGLFLDLRFNDFEGAIPPALFDHPLDAIFLNHNRLRSPLPDNFGNSPASVIVLADNSFGGCLPASLGNMSGTLNEILLINNGLDSCVPPEVGLLREVTVFDVSFNALVGPLPQQVAGMRKVEQLDVAHNRLSGAVPEAICALPLLKNLTISDNYFTGEPPSCARVVPPPDGDRGNCLPNRPAQRTPQQCAAFYSQPPVDCAAFQCKPFVPVPPPPPPSYPGPLPP >Sspon.01G0007920-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:22054265:22056276:1 gene:Sspon.01G0007920-1A transcript:Sspon.01G0007920-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGGCCRRRGCGTVLAVLALAVAAAVAFLESTAGGVSYAGDGWLHECAKWDAEGGRFLASTFFGGGVAEVRAGEAEERIVLADPDAAGRVALGLAIDAPRRRLLLVYAHRPPRFGYAALGAYDLGSWRRLFLTRLDVPGESTFPDDVAADDDGNAYVTDAKGSKIWKVSPDGVLLGVIKNATFVQRPGLRHNLVGLNGIIHHPNGYLLVVHTSGGDLFKVDPKTETVRVVKVRGSLRQGDGLELLSPTRLVVAGMPNRLVESSDDWETASVTGQYVGPIHRIGSSATVKDGDVYINHIVGFGLGKKKTHVLARAVFSPLAAAS >Sspon.06G0021630-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:19047405:19059503:-1 gene:Sspon.06G0021630-2C transcript:Sspon.06G0021630-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLAVKHVFLLTASLVLLLLSFEVLEGVSRSHGGGEVSAVAVTRDADSGFLSRFRMMLVSLDHHQPRHPHRSHQKSSAAPAPAPAVQVHEALPTPIPTPAAPTPAPLPHESHRRMPLRNHSRIAPARSVSRKLGGGGHARLPTAAIVALAVAGALTRDADSGFLSRFRMMLVSLDHHRPRHPHRSHQKSSAAPAPAPAVQVHEALPTPIPTPAAPTPAPLPHESHRRMPLRNHSRIAPARSVARKLGGGGHARLPTAAIVALAVAGACLLVLGVAMAAAASLRRSRKLQKKPFKLFLHGSRAHRSTCATIKVSSHPSLGSAVQCQDYPILTESSKSMSLESSESKSLSVLPTASKSAEISDYAVKTSTNMQSDEADSFHSLSCSRSSGGSITESPLQICDKPITDPSPSSRHRNDSPSSSSYQSLSPDCRSPFCPKIPPFTAPDHPHVLNAICHLPENPDEEKTGVSHQKTATATNNSGSMGHPEAPKVEQVNAKLSHLSSGYKSTSYATETTPSETNSAFRVSSTNINLDPKESIRNSAEEAKSKPSGTTSIPKTPPPPPPPIKPTSSLKGQNSGQPPLPPPLPIQLQVGKDGLPLPRLKPLHWDKVRAPPNRSMVWNDIQSSSFEFEFDEQMIKSLFAYNFQGPAKHEDHKSKTLSTSKHVIEHHKLQNTTILLKTLNASTEQVCSSITEGTGLSTQQLEALVKMKPSEEEEKKLLDYDGDINMLDPAENFVKVLLTIPMSFSRIEAMLYKETFDDEVAHLRMSFALIKGACSELRSSKLFLRLLEAVLKTGNRMNVGTIRGGASAFRLDALLKLSDIRGADGKTTLLHFVVQEMERLQGSKASDKLSGTSGSCQATLAEREEYPEIGTEFVSELSNELGNVKKVASIDLDTLKSSISNLSHGLAQLIRLVGEELTCNDRNQNFLQCMRSFQTHAENTMQELKVDEAKVLQQVRELTEYYHGEVGKNESNLLHIFVIMRDFLGLLDRVCRDMRGTKHIQPLNIVLPLRLGRKGIKPTCPGGRIASNRSTPNIPRLDSVKVPANNKIFRLYLVSILKDRCNKATIDCHSHCNVDVTVVCEALSIPAASIDNRVLCKSHGCSLRQQHCHCHSLWLNLSKSNQSNNQETG >Sspon.06G0011400-3P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:49397691:49399888:1 gene:Sspon.06G0011400-3P transcript:Sspon.06G0011400-3P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRVKIGHWGGRGGQQRDVQYDPIQLVRVIVYSEGQAINGISFTYMDKHGQHHEEGPWGGADEDETPHRDIELSHADLKEISGTCGKVGNMNNIITSLRFVTNKGKTYTFGNSTGTPFHVPMQEGKIIGFFGRAGDYLDALGIYCAA >Sspon.01G0014650-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:18865726:18868296:-1 gene:Sspon.01G0014650-4D transcript:Sspon.01G0014650-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEHKEALWSTYSPTTKPDTSVLNRLIDAGVSPRIEESMSVVNNEILRRHFLELTTNFLAPFGPYLRTTTPSEGSSPFVDPPLLPPFHADEFVNGLAARGPGKFLSKRMRSNWLDLYRRFLEGPNFMPWFRQRRAAAEQEQQRLWRQARMNVDIEKLMSNMSELERIDSFNAVERYLLREMENPGKGSADSIGACQKLKVDLQAAFNVLPKDMQQLLLSNPKRAVLLQGSQEKALGANGIVIQTSL >Sspon.02G0007850-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:22171006:22173496:-1 gene:Sspon.02G0007850-2B transcript:Sspon.02G0007850-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MERASSFLSSLLGGGGSGAPVATVKSVLVYPIKSCRGISVPQAPITATGFRWDRQWMLVNSKGRAYTQRVEPRLALVEVQMPPEAFAEDWHPTPDDHMVIRAPGMEPLMIHLSVECATINDVSVWEWAGSAYDEGAEAAGWFSTFLGCPTRLVRFNEDSETRLTDPDYARGYKTMFSDGFPYLIVSQGSLDALNEILKEPIPINRFRPNILVEGCHPYAEDLWKSVKINKLTFQGVKLCGRCKVPTINQDTGIPSPTEPTETLQTYRSGEVLLPSHKNKRQVYFGQNVVCKESLSLNGKGRIIKVGDPVYVTQSFSSSDEVPA >Sspon.04G0009340-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:23456780:23457731:-1 gene:Sspon.04G0009340-2B transcript:Sspon.04G0009340-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLSVLLELHSKSHPGKAAAAQIISKAGLVIHGHNHKQQQQQVPVVPVSPTAAGSFLQRCCLCNRELAEGMDIYMYRGDRAFCSEECRCRQIFMDEDAGHGAGASTVRGRRRVAGRGRVAY >Sspon.05G0033020-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5C:25963377:25964170:1 gene:Sspon.05G0033020-1C transcript:Sspon.05G0033020-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLDCVPTRTRPARQDTSTMLRLRLVQGTLDGMPRPRPDAHKAYSTRHPDNRQNSDSHDPGSKRSTHSVKPVTVHTLPRTVLPPITLEGGYDKVRIPRSRGFGLIRSASTQPQPGLGVHRRLTGKKGQRGTGLRVISPSRPRCHHGVQGWTTVPRARRYSHLHRDRQNFTSRHPGAPTSSFPIKGQARALQEKRDKTQARSQALASEGTQSTDQHLK >Sspon.08G0021780-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8B:43320264:43320644:1 gene:Sspon.08G0021780-1B transcript:Sspon.08G0021780-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEKTTPTKATLSRPCVLIIAMAGVERFANKGVGSNLVTYLTGVVGMSTAAAAKSVIAWNGVSFLLPLVSAILADSYWDRYCTIAASSLLYVFVSP >Sspon.04G0026270-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:40658457:40663593:-1 gene:Sspon.04G0026270-1B transcript:Sspon.04G0026270-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA replication licensing factor MCM5 [Source:Projected from Arabidopsis thaliana (AT2G07690) UniProtKB/Swiss-Prot;Acc:O80786] MSGWDEGAVFYSDQAQFPRGGPGGDPAADLTRHSALRKFKEFLRGFTGPTGDFPYRESLVHNRDHVTVAIEDLDAFDAELSDKIRKSPADYLPLFETAAAEVLASLRSKVAGETGEMEEPVTGDVQIFLSSKENCLSMRSVGVSSEAILEGISADYMSKLVKIAGIAIAASRVKAKATHVTLICKNCRSVRTVPCRPGLGGAIVPRSCDHVPQPGEEPCPLDPWIAVPDKSKYVDLQTLKLQENPEDVPTGELPRNMLLSVDRHLVQTIVPGTRLTVVGIYSVYQASATQKGAVGVKQPYIRVVGLEQSRDNNSNGPSNFTLDEEMEFKEFAQRPDAYAKLCSMIGPSIYGHSDVKKAIACLLFGGSKKRLPDGVRLRGDIHFLKFVEKTAPIAVYTSGKGSSAAGLTASVTRDGSSREFYLEGGAMVLADGGVVCIDEFDKMRPEDRVAIHEAMEQQTISIAKAGITTTAQDNIDLQTTILSRFDLIFIVKDIRMYDQDKRIASHIIKVHASGAAASSTSTEASDGENWLKRYIEYCRATCRPRLSEKAAEMLQNKYIEIRQKMRQQAHETGRAAAIPITVRQLEAIIRLSESLAKMRLTSVATPEHVEEAFRLFNVSTVDAARSGINEHLNLSPEIANEIKQAEAQIKRRMGIGSHISERRLIDELNRMGMNESIVRRALLIMHQRDEVEYKRERHVIVRKA >Sspon.08G0022800-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:52871155:52884332:-1 gene:Sspon.08G0022800-2D transcript:Sspon.08G0022800-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGAALCAALTELGFDGEDPLDADALEWPFQYEEARPLLAWICSCLRPSNVLSPSHLAQYEQLVEEGRLLEGEDLDSAFDSISAFSSKKDNQEAVFGSEETILDIREAKLAYRAEVFELQKQLARQQAQFDLLAGQASTLIQGRRARVSAMSAVSGELISLDEILSSRNLEMNAVLGRIAATTQELAHYHSGDEESIYLAYSDFHPYVVGDLACTKELNRWFSKQFEKGPFRLVAEEGKSKCSWVSLDDITNCLIRGDSEKSHHHQRIAELQRLRSIFATSERQWIEAQVENAKQQAILSILKAQVSSDEAHIHRDIHSLRRKGSELAGELSTLSQKVQALVSETIPCLCSELAQLQGTYILQGDYDLKVMRQEYYINRQKTFINHLVNQLARHQFLKIACQLERKNIARAYSLLRVIESELQSYLSAVNTRLGHYNSLIQAASEVREQGAIDDRDTFLHAVRDLLCIHSNVQATVPTYMSAHALVQQISALQSDLLSLQSELENTLPADRKRCINELCTLIQTVEQLLFASSTTAEPILTPWPLMRALDDMENANAQVEVSMEEVTKARTQKIK >Sspon.02G0042010-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2B:80606058:80607413:-1 gene:Sspon.02G0042010-1B transcript:Sspon.02G0042010-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAKMLGEASGSVTPVHVSSELDASDNLEIKRHENPHPLGNSADLTLDQSPAAEENEAKITIERTSPVSIGDEDEKAYAAPVDTKIPLVIMDENPDKHEFKTMVVGYSQISMEAKSLEDGTTIVNGTSMDAYRDKGTNEDHSASAVDSGVSVKLYVQQMEIIEDKTGSLLPDLKHSSSCEDMLATLTESKSLKKDMVEMDDATIKVGMTGESFNEAGITAPVLNISDVVGENV >Sspon.03G0012990-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:36437933:36445175:1 gene:Sspon.03G0012990-1A transcript:Sspon.03G0012990-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHHSEPLDPAAAADVPSDNNPELPCPPYHIVTKPGQLPVEFLEPSAAQKLVIGFDCEGVDLCRNGALCIMQLAFPDAVYLVDAIEGGKELIQACKPALESDHITKVIHDCKRDSEALYFQFGIKLHNVMDTQIAYSLIQEQEQEGKKKTSDDYNYISFVSLLADKRFCGILYPEKEEVRTLLRQDPNFWTIRPLSDMMVRAATDDVRFLLNIYEKMMEKLSKVSLWRLAVRSELYCRCFCLNDNQFADWSPLPPVPDDIEADVYVPEADILSVLDVPPGKMGRVIGRKGSTIMAVKESCNVEIHIGGAKGPPDRVFIIGPVKEVRKAEAIFRGRMLEF >Sspon.02G0039150-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:52708960:52714570:1 gene:Sspon.02G0039150-2C transcript:Sspon.02G0039150-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGCVSGGGDGAAEGTLARWRRAAAKRIGLSCASFFSYAASPSPPSSKTMCEFPYEPTCVPDIIDRKIRIYCSLPSEQYLECSCIAVYKCILHSYSINTLCVDMQISCSALNAPADSTDGEQQKMEEPTSTRVADKNLCAICLELLSTSSSDVNNGEMPAIFTAQCSHSFHFLCIASNIRHGNVSCPICRAQWSELPRDLKVPPLLHNQSDPILRILDDNIASSRVNRRSSIRAARYNDDDPVEPFTLTEHVDPCLRFVLIPAPVAAHHHVLGHYPCGHMLPLQQHCQYSGSSMLSPPQIASPSGQRRAYLSVSLAPQPAIDLVLVASPNGPHLRLLKQAMALVVFSMRAIDRLAIVTNATTATRAFPLRRMTSHGKRMALQVIEHLCCVGGTDPVGALHKGLKILEDRAHRNPSNCILHLSDHPVRNCLGVDMNHSNIPVHQFHVGLGFGVQTGFIMHEFEELLARLLGGVIGDTQLRIGEHGGMVRLGELRGGEERRIPLDLAADCGFILVGYSYLEGGREDQLRTGEIAVGFEEKGDNRYCGMREMRLSIGSERRSCCAERRDYHDPFMARRWAKHFNGSGFKLS >Sspon.04G0019100-1P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1A:19132685:19133098:1 gene:Sspon.04G0019100-1P transcript:Sspon.04G0019100-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRPAMLYGAECWPTKRRHVQQLSVAEMRMLRWCCEHTRRDRVRNDDIRDRVEVAPVEEKLIQHRLRWFGHVQRRPPEAPVRSGVLKRADNVKSGRGRPKLTWDESVKRDLKEWNIHKDLAMDRSAWRLAINVPEP >Sspon.06G0015530-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:85231595:85235282:-1 gene:Sspon.06G0015530-1A transcript:Sspon.06G0015530-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWLARSIANSLLASEDEPEASDPGPSASPGSTSPPRGVREDLSELTGALANRFQGLASFLAPPAPGGGGALRRPDPAEIAGRFRAGLARLPGRQAVADLAKIASSLLPPEGDADWAEAAAGVTEEVVAFARDAASRPELWLDFPLLPDDADSDDFDMTDAQQDHALAVESLAPELADLRIELCPSHMSEGCFWKIYFVLLHPKLRKDDAEILSTPQILEARDKLSHDLQYRTKLQDSYGDTVPVPFSNEDGVLGSPVEEVLGVLKGQDDSAMFTSFSNIDYGKPQPIKPGILSNDTIIETGAVSSDNISSSVPVQLLPILKNTTVVTPSRMEESTHNLSTEDAAKEEQTVQMSEIPLMDNSPPKDDQQKQPLADISEQSRVDIQKTDNVEDGDIEDDDGDEWLEEEETGDPGNTKIPIADDEDVSFSDLEEDDDAA >Sspon.03G0034210-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3B:69547679:69548968:1 gene:Sspon.03G0034210-1B transcript:Sspon.03G0034210-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAVSSDPQDRFRFARPLRRGFRSSDPLGTGLASPNPNKGNSIPLDPEGARPCLGAHVTHSTRHDGTGHHDQLAMPARGASGKTEPRHGAVSITTSNRNVEMSPSSSVGPAGLARGGEGQRSPEALSFSGRIYVVIPIAVDTASRC >Sspon.03G0001850-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3A:4448968:4449537:-1 gene:Sspon.03G0001850-1A transcript:Sspon.03G0001850-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRPSIQSSWASTGPPVSTNLGPYTRHGRIKSTPGGAHFLREKPRPTSQSVQAGTSNPEAPAAGPATWEQLLSSRRRTLPRPCTGSSGDPSLPSSWPHQSVEESTRTTAHLHHPSSTFNHSLHCPPLWSLPPPPSCSHSSRRLRPHGLRPRLRVSGYQPSRPAWSSRRRPPLESPEVRNPPLPLFASKA >Sspon.05G0001540-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:3795567:3799361:-1 gene:Sspon.05G0001540-2D transcript:Sspon.05G0001540-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSDQEIASCVESVLRGSAGGPGEASLAAVLQQAEATLGVDLSHKAGFIRDQMDLFFGPRLQPQPPTKPQPAPPPPQAVVAPAAAVPQPQPQHQHQHQVLPQVQGQPQAPPLDQQMQPPQQQLPPLQPRLIFQTIPQLPAMTPIPAVSAPPAVPAMAFYPPPPLAFRYTTGLAGAATGGTVSFQQPAPGAGGTAPPTAAPQVAGDNKESASKRKRGGPGGLNKVCAISPELQTIVGETAMSRTQIVKQLWAYIRQNNLQDPDDKRKIICNDELRVVFETDTTDMFKMNKLLAKHITPLDPKDQVKRMKAPTVAPQPGPPFNQPSIVISDALAKFIGTDGTFPQDDALKYLWDYIKANQLEDVINESILCDSKLQELFGCESIPMSGLSEMLGHHFIKKT >Sspon.05G0001880-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:3873222:3876130:1 gene:Sspon.05G0001880-3D transcript:Sspon.05G0001880-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-prolyl cis-trans isomerase Pin1 [Source:Projected from Arabidopsis thaliana (AT2G18040) UniProtKB/Swiss-Prot;Acc:Q9SL42] MVHGYQLPSPLLPQNHQPTQSFLCCLPRRVATRAAKIGSESPAADGVGDAARKRPSGGDGPTPAPADKRRRPEHPSSSSSAGSRDRHQAHHHQPHGRRPPSSSAEEKVRASHILIKHEGSRRKASWRDPEGLAISATTRDDAADLARALRDQIVSGDRKFEDIAAENSDCSSVKRGGDLGQCSVLNPTGQLVGSFGRGKMQKAFEKAAFALKVGEISDVVDTESGVHIIKRTG >Sspon.03G0010910-3C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3C:44554243:44558023:-1 gene:Sspon.03G0010910-3C transcript:Sspon.03G0010910-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNTVLSSQKVCCTAEVSGENAEIMEISLLHDESDAGTTSLLPLPLLSYGPRSMVPIQVPSSSDLESILSPDPIYSDVQLKEINYNAAAMDESTEFLHLILSGNDEGYNTTTELQVWDVLDFYVSENFSALQFDSLMGFTNEVSTSYNDCMNLVDMVERPVARLSLDDTPKPSNSDDAVPADNVTMDPDETSLYLQTKPTDSETESSSAAGDVETEYLDQKLLSRCLPDLMDVDSPNCLLKTPVRTKHVTLVLDLDETLVHSTLDHCDNADFTLEVFFNMKNHTVYVRKRPYLKMFLEKVAQMFEVVIFTASQRIYAEQLIDKLDPDGKYISRRIYRESCIFSDGCYTKDLTILGIDLAKVAIVDNTPQVFQLQVDNGIPIKSWFDDPSDQELIDLLPFLESLVDSEDVRPIISKTFHDKLEQN >Sspon.06G0011990-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:51951332:51952981:-1 gene:Sspon.06G0011990-3C transcript:Sspon.06G0011990-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450 hydroxylase, Anther cuticle and pollen exine developmen [Source: Projected from Oryza sativa (Os08g0131100)] MRLRLPPGPPTWPIFGNLLQLSPLPHKDFARFCTKYGPLVYLRLGTIDAITTDDPEVIREILIRQDEVFASRPRTLAAVHLAYGCGDVALAPLGPNWKRMRRVCMEHLLTTKRLESFAAHRAQEAEHLCQFVWAKSQSGKPVNLREVLGAFSMNNVTRMLLGKQYFGIQSAGPGEAMEFMHITHELFFLLGLIYLGDYLPAWRWVDPYGCEKKMREVEKKVDDFHQKIIDEHRRAREAKKTRRSSLDDDDDGKEEMDFVDVLLSLPGENGKEHMDDMEIKALMQPSHVCVFITKLTQDMIAAATDTSSVTNEWVMAEVIKNPRVLRRVQEELDAVIGRDRMVAESDLAHLPYLRCVVRESFRMHPAGPFLIPHESLKPTTIMGYHVPAHTRVFINTHALGRNPRVWDDVDEFRPERHLPAEEGGRVEISHLPDFKILPFSAGKRKCPGAPLGVALVLMALARLFHCFDWSPPDGLRPEDVDTQEVYGMTMPKATPLVAVATSRLPPHLYGGSAP >Sspon.04G0010780-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:32705353:32707966:1 gene:Sspon.04G0010780-2C transcript:Sspon.04G0010780-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFCLAAPAAAPPTAGPRMLRAASPLRPPSPCRGLHYYAVRGTTGRGEAGCGRRPLVLRRCSPAGESRASGDGGLSSFCIIEGPETIEDFVQMQSQEIEDNIKSRRNKIFLLMEEVRRLRVQQRIRTSESKDANTEENEMPEIPSTIPFMPDASPKTMKQLYMTSFSVISGIIIFGGLVAPVLELKLGLGGTSYEDFIRTLHLPLQLSQVDPIVASFSGGAVGVISALMLVEVRNVRQQEKKRCTYCHGTGYLPCARCSASGMLLNTKHFSLLGHNMWSMKGRCQNCSGAGKVMCPTCLCTGMAMASEHDPRIDPFD >Sspon.04G0021140-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4A:74032212:74033114:1 gene:Sspon.04G0021140-1A transcript:Sspon.04G0021140-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCVSSSLLEGDGAEDDRRRIISHHHIVSLTSSTYGILTSPRAYCSSSYTAKPVALGPEPFVPPPPPPPPLPRPPTTTRQQAPPAPKPESQPQAEVINSWELMAGLVDPSTPAKPCKIDGGRDHQRRRRIPLRAIDGNSSTSKASLPPSSVVLYTTSLRGVRATFEACNAVRAALQAHGVAFRERDVSMDRGFRDELRSKLGLLGGARAPAAMLPRLFVRGRHVGGAEDVLRLDEEGLLAPLLEGLPRARGGGGAYCCDGCGGMRFLPCFDCSGSRKLAVTLPAVATASCRRRKKAGTVVV >Sspon.03G0031090-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:32430649:32433116:-1 gene:Sspon.03G0031090-2C transcript:Sspon.03G0031090-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCPGCEKKIRKAVQRLEGVHDVEIDMAQQKVTVNGDVEQKKVLKAVRRTGRRAVLWPLPYAAGAAAGAGAAHVLAQQQLMYQPGAAGLAAHASHAARPTSSYNYYKHGYDDSRMYGAYYHHGANSAVAGTRTTDYFSDENAQGCSVIPTISTSTMANALT >Sspon.01G0010920-2P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:36740166:36741547:1 gene:Sspon.01G0010920-2P transcript:Sspon.01G0010920-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LSRMGRDKTRRQRQHRRGPRQHEPRAARPRRQRPCGCGWQRGGSWRPHPLQRDRRRVRRAVEEQSTRRHAPGGSAGGCRPRAHACPLPPKTLRVPHAPPRHPPPSWPADQPSPPPPNGTARAVTWPRRAGPTALLFFCFFFLLLLPRRLSSPRRRAANDDQDSRSVRLP >Sspon.04G0030370-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:841553:846203:-1 gene:Sspon.04G0030370-2P transcript:Sspon.04G0030370-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLLPPAKTLLLSPFPAFSPPPPPPHPLPRVHIRTSAGRGGAAENAAASGTTARERRLVKVREERRRREYDREHTYPGWANFNPLNSFIWFELFGEPTDRDVDLLGGKTTYCLDINYSSQLANSMMDYDPSYDSEEASSVMPSSFHDISDVEFQDNWARGGPWNLRLPWLGCITKLLNTVELRLYIYEFPRSLKNIDALNVFRKQFCRYEALVDIL >Sspon.01G0024000-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:83200758:83206906:-1 gene:Sspon.01G0024000-2D transcript:Sspon.01G0024000-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAPESPPAGRPSSDAVALYEDSPIFDFITSLSPIATPKPLGSTQNVQLFKSSNLAPVSSIFASPQVNPQKESKSTIRDVKLSQEELNPNCQRNQMGTFSCIELSGSAALASENCSPSEDATNSPSKWLQSTPFGSETLGDAKKQDTDGKTNHTADVEQVKPSSTYSDQNGLDRVDSSTSGRIVQENELAKQGRNDLAPCSLNHLITHCGTGNSVISISDLALEAQQQRSWKLRGDNIISSTSVLAVDQGNLEDSRREHFVEPFGSYIQSAADDAHVYCADAVTGVATNHDQEMLPAVIQNQLVSNDYNFDTFKVSIDGTAISQQQCGTHRRNLFNDEVGPSNKRVQNVSNIHRASTCGNNYLKPGIGLHLNTVALNLSNMPLTINPPLLPEQTSPATVISGSETTLYVSEVCTHVDDYSSQKTMPNADKSDQRSHKKRRRKLQNDDGESCRHCSCKKSKCLKLYCACFAAKVYCSEFCSCQGCSNSHMHEEAVSHIRKQTESRNPLAFAPTVTRTCGPVSEFGDDSNNTPASARHKRGCNCRKSSCLKKYCECFQSGVGCSISCRCESCKNSFGKREGVLLLTTEKLKKGDKAKGPHGKEEKLAFDKHHVISQSGDLAASENLLATPSLEPYRSSFLLPSTCSKLTPATAGCSSGLHNPRSPMKSDDVLSPFITRTAAMILGNDFSDIQEVGSSCTTSVKVVSPNKKRVAPLHIGTALSPIGSSSRKLVLKSIPSFPSLTGDAD >Sspon.04G0027520-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4B:59630614:59630838:1 gene:Sspon.04G0027520-1B transcript:Sspon.04G0027520-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRRRYLHATSSRVHAGSTVMPLTGDPLPAKGWHSSSNPPWRQPAPNGQVCDGNKWGCKWYKYFPTVSEFESV >Sspon.05G0003750-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:11244600:11245244:1 gene:Sspon.05G0003750-1A transcript:Sspon.05G0003750-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LPNPASVVQLLKQNGITMVKIYDTNDAVLRSFANTGIKLMVMLPNQNLKDAARSPAYAAQWVQDNVKKYHPATQIHAVAVGNEVFADPTVDNMNLVPAMTNVQAGLASLGLADDINVSSPIKFDALKASWPPSNGSFRDDIAQPVMKPMLDLNVHRGMFVGLCAATTASWCVAKPGVGDDQLKKALDYACSHGTDCSAIQTGRACFQPDNMASHA >Sspon.03G0042990-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3C:74785596:74785717:1 gene:Sspon.03G0042990-1C transcript:Sspon.03G0042990-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LILDKVPRFVRKEILYRFQRNCSGPLQYIVSEFVNNTPS >Sspon.07G0013140-1T-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7D:46996838:46999058:-1 gene:Sspon.07G0013140-1T transcript:Sspon.07G0013140-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDVWLEVEAHQLSPAAIAIVVHCIFASYLGLERNQAAIDENVEKLKKVLEVYEARLSQSRYLAGDFLSLADLSHFTVMHYFMATEYATLVEALPHVSAWWEGLAARPAAKKVAEFMPVDVPGSPKKQ >Sspon.07G0021580-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:4029861:4032476:1 gene:Sspon.07G0021580-2P transcript:Sspon.07G0021580-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPARSRSPAIEPPPAVTGLRSSKISFRSRKIVKTPSAKAKPLATTALAPPAPAPPVLPALSTPGELAAALRHLQAADPLLAAVIASTEAPTFAATPSLPAFHSLARSILYQQLATSAADAIYARFLALLPSASAAVTPVAADAVTPAAVLALAAADLRTIGVSGRKASYLHDLAARFAAGELSDSAVAAMDEAALLAEPPRGVGEWTVHMFMIFSLHRPDVLPCGDLGVRKGVQELYKLKALPNPEEMAALCERWRPYRSVGAWYMWRLMESKGAAAKKKNKAASTAVVKTCLPEITHLKIKTKEKDNKCHGLYLGSRIPVSCTGGMARGLTQSS >Sspon.01G0007490-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:32411767:32412509:-1 gene:Sspon.01G0007490-2B transcript:Sspon.01G0007490-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ELP6 [Source:Projected from Arabidopsis thaliana (AT4G10090) UniProtKB/TrEMBL;Acc:A0A178V2M9] GAREGTIADSFVRLYNEIQRLVEANRAGENAGQFTIVIDDASLLEVVALGSVNDVLNFLHYCFALTSEMNCTLVVLIHEDIYADEENMGLLLRLRYIADLVIKAAPLSTGLAADVHGQLSVVNKGTFREQRAKAQKVWNFHFKVKENGADFFYPGTRH >Sspon.04G0016310-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4C:66712808:66717298:1 gene:Sspon.04G0016310-2C transcript:Sspon.04G0016310-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGQKQRIAIARAILKSPKILLLDEATSALDTNSERVVQEALDLASMGRTTIVIAHRLSTIRNAHLIAAMKSGEVVELGSHDELTANENGLYSSLVQLQQTRYSREATEVGGTESTSNMGQYSSHSVSRRLSAACSSSSRRSVDNAKDDYDIDKPKIPVPSFRRLLMLNAPEWKQALIGGSSAAVFGGIQPAYSYAMGSMISIYFLTDHEEIKDKTRTHALFFAALAVLTFLINIGQHYNFDAMGEYLTKRIREHMLEKILTFEIGWFDRDDNSSGVICSQLAKDTNVVRSLVGDRMSLVIQTISAVLIACIMGLIIAWRLALVMIAAQPLIIICFYARRVLLKSMSKQSVQAQSECSKLAAEAVTNLRTITAFSSQERILRLFDQAQDGPRNESIRQSWFAGLGLGTSMSLLRCTAALDFWYGGKLIAEHHITAKALYQTFTILVGTGRVIADAGSVTTDLAKGADAVASVFAILNRESEINPNSPEGYKPETLMGEVNIKEVDFVYPSRPDVVIFKGFNLSIQPGMSTALVGQSGSGKSTIIGLIERFYEPQRGVVEVDGRDIKTYNLRALRRHIGLVSQEPTLFAGTIRENIMYGTERASEAEIENAARSANAHVFISNLKDGYDTWCGERGVQLSGGQKQRIAIVRAILKNPAILLLDEATSALDSQSEKVVQEALDRVSIGRTSVVVAHRLSTIQNCDQITVLEKGIVVETGTHASLIAKGPAGTYFGL >Sspon.07G0004310-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:10604353:10605622:1 gene:Sspon.07G0004310-1A transcript:Sspon.07G0004310-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIANHCVGISKIIRALNHYKRPREWFQHELPDPSPFKLTHGRHVWDLARHDASFAKLCDNGMVADKEFIMDVMVKDGSLVDVAGGLGGVTQAIAKAFPHIECSVLDLPNVVAAAPTNTDVKYVAGDMFESVPSANVVFLKWVLHDWGDAECVKILKNCKRAIPSEGGKVIIMDIVVGVGSSDQKHVETQVLFDLFIMTINGAERDEKEWKKIIFEAGFSSYKIIPVLGVRSIIEVYP >Sspon.05G0000090-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:471495:476045:1 gene:Sspon.05G0000090-2D transcript:Sspon.05G0000090-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding HDTCIEQEKLPLEDQISQTWFCGKYCKEIFIGLRSHVGTDNILDSELSWSILRCNNDGQKLHSVQKIACLAECNMKLAVALTLLEECFIRMVDPRTGVDMIPHVLYNKRSNFARVDYQGFYTVILEKGDEILCVASIRVHGTKAAELPFIATSVDYRRQGMCRILMNIIEKMLRSFNVKMLVLSAIPELVSTWVSGFGFKPIEDAERKQLHNVNLMLFPGTSLLTKRLDGFIMATKPVAN >Sspon.03G0042650-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3C:71357993:71358625:1 gene:Sspon.03G0042650-1C transcript:Sspon.03G0042650-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGAIPAAVRRIRRPVLERRRASAPRARNWWHKHNCLAHTQWEAAAGSATAAAGTNTRLCNSGSKHELWIRGTYLFFIAWSVPWRKDERLESICSLLLDKLCSPPVLVLAVHKSVGSNACVLTWSVFAGISSRALRARGSRGGSGTAGVRDRAEVGMSVSVDDRDDDRGFRDDGGAARLDEAGGARAAASAETTVRLCRLPMLWVRQRG >Sspon.04G0020510-2T-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4D:80946935:80947932:-1 gene:Sspon.04G0020510-2T transcript:Sspon.04G0020510-2T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLLSDEGLAVLVPIVVYWAYCGLHATLGQSIYMNKYRLHPSTHEDSKNHVSKRQVISNVLKQQLVQVAMVTMVMMFKVKEESAINTKTSYPKLASQIAVAMVWFDLWQYAWHRALHANRFLYRNLHSWHHRLVVPYSFGALYGHPLESFITDTIGGTAAFLVSGMSPRASIFFFSLCTIKVIDNHCGLSLLPSWDCMSFWNNAAYHDVHHQLRGGKYNYSQLFFVVWDRLFGTYMPFLIEDREGMLQVRAPGLDYRRSNK >Sspon.04G0022530-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:12422637:12422873:1 gene:Sspon.04G0022530-2C transcript:Sspon.04G0022530-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTSKFVLPLLLAVLMLLVVSGSARRVEGDKWAAGGETGVGHPTIQFIKRLYLQQLTGPCPSDMTYDPNSIPHCHHR >Sspon.03G0028460-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:12516116:12522214:-1 gene:Sspon.03G0028460-2C transcript:Sspon.03G0028460-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNTQNQPLPPGVGTWPQATPSHQPQCHADLQSYHPLDARPDNASANSSGSAANIESAVQEAVLHAQDIETQQVIQNQRYANTTSEPTTYGEDLLSNRRDPSALKEHLLKMTADHRAEMASKRGKPHHPNNDKPRDETDKAKCANDLPDFLKQRLRARGILKDETANKNNTGTQTVDSQESQNKSAQELPPGWYPPYANNLMHAIGNNAPKTQGNVSAKDRSSSKPPLGKTNRKDHRKRNRPEDDELDPMDPSSYSDAPRGGWVVGLKGVQPRAADTTAAGPLFQQRPYPSPGAVLRKNAEVATHGKKRGGMAPITKRGDGSDGLGEAD >Sspon.04G0019950-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:70302227:70306873:1 gene:Sspon.04G0019950-1A transcript:Sspon.04G0019950-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAHLRLLSAAHRLRLPGPPPPSAARIRLLLRPPRHGGSQARFARAVRCAGEASAVARAVEDAGGGGEEAGIWEQVRDIVVFAGPALGLWICGPLMSLIDTMVIGQTSALQLAALAFTGSGNYELISSANTYAQIRGFAWPAVLVGLVAQSASLGMKDSWGPLKVLAAASFINGVGDIFLCSVCGYGIAGAAWATMVSQVVAAFMMMQNLSNKGFRAFSFTIPSVRELLQIFEIAAPVFVTMTSKVAFYALLTYSATSMGAITLAAHQVMINVLCMCTVWGEPLSQTAQSFMPELIYGANQNLTKARMLLKSLVIIGAITGLTLGAVGTLVPWLFPSVFTNDQMVVQ >Sspon.08G0027550-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8C:52214437:52214952:-1 gene:Sspon.08G0027550-1C transcript:Sspon.08G0027550-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFIGSSIPLGTKHIWKTSAPPRVKFFFWLVMHGRCWTGDRRFRHGLQDSNVCIICDQEAETMDHILLGCTFSREVWVSWLRSLHLANDITVQEAVAMEWWLRSRKFIPKPIRQGFDSLFFLIGWMLWKERNARTFNRTATSAPQLSVLIKEEADAWCAAGYRQLSPLLALL >Sspon.02G0020650-1P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2A:67206229:67206798:-1 gene:Sspon.02G0020650-1P transcript:Sspon.02G0020650-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELLQHPDTLRKLQKELTSVVGSKLYVEHSDVGRLPYLRAVIRETLRLHPVVPLVPNEAEQTVKVHGHTVPKGCTVLVNIWAVHRDAGVWPEPDRFVPERFLQPRHEDTGFVGTTEFEFIPFSAGRRACLGLPLATRMLHAMLGSLLHRFDWALQREAMENGVDMSESLGLTMTMATPLKAIAKPRSV >Sspon.01G0015140-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:33668448:33669101:-1 gene:Sspon.01G0015140-1P transcript:Sspon.01G0015140-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGILMMGDVAKDLTAGTAGGVANLIVGHPFDTIKVKLQSQPTPAPGQLPKYAGAIDAVKQTVAAEGPRGLYKGMGHLWPLLRPSMLSCSV >Sspon.03G0039200-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:11822702:11824464:-1 gene:Sspon.03G0039200-1C transcript:Sspon.03G0039200-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SKDMAMRLGFRMSKEAAGSHAVSFFLGAALPTALLFFLASDRLGEGLSSISHRWGNGPAGDDDHAQVMFKGLAELLPKVAMDDRTVIITSVNDAWAQPGSLLDLYLDSFKNGEDTAHLLDHLLVVALDAHGFDRCKAVHPHCYLLNATSVDMTSAKPFMSPDYLELVWTKLVFTGQDCDMVWFRNPFRHFPVYADMSCSSDDFKPSRAPLDNPLNTGLYYMKSTNRTIEMMKYWRAARERFPGQHDQAVFVNIRHELVGKLQVKIEPLDTVYYGGFCEYHDDPEKICTVHADCCVGLDTKVHDLKDFASDWKNYTSLTPEAIFLETKIPQLRTA >Sspon.01G0025390-3D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:87775652:87778662:1 gene:Sspon.01G0025390-3D transcript:Sspon.01G0025390-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNVCFCGTTSTSPDQPEPDATAARKAPPQGAANKRPATPPSSQQGSNSQEPSPRPKLRPKARPKAAATKPNPYDSAPPPASRVLDGVVPHHPRLRVTDKYHLGRELGRGEFGVTRLATDRATRERLACKSIPKRRLRTAVDVADVRREVAIMASLPDHPALVRLRAAYEDADAVHLVMELCDGGELFDRIVARGRYTERAAAAAARTVAEVVRACHAHGVMHRDLKPENFLYAGKSDDAQLKAIDFGLSVFFKPGERFTEIVGSPYYMAPEVLRRSYGPEVDIWSAGVILYILLCGVPPFWAETEQGVARAILRGNLDLQREPWPRISEGAKSLVRQMLQMDPRSDPPRSKCSNARKAPNVPLGDVVRARLQQFSAMNKFKKKAMRVIAEHLSVEEVEVIRDMFALMDTDKDGRVTLEELKAGLRKVGSKLAEPEMELLMEAADVNGNGYLDYGEFVAITIHLQRLSNDAHLRKAFLFFDKDSSGYIERAELADALADEAGHTDEAALNNVLQEVDTNKDGRISFEEFVAMMKAGTDWRKASRQYSRERFKTLSNSLIRDGSLGMAR >Sspon.07G0004830-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:11002428:11020550:1 gene:Sspon.07G0004830-4D transcript:Sspon.07G0004830-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPESSKKLRILLVPFFATSHIGPFTDLAVRLATACPDAVDLTLAVTPANVHVVRSALGRHGAEASGAVKIATYPFPRVDGLAPGVENLSAAGDDGWRIDAVAVDEALTRPAQEALIREQSPDAVITDVHFVTWNNAVAAELGVPCVTFSVVGIFSTLVMYHLGRAAAAVRDGQEVIIPGLPTPEIRIPASELPEFLRRQPEHDGVRQCHVAMGRCFGVALNSFVDLEQPYCDMCVRSGFLKRAYFIGPLSLPLPPAGASGGDSPCVEWLGAKPRFSVVYVCFGTFAAISEEQLRELALGLEASGKPFLWVVRAGGWTPPEGWEERVGERGMLVRGWAPQTAIFAHPAVGVFLTHCGSSSLQEAAAAGVPMLTWPLVFDQFIEERLVTEVLKIGERVWSGPRSTRYEEQTIVPAEAVARAVARFLEPGGTGEAARSRAGPASAPPPRHVDKTLSSLAPTLPAPMALNNLLNLPPSKVAPKPPLPPLPTRPSVFLAPRQFPPCRSLLRSKPPPPRQGVQSSTSPPSGTANNTPSPPSSRQEAVAQARSCLAAALQKPLNNSIPLKKLKRQRQPRFRAEIPVVDESPGSLARLALDVFSGGAGASRKGAPARLLLVWPSAEALSVALREFENQGDDSATAHARLGSVAPGALSACDAAVFLATGPAQVEQVRAAAAALEPKPVVLFNPAWSFDEEEEEEKFGAGARGFVGSFDVVYSFTGLEVRGLLTKKRGVLLRCVEAGRFGGESWVLMVENDDGAPEGQEFKVVSRLKKRPTIGEVETMLYNLMAANSPVTKSARFLREMVSNSKKTRILLIPFFATSHIGPYTDLTVRLAAARPGSVELSIAVTPANVTVVRSALERHGPAASGAVKIVTYPFPCVDGLAPGVENLSTAGDDAWRIDAAAIDEALSRPAQEALLREQVPDAVVTDYHFFWNSSIAAELGLPCVVFAVIGPFSGLVMQLLSGAVVSDGGSESHDVAVPGLPGPEIRIPVSELPEFLKRPANVQGTFNPCNAAMARCLGVAFNTFADLEQEYCEAHVRVGSFKRGYFVGPVSLPLPPAAASISESPCIRWLDSKPSCSVVYVCFGTYAAISGDQLRELALGLEASGKPFLWVVRADGWTPPDGWAERVGERGMLVRGWAPQTAILAHRAVGAFLTHCGSSSLLEAAAAGVPMLTWPLVFDQFIEERLVTDVLQIGERVWSGPRSTRYEERELVPAEAVARAVGRFLEPAGPGVAARGRARDLAVKAHAAVAEGGSSSRDLQRLIDDLIEARAAAGGMATAKAKPRKKLRILLMPFFATSHIGPFTDLAFHLVAARPQDVEATVAVTPANAVLVQSSLARRGAGQATVKVATYPFPSVDGLPPGVENQSTVKAADAWRIDSVATDEKMMRPGQESLIRERSPDLVITDVHFWWNVDVATDIGVPCMTFHVIGTFPTLAMFN >Sspon.04G0012920-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:42881526:42887748:1 gene:Sspon.04G0012920-2B transcript:Sspon.04G0012920-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRISLRLLRSSAAASTSSSLRGLAVPRGGWPARPALQPPVRTAAPADLTRWPPQRGYSQFASGFTPLKPKPLGSILDIERAKGLSPEHLVAAWDDYHLGRGHIGASMKAKLYHLLEQRSDSCRHFVIPLWKGSGYTTMFMQVQMPYMIFTGLEDYKARGTQASPYYTVTHYTDFAETKDTVLIRGDVVFTSKLTDSEAKTLLETAHSFYLNDRHRASVTGAPPPASALMLTLLCASSWVVAAAAAQNYSAIFNFGDSITDTGNLCTNGRPSQITFTQPPYGETYFGTPTCRCSDGRVVVDFLSTQFGLPFLPPSKSSSADFKQGANMAITGATAMDAPFFRSLGLSDKIWNNGPISFQLQWFQQIATAVCGQSCQSYLANSLFVFGEFGGNDYNAMIFGGYTIEQARKYTPKIVNTISRGIDKLIGMGATDIVVPGVLPIGCFPIYLTIYQSSNSSDYDDLGCLTSFNDLSTYHNALLQKRVDIIQSRHRKTARIMYADFYSAVYDMVRNPQTY >Sspon.08G0011460-3C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8C:47848754:47849545:-1 gene:Sspon.08G0011460-3C transcript:Sspon.08G0011460-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGKLQDRLQDEDVRVGVDRFPERQPIGTAADDLGRHYIEPPPAPLFEASELSSWSFYRAGITEFVATFLFLYVTVLTVMGVSKSPSKCGTVGIQGIAWAFGGMIFALVYCTAGVSGGHINPAVTFGLLLARKLSLTRALYYVVMQCLGAVCGAGVVKAVVGSALYESAGGGANAVGPGYTKGDGLGAEIVGTFVLVYTVFSATDAKRNARDSHVPVLAPLPIGFAVFLVHLATIPITGTGINPARSLGAAIIYDSPHGWHGH >Sspon.03G0004270-4D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3D:17701728:17702264:1 gene:Sspon.03G0004270-4D transcript:Sspon.03G0004270-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAYHLVFALTVLLLIVVFIPNASESAPPSLSFVLFLVVVVFVFLGIHVYISALWHLASVISVLEPLCGLAAMAKSKQLLQGRTGTAATLVVSYFAVCGVTSLLFRAAVVKGRAEEGSFGLALPGRLLVGAVLVCVLVCVNLLGLLVQSVFYYACKAFHNQQIDRTALYEHLGGYLGEY >Sspon.06G0000750-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:26545921:26546412:1 gene:Sspon.06G0000750-2P transcript:Sspon.06G0000750-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGISSMPEPRDSLLWYLVYNTVISITALAGLVRKALVFLDHQAPALPVGGDDAAGGRLVASGPGLRLCLADRFLRAFRPALYGVLVSTSTTCSAADADGDDCSVCLSGFVAKAVVNRLPCGHLFHRACLETWLRYERATCPLCRANVPLPPEETPVLRYPEFE >Sspon.02G0011190-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:30147184:30149009:-1 gene:Sspon.02G0011190-1A transcript:Sspon.02G0011190-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADRSDGPIGRLPEHVIVEIFIRLPVYEWVQLACVNKHFASIFQGEYMWQTAIARNWPSAGLRKRWPGPIPRGSARRRFQALYVSENLVPSDGEIDELVGHTYLYLKEQLERPTMPPSSILHGTIIDQFIACGNTGEKAHDLASKIWLAVIDSLEENQQTFVLLKRIAQEGEFFLPFPYSRSYKVLWRVFDKLFTDFRDCFSGAEYHDALSTAKSRFQ >Sspon.02G0032640-2T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:86122841:86124841:1 gene:Sspon.02G0032640-2T transcript:Sspon.02G0032640-2T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQPPERATAALIYAMVARGTVAVAEHTSYTGNFRDIAAQCLHKLPAGNNRFTYTCDGHTFNFLVSDGYAFCVVATESAGRQIPMAFLEMIKEDFNKRYAGGKAATATANSLTRDFGPRLRDQMQYCTDHPEEVSKLSKVKAQVDQVKSIMMENIDKAIDRGIQIDGLVTRTEQLHEGAADFRRDGARLRRKMWYQNMKMKLIVLGIIVALILIIILSICHGGCGK >Sspon.08G0019420-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:15432205:15432894:-1 gene:Sspon.08G0019420-2C transcript:Sspon.08G0019420-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIFEPFRAIGYITAGGVPFSVQRLGTETFVTVSVGKAFHVYNCAKLNLVLAGKPVFQF >Sspon.05G0013390-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:46743163:46744770:1 gene:Sspon.05G0013390-3D transcript:Sspon.05G0013390-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHYAISHPILLVDERTGLSKMAEEKAAAVGGLGGAGAADAAPAGEAAAARVRPVETLLRAAPLGLCVAAMTVMLRDQQSNEYGTVAYSDLGGFKYLVYANGICAAYSLVSAFYTAVPRPATVSRSWVVFLLDQVFTYLILAAGAAAAELLYLAYNGDKEVTWSEACGVFGSFCHQARTSVAITFGTVLCFILLSLISSYRVFSAYEAPPSSALGSKGVEIAAYPR >Sspon.02G0027920-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:120358588:120360940:1 gene:Sspon.02G0027920-3C transcript:Sspon.02G0027920-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQSNYGVEGFSHITVTGALAHGMKEVEVWLQTFGPGQRTPIHRHSCEEVFIVLKGKGTLLLGSSSLKYPGQPQEIPVFQNTTFSIPVNDPHQVWNSDEHEDLQVLVIISRPPVKIFIYDDWSVPHTAAKLKFPFFWDEDCLPAPKDEL >Sspon.07G0005730-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:14778306:14780675:1 gene:Sspon.07G0005730-1A transcript:Sspon.07G0005730-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFKRRNGGRNKHGRGHVKYIRCSNCAKCCPKDKAIKRFQVRNIVEQAAIRDVQEACVHDGYVLPKLYAKVHHCVSCAIHAHIVRVRSREKRRDRKPPERFRRREDRPAGGQGGPRPGPGAAGAAPAPAPVAARP >Sspon.08G0006520-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8A:20379053:20379298:-1 gene:Sspon.08G0006520-1A transcript:Sspon.08G0006520-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIVCDRGSSITLITRVPNVARAYDSSVSDPPEKSLDVDASQCPRSGLWASLGCWLYTCPTSSARNHCNRVHLYLGCIFTA >Sspon.05G0001250-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:4170979:4174446:1 gene:Sspon.05G0001250-1A transcript:Sspon.05G0001250-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKKVLMLCGDYMEDYEVMVPFQALQAYGVSVDAVCPSKKAGNICRTAVHQGIGHQTYSETKGHNFTLNASFDEITASEYDGLVIPGGRAPEYLAMDSKVLNLVRNFSDAKKPIASVCHGQLILAAARVVENRTCTAYPAVKPVLVAAGAKWEEPDTMAKCTVDGNLITAATYESHPEFISLFVKALGGSVAGSDKKILFLCGDYMEDYEVMVPFQSLQALGCHVDAVCPDKGAGEKCPTAIHDFEGDQTYSEKPGHDFTLTASFESVDASSYDALVIPGGRAPEYLALNDKVISLVKAFADNGKPIASICHGQQILSAAGVLKGKKCTAYPAVKLNVVLGGGTWLEPDPIHRCFTDGNLVTGAAWPGHPEFVSQLMALLGIKVSF >Sspon.06G0007360-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:26346089:26348710:-1 gene:Sspon.06G0007360-3C transcript:Sspon.06G0007360-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGIGPIRQDWEPVVVRKKAPTAAAKKDEKAVNAARRAGAEIETMKKYNAGTNKAASSGTSLNTKRLDDDTENLAHERVPSDLKKNLMQARLDKKMTQAQLAQMINEKPQVIQEYESGKAIPNQQIIGKLERALGTKLRGKK >Sspon.01G0048660-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1B:110069700:110070272:-1 gene:Sspon.01G0048660-1B transcript:Sspon.01G0048660-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKEAEAPAQPGSVPAVTLRRFELGDVDAMMEWASDPEVTAFMTWDAYTSREALLAFLCDAVLPHPWFRAVCLGGGVSDGHGHRPRHPVGAVSVTPTDDACRAELCVLLARAHWGKGVATAAVKRAVPAAFGELPGVERVEALVDVDHAASQRVLEKSGFQREAVLRSYCVVKGRLRDMVVYSFIYSDPLV >Sspon.07G0027590-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7B:60928895:60930757:-1 gene:Sspon.07G0027590-1B transcript:Sspon.07G0027590-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKPSEPIHRAPLQLLAGPSGFPNLQPGELDPATTVTDPATMVTELATSGVSGRHGSRKRSSGEEGAAPLVESSGERARCRECADLPLGEGPPPDLGTEAAAGGSERESPLHHQNHHQEERHCRIWPLHRRICRRPDLGPLVAPRGRRGAAAPRGGRSAATPWGGRSVAAGLLGGWGGAPPPALLGSSVSAPASTTQALAVSTPSPPPPLTTAVAKSSFFFKPDLSTLASTTSRAPAAHRRFRRHRANQYVSCSFSMVVAPATGSCQSNVSHRLLGSAPAIELAANLPAATACPASLPRAPPSSKPENNKSHLKL >Sspon.03G0003180-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:8045333:8049849:1 gene:Sspon.03G0003180-1A transcript:Sspon.03G0003180-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glucuronic acid decarboxylase 1 [Source:Projected from Arabidopsis thaliana (AT3G53520) UniProtKB/TrEMBL;Acc:F4JAG3] MKQLHKSSPTHAPSSAHAPASKAAKPARPGPRSWIGYVLREQRLLFVLLGALIASTFFLLRPYLSLSPSSHLPDARPLFSFAARSGVPAGFRPPPRRVVVTGGAGFVGSHLVDRLLEQGDSVIVVDNFFTGRKENVAHHLRNPRFELLRHDVVEPILLEVDRIYHLACPASPVHYKYNPIKTIISFITGSHRLILLTLKATCSDPIGLFSLCIFGCGWTNVMGTLNMLGLAKRIGARFLLTSTSEVYGDPLEHPQKESYWGHVNPIGVRSCYDEGKRTAETLTMDYHRGGGVEVRIARIFNTYGPRMCLDDGRVVSNFVAQALRRQPMTVYGDGKQTRSFQYVSDLVAGLMALMESDHIGPFNLGNPGEFTMLELAQVVKETIDPMATIEFKPNTADDPHMRKPDITKAKQLLHWEPKVSLKEGLPLMVFPQFLWPLLEMHTETERSARADIPTHFWYTSNSDNGKAGGATVPPRPADNRDVRLLLIVAADAEKPEAVVRAIAGERGLHGHHMAAVVCQAGDEDALQPPFQRQPELLPAAELLVDHGHRAGPECVWPRQGAQPAAGVADWPL >Sspon.06G0022950-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:40961442:40965501:-1 gene:Sspon.06G0022950-1T transcript:Sspon.06G0022950-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPRLEAIVERMLDKCILDGKYQQAMGMSVECRRLDKLEGAISRCDNLHGALSYCINLSHQYVSHREYRLEILQCLVKIYQTLPNPDYLSICQCLMFLGEPESVASILDKLISGSNDDALLAYQTAFDLTENENQAFLLNVRNHLDALSSHTSAHVDPDSGPAVPSNQTNAATEPSGDVQMRDDINMPNGSATTVDPNAATHADRLTKIKGILSGETSIQLTLQFLYSHNRSDLQILKTIKQAVEMRNSVCHSATICSNAIMHAGTTVDTFLRENLEWLGRATNWAKFSATAGLGVIHRGHLQQGRALMAPYLPQNGAGGSGSPYSEGGALYALGLIHANHGEGIKDFLRESLRNATSEVVQHGACLGLGLAALGTSDEEICEDIKNILYTDSAVASEAAGIGMGLLMVGTASEKATEMLAYAHDTQHEKIIRGLSLGIALTVYGREEEADTLIEQMTRDQDPILRYGGMYALALAYRGTANNKAIHQLLHFAVSDVSDDVRRTAVLALGFVLYNEPEQTPRIVSLLSESYNPHVRYGAALAVGISCAGTGLSEAISLLEPLTSDVVDFVRQGALIAMAMVMIQTNESYDSRVGAFRRQLEKIILDKHEDTMSKMGAILASGILDAGGRNVTIKLKSRSKHDRLTAVVGLAVFTQFWYWYPLTYFISLAFSPTALIGLNSDLKVPKFEFLSNAKPSLFDYPKPVTQQTATTSVKVPAAILSTYAKSKSRAKKEAESKAKEKAEDSSIASTSMQVDGAAAEKKAPEPEPTFQILMNPARVVPAQEKFIKFLEDSRYKPVKAAPSGFVLLQDLKPTEAEELTLTDAPSTAATTNAPASSASEPAAMAVDDEPQPPPAFEYTE >Sspon.01G0010410-3P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:6642441:6644285:1 gene:Sspon.01G0010410-3P transcript:Sspon.01G0010410-3P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRLLPSLNRVLVEKLVQPKKTAGGILLPETSKQLNAAKVVAVGPGERDKAGNLIPVALKEGDTVLLPEYGGTEVKLAADKEYLLFREHDILGTLVD >Sspon.01G0018680-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:75812760:75817082:-1 gene:Sspon.01G0018680-2B transcript:Sspon.01G0018680-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMEPSLLILDEPTTGLDSASSQLLLRALRHEALEGVNVCAVVHQPSYTLFNMFDDFVLLARGGLIAYHGPIYEVETYFAGLGIKVPGRENPPDYFIDILEGIVKTKLRGNVTPKHLPLLWMLHNGYEVPDDLQKDLENINTIRELYTVRSISEQSSEEQSENTDSVNRNLSQSNELLERKTPGVFAQYGYYLGRVAKQRLREATQQAVDYLILCIAGICIGTIARVRDDSFGVASYGYTIMAVCESASFVVSRLLASLRSFSPEKLQYWRERQSGMSSLAYFLARDTIDHFNTAVKPIIFLSTFYFFNNPRSTLRDNYLVLLALIYCVTGIGYTFAIWFELGLAQLSSAIVPVVLVLVGTKQDLPRVIRELCYPKWALEAFIIAGAKEYSGVWLITRCGALLQGGYDINEFNLCITIIMLQGVFFRLVAFLKM >Sspon.08G0011630-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8A:50478381:50478606:1 gene:Sspon.08G0011630-1A transcript:Sspon.08G0011630-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding APSAGSNPTPGASPPLGPSPQHTWPAHPTYPTQQYVWPPPPQSQGYSSWPQAPTQQGPRPPYWQYEAAPQSGWT >Sspon.04G0020590-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3B:32069328:32069479:-1 gene:Sspon.04G0020590-2B transcript:Sspon.04G0020590-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSDIIKEDEKHSNHSPVIMLLCLPYTREGDYIRTNEAVTIADHPTIRHI >Sspon.06G0013720-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:54717033:54720446:-1 gene:Sspon.06G0013720-3C transcript:Sspon.06G0013720-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Deoxyhypusine synthase [Source:Projected from Arabidopsis thaliana (AT5G05920) UniProtKB/Swiss-Prot;Acc:Q9FI94] MFRSWQFCQIVYYLCKVLIFVMLAELKLDWRLSHEKPSEDCDEAELDPKYRESVKCKIFLGFTSNLVSSGIRDIIRFLAQHHMVDVIVTTAGGIEEDLIKCLAPTYRECLDTIKVRNPGLIIDIVQDIRLMNGEAIHATPRKTGIIVLGGGLPKHHICNANMFRNGADYAVYINTAQEFDGSDSGAQPDEAVSWGKIKGSAKPVKVHCDASIAFPLVVAATFARKVHGSK >Sspon.01G0034490-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:4455884:4459404:-1 gene:Sspon.01G0034490-2C transcript:Sspon.01G0034490-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPMSAIESAWQVLIANFTEFQLATVVTFLLHETVFFLSGLPSLLFERFGLFAKYKIQKKSNTPAYQNRCVLRLILYHVCVNLPVMIFSYPAFRFMGLRSSLPLPHWYALTPLSWLKHLMYFVFFISLSQHVNMKAPMFELEKKGLHISIYFFCRTVVVSQVLFYFVLEDFIFYWGHRALHTKWLYKHVHSVHHEYATPFGLTSEYAHPAEILFLGFATVVGPALTGPHLFTLWLWMVLRVLETVEAHSGYHFPWSPSNFLPLYGGSDFHDYHHRVLFTKSGNYASTFVYMDWLFGTDKDYRKAKTIEEKEGKNL >Sspon.02G0042710-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:85985506:85985866:-1 gene:Sspon.02G0042710-1B transcript:Sspon.02G0042710-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VELQDNLLSGGFPAVAALIGRLQQLSKADLSGNALDGGVPPEIGKCRLLTYLDLSRNNLSGRYRRPSPACEYSTT >Sspon.01G0038260-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:29261279:29261929:-1 gene:Sspon.01G0038260-1B transcript:Sspon.01G0038260-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAVSLSCAGVAPRSALLSHSFADAAIARALHFSLSDHAPAEPPPVATTAAAMLMHGAVAGTDHCPGPAMAAAAAPSPSSSARCRLGPAGGHAGKRRRPRPSKRAPTTYISTDAATFRAMVQRVTGADEADLLQPQPQQQDGDFGIGLLLPHLGVEQFLQAAGHAPYVAAAAAPYATATPAAAAAEQQQPLFPTLDSWNVMYGNKNEVVSVLTASP >Sspon.08G0010960-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:48110089:48110769:1 gene:Sspon.08G0010960-1A transcript:Sspon.08G0010960-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLPCLPGAPGRQGTERWARVARASGGDMERLFLRGVLQWVQAIRERRPGSHTPGNNGLARDAYSLEVNCLYLEIPASEYTAAKDSLAGLEHGHEVAGAPPRQKHQHGHRHWNSRCNSRLLVRFHGILHAPQGCWMSGIASRVTELSSYLTAVKVLDGMPLR >Sspon.01G0022260-3C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1C:80256992:80257609:-1 gene:Sspon.01G0022260-3C transcript:Sspon.01G0022260-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKSPRALQELMTAVDAGHAVDVYVDSASSDSRKLLGPLRRCSTYYGRGAGARKSAPAVAVVEASSDASFEFSAAVVSYSSSSPASMVFSDGQLRAHQFPAVRPAASAGSSEATSPLRSTSVGSSYSSTSTKQQAGVTNGSKKRVSFATDDGANKAAAAAAKAGGGQGKKSGCLLGCMGSACGPSSRNEAVEPVARNDNRKVMAV >Sspon.06G0015750-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:86349657:86353107:-1 gene:Sspon.06G0015750-1P transcript:Sspon.06G0015750-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVPADKAHHLVRSGLSATFDRLYTKVSLLLMFPNSNTKLLGWIAFLRFFVTAASFLMVTMELILSHTEAYDQTDVMITTLLLFVAVGLDFLAPLMYKITENKEIWPDAVSQYNLMGYLVRNKKHRKLRRLATLLVCKYYIDQLWSVQVLREITKLVHDYIAKGWTELHIKDMATYRAFNDNRGQWTLKREGCNRSLDWGLRRPFDESVLLWHLATDFCFLHMDMDPSPAHTAAYEAARRSKEISNYMVYLLFVNPEMLMTGARRSLFRAMYKQLKGIPLYNDEPAPQEEKELAQNIIQWLLGTEGSDMDRMVHDAWAIANELLTSLQSDEEKLWRGVCVEMEMLCFSAGRCRGYLHAKSLGKGGEFLSYVWLLLFYMGMETVAEKTQRSELQEETDEGGPRPASKFAVGKQGGEYLSYIWLLMSYMGMETLAERMQRTKLKEEDARNNGGASNGTTTAASSS >Sspon.01G0031050-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1A:106824232:106825010:-1 gene:Sspon.01G0031050-1A transcript:Sspon.01G0031050-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding EFLSRRSAPVVAPPQDAPRDRARLTFTPQQKHKLVKVGAAGAAAGPPSASPPQLPLTRKRESLSDTHTHTRGLVDCIAEASVLAKKTMTELDEKGKELQATRGEVAHLKEQVRAVRDKHAGDVARLKEALSVANAHHAAEVRRLADEHHKARTAHGEEMKAERASVVAKLQEEHAAAVARLKEEHADGVARLKEKHAAEVGRLADNKAERAAEVAKLQDDVARLKEEHAADVARVKDAADKEVQDAKKNIVLRLFPKLD >Sspon.07G0000080-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:8279955:8284175:1 gene:Sspon.07G0000080-2B transcript:Sspon.07G0000080-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding CSLLGVPLLLMRKHTVSSVLVLPIVWLCCTQNPISNQHQIIWLKLLPCSPPPLSSSSILSIPTAHITMAHIAIYRVSVEAWRNLHILYLYVVQPLTLPLRGNK >Sspon.02G0019370-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:65545464:65553922:1 gene:Sspon.02G0019370-3C transcript:Sspon.02G0019370-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding STPIGSNNPSTAAPLPFLVLAAPLSSKPRRRPPHARAAMAAAQGGTVAPSSEKVEFLKLQNGSDIRGVAVAGVEGEPVNLTEPVTEAIAAAFAAWLLNKKKADGLRRLRISVGHDSRISAHKLQVDPVFLFI >Sspon.02G0015010-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:20952913:20954285:-1 gene:Sspon.02G0015010-2P transcript:Sspon.02G0015010-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding TMGRQPCCDKVGLKKGPWTAEEDQKLVSFILGNGQCCWRAVPKLAGLLRCGKSCRLRWTNYLRPDLKRGLLSDAEEKLVIDLHAQLGNRWSKIASHLPGRTDNEIKNHWNTHIKKKLKKMGIDPLTHKPLCPSSSSPDPQQLQSPPPTAGSPEAQPSPPSPLRKPPEEKTAAAPVTPTPAATARASQQGQLAGDDDDELLLSNSPGFCTDEVPMMHPDEIMVPLVGDQHTPPPLPTSTTTFSPPAAAAAAVSTPTTTTSYSAASAASSSSLSRDEDAALFPLIDMDFPEIVFRMGLDDMVAWWDDSLAQPPLSLSPSLVYDDDYAYQLQRSGVAFDQEPGNKIQLFSS >Sspon.08G0016680-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:65609806:65614748:-1 gene:Sspon.08G0016680-1A transcript:Sspon.08G0016680-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:XBAT33 [Source:Projected from Arabidopsis thaliana (AT5G07270) UniProtKB/TrEMBL;Acc:A0A178U6J8] MGNALGCTGLGERLAAAARDGDAAEVRRLLEANPGLARCAAFGSLNSPLHLAAAKGHHEIAALLLENGADVNARNIYGQTALMQACRFGHWEVVQTLLVFRCNVSKVDSLSSRTALHLAAAGGHVKCARLLLAGAGGNGSNASSKLVNRAASGGVTALHLAALHGHADFVHLLIDERADAAAPTLPCAASPMASIGAGSTPLHYAAAGGEVKCCQILVSRGADRTTVNCNGWLPVDVARTWGCHWLEHVLSPKSHLPIPKFPPSAYLSSPLASVLTLARDCGLVLNTTPPEVLDDGVDDGDACAVCLERPCTVAAEGSFVMCGHELCVKCALDLCSVIKSYDVPGIAGTIPCPLCRSGIASFRRRAVDEDEPDVNAGGGRRRRAGDHQASSSPEKKRSTDSDQEILPFFCAPPAVMS >Sspon.01G0022670-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:82614229:82617141:1 gene:Sspon.01G0022670-1A transcript:Sspon.01G0022670-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Inositol-phosphate phosphatase [Source:Projected from Arabidopsis thaliana (AT3G02870) UniProtKB/Swiss-Prot;Acc:Q9M8S8] MSEEQFLAVAVEAAKSAGEVIRKGFYQTKNVEHKGQVDLVTETDKACEDLVFNHLRKHFPDHKFIGEETSAALGATADLTADPTWIVDPLDGTTNFVMGTMAFPFVCVSIGLTMGNSHVGVVFNPIMNELFTAVRGKGAFLNGSPIKASSQDELVKALLVTEVGTKRDKATLDDTTNRINNLLYKIRSIRMCGSLALNMCGVACGRLDLCYEMGFGGPWDVAAGAVILQEAGGLVFDPSGGEFDLMSRRMAGSNSLLKDKFVKELGDTN >Sspon.02G0033080-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:4126964:4129701:1 gene:Sspon.02G0033080-1B transcript:Sspon.02G0033080-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LAPLIQPRRRKRQLAPFDPTKKKKKKKVIIQEPSDEVDKLAEKTETLAVTEPAELNFTGMKKKKKKQVDLDSTIADLADGEDTQDDQAVEEQGEGIELGGGPTYPWEGTDRDYKYEELLDRVFNILRENNPDLAGDRRRTVMRPPQVLREGTKKTVFVNFMDLCKTMHRQPEHVMMFLLAEMGTSGSLDGQQRLVIKGRFAPKNFEAILRRYINEYVICNGCKSPDTILSKENRLFFLRCEQCGSSRSVAPIKAGFVAQVGRRKA >Sspon.02G0054800-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:120111421:120112055:-1 gene:Sspon.02G0054800-1C transcript:Sspon.02G0054800-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSSFRKSKFSLAPEPKFSTEGSILNLYGDAGQKKGEEEVVAAVAHLALGTRQGISSSNSLGRPRKPWKRSNHHRRVVLLLFIPRRPPGSSGPALHPARHHGAPDGREQQLQHRRPPPPLLLPATSAGGGGPLPPPAPPLHPALETTTTTPVISLQDSSYGRLTRQRRKRAWTSHPLSLSKLRELSPAQLLSP >Sspon.03G0014980-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:47520551:47523929:-1 gene:Sspon.03G0014980-2B transcript:Sspon.03G0014980-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKEMTPSSKAAAPPATIRLLDEVKRTRGERVEDGTAQRDKPLFQILQENKEKKDAEFNERIKHRPPKALDDDETEFLDKLASSRKEYEQQVANEEAEQLRSFHEAVAAQSNIVHELETPTVSRPEESRPKPPTKRSQPALLKNVIISVKPQAKKPKVEAEAKPVHEERPSNGHDADQKQPDDTKATLGSLVAYDDDDAES >Sspon.01G0049380-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:112839234:112840401:1 gene:Sspon.01G0049380-2P transcript:Sspon.01G0049380-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPSTSSKASSSLAVLVVAVAAASLAQPGAADLKLNYYASTCPSVETIVRGVVQQRLQATIRTVGSTVRLFFHDCFVEGCDGSVLIESTPGNQAEKDASDNKSLASEGFDTVRSAKAAVEAACPGTVSCADVLALATRDAISMVHMPHVNSRPDTSGGPFFQVELGRLDGLNSKASSVPGQLPEPNQTMDQLLAVFKAHGLNMSDLVALSAAAHSVGLAHCSKFANRLYSFQPGQPTDPTLNPKYAQFLESKCPNGAADNLVLMDQSSPAQFDNQYYRNLQDGGGLLGSDELLYTDNRTRPMVDSLANSTDAFYQAFADSIVRLGRVGVKSGRRGNIRKQCDVFN >Sspon.04G0036550-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:33972084:33975461:1 gene:Sspon.04G0036550-1D transcript:Sspon.04G0036550-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLLRRPRHLKAPEAGAGVEEASKLLRSVCSNTEGLAAEIQSCGHRRRAVEDLAAELQTLRDAVLRIWDALLDAADLHRPQGTALALQARDAAFGLDDVADMVQYRCIQLRLAPPPARLWKNPLLSRLLGCDGSSCKPNKIRKVLFVVTQETIKLLGLLDEGAAATSLHPPLLASPDQGCVKSQTQLPRPKGTVVGRDMDREAILRMLTDPRPQPGPLVISIVGMGGVGKTALARQLYNHTTVQQRFDITSWVFVSSSFNNEDLVAEILRSANPAWTASPDNMADLSMLQSELQQFLASKRCLIVLDGMWEETSDMKGDWQTILTPLRSAGTGSRILVTTRTNTVSSLPSASQVYHLDALSTEDCWTLIKEHAFHSDNEDDYPDLQLLGRKIAAQIGGLPLPAKFVGRWLEATRSKEQWQNIMGALGQHDPAFPALRLSYGHLPGHLKRCFAYCSLFPKNWKFDPPHLIRLWTAEGFVQPRCRAEEREEDCARQYFNQLLSCSFFEEIELGSKKYYLMHDLIHDLAQLVSAEHCFRIEQGMSCDIPSTVRHLSVTMSNLHHLISFCKIGKLRTLLVLRSLSFSSNCFDEDLLKKLTDLRILDLSGCDLTELPRSIGNLLHLRYLSVHGNIRGLPRSISKLLHLQYLCFSDNCSFDKLPAVITMLVSLRHLCVGTKYTTGLADIGRLVNLQGSLEFHVEKLEGHTLEQLQNINGLSNLKIKGLENVSSIEEASRAELNKKRYLNSLSLEWGSTYRKLHPPADAEVLEGLLPPPDLKKLRIRRYRGTEAPSWLHSPSLQQLQSLQLINCRGLGKLPALGNLRSLRTLHMRELCAVEKIGHEFYGIDDMAFPCLDVLELDDFPRLHDWSVIADKKSFPCLQRLMVMDCPALTQIPPLPSTACEVSIERTQMVPYMRLAPSPSSPEMLQLDVCSSSFLFKGFLHEVHFKSIVALNISGAEQLVAAEELGSFVSLQRLQLSRCDFTDQTLSKFLRALPCVSSLEIIDLPNITSLPVKEKLMFCPMVKELHIRNCQLLHSLSSLQFFESLRYLEIERCSSITTTSFPENFVNLSSLKVLRISYCSELQSFPACGLPSSLESLNLIGCHPELSKTKRNRKGYNFEKPATST >Sspon.05G0033590-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:42737247:42740507:-1 gene:Sspon.05G0033590-2D transcript:Sspon.05G0033590-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLRIVGKSASSHTKAAAAPDPLPDAKDDPDGAVVAAGADRNNGSVNGSKDELFFEARPWLDSDSEDDFYSVRGDFTPSRGSTPDHPRLTPSSGRILVDRSGPSLVQKKQRLIELLQEKQHYDDEDDSVTDVSSDLEHSAVHAEEHMKASRKTEKSKKSSRSGCFPTLVWKRSFTSCRKKKKEKEQKDKVN >Sspon.07G0021140-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:980239:984769:-1 gene:Sspon.07G0021140-1B transcript:Sspon.07G0021140-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEASGEERLNRLLERHGQEVVGFVMFAKAKLSENAYKELVKAAREIVEQSSNPEGGITVQKCEEILSQVFVGQTRVLEGFRHLLEAGDPSKDDHPLRRALSFMDKVKDSSSISNEDYRDFLVTLSESMTKKITNQETYLKVLCITPDENHSANHSLDGNEATGTPYTSDFLQTSTRGNGESLHAEENDGDKIDPLPCWSPSRENELPPKVNPDMFTRHSTSYSLLPKNCLTLKTSYRTELGQFVFNDTLVSSTSGSEDCFKFRTKNHYEENIFKCEDDMFESDMLLQRYTATADFIRNLQGYVDKDMKIQEHLTPLHRRCIEQLYDEHGLDMLDALSEKIDTSMALVILQSRLNQKIEDLKEAQSSLNKAWSSIIANNYYRSLDHRSSSFKQLDKRRMSPKGIVDVQQLNKIHMITLLAEAREINMARLNNGYKHLSACNNQSTLISENVFKDINLHIHKDIDRMVCYACKSCPSEQKLMMIWTTLVQPFVSISCQLQESNDTVAPKEACEHCGLSKTFLRSIPDSSLANNFSLSSKIHLNSNTQNEFLPHRDTSLRLWSKDNLRGGYLLNTSNKSASILDACQTEIEDGEFIPDVGNIQFGSMLGHGNEAASCDVAAPSGAGLSSQCPGHSSCDHSNKSEVHYESREGYNIEMGSSAYSKRTAELYDVKGSVPCCSLVVLLRLHQILYERLVVAKNLSAEASKRGSHTCDLYAGFKEELFNLITGSTNSSNFEKYCLTILGPRSYVLFTLNEVIDRIIKQSPACPTNGSLEHDHQEEGEKGTRNINEPHSCSKHNGDIVKPMQNHFQRRWVS >Sspon.01G0021580-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:79277847:79279107:-1 gene:Sspon.01G0021580-1P transcript:Sspon.01G0021580-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding NIVSTVNLDCQLDLQQIANSARNAEYNPKRFAAVVMRIRDPKTTALVFASGKMVCTGAKSEDHSKLGARKFARIVQKLGFPAQFKYEPEIFPGLVYRMVDPKIVILVFVSGKIVLTGAKVREEIYTAFENIYPMLVQFRKRQQYR >Sspon.05G0024450-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:22615565:22619566:-1 gene:Sspon.05G0024450-2D transcript:Sspon.05G0024450-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MYPHSLSEYVPVQHLHAGRRERGVVEEYAARRNALKEIRYGACVARGGQWVLFLFAAATGEAATNDFVSGSLFAFCLARPAVTDVMDVPDPLYRTPPRGAPHPLSLWLAPGIERDSPGSGRIRSAAPRAAYSLAAQPTCLPTRLLLLAETRPLLVPRAVSLGRRTARCSLISSTPLSPARLPTVVPRLRATRAGQQSRGGERHPPMASRNGLGGEGSAPSPPHVLAVDDSSVDRAVIAGILRSSQFRGEKSYPSVVLLPSLCLTAVDSGKRALELLGTEPNVSMIITDYWMPEMTGYELLKKVKESSRLKEIPVVIMSSENEGAEDFLLKPVRPADVSRLTTTTAASSGEHAVTRCMAWGSSSSSSVPKLGVFSHEAWGSYTLDGPTCHSCLLQLLGRRQAGVDVRVGKAEGARAVPIDIQKARPCGRASERMRPSKRPAATLPV >Sspon.04G0025770-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:33870880:33877285:-1 gene:Sspon.04G0025770-2C transcript:Sspon.04G0025770-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MENPRRHSGGSSASRRLRYLLALAGDYLKYLFMKRRRLMHKVARRTLALVHRHGGERSKSHHPWPRALMEHEFSCADSPSPAFLAAKRLLLRSRLRGSGAAAAAAGAVSSCFGSFRAPFGSPEETMASEAEAAGEEDQLETTEDEEEDDDQVRAAEDGGWLQCGELLDVDDRAEEFINMFYEQLRAQNFAATMKLHRQLSMGKLSSAVRELWEGLTVGAHRPMEDDYFRGSYEFSCTTTPVNVLTVKGRRRRQRRLPPCIGAKQATEMLAGTIATRRDGGCSPELERSHQAMAALDIDGLAEEFIQRFREQLRSEDASRG >Sspon.02G0018590-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2A:59788342:59788832:1 gene:Sspon.02G0018590-1A transcript:Sspon.02G0018590-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SCKARGSGFSSEICGKGTNNQPGADTKGDDPQLVHLKNFDAVQRMDRHHLGKAEHTTYVRLPSAEGNNDDRESTDGGKRTTSQRPDTRRPQEGARAHSAGGVFASSARSEVCGGGGNSGGERQQRRRRQQRRRTATAAAAANYVRRRQADCSFLDKELGSDS >Sspon.08G0001850-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:5605257:5608007:1 gene:Sspon.08G0001850-1A transcript:Sspon.08G0001850-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSPVGDGGSAERWRAEAARAFQHYLDRAAPHTAGRWAGTLVAAAVYALRVYYVRAFYVVTYGLGIYLLNLLIGFLSPMVDPELEALEAGPGLPTRGSDEFKPFIRRLPEFKFWYAITKAFCIAFVMTFFSVFDVPVFWPILLCYWIVLFVLTMKRQIVHMIKYKYVPFSIGKQRYGGKKGPAASASKD >Sspon.08G0006940-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:62486740:62495769:1 gene:Sspon.08G0006940-2P transcript:Sspon.08G0006940-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSHGDLDRQIAQLRDCKYLPEAEVKALCEQAKAILMEEWNVQPVRCPVTVCGDIHGQFYDLIELFRIGGDAPDTNYLFMGDYVDRGYYSVETVSLLVALKVRYRDRITILRGNHESRQITQVYGFYDECLRKYGNANVWKYFTDLFDYLPLTALIENQVFCLHGGLSPSLDTLDNIRSLDRIQEVPHEGPMCDLLWSDPDDRCGWGISPRGAGYTFGQDIAQQFNHKNGLSLISRAHQLVMEGFNWCQDKNVVTVFSAPNYCYRCGNMAAILEIGENMDQNFLQFDPAPRQIEPDTTRKTPDYFL >Sspon.02G0029820-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:96991927:96992760:1 gene:Sspon.02G0029820-4D transcript:Sspon.02G0029820-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEAVLDAAAAVMPSPSGRGDDVVVGAADQVATTSSSSGAVAEEEDVKAAVQQQGQEHGQGLAKRKRSRRRRDSEQQQLPKEHPTQEEYLAQCLVMLATGRRDGDAPALASAPPQGQHACSVCGKAFPTYQALGGHKASHRTRPSPPSAATEVVADHHEEQKPVLPSAGADNNKPAAAHECNVCGKAFPTGQALGGHKRRHYDGTIGSAAAPAPARASSSSAAATSSRATAPPPVAFDLNLPALPDMLVPERCSVPEDDEVLSPLAFKKPRFMIPA >Sspon.04G0001990-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:7350036:7356014:1 gene:Sspon.04G0001990-3D transcript:Sspon.04G0001990-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amino acid transporter AVT1A [Source:Projected from Arabidopsis thaliana (AT2G41190) UniProtKB/Swiss-Prot;Acc:O80668] MAQEKPRKEEEEEEEELMLEDGGIEESPRRSFDDCGDSEEDRGEDDDEERERDGDAVGSPRSFQSQKWPQSYRETTDTYTIAASPSFGYLGPSTSKYSLLDLGRSGLGSDLKLPLVSDKADGKQDSFKNLPKTLSSIRDERVSFQLQHTGEIYISQGCSVTQTVFNGINVLAGVGLLSTPFTIHEAGWTGLAVLTYCVEFIILEGDNLTSIFPKAGFDWLGIHVDGKHFFGVLTAILVLPTVWLRDLRVLSYLSVGATDGIGFHSTGKVVNWSGMPFAIGLVMALIGSLLSILVAVIMPALCFLKIAQNKATCSQESSAAPKV >Sspon.05G0002280-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:6284298:6285722:-1 gene:Sspon.05G0002280-3D transcript:Sspon.05G0002280-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAPTPGATPHVLVIPFPAQGHALPLLDFVALLAARGLRLTVVTTPANLQLSPLSSPRTPPPSARHLPVPLPPVSAPGAREHQGLQPGHFPAFVHALAELRRPILAWVKAQPDPVVAVVADFFCGWAQPLAREIGAAGIVFSPSGVLGTAVPHSTFRRLVRRPAQCDDDDEFSVSFPAIPGEPSFQWRELLMMYRNYMAGALDEQVAASVRQNFLWNLNDSWGFVFNSFRALEGRYLEQPLEDLGFRRAWAVGPVAPEADAAGTRGGQASVKARRSQRVAGRVPGRLGRAVLSPAVAAALAEALERSAVPFVWAVGGAVVPDGFEARAAAARRGVMVRGWAPQVALLRHPAVGWFLTHCGWNSTLEAVAAGVPMLAWPLVADQFFDARLLVDEARVAGRPRLCPDAGELASVLADATGEKGRDVRARAKALAAEAARAVKPGGSSYADLELLVQEIRKLPS >Sspon.01G0001070-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:2206281:2210334:1 gene:Sspon.01G0001070-2B transcript:Sspon.01G0001070-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclin-dependent kinase A-1 [Source:Projected from Arabidopsis thaliana (AT3G48750) UniProtKB/Swiss-Prot;Acc:P24100] MEQYEKQEKIGEGTYGVVYKGLDKATNETIALKKIRLEQEDEGVPSTAIREISLLKEMNHDNIVRLHDVIHSEKRIYLVFEFLDLDLKKFMDSCPEFAKNPTLIKSYLYQILRGVAYCHSHRFLHRDLKPQNLLIDRRTNTLKLADFGLSRAFGIPVRTFTHEVVTLWYRAPEILLGAKQYSTPVDVWSVGCIFAEMVNQKPLFPGDSEIDELFKIFRVLGTPNEQSWPGVSCLPDFKTAFPRWQAQDLATIVPNLEPAGLDLLSKMLRYEPSKRITARQALEHEYFKDLEMVQIADVRISASSLAKLHQRNDRGIATARQIARPSTYPHRGGMS >Sspon.06G0003890-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6A:11942806:11943621:-1 gene:Sspon.06G0003890-1A transcript:Sspon.06G0003890-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAKGNRQKRTCEGHGTWQGQGKRKREEEGKESKKRMRVSVCGSTKEIEWEKYGLNFQERGVKGSTGWVMHEYSITSPPEFARSPMRVYCIRHSGHGKNAKKHNRDAEDWGSDDEDDDEVDDATLATTWCAAEEDPALFIGEYPLAPQAEYVTSLPVAVADVVNAIPADGSGAGAGNDQDLPALVLDDATTWSAAEEDRTLFIGEYPLAPQAEYVTSLPVAVADVVNANPADGEGAGAGNDQDLPGLVEDDNCFAFMNSLSDLVPGFDLLR >Sspon.04G0033640-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4C:63102686:63103105:-1 gene:Sspon.04G0033640-1C transcript:Sspon.04G0033640-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPDMFMFFYLNYTVDLQRRNHRSNCCLVCFPLLICLLLGGVQMIVAIAYFSSAAHRPRIDCGYCTASTNSSSTDTVGGLVCPTECPLPIAPKWPPVLQLPPAPDDMDFGSSTSTNLTGVSITPAATFLVTGTNRSFAES >Sspon.05G0006270-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:20150573:20154946:1 gene:Sspon.05G0006270-1A transcript:Sspon.05G0006270-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Elongation factor G, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G62750) UniProtKB/Swiss-Prot;Acc:Q9SI75] MAAEAPVRAPAAAARSARRPAATTVVSASSASRLLLGHRPFHAPRFAAGRAAVAGPVSGLRPRPRSPRLSVVAMAGSDRQVPLHDYRNIGIMAHIDAGKTTTTERILYYTGRNYKIGEVHDGTATMDWMEQEQERGITITSAATTAFWNKHRINIIDTPGHVDFTLEVERALRVLDGAICLFDSVAGVEPQSETVWRQADKYGVPRICFVNKMDRLGANFFRTRDMIVANLGAKPLVIQLPIGSEDNFQGVVDLVRMKAIVWTGEELGAKFEYKDIPTDLQELAQDYRVQMLETIIELDDEVMENYLEGTEPDEETVKKLIRKGTISASFVPVLCGSAFKNKGVQPLLDAVVDYLPSPLDLPSMKGTDPEDPEIIFERQPSDDEPFSGLAFKIMTDPFVGSLTFVRIYSGKLVAGSYVLNANKDKKERIGRLLEMHANSKEDIPVAVTGDIVALAGLKDTITGETLCDPDKPVVLERMEFPDPVIKVAIEPKTKADADKMANGLIKLAQEDPSFHFSRDEETNQTVIEGMGELHLDIIVDRLKREFKVEANVGAPQVNYRESISKVSEIQYVHKKQSGGSGQFADIIVRFEPLEAGIVYEFKSEIKGGAVPKEYVPGVMKGLEESLPNGVLAGYPVVDFRAVLVDGSYHDVDSSVLAFQIAARGAFREGMRKAGPRLLEPIMRVEVITPEEHLGDVIGDLNSRRGQVNSFGDKPGGLKVVDAFVPLAEMFQYVSTLRGMTKGRASYTMQLAKFDVVPQHIQNQLSTKTEEATA >Sspon.02G0011030-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:29672908:29675015:1 gene:Sspon.02G0011030-1A transcript:Sspon.02G0011030-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPQFLLCFLLAAAAPLLVATAKKSGDVTELQIGVKNYMELLRFVLGTPSNTLRAHEELGLHLQDSGVEKLGLHHVCSSGCSSGWNMAFTPLRSGCSSGMRLCAPLENEPAKVHRSRASRQK >Sspon.08G0008490-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8A:31879400:31881146:-1 gene:Sspon.08G0008490-1A transcript:Sspon.08G0008490-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LLQWQPVATLLVWVTAAGFHSYFPCCAWYATHAHERAGIESIASVKLRIQRFKGFVVTKQRLVLDGHELARNNCPVKDYGLAEGNVLHLVIRLSDLRVINIETATGKKFQFQVDQSRNVKFLKNKLAAEGDEDIGNLEDHKLEYDGEELEDHQLVADISKRDDAVIHLFIRKPAKVRTQQVDRDTLVTVVNPQEKGNLQNEARAMNSAKSVGVRPAPVEPIVNRKAKLSPEVMEMINSTIAGLEKGHLPVMSAEGSGGVYFMRDAAGQKNVAVFKPIDEEPMAKNNPRGLPLSTDGEGMKRGTIVGEGAFREVAAYILDHPVSDSKSGHSVGFSGVPPTTLVRTLHRGKSFKIGSLQMFMENNGSTEDMGPRPFPVKEVHKIAVLDIRLANADRHAGNILVCKEGEGGNYKLIPIDHGYCLPEKFEDCTFEWLYWPQAREPLNDETIEYIKSLDAEEDIKLLKFHGWELPPRCARVLRISTMLLKKGAARGLTPHDIGRILCRETVNRASEIEDIIQEAEDAVLPGSSENMFLETVSEIIDHHLDKE >Sspon.01G0050020-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:71341930:71348947:1 gene:Sspon.01G0050020-2D transcript:Sspon.01G0050020-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSIDWTLFSSAHNDPMASDVIAPSPWTIFGCKNGLRLFTEANDGGFRGKYWDDHPAIMAVGVVDANSEAVFQTLMSLGQSRSEWDFCLREGKVVEHLDGHTDIIHKKLRGDWLPWGMRKRDLLLRRYWRREDDGTYVILYHSVFHYKCRPERGYIRACLKSGGYVISPVNQGRQSVVKHMLAIDWKFWKSYLFTSSAKYITIRMLGRVAALREFFRAKNGNCACMEFSSGELTRDMGLPQGENQRINLEIQQENENRRLEGPTEGSVGGSNRHLSSTSSFIQLNDAADEFFDVPDESEYDQREVMFPSDESTHASDQRHAKLSTAAVFVKGCMILQIKANSTLMQMVGADWIKSDKREDDLAGRPGGLVQKCAAQGGTKFFFVVNIQVPGSTTYSLALYYMMDTPLEKVPLLERFVNGDNAFRNSRFKLIPYISKGSWIVKQSVGRKACLVGQALEINYFRGNNYLELGVDIGSSTVARGVVSLMLGCLNNLVIEMAFLVQGNTYEELPEFLLGTCRLNYLDASKAVSIDEC >Sspon.02G0053820-2D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2D:94208014:94209817:1 gene:Sspon.02G0053820-2D transcript:Sspon.02G0053820-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding F >Sspon.08G0007830-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:24256273:24259437:1 gene:Sspon.08G0007830-4D transcript:Sspon.08G0007830-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAASLLALRLLLLVGVAAAGVGTAAVGVSGGGQLWCVAKNNAEDGALQSAIDWACSVDGGRADCAAIQQGGACYDPPDLQQHASYAFNDYFLRSGGAVSPAACDFSGAAALTALNPSHGSCVFPSSASPKNGSFTGTTTYGPTGADFSNSFSWKLNFWSLLLHISSSVMFCAATN >Sspon.08G0004860-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:14215104:14216648:1 gene:Sspon.08G0004860-1A transcript:Sspon.08G0004860-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPWVGQPSLSLDLNVGPPTARPAVPATTKVLVEENFLAVKKHREVEALEAELRRVGEENKRLSEMLRAVVTKYTELQGQVNDMVAAAAANRQQSSTSEGGSAASPSRKRIRSGGDSLDTAAQHHQSRKPSPSLAAAVAAHDQMECTSAAVSVTAAAFRRAVREECKPKVSRRFVHADPADLSLVVKDGYQWRKYGQKVTKDNPCPRAYFRCSFAPSCPVKKKVQRSADDTTILVATYEGEHNHGQPPQHDGGRAAKSTAAQAPAGEAVVRRAAPPLPLQHQHQHLQQRQQQKQAEAATGPSSEVARKNLAEHMAVTLTGIPGFKAALVSALSGRILEHSPTRD >Sspon.01G0050080-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:118798048:118800127:1 gene:Sspon.01G0050080-1B transcript:Sspon.01G0050080-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSTIRKALGAVKDQTSIGLAKVTSNIAPELDVLIVKATSHDDEPAEERHIREILHLTSGSRAHVAAAVAGCSRRLSRTRDYVVALKSLMLVHRLLADGDPSFHRELLHATRRGTRLLNLSDFRDEAHSGSWDHSAFVRTYALYLDQRLEFLLHERKQGSNTGSSSSHNGPSPRDRDRWGSPDPYGRRSPSYSSPPGYGGYDDYRERNGGNNDDKRPPTPVRDMKPERVLARMHHLQQLLDRFLACRPTGGAKQSRMVLVALYQIVRESFQLYADICEVLAVLLDRFFDMEYAECVKAFESYASAAKQIDELCAFYSWCKDTGVARSSEYPEVQRVTDKLLETLEEFMRDRAKRPRAHHGSLSLSLSRKNLSRIPEPDRHGEEPEPDMNSIKALPAPEDYKEPEPEKVEEEVKPEPPPQPQGDLVDLREDTVSADEQGNRLALALFQGPPAAGGSNGSWEAFPSNGGNEVTSAWQNPAAEPGKADWELALVETASNLSKQKPAMSGGMDPLLLNGMYDQGVVRQHVSSQEQIMWQQYQRDGMQGQSSLNRLDRANNNGFAPNPAMPYGMPTAYNTNPMPMAYTGNT >Sspon.07G0029010-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:73302071:73303945:-1 gene:Sspon.07G0029010-1B transcript:Sspon.07G0029010-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCVTGPPHVLLASSLTAAPDCQSLLARFLLLSSSSSRLLNHFSSSPSPIRLAFNSTRPAIIHSIPFHPPPIAPLHSIDPSTVPPTLTQATMGKKGLVSIFSRLVDSGAPSSTSAAAAAAASSPPWPWPPCGTNPQTASFRAGADDEPCCTATAAAGRSRGPAGAGKPTVMGHGAAPGEMYKTVNSVYLDDPAADDFFSLSLAGDDEEERAAKVLYGLHDDDDDDSFSTTTASEEWSEAVIRSLGRTSTDRFFFDPPGPLPASNSILATAGAAGPEPAARKKALPASTTEEEEEKTTVPPGGFDDAEDDDVKKQQPTAAATTSLADRSVAVAVDSGDPYGDFRASMEEMVSAHGLRDWAALEELLAWYLRINGKQHHHLIVGAFVDLLLGLSSSSSPPSSTAAETSTTTTSSSSSTSTTTGSRCTSTTAATTSIASDAVVTTAATAAVVEHQRGGGNHVAPCSSSSSSCSYRAAAADVQVDVEAAVEGDDAGTGSGGDRDRVRTTGSAPSPLRLVG >Sspon.07G0016180-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:57776996:57777444:1 gene:Sspon.07G0016180-1A transcript:Sspon.07G0016180-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VTGVAKKQSKAPNGSSKSSKSNSKPSKQTNSNSKPVKPAHPKEEEDSGREDAEEEDQAYLCGSCGESYANGEFWICCDVCEKWFHGKCVRITPAKAEHIKQYKCPSCSTKRSRE >Sspon.02G0020700-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:67408743:67409955:1 gene:Sspon.02G0020700-1A transcript:Sspon.02G0020700-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATLTSALSSMEVMLDALMQRGIGKPEEPKPKEDEEPPALPTRPTGRGRLPALQRPGGIAAAPWIHRPSPVPPPTQEEDEEKCLVNLELERRAAKAEEEVKQKEEEMRQKDEFISTLQRQVEHYESRLSECEVRMKSVEEELQRQITSLQMAQTAGGRRDGSTKHGQESSSRGSLPPSQPSSARHQQRSSEPAAVAIAVAVTAATDERTLAEPVNQLAREFQTEREAFEHNARAVVEVRPPSPSSAKSVEELKTLKQQFASWKKEYEARLKKTKAELKRLVHAEKKSNGSGGGGNSGDGHAHQRRCGWWRFKAPKCRAPKCCSFKLPSPKSCCCCFRR >Sspon.08G0024330-2D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8D:65291971:65292447:1 gene:Sspon.08G0024330-2D transcript:Sspon.08G0024330-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKLVLHLLFLLGHLRRLSSWLLRLAGAGADADTDASWAAASDADHPRHPCAAAAAERLEEHSPAVRFDSLSCGGSSSSGESGDETAPRPLPEGCCVCLGDFHAAAEVRRARGCRHVFHRACLDRWAAHGHRTCPLCRTPLLPPLLLPLPLPLPLPPS >Sspon.03G0007800-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3C:36003608:36009076:1 gene:Sspon.03G0007800-2C transcript:Sspon.03G0007800-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GGRGWCGSPKAAAIAPDSGAMEVVGKGGKGGRKEGGANRRGSGELKRAWLPRRAAARAARSSSGAQRAESGRAVQARQSERGGGAVGGLIVKTPPKPTKPKTLMRTKNPKAPPSRPPPFPILSSPCRRQPEESTLICTATLTSSPHLPVPVPRRSSRHLPSFFSAMASEDEANAAAAVAEDAEAAQGRNWRRKGKHDKPKPWDEDPNIDRWKIEKFDPAWNEGGMLEKYLQEAWPLVKGALKEHGISCELNLVEGSMTVSTTRKTRDPFIIIKAKELIKLLSRSVPAPQAIKILDDEMNCDIIKIGGLVRNKERFVKRRERLLGPNLSTLKAIEILTGCYILVQGNTVAAMGNYRGRGLKQVRRIVEDCIKNVKHPVYHIKELLIKRELAKNPALATENWDRFLPKFKKKNVKQKKPQTKEKKPYTPFPPPQQPSKIDLELENGEYFMSDKKKSAKKWQEKLEKQSGRAEENKRKREAAFVPPKENTAGPSESDKNTTDNSEIADIAKSLKKKAKEFRKNEAQESVIAESYLASNDELRQKKKKKSTKSK >Sspon.07G0029900-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7B:80444186:80445045:-1 gene:Sspon.07G0029900-1B transcript:Sspon.07G0029900-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALYGGLSPPARPGGNEKRGEEEEGPGPGAKSRRKGSIPGLAPGATKPIESTQLVEQQPLSYAIVATALARRVGRDTRHHLELI >Sspon.01G0020580-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1A:76457781:76458059:-1 gene:Sspon.01G0020580-1A transcript:Sspon.01G0020580-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGATATAPNTTVTHTVAVERLQFGSGAYAQRGTPPVAATPSRIYRDRTTSQQQTGLTSKNRATAFHSQAKKIFGVLDLLNTEWSPTTPTGAC >Sspon.01G0002940-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:7888201:7889109:-1 gene:Sspon.01G0002940-1A transcript:Sspon.01G0002940-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding WTPRQARRYRRRTAARARRRSRSPSSSRSGSWPPRSSSSATTFSWSAAGSAAGGPGPACSSTARAATTATWWSASPRSSSPTTRPPTSRAGSTRTRSAAMRGASTPRGGSGTGAPAGSRPRPAPPRRSPSRAPSPWTASRAASAGSRTPRSLLLQAARGRPARALPPAAAAAAAITSLTAALARRAAGSGVFCRRSGSAGARGAQCCRSTSTRD >Sspon.02G0049780-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2C:43662908:43663159:1 gene:Sspon.02G0049780-1C transcript:Sspon.02G0049780-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIEVISEDGVKAKGQRLQTWKQSKRLNSGSHALLDRHSTHYEAGLTCPHLLLPLVPHATGHRLSTRVWERSEDVVECGMWGF >Sspon.01G0046080-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:96957642:96971052:-1 gene:Sspon.01G0046080-1B transcript:Sspon.01G0046080-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SNDDALTSWDIEAMRHASEGIGKDLMCMLYLFCAIYGHLLLVICFVPILISISLSHIFDNMLCLLTSQVPFTLEQQRKIASALNTFVYNSFIQNSGSYSKPLVDVAVRCLNLLYERDSRHKFCPISLWLAPARNGRIPIAAAARSHEAAFGTFPGNNSSGIPPRSSVLTTLPHVYPFEERYLTVFSVNFLSIILWLLPRVQMFREFIESDKASRRVTGEISGPGPGSIEIVIRRGHIIEDGYRQLNCLRSKLKSCIHVSFVSECDLPEAGLDYGGLSKEFLTDLSKTAFSPDNSAKLLDNGIDMIEFLGRVVGKALYEGILLDYSFSPHYDGDVEDLFLDFTVTEELGGKRIVHELRPGGKNISVTNENKLHYVHAMADFKLNRQILPFANAFYRGLSDLISPYWLSLFNANEFNQLLSGGLRDFDVDDLRNNTKYTGGYTESSRTVKLFWEVIKGFKPTERCLLLKFVTSCSRAPLLGFKYLQPSFTIHKVPCDVTLWASIGGQDVDRLPSASTCYNTLKLPTYKRSSTLRSKLLYAISSNTGSC >Sspon.02G0028660-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:81019631:81022553:1 gene:Sspon.02G0028660-4D transcript:Sspon.02G0028660-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:WD repeat-containing protein VIP3 [Source:Projected from Arabidopsis thaliana (AT4G29830) UniProtKB/Swiss-Prot;Acc:Q9SZQ5] MKLAGLKSVDGAHEESIWAAAWAPAADHRPTAVLLTGALDKTVRAWLPDDLAALGSPARGHALGVVSLAAHPAGALAAAVSLDSFIRVFDVDTGASVATLEAPPSEVWGVQFHPKGNALAAAGGGSGSVKLWDTEKWQPITSLTVPRPEGARPDRTGSGKFVLSVAWSPDGKLLACGSMDGTIAIYDAVRMKFLHHLEGHHMPVRSMVFSPVDPHVLFTACDDCHIHIYDAKEKSLIGAMSGHASWVLSIDVSPDGLAVATGSSDRTVRLWDINMRTSVQTMSNHSDQVWAVAFRPPGGAGIRAGRLASASDDKSISLYDYS >Sspon.08G0011320-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:45362198:45364633:-1 gene:Sspon.08G0011320-2B transcript:Sspon.08G0011320-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPMQLPSDGNSGHTPKKSSESALILIRHGESLWNEKNLFTGCVDVPLTPKGVEEAIEADDRNACHDAASAQEVIMHNESEQAHRWSQIYSEETRKQSIPVITAWQLNERMYGELQGLNKQETADRFGKEQVHEWRRSYDIPPPNGESLEMCAERAVAYFKDQLVPQLVAGKHVMVAAHGNSLRSIIMHLDKLTSQEVISLELSTGIPMLYIFKEGKFIRRGSPVGPSEASVYAYTRLSSPDREARPI >Sspon.01G0002200-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:6143555:6146275:1 gene:Sspon.01G0002200-1A transcript:Sspon.01G0002200-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKYMRKGKVSGEVAVMEVPGGALLGVRTRSRTLALQRAQRPLDKGNAEDAAGEYLELRSRRLEKPHKEQHPPPPLAPAPATKRGAGRKAAAAAAAAPHALAEDDVEVSFGENVLDFDSMERSTRETTPCSLIRNPEVITTPGSTTKSKTSNSMTSRRRMETSVCRFIPSSLEMEEFFSAAEKQEQHSFREKYNFCPVNDCPLPGRYEWARLDC >Sspon.03G0022530-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:87407458:87417977:1 gene:Sspon.03G0022530-3C transcript:Sspon.03G0022530-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTGGDGDKPGPALPLEALLALGLDQRTAENALVNNKVTANLAAVIAEAGIKECDKSVGNLLYAVATKYPTNALVHRPVLISYVLSTKIKNPAQLDAALSFLTNTGPDSLDVGKFEEACGVGVVVSIEEIKSTVTDVLEENMEAIKEQRYHINVGMLCGLVRKRHPWGDAKAIKEEIDKRLVEILGPKTEADNIKPVKKKKEKPAKVEEKKVAVATAAPPTEEELNPYTIFPQPEENFKVHTEIFYSDGNIWRAHNTKEILEKHLKATGGKVMTRFPPEPNGYLHIGHAKAMFIDFGLAKERNGHCYLRFDDTNPEAEKKEYIDHIQEIVHWMGWEPYKVTYTSDYFQALYEHAVELIRKGLAYVDHQTAEEIKEYREKKMNSPWRDRPIEESLKLFEDMRRGLIAEGAATLRMKQDMQNENKNMSDLIAYRIKFTPHPHAGDKWCIYPSYDYAHCMVDSLENITHSVVITNLEEGKVLDLDGKMWPDASDTDASSHYKVPFSRTVYIEKTDFRLKDSKDYYGLAPGKSVMLRYAFPIKCTDVIYGDSPDDVGVLHWVSEPALGVEPLKVENPAELEDWLGDLNPHSKEVIKDAYVVPSLSTAVLGDKFQFERLGYFAMDTDSTPEKLVFNRTVTLRDSFGKAGPK >Sspon.01G0058940-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1D:36799187:36799456:1 gene:Sspon.01G0058940-1D transcript:Sspon.01G0058940-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGGGSVGSVGLRLLRLSRFCAQNGEACGWFCIEEEGTVGPGPRGWMGPAPRAGRPISPLTVEMAPRARVKETLHILYSLPAATAGNA >Sspon.02G0025200-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:67599032:67601933:1 gene:Sspon.02G0025200-1P transcript:Sspon.02G0025200-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQFVKYSRFKQFALRALASTLNSEELSDLRDQFNAIDVDKNGTISLEELKQALAKDVPWRLKGPRVLEIIEAIDSNTDGLVDFEEFVAATLHMHQLVEHDTEKWKSLSQAAFDKFDVDRDGYITSDELRMHTGMKGSIDPLLEEADIDKDGKISLDEFRRLLKTASMSARNVQTPRGVRVS >Sspon.08G0019340-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:14217618:14218997:-1 gene:Sspon.08G0019340-2C transcript:Sspon.08G0019340-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTVTAADPHPSFLADKDAKVFVAGHRGLVGSAIVRRLLSLGFTSVVVRTHAELDLTRQADVEAFFAAERPRYVVLAAAKVGGIHANSTYPADFIAANLQIQTNVVDAALRCGSVRKLLFLGSSCIYPKFAPQPITEGALLSGPLEPTNEWYAVAKIAGIKMCQAYRIQHGLDAVSAMPTNLYGPHDNFHPENSHVLPALIRRFHEAKATNAPEVVVWGSGSPLREFLHVDDLADGVIFLMEHYSGLEHVNVGSGSEVTIKELAELVKEVVGFQGNLVWDSSKPDGTPRKLMDSSKIQGMGWKPKIALKEGLVETYKWYVENVISNKK >Sspon.06G0000800-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6A:2877322:2880443:-1 gene:Sspon.06G0000800-1A transcript:Sspon.06G0000800-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPTEILICSSTRTRPQLLKKLTSRSGAARPCRLGDHTLPRARTASATALSAAPPSLPPLRSANRHLYLARAQQPVFLPLDHASHTSCPSRAVDPSRPSPPDLPRPSPLEQNINLTPQSLSLTTLTQPGTRRPPRTREQARSRERPLAHCAGCQSPRALAVLSVSISLWRVPPQRGTDGRLPASVPCLTPAAQQAAPPAGHHSPIHAASLPACLRTTETGAGRPYAVPATSTSSSYDVLSMRRRR >Sspon.01G0003730-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:8793412:8802294:-1 gene:Sspon.01G0003730-2B transcript:Sspon.01G0003730-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA topoisomerase 3-alpha [Source:Projected from Arabidopsis thaliana (AT5G63920) UniProtKB/Swiss-Prot;Acc:Q9LVP1] MRVLNVAEKPSVAKAVAEILSRRSMQSRAGRSQYNRIFEFNYAINGRSCHMLVTSVTGHLMELEFDDRFRRWHSCDPAELFHAPVRKSVPQDKQPIKQTLEEEARRCQWLVLWLDCDREGENIAYEVIEVCTGANSHLNIWRARFSALIDREIHESVQHLGRPNKLFADAVDARQEIDLRIGASFTRFQTMLLKDAFVIDVTGDDRNLVLSYGPCQFPTLGFIVERFWEIQAHEPEEFWTINCTHTSDEGTASFGWIRGHLFDYSCAISIYEMCVSEPMATVQNVRNQEKLKYPPYPLSTVELQKRASRYFRMSSEHTMKVAEELYQAGFISYPRTETDNFSPNTDLHAIVREQVNHPVWGAYTQQLLNPEARLWRNPSNGGHDDKAHPPIHPTKFSEGERNWSPDHTRLYELVVRHFLACCSQPAVGAETTVEIDIAGEQFNASGRVILAKNYLDVYRFDSWGGTLLPTYNIGQQAGIGTDATMHDHIKKLLDRCYATKDENTRFSPTKLGEALVMGYDEMGYELWKPYLRSMMEADMKSVSMGTKSKSEVLESCLQQMKACFLDARVNKAKLLDAMGTFFARSNRPINETQNPVEVVRPCGACNGSEMVLKRRACRNVVWLPGSLSEAAVTNQFFSFAIPLKWYEYDNSTTPTGPVYKIQFKFRRRDIPPNFDVDHLDLSVFLCCVVLFGSTVVNSIHVLIAVFVIGCVGGCDDILKELMELSRFGSRSQSATPARGPTPNGVGQGAPRQDLHTNFRPAGQLNNENPSVMHSQGIRSTHTQNPSNASAYYVMEDS >Sspon.08G0000390-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:1723443:1727469:1 gene:Sspon.08G0000390-1A transcript:Sspon.08G0000390-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRLSFKRTDSIAESMPDALRQSRYQMKKCFQRYVSKGKRLLKNQQLIEELEKSLDDKVEKEKLVEGFLGYIICSTQEAVVLPPYVAFAVRMNPGIWEYVKVHSDDLSVEGITPSEYLKFKETLYDENWAKDDNSLEVDFGALDLSTPHLTLPSSIGNGLQFVSKFMSSKLGDKPETGMKPLLDYLLSLNYRGEKLMVNDTIDTVNKLQTALLLAEVFVSGLPRYTPFLKFEQRFQEWGLEKGWGENAERCKETLNCLSEVLQAPDPINMEKFFSRVPSTFNIVIFSIHGYFGQEKVLGLPDTGGQVVYILDQVRALEEELLQRIKLQGLNVTPKILVLTRLIPDAKGTKCNVELEPVENTKHSYILRVPFKTEDGKELRQWVSRFDIYPYLERYAQDSCAKILDILEGKPDLIIGNYTDGNLVASLMSSKLGVTQGTIAHALEKTKYEDSDVKWRDLDQKYHFSCQFTADMIAMNTSDFIITSTYQEIAGSKEKPGQYEHHYAFTMPGLCRYATGINVFDPKFNIAAPGADQSIYFPFTQKQKRLTDLHPQIEELLYSKQDTDEHIGYLADRNKPIIFSMARLDKVKNITGLVEWYGQNKRLRDLVNLVVVAGLLEASQSKDREEIEEINKMHSLIDKYQLKGQIRWIKAQTDRVRNGELYRCIADTKGAFVQPALYEAFGLTVIEAMNCGLTTFATNQGGPAEIIVDGVSGFHINPMNGREASNKIADFFQKCKEDPSYWNKVSTAGLQRIYECYTWKIYATKVLNMGSTYGFWKTLNKEERVAKQRYLQMFYNLQFRNLAKTVPRLFEHPPQAPAGTGPSTMTVARPKERQDHDQLDGAEVFYFSTIEPKRCDEYA >Sspon.03G0019100-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:59248359:59250951:-1 gene:Sspon.03G0019100-1T transcript:Sspon.03G0019100-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding NCLDEVDGIYGTSRDSKTWSTGGIEGVHRFLGRTWRLIVGPQLPDGSYNDGTTTTEDEPTLDQLRVLHKCIARVTEEIQETRFNTAISAMMEFVNAAYKWETQPKTVIESFVLLLSPFAPHLAEELWFRLGHPQSLAYEQFPEAKSEYLEESKLVLPVQINGKTRGTILVDKACSEDDVFQIAASDEKLSKYFDGKGIRKRIYVPGRILNVILDQQKART >Sspon.01G0048480-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:101748440:101755896:-1 gene:Sspon.01G0048480-2D transcript:Sspon.01G0048480-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GMGALSASTSSVNWLVEDDILLKNAVQAGASLESLAKGAVCFSRKFTLQEIQDRWNSLLYDPEISTQAASRMAEYENELSTSDPAKAHKLFNSKAKDFSFQKRKIDSVKNLYYAMRKRVRNDPCNSGDLGFLVAPCSCMATGSECVCGGLPNNIEPELNSVSRYGQVGSSYNGGHAYSEMNGQSFHTKHTESMARDGDGTNNAVYGYSDVGQLYEHHAYAANNHGNSEGNNVSLKSITDFQDSMQFQQLDNNQCGNGLVDSKALVIPNHFSGSVQEPMPLQVIGQPEGSEAPGGAMWSGVQRRDRLTLADDKNVKSENRDPLTFEANLDGGMSGLDHAADFMDFPFFSNSEDFDILNSEIFLNSPSEGNQEDLDDPAFKVVHGVRSTMQNLVHTDEANMSCDQIDLGDVKNNVDASGIILVPTPLLVPCPGLYVECKLNTEDPEIPCNDDVSTPTEYPLECCTSTFGQKSENTIYSASPATSPPSNAEQPKTKDLIKSENMANVQPSLQTIKMNPSTSEQKEDSVAHDKGGVLGAKPSEGASTTGALLTVTRFHHPESRKALIRLEQGARSYMNRAIMSHGAFAVIYGLHLKCYIKDPQVTLGRETEDVKVDIDLGKEGRANKISRRQAVIKMDESGSFHIKNIGKCPIFVNSKEIPSCKRINLSSDSLIQIKDMRFIFHVNQDAVRQYIDRNLKPER >Sspon.01G0009830-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1A:27854920:27856618:1 gene:Sspon.01G0009830-1A transcript:Sspon.01G0009830-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKAVAVLGSSEGVKGTIFFTQEGDGPHYNPASKEHGAPEDENRHAGDLGNVTAGADGVANISVTDSQVVTSSARALEMLVAVLLVGSLDSRAENDRWTSGA >Sspon.05G0018840-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:78516414:78522293:1 gene:Sspon.05G0018840-3C transcript:Sspon.05G0018840-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Autophagy-related protein 13b [Source:Projected from Arabidopsis thaliana (AT3G18770) UniProtKB/Swiss-Prot;Acc:F4J8V5] MAASVGVSEPPMVEQVITEFFAKSLHIILESRSPYDSSRNFTRPSPPSSPLAGSQPRDRWFNLALRDCPAALENFDLWRQSNLEPLVIDIVLLQRDSTRTASVGASRIIERWVIKYETTRSGNVIKNSGKKARSSSSQDHSLYRRAYSGSTVLFRSLYLVVRLLPAYHLFQGLNSSGRICPLSLSHKISSFVEPFTRAEDAEMKHYAFAPIETLFGSLSLSVSYVPVLELAAVPEQSTPMPPELIKDYVGSPTTDFLRKLDSLPSDGIAPACFPMTRRHSWSTEHGVRPSASPFPTSSEPHGRLQPRMLTDNSPTAYSHPHNTSSSGKKRNTVIEENPSPPLSPSPSHSPSSYPRNPFFRYESAPLSIPTVMAGGGGSRLPPSPRRKDKQQCSSQNENLTHSPNDKSIVSMDLVKRSEFQNEKSLQKVLSFGNDDLVYFRGLKLTRTSSKLFIMDELDEWELVFAWEDKDTIIDQLRRIDITDKEDQGPSQEVGGSLTRSPDAAIGILMRILQNAPGLRERLLLPGPSAPVPREPSLQRVVTEEHGSGASSSAGVPSTLLRSRTAADALEELNKYKEIRESILNRSKRHPCSTKLLEEKPADGDP >Sspon.04G0024400-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:19137893:19142357:1 gene:Sspon.04G0024400-1B transcript:Sspon.04G0024400-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAANRSPQLLLFFLLISTCFLPSPLSLDAKEEADNNTVEKVDSPELSFSFDFSNASSYDAGDLRFEGNASVHGNLINLACDSFGQSVDGCTGRMSYNHPVPFHHDDDGTAAVASFSTSFTFVIKPDGEAAPGDGIAFFLSGYPSSMPPNTDGGSLGLMDDDAAAYGSGQFVAVEFDTYQNEDRGDPSNNHIGVDINTVRSSNTTDLNTTSGSPSLKVNATMTATIQFNGTTGMLFASLRFHDHPSMEPAVVTYVLQDPKSWLPREVAVGFSAGSGTSTELHQILAWLQITSQKKINLGKEDLVQYISGYMAPEYASEGLFSIKSDVFSFGVLTLEIISGKRTSSVHQYGEFINLLGHAWQLWKDGLWLRLVDTSLGVECHTSHMMRCINIALLCVQENAVDRPTMSEVVAMLSTDSIALLEPKHPAYFHVLIENNHKKPCNLIREDELRMTGSIVTLLDPIVGTDISAPTHPPSG >Sspon.07G0006920-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:15960937:15964024:1 gene:Sspon.07G0006920-2B transcript:Sspon.07G0006920-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGFGRWESDPLFPAAECVQDSADRMEGVYRLLLHERKVMQGGTSDAKFHAPIQYERDVITALGTTKWQLEQFEREVNAAAFSDKSKSRENAILKFRQFTRAIADQISQVEESLESLRLGSSRTPKHSYSSEYDGDGLASFLSGSSKDDHAYHSTGTDEIVELKLDNVPLVNGYHSTQEYTTYEHRNSDKDVEGAGSACEGDHNNRNMYVLDADDSISWRFFCKNKLSRQYRSFIRNLWFAKRGRESFTKRRKDGEDMDSLRNGNMLPSFNLPQSGRVMYSGL >Sspon.02G0018630-4D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2D:55995144:55996277:1 gene:Sspon.02G0018630-4D transcript:Sspon.02G0018630-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:5-amino-6-(5-phospho-D-ribitylamino)uracil phosphatase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G11570) UniProtKB/Swiss-Prot;Acc:Q9LDD5] MVVDTVSASTSIIAPHLFDHRSRGAGASHHHHLRRAFHVVACRPLPTAFAGRRLVARVTRQSSPRLADWPVRALAMGVTKEASPRREYRGIPGDGGDMGDVGVTSPTPSWPPQNRADDPKLHNPLLRLERMGCGWLGVIFEWEGVIVEDDAELERQAWLTLAQEEGKSPPPAFVLRRVEGMKNEQAISEVLCWSRDPSELRRLALRKEEIHNSLRGGSYHQMRNGSREFMRTLANYKIPIAVVTTRPRKVIEEAIEAVGVRNFFDAVVAAEDVYRGKPDPEMFLYAAQLLSFIPERCIVFGNSNSAVEAAHDARMKCVAVASKHKIYELSAADLVVKQLDELSVVDLKNLADIESPEFGMEPEPEMEEEEEVPPPPTS >Sspon.06G0001810-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:5911331:5913259:-1 gene:Sspon.06G0001810-1A transcript:Sspon.06G0001810-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At2g03880, mitochondrial [Source:Projected from Arabidopsis thaliana (AT2G03880) UniProtKB/Swiss-Prot;Acc:Q9SI53] MKSLFKRPPRNRLAATRRSSRPVHTQPRPHPSLAIFSRLCVEGPFPAALALLPDLAAAGLRADPVSLTRLVKLCVRHGTASDGRLIHRHVEAHGPLSHYSFGAGGGLFVSNSLVSMYAKFGLLDDALRLFDGMPERNVVTWTTVVAALANADGRKEEALRFLVAMRRDGVVPNAYTFSSVLGVCGTPGVLTAVHASTVKVGLDSDVFVRSSLIDAYMKLGDLDGGWRVFDEMVTGDLIVWNSIIAGFAQSGDGVGAIELFMTMKDAGFSGNQGTLTSVLRACTGMVMLEVGRQVHAHVLKYDKDLILYNALLDMYCKCGSLEDADALFHRMPQRDVISWSTMISGLAQNGKSAEALRVFDLMKCEGVAPNRITMVGVLFACSHAGLVEDGWYYFRSMKKLFGIQPEREHHNCMVDLLGRAGKLDEAVEFIRDMSLEPDSVIWRTLLGACRMNKNANLAAYAAREILKLEPDDQGARVLLSNTYADLRQWTDAEKSWKAMRDRGMKKEPGRSWIELEKHVHVFIAGDLSHQCSDAIVQELNRLIGRIRALGYVPQTEFVLQDLTIEQKEDLLKYHSEKMAIAFGTMHAVDGKPIRIMKNLRICGDCHAFAKFVSKSEGRTIIIRDPVRFHHFQDGTCSCGDYW >Sspon.08G0001270-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:2060406:2064738:1 gene:Sspon.08G0001270-4D transcript:Sspon.08G0001270-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLFPFSFTAKKLQVNAEESSDDLANPPKVEEKLGAVPHGLSTDSDVAQREAESISRKTLRSSAEKFEFQAEVSRLMDIIINSLYSNKDIYLRELISNASDALDKIRFLSLTDKEVLGEGDTAKLEIQIKLDKEKKILSIRDRGIGMTKEDLIKNLGTIAKSGTSAFMEKMQSGGDLNLIGQFGVGFYSVYLVADYVEVVSKHNDDKQYVWESKADGSFAISEDTWNEPLGRGTEIRLHLRDEAKEYLEEDKLKVNWLLLQIYNGHRAMADCIATVTGNNILFSLSAYINHEIDLVKKYSEFINFPIYLWSTKEVDVEVPADDGETSDEEDSTPETTEEEETEDGEEKEKKPKTKTIKETTSEWELLNDVKAVWLRSPKEVTDEEYSKFYHSLAKDFSDDKPMGWSHFTAEGDVEFKALLFIPPKAPHDLYESYYNSNKSNLKLYVRRVFISDEFDDLLPKYLSFLRGIVDSDTLPLNVSREMLQQHSSLKTIKKKLIRKALDMIRKLAEEDPDEYSNKDKTGNGVIFFTDPVDEYLMQYLMDYEDKKFQNVSKEGLKLGKDSKLKDLKESFKELTDWWKKALESENVDSVKISNRLHNTPCVVVTSKYGWSANMEKIMQAQTLSDSSKQAYMRGKRVLEINPRHPIIKELRDKVAQDNESEELKHTARLVYQTALMESGFNLPDPKEFASSIYKSVQKSLDLSPDATVEEEDEAEEQPEVEEKEPAKEDSEPSYDKDEL >Sspon.02G0014940-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:18827269:18829237:1 gene:Sspon.02G0014940-2P transcript:Sspon.02G0014940-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALGVVGLVLALPLLFLLTRAAWITVSCYYLTPARIRTILAGQGVHGPPPRLLVGNLRDVSALVAEATAGDMSSLSHDIVGRLLPHYVLWSKMYGRLFVYWYGSEPRVCVTDAGMVRELLSSRHAHVTGKSWLQRQGAKHFIGRGLIMANGATWSHQRHVVAPAFMADRLRARVGHMVECARQTVRALREAVARAGNEVEVGAHMARLAGDIIARTEFDTSYDTGKRIFRLIEELQRLTARSSRYLWVPGSQYFPSKYRREIKRLNGELEQLLKESIQRSREIADEGRTPSSACGMGLLGMLLAEMEKNKKKRTTKSGDDDGELGYDAQTMIDECKTFFFAGHETSALLLTWAIMLLATNPSWQDKARAEVASVCGDAPPTADHLPKLTVVSQAIDSDPAIHYSVHACQSLADCNGLVVRACPQLQMVINETLRLYPPATLLPRMAFEDITLGSGGADELRVPKGASLWIPVLAIHHDEAVWGPDAHEFRPDRFAPGRARPWAAGRFLPFASGPRNCVGQAYAMVEAKVVLAVLLASFRFGISDEYRHAPVNVLTLRPRHGVPVRLLPLLTR >Sspon.08G0011410-1P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8D:47255073:47255378:1 gene:Sspon.08G0011410-1P transcript:Sspon.08G0011410-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEVLAAVAETIKNFAVIYLVDITKVPDFNTMYELYDPSTVMFFFRNKHIMIDLGTGNNKINWALKDKQEFIDIVETVYRGARKGRGLVIAPKDYSTKYRY >Sspon.04G0030310-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4B:76868675:76871112:1 gene:Sspon.04G0030310-1B transcript:Sspon.04G0030310-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MERVLRQAF >Sspon.04G0004000-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:43940446:43946510:-1 gene:Sspon.04G0004000-2C transcript:Sspon.04G0004000-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding TDGGEDGNAAAPRGPARRRGPVRASLDADEFIALMHGSDPVRVELTRLENELRGQERELGEAQTEIRALRLSERAREKAVEEVTDELEKMAEKLNLTESLLESKVLEVKKINDEKKAALAAQFAAEATLRRVHAAQKDDDMPPIEAILAPLEAELKLSRQEVAKLQDDNRALDRLTKQKEAALLEAERTVQIAMAKAAMVDDLQNKNQELIKQIEICHVENKILDKLHRQKIAEVEKLSQTVRELEEAVLQGGATANVVRDYQRRFQEINVEKRTLDRELARAKVTANRVASVVANEWKDSNDKVMPVKQWLEERRFMQVEMQQLRDKLAVAERTARSEAQLKVKYQLRLKVLEDGLRGPPSGSSRPPTEGKSFSNGPSRRLSLGGADNISKLSPNGLLSRRSPSFHSRSSLSSNSSLVLKHAKGTSKSFDGGTRSLDRGKVHGNGAHLLNRSTDAVRDKETNDSWKGDMDERTNEIADSNADEKSNETTNNNSAETVSGFLYDMLQKEVISLRKTCHEKDQILKDKDDAIEVLAKKVDTLTKAMEVEAKKVRREVAAMEKEVAAMRASKEQEIRAKRLGTKGSGSSQLLPGRYIFRNATIFFIEV >Sspon.02G0014530-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:32090923:32096871:-1 gene:Sspon.02G0014530-4D transcript:Sspon.02G0014530-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVEVVETTLVPPSEATPRHALWLSNLDLAVPKTHTPLVYYYPKPDAPAGAGAGAGEEGEGEGSFFDPARLREALARALVAFYPLAGRLVVGQGGRIEIDCTGEGALFVVARADFTGDEMFRDFEPSPEARRLLVPFAASGDPPCVLAMVQVTFLKCGGVAVGTGMHHVTMDGAGAIQFIRAWTALARGESPTWSPFHDRTLLRARSPPHVPFEHPVYSPAYLNGSPRPFVTRVYTVPPKLLADIRSRCAPGASTYCAVTAHLWRAMCVARSLPADGETRLRVPANIRHRLRPPLPATYFGNAIVRDLVTVKVGDVLSQPLGFVADRIKRAVSRVDDAFVRSVVDYLELEAGKGNQAARGQFMPESDLWVVSWLGMPIHDADFGWGRPGFVAPAQMFGSGTAYVTQGYDKDDPINVLFALEPEYLQTFEKAFYG >Sspon.08G0007300-4D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8D:20972737:20975944:-1 gene:Sspon.08G0007300-4D transcript:Sspon.08G0007300-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVRCNGRYSLYVGKKKSFWTRLDVPVSSSQVISKATDDTLVHYIRSRPDDHKAPVHRDPGYLQARSCRGQLHLLRSCPRASARSRRATTTMPLMSLPKMRALGAARLGRPLSIIAMLYGLGARKFVIFSIQPTGCTPVVRAFLNISGTACIEPVNDAVALFNSELRRLVDGARPPRMPAARFACIDSYKIIRDMLDHPAKHGIRETSRACCEMSRRSSGVLCRKQGPLCRDRTEYVFFDGLHPTDAVNARIARKGYGSSSPDHAYPIN >Sspon.01G0021020-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1B:82144851:82145920:1 gene:Sspon.01G0021020-2B transcript:Sspon.01G0021020-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AEGEDIGTTPVVRQFETMSLSDDPAHVGAGKKDAKEEEWKDKAADTVGAAGGASYTDRLKNAAAGTTEYGKRLASTVYEKVAGVGTAVDVGKRDDEQRTEAVTSSNTPGTEEWRDAPEATDATRGAGYTDRIKSAAAGTTGYGKQLASTVYDKVAGVGTAVAPNLRPQEGSAKAEGAHNEAMPVSDTGAEEWKDSPAATDATNNSATGPGYTDKIKSAAAGTTEYGKQLASTVYEKVAGVGTAVAGKVQQATQSSGTATTPGAGAQQDTATPGAGGQDKGVTVTGYIAEKLRPGDEDRALSEAISGAVQRRKDDVGGTVAQRVPAPGQVITKAREAVTSLTGGNRVSETVQPTTAT >Sspon.04G0008310-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4B:20336575:20338065:-1 gene:Sspon.04G0008310-2B transcript:Sspon.04G0008310-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTTSSEKSKRKRGQHGRHQLPTKVFAIHEVGKKGEPLEPVSVISKFSNACGVLVRERVDFNIDEWKKVDVLLKNSLWEEIKRRFTYPVGTNEELNRSYALSTCAKALRQFRWKLNQKYVKKGEMPFKEYGFITQERWDQFVRYHTSEDAMDKSEKFSLLAKRNLYPHHLGSTGYVVKKKKWRREEREAVVAGKPIEYEGLNERTRDFLRARRPKQLAQGKSKFNEPQTEEAEKKILAFVAAEQAGTFTPHREKDQLSAALGNPEHRGRVRGMSSRMSWKDAWAIDSGSCRTQQGYKEKLIQETSEETMREIVMEEIRNVLTSGDPKMVQLRSQFLGKVSSMELMQRTQLDQGLSVPSSSASTVNQPADDIVSCTSCSLHIPVGRKKRMMEVATGMAIPGRTFQCQPIPIDYAKVLVVDVHPNHQRLEIDLPTKEGIRYLGDAKDNLILWNRYDIILATASPQLPPMQLESDNDPLQGQASASGNVTVEGEAATLS >Sspon.03G0004610-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:21754585:21762356:-1 gene:Sspon.03G0004610-2B transcript:Sspon.03G0004610-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHYCVFMGIFNPKYVIRSWETLETKPKVRGLDIRLELLKFYENYSANLMHLVVYGKESLDCIQGLVERMFSDIKNTDQRSFKCPSHPLSEEHLQLLVKALPIEEGDYLRIIWPITPSIQFYKEGPCSYLSHLIGHEGEGSIFHIIKELGWTMNLMAGESTDSNEYSFFSVSMRLTDAGHEHMEDIVGLIFKYLHLLKEDGVQEWIFNELVAINEMEFHYQDKVHPINYVMHTVSTMRLFPPEEWLVGAALPSKYAPQRINMILDQLSPETVRIFWESKNFEGSTSSAEPWYNTPYSVENVTPSVIQQWIKKAPTEKLHLPKPNIFIPKDLSLKEVHEKVTFPTVLRKSPLSQLWYKPDMLFSTPKVHIIIDFHCPLSSHSPEAVVATELFVDLLVDYLNAYAYDAQIAGLFYSIYLTSAGFQVSLGGYNDKMRVLLNAILVQIANFEVKQNRFSALKETSIKDYQNFNFRQPYSQASYYVSMILEDKKWPVAEELEALSKLESDSFAKFVPHLLSKTFLECYVQGNIEPSEAKSVVEEIENTIFNAPNSLFKSMYPSEYLTKRVTMLENELKCYYQTEGLNQKNENSSVIQYIQVHQDDAILNIKLELFSLIASQPAFNQLRTVEQLGYITSLSLRFDYGILALQVVIQSTVKDPSYLDVRVDEFFKMFESKIYELSDKDFKRNVKSLIDSKLEKFKNLWEESHFYWGEIEAGTLKFDRVESEVAVLRELKKEEFIEYFDQYIKVDAPERRTLSVQVFGGNHSAEFKKAIAEADPPKMYRITDIFGFKRSRPLYSSLKGGPGRITMD >Sspon.05G0013250-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:36860817:36865790:1 gene:Sspon.05G0013250-3C transcript:Sspon.05G0013250-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPTDEAAGSGTPGTTDPFLADWRERIIIPAVAAGVVGAAFGLLSRHRARLGAARAAVTYAANLAIVAGCYGGARELARDARATTPNDPTNSVVGGLASGAVLGRIQGGHFGAVKYAVTFAAAGTALDYAALKLAPEWQALKEHFSEKKDWFTLPEWSPIQVLDEEALAKKKAREEKLFAQRALGKLDKEDP >Sspon.03G0011430-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:31092134:31096179:1 gene:Sspon.03G0011430-1A transcript:Sspon.03G0011430-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPLEPHDYIGLSAAAAPPTPTSSSSSSSSPAPRLTLRLGLPGSESPDRGDRDCCEEDVAAAALSLGPLLGAPKAAAASAGVSAKRAFPDPAQRPGAAAKASADDKQQASPAAPPAAKAQVVGWPPVRNYRKNTLAASASKSKAPAEEAASGGSGPMYVKVSMDGAPYLRKVDIKMYSSYEDLSMALEKMFSCFITGQNGLHKSSSKDRLTNGSKVDALKDQEYVLTYEDKDADWMLVGDLPWDYFTSICRKLKIMRGSDAVGIERGGPGISGLLFCFLRSIKRGAVVPGNSRILLFCFLRSIKRVLAVSVDFPGRGRISALAVAVGLLLASGRRRHRLRTRVLVARLPLTPRPRRRLRTNLLVRPLGSRRHHRRRSGTLGVRFRHRHRTLVLRLGIRDAVHLAQGKKVRGALFLASLIGVEAELHHAPCRFHKVAAHLIVEGEAPTPPYQLAVGEHVVHDPGADPGSLPSGVHIALHLGLGGALGAQRREEVPRPLPTSRSRRQVILGELVGVGAVEVGLVDEAHLGAGDDPVAQVGVHELLVRGVAVEGRRRRLGRGGAGGEGRRRWLGRAASHGQDGRWNGFGSR >Sspon.03G0004410-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:29670245:29675512:1 gene:Sspon.03G0004410-2C transcript:Sspon.03G0004410-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAASKLKLLALPVLLILLLLLFAARPRVLPEALLRVPVLPGDLLPLLPWPVAQPLLRRLALRGPADLLPAFVGAARAPGPDDAHPLAEWKGACFYENRAWVEFRNGTDGGLGGGIVHVETSKAHSWTCIDLYVFATPYRVTWDYYFLGREHTLDFEEWESEAEYEYVKRNGVSIFLMPSGTIGTLRALWEVFPLFTNTAWGENANLAFLEKHMGATFEERLKPWVSELNPDDIHSGDFLVLSKIRGRWGGFETLEKWVTGAYAGHTAVCLRDSDGKLWVGESGNENEQGEDVIAILPWEEWWEFEVTKDDSNPQIALLPLHPDLRAKFNETAAWIYAKSMNGKPYGYHNMIFSWIDTISDNYPPPLDAHVVASVMTVWTKLQPEYAGNMWEEALNKRLGTKGLHLSEIIVESEKRGITFDKLLTVPENDSWVYEDGQSASCIAFVLMMYKEAGLFDPITSSVEVTEFTIKDAYTLNFFEDNSTRLPEWCNKDDDVKLPFCQIKGRYRMELPGYNTMEPYAHMNERCPSLPPDYNRTKGC >Sspon.07G0035880-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:16728173:16733066:-1 gene:Sspon.07G0035880-1D transcript:Sspon.07G0035880-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Syntaxin of plants 32 [Source:Projected from Arabidopsis thaliana (AT3G24350) UniProtKB/TrEMBL;Acc:F4J6K6] MIPTRPAQASFRDRTNEFRAAVESARRHAAPSSSSAPAAASSSSTGTLDGLIAATSARSEFNNRASKIGLGIHQTSQKLSRLAKLAKRTSVFDDPTVEIQELTAVIKKDITALNTAVVDLQALCNSQNESGSLSKDTTNHSTTVVDNLKNRLMSATKEFKEVLTMRTENLKVHENRRQMFSSSAAKDASNPFIRQRPLVARDPSESSVPPAPWASDSASTPLFQRKKTNGDHGHHHHHHLSLLHSSSNWQYNRTVTYRAELRLFKMWNQQSMS >Sspon.04G0003360-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:6679634:6680786:-1 gene:Sspon.04G0003360-2B transcript:Sspon.04G0003360-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RCGWQSARSPLVSLSLPSRSLPPPTQTLSFRHAAAHVARLFSSFRLPTPDHLQSPSYLLPHGAFIRSSLVFPNSNHGFPPVRSRSGQIPPDASRSGGHHASPLHRLAALSFLLGAVIPLRVAKHTCRSWGSPRPRHRQQRATPPARRQDPAAAVY >Sspon.06G0004370-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:10981844:10993264:-1 gene:Sspon.06G0004370-2B transcript:Sspon.06G0004370-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQPGMDSVPGKESNGIAPNLNGGVSPAKQQLEGKEALRYANILRSRNKFADAINLYDIVLEKEGANVEALIGKGICLQAQNLPRQAIECFTEVVKIEPGNACALTHCGMIYKDEGHLVEAAEVKIEGDINQGVAYYKKALFYNWHYADAMYNLGVAYGEMLNFEMAIVFYELALHFNPRCAEACNNLGVIYKDRDNLDKAVECYQMALSIKPLFAQSLNNLGVVYTVQGKMDSAASMIEKAIHANPTYAEAYNNLGVLYRDAGSITLAIHAYERCLQIDPDSRNAGQNRLLAMNYIDEGSDDKLYEAHREWGKRFMKLYPQYTSWDNSKVADRPLIIGYLSPDYFTHSVSYFIEAPLTHHDYTNCKVVVYSGVVKV >Sspon.03G0021220-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:65347356:65350094:1 gene:Sspon.03G0021220-1A transcript:Sspon.03G0021220-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVVLCGVCARDKKRDVSSDITKEVRDFANTILKTITGWMSASTYLTGITRLLDHSDSHVKRKTLGMLSETARGNSLVQKNQRKAQKLKHISGTTAIKVDKSSGPYFSKLCLKILELIDRDGDSDTSVKITAISSLETLAKEYPSDNPVYNNCLATIIDQIGSDEAAVSSALIHTVGSLINVIGSKALPELPLIMKNIMLISHQISCCPSGNYAHGSTRTAAELSNQDIAVLLSALTTIEVIVEKLGEFVNPYLKEILDLVVLHPECSTQMHPKLDAKAARVRELLTVKVPVRLILSPLLNLYSLAANCGDASLSLAFNMLASLVRTMDRLAVGTYHSKIYEHCLAALDLRREHPDSLKNINMVEQSIIHAIISLTMKLTEDTFRPLFLRTLEWAESEVDESSSKKSLDRAIVFYKLVNKLAEKHRSLFTPYFKYLLEGSIQYLSEDDALAGSKQKKKKKDKLEDVQVEQKDKLLGLKLWSLRVLVLKSLHKCFLYDNDQKILDSSNFQVLLKPIVSQFVVEPPESIESVLDAPSIEEVDETIILCLGQMAVTARSDVLWKPLNHEVLMQTRSDKVRPKMLGLKVIRYMVQHLKEEYVVLLPETIPFLGELLEDVELPVKTLSQEILKEMETLSGESLRQYL >Sspon.06G0021370-3D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6D:14738786:14739136:-1 gene:Sspon.06G0021370-3D transcript:Sspon.06G0021370-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VAEPAAICAICKDDLPLASEARKLPCAHLYHSFCIVTWLQMHNSCPVCRFRIPSSADDEAAPSEQDPPPTTGITIRFTTTTRRRVRVGGDAQLAAPISASPTQLAQAITGDGAGGPA >Sspon.02G0033010-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:3762528:3778880:-1 gene:Sspon.02G0033010-2C transcript:Sspon.02G0033010-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAALKASIALPHLPAPKTLGAVGRGYFGYSTFRPYQREIIQKVLDGRDCLVVMATGSGNYQIPPLVTKKTAVVVSPLLSLMQDQVMSLKQKGVKSEYLGSTQTNSSVSIEAEKGMFDVLYMTPEKAISLPSRFWSNLQAAGICLLAVDEAHCISEWGHDFRMEYKQLHSLRDLLVGVPFVALTATATERVRQDISTSLVLRSPHVVVGSFDRHNLFYGVKTCNRSMSFISELVKDVSKKSAAGESTIIYCTTIRDTQQAAGICLLAVDEAHCISEWGHDFRMEYKQLHSLRDLLVGVPFVALTATATERVRQDISTSLVLRSPHVVVGSFDRHNLFYGVKTCNRSMSFISELVKDVSKKSAAGESTIIYCTTIRDTQQVHEALVTSGIKTGIYHGQMGSRAREESHRSFIRDEVLVMVATIAFGMGIDKPDVRCVIHYGCPKSLESYYQESGRCGRDGLPSVCWLYYHRSDFTKADFYCSEAKNGTQRKAIMDSFMAAQKYCLLTTCRRRFLLQYFGEECNTDCGNCDNCTAVKNERDLSKEAFLLLSCIKSCGGRWGLNLPIDVLRGSRAKKIVDSNYDKLQMHGRGKDYSSNWWKALGGLLIAHDYLKETVRDTFRFVSVSPKGAKFLSTADKMDGTPLVFQLTAELIELEEHGSSHHKEGGGLNPVPTLESGKFSEDESKLYQMLLNVRMKLAQDIGTAPYAICGDQTIRNFAKMRPSTGARLANIDGVNQHFISRFSNIFIQNIAQLSKELNLPLDNSPLPPPPTNPAVENIAGIPKPVQNNLPGILGDAKLTAWELWHKQEYSFWKIAYFRRAVPIKEQTVIAYILDAAREGCEVDWSRFCREVGLTPDIATAIRLAISKVGSRDKLKPIKEELPENVTYDMIKTFLTIEGRGLSEQVFGNGTADGVPSRIAESPISSSRASEAGRNDTGDGVPAAEASDANPEAKRGQTVSAAEPATKWQRIDEHGAESTGTATATEESVLALVASCNGVSLEDVATHFKGSKRELVLEILEGLESEFTIYKKNGNYMML >Sspon.08G0005930-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:18574507:18585917:-1 gene:Sspon.08G0005930-3C transcript:Sspon.08G0005930-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTDNAMRALFLLALVCAAQAGKDKESSSAPAEGGDAKASGPGGSFDITKLGASGDGKKDSTKAVQEAWTSACGGTGKQTILIPKGDYLVGPLNFTGPCKGDVTIQVDGNLLATTDLSQYKGNWIEILRVDNLVITGKGTLDGQGPAVWSKNSCAKKYDCKILPNSLVLDFVNNGEVSGITLLNSKFFHMNVFQCKDMLIKDVTVTAPGDSPNTDGIHMGDSSGVTIVNTVIGVGDDCISIGPGTSKDEKDVTDINVKDCTLKKTSNGLRIKAYEDAASVLTASNIHYENIKMEDSANPIIIDMKYCPNKICTASGASKVTVKDVSFKNVTGTSSSPEAVSLLCSDKIPCTGVTMDNVKVEYSGTNNKTMAAGKDKESSSAPAEGGDAKASGPGGSFDITKLGASGDGKKDSTKAVQEAWTSACGGTGKQTILIPKGDYLVGPLNFTGPCKGDVTIQVDGNLLATTDLSQYKGNWIEILRVDNLVITGKGTLDGQGPAVWSKNSCAKKYDCKILPNSLVLDFVNNGEVSGITLLNSKFFHMNVFQCKDMLIKDVTVTAPGDSPNTDGIHMGDSSGVTIVNTVIGVGDDCISIGPGTSKVNITGVTCGPGHGISIGSLGRYKDEKDVTDINVKDCTLKKTSNGLRIKAYEDAASVLTASNIHYENIKMEDSANPIIIDMKYCPNKICTASGASKVTVKDVSFKNVTGTSSSPRPSACSAPTRSPAPASPWI >Sspon.03G0021190-4D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3D:51786454:51787574:1 gene:Sspon.03G0021190-4D transcript:Sspon.03G0021190-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAACQPLQGKELQPYDGFDPSVFGGPVLLPRQASSAPPAVRVAPPEMSSSSGSGRSATEARALKIHSEAERRRRERINAHLATLRRMIPDTRQMDKATLLARVVEQVKLLKRKASEATTQSTPLPPETDEVSIELHTGADKVIYIRASISCDDRPDLVAGLAQVFHSLRLKTVRADMTSLGGRAQHVFILCKEEGWGGAGVGASASLRSLKEAVRQALARVASPETAYGSSPFQSKRQRILESNYSIMSI >Sspon.03G0028910-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3B:12313092:12313676:1 gene:Sspon.03G0028910-1B transcript:Sspon.03G0028910-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding EPAKRRSDNRPRGTPITKTMKVDRQTIRYYMIHYLLPAIQARWPREDAHKTIWIQQDNAPSHPPPDDAEFAAAAARTGLDIRLRNQPANSPDMNCLDLGFFASLQSLTDRTTSRNIDELIQNVCMEYENYNPIILNRVFLTLQECMIEVMKDNGGNRYKTPPMSKESLEAVDMLPKVLSCDNQLVQRDLELLSN >Sspon.03G0011180-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:34969401:34974776:1 gene:Sspon.03G0011180-4D transcript:Sspon.03G0011180-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polypyrimidine tract-binding protein homolog 2 [Source:Projected from Arabidopsis thaliana (AT5G53180) UniProtKB/Swiss-Prot;Acc:Q9FGL9] MASGGQPQFRYTQPPSKVLHLRNLPWDCTPEELVELGTPFGKVVNTKCGVGANRNQAFIEFADQNQAIAMISYYASSAEPAQEIINNKTTGEGSGNVLLVGMEGVAPDSVSIDVLHVIATFEKASGYQALIQFSDAETATSAKAALDGRCIPSYLLPELDGACTLRITYSAHSVLNVKYQSHRSRDFTNPYLPFLDSAKDGSGVVGLLTFEHDGKKQEAESNILLASVENMQYVVTIDVLHEVFSAFGFVQKIAIFEKNGFQALIQYPDIQTAVAAKEALEGHSIYEGGYCKLHLTFSRHTELNVKVNNERGRDYTKGSVTPSSDQPSILGPQPIPNVGAAIPPAQGSVPSAATNSVMPSGDPSVAVPSNPSSEPLLQAPVVSSGGPAQYPSQAILQAPPGVAPGFPGYGALQFAQVSAQAQAQMVQPSGQGSQQMSSHVNYQLPPGSAQFMQYLGNGSHPLPNAPGPQTMPYPALGGQQLPPGPQMMQAPGFGGLPFSQGPGQPMPQFPMYGTQQFPPGMEPQMMRFPEQGGQQFTFAPRGPYGR >Sspon.05G0012890-3C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:5C:33699923:33700135:1 gene:Sspon.05G0012890-3C transcript:Sspon.05G0012890-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDWAPVVVGVVLFVLLSPGLLIELPGLHRWVDFGSLRVTGKAATVHTILFFAIFLIVTMACNLHIYTGA >Sspon.06G0010690-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:58192104:58201171:-1 gene:Sspon.06G0010690-1A transcript:Sspon.06G0010690-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGHCPGGGASASLAPSFNGFRAMIWPATVNCTSRIGISFIPTTKTGLFRAGDRATGGICYASQAVELMPALYPEIVVRDARLEDCWEVADTHCSSFFPGYKFPLDLVLRIDRYIALLSGFSVPPGCMKTCLVAVNSNSVNNSFTIECGDATDAASFQEYNLSRGSIAGILTLDTVADYLPRRGPLKQRRTGIAYIANVAVRKEERRKGIAKMLVQEAEARARSWGCRSMALHCDVNNIAALRLYKNQGFKCIRIPEGAKWPEPKIGKGVQYSFMMKLILQGASQPECCWCWGE >Sspon.03G0047220-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:55461748:55471430:1 gene:Sspon.03G0047220-1D transcript:Sspon.03G0047220-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPLALVALLLASVLGSRGTTLAPSPAVTDSPANQGQDSSPPQPAFAHGPVTVPTGQATPSASPSPETAAVSPAAPTEPQHAPSPVTPPKEYNAPPPIEVTPPDPTDEVPPPVAPPRAAVENPTPILPGTPALLPSVQAPAPSVVLKANPPVASPPSVVLKTNPPVVSPPSANNQPRRPVGSAVPPPTTSPVIAQAPQRQADPPSRDYKNGNTVPPANTYPPTNLKKHHVPHASPPKESTGQTVPGHKSPVTGCVRLNITMICTCNKSFAPEHKHAFNPEECFISVTCPTPPPSVAPELAPTGRSHARGWKSSNPNNGTNTSSAPSYPPPRAQGLTINFYLQPKYWPKVSRAPRQTGTKSHTHHAPPPIPEGHRISPTLPPIPPLPEPKAPAAHPIWTLPPPPPNSDCNSLSCPEPLTDPPAGAPCACVLPIRVGIRLSVDLYSFFPLVSDFAEEVASGVNMAQRQVRVMGANVAGDQPDKTMVLVDLVPMQVKFDNATAFSAFESLWSKKVSLKPSVFGNYEILYVVYPGLPPSPPSGSEGVGDGAFGNNRNARAMKPLGVDVRSPKRKVNGSLITIAVLSTVIALIICCLAAWLLILRFRGPSDTAQGFPHTVFPKFSRSSGTGHIILAGAGRYSSPSGPSGSLGSSIATYAGQAKTFKFAEIDKATNGFDDSKVLGEGGFGCVYQGTLDDGTTVAVKVLKRYDGQGEREFLAEVEMLGRLHHRNLVKLLGICIEENARCLVYELIPNGSVESHLHGIYVAPEYAMTGHLLVKSDVYSYGVVLLELLTGRKPVDMTRPAGQENLVAWARPLLTNVLSLRQAVDPLLGPNVPLDNVAKAAAIASMCVQPEVAHRPSMGEVVQALKLVCSEGDDCLASGRFSQELPMQTTTIYDATGMEAERVLISEIYGSTPVFTPAADSDSFRKQSSSGPLMTGKNRKFWQRLRSLSRGSMSEHGVSPDYETRSQYSVEVDHKSFVLDAT >Sspon.06G0007170-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6A:26878074:26878625:-1 gene:Sspon.06G0007170-1A transcript:Sspon.06G0007170-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSASLHHRFSPALLQQKGQQASSGISLGPEDIKGPRPIKNPTPQLSMPILFPFLSPSQRAHIRRTPAQPAALHILQGLGLPDSGGPGLLIARTMEASVGWQLLEELVLKILLAAGTNARCGDGGCSHGTRTYYFFACCCLPHSHMLLPLTRARISLPSSRIPMIPRHWSAFNWMPMAKVRC >Sspon.08G0018600-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8B:6492346:6493008:1 gene:Sspon.08G0018600-1B transcript:Sspon.08G0018600-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFFKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHL >Sspon.01G0061650-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:95624981:95626443:-1 gene:Sspon.01G0061650-1D transcript:Sspon.01G0061650-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPRDAGWGRVAAAGREKGHRHPCPTAEEAMAAGGRDLPPHHHHHHERDVGDRGGDSALLVLPAWSLKAAKEATSTPLDPHCSELRTNSCEEKEMTITGAFFI >Sspon.06G0001370-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:4373300:4374091:-1 gene:Sspon.06G0001370-1A transcript:Sspon.06G0001370-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fasciclin-like arabinogalactan protein 7 [Source:Projected from Arabidopsis thaliana (AT2G04780) UniProtKB/Swiss-Prot;Acc:Q9SJ81] MEFKTGIFTVAVLAIVLSSPAVAQKPKSPPAPSATVLPPAPAPAPSPHHVDLADLLSVAGPFHTFLDYLQKTNVIETFQNQANDTKNGITIFVPKDSAFAALKKTTFANLTQDQLKSLLLYHAFPKYYSLAEFDKLSTLNPVTTFAGSQYTLNLTYNMGTIQVKSMWSNPKISSSVYSTRPVAVYEVNKVLLPMQIFKSDPPLAPAPAPAPDAKASDVAPSPTSGKSASAKAKAEEKSSSYQVGAGIAHYLALAVSGGLMLLW >Sspon.01G0007960-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:91390327:91391523:-1 gene:Sspon.01G0007960-1P transcript:Sspon.01G0007960-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTYLAPLPTFYRIYKSKSTQGFQSVPYVVALFSAMLWIYYALLKSNEFLLITINSAGCVIETLYIVMYLLYAPKKAKLFTAKILLLLNVGVFGLILLLTLLLSAGQHRVVVLGWVCVAFSVSVFVAPLSIIRQVVRTRSVEFMPFSLSLSLTVSAVVWFLYGLLIKDKYVALPNVLGFSFGVVQMGLYALYRNATPRMPAKEVADDDAKEATMSIDDSTLKVPGEHVVTIAKLTAAPAGALQPPEEAKDKAKPAENGTAASPGSNADQ >Sspon.01G0062060-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:99940044:99945116:1 gene:Sspon.01G0062060-1D transcript:Sspon.01G0062060-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding TMTVAAMPSSEAASSPSKRAAGGLRGPRPLPLKVSSSSSRGSSPPTTTSNKAPSSTTKKQPVIVYEHTPKVIHARPQEFMTVVQRLTGKPPAASSSAEEGGGDPLLLTLGQRQQAAKAPAPTTLLPSPWPPGCSCPRASSSHPTPCRPSRSSAPCSNHNLFITVSPGTQQCGSVEKQEEAKERSRQTKGTTISQQSRRAISLAITSCALSARSAEISLRSATLSVSSASTLAYNPPEFPSPTYSATTDVAGFVVLAQVTSTVYSNSFKTVDDGSSSSSWWLPTTMAFTSMPLVESRAFRSTSCPVMSICSTSSAPTSSYDSSSSSSVKESGSMPWDWRREW >Sspon.07G0009740-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7C:24795257:24795475:-1 gene:Sspon.07G0009740-2C transcript:Sspon.07G0009740-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQISSLLQGLARSFSVGKDRKGDGAGDGGKTALPAVLRTSGTLWGEGSETFAAVCSRRGEKGINQDCCIVWE >Sspon.02G0008140-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:17724009:17734091:-1 gene:Sspon.02G0008140-3D transcript:Sspon.02G0008140-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKEKTHINIVVIGHVDSGKSTTTGHLIYKLGGIDKRVIERFEKEAAEMNKRSFKYAWVLDKLKAERERGITIDIALWKFETTKYYCTVIDAPGHRDFIKNMITGTSQADCAVLIIDSTTGGFEAGISKDGQTREHALLAFTLGVKQMICCCNKMDATTPKYSKARYDEIVKEVSSYLKKVGYNPDKIHFVPISGFEGDNMIERSTNLDWYKGPTLLEALDLINEPKRPSDKPLRLPLQDVYKIGGIGTVPVGRVETGVIKPGMVVTFGPSGLTTEVKSVEMHHEALQEALPGDNVGFNVKNVAVKDLKRGYVASNSKDDPAKEAASFTSQVIIMNHPGQIGNGYAPVLDCHTSHIAVKFAELVTKIDRRSGKELEKEPKFLKNGDAGMVKMVPTKPMVVETFSEYPPLGRFAVRDMRQTVAVGVIKSVEKKDPTGAKRFPSAMGKEKTHINIVVIGHVDSGKSTTTGHLIYKLGGIDKRVIERFEKEAAEMNKRSFKYAWVLDKLKAERERGITIDIALWKFETTKYYCTVIDAPGHRDFIKNMITGTSQADCAVLIIDSTTGGFEAGISKDGQTREHALLAFTLGVKQMICCCNKMDATTPKYSKARYDEIVKEVSSYLKKVGYNPDKIHFVPISGFEGDNMIERSTNLDWYKGPTLLEALDLINEPKRPSDKPLRLPLQDVYKIGGIGTVPVGRVETGVIKPGMVVTFGPSGLTTEVKSVEMHHEALQEALPGDNVGFNVKNVAVKDLKRGYVASNSKDDPAKEAASFTSQVIIMNHPGQIGNGYAPVLDCHTSHIAVKFAELVTKIDRRSGKELEKEPKFLKNGDAGMVKMVPTKPMVVETFSEYPPLGRFAVRDMRQTVAVGVIKSVEKKDPTGAKVTKAAAKKK >Sspon.01G0038780-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:21123152:21126429:-1 gene:Sspon.01G0038780-3D transcript:Sspon.01G0038780-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALECWSGRPSTDEEMVEQVLMKPHGRSDDSLPTCADTAYAGEPASAPAPPKKWQRLGRNFAGAIAAFKNTLSLDGGGLHRDPSPRAEKPPPLLLRGLAQLYSRGAANQQLPEKLVADLRRHFDALPNSYAQAGFDMKDVLLHARLVEQATGEDQPAVNIEEVHGRGSGDEGTVFQLTFACTAPLSWQSMSGSLDSPSFCCKKIQIFEKRGLTLGVVMILVQTGNEALFKNRVESALKSVVKKQRKNSGGVKLPFGLCGCQEEGSRNFDEESLFDPDDGQVLDNEPMRRPQLPTPLPQSSVFVSVDEWQTIRSGGEELGRWMLRSEEIEFIDWVGANSFRGVYRGKKVWVNKLRGCDMGSAYDVEIRQDLLQLMSCGQRNILQFHGICFNENHGLCIVTRMMEGGSVHDILMQRNKRLSLRDTVRIALDVADALAFMNSYGIAYRDLNARKILLDRQGNACLGDMGIVTPCSHVGEVTEYETSGYRWLAPEIIAGDPETVSETWMSNVYSYGMVLWEMITGEEAYSTYSPVQAAVGIAACGLRPEIPRDCPPFLRSLMNRCWDNSPLKRPQFSEIISVLQSQNVR >Sspon.01G0012440-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:36799861:36808580:-1 gene:Sspon.01G0012440-4D transcript:Sspon.01G0012440-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding TEIGSISSEIKVLQEKSMDMGLKLKNRKAAESKLSKFVEDIIVPPRMIDIIVDGEVNDEYMKTLETLSKKIKFIDADPMVKSSKALKDVQPEVERLRQKAVSKIFEFVIQKFYALRKPKTNIQILQQSVLLKYKYTIIFLKEHAKEIYAEVRAAYIDTMNKVLSAHFRAYIQALEKLQMDIATSTDLLGVETRSTGFIFSIGKEPLKTRPSVFALGERINILKEIDQPALIPHITEAKSQKYSYEVLFRSLQKLLIDTATSEYLFTDDFFGEESIFHDIFAGPIQVVDEHFNAVLLNCYDAIGIMLMIRIIHQHQLIMFKRRIPCLDSYLDKVNMSLWPRFKMVFDLHLNSLRNANIKTLWEDDVHPHYVTRRYAEFTASLAHLNVEHGDGQLDLNLERLRMAIEDLLVKLAKMFAKPKLQTIFLINNYDLTISILKEAGTEGGKAQQHFEEVLKSNISIYVEELLLEQFNGLIKFVKSRPADETATNSEKASIAEVEPLVKDFASRYKAAIELMHYDVITSFSNFLCGMEILRATLAQLLLYYTRLSECVKRINSGSALNKDLVSISSILFEIKKYSRTF >Sspon.03G0022560-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:87368918:87372174:1 gene:Sspon.03G0022560-3C transcript:Sspon.03G0022560-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGNPVGVDNTSRRKFDKEEYLERARQREQREKDEARKGKERGPPVQRQPLKHRDYEVDLDSRLGKTQVVTPIAPLNQQVQKRFEALKKRKDPSAFTEQDLDERIMKQQQEEEERKRQRKEKKKEKKREQTAQHEPEDVDPDIAAMMGFGGFGSSKK >Sspon.05G0021590-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:83523383:83527644:1 gene:Sspon.05G0021590-3D transcript:Sspon.05G0021590-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRCKSKKPSLFIMATINDHCWPEPIVPVQILSNSGVLTVPQQYIKPPSERPCGSITSMNCPDLSIPIIDLACFSDTPEHHKVVLEAIGEACKNWGFFQVVNHGVGIDSVKRMRDAWREFFDLPMEEKKLYANSPVTYEGYGSRLGVEKGATLDWSDYYYLNLLPNDMKNLEKWPEMPRHLREVTEKYASELMNLSKVLLKAMSSTLGLDEDYLHMAFGGSKGISASMRVNYYPKCPEPELTLGLSSHSDPGGITLLLVDENVKGTQVRKGNTWVTVQPIPGAFVVNVGDQIQRSM >Sspon.06G0007570-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:26298459:26302358:1 gene:Sspon.06G0007570-2B transcript:Sspon.06G0007570-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSSTYLMLASARAPLAPLIRPPLMALVARTAAGSITSGARASVPLFVNPAACRPGVRRRGWAQICRDSSLQGPPGADSPAQEQEDMKKSEAVSEAAARIAGGSGGRFSDWSTSVLIFGIWAGLMYYVFLLAPNQTPYQDTYLLQKLLNLKGDDGFRMNDVLVSLWYIMGLWPLVYSMLLLPTGRSSKSKIPVWPFLVLSCIGGAYALIPYFVLWKPPPPPIEEDEIGQWPLKFLESKLTAGVVFALGIGLIIYAGKAGGDDWKEFIRYFRSSKFIHATCLDFTLLSAFSPFWVYNDMTARRWKNGSWLLPLALIPFVGPSLYLLLRPSLSSLLEASASPSDEFKK >Sspon.05G0033010-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:5C:25951330:25952268:-1 gene:Sspon.05G0033010-1C transcript:Sspon.05G0033010-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTTTIEDLHADVLGCALRRLDGRSLAAASCATAALRALATDPDTWRALCLAEWPSLALLAAQPQRRQLLAALPPQRLFADAHPFPSADADADAALPVPAGELPLVSAVDVYYRGAPLLSRVVETPASSSWFLASPFRVEAVERKKPPPAAAAAPSPAELELSWVVVDPARGRAVNVSSRRPVAVDRHWYTGETLARFAVVLGGCKFEATVACSEGAAGGHAISEVSLAVEDADGAAVSGEGTLRLLAAAMEGPRKGGEREPEEAKRRYLEFVKRKKGRKESKARREALVDLCCSAASAVVVLTCLAAVALR >Sspon.02G0019650-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2B:64197346:64198404:1 gene:Sspon.02G0019650-2B transcript:Sspon.02G0019650-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSSAKRFSLRSIGSAAALIFLVLLLVVAGGVATLHHRDNTPARGVVVRVNDRLLPRKILRLEVIEEASSSNIDFANQQHRPPPQLPRGLVRETTNLEMEPSLAGDPERMHAAAAPTKPKSLLAVPVGIKNKAVVDKLVSKFPAADFTVMLFHYDGAVEQWGDLEWSGRAVHVAARGQTKWWFAKRFLHPDVVAEYDYVFVWDEDIEVDAFDPVRYLDVVRREGLEVSQPALDRRSEIHHAITARPLLPTADGVHRRVRNVRCVDGDSTGPPCEGWVEVMVPVFSRAAWRCAWGMVQNDLMHGWGLDYRLGYCAQGDRALNVGVVDSEYVLHRGVPMLSDGGTATPSAGRAA >Sspon.08G0001830-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:5586673:5593890:-1 gene:Sspon.08G0001830-1A transcript:Sspon.08G0001830-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMELAARTSHTSKAGEETPPPSPSSAAASPPAEDAPLLPDAGVRRRPACGRFAQRSGSFRREVGRAAAETFLLTRLTLILLRYLGIGYRWIRQFLALCCYTLLLMPGFIQGWCWSSQVHRSVVYGDQPRNRLDLYMPTSTTGLKPVVAFVTGGAWIIGYKGWGALLGRRLAERGIIVACIDYRNFPQGTIGDMVEDASQGIAFVCKNIASYGGDPSRIYLVGQSAGAHIAACALLNQAIRECGEGDTSSWSVSQLKAYFGISGGYNLLNLVDHFHRRGLYRSIFLSIMEGEESLQKFSPQVMIMQSSARSAVSLLPRIILFHGTSDYSIPSVESQAFIDALQQQGAKADLFLYEGKTHTDLFLQDPLRGGRDKMLEEIAGVIHSEDPDVPAQHLVVPVARRLVPEFMLKLAGKVY >Sspon.02G0021970-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:73444656:73448646:1 gene:Sspon.02G0021970-1A transcript:Sspon.02G0021970-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGYQKGLDVEAGTSGGGARQLYPGMQESPELRWALIRKIYVILSLQLLLTAVVAAVVVKVRAIPHFFTTTNAGLGLYIFLIILPFIVLCPLYFYHEKHPVNLILLGLFTVAISFSVGLTCAFTSGKVILESAILTTVVVLSLTAYTFWAVNRGKDFSFLGPFLFASLIVLLVFALIQILFPLGKLSQMIYGGLASLIFSGYIVYDTNNIIRRFTYDQYVWAAVSLYLDVINLFLSLMTLFRAAD >Sspon.04G0013990-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:51157312:51161555:-1 gene:Sspon.04G0013990-1P transcript:Sspon.04G0013990-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MISRRPLYVDEILRRELLLRPWPCAWRIRCIQERSEIEYIRRRRQIMPWFPAVPPALAAADGAGRINKKRAGLPRLLHKLFIKVLRLRPASAAVGEECCYYYSYGGAGSSSWAGVLSSIPEEDYDDDSSEEEEEGTPDVVVVPGPAVLRKAKSERFLVGPPDAATVRQSERTHVWRLSKLTVDLPQFTRVVVVVEGAAPCPWPPSFISTPDGSDTTLPGFVCALAIVDHIAGSARHPLFSPIKRRWGPGAHNERFDATTDRAGADSDGKIPPATAPPDQGDGMLAPRRRRRPPSTSAAIALRERKENKEGDDRIANPNPSMTGKGWRGRDPTRVFSLPSPPPWFHASDSGQRASPGCRSTVPRAGHHGGAGSLSSGKSRHGHTWLEKRKQEQWAEESPGKFSGGLLSSPGPSGLHAPQLRRRVLREEGKGRKGARPPLTRRGSSPAAPAATAGTSAAARGAKNGEERRQGENEPRVKGEDAGACRPIEIGGLGQPGLFRPMRASVFPAQAQAAWAAFTDWAGCIQRGSTARALNHWAAGGFFRELGQQQRITGLVSKKRNPAGLRGALGHGPMNVRAHG >Sspon.03G0001640-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:10190836:10191690:1 gene:Sspon.03G0001640-2D transcript:Sspon.03G0001640-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLYGTTLGTTGGHGLIDTRQPVGNVDVYAPAPTPPALLVPVAYATGGGAAVGAVGRGSSYGPVIAMLAVVAVLAAAAVAVGRLCFGRRAQGHAGGRAHDLEAWVERTCGPCVGATSLVQESREEGGGAAAGGAGAAAATEPAAPEGTERGESTTSSA >Sspon.02G0044790-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2B:104212825:104213045:1 gene:Sspon.02G0044790-1B transcript:Sspon.02G0044790-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPGMPGGPPPPPGGRGMPTPPGGRGHGLARALGPTLQSAVRRSSLKPLHWVKVTRAMQGSLWAELQKQVEAN >Sspon.04G0023710-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:14697884:14702745:-1 gene:Sspon.04G0023710-1B transcript:Sspon.04G0023710-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAQTDAAPGGGDDLATMREQCRTLEESISTRREAQLELIGSLQHLVPDLVPSLDQSLRLIAAFNGRPFVPTLYPNASIHVQNPSLKPQHRRALPDPARSTRRKTSPGSSPASAAAGGAGTGGGIDAVRTMVAVCLLELVPFAEIDAAALARRLQSETSSASEAERAALADLAAELGGSAPAAVALAFRRIAEDSGGLQIEEAFIGGKQMTMVWAIDRSKLLKELPESSSVLQIQPPPAPQVAPTDTDTNSAIIPRPPPLQQPDMWGHPMPPMFPRPRGMAMPRMPPGMMPLQRPFMAPGAVIPMGGGPGPSSTQLKLRTEEDDLKDLELLLNKKTYKEKQNTKTGEELLDLIHRPTAKETAVAAKFKTKGGSQLKEYCTNLTKEDCRRQTGSFVACDKVHFRRIIAPHTDTNLGDCSFLDTCRHTKLGESQWINCDIRNFRMDILGQFGVIMADPPWDIHMELPYGTMADDEMRTLNVPSLQTDGLIFLWVTGRAMELGRECLELWGYKRVEEIIWVKTNQLQRIIRTGRTGHWLNHSKEHCLVGIKGNPLVNRNIDTDVIVAEVRETSRKPDEMYAMLERISPRTRKLELFARMHNTQAGWLSLGNQLNGVRLVDEGLRARYKAAYPDVEVSPPSPPRTSTPMDVDQ >Sspon.06G0011390-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:62255621:62256475:1 gene:Sspon.06G0011390-1A transcript:Sspon.06G0011390-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPGQETQVGDLPEVCLAQVIARTSPRDASRCAAVSLAFRAAADSDDARLDRLLLLPPPPPAPAVVAAKTSTKTTCSTDDDRKKKKKDAYLGLCDAGALVDDGGEGCVRVWLEKATGARCYALSARRLSLPWDDGEFTWRWTTPPRPLSTRFAEVAELVADCTCLDVYGSLPAAALTPGTPYAAYLVYGTAEGQGGHRASASRTRRPRAVGGRVVARHAVCLRPDDAEARKFRAVAGWTRWRRRPGSPGCVGRVVEMEMGRVTCGGDEREQERRWW >Sspon.01G0031530-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:106112119:106122000:1 gene:Sspon.01G0031530-2B transcript:Sspon.01G0031530-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DEFECTIVE IN EXINE FORMATION 1 [Source:Projected from Arabidopsis thaliana (AT3G09090) UniProtKB/Swiss-Prot;Acc:F4IYM4] MRPLLALAAACALLVAAGAAAAEEAKNSTNKFRQREASDDMLAYPHLDEDALLQTKCPKHVELRWQTEVSSSIYATPLVADINSDGKLEVVVPSFVHYLEVLEGTDGDKVPGWPAFHQSNVHSSPLLYDIDKDGVREIALATYNGVVNFFRVSGYMMMDKLEVPRRKVRKDWYVGLNPDPVDRSHPDVHDSSIAKEATSKETPVIDQNKSGSMEGSEALKNTSEQHSVEAKLNSTQGQENVQLPNNVNDTHSGSISSVTTEAENTSQSHTQRRLLQTADKSDEQTGSSKTHESDSGAKVAATVENSEPLDEDADASFDLFRDPEDLPDEYNYDYDDYVDDRLWGDEDWKEQEHEKAEDYVSIDAHILSTPVIADIDKDGVQEMVIAVSYFFDHEYYRDSDHAKELEGIDIGKYVASSIVVFNLDTRQVKWAAELDLSTDTVNFRAHVFSSPTVVDLDGDGYLDILIGTAYGYFYVIDHRGKVRNKFPLEMAEIHAPVIAADINDDGKIEMVTTDSHGNVAAWTAEGEEIWERPTVGDVNGDGHTDVVVPTVSGNIYVLSGKDGSKIQPFPYRTHGRIMSPVLLLDMSKHGENAKGLTLATTSFDGYLYLIEGSSGCADVVDIGETSYTMVLADNVDGGDDLDLIVTTMNGNVFCFSTQSPHHPLKEWRSSNQGRNNAAYQYNREGIYVKHGSRTFRDEEGKNFWVEFEIVDKYRVPYGNQGPYNVTVTLLVPGNYQGDRRIVVSGVYHQPGKQRMMLPTVPVRTTGTVVVEMVDKNGLYFSDEFSLTFHMHYYKLLKWLLLLPMLGMFGVLVILRPQEGAPLPSFSRNID >Sspon.03G0039170-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:11203069:11203458:1 gene:Sspon.03G0039170-1C transcript:Sspon.03G0039170-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding YRRRRALLAPGPRALRAAPHHRLPRATGAAGGVGVDVAGRGGVHAPRRVGGAHALRLRHLRHRLLPHALGRRPRAPLRLRRRRQHPLLGAVPLHQAARRGPLQAPAGTDHRAPVPNPSDRLRRARGLACL >Sspon.02G0021490-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:70901638:70903309:1 gene:Sspon.02G0021490-2B transcript:Sspon.02G0021490-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGPSGTSSSRGVGSGVVDRFYSPPHVRRQQQEEQLQRLKGLAQAQQGQQRPSSPAAGTLTPRAAAAAARQQKTPPAETPTPAPAKEPERRAADAPSKPPPVSTAPAASTAKAADAATAAPPAAALDEAGNLDRFLSSTTPSVPVHYLPKTSMRGWRIADVTNSRPYFCLGDLWEAFKEWSFYGAGVPLLLSGSESVIQYYVPYLSGIQLYADPSKLSSRT >Sspon.02G0009950-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:26763286:26765722:1 gene:Sspon.02G0009950-2B transcript:Sspon.02G0009950-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSCKGLAMELVKCLSETDCVKVQKRPYKECAGEKVPNITSECVGLRETYFNCKRGQVDMRARIRGNKGY >Sspon.01G0006650-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1C:24303249:24305728:-1 gene:Sspon.01G0006650-2C transcript:Sspon.01G0006650-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCPYTSSQNGKAERMIRTTNDTVRTLLFQASLPARFWAEGLHTSTYLLNRLPSAACPAPTPHHALFGTPLGTITSACLGVRVTPTPLPLLPTSWTLAPRSVSFSGTLRITRGPASPRVGPGPGPGSPEGPGPSSSGAAPSSPVGADPGPSSPASAPGGSCRSPTPDPVPAPAPAPPSRFAAPVRVYQRRPRPPPLALPSPPGTPTPPPQSPPARGAPPVYHPPLLHRHPRHVHPMVTRHAAGTLPPRVFEASTGDAVVSPVPSSVRDVLLDPHWRRAMEEEYAALLANQTWELVPRPPGANVVTGKWIWTHKRRADGSLERYKARWVLQGFTQRPGVDYDETFSPVVKPATVRTVLSLALARSWPVHQLDVKNAFLHGLLTETVYCSQPAGFVDTTHPDMVCRLNRSLYGLKQAPRAWNHRFAAFLLTLGFMEAKSDTSLFVYHHGADTAYLLLYVDDIRQYTRDILERAGMTDCNPCSTPVDTQGKQSEAEGPPVADPTAYRSLAGALQYLTFTRPDITYAVQQVCLHMHDPREPHHTALKRLLRYLRGTLDHGLLLHRASSTDLTVYTDADWAGCPDTRRSTSGYAVFLGGNLVSWSSKRQPVVSRSSAEVEYRAVANGVAEAAWLRQLLAELHTPPSQSTLIYCDNVSAVYLSTNPIQHQRTKHVEIDLHFVRDLVAMGEVRVLHVPTTSQFADIFTKGLPSSTFAEFRSSLNITGG >Sspon.01G0011660-2P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1B:38990067:38991782:1 gene:Sspon.01G0011660-2P transcript:Sspon.01G0011660-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLQSSYAPDDASSPEDSAAASSPESSPLRLPSKSAAPAIDDTALALSSAASAARPLDPSLHLVVFNPTADQLWAPILGPQHPHAPISSASGNRNHKLGHVEDAAVLPFLFDEQYNTFHRFGYAADPSGLHIVGDAQPQAPEPDTVYNLAPSEHKRRRLLAKADNQEEPAPPEAKNPASEEWILHNKQSPWAGKKEAPPAELTDEQRQYAEAHAAKKAEKEARGEGKTERTEVVVKTTFHGKEEKDYQGRSWITPPKDAKATNDHCYIPKRCVHEWVGHTKGVSAIRFFPKYGHLLLSASMDCKIKIWDVLGSRTCMRTYMGHSKAVRDISFSNDGTKFLSAGYDRNIQYWDTETGQVISTFSTGKVPYVVKLNPDEDKQHILLAGMSDKKIVQWDMKSGQITQEYDQHLGAVNTITFVDNNRRFVTSSDDKSLRVWEFGIPVVIKYISEPHMHSMPSIALHPNSNWLAAQSLDNQILIYSTKERFQLNKKKRFAGHIVAGYACQVNFSPDGRFVMSGDGEGSCWFWDWKSCRRFKTLKCHNGVCIGCEWHPLETSKVATCGWDGVIKYW >Sspon.01G0011500-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1B:38038721:38039821:1 gene:Sspon.01G0011500-2B transcript:Sspon.01G0011500-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VDGVGGGGGGRFTLTTSLLFVGLIAALFVLGFFSAFLRRCSGADATRRGGALAANAAVAAAAAAAFASAAANGGRRRAAGLDAAAMEALPVLTYATARAVKAGRGALECAVCLSTFDDAGEKLRLLPGCCHVFHAACIDAWLAAHVTCPVCRADLSDPAVADAGHVLAADLDAQALAGEPTDTIVVVNVDGSTPAAVAGAGAGEDTTSSDEEQQAETAEERVDRFTLRLPERLRREIDEAKRLRRALSAVTASTALPSGGELTTSSGALRTMSAARPSRRWSGLFRALSGSRRMMSEPDGGHRRVVPLPTGDGEVEVVVVRDDVDKYYAHSLTFAGFVIDGDVAAGDWNPEVFQVSTGVPAVVPTSQ >Sspon.02G0013220-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:35400843:35402239:-1 gene:Sspon.02G0013220-1T transcript:Sspon.02G0013220-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKHSAAMCSLLVLVLLCLGSQLAQSQVLFQGFNWESWKKQGGWYNYLRGRVDDIAATGATHVWLPPPSHSVAPQGYMPGRLYDLDASKYGTHGELKSLIAAFHARGVQCVADVVINHRCADYKDSRGIYCVFEGGTPDSRLDWGPDMICSDDTQYSNGRGHRDTGADFGAAPDIDHLNPRVQEELSGWLNWLKSDLGFDGWRLDFAKGYSPAVAKVYVDSTAPTFVVAEIWSSLRYDGNGEPSSNQDADRQELVNWAQAVGGPAAAFDFTTKGVLQAAVQGELWRMKDGNGKAPGMIGWLPEKAVTFVDNHDTGSTQNSWPFPSDKVMQGYAYILTHPGTPCIFYDHVFDWNLKQEISALSAVRSRNGIHPGSKLNILAADGDLYVAKIDDKVIVKIGSRYDVGNLIPSDFQVVAHGNNYCVWEKSGLRVPAGRHH >Sspon.05G0001830-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:5866237:5866712:-1 gene:Sspon.05G0001830-1A transcript:Sspon.05G0001830-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGAGKPEEVAAYQSKEAKQARLQSTLAALLDDPILADVPRKPSLADVDTLINLELGSAMRLTVVKLDNTSFEVAVLNSATVKDLKLAIRKKINEIEQEQMGHRHIS >Sspon.01G0028510-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:91585345:91587137:1 gene:Sspon.01G0028510-2P transcript:Sspon.01G0028510-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RMDPPTARRAKRRDRRRRLRQRKREAAAAATAPGAGAAVLAVEPQSAAVTAPVTPAGAAVTPAATARGRNRRRPRRRRDRTAGAAASPVEGDCHDTANCLADAISTQEGSAPQASCNLEAIAAHLSDNVLQTTTEKIMSSDKTRKRNFQAGKDSAADGAACPSLAHRINSIRENEASRSKIFKTSDVRFPIDSCTAQGTDMDYHKMKSADLPGNLEEVYQKRTCPGHLSLQKSEEYRENENAPSLNSSSLQEGKKRRKKRGGKRHNRRKKATSQDSPAPTSADNSGLIMFLSENCISGLKPEEQKKQDQNKAPMTNLVLGNNAKRSEPFGENLEGAIEKAVT >Sspon.02G0029390-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2A:106893896:106893969:1 gene:Sspon.02G0029390-1A transcript:Sspon.02G0029390-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GYGFVRFSKREYANTAKRQKNGIE >Sspon.04G0016490-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:60643628:60644371:1 gene:Sspon.04G0016490-1A transcript:Sspon.04G0016490-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASRQRGTVKWFNDTKGFGFISPEDGSEDLFVHQSSIKSEGFRSLAEGEEVEFSVSEGDDGRTKAVDVTGPDGSYVKGGGGGGGGGGYGSRGGGGSGGGGRSYGGSWGGGRRSGGGGGAGACYKCGEPGHMARDCPSADGGGGGYGGGGYGGGGGGGGCFKCGEPGHMARDCPSGGGGYGGGGGGYGGGGGGGACYNCGQAGHMARDCPSGGGGGGRFGGGGGGGGDRSCYNCGEAGHIARDCPT >Sspon.07G0000140-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:211963:214067:-1 gene:Sspon.07G0000140-2B transcript:Sspon.07G0000140-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASESKQTRFYQRRRAKTTNKLHRGRTRSAARDPDCGCIHSVCVRAATSPTPSGSRDHHRLISSLSSVVDDMLPRSPKLLRTNSSKKVPAATNLERALLSFKSWEAIDKPPAARIHGARPGRLALHSPTSGGGSPAMAAADTTVDVLRSPLHEAAATRVQKMFKGHRTRRSLADCAIVVEELWWKLCDSASLDRTSISFFTATAGGGKQETAASRWVRAAKRIAKVGKGLSKDDKAQKLALRHWLEAIDPRHRYGHNLHLYYDIWFQSSSTEPFFYWLDIGGGREIHHPSCPRSKLNSQLVMYLGMNERAAYQVVVEDGRLTYLQTGLPVNTTDDSKWIFVLSTTRSLYVGQKRKGQFQHSSFLAGGATSAAGRLVAKDGVLKAIWPYSGHYLPTEENFNEFIAFLQDNKVDLTDVKRCSVDDDEYPSLKRKQQEEEPKLEAETAAAGAVDGNETGSRAVVAKWTSGAGARIGCVRDYPAELQSRALEQVNLSPNRPAQPWAGKAPIPSPRPSPRIRLSPRVQYMGVPAVSPAGVRPPPLKQQCLGLGIRPPTVHLTLPSNKNGSKATTSSPANH >Sspon.03G0013160-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3B:46290271:46290657:1 gene:Sspon.03G0013160-2B transcript:Sspon.03G0013160-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIPDNGHLPASASLADYSSASDSDVEPESDYRPIAGAVSDSESDPDPDHYFDAAVPHHHLDEAGNGISALALASDEDEAAEDAEAEDEDGDLRPGEAAARAFSEDERRRRAPLPAGAAARIVGTSMK >Sspon.02G0048770-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:58185527:58186249:-1 gene:Sspon.02G0048770-2D transcript:Sspon.02G0048770-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDVITDVPPPSRFSPDDLDNFAAPPAQPTPILVVSPNPSPPTPRLLIVFISPTSLALLASPPPLHASLLLPDLPLHPHPHAPIRVYLHPSGSLLAAAHGAVPAHRARAAAKALVSRLQPEEVLVLDAVRSGSYRGRLAADEPVEGKLETRAARARGGVGAARAVAALAPPGSVVDGLGAAVLAECEIRGKAASLVVTWPASARPAEFGVTRRVAEELGVDTAKAAARVSGRPELDALYT >Sspon.08G0019740-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:14633659:14636516:1 gene:Sspon.08G0019740-1B transcript:Sspon.08G0019740-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTGRKRTAPEAGANGAGGPKRARESETTQMGVGSKSKPCTKFFSTAGCPFGASCHFLHNFPGGYQAVSKMTNLGGPPVPAPPGRMPMGPGGPDGPPSPAVKTRMCNKYNTAEGCKWGANATLLMERGSLESPCRWTTRWELLLQWGQGPTVTSCHRQCLT >Sspon.04G0004860-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:14120230:14127412:1 gene:Sspon.04G0004860-1A transcript:Sspon.04G0004860-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MESRRRQGVVAIECVAGGSRAEEWGPGSSETVQTGDVVEELLIGVGSRGGPASHAAPFKGGRAALQKLLHAAYKHGETSVEVRVRRSASAQGQQRQLVAGGDSSGELADAATEARMQACIVPQESVGGGGIGRSRQYVLRSIRDPNYAVGLVDRMESECIAIRDDACKQCSVGKLKHFLGNITNKRTMTEQRALDLDGYVSYPWEKKMREVLPIPNSSSFLSLLILPMALDRAGSRYNSVEDTLARANAWILSSQATGVPIAFLNVQTEALLTKISGETASSTVNSGSLADLPNLANASLYGFEDYHGVDIGVVKAVRVWYTAAAGEMPVEIILEEGDARLGFAISRTEEGFIYVSSVVENDVERQAPSTRSGLRDLYREAKRASKLLVISRVSGQKVLPWMVSTSGAIRCFDTVSLSQKLSLHRHALRPILLHVLMWDGKSDAPSRPDREPCPLPMPLPLPSPEFAELPRQNSFACVEQRMQAEVDPGVMHGRDTAGDASFSAENDNITSFIKEVNASFDKPKPIAAISATTYANITIQSHPVDHTHTRSIKPAGPIRQADREEKRRPAYLVVEVGPFLEALCVGGSGEVALDDVEEAVVVRGGAARVHDQQRPRVPQASAHRGAQRAQLLLTRPGRVAGGGPEIRREIHHRQVHGGWGCAAREMRVEMKWGSGASRADR >Sspon.02G0030180-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2C:110658857:110660389:1 gene:Sspon.02G0030180-2C transcript:Sspon.02G0030180-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPSRAASWQSPTPAAWDATSLASALKAAAARRSAPHVGPLHAVLLKLGLSASAIHATSLAHLALRSGLARYARELFDEMPRRDVVSWTSLVTGHAHQGLHRDALALLRRMVGSGVQPNGYSLSGGLLACAGVGQSALAHGKEIHARVVKMSSRGPVDPVVENGVLDMYSRCGSTEYALRVFGVMRVRNIVAWNSMMAALLGSGQAEDALRLFVSMASCGVGVDGFSFSIVVDACGELALLKQGMQTHAQVIGGGFEADVVVRNSLVDMYAKCGCVDSAELVFKAVSSNDAVLWTTMISAYGKFGRVQDAVSMFDRMARLGIKQDGITYLAVLSACSHGGLVREGWYYFSLMSDGSSSVKMQPEHYGCMADLLCRRGYLEEALEFIENMPFDSSVAAWSALLNSSRIHGNAKLGQFAASCLLKLDPENHSNWVALSSVHASGNDWHETWTIRESMSREGVKKEPGCSWVELCDGVHVFIMADQSHPELFQILQSLDSLKEDILVMPWQVS >Sspon.04G0011010-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4A:33735463:33738764:-1 gene:Sspon.04G0011010-1A transcript:Sspon.04G0011010-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNKMWDSLVEHAKTCVLSGKHYVYYARDSRNVGAIFNNIYEFTGLIADDQFISAENLTDNQKVYADALVKKAYEDWMQVVEYDGKALLSFKQKKKSVTTRSDAAAASTSNPASYGLANSQKQLSLPAKAGQTSSAGTMNEDGTRNAYNGNGNQSARYAANTQNIPANVTMQYDRSAVLPESQFSGSSLQSQASRGSNMLALGPPQQHQSFEFPALGQSMQPTGLNPFEEWPQQQENRGGVDDYLMEEIRMRSHEILENEEMQQMLRLLSMGGAGTNLTEDGFNFPSYMPAPSPNLSYEDDRTRPPGKAVVGWLKIKAAMRWGIF >Sspon.01G0043720-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:76798923:76802169:1 gene:Sspon.01G0043720-1B transcript:Sspon.01G0043720-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRSGQPQGGIGSSGAAPPSSSLLPAVVSSRRPVLVSGEGYRGVAVGVVAAATTILLSRTPGSSGSKRSASSTRSTAKSPGKKPRNTAARMKDATMSNWNSCYQSRTQIIHNLMMEKNSEEQSVRLKQQQIELQKQQLAAKEHQQELITKSAGELGLDDMEGDLWTGVLNICKDEDARTVYLNAGPTGRLQLIKKYAKVDMQPPAQAEVAAEVEAEV >Sspon.05G0005850-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:10538463:10539072:-1 gene:Sspon.05G0005850-3C transcript:Sspon.05G0005850-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDRGSGGMNDRGSGGGGEAERWPWWAAASAAQAAAGIAWFRHGRGGTAVAMPFKAFAIASLFVGAGATAAAAGVLAAGVGSVEEMKGVGASIRRWMGAPPRRAGGSD >Sspon.08G0014730-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:59909465:59912166:1 gene:Sspon.08G0014730-1A transcript:Sspon.08G0014730-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMKVDMSALETNFGNSTFPAGDDMYFSAEAPDVPSMVLPTCDDFDGFQADTKNMVKNKKGTTTLAFIFDKGVIVAADSRASMGASQTVRKIIEINPYMLGTMAGGAADCQFWHRNLGNKCRLHELSNKRRISIAGASKLLANILYSYRGMGLSIGTMIAGWDEKGPGLYYVDSEGARLVGNKFSVGSGSLYAYGVLDDGYRFNMSVKEAAELARRAIYGATFRDAASGGCVSVYHVGPDGWTKLSGDDVGELHYHYYPVQKTPVEQEMTDAPTTSA >Sspon.07G0038360-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:77848069:77849222:1 gene:Sspon.07G0038360-1D transcript:Sspon.07G0038360-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding IASTIMKPFHTAKSRARNLLPENRRLISKKLSLQKPRSFGIFLGLPHSNTAEWPAVAATIVPTTTSLPTSKVFGHDKDRDRIVDFLLAKTTADKESSSRYSGLAIVGAGGMGKSTLAQYVYNDERIEECFEVRMWVCISRKLDVHRHTREIIESAKKAECPRLDNLDTLQCKLRDILQGSQKFLLVLDDVWFEKSDSETEWEQLLAPFVSKKSGSKVLVTSRCDPVPAALLRMKLEHTAKEIAVSFSSKSFGFPIEQKKDITEWKAAQNFRDLSEPFTVLLWSFEKLDFLYCSLFPKGHRYKPDELVHLWVAEGFVGSCNSSRKTLEDVGMDYFNDMVFG >Sspon.08G0012360-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:49132315:49133811:1 gene:Sspon.08G0012360-2B transcript:Sspon.08G0012360-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSWRDKQRPDLVNFIATSLATNLYRLNFLSLSPDFLFNNGGLSVAFIFETDWVPEREAAVFSRVSTLKRQFKYLYVVVVVRSAEQNESFNQSYFKYGMELGCPTFVPVCDPEMGFEKIREQAVQCMDAFLRVLTSIPGIDSHDANALAQAIGSIEAIAKASKEFILENTDLSTEKAERIVRFFRDP >Sspon.07G0032490-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:50915030:50917553:1 gene:Sspon.07G0032490-1C transcript:Sspon.07G0032490-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAEGERWVGLATDFSEGSRAALRWAAANLLRAGDHLLLLHVIKEPDYEQSEAILWESTGSPLIPLSEFSDPIIAKKYGAKPDIETLDILNTTATQKEITVVVKVLWGDPREKLCQVIHDTPLSCLVIGSRGLGKLKRLYQAARKLVLLGSVSDYVVNNATCPVTVVKSSSTEDKTDEHAQRKKLSGGELI >Sspon.08G0022020-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:47224155:47226112:-1 gene:Sspon.08G0022020-1P transcript:Sspon.08G0022020-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTRKRPRNNSSSTTTPSSARRSSGGGSTSLSSSSSVMRRTTSLSDLAPPPEIPGRPQTRTARGDAVVAGAGTVWGGAEMMRWHSGDFLPAMETAAFLKACGLCKRRLGPGRDTFIYMGEVAFCSQECRQQQMNLDELMEKCSTPAGSSGGGSDQSGKSSTVAAA >Sspon.05G0022520-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:3500681:3501095:-1 gene:Sspon.05G0022520-1B transcript:Sspon.05G0022520-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKFAAQIKDKFLGLIDRVAGYGRIGGEKDKVQEPAKLHNIQLDREEQNPLWEKDRRRGPINAAGQFILALRAIKVRRPEDCDDTC >Sspon.03G0010220-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:32640133:32641638:-1 gene:Sspon.03G0010220-3D transcript:Sspon.03G0010220-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSGLDDSSVGVAPRHRQGCCCACASVLCKIVKVLLHVAIVFTVFILIIPSIVESFGAKIALQSVSFAVLACLYICTSSDREGRIISGVFLGVLAVVIVTAAPSVASKGEMAPVIVMLVCNLIALLVYCIWKISALVQACLRRLRARSKAPAADPEAPLATMLKSEAAASTTPVQVQQTTFHIEDLPRKFSYDEIRAVTGDFGTVVGRGGSAEVFRGLLDDGTAVAVKRITSYKPVGEEEFLREISIVANVHQRSLVRLLGYCLVQGVPGTSTAHGQYLVYPFFENGSLDWWLFNGEDRRRLLPWPTRRRIAVDVARALAYLHHECHRQILHLDIKPANILLDGSFRAHMARGELMELVDATMAPVDEGEVEALVRVALCCVQHQRELRPSMLTVVEMLEGRIAADLPPESRRPPGANFT >Sspon.02G0051870-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:84558996:84560127:-1 gene:Sspon.02G0051870-1C transcript:Sspon.02G0051870-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKHFKFLERSDIRSTSAHQAIDKTSNAASLWPSPFAAGPSSPLCNAAGHPGCPLSPLPHRHASGRLRCPPPFPPPSVPEQPLEIPAAAPPPLAC >Sspon.02G0045350-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:104826603:104827495:1 gene:Sspon.02G0045350-2C transcript:Sspon.02G0045350-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQALTASSPASSSSSSSCPSSSTAHRHRRGTRSSVRFAPPRAATAAANSVYSAPLAAAAVRYAAPSKPAAPIAPIAPAQAAGEQDGEKGLSFLQRAAAAALDAFESGVIANLLERPRALPRSADPAVQIAGNFAPVGEQPPVRSLPVSGRIPPFISGVYVRNGANPCFEPPRGTTCSTATAWAVFPKAIGELHGHSGIARLALFYARGLCGLVDPSRGTGVANAGLVYFNGRLLAMSEDDLPYQVRVTGDGDLRTVGRYDFDGQLA >Sspon.04G0017010-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4B:65827492:65836387:-1 gene:Sspon.04G0017010-2B transcript:Sspon.04G0017010-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding APAAGEGRRAALHTSSCMRIIEKTRRAAGSHGARRWSQSQDDDRARVASTAARGGSDWDRQADSQSRPGRPVERYVIWWLVGSPRAGGRRPPALITDGATGRASGRAAHPDPGTATRDRAVAAVRAVRQRLLTHRWLRCSSSTTRVRLTGPVHGRRGRRRSGKGALRGRTDGRYYCTVRSRLEEASFATAHTTPRCPRHHCVPGAGSGPALPQAAASAGWGGRGSGDLREKGRGQTWQVHAICGYHRRQAHPARAPQANCPPGCGNQPIGQHHARNHPAPATARSVVVDRAATTVCVRTSPDKDGTVGGPPDNSPTNRAIMAALHPSYALLGPLAWKVVQEWREQGALPLALGSWWLHLLLLFVVRGLTYQFWFTYGNMLFFTRRRRVVADGVDFRQIDAEWDWLWRCRKGIAAGLRGNVPFLPGQARWLPEAACDVSVTVPDRGPHLRRDNFLVLQTLIGATVVNSPLLPGLRQLCLWDARGWAVALLLHVGFSEPVFYLAHRALHRAPLFGRYHAAHHSSGVTQPLTAGFGTPLEALLLTVVMGAPLAGAFLVGAGSIGLVYVHALAFDYLRAMGYSNVEVVSPRVFEAFPLLRYILYTPSYLSLHHRERRGNFCLFMPALDWLCGTLDERAWSLQRAAYDGAPGGGALGTPGFVFLVHVVDIMSSMHVPFTLRSLGARPFTNHFFLLPFWPLAFFFMLLMWCCSKTFVVSFYCLRGQLHQTWSVPRYGFQYFLPAAKKGINKQIELAILRADRMGVKVLSLAALNKGGGVGLRGWSGARATAAAPVDGDDMMSTERDHGEAATGAGGKRRRSLPLARRPCRAPARTPADRPARSREARVAAGAATALVPRVRDGSSFSFMAWESNRNEALNGGGTLFVNKHPDLRVRVVHGNTLTAAVILNEIPSNVKEVFMTGATSKLGRAIALYLCRKKIRVLMFTMSSERFVKIQREAPPEYQQYLVQVTKYQAAQNCKTWIVGKWLSPREQRWAPSGTHFHQFVVPPIIGFRWDCTYGKLAAMRLPKDVQGLGSCEYTMERGVVHACHAGGVVHFLEGWDHHEVGAIDVDRIDVVWNAAIKHGLAPV >Sspon.08G0024620-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8C:56490:58002:1 gene:Sspon.08G0024620-1C transcript:Sspon.08G0024620-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLRKQQRYDSITLEERYDKVRTPRFRGFGLIRSASTWPRPGLDPQHSATGKEGRYGTTLRVTSTPTTSHPRGWPPIP >Sspon.02G0022560-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2C:77618194:77618502:1 gene:Sspon.02G0022560-2C transcript:Sspon.02G0022560-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATGLSVGKSVLNGVLNYAKSAIAQEVALQLGVQSDQAFIRDEREMMLSFLMAAHEERDNHKVVKSWVKQVRDVAYDVEDCLQDQGVRLGKPSRWCFLLAV >Sspon.04G0022300-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:9565020:9566709:-1 gene:Sspon.04G0022300-2C transcript:Sspon.04G0022300-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MYPSAPPDAYNKFSSSGAPPTAPPPAAYQQQHGVNMNPSRPGGGLRKWSTGLFHCMDDPGNCLITCLCPCITFGQIADIVDKGTCPCLASGLIYGLICASTGMGCLYSCLYRSKLRAEYDVDEGECPDFLVHCCCEHLALCQEYRELKNRGFDLGIGWEANMDRQRRGVTGGTVMGAPAIPLGMIR >Sspon.06G0016040-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:77782794:77785534:-1 gene:Sspon.06G0016040-3C transcript:Sspon.06G0016040-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding KTPPEARDLEAAVPAAPAAAAAAAAKPKTATEPKAAAAAAEQKAAPRGEEGGGGGGSAPPLGVRAEGLRHPVPAVRPHGGGRGRRVPRAPHPALLRVRTSRRRLAHVHRHPRFPAHRYVPHAQVQGEAPQEPRPARAVHALLQPQHRRVRVHHPRYRQSIPPHVPLVSLCCCSGADRLHLLGCQEGLRLQLHLPIPVHQPPGTSGVPHHPGLLPAGKGGHDRLRVPGHPGVLGLHRLRHPHAAEAPHLQRVRGRRHLPLPGRHQPLHGPDVALLPVM >Sspon.08G0028790-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8D:17602120:17603139:1 gene:Sspon.08G0028790-1D transcript:Sspon.08G0028790-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGRTPWTELVLHRPRPALLISATVCAALSLAQASASSRAELGPSSRREPEPGGGPAGARPRGGRGRRAGCASALKRTGRKPRVGRRHSCCCALVGGTRYGAEAEVDQLGERAKNGMVVEWCDQARVLSHPAVGCFVTHCGWNSVLESVASGVPMVGVPKVSEQSTNARLVEREWRVGVRAQADGGGVLRAAELWRCVEDVMGDGTAAAEVRRMAGDWKRVVAEAMGKGGSSYSPCSTGCFCGL >Sspon.05G0027440-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:51632580:51651388:-1 gene:Sspon.05G0027440-3D transcript:Sspon.05G0027440-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding METGCGAGQRLEEEGKQREREWEEAAEAVAYDSCTWPPPVVAVCGPGNSGKSAFSRLLLNTLIDRYKKVGYLDIDVGQPEFTPPGFVSLHIFEEKPKEFYCLGEVENPKKSAIPLVINTSGWVKGTGLQVLTEMLKYVSPSHVIRVSTTVERKNLPGGMFWMNEGEGDSSVNLVEIPAAQNSPRHLLAKKEARIIRHLRLIAYFRQCLPREFPVFCFDDLVQGFGSIHPFRLPLSKIHVIDLHCQVSLSGTDVQRFLNGTIVGVSTNDPPLSTECSTPCCVGLGMASLIIIWLNGFIKAIDISEDCIHLITPISHKLMEKVDILFLSCIAVIVCYFSYLK >Sspon.05G0007000-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:12970265:12972668:-1 gene:Sspon.05G0007000-2P transcript:Sspon.05G0007000-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADENQAGKKEEEEFSTGPLSVLMMSVKNNTQVLINCRNNKKLLGRVRAFDRHCNMVLENVREMWTEVPKTGKGKKKALPVNKDRFISKMFLRGDSVIIVLRNPN >Sspon.06G0005490-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:18628500:18631810:1 gene:Sspon.06G0005490-1A transcript:Sspon.06G0005490-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQASDRFNINSQLEHLQAKYVGTGHADLSRFEWAVNIQRDSYASYIGHYPMLAYFAIAENESIGRERYNFMQKMLLPCGLPPERDED >Sspon.04G0024790-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:26234619:26236606:1 gene:Sspon.04G0024790-2D transcript:Sspon.04G0024790-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding EMMSYFQATTCKPHSRIIVNKPIAGLGSTSQYPLYSHALGFHKLKQKVYPRLVLIAASHKRLTPVCALSGKGNPGTDDDPLMESLKKAMADAKKPRPIQDLLKEQVAKLREQASGGGRGNGNRRGGSGGSGGPDDESFKETLDEVVQVILATVAFILVYIHIIRGEELYRLARDYTRYLVTGKRTARLKRAMQKWHSFSESFMQKEGSEEDQYERSAVSKPTWWQQPQKFVHLMQELCRGNWRPHAQES >Sspon.02G0031260-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:114391106:114393970:1 gene:Sspon.02G0031260-1A transcript:Sspon.02G0031260-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DHRAEDQHHQKKQAEPGDQQEAPVTSSDSQPTVGTPSTDYVAPYAPHDMSHAMGQYAYPNIDPYYGSLYAAYGGQPLMHPPLVGMHPAALPLPTDAIEEPVYVNAKQYNAILRRRQSRAKAESERKLVKGRKPYLHESRHQHALKRARGAGGRFLNSKSDDKEENSDSSHKEKQNGVVPHKSGQPSTPPSPNGASSANQADSRE >Sspon.04G0018560-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:66708041:66709438:-1 gene:Sspon.04G0018560-1A transcript:Sspon.04G0018560-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding FAKENPLQLDLPVIKLDEHEDVTIEAVSTTLKRAINRFSTIQAHDGHWPGDYGGPMFLVSGLNEDGGWGLHIEGPSTMFGSALTYVILRLLGEGPDSGDGAMEKGRKWILDHGGATYMASWGKFWLSILGVFEWSGNNPVPPEVWLLPYLLPFHPGRMWCHCRMLYFPMCYIYGKRFVGRITPLVLELRKEIFKDPYNKIDWDKVRNLCAK >Sspon.03G0025770-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:1170596:1173621:1 gene:Sspon.03G0025770-3D transcript:Sspon.03G0025770-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMALRRLRPWAFLLFFLVLFSYDESGLWSRSRTRSGVAQATQRVFLYPQAPKVSSIVSSKYRTAYHFQPPKNWINGPMYYNGIYHQFYQYNPNGSLWGNIVWGHSVSTDLINWIRLEPAIERTTPSDINGCWTGSATVLKSDQPAIIYTGADTEKRQVQNIAFPKNLSDPYLREWIKPDNNPVIQPVGQGLNPNQFRDPTTGWIGPDGLWRIAVGAELDGYSAALLYKSEDFVHWTRVDHPLYSSNASTMWECPDFFAVLPGKNIGLDLSVAIPNGAKHVLKMSLDNCDKYMIGVYDLKSDAFVPDSVLEDRRLWSRIDYGNYYASKSFFDSKKGRRIIWGWTNETDSSSDDVAKGWAGIHAIPRTIWLDKDSKQLLQWPVEEIESLRGKEVSQQGLELKKGDLFEIKEIDTLQADVEIDFELTSIGSADPFDPSWLLDIEKHCREADASVHGGIGPFGLVLLASDNMEEHTSVHFRVYKSQEKYMVLMCSDLRKSSLRPELYTPAYGGFFEFDLEKEKTISLRTLIDRSAVESFGGGGRVCIMARVYPVALIDDDGTRMYAFNNGTTTVKVPRLKAWSMRRAQVNVKG >Sspon.08G0017720-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:3414526:3414798:-1 gene:Sspon.08G0017720-2D transcript:Sspon.08G0017720-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKQATALALLCVVLLAATVDDAAPCNPSALSACAGALFGGAVTPRCCASLRAQQPCLCQYKRDPAYRGYVNGPVAQSVTRACGLPMIKC >Sspon.08G0022270-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:9501898:9502359:-1 gene:Sspon.08G0022270-1T transcript:Sspon.08G0022270-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFPGGSGRRQQQQEPEHLPPMTPLPLARQGSVYSLTFDEFQSSLGGAAKDFGSMNMDELLRSIWSAEEIHNVAAANASAAADHAARGRPSSARARSPSPARSARRPSTRSGATSCVSAADPPPRLRRRPHRPSGSPRSGRSRWRSSSSVPAW >Sspon.03G0043980-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3C:85998067:85999685:-1 gene:Sspon.03G0043980-1C transcript:Sspon.03G0043980-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFLACSIASRGNKKSNELVPSFLKDKLSPRFVSDRGDQSKGPPVVAGTEYDRSIRSRPIRGPSHPIRQIRRREKLLPFPACIWSSFSLQCVCCTARHCTVKMKLIDSGHALAFSISFGLTWSPFIQSGMH >Sspon.02G0011010-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:6398954:6400946:1 gene:Sspon.02G0011010-3C transcript:Sspon.02G0011010-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPLLTKKIVKKRVKQFKRPHLDRYKCLKPSWRRPKGIDSRVRRKFKGCTLMPNIGYGSDKATRHYLPNKFKKFVVHNVSELELLMMHNRTYCAEIAHNVSTKKRKEIVERAAQLDIVVTNRLARLRSQEDE >Sspon.04G0011510-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:38380696:38385824:-1 gene:Sspon.04G0011510-4D transcript:Sspon.04G0011510-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PBS1 [Source:Projected from Arabidopsis thaliana (AT5G13160) UniProtKB/TrEMBL;Acc:A0A384K8Z2] MGCFSCFDSPADEQLNPKFGGAGGYGSATSAAAAYGAAGAGAGIGRHGDRGYPNLQQAPMAAPRVEKFSAAAEKARVKSNVLTKEASVPKDANGNIISAQTFTFRELATATRNFRPECFLGEGGFGRVYKGRLESTGQVVAIKQLNRDGLQGNREFLVEVLMLSLLHHQNLVNLIGYCADGDQRLLVYEYMPSGSLEDHLHDLPLDKEALDWNTRMKIAAGAAKGLEYLHDKANPPVIYRDFKSSNILLDESFHPKLSDFGLAKLGPVGDKSHVSTRVMGTYGYCAPEYAMTGQLTVKSDVYSFGVVLLELITGRRAIDSTRPHGEQNLVSWARPLFNDRRKLPKMADPRLEGRYPMRGLYQALAVASMCIQSEAASRPLIADVVTALSYLASQPYDPNAALASRKPGGDQRSRPGENGRVVSRNDETGSSGHKSPGKDREDSPRDLPAILNKDLERERMVAEAKMWGDRERMVAEAKMWGDRERMVAEAKMWGENWRDKRRAENGQGSLD >Sspon.01G0051370-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:16979321:16983373:-1 gene:Sspon.01G0051370-2D transcript:Sspon.01G0051370-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cell cycle checkpoint protein RAD17 [Source:Projected from Arabidopsis thaliana (AT5G66130) UniProtKB/Swiss-Prot;Acc:Q9MBA3] ATVKAIADDLGADLCEWTTPVPTLITLYSKLEEFETFVEKIRKYSVLCPTNTKSQRKLIIILIDDIPVTSGSVAFARLGKCLTDLIRSTQVPTVISLTHYHKSESNDTALWKSEDLESLLQDAGAHKIAFNPVTTNSIKKILVRICKEESCNASEELLHQMATSSGGDIRHAIMSLQYYCLDPRRHSSALATSSTRTGSKSHDSLVPGHESYGPSSALPSPCGRDETLSLFHALGKFLHNKRETNSDVDTDLDPFPLKENLRRNSLKMEVPEKILSQAHGKVRTVADFLYENVIDFIDSEAVDDAWAVVSYLSEADCLLTASPIASYNSENIAQLIAASVAARGVLFGNAHVMSSRWHTIRSPKLWQIEQSFRSNKDHILKERFDCSRMCGFSNFTDLVTEFRPFERWIGPRNDGHRSNCLPHGIGGSPLGVADGNNSEEDDDMIEDC >Sspon.04G0003550-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:11748166:11748609:1 gene:Sspon.04G0003550-1P transcript:Sspon.04G0003550-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LGYGSNVRSGLGTETESRRRHALPGRATTDDPRRPAAAFDFCTPPVPNPRRPPVPLWTAARHRCLLGCGSPPLRPPPPSPAAPPIDPDVMLQRVHPSRPDWYEEFYASAMDQGMKSYEAE >Sspon.01G0016660-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:72084773:72086140:-1 gene:Sspon.01G0016660-3C transcript:Sspon.01G0016660-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding IMVKPSAVSRPCFLLVTAAALWALTLYLRLLALMSVPVAFTGRVAPFDTNASGDPCRGRYVYVHDLPPRFNADIVRGCAAADDRWQGMCEDVRNAGLGRPLSGGALTGATGWYATHQFALDAIFHGRMRQYGCLTNDSSAAAAVFVPFYAGFEFARHIWGYDAAARDAASLDLVRWLVRRPEWRRAGGRDYFLVAGRTGWDFRRDDRNSTWGTSLFLLPAVKNMTFLVVETATMGWGNDLAVPYPTYFHPRTDSDVLRWQQRIRSSDRWWFMSFVGAARPSDPRSIRSQVMAQCGASPACRQLGCTFGSAQCHYPGDIMVLFQSSTFCLQPPGDSASRRSTFDAMVAGCIPVFFQPRSAYLQYKWHLPRDHATYSVFIPAEDVRSGNVSVEAELRKIPPAAIEKMREEVIKLVPRLVYADPRYKLETVKDAFDVAVDGVLQRMAETEESKTGSYWR >Sspon.03G0002210-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:5461181:5462038:1 gene:Sspon.03G0002210-1A transcript:Sspon.03G0002210-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQVDLESLVCGVSGAGAGDRKVSCETVIAGGSEDASPPRMPPPPPPPDPDFPPESITIPIGDDVAFSELNPIYERDDSTKGSTNPKFAAAVVANPIAAKTRSNSTRVAGAPPPPAAGTTFFGLPAKIRPTFSRRRPSQGRILHDKRAGGGGGGGGGGSRGDGEVEPRSPKVSCIGKVLSDRERHGRRRRGRRGWWHGVAAMFRCDGCARVPGGASRKMALEDDDGEQERQQPGIAGMRRFKSGRRSASWGDEALAAAAAGGDEDEGKERPDSQDAEQWSRRPVI >Sspon.03G0023520-4D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3D:283026:283233:1 gene:Sspon.03G0023520-4D transcript:Sspon.03G0023520-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding QVPSYAVAQVLGSTLASLTLRVVFGGATAHDHFFGTAPSGTDAQAVVLEFVISFYLMFVVSGVATDNRA >Sspon.03G0023700-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:95986974:95991096:-1 gene:Sspon.03G0023700-2B transcript:Sspon.03G0023700-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRNRTPLYRKYRDALRHVRAPAGAPSSSGGGGGGGGPVIEMASLLRSDRPYAPLSTDDPSASSRGAVTVGLPPAWVDVSEEISANMQRARTKMAELAKAHAKALMPSFGDGRDDQRAIEVLTHEVTDLLKRSEKRLQKLSMKDSSEDSNVRKNVQRSLATDLQNLSMEFRKKQSSYLKQLRQQKEGQDGVDLEMNINGTKSTFEDDEFDDVGFTEVQMSKLKKSEVFTREREREIEQVVESVNELAQIMKDLSVLVIDQGTIIDRIDYNIQNVAASVEEGYEQLQKAERTQKKGGMVMCATVLVILIFIMIVLLILKKIIF >Sspon.05G0009090-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:17931437:17934691:1 gene:Sspon.05G0009090-2C transcript:Sspon.05G0009090-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSPAYSPFRLPPPRYGARRRSAAAATITMRDRSKNRKPTQRGRYLSTEAIQAVQSLKRAALRGAPAASAAAAVEPKLRRLLKADMVAVFRELAAQGEALLALQVFEEIRKEHWYKPRLLWYVDLVTVLASKGLRIEVDKACSYLKREQLEPDTEGFNLLLKTLLDSGFTQLTMDCFRLMKLWDSDPDRITYTILIKGLESLGKMELSAGIRLEAENDYGSLDFLDEVEIPNSVFKPFGRNMKDGGMCHPEKANPSTKHEESTKTELLVSIRIKSEI >Sspon.08G0005680-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:17453207:17458565:-1 gene:Sspon.08G0005680-1A transcript:Sspon.08G0005680-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MCCILLSHNLVTLFFLLVKAAIARCGSSTFHILAPEPDECSNFPHAVLLYECGQNGTGDCKQMARTSGKHVNGPNASKRPFKSDFQYGSRNSKPPYFKEEVCDSLLKPKEMETSPKHHDPIVTSSPCESVEDGPRVLNPVVNKRTASPTKNFIVADPSYLRTLSQTHASWIFGAIAELIDNSRDAGASRLSISIEHLFSKKAQKKIPVLSVIDDGHGMTYPDMMRMISFGHKRPNEHREDQIGRFGIGFKTGAMKLGKDAIVLTQTKSSRSVAFLSQSFNEEKDNLEIPVVAYRKEGQYMEVDLSVQSDATAEYNLSAIKNFSSFNEYFIGEKLGLFGEDRTGTQIYIWNLDRWGTDCTLEWNSGKSSENPVHPGRGDILIRSRRVRSRPGQTSNKVLLDYSLQSYLEVMFLNPRMKISVQGSLVKSRPLAKTLNKTSVVSGEIMGRTILLTLGRSKVEWDRTNCGIFLYWHGRLIESYKRVGGQKHSTDMGRGVIGVADITNLIDDEDGNSWVLNNKQGFQDCEMYAKLEEWLGRKVDEYWDTKFDSLELRKGDERHKPDSDWVQCCSCRKWRMLNAGFNVDNLPEEWFCYMPPFNGKCEIPEQQMGRGVIVIGEKRSGHDEQNKAALQGGTPKKEMRPQDLEIQMITQDEEDVKGMPEVVNKRKKPSSGTPSKPKNNSD >Sspon.01G0012090-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:33089551:33091194:-1 gene:Sspon.01G0012090-1A transcript:Sspon.01G0012090-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHISSSSCKIACMKPMSCHEQEHPELAATTTNSGFDWLEDSISFLTADVDIADGGGYGWWSNPAAAQQDDIGSVVAQTLSPPAPLLTTSPPQAHTSPSIASPAVSSPSEPSSKKRKSPAHRASAHSGSSQRRRADQDRPGAGSGSGSKKGGSKGGGAGSDRDTRWAEQLLNPCAAAVEAGNLSRVQHLFYVLSELASFSGDANHRLAAHGLHALARRLPAAVGPAAAATVRVPPCEWPTPAFAGAEPRLFRASLIRFHEVSPWFALPNALANAAIAQAVSRGGSAEPRRTLHVVDLGVSHGVQWPTLLESLTRLPGGHAPPSVRLTVAGAAATPPAPFSASPPGYDFSPHLLRYAKSINLQLAISRAASLDSVHHGFASPPGEALVVCLQFRLGHASADERTEILRKVRGLNPELVVVSELDGGGDGTAAGEFTARLELLWRFLESTSAAFKGRDGEERRLLEAEAGTSVASAAAGAGREAWRERMAAAGFEESAFGDEAVESAKSLLRKYDGGWEMSASGAAGAVALRWKGQPVSFCSLWRPACSG >Sspon.01G0023140-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1A:83836064:83838202:1 gene:Sspon.01G0023140-1A transcript:Sspon.01G0023140-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPRPKRGERRIDAAIDHLAQYGFAKPQIRKIINNLLQLSGEHRSAVHLKFRQAYYRMFLAKFVLWERELYGKDGWVFLEEGSYRVVLDKLLEEQEQQDQKQEAAAAEEASPQNGMEFSRVHGEAPNESQSALELQASPNSSPPLECVLPLPPAKGPPRARPPCYGWISEDSETESEPEDREILSDAPKKDIPNPVETLAAKRKRPSRWDVHPNW >Sspon.02G0015990-1P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6A:24413715:24415952:-1 gene:Sspon.02G0015990-1P transcript:Sspon.02G0015990-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDKEQNKAQNRVQVRDDSLGTKQDGNQRNVVAKAALSVSNWKGKGKRSQCKAKQVAKAVVNGQMKNRLKGSLQRSVSDWKIKGKRSTREGKQVPRAVVDDITPVPSGSHHKQPGDHIDARSKVRKLLQLYQATYRKLKQVKEQGIPIVGRIYLEAAKAVKKDPIYTKLGAIVGNVPGVEVGDEFYYRIELAIVGLHRLYQGGIDTSKVNGVPVAISVVASGGYSDELSSSGELIYTGSGGKAGGNKDGSDQKLERGNLALKNCIETKTPVRVIHGFEGESRIEVGKQTSTFTYDGLYEVVECWQEGPKGEMVFKYKLQRIAGQPELTLHAAKAIRKSKIREGLCLPDISQGSERIPICVINTIDDMRLAPLKYITKVTYPTCCEKEPQNGCNCTDHCSDPIRCACAWKNGGEIPFNRDNAIVKAKRLVYECGPWCRCPPTCYNRVSQHGVKIPLEIFKTGKTGWGVRSLGSISSGSFICEYTGELLNGEEAENRQNDEYLFDIGRNYYDEELWEGIKPVVDVQSSTSSSGTMKCFTIDGAECSNVGRFINHSCSPNLYAQNVLWDHGDMRMPHIMLFAVENIPPLQELTYHYNYKVGSVHDENGNEKVKHCYCGASDCRGRLY >Sspon.03G0013780-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:51924114:51933836:-1 gene:Sspon.03G0013780-2B transcript:Sspon.03G0013780-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Condensin complex subunit 2 [Source:Projected from Arabidopsis thaliana (AT2G32590) UniProtKB/Swiss-Prot;Acc:Q564K3] MPPAEDAPPQTPPPARGTAAGSRVLLQSPPPAFPLGSNDDQQERARARAAARAASVRRRSLAASIAPSKDPRHDLLNREQVMDLFHNCIKLASENKINQKNTWELGLIDHLSEIIQAGADEDEETNFQKASCTLEAGVKIYSLRVDSVHSEAYKVLGGINRGGRGEEADLEEGSNVEPAQDEGINKKDADRRISPASTLESSFEALNVKKFDVYGSCRVLFDSFEAPDKCILSDMQTEKAEAIDLSFAKEQIVEMVTQMRLCNDISPTLRDIVAQFDEENQRPSHRLSPGQMPVMEDLMDDDNEADINDSMLPDSGTWDFGGCHDHEDAYNENCNPMDSISTNYQEEFNEYTVEIPQGTIVDERLDKIADLLLLGMGSSKANAWAGPEHWKYRKAKDLEAVPTSSGDSEITNKMKKRSKDKPDIDFTKALDNEHPNIFAAPKNPKSLVLPANKAMCSNKLPEDCHYRPESLVKLFLLPDVLVIVLLREEENHLTLQWIMMMTLSHLNLGKMTTFALITLMKDMCVVM >Sspon.08G0018060-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:4802472:4803932:1 gene:Sspon.08G0018060-1B transcript:Sspon.08G0018060-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGNLIVKKVVKHSSFDLDIQLDKSWMEDVTCPICLDYPHNAVLLRCTSYEKGCRPFVCDTDQTRSNCLERFKFASELPSNAKVSSLAVPPLDSIIHIVPSNANNRPSCPLCRGDVIGWIVIGEARLHLNQKKRCCEEDCCSFVGNFNELQKHTQQKHPDSRPSEIDPARQVDWENFQQSSDIVDVLSTIHAQVPNGIVLGDYVIEYGDDDTGEDYEVFHSVRTNWWSCIFCKAFSRSSRSRRRARARERRGSGRRNGNQANLENFNLEVPTQSVELREIRFDEIDDEYIVTGAIPSIAAPGRMASFHYRTLMKSNSSYASVDVGIQDMDVDA >Sspon.02G0019740-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:66284974:66291516:-1 gene:Sspon.02G0019740-3C transcript:Sspon.02G0019740-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPDARLDSVVFQLTPTRTRFDLVLIANGRKEKFASGLLKPFLAHLKAAQDQIAKGGYSITLEPNSGFDVPWFTRGTVERFVRFVSTPEVLERVTTLESEILQLEDAIAIQSNDSLGLKSPGSNSMPPMQNETTAHEENSKVQLLRVLETRKTVLRKEQAMAFARAVAAGFDIDNLGYLIAFAERFGAARLMKACSHFIELWKQKHETGQWIEVEPEAMSTRSEFPPFNASGIVFMGDNMKPNLESGSINGEANGEDGAKADQKSSQQMGSHGTYPPWAMHPSGAVVYPPYPMQGMPFYPGVNPYYPPYPPVDDPRYHYSGRKSSRKHSSDSKDSETLDVESDHSSSDRGSSHGRKSHRKGKRSGKKKPSVVVIKNVNVTSKKHGSSESESQSSSEVESADSDNSHTKSRERKHKSTSSKKKEGRRTTFDSGDEYSKDETSNGHDAEQGNWSAFQNFLLKAEEKTRSSDADLFAGEKEPPSRRKQNVNTADPILLADRDGGNVYERNKVGFDSANGRTRAIRVMSNDELIMSGEGRSCMDGEIKEIEAGGGRYRRGTGDDFMVYGQGSQIDRHSLLDPLAEAQYKNPVQQDKNRNGVADESFMIPLRSSSQDNIGAESRTTIDIDVELPSRIQKASDEKAGHQLFYEPDELVPERGFEDVSFGYDPAMDYDSHMQTTVKVEDAKTEDVVPVTEGDVQKVEKEKPRNAKDGSDKRRKDALLRRLSAPRTPLNDAQKPEFAREEEQIKRLERLKLERQKRIAARGNGKGPGNDAPKANGMNGLSKSVPNFTGLKKEKNGTTESLSDRLKRLSEPKSIAGAEHSLNPKSTGADHSRRSMA >Sspon.03G0032010-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:41698588:41699541:1 gene:Sspon.03G0032010-2C transcript:Sspon.03G0032010-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSRTVRIFWDDPDLTDSSGEEDSCGARRVSRMVRELPPMAAAAAPAAAPVPDQCNAGDGDRGRRVVGVAGPGGDPATPGGYESGAESSPAVSSPTSVLRKVPSLSSLAEDYSKDDSDAAAPCEPAVTECRSLGLAVLEEEELGEFVPFEDAPVYATSGFWDFEPDAGFLYAEPSSPEASWNAAAAAAEPAASSDGAPSWATSSPMQENNDYFQDLRDLFPLNPLPAIF >Sspon.06G0014630-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:85212835:85224810:-1 gene:Sspon.06G0014630-3C transcript:Sspon.06G0014630-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWRRAGSPTYGRRRSAGGIYSAPASPAHPLVGPAGATTTTAAASPVHPLAARSNSKARAAAAMAHAMSRAAGPRDDDDADNAGSNGMMSPLRDGGYGYGYGGRSPLHAAAAGGGGAKDKYFGFALPKLGRNGVDSAAANRAPSTGRSTFAPPVGVSVRPLQAVEISNGTPRDRRAVYPDPTFAQSTRSRDSHDSSTLTEELELLKDENVANLGNGLSMEVKLMKRREEMLVRKEQEIRKALISKNGKSEELTTLQQQLQSSREEASAAVKKLKEAESETQELRTMTRRMILSKEEMEEVVMKRCWLARYWGLAVQYGIYPDISMSKHEYWSSLAPLPFEYVTSAGQRAKNGSETESKVLEDVDKLVHDLTVTAGEGNVETMLAVDKGLQELAFLKVEDAVLFALAQHHRSNVAGAADPDIKSSGDEKFTEAFDLSKEEEEDVQFKQAWLIYFWRRAKTHNVEEDIAEERLQMWIDRHGQQPTSHDAVDVEQGIHELRKLGIEQLLWELSRHEVNLAKDEPSD >Sspon.01G0006300-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:16448824:16450349:1 gene:Sspon.01G0006300-1A transcript:Sspon.01G0006300-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVPAPTQITTLLRSQNIRHVRLYDADPAMLAALSNTGIRVIVSVPNEQLLAIGNSNATAANWVARNVAAHFPAVNITAIAVGSEVLSAQPNAAPLLMPAMRYLQNALVAAALDRYIKISTPHSSSIILDSFPPSQAFFNRSLDSVLVPMLKFLQSTGSPLMLNVYPYYDYMRSNGVIPLDYALFRPLPPNKEAVDANTLLHYTNVFDAVVDAAYFAMAYLNVTNVPVMVTETGWPHKGDPSTEPDATSDNADTYNSNLIRHVMNSTGTPKHPGVAVPTYIYELYDEDTRPGSTSEKYWGLFDMNGVPAYTLHLTGSGVLLANDTTNQTYCVARDGADPKMLQAALDWACGPGKVDCSVLMQGQPCYDPDTVEAHATYAFNAYYHGMGMGSGTCYFSGVAVVTTTDPSHGSCVYSGKNGTSLMNGTSLAPSTNSTDGGSGAHRAFGDVSSLVRVVSTALLFSVLLLLL >Sspon.06G0021940-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6B:21188042:21188982:1 gene:Sspon.06G0021940-1B transcript:Sspon.06G0021940-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVPVVSKTLGGLAAKRCCMNLVYTIGHRKNLPTSEVRGDLTRPSVRSAQRLSTLTRDADVTVRQPRPDARPMHPVTLSRQPPKSDQIRKWQRPVTPRRSRPDIVRPRLKMIGRRA >Sspon.01G0016660-3P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:7086708:7088270:1 gene:Sspon.01G0016660-3P transcript:Sspon.01G0016660-3P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLPAQSARKAGGNARSRRAVQSKGPCPCSLRTLAILAVVAWTFFLYLDFSVITAEVSNGGDPCRGRYIYVYDLPPRFNTDIIRDCRKAGGRWGDMCAFLSNAGLGRPLTDDGTDGGEAGWYDTHELALDAIFHNRMKQYECLTNRSAAASAVFVPFYAGFDFLRYQAGYDKATRDAASADLSFWLTVQPQWRRMAGRDHFLVAGRTGWDFRRSGGGGGDANPDRGNGLLLTPAGRNMSLLVLESTLEHGSDFSVPYPTYFHPRSDADVLRWQDRVRGQHRTWLMAFVGAPRPDVPRRTQVRDRAIAQCKASSACGMPGCARSAGTTQCHSPASIMRLFQKATFCLQPPGGDDDYSRTRRSVFDSMVAGCIPVFFHAASAYKQYAWHLPKDDHLKYSVFIPDAEVRRRNVSIEAVLRAIPPATVERMREEVIRLIPTLLYADPRSKVETPRTRSTSPLTGS >Sspon.03G0021880-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:66646112:66650498:1 gene:Sspon.03G0021880-1A transcript:Sspon.03G0021880-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQILLHGTLHATIFEAESLSNPHRASGGAPKFIRKLVEGIEDTVGVGKGSTKIYATIDLEKARVGRTRMISNEPINPRWYESFHIYCAHMAADVIFTVKIDNPIGASLIGRAYLPVTDLLDGEEIDKWLEICDENREPIGDSKIHVKLQYFDVFKDRNWARGVRSTKYPGVPYTFFSQRQGCKVTLYQDAHVPDNFVPRIPLADGKNYEPHRCWEDIFDAISKAQHLIYITGWSVYTEITLVRDTSRPKPGGDVTLGELLKRKASEGVRVLMLVWDDRTSVGLLKKDGLMATHDEETANYFHGTEVNCVLCPRNPDDSGSFVQDLQISTMFTHHQKIVVVDHELPNQGSQQRRIVSFIGGIDLCDGRYDTQYHSLFRTLDTVHHDDFHQPNFEGGSIKKGGPREPWHDIHSRLKGQLLGMFFTTLSRDGGSRVVRTSSYVSGIFLTLLFPLLL >Sspon.08G0024370-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:65405368:65409982:1 gene:Sspon.08G0024370-2D transcript:Sspon.08G0024370-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNGEADRQAAPEEDEIVLEMPVKPAPPPPPVKVSTAGASAWETAALPLSMVVVQAFMVVMLLLSKLALNTGMRPCVLIVYRNLVATAAVAPLAFFFERVVLAMGLYYYGLQITSAAYSVVFLNLIPIVTFVIAILVRAEKVALGKWPGRLKVLGALTCVGGTMIVSLLKGHLLHLWPAHLLKTSHASAPASGGHHHDMLTGTLFLCGSCLSYALWFIVQARLGKVFPTRYWATMLTCLLGSIQAFIISVFLSHDRADWRLKWDLQLLTVVYSGVLNTGITFVLISWAVSRRGPIYPSMFNSLSLIITTVMDSLLLGTKIYVGGVVGALLIIVGLYAFLWGKGKELQAAVAEKKKLEQEQEEEEQRRGESEMT >Sspon.02G0013490-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:33441470:33446897:1 gene:Sspon.02G0013490-2B transcript:Sspon.02G0013490-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear pore complex protein NUP58 [Source:Projected from Arabidopsis thaliana (AT4G37130) UniProtKB/Swiss-Prot;Acc:Q8RWH9] MAFSFASPTASNPFQTPPASNPFQTPAASNPFQTPAAPNPFQTPAPATSQAPSLSPSPFQFSFQQQQQPPQPQPQQQVAPAAQPQQQKLILYTTDMKPAGYNTKWEELHAESQKALLQIEDKIRDYRDESERLDQCSRLYDSSISNVNFELDASRIAQELGGTTTVMEREKASIQELMTVVNEMMWNTEFAIRSYLMLRPRFTRPGAGVANGGSSNPSSGAPPNQPVVDFYSGVPKRPSIFMQRTVNRFECYLAECCKWICELEQLVQMETNKRSSDSLESLPKVMSNVHDYFIYVASKVENLHQYVESMKTEYLNEQRRIGNGSNPFLEANRREAAKQEAAARRVHPTLHLPTPAQPMAQIAAPSTSQPQQSSFPSAAPSSSALSTFSTPASAPSSSSLFATPTTPAPSSNLFGASGSAQLTTPFGTASTPTLTSTPAPGFGTSTTSLGGTSLFSTPFGERKVEAPESTLDVNEPISVEGSFRS >Sspon.01G0015570-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:45943690:45949365:1 gene:Sspon.01G0015570-3C transcript:Sspon.01G0015570-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRHGGGGGRGRGGGRGRGRGRDEEEDLPLHKAARSGDVAAVESLCESNPLAVNSRDRLSRTPLHLAAWAGHVDVVRCLCKHKADVGAAAMDDTAAIHFASQKGHVEVARELLASGASVKAKNRKGFTALHFAAQNSHLDLVKYLVKRGVDVTAKTKGGQTALHVAEDDEVRAFLKECEQSLKKGAELPSEKDDSAEKDGDSKSSGQVMKDGEDAGQAEKRKSEGVGASSSPGAKKAKVSLGHLVSENDMDEEGE >Sspon.08G0015550-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:52417357:52425307:-1 gene:Sspon.08G0015550-4D transcript:Sspon.08G0015550-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAVAVVPTARKRPAPHQEARAAADANKRPRHSGPRSSDEFELFEVLGEGAEGVVRRGRDRRTGKKVALKWIGHPDRRTLAMEAGCLHACRGHPSIIGIQDVAADPKTGDVHLVLELVHGGVSLRDSMWRPLSEDVVREMMRQLVSAAKKIHGVGFIHRDMKPENILVCPFGELKVCDFGSATRQMLAGKAHEAHPVGTLQYNSPELLDGNCYYGPAVDMWGLGCIMAELLAGETLFQTETHEEMLDEMSELRDRMTSAAGKLDPECLADLSGDGRDVLTGLLAFCPEKRLTAAEALEHPWFKNFKSGSSAWCCASFDPQGWRYFLVMADGVSISQNSHPFSG >Sspon.04G0003410-3P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:46961063:46962149:1 gene:Sspon.04G0003410-3P transcript:Sspon.04G0003410-3P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRAMRLRCLLRTPLWREPAANPAAASSGGDSALARRLGAPPWPCGEKQRRLCRFYSSKEGVGSAEAAGSGGGGSGSREQEHARLGERDQQEWLSGERFITGCKRRESPFLTKRDRFRNEFLRRVVPWDKTGVSWNSIPYYVDQNARQLLSECVASHLRHKDVALEYGSGLQSSSGRILLQSLPGTELYRERFVRALANELCAPLLVLDSSVLAPYDCGEDCSESEGEDNHSEVEDKGSESEVDGEGDEESAESDDDDSIKSVADLKKLVPCTLEE >Sspon.06G0003120-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:7824913:7830488:1 gene:Sspon.06G0003120-2B transcript:Sspon.06G0003120-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPHILMRLLLLAAAAAGAAGDGCLNAGCVALGSYLISRNQNLTYIASLFGINDYKTLAAYNPGTTNLDYIQAGQSVNISFTCGCHTFPSSSATYLGGSFPHKVVTGDTYSSIAQNYNNLTSEAWLARTNPYPANNIPDTNTTVNVMVNCTCGDRSISPDYGFFLTYPLMGQTLDAVAANYSFNSPSQLELLRKYNPGVDTATSGLVFIPVRDRNGSYHPLNTPGRRKANKAALLPSSEDSTQLAATSMDKTTLSTSQADSASGVPGITVDKSVEFSYEELFNATEGFSMSNKIGQGGFGAVYYAELRGEKAAIKKMDMQASHEFLAELKIALDSARGLEYIHEHTVPVYIHRDIKSANILIDKNYRAKFEEALNTPDPKEGLQRLIDPALGEDYPIDSILKMTVLARACTQEDPKARPTMRSIVVALMTLSSTSEFWDMNAIQENQGLVNLMSAR >Sspon.06G0003870-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:9326801:9330902:-1 gene:Sspon.06G0003870-2D transcript:Sspon.06G0003870-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGEASVATVRALEKEAEELETEVNKLISGPSRRGALESEKEAFIADIFKFEAVVDAWKIKINEKEQALGNLDEELKAKVLDTQRSAAEVQDLLKQVDAQPVNVRGMDRMRREMQVIEDDIANAKKGKAALEDKVWEVEAKLVTKLEELQKLAEQCNQALKSMNVLQLKPTVDFQYMINSKGSSPAEMLGTGYKTVLKPGLVAHAEENKRSCLSNLENLNDLQKQLQGNVKVLEEERNNISSLQAKNDEMVACLNSLAREIINHDSRFTSEAGQMKDELEKKKNSLISLEKQADDFFKTSEKRLQDATRKVEQETEAAAKDLLELLDGMAEHKEFIETTIAQRRTDLYETADYIAGLLG >Sspon.05G0031900-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:20480754:20481815:1 gene:Sspon.05G0031900-2D transcript:Sspon.05G0031900-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DMAFTGDADGFALDFIREHLLGGGPVDSTVDPDDHTFPVLPPQPEFEPMPFLPPPLQQQGYIDMDPPNEYMANMGEAAAAFPEQEPAPPVIIAFGSEPSSPVRQPLTIAVPPRPYASKTKAATATATNKRKRPQLVEDPDDDDVEVVAVVKKEVKIVDEVPAYSSSSQGQVYSSASQSSSMSTRETTATASSTVTSSPTEETGSGTVGFPMTPSSGNWEQYWEALLGTLPLLSPQSPHPALGFPQLTVT >Sspon.03G0025150-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:76074032:76077799:1 gene:Sspon.03G0025150-1A transcript:Sspon.03G0025150-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGLTSTRNRSSRGEARRHHHRCGDHTARFFSSPLPQFRSLRSPPRDQDQDQEAKPRTANPQAVAMAQGAGRDPELFAELWRACAGPLVELPHTDERVFYFLQGHLEQLQEPTDPALLAEQVKMFQVPNKILCKVVNVELRAETETDEMYAQITLQPEPDQVDLPTLTDPPLPETSRPVVHSFCKILTPSDTSTHGGFSVLRRHANECLPPLDMSMPTPTQELITKDLHGSEWRFKHIYRGQPRRHLLTTGWSTFVTSKKLIAGDAFVYLRSETGEQRVGVRRLVQKQSTMPASVISSQSMHLGVLASASHAIKTNSIFLVYYRPRLSQSQYIVSLNKYLESSKIGFNVGMRFKMSFEGEDVPVKKFSGTVVDKGDLSPQWQGSEWKTLKVKWDEATNFSGPERVSSWEIEPLMHPHLPSTYPPRETAESLDIHALEPAQEFWLSGRPEQHEKTSISSNEPNCISVHQVTWTSECPGYSAMSSSICQNSAVIGNWFKDFNSSSKGASPSLPEISQKLFQVTSNDARVPPWPGLSAYQAEEPSSKLSCNTAPCSYQTEEVAPKFSIAVKEKKEPGMFRLFGVNLVNHTRSSATADKTTVGVGETSMRGAGSFEDSGQLSALSRVTKDHTHLVNESPREIQSHQSCSGRSRIKVQMHGNAVGRAVDLGNLDGYEQLMGELEEMFEIKDLGSKEEWKVTFTNDENEMEVGAVPWQEFCQVVRKIVIHPIGDGSHMEACPCPEQDGKRGF >Sspon.04G0024840-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:22292854:22296753:-1 gene:Sspon.04G0024840-1P transcript:Sspon.04G0024840-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFLEYTPFDSINLFLEQLNLGDCTIRGNLEAFSCKHTATDRRLSISLEHEGNTILFQAKLNILDYLGKSADSDPSSPVEHLSSRSSRKTLIYLVLTLGHIYPDYDFSAVRAHLFFQEEELESFKQMVDTYLSDASRQWAATNEGSSLLDSMTKAIDEVIKIRECDIYSYNPDSDADPVLEKGAIKLSGDDFLTGVVSDGEEEDALIDMDI >Sspon.03G0018310-3T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:77492961:77494998:1 gene:Sspon.03G0018310-3T transcript:Sspon.03G0018310-3T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAGKSRILVVGGTGYLGRHVVAASARLGHPTFALVRDTAPSDPAKVALLKSFQDAGVTLLKGDLDDHGSLVSAVKAADVVISTLGSPHIADQTRLVAAIKEAGNVKVPEHACPPGRFVPSEFGLDADRSDAVEPARSMFITNKAAIRRAVEAAGVPYTYVLVGYFFGYGLPSIGQVVVDQAPPVDKALVLGDGDTKLVFVDESDIATYTVLAADDPRAENKTLRIGPPANTLSHNQLLALWEEKTGKTFQRVHLPEDAVLKRIQEASVPVPLDIIYLSLGHATHIKGEHTKFEMDPSSEVDANEMYPDVKYTTVDDYLNSLVSAVKAADVVISTVGAPHIAEQTRLVAAIKEAGNVKVHEHACPARPGLIPPEFGLDADRSDAVEPARSMFITNKAAIRRAVEAAGVPYTYVLVGYFGYGLPNIGQVVVSGATATWAQGQAGDGPLPTKGIGGT >Sspon.07G0013030-1P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7B:52865700:52866644:1 gene:Sspon.07G0013030-1P transcript:Sspon.07G0013030-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVPVIDFSKLDGAERSETLAQIANGCEEWGFFQLVNHGIPLELLERVKKVCSDCYRLREAGFKESEPVRTLEALVDAERRGEEVAPVDDLDWEDIFYIHDGCQWPSDPPAFKETMREYRAELRKLAERVMEAMDENLGLDRGSIKAAFSGDGRHEPFFGTKVSHYPPCPRPDLITGLRAHTDAGGVILLFQDDRVGGLEVLKDGQWTDVQPLAGAIVVNTGDQIEVLSNGRYRSAWHRVLPMRDGNRRSIASFYNPANEATISPAAVATSGGEAYPKYVFGDYMDVYAKQKFQAKEPRFEAVKAAAPKSSPAA >Sspon.05G0011250-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:23617611:23622483:-1 gene:Sspon.05G0011250-3C transcript:Sspon.05G0011250-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to Serine/threonine-protein kinase SAPK7 [Source: Projected from Oryza sativa (Os04g0432000)] MEKYELLKDIGAGNFGVARLMRNKETKELVAMKYIPRGQKIDENVAREIINHRSLRHPNIIRFKEVVLTPTHLAIVMEYAAGGELFDRICNAGRFSEDEARYFFQQLICGVSYCHFMQICHRDLKLENTLLDGSPAPRLKICDFGYSKSSLLHSKPKSTVGTPAYIAPEVLSRREYDGKTADVWSCGVTLYVMLVGGYPFEDPDDPKNFRKTIGRIMSIQYKIPEYVHISQDCKELLSRIFVANSAKRITIREIRNHPWFLKNLPRELTEAAQAMYYKKDNSAPTYSVQSVEEIMKIVEKAQTPPPSSAPVAGFGWAAEEDEQEDSKKPDENNEEEEEGEDEYDKQVKQIHVSGEFHIS >Sspon.02G0041580-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:81314974:81322902:1 gene:Sspon.02G0041580-2C transcript:Sspon.02G0041580-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFDASSSSSPATATAPSGNPLSVILTRGFARQVLLGRWFTVFASLLIMAASGATYIFGSYSGTLKSSLGYDQRTLNTVSFFKDLGANLGVFSGLINEVTPPWVVLAMGAGMNLFGYLMVYLAVSGRTARPPLWLVCLYFFVGANSQSFANTGALVTCVKNFPESRGVVLGILKGFVGLSGAVYTQLYLALYGGDDAESLILLIAWLPAAVSVVFVHTIRYMPYPRRRGGYETSSDPFFCFLYLSIALACFLLVMIVVQKQVTFSRDAYGVAATPLLILLLMPLCVVVKYEYKIYRERQLDAALRAADPTPPTITVMSAAATDDTTTKKPEQQQQPPPPATSSTSSSSCLGSFGKCVRTMFRPPPRGEDYTILQALVSVDMLVLFVATICGVGGTLTAIDNMGQIGESLGYPSKSINTFVSLISIWNYAGRVTAGYASEAVLVRYRVPRPALLTGVLVLACAGHVLIALGVPQSLYAASVLIGFCFGAQWPLVFAIISEVFGLKYYSTLYNFGGMASPVGSYILNVRVAGRLYDAAAARQHNAPMVGAGGGKHEKLCLGVECYKRSFLIITAATVLGAVVSLVLVWRTWSFYRGDIYARFRDGDGGEGEGKDGRLPVDRRPEEEEREKAAAAAAAGRK >Sspon.02G0041380-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:78168804:78179286:-1 gene:Sspon.02G0041380-2C transcript:Sspon.02G0041380-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPRVSSSRGPMSSLLRKLDSILECQQLLRSPVREDFELLREDLAGIDAFLLEISDEDDPNLVKKYWMKEVREICYDAEDFIDSLIHSVEAKIGSVGVVPRFKISQLPSRTRTPRPRLKIRRLPRTVNLDPLPNIASQIKELRARLEDAAERHKRYVLDNNTAYSSSSSVHSHSHWIPEWYRESVSILVGIDDSKKKLINLLSNGEKKLNIISIYGLGGIGKTTLAKQILQELGWQFGCHGFVRASRKPDTRSLLRSILSQTGWCNHSEACNLQQLIDHLNEHLRRRRYLIVIDDLWDTTVWDFLLRAFPDGIRKVINLSYNGLPQCLKTCLQYVCMYPENCTIWKEDLVRRWVAEGFIEGDSEVIAQSHFEELVYRGMIHPTDIDYNEQVLSCTAHHMILDFVRNKSAEDNFVTAVDYSQNTRELSSKLPFEMGRLRSLRTLKCFDFCGNLDDEVKSLQELSCLEELQLVLTAAPSDSMDRNLKVLFSILGCLYELKSLVIVRSASVSKDSITIIDSSSTSCPKDCLERLELHRGTCILRSIPKWIGQLGILCILKLGVLELLKNDVDSFAGLQSLKFLSLYVRRPTAEPIIISSGAFPALEHFKFKSGVLCIAFRPGAMPKLHRLKLSFNAYSGVEFGGNMIVGIEHLLNLQEVSGRIGVAVGSKESDKRTAESKLKNAISKHSMIPRLNIQVVDWIEEDRVPAGDIMASEMQVNKLSGDHGFLGGKSEVNTNKVDEWTSSLFLDAVVTASDVLNSDDKRKKSDVDVSKSTGTRLSTTNIMSSYSAKSFYRKVLLSPTSSCRRRTSGGRRRRPRCHGRRSSPSFSLQLLPSPLYHLLGPSLCFSTSGHTSRRRSCCSGRGPGRRPPPSPRRTLFSGHCAPRRILLPSPNFPSSSARAASSHLPLQPLLLPAATSPPEAGTFPPGAAAALGAVAARALASTPGLGAVGDAAEGVTAAVALPPPLPEGGFQPPSAAAAPGDLPLPHAGATAAAVGLQPVTPGGLPLLHAPGPDSALAAALVAARAAAAEGQARVRAAALVWERERDAADALARQIAEAEQLLASPASRDVGATSSASSGRRVSHTAVLWHDPADPLVTQLHYQAGGVQNIRLLVPVVLEPESSSYARWRDLVLLTLRRYALDDHVLVDASVAAQTPAWLRLDSIVLSWILGTISLDLHDLVRTSPDARWAWLALEGQFLGNAEARALRLDASFRTFVQGDLSVGEFCRRMKGMADSLGDLGWPVEDRILVFNVLRGLSDRYAHLRTWITRQRPFPTFLEVRDDLVMEELTQGIQPGSTASPGSSSSSTALAATPPRPSAPPRSSAPPPPSLLGPPPSGPSGNPWSGRISMWPFHAPGGDPRPPAAMLTGAPPGAAPPDAFPATPWATPSGTLPGVAGWDQAALARSFSTMGLTPPVGPEWIADSGATYHTTPDPGILSSLHSPSSSLPSSIMVANGSCLPVTSVGTAGAHGSFRLPDVLVAPSMHRVPLHPSLPGGYFVFYAVRFVSGFRRFYFLYYLHRRLGHPGRDALMQLSRSSGLPCTRAHDEQLCHACQLGRHVRLPFHSSTSHAVCIFYLVHCDLWTSPVLSLSGYKYYLMVVDDFSHYSWTFPLRAKSDTFPTLLHFFTWVSTQFGLTIKAVQCDNGREFDNSTSRAFFLSHGVQLRMSCPYTSSQNGKAERMIRTTNDTVRTLLFQASLPARFWAEGLHTSTYLLNRLPSAACPAPTPHHALFGTPPQYDHLRVFGCACYPNTAATSSHKLDPRSTLCLPREHPFLSMYDDLHVDLASYFTSAGSPHFYELERCVESVSKGRVDHPLHHMVSESEPLPVKLASGNMVSENQPLPDTVVKPAFGNDGAEALPGTVAQPAFENKVPESEPLPGVLPASGNAIAEGIPGTVAQPALKNKVPESEPLPGTGVQAASGNAVAEAIPGTVAQPAFETKVPVSEPLPGTGVQPDASGNEEDGALSALPPEIPSSLLKFPASAR >Sspon.02G0007040-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:21154777:21157265:1 gene:Sspon.02G0007040-1A transcript:Sspon.02G0007040-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DTAKSSPNSTQSPEVTSKELENDNNVKVKVLSERLSSVVLDIRAKDDLVKQHSKVAEEAVLGWEKAEKEIASLKAQLNAATAKNSALEDRLVHLDGALKECVRQLRRAKDEQDQTVQNVLAQQARQWESHKADLELRIVELTARLEAKSERLAVAATDGDTGSRLAALEKENSALKVQLLAKTEELELRTIEKELNRQAAETASKQQLEGIKKVAKLQAECRRLQAAARRPSVNVELRRSPSSACAESVTDCQSDCSDSWASALITELDRFRNDKSGASRTASLAAADIGVMDDFLEMEKLASANGSSKGDAVEDASGQLAKLEDKVRKLAAEKAEREKALHEAQRELRTCRHRVMVAEERSAELQRQLNLANGEKHAMEAEVEASEAKRGELEGKLELARAEIAGLLGKGRILEERLESEKALTLELAAKYQDMEALEAEKRESSAQLETSRSEAKKLSDKITLMERKLEVEKALSIRLATKCHGIDALEAKKKGVELELESAREEIASLQKKASSLELQVEEEKASSAALALRCQELEELRSQLESSNSQIVELNEKVKMLEDVIDKQRPVTVELESQLQSRHAEISSLKEDINLLQKKLESQKNLSSAYISALGASETEKKELASRFELREKEAEELCGKMSLLEEQIHKERAQSSEFAVKCQKMEEQISCRSLLGHQPVKSVAIKDLQLRKETELAKAAGKLADCQKTIASLSSQLKSLADFDEFLPENETSGADSADAWDSDLKLLHPATA >Sspon.03G0020870-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:51046473:51049514:-1 gene:Sspon.03G0020870-1P transcript:Sspon.03G0020870-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATSSTTLSMKLLVDTKAARVLYAEASKDVVDFLFSLLTLPVGTVVKILGNDAMVGSIGNLYGSVEKLDETYVRSADAKGALLAPAGGYEGGKLLQLPEAAQFAEYYRCNQYYTGRTYYTECAEAAATAQSSGHAAAGKVGSCPVHGDGLDDLKVAPMSTISGITLLSTFGITDIGMLQEKTVKLGYEEGLKIQKAPLQSKTLAAHAWHMDNAAATPAFAPSAATPTIKLLIAKEAQVVVLAEAGKDVVDFLLGLLAMPIGAVVKLLGHKEKEGALAGLASLYASVQQMDAGYMQNLETRDALLNPAPAHPALIAAAGGFPSLVQPAAGSAPYSRVRLGQCGGARDCDSAHGLHGGGTRVGSLLGLRSPCSGWRGLSLPLFF >Sspon.01G0008070-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:21897875:21901938:-1 gene:Sspon.01G0008070-1P transcript:Sspon.01G0008070-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPEKGGAKVIGGGGGGGGIFNLFDWKRKSRKKLFSNSPEGSKLVKRSDETLLSGRLHLGDEDEGIGVSSFKGSSDYSCASSVTDEEGREMKAPGVVARLMGLDAMPTSGVPEPYCTPFRDTRSFRDSHSLKRSPEYSLNDQFGHVPRRVDGYIRKPLDLRVPKMPSSPIERFQMEALPPRSAKHLPMSHHRLLSPIKNPGFSSARNAAQIMEEAAKILQPRAQASSREKICSFSPARIPLRVSEPRESIPPSQRAVPLKPQSSRTAPELPDVRFSRAQQMNRSWNSEEDIVIFRSSIDSYEINNPSCSNSKNNKGKSISLAVQAKNNVQKREGVTGSGRNSGLQKEHDEQRANQPCRSQSNLQRNKQQKKPSSSGTSSPVLRQNNQKQNSLVTRGKVAPNKTVSTQQGRKLMAGDSSSGKIKSGSKMSKVGGRKDIVESISGDREGSSSNNKDFPQKKRLIERNSTNEKGTFVPEKPVGKLKKQVQPNVVMDEHIKWNKESKDTTDVVSFTFTSPLVKPLTGPSRPAGKWDTRSNLDMDGGCDKDDSDNKAEGLSSVGLNFVNGDALSLLLEKKLKELTLKIDPSITFTRGDTFVPATFTLEEPPTSSCSNWVSESGVFDCSPAEVKPSQYDYCPSAQSSTKGQIFRGSKIKVEEQEECSSISNARKEQENDDLSPLSVLEPTSLSESCWSSECSGGSSGGKGYSSLFDVKNAQGNFLINPASVDIEAKTTDSASSASVDTSDISDVTQCSKRSRHTELEYIADVLSNVNLTTDELGSLFVNQDISALDPLLFEKMENMHVYTQGKEPFCRRGYRRLLFDCVNECLETRRMTYFQAGYAAWSKGAATLSRGIEAEVCNEITSWKSMGDWMEDELVDKDMSSGLGTWVDFRVEEFEAGEEVESHILSSLLDEVIGDMVVRRRQEELYGCFYS >Sspon.01G0001730-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:3755529:3759877:1 gene:Sspon.01G0001730-1P transcript:Sspon.01G0001730-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-dependent protein kinase, isoform 11 (EC 2.7.1.-) (CDPK 11) [Source: Projected from Oryza sativa (Os03g0128700)] MGNQCPNGTLGSDYYNRPASRFADGCLEDDRYSDLKKFDKPWPEVNSFKPTTAGILKRGLDPTSITVLERKTADLREHYIIGRRLGQGQFGTTYLCTEISTGCEYACKTIPKRKLITKEDVEDVRREIQIMHHLSGHKNVVAIKDVYEDGQAVHIVMELCAGGELFDRIQEKGHYSEQKAAELIRIIISIVAMCHSLGVMHRDLKPENFLLLDKEDDLSIKAIDFGLSVFFKPGQVFTELVGSPYYIAPEVLHKRYGPEADVWSAGVILYVLLSGVPPFWAETQQGIFDAVLKGHIDFDSDPWPKISESAKNLIRKMLCPCPSERLKAYEVLRHPWICENGVATDQALDPSVLSRLKQFSAMNKLKKLALRVIAERLSEEEIAGLRQMFKAVDVQNRGVITFGELRQGLRRYGTELEDREISDIMEAADRDNNVTINYEEFIAATVPLNKIEREEHLMAAFTYFDKDGSGYITVDKLQRACGEHNMEDTFLDEIILEVDQNNDGQIDYAEFVAMMQGNKVELGWQPMETTLNVTLRDAPQVHCH >Sspon.07G0014820-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:59239979:59243194:-1 gene:Sspon.07G0014820-2C transcript:Sspon.07G0014820-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIHTRDTLRRATRRHQEHTRLPQGRILRRQGHTHLPQGHTHHNMGTPQPGGYPQHGYPQPGGYPPQGGYPPAGYPGSSHQGYGSSHGGSHMGMGTVLAGGAAAAAAAYGAHKLSHGHSGHGGHGVFGGYGHGGYGHGYGGHGKFKHGHGHHGKFKHGKHGHGMFKKWK >Sspon.03G0034260-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:67400817:67402252:-1 gene:Sspon.03G0034260-2C transcript:Sspon.03G0034260-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGDSTLEDLGNGGVIAAAVIAVLLVALSTYGRRLCRHPAVRFFAWGASMVFLPLSSAILSALLSRVMESKRENPNAPTGRHNPDVQNMWTLLLWLVLILTVKCNANIAATAVTAAASSPAEGDASVDGYRIRPPVENLAAYAWLAYLIWFCVPVADWLGHLNKAIFFLFSALGLAKLALKLAAFYSASGSFALGKNARFIAGYMEQLVADGDDGGLEQVPRYIVMGEKKKHVEESPRGYRVKRDALQDKFSGLVTLDRVWRLAEHGDGVLAERVELRDLCLSYSLFKILRRRLSGYPLADAGSVEALSFVLRGMDSAGTGGAINADRVFRVLVDELWFASDFYYSPIPLCIFGGWCAALNYICSVLIIVGAAGDALAGQARTELGAGASPLPQADRASL >Sspon.06G0017570-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:72928227:72931492:1 gene:Sspon.06G0017570-1P transcript:Sspon.06G0017570-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAPITALRIADNKEKEVVGNGVAELQAIWSRIPSAGRMTLREVARDRVDLVAEKMKGMSDELLDETKTELRSILEGAGGPHQIQEFMYLQKLVQDRVDLTLPILLMAHHVQLEILVAIKTGIQAFLHPSVDIPQTRLAEIFLYKRCRNIACQSAVPAEECKCNICSNRNGFCNLCMCVICNKFDFEVNTCRWVGCDICSHWTHTDCAIRDGQIGTGQTIKNGIGHAEMLFRCQACQRTSELFGWVRDVFQQCAPGWDRDALLRELDYVCKIFRLSEDSKGRKLFRKCAELVERLRSGSAESMSPRILLQALQELDIDASKSFENEEPGRLITPQEACNRIAEVVQEAVRKMEIVAEEKLRMYKRARLAVEACERELEEKAREAQELKVERLRKLQQAEELESIIRLKQAESEMFQLKASEAQEEAERLRSVALAKKKSEEAGQDYASMYLKRRLEEAEAEKQFIFEKIKLQENKCVPPVPHAASSSGVGVGVGVGMVGGSSGGDPSQVMMLSKIQDLLKNVRSMPSAKPDGPRSK >Sspon.07G0012800-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7A:46383825:46385248:-1 gene:Sspon.07G0012800-1A transcript:Sspon.07G0012800-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALQAGGEATGKRARDPTALTPAAEHSESAGIHKEKKGFSVPAAAPAPVPKSAAAPLVDVTVEDAATMDCGVCYHPLKRPIFQCVIGHTICSLCHDTLKFKRKCHVCNTSGIYRRCHGMEDLVESIRVPCPHAAHGCAMRLSYHDQESHGLVCPHTPCHCPGEACGFVGSMEALLDHFSGMHSWPCKTARQGVSSFSVCLHDGFNFVFSSASDEHGRKYLFLLNVVRHSFCRAVSVICIRPHSAATKEIRFVLSYPARSNANQLVKHEQKAEYFEVPCSDLSDGLPDPSGSYQFIVPNHAGGGDDEHDTKVMVDIITDNTSDQ >Sspon.05G0019640-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:89743395:89754812:-1 gene:Sspon.05G0019640-2C transcript:Sspon.05G0019640-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNHAPIDLVTLININQSMSWPAASQTETPSRLDLLKNAMKFIIRQLGDDDRLAIVAFNDKVIKENTTGILEISGSGRMAIEKKVDGLVATGDTAFKPSLEHAVKLLDDRADKKRAGFIVLISDGLDGQIKWGDESIAPTDPIRGLLRKYPVHTFGLGKAHDPKALHYIANISYGIYSSITDNLDNKFIEALAVCLAGLKTVAAVDACVDIWSNSLMITRIDPGGYILRGSSGGILVGTLYAGEVKDFIVHFSYRTGSWSGGYYTTLNGINARATYKEAPGRQSITTDTCSVSLPIHVANNSYPPANPCPPYPMVLQQMVRFKVLDLLMSVLKEFLVLKEEAASAVHGKEGGDDPVLQAIAASLLQRKWKEFKQSDESWKEAPRNFLDLGGIDQDINAMVGILKQGLGVGCIHSWVSSYQMQRATTTGLPGAHMVATGQFRMPAMDAMVQEAHRQLAKEASAQDAGTSIVCKRAVELLDGVNKRFDLWCKLDHDLPRTNQPSLHQEEGHESRDLTAVLRGDINRARQHDIYLAADHAIKQWRSFLTSVEKTHGHGPDK >Sspon.03G0010550-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:28752569:28759280:-1 gene:Sspon.03G0010550-1A transcript:Sspon.03G0010550-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIAVLRIVSVFILTATKMGRTVVAPPNKRKGPPVAEGAPLLGVLPAILTRSLQDVISDQYSKLGSVFTLSSLGAKVTFLVGPEVSAHFFHAAESEISIGDVYKVTVPIFGKGVGYDVDHDTRNEQHRFFADTLRPAKLRSHARLMVGEVEEYFAKWGQSGTVDLKQEVENVLLLIASRCLLGKEVRDHMHDEVSSLLRELIGGLHLVSLFFPYLPTPAHRRRDRARARLEEIFSGIARLRRSSISSGRGAGDDDRDRDHDMLQGLLDSRYRDGRGTTEAEVTGLLVAQLFAGHHTSAMVTTWTAARLLRHAERLLLPLLQGNDQNDDAAAVIDYDVLLRMDVLHRSVKEALRLHPVTPMILRRARRAFTVRTQDGAEYEVPEGRMLASPLVVNNLLQGIYRDPDAFDPDRFAAGREEDRVSGDLAYTSFGAGKHACMGEGYAYLQIKVILSHLLRNFELQLVSPFPEAENMISMRPKGEVMVSYRRRPLLQ >Sspon.02G0035280-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:18325430:18327401:1 gene:Sspon.02G0035280-1B transcript:Sspon.02G0035280-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALARLFVILVDIAFVLLSFSPADAGVVGVSYGQLGNDLPDTASVVKLLQKSGITSVRLYDANSTVLKALANTGITVMVMLPNDNLAAAAADPSSARQWVQRNVAAYYPATRIHGLAVGNEVFEEAKNLTGQLVPAMANVHDALVKLGLDDAVKVSTPIAFTALQESWPPSKGRFRDDIARSVMKPMVDFLEQTGSYLTVNAYPFFAYAAEPDKISLDYALGNSNATGVRDPGTGLVYHSLLDAQLDATYFAMEKLGTSRSSAQEQNSMAQTDPSAYVSETGWPSAGKLQPGVRRVERAGVANVQAASVANAQAYNNYLINRVLSGDTGTPYRPDADMDVYIFSLFNENQKGDGADDIEQHFGLFYPNQTKVYEFDFRGGALASWCVANASVGDARLQAALDYACGHGADCGAIQPGAPCFEPDTRLAHASYAFNSFYQRNGRAKGACDFDGAAYVVYHEPAGENAAVGDARLLEALNYACGNGADCSAIQPDTVVAHASYAFNSYYQRHGRGSGTCDFTGAASVVYHAPKIGNCVLPSNAWIQETTAKSEGYY >Sspon.05G0034810-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:64331431:64335021:1 gene:Sspon.05G0034810-1C transcript:Sspon.05G0034810-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VVVDHFVYRPAGPCRPPSLALLPIPQFPTKSESVPASSFNPLVRRPRLDSRGIGLLRRGKNDLLVVHIDLCYDSDARWLMALFCVMRPGMRRWELMEPVPASPKAHYVGLPPEVRRSIYGSDHNPVRFRRMGVAGDSSVRFVSFHPHCCSIELDDDDPNCCGFGYSDRKECWHPRYVFTIKTWTMNLSMDEPLEWVEDGEIDGEEIWALPGYEGLPQAIPEWPVVCLDEPNVICFLVSNYHFTRDVAKKWMVQLNISTKTLLSVVQLTYDDYRSECAAPPSSSYLYYDFPESARVDEGDHYRIRVVAAHGEFVLLEMHHCGSSSFVDHFVYRPAGPRRPPSLSLLPVPDFLTNLDSGSIGLLRRGENELLAVQVKLLDDYHARRQTVEFSVLRPGMGRWELTEPVPILHGEDEGHWRIMLGTLKDVICVGDRFLCWVNYRYDFLLCDMADDAGPKVRYVPLPPEVRRCSYGNDNPMRVGRMGAAGDDSVRFVSIHPHSCIRDHNDLCSRDDSDCWCIVSDRTTLQHPCFVYTIKTWTMNLSMDEPLEWMQDGEMDSEEIFALPGYQGLPQAIPEWPVVCLDKPNVVCFLVSNYYFTKDDEKLWMVQLNINTKTLLSVIQFTDTEDCWYWKKYHHLPAEIQH >Sspon.01G0045190-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:85744344:85748297:1 gene:Sspon.01G0045190-3D transcript:Sspon.01G0045190-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPGLEGSQPVDLSKHPSGIVPTLQNIVSTVNLDCKLELKAIALQARNAEYNPKYARIIQKLGFPAKFKDFKIQNIVGSCDVKFPIRLEGLAYSHGAFSSYEPELFPGLIYRMKQPKIVLLIFVSGKIVLTGAKVREETYTAFENIYPVLTEFRKVQQ >Sspon.01G0019140-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1C:72601932:72602171:-1 gene:Sspon.01G0019140-2C transcript:Sspon.01G0019140-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASLLGLYSGGSGRYGRVRARSGGCRSAAAVKQLLSRLMRSWRRRVARPRRTTVRFGYDLHSYYQNFDDGIASYSGHHR >Sspon.03G0023660-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:72459867:72471226:-1 gene:Sspon.03G0023660-1A transcript:Sspon.03G0023660-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDARRWLPHGSGLQLLFFFLVVLLQAQASRGVASINGEGLALLELKVRVEADPHGVFQDWDPMDSSPCSWNLTGRELAGTLAPEIGSLQGLKFLLLPKNNFRGRIPREFGGLSALEVLDLSSNKLDGTIPEELGAMPLLKQLSLHDNQFQEGVQAIADDQAGCLSRKLGCWSLLYKSDFKDWISLSGLREKYNTNVPNFSEAHVMKNLQSFASAMRRRLLSETDNLPALLGNDAKSSDPENPKEIQRPVDVIPLGSGSFPAFPGIYGNALTPLLPEDIDATAVQQLSTEVAQSTDVDTSGTKYSKWAYIITIPALILLIGLIVLIILVLRKRGRASVAPWKTGLSGPIQKALVTGAQKLNRLELEAACEDFSNIINTFPTCTVFKGILSSGVEIGVVSTVISSSKDWSRSAETCFKKKIDTLSRVNHKNFINLLGYCLENEPFTRMMVFEFAPHGSLSQHLHVKEFEHLDWAARMRVIMGIAYCLQYMHHELSPPVAIHDVRSDTTFISDDYAAKELARIFCSINLWHPQIADVGVWNELAAKAKAGKEDGSSRSEAPPDLPSNVYCLGALMIEIISGRVPDPDDHKPICSWFQEGVQAIADDHLSRKLGCWSLLYKSDFKDWISLSGLREKYNTNVPSTRHEEFAVICKCMRRRLLSETDNLPALLGNDAKSSDPENPKEIQRPVDVIPLGSGSFPAFPGIYGNALTPLLPEDIDATAVQQLSTEVAQSTDVDTSGTKYSKWAYIITIPAVILLIARACISSPLENRAKWPYSEGPRNSNIINTFPTCTVFKGILSSGVEIGVVSTVISSSKDWSRSAETCFKKKIDTLSRVNHKNFINLLGYCLENEPFTRMMVFEFAPHGSLSQHLHVKEFEHLDWAARMRVIMGIAYCLQYMHHELSPPVAIHDVRSDTTFISDDYAAKIADVGVWNELAAKAKAGKEDGSSRSEAPPDLPSNVYCLGALMIEIISGRVPDPDDHKPICSWASEYLTDKNYNKLVDASLKEHKDNELEAVCEVIQECIDADPTRRPSMRDVVGKLQPPLGISPEAAAPRLSPLWWAELELLSVKST >Sspon.01G0019360-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:73463232:73468870:1 gene:Sspon.01G0019360-2C transcript:Sspon.01G0019360-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFDRGLASGAEVCNCGEPPLRRWNRCGVAACASFLPVPHPSAAEIHSQGREKSKRRLIMEHVIGGKYKLGRKIGSGSFGELYLGVNIQNGEEVGIKLEPVKTKHPQLHYESKVYMLLQGGNGIPHLKWYGVEGEYNVMVIDLLGPSLEDLFNCCNRKFSMKTVLMLADQLINRVEYMHSKGFIHRDIKPDNFLMGLGRKANQVYIIDYGLAKKYKDLQTHKHIPYRENKNLTGTARYAKQSRRDDLESVGYLLLYFLRGSLPWQGLKAGTKKQKYDKISEKKMLTSAEVLCKSYPSELISYFHYCRSLRFEDRPDYSFLKKLFRDVFIREGYQFDYVFDWTALKYPQMGSNNKLVQQPSARMAGVGPSVERTDKASVGQEIRDRFTGAVEAFARRNPGSGRHGDHSRHKSLADSFGTSNEAVSCGLRENAHLVPGRELVENARGFVQPANLFGGLQ >Sspon.02G0050390-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:81329515:81334430:1 gene:Sspon.02G0050390-2D transcript:Sspon.02G0050390-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVIGSALQNQPIVHTPQEPTNISMNDSLIYPYQATEPALLPSLYKYTTATSGEPNISSPFHSKLSASSSSNNHNTFLSELDFLTTPVSDYLSISEPMRIKSMDPPPLTSLLEGH >Sspon.06G0017470-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:95108448:95109916:1 gene:Sspon.06G0017470-1A transcript:Sspon.06G0017470-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHSNVWNSHPKNYGPGSRVCRVCANPHGLIRKYGLMCCRQCFRSNAKDIGFIKYR >Sspon.07G0010390-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7C:29388550:29389794:-1 gene:Sspon.07G0010390-2C transcript:Sspon.07G0010390-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPEPDDSSVASSTAAAESYTKVLQGRYELGRVLGRGASPKVYRARDVRTGVHVAVKAVRKPHHPCSPEDAAAARRSVERELAALRRIQGHPHVVRLLDVLASRSTVYLVLDLARGGSLVSALAHAHARGVFHRDVKPENLLLDERGGLKLTDFGLCAFADRQLGFDGLTATACGSPAYVAPEILLKKRYDPCKADVWSCGVVLFSLTAGYLPFNDGNLMGMYRKICSGKFRCPRWFSSELRSLIGRLLDPKPDRRIKVGEILSHPWLQQDGMSIPVPVASSSHPTPEVVKWEAESELAREMNAFDILTFASGCDLSGLIGTLPDRARFVVSSSSINARSILDKVEELGREEGFRMRRKEEAGFGGILLEAMDGKFVAQASVHLLHEEMLLVE >Sspon.08G0014220-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:56213738:56214915:-1 gene:Sspon.08G0014220-3D transcript:Sspon.08G0014220-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAADGVLLGLLHCAAYRRCLAVSPDPAPPGHTAFQHPYVVPLQDDVAWEAGRPGNGELGISSSSSDPTAERQVHHLWNNGVFVDDVINQSTMVHWKVEAIQTLLPMIKYVQAETLECLDQLSRRMIMFGGWLVFQLSLALANNLHEDDYLAITLCMPSCLPGAADPSGYRPASQRTSHVLAQPGCQ >Sspon.02G0019930-2P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2D:60294844:60296437:1 gene:Sspon.02G0019930-2P transcript:Sspon.02G0019930-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding TSSHSRTPITSTCRGTSSTPAAATMSSGKRSFFAPKKKAANPFDSDSDDDKPQQRQPARASSVPPPDEQQRGGSSLFGGAGGDRAGLFASSTNHHYRNDFRDAGGLEGQSVQELEGYAAYKAEETTRRTQGCVRIAEEMRDTASKTLVTVHQQGQQIHRTHMMAVDIDQDLSRSEKLLGDLGGLFSKKWKPKKNGTIRGPMLTRDDSFIRKGSHLEQRQKLGLADHPPRSNARQFRSEPSSALEKVEMEKAKQDDALSDLSDILTELKGMAVDMGSEIE >Sspon.02G0031220-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:91565100:91570577:-1 gene:Sspon.02G0031220-4D transcript:Sspon.02G0031220-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAADPLEYELQREISDILIQNYVCTLRHEFEMKLWEHQNCISTLNRKWSEKVSEITVLRDELHNVLSVIVASEPGTHHPHQSHGVLEDQMIIVKTKDDSEPPVMEKSSELSEVMLQIPDFSLLKHMHSEEITNFLKTEWLKLRRQHESELHEKTEELFRVKREHAKEKASLPLKKERELEFIKSKLLQTISRLGEITSRKDNSCFDGNENEEMCRLKDRIRMLLHDNNRLRGLLADKKQEVKHLTLQVSDSKSKITQYPLSEAKLLDNSEKLRAELEDLKVERHLNNLVDSSVFKEVFDNYENQIYDMDQEGSFLKELLDEKEDQLSIIYEDRQKLKYENSQLVSIAGSIMQHHDQVNLVNDELMMFKEKVCEQELLILESKGEYNSMKRCLYEAMQEIQVCKQEVLGLTENLTSMSIALKEAKEQNASLDATIREMKKTPAQSIGSHWGQTGEFDLASMEKLSKTYADFESRLVETMKRNETRLTSLVCQFSPLAQQVAVLRKKEFWYKQILEIKCSNLRKAEAEVDILGDEVDTLLTVLGKIYIALDHYSPVLKHYPGVTEILMLVQKEHIDIGAEDHSNYTRVEKQKQSTVRQLQCPNCAKTDWMKDSAPVGWRAVKLGGVNPQAMVQTEDI >Sspon.07G0035350-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:6394204:6395090:1 gene:Sspon.07G0035350-1D transcript:Sspon.07G0035350-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GRGDRCRGRDSLAGANHHRSGKLDAWLHRVGLAGAIGELKSEVERMETVVNGRAVGNKPFARSLDRVKELMYDADDVVDELDYCRLQHQVQGDGDRDREEQADASANNTGTLNNSGRKNRSKVRDYFQIIPSVNREPPKAKCNHCGRELTWGHGHGTSALHKHLKSCNKKRSAIEETPN >Sspon.08G0023320-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8B:55100204:55101388:-1 gene:Sspon.08G0023320-1B transcript:Sspon.08G0023320-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSSSLLGGLTCHGRPVSGPTVPCTSSNQFPTSTIYKEPLLTNAKVWRYRLPSEDEDIYEAR >Sspon.03G0007240-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3A:19789624:19790439:1 gene:Sspon.03G0007240-1A transcript:Sspon.03G0007240-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSDQNPAATPASGPAEPAPPGRPTAVSSQVLDMGAQLVQALEPVRQMKQHACSFALYAHDLHRQIEVHHFVSRLNQDVLQCAVYDSDKPSARLIGTHRRRLFSAARRTVTKIIVVMLAGVEYMVSDTIFEGLPPDEQRLWHSHAYEVKAGLWTDVGVPESLQSSEMASLAKTYGKFWCTWQVDRGDALPLGAPELMVSPQAAEPGRARGELVRDRDERYGVDSSAGGLKAARVEMDEPEWINPNADYWRLHRKGFAVDVVPAEMKRHAPF >Sspon.01G0011010-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:35066478:35070223:-1 gene:Sspon.01G0011010-4D transcript:Sspon.01G0011010-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSYPVLNNRPIDQWRVTDLKDELRKRKLPVKGLKEELVRRLFDSIQSEREAEEADEDVGVNVDDQLPDANASEENTVTITEVHQETVVHVTQQVEVPTTEVGQESAISPTRGAPSVDLEEASTAKGEVPESFAGGNLTFEEVQVHTKSTNEPVHEKTTDVDTNEAVIVNDAIDVNSDLTPAEVKFRAMESSKIEEQDFPPAPVDALTSDAVGLMDTDAVTAATSDAGPMGTDAVTAEPVSDDGEKLVPKDDLGNKVSMYDEEHNNSDTMNEDCKPIISKPNNQVPEVSPDLGSQIKCESISSDNLSTNKKNNIEDNLNANNFDLELEVQPKMVEPSSGITSLGGDLQPLDDDKELVKNQTSLEDLDSTANVDSYKKDSPEAGSPEKLNLDRSSGDESMEEDVAEIKQVESNMKSDDLGGNNELNSGDVKEVILPDSVVEPSKEVIAEEKSAASAEKRKLEAEGVTNTEPIKRQRRWTADVAKVPERRALSQTGPETPKDIFQPAFKRSFGRSDSTASVDSPEERIVPPSEKPATTSLRIDRFVRPFTLKAVQELLGKTGSVQDFWMDHIKTHCYVTFSSVDEAVATRDAVYNLQWPPNNGNKLVAEFVDPQEVKLKVNPPPPPAAPVSPAAAARAPPVQQTQGNQSVPHQAATPKEQLPPPPPLAKPPIADPAALARERLPPTPKKPEPPVVTLDDLFKKTQSSPRIYYLPLSEEEVAAKLTAQGKGKKE >Sspon.05G0007150-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:22366817:22382924:1 gene:Sspon.05G0007150-1A transcript:Sspon.05G0007150-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLSHANVVNIYKKKYQGKQGGRIGITVHQDDILAVERALSFGALWFLDPIIRGDYPSAMRKILGPNLPEFTLKQKKILQASKLDFIGLNHYSTSYLKDCISLSSPCELDPFDGDAKISTSAERDGILIGERTGSPYINTVPYGIEKVVMYFKTRYNNTPIYVTENGYSQASNSGMSAKDFTNDTGRVNYLQGYLTSLASAIRKGANVRGYFVWSLLDNFEWNSGYTQRFGLYYVDYNTQKRTPKLSSRWKGADVRGYFVWSLLDNFEWNSGYTQRFGLYHVDYKTKKRTPKLSAKWYPIDRTQFPPNFLFGTSTSAYQIEGGYLEGNKGLSNWDIFTHRQGKVEDGTNGDTADDHYHRYMEDIELMHSLGVNSYRFSIAWARILPKGRFGHVNPHGVAFYNALIDALLQRGIEPFVTISHFDIPYELDKRYGGWLSPEIRRDFGYLADVCFRMFGDRVKFWITFNEPNMFTKLGYIYGQFPPGHCSRPFGNCTFGNSSTEPYIAGHNMVLSHANVVNIYKKKYQGKQGGRIGITVHSRWYEPFRNTPTDILAVERALSFGALWFLDPIIRGDYPSAMRKILGPNLPEFTLKQKKILQASKLDFIGLNHYSTSYLKDCISLSSPCELDPFDGDAKISTSAERDGILIGERTGSPYINTVPYGIEKVVMYFKTRYNNTPIYVTENGYSQASNSGMSAKDFTNDTGRVNYLQGYLTSLASAIRKGANVRGYFVWSLLDNFEWNSGYTQRFGLYYVDYNTQKRTPKLSSRWYREFLKGSPLRTRPRNGNSHYSPQKISDM >Sspon.05G0035240-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:70261715:70262388:-1 gene:Sspon.05G0035240-2D transcript:Sspon.05G0035240-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMVPSYITVHYQIIFCPLADLVSLSSPPPSPTGNGTSGFVLVTSFILFMLVRRQRLQRCQEMQEADEAKFGRILEMPRRFTFEQLQEATDQFREKVGEGGFGSIFKGRLGEQEIAVKRLDKAGQGKRELLAEVQTIGSIHHINLVRVIGFCAEKSHRLLVYEYMPKGSLDQWIFSRHGGGDTPCLIGKHDARLLLI >Sspon.01G0016730-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1A:57681674:57684879:1 gene:Sspon.01G0016730-1A transcript:Sspon.01G0016730-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FMN/FHY [Source:Projected from Arabidopsis thaliana (AT4G21470) UniProtKB/TrEMBL;Acc:A0A178V341] DSVVSKVVKPFLVKNGKTWDSKKAHKLVGKTPYEAAAVVLEDYGLPYSTEEFLSMITPVFSEQWCNIKALPGATRLIKHLRSNGVPTALASNSPRSNIEAKISCHQGWKECFSAIVGGDEVEKGKPSPDIFLEAAKRMNATPSNCLVIEDSLPGVTAGKAAGMHVIAVPSIPKKTAEFSSADVVINSLLDVRPEKWGLPPFNDWIEDTLPIEPWFISGPVIKGFGRGSKVLGIPTANLPAENFSDVVSEYTSGVYFGWAGLSTRGIYKMVMSVGWNPYFDNTEKTVEPWLLHNFGEDFYGEELRLAIVGYIRPEANFPSLKSLIERIQEDGRIAEKALDLPMYAKYKDSPYLRNTLQLGSTTDDSQAELNSK >Sspon.07G0004980-4D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7D:11202722:11207967:1 gene:Sspon.07G0004980-4D transcript:Sspon.07G0004980-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAQEIAAAARHFSAMARIVGPVSLRLRTITSSTPPNYLANRRASPLLRRLRFQDPKAVKMRRHAFHLHHSGSTTLSASALLLPRGALAEPLPLLDHICAAHGHAAGDVALTAASLVEPFLVAEQRNNPGEELQPRLVPEVRLDVLVEHELGNTQDGKSGPPRWLPARLLAMVDVPTAADSALSLLRHEEFIRRPTWDVGWSLADANQKQVENDTRSSLESNRNNASIESVDPLMLSKSATKIAILGISTFNSNDARCINVSVMQQRGDPLLIVGSPFGLMSPFHFFNSISVGAVANCLPPCTVRSSLLMADIHCLPGMEGAPVFDRNSCLVGLLMNPLRQKGSNIEVQLVITWDAICMEWNSKKLEEIGCAPRELPNDKNTDSKSMELRHGYNYGSNAKHGGGSTIPHLNFSIPCKLLVEVFKYSAIGKLAILEQLDKPNEVLSSVWALAPSSSPFVSSSPEKGKEEKVLEFSKFLSDKHQDPNAWDWIGYNHLDPNIRWTSPSALDASYWSASLRSFWAASFRTASPPLLPASAASALAASISISIFQVRVRVHGAAAELPQVAAMVAGA >Sspon.07G0037180-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:49955432:49959152:-1 gene:Sspon.07G0037180-1D transcript:Sspon.07G0037180-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLQEPRVDGPGFGSTVELQFCASCTIQNLISKGAKERCLYSTTQIEKCGAVGDVRHGRPPELSGPPLDLAGIPPEPPQAPRRP >Sspon.03G0017140-4P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2C:113648943:113649272:-1 gene:Sspon.03G0017140-4P transcript:Sspon.03G0017140-4P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMLRWCCGHIRRDRVWNNDIRDRVGVAPIEENLFQHRLKWFGHVQRRPPEAPVRSGVLKRADNVKSGRGRPKLTWDESVKRDLKEWNISKDLAMDRSAWRLAINVPEP >Sspon.02G0011350-3D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2D:24440024:24453442:1 gene:Sspon.02G0011350-3D transcript:Sspon.02G0011350-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARRLDLAERWRGIQEDEDADGGGESSAAKHRRLIRAKEEWFSHCYTFLINLPKEDHIWCGYADIMSPFLETFHGFFDDEDENSSLRIIWRKVSLEMGICTQCVCEHHQAQGFFNTEYQSDTVDPLLKVLRLLDEERVLMYPVLLDDQSLANQFQMFIEKIDETYEVSLSTNQQYPGVYALLFFKSCKARAIGLRLARSMGKLRRAVDLEPLQPLLQKYITFLEAEVLPSTSEHSRPRVQLKRADIWLGFKSLLGFLEAPAFEDGVLEKYPFLNIVLNHVSDDTSDLSCAYGAVQKVNESNSVIFADDDDELPFSHDAEEKSQSCWNDFNVLNKLASRECKDWKCVPLLWYLTMVQLEPSKLPIAFSKAVLWGLSHISVLEPGLATELSVPVNAWLSSHAGEVSSTFTWQVPNGADDGGGGKDCVNALKERHSGMDSFVDVESTKSSHSPDVHEIILLSDSEDNLLAPDVSSEEVLSSVMENDASTASNMLKEATPLEQRTLNDDRHVPLEPQICHPVSNISASSKPVSTDSRDNIAASKGLLGIKNPRLLMNTNNNSTSSKVVKSSVTGTSQQPRPKLLSDTEKFKSIFRDLSDDEDDPLDHALDNYRRPQILSRKPSILVPKRQAVQLPVPVGRKPGSGSRVTSTRRLQPPKLGSWFRNILEMDYFAVVGLSSSEIVKKPALKEVPVCFDSPAQYVEIFQPLVLEEFKAQLQNAYVETPPDDMMCGSISILSVERVDDFLIVRARPEHSQSIKFRGCSENDLVLLTKDPLKNQGQQVHVLGKVERRESDKNKALIFVMKFFLSSDNARLNKVKRLLVERSKWFLNRVMSMTPQIREFSALSSLHDIPVLPAILNPVSCAASHHKSGKVYLDRLAHPMRKTLESSYNDSQLQAVSIAIGSTSSKANFDLSLVQGPPGTGKTRTIVAIVSALLSLHAYDPHKSQRHEYVGSTDFTKPRAKISQSTAVTRAWQDAALAKQLEKDSQKECPRTTERFAKGRALVCAQSNAAVDELVSRLSEGLYGADGKLYKPYIVRVGNAKTVHSNSLPFFIDTLVEQRLSDQLKINNDGKKSSDAESSSSLRSKLEKIVDRIRYYESKRKLIESDKSEDGSPVPDEDEVDEVSDEALGGKLNFLYAQKRVVSAELATAHAREKKMADENKFLKHKVRKSILGEAEIIVTTLSGCGGDIYGVCSETASSNKYGTFSEHALFDVVVIDEAAQVGDPKQLPATVMSGLASKFLYECSMFERLQRAGYPVIMLTKQYRMHPEISRFPSLHFYENKLLDGAEVADKSASFHLHECLGPYMFFDIADGREHCGRNAATQSLCNEFEADAALEILSFLKNRYPLEFASRKIGIITPYRSQLSLLRSKFTSSFGPEIVAEMEINTVDGFQGREVDILLLSTVRASNSSGDRHHTGEARSIGFVADVRRMNVALTRARLSLWIVGNARTLRINSHWDSLVCDAEERNLFVSIKRPYGSMFEKGQPHSRDTHRYHTSHLKQKDNKKAALMSSKRIDAQLQEQPTHAIRIVEKESLSREQSKWTSLWDQKIPRAQESVMRSSEDKSQKHSGNMRVTKCSLQENMDQDSVIRKQMDGKKLAARNDKHLELSKGLVKRGDEGSSVTRKTELNIPVEQNLCKETNKALTDQELFQNSKERTHNKKSTSENSKKDVSPPAVPDLQKLIQKAKGARRVSEKPRCDNSNHEILHPANKNDGAYPPTDPDMKKANKARGARKFSEQPSPGNLTQVGPARPSHFDDASSHVPKLKKSQS >Sspon.01G0031010-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:103525749:103529149:1 gene:Sspon.01G0031010-3D transcript:Sspon.01G0031010-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:At4g24740 [Source:Projected from Arabidopsis thaliana (AT4G24740) UniProtKB/TrEMBL;Acc:Q1EBU3] MPRALLDCRPCKQLRLGWDVGPAEMHQVQIGLCGQEVVNAISAVELGFSSDAIVSSPVNQEQPRDESPPLREDDKYGRYVFAVGDNLTSRCTFGQVLECWDRERKEMVAVKITRSTKTYRDAAMIEIGMLEQLGKYDKSRSSCSILQLCSNTELVFEKLGPSLCDFLRKNNYRSFPVALVREVAKQLLECVAFMHELRHIHTDLKPENILLVSPELHSDPQRRDPIKWLPKSNAIKVIDFGSTTYDRQDQSYVVSTRHYRAPEVILGLDGVTHVISGVLVVFLLSFARHHSAKYIREGRLNWPGGCTSWERMKAVMKLPRLQSLVMRNVDQSAGDIVDLLQGLLKYDPANRLTAPEALRHPFFTE >Sspon.02G0044840-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2B:104565017:104565724:1 gene:Sspon.02G0044840-1B transcript:Sspon.02G0044840-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHSCTHLIEDVSVCSVHLLKVDGFSVTKSTISNKKDCRITSRCKVDGYDWEIQFLTAPYQVRVDQFWVALVLAYLSAPCDGVAVHLSCRLVDQSCDLVPLAALDMPRLVIFKRPMDRSPPIHLGRGKVRDDALQAPGHLIKGGSFTVECSNAPSTCAAAGTQIPFLRCRLTYTSTFGELLQNQSGVDITFIISVESISAHKNILAARSPIFMAEFFGNMLERSSQCMEIQDMHPT >Sspon.02G0003010-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:10138326:10141674:1 gene:Sspon.02G0003010-1P transcript:Sspon.02G0003010-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFEYVPASPGSSRWAGESAARRRQRRLSSPSLRTYLTPAFDAVAGGEGGVSGYSSSSSSGGLELGFDASLLRYRRSCFAASADLDSRVLLYSPQSMPPPPPQMRAAYLAADDGAGRLTGAPVFQDSENRISFVSPPQTSSNLPTTVLGASTSVKLPAELKLPEGSVVATNAELPMPGPEATPSTLKSSADPEPAVEGDEITEALYGDSGRRRLPIFREICPE >Sspon.06G0021480-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:16581537:16585271:-1 gene:Sspon.06G0021480-3D transcript:Sspon.06G0021480-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LLLSDASASSLLPPNNAHQPRPSPAAPAAKAREEGGGVSAGLISTLRETLDAIRGVASIISSFPIGGGGILGGGGGDLRLASAVADCLDLLDLSSDELSWSMSTTSSDDYSPAGAGPEAGGRLVGTGDARSDLRSWLSGALGNQDTCKEGLDETGSLLASLVATGLDAVTSLLADGLGQVAAGAGESSSRRGTLGAAAPPRWVRARERRLLQMPVGPGGLPVDAVVAQDGSGNFTTVSAAVEAAPSQSAARHVIYVKKGVYRETVEVKKKKWNLMLVGDGMGVTVISGHRSYVDGYTTYRSATVGECSMSRNNSVSGKGFIARDLTFENTAGPSKHQAVALRCDSDLSVFYRCGFEGYQDTLYAHSLRHFYRDCRVTGTVDFVFGNAAAVFQNCSLLARRPLPDQKNSVTAQGRLDANMTTGFAFQFCNVSAHADLLNGTTNATAAAAPPTQTYLGRPWKQYSRVVFMQSYIGDLVRPEGWLAWDGDFALDTLYYGEYINTGPGAGVAARVKWPGFHVMTSPAEAANFTVAQFIEGNMWLPPTGVKYTAGLTSSS >Sspon.02G0000350-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:1440747:1442536:1 gene:Sspon.02G0000350-1A transcript:Sspon.02G0000350-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLLLSVPVPISASIVLPSSSRTARRRGRSVRVSVAVPATQGQEGPAFDPAAAPPFGLADIRAAIPKHCWVKDPWRSMAYVLRDVVLVLGLAATAARLDSWLVWPLYWAAQGGTMFWALFVLGHDCGHGSFSNNPSLNSVVGHILHSSILVPYHGWRISHRTHHQNHGHVDKDESWHPLPERLYKSLDSVVLMLRFRLPFPMLAYPFYLANRSPGKSGSHFHPASDLFQPSESNVVLTSTACWLAMAALLAALTFLMGPLQMLKLYFVPYWVFVMWLDFVTYLHHHGHNDKLPWYRGKEWTYLRGGLTTLDRDYGWINNIHHDIGTHVIHHLFPQIPHYHLIEATEAAKPVLGKYYREPQKSGPLPFHLLGDLVRSLRRDHYVSDTGDVVYYQTDPKLH >Sspon.02G0014900-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:38134447:38134867:1 gene:Sspon.02G0014900-2B transcript:Sspon.02G0014900-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MERVDDGQIKLQQQQPPCSDNFSLAAAASSWPPPPPQVRSSPSSSSYTCGYCKKEFRSAQGLGGHMNVHRLDRARLIHQQHMSHLLDLGLSLSSLLARGAAGSDGGLPAVPLEKLGNRFSSTSSARD >Sspon.01G0012010-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:9975110:9979584:-1 gene:Sspon.01G0012010-1P transcript:Sspon.01G0012010-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEFARAVEDGLKLSKRLVLPGGVPPPRPPAGMDRTVSAAAASGPDPRLLPTAPMAYAVVTDPAAVDTPDVPSYQPYVYGHLDPPALIPLQMKEVDLAVDCALDAAHVTLRARWWLHCITRSRECDVRLVVPLAEQGSILGAEVTIGRRSYNTQVIEVEDTLENHAKIEIGGLLKPHLFFLTIPQVEGGADICATFRWSQKLLYDSGRFSVEIPFRFPYFVNPLPKVFMKKEKIQLTVNSGFSKEVLLQGTSHPLKEKSRQGDKLFFHHEAIVENWSCKDFNFSYSVYSGDLCGGMLVQPATLRDYDERDMFCIFLLPGSGNRKVFRKAVVFIVDTSGSMQGRPLENVKRAVSTALSELVEGDYFNIITFNDELHSFSSCLEQVNDKAIASATDWMNENFVAEGGTDVMHPLSEAMALLSSVHDTLPQIYLITDGSVDDEHNICQTAKTELTNRGSKSPRISTFGLGSYCNHYFLRMLASIGKGHYDAALETASIENRILKWFRRASSTIVANISIDAMTHLDEFE >Sspon.06G0016800-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:91228530:91229023:-1 gene:Sspon.06G0016800-1A transcript:Sspon.06G0016800-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPVTALLAATAVPPALSPSWAPARQHQHACHYSVGLPSLPGGRRTAVLLAKECSSRPQKKGTQHHMKTRPKKTQPWDIKRRPHAVPAAAAAPAGLYGCCIRRHGTRDPRPQDYYNGCPDFVIITVSKERKAKDST >Sspon.01G0006380-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:4847460:4851084:1 gene:Sspon.01G0006380-1P transcript:Sspon.01G0006380-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQYLDFSHASTSRKWGHKRQGDGLEAPRNSMEFALEASHSYGVFQEDVPYSCNMRQYPKSGLNHSSNPVKKLIQEDVSFRPNEGQKRPGVIARLMGMESPPLNTTTESISRSEITPRPPMPRRDNPSEMISAKHVSFVQHSNRDSTKQAPKQEIRAYGYGDERDVFGQMNKRSNEWSKPQPREHPQEEELQKFKKEFEAWQASRAWEQSRSFELESSLDDDDDDSRCTDIVPYRYQHHHNGNGKAASHGTKHMHSSNEDVHWRRSSKESSTSISGSRTFSLTTSADAAAACSTRLPLSRFYHKEERSSLSPTRIVILKPCPELSTDDIEESSLGSPELVKKENNMEAFLEEVKKRLKIELEGGVASDDKQADRRWAPAAGDIIPADPKQIARSIANQIRENVTRDMHPALVRSESTRSYRSDVPFDGQGQMDYIGRDARRQLSDRLKNVLRRDPPDAEAPFSFSHRRRATSTSFDEEPRPKPTRHEVAPPPRKGKVRSKEEKKRAVESDVRSFRYGSNNTPTTTAQLDSEPVSPRNLMRSFSAPVSGTTFVKLLSEEPRVLTGARLQRKQEGHGSSRPASSEERKGRKDAFSIRGKVSNLRQNLGLRAKLFGKKFHAADEPFPDDLPPIGTLITAPSVLIHPGVLQSHYLSQSRSMGVTDAFIWLQENSTEVPPSPASWCSSPPDEMSRGGYPSPVSPLEASFSVHRSALRTEAKDMASTASEPGILSEQVQTEEERAETSPVLDEQDDGDMDEADHPMKSFVRAVLVVAGMYGRRQNPGDAAMSECEAKPIPKWVLEEVVSSSSSSAPADGGAAAVDHRLLFDLVNEALPGAVRASTTLFAFDKCYAMAPRRAPGGKALLKALWKSMQVWLEPPSDRTTASSASVDVLIGRDLSVSPWHGAFREDADALARDVEAEMLDELLDETVWDVLLNVGD >Sspon.06G0021410-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:14967399:14968225:-1 gene:Sspon.06G0021410-1B transcript:Sspon.06G0021410-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQMNQRGAKLLHRRMLKMHEHNGQAQPCPTCQPSPKVDVDDMVLMEIWWGGRVNVIDPPLCHPSSTPGYGSGEVTVLSFSVKSIGFTFKSSIMC >Sspon.03G0016390-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:49806979:49807947:-1 gene:Sspon.03G0016390-4D transcript:Sspon.03G0016390-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSALDRLTRLNNIQYPMMFQIKNPSTERVTHCGVLEFVADEGFIHMPSWLMAHLGVLENEIVLVRSTSLPKATFIKLQSRTKDFLDVSHPRELLEYNFGKFPCVTAGETIAVTEGERRYYLDVLEARPADAVCSLDTDCAVDFAPPPDYVEPPPPIVASQGNNDKPLQPARFTGVAARMDGKPVEQPPTPSPAAAVNAVAPGVPKRKVQFGGPSAAGSGVSKGKEEGGAGKEQEKRFTGTQYSLNS >Sspon.04G0009280-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:26823530:26825866:1 gene:Sspon.04G0009280-1A transcript:Sspon.04G0009280-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MACASSPEPYPALGNTSTQRIQLHQLCNPKARRRRRKRAEHGEGGPALRSQRAERGGGWPRAAEREVRGARREAAVAGARGSIAELQETVVCTEGASTFYSFDPNLRSACFVFQASGCVLYSAMTTVAPRPSKTVLELISCIATEELVVVYRNSASTCTETFKSSIAAATKFNLT >Sspon.01G0024640-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1A:87873782:87876824:1 gene:Sspon.01G0024640-1A transcript:Sspon.01G0024640-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:LOB [Source:Projected from Arabidopsis thaliana (AT5G63090) UniProtKB/TrEMBL;Acc:A0A178UKE6] MRDAVVVMAVPGAGAGAGGGKAGAGGGGGGGAASAAPCAACKLLRRRCAAGCVFAPYFPPGEPHKFANVHKVFGASNVSKLLQVQRHARPPLALLCSSSVALAGDPGAAPRGRGEQPGVRGQRACQGPGVRLRGRHLVAAAAGGGAPGAAGAGAGRDGQAQDEQRLHPAPAQGREDRRGQQLHWLAVVHVLAQDGGARGALQRHGGAAGHGRGPAQHGRRPLLVLL >Sspon.06G0021340-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:15408883:15413039:-1 gene:Sspon.06G0021340-2C transcript:Sspon.06G0021340-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA polymerase epsilon subunit B [Source:Projected from Arabidopsis thaliana (AT5G22110) UniProtKB/Swiss-Prot;Acc:Q500V9] MAAPSAATRRKLQRKFRLRGFTLKVDALEEAAAFLDRFPDAEDDALDLLLDELDKEPLQSSILDRDAVRRVVALLVEAEEALDAASPAITSARSALRVVDAFLVPRFHYDPIKKVFYEHTGRLAVHGEAGDKASLYRDRYQVLLQRLSRDKYFSKPAFDTVATEDSSCEITSIQSLIGCTGRRWIMGVISQLEERQFYLEDLTGAVNTCGFPPLEDREASVSLLMGLDFFGGGVIPTEEAVLSKTSLPIILGTIDIKQLRLSSLEKKAVNDMFVILSDVWLDNPESYSQDVPMCYLVEKTMEKLAVVLDGYDSVEVVPSLFVLMGNFCSRPCNLAFNSFEELRFQFGKLGEMIAARSRLKEHSRFLFVPGPDDAGPSKALPRCALPKYLIEELQKHIPNAIFVSNPCRVKFYTQEIVFFRQDLLYRMRRSCLIPPTTEETSDPFEHHSYHNPFEDINQSFSFQLVATITHQSHLCPLPLTVQPIIWNYDHCLRLYPTPHTIVLGDKSEQKAFKYTGITCFNPGSFANDSTFAAYRPCTKEVELSALEG >Sspon.05G0011170-1T-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:5D:34035810:34036205:-1 gene:Sspon.05G0011170-1T transcript:Sspon.05G0011170-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGKGLFVEIGMKEEDIATMLFGKKVAELAEDAFDGSKEERQIFEGVFCLKNIDGLNDHHHEGTGHTVDAGKVVTRSSKPSSSAHNYKTAHCRIVESFTAGNLSSYRVSCLGADEQAHRAMHSPDAVHFELA >Sspon.04G0006620-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:18478077:18481454:-1 gene:Sspon.04G0006620-2C transcript:Sspon.04G0006620-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDLAGLGHLFVVTFLFHFASFMVIPAVTDVTMEAICPGRDECSVAIYLSGFQNAVSIRRHVTGLGALVVTPIVGNLSDRYGRKALMTLPVTVAIAPLFILACSRSVVYFYVYYVAKIIAGVFCEGTMHCLCLAYVADHVGPRRRAAAFGLLSGVSAAGFVSGTLTARFLPTASTFQVAAAVAVASALYLRAFLPDAGGVSCADEACDPLLQDSSCTSSTSTSSSDEELSPRLPPHKSGLPSLSDMVALLTGSLGEHGLNTALLYYLKAQFGYSKDEFANLLLIAGAAGTLSQLTVMPILAPILGEEVLLIVGLLGGCTHVFLYGIAWSYWVPYFAAAFVILSAFVYPSIRTNVSKSVGSNEQGIAQGCISGISSFASILGPLIFTPLTGKHLL >Sspon.05G0039710-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:76017167:76021158:1 gene:Sspon.05G0039710-1D transcript:Sspon.05G0039710-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQSSGVAPGQGEVATPERRLNCFVRSVALIERLGNALGTLAFTWATVVLLGGYPTGLRANNDFWFATTIFFLEAFRMFTRDNRTDYRLFFGTRGAFRLLGWQSWLVLIVYFNGVVLCINLLSPVLFLPLLGILVAIGQFVCPGAPRLLARIPLWLRRAISLWSPVVAISLLLAPSVVTQLQAYHSGNYMIRCIVYAVLLAVVLLVTVSRMRFAGIIRLVNIILGRKQEFWRHVVLNLCMIGAIVMAAFSFSSDDWDWYVALIMIAVEASTIVLASFGNFQIPAAVLRVVLPLIRFKSISSDYKNNCKEDDATPSPAPSPTWAPAPSGDVCNPSIINLAPSLYIFYGMVLGQGALYLMACMVEIFSFIPRRFLIRHGGFKGQWGVESVDLYYSYALEKCMERNVLAPKISLCNFAIDYLNSDSTKRQLHGIRTACTILQRDPTRTRLLVKLKASTETMTRLLRMLHWTGQENQTTIRLFAAKVIDELAKSLLVVNSPGIVQNVSLLLDWGNQHKRVNPLLDDTDEEEEQQNDLFVNATGNRTERGDAVGDSGYLLETQESSTQQIGTSNKKNSWITRQWRQVSEFWSIPQEGPLTEQDLLPVIGMSIIESLATYDQSNCAEISSAGDLIRKITRFTSFCRTDTNYTDAEKKVLVHSSLKLFYRLTSIDGEIGITLRHKISKHPFLLRNLSEVLGDITNEPSRSTGATVSHSREALRKVAGQALAMLAIGNVGNCLAMLRQTGYSFIKELTTMVHVETYRCVAASLLRSVCMHTRPELNETDLRQLSYISRMVLERILCAEGQELEIFIGLSSHIYEAIPEEFARDFEYGHIKERFVKRLVDALNANMEPIANCPGIRRVILEQAIRLMKHDPSNVNCFRNLRMIEVLSRVEETISEAENYTIFMGDVGLMEA >Sspon.01G0030550-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:16644260:16648965:-1 gene:Sspon.01G0030550-3D transcript:Sspon.01G0030550-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASARGSVWEIQPRDVEAAGLAAADAAAFLAALRSAAAAAGSGATLDAVWAAMTAAGVLRPEHPHALHQLVYYSAYAGWDRDARGPPPYWFPSPIDCKQTNLGRLMEANGPKVLGSSYKDPISSLSHFYRFSVENQEVYWSMVLKQLAVNFKQEPRSILSTSDRSKKGGIWLQGAVLNIAECCLLPCPSLKRTDDSTAIIWRDEGLDDYPVNRMSLKELRTQVITVAHALDAMFEKGDRIAIDMPMTCNAVIIYLAIILGGFVVVSIADSFAPQEIGSRMGVSKAKAIFTQDFIVRGGKKVPLYSRVVHGTSSKAVVIPAIGDSLGITLRNGDMSWKDFLSRAAGRSSSYSPVYQSVDALTNILFSSGTTGEPKAIPWTQLSPIRCASDTWAHLDVRPCDIGCWPTNLGWVMGPIIIYSCFLNGATLALYHGSPLGRDFCKFVQDAGVTVLGSVPSLVKSWKAGNCAEGLDWTKIRVLGTTGEASDIDDNLWLTSRASYKPIVECCGGSELASSYIQGSLLRPQVFGAFSGASMSTGFVILDEQGTPYPDDVPCTGEVGLFPLYFGASDRLLNADHDKVYFDGMPIYKGRQLRRHGDIIQRTVGGYYIVQGRADDTMNLGGIKTSSVEIERVCNRADECLLETAAVSIKPSGGGPEHLAILAVLKDRSAQYDVNLLKRKFQTAIQKNLNPLFKVSYVKVVPEFPRTASNKLLRRVLRDQLAQELSNRSRL >Sspon.05G0031510-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:6362475:6364889:-1 gene:Sspon.05G0031510-1C transcript:Sspon.05G0031510-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALALATTPLAHLAVAPPPISGSQSSLLLLPRRASPAPVSLSLPLRSRLIAAVATKEEPELGGGGSGGDDGAGGSGGGSDPRGGGKEGEGDGDGEEEKMGQGLSMSQKLTLAYAALVGAGGVMGYMKSGSQKSLAAGGISALVLYFVHTQLPVRPVFASLIGLGISAALLSVMGSRFKKSRKIFPAGVVSLVSLVMVGGYFHGILRSSHA >Sspon.07G0033250-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7C:58453183:58454631:1 gene:Sspon.07G0033250-1C transcript:Sspon.07G0033250-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VRAQSLLGRTWISILGPVLRALPLCPLGPARLRCACATCAQPPQRTAPGDGDPALPVEQDVETVIHVLQPGPVGIVEHKFTDAEILEARAILKKAVDNWGTNSTLERNHGSTSFDKWK >Sspon.07G0017330-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:80175846:80179145:1 gene:Sspon.07G0017330-2D transcript:Sspon.07G0017330-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFRVRPTGDRSTAPQQTESMSHLEHSNSPVTKEVGITGGNYTTKRRRRSKSNSRRIRQRQLDFQSIIPCKVNIQSLPEDIALRITSKLTLKEAAQMCVLSSTWRQACVFHPNLYFGIQTVLGSRANAELIFTDPRKRMLTTNKFIERVDAILKNHCTTQMFGFDLCHGDLDDIMDLPHYHLKKVCIDGFFGTAGQGNFEAVWKRKGNHKQRGSRCEKTIDGVLKGNCDGDFMCC >Sspon.02G0034580-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:16921525:16924097:-1 gene:Sspon.02G0034580-2C transcript:Sspon.02G0034580-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADHGSPSCHRCLEVKDLGLPSKDWCSEVADLERSSDHRRLEAANLDLLSVSSPHESPWGPCVCFQSAPTDILLTSIPNFGTLYLAQVAILLFGNSDRMKSPTTHGLHDETTWFPNQNYQEEHAKPICEALTYYLCTHEENEFLLPAIRDIAEQHYRS >Sspon.08G0005190-1P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8A:15569586:15571434:-1 gene:Sspon.08G0005190-1P transcript:Sspon.08G0005190-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDHYYVYLGLALVSLLVVLAKRRRGRADGHGLRLPPGPWQLPFIGSMHHLAGQLPHRAMRDLARRHGPVMLLRIGEVPTLVISSREAAREVMKTHDTSFASRPLSATVRVLTNNGRDIIFAPYGEHWRQLRKLAITELLSARRVLSFRAIREEEVAAMLRACAAAAAESRPVEMRARLSALVADATVRAVMGDRCRDRDVFLRELDRSIGLSAGFNPADLWPSSRLVGLLSGAVRRAEECRDTVFGILDGIIKEHLQRMDSGGAGEAEDLLDVLLKIYKDGSLQIPLDMDVLKSVIFDIFGAGSETSATTLEWAIAELIRNPKAMQRATAEVREAFGGARRGGGARAGRAPVPEPRHPGDVPAAHAAAAAAPAAVPGAVPRARLRRARGHHRAGQRLGAGPRREVLARRPRGVPARAVRGRGQRRGVQGCRLRAPAVRRRPEDVPGDVVRARQRRARARKPAVPLRLGGPRRVRPRGVRHDRGVRHHRQTEGQPPPPPHPSRDPSRRCL >Sspon.07G0018780-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:67894068:67900819:1 gene:Sspon.07G0018780-1A transcript:Sspon.07G0018780-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGELLSKILLLLFGYAMPAFECFKTVETRPNDAHMLRFWCQYWIIVAMVIAVESVISWMPMYSEMKLAFFVYLWYPKTKGSDVVYDTFLRPIVMQYEPNIEQRLLHLRAKSGQLISFYMKNFADKGTAFFMDVLRYVVSEKPEGSNAELRNKKSGWSPFATKRRPPSPPPEPLFDSNPDAALLAEALRGAIGAKPRRGSNDKHY >Sspon.01G0018770-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:66250100:66251286:1 gene:Sspon.01G0018770-2D transcript:Sspon.01G0018770-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVILSMDVHCHGCAKKIQKAVMKLPGSYALHTPRSIKLHHHLYTCASFDLSLFFCGRLHLHAGVNSVTFGTGLLMIEGTADAAVLRSRLQDKTGKAVNVVSNGVEEGEAASGGCGCFSGNASPQPRAPIILEMKLHCRSCAKKVEKRVMQIPGVDMVTTDVAASRVEVTGTADASAVATSLQVRTRSSVRVVSDSRRLDFPAGYDHEQRKAVAAWAAAQQMHGEPREAVTDLSGASSSVPLPAAPCCRRRRRRRTSAPAPSPPAQPAGSSNPHPSPPLQSYGAPPPQAAGAAYYYPALGVYGGQYWAAAPSQPPVGFYTGQWPAYPPPEGCYQWQGGEVYGGQQMWAPCQQDENPHICRVQ >Sspon.07G0014120-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:56563962:56566335:-1 gene:Sspon.07G0014120-2B transcript:Sspon.07G0014120-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKVKARLGGRLRLLISGGAPLSNEIEEFMRVTTCAYFIQGYGLTETLGPSTVCYIDDMALVGSAGVPATYTEIRLEEVPEMGYDPLAVPSRGDIGEMTPDGILKVIDRKKNIFKLSQGEYVAVEYLEKVYGFPPLVEDIWVYGDSFRSSLVAVVNPHEENTMKWAQSNGYKGSFTEICKLEGLKEYILKELAAVAQKNKLRGFEYIKGIVLDPVPFDIERDLVTATMKKRRKNMQNYYQSEIDMVYKKLEAQKNAAKSK >Sspon.03G0022760-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:88207466:88209222:1 gene:Sspon.03G0022760-2P transcript:Sspon.03G0022760-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWRGPLGAGDAGDSGLELSLGLPAYFAKPSSGLDAAGEESGEASAFALQAAKGSNGSKARLVPNVGLTVRFLSERKKKDQILMAVQPSGRATTAAATPVVGWPPVRSFRRNLASSSSRPSPQSSSGHHRHHKVQDCGGAMDGAHKGGLFVKINMDGVPIGRKVDLTAYGGYADLCAAVGKLFRGLLAAQRDPAATAGGEEAEEVEEPVIGGDYTLVYEDDEGDRVLVGDVPWEMFVATAKRLRVLKSSDVPASSLRAGGSRKRAAADC >Sspon.04G0006510-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:14904823:14905950:-1 gene:Sspon.04G0006510-2B transcript:Sspon.04G0006510-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVGFRRTISFPAPRSPTPPSGGAGAGPSAAANKSAAYRARSASLPCRFHPLVLQLDDDVADLRALVGRLLASPQSKASASAEAVAQGAAQLGRVLVSLSELLHHPQAQDPLRRLGPSPFAERLLDDFLRLADAHGSFRDALVALAGLQAEARAALRREEPARLASAARALRRSARDLPRVASSARAVAAKPPPAPPADLPADAAAIAAAVVDATAAVASASAAVFSGVSALSVAAATARVEVEATTPCWMPSPARFSSAPSSATPRHVVVTTRASSSSSMPRIWWVADLMRWMSRAKRRSARRQHAADGHGATSAAGPQLEAAVDPEELERKAAFERLDSLGRCIADVESSGEKVFRALVNTRVSLLNILSPAF >Sspon.04G0037410-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4D:70935623:70935841:-1 gene:Sspon.04G0037410-1D transcript:Sspon.04G0037410-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKDRTRDVLDNSYYLEEDRGIIMCGMWSLWSSRSDRRHGKSPIDPGVAVDWALDRRPACLRLSTANRAEDI >Sspon.05G0003160-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:9394796:9400906:-1 gene:Sspon.05G0003160-1A transcript:Sspon.05G0003160-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MANACDVPSVNGKSLPVSETSSSCLTHGITAAHNFEVINFSLLEGMGVGEYVRSRDFRVGGFDWNISLYPDGITAEYKHHVSAFLTPQGQGVGEECVRVHLFTVLGKDGKVWDANNMEHTFKSPLRGFGWGTFIYKSWHFRRMLRNNNDLFTVRCVLTVIQDPHVEDVCAIVVPEPSVLQDLASMLRDADGADVTFVVGGRSFPAHRCILAARSPVFRAELFGPMKEKAARSVRVDDMEPSIFEALLHFVYTDSLPDDDCRCRRRRQGQECADAAPSGGRGSVWAGQVRMCRSIDAETVATTLALAEQHRCVQLKNACLGFIALRGVLGAVVHTMAETSSSCLTQGITAAHNFEVINFSLLEGMGVGEYVRSRNFRVGGFDWNISLYPDRIRAEYKHHVSAFLTPQGQGVGEECVRVRFTFTVLGKDGKMWDANNMEHTFKSPLRGFGWGTFIYKSWHFRRMLRNNNDRFTVRCVLTVIQDPHVKDVCAIVVPEPTVLQDLASMLSDGDGADVTFVVGGRSFPAHRCVLAARSAVFRAELFGPMQEKATRSVRVDDMEPSIFEALLHFVYTDSLPDDDCDGSGGAGAAADDKDRNVPMQHLLVAADRYGLDRLRLMCEVRMCRSIDADTVATTLALAEQHRCVQLKNACLGFIASRGVLGAVVQTSGFCHLVESCPLKEQVPNGHQQVMQQWTKMRSYSNNPAHQHCRNCIQGLAHSEPKATITRVPKVPLKRQTQSYKGIDTAIKLRPVQLVG >Sspon.02G0044080-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:99309824:99320514:1 gene:Sspon.02G0044080-1B transcript:Sspon.02G0044080-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAATLTPAAWQPTLVCASLTARVPREMAAEVAAAAALGADVAELQVGCLDGFEPRRDLPVLLAQSRPLPVIVSYRSKWEGGQYAGEDEPRFEALLLAMELGAEYVDIEFKVGSVFTPFHSISFDFRIISRLLQDIGAINTVIRRPDGKLVGYNTDYSGAISAIEDGIRASQPTDSTTSPLAGRLFVVIGAGGAGKALAYGAKEKGARVVIANRTFATPPAPWPSQSTSTTNQTKVQYPPLCLRLFLAPRTNPTQSRLLTPMPMATAMSVSAVTPAAAAAARPRTMVCVPATARAPREMAQELAAAAALGADLAELRLDCLAGFAPRRDLPVILANPRPLPALVTYRWVWVRPKWEGGEYEGDDESRFEALLLAMELGAEYVDVELKVADKFMKLISGKKPDNCKLIVSSHNFERTPSSEELANLVAQIQATGADIVKIATTATELLMWQKCFKYLFIASCTIPHKEAAVRCCDEVDPIARDIGAVNTIVRRPDGKLVGYNTDYVGAISAIEDGIRAFEQRDPDTSPLAGRLFVVIGAGGAGKALAYGAKEKGARVVIANRTFARAQELANLIGGPALTLADLEDYHPEEGMILANTTAIGMHPNVNETPLSKQALKSYAVVFDAVYTPKETRLLREAAECGATVVSGLEMFIRQAMGQFEHFTGMPAPDSLMRDIVLTKT >Sspon.05G0011710-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:28565364:28566538:1 gene:Sspon.05G0011710-1T transcript:Sspon.05G0011710-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHKHNPTVPVPDPVAHRMKYNESPSKHSSAAHTSHPQATLTAAQSRVVSTEQGSRARAMSKDDKHHRHEHHLRRCCGCMASCLLALVLIVAFIALVIFLALRPSKPSFYLQDLQLRRPISLADPSLTASAQVTLASRNPNEHVGIFYKRLDVFVTYQNEAVTVPVSLPPQYQGHRDVTVWSPVLSAESVPVAGYVADALKRDVAAGFVALQVKVDGRVKWKVGSWVSGSYHLFVSCPAVLSAGYPGVVGGGGNNTVSSLKFAQPTGCSVEYLSRAR >Sspon.05G0007550-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:25095843:25098997:-1 gene:Sspon.05G0007550-4D transcript:Sspon.05G0007550-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MACYSAVLQHPLGSSLTPCSASEPHRASSAWRGNPPGRLRRPVARVRIAPRCVYSGGAGATPGPGELPAAALRRVLEAPGAHQAPACYDALSARLVERAGFRAASRAVSQSLLHGLDYQMLGRLITEAVSIPVIGDADNGYGNCMNVKRTVKGFINAGFAGIILEDQVSPKACGHTQGRKVVSREEAIMHIKAAVDARNESGSDIVIVARTDSRQALSLDEALWRVRAFADAGADVLFIDALASREEMKAFCAIAPGVPKMANMLEGGGKTPILSPVELEEIGYKIIAYPLSLIGVSMRAMEDALIAIKGGRIPPPSSLPSFEEIKNTLGFNHYYEEDKRYAVTPAQSFYETGYDNYTSEPKNPGDSRSRAEKPQEPVIDILPQLYDIGSSGSRGPSTGMWSRTLRLKITGRDGVLKIDARIPAGFLEGMTKIIPGLAGANIMERLRNAPIDTDNPQNGQILLDFEDAMGDRIQVFIA >Sspon.01G0015380-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:44563206:44567683:1 gene:Sspon.01G0015380-1A transcript:Sspon.01G0015380-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carotene epsilon-monooxygenase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G53130) UniProtKB/Swiss-Prot;Acc:Q6TBX7] MPATASASASIAASLSPWSPRPPPRRAHAHVRVRPPPPRSGSGASGGGGGGDEPSTATPWASPDWLTSLSRAVGRSGGGGGDDSGIPVASAKLDDVRDLLGGALFLPLFKWFREEGPVYRLAAGPQDFVIVSDPAVARHVLRGYGSRYAKGLVAEVSEFLFGSGFAIAEGDLWTVRRRAVVPSLHKRFLSIIVEKVFCKCAERLIEKLEPYALSGEPVNMEARFSQLTLDVIGLSLFNYNFDSLTTDSPVIDAVYTALKEAELRSTDLLPYWKIDFLCKIIPRQIKAENAVRIIRNTVEELIMKCKEIVEAENEQIEGEEYVNEGDPSILRFLLASRDEVSSVQLRDDLLSMLVAGHETTGSVLTWTIYLLSKDPAALRRAQDEVDRVLQGRLPKYEDVKELKYLMRCINESMRLYPHPPVLLRRAIVDDVLPGNYKVKAGQDIMISVYNIHRSPEVWDRADEFIPERFDLEGPVPNESNTDFRFIPFSGGPRKCVGDQFALLEAIVALAVVLQKMDIQLVPDQKINMTTGATIHTTN >Sspon.03G0039360-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3C:13349745:13351211:1 gene:Sspon.03G0039360-1C transcript:Sspon.03G0039360-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIAISHTSTTACMKVDQKDGTDRLLVKDEEIKDRWREYFDKLFNGENEGPTLELDDSFDDTNRRFVRRIQEAEIGEALKKMKGGKAMGPDGIPIEVWRYLGARAIVWLTKFFNLIFRSNKMPEEWRRSILVPIFKNKGDVQSCTNYRRIKLMSHTMKLWERVIEHRLRRVTHVTQNQFRFMPGRSTMEAIFLLRQLMERYKEQKKDLHMVFIDLEKAYDSTEKCHVVGLGKAQSPN >Sspon.04G0005380-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:11661895:11663763:-1 gene:Sspon.04G0005380-2B transcript:Sspon.04G0005380-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable carotenoid cleavage dioxygenase 4, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G19170) UniProtKB/Swiss-Prot;Acc:O49675] MSAHASRSSRRVHYISPAASAAAQNSSYRKKKSAPSTVGRCNCHRCHPPPATDNVQSTAQKQTGRQELEELVAAKANTSRIAISGSASPPACPAATSLPMAFCSALEEAINTFLDPPALRPSVDPRNVLSTNFAPVDELPPTPCPVVLGAIPRCLAGGAYIRNGPNPQHLPRGPHHLFDGDGMLHSLLLYGGVAVVRPRPVLALPPVMPNVFSGFHGVAGLARGAVVAARVLTGQMNPAEGVGLANTSLAFFGGRLYALGESDLPYTVRVNPATGEVTTHGRCDFGGRLFMGMTAHPKKDPVTGEVFAFRYGPVPPFVTYFRFDPAGNKGPDVPIFSVQQPSFLHDFAITERYAIFPEIQIVMQPMGMVAGGAPVGSDAGKVPRLGVLPKYATDESEMRWFEVPGFNIMHSLNAWEEADGEELVLVAPNVLSVEHALERMELVHSCVEKVRINLRTGAVSRTPLSAGNLDFGVIHPGYLGRRNQYGYLGIGDPMPKISGVAKLDLDRAGTGDCTVARRDFGPGCFAGEPFFVPDDVEGDGNEDDGYLVCYVHNERTGENRFVVMDARSPQLDIVAEVELPARVPYGFHGIFVTQAELQAQQQ >Sspon.05G0004800-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:17329650:17332472:1 gene:Sspon.05G0004800-3D transcript:Sspon.05G0004800-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAGEPTAPRAAAAPGPESAAAAEAEHLLSLAESELSAGRLRAARRHALRASRLYPSCPRASVVATAANVLLADASSHHAALLLPDPDDPDASPLSASELRRHFKSLVKSLRVGPDYAAAYPSSAAAAEEALGRTTEAYEALTAPPPGTFWTSCAGCRLLHEFERKYVGYRLICPSCRRTFLAVEVPPPPEAEPPALAPAPRGPPAAKKPNTEKLEMTLAEMQLQLSKKRRGAKAPESSSRDLVVVDDDEEEQEDGEEAEAENNHSDLMAVEDSTSTTSTPTAARGASREANSGLCTPTLTACHASMRWWMGCSEIRWLDGEEGKPCGQFKVGRAETVDSVNVFSHLLACERTAREVYQVYPRKASVWALHGGEEGDAATTKYDIAVMLSGYDERYGASFGYLEKVEGFRSIFTRRDVGSHAVHFLQKDDLGVLSHQIPARKVSKGEGSALPPGDCWELDPASLPPELLHIEAIFFRCMNRAAGADFLGSLDMVTNLECAMNVRIMKVNLFFY >Sspon.01G0035660-3P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:12574418:12576123:1 gene:Sspon.01G0035660-3P transcript:Sspon.01G0035660-3P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKQHDASDDGGIPAAEGPAKRPPLNKYALACAVLASMNSILLGYDVSVMSGAQLFMKQDLKISDTKIEILAGIINIYSLVGSLAAGRTSDWIGRRYTMVLAAAIFFAGALIMGLAPGYGILMLGRFVAGVGVGYALMIAPVYTAEVAPTSARGLLTSFPEVFINTGVLLGYVSNYAFHGLPVHLSWRVMFLVGAVPPLFLALGVLAMPESPRWLVMQGRIGDARRVLAKTSDSPAEAEERLADIKKAIGIPEGVGDNDDDDVVVVARRNKQGSHGEGVWRDLLIRPTPPVRRILIACLGLQFFQQASGIDSVVLYSPRVFEKAGLRSNNNSLGATMAVGASKTLFILVATFFLDRVGRRPLLLTSAGGMVVSLVTLASALHAIDRLPEGHATLLAGVSIAAVLTFVASFSIGMGPIAWVYSSEIFPLRLRAQGCALGTAMNRIMSGAITMSFISLYKAITLAGSFYLYAGIAAAGWLFMFFFLPETRGRSLEDTEKLFGGGDHGEDKEDGHDGQKKSTELSSSQ >Sspon.08G0021880-1P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8B:43985352:43986964:-1 gene:Sspon.08G0021880-1P transcript:Sspon.08G0021880-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLPTSPSSSPRLLRSNSSEWHAVVQRNVRSSLLLLLVLSTIFVFSVLYSSHGFSATTADQALTQRQSTDHGAIDRFVPGQEETDEPTAVVPAQNNEAPEQSLPSDISLPSANASTAPTVPASSRGRAEQTGDQSSAGAAHAEEKCDMSMGKWVREPRGPVYTNLTCPTLPDLKNCQKYGKDPGHLFWRWQPDGCDLPRFAPERFLDVARGKTLAFIGDSLARNQMESLLCLLSQAETPTDVYRDAFDKFRTWHFPGHNFTLMAMWTEFYAHGVPVLDADGKPTPSSDIHLDRLNANWTARLPGLDYAVVSGGNWFFRVNYLWEDGRRIGCVNCREPGLTDFGIAYAVRRVVRAALEAIARCRDCKGGLVTFLRTYTPDHFEHGSWFSGGYCNRTRPLEEGEISLTSIGWELRRVQSQEVARVRETTGRTTSFELLDVTKAMMLRADGHPGGHYDKRWVRNASDCLHWCLPGPVDMWNGMLLQRLAHISPQPLLR >Sspon.07G0004250-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7A:10457930:10458903:-1 gene:Sspon.07G0004250-1A transcript:Sspon.07G0004250-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYFLKTDQHIVKVENIEDDELLGVEGRGGEGVFFYIVLFPGGVGETEESMTLENNFSPHLLLLGGVLLQCLWRGWGVLYRSPGLALHRLYQIRELEKLGCSPSRWVIADGFIPFPMTGVYFDSFQSQGAMGLFQLMVVFGFFFDGGSRPRGGRSRM >Sspon.01G0011630-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:38299061:38302986:1 gene:Sspon.01G0011630-2B transcript:Sspon.01G0011630-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:At5g52210 [Source:Projected from Arabidopsis thaliana (AT5G52210) UniProtKB/TrEMBL;Acc:Q38921] MFSLFYGLWKYVFTKDEFRVLILGVDKAGKTTLLEKLKSMYLKGEGLPPDRVVPTVGLNIGRIEDAKAKLVFWDLGGQVGLRTIWEKYYEEAHAIMYVIDAATASSFEDSKSALEKVIRHEHLRGAPLLIVANKQDLPGAIDDEELAKFLHKELDERQYTFQAVSAYDGRGIKSGIDWLVDQIEKSKRTETLQARAGVPGQI >Sspon.06G0015990-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:77857766:77860156:-1 gene:Sspon.06G0015990-3C transcript:Sspon.06G0015990-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPGVELARRRRVHYRGDVASSEGAAEHQYHAHAHHAAPAPTGVAGPALAARIRLEEKLRGAALPPSTSTSPSRWSRLMRDGRSTPRHPQSTRRRDEQDVVVPAPGAEPEPAPWRPAPPDPAALLQAAADMPAASASTRRRRAELTRTLSKVDVCAVCLDEVREERRQRVTRLPCSHKYHSECVLPWFAIHPDCPCCRALVPSADTLVQV >Sspon.02G0000920-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:3937104:3938633:1 gene:Sspon.02G0000920-1T transcript:Sspon.02G0000920-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPVILLSCRPGRVGSGDVASTSSTPDATASSRRQGTDATSRRRSGGLVSAARSRASGDVANTSSTPDASADTTSRGESVVPVPARNRVSEQVALIEKRGHEQSAVSQEVGDDSEGCGPRDAGSAEPGVGGARLSGGNRDATPELQDDTEKGMKRQAESTDAARKKHSGEAAVQPQYHHEHRQELAPKSSAQPAAAQPARDDAGEKAPSPSRHELQEEHLGPLRKKILKTVDELKGDLSELFSKSPELNPPRARPPRLPKQEGYVSRAAAAASGFPAGARHAAAATDGHRGHHGSAARAVKPRQAAAPPRGLPSRRYRQCRAHPCCHNVEPRPCQHGCCRHHGKPECSSCRGYCCRPRAQEPSAPRKPPAGKEPKRRLPPRNHCRPVLKGAPFIVCSSCFKLVQVPADFAVSTRTVRKLRCGSCSTVLSYSYRDPARKKAYQDSVDRCSTDGSELHGGKGDERSDPFAPFIDAFGLSSYSTEDEQRLPVSRNTSFDPSTGRKPWGGCTG >Sspon.02G0052000-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:78183454:78184753:-1 gene:Sspon.02G0052000-1P transcript:Sspon.02G0052000-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALYLLASLATTLATSGFHSLLLLLRLLVRYPGRASPAAADGPAAAARLYEGRVRHSRRRPAAHAFEYPARYALIDLDQLPLPDHLSADEARRVASTSGPVHLLTIPKSVGYEQNPLSIYYCYDSAAQGQDGELRMCIAEVVSVYIRFIPICLLLIICNCLISYYWCQVTNTPWGERVMFTFQPGSDLVAKPLHVSPFMDMLSSWSIRADAPGDRLYVVISIQHPTLGDYFTAALDAKLVGQTSNSLRLATFFWLMPHKVAAWIYWE >Sspon.01G0048000-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:106922656:106925892:-1 gene:Sspon.01G0048000-1B transcript:Sspon.01G0048000-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPAPKQEELQPHAVRDQLPSVSYCLTSPPPWRTPFLSSFLPPVLAAAACARCFLDLSPGLTTDAVSLVCNAAEAVLLGFQHYLVMLGTTVIIPTALVPQMGGGNEEKARVVQTLLFVAGINTLIQSFLGTRLPAVMGASYTFVAPTISIILAGRYNGIADPHEVRLLAFLSCPVPVQQRFISLLHLWLQKFVRIMRGTQGALIVASTLQIIMGFSGLWRIVVRLLSPLSAAPLVALVGFGLYELGFPSVAKCVEIGLPQILLLVALSQYVPHLVPLLSTAFERFAVIMSIALIWLYAFFLTVGGAYKNAAPKTQFHCRTDRSGLVGGAPWISVPYPFQWGAPTFDAGEAFAMMAASFVALVESTGAFIAVSRYASATPCPPSIMSRGIGWQGVGILLGGLFGTANGTSVSIENAGLLGLTRVGSRRVVQISAGFMIFFSILGKFGAVFASIPGPIIAAIYCLLFAYVGMAGVGFLQFCNLNSFRTKFILGFSLFMGLSVPQYFNEYTSVAGFGPFNDMINVVFSSKAFVAGAVAYFLDNTLHRRDGTVRKDRGHHFWDRFRSFKTDPRSEEFYSLPFNLNKFFPSF >Sspon.01G0052550-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:3234041:3234649:1 gene:Sspon.01G0052550-2D transcript:Sspon.01G0052550-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding TAMGKKTGRSSNGGDAAAAPKATAFVLKVPMHCRCDGCGDKIRAAVKDLTFRCEGIQSLDQSALGTKGELAVVATADPERLRRRLRKATGKDVGLVFPKPNTAKADSGGKDAAAAVQALLAGLPLQQQQPVHAHHGHPLPGAGTWNALQHGGYGPAAYPWVVQQQALPEPYFASYPVAAYPAASWGAYPHDGLGGGGGGGWLG >Sspon.01G0041780-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:55054329:55061975:1 gene:Sspon.01G0041780-1B transcript:Sspon.01G0041780-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGAAATGPPAMAPGAGGGASIVHILMREKMAKELDGILDSSDAETAKSCTSEGSTSFLERIITPIYDTMAAEAENNKNGKAAHSAWRNYDDFNEYFWSRSCFELGWPPAEGKTNFVEHRTFLHLYRSFHRLWIFLLLMYQLLAIIAFHHGKMDIDTIKILLSAGPAFFVLNFIECCLDVILMKVLEEKNARNSDSTYFRIYGLVLGGYAAVRIMFALMAKIPACHRLSSFSDRSQFFQFFKWIYQERYYVGRGLYESISDYARYVIFWVVILACKFTFAYFLQIKPLVEPTKIIVQLHDLQYSWHDLVSRGNKNALTILSLWAPVLAIYLMDIHIWYTLLSALVGGVMGARDRLGEIRSIEMLHKRFESFPQAFAKNLSPPRISSRPIAQDSEITTKMYASIFSPFWNEIIKSLREEDYISNREMDLLMMPSNCGNLRLVQWPLFLLTSKIMLANDYASDCKDSQYELWDRISKDEYMAYAVKECYYSTEKILHSLVDAEGQRWVERLFRDLNDSIEQGSLLVTINLKKLQLVQSRLTGLTGLLIRDETAGRAAGVTKALLELYEVVTHEFLAPNLREQFDTWQLLLRARNDGRLFSKIFWPKDPEMKEQVKRLHLLLTVKDSAANIPKNLEARRRLQFFTNSLFMDMPTAKPVSEMIPFSVFTPYYSETVLYSMSELCVENEDGISILFYLQKIYP >Sspon.05G0030520-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:90879864:90880537:-1 gene:Sspon.05G0030520-1B transcript:Sspon.05G0030520-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPERCSVVGTSDLRWRPSLLADLGQPGDVLALTGSLPGETARASKALLGCDSDHFRRQ >Sspon.03G0016880-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:40148171:40154986:-1 gene:Sspon.03G0016880-3D transcript:Sspon.03G0016880-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLRLVFLLCLFNIVFVSSAERNLVTSLPGYEGALPFRLETGYVSVDEDNGTELFYYYIESESDPRHDPVLLWLTGGDRCTIFSGLAFEIGPVKFIREPYNGSLPRLEYNPYSWTKAANILFVDSPVGAGFSFSWDPKGYDVGDRSSTMQLKKFLSKWFEEHQDLLRNPFYVGGDSYAGKIVPYLVQKISEDIEGQVRPSLNLKGYLVGNPVTGESIDDDSKVPYAHGVGIISDQLYELIDECSKPHILYKKCIYVSPGKNDEPARRILNEIEGLKRPPPRPPMDCQSYVNYLSYFWANSIDTRDALGIKKGTKDEWVRCHDGDLPYSKDIRSSIKYHRNVTLKGYRALVYSGDHDIVVPFLGTQAWVRSLSFSIVDDWRAWHVDGQSAGFSISYSNNLTFTTVKMDTSRDHLSVFVNDQEAASEQKSASIR >Sspon.02G0056710-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2D:30816288:30816590:1 gene:Sspon.02G0056710-1D transcript:Sspon.02G0056710-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGSAILNVLHGVSDAIGMQMRKSLLLQMRAPDGPDGSRAGRRRRAVPMPPQPLSSPRPPAPARSSSEKPKPQLELRRWPTSTRRSQRDRWKGSRRAPEV >Sspon.02G0015550-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:42443946:42446441:-1 gene:Sspon.02G0015550-1A transcript:Sspon.02G0015550-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMALSRFTQWLWPGGAAARVAATHEHPSAGLTSSSFPDFPSGFREPDTVTFYTGGGAGGRRARPRRVRNRRRSRGEARVDREYDMVIVPSDGGGCLSGSDSDDSDWSIGWLEPQAPELQTDGDPENCFAVLVPCYRHGRQEQQPRRHEGRFLGAGALTDGGLSDGKNFVEQWLSSLQN >Sspon.05G0018690-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:74586097:74589700:-1 gene:Sspon.05G0018690-2P transcript:Sspon.05G0018690-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEKHHHHLFHHKKDEEQLEQPGGGGGYGEAAEYTETTVTEVVSTGEDEYDKYKKEEKEHKHKQHLGEAGAIAAGAFALYEKHEAKKDPEHAHRHKIEEEVAAAAAVGSGGFAFHEHHEKKKDHKETEEAGGEKKHHFFG >Sspon.01G0004560-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:56364351:56366450:1 gene:Sspon.01G0004560-1P transcript:Sspon.01G0004560-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAGGGAVRALSQKEQDIQMMLAADVHLGTKNCDFQMERYVFKRRTDGIYIINLGKTWEKLQLAARVIVAIENPQDIIVQSARPYGQRAVLKFAQYTGAHAIAGRHTPGTFTNQLQTSFSEPRLLILTDPRTDHQPIKESALGNIPTIAFCDTDSPMRYVDIGIPANNKGRNSIGCLFWLLARMVLQMRGTILPGHKWEVMVDLFFYRDPEEAKEQEEEAAAAPDFAAITDYQGADQWGAEQWTSDVAPPVAPTGADWGATPAPVPTGDGWDQTGAPVPADGAVPPVIAPTGWDPAAQPTAQGWE >Sspon.04G0004250-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:12859010:12862359:1 gene:Sspon.04G0004250-1A transcript:Sspon.04G0004250-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATTAYSTVLLGGARLPAAGATAPPSALLLPRRNLFPLPLRLQDAAAPRLSLLRVKASSDDSSAASGDELIADLKAKWDAVENKSTVLTYAGGAVVALWLTSVIVGAINSVPLLPKIMELVGLGYTGWFVYRYLLFKESRKELADDIESLKKKIAGTDTQTRRTVTAVAVMVFGQCGGTLTQARSTGLPPTDHLEHIGLVTPKYGPTNKLLVK >Sspon.02G0058610-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2D:80764551:80769739:-1 gene:Sspon.02G0058610-1D transcript:Sspon.02G0058610-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding EGLRRTALQESLRQNHDDSPSPTRWHEGALLTPSMSTPASPPPNAFYRRAFTNIFGEPQRHASTNSSAGLRQQTLSGLHQQIFFGLHQQTFFGHAFINKPSPAFVNRSSPAFVNRPSPSRPSSTDLLRPSSMDHLRLGLLFIGVHIGNSNPNINFHYYDYIDYTFITSIGQEGVGEHIGGAATPEREVFLPGAHEKALKMKTSALSSLEDEDLHTEKASKTKTPTPRRLGDKDLRAEDLRVEKMLVEAFYARAFVGASSDRTFVGASSGRAFVTSLFHPGLRRQPSLAGPSSESPSAGPSSPDSSTRAFVTSLLRPGLRRSLLRPGLRRQPPPAEPSSPAFSAWAFVASLLWLGLRRSLLRETFSWRPSLEDLLPSASSARAFVASLLRPGLRRSLLPAAFSGRPSPEDLLPSASSARAFVASLLRPGLRRSLLRETFSWRPSLEDLLPSASSTCAFVASLLRPGLRRSLLRETFSRQPSPEDLLPSAFSCRPSPGDLLPPAFTEGPSPASILRGAFYSQPCSGRLSQQT >Sspon.02G0024880-3C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:90625254:90629206:-1 gene:Sspon.02G0024880-3C transcript:Sspon.02G0024880-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALEKRGGHAPVIVRAAKPSSPSARQRRSKSDLDDRDAKGAPRSSQKASSQTKLVILQLEMHLKDQQVVRGALEKALGPDDDPAAAPPTLQHESPALKPATQLIREVATLELEIKHLEQYLLTLYRKAFEQQQQVSSDARPDREAAARKLSVSSRPDETPRPKGPMIRGGGGDPTMLHYSCPPLSGKGRRNNGCTVDDCSPSTCPRRTTADLVDTAGLRSQSALSFRGAWSSSSSRIRPRRTASPGRSGPATPSPSPSWRWEGETAPSGVVSLADYLGTSVADHIPETPNNLSEEMVRCMAGVYCRLADPPLLAHHRPSSSPSSSLSSAPSAVSPQQHLGGDADMWSPTSYCCRKDGAQLDSRLINPFRVEGLKEFSGPYSAMVEVPAISRDRTRHREAEDLLQTYKLILYRLETVDLRRMTGEEKLAFWINVHNALVMHAYLKYGVPQNQLKKTSLLVKAECKIAGRAINAAVIHGLVLGCTTHCSSGHWLRTLLHYPRTRTSRASKAGSEEWRAFAVRQPEPLLRFALCSGSHSDPAVRVYFPKRLAQQLEAAREEYVRATAGVWKDHRVLLPKLLDAYARDADLSPDRLLDAVQRCLPETLRTAVHRCRGDGRSAGKVVEWVPHRQSFRYLLARDLAFPHLS >Sspon.01G0008580-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:28746623:28748130:-1 gene:Sspon.01G0008580-2B transcript:Sspon.01G0008580-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPAPSSHHSQINSRKEKRMRKVDTFAPHNDGHQWRKYGEKKINNTNFPRYYYRCTYKDNMNCPATKQVQQKDHSDPPLYAVTYYNEHSCNSAFLPLSPSEFQLQTSSGKAVSICFDSSSGAAAAQEQPATVAGTNASGGGGSPSSSVAAARRGTPPEISNPPVLRRSETYPWGAGAGAVEQKPASCSTECHDAFSGAAGAVPEEVVDAGRFGSIRFFHFL >Sspon.05G0010430-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:20976688:20986924:1 gene:Sspon.05G0010430-3C transcript:Sspon.05G0010430-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLLQLCSVSLVTGLLVCLHSAAKITHKTQAITSVAAAWHADATINSLDRDQENPRTPSKACLQQHAPTSPFPMANASSGEESDDDEWRSEDSVDTSRFTSFHASNVSFQKRQALVTYLENNRAGITVFGFVVDRTWLHALFMIEFSLILTAATIGEVYMDMEAIRPTLPTKKLVHVVDKTAVKANNAKTGSISCKANLYKLKRPSESDSHLLKLTPFSSSHTLIRQ >Sspon.01G0042710-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1B:67866226:67866723:1 gene:Sspon.01G0042710-1B transcript:Sspon.01G0042710-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAGSVQGHGARFAAACDVLSRYVKAAAVATTTVDQPRPLAGTVAGVLPLMPGADLSTAEADAGPAPGAQQLTISYGGRVVVLDDVPADRAAELLRLAAAAQQGAAPPVLRKDDLPMARKASLRQFMEKRKGRVAKRGSPYIRPADAGKAAAASSFPDHLALTL >Sspon.06G0008140-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:36551455:36566472:-1 gene:Sspon.06G0008140-2C transcript:Sspon.06G0008140-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGRSLPVGALLPEFLPNPKAAQTQDALPPVRADSSSSPSTWELTRVQIRSAITPRGTQGLGAIQSCAAMAGVAGLLTSALVKIVGDKLGSTIGQQANLVWNFSRDLEDMKDTLESMAAVLKDAERRSIREDSVRLWLKRLKNAALDISDMLDEFEVNSKLTQGKAVGIFSCLDIAPKINMANKMRKMRDQLRKIKEDHLNFKFECYHRIDIDHQFTDRETTSKVNEAGILGRDKERKRVIKLLSASNDKEGPIILPIFGLGGIGKTTLAQLVFNDVYFKGYDHRVWVYVSQVFDLRKIGNTIMSQVLKEGRHNGDSREFIYQRLQELLDDKKTLIILDNLWETDDSQLNELKLMLNISSKMKVLVTTRNEEIANRICTITPCRLSPLNNTICWDIIKKNINFESREDRQQLKQIGLVIASKCRGVPLAAQALGFMLSKMNLKQWEEVSNSDIWNEPFAENSVLPSLKLTYIAMPPYLRLCFAYCAIFPRGHIIAKDNLIHQWIALDFINPSNMFSIIQLGEKYVGQLLGMSFLQHSKLPTSTAQNSSMLTMHDLVYDLARSVMDEELVVYNADKARSTVDRKYCRYASLTNCCKALNISTALPAKLRAMFFQDCRNLGIHDGSFSFAKCLHVLDLTESSVSKFPTSVGQLKQLRFLIAPRMKNLSFPNSFTGLSRLQYLSIRGSSMISSMPESIGNLNNLMYLDLSGCSEIEKLPKSLGNLKNMVHLDLSACSGLKVISKVLCDLTKLQYLNLSSCSNLGRLPQDLGNLTELQYLYLCGCLKISVLPESLGKLINLVHLDLSLCSFVMPEELGGLTKLKNLSSLPNSIGSIHSLELLVVNDCSKSLKDYIRKSGLRCNPLSHLVVRPNDGDSGSNLHQLEGINPSELQISYLENVRIPGEAIGIELCNKENLSKLTLHWTMEADPVLNKDNLSRGSILAHVMDPSMETVGPCD >Sspon.03G0028770-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:11013787:11020026:-1 gene:Sspon.03G0028770-1B transcript:Sspon.03G0028770-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGVLSTIRWSTACAALLNAAAASTGAAVAAVALGRCDGGALGPAAAAASAALAARLLASAVAGFAQGAAASAIAAGAIGAHVDSERDLRQLSRSSNASEARGNGSSGIDGRIGRKLSSTLQIGFPDHTSRECGMAELRYKRWLWWTRFGMVITVLQFVLAIYLMCIILKDVLDGGSSKQCFSGQNQNSDWRRILLITFLVSMWVSTIVQCATGSDVLRSVLEDDDICVVAKLLGDLMAYRASGTGHLELVAGLSLLQKSKSSTIISKELVEAPQDLIQEAILFHPFAEAAYTGPLLDFGRNPLMFPCVWLNRQGVLTPWTRARRPVLDGDNWWRGHAAAFLKYANVTPEVLRKGRVNQTKREAAYFVVVLHYLSTVVIAIRGTETPEDVITDGLCKECSLNIDDLDGLINSDHLSPQVKNAVLSSPHYGHAGIVESARELYAELEGHPIYQDKSDTVKAGFLSSLLGDGCECHGYNIQIVGHSLGGSVAALLGIKLYGRFPKLHVYAYGAAPCVDYVVADACSQFVTSIVHNDEFSARLSMNSVIRLRSSAVTALSKGASPNSTKFGKLVGGIMSARTDDKNALDHCASAGALQTVSEAERSNDQTHGRNPMHTIRGGLFLFGQAISCLINTPKHRVSSTAAINYELGRSRMTTVSDGRKSMVAYRGFMDVSHCGEGNAHVDSQFREDDLYECGGAYRSPHSNDGPGLMSASDDHMITISSSEGQSPEVYLPGLVVHVVPVKKGASPLQKTIVTHQKNKSYKAFIACRKDFMDLVVTPRMFLDHLPWRCHYAMQKVLETRKRDQHTSDTSTAEEAV >Sspon.01G0021160-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:92820703:92836956:-1 gene:Sspon.01G0021160-1P transcript:Sspon.01G0021160-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLSIAVLTSPLLPTSVPAVRPHPRRLLRLRVRSLATAASLSPSARSLRLLEWGKVCDAVASFAGTAHGRDATKVSRSDLPGCHFSLPEYRRRGSFLTPGVAWFGMRAQKQLWELEDVSYEQSQRLLQETEAAVSLLDNAGGAMDFSGLDTVAIESAIHCVSGGAVIKGLEAMAVASLMLFVESLQVNIKAAIKLDEGSRSRLMTLTETILDAVINKSLVKSIQDIVDDDGSVKDTASPELRRYREQVQRLESRLYQLMDKLMRNADDEGSLSEVCIVNGRCCIRTTMDNSSSFDGLLLSSGSDAGSMIEPIAAIPLNDELQESRALVAKAELDVLSKLTDKILLDLDSIQNLLQEAIKLDKIAARAKYSVAYDGTFPDLYLPNFENETVTSTTGGPVEQISSAQLPKKAWKLYMPNAYHPLLLQRHQENIHRAKRDVASATAEIRRRRIYGQDIAEEDQLASDLDSMKLRVSQLEKNQPVPVDFMIAEETTVLVITGPNTGGKTISLKTVGLASLMAKIGLYILASEPVKIPWFNAVYADIGDEQSLTQSLSTFSGHLKQIGAIRAESTSESLVLLDEVGAGTNPLEGAALGMSLLESFAEAGSFLTLATTHHGELKTLKYSNNSFENACMEFDEENLKPTFKILWGIPGRSNAINIAERLGLPLDIVESSRHLLGTAGAEINALIMGMERFKQEYQQHFQEAQYLIMQSKELHNNLELAQKNIVDHTSAQRKRKARVISEYAVMARSIIRKKFQQFQESAIAERLKEEEKAVNDAKSDRVKDPVLANTSAIGKTQNIDTDLGMATNDDEGGVPEVGDSVYVPKLKNEATVVKIDSSKNEVQVQAGMMKLKLKLKDVKVQKRKVSR >Sspon.03G0005610-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:15987922:15992008:-1 gene:Sspon.03G0005610-1A transcript:Sspon.03G0005610-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSSSITFASSSSYLSNGSSPCSVAMPPPGPPQAPPLAAGELWGGGVAAAGSGSSVEAVSLNRLSKNLERLLLDPDLDCSDADVEVPDGGPPVPIHRCILAARSDFFYDLFAARGRGGAARGDATAGAGGAAEGAASGRPRYKMEELVPGGRVGREAFQAFLGYMYTGKLRPSPVDVVSCADPVCPHDSCPPAIRSAVELMYAACTFKIPELTSLFQRRLLNFVDKTLVEDVIPILKVASHSGLTQVIDKCIQRIARSDLDDISLDKELPPEAVEEIKNLRKKSPTADGDGDAFISDPVHEKRVRRIHRALDSDDVELVKLLLNESDITLDDANALHYAASYCDSKVVSELLDLALANLNLKNSRGYTALHLAAMRREPAIIMCLLNKGANVSQLTADGRSAIGICRRLTRLKDYNTKMEQGQESNKDRLCIDILEREMMRNPMAVEDAVTSPLLADDLHMKLLYLENRGEVDTMLDIVALIGCPLPPFKFSKFKSWEVRLFFPAEAKVAMQIAQADTTEEFGGIVAASTCGKLREVDLNETPVTQNKRLRSRVDALMKTVELGRRYFPNCSQVLDKFLEDDLPDGLDQFYLQRGTADEQKVKRMRFCELKEDVLKAFSKDKADSSMFSGLSSSSSCSPPQKSTKR >Sspon.03G0018730-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:57899319:57901079:-1 gene:Sspon.03G0018730-1A transcript:Sspon.03G0018730-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRADSRMMVVFGALTSKPEQLTFEESLRFVKKVKARDYMLYLSLFDILGRMELSQPDAYGELQLLFRNHPDLCVELEKFRPPVPIKQTTNNIWPWVIVCAVPLVAVSLIPALGNPVLWFVQQTIGEKIAV >Sspon.01G0031640-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:106397980:106401306:-1 gene:Sspon.01G0031640-2B transcript:Sspon.01G0031640-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWASAAYTAAALVCATAACVVALAHIYRHLLHYAEPIYQRFIVRMIFMVYAVMSFLSLILPDNAIYFNSIREIYDAWVIYNFLSLCLAWVGGPGAVVVSLSGRNLKPSWILMTCCYPAIPLDGRFIRRCKQGCLQFVILKPILVVITFILYAKGKYKDGNFSVNQSYLYITIIYTISYSMALYALALFYAACRDLLRPYNPVPKFIMIKSVVFLTYWQGVLVFLVAKSRFIKNADKAADLQNFVLCVEMLIAAIGHLFAFPYKEYAGPNARPSGGFRESLLHALKFNDFYHDTVHQFAPTYNEYVLYNHNEGDNAQTKYPSGSTAPSGQGVELAGITVVASNSPVTSSVSSNQADQEETMTTPIKDKVDPPGGLYDLTELLDVDLSSYPAKVPAITDVRKQ >Sspon.02G0058460-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2D:75881227:75887094:-1 gene:Sspon.02G0058460-1D transcript:Sspon.02G0058460-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRGYSRGVLVWLVLVCSCIHQAPRCQAQQTPRTDPVEVAALNTILGRWGQKARRSPAWNISGEPCSGAAVDSSTEIDGNYYFNPGIKCDCSFNSNTICHITKLRVYALNVVGQIPSELQNLTYLSYLGISLDNFSGGLPEELGNLSNLEQLRASDNDFTGKIPDYFGSLTNLEDLGFQGNSFVGPIPASLSNLTRLTNLILRNCKVSGNLGTVDISKLTNLILLDFSYNQLTGNFPSWATENDLHLNLVANNFVLDSTNNRMSASSLRYYGLGLENGNYTVVLQFAETGYPDTQTWQSLGRRVFDIYVQGSLREKNFDIRKTAGGKSFTAVSKSYTATVSKNFLEIHLFWAGKGTCCIPIQGYYGPMISALSVTPKKGEEGWYDSNKVSDIPTKLYDLIGQPDVLSLTELKLATDNFNPQNIVGEGGYGPVYKGTLTDGRVIAVKQLSKSSLHGKRQFVAEVATISAVQHRNLVKLHGCCIDSNTHLLVYEYLENGSLDQALFGDGSLKLDWSTRFEIILGVARGLAYLHEESNIRIVHRDIKASNVLLDTDLTPKVSDFGLAKLYEENMSHVNTTKIAGTFGYLAPEYAMRGHLTEKADVFAFGVVALEAVSGRPNTNNSLEESKIYLLEWAWSLYEGKQPLGIMDLRLNEFNPEEALRVIHAALLCTQGSPHQRPPMSRVVAMLTGKTEVADEVAKPSYVTDWQFRGGKSSCTTSSYWGSTSTPELSRQREIDPLTQSPTITGASQELEGR >Sspon.01G0008880-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:25827066:25829605:-1 gene:Sspon.01G0008880-1P transcript:Sspon.01G0008880-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAQAFAKGSVVAPCGNRAAPGLLGRRRGAVAARMAPSAVRIGGSWRKNAFPGGRLTLGLGTRRSRPASRSLFASPAQMDMNLAIGKSMRWWEKGLQPNMREIESAQDLVDSLTNAGDKLVIVDFFSPGCGGCRALHPKICQFAEQNPDVLFLQVNYEEHKSMCYSLHVHVLPFFRFYRGAQGRLCSFSCTNATIKKFKDALAKHKPDRCSLGPTRGLEESELLALAANKDLQFTYTREPEPIPRGDAPGEVIAPEPPKLPAATKPLVRLGSEERSLVSSAR >Sspon.06G0002510-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:6517958:6526943:1 gene:Sspon.06G0002510-3C transcript:Sspon.06G0002510-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MYADRTSGRKRSVMDRLGSGGASRSRSDGAKRNLQSNQKSQVQQRIEVVKKSPVSDLREKLSGVPSQRPQLSSTVQVPKPVKEVIKSDKPVQKRDPPPTAAPIVIKKVSAPDPPPTAAPSALPKQSQDKVDASLDSLLKSLDLEKYLINFQAEEARSQEKDTISIGSQKEEVFEVIAYQYQLIHL >Sspon.01G0038990-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:20398564:20400509:1 gene:Sspon.01G0038990-2C transcript:Sspon.01G0038990-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQTVQCRVSGGGGGGMRTVECLRGRLLAERVASKAAKEEADQLSKRLDELEKKLADEVKVRNKAERRLRRAIKKLESLKILDVELSDGSIGSLSSNGRSGHQAPEVEVEVEAERNGPGSLTTDGSVPSAPSGDADADRDVSRESSEGSCTQVNSSSQDGSWCSVVSEQSRPGSCMDLAGNTTHCSSEGSGGDHDSEREHLDASSGCGSAKSEEAFYESDDRLALVLVDPQLVAAAAADDGPRMQDNGTQAEEVRARSQEEEQQEEEEANKLAIPQLAAAAAQTGPPKPHGDVESVLLALRRVKEQLRYTIERRSQSQLVVAHQELYGH >Sspon.01G0008570-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:23785061:23788119:-1 gene:Sspon.01G0008570-1A transcript:Sspon.01G0008570-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRSKNEDDKALVLCQERKRFVREALDGRCAFAAAHFAYIQSLRHTGFALRKFVEPEVPADSSLFTSTSATPEPPTIMQKSTNLSPSLSHHASDSFSPVPSPLSSGRFHVNHMKAGGNSVTTVKEKVLEPVTATLQTSSPVRGQAIHDLDDSSTFEAPPGTPPWDYFGLFQPVESQISFHDDKELGHDFENDDEIRRFREKEGIPELEEEPEKSPAYPDYLKRRLGEEKPQDLKDVEEPPMNGGEDDLALSEDDFDNPTSESLVRMFKNRNDTPVAHIATGQSPAPLPTDDLASETIDSQAERPKDDPAVDPQTERPKDNMAINAQTEKIKDHTGVDSHAEKPKDDTRVLDISMYESDETPVTSPLKETSTSTAAFPVNGKFKEPLRDVRNVARDLNSCIKEIEILFIKASDSGKEVPRMLEADKVNFRPLLPEEKAPGSTASGFFATLFACCREEVPVPQPPPQAEVQYLTWHRSMSSLSSSSRNPLGTTSKEDTDGLTGNIFGGVYMNSGSHASTLDRLYAWERKLYDEVKASSAVCRQYDDKCRQLRHQESRGESQLSIDKTRAVVKDLHSRILVAIQRIDMISKNIEDLRDKELQPQLEELIG >Sspon.01G0003080-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:8356951:8362268:1 gene:Sspon.01G0003080-1A transcript:Sspon.01G0003080-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGREKPMFSLLDVSLHPPEWNEEGGEIHWMLLDNQAYVAERHNNTTAFSETWRGEQIQVTLCLARPPRVSYLCVFCPGLEHSVFPVEPHILAMEEDLILLRIIVSSKTDIFKDADYYIYQATDEAVGGGPSLKRLPRLPRLPKLRSPYEFDSDQVGILRCGARHQRRYNALCPHSDTAGDFYIVAALCRAPNSVAAGEFVICLYNSNSPTIWITHKISVDENQHRLQYGCHFEHYNSKVIPIGGDSGTMGFVDLWRGILFCDVLKLQRGKTTPPFRYVTLPPPLLPGRANRGDARLARDIAVVQQGRTIKYVELQVHWKPYPTFRGQYFRDGWMSRIWTRPVDADCAEDCWKPGCKQESSDIPVDSNPHFKLLPKILDHSGMTVKPFKGLFVCQPTLSLSDDDDLVYFMTKGKSMDGKAWVIAVDMKNNTLQGVDEFVAERTIGVDFGYMHSRISKYLTAAPGTKGNLKRPGTVLLGSSNKKPLGFWMSMPPWDNEQKNMILKWNKGREELTPREGADEDLMWGVAGEDDGDGRWCDERAHRHEVEGEGRAKPAGERDRR >Sspon.02G0023590-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:80165864:80169545:1 gene:Sspon.02G0023590-2B transcript:Sspon.02G0023590-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DSPVFSFLNNLSPIEPLKSAYNTNSLQGFQSINITSISSIFTSPHDNVNKEPRLPKSSLGEISENEVCADGADTNKPSKSTNAVRLFACTSTVTRETRTDTCSGVVDPATGPYDLAQPGQFDNGSPDHNTTPCHGVRSDLKQDKTRKLEAAQTVKNTLEKRKCLFSTEIRLLDGSSEPANDNDEVLGCEWSDLISTTSAERLAFDSTMDEHHRGVHLAAKNAESCGYLLSRLTGDGDVSDRAYPSGSGQVYYQDLVMGEDQTENAQIFQDGQETISTEEIQDNIYEANGCIPLDYKVESQQQRGIRRRCLVFEASGFSNSVVKKGTVEDLSVSTCKGKSPVQTQPRGLRGIGLHLNALALTPKGKMACQDPTASALLPSSASEKDVHSKLLSAGENFTPSGGELSELPMDDCSAGGFPVSDHVSGQSVSPQKKRRKTDNGDDGEACKRCSCKKSKCLKLYCECFAAGVYCSEPCSCQGCLNKPIHEEIVLSTRKQIEFRNPLAFAPKVIRMSDAGLETGEDPNNTPASARHKRGCNCKKSSCLKKYCECYQGGVGCSTNCRCESCKNTFGRRDAETELTEEMKQESEQTENCPQEKENGQQKANVQSEDHPLLDLVPITPPFDLSSSLLKLPNFSSAKPPRPSKPRSANSRSSASKATTTVQPCKSSKIAVSGIDEEMPDILKEPNSPNNCVKTTSPNGKRVSPPHNGLSISPNRKGGRKLILKSIPSFPSLAGDTISVSAMNNTDSTFSVSPLTL >Sspon.02G0049980-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:46725482:46736207:-1 gene:Sspon.02G0049980-1C transcript:Sspon.02G0049980-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding NEAQPLAVARVGRCDPICPDAKVTRFEVRGETDSDHAREQRHRSRRGMGFSRSAQFYSASIFRGLLPWALNVGRGQKQGAFLNMHKHDFSADMLTTWKKLEAETTYLVDVPCVGEELAADGLEHVEGWMRVLCPDTAGGPGDIAQPKRKRLSGRLRRDMPRRAFLSRRAVSCHWYKKENLQLLKGLGGDVEAGGARRLDGGGSLHQSSLRCGEEAWTLEMRAYARGPAYAVASHPKPDESNETRKGPYLARQLSEARSSAGFAPLPKGDRPPLGSGDTELPSYGSVEALPAPKESDVPNLRPRGRASQNLPPGGRAKPRSRPLTVRSILIVGDPLPHIESRVRHFRTKFGALEQMLNRNGFTWDDTKKMLQCEKKQYDAHCKEHPDAKGLYGTTFPYYDTLSAIYKNDIATGESAEDIGEAVGNMQEELAAEHGNQHDEEQDRMSRETPDGLLTQHHLAARGGSGKEERGVASNVGKMAEAMDHEAAIQEKAMNENPQQILREKVVAELRKLGFTGTEQIKAATVFVRMPEQMSMLLTLDESLRREFILNMLNAKVALTGRAGPTDRTRRSHNGYFREPPTGRASRADRTLWARPDALVPYRTERAGQARAAHNETATGRAGELTGRHSARVRLESRRVYRKKRLQGCTLSWTLSKRMGRRPVLLADEQVVRTRCGQSEVENRTRSLPFVRSSPNLATASSRGGDGGASGFRLPLVSRMALRLNDVALCLSPPLAARRRRCRSGCSSRFVAVASMTSAVSTKFLRLAATPTPNGFGARRALSFSSFRGDWGLGSGCPCRGCQIRPAELGPGLRGGNGRTCARATPLAASADQRRQGRSSAIKNSAWVGRFLCAARAGTGDWPLG >Sspon.03G0046660-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:43458342:43459231:-1 gene:Sspon.03G0046660-1D transcript:Sspon.03G0046660-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MWEKKTGRTLQKEQVPEEDILKWIKVHLLPPAHPLIRIPILSLVVDECLNAEAAFPLNILLSLGLSIFVRGEQANFDIDPAVGVEATQLYPDVTYTTVNEYLNRVIDAGLLLRDNVL >Sspon.02G0003540-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:11777096:11781755:1 gene:Sspon.02G0003540-2C transcript:Sspon.02G0003540-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGLLAAAVLVAVASLAAHVALNCPVQPVPSTPRPPTPPPNNLLQVNESSRKILAARQFVVAVSCACVTLTRAVQRLEKLGEGALDAPEDVYVDAAAGGTLYTATRDGWLQRMHPNNGSWERWRFVGGTGLLGVAPSADGTMLVCDADKVGYLHRPPMGGLASVVGTFESRGGRSDPSCFGGRRLHHQVRIPTQIPDEIAPCGATADRSLAPAGQHHYTRFADAAIEASDGTVYFSDVSTRFGFDRWFYDFLESHATGRLLRYDPRNGETSVVLDRLSCANGIALPRDETFVVVCETWSCIKVWLKGEKAGKAETFVDLPGAPDNIRLGSDGHFWIALHVSLLATGSRLLVSSIPNLLTASPDSFEFLVSKMQLSSPWLDFITRWTFTKRVVASFPVLLKWSKATIKGAMVAQVSDDGNVVRVLDDSEGKVINSVTSVTEFNGDIFLGSLSTNFVGKLSLAQVLIDFSFCQHSESWIIRPDYPNLERGRTAMLILPSGNERRRINQSHLAASNVKLAIMHPMLMNIRRKWLTSIRKTKQCITKGFRLSNPI >Sspon.04G0006710-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:18628489:18631979:1 gene:Sspon.04G0006710-3C transcript:Sspon.04G0006710-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKEEDVRLGANKFSERQPIGTAAQGAGDDKDYKEPPPAPLFEPGELKSWSFYRAGIAEFVATFLFLYITILTVMGVSKSTSKCATVGIQGIAWSFGGMIFALVYCTAGISGGHINPAVTFGLFLARKLSLTRAIFYIIMQCLGAICGAGVVKGFQQGLYMGNGGGANVVAPGYTKGDGLGAEIIGTFVLVYTVFSATDAKRNARDSHVPILAPLPIGFAVFLVHLATIPITGTGINPARSLGAAIIYNRDHAWSDHWIFWVGPFIGAALAAIYHQVIIRAIPFKSRS >Sspon.02G0010450-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:28817867:28819728:1 gene:Sspon.02G0010450-1A transcript:Sspon.02G0010450-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTAHSAQRAACRRPRQPSNAAQCQRRARLAAPRLGRQPSRTASQALAAITRAQCRTKWTAVAATPTTGGGERKGPPEIAPRLPGLFPFSFTSPSSLLTARPKDKLWRWPPVAARRRCAWRRREAHRRRARLPMAESAAVDWPYDGAILSTLSRTVARPSADKPMVALLFFPCDGSCSARAMRGGDCGRLRGRERGEVAQTFGLGGEESAITGSLVKLYARAATGGEAVPHVSFSFNLQPSPRSEERGGACVVRRRDGPRALHHHVTVMADNPILIKAMGSGLQLMSAYWFLWILRMVRYKLGKKKPLPPPPGKLATAN >Sspon.07G0006380-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:11910743:11911435:-1 gene:Sspon.07G0006380-2C transcript:Sspon.07G0006380-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MELVLTTPDLPTPLLLSTLTILVSVASCYVLFWKQQQAARRAPLPPGPRGWPVLGNLPQLGGKTHQTLHEMTKAYGPLLRLRFGSSDVVVAGSAAVAEQFLRVHDANFSCRPPNSGGELMAYNYHDVVFAPYGPRWRAMRKVCAVNLFSARALDDVRDVREREAALMVRSLAEQARDRDNNAPAVAVALGKAVNVCTTNALSRAAVGRRVFAAAGDGDEGAREFKEIVLE >Sspon.04G0000290-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:1050369:1052678:-1 gene:Sspon.04G0000290-2B transcript:Sspon.04G0000290-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin carboxyl-terminal hydrolase [Source:Projected from Arabidopsis thaliana (AT1G65650) UniProtKB/TrEMBL;Acc:A0A178WM46] MSWCTIESDPGVFTELIQQMQVKGVQWIPGEKDERPVVRDPNPNLFFAHQVITNACATQAILSVLMNRPEIDIGPELSQLKEFTGAFTPDLKGLAISNSESIRTAHNSFARPEPFISDEQRAVTKDDDVYHFISYLPFEGVLYELDGLKEGPVNLGQCGGADDLDWLRMVQPVIQERIERYSQSEIRFNLMAIIKNRKEVYSAELEELEKRREQILQENKTSATESLNNSLTEVISAIETVTEKMIMEEEKFKKWKTENIRRKHNYIPFLFNLLKMLAEKQQLKPLVEKAKQQKSSSPSTR >Sspon.08G0011530-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:50204396:50209192:-1 gene:Sspon.08G0011530-1A transcript:Sspon.08G0011530-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARERREIRRIENAAARQVTYSKRRRGLFKKAEELAVLCDADVALLVFSSTGKLSHMNDIIDKYSTHSKNLGKSHQQPPIDLNMRGEDLEGLSVEELHQMERKLEAGLHRVLSTKDQLFTQQISELHQKVTSIRRALSGDQLRLSHSHVPSDLGRTS >Sspon.03G0029490-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:14861241:14865069:-1 gene:Sspon.03G0029490-3D transcript:Sspon.03G0029490-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQSYVTAGKHEETPEAFPLPSGVAIVRADAGWAHCVAITDQGDVYTWGWKECVPTGRVIGDQVSVGTMEKDERQMAMATDQGVSPRSQVSRTSSGAASGPSESRGTDDSTKRRRLSSAKHGPESSTSSDESLSAPPCVVTFNTGVKIVAVAAGGRHTLALSDLGQVWGWGYGGEGQLGLGSRIRTVSSPHPVPCIESALYSKDRPSAMKGNKAAEEHVSKVLGNCVRAIACGGRHSAVVTDSGALLTFGWGLYGQCGQGNTDDVLSPTCVSSILGIKMQDVAADGDVYSFGGNQFGQLGTGSDQAETVPKLVDATSLENKNARSVSCGARHSAIITVVLAEPLGLGDSMDRNVPCSVPVDAYHPLNVSCGWWHTLVLAESPT >Sspon.02G0043860-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:97395779:97396456:1 gene:Sspon.02G0043860-1P transcript:Sspon.02G0043860-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AMTPPPTLLACILLTLLLAAAVAPVPAGAVCVPRGGHTKPGAPAKPKPKPKPTPAPAPPKPTPTPIAPGADIIRSLCLKTDYPDLCTSSIAKQPQPQLPGGKRLDGAGVLRLAMSAVRTKAAEAKAAATALANDPKTQPLARNPLHDCVESFDDIAYSLDQAQKALAGGDRDTTGTMLDTVRTDVDTCDQGFEEREELTPVMAKHDAELAKLSSNCLAIATAAGLR >Sspon.08G0005270-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8C:16132369:16133295:-1 gene:Sspon.08G0005270-2C transcript:Sspon.08G0005270-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLHRSQRCCSSKKPSHRAKEEEVDQRVESTSLTNRSHTHMERVARGKYLDTFTTGHRTAVCGDVQFTELDTITPQHSKTVDAREWGDALDEVAGWAAQTVGQVVAQHDDDASSPPLANDRGAPPPTSPSAINKCEQTDAVL >Sspon.02G0045780-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:100493229:100496069:1 gene:Sspon.02G0045780-1P transcript:Sspon.02G0045780-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDQDSVDDWVVLASSSSSSDDDRVIALSSGCTTPTSSATSSDSEPDHAAGASSTKFLLAHAAAAADPDDPEGMYALSDAEDTHATPPPSPPLPKPLSGLFHHTLAGGVAYAAFDPLPLPAALAHDHYVGKQLVPDPTFAAFFPHEPVAALASTRGLVCLRGTVSNAYYVANPATFKHERLPPPGRDHRAHGEPAVVIAFDLDPRDSDPERADALECKPFYRHYHVAVAFPIGDGIYAFESFSSRAWKWTMGADVADAHTVEPGSGVGVLGCAFWRTTMGPFLCYEPVSRCSDLVPAPPEVMQWPYWELGEMEGTLCVTCMDERVQAVVVIRLDFARRGTISWALAGHFEGGCLRGRQHGKAEVVMWDPSSETVVAMDIEGRTTRTIKFIPGSAYYADFIPYVRSLAAVSGSGKRANAERATAATNNGGADFQAMA >Sspon.04G0029830-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:74294808:74295736:1 gene:Sspon.04G0029830-1B transcript:Sspon.04G0029830-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RPB15.9.9 [Source:Projected from Arabidopsis thaliana (AT5G09920) UniProtKB/TrEMBL;Acc:A0A178UIQ5] MSGEEEENAAELKIGEEFLKAKCLMNCEVAIILEHKYEQILQHSSESDPSSQVSQVFEKSLQYVKRFSRYKNPDAVRQVRETLSRYGLAEFELCTLGNLCPDTSGEATALVPSLKSGGRFVGDAGDEKIEKMLNDLSLIKKFE >Sspon.05G0004010-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:4510469:4512729:1 gene:Sspon.05G0004010-2C transcript:Sspon.05G0004010-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGPNSISSRKSRSRRAAAPRPALQEAGSRPYMPPLSTGSRNPLAKCYVSSRPQSPLFLGTRTQAVGRNASTVDGSLCLQGDRFIPERSAMDMDLAHYLLTEPRKGKENPAARVSPSKEAYRKLLAEKLLNNRTRILAFRNKPPEPESMLTDLRADATQAKPAKQRRQVPQSAEKVLDAPELVDDYYLNLLDWGSSNVLSIALGCKVYLWDASSQSATELVTIDEDSGPVTSVSWALDGRHIAIGLNSSDVQLWDSTSNRLLRTLRGVHESRVGSLAWNNSILTTGGMDGKIVNNDVRIRNNVVQTYHGHEQEVCGLRWSGSGQQLASGGNDNLLHIWDVSMSSSVQTAGHTQWLHRLQGHLSAVKALAWCPFQSNLLASGGGGGDRCIKFWNTHTGACLNSVDTGSQVCALLWNKNERELLSSHGFTQNQLILWKYPSMGKLAELNGHTSRVLFMAQSPDGCNVASAAADETLRIWNVFGTPEALSRDLPEPADLLIGT >Sspon.07G0024150-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:22571460:22593563:-1 gene:Sspon.07G0024150-1B transcript:Sspon.07G0024150-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MACSVIPMMMPDEMFRRVSAAPPHQLRMTTSVPVAAPAPVPEELRLSDLDWIGDLGEGGLARVCKARHCRTGAVFALKLSFDPDPFVVEEEAEVLRRAAGAPHVVDFHALLRGPGGKAAFVLEYMDAGSLGDLLRRRGGLGIPEAAVAEVAAHCVVALAQLHSRGVAHLDVKPDNLLANACGEIKISDFNLSRILYGGSGERLQVPITGGTRMYLSPERFAPNSRAGPHGAMAADVWGLGVTVLELFLGRLSLLPGVQKPSAEELKQAICDGEPPSVPEDAEASAELRGFVAACLQKEPARRATVGQLLSHPFVARRDAEASRRALREIIVDTLQVFMAKETETKEIVALKKIRMDNEREGVSIPLPLLMARVVKFVLVAAFNSFELNPAQFPITAIREIKILKKLHHQNVINLKEIVTSPGPERDEQGKQIEGNKYKGSIYMVFEYMDHDLTGLSDRPAMRFSIPQVKCYMRQLLMGLHYCHINQVLHRDIKGSNLLIDNHGILKLADFGLARSFSNDHHANLTNRVITLWYRPPELLLGSTQYGPAVDMWSVGCIFAELLYGKPILPGKNEPEQLTKIFELCGTPDEFSWSGVMKLPWYNNLKPPRVIARRVKEVFKHFDPHALDLLEKMLTLDPSQRISAKDALDADYFWTDPPPAEPH >Sspon.01G0032020-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1B:105641655:105642365:1 gene:Sspon.01G0032020-2B transcript:Sspon.01G0032020-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VSESQPRKIRVHPTTPHPTMAFYEDWCSGKNGEGCCSDCSWTQIAIWTVVILVVCGVIIVLVLAFGVVRPPKATADDAVLQRFALAPADPASNSTVSYNLTATVSLRNPNMYRAIEYGALAVTFSFNGTRFDDSASVPGFEHKARKTATVRVTVGGADKPIKLSKPGVGEFRAENDTGKFGVEMRLDTVLQYKGRSAKCPLVVICPLQLQLVDPDVAATAFQRTKCTILRAKKSGC >Sspon.01G0052320-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:21403997:21407852:1 gene:Sspon.01G0052320-1C transcript:Sspon.01G0052320-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIPLRSLLVASLVLSSVVLHVLGVDKNASQRDIQKAFHKLSLKYHPDKNKGKGAQEKFEEINNAYEILSDEEKRKNYDLYGDEKGNPGFGGGNFGNHEGYTYLVVATSPVISHLTMGGQGNTKTFSFSFGGKPGASGGNPFGGGLDLGDVFSNLFGGGSMGDSHFGGSTGSARANTGTSGQHSGTAKIQDVTTQVFNKEVADQGTTWLLLFYTPQSKGQFVLESVMQDVVHSLDGALRAGKVNCDNEKSLCKRSGVSIGKWARLFIYSYATTEKGSLHEYSGEHDAKSLKTFCQEHLPRFSKRLDIGQLSFPLNVLPNLPQVLLLSSKKDTPAMFMMFSHPLLKSLGVKNLPAVIGRTVNGEELLLKDGISVKDLRSGIKELKTLLESFEKKNKKLSSNQANKKPSSQSKENKVPLLTASNFEEICGEKTSVCIIGVFGSNKAKGQLETVLSEISKKTLIRGQNYNSRNAVSYALLDKDKQSAFLSSFDKSRYKSSDRLLIAYKPRRGRFTVYDDKVTLEEAERFVGSVLNGDVQLSPTKQKPVLR >Sspon.08G0017800-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:2945495:2949074:-1 gene:Sspon.08G0017800-1B transcript:Sspon.08G0017800-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEMLSPPPSLRQFNQLMRITIILLASCNHAMVHRFMYHRFSIKGRIYPAILRVESKRVAGRDSSEKMLADAYVWSDAEDPNLYGEWDFEEWKKLHMKDFLAMTNGFMHELEQPESKTRVETYESFMQQQEQPAPGTQFLPVLEHTGHPRGVLQGLRAPQLHVGAQRIAEAGGEEGELHRLGHAVASVHQPQELFPLVEDVAEQLLEIAEAGVRHCRTRSRKH >Sspon.02G0000810-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:3243189:3243824:-1 gene:Sspon.02G0000810-2C transcript:Sspon.02G0000810-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEIKLRLPDAAAHRRLAAFLAPRLRRTHAQRNLFFDAAARTLAAATAALRVRLYDGPDDRAVLALKRRPRIDAGVSRVEEVEEPLDPALALACADDPARLGGLDSPIIRLVAAEYGVGGDAAPFICLGGFRNTRAVYDYELEDGSGGGLVLELDETRFDFGTSYELECETAEPDRVKEVLERLLTVAGVPYEYSRSNKFACFMAGKLLP >Sspon.04G0023700-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:14677858:14682674:-1 gene:Sspon.04G0023700-1B transcript:Sspon.04G0023700-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAQTDAAPGGGDDLATMREQCRTLEESISTRREAQLELIGSLQHLVPDLVPSLDQSLRLIAAFNGRPFVPTLYPNASIHVQNPSLKPQHRRALPDPARSTRRKTSPGSSPASAAAGGAGTGGGIDAVRTMVAVCLLELVPFAEIDAAALARRLQSETSSASEAERAALADLAAELGGSAPAAIAAACRSRRPSLGQADDYGLGHRSEQTPQRATRILLCAPNPTSATPQVAPTDTDTNSAIIPRPPPLQQPDMWGHPMPPMFPRPRGMAMPRMPPGMMPLQRPFMAPGAVIPMGGGPGPSSTQLKLRTEEDDLKDLELLLNKKTYKEKQNTKTGEELLDLIHRPTAKETAVAAKFKTKGGSQLKEYCTNLTKEDCRRQTGSFVACDKVHFRRIIAPHTDTNLGDCSFLDTCRHTKLGESQWINCDIRNFRMDILGQFGVIMADPPWDIHMELPYGTMADDEMRTLNVPSLQTDGLIFLWVTGRAMELGRECLELWGYKRVEEIIWVKTNQLQRIIRTGRTGHWLNHSKEHCLVGIKGNPLVNRNIDTDVIVAEVRETSRKPDEMYAMLERISPRTRKLELFARMHNTQAGWLSLGNQLNGVRLVDEGLRARYKAAYPDVEVSPPSPPRTSTPMDVDQ >Sspon.01G0030250-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:101969756:101971921:-1 gene:Sspon.01G0030250-3D transcript:Sspon.01G0030250-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMAASVLAAAASSPPLTSRRWGPLHPRATPPPALRLRAPASRLRASAPRDSCAGAAVPRAPCAAAALGGGVKAEATERVAPDWDVLKRVALLALGCCAAAAAVGCGAARAAAEDSIKASGFGLRVAESLRRLGWPDDAVVFALATLPVIELRGAIPVGYWLRLHPVRLTILSVLGNMVPVPFIILYLKKLATFLSQKSASATRIMDLLFERARRKAAPVEEFQWLGLMLFVAVPFPGTGAWTGAFIASVLGMPFWSGFTANFVGVVLAGLLVNLLMNLGLKYAIITGVVLFFVSTVMWSVLRSLKKSVNGK >Sspon.03G0006190-3C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3C:32362650:32363242:-1 gene:Sspon.03G0006190-3C transcript:Sspon.03G0006190-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding NVVLNGDFEEGPWMFPNTSFGVLLPTNLDEQTSAIPGWMIESNRAVRYIDSDEYKVPQGKRAIELLSGKEGIISQMVETTPQKVYSLTFTLGTAGDSCQPPMAVMAFAGDQAQNFHYSPMGNATSQAANVTFTARAERTRVAFYSVYYNTRSDDHSSLCGPVIDDVRVWGLNAAAGLKASIGLVLGIVGVVGMVLF >Sspon.02G0017300-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:50176821:50178280:1 gene:Sspon.02G0017300-2B transcript:Sspon.02G0017300-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLGYNRHSVMVLLLCLLVLSSVRALVAAAGGRPPAMFVFGDSTLDVGNNNYLAGPNVPRANKPYYGIDFPGSVPTGRFSNGYNIADYLAKSMGFASSPPPYLSLAPSTARLVLTARGSGVSYASGGAGILDSTNAGNNIPLSKQVQYFKSTKSQMISKLGSRTTNLLLSKSIYLFSVGSNDLFVFATAQASASQNKSAADQQRDVATLYTSLISNYTATITDLHAMGARKFAIINVGLLGCVPAARLSSDGATGACLDGLNELASGLDDALASLFASLASRLPGFVYSLADYYGLSAATFDDPGASGYTDVADACCGGGRLGAEADCLPNATVCSNRDQHAFWDRVHPCQRGAMLAAQNFYDSRPGRYTAPINFKQLAYTSL >Sspon.05G0021340-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:91869489:91872556:1 gene:Sspon.05G0021340-2B transcript:Sspon.05G0021340-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSTTPLVVSFSRSCRCPYPHCHPGKPKPKLPPLRSLSLCLRAGPLAALPRRPRDVSAAYGDGDMDDDFGDFDPDDADGIGEDDDVDNEQDYDVDYDRLLAPVVKPPGPSLGPSTAAPGEEGDIAMVAAESFVSTRDSASDTVVDYTVDEDEFHKIRLLHCDFLIRKVPDPDDDVFDFREMYVTPPDTDIYSIPRVLAPMPQKYVRCTKKNFGRYHVSEPPVEHLRDPLYKTEREIMKEIYVIDSKTRSITRAKVVVSIPEGKKRDRRNDLLLIRDGGESFRIIDKTKRDDAATVIQREEWAKSRQDVEKHFRKLRDFDYSNWF >Sspon.08G0002990-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:6565731:6566210:1 gene:Sspon.08G0002990-3C transcript:Sspon.08G0002990-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAPGRPLTVDFEALSYISSLVEAFQAFDSDSDGLVTAPELRGLLASLGLDKSEAEARDMLARADADRDGRLSVEELLDVMNAGELGLGALGAMLQSALPQLEAAGAALVGADELARVLGTLGDASPEDCAAIVECLDGDGDGAITIEEFRLMADLL >Sspon.02G0039510-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:54439056:54443732:1 gene:Sspon.02G0039510-1B transcript:Sspon.02G0039510-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MERSSYASRSSSELAAAASGGGRTLRVIPMRHPLGSGSTSSSSSPWWRAAVGRARAMGPLEWAEAALPCVAWTRKYRWKEDLQADLAAGITVGVMLVPQSSDRTKDSTTTAEEVGVCDRWPGPRA >Sspon.02G0055170-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:569502:580196:-1 gene:Sspon.02G0055170-1D transcript:Sspon.02G0055170-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lon protease homolog 2, peroxisomal [Source:Projected from Arabidopsis thaliana (AT5G47040) UniProtKB/Swiss-Prot;Acc:O64948] MADSPVELPSRLAILPFRNKVLLPGAIVRIRCTNPSSVKLVEQELWQKEEKGLIGVLPVRDSEATAVGSLLSPGVGSDSGEGGSKVGGSAGESSRQDTKNGKEPIHWHSKGVAARALHLSRGVEKPSGRVTYIVVLEGLCRFNVQELSARGPYHVARVSRLDMTKTELEQAEQDPDLITLSRKFKATAMELISVLEQRSRPGATYSLGAKADKKGSPLALTTGHSQKQKTVGRTKVLLDTVPVYRLADIFVASFEMSFEEQLSMLDSVDLKSILVAEKITQKVEGQLSKSQKEFLLRQQMRAIKEELGDNDDDEDDVAALERKMQNAGMPANIWKHAQREMRRLRKMQPQQPGYSSSRAYLELLADLPWQKVSEERELDLRAAKESLDQDHYGLTKVKQRIIEYLAVRKLKPDARGPVLCFVGPPGVGKTSLASSIAKALNRKFIRISLGGVKDEADIRGHRRTYIGSMPGRLIDGLKRVSVSNPVMLLDEIDKTGSDVRGDPASALLEVLDPEQNKAFNDHYLNVPFDLSKVIFVATANRMQPIPPPLLDRMEVIELPGYTPEEKLKIAMKHLIPRVLEQHGLSMTNLQIPEAMVKLIIERYTREAGVRNLERNLAALARAAAVKVAEQVKTLRLGKEIQPITTTLLDSRLADGGEVEMEVIPMEHDISNTYENPSPMIVDEAMLEKVLGPPRFDDREAADRVASPGVSVGLVWTSVGGEVQFVEATAMVGKGDLHLTGQLGDVIKESAQLALTWVRARAADLNLSPTSDINLLESRDIHIHFPAGAVPKDGPSAGGLVLPVGGVKDKVLAAHRCGIKRVILPERNLKDLSEVPSPFSLAWRFCL >Sspon.08G0019770-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8B:15050150:15051136:-1 gene:Sspon.08G0019770-1B transcript:Sspon.08G0019770-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MWTALKHHYCGSSIWDDGKFKKDDHIVMEVHEDVEHDHNEVVVEDCSTSMSSDDDDDDHATRSLDKNDDNVTSDASNDSTPCTLDGEDDGYESDASTSSSISSHGDTKVSIGGFVVDCDGPNFELLYKLSKALRNEMAKTSKLKNENSFLKTTCEQQKHLLYVTTCSHEELKLAHEELSVAHDNLAQEHALLTKKLSNEETKTSESSLFGSNDQSHITNPCDVGKKHVSTSCDDLLYMPCSSQLNACSTSMSCETNLLKENNELKSEVKNLSNKLERCYNSKVTFEHMMKTQRNIGDKSGLGFKKKMTKGERKQEKRIKRLLQKKLSHS >Sspon.05G0018100-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:73083976:73087053:1 gene:Sspon.05G0018100-3C transcript:Sspon.05G0018100-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGIVPESPVVEVSHLHQDMDEAADFGAVPKRGSPKSRDERAHASVAGCHKGPLNFEKNGQLLSGANELGGSSRLYVLDQTRAKTEEPMHPSNVKMTTPTRHSPSGNLLYDSFSGAKRKTKRRLDAYIDEEVEVSEDADVSEDEDDNQSEDKYEDSFIDDQATPTEFTQTEQGGRHNGDMMGFYRQSLLTQTPVVLPSRYLDVSDNSVSRTGNASCSSQAGHNSTETPKEIQTHHTINPSPSYSFVQDQCETAIDNCESSTKLDCRKRRLSFQQPPVIPVINLEPEPAPEPSSHVATRIADDLYFDDDFFENLDLDAIEAQATEQLRQKTTQSTQKPVEIKNASDTSFAPPSFDLDLACHEESPSQPTPRTRKALQIAMAARNRHCRP >Sspon.01G0001090-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:2420163:2424807:1 gene:Sspon.01G0001090-2B transcript:Sspon.01G0001090-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGGGGEMASEAKDVGILAMDIYFPPNCVLQEELETHDGVSKGKYTIGLGQESMAFCTEVEDVISMSLTVVKSLLKNYNIDPKSIGRLEVGSETVIDKSKSIKTWLMQIFEESGNTDIEGVDSSNACYGGTAALFNCVNWVESNSWDGRYGLVVCTDSAVYAEGPARPTGGAAAIAMLIGPNAPISFESKYRGSHMAHVYDFYKPDLASEYPVVDGKLSQTCYLMALDSCYNVFCKKYEKHEGKQFSIFDADYVVFHSPYNKLVQKSFARLYYNDFLRNCSTVDEESRGKLAPYAGLSSEESYQSRDLEKASQQVAKNLYESKVQPTTLIPKQVGNMYTASLYAALASIIHNRHETLAGQRIVMFSYGSGLTSTMFSFKINEGQHPFSLLNIANIMDVSKKLKARHVVPPKKFVEALKLMEHRYGAKDFVTSQDTSLLSAGTYYLTHVDSMYRRFYDVKGDAVTTAMSNGH >Sspon.02G0022770-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:76812074:76812692:1 gene:Sspon.02G0022770-1A transcript:Sspon.02G0022770-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSGTCPPPESTMRSGPMACNVITLGGGAATTGGGGKLRWRARPCPPVDRHSCLRDRVVVGGVAYFLGKYSDGIEPDAIFAFDLVTEEWRPNTLRGPLSSNNSDNELKFSRKRDNLQLLYWMAAWSQHITKTDQIAHQWIYADMDIDKGIWTKRYSIDCKEHAVYRPPCPVRK >Sspon.06G0013440-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:53656461:53660160:-1 gene:Sspon.06G0013440-4D transcript:Sspon.06G0013440-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDAKMGNAATIRTVLAILQWWGFNVTVIIINKWIFQKLEFKFPLTVSCVHFICSSIGAYIAIKVLKIKPLIEVASEDRWRRIFPMSFVFCINIVLGNVSLRYIPVSFMQTIKSFTPATTVILQWLVWRKYFEWRIWASLVPIVGGILLTSVTELSFNTFGFCAAMVGCLATSTKTILAESLLHGYKFDSINTVYYMAPFATMILSVPAMVLEGSGVVSWLYTYESVGPALAIIVTSGVLAFCLNFSIFYVIHSTTAVTFNVAGNLKVAVAVLVSWMIFRNPISAMNAVGCAVTLVGCTFYGYVRHLISQHQAAVAAGGGGPRTPRGRLEMLPLTAEKQGDKI >Sspon.03G0027210-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:5087865:5091551:1 gene:Sspon.03G0027210-1B transcript:Sspon.03G0027210-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:VAP27-2 [Source:Projected from Arabidopsis thaliana (AT1G08820) UniProtKB/TrEMBL;Acc:A0A178WBB7] LFSVEVKKQSSCVVHLINKSNEYVAFKVKTTSPKRYCVRPNTGVILPRKTCEFTVTMQALRTAPPDMQLKDKFLVQTTVVPYGTSDEELVPAFFSKETGRYIEESKLRVVLVSAYQSLEEQPTNGIHDTEPAVGIPVQKEMPNIENEVPDVAKEGPAPLAQAPAIVTGIPSPVEETQGPREIPVPLNEAPAVLAESPSAQKDPSAVTVEHASTVTIEHAPAISIESPPSKQSVALFKESPPLKQSVPVFKESPPPSKQSIAVFKGSPPLEETTPKEAVMLSDRGLFNVQNHQLSHVTEDVQNMKSKLNKLESKLEEAEKMIIRLREESRSTTQERDKLQQEMMLEVPSIPNFIYYMVPIHLNKKGIPKKEGNPKESSGFPPALCGVRGASWYLTRLPAPHMSCKL >Sspon.04G0012190-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:40611551:40614346:1 gene:Sspon.04G0012190-4D transcript:Sspon.04G0012190-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCSVTRKYAAHCSLTASRFGLPPFVHPPPVPVKAEGKHCRLRVGYVSSDFGNHPLSHLMGSVFGMHDRANIEVFCYALSQNDGTEWRQRIQSEAEHFVDVSAMTSDNIARLINQDKIQILINLNGYTKGARNEIFALQPAPIQVSYMGFPGTTGAAYIDYLVTDEFVSPTRYAHIYSEKLVHLPHCYFVNDYKQKNRDCLTPVCPHKRSDYGLPEDKFIFACFNQLYKMDPEIFDTWCNILKRVPNSALWLLRFPAAGETRVRAHAAARGVRSDQIIFTDVAMKNEHIRRSALADLFLD >Sspon.02G0028710-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:97083124:97085599:1 gene:Sspon.02G0028710-1T transcript:Sspon.02G0028710-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATAVPRALSLLGPSPRPPPPRMRMNCLRVAIGGGVRRRGAVAVWAKKKRGRGGDGEAQERVDTHSFAAKEGEAAGLFPEAVLLRKKMVREDGQVSPEFADADEEKLYDFLNIQLESDLNLKRMRHYEVVYLIHEDRVEEVESVVSKVQDFIREKKGRIWRLNNWGLRRLAYKIKKATHANYILMNFEIESRYINDFKTLLDKDERIIRHLVMKRDEAITEDCPPPPEFHSLRAQQYFDDDYDDEEEEDGDARSGIESANYDEDGVEAGDEPEIIYVDEADQDNYEDTRRRNRKLKVKKYTSEKVLR >Sspon.02G0012610-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:32635997:32637422:1 gene:Sspon.02G0012610-1P transcript:Sspon.02G0012610-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFTDDFLQQMEPQMQRAFAAMRELEKGAIANPDEGRMVGHYWLRDPALAPNSFLRNKIETALDSILAFSQDVVSGKIQSPSGRFTSILSIGIGGSALGPQFVAEALAPDNPPLKIRFIDNTDPAGIDHQIAQLGPELATTLVIVISKSGGTPETRNGLLEVQKAFRDAGLQFSKQVLTL >Sspon.01G0028760-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:100241056:100245807:1 gene:Sspon.01G0028760-1A transcript:Sspon.01G0028760-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWCALRSRVLPLPASAATAAPHGLVLRFLLSTAAPRHAHHHRRRRRLAPTAYAAAAAAAAEAPLPMTPRFGRATRHPGAAASVARVYADANAQRPKEYWDYESLDIQWGEQDGYEVLRKVGKGKYSEVFEGFRAGSDERCVIKILKPVKKKKIKREIKILQNLYGGPNIVKLLDVVRDDESKTPSLIFEYVNNTDFKALDYCHSHGIMHRDVKPHNVMIDHERRQLRLIDWGLAEFYHPKMEYNARVASRCYKGPELLVDLLDYDYSLDLWSLGCMFAAMVLGTGDLYNYLEKYGLQLDPQLERLVGRHNRKPWPKFVNARNRHLATPEAIDLVDKLLQYDHQERPTAKETM >Sspon.01G0037500-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:22040099:22042655:1 gene:Sspon.01G0037500-1B transcript:Sspon.01G0037500-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAGNKRGHPLLRGGGANRERYTHGFSASQMAALTAICGALVPSLPPDRRNGHQQQEDGGHGGGDGGNKVVEEFLLASAADPPVPGEVAEQMSRKCLPEALALVRTVLWLLGTRLGSLALCGAPCLSWRFPFVRRFDELPLEQREAALRRWSRQTLLPPLRMFFLIIKSFCLHVFYSWTDENSKNPHWRAIGYSTPLADDEPAPAESERPEKRPLDDGVVETTKETDASLPALLAAKGLTVADDSAHNVCRVECDVVIVGSGCGGGVAAAVLAGAGHKVVVMEKGNYFTARDYTAIEAPSIEQLYEGGGIISTLNGSAVLLAGSTVGGGTAVNWSACIKTPDDVRGEWARDQGLPLFATDEYAAAMDKVLERLGVTAGCAEEGLQNKVLRKGCEKLGYKVESVSRNSSEGHYCGSCGYGCRTGDKRGTDRTWLVDAVSRGAVILTGCKAEKLLLERTGTGGADGRAKRCVGVVARSTNPAITRTLEVRARATVSACGSLLTPVLLRASGLSNRHIGKNLHLHPTALVWGYFPDTMPDLKGKTYEGGIITSLHKVEGAPGSPARAILETPAMGLAGAATQFPWVSGSDMKERMLRYGRTVHLFSLVRDRGSGTVHGERRVAYHLDATDGENMREGLRRALRILAAAGAAEIGTHRSDGQRFACRGATEAALEEFLDGVDVVRGPQSKAEAWSLCCTAHQMGSCRMGATARDGAVDARGESWEAESLYVCDASVLPSAVGVNPMVTIQSVAYCLATGIAESLRRGP >Sspon.01G0017920-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1A:66784804:66787208:1 gene:Sspon.01G0017920-1A transcript:Sspon.01G0017920-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVWDQSNTTVLLNFSFYILKTATSFIFLVESLLRGYDPFSAVARTGNEAAARHEPSACHRPRCPETPMTATQASPGRCHVFTGLTSPVHEVVGKAPRHVPCTLVLQLVHGPGPDALDYQQLPGGLVHRKRDGPPPYAHGT >Sspon.07G0009470-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:25327223:25332099:-1 gene:Sspon.07G0009470-2B transcript:Sspon.07G0009470-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVPTPTRPCSCSCRHVLLLVSLQLLLVAPWQGETAARALNFTRQDFPRAFVFGAGTSAYQYEGATDEDGRSPSIWDTFTHAGRMPDKSTGDLGADGYHKYKGDVQLMSDTGLEAYRFSISWSRLLPRGRGPINPKGLQYYNNLINELVKRGIEIHVTLYHLDFPQILEDEYHGWLSPRVVEDFTAYADVCFREFGDRVRHWTTMDEPNVISIAAYDNGAFPPCRCSAPFGINCTAGNSSVEPYIVGYHSILAHAAAVRLYREKYQDTQKGVVGMNVYSFWSYPFSSSPADVAATQRSLDFMIGWIVNPLVYGDYPEVMKRIIGSRLPKFTKEQSEMIRGTADFLGINHYTSVYVSDRSNAADTTGPRDYNADLAATFRFSRDDPATGQFIPINMPSDPQGLQFMLEYLTQTYNNIPVYIQENGYMALFNDSIHDHERTEFLSSYIGSALAALRNGANVKGYFVWSFLDVFELLAGYYTRYGLYHVDFQDPELPRQPKRSAQWYGKFLRSEIAINIETMISTDARSHAEQ >Sspon.01G0024100-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:35372728:35377079:1 gene:Sspon.01G0024100-4D transcript:Sspon.01G0024100-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKNKKKRKITSTASSPLPTTIDSLPDDVVADILLRLPSAAAICRAVFASEHWIRVATSSTFLRRLRDIHDYPLLLGHFVAPYGHRFFTPAHFYPAPLCSSESDGVLAAMVRRGDFFLTRIQVQGSGQHVLEDCRGGLLLFSDTRTLRVFDPMTHRVSIVDQLRRRGGRAADHRGRTLCLLPNGDDAGAFRVMSLQHGNGKKLARLEVYNSCTRAWRVLSGAGTDKIPARSHMAQIYRGHYSPAMHAGDRIYWKYAVADSLLSLDTKTMTFSDMRLPPGVTRRSAYAVGEAEDGTCCLVHVVKHRRQMQVWRFKLGDDDHKGNGAQMWELERQVPLILDSVSAYRSVDQVRAIVGGIVLLCVDNSSSYQHHIAFRLKSLKVEADFTCRGLARPFVIEWRIKLLNLQWEPLEIMRILLAHVGPFVLST >Sspon.01G0013210-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:36176188:36176974:1 gene:Sspon.01G0013210-2P transcript:Sspon.01G0013210-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEDDGELKLIGQYGSAFVTRVKLALSLKGLRYEYVEEDLRNKSELLLISNPVHKAVPVLIHKGKPICESQVILQYIDEAFAGTGPSLLPADPYQRSVAHFWAAYIEDKLVLPWDRVFRAKTDEEREEPLKQMFAAVDTLEGGLKECSKGKPFFGGDSVGYVDVVLGGAASYAKGHDALFGAKLIDAARTPVLAAWMERFCELDAAKAVLQDVDRVVEYGKMLIAKNAARASNNN >Sspon.03G0033460-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3B:50545515:50546974:-1 gene:Sspon.03G0033460-1B transcript:Sspon.03G0033460-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQLIVCLKKDYPFPLLYKRKGRTEKCRESYEVKKILISSPSLRLKITQRNHPPGERIETPRSIAPLSIYAAPTSAATFHIAPLFPRPSSSVRIILAGLCRPKLLPPPLAPPGGTPPPSRPARSRPVTTPQMPSHRHHAITSRRVFVNSQSEEQISSYAFRAGVKGDDIPLVSPRRFKTRCSPRLNAGIRDMFCAAIPPAPLGEHGRCTSVVGRDIGQLQEWRLPRLCDSLGKVLEACARRGRQRSCN >Sspon.07G0024710-3D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7D:26215683:26216603:-1 gene:Sspon.07G0024710-3D transcript:Sspon.07G0024710-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPGPDAPAGGGGTNSSAPAEAGPSPSSSSAAAAAAASSSSRQQAEQEAPPQQQAGAQQPAAAPAPPPAQPQAQPQPLAQQPPPAGLSRYESQKRRDWNTFLQYLRNHKPPLTLARCSGAHVIEFLRYLDQFGKTKVHAEGCAYFGQPNPPAPCACPLRQAWGSLDALIGRLRAAYEESGGRPESNPFAAKAVRIYLRDVREAQAKARGIPYEKKKRKRGTAAAPPVAPPPVVTAGTTSGAGGGEEEEDDDDEPSPSAGEPQQPASASAPPPASTSSARVSSSSAAAATTTTTTTRKEEEGSAPSS >Sspon.02G0018780-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:57023821:57028176:1 gene:Sspon.02G0018780-4D transcript:Sspon.02G0018780-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHGHGGLPPTLLLLLCLFVLMVLLTPPTAAEVARKHKHSHRAAGDSSDDTSGGGGNGTSPPSPTTTQQLWVFGDSYADTGNLGDLGRELTHAWYDPYGATFPGRPTGRFSDGRVLTDFVASAMGLPTPVAYKLRRGAARGLLARGMNFAVGGAGVLDTGNFQRNISAQIDLFQAQQQHPVPAAAAAHGGGVALVVVSGNDYSYAADKDNSTSAAIAYIPTVVRELGEQLRRLRDDVGMRRVVVTNLHPLGCTPLFTRALNYSGCDPLANAGAAQHNAALQSVLAALDPANRTFLLLDLNAPFAAFADAPPAWAASSRFAEPRRPCCETFLSTAGGGYCGQQDDDGRRQYALCDDPSKHFYWDNVHPTQAAWAAVAETFRPKIREFVSS >Sspon.04G0014140-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:58421013:58429883:-1 gene:Sspon.04G0014140-4D transcript:Sspon.04G0014140-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNLLFVLLILLLLNSISDSAHANDAELRALLTIKKDWGNPAALRSWKNSSSSASSTHCQWVGVACSNGQVTALSFQNFNISRPIPASICRLKNLTYMDLSYNNLTGEFPAAALYGCSALRFLDLSNNIFSGVLPTDINKLSPGMEHLNLSSNSFSGSVPLAIGGFSKLKSLVLDTNSFNGSYPAAAIGKLTQLVTLTLASNPFASGPIPDEFGKLKKLKMLWMSGMNLTGGIPDKLSSLTELTTLALHSIGNLKNLWLLYLYFNNLTGPIPSSVGLLPNLADIRPFTNRLSGPLPPELGKHSPLANLEVSNNFLSGELPHTLCFNKKLYNIVVFNNSFSGVFPAILGDCHTVKNIMVYNNHFTGEFPGTVWSAFPTLTTVMIQNNFFSGALPNWMPANIRRIDIGNNRFSGAIPASATGLRSFMAENNRFSQVLPGDMTKLANLTVLSLAGNQISGCIPVSISALGALNYLNLSGNQITGPIPVAAIGLLPALTVLDLSNNELEGQIPEDFNNLHLSYLNLSSNQLVGEVPDALQAPTYNAAFFGNPGLCARQDSDMPLPSPDHVTSWKMRPFGTLDFTEQDIIGNISEENVIGRGGSGKVYRIHLGSHNHKAGGHGGDGGAGHSTTTTVAVKKIGKDGKPDANNDKEFEAEARSLGGLLHGNIVRLLCCISGGDTKLLVYEYMENGSLDRWLHRRGGKRAATLGPLDWPTRLSIAIDVATGLSYMHHGFTSPIIHRDIKCSNILLDHGFRAKIADFGLARILTKSGESEPVSAVCGTFGYIAPGRGPQDGGTESGSCLAKWASKRFKNGSPCVDLVDGEIQDPAYLDDMVVVFELGVTCTGEDPALRPPMSEVLHRLVQCGRNQTSIDDDTAKD >Sspon.05G0016450-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:67613214:67616284:1 gene:Sspon.05G0016450-1A transcript:Sspon.05G0016450-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEALLTALSKIGTVLGDEVIKFVIAEASKKATNLRELPGNIRHIERELNIMRNVIQDLDTTNLSINVVKGWIGELRKVAFHVEDVMDKYSYHAFKLQEEGSLMWFIKGAQNAKIFSDIADEVVRIKGEIEQVKQLQKDYFPALQVPASPVIVRHGSQTFLPELIQDEDLVGIALNQAKLIGWLHSNEPNNKVITVSGMGGLGKTTLVMNVYERMKSEFPVNAQITVSQTYTIVGLLRELLREIGKDTYKPSDSIESMDAYKLREEIKNVLGTRKCLFVLDDVWNMEVYHQMMEDIFNTLRASCIIITTRREDVASLASSGCHLQLQPGIKCTIKYDELDRVNTCKMHDIVRDLALSIARDEKFGSASDQAAVINMDREVRRLSLCQWNDSDAPRVKFPHLRTLFSLDSVTSAHMLASIFSESSYLTVLELQDSEITEVPQSIGNLFNLRYIGLWRTGVKSLPECIEKLSNLQTLDIKQTKIEKLPRGIVKVKKLRHLLADRVVDEKQKDFGYFTGVQPPKDLSNLVELQTLETVEATNDLAGQLDNLRKLKSVWVCKVTAIHSADLFPAVSKLPLLACLLLNASDEEQTLHLETLNPQSKQFHRLIVRGRWAAGTLQCPIFQGHGKNLKYLALSWSGLQEDPLLLIASQVPNLTYLSLNRVSSTETMVISEGSFPQLKTLILKNMLNVNQLTVGKDALPNIEGLYIVALPKLNKVPEGFESLVSLRKLWLLSLHKDFKILWELSRMRQKMPHVVEACFSEATVLQCPIFHGLGKHLKYQL >Sspon.01G0029940-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:104814481:104822383:1 gene:Sspon.01G0029940-2P transcript:Sspon.01G0029940-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKAVATATVVLAVNGKRYEAAGVDPSTTLLEFLRTQTPVRGPKLGCGEGGCGACVVLISKYDPTTDGVTEFSASSCLTLLHSVDRCSVTTSEGIGNTKDGYHPVQQRLSGFHASQCGFCTPGMCMSIFSALVKADKAADRPAPPAGFSKLTSSEAEKAVSGNLCRCTGYRPIVDACKSFAADVDLEDLGLNCFWKKGDDPADVSKLPGYNSGAVCTFPEFLKSEIKSSIEQINSAPVPVSEDGWYRPKSIDELYRLLQSDSFDENSVKIVASNTGSGVYKDQDLHDKYIDIKEIPELSVINRSSKGVELGSVVSISKAIEVLSDGNVVFKKIADHLNKVASPFVRNTATIGGNVIMAQRLQFPSDIVTVLLAAGSTVTIQVASKKLCLALEEFLQQPPCDSRTLLLSIFIPDWSSDGITFETFRAAPRPLGNAVSYVNSAFLARTSVDAGSRDHLIEDICLAFGAYGADHAIRARKVEDYLKGKTVSSSVILEAVRLLKGTVKPSESTTHPEYRISLAVSFLFTFLSSLANSLNESAKVNGPNGSYSNGATNGAIEHSPEKQLKFDSNDLPIRSRQEMVLTDEYKPVGKPIKKAGAEIQASGEAVYVDDIPAPKDCLYGAFIYSTHPHAHVKAINFKSTLASQKVITVITAKDIPSGGQNVGSSFPGLGEEALFADPVAEFAGQNIGVVIAETQKYAYMAAKQAVIEYSTENLEPPILTIEDAIQRNSYFQTPPFLAPKPVGDYNQGMSEADHKILSAEVKLESQYYFYMETQVALAIPDEDNCITIYSSTQIPEVTQNVVAKCLGIPFHNVRLVTRRVGGGFGGKAMKAIHVACACAVAAFKLRRPVRMYLDRKTDMIMAGGRHPMKVKYSVGFKSDGKITALHIDLGINAGISPDVSPMMPTAIIGALKKYNWGNLAFDTKVCKTNVSSKSAMRGPGDVQGSFIAEAIIEHVASALSVDTNTIRRKNLHDFESLVVFFGDTAGEASTYSLVTMFDKLASSPEYQRRAEMVEHFNRSNKWKKRGISCVPITYEVRLRPTPGKVSIMNDGSIAVEVGGVEIGQGLWTKVKQMTAFGLGELCPDGGESLLDKVRVIQADTLSMIQGGFTGGSTTSETSCEAVRQSCVALVERLKPIKENLEAKAGTVEWSALIAQASMASVNLSAHAYWTPDPTFTSYLNYGAGISEVEIDVLTGATTILRSDLVYDCGQSLNPAVDLGQVEGAFIQGVGFFTNEEYTTNSDGLVIHDGTWTYKIPTVDTIPKQLNVELINSARDQKRVLSSKASGEPPLLLASSVHCAMREAIRAARKEFSVCTGPANSANTFQMDVPATMPVVKELCGLDVVERYLESMSAATAKA >Sspon.05G0010540-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:21588668:21589534:1 gene:Sspon.05G0010540-3C transcript:Sspon.05G0010540-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRKLGHSLAAALGAFVSPRFSSVPFPTTREGPPPKVPEWEMTSPMVATPVQLRTPGRLSFCCSFPSAPGTATTPRRRFAAVRASAETMATEKLGVKVERNPPESRLSELGVRQWPKWGCEKSKFPWTYSAKETCYLLQGKVKVYPEGHGEEFVEIAAGDLVVFPKGMKCTWDVAEAVDKHYNFE >Sspon.03G0046280-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:34677709:34682367:1 gene:Sspon.03G0046280-1D transcript:Sspon.03G0046280-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MENSSSNGSLFVSAVGIGVGLGVGLGLASTQLMASSPRGDGSLGGDATAADIELELRRLLVDGQETKISFSDFPYYLSEEMRLALMSAAFPYLSQTILPKHIKVFKDSSRTILLCGQSETCLRSLAKAIANQFNARLLALDIFEFLHQIQHKYGGSSNAQVEVVWNLDVKILLECLYKIIVSASTCSPVILYIRDVDIILRSSPRAFYMFQKMLNKQFGRVLIIGSHFLDDNQDSDDINKDLTNLFPYILETRPPNEEAHLQKWTRQMRHDMIKARDEILKHQIVGGLSSYNLECDDLSSISLDDYVEIASYLEDILAPAVSYHLMNNQDPKYRNGRLILSSTSLCYGLRIFQESNLEKDLVEIKDNSKVTKYNEYEKRIRELVIPASEIGVTFDDIGALADIKESIRELVMLPLQRPDLFNGGLLKPCRGILLFGPPGTGKTMLAKAISNEAGASFMNISMSTIMSKWCGEAEKSIQALFSLAAKIAPAIIFMDEVDGMLGTRERSNENEVSRRIKNEFMMHWDGVLSKQSEKILVLAATNRPFDLDDAIIRRFEHRIMVGLPTLESRELILNKLLSKENIEGIDFKELGKMTEGYSGSDLKDKNEKEVQGKNLHVENPQNEESKQEKSKDSKDMEVISEEGDEDEIDEVITLRPLTMEDLKQAKDEVSASFASDGVVMNEIKQWNELYGKSGSRNRQKLTYFL >Sspon.03G0018180-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:55841734:55844966:1 gene:Sspon.03G0018180-1A transcript:Sspon.03G0018180-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MENLLPEDVLTNIIHRLAPRYLAISRCVCKTWCTIIDAHNLLRVDLLPRSVCGIFINFNELSMSEFFSRPSKGPTVSGNFDYLPLSSRIIGHCNGLLLFRKYVVNPATRQSVPLPPCPSPNMVVEHFFHREYLVFDPTLSPHYEVFMIPEIRQPSVRYNMLNSDDKLDPAIEELEWPPSPCILHVFSSRTKVWEERSFVQQGEAAGNVADMRLDHPYVPDTSVYWRGVLYVYCQNKFVMRISLSNGKYQVIKPPLDCEGMAYTNLYLGKSVKGVYCAVHHLASHFSIYILDESSGKMEWVFKDSCSIQPCQIIDRPGPWTLQDINNQEQGVEYEDGNSEAVVEDRFEWDSDNDNVIETNSSGGYINFLVDTKRRGRYNSGGYIDFLGFHPYKEVIFLSDTLRRGLAYHLNSSKIQDLGNLRPTNYGTEAGIQPFIQESFPYTHWMGCSWKEAVSIWPSGHSDDQIQEKAEAIFEEYKDGPFTFKHC >Sspon.08G0003780-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:10749920:10754245:1 gene:Sspon.08G0003780-1A transcript:Sspon.08G0003780-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Integral membrane protein, Metal ion transpor [Source: Projected from Oryza sativa (Os06g0676000)] MSGPSQSQPQFMTSVGRSNRSNGPGTPLIESIDVDQIVIPEKNSWKNLFSYIGPGFLVSIAYIDPGNFETDLQAGAQYKYELLWIILVASCAALIIQSLAARLGVVTGKHLAEHCRAEYPKVTNFVLWILAELAVVACDIPEVIGTAFALNMLFRIPVWCGVLITGLSTLMLLLLQQYGVRKLEFLIAFLVFLIATCFLVELGYSKPNASEVVRGLFVPELKGNGATGLAISLLGAMVMPHNLFLHSALVLSRKVPRSVHGIKNVLGNWSSKLFAVALLASGQSSTITGTYAGQYVMQGFLDLRMKPWIRNLVTRSLAILPSLIVSIIGGSSAAGQLIIIASMILSFELPFALVPLLKFTSSKTKMGQHTNSIFTSVLTWVIGSFIVVINTYFLITSFVKLLLHSGLSTVSQVFSGIFGFLGMLIYIVAILYLVFRRNRKSTQPLLESDAELAVSGSSAGVEAECSLGHLPREDISSMQLPQQRSASDVD >Sspon.04G0025020-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:30195013:30218822:-1 gene:Sspon.04G0025020-2D transcript:Sspon.04G0025020-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLCPLSAAPTFPRATRPRAASFRSPASWSGLAATASNASACGCGVLPTGGYARLRSRLRSPVRARIDEAGKDTGAGLGLPPPRRRKLRLRLRPRLRVLWWRLWRLSPRELAADAGAALRRAVRRVPPAAAAPVVLALLLAAARLALPKNVAREVAYSDLVAGLREGAVAAVAFEEDSRRIYFSKKDGDDGGSGTSEVGESAAVAAPKWPYYARRVPHDEGFLLGLMREGGVDYRSAPRPAGKLLVDMLSTLLTLWVSLVPMMWFIQRQMSGGGGADKRRKPRKQMVGFDDVQGVDEAKEELVEIVSCLHGSLNYKKLGAKLPRGVLLVGPPGTGKTLLARAVAGEAGIPFFSVSASEFVEIFVGRGAARVRDLFKEAKEAAPSIIFIDELDAVGGSRGRSFNDERDQTLNQLLTEMDGFDSDMKVIVMAATNRPKALDAALCRPGRFSRKVVVGRPDVEGRKKILAVHLRDIPLEEDREIISDLVARLTPGLVGADLANIVNEAALLAARRVRNTVSREDIMDAIEREKYGVNGRQEIDDSERQGLTNSIKEKPAGKSLEMTIHHCYGTMLKCVTTQHACIYTRQQPQHQHLNDEALLVEGGDEVGGLLVDVALADPPPVVLVAGPHLAQPRRDLPARLLVVDGVTPRLA >Sspon.07G0006830-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:15863179:15865169:1 gene:Sspon.07G0006830-2B transcript:Sspon.07G0006830-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MESATAAVRSALLARARSALVYGGARGARRVVGAGPAAARARRRALVAVAVASHHQEHLEPLPSRAQEGGAVAVTTTQDDEAEVHANDAAAVAAETETSSPQLGKTVRVRFVLQKQCSFGQSVCLVGGDPALGLWDPLNAFALKWAEESHDWILHRDLPANKPIEFKFLLQDSTGKLHWQDGPNRSFQTGETANTLVVVEDWDDVKNQRIAEEEGVASTGIEQTVVSNDSKSTMDAVLEDELQVDDNQVKEDESVVAKEDKKPAVGTDAPVQVDWVKKNEADPQKSMLHEETEVLDELLGKENMENSSISSTDENYAEKSGGANILSEDGAPVENGLATAYEHDLLWGWKALQQLMMSLGFKMDTT >Sspon.01G0018140-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:64228229:64229351:-1 gene:Sspon.01G0018140-3D transcript:Sspon.01G0018140-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSLSMARASSSTTSSSIIANSAFGSHMLKIDGYTRTKGLAIGIHLRSCSFYVGGHSWHLAYLPNGDTAQNADFISFFLVLEDPRPMFCIALLDRSGKPVPSHTQTRPVTRFTTPAAHWGFNTFIRREVLEKSRYLNLKDDSFCVRCEVNVITGRASAAAAKAAAATCVRVPPPDLSRNFGELLRSKLGADVRFRVDGEDFAAHRCVLAVRSPVFQAQLFGAMKEAEHCWVEIDDMRADVFRNLLHFIYTDALPKPDEPQEEALMAQHLLVSADRYGMERLKLICEDILCKHIDVSTVATTLALADQHRCQGLKEACFQFLKKSPGSLNAVMATDGFDHLANSCPTIIKELMSRLATTHSN >Sspon.04G0018220-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:71022491:71027495:1 gene:Sspon.04G0018220-1P transcript:Sspon.04G0018220-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTCSRADDAISPAAAAAAAGHDDDVAAAPVGGLIQVAAGGGGRRSAGSARSLQRSAHLATGDGDAPAPVPEASCSGDGIGKSNGGGKREDSHRMRQYRSQLEQEVKKLQRQLEEEVDLHVALADAVTQNAASMLKSSMKLPHKAQELLINIASLETTVSKLEKELNDLYYQLCHERNERLLAENNQGCLPSTSSDEHQSLSTCTCTWEEDLFPALEDDQDMGEDPEGQQMVSLNRLLEKHRDSSLNRLLEKHRDEEMQESCSVENEGNEDEQLDALSFEQSILKITSMKGGNLWSNPNELSEEMVRCMRNIFLRLFIHNASMLRSPSVDSNHNDETMNEVRNFDPYKVNGKETRRDIGNYRSAAEVSWMSVGKDQLEYASEALKKFRFFVEQLSKSLMLALNKFKITEDHKKYSIDEFEPLLLFGLSCGMFSSPAVRIFSAANVRQELQESLRDYIQATVGTNDKGKLLIPKLVQSYAKGAVEDSLLADWICHHLAPDQAAVIRDSSSQRKQRLLGFRGFTVLSFDSKFRYLFLPDSSGSQKLEAKQSYKLPEPEDE >Sspon.03G0010450-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:28505998:28507000:1 gene:Sspon.03G0010450-1A transcript:Sspon.03G0010450-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VWRQNYPHDRRCPTIIDESCWSDESFCRDNQLWFALGKTAAEKAAWRAARGRDLKLVTICPALVTGPGFRRRNSTASIAYLKGPCRAVPPGCANTGARAMLADGLLATANVETVAEAHVHAYEAMGDNTAGGRYICYDHVVKRPEEFAELERQLGLPGGAAAAQGSDDDRPVRFELCKRKLSRLMSSRRRCTYDTYYSVAF >Sspon.02G0032590-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:98767493:98770361:1 gene:Sspon.02G0032590-3C transcript:Sspon.02G0032590-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPWPRTQWMALAASAIIQCCAGSSYCFGVYSAALKASQRYDQSALDAVAFFKDVGANAGVLSGLLAAWAPGGRRRPWIVLLAGALLCAAGYIPIWLAVAGVAPAPLPLMCLYMLLAAQAQTFFNTADVVSAVDNFPDRRGTGFLGLSGAILVQIYHTLHIDPSSFILMLAVLPTAVTCQLMYFVDVHKPHERYNKKFLDAFSLIAVTVAGYLMILIICGQIFSISSAVQSICFVVLLILVMSPIAVALKARTPHEESISEQRTGLLREEVAEDSENATSSAALGGSDQDLSAGKENLNVLQAMCKLNFWLLFLPMACGMGSGLATVNNISQIGGSLGYTTKETSTLVSLWSIWNFSGRFGAGFISDHFLRQQGVGRPFFIGVTLLIMSAGHAIISSGLPASLYIGSVLIGMCYGCQWALMPSITSEIFGLNHFGTIFNMVAVASPVGSYILSVRIVGYIYDIESPPDEHSCVGRQCFALSFMIMAGVCMFGSAVAFVLFIRTRKFYRRVIYARLQSFLD >Sspon.01G0008280-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:23241693:23251141:-1 gene:Sspon.01G0008280-3C transcript:Sspon.01G0008280-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DEAD-box ATP-dependent RNA helicase 24 [Source:Projected from Arabidopsis thaliana (AT2G47330) UniProtKB/Swiss-Prot;Acc:O22907] MSKRPKLEGFSIPRPTSYNFERSQPVQRLYRPTDDPDLDDIAFSDDAPSDAPASTAVEGKAEDEEETDPLDAFMAEIQEEIRAPPPPPKPEALRRADSDDDEDDPVESFLRAKKDAGLTLAADAMRAGYDSDEEVYAAAKAVDAGMMEYDSDDNPIVVDKKKIEPIPALDHSTIEYDAFTKDFYEEKPSISGMSDQEVADYMKSLAIRVSGFDVPRPIKNFQDCGFPVPLMNAIAKQAYEKPTTIQCQALPIVLSGRDIIGIAKTGSGKTAAFVLPMIVHIMDQPELEKEEGPIGVICAPTRELAHQIYLEAKKFAKPYNLRVAAVYGGVSKFDQFKELKAGCEVVIATPGRLIDLLKMKALKMFRATYLVLDEADRMFDLGFEPQIRSIVGQIRPDRQTLLFSATMPYKVERLAREILTDPIRVTVGQVGGANEDIKQVVNVLPSDVEKMPWLLEKLPGMIDDGDVLVFASKKARVDEIEKELNQRGFRIAALHGDKDQASRMETLQKFKSGTYHVLVATDVAARGLDIKSIKTVVNFDIAKEMDMHIHRIGRTGRAGDKDGTAYTLITQKEARFAGELVHSLIAAGQDVPNELMDLAMKDGRFRAKRDSRKGGKKGGKGKGGGGGAGRGRGVRGVDFGLGIGYNAESGSQVPAPRSAAVNSLKTGMMQQFKSSFVSGSSNTPSSSAPSFVRPALRGFVSGGTIGGDARPAQSAPTFVPASRPAQPAPSAPASRPAGNNNENGNSNPESSRDRSRERKRPSGWDR >Sspon.02G0042940-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:87453450:87468993:-1 gene:Sspon.02G0042940-1B transcript:Sspon.02G0042940-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEYRLGLQLHGHADDVRGICVCGDAGIATSSRDRTVKFWTRNPEKESEYVLSKTLAGHSSFVGPLAWIPPSDRFPEGGIISGGMDTFVFLWDLQKGEVVETMKGHNSQVQVIPLLSFGKEGLAYRHSQDMQMFGLNARSRNSFRLTRQHYQVMGVDRSASAGHDWAFFSCLIASGSEDRSLKIWKDGVCVQSIEHPGCIWDAKFLGNGDIVTACSDGTTRIWTADSNRFCSDEELATYTDLISQYTLSRKTVGGLKLMDLPGVEALQVPGNSDGQTLIVREGDNGVAYSWNSAELKWDKIGEVVDGPGDAAQGQVHDGVRYDFVFNVDIGDGEPIRKLPYNRSDDPYTVADKWLLKENLPLTYRQQSGMLSFETAQFDGIVKKVTEFNARLSSDSEQKQLSLSETEMSRLPAIVKVLKETSFYHTSKLADADMALLIIDSLSNCKSSFSKNAHLAYATLLLNYAVLSIESKDEQSQAQILSATLEIAEDDTQDFDSKYRALVAIGSLMLKGLVKSLALDLDVKSVASSAKSSMDTKVAEVGGDIESLTS >Sspon.05G0004330-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:12899989:12900585:-1 gene:Sspon.05G0004330-1A transcript:Sspon.05G0004330-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTSSTEHAHVKHITLHQFLKQQQLLQNRLKPAVMWGWPATAAAIGRHVPEDVADDDDALGGAWPPRSYTCAFCRREFKSAQALGGHMNVHRRDRARMRGGHHGGSAAAQLQLGGAAMLPVSTDETPHAATAAAKYAVLYPILNSNAAGAVLIPSGDVLLSGPVALAPAHERCHVSDDDEEEEEDKDVDLELRLWWP >Sspon.08G0014650-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:32269252:32271712:-1 gene:Sspon.08G0014650-2B transcript:Sspon.08G0014650-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding EGHIERTDGADSSLNLYAYGISESTALQAIQQLELEDIVSLTYNISEADAVIALHSKLKKNSQIQAVVKSQDIPVFFVKTNTLSQITRALRALADNHMDELIDIDYDDKEEARSSEETDALEEARLAIEQVVIPKGESVQLLPRPSSIISSQVDLIESFSLQWEVIGQEPNAYVRILPHFAPKEAEAIQKETPAGLVDSESSDDLDHTQNGITRLPFLPD >Sspon.06G0016030-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:69592585:69593868:1 gene:Sspon.06G0016030-3D transcript:Sspon.06G0016030-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTCSESSDYAFGFACHCKPGWSRYHLAALQYPFLPCVIPNCTIHSSCQDGSSSSSPAPAPSPPPPPVVLPPLTTFDPCLMQYCGDGGTCEKASEFTHRCSCRDGYANLLNDTSYPCYQQCSLGSDCKGLGIDVINGSTPISSPPAPFSFTVKKSGAGRPAAAPAHTLLWLLLLLTFYGFQ >Sspon.07G0015250-2P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7D:52697890:52699035:1 gene:Sspon.07G0015250-2P transcript:Sspon.07G0015250-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDHPHHQSMATPAAASMCGSKSKTKLQGGGERSSRGSGGGNSPPAAMRKGPWTEEEDAQLVWFVRLFGERRWDFLAKVSGLRRTGKSCRLRWVNYLHPGLRRGRITADEERLILQLHAQWGSRWSRIARSLPGRTDNEIKNFWRTRARKQKAAAAQQQDSRSSKTASASAFSGSSSSVTATTSSCSGSPSPSSGGCGTATTSSSAVTESALRQSSGGCDSGDDDAEFDEASTTTAASQHQHHHQQQQQQQQQECYASDHFWNDIAAAEAASYMLIDGWAGAGPGHPAEPPSSPAWEYCSDYSLWRIDDDEYYKKMLDSS >Sspon.01G0058270-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:24204082:24207745:1 gene:Sspon.01G0058270-1D transcript:Sspon.01G0058270-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AAMRLPAVRFLPSSSVPAVVDANARIARLARTGNMESARATFEAMPLRTTASYNALLAGYFRNHLPDAALRVFQRMPSRDLASYNALISGLSLRRHTLPDAAAALATIPYPPSVVSFTSLLRGYVRHGLLADAIQLFRQMPERNHISYTVLLGGFLDAGRVDEARKLFDEMPAKDVVAWTAMLSGYCQVGRVTEARALFDEMPKRNVVSWTAMVSGYAQNGQVNLARKLFEVMPERNEVSWTAMLFGYIQAGRVEDAEELFNAMPDHPLAACNAMIVGFGQRGMVDAAKAVFDRMCERDDGTWSAIIKAYEQNEFLMEALSTFREMLHIGIRPNYPSVISILTVCAALAVLDYGREVHGAMLRCSFDMDIFAVSALITMYIKCGNLDKAKRVFDMFEPKDVVMWNSMITGYAQHGLVEEALRIFDDMRLAGMVPDGITYIGALTACSYTGNVKKGRDIFNSMGTNSGIKPGLEHYACMVDLLGRAGLVEEALDLIKTMPVEPDAVIWGALMGACRMHKNAEIAEISAKKLLELEPGNAGPYVLLSHIYTSSGRWEDASKMRKFISSRHLNKSPGCSWIEYDKRVHLFTSGDVLAHPEHAIILKMLEKLDGLLMESGYSADGSFVLHDIDDEQKTHSLRYHSERQAVAYGLLKIPEELPIRVMKNLRDAGTIGEVNAVVNSLLHIIALMSLYTGGMTIDDRKS >Sspon.02G0000140-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:5635394:5641949:-1 gene:Sspon.02G0000140-2C transcript:Sspon.02G0000140-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPSSASSSGRLRLLTVPALLLLLSSAALLVFLVLPSLSPSSSSSSAASAHLCACSPPATHTTTTVTTTTVTASPAPVTTSPADVAWLKAQLASNSLLLAGGGAHDAWHRLRKGINPRTREQQLFDINRHHGISHYPDEEATNHTALPCPGELLVEEHHSNYGEPWAGGRDVFEFLANASALTPTEQVLEIGCGTLRVGLHFIRYLDAGSAVFLHIPDKLVWTGLERLAGKLRPQRGRIFVSHNIKFCSRLGGDECTRRLAKLGLEYVGKHTHDSLLFNHYEIWFEFRRPKKFTSSNNLPVNHDSWEL >Sspon.06G0031440-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:67464503:67467462:-1 gene:Sspon.06G0031440-1C transcript:Sspon.06G0031440-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLARRLAEAAIAELRASSLPVASSAPSLAVALLAAPSASSIHVSAARTTSPNAFFSVTFPAASAASSALGAKMSCTPPEAAPSAISIVSAAPPTSPTTFPAAPAASSALGAKMSCTSPEAAPSVISIFASAAPPTSPTNFHASTSPAASAASSALGSSPTPAPFRSLAAIGSGAEDDRCESGSVLVRFPSAIRNDRRYTIRLPSECESGSVLIRLPSAIRNDRRYTIWLPPAIRNDRRYYSNWASTSWEQTTPQEIPPSPQVPLGSRPFTSQATPTPHSELSPRDMTLDFPPGHDKGGVHKEFSFDHSFAVFFLASHRM >Sspon.02G0011890-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2B:30372194:30378835:-1 gene:Sspon.02G0011890-2B transcript:Sspon.02G0011890-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding HCPSFQSRGRRREAGSSHRSGYLQRTAIGWGVSHADEHEISLCDPNSEDDRRRRKIGSLPRKAIHALRKKRARRRVTDFRFPVAISIEDVRDAEEERAVAAFRDRLAAHRLLPDKHDDYHM >Sspon.01G0018730-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:70692318:70700148:-1 gene:Sspon.01G0018730-3C transcript:Sspon.01G0018730-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQATASLPFLLLLFQLHGLSHAVAPAAGGGGDGQFIYNGFTGAGLDLDGMAVVEPDGKLMLTNVTSQLKGHAFHPAPLRFHDRASASAQNRTARTFSTTFVFAIVSDYVTVSGNGLAFFVAPSKNLSTASPSQFLGLFNSQNNGNATNHVFAVELDTILNPEFRDINSNHVGVDVNGLVSLAAEPAGYYADDTGAFKNLSLFSGDAMQAWVDYDGQATVLNVTLAPAEAPKPKKPLISVAVDLSAVVNDTAYVGLSSSTGPFHTRHYVLGWSFALDGAAPTLDYTVLPRMPRVVTKRRSKPLDVVLPVAMPLLALAVLACVSLLAWRRFRYAELREEWEVEFGPHRFAYKDLFHATDGFDGKRLLGVGGFGRVYKGLAHTRRVTPATDVFAFGSFVLEVACGRRPIERGDDDGRFVLVDWVLELWHMGALADAADARLCGEYPAEEAELVLKLGLLCSHPVPAARPSMRQVVQYLDGDAPLPEPPASYQSFTVLAMMQNQGFDSYAASYPSSLATTPDGAFVRVSVTWQLCSAMAARRVLPMVVVAVMVAASHGGAVEFAYNGFGGAGLSLDGTAAVTPAGLLQLTNDTNMSKGHAFHPDPVKFHRPAAAAVSSFSTTFVFAIVSEFLDLSTSGFAFLVAPTTDLSTAMPQQYLGMFNGTDNGDARNHVFAVELDTVRNPEFADINNNHVGVDINSLNSSAAAPAGYFDDGGAFRNLSLISREPMQVWLDYDAATAEVTVAMAPARRPQPRRPLISTKINLSPVITGTAYVGFSSASSVVLVKHYVLGWSFALDGAAPALDYDKLPTLPRIGPKPRSKALTIALPIATTVSVLAAVAVAFLLLRRRRRYAELREDWEVEFGPHRFAYKDLYDATGGFREKRLLGAGGFGRLVRTGKATTLSDVFAFGAFLLEVACGRRPIEEEEQNAAAAVGVGDRFVLVDWVLGHWRKGSIAGAVDARLGLEYDATEADLVLRLGLACLHPSPPARPTMRQVTQYLDGSAPLPELPATYLTLDTLAGMEGHPSPFESWFIWRPTSTAATSVTT >Sspon.06G0009270-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:49813445:49820014:1 gene:Sspon.06G0009270-1A transcript:Sspon.06G0009270-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MIAQGLRRSSAASSQVSRLVKYIASAGSLQGGHSFSESPARYYSSQPSLQATEENGFKGHSMLAPFTAGWQSTDLHPLVIERSEGSYVYDINGKKYIDALAGLWCTALGGNEPRLVKAATEQLNKLPFYHSFWNRTTKPSLDLANDILSMFTAREMGKVFFTNSGSEANDSQVKLVWYYNNALGRPDKKKFIARSKSYHGSTLIAASLSGLPALHQKFDLPAPFVLHTDCPHYWRFCLPDETEEEFSTRLANNLENLILKEGPETIAAFIAEPVMGAGGVILPPKTYFEKIQAVLKKYDILLIADEIRGLGLILGTEFVDNKSPNDPFPAEWGVGSIFGAECEKRGMLIRVAGDSIMLSPPLIMTPNEVEEVRPFYIVQSLLPYRYNHLTNMKLTEIISKFGDALKATEERIGELKSRKN >Sspon.02G0027550-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:122403003:122407360:1 gene:Sspon.02G0027550-3C transcript:Sspon.02G0027550-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLIEDKGGAIALMLASLLFLGTWPAVLTLLERRGRLPQHTYLDYSLTNLLAAVLIALTFGQLGDSKRGMPNFFTQLGQDNWPSVLFAMAGGVVLSVGNLSTQYAWAYVGLSVTEVISSSMVVVIGTTLNYFLDNRINRAEILFPGVACFLVAVILGSAVHASNAADNEKKLSGSTNANKLGTSGTVEPSKQVLDKDAPKDLENGASGTKQVDKAEAGTAEYLIELEERRSIKVFGSNTFIGLGIVFFAGVCFSLFSPAFNLATNDQWHTLKDGVPHLVVYTAFFYFSISCFVIGVGLNILFLYRPMAGVPKSSFGAYLRDWNGRQWALLAGLLCGFGNGFQFMGGQAAGYAAADAVQALPLVSTFWGVLLFGEYRKSSRKTYVLLGFMLFMFVAAVAVLMASSGHRSTE >Sspon.04G0024450-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:24177204:24180412:1 gene:Sspon.04G0024450-3D transcript:Sspon.04G0024450-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatidylinositol N-acetylglucosaminyltransferase subunit C [Source:Projected from Arabidopsis thaliana (AT2G34980) UniProtKB/Swiss-Prot;Acc:O64761] SCPRQASASAGEAAAAAGLAVLDIYTAQRLFLLEKKAQQFIMKSSDGNRIRLQPMWRKVAYGGRQSGYDDNYTDESFLEEMVMNANVVKRDLLKVMIDSVSISQYLCIVALVVSTWILTLNLDIDESTLLKLDVGLLLVGFSVLLLTTCPFSLKLLTKYVLNISFFISGLYVLAPIYHTLTRSISSDSIWALAVSLLQVERHDGGEHGEKNSGNEADQMEWGG >Sspon.07G0015080-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7C:59740824:59743337:-1 gene:Sspon.07G0015080-2C transcript:Sspon.07G0015080-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLYVTLLGLIFFSSLHTPSSAATAIGDTLAAGQALAAGDKLVSRNGKFALGFFQFKQILNRSRTAGESTTTTTVVSSPGWYLGIWFNKIPVFTPVWVANRERPITASELKVAQLKISRDGNLVITLNNNTNTKFTVWSSTAVNSTNSTVVSAVLMGTGNLALVPKNTSSAVPLWQSFDYPTDVGLPGAKIGRNKKTGLNRFGISKKSLIDPDFGSYSIEVDINGQMRLTGRKPPYVVYWSWPAGKLGELVQVLNGLMDSDPRTKGLLKPTFQENNEEVYFSYTLIDESASVFVPIDVFGQLQLNIWSNTTKSWETVYAQPSDFCIASAVCGPFTVCNGNSRPNDYCDCMETFSLKSPRDWELGDQTGGCVRNTPLDCISSNKSKTSSTDVFHPIPSVTLPYDARVIEGVATQSNCAEACLNDCSCTAYSYSNSKCSVWLGELRNVNQDDGNGISSQDVLYLRLAARDLQSLKEKSKRIPRPVISASIVGGLLLLVLLLLFFMIWRNKFKMSNVALHGTGGSGGIVAFRYIDLSRATKNFSQRLGGGGFGSVFKGALSDLTTIAVKRLDGARQGDKQFRAEVSSIGLIQHINLVKLIGFCCESDKRLLVYEHMVNGSLDAHLFQSNGPILNWSTRYQIAIGVARGLSYLHQSCRECIIHCDIKPENILLDASFVPKIADFGMAAFVGRDFSRVLTTFRGTAGYLAPEWLSGIPITPKVDVYSFGMVLLELISGKRNTPEVSSKSSHHVFYFPVEAISKLHEGDLQSLVDPQLQGDLDLEEAERICKIAFWCIQDNECDRPTMGEVVWVLEGLHELDMPPMPRLLAAIMERYDDASM >Sspon.07G0027630-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:54038648:54041039:-1 gene:Sspon.07G0027630-2D transcript:Sspon.07G0027630-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKVFTLEDVAKHNSKEDCWLIIGGKVYDVTKFLEDHPGGDDVLLSSTGKDATDDFEDVGHSNTARAMMDEYLVGEIDASTIPSRTKYVPPKQPHYNQDKTPEFVIKILQFLVPLAILGLAVAVRMYTKSESA >Sspon.01G0053910-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1C:44241445:44246434:-1 gene:Sspon.01G0053910-1C transcript:Sspon.01G0053910-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RTRTHKQQSWSWQSDASGRWQSGAAAASRAQRLAAAELAAAQAAEEAAVARLLLLRRRSQRLLPYERTDAVSTLDVGTALTLDASAASTADMRGGRDADARLGGRDAGRAGRDAERVRPDADGLGDSDVDGFGNRRGRDARGHDVRRGRGRDADEAQDAHVGRDAEAWLDREAARDARTRNRGAHDPHGHDHDANHGAPARASGLDGRGFDGTPGGCDHWRDPRHASPSPDRRRGRPGTPPVVQTVVKDAGGGWPMLTKTNYVEWAMVMKVTMQVRRMWDARTAKDAGDAIAAARIGNDRARRSTLQKLRQEWENLAFKPGEDVNDFALRLNTLMQQLARYGDDIDEERAIKKFLHVVPNKYSQVAIVIEMLLDFSELLIEEVTGRLKAVDNHEQLPPSEPVTIDGKLLFTRSSGSPASSQVSARKRDKARGGAPDGADGEHKTTRNDTCKNYGRTGHWAKDYRQAKRGSQAHVVQAQEGDEPALFFVHGSIEPHSSPAPAAAALHLAEPRAHVFLGNGSGGDKIDGWYLDTGATHHTTGRQEFFSELDYSVAQPVCFTTHRDDDAWRWHERFRHLNFEALKQLGNKEMASFRTKEKLELVHGDLCCPVTPSTPGGRRFFLLLVDNVSRYIWAVLLDTKAATVEECDRKLRVLRTHNGGEFTAAKFTAYCADEGIQRHFFASYTPQQNGVVERRNQTVVATARALLKPLMARRRTRPGTGANRRSATSASSAASRPPRSSTTSASSTTGARRGVFIGYMEGVKAYRILDPATQHVCISRDVVFDEGRGWAWDKLRQIHHLYHRLRPALLHRLPNLLHRRPHLRDRHQQLWSVTSSTVEFATPPSNDEDRVDAYHDDKPLRYRTLDNIFGDQPVPGLAMHDFEAELRLAHEDGEPRSFAEAEGDAAWRATMQQEMEAWVYKLKKDEAEAVIKHKARGFVQQEGVDFDNAFALVARMESVCLLALVAQEGWHVHHMDVKSAFLNGDLKEVYVHQPPGFVIPDKENKVLHLRKALYDLRQAPRAWNAKLDSTLKQMGFEQSPHEAAVYRQGKGGNALLVGVYIDDLVITDTKEAELGIKIHQDSSGISLRQTAYAKCILKLGGLTGYNPAYTPMERLKLSHDSTAKKVDATQYRHIVGSLSYLVHKRPNLAFAVGYGTADYGLHYLRCPGAEHFIGYSDSDLAGNIDTSKSTSDLLGRDAEAVKLRVDSKSALALAKNPIFHERSKHIRIKYRFIRSCLDEGSIKAGYINTQDQLADLLTKSFGRVKF >Sspon.03G0029210-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:12990951:12991761:-1 gene:Sspon.03G0029210-2D transcript:Sspon.03G0029210-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVTKEDVEAAITSALSPSNLVVTDTSGGCGASYEIEVVSEKFEGKRLLERHRMVNTALAPHMAEIHAVSIKKALTPAQAQPQPELAADKPQA >Sspon.03G0026340-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3B:2523948:2524261:1 gene:Sspon.03G0026340-1B transcript:Sspon.03G0026340-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RQQGGGRRSEQDRPKACPRPTRPIRPLGCIAQAWPADTPPERLAEPAGVNGSTSTPPCPVGPAAPARLPSLASGRAPKVSFFPRAWVGVLLLPNATSRDTRAN >Sspon.03G0035520-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3B:82285933:82286981:1 gene:Sspon.03G0035520-1B transcript:Sspon.03G0035520-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNDAPGGERAKEAPPQLLDVQVAANRGLESKPRGAGVIVSFGSRPAVAAASPRPKRLTTVLVVDKARLCCSLCSLALKRPIYQCAVGHLACGGCRMKLPINACRTCRDHGTGSDYALCPGLDVFYGDLHLPCPYEQFGCRSYIPYYRAASHQSACERAPCLCPETGCAFAAPPPALLAHLAAAHSWPVHKIPRYGAIHSLRVPASVPDRLLVVGEEEQQEDEEQEEEEEGKDDAEERPAVFVLSVRARGAATAVSVACVRANARAGPQYKCVLWAKAPAPRGAAGRAGPRLCMETDVPSCAAPGQAVTEDAMWLGVSP >Sspon.07G0001550-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:3026354:3026860:1 gene:Sspon.07G0001550-4D transcript:Sspon.07G0001550-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEAFPIRFTQGVRAYWRRRKYHRLAEDGGTKPRSRSRQLGSPSGGGARMRRRVRVLLAGPRRALARARDAYVGGMLALAKRASPLALPGAVEALWTKRVPRRKQGQLPAPGSGKPTEFEQRLIFEIYKSIVASKELTTMLHSSDAHLPPRAPALGAGIPSTHLLDM >Sspon.08G0001600-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:1905397:1907648:-1 gene:Sspon.08G0001600-1P transcript:Sspon.08G0001600-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPAAAHLATAAITTRTSARAAGSEAEDLAPSPPLPPPPVSADERVERAWAHWRRLGSPRLLVAPMVDNSELPFRMLCRRYCADAAYTPMLHSRIFSENEKYRSMEFTTCKEDRPLFVQFCANDPDILLQAAKMVEPYCDYVDINFGCPQRIARRGNYGAFLMDNLPLVKSLVQNLAANLHVPVSVKIRVFPRLEDTLAYAKMLEEAGASLVAVHGRTRDEKDGKKFRADWDAIRAVKDALRVPVLANGNIRHMEDVKNCLEYTGADGVLSAETLLENPALFAGFRTKEWKEDGDENGDSDLDQADLVIEYLKLCEQYPVPWRMIPCPQDVRDWFRVHPEVREELNKQNKLTFEWLHDMVMRLKELGGRVPLYRKECTRDNIRWASL >Sspon.01G0014310-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:40303065:40305241:-1 gene:Sspon.01G0014310-1A transcript:Sspon.01G0014310-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLTTMEKLILFHKLESDLFHRLVHDLEQDPVPMRWVIAFWLWLESDGHHDFIRRVSALPGPVVLRFVDEAIACLRCLARQGAAGTGTGTGDGRERCLPCTNALLTKRIDDVGYFEGHREVLDGVTYQYRSVCLAVCDVSSKSTTCVPTNTSGVLAAPPMVGSPVLTAPTVGSFPLNPLASPWIPLQQSPPPDDYRSLFITFSRGYPINREDIMEFFNSVYGPCVETVMIEKVAPGQLPVYGRMVLRSAAMIPVVLNGRQTAKFLIKGRHLWARIYVPSSRLSYA >Sspon.02G0032020-1P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:102015104:102016339:-1 gene:Sspon.02G0032020-1P transcript:Sspon.02G0032020-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding TGLGNNKLPVVHRQSPCSPLHGLPSLTAADVLRRDTSRIRRRFSSQDSTVAAAPAPALASSPAATIIPVDGSFDPWTEALDYTVLVGYGTPEQQFPVFLGTSSSGMSLLRCKPCASDCPTKCSGSVCPLVGTHSTKPIGTFSRDVLTLTPSTAIHDFRFVCMDVKHHPDVLPQVGILDLSRDRNSLPSRLSSSPALAFSYCLPQSPTSQGFLSLSHDGTVRDDNRTAVHAPLLSHEGNAELESMYFIELVGMSLGGEDLPIPADAFGNSGTNLDVGTDFTLLLPAAYTPLRDAFRKEMSQYNRSVPEFAGFGTCYNFTGLPSLWIPAVQLNFGNGESLLIDGNRMLYYDDPPPPRRSPWPASPSSRWTQGTTARPSPSLGHIRWRQQ >Sspon.01G0044360-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:74459272:74468310:-1 gene:Sspon.01G0044360-2D transcript:Sspon.01G0044360-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVGDVLGGGGQAFQAVVAGDGAATTCAEAEAERAREGTVVADSPTGLCGGDEARGHSRGGRRKRAEDCQDVVAERAEVVRGRCTRGGRSAVEERRDVAACACRLQLQGDPWFRADHVDEAETAEGFGHSIFDAAMNTVAVARSCAPVSTGAAEAQDDVDLLRPLAVVEKLKSKKKLLVQPSSSMSVERIKAFGKHSLIGASGEFSDFQEILRYLDELTLSDHMWDDGNSLGPKEIHSYLTRVMYTRRNKFDPLWNALVLGGVKKGPKGDEKYLGMVNMIGTHFEENYVATGFGNHLAIPILRANGVRILLFEEAVKLVEKCLIARITTEGPTIHPPYSLKTYWGFSHFENPAHGAVGSWRGRIPDAC >Sspon.03G0032020-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3D:32085322:32088626:-1 gene:Sspon.03G0032020-2D transcript:Sspon.03G0032020-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGALGSDGDRPKACDGTTSTSGGCVAQWLGAGAPAADLSVGHLRRTSTAVSSGYKLLRDALVGSCSGVARCSGGHLLRRSCFGGQLLRLLCSASTFLKNAGIETPVSKSASSAAREAQRREQLQAEKQRADLLQEVDTLNKKAEEIEESMARTQKVVLKTQQEMEEFKKKQEANDLLLQRILTLNSGIKDDIAIIKDDNASFGLSLNS >Sspon.06G0018580-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:100015984:100016862:1 gene:Sspon.06G0018580-1A transcript:Sspon.06G0018580-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding TMALNPPDALARSHLSSPSQNLAVVGGGGTPATVSAQPAAAPGDNGISSSRPPPPLPKLLLDSGASIHTVGDPRLLSNLRDAPAGMSVTAADGNTLPVTKIGDIQMTNAGFTIPGVHLVPGLKFDLISVRELTRQSRICTWFDGDRAMLYAGPDLVGLAVAPQEKNGLYVLESLSVPKIAPADSSGNCLDGEMKLLPVWCGSSARGSRSQSTKRGASFPDSVSIRENSFGSMSSMCKNSFGSVLCWLKALPHATPLFGAGPEEQPMREPYVSSERRKRRRTQGEGDSSWRTTD >Sspon.02G0045860-1P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2B:112482332:112483184:-1 gene:Sspon.02G0045860-1P transcript:Sspon.02G0045860-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATNCCSTAARRLSDLLREQQEPFLLHHLLLQDHDDDSATPARTPAAAASAARRSLLRGSTATFTKLALRWADDVLAGCFSFPCAATRQRFRCLPRADRVGDVVAPADCHDGAGDCGRQLSPVSVLDLHSDDESSAVPSQRDEHRDDDGNGKPSTSGTGTGTSPPSIHDNDHDLPGKTPSTEERFQRKSVVCGWECLSVAADNLPDPKAGGDRPFRFAAGRLAPPRCRRRGRGGPAGSAEHRGHDLRGGQVGRSP >Sspon.03G0015970-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3C:71355854:71356451:-1 gene:Sspon.03G0015970-2C transcript:Sspon.03G0015970-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEIVEFLRHVPEVNARAWLLVVMNHLPHEDLTRVVVRLWAIWYMRRKAIREGYFQIPLSTHCFVERFTDELELIKPVTMEKQTVQRSEARLRKRGEEYPGSWLWEIRNIRLGDQVEKEAFSKVEFIP >Sspon.08G0005170-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:13294826:13299916:-1 gene:Sspon.08G0005170-4D transcript:Sspon.08G0005170-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VKDLEVELEATKQKGRETLQQAIQAEKERITQMQWDMDELRRKYSEMESNLKTEQNEKTRAESEKTTASGENETLLEELEIKQKEVESLKQRLVEAEAKSKADKKVLVKEVKSLRNSQTEMKKVLNQYLEEKTDLERVINREKQRSARTKLSQLKILHECRLLRERLQECSAKFLAEEQDNFTIDPSSLPDALDLLATSDNRIRLLVAEAQLLARDDEQGSSDDGDNSDSRSSLTMSSEDTKVTDEDATKMLSDLLIDNAQLRLRLNAVIRNAVNTAVKPEKEGSGDVLPKKTVLNWLLDR >Sspon.05G0002920-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:8691934:8697138:1 gene:Sspon.05G0002920-1A transcript:Sspon.05G0002920-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RMGVEGTLVDAAVGWLVQSILGSLLTDKLEAWAREVGLADDARRLEREMRGVEAVLAAARGRVLFSRNQALAQSMEELRNLLYDAENVLDELDYYRLQREIQPGAAPTTGTSTDKSIASSVNDIATSSTTRLVWSARDSFTSWASKAIDFVMIHTGRKRKRGEEWPAEDIIMPLRNKRDMSRRMNEIASQLCVVGDSVQKALHLEMSYCIAAPREGAVSTRPLTTSMPVEQKVYGRDTDRDKIVELLINGKSSDLHVLPVVGNGGVGKMTLARFVYRDQRTRDHFDLQMWVCVSNNFDEVCLTREMLEHIYKDRRDFNKISSFNVLQELLADNIRSRRFLLVVDDVCDNKDTNCWSRLLAPLKCSEVIGCMILATTRSPSVAKMIGTQSTLELKGLDGDDFWLLFKSCAFGDENHGYHPNLQAIGKQIVKTLKGNPLAAQSVGALLRQDLTCEHWYKVQEQWTTLQKGSDTILPILKFSYDHLPFYLQRCFSYCSLFPEDYKFNGDRLVRAWISQSFVYCKDTSNTIEETGKQYLDRLVDFGFFQKDGSHYIMHDLMHELAKMVSSNEYATIYGLNSNTTPQKIRHLSIISYAYDEVEQFGFHLNKFERELQKIGSLEKLRTLMFFGYGPDGCRDLLKYFQTLCGDAKCLRYIRIHLSGVEIDSLWDFIKPFHVRCIEFSIDGIYTDNYYCCSRDKELFGRIAYSKVLPTFYHLHTLDGSHINLVVPSHMSNLVNLRHLIAGEETYSNIANFGKMTSLEELKGFRVQNAYGFEIGQLQSMNGLVTLRISQLENVSCKEEATGARLEDKEQLEDLCLSWNGSGTRFEPNTVAETVLEGLRPNRNIKHIQIRGFNGRVCPTWLTTNSSVISLRSLHLENCSQWRRIQLGRITSIGTLKLINMWSIVDVSVPSLEELLLIELPNLERCVGTYKKNLSSHLRILRMERCGKLKDFTLFQNYDYFKAGQKTMFPFLNKLSIKHCPQIRQWTTLPLEDLQSLEELELIEMPGVIHLSVPCLKKLILIQLPNLECCTSLNEDQLSDSIEVMQIVKCSKLFHFQVCLSQDEEKEWLPNAYELTVHDCSHVMVSRPLPPSTKCSKVSVSIRGVSAPLAMKMMKDLSSFTIQFEELSILDEKVLAFHNLTSILNFYIVSCPNLVSVSFQAFRKLVSLERMEINDCPKLLSPSMMLDEIYNDNDTMQLPTPFARYLTIESSGMMGELLLPCVQSLELTIKDCWKITSVPFICPVEEQSKRLSLLYISSTITSTKTALTRDEQVLLKIPHPLYTSVKKLNISNCPGLVICNSEEGFSTFAFLEVLTVTGCPKLLMSVVHENNIHQRCLLPPSLNQFEATHLPPRLQPYFPGNHTSLRRLTVWDSPRLESLQLHFCTSLEEIEIFNCKNLSALGGLPYLNSLKILKLERNPKLSVVTLEPSYHDQESWEDQNGGHNLYPKLEWLETDNVSLLTMPFCKHITALQHFTLSSSRSHNRMTRLSEEQQGALQQLTSLKELRFLCCNDLISLPAVLHCLSSLKKLEIGYCPSISRLPEEGLPLASMEELELRGCSEELYKQCRLAATSKLRVIIDGEVVDDLTACALTEPPGGQPGPWHSLASFCRHYNNTVVLSLHEAD >Sspon.02G0006970-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:20910969:20912390:1 gene:Sspon.02G0006970-1A transcript:Sspon.02G0006970-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding APTVVFLPVWGAGHLMPMLEAGKRLITRGGRALSLTVLLIPPPTEEVAADVDAHIRREEASGLDISLRPPPRRRAADGLPRHRGVRLQASPAARAPRQGGDLQHVVPGGRVRHRLLLHDAARRVPRVRRARVRLLHRQRRDARVVPAPSVAPRGGAGPVRGDGGRGGCAWASAGAAVVPPQPSDGQESPQQHVVRVPRQALRRGRRHHRQHGCRARAERACRHRGRAVHAWCPCPDGLSNWAGDLVQPAHRAVARVRAVARHAAPGLRGAPLLWERRVLHRATGARDRARPGAQRSPLPLGAARPTGTRPVAPVGREPRRAAPRRLPREDQGQRPGVADEGAAERDTGPRRHGGFRDTRRLELGPREPVVRRADGAVAAVRRAAPERVHAGGLHRRRRGDEGGQEEEQFRGGRGAGASGEGADGRWRGGEEGEGEGHGDEGRLQERRGGGWLILRCAAEAIRGDVQSRHEPLMM >Sspon.08G0005440-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:16478345:16480210:-1 gene:Sspon.08G0005440-3C transcript:Sspon.08G0005440-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LKTDIPKSRAKQLVMQPLNCISFLLGLAILSATLGPFVAIAHRVTGSKGGAEIKLELSVDKIRTDEEVRSNVLTGRRLAFGDAVMEQKETKNSESRTSSGENKNYSTNSRVPSNIKDSSSSRMQAGPSRNRVKLEGSTSVIALSIPNPQRLRTLPSKHSRNSNAGSKKELRDSVVHRTLYKINEDWKEKMLEASDEVLKFLNKDYHASPHKRKPVHN >Sspon.01G0016140-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:55162548:55179907:1 gene:Sspon.01G0016140-2B transcript:Sspon.01G0016140-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSAANIPKNLEARRRLQFFTNSLFMDMPTAKPVSEMIPFSVFTPYYSETVLYSMSELCVENEDGISILFYLQKIYPDEWANFLERIGRGESSEDDFKDSPSDTLELRFWVSYRGQTLARTGIEDGNSAAEYIDTQGYELSPDARAQADIKFTYVVSCQIYGQQKQMKKQEAADIALLLQRNEALRVAFIHEEENISRDGTKEYYSKLVKADVHGKDQEIYCIKLPGNPKLGEGKPENQNHAIIFTRGDAIQTIDMNQDNYLEEAMKMRNLLEEFRNVHGNHGIRKPTILGFNSTLRQGNITHHEYIQVGKGRDVGLNQIALFEGKVAGGNGEQVLSRDVYRLGQLFDFFRMLTFFFTTVGYYVCTMMTVLTVYIFLYGRVYLALSGLDHSISDRARFLGNTALDAALNAQFLVQIGIFTAVPMIMGFILELGLMKLQFCSVFFTFSLGTRTHYFGRTILHGGAKYKATGRGFVVRHIKFAENYRLYSRSHFVKALEVALLLIVYIAYGYTKGGSSSFILITISSWFLVMSWLFAPYIFNPSGFEWQKTVEDFDDWTNWLLYKGGVGVKGDNSWESWWDEEQEHIQTFRGRILETILSLRFLMFQYGIVYKLKITDHNTSLAVYGFSWIVLVVMVLLFKLFTATPKKSTALPTFVRFLQGVLAIGIIAGIALLIVLTSFTVADLFASALAFIATGWCVLCLAVTWKGVVKTLGLWDSVREIARMYDAGMGAIIFVPISWPGDLPHPGREQGESADMKSLAASS >Sspon.02G0031010-1T-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:106975352:106976576:1 gene:Sspon.02G0031010-1T transcript:Sspon.02G0031010-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GVASARRGPGQAQLRDLLPAGEQVPLRRRLRAGHARAGGSGAEPGQRGGPGQGAGAGDRRVRAGPLRRAAGGGRAREAGGGAAGARGGPRRARGRLLRRRGGGRRRGRAGRDAVPEGRRRAGAVHGGGRAGVRGGQPREARWWVGRLRRRRDRGRGGRKAPEQVGRAVPARGEVVEVVRAAAPGARGRHAPGHRGAAAGPLLRPRHGGAVPLLPRRRRRERQLRLPPPRRVRRHLRGRERRGRRAVRGRAHGHRRRVRGRRRHGQPHRGRHHARAPQQARVPARRRRRRPPRRLHRFGILRRRCRRRFRHPFRRRLADAHPSAFAVARRDGPPHRRRRRRHGRPSSGHGVRAHVR >Sspon.03G0000720-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:9995627:9999422:-1 gene:Sspon.03G0000720-2B transcript:Sspon.03G0000720-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPHGAGTGGGGVGRRKAGAAAAAASREWMVVPASGPARVEEAGKHAVMARTGLPARDLRVLDPLLSYPSTILGRERAIVVNLERVKALITAAEVLLPNSKDPAFARFVRDLQTRVLASSSDQAAELTDMEGESSVVASPFPVPSSSKGHEEEMTKKTTAVVPEMTSSSSMPNLAIAKDGNTKVLPFEFRALEVCLESACRSLEEETSTLEQEAYPALDELTSKISTLNLERVRQIKSRLVAISGRVQKVRDELEHLLDDEMDMAEMYLTEKLTQQEISEASSRVEVDDPSQTEEDRQVSYEPDGSNGSFIGYKPNIEELEMLLEAYFVQIDGTLNKLSHLREYVDDTEDYINIMLDDKQNQLLQMGVMLSTATVVITAGVAVVGLFGMNIGISLYNPTTEEETRAANRKFWETTFGTVAGCVILYIIAMGWGKRSGL >Sspon.01G0024930-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:25928694:25930807:1 gene:Sspon.01G0024930-2B transcript:Sspon.01G0024930-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSARIVLLLATLLCAGAAVASSWEDHHDHGGHKPWRCARRCEDRPWHQRARCVEQCREEEREQQQEERGGRHEHEHDRRGDRRGEGSSGDEREREREQEQGRRSFVFDRRSFRRVVRSEQGSMRALRPFHEASELLRGIRNYRVAVLEANPRSFVMPSHTDAHCICYVVQGEGVVTTIENGERRSYTIKEGHIIVAPAGAITYLANTDGRRKLVIAKILHTISVPGEFQFFGPGGRNPESFLSSFSKSIQRAAYKTSSERLERLFGKRGQDKGIIVRATEEQIRELRRQASEGGHGPHWPLPPFGESHGPYSLLDQRPSIGNQHGQLYEADARSFHDLADHDVSVSFANITAGSMSAPLFNTRSFKIGYVARGEGKAEIVCPHHQSQGGESESERGKGRRRTEEEEGSEEEEAGQGYHTIRARLSPGTAFVVPVGHPFVAVASRDSNLEIVCFEIHAEKNEKVFLAGAENVLKKLDRVAKALSFAAKAEEVDEVLGARREKGFLPGPEQEQEEERGGRHGGLEEREQEEERHGRHGGRGEREQEEEHEGRHGGRGRREEVAETLLRMVTAR >Sspon.06G0009610-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:51170221:51175979:-1 gene:Sspon.06G0009610-1A transcript:Sspon.06G0009610-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPGFRFHPRDDELVLDYLLHKLSGRGHRGGAAIVDVDLNKCEPWDLPEINNTEWYFFNLRDRKYATGQRTNRATRSGYWKATGKDRTVVSGGGEDAAAAAVVGMRKTLVFYRGRAPKGRKTEWVMHEFRLLPHAAPCLQPAATKEDWVLCRVFYKSRTTPPRPASEDAQDGTPSAEPQLMAALPLAPLADTYTVYGAAPTVSEQVSCFSGLPALPFKRPVSLGDLLTFDTSEKESVRTVMSGVSNNSKFHPLNDTCWKAQDMTIQARRFSRGKGSQCGRVCIAWSLIVVSIEIIVHKDPMRPFGTATNQVS >Sspon.03G0034930-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:74022277:74024440:1 gene:Sspon.03G0034930-2C transcript:Sspon.03G0034930-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine proteinase inhibitor 7 [Source:Projected from Arabidopsis thaliana (AT5G05110) UniProtKB/Swiss-Prot;Acc:Q8LC76] MRVAATRLAAAPPPLPFLLLLVLLAAMAGHVLGGVKDNPAAANSAESDGLGRFAVDEHNKREVSGVPSLPGSSRPLRNALLEFVRVVEAKEQVVAGTLHHLTLEAIEAGKKKLYEAKVWVKPWLDFKELQDFSHKGEATTFTNADLGAKKGSKEEKIKAEVHRSLEGAFVLNQHQPAEHDESSSQ >Sspon.01G0000160-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:1476734:1478664:1 gene:Sspon.01G0000160-2D transcript:Sspon.01G0000160-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKSKAIRVHELGGPEVLRWEEVEVGEPGEGEIRIRTTAVGVNFIDIYFRKGVYAAPTIPFTPGMEAVGVVTAVGPGLTGRKVGDVVAYAGHPMGSYAQEQILPAAVAVPLPPSVDHKQAASVMLKGMTAHVLLRRVFKVESGHTVLVHAAAGGVGSLLCQWANALGATVIGTVSNQDKAAQAAQDGCHHPIIYTSEDVVARVKEITSGKGVNVVYDSVGKDTYKASLECLASRGFLVSFGQSSGKPDPIPMSDLASKSLFLTRPSLMHYTATRDELLESAGEVFANVANGVLRVRVNHTYPLSEAAQAHADLEGRKTSGSIVLIPDTVR >Sspon.03G0027910-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:8339385:8340429:1 gene:Sspon.03G0027910-2P transcript:Sspon.03G0027910-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPGDAEGVTAMWELSVEAAPSSGENEIAQYANGGDVAAVDEDDVWEDVSDSHGHASTLDREWIHRQNQFQKMGYRDGITEGQKDSAQEGFNVGFRQSVNVGYKWGLVRGVSSALASLPDSLKEKLVPDVQCRGKLQDLHSSVQEISADDALQMFHESICQSNRPSEGSGSHVTSTSDGGTESNRMKSLSKDLVLLLHECSDVNVSVVVA >Sspon.01G0012550-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:34012590:34017584:-1 gene:Sspon.01G0012550-1A transcript:Sspon.01G0012550-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGEGTVSAVGVAAWLGGFVGFGPPGEMRRIGPCIDIHKGKVKQIVGSTLWDSSNDGMELVTNFESDKSPAEFAKLYKEDELLGGHVIMLGADPASQAAALEALHAYPATFDDSPKLACSKVEYVFSDGKMNIERLRKLVELVGKQRLVLDLSCRKKDGRYTIVTDRWQKFSDVFVDKPTLEYLAAFADEFLVHGVDVEGKRLGIDEELVELLGHHSPIPVTYAGGVSTMDDLERIKKAGKSRVDVTVGSALDIFGGDLPYKDAALWHKKQSMVGQ >Sspon.02G0024490-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:84003162:84004331:-1 gene:Sspon.02G0024490-1A transcript:Sspon.02G0024490-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFLWEIRHEKPFRFTPRQIAAFTRNYSTRLGSGGFGTVFKGVLPNGLAVAVKVFHSSLDQKIEEAQFMAEVGTIGRTHHVNLVRLFGFCFDDAVRALVYEYMEHGALDAYLAGRGRDVVGLPALRDIAVGVARGIRYLHEECQQKIVHYDIKPGNVLLDGALTPKVADFGLARLVSRADTHVSVSGIRGTPGFAAPEMWMQSGVTEKCDVYSFGMLLLEIIGRRRNFDEAAPESQRWFPMLAWTKYDRGELAELVVAPPSRGTNRSAAAVAAAAGDEHEQEQQCKEIVERMCKVAFWCVQQLPEARPPMSAVTKMLEGEVDIAPPTNPFQHLMAAPVVANLWTTPTSGGTGNNGILTAGTMETRGA >Sspon.03G0002880-4P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:16436169:16438167:1 gene:Sspon.03G0002880-4P transcript:Sspon.03G0002880-4P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGARHADLRRGQAAAAVFSACPFLAFAVLLALPGLAAADTHYYTFNVQMTNVTRVCVTKSIPTVNGQFPGPKLVVREGDRLVVKVHNHINYNVSFHWHGVRQLRNGWADGPAYITQCPIQGGQSYVYDFTITGQRGTLWWHAHFSWLRVHLYGPLVILPKRGEGYPFPRPYKEVPILFGEWFNADTEAVINQALQTGAGPNVSDAYTFNGLPGPTYNCSSKDTYKLKVKPGRTYMLRLINSALNDELFFGIANHTLTVVEADANYVKPFTVNTLVISPGQTMNVILTTPPNPASPAYAMAIAPYTNTQGTFDNTTAAAVLEYARRRCRPCPALPALPLYNDTGAVANFSRNFRSLNSARYPASVPAAVDRHLLFTVGLGTDPCPSNQTCQGPNGTKFAASINNNSFFQPRTALLEAHYRRRYAGVLLADFPTTPPHPFNYTGTPPNNTFVQHGTRVVPLGFNTSVELVLQGTSIQGAESHPLHLHGYDFFVVGQGFGNFDPVNDPPKYNLADPVERNTIS >Sspon.06G0035510-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:75981903:75988040:-1 gene:Sspon.06G0035510-1D transcript:Sspon.06G0035510-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MERPDLDLNLEARRRLWMKIFLLPLASRRVTCGATVNNRESKSGRLPLRPVGLFVVLFKFQAKAAHAHNTKHRIAPWQQAYKQAWAHGHTATDEQQEKRKLHRISDSLDHRCL >Sspon.08G0001080-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:1631910:1635168:1 gene:Sspon.08G0001080-1T transcript:Sspon.08G0001080-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAEIAAAARAPPCFGPRQRYGPGGSGGWCEHAALEFARRGELSRGDGDDKYLIATSQQPLCAYDLGLNIELSKESGTTHQPLISRGELNSTRSEELCSIKDTAAANEERLGAALEWRDEDELSEGGPG >Sspon.01G0004440-3D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:10436116:10437177:1 gene:Sspon.01G0004440-3D transcript:Sspon.01G0004440-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALWVFNSCCCGGCRFSTGCRPSCTDRNAAGGDLQNSAQTDKLNNGWTTAMLSIGTFGM >Sspon.08G0012910-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:52929399:52932542:-1 gene:Sspon.08G0012910-1T transcript:Sspon.08G0012910-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRVTPAGARLILDHVLGDPSVPAAAVHKLLAALPFPSDPTPRLRRAVLLRRLAADPVSESSLDTLQLLASLPAPASPIAAAHIAVAAFIAASASDFDAAARELFARPNGRARLAVDEGGSPALASDEVIATADQFEAAVGNSFSQTVLRGLWGDRSATEERVRELLAAEWAAIGPSLLVAAAERIVGDGAVETWRAADEATRVKLRILAGEEKTREILGKLEEPTCSANPISTPAVEKVIDALKTSCADLHSVVEDPLPAAKAVADEVLAARMEKAVSLNAEEVRGQPTTCGTAGPSAPNYKDKGPSTGKPHNLMDWNPTARTFQWEESPGPESSESSLRRPHLPSPRRAPVSPLPPGENKNRRRRARKWCLLEEETLRQGVEQYGSGNWKDILNNNLDVFIGRTPVDLKDKWRNMINR >Sspon.01G0032570-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:102612625:102617037:1 gene:Sspon.01G0032570-2P transcript:Sspon.01G0032570-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKQFLGRLPKKPSKSGDKDPIGRSSPSVSHPPLGPRAGDRAANLSSYPPVISSSGLSYGSGMHAGNANTRAHVNGDSATSAFLSLPSFKDVPNTEKQSLFIKKLNLCCMQFDFTDPTKNIKEKEIKRQTLVELVDYIASATGKFSEASMQEITKMVSANLFRTLSTPPRENKVDGFDLDEEEPVMDPAWPHLQIVYELFLRFIQSQETDAKLAKRYIDHSFVLRLLDLFDSEDPREREYLKMILHRVYGKFMVHRPYIRKAINNIFYQFIYETEKHNGIAELLEILGSIINGFALPLKEEHKLFLVRALIPLHKPKCIAMYHQQLSYCITQFVEKDCKLADTVIRGLLKYWPITNSSKEVLFLGELEEILEATQPAEFQKCMVPLFRQIARCLNSSHFQVAERALFLWNNDHIESLIKQNSRVILPIIFPALEKNTSGHWNQAVQSLTLNVRKLFSDHDPGLFTECLRKYEEEKAKEKEVKLKQEATWKRLEEIASAKATSGAAVLVSRPLSRQSSAV >Sspon.01G0049790-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1C:21153557:21155527:-1 gene:Sspon.01G0049790-2C transcript:Sspon.01G0049790-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein trichome birefringence-like 3 [Source:Projected from Arabidopsis thaliana (AT5G01360) UniProtKB/Swiss-Prot;Acc:Q8LED3] MKRVKGRAPLSVVVAIIGGLALAGIIFTEDLRSLTEIMEKKKGKEKKEQQRTTSLHGGETKVPPPPPAKTAFDPSRCSVMEGYWAYNRSRKLLYTDQTCPFIDRQDSCRRNGRPDSDYLYWDWHLDDCSLPRFDPAAVLEKLRGKRLMFVGDSLQMGQWLSFVCLVNSAVHYTARSMARSTTLSVFTATEYNATIEFYWAPYLVEANSDRNIRLGADGRVLHVDAVELHAKHWKGVDILVFDSYVWWMTGSRIKTVWGSFGDDGYEELDAWVAFRLGLKTWANWVDANIDPNATRVFFMSISTTHMRSEDWGREGGIRCYNETWPITRKGYWGSGADRRMMEVMSDVLGRMRVPVTLLNVTQLTEHRVDAHVSVYTETGGELLTDAQRADPQTYTDCIHWCVPGVPDTWNQILYAHL >Sspon.07G0017270-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7B:66790431:66794029:1 gene:Sspon.07G0017270-2B transcript:Sspon.07G0017270-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MENSQDESESDEQEQFLEMKPLRSLAPMFPLPMGYDVATQSTDPMLVFVTPFRPCTSPEQSPASFGQPLPKSPIPLKATPISATFPMPQHKGGSSQDPLKDTPMSEAFPMPRHKDEPSDDPLKTTPISAAFPMPRHKDESSDDPLKATPVSAAFRMPRHKDKSSDDPLKATPMSRSFPIPRHEDESSDEDYKPFSGQKKPTPLKTAKRTQQAECSNAANTKRRSIQRSLNKELAFSSSLSSDPNESVEEAMMMFDSLRRRILQLDEKEDAGKRADLKAGSLMMQNGLRINSSKIIGPVPGVEIGDIFFFRIEICIVGLHAPAMAGIDYISAKHAGKDEILAVSIISSGGYENDDNDTDILVYTGQGGNSRHKDKHDQKLEKGNLALMNSMKTKNLIRVVRSAQDPLYNSSKIYIYDGLYRVEDSWMDKAKNGFSVFKYKLRREPGQRDGISVWKMTEKWKANPVTRNNVIRADISSKTEKLPVCLVNDVDDQKGPSYFNYVTGVEYSRPLSKTKPLQSCKCPSVCLPSDTNCSCAQLNGGYLPYSANGVLVKHIPMLFECSSTCQCCQNCRNRVTQKGVNLNFEVFWTGDCGWGVRSWDPIRAGTFICEYAGQVIDEKNMNMGDEEDEYTFCTSWHSDKVSRWNLGAELLEEKSDNTTTENLKKLPIVISAKRSGNVARFLNHSCSPNLLWQPVQYDHGDDSYPHIMFFAMKHIPPMTELTYDYGTRGAPPGIKGKFPSICKLKPCFCGSTNCRGSF >Sspon.01G0002830-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:7827385:7828080:1 gene:Sspon.01G0002830-1P transcript:Sspon.01G0002830-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSGSGGGSPGSPCGACKFLRRKCAAECVFAPHFCAEDGAAQFAAIHKVFGASNAAKLLQQVAPADRSEAAATVTYEAQARLRDPIYGCVAHIFALQQQVASLQMQVLQAKAQVAQTMAAAAGPQGTTGSSPLLQRWPLEPESLSTQSSGCYSDMYCGFGDQEEGSYTR >Sspon.01G0055910-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:85221955:85224912:1 gene:Sspon.01G0055910-1P transcript:Sspon.01G0055910-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRREPAAVLLPAMLLLAAAAAAAAAAAAEEALPMPMEVYFSAGELARIAGYGEEPVSSVSVSGQLTCELCLCPGSHLLTLELPVTCESESPTPSNQLDSLAFATTDEYGNFTIDLPPRLHATPDLEKACTVRVLQLPADSCRLRHRPGDTYRLRLSSVEDGVRAYTAGVIRLQDSDTPSGRCVGVEHMSQRR >Sspon.02G0027400-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:720357:721054:-1 gene:Sspon.02G0027400-2B transcript:Sspon.02G0027400-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASLLVAPKPSMPRTVSSIFSARRWGCLAVAATAKRLGTRSESVNVDAPLEHLAPPTARKTTTTAGGRGGLLVWTAALPCHALSAGAVESGPSLDTLYRAAAVLGDLDPATARAVAGVAGPVLSAFGFLFILRIVMSWYPRLPVTEFPYVVAYAPTEPFLAVTRRVIPPLGGVDVTPVVWFGLVSFLSEILVGPQGLLVLLSQQR >Sspon.05G0004620-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:8482954:8483730:-1 gene:Sspon.05G0004620-1T transcript:Sspon.05G0004620-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDGEYEARLGDFGLARVIQRDGATHHSTQAVAGTRGYMAYESFFTGRASLDTDVFAFGVFVLEVVSGRRPTSPVAARPYRDGDDEASFGRGRQQPPMHIVDWAWRLYGEGRAQDAADAALGGEFDPAEVDRAVRLALACCHPNPRERPSMRTSVQVLVGGAEAPEPPLLKPAFVWPPFGERQEMELAHVGVLFTGGGGHSSFCSLSSTSFTGSKIQYVLAL >Sspon.03G0023840-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:72862904:72868674:-1 gene:Sspon.03G0023840-1A transcript:Sspon.03G0023840-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VLALSKSGTTSLPQRVPPFRGDSAPPEAPPVIDMSRPECGTLMADAAREWGLFQVVNHGVPAAAVSELQRVGRAFFALPRQEKERHCTGSFGSIEGYGVGITTRRNLKGKKNWEDYLFHYVAPPAVVNHDIWPKNPAGYREANEEYCRHIQRLRRELFEHLSLGLGLEKDAMSEAFGGDNLVLLQKINYYPPCPQPEVTLGIGPHTDMCVVTILLPNDVEGLQIFKDGRWHDVPHVPEAFIVFMGDQIEASKRRDTPLEGAQGEDPDVVGDLVVGPHQQLVTDDSPAKYKQPWKYKDYQPPLYNSAGSCIGALLPVKICFNIYRPCSESRRGKGTTAQEHSSERTVCERGAPKGRGAEEEETIARMEFSAGAQIDSESHTGEELANDVACEGNWRQDLNALGAVIFGAKLGANGDDAKVLPRQRQLGVIGQVAWGLGANVNDAKLCYLGASIDGTKLSQGPYMTTREGHLVEPQPALAGRSHHGHGRGYTLISESEMAGVYESVHALSMSGTVPPDFPGPNAFRGDSGPEAPPPPVIDMSRPECGALMADAAMEWGLFQVVNHGVPAAVLLELQRVGRAFFALPREQKEQHCTGIEGYGIGITGPRGSSRDLEGKKNWEDYLFHYVAPPTVVNHDIWPKNPAGYRHLQRLTRELFGHLSLGLGLEKDAMSEAFGGDQLVLLQKISYYPPCPQPEVTLGIAPHTDMCAVTVLLPNDVEGLQIFKDGRWHDVKHAAKHRVTVNKEKTRMSWPTFVEPPGELVVGPHQQLAAADSPAKYKPKKYKDYQFCKSNLLPQ >Sspon.02G0044880-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:105538412:105552304:-1 gene:Sspon.02G0044880-1B transcript:Sspon.02G0044880-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MREVVTVQVGGFANFVGSHFWNFQDELLGLADDPGADPVFRTAALDMDVLYRAGETHQGVATYCPRLVSVGSRGSLGSSGNVTKSVAKPHERNLFLQSLSEEEQNPSSSNGRNNARENIEDKDLVESLESGVNFWTDYSKVQYHPQSLYELYGSWTDFDKFDNYGTAKEVVSEWSQMEEMNERLRFFVEECDHIQGIQFLVDDSGGFASVAAQFLESIADDYTNTPVMLYCVRNPDSYGSSRNQRYLSPLLSIKDEKHFHSSAICAAAIHSLSVPFRLQHAGPASDSAHSSGKLDIGELVHILSDQGRQNMITALDVAMPAPSLTDRKDLRNIQRSLHSLTPEISDEDEDPYAVESLVVHGALDAGGKRASISQVKDSICSALEGRATKPKFSHLSVSPCPLPIPLPFPSIFSSSVGQQGEILSNSHPEGTRPKGSLDVVSVPMAARLRSSSAIVPFIERRSTSLQRLGVARGSLGSQVLCDWGFGKEEVGDMGEHLAKMLRPFYPEMDLTEVVTVQVGGFANFVGSHFWNFQDELLGLADDPGADPVFRTAALDMDVLYRAGETHQGVATYCPRLVSVGSRGSLGSSGNVTKSVAKPHERNLFLQSLSEEEQNPSSSNGRNNARENIEDKDWLKYHPQSLYELYGSWTDFDKFDNYGTAKEGIQFLVDDSGGFASVAAQFLESIADDYTNTPVMLYCVRNPDSYGSSRNQRETIIRSLHDAVSLSKLSYSCNLMVPIGLPSLSYLSPLLSIKDEKHFHSSAICAAAIHSLSVPFRLQHAGPASDSAHSSGKLDIGELVHILSDQGRQNMITALDVAMPAPSLTDRKDLRNIQRSLHSLTPEISDEDEDPYAVESLVVHGALDAGGKRASISQVKDSICSALEGRATKPKFSHLSVSPCPLPIPLPFPSIFSSTVGQQGEILSNSHPEGTQPKGSLDVVSVPMAARLRSSSAIVPFIERRSTSLQRLGVARGSLGSQVLCDWGFGKEEVGDMGEHLAKMLRPFYPEMDLTSDSDD >Sspon.01G0009200-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:94267143:94269435:-1 gene:Sspon.01G0009200-1P transcript:Sspon.01G0009200-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding PFPMSSEAECAALAAAATAGPDGAGGGRAEIDTSAPFESVREAVDRFGGSAAWSSDLVRRMFAAAEAINAEEQAAQLENELAVKERETLDVLKELEATKKIIADLKLKIQKEATETSPEEAVKSDETGQVSEAGPEEQQPENINVDVDMEGVEENHSGSVSAELEQAKASLSRTTGDLAAVRAAVELLRNSIAKEKLLLERGREKLSSNTSLVSSLEDELDQAAQKMETLKDLQRRRKDPSDIFMEIKKMTTEVQQLRSMANDSKSDAVVLAAEIEQAKASISTAEVRCIAARKMEDAARAAEALALAEIKALLSSESSFEGDTASDGVTISMEEYFTLCSKALEADENSRKKIEDAMLQVDIANNSESESVKRLEDAKVEVEECKKALQEALKRVEAANHGKLAVEEILRRWKSESGHEKRSLGGSPKLKNAAPRRKDSHTTTDMISDVSDRSHKPTLSIGQILSMKLMGPDGYDKSVWDDKTSEIPDISLGQILNRSGVLCREDMATHKRVSGKRKKFALTGLSVLLAKQSKNKKKRE >Sspon.03G0011950-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:32715231:32718302:-1 gene:Sspon.03G0011950-1A transcript:Sspon.03G0011950-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGSIVVDFPSMGAALCFPSLESLLRDSASGFLAAVSAAPAPGAADLTNFRRVFSRVLSAYPDPPLEALLSAFTASSPGAAKPLALLAPVVSELFHSDKPRRETEALVEAVLSYISICSSRPAPSSTEGATADAVRLLPAFGELVKVWSVRHSRERCPFQVLFPLAGDEARRELMKEGCSVDYLAGVVLAEAFLLRLCLKVQNATGVPRSELQKELKIWAVSSIPVFQNHQFFEWLVNLEDKGLKVFGDYGSWIMGRFAYDEVKNGYGNMIHSDADLFFVDKQSGREFMDTKGSEDEAVEEMETAGNAFMAAAQSMKGVTNGIRKRKSCGNEDAAAVKFVKYKVEDSSVKDYLSAANGMSSGSEVENPQSDDEMEESD >Sspon.01G0053560-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1C:39232781:39233465:1 gene:Sspon.01G0053560-1C transcript:Sspon.01G0053560-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKARDEIDACVGEPKRLLEATDLPKLPYLRCIILETLRLYPVVPLLIPRESSTDCMVSGFHIPKGTMLVVNAFAIHRDPGTWDDPETFLPERFEDGRNQAQVGKMVDLSFGMGRRRCPAENLGMQLAGIALGTMIQCFNWERVGTELVDMAEGSGLTMAKKVPLEAFCQPRASMVDLLSQI >Sspon.03G0029590-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3B:16895441:16895791:-1 gene:Sspon.03G0029590-1B transcript:Sspon.03G0029590-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding EGAAEEDGEALASAPAPVAAPTSLDPQAVDGTALVCPFASPTSVLRYAADEVPGAPTLPVFEFLYSRFGELGDLGAATVPLKAAAAEFDCLPWWDGEDFVMATGLTASAGTAVSVI >Sspon.06G0020690-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6B:10581480:10582193:1 gene:Sspon.06G0020690-1B transcript:Sspon.06G0020690-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MACHLRSASVPSSPRSNKVNIDEQLQSLKATISSPSVAIETTVDGLNKIVSIYSCIDELTSLPSNQRQQRKAVEEELERSLVLLDLCNAMQENFGELKVSVQEMQLVLKRGDNAALQAKVQSYARLAKKAQKQFKRINSKAASDIEGCRVVMLLAEARQIAVSMLESTSHLLSKKFTMPSASKWSLVSKAFDKKKVVCKEEQLQVLELDIADLESGVATLFRTLIQSRVSLLNTLTL >Sspon.05G0024860-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:18503994:18511616:1 gene:Sspon.05G0024860-1B transcript:Sspon.05G0024860-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding TSSFKRYEISWVHRRAVGHSTPYRFLQGDFDIIGGTSPIPEAEIIKVALDLGTRFYDSKTLVIRLNHGKLAEAICSWAGVSQDRRQNVAEFLSSTLVQYWPNEADRKSQWSLIRGQLLQDLRLSEEVVEKLHKADQRFCGSADLVLARLRGTLFYGSDKSACKALDDLSSLLRCLRVWSVEQPITTIDVLMPPSECYYTDLFFQVYSKEGNHGPSFHEKLLAVGGRYDQLVEQAWDKAYKSKPPGAVGVSIALEKFLPNNPSSDLGLRRIEPSISGGGGLLTERMELVAELWKANIKEDPSLQEQYEYASDHDIKCLAFITESGLSQTDLVK >Sspon.05G0018240-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:76871034:76888592:1 gene:Sspon.05G0018240-1A transcript:Sspon.05G0018240-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLIAQITTDLRSSDALRQSSALLQALQQCAAGRDVSALARTAATEILSAPSSAVCKRLALDLLRALPLPPDLLDPLLLSSLRSDLSFPDPDVAASSIASFPSLPSHLLPSLLSSAHAEIAGALSSPAESLRLAAVTSLSSLLPRDDLALMCSTNPSLMAHATTWWGRLAELALDSADAVSASAFEALARLFQELEGRRMSRLAGDKLVDGEGALAVRAQWAADAIDFIWSRRNMLIARSMVMPVESFRVTVFPLVHAAKMVASGVINTLRRIAKPGDATIADSVESSAEKLVGVSDIVSHLLPFLSSLDPPLVFEVGINMLALADVPGGKPEWASAAIIAILTLWDRQEFSSMRETIVRAVVTNLHLLDLGMQMVRNLRAESDRMHALACICRTALCVDLFAKESVRRGQKPVPGTDVISLFEDVRVKEDLNSVTSKNLFREELVASLVESCFQLSLPLPELKNSGTESRVIGALAYGTGYGALNWTEPALDVVEVCRPCVLWDCDGRTYAIDCYLKLLVRLCHIYDTRGGVKTIKAGASQDQILNETRLRNLQLQLIRDLREVHTPRISSRLIWAISEHFDLEGLDPLLADDPEDPLNIIISNMHKILFNTDSSATTSNRIQDVQAVLICAQRLGARNARAGQLLTKELEEFRASTSADSVTKHQSRYVLQVIKYVTNHPDNRWVGVGDATGDYPFSHHKLTVQFSEASAAQDRKLEGLVHKAIWELWRPNPNQLTLLQTKGIGALHKELPKAVDIRVGLSGALYYMDGFSRTVRHLRNLVSQDPVQSSVTVGVSHFERCSLWVQVLYYPFYGSGGSADYEGDYAEEDSQMMRQKRSLRPELGEPVVLRCQPYKFPLAELLLPLECSPVEYFRLWPSLPAMVECTGTYTYEGSGFKATAAQQYDSSPFLSGLKSISSKPFHQVCSHFIRTVAGFQLCYAAKTWFGGFVGMMIFGASEVSRNVDLGDETTTMICKFVMRASDESITKEIESDLQGWLDDITDGAVEYMPEDEVKSAAAERLKISMERIALLKAAKPKVPPAKTEQEEEERKQSEELDGFGNPKGPSTLSKLTAEEAEHRALQAAVLQEWHQLCKEKAMKAQ >Sspon.01G0019840-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:73881118:73884613:-1 gene:Sspon.01G0019840-1A transcript:Sspon.01G0019840-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding KWRRRRSPRRCGERRSWWRGRWAGATPPTTPRTRSASGTSRSRLQPKRASRAPSRLLQVELAALLHDTGDYEYTRNNVEDMSVVEVFLQEVGLDEGPKDEIVAIIKGTGFKNEVSNKSIVDPTLEFAIVQDADRLDAIGAIGIARCFTYGGSKNSALHDPRILPRDNLSKEKYMSKEEKQTSINHFHEKLFKLKDMMKTEVINHLRLGSRHHSSELVGLVSLGQRHLEPVLHVHGERQLGRDGVALDDVDAVAVEDGGGGELH >Sspon.02G0010810-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2B:28257511:28264293:-1 gene:Sspon.02G0010810-2B transcript:Sspon.02G0010810-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to Meiotic asynaptic mutant 1 [Source: Projected from Oryza sativa (Os09g0506800)] MQVMAQKTKEAEITEQDSLLLTRNLLRIAIYNISYIRGLFHEKYFSDKSVPALEMKIKKLMPMDAESRRLIDWMEKGVYDALQKKYLKTLLFCICEREEGPMIEEYTFSFSYPNTSTEEVAMNMSRTGSKKGTTTFTSNASEVTPDQMRSSACKMIRTLVSLMRTLDPMPEERTILMKLLYYDDVTPEDYEPPFFKCCADNEAINIWNKNPLKMEVGNVNSKHLVLALKVKSVLDPCDDDNVNSGDDGMTVDNESDHDDDFSDTEVHPSKADHYVIAPNDDTQDAAHEEELTSQVKDWICLRDNGAINVSDVLSNFPDIATVIFSDIMERLLKDGVLSRASKDRYTVNQTYERFQKTDPKTPHIKKETIMADVPSTEAIDTNTEHAEPEHKDLLRDHEVRDGSTMGCFHSVGSDLTRTRELPELQQNVSMQSGQEASAVGKDPSRTPTSVRESAVPVCSLESGVLGKRIKRSLTGGSEMQSTQDKRSRKASMVKEPILQHVKCQNPQAR >Sspon.07G0000680-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:920445:920797:-1 gene:Sspon.07G0000680-2B transcript:Sspon.07G0000680-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRRLQTLAPSLRRAAASSSPASASARAAPLSTSPASAFRRTSALLSSPAAVLPRPSDLRNRSACSDRSVVRGRAGDNPAPTKVEDVMPIATGLEREELEAELQVRSRSGFGISP >Sspon.07G0005120-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:13198770:13200244:1 gene:Sspon.07G0005120-1A transcript:Sspon.07G0005120-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSMAAPPCHLVAVPYPGRGHVNAMLNLCRLLAARDGVTITVVVTEEWLGLLGAPAALPDLGPRVRFEAIPNVIPSEHGRANDMVGFLEAVYTKMAAPFERLLDRLAPPAPAAIVADVFVPWTVGVGARRGVPVCIMCPISATITTSTCCHPLRPAATHVTDSCLIENYIPGTKSIRFADLAPTHTNAILLDKILEAHSSVKKARCVIFTTFQELESGAMDALRQNLPCPVYAVGPCIPFMALQEHKASPDGDDYMAWLDAQPAGSVLYVSLGSFLSVSAAQFDEIAAGLAESKARFLWVLRDADACSRARGLIRDPDAGRIVPWTDQLRVLCHPSVGGFFTHCGMNSTLEAVYAGVPMLTLPIAFDQPTNSRLVAEVWKTGVGLRDMSRADGVVGREEIAAAVERLIRPDAAEAEDMRKRSALLKDAARAASEEGGSSWKDVTSFINFVSH >Sspon.04G0004060-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:12545842:12547006:-1 gene:Sspon.04G0004060-1A transcript:Sspon.04G0004060-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNCLKNSRSILSRLLRHRPHVAAAPTPAPVPAAQSPASRYYYASRVLRNKPAVSRPAPPPQLPRPRHYYTSPRRQEVIHFDRRRGGSRWYHDQRKLTAAVLITSGGAVAFYFGHLETVPYTNRSHLVVLSPRLERQLGETQFAELKKEFGPKILPPLHPDSIRVRLIASEIVRAVHRGLSGHQRHSAAYGEDASYGYGGIADDLTIMNRDADATAAMLGASPDKNARAAVAAQRDDEVLDVGDECRSRGKARGAQPQTAHLDGLNWEVIVVKDDIVNAFCLPGGKIVVFTGLLNKFRADAEVATVLGHEVGHAIARHAAEQITKNLWVAILQIVILQFIYMPDLINAVSTLLLRLPFSR >Sspon.05G0002950-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:10881336:10887590:-1 gene:Sspon.05G0002950-4D transcript:Sspon.05G0002950-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polyamine oxidase, Seed germinatio [Source: Projected from Oryza sativa (Os04g0623300)] MANNSSYGENARRKPHTPSAIVIGGGFAGLAAADALRNASFQVILLESRDRIGGRVHTDYSFGFPVDLGASWLHGVCEENPLAPIIGRLGLPLYRTSGDDSVLFDHDLESYALYDTNGRQVPQELVEKIGKVFETILEETGKLREGTNEDMSIAKAIAIVMDRNPQLRQEGIAHEVLQWYLCRMEGWFATDADSISLQGWDQEVLLPGGHGLMVRGYRPVINTLAKGLDIRLNHKVVEIVRHRNRVEVTVSSGKTFVADAAVVAVPLGVLKAKTIKFEPRLPDWKEEAIRELTVGVENKIVLHFGQVFWPNVEFLGVVSSSTYGCSYFLNLHKATGHPVLVYMPAGRLARDIEKMSDEAAAQFAFSQLKKILPNAAEPINYLVSHWGSDENSLGSYTFDGVSKPRDLYEKLRIPVDNLFFAGEATSLKYTGTVHGAFSTGVMAAEECKMRVLERFRELDMLEMCHPAMGEDSPVSVPLLISRL >Sspon.01G0025520-1P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1C:97283050:97284183:-1 gene:Sspon.01G0025520-1P transcript:Sspon.01G0025520-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAPSAEPRREPPPIPPNYVSLQQLQQLRLKEKEEEKRRREEEEIAAAKRAAALKAEEVALATAVKREVALKAETKDRAVSREAFCGVKERHRGGRAQGQGQQWVAVGYRAAATTPRPMPTCGEAAAREKEWIVGGNRGKKGPDDVADNAPHPHGGCKSPWKGKGKKKASSCHTVGDPGNLAKEAAPAASNGGKPENKSEIKAMAKASAAPLDPGKAAVASSPGHLTRKGRKGAGGRSAETSPGDAPAKAAGPSPPPGVKSGNTEKPKPAAPRLADAGTGRDSPEGKDAAPAQAPPTSVADGRSKLKGGGPLRKTVEAKPEDLVEGQRRQPARFGRRSAEPWRGRGNGAAEPHGRVWVPKAAAAAAAGSSAGTGDK >Sspon.07G0020350-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:80409976:80415822:1 gene:Sspon.07G0020350-2B transcript:Sspon.07G0020350-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein SUPPRESSOR OF GENE SILENCING 3 [Source:Projected from Arabidopsis thaliana (AT5G23570) UniProtKB/Swiss-Prot;Acc:Q9LDX1] MSGSGDRRGGGPPGSDGGWETMGKKSKKQGQVGGRQWAPWSSTNARPNTARPAWGGNGSSHPSGTSWAQASDRGAANRCNSRPPPQTLRPVVTPPLANGWQWQSRPHPSGSEVEKDDAPPSGSDPEVESVDGNNASDDDDDLSDDISDDYDSDASEKSFETRKTNKWFKGFFEVLNTLSVEQINEQTRQWHCPACKNGPGAIDWYKGLQPLMTHARTKGSTRVRLHRELAALLEEELSLRGTSVIPAGEQFGKWKGLRESTDREIVWPPMVIVMNTFLEKDDDDKWKGMGNQELLDYFGEYAATKARHAYGPSGHRGMSVLIFESSAVGYMEAERLHKHFVNQGKDRNAWQLNNKVRFVPGGKRLLFGFLASKEDMEAFNKHCHGKSRLKYEMKSYNEMVVMQMKQMSEDNQQLNYLKNKVVKTEQRSKAVEETLGVITQKLRETMEENIFVRSKAKEKHLEYEQEMKYQEEFFHSQIEDIHKATEDKEKLFEKLLQEERSKARRFDVDSGTTEDRKLRKDHVQKFIDCQVKDVAEFEAERDELIKVHEEKKMKLKKEYMEKELELEKELDAALTGLMEKHKPDTFEASSS >Sspon.02G0036640-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:14320117:14322142:-1 gene:Sspon.02G0036640-2D transcript:Sspon.02G0036640-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSHILGMPLSDIIAAAVLSGNRNFEGRIHALVRANYLASPPLVVAYALAGTVDIDFETEPIGKGKDGKDVYFKDIWPSNEEIAEVEQSSVLPDMFRSTYEAITQGNPMWNQLSVPKAKRFPWDPSSTYIHDPPFFKDITPTPPGPRSIENAYCLLKFGDSITTDHISPAGSIPRDSPAGRYLLERGVQPKDFNSYGSRRGNDEVMARGTFANIRIVNRLLNGEVGPKTIHVPTSEKLFVFDAAMGVKAVIAKSFERIHRSNLVGMGVLPLCFKPGEDADSLGLTGHERYTIRLPTNVSEIQPGQDVQVVTDTGKSFTCKLRIDTMVELAYFDHGGILHYVLRNLVKQQQQ >Sspon.08G0009540-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:41793063:41795856:1 gene:Sspon.08G0009540-1A transcript:Sspon.08G0009540-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDVPVWFVSLACLGALYIVAICCRPLAYLVLCLRQPKDLHRYGSWAIVTGPTSGLGRSMAMELARRGLNLVLLDLNANNLQETSEAIKSLHPVKIKTVVLDLSLVATPEGDAAIRRLREEIEGLDVGLLVNNAAVNTPGAVYLHEADVERFVRMIRVNLWGLTEVTAAVLPRMLARRRGAIVNVGSGSTVAVPSFPLYTVYSSTKKYVAQLSRSLYVEYKSKGIDVQYQAKLRPWFVATADEYTSTAARWIGTGPLCVPGAAQKLQWCLTGFVPDWVHDWYRIRLHLQHRAVLRGGGRRAVIANNAHPRGEQGGTPPNGNASVAVGPNISG >Sspon.01G0014840-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:43892370:43898577:-1 gene:Sspon.01G0014840-3D transcript:Sspon.01G0014840-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding PTAGDLVNRVSTSLTEVTVSRVRFGRFLSLTPHRHRQPQTLASGGGGGNWVSGSTASGLRAAGREAASRQATGAGREATRAGVGRGREAPLRRCAEAAPRRKGGSWLHGPRPATLPKSQPRMEGSSARSNVSEGNHLGYARSTSMDSSGPSLAGRSGSMLSKRSSRQGSRGSISLSREMGDSILSSMRHSLQSADQLLGDIDSSVLAQLIESGRVLSPEGNVDEDTARISEHHKIGPLPDDAIKQNSDKFASAPVSSAETKDTISNVLADASTKVEPYKLSLRLDYAAYMIHLAVFGFFGVFTRYGLQKLFGPDCLALTSDQSPLYPDLPSNMLGSFLMGWFGIIFKADIRYISDHLIVGITTGYMGSLTTFSGWNQKMVGLSSKGHWVYAIAGVVLGMFIVNESITIGAETGERLRSLILKYIREKSLIGHKYEWEHWRLDTKTKQSVLLSVMIILMSFFWVLSIVLTVVKMHSLADGAVLWMGCSVAPPGVWLRWYLARLNGQGIGKQRSLKWLPIGTLVANVLAAGIMAILAITSKAVHTERSTTIISGIQLGFLGCLSTVSTFAAEVYTMRRSGQIARAFVYAASTFLLSFVLGTLCIHWLPMGNISLDEGIP >Sspon.07G0037620-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7D:58767957:58777578:-1 gene:Sspon.07G0037620-1D transcript:Sspon.07G0037620-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding FGLTIKAVQCDNGREFDNSTSRTFFLSHGVQLRMSCPYTSSQNGKAERMIRTTNDIMRTLLLQASLPARFWAECLHTSTYLLNRLPSTACPAPTPHHALFGTPPRYDHLRVFGCACYPNTAATARHKLAPRSTLCVFLGYSPDHKGYRCFDLTSRRVLISRHVVFDESAFPYSTTSPPPPPADPAESSFFPTDPVIPPPFSLFPAGTAPVRSPGGPASPLPDSHPDLPPVPDTAEAALELPPSLPVASLPPGVPDAAVPIAGPSAPTPPPPGRFGLVYQRRREPSPPSPPPGRFGIVYERRRDPAPPLSSPAPSSPVHAPPASLRSRGDPLVYHPPLLHRDPRHTHPMVTRQASRPQALTAACEPWTWANRGTMCAQSRGRKEERVFARHRGRQGVTRAPAPAAASGPAAAPHPCAAGASAAATAAPAPPPLGSALAGALAAAGTSLPGAGGAGLPGAGAAAQADHGGEAVVAASAAATDPVHPLTAVAPPLPPGVGDAAALAGALAAAAGIPYVIGSQHGGAGMTSQGANFLFPAPPPLPLPGTRPDSALAAALVAARAAAAEGQARPESPSYARWRDLVLLTLRRYALDDHVLVDASVAIQTPSWLRLDSIVLSWILGAISLDLHDLVRNSPDARQAWLALEGQFMGNAEARALRLDASFRTFAQGDLSVGEFCRRMKGMADSLGDLGWPVEDRILVLNVLRGLSDRYAHLRTWITRQRPFPTFLQVRDDLVMEELTLGIQPGSATSPGSSSSSTALAATPPRLSAPPRSSAPPPSSLLGPPPSGPSGGGGVVAVVVAVVGVVGEAVVVSPKLRLVVRRRLGHRRGTMAFFPQPMASLPARFWAEGLHTSTYLLNRLPSAACPAPTPHHALFGTPPRYDHLRVFGCACYPNTTATSSHKLDPRSTLCIFLGYSPDHKGYRCFDLTSRRVLISRHVVFDESVFPFSSTPTTTPAPDPSSLFPTDTVVQPPFPWSPIGTASPSSLPGSCPSSQAGPGPTSSGAAPASPLGADPRTSSPATALASLLAADSAPSSSGAAPAPPSRFAAPVRVYQRRPRPPPLEVSSPPGTPTPPPQSPPAHVALPVYHLPLLHRHPRHVHPMVTRHAAGTLPPRALAALPGDTPVSPVPSFVRDALLDPHWRHAMEEEYAALLANQTWELVPWPPGSNVNGKWIWTHKRRADGTLERYKARWVLRGFTQRPGVDYDETFSPVVKPATVRTILSLALARSWPVHQLDVKNAFLHGLLTETVYCSQPAASSAPLLRRIITALQQEFAMKDLDALHHFLGVTVEPHPAGLFLHQRQYTRDILERAGMTDCNPCSTPVDTQGKLSEAEGPPVSDPTAYRSLAGALQHLTFTRPDITYAVQQICLHMHDPREPHLTALKRLLRYLRGTIDYGLLLHRSSSAELVVYTDADWAGCPDTRRSTSGYAVFLGGNLVSWSSKRQPVVSRSSAEAEYRAVANGVAEASWLRQLLAELHTPPSRSTLIYCDNVSAVYLSTNPIQHQRTKHVEIDLHFVRDRVAMGEVRVLHVPTTSQFADIFTKGLPSSTFTEFRPQHHQWLVASVGGLVCVLSSFLFSLDLRCAGSSDCGGCWNMSVLGP >Sspon.05G0032850-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:33802199:33803147:-1 gene:Sspon.05G0032850-2D transcript:Sspon.05G0032850-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LATNLLFFSFLLGKALEAGNQWYFFSHAKHSRVTPNGYWSSVCADETVSSGGCSVGVKKTLVFSTGEPSEGTETNWIMHEYHLLDARKGISSSTSSTNSSSKKLHHPNTESNNWVICRVFDSTTGGSQAASCHEEGMELSCLDEVFLSLDDYDEVSLSNN >Sspon.03G0006230-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:21635925:21640560:-1 gene:Sspon.03G0006230-3D transcript:Sspon.03G0006230-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGECDLVGISTKFGGSHPRSRSGTSSRPPPSLVSGESTLVDSSRSLALPRGFQGVLGVQIRGQKEPEQQNGHANGEANGSVRKRTSDLAVYEQFEQQARQTQVRAAAIRDGSADVIQKPLLPSFESAEMRNLAETLLRDIIRGSPDVKWESIKGLENAKRLLKEAVVMPIKYPKYFTGLLSPWKGILLFGPPGTGKTMLAKAVATECKTTFFNISASSIVSKWRGDSEKLVKVLFELARHHAPSTIFLDEIDAIISQRGEARSEHEASRRLKTELLIQMDGLTKTNDLVFVLAATNLPWELDAAMLRRLEKRILVPLPEAEARQAMFEELLPATTSKLEVPYDILVEKTEGYSGSDIRLVCKEAAMQPLRRLMSVLEASDELVPEEELPEVGPLNPEDIELALRNTRPSAHLHAHRYEKFNQDYGSQVDVPFNHGTRA >Sspon.07G0032910-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7C:56164842:56165097:-1 gene:Sspon.07G0032910-1C transcript:Sspon.07G0032910-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RSSLSQRVLRRFVGSDGGAVPGSAAASASPPPASRQSPRALAKAGASSRCSTKAVAPLWEPCLDWIGSSSVAWHGVSFGDSSLH >Sspon.02G0027500-4D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2D:106549013:106549431:-1 gene:Sspon.02G0027500-4D transcript:Sspon.02G0027500-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding TLDGRSIRVNHANERTGGFRGSGGGGGYGGGGYGGGGYGGGGGGYGGGGSGGYGGGGGGYGGGYGGNRGGGGYGGGGADYGVAGGAGGNFAAGGCDSFASSNFGADSGFGGNPAGSFGTTDGSTGADEFSAGTLGGDLS >Sspon.02G0002200-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:7212248:7215605:-1 gene:Sspon.02G0002200-1A transcript:Sspon.02G0002200-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVGGRCRLLGTAAEASPDGEGARGGGGGSDDAIYVKKPSAAAAVMTRDETSVAMPTSFMTGSVVGKRFYRDATVRRADDGNGWTVMLDYRTLKSPAKRPLKLPSRALAMAIAAEWEYQVLIPSLPFSCCILEMESDGIRPFTMPLMKLACTALERVPLTRRKVIDNLMKKFHQDLVFCRSPADSDLTIGVHQKQKEKIDPILEWVDTEFGFKPVVYTTFFGGKQDEGLAKAVETVLKKATDCELASIDAMAAAAHSLVIPLAIFRERLGIEEAIELIRLEEDHQVDRWGLVEGGHDVDIADLKVQMSSAVGS >Sspon.03G0002090-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:19501602:19503874:1 gene:Sspon.03G0002090-2C transcript:Sspon.03G0002090-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQSMLMSTSVNGGRALPSLQAGRPAPYPRLPQPSSSSGYRHSKSVSVKTLALFGKSKVKTAPSKKAAAPKPKPKVEDGIFGTSGGIGFTKENELFVGRVAMLGFAASLLGEAITGKGILAQLNLETGIPIYEAEPLLLFFILFTLLGAIGALGDRGRFVDEEVTGLDKAVIQPGKGFRGALGLSEGGPLFGFTKSNELFVGRLAQLGVVFSIIGEIITGKGALAQLNIETGVPINEIEPLVIFNVLFFFVAAINPATAGSSSARTKSR >Sspon.03G0033860-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:63612918:63616023:-1 gene:Sspon.03G0033860-1B transcript:Sspon.03G0033860-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFRVPKVCLQTEVGTNLQFLMDNCLYHLHKIGEETSRHSTQFYPTLDTSKKSFHDPEAFSFSNITILNTDTCYRSIVVTGMATGKAFLSLLTIITFC >Sspon.05G0002240-3D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:5D:6201621:6202103:1 gene:Sspon.05G0002240-3D transcript:Sspon.05G0002240-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMFLLIALSASHVAFSLRPGAGLGTCRASGYLPGRSGNCEKSNDPDCCEDGKMYPQYRCSPPVTASTKAVLTLNSFEKGKDGGGPSECDNAYHSDEEKVVALSTGWFSNMARCGHRIKISANGNSVYAKVVDECDSVHGCDDEHNFEPPCDNNIVDASPA >Sspon.07G0015110-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:14403928:14406422:1 gene:Sspon.07G0015110-3C transcript:Sspon.07G0015110-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLSKAVSESDMSVHSTFASRYVRSSLPRYRMPENSIPKEAAYQIINDELMLDGNPRLNLASFVTTWMEPECDKLIMAAINKNYVDMDEYPVTTELQNRCVNMIAHLFNAPLGESETAVGVGTVGSSEAIMLAGLAFKRRWQNKRRAEGKPFDKPNIVTGANVQVCWEKFARYFEVELREVKLRDGYYVMDPEKAVEMVDENTICVAAILGSTLNGEFEDVKLLNDLLEVKNRETGWGTPIHVDAASGGFIAPFLYPELEWDFRLPWVKSINVSGHKYGLVYAGIGWCIWRNKEDLPEELIFHINYLGADQPTFTLNFSKGSSQVIAQYYQLIRHGFEGYRNIMENCHENAMVLKEGLEKTGRFNIVSKDEGVPLVAFSLKDRSQHDEFEISDMLRRFGWIVPAYTMPPDAQHVTVLRVVIREEFSRTLAERLVLDIEKVMYQLDALPSRLPPPPPPAQLVRKKSELETQRSVTEAWKKFVLAKKTNGVC >Sspon.08G0013550-1P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8B:52936992:52938095:1 gene:Sspon.08G0013550-1P transcript:Sspon.08G0013550-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPNYGEWNATEIKIVKALIASHSAKSTHANDINIKQIDIVDVLQARFPTKEKHKVTNLYADLMVEKMHMMLNGDQHAMTGSKLVNDKFGMPVEHMDVLGGDLVEERKAKRKAREDPHRQPDPQKERQCRRFWTTDEHRNFLYGLCAFGRSDWKNISKHFVTTRTPVQISSHAEKYFRRIENTTRRQRSSINDVGLCDDEPRVQTNASSLEGFTFTSGTYNSNHYGSSSQFVAMSNLAKQMWFPSLCCTGQASSSSNQATTLNRGGAAGSFVAPKMEGVGSKTKLSSVQEGDFLFING >Sspon.07G0025410-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7D:34152711:34155202:-1 gene:Sspon.07G0025410-2D transcript:Sspon.07G0025410-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At3g49170, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G49170) UniProtKB/Swiss-Prot;Acc:Q5G1T1] MATVRSTLSPSLPPPSPSSPPAHTPVASTPRHRKPLTTTAAGHAALQASEAAELLTAAARARDLRLGLALHARLLRTGSLLEADAVVANSLLTLYSKCGAVAAARSVFDGMPVGLRDLVSWTAMASCLSRNGAEAAALRLFGETLEAGLLPNAFTLCAAAQACFVTELFRSAGGAVLGLVFKLGFWGTDVSVGCALIDMFAKNGDLVAARRVFDGLFERTVVVWTLLITRYAQSGYCNEALELFLDMLDNGFEPDQYTMSSMLSACTELGSFRLGHQLHSLVLRLGLESDSCVSCGLVDMYAKSHNGQSLHNARKVFNRMPKHNVMSWTALLSGYVQRGSQDNQVMILFCEMLKEGIRPNHITYSSMLKACANLGDQDSGRQIHTHCIKSSLAHFNVVGNALVSMYAESGSMEEARHAFDQLYEKNMVSFSGNLDGDGRSNTYQDYQIERMELGISTFTFASLISAAASVGLLTKGQQLHALSLKAGFGSDRAIGNSLVSMYSRCGYLVDACQAFDEMNDHNVISWTSMISGLAKHGYAARALELFHGMIAAGVKPNDVTYIAVLSACSHAGLVKEGKEHFRMMQKDHGLIPRMEHYACMVDLLGRSGLVEEALDFINEMPCQADALVWKTLLGAYPAPYVLLSNLYADAGLWDQVARIRSLMRDKSLMKEKGLSWMHVGNTIHEFRAGDTNHPQAEEIYTKLETLITEIKVMGYVPDTSIVLHDMSDEHKELCLLQHSEKIAVAFGLISCTSATKPIRIFKNLRVCADCHSALKYVSKATGREIILRDSNRFHRIKDGECSCGEYW >Sspon.03G0010780-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:29401312:29403816:-1 gene:Sspon.03G0010780-1A transcript:Sspon.03G0010780-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding TAMAIILRASPAAPPWSLLCALGALAAAALWGAWRALDRFWLRPRRLGRALRSQGLPGTAYRFPSGDMKEFLRLAAAAYSEPMPLAASHAVAPRALPFDHSITRLAGDVAVTWYGPEPRVIVNDPKLMREILANKHGVFGKQKSVLWVERLLANGLTTHQGEKWATHRRIINHAFHLEKWQRMFPAFAACCSELIGRWENSVGSEGMQEIDVWPEFQNLTGDIISRAAFGSSLSEGRRIFQLQSEQAQNAVNMANRMHIPAHRFLPTKLNKRMKENAREVETLLKGIISKRERAMKDGLDNDDLLGLLLESNTKESQESGSTEAMMSTEDIIGELKLFYFAGMETTAVLLTWTVVLLSMHPEWQDRSREEVLRIFGKNQPDSEGMNQLSQVTMILHEVLRLYPPILLLGRETYEETELGGVRYPPGVVFSLPIVCIHHNPGVWGEDADEFRPERFAEGVSKASKDAPAFFPFGWGTRICVGQNFALLEAKMGLAMILQHFLFELSPSYTHAPCAVSTLQPQYGAQIKLKK >Sspon.05G0014220-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:44639693:44641150:1 gene:Sspon.05G0014220-3C transcript:Sspon.05G0014220-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTSATCTTSSPSAARGGGGAHVLLLPYPGAQGHTNPLLEFGRRLAYHGFRPTLVTSRYVLSTTPPPGEPFRVAAISDGFDDGGATACPDLNEYWRQLEVVGSETLAELIRSEATEGRPVRVLVYDPHLRGRCAWRRRPVAAAAAAFLSQPCAVDVVYGEVWAGRLPLPVVDGKELFARGLLARADDVPPFTAKPDLYPVFLEASVRQFEGWRTPTTCCQLIPQDRTKEADYMALTWRAKTIGPTLPSFYLDDDRLPLNKTYGFNLFNSSDHVVSSHIVDGTRH >Sspon.08G0010370-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:41615707:41618871:1 gene:Sspon.08G0010370-1P transcript:Sspon.08G0010370-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKAATYARHSCGCAELHKSASPPPPRTEKEAAMAMEETSKMTGRKKGGLRTMPFIFANEVAEKLAVVGFSTNMLTYLTTQLHMPLAKAATTLTNFGGTSAATPLIGAFLADACIGRFWTIAAASVVYQVVRHGAPDGVSGAAAVPPAAVQAGRRGDVPGGAPWQLAVLYVSLLLNAVGAGGYRPCIVAFGADQFDESRAAERARSWGFFNWYYFCNGASMLLAVTAVVYVQDNVGWGWGLGVPAFCMGVSVAAFVAGYPMYRRLEPAGSPFTRLAQVVVAAVKKRRLPAADVDPARLYENDELDAPISMYGKLVHTDQLSFFDRAAIVTDGDLVTLTDADSGKPSPAPVPNPWRLSTVHRVEELKSVIRMGPIWAAGILVITGSSTQHTFSLQQASTMDRRLAPGLSTFQIPAGSMTVFGLLAMLLTLFVYDRVLVRVARRFTGLDRGISFLHRMGVGFAISVLATLVAGFVERHRRDAAAATAGATDAGTSPLSAYWLVPQYALHGVAEAFTSVGHLEFMYDQAPESMRSTATALFWLSISLGSYASTLLVDAVHHWSAGPGGANWLPDNINHGRLDYFYWVVTMLQVMNLVYYAICAKRFRFKPVQLHKKEEEEGGKALVELQEKV >Sspon.06G0023210-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:42988322:42990387:-1 gene:Sspon.06G0023210-2C transcript:Sspon.06G0023210-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSSFKLEHPLERRQAEANRIREKYPDRIPVIVEKAERSDIPDIDKKKYLVPADLTVGQFVYVVRKRIKLSAEKAIFIFVKNTLPPTAALMSAIYEENKDEDGFLYMTYSGENTFGLL >Sspon.02G0018960-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:62568956:62570378:1 gene:Sspon.02G0018960-2B transcript:Sspon.02G0018960-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ADDDDAAVLSDVHSDAQAQQQQQRLLDLAAELEEERRLRRAAEASLAEAESRVARFKAFAQDVLRKRDDLTAEAAASARSLAALQAEAAASARSLAALQAESAASARSLAALQAEAATASSMLSSGFERISAKASPSSAPAPLPTSQKYSSGLPALAYGVLKRANDIVDDLLAQIDAANRDRDRAREQMEHRNYQIAIEVSELEASLASRSAEGESLSKSLSQREAEISELRYKITALEGKLDAQRPVLAEQIGCTSKLYHEMREVVKLVDAEAASALSDSVFVWKETDVEESLKVSLEGTKLAYELAAMALEKVGACIDDKESKMRRLEDRVDDLIKEKEHIGVLLRSALQATTSEVLKVAEDGLREAGIEIGLDERKEHRPGSVEKDEVYTLVGALENTMKESQVRIIELQHLVEALR >Sspon.05G0030510-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:86547785:86549827:-1 gene:Sspon.05G0030510-2C transcript:Sspon.05G0030510-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLMSLHITKVSSPSVCLIANGSSVILPRKTYPFNVQRLKTLQMKCTIWAAHMGTYIYHCLLVDAYTGAKVKAPELPCNNNLGYSSGIGVFTAPFYSPNSCLLLFSSASMVEWQVGTNSWSEHPLNLGHERIYQTLHYPIGTSVQHKTISVAWSSLRRLPSPWLVVCGDMLLMVELAISSLPSLSISLSFGWFNGAIRFFKVFRLDFSVKPARWVQMEKLENHALFLSLDRRNPAISCMNPERWGGKSNCVYAARLFDDADPEETWTVLEVGQSVPHHRVSDTMMYGHTIPQDYNQIGSLWLFPSLLAMSIFRTHNRQFMITYKTQGSFVSIQPNGGSNSN >Sspon.08G0021740-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:42370056:42377164:1 gene:Sspon.08G0021740-1B transcript:Sspon.08G0021740-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVAEVGAALDFFREKRTAWPEARGNAVTYSTLVSAFLHCNNVGMAMELFHEMVDQGHPPDAVMYFTMISGLTQAGRLVDACNIAASMKKAGFKLDRKAYNILIGGFCRKKRLHEAYQLLEEMKGAGLQPDSREVDVAIKLFDEMRENNVPANVTTYNALLKGLQDKNMPEKAIELMDRMREESSDERNPYRITVTGEGARRRAVQVTALQFRPPACLPALLRPRAPRLRRRAKAVPHGATKFTAHHHLLANLSSAFLEMAILKFDLEKYPSQGENSDQTKDERACSIAMQCKQRGKNSKPLDDRVNDEDDQIGTEDGVGPTTNRSRQALQSACSKVIALSPARMGQHGRLRRLTSSPASVASFRRRRTCGIATINSASTSNIQGRQARDTCMHGVAPVQMKRSAPSVARTRGDFSDERAALALRERNQVTARMQLPSQCPLRPARTPPCLYIRRDPELEARRKEKRATASSSRLGHGNGHEEEGRTCSTGGDHGGAALERRRRLGGHRGRAAAERRRLHRRDAPAAVPAAAGGGAVDLWWVVTGDRRRRRVLWSWSRRPEIHGQRAPSLWFSCMRFALARWSSRHQGFPVVVADDRWLDF >Sspon.05G0004760-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:14349444:14366853:1 gene:Sspon.05G0004760-1A transcript:Sspon.05G0004760-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSQLLSTVERREVLPDSYIRPEFDRPRLAEVTTDSDVPLIDLASPDKQRVIAQIGLACRTYGFFQVINHGIEEELLEKMMAVGLQFFRLPPEEKEKLYSDEPSKKIRLSTSFNVRKETVHNWRDYLRLHCHPLEEFLPEWPSNPESFNIVISCNPMGSQLLSTVERREVLPDSYIRPEFDRPRLAEVTTDSDVPLIDLASPDKQRVIAQIGLACRTYGFFQVINHGIEEELLEKMMAVGLQFFRLPPEEKEKLYSDEPSKKIRLSTSFNVRKETVHNWRDYLRLHCHPLEEFLPEWPSNPESFNLGLEEDYIEKALSEQEQHMAMNYYPQCPEPDLTYGLPKHTDPNALTILLQDPNVAGLQVLKGGDQWIAVNPLPNAFVVNLGDQLQALSNGAYKSVWHRAVVNAARERMSVASFLCPCSSAVISPAAALVSDGDAPVYRSYTYDEYYKKFWSRSLDQEHCLELFRSTQLQ >Sspon.04G0016530-1P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4C:80321688:80322757:1 gene:Sspon.04G0016530-1P transcript:Sspon.04G0016530-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIFRPQKEHGLAQSGLKGKFKITTRCLWLDNGRLLDALYKAAHAALSSCPVNCPLSHMERMVAEILRKMVRKYSGKRPDVIVVATENATAGFPEHLDAKSSGNFGPSSAASHLSRSPATSLEGSYKTHPDNPEVDAEETLPEAVRTRPDDATTSSNGEAFFSSDLHQPKTLEHFWESFKSPTAVKIARIVNGGNKQNLGKIGIMGKDSPIQSAPAPVKSSKKNKWKPEEIKSLIQMRGEMNEKFQSVKGRMVLWEEISDTMLNQGISRTPAQCKSLWTSLVQKYE >Sspon.01G0024540-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:86299414:86301107:1 gene:Sspon.01G0024540-2C transcript:Sspon.01G0024540-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding EMLMQVLLVASAVAAFVVGYVRADFQLMLIVYAGGVVLTALVTVPNWPFFNRNPLKWLDAAEAERHPRPQLNEHAKPLKHEVESNTADMLNDSKRKT >Sspon.02G0013620-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:38574168:38576690:-1 gene:Sspon.02G0013620-3C transcript:Sspon.02G0013620-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGFCAPAAGSPPDEATPEPFRSLQIATCTAAAAAATTKKKRRPAGTPDPDAEVVSLSPRTLLESDRYVCEICGQGFQRDQNLQMHRRRHKVPWKLLKREAGEAARKRVFVCPEPSCLHHDPSHALGDLVGIKKHFRRKHSGQRQWACVRCSKAYAVHSDYKAHLKTCGTRGHSCDCGRVFSRVESFIEHQDTCNAGRARADASTACGAGVAAASAGSQQQAPPAMSLSRTASSTSPSSDIFISPVAWPGPAPAILSPTTAVFHRFEQVPSPRTPPSDHHRGGHHNLELQLMPPSCSGAGGGAPAYCGCAPRTPAVPPQSHAAAVMQLQLSIGVCGGGGFGDAGERGDEVMMLAAAAREKEEEAQEQLRQAVAEKAAADEARAQAKRHAELAEQELASAKRMRRQAQVELSRAHALREHAVRQVNATLLQITCLGCRHKFRARAPQLMGAVVAPEVACSYMSSVVTAEGGDAVVVDDESPLDGAEAMLRRRQHAVAMDIVL >Sspon.03G0035550-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3B:82585368:82586377:-1 gene:Sspon.03G0035550-1B transcript:Sspon.03G0035550-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding REKQAALGKILRLQQQLDAKQKLELEIQTLESKMKVMKHMPGEQDSESKKKMDELAEELKEKMDELEAMESLNQTLIIKERKSNDELQHARKELIDGFKDLAVAGRANIGIKRMGELDPKAFGIACRKRLSKADAAVTSALLCSKWEEEIRNPNWYPFKVKVVDGKEMEILKEDDAMLQELKEEHGEEIYGLVTKALLEINEYNPSGRYPIPELWNYKEGRKATLKEAVQHVMKQWKTHKRKR >Sspon.08G0008790-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:36385167:36391059:1 gene:Sspon.08G0008790-3C transcript:Sspon.08G0008790-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRPVEEALLAAGEPEAEEEILSVREELKKQLWLAGPMIAGALLQNVIQMISVMYVGHLGELPLAGASMANSFATVTGLSLLLGMASALDTLCGQAFGARQYYLLGIYKQRAMFLLTLVSLPLAVVWFYTGEILLLFGQDADIAAEAGTYARWMIPLLFAYGLLQCHVRFLQTQNIVLPVMASAGATAACHLVVCWVLVYPLGMGSKGAALSNAISYWVNVAILAVYVRVSSACKETWTGFSTEAFRDALSFFRLAVPSALMVCLEMWSFELIVLLSGLLPNPTLETSVLSISLNTATIVWMIPFGLGSAISTRVSNELGAGRPQAARLAVRVVVLLAVSEGLAVGLILVSVRYVWGHAYSNVEEVVTYVAKMMLVIAVSNFFDGIQCVLSGVARGCGWQKIGACINLGAYYIVGIPSAYLFAFVLRVGGTGLWLGIICGLMVQVLLLMIITVCTNWDSEATKAKNRVFSSSSPASET >Sspon.01G0021790-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:80282914:80289930:1 gene:Sspon.01G0021790-1A transcript:Sspon.01G0021790-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MWCASCLASACAGCTCNLCASAASAVSRRSARLAYCGLFAASLILSFLLRQFAAPLLKHIPWINAFDQTPPEEWFQMNAVLRVSLGNFLFFATFALMMIGVKDQNDRRDAWHHGGWIAKIAIWAVLIVLMFCVPNIVITIYDFTNNWNDSWVEKEERKWEIALLVVTVVCYLSTFAFSGVLFMWFNPSGHDCGLNVFFIVMTLILAFAFAIVALHPQVNGSVMPASVISAYCAYLCYTSLSSEPDDYECNGLHRYSKQVSLSALVLGMLTTVLSVVYSAVRAGSLTTFLSPPSSPRS >Sspon.08G0007060-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:21951152:21954661:-1 gene:Sspon.08G0007060-1A transcript:Sspon.08G0007060-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAIFSLAAGPRARVLERAAARIPGSLYICLWAPMIAGPLPSSHLLCVDAWITNDGGGRARAVFDEYRRAFCAIVNGCVPGWAYKDGRPYMELSETDLTSSASLPVQLQFYHMAVFMGCDSGEIEVGLSSTSAPAVASHVQHSLLEELMQAAPTVPSSSSSSLPSLSIGSPEYSSFIRSMATSAAASAAAEPSSQELHPAVPLPGLPAPVYGPHGQAPFLDPDSEQAAMTQAILAVISSSALPPPASIAVPPASTSPWLVHHRPQRSSPRRWRGAFRAYNAALSPRARPRPGAPGQRMIKTAIALMASVQMAMRHRELAEARQHDEDAAATAAQPPPPTQQQHTSSQLHHMFSERRRRERLNESFQTLRALLPPGTKKDKATVLANTTEYMNKLIAEVSELHERNRRLEAQLGLPGATQQMSSEDDLSERVAVDVTTGASTSSSTSCQPQEVSIRVTVRAECDLSEVVVAMLARIKKMGSFTVVTVDARQRSSAHAQVGITLRATGGNDELDETSLKEAVAKAVEDAVARPPSPP >Sspon.08G0000090-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:552053:557922:-1 gene:Sspon.08G0000090-1A transcript:Sspon.08G0000090-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSMSTTPKPLDSAAVSAADVAGGGGGGDEGGGGGGGGGGKKQVRGAAAAAVMAPPPMAVPAAAPAAGEEVRKVRKPYTITKSRESWTEPEHDKFLEALQLFDRDWKKIEAYVGSKTVIQIRSHAQKYFLKVQKNGTGEHLPPPRPKRKAAHPYPQKASKNAPAVSQAILSQQQPTQREQGSVMPMDTATVRNTNANVAVPSWDNTVVQPFSAGHVQGAVATNNCSSSIESPSGTWPSSEAVEQENVVPPLRAMPDFARVYSFLGSIFDPDTSGHLQRLKAMDPIDIETVLLLMRNLSTNLRSPDFEQHRRLLSSYSYGGGDHVKSEGMENHGSPQSGHLPFLVTSE >Sspon.05G0012290-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:29276121:29303527:-1 gene:Sspon.05G0012290-2C transcript:Sspon.05G0012290-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVWISVAILVVLFAVQRFGTDKVGYSFAPIILMWFLFIGGIGIYNLIKYDIGVLRAFYPKYIVDYFKTNGKDAWISLGGILLCFTGTEAMFADLGHFNIRSIQISFSFILFPSVSLAYIGQAAFLRKHPEHVLDTFYKSIPGPMFWPTFIIAVSAAIIASQAMISGSFAIISQSQTLGCFPRVKVLHTSKLYEGQVYIPEINFVLGLLCVIVTFGFKTTTNIGNAYGICVTSVMVITTILLVIVMLLIWRVSIWLIIPFCLVFGSIELVYLSSVLYKFKQGGYLPIVTAAVLLTIMGVWHYVHVKKYWYELEHIVTNKDMRELIQAHDVKRTPGVGFLYTELVQGVPPIFPHLIEKVPFVHSILVFVSIKHLPIPHVEVSERFCFRKVESRTSRMFRCVARYGYSDTIERAKEFAASLVEGLQSYIEEGHFITNMQIQETEAEEATSITDSNTRTRKASGSTVYIEEALMQSETTDLTQPPISSYSAHSSGRISEDQSRTIAEEKQFIQRELQKGVVYILGETEIRAGSNSSFFKKIVVNYMYSFLRKNFRQGEKVFAIPRQQVLKVGMVLEAGLSAPTRIQGLRHYVLPFEALCFKRKATEKPQRRNSSATGIIIRSD >Sspon.04G0005000-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:14423495:14430147:1 gene:Sspon.04G0005000-1A transcript:Sspon.04G0005000-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAFWGVEVKPGEPYIHQPSPGRRFRITQKLYHVVARTDGEETRALLGSYADVGWSILECNVGNMNPVRICALNPTNHISDLEIEYEERESVFLSVSGSSSIHLLGRIYNHSASDDQAHTSNKLSSKTETPACLKRKHQGNKANGNKEPSLKEPNVTKADGKNDASSKEPLISEAGGKNVASPKQPIVGEHDYSDDHLPICVAYEKRMAIRKLLQSISCSVLDNTKLLVLNRESSVVYTVPIQDHEITQDIVTKADDKNDASPEEPIISEASGKNAASAKQPVVGEDDDNDDHLPVLVAHKKRMATTKRKYKSPGMGKILSDNNNDHKIMDSNVMHIMPTQDHEVTQDNISQFVGATDQSTRDDGFLFTGATNQHNWVSVEEFYKGDGKSTASNGSKVIFNVTQVNDNKVTVEYVGKLLDGQIVDPMAIRKFKLGA >Sspon.02G0043940-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:116757173:116761208:1 gene:Sspon.02G0043940-2C transcript:Sspon.02G0043940-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GEGGEGEGAGSAAAGEAHEAGTGGADRRRRRRRWPGGVTEVAEAAADLARGALPGASVSAPIPPTSCFLNLLSWVRFF >Sspon.05G0017730-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:75701299:75707710:-1 gene:Sspon.05G0017730-2B transcript:Sspon.05G0017730-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MACWRSEPPSADPTPAVVPCFRVDTLADDNSGGCMDDEVNSQVPSLLPSLFVPFIPTASVVTEDATMGIEQIKCRKQGTQIQSVAYVKSLRYIEIITDLVRKFDAPLSQYARFRCHFSQTLPIQVFLRAHKAMAPTTTRIRESEHACTVVPLVTGGGSIDML >Sspon.03G0002240-3P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3D:13562393:13563377:1 gene:Sspon.03G0002240-3P transcript:Sspon.03G0002240-3P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRALTHFQLTATILVALVATCHAGSIAVYWGQNDGESSLSATCASGNYKFVILAFVYKFGKGQTPDLNLAGHCEPSSGGCKFLSKDIQSCQRRGIKVLLSIGGGEGSYGLSSERDAREVAAYLWNNYLGGTSSSRPLGDAVLDGVDFDIEQGNAKFWDSLARDLKNLGKKKGSKGVLLSAAPQCPFPDEWDGGAINTGLFDFVWVQFYNNQPCQVSAGRGAFLAAWKRWQSVPAGKIFLGLPASKSAAGTGFVPAAQLTSQVLPLIKGSPKYGGVMLWSKFYDKGYSDAIKKH >Sspon.06G0004550-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6A:14344411:14345313:-1 gene:Sspon.06G0004550-1A transcript:Sspon.06G0004550-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVLSSRMQSQTFSLPTRSEAFELASNSSHLYSSAYLKIKDVLDLQIINNACATQAILSVLLNSPGITLSDELKKLKEFAKDLPPELKGLAIVNCASVRMLNNSFARSEVSEEQKPPSKDDDVYHFISYVPVDGVLYELDGLKEGPISLGKCPGGVGDAGWLRLAQPVIKEHIDMFSQNEIRFSVMVILKNRKEMYTAELKDLQRKRESLLQQMGDPSAIRHVPSVEQSLAEVAAQIESVTEKIIMEEEKSKKWKTENLRKHNYVPFLFNFLKILEEKQQLKPLIEKAKAKQKSHGPSAR >Sspon.01G0003680-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:10316568:10316774:-1 gene:Sspon.01G0003680-1A transcript:Sspon.01G0003680-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMARAVLVAVVLIQCCNVMVAARPLLMEAPAVATADGGGWLGMIMQVLKGGPGGNNHNCQAPNGSCP >Sspon.02G0028210-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:119049098:119067316:1 gene:Sspon.02G0028210-3C transcript:Sspon.02G0028210-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MELHDTLLLTSLVLVGLLPHTSQAITQGNCERSGLCTYIVRVSSPPNISMAMSPSNLESWYRSFLPPHMERSPRSVSPFIHTYKEAILGFAIDLADDEAEYVKSKDGVLMVYKDTLLPLLTTHTPDFLSLRPNGGAWDSLGMGEGSIIGLLDTGIDSAHSSFGDEGMSMPPSKWRGSCHFDSGHCNKKLIGARSLIGGPNNTEVPLDDVGHGTHTASTAAGKFVQGASVLGSGNGTAAGMAPRAHVAMYKVCTDQGCHGSDILAGLEAAITDGVDILSISLGGHAQPSFHEDIIAIGTFSAMKKGIFVSCSAGNSGPLPGTLSNEEPWVLTVGASTMDRQMEAIVKLGDGRSFVGESAYQPSSLAPLPLMFRSAAGNITGNVVACEHHGSPVEIGQSIKDGGGAGLILLGAGDGGHTTFAAAHVLPASFLNSQDAAAVRQYITTSRKPTASIVFNGTSLGTTPAPVVAYFSSRGPSTASPGILKPDVIGPGVNVIAAWPFKVGPNTAAGREHDTTFNSMSGTSMSAPHLSGIAAIIKSAHPDWSPAVIKSAIMTTAYVVYGNNQPILDEQLNPASHFSIGSGHVNPSQAISPGLVYDTDVEQYIMYLCGLGYTDSQVETITHQKDACNKGRKIAEAGLNYPSIAIRASAGKLFVNRTVTNVGDAMSSYTIEIDMPKEVEATEWDMRLCKI >Sspon.02G0026200-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:92204492:92205602:-1 gene:Sspon.02G0026200-1T transcript:Sspon.02G0026200-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKIYIVYYSTWGHVAALAEEIKKGADEVSGVEATVWRVSETLPEEVLGKMGAAPAREDHRVISPRDLADADGVLLGFPTRFGMMAAKMKAFLDATGGLWQSQALAGKPAGVFLSTGTQGGGQETTALTAVTQLTHHGMLFVPLGYTFGAGMFGVDEVRGGSPYGAGTFAGADGSRAPSEVELAVARHQGTYFAGIAKKLKAGATALAAEASASA >Sspon.08G0010860-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:43299885:43305464:1 gene:Sspon.08G0010860-2B transcript:Sspon.08G0010860-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKLKFHEQKLLKRTNFLEYKREGGHREALVTQRYGLVDRDDYKKYNMGVISTKESLIKCEKLSVSAFCRRRLATVMVKLKFAEHLKEAVTYIEQGHVRVGPETVTDPAFLVTRNMEDFITWVDSSKIKRKVMEYNDALDDYDAMSVTVCLVSCLKDNNSIDTPDNAEVRYPLKL >Sspon.01G0009810-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:5621769:5623429:-1 gene:Sspon.01G0009810-2P transcript:Sspon.01G0009810-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding METVPAMMTQMASATRRPQSTRGPGGRAPNRVAFPPARRGDCSRDLRAAGLVGRFFGAGEHSSKSHEVDDLAPARLFVGLPIDSVMDGATVNSAAAIAAGIRAVRLLGADGVELPVFWSVAQPESPDRFSWAGYQAVADMVRAEGLSLRVSLRTHGTPGAGVPSLPSWVSGVAADDPDIFFTDRSGGRHDGCLSFAIDDLPVLHGKSPLQLYEAFFRSFAVAFDDFFDSTITDVTVGLGAHGVLRYPSYPPGSDARKFTGMGEFQCYDKYMLQQLRQHAVEEGHAMWGLAGPHDAPRYHDSPDSCGFFRERGGSWETPYGDFFLSWYAGQLVGHGDRVLGTANAVFGGKPVELSAKIPFMHWWHGARSRPAEAAAGFYKSNKKNGYSPVAKMFARHGCTMVVPGMDVCMNKQHHSTGSSPDTLLVQMKNACRRHGARIAGENASLVMTHTSSFSRIRSNILTTELMRPCHFTYQRMGAEFFSPDHFPQFMEFVRSVVCGEWDEDDEERGVAVSANARAREAKA >Sspon.02G0040610-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:73321072:73322149:-1 gene:Sspon.02G0040610-2C transcript:Sspon.02G0040610-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MACARSDILDGQIPTPVSRDVGNLPRVGLVCKRWRRLVTDPRFVRRFGAFHRRTPPLHGFIVDLSSRFVPTQEPPDRLPASRFSWHGEDDFASEFLVVDPMAGDRSHKGAVILASTLLSVAVAGGGRGTATDDRRSFLLVALFAQFATTAEALRLTASVYSSDSGAWASAVATVFPPSPFSTVAIHHPSSLVGNAIYWSGFFSTGRSSGLIWGHGALPSSSNDHTGPPDLKMLWIDFGCLSWVLPAAGAGAGDGRFCLAVLSRRSIEFWEREAELSDDAAEWVLCKTVQLDKVLPVQFEEEESVHVQELGISGFDEESNAIFVWTNDGVFMSHIESMQFNK >Sspon.07G0005240-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7D:12275429:12281406:1 gene:Sspon.07G0005240-2D transcript:Sspon.07G0005240-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTESDLTSATSGALGATSAPAICPFATINVKLHVPMVLELKPSNFTKWSTAFRAMCRKFGLLRHLDPASPARPTDEAWQQADFCVRGWMYSTASDSVLNLAMTDTQQTACALWQAIDDVFQANKAPRAIFLNHEFHSMIQGDSSIDDYCVRMKAKADELRDVGQTILESTLVLNLLRGVNEVYSTVADNIAGQQPLTFSFARHQLLLKELRLQNDEKVRAASALFTAASFPAGGQQQQQQDNRRPNSKKGGQQPSASGGHNPNRRDVFQANKAPRAIFLNHEFHSMIQGDSSIDDYCIRMKAKADELRDVGQTILESTLVLNLLRGVNEVYSTVADNIAGQQPLTFSFARHQLLLKELRLQNDEKVRAASALFTAASFPAGGQQQQQQDNRRPNSKKGGQQPSASGGHNPNRRLPWGFNPWTGERVSPGERVTPPGQRRHGGFGGSGGPNAQSGRQQGLLGPAPQAHIAFAPAATSGNSSSATWDAAGLIATLQAMNMQGNSPWVVDSGASTHMTSSDGMITQHLPPSTSSITVGNGTTIPVTSRGHSILPTPASNFILKNILAAPSIVRNLLSVRQFTRDNSCSFEFDAHGFSIKDLRTGHVTLRCNSDGDLYTMPASTPVALLAASSTLWHQRLGHPAPAALAHLNKIHAVACNTVDRSLCHSCQLGKHTRLPFSSSHSTTHAPFELVHCDVWTSPINSLSGFSYYLVCLDDYSHFCWVFPLRKKSDVHQHLVELAALAQTQFSLPIKCIQADNGTEFLNTTTTQFLAARGTHLRLSCPYTSSQNGKAERVIRTLNNSVRTMLPHASLPPTYWAEALLTACYLLNRRPSSSIQHDVPFTRLHKQPPTWNSSLDARGRSIYLGCRATAHRRCPYITSSRISFSGTGRAPPVVPFPRVYIQRPRTAHAPAPSPAALAPPVPPAPPVPSTAPPAPPPPPPPRVTRTMTGVIPRVSYEGLTATSSSTSPLPTNYRSALADANWRAAMTDEYQALVNNNTWQLVLRPPGANIVTGKWLFRHKFHADGSLARHKARWVVRGFSQREGIDYDETFRPVVKPATIRTVLSIAASRAWPIHQLDVKNAFLHDHLEETVYCQQPPGFIDLGAPDSVCLLQKSLYGLKQAPRAWHQRFSTFVRQLGFVASASDASLFVLQEGTHLAYLLLSEFAMTDLGKLHHFLGIAVTRSSDGLFLSQRQYAVDLLQRAGMTECHPTATPVDTQAKLSAHHGELLSEKDASEYRSLAGALQYYTLTRLDLAYAVQQVCLFMHAPHEPHRALIKRILRYVKGTLSSGLHIGAGPVDKLTAYSDADWAGCPDSRRSTSGYCVYLGDTLVSWSSKRQTTVSRSSTEAEYRAVAHVVAECCWLRQLLQELHLQLPSATVVFCDNVSAIYMTVNPVHHKRTKHIEIDIHFVREKVALGEIRVLHVPSSHQFADIMTKGLPTALFLDFRSSLCVREPPAATAGGYVNQGDFPLPCKARPADHIIEGAPLTLRCGQLPPNSIPLLFFYKMLTCKVYAAVRLQAVVRGLLARRLLQEMHQPMHEATLAMVDLSSAERDLAPWDGHQQPCRPAAVFRHELGVFPAGSDLQLCGNGGRGVAPLLVSGGDALPSATAFRRRPPRGRLRWSLSRLIPGGNNRAPLSFRWAPWDPGGYTRAGEETTADQPDTSSCPSDQLVLFGLSLSVSEK >Sspon.07G0032300-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7C:47477112:47478393:1 gene:Sspon.07G0032300-1C transcript:Sspon.07G0032300-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding NLLKIRGYRAFGPQHNSNVHKLTSPSDRVDAVWYRSAAKHDVDAAVHGPPQGFFLPTGRVKPPASGSGLPVQFAGNRTKKTLPGYAVAMGYPSQYDRSRASSLLN >Sspon.04G0021280-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:74749747:74752995:1 gene:Sspon.04G0021280-1A transcript:Sspon.04G0021280-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAWPPLAPAAAAAATPTAAASDDAAAPPAGAGAWGAAATAQRKAVEKESAAQAVSRIVASCANSSGVAVAVVDANAVISGGSALSTSAGRLITVPEVLEEVRDAAARRRLGLLPVPVETVEPAPEFVKKVTKFARETGDIQTLSDVDIKIIALAYMLEAEIHGTSHLREHPPPLREVNVKKLSEAPLPGWGSNVPNLKEWEELDQMSEAGGDINSRILPLKDIENQDIPMSETNSVCEAQEDTEHQPSEKDAPVAWEEDENNVGWMPAVSRSTHRRYLRRRARRDALKESGQSFETSSVAPSIDDDKVLSENGGLEHGLTSTDGLSSVPDKISASSDGFEHQTENDTEIAGEHLHSDQLAYGDDTDACTKELDNLDIKGEDEGGDDAHSIDDESSEQSWTLRSLSESTVACVTSDYAMQNVILQIGLRLIAPGGMQIRQMHRWVLRCHACYKVTQEVGKIFCPKCGNGGTLRKVSVTVGENGITMASRRPRVTLRGTKFSLPMPQGGRDAITKNPILREDQLPQKVLHPKSKKSSKEDDFLGVDDIFSHSGDKKAPLKPPVRKALAMFSGKRNPNDNHFSRKKH >Sspon.08G0006170-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:19127450:19131748:1 gene:Sspon.08G0006170-1T transcript:Sspon.08G0006170-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMVPRTVLLLCCCLLVFPPPGSTPAVEAFVGGYGINYGRIANNIPSPDKVVELLRRAKIRNVKIYDADHSVLDAFKGSGINLVIAIPNELVKDMAANSSCSMDWLNQNVQPYLPQTRIVGITVGNEVLGGQDQSLYQPLVDAVKNVYDGLKRLHLESKIELFTPHSEAVFATSYPPSACVFKEELMAYMKPLLDFFAMIGSPFYVNAYPFLAYISDPEHIDINYALFKPNKGIVDPNTSLHYDNMFDAQIDAAYAALHAAGYDDMEVRVAETGWASSGDQNEAGASSENARTYNFNLRKRLFLRTGTPLKPKRPVKAYIFALFNENQKPGAGSERHYGLFLPDARISYDIGVSGLLPSSASSSVLSIKKVRAGGWILHYWATVLLCIFILFGP >Sspon.02G0009990-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:31338115:31345928:1 gene:Sspon.02G0009990-3C transcript:Sspon.02G0009990-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:BAG-associated GRAM protein 1 [Source:Projected from Arabidopsis thaliana (AT3G59660) UniProtKB/Swiss-Prot;Acc:Q8W4D4] MAPQAAGSAPAVAALGFLLPTCWEIEVTCAAAMILVALYAAYELLNPRPSQAAAAGDADELLVRGLDGAEKLELLAAKNLMAANLNGTSDPYALITCGAEKRFSSMVPGSRNPMWGEEFNFFVDSLPVKINVKIYDWDIVWKSTILGSVTVPVESEVQSGPVWHTLDSTSGQVCLHIKVINESSSRALNSSAEAGARRRISLDKQGPTVVHQKPGPLQTIFELPPDEVVDHSYSCALERSFLYHGRMYVSSWHICFHSNVFSKQIKVVIPLRDIDEIRRSQHAVINPAITIFLRMGAGGFGVPPLGCPDGRVRYKFASFWNRNHTFRALQRAVKNFQMMIEAEKQERAQSALRAHSSSRKTSKQEINVPEDCAALTGQLQPFVKEEVLVPVFNGTFPCTAEQFFSILLSDDSNYITEYRTDRKDKDINLGQWHVADEYDGQVRELNCKSICHSPMCPPYSAMTEWQHIVLSADKMDLVFETVQQVHDVPFGSFFEVHCRWSVKTISSSSCSLNISAGAHFKKWCIMQSKIKSGAVDELKKEVEEMLDFAQGYMLKVRSPDQENSNLAQQDNMAPDAVPGDQ >Sspon.05G0006930-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:15501213:15503711:-1 gene:Sspon.05G0006930-2B transcript:Sspon.05G0006930-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARSATKGGAPEPKSATTPVPATAPVERPRRSSSSRTRAAVRCSLNASSGCAWMRRRTLTIHSRSPHARRSSSSPYSSAEAEEKTRRSKRRGIAMVVWHRSPRGRGLEAGGSFDVVKLDCSSLEETDADDIQNVLPRCCDLFYGACKPIPEIHWSKLALQEIHGRAPPPLDVQHRRGGACRRFGTAARAAP >Sspon.01G0002530-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:6781815:6785030:1 gene:Sspon.01G0002530-1A transcript:Sspon.01G0002530-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHNIGDFISELLSLMESSIVDNDKAAPVFLDEITKLCRAYSEAAKVDNCTMSITDEDATVKHNEQGLASDVTRITSSAIQTMCRLGIYAASSGGSQVTLLNASWKGVVSLLQSGKGILKEKEIIVTLLSLSVESLRVAAETWCTPLLETLGATEARRTFLPIKFFLINAVRICSIYPSEAMIIYKNIINCALVITSSSILFSKKPQLKAANEALVELLEPTSFVLLDTLMKSSDLTPESKCQLAHYFFENEEAKSPDHMGQANQREINLASLDCIFSTDSDVDHRNRALLPAELLVFLHFLNASSWLAEMVVIALSKKLQTLLNILTSEDIYSYVLGFQIPAFNGADHSPAVVWQPVFTSIIQALKTFMISTVASSAAWNELEAFLLENIFHPHFLCLEIITELWCFFMRYAETETSINLLNQVFLLLKTVASPEDVLAPLSALRKVARSLCIILSYASSATVDQIYTSVLNGENSSKSSILHLALLMEGFPLDSLSDGTKELAVKKMFTSFAGYLESYSKNHRMYITAPDSSKDNLAKHISSMLVIISNTRHLCAFSEMEQLTLQLRTLFLSTSDKSNAVLSQCKPSMASFMSTLGHLNVTEDDANELCSAICDLYHLLLKERHWALMHLSMDSFGYFAARTSFTQLWRSVPGDAALSYDASTGTSIDENGFMLELRAYLQKEVALHTDKWSEEQIRLLVSEGRALKKLVEAYCEIPVVSEPEKAPIAKDASTKKRKMPDGICEGMVMLQNGLKVMRGAFDEADFAELKHRFAAHLSRLEDAVSQFASLSDEI >Sspon.03G0022970-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:93546963:93550791:-1 gene:Sspon.03G0022970-1P transcript:Sspon.03G0022970-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVARKQPPPPPQAGGARRRGGGGVRVGPARLEGLPAAWPGAAAVKVKWPAPGGALSQMLTGRWARGVTAVEPVGAGGTVRWEPRDGNRFRLDVVDPAGARGRPERGVFFSVLYGFQEQGRGKELVRLEEIGTAMISLEECCWEMQLQQQRQQLVVVPIRVRKDGWASDAMLYVNVELVDANTRSDIERAVSFREKPRTNMAPRPTMRDSRKSLEAATYNDVLDLKQLLDLAEKEGRVAVYGNKRNSDTSSVSSISSSSSSSTISISSASTSGGASPEPASTSKRRFLPWMRRSRDFDKRSTESLSQELHMKCMDDDPSGSWETREFTSRDAETKLRTPVFFASIDQRDDSAGGESACTALVAFLAAALHANHPTMPTRPELDALIRDGSSEWRKLCDDEAHMAQFPNRHFDLDTVLASRARPIAVQHDKAFVGFFQPESFASLSGAMSFDDIWREISGGEHEPGRADVYIVSWNDHFFVLSRWRATATTSSTRSARGCTRAATRPTC >Sspon.04G0016870-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4B:65227170:65229497:-1 gene:Sspon.04G0016870-2B transcript:Sspon.04G0016870-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATPRAKRPPRAWRTLAASSPPTSSRPDLLNQSAFLAACLLLALGLSACRGVPGGWRGWDGRTGPWPIDGALDTCSIHAHASPAGWRPGCFHPRNLQHCVADRSMIWRADCCWRTLPHGPATGTRRHVACPHRTSAIHLRCRPGPLLSTPADPLHTPHAHFDARVSCSVLRAPARAHAMGSAGDGDIGEAAEATPARNRRTIYDYLGEGEDGEQASPPSPELRLPRFTCARIRFGRRRGGRGAQKKAAAAEKSEDASVDSSGARARGHSLVLTSTSSSSSSSSCVVDLSSIPDCSRPSYSYVAGWKQAAAGSSSGGGSVAAGQTGMGLSMLFLLARTCVELNRMAEVRAQMETLLKEIRDEASRVKGGAADDHVVLVTPKACNNLQLSSTTTTTTASSSSCVSDTSATNCLEIRRGEDDGERASAEAEVETEPAARRHQPPECRRDTEQDTPDQCSVQSSSDDDGEFIELEGGRFGTGGGNPQRGAVVDESDDDGERRHEGGVSATELERRLHELQHRRDRERIEALESALRRAQRKLTEKEMEARLWQDTATLALGQPQPVPRDGQGQ >Sspon.05G0006170-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:11278756:11280687:-1 gene:Sspon.05G0006170-1P transcript:Sspon.05G0006170-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQAAIIADRFDRVESDAYDPIDPNGNITINWDFQVMVSIHNYQMYRHIEHPGWRLSWNWTGKEVIWNTVGSETTEQGDCSRVGAANARPHCCQRRPVMVDLPPGTPYNRQVANCCRGGVLSSLVQNNLTSTAAFQMVVGEFTLAKDDGSGNMEPEKPWHFDIGVPGVDQPGTPALPASSDDEPAAPIVWCSEHMCPIRVHWHVKVNYRQYWRVKVTVSNYNLVKNYSDWNLVLQHPNLRSLTQLFSFNYKPLVEYGSFNDTGMFWGLRFYNEMLLQDGNVQTEMILEKESDFTYSGGWAFPRRVYFNGHECVMPPADQYPRLPNGASALRGSLIAGHCLL >Sspon.03G0001160-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:11668278:11675427:-1 gene:Sspon.03G0001160-1T transcript:Sspon.03G0001160-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQLFLQVPAECGSVNTIAMEERRSLCRNVESIIKSVTKSAGRYEARLWLCATVSLVHSLSHRGQRDLFLDLLEMKNSRRDVAARLLRMIFDKKPKMVGSILARKRHKLEEFFRGNPKRIMQWFGHFAVTGESTHKKGAKALSQFAFVNRDMCWEELEWKGKHGQSPAVVATKPHYFRDLDVLQTVENFLEYVPDFWSSDELANSIKDGEILQIDAEYFVDQFLYLMYEENSKDAWHVVEDFLMDEQFSSLCQHLLIHLDEQRLLDFLKSLGKLINPTLQCKELTFPCCWLEVLLSGHCDHISLDDLILLNCVIAKGRQLWRLMKDEEQHEELGQMEELLKGVNHLTDADHFALMKELVGTELPDALRWIGIQSWVIFCDLSKECKSGDSCESLFSHNKIEFRKADVYSLVQNDGFSISHMPDTDDEDLIGSSHKRRKGNRRRKRHRYESDEDKLDELLELGSSDGKNDVESQRGSWRLSTDGFSASWDIADIPDHLSTYYFTVWTCA >Sspon.08G0008550-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8A:32063095:32064213:-1 gene:Sspon.08G0008550-1A transcript:Sspon.08G0008550-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQDAPNALASDADHPAPPSRLLSKHRPRRRAAAPRPPLPPPAPPAPARGLPDLSLCHCCGVRFPTPQPGARSKRRPVRPLSSLWRVVLLCAECLSLVRSAAVCSYCLSLDNLPPEDSGVVCRRCKRCVHRSCIPAEHRTTVIQPVDVEDFLCVDCCPTVRSKSGGFNLGLNLEAWFWDRTSVAGENALRKAAEVKLASKRGNNAVGSSGLVGRNSGVPVLLDEELALQLHLLMNGSQRISRSGNSAGGGSAEPGKGKNAGVGGRICNINQEICITNMMAQLDEEEEPGCNRCLRSSDSSVTVVLALECVRGKHAEERMKAKRKGPHVITQQDDLVDPSSKLAKDGYVESRNMCDGMDIDADHGGNGVAPMK >Sspon.03G0021110-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:65067271:65073510:-1 gene:Sspon.03G0021110-1A transcript:Sspon.03G0021110-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AASSSPFHGSSSANRHRHLTHQLAGDHERSQDPRSLTPPAMGDAASGRGHVVYPPRSAEDIFKDYRARRSAILRALTHADKENLCLYGYANEAWEVALPAEEVPTELPEPALGINFARDGMNRRDWLALVAVHSDSWLLSVAFYYAARLNRNDRKRLFGMINELQTVFEVVSGARQQQSKERSSMDNGGRSKPVKIENNGKATDEAYGEDDSDHGETLCGTCGGIYSADEFWIGCDICERWYHGKCVKITPTKAESIKHYKCPSCSSKRARQ >Sspon.01G0017130-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:58793230:58795437:-1 gene:Sspon.01G0017130-3D transcript:Sspon.01G0017130-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAYKASDPPPSNSAGYRTDKVRRKRLTAQKRKEIKEAFDLFDTDGSGTIDPRELNVAMRALGFEMTPEQISQMIAEVDKDGSGTIDLDEFVHMMTDKMGERDARDELHKAFRIIDQDANGKISDMDIQRLAIETGEHFTLDEVREMIEAADENGDGEIDLEEFMKMMKRTNFGSGF >Sspon.05G0013980-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:5B:50848460:50849814:1 gene:Sspon.05G0013980-2B transcript:Sspon.05G0013980-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKELKLDAIVTPNDSASSVLAIGGMPGITVPAGYGKLGVPFGICFGGLKGYEPRLIEMAYAFEQATR >Sspon.07G0033440-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:60226969:60228123:1 gene:Sspon.07G0033440-1C transcript:Sspon.07G0033440-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDHPHHQSMATPAAASMCGSKSKTKLQGGGERSSRGSGGGNSPPAAMRKGPWTEEEDAQLVWFVRLFGLRRTGKSCRLRWVNYLHPGLRRGRITADEERLILQLHAQWGSRWSRIARSLPGRTDNEIKNFWRTRARKQKAAAAQQQDSRSSKTASTSAFSGSSSSVTATTSSCSGSPSPRSGGCGTATTSSSAVTESALRQSSGGCDSGDDDAEFDEASTTTAASQHQHHHQQQQQQEEECYASDHFWNDIAAAEAASYMLIDGWAGAGPGPGHPASGVEPPSSPMWEYCSDYSLWRIDDDEYYKKMLDSS >Sspon.02G0007840-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:22865590:22870722:1 gene:Sspon.02G0007840-1T transcript:Sspon.02G0007840-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLRRVLIPLVLLAGLTFRVDDGGAALLSPPSPALPATPSSLALPGGAAPEDDGAAAASRSTEIVAVGARSTEIVAPTGPKKQSLRELLVRPQPARHEPANLVSGEAKAEPSPVLQFYDNGTIQLVDQLSQSPLWEITTGPPLSDHITTTDSGLNYLIYPLMDGNGTELWEVYNGNNVRLPWKLEEFVARSPYIRDSVVTVGSKVSTVFVVNADTGEIIYRHSIPAALNELEGPGIEGAPSKLNARTSDGSEKIIVLVRTDYSLSASDLGKHLFNWTRTSFTANQYAKYNHPDMLDQSPCLRGDIPCIRTEGLPLALPDSDSDSANVIVLKDGTPFISVHGSDALEPFQTPRKLPNTAGKSNIVLDDSQNQTYDGAQSHVISADPKATKNPTRNTYGWLFPLFPIFLVIGYLLSLISASKSCRQFVIQLIKPFTHNKKSVDIRGRSEGTPKRRKTRKKDGLVNSPETLTASDKECSETGGSTEAPMENSALTDALGGRQIGKLYVSNKEIGRGSNGTVVFEGSYDGRQVAVKRLLRSHNDIAEKETQNLIISDRDPNIVRLYGCDHDSDFVYISLERCHCSLADLIQKHSYLSSGDSISNNEVSVSIKSKVSNVKGIDVELWMQDGLPSAHLLKLMRDVVAGLVHLHNLGIIHRDLKPQNVLISTEGPIRAKLSDMGISKHLQDDMTSVSHHGTGIGSSGWQAPEQLRHGRQTRAMDLFSLGCLIFYCITKGKHPFGEYYERDTNIVNNRFDLFVVDHIPEAVHLISQLLQPNPEIRPTAVYVMHHPLFWSPEFRLSFLRDTSDRIEKTSETDLINALESIAPMAFGGKWGEKLDAALVTDMGRYRKYNFESIRDLLRYIRNKSGHYRELSDDLKLTIDGCKFQGILGSLPEGFDRYFASRFPKLLIEVYKVLWVHCKDEEAFSKYFNGS >Sspon.02G0026330-1P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2A:91753600:91755060:-1 gene:Sspon.02G0026330-1P transcript:Sspon.02G0026330-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSQCPIRPAGGAYTYRFNVTGQEGTLWWHAHVSFLRATVYGALIIRPAAPRGRYPFPRPHGEATILLGEWWNTSVVDVERQALLTGAGPNNSVALTINGLIGAGGGGCGAAAAAENNNAAAAAAHQYQLTVQPGRTYLLRIINAALNYQLFFKVANHSFTVVAADACYTDPYHTDVIAIAPGQTVDALMRADAHPGRRYYMAAQVYQSLANATYSATTTALLTYLQDASDSHSQPALMPTMPAFNDSATAQSFYASLTGLLQDGAPTVPLHVDTRMLVTFGLGVTPCAPAQTLCNRTRGSVAASMNNVSFQFPTTMSLLEAHMRGAPDGVYTRDFPDRPPVMFDFTSDATTANRSLMFTSKGTKVKTLRYNETVEVVLQNTAILGAENHPVHLHGFNFFLLAQGTGNFNAHRHVRAYNLVNPQQRNTVAVPAGGWAVIRFTADNPGVWIMHCHLDAHLPFGLAMAFEVDDGPTPDAVLPPPPPDYP >Sspon.01G0017660-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:65306934:65311627:1 gene:Sspon.01G0017660-1A transcript:Sspon.01G0017660-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLCCVAARPHGASTASQEWSSISRSDPPWRTGAGFSPPLSRGWEYRINSEGLSYGSHGDSGVAVNYGSSLSSNSKGASRSWERNELPQEHRYSTSEGAISYLNSPDVSFQNHHIMLPMLQDSSVDEYMRVSVAEPIGALLLSEGMSGQQNSGGSTSSRSDGSEYDIVPKSYTSTPRNFPSHRSFLSKPIHPVSFPEHALEAQETQSPVASASSDNPLCSEFKGTGELRFPGPMDYGSGSHGESGNWSAASSMDLTDLSERPEAGQAGPLRPNNVMQKTRCDLCERLLTKRSPWGSRRIVRTGDLPVAGVLPCSHVYHAECLERTTPKGQKHDPPCPVCDKLAGKDTEQWSICRLKNGFPRLRSLGEGPSRVWSCAHAGDCVAGAVQMPRSNSIALLTRSGHKRHASSKGEPSKDWAETSKNSF >Sspon.02G0006400-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:12738186:12743577:-1 gene:Sspon.02G0006400-4D transcript:Sspon.02G0006400-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPASTDEPARHKKAKKSKHDNEEKKHKKTNKARAATEGAAVPDAGERKKRKHKDGREEKRDGKKSKKERKPEGKGAEAEAAEGRDEKMRRAMEDERFAAARTDPRFRPMRRKEAKVALDSRFSSMMTDPRFASSAAPVDKRGRRRKKRENPMLEYYLNQEDLEEEGKGKEEDEEEVEEQEEEESSSSDDDEDEDLDDDDECSVGSDIAHYLMGRHDDTPMIDKETHRLAVVNMDWDHIKAVDLYMVMTSCLPKGGRVLYYYAVVVCDSSATANHLYMTLDGTEFLKTANVFDLQFIPDSREFKHPARDVATEAPPSYKEPDFETRALQHSRVKLTWDDDEPERKKVLRRKFTDDQLDELDMYLASDDSASDDEAVDNSEDESLPNEGSKRKLTKEERLALLLQGDKSDEEQSDGQDMEITFNTELEDLSKRILERKNNEEKTVWEKHQEKMKEKRKARKRGLKDEDDDDYSSEDERENDDDFFADEQSDEEPKPSKSKKHKAKAKDKAKRKGKDETTEEHLEQEATKEELELLVAGDQDAANGAKGYNLKRKKGKKGKKGKEDSAEDKLPDIDLSKDERFSPMFTSHLFALDPTDPQYKRSAAFMRKQGGKPGSHGGKSGREPPLEGSSLGGTVPPDDAATNNDDQKPDGSTTEKLQILSAVKSLKRNLGAFKNSNTADR >Sspon.02G0021520-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2A:72260267:72261166:1 gene:Sspon.02G0021520-1A transcript:Sspon.02G0021520-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKKIELDHKDIVHDSAIDYYGKRLATASSDSTVKIVNIGAANAPSQVLATLSGHYGPVWRVVWAHPKYGAILASCGYDGHVIIWKEDARGNWSQVHVFSDQKSSVNSIAWAPYEVGLCLACASSGGRISILTMQADGGWDTSTIERAHPVGATAISWAPATASLAGAGELVYKLVSGGFDSVVKVWGFNNGSWKLESALISDMHTDCVRDVAWAPVLGLAKSTIASGSQDGKVVIWTKGKDGDKWEGKLMRDFGSPVWRVSWSLTGNILSIATGENNITLWKEGSDGQWEEVMKVEP >Sspon.06G0033280-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6D:11857024:11860180:-1 gene:Sspon.06G0033280-1D transcript:Sspon.06G0033280-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MISNHLLFKLASGEVFGQDQPIALKLLGSERSFQALEGVAMELEDSLYPLLREVSIGIDPYEVFEDVDWALLIGAKPRGPGMERAALLDINGQIFADQGKALNAVASRNVKVLVVGNPCNTKYGHFMFHQVPDFLNAKIDGRPVKEVIKDTKWLEEEFTMTVQKRGGVLIQKWGRSSAASTAVSIADAIKSLVTPTPEGDWFSTGSEAELLAEKKCVAHLTGEGNAFCDLPEDTMLPGEV >Sspon.07G0025880-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7C:49540200:49541548:1 gene:Sspon.07G0025880-2C transcript:Sspon.07G0025880-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transition metal ion transporter, Ion transpor [Source: Projected from Oryza sativa (Os05g0164800)] MVMTRRWWQCEMAVGRGGGRWRLGGAGGDGIAIRLISGGRLRGARVGDGWRGEAAGATRMVGGEGEDDVNEITRNWGWRLKISIQTTHDGGMLLLRAGASLLPRLRRADRLTWPHVSAVVASSQTARAHTTKFHKNLCTTPESRQTSTLHRPARGRTSITNPAPAPPPQSAMSGTGCLPADGAAALTRVCRDGAAAARLKTGSLLAILVASAVGICLPVALTRAFRGSPNYARGLLLVKCYAAGVILSTSLVHVLPDAHAALADCAVASRRPWRDFPFAGLFTLVGALLALLVDLSASSHLEAHAHVGGDSDAHGHGHQETPYAPIPKKVPVFELAGEMSPKKRAFLDDDREEDPAPHAATNGADPDRDDVALFGPKKGARSDEVPVVVAAGCHGVAHEVVE >Sspon.02G0006190-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:18632156:18633321:-1 gene:Sspon.02G0006190-1A transcript:Sspon.02G0006190-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVHLLPVVTILAVALLAPPRAAGYPTYPFTVCSNFYGNSFEANSTYEAHLHLAAASLPKKAAASPELFAVADFGTVPGQLWAMGFCRGDINASTCFNCLTQAFQDLPNYCSYQKDASIYYDFCTMHYSDVHVLSSDDSGPAFDMSSIYNLGNVTSDPARYNRLLAALINATADYAAYNSTQRFATGEADFDLQPHKVYTVAQCVPDQAPADCRSCLARLIGTSLSFFLGHIGGRTLWFNCTYRFETAPFYNGPPMVRLASVSSGAPTPAPAVQPTVGTWDAGCGRRRKLSVPVLVPAVLLPTLVALNLVACIYSWRRRRSVAQANFQPCMYVPARFSNFTLPEFQIELTPPPSDT >Sspon.02G0008890-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:25493896:25506711:-1 gene:Sspon.02G0008890-1A transcript:Sspon.02G0008890-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPAGGESLAKPVLLHGDLDLWILEARLLPNMDMFSEHVRRCLAACRPPTSCGPKHPTAAARGGSGGGRGRQHHHRKIITSDPYVTLSVSGAVVARTAVIPNSQDPVWEERFAVPLAHRAAELEFQVKDNDTFGAQVIGTVTVPADRVVSGDEVEDWFPVIGTNGKPYKPDTALRLRFKFQPIADNPAYRHGIPGDPDHRGIEDSYFPLRHGGQVTLYQDAHVREGDLPEIELDNGKTFQHNACWEDICHAILEAHHMIYIVGWSVYDKVRLVREPSPSRPLPEGGDLTLGELLKFKSQEGVRVCLLVWDDKTSHDKFFIKTGGVMATHDEETRKFFKHSSVICVLSPRYASNKLSIFKQQASFGQEFMVVGTLFTHHQKCVLVDTQARGNKRKITAFIGGLDLCDGRYDTPEHRLFKDLDTVFQNDYHNPTFSAGAKGPRQPWHDLHCRIDGPAAYDVLTNFEQRWRKATKWRDRFRRVSHWKDDALIKLERISWILSPSRNVPNDHPSLWVSKEEDHENWHVQVFRSIDSGSLKGFPSDCKEASKLNLVCRKNLVIDKSIHTAYVRAIRSAQHFIYIENQYFLGSSYAWPSYVNSGADNLIPIELALKIASKIRAGERFAVYVVIPMWPEGVPTAASVQEILFFQAQTMEMMYRIIADELKAMDNKDMHPEDYLNFFCLGNREEPPSNGSPESEKSTDKSAAGLATKYRRFMIYVHAKGMIVDDEYVILGSANINQRSLAGSRDTEIAMGAYQPHYAWSTKNGHPHGQVYGYRTSLWAEHLGTVDDRFKDPSSLECVRFVNQIAVENWQRFTAEEMSTLQGHLLKYPVKVEADGKISPLPDQECFPDVGGKILGAPTSLPDSLTM >Sspon.04G0027650-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:61199250:61199624:-1 gene:Sspon.04G0027650-1B transcript:Sspon.04G0027650-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAALAATFASCGSGGGHGWPRNLGDDDDGSGDGGRAPRPAAGGVGAVVRALRAWVGRGSRRKAAVVSRSGSSVKEQQYGHEEYAQNFDEGGAAGEPENLSRSFSARYARQAPWDVGARRRGRG >Sspon.05G0003210-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:9594648:9601164:-1 gene:Sspon.05G0003210-1A transcript:Sspon.05G0003210-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPRKAKPPPPPSPPKAAAPSIAEALLLATVCMVGLPVEVRVRDGSAYAGVLHTACVDAGYGVVLKKAKKIANGKGDANLPLGSFVDTLVVQPDDLVQVIAKGLSLPCKGVCKPLDSNMVAASGSLKPQTSHANDLKMSKTENISPLMQAEKCALVGQEKNTSVKKNGQTIAAPVVASDVKPSQPANNSVTKIVTSSKTAAKEFKLNPCAKVFSPSFASSRQVLAATTAPVDTYYISHSAPEVPMGVPVYESKSVSGVSPLSSKVHCSNLSPANYAISPQYVQSIVGHNASRLDPARVGTPYHPMQVGATYTTPSPQPVMTGKFSPVVYVQPVPQKFQGNTPVYLAPPVMATGNLPLVVPSPAPLVQPFQAIHPIMVPAASSMVPGKYMVAHKLVLTWRILDHYIHKSN >Sspon.06G0018320-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:81917905:81922795:1 gene:Sspon.06G0018320-4D transcript:Sspon.06G0018320-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding EMAEREVSSRRRHELSNLVEGEVRMLGLDFGGVRSYGLRYVCCAGRKAGAWKVFAKMPLPVSTSWKGWDAGGALISPLHQQGKSARKPIPKSMALWSGVGQVASMAQLAGVDAYGLISMIVEAAKTVKRNRETCQLLARRARMIGDLLQQLERTQLMHHMETRNPVEQLEETLRHAYVLITSCRDSIYLHSFCMGGNQADQLREVQNEITFYLQLFPLVSFVDNTRTWERLLSRACPLCTKGSTDELHAAHYVENGDRLRSEALKAIKFKNIGSHPTLKPDEEQTVDETKRELLNWSLRLQIIKAIADGLDFLHGHSHMCIVHRDIKASNIPLDHEMNAKISDFGLALMLAPNTTANVVVLGTSMCIGMIILSTTSGYVDPEYAATGNISEKADVYGFGIVLIEIISGRLIRSYTMKADGTPELPPHDQAHKHRKKLHRFVDPLLRVNGHEWAQILECVRVAQLCIHHLAKHRPTMSEVVTMLGSIKVAQRAHGK >Sspon.03G0038440-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:5363734:5368738:-1 gene:Sspon.03G0038440-1C transcript:Sspon.03G0038440-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGFSASCGPAADSFARSATHLCFTLFPLSTLLSISTESRTEETRRFLNSLKTEVSSPTNRTEMADPLPSSFFPPPPLSLSLPSLPDDCWAAATLGQVLRLSLVSSPATSTHQEDEVGAISWCPSHSSYHRHYASIEQCVKVAARRSPSIILFPLFQAMAKLPVLARSAIRKPNEGMRLIVVTIVGAVFGFFIGISFPTVSITKLHFPSSIVSYIEDKNSGLSAQAILNHAWTAARNARGNGSEPISSDNFKIYVPTNPRGAESLAPGIVVPESDFHQHRLWGNPDEDLPFKPKYLVTFTVGISQKDNINRAVKKFSNDFAILLFHYDGRVTEWDEFEWSKRAIHVSVRKQAKWWYAKRFLHPDIMAAYEYVFIWDEDLGVDHFNGDEYNTISLSALYPSTWLGLVDIFFLLQNDLVHGWGLDFALRKCVEPAHEKIGVVDSQWIVHQVVPSLGNQGQAENGRAPWEGVRERCRKEWGLFQTRMAEAEKAYYEMMGVTPPNVTFVS >Sspon.03G0009350-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:3651869:3653585:-1 gene:Sspon.03G0009350-1P transcript:Sspon.03G0009350-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYRADDDYDYLFKVVLIGDSGVGKSNLLSRFTRNEFSLESKSTIGVEFATRSIHVDDKVVKAQIWDTAGQERYRAITSAYYRGAVGALVVYDVTRHVTFENVERWMRELKDHTDANIVIMLVGNKADLRHLRAVPTEDAKAFAERGNAFFMETSALEAMNVEDAFTEVLTQIYRVVSKKALDIGDDPAAPPKGQTINVGGKDDVSAVKKSACCSS >Sspon.03G0032650-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:39047744:39053353:-1 gene:Sspon.03G0032650-1B transcript:Sspon.03G0032650-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGPRAPAAALAALLAVAAFFTCCAVAEPPPSERSALLAFLTATPHERRLGWNASAPACGWVGVTCDAANSTVVEVRLPGVGIVGAIPPGTLGRLTNLRVLSLRSNRVLGTIPDDLLQLPGLKALFLQQNLLSGPIPSGIQKLGGLERLVLSHNNLSGSIPFALNNLTALRVLKLDGNHLSGSIPSISIAGLSILNVSDNNLNGSIPKSLSHFPRDAFAGNLQLCGEPLPPCSSPFFPPAPSPGLSPGGGGPAPGSSKKRKLSGAAIAGIVVGAVVVGLLLLIAIVLCTVSKRRSAAAREGPKAATSAAAAAGGPGAARGQPPPASGEGGGGMTSSSKEDLGGGASGSAAAVAAAAAGAAAGEQSRLVFVGKGAGYSFDLEDLLRASAEVLGKGSVGTSYKAVLEEGTTVVVKRLKDVAVARREFDAHMEALGRVEHRNVLPVRAYYFSKDEKLLVYDYLPNGSLSAMLHGQCPASSSSSRLHCVAVGSRSRSRRSRGSGRTPLDWDARMRSALSAARGLAQLHTVHNLVHGNVKASNVLLRPDADAAALSDFSLHQLFAPSTTRAGGYRAPEVVDTRRLTFKSDVYSLGVLLLELLTGKSPSHASLEGDGTLDLPRWVQSVVREEWTAEVFDVELVRLGASAEEEMVALLQVAMACVATVPDARPDAPDVVRMIEEIGGGHGGRTTTEESEGVRGTSEEERSRSGGHAASRPDAVTQLACR >Sspon.01G0038930-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:20173596:20179454:1 gene:Sspon.01G0038930-1P transcript:Sspon.01G0038930-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDEAKSGPQSDMNTDAAADAEAAAAPLPPSSSETAEREEAKVEEADEEGQVVERLVELVSEIAAISDFRNSYRRQFCNLSRRIRLLVPMLEEAKEAPAPLPAASEAALRRLRDALHAARELLRLGSSGSKIFLVLDREKIMKTFQDVTVRLEQALAGISFDELNISDEVREQVELVHTQFKRAKERSDLSDDDLFNDLMSLYNSSSSASVDPDILRRLSEKLQLVTIYDLNHESLTLHEMARGGDPGAVVEKMSMLLKRIKDFVQTEDPEMGAQASTANISPKDNSARPVIPDDFRCPISLDLMNDPVIVATGQTYERGYIEMWLEAGHDTCPKTQQKLANKSLTPNYVLRSLITQWCEANGIEPPKRPAQLRDAPLPCSAAEHSNVLELLQKLSSQNLEDQRGAAGMLRQLAKRSAENRACIGDAGAIPILVSMLSTTDVITQEHVVTALLNLSIYEENKARIISSGAVPGIVHVLKRGSMEARENSAATLFSLSIVDENKVTIGCSGAIPALVQLLSDGSQRGKKDAATALFNLCIYQGNKRKAVRAGLVPILLELLMEIESGMVDEALAILAILSGHPEGKTAIGAASAIPVLVGVIRNGSPRSKENAAAVMVHLCNGEGEQQQQHLAEAQEQGIMSLLEELAESGTDRGKRKAVQLLNE >Sspon.02G0037550-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:34615287:34616123:-1 gene:Sspon.02G0037550-1P transcript:Sspon.02G0037550-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAQPVGGVLLTSPTDAAGEAPVGSGPRSPLPILFLALCISSGMLLHAEQLAAAAAEHLCPVVVLVCVVISITALFNLTVFSLNFVIARGRAAGGPRLLLRPTARAGAAPAVSASKSLLAPFIGLCLAMGAVLHAKSLAAAAAEYQIDPAIVVCVVLSGVALFHLAVLSIHKTLASHRAEDEPKQLPRSRGLPLLPFVVLTLAISAASSVETVMADDATDLPQDASVWAALALAVSFNIAIGSLYCFIIDGPPPPAAATVEPWSRAKSAGAIVSCITAA >Sspon.04G0029740-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:76537731:76539074:1 gene:Sspon.04G0029740-2C transcript:Sspon.04G0029740-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding YGRKGGQMPQLRSMKLRHIQQQVAGFAGFCGCFGDCYHGCREGHAHPGWFCTTCTVIVSDEVHDATFGAAVPTAPRSASPPSAA >Sspon.02G0016530-3C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:48845636:48850702:-1 gene:Sspon.02G0016530-3C transcript:Sspon.02G0016530-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPSRHLRAAARQRSHRRPAAPADACITGKPDAEVIRRNKAITAHMRAGRVPDAERLFAAMPRRSTSTYNAMLAGYAANGRLPLALSFFRSIPRPDSFSYNTLLHALGVSSSLADARALFDEMPVKDSVSYNVMISSHANHGLVSLARHYFDLAPEKDAVSWNGMLAAYIRNGRIQEARELFDSRTEWDAISWNALMAGYVQCGQIEEAQKMFNRMPQRDVVSWNTMVSGYARRGDMAEARRLFDVAPIRDVFTWTAVVSGYAQNGMLEEAKRVFDAMPEKNAVSWNAMMAAYVQRRMMEEAKELFDAMPCRNVASWNTMLTGYAQAGMLDEARAIFGMMPQKDAVSWAAMLAAYSQGGFSEETLQLFKEMGRCGEWVNRSAFACVLSTCADIAALECGMQLHSRLIKAGYGVGCFVGNALLAMYFKCGNMEEAHSAFEEMEERDIVSWNTMIAGYARHGFGKEALEVFDAMRKTSTKPDDITLVGVLSACSHSGLVEKGISYFYSMHRDFGVTAKPEHYTCMIDLLGRAGRLDEAVNLMKDMPFEPDSTMWGALLGASRIHRNSELGRNAAEKIFELEPENAGMYVLLSNIYASSGKWRDVDKMRLMMHERGVKKVPGFSWIEVQNKVHTFSVGDSVHPEREDIYAFLEDLDIRMKKAGYVSATDMVLHDVEEEEKEHMLKYHSEKLAVAYGILKIPPGRPIRVIKNLRLMDSVLHKECVHWHEEKVLKLVEMGFPEDLMSSALKSVNVYAV >Sspon.02G0029370-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:113459144:113469803:1 gene:Sspon.02G0029370-3C transcript:Sspon.02G0029370-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLVALWAVLAHVLGCADVLHAGHQPLSRIAIERATAALDNSASINAHPTVLGLKGQSSDWVVVEFSHPNPSNDDWVGVFSPSGFSSEICQPENWMDQPPYLCTAPIKFQYANFTNDAYNKSGKGSLRLQLINQRADFAFALFSGGFSVMTVTWTSGYSIKEAVPFVEWGPKGGDRTLSPSGTLTFGRNSMCGSPARTVGWCDPGYIHTSFLKELWPDALYTYRLGHKLSDGTHIWSKSYSFRASPFPGQESLQRVVIFGDMGKAEIDGSDEYGNYEQASLNTTKQIIKDLENIDMVIHIGDFSYANGYLSQWDQFTEQIEPIASTVPYMIGIGNHERDWPDTGSFYGYNDSGGECGVPTQTMFYVPAENRAKSWYSTDYGMFRFCIANTEEDWRPGTEHRWAEKGSKIFGRTHLPHLSGKIELNRCVQDGSNHYSGQFNATTHVTVGGGGASLSTFRNNVPYWSFFRDSDFGFGKLTAINNSLLLFEYKKSHDGNVYDHFTISRDYRDIMACSIDNCPRSTLA >Sspon.02G0023640-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:80635118:80637943:1 gene:Sspon.02G0023640-1A transcript:Sspon.02G0023640-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIFAATWNVGGKSPPRGLNLDEWLHTSPPADIYVLGFQEIVPLNAGNVLGTEDNLPAKKWVSLIRRTLNKNPGASGCGGYHTPSPVLDPVVELDADFEGSARRQENFSFFHRRSFHNLSHSLRMDGDSMFPQPRLDRRFSVCDPVNLGGRPSDFDGNLRCPGSPDEDNIDMEVSDGAQFSPFPHSYSASAPSEQNDDQSNSSRYCLVASKQMVGIFLTVWVRNEIRDDVRNLKVSCVGRGLMGYLGNKGSISISMSLHQTSFCFICCHLTSGEKEGDELRRNSDVLEILRKTRFPRVRGAGDVKSPETILEHDCGCNKDMDEFSRVGEKGGSIFLPHTNIHSTQIGMLERAGTLKRRGEHQHGSFLQLIYNRNASVILFCFLMLLKISLLSYLAYKLTGVIAFSGMVMASISCLMFVESLVSPTTDRCTVFFWQRLTLYTKGGETWILKTECANTN >Sspon.02G0023880-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:81502659:81504449:1 gene:Sspon.02G0023880-1A transcript:Sspon.02G0023880-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKHGRVLLPPAIAAEEPVYVNAKQFNGILRRRLARAKLMAARDRRVSGNRKPYLHESRHLHALRRARGTGGRFLNTRSRDGDQHKPPPEGSAASTEKAAARLQQDRQADALFLSSLVNMAGGDGDGDGGEATTKWPGSAPSRGCCDLLKATYVRQFILGSGREAEQRLGVERRRTATACKQVDAKRNPREVEFCRCKTVRDIRDARHMSHARHGVAQPDQTGITTEHSAALLHELYLPERFSEDSRHVQPPPFPSQCIVE >Sspon.08G0015690-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:62845556:62848858:1 gene:Sspon.08G0015690-1A transcript:Sspon.08G0015690-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMEEGALAKVKLVAAVLVLEMLIAGFHVVSRAALDMGVSKMAFLVYRNGSALLVIAPVAYFLEKKERPPLTLRLMIDFFMLAAVGVTFTQGLYILGLYYLSPTYVSVIQNSVPAITFVMAAVLRIEQVNIKSRHGLAKIAGTVITIAGATIITLYKGMPLTTTHSEGTLKLKDIKVILSPRFTWIAGCLIMFVNCLCLSGWMVLQVPVLKKYPAKLSSFTITLALGFIQLVVVAPFFESNIERWKIHSGGELLTIIYAGIVVLGIAWYIMIWCINKGGPHFVSVFQPLQTVMVAIMSSIFLGDRLYIGGVIGAVVIVAGLYCVLWAKNKEAKNNSDLLSERSLAQSLLHEDIMIEDL >Sspon.01G0040290-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:36431519:36435735:1 gene:Sspon.01G0040290-2D transcript:Sspon.01G0040290-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLREMDGDPFHPMPNYDFLSGNGYSLKQLVRSNSDIDSSSSKSEQSRQDLSDSSLNGQRKPTQSDNNDICGKRDQGMVKSVLSFGSPEAAFSPHKFDYSQSFACASYTADPYHGGVLAGYASNGIVHSQINGAANTRVPLPVGPAAEEPIFVNAKQYNAILRRRQIRAKLEAQNKLVKGRKPYLHESRHRHAMKRVRGPGGRFLNKKELQEQQQKALPSLQTPTGGVSSKMAFGRNLCTESSTSHSPSTSSGISSVSNGSGMLAHQEHISFASANFLPSMNFRAENGGEKMAINGVRHHTPVVR >Sspon.07G0004500-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:10532597:10534346:1 gene:Sspon.07G0004500-2B transcript:Sspon.07G0004500-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNFELSDAQYVHALSIEHLVPGLSDLKVCSTDMTEACFWKIYFVLLHSKLNKQDAELLSTPQILEAREQLLQSLQSQNKPGSKVPGESSESSNASSAPPEEKVIQPSIIRDKADTPEKSSFEEPSSDITPETVSEKFPISTTEVEMVDKSVVEEELAVTNESRTSPVESKLRFETDEEEVDEWPDDDPTDEWPDDDPTDEVGQAGNRASLGREEDVSFSDLEDDEDDDDRNRRDGR >Sspon.06G0009170-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:38199718:38202999:-1 gene:Sspon.06G0009170-4D transcript:Sspon.06G0009170-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to 26S proteasome subunit RPN12 [Source: Projected from Oryza sativa (Os07g0435100)] MDPKMTEVTQMFARFKAAYARNDLDTCVTLLSQLKVRASFKLTQNISGDIYEHAVVLSVKLEDQDAFERDFCQLKPYYMDTCGIIPPSPEEYPILGLNLLRLLVQNRIAEFHTELELLPVKALEHPCINHAVELEQSFMEGAYNRVLSARQAVPHETYVYFMDLLAKTVRDEIAGCSEKGYDSLSVSDAKQMLMFSSDQDLHEYITE >Sspon.07G0005410-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:19688125:19691114:-1 gene:Sspon.07G0005410-1T transcript:Sspon.07G0005410-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSFGKLFSRLFAKKEMRILMVGLDAAGKTTILYKLKLGEIVTTIPTIGTSRALVLHLVKGCTRGLTGFPTTSPTSLEAFRLGS >Sspon.02G0008010-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:16135687:16137072:-1 gene:Sspon.02G0008010-3C transcript:Sspon.02G0008010-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAFSSHHFRQSKLSVLILALATVVAAARAQLSPTFYASSCPAALVTIKTAVRAAVVLDRRMGGSLLRLHFHDCFVQASPQQSGCDASVLLDDTGNFTGEKSAGPNAGSLRGFGVIDTIKALLEALCPRTVSCADILAVAARDSVVALGGPSWTVQLGRRDSTTASLSTANTDLPSPASSLSTLLAAFARKGLSGTDMVALSGAHTVGQAQCQNYQARIYNDANINAAFAASLRAGCPAAGGGGASAPLDASTPNAFDNAYYGDLVAQQGLLHSDQELFNGGSTDGLVRSYAASSARFSSDFAAAMVKMGGIGVLTGSSGQ >Sspon.03G0038900-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3C:8375459:8377871:-1 gene:Sspon.03G0038900-1C transcript:Sspon.03G0038900-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DLEAEAAAGEGNATPPHAALPGAWDEAQLLEADQGAQLVQVQELQAKLDEERERLRLLQQTLERERVARPRGGGARERARDVNCRIIEDRTGEPPVFNRASQNVVTAAMLLRNMPEPSTPEARQARDEIRGLLETTAMQQAESSASRSRGLASEQPAEPARQEKEASVHPEPAPQRNKTASVRERVVDNREPRDARDDINERRKHRSGDGASRGYHEHRGGRYDGSEDRGPSPEPPGPQVFSKTIHRTQLPVRFRPPTTLTKYNGETKPDLWLADFCLACQLGGATNDRVIIRQLPLFLSDTARAWLDDLPPRQIHNWNDLERVFEGNFKGTYMSPGNSWDLRSCKQKPELVRELGRNTPTTANELMDIVTNYATGEEAVGAIFGGDQDKGKRKDEEPEGSNRGAKRNNRRKNKNQQGKHEAATDDLVAAANCEKPRGPPGGGIFDKMLKEPCPYHKGPTNHNLKDCHMLRKYFKSLDIKKDDKKEDLKEKDGDKDEGFPEIHDCFMIYGGPSTRLSTRQRKRERREIFSVQLATPLFLDWSETAITFDRDDHSDYVPNLGVYPLVVDPIIANTCLTKVLMDRGSSLNIIYAQTLDLLGIKRTQLRPSVGGFQGVVPGRRAEPVGRIDLPICFGTPSNFRKETLTFEVVGFHGTYHAILGRPYYAKFKAVPNYTYLKLKMPGLKGVITVGPSYEHAYECDVECVEHGEAVLESATLAADLDGLAKEIPDPKRHAGNFEPAEDVKLVPLDPTSSDGKALKVSTTLDPK >Sspon.01G0009570-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:29446372:29448275:1 gene:Sspon.01G0009570-2D transcript:Sspon.01G0009570-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEYFYQSLLLSVLAVALLQLVKMALKPRRRLPPGPWKLPIIGSMHHLVNVLPHRALRDLADVHGPLMMLQLGQTPLVVASSKETARAVLKTHDPNFATRPKLLAGENVGYEWVDILFAPSGDYWRKLRQLCASEILSPKRVLSFRHIREEEVMLRVEEIRAAGPSTPVNLSVMFHSITNSVVSRAAFGKKRKNAAEFLAATKAVVGLSSGFNIPDLFPTWTAILAKLTGMTRSLKQIHKTVDTILEEIIEERKRIRDDKIKGGAAAEDVDENLVDVLIGLQEKGGFGFQLTNSIIKAIILDMFAGGTGTSGSAMEWGMSELMRNPSVMKKLQAQIREAFRGKTVVTEGDLQASNLQYMKLVIKEALRLHPPAPLLVPRESIEECELDGYTVPAKSRVIINAWAIGRDPRYWEDADEFKPERFEDGSRDFTGSSYEFLPFGSGRRMCPGFNYGLASMELAFVGLLYHFDWSLPEGVTEVDMGEAPGLGVRRRTPLMLCATPFVPVAV >Sspon.01G0043960-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:70924497:70925514:1 gene:Sspon.01G0043960-2D transcript:Sspon.01G0043960-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MACTDTWETGSPIFSPYHVDPTLLPALIVVPTLFRTCTQELCPTAHAAFRPDRHARAWETTWVPVTHPACECASRRGLPASGTHTVTHGGAPAAQQVTAAAATLSWWRWGGDGIVNAARRGRVEHGAARDWGAADDGRGCERSGAGGRHGEAAQMRQSGELSRGAYVQ >Sspon.08G0026010-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8C:15575936:15576349:-1 gene:Sspon.08G0026010-1C transcript:Sspon.08G0026010-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEPDPDPPPPLSTPAGISSQITTIHQHHPNPPNPTTDPCSPIPDLQPGGSLVVNPPQAVEIPATDAEGGGAAPVHDAAALPVRDAEVSPVLDAAVGAGVTLRTPQHQRCCRCRPSPGPNPPPSCQLRWSVAQTQQLG >Sspon.02G0020620-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:62671791:62673430:-1 gene:Sspon.02G0020620-4D transcript:Sspon.02G0020620-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGAKRKKRRLEAGRHRGQEQPRTPRGPDLISRLPDEILDSIITLLPTKDGARTQILSRRWRPLWRNAPLNLEAHISYKNLNKDAHIPSICSLLSTHEGPLRRFSLTYHLRGNDFHLVDTLMQSPRINDFLELEICSYSALPQSVLRLPPSVCALHLCKGIAFPYCNFIFPDLNQLTLPNRSLNFPNLKHLTLAKVHISGTALHAVLSGCPVLESLVLDRNFGCHHLQISSLTLRSLGVSDGVEVWEVKLQELIVEDAPLLETLIPQPPTYGLVIRVIHAPKLKTLGYLHDDIPIFQLGTMLFEKMVPIISLPNVMRTVRTLALLTATDLDLVTGFLKCFPCVEKLYIVSYTRMLTKNEQAYAPLECLDRHLKKMQIINYEDNIADVNFVKFFILNARVLEAMKFVVRHGQCGTKWIARQHKKLEVDDRASRGATFYFEADPKQRLSSLVHTKHIHDLASDPFDGSLCRCP >Sspon.05G0023710-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:8267983:8271693:-1 gene:Sspon.05G0023710-1B transcript:Sspon.05G0023710-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAHLACAFFFDAAEPAVGEPSLHALDACALCTKPLARDSDIFMYRGDTPFCSEECRYEQMQLDAIRARSGGRRQPSYSSGAEARRGHRDTRKTRAMASAFFFDAEPVCELPSLVPTLDACALCAKPLGRDDDIFMYRGDTPFCSEECRGEQMQLDAIRARRAAARRQQQQQQYSSRTESRHQESRK >Sspon.02G0020570-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:66936398:66943275:-1 gene:Sspon.02G0020570-2B transcript:Sspon.02G0020570-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ALGLPADLEQPGDVLALTESLPGESFDGFFAILCENQPDLRHRPKRPMPLLVCDSDYFRRQHTFWRLRWRRRLLQLYFPTPTCSKMARPTAFAACPESARLTSQSAGRLTLPPTLTKLTFPVPATADASLDDSTPIIDRSLEMKSNIIQIKDVHFSNPTNQVRSANSLSAVAKSARPTALVGEADSSMSAKPTAPVDSVDSPKLLNRSDVTSNSALGLPADLGQPGDVLALTGSLSGERFDVAKAGATAALLTVLVVLAASAEMAHGVCNLSSAGIRACQPAAAIRNPTDKPSAECCAALAGADLACLCRYKNAAGVWVRFYGIDINRALGLPGKCGLAM >Sspon.07G0011920-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:47386316:47389735:-1 gene:Sspon.07G0011920-2B transcript:Sspon.07G0011920-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWRASLSRSVKEIRVLFCQSSPASAAAREFVKKNYGDIKARNPSLPFLVRECSGVQPQLWARYDMGVERCVNLDGLTEAQIDKKLEELAKAG >Sspon.02G0008990-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:25684356:25689273:-1 gene:Sspon.02G0008990-1A transcript:Sspon.02G0008990-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPAAGGPAPAPAHSAAAVPQAIVAPPEPGRLAPAASRRDPPGHPEGADPANAAARKTAWSALAQPHAAAAASSGETPEGGGIIGGDASWPALAESTRACPKSGSSDSLKALSDASASCPSPQMRAFTGLVDTNYILDAIRGSELLEVQVGADEIHARWWKPHVAQ >Sspon.05G0020230-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:70923374:70925041:-1 gene:Sspon.05G0020230-2B transcript:Sspon.05G0020230-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding PMASSTAAVSTRSPASSAPATQVLVKLEFIEEMTTNVDAVQERVLAEILGRNAESEYLVKCDLAGATDRATFRAKVPMVTYEDLKPYIMRVADGDRAPILSGSAHPISEFFPSSGTSGGQLKLIPSVKDELDRRQLLYSLIMPVMSHRFVPGIDKGKGLYFLFVRPEMKTAGGLLARPVLTSYYKSDHFKNHACHTYTSPMAAILCEDTFESMYAQMVCGLCQRHDVLRVGAVFASGLLRAILFLQQHWEQLAADVETGELGACVSDPSVREAVAGILQAPDDPKLAMAIRAECSKGDWSGIVTRIWPNAKYLDTIVTGSMAQYVPALNYYSGDLPIASTRYVSSECPIGLNLRPICDPSEVSYTIMPNMAYFEFLPVDAAAAAANTTTACELVELARVEPGREYELVVTTYGGLSRYRVGDVLRVTGFHNAAPQFRFARRKNVLLSIDADKTDEADLQRAVERASALLHPHGAAVLDYTSRTCAKSMPGHYVVYWELLATKGRGPRPIPWTWTATTCC >Sspon.05G0019880-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:84043553:84044376:1 gene:Sspon.05G0019880-2P transcript:Sspon.05G0019880-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPSTHTASLSSSTTLASCSSALLFRSAMAAAVATETPFHVLAVDDSLPDRKLIERLLKTSSFQVTTVDSGSKALQFLGLHDDHSPVPVHTDQLESSSLRDIPVVIMSSENIPSRINR >Sspon.08G0016340-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:59385666:59387762:1 gene:Sspon.08G0016340-2B transcript:Sspon.08G0016340-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Arginine decarboxylase, Chilling stress respons [Source: Projected from Oryza sativa (Os06g0131300)] MPALAVDAATPVAHAFASCDAAARFPAPPVVALAAAETAPWSADLSAALYNVDGWGAPYFFVNDDGDVAVRPHGAATLPGQEIDLAKVVAKAAGPRAGGGLGLPLPLLVRFPDVLRHRVETLNAAFGYAVRSTGYGSRYQGVYPVKCNQDRYVVEDIVEFGAPFGFGLEAGSKPELLLAMSCLAARGNPDALLICNGYKDDGYVSLALMARSMGLNTVIVLEQEEELDIVVDASRRLGVRPVVGMRAKLRTKHAGHFGSTSGEKGKFGLNAAQILSVVTKLKAIAMLDCLQLLHFHIGSQIPTTALLSDGVGEAAQIYCELARLGADMRVIDVGGGLGIDYDGTHSAQTDMSVAYSLEEYAAAVVAAVGRVCDRKGVQHPIICSESGRALVSHHSVLVFEAFSATAPGRLDAATAYLLDELTDDCRADYRNVMAAAVRGDYDTCALYADQLKRRSAEQFKEGVLGLEHLAAVDAFCELVARGMGAPEPPRTYHINLSVFTSLPDMWAIGQQFPIIPIQRLQERPAVDGVLSDLTCDSDGKVSEFIGGRHSLPLHELPTHATRGYYLGMFLGGAYQEALGGLHNLFGGPSVVRVSQCDGPHCFAVTRAAAGPSCADVLRAMQHEPEVMYEVLKQRTDGATAAALARAFGAMPYLVFDPEAAVLSSGESSGMSSDSEGSAAGAAEEEDDEEWEFMRGLTV >Sspon.06G0018550-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:99804250:99811280:-1 gene:Sspon.06G0018550-1A transcript:Sspon.06G0018550-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQTVDARATPAMTANPYDQKPTEVANTATPSTTEVKKEKSDDKAESVYPRKVKKVKKPKKEKPEEDASLNKKEKKEKSGYSDKHASAKKEKKEKSINSDATSAYVKKEEKKSSVDEAVSLNKKEKKKEEKSLKKEKKEKKKEEEKSSDYFEKENKEKSEKDASATPVDASADDAYLGKGVRWGRGKERVGEQIHHRGVRHGAGFPGMGDGDGDGDEGEGGDGDEASVRYRLLAAVAPCFPGKIQELHALSSPAKYGQSLAFPRIQISLVASDLTSKLLATTVSTLLPRSMMRAPLLSFVLLLAVVATVPLVPAVSKGEEAGTAAEEHGGSINPLSLDGYGPLEKAAKKPKEQILNKQATPKMIPKTFDQKPIQEEAEMATASTAGDQPDKYVAYLVPGEKEKEEAPAKVKKEKSDEWVDESAYHKKEKKVEKEKKEKSDYLDESTSSKKEKKEKSDDSDVSTSSKKKKKKAKTDDSNEDASLDKKENKKSASGKKHKKHISDDDSDSTSPKKQKKEKSIDSDASAYLQKEEKKSGDSDEATTLKKHKKEKNKKKKEKSGEDADEDAAPVDVSTDGKYVSPSSKEEKSDEDAMPVDVSTTTGQYVSSSKSIIKPKGGERQISTPADAYASPDELPPAAKISATSDAYASPKQQVVSSSSQPMAGGSPDELPPVAKSSATSDAYASPKQQVVSSSSQPMAGGSPDELPPAAKSSATSDPYLSSKQQVLSSQPMAGGSPDELPPAAKSSGTPDPYASSNVPNEPAAAGKPKLSMETFSGMIKRPIAKFLSPVIKSVCAKTEYPEDCETSISALPGAASAAATDSVGVLKLAMEAVREKVIVAMNAATDRMNVPGTDATTKDALDSCTSSYSDIKTSLDSVDDALKRGDVDTAHTNLDSVETDITTCDDGFQEHGIPS >Sspon.03G0002490-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:6187780:6188779:1 gene:Sspon.03G0002490-1P transcript:Sspon.03G0002490-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DDGGGVEAGDVGADGALLLAAGHALGFLAERRRVDGGGEQTVREGAGADRPQRARPVGEGGGDAAQEDGRRREEPLPRPRERRRLHRGRARAVPALQQLGPVVRVHPRGLGRRRRRVQARLLPQARAGVGPGAEEGCPLDGGGAQAFLDGTEAVRERGLAEHLAQVRDDPDADAGGQPRAEVLHPPQLRRQGQAPVQHPRHHHREPPRRRPQQRPALAAIRGHHHRRHQPLRRPAGGREAVHGAAPSWRAHRRVAPLHQREAGAQELARGRPRPGHRRLRLPADALRTVV >Sspon.02G0027050-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:97754863:97755907:-1 gene:Sspon.02G0027050-1A transcript:Sspon.02G0027050-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ASGRCRWRWMVLGHNNRRRPHQQRCIPQQHSTPRRRTSPHTTVRCSCQIWSTALLLTGHDCLGLLEWPRQGEPSAAEFDILERF >Sspon.01G0025710-4D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:88178278:88183787:-1 gene:Sspon.01G0025710-4D transcript:Sspon.01G0025710-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGARSCRERRQVVVIGQDPSDRRAIDLRDVFLQIYTASASIASPPRTGWQPASYHNVVRAKRSKTYEPTCIRKKPRDRAQRSRKHFLASSASTAELHVDWGGYRVPLGLPIDLDEAHRSDRVVTGLGTTSREEYKYLNITFHGYGHYTRGQDTEARKGKSSTPPRGRRHRGYKLRARSDRVEKNTLKAPSQHRDPPETEERREERKHLPLERALPTTSHGALQDYPPSHSQHLVTQKGEGQFVVVVKSASNGGKAAIYRPRSKLSGLGPSRRVANHGRSQPMSATAAASCPAAPTGRCGTSAARRVSSSCRVQRPSPDLTARLSEMAGGAEVRAVRHRLADEGVQDVIVSVTCDEELAHMHDEYDRLRATRPSATFRVFVTTTTHAGSSGGVVCRRRSAAGLPPLPLEMRRAQSEQALAVRAHQQQAKQAMRRVQSTQEFAGAIRLQPSFHHRCNQQCCSSYQRRNVCVPAPTAAQPVCALPYMSKKVAPPWMPAAKATERVFAIRV >Sspon.06G0009620-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:51316548:51321581:-1 gene:Sspon.06G0009620-1A transcript:Sspon.06G0009620-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSQINPIHTRTAPARGHRSQSPSFPSRHSRAPHGYTTPAPTLPAQPQTATQPLLPPILYHSENLALEGGAASGEKQRSCDRREGGQGAGSCRHCCWEGSSGERRAAFVVRLAVEGKLDGYKGRRRSASVPLSKEVFKITMDISNSSRCRHRRRHPKNPPSESSVLGELRDWAALPDDVLLNIFLRLGSCEIMWGAEAVCKAWRRVMVEEPELWRHIHITAVPEWSSIEIAVRDAVDRSAGLCESFSGPWDDESLLYLAERSPSLKMLHLHLSRVLDASYEVLVEAIKKLPLLEDLEISPPYELISASDRLFESVCKARPLLKNLKTSFTMPSNYSFGEACLEERLDGDIYRIPMMCELHSLELSNYIFSHSALTAILNNCPLLESFPITGFSADSMDAELQAKCARVKNLNLPFSYDEDEEETEEDEDEDLQITMDISSSSRRRHRKNPPSESSVLGELRDWAALPDNALLNIFLRLGSCEIMWGAEAVCKAWRRVMVEEPELWRHIHITAVPEWSSIEIAVRDAVDRSAGLCESFSGPWDDESLLYLAERSPSLKMLHLHLSRVLDASYEVLVEAIKKLPLLEDLEISPPYEHISASDRFFQSVCKARPLLKNLKISFTMPSDYSFGEACLEERYDGDIYRTPLMSQLRSLELSNYIFSRSALTAILDNCPLLESLHITGFCADSMDAELQAKCARVKKLNLPFSSDEDEEENEEDEDSEDEF >Sspon.06G0000480-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:2316213:2317727:1 gene:Sspon.06G0000480-1A transcript:Sspon.06G0000480-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHFGRSGPPDIRDTFSLLVLNISFRTTADDLFPLFERYGKVVDVFIPRDRRTGDSRGFAFVRYKYADEAQKAIDRLDGRNVDGRNIMVQFAKYGPNAEPIRKGRVKEGVEKSRDRSRSHSPRPR >Sspon.05G0028600-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:64902169:64903183:1 gene:Sspon.05G0028600-2C transcript:Sspon.05G0028600-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKPSTLLRSFSSRLSTRLAPSPAVAPWPPVRSAYDRWLATELDELRADPLAPCTNAAWLGRAFSLAVAAQRRLVASGTASGGIDWRTIDECVDDTAELLDACAGLRDRLDTLRGYVAATRTALHWLEGGLGHGGEGVAAARRAAAAFAECEAVERRCGAELAKCGSNLRRLGERALLRASRQQQVTTGAHCWFDEEAVVSGLASSGKAAAQWECALQEVQRHVREEYDRRRKEGVPCMAELDAAAAASRAVRCAVASGRGRCPETIVAEARRRCDELEDTVAAFEEKVGELHRELIAVRMLLLEWAQIAGGHE >Sspon.04G0020480-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:78011790:78013370:-1 gene:Sspon.04G0020480-3C transcript:Sspon.04G0020480-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GGRWRTGELAAWDDGAGAGVRLLHGGARARRRRRGEQVRPAGGLGAQGEEHPSLLLREPAHAHLPPLLDLLLHLLRLHLRRRAAGPHHPRQPQPHQGRHRQRRGGLRIWLHLLPSRHGRRLRPAGPALRLRLPHHAVGAHRVLHVAHRRRRGLHRRPLPHRLLPRDVRLLPVLDEHHVQQQDRRHRQRTRRGLGQHGRRRHAAHHAAGVRRHPQVRRHALHGVAARLLRPRAHARRHGRAGAHARAGPPRRQPQDAAEEGQRQQGQVLQGHVVRGHQLPDLDLRPPLRLLHGRRAHHRQRHRRVHVRPLRPRPPRRRHHRRLLRHGQHRGAPHGRHPLRHGRALLGHARSPLEHLDPPDRRRRLLPLARPRQHAPRLHRRHGALLLLRAGRLRRRLRRHPLRLAPLPRHHLRHDRRRRQLRRGAHAAALLHLLHLLHGEGAGVHGHHDHGVHAAGRVRALPAVGVHVLPGQRHRRRGELLRLRVERRREEQGTPQRQPQVCREQPIRARQAQRHPGRRRRHAGARIS >Sspon.01G0052300-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:21605990:21608828:1 gene:Sspon.01G0052300-1P transcript:Sspon.01G0052300-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTSSTSSSSLPQPPAAAAAAASPGAASPSEERSAGGGGGGGGRPPVPPYVKAAAGSLGGVMEACCLQPIDVVKTRLQLDRAGAYRGIAHCGATVARAEGVRALWKGLTPFATHLTLKYALRLGSNAVLQSAFKDPTTGKVSAHGRLASGFGAGVIEALLIVVKIRLQQQKGLSPDLLRYKGPIHCARTIVREEGIFGLWSGALPTVMRNGTNQAAMFSAKNTFDIVLWKKHEGDGKVLLPWQSMISGFLAGTAGPVCTGPFDVVKTRLMAQGRTGDIKYKGMVHAIRTIYGEEGLRALWKGLLPRLMRIPPGQAIMWAVADQVMGLYERTYLQPVHV >Sspon.07G0013520-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:54274413:54279034:-1 gene:Sspon.07G0013520-1P transcript:Sspon.07G0013520-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADVAAQDSTEQQLLYLKLAFLAGEPPACVLALAREAGGGFITPYVQKFILETCTGSNVERCQDYTYTTVIFKKIISEVELSSNVVIDELYEEFAQRMLYKAKDSLLNKTDHIYKEISFLSSTLTTRFIYVDNNISSKLVSVVARLSCSSNMLEGDTGYDIIKHSKIQWCSLWPSSLFLSEFILSYPKIFSTKCCFELGSGVGLVGICLNSVGASKVILTDGDPCTLRNMKENMELNNLCTEQEGSRVLKESNNKVECKYLSWEEVSESDLRGYQPDVVLGADIIYDPVCVPHLVRVLSMLLRRDRRQGETNGTSGYEFETEAPVAYIATVVRNPETFNCFATAAADTKLSVLNITSSAAPSKFLPYMLSYDRSSVQLLKITSLS >Sspon.06G0014790-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6D:61487749:61488542:1 gene:Sspon.06G0014790-2D transcript:Sspon.06G0014790-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATIAEQVSVLEDLRRAQRANGPAAVLAIGTATPANCVLQDQFPGWYFRVTGSDHLTKLKAKMKRICDKSGIKKRYFHHTEETISRHPEFLDRALPSLGPRLRTTADAVTELAASAAATAIAEWGRPASDITHLVVATNSGADEPGADLRLAMLLGLRPTVRRTLLYLHGCSAGLVAMRVAKDIAENNRGARVLVVCAHAVLLTFGAPDKVRLDALVTTALFADGAGAVV >Sspon.05G0009760-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:30547433:30549268:1 gene:Sspon.05G0009760-4D transcript:Sspon.05G0009760-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vacuolar iron transporter 1 [Source:Projected from Arabidopsis thaliana (AT2G01770) UniProtKB/Swiss-Prot;Acc:Q9ZUA5] MRFPLHDSTGVGQSMDGGRATRVQPMLEKRPSHRERHFTAGEVVRDVIMGVSDGLTVPFALAAGLSGASAPSSLVLTAGLAEVAAGAISMGLGGYLAAQSEADHYKREMKREQEEIITVPDTEAAEIGEIMSQYGLEPHEYGPVIDGLRRNPQAWLDFMMRFELGLEKPDPKRALQSAFTIALSYVIGGLVPLLPYMFVSTAQNAMFISAGVTLVALLFFGYIKGRFTGNRPFISAVQTAIIGALASAAAYGMAKAVQAR >Sspon.02G0006530-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:19759311:19764500:-1 gene:Sspon.02G0006530-1A transcript:Sspon.02G0006530-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGNGKVYATVVLIRLIYAGMHILTKAAFNEGTSTTVFVFYRHAVATIFLLPFAFLEIRKRPAPPLTFRLSVKIFAHGFYGMAGTINLYCIGLKYASATSSSAIFNIVPVVAFILAVMFRMETLKLRSVHGMAKASGILLCVAGVIVLALYQGPQLKSMNHHQLLQHHASAAAAAQVHHSNREWALGIFLMTTSVVIWSLWTVKQGPLLLEYPSKLLNTTLQCVFASVQSLAIALVLERDFSRWKLGGPVSLASVLFTGIVVAAISYYLQIWVIEKKGPVFLSMSMPLSLVFTMAIASFLLGEDVSLGSIIGSVLLVAGLYNVLWGKSREDKQQAAAAAGETTDSGSDNGNVDFVWAIGRMETLKLRSVHGMAKASGILLCVAGVIVLALYQGPQLKSMNHHQLLKHHASAAAAAAQVHHSNREWALGIFLMTTSVVIWSLWTVKQGPLLLEYPSKLLNTTLQCVFASVQSLAIALVLERDFSRWKLAGPVSLASVLFTGIVVAAISYYLQIWVIEKKGPVFLSMSMPLSLVFTMAIASFLLGEDVSLGSIIGSVLLVAGLYNVLWGKSREDKQQAAAAAGETTDSGSDNGNVDVEKNAAAVQRADGEEEKQDHGTTRD >Sspon.05G0022080-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:2133493:2134500:-1 gene:Sspon.05G0022080-1B transcript:Sspon.05G0022080-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLSSSRSTSTEAKKIVAPAFHLMFIVAAAVAAAPVALPGCPETCGNITVPYPFGTHRGCFREGFNLTCDETPGRPPRLLVGDGVEVVGISLADGTVRIHTKMLGVSLPGNSSSIRFNGSWSTGVMDTGRLFVSTTHNRFVAMGCNFLATLVVHGDDDPVSGKGNYVSVCAALCADGSELGDTSCSGVGCCQTPIAASAMELSYGVRFSELAVQSSASSASSLGALFIAEQEWFVRNATVLQLDYSGEPQRTIDTWVVPTVLEWSLLYSSRDSDLFFGSDDPNNSIGIRCISLNSTMVEDGVAGSNVGRARCNCSKGFEGNPYIANGCQGTPCC >Sspon.08G0029030-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8D:20080356:20084187:1 gene:Sspon.08G0029030-1D transcript:Sspon.08G0029030-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding FRVQRHVQRVIGAVGRGTVSRGPTDGTRDVLQTGCARPRPGSRVPSTPRERRGSGEGAAGTRQGEADGDRIRQTGKDCSDTVRVRRVSDEPYDFSMCLTIYSSSNSVCLQMDGELPRANLQVPFDLAAPVKADWDTGSYYALRSSVLTGLFDVQGSKELFTAGTARNVADDLHVPAIVATLQSTSTFFEPYNTATIVSINLKELTKFIIDVVQGGSVQVFHFSGHYQKEAKAFKAESIHL >Sspon.08G0006930-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:21515968:21532045:1 gene:Sspon.08G0006930-1A transcript:Sspon.08G0006930-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MELCNWHGVTCSAQRLPLRVIALDLASEGITGSLSPCIGNFSSLAKLQLSNNSFHGGIPSELGLLSRLSNLNLSMNSLEGTIPSELSLCTQLQFLGLWNNSLHGEIPPSLSQCMHLQEINLSNNHLQGSIPSAFGTLPELRMLNLASNRLSGNIPSSLGTSLSLRYVDLGRNALTGEIPELLVSSSTIQVLRLMSNNLSGELPKALFNTSSLIAICLQKNSFSGSIPPITANSPPVEHLHLGENYLSGTIHPSLGNLSSLHTLRIQYNNLVGSIPESLGYISTLEILNLNVNNLSGPFPQSLFNMSSLTDLTVANNSLVGRLPSNIGYTLPNIQGLILSTNKAMASLGAMSPGGVAWLLCAIIFILFCCPLLAVSDENENDRQALLCFKSQLTDPAGVLLSWSNISMGFCKLHGVTCSTGSPRRVIALDLASQGITGTIPPCIANLSSLARLQLSNNSLHGGIPPEFGLLNKLSNLNLSINYLQGIIPSAFGIIPELRILDLASNRLSGEIPPSLGSTLSLRYVDLGRNALTGRIPERLASSSTIQVLRLMSNSLSGELPKALFNTSSLIAICLQKNSFSGSIPPITANSPPVKHLHLGENYLSGTIHPSLGNLSSLVTLRIQYNNLAGGIPESLGHISTLQILNLNINNLSGPFPKSLFNMSSLTDLAVANNSLVGRLPSNIGYTLPNIQGLILSTNKFSGPIPSSLLTAYQLQWLYLADNRLTGLMPYFGSLPNLEAVDVAYNMLEAGDWEFVSSLSNCSKLTQLMLDGNNLQGNLPSSIGNLSSNLQLLWLRNNRISGPIPPEIGNLRSLSILFVDYNMLTGSIPPTIGNLHDLVVLAFAQNRLSGSIPDIIGNLVHLTDIKLDRNNLSGRIPASIGSCTQLQVLNLAYNSLNGTIPSKIFKISSLSEELDLSHNYLTGGIPEEVGNLINLKRLRISNNIFPRCIPSELGLTSRLSNLNLSMNSLEGTIPSELSLCTQLQFLGLWNNSLHGEIPPSLSQCMHLQEINLSNNHLQGSIPSAFGTLPELRMLNLASNRLSGNIPSSLGTSLSLRYVDLGRNALTGEIPELLVSSSTIQVLRLMSNNLSGELPKALFNTSSLIAICLQKNSFSGSIPPITANSPPVEHLHLGENYLSGTIHPSLGNLSSLHTLRIQYNNLVGSIPESLGYISTLEILNLNVNNLSGPFPQSLFNMSSLTDLTVANNSLVGRLPSNIGYTLPNIQGLILSTNKAMASLGAMSPGGVAWLLCAIIFILFCCPLLAVSDENENDRQALLCFKSQLTDPAGVLLSWSNISMGFCKLHGVTCSTGSPRRVIALDLASQGITGTIPPCIANLSSLARLQLSNNSLHGGIPPEFGLLNKLSNLNLSINYLQGIIPSAFGIIPELRILDLASNRLSGEIPPSLGSTLSLRYVDLGRNALTGRIPERLASSSTIQVLRLMSNSLSGELPKALFNTSSLIAICLQKNSFSGSIPPITANSPPVKHLHLGENYLSGTIHPSLGNLSSLVTLRIQYNNLAGGIPESLGHISTLQILNLNINNLSGPFPKSLFNMSSLTDLAVANNSLVGRLPSNIGYTLPNIQGLILSTNKFSGPIPSSLLTAYQLQWLYLADNRLTGLMPYFGSLPNLEAVDVAYNMLEAGDWEFVSSLSNCSKLTQLMLDGNNLQGNLPSSIGNLSSNLQLLWLRNNRISGPIPPEIGNLRSLSILFVDYNMLTGSIPPTIGNLHDLVVLAFAQNRLSGSIPDIIGNLVHLTDIKLDRNNLSGRIPASIGSCTQLQVLNLAYNSLNGTIPSKIFKISSLSEELDLSHNYLTGGIPEEVGNLINLKRLRISNNIVTRNVHAEKQYCTGQIHGSHVYYCNYGIRLNCSWKSEVSVARAGTADGSMKRNSTK >Sspon.02G0045870-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:86957567:86961490:-1 gene:Sspon.02G0045870-2D transcript:Sspon.02G0045870-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRASPNSTVQEKANAGVLTNFEVLDFLRSRGAKTDPMGCLGAVTASECKVYEYLLKTPACNQTRESIFEFVKRSEGFRLADADKLNVINWRPSSAADAYAMIEECGRRFSRDERGDAHDEDERVQEFLEIVKEALPLPPPKAEAVQE >Sspon.03G0014020-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:43302916:43307910:-1 gene:Sspon.03G0014020-1A transcript:Sspon.03G0014020-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPAVTACATPAAARPPSTRFRPAARRPLPRARHRPPPPAAPPAAASAPPPPPRIVAIHLGLDPMEPPLRRGRPGRELRIRPPVPAGGGGGERGFAEAAKLKRDIIEATGNDAVAHVMAELKVGWWVGYAKDTDDSIGRIVRISPGVGRYVAKSYSPRQLVTASSGTPLFEIFLVREDDETYTMKVVHLRPTKGTSGASSISSTITESPAKVENESSSESSAISDSITQEANTDTPVKGNEDAEEKEQDVGSSKDSSVEGLKSVLNFFKSRIPEFKVQVINVDVSEETELAANSSEELVQDDVKSTPESSLEEPTTEELEQEEDVPEEDMDEESKSTEVKLFISGVVHNKEDAGAKSYVRVPAEINNMEKDSFELHIPGKDSDRDLSETKAAKQKVADMAAKLASELMPSDVAKALWGTTKSSSKINKEVQELLRLTLSKARVKLTENTIFNRIIMDTNSTDPFNGKVSPVKLMIISGLYVGAFSPYGPEIVQLRRKFGHWNSTDDVEFFEYVEAVKLTGDLSVPAGQITFRAKIGKGKRLENRGAYPEEFGVIASYKGQGRIAQPGFKNPRWVDGELLVLSGKSTIPHLGGAELGFLYSVPEQSFLVLFDRLNLPE >Sspon.07G0003850-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:8538152:8541287:-1 gene:Sspon.07G0003850-1P transcript:Sspon.07G0003850-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVRNTVAWNAMVTCYVKNGDITLARKLFDAMPSRDVSSWNTMLTGYCHGQLMEEARNLFERMPERNLVSWTVMISGYVLIEQHGRAWDMFRTMLCEGMTPEQPNLVSVLSAVRHLGKPGILESIHGLVHKTGFERDVVVGTAILNVYTKDVNMLDTAVKFFEGMAVRNEYTWSTMIAALSQAGRIDDAFAVYQRDPLKSVPSRTAMLTGLARYGRIIDAKILFDQIPEPNVVSWNAMITGYMQNEMVDEAEELFNRMPVRNTISWAGMIAGYAHNGRSEQALVSLQALHRNGMLPSLSSLTSSFFACSNIEALETGKQVHSLAVKVGCQFNSYVCNALITLYAKCKNIELVRQIFDRMTVKDTVSYNSFMTALVQNNLFDEARDVFDNMPSRDVVSWTTIISAYTQADQGNEAVEIFRSMLHERELPNPPILTILLGLGGNLGAPKLGQQIHTIAMKLAMDSGLVVANALVSMYFKCGSADSLKVFDSMEERDIFTWNTVITGYAQHGLGRESVRMYQLMVSSGVLPNEVTFVGLLHACSHSGLVDEGRQFFKSMSSDYGLVPLLEHYACMVDLLGRAGDVQGAEQFIYDMPIQPDSVIWSALLGACKIHKNVEIGRRAAEKLFSIEPSNAGNYVMLSNIYSSQGMWDEVAKVRKLMKEQGYVPDTDFVLHDIDEEQKESSLLYHSEKLAVAYGLLVTPKGMPIQIMKNLRICEMLCSSGPLMLSLASPASASDGVAT >Sspon.03G0004940-1P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3A:36460594:36462984:1 gene:Sspon.03G0004940-1P transcript:Sspon.03G0004940-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNHNFSDIILRESAWSGGGGGASLLPPEVVGNVVEGGSGMTVLERLVLDEALAAAILELQGSIVIQQAPACCAGKAVAVAEPAAGGGVVGEAAVAFPSMGMTTPTPAYADVDADVLQRQQHHHRHQGAMGMPPDYDLTPATPSVAVTAVPAAFTATAAVDSGGSNSLVVGRSPPVFSASNETPATAAAVTATTNLSCEQGGGGGASGGKRQRRPSRKRKAAAERSPVADAAAPETTLCSLLASSTTTAGEGGIQIAFSTSAAKRSAKPSSLSSSVSSSISFDGRNNPAGNGGGVDDPMYEPDTEALAQVKEMIYRAAAMRPVSLGSEDAGERPRRRNVRISSDPQTVAARQRRERISERLRVLQKLVPGGAKMDTASMLDEAANYLRFLKSQVRELQTLDRRNYGTTANNANDATATMAAAAGLLMSYNNGNGAMPFAFPAAGETLRGAGGLSLLAFPEASPSSSIGGKASRQSKSNDDKAIANVILMASPRRAGRRGRRRSRCRHRCRCVEPHRSGPAGVSFLDRPALGKLQTERHTDTHTASKGL >Sspon.01G0024030-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1A:86182030:86182200:-1 gene:Sspon.01G0024030-1A transcript:Sspon.01G0024030-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VWGTVPMAVASPRIGGCSNKHRAGGGRIPIADGGSEGSQMRNADRYKIPIGGGGYS >Sspon.05G0013610-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:41307014:41323592:-1 gene:Sspon.05G0013610-3C transcript:Sspon.05G0013610-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:E3 ubiquitin-protein ligase UPL3 [Source:Projected from Arabidopsis thaliana (AT4G38600) UniProtKB/Swiss-Prot;Acc:Q6WWW4] MDSSGDNNSNPNPPPRRRGRSSNADKGKEQQQPEPSHSARVREAERLLGLGFEGIEDDDDAGFGAGAIPHSLTSASTALQGLLRKLGAGLDDILPSSALSAAAAAAAASSSSASGQLSGRLKKILAGLRADGEDGRQVEALTQLCEMLSIGTEESLGAFSVDSFVPVLVGLLNHESNPDIMLLAARALTHLCDVLPSSCSAVVHYGAVPCFCARLLTIEYMDLAEQSLQALKKISLEHPTACLRAGALMAVLSYLDFFSTGVQVLEHASVCLTRSGLVAGTTVSPALTRPADQMNEIVKLADELLPPLPVGTISLPMYSDVHMKGSSVKKSTSSKQSEHGSTGNELSGREKLLRDQPELLQQFGMDLLPTMTQVYGSSVSGPIRHKCLSVIGKLMYFSSAEMIQSLLSTTNISSFLAGILAWKDPQVLIPALQIAEVLMEKLPEIFVKMFVREGVVHAVESLICPEFTGQVTPQVSQLDSHVDSITTSQNRRNRRRNNAVNTENSLPDGSKGSHSVIANSPPSTAEVPNNSLRALVSNHAKSFKDKYFPSEPGSSDIAVTDDLLKLRALCAKLNTTADTIKTKAKGKSKAVVGNSFDVFGNIEEQLDGIIAEMLSELSKGDGVSTFEFIGSGVVTALLTYLSCGTFGREKVSEANIPNLRHQAVRRYKAFISHALPNDKNGNRTPMAFLVHKLQSALSSLERFPVVLSHSGRAPTLGGSRLTTGLGALSQPFKLRLCRAPGEKSLKDYSSNIVLIDPLASLAAVEDFLWPRVQRTEPVSKPPVSSANNSESGAASSTAPSIPPGTQSGRRASLRSQSSAATSGAVKKDYQEGSINTSKGKGKAVLKSSLDESKGPHTRNAARRKAASEKDVELKPSHGHSTSEDEDLDASPVEIDDALMLDDDDEDVLRGSLPSCVPERVHDVKLGDAEDSSVASLAANDNQAQPSSGSSTKNTSGRGLDAAEFRSPSTFGSRGAMSFAAAAMAGLTSVGSRGIRGSRDRNGLPLGARTTEHHNKLIFTAGGKQLNKHLTVYQAVQRQVVHDEDDEDRLGGSDLPDDGNHFWGDVFTITYQKADNTAEKGSVGGSASVSKPSKSDSCRTLSEKSCTSLLDSILQGELPCDLEKSNQTYNILSLLRVLEGLNQLSPRLKLQATCDDFAEGKVATLDGLYDVGAKVPSEEFVNSKMTPKLARQIQDVLALCSGSLPSWCYQLTKACPFLFPFETRRQYFYSTAFGLSRALHRLQQQPGDNTNTAFEREVRVGRLQRQKVRVSRNRILDSAAKVMEMFSNQKAVLEVEYFGEVGTGLGPTLEFYTLLSRELQRVDLGLWRSHSPDDSGMQIDGNADDLTDEKRESESLVESRNIVKAPLGLFPQPWPPSAAASEGSKFFKVVEYFRLVGRTMAKALQDGRLLDLPLSTAFYKLLLGQELDLYDILSFDTEFGKTLQELQILVARKQFLESCSSESQKIEELCFRGAPIEDLCLDFTLPGYPDYVLKEGGENAVVNIYNLEEYISLVVDATVKTGIMRQVEALKAGFNQFLEIMAEFTPEQQHAFCQFVTGAPRLPPGGLAALNPKLTIVRKHSSVANNTSNPTGATESADDDLPSVMTCANYLKLPPYSTKAIMLRNCFMRSTKAKGHLIFREAQH >Sspon.03G0002880-7P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:15142381:15144374:1 gene:Sspon.03G0002880-7P transcript:Sspon.03G0002880-7P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGARHAGLQRGQAAAAVFSACPFLAFAVLLALPGLAAADTHYYTFNVQMTNVTRLCVTKSIPTVNGQFPGPKLVVREGDRLVVKVHNHINYNVSFHWHGVRQLRNGWADGPAYITQCPIQGGQSYVYDFTITGQRGTLWWHAHFSWLRVHLYGPLVILPKRGEGYPFPRPYKEVPILFGEWFNADTEAVINQALQTGAGPNVSDAYTFNGLPGPTYNCSSKDTYKLKVKPGRTYMLRLINSALNDELFFGIANHTLTVVEADANYVKPFTVNTLVISPGQTMNVLLTTPPNPASPAYAMAIAPYTNTQGTFDNTTAAAVLEYAPTTLPPLPLPLPALPLYNDTGAVANFSRNFRSLNSARYPASVPAAVDRHLLFTVGLGTDPCPSNQTCQGPNGTKFAASINNNSFFQPRTALLEAHYRRRYAGVLLADFPTTPPHPFNYTGTPPNNTFVQHGTRVVPLGFNTSVELVLQGTSIQGAESHPLHLHGYDFFVVGQGFGNFDPVNDPP >Sspon.02G0026160-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:90857651:90862103:-1 gene:Sspon.02G0026160-1A transcript:Sspon.02G0026160-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADTVQYRLERMTDELDDLERRGLFTRAELSDVVRKRRDFEYRLRRHSPLRQDFLDYIAYELRLDSLRNLRKRAIIRAADDEDDARSSDDEGGRRKRKRKKDKSKKKWKKSVSDVAGVLRVLDIYRMATVRFKGDLDLWFRYLEFCRDKRHGRMKQVLAQAIRFHPKVPGLWIYAAAWEFDQNLNVAAARALMQSGLRSCPQSEDMWIEYLRMELTYLNKLKARKVALGEDVKTLQKNDNDAGQWKEENKELFMPLNEQGEDPKEPGLAGDALEEKEDLFWRQGLLIIQTIYHGAVEALPSSLSLRKKFLEILNSVDLAHSDELKLEVLDDLKRDFSHSEDYWDWFARLQLSNSNSSSNLNKKDALSNKLNRSIQVYDEAVRRLPNSKLYWLYANFWMDVLYPDREDSIALFQDSEFDASEFTSSILKVYENAESCGCLTEDLACQYISLYLKLERLEEAKTLAEKLCDGPLSNAAKLWSLRASMEINLIAAAPGSSPLSKENLSSLFDLFSTVLSKLSVTEAEGLWHMAMKLFFYDKVWFEKLVKIAMLSLSLAGGSDSGASVSSAIVGWYLQRDGMKHARKMYKRLLALPRPSLKFMQYCIELEANLASLGDHGALTNARRLYDSAIDLYPQESEVWRNYYNLELKMGTSESANAVYSRARKVLGDSTALTAARS >Sspon.01G0034180-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:1126218:1127296:1 gene:Sspon.01G0034180-1B transcript:Sspon.01G0034180-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVYSIIDSANIEQRVRALAVDEAPPTEHSFAERAENYYNKRPQLLSLLSDLHRRYLCLADHYSHKHASSLPAPAVSDCGSSDVDDRCSDADSLLSYQHPPGAGAELVVAELVAAWVERDVLADEASRRAAESARKIELQGSLVEVLESERLVLLGENARLGFRASAAEDEAAAAVAELGYARRRAAEMARLVVKLREDHRVCMLGRKIEALQAQVYALELRNRECYEAMAAWEAERRVAGAEIQRLRAENRRLADEAVMARRKRKGGGGWWARVRMAAEWTPCAPAATVTVRKVGEQVKGKDDGKYYYGGGCFCV >Sspon.05G0017840-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:75205653:75210094:-1 gene:Sspon.05G0017840-1A transcript:Sspon.05G0017840-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVVVTYGRRYMIWVDYYRGMILMDLSSLHLQSSETYPPSPPPPRLQYMPLLVNRVGDPEDIEWGGRGCPKASHRVCVARYCIKFVSIDSQHWSNFGVGNHHKPGHCDRGEPKWVIEVDLRKKVLLAATA >Sspon.07G0013830-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7B:55796221:55805792:-1 gene:Sspon.07G0013830-2B transcript:Sspon.07G0013830-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPLPPLPPPASPPMAAEDEARDWAEMPSDALAAVFAKLDVAEILHGAGLVCRAWRRLAASDPTLWRRVDMTYQEDQLQAEEVEAMARAAVDRSAGTMEAFGAETFVNDELLRYISQRSGKFYQLRFNFSFFVVKNDGWTHRFTHSACRAPSLKSLHLCLCHHVTNQGFAEAINGFRQLEELDVTFCSLNGSVCETAGRACPQLKCFRLNERWSILQSEFAPYEGMDDDTEALGIANTMPGLQELQLIGNQLTNDGLMAILDRCPRLESLDIRQCYNIQMDDALKSKCARIRDLKLPHDSISDFRYRAYIVSIANSGSDFELDMYDDLLDVVTEDDDADFDDMDDFDDTVSDGGMYDDDFDI >Sspon.02G0058970-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2D:86114699:86115932:1 gene:Sspon.02G0058970-1D transcript:Sspon.02G0058970-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding QELVKLVTQVCGGNYSIPKDNPYYDDSELEPEIWALGLRNPWRCSFDSERPSYFYCGDVGQDEYEEVDLISKGGNYGWRALEGPLVYHPQWAPGGNTSLSSINAIPPIMGYSHSDVNKNIGSASIMGGYVYRGSTDPCLYGSKGVVRPSLCSYTCPTERPETGNGAAPPGSSSKASMTGLNNQMGMLLLSVIIYKWSSGAYVHSSAAPCSSSSDGRGWRKRP >Sspon.04G0013660-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:59580572:59584133:-1 gene:Sspon.04G0013660-2B transcript:Sspon.04G0013660-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGSQNGGPRPRPVKAETIHGLARAGDLAGVQRKLRENPALLNDKNPVMCQTPLHVAAGYNNTEIVKFLLNQQGAETVDLEAKNMYGETPLHMAVKNSSCGSTNLLLEHGAHIEAKANNGMTPLHLAVWHALQAGDCSTVSVLLSYNADCFAKDDEGKMPLNHIPGGAGSEKLLKLLTHHMEEQRKKKALMSCLEGKAMSEFEEAISQIVGLQELKMQLRRWARGMLFDEKRRAMGLGIARRRAPHMAFLGNPGTGKTMVARILGKLLHMVGILPTDKVTEVQRTDLVGEFVGHTGPKTRRKIQDAEGGILFVDEAYRLIPMQKSDDKDYGLEALEEIMSVMDSGKIVVIFAGYYEPMKRVIASNDGFCRRVTKFFYFDDFSTTELAEILHMKMKSPSESSLLYGFKLHPSCSIEVIGELIARETTEERRKQMNGGLVDTLLINARENLDSRLDFNCDDTDTMITITLEDLEAGLRQISRQWQLQ >Sspon.01G0046820-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1B:100317833:100318571:1 gene:Sspon.01G0046820-1B transcript:Sspon.01G0046820-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding PQSWVRVARIHLVAAAKRWYNSVESQIQDCNWKAFTGLVLNHFCQEHHELLLRQLFQICQTGSVAEYIEHFSAIVDQLGAYNRTTNPMFYTMRFVDGLKDHIRAAVALHYPPNWDTACVLAQLQEDMTVPRKPEVRKWDVAAGANPFARTALPLPPLPPHIDKPPASPEQRPAADAGRSVSADEHWAALRAQRRAQGLCFRCGAKWSHDHRCPPSVQLHVLEEMLDLFSMEDSDPTATAMVEPEQ >Sspon.03G0009980-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:27025828:27026736:1 gene:Sspon.03G0009980-1A transcript:Sspon.03G0009980-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSEAGKQGHGVPALEAQASAEEHGVPALEAQDSTHDHGVPALDEAPTPADTDKILAAVAQLARRRRLEARRLGRTAPAGAEGEAPKRARPVSGTSADSSRESAKVAPEPQEGDSAVVAPGPGWWPKSVSHGAMSVIGRRREMEDAFAVAAPFLMAAAAGKEESGEGEEKDGGGEAEAEFFAVYDGHGGSRVADACRERLHVVLAEEMARLHLHLGEKGADDGEDGVRWREAMEACFARVDGEVVVVEREANKNNASDTMGCGSTAVVVVVGPRHIVVANCGDSRAVLSRGGVPVPLSSDHK >Sspon.01G0032640-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:109963518:109974845:-1 gene:Sspon.01G0032640-1A transcript:Sspon.01G0032640-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVWRRQRTRPRWKKREREREERKVPPAYLSSAESRSVEVAEVNEEERKLVFSEKDASWSTYSSQIKIGDIYDGIVGSVFPYGAFVHLRFPDGLYHLTGLVHISEVSWDLVQDVQDFLNEGDAVKVIVVNIDMAKSRIALSIRQLEDDPLLETLDKVIPLEADQSPDRMMSPSEVELLPGLDGICNELLQEDGITDVQFGRQASEKRVVSQDLELWLSNAPAKDNKFTLLARAGRQVQEVYLTTSLDQEGIKKAVQRVLGRVP >Sspon.04G0033960-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:67986989:67992101:-1 gene:Sspon.04G0033960-1C transcript:Sspon.04G0033960-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFQYRAIDERRSRSPPPPAAPSTPGSGSADSHRDDGPVERVGNSAAAAVEADELRRQAEKARIRERILGEEAEHWELEREVRSELREEMLHRSWPALGRSARGSGTPAALSTGTITAANSSLPVVALELFLFAHLEETLMLVVQAHPKSNTLATRPTKRKSPDRATGALLVPSSKKQKSTLTCMVCCITANSEKAMQDHLNGKAHKRKVVTLPELPKLVPETEQERGLEAREEEAMAMEILGDYKPTKFMMATIAGELNEVTQMDGYLLCELCNVPTTDRVTMMCHLQGSKHISNGQKKHQPSSKPSSEAEGTVNATTSAIGSANPKKLALEDFSVPLPHTVRRLEGFLLCELCDVKAPSMHGMRQHLSGKKHKNKATASSDASVNVSTGGKEAAKAQPIDTDTVVISDMAAKVEAPLEKSLQPKLGDDSELLETTVAPPKEDVATGDSAKSAGTEMMKSNATCAGAQLNNVPDSDSLTMEVDSVMHPLSRVDGFLVCLLCNSKSQSETIMQSHLAGKKHKRKMTLAAQGNDLSVLATGADEDSISKSTKANVEAGLVPLAVPQANTTADKEEESAPSLATPQTKNTVAMALAPMAVDRPAEAQLAICIVEPAKDCEITEEAEGEHAALALGKPVKIQVEGKVFTVMRQENGSLSCEVCGVHGCDKDSMILHLYTSTHWGKASLAEKKEKEQACMAAVAMVDKGGVVGSMASKGSGGMRSGSGSNLQNTEKKGLGFGKSESMEGEGKKASDLDAKRELGKGAE >Sspon.03G0002770-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:6823279:6824838:1 gene:Sspon.03G0002770-1A transcript:Sspon.03G0002770-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAPELWWLWATLAVSTLICYLTHQHRRRRWCSVRRPPGPRPLPLIGNLLDLRRAPGNLHHTLARLARAHGAPVMCLDLGLVPAVVVSSRDAAREAFAAHDRRIAARPVRDSKRALGLCDRSVLSLPSSSPLWRHLRGVMAAHVLSPRSLAASRAARERKVGDMLRYLRARAGTVVDVKEAVYGGVANLVSTAMFSIDVVDVGAAADSPPLALGLQELLEGLMVCMGKPNVSDFFPFLRALDLQGCRRRVAVQLAKVLQILDEITERRLAEASSSSTSRSNKGGDKHGDFLDVLLELESTGKITRDNLTLILFDIFTAGSDTMALTVVWAMAELLRNPGVMARLRAEIRDALGGKDAVEEADAAGLPYLQAVVREAMRLHPAAPVLLPHKAVEDGVEIGGYAVPRGCTVIFNSWAIMRDPAAWERPDEFLPERFLGRELDFRGKQLEFVPFGSGRRLCPGVPMAERVVPLVLASLVHAFEWQLPAGMSADQVDVSDKFTNTSVLAFPPIKAVPLPLIIT >Sspon.02G0008690-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:18689445:18702099:1 gene:Sspon.02G0008690-4D transcript:Sspon.02G0008690-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Enoyl-CoA hydratase 2, peroxisomal [Source:Projected from Arabidopsis thaliana (AT1G76150) UniProtKB/Swiss-Prot;Acc:Q8VYI3] MERPNGCSEWLMHFSLGWCRDVALYALGVGACNADAADEKELQLVYHRDGQSSIKVLKMSWWGYDPALLLHGQQYIEIYRPIPSRANVANKIKIVGLHDRGKAAILEVETLTCLEGSGEVLCMNRSTIYLRGAGGFSNSSQPFSYATYPSNEALLCGLSGYFHPLHSDPIFAQAAGFTRPIIPGLSTLGFAVRAIMRSFCNMEPTAVKGISCRFLHHVYPGETLVTEMWLEGQRVYYRTKVKEHGRAVLSGYVLLQNVPSPLQNRSGVKQEATKQ >Sspon.07G0000170-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:534559:559994:1 gene:Sspon.07G0000170-1A transcript:Sspon.07G0000170-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQNSSRLHRLLTLLDTGSTQATRFAAARQIGEIAKSHPQELNSLLKKVSQYTRSKNWDTRVAAAHAIGAIAENVKHTSLKDLYASVQAEKHASGFSDGSDDADSALPQTDSAATSDLAFGSFDIGRVLEFGSPLLASGGQEYDIADNGKNPAERLARQKQNLRRRLGLDVCEQFMDVSDVIKDEDLLAQKNYWGSHVQNNGFHSFNSGRNIQQLVSTMVPRYPKHSNFRSRRLSARELNMLKRKAKSNAKDHTKAVSEDDEVTLKGSVPSNGASSEQADAQNDVFDTAVDEDNLEYSENGRWPFQQFVDQLIHDMFDPIWEVRHGSIMALREILTHHGACAGVYFPDLSLPSADLDGKTNFDSLKREHGIDLNEDVRVEHLEPALKRHKKEPNCSESVYMDYGKELVDSDYPKTEGDPSNVPDVLTGEPSSTHVKVEPELGVDDSTDPSKGDSTCKSLQEKLNSISHPSSHIHAPENSKFMKLLKLAKYSYMKNWEFLQDCAIRFLCVLSLDRFGDYVSDQVVAPVRETCAQALGAVLKYMHPSLVCHTFKILLEMQRRQEWEVRHGSLLGIKYLVAVRQEMLKDLLDYIIHACKAGLEDPDDDVRAVAAEALIPAADSLVRLNDQILRPIVMLLWDILLDLDDLSPSTSSVMNLLAEIYSRPEMVPKMLGTAASGEKGEFDLNEATQIAEQEDRLTSIENPYGLATLTPRLWPFMRHSITSVRRSAIRTLEKLLEVGNTRSSAGTTPSKLWPTSILGDALQVVFQNLLLESNDDILQSSERAWKLLLQCPEKDLEYAARLYFSNWVQLATTPFGSALDSTKMFLPVALPRGNRSRAAAKIRSARLDHEYTRMISFGSTGESTSHEKHLDVPSSVSKIIVGADPDKSVTHTRVLTSMALGLFASKLPVSSWQVVLSPLANDLMSLSGVQRQVASMIIVSWFKDLRGRDPVSVGTLLAFLSSLKEWLLDLLTCSDPALPTKDSVLPYSELSRTYTKMRNEANNLLHSIDSCAAFKDYISSLNLNVDVLSVDDAINFASKLLLPSESDLPSESEKIFLNNIESAKQGLLSTSGYLKCVQNNLHVTVSSLVASAVVWMSCLPSKLNPVILPLMAAIKREQEEVLQDKAADALAELIFSCVGRKPGPNDKLTKNLCTLTCTDASETPQAAIINSMQVIEDQNLLSIGKRFSSHRSRGHTTSGGDERSKMEGFISRRGSELAFKHLCEKFGPSLFEKLPKLWDCLTEFLKPVKTEDGIPKDDASIAQLGRSYEDKDPQSLINNIQVVRSISPHLAEPLRPQLLNLLPCILGCVRHPHVAVRLAAARCITSMAKSLTDDVMVLVIENVIPMLSDLSSVCARQGAGILLSLLVQGLAVELVPYAPFLVVPLLKCMSDPDGSVRQTVTHSFAALVPLLPLSRGASLPGGLSERLSSSAEDVLFLEQLLDSTQIDDFKLNIDLSVELRRYQQEGINWLAFLRRFKLHGILCDDMGLGKTLQASAIVASDIAEARARNDDKSLTSLIICPSTLVAHWEYEIEKYIDTSILKPLQYVGSSQDRVTLRSQFDKVNVIITSYDIIRKDIDFLGNIPWNYCVLDEGHIIKNSRSKITSAVKQLKAQHRLILSGTPIQNNVLELWSLFDFLMPGFLGTEKQFQATYGKPLVAAKDSKCSAKDAEAGILAMEALHKQVMPFLLRRTKDEVLSDLPEKIIQDRYCDLSLLQLKLYDKFSSSNAKEEISTIVKANESQESAPQPKATRHVFQALQYLLKLCSHPLLVTGESPPNHLVDLLKEIGVGSGSELHELHHSPKLVALQEILQECGIGSEISSPDASTAVGQHRVLIFAQHKAFLDIIEKDLFQSHMRSVTYLRLDGSVDPEKRFEIVKAFNSDPTIDVLLLTTHVGGLGLNLTSADTLVFMEHDWNPMKDLQAMDRAHRLGQRKVVNVHRLIMRGTLEEKVMSLQRFKVSVANAVINAENSSLKTMNTDQLLDLFTSTPASRKASVLPRGSSDEQSKDSKRKSGGKGLKSILNGLDELWDQSQYADEYDLNQFLAKLNG >Sspon.03G0019100-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:59260958:59263551:-1 gene:Sspon.03G0019100-1A transcript:Sspon.03G0019100-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding NCLDEVDGIYGTSRDSKTWSTGGIEGVHRFLGRTWRLIVGPQLPDGSYNDGTTTTEDEPTLDQLRVLHKCIARVTEEIQETRFNTAISAMMEFVNAAYKWETQPKTVIESFVLLLSPFAPHLAEELWFRLGHPQSLAYEQFPEAKSEYLEESKLVLPVQINGKTRGTILVDKACSEDDVFQIAASDEKLSKYFDGKGIRKRIYVPGRILNVILDQQKART >Sspon.04G0016070-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:42642244:42645229:1 gene:Sspon.04G0016070-2B transcript:Sspon.04G0016070-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:BUBR1 [Source:Projected from Arabidopsis thaliana (AT2G33560) UniProtKB/TrEMBL;Acc:A0A178VU51] MAAAATAKATEEMVAALDEETLALMGISSAATAAPVAVGAEWETFKENVRPLKRGRDVSKLNHALKAHADPVQRAALLEARKKMIEAIDEYQGEDPLQPWLDCIKWVQESFPTGGECSGLVVLYEQCVRTLWHDERYKDDLRFLKVWLEYAGNCADAEAIYRFLEANQIGQSHAMYYMAYASLMESKNKLRKANDIFDLGIARKAKPLEKLEAVYRTFLRRSTKKREQEEISKQGTLTWGDKGHCRGQCNYDATDVKIDANRPLSVYKEERPLPNQGLDGVRNKENNTSWRTLPTQADRNKENSMMPTKWTSHKSTRTSSIEVFVEEDTAQEPARLVPKSPKPSVLKLRQATSKSLKKETELLKENPLRNFPLSSLR >Sspon.01G0049910-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1B:117234624:117234980:1 gene:Sspon.01G0049910-1B transcript:Sspon.01G0049910-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDACFRLSDGYDNTTVPYITTEPATVTLCLPSLARCTTQFIAGIANSNWGNTKEENKACNRKMILQWAYHEDQIVRSSCYKWFRMLMMMLRLSVQKHAFRPEWSLASPTLHTGHRL >Sspon.06G0001260-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6A:4077788:4078947:-1 gene:Sspon.06G0001260-1A transcript:Sspon.06G0001260-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTKVVVVVARKTVLALAAVVATLLLMLPPGGGVSAPAAATTATGSGLLGSNYYCTRRCGNISIPYPFGIETGCYHATGFNLTCNLSELFLGDGLQVLDISIPNATVRISVSAKVNRTWGVALTQSGPYPYFLSDSDNMLQLPYGVVPYNYNTSMSYIVFNATSMPYIVANGDNELHMGYSMYNIDVGYSFYNIRIHRVIVTVQYDGSVDTVDRDAYMDYGSVHEKVWVTLGWVIANHSCPTTANVSAPECRSIHSSCQESSPLFASANDVGCTCQCSDGYQGNPYVPDDGPDACQDIDECSTPERYSCYGECKNTPGSFLCLCPDGYKGNASVPNGCK >Sspon.01G0044190-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:73984345:73987505:1 gene:Sspon.01G0044190-3D transcript:Sspon.01G0044190-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:1-acyl-sn-glycerol-3-phosphate acyltransferase 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G30580) UniProtKB/Swiss-Prot;Acc:Q8GXU8] MGTLIRPGPAASPLPIASSSSSSAHVAAVCGGGGGARSLRHRVSVAVFPSPSTQVLATRWRRRRGMVVRSDVVAGGAAAAAAGDSTPALSGVQLVSRVRGVCFYLVTAVAAIFLFVAMVVVHPLVLLFDRYRRRAQHYIAKIWATLTISMFYKLEVEGMENLPPNSSPGVYVANHHSFLDIYTLLTLGRCFKFISKTSIFMFPIIGWAMYLLGVIPLRRMDSRSQLDCLKRCVDLVGKGASVFFFPEGTRSRDGKLGVFKRGAFSVAAKTGAPVIPITLIGTGKLMPSGMEGILNSGSVKVIIHRPIQGNDAETLCSEARNVIADTLLLHGYGM >Sspon.05G0032600-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:20210600:20215974:-1 gene:Sspon.05G0032600-1C transcript:Sspon.05G0032600-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Splicing factor SF3a60 homolog [Source:Projected from Arabidopsis thaliana (AT5G06160) UniProtKB/Swiss-Prot;Acc:Q9FG01] MASTVLEATRAAHEDLERLERLAVRELQREPANPRDRLFQSHRVRHMLDLVVSTSDKLVEIYEDKDTARKDEINTHLTAPTQSGLFSKYYERLKEIREYHRRNLSARFVSTTDDYEELLNEEPVIEFTGEETFGRYLDLHELYNEFINSKFGTPMEYSAYAGCFSQTDKISHSHKATRQYREYLEHILEYLTSFLYRTEPLQDIEKIFLKLESEFEERWANGEIPGWGNKGTEKESEIDLDYYITVEELVELGPEKLKQALAARGLKSGGTVQQRAERLFLLKHTPLEQLDRKHFAKVPCTKDGSNTTSNGNNVKDDMKKEIALMEVKMRRLCELLDE >Sspon.01G0049930-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:117380180:117383209:-1 gene:Sspon.01G0049930-1B transcript:Sspon.01G0049930-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKATLKGRYEGDKATAATTLAAPAGDLRLKASATEAAFANGPSLRGLTLTLEKPGAFLVDLKPHNQDVRFQFMNSALVLDKRVSLTYTHSTSFATAPAPPAAAPPSRTALDCSVTFDPANKVTLSHSLGSGGCRLKYTYAHGVDRLTTIEPLFDTNKNAWEFAVTKKFTGGDTVKGTYAASTKLFGLEWSRDSIAGGSFKKRELVWEYERTQDTVMRMCTLVVH >Sspon.07G0027440-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7B:60197811:60203987:-1 gene:Sspon.07G0027440-1B transcript:Sspon.07G0027440-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNPKPYEMRNQPNELVTNFPANAAQANTGTYSSGPGMLGFSAAPRPLHDVNNVPYGGSYGGYQGMMGLPIGRAVESVPNVASGLSYGTTSAHNNTTDVGIVQSNLQQPSLNSHQNQRFVVPSMARDSGAPGNTYGQPAQSFYQQPPPGHMNRTPVSKNDASRLVPVAQLNPYQNTWTIKARVTLKTDLRHYNNAKGAGKAFSFDLLDGQGGEMRATCFNAQADQFFDLIEVDKVYLISKGSVKPARKMFNSLNHEYEITLNHKTSIEVCVDDDSNIPRQQYNFRQISEIENIEAGAIVDLIGIVTSVGPSAIVMRKDGTQTQKRTLQLKDMSVRIMEIILWGKFCDAEGHQLQLLCDSGSNPILSIKGGRVSDLSGRSVVTISSTQLKVNPDFAVAQRLKQWHITEGKNIACISLSRDHVLKTIAQIKDENLGRSDKPDFITVKGAISRLNTGNFCYPACTMEVNGRLCNRKVINNGDGTWQCDKCDKSLPNCEYRYLLQCQIQDHTGVTYATAFQEAGIEIVGHSAHELYNIREEDPERFAEILQGVRWQQFLFKLKVKEETFNDEQRVKCSIMRAEKLDPARQSMLASSNANLQLDRASYSSSMLTSSNVGATGFA >Sspon.04G0017010-4P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:11167155:11173620:1 gene:Sspon.04G0017010-4P transcript:Sspon.04G0017010-4P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAPLASWPWESLGSYKASKHCLSYLLYGPLVAKVAQAWRETGSLPLGSSWCLHLLLLLALRSLTFQLWFSYGNMLFFTRRRRVVKDGVDFRQIDAEWDWDNMVILQTLIAATAMGSPAFPGVSELRAWDPRGWGLALLLHVTVSEPVFYWAHRALHRGPLFRQYHAKHHSSPVTQPLTGTYVRATILFFDDDDEKTKRKKNLVVVVVLRFVKCESTTAAGFGTPLEALLLTLAMGAPLAGAFLAGAGSVSLVYGHVLLFDYLRCMGYSNVEVISHKAFAAFPPLRYLIYTATYLSLHHRERDCNFCLFMPLYDALGGTINSKSWELQKQVDQGTAPVSSFVVSMNDRVPDFVFLAHVVDVVSSMHVPFAFRSCSSLPWATHPVLLPLWPVAFGFMLLQWFFSKTFTVSFYFLRGRLHQTWSVPRYGFQYFIPSAKKGINRQIELAILRADKMGVKVISLAALNKNEALNGGGTLFVSKHPNLRVRVVHGNTLTAAVILNEIPSNVREVFLTGATSKLGRAIALYLCRKKIRVLMDDGMPCFQMLTLSTERFLKIQREAPSEFQQYLVQVTKYQAAQSCKTWIVGKWLSPREQRWAPPGTHFHQFVVPPIIGFRRDCTYGKLAAMRLPKDVQGLGSCEYTMERGVVHACHAGGVVHCLEGWEHHEVGAIDVDRIDVVWKAALRHGLTP >Sspon.03G0040230-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:27956264:27959747:1 gene:Sspon.03G0040230-1P transcript:Sspon.03G0040230-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAQLPPPTASGNRLAVIASHLSCTSLGSPAAISGEKRAALAAEPTGTPTIFDKIIRKEILSQVVYEDEKVLAFRDVSPQAPTHIIIILKVKDELSRLSKAEEGHIEVLGSLLYAAKVVAKQEGLDDGFRIVINDGPRGCDSIGVPPTPSSSRRTADELATGVSWYCTREFLQ >Sspon.05G0022170-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:6268489:6271738:-1 gene:Sspon.05G0022170-3D transcript:Sspon.05G0022170-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLPLLRPLWPCLSPAAGPADAAPEPAKPSLPATWLLLHALFCATSMAVGFRFSRLIVYLLFLPTPPINPAAHLVSLMSPPAVVLAGANATSMATITTTTTTTTTVTTTTTVAAEIGAHPQQQPHHHHHGPVFVGRHPIRVRAWPHPDPSELLKAHRILAAVQNAQRSTKRRGEGPARPVIAVTPTTTSALQVPSLTSLAHTLRLVDAQLVWIVVEPGHRTDAVAAVLSRSNLDFLHITGPGESTASLRMHALREIRTKRMDGIVVFADENSILRTELFDEAQKVTTVGAVPVGILGEDDGTSESFLQAPSCDAEGNLVGYRVSEETVLPANRSDMLMSSRLEWAGFVVNARTLWEDTKERPVWVRDLSAIDDADARAASPLALVTDAGRVEPLASCAQAALAWSLRSDSLHEVKFPHEWKIDPPPLNTGARQQTVQPETQPMQTTLASTEDQH >Sspon.04G0020000-2P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4A:70524314:70525884:-1 gene:Sspon.04G0020000-2P transcript:Sspon.04G0020000-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAPARIFSFSSVEPLLLSASPAAAGNNSRQGRHRGDSIRPSAAANTLLLRNDFDHQEGLTDVQKILHQRQKNAREMMVTIDNLKRLCIDHYFKEEIEGAMSACMDLIHSDDLFDATLAFRLLREGGHDVSANDVLRRFTDDSGEFKLPLSMDIRGLLSLHDMSHLDIGGEVLLYKAKEFSSKHLASAIRYLEPSLAEYVRQSLDHPYHLSLMQYKARHHLTYLQSLPIRDTVVKKLAVAEFQLNKLLHQQEIEEVKRWWMDLGLVQEIPVVRDQVLKWYMWSMTALQGCSFSRYRVEITKIIALVYVVDDIFDLVGTLEELSLFTEEVKMWNTAAA >Sspon.07G0005080-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:13116735:13117670:1 gene:Sspon.07G0005080-1A transcript:Sspon.07G0005080-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRICLRGATTFGGASATPAPTSGSGRRLALAAASSPSRRRTASAMAEAHNGRRVGMVGDVRDAPAGHENDLEAIELARFAVAEHNSKTNAMLEFERLVKVRHQVVAGTMHHFTVQVKEAGGGKKLYEAKVWEKVWENFKQLQSFQPVGDAAAA >Sspon.04G0027270-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4C:58438068:58440260:-1 gene:Sspon.04G0027270-2C transcript:Sspon.04G0027270-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPASSPVEFLLRRPQPRQRRRPPLAGAFFAPTGLSGAPLLRALASLAADLLGTPPPPSQRRNLDALMRRLALLSALLDSLLLLLADEGEAAFSDAANLCFRELYVVLFRADLLVSYVASAGRAWALLRAPQLAASFRDLDAELAVVLDVLPAASLRLSWDAAQYLDLLRARCRRRAPAHYHDPAEAALGDRLLAALRHFELGQPPDPSTLRSLLLQIGISDAPSCRSEIEYLEEQILSQEEDADLPLIGGVVALLRYCLFSLFDPSNTKALRVWLSAGNRQRLLSWSCSDDSSFSVPKEFSCPISLDLMRDPVVVSTGQTYDRPSIIQWIEEGHSTCPNSGQALSDNRLVPNRALRSLISQWCGVHGFQFDSLESNEGMIECVAASCSSKAAIEANKATARILVKTLTEGSDNSKPVAAREIRLLAKTGKQNRAFIAELGAIPLLCRLLLSSDWMAQENAVTALLNLSIYEPNKTRIMEQEDCLHLIVSVLKNGWTTEAKENAAATLFSLSVVHDYKKKIMNEPGAVEELASMLTKGTSRGKKDAVMALFNLSTHPESSGRMLESSAVVALIESLRNDTVSEEAAGALALLMKQATIVHLVGSSETVITSLAGLMRRGTPKGKENAVSALYEICRRGGSTLVQRVARIPGLNTVIQNITLTGTKRAKKKASLIVKMCQRSQMSSALGLGSTLTVVDHSLVGNSTLRRAASFGSGELSNPVSISVPVP >Sspon.07G0005700-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:12825776:12830904:1 gene:Sspon.07G0005700-4D transcript:Sspon.07G0005700-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAWGLTAVVVLLLASALLPAPASASGRGLREGENPNSGEGIWTQRLFGSLQNASAPTISGQSSAAGQQAESKQHQTSLAPLPSPPKDTKEGGKTQASASSPPPAPPPPTTQETNSQKAASPPPGGPGPNGGTGQEDTGSQGRREETDKLEAMEKCVHKCSSGKEFSACLQVSDYASGGSYIIVQNKGQHDVYVNVKESSSNIKNNDKKPLHLFKGAFGQMNITYTSSDVGNITLSDGKEDCIIHVGQSVYDLQQQLQQLAAYAMRLNPIYGASFFVFTIVLVGVVCACCKFAKRRGNVGVPYQQLEMGGQAPNSSGVDNTASTTDGWEDGWDDDWDDEEAPAGPADKKPTSSVSANGLSLRSQTQTNSKDGWDVDWDD >Sspon.04G0026210-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4B:40056561:40059686:1 gene:Sspon.04G0026210-1B transcript:Sspon.04G0026210-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIQTKSVEYMPLFLSLASLVNGICWTAYALIHFDLYITLSPSFDPHPLISSDHQRASSAPMRARCAGQDRPRARPPDHIKSVVGTVQPRTGTNHIHNRRPRALRRAARARPPIHRETADRCLGRPRAETDDGASGRTEPTLACLLSLFGSSARACSTAWYPNYPFYPIFSKPINYAGAYIGTQPSNTANSRLQPRPSRRAAAASKSPRPHSPNPPTPPSKPYPNATEPPTYAVFSEYRVVREYPNPNPNYRIPNGLGVLFAVAQLVLYAIYYKNTQKIIEARKRKTDQVAMTEVVVDGSGRASNNNNGNTTY >Sspon.02G0031270-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:114396310:114398556:-1 gene:Sspon.02G0031270-1A transcript:Sspon.02G0031270-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHANVFRSAEATQQRIGAHPDRPFSSAETPSAARYQELPCRLPPASGFRHPSGCVAAAQSNQPRRQTAAAAASSSSLSSAASDPPPRGPHGPRDAAQLPAGPEAAQVHAFLPRRYLGGVRIRFPSRSPLPTTVSDCDDWWLGRRRMGFEKEDAYFWKQMGKATLCTYTLFGVAWLWNETSPLGWWTLKPRPKEEKEMAHLYERRKFPYPGDEEAIEEFIKSGGALGTTIGPKGFADANMDSENMQKQLQSKKFEQEAQKLWLRMRNEVVQELQEKGFDIE >Sspon.01G0004840-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:12092622:12094144:-1 gene:Sspon.01G0004840-2C transcript:Sspon.01G0004840-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRQSKRPTASRESPETGEKLAFAEEVALTARKMETDEAEMDDVDEEDEDELAGRRAARTSSCGLGEKKRRLLQEQVRALERCFETDNKLDPDRKARIARDLALQPRQVAVWFQNRRARWKTKTLERDFAALRARHDALRADCDALRHDKDALAAEIRELRQKLLSKAPEAAVKLEATTGNDTAEEERQTTAGAPPAGVCKDGSSDSDSSVVFNDVEASPYSGTAFEQPAFVGFGAPFLDTSAAAPTGCSSSPVFETKWLPGPTTYPFDSYKTCAGYGFTEEWLASSDVIGNDGAAGFFSEDHAFSLNFSWCASGAQGWE >Sspon.04G0010150-3C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4C:29034838:29035944:1 gene:Sspon.04G0010150-3C transcript:Sspon.04G0010150-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATHAEVAPAALRWCDYGVDDDADIDALLRDIHAVVRPRTPAAADLPMPPQEFLARSRRHNYHYDDYEDSDFQAVLHGIRSVRIPAASFASMPVDASDASPRTPAAGVVLAAPLSYGDDASEGNDAVTIKTSPKTKQPPQYDYDADIDTTFRAMETEAMERPSPDYLRDRQEGEMMIMDRADLIDKMHRFFTHYDLAPGAFHRAVSFVDRFLSAKKISRDEREICLLGAAAVFAAAKYEDRSTVLKINSDHVAMYAGCTRSEAVDQERELVAVLGYRLSGPTAYTFVDHFMRHSEEEEVVRALAHHLADMALLDYRCVALLPSAVAASAILLARTALYYSTAMPVDAGYTLEELSDCIQAIYDMHENLW >Sspon.01G0000460-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:945078:950658:-1 gene:Sspon.01G0000460-1P transcript:Sspon.01G0000460-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNCLQNLLKEPPIVGSRSMRRPSPLNLVRLRTHPCVWAMVRGGSRRSNTVRTAPGASTSGAESSAVEMGTEKSEVYSTNMTQAMGAALTYRHELGMNYNFIRPDLIVGSCLQSPLDVDKLRKIGVKTVFCLQQDSDLEYFGVDIGAIQDYSLQFKDIMHCRAEIRDFDAFDLRLRLPAVVSKLHKLINCNGGVAYIHCTAGLGRAPAVALAYMFWILGYSLNEGHQLLQSKRACFPKLEAIKLATADILTGLSKNTITLKWEDDGSSSVEISGLDIGWGQRIPLTYDEEKGAWFLEKELPEGRYEYKYIVDGKWLCNEHEMLTKPNADGHVNNYVQVFRDGTSDEEKELRERLTGPDPDLTDEERLMIREYLEQYADAGER >Sspon.01G0019520-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1A:73129653:73131243:-1 gene:Sspon.01G0019520-1A transcript:Sspon.01G0019520-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSSSSGRSKLRQGHGSKVAPSSAEPSQQTTFKWSIDGFYSLLDKGEGWTYSTVFEIMGHNWYLKLNPRDKKSSNDEEYISLQLELASSSVKPNTVVDASFKLLIYDQSHGKHSKHLVSHNFQTASKSSGVSCMTSLRNLKKQSSGFLVNNNCVFGVEFIKVTTSKANTTSETLFVQKTSIFNEAKTYTWDIEDFFALKNPDYSPEFKVGGYKWYISMYPSREGNHLSLYLNLKKTNDLPNDTANLVELTLSIKNKEAGNHRKGTG >Sspon.04G0027890-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4B:62286280:62286791:-1 gene:Sspon.04G0027890-1B transcript:Sspon.04G0027890-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGADSEDAVKQLSLLMEQGACFLAGAKLRVVSRGFFAVLAVFCLSDFAILGPDLCSGDPAEEIVSAITARKVHARRC >Sspon.07G0027840-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:62582413:62582625:1 gene:Sspon.07G0027840-1B transcript:Sspon.07G0027840-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDWAPVFISFVLFILLSPGLLFQMPAKSRLIAFGNFHTSVASIVVHTILFFALDAILLVAIGVRIELGN >Sspon.08G0020550-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:25500288:25501892:-1 gene:Sspon.08G0020550-2C transcript:Sspon.08G0020550-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAKKSLAPPPVLIFALFCSYAASIASSSAQAAAASSDDGFLQCLSASIPSQLVFTQSSPSFTPLLKSSIRNPKFFTPTTERPLYIVTPTNASHVQAAVVCGRRNGVRVRVRSGGHDYEGLSYRSERPGEAFAVLDLSNLRAVRVDARASTAWVDSGATLGELYYAVGKASNLLGFPAGLCPTVGVGGHFSGGGFGMLLRKYGLAIDNVVDAVLVDAKGRLLNRNTMGSDVFWAIRGGGGESFGVVLSWQVKLVPVPATVTVFNVPVPASQGAVDVVTRWQQVAPALPDDLFIRVLVQQQTASFQSLFLGTCDALLPVMSSRFPELRFNRTSCKEMTWIQSVPYIYLGSGSTVEDLLNRTTAASVFSSGYKATSDYVRQAIPRDVWANIFGKLAQPNAGLMILDPYGAQIGTVPESATPFPHRAGVLYNIQYMNFWPMAGADGAVQTKWVRDFYAFMAPYVSSNPREAYFNYRDLDLGENVVVGNVSSYQAGMVWGHKYFKDNYRRLAVAKSQIDPDDYFRNEQSIPPLASTK >Sspon.02G0020300-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2B:66333612:66334789:-1 gene:Sspon.02G0020300-2B transcript:Sspon.02G0020300-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAAPLFDSQVVLPLSLYPAPLVDIFRWFIAEFDNYIVADRPPFLRGLVWLDLAFLWPVSVANLYGILTRRRWSATTSLMAGVYMLTYLSAMFGEMLESGRATPNLLGLYVLFLVFAVASVARGLCSCSTQATPAAGPSSSALAAWKKTV >Sspon.05G0018510-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:78460107:78460707:1 gene:Sspon.05G0018510-1A transcript:Sspon.05G0018510-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MYFHEKIFETADAIVTPMTGVTAYALQDDALSTGELDYINGAALVRYSIAGNFLGLPAITVPVGYDRGGLPVGLQFIGRPWSEATLLHLAYAMQEACGKEHRKPKVHYDLLTKE >Sspon.03G0006470-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:33291773:33292042:1 gene:Sspon.03G0006470-1P transcript:Sspon.03G0006470-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAMDEFQEADILWPDTEDELVPFAPMDTEELYAGAGSVSSVAPVFGRRFVEGFFLSGAGPGASPDADDEEWQEADVLWPDTADEPRGTG >Sspon.04G0020840-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4B:75734212:75737959:-1 gene:Sspon.04G0020840-2B transcript:Sspon.04G0020840-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGDGDARGLEAGAAAAAEAARELREAAAALVATRAAEEDALRRRAVALDADVRRLQGELHALDPSTLDKVLLASPRLLFLHLSSCQALPPSDLYLMQVEEELERARIAITDSDVASFLPSKKNGKFLKTFLGPVNVRVARKEDKLKIKDEYNNYRAWLLYLYTSFALRENVLIANGSDIRPWWIYHHYLAMLMALISLTWEIKGQPDCSSKQRGVQLFLRWAIMQGIAMHLQNRYQRQRLRTRIALGKAKRMDVVAGETAGVEGQLLLLYPVLFILQGFEAYVGVLLLQTALHGLASEWQARMILTVSLFTVECCSLRDLAGGYGSWQLCQHYGDTTTETEVQSKDEESKEQTGSPTSKLTGIVVPGTIGV >Sspon.02G0012400-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:32706635:32708500:1 gene:Sspon.02G0012400-1A transcript:Sspon.02G0012400-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRDLDCPVQTQMAVAVLDRSFSSEYPGGSRTEGRQLSWKRVFVQTDNGSVLGIELERGENVQTVKKKLQIALNMPTDESSLTFGDLVLNNDLSSIRNDSPLLLKRNQIHRSNSTPCLSPTGKDVWQRDRSGPIEILGCSSPSSRMKQLAKDVIKAIRNGVDPVAVNSGMGGAYYFKNIWGERVAIVKPTDEEPFAPNNPKGFVGKALGQPGLKRSVRVGETGFREVAAYLLDYGHFANVPPTMLVKITHTIFNVNDCVGCKSKVFCNKSEAVSKIASLQEFIPHDFDASDHGTSSFPVSAVHRIGILDIRIFNTDRHAGNLLVKKLGPGADNFGEQTELIPIDHGLCLPECLEDPYFEWIHWPQASVPFSEEELEYIAELDPVKDAEMLRTELPMIREACLRVLVLSTVFLKEAAAFGLCLSEIGDMMSRQFTAKEEEPSQLELLCMEARKWVEEREFFLPDEAGVEDDDDDFTQFLLDSEDDSDAFEPPAFCKFGSMKASSRNPLSKLDECDEEDEDETEEDEDDDILTSALPQKIPSISKLSSSMKGLGFIGKSKPYRRGVPKGKVTGRTNYSGKASEHQSGSRSANELLPPSASFVKLSDMSPKEWSAFLDKFLELLP >Sspon.08G0017960-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:3998208:4004459:1 gene:Sspon.08G0017960-1B transcript:Sspon.08G0017960-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SE [Source:Projected from Arabidopsis thaliana (AT2G27100) UniProtKB/TrEMBL;Acc:A0A178VZD4] MIGGYDHERGGGRAGYEDDRNHGRYLNRAPDWPDSGYGAANDGPGVTQREGLMTYKQFMQVLEDDISPSEAERRYQEYRTEYVTTQKRAYFDLHKNEDWLKDKYHPTNLLSVIERRNERCKVIAKDFFLDLQNGTLDIGPGITAGAASKPGGSDGNSEDDMDSDKRRKHGKDSAKGTDSLSGAPKAHPVSSESRRVQADIEQTLALVRKLDAEKGIEGNVLSSGDHDKSDVDKSHIGSMGPIIIIRGLTTVKGLEGVELLDTILTYLWRIHGVDYYGTSESHEAKGLRHVRVDSKTSSTSDVSAADWEKKLDTFWQERLNGQDPLVILTAKDKIDAAAAEVLEPLVRKIRDEKYGWKYGCGAKGCTKLFHAPEFVHKHLRLKHPDLVLESTSKVREDLYFQNYMNDPNAPGGTPVMQQSAPDRGRRRPSMDNRLKFDRGSNREHDKAERDGGRYGRGDHSPSRDGPDDQMFDGFRGRPSNGPFVAEFPPPPILMPVPGAGPLGPFVPAPPEIAMHMLREQGPPPPFEPNGPPHGNTGMLGPMMGGPAPIIAMPPNFRHDPRRLRSYNDLDAPDEEVTVLDYRSL >Sspon.05G0005500-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:17674519:17674725:1 gene:Sspon.05G0005500-1P transcript:Sspon.05G0005500-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMYIAEVAVLSETSVAEDDQESEKVNILKSKIEANIADLPKVLEKINETIARCEKSTNLNVNVNPVF >Sspon.02G0010600-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:27850073:27854527:-1 gene:Sspon.02G0010600-1P transcript:Sspon.02G0010600-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLPQIHVVKEEEPTEGSTPVTGPSFIVSGLCDSGKLSVGSSSRSWFQDPSISDFKRKFASGASNGLNSHIRTRHAVDDPAGYQGLNPESRDPSYRSCPKIRSPVQMPAMRVVGFDSGTADSTGGPDIMVAHKMHSSLVIGNCDSSVEHHEVQARKRVLSPLTNVLPAGQFHGDALNIGSGDEKNQHPHCVRQLSYSGFHDSKKANTGTLYSFQPSTRPALRYSDWSTEQGFCKFSSNTFTDGPLLEGREFFSCSDQPGAERIMNLAKVSTPPARLSHSPPLTLSPLCPKWMHRMKAARAQRDLTGQIENDFLGLKEMGRSNFDEYSEYVGTIRMRDMLHETSILNDGFDTMTPKRSFHRRYQCLGPESAPVSAHIGCINSLNLLPVRRPLVGSFEESLLSGRYSCGKDNQSIDGFLAILNVTGGSFSPPTQKLPFTVTSIDEDSSLLYYSSIDLAGRLPTNNSKGPKFKRSLGNSDSRSTNSRLRIPVKGRIQLVVSNPEKTPLHTFFCTYDLSDMPAGTKTFMRQKITLSSASPSYPTREGSKASDVKVESVQCGSELRECGALFSECNKADTIGSDNGHELEKGDSADMECFSMECDVREPNEYATSGNSENDANAEGCCCQIDSCQGGKKSFNRSPKVNDIPAGGVLRYALHLRFLSPFSKNSSKSRQHGKLDLSSEPQTRSRTEEERRFYLYNDIRVVFPQRHSDSDEGELRVEHDFPANP >Sspon.02G0031470-3C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:105747309:105748309:1 gene:Sspon.02G0031470-3C transcript:Sspon.02G0031470-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VVIPEVLAVLDLVLAARRRTRRCCGREEEGAGNHRVRRLFRGHGQQQFHPDHRAEQLLAVRPRLRQWPPHGPVFQWTPRHRLHLRGLRPAALHPRLPRQKTAPSTSSPLESPSPPLPQAWTTPRPASCPCSRWV >Sspon.03G0006450-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:17692664:17695149:1 gene:Sspon.03G0006450-1A transcript:Sspon.03G0006450-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGGGGASSLPPFLSKTYEMVDDPATDAVVAWTPPGTSFVVANQAEFCRDLLPKYFKHNNFSSFVRQLNTYGFRKIDPEQWEFANEDFIRGERHRLKNIHRRKPIFSHSSHTGSGPLADTERRDYEEEIERLKSDNAALTSELENNAQKKLVTEKRMQELEDKLIFLEDRQKNLMAYVRDIVQAPGLLSSFVQQPDHHGKKRRLPIPISLHQDANTEGNQIVQGGLTSPPACRESFDKMESSLNSLENFLREASEAFNISYDDGLPGPSSAVVITELHSSGESDPHVPSPVSRMHTSSAGAGDSLSSRDVTGSTSCAESPPLPQMQSCTDPRTKVSEIDVNMEPAVTETGLSRDQPAEEPPHVATGVNDGFWQQFLTEQPGSDAHQEAQSERRDGDDKGDQTRIGDREKFWWGKKNVEQMTEKLGHLTSVEKT >Sspon.03G0009450-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:32658187:32660994:1 gene:Sspon.03G0009450-2B transcript:Sspon.03G0009450-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLPAATAPSSSGATQPQPQPQSTEQQGGSRERRMESLGWLTESAVMPKKHKAIEGVGAASILDLKAQLYRTQEEARNPTAPGAVAAAASGGEFRRAKIRSGPTDPLGDKNSGVESRAHKDKLELKAVKDGSASYAALEKKAELYEKLSRGELPDEEDKEKYCVDFFQKSFDRVYEPQMPESSHRATDHAEPVNDHEGSMPNAKPLGLGRAGTTIDRDEHKRFVREVHEEVTEARQKASTVRSRRQEQDAARREKLRQAYLKKRLEKLIAEKQASSASNDLPAS >Sspon.03G0015870-3C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3C:70987895:70992336:1 gene:Sspon.03G0015870-3C transcript:Sspon.03G0015870-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSRLKHDNVVELLGYCVDGNTRILVYEFATMGSLHDMLHGRKGVKGAQPGPVLSWIQRVKIAVGAAKGLEYLHEKVQPHIIHRDIKSSNVLLFDDDVSKIADFDLSNQAPDMAARLHSTRVLGTFGYHAPEYAMTGQLSSKSDVYSFGVVLLELLTGRKPVDHTLPRGQQSLVTWATPRLSEDKVRQCVDSRLGGEYPPKSVAKFAAVAALCVQYEADFRPNMSIVVKALQPLLNARASNHPGEHTGSQSSIHHL >Sspon.07G0013450-1P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7B:54098675:54100225:1 gene:Sspon.07G0013450-1P transcript:Sspon.07G0013450-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPNFNGVWSASEIDMVKSFITSHNTNNTYTNDTNKNHKDIIDELQARLLGKEKHQVIQLYVHLVDEMNTMQSNNQQVVVSNALVNDNFGAPMEDTDMDNMDMFHGYILDDVEAMKMVEEPPHKLNTIPKKKRQYPVAWTQEEHMNFLRGLEVYGRGSWKNISRYFVPTRTPIQICSHAQKYFHRKECTTRKQRFTINDVGLYDTEPWVQKNSSSSEALAFGHNAYNANYFDFEGQHTVLNKLAHASQESSRQVATWTRGQHIIGSSSIDPTMVQTNSLGWEALAFTSGANNTNCYEFDGQYDAMNNLACANQASNNQVATWTRVQQTTTSPSVAPMAVHNTSPSWEVLSFAGSAYNTNYYDFDGQQ >Sspon.01G0030690-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1A:106088560:106090007:-1 gene:Sspon.01G0030690-1A transcript:Sspon.01G0030690-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding KHYQALGEAKLLELFPPEVLERNFYYERYESRFDWFFDPEYCKLVHVEDYQRLVLRVTVEFEGLEICPYNHHTLEDDQQFVQFWETLSRRTEVCI >Sspon.02G0012610-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:27045273:27055759:-1 gene:Sspon.02G0012610-3D transcript:Sspon.02G0012610-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASISGAAAPPSSAVCRLRLRRHLLLRPSHLRLLRAPHSIADLSRSSSSSSNSAPTPAQPLGGSSPDNGSGVRAVEKDPIKLWERYVEWLYQHKELGLFVDVSRMGFTDDFLQQMEPQMQRAFAAMRELEKGAIANPDEGRMVGHYWLRDPALAPNSFLRNKIETALDSILAFSQDVVSGKIQSPSGRFTSILSIGIGGSALGPQFVAEALAPDNPPLKIRFIDNTDPAGIDHQIAQLGPELATTLVIVISKSGGTPETRNGLLEVQKAFRDAGLQFSKQGIDIKEMLAGAALMDEETRNTVVKENPAALLALCWYWATEGIGKKDMVVLPYKDSLLLLSRYLQQLVMESLGKEFDLDGNRVLRDRPPGHDWELEPGVTCGDYLFGMLQGTRSALYANDRESISVTVQEVTPRAVGALVALYERAVGIYASLVNINAYHQPGVEAGKKAAGEVLALQKRVLTVLNEATCQNPCEPLSIDEIADRCHCPEDIEMIYKIIHHMAANDRALIAEGSCGSPRSVKVYLGECNVDEDMQAA >Sspon.03G0044130-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:55477872:55487771:-1 gene:Sspon.03G0044130-1P transcript:Sspon.03G0044130-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLKDLAIQQHQQLAAAADENMSNLTSASGDQASVSSHPAPPPAKKKRSLPGNPDPDAEVIALSPRTLMATNRYVCEVCGKGFQRDQNLQLHRRGHNLPWKLKQRNPKEVVRKKVYVCPEPGCVHHDPARALGDLTGIKKHFSRKHGEKKWKCDRCAKRYAVHSDWKAHSKVCGTREYRCDCGTLFSRFPSLPFPSHVAHGHGPSYLSAFTVLAVNEMIDVHGRVACRRDSFITHRAFCDALAEESARAVTAAAAVVAGQHHPGMLFSQAAGGGDGSAGLHLPPGVLDPSQTLGGGHGMSLQELCLKREQQQQQQQQQQQFAPSSWLTAHHQQELELPGAGNSALFGSARPLDQQDYMGSSTPESTAGLSGFVGFSPSAAGGGAASAHMSATALLQKAAQMGATLSRPSNQGQMASTHSSSITNAGTGTANAAAAAASNVPGPGTGAGALGFGAPHHFGAEERTTRADRDGGNAAAGGGNEGLTRDFLGLRAFSHGDILSMAGFDPCMSSASSAAYEQGHHHQSSKQWHMMLKDLAIQQHQQLAAAADENMSNLTSASGDQASVSSHPAPPPAKKKRSLPGNPDPDAEVIALSPRTLMATNRYVCEVCGKGFQRDQNLQLHRRGHNLPWKLKQRNPKEVVRKKVYVCPEPGCVHHDPARALGDLTGIKKHFSRKHGEKKWKCDRCAKRYAVHSDWKAHSKVCGTREYRCDCGTLFSRFPSLTLPSHVAHGHGPSYLSAFTVLAVNEMIDVHGRVACRRDSFITHRAFCDALAEESARAVTAAAAVVAGQHHPGMLFSQAAGGGDGSAGLHLPPGVLDPSQTLGGGHGMSLQELCLKREQQQQQQQQQQQQFAPSSWLTAHHQQELELPGAGNSALFGSARPLDQQDYMGSSTPESTAGLSGFVGFSPSAAGGGAASAHMSATALLQKAAQMGATLSRPSNQGQMASTHSSSITNAGTGTANAAAAAASNVPGPGTGAGALGFGAPHHFGAEERTTRADRDGGNAAAGGGNEGLTRDFLGLRAFSHGDILSMAGFDPCMSSASSAAYEQGHHHQSSKQWHV >Sspon.03G0001570-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:3855309:3859918:-1 gene:Sspon.03G0001570-1A transcript:Sspon.03G0001570-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rhodanese-like domain-containing protein 4A, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G25480) UniProtKB/Swiss-Prot;Acc:Q56XR7] MALLRLQEQCSLLRISSSHHPNPLDAPRNPRKNQLLLPNVAKIANASEIPVHRTPTSASKGTVLAPKAAPWRDALVPVTAALASWPLPSLAAEGDGKVSLESIVVAIDDFNNRNPFFVAGVVFVWLVVIPLVQEYVFKKYKPVSAIDAFRKLRDVPEAQLLDIRRGKSVRFMAPPNLKLVNKSTVQVEFDEDDDKGFVKEVLARFPDPANTVVCVLDNFDGNSLKVAELLVENGFKEAYAIKGGLRGPEGWQAVQENYLPPSVHVFPRKRKGARLAHTDANNDGTYAGKRGIICSSKQYPDLKPPSSPTPSKPTKHHIGRSSTIVTELQFMHSAYILRF >Sspon.04G0006370-3D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4D:18974581:18980809:-1 gene:Sspon.04G0006370-3D transcript:Sspon.04G0006370-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPTVVSFWESARKRRFPVPLAWRLPNLGRFPGAHLMSSPCPRRRGGPRVPPLPVETRDWLRPRHPTQAVPSSMTADPAVTPVPRVIRSRSQVPRARPSRHKTQQQQQQQADPASSMLCAAQGRHPQPRKTSLTNSRRPRRGASFLASGPRKSQDKSQTPRRWPPAPCCATPAARRAALIRRPGNRSSCSLLNPSVACRCRGLIRVCVFVLAGLGGGEGGSAAVSVRRGKGRAVEMLGSGLNLVTTVIGFGMSATFIVFVCARLICGRAARADADAADAAAVAAARALAQPPVPFDFDVEFRNVADLDRTIERSRCGLDPFAVAAIPTMNYSSEAFHSKDDAQCSICLGEYNDKEAVNAAPIPVPTAVTFHQRPGQFALLARSLSPVFVLCKDRVATRAAREQGLAALRSGLDARVRSVGVQSRGRLQPDADASAELRSKQLDCWSLEAVRACVRVEAWSNQRITSQAQHRPRGSRSREAGVS >Sspon.01G0052010-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:19690146:19693181:-1 gene:Sspon.01G0052010-1C transcript:Sspon.01G0052010-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNKGEGPAIGIDLGTTYSCVGVWQHDRVEIIANDQGNRTTPSYVAFTDTERLIGDAAKNQVAMNPTNTVFDAKRLIGRRFSDPSVQADMKMWPFKVVPGPGDKPMIVVTYKGEEKKFSAEEISSMVLTKMKEIAEAYLSTTIKNAVITVPAYFNDSQRQATKDAGVIAGLNVMRIINEPTAAAIAYGLDKKATSTGEKNVLIFDLGGGTFDVSILTIEEGIFEVKATAGDTHLGGEDFDNRLVNHFVQEFKRKHKKDISGNPRALRRLRTACERAKRTLSSTAQTTIEIDSLYEGIDFYATITRARFEELNMDLFRKCMEPVEKCLRDAKMDKSQIHDVVLVGGSTRIPKVQQLLQDFFNGKELCKSINPDEAVAYGAAVQAAILSGEGNQKVQDLLLLDVTPLSLGLETAGGVMTVLIPRNTTIPTKKEQVFSTYSDNQPGVLIQVYEGERTRTKDNNLLGKFELTGIPPAPRGVPQINVTFDIDANGILNVSAEDKTTGKKNKITITNDKGRLSKEEIERMVQEAEKYKSEDEEVKRKVEARNALENYAYNTRNTVRDEKIASKLPAEDKKKIEDTVEDAIKWLDGNQLAEAEEFEDKMKELENVCNPIISKMYQGGAGAAGMDEDVPSGGAGPKIEE >Sspon.04G0008510-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:25273750:25279644:1 gene:Sspon.04G0008510-2C transcript:Sspon.04G0008510-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARVYVGNLDPRVTAREIEDEFRTFGVLRSVWVARKPPGFAFIDFDDRRDAQDAIRELDGKNGWRVELSTKAGGGRGRDRNGSDMKCYECGESGHFARECRLRIGSGGLGSGRRRSRSPRYRSRSRSRSRSPRYRRSPSYGRRSYSPRDRSPRRRSYSRSPPGSRARSLSRSPPLPPPPPRNNSRSPRGARDMSRSPPPPPPRNNSRSPPGARDASRSPPPPPDARRSYSRSPGQQPQRDQSPYANDA >Sspon.03G0014790-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3A:47283045:47293455:-1 gene:Sspon.03G0014790-1A transcript:Sspon.03G0014790-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTYNLERRVYMFSTTMTKGKQKVRYGKVGFPDNEITARNIIIATGSVPFVPKGIEIDGKTVFTSDHALKLESVPEWIAIVGSGYIGLEFSDVYTALGSEVTFVEALDQLMPGFDPEIAKLAQRILINPRKIDYHTGVFASKITPAKDGKPVLIELIDAKTKEHKETLEVDAALIATGRAPFTKGLGLENINVVTQRGFVPVDERMQVMDATGNVVPNLYCIGDANGKLMLAHAASAQGISVVEQISGRDNILNHLSIPAACFTHPEISMVGLTEPQAREKADKEGFEISVVKTSFKANTKALAENEGDGLAKMIYRPDTGEILGVHILGLHAADLIHEASNAIALGTHVQDIKFAVHAHPTLSEVLDELFKAAKDFLENGSTIIIKNVDQDIILLRLFPFSLEGRTKQWFYANKNNMDTWDDYLKVPTYAKYLRDILNNKQPLPSTEVIKLMKECSAAILNISLAKKKDSGCPTIDCSIGDQYFNNALCDHGASVNVMPKAVFDKLHHSKLVLTSICLQLADQLIRYPIGIAEDIPVKIREFFIPVDFVVLDMQPDSKVSLILGRPFLSTTNAHIDVGIGEVKFNINGREEHFPSKPKPELSTIANMISEEGDKQSPGSPSSGPSNIPSK >Sspon.04G0015610-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:62446320:62448908:1 gene:Sspon.04G0015610-1T transcript:Sspon.04G0015610-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGHEAGDCIGEGEEPPAREFPDDITDEILLRLPSRNALARAGAASCAFRARVSSPRFLRRHRSLHRDPGSLLGVFTFPLVPDGARGGGGGTGFHPAEPPHPAASAAAAVAAAADFSFGFLPAAAADPSVAVGSEAAAAAAAAAEWMVRDYRDGRFLLDRVPAATGSTVFTELAVCDPLSRRYVLLPPIPDDLADTVHSVLTVFGGRRACEPFLAPADAEACGADDVDADPPLTVFWTARSPRKMIAFAFSSRDGRWRALESPHCFVWRRHRSPFSCPISAVWNRRHYAHSRFYWVDCLTSRWLVLDARTMELSLEVIPSPAGYWEEHVAVVEAPDGKLGVFAHGFHHPGGKANLHYYTIVHDMEAGGSDARRWQLEKTIPLPWPSDQRPFCLRGTANGRLIIEVSEEKPVFMASHRVRDAELFKIDVKSFQLQKICRARCAGSAAGECCWPYFGFPPSLSLPTDCSRSAEDHPVRPEDRPRLAEDDPAPRKDGPASAEDGSARRERIPYDAAVELTIPVTAASGMAIFENLSSVRLSVRISRHKWEEASLRSSQYMPSLTMTAFVAFRRSADSCIVLHVLHDSAPIVHISNVKNRTR >Sspon.01G0061580-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1D:94718427:94718624:-1 gene:Sspon.01G0061580-1D transcript:Sspon.01G0061580-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding EDELEDSVAVSGEGVGAQGQAAWTSAGEGAESTFDRLIGEARIRTGKLERPATTARRRHGEAPAT >Sspon.07G0026720-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:48687124:48694715:-1 gene:Sspon.07G0026720-2D transcript:Sspon.07G0026720-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSLIHVSLIGVVRKNKGKSACASPNPKSINSSLLFMHSSAACRHRRGTGRGAEPATVAGVAGGDWLRFRIEADRTLPFCLPPDCVAGDGSASSV >Sspon.03G0008940-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:29673693:29675611:-1 gene:Sspon.03G0008940-2D transcript:Sspon.03G0008940-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEVEAPLITEVEGEEGRGFRGSASAGGSRSGLGNGVRGARQYHRRLDALAYGDRYQKAAALVDLAEDGVGIPEDVLNDTRFERAMRFYFVYLRLDWLWSLNLFALTLLNFLEKPLWCQKYASHTCDQRDLYFLGQLPYLSKTESLIYEALTLVILVLDIFYPLSYEGLNIFWKNSMNKLK >Sspon.03G0023630-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:95894515:95895053:1 gene:Sspon.03G0023630-2B transcript:Sspon.03G0023630-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGEASSKLLRFLYFVGAGVICTKAINTYRDYEHKKEASAAVAAAESALAAAAAADPAPATAAAAAAKP >Sspon.03G0021800-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:85301852:85305946:-1 gene:Sspon.03G0021800-3C transcript:Sspon.03G0021800-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADSRVLPIISSLVPFLPVLLLLLVASCPATLASATHGGGRKHARPHQAVFRAGDEREAYRRIMARMARMAKDSNMTIQSPDGDVIHCVPADRQPAFDHPMLRGQKPEDEPVERPMPKGGAAEEEEEQEEAGVFPQAWSDGGKRCPEGTVPIRRTTKRDVLRASSALRFGMKARASNARRDSTSSGHEHAVGYVTGDQFYGAKASLNVWSAKVASAAEFSLSQIWVISGSFGNDLNTIEAGWQVSPELYGDSNPRFFTYWTTDAYQETGCYNLHCSGFVQTNNRIAIGAAISPTSVYNGRQFDISLLIWKDPHRGNWWLQLGSGPLVGYWPSLLFTHLGGHANMVQFGGEVVNSRPSGSHTPTQMGSGHFPREGFNRAAYFRNVQVVDGDNSLVPAAALRLVADHPGCYDIQGASNRAWGNYFYYGGPGRNVHCP >Sspon.07G0022510-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:9053206:9057106:1 gene:Sspon.07G0022510-1P transcript:Sspon.07G0022510-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIRELRDGLEVEDDEREGGLGGDGEVVAVVRLRAKRALVGAGARVLFYPTLLYNVLRNRFDGEFRWWDRVDKYVLLGAVPFSSDVPRLKQLGVRGVVTLNEPYETLVPTSLYQAHGINHLKIPTRDYLFAPSLEHICRAVDFIHCNEMQGGSTYVHCKAGRGRSTTIVLCFLIKYRNMTPQGALDQQGL >Sspon.07G0004420-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:22235685:22238385:-1 gene:Sspon.07G0004420-3C transcript:Sspon.07G0004420-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAQMLLPRHHRRTPPRRGGRQPLRGGVADLASCLAGCLLLLAVVAAAAVLCLSSPFSRAREDGGSRGVVPCGSGATTPRVTIFSAPRPPPEGSPVRQELAVRSWLALPGNVSVVLLGAGPEAVALAARLGHRVTVDSAIDFAFTGTPFFHSMVARAQAAAGSDICVLVDAEIVLLPEIVNALARFSKVDADWFLVSLSRNVTDFHYQLADNRSHWVQADGKEVSFKKKIPADNWAPESSDRGLIVAWNNPSSTLHAGVLPSFLYGRGVHNAWLAHEVLSSEMRLFFDASSLLLGLYPESLSSTSSKNGRLPSGSWEYSVNRHLAAIYGSYCCRLPARHFPMLHKVVKQSDDYMLSKVDKLTLSDFFISKEGNAHGGDSLWKKQNISLSGYLNSYSPETSAPDLPYSLAMLLEFVADKNRSVVLGVAGAGYRDMLMSWACRLRHLRVTNFIVCALDHETYEFSILQGLPVFIHPSSPKNVSFDDCHFGTRCFQQVTKVKSRIVLEILRLGYNVLLSDVDVYWFDNPMPFLYSLGPATFGAQSDEYNETGPINLPRRLNSGFYFARSDNATITAMETIVKHATNSGLSEQPSFYDVLCGENGTNCIGDDKCLEPNTNLTVVFLNRDLFPNGAYKGLWEKHDVRATCKELGCFIIHNNWINKRKKKLHRQMSSGLWDYDPSSRQCLQEWSDR >Sspon.05G0017480-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:68580458:68584243:1 gene:Sspon.05G0017480-3C transcript:Sspon.05G0017480-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGIEGALASGLLTVAGNKLGSLVSSEFASITGLKKDLSELQDIHTKIMSWLSVVRGRTIDHEASGRGVMKLRSLANEIYDLLDDVYIEDEKHKVNSDHDKPAITDNFSAKPELLLFRHKVAHKIDEIKVTFDTIVMENTLHNLQVDQPVQSRNKETSDQSLLSYVEDLKIPSRDHVKANIISKLVQPNKGECNHIVSIVGLGGSGKTTLAQHICHDDKIKEHFSNTIFWVHVSQEFCKDKLIGKLFEAVLWLVGKDIVKKCGGVPLAIKTLGSVLHEKRTINTWRAIRENNLWEEENIEGRVFASLTLSYIYLKDHLKECFTFCSIFPKGYKINKDYLIEQWIAHGFIKLKNEELAHDIGNEYFDALMKAGFLQSPVQTWPEKSVVCEMHDLIHDLTRYILQYEVMASLPMNITTHNWSQKCRYLSLMSCSEKVEGGLFDKVRAVYVSGGNPSFDNHEICYIRSVVLDYAVDTLFPQFILKLEHLGYLEIHNLRCTELPEAISGCWNLQSLHFINCKGFVMLPKSIGKLKKLRTLELNDITDLESLPESIGDCQDLQFLQLNYCGKLRDIPSSMGRLGNLRVLHILRCSCLQLPSEFNGELSNLQTVNLHGCWGLQDLPSTFACPMLRTLHLSETKVTVLPQWVTSIGTLEHIDLHNCKELVELPKGIANLKNLEVLNLVGCSKLQCMPSGFGQLTLLRHLALFAVGCGRDDARISELENLDMISGRMEITNLKYLKDPSEAEKAMLKQKNIWSLELTWSSNQTEEEIVSDVEQDQGVLNALEPPSQIENLKICGYRGSILPCWMTQLNDSSFCAGIVFKQASLCQFLSLTKMTLEEFANLKYIRGLQEFRSLKSLSLVKMANLEELWTTTSSSGIQGEESEAQYCFPVLSEVRITGCPKLNVKPYFPPSLVTLSFEESNEQLLSPGSLSHPLPPPADESSSSFNVHSAASCLRELRLRKMTGSSSNWEFLQSHTELETLHIECCNDLKELPDNIRNLTSLRALCIQGCQTLTMLPEWLGELRSLQFLFFFMTPMLDSLPESTKHLTSLTSLQICRWDEMKQLPDVIQHLTSLELLNLVLCDVLTELPEWIGQLSALRTLKIQYCPGLECLPQSLQRLTALRELHIGGCPGLVSRYKQGVGPDWQLISHIPNIRMY >Sspon.01G0026230-4D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1D:91733927:91735404:-1 gene:Sspon.01G0026230-4D transcript:Sspon.01G0026230-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWCRRRSVWTRPCTGSDTHTQWRSPVTFSRASTTAFTSRSTRAHRLGSSGAAPVPSAAAVVTYSTPAARPLCVHACPNATWHPLSTSTDVMDDRSPARSCTVNSSAAQSDTSGPPGPTTLPRHVVNLGDGPLPGRWNGTSARWMNSGSDGSVSLRPIWSVTWN >Sspon.04G0022130-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:4268364:4270990:1 gene:Sspon.04G0022130-1B transcript:Sspon.04G0022130-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTPHSSSTSSVPHRASPPQIPASLTLAPTASSASPSSSSASGMRDAAEDDSDSPPSQMSEDDPGGGDRWEPDLRGSNNGGGGRWAPPDQVLENVLETVLEFLTAARDRNAASLVCRSWYRAEAQTRRELFIGNCYAVSPRRAVERFGGLRAVVLKGKPRFADFSLVPYGWGAYVSPWVAALGPAYPRLERICLKRMTVSDDDLALVANSFPFFKELSLVCCDGFSTVGLAVIAERCRHLRVLDLIEDYLEDEEDELVDWISKFSEFNTSLESLVFDCVSVPFNFEALEALVARSPALRRLRVNHHVSVEQLRRLMARAPQLTHFGTGAFRSEGAPDGGLAVTELATSFAAARSLVCLSGFREVDPEYLPAIYPVCARLTSLNFSFASLTAAELKPVIRNCTNLHTFWVLDTVGDEGLRAVAETCSDLRELRVFPLDASEDSEGSVSDVGLQAISEGCRKLESILYFCQRMTNEAVIAMSKNCPELVVFRLCIMGRHRPDRITGDPMDEGFGAIVMNCKKLTRLSVSGLLTDKAFAYIGKYGKLIKTLSVAFAGNSDMSLQYVFEGCTKLQKLEVRDSPFSDRGLLSGMNYFYNMRFLWMNSCRLTMRGCKDVAQQMQNLVVEVIKDHYEDEGEAEIVDKLY >Sspon.03G0043370-1P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3C:80341953:80343205:1 gene:Sspon.03G0043370-1P transcript:Sspon.03G0043370-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEYCVTGGTGFIAAHLIRALLAAGHSVRATVRDPEDEGKVGFLWGLEGAAERLQLVRADLMVEGSFDDAVNGVDGVFHTASPVVVVGKDDVDVQATLVEPIVKGAANVLRSCARAPVPARRVVFTSSCSCVRYCHAATLNESHWSDADYCKSHNLWYAYAKTLAEKEAWRLAKEHGIDLVVVNPSFVIGPALGPRPTSTILIVLAMLKGELGKYPNTTIGFVHVDDVVLCHVLAMEDARASGRLICSCDVAHWSEILESLRERYPQYPIPTECSSQKGDDRPHRMDTSKVKALGFPPFLSVQQMFDDCIKSFQDKGLLQ >Sspon.06G0021200-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:13998795:14005258:1 gene:Sspon.06G0021200-2C transcript:Sspon.06G0021200-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIVDAVSTDAGAPAAAAAPSQPGNGQTVCVTGAAGYIASWLVKLLLEKGYTVKGTVRDPGMLAFCYIYIRAARQRGVDLVVVNPVLVVGPLLQPMVNASIAHMVKYLDGSARTYANAVQAYVDVRDVADAHLRVFEAPRASGRHLCAERVLHREDVVRILAKLFPEYPIIPTSILPSLSLHQHIVAMLWVLRRGESAEAAVQVLEPELGDLGLEFRPVSQSLYDTVKNLQEKGHLPVLGEQTTEADDKEAPAAELQQGGIAIR >Sspon.04G0025700-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:26196587:26199110:-1 gene:Sspon.04G0025700-2C transcript:Sspon.04G0025700-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRALVASFAWACLLLLQVAGASHVVYENLEAVAATAKVPPSIVNPQLRTGYHFHPPKNWINAPMYYKGWYHFFYQYNPKGAVWNNIVWAHSVSRDLINWVALETALRPSIPSDRYGCWSGSATVLPDGTPVIMYTGINHPNIDYQVQNVAYPKNKSDPLLREWVKPSHINPIIVPERDINVTQFRDPTTAWRAADGQWRLLIGSATGGGSRGVAYVYRSRDFRRWTRVRRPLHSAATGMWECPDFYPVSTDGRRVGLETSVSSGPRVKHVLKNSLDLRRYDYYTVGTYRQKVEQYVPDNPAGDEHRLRYDYGNFYASKTFYDPAKRRRILWGWANESDTAADDVAKGWAGIQAIPRTVWLDPSGKQLLQWPIEEVEALRGKAVTLGTAVIKPGHHVKVTGIQTAQNFMELPMRLQADVEVSFEVSSSALAGAEPLDPALAYDAERLCGIKRADVKGGVGPFGLWVLASANLKERTAVFFRVFKAAGSNKPVVLMCTDPTK >Sspon.02G0032640-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:113489613:113491582:1 gene:Sspon.02G0032640-2B transcript:Sspon.02G0032640-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQPPERATAALIYAMVARGTVAVAEHTSYTGNFRDIAAQCLHKLPAGNNRFTYTCDGHTFNFLVSDGYAFCVVATESAGRQIPMAFLEMIKEDFNKRYAGGKAATATANSLTRDFGPRLRDQMQYCTDHPEEVSKLSKVKAQVDQVKSIMMENIDKAIDRGIQIDGLVTRTEQLHEGAADFRRDGARLRRKMWYQNMKMKLIVLGIIVALILIIILSICHGGCGK >Sspon.01G0017250-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:63031028:63039635:1 gene:Sspon.01G0017250-1A transcript:Sspon.01G0017250-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGISFKLSKVGVRVHPAARSASAALAQAAEAEKPGAGEKEGSLSESRREDNFVERGKDVNGIKILPACSKEILPDHEVSFTFSLYERGYLISKSASMDPSQTSIQDGKTLHPYDRASEKLFSAIEAGRLPGDILDEIPSKYYNGSVVCEIRDYRKHVSNEAPASSAELGLPIVNKVRLRMTFENVVKDITLLSDDSWTYRDFVEAEARIVRALQPELCLDPTPKLDRLCQDPVPHKLSLGIGKKRRLRQNPEVVVTSSNMSHGKKVCIDRLPENAKVDEMGITSSNAAQQVGDNITIQNISVLGGSRPNNSSQDAARMHLSQSGLQQALSYSAAGNDRMAGLPANFSGINSSISSPQSMIGYNDTVAANGLLSVKREMQDAPLQDPKRIKPTGGIDHVQQQQIRPQPLGGQEMQWKNHQLHPQLDVKGMQYASSLSGQRYPSSMMSNMQDPGSSLYFNHQHNLRYGAKQEQMDGSDKSKDALQSMAPESSMLDQQQSQAQHLPQQSAARNNVPNMGQWQNTRFAAEKDLKKEETIPRRKLAPSSRAPSGPMVQSPVSSKSGEISSSSMGGQFGSAVTSAVIGVQKDKFAANSSAPVGFPSVASSPSDSMHRIQQPAVASSKRKTNSVPKTQPPVSAVGSPASVSNMHAPLNASSPSIGTTPMGDQAILDKFAKIDNISLRYQLFNKKNKVDKISQKKTITNQNHQDVARCLNSCFHSEDYRDTTRPLCNSMISGTINTCKTRVINFVSTNRMYQGHSRPFQVIFKETSDETVKMQYGDLEDFDGPNSYDCVFILPTKYYADLLAEQLIPLMLQDGHSKADDKVVRGTPLANLSTLSGILPDNLVSDVKQEGGVSQQLNAAAHANVAPGTQMQQLPVNRMLSSPSSNQVLAMQQGYMQGAAMPPRSQQLDQNLVQQPQQQQPQQQPLQQNAQAQMQQPSSLPLNQMQRPQLLPTSPLSQMLGPGSNLPMGSSQIGNNKAPTSLQLQMLQAQQQQPMSRKVMIGLGSAMNMGNMVNNVGGLGSLGNVMGMSNVRPISSPMGSMSGLGNNSNPMNMGMASNLAGLRPGMNPAAFAKMRMGLAQQRAAGMYPGMVGMPGSSSPILPSSAGLSMMGQPLNRSNLGPPPSPQLSSQTHGSVNSIANSPMEQLQGANKGGPGSM >Sspon.01G0000810-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:2755472:2759333:-1 gene:Sspon.01G0000810-1A transcript:Sspon.01G0000810-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLHAASAGGVVAYAALALAALRLLLSYKSALYALRRLWRCADEWAQAYQYHEVPRFAACDGAENPLFRKAAAYVAALPSLEDADAACVVSSASRTNGGLSLQLGPGHTARDAFLGARLSWTSAGGAGAERLVLRVRRHDRSRVLRPYLQHVESVADEMEQRRRELRLFANAGTDAATGAPRWASAPFTHPATLDDVAMDPDLKARVRADLESFLKGRAYYHRLGRVWRRSYLLYGPPGTGKSTFAAAMARFMGYDVYDVDLSRAAAAGDDLRALLLHTTPRSLVLVEDLDRYLQGGGGDAEARAARVLSFMDGVASCCGEERVMVFTMRGGKDAVDAAVLRPGRLDIMLANRASPSRALRSVITKLQHVASGGGAPPRNPSHRRNTSWSGAGNQWEDQAHSARASADSAQADDETAAGAPPTCGVFGKEAPMREFKKLYGLIKIRSRREGSGVVPLEGDAHGPPTPGNLDRE >Sspon.05G0025570-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:21468360:21472277:-1 gene:Sspon.05G0025570-2C transcript:Sspon.05G0025570-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFWGVEVKPGKPYTLTHGDFIGRLRLTQATLGVEVGKGEKGTGVKRCVLQCSIESKDPVFLCVLMPEQSETCHLELEFEEEVTFSVIGTRSIHLAGYYMTDVCGEDRSDSDSGSDSQGSDEGGFLEEDDDGNMVMGYSDSEDDDSEYDSESDDDEMAYNRGRGKSSVVIEEIEEDDKAAVAEVQKGSKKKQSRENGDESQLQLAVRNPPTESLENEDEDGFPVSFSESKKSSESVSNKKGGKVKETSTEDRKRKSGATSDRGDSSGEVKAEIDGASSKKKKKAKAKALLWTLENTKQKKKKNKNKSASEGDASEQSAKNNNIHKDNEEASAQEASKKNKKKKADDINRSESQAATGLGESDSKEPLQTRTFANGMIIQEVEMGKPDGKKPPVGRRYIGKLKNGTIFDSNVGGRPFEFRLGVGQVISGWDVGVNGMRVGDKRRLTIPPSMGYGSKRVGQIPQNSTLIFDVELVNVK >Sspon.05G0012350-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:37561554:37565497:1 gene:Sspon.05G0012350-1A transcript:Sspon.05G0012350-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASASSCKVIDSHLHVWATPQQAKEEYPYFPGQEPTLRGDDDFLLECMSEAGVDGALIVQPINHMFDHSLVTSTLKKYPSKFIGCCLANPADDGSGIKQLEHLIVQEKYRAVRFNPNLWPAGQKMTNEVGRSLFSKAGELGAPVAILTMKGIGPYIQEIEELCRDYPATTVILDHMSFCKPPMNDEEEKALSSFLNLSRFPQVYVKYSALFRITREAYPYEDTAQLLSRAISHYGASRIMWGSDFPYVVPECGYKGGREAISLVAGKIPVSPSDLEWILGKTVSQLFQGAWVTP >Sspon.05G0010620-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:21544816:21549040:-1 gene:Sspon.05G0010620-3C transcript:Sspon.05G0010620-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWSAPDDILLSTSLAGFLDKKLIVLLRDGRKLLGTLCSFDQFANVVLQGACERVIVGEQYCDVPLGLYVIRGENVVLIGELDREKDELPAHMTCVSEAEIRKAEKAEREARDLKGTMRNGWSS >Sspon.07G0010900-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:36433299:36435555:1 gene:Sspon.07G0010900-1A transcript:Sspon.07G0010900-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMNVIALLGLLALASVVRTASGAGGHDYAAALKKTILYFEAQRSGVLPPNQRVTWRGNSGLFDGKANGVDLVGGYYDAGDNVKFGLPMAFTVTMMSWGILEYGRQMAAAGELHNAMDAVKWGTDYFIKAHPEPEVLYGEVGDGDTDHSCWQRPEDMSTSRQAFRIDPQNPGSDLAGETAAAMAAASLVFRNTYPGYANLLLEHAKQLFQFADKYRGKYDASITVARNYYGSFSGYGDELLWAAAWLYEATEEGCYLEYLARNGDALGGTGWSINQFGWDVKYPGVQVLAAKFLLQGRAGAHAAALQRYRQNAEFFVCSCVGKGAVNVPRTPGGVMYHQRWNNLQFVTSASFLLTVYADYATALPGSGGAVRCPAGAARPFEILAFVRSQVNYILGDNPRGTSYMVGYGGSFPRQVHHRGASIVSVRTDPSFVSCQEGYSAWYPRQAGNPNVLEGAIVGGPDEYDDFADERNNYEQTEAATYNSAPLLGVLARLAGACGTGFEEYQLSPPEAAANQTSSPSRRRPRRHAPLPSSPIEIEQNVTRTWARRRTTYYRYSVTVTNRSRKTVRELHLGVSELRGRLWGLDKARYGYVPPKWLPALRAGKSLRFVYVQHGTPANVWVTGYKLL >Sspon.07G0007580-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:17915851:17919895:-1 gene:Sspon.07G0007580-2B transcript:Sspon.07G0007580-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNRNKMSFQAPTRIQAQAIPVAISGQHMLVKAATGTGKTLAYLAPIVHLLQMREPRWIVFDEADSILELGFGKAVEDILEHLGSRNGAPGQIKNKGEHIRRQNLLLSATLNEKVNRLAKISLKNPVMIGLDDQNKPSGKPNNVGNSHTSLLSDEEDEILGKQNDLVEHAVDDFKLPAQLVQRYVKVSCGSRLAVLLTILKSLFERQVSQKVVVFFSTCDSVDFHHTVLSQLKWSSDPQLDIDKKQNFLSCKVFRLHGNMEQDDRKKSFLGFGSEKSAILVSTDIAARGLDFPKVKYIIQYDSPGEASEYVHRVGRTARIGEKGEALLFLQPVELDYLKDLELHGVSLTEYPFQKRSLEAFVAAEDATKKLARDAFCSWVRAYTAHRGELKKIFMVKKLHLGHVARSFGLKEQPSLVGRSHQMQLKKRKKEQKREWPAKRRKLPSKK >Sspon.02G0010730-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:29126411:29129061:1 gene:Sspon.02G0010730-1A transcript:Sspon.02G0010730-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to Peroxidase 55 precursor (EC 1.11.1.7) (Atperox P55) (ATP20a) [Source: Projected from Oryza sativa (Os09g0507500)] MRSASSSLWNNNTPTHTQSLSSKAMEKWRRRRHLLLVAAAVALVAAMTLPSAEAKLSPDYYRLTCPDVEAIVRAVVAKKVNETFVTVPATLRLFFHDCFVEGCDASVIIASRDNDAEKDAPDNVSLAGDGFDTVVRAKAEVEKKCPGVVSCADILAIAARDVVTMSSGPHWAVQLGRLDGLVSKAGNVAGKLPGPNMRFKDLAAMFAKHNLSTLDMVALSGAHTVGFAHCTRFTDRLYHHGVGDGGNGASVDPSYNPAYARQLMEACPPDVGAGIAVDMDPITPTAFDNAYYANLAGGLGLFTSDQALYSDGASRPAVRDFAKNQRRFFEAFKDAMVKLGSVGVKTGRHGEIRRDCTAFNE >Sspon.06G0023030-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:39884689:39885263:-1 gene:Sspon.06G0023030-1B transcript:Sspon.06G0023030-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DGAQVEGDRVRAPPDAARALRRRQQWRRRGDGDGGGGPGVHGQEPAPLVPLHLRPPLQQRLRQGGRRVDRRLLPPPLLQVPEGML >Sspon.01G0047260-2D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1D:89272152:89272553:1 gene:Sspon.01G0047260-2D transcript:Sspon.01G0047260-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGALCLLYPSTPPPCPCDTRAAVAAASPFFSCAATAATTRLQLCGRSQRRAGVARVGGGSGGKGEGGKSGAAAFFDEDGVVDDMDGYLNYLSLEYDSVWDTKPAWCVPLLLRSCFLLDIALIKIGEDYDGVDI >Sspon.02G0030440-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:109469859:109472948:-1 gene:Sspon.02G0030440-1P transcript:Sspon.02G0030440-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPIAAAATALPAAHLARVVAASGSGGATPPRASPRRGRRGKPGFSRRSAIKKSFHQEQVVFSTPVSADPTVAVIGGGASGLSCASALAARGVRSVVFDTVHIAPHFASLSSLHSPHHPLPREAAHRTGSMLMQGMHGLGGRMATRFVERGEQLVFDHAAQFFTASDERFQRLVDEWLDRGLVREWSGLVGELEAGGRFRPIPSLAPRYIGVNGMRPLADAMLLERLELSSVWALLAAFEDPLPVPRIDSHGAFEGAFVTDVDSLSWMGNNTRKLFPMQIGTPECWTFFSTAAYGKRNKVPQENIPKVTAEKVKEDMLGGVEHALGGAALPMNTPGVSCIFDPLGRAGICGDWLTGSSIEAAVLSGMSLANHIADYFVSNGDRPEEFAIGLHEDLNAVEGHDIGQFPGLDTQTPQAANDTVLLPRNRLPKFKGFAKLKTMPSLDQFPVL >Sspon.04G0028750-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4B:67994178:67994435:1 gene:Sspon.04G0028750-1B transcript:Sspon.04G0028750-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGRESTTSRWPELATLRALARDAAEQLQLQLPRVGMSASAGAAGGREPTKRQPAGWRGRDREDHVHGHGKTAKVMHLLLWGPK >Sspon.07G0017400-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:67362127:67363347:1 gene:Sspon.07G0017400-2B transcript:Sspon.07G0017400-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLAYRAVIEPYSMSPSNYHASTRSPVVLGAVILLLLLVVCKDDGSGGSLVFLLATATEGETGSTGSSGSSGHNKPTGSGPPRPHTVDVPLSRRNPSIGDIRQVAGHH >Sspon.06G0004460-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:11375679:11378052:1 gene:Sspon.06G0004460-4D transcript:Sspon.06G0004460-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSMALTSPSLPAAGPVTGRRLQRVRAAAVSDEPKLNKYSARITEPKSQGASQAVLYGVGLTDADLRKPQVGVSSVWYEGNTCNMHLLRLAEAVRDGVREAGMVGFRFNTVGVSDAISMGTRGMCYSLQSRDLIADSIETVMGAQHYDANISIPGCDKNMPGTIMAMGRLNRPSIMIYGGTIKPGHFQGNSYDIVSAFQCYGEYVSGSITDEQRKNVLRNSCPGAGACGGMYTANTMASAIETLGMSLPYSSSTPAEDPLKLEECRLAGKYLLELLKMDLKPKDIITEKSLRNAMVIVMALGGSTNAVLHLIAIARSVGLHLTLDDFQKVSDQVPFLADLKPSGKYVMEDLHK >Sspon.01G0056360-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1C:88767992:88768981:-1 gene:Sspon.01G0056360-1C transcript:Sspon.01G0056360-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVSSSLSPTVAVINSDMSTTSRWASSLPDDLVRLIASLLLAGDLLDYVRFRAVRLWRSGTASPRGRGVVDPRFHPRRWMMLPEGNGLHPGHPKLHGYVRFFNLDTGAFVRVHIPLFQDHCVLDSIDGLLVLQRDHDTAVRVLHPFTGDIVDLPPLSTLLPQSHLCGLTGLPMKRRLRFLRNVSAAATFVDGVVTVMLAFVRLHHVAVATPQDQQWTMSTWSCPGHALWPSQGKIYVSCATFGFPSVIQIFQIDTPRLPDELLQPPKLIATCNGVGQRYQAISIVECDSEILVMFYSWFFLPTGRVKPPASGSSLPVRFAGNRPVTGRV >Sspon.06G0008550-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:45128461:45129500:-1 gene:Sspon.06G0008550-1A transcript:Sspon.06G0008550-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQARVHQLLASLAAIYLILAVTQHVIVTGILTDDLEIMWGNAKFVTDSSGQQAIALALDRSTSSAFRSKKTCQFCRVDIEIKLVPGNSAGTVTTFYMITENPWQFHDEIDIEFLGNSSGQPYTMHTNMYARGQGDREKQYKFDFDPTQDYHKYTIIWNRDWILFLVDDKLYRQIKNNQIYGAPYPYYYPMRVYATIWNADEWATQGGRVKTDWSQAPFTAYFRNYRAISCDMYQASPLCLPGSGWFDQQLDESRKQQLAQVDSSNKIYDYCTDSK >Sspon.08G0014150-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:58078927:58085020:-1 gene:Sspon.08G0014150-1A transcript:Sspon.08G0014150-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding METAAPARVQALAETGVSRLPAQYIQTPENRPTPSPSPVAAALSVPVVDLSSSTAADAVCAACTDWGAFHVVGHGVPGELLDAVREAGLAFFRAPMGDKLRFACDPARGAAAEGYGSRMLANDDSVLDGVITSTTTRSQSPAVIPPTGPTSDTIAKYSNSMKDLAQKLLCIISENLNLPPSYIQEAVGEVYQNITISYYSPCPQPDLALGLQSHSDMGAITLLIQDDVGGLEVLKDGMWIPVPALRDGILVILADQTEIITNGRYKSSVHRAVVNAERARLSVATFYDPSKSRKICTAPQLVSKDEPQKYRDVIYGDYVSSWYSKGPEGKRNIDALLIQNYSNVMNEFPFLKFEISLMNKPTVRQFMRKGINNTLWKISRDLPVKIVE >Sspon.01G0054160-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:48996482:48997172:1 gene:Sspon.01G0054160-1C transcript:Sspon.01G0054160-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRNQNARFWVSLLKRYRNVVQKNFSVFSEHPKNLMDKERPIDITRLASSSCSMPSSAKLRLMPPHNEAVIPKPDCPRAEFELVAWESRIPPYKRFVNLATYCDDDEAPISQQQHTASPSRTRKRKVFFAFYE >Sspon.04G0017700-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:64485474:64491316:-1 gene:Sspon.04G0017700-1A transcript:Sspon.04G0017700-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDRSSSTGPTFFPFGPQAEAWDVTFKVKYGDTLKRFYGCVNGNHFDMNLSALRAKIVTAFKFGPDADFVLTYADEDGDIVMLDDDDDLRDAALRQKLNPLRITVQLKKNQPTEKKDASAPVKPTAQDPLSQIMSAIEGLKPVQEDSLAHIKSAIGEAIKSIPEPIPDALAKLSHEILDAAPPPLAELMKPFAQLMAPNSIGNGPSHVHAEGSSSSSSGVTQTQAPAPAPAPAEAKTEPKVKPSLGLRAVLKEAAAPVPNAGASQVQQPSMYPSVEELLFPCNSVDKSVCKGKTDAQNKGESSPNLPLTLFLLMPHLCHLHAFQNGPDHEEANNTHGNMKTMQKPLVIDGTFQCTKCPMHHLFQQCHPRAMALLHIFLIQAASCLLDIHTEILRVTWKTQQHVVCTDGFSVMAVECNQLLVRVINLMKEDYDLCDSCFQRMGNEMEYTKIDKPILPHRFLRDPHANRKVHHTRVLMKSKREKLESRFILDVTVIDGTLMTPSTPFTKIWRMHNNGSVVWPLGTQLIWVGGDQFALQTSVPLEIPVDGFPVDKEIDVAVDFVAPTRPGRYISYWRLASPSGQKFGQRVWVHIQVEDPSFVNDNSKNAAINLNLPPESNSANTTNLIDVNIEPVDSALSAHAKRTKEFHFCSTDVSEPKKFLPATLVSTSLSAAAPANPTGDVSISSTPAAAFVPSVNVPMPEVFTTDTPLPAMPVLPTNIPISAPVSAPAIAPVPAPPPVNAVVPELSDLDVHNEEKLLRELEEMGFRQIDLNKEILRQNNYNLEQSVDDLCGVNEWDPLLAELEEMGFDDTEVNKELLAKNGGSIKRAVMDLIAREKKDK >Sspon.02G0006650-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:14097454:14098745:1 gene:Sspon.02G0006650-2D transcript:Sspon.02G0006650-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPASAATPPPAAYGKLDKMDAEEARHIKAQFLIHKVLEEATSSSSTARSRRPPTALVRVKARIGVRLKKLRLAIRGIRLRARRTVQRHLRNLGRLIAHGGGWGSSRRSGAGLRCVRARDSTGAGAMPEHRHTVVRFAHGPLLGR >Sspon.01G0030240-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:105079078:105089782:-1 gene:Sspon.01G0030240-1A transcript:Sspon.01G0030240-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SEC22 [Source:Projected from Arabidopsis thaliana (AT1G11890) UniProtKB/TrEMBL;Acc:A0A178WBU4] MASIGTKELVASIRIKGWRLYVPMEILPDVAVDLVGGCARGRAGGGRRCWGSGSGLLDTMVKLTMIARVTDGLPLAEGLDDGRDQKDADFYKQQAKLLFKNLSKGHHEASRMSIETGPYYFHYIIEGRVCYLTMCDRSYPKKLAFQYLEDLKNEFERVNGNQIETAARPYAFIKFDTFIQKTKKLYLDTRTQRNLAKLNDELYEVHQIMTRNVQEVLGVGEKLDQVSEMSSRLTSDTRIYADKAKDLNRQALIRKYAPVAIVIGVVLMLFWLKNKIW >Sspon.07G0018090-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7A:65219824:65225837:1 gene:Sspon.07G0018090-1A transcript:Sspon.07G0018090-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding KRVRFKFTSNPSAGTSAGGGGGDGAAAPASAPRREPPSSPPRSPSPPEPFTYNFGDGGGGDGTAAAPAPAPQRKPSASLPRSPSPPKPFTYNPSAASSGGGGGGGGCGAAPKLSYSLMLLRRQQPTRPIRPIPPTPLTLPFLVTPPLQLLQPICCSPAAMARPPTHSSPSPPSPPPSLPLVPPTPPWPRAPGPLVGGPHWIADSGATFHTTPDASLLSSLRPPHPSCPSSIMVANGACIPVSSVGTASPHGSFHISDVLVAPGMVHNLLSIRRFTTDNSCSVEFDPSGLTVRDLASRCPLLRCNSTGPLYTLQFPASVSPPSPSSAAFAATSSTTTWHRRLGHPGRDALTQLSRSSDIRCSRAHDDHLCHACQLGRHVRLPFQSSSSHTTRVFDLIHCDLWTSPVLSMSGYKYYLVVVDDFSHYSWTFPLRAKSEAFPTLSHFFAWVSTQFGLTIKAVQCDNGREFDNSTSRAFFLSHGVQLRMSCPYTSSQNGKAERMIRTTNDIMRTLLLQASLPARFWAECLHTSTYLLNRLPSTACPAPTPHHALFGTPPRYDHLRVFGCACYPNTASTAPHKLAPRSTLCVFLGYSPDHKGYRCFDLASRRVLISRHVVFDESAFPYSTTSPPPPPADPAEASFFPTDPAIPPPFSFYPAGPTPAHSPGGPASPLPDSHQDPPVPDTVEAAPELPPSLPVASLPPVVPDAAVPIAEPTTPTPPPPGRFGLVYQRRREPRPPSPLPDASASSTSVGVSRLRRSRHQRRRRRCMLRRHRNALAPTRRCTTRLFFTGTLVTLTRW >Sspon.07G0032820-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:55054034:55054210:1 gene:Sspon.07G0032820-1C transcript:Sspon.07G0032820-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding TLQNHQGNLGGVSFRAVRVCLLVREGSKLWQRLCASPPRKLDLLLNSSCDACILLNYY >Sspon.02G0052780-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2C:96308748:96309527:-1 gene:Sspon.02G0052780-1C transcript:Sspon.02G0052780-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASAESSSTTAATTAGQGAGRQQQHTQMHMPLPRAIQLVMAISSGSKSSTSTSSTKTIGEYGSDRVFLWIMLVVLPSFCIAAAASSTYAILRDDDAVEELISGAGGYSFLAVPILVYVYMAVLLAAVGHLGLFAPRTPYAAWDAFRKGYEWIGVLAMVISVGVCLLRVMLNQAWPLLVAWNYLLGLLVASTVAFWLCLLRTYGDGGGERRRENSSCGEAVIDGLAYLFGRLLYEFAVRFHGVADASGMQQLDAADVAV >Sspon.07G0015750-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:61823207:61823419:-1 gene:Sspon.07G0015750-2P transcript:Sspon.07G0015750-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTTAAPECGGAKTSWPELVGLSVEEAKKVILKDKPDADIVVLPVGSPVTRDYRPDRVRIFVDTVAGAPH >Sspon.06G0016940-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:76301969:76303626:-1 gene:Sspon.06G0016940-2B transcript:Sspon.06G0016940-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRHCRIARQVPRLLNVCLGRNTYCHHGEFDDPIEKSWAREIEISEERDEILEIQHGAHKEDMMKHATDRVMKLASERAVVVFTLSSCCMCHTVTSLMTEMGANAVVHELDKDPMGKEMERALLNMLGVGGPAVPAVFIGGRLVGGLNNVMSLHSVASCCRCSRASASAYGFE >Sspon.06G0004380-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:77222951:77223277:1 gene:Sspon.06G0004380-1P transcript:Sspon.06G0004380-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKKDKAPPPSSKPAKSGGGKQKKKKWSKGKQKEKVNNAVLFDQATYDKLLSEVPKYKQITPSVLSERLRINGSLARRAIKDLMARGLIRMVSVHSSQQIYTRATNT >Sspon.02G0029620-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:107766325:107767586:-1 gene:Sspon.02G0029620-1A transcript:Sspon.02G0029620-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKPNIPLHQHLLLLLTTWFLLFLRIQSTAVVAAVPVSRNLRCIPHERDALLDFRAGLIDPENSLSSWQGEDCCQWNGIRCSNRTSHVVELSLSFTDVGFRDGQMSSSLLGLKNLRTLELSSDKFTGTPIPEFIGGLKNLRYLSLSSTMFGGRVPPQLGNLSKLLHLDLLPQTTRPFPSASRTPSRSPSREFRIAPPPSTYRPSPSASRSFSLASEGADARGRRAAEPPSAAASRPTSLTDFECDRQPRAAPSASSAGLIHVSSGSGVKLLGGERATLLHASSESGVKLLGPKPRAPGLGLAQPVGRGVLFGPARHENQPKRPCLGRCPGTKHDLSMAQRPAVLYLARPDRAVPGLGSCQVGPGGPNGHL >Sspon.07G0024120-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:20643996:20647911:-1 gene:Sspon.07G0024120-2D transcript:Sspon.07G0024120-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGECKSNDYGAAAYWDARYSSGSPASAPRRLWVLRLTYPALRSLLRARVPASSRVLMLGCGNSLLSEDMAKDGYENIVNIDISSVVIEQMREKHKEITQLTYMQMDIRDMGFFGDGSFDCVLDKGTLDAMMCADDAPHGASKMLAEVARLLMPHGIYLLITYGAPKERVPLLNQSGCGWSIALYIMPTPGYRLGMSKGAPQPIMEEVTLTEDGQLPSDYVLKDPESQFIYVCHKLEEKGANCRDTDLEETANAN >Sspon.05G0012460-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:32358442:32364723:-1 gene:Sspon.05G0012460-2B transcript:Sspon.05G0012460-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRALARAASLLRRAAGSAPTTAALHHPSPGVGPFLAKNLPGFFSNGYSTLLAPPSEVLIPPELLSSRTVWTPDRELGQYEDLVARVTNFHNEDKGFMVLDGDVFDVPIRKDIVHRGTHSTKTISEVSGTGRKPYKQKGTGRARHGTLRGPQFRGGATMHGPKPRSHAIKLQKKVRRLGLKIALSARTAEGKLLVFEDLEVPSHKTKNIVQYISQMDDTKKVLLVDGGDIDKKLKLATQNLHYVNGLNVYSILQHDTLVMTRAAINRIVERMHTPINR >Sspon.01G0015680-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:45648877:45654664:1 gene:Sspon.01G0015680-1A transcript:Sspon.01G0015680-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVSDDEAAAAAVTVVGETVVPSSPPPASAAGQQPQPLVVGYALTKKKVKSFLQPKLLALARKKGIQFVSIDETCPLSEQGPFDIILHKLTSKEWQQVLEDYREEHPEVTVLDPPNAIQHLHNRQSMLQEVADLNLSNGYGEVCAPRQLVIMKDPSSIPDAVAKAGLTLPLVAKPLVADGTSKSHELSLAYVEASLPLLDPPLVLQEFVNHGGILFKVYIVGETIQVVRRFSLPDVNTYDLGNNDGIFRFPRVSCATNNAEDADVDPCIAELPPKPLLEKLGRELRRRLGLRLFNIDMIREHGRKDRYYVIDINYFPGYGKMPGYEHIFTDFLLSLVQSKYKRHLSGS >Sspon.03G0040300-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:28049516:28059469:1 gene:Sspon.03G0040300-1C transcript:Sspon.03G0040300-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKQFPSPAHSRLASSASSRRLLAAVAASLILLTASYFLLLSPSAHRSAPAILASPSATTSFLASLDRFLSDPHPSASAAAPGELDAAIRVQEEARLYGDDPAWPAPAAGPLRVYVYEMPSKFTYDLLRLFRDSYRDTDNLTSNGSPVHRLIEQHSIDYWLWADLTAPESQRLLKSVIRVQRQEEADIFYVPFFTTISYFLLEKQECKALYREALKWVTDQPAWQRSEGRDHVIPVHHPWSFKSVRRFVKKAIWLLPDMDSTRNWYKPGQVYLEKDVILPYVPNVDLCDHKCVLETQFKRSILLFFRGRLKRNAIRSKLVEELKSAEDIVIEEGSAGAQGKAAAQDGMRKSLFCLSPAGDTPSSARLFDAIVSGCIPVIISDELELPFEGILDYREIALFVSSSDAVQPGWLVKYLSGIDTKRIREIQSNLVKYSRHFLYSSPAQPLGPEDLTWRMVI >Sspon.02G0010300-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:32641081:32643958:-1 gene:Sspon.02G0010300-2C transcript:Sspon.02G0010300-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:U11/U12 small nuclear ribonucleoprotein 35 kDa protein [Source:Projected from Arabidopsis thaliana (AT2G43370) UniProtKB/Swiss-Prot;Acc:Q8VY74] MSGGSVSAVFYADKYHPIQVGSIDGTDVAPHDNAVLRALICSQAGLYDPFGDPKASGDPYCTVFVGRLSRQTDDDTLRKAMSSYGRVKSVRLVRDIVTGASRGYAFVEYETDREMRRAMSEVIVDYYRQQLMPGWIPRRLGGGLGGMKESGQLRFGGRERPFRAPLRPIPYDELKKLGIPPPPEGRYMTRYQVPPPPRRKSSKVDIEDSSPRRRSKDRAGVSTYRRQISSTDDDETPRRRKSSHDGLEESQSRRSARSAITREDNRYSSRRKSKGHDKGYRKRRRSREAGEVSPPEEDGSYERERTSDNPGRIHMRADTLTTGIADAVVTGITPREARAEITGTRPPVTSTTSMFHYVNFDLI >Sspon.07G0001790-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:4330525:4331664:1 gene:Sspon.07G0001790-1A transcript:Sspon.07G0001790-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLWERSRVVLDDGGDDFTFAAAQPPPRLLSGGGGGGCMGPPLLYPIFGRPRSPPRAAPAPAPEPETATARVPLGRLLLVDRDPPPPPAPADDVVDDEGLDSVPAEMFCPWSPGWSAAAAAPSPARCKKSGSTGSVLRWRPWLVVGRSQSDGKEKFVFLSPAASSSSGRSSGSRNQKGICAVAAAAGGGAHAWSCYVNDNRDGGARRRRSFLPYKQDLVGLFANATVFRRSYLPDADALPI >Sspon.01G0035800-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:15145702:15148211:1 gene:Sspon.01G0035800-2P transcript:Sspon.01G0035800-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKALTKLGSFTISRKAKQELSAIGDDISRFSSTVEGKAKWVFEKLKGHKKSLSNLLREHNLPPGLFPRNIICYEYDESTSKLVVHLSKPCEVSFKDSSTIRYAPRVKATLSRGKLSGVEGMKTKVVVWVKVASVSIESYKSDKICFIAGVKKLRQKDAYEVPREAVSVEEF >Sspon.01G0004500-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:11142278:11146816:1 gene:Sspon.01G0004500-3C transcript:Sspon.01G0004500-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:3-ketoacyl-CoA synthase [Source:Projected from Arabidopsis thaliana (AT2G26250) UniProtKB/TrEMBL;Acc:A0A178VYA3] MAREEQALLSTEIVNRGVEPSGPDAGSPTFSVRVRRRLPDFLQSVNLKYVRLGYHYLISHGVYLATIPVIVLVCGAEVGSLSRDELWRKVWGEATYDLATVLAFLAVLAFTISVYIMSRPRPVYLIDFACYKPADELKVSKAEFIDLARKSGKFDEDSLAFQSRLLAKSGIGDESYMPRCVFEPNANCATMKEGRAEASTAMFAALDELFDKCRVRAKDVGVLVVNCSLFNPTPSLSAMIVNHYKMRGNILSYNLGGMGCSAGVIAIDLARDMLQASGAGLAVVVSTEAVSFTWYPGKRRSMLIPNVFFRAGCAAVLLSNRRRDFHRAKYQLEHVVRTHKGADDRAFRSVYQEEDEQRIKGLSISRDLLEVGGHALKTNITTLGPLVLPFSEQLLFFAGVLFRHLFPSKASTPPPPTTPGDASAAAPYIPDFKRAFEHFCMHAASRDVLDHLQSNLGLRNADLEASRAALHRFGNTSSSSIWYELAYLEAKGRVRRGDRVWQLAFGSGFKCNSAVWRAVRRVRRPARSPWLDCIDQYPSRMDA >Sspon.03G0004900-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3A:13944829:13945465:1 gene:Sspon.03G0004900-1A transcript:Sspon.03G0004900-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGKGPRQQVPLPRAATKGPRMQAETLAETSQHQTEEKITVAINANLLKCCVCFGPLTPPIFQNVTWSSSLTSARLGLGFKGLPRSGGRRAQWGEAPPVVQWGKAVRQGKRGEMIEIADDLHQVTVTAVRGGGATEPGGRRWRRGARVEMKKTTRRDIQWF >Sspon.02G0032810-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:666671:670261:1 gene:Sspon.02G0032810-1B transcript:Sspon.02G0032810-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTDAAAMAVVRAARPALRGAHDGVAFAAHAAFLAAGYSLCAVGPAALADPSPSGDEEQGGEDKKKRVLVKCVVIGEVLAIDVLDLEAQDKGPYNIQINVKDFFVEEQPKNYGDMYKNFATFIETVNSNVFLIYPPIAPLGSDDLYPGPGAGFYPHSGIGSGGSMHVGPNDPRFFPSNPFNAPFGGPGSVPPGGRYDPIGPPGVPGFEPSSFVRRPRRPPGGSTHPDLEFFQQGPDF >Sspon.01G0009040-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:25159710:25171612:-1 gene:Sspon.01G0009040-1A transcript:Sspon.01G0009040-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLLGTSDPNGIAYIQTMNLDGESNLKTRYARQETTSMIYDDAYSGLIKCEQPNRNIYEFTATMELNSQRVPLGQSNIVLRGCQLKNTEWIIGVVVYAGQETKAMLNSTISPSKSSNLESYMNRETLWLSAFLLITCSVVATGMGIMIPISLYITMELVRVGQSYFMIGDTRMYDSSSVIPVSTESSHDLTSEVDEIGAIDYQGESPDEQALVTAASAYGYTLVERTTGHIVIDVLGERLRLDVLGLHEFDSVRKRMSVVVRFPDNNVKVLVKGADTSMLSILKVEIGDGLYDSLHVKIREATENHLSAYSSEGLRTLVIGSKNLTDAEFSEWQERYEEASTSMHERSAKLRQAAGLVECNLTLLGATAIEDKLQDGVPEAIESLRQAGIKVWVLTGDKQETAISIGLSCRLLTQTMHSIIINGSSEVECRHLLAEAKAKFGIKSADFGRDSQGTEDLYHGDISKLRPSNGHLSESAAQNFELTGVIAGDKSEYNEKVTNFDGTELALIIDGSSLVYILEKDLESELFDLATSCKVVICCRVAPLQKAGIVDLIKSRTSDMTLAIGDGANDVSMIQMADVGVGICGQEGRQAVMASDFAMGQFRFLKRLLLVHGHWNYQRIAYMILYNFYRNAVFVLMLFWYILYTAYSATLALTDWSSVFYSLIYTSVPTVVVGILDKNLSHNTLLCYPRLYEAGLRNEGYNLTLFWITMLDTLWQSLVLFYVPFFTYNISTMDIWSIGSLWTIAVVIIVNMHLAMDIQRWVLITHLAVWGSIAATFLCMVLIDSIPIFPNYGTIYNMAASRTYWLSVCLIIVLGLLPRFLCKVVYQTFWPSDIQIAREAELFKKLPQQLGSRPAKTKFGKVYKFGSWKRLQNAMEPLTKGTDCTVELKLAYSKYQKAISHMPRAITAVIWEITLWISPNSSSCNLQSLKLRITEHKIENVWILLGQSGFSGFGRMITSPTLQDFSLSSSGVQHIASEC >Sspon.01G0029940-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:104046415:104047386:1 gene:Sspon.01G0029940-1A transcript:Sspon.01G0029940-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSSDDWYHPESIEELHRLFDSNWFDENSVKIVASNTGSGVYKDQDLYDKYIDIKGIPELSVISSSSKGIELGSVVSISKAIEVLSDGNLVFRKIADHLSKVASPFVRNTATIGGNIIIAQKLSFETLLMSIFIPNWDSDGVTFETFRAAPRPFGNAVSYVNSAFLARTASGENLMEDICLAFGAYGIDHAIRASKVEAFLKDKPVSSFVILKAVQLLKETVSPSEGTTHPEYRISLAVSFLFTFLSSLTKSMNEPAKVNVINGSYTNGTRDTSSGYSLKEHLK >Sspon.01G0013360-8P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:36555990:36562639:-1 gene:Sspon.01G0013360-8P transcript:Sspon.01G0013360-8P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding PYVNSVQIVLNLKGLSYEYVEEDLLNKSELLLKSNPVHKKVPVLIHGGKPIAELQVIVQYLDEVFTGTGPSVLPADPYGRATARFWAAFVDDKVGSPWHTILFAREAEKKAEAASRIIAALETLEGTFRDCSGGRDYFGGDGAGFVDVVLGSYLGWFKVFEKMVGVRVLDAARMPLLAAWGERFTAAEAAKDVLPEDVDKQDLPMAGGGELKLLGLWTSPFVIRVRLALNLRGLSYEYVEEDFKNKSQLLLASNPVHKKVPVLIHDGRPVCESQVIVHYIDEVFGATGGPSLLPAEAPTPRSVPPPAYGPRTWMTRCVGSAWRTMLFARETDEKLDGATQAIAALEALESAFQDCSKGKGYFGGDSAGYMDVVLGGYLGWFSVLEKMIGVKFLDAARTPLLAAWAERFSTGDAAKGILLQDVDKVLEFLK >Sspon.03G0018970-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:44606626:44610261:-1 gene:Sspon.03G0018970-3D transcript:Sspon.03G0018970-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SSPATSLLAGGRTRRSAPARRATVIRAAAGSYSDELVSTAVSPPPPNPGLVPLPGSNNESWCQGLDGLASRCAEYYKQGARFAKWFVHTPIIHVSTNLIGVSFLKLLWLLIFSRRTVVSIPCGPSALAVKEAAWGLARYAAIAQDNGLVPIVEPEILLDGDHGIERTLEVAEKVWSEVFFYLAQNNVLFEGILLKPSMVTPGAEHKEKASPEAIAKHTLTMLRRRVPPAVPGIMFLSGGQSEVEATLNLNAMNQSANPWHVSFSYARALQNSVLKTWQGRPENVEAAQKALLARAKANSLAQLGRYTGEGESDEAKKGMFQKGYTY >Sspon.06G0002620-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6A:8371381:8372595:1 gene:Sspon.06G0002620-1A transcript:Sspon.06G0002620-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAILKRHSSTDTDSAMSTAAAGGEASAAVAASLSDDMLAEIILRLPVDSVARSKCVSKAWCATVSDGYLRRRLPLQLSVVYFPDDADSASARGKTRPRFACADSAAGDGGVGLLRDRDLGFFPFLDVSVVCDACNGLLLLRAAGTRRFYVVDPVTRRWAALPSPSRDPRLSMLAFDPSSGSGYHVINFTGRWRDRGGEVEVFSSETRAWSLRDAEFGVPAASLSGSVHFHASAVYVLASDPDCVVRMDVTAGLECAVAELPEPADGGGDGRLAHSAGRLHYVATDGAQRLKVWVLDGESPAALQWRLKHAVKLGDVVELEGECGGRGSEAWFLALHPEKDALYVWSAGKLQLLEYDLTRKEVTGAWAFGKGEKNRVVKTWLVPSSMYLTDCLPLADDAHVQEQC >Sspon.02G0050310-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:46529462:46533983:-1 gene:Sspon.02G0050310-2D transcript:Sspon.02G0050310-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEGNGLAELPRIALIGAGIFARTQYIPRLREIAHLVVLKAIWSRTQESAKAAAELACDFVPDIECKWGDAGLEEIMGDSSIMGVAVVLAGQVQVELSLKMLKAGKHRYSGQKVHLICIVIKILATTEAETALSIYNSFPNQFLHKPIWAVGENYRFEPAFIESSKLINDIGDMMHIQVIIEGSMNSSNPYFNSTWRRNFVGGFILDMGVHFIAGLRMLVGSEITTVSSISRHVDMALPPPDNICSLFQLENGCAGVFVFAVNSRSPKILWRVDGTKGTIQVERGVDSGKHGYQVLFSGENGQCQKTFYPFCGVNEELKTFVHDMLAAGKDGDHKAEPRSSYVEGAHDVAVLEAMMESSAKQGAPVQVKRF >Sspon.08G0021910-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:45645756:45647382:-1 gene:Sspon.08G0021910-3D transcript:Sspon.08G0021910-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLARTLVGGVRTRHAPSLASLLIRHGYASPASAAAAADDLVIDEDPPRAASTSAAAAPTTVSVAATVPTVLQPRVLIYDGVCHLCHRGVKWVIRADKHAKIRFCCVQSKAAEPYLRLVGMDREDVLRRVLFVEGPEAYYEGSTAALKVASYLPLPYSVLSSLLIVPTPLRDAVYDYIAKNRYDWFGKDDECIVTKDKEILERFIDREEILGGGPSNSFF >Sspon.08G0010480-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:46186726:46188451:-1 gene:Sspon.08G0010480-1A transcript:Sspon.08G0010480-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LTAMAALVAVVVLALATVVAGEASTPTAAATTTSKSPPVIYIFGDSMSDVGNNNYLLLSLAKCDYPWYGIDYKTGYPTGRFTNGRTIGDIMAAKFGSPPPVPFLSLYMTDDEVLGGVNFASGGAGLLNETGIYFIQYLSFDNQISSFEQIKNAMIAKIGKKATEETINGAIFQIGLGSNDYVNNFLRPFMADGIVYTHDEFIGLLMDTIDRQLTRLYNLGARHIWFSGLAPLGCIPSQRVLSDDGECLDDVNAYAIQFNTAAKNLIEGLNAKLPGARMYLSDCYSIVMELIDHPQKHGFKTSHTSCCDVDTSVGGLCLPTAALPRPQGLRVLGRVPHHRRRQPDHRRPPLRRNGGLRRRGPGNGTSPPRVVSAPTPTYAAPPRKRDRPCAQRLGTKRLFVWQRDP >Sspon.07G0020200-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7C:71611651:71614923:1 gene:Sspon.07G0020200-2C transcript:Sspon.07G0020200-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPVTATVAVGWGMKVVGWLASPIISEILKRGFSYLGFDASKKLKQLETRLLLLERVMEAVEESPHRPRLEKLFRELKSAFCEAEDILDNIEYYRLERQIKDNEVKLDGDACYSRMRHWVKKKLQSATPGSPLKNQESGMSKNQLKNGLEKIETIINDACQILEHLNLPSVANSNGRQNFPANSRSAVATGAPPLKVFGRDKERDKVIAMLHKKECDGQQKSKSGIPYSVLGIHGIAGSGKSTLAQVVYAREKKDKQENKVGHFDLVMWVHVSQKFDLDVIFRELMEGATGSPCPTFSSRNTLQEKLEEKLHGKRIFLVLDDVWYNSRDARQQEELQQILSPLKFGETGSKILVTSRSRDALLALGSVEERCIPIPDLDDEAFFEMFMHYALGDATIDDDHDRAKLEMLGEDIAKKLKRSPLAARTVGAQLCLRPNVEFWRRTKDRALLNDTMGALWWSYQHPDEQVRRCFAYCSIFPRRRHLERKELVQLWMAEGFIKTSNAEEEPDAIGQEYFDELLSASFLQLGERELEHGCEVDYFTIHDLLRDIAEEAARGDCFRIEKDFTGEVPPDVRHLFFRSCDIKMVAKKISELQNLRTLIFDDCLPPDDEVFQGMLRKFRKLRVLILRFDSGGGGDTFSVPECIGQLKHLRYLRLNVPTVGRLILPSSITKLYHIQLLDISYFVDVVFSGGKNMHHLVNLRCVISHADLDISNIGRLKWLQALPYFYVKKKQGCELRQLKDLNKLEGTLQICNLENVESKEAAVEASLADKERLTTLELLWGDNTSCSPEVEAEVLEGLCPSKYVERLEIWNYHGSTYPNWMVGKQNGGPKRLHNLGLHNCTRLEPAPELFEVFVHLRRFSLWRSNWPALPDNIGQLTSLQVLDIGHCSNIRSLPALPRSLQKFCLVFCNKEFMRSCETVEDPNWQKIQHIPEKVILHICV >Sspon.02G0010520-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:28856498:28860826:1 gene:Sspon.02G0010520-1A transcript:Sspon.02G0010520-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTHYYSCGAALLFLLAGVLAVAAPAASTTRSDFPAGFVFGAGSSAYQVEGAVAEGGRNASIWDTFTHEGHSSDNATGDEDVKLLHELGVDAYRMSIAWPRLIPDGRGAVNPKGLEYYNNLIDELLSYGIQPHVTIYHFDFPQALQDEYNGLISRKFIEDFTAYADVCFLNFGNRVKYWTTVNEPNIETVGGYDEGILPPQRCSSPFGFLCNGSNSTTEPYIAAHHLLLAHASAVSLYREKYQAEQGGQIGLTLLAWWFEPATQTPDDAAAAERMKEFHIGWFMHPIVYGDYPPVMQKNVGSRLPSFTDEERKKVKGSFAFVGFNHYIVVHVRADLNRLKQKLRDYMGDAAVKFDSKSPKSLYTFTSKPWALKKLLKHVRVKYKNPAVMIHENGAANRPDPSGGNSYDDEFRSQFLQDYIEATFQSIRDGSNVRGYFVWSFLDMFEYLIGYRLRFGLYGVDFSSPARTRYQRHSARWYSSFLHGGELRPVALLDRAYYR >Sspon.03G0032140-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3B:35203334:35205464:1 gene:Sspon.03G0032140-1B transcript:Sspon.03G0032140-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RHAPARSSLLAAHVLLSTPMRPPPHLATSPSAAFHAGSSRRRPRHRGGSGMTMSASCCRVAALVSLLAAGAATALLTFSLPASPGASTTRRTDFAGTLSAANETPPPPPPLPDLSVPPAPATPPPSPLSPPAVRPRKREPSYWRMAPEEALRYAKKEIRNAEPVLDDPDLYAPLFKNVSQFKRSYELMERILKVYIYQDGRRPIFHTPPLSGIYASEGWFMKLLKESRRHVVADATKAHLFYLPYSSQQLRLTLYQADSHNLRPLATYLRNFVRGLANKYPFWNRTRGADHFLVACHDWLGGYLYPREGRLPARNDHPDPQAALRYVGGLPVSRRSILAFFAGNVHGRVRPVLLKHWGDGQDDDMRVYSLLPDRVSRRMNYIQHMKNSRFCLCPMGYEVNSPRIVEAFYYECVPVIIADNFVLPFSEVLDWTAFSVVVAEKDIPDLKKILQGISLRRYVAMHDCVKRLQRHFLWHARPIKYDLFHMILHSIWLSR >Sspon.06G0010170-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:9273205:9276343:-1 gene:Sspon.06G0010170-2P transcript:Sspon.06G0010170-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMEGGGGGGGGAGVGGCLGLGHGGEAQIKGTHTHGGRYVQYNVYGNLFEVSAKYVPPIRPVGRGSCGIICAAVNAQTREEVAIKKIGNAFDNQIDAKRTLREIKLLRHMKHENVISIKDIIRPPRRENFNDVYIVCELMDTDLHHLLRSNQALTDDHCQYFVYQLLRGLKYVHSANVLHRDLRPSNLLLNAKCDLKIGDFGLARTTTETDFMMEYVVTRWYRAPELLLNCSEYTQAIDMWSVGCIFGEMVTREPLFPGKDYVHQLLLITELVGSPDDTSLGFLRSDHARRYVRSLPQHPKQQFRVRFPTMSSGAMDLLERMLVFDPSKRITVDEALCHPYLASLHEINDEPVCPAPFSFDFEQPSLTEEDIKELIWRESLKFNPDPIH >Sspon.08G0026210-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8D:8577984:8585894:1 gene:Sspon.08G0026210-2D transcript:Sspon.08G0026210-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VTMVTDAQTALDMLRERKDGNQFDLVISEVYMPKMDGFKLLELIGLEMDIPVVLLSANSETQSIMNGIKHGACDYMVKPVRLEQLRGIWKHVVKNIEKVGANHTKKYSRKNKKAVDVADEDNENTSTQKKQRVQWCGELHRKFVEAINQIGMDRAVPKKILEVMNVEGLTKENVASHLQKYRIYLRKLNEGTLRNSNPFADETEALWRNMNVPSFIGFPSSSNHFAKMNSLSANGTQASLPTESVQVMSSQRNLGIPQSNMEPVGHSFNLPKNAVTMPVQDISRFISSGKSYAPVSSGELPGASQCFPSGPSGSSFPNSSNGVVLNTSKPFSVDISGSSFANNSIDSPPLTSKMSLSSSRSCSSYASILRGKILGSIRGIPFEDISDGEMLAPSGHLPLQSPDLVTQPSVQLQSCSAGQFNKVASEVHQIAGPSNSWKAAAPSRFPDLGHNVGMSEDPSQGNIFKINQLSRFAGSSGQIPTFRNEYEKKFAGITGNTVPMVGFREQVAASSFGNNTHSTAMPNGNSALASSSSTRPDIQIDNSAMPTQVLNGGGASGNLHVGSTVNQQAVSDQLNNINEFLMGTSEAQNGESDDFDDFLAYFNQDFVNNGDSFIDGDWEFAP >Sspon.03G0010940-2D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3D:34609497:34611008:-1 gene:Sspon.03G0010940-2D transcript:Sspon.03G0010940-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVVLPAWSSIIMVLVALAVVGVRPPPCCRAEFTVVVPDTSAAALVDAPQPGFSDRARTDPAEQRAVQEVMAATGNGWAWGIPDVCRGRWHGIECVPDRHDVYHVVSLAFGALSDDTAFPACDAATATLSPAVLALPHLRSLFFYRCFTGNPQPIAALLGRLGPASFRSLVLRQNGHVGPIPAELGNLRALRVLDLHGNQLAAAVPATLQSLTHLRMLDLSYNRLAGPVPHLDFQRLSILDLSHNALQGGVPWSLGRCRSLLKIDLSQNRLTGTIPDALGELSELMLLDLSHNALSGPIPAALSRLASLRSLILSDNRMQFTTVPDGFFTGLKALTTLVLSGMGLAGTIPESIGELGELRVLRLDNNQFTGVIPASFRRLERASELRVDGNRLVGPIPFGKEMMWRLGNKLRVGGNEGLCYDTKQEGLEGVVALAGVADCDSVRSRTTQHLVWSNSAVVSRRGGVATVTSSAASVSRNAGGTRVDTTWLVFVCLHLAWFVAL >Sspon.01G0031230-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:107484493:107488006:-1 gene:Sspon.01G0031230-3D transcript:Sspon.01G0031230-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPARARADYDYLIKLLLIGDSGVGKSCLLLRFSDGSFTTSFITTIGIDFKIRTVELDGKRIKLQIWDTAGQERFRTITTAYYRGAMGILLVYDVTDESSFNNIRNWIRNIEQHASDNVNKVLVGNKADMDESKRAVPTSKGQALADEYGIKFFETSAKTNLNVEQVFFSIARDIKQRLSETDSKPEDRTIKIKAEGEADAAAAQKSACCGS >Sspon.03G0035950-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:25156208:25161871:-1 gene:Sspon.03G0035950-2C transcript:Sspon.03G0035950-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARMKQTTRKSTGGKAPRKQLVTKAARKSAPATGGVKKPHRFRPGTIALREICKYQKSTELLIRKLLFQWLIREIAQDFKTDLRFQSSVVAALQEATEAYLVGLFEDTNLCAIHAKRATIMPKDIQLARRIRGEGALSYTTRGGFILVCTSSACRDDELDVDFSLLGAWARNVSCCCAEPWGGDSCLNPSLRACHEREGSRDTCLNG >Sspon.08G0002090-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:3505466:3507317:1 gene:Sspon.08G0002090-2B transcript:Sspon.08G0002090-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIRLHVLASAARHAVSSSVLVCRLIAFHLTPLLLHLSYFLAVDLLGSLALVLLKPSKPGYQPRYVDVFFMSTSAVTVTGLATVEMEDLSSAQLVVLTLLMLLGSEMFVSLLGLVLESSRKQQQQRESHQDHDSRVMAAAVRDEPDLEEANDPAAPSADSSGDGGDRKESCRSVRTLALVVSAYMAAILVVGSVLVFAYVATVPTARDVLARKRINAALFSVSTTVSSFTNGGLLPTNESMAVFAANRGLLLLLAAQILAGSTLLPVFLRLAVGATRGLARALFLFTERGGPVEELVPMDMEKSAAAAGFGHLLPSGSRAASLAATVVAVAAAAATLLCCLNWNSAVFAGLTAGEKLTNAVFMAVNVRQAGENSVDCSLVAPAVLVLFLAMMSVTLLRAKLKHTTRQHVVRSLRDFACQPTTFRCIPASATFFSAHDDGGERKRSGAGEPECRDGAEKKKRRLSLNSMLLSPLACNAAAVMLACITERRSITVDPLNFSTFNVIFEELLKLTYGFLHACSAYGNVGLSTGYSCSRLPPAAEEATAACHDKPYSFSGWWSDQGKLLLVLLMLYGRLKGFHGQRRRR >Sspon.02G0040480-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:70066258:70067254:1 gene:Sspon.02G0040480-1B transcript:Sspon.02G0040480-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKFLLKAQLRAGTQSSSSAGTVGRDPESCLRFWRSFVDLRISPLHTKFHSPLSHSSELVSRAFRHFLATFTFNPIHRLRALYAQSFRRTLAPPSYRGCWHGVSRGFFLESCHDRALDERALQAALPFFTHAILLDRAFAHCPRFPTAAPRGSPGRVSVPVWLIIRKDQLSIIGLVSLYLTNYLILRRLIKQRF >Sspon.08G0023400-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:55237262:55239552:1 gene:Sspon.08G0023400-1B transcript:Sspon.08G0023400-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSARAMHPLQVFHDVTFFNGKLHGLSFCDKLFVFDIDYDLSDKPKISCTKCVVDHGEGLRDLPQPLSEGMVPIKKEYLVECSGTLLRVRRFYQCDCGGQARRYLKNYRTVAFDVFETGLGTNYRRWRKVSNLGGQVLFVGRHCSKSFSAVEHNGTQGDCIYFMCDYNPSPANPLHDSGVYNMTTGVISPLLSETPALPQQHHRGKWCPTWIFPADYIISDKPELTALRSAFLCSELLLLQQKGNVEIAENCASLMQQPAVALKPTR >Sspon.01G0036310-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:13371828:13376481:-1 gene:Sspon.01G0036310-2C transcript:Sspon.01G0036310-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLLLLHAAAAGNGGCARSCGALTVQYPFGFSPGCEIPLGCDDQANGTAWVGGARELGLLVSNVTARAVVLVLPQDCSRGLNASIQALFSMSYAPGSQNALVVSKCSPASPLHTNTSNCSDGDRYLDRSSRCNATTEPIRCVLPPVAPLPISSSASVHRFFNRTEMQTLAAECTGLVSAASYWEAPAPAPPALLLGVMELEWWVGGTCRCSRDATCTPVTTPIAGQQAFRCECREGYDGDGFADGAGCRRASKCNPSKYLSGDCGKTIQIALLVAGIMFGAMVTGVGCLAYQLLKRRSASIRTKRSTKRFLSEASCAVPLYSYREIERATGGFSEEKRLGTGAYGTVYAGRLSDDRQLAVDRIGRGCVDNIVDPYLDPHRDGWTLSSIHKVAELAFRCLAFHSEMRPSMTEVADELEQIQRSGWAPSADDAAFMSTSSSISSSVASTSGTDRSWGAGRSRTERAVVNASLVVQETAAKGAVDSPVSVQERWFSERSSPSSNSLLGNNCSLH >Sspon.01G0012530-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:33974196:33985022:-1 gene:Sspon.01G0012530-1A transcript:Sspon.01G0012530-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ITQQEFTEMAWQSIVSSPEVAKESKHQIVETEHLMKSLLEQRNGLARRIFSKAGVDNTRLLDATEKFIQRQPKVLGEEPGSMLGRDLEALIQRARDFKKEYGDSYVSVEHLVLGFAEDKRFGKQLFKDFQVTVKTLKSAIESIRGKQNVIDQDPEGKYEALEKYGKDLTAMARQGKLDPVIGRDDEIRRCIQILSRRTKNNPVLIGEPGVGKTAIAEGLAQRIVQGDVPQALTNRRDRLKAVLKEVTDSDGQTILFIDEIHTVVGAGATNGAMDAGNLLKPMLGRGELRCIGATTLDEYRKYIEKDPALERRFQQVYVDQPSVEDTISILRGLRERYELHHGVRISDSALVAAAVLSDRYISGRFLPDKAIDLVDESAAKLKMEITSKPTALDEIDRAVLKLEMERLSLTNDTDKASKDRLSRLEAELSLLKDKQRQLTERWEHEKSVMTKIQSIKEEIDRVNVEIQQAEREYDLNRAAELKYGSLNALQRQLQMTEKELDEYQSSGKSMLREEVTQDDIAEIVSRWTGIPVSKLKQSDREKLLYLEEELHKRVVGQDPAVKAVAEAIQRSRAGLSDPNRPIASFMFMGPTGVGKTELAKALAAFMFNTEEAVVRIDMSEYMEKHSVSRLIGAPPGYVGYEEGGQLTEAVRRRPYSVVLFDEIEKAHSDVFNVFLQILDDGRVTDSQGRKVSFTNTIIIMTSNVGSQYILNMDEEVGSSDSAYENIKRRVMDAARSVFRPEFMNRVDEYTVFKPLEREQINSIVKLQLARVQKRIADRKIKLEVSPGAIEFLGSLGYDPNYGARPVKRVIQQYVENELAKGILRGDFKDEDSIFVDTQVTVPSNGQLPQQKLVFRKVGEQLKTAAEGEKFLPAV >Sspon.01G0022050-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:78476047:78483536:-1 gene:Sspon.01G0022050-3D transcript:Sspon.01G0022050-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:TOM1 [Source:Projected from Arabidopsis thaliana (AT4G21790) UniProtKB/TrEMBL;Acc:A0A178UT97] MRKLLSSRLAALSSPEMASAAAAAAAGGAALALRGWWEEVNDSPAWQDGAFFSLSAAYALVSAVALIQLIRIQLRVPEIGWTTQKVFHLMNFIVNGVRSIIFGFHAYVFLLQRKVYTLVLLDLPGLLFFSTYTLLVLFWAEIYHQAKHLPTDKLRTIYIAVNSVIYVIQVCIWVYLGINDNPLVELVSKVFISAVSFIALLGFLIYGGRLFVMLRHFPIESKGRRKKLIEVGTVTAICFTCFLIRCIVVAFSSFDPDLSLEVLDHPVLDFFYYMLTEILPSALVLFVLRKLPPKRASAQYNSIR >Sspon.01G0044790-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:62863677:62864438:1 gene:Sspon.01G0044790-1T transcript:Sspon.01G0044790-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding NGLSRPAPPLSARRSFPRPLLLPVPLAPRFLALRRGRAPRGRPPLPRAALLLGPAARRLQESGPRPGRPPRPSRRAPRRRQEGTWARRSCYCGRRVHGHGWNLRDAAPPQGDHRRGRGRGVVGFPQLRLHCPLRPPRVPSRWHRGQGTLSCRPRRRTTSRLPSWFPSLHPGVAGANGTNSPAVLATGTTTMRSSFMGTPPCYPPHEMVARASAGGGYGSPGKPSSMLEGVGRTLKGRDLRRVRDAVLRQTGFLD >Sspon.05G0011400-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:32718599:32719785:-1 gene:Sspon.05G0011400-1P transcript:Sspon.05G0011400-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHVRVLPASVKVLWDNSKNKSNEAAGDGIPRLIYVSREKSPTQHHHFKAGAMNVLTRVSGVVTNSPIMLNVDCDMFANNPQVALHAMCLLLGFHDDVHSGFVQKIGYGVAGLQGIYYCGTGCFHRRKVMYGVPPDSATTSMKDSPSYNELQNRFGRSSELIESARSIISGDMFKAPTVVVADLTSRVEAAKQVSACSYETGTS >Sspon.05G0009550-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:27478492:27483133:1 gene:Sspon.05G0009550-1T transcript:Sspon.05G0009550-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQMQGRLRRVLRAPPPRILLKRAISSPPPGGDGDGDGGGGSGVAVKQVTRGNMAEALEKLQGRVREAAFVGVDLEMSGVTSAPWRDTFELDRADVRYLKLRDSAERFAALQLGVCPFRWDPAKSAFVAHPHNFYIFPRKELPSDCSSHEFLCQTTSIDFLAKYQFDFNTCFREGVSYLSRAQEEEALQKFNMLYHGQTATSSTNSEEDRDIPLKSASDILFTERMKMNFKEWRDVIVSKPMVDNHLSGNIKCCAGQFQTVFFKMRPAIMLNGFSSHQLKLIQQVLRKNFKDLVFVCTFGEDDTSEKKVVYSDTDEDRILLMKDVQEDLLKNREESVKSAIGIRHVIDLLSSERKLIVGHSCFLDIAQVYSKFVGPLPSSIKEFALSFHKIFPHIADTRHLMSVNQAVQKLMKHKSKSLSSAFSLLCPSSYSSAEKPSSHSPVTIEVEGDETTSSCFISGAKHEAGYDAYMTGCIFAQLCAYLGIKFEQFSPLENLATNTKLQKHINFLSPSWNSGTVIDLSTGMERPEPGYVRRYPAAVYDNIIVIWGFPSKVRPKEIKDCICKVFGSGSVTTVFSIDSTAALVQFKKQESVNDFLDLKAVLERADSAISILHPLSTILEGGQTRAAKYDTYRDICNSSESKYLFADQAEAVCATSKNQLKENVDDNLISGVLDGVAFASVTEGDGIISGSKNQDAVDVTCCDILDALHDGKALLGRRM >Sspon.04G0015040-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4C:62398012:62398527:1 gene:Sspon.04G0015040-2C transcript:Sspon.04G0015040-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFTFGGFGDPVFSAALQQLMDLPDELERQMNAPTRAYVRDRRAMANTPMDVKELPSGAIVLAVDMPGVSPADVKVQVEEGNVLTISGERKRPAEDGGAAEGKQQAAAGADGEKQGVKYLRMERRMGKFMRRFPLPESADLDSIRAAYKDGVLTVTVDKKPPPEPKKPRVVQ >Sspon.05G0015290-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:58837501:58845279:1 gene:Sspon.05G0015290-1A transcript:Sspon.05G0015290-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDVLTPPPQQPELAGEKRKREEGSLDASTEDAPSAPASTGSAEDAAGSGVSRHPMWKTSLCSFFRRRGAGAEGCSHGESCRYAHTEEELRPRPDGTWDPTSDRAKKLRKVAAEAQEEAEEVTVDEQALDKCLVGLPRGWSADRLKSFLQDQASSTSAQFLLEISYATAKKKKGMTVGFVTFQSVEQLTNAVQVLKENPSGGKEIKIVDANRRSHQKAHVEAPVSNTGTATENGSSPTNAEETPAPESDSSSKRSARDAVTPLAHMTYIDQLEHKKQSMAQILKKLTRNARKACPPAIPLPDWVFKSKEIGVDEALMKEEFDKLSAALIQGAATCSPSLPLTTIVVQDHKGISNAAPTDCPLIPLLVPKGDQLDGTAEDKTRIHDHISNLKFSISPTAFFQVVGIEMNESAVSDAHRNALINGIKNCRFVCSKAEDVMGPLLTEYLGSPQQQAAASESNSEINDTSKNEDTIDCTSCDGENIDSSKQRNDNGEGQQPMDTSVDHPTCASDEEVDGVNKVVDCSHDEHNVVSGEQNCGEASLINDKPIETSSDNSLEQGKACQDGSSNPNDNVLAANSCQFKNVVAIVDPPRVGLHPTVIKALRTHPRIQRLVYISCNPESLVANAIELCTPTSEKQEKNKGNRGWRSMSSAGLARQRTKSMPNSEPFIPKRAMAVDLFPHTPHCEMVMLFESGSRLRRHPIHLSAAEVERNLPMPCLH >Sspon.07G0014640-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:58041430:58047911:1 gene:Sspon.07G0014640-2B transcript:Sspon.07G0014640-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGDDAVLQYALAAIDDESAGAGEAAAALAALCDVLAVSGPDFIGAIPHAGLAARLPALLAGGSGSGGGDVPLLAARAIAEACEAAPEWASRFARHGAVEALRDRLLAVDCIELAEECLRALDAISLACPDECLRQGVAAAVLQFFDFFSTNKQKVALQIVSNIFTDYDEVNVLKAMEAVPALCNLLQYSDRMILESAISCLALVAAGASGNAKHMSKLCESNVVEVTMTLMDNEGWKSLSDATLTGILGLLKSLVGVSAKAVKSLFEFNFCELLKQMITYYSYLHHDSDKVQILVELIYHLMPPLETSGQHAKLVTAKRKLIMRQSRYMNQLASIVALIVQVAKSAALSSICYRCVVVIGKIVELSTPNFLMELQKTVNLSSFLNCLLARKNQHILFETLKISRNLLEKDQRFSLETFTKEGVKHAIEYIVSQSKNTSHQSERNNSMKESCLCFDLESSSTVEACSIENDAVMALAEEIKKNFFSKNRAKNLHIGLDLLSKVDFFARLNVYALTHPAENPDSCKQLSDLSRRLLSDELPVTSTFEFVQSGSIKCFAVYLSNGAYNADLNDGPVLEQLSKVQSRLQKFANLALTLSNESSANPLGILVEKLLETLHMCYDSFPVMLSDKQISRESMMIPLRYPEAQKPTSLELKFRRSQKERELRNYNDVLSVDLFSTPDTTEPILFPEVFRRTDQEPTSKNTNQEKVANGSRKSVESKNDDGNTSSRLRFLYNGVTLQPSVTFFESILRLMNKGQSNLLIDPSFWEEEHNITYRKRNKSKEISSQSSYYTRLSDVQENLQRAWSKDPFFTAILHGKLPGDLDVSDLSYNLLFMLKVLEGLNRFSYQLLMDEQINKFAEGTLQDINDLEVARYAVPQHHFVSSLLVNKLEVQMQDALFEDGLILSWCVYLVETCPFLLSFDTRWKYFCLTVHRSFVPDQVNSSPEQVHNILNQVNGHSDQVKSPPQSKKYRVARSAILEGAVSVMTSHGPSSRIIEVEFEGEVGTGRGPSFEFYTTASHELQRAGLGMWRGDSGEHGFIHAPFGLFPKPWSSSGTSSQGVDFTNMLQKFKLLGNLVARAVLDGRILDIPLSKAFYKVMLEQELDIYDIPLFDPELGKTVIEFQALVSRKKFLETSSRASNPKADLSYKNVKLEDLCLDFTLPGNPEYQLVTGGSEKLVTLDSLDEYVSLVVDATLKSGIAKQIEAFKSGINEVFALKALKMFTEEEMERILCGEQDAWAVRVFLYSQSLLKNLEDHMEFEHGYDMSSQAIIIFLEILREFGREDQRAFIQFSTGAPQLPLGGLASLDPKLTVVRKQCDGNVDDELPSVNTCRHFIKLPPYSSKEIMRTKLKYAITEGLGSFHLS >Sspon.05G0033280-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:28454882:28456022:1 gene:Sspon.05G0033280-1C transcript:Sspon.05G0033280-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AVQARHFSHDLAPVSGGSLLFLDEYAGCVPAPARIRDTTTVLSELAWCNNGFLPRKRPRLEAAPAAGGGFLEDQRVGTPPAGTELLLPVPPFVDVRNRTVGSGAASTSGRMANGAGATTVVSRELLSSWTHQHRVEIDALVALEVERMRAALQEARRRHARALLAAAGRAASGWLRASETDLERALRRNAELEEKARQAGAECQAWMGVARSHEAVAAGLRATLDQLVLQSPCCGAAAAGGGGCVAEDAQSCCFEQAPAAAAHATEEDDGACASRSKSLACKSCGSGEACVLLLPCRHLCLCRVCEAAADACPVCATTKNGSLQV >Sspon.07G0015780-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:61542313:61543568:-1 gene:Sspon.07G0015780-3C transcript:Sspon.07G0015780-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPSSPLPFPLPDLLFLLCDRFVRAAAESPSPACPSCGGGFLEEMGAPPPRTAYLRRPRLHHHHHAHHHVAAAAADLRPRRARRGTSGAADSRASPFNPVILFYDDGAGSGLRPLPDSMSDFLMGSGFERLLDQLAQIEASGLAAARARDAPPASKAAVESMPVVAVGAAHVAADAHCAVCKEPFELGAEAREMPCAHIYHADCILPWLALRNSCPVCRHEMPTDAPRAAGATAAAGATGATNAGEEETTVGLTIWRLPGGGFAVGRFAGGRRPEERELPVVYTEMDGGFNSGGAPRRISWGSRQTASTDRGTVRRFLRNVFACFGGRGHASSSAASRSHAMPELNDDDASDHSAAFSHGSRSRSTSWRLEDGHADAMVQR >Sspon.04G0006900-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:19802639:19803496:1 gene:Sspon.04G0006900-1T transcript:Sspon.04G0006900-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKLAFGSVGDSFSATSIKAYVSEFIATLLFVFAGVGSAIAYGQLTNDGALDPAGLVAIAIAHALALFVGVSIAANISGGHLNPAVTFGLAVGGHITILTGLFYWVAQLLGASVACLLLKFVTHGKAIPTHGVSGISELEGVVFEIVITFALVYTVYATAADPKKGSLGTIAPIAIGFIVGANILAAGPFSGGSMNPARSFGPAVAAGNFAGNWVYWVGPLIGGGLAGLVYGDVFIGGSYQQVADQDYA >Sspon.01G0015680-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:46571881:46578023:-1 gene:Sspon.01G0015680-3C transcript:Sspon.01G0015680-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVSDDEAAAAAVTVVGETVVPSSPPPASAAGQQPQPLVVGYALTKKKVKSFLQPKLLALARKKGIQFVSIDETCPLSEQGPFDIILHKLTSKEWQQVLEDYREEHPEVTVLDPPNAIQHLHNRQSMLQEVADLNLSNGYGEVCAPRQLVIMKDPSSIPDAVAKAGLTLPLVAKPLVADGTSKSHELSLAYVEASLPLLDPPLVLQEFVNHGGILFKVYIVGETIQVVRRFSLPDVNTYDLGNNDGIFRFPRVSCATNNAEDADVDPCIAELPPKPLLEKLGRELRRRLGLRLFNIDMIREHGRKDRYYVIDINYFPGYGKMPGYEHIFTDFLLSLVQSKYKRHLSGS >Sspon.08G0015830-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8A:63165616:63167680:1 gene:Sspon.08G0015830-1A transcript:Sspon.08G0015830-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQHRSFLTLFITVMSSFARAAVLVCGQHYYTAYEPYCSTTGNYSTGSPYQVNLVKLMSELPSSALANRGFQVGTAGEPPSTVSGLAMCYADSNWTACGVCLEAAAAGVQQACPFAREMKALYDEVCLLRYSDRPFFSVADVDVAFYVWEEDSFVAAADVAAFNATRWRLMARLAAEAAVSSLRLANGSEAYTDSWGGAGQVVVYGLVQCTRDLNASECNRCLANFVGELSSSLPNYTYGTAKGYSCYMAYSVGDKLNITIPPPALASPTPLPSATSPPPGPTPAAAALVAGVSVSCAVFVASVGISIWLLLRQRRRRKAREQELHAFDDDDDNDEPLEDEFEKGTGPRRFRYRELAVATSFFSDEEKLGEGGFGSVYHGYLKDTGIHVAIKRVSKGSKQGRKEYISEVRIISRLRHRNLVPRRRRALALLPWPLRHEIVLGLGSALLYLHQDWEQCVVHRDIKPSNVVLDASFNAKLGDFGLARLVDHDRESHTTALAGTMGYMDPECMVTGTASAVSDVYSFGVVALEITCGRRPVVVLRETQQGSEATAEHTRLVQWVWEHYGRGRVVDAADARLNGEFDGSEMERVMVTALWCAHPDRSLRPSIRQAVNVLRLEAPLPILPAMMPVATFVPPAHGGLLSESMDSVAAVTGSSGGSSGTGTTHPNT >Sspon.06G0028900-2D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6D:11343282:11343902:1 gene:Sspon.06G0028900-2D transcript:Sspon.06G0028900-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLIHTNDRTNQKPYPLCPTEANRAARRRMLPRDAGRALGEGWLRQWPAPVLVLVPSTAPPAAQVRNFSKLPARVTNTQVQATQFGEVWRHPARLGEVQLCRPSRRHEVEPLWPRMVKHGAAPRDLDVKARRCWPRSTSSASLELITRSHPTPPIRIESVGNEALISLSLSLRISLSYNPNVGYSFHSSYLISLSHHLFPTIQTPP >Sspon.01G0023830-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:90845878:90847167:-1 gene:Sspon.01G0023830-2B transcript:Sspon.01G0023830-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTKSKSSTKAGSPLLGKYELGHLLGRGNFAKVYHARCLAGGDPVAVKVLDKPELAAAGMASRLLREVSAMRRLSHPNVLRLHEVLATRSKVYLVMELAPGGDLLSRLASLPSRRLPEHAARRVFLQLASALIYSHARGVFHRDVKPQNVLVDADGNLKVSDFGLAALPDSLRDDGHLHTACGTPAFAAPEVLRRKAYDGAKADAWSCGVILFVLLAGHLPFDDANIADMCRKAHRREYTVPRWVSQPARRLVARLLDPNPATRLAVAELASHPWFKRSLSVDSQLGGLLGGQAERELAFQAPPALNAFDIISMSPGLDLSGLFGQSRSCREKRFMTTASPEQTVERLGQAGAKLGYFMVGKKGVERLPLGGLSGLVAMSMEMSEVSHELMLVELRLEGGDGDEAEAFGWEDLRVELGDVVTAWHVCEE >Sspon.01G0013600-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:37534160:37535298:1 gene:Sspon.01G0013600-1A transcript:Sspon.01G0013600-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPCDLPVQQQQAAALLLACTLALLAVQLPPCRAQQQPPSPGYYPSGMFRPLGFSEAYRTLWGSQHQTVSPDGKSLTLWMDSSSGSGFKSARAYRNGYFGASVRVQPGYTAGVNTAFYLSNSEEYPGHHDEIDMELLGTVPGEPYTLQTNVYVRGSGDGSIVGREMRFHLWFDPTADFHHYAIIWNPDQILFLVDDVPIRRYERKTEATFPDRDMWAYGSIWDASDWATDGGRYRADYRYQPFVSRFDGLKIGGCAADASTGCQPVPASPASGTTALSAQQEAAMRWAQRNSMVYYYCLDASRDHALYPEC >Sspon.01G0004730-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:11211782:11213071:1 gene:Sspon.01G0004730-3D transcript:Sspon.01G0004730-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aspartyl protease AED3 [Source:Projected from Arabidopsis thaliana (AT1G09750) UniProtKB/Swiss-Prot;Acc:O04496] MAATTTILLLLVAATAASAADLSVYHNVHPPSPSPLESIIALARADDARLLFLSSKAASSGGVTSAPVASGQTPPSYVVRAGLGTPVQQLLLALDTSADATWSHCAPCDTCPAGSRFIPASSSSYASLPCASDWCPLFDGQPCPASQDASAPLPTCAFSKPFADTAFQASLGSDTLRLGKDAIASYAFGCVGAVTGPTTNLPKQGLLGLGRGPMSLLSQTGSRYNGVFSYCLPSYRSYYFSGSLRLGAAGQPRNVRYTPLLTNPHRPSLYYVNVTGLSVGRTLVTVPAGSFAFDPATGAGTVIDSGTVITRWTAPVYAALREEFRRQVAAPSGYTSLGAFDTCFNTDEVAAGGAPAVTLHMGGGVDLTLPMENTLIHSSATPLACLAMAEAPQNVNAVVNVVANLQQQNVRVVVDVAGSRVGFAREPCN >Sspon.02G0017380-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:53667671:53670306:-1 gene:Sspon.02G0017380-3C transcript:Sspon.02G0017380-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHWHCAISDSSLHAMAMVTTSPATLQPSPSCKQHASRGAARCCPPPLLSWKRRSCGQQQVTTTRATAASSRGQPPIGVAGVAGGEEGDSIRRLQNGSDVRGVALEGEKGRAVDLTPLAVEAIAESFGEWLREELRLRGEEPQQQLRVSVGRDPRLSGPRLGAALFAGLARAGCSVFDMGLATTPACFMSTILPRFNYDASIMMTASHLPYTRNGLKFFTKRGGLTSGDVENICDRAAQKYVARKMGLGTGGGSGTPPVVMRVDLMSAYAQHLRDIIKQRVAHPEHYDTPLRGFKVVVNAGNGCGGFFTWDVLEKLGADTTGSLHLEPDGRFPNHMPNPEDATAMSLTRGAVLAQGADLGVVFDTDVDRSGVVDAGGAAINGDRLIALMSAIVLDEHPGTMVVTDARASDGLTRFIESRGGRHCLYRVGYRNVIDKGVQLNADGVETHLMMETTGHGALRENYFLDDGAYMVVKIIIEMVRMKLAGVDGGVGSLIGDLEEPAESVLLRMDVLGEPKHAKERATQAVEAFKKYIQEDKLSGWVLDDCGDCSVDEGCLEDSNDRPIDVDAYMYSRAKLYDENQQRAIGMVHLRQSVHNPNIALNMQSYVPGGCKSMAKDLHERFLLASGLNEFVDISEVEKFVE >Sspon.01G0022070-2D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1D:78557508:78558047:1 gene:Sspon.01G0022070-2D transcript:Sspon.01G0022070-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSFILARPPMYPDDLDPPPASIILDPRGYMDDRTNATTAEGVTSSGKRIKVTFWIVNPPRCSCFTVYSPDLEKSAFGSIPMLLSTEDDLALLRVPICPLGDDGVARNNDHFVYQAGNENQPPSLDLIPKAPNRVCLGPWAGLTSGEASSYATSSRTAPSFATSHCHLHWCPGHSGVLH >Sspon.05G0036210-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:84805417:84807614:1 gene:Sspon.05G0036210-1C transcript:Sspon.05G0036210-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTALESLEIFFCSDLIELLEYLGNLSSLQQMNIKHCKALVQFPESLGELRYLCRFDIYDLPVLTCLPKSLCHLTSLEYLRIGCCNVLVQLPQGLGELCSLSELDIWKLPALTCLPQSLCRLISLQELEIGRCEALGELLKWLGELRSLRKLKIDGLDGMTCFPQSLCRLTTSLKELEIWDCQGIKSLPEWIKGLTVLRRLEIHGYPDLEKHCERGNGEDWQLISHVPDLRIGSRTWESFVCLSVKNERPAWLKKF >Sspon.04G0034260-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:75825677:75834014:-1 gene:Sspon.04G0034260-1T transcript:Sspon.04G0034260-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPAARRIVGAEVPIPGSDKLRWIDLTIPSSSAAPASPSDPFVLVPQRAASGCHIIPSGDSQYYLSWRIHEEHPNVLEVIELSASKEFPSFGLRLVFHEVLCPFAFLCEREGRRQGELVYMLYVLTVSGVALLCHLRSPFSYVSGSVLHQDDIVEFNLQTQAQSAKVTAVTAKPGCIVIGRQDGSVCSYSLGKLAPNSPGMSSTVNSQQQTHRFSNELRDDAGIGRLWNLVSRTKNVGPVQDIVATVVNERDLLFVLHLDGHLRIWDNHMKLLNYNVHSNDIEGHPSRLWVGEADDDQELISLAILHQNTVVQDCDYVAVYAFGFSAVERFMFSSEPSISTIPLLEGKLVDLKIATDKLWILKEFGSMLYEILQYDTETEKICCYVLQEDAISEQLFQSSDNALDDLVWTADSMFSSLKEQAFTFISSMFMRRLLQPGVNHCSALRETLLEHKRFLSDSEFQSLTANGLRKEIISIIEQEGSSQTASATAYHWKQFSARYLHNWCWNNKPYGLLLDTKNEVFGLIRKGSFSLFRCLEGVEMLIYGSSDEFRNIDDLGMNLLDDIPDFELLNEVLRCMGHIHHLLGRSSTAVYYESLISSIISSDEIASHIVKILETGFSPQSSSSLITLLGTDTYVERRQAAHKSQRKFSVEMLLCFHKLQSRSASWSAVFDVIEKFMKCLNTNMSIQEYGSKRVCNVNSVLLVQATSQVARTMFECAFDLFLFLSYLVGVGGQVSLLQSDVARIKLKLFPMIQDILGQWIVLHFVGTSPTSPSTIDDFSYHLSSLQLGKADELSLHRKLGCSDFTLACLLDFPKSPEGDVMSPCFPSPAEVINLVRRFSSLIMCGRNFECGQTFLGYTINLSAVLIRHGQYEAAKNLLGILETYLDNGK >Sspon.07G0024030-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:21503662:21506262:-1 gene:Sspon.07G0024030-1B transcript:Sspon.07G0024030-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAGRGDPNTPGNRPLFPSAGVPNLGCHNLAAPVAAGNTGSIASQVLFSPCSTSVLNTIQEVSAPQSAQLSPISRATEQDDVPCIQPSWNVPRGCTQVPINIIVFHRQLTGRGSRAQLPPSATTALPDVQRLATPHKYNSEHLRPNCLYDEASKTENGIETSQLPQLATAIFHDNYNDLESVIPAATWETRVQHSQESTTFLAESTTDDNIHMYQSMQKRLKTQINQSEHTPLSTPTVPKEKALTQIEMQIASAEETEMFRNEETPAPKMKARKKKHRPKVIRENKLAKVQKPDSTPDGTSPNQKVKRSYVRKKRNLSSLEKCSGPVSDQSISRATGVAARSRTASVRRSLQFEYKEQGLQGGHSSMTNSHHHNYEKPGHAQSSFYSESEVQTGHALQVGMENSPGELAFDMSLRLNKFLDEYMHLPEMPKPTQEDSTATSGSFSTEFAREEDNLQEPD >Sspon.06G0018790-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:102146730:102155043:-1 gene:Sspon.06G0018790-1A transcript:Sspon.06G0018790-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFDTPAAAALAGDELRRLLAATLSPDKASVDAAAAGLDALAADPRFPLAILAVAAGDGDQGMRVAAATYLKNFTRRNLESCLCSSEVYKEFRDQLAQTLLRVEPAILRVLIEVFRQVVEKDFVKDNLWPELIPQLKLVIQSSNLVSPGQHPEWNTINALKVLQSVVRPFQYFLNPKVAKEPVPQQLEQIAAEILVPLQVTFHHFSDKVLSSPDGTNMEYEQLLLITCKCMYFTVRSYMPSRVKQILPSFCKDMFRILDSLNFNSLIEDGPTMRLKIAKRCLIIFCALVTRHRKHADKYHIFHFPYGAMLQYFLQNLCSDVLSQMQHVVNCAIKISKQSIHLSKLDSLPNRIFSLAFDVISRVLETGPGWRLVSPHFSSLLDSAIFPALALNEKDIAEWEEDTDEYMQKNLPSELDDISGWTEDLFTARKSAINLLGVIALSKGPPVASAASKRKKGDKSKGKSERSSIGELLVIPFLSKFPIPSHGEDASSMAVRNYFGVLMAYGGLQDFLTEKKDLTITLIRNRILPLYSLDQCSPYLISTANWVIGQLAICLPEDMSTSIYQSLMKALTMEDVEDITCYPVCASASGAIAELIENSYAPSDWLILLQVVVKRISTGDENESALLFKLLGTIVEGGQEKVLSHIPEIVSNIGNTVMELLPPVPDPWPQGFAALVAMVQAWESSAPDENRTHEKRVWQSGQSAIAQTISLLLQKAWLLQVDNMENIGSALPPPSCVNDASVLLEFVMSSVTCMEETASMKVFELVAIWADTIANWDSWEEMEDQGVFNAIKEAVNFHQRFDLDGFFLKMLPSQTENASQSSVIGRVSNFVTRAVAAYPSATWRACSCIHTLLHGPNFSLGTQDARKTIAESFALAAFSRFKSISDSPAGLWKPLLLAISSCYICYPDAIEQVLNNFDGNGFAIWTSALAQVSSSSFNPGLSSESEIKLAETEEEFLERYALAAAGESIEAIEEGDIDEETQDIELGSLDDVDIQQVVISLMQKRPALQAQPFPDSLVERITETFPEYKQFFQELELPGNGGSNWDKAEDRDDMWGTWASETEGKTQAGLIGFWTTSDQFSNGELGHGEELGWSILARGEKQ >Sspon.04G0009880-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:24862485:24863664:1 gene:Sspon.04G0009880-2B transcript:Sspon.04G0009880-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMMGGDFVEAYVLKNAYKEKLRRMEAAEEEKKIKQGSAEKEAASGGSSSSRGGGGGLFGLIKKKQREPASGGSRMIFVLTGELEPEANRTNPKG >Sspon.02G0012070-3C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2C:35661226:35661799:-1 gene:Sspon.02G0012070-3C transcript:Sspon.02G0012070-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSGPARGSSASAGESLRNSCNDFARTLARLPATIMEGLSRSIPRRAPRRSHPHHLQPPPPPQLPLPPFVPEELFFFSLFEQQYGGHHPFFYGCRFADALRAARREGKLVFVYLHDPGHPYTEPFCRRTLCVDVVVEFLDANFVSWGAVTGSGEGPGMVASLQPGSFPFCAVVAPVSDESIAVLQQVV >Sspon.01G0034360-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:3393396:3395835:-1 gene:Sspon.01G0034360-2D transcript:Sspon.01G0034360-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCTLVHRDWGTKLPHRRSECEEAGEEGYGGGGSGVGARKVISDGEWGGEAAKGEKGGEAHRRRRGGGRLPPPSPSSLGRRLSEREPIYSGGSFAKCIEPSSPGPVEGSAQSAFSVSLMPPNRKRRAAAMDPAAGGAGQQRQNKASAGKKAKKGGGSGSGSGGRWPAVKPKKDLQINRLKGTHLLTIPDFFTSAEAKTFIDVAESMGFTHQGSLGPLKGEAYRDNDRISVTDPLLAQAIWESGINRIFTDISVSGKVATGLNPNIRFYRYTEGQRFGRHIDESVDLGDGSKTYYTLLIYLSGKGSAKDSSAQALVGGETVFYDQRGGVVAEVAPVQGMALLHLHGAKCMLHEARVVKKNAKYVLRSDVFESLVVQSVTS >Sspon.01G0019480-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:72956280:72961091:1 gene:Sspon.01G0019480-1A transcript:Sspon.01G0019480-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKDPMRVLVTGAAGQIGYALVPMIARGVMLGADQPVILHMLDIPPAAEALNGVKMELVDAAFPLLKGVVATTDVVEACTGVNVAVMVGGFPRKEGMERKDVMSKNVSIYKAQASALEAHAAPNCKVLVVANPANTNALILKEFAPSIPEKNVTCLTRLDHNRALGQISERLSVQVSDVKNVIIWGNHSSTQYPDVNHATVKTSTGEKPVRELVADDEWLNGEFITTVQQRGAAIIKARKLSSALSAASSACDHIRDWVLGTPEGTFVSMGVYSDGSYGVPSGLIYSFPVTCSGGEWKIVQGLPIDEFSRKKLDATAQELSEEKSLAYSCLE >Sspon.04G0015830-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:18627349:18629810:-1 gene:Sspon.04G0015830-1P transcript:Sspon.04G0015830-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding PHFEAHRIPCDSSPLASSSSPRGSPERNRKEGRLIRVKEAMEHKETGCQAPEGPILCINNCGFFGSAATMNMCSKCHKEMIMKQEQAKLAASSIDSIVNGNDAVMEPVVSGNTVVAVAQVELQTMNVQPIDVAGPSEGVAVISKGKVGPNRCSTCRKRVGLTGFNCRCGNLYCALHRYSDKHDCKFDYRTAARDAIAKANPVVKADKLDKI >Sspon.03G0004310-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:12745805:12754612:1 gene:Sspon.03G0004310-1P transcript:Sspon.03G0004310-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAKRAVLVGINYPGTKAELKGCHNDVARMRRCLVDRFGFDESGIRVLIDDGSALKPTGANIRRELARLVGDARPGDVLFFHYSGHGTRLPAETGQDDDTGYDECIVPCDMNLITGVRVVPLCCLLVAIVGRANRGVGIEFGGLLDKTKEQIGHSTKQNQTQRRELEERPASDTSFREFLKDTVRDVFESKGIHLPHRSHSHRQGHGDDGGDEESRYINTADAHVKNRSLPLSTLIEILKEKTGKDDIDVGSIRLTLFNLFGDDASPKINKFMKVMLNKLQQGQHGGIVGFMGALAQEFLKAKLGGKEEELDPAMKQNVHSDQEVYAGTTARVPSNGVLISGCQTDQTSADATTPKGVSYGALSNAIQTILAERGTVTNKELVLKARKMLSKQGYTQQPGLYCSDENANRIENSGGMNCAPRTRAPALSSTNPARAARSAPKKGQSTTRCRTNPIEFHCGRQPAHHLNNHARKHTRVACVHARGMPAAMGRKRAVLVGINYPGRDDELKGCFNDATRMRRCLVERFGFDEADIRVLADADPSTPAPTGANIRQALERLVGDARPGDTLFFHYSGHGMQLPAETGQDDDTGYDECIVPCDGNLIKVSDSCHSGGLIDKAKEKIGNSTRKNKTEHPAERVTRHPFGACTFLLRIVHGVCSGRPPARTTSAWAHDPDDASPKIKKFVQVMAGNKLRQDGKLEGDEAGQVDTLNRALEQKEVHGDGGVKEVYAGTTASAPPLPLNGVVISGCQTDQTSGDATTAEGVSYGLPSNAVQTVLARKHDGTVTNRELVLKARELLSRQGVTAQQPGLYCNHHSSPGTHLRRTNASKHGGLSSGGGGAEAMGQKRAVLVGINYPGTDGELKGCLNDVARMRRCLVERFGFDEAGIRVLADADPSTPPPTGANIRLELERLVGDALFFHYSGHGLQLPAETGEDDDTGYDECIVPCDLNLIKDQDFTELVAKVPDGCRFTMVSDSCHSGGLIDMTKEQIGNSTKQNRTQQRREREMKPPPGSGSGTGLCASLARIVRGVLESLGAGIHCNRRAQQQGSSSSSVQEPADDTKAVKGGSIGNRSLPLSTFIQMLKDKTGRHDVGVGSIRTTLFHHFGDEASPKVKRFMKVMAGKLRHDGKEEAEQSRAPAPREAEALAQAQDTHSVEEVYAGPAAAARLPPRNGVLISGCQTDETSADATTADGMSYGALSNAIQTILAGNSNGNGKKRGAAVTNRELVVRARELLSKQ >Sspon.02G0004410-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:8380878:8383763:-1 gene:Sspon.02G0004410-4D transcript:Sspon.02G0004410-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLFVESLPGPKVFKCKYCRVHSASPDAILSKDFRGRHGRAYLFDSVVNVSLGPNEDRYLMTGLHTVNDIYCSCCQRLLGWRYEKAYNEDQKYKEGKYILEKNMMLKEGW >Sspon.03G0034420-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:73626760:73633093:-1 gene:Sspon.03G0034420-1B transcript:Sspon.03G0034420-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGQIFSKTTQALFYNYKQLPIQRMLDFDFLCGRETPSVAGIINPGSDGFQKLFFGQEEIAIPVHPTIEAACNAHPTADVFINFASFRSAAASSMSALKQPTIRVVAIIAEGVPESDAKQLISYARANNKVIIGPATVGGIQAGAFKIGDTAGTIDNIIQCKLYRPGSVGFVSKSGGMSNEMYNTIARVTDGIYEGIAIGGDVFPGSTLSDHILRFNNIPQVKMMVVLGELGGKDEYSLVEALKQGKVQKPVVAWVSGTCARLFKSEVQFGHAGAKSGGELESAQAKNQALREAGAIVPTSYEALESAIKEAFDKLVEEGKISPVTEITPPSIPEDLKTAIKSGKVRAPTHIISTISDDRGEEPCYAGVPMSTIVEQGYGVGDVISLLWFKRSLPRYCTQFIEICIMLCADHGPCVSGLLTIGPRFGGAIDDAARYFKDACDRNLTPYEFVEGMKKKGIRVPGIGHRIKSRDNRDKRVQLLQKYAHTHFPSVKYMDYAFQVETYTLSKANNLVLNVDGAIGSLFLDLLSGSGMFTKQEIDEIVEIGYLNGLFVLARSIGLIGHTFDQKRLKQPLYRHPWEDVLYTK >Sspon.03G0026120-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:101446:107791:1 gene:Sspon.03G0026120-1P transcript:Sspon.03G0026120-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRATPQEAAAAAAGAAILSVGLGFDVVSDVRLKYCKQRGKPDPWLIELDHGEAQDIVLPGGVTVSGVTKSVKCDKGERMRFRSDVLSFQQMSEQFNQELSLSGKIPSGFFNTMFEFSGSWQKDAANTKTLAFDGWYVTLYSVALSKGQIVLRDHVKQAVPSTWEPAALARFIEKFGTHIVVGLKMGGKDVIYLKQQHSSTLQAADVQKRLKEMSDRRFFDANGQSDMGFKDTYGNNKSDIREQQLRFVQSSPLNSYSSTEDLVMMPKRRGGRDKEFISHSEWLNTVQAEPDVISMSFIPITSLLNGVPGSGFLNHAINLYLRHKPPIEELHQFLEFQLPRQWAPVYSDLALGPQRKRQSSTSLPVNIIGPKLYICTNMVDVGERPVTGLRLFLEGRKSNKLAIHLQHLCSLPQIIQLEDDPYNHQIPEYDRKYYEPIGPWKRFSHVCTAPVESDELSIVTGAQLDVVNHGLKKILFLRLHFSKACNAVVRNSEWENSPNLAQKSGLISTLISTHFSSVAQKPPPRPADVNINSAVYPGGPPVPVQTPKLLKFVDPTEMMRGPQDSPGYWVVSGAKLNLERGKISLRVKYSLLTAMMPDDESLDDEF >Sspon.02G0042140-3D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2D:75653716:75654504:1 gene:Sspon.02G0042140-3D transcript:Sspon.02G0042140-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNPKGSKMLQFINYRMRVTIQDGRQLVGKFMAFDRHMNLVLGDCEEFRRLPPSKSSKTTGDREERRTLGLLLLRGEEVVSMTVEGPPPPDESRAKAAAAGGALAGPGVGRAAGRGVPTGPLLQAAPGLAGPVRGVGGPAPGMMQPQISRPPMPNLSAQPVSYPQVVRPPQGMPPPMRPGMPPQMPMQFRPPGAPPAPFPGAPQQFMRGPPPMGPPQVRPGMPGPPPPGMRPGMPPPPFGQPRPGMPPPPPGPQQPGQNPQQ >Sspon.01G0023790-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:111385647:111390381:1 gene:Sspon.01G0023790-1P transcript:Sspon.01G0023790-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTDLGFEETELRLGLPGGGGGGGEVGEGRSSSSGKRGFAETIDLKLKLEPATPAAVVKAEEDDEQDDGGVALAAAKEAAAATEEAGGKMKRSPSQSSVVTAAAIQADPAEKPRAPKYVAEDLSAQVVGWPPVRSFRKNIMSVQSDKGAGGSKDADKSSPPAVAAAAVGGAAFVKVSLDGAPYLRKVDLKMYKSYQELSKALEKMFSSFTIGSCGSQGMNGMNETKLVDLLNGSEYVPTYEDKDSDWMLVGDVPWEMFVESCKRLRIMKGSEAIGLAPRAMEKCKNRS >Sspon.02G0009900-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:27624477:27626868:1 gene:Sspon.02G0009900-1A transcript:Sspon.02G0009900-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAAAVLKRAHLAGVHSLARHRQPPADRVSVPSPAIPPPRVSWQQGSGVVAKRRLWATASGSFEKDNLGEDEVLPSQVVEESKVDLFKILKSANSIIPHIVLGSTILALVYPPSFTWFTTRYYAPALGFLMFAVGVNSTVKDFVEAIKRPDAIAVGYIGQFVIKPLLGFLFGTLAVAVLNLPTALVFVTPTLSYFLIGQKLPVDVKGMMSSIVQIVVAPIAAGLLLNRFLPRLCAAIQPFLPPLSVFVTALCVGSPLAINIKAVLSPFGLAIVLLLFAFHTSSFVAGYHLAGTWFHKSDDVKALQRTISFETGDVLSYYMRECKVASLLLL >Sspon.02G0010000-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:22915206:22921322:1 gene:Sspon.02G0010000-4D transcript:Sspon.02G0010000-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLVPGVLVKLLQHMNTDVKVAGEHRSSLLQVVSIVPALAGSDLFTNQGFYLKVSDSSHATYVSLPEEQHDLILSDKIQLGQFIHVDRLEAATPVPILRGVRPVPGRHACVGTPEDLVVTSSSNFHGSKKAQPSNGMKDASILSLEKETSKLEKINASRKPTGAENKKPMLTKSNSSLSRQALNGIGDKKEAAKSKVKPAVTRSTPSSPTSVYSLPASFNRFSNDLKQRNKVKGAEKASSSRLSLLEKAACVLKATSAGRKSSAGNSISSSVLSIGSGPKALRRSWEGNTDIKGKGNSESKTSKPDRKSDNKIPMTPRRKSPVDEKVPHKDDSQKAARKSTASAPSDDADKAVKKHIPTNFWVLGNSNVTNLVKIPPNSKKLTDISTSWTSLPPSLAKLGKELLKYRESAQIAAVEAMQEASAAESLLSSYAEVSSTAEEQNPQPAVEQFLTLHAALSRAMVITDTLTKSATSAALPDCSAASDAGTVGSATDEEAAAITAERRRRATSWVSAALATDLSAFGIYNLKPAPATVSSSLAVVVVDETAKPAAAAATATKSSPSPKSRLSPAKGKPRTGTAAAAAALTTTPAPPEWERGGGAEERGELAKRLGEESRGWFLGFVERFLDADVATSAPWDRERAARMLPQLKRVNDWLGEIGKRSETPSLPPADADGEATTTSTAPVPANGGCGVPEETIERLRKKIYEYLLTNVDSAAAMLGGGGGGGAAVPTNGKKG >Sspon.07G0014440-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:52266277:52267539:1 gene:Sspon.07G0014440-1A transcript:Sspon.07G0014440-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPPAASPGRAEKPQLAAPAPPGLARLLLSKSRRGARSRRAPPATSPMFVSRGAARTRAAADGAEPSSPKVTCIGQVRMRKAGKKPAASASSSAAAAGRDKGAKWGGYYYCRCLKKAFLCGGLFAFDSRKRRHKAPPPEAPAAERGRRSPWVFSSRDVAVAAAPKTAADPRSGRRVEDEEEEEMQVGVGVFGSIGRDEGEKMGIDAGCHGEEQDDDAQLVSSATTTPPKNALLLMRCRSAPQNRTSPLTSRFPSTAAGLGPAPSPSPTRDALAAAASPSARKPEKLSPSPSPSPRKPAAAADEGGVTTQQGGAVAAREPQLILGGEEEKEGEEVEDDDEFDAYDEEEEEDETMRCSSARPLVLQRCKSEPATTAAAKMAAGPAAAAGCFWAHGGSSGRRRHAPQPPAAPAPAPAVALAGH >Sspon.02G0036590-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:21306339:21309567:1 gene:Sspon.02G0036590-2C transcript:Sspon.02G0036590-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMGGGLPQQLLLLALLVSAAAPQVAGVGVGDNSMRIIQVAQFKHILGVKPAPKNVLSDVPVKTYPRSLELPKEFDARSAWSRCSTIGTILGRVIVALVGLLVLWSPSRTVFAFTSTWRVDTKDLAVLTSILLSVNDLLACCGFMCGDGCDGGYPIAAWRYFVQNGVVTDECDPYFDQVGCKHPGCEPAYATPKCEKKCKEQNLVWQEKKHFSIDAYRINSDPHDIMAEVYKNGPVEVAFTVYEDFAHYKSGVYKHITGGIMGGHAVKLIGWGTSDAGEDYWLLANQWNRGWGDDGYFKIIRGKNECGIEEDVVAGMPSTKNVIPNFGGAVGTAVV >Sspon.02G0020540-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:66969242:66970890:-1 gene:Sspon.02G0020540-1A transcript:Sspon.02G0020540-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTYNYRDIAFSPYSERWRERRKLFISELVGSKRVQSFSRALEEQVEQLIQSLSCLPPSTMEPVNLNEKIFALIDGFIGTVAFGRMSGAKLLKYNKFQQVFSEALVVLSAFSAQDFFPESPVSRWVDRFIGLEARYRAIFAELDAYFETVLSQHLNVSPGRVQSDKDNLVDVLIGLWKGQAVTKDDLKAIIMDAFIGGTSTTSVTLLWAMSEIIKNPRVMSKVQAEIRSSVSGKPRVQVSDTPQLKYLRMVIKETLRLHPPAPFLIPRETTQHVQLLGYDLPPKTRIFVNVWAIGRDPACWKNPEEFYPERFEDADIDFQGTDFELLPFGAGRRICPAIPMGLMNVEFTLASLLHSFDWKLPEGMAPEDVSMEGTGRQIVSRSTPLYLIPSPYASG >Sspon.03G0034910-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3B:77406765:77407466:-1 gene:Sspon.03G0034910-1B transcript:Sspon.03G0034910-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SEKTAAPNLSVGGYEVIDAIKTQLEKACPGVVSCADVLALAARDAVSYQFKASLWQVETGRRDGTVSLASNTGTLPSPFAGFAGLLTSFTNRGLNLTDLVALSGAHTIGVASCSSVTPRLYQGNATAVDPLLDSAYAKALMSSCPNPSPASATVSLDGGTPFKFDSGYYTRVQQNQGTLASDAALAQNAAAAQMVADLTNPIKFYAAFSMSMKKMGRVDVLTGTNGQIRKQCRQ >Sspon.01G0005350-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:12796181:12796919:-1 gene:Sspon.01G0005350-2B transcript:Sspon.01G0005350-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding KRRRHCGLRNGHSGLRR >Sspon.02G0037130-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:29587629:29587793:-1 gene:Sspon.02G0037130-1B transcript:Sspon.02G0037130-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEITVTPNGEGFGCIICAQKRETHTHPEQSNDSQAWFSRRKVRIFSNGNPFVCI >Sspon.02G0011260-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:22925266:22928126:-1 gene:Sspon.02G0011260-1T transcript:Sspon.02G0011260-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding METPPPRRWPPGFRFSPTDEELVLYFLKRRIASGRPSPYVADVEVYKSHPSHLPERSALQTGDRQWFFFSRMDRKYPNGSRASRTTGDGYWKATGKDRFICGGGRAVGNKKTLVYHHGRAPRGERTDWVMHEYTLLADALPPAAQGREFYALYKLFQKSGAGPKNGEQYGAPFREEDWLDDDDEGVTADAAANSVPNTSNPPSTVEEHAITDRELPIEDLDELLSNFGNDQEGFSEAQPASSQGWLGEGGDKAQVVDASISNGAVVVAENTCTDLPLGDIEQLLMQISDDQQNAELFSDLSTSVPELQFQCDDGQVWLDADGGHEVCAADPTASSGAVVTAECTDTELPLGDLEGLLLQIANDQDMVEPQSDLSAPIPHHNFNQAGIGDLHESHGAPVGNLSCIVQESTFCEPQTEPSSQFPQSNVTNLPFSGETNSSEGTSVAHSVSGLISYHSQDADEEFLEINDFFDLEDVGQNADCTTTEYLISATNGMFENLEYCDAPSFLPGPFDTVGLIAENQFFDISDSGIQNQGYQYTTEVRTHNQAALNVQSHMKHDHVVLSSHASGTLNIHAANEVHHQSSTASQSWFNAALSALLDSVPSSPALAAEIENTVINRTLQRISSFRSQQTAGEENTFINRTLQHISSFKSQQATREEPGTPSIQVTRGGRLMFISLLVIIASIIWTFTSGSALNFCKGLWKSSST >Sspon.08G0009370-2P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8A:41413148:41413813:1 gene:Sspon.08G0009370-2P transcript:Sspon.08G0009370-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPLPGLPLPVFGKFCYGAAFTGPLASPNPHLIVYTESSNFFWPIGSNSWSKCSPRNGPLTKFVVFKDQVFGMGSDHRLFMVHLTPQIHLQEIPVSLGGRNSMTEWHPRFAWLVVCGDMLLMVGCRSDSSGTGPSFEAYHLDTSTEPAKWVKVERLEKWAIFISNYLGVEALSCMNPERWEREATVYTAMILVLAIWLHLSWSSHCLEMMPPGFELLFM >Sspon.04G0004940-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:9930310:9936215:1 gene:Sspon.04G0004940-2B transcript:Sspon.04G0004940-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDYGVPRELSEVQKKRALYQPELPPCLQGTTVRVEYGDAAIAADPAGAHVISHVFPHTYGQPLAHFLRKTAVVPDAKVISEHLAVRVGIVFCGRQSPGGHNVVWGLYEAIKAHNQNSKLIGFLGGSDGLLAQRTLEITDEVLASYKNQGGYDMLGRTKDQIRTTEQVNGAMASCQALKLDALVIIGGVTSNTDAAQLAETFAEAKCATKVVGVPVTLNGDLKNQFVETTVGFDTICKVNAQLISNMCTDALSAEKYYYFIRLMGRKASHVALECALQSHPNMVILGEEVAASKLTIFDITKQICDAVQARAEKDKNHGVILIPEGLVESIPELYALLQEIHGLHGQGVSVENISSQLSPWASALFEFLPPFIRKQLLLHPESDDSAQLSQIETEKLLAQLVETEMNRRLVLGHVCYHIIAAGLNGYMATVTNLKSPVNKWRCGAAPISSMMTVKRWSRGPSATKLESPLFTWLALT >Sspon.07G0003240-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:7430983:7441805:-1 gene:Sspon.07G0003240-1P transcript:Sspon.07G0003240-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding METPTGGPQIVTLDRTSPSGRSSIGGKCCGWGGGSRKVTLQRLEKKDGRALLQENVLVLSHILDHNQVNPVSSFLFWEKTTFLLSVEYRFEKNVIELESSLMVEKGQNTTIRRELNEAHQRVEELLRQIANANGKSIELQTAVQRLQESLIEGEATLLAERKESEATKKSLTEAHVKNEELLNKIEVAEHNISNFQDDIQRLEETAAALETSLLSEKHQSTAIISQLAEAQQGVEVLQKKFADANKTIDLLQDSLKRCEDNAATRDALYVAEKQEHDQTKQALSKAQERNLELLRKVDDSEKTINKMLENAQRLEKHATGRESLLLKTKQNLDCTTKALTEARGRNRDLVTSFEDSAKKVNMLEDSLNRLEECTAEKDSLLAVERQENERTKEELANAQKTINELVHESQQSQEIRKQLEDTIKRSDVSSEDVSQLLSRYHHYSVIVIFQCDFERFEAESTTRDTVLLSEKQAHETTKKVLTEIQWRNEELIKKIQDCDKNILQLQLTAERLQENTSTTEALLLKEREQNNATMKAQAETQERNLLLLNKLEDVDRKIGLLQGSVQRLGDNTAKDTLLLSEKREKDALKEALTESEYKNEELLMKTEEANKKVEHLQNTINSLKENMAASLEAERQENEAIKRSLVEAQERNDVLFKKVRDSEYRAHQLQDTVQKLQVDAISRLSNFVMEKQDGDGVKNAYTEAHGTNEDLIRRNEDLLKRNDDLVKKIEDSAILVTELRGNLERLEGKAADLEAENQLLRQQTIATPPSTAKSSQAACSKISMIHRCQESGHILNGNVAYAEMKSSTGPTEMRPSMGSSLDLINHKDYENGQRLFNEVYQHQQPQNHQQLLLKYITQYLGFFGRKPIAASLIYYCLLHWRSFEEAKTSVFDSIIQVVNSATEAQHDTRGLAYWLSNLSTLSVLLQRSFKATRATVSTPHRRRFSCERIFQANQSSSSGLACLSAQSVDGASVFHQIEARYPALLFKQQLVDQIEKVYGVISDKMKKELNPLLELCIQDPRTSYSNQVKALMSPASGLGQQDQLMHWLSIVKIFNSYLHVLRANHVPSILVHKLLTQIFSMVNVQLFNRLLLRRECCSFSNGQYIKDGLTQLRYWCNDVGQEFADSAWVALRHIRQAVDFVVISLKPIRTWEEICNDICPALSLQQLERIVGMYWDDLNGTNVTSAEFISSMRAMLREESNSVSSFSVLLDDDSSIPFSLEDISKSMPNIEETSVNDCYLLSARTKILRLY >Sspon.04G0018260-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:65775327:65779370:-1 gene:Sspon.04G0018260-1A transcript:Sspon.04G0018260-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVDEKRELVRDLSKSPESAPDRLQSWTRREIVEILCSDLGRERKYTGLSKQRMLDYLFRVVSGKSSGPVEHVQEKEKEKERRERERERGLILVLSSFNQGKECSQAEKQVLDISTWDLTALVSRSWKKQLVTAKDARRLDVLCHRIYLSHKILASTEKYLVLHEIVDTALKKLEAEVGPISGAPNMGRGIVSRLTVGAEVQKLCAQAIDAMESLFSDVSPASSRIQRPSMIPPNFVKFEAITQTSVVNSIELGSWEIRMKTNCQKDDPRGSMPGGTGLGQNSESPKANSDGQSDPSSEGVDSNNNTAVYADLNKSPESDFEYCENPEILDSDKASHHPSERIDDLQNIQMAADRVTEVTELEEAPGLSASALDEEPNACVQTVLLRESNSLEHNQRAVVPRSQDTSNVLAGNELMIVGPRYAGSVPPNATKLVENSKEIGGRGFKPKPCDNVVQNGSSKPEREPGNSSNKRTSGKMEDLGHKDNFSEVSYEYCVKVVRWLECEGYIETNFRVKFLTWFSLCATPQERKIVSVYVDTLIEDPVSLSGQLVDSFSERIYSKKRPSMPSAQLRLRKEMASTDVT >Sspon.06G0033520-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6D:16838460:16839150:1 gene:Sspon.06G0033520-1D transcript:Sspon.06G0033520-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELQRRSTPGPWRYGIVDGKRVPRDRREPPPADITTFPGLREASGNGSAPSCAMVAGATGPPRPAAAIDMTPPHPADTVAIEAVGYASRTAPDAPPRASALEAPEWGTAAITADATPDAPRADVSLLPARRPPAGRLRSPRPVVLAAELGRPRAGAAEVVCSPGPRCAAETVRVQSTGRPTRHGGPPACAKLGFVPAQRPRHDL >Sspon.03G0005930-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:16584156:16588680:1 gene:Sspon.03G0005930-1A transcript:Sspon.03G0005930-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDIASEAPVGAFAIGPSTALGRAVALRVLLCGSAARLRHRLAAALRAALPVAAAWLHPRDNTRGILLAVCAVALLLRGHRGRAGLRARVQSAYRRKFWRNMMRAALTYEEWAHAARMLEREAAPRRASDADLYDEELVRNKLRELRHRRQEGSLRDIVFCMRADLLRNLGNMCNPELHKGRLQVPRLIKEYIEEVSTQLKMVCDSDSDELPLEEKLAFMHETRHAFGRTALLLSGGASLGSFHVGVVKTLVEHKLLPRIISGSSVGSIMCSIVATRSWPELESFFEEWHSLKFFDQMGGIFPVVKRILTQGAVHDIRHLQVLLRNLTSNLTFQEAYDMTGRILVVTVCSPRKHEPPRCLNYLTSPHVLIWSAVTASCAFPGLFEAQELMAKDRFGQTIPFHAPFLLGIEERTVAPTRRWRDGSLESDLPMKQLKELFNVNHFIVSQANPHIAPLLRLKEIVRAYGGSFAAKLAELVEMEVKHRCNQVLELGFPLGGLAKLFAQDWEGDVTVVMPATLAQYSKMIQNPSYAELQKSANQGRRCTWEKLSAIRANCAIELALDECVALLNHLRRLKRSAERASASQGYGPAIRFCPSRRIPSWNLIARENSTGSLEEEMLTSPQGPGGVAGTSTRNQYPQRSAHESSDSESESIDLHSWTRSGGPLMRTASANKFISFVQNLEIDTEFRTIPSREDITDLVTPNAGTLAAHAVSREAIDRSLDNSALDIHDTITPRSTFGPPTSIVVSEGDLLQPEKIENGILFNVVRRDTLLGSSSGVESQGSPREPDVETVQTECLDGVSTSDDDDDDKELNAIDDGATDPMSRNNLQQQGSSLEENLYHLSSLNSEDETNTNKPEAASIFDICTDMHPASISLPEGSSEKTELETAKIPDDNSAVMNDEVASGAGSRCVLCSENFKSNHHELDGEALCCLSFRRRSSNGFGSSEESVIAFKDRIEILTSSRNET >Sspon.06G0008390-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:44278278:44282810:1 gene:Sspon.06G0008390-1A transcript:Sspon.06G0008390-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVGAGKLGAGGDAVAVEVRLPPLSEADPLHAEKKRILENRNLSCEIQVPVTCSAADALKLLDQIIEAARVVYMDELELYFAGDDVGPFSARNELESLNLLFKTMKKLLLTSNAAAKEVLQILQDEIVVKLKSVGKSDDAWMVVQTQNHDAEDSLLKWGEHLGVKSKLQIAFFQGAGRGMVASESIGVGNIVLEIPESLIISDELLCQSEVFLALKDSNNITSETMLLLWSMRERYNLASKFKPYFDTLPTNFNTGLSFGIDALAALEGTLLFDEIMQAKQHLRQQYDELFPLLCTNFPEIFRKDVCTWDNFLWACELWYSNSMMIVLSSGKLSTCLVPVAGLLNHSVSPHILNYGRVDEATKSLKFPLSRPCDAGEQCFLSYGKHPGSHLVTFYGFLPRGDNPYDVIPLDLDTSADDEDGTAQSVTTSQTTHMVRGTWLSRSGGFPTYGLPQPLLSYLRAALGCDIDESTTEADIKENDKVVLETILSIFNPMLEVLPEQDDSNRESASWDVKLSLDYKDLQRKIISSIVTSCTSALENF >Sspon.03G0001610-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:10051122:10052105:1 gene:Sspon.03G0001610-4D transcript:Sspon.03G0001610-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMPDEMFRRVSAAPPHQMRMTTSVPVAAPAPVPEELRLSDLDWIGDLGEGGLARVCKARHCRTGAVFALKLSFDPDPFVVEEEAEVLRRAAGAPHVVDFHALLRGPGGKAAFVLEYMDARSLGDLLRRRGGLGIPEAAVAEVAAHCVVALAQLHSRGVAHLDVKPDNLLANACGEIKISDFNLSRILYGGSGERLQVPITGGTRMYLSPERFAPNARAGPHGAMAADVWGLGVTVLELFLGRFSLLPGVQKPSAEELKQAICDGEPPSVPEDAEASAELRGFVAACLQKEPARRATVGQLLSHPFVARRDAEASRRALREIIVDTL >Sspon.05G0015250-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:58741027:58741715:1 gene:Sspon.05G0015250-1P transcript:Sspon.05G0015250-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VMSHRHLVLIVVVLTAASILHAVTSAATTLANMTGDNAATTAYDVLEQNNLPRGLLPLGVKSYALHAGGAFEVTLPGECNFFVTVAGKQFKFRYGSSVSGIITSGSISRVSGVRIQVEFAWLGLNQSMGGIRMSLV >Sspon.01G0037010-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:20005003:20006423:1 gene:Sspon.01G0037010-1B transcript:Sspon.01G0037010-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSRLQAARAAASALVGQGARAIDSPAHASRCTPDNSQRRRAVAGKSSRVHAAVVEVADEGRHRGHVASGEPLNDAACSLGHARAPTSRSMLGHRLFSAVQRPERRPHLPEAAHEKSGGKGENELGLGLRRLLQRHSGPCDRSRPGVTRHGAARLLGPLATAYLDRCFLAYGRLRSGSSIGLGWRVSSCSLQRSRRRSCGDVRQALVLITSTRWTHAFAPHAGLDRRPVAALPAAHACAERCLHLLSMHADAICGSRQLMLTVFTCWPGRVRVRRHSWRWSDRG >Sspon.03G0025750-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:727118:730237:-1 gene:Sspon.03G0025750-1B transcript:Sspon.03G0025750-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLTEEQAAREAGTNATSLNLTHRALSDVSCLSSFKNLERLDLGYNCLVTLEGLSSCANLKWLSVIENKLVSLKGVEELSKLQVLNAGKNKLTKMDEVASLTSLGALILNDNNISTICKLGRLQQLNTLVLSKNPVFTIGNALVKAKSMKKLSLSHCQIENIGSSLAECVELKELRLSHNKISTIPSDLAKNVKILNLDLGNNFIERSSDLKVLSELRYLRNLNLQGNPVSEKDSLVKKVKKFVPTLRILNAKPLEATSKSDKSSRKENPPSNDKDSIGIDTKKDKRKKSKQELKGLEELEVQSISTGVTTSNPGNKLEVPDGKERKKVKKEAKTKKSEELDHANNSNLKNKDVQSSAYDTSTKDKKEAKRKKFIDEEDVDAEGIDNTEISFADLMFSNVGNPETKLKDSSTLEGAPDGKFVGGLVIDHTKKRKKSKGVVTITDSSALKMFSSMPVGAGGLDLSGWDE >Sspon.05G0026840-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:45130052:45143386:-1 gene:Sspon.05G0026840-1B transcript:Sspon.05G0026840-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKTEAAEERGPLSRTPPPAAAALAVGGSGGRTATAVIDLSSSDSDSDGEGAVGSGKRARGAGGDGSATKRARVSAVVDLPPGFLEPIPPPPLPVPLAACATKQFWKAGDYDGKPLGDGVAQPSVSGMDHVRVHPRFLHSNATSHKWALGALAELLDNSLDEVINGATYVNIDVLENDKDIDKEKSRMLLVEDDGGGMDPDKMRQCMSLGYSVKSKVASTIGQYGNGFKTSTMRLGADVLVFSRSRGKSGKRPTQSIGMLSYTFLRSTGKEDIIVPMIDYEYKQGWERMVRTTLDDWSTSLQTIITWSPYSTEAELLEQFSSMKDRGTRIIIYNLWEDDQGDLELDFDAEVHDIQLRGGNRDEKNIQMAKQFPNSKHYLTYRHSLRL >Sspon.07G0034940-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:84128048:84130066:-1 gene:Sspon.07G0034940-1C transcript:Sspon.07G0034940-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRCGRMSCQKLSRQYMHLVLSEGRGLYSLRHMDVSNLFYPSTAEALDAEARAKKKKNSINKLGSIGRLPKPSMHYQSYMLGVSSPYSSPSVFCLFGESKNKILYSDAKATPTSTTLGCTPSLYNARYEFSQGAQLYDCSYLTRTAAHARNIEVLAYYPVGYWQWRLLPLPPFFDDEAYIAYTVIDGTRICVSSATATYSFDTVSLEWSKTGDWVLPFHSKAEYVPELNLWLGLSASSPSNLCTLGLSTFGMDSL >Sspon.07G0010520-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:21689851:21695993:-1 gene:Sspon.07G0010520-2B transcript:Sspon.07G0010520-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTSISHTGLTPTAAEAMTPIESTFSLDVDSKLDWEAIGKILARGHSRVPKGSSHMAAVVKAKPKNEPLPDKTEPDREAVGPAQLTVPLLSNAEESADNVVVDIERPHNRQVNGNPASNAVPRSSEDIEDGEVVGIITLEDVFEELLQEEIVDETDEYVDVHKRIRVAAAAAASSVARAPSVRRLTGQKAAGAQNRQGQQPPGILKKPTEGDSNPSKQVNLVEPLLEKRRLFHADHIG >Sspon.04G0020530-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:72404412:72404941:1 gene:Sspon.04G0020530-1A transcript:Sspon.04G0020530-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNIEQSFQAGKAQAEGERAAQCVKGAAGATACAVGDTAGATADSAQLQQHRAAGAVQQVRTAGGADGPGAAAAAQGAATAVKDTVAGAAAAVKDTAVGAAAAVKDTVSGGH >Sspon.02G0043730-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:123524133:123525944:-1 gene:Sspon.02G0043730-2C transcript:Sspon.02G0043730-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGEVSMCTPAFASRVVKSRWFVVYASIIVMAASGSTYIFALYSKVLRSKLGYNQETLNKLSFFKDLGTNVGIISGLVQQVAPTWVVLLIGAGMNLAGYLMIYLALTGRTAAPPVWLMCFYICFGANALTFSNTGALVACVKNFPESRGIVIGLLKAFVGLSGAIYTQLYLAIYGDDAASLVLLVAWLPAAFNIFTVYTIRVLPYARRSDGGKPYNTPFYHFLYLSLALASYLLVMIVVEKQVQFSHAAYVVTSTALLIILFSPVGVVVREEYKAVSQLEESLQQPPAIAVEEPKASADAGKDGDDSPPLCGGGSGMGGCLTNMFKPPALGEDYSIMQALVSVEMLVLFVISVFGIGGTLTAIDNMAQIGQSLGYPAKSINTFVSLISIWNYAGRAGAGYISEFLLARYRMPRPLVLTAVLLVSCIGHLFIAFGVSQSLYAASVIIGFCFGAQWPLLFAIISEVFGLKYYSSLFNFGSAASPAGAYVLNVIITGRMYDAEATRQHGGVAAVGDKICKGVVCFKRPFLIITGVTFAGALVSLVLVWRTRNFYRGDIYAKFKVAPAAACESSEGSSADGKTVQLVLETEGKSKSKKEVVNQDLY >Sspon.03G0022290-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:90908420:90915491:-1 gene:Sspon.03G0022290-2B transcript:Sspon.03G0022290-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALGHGGQHMTSSSTGGAAGMAVSSSSTPTITFAFQPSPPPTSALALAHHGVLGYGSSLLLDHHPTTTTSSASSSHAASSTTLHHHLHGHAAAAAPHTSLSPPTTRASPPPPHPWPPRGKGAAVISEGSAALGVGAVRMKKAGGGGKARRKVREPRFCFKTMSDVDVLDDGYKWRKYGQKVVKNTQHPRSYYRCTQDNCRVKKRVERLAEDPRMVITTYEGRHVHSPSRDDDDDAARANAEMSFIW >Sspon.08G0016570-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:61748510:61750111:-1 gene:Sspon.08G0016570-1T transcript:Sspon.08G0016570-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTLCPRFVSCTEPHHGADGGGCNELTSERESERAAASEEEEEGEMPGKGQRRREKNFLAAHGGDTRLPPPPKHRELEAIPSKLRRLIAFQNKHNANADADASSGGAPRKQDDGLRKNKQPTDKAKDKKTKKQTLEAPADSKASEIKGSEDGSAANENVNAEEVSGRERGERLRTFVSRNWTRTSQSRRSKEGRSEHLDEKKKKRKGNKAETVPDFPGREKVKFGEVVEAPPKLSFPKVKSALDASREMLRKEAIENYRNIKGWTSRPGLQLPTLAENTFLSQ >Sspon.02G0019010-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:61248075:61256991:1 gene:Sspon.02G0019010-1A transcript:Sspon.02G0019010-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAILPRTVRKAAEAALSLHSVPPRPACHFARYLGGVSSACSYPGTASVEFLPWHNGGGILHRAASVDPTAVVEPGAVVHSGAVLGREVVVGSGAVVGPSVSIGQSTRIGYNAVLSNCSVGDFCIIHNGASIGQDGFGFFVDEAGQVKKKPQMLYARIGDHVEIGANTCIDRGSWRETMIGDHTKIDNLVQIGHNVVIGKCCMICGQVGIAGSATLGDYVTLGGRVAIRDHVSIVSKVRLAANSSVTKDIQEPGDYGGFPAVSIYQRPYPCNFAPLFILVPINEWRRQTANLRLFSKKDGAAEVAESMSMEVT >Sspon.01G0014180-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:40659090:40660333:1 gene:Sspon.01G0014180-3C transcript:Sspon.01G0014180-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQAAALLALLAVLVVTHSGASALPVVNNHTSAVRQLRGGGWQAAKATWYGAPNGAGPDDNGGACGFKNTNQYPFMSMTSCGNQPLFQDGQGCGACYQIRCTAKNNPACSGEVKTVMITDMNYYPVARYHFDLSGTAFGSMARPGLNDKLRHAGIIDMQFRRVACNHRGLTVNFHVEAGSNPNYLAVLVEYANKAGTVVQMDAMEANSGYWMPMRRSWGSIWRLDSYRPLRGPFSMRIRSENGRTLVANNVIPANWRPNTDYRSYVQF >Sspon.06G0008180-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:42857545:42862051:1 gene:Sspon.06G0008180-1A transcript:Sspon.06G0008180-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASASRLLPPVPHQAAQLRGPRDRLAVPRLRCRAAAAAASATDGAVLLERGGLAAAAVREFVTLDELRAAVRLRVRTFYEYAVDSVGTEVNEDIQTRAYLSNVCVAKELQKKGLGYTLVDKSKKLAREWGITDLYVHVAIDNIAGQKLYEKSGFVYEGEEPAWKARYLGRPRRLLLWLDMSEVPL >Sspon.02G0023350-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:79523384:79528759:1 gene:Sspon.02G0023350-1A transcript:Sspon.02G0023350-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MWPECDFRYKLRQPTKQYTPWCEPVL >Sspon.03G0032200-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:44083407:44085174:-1 gene:Sspon.03G0032200-2C transcript:Sspon.03G0032200-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGITKALRLHGRQLRNAVLQHMNKGIFSWATLISRIQSESPTVIIPHMGLENVTVSEILKAKGEAEAGAVYWCSTSHLVHEAVQHMTAHNVGALVVLKSGDMNQLAGIVTERDFARKILLPGRPSEETRVEDIMTEEDKLITVSSHTNILRAMEVMTDKHIRHVPVFDEKVVGMISIGDVVRAIVDQQHQEVKQLK >Sspon.04G0019410-4D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4D:77527917:77528617:1 gene:Sspon.04G0019410-4D transcript:Sspon.04G0019410-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ASNGDVFEKRHDELDFEFLGNIRGKPWRVQTNVYGNGSVGRGREERYVLPFDPTTEFHRYSILWTPAAVAFYVDDVPVREVRRSDAMGGDFPSKPMSVYATVWDASTWATAGGRYRVNYRYGPFVASFTDLALLGCRVDGPIAIQQVPPAVSRCAADEEALRASDVAVMTVEKQQAMRRFRERNMVYSYCYDTLRYPAAFPECDVVESERRRFKDTGHLRFALRRRMGPRRFG >Sspon.03G0014040-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:94995404:95006215:-1 gene:Sspon.03G0014040-2B transcript:Sspon.03G0014040-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNMMTDLSCGPSEVTEQPAAPTGSGDKQGRGKIEIKRIENTTNRQVTFCKRRNGLLKKAYELSVLCDAEVALIVFSSRGRLYEYANNSVKSTIERYKKANSDTSNSGTVAEVSAQHYQQESAKLRQTISSLQNANSRTIVGDSIHTMSLRDLKQLEGRLEKGISNIRARKNELLYAEVDYMQKREMDLQTDNMYLRSKIAENNETGQPAMNMMGVPSTSEYDHMVPFDSRNFLQVNIMQQPQHYSHQLQPTTLQLG >Sspon.05G0007870-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:26010144:26012129:1 gene:Sspon.05G0007870-4D transcript:Sspon.05G0007870-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSPAWDGTLSLGSFNTSAAALVKRWKEIEVDDSLPEWTWKPCSKSGVTSVVEGYLALERVYRSCGRSQVENSSDNVHVYDFHVVYGFSYKVPVLYFRGYHTSGQLLTLDEVKEGLPSHSQKVLSESKSTPHLSKPWLTLHPCATSDWMKLLLEETKATDKERSLQYLPAWSTPHLSKPWLTLHPCATSDWMKLLLEETKATDKERSLQYLPAWLSVVGQAVGLKIHLELHSNS >Sspon.07G0025930-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7D:41380378:41381420:-1 gene:Sspon.07G0025930-2D transcript:Sspon.07G0025930-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTTSQPFQEGKQHLHLHLHHGGRALPSVYGGAAPWPVSSSAAPPLPKLEMSSSTSRKSTAEAAQALKIHSEAERRRRERINAHLATLRRMIPDARQFVQAQEKASVLHCTTNKMINSNVSVCSAREQMDKATLLARVVCHLKDLKKKSAETTTQLPLAIIPAEANGIAVHCYTGAAAVTGYGRPSSATYIRASVSCDDRSGLHADLAAAFRAMRLRPLRADVAALGGRAQCDFLLCREEGGGVMTTSAAGGRVLRALEEGVRQALARAAFPDLETTPYRCNATRSRRQGLVGSSSHCVLFGHGHGRHVGEQLSMDGSFEFR >Sspon.05G0005770-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:12629342:12634365:-1 gene:Sspon.05G0005770-2B transcript:Sspon.05G0005770-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVDDIGDVTITNDGATILKMLEVEHPAAKVLVELAELQDHEVGDGTTSVVIIAAELLKRGNDLVKNKIHPTSIISGYRLAMREACKYVEEKLAVKVDKLGKDSLINSAKTSMSSKLVNSDSDFFATLVVEAVQAVKTTNAKGEMKYPIKSINILKAHGKSAKDSYLLNGYALSTVRAAQGMPSRVTPARIACLDFNLQKTKMQLGVQVLVTDPRELEKIRQRESDITKERIEKILKAGANVVLTTKGIDDMSLKYFVEAGAVAVRRVRKEDLRLVAKATGATMVTTFADMEGEETFDSSFLGHADEVVEERIADDDVILVKGTKNTSAVSIILRGANDFMLDEMDRSLRDALCIVKRTLESNMVVAGGGAVEAAFMGLDLSKGIIRNNLEYGVIEPSMSKVKIIQFATEAAITILRIDDMIKLTKEEGNEEE >Sspon.07G0028400-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:66331659:66348686:-1 gene:Sspon.07G0028400-1B transcript:Sspon.07G0028400-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRSEAPTPLLEGKPRVYFEGCPGCANDRRKARTREFPMDNSSISGSSSSSPIRDLHIAERVEDIGFYAGFVGASYMLGRALTSTAWGMIADRIGRKPVIILAIISTLLFNTLFGLSVKYWMAIGTRFLLGSLNGLLGPIRAYAVEVCRTEHQAIGLTLVSTSWAIGLIIGPAIGGYLAQPVEKYPKLFPANSIFGRFPYFLPCLCVSFFCLVILISCIWLPETLHKHKLHKTEDQGIEEFPVQQNSSTTNKSLLKNWPLMSSIILFCVTSFDDMAYTEIFSLWAESDKKYGGLGFSSEDVGQVLAVTGASILLYQTFVYPRIVKVLGPVNASRIAAILAMVLLFTYPPMTHLSKPWLSVVVNIASVLKNNLVPQEQRATANGLATTLMSFFKAFAPAGAGVVFSWAQKRQHAFLFPEEEPNPSWQPPGMAGTPGTHTAATT >Sspon.07G0015680-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7A:55888050:55888860:-1 gene:Sspon.07G0015680-1A transcript:Sspon.07G0015680-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RIIVVLQLDILQSEHDIIGNSKPFEMRNVPNGTTSVNQGMLAEIPWKTVAEIRNSLGYLVKPELFTVKATLSVMNSESLCYAACPLVVNGNQCNGAITSDGYEWWNCDGCNMTFVACDYRYKIFVQLADSTGVIYATTSQEVGEEIFGQTARELYLVKYEKQDLAQYNKIVMSVQNCEYLLEVILNREAFSDESEALPMFTIVKVESLNPSAENRRPVRRTSVGMRTGFSDLEAQLRQGVRNFSTGNAIDAAGVHVPYLLSEETNSNGL >Sspon.05G0004420-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:13283668:13290567:1 gene:Sspon.05G0004420-1A transcript:Sspon.05G0004420-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYEVPSEARNFTRRGVQLAMEICEVVGSNNIHILGSPAFISSITFGSCILMGIPLTAGTVLSALATFRMLQDPIFTLPDLLSVFAQGKVSADRVVKYLEEEELNCDAVTQVPRNDIDYDVEIDHGIFSWELETTSPTLKDVELKVKRGMKVAICGMVGSGKSSLLSCILGEMPKLDGTVRVSGRKAYVPQTAWILSGNIRENILFGNTHDKEKYEKIIQACALTKDLELFANGDLTEIGERGINMSGGQKQRIQIARSVYEDADIYLFDDPFSAVDAHTGSQLFKDCVMGILKDKTVLYVTHQVEFLPAADLILVMQDGKIVQKGKFNELLQQNIGFEAIVGAHSQALESVINAESSSRIPSDNQKSADSEDEFDTENETDDQLQGITKQESAHDVSQDISDKGRITQEEEREKGGIGKKVYWAYLRAVHGGALVPVASNYWMAWASPPTTATTPTVGLDLLFSVYIALSIGSALCVLSRSLLVSLIGLLTSEKFFKNMLHCILRAPMSFFDSTPTGRILNRASNDQSVLDLEIANKLGWCVFSIIQILGTIGVMSQVAWPVFAIFVPVTVVCFLCQRYYIPTARELARLSQIQRAPILHHFAESLAGASSIRAYGQKERFRKANLGLVDNHSRPWFHNISAMEWLSFRLNMLSNFVFAFSLTLLVSLPEGFINPSIAGLAVTYALNLNSQLASIIWNICNTENKMISVERIMQYSRIPSEAPLVVDHYRPPNSWPDAGTINIRSLEVRYAEHLPSVLRNISCTIPGRKKVGIVGRTGGGKSTFIQALFRIVEPRGGTIEIDNVDILKIGLHDLRGRLSIIPQDPTMFEGTVRGNLDPLNEYPDHRVWEILDKCQLGDIVRQNPKKLDSTVVENGENWSVGQRQLFCLGRVLLKRSNVLILDEATASVDSSTDAIIQETIREEFGKCTVLTIAHRIHTVIDSDLILVFSEGRIIEYDTPSKLLENESSEFSRLIKEYSRRSHGFSGTANN >Sspon.04G0014650-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4B:58583945:58584265:1 gene:Sspon.04G0014650-2B transcript:Sspon.04G0014650-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAGGAGRGGGKRDGGKGEGSLVRRAWRQYLLQLQQHPLRTKVFAFALVLGFCSFIRKQGGFLKWVGLVVCPQMITAGCLAGVSDSVAQKLSGYQKIEKRRLLLKM >Sspon.05G0025890-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:28531447:28535092:-1 gene:Sspon.05G0025890-1B transcript:Sspon.05G0025890-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTPRSSSPMSFDGEGIADFVKIHVFTYIVCRLANEGKTLFQKRQRRSTIHSKTVSANGGAPSPVTRIGTISSATTTVHLHPTAETHHQHLPLLATNTRPETQTQHPQLAFVPNFDFSNLLAPSTLSQSPLPPMARTKQTARKSTGGKAPRKQLATKAARKSAPATGGVKKPHRFRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSSAVAALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA >Sspon.04G0004280-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:12902461:12906535:-1 gene:Sspon.04G0004280-1A transcript:Sspon.04G0004280-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSQISIRQSTSLPLKRKSARKQRPQKLTVLPVLPIALAKGGSKLEATTAQLARKPQGSLIQEENMELGRRVHIMSQQKVELQRKMSLLILNRGNHNKERGIDARMMAPELGSCLMLGATICNTQTGQQQNVA >Sspon.02G0015180-3P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6B:19842249:19844004:1 gene:Sspon.02G0015180-3P transcript:Sspon.02G0015180-3P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGIIKMIASFCAVLTLALLPFAAAGGGSGGGGHGNFNYKRALHMSLLYFEAQRSGHLPYNQRIKWRGHSGLADGLQQGVDLVGGYYDAGDNVKFGLPMAFTVTMLSWSAMEFQDGIAAAGEWRHVLEAIKWGTDYFIKAHTEPDVLWAEVGDGDTDHYCWQRPEDMTTSRQAYKVDRDNPGSDLVGETAAAMAAASIVFRRSNPHYAHLLLHHAEQLFDFGDKYRGKYDSSVSEVRRYYASVSGYGDEMLWAALWLHRATMRTEYLDYAVAMADEFGGTSWAINEFSWDVKYAGLQILAAKLLLERSHRPEHRTTLEQYKSKAEHYLCACLGKNGASGNVDRTAGGMLYVRQWNNMQYVTNAAFLLTVYSGYLAASGAASPLQCPDGPVRAGELQALARAQADYVLGANPAGVSYMVGNGQRFPRRVHHRGASIVSHRADGRFIGCVQGYDHWFRRAGSNPNVVVGAIVGGPDHRDRFSDRRSNYMQTEACTYNTAPMVGVFAHLH >Sspon.03G0015020-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:48157335:48162274:1 gene:Sspon.03G0015020-1A transcript:Sspon.03G0015020-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGARGYFLVAVVLIALLPASAIGAGLKVGFYNKSCPSAEALVQQAVAAAFKNNSGIAAGLIRLHFHDCFVRGCDGSVLIDSTANNTAEKDAVPNNPSLRGFEVIDAAKTAIEARCPKTVSCADILAFAARDSVALAGNLTYKVPAGRRDGRVSRDTDANSNLPSPLSSAAELVGNFTRKNLTAEDMVVLSGAHTVGRSHCSSFTNRLYGFSNASDVDPAISSAYAFLLRSICPSNTSRFFPNTTTDMDLITPAVLDNKYYVGLTNNLGLFTSDQALLTNATLKKSVDEFVKSDSKWKSKFAKSMVKMGNIEVLTGTQGEIRLNCRVINNGSSSSAGFELRMTTDSAQEELADTAAN >Sspon.01G0032640-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:113254462:113257317:-1 gene:Sspon.01G0032640-2D transcript:Sspon.01G0032640-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQMYPSTCQKPGEPRVHPLQRSPAHSNQNQLAPPSHLHSFRSSDSLPTRHSPPPPPAAPPPPQPRASAMLAASLRPGPSLAAFPASARRSPVAFAPFPARLHHRPLLLSATAEGTGAPAGHGDASVAPVDEARLPQFTADWEAARAGKDQGRIFTLPVLRANSGGLIVRFNSLQGFVPNPLLSPAHWCKGLYHLTGLVHISEVSWDLVQDVQDFLNEGDAVKVIVVNIDMAKSRIALSIRQLEDDPLLETLDKVIPLEADQSPDRMMSPSEVELLPGLDGICNELLQEDGITDVQFGRQASEKRVVSQDLELWLSNAPAKDNKFTLLARAGRQVQEVYLTTSLDQEGIKKAVQRVLGRVP >Sspon.05G0011510-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:33180778:33184960:1 gene:Sspon.05G0011510-1A transcript:Sspon.05G0011510-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMSVHATESFDIEEEYKNTLRTQSNACFLSKEQRPEEEMELFLDTQQDFFTSLMLQNTVLMRPGAAPSEMELALADYFDASAEALEMCRQLLRNVKSTQSNYRSMDRFLAAMADGTASTSSSAPLQQIHDRCSSILQSIRSSHGRVARKLRIVKAVKKLSRTLLVIASVAAAAAAIGAGPYLLFLIGLLIGPAADAGLCQIALKRRPLTATATKERSGGKTTTALSLLQDRLDTAAKGTYVLGRDLDTLNQLVARLSDGIERENAMAWRCVEAASDRGMEMPMISARESVNIEEEYKNTLRTQPDEEMEVLLDTHHQDLISPILNNMNMVMMRRNRRRTSAQVELAMSDYFGANAEASEMCRQLLRNIKSTQSNYGSMDSFLSSMADGTARRHQRWRRARR >Sspon.04G0030540-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4C:1762949:1768152:1 gene:Sspon.04G0030540-1C transcript:Sspon.04G0030540-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRRSPPPSPSYFSSFPSLPTSSWAQVAAAGTLSAAQTSAWPDLAAAAGGWPTLPDRPVSSPAAGFSSLFSSAVGSPTALPGLSASPPSAAALTAAAAVEGAAGGSASSPLAAPAVGGHGGDPAATLPAGGVEDALTERPADAVHLPGAGMDTGNHLPAGAQTFPASTPPLLSQIYAGSQPYAGRDTASLAAALVAARAAAAEGQARVRAAALVWEHEREAATPWLVRLPRRSGSSLPPLRLVPTPLPRRVALHYQAGGVQNIRLLVPVVLEPESPSYARWRDLVVLTLRRYALDDHVLLNASAAVQTPSWLRLDSIGDLSVGEFCRRMKGMADSLGDLGWPVEDRILVLNVLRGLSDRYAHLRTWITRQRPFPSFLEVRDDLVMEELTQGLQPVSTTPAGSSSSSTALAATSRAPCSTADHCSTTVVSPGSSSLRAERRRGGSRRSPTPRWGSRGWPGRPHPGSGSRAHLYVALPHHRGRVAPTGGLAHRGSSCGYSTRRLPCCSVDSTGVAGWDQTALARSFSTMGLTPPVGPEWIADSGATYHTTPDPGILSSLHSPSSSLPSSIMVANGSCLPVTSVGTAGAHGSFRLPDVLVAPSMVHNLLSIRRFTADNSCSVEFDSSGLTVKDLASRRPLLRCDSTGPLYTLRFPAAHSSSPSLLSAAFATSTSSTTWHRRLGHPGRDALIQLSRSSGLPYTRAHDEQLCHACQLGRHSDTFTTLLHFFAWVSTQFGLTIKAVQCDNGREFDNSTSRAFFLSHGVQLRMSCPYTSSQNGKAERMIRTTNDTVRTLLFQASLPARFWAEGLHTSTYLLNRLPSAACPAPTPHHALFGTPPRYDHLRVFGCACYPNTAATSSHKLDPRSTLCVFLGYSPDHKGYRCFDLASRRVLISRHVVFDESVFPFSGTSTSPVLTHPPCFPLTRWSSHLSCGLLQSGPLVLRRGPVSFSWCRPGAFVPRFCPGGSCRLPTPDPVSAPAPAPPSRFAAPVRVYQRRPRPPPLAVPSPPGTPTPPPLSPPARGTPPVYHPPLLHRHPRHVHPMVTRHAAGTLPPPVLAASTDDAVVSPVPSSVRDALLDPHWRRAMEEEYAALLANQTWELVPRPPGANVVTGKWIWTHKRRADGSLERYKARWVLRGFTQRPGVDYDETFSPVVKPATVRTVLSLALARSWPIHQLDVKNAFLHGLLTETVYCSQPAGFVDTTRPDMVCRLNRSLYGLKQAPRAWNHRFATFLMTLGFVEAKSDTSLFVYHHGADTAYLLLYVDDIVLTASSEPLLRRIIAALQQEFAMKDWQQYTRDILERAGMSDCKPCSTPVDTQGKLSETEGPPVADPTAYRSLAGALQYLTFTRPDITYAVQQVCLHMHDPREPHLTALKRLLRYLRGTLDYGLLLHRASSTDLVVYTDADWAGCPDTRRSTSGYAVFLGGNLVSWSSKRQPVVSRSSAEAEYRAVANGHQRTKHVEIDLHFVRDRVAMGEVRVIHVPTTSQFADIFTKGLPSSTFAEFRSSLNITGG >Sspon.03G0000160-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:362299:363912:-1 gene:Sspon.03G0000160-1A transcript:Sspon.03G0000160-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGWPPGAWRLQQLQQQRGPGAPVAGLPIGFRFRPTDEELLLHYLRRKALACPLPAGVIPDADLARLHPWDLLPAAGPGAADADGERFFFHRPATRCWRKGGGAARAAGTGVWRPSGKETLVVSPRCKRPVGTKRTLVFCPRRGRGGARTDWAMHEYRLLPAGLHLHGCSAAAATTNAPPPTNVSSHGAGAAADWVVCRIFRRARPAHRARLSGGEEEHEAEESPSSPSSCVTDASETVGQEDDGDEGSSSGGCSVASSN >Sspon.07G0013340-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:47373993:47375531:-1 gene:Sspon.07G0013340-1T transcript:Sspon.07G0013340-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPKTWRMEPLEPSMFTKLRSEEPGGVAMADAGVENRRCADKLELRVIVVWR >Sspon.01G0052600-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:24741726:24742902:1 gene:Sspon.01G0052600-1P transcript:Sspon.01G0052600-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGIIHKIEETLHMGGDHKKEDEHKKEEHKKAEEHHKKDGEHKEGIVEKIKDKITGEHGEKSGEHKDKDHKEKKDKKKKKEKKHGEGHDHDGHSSSSSDSD >Sspon.03G0039040-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3C:9207242:9208884:-1 gene:Sspon.03G0039040-1C transcript:Sspon.03G0039040-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAEAMRAQEATASAASRRSSEPAERYGHSVTPLNSSHGVVGTCIFTSLAVLAAYAHLLVISIGYINLVIIPVIMLAFIGAVACKFYYSSVGWQQYYDNNSETGFANRVEMLVALAAVTLVPQLVCSGLEKLLVGQQQQNNDSSFLISHFLFFFSSSIGALQVMMVTLRVAKVSQVLRMTFSIVLNIALHAKGAEWVGEDMVLVCMPELVAALLWFIDHFDDHGGYTKCISKVISLKSGVILSAAVILVSMFATDSDRSVVVPNMFRVFVSCTLAGMLSYFSTWVISGTGSDDDSAKVPIQLLKFSAFVCFGMSAVLVSVLAILHLLRLLEVTSFIDDVKAASFHPLLCFLLNCCGMFLIIAFGNHPSTGHQR >Sspon.01G0050820-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:8107523:8108057:1 gene:Sspon.01G0050820-2D transcript:Sspon.01G0050820-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRVDDLIGELCLLPAKVLGKKKRKEFQTVELLVRMDCEGCERRVRKALEDMKGVSSVEVDPKENKVSVSGYVEAPEVMERLRRRAGKEAQPWPYVPYEVVPHPYAPGAYDKKAPPGYVRNVLDDPDAAPLVRASSMEERYTTAFSDDNPNSCAV >Sspon.02G0059070-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2D:88953507:88956422:-1 gene:Sspon.02G0059070-1D transcript:Sspon.02G0059070-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GVLFDPLPPPCWRGRLPARASRCRGRVREWLRMVWRRPAPPFPASRRRRLPVRASHRRGRVRERLRAVWRRPAHPSLRLGGARLPPSWRVRERLRAVWRRPPLTPLSPGVDACRCAPPIVAAESGNGSARCGGDRHHLPPSVQAWTLTCEMLTAYINRYFSNPQYYFHVNDQYVRNKLKIILFPFFHRGHWTRISEPVGGRLSYKPPIYDINAPDLYIPFMAFGSFIILSGFTLGFMGKFTPEAINLQFTRALIGWGFQLMLLKGLLYSMGGGEVPLLDLVAYGGYLFAGLSLAVVARLVWAYSYYVMMPWMSLCMGVFLVRTMKRVLFTEMRSSERHSSRQHYFLLFMAIAQFPLFFWLGSISA >Sspon.07G0005150-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:11753109:11754471:1 gene:Sspon.07G0005150-4D transcript:Sspon.07G0005150-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MWNLLPILFLSSSLLLATTNAADPWYTDCPSNTNYTRGSAFQANLDALLSSLPVAAAASSGFADNVTGAAPDQAYGLAQCRGDVNASACLACLDGSARHMAATCPGQKGAMLIYDECLLRHSNESFFGTVDTSVTVWGWNTQNATEPEKFRSRLGALMGNLTTRAAFASPRMFAAGETALTPFVNIFGMVQCTRDLADDDCNRCLANAVAFIPNCCDGKQGGRVIYRTCSIRFEVYPFYNVQAAQEAMSPAAAPVPGGGLINGSDHSVPGNTGSNRTVRTALLVSIPVAVTLLVLLLVAIYLCKRNRKPRKDVQVSSASKCQNKTSFLTQIVLVATTNRNDRNFAVLFQEMEK >Sspon.08G0000350-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:1555079:1559836:-1 gene:Sspon.08G0000350-1P transcript:Sspon.08G0000350-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKRDLGILLLAAFAVFFSLHHEGDFSFRESWYHLTDEDFPIKYEADRLPPPLVADLNGDGKPEVLLPTHDAKIQVLQPPHARHLSDDSAFHEARVMADISLLPDNVRLASGRRPIAMAVGNVDRSYRAGEVRKQVLVVVTSGWSVMCFDHNLNKLWEHNLQDDFPHAAHHREVAISITNYTLKHGDAGLVIVGGRMEMQHHSADLFDEFMIPEHNTNDHRRSANEKQGSEAGNADLRHFALYAFAGRSGDLRWSRKNENIQSQPSDASVMLPQHNYKLDVHALNSHQPGQTQRMQYIPTITNHTQVWWAPNVIVAHEKEGIEAVHLASGRTICKLHLTEGGLHADINGDGVLDHVQVVGGNGIKEQTVVSGSMEVLKPCWAVATSGVPVREQLFNVSICHYNHFNMFHHGDFSRSFGRTFDTTGLEVATPILVQTDDGHKHRRGSHGDIIFLTSRGEVTSYSPGLLGHDAVWRWQVSSGATWSNLPSPSGMMENIVVPTLKAFSLRAYDPKEVIIAGGDQEAVVLSPSGSILAMIELPAPPTHALLLEDFSGDGLTDIILVTSGGIYGFVQTRQPGALFFSTLVGCLIVVIGVIFVSLHLNSYNGGKPRASSAEYR >Sspon.05G0013640-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:41696193:41704603:-1 gene:Sspon.05G0013640-2C transcript:Sspon.05G0013640-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVSIRRVSLLPLVVIIIGCCACWGCRAQIPIPARTDGFVYAGKPPAWGETVVVEAFLDPVCPDSRDAWPALKKVVEHYSSRVSVVVHLFPLPYHSYAFIACRSIHAVNKINPSFEGYYNQPTYEKSRATVVNEITKNIVAPIIGETNLAAYRAGFNDSQSDMATRISFKVRKTTTIAVNGCARGVTGTPYFFVNGIPINDSGSPLEYKHWISVLDPLVGKM >Sspon.06G0006090-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:19122608:19125030:1 gene:Sspon.06G0006090-4D transcript:Sspon.06G0006090-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:14-3-3 protein, Florigen receptor, Flowerin [Source: Projected from Oryza sativa (Os08g0430500)] MVLLGVAVLLVQSGDGLPFPFVCSKFVLFNNMSREENVYMAKLAEQAERYEEMVEYMEKVAKTVDVEELTVEERNLLSVAYKNVIGARRASWRIVSSIEQKEESRKNEEHVNLIKEYRGKIEAELSNICDGILKLLDSHLVPSSTAAESKVFYLKMKGDYHRYLAEFKTGDERKESAESTMVAYKAAQDIALAELAPTHPIRLGLALNFSVFYYEILNSPDKACNLAKQAFDEAISELDTLGEESYKDSTLIMQLLRDNLTLWTSDLTVFSSLKINMRMVRMRAKKPQKAMLARDSNLRRGHVVPAWF >Sspon.04G0000390-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:1720563:1723790:1 gene:Sspon.04G0000390-2C transcript:Sspon.04G0000390-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDDLFDGLPPPAAAPAGGGAGAASLSPPPPPPLPAPSAAPKPALKSSLKRSKPSPSADATTSSPSAPAAAAPDSHVPEKRLRFRTTVDASETQVIEAMQKIASHIGNTSKFSKASKLALQLIEAGSVKPGTIGHFFAILEAAMSSPGACNDPSVRADYHKLFDSSQGVTELFNPQQKNQFDIWVLHAMVANDLFTDDSFVFSKAVGKIKDAISALPVATVDDDNDEAAALAAASKTDAVMDNKTDHSVPAAASNSVADDSTHAAVSEPGEESSDPFGLDGLLEHKPKKSERAREKAVAALNRKADEVEAKRFLKSQREALLKCLEIAARRYRIPWTQTAIDIFAKHAYDNVTRFTRQQRDAIVKLWNSIKEQQIRRKQGKSVSGKLDVNAFEYLQEKYSHEKISIRHSVGGGGERRATAWLG >Sspon.01G0015520-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:45025920:45033348:-1 gene:Sspon.01G0015520-1A transcript:Sspon.01G0015520-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPPPPMLQPLMRGMVPRFLRRHHLHTVLILFRYVPLLMFITIGLLIITASALIPSLRPASCEGGSCPPATGFQYFVLFGSLYLISIGTGGVKSALLPLGADQYNDANLEESKNKQLFFSWFFMAINLGVFISGTVLVWIQQNVSWSLGFGISSICLVIAAVAFLVGTPFYRVQLPTGSPLKSIVMVFVASFKKRRVELPADSTLLFEGDDAESSSIVPNKLEHTDEFRCLDKAAVVVEDQETKDRHRTWLLCTVTQVEEVKILIRMLPIWFTCVFYSAAMSQTATTFVQQGNAMNTKIGSFSVPAASMNSAEVIFMLVWVVFQDSIVIPIARRYTGNPMGLTLLERMGVGRLLAIPSLAAAALVETWRLRSVKAGHNLSIGWQLPQFVILACSDVFCGIAQLEFFYAEAPASMRSLCSAFQFLAMSLAYYVNTLVVSLVAAVTTAWGGKGWLPADLNDGHLDYYFWLWTGISVVNYVVYTAFARRYTVKKLLESIAFSGVALNLVVYLATVLHGSTAFNAAHVDTWNGTTFIVPVIGAFLADSYWGKYRTILASIVFYLVGLVLLTVSAAIPSLRPATACQMGMSCAPATKTQFSVFFAALYLTSVGTGGVKSALLPFGAEQYDDDADRPERKQAFFSWFFAAINLGIFVAGTLVSWLEQNVSWALGFGIGTACFFVAALAFVAGTPCYRVQMPTGSPLKDIIRVLVAAFRKRNVSMERDDGAAVLLHEDDGEQQRLSRTKGLRCLDKAAAVDDKAQEGEWSLCTVSEVEGVKILVRMLPIWVTCVLYAASLGQMTTTFIQQGMAMDTRLGGRFKVPVASLVSVEVVFMLLWVVLHDAAIIPAARRLTGRPGGLTQLQRMGVGRFLVVLALGTAALVERRRLRAIHGGSGPMSIAWQVPQFVLVAGSDVFCGIAQLEFFYGEAPAAMRSICSAFSFLALSLGFYVNSLVVTLVAAVTKRPGWLAPDLNAGHLDYYFWLWTIISVANLLLYMVLAARYTPKQVAAAVEPMRSSSSDE >Sspon.06G0028110-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6D:1144765:1148088:1 gene:Sspon.06G0028110-2D transcript:Sspon.06G0028110-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MESPMEDAVGRRVVVSSAAVAAMLLMMMLPSLVGGTGIGKGAPTGSNCTRSCGNISIPYPFGIEPGCYHGAWFNLTCSHSYQPAKLFLGDGTVQVLEISVPNGTVRINSTRLLYTVDVGVTTASGTWGMGLPRGGPFFLSESRSSVALVGCGAQVEVRGGDDNSLVASCAAVCPLDGGGRIVVETSSACAGVGCCQANIVLGYDFYSIRIHKLNGSVYALPASVYFVDQGFRHTEDMSAVYGHFPESLPATLGWVTSNYTCPSPYRNLNPYDRPSSSECFVGNHSVCLEGPYNVADRGSRCGCEVGYQGNPYFPDGCQDINECTSPDIYPCYGDCKNIPGDFICLCPHGYYGNASVPNGCQDINECEDPEAHSCYGVCLNFPGTFQCQCPSGTYGNSSQKWGCVTIKNTIAASGLSIGLGVSGGTILLLLAFGSPFIVRKVKERKHKKMKEKYFNQNHGLLLQQLISHNADIGERMIVTLRELEKATDNFDRSRIVGGGGHGVVFKGILDLHVVAVKRSKIVVQREIDEFINEVAVLSQVNHRNVVKLLGCCLETEVPLLVYEFISNGTLYHHLHVEGPVSLRWNDRARIALQVAKALSYLHSATSKPIFHRDIKSSNILLDDTLTAKVSDFGASRYIPIDQTGVTTAIQGTMGYLDPMYYYTGRLTDKSDVFSYGVLLIELLTRKKPFIYRSDGGDGIVSYFVLLLTKGKLWDIIDPQVIEEEDGEIQEVAALAALCTKLKGEDRPTMREVEMTLENLLVKKKHVPCDTTLRILGEKESMAHWMSNEEVSTETSRQYTTEEETLLSAR >Sspon.07G0027250-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7B:59384310:59384735:1 gene:Sspon.07G0027250-1B transcript:Sspon.07G0027250-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVISGSPRCSDSSRLKGKPTGELWPRRNRPRRRAGCARGRRRYAGGAGTARLGEWMRRVCKDEANSTVRSIWPGVARWRWRVLVEQRCSAVAVAEKKARRPAHGLNRRGRSCCGLPAATRAQHEVGHTSKRRKRREGRRR >Sspon.01G0010990-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:30606341:30606649:1 gene:Sspon.01G0010990-2C transcript:Sspon.01G0010990-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding HLLSLAVALAPSLPLPNGQAPATAIPARRHKEKPSLNAAASSPSPSHPRRCRPRRRTRAVVALAERMSPCDPSVPPSGEAKPECRHLLSLLTASSPSLGVGR >Sspon.01G0051710-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:17769438:17770407:-1 gene:Sspon.01G0051710-1C transcript:Sspon.01G0051710-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHDIEHHHPDVMLKPHNDDLARIYNVLEQYDDLMEDELMAGDVFGSAAQIMDEKLYSNGVDDFQILQQARLAITF >Sspon.08G0001720-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:5388933:5393036:-1 gene:Sspon.08G0001720-1A transcript:Sspon.08G0001720-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMDAGGGGVRSQGVNPDCPNAANPFHRCADYCPVPAPTANKAAAAPRGKPAPPRPRTTTVAQNGTAKHGDSAARIVPAADAEEEEEEGGGEREESRAVNPGYPASKTSPPRQGPAPVLGYAAQNGTARRDDEGECEITVVDDSEEQGESVEDYGAEEVSGGRTRTSARSPATDGEVGGGRTRTSARSPATDGEAGGERQWQAINPDCPNAANPFHRCAEYCPVPVPKVPPPPPRPRGYEGSTHSDPGELHPRPRRREKGGSGGLPLYVFLREGSDGDGKKVDPRCPNAPNPFHVCTDHCLAKIADAGRSSEGAKSPISLFSRHFRRSSSSSEEGSLKSPGSRKVDPKCPVPAIRSMNVVSIVPPRCSRQSSTRGGKNAAVIPNWKVDPRCPNASNPFHMCAQYCFDHLSQTAQTSASKSDKRRGKDVSKDEQRGEINPDCGNASNPYHKCGDHCKRKGPARQDFSGRTIDDDEK >Sspon.02G0012430-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:36894691:36899548:-1 gene:Sspon.02G0012430-2C transcript:Sspon.02G0012430-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGQQQHLPNVPRWTPSRPRRQAHGGSGDAHDEEDADSALGGSMRSTDGSSFPFGSGRSFPFPLPQPSLEISVLQAAPAANGTTTAGSGVDGPVAREKSLRRTDEGVVISWEDLWVSAAGGKGGRVPILCGLSGYARPGEVLAIMGPSGCGKSTLLDALAGRLGSNVSQKGDILINGRRQKLAYGTSAYVTQDDVLMTTLTVREAVHYSAQLQLPSAMPASAKRERAEETLREMGLEAAADTRIGGWMHKGISGGQRRRVSICMEILTRPALLFLDEPTSGLDSAASYHVVSRIARLARREGMTVVAAVHQPSTEVYGLFHGLCLLAYGRTVFFGPAAETNQFFALSGFPCPSLMNPSDHFLRTINKDFDKDIEEGLNGEVKMTTAEAIDTLVNSYKASAYMEKVTRQIADIREIGGAVVKKEWQTSFLTQSLVLTKRSFVNMYRDLGYYWLRFAIYIMLCLCVGTVFYDIGHSYGSILARGSLLNFVAAFLTFMAIGGFPSFVEDMKIFGRERLNGHYGAASFTIANTVSAAPYLALISVVPGAIAYYLVGLQSSFGHFAYFALVLFTTMMVVEGLMMIVASAVPDFLMGIITGAGIQGVMMLNGGFFRLPNDLPKPFWRYPMYYVAFHKYANQGLYKNEFLGLTFPNNQAGGPATISGGQILTDFWQVEMGYSKWVDLAILCGMVVLYRVLFLAIVKLTEKVKPMIKGFRFRNTVLSVHVADKGSGSP >Sspon.03G0020870-2D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3D:51075238:51075594:-1 gene:Sspon.03G0020870-2D transcript:Sspon.03G0020870-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLVDTKAARVLYAEASKDVVDFLFSLLTLPVGTVVKILGNDAMVGSIGNLYGSVEKLDETYVRSADAKGALLGPAGGYEGGKLLQLPEAAQFAEYYRCNQYYTGRTYYTECVTNLSK >Sspon.03G0006670-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:25400727:25403201:-1 gene:Sspon.03G0006670-2B transcript:Sspon.03G0006670-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAERDRILERERRQMEQILELDMEELQVEEVDDDGSSSSSDVDTFLRNAHGDGGINTSEELMVDTSTVSLQDHTYLDSMHEQCGYLLEAKIDGARGKFAFLDGDRVLNLPMFYLQGVVLFPESSLHLRVFQPRLVEAIDKAINHVDAPCMIGVVYVYRHTNDGHYTIASVGTMAEVWFLSY >Sspon.08G0000270-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:1360125:1364138:1 gene:Sspon.08G0000270-1A transcript:Sspon.08G0000270-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAFLLLGLGFLLGILSLAIAEGLALLWAIRSLTRRSPPQLPVHPTPPRSVSRHGLNRQGFLWMLEQQKTPPGPKDSINRPSNAGSPGIRDKKLIVEVFPVKMSATLEGHSLTLSGPHDASQLTIDLLSCTVVAVSASNLPSRKWAKRYPIKLESKESDICRGSKRILSNTRTPAYIGEITLTDLNLGKLPPYLHRMRVLPLDLNESWAFEVDFEYSSGILLHIETRLEVQAPELEKDILKTDIKDDSNGDVSSDFLDSLDQYGNQFRHSEALDSAAEVNDEADTLRKSKSTGWASTYMSRWKSIMNSIADQVSQVPLSLAIKISSLRGTMRIHLKPPPSDRVWYGFTSMPEIEWELESSVGDRKISSSYIASLIGNRIKASVRQSLVMPNCESFPISWMISDTDDWVPRRIAPFIWLNREPTETSTRHAADTTREMPGEAFASKAIAKNKSSPLPPSRRITKGSWNKTNIGVDGPEQAEASTSWQTWLVSASGAPLQSNEDATREQLRMPLLSSSGDERAGLVEEQTSPSAGEEGDAKKRKRGVRRARVMDLGRRMGGKLEEKGKHFIGKMRENARNNRLLLPDLEQATTPPAPAPS >Sspon.03G0026470-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:7794595:7800070:-1 gene:Sspon.03G0026470-2C transcript:Sspon.03G0026470-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MERVRRASHAGSWYTNNARKLEEELNGWLEAAGLTKSPDVRAVIAPHAGYSYSGRCAAYAFGNIDPTSISRVFLLGPSHHYYTPKCALTRASVYCTPIGDLPVDQEVIEELSATGKFEFMDLSVDEAEHSMEMHLPYLAKVFQGHTVKVVPILVGALSSQSEAMYGQLLSKYVDDPKNFFSVSSDFCHWGSRFSYTYYEKKHGAIHKSIEALDRMGMEIIETGDPVAFKEYLQEYENTICGRHPISVFLHMLKHCSTKIKIGFVRYEQSSQCKNFRDSSVSYASAAAKVDASGEEEKQD >Sspon.07G0005960-3D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7D:13560546:13562239:-1 gene:Sspon.07G0005960-3D transcript:Sspon.07G0005960-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMDMGALHEAVAFAGRLWSVDVTCGALSVDPFSDRPELRFTELPRGSVTEPMDTERREELSRYRRIGVSEGRLRYAEVSQEEPFLLSSFTLDDDGSCWTLEHRVALRRLWPHEDLCENTPQIAVVDPLNASIMHLAIGRQILSLDMVTGNLLRRVLICEHGPTINDVLKPCVLPPWLQSSKIPSADDHLSQGDSCGSTLDTVDYKIAILVKHDPESKLNIITIMFQY >Sspon.05G0019750-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:86669046:86678020:-1 gene:Sspon.05G0019750-2B transcript:Sspon.05G0019750-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding FEKQHERFMGQEIANEFNKRNLRPISPRRRPPLHQLPPATPASAAQTPSLPDTPASLDPDTPFSDATPTPADASDAFLASDDGITNPSGGAAGKHMTLAPPAPPAAKKPPSKKKGGNSVWTRPASRKGKKKARQPGGHGHGPSGAGAAGSSNPRPSAGAGEEEFVLVPATRLAAERSDDAPGQPVLLSRVFKSERIELSEDRLTASSTKGFRMVRATRGVAAGAWYFEVKVVHLGPTGHTRLGWATNKADLQTPVGFDAYGFGYRDVDGAKVTKAWRDKYADEGYGEGDVLGFYISLPDGERYEPKQPDLIQYKGMPFHVQVPKEEQKTPDPVPVCQGSAFKDIPGGRYFPAASLYTMPNEPNCVVKFNFGPDFEFFPQDFGTLPVPQPMSEVPHQAHEVKNEGPLENGIAEKTS >Sspon.05G0010540-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:32606545:32607287:1 gene:Sspon.05G0010540-4D transcript:Sspon.05G0010540-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DDEPNGGHPGPAPHTRPPQLLLLLPQRIRDSHHPQAAIRGGEGVGGDDGDGEAGRQGGAQPARVPPLRARRPPVAQVGVREEQVPVDLLRQGDVLPAAGEGEGVPRGSRGGVRGDRRGGPCRLPQGHEVHLGRRRGCRQALQLRV >Sspon.03G0020780-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:50997807:51012244:1 gene:Sspon.03G0020780-3D transcript:Sspon.03G0020780-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATNTTTTLSMKLLIDRKAQRLLFAEASKDVVDFLFSLLVLPVGAAVKLLAKEGVAGSFGSLYGSVEELDYTYIQPGAAKDALLRPAVLCSLDSSSLLRLLPPAPPSAAGQHPAATAARSLMLYRCTSIFNSSCRTYITDAYGKACPTCGNHMTAAAQYLPPAGGQMAVTGFVRGVVTYTVMDNLTVMPMSAISSFTLLNAFAVTDLAALQEKTVQLGYNEGLEILRASLQSKTVLSDVFLRRKDGNHHSHRRAEHEAPHRPEGAAGAIRRGELGSRGLPLLPPRAARRHGGQALSTATAALSMKLLIDRKAQRVLFAEASKEVVDFLFSLLALPVATAVKLVGKEAMVGCVGNLYASVDKLDSTYVQAGAAKDALLCPTVLSPAATTNSSLLRLPDKSSVQPKTFYRCGSNFSYSSNCRTYITDVQGKACPNCGSSMTAGVNYLPSAGASGSVQVAAQSTAKGFVQGIVTYTVLDDLTVTPMSAISSITLLNTFAVRDLGDLQEKTVQLGYNEGLAILKASLQSKTVLNDVFLHGKAASPGGRATRSQTEMEAALSMKLLIDRKAQQVHFAEASKDVADFLFSLLALPVATAVKLVGKEAMVGCVGNLYSSVEKLDSTYVQPGAAKDTLLCPRTRNSNCCTYVTDAYGAACPACGSCMTTEARNSGQVVVVQSAAKGFVQGIATYTVLDDLR >Sspon.01G0006050-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:34326614:34330420:-1 gene:Sspon.01G0006050-3D transcript:Sspon.01G0006050-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GQTGNSSASPVSNSLLTQIQISQRGERAERPPESDWQGFLESPSKMVKICCLGAGYVGGPTMAVIALKCPAIEVCVVDISVPRIAAWNSDQLPIYEPGLDDVVKQCRGRNLFFSNDIEKHVAEADIIFVSVNTPTKTRGLGAGKAADLTYWESAARMIADVAKSDKIVVEKSTVPVKTAEAIEKILTHNSKGINFQILSNPEFLAEGTAIEDLFKPDRVLIGGRETPEGQKAVKALKDVYANWVPEDRILTTNLWSAELSKLAANAFLAQRISSVNAISALCEATGANVSEVAYAVGKDSRIGPRFLNASVGFGGSCFQKDILNLVYICECNGLPEVANYWKQVIKINDYQKSRFVNRVVSSMFNTVSGKKIAVLGFAFKKDTGDTRETAAIDVCKGLLGDKAKISIYDPQVTEDQIQRDLAMNKFDWDHPIHLQPMSPTAVKQVSVTWDAYEATKGAHGICILTEWDEFKALDYKKIYDSMQKPAFLFDGRNVIDAEKMREIGFIVYSIGKPLDPWLKDMPAVA >Sspon.03G0018650-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:51477327:51480038:1 gene:Sspon.03G0018650-3D transcript:Sspon.03G0018650-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding EPEADQRGQLGRLRRGGGEHAHAQRRRRRRGVQAGEEGRGEEPEAAHEEPLPQALLPPPSRRHARLPPLRRSGGGGGQSQQQGKGDAAGSAGQRGGVHQAAQGADRGAEAAEGGRSWPSGGLRVGVGRVVRRRRAHAGDRGAVPGRDAGRGAHQRRRAALQAAPGHHGAGAGRRRGGQRQLLRHRGQDLLHHPLAGAQPTDRTRRRQGLPEAARPACSLS >Sspon.02G0051600-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:80535088:80536303:1 gene:Sspon.02G0051600-1C transcript:Sspon.02G0051600-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTGERFTEIVGSPYYMAPEVLRRSYGPEVDIWSAGVILYILLCGVPPFWAETEQGVARAILRGNLDLQREPWPRISEGAKSLVRQMLQMDPKKRPTAQQVLEHPWLQNARKAPNVPLGDVVRARLQQFSAMNKFKKKAMRVIAEHLSVEEVEVIRDMFALMDTDKDGRVTLEELKAGLRKVGSKLAEPEMELLMEAADVNGNGYLDYGEFVAITIHLQRLSNDAHLRKAFLFFDKDSSGYIERAELADALADEAGHTDEAALNNVLQEVDTNKPALQLQSELGPYAWSQDGRISFEEFVAMMKAGTDWRKASRQYSRERFKTLSNSLIRDGSLGMAR >Sspon.07G0017260-3C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:5C:33724342:33724512:-1 gene:Sspon.07G0017260-3C transcript:Sspon.07G0017260-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MANELVAKLTFLCWDKCVTGSVGSSFSRSETSCLSNCAKRFAEVKMMTMQRFTGRS >Sspon.08G0006500-1P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4B:61483824:61485407:1 gene:Sspon.08G0006500-1P transcript:Sspon.08G0006500-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MENPTPPTNPASAAAATGATPTPSPSPSRQLPDFQQSVRLKYVKLGYHYLITHGMYLLLTPLMVLVAVHLSTLSPRDVADLWAHLRLNLISVVACSTLLVFLGTAYFLTRPRPVYLVDFACYKPGPERRCTRETFMRCSRLTGCFTDASLEFQRKILERSGLGEDTYLPPAVTRVPPNPSMDEARAEAREVMFGAVDELLAKTGVKPKDIGILVVNCSLFNPTPSLSAMVVNHYKLRGNVVSYNLGGMGCSAGLLSVDLAKDLLQTHPGSYALVISTENITLNWYSGNDRSKLVSNCLFRMGGAAVLLSNRRSDRRRAKYELVHTVRTHKGADDRCFGCVTQEEDGEGVLGVSLSRDLMAVAGDALKTNITTLGPLVLPLSEQLLFMATLVAKKVLKMKKVKPYIPDFKLAFEHFCIHAGGRAVLDELESNLSLTDWHMEPSRMTLHRFGNTSSSSLWYELAYSEAKGRIRRRHRVWQIAFGSGFKCNSAVWRALRSVNPAEETNPWMDEIDRFPVDVPKVSKVSSE >Sspon.05G0000240-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:1645926:1646771:1 gene:Sspon.05G0000240-1A transcript:Sspon.05G0000240-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPKQLLTIVIIIFSTLSFIKLLFLTSSSFSSAGSISRSSPAWDAVGGGNGTARSALAAKELALLRSVVAARAPCRLLVFGLSPQLLALAKLNSGAGAGAATAFVTDSADDADAARQVLLSGRGAGAGSAAAVAVHRARYRDAAEEAWPLLRRARGSPACRRPTGTVRKSGCPLALTSLPREVLDARWDVVVVDGPSGAAPEEPGRMGTIYTAAALARSVAGGEAVDVAVHDVDRTVERWYAWEYLCEDNLVAAKGRLWHFRIAAGAGPADAFCSIGPVQI >Sspon.02G0014040-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:37347945:37349321:1 gene:Sspon.02G0014040-1A transcript:Sspon.02G0014040-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFSLMVLILWSPVVIPLLPTLVQSWTISASTGIIGYACIVGLYVSIMILVMLWGKRIRGYEDPVEQYGMNIWSASRLWEFFQGLAGGLTVVGLVHSISILLGFATFRTGFSSSLARPLDLIKSSSNVFLLALRGLATATSIAVVEEMVFRSWLPEEIAVDLGYYNAIMISGLVFSLIHRSLPSVPGFLLLSLVLFGLKRRTKGKLAAPIGLRSGIMTASYLIQSSGVLTPKPETPFWMIGTYHLHPFDGVIGLSICSLLAIFFFPQKPVQKDTSV >Sspon.02G0054590-2D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2D:99636323:99637630:-1 gene:Sspon.02G0054590-2D transcript:Sspon.02G0054590-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPLLWLALSCAALAFSTSAAGLRLELTHVDAKENCTTEERMRRATERTHRRLASMAGGEASAPVHWNQSQYIAEYLIGDPPQQAEAIIDTGSNLIWTQCSTCRSAGCFGQNLTFYDPSRSRTAKAVACNDTACALGFETRCARDNKACAVLTSYGAGVIGGVLGTEVFTFGQSESSENNVSLAFGCITASRLTPGSLDGASGIIGLGRGKLSLPSQLGDNRFSYCLTPYFSDATNTSLLFVGASAGLSAGPPVTSVPFLKNPDDDPFNTFYYLPLTGITVGTTKLAVPTAAFDLREVAPAKWAGTLIDSGSPFTSLVDVAYQALRDELVRQLGASVVPPPAEAEGLDLCVGAAHGDAGKLVPPLVLHFGSGSGSGGGDVVVPPENYWGPVDDSTACMVVFSSGGPNSTLPLNETTIIGNYMQQDMQLLYDLEQG >Sspon.02G0016380-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:44895325:44898714:-1 gene:Sspon.02G0016380-1A transcript:Sspon.02G0016380-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RRSTRDATADDSPYGEASVLGGGKTREKDWKRQGKLREKWCAGETERAGGRERAGVWAIHQQESGRGTRSRSSPVRTRSPAPMGEVAARPPPSRAAACASCGHVPPISANLQHLEERGKQETQRGRGGAVVENERERCGGAGGLGVRETKQEGTSTKAFLKGIETWKKETIIQREAAKRLEQTAGEEAEYAPLPTGPGAAANKKTLSSDEANYTATCSPWYWVLNLLQVPVSVGVTLYEGFGLMSGKRVLSSKGSEQTTMKFHQVVVYGLFGIAAGLVGGLLGLGGGFIMGPLFLELGIPPQVSSATATFAMMFSSSMSVVEYYLLNRFPVPYAVYFTFVAFVAALIGQHAVRKLINWLGRASLIIFILAFMIFVSAISLGGVGVSNMVHKIARHEYMGFENLCKYDA >Sspon.07G0009770-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:26868681:26884589:1 gene:Sspon.07G0009770-2B transcript:Sspon.07G0009770-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MISAHTAASPASAWKRGGRSEGGGSCDGCRTYRKTLRRTAAAGKVRALPPRRVEAVAMGSAAETEKEKEQEEVEVAAAGGVVEDHYGEDGAAEEVPIMPWAFSVASGYTLLRDPHHNKGLAFTEKERDAHHLRGLLPPAVVSQELQVKKIMHNLRQYQVPLQRYMAMMDLQERNERLFYKLLIDNVEELLPVVYTPTVGEACQKYGSIFRQPQGLYVSLRDKGKVLEVLRNWPHRNIECLPITIDVGTNNEKLLNDEFYIGLRQKRATGEEYHELIEEFMAAVKQIYGEKVLIQFEDFANHNAFDLLAKYRKSHLVFNDDIQAGTGIAELIALEISKQTKAPIEECRKKVWLVDSKGLIVDSRKNSLQSFKKPWAHEHEPLTTLYDAVQSIKPTVLIGTSGVGRTFTKEVVEAMASFNERPIIFSLSNPTSHSECTAEEAYNWTQGRAIFASGSPFDPVEYDGKIFVPGQANNAYIFPGFGLGLVISGAIRVKKIMHNLRQYQVPLQRYMAMMDLQERNERLFYKLLIDNVEELLPVVYTPTVGEACQKYGSIFRQPQGLYVSLRDKGKVLEVLRNWPHRNIECLPITIDVGTNNEKLLNDEFYIGLRQKRATGEEYHELIEEFMAAVKQIYGEKVLIQFEDFANHNAFDLLAKYRKSHLVFNDDIQAGTGIAELIALEISKQTKAPIEECRKKVWLVDSKGLIVDSRKNSLQSFKKPWAHEHEPLTTLYDAVQSIKPTVLIGTSGVGRTFTKEVVEAMASFNERPIIFSLSNPTSHSECTAEEAYNWTQGRAIFASGSPFDPVEYDGKIFVPGQANNAYIFPGFGLGLVISGAIRVHEDMLLAASEALAAQATQENFDKGSIFPPFTNIRKISAHIAAAVAAKAYELGLATRLPPPRDLVKYAESCMYTPVYRNYRDSESNASICLTL >Sspon.02G0008510-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:18434405:18436897:1 gene:Sspon.02G0008510-1P transcript:Sspon.02G0008510-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSKRVGIVGAGVSGLAACKHALDKGFRPVVFEADGTIGGVWAHTLESTRLQAPTTAFRFSDLAWPDSVREAYPTHHRVMEYIRSYACAFDLLKHIRFNSQVLGVEYLGATEEEIMSWEQWSGNGTAFGAVKGGGWRLTVQDLKVGSTEVFMLDFLILCIGRHSGTPNIPEFPVNGPELFKGKILHSLDYSYMDDVAQFVKGKRVTIIGSGKSAFDIAAEVAKVNGATQPCTMIYRTKHWLVHKSSICGVDLSYFYLNRISQLLVHRPGEGFLRYMLATALSPLRWAISKVIETYYKWSIPLQKHGMVPDYSFSFAMSSCLIAMLPQGFYDRVDKGSIVLKKSKAFNFSSDGIILQDRNESIKSDIVILATGFRGDQKLRDIFTANWCRKIVAGSPDTAAPLYRECIHPRIPELAIVGYSESLTNIYASERMSNWVAHFLAGGFQLPSIRCMEKSVAEWAEYKNLYNGKYFRRSCISTTNIWLNDLLCQDMGCNPKRKKGFLAEWFQPYGPADYAGLC >Sspon.06G0035980-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6D:87615266:87615409:1 gene:Sspon.06G0035980-1D transcript:Sspon.06G0035980-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LLFLGKRLLVVVEVLLRVDVCEPTKGVSTQSTQQVPTRVDGRGGDII >Sspon.01G0034470-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:3963629:3964628:-1 gene:Sspon.01G0034470-1B transcript:Sspon.01G0034470-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSFHPTTAALFGHHRLPAPDAADMSFLQDDDDDHSEVTDALLGFVYDPLDSSNAALDDLLSLPRPSDAATGAEWRCGKRQRIGTGSQQVPAMTGALMSDEYVLQQQLPPQPAVPVPVPTQLPEPLVFVRGADANKATGGGGGSCQSVQKSAARQRRKRISEKTAELARLIPGAHNLNTAEMLEEAARHVKLLQAQVGVLALMRAAGSSEMQEDKMPSAMAQERMHALLACGSVQERLAAEGKCLVPRTLVDAVAKDDALKANPVVRRDLSRFVASLRQGQ >Sspon.05G0026130-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:39460225:39462402:-1 gene:Sspon.05G0026130-2D transcript:Sspon.05G0026130-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASASASGEQKKKILVARKGRLRQRYDGEYRLVAGCVPYRVGADGQPELLMVSTPNRDDLVFPKGGWEDDEDVHEAACREALEEAGVKGAINRTALGMWVFRSKSSPVSSGDSPRGACKGYIFALEVAEELEQWPEQDTHGRQWVSPADAHRLCRYDWMREALSALLDRLAEPKPAAAEGLGGDHAGVCMMVKAAAATADRAVALC >Sspon.03G0040620-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3C:31084828:31086884:1 gene:Sspon.03G0040620-1C transcript:Sspon.03G0040620-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LATPPLVAPPQSTARALPMINHGSQFRISPPSSPPLARSPLPMTQTPTPTAAAATAAPGPEPAPEAELPDAIAAALPPDPYEQLEVARKITAVAVASRASRLELEAARLRQRLADRDRLAAELADRAAKLELALRDADARLRAALDDNVRVHAKLVKERDSLAQTSKKLARDLAKLETFKRHLMQSLGDDNSQLLMLLWPVSCTLSSSSNQAIRAETDHHAHHSTPRFGSCDEAENRRNNIPKKVLHGDVAQTGVRRHLAAIGRAYGDVAMAALQQDVLCSQLASSWTLYLRSSTPIGNLERWEAFDQVSVFKILFTTSGTELLIFAYTVVPQETLRKSDEIFGAENKDLLRSFQGLLSRSLS >Sspon.03G0024780-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:94119190:94121809:1 gene:Sspon.03G0024780-2C transcript:Sspon.03G0024780-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGPAAEPPTWMAAAARRWLENAGATAEDAPGRAFNALPLSGVRVSLAERGRALCSLRVPPQLTDAEGNWHTGAIAAAADDVCAAAIMSAEGIIKVSVHYDISYFAPAKLHVRGSGDGRAGGGAERADDGGDGGDPEEGVRRAGGHREAVDDGLQAQRVSEQDLKLPLNLISSLRISQQDLKLR >Sspon.06G0012910-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:51434953:51436407:-1 gene:Sspon.06G0012910-3D transcript:Sspon.06G0012910-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding NTTMAGNVTVTAYVQELELCCCTMGSMDYDNDKKPREQTRKSRTMLLLLILTNAASILIFSGAGAALHAHVRRHYPAVVHAWGSAKLLRELKVTGLAMAASHAEVVDLSGRLTAANKVMEAILGGKAAKHDMEAAQEEQREAAAGGLWQRDREQELSDELKLAVGPHRLPHKRQMIGGGGGSGDAVLFPALGQACHRYRDELERYMNYTVGGECPSDEASTQRLMLKGCEPLPRRRCRPPTPAGYVEPKPLPASLWAIPPDTSIVWDAYTCKSYGCLVKRGKAKGSYDCKDCFDLRGREKDRWVRRPGEKADDERNSLDYTIDGVLGSLPKGSVRIGLDIGGGSGTFAARMRERGVTVVTTSMNFDGPFNSFIASRGLVPMHLSVASRLPFFDGTLDVVHSMHVLSSWIPDAMLESALFDVYRVLRPGGVFWLDHFFCLGTQLDATYLPMFDRIGFKKLRWHAGRKLDRGIHMDEWYVSALLQKP >Sspon.07G0018790-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:67901239:67901790:-1 gene:Sspon.07G0018790-1A transcript:Sspon.07G0018790-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPAPAAVVPEPQKLSPSPTSQQCRRRQRSPLANGSAAGDFELRHWRTPKKRGAAPSLAAPRWAPPPVIDIPNGAGSDGDDSNSSSGGGGHGYTSLRDILSSPEYAAAGGSPGACGGIGGGGCGSCGDIHMIRHPLVKHAAYAYLQMTPSAREDPGRRGRRWRSPLCRLLLGCLSFIGALFRP >Sspon.06G0003480-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:8618071:8622277:1 gene:Sspon.06G0003480-2B transcript:Sspon.06G0003480-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAASAPVFERAWFLDQFGVLHDGKKPYPGAILALEKLAGNGAKMVIISNSSRRSSVTMEKLKSLGFDTSCFLATITSGELTHQHLQKRNDPWFAALGRKCIHITWGDRGAISLEGLGLQVVNNVDDAEFILAHGTEALGSPSGDPIPKSLEELEHVLMLGLEKRLPMVVANPDYVTVEARDLRVMPGTLAAKYESLGGEVKWMGKPDKVIYTSAMSLAGVDAHECIMVGDSLHHDIKGANASGIASAFITGGIHADELGLGEFGETPGEDAINSLCSKHGSYPSYVLPSFTW >Sspon.07G0023660-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7C:16341839:16343665:1 gene:Sspon.07G0023660-2C transcript:Sspon.07G0023660-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At4g14050, mitochondrial [Source:Projected from Arabidopsis thaliana (AT4G14050) UniProtKB/Swiss-Prot;Acc:O23266] MLPPAAAAAIRATAGSPRAVRRVHARLIKEGLADLLPAPALLVSAYARSCLLPDARRAFDDAPGRDLHLYSALLAAVSRSSTPELVLPFLRRMLSDDALRPDHFVLASLASAAARLRSLRLGRQLHAHFAASPYRDDDVVKSSLIDMYCKCGVPDDARKVFDSIGVKNSVVWTALVSGYASNGCTGEAIDLFRSMPARGLFTWTALISGFVKAGNNTGAVGLFVEMRRDGVRIDDAFVLATVVGGAADLAALVLGRQLHGFALRLGFLSSMIVGNALVDMYSKCSDIHSAREVFEGITVRDVISWTTILVGEAQHGQAEEVLALYNRMLLAGMKPNEVTFVGLIYACSHAGLVQKGRQIFYSMKREYGVKPGLQHYTCYLDLLSRSGYLSEAEELITTMPYEPDEASWGALLSACKKHNDTQMCLRVADNLLELRPKDPSTYILLSNVYAVNCKWDSVAKVRKIMAEMEIRKEPGYSWIEAGKESRMFHAGEVPLDVGEEITGFLEELVSEMRKRGYVPDTSSVMHDLEEHEKEQHLFLHSERLAVAFGILKSPPGSVIRVVKNLRVCGDCHTVMKFISEIAQRKIIVRDASRFHHFDDGNCSCSEFW >Sspon.08G0022430-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:51622267:51622734:1 gene:Sspon.08G0022430-2D transcript:Sspon.08G0022430-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLARPAQTRPAPERQPCARHRTAPDRERFQRFSPPGEGMCGAGAPVPDPDPEAARHPPRRALPLHATAPCPTLAARAPPPPRRVKLHHPLPSPRLSSRPHPSTRLAFASIHSDHAPSSLSPLTSTSPPPSPSLTRAHRAPVRL >Sspon.03G0018680-3C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3C:78093547:78096051:-1 gene:Sspon.03G0018680-3C transcript:Sspon.03G0018680-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRYQYYLSILKKTLRCQNCLKPFIAHDLKDQAIPSGANQRSAGVWKNAGAPQNFTGPQSNVTDQKGWSATPGVHVNISSHQANVNTKRETDGNAGGLKNNMKNKMKSARATRNPSKASSTAGLKRGRRAVFESSESSISKTSSDSEEEVLKHGPSENSVGPAQQTRRSSRQKHEVRYNEDSDDDDVEDDDNTVEDDFVGSPALKRFRRSGLFHGDHSTKTAKLNEDTAGHNGLSNCSNIKDTKNSGTACEEKTSNRVEQMKRETMHARENSHGKEELYHSVTNNGLGLNDDDASDDNKFTFPDPEFFDFDKLRDASQFRDNQVWAVYDDQGCMPRFYARITRVKKIPKFMLHFVWLEFNPANKVEEAWSYRGLPVACGHFKHGQSETTEETLMFSRTISFGRSKTNKFYEIYPRKGEVWALFKGWDIGWSSDSGNHKKLNHQYEVVQVLSDLTTSTSIIVMPLVKIKGYVSLFMQSGEAAPYVIPQGDTLRFSHCVPHHLMSGTEKQGIPEGSLELDPAALPLNLEEAFPSANPECSSVRSQDLDSNHAGLSSGDRKGSMNVGQGQRTKSVNAGIPTKTPEEEKIKHSTHLPEVTDVDDDDDDDNICQTEYVCAEPEFYDFSEIRLLQRFSPGQIWALYSDIDKFPNYYAFIQKVDLKNDKVQIRWLDVSPQGEVEKRLSQERTISIGTFRLCSIHEMVTYTGTDAFSHPAEARSNGRKGEYEIFPRLGEIWAVYKNWRAGWTAQDFEKCEYELVEIFGYTDSSIQVRLVRKVDGYKMVFMSYRAAGSVKTIRKDEYPKFSHQIPCFHLTHEKGGQLRGYFELDPLSLPEGFLY >Sspon.01G0016230-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:51130579:51130788:-1 gene:Sspon.01G0016230-1T transcript:Sspon.01G0016230-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWITEPKRRKVQLKASPALRQWRPSSPSSTSNHWITWFRKSTLSRSRRVRYSRYRMLIRYAVQLPSRP >Sspon.03G0010830-3D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3D:34299262:34300454:-1 gene:Sspon.03G0010830-3D transcript:Sspon.03G0010830-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RMLPAFSTCCTELIDRWENKPAGSDGSYELDIWPEFQNLTGDVISRTAFGSSFMEGRRIFQLQGEQAERLIKAFQYMYIPGFMFFPTQNNRRMNEINREIEGTLRGMIEKRERAIENGEASGNDLLGLLLQSNMESGKGSLRMSTEDVIEECKLFYFAGMETTSVLLTWTLVILGMHPEWQDRAREEVLSVFGKDKQPNFDGLGRLKTASVSLQYHVTMILYEVLRLYPPAVTLNRRTFKDMEIGGITYPAGVILELPIIVVHHNPDVWGKDAYEFKPERFAEGISKATKDQPAFFPFGWGPRICIGQNFALLEAKMALSMILQRFEFQLSPSYTHA >Sspon.07G0000920-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:10985601:10989856:-1 gene:Sspon.07G0000920-2B transcript:Sspon.07G0000920-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIKSINGKSPIVVGPWGGTGGYPWDDGVYSTIRQIVIGHGAAIDSIRIEYDLKGRSVWSETHGGTDGGSETDKVKLDFPDEVLVSVSGHYGSVCGTPVIIRSLTFQSNSSTYGPFGTEDGTPFSLPVSSGKIIGFHGRSGSYLNSIGFYLKQVHFPNPMNSPASPMSLPSSQSRNSYGFTGGDTGRDMVLAVRDRGDSYAVYASNQPKQQYTNPSPDYNDGALWNKMVSFPSYYGDTGAAAMSSPQTYGPWGGSGGTIFDDGVYTGVWQINLTRAVGISSIKVLYDRNGQAVWGNKHGFSGGVIPDKIIFDFPSEVLTHITGFYDSAIIMGPTVVRSLTFHTNKRTYGPYGDEYGTYFSTSFTNGRIVGFHGREGWYIDGIGVHVQEGKLASQRFVSRPTTATSPSVHYNMLAQAPSNTYTDNEVAYGMVKEPVPIGPGPWGGEGGRAWDDGVYTGVKQIYIMRGAFIGSIQIEYDRSGRSIWSSRHGNSGHITHRVKLDFPHEVLTCVYGYYNTNREDGPRVLRSLTFITNRGKYGPFGDEYGAYFSSATTEGKVVGFHGRSGQHLDAIGVHMQHWLGDRRPAPKYVLSKYLF >Sspon.04G0029800-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4C:77190447:77192002:1 gene:Sspon.04G0029800-2C transcript:Sspon.04G0029800-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVPKLLLLTLLFILSCYRSHIAHAAGDDGSYKVLSVGSQSLRTNKSVCSESKAVRSSSGAATVPLHHRHGPCSPLPTKKMPTLEERLHRDQLRAAYIQRKLSHSAKKGGRGGAGGDVQQSHAATVPTTLGTSLNTLQYLITVRLGSPGKSQTMFIDTGSDVSWVQCKPCWQCHSQVDPLFDPRSSSTYSPFSCSSAACAQLRQEGNGNGCSSSGQCQYIVRYGDGSVGTTGTYSSDTLALGSNTVVSKFRFGCSHVESGFRDDDQTDGLMGLGGGAQSLVSQTAGTFGGTAFSYCLPPTPSSSGFLTLGAAGTSSGFVKTPMLRSRQDPTFYGVRLEAIRAEMMKQGYPPAPPSGGFLDTCFDLSGQSSVRIPAVALVFSGGAVVNLDANGIMLQGSSSIVCLAFAANSDDSSPGIIGNVQQRTFEVLYDVGRGA >Sspon.01G0032180-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:105247867:105249920:1 gene:Sspon.01G0032180-2B transcript:Sspon.01G0032180-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ECIIRVWHHGPAVQACKCPICRRLINLLVPAALSEQEDDPQAHRILGEIQHYNCIFGGAPRSLTQRLQDLPFFIRRLFRELMDPQRTLPLVFRARMMMMVALSAIYVLSPVDILPESVLGLFGFVDDLLILLIVFLHLAAVYRSLLLYRHGGQ >Sspon.07G0002830-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:7046568:7059628:-1 gene:Sspon.07G0002830-1A transcript:Sspon.07G0002830-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding EKAIGRGILPLLQRATLVQTFGQKNHVCLKDGSITIPPYAPPQKMQTHLIPADTPRSIFVYFRGLFYDTGNDPEGGYYARGARASVWENFKNNPLFDISTDHPPTYYEDMQRSVFCLCPLGWAPWSPRLVEAVVFGCIPVIIADDIVLPFADAIPWEEIGVFVAEEDVPQLDSILTSIPTDVVLRKQRLLANPSMKQAMLFPQPAQPGDAFHQILNGLARKLPHGSNVFLKPGSAGDVLEDDPVGRLKEEKAIGRGILPLLQRATLVQTFGQKNHVCLKDGSITIPPYAPPQKMQTHLIPADTPRSIFVYFRGLFYDTGNDPEGGYYARGARASVWENFKNNPLFDISTDHPPTYYEDMQRSVFCLCPLGWAPWSPRLVEAVVFGCIPVIIADDIVLPFADAIPWEEIGVFVAEEDVPQLDSILTSIPTDVVLRKQRLLANPSMKQAMLFPQPAQPGDAFHQILNGLARKLPHGSNVFLKPGSAGDVLEDDPVGRLKVYVYELPPKYNKNILAKDSRCLSHMFATEIFMHRFLLTSAVRTLNPDEADWFYTPVYTTCDLTPWGHPLTTKSPRMMRSAIHYISKRWPYWNRTEGADHFFVTPHDFGACFYFQEETAIQRGVLPVLRRATLVQTFGQKHHVCLKEGSITIPPYAPPHKMRTHIVPPETPRSIFVYFRGLFYDTANDPEGGYYARGARASVWENFKNNALFDISTEHPPTYYEDMQRAIFCLCPLGWAPWSPRLVEAVVFGCIPVIIADDIVLPFADAIPWEEIGVFVAEDDILKLDTILTSIPMEEILRKQRLLANPSMKQAMLFPQPAEPRDAFHQVLNGLARKLPHGKDVFLKPGQKVLNWTEGTRDDLKPW >Sspon.06G0011470-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:62681899:62686420:1 gene:Sspon.06G0011470-1A transcript:Sspon.06G0011470-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:MDAR6 [Source:Projected from Arabidopsis thaliana (AT1G63940) UniProtKB/TrEMBL;Acc:A0A178WFH3] MASAAAQCFSYSSSPATWALRQRGGGAGAGVLRLSSRRTFSVSAAAVFDNQNREYVIVGGGNAAGYAARTFVEHGMADGRLCIVSKEPVPPYERPALTKGYLFPPDKKPARLPGFHTCVGSGGQRQTAEWYKENGIEVLYEDPVVAFDGKTQTLKTSSGKILKYGSLIISTGCEASRLPEKIGGKLPGVHYIRDVADADSLVSSLGSAKKVVVIGGGYIGMEVAAAACGWNLDTTIIFPEDHIMPRLFTPSLAKKYEELYQQNGVKFMKGALIDKLEAGSDGRVSSAVLKDGSVVEADTVIVGIGAKPVVSPFEAVGINPEVGGIEVDSLFRTSVPGIFAIGDVAAFPLKMYNRTARVEHVDHARKSAHHCVETLLTSQTKAYDYLPYFYSRVFEYEGSSRKVWWQFYGDNVGETVEVGNFDPKIATFWIDSDSRLKGVFLESGTSEEFSLLPQLAKSQPVVDKSKLEAATSVENALEIARSSLQSSASV >Sspon.01G0002500-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:6750624:6757687:1 gene:Sspon.01G0002500-2C transcript:Sspon.01G0002500-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:MRP5 [Source:Projected from Arabidopsis thaliana (AT1G04120) UniProtKB/TrEMBL;Acc:A0A178WGC2] MPPSFPSLPLPEAAAAAAHAALLALAALLLLLRATRALASRCASCLKAPRRRGGPAVVVGAGAGGALAATTAGAWHRAVLASCAYAMLAQVAVLSYEVAVAGSRVAAGALLLPAVQAVSWAALLALALQARAVGWATFPALVRVWWVVSFALCVVIAYDDSRRLIGDGARAVDYAHMVANFASMPALGFLCLVGVMGSTGLELEFMEDENGLHEPLLLGRQRREAEEELGCLRVTPYSDAGILSLATLSWLSPLLSVGAQRPLELADIPLLAHKDRAKSCYKVMSAHYERQRLEHPGREPSLTWAILKSFWREAAVNGTFAAVNTIVSYVGPYLISYFVDYLSGNIAFPHEGYILASIFFVAKLLETLTARQWYLGVDIMGIHVKSGLTAMVYRKGLRLSNASRQSHTSGEIVNYMAVDVQRVGDYAWYFHDIWMLPLQIILALAILYKNVGIAMVSTLVATALSIAASVPVAKLQEHYQDKLMASKDERMRKTSECLKNMRILKLQAWEDRYRLQLEEMRNVECRWLRWALYSQAAVTFVFWSSPIFVAVITFGTCILLGGQLTAGGVLSALATFRILQEPLRNFPDLISMMAQTRVSLDRLSHFLQQEELPDDATINVPQSSTDKAIDIKDGAFSWNPYSLTPTLSDIQLNVVRGMRVAVCGVIGSGKSSLLSSILGEIPKLCGHVRISGTAAYVPQTAWIQSGNIEENILFGSPMDRQRYKRVIAACCLKKDLELLQYGDQTIIGDRGINLSGGQKQRVQLARALYQDADIYLLDDPFSAVDAHTGSELFKEYILSALATKTVIYVTHQVEFLPAADLILVLKDGHITQAGKYDDLLQAGTDFNALVSAHKEAIETMDIFEDSDSDTRKKKKEERKKKRTVQEEERERGRVSSKVYLSYMGEAYKGTLIPLIILAQTMFQVLQIASNWWMAWANPQTEGDAPKTDSVVLLVVYMSLAFGSSLFVFVRSLLVATFGLAAAQKLFIKMLRCVFRAPMSFFDTTPSDQSVVDLDIAFRLGGFASTTIQLLGIVAVMSKVTWQVNAVITRHYILTYILGVSFELLSFFDTTPSGRILNRVSVDQSVVDLDIAFRLGGFASTTIQLLGIVAVMSKVTWQVLILIVPMAIACMWMQRYYIASSRELTRILSVQKSPVIHLFSESIAGAATIRGFGQEKRFMKRNLYLLDCFARPLFSSLAAIEWLCLRMELLSTFVFAFCMAILVSFPPGTIEPSMAGLAVTYGLNLNARMSRWILSFCKLENRIISVERIYQYCKLPSEAPLIIENCRPPSSWPHNGSIELIDLKVRYKDDLPLVLHGVSCMFPGGKKIGIVGRTGSGKSTLIQALFRLIEPTGGKIIIDNIDISAIGLHDLRSRLSIIPQDPTLFEGTIRMNLDPLEERADQEIWEALEKCQLGEVIRSKEEKLDSPVLENGDNWSVGQRQLIALGRALLKQAKILVLDEATASVDTATDNLIQKIIRSEFKDCTVCTIAHRIPTVIDSDLVLVLSDGKIAEFDTPQRLLEDKSSMFMQLVSEYSTRSSCI >Sspon.01G0049350-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:112468630:112471818:-1 gene:Sspon.01G0049350-1B transcript:Sspon.01G0049350-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQAELAAWLESRDAHWCSQYPAAPAPDEDSWRRRTRDDDDGQEQQKRHHELGVVSATSSATYWPEPCHVTGPGAADTSNSSASGGGTGAYFDGSSGCCYYYDLAEPGVSLGINTLATLPCTPSIDLNLLGDGEERSACVDDPIPPDPSPQPKRKKHETKAASKTAQKCSQESSQQSRGSCSADESNCTDVNRRSGAHGGGGNAKARAAKGSATDPQSLYARRRRERINERLKILQKLVPNGTKVEGIEDASADGLRADNLLH >Sspon.01G0012260-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1A:33411956:33412465:-1 gene:Sspon.01G0012260-1A transcript:Sspon.01G0012260-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVVVLDGSTVRGFVADEPAFARSVDARFAALDANGDGVLSRAELRRALESFRLLDGAGFGSAEPAPLPADVAALYDAVFEQFDADGSGAVDRAEFRDEMRRIMLAVADGLGSQPIQVAVDDEGGSFLLEAAEHEAAEIAARVEADRSKTEAEAEAEAASAAAAAAGPK >Sspon.07G0018470-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:75046657:75049427:-1 gene:Sspon.07G0018470-3D transcript:Sspon.07G0018470-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKLVFVLPVVLLGLAFQAILRPPPPKLCGSPGGPPLTSPRIKLRDGRYLAYREDGVQKDKARYKIITVHAFDSTKDFPLPVSKELVEELGIYLLAYDRAGYGESDPNPKRHVKSEALDIEELADQLGLGQKFYVLGASMGGYSVWGCLHSICLVCRLAGAAMVVPIINYWWPSFPAELSRQAFKRLVVPEQRTLWIAHNIPSLLYMWMTQRWFPSSAAAMHHPEIFSKHDMEVLQKMMAMPKTIENKSRQQGIYESIHRDLLVAFGSWEFDPMNITNPFPQNEGSVHIWQGYEDRLVLVELQRYISKKLPWIKYHEIPEGGHMFMLVDGWTDRILKALLLGEEPLDRSTPETIRPLSSSEMSDKEVRGDLADHADLSLVQGNVTELTEAATQGMRRTPVIAPSAQKAEKEKSGSTSSGSGGGGCQWTRAVARHAQHTERSLVRSSTRGRRPTTSPRMSAHNRSSGSRPALGLGGIPSNALSAPKPPTAV >Sspon.04G0006590-2T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:18199546:18199966:1 gene:Sspon.04G0006590-2T transcript:Sspon.04G0006590-2T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATMPAVVVLHVLLGAAAAACAGAAAVDAAAAGSNKVPAIYVFGDSTADVGNNNYLPGSDVPRANFPHNGVDFPTSRPTGRFSNGYNGVDFLGVAHSFCLLHA >Sspon.03G0021670-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:52316786:52320573:1 gene:Sspon.03G0021670-4D transcript:Sspon.03G0021670-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAVGGGGRRRRAWRWAMRAVASAVVWTAVVQVASIAGLFRPRVVADCGGGGGGKGGGAAAAGLAALAGEDNAAARLSPPALVPKRIYNSNGYLLVTCNGGLNQMRAGICDMVTIARHLNLTLVVPELDKRSFWADPSDFGDIFNVDHFINSLRDELMIVKELPLKLQLRTKKRLYSMPPVSWSNETYYLKRILPLARKHKVIYFEKSDARLANNGLPIQLQMLRCRVNFEALRFTPQIEALGRKLISTLQRSGQFVVLHLRYEMDMLSFSGCTHGCSSKETEELTRMSEEASGTLPLTPEEITLVLKALGFTKDTLIYIASGEIYGGEKRLAVLKDAYPKLVRKEKILSPDELRPFQNHSTQMAALDYMVSLESDIFIPSYDGNMARVVEGHRRYMGFRKTIVLDRKKLVELLDLFQGDALSWDKFSDAVKEAHKSRMGQPTERKVIPGQPKEEDYFYANPQECLGSNGGLRDIS >Sspon.05G0021410-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:89994800:89996716:1 gene:Sspon.05G0021410-1A transcript:Sspon.05G0021410-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRLDIAKPLGSKYLANELLRRKKNLENHSSSRNNNKEVNEEEEMELVDRYVTSIAETCIQKGVSGTINTTLVSFAAELLLQSQHPDDHISAVSVLHSLVIKNKERSDAAIDQICASEKLVCRLVRMLRSSENLVSIPQPQRIETIVKQTKNDLDADIKAHIAQIVAKLACKLRLADIPGTANCISSLLDYSHSISMKVRNKAGRSDDDRGGVNYQLRTTDDIESEMSRDRDQEEETSKPLFLHGLVILRELAADPENCTEIHNTMDLVPKIVAPIRSGLLMAIMNYETTVEVVRESLRVLAKLTSGTAGESGRKLCHELVTTATKYGRTAENILWILTNRSDQEMGERATEILSRLTLSKRTMRDFVVVLQRRLLVDPDQDSPLRTEAGKALSALVRSRGDEFRDKFPDIQQLLTIMGAADDAYCKEYRVVTAEMLAKICAKSSTAEMQSNALSTVLKAIVTETTDITELDKMNRKFLASFLGLAVQIREKLATAEAFENAVIGLAMGDDIFAETLKRIIEMTTNDSEISEDDEICLAIIKSNTKLVTWMMMDMDTTSSGLLLLLLEEIVEKLEAAVKAMIHLERYVVMMTTGGAYDDKE >Sspon.07G0028050-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:64012755:64019693:-1 gene:Sspon.07G0028050-1B transcript:Sspon.07G0028050-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSALDEPTKLYISNLDYGVSNDDIKELFSDVGDIKRSSINYDKSGRSKGSAEVVFSRRSDALAAVKRYNNVQLDGKPMKIEIIGTNIEAPPPPTAIFSFNPPAGNFNLPFKSGPGRGGAGGWPQSRGGFGGRGHGGHGRGRGRGRGRGRGEGRGEKVSAEDLDADLDKPSSKEFSSHFQRRDAAASTAKFGIGSFFGGSLVIVVWMEKEGEAPPGRSSTLPPFSLGSLHGVD >Sspon.01G0035360-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:9765062:9769178:1 gene:Sspon.01G0035360-1B transcript:Sspon.01G0035360-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alanine--glyoxylate aminotransferase 2 homolog 1, mitochondrial [Source:Projected from Arabidopsis thaliana (AT4G39660) UniProtKB/Swiss-Prot;Acc:Q940M2] MAASLLRRGAVASRRRCPVEMLRRLVSSEAAPERAPSRPPPEMPPFDHRPRPYAGMGGAEIFEKRKAVLGPSLFHYYQKPLNIVEGKMQYLYDENGKRYLDCFGGIVTVSCGHCHPDIVNAVVEQTKLLQHTTTIYLHHAIVEFAEALTSKMPGNLKVAYFVNSGTEANELAMLMARLYSGNLSMGEIHHVMNPDPYRGTFGSDAAAYAKEVEEHITYGSSGRVAGFIAETFQGVGGAVELAPGYLKLAYDIVRKAGGVCIADEVQSGFGRTGSHYWGFQTQGVIPDIVTMAKGIGNGLPLGAVVTTPEIASVLTQKIQFNTFGGNPVCSAGGLAVLKVLDKEKRQAHCADVGAHLVERLKSLQEKHKSDVRGRGLMLGVELVTDRKEKTPAKAETTELFEKLKDLGVLVGKGGLHGNVFRIKPPMCFSKDDADFLVDCMDYAMSGL >Sspon.03G0030510-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:21275547:21288834:1 gene:Sspon.03G0030510-1B transcript:Sspon.03G0030510-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPLLCVLLYLLRHLADCNAATARDTITPGSPLAANETLVSGGEGNFALGFFTPPGANSTYLGVWYNKVSLRTVVWVANREAPIAGAVGDNPGATLSVSAGGTLAIAAGNKTVVWSVQPASRLASPTAQILDNGNLVLADGVGGAVAWEGFDYPTDTMLPEMKVGIDYVKKKNRTLTSWKSPSDPSPGPVSMVMDTNGDPQVFIWNGGEKVWRSGPWDGVQFTGVPDTATYSGFTFSFINNAQEVTYSFQVHKASIISHLGVVSTGNYGLLQRSTWVEAAKAWNLYWYAPKDQCDAVSPCGPNGVCDTNNMPVCSCLHGFTPKTPAAWALRDGRDGCVRTTPLDCRNGTDGFITVRHAKVPDTERSAVDGSLTLEQCRQACLRNCSCTAYASANVSVGAGGGRGNGAGAGCVMWTTGLTDLRVYPDFGQDLFVRLAAADLGSSKWSGSRSTGRRYEGSSHDDDLELPMFDLGTIAAATDGFSINNKLGEGGFGPGKLEDGIEIAVKTLSKTSAQGVDEFKNEVLLIAKLQHRNLVRLLGCSISGQERMLVYEYMANKSLDYFLFAKKLTSPCTSTEKDNVVLDWQVRYRIIEGITRGLLYLHQDSRYRIIHRDLKAANVLLDTEMTPKISDFGMARIFGNEETEINTRKVVGTYGYMSPEYAMDGIFSVKSDVFSYGVLLLEIVSGRRNRGVYSYSNNQSLLGHAWSLWNEEKSIELADERMNGSFNSDEVQKCIRVGLLCVQENPDDRPLMSQVLLMLASPDATSLPTPKQPGFAARRVLMETDTSSTKPDCSIFDSATITMLEAY >Sspon.03G0008360-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:27790129:27795300:1 gene:Sspon.03G0008360-2D transcript:Sspon.03G0008360-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding IPDDWLKHLPAPEVSCWTVAGERQSTRLRSLYLEAVLRQDIAFFDVEMTTAEATSRMSTDTVLIQDALGEKNTKELTSHVKVGKYIQLLTTFIGGFIIGFIRGWMLALVVLACIPPSILSFATVSRLRAQISRKRQESYDDAGNIVEQTIGAIRTVGVCNYSISITSQKQVVSFNGEKKAIAMYNNHIKKAYKATLMEGIVTGLGVGCVFFVVFCNYSLAFWYGAKLIIGKGYTGGQVLNIVFAILTGSVAIGNASPSISAIAEGQSAAQRLFEIINRKPNIDITDTSGIVLEDIEGDVELKDVFFRYPARPEQLILDGLCLQVPNGTTMAIVGESGSGKSTVISLVERFYDPQAGEVLVDGVNIKSLQLQWLRGKISLVSQEPLLFMTSIKDNITYGKADATIEEIKRAAELANAATFIEKLPDAYETMVGQRGSQLSGGQKQRIAIARAILKNPKILLLDEATSALDVESERVVQEALNRIMVGRTTLIVAHRLSTIRSADCIAVVHQGKVVERGVHDKLIKDPDGAYSQLIRLQQAHAKERHEVPNTDVSGSIYKSRSLSLEQSIDRDSPRNKGHHSSTKSTGLSEELNKQVSIDRQEHQESSDSKAPKKAPIGRLFKLNKPEAPVLLLAAIAAFVHGLMFPSFSVMMSGGIRSFYYPPHQLRKDSRFWALMCLLFAVIALISIQLEYFLFGVAGGKLIQRVRSLSFQSIVHQEVAWFDDPSNSSGALGARLYIDALNIRRLVGDNLAILVQCIVTLVAGFSIAFASDWKLTLIVICVLPVMGSQNYIQVKFLKGFSEDAKVMYEDASQVVTEAISSIRTVASFCAEKRVITSYIQKCQASMKQGIRSGMVGGLGFSFSNLMMYLTYALCFYVGALLVHEGKSTFKDVFRVYFALIFTAFGISQTSAMATDSTKAQESTTSILAIIDRRSKINSTSDEGVILEKVDGNIDFSHVSFKYPSRPDVQVLSDFTLAIPARKTVALVGESGSGKSTIIALLERFYDPDSGTISLDGTELKKLKLSWLRDQMGLVSQEPVLFNDTIHANIAYGKQGEVREAEIVAAAKAANAHEFISSLPQGYSTIVGERGTQLSGGQKQRVAIARAILKDPRILLLDEATSALDAEAERIVQGALDQVMVSRTTIVVAHRLSTIKGADMIVVTKDGKVAEKGKHGYLVGKGGVYASLVELHSKSA >Sspon.03G0034850-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:77204649:77211074:-1 gene:Sspon.03G0034850-1B transcript:Sspon.03G0034850-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAAAALSPPRVAFDARTLFSPPRSLPVSPSSQLRLAARPSALAAAKPRFLSPHREPVVDGGRGARDVVAMVVPFLRGAAWEQPPPDLASFLYKNRIVYLGMCLVPSVTELMLAEFLYLQYDDAEKPIYLYINSTGTTKNGEKLGYETEALAVYDAMRYVKVPIFTLCVGNAWGEAALLLAAGAKGNRAALPSSTIMIKQPIGRFQGQATDVDIARKEIRNVKIEMVKLLARHIGKPIEEIARDIRRPKYFSPSEAVDYGIIDKVIYNEKIQEDGGVVSELKRSNLI >Sspon.03G0042670-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:37958170:37961419:1 gene:Sspon.03G0042670-2D transcript:Sspon.03G0042670-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTGGGSVRELTALLSALRRARLQHPAHAAQLHARLLVSAGARPHPHPVLLTQLVSLYAAAGRLADALRAFRAHLPSANLHTYAVLVSALARPRPDLAFSLFSSARRQLRPSPHVISAVLAACAGLHPLCGRQVHACAAKVVPPGDVFVYTGMVDAYAKGGDMESSRKVFDEMPSRGAASWNALLVGYARNKMCLEALSVFRELAAQGREVSLDQVSVSSVLSACTVAGALDFGRQVHACAAKVGLDLSAVCVSNALLDMYTSHSGLVEQGRKYFDSMTQVHKITPWNEHYACMVDMFGRAGLLTEAKRFIHQMRPKPDASVLGALLSACMNCRDLEMGKEVAKKLFVIEPDKAIKPIGVSAYSRFMSIPAVKSESNHCYMLIYTAEEIEQHNISCKNFHCGVILLYTSKEEPARTMDTDKIN >Sspon.02G0058300-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2D:72042201:72042933:1 gene:Sspon.02G0058300-1D transcript:Sspon.02G0058300-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYDNLKDLNKLQGKLQIRGLLNVGSKDEAIEASLADKEQLAELVLSWGYGISSCRDDGSCSPEVQAAVLEALCPSKYLEALRIRNYNGLTYPNWMVDEHKVGPKHLQKLELSSIKLGPPPELFEVFVHLRVLIFYYCDWEALPDNIERLTNLKIVWITGLKNIRALPTLPLSLEEFIVESCSKTFNRVNAKAAWTLVTKPKTEGGLGVLDLQTQNEALLLKNLHKFFNRCDIPWVQLIWEKYY >Sspon.05G0016760-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:69037120:69038241:1 gene:Sspon.05G0016760-2B transcript:Sspon.05G0016760-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMTDVAVTLKKIRNDYVKVAAAVDAAVARHSRLDVLLNSAGVVLPLSPGTSQIASLDFTQFDAVMSVNVRGTLAGIKHAARVKLATLPAGAAAGRSGILGGLRTYPYSLSKFAIAGIVKAATAELSRHDVRVNCISPYAVPEPPTRCRWRRGGHHQGARGAQGRHLRGGGH >Sspon.04G0006730-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:18589381:18590988:1 gene:Sspon.04G0006730-3C transcript:Sspon.04G0006730-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVGTWAVVVAAAAAYMAWFWRLSRGLSGPRVWPVLGSLPGLVQHAEDMHEWIVGNLRRTGGTYQTCIFAVPGVARRGGLVTVTCDPRNLEHVLKARFDNYPKGPFWHAVFRDLLGDGIFNSDGETWVAQRKTAALEFTTRTLRTAMSRWVSRSIHLRLLPILDEAASEGTHIDLQDLLLRLTFDNICGLAFGKDPETLAPGLPENAFATAFDRATEATLNRFIFPECLWRCKKWLGLGMETTLARSVAHVDQYLATVIKTRKLELAGNGKCDTTPAAHDDLLSRFMRKGSYSDESLQHVALNFILAGRDTSSVALSWFFWLVSTHPDVERKIVRELCAALAVSRGSHGPALWLASPFTFEELDRLVYLKAALSETLRLYPSVPEDSKHVVSDDYLPDGTFVPAGSSVTYSIYSAGRMKTVWGEDCLEFRPERWLSADGARFEPHDSYRFVAFNAGPRICLGKDLAYLQMKNIAGSVLLRHRLAVAQGHRVEQKMSLTLFMKHGLRMEVHPRDLAPVIDALRGAGAARPATAPCA >Sspon.01G0023720-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:91073960:91081834:-1 gene:Sspon.01G0023720-1P transcript:Sspon.01G0023720-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKDGGFAKVLLLHVAALSTAGAVAAAAALAWRRLRGGDRRKQLPQAPAMAEMPRLRVAESGRLEYLEKFSHYVARQLGFQDVNECPQLCKLANNYLNNSKTCMEDIYGFFANAKDAECLYALTADSGTKKKWRNMVLEATRKQRFERVTRELKVTRVLSTLVEEMKAIGIGTAASQCTDVMAPVAHSERSPVLLLMGGGMGAGKSTVLKEIKQEALWANAVVVEADAFKETDVIYRAISSMGHHNDMLQTAELVHQSSTDAASSLLVTALNEGRDVILDGTLSWEPYVEQTIAMARAVHRQRYRMGVGYKVADDGTITESYWEPDGTEQPPPADGASRRPYRIEVVGVVCDAYLAVARGIRRAIITRRAVRVRSQLQSHKRFAAAFQRYSRLVDGARLYSTNSMGSARLIAWKDGVGSSLLVEPREFDCLDKVSRLNENATSVHDLYPDGTTTCGERSIWDDMIAAPARADTQRELKEAIRSVEAGGGEPDTPPTTPQRELLG >Sspon.07G0005460-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:13899180:13902340:1 gene:Sspon.07G0005460-1A transcript:Sspon.07G0005460-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABC1K3 [Source:Projected from Arabidopsis thaliana (AT1G79600) UniProtKB/TrEMBL;Acc:A0A178WNJ7] MAATTSTTAAVSFSLPSPPHRRAPSLLRAASTAAPPSRPLHPALAPRLPARVDQRRRHRAWTPPSFARDRAEDLQAEARAMARAAGATPRAPRRPLRLPPLQGTRPGLRPPPILDLIYLCSATWVAFRATEVLSKLASFGVKVLLDERKGESSSERRRARAVELRTILTRLGPTFVKIGQGLSTRPDLCPAEYLEELAELQDSLPTFPDEEAFACIERELGFTLDSIYSTISPSPIAAASLGQVYKARLKYSGKLVAVKVQRPGIEDAIGLDFYLLRGLGFLINKYVDIVTSDVVALMDEFARRVFQELNYVQEGQNARRFKKLYADKQDVLVPDIFWDYTSAKVLTMEWIEGVKLNQQAVIESQGLKVLDLVNIGIQCSLRQLLEYGYFHADPHPGNILATPEGKLAFLDFGMMSETPEDARVAIIGHVVHLVNRDYEAMARDYYALDFLEPDVDVSPIVPALKNFFDDALNATVSELNFKTIVDGLGAVLYQYPFNVPAYYALILRSLTVLEGLALYADPDFKVLAASYPYFAKRLLTDPNPYLRDALIELLFKDGRFRQIKALLRIALWNRLENLLVQGRQDREFAAKDALQPVLKLLLGPDGEELRVLVVKEAVRVTEAITIGTLIDSYNAAPAFLKPLISSGNMAGPFKFSEAEQEQMMELRDQVFRVWGLLRSSSNFDPSLLQPIVQVLQEPEARVLGSRVAGGVTQRLAARLLQQLLRTPPAPGSS >Sspon.05G0007040-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:23526187:23537027:-1 gene:Sspon.05G0007040-4D transcript:Sspon.05G0007040-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Inositol phosphorylceramide glucuronosyltransferase 1 [Source:Projected from Arabidopsis thaliana (AT5G18480) UniProtKB/Swiss-Prot;Acc:Q8GWB7] MRSPALLVAAALVAAVLLAAGVGVAAAVGTEEAYVTLLYGDEFVLGVRVLGKSLRDTGTRRDMVVLVSDGVSEYSRKLLQADGWIVNRITLLANPNQVRPKRFWGVYTKLKIFNMTSYKKDTIVVKSIEDLFKCGKFCGNLKHSERMNSGVMVVEPSETLFNDMMDKVGQLPSYTGGDQGFLNSYYSDFANSCVYEPNSPLTPEPETQRLSTLYNADVGLYMLANKTNKELISIRSLCAFARRARHKYKSEESLPSYSVVGSSSVFVIKWTLKLPSYFGAIAVLVCFMSAAFSLAFAFIIIPRQVMPWTGLVLMLEWTFVAFFLLFGSYLRFVYRWGSISANHVGFSNSDSSENHMGPGHQRNMSDCDMDATFYWIGMATIATITVLLPTILGITALFTKLGLMVAGGIVLASFMTYAAEHLAISAFNKGQKDRNASRTRSFFIKWTFEATFLFWGNRSASLLHVMPWIGLVLMLEWTFVAFFLLFGSYLRFVYRWGSISANHVGFSNSDSSENHMGPGHQRNMSDCDMDATFYWIGMATIATITVLLPTILGITALFTKLGLMVAGGIVLASFMTYAAEHLAISGFNKGQKDRNASRTRSFCF >Sspon.02G0013880-4D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2D:30288951:30294198:-1 gene:Sspon.02G0013880-4D transcript:Sspon.02G0013880-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHAPARARWTGVERWYRRFFSVHRRPSLPTTSCTMNPIPPPRPKHGTSHHRPTGADTARQDGNYGRGRVRARPWTAPLVKEDVSESWRVWRPTADMHDGRGPESSNGNDTVHTSGRGRTRRDANNARATRPVPYPFVDPVPTRPAPLARLLRSTPLCLLRAKPLALSVRTPPLCAGEAPPPCAGELPSRPSSLPLFLPLPAALPWWRILRSSGAGALLYPGASFSNSTTAWGPPPWRIHGAPSRPRWIHGGGADPVGGVVLSTLARLAHLDDGFGELPWWWIHAAALSLVVDPWGRCRSGGKGGQNTRYSIPEPELPEPRPKTSVLGVDEVGGGADPLRGVVEMASFLRPCVVGMGEALQPLLLLLLFIGSAKYAVASGADAGAATYIVYLNPALKPSPYATHVHWHHAHLDSLSLDPARHLLYSYTTAAPSAFAARLLPSHVAALRSHPAVASVHEDVLLPLHTTRSPSFLHLPQYNAPEADGGGPDVIIGVLDTGVWPESPSFGDAGLGPVPARWRGSCETNATDFPSSMCNRKLIGARAFFRGYSSGAGGNGSRVSADLMSPRDHDGHGTHTASTAAGAVVANASLLGYASGTARGMAPGARVAAYKVCWRQGCFTSDILAGMEKAIDDGVDVLSLSLGGGAFPLSRDPIAVGALAATRRGIVVSCSAGNSGPSPSSLVNTAPWIITVGAGTLDRNFPAYVELGNDETHAGMSLYSGDGLGDDKIPLVYNKGIRAGSNSSKLCLEGTLDAAEVKGKVVLCDRGSNSRVEKGQIVKQAGGVGMVLANTAQNGEEVVADSHLLPAVAVGAKSGDAIRRYVESDANPDVALTFAGTALGVRPAPVVAAFSSRGPNRVVPQLLKPDVIGPGVNILAGWTASVGPTGLVADERRSEFNILSGTSMSCPHISGLAAFVKAAHPDWSPSAIKSALMTTAYTVDNTESPLLDAATNATATPWAFGAGHVDPVSALSPGLVYDASVDDYVAFLCTVGVAPRQIQAITAAGPNVTCTRMLSSPGDLNYPSFSVVFGQRSSRSTVKYRRELTNVGNAGDTYTVKVTGPSDISVSVKPARLQFRLAGDKLRYTVTFRSANARGRMDPAAFGWLTWSSDEHDVRSPISYTWGM >Sspon.07G0032900-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7C:56152068:56152810:-1 gene:Sspon.07G0032900-1C transcript:Sspon.07G0032900-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKRIREHPLPPLKIFRGPNILLPRKGDQKNEKGENTDKGKLAITVAGEQLSTNRGRGGGKESRTSSASGARAGKWIPTKTTRFHHPPRRGGKIQEPPGSRDCCPEATRSGGRGKQGERARERFRSPADSGGWGQAAPASSSGADRDEEWEGKRNEDPGN >Sspon.07G0013370-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:53710938:53714012:1 gene:Sspon.07G0013370-2B transcript:Sspon.07G0013370-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPSNNDQSYPGRRTLNRQRSPQGLHKKVISFLLKPRNWRAPADRTFFLDSYEVGELCYAAEQIFMQEPTVLQLKAPIKVFGDLHGQFGDLMRLFDEYGFPSTAGDITYIDYLFLGDYVDRGQHSLETITLLLALKIEYPENVHLIRGNHEAADINALFGFRLECVERMGESDGIWAWTRFNQLFNYLPLAAMIEKKIICMHGGIGRSINTVEQIEKLERPITMDVGSIILMDLLWSDPTENDSVEGLRPNARGPGLVTFGTFPAPGTANNAGAILVVGRGLVIVPKLIHPLPPPVNSPESSPERGDATWMQELNIQRPPTPTRGRPQAAGTYGIAKKNNQQDIMDTLEIISL >Sspon.05G0020550-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:84591738:84596134:-1 gene:Sspon.05G0020550-3C transcript:Sspon.05G0020550-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At4g14820 [Source:Projected from Arabidopsis thaliana (AT4G14820) UniProtKB/Swiss-Prot;Acc:O23337] MRGDSWAGSTINYQRFKFNQSQPSKGTIGRLNETLAPMNPHTHHHHLRQLQAVLLRRGHPIPTPPASLPDPDRAYLATIRAAASTPRLALAACACLRRAGLPPPGRRALPALLRSAARCKGAGAYVGGTHALALRVGSLDDGFVGTALVGAYAACRCVGDARKVFDGMAVRDVVSWGVMLDRYTRLSQHSSLQITHLLLLCILHSACYLAVVFFTTNWISIGSYCQTRNYKEALLLFAKMKNSGVLPDQLILATVLSACGHIRHLRTGRAIHSYMLVSDILISAHLSSALINLYASCANMEMAEKLYNGMPRKDLVSSTAMVFGYARNRKVEIARSIFDGMPEKDVVSWSAMISGYADSNQPNEALSLFNDMQGCVIRPDEVTMLSVPSIKPNGSMPTLRIMILHICNALIDMFAKCGGVNLAFNIFNEMPKKNVITWTSMITALAMHGNGKSALCLFEQMKNEGVEPNEVTFLNLLYACCHAGLVHEGRSLFSSMVQQYGIEPKHEHYGCMVDLLGRAKLMQEAVNLIESMHLEPNVPIWGSLLAACWMHGDLKLGTFAAKKILQLDPNHDGASVLLSKIYMKSDNLNDAQEVREVMKLHRVSKETGLSWMELNEPFHEFAAGGEKYSESDKIFLQKTQINGRGESGMTIQDRLWKSLAHTAKLETEHERMPAWAAVR >Sspon.01G0020770-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:74378250:74383834:1 gene:Sspon.01G0020770-4D transcript:Sspon.01G0020770-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGGAVREVRSPAELEAAVAGARAAAVHFWASWCEASKQMDEVFAHLAVDFPHAVFLRVEAEEQPEISEAHGVSAVPYFVFYKEGKTVDTLEGANPASLANKVAKVAGPASVAESAVPASLGVAAGPAVLENIQKMAQQNGSSAAESTNSGSMEDALNKRLEQLVNSHPVFLFMKGTPEQPRCGFSRKVVDILKQEGVKFGSFDILTDNDVREGMKKFSNWPTFPQLYCKGELLGGCDIVIAMHDSGELKDVFEEHNIPLKPQGSKNEEAGEPGSATEKGGAVAEPIGLTDAQKARLESLINSSPVMVFIKGTPEEPKCGFSGKLLHILKQENIPFSSFDILSDDEVRQGLKVLSNWPSYPQLYIKGELVGGSDIVMEMHKSGELKKVLSEKGVIPKESLEDRLKSLISSAPVMLFMKGTPDAPRCGFSSKVVNALKKEGVSFGSFDILSDEEVRQGLKTYSNWPTFPQLYYKSELIGGCDIILEMEKSGELKSTLSE >Sspon.03G0030920-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:23509206:23509874:1 gene:Sspon.03G0030920-1B transcript:Sspon.03G0030920-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding FRNGEHRGVQAVEAPVPEAQRQQQQQQLQAEPARSVLRPVQGHRGLVAAGLPNGRHRRAVAVVVLSVRVGLVVLCWHVPRRARPHGGAPRDLPPLRPRHGRPHLRCGAARVLRVHGRRRRQPGAGAQGGRRRGPPDDAGLRRLRAHRGEQGRGGGGARGPAPRLRGVRGRQGVRPHHAARPAARAQPARRRAVRCRVRGHDPGLRRRRRRRARLPRLPPHDEP >Sspon.04G0018770-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4A:67295201:67296217:1 gene:Sspon.04G0018770-1A transcript:Sspon.04G0018770-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSAAPPASPSSRSHGNAAGAGSSATAMMLPGPPGRGNGGCIDLSPTGLLAHGAGSSVVVSDPRSMQLLCVLPMPSSSLASFVTAVRWAPPATPSLDDDDDDRRPLRLAAGDRHGRIAVWDARARAVLHWLNLDEARSVAPGSGGGVQDLCWVHHGSGWLLASIHGPSLLCIWETSNTPRVLWMFDAAPEYLSCLRRDPFDARHLCAIGLRGFLLSAFPRQDSDISLQEHRVNCGAGDVADLQRLEKEISAPAPAPALAAFPLFASRMCFSPLWRHILFVTFPRELIVFDLSYSTALSVTPLPRGFGKFSDVMADPDLDMLYCTHIDGKLSIWRRKE >Sspon.07G0018490-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:67019824:67022430:1 gene:Sspon.07G0018490-1A transcript:Sspon.07G0018490-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase-like protein ACR4 [Source:Projected from Arabidopsis thaliana (AT3G59420) UniProtKB/Swiss-Prot;Acc:Q9LX29] MDHVPARVLAVCFLALLPGWASGLGSMSSIAVSYGEDGPVFCGLNSDGSHLVACFGADASVLYGAPPNIPFLGLTAGDGFVCGLLLDTRQPYCWGSNSYVKSGVPQPMVEGAKYSELSAGDNHLCALRAAAEDGGRGSSAASATSLIDCWGYNMTATHVVDEAVSTVSAGSVFNCGLFARNRTVFCWGDETVSGVVGLAPRDVRFQSIGAGGYHVCGVLENAQVFCWGRSLEMQQVAPSSAIGDGDVNIVPMDAMVAVVGGRFHACGIRSLDHQVACWGFTLHNSTSPPKGLKMYALVAGDYFTCGVPAETSLMPRCWGNSGPLALPMAVPPGICVPTACSHGYYEYVNHGEVGSIKICKPANSRLCLPCSTGCPEDSYESSPCNATADRVCQFDCSRCATDECLSFCLSQKQTKSRKLMAFQMRIFVAEIVFAVILVLSVSVISCLYVRHKLRHCQCSNRELRLAKSTAYSFRKDNMRIQPDVEDLKIMRAQEFSYEELEQATGGFSEDSQVGKGSFSWDIFAILDPALSPPSDLEALKKIASVACKCVRMRGKDRPSMDKVTTALEHALALLMGSPCIEQPILPTEVVLGSSRMHKVSQMSSNHSCSENELADGEDQRIEYRAPSWITFPSVTSSQRRKSSASEADIVGRRTTD >Sspon.02G0021820-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:72967638:72973371:1 gene:Sspon.02G0021820-1A transcript:Sspon.02G0021820-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRQRRRDRENGDCPLGRQRMGNISSVPEYVTPRLALSHPLFATTHRPPPLPPPFSGLRRTPSSSSLPRAPSCSLTLALALSPTFLTLARGGASAMADAVAAPVDPPDPAMAGVDLADPAVAAVDPAGSGPVVFLGCSNRLPVDVAVGRFEGSKPAVGGGAGSGEAGRIWTRGGLGVVASVVGVASIFPCCILGAEYGGELVAGGADVLRAVADPFTRRGGTRFSVRGRGRGSRGIVLSLSAGRGGRTEHGGKWAATTQPRKTPRKLSMASIGGSTATGDRRRRVLFFPLPYQGHINPMFQLAGLLHSRGFSVTVFHTHFNAPDKSRHPAYDFVPVPAPDCLPEGTSALATVEHFLALNRACEAPFRELLAALLTAREDVACLVADAHLLTLMNVARGLGVPTLVLRTSSAAYLRMFAALPRLRDKGYQTAQGQESQLEAPVKELPPYRVRDLPSTTIAYHGVISEVISSIMTAVTTSSGLILNTMDALESGELASLRRDLGVPVFDISPLHKLSAAASSSLLLQDRGCLEWLDAQAPASVLYVSFGSIAIMSAAELVETAWGLANSGHPFLWVLRPGLVRGTPPSEAPPLPDGFDAATRGRGVVVSWAPQEEVLAHPTVAAFWTHCGWNSTLESVCAGVPIMARPCFGDQMGNARYVEHVWRTGLTLDGELERGKVEAAVAALMGPGEPGAGLRRRARELESSVAECMAKDGSSWTS >Sspon.03G0032460-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:37753197:37758152:1 gene:Sspon.03G0032460-1B transcript:Sspon.03G0032460-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MACDGGMKGQEGPSAASAAPSPAAVARARARAARPPRPRSRKGLGVRQPLKPYRILAALQRANARVREAGEATLVAALAAAQKESERENEVVPDVCGGWKSEDGSLNCGYSSIRGRRATMEDFYDIKSSRIDDKQINFFGVFDGHGGTCAAGYLKQHLFENLLKHPAFVGDTKSAMSESYKKTDVDFMDAEGNIQVGSTASTAVLIGNHLYVANVGDSRAVMSKAGKAIALSDDHKPNRSDEQKRIEDAGGVVVWSGTWRVGGILAMSRAFGNRLLKQFVVADPEIQDLEIDGDVEFLILASDGLWDVVPNEHAVAFVKDEDSPEAAARKLTEIAFRRGSTDNITCIVVEFCHDKMVDGSLPSTNQS >Sspon.04G0002510-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:8788468:8793895:-1 gene:Sspon.04G0002510-3C transcript:Sspon.04G0002510-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MERTGEKRHFFTLTSLQIGDLQSYLAELTIFLCPHTKKFLILLDNRPWLLDQDTKPAHLWQLMVTKSRFSPFANSRTRRKRDETDGKLVFSTSSVSAPHLQNKSSRWYSLIDEAMREKKLQVNKLKDARILNRELHQTLYGFIIFEVDWTDVRGINYLNELQTDTSMVVESKIMKRWEFDSVNQASTLITSWFSGNPSECQLLQDYLNSISSNGDIFYDAQNGFLTPEWDSENLPSDSDDSSHVQIIRESSGFTDSSYTGPYKRRKITKSDDGSSSTEESCTEIGASPTHSSSSCSSCDSDNEKAKPLLEPSTYKDVLICFRFDDHDLPFRLKEVILSDVRLLTLLEYGLPSWVIFLQSYPVFCKIYRPWMCPLARALYVLMSLITVLIGFYDLYKNVPMLKATASRLFGPFFDWIETWEMISRLKYLGTMLFLHNFQQAFTWSLKIVGAAKSVLSVLTKPIAGPILEVFELTMPMWNLCAETVGYLSSVVMVSLETSWSVVIGTVEMIIWPFWFVFSAMISIVNSILYPIIWLLGEILATPFRLVIGLSSFVVELFVDIVSVLRESWSTLSALYQVGSVPRSPVLTSDNSIWGSLWKDLLYQIFRALRSILYGFVAFFSTCNRHRLSIYNHIQVFLRRISHVSPGARYTAYRDGTRKYSSQNHHRRKAKTR >Sspon.03G0003330-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:8333664:8338783:-1 gene:Sspon.03G0003330-1A transcript:Sspon.03G0003330-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKGKWFSAVKKVFSSSDPDGKAAKAEKADKSKCKRRWPFGKSKKHSEPSISTVPGTAPVAPLPSPPPTQPHSLEIKNVNPVETDSEQNKHAYSVALASAVAAEAAAVAAQAAAEVVRLTAVTTPAPKMPVSSREELAATKIQTAFRGYLARRALRALRGLVRLKSLVDGNAVKRQTAHTLQCTQTMTRVQTQIYSRRVKLEEEKQTLQRQLQLKHQRELEKMKWRNSGRTITPTFTEPGNPNWGWSWMERWMTARPWESRSADKDPKERALTKNPSIGTVRASVSRAISIQRPATPNKSSRPPSRQSPSTPPSKAPSTSGKTRPASPRGNWLYKEDDLRSITSIRSERPRRQSTGGGGSVRDDASLTSTPPLPSYMQSTESARAKSRYRSLLTEKLEVPERAPLVHSVVKKRLSFPVVDKPSVVPTDRPKERVRRHSDPPKVDPATLKDMQMAGKGKGKATEEPR >Sspon.01G0016310-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:49996248:49999670:1 gene:Sspon.01G0016310-3C transcript:Sspon.01G0016310-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHLPPMSCAAALLLLVTLAFSVTPAPSQGAGEAAVLRAFIASLPPASRRVLRPSWRATNATASGGRPSHCAFLGVQCTTTGAVAAVNLSGVGLSGALAASAPRLCALPALAALDLSRNRFTGAVPAALTACSVVATLLLGENLLTGAVSPELLSSRHLQKVDLSYNTLAGEISGSGSPFLEYLDLSVNMLSGTIPPELAALPSLSYLDLSGNNLSGPVPEFPARCRLVYLSLYTNQLSGAIPRSLANCGNLTTLYLSYNGIGGKVPDFFASIPNLQVLYLDHNKFVGELPQSIGRLMSLEQLVVSNNGFTGTVPDAIGKCQSLTMLYLDRNNFTGSIPVFVSNFSKLQKFSMAHNSISGRIPPEIGKCQELVELQLQNNSLSGMIPPEICKLSQLQNFYLHSNSLIGELPAEITQMRKLREISLFGNNFTGVLPQALGLNTTPGLVQVDLTGNHFHGEIPPGLCTGGQLSVLDLGYNQFNGSLPIGILRCESLQRLILNNNLITGNIPANLGTNIGLSYMDISGNLLHGVIPAVLGSWHNLTMLDISNNLFSGPIPRELGALTKLETLCMSSNRLTGPIPHELGNCTDLLRLDLGKNLLNGSIPAEITTLGSLQSLLLGENNLTGTIPDSFTAAQDLIELQLGDNCLEGAIPHSLGNLQYLSKALNISHNRLSGQIPSSLGNLQDLELLDLSMNSLSGPIPSQLSNMVSLLVVNISFNELSGQLPGSWAKLAAKSPDGFVGNPQLCVELACAHRSNNQPAGKVHYSKTRIIVALLVSTLAIIVAGLCAVYYIVKRSHHLSASHASVRSLDTTEELPEDLTYEDILRATDNWSEKYVIGRGRHGTVYRTECKLGKDWAVKTVDLSQCKFPIEMKILNTVRHRNIVRMDGYCIREHGYSTRLTEKSDVYSYGVVLLELLCRKTPVDSSFGDGIDIVTWMRTNLEHEDRCSIISLMDEEMTYWPEDEQEKALSLLDLAVSCTQVACQSRPSMREVVKMLLKIEK >Sspon.08G0007660-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:23224942:23225768:1 gene:Sspon.08G0007660-2B transcript:Sspon.08G0007660-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALYDACWFRRLVLLPSPTPALAAASAPRPALPSQQQAPPLEREVLDTHTPHAQQRPASSPTAGGGLRHRRTRSDEATAAAFQGLEPLRLPDSGHRARLETILSGKDGLVLEFEEVKGLQDLGFTFSDAEVDAELASIVPGLLRRKRSEEENSRATASAPAAATSSLARRAAEAVNDDDASAEGVAAVPRRPYLSEAWDDEEEAEVRRALRNWRIPPAGDGNQLKEHLRMWAHTVASAV >Sspon.06G0001470-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:4750729:4755430:-1 gene:Sspon.06G0001470-1A transcript:Sspon.06G0001470-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSRCHGGGRERGGGAGGVAPAAAFAAPLLGCVVMALVCGAAAQGSRLLSEYKTLSGAAPLVIAKGGFSGVFPDSCQDAYSFAKEASVPGTAMWCDVQLTKDGVGVCLRDINMNNCTTVSQAYPARKRTYVIDGVHKNGWFVSDFTIAELRSAVYLTQAIWSRTERFDDIYPIVSVTDLPYLVKSSPVWLNVQHPIFYKQHGLDMSRYILSIRKLVSMDYISSPELGFLKNISARVGRKTKLVYSFLDKNLLDHSINQTYGSLLTNLTFIKSIASGIMVPKDYIWPVTNDNYLQPSTSIVTDAHKAGLEIYASDFANDRIIPYNYSYDPLAEYLNFISDGGFSVDGVLSDYPLTASEAIGKPLVISHNGDSGDYPDCTDLAYHSAIDDGADVIDCPVQVTSDGVLMCMSSINLLDTTNVQRTPFATPPSLVPEIQSTPGIFTFNLTWANINSSALKPKISSPVSDYYLVRNPRYANQGKFLKLSDFLAIVMDSDLSGAMIIIENAAYIAKSLGIDIVDSVTTALSAAGFDNQTAKEVLIQSKDSAVLVKLKQQKTKYKPVYTLPSSIGDTSNSSLKDIKKFAHAVVVDRRSVFTLSYAFIIRQNRLVQDLQSAGLAVYAQVFRNEFVAHPLDFFGDATVEINYYVQSFNLSGIITDFPKTVRRYKKNTCTVLGKDMPDYMQRVEVGGLVQKLRSFQTQPPSVAPMPALNSSSVEEPPLPPAAPRNVPDVGPSGGATPTPGGTPPSDAHQTATASTGMLLVMVSAALLI >Sspon.01G0005210-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:12214259:12217817:1 gene:Sspon.01G0005210-3D transcript:Sspon.01G0005210-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:EDTS5 [Source:Projected from Arabidopsis thaliana (AT2G13810) UniProtKB/TrEMBL;Acc:A0A178VQ02] MPANMISKFLEKSVLPLDAAPIHVKTTGGGPRTSVLRNPNMEKLQKGYLFPEYVLALSTPEGYQGYGPEQGQMNLRKVIAEKVYPAMGIKESEVFISDGAQCDIARLQNLIFFLPALCKQTLFGPNVTIAVQDPTFPGYVDNGVIVGQTGSADEAGKYAGIAYMRCAPENHFFPDLSRVPRTDVIFFCSPNNPTGHAASAAQLRELVDFARRNGSIIVFDTAYAWYVSEGQGKPRSIYEVPGAREVAIEISSFSKFAGFTGVRLGWAVVPDELRVAQAGGLACLSTEEGRDAVRRVVSVYKDNARVLVDTFASLGKEVYGGTDSPYVWVRFPGRRSWDVFAEILEKTHVITVPGSGFGPGGEGFIRVSAFNSRDRVLEAAARLK >Sspon.06G0008890-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:38770555:38779739:1 gene:Sspon.06G0008890-1P transcript:Sspon.06G0008890-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPTGGDSDSAAARGSAGGGGTGAGRWAVGAVCGGLVYYHCAVRRASAVSLAADVLLVLLCSLSILGLLFRHLHISVPVDPLEWQISQEMANSIVASLANTIGAAESVLRVAATGHDKKLFFKVVFTLYFLAALGRVVSGAAVAYAALCIFCLYMFAQSTDLFDQLPSWVPVGRDSLGGSQDTT >Sspon.08G0025500-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8C:10221298:10223814:1 gene:Sspon.08G0025500-1C transcript:Sspon.08G0025500-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFDLLIGQPIDRLIQEGQTGNFNIHLGKNFKLPLSITHSLNTKTEPCLEHDPIEEVKVASLEHLIEHNLEDDVEFFIEEEDEPFVELEPLNEFIEPPKPPIELKPLSASLRYVFLNNDQESPVIISDKLTQEESLRLITVLEKHRSAFGYSLEDLKGISPALCTHRIPTILEITPFREPQRRLNNAMRGYIPPGADKRKLIYESRHYLWDDPHLFRVCSDGLLRRCVPTEEGIKIIERCHSSPYGGHYGAFCTHAKIWQSGFFWPTMYEDTKDFVWRCGACQRHGNINARDAMPLTTNLQIKLFDVWGIDYMGPFPKSKNCEHILVAVDYVSKWAKAMPCRSADAKSSKKMFHEIIFPRFDIPKMVISDGGSHFIDRSF >Sspon.03G0029880-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:16448546:16453334:-1 gene:Sspon.03G0029880-3D transcript:Sspon.03G0029880-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAALRSSAARRLLRLAPAASPALSFASRPTAGVAPLSRPIAALSGGNNPISWKLRRFFSSNEKHLPAISDPEIESAFKDLLAASWNELPDSLVAEAKKAVSKATDDKAGQEALKNVFRAAEACEEFSGTLVTLRMALDDLCGLTGENVGPLPGYIEDAVKAAYNRYMTYLESFGPKENYLRKKVETELGTKMIHLKMRCSGIGSEWGKISLIGTSGISVMYSVSTVQVYNIGQL >Sspon.06G0007500-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:4452415:4455813:1 gene:Sspon.06G0007500-2B transcript:Sspon.06G0007500-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLQPIPTATLAAQRSSGEALFPSRCRRRRRRRHVLLPIRADSTPISLSASAPSRPAKPAACTADELHYAPVDGAGWRLALWRYRPPRNAPVRNHPLMLLSGVGTNAVGFDLSPGASFARHMSSQGFDTWIVEVRGAGLSMRDYDDSAASGSVTFEDVSGGIQPLNKQSTFKATSLQSSGGYASDCDDLGIVALDEPPLLAELSNFFDRISKLTEEAVVNGNFHEITEKVSVLSEMVESSTIIGPVREESLRLLKNFQDQLDSWERFVATQMDLTSEYNWDFDHYLEEDIPAAVEYIRQHSKAKDGKLLAIGHSMGGILLYAMLSRSGFEGVSSNLAAIVTLASSVDYTTSNSSLKLLLPLAHPAQALNVPAVPLGMLLAAAYPWASGPPYLFSWLNPQISAQDMMHPELLSKLVSNNFCTVPAKVVLQLTTAFKEGGLCNRNGTFSYKDHLQECQTPVLALAGDKDLICPPEAVYETVKLIPKHKVNYRVFGKPQGPHYAHYDLVGGRLATDDVYPCIIEFLSRHDGC >Sspon.04G0029460-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:74684904:74685767:1 gene:Sspon.04G0029460-2C transcript:Sspon.04G0029460-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQLSCLSSLGFLDAGGVADCDGSCGLDGGGPCFLHSGLCCPADSLDDTVVIEFINNEELLEDLAIAALLPPGTELLLPTAAERGCRGDSSAGGAPRLLVRSPLSSSDNSSWTAAWHRTSSLAAEPPPPSPPAVSRLVVPKRKRDRSVMRGRRPWSLDMPNIPTPHAANPSSSGGSEVVRQQGLVPRPPTNRRRVQRACSHCDSTETPQWRTLCNACGLRYTLNKLLPDFQSDKHSNRHRKVVKLRERNAKDTVVSMPPAPPGNSGEFKDVL >Sspon.02G0013760-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:36567733:36569412:1 gene:Sspon.02G0013760-1A transcript:Sspon.02G0013760-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPVKWLMHWHPSPGATVNSQILSEACGCAESLGGSKDGRWKTSIFFYRAMTRDGAGGPAGQQHPDLPRELLGVALHERPGLYFSIVRAQRLVLQADAAFPQVMEKLQSYRIRVALNFEGFQYQLGDFCLRIGKCVPNNSETLRGIMMEVSFHFLLLNFSCTDVSHVTGSLPFLTNYQNTNQMI >Sspon.07G0001120-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:1847995:1851051:1 gene:Sspon.07G0001120-4D transcript:Sspon.07G0001120-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSESVQMAGMGDGASRARPLPEPLVDGGRASGSPVAAAAARTAESTETPYSVAFSVPASPSGLHLGACASVRSVAPPQPVHQNAEAAALPQLLNQARYHSQPALTIQTEEPPPPLQRVRTVSRSDSTRDRRFDHFKTFSGRLERQLSNLRGVAVDIEPAAADSNSNKMISEEETADTDDDGGGGGGKVPTADRYFAALEGPELETLRPTEVSALPEDETWPFLLRFPINAFGMCLGVSSQAMLWKTLQSEPSTAFLHVSPAVNHALWWISASLMTLVSFIYLLKVVFYFEAVRREFHHPIRVNFFFAPWIACLFLVKGLPRPVADVHHVVWYILMAPILLLDLKIYGEWMSGGDRRLSKVATPTNHLAVVGNFLPKELHPVFFLFIAAPSVASVGWARLCGDFNYAAKILYFTSLFLYMSLVVRINLFRGVRFSLAWWAYTFPMTSVAIATAVYSSAVTNVLTRALAVGLSGVASITVAGVLVTTVYRAFVRKDLFPNDVSIAVTQRPKAKFGKILAHIRASGDGVKDLVFAVSRHGGGGSSGSETNYSASESPSPMACGRLRAE >Sspon.06G0004710-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:13209382:13212711:1 gene:Sspon.06G0004710-3C transcript:Sspon.06G0004710-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARCRHHLLLLPLFLALAAAAPGKAQSHGEASAVFIDAASHRYLRDQQADGQDASMSLNEVSAAVSVLLGFAPSTSLPAISSSKLNKVLLPNPFDRPRAVFLVQIAGSDASAPSFVSEGSNIFKTRIEGADSTDTGLTDTDDLIVIHSDESLDLSRSDYLDNELSSLANWLEGSYQKSSGKLVIPLESGNSLTLLLDKEEDMEFVSSLASLLKTIERAIQVHEDFSGVALENEYGSTEIVKQATEIVQRAVTKSFQSLHEAYKGKIVGLVISTKEASSSLGSIIDAPSSLHISRRLEEASKTKEASVALVRKSLAWITGIILLVSTLIGVCLLMNMPLTRDTLLYSNVKID >Sspon.07G0005030-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7A:12761822:12762778:-1 gene:Sspon.07G0005030-1A transcript:Sspon.07G0005030-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding KHLKGKELKDAASWLSLAPPVSRDEANLKGSNITWMHSQITPSIPAHNAGLIFESSFRGMPVPRAPTPCLPLFPMASTRDKQQMLTAIVTSSDQGTSSNGIPARPTTLPFGWNSGGLSSHGIQRAPAPQPLTSAMASLAGDSRPIIPLVACTNDYGLNFKGSSTSIAPRAFRASAFTQPKRGGMGSNGIMRAPAPHLAACYMPATAGERHQSTLVTQDAMVNTIGGLAPSHSGPARAMVALHDLPIKMEPEDDNPLTYGNNAIPLAVGVIDTAAATSSATSIREPDVMGIGDCKASAFYPWCPPGFKPDDSSPSSSRQ >Sspon.05G0020350-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:86634477:86637845:-1 gene:Sspon.05G0020350-1A transcript:Sspon.05G0020350-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKPLLPIPAAAAARLPFRLLAPPAPPPRLLPFLPRPFLPQRRSLSASAVPAGRRTRPPAPVISEGRDDEDAAVGRPVCPGCGVFMQDEDPNLPGFFKNPSRGSQDETGGGGEVLLAADTDAFLEDEEEGVAAEDASDTELEGLDSDIDEFLKEIDDGDEEADGSPVKGATDMDAFASDWDSDWEEMEEDEDEKWRKELDGFTLPGVGYGNITEETIQRLKKEKLSKSERKRRAREAKKAEAEEDSALVCSRCHSLRNYGLVKNDKAENLIPDFDFDRFISSRVMKRSAGTPVIVMVVDCADFDGSFPKRAAKSLFEALEGRRNSKASEAPRLVLVGTKVDLLPWQQMGVRLDRWVRGRAKAFGAPKLDAVFLISVHRDLAVRNLISYIKELAGPRSNVWVIGAQNAGKSTLINAFAKKQGVKITRLTEAAVPGTTLGILRVTGVLPAKAKMYDTPGLLHPYIMAMRLNNEERKMVEIRKELRPRSFRVKEGQSVHIGGLTRLDVLKSSAQTIYITVWASSNVPLHLGKTENADELREKHFGIRLQPPIGPERVNELGHWTERHIEVSGASWDVNSMDIAVSGLGWYSLGLKGTATVSLWTFEGIGVTERDAMILHRAQFLERPGFWLPIAIANALGEETRKKNEKRKAEQRRREEEELLLEEMVFERLFFVDGMEDQDECINDKAGVL >Sspon.03G0036800-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:94127825:94138278:1 gene:Sspon.03G0036800-1B transcript:Sspon.03G0036800-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPQTIALAGLILLNFVLAVAALCLHVLGRRRPRQQVPPPPPPLEPAVAGEAEEEEGGGEERPQNQRRRRRARRKRQQQEQEEEGAAAAAAEGGGHVADGDRDAAAKAAGKAALLPRRPEFPLASVAGPLQRRINARYDDLARASEAQCLTIEQVNEFVNCLIDARNELLQRYENVQRSFKIKKAMLSNHRNYRSSYERLFEQVRRLETERDNLKKDAAIYNYIQERLQKSAAYKMIMELSAMEMEAQEISFEELLAKEKEDTAFWQRNGKLRSFSSK >Sspon.08G0005280-4D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8D:20095125:20101402:-1 gene:Sspon.08G0005280-4D transcript:Sspon.08G0005280-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ETFTKHFQKYGAITDSVIMKDKHTRMPRGFGFVTFSDPSVLDRVLEDDHVIDGRTVEVKRTVPKEEMSSKDGPKTKKIFVGGIPPSLTEDKLKEHFSSYGKVVEHQIMLDHSTGRSRGFGFVTFESEDAVERVMSEGRMHDLGGKQLLIRGLSDLAMDYTEEVRCSGSTMDAVQGAWCKEMQCSLSSCLSSLVTGNDDSASSNAKPAGNDSTSYTLLTASSAPEDIASTILIANLDNCELFDTRTLPGWLGTVHDALGLCSLSAPSQHVILANVDACTLLLQGMRTRWLTQMQSACSSSSEQITHHSGFTRSYLLTWQQGPQLIHQHHQLCSDPAAGIVLSCVETCSCSAYEVTLIEVMPLGSSAFSIDDMCYPNEEVLYWSKDLLPPMSLVFSNSRHSIFEDMEDDAEGTGQVEIKKAEPKKPGGGDSSSNGRHSRGGGHRDSYRGSGGGSGVSGNSSGGGYGYGGGYRSTAAAYYGSTAYGAYGRGYGYGGTAAGYGSGYGSAYGGSMYGGPYGAYGAYGGAYGGGAYGAPGGYGAGGYGSYGGAGGMGGGGSAGGRGSSRYHPYGK >Sspon.01G0012650-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:34189132:34192406:-1 gene:Sspon.01G0012650-1A transcript:Sspon.01G0012650-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLCCSGVLSGAGASRPAPSRTSRPGNTGAPSTGLLVAPPKRRRGGCRQSAPPAPPPRVHERRAAAGAESVVHMLRSAAGPAEALELFTAAARQPTAVHTTESCNYMLELMRAHGRVGDMAQVFDLMQKQIVKTNVGTFATIFSGVGVQGGLRSAPVALPVMREAGMSLNAYIGFDAEAMEVYKAMVEDGISPSVRTYSVLMVAFGKKRDVDTVLWLLAEMEARGVKPNVYSYTICIRVLGQAARFDEAYQILGKMEDSGCKPDVVTHTVVIQVLCDAGRLSDAKDVFWKMKASDQKPDRVTYITLLDKCGDSGDSQSVVEIWNAMVADGYNDNIVSYTAVVDALCQVGRLDEALAVFDEMKEKGISPEQYSYNSLISGFLKADMFDRALELFNHMNACGPSPNGYTHVLFINYYGKSGQSLKAIQRYEHMKSKGIVPDVAAANAVLSSLARSGRLGMAKRVFYELKAMGVSPDTITYTMMIKCCSKASKADEAMKFFSDMVETGCVPDVLALNSLIDTLYKGGKGNEAWQLFHQLKEMKIEPTNGTYNTLLSGLGREGKVKEVMHLLEEMTHSIHPPNLITYNTVLDCFSKNGEVNCAINMLYSMTEKGCTPDLSSYNTVMYGLIKEERFEEAFRMFCQMKKILAPDYATLCTILPSFVKNGLMKEALHTVKEYILKADCNTDKSSFRSLMEGILNKAGVEKSIEFAENIASRGILLNDFFLCPLIRHLCKHKKALEAHQLFNKFKGLGVSLKTGSYNSLIRGLVDENLIDIAEDLFTEMKRLGCGPDEFTYNLILDAMGKSMRIEEMLKVQAEMHRKGYESTYVTYNTIISGLVKSKRLEQAIDLYYNLMSEGFSPTPCTYGPLLDGLLKTGKMVDAENLFNEMLEYGCKPNCTIYNILLNGHRIAGNTENVCQIFEKMVEQGINPDIRSYTVLIDTLCTAGRLNDGLSYFRQLLELGLEPDLIIYNLLIDGLGKSERIDEAVCLFNEMKKKGIIPNLYTYNSLILHLGKAGKAAEAAQMYEELLIKGWKPNVFTYNALIRGYSVSGSTDNAYAAYGQMIVGGCQPNSSTYMQLPNQL >Sspon.01G0008290-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:23232164:23233279:-1 gene:Sspon.01G0008290-3C transcript:Sspon.01G0008290-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MERLVGQVDLLPPRRSTLHRAALSVATPPSAAVITAAQARDDVEAIGWAECPIGCVAAFAAPSADAPEPVERVPRPADFVLAGRRRGPSGRAALRMGQGTRRRARRATETGEGGRQGGGEGAGAGATASSATALDAVSDSASACFAASATGCGATAAAAASTVPASTYVGADPLASRLGHADGPAASFAAAVLGVASAHTANRSAVPCNATTGMERTYAAAVFPSTVLGRARNAAAIATACGLALAARHGAALGQHVVARAPAHAPAAITTSPAAATATTAFSGSAAACADSDTTTSPAAATATAAFVGAAAACAASHTTTSRAAALSTAALQQAASTSCSPSGTTTSHAAAAATVALTHLQGQ >Sspon.05G0016320-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:66138685:66145390:1 gene:Sspon.05G0016320-2B transcript:Sspon.05G0016320-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calmodulin-interacting protein 111 [Source:Projected from Arabidopsis thaliana (AT3G56690) UniProtKB/Swiss-Prot;Acc:Q9LET7] MPPSKGKKKNPSASPRPSPRTPPSRSSAADSRTGDGERSVDLPSIAAAAAAQFPALVPRGGDGCFAGTVAEVAPRDGSRGGRLGRLWLSEAAMVGAGMRPGCLVSVSLISSSSDQLDAFPLVNLFEECSRFFDLDLDNDLLYGEAGKNFVVATVLSSREVPKNGIKLSWDLACVLGQPLVGRSLFISPLCTLQAPKRSDDIHILRVMKCKNLYLSLVPPNVGSSNGIESESDYHHERSAMVMETPKRGIPSLCFQQWLFNVLGSNHCESALADEKVNELLHTSAVRWLNGRHLLKGNFVPLSICGKLSLFVVMGAEPDSSSQDVLCEKGNTLSNAEDSTKSGETPVFFLVDGTTKVHLSDSVCPKQLGSDKPGLPSELYEYDDKRNEDSNHAPTLGGLSKESATIKGIISFSLADQIGLPRYKGILLYGPPGTGKTSLASSCAYDAGVNLFTINGPEIISHYYGESEQSLYDVFSSAKQAAPAVIFIDELDAIAPSRKDGSEELSIRMVATLLKLMDEIGPSDRVLLIAATNRPDSIDPALRRPGRLDKEIEIGVPSPGQRMDILRRLLIGVHHSLSNEELGSVALVTHGFVGADLAALCNEAALSALRRYISLKENSTQQLGHPGCSFDNCSQDTEDPSSLSSSFSRLTMSSDDVACMKGGNIKGSESYDGTDEIPLLVTIKDFDKAKTKVRPSAMREVVLELPKVRWGDVGGQSSVKEQLIEAIQLPQKCPEAFERLGIRPPRGLLMIGPPGCSKTLMARAAASEAKLNFLAVKGPELFSKWVGDSEKAVRSLFAKARANAPAILFFDEIDGLAVTRGQENDGTSVADRVLSQLLVEMDGLDQRVGVTVIAATNRPDKIDPALLRPGRFDRVLDVQPPNEADRADIFRIHTCSMPCSADMNLNELARLTEGYTGADIKLICREAAVAALDESFDIQEVAMRHFKSAVGRTKPSDVKFFQELAKQFRRSLTSLRKQ >Sspon.01G0040280-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:35703825:35706883:1 gene:Sspon.01G0040280-2D transcript:Sspon.01G0040280-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGPVKAHYVYGPTTTPNLAHTLTRPFASVSTASATSLPLHHELRLYFPFLMSNSSASLFPMRRAPPSSLHGPPSSPSGERPPTEHDACSLSPMRASTLDLPDGRRLRETPPTSSIGPVLKDVATCPPRHRRVTPRLAARPWPSLPPCSLDANKRWPWMRQLTSVPSGRSFMGPVSQPNKPSGSIPSVDPAARPCSAARPTPPVPVPRTAPACCSCRCSCSTIQPAALVPVPRARPTAPDATLAPSPSLPDDADRHRQRKEKCQALVQKLSGITSCYGCGMPAAHEDGGGGRTGIRYMLQMYNSKCFRSLIGVASLPPIFPYGMPGYGTTLLSGTPLLPFQDMQPTVQPTLQQIEQAMDITTEPAGKMLTCKVSAAVRLQDVARGILARRRLQEMRQPMHEVTLATVDLSSAKRDLAPWDGHQQPRRPAAVFRREHGIFPARNDLQLCGNGGRGVAPLLVTGGDALPSATAFHRRPPRGHLRWSLSRLIPGGCTHAPLSFGGLHGIQVATHVQVHHAEGVRRIFRSQK >Sspon.08G0015220-3D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8D:58032615:58035281:-1 gene:Sspon.08G0015220-3D transcript:Sspon.08G0015220-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSSVVSAHTAALILVSLPPPPPCSNSRRQRKSSTSAASASSTNPTEAASPQEEERPKAAGSRGHRHDPDAHAHGRRHDRRHPGREHGREQRRERPHRGLDPGISSPANAPLELTEAEEEQQERSIRKQNEGHGARVAPGVVDGEPRVECQEAESQAG >Sspon.06G0022880-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:38102068:38105469:1 gene:Sspon.06G0022880-1B transcript:Sspon.06G0022880-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding INVNLGIFSRDACMLSAVSVITGGIVIIVVSVLIYKCCKLHMIRNERLGAGGFGVVYRGQIQLPSDGSSSLLVAVKIGTIGRTSHVNLVRLYGFCFDADLKALVYEFMPNGSLDRHLFHGGGDGDGDQKLRFDKLYDIALWMAASATHKCDVYSYGMLLFEILGRRRNYADVEAAESAERWYPRWVWQRLERGEMEALVARALVADDRVGKEARKKVERLCAVALWCVQYRPEDRPSMSGVVRMLEGDEDVAAPAVSPFAHLDSDLLSYVL >Sspon.08G0007590-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:25231050:25232479:-1 gene:Sspon.08G0007590-1P transcript:Sspon.08G0007590-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMVEDPGTDGVIGWGKGNNSFVVADPFVFSQTLLPAHFKHNNFSSFVRQLNTYGFRKVDPDRWEFAHASFLRGQTHLLRNIVRRGSSSGAGAGGGGGGKRKDAAASGGPAEASASGDDMAMVATEVVCLKQEQRAIDDRVASMWRRVQETERRPKQMLAFLLKVVGDRDRLHRLVGDAAAPDNGFTSGAAAEPPAAAEGGEKRARLLLDGDNMVALGPEAIDFAGFYSGGGVFGDVAAGSGGGGCSFAFGGGY >Sspon.07G0014430-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:52205868:52206914:1 gene:Sspon.07G0014430-1A transcript:Sspon.07G0014430-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MYPYRMSNVMIGYLNLATLLASIPIIGAGLWLAKSSTTTCSSILQTPLLVIGFVVLIISLAGFVGACFHVAWALWLYLVAIILLIAFLLGLTAFGFAVTAGGGGTQVYGRPYREYHITDYSSWLQKHMQDIKYWRPALACVVGSKACPKIENWTPMDYLQHDLTPIQSGCCKPPTACQYSGGMPVGAQDEDCYRWNNAPDILCYQCNSCKAGVMEQIRQDWHKIAVLNVIVLAALICICACGCCAFRNARRSLSEYPYGVNQMSKINPRWDYYWYSKQNSSV >Sspon.07G0016710-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:59574549:59575457:-1 gene:Sspon.07G0016710-1A transcript:Sspon.07G0016710-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GRQRKREWERRQQQQRQPAMRILQAAASALHAGVRVRAILPSRGPSQVRHRPQGLWRQQCQQDAAGAACSAESGRGEQPGVRGARAHEGPRVRLRRRHLLPPAAGLPAPGAARPRQGRDPLRPDATRRVSFSCCRASSGKATTPSSSPPAAGDGMRSLWQPAPAKWPDDEHVEQHWCSSSAAADAGWLRLCREHCNHAAGGVPQERVPLGMN >Sspon.06G0020740-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:10821747:10824009:1 gene:Sspon.06G0020740-2C transcript:Sspon.06G0020740-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTSLAALPSQLTSTGRLRRRAALSSASRSNLLHRATKGGSRCRLAVTCNAQAVAPTSIAQGTPVRPTSILVVGATGTLGRQVVRRALDEGYDVRCLVRPRPAPADFLRDWGATVVNADLSKPETIPATLVGIHTVIDCATGRPEEPIRTVDWEGKVALIQCAKAMGIQKYVFYSIHNCDKHPEVPLMEIKYCTEKFIQDSGLDYIIIRLCGFMQGLIGQYAVPILEEKSVWGTDAPTRIAYMDTQDVARLTFIAMRNEKANKKLMTFAGPRAWTTQEVITLCERLAGQDANVTTVPVAVLRFTRQLTRFFQWTNDVADRLAFSEVLSSDTVFSAPMNETYQLLGVDGNDILSLEKYLQDYFTNILKKLKDLKAQSKQTDIFF >Sspon.04G0018290-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:65871407:65873048:1 gene:Sspon.04G0018290-1A transcript:Sspon.04G0018290-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGNLVMKKAIRPSSFDLDIKIDQSWMEDVACPICLDFPHNAVLLRCTSYEKGCRPFICDTDQTRSNCLERFKGAHGLPANVKVSSRTVAPLNSIHIISSNANNRPACPLCRGDVIGWFVIDEARLHLNQKKRCCEESCCSYVGNFHELQKHTQQKHPNSRPSEIDPARQVDWENLQQSSDIIDVLSTIHAQVPNGIVLGDYVIEYGDDEAGDDYEVYHRVRANWWTSCIFCKAFRRSTGGGRRRTRTRERRSSEARNSNRSSQESFSLEVPTRSVDMREIRFDEIDDEYIVRGAMTRAAVSRRMAAHYRSVVTVLFPAVWIPDLDAAFLGSSTKESCAHVRCNKNQNRSTSAHFYFFLFFP >Sspon.03G0011840-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:19167790:19171411:-1 gene:Sspon.03G0011840-1P transcript:Sspon.03G0011840-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEADASAGGSGAGGDPQRLKRIAAAAYDYENDARWAGYWSNVLVPPHLASRPDVVDHFKRKFYQRYIDPALVVEPMSSMTSTQSSRPAARSSATPSGENVRSRDSGSSTRSTGASQQPSAERTANSLRLDGRTIHFSINAWVLVVASLGILPVLPNHISSKAYRLSLLGTICSSAYSLYSTYGKPRAWNMPAIQSWLQSIIVAKDFVHLMFSLMMFTSNVHFKIALLPVLCWALDHVARFLRRNFTHSSLYRKYLEDPCLWVETNNTTLSLLCSNAEITLGFLMIISLFSCVHLPREELVETQYNSDIYLLKLMYHSPVTSGYHQSVWARIGRAVNPYIYRYAPFLNTPISAVQRWWL >Sspon.02G0059370-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2D:92442856:92443252:-1 gene:Sspon.02G0059370-1D transcript:Sspon.02G0059370-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALHALSTHAGHYRRRRLSPPLLLAACLLVVLVLPPRLSRAATSSSTVVTHLSGFDDPLPFYLETGLAELCRCAPVTLQVRGIEETGTELFYYFVESERSPRTGPRDENGRK >Sspon.05G0008180-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:18686539:18691595:1 gene:Sspon.05G0008180-2B transcript:Sspon.05G0008180-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAAAEVNNMRTESMMKRLLELMSCGDVSRKQRLEVIKRKTTNFQQCHVNGEENPSTEICVLESEQHEDFSSQQTDNLDFRTSVLSQQEVEQRKSTLVLGCNGQTIIKREKKIFSSMASGGFVDWRGNLVDRQVHGGVKAAWFLHFLTFVINMVNVPILLNLVTYLRGTMHMGVSDSATTVTNFVGATSGFALIGAFLSDSYITRSRTILLFGPLEFLHALVQGYGLLALQAYVPSLHPPTCNIEAEPSNCKEAHGWNATLFYTALYISAFGEGCIRACLPSLGADQFDHEDPSESHQQSSFFNWFTFGISFGGFVGLIFIVWLENYKGWDIALGLSAILILLGLLVFAAGLPFYRNQVPEGSPLARILQVLVVAFRNRRFGLPEVLEEAHESSAERGSTKVLSQTNSLKFLDKACINHGKEGAWSLCSVTKVEETKAVLRMLPLFVSSMIGYVSNPIIFTFTVQQGGMTNTRLGKIHVSPATLFIIPITFQMVMLAIYDRFMAQRTGYASGITHLQRIGIGFFSMILASVIAAVVERKRKEAAVQMSLFWLAPQFFLLGVSDVTSFPGLLEFFNSEAPRGMKSIATALFWCEIGLASLLATFLVQAVNSITRRHGHHGGWLEGSSLDSSHLDLFYWVVAVVGLLGFLNYLYWAKKYVYRQDTRIVDEPSVDQDSH >Sspon.08G0022540-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:49799864:49804185:-1 gene:Sspon.08G0022540-1B transcript:Sspon.08G0022540-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sucrose synthase 2 (EC 2.4.1.13) [Source: Projected from Oryza sativa (Os06g0194900)] MAAKLTRLHSLRERLGATFSSHPNELIALFSRYVNQGKGMLQRHQLLAEFDALFDSDKEKYAPFEDFLRAAQEAIVLPPWVALAIRPRPGVWDYIRVNVSELAVEELSVSEYLAFKEQLVDGNSNSNFVLELDFEPFNASFPRPSMSKSIGNGVQFLNRHLSSKLFQDKESLYPLLNFLKAHNYKGTTMMLNDRIQSLRGLQSSLRKAEEYLLSVPQDTPYSEFNHRFQELGLEKGWGDTAKRVLDTLHLLLDLLEAPDPANLEKFLGTIPMMFNVVILSPHGYFAQSNVLGYPDTGGQVVYILDQVRALENEMLLRIKQQGLDITPKILIVTRLLPDAVGTTCGQRLEKVIGTEHTDIIRIPFRNENGILRKWISRFDVWPYLETYTEDVASEIMLEMQTKPDLIVGNYSDGNLVATLLAHKLGVTQCTIAHALEKTKYPNSDIYLDKFDSQYHFSCQFTADLIAMNHTDFIITSTFQEIAGSKDTVGQYESHIAFTLPGLYRVVMALMFLIPNSTLSLLEQT >Sspon.05G0024500-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:13040378:13044491:1 gene:Sspon.05G0024500-2C transcript:Sspon.05G0024500-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding IWIQKTSRQAEKHQLVKMSAGFQLGVIGSLALSVASSVAIVICNKALISTLGFPFATTLTSWHLMVTFCTLHVAQRLHFFEPKAIDGQTVILFGLLNGTSIGLLNLSLGFNSIGFYQMTKLAIIPFTVLLETIFLKKRFSESIKLSLLVLLLGVGIASVTDLKLNLLGSVLSGLAIATTCVAHKYDTEEVEGLLNAASVPICALPAAILFATGPFVDQFLTNRSVFAHKYSAPVLGFIVLSCLIAVSVNFSTFLVIGTTSPVTYQVLGHLKTCLVLSFGYTLLHDPFTLRNILGILIAIFGMALYSYFSMPDKEAEPLLATKDSNDTKKANGKGNPQPAVTESSKCSTK >Sspon.01G0014290-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:41365671:41366549:1 gene:Sspon.01G0014290-2C transcript:Sspon.01G0014290-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding WLKSSKKRALPLPPPPRRVPPPAARPLSRTRLLLRRHRRRPMPRPRHGSGRRRRRQRPRPRPRRAGRKRKKVVLARRRRRRSGSGAARGSTRRTAACGCGRGASGCQRSASRGRSRAYGWARSRPPRWPRAPTTSPRSPSRAAPAPRTSTSRTSPACSRAPRPRRPRTSRPPLHWPLRSRRRCRRHHHRRSLTPPARRWRHATHAKSPPPPRTAPRPRRRPPPRHRYYHRRSLNQGRRRRAAWRKSGSCSTCRTCSSTSGTGSGASRRCGPRSLTWRRSMRSCASRSRCFGSS >Sspon.02G0040250-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2B:67015094:67015879:1 gene:Sspon.02G0040250-1B transcript:Sspon.02G0040250-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGSSLAPLLLIAVAVAQIVGSQAGSISIYWGQNGGEGTLADTCATGNYKFVNLAFLVTFGNGQTPELNLAGHCDPTSGGCASLSDDIKSCQSNGVKVMLSIGGASGSYYLSSAADAKDVATYLWNNFLGGQSSSRPLGDAVLDGIDFDIEGGTTQHWDDLARYLKGYSNSGRRVYLTAAPQCPFPDAYVGGALNTGLFDYVWVQFYNNPPCQYSSGSTTDLADAWKQWLSIPAKQIFLGLPASPEAAGSGFIPADDLKSQ >Sspon.07G0016300-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7A:57983635:57986184:1 gene:Sspon.07G0016300-1A transcript:Sspon.07G0016300-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding PPALAPIHHFFSSSARRSTKKSAAKAPMHSSILAAKAPMDSSREPFYVIRKGDVIGIYKTLSDCQAQVSNSVCDPPVTVYKGYTLRKETEEYLAARGLRNAVYSIDAADARDELFGDLVPCPFQIALLREGLGIMTNNAAEYRALILGLNYASKKGFKYIRCQGDSKLVCNQEFNSDADVQANFGAQLA >Sspon.01G0013370-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1A:36554978:36559640:1 gene:Sspon.01G0013370-1A transcript:Sspon.01G0013370-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAAALSALASAGRAANEAVSFVVFLLLDALEVLLCVVYKVADYVVEGAWRPCYCSSRSAASAATGKIVVSERGGSKVVSMLSATRLHLEDISDTLYTRPSVLAFAAASASASASGSSTRRAPAGVTVHSAIVQMLRGKVGDGSKHRPYPSPRWSDCHCANCNPADTDRLFVHVEAPPQGTPARSPVMSSRHHHLRKALTHTLHASIAVSTEEDVLFIHGFISSSGFWTETVLPHVSPAARSRRRLFAVDLLGFGRSPKPADSLYTLREHVEMIERSVIERHGVRSFHIVAHSLGSILALALAVRHPAAVRSLTLVAPPYFPVPRGEVGTRYVLRTVAPRRVWPPIAFGASVACWYEHLSRTVSIVLCKHHRLWELAFRVFTLYRVRTYLMDGFFCHTHIASWHTLHNIICGSAGKIDRCLEVVRDQLTCDVTVYHGSDDELLPVQCSYAVKSKIPRAQVKVIDGKDHVTIVVGRQKDLARELEEIWDRKR >Sspon.03G0004720-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:7327142:7329867:-1 gene:Sspon.03G0004720-4D transcript:Sspon.03G0004720-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGAGEEDKKPAEGGAHINLKVKGQDGNEVFFRIKRSTQLKKLMNAYCDRQSVDMNAIAFLFDGRRLRGEQTPDELEMEDGDEIDAMLHQTGGSVPGA >Sspon.04G0015540-3P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8D:16758950:16760860:1 gene:Sspon.04G0015540-3P transcript:Sspon.04G0015540-3P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding FVEGFEDSLGLGQGSTRLYATVDLGRARVGRTRVITGDPVNPRWYEAFHIYCAHFASDVVFSVKAAQPIGATLIGRAYLPVRDLIAGQEIERSLDILDASKKRLPHGPKIRVRLRFQDVAADRRGWGRGVGGARYPGVPYTFFSQRPGCRVTLYQDAHTPDAFAPRIPLAGGRLYEPGRCWEDVFDAISNARHLIYITGWSVYTEITLLRDGNRPRPGGDATLGELLKRKASEGVRVLMLVWDDRTSVESLGMKWGFMSTHDAETAEYFRGSDVHCVLCPRNPDAGSSAVMGAQIAYMITHHQKIVVVDHEMPARHSDRRRILSFVGGLDLCDGRYDTQFHSLFRTLDTAHHKDFHQPNLAGASINNSGPREPWHDIHSKIEGPAAWDVLYNFEQRWRKQGGSIDLLVDLKAMADLIIPPSPVMFPEDQETWNVQLFRSIDGGACYGFPSTPEAAAQSGLVSGKNNVLDRSIQDAYIHAIRRAKHFIYIENQYFLGSSFGWKADGIKPEEIEALHLIPRELSLKIVSKIEAGEHFAVYVVLPMWPEGPPAGGSVQAILDWQRRTMDMMYNDISIALEAKRIDRNPRDYLTFFCLGNREVKTSGEYEPSGRPLDGTDYARAQNARRFMIYVHSKMMI >Sspon.03G0007170-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:24747083:24748801:1 gene:Sspon.03G0007170-3D transcript:Sspon.03G0007170-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:At1g29280 [Source:Projected from Arabidopsis thaliana (AT1G29280) UniProtKB/TrEMBL;Acc:Q0V866] QMDGEWSDGAAASTPTVSGGESKAGPAGGVSSSADCPGSPVSPVPPSTSPAAATGTGRRRSANKRVVTVPLADVSGPRPKGVGEGNTRTDSWAWRKYGQKPIKGSPFPRAYYRCSSSKGCPARKQVERSWAEPDKVIVTYSFEHSHSDAVAREQNRPAPKPKAAQLPVPAPEPAAESPSSGSHDVVAATAVCGGAPAAAAETEVVGAAAAVEVHDEFRWLYDGVSVTSSASPSDVEAADEMLYGAMSFFGAAAPPAAPLPDEFGDVGGLFDYGEGGEEDAMFAGLGELPECAMVFRRHAGDGLPVAGGVK >Sspon.01G0015600-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:47205386:47217741:-1 gene:Sspon.01G0015600-3D transcript:Sspon.01G0015600-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMMVPDLSFSASISNVKLPRYGASKSETFLVKRSPTFRAEARPTESGKYGTNGRATKMVPTTELRRTSGGGHVRSGTVNGSPNGAVNGSTKVSINGSAKAIVNGSTKAVINGTPEVAVNGTSLVKSSKTSSLVKTQKQMRPKDDPFQEELKVLPSDEGFSWAKDNYNSVQRSIDIWSFVLSLRVRVLFDNAKWAYPGGFSEEKQLSSTRSDLFPREFVDELAKLQLSDNGNNDQLWDTAVDRVPAFSPEKAKVFIEKEMGCSIDVVYKEFEERPIAAASLGQVHRAVLHNGERVAVKVQRPGLKKLFDIDLRNLKLVAEYFQRSETLGGPSRDWIGIYEECSKILYEEIDYINEGKNADRFRRDFRNIKWVRVPLIMWDYTTEKVLTLEYLPGIKINNLDVLDSRGYSRSLIASRAIESYLIQILKTGFFHADPHPGNLAVDKDGSLIYYDFGMMGEIKSFTRERLLSLFYSVYEKDANKVMKALIDLEALQPTGDLSPVRRSIQYFLDNLLNQTPDQQQTLAAIGEDLFAIAQDQPFRFPSTFTFVIRAFSTLEGIGYILDPEFSFVKVAAPYAQELLDLKQRQQRGPQLVQQIRKQANDARDSTISMPYRIQRIEDFVGQLESGDLKLRVRVLESERAARKATVLQMATMYTALGGTLLNVGVTLNSQGNQIVANGSFIGAGIFLALLVRSMQRVKKLDKFETMI >Sspon.03G0007690-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:7737096:7742005:-1 gene:Sspon.03G0007690-2B transcript:Sspon.03G0007690-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASAGASQALRNGGGMQMQDFLMQRLAEMVLCCGADWGCVPQLWNRVVLRKWLNIGAGSGDSDFSADEHDTSEGETDREGYLTLLFSTSAPLFLERNTCLVGNMRYVTKKGYWVDWLQARLVLGWHVALYNNAIIDAVGDEMNDVPYRLRRHRSEIVRSQYVDVRELRICVGTWNVGGRFPPSDLDIEEWLAMEEPADIYVIGFQEIVPLNAGNIFGAEDSRPVAVWEHIIRETLNKKCSDKSGFKCHSDPPSLSRFNPSDVALSMEHELLSESDKDSDGELHPLIDQDHNCGLQDKTYENLEAFPEDDLACDVIIDKRAKRKRLEFVRIISKQMVGIFLSIWVRRSLRKHIQNLRVSTVGVGAMGYIGNKASARFDTLSLMLLSHYVVFSPDENQGSISVSMSIHQTPFCFVCCHLAAGEKDGDDLKRNSNVEEILRRTVFNPVNAVGMPMRIHDHERIIWLGDLNYRINLSYERTHELISKQDWDGLFERDQLKRELRKGCTFDGWFEGVISFPPTYKYEFDSEYYVSDESKSERRTPAWCDRILSYGKGIRLLSYKRGELTLSDHRPVAAVYVAEVEVSRRRKLQRALTFPDAEVEDHLSSEKDGLAEQR >Sspon.03G0020220-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:62720382:62721643:1 gene:Sspon.03G0020220-1A transcript:Sspon.03G0020220-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAMNGHYSRAPTKLFGRERTLHAALGGRRDADIILWRDRKASASILAAATAAWGLFEVAEYHLLTVMCYVAMIGMLVVFIWANASTFFNLPVPRIPDTLVSERASRQALQDVHRRLTRLVEKLHDIACGKDIKMFILTVVSLYIASVIADCFSSLTLLYLVVLGTMTLPALYERYESEVDHLVARGVHDLRTHFADMDSGVLRKIPRGTGAAA >Sspon.06G0029950-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:38688725:38690107:-1 gene:Sspon.06G0029950-1C transcript:Sspon.06G0029950-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRRWSFPQVTAAEVMDGGCGIGVDKNDDRYRVPSNQITGLHPRSNIISAYMLSAKEKVLKVRCQVKDVMKKQNELPLCQEGDEVT >Sspon.02G0011160-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:30065865:30066885:1 gene:Sspon.02G0011160-1A transcript:Sspon.02G0011160-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTAVAEVRPPYGFPGSGKGSGGGHGKEADMAAVGKRRSDGFFIEEEAEEDVLTDTSSIGAPSPSSSSIGENSSSEAGGDDGEEEVESKLKEGDALGCLDALEDSLPIKKGLSSFYSGKSKSFTSLAEATSTVAAAKELLAKPENPFNKRRRILANWSRRASCSSLATATYLPPLLGPDHAVAEGDEGEEDDSDDDVEYSQLPHRGKNVRDAPALPLPPTRLGGVGMQRRNGLGSFRSPRSFSLSDLHNSSSTD >Sspon.02G0035230-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:17957872:17965890:1 gene:Sspon.02G0035230-1B transcript:Sspon.02G0035230-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALTHFLGAALPLLLLLCAAEAGGEVGVNYGRVANDLPDPASVVQLLKQNGITMVRLYDANPKVLTSLANTGIKVLVMLPNEELAAAASDPSYALRWARSNVAAYYPATRIHGVAVGNEVFDSRPDLNADLVVAMANVHDALAQLGLADAVKVSTPVAFSAVTDSYPPSAGRFRDDVAQSVMKPMLGFLQRTGSYLTINIYPYLAYAEHPDQISLDYALGNSNPGVRVDDEDTASLTLDDNDDDGVTYYSLLDAQLDATYYAMGDLGFTSLKAHVGETGHPTGGRPKPGRRPPRGGRRHLMAGDDDGYPVASVANAHAYVNNVINRVLSGNTGTPHRPDADMDVYIFALFNENQKGDGPDDIEQNFGLFYPNEQKVYEFDFHHGGGGGGGGGGAKASWCVANAARKGRASGTCDFAGAASVVYQEPAGACDAKSSWCVANAAVGDDRLQQALDYACGHGADCSVIQRNGRATALTPARDRKLHAPIEGMN >Sspon.01G0030580-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:105990643:105994220:-1 gene:Sspon.01G0030580-1A transcript:Sspon.01G0030580-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATALKSFLNSPVGPKTTHFWGPVANWGFVIAGLVDMNKPPEMISGNMTAGFSFSASLKIEEPWDL >Sspon.06G0009170-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:49197927:49198796:1 gene:Sspon.06G0009170-1A transcript:Sspon.06G0009170-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to 26S proteasome subunit RPN12 [Source: Projected from Oryza sativa (Os07g0435100)] GIIPPSPEEYPILGLNLLRLLVQNRIAEFHTELELLPVKALEHPCINHAVELEQSFMEGAYNRVLSARQAVPHETYVYFMDLLAKTVRDEIAGCSEKGYDSLSVSDAKQMLMFSSDQDLHEYITEEHPEWEITNGAVFFQKAKESQPCKEIPSLQLINQTLSYARELERIV >Sspon.03G0028100-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:8018874:8023042:-1 gene:Sspon.03G0028100-1B transcript:Sspon.03G0028100-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMSDAERLFFFEMACKNSEAMYEQNPTTPTYDASRALLSPFAFPPLGSNPVRCDLVNLTRWGGALLELSQVRAGPDGLKLLEDAEAKLEEALQIDPNKADALWCLGNAQTSHGFFTPDTAIANEFFVKATGCFQKAVDVEPANELYRKSLDLSMKAPELHLEIQRQMVSQAATQASSASNPRQSRKKKKDSDFWYDVFGWVILGAGIFAWVGLARSMPPPPPPASLLAQLAVKAPPQM >Sspon.04G0028630-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:67225959:67230475:-1 gene:Sspon.04G0028630-1B transcript:Sspon.04G0028630-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SCI1 [Source:Projected from Arabidopsis thaliana (AT1G79200) UniProtKB/TrEMBL;Acc:A0A178WDT9] MGGGEGKSRKRRSSPSSGEEEGREQRRRDKKESRRSSRDDREDDDGRHKKRKKGKHSDRDKGKERDSKDRHSKEKEKSKRKDKDAAFKEISKDDYFAKNNEFATWLKEEKGKYFSDLSSESARDLFLKFVKQWNKGKLPSQYYEGITSGPLRTTALDNQTYRGHN >Sspon.06G0031370-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:65394373:65399293:-1 gene:Sspon.06G0031370-1C transcript:Sspon.06G0031370-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPFLSSSSSLLSPMAAAVAVVAVSIILSATPPVAAAAVEHTFVVSQVNMTHMCNEIPVTVVNGQLPGPTIEVTEGDAVIVHVVNKSPYNLTIHWHGVFQKRNCWNDGVPMVTQRPIRPNGNFTYRFDVAGQEGTLWWHAHDAFLRGSIYGALIIRPRNGAAAYPFPKPHKEIPILIGEWWEKDLAAVDRNFSRVGPQSTASLEISSTAPTYLLRIINAALFSEYFIKIAGHKFTVVAADANYVTPYTTDVIVIAPGETMDALVVADAAPGRYYIAAQPIQAPPPDTQTPEFATRGTVQYQHSGGATNSSRDNVVAVAPEMPHQHDTIKSFYFHGNLTGLRHRQRARVPARADERLFVTLGLGSICRHGRKSCKRGDEPESNQVIANMNNVSFHDATATPILEAHYYRRGGNGEAGTAGLPDHPPSAFNYTDPALIPFGAEEMRLEPTSRATVVRRFRHGATVDIVFQSTAMLQSDSNPMHLHGHDMFVLAQGIGNYDAATDEAKYNLVNPARKNTVLVPNLGWAAIRFVADNPGAWFIHCHFEFHLAMGMAAVFIVEDGPTPNTSLPPPPPNFMEGRP >Sspon.04G0023210-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4B:11005275:11007134:1 gene:Sspon.04G0023210-1B transcript:Sspon.04G0023210-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DLIVRMLHLNQPPPPPPPGTAAEQQEEVYKILVLDTFCLSLLSPLLRVADLRKHGVTLYFPIDKPRQQVADAPAVYFLRPTQANADRVAADAAASLYASFHLNFSSALPRPLLDRLAAAAAASDSAHRVARLADQYLDFVSLEDNLFSLAHPRSYVALNDPKAADADIEALVDAIALGLFCVAATLGTVPVIRCPRGGPAEMVAAALDARLRDHLLAKPNLFTEASASASVASFQRPVLCLLDRNFDLSVGIQHDWSYRPLVHDVLGLKLNKLKMPADKSGPAKTYDLDDADAFWVANSWSPFPRVAEEIESQLAKYKQDVDEVNQRTGGSKDGIEFDGTDLIGNTKHLMNAVNSLPELTDRKKMIDKHTNIATALLGHIKERSLDGYCDCENDMLTKGAVDRSALLGLLRGKGTKEDKLRLAVTYLLAFESPVASELEQVEAALREAQVDMSAFQYVKRVKSLNTQFSASSNTATRSNIVDWAEKLYGQSISAVTAGVKNLLSTGRQLALTRTVEALMEGKPNPEVDSFLLFDPRAPRSGSGGQFKGPFREAIVFMIGGGNYIEYRSLMELAECSQPSKHVIYGATEIVNGVEFIEQLSELGQKAGLGGGVNNPPQLQ >Sspon.01G0000410-3P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:41180169:41182300:1 gene:Sspon.01G0000410-3P transcript:Sspon.01G0000410-3P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Divalent ion symporter domain containing protein [Source: Projected from Oryza sativa (Os10g0547500)] MTQLAPLPKVVMGSLAFGVFWMLAVFPSVPFLPIGRTAGALLGAVLMIVFHVISADDAYASIDLPILGLLFATMVVGGYLKGAGMFKHLGKLLAWRSQGGRDLLCRVCVVTALASALFTNDTCCVVLTEFVLELAAERNLPAKPFLLALATSANIGSSATPIGNPQNLVIAFNSKITFLQFFFGILPAMLAGMGVNTVMLLCMYWKDLEGACSPDEVANGKEMEAVEEGRSPASVLSLKNSPTATAAAHGALRQRHGHDADDDPDSMMSENIPTKHRWFMQCSEHRRKLFLKSFAYVVTVGMLVAYMLGLNMSWTAITTAIALVVVDFRDAEPCLDKVSYSLLVFFSGMFVTVSGFNKTGLPGAIWNFMAPYAKINHVSGVTVLSLIILLLSNLASNVPTVLLMGDEVAASAATISASAVTRSWLLLAWVSTVAGNLSLLGSAANLIVCEQALRAPRNAHDLSFWSHVVFGVPSTLVVTAIGIPLIGKIAF >Sspon.08G0020230-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:18899062:18899551:-1 gene:Sspon.08G0020230-1B transcript:Sspon.08G0020230-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASITKTASLLVLTLFVISAVILPTSVCHGARGVAALCSLTILLYAAWGSAQLVDVPTFPFPVAVAGLVPPTLRHRFPS >Sspon.04G0004910-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4A:14243222:14244307:1 gene:Sspon.04G0004910-1A transcript:Sspon.04G0004910-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FAD2 [Source:Projected from Arabidopsis thaliana (AT3G12120) UniProtKB/TrEMBL;Acc:A0A178VIK7] MTEKQREKQEHLACAAGSERSPVEKPPFTLGQIKKAIPPHCFEWSVLKSFSYVVQDLVIAAALLYLALVTIPAAPSPLHYAAWPLYWIAQGCVCTGLWVIGHECGHNAFSDYPLLDDIVGLVLHSSLMVPYFSWKYSHRRHHSNIGSLERDEVFVPKKKEALPWYTPYVCNNPAGRLLQIVVQLTLGWPLYLATNASGRLYPRFACHYDPYGPIYSDRERAQVFVSDAGVVAVLFGLYRLAATFGFWWVARVYAVPLLIVNAWLVLVTFLQHTHPALPRYDSSEWDWLRGALATMDRDYGVLNRVFHNIADTHVMHHLFSTVPHYHAMEATKAIKPILGEYYQFDATPIAKATWREARECIY >Sspon.03G0038560-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3C:5705009:5705370:1 gene:Sspon.03G0038560-1C transcript:Sspon.03G0038560-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SLCNACGIRYRKRRRQALGLDAAADSQQDQQQPKKKATADPQQQDQHHLRKKTAAAADPQQDQHHLRKKAATDPQQQDNRKKAAAAASSTNKKDKDKEDNKKKKDQQVTVELRVVGFGKE >Sspon.03G0025900-1P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3C:3201767:3205808:-1 gene:Sspon.03G0025900-1P transcript:Sspon.03G0025900-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTHQSHKGYPCPISRRVPEDFWRVMEDVTQPWQYLDDALTKYQSTSRRWAPAAQLPTATSDAPSPPLPTSATVGAPVPFPTSHRAGHGARARGELHLAAPSPSPATAEPSLHAAPAGPFLPRAGLGDAVAAGGSCFGCASATETAGSEAADAGDATTEPPTSSHRAPTAPADSTPATPPPRGAVPTTVGLVEDDSLVVVDDVLDGEDDDEDVDAPRWPWPAPAAPGLDTFFVHSSAPAPSSSSSLSPHATAFYLGGSMEGRPKARRWADDDFSNDSDADATPTSTTPFLDAVCWDQQTPRPSTRPAMPLPLFQPQTSGRGGVVPGHHSAGRRGGKRRRSRPQLVHGMPTRPVEGRISARQRPGRRGRVSAPDADGWREILWQETRRETAAAVPRGPARGASQRVVNHRAASRPISTASASIASPPRIGWLPASCPNDVCGAGVFGTLRATVGGPRLLDHGGATTDTAVPATGGRRHRRRRRRQRRRRHGQLAGTAHAPEAGEALSRLDELSSGTGIAQPDPLTLTLCLDAAHVRDITEDPMLEEFAASLTSSQFVVGASFERLVSPMVWAVGSPTAAEAPAPPVDSPSSEVSDNTAKRRLDNFIGKVTCKRDSPLIREPPKQPPTKPVLPWRSRRLAAQPLSRVPASKRREVLIMQRIGFIKGPLVPTELGRAAYDKLFSSDLTVDVAEALDELFPADPAEADKLLKIQRDLDETKIILRSRAFILPPRPRTAHSHLRAALYLRGSACRDTRRLNQPMARPMDETHQQGCRGRGHDHETLTLAQGGRVTSETTTAPGMPACLPIRTHGTHLPPTHIYCNRCLTPCIPDASCLHCKRWPVAAVASMHQLLAPPYPLASSLHTPRRLRLPPPRTALVWG >Sspon.05G0020240-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:82852041:82853303:-1 gene:Sspon.05G0020240-3C transcript:Sspon.05G0020240-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AMAAESCHPRGLSWFARSCIPADPARHTAVPVPIPVPAPDPETEPPIAALPDELLLEILARVPRASLPPLPAVCRRFASLLASQAFLHLRRARGSLRPCLLAVSSSSSSSSSARALLLHLHASPSLHVAALPLPLPLVLPPALVRARARRRCGAARVPGRPRRHAAGGRADGRGAGVRAHAVPAEQVRGGRRGRADLRRGRVRAHGRGGGVRPGRRRVARRRGGPAPEVRLRGRWRRRRVLRRRRCRRGRRTRVGGGAGGARVRRVGGRAARGVGRVGVGAPKGGARRRLRGGRVRRRGRTPLRGGQPRRGPLLLAVARRQRRRQGSRSHRRVGGARGAARAPRRRGARRGGARGHGRRRRRPRGGGRQRGRRQGPRRRRRGIGRRRGRAGAGVRHRRWQVEPRARPAPRVPARRVRRRRV >Sspon.05G0023500-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:7510205:7511764:-1 gene:Sspon.05G0023500-1B transcript:Sspon.05G0023500-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MWSYAGPTVAVKLFVDKENQKVLFAESDKDSVDVLFSFLTMPLGTIVRILGKQSGVGCLDELYKSVESLSIDHFQTEACKTMLLRPHNAAARQCERLKVRVDDTNPRAIYLCSNTECLAVTFSSVPDVVCIHKCKPYGCNGRHSRHMELPENDNAVVGFADGGGFVQSGLKFIITDDLQVSPASTSLVFSMLDKFGLNEKTNTSLLKRALISKQPFTGLYFDIPITPDAVNQVELPEMLSPKLENNGSANKFSAIKIKLIQTEDSSSVLYAEVGPDFIDLVFGLLSVPLGSIVKAYSQWPQNGCVDNLYRSIDGSARGCIRDGCRSLLLSPKFACFFGCSINSLQVEESGPNKTVSHRRCSKCSKDIWGDNKCACTYGQSYSITHHEINPKSTTPRDSYSREYIKEGPRNFVVTNDLRVLHFSLANTLQVMRASNIPKEKLVEKELTLDRTQVILDLLLLNQACL >Sspon.03G0032260-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3B:36102523:36103409:-1 gene:Sspon.03G0032260-1B transcript:Sspon.03G0032260-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding FSGAAASIAAIPNFLPDPDEAPVASSTPPTSAPPSSSRQPAPVALGLYLVKIRIVPPHLAKMCEND >Sspon.05G0027530-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:54313699:54317374:-1 gene:Sspon.05G0027530-1T transcript:Sspon.05G0027530-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MCWRMIGERSALRMRREYLKAVLRQEIGFFDTEVSTGEVMQSISSDVAQIQDVMGDKVTEEGSYCSACLALNIHRFVHHVFTFIFGYVVGFIKSWKIALAVFAATPVMMSCGLAYKAIYGGLTAKDEASYKRAGSVAQQAISSIRTVLSFVMEDRLADRYAEWLNRAAPIGIKLGFAKGAGMGVIYLVTYSQWALALWLGSRLVANGDIKGGDAIACFFGVMFAQGRVAAGRVFEIVDRVPDIDAYGGGGRSLSSVRGRIEFKDVEFAYPSRPEAMILYNLNLTIPAAKMVALVGVSGGGKSTMFALLERFYDPTRGIITLDGQDLSSLNLRWLRSQMGLVGQEPVLFATSIVENVMMGKENATRQEAIAACAKANAHTFVLGLPDGYDTQVGDRGTQLSGGQKQRIALARAIIRDPRILLLDEPTSALDAESEAVVQQSIERLSVGRTVVVIAHRLATVRNADTIAVLDRGAVVESGRHDDLVARGGPYAALVKLASDSGRSSSDDAPNPASKLPAGGAPAYNSFTDDSGVYDDDILSSVSKSRYGGGARRTFPREEADVRAKTKDDASDSKVSVSEIWKLQRQEGPQLILGFLMGISAGAVFSVFPLLLGQAVEVYFDADTSKMKRQVGALAMAVVGLGVACILTMTGQQGLCGWAGARLTMRVRDRLFRAIMRQEPAWFDEEDNAMGILVTRLARDAVAFRSMFGDRYAVLLMAVGSAGVGLGICFALDWRLTLVAMGCTPLTLGASYLNLLINVGAKTDDGAYARASSIAAGAVSNVRTVAALCAQGNIVGTFNRALDGPVSKARRRSLVMGVILGLSQGAMYGAYTVTLWAGALFIKRDLSKFGDVSKIFLILVLSSFSVGQLAGLAPDTSGAPVAIASILSILKRRPAISDENGSGKRRTIKDGRPVDVELKRVVFAYPSRPEVTVLNEFTVRVKAGSTVAVVGASGSGKSTVVWLVQRFYDPVGGQVMVGGIDVRELDLKWLRGECAMVGQEPALFSGSIRENIGFGNPKASWAEIEEAAKEANIHKFIAGLPQGYDTQVGESGVQLSGGQKQRIAIARAILKQSRILLLDEASSALDLESEKHVQEALRKVSRRATTIVVAHRLSTIREADRIAVVTNGRVAEFGSHQELLATHGDGLYAAMVKAEVEAQAFA >Sspon.06G0005710-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6B:17056900:17057753:-1 gene:Sspon.06G0005710-2B transcript:Sspon.06G0005710-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARHERRSRDNHENSSIGAGFGRTDLVLDGVNGDVVPPVEVVGDPVDGEHRHRCLAGGGGVEERMADRAPPHCHPPHRAPPPLPPVPFQLVDHPAFPHRLSLLFCPWLCSASGFHDWPMAAPRAAVASAKQVTRQNFAEAVRELGAHLEACDYVAVAAQKTGAPTGWRRALPVDTAETAYLKAKLAAESFQPLQIAVCPFRLRNSSPSTLVAY >Sspon.08G0017610-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8B:2422120:2422404:1 gene:Sspon.08G0017610-1B transcript:Sspon.08G0017610-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDILQGRYSLEDDPTLVFMTTYLLALDEQYDKQALELRKCIHRAEEAEILGRKLHVQLAEAQAQAAAAESCETAISEPMKEAEDRHAQELKDAYL >Sspon.06G0006890-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:24683391:24686140:1 gene:Sspon.06G0006890-1P transcript:Sspon.06G0006890-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSTASAPSTSLAAAFRSSRAGRRRRALWLRPAASAAGASGVGDDGGAERFATSSSITDYLRYRRPSLAVLEREAGCQAGSCRRRWSASRSASRGRSSTPSSTLIWFLQSTLQTKSMCLLPCFMLKYFDRLQQELEDYDCVLYEMVTSRENLNNPKGPMAAKKMKSLRRGFSILGFIQKQMARILSLDYQLDCLDYGNEKWQHADLDYETFKQLQSERGESILTFAVDMTLKSTKALVQPSNMPDGLDFWRSKLLWASRVLPMPLVGLLVITGLCLPVENQDGFPELEALSRLDFGAALKIFLAKQLTSEFTAVPTPVEEKSVIIGERNRVATEKIKEAINRGYKRIAVLYGGGHMPDLGRRLREELNMVPADVQWVTAWSIRSREIDSKSLPFLKTLAEASGWPLNRYETLALLIFSLVLAVDLWFWELFFGTAVSWASLAGSWIDQLSGSF >Sspon.02G0035510-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2B:19606773:19612587:1 gene:Sspon.02G0035510-1B transcript:Sspon.02G0035510-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSEGLSARRPHLNRYFPGCPAAELSQAQNVNPLIGRKAMNRWPDDNNFYEVVISDYNQETGLYALVHDMNTSNETWEWVDLKKENTLSIISNIRWVGGWCRESRTWRACLLTHEIPPKLLTTHRNPRASALPAKAATVGEACVAGNGGRGQSPLNLQRQKRGGRWVGKRSCSLGSRRDGDGGCQMGQEDIRWQEDGSGIDPVMYLQSQGARTSGAGKSTSHGGPKPVPSRGISFQKNVSKKDFLPPQNVDETRGYNNINIFHTGSLIKVVKKIFSVSNPDPLEVERAKKALEVSRTLGEGMYQVLPCW >Sspon.05G0025240-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:18494083:18497332:-1 gene:Sspon.05G0025240-2C transcript:Sspon.05G0025240-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYAYLFKYIIIGDTGVGKSCLLLQFTDKRFQPVHDLTIGVEFGARMITIDNKPIKLQIWDTAGQESFRSITRSYYRGAAGALLVYDITRRETFNHLASWLEDARQHANANMTIMLVGNKCDLSHRRAVSYEEGEQFAKEHGLIFMEASAKTAQNVEEAFVKTAGAIYKKIQDGVFDVSNESYGIKVGYVVPGQSGGAEASSQWKEK >Sspon.08G0026080-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:13774594:13774938:-1 gene:Sspon.08G0026080-2D transcript:Sspon.08G0026080-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAPPVRAWVVVLVLVLACALLQLRPSDPAAPAPQAAAAVSSGAAKPKCVAGAKNDKACRVGAVDNPENQEEEGSSVTLKAPAAAPDSDDDDGSDYNDPDVPNDDQLIVVGH >Sspon.03G0011040-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:34724840:34726158:1 gene:Sspon.03G0011040-2D transcript:Sspon.03G0011040-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MREIKECRLFLICKPMLILTQRHGLTLQVQLLPSVQTFAILVLSTWCPETKYFPLQQGPFSGIWFPRRIPKPVDQINLKLCKSDIQGETKTTKPSSSGFRLGKESSASPLSRNVQSNITSLDIVSVGMKDQPTTRHLSSFSSLDNPRGRQIQKAPLRTKSMLAAFFVKHRSAKMKNVSVR >Sspon.02G0053290-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:89085102:89091884:-1 gene:Sspon.02G0053290-2D transcript:Sspon.02G0053290-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTAIVPSTGVVDHVALQQWASGVGLGGEVKQLIAVHRDLGVVLAEEAQGKEIQNRALQLRLKELSQKASHTGDLMGELDHYRIHWETDRAGDDGDNKLFHEIGCKDPRLTTSGSDIKFFNNDEIPEGTPRSSFSNDDSSPPALQIIPNKDISREITKHVEECYKISKDVRMALELEKLDYHAMQRYQSPRTDPRETSPYHTEPKVYGRDQELDLILSKLTSVESARKNLSVFAIIGHGGVGKTTLAKLVFNNSRVSEHFDIPLWVYVSAHFSEVKIMRGLLDTLCGDKHDNTMKSEELRLQDKLKYMLKSKRVLLVMDDMWEDSKREKWDELLHPLLTNDAKGNMVLVTTRKPSVATMVGASDCMKLEGLDKGNFWCLFKKCAFGDDNYKGERALEKIGQQIVLKLKGNPLAAKTLGKVLRRRFDVAYWRSILDNSEWAHKSDENDIMPALMISYTYLPVHLQRCFSYCAVFPKYYRYEKERLVHMWIAQDLIYSTDTHRRLEDIGTDYFNDLVDNGFLENETGLLNLLVMHDLIHDLAQKVSSDENFTIENSEPTDAPQLVRHVSVITENEYKMELNIGTVHPNELFLRQICNSFRKLQQRRLSTLMLFGPHDQGFADTFRQELNEVRSLRVLKLEMVYVELDALVRNISTFINLRYLELGCFYWGSRLELPEAICRLYNLQVLDIKKNWGVDTVLPRGMSKLVNLRHFIAKEGLYAKIAGVGNMVALQELKAFDVRDNSDEFNISQLKGLNQIRGSISISSLYSVGHKEAREARLCDKVYLTTLELSWYSLSVASVGLPPTSPILEDLVPPSGLINLRIVGYRHALPSWLSCNVHLTSLRSIHLVNCMFLKTIPHPQQLPALQEMHLINLRLVREIEIGPLKILELRRLQNLRKCTFFDKEQSYGNLQVLEVEDCPMLNEFLSQIFIPSSTHKFLDLRKLHVHNDIIPSPIPLHGLIVIAPLADIDLCCEHYIKGRFRLKPSEISNGISVQIEGDEYIRKLDENLFTLDKFKYMRELEIQGYSTVTHQSPWEGKGFQQLTSLRKFRMIKCPVIFSSHLVLFLPPSIEELEFSSCNITGIQLSQFMLNLPLLNNLKIDNCGWVTSLPGGLFTDEGNWWIPPNCFASLRRLHLSFPRGKEDPGSMMIFSSKHGLGRFVSLEEIVIENCLTLISRMVSGAASHISPSFLVKFTITGVQDSTLHLSRASSIVDLEVSGCPSLSCLNLNSCAALEKVCVQDCPSLRSLEGLQSCTALRDFRVENCELLQCLRASLSSLKTLTIGTNKSLASLELHWCTALQELCIQDCPALESWEGLKYLISLHDLRVITSPGFTRSWVPAAAEIKSEQDFSLPLQKLHTDDIGVLCLPICSLLTSLKTLHIDGGHDVDILTDNHEKGLLLLTSLRVLSLEKFMHLRSLPTALRSLTSLKRLNIGNCGRITSLPVGGLPDSLTDLEIYRCSEELNTVCQEMLRVRKINLCIDGTDKEQLPCALP >Sspon.07G0019510-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:70875437:70876881:1 gene:Sspon.07G0019510-4D transcript:Sspon.07G0019510-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQIKPAEVSLEAGNLAGHSAELDDDGRPRRTGTVLTASAHIVTSVIGSGVLSLPWAVAQLGWAAGPPVLLVFGGATYYTSTLLAECYRAGGGGNGDPAATGGRRNYSYMEAVRAILGGWKVSFCGVIQYANLAAVAVGYTNRRAVWRANCFHARGHADACRSSSVPYMIAFGATQIIEWLSIVASVMSFTYSGIGIGLAVAQTVANGGFRGTLTGVAVGAAGVTVMHKVWSTMQALGNIAFAYSFSNVLIEIQDTIKAPPPSETAVMNKATALSIATTTAFYALCGCMGYAAFGNAAPDNLLTGFGFYEPFWLVDVANAAIVVHLVGAYQVFCQPIYAFVESRAAAAWPESAFISKELRVGPFAPSALRLVWRSAFVCLATVVAMALPFFGSVVGLIGAFTFWPLTVYFPVEMYIKQRAVTRGSTEWICLKALAAVCLVVSVVATAGSIASFVGAFKVFRPFSG >Sspon.05G0018620-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:76628467:76630828:-1 gene:Sspon.05G0018620-2C transcript:Sspon.05G0018620-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCLQVVGNNNDGPKVEMLKDIGMGIIAKCDGLPLAIKVMGGLLCQKRTRRGDWENVLNDSVWSVSQMPKELNNAVYLSYQDLQPNLKPCFLHYSLLPNSTVLFLHDIVGMWISEGFVHGNSCDLEELGKGYYDELISRNLIEPDTNYVDQLACNMHDVVRSFAQYVARDEALVTHNSEIDSTGELNPQKLIRLSIDTEGSKSSELEWSSLKAHKSLRTLISVGHIKIKSGDSLSYFSSLRTLHIQYVEFDALAESLYQLKYLRYLSMQYTQTSRLPENIGKLKFLQHISLSGCENLVKLPDSIVMLQQLRYLNLNGTSISNIPRGFARLTNLRKLYGFPTHVDGDWCSLQELGPLTHLIRLHISGLEKALVSTFATEARLSEKMHLSYLLLSCITCHRDDGHSVKEQKGISEKEHQQIEELFDELCPPSCLEFLQIRGYFGRRLPRWMMSTTVEPLGCLRILMLEDLLCCNEFPDGLCQLPCLEFLQILHAPAIKHIGPHFFQPYLENFGSVFKAQVLESPNLERISNLPQMTDLLISSCPRMKTLDGVPALQRLELQDYAMETLPRYLQDVNPRHMQVQCSLSLLTSIATHKSSHKWDKLSHIKQVKAYAHDYDNEIPRQWYMLYTRDPWNLETNVSSPSYSSGLSRIRATS >Sspon.07G0015450-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:55357747:55358940:-1 gene:Sspon.07G0015450-1A transcript:Sspon.07G0015450-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MELRVLACIHGGQDVPAVINLIETIRGHTQPRRLVKLYILRMVELTEGTSSILMARAARRNGLPFLRPRRAGEPHDQVDVDVAFDTYGQLGHVHVRAMTAVSVLHTMHDDVAAVAEDKRAPLLVLPFHKRQAGHGGGDVENLGPEWRAVNRRILREAPCSVAVLVDRGFGGGEQVSSEQVAHRVCVVFFGGPDDREALLELAGRMAEHPGVQVTVVQSVDGKDGSEEQSEELDEAAVAEFRQRMGSLVRFEERVVVGNVIEEVVSIGKSSEYGLVVVGKGRLPSPMVAQLAVRPAEHPELGPIGDALASSGHGVTSSVLVVQQHDMSNADE >Sspon.01G0020240-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:78375079:78378309:1 gene:Sspon.01G0020240-3C transcript:Sspon.01G0020240-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GQWVEEGMPPSNLQRFLDCTTPTVETHILPKVTGRLPTDAWHHAEMDSVEYFNLADLWEQYYEWSAYGAGATVQLPGGERVVQYYVPYLSGMQLYTNKVLTASRYGGEDNGMDFWSDDEDNEKMSRSWSSTSDESLFNCDVLWSNRKRPGHLYFEFFEVGSPYGRVPLIDKVNELSQGFPGLTSLKSADLSPVSWMSVRKQNGHMDKKSNTVSLPPFGLAAHKIQGSLWTNPVTGDHRKMDVLFGAADSWLKQLGVQHHDFNFFITHPM >Sspon.02G0048490-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:25646116:25655164:1 gene:Sspon.02G0048490-1C transcript:Sspon.02G0048490-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQFFRLFERPDVSTALHHLSHHSRALISFMASAPPAIARRVSPPTATPNPPVHRLPRCPYGHQNMPISTRVKGDKLDKPIQEGWAAQIPRHPVYPLRGLPRSRHRDGSIYRGTERWKERFHFTDRRETRLEPMSLSDPARSRIHGPRTMLQIFSIKLAKVHVDGGLVELYGYIAVRDALDPLLNYVMSFSRDDPIIVEQGSLIKMAGPKRGMELVDTSIIEYDVRIKTGKQEKDDVQLIDGVTIIDDKDTTDRQVFRGRISGKCGIIDITASRLNYAVEATIEVLISEVYSNFYLSLGCFTSGLREETQLFDGVIGKPRDLKRSVVAVRMGTNLDLKFKVGSESFISAEHLCSFMANQYGYVSQEIKTGLALFSVKVTWSTMPPSDLQFSEVLSHFHLLDKHHDLLNEVNQ >Sspon.05G0008950-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:28192797:28194633:-1 gene:Sspon.05G0008950-4D transcript:Sspon.05G0008950-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAATGSVRGIRRRRAAGLPGRRGRVRGLRQVLLRRAITVGAHNVVPLRCAAGRLGMTEAADGRGNLAAKLDAFLASCLLRRWRDALAVLRSAGSSAALAAECEELGITSRCVEAVAMLITDPGGGNAAAAATAASSSSSPWWALDVSDLRVDLFWRVMVAVKAAGTVKGRAVGDALRTYARRWLPTVVKSGYLAVEQTDISTADEGSFDFDVVARNSQLLVEKMVSLLPAERNAVSCSFLLKLLKAANVLGASPASKAELIRRAALQLEDASVSDLLIPSCASETLYDVDAVMAILEELALRQAAAGVPEASPPHARGHRRSRSAESSEFEGARRSTSAAASHGAMVRIGRLVDGFLMEVAKDPNLPLDKLIAIAEAVPDCARPEHDHLYRAVDTYLRVHPEMDKGSRKKLCRVLNCRKLSETASMHAAQNELLPLRVVVQVLFFENARAAAALSGPGANNGRVAGVAGGVRALLAKTRREADGEEAKNEQRLRRGLATAPGPDDNDWSVEGLKRAASRISTLRIKLEEDEDADDEAFVLHRARAGLVRSASARVRALCDCAIPAGKSKRMLSRLWPSSSRRA >Sspon.01G0048220-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:107565758:107566687:-1 gene:Sspon.01G0048220-1B transcript:Sspon.01G0048220-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPAGANSGASSSRGTPLPLPFPFPAHFPSRGAGPFLSQHHHPPATSEGDDEVEEDEGSMDDDSAEEDDAELSDGGARGSPQRRAFSGPGMGRTEMNGEIGVRSIQEGQQWHQFGLASPGGDDPGTIPRDMRAENGYGVVGRREGGPASGYWDLLRAHLSDPLTWVPEHDCCFLDNLVICSRDSMPLSLS >Sspon.04G0007290-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:20456737:20460209:-1 gene:Sspon.04G0007290-3C transcript:Sspon.04G0007290-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVRLAFLVFFLLAAAEFAAATRSPSAFVQNAIYSNRITIFSKTYCPYSKCTFEWTAGETSWEESVTMMDRRTSLDCQEYVSICMGGVFFSV >Sspon.07G0036920-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:46237402:46238150:1 gene:Sspon.07G0036920-1D transcript:Sspon.07G0036920-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVVCTDLSNGLPNPKGCSQFFVPNAVLGECGGTISGEDEHGRMRIRFSAHRVPVVLLKSPTATGEVGQAQLLPAPRSRNE >Sspon.08G0011890-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:48330397:48334788:-1 gene:Sspon.08G0011890-3C transcript:Sspon.08G0011890-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPVDSEAAAAEKARRKKDKREKRKKHKEAKEDDCAATAEEEAPKEKKQKQKQRKGGDEGDGQEKKKLKPTVSIAVAGSIIDNAQSLELATLHEALQRASLLSQLAGQIARAATVFRIDEIVVFDSTPAAESGGAGDGEESGARFLVRILEYLETPQYLRRRLFPMHKNLKFVGLLPPLDAPHHVRKHEWSEFREGVTLESDPSKGTLVDVGLSKNVLVEQTLEPGKRVTVAMGTNRDLTTACIRKVVPPSTPREQMGSYWGYKVRYTSNLSGVFKNSPFKEEYDHIIGTSEHGQIVNSSELTLPTFRHLLIAFGGLAGLEESIEEDTNLKGKRADDVFTSYLNTCPNQGSRTIRTEGFRVAIVHKTRRFSALDTCSYASVTTP >Sspon.08G0024610-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8C:41748:44321:1 gene:Sspon.08G0024610-1C transcript:Sspon.08G0024610-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAGRNAHRNGKTLCLVVKLVNYPATSKPIDVSSAGAAKDPRISGGDGSPLHGCLGNDIVLPPSILFSAEAASLWPVSSIVAPRSTGARRGRARPMRPVTQSRQSTTRFKNTRQAQLVRGRLDGVPRPHPASDSYEAPSTGGLDRAPTRTGLDRPRERSDSREPGSRRSTPFLYLPPDKSLSI >Sspon.06G0003280-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:8811239:8815661:1 gene:Sspon.06G0003280-3C transcript:Sspon.06G0003280-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSGDPPGSADEKKAPKSEGSSDERQGVPPAGFSNPFDFASMQSLLNMQDPSIKEMADQIAKDPAFNRMAEQLQKSAHSTGEQGMPPLDPQQYMETMQKVMENPQFMTMAERLGNALMQDPAMSSMLETFSSPSHKEQLEERMSRIKEDPAMKSILDELENGGPSAMMKYWNDPDTLQKIGEAMGASFPFGAGSSAEPSGPEETEEEGGDDDESIVHHTASVGDEEGLKKALDGGADKDEEDSEGRRALHFACGYGELKCAQILLEAGAAVDALDKNKNTPLHYAAGYGRKECVDLLLKHGAAVTLQNLDGKTPIDVAKLNNQDEVLKLLEKDAFL >Sspon.04G0017280-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:71588028:71592340:-1 gene:Sspon.04G0017280-3D transcript:Sspon.04G0017280-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Choline-phosphate cytidylyltransferase 1 [Source:Projected from Arabidopsis thaliana (AT2G32260) UniProtKB/Swiss-Prot;Acc:Q9ZV56] MADDAKAEAARARPESSQEEEEDWKEAEGDVAEVDRAVTNGTGEGFVPTDRPIRVYADGIYDLFHFGHAKSLEQAKKLFPNTYLLVGCCNDELTHKFKGRTVMTEDERYESLRHCKWVDEVIPDAPWVVTVEFLDKHNIDFVAHDSLPYADASGAGKDVYEHVKKLGKFKETQRTDGISTSDIIMRIVKDYNEYVMRNLARGYTRKDLGEKRLRVNMGLKNLRDKVKQHQEKVGEKWNTVAKLQEEWVENADRWVAGFLEKFEEGCHSMGTAIKERIQERLIKAQSSDFSSLLQYDSYDSDEANRDDEDEVFEDVKE >Sspon.01G0007890-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:16506755:16510953:1 gene:Sspon.01G0007890-3C transcript:Sspon.01G0007890-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVQEYLEKHLLSRKIEEAVNAAVRAKAPDPVLFIAGHMRRAAPAVITRVRARQILDGHGAPAVEVELHTNKAVHRASAAGAGALEGAAADAAGGSERRKILARAVADAVRVINDKVSEALVGMDPQQQAQIDQAIMDLDKARHKAELGANAMLAVSIAACKAGAAEKEAPLYKHIADLVGKSATTLPVPAITVINGGKHAGNGLPIQEIMILPVGAKNFEEAMQMGSETYHHLKDIILEKCGSDSCNIGDHGGISEGLDLVIAAIERAGYNGRIKLAIDVAATDFCVAHGMKCVQVAGDDLLMSDPERIKRAVSEYTCNALVLKASIKSSILAITFLYMQANQVGTVTEAIEVVKQAKDAHWGVMVSHRSGDTEDSFIADLAVGAAAGQIKAGAPCRGECLTKYNQLLRIEEELGSEGVYAGENWRTVSTS >Sspon.06G0006950-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:26261122:26265184:-1 gene:Sspon.06G0006950-1T transcript:Sspon.06G0006950-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIIIIISLVILLALGALFVIPKSQNKDKSKGTNLGAGMTSRNFTKEEISKHNTRKDCWIIIKDKVYDVTPYVEEHPGGDAILNNAGGDSTEGFFGPQHGTRVFDIIEDFCIGQLKAS >Sspon.02G0044500-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2B:101828879:101829223:1 gene:Sspon.02G0044500-1B transcript:Sspon.02G0044500-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRFVPSEFGLDPSKMGHALEPGRVTFDEKMDLRWAIEDANIPHTYVSANCFAGYFCPNLCQMRTLLPPKEKVHVYGDGNVKVFV >Sspon.05G0024770-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:25804421:25805071:-1 gene:Sspon.05G0024770-2D transcript:Sspon.05G0024770-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRTGSAAILFCCICVVALSSSMAGVGSAAPEETPKFISMGALECSDNITKTTPARKLGAVEGNGVCPVRFDHTRGISAVAGSCRDRPVPSAERCCGALKTFACPYSELINDNDHNGCASDMFYQIIVRGRLRPGLFSQLCVEGPLGLQC >Sspon.04G0007040-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:20189724:20191358:1 gene:Sspon.04G0007040-1A transcript:Sspon.04G0007040-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIAAAVTAPTSAPVHVAPRHATPAVVQPRAAPRREPSPLNPNTPAQALRSASPCRGSSAAAATDGGGARAHIANLDKVLRKPPQVPRPAATASNKQGQDGEQEPLNVRHGLLNALNLSFFVPMPGMRARTAADEHMSPRSLMHMQQLLSADSPRASPRCTIAPRWRSLHGEGGWEGLLDPLDSDLRRELLRYGDFVQAAYQAFHSLPTASARHRGLMLPDRSYRPTRSLFATSALSMPPWAKRPNTPEWLTQQSSWVGYVAVCESEREVTRMGRRDIAIVLRGTATCLEWAENLRASLVPLDGDSSDGADTAGAEEPKVARGFLSLYKTAGEKVKSLSEEVMDEVRRLMEKYKGEELSITIVGHSLGAALALLVADEVATSIPDAPPVAVVSFGGPKVGNAAFVDRLTSSGKVNVLRIVNAGDVVTKVPGVAPRLPHKKEQYQHVGAELRIDNKNSPCLRPDAGPACRHDLEAYLHLIDGFTGTGRPFRHDARRSVIRLLQLQRGNVKKEYVNRARELGVDPAAPADVGRSMAYGNCAIASPS >Sspon.06G0004570-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:14412272:14417133:-1 gene:Sspon.06G0004570-1A transcript:Sspon.06G0004570-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRSLFQLTWSKPLNMSWAAIENDPELLQQMQLKGLQVDELYSLDLDALNDLQYVILHLALMPIYGLIVLYKWRPPEKDERPVIKDAIPNLFFANQIINNACATQAILSVLLNSPGITLSDELKKLKEFAKDLPPELKGLAIVNCASVRMLNNSFARSEVSEEQKPPSKDDDVYHFISYVPVDGVLYELDGLKEGPISLGKCPGGVGDAGWLRLAQPVIKEHIDMFSQNEIRFSVMAILKNRKEMYTAELKDLQRKRESLLQQMGDPSAIRHVPSVEQSLAEVAAQIESVTEKIIMEEEKSKKWKTENLRRKHNYVPFLFNFLKILEEKQQLKPLIEKAKAKQKSHGPSAR >Sspon.01G0025100-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:88977766:88978612:-1 gene:Sspon.01G0025100-1A transcript:Sspon.01G0025100-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:dUTP-PYROPHOSPHATASE-LIKE 1 [Source:Projected from Arabidopsis thaliana (AT3G46940) UniProtKB/TrEMBL;Acc:A0A1I9LM85] MAGKFGAIRSRAVACLFSPHPRNLFLHSTQTPSRFLPFTRRLHPRSLSTLAMAASNGAAAAATDSVQEPPQKISKISPLLKVKKLSDKAVLPSRGSALAAGYDLSSAVEIVVPARGKALVPTDLSVAIPHGTYARIGERHNPPFVSRFLFGCSARGCLTKCLAGSGRVAAPRSGLALKHSIDVGAGVIDADYRGPVGVILFNHSDADFAVKPGDRIAQMIIEVIATPEVAEVEDLDATVRGEGGFGSTGV >Sspon.06G0013670-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:50734932:50738410:1 gene:Sspon.06G0013670-3D transcript:Sspon.06G0013670-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAAAALRPHKASPARVPTRCVAALCAACFLLGVCVVNRYWAVPEHPGCPDKAGSDRSRSAWNQVSQTREVIMALDKTISDIEMRLAAARAAQAMSQGMSPGDSEGDQGTARHRMSFVMGLRRLEEKGVVIRFVIGRSANPNPDNEVDRAIDAEDKEYNDILRINHVEGYGGLPMKIQMFLSTALTTWDADFYVKADDNVHVNIGITRSILARHRAKPRVYIGCMKSGPVIAKNDSKYYEPDHWKFGTEGNNYFRHATQQLYAVTRDLATYISANRHILHKYSNEDVSFGSWLIGLEVEHVDERSLCCGTPPDCEWKAQAGNPCAASFDWNCTGICNPVERMTEVHRRCWEGLGAEGHAQF >Sspon.04G0037430-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4D:71546293:71546679:-1 gene:Sspon.04G0037430-1D transcript:Sspon.04G0037430-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAATGAVRVERVRGRSALTRCFARYPLKLIAPSKVGPSSCDAVWLYALTYGGGIVSVSPEDLPPLSVLSILARRAVAGYSRFGGGCGSVTGSWCRARARPCRGTPYRARSASATGARRRLPRRPRPR >Sspon.01G0003640-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:8071616:8079531:1 gene:Sspon.01G0003640-2B transcript:Sspon.01G0003640-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSQLNASVSPSLPPSPSSLRRALPSATPRALRATEPEHIALPGSRFLALPWRFRAPPHRHGRGAGARCATNLPAPGSQGVGVLASERRPDDGDTTTVKNAEAPSTSMATASSLRFPIQGNCNMAAIVSIAFCFLHRIVSGRMQLMMNLFPWMSNGITSLPFACISDPTRKPMPLKLDLTLPPLPNFGGSFSRLYYLFNSQLDRNIALKQQQSLEDCFWEAWACLCSSSTHLRQKTRVERVIGKQKILLLSDLPRKQIDKLADSVAKDLNHIDVFTKSASLSMSKSFERAAAHKAKSIIILPAKHE >Sspon.01G0027460-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1A:96224977:96225984:-1 gene:Sspon.01G0027460-1A transcript:Sspon.01G0027460-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNDAPPPIHSAKDALDALAGILGGALPSSVAAADDPAAALLSDPDVASAVTGRLRGSGSGAGNDTLCRWLYDAFRSGVPELQLAVLRFVPTLAGVYLCRAVSRKPLAGFEAVLLALYAHAAAQRGAGEAETVSLPNLANPSPYHDAKVPPKAKPVDLDVAVLSPPLEPHGTVRATRRARIVGAVLQLYHGKLAHMPLSSKMDLCEFCVAWAGTHSKLDGADKQRLPPALDGGAEKWRRVPLPWELFQPALQIVAHCLLGPTGSDELKAQAARAAECLYWRATEKVDARAVLATRSLVRLSQMVEEPIPEPSFSGAIENMAELEAMRANILNSKN >Sspon.01G0003270-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:8948804:8952027:-1 gene:Sspon.01G0003270-1A transcript:Sspon.01G0003270-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASWDNLGELSNIAQLTGLDAVKLISLIVRAASTARLHKRNCRRFAQHLKLIGGLLEQLRVSELRKYPETREPLEQLEDALRRGYLLVNSCQDRSYLYLLAMGWNIVYQFRKAQSEIDNYLRLVPLITLVDNARIRDRLEYIERDQCEYSFDEEDKKVQDALLNPDPCTNPTIVLKKTLSCSYPNLPFNEALRKESEKLQVELQRSQSNMDLGSCEVIQHLLGVTKTVESTIPEEETNAKASEKKGSNYSESKGIVQNRLTMMTTILRSKRIPAAHNDVLHKFHMAMILSQVEDRTVMSGMQIYLAAVQNRLCVCLKTLFFPCGTFSRISSVAKDRPMSSGEACNDIMAYSLILSCCCYTCCVRRKLRQKLDIAGGCCDDFLSHLLCCCCALVQEWREVEIRGAYSEKTKVTPPPCQYMEH >Sspon.01G0013080-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:93140367:93144569:-1 gene:Sspon.01G0013080-1P transcript:Sspon.01G0013080-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALLPETAPRLLTPETLRMAAKQSQGIHLVPLSLRRAIKRYLRDQDKAHMNRKVLLLSASFDRAKGTGAELAAAATRGALLDDPNAPSGAEQRAARWKVRSAYGDTGLRYREDETVAYVASRMPAIYSACHRVLREVRRRLPEFAPAKVLDFGAGPSSALWAMRAVWPKSIERVNLVEPSKEMQRAGQSLLDNLKGLPLIHSYDSIQELNRKIEKHERGHDLVVSSYALGEIPSLSDRITIVRQLWDLTSDVLVLLEPGTPQGAKIISQMRSYILWMEKRKCRKIEKSSSRPPSSMKSIVAQEASLKNGSFVVAPRLERTSSQRAYKRSKGVPLRGFEDEKFCYVALRRGKRPEEAWPLDGLNFETLKERHAKRKPEDLIIDYDDQFPSEEDEEVPVDGGDSLVPYASDEHELSLFHDSEEAEEDQTIRADLGGGWGRIIYSPIRRGRQVQMDVCRSTKRDASEGTFERVVVTRSKNPTLHFQARSLMKLTL >Sspon.08G0006010-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:19064909:19066000:-1 gene:Sspon.08G0006010-1A transcript:Sspon.08G0006010-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQALLSGRQLLGRPAQSAVSRSSARKAPFVVRASSSPPAKQGADRQLWFASKQSLSYLDGTYAARRQWVRPTGALGPGGHRRLHRAQVAGLRRGDQRALRHAGRGGRHRPEVFGKLGIIPPETALPWFKTGVIPPAGTYNYWADSYTLFVFNMALMGFAEHRRLQDWYNPGSMGKQYFLGLEKFLAGSGDPSYPGGPLFNPLGFGKTEKEMNELKLKEIKNGRLAMLAILGYFIQALVTGVGPFQNLLDHLADPVNNNVLTSLKFH >Sspon.01G0030590-3D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:77743797:77745035:1 gene:Sspon.01G0030590-3D transcript:Sspon.01G0030590-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VANEASLPLLCPIVASTRHDQRRLAAPPTHHHLSSCQPVAGVLGSGEEANPNSGEGAVTNDQQCGLHVQATRCALEFRKEITSMLLSPPLDAMIPPGPLALFNFNPHSPTATGRLVLFFTNSSVSPRSPPNSSPSSTCESLPPPPPSTRAALGIPLSLYGLVDLLAERYGWPYVLSRSPPLVF >Sspon.07G0003440-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:8966531:8969674:-1 gene:Sspon.07G0003440-1P transcript:Sspon.07G0003440-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFELAYCAVEMMHASASCYDFDRFSMPEPRWVISMGNCANGGGYYHYSYSVVRGCDRIVPVDIYVPGCPPTAEALLYGVLQLQKKINRRKDFLHWWT >Sspon.04G0004670-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:13772085:13780822:-1 gene:Sspon.04G0004670-1A transcript:Sspon.04G0004670-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGRYMAYSPSPSTTPHSPRIAGLRTPSAAVAEQEKYLAELLAERHKLGPFIPVIPHSVRLLNQEILRVSTLLENASLLNQSGLEHGSPLTTGGLYSNGAATDMNGWTSAFQSESSPAYSWLGGSQGSSSGLIVKKTMKVDIPVDKYPTYNFVGRILGPRGNSLKRVEANTDCRVLIRGRGSIKDPAREEMMRGKPGYEHLNEPLHILVEAELPVEIIDARLMQAREILEDLLKPVDESQDFFKKQQLRELAMLNGTLREDGMQRSGSASPFHNSLGMKRAKTRG >Sspon.04G0027390-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:58694561:58700473:-1 gene:Sspon.04G0027390-1B transcript:Sspon.04G0027390-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADDHYSSKRKYDDPSPPPRRTGFSSGPPPASPPAGGAPSYNSVPPPPDEIQLAKQRAQEIAARIFNAAEAKRPRVDNGDDDVGSFGGGGSLGGSGGGGRIGGGGLGFSSSAGGGHGASIPPLSSQSSAPQYSSYGGYQGTSKKIEIPNGRVGVIIGKAGETIRYIQLQSGAKIQVTRDHEAEPGAPTRSVELSGNPDQISKAEQLIKEVLAEADAGSSGGGSGRKYNAPQPGGETFQMKIANNKVGLVIGKGGETIKSMQLKSGARIQVIPLHLPAGDTSTERTVHIDGTPEQIESAKQLVIEVTSEVRLLSLIVCIHDIWNCFALLCLSAFFRLLLNRARNPMSGGYSQQGYQPPRPQSNWGPPSVPPQQPGYGYMQPGAYPGAPPQYGAPQQPYGSYPPTSGGYQTGWDQSQNQQSHTTPPGTGYDYYSQQQQPQQQQSAPGTAASTDATSYNYGQPSTYASQGYDSTYTQQSGGQQAYGHDGYSGYQTQGQQQGYSQQTGYDQQGYGASAYGSAANSTQDGSAPSYGGPGGSSQASPGQQTSTPAAGSHPGYASQPPTSAAASYPTQGSAPSGYGAPPPVSGYGTQPPQQGGYGQGTYGQPSPQGQKPPASSPYGQAPPPGSVQGGYGQYGYSQPAYGAPPAYPGAPPASHPGYGQQQSYGDAYGSGSYGQPPAYSTEATAPAASQDHSAAPAAAPGTTAAPAPDNSGGAQTSAET >Sspon.02G0006710-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:20148142:20149298:-1 gene:Sspon.02G0006710-1A transcript:Sspon.02G0006710-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLEQRKEESGGDHAHAVAEEAVRGTGKGKSEAAPGRRKVTYGFHLVEGRMPHGMEDRHVAEFRQLDDGNEVGLFAVFDGHSGADVATYLREHLFDNILNQPGFDFWTEPMEAIRRAYHRTDRKVLKKTKADDDDGEGKGSRWRGGSTAVTAILMNGEELVVANVGDSRAVLCDAGGTARQLSVDHEPLRERRAIEARGGFVTEIHGDVPRVDAQLAMARAFGDRSLKEHISADPDVAIEDVGDGAELLVLASDGLWKVMSNQEAVDEARAVEDAREAAVRLVDEAVRRGSRDDISCIV >Sspon.05G0002510-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:7623088:7627728:-1 gene:Sspon.05G0002510-1A transcript:Sspon.05G0002510-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPDLLFNLRNLFYLGAYQAAINNSDVPGLDADAAAERDAIVFRSYIALGSYQLVISEIDSSAATSLQAVKLLALYLTGDKEGAISSLKEWLSDSAIGSNPVLRLIAGIIFMHEQDYNEALKHTHSGGTLDLHALNVQIFIKMHRSDYAEKQLKIMQQIDEDHTLTQLANAWLGIAVGGSKIREAYLIFQDFAEKYPMTGMVLNGKAVCCMHMGSFEEAETLLLEALNKDAKDPETLANLIVCNLHLGKPSSRFLSQLKLSHPDHVLVKNAASSEATFERALQAVA >Sspon.08G0024080-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8B:61624129:61624689:1 gene:Sspon.08G0024080-1B transcript:Sspon.08G0024080-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding TGSDHAKHAPLVPYPDLTGRSATRRTIPVPPTDHAEFPTRLQPQADPSTFPPPILHPQIRKSSGRDERRTWEPLGITARRRRRRRVRGTFAPPPPALMGWGRNGWVGQHRRARGVEEEEDDDEEVGEHGLERWVGSRIFLPPSRPRLNLSAPVRPRSNVARVLRPGPCTTPVSQNRAPPPVLASFS >Sspon.02G0028760-1T-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:115860786:115861263:-1 gene:Sspon.02G0028760-1T transcript:Sspon.02G0028760-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATQQSRSRSSAAAAKAAACSEVVDEEHGRCRQGGGAQEEAENKPELRRGPWTVDEDLTLVNYIADNGEGRWNNLARAAGLKRTGKSCRLRWLNYLRPDVKRGNFSADEQLLILDLHTRWGN >Sspon.02G0023210-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:78742693:78743289:1 gene:Sspon.02G0023210-1A transcript:Sspon.02G0023210-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding NSMLYLLLSGNQIAEVDLEGKTRRIIPAPYSVGRWVRVCRPFFIGQSQGCLYCINEESNRVYKRDSNDDSNLLSIWVLQDYDTQKWVLKHSVSCLRLFGSIHYRADLLGYNVVAIHPDKNNLVFIVLYREHKLISYGLNSKEVHTVGTFKQSLRFPHYVPCFLDFLSVAHHEEKLGVDQRDKCEGRRQG >Sspon.07G0010380-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7A:31994008:31994397:1 gene:Sspon.07G0010380-1A transcript:Sspon.07G0010380-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDKEDRTRMLPKSPSQKTLLAAMEEERATMANRRFLSKDASSVSIPSFRVYYGVASAGSVPFMWESAPGTPKNAISSTTLPPLTPPPSYYNSKGAAAKTKFTKSESSKRLLSSKPASFVQSILPKLRRS >Sspon.02G0051510-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:79650710:79671058:1 gene:Sspon.02G0051510-1C transcript:Sspon.02G0051510-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPACSLLSPHAPRLILPLLLPLRLSSSAVSAPPLAMPRRDGTKPPPRKWKPEATDVSYSSSSSAAAEITEPVRKMTLASQAPPEGAGPGPGPGPAQLWVPRGYTTSAGDGPGVVSASTSTSARVTEERDGVATEKLSRLFKAAPGFEVDNSTFTEAQIRATFYPKFENEKSDQETRTRMIEMVSHGLANLEVTLKHSGSLFMYAGHHGGAYAKNSFGNVYTAVGVFVLGRLFREAWGKEAPKMQAEFNDFLEKNRVSISMELVTAVLGDHGQRPKDDYAVVTAVTELGNGKPKFYSTPEVIAFCRKWRLPTNHVWLFSTRKSATSFFAAYDALCEEGTATPVCKALDEIADIAVPGSKDHVKVQGEILEGLVARIVPRQSSTQMEEVLKTFPQAPLDGGDSDLGPSLREICAANRSDEKQQIKALLENVGASMCPDHSDWFGNGGLDAQSRNVDKSVVTKFLQAHPADYATKKLQEMIRLMKQRHFSAAFKCYWNYHKIDSLSNDSLYYKMVIHVHNDSVFRRYQQEMRKNQGLWPLYRGFFVDVNLFKANNKKAAELAKDGDALLKNINGALDSNSSTVDGLADEDSNLMVKLKFLTYKLRTFLIRNGLSTLFKDGPSAYRAYYLRQMKNWGTSANKQRELSKMAVYIRRKYGNKPLSSSTYLSEAEPFLEQYAKRSPANQALIGAAGNLVQTENFLAVLDAERDEEGDLRADHGAAPSSPVSTSVDVVPKTEGLIVFFPGIPGCAKSALCKEILNTPGGLGDDRPLHSLMGDLIKGRYWQKVADERRKKPARITLADKNAPNEEIEDMCGSTKAAAVPVVPDSEGTDSNPFSLEALAVFMFRVLQRVNHPGNLDKASPNAGYVLLMFYHLYDGKSRREFENELYERFVSLVKMPLLKPDRAPLPGAVKAILDEGISLFRMHQSRHGRAEPSKGSYAKEWAQWEQRLRVTLFGNADYLNSIQVPFDYAVKEVLEQLKTVAKDDLKTPDTGKRKFGNIMFAAVRLTPPDILGLLHKVAEKDKAVNSFLNKIRLEDNLKKAHVTLAHKRGHGVAAVASYGIYQHQEVPVSFNALYYTDKMAALEAQLGAVNDEQINSRNEWPHATLWTAPGVAAKEANVLPQLASEGKAERVPIDPPITISGVVDFY >Sspon.03G0012200-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:33806664:33811760:1 gene:Sspon.03G0012200-1P transcript:Sspon.03G0012200-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAPKQRWTPEEEAALKAGVAKHGPGKWRTILRDSDFSELLRLRSNVDLKDKWRNLSVTAGGYGSREKARMALKKGRRVVPKLTAEPMDVDGKDMDIAHDAIIEAEPLAMALEPLAIEESPDKSVARLDDLILEAIRKLNEPSGSNKAAIAAYIEDQYWPPADFQRLLSTKLKSLVNSGKLIKVNQKFRIAPSSPPSGGISTKVSSAKGMDTENNNAKRLTKPQVVAELEKMKGMTKEEAAAFAAKAVAEAEVAIAEAEEAARVAEAAENDAEAAKAFLDAVTLSMRSRNAASMMLRAC >Sspon.06G0004960-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:16350782:16352254:1 gene:Sspon.06G0004960-1A transcript:Sspon.06G0004960-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MIYEHDVLVMNRGAHPKPPMESLPILKVILPLKKHHQMKVGDFGILVRSGFTALSLGKDPGHSSLIEGFTAGGFIYIAVAGVLPQMNDQKTTLKSSVAQLISLAMGMLVALGISLVE >Sspon.05G0021970-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:4843201:4847280:1 gene:Sspon.05G0021970-2C transcript:Sspon.05G0021970-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYLSSVIGHPTDGSSVSGGGLSQNGKFSYGYASSPGKRASMEDFYETKIDCVDGQIIGLFGVFDGHGGAKVAEYVKENLFNNLVSHPKFISDTKVAIDDAYKSTDSEFLESDSSQNQCGSTASTAVLVGDRLFVANVGDSRAIICREGNAIAVSKDHKPDQTDERQRIEEAGGFVMWAGTWRVGGVLAVSRAFGDKLLKQYVVVDPEIREEVVDDTLEFLILASDGLWDVVSNEEAVAMTRSIQDPEEAAKKLLQEAYKRESSDNITCVVVRFLRGQARLAQSTSDMVSFERPPSTTRQSKSWSSSEADAGSGGGPDPLMAPMTHLMVRQSSRRYGDASNVWCFMKRPVPLPVPLPNVTIFGSLEASGAGAAPVGSTRLKP >Sspon.05G0026320-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:33323753:33325077:-1 gene:Sspon.05G0026320-1B transcript:Sspon.05G0026320-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAPGYNQTATGSSESKGKGMSNRSKWLIVSLATGLAIAAIILALIIWYRRKRRPAQRGHVIDVMPEETTHDQGEKHLSWEEGEEDDGERTSCQFYSYQVLELRLLHAASQGMLENGKEVAVKRLRDSKRTIQEHEREISIVADLHHKNLVRFLGYCFQEEGRFLIYEYVPNNSLDKFWYKASFHSEKLEWATWFNIILGVARGLRFLHNKGIIHRDLKPHNVLLDDNFNPKIADFDLMRMYDKQKTHESTEKIAGTL >Sspon.01G0013790-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:38007050:38008203:1 gene:Sspon.01G0013790-1A transcript:Sspon.01G0013790-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRFTITMRLTVAESAGTTTTAPPSSRVGELLDEQRPPSWRVRELLDEQRPRKMMDNMALIIIDQTYSALLEILGPEAPPSGDGLVQISRPVDPADPESPMISVNASATHCCISLIDNVYGGGGGGGGSDPDYRKATSPKKSTSQYRLARATITASPGTLHLARVDGGGPGGYWACADVRPDVANKGLLGVLETIKARLDAAIRLDDTLLRMAKSSGCRSPKIREVVEVREALEKMRAAVDLDAIMRRRCRRLLIQEEIACRRPDVDQACDADVLAKRLSTLHVGQKRGCPVQDTSCRLPEPDDAEVLTKKLKALHRPIDAVSQPQMSHGRASFLQSQMSHGLDGGNPERIGPSGSRTTRNRR >Sspon.06G0004350-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:13833450:13834819:-1 gene:Sspon.06G0004350-1A transcript:Sspon.06G0004350-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DMASPVAFGTAVFQRGPHPVDVKHVDHSQVPSVPKPLMVVTPTDAGDYPVAVFLHGCSMFNSWYESLLSHVASHGFIAVAPQLGGILPPLNMKDLKDIDATRQVTSWLADKQQGLAHVLTNILHLHGVRPDLSRLALAGHSRGGDTAFAVALGLGSSSDTATTTATATSPASPLKFAALIGVDPVAGLSKALQLEPKVLTFKPRSLDPGMPALVIGTGLGPKHVGLSPCAPAGVNHAEFYDECAPPRYHVVVRDYGHLDMLDDDGVPYIINNCMCMRNTKDTKDLARRAIGGAMVAFLRAMLEDHDEDLRAVLENSPGLSPAVLDPVEYDLA >Sspon.02G0017020-1P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2C:51504035:51504463:1 gene:Sspon.02G0017020-1P transcript:Sspon.02G0017020-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MERFTEEQDEALQLLTSLQHLKFNDCEKLQSLPAGLHRLTSLKTLEIEYCPSIRLRLLPKDGLPNSLQNLTISNCSAIRSLPEDGLPNSLQELHISYCPSIQALPKAGLPTSLKLIDVSYGNSEDLTRQCRKLIGSIPIVRA >Sspon.01G0032560-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:113016456:113018276:1 gene:Sspon.01G0032560-2D transcript:Sspon.01G0032560-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding EVGLNPGEELDFGGNQEDDDDAGDISPGSKELAAMVEAAAAAETVELDDGAGVEATAAATAAASSSLSLAAQYGDDRTPRDGMVFKSYEEVLNFYKRYALRTGFGVCVKKSSFTKAGLCRRLVLVCNKWGTGKEDACYQARPTAKTNCQATVVARLWVDNLLHLTDVNLEHNHALNPSAARFLRCYKTLPSGLSKDLVVRAARGECSAAGDIDVPIFDEWGISKYQMEEQLSRLYTLNMFMKFQDELKATMHCQVQLDGSSSSFIVIDLTEAGSEMLNKKYEVVHCMATNRMECNCGLFQFSGIVCRHALSVLKWQQVYDIPPCYVL >Sspon.08G0000830-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:724353:728813:1 gene:Sspon.08G0000830-4D transcript:Sspon.08G0000830-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPYKHRPSSGSNSSFWTTNSGAPVWNNNSALTVGQRGPILLEDYHLIEKLAQFDRERIPERVVHARGASAKGFFEVTHDVSHLTCADFLRAPGVQTPVIVRFSTVVHERGSPETLRDPRGFAVKFYTREGNFDLVGNNMPVFFIRDGMKFPDMVHAFKPNPKTNLQENWRIVDFFSHHPESLHMFTFLFDDVGIPLNYRHMEGFGVNTYTLINRDGKPHLVKFHWKPTCGVKCLLDDQAVTVGGTCHSHATKDLYDSIAAGNYPEWKLYIQTIDLEHEDKFDFDPLDVTKTWPEDIIPLQPVGRMVLNKNIDNFFAENEQIAFCPAIIVPGIHYSDDKLLQTRIFSYADTQRHRLGPNYLMLPVNAPKCAHHNNHHEGFMNFMHRDEEVNYFPSRFDPARHAEKVPIPTRVLTGCREKCIIQKENNFKQAGERYRSFDPARQDRFIQRWVDALSDPRVTHEHHTIWMSYWSQCDASLGQKLASRLNLKPNM >Sspon.04G0017060-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:62106078:62107729:-1 gene:Sspon.04G0017060-1A transcript:Sspon.04G0017060-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALQVGRYWGVGGRRCGACGASPAAVHCRTCPGGGAYLCAGCDAGHARAGHHRVWVCEVCERAPAAVTCRADAAALCAACDADIHDANPLARRHERVPVQPIGAAAPAAEALLFGAAAEEKDDDDAAAAKVVVDAGKLDFLFADVMDPFFGQDFAGGRFPHADIVVPNNGSSGGAVDLDFGGGVAAAAKPSYSSYTAASLGHSGSSSEVGLVPDVMCGRGGSVTSGVSSLDVGAVPERSDGVMASRVATTPAAAAESREARLMRYREKRKNRRFEKTIRYASRKAYAESRPRIKGRFAKRADDNDADADTDFDTAAAAPAPARSQQQQPSYPYVLDFAAGYGVVPAF >Sspon.07G0009760-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:26618130:26654591:1 gene:Sspon.07G0009760-2B transcript:Sspon.07G0009760-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase TOR [Source:Projected from Arabidopsis thaliana (AT1G50030) UniProtKB/TrEMBL;Acc:A0A178WN52] DVIAAALRKHVEEEARDLSGEAFLRFMDQLYEQISSLLQSNDVAENLLALRAIDALIDMPFGEGASKVSKFASFLRNVFEVKRDPEILVPASAVLGHLAKAGGAMTADEVERQIKTALGWLTGDRVEYRRFAAVLILKEMAENASTVFNVHVPEFVDAIWVALRDPKQAVREKAVEALRACLHVIEKRETRWRVQWYYRMCEAAQVGLGRNASVHSIHGSLLAVGELLRNTGEFMMSRYREVADIVLDYLKHRDQLVRRSITSLLPRIAHFLRDRFVTNYLKICMDHILFVLRTPDERASGFVALGEMAGALGVELVPYLPAITSHLQDAIAPRRGRPSLEAISCVGSFAKAMGPAMEPHIRSGLLDAMFFAGLSDKLVDALESISTSIPSLLPTVQERLLDCISQALPKSSIRPGASVGRASRSNSLQQFVDSNSPVLVQLALWTLANFNFKGHELLEFARESVILYLEDEDSSTRKAASLCCCKLVAHSLSASSTSQFGSNRTNRIGGAKRRRLVEEIVEKLLIAAVADSDVGVRSSVFKALYRNPAFDDFLAQADILTSIFVALNDEEYDVRELAISVAGRLSEKNPAYVLPALRRYLIQLLTYLDQSMDSKCREESARLLGCLIRSCARLILPYIAPVHKALVTRLCEGTGPNANNALAAGVLATVGELAKVGGFAMRQYLPELMPVVVDALLDGGAVSKREVAVSTLGQIIQSTGYVIAPYNEYPPLLGLLLKLLNGELEWSTRLEVLKVLGIMGALDPHAHKRNQHNLPGQHREVLRPTIETAQHIVSMEELPTDFWPSFSASEDYYSTVAISSLMRILQDPSLSSYHQMVVGSLIFIFKVLPELFRAVRMCEDGGLKEFITWKLGTLISIVRQHIRKYLQDILSLISELWTSSFSLAAPNRTIQGPQGSPVLHLVEQLCLALNDEFRINNDDLRKDAAEALCCLAHALGEDFTIFYRKWDEIENRLLRRELLITENLSVQKYKQCPPDVISDPLDDFDGTPEIADETQRQARSHQVNDVRLRSAGEASQRSTREDWAEWMRHFSIALLKESPSPALRTCARLAQLQPSVGRELFAAGFASCWAQMSESAQEQLVRSLKTAFSSQNIPPEILATLLNLAEFMEHDEKPLAIDTRLLGALAEKCRAFAKALHYKEMEFEAVCTKKMGANPVTAANAAWHMGEWDHMAEYVSRLDDGDENKLRMLGNTTASGDGSSNGAFFRAVLSVRSKKYDEARIFVERARRCLATELAALVLESYERAYNNMVRVQQLSELEEVIDYCTLPVESPIADGRRELIRNMWNERIKGTKRNVEVWQALLAVRELVLPPNEDRDTWIKFAKLCWKNGRISQARSTLVKLLQFDPESSPELTLYHAHPQVALAYLKYQYAVGDELKRRDAFSRLQELSVQLATTMGNFPGTSANHGTMSNAGVPLIARVYLTLGSWKKALSPALDDDSIQEILISYNNATLSAKDWGKAWHIWALFNTEVMSRYTFRGRPDIAGKYVVAAVTGYFYSIACQSTTKGVDDSLQDILRLLTLWFNHGATSEVQTALQKGFSLVKIEMWLVVLPQIIARIHSNNRVVRELIQSLLVRIGKGHPQALMYPLLVACKSISILRQRAAQEVVDKIRQHSGGLVDQAQLVSKELIRVAILWHEMWHEALEEASRMYFGEHNIEGMLAVLEPLHAMLERGPETIKENAFIQAYGHELLEAHECCSKYRATGEDAELTKSVSPELLKCRKLELAVPGTYAADSPLVTIEYFVPQLIVITSKQRPRKLTIHGSDGNDYAFLLKGHEDLRQDERVMQLFGLVNTLLENSRKTSEKDLSIQRYAVIPLSPNSGLIGWVPNCDTLHALIREYRDARKIFLNQEHKLMLAFAPDYDHLPLIAKVEVFQHALQNTEGNDLAKVLWLKSRTSEVWLERRTNYSRSLAVMSMVPFRLTRMLVKAMEVSGIEGTFRTTCENVMQVLRTNRDSVMAMMEAFVHDPLINWRLFNFNEVPQVSNYVVKKQWLIENSCNLSEEHAVNQLGDANEVLNERAVAVMARMSDKLTGRDFSSGSALAGAGSSTQHGSEHLASGDARDAQPGLSVKVQVQKLILQATSHENLCQNYVGWCPFW >Sspon.01G0009880-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1A:27945446:27949387:-1 gene:Sspon.01G0009880-1A transcript:Sspon.01G0009880-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRCNGEEQSEKKRRRTGHRSDRTQHRTLHRTRSVRPARPVSAARASGARLGFDTGASGDPRDRRVRSGEQRVTKSSDAVRVRSLTIGRVRWSRELTGLAPDAGTVASGGCEERVRSCLRGRGCCAHRRVRCPPSRPFTTSCHRASLKPRAAPSSSTGRVPSHAPHRGAPDEHRRCPRRVIVVARPELLVASSSSRVSSGARAARRATAGSRPRPRAARRRPDERAAAGTPSAGNGGGVAGEEEGRASQRLGGGGNDFRVWGGRWTTRLPAYILCGGNGEIHLGFGIRRLGMQDAVPMTGQAKNRAVPVLAHGPNVRPRHGHGAGRARHGHEVRRAGPCLGRAFSSRARVGPSDLAHLENFNAQLQLCKSQRPEAVSSDLQCCEILAMRALPIERHAGAVSHHVQCRREKPAMHAASPQPLLAARHPRGCRRPCTSYLLKQARKGYVANKVGHR >Sspon.04G0002660-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:47506809:47510456:-1 gene:Sspon.04G0002660-2P transcript:Sspon.04G0002660-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSDRSRESLLPSFLYSPAAARSFAGASRFPASPAAAAPAPSLAGGAPLPFTIQAPNEKIEMYSPAFYAACTAGGIASCGLTHMAVTPLDLVKCNMQIDPAKYKSITSGFGILAKEQGVRGFFRGWVPTLLGYSAQGACKFGFYEFFKKYYSDIAGPEYAQKYKTLIYLAGSASAEVIADVALCPFEAVKVRVQTQPGFARGLSDGLPKFIKSEGALGLYKGIVPLWGRQIPYTMMKFASFETIVELIYKNAVPVPKSECSKPFQLGISFAGGYIAGVFCAIVSHPADNLVSFLNNAKGATVGDAVKKLGLWGLFTRGLPLRIVMIGTLTGAQWGIYDAFKSWLDCRQLEVSRQHQLLLRRRLH >Sspon.01G0000630-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:1401833:1403594:1 gene:Sspon.01G0000630-2B transcript:Sspon.01G0000630-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEEAKKVEVEATKDIAEEKAVMPLPSPPTATAKLADDDSKAIVAVVKDAYLAKIVSEKRLTLITAWEESEKARAENRAAKKLAFITSWENAKKAEMEAELKKIEEQLEKKKAAYEEKLKNKLAMLHKTAEERRAQTEAKRGEEIILAEEMAAKYRAKGEAPTKLFGLLKA >Sspon.06G0008350-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:32722306:32725620:1 gene:Sspon.06G0008350-3D transcript:Sspon.06G0008350-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutamyl-tRNA reductase-binding protein, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G21200) UniProtKB/Swiss-Prot;Acc:Q9LU39] MSSSSPSLLSSRLPSPATLFTKKPASLRSVSSATARHGVRVVVAAASAAAAAPVSAARVRPSAAEVARTVVELAASGTLSMVGPDGWPLGVGARFVTDAAGTPALCLAAARVTAPDAPSSFHVEFRQSGARTPQCTMLGALIKPSDESVLKKLSIRWQKKFGEEIDQDLLYLISVDRILHMEDFNEVEHDGMWVVPSEYTSAEPDPLRNFAENIVEEFNSKNAEDVHRIYSIYVESDLQVADVKMIWVDRLGFDFHVHSGEGVFAVRIPFSREVSDEKGVKSSFNMMSHHAWEVEKSYASPAFEKVQFLKKVR >Sspon.01G0021090-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:82382941:82386012:-1 gene:Sspon.01G0021090-2B transcript:Sspon.01G0021090-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRLLALVAVAALLLALRPALATDPYAFFDWDVSYVTAAPLGVKQQASIFRSFQDNSFLVIGINGKFPGPVVNVSTNWNVVVNVLNDLDEPLLITWNGIQHRKNCWQDGVLGTNCPIPSGWNWTYEFQVKDQIGSFFYFPSTGLQRAAGGFGGIVVNNRDVIAVPFGRPDGDITIFIGDWYNKNHTDLRKMLDKGKDLGMPDGVLINGKGPYRYNHSLVPAGIEYETFNVHPGRTYRIRVHNVGTSTSLSFRIQGHNMVLVETEGSYTSQQNYTNLDVHVGQSYSFLVTMDQNASSDYYVVASARQVNESLWRRVTGVAVLRYSNSKAPASGPLPDPPQDQNDRTFSMNQARSVRWNLSAGAARPNPQGSFRYSSINVTQAYLLRSTAPVSIDGRRRATLNGLSYAPPETPLRLADAYGVKGVYTLDFPERPLKGAPRIARSVINGTYRGFMELIFQNNDTRMQSYHMDGYAFFVVGMDYGEWTEDSRGTYNKGDGVARSTIQVYPGAWAAVLVSLDNVGVWNVRSENLDSWYLGQEVYVRVVNPEDAGNKTEMAIPDNALYCGQLHKYQ >Sspon.05G0013920-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:42939156:42941481:1 gene:Sspon.05G0013920-1P transcript:Sspon.05G0013920-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKILVAVKRVVDYAVKVRVRPDRTGVETTNVKMSMNPFCEIAVEEALRLREAGAAAEVVAATVGPAQSADTLRTALAMGADRAVHVLHDPDPARPLLPLAVAKILRAVALQEKPGLVILGKQAIDDDCNQTGQMLAGLLQWPQGTFASKVILDTEKQKATVEREVDGGIETICLDLPAVI >Sspon.07G0004560-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:10664042:10665788:1 gene:Sspon.07G0004560-2B transcript:Sspon.07G0004560-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding TMPPPLHPPLSLRLHLLLRSQTLTPLLRRGPSCDAPLCRTRRHISVRASAAQLAAAGVATAQQPEPGVEEAVVGFVTGKRKATEVAHRVWRNIVQKGDTVVDATCGNGNDTLALLKMVADETGRGRVYGMDIQDSAIESTSSFLKMAVDDDHQGLVKLFPICHSRMEEIVPKDAPVRLVAFNLGYLPGGDKTVITVPRTTELALQAASRILSSGGLISVLVYIGHPGGRDELDVVESFASNLPVDTWATCKLQ >Sspon.07G0000380-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:340135:343457:-1 gene:Sspon.07G0000380-3C transcript:Sspon.07G0000380-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to Carboxy-lyase [Source: Projected from Oryza sativa (Os05g0591600)] MTPATALAVASEAPTSGLAASEAATESGGGGAERRSRFRRICVYCGSAKGRKPSYQDAAIQLGNQLVERGIDLVYGGGSIGLMGSVSHAVHAGGRHVMGIIPKSLMPREVTGEPVGEVRAVSGMHERKAEMARFADAFVALPGGYGTLEELLEIITWAQLGIHKKPVGLLNVDGFYDPLLSFIDLAVNEGFITEAARRIIISAPTAKELVMKLEDYVPEYDIGLVWEEQKPNSLVPELESGITSS >Sspon.02G0023480-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:82916407:82926646:-1 gene:Sspon.02G0023480-3C transcript:Sspon.02G0023480-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKVKNPHVFMDISIGGGSAERITFEVVKLEAACLLFADVVPKTAENFRALCTDENFRLLHDQPGVLSMANSGPDSNGSQFFITFKALPHLDGSDTSDSLSNSSDSGSDSESHSSMDTSSSSDHRRKRRKGSKKDKRKPTKRKGKHTRSKRKSRGSKRRSKRSYGSSSDDSVSSKTDNSSSDSENGSHRTKRSLPKDKESTKMTNSEQGRSFQDVDKGKQTVTTVNRSQSPDGSKPSNKDDNGADDRYGNYNSEDRNDPGASSRINPIQVDVNLTKPVNGDGNIGGDNAEADMSRTGTERHLPSNEPVSTNGKDLAVGSADNGQPQRIRKGRGFTQKYGYARRYRTPSPECPPVRPRYDGGRDDRWNNFNRYGRNGPYSRRSPVRRYRGSPRANSPSRYPRRGRSRSRSRSPARRHDRGGYRRPSPRRSRSPAEPRQDANDRLRSGRGGGDPDHRGHSPPANRSRSKSRDPVKSRSPDAAPAKRESSKYNRRRSSSSRSSSPDGNKGLRKTNENIHMALEKLGKEPM >Sspon.05G0009430-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:27140531:27141033:1 gene:Sspon.05G0009430-1A transcript:Sspon.05G0009430-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MYERVPCHKKLILACLGSSLLLQQKTVIRLGVPNAKNQSKAMQLASKFVGVNSVAITGEAKDRLEVVGESVDIPCLINCLRKKVCGADIVVVEEVKDKKKEEEEKKKKEEEAKKKKAEEELKKLWAACPPPYSG >Sspon.01G0007270-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1A:19787593:19788186:1 gene:Sspon.01G0007270-1A transcript:Sspon.01G0007270-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYGKRSRQKAEEMVWLPDGADVARFLMLFSGHHQHYYHGAGVASTDAASSAPERVFECKTCNRQFPSFQALGGHRASHKKPRLADGGVDAAAAEPPKPKVHGCSICGLEFAIGQALGGHMRRHRAADQADGGSPPGLGLGLSLGSGLLAPEDDSGKKAAPPAELVLDLNAVPELEEEQDRSKLGLSIEFPVAVVDFL >Sspon.01G0059040-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1D:38623407:38623559:-1 gene:Sspon.01G0059040-1D transcript:Sspon.01G0059040-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQQAIQIYGQPLEDPEMFWAPIEQAEQGLYGFLGDLQLSIASGSPRGLQV >Sspon.02G0038350-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2B:40870683:40870982:1 gene:Sspon.02G0038350-1B transcript:Sspon.02G0038350-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGRRRRRSPCHGSRRRRRAVEMALGRKVRELRRLVPGGAAAMPAERLLLRTADYIVRLRARVELLRALSELVAVTNHGGIIGSGGHHDGDDDATSNNL >Sspon.07G0022950-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7B:11940855:11941305:1 gene:Sspon.07G0022950-1B transcript:Sspon.07G0022950-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRSGKAYRWREVGTTVPVGVWGGDGGDRSRRCDGDVWGLGGFDFPPVLCV >Sspon.02G0016020-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:46910495:46913911:-1 gene:Sspon.02G0016020-3C transcript:Sspon.02G0016020-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGPGLYTEIGKKTKDLLYKDYQTDHKFTLTTYTSHGVAVTASTTKKADLIFGEIQSQIKNKNITVDVKANSESNIITTVTVDEIATPGLKTILSFAVPDQRSGKVELQYLHDYAGVNASIGLTANPVVNLSAAFGTKALAVGADVSLDTATGNLTKYNAGLRFTHEDLIASLTLNNRGDSLTGAYYHKVSELSNTAVGAELTHSFSTNENTLTFGGQHALDPLTVLKARINNSGKASALIQHEWRPKSLVTISAEVDTKTIEKSSKVGIAVALKP >Sspon.02G0058410-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:73747166:73753148:1 gene:Sspon.02G0058410-1D transcript:Sspon.02G0058410-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRLHSAFPDLLLWCLLCSEFRGDHNHPLSGICSEHFCWPSHNHLKPYTKNLVCRLRDDNVDLSKKRHSIYEYFWGMENYKFVKGDLKSLCKNISSDLSDIDSDKTIELFDDFGSLRRDDPSFMFRIELDDIEDQFNTVLWTNGRSRMQYAHFRSCFNAITIFQLISNLWIVLNVDRCHEMEVAIQEELPETIHRWCKMHVLNNENEFLGPICLKKSGFKDDFQKITDSMLTVREFERAWQHLLDKYNLHDNAFLSQIYDSRHKWANSYLKEKFCAKQTSTQRNESAENMFKGYVPLNRFISMFVRHYNKLQSELNSKESSEENRSRKRPRFMSKGLPIMEHAAKVYTRAMFEKFEGIISYSGSYVVHEKEKGKAYLARHIRSDRQESWSQVEFEVIIRAEDGAVVCECGFWEHMGMPCCHAVKVMIHLGMQEIPAGNIVKRWTMDARDTKTQKSFRTSELFIVAMKFAKSGSRSDQAFEAAMACLDRLEQELLELGEDEDISELSEQSSISAATTDDATSALSGSETD >Sspon.08G0016580-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:65280172:65282579:1 gene:Sspon.08G0016580-1T transcript:Sspon.08G0016580-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nudix hydrolase 14, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G11980) UniProtKB/Swiss-Prot;Acc:Q9SZ63] MASASASARALRFCRWSPLPRLPLPSPPAASRAASRRRGVRMASSDASAAAPPSATVEVPGAAGPVLVVGAPGLPEADFRNAVESSLFKQWLRNLQSEKGVLTYGRLNLTRVLIQGVDMFGKRVGFLKFKADIVDEETKTKVPGIVFARGPAVAVLILLESKGETYAVLTEQVRVPVGKFLLELPAGMLDDEKGDFVGTAVREVEEETGIKLNIEDMVDLTALLDPATGGRILPSPGGCDEEIGLFLYRGHVDEETIRSLQGKETGLRDHGELIKLRVVPYSQLWRSTGDAKALSAIALYEMAKREGLLPTPSPSANL >Sspon.01G0020050-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:74506022:74509108:1 gene:Sspon.01G0020050-1A transcript:Sspon.01G0020050-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGPSSPRRENDGEGSGRRSPAPATEKERPRSFDEKTRTACWRKAAVLAGRHPERWRLDAVGNVVCRRFWGCHGCLCYEYDHIVPFSRGGESTVENCQILQTRVNRSKSDKAWVEQAVMRVPLVLSIILNVND >Sspon.01G0019020-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:67904969:67911243:1 gene:Sspon.01G0019020-2D transcript:Sspon.01G0019020-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VKRPDLLTKWRVKGGVLLIGYSSFRNLSLGKHVKDKNSANEIAYALQCGPDILVCDEAHMIKNRRADITQALKQMVDFVREGFLGSTHEFRNRFQNPIENGQHMNSTSDDVKIMNQRSHILFEQLKGFVQRKSMNVVKNDLPPKKVFVITVKLSQLQRKLYKRFLDVHGFSSSGYSEKSHSSFFAKYQTLAQRGNLRREDAVENFMMDESSSDDNVENYLPNGEKLKDRADQQSKKSNIVNEESNWWEELLDENTYMEADYSGKMTLLLDILSKSSELGDKVLVFSQSLTTLDLVEFYLAKLQINGKEGKHWKRGKDWYRLDGSTPSSERQNLVEMFNDPGNTRVKCTLISTRAGSLGINLHAANRVVLLDGSWNPTHDLQAIYRTKPVYAYRLMAHRTMEEKIYKRQVTKEGLAARVVDRQQVSRTISKEEMLHLFEFGEEELMEQNENGYHEHEALLQENEEEKLTKEEQDMALSEWESLSKAAPDPERKSNMNAVPTYPNLVRPLKPASRSRQLQQP >Sspon.06G0007200-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:26895017:26898001:1 gene:Sspon.06G0007200-1A transcript:Sspon.06G0007200-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGPTPSSTPPPAAANPSHNPRRKRKPRPKTVPPSALNPNWAQLQSKLPHRPAATHLGKRKHDGGPPAPAEPSPPPAVQEVKLEPTSDDTSLTKAVAIDCEMVGVGSDGSKSALGRVTLVNSFGNVVYDEYVRTVERIVDYRTRISGIRPKHMNKAKEFWAVQKEVAELIKGRILVGHALHNDLKVLLLSHPKKDIRDTSEYEVFRRERKRRSLKDLAAEIEDARAAMFIYNKHKKAWEKNMKEQFRFKKKLKKRGKKKTTESNGNDPNVPTVLL >Sspon.02G0004270-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:12187825:12189721:1 gene:Sspon.02G0004270-2B transcript:Sspon.02G0004270-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding TATMARAAALCLALLCLMAAHSPWRRSPPRPQPPRRPPLPRRRPRPRRRPHPPRHPPPRPTVAPAATPPTTTPATPAPAATPPATLAPAAAPPKSTPKASPPAPAPKAKATPPAASPPVAELPPAASPPAPAPASPPTKPAEVPAPAPSKKKKKPSSNSKNKKKKSKAPAPAPVAEAPASTKKSKAKAPAASEADAPGPVGDGAAALLEGPWQAESWRSRWASWPCSPR >Sspon.06G0001200-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:1697523:1701349:-1 gene:Sspon.06G0001200-3C transcript:Sspon.06G0001200-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALKFLNKKGWHTGSLRNIERVWKAEQAEEAERRKTEELKKQVAAEKEKAEFRAMQERAGLRPAQERLDFLYESGLAVGKSSEGFQALQQSAPGAAAASTSAQASAADSSKAATPGALFEDKPQSANDTWRKLHSDPLLLIRQREQDAIARIKNNPIKMAEIKKSVEAEKKQKEEKKEKKRHKKHHHHKSKSKRHHSDENSDSVEISDGKDERRKRAHSSLDHKKEENRSRHKKQHREDSSDSDNDEPQRRKQDVSEDDEPRRRRRDEDEPRRRLHDDDEPRRRQQDDNEPRRRRRQDDGEPRRRRQDDDEPRRRRQDDDEPRGRRQDDEKPRERSYVARPRYDRADADDRKRRHHSPPDRHPANSKHDGPDSRPKRVDDGHKTGNSTFEHRSRSEQGSGEQMRQGSEHGRNNGLSFNRRRGGVHHMSEEERLARLRQMEADAEVHEEQRWKRLKKAADDDAKEAATVNVNQFKGKNFLEDEKRSIFGTDKGGCATIEESIRRRAFYSQGGRDAEGNAFRRYNNTTVVLNNSGRMMVNQGEDGRTMMNQGEDGRTTMNQGEDGRMMRNQGKEAMLPVQGMIVPMPMIGKGDIIHLQTAILQTQSMTVQTLGPKEWTMATKLEIPLLNTVPALNKALVSR >Sspon.01G0002310-1T-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1D:6325122:6325823:-1 gene:Sspon.01G0002310-1T transcript:Sspon.01G0002310-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding EEISSPIAAHILDFYGGGLGNDLFAAATTTSGPFPATDDDVSSSTTTTPPICSYSDDAPAAGATAYSPLPSFDTTLTALLEEEQHHGLDTELLPQIDGLSEVAYYPTATDEASLGQFNQMGLPETLAEQVPPMQMSSSASALMPLTSDYDECYTAALAGGFMGLEGAMYQQTGAILPGCNAEASQQGFFNSASTNSMVMIGEYQKMMEGEGLTTAYNDTDSMQGTFNTNAEMQV >Sspon.01G0032630-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:102526650:102530544:1 gene:Sspon.01G0032630-2D transcript:Sspon.01G0032630-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VPSMAHGATVPLGKSVRRALRTAIGMPKKKKKPPGSSVSVSMELSSSSSVAARKEAVVRVVLRGGVVEVYSGVVLACTVVRNHPPGLCLAHPDVFRNPHGAVVRPLEPLFPGQKFLLLPESTVVRLKQKIPESSIGAFADDDDEEEEEEETLSEGHSAVSSEEEAAAAGDAATPMPACSARDYFEANDRWSECRFRNLVEQGLAVAPSKDDDEPAERKQEKTRTKKKRKGRPRRDDRNSLLPQLPMGLRGFAAARRAWEPSLPSVEEEEATPTPVVAVSHSSRRLLLPTGGDHSSFPTGGRGDSSFPTGSRGSSFPTGSVAAALVLAGDTAIRVAPGPGRSAARSADTAAPAAAR >Sspon.01G0058580-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:30068742:30069632:1 gene:Sspon.01G0058580-1D transcript:Sspon.01G0058580-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQEFIPRFTCFPTSYSPLWRYTHLSVRELIVYQESNPRQAPHNRTQDGDPPTLCHALPLWVPDRMLRFREGPDAPVANPSARAGLN >Sspon.02G0041480-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:79131382:79133597:-1 gene:Sspon.02G0041480-2C transcript:Sspon.02G0041480-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGFAGKQHADPEAKRLKQMAPSENNDRRRMEAETNSGDPSKSADKKPAPKEPPKDYIHVRARRGQATDSHSLAERARQEKISERMKVLQDIVPGCNKVIGKASVLDEIINYIQSLQRQVEFLSMKLEAINAHMNNATVAFPTKDFGAPPYNTAPSLTLDPQTPREYAQGSMSDWLHMQIGNAYERVT >Sspon.02G0015960-3C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:46696632:46697896:-1 gene:Sspon.02G0015960-3C transcript:Sspon.02G0015960-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHAPWEQTKKSQPWWQVADVDGLASLVAERAMENIVNNDLPRPTQTVRVHGPKVKGPENKDDYGLPALSVGKEPDPVHDTMECSYSVSSTTNETNSSDGGRWQHQRNNVPGDAQDSYSSTTNTPGSKPTYQNASERAKLLDALRHSQTRAREAEIAAKKAYDEKDHVIKLLFRQASHLFACKQWLKMLQLENICLQLRFKEHQIATMFPEFPWMVVKEKVAPSQEHNEGSRKKGRRPNRKGGLRNAVAFAVGVGLVGAGLLLGWTLGWLLP >Sspon.01G0019530-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:73133894:73134248:1 gene:Sspon.01G0019530-1A transcript:Sspon.01G0019530-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGDPAADPLSSFLRHPGAFSLSRRVAMARDATATAVPALRPWLVDLLPLLVVLLIAAHVLALGYWIYRLATDGSRQPARSKKH >Sspon.02G0046300-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2D:5546190:5548185:1 gene:Sspon.02G0046300-2D transcript:Sspon.02G0046300-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTSGIGSVDGHRPAVACPASGVPGCVMSSASTGGAPPPRDAKLGRHLARRLVQVGVSDVFAVPGDFNLTLLDHLIAEPGLRVVGCCNELNAGYAADGYARARGVGACAVTFTVGGLSVLNAIAGAYSENLPVVCVVGGPNSNDYGTNRILHHTIGLPDFSQELRCFQPVTCHQAVVNNLEDAHEQIDRAISTALRESKPVYISVSCNLPGLPHPTFATDPVPYFLAPRKPSNLMGLDAAVEATVAFLDKAVKPVMVAGPKIRVAKAGDAFVELAEASGYAVAAMPSAKGLVPETLPRFLGTYWGAVSTAFCAEIVESADAYLFAGPIFNDYSSVGYSFLLNKDKAVVVQPDRVTVGNGPTLGCVMMKDFLSALAKRVRANTTAYDNYRRIFVPDGQPPECEPGEPLRINVLFKHIQRMLTGDSAVIAETGDSWFNCQKLRLPDGCGYEFQMQYGSIGWSVGALLGYAQGAPDKRVIACIGDGSFQVTAQDVSTMLRCQQRSIIFLINNGGYTIEVEIHDGPYNVIKNWDYTGLVDAIHNGQGRCWTARVATEEELTAAIATATADDNKDCLCFIEVVAHKDDTSKELLEWGSRVSAANSRPPNP >Sspon.06G0006890-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:23818692:23821190:-1 gene:Sspon.06G0006890-4D transcript:Sspon.06G0006890-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSTASAPSTSLAAAFRSSRAGRRRRALCLRPAASAAGASGVGDDGGAERFATSSSITDYLRYRRPELGGAGAGGGVPGGELQTAVVRFKKRFPWSLLHPFLHVDLVSTVHIADKEYFDRLQQELEDYDCVLYEMVISRENLNNPKGPMAAKKMKSLRRGFSILGFIQKQMARILSLDYQLDCLDYGNEKWQHADLDYETFKQLQSERGESILTFAVDMTLKSTKALVQPSNMPDGLDFWRSKLLWASRVLPMPLVGLLVITGLCLPVENQDGFPELEALSRLDFGAALKIFLAKQLTSEFTAVPTPVEEKSVIIGERNRVATEKIKEAINRGYKRIAVLYGGGHMPDLGRRLREELNMVPADVQWVTAWSIRSREIDRKSLPFLKTLAEASGWPLNRYETLALLIFSLVLAVDLWFWELFFGTAVSWASLAGSWIDQLSGSF >Sspon.08G0030000-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:54209380:54220983:-1 gene:Sspon.08G0030000-1P transcript:Sspon.08G0030000-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPSPPPPVLPISEHEDEIVAAVDANPVVVVIGETGSGKSTQLSQILHRRGYTRRGAIAVTQPRRVAAVSVSRRVAQEFGVPLGDEVGYAIRFEDRTSERTCIKYLTDGVLLRESLSNPELKQYSVIILDEAHERSLNTYGLMKRLIKDRASDLKVLITSATLDGLKVSKFFSGCPVLNIPGTLFPVEKFYCTERPTNYIESSLRTAIDIHAKEPPGDVLIFMTGKDDIDKMVSKLEERIQNLEEGSCIDALVRVFAPAPPNCRRFIVATNVAETSLTVDGVVFVIDCGYVKQRQYNPSSGMYSLDVVQISRLISELGELEELGLASESLEDALRQLYLIDAIDENGQITDVGRVMAELPLEPSLSRTLIEANELGCLSQALTVASILSAEITLRSASKDMDGKRKRQELPDGSGWGDHIQLLQIFESWDQSGYDPKWCSDHDLQVRSMKLSKDVRNQLCQIIKKIAKGSTDVHARKSRKSDPDYRKLRRALCVGYGNQLAERMLHHNGYHTVGYRTQLVQVHPSSVLEGDEYGKFPMYVIYHELISTTRPYMRNICAVEQAWVEPILKKLEKLDVNRLSGGSSAPEDSELQVENKQVNSLKKDMAVKQSEVDSKIQAARERYLARK >Sspon.07G0026920-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7B:57096090:57096584:1 gene:Sspon.07G0026920-1B transcript:Sspon.07G0026920-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPASASFGTRTTPARRRPRRGAAAGPASASSSACSLRQEAARGMGAWVSVLLEMDGTEAAAAVPAAVGLGDLPELCAAQVLLRLDPSEIYRLAPLNHTFRGAAGAGELRLGPRARAPPLVRAPPAAGCADASSVGARCLRRMRTRRASGRSRRAPPCSLLRRAV >Sspon.02G0008020-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:25955302:25961820:-1 gene:Sspon.02G0008020-1P transcript:Sspon.02G0008020-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAASSEEAVKAAKVLMVGAGGIGCELLKTLALSGFSDIHIIDLDTIEVSNLNRQFLFRQSHVGQSKAKVARDAVLKFRPNINITPYHANVKDSHFNVDFFKQFNVVLNGLDNLDARRHVNRLCLAAEVPLVESGTTGFLGQVTVHVKGKTECYECQPKPVPKSYPVCTITSTPSKFVHCIVWAKDLLFAKLFGDKNQDNDLNVHSKDDTSSKTDVFERSVDEDLEQYAQRIYDHVFGYNIEVALDNEETWKNRRKPNPIYIRDALPEDAVQQNGRSRDHMNEEQDPSAMVSLGLRNSQEIWSLADNSRVFLEALKLFFEKREKEIGSLIFDKDDQLAVEFVTAAANIRASSFGIPLHSLFEAKGVAGNIVHAVATTNAVIAGLIVIEAIKVLKGDYQDYRMTYCLEHPARKMLLMPVEPFEPSKSCYVCSETPLVLEVNTKTTKLREVIEKVIKSKLGMNLPLIMVGATLVFEDGEDLEEDEIANYALNLEKVLAELPAPVLNGTTLTVEDLHQELKCSINIKHRDEFDEEKEPDGMVLAGWSGPVDKQITSNGENRSVPSSSNTEDVDGTAGYISEAWNEA >Sspon.02G0053680-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:92360687:92362566:-1 gene:Sspon.02G0053680-2D transcript:Sspon.02G0053680-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGFPGVGAPPPPQPPPQRQNDGIEMGDPATLHHVALYIPVVDEPVRKSDGRTAVVEEIHPAYRKHPHSVHCGGCFFFVFLHTKLCHNKDDMDSSPADVNHTFVYHMENTKIEWQMKII >Sspon.02G0055650-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2D:10615151:10615488:1 gene:Sspon.02G0055650-1D transcript:Sspon.02G0055650-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAHCLIVHASSPTACSSAVVTCPTAPRPIAARATRPSQTPMPLTPSSSVRPSPIVVVHATNPAMAIGAHVPWRLTPFLAEPRAPTSAGGAGGARVPRLAGGSDPDDRNRPP >Sspon.02G0030810-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2D:92740555:92741525:-1 gene:Sspon.02G0030810-2D transcript:Sspon.02G0030810-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MCFADTIAINCLDCLSRAPAGITTACPGSRNAKAVYDACVLRYSAAPIPATADLDYVVPITPLVPNTSDAVPDAWQHAILVEVGEASKQETQDLFDDEFEKGTGPKRFRYGELAVATDNFSDKHKLGEGGFGSVYRGFLQEIKLHAATKRVSKSSKQGRKEYASEVRVISRLRHRNLVQLIGWCHGSGELLLVYELMPNGSLDKHLYGATYDAGVVLPWPLRCQIVLGLGSALLYLHEEWERDTQDMSPEEPHRKRDAQATPMRSLESRNSTCPGGTQSGWAAVMGCPSRSSRSRASSLSTL >Sspon.05G0017940-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:72125865:72130916:1 gene:Sspon.05G0017940-2P transcript:Sspon.05G0017940-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SWI/SNF complex subunit SWI3C [Source:Projected from Arabidopsis thaliana (AT1G21700) UniProtKB/Swiss-Prot;Acc:Q9XI07] MPRKASSTSDSRLKWRKRKRNPDASPSKPSTSPAADHSSDSGSADEDAAAVHGAAGAGDDDEAPAASEDPAALGLREAEVLPSAEPISAFPAAKRRVVNRPHPSVLALLEAERSACSGDVPTVAPPALENISHGQLQVLSGVLPDHPSLATDPDKPCLYVCTPPPLMEGHGVPKQFQGRLHVVPKHSDWFSPGTVHRLERQVVPHFFTGKSPGHTPEKYVMLRNKVIAKYLENPSKRLAFAECQGLVGSTAELYDLSRIVRFLDTWGIINYLAAGSVHRGLRMATSLLREEPTGELQLLTAPLKSIDGLILFDRPKCSLPVEDVSSMAASSSNSEAVDFDAAFADLDGKIRERLSENSCSYCLQPLPSLHYRSQKEADTFLCSDCFHDARYITGHSSLDFQRVDGDNDGSENDSDKWTDEETLLLLEGIEKYNDTWDDIAGHVGTKSKAQCIYHFIRLPVEDGLLENVEIPNAPIPFRPQSNGYQHSDSNGSTSGAPVQNIQQGNQLPFINSSNPVMSLVAFLASSIGPRVAASCAHAALSFLTRDDDSRVNSEGMHVDGMGNGANPNFRNHNGASPSISPENVKHAAMFGLSAAAMKSKLFADQEEREVQRLAATVINHQLKRLELKLKQFAEVETLLLKECEQVERVRQRISAERVRMRSALLGPTGSGLPGGSSTMPPNPPGMSPRPVGVPGSMPQTSMPAPYANNMQGHGHPQMPQMPFLHQRPQMLSFGPRLPLAAIQTQPSPQASNIMFNSGMPNSIAPNHHQLLRSSSGNNSSAG >Sspon.01G0018880-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:70156432:70170349:1 gene:Sspon.01G0018880-1A transcript:Sspon.01G0018880-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFAADIDLHREHLKGIPRSELAIICLMNSNPPIPDISDSYLDNLLSNSLPAGPFGNSNIINQPNLVQCIPFQKNTTVVLTNDQISPGVWYIGYFHGLGPARTQSKMISRGKARVVSTRITVRGCPTSAFWGPYCNQTVDMIGCSQPSIDNNSRNLLDLNVERNNLYTREHNRRINILSQPNHLIEQEVASNVTALVRMENSISCSISNDSLCIRQGDMKFYFLDVVNLALQFEVTATNFGALGPSLICYLRYNAFPQRDLHDYSGDISHDPLVVKSPNIGRWYIAIEFVNKTQMNVTASPPVVDTTCFSLKWQLTGCLNGKTGTNCSWEAYGLQRVPKRSPSVPFESYYVPTDERASLEDSHFYLEQFLSNSSHEQFAWTYFFLDIPQGSAGALIHVQLKSDKELNYELYSKYGGLPSNDTWDYYASRTSSSNGSNSTISDMDLSIFYAKEGTWCFGVKHPSDTANSQTYMSVSLQGCHRNCNQKGVCHSSVDESGLTFYSFCTCDRDHGGFDCSDELVSPNGHIWQSVFLIASNAAAILPAFWALRQKAFAEWIIYTSSGISSALYHSCDVGTWCILSFRVLQFLDFWLSFMAVVGTFIYMATIDEASKRAMHTAVWPNFRTLFQNTLEMLNKRFRWIFLLLGFMTLSFAAISWKLESNSNYWIWH >Sspon.06G0023270-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:42121214:42122835:1 gene:Sspon.06G0023270-1B transcript:Sspon.06G0023270-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMPQQQPGVAPPPPQAAPGAPPHWGAIPPPMAPQHQYAPPPTQQAPPPPQMWGQAPPPQAAYGQAPPPPQAAYGQAPPPPQAAYGQAPPPPQAAYYGAPPAPAPAPAPMAAAPAGPSEVRTLWIGDLQYWMDENYIYGCFASTGEVQNVKLIRDKHTGQLQGYGFIEFISRAAAERVLQTYNGTMMPNVELPFRLNWASAGEKRDDTPDYTIFVGDLAADVTDYVLQETFRAHYPSVKGAKVVTDKLTMRSKGYGFVKFGDPNEQARAMTEMNGMLCSSRPMRIGPAANKKATGVQEK >Sspon.04G0033750-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4C:65088866:65089073:1 gene:Sspon.04G0033750-1C transcript:Sspon.04G0033750-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGILQATSSTRVSNLRTPTGKDQEPLTTLGEGSNNLQLRAQSPPLLQAIYRVGKHGRVTRNPQQLEDQV >Sspon.08G0003630-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8A:10080472:10080800:1 gene:Sspon.08G0003630-1A transcript:Sspon.08G0003630-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LPGANSQTAARSCLHPDPLSAPGRALVDAAGSHAAKEEAAASKRRRQTSVPVAAAARRRAASECRRQRRQSGFPAAEVEQRPGGRATSKQRRRRRQSTFPAAEEQQQRR >Sspon.02G0053270-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:102537443:102542787:-1 gene:Sspon.02G0053270-1C transcript:Sspon.02G0053270-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGQGSKNAEREREHSSKGKKIADGGGRSSREAGAGDVVISTKGELAYDLAKLQWRCNAILLHAMMTEKIDGELVRWNATRFGTSFWDRKDKFQAWMVSEGWKNSEWKDEPDHDFTYDCLTSRKWWEDVELVLKAVTPLYSVLRFADQQKNGTISGFLPRMCNAEEKIFAKLKHDKRVTKDLLDRVIQAIKRRTRYLFNGTLMPAGNPIMEWLSNFRSESTPILDEYDDGDDDWTTPGGFVTDELQMDASDVAKFKRNLHFSQKGGKKKWRGYPIVDEHAHLFEDEDDLFEDEDDCDSDSLHGSHVYAESQDSSSASSDNEGDDDDVEGAWPVQPKEMVKVLVVVEFVLVQELGLRNQFISVQGRLCDFASSTASALLTSCQTTRVGPAAGSLGASPAALASTASSLAAEQLWERVDKEEMEQATCLVDTAEAKL >Sspon.01G0033660-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:114868097:114873029:-1 gene:Sspon.01G0033660-2D transcript:Sspon.01G0033660-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMGHYMRGAPPPPPPPPMTVAPPFMGPPPPPVSPMRPFAGPMVFHDMPSPVSPVSPIYFYGPPPPEALRGLTLAPPMVGPPAYPYFQAQPEPQPEPEPQPDPEPDAEEERVKLLKQIEFYFSKENLCSDVYLRQQMDGQGWVDISLIAGFKKITVQGLKKDLQYIKETVQSSSILEMKDDKIRKHNDWEKWVIPRESNPDAPSSSASVPRPNVNSLTAHLGGMRLHESASSTGMVEPNHHDVIQNGSPSGNEEAPVAEESSGQQ >Sspon.06G0001380-3D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6D:2259487:2259864:1 gene:Sspon.06G0001380-3D transcript:Sspon.06G0001380-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVEILDGSTVRSFVEDEGAFNSSVDGRFAALDADHDGLLTYAEMAGELMSLRVLEKHFGVDEAAVAPEELGALYRGLFARFDRDGSGKVDRQEFRAEMKEVMLAVANGLGFLPVQMVVEEGSFLK >Sspon.02G0041800-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:73230987:73231898:1 gene:Sspon.02G0041800-1P transcript:Sspon.02G0041800-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVATKRPAASLLATMAALIAVVGFLAGPAAATGKTGQVTIFWGRNKAEGTLREACDTGTYTIVVISFLSVFGHGNKPPTLDLSGHPISGIGDDIKHCQSKSIMVFLSIGGFGDQYSLPSAKAATDLADYLWYAYFPAPTPRAGVHRPFGDAYVDGLDFFLERGPPEYYDVLAARLWSYNKQFRARTPVQLSATPRCAFPDRRVQKVLATGLVTRINVRFYGDGHCAAYWQQEWDKWTAAFPDSGIYVGLPASEQTVGYVHPKNLYYGVVPVVQKAANYGGIMIWDRYADKQTNYSSYAIQWA >Sspon.06G0017040-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:92848102:92848281:-1 gene:Sspon.06G0017040-1A transcript:Sspon.06G0017040-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPGQPGCRRRQHPEPELYRQSGPRPRALRSVPRTAEGLGLTDAGAFCCSCSCCRTVMR >Sspon.02G0005840-2T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:18944454:18946940:-1 gene:Sspon.02G0005840-2T transcript:Sspon.02G0005840-2T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRQRARSSEELKAEDFVDSVLNFGGSGGGDEDDEEKDAGGDGQPAAEFKSKNLEAERKRRGKLNRNILELRSVVPNITKMSKESTLSDAIDHIKKLQNQVLELQRQLADSPGEAWEKQGSASCSESFTATENMPYQGQIELVPLGPYKYHLRIFCKKAGVFTKVLEALCSYNAQVTSLNTITFYGYAESVFTIEVKGEQDVVMVELRSLLSNIVEVPSN >Sspon.02G0003040-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:32514381:32515750:-1 gene:Sspon.02G0003040-3C transcript:Sspon.02G0003040-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding PIQNKCEKKAPKKVHKSEREKRKRDKQNDLFGELGNMLEPDRQNNGKACILSDTTRMLKDLLSQVESLRKENNTLKNESHYVALERNELLDETNVIRGEISELQNELRMRLEGNRIWSHDTSRSNLTAPHPTTTVFTLQHSAHPQVIATMALPLQQPAVVEQSYAAPRRELQLFPEAAPTEDTEPPQNQGVSNNVMRPQARYPPVVTTLPVHVYPVLPRMEDEQCSSGTTGSREEG >Sspon.06G0029190-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6C:16075322:16075816:-1 gene:Sspon.06G0029190-1C transcript:Sspon.06G0029190-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding QTSEQAEVPKRKKRSTLGLCSVPSDVVDSMELLEPETKEVLRGCDFGGLLHLQITKNFSVIRIVYMMSKCDVSGEGPSFTIVLSEDNKIEVTPEKVKRVFDLPNGTPDGSSVLNMENWSTKFEEFKQKLITKGFLKTKQDVVTVAAVNSYIDSVKDDKDEQARA >Sspon.03G0017530-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:54754768:54755806:1 gene:Sspon.03G0017530-1A transcript:Sspon.03G0017530-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding FFFLVHTAPTTSSIASLTEIEFVRNYGPRLQQAAISDPGSGTHLLLLPDHDHACLLQLRSPATCRLRACRHTGTRTEHCISASASYHSIAVSGTHARAFTVHLFTICTCGTHVDAGGGGAAAFHGQKTDTVDIVGRALFCFNNRYVSVLCTIYSGCQGSFRLGPQGALDVPPGSADAFCGGPCLAETELVLRCVDGIMANFRFYNGASAADVRFALDRGCGHSGLRGDFDVLQRIGVGDDGNYGDGYFYGRGDDGARRSVSNLAAVPLLLMSAAAAILVW >Sspon.08G0007520-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:61604429:61605535:-1 gene:Sspon.08G0007520-3D transcript:Sspon.08G0007520-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQCNVVTDVAPGSAATTKTAPSSLSALTRRRPPPLSTPSSHLIAAAGSTCPGRLAHLVQVAKPRKFQPSDPHAYHRPARSIAIAIDRFVVMPEGEVSSPASSGGTSSSPPPSPGGARLRGGGGGADHQKRARARDTDGGGPHPSYRGVRMRAWGKWVSEIREPRKKSRIWLGTFPTPEMAARAHDAAALVVKGPSAVLNFPDMAAALPRPASASPRDVQAAAARAAAMEPAALLPVPAAGAALQLQPAAPPAAGQQQDEELEAIVELPRLDEDYVVTTFGATPCLSSFVHDVAMPWCDDTVWVDDAAGGGYVAAQHDDLFGLDGDHGWAQSVGALLWNL >Sspon.04G0020900-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4C:79450251:79452836:1 gene:Sspon.04G0020900-2C transcript:Sspon.04G0020900-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKASSLSELGFDAGDASSGFFRPVSDSSSADGLVTPSAHRRRLTKVSVIGAGNVGMAIAQTILTRDLADEIALVDALPDKLRGEMLDLQHAAAFLPRTRLVSDTDMSVTRGSDLAIVTAGARQIPGETRLNLLQRNVALFRKIVPPLAEHSPDALLLVVSNPVDVLTYVAWKLSGFPPSRVIGSGTNLDSSRFRFLLAEHLDVNAQDVQAYMVGEHGDSSVAVWSSVSVAGMPVLKSLQESHRCFDEEALEGIRRAVVDSAYEVISLKGYTSWAIGYSVASLAASLLRDQRRIHPVSVLARGFHGIPDETDVFLSLPARLGRAGVQGVAEMELTEEEAKRLRRSAKTLWDNCQLLGL >Sspon.01G0002150-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:5249434:5256444:1 gene:Sspon.01G0002150-2B transcript:Sspon.01G0002150-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLTSSLLSRSTTGGSTARGVAAAAAISRPAADAAPSSSSPPARPTPRLRPSPASPFASGLAGRLFGGHRAAARSASSAAAVFERRFASAGMVCDDLGGIAATRNSYDEILTGLKRPGGGEEFGKYYSLPALSDPRIDRLPYSIRILLESAIRNCDDFQVTGNDVEKILDWEKSAPKLVEIPFKPARVLLQDFTGVPAVVDLACMRDAMSKLGSDPNKINPLVPVDLVIDHSVQVDVARSANAAQANMELEFHRNKERFGFLKWGSSAFRNMLVVPPGSGIVHQVNLEYLARVVFNNGGILYPDSVVGTDSHTTMIDGLGVAGWGVGGIEAEAAMLGQPMSMVLPGVVGFKLSGKLKNGVTATDLVLTVTQMLRKHGVVGKFVEFYGQGMSELSLADRATIANMSPEYGATMGFFPVDGKTLDYLKLTGRSDDTVAMVESYLRANKMFVDHSQVEAERVYSSYLELNLEEVEPCLSGPKRPHDRVTLKNMKSDWLSCLDSDVGFKGFAVPKESQGKVAEFSFHGTPAKIKHGDVVIAAITSCTNTSNPNVMLELLWLQRRLVKPWIKTSLAPGSGVVKKYLDKSGLQKYLDQLGFHIVGYGCTTCIGNSGELDESVSAAITENDIVAAAVLSGNRNFEGRVHPLTRANYLASPPLVVAYALAGTVNIDFEKEPIGISKDGKEVYFRDVWPSTEEIAEVVKSSVLPDMFKSTYESITKGNPMWNELQSQQALSTPGIHHLHTSMSLLTSRI >Sspon.01G0027630-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:91254377:91256874:-1 gene:Sspon.01G0027630-2D transcript:Sspon.01G0027630-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRECISIHIGQAGIQVGNACWELYCLEHGIQPDGQMPGDKTVGGGDDAFNTFFSETGAGKHVPRAVFVDLEPTVIDEVRTGTYRQLFHPEQLISGKEDAANNFARGHYTIGKEIVDLCLDRIRKLADNCTGLQGFLVFNAVGGGTGSGLGSLLLERLSVDYGKKSKLGFTVYPSPQVSTSVVEPYNSVLSTHSLLEHTDVAVLLDNEAIYDICRRSLDIERPTYTNLNRLVSQVISSLTASLRFDGALNVDVNEFQTNLVPYPRIHFMLSSYAPVISAEKAYHEQLSVAEITNSAFEPSSMMAKCDPRHGKYMACCLMYRGDVVPKDVNAAVATIKTKRTIQFVDWCPTGFKCGINYQPPSVVPGGDLAKVQRAVCMISNSTSVVEVFSRIDHKFDLMYAKRAFVHWYVGEGMEEGEFSEAREDLAALEKDYEEVGAEFDE >Sspon.08G0005270-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8A:15719189:15720193:-1 gene:Sspon.08G0005270-1A transcript:Sspon.08G0005270-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLHRSQRCCSSKKPSHRAKEEEVDQRVKSTSLTNRSHTHIEGVARGKYLDTFTTGHRTAVCGDVQFTELDTITPQHSKIVDASRSLLPGVWGDALDEVAGWAAQTVGQVVGQHELLTLIGDASVASSVWAQTMDASSPPLADDRGAPPPTSPSAINKCEQTDAVL >Sspon.01G0021290-1P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1A:79393227:79394930:-1 gene:Sspon.01G0021290-1P transcript:Sspon.01G0021290-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATQLLVLVALLLLPLVAVLLGKQRGPRKGKNGARLPPGPVVAPEEETTTFEHSYVDTLLDIRLPEEGDRALTDDEMSNLCSEFLTAGTDTTSTALQWIMAELVAEEDTHKMLYLKAVVLEGLRRHPPAHFLLSHKAAEDMEVGGCLIPKGATVNFTVAEMGWDDREWDSPMEFVLERFLAGGDGEGVDGSREIKMMPFGAGRRICAGLGIAMLHLEYFVANLIGEFEWKEVPGDEVDLAETREFTTVMKKPLRAQLGAQNHELLIGVSNSHELFTTLLCLDGSFAMTPHAASKSTPTNNWVLTLKPEKSSAGGFKAHTIKPPEEAYLLHLLHDVDMYHRRPRLPDH >Sspon.01G0059140-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1D:40034257:40034931:-1 gene:Sspon.01G0059140-1D transcript:Sspon.01G0059140-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSRPRTRRVVSADAVFPEHVKHARCLAEIFFRHRLCPLSRRRDIFHGHRLTPPIKGRRAHLSSATGATSTEFGAAAAKACKGAWRPCLHRDKAERRCRSVSAKLRCQGRRCRGHRARRSHGQPSTAALSHGRPCRRSAVEGHRAEPWTALSPLSRRRPPRWSHGRPCRRSAVEGHRAGAMDGPAAAQPPEPRSPQAHLTSDATAGALPSRCHRRRGRRTNAAR >Sspon.02G0032720-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:108885266:108889902:1 gene:Sspon.02G0032720-2B transcript:Sspon.02G0032720-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SUF3 [Source:Projected from Arabidopsis thaliana (AT3G33520) UniProtKB/TrEMBL;Acc:A0A178U6Y1] MTVGSRVVVIDNGGGLLKAGFGGDNDPIAVVPNCMAKPPGGNANSKKWLVADQLQAEDVDVTGMTLKRPIDRGYLINTEVQKEVWERVLRNLLQVDPTSSSLLLVEPLFNPPALQHATDELVFEEFGFNSLCVADGPSLVHLYEASRQPMLFRAQCSLVVDCGFSFTHASPVLQNFTLNYGVRRMDLGGKALTNYLKELVSYRSLNVMDETLLIDDAKEKLCFVSLDVPGDLHLARLSFKDNPFRCSYILPDGITYKKGFVKDMDEALRYRSLPLDEEAKRKEHSIDINKSEDRKKPELSQNEFVLTNERFLVPEMLFHPIDLGINQAGLAECIVRAVQACHPYLQPVLFESIILTGGSTLFHRFTDRLERELRPLVPDNYQVKITRQEDPILGVWRGGSILASTPDFESMCITKSEYEEMGSARCRRRFFH >Sspon.08G0011430-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:47234565:47238638:1 gene:Sspon.08G0011430-3D transcript:Sspon.08G0011430-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHVNAPKSAAALYASLLQSCIGANAFRQGKSVHHRAIITSSASSPPDLHLSTKLVMFYSHFGDVAAARAVFDGMPHRSVVSWTAMVSGYAKNSRPQEALELFAFMLRSGARPNQFTFGSAARACAGARCARSGEQVHACAANGRHAGDMFVQSALMDMHLRCGSVGDARRLFAEMERKDLVSWNALMRGFVERGHCSDALGLFASMLREGMLPDHFTFGSALKACGAISVLFNVELIHTCIIKLGYWDEKVATASLIDSYAKCRSLSSARVIYDSMCEPDLVSSTALISGYSMDTNYSDDAMKLFCKIHRKGLRIDGILLSSLLGVCANVASIKFGTQIHAYMHKKQPMGDLALDNALVDMYAKSGEFLDSRRAFDEMPSRNVISWTSLITACAQHGFGEDAVTLFARMEEDGVKPNDVTFLSLLSACSHSGMMNKGMEYFTSMISKYGIDPRAKHYSSAIDLLARGGQLEDAWMLVQKMNTECKSSMYGAMLGACKTGEP >Sspon.03G0008610-2D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3D:28197305:28198033:-1 gene:Sspon.03G0008610-2D transcript:Sspon.03G0008610-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LLLALADAVPDPCTKATCAGQDIHYPFWLNSSAPDCGYAGIGLVCEGNSTLILPLKSHRYKVFSIDYKTHTVVVSDADIDEYAVGCPRLHVNLTIDTTWLQLTHSDSNITFLYSCKRNITLSSALELSGCQQQQDGNRSYVLRDGVITGAEAYEFECEEVVVAPVLDVHKKAIVGAPDGPPPANGSFREVLQGGFELNYDTHNHQCDRCEDSGGWCGYQRDESHAAGLAFTCFCESGPSSYRC >Sspon.01G0024450-1T-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1B:28234136:28237220:1 gene:Sspon.01G0024450-1T transcript:Sspon.01G0024450-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding C >Sspon.07G0012500-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:51189923:51195241:1 gene:Sspon.07G0012500-2C transcript:Sspon.07G0012500-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPREIITIQVGQCGNQIGMEFWKQLCLEHGIGKDGLLEDFATQGGDRKDVFFYQADDQHFIPRSLLIDLEPRVINGIQNSEYRNLYNHENIFVAEHGGGAGNNWASGYHQGEQVVDDIMDMVDREADGSDSLEGFVLCHSIAGGTGSGMGSYLLETLNDRYSKKLVQTYSVFPNQMETSDVVVQPYNSLLTLKRLTLNADCVVVLDNTALNRIAVERLHLSNPTFAQTNSLVSTVMSASTTTLRYPGYMNNDLVGLLASLIPTPRCHFLMTGYTPLTVERQVNMIRKTTVLDVMRRLLQTKNIMVSSYARTKEASQAKYISILNIIQGEVDPTQVHESLQRIRERKLVNFIDWAPASIQVALSRKSPYVQTTHRVSGLMLANHTSIRHLFSKCLGQYEKLRKKQAFLDNYRKFPMFADNDLSEFDESREIIESLVDEYKACESPDYIKWGMEDPGEANVAAALDSKLLGDRICAVW >Sspon.03G0021510-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:65772383:65782637:-1 gene:Sspon.03G0021510-1A transcript:Sspon.03G0021510-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDAVTYTEHARRKTVTAMDGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDAVTYTEHARRKTVTAMDVVYALKRQGRTLY >Sspon.03G0025420-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3B:86387230:86388812:-1 gene:Sspon.03G0025420-2B transcript:Sspon.03G0025420-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEPLLTSLSMENSNSHPCTLLSMDPAGSHPASAESSGGGSAANNGVGVGSGGDRELFIIPRRESAHPGPPDINLPLSADPSPPPPTWSLDTFDILDVTLGTHNYESEVALTLPKLTGNGNGNGSATIGVGARKCAKRGDSIWGAWFFFNHYFKPALVEKPKGKVTRDPSGSISGFDKSDLRLDVFLVQHDMENMYMWVFKERPDNALGKMQLRSFMNGHSKHGEPSFPFSADKGFSRSHRMQRKHYRGLSNPQCLHGIEIVGSPNLSAVPEADLKRWAELTGRELNFSIPSEASDFESWRNLPSTDFELDRPHPPAAKSATHGSHSHKKGLNGSEQEVEAHTGEPSWMHEFTGVAKHASGPVTAAKTIYEDEEGYLIVVSMLFSDPRSVKVSWRNTLTHGIVKITCVSTARMPYIKRHDRTFKLTDPFPEHCPPGEFVREIPLATRIPEDAKIEAYYDETGTGLEIMVPKHRVGPEEHEVQ >Sspon.07G0010520-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:33830809:33844089:-1 gene:Sspon.07G0010520-1A transcript:Sspon.07G0010520-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAGRARVVSLAAAVRVVAATARPASSAAAAAGVGAMALIVQGEDTAFGSLEWWAYAGISCFLVLFAGIMSGLTLGLMSLGLVELEILQRSGTDAEKAQAAAILPVVQKQHQLLVTLLLCNAAAMEALPIFLDRMFHPVVAVILSVTFVLAFGEVIPQAICTRYGLAVGANFVWLVRILMVICYPISYPIGKILDCALGHNESALFRRAQLKALVSIHSKEAGKGGELTHDETTIISGALDLTEKTAAEAMTPIESTFSLDVDSKLDWEAIGKILARGHSRVPVYSGNPKNIIGLLLVKSLLTVRAETETPVSAVSIRRIPRVPSDMPLYDILNEFQKGSSHMAAVVKAKPKNEPLPDKTEPDREAVGPAQLTVPLLSNAEESADNVVVDIERPHNRQVNGNPASNAVPRSSEDIEDGEVVGIITLEDVFEELLQEEIVDETDEYVDVHKRIRVAAAAAASSVARAPSVRRLTGQKAANLQGAQNRQGQQPPGILKKPTEGDSNPSKQVNLVEPLLEKRRSDVKAFHADHIG >Sspon.05G0027610-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:50249545:50252182:1 gene:Sspon.05G0027610-2C transcript:Sspon.05G0027610-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMVASICMEPIKQRRVEKDLDDKVADALRERTRSRQRTFRSVNSITMHEDSDGTIDNEELHSFLSKLQVQMSEKEMDNLHHYCDIDSRNGIQFQEFVVLLCLMYLLFGPDVTRRVSEFESAKLNYVFDELIDAFIFFDKDGDGKMKRKDVTHRMNEASHQERTPSHITAQLFKEMDLNRNGKVNLKEFLYSMIRWAGLKTCENHRKITIDPNTMKLKGRYLQINFHSFLQFR >Sspon.02G0053400-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:104974603:104975114:-1 gene:Sspon.02G0053400-1C transcript:Sspon.02G0053400-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MWMLFPRRKSTSSVHEAGFKDVKLKRIGPKWYRVSEGMALSWVAPSQASRDRLGPKAEDVSKPVNPITFLFRFLVGTICAAYYVLVPIYMWIKDQIVPKGMPI >Sspon.07G0012800-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:43472247:43473664:1 gene:Sspon.07G0012800-3D transcript:Sspon.07G0012800-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALQAGGEATGKRARDPTALTPAAEHSESAGIRKEKKGFSAPAAAPAPVPKSAAAPLVDVTVEDAATMDCGVCYHPLKRPIFQCVIGHMICSLCHDTLKFKRKCHVCNTSGIYRRCHGMEDLVESIRVPCPHAAHGCAMRLSYHDQESHGLVCPHTPCHCPGEACGFVGSMAVLLDHFSGTHSWPCKTARQGVSSFSVCLHDGFNFVFSSAADEHGRKYLFLLNVVRHSFCRAVSVICIRPHSAAAKEIRFVLSYPARSNANQLVKHEQKAEYFEVPCSDLSDGLPDPSGSYQFIVPNHAGGGDDEHDTKVMVDIITDNTSDQ >Sspon.01G0009540-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:28644048:28645073:1 gene:Sspon.01G0009540-2D transcript:Sspon.01G0009540-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VVEMGRIQHAATLLLAVALALFLPASFCSAELIKTTPTHWSFHLPLPDGVTGAESLAFDRRGQGPYAGVSDGRVLKWGGSALGWTTFAHSANYRKIPLCTASAVPSEQTESMCGRPLGLQFYAMTGDLYFADAYMGLMKVGPSGGEAQVLATQADGAPFHFVNGLDVDQATGDVYFTDSSATYPRRFNTEIMMNADATGRLLKYDARTKQVTVLKAGLPYPNGVAVSTDRTHVVVAHTVPCQAFRYWLKGPKAGQYELLADLPGYPDNVRRDARGGYWVALNQEKARFDATAPPAKHLVGVRLGVDGAAVEELTAAKGVTLSDVAEKDGQLWLGLVELAYVG >Sspon.07G0035930-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7D:17844852:17845496:-1 gene:Sspon.07G0035930-1D transcript:Sspon.07G0035930-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding APGNLARKDFGFGRWRPKFALEAVLDFIYPAPHPPCCLIHSPSPSRSPEPSPPPPLSLSPAAALSSAAQIHPHPPDPPSARSNVSACRSTSLSPLSAMDGYNDDSTHGEEYKVDGNHGEVYNGAGYGNDAGYVEGGHTRDFLSQAPAFAASGAYTSYADAPHRFSASSTPTPSQLGIDALDLNASKGWPSIRAYEDILRSGTRDGGNGSSYGPPP >Sspon.04G0002740-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:5017335:5018968:1 gene:Sspon.04G0002740-2B transcript:Sspon.04G0002740-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SQSDLSNGLPTPFHDPHGVSASRVDRDNPKKRAPKQPGAAPLGLRVTLVPVGMHARLGIKIPQHNTLRHHRRDLGVQDTFSATFSRHRPTDATSSHSHHHPAMTPRLERGGFQLPNTEQENSLFLRALISVVSGDTTAPATLLPEASAPPPPPPAVEAPAPAACARCGADGCPGGCELVAGSSSDSDGAECSASRGKRRGRGRRGRVSQYMGVRRRPWGKWAAEIRDPRRAVRKWLGTFDTAEDAARAYDVAAVEFRGQRAKLNFPADAAAVVTVPAPSWAGAAVQPQPHRPLPDESLRENCGSNAASPVHVAQAPTTQQGTRPVPKEQDIWDGLNEIMMMDDGSFWSMP >Sspon.01G0025910-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:91315392:91320455:-1 gene:Sspon.01G0025910-3C transcript:Sspon.01G0025910-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCKLSKELLCCYSNNRRKMELLDIILVIASLLPFSASDRQGDALYDMKLKLNATGSQLSDWNQNQVNPCTWNSVICDNNNNVVQVSLPGNNITGGIPEQFGNLSRLTSLDLEDNLLVGPIPDSLGRLSKLQLLILSQNNLNGSIPDTLASISSLTDIRLAYNKLTGQIPPQLFQVARYKYCGISEDDRRIAFGQLKRFAWRELQLATDNFSEKNVLGQGGFGKVYKGALPDGTKIAVKRLTDYESPGGEAAFLREVELISVAVHRNLLRLIGFCTTQTERLLVYPFMQNLSVAYRLREFKPGEPILDWSARKRVAIGTARGLEYLHEHCNPKIIHRDVKAANVLLDEGFEPVVGDFGLAKLVDVQKTSVTTQVRGTMGHIAPEYLSTGKSSERTDVFGYGIMLLELVTGQRAIDFSRLEEEDDVLLLDHVKKLQREGHLDAIVDRNLNNNYNGQEVEMMIQIALLCTQASPEDRPSMSEVVRMLEGEGLAERWEEWQQVEVTRRQDYERMQQRFDWGEDSVYNQDAIELSAGR >Sspon.04G0030340-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:363201:365335:1 gene:Sspon.04G0030340-1C transcript:Sspon.04G0030340-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heat shock 70 kDa protein 8 [Source:Projected from Arabidopsis thaliana (AT2G32120) UniProtKB/Swiss-Prot;Acc:Q9SKY8] MEALCLASDCSREYKAKPEVRMAEQFYTVASDNETTGEDKSQPSFPDVAIGIDIGTSKCSVAVWNGHQVELLKNTRNQKGMRSYVMFKDDTLSAGVTGGAAKENAHEESDILSGSAIFNMKRLIGRMDTDEVVQASKTLPFLVQTLGIGVRPFIAALVNNMWRSTTPEEVLAIFLLELKALVEMHLKHPVRNAVLTIPVAFSRFQQTRIERACAMAGLHVLRLMPEPTAVVLLYAQQQQQLMHDIMGSGIEKIALIFNMGAGYCDAAVAATAGGVSQIRALSGCTAGGEDILQNIMRHVLPNFDSLYAGQTMDRIQSMSLLRIATQDAIHKLVNQETVEINIDLGNGHKVSKVLDHSEFEQVNRAIFDKCEKIISQCLVDAKLVPEDINDVILVGGCSKIPRIKSLVLGLCKKETSYKNIDALEAAVSGAALEGAIASGVNDPSGSLDLLTIQATPMNLGIRADGDSFAAIIPRNTTVPARRDMLFTTTHDNQTEALIAVYEGEGEHAEDNHLLGYFKITGIPAAPKGTVEISVCMDIDAANVLRVFAGVVKPQGPAIPPFIEVRMPTLDDGHGWCGQALAKMYGKTLDLAVLPKKLQP >Sspon.04G0005340-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:15173613:15176088:1 gene:Sspon.04G0005340-3C transcript:Sspon.04G0005340-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLKSIVRELKEMRDGIGSMSRRGGSGSDGRAGHGRSGSRHSWPSLWAEQQQPQRQGQGLGQEGPQHQHQHQGRWANLPPELLLDVIQRVESSEATWPARRQVVACAAVCRSWREVTKEVVKTLEECGRITFPISLKQPGPRELPVQCFVRRERATSTYLLYLGLSPSMNVENDKLLLAARKVRRATRTSFVISLVSDDFSHSSSTYVGKLKPNFLGTKFTIFDSQPPPDAVVLPNNKPSKRQSKQVSPRLPLGNYNVATVTYELTVLRNRGPRRMQCTMHSIPAECIQEGGKAPTPTSTIQSLDEPVSTSPSTKGKEVSVEFSSTSLSADLSGPACANETPLVLKNKAPRWHEQLQCWCLNFRGRVTVASVKNFQLVASVDPSLNIPAAEQEKVILQFGKIGKDIFTMDYRYPLSAFQAFAICLTSFDTKPACE >Sspon.04G0016300-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2B:104921136:104926038:1 gene:Sspon.04G0016300-2B transcript:Sspon.04G0016300-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLRYLRAVLRQDVEYFDLKTGSTSDVITSVSSDSLVVQDVLSEKLPNFVMNCSMFLGGYAVGLALLRPLALVLLPSVLLLVVPGFLYGRVLIGLARRIREQYMRPGAVAEQAVSSVRTVYSFVAERSTMAQFSAALEESTRLGIKQGLAKGVAIGSSGVSFAIYAFSVWYGSRLVMYHGYKGGTVYAVSTAIIIGGTALGSGLSNVKYFSEASAAAERIQELIRRVPKIDSESDAGEELANVAGEVVFRNIEFCYPARPESPVLVSFNLHIPAGHTVALVGSSGSGKSTVIALLERFYDPSAGEVSLDGVDIRRLRLKWLRAQMGLVSQEPALFATSIRENILLGKENATEEEVVAAAKAANAHSFIAQLPQGYDTQVGERGVQMSGGQKQRIAIARAILKSPKILLLDEATSALDTNSERVVQEALDLASMGRTTIVIAHRLSTIRNAHLIAAMKSGEVVELGSHDELTANENGLYSSLVQLQQTRYSREATEVGGTESTSNMGQYSSHSVSRRLSAACSSSSRRSVDNAKDDYDIDKPKIPVPSFRRLLMLNAPEWKQALIGGSSAAMFGGIQPAYSYAMGSMISIYFLTDHEEIKDKTRTHALFFAALAVLTFLINIGQHYNFDAMGEYLTKRIRERMLEKILTFEIGWFDRDDNSSGVICSQLAKDTNVVRSLVGDRMSLVIQTISAVLIACIMGLIIAWRLALVMIAAQPLIIICFYARRVLLKSMSKQSIQAQSECSKLAAEAVTNLRTITAFSSQERILRLFDQAQDGPRNESIRQSWFAGLGLGTSMSLLRCTAALDFWYGGKLIAEQYIAAKALYQTFTILVGTGRVIADAGSVTTDLAKGADAVASVFAILDRESEINPSSPEGYKPEKLMGEVNIKEVDFAYPSRPDVVIFKGFNLSIQPGMSTALVGQSGSGKSTIIGLIERFYEPQRGVVEVDGRDIKTYNLQALRRHIGLVSQEPTLFAGTIRENIMYGTERASEAEIENAARSANAHVFISNLKDGYDTWCGERGVQLSGGQKQRIAIARAILKNPAILLLDEATSALDSQSEKVVQEALDRVSIGRTSVVVAHRLSTIQNCDQITVLEKGIV >Sspon.06G0011400-2T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:49688551:49690435:1 gene:Sspon.06G0011400-2T transcript:Sspon.06G0011400-2T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSRVKIGHWGGRGGQQRDVQYDPIQLVRVIVYSEGQAINGISFTYMDKHGQHHEEGPWGGADEDETPHRDIELSHADLKEISGTCGKVGNMNNIITSLRFVTNKGKTYTFGNSTGTPFHVPMQEGKIIGFFGRAGDYLDALGIYCAA >Sspon.05G0003180-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:5107793:5117555:-1 gene:Sspon.05G0003180-2B transcript:Sspon.05G0003180-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMQQPPPPQPGMAAPPPPQAGGGQPPQWGGIPPPMTQQYGAPPSQQPPAMWGQPPPQAHYGQAPPPQPYYAAPPAPVAPAAADEVRTLWIGDLQYWMDENYVLGCFLSTGEVQNVKLIRDKNSGQLQGYGFVEFTSRAAAERVLQTYNGQMMPNVELTFRLNWASAGEKRDDTPDYTIFVGDLAADVTDYLLQETFRVHYPSVKGAKVVTDKLTMRTKGYGFVKFGDPTEQARAMTEMNGMPCSSRPMRIGPAASRKNAGGVVQERVRRVCSGRDKSQPTPRQPRASEWTCKATKCHSAHSKAAYCIPALSKARKCKCKPAKWREGEGSRDTAATAETDRRGQSILRGRE >Sspon.08G0001250-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:4438508:4444045:1 gene:Sspon.08G0001250-1A transcript:Sspon.08G0001250-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MISDEYLSCLRTFMLTIAGRAKRVSQISEKVATGILSGVVKVTGYFTSSLANSKAGKKFFNLLPGEIVLASLDGFGKICDAVEVAGKNVLSTSSTVTTGLVSHKYGDKAAAATNEGLDAAGHAIGTAWAVFKIRQALNPKSVLKPTTLAKSTIKANVAELRAKHSK >Sspon.06G0006950-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:26235647:26239726:1 gene:Sspon.06G0006950-1A transcript:Sspon.06G0006950-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIIIIISLVILLALGALFVIPKSQNKDKSKGTNLGAGMTSRNFTKEEISKHNTRKDCWIIIKDKVYDVTPYVEEHPGGDAILNNAGGDSTEGFFGPQHGTRVFDIIEDFCIGQLKAS >Sspon.01G0037230-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:17515009:17516301:1 gene:Sspon.01G0037230-3D transcript:Sspon.01G0037230-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRRQASEPKQQQPGGTKAAPEKTDKKYAHVPTPLHHGHGGASKKTPRGAKGGGDAADPAAYVAAVSCSDCRFKQRALAPASPGAVIRSLFVSLTRRSTPRSSPSASGGAGAGAGDAGDVEQWRLAAADLSRRLAAATRTRDEALEETTRLKHSLAELELKLSRLEARVLPTPAAAAAFPVDSFLRAVSTARAAVRNLARALSTHLRSPSSPGPSLESFLNRAFHADFELDTDADVHTPDPAGRCQANLAAYHAIAVLTWEEVLLHGTKHYSDGLSRFCDAKMSEVVSSLGWARARAWPEPLLQAFFLAAKGVWGVRLLARSVHPPLPVVRAERGARFDPRFMEDAAASRAGRLEPASVKMMVAPGFHVYLAGAGVVKCRVVCFYSSSNGRTGGHRDGGSSANSSVGLGSGCSDMNGSATDVVDSCKAVG >Sspon.05G0022370-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:3223982:3224792:1 gene:Sspon.05G0022370-1B transcript:Sspon.05G0022370-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASGALPVVDLAPFFTDGGVSRASATEAMRQACRTHGFFRAVNHGVPAHLMARALELSAAFFALPDEDKAKARAAEGAEAPLPAGYARQPAHSADKNEYVLVFDPKLGFNVYPDEPAGFREAVEECYAKFIELGLLIQEVVNECMGLPPGFLKDYNSDRSFDFMAALRYFPATEEEVNGISAHEDANCITFVIQDGVGGLEVLNDGDWVPVEPVDGSIIVNLGDVIQVRT >Sspon.01G0020560-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:78287222:78289250:1 gene:Sspon.01G0020560-3C transcript:Sspon.01G0020560-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNGGGTGDKTKTKATGGLTGRELSSSSHASKRRRGLRCQPMADRFFPNDFPDFVAESEASDGDGEGHRPAGLRGLLSLPYTRLSDRFVRAARRLKDKVVEETWVKAGRKVTDYTLYTGSLGTALLLFKSFQVTGDRGDLALAADIVRACDEASRGLPFLTFICGRAGVCALGAVIAKHCDDQLRVTQYLSSFDEITVTEKVPNELLYGRAGYLWACLFLNKHLSEKTIPLEHINSVAKDIINEGRNLASKGSSPLMYEWHGKKYWGAAHGLAGIMHVLMHTELKPDEQDDVKNTLQYMIKNRFPSGNYPQVKAMIRIAWCTGVMVPQVFHDDYFKQSAVEAAEVVWNRGLLKRVGICHGVSGNAYVFLSLYRLTGNVEYLYRAKAFACFLLEKADQLIAEGAMHGGDRPFSLFEGKAGMAYLLLDMVDPSESRFPAYEL >Sspon.02G0018650-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:59814423:59815392:-1 gene:Sspon.02G0018650-1A transcript:Sspon.02G0018650-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPVETMATTPQVLDEPAAAVVTSVFQPGKLAVEVISVDHDARPTPPIPVLIAAPKDAGTYPVAILLHGFFLQNRYYEQLLKHVASFGFIMVAPQFHTSLISNGDADDIAAAAKVTDWLPEGLPSVLPTGVEADLSKLSLAGHSRGGHTAFSLALGYANTSLKFSALIGLDPVAGTSKNSQLPPAILTYEPSSFDIAVPVLVIGTGLGDERENALFPPCAPVDVNHTEFYRECRAPCYHLVTKDYGHLDMLDDDAPKLVTCLCKEGNSCKDVMRRTVAGSWSPS >Sspon.06G0016700-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:90891478:90893117:-1 gene:Sspon.06G0016700-1T transcript:Sspon.06G0016700-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MELEDAFRKRARASGVVGGSSAGGPDRLSSLPDCLLHTIMSFLKARQAVQTCVLSTRWRHLWRSVPCLDIDFDEFNKAPPSVINRICLSSSDESSSSDSDRWQPHPFIKYKDWEDFEDFAVTLMRRCNIAQLDSFRLNIVRSRAPVFGNRLAAGWLRRAMKYCTPDRASKLGLSSGSWCLKRLHLCHILLDDHFVKRVSSVCRSLENLELDDCSCQIQSITSHSLKTLVLKKCRWRSLSEIISPTLKTLVIDGGSNTAACALVILVPALAYLHLAVDVYRFCGGVSLNEVPSIGKAFIHLLRHKYNHARSKLDGDQFKLLCSISNSTNLELSGVRTRVLGMGPRFQEFKNLKNLLLDNCDLSDDFRTLVFFLQSSPVLEKITLRCCKFPKCSKESHIPIRNEISSSELRGLDLLCGNLKVEIIYSDGYGPHLMRLLLHISVNLSKNNIKLTKVN >Sspon.01G0038150-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:22909789:22913668:-1 gene:Sspon.01G0038150-2P transcript:Sspon.01G0038150-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQPEHADPPGRAFPPPAAPAPAAARGAHHRRARSEVAFRLPDDLGLGGGGGPNGDAFDEIGSEDDLFSTFMDIEKISSSGPADRDRDRAAETSSPPRPKHRHSSSVDGSGLFFSPGIGGGAGKDAAASLAEVMEAKKAMTPEQLAELAAIDPKRAKRILANRQSAARSKERKARYITELERKVQTLQTEATTLSAQLTLFQRDTTGLSSENAELKIRLQAMEQQAQLRDALNDALKQELERLKHATGEMTNSSETYNMRFQHVPYNSSFFPLSQQNASPHLGSTQLPPPFHPPHPNVPNHQMLSHPNTLPDIMQQESLGRLQGLDIGKGPLVVKSESSSISASESSSTF >Sspon.01G0062690-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:106561506:106564317:-1 gene:Sspon.01G0062690-1D transcript:Sspon.01G0062690-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ASSPQSLSFPVSAVAFSVPGCVAGEPTTANRIRRQGESEAPSHHPHPSPPWRIAPPRPGSSIGRKLSSAVCARVLGCVWIHPLACGSRTAVFGSARRGERGEMGLTFTKLFSRLFAKKEMRILMVGLDAAGKTTILYKLKLGEIVTTIPTIGRFAQTCLCLYLRVATLCVLSVDLPSNTGFNVETVEYKNISFTVWDVGGQDKIRPLWRHYFQNTQGLIFVVDSNDRDRVVEARDELHRMLNEDELRDAVLLVFANKQDLPNAMNAAEITDKLGLHSLRQRHWYIQSTCATTGEGLYEGLDWLSSNIASKEASGLC >Sspon.03G0032790-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:23225850:23226655:-1 gene:Sspon.03G0032790-1P transcript:Sspon.03G0032790-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding PEEARSQINEWIASATAGRIKDLLLTGCLHRGTPAVLANALYFKGAWERKFDACLTRDEAFFLHDGCVVRVPFMSSTSKQCIACRPGYKVVRLRYAQQGRGEHRVFSMYIYLPNAHDGLPTLLHKLSADPALLESSRTLTDEVPVRAFRVPRFTVAYKTNAREMLLDLGLLLPLDRDAADFGDIAGAPEPLVVSDVYHESFVEVNEEGTEATSATAVAMRFGCTRLEAPVDFVADHPFVLLIKEELSGVVVFAGQVIDPSIPQ >Sspon.01G0019440-3D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:70224795:70231164:1 gene:Sspon.01G0019440-3D transcript:Sspon.01G0019440-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPSGGSSSSAPAAAAAAKLRDEALAAVKKLEDEAASLRDSNAERSQQLQEEAALLKSAADAQERVRAAAAALDAEREQADALERQASALRERLRAESLRDDASDDDDLRSVTSEAVAIARLHSQAAAVQNIKNLIPIVLDLQSSNYSKWRGYILLALGRFALKDHVLSDVVHAQDAAWSRMDCVVVSWIFNTISPDLLDVVHERDGITARAAWLGLEQQFFNNRESRAMLLDAEFRTLCQGALSIDEYCRKMKNMADALADLGEPILDRTLVLNVLRGLNERFQFMSQLVTRQKPFPSFTDVRADLRLAELNMAPPSAPPSALVASSSSKPPAPPPPSAPPRSHQIAGGQQPGNGNSRSRRRRGGRGQGGSQGGSSSNQHQQSQGGQQWPSVLNPWTGSIHMWPGSTPGSPRAPPPHVVQSPSPQQALVAGMPPGYFTPSPSPGAYYQAPQQAPTSTWSPWTAENLANAFSTVTLSPPPSSSEWVIDSGASSHIASNPGMITASPSSSFPSSIVVGNGAILPVVGTGFSTLPGPFCLNNVLLAPDIIKNLISVRKFTTDNSVSVEFDPLGVSVKDLHSKNTLLRCNSSGPLYTLQLPASPSSPCALVATPSPTTWHRRLGHPGAATLQSLAQSSSIVCSKPADDSLCHACQLGRHFGCTIKSVQCDNGREFDNSTARAFFLAKGVVLRMSCPYTSQQNGKAERMIRTTNNVTRTLLFQASMPPSYWADALAKPIGFTPPPLLVAAAAGQEAMAGAPPPHPSFCCHFPSLSSSSTWAQVLAAPSPAASRGPPAPAPGGAAPDLGAAQAAAAGSTPLLAAGGSSPLPQQVQLQPLGAVQPRPLQPLPLGAVLHGAAPQPALPAALSGAATLPAAAATPSAQVLTAISGPGGGGGTSGGEDAGGGADAGLRPLQQPLQPLLQPLQPLQPLQPLPEGSQPSQPLVVDAAAAGLQSALPGGQQEGQARVRAAALVWERERDAADALTRQIAEAEQLLASPAGLDAGATSSASPGRRVSHTAVLWHDPADPLVAQLHYQAGGVQNIRLLVLVVLEPESPSYARWRDLVLLTLRRYALDDHVLIDASVAVQTPSWLRLDSIVLSWILGTISLDLHDLVRTSPDARRAWLALEGQFLGNAEARALRLDASFRTFVQGDLSVGEFCRRMKAMADSLGDLGWPVEDRILVLNVLRGLSNRYAHLRTWITRQRPFPTFLEVRDDLVMEELTQGLQPGSTASPGASSTALAATPPRPSAPRSSAPPPPSLLGPPPSGPSGGGGVVAVVVAAEGVAGADGVDLPRPRHLVDRRGAPWPSFQNPWSGRISMWPYHATGADSRPPVAMLTGAPPAPPAGPPPGAFPATAWTPPSGTLPGVAGWDQAALARSFSTMGLTPPVGPEWIADSGATYHTTPDPADNSCSVEFDSSGLTVKDLASRRPLLRCDSRAPLHPSLPGGSFVFFAAVLSAAFVASTSSTTWHRRLGHPGRDALIQLSRSSGLPCTRAHDEQLCHACQLGRHVRLPFSSSTSHAARIFDLVHCDLWTSPILSISGYKYYLVVVDDFSHYSWTFPLRAKSDTFTTLLHFFTWVSTQFGLTIKAVQCDNGREFDNSTSRAFFLSHGVQLRMSCPYTSSQNGKAERMIRTTNDTVRTLLFQASLPARFWAEGLHTSTYLLNRLPSAACPAPTPHHALFGTPPRYDHLRVFGCACYPNTAATSSHKLDPRSTLCVFLGYSPDHKGTASPRSVPATCPGSPAGPGPSSSGAARPLRLVQTRGLRPPLLPRGVVPVADAGSRPGTCPGTSVEVRAPVRVYQRRPRPPPLAVPSPPGTPTPPPQSPPARGAPPVYHPPLLHRHPR >Sspon.02G0033050-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:3804362:3818337:-1 gene:Sspon.02G0033050-2C transcript:Sspon.02G0033050-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVKASEARGDPPLLRAVELSRVVAGEGAGAGAVPLPSADLAGILVSNLCFAHNSPSLWKLLGQAVASRLLCPLHVLALLTPRVLPQRRAQPEAYRLYLELLKCHVTSSLLSMEAGPNRDKIGKSIAEALQLSKVYGFSGTEFGHVVIMFVLAIVNKLIDSILEDCGFPSAMAEGQESVYATDGPQPMDLDVKRGSTENQNEHREQLRRKNTLMALDVLHMMAADRKIQSFLRLIFLNMPEKFSSLPQRLSSIEAHKVSLETLLPSGHKINDLLINIWRVCKTDYQPNNKRILGVLGNMGSGGSLLGQLTGAGRPACWIIFDIYVENAIDGRHLSLISAIGIIKEMTKTMQVLNEASWQETFKALWISALRLVQRARGPFEGPIPHLDARLCMLLSLIPLAVAEILQEESDMLGAEGNKILPRRQGLISSLQDLIQYSGLLVPPSSVVNAANAAASKAAIFKANYKTGVGNSSLMDQTDSSMKAAGNMLHLIIEACISRKLIDTSAYLWPGYVVPSGTLKDTALPQESPWLNFMKGSRLSGPLIDALVATPASSVAELDKLYSIATNGSEEEKTAAAKILCGASLVRGWNIQEHVVGMVVKLLSASLPSDSSTSTPGSMSYYLAHMSTLNEILLGVSYGDAIHILSLYGMVPDVAVALMPLCEAFGSIAPPPNHKSTILGETSVYSVFSCAFLCLLRLWKFYRPPQEYCLAGRGGSVKLELTLDYLLLMRNNRIEFSNSSAPNRDSYNNMGSVNEVPAQPIYIDSFPKLRAWYFQNQACIASTLSGFCNKNPVHQVANKILNMICRKMNKSGVSSSNLSSTSSSSVSGSSVSASDDSCQRPAVPAWEFLEAVPFVLEAVLTACAHGRLSSRDLTTSLRDLVDFLPASLAAIVSYFSAEITRGIWKPVPMNGTEWPSPGASLHSIEAEVKEILASAGVQINSCYPRGVPPMLPLPMAALVSLTITFKLDRSLEYIQAVIGQALENCAGGSSWPSMPIIGALWTQKVRRWHDFIVLSCMLSPFGRDKDAVAQLIQSCFLSFLQSSPSGSDIIANRGVGALLGDSITNQGLRLPMAPGFIYLRTCRTFHDTYFISEVILKQVIEWAHKLANGWSFNGPPQLKSGRTPLSCAASMARQVALLGGGLLCIAGGPLVVQVLYEETLPTLLLSAREQSLKDPGPVSSTLQGYAMANMLFYSGSLLWGADRTDPVMKLSFLWRRPRVVRNHMDFIAGVLDGHILLGCDPGTWKAYVSQFMFLVVKFVPLWLRDIKLETLKKIAAGLRSWHEHDLALSLLERGGPQAISVVVETLL >Sspon.01G0022760-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:53684811:53685687:1 gene:Sspon.01G0022760-3C transcript:Sspon.01G0022760-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASALHQTTSFLGQALVSRPAGVDAGGRITMRRTVKSAPQSIWYGPDRPKYLGPFSEQTPSYLTGEFPGDYGWDTAGLSADPETFARNRELEVIHSRWAMLGALGCVFPEILAKNGVKFGEAVWFKAGAQIFSEGGLDYLGNPNLVHAQSILAIWAVQVVLMGFVEGYRVGGGPLGEGLDKVYPGGAFDPLGLADDPDTAAELKVKELKNGRLAMFSMFGFFVQAIVTGKGPIENLFDHVADPVANNAWAYATNFVPGN >Sspon.02G0010650-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:29357825:29360574:1 gene:Sspon.02G0010650-1A transcript:Sspon.02G0010650-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding EFFLQGRFIFGPDVRSLFLTIFLILAPVVVFCIFVARHLINDFPDHWGVSVMVIVIVFTIYDLTLLLLASGRDPGIVPRNTHPPEPEAIDMINDAGNGQTPQQLRLPRTKDVIVNGVIVKVKYCDTCMLYRPPRCSHCSICNNCVERFDHHCPWVGQCIGLRNYRFFYMFVFSTTLLCLYVFGFCWVYIVKIRDAEQSSIWKAMLKTPASIVLIIYCFICVWFVGGLSVFHFYLMSTNQTTYENFRYRYDRRANPYNRGIVNNFMEIFCTAVPPSKNNFRARVPAEQGLQQSRAPARGFMSPNMGKPVGELELGRKPISWDEPRSAADIRDLEVGLGGLLDEKEGRVAHASPDLSREALPELVEGRAGVHSRRSSWGHRSGTSESMDSVAVQMGTGDSHWGSISSVHGTTSGAH >Sspon.07G0007720-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:18329733:18333571:1 gene:Sspon.07G0007720-2B transcript:Sspon.07G0007720-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDERIPPPPFFQYSPSGVHSSPHHHNSMRYSSWDRERYLAELLAERQKLAPFMQVLPFCNRLLNQEILRASSLPPNPNFVEPERIDHGSPSPLRLAGHPMNGQTMDLEGWSGMQTEYRGVLQSPSMNWNGTPGAVGSPTVKKVVRMDVPVDKYPNEDKLRDKPGYEHLNDPLHVLVEAEFPADIVDARLNQAVAILEDLLKPVDESMDYYKKQQLRELAILNGTLREESPSSHLSPSVSPFNSTGMKRAKTGR >Sspon.06G0035610-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:78580840:78582915:1 gene:Sspon.06G0035610-1D transcript:Sspon.06G0035610-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVTAVVFILIAMSTRASAALIEQTFVVSQMSMTHLCRETLVTVVNGQLPGPTIEATEGDSVTIHVVNQSPHNMTIHWHGVKQQLNCWADGVPMITQCPILPNHNFTYRFNVAGQEGTLWWHAHVFSLRGTVHGAFIIRPRHGGANSYPFPKPHREIPIVIGEWWEMDLEQMDRDFVHGFLDDQPSASTINGKLGDLFNCDGAVEDGYVLDMEPGKTYLLRVINAALFSEYYLRIAGHKFTVVAGDANYVSPYSTDILAISPGETVDALVVADAPPGRSYYMVAKPILTPPPDPQIPAFATRATVLYKYNSSHSNGVAAALSSRRRRPRSADAPVAPEMPDEYDMMTSFYFRGNLTSLRRRHTQHLPAVPAQTSMLDAYYRNTSSMDDMVVELPGRPPRMFNFTDSALMPTGPEEEELEPTSRATVVRRFRHGAVVEVVFQSTAMWQGDSNPMHLHGHDMFVLAQGLGNYDAARDVARYNLVDPPVRNTVLVPRLGWVAVRFVADNP >Sspon.06G0015920-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:71387862:71389612:-1 gene:Sspon.06G0015920-2B transcript:Sspon.06G0015920-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding WPPPPPPQLQPQTQPETGRRPSLSASPSTSRPRLPPPPPPPPPTTTTATSRSRRCPCTRRWRLRPLARAAPRRRSCSPSSARRRPRGSPTSAAASRTASSPTAPTLAGRACSSAVASGPEAAVRMINEWVKKATDNLIDSMISTDDINTATDLVLANAVYFKGDWMEPFQPHFTSPGTFHRLDRGHVEVDFMAKYWRMDVACMDGFKVLKLPYKPCATPPAQVEPAHGQLKRRRGQVAAKSKDTPSTEVNESTQYSMFVFLPDTHDGMATMVDVVTASPAFMYSILAEMKLRVVDLKLPMFKITFNWGNLKETLCQLGLTLPFSPEAADLRGMCNGDEGDSKSRRPTFLTKVAHMAVVNVNEKGTEAGAVAFHVRGGGGPPPDLVEFTADHPFTFFIMEERSGVIVFVGHVLDPT >Sspon.01G0036030-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:16937143:16941890:1 gene:Sspon.01G0036030-1B transcript:Sspon.01G0036030-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MANSRIGRYKMANLSDPSKEDTSPEGSGTIQRTGAWSNTLNTLLQQASVYGVAAGYCLSASLLSIINKWAVMKFPYPGALTALQYFTSVAGVLLCGQLKLIEHDGLNLRTMWKFLPAAVMFYISIFTNSELLLHANVDTFIVFRSAVPIFVAIGETLYLHQPWPSLKTWLSLSTILGGSVIYVFTDNQFTVTAYTWAVAYLASMSIDFVYIKHVVMTIGLNTWGLVLYNNLEALMLFPLELLIMGEFDQMKVDSSKVSNWLSFDVVLPVALSCLFGLSISFFGFSCRRAISATGFTVLGIVNKLLTVVINLLIWDKHASFVGTIGLLICMSGGVLYQQSTTKPKAPKVEPKEENDEEQQKLLQMQGVQESNSTQKRSEAGMQQW >Sspon.01G0021300-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1A:78224359:78224782:1 gene:Sspon.01G0021300-1A transcript:Sspon.01G0021300-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding PDQPVSSPHRIEANLAPLAARLAFLSPCAHAPWAQSRALQPPPLPALLHSRRPLRHLTCTKPRPMRLLWGPSAPLASFPGPPPPLTFRLPWPDRHSPSLAESCPSTGVCWPSHARALPIAVGSLPRWPNSQLRAHPLPAL >Sspon.02G0011730-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:29745266:29749959:1 gene:Sspon.02G0011730-2B transcript:Sspon.02G0011730-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAKENGEERDDHSSDVERDGKQGKETESDYEPARNSLSSPGEATSNEDTKAKRVSRVPKKLARKESKENSPRSARSISNRQIHTKLQYISSNSNQNKSPKTNKAANGAKTVEMKRPETPKVPSCSSSEMSEETDDKAIEDRPTDDKAVEGRIKDEKAVDDSAKNDKDIEDGMKDDKAIECEVTDDKPIESKVTDDIAIEGREIEGKAIEEAKEIDILDEAPKCDQSTGTDDEIADTEENIADNGNSVSYENNEELYSKIEKLEQELREVAALEVSLYSVVPEHGCSSHKLHTPARRLSRIYIHASKFWSSDKKASVARNSVSGLVLVAKSCGNDVSRLTFWLSNTVVLREIIAQTFGSSRCSSPIKLFSTNGAKKPDRSSAPTRWKSNYNGKYAKPNIMQVPDDWRETSTFLDALEKIESWIFSRIVESVWWQVKVHPITVLALSRKLKDLSTPHSLGDQQHGSFSIDIWKTAFHDAFSRICPLRAGGHECGCLPVLAKLVMEHCIARLDIAMFNAILRESENEIPTDPISDPIVDSRVLPIPAGDLSFGSGAQLKNSVGNWSRWLTDTFGMDAAESEKDGQDVEINGDDRRDAAESTCFKLLNELSDLLMLPKDMLLKKTIRKEVCPSIGLPLVTRILCNFTPDEFCPDPVPGMVLEELNSEVYFRFARMVYFSFDLEESCVTYIERHDQHIPSHRCSSGVLPPTLEDVTEKVADTACGNNELDRRGSMVQRRGYTSDDDLDDLEFPLAS >Sspon.01G0054170-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:49232185:49237534:-1 gene:Sspon.01G0054170-1C transcript:Sspon.01G0054170-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSFVSLPPMLHRFFVACAGVGQKSTWAIMEAFFQRWWAQKSLTIKAIVHKLVNSGQLEFINGGWCMHDEAVVHYIDMIDQTTLGHRMIKKQFEKIPRAGWQIDPFGHSAVQAYLFGAELGFDSVHFARIDYQDRATRKADKGLEVIWRGSRTFGSSSQIFTNVFPHHYNAPDGFSFEVLAENVIPVQDDMSLFDYNVQERVNDFVATAIAQANVTRTNHIMWTMGDDFNYQYAESWFQNMDKLIQYVNKAARQIEFLMGRSSLGLFTSSLEDAMGIAQHHDAISGTAKQHTTDDYSKRIAIGASKVEKGVNTALTCLTNSNKTCVSSVVKFSQVRKCVVVAYNPLGWERSDFIRVPVNDENLVVKSSDGNIVVSQIAEVDNATGNLRKLYVKAYLGVATDKAPKYWLTFQASVPPMGWNSYFILKSTGAGYNNTEHVPAMVSPSNSTIEVGPGHLKMSFSSASGQLERIINSASGVDLPVQQSFLWYRSSKGDALDPQASGAYIFRPDGNTPTIVSSSVRHYRLPMFLFQLIYLSSHWHIFEL >Sspon.08G0011330-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:45308894:45310007:1 gene:Sspon.08G0011330-2B transcript:Sspon.08G0011330-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNVFTMKGRDLNVADPQSCNAARARLKSASLHYVDLPNRQRDDTLQYQFQEIVPLTAGNVLVVEDNEPASRWLALIHQALNEPQEQPDDEDDPPPPEPPPPAEARRHHHRRRDSSLFFQTPSLKVLSNSYRVDSALVKTCNCSAEPSSMRRRATEIRASVYRAEAEAEAEAAAASMSAAAAGGGETSTSGRNDTDAAADDAGGTPAAQCEPGCGGGMSYC >Sspon.03G0036680-3P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:44651672:44653491:1 gene:Sspon.03G0036680-3P transcript:Sspon.03G0036680-3P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SMARKCSSCGNNGHNSRTCSGHRCQGTSISISSSSTSCGSLRLFGVQVQVGSSPLKKCHSMECLSPIAYYGAAAASSSLSPSVSSSSSSLASIEESSQRITGRYMSDGLVVRVQDRKTGVPWTEEEHRMFLAGLDKLGKGDWRGISRHFVTTRTPTQVASHAQKYFLRQNSLTQKKRRSSLFDKVEGANKAAISRTSSVSELQFPSLSPVAADARTTKGAVLLPPCLNLMMSSASQCAGSGSSDASQSKNPSSLYLMAKSQAQLQMPDLELKMSTSRLSEQPGGAAQGTPLFGTIRVT >Sspon.01G0015300-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1A:44443584:44446161:1 gene:Sspon.01G0015300-1A transcript:Sspon.01G0015300-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTMLILHPYLLLVALTAFSSTPEAESTSGCPDSCGGIAIQYPFGIGEGCFRKGFELTCDRNLDRPVLAGTTKPVPLNHLSIRTAEARVMLPVAWQCFNSSDLVYAWSDGDVQFNREEVYRISNTNNQLVVIGCNTLGYTQSQRSEGNDYDYGYYTGCMSYCNNSKSAMNGACAGIGCCRLDIPPGLTDNRMNFDVYTHKKRLGFSPCDYAFLVDRDNYTFHTADLKMETNTMMPVWLDWAIRDNMTCDDAKRTESYACVSANSECHDSSNGFGYVCNCSMGYEGNPYIANGCTGAIGGLFIIAVAVFASLLAKEKRKMKDYFRKNGGPIIEEVNKIKLFKKKELEQTLKSSNCIGQGGFGEVYKGYLRDGTQPVAVKKPKIDVKLAGQFANEVIIQSRVLHKNIVKLIGCCLEVDVPILVYEYVPNGSLDRILHDSNRLPLNLDLRLQIAAQSAKGLAYMHSEITTPILHGDVKPANILLDEDFVPKISDFGTSRMITVDENYASTIIGNWGYMDPEYVLTGLYTSKSDVYSFGVVLLELFTRKKALDPDNNIILGNSLDTYTKKKRVIELVDPEIAAIGSTGVFHSLAEVIVQCLSTDIDQRPEMADVAERLQYLLK >Sspon.06G0004210-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:11255105:11257187:1 gene:Sspon.06G0004210-4D transcript:Sspon.06G0004210-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKLQSEVLKDAISQIVGDAKEKNRKFTETVELQIGLKNYDPQKDKRFSGSVKLPHIPRPKMKVCMLGDAQHVEEAEKMGLDYMDVEALKKMNKNKKLVKKLAKKYHAFLASEAIIKQIPRLLGPGKFPTLVTHQESLESKVNETKATVKFQLKKVLCMGVAVGNLSMEEKQIQQNIQMSVNFLVSLLKKNWQNVRCLYVKSTMGKPYRVF >Sspon.05G0018840-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:82746117:82752321:-1 gene:Sspon.05G0018840-2B transcript:Sspon.05G0018840-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Autophagy-related protein 13b [Source:Projected from Arabidopsis thaliana (AT3G18770) UniProtKB/Swiss-Prot;Acc:F4J8V5] MAASVGVSEPPMVEQVITEFFAKSLHIILESRSPYDSSRNFTRPSPPSSPLAGSQPRDRWFNLALRDCPAALENFDLWRQSNLEPLVIDIVLLQRDSTRTASVGASRIIERWVIKYEATRSGNVIKNGGKKARSSSSQDHSLYRRAYSGSTVLFRSLYLVVRLLPAYHLFQGLNSSGRICPLSLSHKISSFVEPFTRAEDAEMKHYAFAPIETLFGSLSLSVSYVPVLELAAVPEQSTPMPPELIKDYVGSPTTDFLRKLDSLPSDGIAPACFPMTRRHSWSTEHGVRPSASPFPTSSEPHGRLQPRMLTDNSPTAYSHPHNTSSSGKKRNTVIEENPSPPLLPSPSHSPSSYPRNPFFRYESAPLSIPTVMAGGGGSRLPPSPRRKDKQQCSSQNENLTHSPNDKSIVSMDLVKRSEFQNEKSLQKVLSFGNDDLVYFRGLKLTRTSSKLFIMDELDERELVFAWEDKDTIIDQLRRIDISDKEDQGPSQEVGGSLTRSPDAAIGILMHILQNAPGLRERLLLPGPSAPVPREPSLQRVVTEEHGSGASSSAGVPSTLLRSRTAADALEELNKYKEIRESILNRSKRHPCSTKLLEEKPADGDP >Sspon.01G0039390-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:27820673:27822856:-1 gene:Sspon.01G0039390-2C transcript:Sspon.01G0039390-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTQFAMVEELASLIKDNLHSKHLILSTEESLIAALQQLHCRDGDGDREEEDDAADTIELQPAGAYHRLLLHRLAEIYGFAHESVGEGEDRHLVLQRCPETAIPSVLVSDMLWKFDCSDDLTSVVLTRNDTDFQKPQKADFVQEAVSVKNSHLKDNTDLKPLKQPAVLPAASLKEREAAYRAARERIFSGDDAKGNDRSHMKCRQVPVVAQRMIAHALGQKVQNTTETVASTESRGKQLSNGKNIPTHSRNNFCPVTPDNREAVRNGKPNSAGSNSYQTPSNQRCHTTNTRAVTAESLKKEQTGAAKRMFAHALGLSAARGSYGALPKPK >Sspon.01G0003350-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:8743843:8746688:-1 gene:Sspon.01G0003350-2C transcript:Sspon.01G0003350-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMNSIGRPPARLTRPSRCCRPTQQHTQGFKHKLRQYAIPAHIRELIESKQKPDVLYDELNMKNYAEFFSALIVMEELNMEEEMRTYDMEGVLMRRRGMNFLSLEVPGLAEKRPSLVQGDFIVARYAGNDARPYQGFIHKVEADEIFLQFDHQFHMNHRDRNQYHVSFTFNRVSMRRLYKSIDDAKHLGPGILFPRQSPYRVLKRWPFKPLNPHINTEQADAVAMILACRGVPPYVVYGPPGTGKTMTIVEAILQLYTGKKRANILICAASNTAADHVLEKLLQASYLIRPSDIFRLNAQSRQYEDVNTDFIKFCFFQDRVFKCPPLKALVQYRIVISTYTSSYLLQAEGIHQGHFTHIFLDEAGQSSEPEAMVPLSGLCGRDTVVVLAGDPKQLGPVVYCKQAEKDGLGRSYLERLLTDFEQYQTGNPNYVTKLVRNYRCHPAILELPSELFYEGELIACKEGESSAYDCIGLPNKSFPVLFVGIQGCDEREGTNPSWFNRIEVSKVVSIIRNLTRGGDVHESDIGVITPYRQQVAKIKKALEAFEIPDLKVGSVEQFQGQEREVIIISTVRSTVKHNESDKFFNLGFLSNHKRFNVAITRAKSLLIIIGNPYIITKDRHWDRLLRYCADNDSYQGCPLPPPESHSYSDDTRFFSKYDEDQGGPSGQDYNQEAAYCNYNQEPAYCNYNQELSDFGLRHDTGAQPASENQELWSEELPEDENQPFSNPKQILRRCRSRMSRKGLHREMCRPTSYRPTTISSRMHTRQSTPSLLAGATFRASQQQAGATDQTCNRPW >Sspon.06G0005980-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:20020682:20026238:1 gene:Sspon.06G0005980-1A transcript:Sspon.06G0005980-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSLLGGFTKSLAMTVLSEIGDKTFFAAAILAMRHPRKLVLAGCLSALIVMTALSASLGWAAPNLAFSITFFGEWGDKSQIATIGLAADENPFGVVLGGIIAQALCTTAAVLGGKSLASQISEKMMNDGDESQPSMANRMYKPDQSSKV >Sspon.06G0009280-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:40221353:40222020:-1 gene:Sspon.06G0009280-1P transcript:Sspon.06G0009280-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYQAGYPPPATAYPPAAAGQQQQAYGAPPPPPAYVAPPPTYPPTQDQQATSASRGGDGFWKGCCAAICCCCLLDMCF >Sspon.02G0051740-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:82474131:82478723:-1 gene:Sspon.02G0051740-1C transcript:Sspon.02G0051740-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKKSDDDKSKWDQMIESVDLLFDRMNDINLSQQELKNQVMASTQKVDKFVAQQDLISQQVKANGQAVAQLTLQQFEREDQLFSDDSGSVVFTEEDGFQNVFAKDKHTTKPTSSKPHKHSHDHHTKDSIPHHSLPKMQFPTFDGQHPKIWFDNCENYFTIYTIPEKLWVTAASMHLQGNAAKWWQAYKQTHSKVQWKELCVAMHTEFGFDDYRSAIADMLSLKQIGTVEDYTTQFQALQFEVTMHSANVDELFFATTYVNGLRDDIRAVVEPHVPTTVRKAATIAKIQQRQSERNKLKYQKAQHQTKQHQQPDHRPNATYGNLWRDKQLRDYRKANNLCFHCGAKFEPGHAEVCPKKNKPQINALVVNDLDKEISEELLNQMAIEDMLTEDFCQLSLNAMAGTESTACINLKTKVKNKTMLTLVDTGSSHSFVSAHFVHMANLPTELITKQKVKLANGEWMTAATKDPQKLPPQRSYDHAIPLLPDAVPINSRPYHYSPQHKTEIETQVKQLLDPGLITHSHNPFASPVLLVKKKDGSWRFCIDYRKLNAITIKNKFPMPIIEEILDELQGSKKKAMTKLMGLQFKIKYRKGKENMAADALSRVAHMLAIQSISLVQPQWIQEVLNSYATDPHAQQLITQLSVSSPDANGYSLHQGLIKLHDLIWIGHNSALQTKLIAACHSSAIGGHSGVNATYHRLKRHFVWKGMKTDVESYIKQCKICQQAKHSNTHPAGLLQPLPIPSGVWQELSMDFIEGLPPSEGFSVIMVIVDRLTKFAHFIPIKHPYTATSIAKLFLDNIVKLHGMPHSIVSDRDTIFVSSFWKELFKLYKVNLNLSTAYHPQTDGQTERALYGYDPDLGAVQSDTATASPSVTQLIENRELHLQHLKHKLQQAQNRMKIFADRNRADQSFSVGDTVLLRLQPYTQSSVANRPFPKLSYKFFGPYTVLEKIGAVAYRLQLPADSSIHPIFHISQLKPFHPDYTPVYSTLPTVTDLEATEAVPEQILDRRLVKKGNTAIPQVLLTWTGLPKESTTWEDYHVVRKRFPAAPAWGQAEPSAGGAVTAQG >Sspon.02G0026240-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:96768160:96773519:1 gene:Sspon.02G0026240-2C transcript:Sspon.02G0026240-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RARWCMAFYPCSACGHCEDDAVDVALLIGDDCEVIVAMQQSSAASRSSTAMEEDDDYEPPLMATYKHLFDSHPHRLDVVDHRSDADEEECFLPVIDLSSLLQQHQHKQSSGAEAAAAEQCRASIVRAASEWGFFQVTNHGVPQALLDELHQAQVAVFRRPFHLKASQPLLDFSPESYRWGTPTATCLEQLSWSEAYHIPTTNTTAPAATAGDDDDKTRLVVEQVSTAMSKLAQRLAGILVADVGDGDGEDDDTAVVSRCTRSTCFLRLNRYPPCAAPSGAYGLCPHTDSDFLTILHQDGVGGLQLVKAGRWVAVKPYPGALIVNVGDLLQAWSNDRYRSVEHRVMASAARERFSVAFFLCPSYDTLIRPRCGAGGPPRYESFTFGEYRNQIREDVRLTGRKLGLQRFRKPE >Sspon.01G0043110-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:71520121:71526473:-1 gene:Sspon.01G0043110-1B transcript:Sspon.01G0043110-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPEASMLSQLQLQLLALVSEFGLLRERERGAREELRDAGQRWEAAAEEHRREARELRAEVAARDDSIRRLEARIKCLENENELLEKNENNLKESMEVLLQSREAFIKHYQAALLGKVGQGRKAYLARPSPIQTKQRNQTLFSDLAKLLGKRTRHAFFFFVVVVLFVLLLLLLFFVFVFLFVFLFLFLLLFLLLFLLLLLLLLLLLFHFFFFFFFFFFFFFFFFFFYYHGIRIICFCFFPEMKDKQIAVISEKLNSHIVLLSSVEKEVAAVKQVLGDVHCLVDEKENVVADLKDKVQRISVLEKDFVEKLNFLESKITAYQLELRSRARIIYELKNRLETEKLNSKNQAMRLELHNMEIALQKFQDLFGSIGHEGMKSLPAISESQDVQDVNNEQLESCTPTTQNVDHQLETDPGSMHVQSPVHFKSSALPSPELVAVNTETTNSLLEPKGDINMSASPSSSCREREEARKN >Sspon.04G0019100-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4A:67921102:67921869:-1 gene:Sspon.04G0019100-1A transcript:Sspon.04G0019100-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MWHVIIVDCYDCKKGTFNFIVSIHRYEKKGFRLSRTKTEYMRCDFSATRHEEGDVSLDGQVVAKKDTFRYLGSMLQKDGDIDEDVRHRISAGWLKWRQASGVLCDKRVPQKLKGKFYRTAIRPAMLYGAECWPTKRRHVQQLSVAEMRMLRWCCGHTRRDRVRNDDIRDRVGVAPIEEKLIQHRLRWFEHVQRRPPEAPVRSGVLKRADNVKSGRGRPKLTWDESVKRDLKEWNIPKDLAMDRSAWRLAINVPEP >Sspon.07G0015020-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7A:53955665:53962153:-1 gene:Sspon.07G0015020-1A transcript:Sspon.07G0015020-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGMVVRASTGALSSLLSKLSVLLTLEKLADMEKLDGQTKVWRDNVREMGYDIEDCIDIFMHQLFQEEDDDKDGLFHKIARKIKELRVHYQLGNKIQELRTRVEEHSQSRDRYRIDESISQSRVVEVDPRLPALFEDAKRLVGIDGPVEEITKLLMDGDTHSGQLKVVSIVGFGGLGKTTLANQVHTKIKNRFECTAFVSVSRTPDMPNILKGILSKLGYAGMGMEDNVKKLIEMLRAQLSNKSGGGKRRARSQRPVIARARGAGTGVGSAEESGGRRENELTGGVPWQRENRGERGVRVGWAAVAAARLGRPNAGGGKGAGRWYLVIIDDLWSTKDWRTIECAFVENNNGSRVMTTTRIQDVATACCFPSQGHKYQMQPLNELHSRRLFFRRLFFRRLFYSEDGCPEQFREISDDMLRKCKGVPLAITSIASLLSNHMLVETWEKIHNSLGSELDTNPTLDWMRHVLSLSYNDLSHELKTCLLYLGGYPEDHAIRKNDLVRKWVAEGFVQEKHGADLEEAAENCFNELINRSIIQPCFHPFSGEVWGCRVHDLMLDLVIFKCKEENFITIIDRESIMNGATQVRRISHQFNQRDMDLAAEGMSLSQVRSYNSFHLADCMPPFSKFELLRVLDMERGTMPGPKSMYLDLSAINHLILLRHLKLPIDFLEGVTLTNGLSKLCNLRTLSRFTTRTNSVECIRDLGELTNLRELGVIYSRADDVEDNLDTILVASLDKLGNGNLRYLVFEVRSGAMPPSTQFWSNCLTRLQHLERFCLDGVIPKVPNWMVHANRLAYLYQLEVQELPSDDIQLLAQLPCLIYLWLIAKTIPEKNIIIHPNTFPSLKDFHFSCELSCLKFETAAMPRLQRLDIRLDGRGQGAMQLQEGSPVCGIEHLASLVKIEIAVEILAKYDHGSKIEAACRDAISRHPRSQAMQIH >Sspon.01G0006320-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:14636211:14637586:1 gene:Sspon.01G0006320-3D transcript:Sspon.01G0006320-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRILGSYSIESLEKVSIGLGLQALRVHMSDDTTHILFTRTPKEAQDVLWLLSVTNFPKLDHKIQFQSWENIQVKLFEKCIIRRTANMGIFLYSMLMFWRNDAEEDSLRIRSIFVIEGSILVCIEDLDQFGGIPYDSNPPYFSLDASCSISNIQEV >Sspon.02G0007050-1P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2D:15256661:15257689:1 gene:Sspon.02G0007050-1P transcript:Sspon.02G0007050-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GKLTRICNKSGIKQRFIHLDEQLLAANPDFTDRTLPSLDARVEIASAAVPELAVSAAAKAISEWGRPATDITHLIFSTYSGARAPSADRRLASLLGLSPTVSRTILNLHGCYGGGRSLQLAKELAENNRGARVLVACSEITLIAFYGPEGGCPDNILGQALFGDGAGAVIVGADPVSPVERPLFEMAFASQTTIPETEDAISMQINKGGMEYHISNQVPRLLGCNVERCLVDAFGALGIHNADWNDLFWAIHPGGRAILDHIEGVLGLDDGKLAASRHVLSEFGNMSGTTVIFVLDELRRRRGQAASLQEGHAPEWGVMMAFGPGITIETMVLHAPATLEGN >Sspon.03G0033350-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3C:54946896:54950100:1 gene:Sspon.03G0033350-2C transcript:Sspon.03G0033350-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEAKDNEVYEEDLVDYEEEVENVVDGAATNGSADVVKKGYVGIHSSGFRDFLLKPELLRAIQDCGFEHPSEGKFYFTFLLLANYALYYHLGVMHGFEGLQHECIPQAILGMDVICQAKSGMGKTAVFVLSTLQQIDPVAGQVAALVLCHTRELAYQICHEFERFSKYLPELRVAVFYGGVHIKNHKDLLKNDCPHIVVGTAGRILALARDKDLPLKNVRHFILDECDKMLEALGRTCAEMSRRSSK >Sspon.01G0016100-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:49204275:49207590:1 gene:Sspon.01G0016100-1A transcript:Sspon.01G0016100-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKPRAFAKVFLPALPPPPLLTAPVFSRRPTTRGAGAAAMSAAAAAPAQAPRKWEGLVDEALEREVLGACLDQAPERRRIREAFKNVQLSIGHCLFKGQYSGIGTKEVIYAIELIPCFLSNECTLYVGEIFECFTLCLSDIVICCCFLHIFLMIVFILGVARKIASAGYGVFALDYPGFGLSEGLHGYIPSFDTLVDDVAEHFTKVKGNPEYRGLPSFLFGQSMGGAVALKVHFKQPNEWNGAILVAPMCKIADDVVPPWPIQQVLIFMAKLLPKEKLVPQKDLAELAFKEKKKQEQCSFNVIAYKDKPRLRTAVEMLKTTQEIERRLEEVSLPLIILHGEADLVTDPAVSKALYEKAKSQDKKLCLYKGAYHAILEGEPDETIFHVLDDIISWLDQHSAREE >Sspon.03G0014660-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:66332143:66335913:-1 gene:Sspon.03G0014660-3C transcript:Sspon.03G0014660-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRPSEQAELRRSSFKASVSAADGLRRRVSAMDSIRKESRGSALRRKRCSEAAPHAQSQPPALEKMVGSTLLANLTQWAAGLYSDDSSMQFEAAREFRKLLSVERDPPIKEVVECGVLPRFVQLLSREDYPQLQFEAAWALTNIASGSSEDTMLVVNIGAVPIFVKLLTSPNEDAVWALGNVAGDSAKCRDIVHAHGALFPLLQLFNGNPRLSLLRTATWSLSNFCRGEPNFEHVKLALLVLRQLIHSEDEAVLSDACWAMFYLSRDTEDNAWIEAVIETGACPRLVELLSHPSPSVLVPSLLVIGSIAAGDEVHTQRIVDHRALPYLLNLLITNQIKSVKKQACWTISNITAGNKEQIQAVIDANIIAPLVHLVRTAEFAVSNEAAWAISNASCGGTHDQIKYLVSQGCINAFCDILGHSDTRVLIVCLEGLGNILKVGEQEKDSGACDVNMYAQMIEDADGLDKIEDLLNNDNGMVYQMAAHLLETFWVVEDDVMPSEGNAPQTGIHNSNQQVSVPPGVFKFG >Sspon.03G0025520-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:43312555:43313282:1 gene:Sspon.03G0025520-2C transcript:Sspon.03G0025520-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLKASKPAGVAAQGKEPAPKLSETATKPSAAKSGGVKKAEQKPRETKKKNITCLRLVELYLYVNRMAYSNGK >Sspon.08G0016520-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:65058751:65063941:-1 gene:Sspon.08G0016520-1A transcript:Sspon.08G0016520-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFLRSLADSFSSLLFSSGGEAAPMDAAGAAPSPAAVVGERVAVKLRGYFDLAKEEIDKAVRAEEWGLPDEATAHYRNAMRVMLEAKAARVPDAVSSSERGQVRVYQEKIAKWQAQVEERLRVLGQRSGEGATAAVVPKKVAASNPIGRTARTAPNSIQRSPLQNSPTFNRGGQASGHQKIGSGASRPVQKAGGSYDDKLVEMINTTIVDRSPAVKWDDVAGLDKAKQALMEMVILPTKRRDLFTGLRRPARGLLLFGPPGNGKTMLAKAVASESEATFFNVSASSLTSKWVGEAEKLVRTLFMVAIDRQPSVIFMDEIDSVMSTRLANENDSSRRLKSEFLIQFDGVSSNPDDLVIVIGATNKPQELDDAVLRRLVKRIYVPLPDPNVRKLLLKNQLKGQAFKLSNYDFERLAVETEGYSGSDLRALCEEAAMMPIRELGPQNILTIKANQLRPLRYEDFKNAMTVIRPSLQKSKWDELEKWNEEFGSS >Sspon.02G0034990-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:10459830:10465234:1 gene:Sspon.02G0034990-2D transcript:Sspon.02G0034990-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLRLHLFILFVIIIFLVYNMANFQHKQTSLEAKSHPFDTVTVSDRDAVKVPQKVEARIGYLPHGIVESNSDMELKPLWLTSAQSKFLPENFTAMLFHYDGNVNGWNDLPWSKSVIHIAASNQTKWWFAKRFLHPSVVSMYQYIFLWDEDLEVDNFNPRRYLNIVRSEGLEISQPGLDSKLSEIHHRITVRKKTGTFHRWVEGMAPVFSKSAWQCVWHLIQNDLIHGWGIDYKFGYCAQGDRTKNIGVVDSEFIVHRGVQTLGGSTVTKGKAPGLDMRTKIRRKSRSELRDFQKRWDRAAREDRTWVDPFARSRRKRRNRNPQ >Sspon.04G0033650-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:63267014:63270287:-1 gene:Sspon.04G0033650-1C transcript:Sspon.04G0033650-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPAAAEDAPSPSRSGNSGETRVFLWCDTRRFAAPSRKRLRTDLQFILYMILHLRVQAQPLCKDRSVAAHV >Sspon.01G0028100-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:93849806:93851788:-1 gene:Sspon.01G0028100-2D transcript:Sspon.01G0028100-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DILSQILSRLPINDAVRTSVLSREWKYVWRGHTNLTFNSATLRKYYSKTSFGYGFINDEEFITRVDTVLRHHSGAEIEHMEVKHRLDNKHANHIDRWINFAITSKAKELIIDLNGGFELSLSRDMSRGIHKIREESYSLPSQLLSADNVSYLQRLELTSLSLQLPTDFKGFLNLRNLILVDVSITDKDVQYMLSRCNHLEFFEVAYCRMITSIQVPHPSNQLKHLVVDKCPLLQVIELNCSPTMLEYTGTIAPLIFGSTSRLKNICINFKLCNAILDYMVTGFPSTLPSLETLTLQCAQWKRIILPGNPFIFTHLRHLKLELVLYGKKKRKTDVLDYAYLLEVAPFIEKLELLERGRELRIRPPHQHAHLKSVRISGFFGHKDQVELALHILRSSIILEKMEISPRVEIGGCCGSEKQHYEREQYADGHRVATEFVCKADQRNVVNAVKASFSWGPPLDRGYASGVHETSRLGGRLSRMHKCRRVKPPKTRT >Sspon.05G0017730-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:71115471:71121684:1 gene:Sspon.05G0017730-3D transcript:Sspon.05G0017730-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIEQIKCRKQGTQIQSVAYVKSLRYIEIITDLVRKFDAPLSQYARFRCHFSQTLPIQVFLRAHKAMAPTTTRIRESEHACTVVPLVTGGGSIDML >Sspon.03G0007750-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:28053828:28054928:1 gene:Sspon.03G0007750-2B transcript:Sspon.03G0007750-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLYQGIRMCLQCSGECVIWQESVDEQPWEKVRSSSPLKVKEDDEVDKLEININNPKRSKRTYASPSPEVAMKISRSLRSLNAQTGLFTKHMKLIHQDPELRAQRVAAIKRTKGTAAARKRASETQKAFFSDPENRLKRSIAMK >Sspon.04G0005690-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:16982143:16987149:1 gene:Sspon.04G0005690-3D transcript:Sspon.04G0005690-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAKAAAAAVSAGAAFALSSERAHADGGGSTFRFPGFYSSAPAQAPSPPRRRPSSPHRRRAGGARKPRRSRRSAAGFDPAPLERGVEALNQLNKSSDPKKLFELMKKREEMHQQEIAAKKLELQKTLAEIELEQKRVDFEERKKLDQQRAKFKSQTAQYEDDLKRRRLQAEHEAQRIRNQELVKMQEESGIRLEQIRRATEEQIQEQRRQTERHRADLAQATLSKKAMAEAEGRILVTKQTEDVKRRLLLEEINADREKWIQVINTTFEHIGGGLRTILTDQNKLVVAVGGVTALAAGIYTTREGARVVWGYVDRILGQPSLIRESSRGKYPWSGSLSRATSTLTSKLKNGSNLGKDGNGFGDVILNPSLQKRVKQLANATANTKLHQAPFRNMLFYGPPGTGKTMAARELARNSGLDYALMTGGDVAPLGSQAVTKIHQLFDWAKKSNRGLLLFIDEADAFLCERNKTYMSEAQRSALNALLFRTGDQSKDIVLALATNRPGDLDSAVADRIDEVLEFPLPGEEERFKLLKLYLDKYIIKAGDKHEKSWLRFFRRQPQKIEVKGITDDLIREVAAKTQGFSGREIAKMMASVQAAVYGSKDCELTPGLFREVVDYKVAEHQQRRRLAGEEPKQNA >Sspon.03G0010650-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:33840350:33844415:-1 gene:Sspon.03G0010650-4D transcript:Sspon.03G0010650-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGDLSSEMERKVLGLTLWVWIAIGVVALLVAILLMICIWVASRRRTKRTMDTLRQTQIPIYSKEIPVDRVGGRSLAQTMHEREQPSLPPPDKYANREPAGATLGHLALSKSSDHDNMSQGSSVCNVDRAGSVHSGEDGSSGPRRKPNSPAAFVSASPLVGLPEFSHLGWGHWFTQRDLELATNRFSKENVLGEGGYGVVYRGRLVNGTEVAIKKIFNNMGQAEKEFRVEVEAIGHVRHKNLVRLLGYCVEGVNRMLVYEFVNNGNLEQWLHGAMHQRGVFSWENRMKVVTGTAKALSQIVDILLCPDDNPPFRQVNLVEWLKTMVANRRAEEVADPSLEVRPSIRALKRALLVALRCVDPDSEKRPKMGQVVRMLESEEVPYREDRRNRRSRTGSMDIESIAEGSNSAEFGKKVERTGSSTSDRSQP >Sspon.01G0061260-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:92470101:92470661:-1 gene:Sspon.01G0061260-2P transcript:Sspon.01G0061260-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATACFVLVTFLALCAAPAPAHGARVLTPGGAGAVTKVQQGGTSSNATAADEYLAPHNQARAAVGVAPLRWSADLASAAGKTVAQQQRQGGCAFADMGASPYGANQGWASYRARPAEVVALWVAEGRYYTHANNTCAAGRQCGTYTQVVWRRTAEVGCAQASCATGATLTLCLYNPHGNVQGQSPY >Sspon.05G0029230-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5B:77068330:77069928:-1 gene:Sspon.05G0029230-1B transcript:Sspon.05G0029230-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding PRCPKSPILPNRSNPNPSGHCSPDSRLAGRASRRAGARERHGRGHQAELGRGAVRAVGVQPSRRPAASRSGRRAASQQSGGGGRPDPAGQRPVRERPRTWAVLETSAAADSEVRPAPAFQRGLRLPRRFSAKVRLLFDKMPQGKTAKGAVLQPAGGSRGIESLPDGVLEHNLGFLPSEEAVRTSVLARRWRHLWKSAKGLWVGSVDPDQLESVEDLRSLVNHLLLLRRDSPLEKCYFTFNAQLSSHDDVSHVNLWFQHVVMCKVHVLSLSMFIHSPDETWLQLDNLPLISQHLRRLELCGVQVHNSFLNFTNCPSLDHLKLMDCELSSVNKIVSESLKHLIIIFSIGSSDSRINIYIPNVASLRLEHFGGRTPILEGMPSLVEASVKITGGCRDCCTNANYFWTCDCESCDSSDSTANGSINCVLLRGLSEAKSLALRSASDMFIF >Sspon.02G0027860-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:104304634:104308603:1 gene:Sspon.02G0027860-4D transcript:Sspon.02G0027860-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAATGTVSWVAAQPSVLGRCGGGGGAPSASLKGSACGVGGGGCRVRDRGVAMPRCCARAQEKRPPRVRKSKEERREMVESFINSYRVANEGKFPSVNLTHKEVGGSYYIVREIVRDIIQENRVLGPGGLDAMALSFEDCADSSESSMKHELGQDSIEILDMSGSEVSKGYVPEISSTDESFPLQDNAISTQTLLGSSNILEAGVLNSVVQNGSTADAIFMETNLEKQDEVPSGGSIEFDLNSSEEQARLFAQVSDSDEDIALNSQADAQDGTASSATDRVILPLESSAVYENNAALLRDHETLPNDNHDGSTDSAVDDANLPAVTNGVQAKQASLHEHDASTGSVSIDNAQSLDSQFRTTVSTDPINGFKLEDEVATKTIEASKVHMLQDELEQTLVDTSCDGQENSDSPVSHPALDTKGLLHTEDQHSVKTLKALQKKDDNLFWLVLRAFVVAISKIWAK >Sspon.06G0023380-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:43435590:43437907:1 gene:Sspon.06G0023380-3D transcript:Sspon.06G0023380-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAAAAGEGGKEKGGAGPGPGGACELCGAAARVYCSADEATLCWGCDAQVHGANFLVARHARALLCRGCARPTPWRAAGPRLGPTASFCDRCVRRGPGAVGVAAPTRRWAVPAAAETTRMTTTAAATATTRWSLRTRTRTTTRKEEGGENQVVPWTEEAEATPPPVASSTSSSSREAPANGASAAECAKENLPCSTSQPGLCHHGGRSDEATSSRNGGRFLASRHRKRSPSDFFSSGSAQSGSGTPARNCSNAGIGRNDFT >Sspon.05G0001990-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:6556806:6558786:1 gene:Sspon.05G0001990-1A transcript:Sspon.05G0001990-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNLDYCFSNDYILLRPDRAGPVEFLHLLFSPKVGRNRAVDCFTSTEVRSFARRLAIFLNLLLQVLLLSLAGPLAALGAAVEFVLNLVDNLLHGRLEYPDRSSPTYRSVTGLIDRRVDLDRSIKPTDSRFDAALCVMASKLAYENEAFIRDVVTSHWQLIETAALWRMQMDFVRFYNCWNGKTMLLCVFALALQQADILDSSRYHAEFRNAYTAQAFVFCDKPAADAELLVVAFRGTRPFDAAGWCADLDPSWYKIPRLGRAHAAYTHALGAQRNIGWPKWVEHIKGKPQKVYAYYTIRDTVKELLEANTKARLLVTGHGSGGALAVLFPAILAYHKEKAVLDRLAGVYTFGQPRVGDAMLAMFVERNLDRPRKRHFRITYGDDSLPRLPNESSAVHFLHFGLGLHFDKSYKLKVLREIPGEEASSSSVLDAVTSRVSSAWEIGRGVYLGYQRGAYFREGWLLLLMRVLAVALPGLPFHRVQDYVNAMVLGGYIPKDN >Sspon.03G0008500-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:22884220:22887981:1 gene:Sspon.03G0008500-1A transcript:Sspon.03G0008500-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFCCIDELSPEQRPCLSRRASVQEQISSSFMDSPPPPHLDGPFFGADLIPSGRLRRMRGYRHSPGGLEEEIMMFQTRVLLGGMNMYDRYQDWRLDVDNMTYEELLELGDKIGYVNTGLREDEITRNLRKVKHPSFSSFRFTTEMERKCSICQEEFEANEEMGRLDCGHSYHVYCIKQWLSQKNTCPVCKTAVSKN >Sspon.05G0038500-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:5D:46794238:46794714:-1 gene:Sspon.05G0038500-1D transcript:Sspon.05G0038500-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGQDWRGRGAGKGPPPGGVLGRGAGCRPEVPHMATASVERGRDQQGRGRGGVRRCQMQVHDRRGMGRGGPVRAGLATTALAVTGGRGGKKEIEQVCLCDRWQWWVIFTNSTKLEFSEHPLRCSMDLVDLRARSKFLADLEFMELGCLAGKIRSRSVF >Sspon.02G0035220-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:17838151:17846171:1 gene:Sspon.02G0035220-1B transcript:Sspon.02G0035220-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFRAREMYKKVVRRVGGEGKLPAELMKSVKDMLPDSNVVMGRAKRGIFAGRHIRFGNKVSEDGGNKSRRSWKPNVQEKRLFSYIHDRHIRVKVTTHAIRCIDKAGGIDEYLLKTPYSKMDTEMGIVWKAKIEKMYSDLAEMEVGFFPPEEEAKIKEGFDEVRAAKRDFRREARRALAKQRQLEASSAGDDQTSEVTDTKEE >Sspon.03G0041090-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:36063514:36063690:1 gene:Sspon.03G0041090-1C transcript:Sspon.03G0041090-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVRKEHRTQTMQDIKSTDPMQENSMYMISQPTRIIPSEVQLQMSKPPSKEIYQPSWY >Sspon.06G0004560-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:14365353:14368650:-1 gene:Sspon.06G0004560-1A transcript:Sspon.06G0004560-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:BAHD acyltransferase DCR [Source:Projected from Arabidopsis thaliana (AT5G23940) UniProtKB/Swiss-Prot;Acc:Q9FF86] MGVENGSSPCPAVDAAVTVTGARTVAPAKNRCTLATFDLPYITFYYNQKLLLYRTAPDFPFPDAVARMTAALADALRVFYPLAGRIRQLDDGALAVEGDEGAEVFEAEALGVAVDDLAGGDCSDEAEKVMQLLVPYTGVMNLEGLRCPLLAVQFTKLKDGLAVGCAFNHAVLDGTSTWHFMSSWAELCRGAPVSLQPIHDRSLARSVRVRLELPASAEAHEKTDPNGPKKPLVARVFSFPAPTVARIKAAANAALPPGAASAKPFSTFQALGAHIWRAVSRARGLGPADITAFAVFADCRARLDPPLPPAYFGNLIQAVFTGVPAGMLLGGPPELAAGLLQKAIGEHDAAAVARRLEEYEAAPKLFHYSDAGPNCVAVGSSPRFKVYDVDFGFGRPERVRSGGNNKFDGMVYLYPGRSGDGGIDVELALQPEPMQRLEKDDDFFLRQAAA >Sspon.07G0019800-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7A:73491546:73495453:1 gene:Sspon.07G0019800-1A transcript:Sspon.07G0019800-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTTTSASTAAAAQRRRSRRKAPPPRQPWCCSFGLDPSTAAAASRSPGPLPAPPRAKPPPHQLAPPLSRRIRSPGRVSPIDDPTLPGAAGSSSVSARLSSVTECPPPALLPPPPPPPPAAAVEKPAAILKLRLVDKGVILEVDELERVRRESKMVRNVLGERGGEVTVEGMVEVEAFREAVDMMLDDEDEAAAMRRLARGGVARAITVLEVSLSLMFDRGVNNCLRYLDAVPWNESEEEAIKKLMYQHSSYKSAFRNLLARLQPESPASSADLVVELADSITKGTNNNARKELRNLVNGILSKSSVYIKGDKELDSRSIYCICHSCVNSLVGLFEESLELAHADQTSISSVGKGPLSRIYKLVEDINWLLQILIDRQMGEEFVDLWADQKTLSSMHDRVSPMVCHELSRISATVFIAMGSGKLHCTRDRRFAVFQAWFRPMLVDFGWLRRYPKGLNMTALEEGIGQALLTLTLKQQQALFLEWFEAFSGQGRECPDLIRAFQVWWRRSFVRSLGRSNS >Sspon.01G0021390-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:78764065:78765573:1 gene:Sspon.01G0021390-1P transcript:Sspon.01G0021390-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGILPIRGAGRPGAETPVQGLPCLGAPQQGGCAKGRSLACIHVVSRSAALWIPPIIHTVQTGGGYGRSGHEGLGSPAGCPKPSVRTGKRKMGQRKRGSSWGRRGADDFKRRFVFRGPLWTTVAAHRRGSNGGGRGGLEGGGERRRQDLFSAGSDTSSSTVEWAVAELLQNPNSMAKACDELATVIGSRKNIEESDIGQLPYLQAVKLRLHPSVPLLPRRAPVDTKIMGYTIPKGCRMFINVWAVGRDKETWPEPEKFMPERFLGKTVDLRGGDFDLIPFGGGRRICPGMPLAIRMVHLLLGSLLNQFTWRLPAEIEGKGVGMDEKFGLTLIKAVPLCAMATPI >Sspon.01G0029870-4D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:99874601:99877423:-1 gene:Sspon.01G0029870-4D transcript:Sspon.01G0029870-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFHSQELLEAVEQERNIRANRSHNTAVFHHPMLGDFEIPVGADDAELEERIIQHLAAAAAVRRSHRHHRRDGHQSRSGASSHPQFLVLSADERTTSGEEGDYEQAPAVVSGRPLRTLVEQERTRGLVDASSPSLRFSTPADVTGRSYNRISEIQSTPVDQDGAGPSDLLSISDNLRSRLQSASMRYKDSITKSASGWRERWFSRSNSLSDLGSEVRREVNAGIAAVSRMMERHDARDGTGPSATSASGSGARTDAVAVGFLTRRLACRGRGRRIVSLVPGPMLQTWMNPPTPTDAFPVVPSREVQMPTVADVRMQAGRAADNPHHAALPANNFCGLCGCLLHKR >Sspon.04G0031340-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:11494124:11496816:-1 gene:Sspon.04G0031340-1P transcript:Sspon.04G0031340-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGGPPRKRNFKIEAFKNRVELDPKYAERTWKVLEHAIHEIYNHNASGLSFEELYRSAYNMVLHKYGEKLYDGLQNTMTWRLKEISKSIEASQGGLFLEELNAKWMDHNKALQMIRDILMYMDRTYVPTSHRTPVHELGLNLWRDHIIHSPMIHSRLVNTLLDLIKGERMGEVINRGLMRSITKMLMDLGPAVYQDDFEKPFLDVSASFYSVESQEFIECCDCGNYLKKAERRLNEEMERVSHYLDAGSEAKITSVVEKEMIANHMHRLVHMENSGLVNMLVDDRYEDLGRMYSLFRRVPDGLSMIRDVMTSYLRETGKQLVTDPESLKDPVEFVQRLLNEKDKHDKIISVAFGNDKTFQNALNSSFEYFINLNNRSPEFISLYVDDKLRKGLKGATEEDVEVILDKVMMLFRYLQEKDVFEKYYKQHLAKRLLSGKTVSDDAERSMIVKLKTECGYQFTSKLEGMFTDMKTSQDTMQDFYAKKSEELGDGPTLDVHILTTGSWPTQPSPPCNLPTEILTVCEKFRAYYLGTHNGRRLTWQTNMGTADIKATFGKGQKHELNVSTYQMCVLMLFNNADGLTYKDIERDTEIPASDLKRCLQSLACVKGKNVLRKEPMSKDISEDDTFYFNDKFTSKLVKVKIGTVVAQKESEPEKQETRQRVEEDRKPQIEAAIVRIMKSRRVLDHNSIVAEVTKQLQARFLPNPVVIKKRIESLIEREFLERDKVDRKLYRYLA >Sspon.01G0035000-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:75672954:75678682:1 gene:Sspon.01G0035000-1P transcript:Sspon.01G0035000-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQRSPAAAEAEAAGATMVPSVGGVEPAVTLDQVPRWSDPDQRIFLASTPDEASAEGAGSDATSASGFISFSDPLTVDDGAGAGGRAGAASRFPVDQEINSRIYLWRGQPWNLEVDAVVNSTNESLDEAHSSPGLHAAAGSGLAEECATLGGCRTGMAKMTNGYDLPARKVIHTVGPKYAVKYHTAAENALSHCYRSCLELLIENGLESIAMGCIYTEAKNYPREPAAHVAIRTVRRFLEKQKGKIAGVVFCTTSSSDTEIYKRLLPLYFPRDKQEEEIAILKLPADVGDENGETVIDERKIRIRPLPAGVVDRTVSATLVDLPLSDSGSALKRGSFKLDSYLDPSFMSIIKDPDLRHKEQWEKSAQAQKGFNYARLLGYGDLACPSLSAAEEYSLHSRYLAKANSVNLSEIAEMKIIYRGGVDIEGRPVMVVVGAHFLLRCLDLERFVLHVVKEFEPLIQKPYTIVYFHSAASLQPQPDLGFMKRLQQILGRKHQRNLHAIYVLHPTLGLRTAVLAMQMFVDGEVWKKVVYVDRLVQLFRYVPREQLTIPDFVFQHDLEVNGGRGLIVDPRTKHIYQRTSS >Sspon.04G0015450-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4A:57910048:57911448:1 gene:Sspon.04G0015450-1A transcript:Sspon.04G0015450-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQYIQYSTNYILLHGRGRSPPGAAPPFRRPILIDPAAIVVVAQQIGTSPASSPDRRRLVPGLVVGCRRQHLPDLRRMEVDGSRPVPCRRGGGQARPHARPCAAMEAAESGVSLLLGGSAHLHHVDPRRRLRPRASPDRVAPPLSCHLAGYIRSPAAVSFWFTRSLIGIESPTARRPRAYYSGIGIGCPDTSAAPARQVLRASSPSNRAAAVSPHRAAAPPPVCLLRRCIYIAVTSAATRTVAEPCSPVRPPHRVVAESYSPMRPLPHCFFFVQHNLTVGALSLVRMVLATPSRAFVPDTLLDLANPASPRRSGGLTASTSASILPFPPRLPRLHRRLSSTSTTALTASTSASPPPTTIASTRLRHHHGAPCAPAAPSTRQPDHNYVDLGHLQHGFYDHGYCALTLGYLDIGIKGYRLA >Sspon.07G0026860-3D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7D:49939701:49942905:-1 gene:Sspon.07G0026860-3D transcript:Sspon.07G0026860-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLREKEAELVDTVLHVALLRVQRGLVLMRKCFGEKNFDLNKPQLLARLPNPDAHYRRGPIAASKTKIYMVLELVNGGELFDRIASKGKLPEQEARRLFQQLIDGVSYCHEKGVYHRDLKVLQNRGYDGSLSDIWSCGVILYIMLVGYLPFDDRNIVVLYQKIFKGDTQIPEWLSPGVQNLLRRILEPNPMKRITMAEIKAHEWFQEDYVPALPYDNDDEDSELYIAHQVKEKISEAPEDKTTHQINAFQLIGMASSLDLSGFFEEEDVSLRKIRFTSTHPPEDLFDKIENSASEMGFHVHRGHSKLKLTRNCDGSVNQKNPVSFLVWTEVFELSPSLYVVELKKSHGDPALYRQFCERICSDLGVLKMDQIFGTRPIADDLASLDKRSATPLVAL >Sspon.04G0027560-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4B:60082513:60083926:1 gene:Sspon.04G0027560-1B transcript:Sspon.04G0027560-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMGESDSGSWEKAIPTSSVCRDSGETTAAAEAPRGRHSGRRRRPPPACGGGGPQLCCPKRSLPQLPRPSHLLLSPPFPLPVLPFPLTGGPASTACACS >Sspon.05G0000380-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:1122136:1127940:-1 gene:Sspon.05G0000380-2D transcript:Sspon.05G0000380-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKDGHGSASACVELIFDGQRFRTAVKEKDLNPVWNERFYFNISDPSNLPELALEAYVYNVNKTLESSRSFLGKVRIAGTSFVPFPDAVVMHYPLEKRGMFSRVKGELGMKVYITNDPAIKASNPLPAMDPVSNNPPPAPSPAEQIAADITGTNLHTSQEHRSEAKTLHTIAKEVHHHHNHGHLPASFGEQPSKYSADQMKPQSQPPRIVRMYSAASQQPMDYALKETSPFLGGGQVVGGRVIRGEKNASTYDLVERMQYLFVRVVKARDLPDMDVTGSLDPYVEVRVGNYRGITKHFEKQKNPEWNAVFAFSRDRMQASVLEVVVKDKDLIKDDFVGFVRFDLNDVPIRVPPDSPLAPEWYRLVGKSGDKSMGELMLAVWVGTQADEAFPDAWHSDAATLEDPSAVTHMKSKVYHAPRLWYLRVNIIEAQDVAIFDKTRFPDVFVRAQVGHQLGRTKPVQARNFNPFWNEDLMFVAAEPFEDHLVLTLEDRVGPNKDEMLGRVIIPLAMIDRRADDRIVHGKWFNLEKPVLVDVDQLKKEKFSTRLHLRLCLDGGYHVLDESTNYSSDLRPTAKQLWKPSIGLLELGVLGAQGIVPMKTRDGKGSSDTYCVAKYGSKWVRTRTIMNNPNPRFNEQYTWEVYDPATVLTVGVFDNGQLGEKSGEKTSSGKDGKIGKVRIRLSTLETGRVYTHSYPLLVLHSSGVKKMGELHLAIRFSSTSLVNMLYLYSRPLLPKMHYVRPIPVLQVDMLRHQAVQIVAARLSRMEPPLRKEVVEYMTDFDSHLWSMRKSKANFFRLMTVFSGLFAVSKWFNGVCSWKNPITTVLVHILFIMLVCFPELILPTVFLYMFLIGIWNFRYRPRYPPHMNTKISHAEAVHPDELDEEFDTFPTSRNPEVVRMRYDRLRSVAGRIQTVVGDIATQGERCLVQENIPTFLEAEMGAAESSSKHANSVHGFSVKDARGNDVELSRYKGKVLLIVNVASQCGLTNSNYTELGSLHKKYGEKGFEILAFPCNQFVGQEPGTNEQIAEFACTRFKAEFPIFGKVDVNGGNAAPLYKFLKSERGGLFGERIKWNFTKFLVDKDGHVVSRYAPTSSPLSIENDIQKLLEA >Sspon.07G0032310-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7C:47499199:47500993:1 gene:Sspon.07G0032310-1C transcript:Sspon.07G0032310-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTRISRAAKTLSSTSSIRDRDAFADVSKDKHTDVLLSLVLIVAAAETCAATVELRLQVASHSDVHREAIVLVIRERRCNCRGSTYCWLRKPCWRAPFWAAEKRVPCLRQCRSHSEAAAEEAWGQPRGACATGKAELAGAPVDGGGVMQLMYLDATVTPSCRSPLTSMTTTSCRPFHRVDPPMRLLSLAARRQLVNDGTQVPAHEADPSGVSSEAGATGPSAISSEAGAVATVASHSNWTVTGSKPPFKTILRHSGLAGQVRPQQDPAAASVHARSPSAPRMHRVVHSLIHPHGQYTPPHGHTHTRHEV >Sspon.03G0020220-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:84975595:84976897:1 gene:Sspon.03G0020220-2B transcript:Sspon.03G0020220-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Reticulon-like protein B9 [Source:Projected from Arabidopsis thaliana (AT3G18260) UniProtKB/Swiss-Prot;Acc:Q9LJQ5] MATAAMNGHYSRAPTKLFGRERTLHAALGGRRDADIILWRDRKASASILAAATAAWGLFEVAEYHLLTVICYVAMIGMLVIFIWANASTFFNLPVPRIPDTLVSERASRQALQDVHRRLTRLVEKLHDIACGKDIKMFILTVVSLYIASVIADCFSSLTLLYLVVLGTMTLPALYERYESELDHLVARGVHDLRTHFTDMDSGVLRKIPRGTGAAA >Sspon.01G0000450-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:943918:944497:1 gene:Sspon.01G0000450-3C transcript:Sspon.01G0000450-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RRSCFGGDDDDDYCKTPTGSRISYLREPTTCRGAQEPKPPPPCRKRLFQPSLRLRGISLLLPSPPPVPVISSRLDELERLFRPHPPRQATPLQLRLRLRQQTLRSSLNLKLKLRLNLHLSSTYVNQYSKLPQHTLRSVCNNFTTLTLII >Sspon.04G0008670-3P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5D:26224126:26225033:-1 gene:Sspon.04G0008670-3P transcript:Sspon.04G0008670-3P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MANAPRILAVLALGLALLCAAGPAAAQNCGCQPNYCCSKFGYCGTTDDYCGDGCQSGPCRSAGGSPGGASSGGGNVASVVTDAFFNGIKNQAGNGCEGKNFYTRSAFLSAADSYKGFGGGSVEGKREIAAFFAHVTHETGHFCYISEINKNNAYCDSSNRQWPCAAGQKYYGRGPLQISWNYNYGPAGRDIGFNGLGDPNRVAQDAVIAFKTALWFWMNNVHRVMSQGFGATTRAINGALECNGNNPAQMNARVGYYKQY >Sspon.02G0057930-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2D:68658793:68659155:-1 gene:Sspon.02G0057930-1D transcript:Sspon.02G0057930-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MYDSTRRREKGSNEFLHGLGSPVARAPRRTAQGPVRLGRRCSGRFHSVSVRAALSSPTAGARIPSRPTQPHVRTSSPPPTPTDARAWRPSPPTEPPSHPLLHPVHRMTTCRRTGTTAVPS >Sspon.03G0012370-3C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3C:50680146:50681857:1 gene:Sspon.03G0012370-3C transcript:Sspon.03G0012370-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DLLYKDYNTHQKFSLTTCSPHGVAITAAGTRKNESIFGELHTQIKNKKLTVDVKANSESDLLTTITADEFGAPGLKSILSLVVPDQRSGKLELQYLHEYAGVNASIGLNPNPMVNLSGVFGSKALSVGVDVSFDTATSNFTKYNAALSLTSPDLIASLHLNNHGDTLVASYYHLVKHHSGTAVGAELSHSVSRNESTLIFGSQHSLDPHTTVKARFNNYGMASALVQHEWRPKSFITISGEVDTKAIEKSTKVGLSLVLKH >Sspon.07G0027810-3D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7D:54757428:54758369:1 gene:Sspon.07G0027810-3D transcript:Sspon.07G0027810-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMETTTAMRSFVFVLLFLAAASSSASADSSSKDRCHSGDKAALLAIKAALGNPYHFASWTPDNPCCDWYDVDCDATTGRVVGLAVFQDANLTGTIPDAIAGLVHLQNLRLHHLPGISGPIPQAIAKLSNLSFLTISWTGVSGPVPSFLGALTRLNQLDLSFNALTGAVPASLATLPSLYSIDISRNRLTGSLPPLLFSKLNNKAQEAYLRLSHNNLTGSVPAEFSAVAFAQVDLSRNAFTGDASGLFSRAKPVQQMDLSRNAFSFNLSTVELPEQLVSLDLSHNAIYGGIPAQVVNLTNLQFFNVSYNRLCGA >Sspon.07G0005270-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:13384744:13387417:-1 gene:Sspon.07G0005270-1P transcript:Sspon.07G0005270-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADQLTDDQIAEFKEAFSLFDKDGDGCITTKELGTVMRSLGQNPTEAELQDMINEVDADGNGTIDFPEFLNLMARKMKDTDSEEELKEAFRVFDKDQNGFISAAELRHVMTNLGEKLTDEEVDEMIREADVDGDGQINYEEFVKVMMAK >Sspon.08G0007720-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:26223977:26228424:1 gene:Sspon.08G0007720-2P transcript:Sspon.08G0007720-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHLRLLFSYSRRHPQHHRLLSLFHFSSDANSSSASPPPPPPPIKPVSYAPKPQQQAPPEESGAPLPPDAGPRDQSPLPRRPQPPMPPREWTRQDMRYVKDAAPAISPVSYPSRVAPLPEDRPVGGEAEAVQHEGLRGEAERIQMDAARATRSIFGMRLEEEQLPYPTIIPVVKRPQKVAIDLVDAIRLVKTSANEKKRNFIETVEAHVILGVDPRRGDQMVRGALTLPHGTGKTVRVAVFAEGPAAEEARAAGADVVGGDELIEAIRTGGGKLSFDKCIATPMFMPRLSKVARILGPRGLMPNPKLGSVTNDVSGAVKAAKSGRVDFKIDKTAIVHVGLGKVNFTEESLRENIGAFVHALLLAKPVGLKKS >Sspon.01G0007290-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:54607603:54608440:-1 gene:Sspon.01G0007290-2C transcript:Sspon.01G0007290-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSTGAGAGGKVKKGAGGRKAGGPRKKSVSRSVKAGLQFPVGRIGRYLKKGRYAQRVGTGAPVYLAAVLEYLAAEVLELAGNAARDNKKTRIIPRHVLLAIRNDEELGKLLAGVTIAHGGVLPNIHSVLLPKKTAEKASSKEPKSPKKAAKSPKKA >Sspon.06G0015120-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:83227043:83232435:1 gene:Sspon.06G0015120-1A transcript:Sspon.06G0015120-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLRRLAVFAAALAALAAGWWARGAEASIHTYDREPFREVGNAFLLSGGSEGIVADGADLTAPASSFIKFVNVTFWRTPEAAESHAKMAHSTGLVQAILFEAADRDNIGGSVYGGQRSICCTPDLAKLQGCKQGEVIRRPSSDDPDWPYVLDTHFSANHLSVKLEDEPVRITKTGMYNLFFISCDPKLRGLTMSGKTIWKNPGGYLPGRMAPLMKFYVLMSLAYLLVMIVWFSQYIRFWRDILPIQNWITLVIALGLFEMTLWYFEYLNFNSSGVRPVGITTWVVTVGAIRKTVSRLLILSISMGYGVVRPTLGGLTSKVLLLGLTYFLASEMLDIAENVGTINDISGKARLFLVLPDAFLDAFLILWIFTSLSRTLEKLQARRSSVKLDIYRKFTNALAVSVIASVAWIGYEVYFKATDPFSERWQSAWIITAFWDVLAFVLLLVICYLWAPSQSSQRYAYSGEAADDDDEESQSLTKGDGEVGMVKIDKDRSAGVSGAFGLEDEAEEDKRE >Sspon.06G0034750-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:53453351:53455718:-1 gene:Sspon.06G0034750-1D transcript:Sspon.06G0034750-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMHLSEQDSRKLFFDRIFGSEDGCPTELMKPSCEILKKCDGLPLAIITMASMLAYQPRELEAWEYILKSLGTKSAPNSTYEDMIYILDLSYKNLPRHLKACFLYFGSYPEDYEINRDELVRRWVAEGFVSNSDGRHDVWDVAESYFNELVNRSMIQPTYMEIKYFFTDVVSGYRVHDMLLELIVRRCKEDNFLSLVNDVEALKEAQDMVIRRLTVASTRGAGYSKVDTSIVVRTNISQVRSLAILGESNWIPPLKFKYIRVFILKIPYRPEREKMDLTCINQLGMLRYLEVEATNVALPAQIRRLPCLETLNLSRVIGTIPTEIIDVPRLSHLVMPVVGRMWYMTGKMKSLRTLHGFRAPPDSSESIVGLGELTALSNLEFYFPTRWALFEKKTECMAALRNSLEKLCNLKRLDVSTLTYVAHERIAWCADELSSLSPPFRKLEHLRMAGCTFSRVPKWIGHLQKLRVLHLGAKQIFQEDVAIIGRGSSSLIWLSLQITSIPTERIVIGGSTGFPLVKVFDFDCDGISYLTFEEGAMPELRELRLAIDTDKWDKAAPGGLQHLPSLEKIQACWSTYNRHTHYNATEHKEKRRAITAVFQEASGALLTRPDFTFYPFRCRIRPTEEDDYLMEHGAAGSPPRSNTE >Sspon.04G0028490-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4B:66148322:66148591:1 gene:Sspon.04G0028490-1B transcript:Sspon.04G0028490-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AECDALIKIIQERVVDSDDETAVILPIAWQANTQQHPVAYSSSQNTAVPAVSNPAYCPVFDNIVEKEWLKKSSAVAEGPCTNSHDRIQR >Sspon.07G0002710-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:5713148:5713826:-1 gene:Sspon.07G0002710-2P transcript:Sspon.07G0002710-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding PWRSPNSRSITPSASRPAPIHRLLPLRLLSSTSSSSRHVSSSSAAAAASSPSSTGGNRTPPPSTSTGAPWLQKWAPSDASRPAPPPAPVPSPTTSIDRIVHRLRNLGLASDDDDPSASAATAAGASRRHRAPRRPARPWARPDRQFAAASFDDAVLPWERDDEPAGPVRDEEDGVKRRRVKAPTLAELTIEDEELRRLRRLGMTLRDRITAQGGSHHGRHREDP >Sspon.04G0035160-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:3710959:3714985:1 gene:Sspon.04G0035160-1D transcript:Sspon.04G0035160-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ISKRVFNVMKSEFLAASKSDGATKQENYPALGLGDGTDTPKMLERDGTDTTKMLERSNSSIPFELYKNQTTVVVSREEFVSVVCDALSLYKYVGPNQKADLLLACREKGIGDNTLVWDKRMWQVHFIIFAVSTDSIRHMMRGFADEKQNPLLYASTYHAGEYLDPIAVAQAKAKRKANKPTVVSHPNTSGGKDVTSDDKSQQGSSELPPRAELIGNKQMAVEGYKAQSEMVIDSLDRLITSWEEQKESLIQRKGSSRNLMALLNTDGSVTKAWHVGASDGNGDLNGITNSKKSAENPMLDASQIGKAEAVNLQFGPFGISAWMSDTGGTSHTGSVEDLRADSVETGGRNYSSCCSSPKMSDSTSKELMEDYSVYGSEEEADDPRDAETDEDLTDEEKDMHEIDAGSVDEHSTKSDEEYEDLAMRDEMENGDWSDDEEAVSKTKNSPAPESIHGSGAAEDDGIKGRYHHNLDLFLKMSKECHRGEEKAGDTLRWSQRRLSFIYIKGFGSLF >Sspon.06G0000250-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6A:1324379:1324849:-1 gene:Sspon.06G0000250-1A transcript:Sspon.06G0000250-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIVPLPAVRSLVKPATVLPDGAGRLPADTRGAHANSPTGCRTGRAGGVRIHWLMSASVTLPSAPPGFTTANGYAATVPAPPAVEHGAFLLLLLLSPPSAPFSARRETRHKHNRELRLRVHKLLLRFSAFRRQALGRAVGTSSLVQLLGATSVTGP >Sspon.07G0007040-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:18472446:18473539:-1 gene:Sspon.07G0007040-1A transcript:Sspon.07G0007040-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLSSSHHLLESSSILLSSHHRNTTVVARMLSSHCENVMLPYAPGRRAAAVLLDHRRYRPNVVEVAPSCPRCDSPNTKFCYYNNYSLSQPRYFCKGCRRYWTKGGSLRNVPVGGGCRKNRRGKPVVRAMAVDAAAVAAASGMIGSSPVGLCHQPTDDAAENPAVAHEGSTIDLALLYAKFLNHQPAAAAAERCAAAVVPESLDTLSGSSSGDDVSPVVVPPRDQQPLTTQDGGFGELSAAASAEPSAAPPQCPDDACAEVLEAAFSVDPRCYDSLGLPPDGGDLVLPWTWHLGAKYEPFDPLPEDAMSLQVQDGFAGDDDVWSSALASEGLEAALCSRP >Sspon.02G0003750-3C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:13437409:13440363:-1 gene:Sspon.02G0003750-3C transcript:Sspon.02G0003750-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MERESSTAYEPKRNCEIRVFESSDEISTDLAEYISQISEISVKERGYFAIALSGDPLVPILHGHVYSINDNATVEDAATDYEFMIRQLVKVRTIGVSERNDCPKFDLILLAMGADGHVASLFPNHPAMELKDDWVTYITDSPQPPPERITFTLPVINSASNIAIVSTGDDKANAVHLAVSDTTEGPDAPTSLPARMVQPTDGKLVWFLDKEAASSLESMNDDASYERHEY >Sspon.03G0015450-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:73217222:73217584:-1 gene:Sspon.03G0015450-2B transcript:Sspon.03G0015450-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLFLTGALLLPVLLAAHLFAAQTLARHAPACPCSAPAVEVMYTSPTNDGPSPATGHGNQPSPRMAQDGGAVSGDSGVSAAGHSTAPDESPRNVSRQRNTAGVGKASGVRP >Sspon.01G0039240-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:28490349:28491649:1 gene:Sspon.01G0039240-2D transcript:Sspon.01G0039240-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQPRSGPGKRRGRGPRIPAATLRKQKAALANVDQITGAKIPKSFVFSRGKLPSTLRHLQQDLRKVMLPYTALNLKEKKRNNLKDFVNVAGPLGVTHFLILSNPKSLPHLRFAKSPQGPTFTFQIEEYSLAADIANSQKRPRCPPGIFQNSPLVVLGGLTGLGNPFRSLVEYFQHMFPAVDPSTVKLATCQRILLLKHDKEKDVIEFRHYSIKLQPVGVSRRIRKLMQNNQVPDLREYKDVSDFV >Sspon.02G0019760-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:64493646:64499601:1 gene:Sspon.02G0019760-2B transcript:Sspon.02G0019760-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGIHKAESTEFRDLLYLTSKQPFILRLAFSAGIGGLLFGYDTGVISGALLYIRDDFEQVEKSTVLQETIVSMAVAGAIVGAGAGGWMNDRFGRRPSILIADILFLAGSIVMAFAPSPPVIIVGRVLWPGVPALLQFVLMLALPESPRWLYRKDRKREAEEIMRKVYPPEEVEEEIEALRASVEADMAQERSIGGGGLVGTLRKAFGSVVVRRGLTAGVLCQVAQQFVGINTVMYYSPTIVQLAGFASNSTALALSLVTSGLNAAGSVVSMFFVDKAGRRRLMLLSLTGVVACLGMLSGVFFAVDSHSPDVSLAGTALFGANGTCPEFDLATVAGVEWTCAQCIKAPSECGFCADTDKLLPGACLRMSDASRRACRAGRREWYTRGCPSSFGWLALVALGAYIVSYSPGMGSVPWLINSEVYPLRFRGVCGGIAAVANWTSNLLVTQTFLSLTQALGTAGTFLLFGGVSALAFLLIFLLVPETKGLQFEEVEQMLGSKDYKAWK >Sspon.08G0005150-3P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8D:13095109:13095693:-1 gene:Sspon.08G0005150-3P transcript:Sspon.08G0005150-3P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding IFDLKFTSKSLQRQARKCEKEEKEQKLKVKKAIEKGNMDGARIYAENAIRKRTEHMNYLRLASRLDAVVARLDTQAKMQVIGKSMQSIVKSLDSALATGNLQKMSETMDNFERQFVNMEVQAEFMEGAMAGSTSLSTPETEVNSLMQQVADDYGLEVSVGLPQAAAHAIPAAKDKEKVDEDDLSRRLAELKARG >Sspon.01G0033630-2D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1D:110993908:110994222:-1 gene:Sspon.01G0033630-2D transcript:Sspon.01G0033630-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSGRAVPRTMPPHTLSGKLTTSSNAITFMARPTPSRTSGLRVYMRAHGRRAASMSRPPARPAAKPPAIDTLAARRARAASPAPSSLETRTDALTDSDSMPANS >Sspon.02G0022610-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:76464181:76475984:1 gene:Sspon.02G0022610-1A transcript:Sspon.02G0022610-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSIPALPRAPNPHLALQDVVLQVELAGSHPHVLSPPLQISVREDVKQLLKATSCHPILVCYEADGWDGYRFEYTSLFTKRTQAHPVSTKIYKDAINLDQHPTLIEEARMILKKCDGLPLAIVTIGGFLANQPKTPIVWRKMNEHISAELEMNPELGMIRAILMKSYDGLPYHLKSCFLYMSIFPEDYNISRRRLVRRWNAEGYSSEVRGKSMGEIADSYFMELTERSMVLPSKESISSIIGSRKDISSCKLHDLMREISISKAMEENLVFRMEEGCSLNTQGTIRHLAISSNWEGDQSEFESAVDLSRIRSLTVFGKWKPFHISDKMKLLRVLDLESTSGLVDHHLEPIGKLLHLKYLSLRDCDGIFHLPESLGNLKQLETLDVTNTRIIKLPQAITKLRKLQYIGAGQFYQRVLGASSYDNLMEELPKLMRNKLCLWTLVLLLLCFSSCSLKHAKQIIDMDQDDDNPINRRDVCTIYCCAVFPFLAKLADARGVVVPRGLMKLKSLHTLGMVNIARGGKSILQEIKRLTRLRKLGVTCINKKNCQEFCSTLAHLSSLESLSVHSEEEEQGLRDCLDSLRTPPENLQSLEVYGALGKLPEWVASLQNLVKLKMGCTVLTDLDGTMQVLGKLPNLTILRLLDNSFDFEPGCALIFHRGAFLSLTVLDLQNPCGMSWRGRRSCRASIVFEEGTSPKLELLLSRLTIPLSGLSCLPSLKQVMVFKETINLDEHPPLIEEAKTIMKKCNGLPLAIVTIGGFLAKQPKTPIAWRKMNEHISADLVSCIFPSFLKITILAEDTWIAEGYSSEVRGKSMGEIADGYFMELIERSMVLPSLESIGSRKGISSCKLYDLMREISISKAMEENLVFRMEEGCSLNTQGTMRHLAISSNWEGD >Sspon.03G0008310-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3A:22495221:22501736:-1 gene:Sspon.03G0008310-1A transcript:Sspon.03G0008310-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLACIPPVVIAGGVVSKMLAKISTKGQASYSDAGNIVEQTLGAIKTVVSFNGEKQAIALYNKLIHKSYKAAVEEGITNGFGMGSVFCIFFSSYGLAIWSLGNATPCMAAFAEGQSAAYRLFTTIKRKPEIDPDDPTGKQLEDIKGDVDLNDVYFSYPARPEQLIFDGFSLHVSSGTTMAIVGESGSGKSTVISLVERFYDPQAGEVLIDGINIKSLQLDWIRGKTGLVNQEPLLFMTSIKDNITYGKEDATIEEIKRAAELANAANFIDKLPNGYDTMVGQRGAQLSGGQKQRIAIARAIIKNPRILLLDEATSALDVESERIVQEALNRIMLDRTTLVVAHRLSTVRNADCISVVQQGKIVEQGPHDELIMNPDGAYSQLIRLQESKEEERKLDHHMSDSRSKSTSLSLKRSISRGSTGNSSGHSLTLPFGMPGSVELLEGNDANWEDEKEQARDGEAPKKAPMGRLASLNKPEVPILLLGSLAAGVHGVLFPMFGLMISNAIKTFYEPPHQLKKDASFWGLMCVVLGIVSILSIPVEYFLFGVAGGKLIERIRALSFRSIVHQEVAWFDDPKNSSGALGARLSVDALNVRRLVGDNLALAVQVTSTLIAGFVIAFVADWKLTLIILCVMPLSGVQGYAQVKFLKGFSQDAKVFFALMLATIGVSQTSALASDSTKAKDSAVSIFALLDRKSKIDSSNDEGSTLHEVKGDIDFRHVSFKYPSRPDIQIFSDFTLHIPSGKTVALVGESGSGKSTVISLLERFYNPDSGTISLDGVEIKSLKVTWLRDQMGLVGQEPILFNDTIRANIAYGKHGEVTEEELIKAAKAANAHEFVSSLPQGYDTTVGERGVQLSGGQKQRVAIARAILKDPRILLLDEATSALDAESERIVQDALDNVMVGRTTVIVAHRLSTIKSADIIAVLKDGVIVEKGRHEALMNIKDGFYASLVELRSASS >Sspon.01G0018570-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:69038375:69038779:1 gene:Sspon.01G0018570-1A transcript:Sspon.01G0018570-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAVTVSQVVALLSSVLAGTEGGGGMAVGTTTKAVGADQQGERWRRCGGHDHSASSAAGYCCVCISACRDGDDIRRLPCGHAFHRDCVDRWLARCRRTCPLCRLHIAGPAVGMVEQQLSEDLVIWFSSLFVAGL >Sspon.03G0030980-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3B:23761889:23762855:1 gene:Sspon.03G0030980-1B transcript:Sspon.03G0030980-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIEASVDGQVHHPAVHRGDGRAGGAAGGAEHVRRGKVRMCASEFHLGDQTVTTAAAQGRAEVGGFVLWQKTPEVWFFELIMAGHKMSAGSDGKIAWRQSAAEHSHVSRGPPRPLRRSLQVIEAPKAARAGSTLHREPLLGRGVHRREGPNNEECFILKLEAGAATLRARSAPAFDIIHHTVWGYFSQRTGLLIQLEDSHLLRMKSGKGHRRSENIFWETSMESVISDYRYIDGINIAHGGHTNVTLFRYGEGSVNHKRKLEETWTVEEADFNVHGLTTDYFLPPADLKDVEDQNK >Sspon.01G0019590-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1B:79028877:79029054:1 gene:Sspon.01G0019590-2B transcript:Sspon.01G0019590-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMIEVMTTLFFLSCWVEVRSLDHEGMNEQKHWSWHALDLEGGEAARKRTAGRVIAIIGH >Sspon.01G0057740-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:15880791:15885276:1 gene:Sspon.01G0057740-1D transcript:Sspon.01G0057740-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVANGTCIPVSSVGTASSHGSFHISDVLVAPGMVHNLLSIRRFTTDNSCSVEFDPSGLTVRDLASRAPLLRCNSTGPLYTLQFPASASPSSPSSAAFAATPSSTTWHRRLGHPGRDALMQLRRSSDIRCSQAHDDHLCHACQLGRHVRLPFQSSSSHAPRAFDLIHCDLWTSPVLSMSGYKYYLVVVDDFSHYSWTFPLRAKSEAFPTLSNLFAWVSTQFGLTIKAVQCDNGREFDNSTSRAFFLSSGVHLRMSCPYTSSQNGKAERMIRTTNDIMRTLLLQASLPARFWAECLHTSTYLLNRLPSTACPAPTPHHALFGTPPRYDHLRVFGCACYPNTAATAPHKLAPRSTLCVFLGYSPDHKGYRCFDLTSRRVLISRHVVFDESAFPYSTTSPPTPPADPAEASFFPTDPAIPPPFSPTLQVLLRRALLVVPRRPRTFRCLTRSRQPRSFHPRSLWLRCLPLCRMLPFRSRDRAHRPHLLPGASDSSTSAGGSRVRPHLLPDVSGSSTSAGVSRLRRSRPSAVVAGASPPASPRSRADPPVYHPPLLHRDPRHTHPMVTRQASRPQALTAAACEPEISPVPSSVRAALADPHWRRAMDEEYAALLANQTWDLVPRPPGSNIVTGKWIWTHKRRADGSLERYKARWVLRGFTQRPGIDYAETFSPVVKPATVRTVLSLALERSWPVHQLDVLWMASRPDFVCRLNKSLYGLKQAPRAWYSRFATFLQTLGFTEAKSDTSLFIYHYGGETAYLLLYVDDIVLTASSESLLHRIIASLQKEFAMKDLGVLHHFLGVTVEPRPSGLFLHQRQFTCDILERAGMTACQSCSTPVDTQGKLSEAGGPMLGPADSTAYRSLAGALQYLTFTRPDITYAVQQLCLYMHAPREPHLAAMKRLLRYLRGTLGYGLLLGRSSTAELVVYTDADWAGCPDTRRSTSGYAVFLGGNLVSWSSKRQPVVSRSSAEAEYRAVANGVAEAAWLRQLLAELHRPLARSTLVYCDNVSAVYLSTNPVQHQRTKHVEIDLHFVRDRVAIGDVRVLHVPTTSQFADIFTKGLPSSTFSEFRSSLNVSSG >Sspon.01G0034920-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1B:6250537:6250696:-1 gene:Sspon.01G0034920-1B transcript:Sspon.01G0034920-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GDADAPLFSRPHGVSMVHASTTTTTSASSLPPIRALAALWTTRPPPLHPLLE >Sspon.01G0042000-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:25700504:25711183:1 gene:Sspon.01G0042000-2C transcript:Sspon.01G0042000-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARQLAPSSGSLHRLLASRHYPPTSQAPPPRPLLLPKTRSAAMQLPRRGRRDVVAATAASAPSPSSPGTEVAEEAAWGKVSAVLFDMDGVLCNSEEPSRQAGVDVFAEMGVEVTVDDFVPFMGTGEANFLGGVARAKGVKDFNPESAKKRFFEIYLDKYAKPNSGIGFPGALELIMECKNSGLKVAVASSADRIKVDANLAAAGLPVSLFDAIVSADAFENLKPAPDIFLAASKNLGVDTNECLVIEDALAGVQAAKAADMRCIAVTTTLEEVALQQGSPSLIRKNIGDISINDILYGGSNARHNEGAENTENSSSVGNALPESLNGATGAGLSDTKGSPISKNEGLLGSRREILRYGSLGIALSCFFVAARNWKVQLYTTNLSRSSCFS >Sspon.05G0006450-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:20531340:20535666:1 gene:Sspon.05G0006450-1A transcript:Sspon.05G0006450-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEEAKKVEVEVTKDKEPEAAPAEAEPEAAKEDVAEEKAVIPATEPPADDSKALAIVESEYNLLCLINSASLCSGRLANERWCWRTEVADEPAPEKPAAEKQGGSNDRDLALARVETEKRNSLIKAWEENEKTKAENKAAKKVSAILSWENTKKANIEAQLKKIEEQLEKKKAEYAEKMKNKVAMIHKEAEEKRAMVEAKRGEEVLKAEEMAAKYRATGHAPKKLIGCFGA >Sspon.02G0020160-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:65535356:65540709:-1 gene:Sspon.02G0020160-2B transcript:Sspon.02G0020160-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Adenylate kinase isoenzyme 6 homolog [Source:Projected from Arabidopsis thaliana (AT5G60340) UniProtKB/Swiss-Prot;Acc:Q9FJI1] GRRERRRLAARASERASDGDAGDRENDDVLPPRRRSRPPPRQHRRPRAREEPPRRLGRRPRVPCHQRGPGVCDELEDMMEGGGILVDYHGCDFFPERWFDLVVVLQTDNSILHDRLTGRGYTGSKLTNNIECEIFQVLLEEARESYREDIVMPLRSDNVEDISRNVGALTDWINNWRPS >Sspon.01G0000430-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:1753589:1754210:-1 gene:Sspon.01G0000430-1A transcript:Sspon.01G0000430-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSNEDDTTSQGSAGGDAAASAGAVTAAAAETEGDEMAVVVAAETEEQEQVVSVETEEHIQRILLAIDNYTRQVSDMLDAGRALFKDLAADFEDRLCSIHKEKVERWEEEIRELRASDAANEQARALLHNAQLHLLHTVRD >Sspon.02G0008970-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:20504880:20505771:-1 gene:Sspon.02G0008970-3D transcript:Sspon.02G0008970-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGATSLSSRTAAAILHLVLLLAAARRHGATDYTVGDSAGWTIGPNYLTWSQKYNFTAGDTLVFDYVKEQHNVYRVTQDEFRTCEPANRTLGVWRRATTSSISPCRGTTTSSATSPATASGNEVLHRGGRAAPSASAVAVPAGPAAAAAAAELGRRAVECTAARVAGGGADPIPRGDQPAADSRLIIDW >Sspon.01G0015810-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:52697944:52699362:-1 gene:Sspon.01G0015810-2B transcript:Sspon.01G0015810-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding KIIVSLLHDLQLNDQRATLLQLVDKFKVKWKGLGRSNQAVDLKREEIEQLIVQLIVDRVLKEEFQHTAYSTNAYVALGPLWKPALEGNRPVKLEIAVLGSQARDGGGDTSKGTKRSRMSDLEAKLDELRRELSSSSTGGISIFPHAVLSTQQISLLSCQKPITEAELEKVIGKVKTEKYGGRIIELMRSHIADPGAGNGSDAKRRPKKDKDVVCVESSDDE >Sspon.02G0018270-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:58697904:58706800:-1 gene:Sspon.02G0018270-1A transcript:Sspon.02G0018270-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAEDAEGDRVIEIVSAGALYHRGGDWERKYWSCSRFHPCLANSGCVIYSKGKDRYPYPVGYHAVRHFTGISYAMEIQQGPKGPIFLVTSTEGDSATGQTPDIAWKNFQKKTGAKVKNWQRRKSFPQKIDGAEAFKYVSPSFCSCPTPMQLFGFKNASIQRLLRELIVDSTGAVELNLPCEVTSEAGVHNKDASDVSEAEDLSVCLGMESGTPKRSIEPSQVENTLIVHCTDMLTSVDKCNVSTHKNTNKGDAVGRALLQDVSDSRCALPLLEEVPNNAQSTSLDDNLGEPSLVSSQQVGLSSGSYSSPEKSNIELAEREVAKSMMSILLPQAIPLLMKTYKRKKSKHKNKETSTVSAKTVSAYNPSDSCCQGVTVPTIMGEGTNENSCGMCDHAGSHHDMAKNGIANDDCRYDDSVVKLDEMSGYVVADSFEDDAQILGNNTKKSMGNHHHYPEDVCSRGPNEKLKLLNGKSEVFEYQASVHNGKNAPDVVYNHENGQYILSDLLVACLEDEFGGEDSSNPANYNQFNGDVKQSEQQFKELTNVIKNDSSVSVDVSYHKNTGNGSIDVCAQAFVRHGSAVSRNEECLANILPAPVHSNAHNDVVKWDKHDVSSTLILPPASEAKSSLLVMRDEQHHTEVPAIDQKENRFHSVSYKCTKSDDNTSFHSENVEFVDKHVAFESSDKGIHSSDGSQRISILTLDGFNLFLFCVHSEISEQYVIPSLGNMGPVLELRRMPKSTSLIIGHDGEGGFCLWDISERTLLANFAAPGNTVFQILPVGFCSLQEDIIHAPVDDIDKKVQEITVSDLSRKNDRENFMTPPREDIAVWVLISSASVAEYQHDLRAKENNARWRLALLAKKRVFMGNVLDTRYSHFS >Sspon.08G0005710-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:17933590:17938403:1 gene:Sspon.08G0005710-3C transcript:Sspon.08G0005710-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATEAAAGTGSSFLSPRRSHSAASSTVRAAASRFRSPKCVLGSEQLRVAQEGKRMGGAEPRVAAWTPKTPAPEARLAALPRELRDSRMKIFSGTANRPLAQEIAAYLGVDLGKILIKRFADGEIYVQLQESVRGCDVFLIQPTCSPVNENLMELFIMIDACRRASARSITVVIPYFGYARADRKAQGREPITAKLAANLLTEAGSDRVIVCDIHSTQALGYFDIPVDHIYGQPVILDYLASKTISEDLVVVSPDVGGVVRARAFAKKLLNARLAIVDKRRQGHNMSEVMNLIGDVKGKVAILIDDMIDTAGTITSAAALLKQEGAEAVYACCTHAVFSPPAIERLSGGVFEEVIVTNSILLPEDKCFPQLTVLSMANLVAETIWHVHRDGSVSSIFQ >Sspon.06G0011290-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:44305822:44307491:1 gene:Sspon.06G0011290-3D transcript:Sspon.06G0011290-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MCAAASWYVTWVGFSAAAISAMNRQANRAPTISPAWIIRAGHQGAGHQPTTGPAARPPDEGAGEGRQGAGRQQGSQAGMHTGLPPMVQQDAAHLRRRAAHLVTNFLGPLAAL >Sspon.02G0054150-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:110314103:110314991:1 gene:Sspon.02G0054150-1C transcript:Sspon.02G0054150-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIVKQQGIEVLGVDLSPSESFKDRFVVVMYHGDCKSWLAPAVEAECDHGHDPSELSSL >Sspon.07G0014760-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7A:52702860:52703327:-1 gene:Sspon.07G0014760-1A transcript:Sspon.07G0014760-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVCVHFPRAKLHPAIPRIAHSPYLLPSSWIYSPRAPPSPPAWPAMDAAAPLSAPAMPASNVCGSSLPDGWRQGTCVGAATQRHGSSLPDDQWRNRSLWPRVTASARAPSCAPWTAPPRAAARTCVAAEAPPSPEPPFRVVRPMDPSLYRRRKRQ >Sspon.06G0006680-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6B:22278335:22280674:1 gene:Sspon.06G0006680-2B transcript:Sspon.06G0006680-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKNGNSLQNSTNKSSPVSANGHDNGEAGESGYQDVELNGEACPSEHDILETQSLLQMEDHKQQIEHMLQRFRESNFFVRIVESDEPLWSKKSVTATTTAEDWSDSQGNSKTSGSNIYNTISDKGIFDGSTSGGVARDTVKCYSLQNGDIVIVLQVNVGVNKLEDPVLEVLQFEKSTPINFMLDNLVDGLSDSNDDPCRELLSWVLPLDRTLPPRSLAPPTLNTSVSHNSYSAPRSQIFNFRSYSMPSPSSVQTPNNIKPPPISESQEFMHEKSAKTPAIINDGQLSFRGVPLEPKRYSVRCGLEGVYLLGRRWRRKVEIIQPIEVHSFAAKCTLENLLCVSVKNISPIHVKDIVVFIDAITIVFEEASKGGAPLSLPIASIEVGHDHNLPNLAL >Sspon.08G0002220-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:6855181:6857205:-1 gene:Sspon.08G0002220-1A transcript:Sspon.08G0002220-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAIVLRTGGAILHALSAASTAHLHAHALKLGLLPSCLHLCSALLKTYAASGRIAAARQLFDETPRRDVPLWNALVSAYARSGHPRDAIAAASAMARDVEGFRPNRVSITSLLSACAQLRSSVLGRELHGYATRNVPVLDLPVLNALVNMYGKCGRLRDARMVFAGMRVGMRSAVSWTSMINACCENGRPAEALEVFEQMRIARVKVDEVTLLAVISACTKLDCTSGLGDWVEACAVESGFLQNTRVANALIHMHGKMGRVKRSCGIFDSMGAVKTVVSWTAMVQALAMNGHGVAALVRFTQMLREGFLPDDVVFLSVINACGHSRLVSEGHRLFKSMIEEYHITPWMEHYGSMVDLLCRAGSLDEAFEFVLAMPVTPDPVIWRVLASACRDHGNASLARRVMDHVIGMEPDHEGNYVLASNLYAADEDWKRVIDVRLDMGARKVTSRAAAAAMSSVEVNEEPGKRTKLHASILLQKLRVDASLRHKMVIVAVKTVV >Sspon.02G0007100-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:23710363:23713516:1 gene:Sspon.02G0007100-1P transcript:Sspon.02G0007100-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MYKQGGAGGGAGLDRKRISDALDKHLEKAIASPSTSRGSAGGGGRDHHRLVVPSSVSSIPKGRCSEGESDSDSEASDVSGSDGEDTSWISWYCNLRGNEFFCEVDDDYIQDDFNLCGLSSQVPYYDYALDLILDIESSHAGEV >Sspon.02G0055730-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2D:12001427:12005284:-1 gene:Sspon.02G0055730-1D transcript:Sspon.02G0055730-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKILSLNCRGLGRPEAVQEVCSLIQMHHPLVVFLSETRVFSNNVEGLRRNFGFPNGVGVGSFGRGGGLALLWTNDICVRLQSYDKLHIDIMVVDPASGADLWRFTGFYGEARRELRHRSWELMHYLNAQSSGPWICAGDFNECLDAREQFGGVTRSEHQMDGFRDAVNACGFTDLGFLGLPYTWDNRQEGDHNIKVRLDRALANPEFTDRFMDIKVWHVQTTKSDHCCLVIDCCRSRRRGRRRRNFKYENMWRRDPSYLRLVEDSWGDANAVQDLAQLQSRLGKMQESFQEWEYSVFGSVRKELARLRRELETVRRQSLYSGPSRTERQIMSRISELLAREETMEKQQSRITWLKEGDRNTKLFQAKAKERAKVESAPEEILDHVPERVTEMMNESLLAPYTAQEVERALFMMGANKAPGPDGFTAGFYQTHWGTVGRSVTNAVLNFLNGGQLPDGVNQTTIVLIPKIKHPQDLKNFRPISLCNVIYKICSKVLANRLRVFLDEIISAEQSAFVPGRLITDNVLVAYECTHYLKRKKGKTGACAIKLDMAKAYDRVDWTYLRGIMLRLGFADAFVNSVMRCVTSIETEALAEKYLGLPTALGRSSKEAFEYMPNKIRGLVGAWSGREASCAGREVLLKSVAQAVPTYPMSCFLIPKDTCRKMKTVISNYWWGSSSDNRHMHWQRWELLTRPKLQGGIGFRDLRMFNLAMLGKQGWRLIENPDSLCARVLKGRYFHDTDFLTASRKKHASHTWRAILEGREILKKGLIRRIGDGSTTDIWRDRWLPNHFAGRPLSEPVDPQVQAVAELLTPSGAWNEDLIKQVFIDVDAHAILRTPIRGVGADTWAWEPERNGMYTVRSAYRRLFDEQHQRRSDGSASSSSDKTWKRIWGLCVPPKIRVFWWRVVNEFLPAKQVLHRRHIERTPNCEVCGADEESIKHILMDCTVAKVFWAEVRRLNAVKLPKFHPVTWVHDLIDPALCNPRDAAVILCGMWSLWMARNKHRHGEAATPVHVAVKWVIDTAFDLWNLSHPPGTQRDARQERRRWRKPGPGLIKCNVDASFSEENSTGATGAVLRDHEGGICGGSAKWYNHCLNALATEAMACRNGMQLAIDRGVTRLLMETD >Sspon.05G0004070-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:12440675:12442577:1 gene:Sspon.05G0004070-1A transcript:Sspon.05G0004070-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAASSKGRAIAGSFVSRVRPAFVPDDVIGGAGNPDKYWSPHPKTGVFGPAAVDPKLAAAGGAPDAAANAAGGTVLDQKVWFRPLEDVEKPPPVA >Sspon.01G0043860-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:72862037:72871507:1 gene:Sspon.01G0043860-2C transcript:Sspon.01G0043860-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMPPRPSSSKLRCADSNDDAMDEPPPFFTSPETCTLPTAQRTRRSHTWDIGPRYWASLPEDLIRAVAWRVLACGDLLDYVRLRAVCTSWRSDADSPRGRGLADRRFHPRRWMMFPEGHRIYPAAQTWAGAPGALVRARVPLLDDRRPPAARTLLPHLGPQLHGCPPQYRIRQLARVVSASVSFDAAGAMTVMLALPGVGRKLYMLHTPLGEEDVHHVLQIDPPVQQDGAGGGRALPPPELIATVPKDKLPNPLGLVECGSEILMLGNYIDLSGTQIFVYKLADLVLQRFVPIKSLGGNTLFMEQRNISVSSKILHTVKGNNVVYFTGSRILQYHLGSDSLSTAVDNCSLFGRAPGPSPLVLYVFSCCIRNPWSRGIIRRAVCIMTGNESALCFMA >Sspon.02G0014980-1P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6B:18947476:18953347:1 gene:Sspon.02G0014980-1P transcript:Sspon.02G0014980-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRESNHPMQTSIDILELNRLRRGLLLDAYIWDRRLCHVDSVLKTHGHVSKTNPDNLDVLLYTRLKEWKADLLSGDTEIGKSLGSPRKSLISRQGHLNDNEYSVADTNLEICLEGHPVDDAEDLDKVYNKFNGEKKWPIAESTDGLEPVERLPSLASIFSDKIDLAWTGSCDLHYDLPQAFTKIDDNVSFNLDSPNYNNIVTPVRIHSFNSTRGLRQRERTGLAPASLHLPSFKSAEYFGGMTSILKDPMPNIRRACSQRSPGVIEKLNVVLARTPTYISSASNMIDDGARLLLPQIGYEDVVVAVYDDEPTSIISYAMTSEEYVQQVAHRLNSSLSFSHLPNTTEVSVKSMKAGKEVRMDLMVMENIFFERKISRVYDLKGSLRSRYTAGDSKVLLDSNLIEALHSKPIFLGSKAKRRLERAVWNDTSFLAVCNYIFVLTV >Sspon.07G0023350-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7B:15351170:15352612:1 gene:Sspon.07G0023350-1B transcript:Sspon.07G0023350-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFPSGSIFIFGSCICEADSEGNLQGRLVEARKACEEITLPTGSAEDLAERFSGLTVSESTQALTTTSLDLVSGSDSSSGSNPGSFRDEPSSFPIGLWNAVSTLQEINSNLLQVSSRKLSRLLTGLNNVARAYQDLLQKAAGLVRRLRLTGAQEGLQMNISSNAPEYDGESEGQKQARERRNKLKEGRRRRARKRKEAWDTYEAELAEYNKKKSEREAEEGRAARAHRAPYNKIREVLEELKAISHPNEGQEQLREVLQTTVLKAHDGRTRSKLTARTTSHEQEAQSQRSPAFERLGPNGSQNQEKRRGHARSNQVEHLREERSRASFHSTPRLFPRLNNNWQGGAESKYREIKTYDRFPCFSSRLASIRLPHKFKPSNHSKYDGKTEPRQ >Sspon.02G0009260-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:20988711:20989165:1 gene:Sspon.02G0009260-2D transcript:Sspon.02G0009260-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGEAKLAVVPPSETAHTLLNKTHVYDDYLRPFLAAQEVDIDRGLVELRARAADATASHLQAAVALGRACLSDVARRVSSQLQAATSLTVILPRAGAAYSVRVM >Sspon.03G0008370-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:27944457:27948788:-1 gene:Sspon.03G0008370-4D transcript:Sspon.03G0008370-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding WTPPPSPLFLLTGKMGIEERQAQKSYWEEHSKNLTVEAMMLDSRAADLDKEERPEVLSLLPSYEGKSVLELGAGIGRFTGELAKTAGNVLALDFIESAIKKNESINGHYKNTSFMCADVTSQDLVLQANSVDLIFSNWLLMYLSDEEVEQLVQRMVKWLKVGGYIFFRESCFHQSGDSKRKVNPTHYREPSFYTKVFKECHTFDQDGNSFELSLVTCKCIGAYVKNKKNQNQICWLWQKVHSTEDKGFQRFLDNVQYKTSGILRYERIFGEGYVSTGGIETTKEFVDKLDLKPGHKVLDVGCGIGGGDFYMAEKYDAHVVGIDLSINMVSFAIERAIGRSCSVEFEVADCTTKTYPDNTFDVIYSRDTILHIHDKPSLFKSFFKWLKPGGKVLISDYCRSPGKPSEEFAAYIKQRGYDLHDVEAYGQMLKSAGFRDVIAEDRTDQFLGVLEKELAKFEKNKDDFLSDFTQEDYDDIVNGWKAKLQRSSAGEQRWGLFIATK >Sspon.02G0005240-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:16592713:16594320:1 gene:Sspon.02G0005240-1A transcript:Sspon.02G0005240-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SMGYARTVKAAAAAAAALLTAVGVRFLGPAAAAFVAEELPRARAVAATCLTPPYLYLVINAIIISIAASSRFQTSGGGGGRPSAPSYAPAADADAIGGGGGGAAGEEMLQDGIQPAIAFQVPAAAAVPVVAVKTAEVAEPVMEIHTVAVAPAPAPEDEVDEDFSISRSTWTPRRRGAEPEVAADVETEVAPFADLTNSREKPLLSARFSRKTAKPSPAGSRALRVARPRKEETLESTWKAITEGRGPPLARHLKKSDTWDTRPGRRPSGGGSSGEVDPAAVAATAGAMRKAETFNDSGAGRSKAAPPAPVRREPSLGQDELNRRVEAFIHKFNMEMRLQRQQSLKHYNDMLGRGSRY >Sspon.02G0001540-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:5209934:5212823:-1 gene:Sspon.02G0001540-1A transcript:Sspon.02G0001540-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKYPVYDRLLPCPLQDDPPRIEHLVAREDEVAADFISRSLGLPPLYAADLIKFGAVYYALVAPQPPPYASPEHFKIFREVTEPSILRRRASIKGKTVREAQKTFRVTDPNHRLEAGTYVRVHVHPKRFPRCYEIDWKSRVIAVADEYVVLDKPAATSVGGATDNIEESCAVFTSRALGLETPLLTTHQIDNCSEGCVVLSKTKEFCSVFHGLIREKQVKKLYLALTTAPVSTGTITHYMRPVNRAPRLVSEDCIERWHLCQMEVLDCRKVPWPSSSIRKVHNVNGCGWPQQEAAYECKINLLTGKTHQIRAQLAAIGAPIIGDSAYMTAAMAAMANPSVNPFGRERLSYNSEEEKEGAIEAWIASHGKEPKSVIGLQASEISWDHEGEHHSYKARVPWWRQDSVESDL >Sspon.07G0032090-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7C:43957480:43958121:1 gene:Sspon.07G0032090-1C transcript:Sspon.07G0032090-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADLSYWLTIAGRAVLIFLFVVVAVVVCVVFIFVCTIEVKAWWQSPRMRLFRLGGVTTLKRKLNYPCTLCQNSMEAGDKVRMLSCDHAFHCGGSVKCEKDIDKWLRTGPMTSCPICRQIPHPVRPWKRPTPSSAAPSPKTSAPTLPQLSRTSSTPDLEEAFLPPVHDETLPEASSLASAPPLAQLLPTSTPDLEALLLPAHDETLPEASSSQ >Sspon.02G0040880-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:72762498:72766502:1 gene:Sspon.02G0040880-1B transcript:Sspon.02G0040880-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSTAELLQAEAELWCHAFGYLKSMALQCAIKLGIPNAIHRCGGTVSLPELHAALPVAASKRPCVSRIMTFLAASGIFVAEDCPADAEVTAGVRYSLTPASRLLLDEDDNSVSSQHPPCLSRFLLLCCSPLNFRASQSLADWLRDEGDDAAAAAETPFMMANGASLYGLASSDAEFGGRFSEGMGSDSRFMAEILARGSCAPVFAGLTSLVDVGGGDGTTARAIARAYPHVRCSVLELPQVVDAVPADGGAVEFVAGDMMEYIPPSDAVLLKLSKEAISTREPKGKVIIVDVVLGSSASKQTLEAQLLLDLCMMVILPGKQRDEEKWHEIFLYAGFTRYKISPVLGSRSLIENGTFERLIWHYFREGKQCWFQKAYHCRTVQPAVGNRQ >Sspon.07G0028670-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:82074214:82080101:-1 gene:Sspon.07G0028670-2C transcript:Sspon.07G0028670-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKAYSYFGEMKRQGVMANVVTFSTFVDAFCKEGLVREAMKLFAQMRVRGMMPNEFTYTSLVDGTCKAGRLGDAIVLLDEMVHQGLVPNEVTYTVMVDGLCKEGKVAEADNVLSLMERAGVKANELLYTTLIHGHFMSKNSERALDLLNEMKNKGMELDISLYGTLIWGLCNLQKVDEAKSLLHKMDGCGLRPNNVIYTTIMDAFFKAGKESEAVALFHKILDSGFQPNVVTYCALIDGLCKAGSISEAISHFNKMRELGLDPNVQAYTALIDGFCKIGSLNKAVHLMNEMVDKGLSLDKVVYTSLIDGYMKQGNLQDAFALKAKMIESGLQLDLYCYTCFISGFCNMNMMQEARGVLSEMIGAGITPDKTELAGLGARGKQHLENGGDMKHKLLKDVSNKIYPWFHGKEVSEEFCIDSELFRSWEMYKEVVDPTVNGKENHGQEAVHEENTKSCEHEYGSYCLWSTEHREVMKDAIVKRLKDQLFMARAHYPSVAKLKQPERFTCELKQNIQELERMVSDTITDADLAPFFAEKLEKMEHTIEQARCSNVERKLRQLLDITEDEAYFHTRQSAFLYHLGVQTRPKTHHCLNIRLTVEYSYLEATKLSKDADSLEMQQLWPTEEFRVTILNHSEPSQRQMKTEYISIFGHSSFLLPDLPTLNRVVVLDDDLIVQKGLLSLWNLDMGSKVIGAVHEIRAVETIADHNVNATSCVWLSGLNVIELDKWRDTGITIAKEQPEITATASTRCKFTCLSIYPLEDSWVQSGLGHDCGISYVNIEKAATLQYNGSFCCANLRK >Sspon.06G0010920-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:59158376:59167859:1 gene:Sspon.06G0010920-1A transcript:Sspon.06G0010920-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALALLISLVLLRLFAVQAGGGSVDDGSLFTACLADAGVGNVTTRQSPAYAAALLVSVQNLRFAGAGAPKPAAVVVPASLQELRDSVRCARAAGLVLRLRSGGHSYEGLSYTTEDDDDRTAFAVVDLAALDRVDVHVDADRRGGTAWVQSGATLGQTYHAVAAAASGPALAFSAGSCPTVGSGGHIAGGGFGLLSRKFGLAADNVVDAVLVDAAGRVLSRAGMGEDVFWAIRGGGGGTWGAVYSWRVRLSAVPERVTAFVVNRPGSVRSVASLVSTWQHVAPWLPDEFYISAFVGAGLPELKRLNRTGISVTFKGLYLGPAHEALQILTARFPEIGLSDLDPREMSWIESVVFFSGLPEGSTVSDLTDRVLHQKNYFKAKSDYVRRPMTLDQLARAIDLLSEQPKAYVILDPYGGAMDRIGSGDLPFPHRKGNIHGIQYLIEWTADDDDHKEEYMDWLRRFYDFMGAYVPKKPRTAYINYMDLDLGTNSWSGHRASGDVDESPNPEVEAARAWGERYFLGNYDRLVRAKTLIDPENVFRNAQSIPPLGGVSPKVTSNGSTYTPLLDSSIRNLRFELPSVGKPAAVVIPATKRDLQRAVLCARATSLAIRVRSGGHSYEGLSYTTENHVPFVVIDLANLNRVQVQVDPAGAATAWVESGATLGEVYHAVGLSSRTLAFSAGSCATVGMGGHTAGGGFGLLSRKFGLAADNVLDAVLVAPNGSSFTRDTMDDDVFWAIRGGGGGSWGVVYAWKLRLIPVPETITVFSVARSGPAELIAGLMHRWQYVGPSLPDEFYLSTLIPARSSSDGNISMSFTGQVLGPKQLAMSALNQSFPELGLAESELSEVSWLESAVKFAGVSSVASLTNRQPGVGEYAKSKSDYVQAPISMQDTIRIVQYLLTGPEGSSIQLDPYGGAMARIGSTETPFPHRAGYLYSIQYAVTWNASDMDRSDGYVEWLRSFYAFMASYVSKNPRAAYVNYLDLDLGTNDWMNATGGTSNGSVGHAASWGERYFFMNFDRLVRAKTKVDPGNVFNNAQSIPPLHYWR >Sspon.07G0018590-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:72757969:72760481:-1 gene:Sspon.07G0018590-2B transcript:Sspon.07G0018590-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding QKKKYLLGLKSLSLTLDHNHEVLATLVSCLLDSSSNLKDLRIIELRHPGSPVPLAAEFWEKQITADRFLYHLSSVTFYTDSLLEGHPCGGICKFLVMNARVLKRMSIVYHHSQVKLEHAAKLEAARREPRLWPRASAVRLSTNDDIIHRRTKGNIVKTTCLDATLLIPIP >Sspon.02G0038460-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:46469476:46471328:-1 gene:Sspon.02G0038460-2C transcript:Sspon.02G0038460-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEWWGWPSPAAWLGSEAAWFLVLNAVVAAIVVLSSRARASASPRSGGVTRRASSVLLQCLRSFSIFSYSYPSACFDTPTLLQHADAHGAAQATEEPVTPPTMPMPSPRALVPTPQPAPAAEEEEDPSAMSMEDAYALVLASRRPPEPEREDKEARRSDVDATENLRQQRLNSIFNYTQMLKQRALDK >Sspon.07G0015630-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7A:55754645:55755034:-1 gene:Sspon.07G0015630-1A transcript:Sspon.07G0015630-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTARDRGFFRLTEGWRARRSARVVSTAWAAGVRTARARGVAGKRRRGRGEREAEVGRDDVLCLWGSPSALQKSASACWPCGRRRGIPGGDWGDGRHAASSRLTTSVGSASGHEPDNASVLAAGPVLGRV >Sspon.06G0004440-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:10905578:10908108:-1 gene:Sspon.06G0004440-2B transcript:Sspon.06G0004440-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADYNRYGHSYSYGGGGGYSQGPPPSAPPAPHMPATTTSAGAPPSSSYGGYPPAAYPPPPPQAAAGGFGSGGYGYGSGYGSGYGLVPVAFPPGTHPEVERAFRAADRDGSGAIDERELQGALSAAYHRFSIRTVRLLMFLFNDPSSTPSRMGPTQFVSLWNCLGQWRGLTEKFKEKDMRYTGSAALTYDEFLSMVIPFIVP >Sspon.07G0005710-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:12771016:12771433:1 gene:Sspon.07G0005710-3D transcript:Sspon.07G0005710-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding PHKCQVTDAQKIQSFIESYELGTRILKDLPELTCSMFDEKLMPEHLFRVCLEYQRSCEKTSQDCNGYNAYKDPNPPVLFKMVESLTTLQER >Sspon.08G0010630-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8A:46875024:46876348:1 gene:Sspon.08G0010630-1A transcript:Sspon.08G0010630-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKLVAPSVLPVVVAVVVLCACTMPARGGDDYTAFVYAGCSQGRYDPGSQYAADVDTALSSLVNSAGFTAYGNYTSPPSAASTGQLVGVYQCRSDLPAALRACFVRYGNDSFLGKQDTTVLFKKCGGENAGDTGVVAMRDAALGALVAAAAPADEGSYRAGAAGYVQAMSQCVGDLGQGLHRLRLRRVVAAQGRLRLRLRRGGVPRQVLRALLVQCRRRSGTGGGVPVVGGGAGTGGGNVIGGGGGVGGGAAGNGYAYGGFVPNTYGQHGACAPSWS >Sspon.01G0001340-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:3010760:3012844:-1 gene:Sspon.01G0001340-2B transcript:Sspon.01G0001340-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLCASTTTISAFLLILFIHSGHCPAAAFYLPGSYPQRYRPGDTLAAKVNSLTSPSSKLPYPYYSLPFCAPQHGARHAAESLGELLLGDRIETSPYRFSMLNNTATPLFLCRTNPLSPGTAELIKSRIDDAYQVNLLLDTLPVMRYVKNPVAPDVLLRSTGFPVGVRADDGEYYVYNHIKLTVLVNKQNTTTRVETLMATADGADLLSSPAARRAAATRSSGSRSSRAAWSTTRRLSRTRACTTRSRRRQPPAATLRWVHWFSILNSIVVVSFLAAIVLVILLRTVRRDLAQYEELGGSESGAHADELAGWKLVAGDVFREPSHPVLLCVLVGDGVRILGMGVVTIVFAALGFMSPACRGALVTGMLCFYLVLGVAAGYTAVSLWKTVRQGDTAGWKSVAWRASFAFPGIGFAVFTVLNCVLWYNGSTGAVPFLLFVVILLLWFFVSVPLTLAGGLLASRVRHIEFPVKTNKVPAAQCSPWLFVAVAGTLPFGTLFIELFFIMSSLWLGRVYYVFGFLLVVLALLVTVCAEVSVVLTYMGLCVEDWRWWWRAFFASGSVALYTLGYAVYYLVFELHSLAGPVSAALYVGYSLLMALAVMLATGAVGLGASFCFVHYLFST >Sspon.02G0041270-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2B:75082866:75083306:1 gene:Sspon.02G0041270-1B transcript:Sspon.02G0041270-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDISVTLLCLLAIIPHTLFCTELAPTSRKWTQKRRSTETGGARVCSTIAILSCLESLSVHSGEDGLSTPPKKLQSLKLYGRGNVGKLPEWLTGLQNLEKLKLKRTRLTEVDGTKLPNLVILRLLDESFEAESPIISLAVGRHSRV >Sspon.05G0037430-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:13622301:13623285:-1 gene:Sspon.05G0037430-1D transcript:Sspon.05G0037430-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPANNRVHSSAALQTHGIWQSAIGYDPYAPENNKQQPGRPSSSVSANAAAAAASAAAASGSGSGDGNAYTSFQGLLALARITGSNSDETRGACKKCGRVGHLTFQCRNFLSVKDLDLDDADAQAAAQAAAQAKFDEIKKKAAAGGNADEASDEVEERGQRSDDSEDEEEEGRRGRDRKKRSNRSKKHGRSDEDSSDNSDSDRQRHRKRRKDRKRRSHCRSEDSLDEKDVSGGEERRHRRHRKRSHHRRDTSDCDNGGSDFADDKNQSSRQRRHR >Sspon.04G0018150-3C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4C:71043422:71046610:1 gene:Sspon.04G0018150-3C transcript:Sspon.04G0018150-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPLHFSYKRNRNRFHIPSIGLTLVLLISLAPCTSSSCMELERSSLLQFLSELSQDAGLTKLWQGTECCKWEGITCNQNGTVSSVSLPYRGLQGHISQSLGNLTDLQYLNLSYNSLSGGLPLRLVSSSRIIVLDVSFNQLSGDLIELPASTLGQPLQVLNISSNLFKGQFTSTAWKGMQNLIALNASNNSFTGQIPSHFCNIAPSFAVLELSYNKFSGSISPGLGNCSMLRVLKAGHNNLSGTLPHELFNATSLESLSFSSNCLHGILDGTHIAKLSNLVILDLGENNFSGKIPDSIGQLKRLQELHLDYNSMYGELPSTLSNCTNLITIDLKSNSFSGELSKVNFNNMSNLRTIDLMLNNFSGTIPESIYSCRNLTALRLASNKFHGQLSEGLGNLKSLSFLSLTNNSLSNIANALQILGSSKNLTTLLFGINFFNETIPDDAEIYGFENLQVLDIGSCLLSGEIPLWISKLVNLEMLFLNGNQLSGPIPTWIDTLDYLFYLDISNNNLTGEIPKELMNMAMLTSEKTAAHLDASVFYLPVYDGPSRQYRIPTAFPKFLNLSRNKFTGLIPPEIGQLKALLSLDISSNNLTGPIPPSICNLTNLLVLDLSNNNLTGKIPVALENLHFLSTFNISNNDLEGPIPTGGQFSTFQNSSFLGNPKLCGSMLGHRCDSADEPLVSTNGGNKKAIVAIALGVFFAAIAILLLLWRVLVSIKANNLTAQSRREDNGDFETFSFNSSSEHELIMMTRGKGEESKLTFSDIVKATDNFNKENIIGCGGYGLVYKAELPDGCKLAIKKLNGEMCLMEREFTAEVEALSMAKHGHLVPLWGYCIQGNSRFLIYSYMENGSLDDWLHNRDDDASTFLDWPTRLRIAQGASRGLSYIHNDCKPHIVHRDIKCSNILLDKELKAYVADFGLSRLILSNKTHVTTELVGTLGYIPPEYAHGWVATLRGDIYSFGVVLLELLTGLRPVPVQTTSKELVPWVLEMSSQGKEVDVLDPTLCGTGHEEQMLKVLEVACKCVNYNPSMRPHIMEVVTCLESINVGLQTQKSVKTIQLASYT >Sspon.01G0019970-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:74194638:74195837:-1 gene:Sspon.01G0019970-1A transcript:Sspon.01G0019970-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding QASRAGDGEDGGGLRLQWWRSRMAVRWWPQPRREAERAAAAVGGGHQPGVPVPPLPPRRYRAAAPYPAAAAGRRQGPGPHPAAGRHPRGRIAAEPPPLVVPSPAASPGGAGPLLPPRPRRVRLLRAPGPPPPLHVLHPLRALPRRRPLPCRAPPPPRMPSAPAPQVLLPHRTRISIQTPPRRPLRPASRRRRPMAQGGQVQIVLLPAAVAGLRRGAHGGRTVPPRAGALGPDGAAAAAAGLAQPGGAHPARARYRRRHGHAGGAAQEARQRHGADDHNEPGRALLGSDGGAWGGPAARAAAAAVPGGRRDHGRGACGARREPLDPRGGAGVPVVRRRPCAAPRRAALGGPLLVPEERLGGRLRRHAAQAQLQDHQVGRRQQECGRRRQQWQGRGLPHRAA >Sspon.01G0016030-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:50019105:50049619:-1 gene:Sspon.01G0016030-3D transcript:Sspon.01G0016030-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AVRATMAELVVGALVKSLKEKASSYLLDQYKVMQGMEEQREILARRLPAILDVIEDAEKGASRPGVGAWLQAIKKVSYEGIDVFDEFKYESLRRDAKKKGHYVKLGMDLVSLFPARNPIVFRYRMSKKLHKIVQKIEVLVKEMNDLNFTQRQHVPVPPSVQWRWRQTDSIMVDSDMDIVSRSRSEEKTKIVKMLLDWWIKELPQEISLLYNLLTMDVSHCKSLCRLPNDMKYMRSLRHLYTNGCTSLECMPPDLGQVTSLQTLTYFVVGSSSSCSTVGELQHLNLSGELDLNGLENATEEHVKAASLGNKEKLTHLSLRWNIEDDEELISDCHSKVLDALKPPGGMEMLRIVNYKGSNVPTWVKDIGLFQHQLTELHLIGCTMCEDFPEFSHMRALQVLHLKKLYKLQSLCRNIEFMEFSALKELKLCDLKSLERWVATEGKGDEVTFPVLEEICIKDCPKLTSLPEAPKIKVMKIKEDKAQLSLSLISSRCMSSLSVLELHVRDDTDAALELDQNQELSIAEMNISGCSFLFASSPSRHIVGIWKWFGQLQALEISGCSSVIYWPEEVFLSLVSLTKLKLVSCSNLIGRAQVNGVATRARDELLPRLKTLDIVDCESLTELSALPRSITHIYINLCHNFEFIWGKDDTEPMSVQVEQLQGSTKSLPCLETLCLSGSDKLSTLPDIPPSLKHLSVYCCPELCSISGHLDALVKVSIRSCNKLESPEWGNMPALKEIDIFRCKRLTSLPGSPGSYSALTSVLVKYCPAIDMKPLYEHLQQRRDSLEYNFLSHARSKVVRAAMAELMIGPLVPLLKDKVSSYLLDQYKVMQGMEEQREILARRLPAILDFIDEAESGPSRPGISSWLQALKKVSYEAIDVFNEFKYEALRRDACKKGHYNTLSMDVVSLFPARNPIVFRYRMGKKLRKIVQNIEVLVKEMNDFGFTQQPQAPRSKQWRKTVSVMVDSDKDIVGRSRDEEKRKIMKILLDEESGYHRLVLHTNEQVCEKALQNLQKEISGKRYLIVLDDVWNRDPDEWGKLKTCLKQGGQGSVVLTTTRDVEVARIMATGVAEAYNLEKLGEKYLKEIIKTRAFSLQKLNSDEVEDIIDKIVARCDGSPLAAKAFGSMLSTKTSVREWNDILTTSNICTNDRSRILPILKLSYDELPSHMKLCFAFCALFPKDYEIDVDTLIQLWMAHGFIPAQDEDHAETTGRETFMELTWRSFFQDVRQTSPMMDGERKQLCCRTICKIHDLMHDIALSVMGKECVTISDSDNQKKFSSSDARNFFSSSYQTKYSYDFLKKQYSTLRTLLCTYEFSRASVTHVSNYKSLRALQLHTLGEHLLRSSHLKHLRYLNFSGNEEIRELPEAVSILYNLQTLDLSHCKNLYQLPKGMKYIIGFQNLYTNGCTSLSCMSPVMGQLTSLQTLTYFVAGGGSGCSTVKELSMLELGGELELRGLENVSEGHAKAARLQNKEKLTHLSLEWTESQKEPVADCQEKVLDALKPHGRLEMLRIASYKTTSLAKWVTDLILLQHLTELHLVGCTGCEDFPQFCHFKALQVIYLKKLDRLENLCSDPSLLSFPALKELKLHYLHRLVRWVATEGKEVTFPVLETIDIKDCPKLTSLPEAPKLKALEVNENKAQFSLLIVNSRNMSLLSKLTLSAENTEATLDLDCENHESPLTVLNFNGCNFFFVSSPAQPTIGVWKCFGKLVDLTIQRSFVLIHWPEEAFRSLVSLKTLLVCLCKNLIGPANVNGAPIPSTNPVLPHLKRIRIIGCPNLVELFILPQSLENLEILSCKKLELIWDKEDQFGTCTWLDQPCHSYASASVVEQSSSQANHPAPCLIGCGNLVALPNLPPSLKWLNINKFQELCSITGQLDALESLSILDCNNLQPLGSVGDRPSLVLRLSLHSCKCLTTLPGVAGSYPALRGLAIKYCLAIDMKPLYKHHRGLTAFRKETCHTRIQ >Sspon.07G0028640-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:67956341:67957387:-1 gene:Sspon.07G0028640-1B transcript:Sspon.07G0028640-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHIIVGFLSELQRQLNGQDLGYFNILKEDYFLAIAKQSIRKLLRAADSICIQIQGAPTDTVYMDTYTALKTDLSRMVNLVMMYQALNYSMPTILALLSGQTKESILAEGEGLIHRLSDMFVKLSVEQNDLVIGQHFDISDTGVHPLTRHIMDHVRMLVQHQSTVCLMLMGDLKAFHQLVAQLISSLESMLDRNSKGLQLPGQQQMFLLNNVHFMLQEAKIERDLGPILGEGWLLQRHDQLDTLIAGYVDASWTPVISCVLRRTRVREILWPAHQLFDKFSSLFETTCRVHKTWKISNPLIRNKVREAIFHKVIPVYRMHMENYSEKQQKSARYSIEQLSSQLQELFEG >Sspon.03G0015870-3P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7C:56160730:56162672:-1 gene:Sspon.03G0015870-3P transcript:Sspon.03G0015870-3P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VFDSNFQDLSLGRTCRALHAVAMKIPKYQTPGLNIQDTIQQGPQPVKMQPIAVPAIPVDEIREVTKGFGDEALIGEGSFGRVYFGVLRNGRSAAVKKLDSNKQPDQEFLAQVSMVSRLKHENVVELLGYCADGTLRVLAYEFATMGSLHDMLHGRKGVKGAQPGPVLSWSQRVKIAVGAAKGLEYLHEKAQPHIIHRDIKSSNVLLFDDDVAKIADFDLSNQAPDMAARLHSTRVLGTFGYHAPEYAMTGQLSSKSDVYSFGVVLLELLTGRKPVDHTLPRGQQSLVTWATPRLSEDKVRQCVDSRLGGDYPPKAVAKFAAVAALCVQYEADFRPNMSIVVKALQPLLNAHARATNQG >Sspon.01G0028210-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:98748412:98750151:1 gene:Sspon.01G0028210-1A transcript:Sspon.01G0028210-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKGGKGLLAAKTTAAKSAEKDKGKKAPVSRSSRAGLQLKQRTQANGRVGATAAVYSAAILEYLTAEVLELAGNASKDLKVKRITPRHLQLAIRGDEELDTLIKGTIAGGGVIPHIHKSLINKSSK >Sspon.08G0011000-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:44166213:44173471:-1 gene:Sspon.08G0011000-1T transcript:Sspon.08G0011000-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLLRGTSAAATAAGPSSALLATTFRRSRGRLLPRAAPQRRLYVARASAQPLEVCAKESITVPGRLGDCPFTQRVLLTIEEKHLPYELKLVDLANKPDWLLEINPEGKVPIVKLEEKWIGDSDVITQTLEEKYPEPPLATPPEKASVGSKIFSTFIGFLKSKDPSDGTEEALLNELTSFDSYLKDNGPFINGGTISAADLSLGPKLYHMEIALGHYKNWSVPDSLSHVKTYMKSIFSTDSFVKTQALPEDVIAGWRPKRERGEDWRMEDLDAKVCRMSVICT >Sspon.04G0018370-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:69648515:69652356:1 gene:Sspon.04G0018370-2B transcript:Sspon.04G0018370-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEQSLVERNSLIQKWEEVLGQISMPPQFRMLEAEDKLAWLGNRLLEVEQERDSLQLKIEHLEDSSEMLIADLEESHKRISELSAEVVAIKAEKDFFSQSLEKLRFEFLGLSEKAVQDEFVRDKLRKDLSELRDKLAEKTEECRHYHEMDTEIQKLLNLVQNTLQDGSNSEISSGGVSTVLCLGKMLRKLLDDYGTLLSKSTEGNSAEREIQLEDIKPSNDASKSDTSAYEKGMELNSLNNELDHAHKNLALVEQERDEAVEKAQSLMLEIETLHAQISKLQESDAEQMQEYQSLVLELERVGKQRDNLQEQLHQEEQKCASLREKLNVAVRKGKGLVQHRDSLKQTMEEMNAVIEKLKSERKQHIESLETEKSSLMDRLAENEKSLHETNQYLSGLLNALNKVDVAREFDMDPITKVEKMAKFCLDLQSTVVSSQNEVNKSKRATELLLAELNEAHERADNLQEELVKAEAALAESSKQYSVTESARADAVRQLEHIMHAQSQTRRRQADHLLELNSTSSQLREVCFELSHRLVNTFSKDVDLICYMENFMRSSGKWMDGTNMMDIPIASKHVLSNRINNKKAHIPNAPLEIKMDDTDESQFLHHLAIACHALSDCVKDCNDLKRNIDEHGFSVEQKATELFDVMSTLQNRFTSQHNELESLRAKFVELQSEMKERDEEIISARRNMSLLYEACTSSVAEIEGMSDIYPSNRSYAVEHSADERIKSIVEQLVMAVKTSWNSNEGSTKELKATVLELQQELQAKDIQISTISSELSYQLRAAESSAKQLSVDLEDARMELQNLEKEVDVLHNQKKDLETQLNELKNMESVASEQHGRIEKLTDELSRKDQEIEGLVQALDEEEKELEILENKSLQLEQMLQEKEFALKTSEVSRTKALAKLATTVDKFDELHSLSENLLAEVENLQSQLQERDSEISFLRQEVTRSTNELLTTEDSNKKYSSQINDLMKWFERALLQFGMHSESANDYDCTQVPVYMDMLDRKIGSLIAESDDLRVTVQSKDSLLQVERTKMEELMRKSDALEASLSQKDSQIGLLRRDRVSNQQSRSINLPGTSEIEQM >Sspon.03G0019640-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:80608941:80609750:1 gene:Sspon.03G0019640-2C transcript:Sspon.03G0019640-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNMTSPRLKLFGFHVSEEERHELAGPETEAEADPEEAPACGNGGGGSDSSSSSTTTTTATPTAAGDGRRYECQYCCREFANSQALGGHQNAHKKERQQLKRAQLQAAAAAAGRVAGGAAALYPRANPMVSAFVPAPHLLGGGGGGDAAPTSWVYFSPRAAAMAGGPQGQQFQVSHNCVFPSSRATPAAVTASPAVFSYTAAPSAAGAASAPYVTDDHSGRRAHHVSPAAALGRYPGMAAGPGMAVVAEPVVAGPEDTLGLDLQLSLAPAG >Sspon.02G0024460-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:83833653:83837456:-1 gene:Sspon.02G0024460-1A transcript:Sspon.02G0024460-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LWSVDTAAFSYEELALATGGFSRVQPAGAGRVRARAPRRALRREGGGGLAVNQLKAGSGQGEREFQAEVDTISHLVALVGYCIDGARRLLVYEFVPNHTLEHHLHGKGLPVMEWTTRLHIALGAAKGLAYLHEECDPRIIHRDIKSANILLDDDFEAMVADFGLAKLTSVNHTHVSTRVMGTFGYLAPEYASSGKLTEKSDVFSYGVMLLELLTGRRAPATAPPTARTASSTGQALPRALADGNYDEIVDPRLRGDYDLTEAARLVASAAASVRHAAHRRPKMSQIVLALQGSLPLEELSDGVRPGHGAAFGSGSGSGSASSSGSDYGRSGSLYTAQMERIRRATLPSPEYSADYPGSIPEFGHPSLASSASSIEQEDRRHRGAGPSLAPASPYLSSPSLATPSSQAAGRVARMGLFSLLGGVVARDVVTPVDNARRQQPWTRRGVVVDRRCGTFGYLAPEYASSGKLTEKSDVFSYGVMLLELLSGSRPGDRSSYGQDGLVDWVRMRGMALPRALADGNYDEIVDPRLRGDYDPSEAARLVARAAVSVRHAARRRPKMSQIVMALQGAMPLEELSDGVRPGHGAVFGSGSGSGSAGSSGSDYGGSGSSYTAQMERIRRAALPSPEYSGDYSGSISEFGHPSLASSASSSEREDRRHRGGR >Sspon.07G0009630-3C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7C:24198515:24199021:1 gene:Sspon.07G0009630-3C transcript:Sspon.07G0009630-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAHRRRLLLLAVAAAAAASGTAIAKPTAYEVLADYDFPPGILPKGVVSYTLDNATGAFTATLDGSSSCEFSIQGSYTLRYKTEISGTIATDHLTDLEGVSVKVLLFWLNIVEVTRSGDNLEFSIGIVSADFGVDNFLESPTCGCGFDCDDLLMLQKQPGAATAKLRLR >Sspon.04G0033700-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:64497271:64501277:1 gene:Sspon.04G0033700-1C transcript:Sspon.04G0033700-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALPPLRRLLALRGHRRLLSTARAASSSNPPTPEAVLYDIHSLSKDPSQALAVFRRSAAAGQPVSSTAYNLMLRTLASHPSSAQRHFWPFLREMQEAGHSVDQGTYLAALASFKNASLTSDYASLTAHYDKFRKGGKSVTAAAAAAAAEAVRDHDAAGLDSRLADIGLLPLTETAVITVLRELREHPIKALAFFRWAERQQGYTHSSVAYNAMARVLGREESVPEFWDLIREMKASGMHVDIDTYLKLSRNFQKRHMVREAVELYELMMDGPFKPAQQDGPIIIRRISLAPSPDLELVNRVVNKFEAVWGVKTKELLDGVHRALTSNGKFDEAAEIVQTMRDQGHQPDNVTYSQLVYGLCKANKLEDARKVLDEMEAEGCVPDLKTWTLLIQGYCSAGDVDRAVQYFTEMIEKGLDADADLLDVILKGLCGHEKVEEAYSLFVEMVDKAELRPWQGTCQRLIGDLLRVNKLEEALALLKTMKTCKFPPFVDPFPPYIAKYGTVEDARNFFKALTVNTSPAPAAYLHVLKSFFAEGRYSEAQDLLYKCPSHIRKHPHVTKLCQVSAM >Sspon.03G0019910-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:61876026:61877474:-1 gene:Sspon.03G0019910-1A transcript:Sspon.03G0019910-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding KGLPHTILTPTVPPDIRRHRAPSPEPPTRRVVVGSPRSLSAWRPDRLWPPGSLWSLLAKSLLVGLRSWLLPAVRQLAVLGRGLGRVGGRQPTDLAARRYWLLCGCGVSLCVRRPPVWSWTAVTSILPGSLLVTDSII >Sspon.05G0004620-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:8484083:8485422:-1 gene:Sspon.05G0004620-2B transcript:Sspon.05G0004620-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSHAGIRRRFALLVSEPLAILILCGTCSCLQFSYPTFGTANEADFSFSPGAGIADGALQITPSTGDLSHRSGRVCYARETLKLWNRRRTALTSFRTEFVLNIRPRNGTGEGMAFILTNNPELPRNSSGQWLGLVNSQTDGSPANRIVAVEFDTRKSGEGDHDDNHVGLDVNSVESASAYPLSNLSIVLSSGADVWVTIEYDGATLSIVAVQTYSFMYAWAGDLSQYLTDDITVGFAASTGDFTELNQIKSWNFTTLGDDADSRWRHRKKIRLLLAYLIPFAIAGSFLAFCVWRRLTRPRRLAYRNLEKMIDARGPVRFKFRELRNATANFSSDRKLGRGGFGTVDA >Sspon.01G0038850-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:31932040:31937439:1 gene:Sspon.01G0038850-1B transcript:Sspon.01G0038850-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPTFFLFLVTLDHLVAAMNETAFTFNGFSGANLSLDGMATVTPDGLLMLTNGTTALKGHAFHPTPLRFHGANERTVTSFSTAFVFGIIGQYPDVSSQGMAFVVSASRNFSTALPGHFLGLVNAADNGNASNHLFAVELDTVLNAEFRDIDDNHVGVDVNSLTSVRAASAGYYDDQSGSFRNLSLISRKAMQVWVEYDGRAMELNVTMAPVEMPKPKKPLLSTVVNLSEVVTDPAYVGFSSATGIIFSHHYVLGWSFKMNGEAPALNVSMLPALPRTSGNTQSKVLEVVLPIASVAFVLALAMAIVAATKRRAKFAELREDWEAGLGSHRFAYKDLFYATGGFKDKNLLGRGGFGRVAKAAPVTDVFAFGVFLLEVTCGRPPVEEDAQGSQVVLVDHWRNGSIMEAADPRLGNDYAAREVQLVLKLGLHCSHPLANARPSMRRVVQCLDGDMVFPENEVMHINFSFSMATLMKDQQIELDAAASMVSVGSISILLLFVLHKIELVSSANNEQFAYEGFAGANLTLDGAAAVMPGGLLALTNDKHTKGHAFFPAPIRFHRPVSGAALQSFSATFVFAIISEHAQLSDHGLAFVLAPSSNLLDATGAQYLGLLNISNNGKASNHILAVELDTVLSPEFHDIDSNHVGIDVNNLQSMESHTAGYYEDGTGKFLNLTLMSRKAMQLWVDYSGQAMELNVTLAPLAVEKPKDPLLSTAINLSEIVNTTAYIGFSSATGLSIAYHYILGWSFSLNGAAPVLNASNLPVLPRLPHQKRSLSETLVIVLPFATAGFIIALLIVVFMFMRRWLRYAELHEDWEVEFGPHRFSYKDLFHATKGFVSKQLLGTGGFGRDWEQVVVHRDIKASNVLLDSEMNGCLGDFGLAKMYSHGTNPRTTNVVGAMGYLSPELLRTGKAPPGTDVFAFGMFLLEVTCGRRPLEHDQVVLLDWVLEHWNKGAILDTVDARLSGQYSAEEVSLVLKLGLLCLQPMPNARPSMRQILQYLDGTLTIPEMAMMNLDYSTLMFLQSEGFDSYAMLDASSRATSIGPGSDLSGGR >Sspon.06G0021080-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6C:13412099:13412921:1 gene:Sspon.06G0021080-2C transcript:Sspon.06G0021080-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPARFAHGTDHVRPRAAPAVRPCRARRARSTRHSLAHSQTRASRRGRAPSACPCPYRGVFTSVSDACFKSFICFLLYVATVVSGCFKGVVHGMRVGSVRGGVGDVRGGVGPVLFALPHESDALGARSLSVWAASGR >Sspon.01G0018700-1P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:6653630:6657762:-1 gene:Sspon.01G0018700-1P transcript:Sspon.01G0018700-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQGESSSSDPKGKKDYSTAILERKKSPNRLVVDEATNDDNSVVALHPDTMERLQLFRGDTVLLKLVMPLYLWTEIMSKLAGESESNLRKAFEEAEKNAPSIIFIDEIDSIAPKREKTHGEVERRIVSQLLTLMDGLKSRAHVIVMGATNRPNSIDPALRRFGRFDREIDIGVPDEVGRLEVLRIHTKNMKLAENFHFLFSKYFIFNFSAYFLEAYRPLRKGDLFLVRGGMRSVEFKVIETDPPEYCIVAPDTEIFCEGEPVKREDEERLDEVGYDDVGGVRKQMAQIRELVELPLRHPQLFKSIGVKPPKGILLFGPPGSGKTLIARAVANETGAFFFLINGPEIMSKLAGESESNLRKAFEEAEKNAPSIIFIDEIDSIAPKREKTHGEVERRIVSQLLTLMDGLKSRAHVIVMGATNRPNSIDPALRRFGRFDREIDIGVPDEVGRLEVLRIHTKNMKLAENVDLELIAKDTHGYVGADLAALCTEAALQCIREKMDIIDLEDETIDAEILNSMAVTNDHFKTALGTSNPSALRETVVEVPNVSWEDIGGLENVKRELQETVQYPVEHPEKFEKFGMSPSKGVLFYGPPGCGKTLLAKAIANECQANFISVKGPELLTMWFGESEANVREIFDKARQSAPCVLFFDELDSIATQRGSSVGDAGGAADRVLNQLLTEMDGMNAKKTVFIIGATNRPDIIDPALLRPGRLDQLIYIPLPDEQSRLQIFKACLRKSPVAKDVDLNALAKYTQGFSGADITEICQRACKYAIRENIEKDIERERRRKDNPEAMEEDEVDDIAEIKAAHFEESMKFARRSVSDADIRKYQAFAQTLQQSRGFGSEFRFPDQPTAAAGSAGAADPFASAAGAADDDDLYN >Sspon.07G0001550-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:3554331:3554828:1 gene:Sspon.07G0001550-1A transcript:Sspon.07G0001550-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEAFPIRFTQGVRAYWRRRKYHRLAEDKPRSRSRQLGSPSGGGARMRRRVRVLLAGPRRALARARDAYVGGMLALAKRASALALPGAVEALWTKRVPRRKQGQLPAPGSGKPTEFEQRLIFEIYKSIVASKELTTMLHSSDAHLPPRAPALGAGIPSTHLLDM >Sspon.07G0032790-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:47268250:47278011:1 gene:Sspon.07G0032790-2D transcript:Sspon.07G0032790-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPTKGKGRGAAGAGSRSQSRRGRAPLSRPTPGVTTRSRAALREHSPSPQFGKRSRMAEKGGDDEGSSSKKQKTTNASSQENAAAGSASAAASQEDTAAAASPATVSDRASAEAIPDGAASDVSSPIRRPYMVREPWDSANIIPYQEENAKYQAKLDSELLKRCSGFLIGWNKDTKRGTILTSANLLCTKSPNIDDWSGPREYAPDAEVLIHLLDDTTQKGTLINYHKHYNIAVFEVDMNMSTELPPVSTELVDYGQEVFLIGRDEDLSLNASHGRVQCIDPGYYDHYHYMYLECEFAKFGDGGPAIDTEGRIVGMTNPTIGAAFIPHVFTLKCLRMWKDFKSFHREKMSRKFNIEAGLIVLQVSEGSVAEKIGIRNGDVIESLNGKCIPTVVELEIMLLRTCEDCLDKGGVLGSNVDLTIGLFQMRKQKRCTKTLTLNVSDDMEVSTLSLQHYNQGDIMPATKLTGHGSSGRGTSNLCEYEN >Sspon.03G0006570-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:33354686:33356471:-1 gene:Sspon.03G0006570-3C transcript:Sspon.03G0006570-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding TMVMEEATDGEMSLSNMVLGFMEDFGRDQRRPENDNDDDEGSSGGDTAESKAFWQTQHSQLQEALAKTSPAESRIRADTEEAVKSMRAAACSCTGRPAARDCRLCMLRHVAERLRDAGYNSALCKSKWTRSPDIPSGEHSYVEVVVQTRSGKAVRVVVELSFRAEFEVARASAGYRALVTALPEVFVGRADRLRGVVKVMCAAAKQCMKENNMHMGPWRKHKYMQAKWLGTPERTAAVEAAATPVVVVPSVTVGSPEKQTKFRASMLTFDFGRTAVEVA >Sspon.08G0024270-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:65115430:65119639:-1 gene:Sspon.08G0024270-2D transcript:Sspon.08G0024270-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GMVGEEAASALSSWAAALLLGAAALVLLDAAARRAHGWYREAPLGAARRARLPPGEMGWPVVGGMWAFLRAFKSGKPDAFIASFVRRFGRTGVYRGFMFSSPTVLVTTPEACKQVLMDDDAFVTGWPKATVALIGPKSFIAMPYDEHRRLRKLTAAPINGFDALTAYLPFIDRTVTSSLRAWADESSSGAGRGVEFLTELRRMTFKIIVQIFLGGADEPTTRALERSYTDLNYGMRAMAINLPGFAYRRALRARRRLVAVLQGVLDERRAAKAKGVVVSGSGVDMMDRLIEAADERGRRLDDDEIIDVLIMYLNAGHESSGHITMWATVFLQENPDIFAKAQAEQEAIMRSIPASQQGLTLRDFRKMEYLSKVIDETLRLVNISFVSFRQATKDVFVTGYLIPKGWKVQLWYRSVHMDPQVYPDPTKFNPSRWEGHSPRAGTFLAFGLGARLCPGNDLAKLEISAFLHHFLLGYRLTRTNPKCRVRYLPHPRPVDNCLARITRVSEDY >Sspon.04G0001270-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:1756835:1759355:1 gene:Sspon.04G0001270-2B transcript:Sspon.04G0001270-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKKAVRARRIAKLGKGSARAMASPSCASSTLPWTSASSSRTSAERRLTASRRAPSLVIVAQGKVKKYRQVILTDDIEAVGKKGDTMKVRAGFYRNFLLPKGKATLLTPEVLKEMQLEQERIEAEKKRVKEDAQQLARVFETIGAFKIPRKGGKGKQIFGSVTAQDVVDIIKSQLNRDVDKKLVTVPEIREIGEYVAEIKLHPDVTARVRLNVYAK >Sspon.08G0007490-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:24574979:24579642:-1 gene:Sspon.08G0007490-1A transcript:Sspon.08G0007490-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hydroxyproline-rich glycoprotein family protein [Source:Projected from Arabidopsis thaliana (AT1G73840) UniProtKB/TrEMBL;Acc:Q8VYM7] MASKQAAAGEALAVQINGMFRSEMYDMMSKMKGMIDHDQETVRRMLVDNPEVTRALFRAQVVLGMVKTPKTAQSSDMVPPTAVPTAPSSVKTTAPDHVSLPPPPLPSNQQSVAQLSAPFPSGLSNVGSTMDIPTISANPPQPTQAKGYPSHQMPSSAPQPSQHPNMALPHAPPQYSNLPSHIPVVHSQPQQPLQSPAIYNQQLQPPLPQLSRPPSMQSFALQMHPQVSNSFGLTHANAPQLMLQQPMFHASSHYNTQSTTPMQVDRGAPWGRAPEAPTSGSHFPGQLPGLPRQMAQGIGGIQAGQAPLTPEMEKMLVQQVLGMSAEQINMLPPEQRQQVLQLRDMLRQ >Sspon.07G0016520-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:56104187:56113939:-1 gene:Sspon.07G0016520-2D transcript:Sspon.07G0016520-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWLAAAGAVKQWPSLCSIFSGSLHTGPYRTHTYYSTPGGCKFGKACKYLHREGKEGKTEVEKAELNFLGLPLRPFHHPDPTNAPSKEPGLEHENADTPLQNVQGSSQPSLQIWPDQRTLNEQHVPFLAPAPSYGGGMVPPQGMYPSPDWSGYHQVPLNPYYPPGVPFPHFPAAHMNHPMYKAADIPGNQPLPSDEYPERPGQPECQHFIKSGFCKYRMKCRFHHPRSRQSAPLTGLSPIGLPIKPDQPMCTYYGRYGFCKYGPACMFNHPFNFGPPVPAAGPALPGQYTIPGNFTV >Sspon.03G0002130-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:14115622:14118194:1 gene:Sspon.03G0002130-2B transcript:Sspon.03G0002130-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEKESTSIPLSQAAEAVDPEDPAKSPPRPSSPTTSTRKACCAVLQSWVSRKFMTGCVVLFPVAVTFFITWWFIQFVDDQNTTAFKEVAIIRHPRIGEYAFGFITSTVVLQTDKGDEELCSVYVPTNHLYIGDIFLVNSEEIIRPNLSIREGIEIIVSGGMTMPQVIASLEPMPRKSQNIRLNRMT >Sspon.03G0015020-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:41901921:41903968:-1 gene:Sspon.03G0015020-1P transcript:Sspon.03G0015020-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTKRCCLFFATLLAALLSVRAQLNFGFYNQTCPSAETIVQQTVAAAFGNNSGVAPALIRMHFHDCFVRGCDGSVLIDSTPDNTAEKDAAANNPSLRFFDVVDSAKASLEAQCPGVVSCADILAFAARDSVVLTGGLSYQVPSGRRDGRISLANETGDLPPPFFNATQLVDNFTKKNLTLEDMVVLSGAHTLGVSHCSSFAGPRNPGDRLYNFSGSADGIDPALSKAYAFLLKSICPSNSSQFFPNTTTFMDLITPEKFDNKYYVGLTNNLGLFTSDAALLTNATMKALVDSFVSSEATWMSKFAESMVKMGEIEVLTGTQGEIRQNCRVINPANAAADVLGRRSGSSGFTGVAAS >Sspon.04G0006090-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:13521279:13524417:1 gene:Sspon.04G0006090-2B transcript:Sspon.04G0006090-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGAAAKKPAPELKQLEKPPPLRDQDEEGSPTSVLTSAHTVLRTDGLGSVFANRSSASRSPALSAAGSDERGNGGGSLTSSVDGEDACASPRTRAPYTTKVLGDANEVGSEAPVLKLFGKKVVVEDLRTESSPASAVPAPRNGNSIGAAGASPWNPWPGSVQVQQQLMYLVPRPDGFAAQPVVPWFGYNGSLPCAVFYPQAVASSAQEHQQQQQASESLDHRRAQREGSLTGSNMAALNSDTAESRHQGPGQENASDGYAALRRVAAVPRLTKCASSASFSGRGFVPYKRCAAESEAPRPVAPGDEADGELTRLCL >Sspon.04G0013130-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:55133099:55136169:-1 gene:Sspon.04G0013130-3D transcript:Sspon.04G0013130-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLAAAAALPAVTPRSGVVLPRSGRRHCRRGVVPLAASSSAASFTSSSSSAAAALIYAPTPQDRPLRTPHSGYHFDGTARPFFEGWYFKVSIPECRQSFCFMYSVENPLFRDGMSDLDKLVHGPRFTGVGAQILGADDKYICQFSEKSNNFWGSRHELMLGNTFIPNKESTPPQGEVPPQDFSKRVLEGFQVTPIWHQGFIRDDGR >Sspon.01G0035440-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:12034276:12037590:-1 gene:Sspon.01G0035440-2D transcript:Sspon.01G0035440-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTESLVRNKPGMASIKEMPVVQDGPPPGGFAPVRYARRIPTSGPSATAIFLTTFGAFAYGMYQVGQGNKVRRALKEEKIAARSAILPMLQAEEDERFVKEWKKYLEEEARIMKDVPGWKVGENVYNSGKWMPPATGELRPEVW >Sspon.03G0047350-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:58190157:58193257:1 gene:Sspon.03G0047350-1D transcript:Sspon.03G0047350-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding APAPTPPGRLPKSHSPPDPPRAAESSSPPTTSSRRSPPVSPDHRIAFEIFKTPQFLGFRHSGGGNPNFRPRVGASSPPPPRRPRKSPNPQYPARGPEPPSPRSLKSRRGARGGGGTLRPGLDPSPAPRFARPIPGSRVSHALASAARGAGAMPASRIPLKRCTAAVPGVGEPGPAVSMPPAKRRRDRVVPSRFRDSMPPPAKKRAVVVSLPAPGGELGVEERDGEVYNVEVLMAEPKGDSFGPVETAVWTPMRPAPTDADLYRACRNISKSGSFGGAASGSVLTSVSNAASDGGAGGNGATVRVSNDALDGGAGGNGTTVRVSNAALEGGAGGNGGLEGRPAVVECKPKREASDKKEDFYWPEDFVLGDVVWARSGKKSPTWPALVIDPMLHAPEVVLNSCVPGALCVMFFGYSANGHGRDYGWVKQGMIFPFVDYLDRFQGQPLYKLRPSKFRAAIEEAFLAERGFFYLEMDGVCSPRKSVNKQSDPNGFQEEAASNNEQEFQSESQVVGKSALSCDSCGNRLPSKVSKKRKQGGEQVLCRHCEKLYTNQHHHQRLSSSDLHSHGDCEASSQQTDDSCDYNVFGAHPGPS >Sspon.01G0039440-3D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:31166350:31167760:-1 gene:Sspon.01G0039440-3D transcript:Sspon.01G0039440-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLDNGSGRSFEERNIIDMSNYMVNLLFERGASHRGAPRRMRAVKPTIDKDIAHVFAKEVRKGIANELQGDPFGIVVDVCYPPSTGKYYMVLFVRYLNGKGEVVERLLGIVPEPDVKVAVDLMLSEAGLSLSNVRGQGCGLARYGDETFDELKTLVSKASASAYYVHPSAFQLHSILASTSQNLLETYQLFRAIDALSNLVQEFPQFNQRVRSLIQERGLNLGSNLEKPGTTWMLRTLESKRQLLALRDEGWPSFLVEVDLLCAESDMPVPDMGEQYAHHRWSDDESPTSTNLEHYHIHVFVKVINDQLRELDKRFNKESSELVCLASCLNPRNLFQHFDKDKLIKFARFYPSEFPDTAIAALDLQLQAFITDVRSDARFHEMVRLNDLSVKM >Sspon.08G0001920-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:5708529:5711817:1 gene:Sspon.08G0001920-1A transcript:Sspon.08G0001920-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LTPPSSLSFLFLVEVDWLIDRTELTPTQTELLSARRSPPPLLSSRSRQAGRQAGIPVRGASVEAEQELGGRSFACEQGIGGTPPLGQQEWEEDGRLASGMASEEVLHKVQNLIESCLHMYMDQKEVVDALSHHSKIEPRITELVWRQLEQQNPLFFKAYYMRLRLKNQIMVFNKLLQDQFAGSLLCVFLMALTPTYQNPCFLPETAPGSAIPDGIMHNGSSSDIINGTPSGNQLLNASKDLHGLHNGIDASASLQSDQNATAMLYGVDNETSATIKTESCYSSNADFAFCGNTFLESCQSIGDASGGGSFSSSELNGQPLNDSILDMESSSFSFLNEMSQNFIFPDLADDFNQSAEITPFLTPETNFSNSTGGDHTAHRPFVHTLMASQICIDEELEDLLI >Sspon.07G0016910-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:65034420:65036243:1 gene:Sspon.07G0016910-2B transcript:Sspon.07G0016910-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASVARCSGGRGVVALLLLLVLLAGTGTSFYSHSCPSVYDAVRSVLQAAIAREQRMGASILRLFFHDCFVQGCDASLLLDDTPSFQGEKMANPNNGSARGFEVIDAIKSAVEKVCPGVVSCADILAIAARDSVVILGGPSWDVKVGRRDSRTASFSGANNNIPPPTSGLANLTSLFAAQGLSQKDMVALSGFGDNNLAPLDRQTPTVFENNYYKNLAGKKGLLHSDQELFNGGSTDAQVQSYVSSQSAFFADFVTGMIKMGDITPLTGSKGEIRKNCRRIN >Sspon.03G0018600-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:78271167:78277366:1 gene:Sspon.03G0018600-3C transcript:Sspon.03G0018600-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEAPPSASSSSSSSAPRPPAAAASGSGGGAAGSAESYIGSLISLTSKSEIRYEGVLYNINTEESSIGLRNVRSFGTEGRKKDGMQIPASDKVYEYILFRGTDIKDLQVKSSPPPPPPPQAASLHNDPAIIQSHYSQPASTSSSLPSAGGAVLPDLSSQAVQYGLQRPTFQSNLPLYQPGNAPWGSSVAPPAGNVPWGSSVVPPAGNASTLSVPSMYWQGYYAPSSGLPPHLQQPPLLQPTPGLSVPQNLQYPGLNPSLPSGPQKLSELQPSLMPPITSQGPSTGILPATTAPASATLLAPESSKPLLPNMGSLFTPPATSLGATFPFPSQPTSVAETSATVSHNLTSFGSNKATALPGSTLAYQTVSQSVSSTIAPSSSAQVEMPVPLLAPSGQLLQNISSVLSSSHSMQAPLQVASKEVKPVEPKAKVAEPLLPDPLLPDPPSRALPENKEPILPLPKQTPQKYNGSGSHNHHNFRGRGRGRGSAFSQSVTAFTEEFDFTAMNEKFNKDEVWGHLGKKSQSRDKDGEVGDDVFDEDLEVEETDNPELAVKPVYVKDDFFDSLSSRTFGRGGPNGRGRPSERRRVDTETFGEFPRHRQPYRGGARGYRGGGRSRGSYYGGRGYGNTGMGGYGNTGTGGYGNTGTGGPGNSVGILMVGWGGVALSWFINGVPTANVIQIR >Sspon.03G0028580-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:7973194:7976221:-1 gene:Sspon.03G0028580-1T transcript:Sspon.03G0028580-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVIELEPEEPTRPSWPAAEEQAAAASGGEAAHPSSSAGTEEAAAAAEGEASRAAEEEEEAFEDALTDEQLREKSRSQANDAKAEGNKLFGAGQYEEALSQYEMALQIAAELESSEDIRAACHSNRAVCFLKLGKHDETIKECTKALELNPTYLKALLRRAEAHEKLEHYDEAIADMKKVIEVDPSNQQATRSLFRLEPLAAEKREKMKEEMIAKLKDLGNSVLGRFGMSVDNFKAVKDPNTGSYSIQFQK >Sspon.04G0020830-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:81425994:81428129:1 gene:Sspon.04G0020830-4D transcript:Sspon.04G0020830-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVAVALALLFFCVLLLASGAIARWCGGERRLRAFRQELDLLRRLRHPNIVALLAYSDDNEEEGALVLEYLAGGTLADALHGAAAGAAAPLLSWAQRMRILHDVACALEHLHDGGGTSTAVVHGDVSASNVLLDGSATGGGARLCDLGSACEGFSAAVAPARAGAVAVGSPGYADPFFLRTGIVSSKSDVYGFGVLLLEAVTGLPAAGDGDASAGSGENLTARILPRVRARGVAGLVDGRLMGDGGYDEEEAADVARLAVECVAAQPGLRPSMAQVRAAIAEKAARSIAKAGYGHHIQLSKLLELT >Sspon.02G0033770-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:9670198:9672854:-1 gene:Sspon.02G0033770-2C transcript:Sspon.02G0033770-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLRAISSLFHIYCASAAVRRGAGRLGFAPALGGSFRVPSNSGPPAFVLDEVARAAGGVRRRASTRAASWDSEKSPYETLELGRDADEETIKTAYRRLAKFYHPDVYDGKGTLEEGETAEARFIKIQAAYELLIDDERRRAYDREHHVNPMKASQAWMEWVMKKRKAFDQRGDMAVAAWAEQQQREMTLRARRLSRSKVDPEEERKLFAKEKKASMEFYSTTLKRHTLVLRKRDIMRKKAEEERDNEISRLLAAEGLELDTDEDENKTFLG >Sspon.07G0038420-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:78854263:78859806:-1 gene:Sspon.07G0038420-1D transcript:Sspon.07G0038420-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAPAAMDVDDEGAGGGARNQSTMVVVKSESVRTNTDPPVVDPEPVEDVGCDTTECSSSFGDTCSGVQDAPGDGEPEVNSGMSARADGGTPWKPPRKKVTAEWRNYVRPILWRCQWLELRMRELSSQVSKYDRELALNKKQKELQAASKANGSMSESMQIHKGHGNSIMKRRKRKRHEENLDTPLYINKHQILSYYHDKQNKGAETDGLLIDDDCGSTVPIRGGLDSVTLLDSEDYDVIFEQLSLKDILLTIDGVQSRVHLLRGRLSKAHYEGRNLAFSEGNTHVRVAQKRQHTKKRSSYTECRYTKPQKKKNLNVLLKDDNGPALPRRPSPDRETDTHIKDANRSAEERSGECKHLREKAITMDLLLGIGNSIPNGYIEDLCKENSDDILIGNQATSDVCQQFDKAKHLPSGTSKELNNSAPVEVKNTCAPVKVDSTCAPVEVDTTCAPVEVDTTCALAVGQESSIEKSASKEPVSSGSKQELNSRKKKRKKGSLFTRKKQRKEASKTPAVKEKTEGTLSAANTQTESTPSAAKEKTKGTPSAATGPQTMTARSAGKKHKSVNEPADAKEHGSGNLFSASTEQKTGKPSSAVKKQKTEKSSTAAKKHGSGNSSSASMEQTIGNLFSAVKKQKTETSFTAAKKHGSGNKSSASMEQKTGKPSSAAKKQKTETSSTAAKEHGPGNSTSASKEQKTGKGSSAVKKQETVSSCAAANKQEAESASLAKKKQATENSSSKAKKAETAASAPSKLQVEKVVLVAVDSRRSQRVRKPKIFAE >Sspon.03G0025320-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:76571988:76574973:1 gene:Sspon.03G0025320-1A transcript:Sspon.03G0025320-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGVSTLRSPSSSSSSKADQHCGGFVGDHHHVVFPTSGGGSDCCDGFAMVDVDDNLLDYIDFSCDVPFFDADGDILPDLEVDPTELLAEFSSTPPPDDLLLASASPAAVHVDDEAANKAPVVPDDDVKQQLAVVTTEVAQEEKRLLEEQTCGDEKHVAASKQMTEEDSCAGAAVSDTKSSAEGHSKKKPAPGKNSHGKRKVDWTPELHRRFVQAVEQLGIDKAVPSRILEIMGMDCLTRHNIASHLQ >Sspon.03G0022530-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:68623502:68629569:-1 gene:Sspon.03G0022530-1A transcript:Sspon.03G0022530-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTGGDGDKPGPALPLEALLALGLDQRTAENALVNNKVTANLAAVIAEAGIKECDKSVGNLLYAVATKYPTNALVHRPVLISYVLSTKIKNPAQLDAALSFLTNTGPDSLDVGKFEEACGVGVVVSIEEIKSTVTDVLEENMEAIRSSVGMLCGLVRKRHPWGDAKAIKEEIDKRLVEILGPKTEADNIKPVKKKKEKPAKVEEKKVAVATAAPPTEEELNPYTIFPQPEENFKVHTEIFYSDGNIWRAHNTKEILEKHLKATGGKVMTRFPPEPNGYLHIGHAKAMFIDFGLAKERNGHCYLRFDDTNPEAEKKEYIDHIQEIVHWMGWEPYKVTYTSDYFQALYEHAVELIRKGLAYVDHQTAEEIKEYREKKMNSPWRDRPIEESLKLFEDMRRGLIAEGAATLRMKQDMQNENKNMSDLIAYRIKFTPHPHAGDKWCIYPSYDYAHCMVDSLENITHSLCTLEFDIRRPSYYWLLVALGLYQPYVWEYSRLNISNTVMSKRKVVITNLEEGKVLDLDGKMWPDASDTDASSHYKVPFSRTVYIEKTDFRLKDSKDYYGLAPGKSVMLRYAFPIKCTDVIYGDSPDDVVEIRAEYDPLKTSKLKGVLHWVSEPAPGVEPLKVEVRLFEKLFMSENPAELEDWLGDLNPHSKEVIKDAYAVPSLATAVLGDKFQFERLGYFAVDTDSTPEKLVFNRTVTLRDSFGKAGPK >Sspon.08G0012020-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8A:51633548:51634620:1 gene:Sspon.08G0012020-1A transcript:Sspon.08G0012020-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSFTFSLIPAPGPQIGSTSTNPQAGFSFWHGPKSSRCLSPKSPLPPPLLPDLAISSPIINAAVTLSPRSLSPISNSNHIAPRVRKDPRLEHRSTGRGKARAGTLSESEARRGGDRIGWWRLARASWYLALSSFRPSVRPRDKVEAAADDVVVVNGASVGVGDAAKEDKEGEEEMAPVEPLPEPPDDGGPVAWPMPDFCPLTIDGALKESFLETLRKDAAEMERPAREEAEAEAEEALSPDSRPSSSKRHRAGTASPSSRTSPYRNILQVFQQCRQDVVGETPTKNY >Sspon.04G0010610-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:28180533:28189129:1 gene:Sspon.04G0010610-2B transcript:Sspon.04G0010610-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPKRQTAKLANYILEGKELKFYVKNLQRFKEKDNISISSEKEKSDALGVDGAEVGVLEEPHEVCLGGLLQRGDGGGLEAEVRLEVLRDLADEALEGELADEQLRALLLDWALHLLAAVRQSPMADEEVTDPKALLEERSKAKCVSQWYEYQKCVKRVENDETGHKHCTGQYFDYWKCVDKNVAEKLFEMLK >Sspon.02G0010340-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:27500723:27504671:1 gene:Sspon.02G0010340-2B transcript:Sspon.02G0010340-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRGRDPLALSQVIGDVLDPFIKSATMRINYGDKEITNGTGLRASAVLNAPHVEIEGHDQTKLYTLVMVDPDAPSPSKPEYREYLHWLVTDIPEARDIRFGNEIVPYESPRPPAGIHRIVFVLFKQQVRQTVYAPGWRQNFNIRDFSAIYNLGAPVAALYFNCQKESGVGGRR >Sspon.02G0008100-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:23624477:23627130:-1 gene:Sspon.02G0008100-1A transcript:Sspon.02G0008100-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVGQKVYAPGVAVSEGNGGLQKIDLKSPHGSEAEIYLFGACVTSFKVPNGKDLLFVRPDAVFNGQKPISGGIPHCFPQFGPGPMQQVSLHSTSLSTTLEIINTDVKPFSFNSALHTYFSASITGVSVKGLKGCKTLNKDPDPKNPLEGKEEREEVTFPGFVDCIYLGAPSELILDNGLGDKIAIANSNWSDAVLWNPHLQMEACYKDFVCGKRQGITTTAQLELALDVSWNPHI >Sspon.05G0021690-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:90964232:90967640:1 gene:Sspon.05G0021690-1P transcript:Sspon.05G0021690-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSRSNGGEVLLDHEYREEYVKNSRGMRLFACTWLPSKRKTPTPKALVFLCHGYAVECGVTMRGTGERLARAGYAVYGLDYEGHGRSDGLQGYVPDFELLVRDCDDYFTSVVVRSQSQSQSKRFLLGESMGGAVALLLHLRRPEFWSGAVLVAPMCKIADDMRPHPLVVNILKAMTSIIPTWKIVPTNDVIDAAYKTQEKRDEIRGNPYCYKDKPRLKTAYELLKVSLDLEHNLLHQVSLPFLIVHGGADKVTDPSVSELLYRSAASHDKTLKLYPGMWHALTSGESPDNIHAVFQDVIAWLDHRSSNTDQQELLSEVEQKARHDDQHHHQQHGGNK >Sspon.04G0018930-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:67518153:67527577:1 gene:Sspon.04G0018930-1A transcript:Sspon.04G0018930-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPWEMDVDGESSGTLGQMEDLEKFHQRMRVVAFVETSSSTEESWIAAHTGFAIHALTTGLPSQIGAPFAKVYGTIGAADEDEYSLTSCDDDWYAQEESSTLSFPSYYIDAEAVVCLDDGDCKIRNGLVAAEDDSTLDTSIACDSCDKWYHAICVGFNPAMASENSWLCPRCKFNEVEHEADVILKQNFSEECVIGSDRTGTNASFSGRMSVSVADEGETALVVSMVGVHSETRGGLSEASLGLKTAHETFNCSSYPSHSKDARVADASSLWNADIFSRSQNKPSEINVVHTLYSEPTETSLQFSPIREPATTFSSEEGNMSTERLEVPKLVSSCPVVDNSKEAKSTGEENAVEQSNNELSPVIKSPQPFSSDAVQQMKTAQNLQLPLRHDGHYSDDMKEEEDMASGNEVIHPAKKAKLEVQEQDINVIVNSGFSSTHSHHTTSPAKATTDDMSESVAQQKSIPDIMSIVEGDVYRRDPGREMAKPVGRRAGDNAGLRVKKIFRKEEGKESSALVQKLQKEIREVVRDTGTNILEKDGSFDEKLLTAFRAAIGKSVDGPAKSTNTNQLMRTRRSLLQKGKKRENLTKKLYGASTGRRRSDWHRDWEVEFWKYRCSPGINPEKIETLQSVLQLLKKSSEMDKESAKGKKEANNNNSILSRLYLADASVVPRKDDIRPLSALAGCAPLDRSSQIKANGNKSSNIPAAGTETMKISSPSSTGKASSSSILNKEASSRSENRNSQPSQDKRNQSSGDIKQDKRKWALEILARKNASSVTSKEQTGGTDTLKGNFPLLAQLPMDMRPQLAAGSNKKVPVSVRQTYVSQAQLHRIAEHYLQKANLDVVRRCADTELAIADAVNALNRAAVSDQKIELGGDTAPGHTMHKDTVSFSSAEDALRKAGLFDSPPNSPDRGTTEVEGQSRLEEPSKNLQSYPEDVSSLKDDNSSLPTDLDAANCQSLDTMSQQPERNSEEQQKLTSKGETEDVAAKKINATNLTEVDRCSEQCEKSSGPGKEISVDCNMPDKVPGHAEASREMEKTASTSPNQSRKDGLTTDGEVISKPKNSEPPKEKSRSDKPSVNSKDPKADKPKHAAEGGDDLKKRAPDQAGKNTPDASNSTYKKVEMFVKEQIRPLCKSGVITVDQYKWAVVKTTDKVMSFHRDAKNANFLIKEGDKVKKLALQYVEASQQKIS >Sspon.04G0002580-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:16719256:16721968:1 gene:Sspon.04G0002580-2B transcript:Sspon.04G0002580-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSAVRSEIRHEVLHISEKMVRSARARRHVARQLKSTHPIPSYRWKAKAMKKSNRKQASPALQKMDWEDANCSVCMEYPHNAVLILCSSHDKGCRPYMCGTSYRHSNCLDQFKKAYTKGALLEEVPANSIGTNLDSAPLTAEKTESIDLACPLCRGKVKGWTVVEPARSYLNGKRRTCMQDGCSFVGTYKELRKHVKLEHPLAKPREVDPVLEQKWRLLEIERERQDALSTITATMGRAVVLGDYVLDLEDGVDLEDVDSDADVDDGRGTENTRRMLLFIMRQVAQHHQNQRLQNATGASDNAEDNYVVSSGANGTTPYSYPLEGEDENDMVVAGGRSTDVLRPERRRRRRRRNRGRLFLGAN >Sspon.07G0012030-1P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7B:48027602:48028042:1 gene:Sspon.07G0012030-1P transcript:Sspon.07G0012030-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVYADKEEESLENEERISVLNQDIADLRRKLELLSSEKATVDDELAKLLADITTRDEKMKQMDGHLNQLQFEHAKLMAEFDSAHKSLSDLCAQISELEGEVEKQKLVISESAEGKREAIRQLCFSIEHYRSGYQQLRQLLQGHRGP >Sspon.04G0030220-3D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4D:81851298:81854088:-1 gene:Sspon.04G0030220-3D transcript:Sspon.04G0030220-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSGYGGVSEFQQFIMDGGFAMSAPPPQQQPPQPAAAQELGGPFRYQPLHHHALPPQHHHHAPHMPPHFAHFGAAPPPPFTQQLLHQAAAAGHHHLQLFHEQQQHHHHHHKPQPPPHQQQQQHHHQPPARWAPQPQQHQQPQAQTHHHHHHHLGFDVEAAVPESSGAAGAGSAAASGGATPPGVPPFLAAAMNFKLAVDPGGGSGATGGTDDGLNDGGGAAGSGMMLHVGCGGGDDEAATESRLRRWTGDEETSIKEPTWRPLDIDYLHSSSSSKRTGKEKVATPESPAPAAAANYFKKSDDNAAAAAAAGGGNYKLFSELDAIYKPGSGGAGVAQTGSGSGLTGDDNAILEPAIADLQDVAAAAAGPQLNTSETSAGEEAAAVVQPQPQPQASADAARRKRKRRRQQQEQLSASASFFERLVQRLMEHQESLHRQFLEAMERRERERAARDEAWRRQEADKFAREAGARAQDRASAAAREAAIIAYLEKISGESITLPPPAPPAAASGDDTSQDATAAGNGKELVPYDGGDATAPEGGVGSLHLSTSRWPKHEVEALIRVRTGLEGRFQEPGLKGPLWEEVSARMAAAGYGRSAKRCKEKWENINKYFRKAKESGKKRPAHAKTCPYFDELDRLYSRSGHSHSAAAARDGEANAGGGEAKQASSELLDAVVKYPDVRYGPPGFGMDREQASGGGGGNNNANNEGGEDDGAEDGDGEEDGIGKGRAGDDQDDEVDSHGHDDE >Sspon.02G0057690-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2D:63767116:63767517:-1 gene:Sspon.02G0057690-1D transcript:Sspon.02G0057690-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPARPSRSNARSKATLPATAPMTTDLRRQSVRERREHREERLLGREEREKREHLSRACTRVDSWIRDEPSGRAHTYPRPRQPCACVDPRGHSRAGPRLTASCPCRGGSSAPVAEPHRAAATIVWRRGRSGEE >Sspon.05G0001780-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:4389482:4389925:1 gene:Sspon.05G0001780-3D transcript:Sspon.05G0001780-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKCSSKIRYIVWLRETLRRWRSRAAARAAAAVPVPAGHVAVCVGGASRRFVVRAAHLNHPVFRELLRQAEEEYGFPSGACAGPIALPCDEGLFEHVLRHLSSPSKSSRFVTLEDLKSGAGLSCCCVAAAGDSLPLLHGIAADKAVW >Sspon.03G0003370-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:8452696:8455503:1 gene:Sspon.03G0003370-1A transcript:Sspon.03G0003370-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLGPLGAHISPLSCSTYRAPLLQSRRLSPSPTAPASAAAASCAPRSLCFLRRRSSRFAAERTRRPTMAAAISLEAGGGLAHDLGSAAVTAGVALALLKFFEELAKRGVFEQKLSRKLVHISVGLVFLLFWPIFSSGWYAPFLAALAPGVNIIRMLLLGLGLMKNEAMVKSISRSGDYRELLKGPLYYATTVTFATSVLWRTSPVAIALICNLCAGDGIADVVGRRLGKEKLPYNPNKSYAGSIAMAVAGFLASVGYMHYFHTFGFIEETWYMALGFLMVSVAATLVESHPISTELDDNLTVPLTSFLVGSLIF >Sspon.05G0027830-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5C:52475625:52478756:-1 gene:Sspon.05G0027830-2C transcript:Sspon.05G0027830-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPTGAPLSPSADDLLTTDADAAAAGVPVPWAASRPGADGGKKPGADGDKKPGDDGGKKPAIATGRVWSEADEVRILEGLAAYAAAHGAEPRRSQLRAALDGCGLDKSEFTVTEIYEKVRRLRTKYANLRSAGGVPVPAGGADDGDEARKYELSRSIWGDRPLNIIKKVGASRATKASANASAAPGTRVRRGVDELQGLYPSLALAVEGITDNESLRPVLKRAFQLISDEKARELDAKMKKQMAKEVQMTLNQTALRNQYEVVIGPRWATLSEVGLAIFGGRPLKRSASINRYEEAVIFRPPP >Sspon.08G0025880-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:14029849:14031468:-1 gene:Sspon.08G0025880-1C transcript:Sspon.08G0025880-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQVPQTQLQLHFYYNELDLIYTDFKMFSAPGNNSTALVAPRPGMELANVQHPNQAAGPGGKQRTSSLEAPIMLLTGHQSAIYCMKFNPAGTVIASGSHDRDIFLWYVHGECKNFMVLRGHKNAILDLQWTTDGTQIISASPDKTLRVWDVETGKQVKKMAEHSSFVNSCCPARKWPPLVVSGSDDGTAKLWDLRQRGAIQTLPDKYQITAVSFSEAADKVFTGGLDNDVKWWDLRKNEVTEYLKGHQDMITGMQLSPDGSYLLTNAMDNELKIWDLRPYAPENRNIKTLTGHQHNFEKNLLKCSWSPDNRKVTAGSADRMVYIWDTTSRRILYKLPGHNGSVNETAFHPTEPIIGSCGSDKQIYLGEL >Sspon.06G0021120-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6B:12577512:12577802:-1 gene:Sspon.06G0021120-1B transcript:Sspon.06G0021120-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSKVVCACILIILVISSRADARRLVAATCNGKEGPCKGGIIVVEGYGGFSAKQKMATARSTEEVSEGMPATTMDSRPTYPGNSPGIGNKGKINN >Sspon.06G0001440-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:3274473:3278076:1 gene:Sspon.06G0001440-2B transcript:Sspon.06G0001440-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LPFSTSAAASSGPAPSPAVPHFFPQQQQHQHQMQSFLSAPQQYQQHHQQQRSGAPPSPFDVPASYRYGHQPFPQADQAQLQRLVQLQQQQQQQQQQLTAAARAAAAAAPTPAESPRARAPAPAPAGSKKDSASAGAKRRGGPGGLNKVCGVSPELQAIVGEPAMARTEIVKQLWAYIRRNNLQDPNNKRKIICNDELRLVFETDSTDMFQMNKLLSKHIRPLESKNDSKREAKKLKPEGGEPIPSVETDVNQLPLTVSDALATFLDPENPTVILCDSKLKQLFGCDSLTAHGVSELLSDHLYKQSTKI >Sspon.06G0014830-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:64036775:64040371:1 gene:Sspon.06G0014830-2B transcript:Sspon.06G0014830-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGATVTVEEVRKAQRATGPATVLAIGTATPANCVYQADYPDYYFRITKSEHMTDLKEKFKRMCDKSQIRKRYMHLTEEYLAENPNMCAYMAPSLDARQDIVVVEVPKLGKAAAQKAIKEWGQPKSKITHLVVCTTSGVDMPGADYQLTKMLGLRPSVNRLMMYQQGCFAGGTVLRVAKDLAENNRGARVLVVCSEITAVTFRGPSESHLDSMVGQALFGDGAAAVIVGADPDERVERPLFQLVSAAQTILPDSEGAIDGHLREVGLTFHLLKDVPGLISKNIERALEEAFKPLGITDYNSIFWVAHPGGPAILDQVEAKVGLDKERMRATRHVLSEYGNMSSACVLFILDEMRKRSAEDGQATTGEGFDWGVLFGFGPGLTVETVVLHSVPITTGAAAITA >Sspon.01G0038670-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:31231871:31237130:1 gene:Sspon.01G0038670-1B transcript:Sspon.01G0038670-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSGDIPPNQTIYLNNLNEKVKKEELKRSLYALCSQYGRILDVVALKTQKLRGQAWVVFSEITAATNAFRGLQDFDFYGKKMRVQYAKTKSDCIAKEDGTYAPKEKRKKQEEKAAEKKRRAEEAQQAGPNASAAQSNGTGYQASRLGKVSQEPPAPPNNILFIQNLPDQTTSMMLQILFQQYPGFREVRMIEAKPGIAFVEFEDDGQSMVAMQALQGFKITPENPMAISYAKK >Sspon.02G0046380-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:2840167:2844561:1 gene:Sspon.02G0046380-1C transcript:Sspon.02G0046380-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEASARERKRPREGDASEDDARMREVWKRLLEAAAGKPQYTYLPIADTLKVPGVRVCLFAVVAEIGAAVRSRGTDFTVTLRIVDESCKAGISATFFAETAALLPCVKSSGDVISLHNVVIQHHGEFCVTFNKKFSSFALFESKVSAECSPYQTSMKYHGRKHDKELLTQIRKWLPNNPLGLKDLELQLRSLKSGSTFDLVCKVLHVRENSGKWIFYVWDGTDTPAAEFQAMKDCSSDAEAVASPPLLEGPPLPREVLCTMPCVGTVLRIVSDRMYDSRNTNKVHRQPMASFPSNVADEYENEGYSTLMESLTHDEVTHKVKTLVRVVAFYPCRARELHLLSTDNHCIRLTLEDPTARINAYVHKDDLVKFFGGSLTAEVIIKKMNKLLGVPEPEDSEEVAPLTRNPPWICHVIGQRSADLPRW >Sspon.04G0004110-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:12582940:12583188:1 gene:Sspon.04G0004110-1A transcript:Sspon.04G0004110-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKQIREHQEAGRRQQQQQVVVVHSQVRRIKQEEDERATVHETYQHQHASEMRLVLRDLDRQRSRSPLGRVARTAISIGGDS >Sspon.04G0026350-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:42322155:42328316:1 gene:Sspon.04G0026350-1B transcript:Sspon.04G0026350-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQGRNQQHPQQLSSPPVLAAASETKAPAVASQQPKPAPRDAEWPIAFNPCEEAPPQLGILFPFCLKPSTEVKSVTPKKKKHCNCRNSKCLKMYCECFQELQYCDGCNCSNCGNTVGNENARNEAIEAIRQRNPSAFQPKIENGPNTLNVRKDNSGAVPLVPKHHKGCHCKKSGCLKKYCECYQANVFCSKNCRCMHCKNSEGNEDTETSIQRDHASDRNHIQQAANVAFNGTVGSSGYICSLSRKRSHEDALGVRIKSEGSMPETQYQQMFRCLHQHPVPQDLIGTMLPILNQITQYRSPLANTIHLREVNDLVKQLVMVCRMAAATVADNEIDLIAEEKGFQSNNKLSNGNCKQQDFKEASSMDILSKGCSHQPNINEMGSHWSETLNVSRPASPTTQALMCDEQNITFGNEPASPTTQALMCEEQNTTFGNEPASPTTQALMCEEQNTICGNDYRSSFPLVSRDQDTSEINAVQENLVLTGLRQYLCAIIRRGGTNEQKSSLEAAMELDAGQHHGAPPAFSAIEAEENFPSSSTIETPRTNQQPTPNGGSKDSIGS >Sspon.08G0012500-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:52131859:52132923:1 gene:Sspon.08G0012500-4D transcript:Sspon.08G0012500-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPQTSSGSSSSASVAPTPPVLPSPSGIGSSDGMFPGSGGGSGQQQLAISNGVLLAAVIFLFMVVIFVFLLYLYAKRYLGTNPLLAPTSPSSRVLFVASSPLPQRGLPASFPVFPTNVLFWGTHDEVTNAGLAAPPPPPPTVASASAAASASSSASGRRKENQLVIDIPMRPVALNTPPMNSPLPASRMAGGTADEMRSPVSARLRSLRRLLSRGKQAVVGTSSYSPSPRGAGAGDIEQGLAGAESARPPKTPKTPKTPPSSAN >Sspon.03G0036430-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:85910458:85913358:-1 gene:Sspon.03G0036430-2C transcript:Sspon.03G0036430-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase PINOID 2 [Source:Projected from Arabidopsis thaliana (AT2G26700) UniProtKB/Swiss-Prot;Acc:Q64FQ2] MATIREESDYDSSRSSLTAPGSRRSWISDIGSSSSVSVRSFGRGWDTPAASCHHKPHKANQAEWEAIRRVRATAGRVGLEHFRLVRRLGSGDLGNVYLCQLREPWSTGCLYAMKVVDKDALAFRKKLRRAEVEREILRTLDHPFLPTLYADFEASHYACLVMEFCPGGDLHVARQRQPGRRFSISSARYSDQTPAAASCIACAILQEDRTSAKSSDLPVRPPSASMAMGGARERESAAEEEQRPTAAVEEEQRPTAAVEEEQRPTAARGSSAAGARAGAPPPSRARDLRRRAAWRRRSAAGPRGGELRRRGRAPEEAAVPRPRAARPRPDLDPPAPPSGSPPLGAARPPALEPAGEAEGRGAEGGPGREGRGAEGGRGGASLGREEGRERMRGEWPTVLLLKKTDRWTVLALEYLHMMGVVYRDLKPENVLVRGDGHIMLSDFDLSLKCDVVPRLLRHNSLPHNVSAAGGGRADAGKPSCVPPIQPVLSCLFNGVHKCQAKEGTPPKPGHDGGEADSADDRMLELVVEPVSARSKSFVGTHEYLAPEVISGQGHGSAVDWWTLGVFMYEMIYGRTPFKGENNEKTLVNIIKQPLAFPRVAAASGREWDEHLRAQDLMTQLLAKNPKKRLGGCTGSAEVKKHDFFKGVRTPPEVPKPPVKKVLMMSRKERQEPYNYKPPSDERFEYF >Sspon.05G0028390-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:67096888:67099205:1 gene:Sspon.05G0028390-1B transcript:Sspon.05G0028390-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTNSTISLLSTLPKDIPASFLKQITKDFSPELELGKGAFGTVYQGIAENGEMIAVKKLGENCPVADKTFSNEVCNLMAAQHENIVKLVGYCHESQKKVVQHNGRYIIVDIAEIFLCYEYLPKGSLDNYLFAESNRIDWDTRFRIVQGICNGLHFLHKEMDQPVVHMDLKPENILLGDNMVPKIADFGLSRLFGQEQTRMNTQNVVGSLGYMAPEYLYRGEISTQSDIYSLGLLIIQISTGEKNTPNAEDKCGRKFIEKVQKSWTDGHISSKYTSFDPYRLQQIKMCVEIGLQCVEHERKMRPSIADIVEKLNAMQE >Sspon.02G0001430-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:83663065:83667509:1 gene:Sspon.02G0001430-2P transcript:Sspon.02G0001430-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCVHGRPSAPSPDHPPQPPEPPAPAAAQEDAGKAEQPAAPAEKPARRERRSRSSRSGPGPSFANRARGEQVAAGWPAWLSAVAGEAIDGWTPRRADSFEKIDKIGQGTYSNVYKARDTVSGKIVALKKVRFDNLEPESVRFMAREILILRRLDHPNVVKLDGLVTSRMTCSLYLVFEYMEHDLAGLAASPEIKFTEPQVKCYMHQLLSGLEHCHDRGVLHRDIKGSNLLLDNNGMLKIADFGLASFFDPDRKQPMTSRVVTLWYRPPELLLGATDYGVGVDLWSAGCILAELLAGRPIMPGRTEVEQLHKVFKLCGSPTEEYWKKSKLPHATIFKPQQPYKRRIRETFKDFPQSALQLIETLLAIDPADRLTATSALRSDFFTTEPFACEPSSLPKYPPSKEIDAKRRDEEARRLRAAGGRANGDGAKKTRTRDRPKAVPAPEANAELQVNIDKRRFITHANAKSKSEKFPPPHQDGAVGVPLDTSNHMDPLYEPPDPSSFSTVFTYEKGAVPTWSGPLVDPAAVVNQKRKHKSGRSSKQPATARA >Sspon.08G0017920-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:3718779:3723303:1 gene:Sspon.08G0017920-1B transcript:Sspon.08G0017920-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aminodeoxychorismate synthase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G28880) UniProtKB/Swiss-Prot;Acc:Q8LPN3] MAALRLPAPPAARWSHLPFVSASAAARRVSPPRHLAARRAKGEDTPDPPVRTLLIDNYDSYTYNIFQELSVVNGVPPVVVRNDEWTWRDVFNRVYKDRAFDNIVISPGPGSPACPADIGVRANFVYSFALFCETGAPWDLYMVLRSFMLQKLYTGDSGKALDSLKLSMMDATSLIVSHQVVRYHSLVIESGSLPDDLTSIAWTASPNLLSYLESDRSNVSTFLGSLDNNFMTNPLEYSNNGGELSNIGHSSESDDSRVIMGIRHSSRPHYGVQFHPESIATHHGRQIFRNFKKITGDFGLCSSWLQERKVHSIGKLEKSQVNSASHRDSIPKDFLHTERMELSEPVLGKRGVGKKCLRLRWKKIENFLCPTVGSEDIFAVLFGNQSGEDTFWLDSSSVDQNRARFSFMGGKGGSLWKQMTFHLSGQRANGGGTLITQDAYGYTAKNFIKEGFLEFLNMEIESIQYNEKDYEGLPFEFHGGFIGYLGYGLKVECDASSNKAKSSTPDACFVFADNTVVVDHSNGDVYILSLHDEFYSSNGDRICKNSTHTPWLVETEKKLLRLGSMPPGSPINGKAYARSSSVHKQSFAVEKSKDQYIRDVQSCLDYIRDGESYELCLTTQMKRRVDYMNALQLYLKLRKQNPVPYAAWLNFSSENLSICCSSPERFLRLDRGGVLEAKPIKGTIARGRTPEEDECLRLQLKYRQVHDILF >Sspon.02G0053180-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2C:100881890:100882825:1 gene:Sspon.02G0053180-1C transcript:Sspon.02G0053180-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMVGSSRGAIMFSAAFLLLLLLPPPAFAAAAAPAAATAAAGSNECTTRCGNISIPYPFGVEPGCYRPGFDVTCSTDDEPPKLLLRNIYEVFDINLPNGTVDIYVRSIEQSIPDPQTQCGGGGGGGGSYLIGTWAVIGVGIVGGPFSLSASRNKLVVVGCNIQVLLMGLDEDIISTCAAFCSPYAKNHYIVASRDCSGIGCCQAPIPAGLDVYLIQFRRFNGSYSGDQATVYVVDADRLGSYAMDSMQQGALPAVLEWVISNATCQSNATSPECRSSHSFCQNSSNFDGGHRCHCSPGYDGNPYILDGCNGM >Sspon.02G0039170-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2B:49147645:49150616:-1 gene:Sspon.02G0039170-1B transcript:Sspon.02G0039170-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGANQPRRCHLPTILATILAAALLLFFTRFSPTEAAAVDKGRNRSSLLGEPRQWATGKDEAEIIAEAEARAAAGGEGLLTGEDGREFASLDSLLQWAIGNSDPDKLREKAAELERLSAEELLKRQMEIKELMEKLKVPSDAELMKIAIADLNNSSVLLEDRHRALQELLVLVEPIDNANDLDKLGGLLPLIRELSNADEGIRTTSAWVLGKASQNNFLVQNQILGYGALERLVKMGYSSSAPEAAKALYSIPSLIRDNEHGQELFLSENGYAMLQALLAVRSLLQLTSADATDLQKFSGLDDSLNTLRVQLDELTSHEEQREYALEVEILRREVHIVFQQKINQLMVSAADKLAEADLL >Sspon.07G0013910-2D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7D:50105334:50105537:1 gene:Sspon.07G0013910-2D transcript:Sspon.07G0013910-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGGYGSLSPTEPNPTRYTASLPSAAGSDQMRSDGGGPPLRPRPLPTGAINGPARGPARRALRPGRI >Sspon.05G0012400-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:30388901:30391353:1 gene:Sspon.05G0012400-3C transcript:Sspon.05G0012400-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEGGGGQETFEARVKRLFGSRLFDAVPDSSFPTASWSVAAGDVERHRWAKPSEARDAEEEAAGEAARGDTPCASAFYDSNGCLRGRRRRSRQKEFEGDLDDLDEDDEEEDGEREGKATEQDEEEGVRVNIGLDPTLDREEEEDKYDREAFGREEAADRVYMHDIMDDGINMSINSIVPDLLDDSIEEVYRFKKDPRADMRAASARLREDDGSAKDGDSHYAAQAKEFPNVVVQTKKTVKEVNVKPILKRKEEQADLKPRKRVRFDANVKDREPDMFEHDDDSPMVPQSMDVVAEKEESTSTLSASPGVPDYVRNPSKYTRYTLDVPESNDDSNRTALADLHELLGRSDPNRMHSETPVVIPSSVTFIPRKKSVDAMAVDEGPRAIDSNSSVIGMVVGASDEPDQCEMDEDDSKSSSTPQMHTNSKASSRRYRSSRTDDDE >Sspon.05G0016510-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:63007163:63012064:1 gene:Sspon.05G0016510-2C transcript:Sspon.05G0016510-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLAVSLAKSVVEGAVTKALTAIEEEATLRQSTQNDLVFITGEFQMMQSFLNVADEERARNNVVRTWVRQVRDLAYNVEDCIEYVIHLDKASDWYCRCLPGFIRPELPLDKAVAEIKLLKGRVEEVSLRNMRYNLISDSGSKPVTQQQLVPGASVGTTTFDMLIKARDTTKMQRGLGDLTKLLITKKPGGNLGLGVISVWAAGGNADTTPIIREAYGKPEVCESFGHRGWAKLTHPLNPHEFQRSLLIQFHTNSCLQNKQGVDIGTLKRLEVAAEGELIEEFMDQMKKRYLVVLENVSSMVEWDTVRAYLPEEKNDSWVIISSQQSEVARLCVGDPWQVFELKQSSAEHSVCVFFREGSQGDRDKSKQTTMVMAKNNEASSSISVQNNADMLIGRFPLVEVRNSQMKDLRGYLARACVNGFPVISVWGIAGVGKSALVRNLYYDRMNDSATRIFTMYSWVDVSHPFNLRNLAWSLFSELQSDSHQQNGISNPIQECHELLEKHRCLVVIDGLQSTEEWDLIRHSLVSTHSRSIIVVITTEASIAIHCANREEAMYNVKSLEDDEACDLFKKECGGLPKVIVAVADFLAPMTVSWMKTAMNLSDRFMHDLETKPEFDNLRGLFGWMHSYFRTCPDYLKPCILYLSIFPQGQSIRRRRLVRRWIAEGYSRDTSNRSAEDNGEKFFSMLLDLSIIQQTPHSVTTADTRMVLCQVNGFFREYIISRRKDEDLVFELEGRCTLTSQRTGRHLVIKENWDRDKIVFSSIDFSGLRSLTVFGQWKPFFISETMRVLRVLDLENSTGVTYKYLEQMLKLLPRLKFLSLRGIREICYLPGSFAGLRQLETLDVRGTSIVTLPPSITKLTKLQYIRAGTAKEPSVPCAHFSWPSSCCRSCHVGGVKVPAGIDKLTPLHTLGAVNVGFSGGKAILKELKNLTQLHKLEVTGVNKKNSKEFCSAISDHVQLESLSVWLCMGNNKPCLNEMFWPPQKHLEKLQSLKLYGLAKVVPPWIKDLPKLTKLHVNMAISKHVNISEVLGEIKGLCILRLDIRPLENGDGDLNFCVKVNGVEQRSYLNVRILEIACNSDLNVSFGSLAMENLELLIAKCCGSAVKFAELKSLSKGKLKEVRYLGSLDNTIKVNMENQLYQHPKTPALKLEEP >Sspon.01G0008580-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:24551590:24552757:1 gene:Sspon.01G0008580-3D transcript:Sspon.01G0008580-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding KAMSPAPSSHHSQINGRKEKRMRKVDTFAPHNDGHQWRKYGEKKINNTNFYRCTYKDNMNCPATKQVQQKDHSDPPLYAVTYYNEHSCNSAFLPLSPSEFQLQTSSGKAVSICFDSSSGAAPQEPAAAATNASGGGSPSSSAAAARRGTPPEISNPPVLALRRSETWSRSRRPAALSATTPSRVPPAPCRKR >Sspon.05G0011600-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:34765975:34771166:1 gene:Sspon.05G0011600-4D transcript:Sspon.05G0011600-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMRARESVSTSKDLTGEIAPYATALHDVFLQSHCSSCFTKLLPQPLSVVSCTICCSLRYCCSECFGADSAVHFSSGECCFFVDHLKRASPSYVSEGTSDLRAALRLLYVLEMHGLVSSDSIDKYSRIGGLSASGIEEVLEEGEVIAERILEGSLLMSSARKSRAQTSFIFSDRLKLEKMALWAVIINSVEVQISEGLAMGVAVYGPSFSWFNHSCFPSASYRFVWLQGMKTMLHRNQNPVGMLGNMKKIIVLMVLHYIRLSHATVKFITLNFLPLCKYGPRVVVRCIKPINKGDEVCITYIDILQTRCDARDLDKAEDAVTTPAIEDLGDILQQAISEYTSNDDPKACCDMIESMLSNNLVSGLKQEEISGRKHILHPLHHICLTAYMTLASAYRFRALSLEAVCLDGENTDDFFRMARAAAAYSLDYTPFVLIRMFFYDSLSHFLLNTGQSLLYLVESIKGKTRQNISEARFSFSSCPASSTKNDSPPYHEFRSTCEEFGKKMLSLSLHSVRSLPSLEKIKNPMEFSMLGTTTYQSVLSEEDHVNLSAHQRVGFTKEQTECILSLALCCITYCKYL >Sspon.03G0008730-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:37860741:37864254:1 gene:Sspon.03G0008730-3C transcript:Sspon.03G0008730-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIWSVYSLYSHLSNSGDSIVGFIFSCLVPTSIIFLVLQKPWKGRPLPNSQVVPTVVNGGILAFYFVLWGKGLLACGPLVALLAEYAGAVLGVLSAALYGRKIGGLAAMLVAYYLLSNGWSTRTHSPLYSFGSEPVEKARQTIGVKEMVVPITAGILSALRRVLARRVSLKVAQLLLSSLSKTSFKANQLKRRLHAITIASATCFLFPFAMWDTILVSTLCLCSNYSGVGRTEDLQGSASDSIVKLQLPSWAYLSTVLFGMVLIFYVDNVAEEKLHLVFSSPRHLMVSTGCIIVLEIVYQMDFSLLGFLVCSVILGFGIFEATSLDRSKKSPLETHELSNGVEIAVEVNTK >Sspon.07G0016770-3C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7C:85357644:85358516:-1 gene:Sspon.07G0016770-3C transcript:Sspon.07G0016770-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding APGRYYLAARAYASAQGVPFDNTTTTAIFDYGTGATSSPAMPTLPAYNDTTTVTTFTTSLRGLRKAELPTRVDESLFFTVGVGLFNCSSGQTCGGPNNTRFAASMNNVSFVLPSTTSILQAHYSSGGAASAPGVFTADFPANPPVQFDYTAQNVSRALWQPLPGTKVYRLKYGAAVQLVLQGTNVFAAENHPIHLHGYDFYILAEGFGNFDAATDAAKFNLDDPPMRNTVGVPVNGWAVIRFVADNPGVWLMHCHLDVHITWGLAMAFLVEDGVGELESLEAPPPDLPVC >Sspon.03G0024060-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:57923559:57928365:1 gene:Sspon.03G0024060-3D transcript:Sspon.03G0024060-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LHQTAAAQASGPREEFVLQKIRAWPLFCATSTQLPSDFVCCFLLLSSQKRLDGGFEGSLVAGGRRRKKKKLMARSINSDYIDFSHMGGFDMGIDFNGFEDNVKKFMELPIKYLDSAHDKAVEFIEDVHAIFYGPFTDDEVPNNDDCYVITESSPTSIEKELVGPNTEPSTPASFITMENSSTGCDTDAHQTESFSTKSTGLSLMNHVYPENNSSEGAHIESNDLCILPEDISTTIIYDSSEEVILWNPVTSVKPQRSHELTTVPQDDHAPHALETEVTEQVGLNCSGRHSGKYLVTSFYPHLMAKAHHYFFSSYLPCFHILADSSVCSGVIPLENSCANYEEQMVLHSANDPVGVTVHDILVNSTDFFNAPLLEDSSTNYERTVCMQPCQATLPGTQANRPEVHIVSYSANSAVESTTHGTCISHDSSTSVSSCVDDPSMSTDNMVNSVDIDLRDGQKHMKNDKIEVYPVPQRENASFKKMFLRNLSRKLRWSKKQADTNQAMTSGSQDAENLGYQLVSSSDDLEDGWEVL >Sspon.04G0032700-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:30835491:30842708:1 gene:Sspon.04G0032700-1C transcript:Sspon.04G0032700-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAPAAGKGKRKRHLSEDDVYLLLHRYAPGTILTALQEVAQHAEGRRIDWRAAVGKSATGITSAREYQMLWRHFAYHHDLEDSVDAGDQPLGDDSDLELELEPNPIPTKEALSEVSALAKALISSREQASGHRINLDPPVLYTQNEKIVRVPSEKQLAQSHRITNVTGAVANSKQSSHIGPSPGHLDPNGASKKRKKPKAWSKEEDADLAAGVQKYGEGNWEDILHKCNFDSTRTLDQLSQRWALKRPGGSTKPASTKHASVGSEERSAALKAFSLAVGPMRRSGAYQQGIQHKSTAFAPKMLEVRSAATPSPAPALALPVPVPVAMPLRVVAQVQPPLHQGQQAPAQAAQPKSSNASNKTRKKQTAQPNPTIGPSSIQAAAIAAGGRLATASTAASFLKAAQSKNVLHIKSLGATSLKSSASSKASIVVEHGTQPGGSQHLEPLDASAVHGVSGVTAVNQSGPLAGARSLETKKALSTTLAPVPCEEDDSELCAITIDDLFPEDAKQPEVVDAKQPGVVNAKQPETVDTKAKQPETTGPKAKQPENADPKAMQQETMDPKSKQPDTLEVEMKVEIVDPKDMLLEFDQYVASQGGHSNTDDLNKSKCTNSASQAQGLVGSQKPQNLISADGKGNPVTVVEKGKPATAGVAATGKKTKIPVSHSAAGTPRGIVDTVNANAPNKTLVRRAATPVPAGCQAPPLKHAVNTKGSQMTISNATVVSSGVAASSQTSVAAKGASSANPPSSSSQAKPNSVAVNGANRVVNPPSSSQASAAVNDANRAAIALSSSQAGATVNGANKAANPSPPSSQASTAVNGAANKGNPPAAGRQ >Sspon.02G0039420-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2B:53502370:53502722:-1 gene:Sspon.02G0039420-1B transcript:Sspon.02G0039420-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPKKEGFGNQTSTRSPGREGVEEPVRRIEEREGAAGPYPCRRAARRGTVASLVPSPRRERGKGGRNGGERQAREGGGGGGGGRV >Sspon.01G0049900-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:22370650:22372528:1 gene:Sspon.01G0049900-2C transcript:Sspon.01G0049900-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAEAGAFPDDDIFALDIVSKIRTDRNSTIKASMDFGHIVEAIPNGVLHPTSPNDIAALIRLSLSQPKPFTVAPRGQGHSARGQALAPGGVVVDMRSLNDHHRVVGRCRMNVSTHELWVDVGGEQLWIDVLHTTLEHGLAPRVWTDYLHITVGGTLSNGGIGGQAFRHGPQISNVHELDVNSDLFFAALGGLGQFGVITRARIALERAPKRVLWVRLAYSDVQSFTGDQELLISKRSAGGSGGFDYIEGQVQLNWTLAEGRRSSSFFSAPDLDQLAKLVHGTGSAAIYYIEGAMYYYDDDTASSSSVKLETLLEELSFVPGLAFVRDVPYVDFLDRVGRDEQKLRSAGDPASGTSRIVDFDAGVFKGILKDTKPVGLVLMYPMNKDRWDDRMTTATPDEEDVFYAVGLLRSARLERENAAVLEFCHREGIGCKQYLPSHAQHFGEKWSRFAALKRKYDPRAILSPGQGIFVSAAGDGLTDQAGSDSLCLHVYDSVSGQL >Sspon.05G0023160-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5B:5406519:5406849:1 gene:Sspon.05G0023160-1B transcript:Sspon.05G0023160-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAITKSNKQELSLDHNKPNEKSFSAEQISKSALNGGIEDVFILPLNSLNRYLQKQQLSGPTDAPVATEVRVCRV >Sspon.05G0004570-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:13735425:13736320:-1 gene:Sspon.05G0004570-1A transcript:Sspon.05G0004570-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGPIVMKSGRSLQQPNDDQRKDLNSTETVLTEEVKQLHSQVTLLVEQYHQKALQVELYKQKLDETWLIVRDEAAKCKAAKDIIKVLTDQCKALSEKLLVSQQYENLETKSNISQGQTLSADLQHYPSEKLATGKLGQLNNTKNHQISSQGDEEYTPSSSSDVQVEGSCSHLNGSRTFDGNGCITEVDSLVARVTSNAVIEQIERGVYVTFAVSPSGKKDIKRVRF >Sspon.01G0040090-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:74173339:74183990:-1 gene:Sspon.01G0040090-2C transcript:Sspon.01G0040090-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPLQFIGHTVVDYQLMDSTSLGLPSSSVALQAAEFYTDLNMRRSFQSTILPFKPDVVLFLGDHFDGGPYMSDEEWQESLFRFKHIFSLNEQRTKPEIPIYYLSGNHDIGYSAFHSVHPEVDFVVVDAQTLDGAKKSKERSSSWEFIKTLSPGNASNPKVLLTHIPLYRPDNSPCGPHRSSPIINQRVSYAALDQGITYQNYLTKETSDLLLNLLKPVLVLSGHDHDQCTVVHSTPFGPVTEHTLGTISWQQGNLYPSFMLLSAGPKVSQNSTDLEHEVVTKLCFLPKQTHIYVWYICQFVVTILLLVFWPTNGLSSLPYMNTFVSFMRSVGAELLSRTKEKDDEEDGEYDMIFDAEGSMHLVKKAVAKTPSASSDSRPTGCGSVVARATAGKHRLEPDSSSIHVDMGSEMTSEDGGKLARGNKSRVRKVLQRLFRVIQSIVVIAALNVPLYMMLLFKDWIDR >Sspon.01G0046850-1P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:23765832:23769236:-1 gene:Sspon.01G0046850-1P transcript:Sspon.01G0046850-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPYLDRINKLENNFIYATRTLLFLKDDGTLKPLAIELSLPNPKGQRHGAVSKVYTPPHTGVERHIWQLAKAYVCVNDSGWHQLISHWLNTHAVMEPFVIATNRQLSVVHPVHKLLSPHFRDTMTINGLARQTLINGGGIFEFTVFPGKYALEMSSAVYNNWNLTEQALPNDLIKRGVAVADARNPYGVRLLIKDYPYAMDGLMVWWTIEQWVKEYLGIYYPYDIDVRRDAELQAWWEEVREVGHGDLMDRDWWPKMNTVKELARTCTTIIWVASALHAAVNFGQYPYAGYLPNRPTVSRRPMPEPGSDDYRKLEAGQKEADTVFIRTITSQLQTIVGVSLIEILSKHASDEVYLGQRDEPERWTSDAKALDAFKRFGSRLVEIEKRIEKMNGDPALKNRTGPVKVPYMLLYPNTSDVTGEKGEGLTAMGIPNSISI >Sspon.05G0018200-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:73910656:73911025:1 gene:Sspon.05G0018200-3C transcript:Sspon.05G0018200-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding HVSGGRGGVNARHRDGCWREACCAAAGPRAGRAPTAGRRAAPRAGVRGGDARARHGRQRGVWIQQRGGGCAGAARATGAPRVRVPQPPRVRTAPPGNARLRPAAEPGSCRL >Sspon.03G0016210-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:75448344:75449667:-1 gene:Sspon.03G0016210-2P transcript:Sspon.03G0016210-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARIHLYVAAACAAVLALAAPALAGDPDMLQDVCVADYASPAMDRYRYCGCNCGRYRRYLLACLPLTPVKLNGFPCKANISADDFFFDGLRNPGNTSNAAGSLVTAANVENFPGVNTLGISIARIDYAPGGQNPPHTHPRATEIIFVLEGTLEVGFITTANKLFTKILTKGDVFVFPRGLVHFQQNRGYCPAAVVAAFNSQLQGTQQIAMTLFGATPPVPTDILAKAFQIGNGEVEHIKANFAPK >Sspon.08G0005380-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:16311387:16315526:-1 gene:Sspon.08G0005380-1A transcript:Sspon.08G0005380-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRAAPSVEPLDVSSDDSSGSDSDQLGGKEKGGSASKASAAAAAGEDMKRVVLHKESHDVSSDDDLSSDSDDDAGKGNGWNAFGLPNSSKASSVVEGALIRRAEMYQQYMKHIPVPAYCDSVIPFTSWLGLAGSLKQLYEQPLHYLTNVLLKKWDQQRIGSDDEHRRLDAIIHPVRAETLIWATEEVHRLTTSGQHLASLWASDPMYHAYTFTTAKSKKKKTGVDFSALSRHGYHGCPSVLTVRPVEEANWSWSTGKDRNDKEDAPESYEERERTRAAVTEGEKLIGLQNALPNQLLLEKDKKDASFSQKEKRKRDRGQASRGKNYVEEEKRLLRGSGVLMSRSLSPWGLYKLPLASITVRFVTDEKELAGGTAISET >Sspon.02G0030080-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:109354243:109355617:1 gene:Sspon.02G0030080-1A transcript:Sspon.02G0030080-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein LIKE COV 3 [Source:Projected from Arabidopsis thaliana (AT2G18460) UniProtKB/Swiss-Prot;Acc:F4IQJ6] MAGRERDRELLLPVVAGEHVAGDEDDSEPTTPVIIAGPPPPTTSARVLHLHHHPTGIEAFSRVIRSWAWKKFMSGCVILLPIAITFYTTWWFIRFVDGFFSPIYVHLGIHLFGLGFVTSISFIFLVGVFMSSWLGASLLGLGEFFIKRMPLVRHIYSASKQISAAISPDQSSRAFKEVVIIRHPRIGEYALGFITSTVALRGAGVRGDQDLACVYVPTNNLYLGDIFLMSRADVIIPDLSVREAIEIVLSGGMSVPKIISAVEGVVGLGDHGRAVKDS >Sspon.01G0008530-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:23723918:23727004:1 gene:Sspon.01G0008530-1A transcript:Sspon.01G0008530-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Guanylate kinase family protein [Source: Projected from Oryza sativa (Os03g0320900)] MLLARRFSCALARPPSLVRGRPLPPCAARSTPPAPRPPPRRLMSSSSAGWQHSSHRPPRRRLLLLIPALTRGLEAALGTTFSSEPLAPPPQPMILVISGPSGVGKDAVIKRLQEEREGIHFVVTATSRAMRPGEVDGKDYYFVSKEEFLTMIEREELLEYALVYGEYKGIPKQQIRDYMAKGCDIVLRVDIQGAATLRQILGESAVFIFLVAESEEALVKRLIHRKTETSDMLLVRVATAREEVKRMKNFDYVVVNAEGKLEEAVKQVESIIDAEKAKIHKRHATHNHERQDCSVHGNNFGPPRDAFA >Sspon.01G0017470-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:67344051:67348367:-1 gene:Sspon.01G0017470-2B transcript:Sspon.01G0017470-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKSKCVHLALIELGSFCWEKEQARDISREMFCLGARIKNGSAIRNDSVPVSGLPIRLILVDNSRRSTTAASDQWGKQFSSKLLSSFCISTDTLAASR >Sspon.03G0024480-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:98060536:98064969:1 gene:Sspon.03G0024480-2B transcript:Sspon.03G0024480-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQSRFDFTKVDAALLPTVILVGRPNVGKSALFNRFIRRREALVYNTPGDHVTRDIREGVAKLGDLRFRVLDSAGLETAATSGSILARTADMTGNVLARSQFAIFLIDVRDGLQPLDLEVGQWLRKHASGIHTLVAMNKSESLDEHGLLTAAAGEAHKLGFGDPVAISAETGLGMAELYEILRPLFEEYMFQLPNNDLNQDDPISEVETEAHDESKLPLQLAIVGRPNVGKSTLLNTLLQEQRVLVGPEAGLTRDSIRAQFQFDDRTVYLVDTAGWMERSGKEKGPASLSVVQSRKNLMRAHIVALVLDAEKIAKSKSSMNHPEVVIARQAIEEGRGLVVIVNKMDLLRDNQTLFNKVMDAVPSEIQTVIPQVTGIPVVFVSALEGRGRVAVMRQVIDTYEKWCLRLSTSRLNRWLRKTFLERFSTQPKVKYFTQVKARPPNLSFMREDSAF >Sspon.02G0044070-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:103824910:103830989:-1 gene:Sspon.02G0044070-2D transcript:Sspon.02G0044070-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTLPPTSSPLLGAVRLLAVLALLVVVAGGGGGGCDGAVLKAHFYRRSCPAAEAVVRDIVLARVAADPAALPAKLLRLFFHDCFVRGCDASVLIDSTAGNTAEKDAAPNGSLGGFDVIDTVKAVLEAICPGTVSCADIVALAARDAVSFQFGRELWDVQLGRRDGVVSRASEALANLPSPSNNFTTLEANFGRKGLDVKDLVILSGTPTACLRARCGPARVASSNNVTAVAMDPGSPAGRFDAHYYVNLKLGRGLFASDAALLSDRRAAGMIHRLTRRGYFLQEFSNAVRKMGRVGVRTGDRGEIRRNCRAVNSDMW >Sspon.02G0039350-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:51397673:51401615:1 gene:Sspon.02G0039350-1B transcript:Sspon.02G0039350-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MANSNLPRRIIKETQRLLSEPAPGISASPSEENMRYFNVMILGPSQSPYEGGVFKLELFLPEEYPMAPPKVRFLTKIYHPNIDKLGRICLDILKDKWSPALQIRTVLLSIQALLSAPNPDDPLADNVAKHWKANEAEAVETAKEWTRVYASGA >Sspon.02G0043960-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:117436142:117439263:-1 gene:Sspon.02G0043960-2C transcript:Sspon.02G0043960-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding TMAAPPPSPLPDPAVLMDELVEEFLLRLPPEDPALLARATLVSRQWYRVVTDPSFRRRFREFHRSTPLLGYILNLADSIRFVPMSSFRPPHTHRGGVRAVSSSHGRVLLHRSAGENLYALRSTGKDPDVLLSVWDPITDEQQDLPVVPLRPSLCFGMSNWDAVLICTNGDGCNHLHCHFKVIFVGKRLRTFSCFYSSEADSWSDPVFASEGIIGAFIPGNCVLIESTLYGKSAASYDIFKYDMLPNQMCNIRIILGPGVRLDDCMLIATEDGGLGVAKASNSTLVVWVREHGRWEESRTIDLQALLPLDALPDPLLPYEVRPWLTGFAETGAGVIFLWTRVGYFTIDLKSGSCQKVGEDLVSARVVPYVSFCTPAPGAVSTDDRLETGVAISTYEVPVADIAVSTDEGPGAGVAASANEGPGTAGRLCPAKNAYTCSNELACLKAQKY >Sspon.05G0008550-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:27576676:27585563:-1 gene:Sspon.05G0008550-4D transcript:Sspon.05G0008550-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALAPRILRRRPFGSVAFLASIRIRPLAPLQLNRRFRARSNLRRTGCLFFSDSWHRMCGSSSSSPSASFERLWGWFGPEAHERRWRPWFQQPVRCGSTAITLDTDGKFARFGVGDTGVVAKQKGRQQSPPKKKKMSRKAKVNQLKWYRLKAKKKMKSPNPEVRIRYKLEKAKRKEEWLIEKLRKRGVFGGVVLNMHLHWKNHETVKVVCKPCRPGQVYEYAEELTRLSKGTVIDIKPNNTIIFYRGKNYVQPQVMSPPDTLSKQKALEKYRYLQSLEHTSQFIEKLEKELEDYKKHVALFKNREGVAYEKSAMKIPLYWTVPEKTVMCRRTMMTLRIGSIRRD >Sspon.02G0021040-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:91518598:91521020:1 gene:Sspon.02G0021040-2C transcript:Sspon.02G0021040-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLRAPPPFLPQPLSRPSHSQSKRRRPTCRGVAATVRPGPTPSTRFRTGGVHVHGGRAKKGLLAARGRPREERVPCLPATEAGVRAAGVEREEDEDDEYLAREAGWGVRRMARVGEEMRRVAQVQAEAFHVPVALFNDFFDFFKAEVLSALIYRVRNSPPDSRYACLVAEEAEPADQLSKTPYERIVGVVDCTVQDEDDILKQLQGIDEYIYVSGIAVLPSFRRRKVGTALLKACESLGLKWRHRIMVLRAYEDDDGARGLYAKAGYRVVSRDPDWVTWVGRRRRVVMIKELPVHDI >Sspon.01G0020480-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:76284969:76287671:1 gene:Sspon.01G0020480-1A transcript:Sspon.01G0020480-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding EHFLQTECIDLSTKSRDNIPETFAKSVLSRDTRTAIDSDGLPRLGQAVHPNEQYYSVHNSLTGVIRPVRLKGTEPAFIDYVAVNGAGSKRGLQKANIRLRRVRNPIIGDKFSSRHGQKGVCSQLWPDIDMPFSANTGMRPDLIINPHAFPSRMTIAMLLESMAAKAGSLHGKFIDATPFANSLKKDKDPRTPNSIVDEFGPMLASYGFNYHGKEVLYSGVFGTEMDCEIFIGPVYYQRLRHMVSDKFQVRTTGRIDQITRQPIGGRKHGGGIRFGEMERDALLAHGSSYLLHDRLHSCSDYHIADVCSFCGSLLTATMIKSDTQKKAKHEMLGLPTVRPPKNFACQACKTSKGMETVAMPYVFRYLAAELAAMNIKLELRLSNKSGLPPSQES >Sspon.04G0010530-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4A:31932522:31935058:-1 gene:Sspon.04G0010530-1A transcript:Sspon.04G0010530-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MENMDASAGKGKNLIPDLNMEDRDSAGGSKDKPRSEAEVEVAAADADADKGKSVAVADDGEPNVNIALERERCKRMKDLFRALQDVMPHVPQKTDKVTLVGEAITYIKALHEKAAMLGKQALAWLSSLSLTAMPQTAQGISALCASDVPRGWAGVPVPPTAPAMPASPLRCTTWTGPNVALTVANDNAYISVYAPRRANILTMVMSVLDNHGIDVITAQISSDRGRALFMIYAHVTGIGGENRRSSEEVYKRAMSEIMYRLRNNNN >Sspon.01G0005460-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1A:14584351:14587200:1 gene:Sspon.01G0005460-1A transcript:Sspon.01G0005460-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHACHQQQGKFDKSAERDGREKVETYSCDDVKEKRRLGGRLVETGSFRSVFQMHKLPSQRVAGLVGFRGPGDNHNSHKRDQRKRLAAPKAIDRYRLNSAAFPRDAIDGERAGRRGIAGSSDDDGHAFCQVLRAFSDMYKSAWCQYMEDRPTDREDERSEQPANCCKDKSQINGEPSVSLNDLLIEQLSPSSKASRLGVKFRNVTSGVTTTPQPQNSDALWVAIGRYSDAPSVGIDLCRHLLAAAESGVAIAYADRHVFND >Sspon.08G0007200-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:23126999:23130294:1 gene:Sspon.08G0007200-1P transcript:Sspon.08G0007200-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VITCAEFHPSHCNTLAYSSSKGSIRLIDLRQSALCDNHSKIFEEHEAPGSRSFFTEIIASISDIKFSRIGRYILSRDYMTLKLCDLYENDSIFDKFECCLSGDGLRVATGSYGNIFRVFGCTPGSMEATTLEASRNPMRRQIANPARPTRTLASFTRGVRRGGENQGVDANGNSFDFSTKLLHLAWHPTENSIACAAANSLYMYYA >Sspon.08G0016100-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:60783539:60786449:-1 gene:Sspon.08G0016100-3D transcript:Sspon.08G0016100-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNAFFLFCGCVDQASVALVEKWGRFLRLADPGLHFFNPFAGECVAGALTTRVQSLDVRVETKTKDNVFVQLICTIQYRVVKENADDAFYELQNPQQQIQSYVFDVVRAIVPRINLDDLFEQKNDVAKAVLEELEKVMAAYGYSIEHILMVDIIPDAAVRKAMNEINAAQRLQLASVYKGEAEKILMVKKAEAEAEAKYLSGVGIAKQRQAITDGLRENILNFSHSVSGTSAKEVMDLIMVTQYFDTIKELGDGSKNTTIFIPHGPGHVKDISEQIRDGMMQASSSNV >Sspon.03G0005160-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:21123834:21128137:-1 gene:Sspon.03G0005160-2D transcript:Sspon.03G0005160-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMACALAVAQPAASLAPSGKRSLSGGRPPRVPSPRLSDKLRSRSVVAKAAQDSSESSGSIFSTAEDIFGLAGIGFAAIAALWASVNLIEIIDKLPVLPLLFELVGILVAWLFIYNNLLFKPKREEFLKNIKNSVSQILGSNLCRRQ >Sspon.06G0009710-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:51717435:51718740:-1 gene:Sspon.06G0009710-1A transcript:Sspon.06G0009710-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAMFSQLSDKFAKFVCGVASSPTTTPDDDDDRRSHRAELRSFLLLSPSSPERRPWRSSSSSPSVSVRTDAPVDDDVTAASRAEASTEHGGHEVEEESATLPCLAFASEHGYRVFSLAHMRMLDVRPMPPLPGRRHVASPRGGTVLATDVCYRHPCHLADPFTGSRAPLPDLPIPTATATTSGPRPRCAARVIDDGLAWDWSPRGVMVARGDTAFFCEHGGGERWTPVHRSRLGSHMTVNYRGGLFFVLERRTLETTVIDAGTLRARARIPAPPGLDLDDVDGAYLAPSADDAILLVRRAGDNRGVLFTEAYRARHRGSRRPPKWRPVQDIGDRAVFVDGAHGFTVTADPAGARANRVYVILARGVTHPCGRLAVAYDVGFSDLVRLECMGRMKLNAGEVERMWGQPHWIIPRDGSDRRQGSIN >Sspon.02G0003360-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:11067667:11076128:1 gene:Sspon.02G0003360-2C transcript:Sspon.02G0003360-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSARLRVEELRAALLSRGLDVTGTKNALMRRLDAAICKDEKIAAAAAATEVADGYGVAVDGVLVDGKGNGGNNKKRKRSGDGDEEGNGDTSIDLAKLEGMSYRELQGLAKVRGLAANGGKKDVIQRLLSATADPAAVADGGPQGEKEVIKGGDEKVEVKNEKMVTATKKGAAVLDQYIPDHIKVNYHVLQVGDEIYDATLNQTNVGDNNNKFYIIQVLESDAGGSFMVYNRWGRVGVRGQDKLQGPFLTRDQAIYEFEGKFHDKTNNHWSDRKNFKCYAKKYTWLEMDYGETDKEMEKGSITDQIKETKLETRIAQFISLICNISMMKQQMGYDVLKRISNVISKADRRQLEQLTGEFYTVEALGEIEIATKLLEDDSSDQDDPLYARYKQLHCDLTPLEADSDEYSMIKTYLRNTHGKTHSGYTVDIVQIFKVSRHGETERFQKFASTRNRMLLWHGSRLSNWTGILSQGLRIAPPEAPVSGYMFGKGVYFADMFSKSANYCCASEACRSGVLLLCEVALGDMNELLNADYDANNLPKGKLSTKGVGQTAPDMVESKITDDGVVVPLGKPKQEPSKRGGLLYNEYIVYNIDQIRMRYVLLVNFNFKR >Sspon.02G0023950-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:77562344:77565631:-1 gene:Sspon.02G0023950-4D transcript:Sspon.02G0023950-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AMASKQTRLVLVLAAVAACLLLLPDASVATDVDYSGKKDYPVKVSGVQIVPDPVEPGKPATFKISATTDKTIEKGKLQIDVKYFFFYVHSETRDICGETSCPATGDFVLSHEQTLPGFTPQGSYTIYMKILGDENEELSCISFGFSIGFVASS >Sspon.01G0036870-3D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:16566197:16568424:1 gene:Sspon.01G0036870-3D transcript:Sspon.01G0036870-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQRKAAMAATAFFVLLAVAPLLCAPAAAELVRLEHPAKNDGSLSLLVVGDWGRKGTYNQSRVAQQMGQVGEQLNIDFVISTGDNFYENGLTGTDDQAFEQSFTDIYTAKSLQKPWYLVLGNHDYRGDALAQLSPVLKKIDSRFICMKSFIVNAEIVDFFYVDTTPFQLKYWTHPKDDHYDWRGVAPREKYINNLLKDLDEAMKKSTAAWKIAVGHHTMRSVSDHGDTKELLQLLLPVLQANGVDFYINGHDHCLEHISSRDSPIQYFTSGGGSKAWRGVQNTNEDDLKFFYDGQGFMSLQLDHSEAYFTFYDVDGKELYHYSRPSLRKTAGHLQASGYVNEE >Sspon.02G0006710-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:14181478:14182556:-1 gene:Sspon.02G0006710-2D transcript:Sspon.02G0006710-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LCGFSDGEEESGGDHAHAVADEAVRGTGKGKSEAAPGRRKVTYGFHLVEGRMPHGMEDRHVAEFRQLDDGNEVGLFAVFDGHSGADVATYLREHLFDNILNQVTLISLAPGFDFWTDPMEAIRRAYHRTDRMVLKKTKADDDDGEGKGSRRRGGSTAVTAILMNGEELVVANVGDSRAVLCDAGGTARQLSVDHETLRERRAIEARGGFVTEIHGDVPRVDAQLAMARAFGDRSLKEHISADPDVDIEDVGDGAELLVLASDGLWKVMSNQEAVDEARGVEDAREAAVRLVDEAVRRGSRDDISCIVVRLH >Sspon.01G0009230-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:25480418:25483645:1 gene:Sspon.01G0009230-1A transcript:Sspon.01G0009230-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRWESARKKRVVLRIGYVGTDYRGLQKQRELGVDSTIESVLESAIFKSGGILESNYGKLQKVGWERSSRTDKGVHSLATMISLKMEIPDRAWEDDPDGIALASFINSNLPDNVRVFSILPAQRSFDVRRECLYREYFYLLPAEVIGIKDGYSPEEMQEHLSEFNSILKGFEGNHPFHNYTARAKYRKVLAGRHRRVKGASSTLKSISSEMGMAESSSEESTSSDHDDDLNISSIIDTGAPEDNCVNDILKLSENRVQIQARWLHEPDESDRLNASHFRDIITCSCGELQTSSGIQFVELTICGVSFMLHQIRKMVGTAVAVKRGLLPKDIIELSLAKFSRIVLPIAPSEFLHKSKEGRIVRPGIQSMNKSEAIRKGVEGFYKAALLPEVAKFLDPSVPPWKEWLENLDRFAGIPDSQLDEVREAYRAWKADYDRVKMARKSASSV >Sspon.07G0032250-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7C:47451361:47451901:-1 gene:Sspon.07G0032250-1C transcript:Sspon.07G0032250-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLAWCETAPHARCPCRAARVAESSGCRHTTTTPCRSARVRGVEELPRTHDSLTHMVGLSEAGREGARDRGRRGKHLADLLRRSRSQEGPCQTGPKSHADRPFLAAMG >Sspon.03G0007370-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:1045765:1054966:-1 gene:Sspon.03G0007370-3D transcript:Sspon.03G0007370-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAGSLAAAAHGVALVVYLHLFGKAINSLHAHGRHTHDLFHNINQHALYFLYIAIGVFFAGWIEVSCWILTGERQTAVIRSKYVQVLLNQDMSFFDTYGNNGDIVSQVLSDVLLIQSALSEKVGNYIHNMATFFGGLVIGLVNCWQIALLTLATGPFIVAAGGISNIFLHRLAENIQDAYGEAASIAEQAILYIRTLYSFTNETLAKYSYATSLQATLRYGILISLVQGLGLGFTYGLAICSCALQLWVGRFLISHGRANGGEVVVALFAIILSGLGLNQAATNFYSFEQGRIAAYRLYEMISRSTSTVNQDGRTLSSVQGNIEFRNVYFSYLSRPEIPILSGFYLTVPARKTVALVGRNGSGKSSIIPLMERFYDPTLGEVLLDGENIKNLKLEWLRSQIGLVTQEPALLSLSIMENIAYGRSATTDQIEEAAKTAHVHAFISSLEKGYETQVGRAGLSLTEEQKIKLSIARAVLSNPSILLLDEVTGALDFEAEKAVQEALDILMLGRSTIIIARRLSLIRNADYIAVMEEGQLVEMGTHEELLNLDGLYAELLRCEEAAKLPKRTPIRNYKEPSSFQIERDSSASHSFQESSSPKMSKSPSLQKTHGFLTFRTSDANHNSHESPNIQSPPSEQMAEARLPMVASERAPSIKRQDSFEMKLPDLPKIDVPLHRQSSNTSDPESPISPLLTSDPKNERSHSKTFSRPLDIFDSFHAEDFKQQHTKAPSFWRLAELSLAEYFYALLGSAGAACFGSFNPLLAYTISLIVVAYYKIGIRDVHAEVNKYCSFIVGMGIITVLANFLQHFYFGIMGEKMTERVRRMMFSDYSKTDVEISDFSHPVYCIPAILRNEVGWFDDEENSADILSMRLANDATFVRAAFSNRLSIFIQDTSAILVALLLGMLLQWRVALVALATLPILIVSAVAQKMWLSGFSRGIQEMHRKASLVLEDAVRNIYTVVAFCAGNKIMELYRLQLGNILKKSFIHGMGIGFAFGFSQFLLFACNALLLWYTAAAVKDGHLSLVTAVKEYIVFSFATFALVEPFGLAPYILKRRKSLTSVFEIIDRVPKIDPDDASGLKPPNVYGSIEFRSVDFCYPTRPEMMVLSNFSLRVNGGQTVAVVGVSGSGKSTIISLIERFYDPTAGQVLLDGRDLKLFNLRWLRSHMGLVPQDPVIFSTTIRENIIYARHNATESEMKEAARIANAHHFISSLPHGYDTHVGMRGVDLTPGQKQRVAIARVVLKNAPILLLDEASSAIESESSRVVQEALDTLIMGNKTTILIAHRAAMMKHVDSIVVLNGGRIVEQGSHDSLVQLNGLYVKLMQPHFSKGFRQRRLI >Sspon.03G0009720-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3B:32984448:32985559:-1 gene:Sspon.03G0009720-2B transcript:Sspon.03G0009720-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MINAKNGRYDIDTRRCGIHSAPTKSMYLDAQQVVNCQATVRDHDVGSCAVKHHFPSPIVSWIEDLSSFGNASFSPDSEYVDEQARASVGQSSTSSNLHDMQISVRLTDEFVELAKENTSNNLETCGILGASFCQAVSEEEIHAVLSEQSLYPAGWIHLRAKPSFILACFNFFF >Sspon.04G0035750-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:16596571:16599695:-1 gene:Sspon.04G0035750-1D transcript:Sspon.04G0035750-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLFMPDLGFSWMDPDEFVRVSFFPSALLSRWLSVQVAVAMMRTSTWAGITPKVSRSLTLSRIQEMEPLIERQQLSVVVDGLICNFTKCCWQEAPLESGRCFSKQFNIFYLWSKNSDLQYKQICSVILGMDMALSKLNSGGWVHIFPEESHSTDEGKIIAPAREVLQGNPLTNVIR >Sspon.07G0005110-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:13170615:13171004:-1 gene:Sspon.07G0005110-1A transcript:Sspon.07G0005110-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLLFVAAYMCKKNRKPHKHVQIVRDGYGAEEEEMRSSEPLTYDLSTLRAATDNFSEENKLGEGGFGPVYKVNLILEPEN >Sspon.02G0031690-4D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2D:90116594:90117349:1 gene:Sspon.02G0031690-4D transcript:Sspon.02G0031690-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding QRGGRSEIVFVSPTGEEIKNKRQLSQYLKAHPGGPAASEFDWGTGDTPRRSARISEKVKVFDSPEGEKIPKRSRNSSGRKGRQGKKEEAPETEEAKDAETGKEAPSEDAAKETDVEMKPAEETKEAPAETEDTEKSADKADVAPAPAPMEEDKKETEKPAESVVAPPAPTEEKKEDAKPAEPEAVAPAENSAPAPAEPVAVPAPAPETKPDAEPAV >Sspon.06G0028490-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:7498696:7504148:-1 gene:Sspon.06G0028490-1C transcript:Sspon.06G0028490-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:At1g24260 [Source:Projected from Arabidopsis thaliana (AT1G24260) UniProtKB/TrEMBL;Acc:B4F7R9] MGRGRVELKRIENKINRQVTFAKRRNGLLKKAYELSVLCDAEQLKSSRNEYLKLKARVDNLQRTQRNLLGEDLESLGIKELEHLEKQLDSSLKHIRSTRTQHMVDQLTELQKREQMFCEANKCLRRRLEESNQVIWQHAWEQSERHPEVQPQQLHGTNNFFHPLDAAGEPTLQI >Sspon.03G0028360-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:9460282:9461890:1 gene:Sspon.03G0028360-1B transcript:Sspon.03G0028360-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAFSAQVPALRRGALRVRWVTAALFSSGILAGNKPVLVRDFVRSVLYDPNHGYFSKRAGPVGVLDASIRFNQLEGGLLNLLRLAHFLSFYRIASSMAKFGRSAYMQHLDKLYKKHDIAWFTPVELFKPWYAYAIAASILRTANLSVPLKIYEIGGGSGTCAKCILDYMMLNAPPKVYNDMKYISVEISSSLAEKQLETVGEVQSHLSKFTVEHRDAINRPGWGRKDPLPCWVLMLE >Sspon.02G0018990-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:61172751:61175628:-1 gene:Sspon.02G0018990-1A transcript:Sspon.02G0018990-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein TRIGALACTOSYLDIACYLGLYCEROL 3, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G65410) UniProtKB/Swiss-Prot;Acc:Q9AT00] MASPSIPAALRHPHLPAGGGLLFHSSGDPTSRSALSIGDLPMRRCQTDVGPVLAARSPGLGNVDNLHQYLVEYLNCHIMKFILTNCFSWACAAEFKLELGFEQPDDDDRNVLIECRDVHKSFGDKHVLRGVSFKIRHGEAVGIIGPSGTGKSTILKGDVIICGKKRHGLVSDEDIEGVRIGLKWHDFLMLLVLDILNGIVIQVFQSAALFDSLTVRENVGFLLYENSSLPEDHMGVEDRMPSELSGGMKKRVALARSIIFDDTKDVVEPEVLLYDEPTAGLDPIASTVVEDLIRSVHMTGRDALGKPGKIASYVVVTHQHSTIRRAVDRLLFLHEGKVVWEGMTHEFTTSTNPIVQQ >Sspon.07G0035950-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7D:17894489:17895032:1 gene:Sspon.07G0035950-1D transcript:Sspon.07G0035950-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPKADAFFHDMLTRTLDNYYYDWEATLEYRYMEYSHPWMDSYWETELWVKTRDPTTKVHKVESKFITRGVWTVPLADDSSLTLQATVGLVRELALHEVDLNDELLKEKELKKQAYKEIDQLRAELGRPKIYEKLN >Sspon.02G0057310-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:56344819:56349257:1 gene:Sspon.02G0057310-1D transcript:Sspon.02G0057310-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVARAVDPRRGRAPVLSSTVLAPIKLLDPFSLPTPTVPLTRGHHPHYHHPAALLRDQCHPPRPAPAHAVLSGLIVATGTGWCGEGTDETTASSVAATSVLSFHGTLLLASVIVLRLSLQLLGWGSVSCRVLLRMRAAAAAMASLLPAAVLLLALLNLNSAAADAEGAAAALLEFKGALEDVNGRLSTWVAAGAGAGVDPCGWAGIACSAAGDVTGVTLHDLNLHGGLSAAVCALPRLAVLNVSKNALSGPIPPGLAACAALEVLDLSTNALHGGVPPDLCALPALRRLFLSENLLFGDIPPAIGNLTALEELEIYSNNLTGTIPTSVSALQRLRVIRAGLNQLSGPIPVDLTECASLEVLGLAQNNFAGELPRELSRLKNLTTLILWQNHLSGEVPPELGECTNLQMLALNDNAFTGGVPRELAALPSLLKLYIYRNQLNGTIPPELGNLQSVLEIDLSENKLTGVIPAELGRISTLRLLYLFENRLQGTIPPELAQLSSIRRIDLSINNLTGTIPMVFQNLSGLEYLELFDNQLHGVIPPLLGANSNLSVLDLSDNQLNGSIPPHLCKYQKLMFLSLGSNHLIGNIPQGVKTCRTLTQLRLGNNMLTGSLPVELSLLQNLTSLEMNQNQCSGPIPPEISKFRSIERLILSSNFFVGQIPAAIGNLTELVAFNISSNQLTGPIPSELANCKKLQRLDLSRNSLTGVIPTEIGGLGNLELLKLSDNSLNGTIPSSFGGLSRLIELEMGGNRLSGQVPVELGQLAALQIALNVSHNMLSGEIPTQLGNLHMLQYLYLDNNELEVVCWALRAKIPELVSSEERKTGFSGPHYCLKERVTYQELMKATEDFSESAVIGRGACGTVYKAVMPDGRKIAVKKLKAQGEGSNVDRSFRAEITTLGNVRHRNIVKLYGFCSHQDSNLILYEYMANGSLGELLHGSKDAYLLDWDTRYRIALGAAEGLRYLHSDCKPQVIHRDIKSNNILLDEMMEAHVGDFGLAKLIDISNSRSMSAVAGSYGYIAPEYAFTMKVTEKCDVYSFGVVLLELLTGQSPIQPLEKGGDLVNLVRRMMNKMMPNTEVFDSRLDLSSRRVVEEMSLVLKIALFCTNESPFDRPSMREVISMLIDARASSYDSFSSPASEAHIEYDSSSPKI >Sspon.03G0022980-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:93588009:93590222:-1 gene:Sspon.03G0022980-2B transcript:Sspon.03G0022980-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSGKWVKSLIGLKKPDKEDCCKDKLQLPSVHGGLRGKGRTWKLWRTSSGDQGSIWRGTRGGSQRSAASEASDDASSVAAPADPFTAAVATVTRAPARDFMAVRQEWAAIRIQTAFRGFLARRALRALKGLVRLQAIVRGRQVRKQAAVTLRCMQALVRVQARIRARRVRMSTEGQAVQKLLEARRTQMDILREAEEGWCDSQGTLEQVRVKLQKRQEGAIKRERAIAYAYSQQADGAAKCNPPKLTSNGRVNHSGMLLKHQNFDRSNGNWSWLERWMAARPWENRLMEEHNQTNSSSPDFRSSKNCEDSFGVLGDFSEPNSVKVRKNNVSKRVCAKPPGPTHAHGHHQCLKAQSISSLSTELQNDESSASSSSCFASTPISLSTFVTSEKTEDNVRTRPNYMSMTESIKAKQKACNAQRMVALKHSDDRKVMGAELKAAQ >Sspon.05G0012530-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:33036462:33036791:-1 gene:Sspon.05G0012530-2P transcript:Sspon.05G0012530-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MWLTASPGSNNHSRPQNTSVPVRASSISGHTQSISSEPPSPVGKVSSLVTSVTVAIPHNKSISTVENAKTSPVGISKASCSSSSLSSNDECPPERRSTRNVISDSDIPQ >Sspon.05G0023410-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:4264118:4269826:-1 gene:Sspon.05G0023410-2C transcript:Sspon.05G0023410-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPSVASLRLPLRPASPALSRRALAGVSPNVVAPRALLLLKPLASKVPASYHQPAPLLHQRHRHGPPPAAATTASKPVLKDPKKYQEWDSVTAKFAGAANIPFLLLQLPQIVLNARNLLAGNKTALFAVPWLGMLTGLLGNLSLLSYFAKKRETEAVIVQTLGVISTYAVLVQLAMAESMPVPQFVATSVVVAAGLILNFLNYVGWLPGTLWLLWEDFITVGGLAVLPQVMWSTFVPFIPNSVLPGIICGSLAVAAVAMARMRKLSDAGVKFVGSLSGWTATLLFMWMPVAQMWTNYLNPSNIKGLSAFSMLLAMLGNGLMLPRAVFIRDLMWFTGSAWACVLQGWGNLTCMYCFNSISREFYFATSAGLLLWLGFTLWRDTIAYGNSSPLTSLKELFFGK >Sspon.04G0021170-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:74121745:74122499:1 gene:Sspon.04G0021170-1A transcript:Sspon.04G0021170-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVWKDYMSLQPSLISITPAADAAGGGGGGALYNHRHHQHLSPSGAGASHSPRSFSSPLQPPTPPPPTTLSLSSTLEFTYLGGAGAGGGGGSSTSGDDSRDLMAFSFAPADQYQQRYQTMKQSSSSAAAAGDSRRQRRMIKNRESAARSRARRQAYTNELELELEQLRRENEMLIKRHQELNVRLATSSSAQVPAHYSSTLHRCRSAPPGT >Sspon.01G0055060-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:72540735:72548195:-1 gene:Sspon.01G0055060-1C transcript:Sspon.01G0055060-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTTLQDHGKCPVTKEDLTMDDIVPVKTNKVWLLWRLVSLANLGLVRLLSILLEQGSKEIPCHHMRKPNGTIIFIQVVKPRPLQAASIPGLLGIFQNHDAACRVIARLKKERDEARALLAQAERQIPASVAGAAPAAVVSNGKRAMEDEIGPDGKKIRPGINPVMIDELTECNTMLSAQRKKRQVPPTLAPIDALERYTQISIHPLHKTNKPGILSMDVHPSKDIIATGGIDTNAVLFDRASGQILCTLTGHSKKTVRIWQENENGSYNCVHTLKDHTAEVEAVTVHATQKYFVSASKDNSWCFYDMSTGSCLTQVGEASGQEGYTSAAFHPDGLILGTGTTDAVVKIWDVKTQSNVAKFEGHVGPVTAMSFSENGYFLATAAHDGVKLWDLRKLRNFRTFSPYDSDTPTNTVEFDFSGNYLAIGGSDIRVYQVANVKSEWNLIKTLPDLSGTGKVTSVKFGADAKYIAVGSMDRNLRIFGLPGDDQMEESNTAAE >Sspon.01G0021280-3C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1C:78990105:78990368:-1 gene:Sspon.01G0021280-3C transcript:Sspon.01G0021280-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQPYCADAMARTAGSSSRSPKHMSTSRQNMAYRNASITGGGAWASSASRPSFSASTRRTHARAGANSFTRDGCSVSATRLRRRRRHT >Sspon.01G0016040-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:48961352:48963043:-1 gene:Sspon.01G0016040-1A transcript:Sspon.01G0016040-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSFKARRMEPELVSPARPTPSETKALSDLDDQRTLRYYETVIAFFRSRHGYTSHRRPNDPAKAIKAALAEALVYYYPIAGRLREAAGGKLVVDCTAQGVVFVEADADVRLEELGMPLLPPYPCVEELLCDAGETRAVIGKPLVLMQVTRLKCGGFVIGFHMCHTIADGFGMVQFFRCVAQLTRGEKAPTVLPVWRRELLTRRHKSSSSSLITHSNSSSSSDHNHKSDDVMLSIPMDDMVVQYFLFGPREIATLRGHLRGHHLAVTSATSFELLTAVMWRCRTIALGYESDHRVRLMVTMNARGKWNQHTLIPRGYYGNAHVSPIVEATAGELCSQPLAHTVELVRRTKLSVTKERMESMVETIASTRQWPPPTMDRIYEVSDTKWIATNATQFGWAELVGGGIPLAGDLTSKLGSDHMRCKNQDGEHSTIVSMLLPKPAMEKFSGELSVWLNDKHDEKNLVILSSL >Sspon.01G0026970-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:95244501:95250045:-1 gene:Sspon.01G0026970-1A transcript:Sspon.01G0026970-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPGQMTASNLLRTEGFPGNMGKRCGLIPVRSLGSRRLRMTQHCFHQQHICWPRLQRIMTRNIRLSSSPGAVASKGFDSPLVEKPDTALDVGVIHLYRIPFLQESETMELLKKVKAKVSANIVDILTEQCFNIQLDNPLTPEKLSTLHWLLAETYEPEKLQTRSFLEDEVSRNSYTVIVEVGPRMTFSTAFSTNAVSICKSLSLVEVTRLERSRRYLLRLKPGSDPLDESQLKEFAALVHDRMTECIYPNKLTSFQSDVVPESVRVVPVIERGEEALEEINVKMGLAFDQQDINYYTHLFRDDIKRNPTTVELFDIAQSNSEHSRHWFFNGKLVIDGETMPNTLFQLVKSPLKANPNNSVIGFKDNSSAIKGFPVNQLRPTIPGFTSPLSIIMRELDILFTAETHNFPCAVAPYPGAETGAGGRIRDTHATGKGSFVVASTAGYCVGNLRIDNSNAPWEDPSFSYPVNLASPLQILVDASDGASDYGNKFGEPLIQGYTRTFGMRLLNGERREWLKPIMFSGAIGQIDHAHISKGDPEIGMLVVKIGGPAYRIGMGGGAASSMVSGQNDAELDFNAVQRGDAEMAQKLYRVVRACAEMGESNPIISIHDQGAGGNCNVVKEIIYPKGAEIDIRSIVVGDHTLSVLEIWGAEYQEQDALLVKPESRSLLESLCERERVSMAVIGKIDGCGKIVLIDSAAVEHSKLNGLPPPTPVEELELEKVLGDMPQKTFEFRRVSQVTEPLDIAPGVTLLDALKRVLRLPSVCSKRFLTTKVDRCVTGLVAQQQTVGPLQLPLADVAVIAQTYTDLTGGACSIGEQPIKGLLNPKAMARLAVGEALTNLVWAKVTSLADVKASGNWMYAAKLDGEGADMYDAAVALADCMIELGIAIDGGKDSLSMAAQCDGEVVKAPGNLVISTYVTCPDITLTVTPDLKLGKDGILLHIDLAKGNRRLGGSALAQAFDQIGNECPDIDDVLYLKKVFEAIQELLSQRLISAGHDISDGGLIVSVLEMAFAGNCGFKLDIDLEDRSLIEGLFAEELGLIIEVHSEYLNIVKQKLEIAGISANVIGEVTSSPEIEVFVDGNLQIKERTSDLRDLWEETSFQLEELQRLKSCVKLEKEGLKCRTSPSWSLSFTPKFTDGKLLTASSKPKVAIIREEGSNGDREMAAAFHAAGFEPWDITMSDLLAGKSSLTEFRGIAFVGGFSYADVLDSAKGWAASIRFNQPLIQQFQDFYHRPDTFSLGVCNGCQLMALLGWVPGSGVGGSLGTGGDMSQPRFIHNESGRFECRFTSVSIGDSPAIMFKGMEGSTLGVWSAHGEGRAFFPDENVLATVVKSNLAPVRYCDDSNNITETYPFNPNGSPLGIAALCSPDGRHLAMMPHPERCFMMWQYPWYPKDWQVEKSGPSPWLRMFQNAREWCS >Sspon.02G0033520-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2B:7359645:7361212:1 gene:Sspon.02G0033520-1B transcript:Sspon.02G0033520-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGLTPSYKLSLIGVIVLLLGLTSGDVTHGRKKLVSSSDGEPCHKMTVYYHDIFYDGTNTVNATLGARPSVGASGARQGLLLLEPVVTTKFSILELACSRPGSLQACAPTGGGFSRLICCAPPPSLHIVGLNSNIYFYDKKESSSAWFAFSLVFNSTVHRGTLNLMDADPIAKKTA >Sspon.07G0006590-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:16983725:16987712:-1 gene:Sspon.07G0006590-1A transcript:Sspon.07G0006590-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWSAVTAEDLVDALREVDWSTPPRPVPEFFSRFTVPRSYSKWTSRLKCNLYYYRTNYFILIMFILGMGFLWKPVAILAAFMTGLSIAFLNDSFAVTFNEKVTRTVRQFSPHLAAKMRPPITWSCSSRSTKLEEINSYLWATSLVVCPVLFCS >Sspon.03G0024250-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:58430482:58435076:-1 gene:Sspon.03G0024250-3D transcript:Sspon.03G0024250-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEKKNPPQAAFCGEAVIAEFEALTRDAAAVQRDTLRRILGDNATAEYLRCRGLAGRTDAASFRACVPLATHADIEPYIARIADGDTSALLTAKPITSISLSSGTTQGKRKYLPFNQELVKSTMQIYRTSYAFRNRAFPVEDGKALQFIYGSRQFTTTGGLTATTATTNVYRSEEFMPTMRAIQSQVCSPEAVIFGADFAQSLYCHLLCGLLYADEVRIVSATFAHSLVLAFQTFERVWEDLCADIRAGSLSPTRVTAPAVRRAVEALLTGPNPALADEVARRCAGLSNWYGVIPALFPNARYVHGIMTGSMEHYVKKLRHYAGGLPLVAAEYGASEGWVGANVEPETPPESVTFTVLPNIAYFEFIPLKATSCHGGAADDDTCYAEAEPVGLTEVTVGEHYEVVVTTFAGLYRYRLGDVVKVAGFYNSTPKLKFVCRRNLMLSINIDKNSEQDLQLAVDSAAKILAAEKLEVVDYSSHAEVSRDPGHYVVFWELNADGNDDVLQSCCDELDRAFTDPGYVGSRKTSGIGPLELRVLQRGTFQKVLRHYLSLGAPVSQFKSPRCVGRSNNSGVLQILSANVVKVFFSAAYD >Sspon.02G0031090-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:113630792:113635024:1 gene:Sspon.02G0031090-1P transcript:Sspon.02G0031090-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MELKSGRRLVYPPPEQQEDGHRRQSQQGSEDRISGLPEHLLLEILIRLRSADGAARAGAVCRGWRGLWTELPELTFWDENPQPVMSALAGITRPSLDLLDINLMADWGEDWAGQISLLLRAAALVLPKKLIIFILTPPTKDYIIELPCFERTSYLSLDLMGSLPITLSRSGEFTALESLHLESCCIDLGALLSLCPCLRILNILNINNFRHVDTVIVHSPSLEELGLEIHNHDMCRIDIAAPVLKEVTLEIDIGKGFSFSFSAPMVKKLRWGCSYSPCVSVGFGQIWHLMSIKEREVDEVRVISLIIMSSANPNGLLDAEWSITQVIAHLPVTAFSVLELDLEIKRHTFGPIMLYLLRIQPTIQRLKVVLARDKVRVPCPVNCPCEHPINWRSEDASLVNLEVVEIHGLQGEDDEVDFLKIILRCATVLRRLTMTFFDDVSPSNTGYEKICSIMKEHPHFKELAQAYEVLSDPEKQEIYDQYGEDARINVMILLLQECGYAWNTFQSAVA >Sspon.03G0043080-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:75770454:75770687:-1 gene:Sspon.03G0043080-1C transcript:Sspon.03G0043080-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding WSTSARTPRARPTSRAWPPAAASAACCRRLGLHRLRRAAQGQRALLAARRVLLQLPARRRGQPLLPRLQRHHPVQGLM >Sspon.06G0010440-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:57165879:57170553:1 gene:Sspon.06G0010440-1A transcript:Sspon.06G0010440-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNGGGGGGGGQKKRGTRIEPFRHRVETDPKFFDKSWRKLHDAIREIYNHNASGLSFEELYRTAYNMVLNKFAPQLYEKLTENMKEHLEDMRTCIDAAQGGLFLEELQRKWNDHNKALTMIRDILMYMDRTYIPTNKKTPVFDHGLELWRDTIVRSPTIQSRLSDMLLELIHSERTGEVINRGLMRTTTKMLMDLGLSVYQDDFERPFLEVSASFYSGESQQFIECCACGEYLKQAERRLSEESERVSQYLDVKTHEKITAVVVNEMLANHMQRLILMENSGLVNMLVEDRYEDLTRMYTLFNHVPDGLTTIRSVMASHIKDTGKSLVTDPERLKDPVDFVQRLLNMKDKYDNIINVSFSNDKSFLNALNSSFEHFINLNNRSPEFISLFVDDKLRKGVKEANEEDLETVLDKVMMLFRYLQEKDLFEKYYKQHLAKRLISGRAASDDSERSMLVKLKTECGYQFTSKLEGMFTDLKTSEDTTQGFYASTSSELLADAPTISVQILTTGSWPTQTCNTCNLPPEIVSVSEKFRAYYLGTHNGRRLTWQTNMGHADIKATFGNGSKHELNVSTYQMCVLMLFNSSDVLTYREIEQSTAIPAADLKRCLQSLALVKGKQVLRKEPMSRDIADDDSFFVNDKFTSKLFKVKIGTVAAQKETDPEKLETRQRVEEDRKPQIEAAIVRIMKSRRVLDHNSIMMEVTKQLQPRFMPNPVVIKKRIESLIEREFLERDKTDRKMYRYLA >Sspon.03G0015280-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:69123128:69129984:1 gene:Sspon.03G0015280-3C transcript:Sspon.03G0015280-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MERVASSCASLLAQRRGYSVAAAVVKGTGGRKAGEKHSSSSLRPQPPTTRAAARVTRVRDHNRESATTTARALGGSNFRPGLQVQVSRHRLSDSIIRLRRPSAVSARPSQVGLVGASEVRSSPPQLAGDMDPPGSESPMSSDGEGRPELHDGQVELDYYVSVGSVDLEAWRAHPHGRLMRVGKKMHQPA >Sspon.01G0007600-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:95406055:95411300:1 gene:Sspon.01G0007600-3C transcript:Sspon.01G0007600-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMHKITLVLMFCLLALGRAEYLKYKDPKQPIAVRVKDLLSRMTLAEKIGQMTQIERENATADALAKYFIGSVLSGGGSVPAPQASAQAWASMVTEMQKGALSTRLGIPIIYGIDAVHGHNNVYKATIFPHNVGLGATRDPDLVKRIGEATALEVRATGIPYAFAPCIAVCRDPRWGRCYESYSEDPKVVQSLTSLISGLQGDAPADSVGRPYVGGSKKVAACAKHYVGDGGTYMGINENNTIIDTHGLMSIHMPPYYNSIIRGVSTVMTSYSSWNGKKMHANHFLVTDFLKNKLKFRGFVISDWEGIDRITSPPHANYSYSIEAGVGAGIDMIMVPFRYTEFIDDLTTQVQNKVIPMSRIDDAVYRILRVKFTMGLFENPYPDASLAGELGKQEHRELAREAVRKSLVLLKNGKSSVPLLPLPKKAGKILVAGSHANDLGNQCGGWTITWQGSSGNTTAGTTILSGIEATVDPSTQVVYSENPDSSVLADKYDYAVVVVGEPPYAETFGDNLNLTIPAPGPSVIQSVCKATKCVVVLISGRPLVVEPYLGDMDAFVAAWLPGSEGQGVADVLFGDYGFTGKLSRTWFKSVDQLPMNVGDKHYDPLFPFGFGLTTKGAK >Sspon.02G0042040-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:75016460:75020000:1 gene:Sspon.02G0042040-2D transcript:Sspon.02G0042040-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase (Fragment) [Source:Projected from Arabidopsis thaliana (AT5G03800) UniProtKB/TrEMBL;Acc:W8PUG9] MCAYLRAGRLADARDVFDRMPARDVASYSALISGHARLSGGSATAAAAELLGRMRLADGLLPTEYTFVGLLTACARRGNPRLGTQVHALAAKSGHASSLLVANALLGMYVKCGRFGDAMRAFDGMDHRDVSSWNAVLAGLVELGRHEEAFELFGKMRASGDVRTDRFTLSALLTAAAEGFGQPQGEAVHALSLKSGLETDLSVGNALIGFYAEHGASVEDVVSVFQRMPVKDVISWTGLLNGYMEFGLVDMALDVFERMPQRNFVTYNAVLTGFCQNKEGVRVTFAKKVGLRGLGLFREMVEDGLEISDVTVTGVLNACAIAADRKVSEQVHAFVIKCGCVSSPWIDAALIDMCIKCGRSGDAHLLFEQWQHEESFHIAWNSLLLASVRDGEYEKALSTFLQMFRSNGVEFIDEFILTAVLGACGSLGFAELGKQMHSFAAKSGFLCACGVGNAIISMYGKCGELKDAVSFFERMTCRDLVSWNAMMTGHLLYHQGDEILKIWSEMERLMVRPDSITFLLVMSACCHTSSDSTEKCRELFLSMPSTYGIEPAMEHYAAFVYVLGCWGHFDEAEQLIGGMPLKPGALVWRSLLDSCSKHSNMAVRRRAMKHLLALEPQDPSTYVLTSNLLSESARWHSSENTRLEMREKGMRKIPARSWTFHGNMVHSFFARDRAHPQSRDIYAGLDVLILECIKAGYEPDTTFVLHDVEEYQKRHFLMYHSVKLASMYGLLMVGPGQIVRVVKNIRMCGDCHSFLEHASAATGKHLLQQWSWELEVDSMICCVV >Sspon.04G0027480-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:62342321:62344752:-1 gene:Sspon.04G0027480-2C transcript:Sspon.04G0027480-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VLSIPDVPESNTNLRIESISHETFALSHRSLLFDNFASDEAQSDSFSQSHSSHSASNVVPSSESSEQVASGSSGVNCAGTEGSKNYDSLSSLGEDPCAASNSSKECQSTDEVSKLRKKLQETLVVYDKACVDLVNVKKKVLSIECSEEARKVEHALEWEETLKQMVSDEKAKQLEVINEIEQARKSFTREAYSRYKTEMATNMISHDKVQIVDAILSKSRSCRRYPKKDIELATDNFSEERKIGEGVMAKCTLDHTEVAVKVIQENSIDKTDEFLKEVEILSQLRHPNLVLLLGFCPEIGCLVYEYLKNGSLEDQLFNSKGCQPLHWFLRFQVIFDVSCGLAFLHARNPEPIVHRDLKPANILLDRNYVGKIGDVGFAKLISDLVPDWQTEYKETIVAGTLYYMDPEYQQTGTVRPKSDVFALGVVILQLLTSRRPNGLIVSVENAVRNDRLSDILDRSQTDWPLDEAEMFARLGLRCTALKCRDRPDLESEVLPKLDEILHRITSAVNLRNPKLSVPSHFICPITQELMEDPHVAADGHTYEHYAIRAWLKRHKTSPVTRRKLPNSSIIPNHSLRAAIQQWKSQLPAQTNE >Sspon.07G0012010-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:47766411:47769247:1 gene:Sspon.07G0012010-2B transcript:Sspon.07G0012010-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MACAVLVLCVGCAVAVDEQGAGLLAWKATLRGGDALADWKPTDASPCRWTGVTCNADGGVTELSLQYVDLFGGVPANLTALGSTLTRLVLTGANLTGPIPPELGELPALAHLDLSNNALTGPIPAGLCRPGSKLETLYLNSNRLEGALPDAIGNLTSLRELIIYDNQLAGKIPAAIGRMANLEVLRGGGNKNLQGALPTEIGNCSQLTMIGLAETSITGPLPASLGRLKNLTTLAIYTALLSGPIPPELGQCTSLENIYLYENALSGNLTDLELDNNQLTGSIPAVLGGLPSLRMLYLWANQLTGTIPPELGRCTSLEALDLSNNALTGPIPRSLFALPRLSKLLLINNNLSGELPPEIGNCTSLVRFRASGNHITGAIPTEIGKLSNLSFLDLGLNRLSGALPAEISGCRNLTFVDLHDNAISGELPPGLFQDLLSLQYLDLSYNVIGGTLPSDIGMLTSLTKLILSGNRLSGSVPPEIGSCSRLQLLDVGGNSLSGKIPGSIGKIPGLEIALNLSCNSFTGTVPAEFAGLVRLGVLDVSHNQLSGDLQTLSGLQNLVALNISFNGFTGRLPETARRRGQPGAVPLPVRGRRWRPRARRAPRRARGDGRAALRPRRPPRVRGARPRRAAPARRARRRGEGWRDVAAVERDAVPEAGDRRGGRGAQPDARKRDRPGVVRVGVPREPPVERRDRRREEVPVVRRGVRRGVRVRGERAPRVRHRNVVRLLGWAANRRTRLLFYDYLPNGTLGDLLHGGGAAGAAVVEWEVRLAIAVGVAEGLAYLHHDCVPGIIHRDVKAENILLGERYEACVADFGLARFADEGASSSPPPFAGSYGYIAPGKPVGRPLSDLKFLT >Sspon.07G0022630-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:9636254:9637432:-1 gene:Sspon.07G0022630-2D transcript:Sspon.07G0022630-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALTTSTNNQALLDAQLELWHTTFAYMKSMALKSALDLGIADAIHSHGGTATLPQIVSRATPTLHPSKIPCLRRLMRVLTATGIFSAAHHDDAGGELVYGLTPASQLLVGGSSGLTPFMSLVLHGIFVSPFLSLGTWFQQEHSDPSLFEMTHGQTAWDLNDHNPAFGKLFNQGMVCDSSFIMDIVIKECGDVFRGLSSIVDVAGGLGGAAMAISTAFPHLQCSVLDLPHVAANAPTSTDVKNIAGDMFESIPPADAVFLKWVLHDWGDADCIKILKNCKKAIPPRDAGGKVIILDMVVGGQSPNIKHKETQVLFDLFIMFINGVERDEQEWKKIIFEAGFSDYKIIPVLGVRSIIEVYP >Sspon.02G0023480-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:80094719:80100603:1 gene:Sspon.02G0023480-1A transcript:Sspon.02G0023480-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKVKNPHVFMDISIGGGSAERITFELFANVVPKTAENFRALCTGERGLGASTKKPLYYKGTNIHRIVKGFVAQGGDFSRGDGRGGESIYGGKFPDENFRLLHDQPGVLSMANSGPDSNGSQFFITFKALPHLDGKHVVFGKVVSGIALLKKLEAVGGESGNPSCQQRKKRKHYSSDSYSSDTSDSLSYSSDGVSDSESHSSMDTSSSSDHRRKRRKGSKKDKRKPTKRKGKHTRSKRKSRGSKRRSKRNYGSSSDDSVSSKTDNSSSDSENGSHRTKHSLPKDKESTKMTNSEQGRSFQDVDKGKQTVTTVNRSQSPDGSKPSNKDDNGADDRYGNYNSEDRNDPGASSRINPIQVDVNLTKPVYGDGNIGGDNAEADMSRTGTERHLPSNEPVSTNGKDLAVGSADNGQPQRIRKGRGFTQKYGYARRYRTPSPERPPVRPRYDGGRDDRWNNFNRYGRNGPYSRRSPVRRYRGSPRASSPSRYPRRGRSRSRSRSPARRHDRGGYRRPSPRRSRSPAEPRQDANDRLQSGRGGGDPDHRGHSPPANRSRSKSRDPVRSRSPDAAPAKRESSKYNRRRSSSSRSSSPDGNKGLVMFRATH >Sspon.01G0013410-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:36734980:36735736:1 gene:Sspon.01G0013410-1P transcript:Sspon.01G0013410-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKGGELKLLGVWDSPYVNRVQIVLKLKGLSYEYVEEDLLNKSELLLKSNPVHKKVPVLIHGGKPIAESQVIVQYLDEVFTGTGPSVLPADPYGRATARFWAAFVDDKVGSPWHTILFAREAEKKAGAASRIIAALETLEGAFRDCSGGRDYFGGDGVGFVDVVLGSYLGWFKVFEKMIGVRVLDAARTPLLAAWGERFAAKEAAKDVLPDDVDKVLEFLQQFLD >Sspon.07G0009450-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:25258519:25267567:-1 gene:Sspon.07G0009450-2B transcript:Sspon.07G0009450-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DGMPALLPGQDPDEVRSYKPDVIKGDMDSVRPEVKEHYSNQGTRIVDESHDQDTTDLHKCIAFIADNYPATNKSQLSILVLGALGGRFDHEMGNINVLHLFPGINIVLLSDDCLIFLLPRTHTHEIRIEQLIEGPHCGLIPIGMPSTSTTTTGLRWNSDNTSMSYGGLISTSNIVEEDKVTVTSDSDLIWTISLRK >Sspon.04G0017850-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:70317688:70326772:-1 gene:Sspon.04G0017850-1T transcript:Sspon.04G0017850-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGRASHLRALLSRALSPSLPPRGRALPQVLGGSPPSLACVERWISARPCGSGAEMFGAGFLGRARFFSSDAAAATQGGSKPPAPAAAGSAGGEGGGDGQSGKSEQADTGKAVRGGVAGGQGLAGGWVVAGGQGLAGGRKVAGGRVSRGGGGRGGGLLCPRRAPGGGKRQRRAGGAWKGCRVAGGQGLAGGWVVAGGQWLAGGRKVEGGRGELKNRTSAVKPGHSVGTAAIGGPFKLLNHDGKPVTEKDFMGKWTLFYFGFTHCPDICPDELQKMAAAIDKIKEKAKLDVVPVFITVDPERDTVEQVRDYVKEFHPDLIGLTGTTDEVLMNPEMKFVKFYGKNYDTDSLADGIIKKLKSTSKQ >Sspon.05G0017660-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:70149818:70151253:-1 gene:Sspon.05G0017660-2D transcript:Sspon.05G0017660-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPAQIGLPNCSTTCGGVRVPYPFGFGPSRCFWPGFELICDTSYNPPKLFLGNKPSGFSGIEVVDISLRNSTVRVIHCPDVDSTIKGMEVEYALEDMVEPYMLSTMNELILYGCDLQATLHGSSNSNTTDGIITGCTSTCRSGVVGYRGAGPPIPTQQEANGRHCSGHDGCCHAPISAGSMPKRVEFKALNLNTSNKNYSLQSDRPPAIALILEKGLTDQWYMILNRSYPDFPKFDLSVPLVLQWVIKQGFPVPAGNSGQCPRDVASQLCKSENSDCRQENGGFTCHCYTGYQGNPYIADGCQDINECNDTAVRNSCFGDCNNLPGHFECRCPRGTHGNAFKLGGCV >Sspon.06G0002450-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:8114227:8115804:1 gene:Sspon.06G0002450-1T transcript:Sspon.06G0002450-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIKDNFEEKQQNKDVIVDPAPHEADSFPSTDNHRENEQNEESIGDTTSYKISAVQSMSGTEEKEQIEEFIANLASEEINVTSNRDMVEQQDETDVKTNNEIDRARSTEATGENNATAGESNAGTITDVVEDKMPNEEITSGTLSLNNADSSINELKMHNEEVNESLGSHDDIVVHGPDNVKEQMYEETTSGPTLDKFSLLTSTDSPEERKDENTSADPISHETNVAQTSDSVDEEKIGEPSVDPTSSIGTMGSIGDAEDKKPSEETTADPRPVENTTTQGTEDAESSKQNESTTSIGDAEDKKLGEETTTDPRSVENTTTQGSEDAESGKQNENTTSIGDAEDKKQSEETTADPRSVENATTQGTEDAESSKHNENTTTTDETAEVAQNTNLIEEREMTEDTASKEISTIETTDDLKGATDQNEEIADKEMVTDLDKNHVSLKVLLADKNVETKEKEKKASTKDRVLSFRRRVSKDNVSPVKPGSPKDGSGQQDWNSPARLPVEKKPKGRKQQWVPFICCSSVQ >Sspon.03G0031440-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:35716536:35721232:1 gene:Sspon.03G0031440-2C transcript:Sspon.03G0031440-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative pentatricopeptide repeat-containing protein At5g40405 [Source:Projected from Arabidopsis thaliana (AT5G40405) UniProtKB/Swiss-Prot;Acc:Q9FND7] MDQSNSNPSLAAASHSHLRALHAHLLVSGRLASPSHLAAFLASLASSNHLPYARLALPRRPATLLAHNALLRALARGPRPGLAFAAFRDLPLPPDHYSLNFLVRAATALAAAAAEERPAVRAEVNATRLQAVSAHGAAVRWGHAADPHVQSGVLSMYAALGDVAAARAVFAEIACPDVVCVTAMVAALAAGGDVDSARGLFDGMPQRDHVVWNAMIAGYVHVGRSREALRLFDEMLSAGTTVGEATLVSVLTACAQMGALDRGKWVHWYAGSRGMQMSVKLGTALVDMYSNCGAVVTAMEVFESMAERNVYTWTSAVSGLAMNGMGTECLHLFKRMESAGIQPNGVSFVAVLSGCSMAGLVDEGRACFDSMDKYGVDPWQEHYGCMVDLYGRAGRLDDAINFINAMPMEPHEGVWGALLNASRIHNRVNLGKYALDKLLAIESENDAAHVLLSNIYAESQNWKGVCRVRGMMKAKGVKKVPGWSSIEVDGKVHEFFAGSKSHPRYNEIELMLAEMNRRLRLQGYTANTREVLFDIEEEEKEGAISLHSEKLALAFGLIALPEDVEIRIVKNLRVCRDCHDYTKLISKVFNREIVMRDRNRPGTTSLSLSLSYDTLNKLLSFINASSFPTSSTDRVRAFESTSESTSTDQMIWICAPELVCKFLTVSPAFPIRTPTLLLGTSILREISCAAAPASPSCCEASAAVSSGFSSEPACESGAGAAVPLSPSIAAPSVGATLSSAAAGSSTFTEDPSFCCSTPVAALGSPSMRGRLASSPVPFASASVLTSSSFRLLSSGGGVGGTASASAMGDERIRVRGFSAP >Sspon.02G0009210-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:28998432:29000862:1 gene:Sspon.02G0009210-3C transcript:Sspon.02G0009210-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PIN domain-like family protein [Source:Projected from Arabidopsis thaliana (AT2G34570) UniProtKB/TrEMBL;Acc:Q8L8C2] MRVKKRSKNRKAVKFYATCFGFREPYKVLIDGTFVHHLLTQRLLPADEALRDLLSASRTPALFTSKCINAELRRLGKSHAESFDNAQLLTTTKCEHDKVVSAVNCVMSLIGDKNPEHFFVATQDPSLREKLREIPGVPVIYGLKNSLFIEQPSVQQRKFAQLDEEKRLNMDISEYKKLLKAASEGKTAASENGSDGEQHERPISSLVKNALGVTDKSKFKRNKAKGPNPLSCKKKKPKLTTAIQNQVFFGSYG >Sspon.03G0011720-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:36562251:36563711:-1 gene:Sspon.03G0011720-3D transcript:Sspon.03G0011720-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amino-acid permease BAT1 [Source:Projected from Arabidopsis thaliana (AT2G01170) UniProtKB/Swiss-Prot;Acc:Q9ZU50] FNVVGQWAVTTSVDYSLAQLIQVIILLATGGKNGGGYLASKYMVIAFHAAILLSHAFAAAWNMLGVFVLMIAVPTVATERASAEFVFTHFNTDNGAGIRSNLYIFVLGLLMSQYTLTGYDASAHMIIANNADMQCALNPDDAVAIHMQTEETKNADKNGPIGIISAIGISILVGWGYILGITFAVKDIPYLLSPDNDAGGYAIAEVFYLAFKSRYGSGVGGIVCLGIVAVAIYFCGMSSVTSNSRMAYAFSRDGAMPFSSVWHKVNKQEVPINAVWLSAFIALCMALPPGGVPGDGVDRDDRAVHLVRAADPVPGDAGAQVLRAGAFNLGRYGVLVGWVAVLWVATITVLFSLPVTYPVTKDTLNYTPVAVGGLFFLVLASWVLSARHWFKGPVTNLDG >Sspon.08G0009650-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:41675781:41679341:-1 gene:Sspon.08G0009650-2C transcript:Sspon.08G0009650-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTAAIPEAGNNHSEDSTTHGGTTGEPAMVTDNTRQESIDSSSTRNLLAPSHESRVTVDFELLWRLRKYLLLLGILAVSVTYNAGLTPPGGFWSNNTKEGHSGHDAGDPVLRALFFPRYEVFFYCNATAFAASLVLIILLLSKNVTRQKLWLRSMQLTMILDLFSLMGAYAAGSYRAVKSSIYIWILVFSVFIYIMIHILVFMRVVPKFVSEKRFVPKWLKDMAVSVQDWILSRCDVPRSERNNSHEKDLEEARKFVLMLVTFAATVTYQAGLSPPGGFWAENDDKIPATSMLRSKNLARYNTFVICNSTSFVASLVTVILLLSPELSGHGIRSKAVIVCVVVDVLGLVGAYAAGSCRSVATSVCAILIAVVVWICFAVLAGIFVNRSVAGWFGKIKPDIMWYMDKFGRVVSLNFGSKRSRNPEGENSIASNQQTVYCIKDTAEPETARVPEYQLQYHQQVPNIKEGESPGEQQSPGKQQPTNTGVVSSSEHAFVNDKQAENSSNVTCNLEGQSTDPNSVANEAMSETETENMQDANMEEQSSSVDDLKTPITVASMSNHEHQLVDNHRVQSMIRQSFSTDDQESTAVECLSDIASNNHNGATNSFKEEEKTCEESLEEIEIESSETSNVSIPIDNGNIGMHEVAPRQNASNVNAGANPTDEHLKKSRTYLLLLAILAVSLTYQSGLNPPGDFWSQRENNNSTGVPIPKNTHHRPYHLPGDPILEDTHHRRYIAFFYLNAIAFVASLVMIIMLLNKRMSNKVIKRYALQITMIVDLLALTGSYVMGSCRDRKSSIHIWLLVCLVLAYVAVHVLIAIHVIPEGCKKFVAHKIENLSCRYIWTKPSNGNNQRSDANGNDFELGLSQRGDADDKNWEQRRNLLLMLAILAATVTYQAGMNPPGGVWSDDEAVSGKPGDPILQDNNFKRYDVFYYSNSLSFVASVVITILLVNKESCEHGIKSYALRVCLVVGLDEAWHGATSQQKESSDSPEKKVQKRHKYLMLLAVLAASITYQAGLNPPGGFWSDDDEGHVEGNPGLKPPGNLWSDNKGHLAGNPVLLDINPLRYEIFFCFNSISFMASIVVVMFLLNKSARKKTVPLE >Sspon.07G0006500-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:14126170:14129058:-1 gene:Sspon.07G0006500-3D transcript:Sspon.07G0006500-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLLGRPGSWGGLVLRVGQTFFAAACIGLMGSSLGFASYTAFCYLMASMGLQMLWSFALACLDVYAIAANKDLTSPILVTAILSFAAASSSAGVVILFQKDVFFCRRYTQLPCGKYELATAFAFLSWAFSATSALIMFWLLAAF >Sspon.05G0008640-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:19956842:19965348:-1 gene:Sspon.05G0008640-2B transcript:Sspon.05G0008640-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASTSPLLLLALLLLPALTAAASLPALPLSTASRWIVGADGRRVKLACANWASHLEPVAAEGLARRGVSDIAARVAAMGFNCVRLTWPTYLATNATLASLPLRWSLERLGMLESVAGVRVNNPALLDLPLVDVFRSGSRVKLACVNWPSHLEPMLAEGLSKRPVGAIAGDVAAMGFDCVRFTWPTFLVTNASYSSLTVAQSFLRLNLTESLAGIRVNNPGVVDLKLIDAFKASLCLFSDDTTRHAVVSSLGEHNVMVILDNHLSKPGWCCSNTDGNGFFGDALFDPDVWVDGLTKMATMFAGVPNVRGAEAVHAANPRVLVILSGLQFDNDLAFLNSRPVNLSFTGKVAFEVHWYSFSNSPEWSSGNANQVCARITAGITRRAFYLLDKGWPVILSEFGVDNRGVNTNDNRYYGCAAATAADLDLDWALWALQGSYYLREGVQGLDEVYGVLDWAWSRPRNATALRRVQALQRPLRGPGLAEAAPYTVLFHPVSGMCVVVRRRSPTLTQPLELGLGPCNETEAWEYSAQQQRLALRDIALLCLRAEGAGRPATLGVSCGDAMAKWSLVSDSKLHVAVNATSSAGSDGMLCLDVGADGRSVVTNPCRCLSADNSCDPQGQWFKLVSSTRSVVTKQTMLAQLPLKLKNGKI >Sspon.01G0005250-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:14179069:14186292:1 gene:Sspon.01G0005250-1A transcript:Sspon.01G0005250-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRTVSDGGEDDHGADVASRTSSHRRMEHGHGYKVGVPPKKNLLTEFSDAVKETFFADDPLRQYKDLPKSKKIWLGLQHIFPVLDWSRHYSLGKFKGDFIAGLTIASLCIPQLSYIPRVSSSTVHALTLSDTICGLSKPCFQPQDIGYSKLANLPAEVGLCKCTHSHMHLFVLIEVTFNYADQRCGHHFTDTSFVPPLIYAVMGSSRDIAIGPVAVVSLLLGTLLQNEIDPKTHPLEYRRLAFTATFFAGVTQAALGFFRLGFIIEFLSHAAIVGFMAGAAITIALQQLKGFLGIANFTKKSDIVSVMKSVWGNVHHGWNWQTILIGASFLAFLLVAKYIVRQYITCSKMARTLLGVLLTIFDHAGKRNKKLFWCLQLHLSLDKHGVAIVKNIRKGINPPSSSLIYFTGPYLATGFKIGIVAGMIGLTEAIAIGRTFAALKDYQIDGNKEMVALGTMNIVGSLTSCYIATGSFSRSAVNYMAGCKTAVSNVVMSTVVMLTLLLITPLFKYTPNAILSSIIISAVLGLIDYESAYLIWKVDKLDFLACMGAFFGVIFSSVEYGLLIAVAISLAKILLQVTRPRTVLLGNLPRTTIYRNVEQYPDATKVPGVLIVRVDSAIYFTNSNYVKERILRWVRDEEEQQQDQKLPKTEFLIVDLSPVIDIDTSGIHALEELLKVLEKRKIQLVLANPGPVVIQKLRSAKFTDMIGEDKILLTVGDAVKKFAAKVADNV >Sspon.01G0001260-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:3692019:3692976:1 gene:Sspon.01G0001260-3C transcript:Sspon.01G0001260-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQWADKQQQQQQQAASTSAAVAAQMPFLALLQGAGVLMEAEEEQQHDGRKRQAFARAVSDLDLLESCVTQAVAPAAAAAAAAPVASRTERRRKRPRPRARAAPPPEKRRKPEEAESQRMTHIAVERNRRRLMNDHLASLRSLIPSSYIPRGDQATVVGGAIDYVKQLEQQLVALQAAAAARRGGAAGAGAAVATAASAASDGVFVCPQYASYSDSPRCGLGAGVDVEATAAVGGHVRVRVAGRRWPGRLVRAVAALEDLRLAVLHLAVTSVGHDAVVYCFNLKVSAKCH >Sspon.06G0014620-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:80678742:80679226:1 gene:Sspon.06G0014620-1A transcript:Sspon.06G0014620-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRRAGSPTYGHRRSAGGIYSAPASPAHPLVGPAGATTTTAAASPVHPLAARSNSKARAAAAMAHAMSRAAGQRDDDDADHAGSNGMMSPLRGGGYGYGYGGRSPLHAAAAGGGGAKDKYFGFALPKLGDPSSW >Sspon.03G0010700-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3A:29128356:29128650:1 gene:Sspon.03G0010700-1A transcript:Sspon.03G0010700-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVQEYFAELRKGMILYGIEEDPEDKLCRFYGGLRCEIQDIVYYKDFNTTNQLFQLAMLAEKELQGHQQKNQTNIGDNLNQVLGRVEQAFAKIETAIS >Sspon.02G0056530-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:28786819:28796447:-1 gene:Sspon.02G0056530-1D transcript:Sspon.02G0056530-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MELKPGLSALVTGGASGIGEQEQRRPASRLPASARAPCRFRSFPGKALCIALARKGVFVTVIDFSEENGREVVSIVQKENKHIHQYARVPSAIFIKCDVTNGDALAAAFRKHVDTFGGLDICINCAGFVNKSLVYDDKSDGTSTWKRAVNVNLVAVIDGTRIASQVMRSQKKPGVIINIGSVAGIYPMSYEPVYSGTKGAFELIEDESKAGACLWISKRKGMVYWPTSEKEKNYLVYSSKSKKELVKNRSPSIQAPEYFQKMVVHTLSHNFRNATRLERVRLRLPIELHSALVKIIYAGVNASDVNFSSGRYFSANGKEAASHLPFDAGFEISAKSLIPVPRPDPEVVAMLTSGLTASIALEKAGQMTSGQVVLVTAAAGGTGQFAVQLAKLAGNKVVATCGGESKSTFLTSLGVDRVINYRSEKIKDSVRVLLNKDCSDVRFQVLKKEFPRGVDIIYESVGGETFDVCLNALAVYGRLIVIGMISQAGFFLIQYAHLWKDHLEKLFNLYASGKLKVFVDPKKFMGVASVADAVEYLHSGRSVGKVVVCIDPSYGQTLAKL >Sspon.03G0029880-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:25431233:25438121:1 gene:Sspon.03G0029880-2C transcript:Sspon.03G0029880-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RDVGRVERRGGALGGAAGVVRKPLVDQPGGGAGGSRGDTPASCAPEACRFAEVHVGHLHPTGGGVHAHQLGDRAIRALQGHGNHAADAPGLLQTLLAVRALHRRPRHRRRIHLPLRSAPDPRGAEQDVGHEDGRARLPRALRRHLRRRGILRVPPLRGRHHGRRARQLRPQLRGRRPAGAQRRGAPQLRAAPRARVPAPPLLAPGQRRRAPLPGTPAARHGHAPVRVPDGRAHGGALRAGHRDPQHLDALRVLRVHVRGHHLVDLPWRHSSRDVHGIAKRKDKALAATMIILAVVTSSIAIASNIMSSISEKVQAGINPEAPDKEISVIKETWGVPDSAAIGRESGATPAVGREAKDRAGEAAGARRRSRRAAEERRAAAAIAQRGPLVILTPFLLSFSPLAYSVPNRQQCLRQQQASSVASSLLSGLRRAVATVLRVGPCVSPGGKDKHAVAIGNSAPDVDSGGGAARALDLHVHDNWPMDLVRRTRLRL >Sspon.07G0013200-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:53336512:53339220:1 gene:Sspon.07G0013200-1P transcript:Sspon.07G0013200-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAAGGLRRLLAAAATAGAAEVRASIFGHALNPTGKRAATKLLRKKMVGDQVAQWYPYDIKRDDPLVMAREEKERLAKLEMLKRRGKGPPKKGQGRRAVKRSK >Sspon.04G0012310-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:35485182:35493989:-1 gene:Sspon.04G0012310-2B transcript:Sspon.04G0012310-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GGSWRHHQSLRFPSRRRLIAVGRPPRRLLPLHFHLLPVPVPSPSPSPGPPSPPPRLASPRPTDLPPSRPPPLPPLLPPPPSPLPSPTRAPAPLRHRISISISRSSSTPAIHSPPSPPRPPAPRPPQYKYGHRPPGPHHTGSIPEFAAAPTSGAHLPPARTAVRADRPPPLDLRPRPPRESQAGAALRALACCCDASRAEAGVGARLWAAGEAGVRAWDLADAFRSPASRQRWGDEASAPFKESRRTAPALCLAADPGRGVVWSGHADGRIMGWTADPGPEAGECLSWEAHRGPVFALALSPYGDLWSGSEGGAIKVWYGESIQKSLISQTEDKRKTSFLVERSSIDLRDMVSDGGACPLPAVDVKLLLSDNSRSKVWSAGYLTFALWDSRTKELLKVVNIDGQVDTRFDILSAQDPYSYGTKQTLFAPMKEKARSPVGFLQRSRNALLGAADAVRRVAVKAGFGDDTRRIEAFTMSMDGMIWTGSANGSLAQWDGSGNRLQEFLHHSSSVQCIYNFGTRIWVGYMDGNIQLLDLEGNLLGGWIAHSSPILSMAVGGSYIFTLASHGGIRGWNLSSPGTLDSILCSELIEKDSSYKSFEYMKVLVGSWNVGQEKASYESLRAWLKLPTPEVGVVVIGLQEVDMGAGFLAMSAAKETVGLEGSPNGEWWLDVIGQILKGHSFVRVGSRQMAGLLIAVWVRINLKQFIGDIDNAAVACGLGRAIGNKGAVGLRMRIHDRSICFVNCHFAAHMEAVSRRNEDFDHVFRSMTFSSPSNGLLTTSVSGSAAQLLRGTNGSRLPELSDTDMIVFLGDFNYRLSDISFDEAMGLVSRRCFDWLRENDQLRAEMKSGRVFQGLREGEFKFPPTYKFEKHITGLS >Sspon.02G0059460-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2D:92930171:92930740:1 gene:Sspon.02G0059460-1D transcript:Sspon.02G0059460-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASCLLLLAALAILFVAAAAQDNKIMVPAYPSCSTTDNYTDGSQYKKNLDQLLAALPSAAGDNDWFFKGSAGAGADEVSGLIMCFADRNATQCLDCLTRAPAGITMVCPGSRNVSAAYDACVLRYSAAPIEAVADLNAVFRVNTSITIKPVTVTLEAHGRRRVVAAADLQQQHALLGHGHAGKDENGRKR >Sspon.02G0036530-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:25460725:25466800:1 gene:Sspon.02G0036530-1B transcript:Sspon.02G0036530-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding TKFSEDCYRYGGENNASDEALGKAASLYGGALRNIEKEYEDFNRILSSQTIDPLRSMAMGAPLEDARGLAQRYSRMRHEAEILSAEIARRKARVREAPIPEHTTKLQQSEAKMIEHKASMAVLGKEAATALAAVESQQQRVTLQRLVGVMSSEKQRRESAPPIISSHKRAEKAQYFLAEAMHNFNGTTEKELSLIVGDYVVVRQIAPNGWAEGECRGKAGWFPAAYVERRENIPPNKVLVSNSGANV >Sspon.08G0016880-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:61582701:61585785:-1 gene:Sspon.08G0016880-2B transcript:Sspon.08G0016880-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAAARRLLSRRASSSSLSALLRRGAAAEQSLLLRPAVVAAASRLGFTRGMARRPGGDGYAPTRPGAGGDRAPSEMAPLFPGCDYEHWLIVMDKPGGEGATKQQMIDCYIQTLAKVLGSEEEARKKIYNVSCERYFGFGCEIDEETSNKLEGLPGVLFVLPDSYVDPEYKDYGAELFVNGEIVQRPPERQRRVEPVPQRSADRPRYNDRTRYARRRENQR >Sspon.01G0015750-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:46746550:46748066:1 gene:Sspon.01G0015750-1A transcript:Sspon.01G0015750-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGDPRVPGDVCRINALPDDILLLILSYLNARQVVQTCVLSRQWPNLWRSVPRVNATSDEFEHMSDDYEEDTLLFKSFTALKYLILHACDISDVEITSKTLKVLVMDIDCFYTFEEQCSISIPSLVCFDYSNFQRIPLLKNMKSPERAYVNTFHTEVDDICQFLKSLSGITDLNFSYMGHMGRSATVPAGTAADQRKMTTAAQMTAQVAAQVAAAAQQAAAVVQAAGGAAGGGR >Sspon.06G0028100-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:1172229:1176541:1 gene:Sspon.06G0028100-2D transcript:Sspon.06G0028100-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRNPGCYHAAGFNLTCKQQHSHHHQPPKLFLGDGTVQVLDISVEHSTVRIYSTAVQLQYDASSNNFTWTWGFGLPQTGPYFLSESSSMLQVIGCDIQVSILGASSSILVSSCSASCPEMVSLYSKIANGSCIGIRCCQVSILVGYSSYTLRIELIPSALGWQGDSTTVYIVDQSYSNWNWNGDNNNLPEAVPATLDWIISRGNSLACPTNKTTAPECVSTHSYCQNSFSLAHDGVILLFTVDAKISTNANTQNNMLAMVFARIHQEALFANATLAIRAMLQPQMHAQDILDIDECEHKEEYSCYGIIAKSNSNVHLDMKLGIYKAQSTTEKGNGAYKVASGVLTNGEVGACQH >Sspon.02G0005990-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:18424508:18426499:1 gene:Sspon.02G0005990-1A transcript:Sspon.02G0005990-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VSKKPNKQQHLWIRKETSGSGKKALRLIDAVSKLPNEREAIYGALDKWSAFEPEFPIIAAAKALGMLKRRRQWLRIIQVTKWLMSKGQVLTWTTLMFLEMVTSIRMVTHLVLIYADMEELGVRPDEDTARRIGKAFVAFGQEEKEKHVLEKYLKKWKYIHFNGERVRVLRDGPLA >Sspon.07G0001340-3C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3C:44122112:44126926:1 gene:Sspon.07G0001340-3C transcript:Sspon.07G0001340-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTACIVEPSISYVVDSCNLSNDSEMDYVPVMDSGKHANGVKGKMSPPNVADSRLESADSAYVVDQQQHIPTCPPISENICQDENDDKRSSTHSVSSEEAPSPDYPFLRVSNINLPAAPIKVQPPPMPPSKLLNKKGSNENGDSDVNPNSVAAAAAMKEAMEFAEARLKAAKELMERKGGSFKLRKRPAHHRSTKSTEIKEYNYNAPEEAHVFEEKLNMRRLAREENQSNDIASLDKIMGSGALKPVHSDHDKKGVSPGKHQEMTQYGSELKQLGEWTSDADFYELVSNDQKCRTNEAACKGDNGLMTNSLPKLDRSENEKSGGFAGESKRFRKLWDTNNRTCLRTEHVNQGKDGVDSVEAEQKTPRSPDVTPCDDRVTYQEPTKGNNGLMTNSSTKLDQSEKVKEGGFAGESKRFRKLWGSNSTTVLRTEHVNLGKDGIAFVEAEEKAPRFPEVPPCDERVAFQEATESHLKQCPGVGNSLGHENDAQFEISCMNNLPAEVHADPEISSTFSEPCLSGCHANGNENHSESTAQETPLVGNSNQDVNSKEELQLPCIDELPCTSARSKILQGHSNVFNADEIKEIEVKISKLEESSKSYLNFDEEKLLSFVDESGLQNKNGRANEVNSESLIHEKMTKFGIEEKADAHEDFQEGDMDQVAGSAEEEGYVTSGSGIANESEYEEAEADIFVGDMESNVRTCGIFDKDPYQCQESQGSWGPQDLDNRMDRALFPEACSSMQHLSQKPESVSAEKADESTSILENLEVDCREAGREIPTKNCTASEEGQNIGSGIEEREREDNISNARFMDQQPFYLDSDIRPKAAEDTASETILKSREENLNVQRTKMRNDIKEAEGEVEKERATREAHERAFAEVRAKAERIALERITSARQRASAEAREKEEKATAQAALEQASREARMKAERAAVERATAEARERAIEKAKAAVDAKERMGKFRSSFKDSFKAPNQDNQHEASFQKTAYNNHGKSMDSCVEGIARSISYCKIVQAKALAEKNMRDMLVQREQAEKHRLAEFLDPEVKRWSNGKEGNLRALLSTLQYILGSDSGWQSVPLTDLITAAGVKKAYRKATLWVHPDKVQQRGATIRQKYICEKVFDLLK >Sspon.04G0005660-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:15851215:15854854:1 gene:Sspon.04G0005660-3C transcript:Sspon.04G0005660-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDNLMDKVSAFGERLKITGTEVSKKMTAGMSSMSFKMKELFQGQTPADKIVEDATSENLDGPDWNSNLEICDLINTEKVNSVELIRGIKKRIMLKDARVQYLSLVLLETIVKNCEKAFSEVAAERVLDEMVRLIDDPQTVVNNRNKALMLIEAWGESGDELRYLPVYEETYKSLKSRGVRFPGRDNESLAPIFTPPRSVAEADVDASLPQQAFEDVHVHTYTAEETKEAFDVARNSVELLSTVLSSSPEQDALQDDLTATLVQQCYQSQHTIQRIIETVGDNEAVLFEALSVNDEIQKVLSKYEEMKQPRASEHAEQRPVVIPIATEHEDSTTIGSEDALVRKPAAARARSGGDDDILDDLDEMIFGKKGGSSSSQEGPKKPDPKKDDLISF >Sspon.05G0007640-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:15634313:15635567:-1 gene:Sspon.05G0007640-2C transcript:Sspon.05G0007640-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWAGFMVTAAAAPRSLRMQMQHPPHHPHRSMDGSARANPKASSARPDRIGSAGASSLPRLGWVTVKLESRSTSNAVCVRDKMSSARQRTERRRRRGEQEENGICDAKERHTA >Sspon.07G0035250-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:3930662:3933536:-1 gene:Sspon.07G0035250-1D transcript:Sspon.07G0035250-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DNVSHDPDLYNILSMVSLVGVMILPVSIKSLAGSFATLANWLTSFGITMTENLLIRWSAGDSKNMMEDIGSRNRYNSLIITSVNS >Sspon.02G0019260-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:63503699:63507367:1 gene:Sspon.02G0019260-2B transcript:Sspon.02G0019260-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAQRALLDELMGTARNLTEEEKKGHKELKWDDPDVCGPYMVRFCPHDLFVNTKSNIGPCSRIHDLKLKESFEKSPRHDTYMQRFEAELAQQCEKLVSHRLLLQNFRVVHLPLFILLQVMDLDRKIRRGRERLAHDSAVPMPIPGKIAEQLSVREEQVKKLLEQIEELGEAGKVDEAEALMRRVDILNAEKTALANQADNKVAMLEKKMELCETCGSFLVADDALERTQSHVTGKQHIGYGMIRDFLAEYKAAKEKTKEEERLAREQKAEERRKQRGKEYDSGGRDRDTRRERSGERDYDRDLQYERSRGRDRPYVYRERGSEHRSNPYRNGRDSERGGHRYRSGDMTNDRGRMRSRSRSPARHGYGRSRSPDH >Sspon.04G0006610-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:15256292:15266657:-1 gene:Sspon.04G0006610-2B transcript:Sspon.04G0006610-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARHAKTDSDVTSLAASTPPRSPRGRPAYYVLSPAASHPDVHLGGASGVVAGTTPAESPLHYHFHHHHRQSSGAGGGGGMHHSRESSTGRLLFSDQLRSGGDVAAVVSVPWRRLGHGGSGAGSVGDDDDDDASLMRGGGFAESPWRCYALGAFAFVAVFAFFLLVLWGASRSYKPHVVVKSVVFESYHIQGGTDRTGVPTKMMSVNATVRLRFRNRGTFFSLHVTATPFLLFYGDLTVASGDMAEFYQPRKSGRMVTVSVVGKQVPLYGAGASLHSKPNNGRLGPAVVPVRLGFVLRARAHILGLLVKSKFYRRAHCRLDIREAHLGSPARGVASGCEYHDGLR >Sspon.04G0033050-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:44093956:44096943:-1 gene:Sspon.04G0033050-1C transcript:Sspon.04G0033050-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bifunctional D-cysteine desulfhydrase/1-aminocyclopropane-1-carboxylate deaminase, mitochondrial [Source:Projected from Arabidopsis thaliana (AT1G48420) UniProtKB/Swiss-Prot;Acc:F4HYF3] MALLGVSVTSTLPLLCRFAAVRHLSLVAAAGMAGVTATGVAGVPSTFSSATAQIGGFLSKKPYAPPSWATHLSPMPSHTFSLGHRDDLSGMQLSGNKVRKLEFLMAEAVAQGADCVITVGGIQSNHCRATAVAAKYLNLDCYLILRTSKLLVDKDPGLVGNLLVERLVGAHIDLVSKEEYGKIGSVALADLLKKRLLEEGRKPYVIPVGGSNSLGTWGYIEAIREIEQQIQQSADVQFDDIVVACGSGGTIAGLALGSRLSSLNTKVHAFSVCDDPEYFYDYAQGLIDGLNSGLDSHDIVSIENAKGLGYAMNTAEELKFVKDIAAATGIVLDPVYSGKAVYGLLKDMAGNPAKWKGRKVLFIHTGGLLGLYDKADQLSSLAGSWRRMDLEDSVPRKDGTGKMF >Sspon.07G0016500-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7A:58345335:58346468:-1 gene:Sspon.07G0016500-1A transcript:Sspon.07G0016500-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450, family 722, subfamily A, polypeptide 1 [Source:Projected from Arabidopsis thaliana (AT1G19630) UniProtKB/TrEMBL;Acc:F4HP86] MAKPKPWIGSMDDIMHRQLQAPACQSHSSSSSSFLGVSFFFFLAATAIYCIVIITTSNNNNNRLIRRLLKFKGRRRKNDHDRRDYNFNESNADAAPPGRGWWWSVVETLAFVAANSSGRGFYHFVEARHRRYGPPCFRTALFGATHVFVSSPDAARSLLAADHAAGFSKRYVRTVADLLGEHSLLCASHAAHRALRRAVAPLFNAQATASLAANFDALTRRVIERDWTTCSTVVVLDAALDITFEAICDMLIGRTALQPDVKRRLQSDVLAVTRAMLAFPLRLPGTRFHAGLRARKRIMQVLRQEIASRQTQIMDDDHHHHHSKHDDFLHSLLLRLQQQQQSPSNSNDDLLLTDDQIILDNILTLIIAGMTTYMHTTP >Sspon.07G0035780-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7D:12994347:12994991:-1 gene:Sspon.07G0035780-1D transcript:Sspon.07G0035780-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDYLRAEYMLWAHRETEPSVDGVAEDGDVLGQFPGASRDGEPNALVARVPQPWSKRMCVGAPSRAANGRAGTNRA >Sspon.08G0022460-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:51661437:51663849:-1 gene:Sspon.08G0022460-3D transcript:Sspon.08G0022460-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSAIFVFLLVSAVHMLECILDLVKRRGSISDEQLKLRVQITELLKEASALSTPSTFAQAAKLKRLAGAKEKELAKMQELNIKGKQSLYEQYGKALLATKFMLSTICYIQFESHQIRMVLVYGVLILWFWSTPVTTVPKHLLQPFGWMFSWRGVDASTGRVVVGILPWLFLTSHVSKLLNEKLAPIFLHP >Sspon.07G0012180-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7A:43651069:43651503:-1 gene:Sspon.07G0012180-1A transcript:Sspon.07G0012180-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFCFYGERLHNERKFNFPAVQRPIILEHLRIHLTMANIRAIAADYNRRCGFLAPLVFPTLLSTLSPPTPMATVSATDGGAAATAGAEATTITDYHHGSTEKNVDGDFMATTECVISCNLNDLCWTRDQSPPLFCTPHSKNPMDG >Sspon.05G0033770-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5C:41135133:41140316:1 gene:Sspon.05G0033770-1C transcript:Sspon.05G0033770-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VNRAIVVDNKRKEMDAKRKRLQGQVSRSNTRQRTGFQQGYQQRYPPNQWNRGQLPPRNQFLQRPPYQPQNGNQRPQQQNGNQNQRQSTPNNTPMKTSAPNTPTKCFRCGKGHMSYNCLEKVNQQTPQKQNSNQKLPYYGKVTHVSKEIAMAEPEVMLVNQEDLVVDEFPDVFPDDLPDLQEKGFIHPSSSPWEAPVIFVDKKDGSQRMCVDYRSLNEVTIKNKYPLPRIEDLFDQLRGVCVFSKIDLRSGYHQLKIRATDIPKTAFTIRYDLYEYTVMSFGLTNAPAYFMYLMNKVFMEYLDKFVVIFIDDILVYSKTKEEHAKHLRISVDPRKINDVLNWKPPTDVGEIYSFLGLAGYYRRFIEGFSKLAKPMTTLLEKNAKFVWSDKCQVNFEELNKRLTTAPVLVLPDLSKSFSIYCDASRLGLSCVLMQKGKVVTYASRQLRKHELNYPTHDLELAAVVHALKIWRHYLIGHKSDIYTDHKSLKYIFTQSDLNLRQRRWLELIKDYDLEVHYHPDKTNVVADALSRKSYANEKSYADTRRRELTFEVGDYVYLKVSPMRGVKRFNRKEKLAPSFWPDGRCCPPLLARSASSPGHPVSPAASPGRALRNGPAQPATAASPPRPLLPFVSLPPGPAAAVLAPPLYTTLTASHALEIELWPPQLSSRHSSSEAIRRLRRLGHHRELPKSSGRSFEVAKPFSSFNCHRGCLFGLVAVHRRSAAAIGSRTSSFVRLRPRFRPWFSTFKF >Sspon.04G0004520-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4A:13426890:13432030:-1 gene:Sspon.04G0004520-1A transcript:Sspon.04G0004520-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LKQDANASDIKKAYYKLSLKYHPDKNPDPESRKLFVKIANAYEILKDESTREQYDYAIEHPEEAMESVKQTPAYKNRLKALEFERTGGIASKKKGHKQVDKKVEEELSNEVELQIHGVEKPSVWRLYGVQFILLPYSIGKVYGGKGC >Sspon.06G0019330-3D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6D:449836:450678:1 gene:Sspon.06G0019330-3D transcript:Sspon.06G0019330-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTPYHLQSPKTIINKLMKMKQLPLALPPPPVDPSKVIEQPPALPRSPPVDQSNEQPPALPQSQPVDPPKITEQPRALPARAPSKIILQPRHRTSPAMWCAAIVCFAFSILLIVAGVVILIIFLAVKPRPPSFDTANAILNSIYVASPAPYFNNDMTLVANISNPNQKIDLVFRSATIELFFQDRPMAVQALPPFLQRRGQSQVLNMHLVSSRVLLPPEVAVKMVNQVRSNRVVYTIRGAFKVEARFGFGHYSYWMYTICEMELTAPPCGVLVARRCRTK >Sspon.03G0037620-1T-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3B:99959669:99960580:-1 gene:Sspon.03G0037620-1T transcript:Sspon.03G0037620-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DPGEERVHRGPDGVERTFTISYISKPDYAVLDLASATAYLLPAHDVFSAACLGVIAAPASDAAAGFMVVEFQFMFGGARATLHCFSSHTGAWVTKPVRNPLPRWIWTFRDVVSHSGKLWWVDTAAGLLACDPFADAPDMAYVPLPRPRDDGDDADAASRCACYYCSERMIASRRVVQLSNDSFRCVHITSARHGAAPTVTMRTLADPETADWTLDYQVSFADIWADDTYKAAGLPDKEPVVALIHPINPDVLYCFLDGYLFGVDVRARKVVQCEAHGMAQDSVSSSSVLACKLPPARTATAAAP >Sspon.05G0013650-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5B:49472988:49474034:1 gene:Sspon.05G0013650-2B transcript:Sspon.05G0013650-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RVVESERGKRLREDSLMLVRGLDSVAASLAQLSDTLTAAQKVSIPPSRLRFHRPLLRRDTESVILFQGVSALATCSSQARECEGGACPEEVEEPKAKRRCAGSTEAAGVDSNSPAAGEETAAGPDGLEKGNVQASAEVAQSTNLKRARNLAVSMASRAAALARELKNIKSELHFMQERCGLLEEENKRLREGCDNGVAPEEDDLVRLQLEALLAEKSRLAQENANLTRENQSLMQLVEYHQLTSQDLDESYEDVMQGIRLDFSSPLGKITDDEDGECGDEVPVTPAEVLCSPDE >Sspon.02G0015280-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2B:39897071:39901643:-1 gene:Sspon.02G0015280-2B transcript:Sspon.02G0015280-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RYLGTVREEDTLNILLEFVPGGSIQSLLGKLGSFPEPGANILVDNKGCIKLADFGASKQVAKLVALLFHVGTTKSHPPIPEHLSPEAKDFLLKCLQKEPELRSTAPDLLKHPFVTGELGDLQPLNHAAHKDSFNEIPAHDMPNGLGLNHSSNWSTMNSNKSSKIKPLWEGSCDDDDMCEFADKDDYAAVGSSYNPMSEPFDNWENKFDTSPEQNSHQPMEFGELAKHAESSITENDFTFPVEGSCEDDDVLTESKIKAFLEEKALDLKKLQTPLYEEFYNTVHGGSCQGADQTSKGKLPISPKLPPRGKSPPSKTRGGACDTLNNTAPESCSKQFPRSSVVESSRILREIASPQLNEFADKLHLDAQDSPRPVRDLIGSISVNSISFTERQRKWKEELDQELEREREDTTADSNYTVPEIGGNSSTACSALSFAVLAKL >Sspon.07G0020820-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7A:77973424:77979119:1 gene:Sspon.07G0020820-1A transcript:Sspon.07G0020820-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNSPDTIYVPPSTSSHPRQLPSTWTPRRPQIRSSVRLPFDITTPETHDLTCTRCTTISVLQTPTLPMTPTTRLTSASISMELSRRNQRMRQPLEEETHHLRSSSLLHRMRLNSLRTKECNSSKSANCRTGSTRNEKICAYFNKPSSM >Sspon.01G0039560-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:35331359:35332766:1 gene:Sspon.01G0039560-1B transcript:Sspon.01G0039560-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable serine/threonine-protein kinase PBL15 [Source:Projected from Arabidopsis thaliana (AT1G61590) UniProtKB/Swiss-Prot;Acc:Q9SY91] MPKPWRPVLASATKCCAAEDAAVAPDGLARCRPQQSELSRRLASFRRLSSLANSPASGGTTITMADKDGSVKQQEGGGEMAGPLQLHSFGLGELRGVTHDFSSSFLLGEGGFGAVYKGFVDAGMRPGLGAQPVAVKQLNAAGFQGHREWLAEVIFLGQFRHPHLVRLLGYCCEDEERLLVYEFMPRGSLENHLFRRISATLPWGTRLKVAIGAAKGLAFLHAATTPVIYRDFKASNILLDSDFTAKLSDFGLAKMGPEGEDTHVTTRVMGTHGYAAPEYVQTGHLNVKSDVYSFGVVLLELLTGRRAMEHVRGRTAHADQQVKLVDWTRPYLSGGSRRLRCIMDQRLAGHYSVKGARAVAQLAVQCTAQQPRDRPRMVAVIEALEKLQGLKDMAVTVGLWPATAPVAGRNAISAKIRAEVKGAGAGSRRRSASSKLP >Sspon.02G0051990-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:78895105:78897612:1 gene:Sspon.02G0051990-2D transcript:Sspon.02G0051990-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRNPITASMDRSGPFFGSNEFGFLDVELMPFSAMFYGARCCCAGCGGARRGRAPHWASLRTSQPNKPHIRLLLLLLPPASRCSCFSSPPTPLPLLLPQTPALLLLLCRRPPHYAPATTPCARSIALAAALAPALHVRLVAPAALPTPAAPAPPPARLDDADKRCQRKESEPGAEALQPCLLLRLRHLDADGGGGHTVVAAGARRRRCLAAWAPRDSEMQEHARNVRKRTAAMGVRPNVPALAHMTRLQSPAATSLRPPSPDLESSFLRSSSTLPPPPFLLLLPLQREHGKRGSRGRRSTRGGGKREEGDGLRGP >Sspon.06G0024730-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:53117836:53119608:-1 gene:Sspon.06G0024730-3D transcript:Sspon.06G0024730-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLFEGPFGAVLHTGDCRLTPDCLSALTPPHLARRIDYLFLDCTFGRCALRFPTKEDSIRQVINCVWKHPSAPVVYLVCDMLGQEDVLIGVSREFGSKIYVDRERNSDCHQTLTHVAPEILAADDAAASTRFHVIPFPRLSERATEILALARAAHQPEPLIIRPSSQWYAYYDPPPPEEAASTQEQQQQKPLLTEAMRDEFGVWHVCLSMHSSRDELEQALGILKPKWVVSTTPPCMAVDLSYVRKHCSLSRFGPDDPLWKLLGISDGMSTVTGSPQAVLLTAQAVEKSNQLFSSCVDESASDDGSQVVEEAVEAAVVDFEIRVEPPVTLFGSARFGLPQHEPELWNYEYESVEIIGDVELEVDDSAAEPGFCSNNKPIEVVELTEAATKEQNSVSESGFCSNSKPVEVVGLTETATKEQSSAYESELLNDSKSDDRVEVADLTEHGRKYLNLRAESEQSKDDKGNGESELGAQEQNLNVHARLMEVCRHKVTGEGKDRMEVTKEISAVHVTVSATEEDTIASSGIGKNSDQYCERASDSSTVVGSSKGLNANLRRLYRSMNVPVPRPLPSLVQLMAASKRPRVSQTVQL >Sspon.07G0031200-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:18609125:18613136:-1 gene:Sspon.07G0031200-1C transcript:Sspon.07G0031200-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding METALGAANWLLSKVLNKLSNDLVAGYVASCELGLNFDKVKTELSYTLGLLHVAQGRDVSSNPGLQTLLEDLSKKADEAEDALDELHYFMIQDELDGTREATPELGGGLRAQALHARHAARNAAGNWLSCFSCCRSQDDVAAAVSNDTHNTSEVKSDDGFTGGQCDKLPFDRVAMSNKIKQLLEGMEYLCPRISELLNKISASSSLVPGIGPGGIGKTTFTQHLYNDKRTEEHFTVRAWVCVSTSFDVLKLSKEILECLPATENEGVKETNNLDQLQKSIAKRLRSKSQDSWSVIEEEIFWEHWVEILENKEWLNQTDDDDIMPALKISYDYLPFHLKKCFSYCALYPEDYEFQSLEIGRFWISIGITDSGDQNDKIEDIGRKYLDELFDYGFLMKGDGNYYVMHDLMHELAQMVSSKECAHISCSSFRAENISSSIRHLSILMEDKYIEDFGGEMDKLRRRIDIGNLRSLMIFGEYRRASLVNILKDTFKEIKDLRVLFIFMNSLDSLPHNFSKLIHLRYLKLKSPGYSKVCLPSTVSKFYHLKFLDLQGWGSNHDLPKGISRLVNLCHFLCNVEFHCNIPEVGKMKLLQELRRFHVKRESVGFELRELGQLEKIGGALDIYGLENVTTREEANEAKLMAKRSLTELALIWSGGQPSIEDDIVDGLEPHSNVRALSIVNHGGVTGPTWLCSNSHLKNLETLRLEGVSWSALPPFGLMHHLGTLKLKNIVGIRQFGPDLIGGITEKSFTQLKEVEFADMPELVEWVGGADTQLFSRLERIRCINCPKLIALPFSGFPNLCDLCTDKCPALCLPPLPHTSKLSSFQTDYLDYHRSRLSINKMPCELALHNLSEVERLTIKDASLISFMDLQKLHPLRSIEVQRCDEAFLRALDGGIVLQSVQSLELEKFHVTKKSLTSLFRCFPSLSYLDLIASDEGHDEEVLLQFPLALASSLRIVRLRGYKNLVLPVEDGGAFQGLLSLESVSIMNCGKLFSGWSTAGADCSSINPFPPCVKDLQFWNQPSTLSTAMLSNLISLTRLELRNCKNVTLDGFNPLITCKLEHLSVQNWKEDGETEPYSISVAGDLLAEVSRTKTMPAGSFSLESLVVDCISAVLVAPICTCLSATLRTLWFICDWRAESFMEEQEQALQLLTSLEVLDIDDCRALQSLPQGLHRLSSLEYLGIFGSHRI >Sspon.04G0008940-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:26749582:26749937:1 gene:Sspon.04G0008940-3D transcript:Sspon.04G0008940-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSRLRSDTTGPDRRLLREASLALQEARSPGADPDGGVLVNMPADDATSGETFEDAPDDLAAAGSRSARSLDESMAVIDFPEVSSTSAELRKYQIILVLFVILLRKL >Sspon.06G0000540-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:2443115:2447687:-1 gene:Sspon.06G0000540-1P transcript:Sspon.06G0000540-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVTSSVASKMAFFPPDPPSYGVVDEEDEPAAAAEDASSCKVATRRVAMTGVRWSVGVEARRVRTRRGSEIIAVYVRHPGAILTLLFSHGNAADLGKMYGIFVELSARLHVNLMGYDYSGYGQSSGKPSEANTFADIEAAYKCLVDVYGTREEDIVLYGQSVGSGPTLDLAVRFDRVRAVVLHSPILSGLRVMYSVKKTYWFDIYKNIDKIPHVKCPVLVIHGTKDDVVDCSHGKRLYELCQHKYEPLWIEGGDHGNLEKFPVYIRHLKKFLSSIKKLPSEKDAAAEREPSAAENRTQPDIEAISEAPSRMISRRLEPSKRSTIHEEPTLSTEHVDKRRRSTGHREKARSSTDRRSRRSVDCFDSILEHEQPEKPRKSFDRIGEKIRAMGLCNVDCFKEPPDSGELSRGR >Sspon.03G0018070-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:55605039:55606892:1 gene:Sspon.03G0018070-1A transcript:Sspon.03G0018070-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-specific phospholipase C6 [Source:Projected from Arabidopsis thaliana (AT3G48610) UniProtKB/Swiss-Prot;Acc:Q8H965] MHPWPTIQRTVARRMPPKDDSPCPPPPVPAPSSLLRPARTRLAFRRTRAVPDMATGSPRRRPLSLLLLFLLLFAVNGSANAARPSTSPIKNVVVLALENRSFDHMLGWMRRLLGLPVDGLTGAECNPNSTNSTSSSICVSADADLVVPDDPGHSFEDVLEQVFGNVSAAAAQPSMSGFVRSALSVNALLSSAVMRAFRPSLLPAFSALAPAFAVFDRWFSSIPGPTQPNRLFLYSATSRGAVAHDKLDLLLGYPQRTIFESLAADGHDFAVYFKTIPTVLFYRSLRALRYAARAFHRYDAAFKDHARRGVLPALSVIEPRYFDLTGTPADDDHPAHDVANGQRLVKDVYEALRASPQWNQTLLIVTYDEHGGFYDHVATPTAGVPSPDGIRGPPPFFFKFDRLGVRVPTIMVSPWIKKGTVVGRAVGPTDTSEFEHSSIPATIKKIFNLSSDFLTKRDAWAGTFEHIFTELDQPRTDCPESRKKKMTVKQADAYVRRAITSFVQASKQAVRLGANESAIVTMRSSLT >Sspon.07G0006220-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:16386962:16387501:1 gene:Sspon.07G0006220-1A transcript:Sspon.07G0006220-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTKLISLGLIVLMSMGLANAVRVARYSSADGSGQGGGGGGGYVNGAGAGVGSGTGLGDSGPNGAHASAGGGGAGGGTSQNGGSAWGVGLGSGSGSSTYSGIGESSSAGGTGGGGGGGKAGGSWDSSAQGSGSGIGSGSSYANRYWNGPSYAGANANGNGGGTGNSQNGGAAGGKGAGS >Sspon.06G0005270-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:17730019:17739552:-1 gene:Sspon.06G0005270-1A transcript:Sspon.06G0005270-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGEEAPLGALNLAEYAPAGARTVDCYRRIRKIGEGTYGEVFEAVDIITGERAALKKIKLDDGKEGFPRQILREIKLLKKLDHENIIRLKEIVVSPGSAHGTGGSDDHIYRGDIYMVFEYMDHDLKKVLHHSAPSQVKVYMGQLLKGLQYCHVNNVLHRDIKGANLLITGGKLLKLADFGLARLFTRDGTLTNHVITLWYRPPELLLGATSYAEPVDIWSVGCIFAEFLLKKPLFPGRTEQEQLSKIFELCGSPNEENWPGVSKLPLYKTMIIRPATPTKRSLRDMLQNFDCHAVELIEQMLILNPSQLGLAKCVLT >Sspon.08G0011650-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:50621045:50625148:1 gene:Sspon.08G0011650-1P transcript:Sspon.08G0011650-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRTTTVALTTELHRLPPLAGGPTAETRAILDLSVQYWTSRGWAYVDVNYGGSTGYGREYRERLLDKWGIVDVDDCCSCARFLVESGKVDGQRLCITGRSAGGYTTLASLAFRDTFKAGASLYGVGDLTLLRAETHKFESHYTDNLVGNERAYYERSPINFVNQFTCPVILFQGLEDKVVPPDQARKIYNALKEKGLPVALVEYEGEQHGFRKAENIKFTLEQMMVFFARLVGKFEVADDITPIKIENFD >Sspon.05G0004810-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:9271119:9272855:-1 gene:Sspon.05G0004810-2B transcript:Sspon.05G0004810-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALQHASPASCTLTTGRHGRSSAAVLRRPPTDSSSRRLRVHAQKPAAGAETTATSSSSRSENAVLKAAWYGSELLGIAASLFRPAPSSSSPEGDAAGGGDVEGGAAAGAALDRAGVVDAVKADFARSYFVTGNLTLRAYEEDCEFADPAGSFRGLRRFKRNCTNFGSLLEKSNMKLTKWEDLEDKSIGHWRFSCVMSFPWRPILSATGFTEYYFDAESGKVCRHVENWNVPKMALLRQIFRPSRWVWEKR >Sspon.08G0001940-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:3583340:3587355:1 gene:Sspon.08G0001940-2P transcript:Sspon.08G0001940-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIVAARASAPGGAAVSTIAAFHSHASPSHALPLASSSIGGARRYHHASACCFATKPTAPAAAELVDQDPATATQEAAKPRRKRRSRKAKKSATAAKEEDGTGDETVAAAEDEAKRKKEAPGAEESARALVAGLDDVIVNPVGLGRRSRQVFDEVWRKFSRLGQISSASSTALAEEEQAVLIRGGPMCEFTVPGAQDTTVLVVGATSRIGRIVVRKLMLRGYNVKALVRRNDPEVIDMLPRSVDIVVGDVGDPATVKAAVSGCSKIIYCATARSTITGDLNRVDNQGVRNASKAFQDYYNELAQLRAGKSSKSKLLIAKFKSAKSLKGWEVRQGSYFPNTFVSRFDEGIDASLDFSEDQQAVFSGFVFTRGGYVEISKRLSLPLGSTLDRYDGLLFSVGGNGRSYVVILETGPLADTTQSKKYFARMTTKLGFCRVRVPFSSFRPVNPQDPPLDPFLVHTLTIRFEPKRQRPGDGSQNASDPRNFELKLEYIKALPTGQETDFILVSCSGSGIESNRREQVLKAKKAGEDALRRSGLGYTIVRPGPLQEEPGGQRALIFDQGNRISQGISCADVADICVKALHDSTARNKSFDVCYEYVADQGNELYELVAHLPDKANNYLTPALSVLEKNT >Sspon.04G0006110-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:18296925:18300759:-1 gene:Sspon.04G0006110-4D transcript:Sspon.04G0006110-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MACAGAARKVSAVLYHYPCPDGAFAALAAHLYFSAAALPVRFFPNTVYDPIRSDSLPLEEIKDVYLLDFVGPPGFVEDISTKVERVTILDHHKTAFESLCGNATLGKNVTKVIDMQRSGATIAFDFFRNKLLTEVSTLWGKESGKDVAEVKYVPDNKVETVHKLFKFIEDADLWRWKIPNSKAFSSGLKDLDIEFNVNANSKLFDQLLELDPERVVSHGQATLLEKQRLIDDCLEKSYEISLGRGQFGNCLAVDADAISNLRSELGNQLASKSRDLNLRQVFSPPIDICIRTQVFSCIASYSIFTSTGIGAVVYKVPELKNEQMLKISLRSLEQEDTTCISQEYGGGGHRNASSFMLSVTEFDWWKVGAGASRPK >Sspon.05G0002770-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:1857117:1858196:1 gene:Sspon.05G0002770-3C transcript:Sspon.05G0002770-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAVTSPDPFAFHCPMATASPVEADVDEFEFHVVPAAAAALSAADELFSGGKLVPLHRPAPASAPCSPPPCLEVEPASEPTSPRAPRCAGRRWRDLLLLVSSSRKPKAASGSSGDASKCAAEGYLNARRETHFRPLLSRDSSSSSSASSVDSGKNARRPPPSSCSPLRTRSAPVANLLHLMSRTRSTGDKVGAAAADAPLRPKRQEPAAAGAHPLLTRASSSSSASSSDSCRNPRAGAGAGPWRPRGPSRPSSRPAAGAESPRVSASGRVVFRGLERCSSTPASAGIGPRRPRPRGMERSYSANVRVDPVINVFGFGHLFMPSSPAKEKKADRERDVAAGRRNRPEKLAMVLRDPQD >Sspon.05G0002810-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:11013814:11017143:1 gene:Sspon.05G0002810-3D transcript:Sspon.05G0002810-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MACCFMFGKKAKQTLEGDEDLHSVKVFSYNDLRKATQDFSGANKIGDGGFGSVFRGMLKDGTLVAVKVLSATSRQGIREFLAELTAISDIKHENLVTLIGCCAEGSHRILVYNYLENNSLAQTLLGDRLCFGYAIAGSRYSNIRFNWRARVKIAVGVARGLAFLHEEIRPPIIHRDIKASNILLDKDLTPKISDFGLAKLLPPNATHVSTRVAGTLGYLAPEYAIRGQVTKKSDIYSYGVLLLEIVCGRCNTNTRLPSEDQFLLERTWVLYEEGRLEEIVDIDIGDDLDVEEACRFLKIGLLCTQDAMARRPNMTNVVRMLSGEKRINIDKITRPAMITDFADLKISNKEQRQGETRSPTTTPTTKSFTTTEPFSSSETPTQSST >Sspon.03G0042530-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:70864641:70865801:1 gene:Sspon.03G0042530-1C transcript:Sspon.03G0042530-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RKMKLSVQAAVLLATLPSAQAKELEVGYYSKKCKGVENVVKWHVVRALKANRRTGAALVRLLFHDCFMQGCDGSVLLDASSDNPHPEKEARVNIGLAAFDLLEEIKAAVEKRCPGVVSCSDILIYAARDAASVLSNGHVHFAVPAGRLDGFVSKAEEAQAELPDSTHDVQQLIDNFAKKNFTVEELVILTGAHSIGQGHCSSFTGRLSEPSSQITPAYRDLLNYKCSQGSDPPVDNNVRDEDYGVVARFTPGFTSRVRKVPDFLDNSFYHNNLAKIVTFHSDWTLLTHKEAFGHVVEYRDNGTLWDEDFSDSLLKLSKLPMPAGSKGEIRKKCSVVNHRL >Sspon.08G0012990-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:21402232:21404887:1 gene:Sspon.08G0012990-2B transcript:Sspon.08G0012990-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPALVAAEIAALPEPRGPMRRLCGDLARRIRLLAPLLQHLQDRDRDDALPLADALGAARDLLRAVHDGSKIYQDSESNSNQPMNIEPGHARGCPAPTLCNCQQAHSPGLGCLALPNLRHAGRSAGAVQKGCNHSCSTDAQLSKDICSALADKAFDPRVLTRISDKLQLHTMADIKKESLALHEMVISSGGEPDGCVEEMSSLLKKLKDCVVTEAPTTETPSTRSASIKHTSPIIPDEFRCPISLELMQDPVIVSSGQTYERSCIQKWLDSGHKTCPKTQQLLSHTSLTPNFVLKSLIAQWCEANGIELPKNKANSRDKKAAKSSDYDHAGLVSLMNRLRSGSQDEQRAAAGEIRLLAKRNVNNRICIAEAGAIPLLVNLLSSSDPRTQEHAVTALLNLSIHENNKQAL >Sspon.05G0006570-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:20627050:20628045:1 gene:Sspon.05G0006570-1A transcript:Sspon.05G0006570-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQGTLEVLLVGAKGLENTDYLCNMDPYALLQCRSHEQKSSVAPGKGCEPEWNETFVFTVSDGATELFIKLLDSDGGTDDDFVGEATIPLEAVYTEGCIPPTVYSVVKDEEYRGEIKVGLTFTPQ >Sspon.03G0027010-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:4380559:4396689:-1 gene:Sspon.03G0027010-1B transcript:Sspon.03G0027010-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYLRNKSMEFLKRFEVPAKNPSEDAQRRWREAVGTLVKNRRRRFRMVPDLDKRSEVETQRRNIQEKLRVALYVQKAALQFIDAARKTEHPLPEMARQCGFSVSAEELATVVRNHDGKSLRHHKGVDGIARKVNVSLADGVKSDEAGVRAEVYGANKYTEKPPRTFWMFLWDASQDMTLLLLAFCAFISVVIGLATEGWPSGMYDGLGIMLTIFLVVMITAASDYKQSLQFRDLDREKKKIDMQVTRDGYRQKVSIYDIVVGDIVHLSIGDQVPADGLYIDGYSLVVDESSMSGESEPVHLSSANPFLLGGTKVQDGSARMLVTAVGMRTEWGNLMETLSQGGEDETPLQVKLNGVATIIGKIGLAFAVLTFTVLMARFLVGKAHAPGGLLRWRGADALSVLNFFAVAVTIIVVAVPEGLPLAVTLSLAFAMKKLMQERALVRHLSACETMGSASCICTDKTGTLTTNHMVVEKGVFHCSGSEVVTSKDGRTSVMGTPTETAILEFGLEAEKYTKVEHAGAKKLKVEPFNSVKKTMACSVIDGTGSVEKLTDAKAKRVASAIDAFACEALRTLCLAYQDVGGASDVMARSLPLDKHTLVTNLRGMFNEVVAVTGDGTNDAPALHEADIGLAMGIAGTEVAKENADVIIMDDNFSTIINVAKWGRSVYINIQKFVQFQLTVNVVALMVNFVSASFTGSAPLTIVQLLWVNLIMDTLGALALATEPPNDTMMQRPPVGRGDNFITKVMWRNIVGQSIYQLAVLGVLIFKGKSLLLLDGVGDLSTRSSTRSYSTPSCSARSVFNEVNSREMEKINVFSGIFSSWIFSAVAGATAAFQVIIVELLGTFASTVHLSGRLWLASVLIGSVSLVIGAVLKLIPVDSGSGVSSDRHDGLQCFVSECVQRGEQPGDGEEINVLQVFNKVNSWEMEKINVFSGIFSSWIFWAVGRATAVFQVIIMELLLLGTFASTVQLSGRLWLARVLIGSASLVIGAVLELIPIDSGSDDSPDRHE >Sspon.04G0029130-2D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4D:75498265:75499185:1 gene:Sspon.04G0029130-2D transcript:Sspon.04G0029130-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQPISKRRRPVVPEVSGGSMIPGEVLLFEILVRLPVKSLVRFKSVCKAWCATIASAHFVRLHLELARATSSSSMVIVPRKYQPKPTKLVSRFVHIYSFRPAAQSKVAKLILKNKPPNGIPQFTIPLHCDGLVLIPSITGHIFVCNPATKEFVELPRGTRNVSLDQRVAFGFDPSSGMYKVARHFLRSYSEGQIRTEYDVGHEVLTLGDGIETLEWKATIDPPYPIKARTPICLPGFFYWSAVQSVADADHGKLATDVILRFNMRDDTFTVHPNPPCRSYLSPNDLMCELSGNLCYVHSPSPCEVSI >Sspon.01G0004300-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:10321061:10321705:1 gene:Sspon.01G0004300-3D transcript:Sspon.01G0004300-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASATGNSSLRSCCSLPNLLVWLLNLSLLVLAAAALGPVFLLRPRPTPFGWALVSVHATTLLSALAALHAQLTHLCLAAHAALALAALSGHALASLAFFLRHDHTLRLLGSARDRREQFVLAFLEELLLLAMFMAQAVALAATCVVSRRWAREYQAAETEKAAAARKRGRKMARVQAESAAAAEAGVKAVDEKVMRSSSGKKVHWANNDGFEEC >Sspon.03G0010750-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:29342674:29344075:1 gene:Sspon.03G0010750-1A transcript:Sspon.03G0010750-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFEFERRRVTKEDIRELIFREILEYHPQLLKDYINGTERTTFLYPSAVDQFRKQFAHLEENSGNGPVIPMERKHTSLPRSTIVHSAPIPVKEQPVLAHLGKGLHLMSPIEILGRQKNIPAISRECHRLHKECQQVPFARPGRVVGPAMPYQNGDTKDPYDTRRLAMNSGYPPQQQIPQTFGYYQTRGKPACSEPSQAE >Sspon.03G0034860-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:73965355:73970059:-1 gene:Sspon.03G0034860-2C transcript:Sspon.03G0034860-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPAPADAKAEAAKMDLLEDDDEFEEFEIDQEWDDKEEGNEAVQQWEDDWDDDDVNDDFSLQLRKELEGNNAQKS >Sspon.02G0007360-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:15469145:15473695:-1 gene:Sspon.02G0007360-2P transcript:Sspon.02G0007360-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleobase-ascorbate transporter 11 [Source:Projected from Arabidopsis thaliana (AT4G38050) UniProtKB/Swiss-Prot;Acc:Q6SZ87] MPSSRRTTGRGGAGAGGAGAGGAGGGDGDGDRVPPFMGNNRDHNPRELRSWARRTGFHPSAFFSGESNSSFASSAAPQPPPPPPPPAASRRPPRPPPGRERDPDPDTEDDLDLAPPLDLERGPAPGRGRGGRGARPRRRIDLRGELEIPPGFGREEAVPRSAEPDAGRDARRRNGGVERDQAPANAGRNGNAAVAVADAEARKKAEEAEAKRKAEEAEARRKKEDEERDAELAAYYQEQWANEDEGAADAAAAETAPLYEASGGLRCGVTENPGWAPLIFYGIQHYLSIAGSLVFVPLILVPTMGGSDEDTATVISTMLLVSGLTTILHTFLGSRLPLIQGSSFVYLAPALVIANSEEFRNLSDNKFKHIMRELQGAILVGSVFQIILGYTGLMSLFLRLINPVVVAPTIAAVGLAFFSYGFPQAGSCVEISLPLILLVLLCTLYMRKISLFGNHIFLVYAVPLSVAIVWAYAFFLTAGGAYNFKGCSSNIPSSNILLDSCRRHLETMRRCRTDVSTAWKTAAWVRVPYPFQWGPPTFHFKTGIIMIIVSLVASVDSVSSFYQQNRHSSAPLSSYHAASLLVNLSPPTRGVVSRGIGLEGISTFIAGVWGTGTGSTTLTENIHTLETTKMGSRRALQLGATVLVIFSFFGTIADFLKLSFLAVGKIGALLASIPLALAASVLCFTWALIIALACPHCDTPKQQAPGT >Sspon.06G0008500-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:33552154:33554300:-1 gene:Sspon.06G0008500-4D transcript:Sspon.06G0008500-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRTVSGLLVTKGGSILVFREESPRHKAAACCTRLGCSSKLFPNKDRKTHRAPMETSALQRSQVLRKSNRMSPQGSISYDRSTCRNTASAFSETDNIPRRKENPGCHLLARLKERVNASRKRSMGGLGSPNISSANTSSSSRSISRSICRPASRMKKDVGRGAEAMRMHKARESSGSSREDVLTRNSNQDPSDRFLSRSLLRHRSRLQQGPILSFEDTLDDSSEYWHFDMDDSEEGMRMDIDDMSYEDLLALGERIGTVSTGLSDDALSECLKRSLYVPTTSSSHEDGDIKCIICQEEYSSGVEVAKMACKHYYHTTCIQQWLQQKNWCPI >Sspon.04G0002320-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:52285284:52291226:1 gene:Sspon.04G0002320-1P transcript:Sspon.04G0002320-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQCSNLSGLNLEGEISPAVGSLKSLVSIDLKSNGLSGQIPDEIGDCSSLRTLDFSFNNLDGDIPFSISKLKHLENLILKNNQLIGAIPSTLSQLPNLKILDLAQNKLTGEIPRLIYWNEVILDSVDIGLVLRVVPLATVRNLFGGHIVHLLLKMSLEQCSPKLVILHMNMALHVYDDIMRMTENLSEKYIIGYGASSTVYKCVLKNCKPVAIKKLYAHYPHSLKEFETELETVGSIKHRNLVSLQGYSLSPVGNLLFYDYMESGSLWDVLHEGSSKKKKLDWETRLRIALGAAQGLAYLHHDCSPRIIHRDVKSKNILLDKDYEAHLTDFGIAKSLCVSKTHTSTYVMGTIGYIDPEYARTSRLNEKSDVYSYGIVLLELLTGKKPVDNECNLHHLILSKTASNEVMDTVDPDIGETCKDLGEVKKLFQLALLCTKRQPSDRPTMHEVVRVLDCLVNPDPLPKPSAHQLGQLPQPSPAVPSYINEYVSLRGTGALSCANSSSTSDAELFLKFGEAISQNME >Sspon.03G0034350-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:72069501:72070226:1 gene:Sspon.03G0034350-1B transcript:Sspon.03G0034350-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GYLHGTRGGVPRRTRSAPGALRPAARTWVLARRVAHHPRHLPRRTVPADGAPRRLPLGRRGGRVWPARPHPDAAALNGPAKQRLGPRRRQKRRLGGGRGREVGRRVPAHGWVGGRGERARLQHAARDRGCQNVPGSRRPQERRGEGQRGGHRNQEGKGTGGRHRGEDEHRRGVPRRQVRRNGGRMDQQAYQVCRRCGAPAPDPAIAHAGPVLAHQARPRGRADGRGRLPHVLELLRPPLRVK >Sspon.03G0027880-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:7068820:7072117:-1 gene:Sspon.03G0027880-1P transcript:Sspon.03G0027880-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDATDDGICQGLKACGLATKMDTNDQVDRKGSKVSGVATKMDANASDQGDCQGFKVCGIEAKMDGNDHTDHLGSKVCGMPTKTDADDQCSNQGPFKAAERLDHLLNQPANRSCADCGAPDPKWVSLTFGVFICIKCSGAHRSLGVHISKVVSVKLDEWADEQVDILADSGGNAAVNMIYEAFVPENCTKPKQDCSAEERNDFIRRKYEAQQFLTNPQVSCPPRRNDKHNHHPHSTSSSRHGLGLSFRNSWRRKEHESKTAKKTIEVGMVEFVGLIKVDILRGTNLAIRDVMSSDPYVILNLGHQTMKTKVVKSSLNPVWNERLMLSIPDPIPLLKLQVYDKDTFTTDDRMGEAEINIQPLVAAAKAYETSAIADTAQLNKWMAKDGIWIPRDSAISIVNGKVKQVINVRLQNVERGQLEMELECVPLTQ >Sspon.01G0025590-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:81282276:81283752:-1 gene:Sspon.01G0025590-2B transcript:Sspon.01G0025590-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LWPSRHSSPCRTRRGRWWTSTSPGRVLPRTGSSLPRTMPLCRSTLGTWMRMVCMLATSPRLLSLGLSVLRVMLTVHWTGCGRRGRLRLSS >Sspon.07G0007340-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7A:19360043:19360506:1 gene:Sspon.07G0007340-1A transcript:Sspon.07G0007340-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGHDEQGAAAGGAAVVDAAVDHRGRPASRASTGGWKSASFIIGKLASDPQLDPSVYTATVEIAERFSFYGVSANLITFLTGPLGEGVAAAASAINAWNGTAQLLPLLGGTLADSWLGRYRTIVLASLVYIL >Sspon.08G0011160-1T-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8B:44440859:44441460:-1 gene:Sspon.08G0011160-1T transcript:Sspon.08G0011160-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GELDAIFEDDVLRHWDDMEPSGNKVEEEGNERLPLLCCYTEEEKEVSSKTKVVVRAGQQVRAEEPVLTFELVSQYFYMPIMQAARELNVGLTLLKKRCRELGIPRWPHRKMKSLQSLIKNVQVLQEAGKATDEEQLRAVVEMLQQEKQLLEQRPY >Sspon.08G0017740-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:3633793:3637218:1 gene:Sspon.08G0017740-1P transcript:Sspon.08G0017740-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GKTEWKRFRSGVWIPWSIDYHSIAEISKVSVGYNVLERMLLNENEKPTKLPLSLLEAITNNFSNEQEIGRGGFAVVYKILIFHADKGKLRLQGMLGIGKVAVKKLSERLDLLDQKYSREVHCLMKARHKNIVRFLGYCSETQGEMVDYEGKLVMADLRNRLLCFEFVPGGSLRDYITDASSGLEWRVRYQIIKGICEGLHYLHENHIVHLDLKPANILLDDKMVPKIADFGISRCFDENQSKDITSKLIGSIGYLAPEYYSGHITMKLDIYSLGVIIIEVLTGAKGYFAIEKDSFLLYCPHDIVEDDNIAIAVFPYLPFYQDY >Sspon.02G0052250-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:89053889:89054677:1 gene:Sspon.02G0052250-1C transcript:Sspon.02G0052250-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ALOG domain-containing nuclear protein, Transcriptional regulation, Specification of sterile lemma identit [Source: Projected from Oryza sativa (Os07g0139300)] MSTSGGGGARSWSPPRRPSRYESQKRRDWHTFTRYLAAHRPPLELCHCSGAHVLEFLRYLDRFGKTRVHAPLCAAYGGGGDGRTAEAVVASCQCPLRQAWGSLDALVGRLRAAFEERHGARGSGIWTSSQSQQPADGRGVGGGDADAANPFAARAVRLYLRDVRDAQSRARGISYSRKKKKQGKQQDGASAAAGCARPHVSGATTLMPAAALPPAPPPPPPPPPYCLAGVPFEFCDYSTVLGGVTANGAPGFYLPSLFNTFG >Sspon.07G0006510-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:16879335:16881269:1 gene:Sspon.07G0006510-1A transcript:Sspon.07G0006510-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATHFVLNTGAKIPSVGLGTWQADNGLVGDAVYAAVKAGYRHIDCAQAYNNEKEVGFGLKKVLDEGIVKREDLFITSKLWNTNHAPEDVPVALDGTLKDLQTYYVDLYLMHWPVRMKKGAGFGPQSVVPSDIPATWAAMEKLYDAGKARAIGVSNFSSKKLADLLAVARVPPAVDQVECHPVWQQGKLRAFCVSNGIHLSAYSPLGSPGTMMVKAGAVLEHPVVVSAAEKLGKTPAQVALRWGVQMGHSVLPKSTDEGRIRANIDEKLIRAGFFVDPEGVFKSIEEFWDGEI >Sspon.06G0013950-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:55463039:55466112:-1 gene:Sspon.06G0013950-2D transcript:Sspon.06G0013950-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVFRLAGDMTHLLSVVVLLLKIHTIKSCAGISLKTQELYALVFAARYLDLFVHFVSLYNTVMKLVFLASSFSIVWYMKRHKIVRRTYDKDHDTFRHYVLVLPCLLLALLINEKFTFREVMWAFSIYLEAVAIFPQLVLLQRTRNIDNLTGQYVFFLGAYRVLYILNWMYRYFTEPHFVHWISWVAGIVQTLLYADFFYHYIMSWKNNVKLELPA >Sspon.04G0009900-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4B:24873844:24875134:-1 gene:Sspon.04G0009900-2B transcript:Sspon.04G0009900-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHPDGHGLVEALVQYLTDEDMARVLEILDAASPAQIVAVARSHQGSNILQTLIGRIAGNPGHAEFFTRTLARVGERGVLSLMEDMDGSRLIMRCLDTFSAPHNQFITVAMAMSLHRVCRDRHGCHVMNKCIDMAGVDAQMWSSLVHAVCWDGFALAEHAYGNYVVQHVLRCVPQARAALHAAFRGRYMSLSTQMASSHVVQRCLELFSPEQADEI >Sspon.01G0038070-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:3967937:3971804:1 gene:Sspon.01G0038070-1P transcript:Sspon.01G0038070-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFETVLTPTCVKDASAVRDSCVSQDAPERKIPRSSSGVIHQQTPEKNISKSSTGVIHRQTPEKNISKVLPAPKVEPSKDVTDSTKVPRDTSKEGEKADEVEKAKSCQLEFGSYCLWSIEHKEIMKDHTVKRLKDQLFVARSYYPSIAKLQGQEALTQEMKQNIQDHERILSVSTVDADLPSFINRRMKQMERTIVRAKSCTVDCKNVDRKLRQILDMTEDEAHFHMKQSAFLYNLGAQTLPKSHHCLSMRLTLEYFKSSSLDSDDSPGKFSSPEYRHYVILSRNVLAASVAVNSTVSSCKEPGHHAFHILTDAQNFYAMKHWFARNSYKNAAIQVINYEAVILEKLPKYTIRQLYLPEEFRVLIRSIKQPTENTRMKYLSLFSHSHFVIPEIFKYLNKVVVLDDDVVVQRDLSFLWNIDMGDKVNGAVEFCGLKLGEMKNVLGKTAYDPKSCAWMSGVNLINLDKWREHNVTENYMLLMKKFKVKDELSLRAAAFPLSLLSFQHLIYPLDEKLTLAGLGYDYGIDEEVARRSASLHYNGNMKPWLELGIPDYKKYWKRFLVRGDRFMDECNVNP >Sspon.01G0015410-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:44603173:44606339:1 gene:Sspon.01G0015410-1A transcript:Sspon.01G0015410-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plastidal glycolate/glycerate translocator 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G32080) UniProtKB/Swiss-Prot;Acc:Q9FVQ4] MAATAMIRITILRCHHDLLSSLPRTTLLTPHSSCCSPSSPVSPRRVRVRPTAALKRIPGSNTSFMGPANNGATTLQRSSSSDLRRSLIVPNSTAGTGDADSWSGASAVSLGIVFATDKFLKQAFVAASIKFPSALFGMFCVFSVLVVFDRLVPALAKALMDFFAPATLFIQRWLPLFYVPTLVVLPLAVRDVPAASGLKIFAITFGGWFATLAVAGYTVLAVRKLLITDAEPMSKPSPFSTLEIWAWAAIFVASFGVAYFNPTALGTTARTCLPLLLAANVLGYMVGSALPAGVKKVLHPIISCALSADLAAVAYGYLSGSGLDAVLGDYFTKAPSNPGAGDVLMGFLGSVIISFAFSMFKQRKLVKRHAAEIFTSIAIASTFSLYSTAVIGRLIGLEPSLTTSILPRCITVALALSIVSFFEGVNSTLTAAVVVLTGLIGANFVQAAMDKLGLNDPIARGIGTASSAHGLGTAALSTKEPEALPFCAIAYGFTGIFGSLICSVPAARQSLLFIAG >Sspon.05G0011270-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:34084570:34088058:-1 gene:Sspon.05G0011270-4D transcript:Sspon.05G0011270-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKLLQFGRKAWFIVRVMSGHEERRIRSYRLQLQKRLEMAMRFNKERGILELSFEREFTNPSALMAQARKEELRKQPEQVILSEVRQVVQQMQALNQHLDEAEAAIDEYFKPIDKNAKIIADLQLEKEEKQMKEMAKVMQEQIKMQREITMKRAEAASVESNDTKAMDNWLFG >Sspon.04G0035020-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:977936:981743:-1 gene:Sspon.04G0035020-2P transcript:Sspon.04G0035020-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSAAARPDEEEARRRRSTDCIYFLASPLTCKKGSECEYRHSDAARMNPRDCWYWFHGNCANPKCSFRHPPLDNLAGAPTTPRPAQQSVPQASVSVPAQPHGSVPAIAKQGVPCYYFQKGMCTKGDRCTFLHAPQSAGNPAPQPPAKVFTPALQPNLHPPLKNSWTKPNSSSQQSTPAVGVVDKPKASAHDGKPLHHKQSLTTSRADHRSRVYQNHSNSYAQPGAPKRYQPQPSVQDGLTDNGMEAGEFVREPSAGSGVIVGAADDDAQQSFKGNHTTYNHRANGTGGMTRQTHGGYESERSYRNSAERSSSEKRISQREHIPAVVASSSDLRHRLLKQRKLNNNSGSTEAPGKHDTHLEDERNDQHRRRGEQQDGLSRSRLRDRIRLPGETSFDRLGSRSEEWDRGSRARLSPPKPSDLRGRLHERLKARSAEEIPGNSSKDLAVKENSSEDTESLNFAGPKSLAELKAKKGVGRSGEDAIVKGLGSSRVTSEIVLSREAAPFEGPKPLSAILKRKREAASEIPAAQPGIIQEADNYTAGAEEEFQTVANDTVGENMEGIEEEEEEEEAFHPEDDVAYADEAAAAQELGEYQDAEAAAEDNADEAAAAQELDEYQDAEAAAEDYDDEAAAAQEVEEHQDGEAAAEAEDYDYEAADVNAEEENDYQEYQDDDDDLEDEDDDFARKVSVMIS >Sspon.01G0004900-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:13276245:13278544:-1 gene:Sspon.01G0004900-1A transcript:Sspon.01G0004900-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:2-carboxy-1,4-naphthoquinone phytyltransferase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G60600) UniProtKB/Swiss-Prot;Acc:Q0WUA3] MPLAGIALAPLLVSPLAPSPRRSSVATAAARRPRALQRARCSATATSGGAGEAGELSQATLLWRAAKLPIYSVALVPLTVGSASAYHHAGLFFTKRYFVLLTAAVLVITWLNLSNDVYDSDTGADKNKKESVVSITGSRAMTQNAANISLFLGFLGLFWAFAEAKDIRFILLVMCAIFCGYVYQCPPFRLSYQGLGEPLCFAAFGPLATTAFYFSNSSINISSGTALLPLTKTVIASSILVGLTTTMILFCSHFHQIDGDLAVGKMSPLVRIGTKAGSRIVSIGILTFYVLLATFGICRSLPPACIVSYFQPIILLHVKKIVILNCSSWLNHCYDNFQVLCALTLPMGKLVVDYVLKNHEDNTKIFMAKYYCVRLHALFGMALASGLVLARNGILA >Sspon.02G0016200-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:44700787:44704619:1 gene:Sspon.02G0016200-1A transcript:Sspon.02G0016200-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKIFSIITMCVSNPCPVSFVYFHPHTFIINAVIFYWLQVNLKYEDDTPVDRKGSGRKVMEKQQQTYAAELANKDFAYDGEKRLFTIGTLPQVKNETPANGSPGNDSPPGSDRKRVRRPYNTKTYKVELSFAAKIPMSAISQALRGQESEHTQEAIRVIDIILRQHSAKQGCLLVRQSFFHNNPNNFVDLGGGVVGCRGFHSSFRATQSGLSLKIDVSTTMIVKPGPVIDFLLANQKIGDPSMIDWAKAKRALKNLRIKTSPANQEQKIVGLSDRPCREQLFTLKNGNNGESEEITVFDYFVKNRGIELQYSGNLPCISVGKPKRPTYFPVELCSLLPLQRYTKALSTLQRSLLVEKSRQKPQERMSVLSDVLQRSNYDAEPMLKACGITIARSFTEVDGRILHPPPSLKLGMEKTFLRAMADGTSTIRLIRASSVEKWAVVNFSARCNVRDLVRDLIKCGGMKRIMVEAPFDVFDENPSMRRSPSVKRVEDMFEQVKTKLPGAPKFLLCVLSERKNSDIYGPWKKKCFAEFGIVTQCVAPTRVNDQYLTNVLLKINAKLGGMNSLLQIETSQQFLSYPRSQL >Sspon.05G0010790-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:22070683:22075000:-1 gene:Sspon.05G0010790-3C transcript:Sspon.05G0010790-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAGGVAVEEGVMRVLLVDDSPVDRRVAQLLLSSNSCAGSFHVIAVDSAKKAMEFLGLKDGGGKALNPLKPIPVIVMSSEDEPQRISRCLNAGAEDFIVKPLQSKDVQRLRNCSTAARPSSKGGAVPCEAAAVAKRNSKPLVLPPSAVAGATSPSGRRGNLAGVAMVLHSSSVELSQYLPLLLKLVVLAYAVLLLGELLHRWSSGGRCSLSLWRA >Sspon.03G0012610-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:44686141:44688601:-1 gene:Sspon.03G0012610-2B transcript:Sspon.03G0012610-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPTTARILRLETVVAVFLLSSPASASTVDAASALDAATRCAATIVSISPCLPHVAAVAPPLAGSPPAPTGACCVAFLRAVSPSAAGGGEEGCLCHLLRNPLLLGFPIDAARLAALLPACAAGNGFAAATVEAATLFADACRELKALPELHFMPQSTTRQEISPAAVPELMSKPMEAVPPAGSMVRSGAEVSSSRGIPIATLILAAAGAAVITYSR >Sspon.05G0001070-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:3694107:3698030:1 gene:Sspon.05G0001070-1A transcript:Sspon.05G0001070-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALAVTDEVALPIRAVGDLAAAAEVSREEVAVITQCAALGGKLPFEDASVGAVLAVIKNVESLREQLVAEISRVLKAGGRVLVQSPAPSSSQKPNADIERKLLMGGFAEVQSSAANSQDSVQSVTVKAKRASWSVGSSFPLKKATKATRALPKIQIDDDSDLIDEDSLLTEEDLKKPQLPVVGDCEVGAAKKACKNCTCGRAEAEEKVGKLELTAEQINNPQSACGSCGLGDAFRCGTCPYRGLPPFKPGEKVSLSGNFLAADI >Sspon.02G0024270-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:87995019:87996245:-1 gene:Sspon.02G0024270-2C transcript:Sspon.02G0024270-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LPRAAAHQLAAAVRPQRRGGVLLGRCRGLPAPPRWGLLRRCDGGSGGRRGRLRRRLLLVIAVRCCGRIDDDGRDVAGSRMAAQAQLLLLLPPGVLLGQLAREPLHLVGVGLAGQLPALEQHRLHAPLQQHRLRLPHRLLLRLAAARPRRPPAAAPPTRALQPVNLLLAAVRNGKNEETADRPQLVAAPYVTYHVGDALGGDAGDLGRPAAAAAGLPRARADGHDEKVVDCCRSRELAPVVEDVRDGGRGGVPQRDAAADGADPRRAVHGRHLHHPCPSGGGGAAAAREHQVPQALQAPRAVA >Sspon.02G0014030-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2B:35145583:35146059:-1 gene:Sspon.02G0014030-2B transcript:Sspon.02G0014030-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGGLSKLKCMIKRWHSSSRISRTPSGCSARSHDVGGVDAAGADGFALENSWRRGVDASSIFAFGGGGGTAGSASFHGVDSVPPGLHPVYVGKSRRRYLIAADLVGHPLFQNLVERSGGTGVGAAGGTVVVGCEVVLFEHLLWMLENADPQPESLDEL >Sspon.06G0027580-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:63961220:64013110:1 gene:Sspon.06G0027580-2C transcript:Sspon.06G0027580-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding CLVLNLRICLPNGLRNLTSLEELWCAIVDSAHIAEELGHLTQLRILTVKLKIDEEGGCDGSESICKALVESLGKLHKIQRLVVVSEVVAVNLDVSVESLGNLSYLRIRKTTLLPTWINPGSLLLLSFLDITVAQVRREDIQVLGMLQALRILQVYVSGDNIQALGRFMVAPDAFPCARYCRFSGFQAVPSMFPRGAMPRLEHFWFNIHPQDFFQGEFTTDDLALDHLPSLRNMEVGIYGGGNEKIDEELVQVFIIWVALILAILQNTLVVLCIRNTRYAGELPSEIGRLQLLQTVDLYGTRIKELPSSIVGLRRLMCLVLNWIIRLPNGLRNLTSLEVIRPAIIDSAHIAEELGHLTQLRILTVMLILYKEAGYENEGICKGLVESLAKLQKIRHLIVLSSNGVVINLEGSLESLGNLSNLYINKTSSLPTWINPGSLLLLSSLDIMVAQVRREDIHVLGMMQALRILNVGVFGDNIQVLGRFKVGPDAFPCARVCRFYGFETVTSMFPRGAMPRLEDFIFHICPQDFFQGEFTTDDLSLDHFPSLQTVSVHFYRGNQKIDEELVMKVKEKLGQEVFAKGEFTTDDLSLDHLPSLRSVSAQLYPGEEKIDEELVTIVKEKLRQEAEAHPNHPSVYYYSGMCVMSNEDEEESLASSSFSTPIGARSLRNTGYAGELPSEVGKLQLLQTLHLFGTDIKELPSSIVGLRRLMCLGLSRTIWLPNGLRNLTSLEVLWCVVVDSAHIAEELGHLTQLRILTVMLKLDKEAGCDDGICKALVKSIGKLQKIQHLAVNSFDVVMNLEGSLEFLDNLSYLRIFKTSLLPTWINPGSLRLLSSLDITVAQVRREEIQVLGILQALRVLKVFVFGDNRHVPGRFTVGPDAFPCARDCMFYGFQTVPYMFPRGAMPRLEIFYFEICPQDFFQ >Sspon.04G0022180-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:4509045:4521317:-1 gene:Sspon.04G0022180-1B transcript:Sspon.04G0022180-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQASAQYIKQVSGLHQDGSLYGEFEFYHSFLLTFVLKAQLSIHASKCSVYQAGIRPVKVGVVTTLRSSSAYEAPQETYSCQLRLKSSPEDDVVPMQPGSGETHVFFPDSLGDDLIIDVSDSKGKSCGRVVAQVATMAEDPADKLRWWSIFREPEHELVGRIQLYIHYTTAADENNMKYGSVAETVAYDIVLEVAMKAQHIQQRNLVVHGSWKWLLTEFALYYGVSDAYTRLRYLSYIMDVATPTADWLNLVHELLLPILMKNHGTATLSHQENRILGEVEEQIEQTLAMVFENYKSLDDSIPLGLAEDFRPPTGLAAAALEPAIKLYSLLHDVLSPEAQLRLCGYFQAAARKRSRRYMLETDEYVSGNSEGIRVNLVTVTTAYQKMKSLCNNLRNEIFTDIEIHNQHILPSFVDLPNLAASIYSVELSNQLRAFLVACPPAGPASPVADLVVATADFQKDLSSWNICNIKAGVDAKELFHLYIVLWIEDKRRMLLENCRLDKVKWSGVRTQHMTTPFVDEMYDLLKKTLTEYEVIICRWPEYIFVLENAIADVEKAVIESLEKQYADVLAPLKDCIAPKKFASKLSRNSQSAIQLCPILYLKILLEVLRPRIESHLKSWSSCIPNGGNSAAIGEKLSEVTVTLRAKFRNYMQAVVEKLSENTRMQNTTKLKKIIQDSKELVMESDIRSRMQALKDQLIEAINHVHKVSEVHVFVAICRGFWDRMGQDVLSFLENRKENKAWYKGARVAISVLDDTFATQLQQLLGNTVPPKELEPPRSIVEVRSILCKDAPRQKNSSFYY >Sspon.02G0051280-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:75481333:75483507:-1 gene:Sspon.02G0051280-1C transcript:Sspon.02G0051280-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKDFRRISLIHSFAKLIAKILANRLAPFLDSLVATNQSAFIRGRYRKLRKSDLLPWIEKLGDKLPGWKASLMNMAGRVIWVRFVLSAIPIYVLIAIIVANWFIKAIEKLRRAFTWKGRQQEDNQHSWRLEGSRQFTSKSAYRAFFNGSTTFEPWLRIWKTWAPGKCKILAPLDLLSCVPGRHDHTFATWWKKASKRVGKS >Sspon.03G0000490-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3A:1449458:1449967:1 gene:Sspon.03G0000490-1A transcript:Sspon.03G0000490-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDPRTRAAGDRPTPAATSPPPPSSPPGAGSASAPPACCSTCSSSTRSYSLQPPTVPRPTMAAVFFHHVVGDLTVGKPEVAELHDTDTLDDAARAIAASPEGAVPVWRPRAAPDDPPSGARFVGMISALDIAAFVAASGVGDRAMRAVVGEVVQPNPALLREIDPGTR >Sspon.02G0027330-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:99021123:99025762:1 gene:Sspon.02G0027330-1A transcript:Sspon.02G0027330-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELMATMVVGPLVSMVKDKASSYLLDQYDVMEGMEEQHETLKRKLPAILDVINDAEEQAAAHREGAKAWLEALRKVAYQANDVFDEFKYEALRREAKKKGHYKKLGFDVIKLFPTHNRVVFRDRMGNKLRMILNAIEVLITEMHAFRFSFRPQLPMPKSWRHTESDIIDLKEIASQSRNKEKEEVVNKLIGDQASNLQLTVVPIAGMGGLGKTTLAQLVYNDPEIQKHFQLQLWVCVSDNFEVDPLAKSIVEANAKGKNSINTSEKSPLDSLKEVVSGKRYLLVLDDVWNRDANKWGKLKSCLQNGGNGSAVLTTTRDNVVAELMGTTEAYILKSLEQRFIMKIIMARAFSSKKEQDAKLVEMVGDIAKRCAGSPLAATAVGSLLRTKTNVEEWRAILSKSTICDDETGILPILKLSYNGLPSHMRQCFAFCAIFPKDYDIDAEKLIQLWMANGFFPEQHGVRPEIIGKQIFMDLVSRSFFQDVKEVPFEVDSIEVPIPRVTCKIHDLMHDVAQSSMGAECATIVTELSQSDKFPNSARHLFISVDGPEIILNGCLEKGSMAVQTLICNGYADEDLKHLSKYRSIRALRIRRGSFLKPKYLHHLRYLDLSRSSDIEALPEEISILYNLQTLDLSNCRKLTRLPKEMKYMTALRHLYIHGCGGLKSMPSELGQLTSLQTLTCFVAGTGSRSSNVRELRQLEQLGGPLELRQLENVTEADAKAADLGNKKQLTRLTLRWTEEEQGNTKVLEALKPHDGLKVLVVDGYRGGTYPTWINTLQQMVKLTLSGCKNLMELPPLWQLAALKVLSLVGLESLNCLCSGDVAVTPFKELKELSLQRMPNFETWWVNERWEAVQGEEVTFPRLEKIVIRSCPELTSLPEAPNLRELEIWQGSQQMLVQVANCIVTASSLSKLVLLINDDREATWLDGNSLIQLVDGEEKQNQNKSTSPLTVMELHGCNVFFSHSSALALWACLVQLKDLQIWNCDALVHWPEEVFQSLESLRSLTILDCNNLTGRRRASCEQSTPERSSVLLPCLESLNIQDCACLVEVPTSVLPASLKSLAIYDCPKLEAIAFSKQLDTTSVMLESAQGVAAAHDEDGKSALIAGSCSCSDATASTPVPKLSSSTKHHFLPCLEYLRISGCDGFSEVLDLPPSIKTLEISRCSNLQALSGQMDAVQTLSIYQCGSLKSLEPFLGELALLEELYLYGCKSLVSLPNGPQAYSSLRRLTIKSCPGVKLLPQSLQQRLGDLKDEDKRLDARYQASDCKLKSSW >Sspon.04G0014890-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:55817437:55823465:1 gene:Sspon.04G0014890-1A transcript:Sspon.04G0014890-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQGAGVLQPHVGSAPVTSASYLVFHTRSSIHNMGGQPEGMHLVSERTEGRISSQAVESRGIEKAMPEELSVTLSDKKGCFACNERSHNLDQCKIKYKLVSAAHQFGYATKFPFTVIQPSYEMVEKKKFYHHCVIESNVTNIDMGILKDELKMFWKLSGDWELRRECNMTFLASFSSEGDVISCLKNPKIETLLENKEVKLTVTRWKEADDGSLDLVEEWLLVSRVPRIYRNWKELYQVASAFGVLIDVDEESLEVGDKEPIRLKTAFRSFDGAPFSYYFAFGWSSKLVMVTTVQDKTDGMKHKNKESLYKEHKKELHVAKSILLEEPKGIEESISNGKAKENKISAPAATITKFEITITESSKPEGVESIAPSPRRIIGEEHFRGIQKPPIKHVFKRRGKKQQVTEAIIKSPSNKMDKEVAPHSSSNAMRTGASTGCDMFDEKDCSEMEPKRELNMEEITPVEESKKSKKLGACRETEYNNVAAPTINSKKSQISGSRTPNNELITRHTK >Sspon.07G0012910-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:53638895:53640313:1 gene:Sspon.07G0012910-3C transcript:Sspon.07G0012910-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADEEQAPSSRKRVAGTQINKDNPEPDDDGPEQEISTFKKASEEVMATRRIVKVRRQQTSSAPSSNPFSAIRFAPTDSGAQTSAPVPEAQPSDVKADEGSNGNGKDTLSVPDKNAGSGVNTDSGATTEAPPQPVETSDKAEDTKDESGGDKVVAGEPNEGSCMPSEVEGKTKEGDAEETEGADEAGNNGKISKDDTEKKDGGESETKDGLSDEQRDADKIGKDGTEKKDGGESEKKDADNKGQTSSATPLFSFKNLSSGQNAFTGLTGTGFSSTSFSFGSASKDGSSAGPLFGLKADGSSFPSFNLGAANNGSSATALATSAEAPRKFAMTEGPVETGEENEKAVFTADSALYEYLDGGWKERGKGELKLNVPVSGGERARLVMRTKGNYRLVLNASLYNDMSLKDMDKKGVTFACMNSIGESPSSLATFALKFKDTATREEFKDAVESHKTSKAPDAPLKTPENSPKAAEV >Sspon.07G0000380-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:900021:903320:-1 gene:Sspon.07G0000380-1A transcript:Sspon.07G0000380-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to Carboxy-lyase [Source: Projected from Oryza sativa (Os05g0591600)] MTPATALAVASEAPVPAPVTSGLAASEASTESGGGRAERRSRFRRICVYCGSAKGRKPSYQDAAIQLGNQLVERGIDLVYGGGSIGLMGSVSHAVHAGGRHVMGIIPKSLMPREVTGEPVGEVRAVSGMHERKAEMARFADAFVALPGGYGTLEELLEIITWAQLGIHKKPVGLLNVDGFYDPLLSFIDLAVNEGFITEAARRIIISAPTAKELVMKLEDYVPEYDIGLVWEEQKPNSLVPELESGITSS >Sspon.07G0004930-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:12546161:12563512:-1 gene:Sspon.07G0004930-1A transcript:Sspon.07G0004930-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIGRAKEGFSVFGMLNKCVTPMGRRLLRAWFLRPIIDIDVINNRLNTKFNSPSSFCTSSDWNTFLKCICSLLHINKIFEVGISEHLAIKLQHMNIDLIGKANSSITAELDYVSDLLDELRMVYEGLPDFLEQNTSTNSLCTPNSILSLAIVARQNNYVRPILTEDTILEIHNGRHALQEMTVDTFVPNDTKIRDAGRINIITGPNYSGKSIYIKQVALIVFLAHIGSFVPADSAIVGLTDRIFCAMGSKSMTTEQSTFMIDLHQVGTMLRHATSRSLCLLDEFGKGTLTEDGIGLLGGPFVNTSDRDLHRELPTTGLHCARLAGVPNEVVQRADIVLEDIHSKKPMRRVTSEKLTAADKQHQDAVTKLMAFNTQKGDLNSFFQELFPSEL >Sspon.02G0008210-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:24329372:24335497:-1 gene:Sspon.02G0008210-1A transcript:Sspon.02G0008210-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKPSLKKKRASSGSGKTDDHGSKPASLERSGSKVLDGDKTLFTDMVQEHKEEGNKLFQRRDYDRALLNYDKAIKLLPRAHPDVAYLHSNIVACYMQMSPPDYYRAINECNVALETSPKYTKALLKRSHCFEALGRLDLACRDVSKVLALEPNNLTALDVAERVKNAMEEKGIVLDGKDVMPTPEKVVAAAPKQKPHKKRGGRKFATKAAAAAVEELREAVRSKFPGLKAVLVKYKDKEGDLDLAEAGSSLRLYVTEANPEHEPYVDDTNSGPLERNVNNASDNGSIRSNRQDEDRSTVTCIDDWIVQFARLFKNHVGISSDEFLDLHEVSMKLYTEAIEDTITTEEAQEVFNLAEGNFQEMAALAFFHWGNVHMSRARKRLLLSGDSPRELVLEQVKEAYEWARDEYNKAGKRYEDAVKAKPDFFEGFLALAHQQFEQAKLSWYYAIGSNADLDSCSSEILELFNKAEDNIEKGIEMWELMEEQRLKNRSKPSQENVVLEKMGLEEYIKDINILWGMLLYERSVVEFKLGLPMWEDCLMAAIENFKLGGASTTDIAVLVKNHCANETAQDGLGFKIDEIVQAWNEMYDIKRWLRGVPSFRLEPLFRQRQS >Sspon.03G0009080-4D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3D:29163345:29164172:1 gene:Sspon.03G0009080-4D transcript:Sspon.03G0009080-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAREGLLEAEKLGLSKEQLSRILPIVDATSSDSVTDGRYLGATLDRNGLRPGRFYVTHSGRVIMGSEVGVVDVPPEDVLRKGRLNPGMMLLVDFENHTVVDDEALKTQYSKAYPYGEWLKRQKIYLKDIVESVPETDRVAPSIFSSLP >Sspon.08G0015990-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8B:58098743:58102615:1 gene:Sspon.08G0015990-2B transcript:Sspon.08G0015990-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSGVRNGWGPRMSAKPDRQGFSATPKTAAGKQRLSSSAAAAGGAYRRTSSGPLPSRAASDGGGFVWLARALPRRSGRAEWDPDLDFGSAGVWVVSSRVRVAVRLRPRNAEELAADADFGDCVELQPELKRLKLRKNNWESETYEFDEVLTEFSSQKRVYEVVAKPVVESVMEGYNGTVMAYGQTGTGKTFTLGRLGEEDTAARGIMVRAMEDILADITPGTDSISVSYLQLYMEMIQDLLDPVNDNIAIVEDPRTGDVSLPGATVVEVRDQKSFVDLLRVGEAHRVAANTKLNTESSRSHAILMVNVRRSVKGRTEMDVSISGENGHSSSMIGSLRPPIVRKSKLVVVDLAGSERIDKSGSEGHTLEEAKSINLSLSALGKCINALAESSPH >Sspon.03G0024020-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:73236473:73237076:1 gene:Sspon.03G0024020-1A transcript:Sspon.03G0024020-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding TAMRSSIAAVLLLVSCLLHGADAAADYRPKPFFASIFSFGNSYTDTGNFVRLAAPVVPVIPFPYGETFRRPTGRASNGRLVLDFAAEAFGLPFVPPWLDKSQRFSKGANFAVTGATALDLSYFQEHNITSVPPFNSSLSVQIGWFERLKPSLCSTPKALNNATD >Sspon.04G0008500-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:24776804:24779874:1 gene:Sspon.04G0008500-1A transcript:Sspon.04G0008500-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GVLHRSLLLGVDSSSSSLLFFWFLPLPHDRACFLCSVLVQVPQGKRSSGAPSSRSDQRGIGNDRTDCWIGSGSSDRKGHLNAGRVAVFCCIFYCQSLSRFGWSRLGWLRLI >Sspon.03G0011980-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:42763408:42772982:1 gene:Sspon.03G0011980-2B transcript:Sspon.03G0011980-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFYLEVNLDGRYMTANQQTRRSNTEDWKSGTEPAAARDWPLDLPLRPIPESRFELRWIHPSSVLDLLEVCTLLDIPLEALPMGCLQTKHARRSPHLLDTREAVALAAETSFTVSEVEALHELFRKISNSIIKDNLIHKEEFHLALFRSKKKQNLFVDRVFDLFDQKRNGVIEFGEFVRSLSVFHPDTPEEQKVAFAFKLYDLRQTGFIERHELKEMVLALLDESDLDIASDAVEMIVDRTFDQADTKGDGKIDQEEWTAYAKDNPYVLRNMTLPYLKEEWHTLLATATAKLLPR >Sspon.01G0033280-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1A:112204645:112205104:-1 gene:Sspon.01G0033280-1A transcript:Sspon.01G0033280-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding CRKVQWAIRTMSDNSGDQSGNSTRLFSAIRSFWSMLSAKLKKARKGLPVKILFFLIGFYCATAFATVIGQTGDWDILSAGLAVAIVEVIGALMYRASFAVLGRMRNMITIFNYWKAGLTLGLFLDSFKYEVDEFLESCNPFNFQINIFTGLW >Sspon.07G0028960-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:77976319:77979054:1 gene:Sspon.07G0028960-2C transcript:Sspon.07G0028960-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MCKITPVVAALTRVLYLYLVAVVAGPAKSLLGAARAAFALANAPCLRYMSEAAARGRSSSFTGALCGDLLVGAMAHSWRFLVQGLTSLLFLCARADEYVRPPPSPLVLLAHDKPASHPQQVHISVVGSDGMRISWVTDDRSASSVVDYGTSPGKYTASSTGDHSTYRYFFYKSGAIHHVTIGPLRPSTTYYYRCGRSGDEFTLRTPPSTLPIEFVVVGDLGQTGWTASTLSHITGGGADYDMLLLPGDLSYADTQQPMWDSFGRLVQPLASARPWMVTEGNHEAEALPLPVLEELIPPFVAYNARWRMPFDHEDSGGGSTSNLYYSFDAAGGAAHIVMLGSYAAFGEGSEQHRWLARDLARVDRRRTPWLLVLVHAPWYNTNQAHQGEGERMRAAMERLLYEARVDVVFAGHVHAYERFTRIYDNEADSRGPMYITIGDGGNREGLALKFIKDHKSAHLSEFREASFGHGRLRIVNETTAVWTWHRNDDAFATVRDEVWLESLAAAAKPGLAPSPPTGRDNDEL >Sspon.04G0022850-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:13639226:13644230:-1 gene:Sspon.04G0022850-2C transcript:Sspon.04G0022850-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLMFWGLPCSVAEGNVDSIEIFVSNDERRNRADAEISEDEPRHTRMRSLRKKALHASTRLTHSLKKRGKRKVDCRVPRIAIEDVRDAEEEQAVSSFREVLFARGLLPVKHDDYHMMLRLRPEEICSYCYFQLYNARKFDFEKAAQMWADMLQWRKEFGTDTIFEGWKNFSKIARDLVRCMQKIDGDYYPEVLGTKYQSRLLEAIDASMESLKEVGQVSDIEETVTGSVRLRALKLPERISDTSNAESGSDVDDLGSPLAPEDVEYPSLAPVREEARESGSTTYSRSIGMSHMADKAVGSNRRYNSTGNEIRQFNTEQGSLINVGLPPPACLMLKYGFKSAGQRAPNDGVGNDDGFLKYISRRVVAVFLKVLSFLRFFIRRRQHLENVHSRTATAPSNLADLQTIKEDRVNPCLERLDRLESMFNQLSRKPPELPQDKDRAIQDSFDRIKSIEFDLEKTKKVLHATVIKQMQMAETLEAVTEPDLRNS >Sspon.05G0027860-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:5C:53064819:53067308:-1 gene:Sspon.05G0027860-2C transcript:Sspon.05G0027860-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GQGNGHDGGGGPAAAGGGRGVGGAREAWPPAVQLAAGAGVPAGAHLLLLPGHVQRAVGPRRRRAGRRQHRRQRQHGALRLLRGLRRPRRRRAQPPRPTRDADAGRAHLPSLRRLLPLLQPPPALAGVPGHGGCPARRRRRVPLGGAGRRDDVVPAAQPPGHLHLLFWCLFNLGGVLGGLLPFSLNYNSGDEAKNVSDSTYIAFMAFMLVGAALTVLVLPPARIVRDDGTKATRVTFSSPATEGA >Sspon.07G0012110-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:48040295:48043842:-1 gene:Sspon.07G0012110-2B transcript:Sspon.07G0012110-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLIFINWAETWKTQTDWATQISQTKPNNSKKKVSPDLTLRNQTHLHSGVPVSTATSPNSGDCRAPTMAARRSTSSTRRGLLPSLLLILCSSLPPLDAAYRPGDIVPMLRSGQYHGSRTVWFDVVGRHCPAFAVNREVLMPIPKPTGFTGADPYKITFQIGHEKFHVPWLYVINRKTSEVPLIDFHLKYSGNDILGVTAKVVDMPHHYVEVHPDIKKNFWDLQKWPKYVLVRYTWEEQSEIDVAGGFYVLFGSGLVLSFILAIYVLQSSQEKLTRFVREAVADSSLPEGVAKVE >Sspon.05G0018590-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:76833345:76840130:1 gene:Sspon.05G0018590-3C transcript:Sspon.05G0018590-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:LAX3 [Source:Projected from Arabidopsis thaliana (AT1G77690) UniProtKB/TrEMBL;Acc:A0A178W1L1] MASEKVETIVAGNYMEMERDVGGGGGGDHGDDQPGGEVAASGASAGKKLALSSLFWHGGSVYDAWFSCASNQVAQVLLTLPYSFSQLGMASGVVFQLFYGLMGSWTAYLISVLYVEYRTRKEREKVDFRNHVIQWFEVLDGLLGKHWRNIGLFFNCTFLLFGSVIQLIACASNIYYINDKYDKRTWTYIFGACCATTVFIPSFHNYRIWSFLGLLMTNYTAWYLTIAAIAHGQVEGVTHSGPSKMVLYFTGATNILYTFGGHAVTVEIMHAMWKPQKFKLIYLVATLYVLTLTLPSASAVYWAFGDMLLDHSNAFSLLPRSGFRDAAVILMLIHQFTRFGFACTPLYFVWEKLIGVHETGSMALRAAVRLPIVVPIWFLAIIFPFFGPINSTVGSLLVSFTVYIIPALAHMATFAPPAARENAVEQPPCGLGGWTGMYAANCFVVAWERSAGLRKGEGRRRMASEKVETIVAGNYMEMERDVGGGGGGDHGDDQPGGEVAASGASAGKKLALSSLFWHGGSVYDAWFSCASNQVAQVLLTLPYSFSQLGMASGVVFQLFYGLMGSWTAYLISVLYVEYRTRKEREKVDFRNHVIQWFEVLDGLLGKHWRNIGLFFNCTFLLFGSVIQLIACASNIYYINDKYDKRTWTYIFGACCATTVFIPSFHNYRIWSFLGLLMTNYTAWYLTIAAIAHGQVEGVTHSGPSKMVLYFTGATNILYTFGGHAVTVEIMHAMWKPQKFKLIYLVATLYVLTLTLPSASAVYWAFGDMLLDHSNAFSLLPRSGFRDAAVILMLIHQFITFGFACTPLYFVWEKLIGVHETGSMALRAAVRLPIVVPIWFLAIIFPFFGPINSTVGSLLVSFTVYIIPALAHMATFAPPAARENAVEQPPCGLGGWTGMYAANCFVVAWVLVVGFGFGGWASTVNFVRQVDTFGLFT >Sspon.03G0014540-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:46126791:46127300:1 gene:Sspon.03G0014540-1A transcript:Sspon.03G0014540-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEISASAATAASSPPARPLLLRLLASSSPHPATTSSSTTIRRRPSSSATATVRRRARTRPRTGRSKPPADAGDYFSGNDGPGLGGSSGGGGGGGRWNWNSGFGSGSEGPGDWEPDVPAPRRSAADVAIEVVYELMCLIAFSNCTQFAVRRLAGLLAARVAALRFVPTVC >Sspon.04G0009470-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:28021859:28029016:-1 gene:Sspon.04G0009470-1A transcript:Sspon.04G0009470-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQEIEHTHLPIRGLNLHVAQVGKGDLGTVVFLHGFPEIWYTWRHQMLAVAAAGYRAIAPDCRGYGLSDLPPEHEEVSFDDLVADVLGILDALNVPKAFLVGKDWGAFPAYEFALQHPDRTRGVVCLGIPFSPVPIAIDTLPEGFYVLRWRVRSTEPLTACITSEKLSFLSKLTLCSWRVVRTVYVLFSRAEIPIAEEGQEIMDLADLSTPLPEWFTEEDLDAYAKLYEKSGFRYPLQMYRCWPWPRRGTAPSHRTAHEEVSFDDLVADVLGILDALNVPKAFLVGKDFGAFPAYEFALQHPDRTRGVVCLGIPFSPVPIAIDTLPEGFYVLRWHVRTTPLTACITSQLSDGHSAAGAGQGGGRLRAGRFEVRRVVRTVYVLFSRADIPIAEEGQEIMDLADLSTPLPEWFTEDDLDAYAKLYEESGFRYPLQMPYR >Sspon.06G0025740-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6B:71035794:71037110:-1 gene:Sspon.06G0025740-1B transcript:Sspon.06G0025740-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARVTHWRVIGDRLSCGGQTCGGRSYDSGELARGSRQRPATARGGFCGSITSTAPFARRGCDTQYVRPTLPHRSGHPPPRLPQSPATPPSTSPVMSTACTAPSPPRRHPEVVTVGPNIVSRGRSKTPRQEGEAACASVYARPLLSMSP >Sspon.03G0028740-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:10998269:10999663:1 gene:Sspon.03G0028740-1P transcript:Sspon.03G0028740-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSALIQPRCTLHQIRSPGRPEPSRASFAAAAAANEAATSPSISARSWAGARASSSASVASAARTTTSAPRSRTASVAHMWRQVQGSHDWDGLLQPLHPVVRDEVARYGELVGACYKVLDVDPSSARYMCCNHAKERVLEDAGVAGAGYEVTRYIYATPDVAVAGGPSTSGRGRASWVGYVAVSTDEMTRRLGRRDVLVSLRGTVTQAEWAANLMSALEPARLDARPDVKVEAGFLNLYTSSPGGGGGMGSCRDQLLREVSRVIKSFSKDRPREDMSVTLAGHSMGSALAMLLGYDLSQLGLNRDASGRRVSVTVFSFGGPRVGNAAFKDRCDELGVKVLRVANVRDPVTMLPGAIFNEGTRGFLNSWAAGDRYTHVGVELALDFLSLRDLGSVHDLGAYVSSIKAEAGGKVSKSDNAATDSRGAAVLAKKAMQFVGSQRAAAFAWPEAVLGIGGVVQSLGLI >Sspon.03G0007280-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:19847146:19848488:1 gene:Sspon.03G0007280-1A transcript:Sspon.03G0007280-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQNAAAWAQQAERERPPFTDPIEIPATSRARRVAGERETNREDQGDDDGEAAVPPHVLLARRRAASSVCSGQGRTLKGRDLRRVRDSSRSSKKMLHAELQEKEEFQEADILWPDAADFQESSPRLYFSQIGIDDNDDDGSSSQHQPPLKLQVGHKASSPIDIPGRKKVVGAAAARGTGAPVGSSKFSASHAGGVGAGSAVVGSHVFIPPHVIVDRRAKRDKAMMMLIVPSGRARARKMREY >Sspon.03G0033670-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3B:54367651:54369562:1 gene:Sspon.03G0033670-1B transcript:Sspon.03G0033670-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLTQLSSPVTGPVRAVVVTWYVVFQPSFSHSDQGVWLFHLDAFLMQGAPFWVSDLEV >Sspon.01G0041560-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:46459424:46462089:-1 gene:Sspon.01G0041560-3D transcript:Sspon.01G0041560-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVVRGVLRGIKEKGLTNFIRDVRDEGYLQTKIHNIGATLVGVDKFGNKYYEKLHGTQYGRHRWVEYAEKGRYNASQLLNQKTARYLVEHKQNYSGEGEELIYHSKGHALNPGQRDWTRYQPWEPKKEQA >Sspon.05G0018980-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:83172733:83174929:1 gene:Sspon.05G0018980-2B transcript:Sspon.05G0018980-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNMEQQVEHSHLPIRGLSLHVAQAGKGELGIVVFLHGFPEIWYSWRHQMLAVAAAGYRAVAPDWRGYGLSDQPPEPEAASYDDWWRISSQSSMPSPFPRLSLWRRISEPCQPICLLFVTLAAHVVLCVWGSPSLTVAHLQPACQKASTFCVGGLFSNLNKFNPHCQIE >Sspon.07G0020470-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:76322677:76325516:-1 gene:Sspon.07G0020470-1A transcript:Sspon.07G0020470-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine carboxypeptidase I precursor (EC 3.4.16.5) (Carboxypeptidase C) [Source: Projected from Oryza sativa (Os12g0257000)] ACSASAPPARAAAAALLLLASIACCCLAAPPGALVTRLPGFDGAQLPSKHYAGYVTVNETVGSRLYYYLVESERDPARDPVVLWLNGGPGCSSLDGFVYEHGAFNFESGGSAGSLPKLHLNPYSWSKVSSVLYLDSPSGVGLSYSKNVSYYETGDLKTAAESHTFLLKVFQLYPEYLKNPFYIAGESYAGVYIPTLANKVVKGVHKGDNPVINFKVYMVGNGVCDTAFDGNALVPFAHGMGLISDDIYKVANTACQGNYWNYSNSGECTEAVSKVDTVINGLNIYNILEPCYHGSNTKEVISQNNRMPQSFKDLGVTSRPLPVRTRMIGRAWPLRAPVRDGRVPSWQELTAGTGSSVMCMV >Sspon.03G0024130-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:97137399:97143630:-1 gene:Sspon.03G0024130-2B transcript:Sspon.03G0024130-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABC transporter D family member 2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G54350) UniProtKB/Swiss-Prot;Acc:Q6NLC1] MQLLYYLGAFAGGIPFFVLRDYARETLSLRWRSWMTSYYMKRYFRNRTFYKIQSQSIIDNPDQRINDDLSAFTGTALAFSLTLFNAAVDLVSFSNILYGIYPPLFIVLVVYSLGGTAISVFLGKNLVNLNFMQEKKEADFRYGLVRVRENAESIAFYGGEENELQLLLDRFRRAFENLSELLIASRNLEFFTNGYRYLIQILPAAVVAPMYFSGKIEFGVINQSVSAFNHILSDFSLIVFQFQSISAFSAVIDRLGEFDDLLDGNESSPSSQRDSIDGINIVFKSNGPSVLSSNGSLTQSDQSMVLEIRNLTLLTPRSGNVLITGLAMELKDKDHLLVMGPSGSGKTSLLRALAGLWTSGTGEIIYHVRSSMQLQKSNSSSDEPSNMNLEGGELLRSSKQRRDNGIFFVPQRPYMVLGTLRQQLLYPTWTEKAHHSPDNDAQNSADPLPFLSEVSTSDGISAKSEVPTTSELIKVLEIVKLGYILPRFNGLDSMHDWASVLSLGEQQRLAFARLLLATPTLVLLDESTSALDEANEAHLYSQIEAAGITYISIGHRKTLHKFHNKALYISKSDSTDTNLRSWELKPADQLPLEEPSPFPS >Sspon.01G0024010-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:84457713:84465821:-1 gene:Sspon.01G0024010-2C transcript:Sspon.01G0024010-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNTSEMPQAKEKLKRSGSLGSNDTYVRADKIDLTSLDIQLEKQLTKTWGKANLKSQGPKEEWEIDLAKLEIRYVIAQGTYGTVYRGTYDGQDVAVKLLDWGEDGFATEAETAALRTSFKTEVAVWHKLSHPNVTKFVGASMGTTDLKIPANNSNGGARTNFPARACCVVVEYLAGGTLKQYLIKNSRRKLAYKVVNLRPDIPRCCPSAFANVMRKCWDANPDKRPDMDEVVQLLEALDTSKGGGMIPDGQSSGCLCFTKARGDGQASLTGPPNIQNPRSQLTDMAAARRRPNPRALARLPRDLGSGAVAAKVPCSSLEGSAVARLGELQQFLGGGIELPDLAAGIGVLRAEAGVPAPSGIRRRHILRS >Sspon.04G0037490-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:72834431:72835577:1 gene:Sspon.04G0037490-1D transcript:Sspon.04G0037490-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHRLFLLVLIAAACCINVAVAGGAPWPAAALAAYSLWLAAAVALGVGVLLPHRRPEKLKRGAAMAAAGAPPYQPMIASPEEEINSAPPPRLP >Sspon.03G0022800-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:69641455:69645156:-1 gene:Sspon.03G0022800-1A transcript:Sspon.03G0022800-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAGVAPAPVAAPEKKKLLDLKDPFPAAANGSAKASPAGKWAMKKKLVGGDAGYVLEDVPHLTDYMPQLPTYPNPLQDNPAYSVVKQYFVNPDDTVTQKIVVHKTSARGTHFRRAGPRQRVYFQSDEVKAAIVTCGGLCPGLNTVIRELVCGLYDMYGVTSVVGIEGGYKGFYSKNTVPLTPKSVNDIHKRGGTVLGTSRGGHDTAKIVDCLQDRGINQVYIIGGDGTQKGASVIYEEVRRRGLKCSVVGIPKTIDNDIAVIDKSFGFDTAVEEAQRAINAAHVEAESAENGIGVVKLMGRNSGFIAMYATLASRDVDCCLIPESPFYLEGKGGLLEFIEKRLKDNGHMVIVVAEGAGQDLIAKSMNFVDTQDASGNKLLLDVGLWLSQKIKDHFKKQPSFPITLKYIDPTYMIRAVRSNASDNVYCTLLAHSALHGAMAGYTGFTVAPVNGRHAYIPFYRITEKQNKVVITDRMWARVLCSTNQPCFLSHEDVENMKHDDDEHHLHNTQLLEGESSPVKDASKCNGTV >Sspon.08G0011330-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:49400329:49401899:1 gene:Sspon.08G0011330-1A transcript:Sspon.08G0011330-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Type I inositol polyphosphate 5-phosphatase 5 [Source:Projected from Arabidopsis thaliana (AT5G65090) UniProtKB/Swiss-Prot;Acc:Q66GQ6] LTAGNVLVVEDNEPASRWLALIHQALNEPQEQPDDEDDPPPPEPPPPAEARRHHHRRRDSSLFFQTPSLKVLSNSYRVDSALVKTCNCSSEPSSMRRRATEIRASVYRAEAEAEAEAAAASMSAAAAGGGETSTSGRNDTDAAADDAGGTPAVQCEPGCGGGMSYCLIGSKQMVGLFLSVWVKRELVEHIGHLRVDCVGRGIMGWLGNKGCIAISMTLHRTSFCFVCSHLASGEKEGDEVRRNADVAEILRSAHFPRACKLPGSHRVPEKILDHDRMIWLGDLNYRVSLSYEETRTLLEENDWDELLKKDQLIIEREAGRVFSGWNEGKICFAPTYKYTHNSDAYAGETAKSKKKRRTPAWCDRILWHGAGIEQLQYLRGESRFSDHRPVCGVFAVEVDTDDGSKIKRGYYSVNARLGHDKP >Sspon.01G0003760-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:10629717:10639032:1 gene:Sspon.01G0003760-1A transcript:Sspon.01G0003760-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:chromatin remodeling 34 [Source:Projected from Arabidopsis thaliana (AT2G21450) TAIR;Acc:AT2G21450] MRSKWMGSAGQKRHTSSPGTQSQSNIFIHNKRLRLQFLQQVNELKAGSVTKDFKAIIAKRRELFGIIERLRQVPIEQLYSSPLPKSSDARLGNFGKIGSSYSPDNVIDLDADEGNVEYHTQVNAGNTEAGSTASAVDSGDKDRVKSFGDDNSSSNQNDNYIQQNPLLEHPVGHQEIIRPDNCNSSTEPQALVKQVNDGMDNDDVSAEPQYFRAAAIDEASTWKHNTNTENGLKEKGKIGRTIAKHVGSYEVSCEILQNEPQSNEGNHHDNGSLVDELDDLWMGMSVALACSEQTNQVNLSIVPFESNSEETEDACNHDFLLKDDLGMVCRICGLIQQRIEKIFEYSWKRRNQAYRTYPIKQRNSGDPDATMNALGTILSVAPDTLSVHPQHSEQMKPHQVEGFNFLIKNLADEDNPGGCILAHAPGSGKTFLLISFVHSFLARYPAGRPLIMLPKGILGTWKSEFLRWQVENIPLYDFYSSKASSRPEQLKVLKLWEESKSILLLGYQQFAHIISDNSSDRETIMCKEKLLRVPSLVILDEGHTSRNDQTDLLSALETIRTPRKVVLSGTLFQNHVSEVFNILNLVRPRFLKMQRSRAIMKSILTKLDMSGMAMRSKTISEKVFFELIEDNLQKDSKTMRVMIIQNLRKLTENILHYYQGEILKELPGLVDFTVLLNMSSKQEYIIKGLAGLKRFEAHAKCNAVSLHPCLKDVKIVDKKNRNISKRMMDSIVCGIDISDGVKAKFIHNLLSISEAAGEKVLVFSQYVRSLHFLETLFTKMKGWKPGVNTFLMDGSSTQEQREQAIERFNNSPEAKVFFGSIKACGEGISLVGASRIVILDVHENPAVMRQAIGRAFRPGQSRVVYCYRLVASGSSEEEDHHTAFKKERVSKLWFEWDELCSNEDFELAKVDVSDCKDMFLESPALQADIKSLFKRYVLMQRVSLVAAIPLDPGVGRGGP >Sspon.03G0014250-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:44772308:44779944:1 gene:Sspon.03G0014250-1A transcript:Sspon.03G0014250-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVAALHRPPVAVGAGRRSARRQARFALRASSLEASAAAVTVAVDGSAAAGAAVERKEKVQEDDEGLSSVKEEEQERGRLEVLYDDGFGSVTVKDYFAAAKVLCSRDDGGPPRWFSPVECGQPAVDDAPLLLFLPGMDGVGTGLILHHKSLGKVFEVRCLHIPVNDRTPFEGLVQIVEKSIKHEHDSSPDRPIYLVGDSFGGSLAVAVAARNSQIDLVLILVNPGSYIVCKDSIAASIASTGINAKRVSCDPLKMAAVSIENNLSPPETLQKLSDSLTSMLPLLSELSDIIPRDTLLWKLKLLKAGAAYANSRLHAVQAEVLLLASGKDNLLPSGDEADRLFKSLKNCRVRYFKDNGHTLLLEDGVNLLSVIKGANMYRRGRQRDFVTDYLPPTLSEFKQTFDVDHKLFHLALSPVMMSTLKNGKIVRGLAGVPDKGPVLFVGYHALMGIELSPLYEEFLREKKTIVRGMAHPFVFGKKFESSRQEISRIDTVSMYGGLPVTPINMYRLFERNEFVLLYPGGVREALHRKGEEYKLFWPDQPEFVRMAARFGVTIIPFGCVGEDDVLELVLDYNDQKNIPGLREWIESINKEVERVRDSVKGEDGNQDMYLPALLPKVPGRFYYLFGQPIEMKGMNNLVRDRKRANEVYLRIKSEVEEIMSYLKWKREEDPYRSIWQRAPYQATWGASAQVPSFEP >Sspon.04G0014620-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4A:54617589:54619271:-1 gene:Sspon.04G0014620-1A transcript:Sspon.04G0014620-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTGSDGGTIRTPENGPQRARDWWSGRDGGGMCVRDKAGAFESRWTGCKNGEWNQGEERKGEGYPYPFGQDPRLRTCARSLLRQSNATAISIRRGPHSRSGAPSQRRRASNERSLRQSQASTRHGTSGNGFPGAQPPHSPFTQAYVPPFHCCMDPKSEIGSGATRLLHAYM >Sspon.04G0017820-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4A:64742296:64742880:-1 gene:Sspon.04G0017820-1A transcript:Sspon.04G0017820-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGAVIVHHHTRYKTYPGELTGIVVFSCLIASVAGCIFGYDIGLTWAWLALTLTSYGCCCHRRRRGGREAGLTSSEPYMVKFFPSIYEEMKKQVVVNQYCKFDSQILTLFCSSLFLSATVAAFFAGPMTRSFGRKWTLFSAASAYVAGACIGGVSVNFPMLLTGRILVGAGVGISIQ >Sspon.08G0012490-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:51568493:51571177:-1 gene:Sspon.08G0012490-3C transcript:Sspon.08G0012490-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSRGAVRSLLDRLRPPPLRWNWNWNPSSAMPPPPPSAAANRACLCRFAHSTARCGGESGRTLPGNKAVFLDLGAGRRFAPSGALSLRGCLGWQDDGGGEFRRRVDGEAAGMKAQVLTTQRQLMRDPEVLLPLEEAAASAKSINGNGACRRGKPLGFPEQAVAAKMVVAVDVDEVLGSFLAALNKFIADRYSWNHSVSEYHVYEFFKAVLTSFFVQNSMQIWNCSRERDPFRESFRFGRSIKAKIRDLQILIDDNPRYALECANDGMRVLLFDYDNSYPWCKTGVDELHPLVTK >Sspon.01G0034500-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:5135703:5139292:-1 gene:Sspon.01G0034500-3D transcript:Sspon.01G0034500-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVQRLLRAAASGGSATATAAARRHMTSLATEQAPSAAAGFPFAAEERVRRRPMAERNVQWVFLGCPGVGKGTYASRLSRLLGVPHIATGDLVRDELASTGPLAVQLAEIVNQGKLVSDEIIFNLLSKRLKKGEDQGESGFILDGFPRTVKQAEILDGVTDIDMVVNLKLREDVLVEKCLGRRICGQCGKNFNLACIDVKGENGLPAIYMEPLLPPNNCMSKLITRADDTEEVVRNRLRIYNDMSQPVEVFYREQGKLLEFDLPGGIPESWPKLLQVLNLEDQEELKLAAA >Sspon.03G0036960-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:95838235:95838687:1 gene:Sspon.03G0036960-1B transcript:Sspon.03G0036960-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VPRQGPGRAGPDGAVGRAHGGAGPLRGVPLPHLQRHRHQRHLRGGAPAHRLPLHGRRRQPGAAEAAGARRLRQRLLPGPDRPPRAAALGPGAVRRRQRHHGRARARLRRQRDGLRGRLRRRHGQDGKPGTARGERRRQCRGPAQLPASELI >Sspon.02G0013980-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:30549350:30554402:-1 gene:Sspon.02G0013980-3D transcript:Sspon.02G0013980-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEGRAWNGAAGSGHGGGVEAKAITAAVSAAEVPRLAVSVDISLPLPEMSPDIIFLCKELVSGWCKIDSSRFSIETVSGGITNLCEFLFLCLTVAWLVAPSRFLTFSPEQHVLKVSVKEDNGNESSVTVRLYGPNTDLVIDRKRELQAIPYLSAAGFGARLLGIFENGVVQSFIYARTLSPAAAALKFEDNEQQKTYEKISFREIQDEVQELKDLLDILNAPVVYAHNDLLSGNLMLNDLEGKLYFIDFEYGSYSYRGYDIANHFNEYAGFDCDYNLYPDKDAQYHFFRNYLRPGRPSEAQAQDMEVLYVETNTFRLASHIYWALWALIQAKVSPIDFDYLGYFFLRYGEYKKQRESCFSLAQSFLSELRNG >Sspon.08G0019260-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:11030197:11048276:1 gene:Sspon.08G0019260-1B transcript:Sspon.08G0019260-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAGWLCSWLLRLIKVKLLCLFCSVLAGLARRIIENDLFKHDWRSRSNVEVLQYIFLKWAMAFLVGLLTGVIASLINLAIENISGLKMLHMVNLVREKRYWAGFLYFAGVNFALTFVAALLCVVFAPTAAGPGIPEIKAYLNGVDTPNMFGAPQLIVKIIGSIGAVSSGLDLGKEGPLVHIGACLANLLSQGGEGRWRLRWRWLRYFNNDRDRRDLITCGASSGVCAAFRAPVGGVLFALEEVARGGGARCCGAPSSARPPSWWCCAASSRNGRCGMFGEGGLILFDVSDVTVRYHVGDLLPVTLVGVLGGVLGALYNHVLHQVLRLYNLINAKGRLAKLALALAVSVFTSAGLYLLPFAVPCTPCDPAFGDACPTVGNSGNFKQFNCPAGYYNDLASLLHATNTDATRNIFSTGTAGEFRLDSLLIFFAIYCVLGLFTFGIAVPSGLFLPIILMGSAYGRIVALVLARFVRIDHGLYAVLGAAALMSGSMRMTVSLCVIFLELTNNLLLLPITMFVLLIAKTVGDAFNPSIYEIILDLKGLPFLEPKPETWMKDLAVGELAAAKPRIVTLQVIEKVSTVVEVLRSTPHNGFPVLDRPRPGVSELHGLVLRSHLMAVLKKRWFLTEKRRTEEWEARERFSSTELAEKSGSIDEVAELDMYIDLHPFTNTTPYTVVETMSVAKAVVLFRTCALRHMLIIPKFQGPEIAPIVGILTRQDLRAHNILGAFPHLANKRKETSFPISFDWAILSENDTSPNNQIKGRLAGQWRGQATQNAKNP >Sspon.08G0010170-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:42523877:42527997:1 gene:Sspon.08G0010170-3D transcript:Sspon.08G0010170-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATQPVTSPSPGPPEEQDDGRQPHPRVPNGYVMIVVPNDGHELPASSSCNEDAGAADADAPEEEEEERPRPPGARATSSLWRAVVAVLALAALAVAGYAGGDAAGAAWRLLETREEDDGGDEGPAGRGSFLLPLYLKPRHGIPQNSTGNLFPEGMYYTTVSLGNPPRPYFLDVDTGSHATWIQCDTPCTSCAKGAHPLYRPARSNLVAASDPFCEGVQRDNPNQCDYDISYADGSSSTGVHVRDNMQFISDDGERENADIVFGCGYDQQGILLNRLENTDGILGLSNQALSLPTQLASRGIISNAFGHCTTRDPSGGGYLFLGDDYIPRWGMTWVPIRDGPADDIRRAQVQQVNHGDQQLNVQGKLTQVIFDSGSTYTYFPNEALIKLISSLKAASPRFVQDDSDKTLPFCMKADFPVRSVDDVKHFFKPLSLEFEKRFVFSRTFNIRPEDYLIISDKGNVCLGVLDGTTIGYDSVIIVGDVSLRGKLIAYDNDANEVGWIDSDCTNPRRQSRIPSFLRRTLHNQFL >Sspon.05G0005590-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:17961942:17963348:1 gene:Sspon.05G0005590-1A transcript:Sspon.05G0005590-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDTVESIAVVAVPFPAQGHLNQLLHLSLLLASRGLSVHYAAPPPHVRQARARVHGWDPRALGSIEFHDLDVSAYDSPPPDLAAPSPFPNHLMPMFETFAAAARTPLAVLLQRLSATHRRVAVVFDRLNSFAATEAARLGNAEAFGLQCVAISYDLGWLDPGHQLISDYGLQFLPPDVCMSKEFVDFVLQMEETERGAPIAGLVMNTCRALEGEFIDVVAAQPPFQGQRFFAVGPLNPLLLDADARTTPGRQARHECLVWLDTQPPASVLYVSFGTTSCLHAEQVAELAAAIKGSKQRFIWVLRDADRADIYADESGESRHAKFLSEFTKETEGTGLVITGWAPQLEILAHGATAAFMSHCGWNSTMESLSHGKPVLAWPMHSDQPWDSELLCKYLKAGLLVRPWEKHADIVPAQTIQKVIEEAMLSDSGMAVRQRAKELGEAVRASVADGGNSRKDLDDFIGYITR >Sspon.07G0022240-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7B:6916768:6916994:1 gene:Sspon.07G0022240-1B transcript:Sspon.07G0022240-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding PSALMLIFPVSSSLSFDAFCRFYDMVHRLRSMRESSPLGPFGYACLCLRGLLGVQIFISETFSSKASLDDVSSL >Sspon.04G0009750-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:29090086:29091631:1 gene:Sspon.04G0009750-4D transcript:Sspon.04G0009750-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPVNLALHRWNNEEDNDRTAANDSPRVNSLRAVTSLNRPVPVPVAPMRIISATRPASLQPQKNLLPAGYLLRSHCHLHHTPPSFASACFAMATLARHLILCSSSPHNAAGGPRRPTPPQARTGSRRRRAVHHASAGGGRVHGAGGHGRAVGAPARAAPPAMAAEAAAEAAAVPTTTPGGTVPRWGTRSYVRERYFEPELTAEEAAARIRQTAEGMRTLRPMLETMSWKYVLFYVRLKSKYLGLDLTTAMAGVPVGRRADYVRVANELVDNMTEFDRFVRTPKVYESYLFYEKTLKSLDDVAEFLA >Sspon.02G0034040-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:9948232:9950114:1 gene:Sspon.02G0034040-1T transcript:Sspon.02G0034040-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSFANVNSEAGLKKLDEYLLTRSYITGYQASKDDLAVYSSFSSAPSSKYINVARWFSHIDALLRLSGVTAEGLGVKVESSAVPSASTPDVAEAPAADEDDDDDVDLFGEETEEEKKAAEERAAAAKASGKKKESGKSSVLLDVKPWDDETDMAKLEEAVRSVQMEGLLWGASKLVPVGYGIKKLQIMMTIVDDLVSVDTLIEDHLCAEPVSEYVQSCDIVAFNKI >Sspon.02G0043110-1P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2C:93237327:93238412:-1 gene:Sspon.02G0043110-1P transcript:Sspon.02G0043110-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSATPPPLKNELVEEILLRIPPDSPASLVRTGLVCKRWCHLVSDPGFQRRFREFHRRARAPPPMVGFLTYSHHDVATRFVPSSASSDLLPHAILRGLKPCDSRHGRVLLHTTGCEHDPIRLVVWNPITGDQLELPEPPLRLPYVWAAAVLCAAASTDGGGACDHLDCHCGPFTVVIVGTGYVKNKTRVYIYNSEAGAWSEQAAYARYSGGSIERSVRSTLVGNTLYFLLRKFMIGHPYKNILEYNLVTRGISVVKLPEACRSKTFELPFGPIEFTTMEDGRLGFVRVEDDSRLCLWSRKVGEPGWVLCKAIRLANLLPFHDDPWEWAKWRILFGSAEGVGIILLTFKGDLFTVDLNSGQMTK >Sspon.08G0020800-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:34073613:34078160:-1 gene:Sspon.08G0020800-2C transcript:Sspon.08G0020800-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAATLRSLVGIAAAGGRRVRACGQRWDAGWRGFRAGVFRGGGRAAPRDSSASTARQAGPLRGAATMAGSKGWVTGGFQSEDGKLTCGYSSYIGRRSTMEDCYDIKLTKIDEQPVNLFGVFDGHGGNLAAEYLKENLLKNLMKHPEFLTDTKLAISRTFLETDGDIIETISSSFRDDGSTALAAVLIGNRLYVANVGDSRAVASKGGNAVPLSKDHKPNRTDERKRIQDAGGVVIWDDTWRVGGILAMSRAFGNRLLKQYVTAEPDIQEEEVSSDLEYLILATDGLWDVVRNEDAIAILKAEDGPQAGAVKLTEIAYARRSTDNITCIVVQFHHDK >Sspon.08G0020080-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8B:16971489:16972458:-1 gene:Sspon.08G0020080-1B transcript:Sspon.08G0020080-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARDMLNWDVITRGVLLSACYCCLDRSSNRIIISRHVVFDETSFPSRGFCSSYTQDFEFLDSTDPVLAPPVPSFLCLQVLPAPLLHSHVRLCFQGAAPMACCCSPGPWRVCKVQIG >Sspon.04G0011320-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:36584268:36585236:-1 gene:Sspon.04G0011320-3D transcript:Sspon.04G0011320-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MERLRRRPEPAAIDITWVSCRGVRSSLPFHTPCLYASVSVTPSSAKNAHGNRRPHRVKTPTDRAGGENPEWDAPLRLYLPEPEASPPPAERELEAGKNRKDRGDDDVLLVRFELKAEVAVLGDVLAASAAVPVPDLVADGRTRRVSYQLAGPDGRQPNGVISFSYTFHQQRNDGNHQSGDAELVVAPPCPTPTVSSPVAQPPPTAAAPRLYPAIEWPLTEEIPIYHTLLPAGAAAVTLANSRYYPPPPPSATPVEVEPVAVYPPATETSSCSLYPSVLPASAMYPPVDLAPVSCYPPASPTPYGVERGYGAAPRWDHRCLYG >Sspon.01G0029580-1P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1A:102730344:102733549:-1 gene:Sspon.01G0029580-1P transcript:Sspon.01G0029580-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GLITSSAMKSYRLSELSDAEVSGLKARPRIDFSSIFGTVNPIVEDVRVRGDAAVKHYTEKFDKVTLDDFVVRVSDLPDAELDPVVKEAFDVAYDNIYAFHVSQKLPEKTVENMKGVRCKRITRCIGSVGLYVPGGTAVLPSTALMLAVPAQIAGCKTIVLATPPSRDGSICKEVLYCAKKAGVTHILKAGGAQAISAMAWGTASCPKVEKIFGPGNQYVTAAKMILQNSEAMVSIDMPAGPSEVLVIADKYANPVHVAADLLSQAEHGPDSQVVLVIAGDGVDLGAIEAEVSKQCNALPRGEFASKALSHSFTVFAKDMVEAISFSNLYAPEHLIINVKDAEQWEELVENAGSVFLGQWTPESVGDYASGTNHVLPTYGYARMYSGVSLNSFLKYITVQSLTEEGLRKLGPYVAKMAEVEGLEAHKRAVTLRLQEVEATVTV >Sspon.05G0031380-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:4731672:4731938:1 gene:Sspon.05G0031380-1T transcript:Sspon.05G0031380-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSNEQPKLARRREVAATGVVRVLCGAEKERVVATHKAPGACPRCGGAVVAVDVESERRILGLPLCLKSKRKYSCTRCFRRLVTLYS >Sspon.06G0006400-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:22021556:22023727:-1 gene:Sspon.06G0006400-3D transcript:Sspon.06G0006400-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPPRRRRMLALPAVCPCEAIAPAPLLASLLSLAADVAGLRAADDLDALPALRGAAREAVRIAGLLLAFLDGIRVPPAEAAPAVLVLALSELHVALQKLRLLLADCARKGARLWVLMNADLAASELRLILGSVATAMDVLLLPGHGHVVVGAAPSADADAEEIAGLVSRHAWRAAARLRPDPDDDRAARGVRTVLARFATGVTPDAEDARLVLARVGLATWSDCAEEAAFLEAELLERLETGSDNDNDLVLIAGLMAFLLYCRVVLFDRIDDSGKADKAATANRLPPRCAAAFNDLSPEALQCPITLELMTDPVTVATGQTYDRASIKKWIKSGCRTCPVTGERLRSAELVPNLAARGVIEQLLLSRGVSVHEPSSKHRCAVDKTATPFGEAAAGGVRLSAAFLTARLSSASASGGAPEAQKRKATHEARKLSKRNVFYRACLVEAGAVPWLLHLLSSADASVQDNAVAALLNLSKHPAGRRALVEAGGLGLIVDAVNVAAKVEARQNAAAVLFYLSSNPEYCEEISRIPEAVPTLVRLARDGAYRGRKNALVSLYGLLQCADAHGRAVSAGAVDALAGLMLQGSSSASATGDDDHGDLALDAVALLGRLAEQPAGARAVAASSELVTRLVDFIGEAASRSAKEHCVALLASLGRHCGDTVLALLGKLPGLMPALYALIADGTPQAGKRARWLVNEIHRHYEQRQTPPSAPAPATGDRVIRV >Sspon.05G0011340-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:26889663:26891575:1 gene:Sspon.05G0011340-2B transcript:Sspon.05G0011340-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAFTRRRHGAAAPGVEWAAVSGAGAWSVEEVGKHQLMRRTGLPARDLRALDPALSYPCSIVGRDRAVVVNLERARAVITATEVLVPAPRDPAVAPLVRNLLARLAASPTPPQASEEDEAAEDGGALPPSPGGVGGGSGGRDGQASARDKALPFEFRALEVCLEFSCKSLEQETCTLEKEAYPALDELSSNVSTLNLERVRQIKSRLLAISGRVQKVRDELEHLLDDDVDMAAMHLSDKLAYQQTADGRSSRFGTNKEPSEFDEERDREEDEEGEGSSSEGGNGIGTSIGFTPKIDELENLLEAYFMQADGTLNKLSTLREYVDDTEDYINIMLDDKQNQLLQMGILLSTATLVMSCAIAITGVFGMNITIPLYTASTEGVFWEVTGSIVGVTAAIYLVALIFYKRSGIL >Sspon.05G0018000-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:76984343:76987914:-1 gene:Sspon.05G0018000-1T transcript:Sspon.05G0018000-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGWLIISVAILRVQPDVKKLFLLIRAADVESAKQRVETEVTGREIFQILKEKHGNDFEGFIKEKICPLLGDVMYENLGLAPAKLREVCKEIDIIVNGAAPTNFYERYDVAFDTNVMGAKHICEFAKRCSNLKMLLHVSTAYVAGEQEGIVLEKPFHLGETLREGTQLDIESELNLIKETRRELKANCSSEKAERRTMKELGLKRAREFGWPNTYVFTKAMGEMLLGHLRGDLPVVIVRPSIITSVLNEPLPGWMEGIRTIDSFIIGYAKQALSIFLVELDLIMDVIPGDMVVNGMMVALAMAAHSEEQGQQQSIYHLTSSVRHPAPYAVLAEYGHRYFLHNPLRSGAGAKNSGEPVRPSRMRFFRTLPRFRAYMAVNFRLPLEILRLLNIALCGAFSRRYDELSRKYRYVMHIAELYAPYALFKGCFDDSNTERLRAVMADNNGRDKRSKGYDNFGFDPKCIDWDDYFYRVHIPGVVKYLCD >Sspon.05G0009690-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:19157518:19164458:1 gene:Sspon.05G0009690-3C transcript:Sspon.05G0009690-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSASSRHQVTITLGRSGQSRFSRKAAREIRADDLEEVVKRRAVSDDNNDDEVPYSGKKRSVRDRLGSNVSDSDFYESQQRNKRRQTESNSSHGDDGSGLELKISGTTYSVALACKIFEDRLVGKDDLRLKLMRKGLLQRSNGGAEQNGMDLREKLSRNHKKLPRYDSRGHAAESRASYDMRDEPPELRSRYSSREDVLGSRHSSVVSRVPYARSVDDLLKLDSSRKSYPSLADDGLRHRSPERILSVRSDVSPPIAYDQIRPMPPLRSAGSSRPQSFITRDGPDTSRSQPYAGKSTISVDTVQRTNGITPSSAALPKAPVMAEAPLTVTGLLNSLGLEKYLVLFQAEEVDMAALRQMGESDLKDMGVPMKWSSELILNTGPEEEDSACSWPSVETTAKMSNMVWGYEHLLATENIGVPDSRYCISNYPWWLS >Sspon.05G0038630-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:50655668:50674513:-1 gene:Sspon.05G0038630-1D transcript:Sspon.05G0038630-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVADAKLAPGGDIETNVDGGAWTSLCGSNTGYSPAGAASHESPSIMEARQLGCGEEAARWRWTRQTGSDGECGAEKAAQRWPLGMGHDVAGARRGVGWVDGHGDAGRKRRSEHRGMRMERADSERREASVRPDVFIIIVLLGQEGKRWDWLTWWCSSQDGREMEVRQDLTGVKDARGLPASAAVRPASKTTTAAGRGRAHALPDSAAARLASTTTIGGGDQAVGIEMTAGIVTTVVGGVVGREGKRSVAVGKDGGAQVVSSSHGEGEGDAKNYHTAREGGSRLRSARFPFAFPVSFCERWRQLPSTIAMPLPSDPGPAVQGPASSILPHAGKHSLPRSPVDLDRRSISIADRRFTRISDELAGCFNADDGGGEGAREPTALVVSPFGKVWRVEVGRDGDGAFLGRGWAEFLAAHGVDLGWFVGGGALTVKVFDTSMCIKEFGAPAAGTKDASFCLETQSGRRSKQRALDCPILLHQACKGPLEAATTTELWSEYVQWSSRTLAKGSRNPKMDPGFGAIYAGAKVTQLGVVDLALTARLGANDDGARLGANSDGAKVWHGGLAPTSQAGASASHLGQAQEMSRSQNPHQQITSSSAGDQNRDDGPRADPLGLALNVGSTNGHLAIATTVDELAIPCHVVEAMTPETSLRQLASGGIRRDGGGALAGACMTQTCAGEEHGSTHCHSSSSSSIGRDGSPDHGTRGPGRAERMHDSDAGVVTGGDPSTMAERILGHNIDRVGEVSWRGFTSPRSETEDGSSSAHWRSLEQPNGAVGKEREEGDLSVALEEDWVVVEAIVAVGEEVAPRRGVGVAGGGRWTTVPEQPGPEWEPASFDPSMGRLGGGAESASSKVRRRDSSPAFPTFGIRAVRVRERCLPRRLAVVRHRHHRAVEFPNRQRRAEGAERVVKEASGAVQYPMLTRSNYQEWALLMKVNLQAAGLWHAVEPEEGEEVLIEYREDRLAMAAILRSVPSDMLGSLARKRTARSTWAAVNLREANTQHLLRQFGDIGFNEGESVDDFSLRIMSLANNIRTLGHDITDAQIVKKMLQVSIETLLDVNELSVEEVVGRLRAIEQRKKKPASTGASSNVDKQGRLLLTEKEWMARLKLRESGDGSGSGKRGKMRRGRGGGSGGGRDGKARDSSGKPQERNPDKCANCGIKGHYAKDCRKPKWEQKAHVAEVEEQQALMMATATSTSTITEPSTISAQSSTRVEIHEAEVFVEHGPRIDKDARRWVLDTGATNHMTGSRSAFAHLDTNVIATVRFGDGSVVEIEGWGSIVFVLKNGEHRTLAGVYYIPRLVANIVSLGQMEEAGYHIDLYDGALRIYDEARDLLTKVPRGNTRLYILELVIGRPVCLAARSSEAAWRWHERFGHISFKSLRSLATKQMVRGLPHLDHIDQVYDSCLAGKQRRTPFPSQAKRRAEHALDLVHGDLCAVTSFFLLLVDDMSRYMWLHLLSSKNQAAAAIKNFQAAVEVESGRKLKFTSVEFGEHCARRGVQRQLTAPYTPQQNGVVERRNQTVAKALPSFFWGEAVHTAVHLLNRAPTRALDGKTPSLPAHVWVRLQKLDDRSTPTIFVGYESGSKAYRCYDPSTKRVVISRDVVFDEVARWDRSSSPDVHPGDDEPFTIEFVTEAVQVPGAMLSASPPPAQSPSPGPAAATTPQGSPGGASPPYFATPPADVDHDALDADHDDDAPLRFRAIDSIVGPASPPGLAARVLDEELMFTSADEPASFGEAERVACWRQAMRDELRSIEENATWEPVPLPAGHRAIGLKWVFKVKRDELGNIIRHKAWLVAKGYVQRAGVDFDEVFAPVARMESIRTLLALAAHEGWKVHHMDVKSAFLNGDLREEMYVSHPPGFIVGNDDGKVLHLHKALYGLRQAPRAWNAKLDESMISLGFQRSSSEHGIYTRSTGTSRLVIGVYVDDLIITGTSGDAIADFKRDMMRLFSMSDLGLLSYYLGIEVTQTKAGITLCQSAYAGKLLERGGLGACNPSALPMEPRLKLSRSSTTPTVDATAYRRIIGGLRYLVHTRPELAFAVGYLSRFMEAPHEEHMVAVKRVLRYVAGTRTHGLYYTRREEGQARLTGFSDADMAGDVDTRKSTTGIVFFLGGNIITWQSSKQKVVALSLCEAEYIAAATAVYQGVWLARLVTDMAGVEPGVPELKVDNQSAIALSKNPVHHDRSKHIDTRFHYIRECIDENRIVLGHVSTEEQLVDILTKALGRVRFLELRELIGVVTISGDGMN >Sspon.03G0028520-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3B:9750336:9754138:-1 gene:Sspon.03G0028520-1B transcript:Sspon.03G0028520-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSSGRGSSMSFFSRGCRIASFGSGRPTASILLHRHTALSSLAVPRSSERHTCGVLRHRPRSSSSGLGCTTASGPLIEGDAMVCNKRLLAPSASRKMRQRITCYARVFLQGSTWCRNREPTSGTSGSFRVPRYL >Sspon.03G0026750-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:8199502:8200812:1 gene:Sspon.03G0026750-2C transcript:Sspon.03G0026750-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLHASPGGAATPPWLARELRSDERGLCLIHLLLNCAAAAGAGRLDAANAALEHIASLASPDGDAMQRVAAAFAEALARRALRAWPGLCRALLLPRAGPTPAEVAAARRHFLDLCPFLRLAGAAANQSVLEAMESEKIVHVVDLGGADAMQWLELLHLLAARPEGPPHLRLTAVHEHRDVLTQTAMVLTKEAERLDVPFQFNPVVSRLETLDVESLRVKTGEALAVTSSLQLHCLLASDDDSSGGKDGCLHHHQSSNGKGGDTNKRQRSPESGVSPSTSRADAFLGALWGLSPKVVVVTEQEASHNAAPLTERFVEALNYYAALFDCLESAAPRGSVERARVERWLLGEEVKNIVACDGADRRERHERLDRWAARMEGAGFARVPLSYYALLQARRAAQGLGCDGFKVREEKGAFFLCWQDRAIFSVSAWRGRRFD >Sspon.02G0031810-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:89277128:89279636:1 gene:Sspon.02G0031810-4D transcript:Sspon.02G0031810-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVAATTGAGGVQVQLDLDLEAAAPKSAAPPQPAAAPMEREAKRHRVHAVPGPPAGAGAGAGGGGAGRRGRRRRVQAATAVQRLFQACRHVFRGPGTVPKPAEVQLLRDMLDRMRPEDVGLSPDLRYFRTPDATQGIPTITHTTIYKCPNFSMVILFLPRNAVIPLHNHPGMTVFSKLLLGTMHIKSYDWVDPEPDPSVSTCSSSSSSDGQLRLAKLVVDDVFRAPCDTSVLYPTTGGNMHRFTAIAPCAILDILGPPYSIEEDRDCTYYTDIPYTRHSMAADDTSDDLNGVDVEQSQGRLAWLKEIDMPTELKMCSVHYGGPPISDK >Sspon.06G0002100-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6B:5446033:5446734:-1 gene:Sspon.06G0002100-2B transcript:Sspon.06G0002100-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHTVADALGMLQFLEAVVEQARGAAAPTVRPVWARDLLLARNPPRPSFAHREYDEVPLDDPIALLADELVQGFVFFGPRDVAAIRDRLAPGLRERSSTTFDVLTAFLWKCRTMALQLPDADEEVRLRFTVCACHGKRGLRLPRGYYGNTVVLAVAVSTAGQLRANPVGYAVELVRKAKAEVDAEYIQSMADLMVLRGRPQFRMYQFAHTMQGRGRHRRPDMVTWPPMDRFTEE >Sspon.03G0008210-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:27236139:27237489:1 gene:Sspon.03G0008210-4D transcript:Sspon.03G0008210-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMTTTKQLRRVRTLGRGASGAVVWLASDEASGELLAVKSACAAGGAAAQLQREGRVLQGLSSPHIVPCLGSRAAAGGEYQLFLEFAPGGDMDDLLAAIHRIGYTDAVPEVPAWLSAEAKDFLAGCFKRHASARSTAAQLVAHPFVASAARDLPDAQPAKQEFPSFPSSPKSTLHDAFWDSDTEDDEADEMSTGAAERIGALACAASALPDWDSEEGWIDLQDDRSETVDAPPPAATEAAAAAVADYFVWAEPSDAELEHQFVAAAAADASTKRKFHARSIMMTGYKRWNPTALVTETE >Sspon.04G0000980-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:3206920:3208680:-1 gene:Sspon.04G0000980-1P transcript:Sspon.04G0000980-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSCGEQQLVCVTGAGGFIGSWVVKELLHRGYRVRGTARDPADSKNAHLLALEGAKERLTLCRADVLNRDSLHAAFAGCHGVFHVASPVSNAPELVPVAVEGTRNVINVAADEGARRVVFTSSYGAVHMDPNRSPDAVLDETCWSDYDFCKRTDEPVLLRQDDGRDHGDGGGGGAGPAAGRGAAVRDHGAHAAADAQHQHQPRRALPHGHQAVLPQRRRRLRRRPRRRARARPRLRAPQRVRTVPLHRHRAAPRPARRHAQGPLPAVPRHGQVRGRREANGEAVQVLQPEAQGPGLGVHSAEEELVRDRGVPAAEGPPACHPTAAARVLV >Sspon.03G0037080-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3B:96643064:96643197:1 gene:Sspon.03G0037080-1B transcript:Sspon.03G0037080-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFRNDGHLTVPESVGRQHGRKFAVMRRLEQPDCSPTQLNGASL >Sspon.07G0012990-3C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7C:53870928:53874774:1 gene:Sspon.07G0012990-3C transcript:Sspon.07G0012990-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding QLPEDIEWHFIGNLQSNKARALLAARGVLQRLHAGGVPVPAREAVYVVEAGDVVVHCADGPGQAFVEAEVTTYIFTFCWDLQRIQTARGVLQRLHAGGVPVPAREAVYVVETGDVVVHCADGPGQAFVEAEVTTYIWCQCMETMDVHRLTGNKAHDIPAFLCLSARASHQVLRRSCVSLLYLANHLTPIASIDECCTAASLDYHRTADKDGGGPVRARWPPGVLPSDRRSLLAVAAVSGRPTFLRYGIAGVPNLDMVESVDDEKIANRLDRVVADLGRKPLKVLVQVNTSGEESKFGVDPSGCVELAKHVKLNCPNLVFSGLMTIGMLDYSSTPENFKTLANCREEVCKELGIPEEQCELSMGILKWEAQM >Sspon.01G0011770-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:31686336:31688799:-1 gene:Sspon.01G0011770-2C transcript:Sspon.01G0011770-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLDSNGHGETGAQNAENIAPVSVKSPDTSLEIQEKLPHNLTEHQEVLGSPVGHAGSLSPEILSHIESARASDDSSKDEGDHAAPTNKDGVEIISENGFTGASIMPTAEIKSEEDNMYHHENIAVTPKKKAESVKGSEGSYRGLVDTSAPFESVKEAVTKFGGIVDWKGYRAQSLERRRVMQLELEKVQQEIPQFKKDWETAEVAKSHVIEELERTNRLVDELKHKLERAQLEVDQTKQDSELALLRAQEMEQGIDDEASVIAQTQLAVAKERHEKAVEELKLLKEELRSTHEQYAVLATERDVAIKRAEEVVCAAKDTEKQVEELTLELIASKESLELAHASHHEAEEHRLGAALAKEQDFLAWEKELQEAQAELHQLNEQIASKTNVESEADENKRKLLSLKSALAAYVENKLNEEAAMVQEQGSDEAKEISRSIKEALASKRKELAEFKGKLENARNEANLVRVIAESLSSELDREKALLATLQQSEGMASITVSSLEAELDRTKQEIEMVHKKEAETREKMVELPRMLQQTAQEAEDAKMAAHLAQEELRKAKEEAEQTKASATTADTRLRAVLKEIEASKESERLAIVAAQAMQESKETGSVGASPQGVTLPISEYRALSKRVHEAEEHANERVAAALAQIELAKESESRYLERLQEASRDMDEKKGDLQIALERAERANEGKLGAEQELRRWRAEHVQRRKAHEAAAQHAASPVRTPPRMFVEQKGSYQEENEHLTDPKLHKSTGSVDQFVSDEKLRKKKSFFPQMSTLLSRKAQTQT >Sspon.08G0015820-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:63143584:63144494:-1 gene:Sspon.08G0015820-1A transcript:Sspon.08G0015820-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPQSLDLGLSLGLGVAAFQPSFCHPAGNAVVAAAAEREASPAAAEERERRCSPAGSPVSSGSGSGKRAAAERSAGSGDEDDDGAARKKLRLSKDQAAVLEECFKTHHTLTPKQKVTLASSLGLRPRQVEVWFQNRRARTKLKQTEVDCEYLKRWCEQLAEENRRLGKEVAELRALSAAPAPAAPLTTLTMCLSCRRVASSSPSSNTSPNIPAAHAHAAAVASAGVAANGGMASPAAATLPAHRQFFCGFRDTGAAAVAVYGASSGLAKAVRAAR >Sspon.03G0019550-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:60876126:60876849:-1 gene:Sspon.03G0019550-1A transcript:Sspon.03G0019550-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSSTLLVILCLQAALVMGIFAAVAKENAVGESKAIDINPGQLKCCTNCNFSFSGLYTCDDVKKGCDPVCKKCVAVQTYSGKMFKCTDTFLGMCGPKC >Sspon.05G0017170-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:67060493:67065798:-1 gene:Sspon.05G0017170-2C transcript:Sspon.05G0017170-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMPSGPPNPMGPGQPVGGAAASLLRANSSLLSGGGQPGMMGGGGGAGGGMLSSQSPFSSLVSPRTQFGGNGLLGGASNVSSLLNRPPFGNGGPMPGPGSMQGGGMQMSTLQQRAGLDAAGDFIGVGGSDPLSFPSSSQVNLGNQMGSDNLQATSQQQQQMNAVQDMQRQQQQQVPMSYNQQQLPPQHSQQLQQPQATVKLENGGSMVSIKSEQQMGQPDQNGPAQMMRSASMKLEPQQMQAQMMRSLSSVKMEQQTSDSSAFLQQQQQQQQQQQQQQQQQHHLLQLTKQIRNCPDLVSMGGPNTIANPQAAAAAQLTLLQQQRILHMQQQHQQQQQQQILKNLPLQRNQLQQQQQQQHQQQQQQQQLLRQQSLNMRTPGKSPPYEPGTCAKRLTHYMYHQQNRPQDNNIEYWRNFVNEYFAPNAKKRWCVSLYGSGRQTTGVFPQDVWHCEICNRKPGRGFETTVEVLPRLCQIKYASGTLEELLYIDMPRESQNTSGQIILDYTKAIQESVFEQLRVVREGHLRIVFNPDLKIASWEFCARRHEELIPRRSIIPQVSNLGAVVQKYQAAAQNSTSLSAQDMQNNCNSFVACARQLAKALEVPLVNDLGYTKRYVRCLQIAEVVNCMKDLIDHSRQTGSGPIGVSTLQPQQQQTEEQQAIPQSSNQSGQNSAPMAGVQPSASANGDVTSNNSLSCAPSTSVPSPSVVGLLQNSMNSRQDHPMSSTNGGPYNGGNVAIPKVNSTSSLQSNPSTSFPSPAPTTSNNSMMPAPQNTNQLSSPTTSSSIPPMQPPATRPQEAEPSDSQSSVHKILQDLMSSQMNGVGHLGNEMKTPNGLTHGVNGVNCLVGNAVTNNSGMGFGAMSGFGHGMRTAMANNQMAMGARMGMNHGAHDLSQLGQLHQQQQQHQQQHDIGNQLLGGFRSGNSFNNIQYDWKPSQ >Sspon.05G0012210-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5A:36405495:36407522:1 gene:Sspon.05G0012210-1A transcript:Sspon.05G0012210-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLKPYMHYTTIILFVYFEMCHAWCSYQSNRCRRAGEDVKQPRSRPGTIAKLSIKARANGGVNFKALKHLVIEYCPRLEGVVPRDCELPCLETLDILCCYNLKAIFYDNGPQSSSGGYKLLCLRRIRLHELPLLEHLHFSKPMLTAPTWKELHVRGCWSLRCLPRFSQQPDKAVEVSGERAWWDKLSWDQDDDAPPHRDSYEPRLPPAFASH >Sspon.06G0007610-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:26903931:26909381:-1 gene:Sspon.06G0007610-2B transcript:Sspon.06G0007610-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLAAGSPHPGAAFSARRRPLLHPAAAGRESILQQCWRCEKTQDSSYGSSLRISGLPRTVHRDISKNLLAPTAGAVSIEQAEAIADLPKGDMWSVHKFGGTCMGTSERIHNVADIVLRDPSERKLVVVSAMSKVTDMMYNLVNKAQSRDDSYIAALDEVFDKHMATAKDLLAGEDLARFLSQLHADITGHATESFSDFVVGHGELWSAQMLSYAIQKSGTPCSWMDTREVLVVNPSGANQVDPDYLESEKRLEKWFSRSPAETIIATGFIASTPENIPTTLKRDGSDFSAAIIGSLVKARQVTIWTDVDGVFSADPRKGREWLVSQ >Sspon.07G0000900-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:10752301:10768734:-1 gene:Sspon.07G0000900-2B transcript:Sspon.07G0000900-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTAEPSSPPPQRRPRTGPPPGLKNLGNTCYLNSVLQCLASTPPLATFCLASRHSNLCKKVFPNRDKECAFCVLERQIARLLRADAGALDSPAKVIRCMPLFAEHFRWGRQEDAHEFLRYVVDACHTAGLRMRKRLPVAIANGNCGEDGRGQGACLVMRETFGGALLSQVKCLVCKGESNKTDEIMDISLDLPGSSSVADALARFFQPEILEGANKYSCERCKKLTSARKQMFMLRAPKVLVIQLKRFEGINGGKINRNIEFKEALGLSDCMYNKNQDPQPVYNLFGCIVHSGLSPESGHYYAYVKDAIGQWFCCNDSHVSLSSSQNVLSEKVYILFYILNSKTQKPSTNGYSSTAAKPFSTNGTGISSTSSSETSKIPLVKQNGICSTKGNVLMPLKNGKTASGPLIKPIHFKNSAAEKVMSNGKVNLTSKINPEVNETAKSSESNGCKTGQFVGPSKNNADNTISCGERGQQSERILQDANGNGQPIHHSQYLGETSNGNAMPAQQETSNGNATPSQQETSNGNAMPTQQETSNGNATPAQQGTSNGKASHAEQYSDQSSHANSSGNKRHLEEHKFQEMLAKSANSELRLSVWMDDVYNFMRSQKRRRIQSSDIPQDFDAMRKQLKSDSASIFRAKIPESLRENLIKRLRSYFEGKYSRHNVIMLTRYPIQLPSHSSSLGTRQTVATLIERKEG >Sspon.07G0000050-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7C:15052153:15055255:-1 gene:Sspon.07G0000050-2C transcript:Sspon.07G0000050-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGLCSKVSAVDKSPSDTALGRNQVADHEPGASLELEKPPVSGEAAAQAKRLDEQQQQQSFSFLESVVPGVAFHAGANAGGETGSRTSPQLTRSLSQRAGVGKAKAGAAKVSEVSSILGRASTVGLEKAVEVLDTLGSSMTGLNSSSGFVSTSAAKGNKIAMLAFEVANTIVKGSNLMRSLSEPSIKHLKEVVLHSEGVQHLISKDFDELLKMAAADKREELEVFTKEVVRFGNHCKDPQWHNLDRYFEKRQSGYTEAGSEGSECDKACRYCPLLTFGDIRHLRSSWYNPYLWILLIVVAQSELLLSTWVS >Sspon.05G0010860-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:31051649:31055602:1 gene:Sspon.05G0010860-1P transcript:Sspon.05G0010860-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ESLRRRSGKKAAPLLLTGAALRPSCALAPHRRRPSSLCSPAPSSTTTRPSCPDLCLDLAPPPPDRAPTGARPQIPPVVGHQRTPCSLPPSPKHKAEIGGGRSDPGLPLQLSSGTKTSDVRASLRPRRFAALKKTAMAGAAVKRARAGGGSIRDRLSALPDELLLRVLSFLPAQQVVRTTVLSKRWTDLWRSVPGINLSLLDFRSNLEEDWSAVWERMADFVNNLLMLHRAPCLDAFRLVSVVDFDRHVDRWVRRAIKDDPLVIEISLSAFSSHQLPYLSSSPCRRLRSLHLNGVSLDHSFAERLHSWWPELKDLTLRNCCTVFCGIESDKLENLVVRYCIDQPADLGVPFSLDAGNSLVRASISLNHGEFSPRSGAMLLGSLFNVTSFEWEYFQATAVLDEVFDEVPIFHNLRTLSLNSLFINNNKSGVCELKALGRFLHKSPNLEKLMLEGF >Sspon.05G0013760-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:48184566:48187936:-1 gene:Sspon.05G0013760-4D transcript:Sspon.05G0013760-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHALIFLLVAHLLIFMLSNPIAILAADSTNNSEIELQALLNFKQGITNDPSGVLSSWNISGSFCTWRGVVCGPSPSRVVSLELNSLQLAGQLSPSLANLTSITRLDLGSNSLEGPIPKELGTLSKLQDLILANNSLSGIIPASLFKDSSQLVVINLQRNFLNGPIPDFHTMATLQILNLAKNNLSGSIPPSLGNVSSLTEIHLDLNMLDGPVPESLSQIRNLTVLTLGHNQFGHFPAELYNITSLRILDLGNNDLSGYYIPASLGNLLPNLEKLIMSGDNITGLIPPSLANASKLQEIDLSYNALAGPVPLLGSLPHLRVLNLGSNSLKSDNWAFITSLTNCSNLTMLIMDDNRLDGSLPISVGNLSSNLQRLDLGNNQISGKLPEQIGNLPQLQLLAMDQNSISGEIPLSIWNLSVLVVLRLSHNRLSGQIAPAVGNLLQLTQLSIDSNSLSGNIPASLGQCQRLTILKLSFNNLDGYIPVGLANITTLFSLDLSKNHLIGSIPQSIGLLEQLVLLNISHNNLSGQIPPSLGKCLSIQQIDLSQNNLTGQIPDFFNNFNALELLDLSYNNFGGPIPTGGVFQNTTTVILNGNIGLCVNATTSASVFPRRAHIEAAPCYKQTMKKLSYFDILKATNWFSPEGDLVFATLTLQCYLVPLVEVALLCSMELPKDRPGIRDICSKILEISEAFLKPRPGSLSCYDPNLLD >Sspon.07G0026190-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7B:52147252:52148965:1 gene:Sspon.07G0026190-1B transcript:Sspon.07G0026190-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRRRQRATPAPAGGQDPKRMRPGLVGAGGSEPLCTQGAGPTEVLPSGGVEKGSSSTPAMVHNLTVVDDEDVLDCGVCFHPLKPPIFQCAVGHALCSSCSAKLKDARKCHLCRVAMPGGYQRCHALERVVDSIVCGPCPHVPYGCDDARLVYHARQEHILQCPHAPCYCPGNACGFVGSVTTLVEHLAAAHSWPCTAEPSADGSFGVDLRNGFNFLTAVRGGAKYLLLLDMASTRFVHMISAVWIRSVPAMASNSSAPATVDTTCDLELRLWDMRSQFQLQGTTPSNRLPDPNASFHRFFFPNYLGGSDKATLHHKNSHGFFCVYDFGARPNSSLPPSRHASLHLMRLPPSLPRHRAAAPTPTVTATTRLPTPVPAPAMVAAAADLAPVGLLLLCDL >Sspon.08G0005710-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:14652402:14657201:1 gene:Sspon.08G0005710-2B transcript:Sspon.08G0005710-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGAEPRVAAWTPKTPAPEARLAALPRELRDSRMKIFSGTANRPLAQEIAAYLGVDLGKILIKRFADGEIYVQLQESVRGCDVFLIQPTCSPVNENLMELFIMIDACRRASARSITVVIPYFGYARADRKAQGREPITAKLAANLLTEAGSDRVIVCDIHSTQALGYFDIPVDHIYGQPVILDYLASKTISEDLVVVSPDVGGVVRARAFAKKLLNARLAIVDKRRQGHNMSEVMNLIGDVKGKVAILIDDMIDTAGTITSAAALLKQEGAEAVYACCTHAVFSPPAIERLSGGVFEEVIVTNSILLPEDKCFPQLTVLSMANLVAETIWHVHRDGSVSSIFQ >Sspon.04G0009870-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:20124883:20131684:-1 gene:Sspon.04G0009870-2P transcript:Sspon.04G0009870-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEPNKDNGKGKGKAVAGDHHAGAADQEQPESQEEFFSDSESGSESIEIADLKKRMWKDQLLLMNLEGRSGRDRAAHDGEAHLQLQPGTDDHSGASAAAARQVQQANKDQQESPESRYRRKAMLRAQDGVIRHMLKMMEACNARGFVYGVVDESGVPVSGSSDSLRGWWKDEVGFDRAGPMALSVAAAGLEAEGGPGSPMAASFLHGLHDIQDSTLGSLLSALIQHCEPPQRSFPLDRGLAPPWWPTGREAWWGMQGVAQAHQGPPPYRKPHDLKKAWKISLLSAVIKHLAPRFDQMRKLVRQSKRLQHKMSARDADTWSKVITREEALDRHAQRALKITPLDEEDDDGGPDDDVSGGDSPLAAAAHVEKRKRKVGGEGATGSSGGGVDVVGAGCRNGCTDGDLQRSGVRAHRGHDVALSLRNAERNELARMALPNELLALPDIDCVPDADRSSIDELMKLYYRCLQGTDDDDDDGEHEAKGAVAAVPDGGQHSGVAVGVTTQAAAPVAHDSMLQGGLQRGCGTAPDGSAAVHDADMLQSLMGIADVVDMSDFQNSPIWQWG >Sspon.01G0062040-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:99684200:99697379:1 gene:Sspon.01G0062040-1D transcript:Sspon.01G0062040-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MCRIDIAAPVLKEVTLEVDIGKGFSFSFSAPMVKKLRWGCSYSPYVSVGFGQIWHLMSIKEREVDEVRVISLIIMSSANPNGLLDAEWSITQVIAHLPVTAFSVLELDLEIKRHTFGPIMLYLLRIQPTIQRLKVVLARDKVRVPCPVRSEDASLVNLEVVEIHGLQGEDVEVDFLKIILRCATVLQRLTMTVFYDVSPSNTGYEKICSIMKEHPHFKELAQAYEVLSDPEKQEIYDQYELLPPPGLRLRRARGGAGLPPRAGAPAPRRDRRRRGGGDPWVAEAADLGRVFVSGESAGGNIAHHLAVRFGSPAARAELAPVAVRGYIQLVPFFGGVERTRSEAECPDDAFLNRPLNDRYWRLSLPEGATADHPLANPFGPGAPALDAVEFAPTMVIVGGRDILHDRAVDYAARLRAAGKPVEVRDFDGQQHGFCNIDPWSDASAE >Sspon.02G0052920-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:98104101:98104858:-1 gene:Sspon.02G0052920-1C transcript:Sspon.02G0052920-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SMASRTPPRSSPVIQIVKRGEGKEDFNTNDLKKLIDDHCSEGSKLQYAVVSIIGAQSKRFTAPLRAR >Sspon.06G0030990-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6C:57873180:57873488:-1 gene:Sspon.06G0030990-1C transcript:Sspon.06G0030990-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRTGFYDGTPLEGGKIADSQPRSRRSSSSRRNKKQQTRGRRPTRRTSSRSRSPSTWPRARGAWRRRRTLMLRRRRRSSRAAASRMWPGLPPVDVAWEWDARL >Sspon.05G0010220-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:31663494:31663736:-1 gene:Sspon.05G0010220-2D transcript:Sspon.05G0010220-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALDARSLPTAVSVPALEVCSGMPRTGNGGGVSSATAGAAEVSVVDVPALWTDEGRMKRELVAWAKAVASMAIRERMMQC >Sspon.01G0025200-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:87596772:87599369:-1 gene:Sspon.01G0025200-2C transcript:Sspon.01G0025200-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIGGEAGLVVGDGAGDDNIILNPEFDDGLDNWSGNGCKIELHDSLDDGKVLPANGKYFVAATGRTDTWNGVQQDVTARLQRKLLYEATATVRLHSSAAAGGAVAPCEVRATLGVQTADGRQQYLGVGKSQVSDKEWVQLQGKILLNSTVAKASIYIEGPPAGVDVLLDSLVVKHAQKAPPAPAPDFENLEYGANIIQNCNLDDGLNGWFPLGPCALSVHDGGPRVLPPMAQESLALDDEPLNGKHIHVTNRTQTWMGPAQIITDKLTLYATYQVSAWVRVGAQAQQASGAGAPQTINIAFAVDSQWINGGQVLARDERWYEVGGAFRVEAKPASRVMVYVQGPDAGVDLMVAGLQVFPVDRKARVKHLKRLTDKVRVRQVSNSFPLGACIMRTNMDNEDFVDFFTKNFNWAVFGNELKWYWTEPQRGQVNYGDADDLLRLCSDHGMCVRGHCIFWEVDNAVQQWVKTLSTDDLSAAVSSRINGLLTRYKGKFKHYDVNNEMLHGSFYQDKLGKDIRAAMFKTASQLDPDALLFVNDYNVESMCDTRATPEAYIQQIIGLQEQGAPVGGVGLQGHVSNPVGPVIRSVLDRLAVLGLPIWFTEVDVSSANEHVRADDLEVMLREAYAHPAVEGVMLWGFWELFMSRDDAHLVDAEGQVNEAGRRLLQLKREWLTHSHGHADENGEYKFRGHHGEYHVDVTTPTGKVSQTFTVDKDDAPLVLNIK >Sspon.02G0002260-1P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2A:7286688:7287080:1 gene:Sspon.02G0002260-1P transcript:Sspon.02G0002260-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVAARRLLQPRWVVGGRCRLLGTAAEASPDGEGARGGGGGSDDAIYVKKPSAAAAVMTRDETSVAMPTSFMTGSVVGKRFYRDATVRRADDGNGWTVMLDYRTLKSPAKRPLKLPSRALAMAIAAEWST >Sspon.07G0004200-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:7713783:7716109:-1 gene:Sspon.07G0004200-2B transcript:Sspon.07G0004200-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANGGGYRAEDDYDYLFKVVLIGDSGVGKSNLLSRFTKNEFSLESKSTIGVEFATRSLQVDGKVIKAQIWDTAGQERYRAITSAYYRGAVGALLVYDVTRRATFDSVGRWLRELRDHTDQSIVVMLIGNKSDLRHLVAVSTEDAKEFAEAESMYFMETSALDATNVDNAFSEVLTQIYQIVSKKTVEAPEEGAAGPGKGEKINVKDEVSAMKRVGCCSN >Sspon.01G0045840-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1B:93823692:93824345:-1 gene:Sspon.01G0045840-1B transcript:Sspon.01G0045840-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHLQFWLQAGYPTGYWSQLRARIGSQGQRTVQMENKLASHCDMELLKMAMLRHEETFRQQVHELHRLYRVQKQLMGGLSGPSSELSCRRQLRRRRRQPRRALDLHLRLPADECIVVTPPSSAEDGLELTLAIGSSGGRGRRRRRDETESTGTATPLGSDSDISGGSLLTTSSSTDTGVLPPYQRAMPAFRLQEATTVAKQPPRSPWLVQCVSLEMA >Sspon.01G0003120-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:8433554:8435351:-1 gene:Sspon.01G0003120-1A transcript:Sspon.01G0003120-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAVEDIVIAGAGLAGLATALGKGVRCVVLESSPALRASGFAFTTWTNAFRALDALGVGDKIREHHLLYERMIAFSAATGEAAAEVSLKIQSKSGPHEIRCVKRDFLLQTLANELPEGTIRYSSKLAAMEVDGSVKTLHLADGSIIKAKVVIGCDGVNSVVAKWLGLPKPILSGRSATRGLAEYPAGHGFGPEILQFIGHGFRSGVLPCSDTSVYWNYTWYPSPADGDAEESVAKMRSHVVARLRGAKIPAEALEVIERSEMSDVASSPLRFRSPLALVRGSISRGGVCVAGDALHPMTPELGQGGCAALEDGVVLARCLGEAFSGHEQDDEGRRVKAALEKYAEARRWRSIQLITAAYVVGFIQQSNNAVVRFVRDKFLSGLLAKTLVAMADYDCGTL >Sspon.04G0014090-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:56211734:56218702:1 gene:Sspon.04G0014090-2C transcript:Sspon.04G0014090-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGGAGNGLNGAVQAKGSGGDGSAKPLPPCCVKARAAAPESEAKCHATVVSGWFTEPRSRCGKASKVQYYNNPMWPGEANSLKVEKILYQGKSPYQEVLVFESSTYGKVLVLDGIVQLTDKDECAYQEMITHLPLCSIPSPKKVLVIGGGDGGVLREISRHSSVESIDICEIDQLVCKDFFPHLSVGFEDPRVQLHVGDAVEFLRNASEGTYDAIIVDSSDPIGPAQELVEKPFFETIARALRPGGVLCNQAEMG >Sspon.08G0002030-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:6439077:6443747:1 gene:Sspon.08G0002030-1P transcript:Sspon.08G0002030-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHVDVEMDQSAQPKNSRSLQRYCSRLSASSSTSVIPIEQSGGGSDTCTSGAGVVGTDGGEVGLPYLALSVGPPLCAFPARLAVPAMEREDGDGALDSWAQFCSLSNELLAGDGDLSVGPRLAPVVADLCTRGLATFVRDYFLHSLEETFRNNAVKKFWQHFHPYCSASTVERIKFCVKENWPEEILSKALEDICLEKDYQEKCVLVLVQAFQSYEDRAPQKKFKAVDCISSLMARYQLMVSSVLLTTLPLSFPEILNIYFKKKLEELNTIMSGSYESDQLVDHEPFQRSSTSDWHSGMDVDGSEVSESSSLVKNIGKVVRDLRCIGFTSMTEDAYSSAIILLLKSKVYELAGDDYRVPVLGCVKKWIQAVPLQFLHALLTYLGDSVDYDSGSSGLKSPLASRPSSFPGIGVPSESLVRWHMRLEYFAYETLQDLRIGKLFEIIVDYPESSPAIEDLKLCLEYTGQHSKLVDSFISSLRYRLLTAGASTNDILHQYVSTIKALRTIDPTGVFLEAVGEPIRDYLRGRKDTIKCIVTMLTDGSGGSASGTGNAGDNLLEELNRDAENQENADYDDHANIDEKQAWLNSESWEPDPVEADPLKGSRNRRKVDILGLMVSIIGSKDQLVNEYRVMLAEKLLNKSDFEIDSDIRTLELLKIHFGESSMQKCEIMLNDLIDSKRTNSNIKTSLLKTSQTVPGQEEAEVSHDVLDATIISSNFWPPIQTEMTSESGRINFF >Sspon.01G0050280-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:252574:257223:-1 gene:Sspon.01G0050280-1C transcript:Sspon.01G0050280-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PHD finger protein-like protein [Source:Projected from Arabidopsis thaliana (AT1G54390) UniProtKB/TrEMBL;Acc:F4HWW1] MAIARTGVYVDDYLEYSSTLAGDLQRILSTMRELDDRAHGIMGQTKEQIKYILGVSSHGYDRSNMDDDESERMKKDIEASQDNALNLCTEKVLLARQAYDLIESHIKRLDEDLGQFAEDLKHEGKIPSDEPTVLPLVPVVSRDDKRRFGFSTPQASKKFREREWDRERGMDFDLMPPPGSSKKAGTSMDVDQTIDPNEPTYCICHQISYGDMIACDNENCEGGEWFHYTCVGLTPETRFKGKWFCPTCRNLQ >Sspon.03G0034970-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:74657449:74658435:1 gene:Sspon.03G0034970-2C transcript:Sspon.03G0034970-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATDGQLREWVSDKLMSLLGYSKNVVVQYVIRLAKECSSTGDLVGKLVEFGFTSSAETRAFASDVYAKVPRRASGINSGDDEIVAKDSGRNVRQRTEEEDEEGGDGSSDEEKERIRDQQEKAQLERNMRERDAANTRKLMERQLSKEEQEELTRRSQAMDKNDTADLRKFSRQAYLQKRRDKKIEEIRDEILDHQYIFQDVKLTEAEEKELRYKMKIYDLVKEHVETPDDVGEYKMPEAYDMGENVNQEKRFSVAMQRYKDPEAKDKMKG >Sspon.02G0003450-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:11235334:11238571:1 gene:Sspon.02G0003450-1A transcript:Sspon.02G0003450-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPSIACPVKCCPHPRLAPQPPAPSLELLAARGAPAAGELRACRSPPYLSLSRSVDSFEPLAPCRGSASARRARAAVALAGDGRGGPSAAAALIASAQSRHAIFRDGLVRRAFAAAEAAHRGQVRASGDPYLQHCVETAALLAELGAGPAVVAAGLLHDTVDDAGLGYGFISEHFGAGVADLVKGVSNLSHLSKLARRNDTASRIDEADRLRIVFLAMEDARAVLIKLADRLHNMRTLDSLPKTKQQSFAKETLEIFAPLANQLGILNWKEQLENMCFKYLYPDKFDELSTNLIEFYNRDMIAAATRRLEQALQVRGLSYYAIYGRHKSMYSIYSKMARKKLAMDEIYDIHGLRVILENKADCFATLSITYGLEFLAKTLPLEIQIRTRDMHLQAEFGIAAHWRYKEGARSCSVSVSEMVEWVRWVVTWHCQTLKTDYPSSLAHDASSKETHSIPSHSDACLLSYSKQCDHKGPVLVILLENEKMSVKEIPQNWTILDLLNRSSNFGMPLRLRLNCHVVHNWNQELKMGDVLELIPSTPCKCRGYTREFHQKFDHRLAISQS >Sspon.07G0032510-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:50991202:50992479:1 gene:Sspon.07G0032510-1C transcript:Sspon.07G0032510-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AMSLKLSTCKATIELKGFVNKSKQGCTRKFTYISASKLVQEAFCSSNGLKVTGNTVQCNLKKGLDTIKHQREKNAGLVGLETIKMQQSELVLQI >Sspon.02G0036500-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:29727981:29733844:1 gene:Sspon.02G0036500-2C transcript:Sspon.02G0036500-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEERILVSVRLRPVNAREAERGDGSDWECAGLTTLMFRGNIPERAMFPASYTYDRVFNPECTTRQVYEEGAKQVALSVLSGINSSIFAYGQTSSGKTYTMVGITERSMSDIYDYIDKHPEREYVLKFSAMEIYNEAVRDLLSPDATQLRLLDDPEKGTVVEKLTEETLRDKGHLLELLATIESSAKQFMGRGNSSTLLACVNFVDLAGSERASQTQSAGVRLKEGSHINRSLLTLGKSSLGGNARTAIICTMSPAHCHIEQSRNTLLFANCAKNIVTDARVNVMMSDKVLVKHLQREIARLENELKFPGSASCSNHAEALREKDELIKQLEEQLKELMDQKDTVQSQLDNFRRVASDGNFNDHATRQWDQRNRSSESLPRNVSEDALSSSDTYDAVYEEQDDPGSKALDVSHEHCKEVQCIEKNELRRSQLFFPADGSHAGTNIDEEKHGENITDTSDIAIQLYTCDSDPSSDTEKTNSDESLALKRRPEKVRRSLYPENGHCQNDPTLDCLVVSRTVASDTVIDKNTYNEEDEDAINNISCITKVKEKCEPNATVTSSLRNLRHERDMLYKQMLRRLNLPERESLYSKWGIDLNSKQRRLQLSRRIWTQTDMEHVRESAALVTKLVEHLEKGQAIKEMFGLSFTLNPRGDRRTFSWCHLDDGLLNASVGAKPEEGDPITFILTTRERGRGSHSH >Sspon.08G0013100-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:65813743:65816151:1 gene:Sspon.08G0013100-2D transcript:Sspon.08G0013100-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHRHFLRLLDDPFFTVPPPPPSSSCPFLLDGVSDYPSPFPAASPFPDLDDLLLPPAPVLDPFFAFPPTPSPYAPLLLRDLTDRVAALELAVATARRGPEPPATRKRTYVTEAGGRKVKWTSVEKPRAGERTLRWEAEIKSPDDDGFDRKWKWEAKGGSSAAGGAGARKLKWGAAVKGKGCLEPWSQAYTWEEDFTASDSDDEEEERAADKNKNKTKKVTVKKEKKEEVKAVKIEEISDDNDAGCVAIKKAFAKGNGKGKRKELSPQDAALLIQMTYRAHLAHRSQVLRCLRDLAVAKAKLKELRSLFYNISYRHRIAHDHEERQRFSEKIIVLLITVDALEGPDYMVRTAKKSMLEELEAMLEVVDPQPPGKQRSLSRRKFDLPEGGAISDEKMAAANKAVRIIEAGK >Sspon.01G0054100-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:47544367:47554396:-1 gene:Sspon.01G0054100-1C transcript:Sspon.01G0054100-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding EFNSFIISLFLRYIQENYSKIRDVEKELESLTLEVKLTAGPKKAALEHLRKKIEISTEKIRLAKVKEEQAKKAWEVAAQVVKDEEDAKQKLCDDLNHLVQESAASQYARLEELKKRLESLNPSRDSINASGVYTAQHATLNSVPQQPTAQNPADVSGSQNIVTEPASMQQRPIPAESEKKRRPSNLSRGRGGVMILPKGRGSSGSGWTGAGFDVDSGT >Sspon.01G0032690-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:110028603:110029964:1 gene:Sspon.01G0032690-1A transcript:Sspon.01G0032690-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding EGPSRELRRRRPLRRLPLLHGLHVAVRRVCRRPQREEGAGAGPGGPLLLLFCSRRHDRHHGGPGFIRRRHRRRGREGGDAGARGGRGVEADEGDPEPVADVRGVRRRGGRGRGAGDGDRVPHGRAARGAHRLGRPQQHGGRRRRHGEHGERLLAALLPLPLPPPPRHGHGPRRRARLRLN >Sspon.05G0013830-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:42520607:42526568:-1 gene:Sspon.05G0013830-3C transcript:Sspon.05G0013830-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA repair protein RAD5B [Source:Projected from Arabidopsis thaliana (AT5G43530) UniProtKB/Swiss-Prot;Acc:Q9FIY7] MLVPVADDDSGWQESPSQAVLFADEIAAVRVVLGGALSEARVIAALSRCGGNAERAINALLDDAAEVGSAPKRVKAELDVGGVPAPAAVKAKAESIDGEVVSPVAVKVKAEPVDGGGRGEPRIRWLLRREGHRQGPDRFVPGAPVAAASRGAGISLVPRQKKRPREEVETIDLTTTHPVPYLNPRPIRALPPVGGDGEVYDPKPIQMVPPLEVQMHDRRSALPAPPPVDVEMYEQRPRPRPLRAAAPAPVTDMRMVVAPPDAEFGDFPVERDWMLVGKSYVPGLSTNRGRRRLDAGEIVHFAFPSYDKIYGGLKMTARKAAALAQIVRFSTKRAGEIGKLSPEWTQCLVPLVNSSKVKIQGKLVFPTAELRLMQEILLYVSFYIHKSVLAEMDNSPCDMLDHANVDFSPSPLHKLLNLLNLRPSNKDAFSLDDLTTRKLKQILRGNNNSGDDSTPVLGQTFLEQGADEQAISEAALNKLVGTAETFDLEEAEPPTTLVSVLKPYQKQALFWMSKLEKGIDANEETKTLNPCWSAYNIADKRAPPVYVNLFTGQATTQFPSVTETARGGILADAMGLGKTVMTIALILSNPRGELERDMRCLRDRATRAHTSTSSVRGGTLIVCPQSLLGQWKDELEAHSAQGALSVFVHYGGDKTSSLMLMAQHDVVLTTYGVLSAACKTDYNSIFHRMDWYRIVLDEAHTIKSPKTKSAQAAYRLNSECRWCLTGTPLQNNLEDLYSLLCFLRVEPWCNAKWWQKLIQKPYENGDDRGLKLVRAILRPLMLRRTKETKDKIGNPILVLPPAHIEAVECEQSEHERDFYEALFRRSKVQFDKFVAQGSVLNNYANILELLLRLRQCCDHPFLVISRADPGKYADLDQVAQKFLEGVQSFSGRQNVVPSRAYVEEVVEEIRQGATTECPICLEASDDPVLTPCAHRMCRECLLSSWRTPDGGPCPLCRSHISKSDLIILPAQCRFQVDAKNNWKDSCKVSKLIMMLQSLQKKKEKSIVFSQFTSFFDLLEIPFNQKGIKFLRFDGKLSQKHKEKILKEFSESQDKLVLMMSLKAGGVGLNLTAASNVFLMDPWWNPAVEEQAIMRIHRIGQKREVRVKRFIVKDTVEERMQQVQMRKQRMVSGALTDEEIRGARIEHLKMLFK >Sspon.02G0008140-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:23860781:23867881:1 gene:Sspon.02G0008140-1A transcript:Sspon.02G0008140-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKEKTHINIVVIGHVDSGKSTTTGHLIYKLGGIDKRVIERFEKEAAEMNKRSFKYAWVLDKLKAERERGITIDIALWKFETTKYYCTVIDAPGHRDFIKNMITGTSQADCAVLIIDSTTGGFEAGISKDGQTREHALLAFTLGVKQMICCCNKMDATTPKYSKARYDEIVKEVSSYLKKVGYNPDKIHFVPISGFEGDNMIERSTNLDWYKGPTLLEALDLINEPKRPSDKPLRLPLQDVYKIGGIGTVPVGRVETGVIKPGMVVTFGPSGLTTEVKSVEMHHEALQEALPGDNVGFNVKNVAVKDLKRGYVASNSKDDPAKEAASFTSQVIIMNHPGQIGNGYAPVLDCHTSHIAVKFAELVTKIDRRSGKELEKEPKFLKNGDAGMVKMVPTKPMVVETFSEYPPLGRFAVRDMRQTVAVGVIKSVEKKDPTGAKVTKAAAKKK >Sspon.07G0000550-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:857448:860515:-1 gene:Sspon.07G0000550-2B transcript:Sspon.07G0000550-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSAADAEQEQKRAAAAAYDYEGDARWADYWSNVLVPPNLASRPDVVDHFKRKFYQRYIDHDLVVEPRSFTGSTQPSRPDVRSSSSSSSENVRARNSGSTSRSAPPPPPPTQTDSAANPLRFNARTIHFSINAWILVVAGLGMLPILPKHLADRACKLSLLGTILSSGYSLYSTYGKPRAWNMPAIQAWLQSVLATKDFIHLMFSLMLFTSQLHLKIAALPVLCWALDHVARFLRRNFARSSFYRQYLEEPCLWVETNNTTLSLLSSNAEIALGFLLIISLLSWRRSIIQTFMYWQVLKLMYHAPVTSSYHQSTWAKIGRIVNPYIHRYAPFLQTPISAIQRWWFR >Sspon.07G0009560-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:23858765:23866986:-1 gene:Sspon.07G0009560-1P transcript:Sspon.07G0009560-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTAPVAVPPRTCMDSLIAFLHHHLRALLADPAALHATRRRCLALLTPPLRHRCRNNLSGGQDEEENDDDDEAVLAALHGSIDALLRPSVVDGAAAAAVCLAGVEEALQAPALLPEHGETAGLDNRRVAACAYFYLALVRCAQGDAWQMAMDLLQAVAVCPAAVAATAREDGGAHRAPGLAPRALWEGLFDEGVLARAGGAGEDDAARRAARRYKDWLMYYKVVAADGAQNGGFQVGRSGSPVISRWLNSSEDRTNHFVDREGISTVSASKFGVHDGFAELKDFLSIADQDFQEDTKGSSDSRCLHEMLQESQSDSRVSFYSHLDSSEESDSEAAPYDKGRSAKIMPIDADFLAAKLHERSNHNKNLTWCTSPENAMIYAPESPMYQVDDREMKSNCLQSNRSHGSLNNLSNSVLELKNADSYSTSNNSAKDAMFPQCSPRYDLRCFSTFSTKFIKKSSLSDLVSRGSMSRKFKTSTTSDDWSDVSSRWGKDSQVDFLERFEKAVSKLLISDGLESCLDAGSEVTTIWQLLNNTSEARHKSSVRQDILDQLLDSISTSKKDKVVRASVYVLLLMISEDRNMMRGIKRKDFHLSNLAIALKRDVHEAAILIYLLDPTPLEIKNLDLLPSLLHVACNSGSQKWPAMLPLTPTSASIALIEILVTAFDYVTNNVHLASLSSPPILSKLVDVAKNHNLEEGVALAAILVRCVRLNGNCKKFLSQATPVDPFLHLLRRKEHRAKCAALEYFHEILQIPRSSANSLLEEIRRQGGIAIMHTLMVSLHQTEPEHRVLAASLLLQLDMMERSDGRSVFQDEAMEVLLDSLSSQENSRVQALSACFLSNLGGTYSWSGESYTAAWLTKKAGLTSTSQRNTIRNIDWLDSCLQDTEISTWSNKSARAIIKIGVPFISALAKGMQSKVKGTSQDCLICSAWLGSELAALGENAIRYSACEILLHDIASHLHPGNELDERVLACMCLYNYTSGKGKQMLMSLSEGSRESLRRLSSFTWMAEELLQVTDYFLSSKPRVSCVHTQILEIGQPGNGAATAIAFFRGQLFAGYSNGTIRAWDIKGQRAVIIREVKEHKKAVTCFALSETGENLLSGSADKSIRVWEMAQRKLECVEMIQTREAVQKLDICGDKVLVLTQNNVLKFSCASRSSQTFYRSKHVKSLAVYQGKAYLGCKDSSIQELDVSVESNIEIRAPRRSWMISKQSISSIVVYRDWMYCASAQVEGSALKDWKKRCKPNMTMPIPKGTSVEAMAVVEDFIYLNCSRSPSIIQIWLREKQQKVGRLSAGSKITSLFTANDMIFCGTEAGLIKAWIPL >Sspon.08G0004050-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:15700210:15701409:1 gene:Sspon.08G0004050-2B transcript:Sspon.08G0004050-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHDGKNLTVTEAVRSVQLLKIDGYCATATMKASEFIKSRWNIDGHEWEVRLYPDYCYLYDDDDYSEWVALKLILVSEPQRDKLRVNLSCRLVCPSQHLDPSEEESVPHVFDSDSKCSPEVLLILKHELPSSGYLVNDSLTVECTVTVLRDLDTADKEQPLPVPPPSDLHQHLGELLQSQEGADVTFHVSGESFAAHKALLAARSPVFKAKFFGGMLETSSDSVVIEDMEPVVFKSMLHFIYTDMAPELDGDQEPQAAATMAQHLLAAADRYALNRLKLICECKLSGGISIDTAATTLALAEQHHCSLLKAKCVEFVTKSPETLDAVLATDGYAHLVASCPLVLAELLRAARGRKI >Sspon.05G0013300-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:5A:43628533:43628741:-1 gene:Sspon.05G0013300-1A transcript:Sspon.05G0013300-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRPVSWVRVLSILSSYLTSAASDLLIVLLPALEEQLAASRHEMEETCLREFLLMEDRERAVVAGIRQG >Sspon.03G0029140-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:13585768:13587819:1 gene:Sspon.03G0029140-1B transcript:Sspon.03G0029140-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNMRFVYDIWAKFADPHRASCVVTPMEFDTFEDKSSAINADTGVNKRLADMIYKWRLPGMKLAVGKPEYKTIIESKLGIPCSHNAIVMEVMWGIQHLMHKLVPKEKAEVAKDDRFPMSQGLKMFVGHYGFDVEPEMLNEQIVVTARALFDCDAVYKKHFEYLANASVCFKKVSGINCEHWGALKLATALKVVCCPEEDDDFHEVLSEDELLKLKEEAPKYKDLVSKVHCMRTYEKISSAHEVRAEKKRLLGFLVKEAKAACEKGKLHGESEQIPQEYVMFANLCRMILPMISALKPPYVHELPLPKGLKRS >Sspon.08G0020910-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8B:27643115:27658217:1 gene:Sspon.08G0020910-1B transcript:Sspon.08G0020910-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEEVGPALLGAAGERLQQEPAGERCLGSAEEEVRLLWWLLQDAAGRTEEQQRVPAAGREGRRGREVEGPALVQEDGSQGRGPERHGPVRHGSHGRDPVRHQRPRRSRCCGGNLGPGKWGGGREEGEEGGRRRRPVSHAGAGGRNSAGGRRQAGGGSGWGKRKNSGLIPSNGANAKKLRIGLALSWVFFLHMIHMKYGTPDSELQNYAIQAMEILQGNDSPDPHALACVLYILRVGVTDQMTEPTQREFLVFLGRKVPAEFKDVLDNTVVAALSHSSAHLIKHLPRKNTEPLVLLLVVAVAVADEMHRAGTPWIAQAADALDLYGRGVSKLDSRACRSLGRRISPAALSCGVSRHCRGWYQLLAKTQNIRLLVLVVLEPESPSYVRWQDLVLLTLRRYALDDHVLADASVAARTPSWICLDSIGQFLGNAEAWALRLDASFRTFVQGDLSVGEFCRRMKGMADSLGDLGWPVEDRILVLNVLRGINDRYAHLRTWITRQRPFPTFLEVRDDLVMEELTQGIQPGSTASSGSSSSSTALAATPPRPSAPPRSSAPPPQSLLGPPPSRPSGGGGVVAVAVAVEGVAGAAGVDSPRLRHRVRVEAALTLRALAEVDPTCVGGLVSYGLTTLQALRETVSFDKGKSLNLELDSLHGQATVLAALVAISPKLLLGYPARLPKSVLELSKKMLNGFSRNPVAAIAEREAGWLLLASLLASMPKEELEDQVFDVLLLWAGPFTGNPESYLRHIQDWASELRVLSVAIEALTAFIRSFVYRIITTADGGILLNPVLAYLGGALSLISSLRSKQVPNVRSALDLLTTRTLMAYRSLSNPVAYKTEHQQMLQLCSSPFRHSRVMLWPTRVKGPQSCFRKLAIPIPVAAEGQAFAEAWGFAGISAGSLHDVLTCTGQCWKEARWHWEGSKEVRDAELWENGWDF >Sspon.05G0029340-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:77962385:77965355:1 gene:Sspon.05G0029340-1B transcript:Sspon.05G0029340-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAQIMLGMVTPQMMQMAKSQRPLGSLAQSSSHLNEPYPQPDPMIPVVSRPSSLPTNIPPNPTILPEQTAALHSFPQHQHASQPQVKMFPHGQQSGIAAQSPMLHQPLGGSSSVPTQSLVASVGLISQVQPPFVPQHPGPPVMPTSVQQLPLTHPHLAQVAAATETLPNEIRVADQASHLTEFTHPSKLRKLEDGTSVPGIVNSSHAVYTAPLQAVGPSGPSGGYGAGAVSLQQPGNEGQLTPDVESALLQQVLQLTPEQLSSLPPEQQQQVIELQKMLSAGK >Sspon.08G0011140-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:45947156:45951246:-1 gene:Sspon.08G0011140-4D transcript:Sspon.08G0011140-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GALT1 [Source:Projected from Arabidopsis thaliana (AT1G26810) UniProtKB/TrEMBL;Acc:A0A178WHF1] MKKWHGGFVIVSLFVILMLRYVILDSPLAEKSLQYVFQQNRTAELHWLDVPNPPAIQNPQNSSEVISTRLLASNLSITRNLSDRELQSLHSWNHLRHLLSHAHILPDGVEAIKEAGVAWRELNTALAYDDSVVSVNGSIQQKDKGKQCPYSIRRMNATRLGDRFALKLPCGLIQGSSITIIGTPGGLLGNFKIELTGAAVPGEPDPPIVLHYNVRLLGDKLTEDPVIVQNTWTIADDWGSENRCPSPDSDAKDSAKVDDLEKCSSMVGEDQKEILPSRLRSNVSTMIAARKKKAEPRKYFPFRQGYLAVAILRIGAHGIHMTVDGKHITSFAFREDLEPGFVGEVRIAGDIKLLSVIASGLPTTEDFEHVTDLETLKAPPVPMNKSVDLFIGVFSTANNFKRRMAVRRTWMQYDDVRSGKAAVRFFVGLHKNEVVNEELWNEARTYGDIQLMPFVDYYSLILWKTIAICIYGTNVLSAKYVMKTDDDAFVRVDEILSSLDRTNISHGLLYGREWPEESYPPWAHGPGYIVSKDIAKEVYGKHKSGELKMFKLEDVAMGIWINEMKKDGLDVKYENDGRILVEGCEDGYVVAHYQEPRDMMCLWDKFQKTKRGTCCKE >Sspon.04G0027740-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:63601594:63602502:-1 gene:Sspon.04G0027740-2C transcript:Sspon.04G0027740-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEPSQSEDRKLSAMEHVKKRHEEKGFLYACAFMFCCCFCCYEACEHCLECFCCCGKKDE >Sspon.01G0011540-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:31762412:31764876:1 gene:Sspon.01G0011540-1P transcript:Sspon.01G0011540-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHVHVHDDPYAFWAQQEQDGKLYATVDIDKARVGRTRMVPAVHSPNWDESFHIYCAHDASNIIFTVKADNAIGATLIGRAYLPTEGVVAGQKVDQWLPIRDEKLQPLEEGDRIHVQLQFTNVAVDPTAGWGTGVGGAAYEGVPYTFFKQRRGCRVRLYQDAHVAGDFAPRIRLAGGNFYEPRRCWVDVFDAIKKARRMVYIAGWSVNTDVVLVRDPREPTASSENLGQLLIRKANEGVTVLMLVWDDRTSVGLGPIKRDGLVATHDQDTASYFRDTRVQCVLCPRNPDKDRSYVQDIETATMFTHHQKTVIVDGGGTTAPESLPGLVSFLGGIDLCNGRYDTQDHPLFRTLGTTHNKDFHQPNFPGASIRKGGPREPWHDIHCRVEGPAAWDVLENFEQRWKKQGKGDNLLLALNKAWAEREAAPKGDAESWNVQVFRSIDGGAAAGFPDAPREAAALGLVSGKDHVIERSIQDAYIHAIRRARDFIYIENQYFLGSSYAWRQNDGVTVEDINALHLIPKELSLKIVSKIEAGERFAVYVVVPLWPEGVPESGSVQAILDWQRRTMEMMYRDVTLAIRAKGLQADPRDYLTFFCLGNGEAPSPDEYVPPEHPDPNTDYERAQQVRRFMIYVHAKTMIVDDEYIILGSANINQRSMDGGRDTEIAMGAYQPGYLAARNKQAKGQVHGFRVSLWQEHLGKAGAAAVGSDLHRPSSLACVRRMNLVAEQHWDIFASDMFQGDLPGHLMAYPVSVLDDGKVVATTESFPDTNAKVLGNKSDMLPPILTT >Sspon.05G0029700-1P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6D:30969963:31071008:1 gene:Sspon.05G0029700-1P transcript:Sspon.05G0029700-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAVDREVKTILRGIIEKRQKAVSSGQATKDDLLGLLLESNMNYSDSDGKSSKGITVEEVIGECKVFYFAGMETTGVLLTWTMVLLSMHPEWQDRAREEVLQVFGQNKPDFNGVARLKVVTMVLYEVLRLYPPVVAMNRRTHQPTKLGGVTYPAGVMLTTPVMFLHRDAALWGSITVNRVYNYDGPNRRWWPGCMV >Sspon.03G0023420-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:94799476:94802148:1 gene:Sspon.03G0023420-2B transcript:Sspon.03G0023420-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEQNSWLRTKFSHTVYTRVDPRRVAVAPVGKDVVLPFAPLSKDVERKLQKFANIKKSVSMPVDRDDEDTGTAIKHCTSLPLVRSSLQLDRDKVNKPKRASLKIPSSPPMNSESCKTPKARSLVKSPSSMMLLSYLNKAPSNQGYSPQKAYGPRPKPRSKSPLPSIVPSGVFKEAKSSSQRFASPPPQRRGSEKSIYGKSFGRQVSDMGQSPDWCSTPVVSGKHKSQKDNAWTRKYSGGRRVSAVNPADDRRAQMIRMNQAVQTTVDWTLVPSKLLVGHRFASGAYSRLYKGSMMISQLQLNLSANLMTTTMGRWLQSLRSSITVRSIHCHLYHKNVIKLVAAYKCPPVFYIITEFLPGGSLRSYLNNTENHPIPLEKTISIALDIARGLEYIHSQGIVHRDIKPENILFDEDFCVKIADFGIACEETLCDVLVEDEGTYRWMAPEMIKQKAYNRKVDVYSFGLLMWEMVSGRIPYENLTPFQVAYAVANRNLRPTISPECPSALRPLIEQCCALQPDKRPDFWQIVKVLEQFHSILSQGGCLETPKSGTCQDPKKRLMQWIQKLKPMHST >Sspon.05G0007290-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:24685753:24688861:-1 gene:Sspon.05G0007290-4D transcript:Sspon.05G0007290-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATEELQLLGSIPGPEGAGEVDGAVTEEGRPRGGDDEILRFMDSVDGYLLLMDSLSSALRQGWLDLASARHSMGPSRVSSTLFDHKEQSAATKLQVADHDGLKPSEPKPHFALSKWSLQEECHSTYDVSERALTEPKMRHRGSVTTPENGNHESANTTASSTGADASNHVQRARSRALSVFGGLVSPKLRTAQLSFETALDLIVELANSRSNMLASFSQLKE >Sspon.07G0006200-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:13804803:13810899:-1 gene:Sspon.07G0006200-4D transcript:Sspon.07G0006200-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatidate phosphatase PAH1 [Source:Projected from Arabidopsis thaliana (AT3G09560) UniProtKB/Swiss-Prot;Acc:Q9SF47] MEGITYINPSPNGRLSPQIQFRPRHRRRRRRRGGASGASRVPEASRTAEPKRSRRDWAEPNRTVWLIDEEIAQIEQPRSGLTNMNVVGRVGSVISQGVYSVATPFHPFGGAVDIIAVEQPDGSYRTTPWYVRFGKFQGVLKGAEKVVTITVNGVEANFHMQLDNSGQAYFMRELVPGGQDSGTSSEEEAVNEPEPPARSKSDGDLYIGPSDRLGSQELNVEHQEKQTRDEFESYDGYGRLEESEDLPTQADGGNSELVWTDMCSLRLFLQQRGMEDVQLSDPQFHLGPGQSSSGDFTRSGEVWDAGILDDLYLSQEKVKFNSGHQSEVLIENGEVPVEKDGSHHISVDKDEAHVSINEDEVHAVSTNEDGAHAVSTNDDEAHAVSTNEDEVQDVSRSGNSDVVYQTMTCEGESHGILGDIDVGYQTLTREDDSPGVSGDNVVGYQPLTNVYKAHDILENNDEDQPPLTNEDESCDVPVLEKAKDCNSPTNKDEVCDLNNEDTELEDTGASFGKNDTFQSCLDLTSQIDDGDSGNELFSPGSDYQRDSELSLGNCSVAETDLEEGETKTAYCGQYGPLQEGVDVSTFTSEVDKIQNKENSSPEGGSHGRDKEIASEIEAAGSDGLQSSMATSGKDKLGSIPEHPEVEEEQNKEEHSQSQKGLGVEISLCGNMLRPGMCRESAEEAFQQHLVHEEDFKSSGSTIIKNANLIVKVDNNYFPWSKVSHVILGKAVFGSKFSIEPTDAIPVEHQETPNSREDSLRMSPSSRRWRLWLNPFRITRSLQRSNSDSSEDIFLDSESVLSPMDEQTLENNKRQSPRKQFVRTLIPTSEQVASLNLKEGQNLVTFSFSTRVLGKQQVDAHIYLWKWNAKIVISDVDGTITRSDVLGQVMPLVGRDWSHSGVARLFSAIKENGYQLLFLSARAIVQAYLTKNFLFNLKQDGKALPNGPVVISPDGLFPSLYREVIRRAPHEFKIACLEDIKALFPSDYNPFYAGFGNRDTDELSYKKMGIPKGKIFIINPKGEVAVNSSVDVKSYTSLHTLVNDMFPPTTLVEQEDYNNWNYWKVPLPDVDL >Sspon.05G0015470-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:59503632:59515984:1 gene:Sspon.05G0015470-1A transcript:Sspon.05G0015470-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQNMLRHQVLFLCSIILTLSFTLLQPTTAQVTAPWEVDALKAIRGSLIDPHGNLSSWNRGDPCMGNWSHVICYNATSSDGYYHVQELQLLRLNLSGTLAPELGQLSQMKIMDFMWNLIGGTIPKEVGNITSLELLCFSRLLNGNQLNGSLPEEIGFLPNLNRIQIDQNYISGPIPKSFANLNKTKHFHMNNNSLSGQIPPELSRLPSLVHLLLDNNNLSGYIPPELSKLPKVLIIQLDNNNFSGSTIPSSYGNISTLLKLSLRNCSLEGSVPDVSGIPQLGYLDLSWNQLRGAIPASQFASNITTIDLSHNYLNGSIPGIFSGLPNLQRLSLDYNNLTGSVPSNIWQNIDLSGNRSLILDFQNNSLNNLSTPLSPPANVTILLHGNPVCAAQNQLNISQYCQSATVVVPEPGGSADNSTLCQPCDLPFERMPLSPIPCICAVPVYVDYRLKSPGFWNFIPYESQFQQYLSSGLSLSLYQLEVSTFMWEEGPRLRMDLKLFPNNTPYFNANEVLRLNGMFTGWQIPDSDIFGPYELLSFNRGWYNATSLEDRGLTYSHAIFPVLPQGRKSGLSTGAIVGVVIAAFAAAAILSSLVTIIILRRRSRHSSSKKRSAKRISMKITGVKDFTFDELSHCTHDFNDSTLIGQGGYGKVYRGVLADGTVVAIKRAQQGSLQGSKEFFTEIELLSRLHHRNLVSLLGYCDEEDEQMLVYEYMPNGNLRDHLSARAKVTLDFPMRLRIALGSSHGILYLHTEADPPIYHRDIKASNILLDSKFVAKVADFGLSRLAPLPETEGSAPGHVSTVVKGTPPPVRSFRCFDISELKRRVLAGRRLARHSCRARRGSAEGNAGWQVVRGAALQLVRAAPIRIAWGPHHERALLFRPRYSPSLDAEFTRYMPRSPPKLPPDGHPRVRRSCVVFAADVDRLCAAASTPARRATRHEAPPAHEWKLLAAAVGWLDAHCRKDAVDADPGAVLKPATAAQLGFDAPDAADVKQHTTNR >Sspon.06G0002900-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:8928336:8934812:-1 gene:Sspon.06G0002900-1A transcript:Sspon.06G0002900-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAAAMAVALLVALVAAAATLPGTASASPAEGIQPLSKIAVHKATVEMEPSAYVQATPSLLGEQVIRGAAATMAAALVVALVAAVAMLAGTASASPAEGIQPLSKIAVHKATVEMQPSAFVEATPSLLGEQARTHTEWVTVKYGWTDPSDDDWIGVFSPSEFNSSATCPNPWPAEEPYLCTAPIKYQFANYSANYIYWGKGSIRLQLINQRSDFSFALFTGGLDNPKLIAVSEPISFKNPKAPVFPRLAQGKSHDEMTVTWTSGYDISEAYPFVEWGALVAGAAQPQQTARAPAGTLTFNQGSMCGEPARTVGWRDPGFIHTAFLRDLWPNKEYYYRIGHELPDGSVVWGKPYSFRAPPSPGQKSLQRIIVFGDMGKAERDGSNEYAAYQPGSLNTTDALISDLDNYDIVFHIGDMPYANGYISQWDQFTAQVAPITARKPYMVASGNHERDWPDTAAFWDVEDSGGECGVPAETYYYYPAENRANFWYKVDYGMFRFCVADSEHDWRVGTPQYDFIEHCLSTVDRKHQPWLIFATHRVLGYSSNAWYAGEGSFEEPEGRENLQKLWQKYRVDIAYFGHVHNYERTCPLYQSQCMTSEKSHYSGTMNGTIFVVAGGGGCHLSDYTTAIPKWSIYRDHDFGFTKLTAFNHSSLLFEYKKSSDGKVYDSFTIHRDYRDVLRC >Sspon.01G0027350-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1C:94518690:94522523:1 gene:Sspon.01G0027350-2C transcript:Sspon.01G0027350-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSRPAHSSSSSSRTRQSSRARILAQTTLDAELNAEYEESGDSFDYSKLVEAQRSTPPEQQGRSEKVIAYLQHIQRGKLIQPFGCLLALDEKSFRVIAFSENAPEMLTTVSHAVPNVDDPPKLGIGTNVRSLFTDPGATALQKALGFADVSLLNPILVQCKTSGKPFYAIVHRATGCLVVDFEPVKPTEFPATAAGALQSYKLAAKAISKIQSLPGGSMEALCNTVVKEVFDLTGYDRVMAYKFHEDEHGEVFAEITKPGIEPYLGLHYPATDIPQAARFLFMKNKYMENMNSIASLVMAVVVNENEEDDEPEPEQPAQQQKKKKLWGLIVCHHESPRYVPFPLRYACEFLAQVFAVHVNKEFELEKQIREKSILRMQTMLSDMLFKEASPLSIVSGSPNIMDLVKCDGAALLYGDKVWRLQTAPTESQIRDIAFWLAEVHGDSTGLSTDSLQDAGYPGAASLGDMICGMAVAKITSKDILFWFRSHTAAEIKWGGAKHDPSDKDDNRRMHPRLSFKAFLEVVKMKSLPWSDYEMDAIHSLQLILRGTLNDALQPAQASVLDNQIGDLKLDGLAELQAVTSEMVRLMETATVPILAVDGNGLVNGWNQKVAELSGLRVDEAIGRHILTLVEDSSVSIVQRMLYLALQGREEKEVRFELKTHGSKRDDGPVILVVNACASRDLHDHVVGGDYKAIIHNPNPLIPPIFGADQFGWCSEWNAAMTKLTGWHRDEVIDKMLLGEVFDSNNASCLLKSKDAFVRLCIIINSALAGEEAEKAPFGFFDRNGKYIECLLSVNRKVNADGVVTGVFCFIHVPSDDLQHALHVQQASEQTAQRRLKAFSYMRYAINKPLSGMLYSRETLKSTGLNEEQMRQVRVADSCHRQLNKILADLDQDNITDKSSCLDLDMAEFVLQDVVVSAVSQVLIGCQGKGIRVACNLPERFMKQKVYGDGIRLQQILSDFLFVSVKFSPVGGSVDISSKLTKNSIGENLHLIDFELRIKHQGAGVPAEILSQMYEEDNKEQSEEGLSLLVSRNLLRLMNGDIRHLREAGMSTFILTAELAAAPSAVGQ >Sspon.04G0021340-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:76825556:76828843:-1 gene:Sspon.04G0021340-4D transcript:Sspon.04G0021340-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQTVTLISYPKHPVIKFIIIELNVRSLFAFSVAKEETKKLGTVIGIDLGTTYSCVGVYKNGHVEIIANDQGNRITPSWVAFTDSERLIGEAAKNQAAVNPERTIFDVKRLIGRKFEDKEVQRDMKLVPYKIINKDGKPYIQVKIKDGENKVFSPEEISAMILGKMKDTAEAYLGKKITDAVVTVPAYFNDAQRQATKDAGVIAGLNVARIINEPTAAAIAYGLDKKGGEKNILVFDLGGGTFDVSILTIDNGVFEVLATNGDTHLGGEDFDQRIMEYFIKLIKKKYSKDISKDNRALGKLRREAERAKRALSNQHQVRVEIESLFDGTDFSEPLTRARFEELNNDLFRKTMGPVKKAMEDAGLEKSQIHEIVLVGGSTRIPKVQQLLRDYFDGKEPNKGVNPDEAVAFGAAVQGSILSGEGGDETKDILLLDVAPLTLGIETVGGVMTKLIPRNTVIPTKKSQVFTTYQDQQTTVSIQVFEGERSMTKDCRLLGKFDLSGIPPAPRGTPQIEVTFEVDANGILNVKAEDKGTGKSEKITITNEKGRLSQEEIDRMVREAEEFAEEDKKVKERIDARNQLETYVYNMKNTVGDKDKLADKLEAEEKEKVEEALKEALEWLDDNQSAEKEDYEEKLKEVEAVCNPIVSAVYQRSGGAPGGDSEGGVDDDHDEL >Sspon.04G0014810-1P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4A:55326818:55327076:1 gene:Sspon.04G0014810-1P transcript:Sspon.04G0014810-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RSPNTPVIGWPPVRAFRRNLATSSKASLEHQNGKKAARPEQTTKRAPFVKINMDGIPIGRKIDLNALGSYDELSLSVDKLFRGLLA >Sspon.01G0013610-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:37535073:37541176:-1 gene:Sspon.01G0013610-1A transcript:Sspon.01G0013610-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSGSGSRSRGRRPRPRPAAEVAAPPVVQVSSSGSGEEGSDEEEEEEEEGSEGSGDGARGRGGSANEDKAAESEGEAEDPCLPSCPICMVAWTADGAHRVRHCLETWLAQYGKKKAPCPQCGKRYTPNNIINLYVPEIAVPSNDLEKQVLYLREKNESLEKQQAKLLEEIKEYKRIIVLQQNIIYESSSKRQKMTEQSSDGIPGAEPIASLTKDIDHSNLSSFALQISMFHRHGMEKIYLPPDTKAIRDICVLPGGDVVFASLGRKLSLFRPSLVSGMENQGVCISLACTSQSSDLLVASYRPRVELPDDSATTQAATPQSPALTGSGKLGCHTLLRRTTTTSFAKDHICSGSVSELRMSKSAIIPCGGNQHLFAYGDESLYGVRTWRLPSFQRYIDLKPHRQPVLDLRFAESSTGERYLGCLSAEKLQFRCAHRMAASCCALSAVVPDAGDAGTGWQPVDASAAHPPILRPSNRDTNGWYR >Sspon.07G0019920-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:73697523:73698079:-1 gene:Sspon.07G0019920-1A transcript:Sspon.07G0019920-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LMRRAWNQFPYLVHSRWRSQQNVRILRSFSRRELPNIPLLVELPLATFRIYLLKSEGHQVIPVVLSHNADIVILAYMLAQFFFFFCAEKTDLCI >Sspon.01G0009290-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:26965136:26966721:-1 gene:Sspon.01G0009290-3C transcript:Sspon.01G0009290-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSYSGNRSSSSSSRPTTTSFDSYQFDFGTNASRSSGSRPLRDQRPGAATNPPARPATGATWTHQPASAKPSWTHQPSPAAASAATMVGPGSGPTSMVGDIFGRSWSSAAPSSGIGIPQANNPGLFSDLLGSALGSSRAQSNAPLRSAAAPQASRPAGANPNANANANSSPFSMGGMAGALPKTTGAPMGTGGYGVGGRPMKPGGMAATAAAQPTVQQKDPFGSIDPFAAKPGSMNAAKQANSVKPDKGFGAFQGVNSSAAAGFGSFQSADAGFGAFQSTGAAKPSSSTPPPAPASMPTPVAAAVNSSMDPLDNLFASTTGAPTAAAASNGGSGGDMFGEMDGWVDVEAEYGGGDSGGTTTELDGLPPPPSGLTVSAAKAKGMDSYKGGQYADAIKWLSWAVVLIEKSGKDADIVEVLSSRASSYKEVGEYKKAIADCSKVLDQDKENV >Sspon.01G0032310-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1A:109509032:109512080:1 gene:Sspon.01G0032310-1A transcript:Sspon.01G0032310-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAPDTAAPSSPPPKSGIPPRYDLDAKWDACLDLSIRRVAYSSLAGAFAGLLLFRSPTTRWASVALGAGVGIGAAYTECSFLFNGAPPKWSPKVSTIPSAHSEGGDK >Sspon.03G0021670-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:88501768:88504328:1 gene:Sspon.03G0021670-2B transcript:Sspon.03G0021670-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAVGGGGRRRRAWRWAMRAVASAVVWTAVVQVASIAGLFRPRVVADCGGGGGGGGKGGGAAAAGLAALAGEDNAAARLSPPALLPKRIYKSNGYLLVTCNGGLNQMRAGICDMVTIARHLNLTLVVPELDKRSFWADPSDFGDIFNVDHFINSLRDELMIVKELPLKLQLRTKKRLYSMPPVSWSNETYYLKRILPLARKHKVIYFEKSDARLANNGLPIQLQMLRCRVNFEALRFTPQIEALGRKLISTLQRSGQFVVLHLRYEMDMLSFSGCTHGCSSKETEELTRMRYAYPWWKEKEIDSEVKRLQGLCPLTPEEITLVLKALGFTKDTLIYIASGEIYGGEKRLAVLKDAYPKLVSTFLSFKHSGDLLLGCLLAQFYSVLGKEGENFIS >Sspon.07G0006150-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:16173818:16181217:1 gene:Sspon.07G0006150-1P transcript:Sspon.07G0006150-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHGAGAAAGSDAPHAEVVSMGQTNPSPYPPLSSSHHSWSTATGSATAMVSWNNTVDKLSQDTVYYDPQRDVPVSGGNQNVGSSVPHVAQSSMGMTDAAHSHVPYSSSAQHVYNPVEYANYYYSYPQATNDSSVQQGANQHPGAAYQPLTSFQNSGSYIDPTSNTYYNAGGHQTVPGYGSSSYYYQNNTWNGGSTGNNFAQSYQNYSSSDTNAQQSSTSVPANSLPYQQQYNQWPYYYNQSVPSASSNPVAGNSTTDNLAVNTPSGYSYPSSQPPPPGTTSWKSNSVASAAPPMQVPGHQNQYANQAEGHYNQGPGVQWSQNHYAYQTQPYPQKTNSNHPQLSNPEDQQKTVDSNGPSTNLSSNHVSENFKPNLQGSVTMDNSSESKIQVPINPRIAPGFSMVMPKNEKKNSGLDLSKKPAYVSVSMPTNDAKATQVGPDARSIPFSLRNYAMRNLNRCKNDAQRAACRSVMEEVTKKAIAEGTLLTKNWDTEPLFPLPESVVGMTGTSSVNNLSPFSSVSTPRKRVKSRWEPVVDENVTNKVEQIAKGLISSNVHSTLDPKNRMGSSWDHGKFLQSREAPSNKVNQRPAKKQKMGSNLSQIQNGSASSDSEKEHDLTKYYASATALANSPEEKKRREHRSKRFEKSKDSSLKSRNTSANSDAMANLRVRMAISSLRTRTYEEGTLAVEDMDWDALTVKGTCQEIEKRYLRLTGAPEPDKVRPEDVLEKALAMVETSQKNYLYKCDQLKSIRQDLTVQRIQNELTVKVYETHARLAMQAGDLPEFNQHFEIFVQMN >Sspon.04G0010230-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:30687380:30692438:-1 gene:Sspon.04G0010230-1A transcript:Sspon.04G0010230-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSQYDDEWVLPSADITLVLVGKLGYGKSATGNSILGREAFVSEYSHASVTNTCQLGSTTLKDGRTINVIDTPGLFDMSITSDDAGKEIVKCMNMAKDGIHAVLMVFSATSRFSREDASTIETIKVFFGEKIVDHMILVFTYGDLVGESKLKNMLNNAPEYLQNVVELCQNRVVVFDNMTNDRRLQAQQLDKLLDVVDSVCANNGGKPFSDQMFTRIKEVHDREKEVHTLGYSEEQISELKKEIHRTRDEQLAHITSMVEEKLNCTVEKLQQQLMEEQNARLEAEKVAYEARLKSEVEIQKLKESLKKAQMENEEFRRMAQSQGSKCAIL >Sspon.07G0009140-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:21044979:21046510:-1 gene:Sspon.07G0009140-3C transcript:Sspon.07G0009140-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding PMHARHVLVPSVFLFVVALLLPSPGWPRSKLFPSWLPPPVNRRERDSADDGHPPCDYSDGRWVRDDAADVTTYTEDCRFLDPGFRCTRNGRSDSSFRHWRWRPHRCDLPRFNATDMLERSRNGRIVFAGDSIGRNQWESMVCMLAAAVPATARVYEQSGKPISRHRGYLAMVFADYNLSVEYYRAPMIVMVDRFRPANATTTTSGGVRGAVRLDVMPRHADRWAGADVLVLNTGHWWNHHKTVNRGKYFMVGDRLNRTMNIKKAFRLSLQTVKNWELSNARFSKSYFFFRSYSPSHYRTTVAKLSSRNHGFSPPENGSNGTWDIGGTCAGQRDPLMTTNANFGDEYSWMNAMIAKMTDGIMIHGRKARFLNITHMTELRPDGHPSGHREPGTPPGAPEDCSHWCLPGVPDVWNQVLYAHLLSTGYDRRMKE >Sspon.07G0030020-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7C:753470:755974:-1 gene:Sspon.07G0030020-1C transcript:Sspon.07G0030020-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRGVKPVHRTCLQLERIIVERHRSGSLGLEDALNLFDELLPQARPASVHAFNRVLTVVARGDSSSSQRHGAALAVSLFNTMPRAGINKLAADACTFSILMRCFCTVGRLDFALGAFGQFLKTGWRVQVMALNQLIKGLCDGKMTSEAMDIVLRRMPELGCTPDVFSYTILIKGLCDGKRTRDAMDIVLRRMPELGCTPNVFSYNNLIKGLCAEKKSQQALELLLRMTADGGYNCPPNVVSYNMVIDGFFKEGEVDKAYTLFHEMLGRGFPPDLVTYNSVIDGMCKAQAIDKAEAVLQQMFDKGVMPDSWSYNNLIRGYCSLGLLEEAVRLLKKMSGGGLQPNVVTYSLLIDYYCKIGRCTEARNIFDSMGRRGQKPDAATYRTLLHGYATKGALVDMHDLLDLMIRDGIPLEHRAFNILIRRVEDAVFHFNQMVSEGLSPDIISFNSLIHGLCTIGEWKKVEELAIEMINRGIHPNARFLNTIMDSLCKEGRVVEAQDFFDRIIHAGVKPDVVSYNILIDGYCLDGKMDESIKLLDRMVSIGLRPDNLTYNALLNGYCKNGRVDDALALYREMFSKDVKPDAITYNIMLHELFHAGRIVAAREFYMKMVDSGTQLGIDTYNIVLRGLCENSYVDEALRMFQGLRSKEFQLEIWTFNIMIDGLLKVGRIDKAKGLFSAILPSGLVPNAITYSLMMQSHIEEGLLEESDELFLSMEKNGCTANSRMLNAIVRKLFEKGDVRRAGTYLTKIDEKEYSVEASTAALLISIVSERKYQKEVKFLPEKYQSFMEPRDD >Sspon.06G0004940-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:16308564:16314031:1 gene:Sspon.06G0004940-1A transcript:Sspon.06G0004940-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVEPRQFGRLEPDSAPVRVATNGAKAYPPPASHLPRRADSPVRGCGFPPLVSPPPRNKPDDASDDDEDDEQEDWRSLYGSHLQPEVEPAVHDARDEGTADAWIERNPCLVRLTGKHPLNCEPPLARLMHHGFITPAPLHYVRNHGAVPRGDWATWTVEVTGLVRRPARLTMEELARDFPAVEIPVTLACAGNRRKEQNMVRQTVGFNWGAAGVSTSVWRGARLRDVLRRCGIMPRRSGPGGALHVCFEGAEDLPGGGGSKYGTSVTREWALDPSRDIMLAYMQNGEPLLPDHGFPVRVIIPGCIGGRMVKWLKRIIVTPAESDNYYHYKDNRVLPSHVDAELANAEAAWWYKPEYIINELNINSVITTPGHDEILPINAITTQRGYTMKGYAYSGGGKKVTRVEVTLDGGETWLVCDLDHPEKPNKYGKYWCWCFWSVELEVLDLLGAKEIAVRAWDQSLNTQPEKLIWNLMGMMNNCWFKVKVNVCRPHRGEIGLVFEHPTQPGNQSGGWMARQKHLETAEAAAPGLKRSTSTPFMSTSDGKQFTMSEVRKHASQESAWIVVHGHVYDCTRFLRDHPGGADSILINAGTDCTEEFDAIHSDKAKALLDTYRIGELITTGTGYSSDNSVHGGSTVLSHLAPIREAARASAPVALSNPRDKIHCRLVGKKELSRDVRLFRFALPSSDQVLGLPIGKHIFVCTTIDGKLCMRAYTPTSMVDEIGHFDLLVKVYFKNEHPKFPDGGLMTQYLDSLPLGSYADVKGPLGHVEYTGRGNFVINGKERHASRLAMICGGSGITPMYQVIQAVLRDQPEDPTEMHLVYANRTEDDILLRDELDRWAAEYPDRLKVWYVIDQVKRPEEGWKYSVGFVTEAVLREHVPEGGDDTLALACGPPPMIQFAVSPNLEKMKYDMANSFVVF >Sspon.07G0000390-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:684082:686793:1 gene:Sspon.07G0000390-2C transcript:Sspon.07G0000390-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRWYANVKEVGGGDTTVVLAHGYGANQTLWDKLLPVLSEHHRVILFDWDFTGRGGADEEEEEEATAGRYTFGRFADDLIALMDDKGARGAVVVGHSMSAMAACIASVRRPDLFAHLVLLCASPRYIDSPEEGYVGGFDRASIDGMLGAMSSDFGAWVKGFVPNAAGGDPSASPALEQSFLSMHPGVALEVARMIFLGDQRGALDAVAVPCTVVQVAADFAASPAVAEYMQRRMEKAAEVEVVVIDSVGHFPQLVAPQQLLAVLQRVLQRTGGEVVVGAEEEQAAEEAAEVVEADGG >Sspon.03G0003840-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3A:9913867:9914694:-1 gene:Sspon.03G0003840-1A transcript:Sspon.03G0003840-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGFDEPLWDQIAKALADINAQQAHLNSRLATMEIHQASSSAGAVPQSFPYWMPGCGTTALPTYTTTLMPGSAADLFQHRSPASYRQHCFCCCRSFLRRGQRPLFKRQRHVTPAARLSAAAAVLREDMALRLPKARVSPAAIVPSPKDLYAYMSSTIAQMQAKADELLQLLQFKKTMEQETKMHTHQVLAAVRLQLQHADSWRDGRRGRCVGCSWCLCPVLLCFATRLRFATWRAPISSAASWRSGVASPPRVANSVSTAPAFGDAGVWPPIGGP >Sspon.05G0015400-3C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5C:53396531:53401563:-1 gene:Sspon.05G0015400-3C transcript:Sspon.05G0015400-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHDLFDAQLTPLGWSQVAPPDLQQGGNSRIVDGLRAHVKKSGLAEKIELVISSPLLRTMQTAVGVFGGEKYTDGVNSPPLMVENAGHSGRPAVSSLNCPPFIAVEGCREHLIENDEDVLWEPDVRETNEAVALRGMKFLDWLWTREEKEIAIVSHSGFLFHTLSVYSKECHPTIRDEVSKHFANCELRSMVLVDRSMLGSYSPRFNYPGKIPAGLDMPSDIADKKHLEEAQKS >Sspon.07G0003860-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7B:8777731:8778884:1 gene:Sspon.07G0003860-2B transcript:Sspon.07G0003860-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVAGFISVDCGLPGTANSVDDTTKLSYAPDAAFTDAGTSNNISAQYITAKLAKRYYNVRSFPDGARSCYTLRSLVAGLKYLVRAEFMYGNYDGLGRLPIFDLYVGVNFWSTVNVTRPDAEVTLEAMVVVPEDVVQVCLVNTGSGTPFISALELRPLKSSIYPYVNATQALVLFGRVNFGPADDTDTVRYPDDPYDRVWFPRMIDASTYAEISTTSRVLNADKDLFEAPSKVMQTAITPRSNASNIEFYWDSMPLPMDPSPRYIIIMYFSELQVLRSNAVREFYINLNGYMWFPEAYTPYYLATGQIYSTSPSWGSDRYNVSINATAKSTLPPIINAVEVFYIISTTNVGTDSQD >Sspon.01G0007380-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:20398364:20399738:-1 gene:Sspon.01G0007380-1A transcript:Sspon.01G0007380-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GDDDGEGDTEEEEEEDDEVDDDDEEEEEEELPAAAPAPAEEQPAPAPISALPGNPNQLTLVFQGEVYVFESVTPEKVQAVLLLLGRGELPPGLASMVLPNQNENKGYDDILRRTDIPAKRVASLIRFREKRKERNFD >Sspon.02G0053040-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2C:99254609:99255001:1 gene:Sspon.02G0053040-1C transcript:Sspon.02G0053040-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MWTSPEVAARGVCRRQWRGGCATRRGGGGRMSRRGQPHAAPSRVSGRALLLSGCRPHRSVPRRWGTLRVPRALSTRWTLPWPRCLLSTGTRASGRVDRRWSLAGVTTHQRERLGGRTPASQHYRIYIQKY >Sspon.05G0007580-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:23636803:23639604:1 gene:Sspon.05G0007580-1A transcript:Sspon.05G0007580-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGVAKTFDVLCSTAVLVALYPVHWFFTFLYYTDVASLAAVLVMYLFCLKKQFWISATTNVIWIIFFAANGAITYVKDLYPKDNVSHENSVPTHQSKKASVRDNKISSQGLRRRRINSPISKVIVSESANPYNSLTEEVWDISLKLWNSKCEILIAFAPFVVVMVAFVAFIIWNGGIVLGAKEAHVVSPHFAQFLYFGLVSAAALLPWHFTPNQVLDLFHLSGKSSLAVLMGLGLSFVAVHFFSIAHPYLLADNRHYTFYIWRKVIQVHWMMKYMLIPLYVYSWLSIINILAKSQTRIWVLSFVLSVALVLVPAPLVEFRYYTIPFMILVLHSPAIGNGKLLVMGSLYAGRFSNYTVHGQSKEAFKVFKDDT >Sspon.06G0035540-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:76727452:76728303:-1 gene:Sspon.06G0035540-1D transcript:Sspon.06G0035540-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLFTTTNCETELSETQSPQSSAMASRSSSSRRTAASSGCLQKDGVQDELAAVRRPSLLMAASSGDWEQLLEEVLGKEEGAAVASLLARDIVIDIAGGGEQGHSDGSQVLTERAGSALHVVAAAGDSEPYLQSARVICRRARRLLAAPDGDGDTPLHCATRAGNKGMVARLIELAAEGDEEHGGGGREKVRDLLRMQNARGETALHEAVRFGDRDMVQLLVNKDKELARVVAKDGTSPLYLACSLNHHRIAAKLHDADDELSYSGPNRQNALHAAALQDKGE >Sspon.04G0012350-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4C:42591098:42592563:-1 gene:Sspon.04G0012350-2C transcript:Sspon.04G0012350-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLARRQRHQHQQQQLTHGSDDLFEANYGDIPLEEIQSKAISGRSWTQVCDLDAVAVGRSVLVRAAIQAIREVSNKMVFFVLRQSMSTVQCVLVSSADSGTSTQMVRFTASLSLESIVQVEGVVCLPKDPVEIQVRKIYCVNRAILALLINFEDLARSENEIEKVEHDGQQQHIRVGQDTRLNYRTIDLRIPSNQAIFRIECQVENKFREFLLSKGFVGIHTPKLIAGSSEGGAAVFNLLYNGQPACLAQSPQLHKQMAICGGFERVFEVGPVFRAENSHTHRHLSEFLGLDAEMEIKEHYFEVCDIVDGLFLSIFEHLNENCKEELEAINRQYPFEPLK >Sspon.06G0022720-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:60714790:60717598:1 gene:Sspon.06G0022720-2C transcript:Sspon.06G0022720-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMGGPWRGSPVPGAFIFAFIGVGAILFITSIFGCAGARNGCCLSIVSFLILDSHLFMNLISCDVLIQFDFCYSLVNYQYSFLIILFILVELGAGGFIFFNHSWKEVIPVDKTGNFDMMYSFLKENWRIAKWVALGAVVFEALLFTVAIIVQSGNQADYDSDDEYFGARSGIRQPLVNQQAAAADPRVPNLDYRPIRNDAWSQRMREKYGVDSFDPNRFQQATISPGEQRNRCTIL >Sspon.02G0035650-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:22345677:22347101:-1 gene:Sspon.02G0035650-2C transcript:Sspon.02G0035650-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALAAAAASGDGSDDSGAANNGALPPAHGVEDNSPAELAAAGGASSSSSRAAAARRVAAAAGASGSSPVAAARSRGTGAAVAAAAGGVGPSCQVERCNADMGVEKRYNRRHKVCDAHRKASVVLLAGLRQRFCQQCSRFHELSHFDDTKRSCRLRLAGHNERRRRNPAEAQDQNGGRGDPGNHHLHIR >Sspon.07G0019090-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:69332341:69335457:-1 gene:Sspon.07G0019090-1A transcript:Sspon.07G0019090-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLLQNLADMDITYKALQDTDIGRHVNGLRKHPSSEVRQLVKLVVRKWKEIVDDWVRLHNSGGDGGGSIISDGDSPDKVQPKYHQNTHASDFKYSPSPQRHNVLSSERSGNHNMVESTMEKRRTSPAPACHNTKQNNSNNYPTISSSAPARAIREQKNTLLDAEKLDSARKRLQENYQEAQNAKKQRTIQVMDIHDIPKPKNRNTFIRKTGGGGFPGKHR >Sspon.06G0003740-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:37193787:37202190:-1 gene:Sspon.06G0003740-1A transcript:Sspon.06G0003740-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSKGGAAKGGGGKKKEVKKETKLGMAYKKDDNFGEWYSEVVVNSEMIEYYDISGCYILRPWAMEIWELLKEFFDAEIKKLKLKPYYFPLFVTENVLQKEKDHIEGFAPEVAWVTKSGKSELEAPIAIRPTSETVMYPYFSKWIRSHRDLPLRCNQWCNVVRWEFSNPTPFIRSREFLWQEGHTAFATKEEADEEVLQILELYRRIYEEFLAVPVSKGRKSEMEKFAGGLYTTSVEAFIPNTGRGIQGATSHCLGQNFAKMFDITFENEKGVREMVWQNSWAYTTRSIGVMVMTHGDDKGLVLPPKVAPIQVIVIAVPYKDADTTAIKGACESTVYTLNQSGIRADQDTRENYSPGWKYSHWEMKGVPLRIEIGPKDLANKQVRIVRRDNGAKVDIPVTNLVEEVKVLLDEIQKNLFKTAQEKRDACVQVIKTWDEFTTALNNKRLILAPWCDEEEVEKDVKARTKGELGAAKTLCTPFEQPELPEGTLCFASGKPAKKWSFWGRSY >Sspon.07G0012080-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:40785018:40788921:1 gene:Sspon.07G0012080-3D transcript:Sspon.07G0012080-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSVGTLSSSLSLIILLATLLALAAPSLCAMPLHHEPNDDLEALLCLKHHLSDPTGLPPSWKNDSMQFCSWSGVTCSKTHPSRVVALDLESLDLHGQIPPCIGNLTFLTRIHLPNNQLQSQIPSEIGQLNRLRYLNLSSNNFSGEIPETLSSCFALQAIDLGSNTLSKSIPEAFLSLGSSSSLVSVALTNNSLTGPIPSLLANSSSLQLLRLRNNHLSGEIPPSLFNSTTLRMLVLEENNFVGSIPVLSNIGSPLQYLILQSNGLTGTIPSTLGNFSSLLWLTLDGNSFHGSIPMSIGKIANLQVLGMTNNVLSGTVPDSIYNMSALTDLGMGMNNLTGEIPANIGKNLPRIVNLIMARNKFTGQIPTSLANTTNLQIINLWDNAFHGNIPLFGTLPNLIELDLTMNQLEAGDWSFLLSLTNCRQLVNLYLDRNTLQGVLPKSIGNLSSTLEVLFLSANEISGTIPDEIERLRNLKVLYMGKNLLTGNLPYSLGNLPNLFALSLSKNKFLGQIPVSLGNLSQLNELSLQENNLSGQIPGALGHCKNLDKLNLSYNSFDGSIPKELFTLSSLSNGLDLSHNQLSGQIPLEIGSFINLGLLNISNNMLTGQIPSTLETPKDRPTMKDVYAKFVFEWIMYMSCVVVMPLDMVWETRLVSAE >Sspon.05G0014840-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:49294049:49295820:1 gene:Sspon.05G0014840-1P transcript:Sspon.05G0014840-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPGAGAGAPPASSVHGNVDYDDFHWDDAAEAELQAIEAAYASASAAAKRRRLPDWTSPSPTLSSRPRYSQSPVSGRSTPSWTLTPHTPQGNVRARRQQISFSGKIVYCRTPSEAEKAATDILHKIERMKTPGQVSLGFDLEWRPFPRRGEPPCKVAVMQLCMEKTVCYVLHIAHSGVPPILKTLLEDSSSIKVGICINNDARKMLNGYDVCVQPLMDLSTLANVKLATPPKRWSLASLTEMITCKELPKPSNIRMGNWEVDVLSKQQLQYAATDAYISWYLYETFPDYTAEAETES >Sspon.04G0012970-3D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4D:56853087:56856035:1 gene:Sspon.04G0012970-3D transcript:Sspon.04G0012970-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLRLALCCALLLVQVVSLPVSVDASSKLYIVYMGEKKHDDPSMVTASHHDVLASVLGSTDEAQKSIVYTYKHGFSGFAAMLTESQAKIIASLPGVISVKANTHYKTHTTRSWDFLGLDYDQSSPSDLLKKAKYGEDIIVGVVDTGIWPESRSFDDSGYGPLPARWRGTCQTGTAFNASSCNRKIIGARWYTGGMKPEALKGEYMSARDLNGHGTHVASTIAGGQVRNASFGGLGAGVARGGAPRARLAVYKACWGRGSCNTATVLAAIDDAINDGVDVLSLSLALQDEIPGTLHAVARGIAVVLSAGNEGPAAHTMRNAVPWVLTVAASTIDRSFPTMMSLGNNETVVGQSLYYNDTLGSSDFHTLIYAFSCDEETLSSTNISGMVVLCSAPLLASTTLPPQGFNDAAALVAKAGAKGLIFAQHNSNLLEATDSFRGVLACVLVDFEIAQRIASYASSVETPVVRISRTFTVVGNGVLSPRVAAFSSRGPSPLFPGIIKPDVAAPGVGILAAVGDSYKFNSGTSMACPHVSAIAALLKSVHQDWSPAMIKSAIVTTASATDRFGMPIQAEGVPRKLADPFDMGGGHISPDRAADPGLVYDVDAGEYTKFFNCTLGPKDDCESYVGKLYQLNLPSIAVLDLKDSVTVRRTVTNVGPVEATYRAVVEAPAGVSVSVEPSVIRFSGGVGNKATFRVTFTARQRVQ >Sspon.08G0022860-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:53510156:53512957:-1 gene:Sspon.08G0022860-3D transcript:Sspon.08G0022860-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DYGNENVELVKSISDLQFPKAAILGNHDCWHTHQFSEKKADRVRLQLTSLGEQHVGYKCLDFPSIKLSVVGGRPFSCGGDRLFRPKLLSKCYDVNDMAGSAKKIYDAAAGAPEGHSVVLLAHNGPTGLGSRMDDICGRDWVPGGGDHGDPATSYVACLNKNYVDLERAISDLQREARVCIPLVVFGHMHKSLAYRRGLRKMIAFGANHTIYLNGAVVPRVKFSQTIPRYEQNQPEGSGSIAPTLRAFTIADLSEGRVEKISEVWVLVSGARTEVEEEIVLYKHPQEHM >Sspon.03G0032600-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3C:47196930:47198124:1 gene:Sspon.03G0032600-2C transcript:Sspon.03G0032600-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding QAKREPMRFRNASVNNKLLQTPMCLSLTLNSTSQGSDHQTEEELEPVCKPIKDFLDIVYPESKRCCCFTKSYYRYVKGTGSLLATSENLKWVPEEKLQISSLKELGLRFFTPREVANFHSFPSSFNFPDRISLRQQYAMLGNSLSIAVVGPLLHYLFAEA >Sspon.08G0002570-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:4049450:4050956:1 gene:Sspon.08G0002570-2B transcript:Sspon.08G0002570-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding HGVSSCVLAAGRTASLFRRLRRHGGRGGQLQPGVRHHLGRRAGQDPGQWPAPHADAGPDLRVRVPVPARVPVRQDRHAAEAGAGELRGHRHRLLPVVAGQHPRRDRLRVPRQRLRRAVHAAHQRVHAGPGAARAAVPPLVRPHQGLPYLLHPLEPQARQLHGGRHADQGLPQPGEQGGGVPQEPADAALLQPVERRRLGHAGRPRQDGLVPRPVLRLLPGLQGRRLRRRRRRQDALRRRRCRRHRGRRGGRRGLVQPGAGPHAAAAHAVGAAQVHDLQLLHRPQALLAGPPRRVLHAV >Sspon.06G0011280-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:48550876:48554117:1 gene:Sspon.06G0011280-2B transcript:Sspon.06G0011280-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRGRGRGRSGSRTGGGRSGSGPSNSKPAALRRIMRELQPSVKGISGSKSKASEGASQSVTRSASSSSSLSTEVSSDGGYTSGFEEEFGLIPDSDSDGQSGGFELDERGKEIASICEPAICCRHPPIQINEHAIRLCVNLWAEFGDPLKATWVVYPKGFLSLEDKSSAITDAGVDKQLVDMINKWHRPGMNLCVGKTEYKRIIESVLVSICSLSGMEIPCMCCQTVMEIMWGIQQQMSVLVPREKSKLTKEDRLSMSQGLKKFLSHYGYDVKPEMVNEKIVMTACSLFHYDVDDEKNLGLLRDAGLNIRDISGIACEDWDILKLAIAVKVICCPEEELRGFDRVLAEDVVSKLKGDARKYEGIPIRVCWVRAYKAAVSNRHQRIAKEELLRSLVKKAKEAYEVRRSPRLNRKLYENSGEGSPP >Sspon.06G0001710-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:5545025:5546173:-1 gene:Sspon.06G0001710-1A transcript:Sspon.06G0001710-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKRPRKESHEDDAEMRRRGCSPSPRTPGLVPWSHSANSPARQRYLYLVLDDWQRGYSIHRLGEDDFKSDAGLDARAAECPLVRVQAQHAYTCSFADHGSKILAMQPAGFSPGIPVLDTETLEMTVYPFPKSRAVTGTSKLVHTSVGDRLVSFVFRYLEVLGPEPQPPVVESSWSWSSPVEPFPPFDSSRVSSYALHPDGRTIFMSVEDWSVKPTSLAKRKRNSTYTLDTERLEWTRLGDWLLPFKGRAHYDRELDAWVGICLFKEGAGHLCCCDVPPAAGCRTMPAWKLGKDLLFDAASIRHWGATLVYMGDSRFCLIESRVAEDYDSDQRLRVLTMTSFGLKYDKVGELMTSQYRAYASMSYQTTHIQPGHRLDPVAFWM >Sspon.06G0002600-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:6954001:6956485:-1 gene:Sspon.06G0002600-2P transcript:Sspon.06G0002600-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARFDPYENNGGTCVAVAGADYCVVAADTRLSVGYSILSRDHSKIAQLADKCVLASSGFQGDIKALQKALTAKELIYEHNNNKKMSCPAMAQLLSNTLYYKRFFPYYAFNVLAGLDTQGKGCVFTYDAVGSYERTGYSAQGTGSALMMPVLDNQLKSPSPLLLPARDAVTPLSESDAVDLVKDVFASATERDIYTGDRLEIVVINSSGTHRECIELRKD >Sspon.07G0021670-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:3775306:3776157:-1 gene:Sspon.07G0021670-1B transcript:Sspon.07G0021670-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIGAPPDDSRQRPADHAANILENVWATIMTGSATPASSSTAASSEVGEERPPEAILERLPSLGRWISMGAEEWDELLLSGTALASDDAASGELLAASPANQEADRQHGHRASSSSAAAAACKSYRGVRRRPWGKFAAEIRDTRRKGARVWLGTFATADEAALAYDKAALRMRGPRAHLNFPLDVVQRELQAAAAGDGCAETTRVLRRKRRRANHDAAGDDTRSHVSVMAAATGRDPTMMSFACAKKDQGTPPSMMPERSISDPGAVIEFEDIGGEYWDYLFT >Sspon.01G0008840-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:3453241:3454893:-1 gene:Sspon.01G0008840-3C transcript:Sspon.01G0008840-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding HGVGGVVGDQPAGRRRGGDAAGPPAGLPLPPHRRGGRHALPHQQGAQQQLLLRRHRRRRPQQDRAVGSTGQGEDGREGVVLLLPQGPQVPDGDAYQPRHRQRVLEGDGQGQGDLPRPPRARRDEEDARLLHGPRAARRQDALGDARVPPRGKPAVQPPPRCQGRVGCLQGVQQRLGGQGWANGAAARRRRGHGAQRLAGLPRRPGARQRRPAAAHRLSVRRRRPHRRLQQVRRRRGFQLLVRRGGHERQRRVPSRQGRGEQQPRGRRVVLVLVLVPAAGRGAAAGHSEALQGGSAGDAADEPVPRRRGGRRHVPCGRPPPAGQLHGLLQHVEV >Sspon.06G0017090-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:77127664:77129451:1 gene:Sspon.06G0017090-1P transcript:Sspon.06G0017090-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATPSILQFTPSCAYAPTQGNEFNFSGLYLYHTYVGPNSTQSQIIVKDGIGTLTVNNWVIRDGLSGSSKVIARARGLHIFAGDWHNSFSLVFEDERFKESTLQVMGVPVEGGEWAIVGGTGRFAMATGVIKKRVHQRTGEGNIIELTIQGFCPLLKGSRSVAATKIGPWGGNGGSAQDITEPPKRLESITISSGDVVDSIAFSYVDQAGQKHTAGPWGGPGGNPKTIQLSDSEFVKEVSGTFAVYAGVVTVIKSIKLVTNLKTYGPFGQETGTSFTVPVQGNNGVAGFFGRSGTFLDAIGVYVHPL >Sspon.06G0000150-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6A:745140:746258:-1 gene:Sspon.06G0000150-1A transcript:Sspon.06G0000150-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQEAEVAVAPATMVHVRSKDDATSRSNQQAQPQQYWVNGALIIGAGPAGLAVAACLREQGLPSVVLERAGCIAPLWQHRTYARLKLHLPKRFCELPLAPFPPHFPEYPSRSQFLSYLHAYARRFAVAPHFRATVASARRDRACGGVWRVDAHVAGRGRHVQYVCQWLVVATGENAEPFVPDIEGLRRCISARGAPVMHAAEYRSGEPLRGKRVLVVGCGNSGMEVCLDLCHHGASPSMVVRDAVHVLPREVLGRSTFAVSAAMSRWLPLWLVDRILLAVAALALGDVERYGLRRPAVGPLEIKKREGRTPVLDIGAVAKIRSGQIKVVPEVRRFLPGCAGWGWQQNSWMAPSSRRTPWCWPPAIAVTWPRGS >Sspon.07G0017140-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:61754365:61755540:-1 gene:Sspon.07G0017140-1A transcript:Sspon.07G0017140-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGDDTKPAAMEMTLSTSSPSSSPTPAPPPPPPSVLRSVLLSYAYVGIWMSLSFSVIVYNKYILDPKLYNWPFPISLTMIHMAFCATLAFLLVRVLRVVDVPSPPKQAMTPLLYASSVLPIGALYALSLWFSNSAYIYLSVSFIQMLKALMPVAVYSLAVALRTDAFRRATLLNMLAISAGVAVAAYGEARFDVFGVMLQLLAVAAEATRLVLIQILLTSKGVSLNPITSLYYVAPCCLVFLTIPWYAIELPRLRAAAAGGRLARPDVFVFGTNSFCAFALNLAVFLLVGKTSALTMNVAGVVKDWLLIAFSWTVIKDTVTPINLVGYGIAFLGVAYYNHAKLQALKAKEAQRKAAAMAVDKPDDDAEAGARLLPPDNKDGAGDGGDHKN >Sspon.02G0040010-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2B:64194062:64195909:1 gene:Sspon.02G0040010-1B transcript:Sspon.02G0040010-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPKIRTIIDFAPSSYVLREPDFPHYPLPCYFSHRCQHLSPRDWRRTLPVARRRRDSLCDSHPCSRGATIGKRDKGPGGRRIVRQGRAAAHPLAPPALHDI >Sspon.07G0008150-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:22138073:22138354:1 gene:Sspon.07G0008150-1A transcript:Sspon.07G0008150-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MCPEHCSWPRHGSPGPGIGSGTNRKLTAYALSFSPFIQNTSYTTQPGIYFLSEHLCTFKVQLFTSGRAHGRVSVGARSAVAGESLASCELRAF >Sspon.07G0014770-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:52756752:52760003:-1 gene:Sspon.07G0014770-1A transcript:Sspon.07G0014770-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALQWILSTGTSFFEGIQLSNDLDRLRASLPKARMLICRGEWGMFKDKQLAKLLSHLKDTTYDAEDLLREFDDQVLRQRIENADRSRPGQLFSSSLNLATTLIRGSKARIKETQDKLDKVVSEIEGALNLKGLMSVEPSQIMPETTSVVSAPEVVGRDGERNALIEMLGVTIGREAQRDQVIKLLGVPLTGGRRSARSNGKRAATGNDDDVWPNANADWEAFYAPLRHGPEGSMILVTTRCPMVATRVITTNCEPFHLEGLPTDVFWDFFRKCAFGTNDPESYPHLQDIGRSISTRLCGSPLAAKTLGRLLNMRLTEQHWRTIQKSELWELRHEDNDILPALQLSYLYLPEEVKRCFIFCCMFPKDYSFERDKIVDIWVAEGLVAPGGSMHPEDVGISYLDELRNRFLFQTDPKFPNKARYVMHDLIHDMAVSFSMDECLVMQDLSDQNNSRMHSTVRHLSIEVDGESLIRMGDIQHLSKLHSLRFKIGFDVEITWFNQLNNILYLSLKGCKLVKLPESICQLKSLCYLDISHSSVQELPRKLWCLYNLQVLDASRSSLKLIHQDVTKLINLRQLALPAEASQALSRVSGIGNLSCLRNLRDFRVAKENGRGIGELKFMNQLNGVLSIRSLANVSSVEEAAEARLVDKRCLKGLVLQWREFVTSRVRVMSNENGVLELLRPHPRLELLKVHGFGGDRLPSWFKPDDLPTLGSLELFACSLASLSVPCSADETHASVTGDDGIHHACSSISRSNGVASFAFTSLTVLRVHECRKLTNLEQFLTPEKLPSIKSIALEKCWYLTSVPVHSFVGFVCLQDLKICGCCKLECPREMVLPTSLRRLCIVECNELDRSFPACLENLTSLTLLQLASCDGVRCIPLNLIGSNMLKCVVISHCQKLSSIGGLHSLESIQHVQLSDCSNLTEVQLPFKKGELQTKEGKELLKFLCDPKTVCFYKAIADLRRSHMSN >Sspon.07G0025220-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7B:39004238:39004807:-1 gene:Sspon.07G0025220-1B transcript:Sspon.07G0025220-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHGGAGGSAEKILRLPILPEGSDRRHKQTARPWTLRRKPVPGANLAIITLRELAGHHPHQSPSPSRPSPSSPPSLTSARAQHDFGAAHSGLARSGKPPPWTHRSTTGQPRAPCHGTMSTHFRRVTFPAHTGKGKGNASRLVALITVGLAARSGRLLLVPAVNTFRAQASRRGAFATLQCWSIAPRSIR >Sspon.02G0031920-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:116661585:116666030:1 gene:Sspon.02G0031920-1A transcript:Sspon.02G0031920-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPALEGSQPVDLSKHPSGIVPTLQNIVSTVNLDCKLDLKAIALQARNAEYNPKRFAAVIMRIREPKTTALIFASGKMYARIIQKLGFPAKFKDFKIQNIVGSCDVKFPIRLEGLAYSHGAFSSYEPELFPGLIYRMKQPKIVLLIFVSGKIVLTGAKVRDETYTAFENIYPVLTEFRKVQQWM >Sspon.02G0015480-1P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:46253478:46254965:-1 gene:Sspon.02G0015480-1P transcript:Sspon.02G0015480-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSAPAPASTLSCGGAHDAQAQLPHVVIFPFMAKGHTIPLTDLAHLLRRRQLATVTFLTTPGNAAFVRAALAGADGVAIVELPFAADNVTDPGAPPRPECVEALDSLSSFPAFVESVSQLRPRFEEALAALWPPASAVVADAFLYWAHAAAAAHDVPTLAFFGTNVFAHVVREVVLRDNPASVLTRGTTPDDAVFTVPEFPDVQLALADIPFPFNDPATTGPIREMDAKIGYAIANSHGLIVNTFDAMEGRYIQHWNRHVGPRAWPVGPLCLARTAPEAPWHWHGDVAKPAWMRWLDEKAAAGRAVLYVALGTMVAVPGSELREVAPGFEERVQGRGKVVREWVDQRAILAHECVKGFLSHGGWNSALESISAGVPLAVWPMGAEQPLNAKLVVDELGVGIRVPPKSEAVSGMARSKQIARVTCDLMTGETGVEVARKMAALAAKAREAVAEAGSSWRAVEELISVFSKRSAQ >Sspon.03G0025500-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:44415850:44426470:1 gene:Sspon.03G0025500-3C transcript:Sspon.03G0025500-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SIHGEAAVDGVFDDRRVEKKAIGGRVGCVIDEHQRITLVNEAIDKRKERTEEGEATSSAMTLSLSTSFLPTPAAARTTTARTLRSVVPSQGMRCSMRKKGLHPEIYEDAKVYCNGELVLVTGGTKPEYTVDVWSGNHPYYVGDTSALVVMDSQIEKFRKKWGHIKEYWPEDHSSPRGTTDCDPRNWLTSFLITGACVVAVGGRRPWPPAALGHSGVCSRGRAAPTATGRGWMGEGGGEASMKAGTYLTGGDTAQRRRHLAFTARCPSGSCAAVAVERVWVSSLPPAELASMGFRRFRGNEMPIFPLRRRQEKVAKFNAARALGFATGAVRSGTQRGRAWLRADRTCGASLDSDQTPARPVKTTALSDDPHLVCGCAAPAEGSTLDSRLAHEPTSGCIATTGSSLPGSK >Sspon.01G0028490-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:113890109:113895334:1 gene:Sspon.01G0028490-2B transcript:Sspon.01G0028490-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding EMVSGSGVCAKRIVVDARHHMLGRLSSIIAKELLNGQKVVVVRCEEICMSGGLVRQKMKYLRFLRKRMNTKPSHGPIHFRAPAKILWRTIRRMIPHKTKRGEAALARLKTYEGVPPPYDKTKRVVIPDALRVLRLQPGHKYCLLGELSKEVGWNYHDTIQELEEKRKEKAKVAYERRKQLAKLRVKAEKTAEEKLGSQLEILAPIRITVYHSTKCRDRDEKLSMTVV >Sspon.05G0024030-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:19613184:19622959:-1 gene:Sspon.05G0024030-2D transcript:Sspon.05G0024030-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSRALFLSCFHGSGAEVSRHLALRPRYPSNPRRPRSAAVAGEGSSEGGGSGGDLEAANGTADKDEEEKVSVFAVTGMTCAACAGSVEKAVKRLPGIHDAAVDVLGGRAQVVFYPAFVSEEKIREAIEDVGFEAKLINEEVRERNILVCRLHIKGMTCTSCTGTVESALQAFPGVQRASVALATEEAEIHYDHRIVAASQLIHAVEETGFEAILITTGEDRSRIDLKLDGVLSERLTMILKSSIQALPGVEDVKVDTELHKITVSYKPDQTGPRDLIEVIESATSGDVTASIYAEAEGREHHRYVEIKRYRQSFLWSLIFTIPVFLTSMVFMYIPLLKDGLEKKVVNMMSIGELLRWILSTPVQFVIGRRFYTGAYKAICHGSPNMDVLISLGTNTAYFYSVYSVLRAATSENYMSTDFFETSSMLISFILLGKYLEVLAKGKTSEAIAKLMDLAPETATLLMYDNEGNVVGEKEIDSRLIQKNDVIKVVPGGKVASDGFVIWGQSHVNESMITGESRPVAKRKGDTVIGGTVNENGVLHVRATFVGSETALAQIVRLVESAQMAKAPVQKFADQISRVFVPLVIVLSLLTWLVWFLAGRFHSYPYSWIPSSMDSFQLALQFGISVMVIACPCALGLATPTAVMVATGVGASQGVLIKGGQALESAQKVDCIVFDKTGTLTVGKPVVVNTKLFKNMVLREFFDYVAAAEDNSEHPLAKAIVEHAKKFHSEENHIWPEARDFISVPGHGVKAKVFDKSVIVGNKSFMLSLSIDIPMEASEILIEEEENAHTGIIVAMDQEVVGIISVSDPIKPNAHEVISYLKSMNVESIMVTGDNWGTANAIGKEVGIEKIIAEAKPDQKAEKVKELQLSGKTVAMVGDGINDSPALVSANLGLAIGAGTDVAIEAADIVLMKSNLEDVITAIDLSRKTFFRIRMNYVWALGYNIIGIPIAAGVLFPSTRFRLPPWLAGAAMAASSVSVVCWSLLLRYYKSPKKFDK >Sspon.06G0019440-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:1847461:1851333:-1 gene:Sspon.06G0019440-2C transcript:Sspon.06G0019440-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSCFSSEAPAAGDGPAAWRKRRHGSREGAAGARAGGGKKLPGGGAEMTEDELARVSGRMCGNGASAVACLHTQQGRKGTNQDAMVVWESFNSSDSVFCGVFDGHGPYGHFVAKKVRDSLPVKLRTQWQTSANGGSSPHQNGSISGSINSEETGSIVDDEWGDGDDTEKLPEMFLPLKQSYLKAFKTMDKELKLHPTVDCFCSGSTAVTLVKQGLDLVIGNLGDSRAIMGTRDASNNLTALQLTVDLKPNLPREAARIQQCKGRVFALQDEPEVARVWLPNNDSPGLAMARAFGDFCLKDYGLISVPEISYRRLTEKDEFIILATDGVWDVLSNKEAVDIVASAPSRATAARALVDCAVRSWRLKFPTSKSDDCAVVCLFLDHEKSADSVQESEPSVETAEPTGEEVSTQDASAEVDEEVADASVHVSSAEHSAKDTLQHSTTLREVDEIVPVNEPPILKEPE >Sspon.04G0032340-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:25704169:25708065:-1 gene:Sspon.04G0032340-2D transcript:Sspon.04G0032340-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVKHYSASVTRLGTMRNRANSRRKSGDRADRANYSYNNDGEKSHVPSLKNLPPIVHHETFFSNVQNDYNQTGLVPLEGCSASEASQLLSDRWTTAMNMYNDQTIDPSEKPVMYSGSSSSSWGHLKLPHQMNFLEELRRALDAHTEVASPVDTWN >Sspon.01G0037700-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:25057678:25070657:-1 gene:Sspon.01G0037700-1B transcript:Sspon.01G0037700-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTVKWLKEGFAKSKKEFSREIKKLGSVQHPNLVPLRGYYWGPKEHERIMISDYVDATSLSTYLSEFDERNLPPLSVGQRLNIAIDIARCLDYLHNERVIPHGNIKSSNVLIQNSTPSALVTDYSLHRLMTPIGMAEQVLNAGALGYSPPEFSSTSKPCPSLKSDVYAFGVILLELLTGKIAGEIICMNDGVVDLTDWVRMLALEERVSECYDRHITDVESLEGTPNALDGMLHIAIRSERLASCATALQDQLFRGLEAVLGTTFSSEPLAPPPQPMILVISGPSGVGKDAVIKRLQEEREGIHFVVTATSRAMRPGEVDGKDYYFVSKEEFLTMIEREELLEYALVYGEYKGIPKQQIRDYMAKGCDIVLRVDIQGAATLRQILGESAVFIFLVAESEEALVKRLIHRKTETSDMLLVRVATAREEVKRMKNFDYVVVNAEGKLEEAVKQVESIIDAEKAKIHKRHACPRGRSQRTLMEIAEALQAYTGLTPGAAATILALMVATYLLVSSLFVAPAPAPPPKPPQQQREGQKAQEEGEEEPMPFVYPDPVEVARSRSSSSVRTTARTPPSRSSSPSAARSTMSPAGVLIAQTSFEVATADLRWTNVCILPDSAIFSDNYPTKLFYGPQGPYSLFAGRDATRALALMSFDPNDLTGDLDGLSPDELEVLQDWEEKFKERYPRVGHLACQDAAGSRQNTAGLHHEEGDA >Sspon.02G0052440-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:92092220:92096769:1 gene:Sspon.02G0052440-1C transcript:Sspon.02G0052440-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLDAGSFHVVSVRSTSSNDSHIIFYHRVVPSNEMVKSEFAFLAKGPKALPCITIRNSSIGNPPIVGYHPISTSVNASGQQLQSEPEAHDSTSIWRAISSSLDAFYRFSRPHTVIGTALSIVSVSLLAVQSLSDISPLFLTGLLEAVVAALFMNIYIVGLNQLFDIEIDKVNKPTLPLASGEYTPVTGVAIVSVFVAMLPYLRWKRFAVVAALCILAVRATFVFRRPAVFSRPLIFATGFMTFFSVVIALFKDIPDIEGDRIFGIRSFSVRLGQKKVFWICVGLLEMAYGVAILMGATSSSLWSKTATIAGHSILAAILWSCARSVDLTSKAAITSFYMFIWKLFYVEYLLIPLVR >Sspon.06G0009690-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:41672761:41674976:1 gene:Sspon.06G0009690-2B transcript:Sspon.06G0009690-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSAVLAGRNEVHHAHPHHRHWGGARVPLMPKPSSNPNPRRHHRPGPNPIPSGSPPAPPRAAAAEPLPSSPSGHVKFRPSEMTPAEARLLRARLTGELGRVRAFLSRIDSWQDGQRRRRRPEPEPEPPARRSSPPPALVEAMRKRCADILMRLRRSKKSVWFNSPVDVEGLKLHDYRAIIRSPMDLGTVKQNLTAGRYPSHEAFAGDVRLTFNNALRYNPPDHHVHRYAGNLLASFEGMYKEAVSWFEQQRQQLEPPMQLDLPPPPPPQLPVSVPVQAPLRMGGGRRPKPKAREPNKREMDEEEKQKLRVEIENLPEEKMLNVLQIVQKRNSDPALTGEEVELDFDELDVETLWELDRFVVNWRKALKKSQRNSMMNGDAAAMNGHAIDVTIVPDDDDMVEVAVNPSVVVEIGESETDIPKKREMEVEDEYVDIGDEMPTVNYQSVEIEPDSPAASGSSGSGSGSSSSSDSDSDSESDEDDASAPH >Sspon.01G0008330-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:23277445:23281054:1 gene:Sspon.01G0008330-3C transcript:Sspon.01G0008330-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAVVSQAMLLLRERDLQLIRDIPDEIKDASAYGDQFRIQQVLADFLLSMVRSAPSENGWVEIQVRPNVKQNSDGTDTELFIFRFACPGEGLPADIVQDMFSNSQWSTQEGVGLSTCRKILKLMGGEVQYIRESERSFFLIVLELPQPRPAAGREIS >Sspon.06G0011770-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:64105314:64108544:1 gene:Sspon.06G0011770-1A transcript:Sspon.06G0011770-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding CLFPRARRTAAAAPATTGSSTAASSTTSRPRPAGPSRGTRPRLLPTPGVEVGSYVNTTDVFKEPNDLRSEAQQLKETNGSLEEKIKELKAEKDELRDEKQKLKLEKESLEHQMKLMTSTPAYMPHPTLMPAPFPQAPLAPFHAQGQAAGQKLMMPFVSYPGYPMWQFMPPSEVDTSKDSEACPPVA >Sspon.01G0011610-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1A:31655782:31656728:1 gene:Sspon.01G0011610-1A transcript:Sspon.01G0011610-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding KYVDTSSQDGEPAKEPCIDDVMELYIGATGILQLLKGVLVAFAWAFDAQQVFITAFTDAEPRWHCLTTASAGDSSCSPAEASPCALPPGAWAWDGPAEASVVSEWGLSCAGALVSLPASSFFAGCLAGGFLLTTLADSLLGRKKMLLVSLVSMSLAAVLTIFAPNVWVYAALRLVSGFFRSMVGACTLVLSTELVARRCRNMVSAAAFFGFAIGFLSLPALAYAFRDASWRNMYFWTSVPCLCYSLLIYFLVPESPRWLLVRGRKLDAIEALKQIMSLNGSCATASFSVLDGCTMHEDNDAAGASSGSVFATLQA >Sspon.06G0006750-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6B:20355704:20355968:1 gene:Sspon.06G0006750-2B transcript:Sspon.06G0006750-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding KDHTEEAKPAKDKKEKKPKKEKKEKSKDKEKEKVGEITDAAKLRAKLEKLDAKIDDLKAKKQEIVARLLELEGTSPACVEAAAQPVTS >Sspon.01G0005480-1P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1A:14595673:14601916:-1 gene:Sspon.01G0005480-1P transcript:Sspon.01G0005480-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTDGFSLCTREIFDGFNFALVSEFTEKKINLLRSNGIVLLSEQKIRAVVTNAKQMQKVVKEFGSFSNYCWSFVNHRPIKNGFRYARQVSGLQPAQGHSENDVRTEPALPDRRLGSPSSEDSDTREPLIPLDVEYNEYRTPKFPGLGLIHPPSTHLDPSLTRPRPTAAPNPTIALLEAASASAPRSGDAVTPNRIARVASRRSQPAYGMRALPAVRPAAGISRCRPPRRPRHRAELLPNPGAAVDRRRFPPAIKLDHADYITMLRYDRPYPVSKARGRLIGWAGEVGDNRGWIMDARERPASRTLSGQVRPDRSKPSRTT >Sspon.02G0014940-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:40134881:40137278:1 gene:Sspon.02G0014940-1A transcript:Sspon.02G0014940-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFVTLMVLASPVLILLLRAAWITLSCYFLTPLRIRRIMARQGVHGPPPRPLIGNLRDVSALVAQATADDMPALSHDIVGRLMPHYVLWSRTYGESEMARMYQRPPPHCTVDRVPLRRWNVLVTLVFVRACAAGKLFVYWYGSEPRLCLTDAAQIKEFLSSKYAANATGKSWLQRQGTRHFIGRGLLMANGAHWSHQRHVVAPAFMPDKLKGRVGHMVECTKQTIRALQEAASAPSPGGGQRREVEIGGYMTRLTGDIISRTEFDTSYDTGKLIFRLLEDLQRLTASSSRHLWIPGSQYFPSKYRREIRRLNGELEAVLTESIGRSRAIADEGRTTSAYGRGLLAMLLSEMEKKKQDGAAAGQQAEQQFSYDLQLVIDECKTFFFAGHDTSALLLTWALMLLATHPEWQDRARAEVARVCGDDPPSYDDLSKLTVLQMIIHETLRLYPPATLLPRMVFEDIRLTGGLHLPRGLSVWIPVLAIHHDESIWGPDAHEFRPERFARGPAPGVPAAYALVEAKVVLTMLLSHFRIAISDGYRHAPVNVLTLRPKHGVPVHLRPLRP >Sspon.05G0003200-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:19716792:19717990:1 gene:Sspon.05G0003200-3D transcript:Sspon.05G0003200-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASERNKDVPNEALSVDQSSISGVKRKRGRPRKYEYPVYELPQKVQPIQSAPPLLHCTQDGSSMASHTSGGSAHGNWSAQPRNLANASLQGNSGKDDFLGKHFVGKLTKKVPGFSLITVKVKDNQVLKGWVPDEINLRP >Sspon.01G0042290-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:86511408:86512141:-1 gene:Sspon.01G0042290-2D transcript:Sspon.01G0042290-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRWLQVTLALVAVAATVTLSSGQQMAAAFPTLPSCPPAPLSLSPCIGYVFGVGSATLASCCSQLRGFLQAQAPCLCAASKLAPSPIGLFLGQAQAMMPNVCDLPNPCDEAAAGEGEASTPPVAGTSATTPEATTPAAEPSSGTPAADPGTSGAPPTPTEDASATAMAPAGTGSKLPELLHAAGATSSRDMAAGTIFVAVLLASLATMYV >Sspon.05G0028980-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:74319985:74323133:-1 gene:Sspon.05G0028980-1B transcript:Sspon.05G0028980-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding EPDAAASASAAEGEGEGEAVLSLDADSFDDAVAKHPFVVALFYAPWCRQSKKLAPEVDGNDDKNWPLATGYKIEGFPTVKILRNYGINIQEYKGPREAEGIVEYLKEQVGPASKEIKSPEDAAVVLTSDETIYIVGVFAEFSGTEFSNFMEVAERLRSDYDFAHTLHANYLPRGGDVMVERPFVRLFKPFDELVAESKFDVAALEKFIDTSSTPRVVTLDKSPDNHSYLLKFYESSAPKAMLFLNFSAGTFDSFKSTYYAAAMYFKDKEIKFLIGDTEASQGALQDGKLTPFKKSQPIPEVNNEPIKVVVADNLHGVVFKSGKNVLIEFYAPWCRDCKKLAPILEEAATTLQSDDEVVVAKMDATANDVPSEFDGCQGYPAMYLVTASGNVTAYDGGRAANEIVDFVKKNKETARSTTVPPETLQTQPPPPSP >Sspon.05G0002340-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:6487032:6509492:-1 gene:Sspon.05G0002340-4D transcript:Sspon.05G0002340-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosome biogenesis protein BOP1 homolog [Source:Projected from Arabidopsis thaliana (AT2G40360) UniProtKB/Swiss-Prot;Acc:F4IH25] VAPRNTVGDVPLEWYKDEEHIGYDIDGRKIKKRDREGRIEAYLRNADDAKNWRKIYDEYNDEEVQITKDEAKIISRLLKGKTPHTNVDPYPDYVDWFEYEDKGHPLSSAPEPKRRFVPSKWEQKKVVKLVRAIRNGWIKFDKPKEERNLYLLWGDETDTADDKRHGLSYIPPPKPKLPGHEESYNPSIEYIPTQEEIDSYQLMYEEDRPKFIPKRFESLRSVPAYEKALREGFDRCLDLYLCPRTRKKRINIDPESLKPKLPSKKDLRPYPKTCYLEFKGHASPVKSISVAPRNTVGDVPLEWYKDEEHIGYDIDGRKIKKRDREGRIEAYLRNADDAKNWRKIYDEYNDEEVQITKDEAKIISRLLKGKTPHTNVDPYPDYVDWFEYEDKGHPLSSAPEPKRRFVPSKWEQKKVVKLVRAIRNGWIKFDKPKEERNLYLLWGDETDTADDKRHGLSYIPPPKPKLPGHEESYNPSIEYIPTQEEIDSYQLMYEEDRPKFIPKRFESLRSVPAYEKALREGFDRCLDLYLCPRTRKKRINIDPESLKPKLPSKKDLRPYPKTCYLEFKGHASPVKSISVEITGQWFASGSSDGTIRVWEVETGRCLKVWNVGGDVRNIAWNPSPDRPILAAVVGHDLLLINAEVGNEEMQMRVKDLLKIDEMAPQDDTDDKKPAVRWMKHEKLDGITLIHQKAVSNVEWHYKGDYFTTVYDLQKAQLVKKLESGLREISSISIHPGGDNVIVGSKDGKLCWFDTDLSTRPYKTLKIHSKDITSVTFHRKYPLFASSSEDCTAYVCHGMVYSDLNQNPLIVPLEILRGHSSSDGRGVLDCKFHPKQPWLFTAGADSVIRLYCD >Sspon.06G0012230-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:65205619:65209366:-1 gene:Sspon.06G0012230-1A transcript:Sspon.06G0012230-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATASVNFKSREDHRKQLELEEARKAGLAPAEVDEDGNEINPHIPQYMSSAPWYLNAERPSLKHQRKWKSDPNYTKSWYDRGAKLFQANKYRKGACENCGAMTHDKKACMERPRSVGAKWTNVNIAPDEKVESFELDYDGKRDRWNGYDPSTYTRVIADYEAREEARKKYLKEQQLKKLEEKDTEKDDENVGSEDDEEDGLRIDEAKVDESAQMDFAKVEKRVRTTGGGSTGTVRNLRIREDTAKYLLNLDVNSAYYDPKTRSMREDPLPDADPNDKFYVGDNQNRLSGQALEFKQLNIHAWEAFEKGQDIHMQAAPSQAELLYKSFKIKKEMLKSEHKDKIMEKYGNAASEDTIPRELLLGQSEKEIEYDRTGRIIKGQDVSLPKSKYEEDVFINNHTSVWGSWWKDHQWGYKCCKQTIKNSYCTGLAGIEAAEASADLMKANMARKEAAEDVPVQHEEKRLATWGTDVPQDLVLDPKKLAESLKKEKGRGKEERDEKKRKYNVHFDDQVTVEDMEAYRMTKIHHDDPMRAFL >Sspon.02G0004210-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:12052423:12058337:-1 gene:Sspon.02G0004210-2B transcript:Sspon.02G0004210-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAEAWARQEKVRKFEEFVDQRLKPDLANAIAQRDKVFEQQKTFSLDLKRNIESLERNGVTSMRSMVNLGSEVYMQAEVPDTRHIFVDIGLGFHVEFTWQEALQFISVREARLTRQIDEYTHLIASIKAQVKL >Sspon.03G0010090-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:27357485:27358906:1 gene:Sspon.03G0010090-1P transcript:Sspon.03G0010090-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSLSPSLFSLILLLPFLSLLLLHRSSFPGSSCSPALAGAASRRGSAAGFEGDLRDIEFSWNHLPFSASRPPPAKLKIAVFSRKWPVASAPGGMERHAHTLHTALAARGHRVHVFTSPPPHTEAAPSPSADGPQLHFLDGEPGQWRCDDAWKLYEAEGENDPFDVIHSESVAVFHRWALGVDNLVVSWHGISLEALHSGIFQDLARGEEEPRSPALNQTLGQSVYRVLSEVRFFRSYAHQVAISDSTGEMLRDVYQIPSRRVHVILNGVDEAQFEPDPPLGRAFREEVGVPKGADLVLGVSGRLVKDKGHPLLYEAFSKLVLRHPNVYLLIAGKGPWENRYMDLGRNAKVLGAVPPGKLKAFYNALDVFVDPTLRPQGLDLTLMEAMQCGKPVVATRFPSIKGSIVVDDEFGYMFAPNVESLLESLEAVVAEGARRAAQRGRACREYAKSMFAATKMALAYERLFLCVKNDTFC >Sspon.07G0033050-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7C:57176947:57177231:-1 gene:Sspon.07G0033050-1C transcript:Sspon.07G0033050-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ERTAYVTLRLDYAGIATLIVTSFLCDPALRRLYTDGRAMAVSDHGRDCERWKGNGARHTRVNRGASGERVRDRGARRWGLARDGFPCVRQMAAG >Sspon.05G0003580-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:9325204:9342847:1 gene:Sspon.05G0003580-1P transcript:Sspon.05G0003580-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQTNKKALKGMACFPLFFLIFLILGSFCQSKDQLTQVGDFALGFFSPNNSNRSLYLGIWYHNIPKRTVVWVANRDSPVTNPSSAKLAITSSPEMVLSDSKGHTLWTTANTAITGGAEAIAVLLNSGNLVLRLLNGIEVWQSFDHPTDTMLPTMRFVLSYKGQVARRLIAWKGHDDPSTGDFSYSLDPNTQLQDVIWHNTAPYCRTNVFRDMWVGGGVYQKQRPSWVLYQTRLSTEDELDFTYSVSNDAPYTRLLIGYTSKLSFQSWNNNTCGPFGHCDFMENLPMCRCFDGFEPIDNFNSSRGCQRKEVLNCQEENHFVTLPGMKVPDKFLHIRNRSLDQCAAECSRNCSCIAYAYADMSGAGTMTDTRCLVWSGDLIDMKDNSGENLYLRLADANSLAQNKEDIEKILPPVIACLLLLSGTAFVWRCKYRERSCTDVSSSRKTAQKGSPEENDVEYSWSTDETGDMNIEFPFYSFKDIGLLDGTKEVAIKRLSKGSGQGIEEFRNEVVLIAKLQHKNLVKLLGCSIHEVEKLLVYEYLPNKSLDYFIFDSARKPLLQWPTRCKIIQGIARGIFYLHQDSRLTIIHRDLKASNILLDEEMMPKISDFGMARIFCGDQHHANTNRVVGTYGYMSPEYAMEGVFSVKSDTYSFGVLLLEIVSGLKISSPHLISDFPNLIAWKLWKDGKTEDFVDSSVKDNCPLDEVSRCIHIRLLCVQDSPDCRPLMSEVLSMLENKTIQLPIPMQPVYFARRDAEPGRVCNNRVQSLNVMSFTEIEGR >Sspon.01G0004640-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:11603416:11609447:1 gene:Sspon.01G0004640-3C transcript:Sspon.01G0004640-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MCMHTGDHLHADAKIERSEDGVVAVVPRRIEQRQKTAEDPWPTGAEYLIWSTFANPEPIAVGIKEYYDLNRSKLVFAPADEWIGRSNTEIIDATMEELAKLFLDEIAADQSKAKILKYHVVKTPRSRIEGFYVAGDYTKQKYLASMEGAVLSGKLCAQSIVLVKLTMVLVPINRLIHPTWEELSIVFENKVNGRLFREPYIRQQDKTGAEDKHLQAVMIHPTRAHCFVPPVAGQGGGGSQNPMGDVSLNRPIKAEPTAGGIAKGNRVLDTMSAGWTDERHMLYISSMEASFVNQLYNHGNNPHLANGTGFKVLRRGVWEYIKYEKTNNAPVRSGAKCCVLANPWIQHFRPRDCGSNAQSDGLEASVGDHESGTQANRKGLSVSHGKEWEACKGEPQLLHESTEVSDQNFVDDAAEVEAESVKACKRRRLSSTSHYHDE >Sspon.06G0024220-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:51942456:51943979:1 gene:Sspon.06G0024220-2C transcript:Sspon.06G0024220-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASHHRCTSVASELEGTLLISGSLFPYFFLVALEAGGPLRALLLLAAYPLAALLGAAFSSDNLPLLAMTFLSTAGLGVGDVTAVARATLPRFFLADLRGSAFRALARHATGGDERYVVTRLPRLVAEPFVREYLGADVRVVGTELRVVAGKRFSGTVVASPGVVGGGDRSLGALVAVLGRDRTVDVGLYCSGGASAGGDRQPAFLQVCQERRVVSAPEKAPATPLPRSEYPRPLVFHDGRLVRRPDPLACVAIFLWLPLGVLLSVTRLLLGFLPHGAGLLLAAATGFRIRGTLGGAAEPAGPGRGTLFACNHQTLMDPVILSTVLRRKVTAVTYSLSSFSELIAPITTVRLTRDRGRDSRIMQGELTRGDLVVCPEGTTCREPYLLRFSPLFAEIVGEVTPTAVRAGGAMFHGSTVRGHKWLDAVFFLMNPAPWYEIRILTPVATCSGSSDGGTSSLDVANGVQRVIGDALGFECTGLTRRDKYRMIAGHDGVDARSSPPKPPPAS >Sspon.07G0021690-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:4114705:4118487:-1 gene:Sspon.07G0021690-2C transcript:Sspon.07G0021690-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPKAAAKSKRSHTVHGRRVHQTPAAAAAHRQKRAAAAATSSGPRSRNLPSNWDRYDDEGEAEDSAAVGEWTGEVAPRSKGADFGFLLEQARAQPPGGRDLSAPCLPSQDLPFDFMQASTSMLEAKGEGILSWCADDNFILEDDLAPDFEVPFLSMDLNALANHLSKIKLSRRLFIEEDLFPEDMADASEDNEIAIELDTSVEIDAKGSSVGHNLNFEPRKGTSHHEYAINISSDDQMKTERRSQCYAQETTASPKISTHTVNSDSEEDKTYKQIMDTDPGMSHSKGLKFEVGAAEEELDMLLNSFSGTHLSSSNLDDPFEHVSTLQEISRSNEKLSSRPPLLAPLNDALDDLLSETSHTAQNEGFATQSTTSQPTADSGQNIDLKKIDVTPSIDDSVDDLLEDTSSCLNEPKQITTAQASNSTPVDSVPLHSGPLNASASDDFDSWFDSL >Sspon.02G0023980-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:81744774:81752174:1 gene:Sspon.02G0023980-1A transcript:Sspon.02G0023980-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVRKQVGNPDMKYRRMGIIGVLRIVSTIASTDVNAAVNCSASQISILLADPYVPIFTFNNTSMQLNAFSTQVESRVDNVSQKARDETAVKLMKRVRNLILLEGLLNVFLKNYPLSLPELRYLGDCSGSTSTSKFNIPKNMGEQSINGASSKRQKGCKGKASEKMNPDDKLKQPTILDAFKRAGVTVSQATNKASSQPSSSGMMSKDIEQDANDPVSESCPDNWSSHSSSAGNPDIPYVVVSKSSIVTAVCKEILGCYRKLVAIPDLLNQPNMSILKQLLQTLQPTENFDHVLSEFQPSLAPCNVDYLYCGACKMLEDIMDPVSSFSYLLSSDVLITIQSIVNSVVVLLEKFGEPNGKNIHMGCSKAIIPFLRKRLGYSAHKLLSADFPSEDAEKGWQSKGDLIQKILQIYLRNSDSTSDRLVEILPKHEENTGSLNKTIKQALKARASPERGSVDTILQEIQKSVEAFVSLIGMCKAHEKVSMHAMAVKHGGKFIDTFLKGEELRFYKFTMYTCLDPFNFLLVTPILVYLRLDL >Sspon.08G0008560-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:32426076:32427887:-1 gene:Sspon.08G0008560-2C transcript:Sspon.08G0008560-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRFFGTSAASSAASYHCHLLPRNGSVHHELIRPHHDRPRSVIRCCSTARGRTRDYYYQVLGITVHSTPQEIKDAYRKLQKQHHPDIAGYKGHDYTLLLNEAYKVLMRDISRHADGRGRSRVGLGVGYTGDRYSSWNGPVRSQALFVDENKCIGCRECVHHAARTFAMDDVLGSAHVEIQFGDLEQQIQLAVESCPVNCIHWVESHELPVLEFLSRPQPKEGHGIFAGGWERPRNVFAAAKNFAKRLEREEQELERDQSSSSRSPNGDADCEAETEAQAKARRRAGEELRWKPLFDAWNGLIGWRKPAES >Sspon.01G0016510-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:53485988:53488204:1 gene:Sspon.01G0016510-1A transcript:Sspon.01G0016510-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAILARAGVTATAGKSLSSPTGRRQRNGIVVAAVTRTGTGVPQEGALERPAWSGETPLSRLVGALVAFKPLYSLLKVASREVIIRTAEKSNIPWREMTKEVLESDVYEVFERIQDPNLVYPDYYLNPFHAYDEGNLSWLAAAEAEPATMSIAKRAIPDATSIEEANQIVRGNWLNVNDILDIGCSVGVSTRYLAEKFPSAQAVGLDLSPYFLAVAAQKEEKLLRPNPIRWVHANGEATGLPSDSFDLVSLAYVCHECPARAIAGLVKEAFRVLRPGGTIALTDNSPKSKVLQELSPVLFTLMKSTEPFLDEYYMLDLEETMRKVGFVNVCSILTDPRHRTVTAT >Sspon.08G0023470-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8B:55865634:55866368:1 gene:Sspon.08G0023470-1B transcript:Sspon.08G0023470-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHHRVAGVDAAGGLGRAGVFLLTGTCAAAAYRAAAAGDVAFVAFVVVSYVVLLLLPRFLRADELLKRRVWALCMLLSVMFACKFSRVKPWPVAVGVWAAAAAISAGGFVLLFRQQRRLRELEQGGSGRDAKIKAAVWALITTLLITALFSGYPSEVAPLVVVGALVFLCLGEFERARIGLGGQYAGQVKMAFGVAACNFALAQHHSRGDAGSMALVFFAYVAFVTHTWFFLRRFDGRARGAGQ >Sspon.02G0008430-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:23367856:23372070:-1 gene:Sspon.02G0008430-2B transcript:Sspon.02G0008430-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFMSSISICIAALLLFPALCTSDDRLVPGKPLLPGTTIISDGGEFAFGFFAPSNSTPENLYLGIWYNNIPRFTVVWVANRATLAISSSAPSLVLTNNSNLVLSDVNGRVLWTTNTTTAGSSSPSPRSNTNGSVAVLMNSGNLILRSPSGMMLWQSFDHPTDTFLPGMKIWRSHKTQEGNRLVSWNGPEDPSPGSLSFSLETDPFIQGFIWNGSLPKWRSSVWTGYTVSSHQTNTSVGVYTTYVDTTDEVYVAFTVSDGAPPILLVMSYSGRVETRIWNRDSSDWAVVAVSPDYYECSRYSYCGPSGYCDHTDAAPTCKCLEGFEPVNKEEWSNARFSRGCQRKEALRCGDGFLALSDMKAPDKFLRIGRKTLKECAAECSGNCSCVAYAYANLNASTANGDATRCLVWIGDHQLVDMQKMGVLSYSTAGADTQETLYLRVAGLSGKRTKTNTIRIILPILSGVTVLTSILLIWVCKFRGRERNLENRKTLNHGGFASDELGEEKTTDDFELPFLKFQDILVATNNFSNTFMIGQGGFGKVYKYAMEGIFSVKSDVYSFGVLLLEIVSGIKISSVDRIPGYPNLIVYAWNLWKEGKAKDLVDKCIVENCLPDEASLCIHMGLLCVQENPDDRPFTSFVVFNLENGCTTLPTPNHPAYFSQRNSDIEQMSEGIMNTKNTMTLTVIEGR >Sspon.05G0034160-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:54275540:54277898:-1 gene:Sspon.05G0034160-2D transcript:Sspon.05G0034160-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKARTGLKELRILKNEDTKAKIISGNSVHTTTTTTTTTTTALVPLAPKCRSLADDEGIPTTPAARVREDVSNDHEVHKLRDLVRSLQEREKTLELQLWSVMEQDAVVRELENQLKINNVESKLYLLKIESLHLQTQLLENSKIVSELEATRTKCKLLKKKLVSDVEQAKKQIISLQKMVDSLQDKQTDEEKNHIEFEKKLKRLEELEKEATELRASNSRLQQENAHLIRHWRLHAYPMYPSPKIAWRFADVEELVYLKWINACLRYELRNKDAPSGKTVARDLSKTLSPKSELKAKQLIMEYANAGAEDSHLGHVEFGSECSSSRALSGELDDVSIDIASMTKHNNKNPKKKKFFSKLRKLVLGKGKENHEVSTLERRVSISSCSFDDFTGRDSHDSYSSFMAEPNIPDSRQHGDHGFSTHSSLDSAKSSPIGAEIVGERSDHSGVKSVSSREEKVNAFGPSACLDSSKAIPEDVEIHKFADVLITSRSGSMSSR >Sspon.01G0010000-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:28100654:28104074:-1 gene:Sspon.01G0010000-1A transcript:Sspon.01G0010000-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SKU5 similar 3 [Source:Projected from Arabidopsis thaliana (AT5G48450) UniProtKB/TrEMBL;Acc:F4K1P9] MPPLLLLLLLAAALAPAPARAGDPYAYYDWEVSYVSAQPLGVKQKVIGINAQFPGPPLNVTTNWNVVVNVRNALDEPLLLTWNGVQQRKTAWQDGVLGTNCAIPAGWNWTYTFQVKDQVGSFFYFPSTPLHRAAGGYGAITINNRDVIPIPFGFPDGDITLFIGDWYNRGHSELRRALDGGTLLGAPDGVLINGLGPYQYNESVVPSGIVYERINVEPGKTYRFRVHNVGVSTSLNFRIQNHNLLLVETEGSYTSQQNYTNLDIHVGQSYSFLVTMDQNASTDYYVVASARFVDSAVVDKLTGVAILHYSNSQGPASGPLPDPPNDQYDTAFSINQARSIRWNVTASGARPNPQGSFHYGDITVTDVYLLQSRAPELIDGKLRSTLNEISYIAPSTPLVLAQIFNVPGVFKLDFPNHPMNRLPKVDTSIINGTYKGFIEIIFQNNATNVQSYHLDGYAFFVVGMDYGLWTENSRGTYNKWDGVARSTIQVFPGAWTAILVFLDNAGIWNLRVQNLDTWYLGQEVYINVVNPEDSSSTLPDNAIFCGALSSLQKEQSHRFQYSEAAPVPQWGETVNNVLGVAVMGSQ >Sspon.03G0032280-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3C:44736146:44738021:-1 gene:Sspon.03G0032280-2C transcript:Sspon.03G0032280-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQINKEVNSILRGLLAKRMQAMKEGERSTSDDDLLGLLLESNTRHTDENGQSTLGMTIEDAIEECKLFYFAGMETTSVLLTWTMVLLSMHREWQDRARKEVIGLFGRNKPEYEGLSRLKTVNMILYEILRLYPPAVVFSRKTYKEMKIGDVTFPAGAFIELPVLFMHHDPDTWGNDVHDFKPERFAEGISKASKEPGAFLPFGWGPRICIGQNFALLEAKMALCMILQRFEFELAPSYTHAPHT >Sspon.02G0056830-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:34714298:34729553:-1 gene:Sspon.02G0056830-1D transcript:Sspon.02G0056830-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRQPCCDKVGLKKGPWTAEEDQKLVSFLLNNGHCCWRAVPKLAGLLRCGKSCRLRWTNYLRPDLKRGLLSEEEERTVIDLHAQLGNRWSKIASQLPGRTDNEIKNHWNTHIKKKLRKMGIDPVTHKPLQPAPAPLTQQEQDTGGSPEEEEEIVTAITPGHDAFCTDEVPMALLLDDIDIVLPSDDVVGAQAAACVGTVYLPESSSSSSSYSASVAASSRGSSSVDGEWPDWPPMMDWPEPMFQSHGLHFLEKINMTCKMLGSNVEGLLRCGKSCRLRWTNYLRPDLKRGLLSEEEERTVIDLHEQLGNRWSKIASQLPGRTDNEIKNHWNTHIKKKLRKMGIDPVTHKPLQPAPPPSQEPASPPPLTQQEQDTGGSPEEKEKEKAAVVAATPSIGHETFCTDEVLMAHLLDDIVLPPAAASNSGLTMASSPDSSSSSASPSSYSASVPASSSGGSSIADGEWPEWPPQMMEWPESMWLDDVVTGPATAWEFEDPFVTYQRIALLEQDHQAETWNNDGR >Sspon.03G0006300-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:17378680:17379751:-1 gene:Sspon.03G0006300-1A transcript:Sspon.03G0006300-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MWWRAVKARRRVAGARPASTAAAGAEKSCRAVVVPRFGGPEVLEVRQGVPVPDLKPREVLVRARAVSINPLDLRMRSGYGRSIFEPLLPLIIGRDISGEVAATGTSASSFSIGQEVFGSLHPTALRGTYADYAILSLDELTLKPSTLSHV >Sspon.08G0013510-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:56693328:56697832:-1 gene:Sspon.08G0013510-1P transcript:Sspon.08G0013510-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVIPPKTHMQHGKNPPLNSVDFGMVKAQSKECATFGGAVFLCNHLTRKECFDKKIFGLPRFCADFVEKVKVGTTLFLYDVDQCKLHGVFEATSDGAVNIIPDAYIRFKRIWFCKPLMLSEFQDAVQNYSVKNKFSYGLSHQQVAKLLHLFSSQNRLQLPQNPRLQDDLPGDLETSSLAKVTDVQSSPNSSSCGSFRSTCQTCSSTTLGEHTASLGEKLIDPVALVHRGIQSDISDVAKSKRSKSPLQSGADMATVTVPGNQEAMHDQSTDDYIPLSQEEDTFEGVDDLFALLKDESHSSESKGSSDSENHTTFHQVCIRKEDECYSPILDSKLCADSEGRTSVFSRLVRKNEIYNHRKRFKTEAFPPRSAEFSNALSQRKRQQKAQHNRPFPCRNDGMLDMPSADRLNRAPASNRSFVWRRSTKYSGGKQSGIQTGLGPFLCEDGNKRDVSVKQPARYNTCKKSFVPQGCPKLIVSCDKGLNMPTVFAGVPDCNEDNVKEMRTPFLNCKWPAKLVCSEDVEGEGRKKKRQATASFHQEYPSDTALIPEGTKTMDMLAVPDENFKEKSITLSSKDTHTQLTIPYLDAKVLLQDEQQQSFQGSFEYGEDVTCDSSLILEGSKTMETLAEQSFGVRKSLLSDDTQSHVAADYLGTGTSFQQKETPSIRSCHRVVNGDNILLLGKSETMDFLLGHDKDCENKKILPSDESDRLATSCHLETEMPLLQKQTPNVLSCSEVVHDDEVLIPEIPEVMSPKSDADCGNQVTSLCSGYREEVCHVVKNYHEVVPSDAAPDREGYGPLNNFPQLHGDSAKRNFLFDKTSEHVSTGHQDTIMLPHDEHYHSCCGDTSTVLECTILDTGSGDGGSEHKNSCDEKDDGTLYLVTGSKDTFSCDGSCSCPPTNDQVCSEVMLLKEEGQYQNLQSNSNSVDSFAVSSEGCGSKSGISVDLLGTNAESRTSFVNDFSSESAETFSTPALRVEEADRNVNRSEAYAEPPILQHDPGESMTQL >Sspon.04G0027670-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4B:61240115:61240969:-1 gene:Sspon.04G0027670-1B transcript:Sspon.04G0027670-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDNPIKFSSNESSIEDDLPAHQSSEEEISSDVWRASDYSPTWSFEKEDPEEEDKDEEEEEEDDTTAADDDDNDSDDSDFDFDLPPPKRASLSFSRHGSGDQPPAARLDCEHKDAPDRAQALQAAWRPRSCSLACMLAVTKVRAPGIKKKSFMTCPCWSGFVLLPLFIRTRTLTCSTRYQLEE >Sspon.03G0001440-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:15138971:15141524:-1 gene:Sspon.03G0001440-1T transcript:Sspon.03G0001440-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLLFFACQGDALGVEGLLRSGVDVNSINLDGRTALHIAACEGHRDVVRILLSWKANIDARDRWGSTAVADAKFYGHSKVYDLLKIHGAKIPRTKRTPMMVSVPGEIPEYELNPGEVQFRRGCDVTPGMYHIAKWNGTKVSVKILDRDGCSDQEAANSFRHELTILEKVRHPNVVQFVGAVTQSIPMMIVSELHEEKDLSVCIQKKGKLNAHKVLRYGLDIARGMTYLHQCKPDPIIHCDLKPKPTENLGFGVTRVSKIGPDKVRLINHGALVDSFSYHTAPELYRNDAFDSSVDAYSFGFILYEMVEGSVRTPEDSGHSIRFEGMRPSLKGKLKGYPPDFKALVEECWHPQAMARPTFSEIIIRLDKIYNNCDRQGSWKESLKLWCVSSRSSKRIIKCRSVKSRIHTEP >Sspon.05G0023200-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:5966038:5969538:-1 gene:Sspon.05G0023200-1B transcript:Sspon.05G0023200-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRRRRPSGRGAKVAAKPAEDSPPDTDPTSLSSFPSGRSTDPATSRHKSPLSGFEPHQDDDDEAWTQSDKRAMRRRLLDMIHRFYLDAISRLSPAEFRRTTGLARGLHVGGHCFGPLHPVHNIVVNSIWYAAAFPVRRPAGSDDEDEANALLSTDGIARICHRSLDGLVAALRDLCPSLSNGDALWRLFSSGADLTAAVALASGVSKSSALRVVASQGHAAFHLAALVAQHPDPTAFAHFASSALPSIDGQHNIVQLLIMKHVLASRHINYLSKVLVPSSPHEPSVPPLMLSAQVLDCIASQKQQFKGIGKQVVNVVNMALQEYTQRSGEQLKLHSVCGASLLKEEGLENCYHINFLAYHEGSGSAVGAPVLFFTEAIILSCDESETDIRLCVPVDPVTDIAAALLVRATGRRLFTPFMMNTLVAVNFKKMKSTMAMIFQVPWMRTISFLMLIETGLLQITCGGRAWVIEVGCQEWCRAVNLWTWKTNHRDWLGVFVAATKQVRWYTYWVVFQNSIEHCSYRGAYLSGYHD >Sspon.03G0011240-3P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:38167780:38170296:-1 gene:Sspon.03G0011240-3P transcript:Sspon.03G0011240-3P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMFSRIFGKPKEQANSNALATLDKLNETLDMLEKKEKVLEKKAAAELERAKEFSKAKNKRAAIQSLKRKKLYEQQIEQLGNFQLRIHDQMIMLEAAKATTETVDALRTGAAAMKAMQKATNIDDVDKTMDEINEQTENMKQIQDALSAPLGAAADFDEDELEAELEELEGAELESQLLEPVAAPPVHPVHVPANKQPARPAPQKTTAEDDELAALQAEMA >Sspon.07G0012310-1P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7D:53044202:53045152:1 gene:Sspon.07G0012310-1P transcript:Sspon.07G0012310-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine-rich repeat secretory protein 55 [Source:Projected from Arabidopsis thaliana (AT5G48540) UniProtKB/Swiss-Prot;Acc:Q9LV60] MAGSLRMSRRQSQVLAMHNLSTHPIPINHNPQTNRQQDQKEEDDIHNPQTSMAPSSPVLLLPLLLAAVAALTLAPRPSWAVDPVSTYCAKNFTGGQTQASISAVLATLVPRASATYYATATSGTGSSTIWGLAQCRGDIPASDCARCLAAAAWQVAASCRGQADARVWYDYCFLRYDDADFLGLPDTGYTLILINTMNATDPVEFDRAERRLMARVAEEAGDPASAGLARETAQFGSEGTTIYGLGWCTRDITAADCGLCVAQAVAELPNYCRFRRGCRVLYSSCMARYETYPFFFPVTGADDDADASSSHASEYET >Sspon.01G0031320-2P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3A:76875402:76876478:1 gene:Sspon.01G0031320-2P transcript:Sspon.01G0031320-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAHAHHHHQLPPVPPPGGALMAPRPDMAAVVAASGGGGPTGGGSAIRPGSMTERARLAKIPQPEPGLKCPRCESTNTKFCYFNNYSLSQPRHFCKTCRRYWTRGGALRNVPVGGGCRRNKRTKSSKSNSSSAASASGAGGTSSSTSSTATGGSSAGGASAAIMPPHQGHGQLPFLASLHPLAGGDHYSTGASRLGFPGLSSLDPVDYHQFGASAGGGGGAAIGLEQWRLPQIQQFPFLSGRPDAVPPTMSGIYPFDVEGHGGDGTGFAGHMLGGSKVPSSAGLITQLASVKMEDNPASAAMANSSPREFLGLPGNLQFWGGGSNGGANGNNVGGAGNTGGGGGGGGGGGGAVAPGSSW >Sspon.08G0007650-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:23127796:23137247:1 gene:Sspon.08G0007650-2B transcript:Sspon.08G0007650-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGYRAEDDYDYLFKVVLIGDSGVGKSNLLSRFTRNEFSLESKSTIGVEFATRSLQVDGKVVKAQIWDTAGQERYRAITSAYYRGAVGALLVYDVTRHSTFENVERWLKELRDHTDPNIVVMLVGNKSDLRHLVAVQTDEGKTFAERESLYFMETSALESTNVENAFAEVLTQIYRIVSKRAVEAGEDAASGPGKGEKINIKDDVSAVKKGGCCSS >Sspon.02G0022510-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:28041053:28042645:1 gene:Sspon.02G0022510-3C transcript:Sspon.02G0022510-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450 93G2 protein (CYP93G2), flavanone 2-hydroxylase (F2H), C-glycosylflavone biosynthesi [Source: Projected from Oryza sativa (Os06g0102100)] MEAAAAAASGGGGLALLPGVLLLLALSTLVISRWSNRSNSRLPPSPMALPLIGHLHLIRPPPHRAFDRILARYGPLVYLRLGPSTHCVVVGTADAARDLLKHEASIPERPLTAVTRHLAYDDAGFAFAPYGPHWRFMKRLCMSELLGPRTVDQLRPVREAELEAVLAAARDAADRGEAIDVSRHLISMSNNAIMRMVASALPGHMTEAARDCAKHVAELVGAFNVEDYVGLCRGWDLQGLTRRTREVRDKFDALLEIMITGKEENRRRRKKQGQTTSTSTDNSSKDLLDILMDAAEDVNAEVKLSRENIKAFVLDIFTAGSDTTATSVEWALALLLNHPDCMEKLRAELDAVVGASRLVGEQDVPRLPYLQAVFKETLRLQPPAVFAQRETIEPVHVRGYVIPPKTSVFFNIFSIGRDPGSWEEPLQFRPERFMPGGAGAGVDPKGQHMQLMPFGSGRRACPGMGLAMQAVPAFLAALVQCFQWEVPVPPGQSKAPPLDMEEQAGLVTARKNHLVLIPTPRLNPLPARAT >Sspon.06G0025920-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6B:74526003:74526798:-1 gene:Sspon.06G0025920-1B transcript:Sspon.06G0025920-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MYPDVSCKDAPVPPEEPFPNCDCGHPAHVSQSRHPDTAARCFYTCYSCSPYFRCFFFQWIDGPDKFDPRILLFYPGVDHCKREVFTRWVPPPPNPPPMTEEEKAVASARRLEDPPKCLCGEQAVINPRNEQEFICPLRHEDHDFPKCRFKEWVYGPKSHWPEPEKNEEVPDWKKKRRSIAPPVMCKCGVEASYGLVPSGLGIGHFCGHMIDYDEVC >Sspon.01G0035470-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:11382406:11385330:1 gene:Sspon.01G0035470-1B transcript:Sspon.01G0035470-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLFLERLEGEGVFRCRRCRVDAASKDAIISRDFYGRTGRAYLFDHEKAYEQSEKYKEGKYILERARMVKDG >Sspon.01G0024190-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1B:90415372:90415773:-1 gene:Sspon.01G0024190-2B transcript:Sspon.01G0024190-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MIASGAEAGGTEAFRRARGGRARSKGNGGQRERVEISVDRTRTYLCALQSRSIRMSSSEDSAAARPAAGADAELEHIPRHRARAAAPRRASAVAMPAAPAQRSVPQWLSRPAPHARADGGGCGRCSFRVRAGT >Sspon.03G0003280-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:15666241:15667689:-1 gene:Sspon.03G0003280-2P transcript:Sspon.03G0003280-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKTRGMGAGRKLKTHRRNQRWADKAYKKSHLGNEWKKPFAGSSHAKGIVLEKIGIEAKQPNSAIRKCARVQLVKNGKKIAAFVPNDGCLNYIEENDEVLIAGFGRKGHAVGDIPGVRFKVVKVSGVSLLALFKEKKEKPRS >Sspon.02G0012790-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2A:34199141:34200097:1 gene:Sspon.02G0012790-1A transcript:Sspon.02G0012790-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVEASHGSPPTRSKTMHRLLVAINCVMLALGVTGGQLLSRLYFSKGGHRQWLSGWLQTGGWPLLLPPMAASYVRRRRRARDRSGTPVLLTQTQPRILLAAAGLGLIAGLDKLLYAWGLEFLPVSTSAILVSTQLEFTVLFAFLVVRQRLTVATANAVALLTAGAVVLGLHVSADRPAGVTGSQYWLGFVLTLGAAVLYGLFLPLVELTYRCAAAGGGHCAVTYALVVEMQLVIGFVATAFCTVGMIVNKDFQVRDLQFSPCAVHSNSSPQTERSRSRERDSCLTLTAICLLMLYRPSRDPTLLHTGVDHGVRMLIID >Sspon.06G0009250-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:49773741:49795844:1 gene:Sspon.06G0009250-1A transcript:Sspon.06G0009250-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAVTEDGDQRASALEAGDRGRDGDEDFDEDEEEEFEFGDADEAMHCVEMAESSTTAAKLRAHAQDYEALAARKRKALAEEQPQREEGSKRPRQDQLSEAEAATMFDQLMEGFGLRRKRRSKDGKKRGRKKGTKNKGSTEVIKKLGDATLLFAEEKFNEAIPILHEIVRIAPNLSDSYYLLGSIYNEIGELDKAINFLMLAAYVSPKDASLWKKLIPLAKKKGDASLARHCILKAMRADPEDVDLKYLCGDMYRNLCDYQKAAEIYEQIVRIYPANIAVRKVAAQMYRECGQIDKAINLLEDYANAQTSNIDWSVLDLLISLYLRNNVLSEALRHIKRAQLQLGSQQKLPVQLQAKEVICHAYCGDMKYAEIFLHDVHLEPSKENIDVIKELASTLEKMGQYEYAVKFYLLIENVATHNDVTLRSMKDNIDIRLTLSSLLIDDDKTDEAATLLSPPKIPGILSLHAPKSPELQSANTPDQQKPWWCDGKVKMQLAKIYYNKGKLEDFVDTIFHPILETLNVEYANRKVRPMKKLPNTVLHERVKVLGEQRPDSVFQGLKPIASPDELIKANRAKKIIEKRAAANELKPDDPPRTKQVPPVPGLLTNVEHHQLVLNLCRTLALLQRYWDALQIINRTLKLGNDALTGDKKEELRSLGAQIAYRAPDPSHGFKYVRYVVQQHPYSLAAWNSYYKVISRIEDRFPQHFKYLLQTREAKPDCVPPIIISGHRFTAISQHQSAARDYLEAYKLDPENPLINLCVGTALISLTLGFRLQNKNQCIVQAFAFLYRYLRLCDNRSLVQHCSGISPHWLNTLAAIYYEKALAIEEKDHPIPKLPYEAGSRVPEDLRPGYCDVRREAAFNLHLIYKKSGATDLARRILKTYCTV >Sspon.01G0019970-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:71832288:71850270:1 gene:Sspon.01G0019970-2D transcript:Sspon.01G0019970-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTHKKRIERYITFDEESESEDISLDELEEELEEHKDYDVLVSILTNVVPAMGRTGVASASSGGGAGWPCGGGLNLGVKLNVLLLLSVAATNLVSLYHLSLRAATVPPLLLQQQQRDGDKDLVLIRQLDAIRAGVSQLNHLRSSSPPPPPPPAELVLYSRLAPVASACSAHPDLLHRYMSYTPFVPCPDDALSLAEPLLLRGCHPLPRRRCFSPTAPASASKLLPTDPFAPLPDAAVRWPKEGKCKSFSCLPPSLGFDVARTEAARFLRARGPLDLTAPQLLRLASLSRAGPIRLGLDIGGGTGTLAARLKKLANATVLTTTMNLGAPYSEATAARGVVPLHVPLQQRFPVGDGTMDVVRAGHAVNRWIPEAALEFLWYDADRVLRPGGLLWVDHFWCRRSDLEGVYAAMLHRLSYKTIKWVAANKSVAGGSNSGKDEDYIEDNDSLVLLHDQIHDCDIILSQIGSILSGFQVHIGLISSEIRSLQEKSWDISLKLKNRKLVETKLAGFVEEIVAPPGLVNILINGEINDGYARSLEILSRKLKFVQDDPLINASQALNDIKQELERLRQKALSKISRHIMEIFFAMRKPGTNIQILQQNLLQKHRYLVLFLKEHGSETYGDLCASYVDTMNKVLSTYFHVYVEALERLKIDIGVLNDFSGHDTSIIDIIIRGREHLKNHGFMFSLGERANILKSSKVQHSANAALEIHQPGLVPHISQVNSRKYPYEVIFRSLQKLLMDTASSEYLFIESFFGEEALFYQVFEGPFAVIDQHLDITLPNCHDAVCLMLIICITRKHQALMYLWPRFKVVFDMYLQSLYQCDAQTIWIDGTHPHHIARCYVEFTASLVQLNAECGDGQLDMNLERLQSAIDLLLIRLAQTFTTTKLQHLFLLNNYDMAISVLKEAGDEAKKLQTYFEEKLETNMMAFVDDLLMEHFSDLLRFVRSHVSEDLVSYTEDTNIADVEPVVKNFAMKWRTALELMHNEVITSCSNLLSGMAILKAAMTQLLNDYNRLSECVKKITGGSNLNRHMGKKKEKNLSTVMQA >Sspon.04G0014820-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:55679696:55680142:-1 gene:Sspon.04G0014820-1P transcript:Sspon.04G0014820-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNNQFSGAVPTSAPGLKTFMAENNQFSGPLPENMSGLANLIELNLAGNRISGSIPDSIRSLEHLNYLNFSSNQISGAIPSEIGLLTVLTLLDLSNNELTGEIPEEFNNLHLNSLNLSSNQLTGELPQSLQSAVYDRSFLGTVTSAPR >Sspon.04G0003100-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:49555233:49557273:-1 gene:Sspon.04G0003100-1P transcript:Sspon.04G0003100-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYLPALGGKAAHLVSDLATVILNPVSERERQRHHPSHLPEITEGKETLFGDDDSDQNSETPDGPDTSSFRAFLMSFVPSSTASKDSMETTTDHNLDVEYPTLTPVGKTCSGRKGLLSRGKHSIGRIISKAGLGNLRQKQTALMVKS >Sspon.03G0034140-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3B:68285164:68285628:1 gene:Sspon.03G0034140-1B transcript:Sspon.03G0034140-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VRQRSWQRSWGANPPVTMEELMQTQNQLMQMFMQHMQNNPPVGGPPPVQVRDKRGEFLKGRPPVFSHAADPLEADDWVRAVEKQLNIAQCNDMEKVLYASGQLQGTAQTWWESYQAARPDNAPPITWNEFVRDFRARHIPEGIIELKQEEFRNL >Sspon.02G0006370-3D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2D:12720574:12721801:1 gene:Sspon.02G0006370-3D transcript:Sspon.02G0006370-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DGCRLGAYRPTSQAAGRCKLHLISPPLQPAGVYRAPAEAAAAAAPSASGKDRRGSLRRSVSGRTWDDRRKTALATWL >Sspon.01G0006740-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:18691485:18693690:-1 gene:Sspon.01G0006740-2D transcript:Sspon.01G0006740-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGKNKRISKGKKGGKKKTVDPFSKKDWYDIKAPSVFSVRNIGKTLVSRTQGTRIASEGLKHRVFEVCLADLQGDEDQAYRKIRLRAEDVQGRNVLTNFWGMNFTTDKLRSLVRKWQTLIEAHVDVKTTDNYMLRLFCIGFTKRRPNQIKRTCYAQASQIRQIRRKMVDIMVNQASSCDLKELVSKFIPEVIGKEIEKATSSIFPLQNVFIRKVKILKAPKFDLGKLMEVHGDYKEDVGVKLERPVEVDEAGQQVAAAAE >Sspon.07G0030910-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7C:14869726:14869873:-1 gene:Sspon.07G0030910-1C transcript:Sspon.07G0030910-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTYAVPRVAWSCGLGLPWRLGPFTAPWVSGVIPPQVLHCVGMLGYNEH >Sspon.01G0032700-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:113455539:113457748:-1 gene:Sspon.01G0032700-3D transcript:Sspon.01G0032700-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEAEPSSAESSVLVQGDTAPLVCALQSTARQDVSCFHFPGHNRGKAAPSSLSKLIGSGAFLHDLPELPELDDLFSPKGVILDAQIRAARLFGSSKTWFLVNGTTCGIQASVMATCSPGDYLIVPRNCHISVISALVLSGAVPKYIIPEYNSQWDIAGGITPLQLDETVKELEEDGKRVGAVLVTSPTYHGVCSYVQGIVSVCHPRGIPVIVDEAHGAHFRFHDNLPSTAIEQGADLAVQSTHKVLCSLTQSSMLHMAGDLVDVDKVSQCLQLLQSSSPSYLLLSSLDAARDQLSKNTDIFDEPLAIALETKDQLMRIPGISVLNLPCFASDFPAIDPLRITLSASDLQLSGYEADDILYEDHQIVSELVGTWAVTFAVNLGTRVQDVEKLVQSAKHLSEKYFFFANSAKPMKENRVCGPLEKISVHITPREAFFTKKRRVRIEDSLGEICGELICPYPPGIPVLIPGEVVTHDSLSYLISVRHQGITISGAADAELNSILNGTPTLYKK >Sspon.07G0029400-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7B:75967514:75973699:-1 gene:Sspon.07G0029400-1B transcript:Sspon.07G0029400-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHEVVNVDRRGHKDAARSAGDQREPAAQATGASAARRPAWAGGLEVGGQARSGPALAEEGATAFATESIGDDGNYGSSHLHLICSIHLSMIPIKFSNSHIHRSAAKSMADAAYDGSIVDTARFHGGVGSIRRHLHSLPLMASPLIPMIETVDHTRCRPVGHSSLIWDKRCRSCMEIREREREEERGVDRPSFLEKEVDAALRRRCQLSFLLLLVPDAAAGATAGISQSGIGISLPGAGMRTPLDLAGSPGHMARQGTARAGPTSASTMVVAALLARARASACGGAAAGAWPARGACAGLLGEGAEAHDLLGGGGGTSRGGAARLIWKKTMDLHGPMEDDDKNRGKRNLRESCSRNGGVRSRSVEVATMAGTGSGAFATSTCGVAAAAGGGCRQQCGNVTVPYPFGIGAGCHRGSTTGGFRLQCDDAGGGRRRPPRLTVAGYGHEVAAISLATAEATVLLNASRACYDRPGDADGRVVSLREHPMALNGSAFLFSSMKSKFVSIGCPGLAYFNDGDGYYVTGCMSVCRPSERALPGSCRGDDGCCQSNIPLGLNSYRPYLGSFGRRRRGRGREQEATFLANSTACSYAFMVDAWWFWYAGSHFNRTGDFAVPVVLDWAIRDAPSCAAAARDGDTYACRSAHSVCLESSNGPGYVCNCTAGYQGNPYVADGCTDVDECRHGDEFPCYGVCVNTPGSFACTCPKGSSGNATVEDGCRRDDDNRFGLPLKIVTGVSAGVLMLLLASFSSHLWLQKRRLLQAKQRFFEQNGGVLLQQQLGSLASSGVAFRIFSEEEIGRATDGFAEARVLGRGGHGVVYKGVLADGSAVAVKKSRVVDAKQVKEFAREMLILSQINHRNVVKLLGCCLEVEVPMLVYEYVSNGSLHGYIHGGGEAKVQLPPGARLRIAAESADALAYMHSSASPPILHRDVKSANILLDGELAAKVSDFGASRLAPAGEAAVATLVQGTLGYLDPEYLLTSQLTSKSDVYSFAVVVLELLTGRKAFVPVEDEDEEEEGGLAFCFITAAQAGRHRELMDQQVMEEIGVEVLDEATELLMRCLSMVADERPTMKE >Sspon.02G0015800-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:41476392:41479029:-1 gene:Sspon.02G0015800-2B transcript:Sspon.02G0015800-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPSVAVPEVGVPAAQASCPCPGTTLFPYPPPRGAGIAAAVVRRKCLQVELGAGAAGLLGGACWGVESMRASSPTHAKAAAALAAGGVVDEERAAWTVRHPSALGKFEQIVAASEGKRIVMFLDYDGTLSPIVDDPDAAFMSETMRMAVRSVAKHFPTAIVSGRCRDKVFEFVKLAELYYAGSHGMDIKGPAKASSRHAKAKAKGVLFQPASEFLPMIEEVHERLVETTRCIPGAKVENNKFCVSVHFRCVDEKMWGELSESVKAVLREYPKLRLAQGRMVFEVRPTIKWDKGKALEFLLESLGFADCSNVLPVYIGDDRTDEDAFKVLRRRGQGQGVGILVSKHPKETTASYSLQEPAEVSDGVLAAARRVEAPLQGQAQAAMRRPRNGQRVKLTNCQVPIKRDPAT >Sspon.06G0029250-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:16601923:16617729:1 gene:Sspon.06G0029250-2D transcript:Sspon.06G0029250-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RSNVLMLNSDDVDILWGSKERYPRNFRAEVLFCEIGGISPPRAPTATLNGDVKGGLPIEAFSAVQELFNGVDWIESSDDAAYWLLKEFSANSLQEKFQKLILNDMKELSRMQAKVGLQMPLMSPLDSDEEKYSVASDSVSSADHEKVQHGGNSSDSENIDRDHTTEDFESSGSNKKTPPAPPPPPLMTGKKAPVPPLPPPQAPKPPGVVPPKPPGVVPPPPPSSKITNAPAPPPLLGRGRGNATGPTKGRSIGLAQQSNPPKKASLKPLHWVKVTRAMQGSLWADAQKQGNQARAPDIDLSELESLFSTAVVTSTSEKGATRRGSAINKPEIVHLNAILALDTSVLDNDQVENLIKFCPTKEEIEMLKGYNGNKEMLGKCEQFFLELMKVPRVEAKLRVFAFRITFSTQVDELRTNLTTINDATKEVKESLKLRQIMQTILTLGNALNQGTARGSAVGFRLDSLLKLSDTRARNNKMTLMHYLCKLLAEKMPELLDFDKDLIYLEAASKIQLKLLAEEMQAINKGLEKVEQELAASESDGAISVGFRKALKSFLDAAEAEVRSLISLYAEVGRNADSLAQYFGEDPARCPFEQVTSILVVFVNMFKKSRDENARNAEAEKKKLEKEKASVSAK >Sspon.08G0021880-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:43801293:43803186:1 gene:Sspon.08G0021880-1B transcript:Sspon.08G0021880-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SMMPLPTSPSSSPRLLHSKSSEWHAVVQRNVKSSLLLLLVLSTIFVFSVLYSSHGFSATTADQALTQRQSTDHGAIDRFVPGQEETDEPTAVVPAQNNEAPEQSLPSDISLPSANASTAPTVPASSRGRAEQTGDQSKCSFEKCDMSMGKWVREPRGPVYTNLTCPTLPDFKNCQKYGKDPGHLFWRWQPDGCDLPRFAPERFLDVARGKTLAFIGDSLARNQMESLLCLLSQAETPTDVYRDAFDKFRTWHFPGHNFTLMAMWTEFYAHGVPVLDADGKPTPSFDIHLDRLNANWTARLPGLDYAVVSGGNWFFRVNYLWEDGRRIGCVNCREPGLTDIGIAYAVRRVVRAALEAIARCRDCKGGLVTFLRTYTPDHFEHGSWFSGGYCNRTRPLEEGEISLTSIGWELRRVQSQEVARVRETTGRTTSFELLDVTKAMMLRADGHPGGHYDKRWVRNASDCLHWCLPGPVDMWNGMLLQRLAHISPQPLLR >Sspon.02G0004200-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:12827441:12833016:1 gene:Sspon.02G0004200-1A transcript:Sspon.02G0004200-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding IMGAASRSLALALLLCASLLLASAAASSEMLMMTNRFHAWRAAHNRTYAIAAEHQRRFEVYRRNVEYIEATNRRGGLSYQLGENQFTDLTSDEFLAAYTMAPGLVLAARDDEPVTRKLDAATSRNSSYSGDAFGQVPYSVDWRARGAVTPIKHQMTSGSCWALAAVASIESLYKLRTGRLVSLSEQELVDCASPPNYGCGGGDPATTMWWVARNGGLATTWEYPYESKQGQCRRGRIQVGRIRGGAAVAPNSEAALERAVAQQPVVVSINAITFQHYKGGVLSGPCDAVINHAVIVVWNGADAGGHKYWIVKNSWGDAWGENGYVRMERRVGVREGLCGIASMPYYPVM >Sspon.02G0025040-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2A:86070664:86071324:1 gene:Sspon.02G0025040-1A transcript:Sspon.02G0025040-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVHFLEITTYTEFPAIQEFAAQEQFAILIHLKAPHVPAWLSTRAPLDLVTVLDVSGSMSGPRLALLKCAMRFVIENNDPSDCLSVVAFSSSACRLFPLRKMTAFGQQQSLQAVDSLIVNGGTNIAEGLRKAARVVEDRQARNPVCSIILLSDGVDSHNLPQRDGSAPDYSPLVPCSILPGSEHHIPIHAFGFGMDHDSREMHIVAQMSSGTFSFIDTWW >Sspon.04G0010660-3P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4C:31811824:31812993:1 gene:Sspon.04G0010660-3P transcript:Sspon.04G0010660-3P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVSSSSAAAVVPHYFLCPISLEVMRDPVTLATGITYDRASIERWLFTDGHATCPVTRRALAPAEMDATPNHTLRRLIQAWCAAHQVERFPTPRPPLDSCRVAALLDEGRHGGDRQAAAALREIKAVVAESERNRRCVEATPGAVDFLASLVTKHSSKRAGSQQDAAADDFVLDSPTSTSSPAEDALGVLYSLKPSERSLAQIMERDGDFIDTLASVLRRPSYRSRAYGILLLKAMTAVMTPARLMTVSADLVQEVVRVVSDRVSSKAVRAALHVLCRLCPWGRNRVKAVEAGAVAALVELLLDEGGRRVSELAVVAIDHLCGCAEGRSELVAHPAGLAVVSKKVMRVSVVATESAVRALHAVAKHSPTPSVLQEMLFVGVVAKLLLVLQ >Sspon.07G0020020-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:73953016:73954711:-1 gene:Sspon.07G0020020-1A transcript:Sspon.07G0020020-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding HAYGSPQYLVYYLLILGSWLFCLLHFQYFHLSLSSFAAAPRRAALVVLPIALDASFLPSPTVEADNRRRSASSSVVAASPSPSSPPSCEERYVYMLDVPSRFDILRDCVAGSPLFDDMWSWCAITVNAGLGPKIGPATGNGSDGDTDIIPSTGWYSTDQYSLEVIFHNWMRRYECLTDDPAAATAVYVPYYPALELHQHLCGSNTTVRDGPSEAFLRWLSSQPTWAALGGRDHFMVAAKTTWMFRREPGGGDEGCGNNFLGQPESRNMTVLTYESNIWAPRDIAVPYPSYFHPSSAGEVAAWQARARAAPRPFLFAFAGARRIKGQLAIRDRVFDVSEAAARRGRCGMLDCSHGLEGSITCRSPRKLVSLFTSARFCLQPRGDSFARRSSIDSVMAGCIPVFFHWPSTLKAQYRWHEPEQGRSNDGDRRYYVLINSKDVLEGRVDIEEELSRYTDEEVAAMREEVIKMIPRFLYKDPRVGFQGEMRDAFDITIDEMIARMRRIKNGENLIWKGDDRDEDFAANDS >Sspon.05G0011070-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:31634051:31636614:1 gene:Sspon.05G0011070-1A transcript:Sspon.05G0011070-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPEAVRKSLEPTATAEKITGSTPARLHFYDPFVLSGVSIEAAEHGRLLCSFVVTPRLASPVGYLRSGVTATLADQLGSAVFFCSGLPSSGVSIEISVSFVDAAAVGEEIEVEGKLLRAGKSVGVVSVDFRKKKTGKLMAQARHTKYLVASSKL >Sspon.04G0019170-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4A:68311794:68314305:1 gene:Sspon.04G0019170-1A transcript:Sspon.04G0019170-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKSLPSAPDLALGKRRPRPATPAPATAPAAPAPATARPRPAPAPAPARPRPLRRRPRPRPAPPAPAPVAARPRPAPAPAPAPAELAPTAPAPPSAAPASTAAPRVVRHMQTYFKLDESYEGNTDAMARKACRKRVTDMYHEARVQAIRDYYGKQFGESVNKKQIRERRLSLTKEQFMQVIPWWCEKSPEAWGQIVDHWLSEEWRQQHAAARAHRLAMLGVPHHQGNRNVGGFGRAWSAAHGGQPCSYFAAYTMSHKGKATSDVSFNPEDPPEAYTSESAYKKVTEYHEAARAMYGPDYDPTTDNIDARLIRAQTTSGSGLPPIHERPAYGQAQVEALQAQVETSNKRADELEAVVASERAARLASDQRMSDVLAFLQSSLGVTLPPNLMGPPQPPPVVPPFVALNTTPPQSAGSNHVPNEHGGPSPPSHGWPGYQPPPPPHVRQRAFVERFLALRSAKLPYLALQYGSLPSFAECLGKGNPLPSARLEALGK >Sspon.06G0032920-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:5737547:5739322:1 gene:Sspon.06G0032920-1D transcript:Sspon.06G0032920-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHVSPEDAGQERVMAAAKQILKSLAVSKNAADDMMHFLSTFDPRLHPLSSPETGEEEASGADDDREELEEEIAAAEEEEARSLLSSSSSLRRLSLSSDDLGDATPDASPRHDGTLSPTAAASVGAVAARMLRAGYGPELAQVYVATRRDALAESIALLGVEAVAIEEVLRMEWSALDQRMRRWSHAVRAVVRTFLADERRLCDEVFASDEDLGNECFADVARGCVLQLLAFADAVAVSPRATEKLYRTLGMYEALADVRPELEALFDDDAREFFAGEVSSTVQQLGSTVRHTIEEFSHAIHGEASRKPVHGGEIHPMTRYVLNYCSLLADCRGTLDAVLGDAGLDDTATTAADGAAASTPSARCIRELLTLLLRNIDDKSRLYDDAGLQNIFLMNNLYYVVQKVRESAPLRELVGDDWLRRHRGQIRQYETGYLRASWTAVLSQLRRDDGASARPPAGHRAPSGPSAKSFNAAFQELYRTQTAWKVADAQLREELRIAVSERLIPAYRAFLGQGSRHPARHVKCSLEDLEDYMLDFFEGAQKFV >Sspon.06G0006640-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:24208296:24209121:-1 gene:Sspon.06G0006640-1A transcript:Sspon.06G0006640-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LAGKVAVITGGASGIGKAAAADRAVAAELGPDNACCYTHCDVADEAQVAAAVDLAVARHGQLDVMFNNAAITGSPGWPPLGAVDLADFDRVMAVNARGVLAGLKHAARVMVPRRRGSIICTASAAGLCGGMGAIAYSASKATVIDLVRAVAAEMASSGVRVNAISPYAIPTPLELATVASWHPERGLNVEEIKRMVEIDMNVMDGTKLEEEDIARAALYLASDDAKYVNGHNLVVDGGSTVSRSAHNAGTGTPKDKE >Sspon.01G0008540-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:25905136:25909661:1 gene:Sspon.01G0008540-3C transcript:Sspon.01G0008540-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIAQALQAYTGLTPGAAATILALMVATYLLVSSLFVAPAPAPPPKPPQQQREGQKAQEEGEEEPMPFVYPDPVEVGEVTLEQLSAYDGKDPAKQILIAIRGQVYDVSRGRLFYGPQGPYSLFAGRDATRALALMSFDPNDLTGDLDGLSPDELEVLQDWEEKFKERYPRVGHLACQDAAGSRQNTAGLHHEEGDA >Sspon.05G0004850-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:17474631:17477184:1 gene:Sspon.05G0004850-3D transcript:Sspon.05G0004850-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AGGSSAEHHLLSRIAAGDGHGENSSYFDGWKAYEMNRFDLRDNRDGVIQMGLAENQVSLDLIEQWIMEHPEASICTAQGASEFRRIANYQDYNGLPEFREVMAKFMGQVRGGKVTFDPDRVVMSGGATGAQDTLAFCLADPGDAYLVPTPYYPAYDRDCCWRSGVKLLPIECHSANNFTLTQEALVSAYDDARRQGIRVKGILVTNPSNPLGTIMDRATLAMLATFATEHRVHLICDEIYAGSVFAKPDFVSIAEVIEHDVPGCNRDLIHIAYSLSKDFGLPGFRVGIVYSYNDDVVACARKMSSFGLVSSQTQNFLAKMLSDAEFMARFLAESARRLAARHDRFIAGLREVGIACLPGNAGLFSWMDLRGMLRDKTHDAELELWRVIIHKVKLNVSPGTSFHCNEPGWFRVCHANMDDETMEVALDRIRCFVRQHQQSKAKAERWAATRPLRISLPRRGGATPSHLAIPSPLALLSPQSPMVHAS >Sspon.03G0016740-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:39575024:39578023:1 gene:Sspon.03G0016740-1P transcript:Sspon.03G0016740-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALPFSPRRPFSSPCFILCFLLGFVAGLFPFAHRHLHLDLHHLPLPEPPPAAPTKAAPPPPLPTTTTLIVVTPTRARPLQAYYLHRLAHTLRLVPQPLLWLVVDRGAATRETADLLRGCGLMYRHLPSSRRDAPDARRRAPEHAAERGLRRQRNAALDHIEHHRIHGLVYFADEDNVYSLDLFHQLRGIRSFGTWPVAMLGVGKSKTLLEGPVCDNSQVVGWHTDERDKRQRRFHVNTSGFAFNSSMLWDADKRAHQAWNYIRLLDTVRDGFQATTFIEQLVEDETHMEGIPTGCSKIMNVNLHLEDKHLVYPKGWQMTENLDVLIPL >Sspon.05G0032810-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:22618335:22619452:-1 gene:Sspon.05G0032810-1C transcript:Sspon.05G0032810-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEERAKAQAAADLEFSLTCFKMDQQGSLKKIKDVNFDPLPTTSTSEVKTDQSSPASGVTRDEFAKMIHEFFEISIFDNNKLMDSYRNKEEKKSELTGFSRDASVNKLAPDGSSNGAGAKADLDKKTKEEVDHLLAKLEKGGVQIDGKIASIVDDGITRIKAEAARENIHEPKRNWIVLHKIACIAFGFIMGVECAEHAVLSPFSRRRRSALHEELARSRRA >Sspon.05G0025280-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:39838181:39838857:1 gene:Sspon.05G0025280-2C transcript:Sspon.05G0025280-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSCIRRTSAMSLVVVILLIVSLLTLHLPTACARHVVVLNPNNSGLDNRGNEVSENAQSTLIVGCSDHRAESKLNLVILVHVHILFKLLEDLDDCTQNLAKALASTVDDDLAANKVISERKLGAPNNKEGTKANVGATTTTTSAGSRPRTVEMRAAAKHGDAVTEMYDMLRRDYASRASRRRPINNGATPLQ >Sspon.02G0021340-3C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2C:72895971:72896162:-1 gene:Sspon.02G0021340-3C transcript:Sspon.02G0021340-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSASRRRLLMLAMVLVPHLAAAVSGPATTALADGLLSAARAPGFAAWMHGLRRRIHEHPD >Sspon.04G0034550-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:76315463:76316212:1 gene:Sspon.04G0034550-1C transcript:Sspon.04G0034550-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRTNITMKMQKILIFPLIVLLMLSGILVASRYVSEGPANKDDVSGAACKSDVKCIFFSCFRPAACDNCCKSHGWDHGKCLILDCTCCNRGSDAPLPSLK >Sspon.07G0020600-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:76788138:76789578:-1 gene:Sspon.07G0020600-1A transcript:Sspon.07G0020600-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEAKKLFAASEVALHASRKDCWVVIGGKASEKEIFLAVLLLVYDVTKFLEDHPGGEDVLLHASASGDATEAFEEVGHSTSAVSMMDSYLIGSIEGYVRPSASKATDPWSADVPPNSRTMQGNKGPPNPNTFLDFLLPLFVLGLAFAA >Sspon.03G0003520-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:16339329:16341044:-1 gene:Sspon.03G0003520-3D transcript:Sspon.03G0003520-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHGDGSLVCVTGGSGFVGSWLVRLLLGRGYTVHATVKNLEDESETKHLQALDGADSRLRLFQMDLLEPDSVRPAVEGARGVFHVASPNELVEPALKGTLSVLRAAKDCGVGRVVMVSSQTAMVPNPEWPADKVVDEDSWADIEQLKKLQLWYNVSKTLAEKAAWDFAENAGLQLVVLNPALVLGPTLTPSIMASLQLFLQIIEGKRYDMDEFFIGCVDVRDVAQSLIVLYENPSAEGRHLCLESSQRMIDFTNKLAHLYPEFSVYRIQEDKQDWVVRAKDPSKKLINLGVRFTPLDKTIADTMDCFRSKGLI >Sspon.02G0010480-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:32908895:32912511:1 gene:Sspon.02G0010480-2P transcript:Sspon.02G0010480-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPRLLVFLLAAAIVVPRSASAITRRDFPEGFVFGAGSSAFQVEGAAVEDGRTPSVWDTFTHEGFSYDGSTADISADQYHHYKEDVKLMHEMGLDAYRFSIAWPRLIPAGRGKMNPKGLEYYNNLIDELILHGIQPHATIYHFDLPQVLQDEYGGLLSPRFIEDYLAFAEVCFRSFGDRVKHWVTVNEPNIEPIGAFDTGTEPPRRCSYPFGENCTGGNSSTEPYIAAHHLLLAHAAAVSLYRDKYKASQGGQIGITLLGWWHEPATNTPQDAAAAARMNDFHIGWFMHPLVYGDYPPVMRSRVGDRLPALSAEDSARLRGSFDFVGFNHYLILRVRSSSEKKDSGQRLGDYYDDAAVQNPLVAIAEVRYYAAAEAEAEAHPLGRIESPPWALGKLLEHLRLNYGNPPVMIHENGLGDAPGTPSAIEYDDGDRSKFLQDYLEVLYLSTRNGSDARGYFVWSFLDVFEFLFAYKVRFGLCGVDMNAGARTRYVRSSARWYASFLRGGELRPPPAAAPDDDVRPYAVRRNGHPQATTATAHYDGRWEII >Sspon.08G0016670-3D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8D:62110720:62111415:-1 gene:Sspon.08G0016670-3D transcript:Sspon.08G0016670-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding HVVVATVPAAHKERRHRRRGDDGVALEVTVLSADSLRLPPSYSPLPRRLRPYVTVSSSDAPGSACSTAVAPSGGTVHAWGDTLVVPVGAGFLEGRAHVHVAVLSEPACRLVGATPLGWCGIPAADVLDGLRPPRALRRLSYSLRCPRRGGGAPPGSPAWGHGVVHLAVRVLGLRDDAPATTTEPAAATTTPVQQGWCRVAMGIPVSGPSSAAASAVVGMPLSSWGASATSR >Sspon.07G0006860-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7A:17772426:17773833:-1 gene:Sspon.07G0006860-1A transcript:Sspon.07G0006860-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHFGLIMCMRARRPPCTSCTTGPGRSSTYYTPPEPFPSPPQPEVTARSLAAVSWVRRATDHTAKGHRATPAYGWLIVDGGRNGTMVRAWKFGDRSTRRPRLRCRCHRTGGSSLPRWVDSSTVPLLPPSLLISLRDHGTRRVLGRESARIALYDRAITVFSPN >Sspon.02G0054050-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:109410932:109416250:1 gene:Sspon.02G0054050-1C transcript:Sspon.02G0054050-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GNMSKAGWKEVITRYQAATGLIHDLEQFQSRRRQLRSQWLLCNKLRNGSGLGLHEDGSVDASESWWKDHTNGKPELLRLKKGMPPYVERMDRMFTGNTMDGSTSFVAGESYPIALDVPSSDEEATDDLEDQLTPLSIGNKSSFRAPEVLKVCTGSYGPPDQNFRSRPPELSGSVRAWPLPWRLGGMGAGMTLRREPDLAKVRAYEHHSISSKPNTRRKVTGGAPGKPGHGGGARGSATEHRLMETAVLGTPTGKGDTTRLGGCSWAKRSAGKRNWALAVRWG >Sspon.03G0026280-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:1631339:1631758:1 gene:Sspon.03G0026280-2P transcript:Sspon.03G0026280-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLASFGHNGDGMVSASELRLCCMQAATSTGEDASALVASAASATYGDDGLLNEEEEELAGQAEAAGEEEDDDERRWLREAFGMYEMEGAGCITPLSLKLVLARLGAHRDIAECQVIICRFDMDGDGVLSFDEFRTMMTG >Sspon.01G0062850-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1D:107851820:107854867:1 gene:Sspon.01G0062850-1D transcript:Sspon.01G0062850-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQLASNISRLSASLQQWDQSSFGSVKKELAQLRRELEDVRKQGLQFGPSREERRLMAKISELLSREECMEKQRSRIEWLKEGDRNTAFFQAKSRERAKTNRINALKREDGTVVSTQHELEVTTMEFYTQLFTRQEELDPGPILDCVPPKVSNWMNDLLTAPFTAEEVRSALFMVGANKAPGPDGMTAGFFQYHWESVGQGVTSAVLHFLNGGEMPESVNSTTLVLIPKVKHPQEMKQFRPISLCNVIYKICSKVLANRLRACLDDIVLEEQSAFVPGRLITYNVLIAYECTHYLKRKKGKTGACAVKLDMTKAYDRVEWVYLEGIMLRMGFDETFVSTIMRCVTSVSFSVKVNGSLSSVFRPTRGIRQGDPISPYLFLLCAEGLSYLLKSVGPMHLARGVRVGIHAPWISHLLFADDCIVFSEASQRGAARLQEILETYARGSGQMVNKDKPAIFFSTNCDYQAKQIVRQELNIVTEALSDKYLGLPTAIGRSTTEAFEFMPTRIKNLVGTWSGREASWAGREILLKSVAQGVPTYSMSCFLLSKTTCKKMRSVMANYWWGGAAESRRMHWLRWDRMTDHKSVGGMGFRDLQLFNKSMLGKQAWRLITRPDSLCARVLKGRYYHDRSSLANTRKKHASHTWRAILAGKDALDRGLIRRIGDGTSTLIWGDRWIPAHFDAKPLTPGEGQVITKVSELMTESGDWNEELIKEIFIPVDAHAIMSIPIRKDSEDRWAWELERHGEYSVKSAYRALYTANGRSAELSPSGSGGVSWNLTWKLPVPPKVKVFWWRVLHEFLPAKQILHGRHVEPTMFCDVCGADAESIQHVLMGCTVAREFWRKVKTLTGAKLPMLHPATWATDMLSPKVCTDKERGIFIIGMYALWMQRNQRRHGEQGTPISIAVKWAIDTAYDLWNLHRPTPPAQPKAVHRWQPPPEGWFKCNTDGAFDARKGEGASGVALRTPSGAFVGGRARWYPHGRDALMMEAMACRDGVLLAKGRGVEKLILETDSQVFLKL >Sspon.05G0007950-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:16137004:16142670:-1 gene:Sspon.05G0007950-3C transcript:Sspon.05G0007950-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGANGHPPPASTAAQNGSHSGGGGGGGGGGGGGGGTNPSNGGTAAALRHDPGLAREWSPEEQAILDELLVKYASDLLVVRYAKIAMKLPEKTVRDVALRCRWMNKKESAKRKKEDHSSSKKSKDKKEKVSDSSSKPPVHMAAIGGPTGEILETNAQVLSQISTNLSNMQIQDNISLLCQTRDNILRDKRCSRDHEADAPLPVKINEELVNSLLPRPTVPMQ >Sspon.07G0029480-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:74101299:74105138:-1 gene:Sspon.07G0029480-2C transcript:Sspon.07G0029480-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNILAQPWEHQNQHASKLPLSFLYLISSSICPLYPRILCILCIMVNPFLMLHLTFLLICSLLDERVAEIAHATYLHRGSSLSMKQVSDVITSPDGSFSFGLYNLSSTAFTLSIWFTNAADRTIAWTANQGRPVQGSGSKVTLNKDGSMVLKDYDGTVVWKVRKRSAVVDRVELMDTGNLVMVDQGGNILWQSFDHPTDTLLPGQPLTATTKLVSTNPSHQSSYYTLRFDERYILSLSYDGPDISNLYWPNPDQNSWSNYRILYKSGRRGVLDKLGQFEASDNTSFIASDWGLEIKRRLTLDYDGNLRLYSLNESDGSWYISWMAFSQLCDIHGLCGSNGLCVYTPAAACTCPRGYVVVDPNDWSKGCKPQFNITCGKGVQQMGFLVIPWTDFWGSDTDFVMSASLDACRELCLASRSCVAFVYKVYPHPHGCFLKSGLFNGKTTPGYPGVAYVKVPESFLSHSQANSSDSAHGHVCNASRTHTFNYAASRVDEKGMAWYYYYSFLAAFFLVELCFIAVGWWFMTRKQSVRSAIWAAEDAEGFRVVADHFRSFTHKELQKATNNFMDELGRGRHGSVYKGILHDNRVVAIKKLKDMKAGEAEFETEVSVIGRIYHMNLVRVMGVCSEGAHRLLVYEFVENGSLAMFLFGSKGLLLQWHQRYKIAVGVAKGLAYLHHECMDWVIHCDVKPENILLDEEFEPKISDFGFAKLLQRDESNSDMSKVRGTRGYMAPEWVSSAPVTEKVDVYSFGVVLLELVMGLRVFELPTNGSGDAESALKQLLSTIGENMKISDGNWIDDLVDPRLNGNFVRSEVLLMLEVAALCLEQDKNQRPSMSNVVELTEIRSRVLVKTRK >Sspon.02G0046770-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:7640834:7641226:-1 gene:Sspon.02G0046770-1C transcript:Sspon.02G0046770-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSRVTNRRDPFSNREVWSIAEQLDVDPEAAARRYARMGQEERELIQELRSVWQEGSARATHLDRAGDPRWGAEASSSSSVAAAAAVPRSEDELCSAFGSASF >Sspon.04G0024980-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:23832862:23834700:-1 gene:Sspon.04G0024980-1B transcript:Sspon.04G0024980-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLAIGGVGVDESFALGRNVFGVKRVVIPVAEMSVPDRRDLGKRLRSELDAVRALLSKAELYSCVSGVAGKGDRIFAPDAPVQVDDGCRAAKSRKVSPFAERARESKPDDRERLAGRLASMAAALPDHVVAFLQNRRVGDADSRGDDGEIEMDDVPSMKDGALFQLKMLLDKFAPESTPKLLVGKFAPEVSTPKSRGGRAPLAASGISCLSQAQHQEAGSRMPPLQEEEEEGINICGGVSRISIRDIAEEYGELVNDIGVQLLSPLQRKYVDLSEEDRYVDICGDASPVVFPAKTCDSSSSSLSTSSDSDATSTDSDSSSSSPEALPKEHSCCRAGEPVPAPEADPIQGKHNTQPPEPAPEAIHIAEPENQCAAATVFAVTKSPPALTVLPKECGILAQQPEQAPETVQIAEPEELKEKCAAPGATVHPITGSAPPPAVLPNENDTSSQPAPVPAPVAAQIAQPQPQPHPQPQPQEVQDEGARTKTNDLIAMARQERKRRAMAKARQVLLELERAALPDERIHPLDMELLGIAAFEHVVSTVRDARTAQPQVNDEVDLRFSPGRPSILQQLGVFLKADGGGDEEDQEEELPPLAVASDGEDMDMEIEDGQIL >Sspon.02G0037210-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:30580145:30581251:1 gene:Sspon.02G0037210-1B transcript:Sspon.02G0037210-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLHTDLKNRRFDRVIFNFPHAGFRGRETEVHVINSHKELVSAFFSNASHLLGRYGEIHISHKTGHPYDSWDLGRLASESSLLLIEKVGFQKEDYPGYNQKRGDGKRCDKPFNLDPCCTFKFQISEA >Sspon.01G0062700-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:106619263:106620463:-1 gene:Sspon.01G0062700-1D transcript:Sspon.01G0062700-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSMYRAAAAAPGGIGGAARVELRGRLFELALSALMETVAQTKTSAQEFKESMDVMVPLLGTANMWDFLPILQRFDVSGVKNKIAASVSSRDAFFRRLIDAERRRLDDGVKSENKSMMAVLLALQKSEPENYNDDMIMSLCFSMFSAGTETSATTAEWAMSLLLNHPEALKKAQGEIDAYVGNSRLLGAGDMPGLNYLQCILTETLRLYPVVPTLIPHESTKDSTVGGHHVPSGTMLFVNVYAIHRDSAAWTDPAVFMPERFEDGSAEGRLLMPFGMGRRKCPGETMALRTLGLVLGTLIQCFDWDTVGGVPKVDMAEGAGLTLPKAVPLEAMCKPRQVMLDVLQKL >Sspon.03G0038210-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:1528758:1528964:1 gene:Sspon.03G0038210-2D transcript:Sspon.03G0038210-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQSMRMVALALVALLVVAASVPAATAYGCYDDCYERCANGKTDPACTKMCNQACGTTDQAAPGGTPA >Sspon.02G0025090-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2D:82145401:82149187:-1 gene:Sspon.02G0025090-2D transcript:Sspon.02G0025090-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEARRRMEFNGDSSLAALVGGWLAAIAPRCGREAAAARDWKRAVVIIKIKIYETRNRWAALRRIHLDVDALQPYLNTVVVAVEESATQSRRKSGKPNSPQISLVDSMAKTSVVSFLVQEAMMKSRALVSFVMGKCIKMTILVFIFDLHMFVKLMSVVRLLDKAVQLVLQKYSKSRRVLVNHIKHIQRMVREAIVIHCTPADRIVEQQERCSKYSQQAIGFAISTYSGFLVSPESYSTGDTVVKIATSAAFFVAIISDLVSWKMKPRWGRALVYISSFHLLLMTFVIFISFDKDYGYPILLVLLITIAAILSQRNIWPAEVCQQSVHDDTAFNQDLDFMFDLSSLILNLDSIITMIITISGHFPLGLNKYEAAKIAGFLFFSTIVLSLYLIMVATVRTVVLTDSSKYLDVLLLFLLVSALIATSITSIIFFDQTLLAELEEL >Sspon.04G0002870-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:4925957:4926544:-1 gene:Sspon.04G0002870-2B transcript:Sspon.04G0002870-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MACINMYNPDGAAGFGGGPQAPAALGPRISFSSDFAVEPPPPVQNRAMSLRCQEEDLNFEFSVGSHPMMAADQLFSKGRILPLKDNGGASCFANAARPPTTLRDELRGGGDDERASASASSKGSSSSRWKEMLGMRKALCVGGGGNGPAAKKASSSDKQGVAADAADIMVTDDDMAASNQVGMHLVPASSPYGGA >Sspon.08G0004870-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:11788766:11796639:-1 gene:Sspon.08G0004870-2B transcript:Sspon.08G0004870-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SLPPAQLIYSRHQNKKSPVSIPLPPSKPDPNHSACPRRPAAAPMSLAEAPSPSPSSSSGSDDFAALLDSELELASGVDSAFPGDPSSAFASTDDEGEDEDEDPEELEEQNGTKRRRVEEQRQDQGTSVRPDKIPTGASKNVQVEACPHPGYFGGLCFRCGKPQDEENVSGVAFGYIHKGLRLGTSEIDRLRGADLKNLLRERKLVLILDLDHTLINSTKLQDISSAEKDLGIQTAASKDDPNRSIFALDSMQMLTKLRPFVREFLKEASNMFEMYIYTMGDKAYAIEIAKLLDPSNIYFPSKVISNSDCTQRHQKGLDVILGAESVAVILDDTEYVWQKHKENLILMERYHFFASSCRQFGFGVRSLSESMQDERESDGALATVLDVLKRIHTIFFDMAVETDLSSRDVRQVIKAVRKEILQGCKIVFSRVFPNNTRPQEQMFWKMAEYLGAVCSTDVDSSVTHVVTVDLGTEKARWGVDNKKFLVHPRWIEAANFRWHRLPEEDFPVTAPKEKSREKDKAVAGKKETSKDKENSAAGQKETMKDKKENAAAGQKEALKDKEENAAAGLKETMKDEEKNAVAGQKETGNDEGNVAGQEKDDGKENAVATATTGPTDS >Sspon.07G0011740-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:41817906:41819408:1 gene:Sspon.07G0011740-1A transcript:Sspon.07G0011740-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNCLPCFFKADDDAAAVVSLDAGRRIRALGRVRVPVSGGRVNEYPSVESAGRDAVPVVDMGSMGGPDDDAARAVARAAEEWGAFLLVGHGVPRGVAARAEEQVARLFELPAPDKARAERRLGGEVAAAGYGRPPLALRFSKLMWSEGYTFPAAAVRDEFRRVWPDGGDDYLRFWYFGTSRVLHWSCPVPTDVMEEYDTEMKTLGGRLLDLFFRALGLTDDQIAGGETERKIRENWTAMMHPILRYPKCPEPERAIGLAPHTDSGFITLIMQSPVPGLQLLRRWPDRWVTVPAPPGAFVVMLGDLFQVLTNGRFRSALHRAVVNRERERISVPYFLGPSADMKVAPLAPALLPGRKAKFRAVTWMEYMELKHKLFGSDASALEMLQVDEEGEDG >Sspon.07G0003920-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:8847896:8849895:-1 gene:Sspon.07G0003920-2B transcript:Sspon.07G0003920-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKAVVVGTAVVVCAAVGVAVVLARRRRKRDAELLGSAEAERKRRVAAVIEEVESSLATPTALLRSIADAMVTEMERGLRGDIHAQLKMLISYVDNLPTGDEHGLFYALDLGGTNFRVLRVQLGGREKRVVKQQYEEVSIPPHLMVGTSMELFDFIAAALAKFVDTEGEDFHLPEGRQRELGFTFSFPVNQTSISSGTLIKWTKGFSINGT >Sspon.01G0050300-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:311280:315374:1 gene:Sspon.01G0050300-1C transcript:Sspon.01G0050300-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVAPAPTAADQSTNLLQKLSLESKDGSDAAKKPSGMPYGSAHAGDAQSTASQVDRSITPLLQEAMDPNFFYQPNAYASPAYYFPSGYDGSANEWDSRYSGHEGMEMPPSVYGDMYHGYGYAPYGPYPSGSPVPTVGHDGQSYGSQQYQYPTQYYQQPTPTNAKHGVNGASSQPEPPSVASQQARVLVDATKATPNVSANGMTTAHNSSLPRKQTHLNVSVANNGSYGRGPMQGGGPSASNYGHSGVRSPAQWYDGPVYSNGHQIPTASSTSYRSNSSSTKSQSQRPTTNLMGIHAQMPSSGMGLTSPSYSSRMYQDNRLYGQYGQYGNTLKGGLGFGSNMYNSRNNGRWGVVDTKYKPRGRASFGFTGENQDGFTELNRGPRSGGFKHQKQFGPTVTIAVKGQALPSVGKQNSALPDKGQFNQEGFPLAYKDAKFFVIKSYSEDDVHKSIKYNVWASTPNGNKKLDAGYREAQEKSSDCPVFLFFSVNTSGQFVGVAEMVGPVDFDKTVEYWQQDKWNGCFPLKWHIVKDVPNNILKHITLDNNDNKPVTNSRDTQEVKLEQGLEMLKIFKEHVTKTSILDDFGFYENRQKKRGQSSNCFKARQGGDVSQEKDKDATNGKPGATQKQALSKEGTPAEAEANASKPVAESGISNGN >Sspon.05G0017060-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:66619672:66629415:-1 gene:Sspon.05G0017060-2C transcript:Sspon.05G0017060-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDKRGFNALHHAIRRENRDLALELIEAEPALSKALNQYGESPMFIAVMRNYEDVLKKLAHGHNALHAAVTSDNAVMAKRVMEARPGLVRQENEDKRTPIHLAANENKIDVLTVLLEHDPSLGYLISTDGSPLLCIAASQGNMGIAGELLRYCPDAPYYDAKGSTLCGHVAEMRHLYLHVPAVLLGTTPQGNTCLHIAAIHGHEVFCREAQALNPPLLAAVNSDGETPLLAAVASGRVTVASVLLRCCRDQQMNKPILKQDKQVEPALSHAVNQYGESPMFITVMRNYEKVFDKLLEIPDSARGGANGRNALHAAVRNGNSTIATKIMETRPALAREVDKEDMAPIHLAVIWDQIDVLRVQLEHDRSLGYVVSSKKGVPLLNSATYRGHVGVARELLKHCPDAPFMKTDGWRCLHEAVWEGQMEFVNFVLGLPQFGRLINMRDKNGETALHLAVHKSNPKMVAALLLHPDIDVTVLNKNGGPAIWRLDDATDHSKTLNWNEVSMLMLKADPQAATDIYNLRRAAHDKVTNTTRISSNTSLVAILIATITFATAFTLPGRYSTDAGNEGLPIMARKIAFKAFLISDTLAMCSSLAVAFVPKWEDLEFLLYYRSFTKKLMWFAYMETTTAFATGLYTVLAPRLPWLAVAICALTSLLPILTKLLGEWPILRLRFRLGRTYNPELLNML >Sspon.06G0017240-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:77645771:77646225:-1 gene:Sspon.06G0017240-2B transcript:Sspon.06G0017240-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCSVVGSGDANRASAIVAQTAMGSHVLKIDGYSRTKGLGTGKSVISEPVDIGGHRWCIRYFPDGKPTEDAGWISFYLYLNHSNPTGQRASRQGQSLLYLTRSENQFRRNGLICTFKNLDIGYGSPKFITRKALEESTYLKDDCFSV >Sspon.01G0002800-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:6365294:6365797:-1 gene:Sspon.01G0002800-2B transcript:Sspon.01G0002800-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MERLSSVRDQPESEIVEVGAADRGRLRKTLMLLFQLDDSTGGGGARPSEGPRSSAEFQSGSGEGGRRGKRKGPGSWLRSIRLPMGALRWRRNGPKEAPSMPPRGVAVVDPSASDDGGAQVQRKPSFRRSCEWMASRDRDPSRGSLEPPRHSWDGSMVGRAFACSFAA >Sspon.06G0008180-4D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6D:31574213:31578228:-1 gene:Sspon.06G0008180-4D transcript:Sspon.06G0008180-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding WRRRRGCSRPCLLRPPSSAARGTASPCRASGAAPPPPRPLMAPCCLSVAVSRRWPCGSSSRLTSSAPPCAFASAPSTSTPSTPSEPRLQL >Sspon.08G0007500-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:24939664:24941619:-1 gene:Sspon.08G0007500-3C transcript:Sspon.08G0007500-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLPKLSIGAMGPNSADPELVCFGYADSEERLSDISDSCLSMGTETDISVSSTVELTRFQEQENTSSTQKEQESAPKTPNDRLSKVATRVQKTKAPKPAQTSLWPKLRDPPALRSPSGKRIKLHNRKIDYMI >Sspon.05G0035360-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:72538203:72542867:-1 gene:Sspon.05G0035360-1C transcript:Sspon.05G0035360-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRKASSTSDSRLKWRKRKRNPDASPSKPSTSAAAADHSSDSGSADEDAAAVHGAAGAGDDDEAPAASEDPAALGLREAEVLPSAEPISAFPAAKRRVVNRPHPSVLALLEAERSACSGDVPTVAPPALENISHGQLQVLSGVLPDHPSLATDPDKPSLYVCTPPPLMEGHGVPKQFQGRLHVVPKHSDWFSPGTVHRLERQVVPHFFTGKSPGHTPEKYVMLRNKVIAKYLENPSKRLAFAECQGLVGSTAELYDLSRIVRFLDTWGIINYLAAGSVHRGLRMATSLLREEPTGELQLLTAPLKSIDGLILFDRPKCSLPVEDVSSMAASSSNSETVDFDAAFADLDGKIRERLSENSCSYCLQPLPSLHYRSQKELRFPESDGDNDGSENDSDKWTDEETLLLLEGIEKYNDTWDDIAGHVGTKSKAQCIYHFIRLPVEDGLLENVEIPNAPIPFRPQSNGYQHSDSNGSTSGAPVQNIQQGNQLPFINSSNPVMSLVAFLASSIGPRVAASCAHAALSFLTRDDDSRVNSEGMHVDGMGNGANPNFRNHNGASPSISPENVKHAAMFGLSAAAMKSKLFADQEEREVQRLAATVINHQLKRLELKLKQFAEVETLLLKELERVRQRISAERVRMRSALLGPTGSGLPGGSSTMPPNPPGMSPRPVGVPGSMPQTSMPAPYANNMQGHGHPQMPQMPFLHQRPQMLSFGPRLPLAAIQTQPSPQASNIMFNSGMPNSIAPNHHQLLRSSSGNNSSAG >Sspon.08G0006160-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:16527513:16530584:-1 gene:Sspon.08G0006160-3D transcript:Sspon.08G0006160-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRAAHWGAAYGGTGAWGGRVLWSGAHRVPAFEYRPHGGRRVDLENDRRAQIRRRRWVDGGLMRTAHASDVQALTTRWTKITVQRPALLRPPMTNGDMPHLVISAPPHTHPKVSIKKTPKNHRNPRVSRHLARPARARTHATPAHHRPTRLPTQPNPRTDATPPAYMPPPPSLTAAHDPAAAAATTTTRRRKDHVAKVHPALGGGGAGAKEMGAAAEGWARRPEWCSAAGVAAVLRRHPAPALFGCGLLLFMAVEYTIPMVRPDAPPLDLGFLATRGMHAAVAATPWLNSLLAALNTVSHRATNWWRWFTGTTADPFLILHPASFFMVPGSHAAEPDLDRDVFVAMQAAYILWAILAEQRPRAAVAALMMFTCRGVLGCATQLPLPEEFLGSGMDFPVGNVSFFLFFSGHVAGAVIAASDMRREGRMALARLYDALNVLQAVRLLACRGHYTIDLAVGVGAGILFDTLSGWYFEAKNGDGKNAPEKHCRSCQCHKALLSH >Sspon.01G0044380-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:76998829:77001719:1 gene:Sspon.01G0044380-2C transcript:Sspon.01G0044380-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPAPAKVARLLASSAAVLVLLWCVHFRGGLSLGSPTNKGLIFNVHPVLMLIGFIILGSEAIMGYKIWPWSHDANKMVHMLLHAVALFLGSVGIYAAFKFHNESGIDNLYSLHSWVGLGTICLYVATRCYNLTFFFPGASPTIRRRMLPWHIRAGLVVYILALLAAELGFLEKLTFLQAAGLGRYSSEALLVNFTALLILLLGASVVLYVTAPMHNEPTQGYSAAHKP >Sspon.06G0024600-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:56174308:56198848:-1 gene:Sspon.06G0024600-2C transcript:Sspon.06G0024600-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospholipase SGR2 [Source:Projected from Arabidopsis thaliana (AT1G31480) UniProtKB/Swiss-Prot;Acc:Q8W5R2] MAAGPDESWGARGGNTTGDAHASTSRAPAGAGPAGEAEGASPDSLRNTPSNIARLEDAIGHCAARRKYLAHTKSPSDGKDVRWYFCKLPLADKVLSSSVPRTEIVGKGDYFRFSERDSLALEASFLEREEELLAYWWREYAECSAGPRGSLVESDDSAYLYKVEEERVGVPVKGGLYEVDLMRRHCFPVYWNGENRRVLRGHWFARKGGVDWIPLREDVSEQLELAYNCQVWHRRKFQPSGLFAARVDLQGSTPDLHALFMGEDDTWEAWLAFDTGPKLGSNTIKLRRGFSPSESASTNPSQDELRQTKEEEMDDYCSQVPVGHLVFMVHGIGQRLEKANLVDDVVDFRRVTANLAERYLTSYQRSTQRVLFIPCQWRKGLKLSGESTVEKLTLDGVKGLRVALGATVHDVLYYMSPIYCQHIIDSVSNQLNKLYMKFLKRNPGYSGKVSLYGHSLGSVLSYDILCHQESLWAPFPTEYLNMETSDRSQGAKSANDVALHDSGAKDHDTSTLGHSCADNAHSVVDEDRTITDASHTDSILPSCVLEDSPNNHETVVPRGAVVVEQNEEENKVENHQTVYTGEGTTSGVRTKYAEGSSISRSAEEVHEEVLDEEKLMVLDKDKLIISLEEEVKRLKARLDHLEQHNHLVSESTSGVEYHEGKSDNHALNSGKLFTAQGSTNQSYSPQIKYTKLNFKVDTFFAVGSPLGVFLSLRNVRIGIGKGKDYWQDENIIEEMPCCRQIVEPLVCEDYLKKRPVIVPYHRGGKRIHVGVQEFREDISARSQAIARQLKSLKVKAVAAMLALSRNDTEEDGESANEKERSYGSIMMERLTGSPDGRIDHVLQEKTFQHPYLSALGAHTNYWRDHDTALFILKHLYRDIPEDPPSDVIERMPVKLFYERNPVEEETPVTFSDHAAIKEFCRKVWHRRKFQPSGLFAARVDLQGSTPYDLHALFMGEDDTWEAWLVFDTGPKLGSNTIKLRRGFSPSESASTNPSQVPVGHLVFMVHGIGQRLEKANLVDDVVDFRRVTANLAERYLTSYQRSTQRVLFIPCQWRKGLKLSGESTVEKLTLDGVKGLRVALGATVHDVLYYMSPIYCQHIIDSVSNQLNKLYMKFLKRNPGYSGKVSLYGHSLGSVLSYDILCHQESLWAPFPTEYLNMETSDRSQGAKSANDVALHDSGAKDHDTSTLGHSCADNAHSVVDEDRTITDASHTDSILPSCVLEDSPNNHETVVPRGAVVVEQNEEENKVENHQTVYTGEGTTSGSSISRSAEEVHEEVLDEEKLIVLDKDKLIISLEEEVKRLKARLDHLEQHNHLVSESTSGVEYHEGKSDNHALNSGKLFTAQGSTNQSYSPQIKYTKLNFKVDTFFAVGSPLGVFLSLRNVRIGIGKGKDYWQDENIIEEMPCCRQMFNIFHPFDPVAYRVEPLVCEDYLKKRPVIVPYHRGGKRIHVGVQEFREDISACSQAIARQLKSLKVKAVAAMLALSRNDTEEDGESANEKERSYGSIMMERLTGSPDGRIDHVLQEKTFQHPYLSALGAHTNYWRDHDTALFILKHLYRDIPEDPPSDVIERMPVKLFYERNPVEEETPVTFSDHAAIKEFCRKVSLYDGNHVTTPWSLPSRSKDANVGWKNDSIKF >Sspon.08G0014620-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:59611220:59612256:1 gene:Sspon.08G0014620-1A transcript:Sspon.08G0014620-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding NEAVDRAVRGLHHGGGVRGGRLGRVARQPLLPLRRRRAARLQRLQHALGGAGGWPRRRQHRRPRLRRRRLLRRQRRRAARPRQRAPARAPRRVQGQPPRHLRAPQEAVAVGGRHPHHAAAGGRGRASAVPVRARLLRPAGTHQRGGGVVRAGVPGGGAAVRAQGHRHLVQDAEVPRLGEVVPQGRAAPDAARQPGAVRGGGVGAQGRQPQPGGTARRPAALRRHGPRQPGQVVRGP >Sspon.05G0020500-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:87000776:87005563:1 gene:Sspon.05G0020500-1A transcript:Sspon.05G0020500-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEQSSGGGEAAKVPLLEPQPRVAAEHHHTGAGGGGVGKAEEVEWSALPLRRRAWEENKRLWVVAGPSICARFASFGVTVISQAFIGHIGATELAAYALVSTVLMRFSNGILLGMASALETLCGQSYGAKQYHMLGIYLQRSWIILFACAIVMLPIYLFTAPLLVALGQDPDISAVAGTISLWYIPVMFSYVWSFTLQMYLQAQSKNIIITYLALLNLGLHLLLSWLMTVKFQLGLAGVMGSMVIAILPCVFFGGCPHTWTGFSSAAFADLGAIIKLSLSSGVMLCLELWYNTILVLLTGYMKDAEVALDALSICLNINGWEMMISFGFLAATGVRVANELGAGSARRAKFAIYNVVITSFSIGVVLFVLFLFFRGGLAYIFTDSQAVAEAVADLSPLLAFSILLNSVQPVLSGVAVGAGWQSVVAYVNVTSYYLIGIPLGAVLGYVVGFQVKGIWIGMLLGTLVQTIVLLFITLKTDWDKQVAVAQERLKRWYMEENRRLQGLRGNS >Sspon.01G0025480-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1A:89980540:89980662:1 gene:Sspon.01G0025480-1A transcript:Sspon.01G0025480-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding EVDNIGITGIVVHFLRDNIDGAFSVPKRVSLANNELSGQS >Sspon.01G0025120-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:88164231:88165307:-1 gene:Sspon.01G0025120-2C transcript:Sspon.01G0025120-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AGRRPGRGVAVARRQRAALRPRDHHLRRGRRQRGAGVRGRVPGRRAAPGHAEPPRRGLGRGGRRGGDQVLHRQHHGRDGAVGPAVHGRVPPGRGAAAAADPGVPQPDRRALHDQPVPVVRVPVGPAPGDAGVLPVPAQRRARRRRVQDQVHQHVRRAAGRREVGAGARRVRERGHLGGRDRVADKGRRRGARRHGGERQGVRVQPGGAPAVRRRHAADAREVGGDVPVRALRRGPQAWPHVGALVRAVPHGPEHGVRCRADLLHGDGGRREPQRRGRQGRRVVRGAGRRLGRGAAGGPGLRVLAAGRRLRRHPARRRVLRAQHGARARRVRHEPAVPGGRAAPVELRLPGVRHAHLRKP >Sspon.06G0013830-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:59805545:59815148:1 gene:Sspon.06G0013830-2B transcript:Sspon.06G0013830-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MCTRALLPSSTAIYPRAPSSLPRPSLRLRPRPLLASATPVTPSHAAAAAMDPVATWGLTPLAGADPEIYDLLEREKRRQRRGIELIASENFTSFAVMEALGSPLTNKYSEGMPGARYYGGNDVIDEIENLCRSRALAAFRLDAASWGVNVQPYSGSPANFAAYTALLNPHDRIMGLDLPSGGHLTHGYYTAGGKKISATSIYFESLPYKVSATTGYIDYEKLEEKALDFRPKLIICGGSAYPRDWDYARLRAVADKVGALLLCDMAHISGLVAAQEAANPFEYCDVVTTTTHKSLRGPRAGMIFYRKGPKPPKKGQPEGAVYDYEDKINFAVFPSLQGGPHNHQIAALAVALQQTMTPGFKVYAKQVKANAVAIGNYLMSKGYKMVTDGTENHLVLWDLRPLGLTGNKVEKLCDLCHITLNKNAVFGDSSALAPGGVRIGAPAMTSRGLVEKDFEQIGEFLHQAVTICLNIQKEYGKLLKDFNKGLVNNKDIENLKTQVEKFADSFDMPGFTLESMKYKE >Sspon.07G0001090-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:1684236:1687838:1 gene:Sspon.07G0001090-3D transcript:Sspon.07G0001090-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GRPRLPPPRAAAGPVLPTTPPPSLRRRRRPSTNTTTTTPTSPSSSSSPHLRVLRRHTMRLPPPPASASPANATNIGRVLGRPMEDVRASYTFGRELGRGQFGVTYLATHKPTGRRYACKSIATRKLAHRDDVDDVRREVQIMHHLTGHRSIVELRGAYEDRHSVNLVMELCEGGELFDRIIARGHYSERAAAALCREIVSVVHSCHSMGVMHRDLKPENFLFLNKREDSPLKATDFGLSVFFKPGEQFRDLVGSAYYVAPEVLKRRYGAEADIWSAGVILYILLSGVPPFWAENEDGIFDAVLRGHIDFASDPWPSISNSAKDLVKKMLRQDPKERLTAAEILNHPWIREDGEAPDKPLDITVISRMKQFRAMNKLKKVALKVVAENLSEEEIVGLKEMFKSLDTDNSGTITLEELRAGLPKLGTKISESEIRQLMEAADVDGNGTIDYVEFISATMHMNRLEKEDHIFKAFEYFDKDHSGHITVDELEEALKKYDMGDAATIKDIIAEVDTDHDGRINYQEFVAMMKNNSPDIVPNRRRMF >Sspon.06G0011250-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:31500613:31501266:1 gene:Sspon.06G0011250-1T transcript:Sspon.06G0011250-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLDERFDLLRGIGEECIQEDELRNLLEKKPVPICYDGFEPSGRMHIAQGIVKTINVNKMIRAGCKVKIWIADWFAQLNNKMGGDLKKIQTVGRYMIEIWKAAGMNLDGVEFLWSSEEINNRAHEYWPIVMDIARKNNVKRIMRCSQIMGRSESDDLTAAQIFYPCMQCADIFFLKADICQLGMDQRKVNMLAREYCDDIKRKNKPIILSHPFLFWI >Sspon.02G0031390-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2A:114723316:114724350:-1 gene:Sspon.02G0031390-1A transcript:Sspon.02G0031390-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAARLACQREHLNTAGPAGTQDTDLKYCYLAKAAYDAYDHGRCRYSLDRMLPALGLGDHGYVATAFLYAAVNIVPVEHQQHWIGYVAVADKAERDRVLGYQYREIVVVWRGTSALDELFKDLEANLQPIHGEESNKLVLVENGIQSLYATSCDSDACKNNQGNNKLSAKDQVLAELRRLVTYLRNKCPGDKIHVTATGHSLGGALATLTAWDAAAHEALAGVVVRAVTFGAPRVGNQAFCDELVGPRGVKVHRVIVDRDVVPSLPPTSFGYADAGSDVRLLDSKHVVRLPFLTLLVSWHFHSLKEYLRILDSDYHERPVQVQDPPPVPADQFLRLPEAELDKRI >Sspon.08G0001190-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:4307134:4309387:1 gene:Sspon.08G0001190-1A transcript:Sspon.08G0001190-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWSNCDESPVADASLHPPIHGEGEGPDAGGPTATPASVLLDYRAYIDGRRNATTAWSKTRAGHSISVTFWPAHAPRVSCFSVYCPDLKPGDFAEEPGILATEDDVVVLCVVLGSLRARDRFDYFVYQAGGGSGVPPSLELLKHPGPSRDFGLNSVGLLRCRTHKLELDDSQPTLSSHRGYRDDGGFYIVAALCYAPPKVPGHYDLYTYDSRTKEWATRTALLRQEQELAHHSSHCSTKVIAVGGKAGTMGWVDLRHGILFCDVLLPDHTLPLTYITFPPPLKPDRKLPPDRDIAVIKGCIKYVEFITHVRPGSVSDGDFIADDWTAVTWSRKACSAKSLENGSWQRGCMVRASQISARKKSEAQFELLPKLMDDEGTPQPTLERLHTSHPTLSLHQENLVYFMTKIHYRKDTKAWVIAVNSKNKSLEGVAEFDALRTLGMNFTYMCSKISQGLQMAPATHGHHKRAGPMMRGSSLKKHAGVPRMDLLAWDGERHQDSKVEGMSVGDLDQDNMDLE >Sspon.07G0024210-2D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7D:21400006:21400314:-1 gene:Sspon.07G0024210-2D transcript:Sspon.07G0024210-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RHPTVERTSHAVSVFPQAQKFHRGRGNVTADEFEKIAREILKIDSFTFGKAAIDILVVLFGVPVCALLAKRVVPGLKAISDDIVIPAATSGAVVYLAKSNKL >Sspon.05G0001910-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:2010828:2018487:-1 gene:Sspon.05G0001910-2B transcript:Sspon.05G0001910-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALEAARSWAGSVIPPELAAAAGGDPLAALAATAAALVAGLLILAVWFRSGGGAPSKPVATPVRPPPVKVDADADVDDGRKRVTIFFGTQTGTAEGFAKSMAEEARARYEKAVFKVVDLDDYAQEDEEYEEKLKKETVVLFFLATYGDGEPTDNAARFYKWFTEGKEKEVWLKDLKYGIFGLGNRQYEHFNKVAKVVDELLEEQGGKRLVPVGLGDDDQCIEDDFTAWKELVWPELDQLLRDEDDTTGASTPYTAAIPEYRVVFIDKSDLSFQDRSWTLANGTGVIDIQHPCRANVAVRKELHKPASDRSCIHLEFDISGTGLVYETGDHVGVYAENSVETVEEAERLLDLSPDTFFSIHADAEDGSPRKGGGSLAPPFPSPCTLRTALLRYADLLNPPKKAALLALASHASDPAEAERLRFLASPAGKDEYSQWITASQRSLIEVMAAFPSAKPPLGVFFAAISPRLQPRYYSISSSPKMAPSRIHVTCALVYGPSPTGRIHQGVCSTWMKNTIPLEYSEECSWAPIFVRQSNFKLPADPSTPIIMIGPGTGLAPFRGFLQERLALKQSGAQLGTSILFFGCRNRNMDYIYEDELQTFLEEGALSELIVAFSREGPTKEYVQHKMVEKATEIWNIISHGGYLYVCGDAKGMARDVHRMLHTIVQEQGSLDSSKTESYVKSLQMEGRYLRD >Sspon.01G0013500-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:3903157:3905902:1 gene:Sspon.01G0013500-1P transcript:Sspon.01G0013500-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKTKLPLPLVHSERLWARPWRWAKTAFFIVAMLASLLLVCAPPLLVVLLDLLLPPALLSNFLRAQAHAPPAASASAGVSFAAALAGQARAFRFGSSLVDLPAVSAARSLLILCAYTAGGGGGAAYRWVAVACSAASLGYVLAKAVAVFGVAGAGLEWQGKGQLVAVEAMFLMSLALAVAHLAMAYRASCRERRRLLVYRIDVEAVRLKGGQTPKALKQCLV >Sspon.01G0050720-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:7074744:7077197:-1 gene:Sspon.01G0050720-1C transcript:Sspon.01G0050720-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding QLSVANSIVQLVVKSGRKSGGNARSRRAVEVKGIEIKAAANVLPPPRILAALALAAWTFFLYVHFSVISGTVEVSDRERLADPCRGRYIYMHDLPPRFNADIIHNDCRNTEGHWGDICASLSNGGLGRPLAHDGTDDGGVITGGAGWYGTHQFALDAIFHNRMKQYECLTNHSAVASAVFVPFYAGFDFARYHWGFDNATRDAASVDLTRWLMARPQWQRMGGRDHFLVAGRTGWDFRRISNLGADWGNDLLVLPGARNMSVLVLESTLKRGTDFSVPYLNLVYPTYFHPRSDADVLRWQDRVRRQRRTWLMAFVGAPRPDVQMSIRVRDHVIAQCKASGACAMLSCARTPSSTQCHTPANIMRLFQKATFCLQPPGDSPTRRSVFDSMVAGCIPVFFHTGSAYKQYPWHLPKDDHLKFSVFIPTADVRRRNVSIEAVLRKIPPATVERMRKEVIRLIPSLLYADPRSKLETLKDAVAVAVDGILDTVARIKNGEDVNCGGPVDKDPPNLFASTASRFFPEGYGKQLASSV >Sspon.02G0014210-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:37902268:37902492:1 gene:Sspon.02G0014210-1P transcript:Sspon.02G0014210-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTTKTLVQFSFKLDIACFISPSPAEGWILHLYGTRVSTCPRASMRSGMNLVLESSSSMIGMTSLQLYSGQVKQ >Sspon.02G0021930-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:73255518:73256872:1 gene:Sspon.02G0021930-1A transcript:Sspon.02G0021930-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVVDDGAGGGGGGHVMPTMNCAHIQKAKVNAAATADKSTFFFLILCSISRTSPLVVITIQKKQAARHDAAPAMASCLSSSLFRRLAVLQLAVAATLSLTLGGAQAQLQYDYYSETCPGVEDLVREALLAKFADDVTLPASLLRLHFHDCFAAGCDATIMLKSHNGTAQRDADPNATVRGYEAIEDVKAKVEESCPLTVSCADIMAMAARDAVNFTKGPAYQVETGRRDGNVSRKEDAERSLPPADGNVTVLTRFFAAQNLSMKDMTVLSAAHTIGVAHCSSFSQRLYNYTGAGDQDPALDPEFAKNLTAVCKPEHMASVQPLDQASPTTFDTGYFQSVYNHRALLASDAALLEDSFTAPYVTLMATNASYAPTFFQDFSVSMLKMGRIAVRTGTDGEIRATCAIYVD >Sspon.08G0011360-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:47015956:47019151:-1 gene:Sspon.08G0011360-4D transcript:Sspon.08G0011360-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLKVPATVPPVADDCEQLRKAFQGWGTNEALIISILGHRDAAQRRAIRRAYAEAHGEELLRSITDEISGDFERAVILWTLDPAERDAVLANEAARKWQPGNRVLVEIACTRTSAQIFAARQAYHERFKRSLEEDIAAHVTGDFRKLLVPLVSTYRYDGPEVNTRLAHSEAKLLHEKIHHKAYSDDEIIRILTTRSKPQLLATFNHYNDAFGHRINKDLKADPKDEYLKTLRAIIRCFSCPDRYFEKVARQAIAGLGTDENALTRVITTRAEVDLKLIKEAYQKRNSVPLERAVAGDTAGDYESMLLALLGQ >Sspon.03G0024350-3C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3C:92678219:92681492:1 gene:Sspon.03G0024350-3C transcript:Sspon.03G0024350-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAAKQEEGGRRGERDAAFNVRRSDRACKLFLQVVELVPWRHAQGEGKGGEARTRVRAALLTSGAPCTLDEPKAFGEMPRCESALWARVLASPVGINKEQGKRIDGDQISITLTGHQILQKNLLLARLRENPVGVNKATGMLPGCTVVAIKKLKGLKQEDKQFRAEVQTIGLIQHINIVHLLGFCAEDSGRFLVYEYMANGSLSNHLFSMSSSKLSWDLRYSIALGIARGLAYLHEGCKDCIVHCDIKPDNVLLDVEFCPKIADFGMAKLLGRDYSRVLTTMRGTIGYSGGCRFGFLDPPLIGYLAPEWISGLPITYKADVYSYGMMLLEIISGRRNSEKIKEGRFTYFPIYAAVKVNEGDVMCLLDSGLEGNADAEQLGRACRVACWCIQDAEDHRPMMGQVVCMLEGVMDVQVPPVP >Sspon.01G0057920-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:18144614:18145567:-1 gene:Sspon.01G0057920-1D transcript:Sspon.01G0057920-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding TNHRAVSELKQRVVPSNHSCRRLGVMDATIDRSSTPATDDDREGREEGSSGTDQMRRAPCACASVPASQPSLELPWTNSLNGQRPRPSEVIVRDSEVLRCSKSNNRQSWSGACLTAPVRTLPSRFIRRIIKIKKTWLKRALNDTDARPPPPGPLPRRRGIASAVCAAVL >Sspon.08G0016490-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:59486911:59490182:1 gene:Sspon.08G0016490-2B transcript:Sspon.08G0016490-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGAFTKLLSRLAAEGHDLSIPVDLKDHWAKHGTNRYITIRNLSSNNFKGQIPSELGHIVNLDTLILNNNNLVGEIPAQLANCFSLITLNLSYNNFTGHVPSAKNFSKFPMERNPYLNAASRVIQCSMCTAKIPAVDILMVQKVPNKSCAAMVCLCLSSNSTFYITFTLVNISRTAVACIILGFIILLCIMLLAIYKTNQPQPPVKGSDKPVQGPPKLVVLQMDMATHTYEDIMRLTENLSEKYIIGYGASSTVYKCDLKGSKAIAVKRLYSQYNHSLREFETELETIGSIRHRNLVSLHGFSLSPHGNLLFYDYMENGSLWDLLHGPSKKVKLDWDTRLKIAVGAAQGLAYLHHDCNPRIIHRDVKSSNILLDENFEAHLSDFGIAKCVPAAKSHASTYVLGTIGYIDPEYARTSRLNEKSDVYSFGIVLLELLTGKKAVDNESNLHQLILSKADDNTVMEAVDSEVSVTCTDMNLVRKAFQLALLCTKRHPVDRPTMHEVARVLLSLLPAPPAAKPPATKAAAGDYTRFLATAADMKHGGLSDDIGDNSSSDEQWFVRFGEVISKHTLS >Sspon.07G0012040-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:47602038:47602559:1 gene:Sspon.07G0012040-2B transcript:Sspon.07G0012040-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSLRCCLACVLPCGALDLIRIVHLNGRVEEYGRPVAAGEVLAANPNHGVAVRRILIVSPDSELERGKIYFLIPASSVPPEKKPQQQKSVTAGSHGDQLVVKKASAAVHGKTTAQPKSNGRRELGDALSQKRSSSSSSSHRRRRSGGCRTAVWKPHLECIVEDA >Sspon.07G0009030-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3B:82898201:82898755:-1 gene:Sspon.07G0009030-2B transcript:Sspon.07G0009030-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LDGTEFQVVSSDEEQVELSFRSSYDPSSPPNSLRLNVDKRFVMLRGSSGFYCYAIFEHPRECPALNISVARLAFKLNVDRFRYMAISDDIQRYMPSAADRDPPRGVPLDYKEAVLLVDPVEPEFKGQVDDKYQYSMDNKDNTVHGWIAGDSGGGFWVITPSNEFKNGGPLKRELTSHTGPTSLS >Sspon.04G0026450-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:55645949:55646309:-1 gene:Sspon.04G0026450-2D transcript:Sspon.04G0026450-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEGKARVEDTDMPARMQAAATTAASRALDLFDVADCRGIAGHIKTARPPLEFDKRYGVGWQCVVGANFGCFFTHTSGTFIYFSLERLSFLLFKAAAAAAS >Sspon.01G0022260-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:81683208:81690878:1 gene:Sspon.01G0022260-1A transcript:Sspon.01G0022260-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKSPRALQELMTAVDAGHGADVNVDSASSDSSKLPGPRRRPTYNGHGTGGARKSAPAVAVVGAATDASFEFSAVVSYSSASPASMVFSDGQLRAHQFPAVRSAASAGSSQATSPVRSSSVGSSYSSTKQQHAGVTGSKKRVSFAAEGADKAGGGQGKKSGGLLGCMGVGVRAVVLEACVSTGCVRASATMSKSPRALQELMTAVDAGHAVDVYVDSASSDSRKLLGPRRRCSTYYGRGAGARKSAPAVAVVEASSDASFEFSAAAVSYSYSEATSPLRSSSVGSSYSSTSTKQQAGATNGSKKRVSFATDDGANKAAAAAAKAGGGQGKKSGGLLGCMGSACGPSSRNEAVEPVARNDNRK >Sspon.08G0011800-3C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8C:48571321:48572469:1 gene:Sspon.08G0011800-3C transcript:Sspon.08G0011800-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPRPQRTLTTTCSSAGAARRSTCLSRRYDASKRAGKPSPSDGSRRRSRSLLTSSLYALLTGRVLLVHEPPEMEGLFCEPFPGTSWILPPDFPYRDGFWVGSNDSYLSMLENNIVRYDDGSGGDASALPPYVYFHLEQIQLRLPKHTFCEEDHRVLDRFNWMVLRSDSYFAVALFLMPMYRAELDLMFPVKASVFHHLGRYLLHPGNRAWGIVERFYDGYLAGADERLGIQVRLAPFLQLTFEVMYEQITRCTREHDLLPQVTDTSEPGARPSNGTAAKVMAVLVVSLKPEYYDKLHSVYYTNATATGEIVTVYQPSHDQDQHTEARAHNERALAEIFLLSYSDRLVTTGLSTFGYVAHSLAGLRPWLLMLPDRTTKRAAVAC >Sspon.01G0005490-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:12241474:12242982:1 gene:Sspon.01G0005490-3C transcript:Sspon.01G0005490-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCQCQAAEVATVLIQHPGGGRTERAYWALSAAAVMAANPGHYVAAVITTTAQPAAGDGAASSAAATVKHLKLLRPDDTLLLGRVYRLVSFEEVLREFASKRQVRLSRVTVRAKDEGEDVKPASKHRRRRARASAAVGPGRGERKESSERSLAKVMRQTEEELEPDAGPSSGPSAKHADTPSDADLEALLQPHGALVGRRAARQWRPALQSIAEG >Sspon.04G0017770-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:73180804:73186945:1 gene:Sspon.04G0017770-4D transcript:Sspon.04G0017770-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDRSVEREFPSRERGDRPPPGILGPPRRGPAYKTKLCALWQRGGCSRDTCSFAHGHAELRRFPGSRTSFPPRAGRRDYRGGDFRDRFDRRRSPHRRHSPDRDSRGHHSLHDRRPNSQERESSYSRSPSRKSERRHEKKPDDGETNSSRSLSVSDNNDDRKKETLLSGDDKEDHEIQLKQIRQDMELLRDDKSHLEIILDEKNAEMRKISSRVNDLDLQLRKEKEECHRMTSKMKKFIKAHARFLKAQEELKRSQARFERLGDLLASDILKRGANEEVSSINVDDDPNGSYERSPNAATAKKRSIPYSTSEEAKAVKKRRERDSDTTRPDKYRSEGTIAEFEKPSKGTEPTKSLYLKKKLWEDEKDKIGNFVKESPVKHVLPSTGMAAHAVDDLFEAVELEDRHDPINASIENDADDETRSPLMPPQPPPVVNTYEQYEADDEEVNVD >Sspon.04G0012950-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4B:42960580:42961782:1 gene:Sspon.04G0012950-2B transcript:Sspon.04G0012950-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LSKSQFHADQNKHAFKEVVIIRHPRIGEYAFGFITSEVLLQGYSSEEQMYCVYVPTNHLYIGDIFLVSSSDV >Sspon.01G0003780-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:8839361:8844877:-1 gene:Sspon.01G0003780-2B transcript:Sspon.01G0003780-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRWWPAALLGCAVAVAVLAAAVECAVTYDKKAVLIDGQRRILFSGSIHYPRSTPDMWEGLIQKAKDGGLDVIQTYVFWNGHEPTPGNYYFEERYDLVRFIKTVQKAGLFVHLRIGPYICGEWNFGGFPVWLKYVPGISFRTDNEPFKIENEYGPEGKEFGAAGQAYINWAAKMAIGLGTGVPWVMCKEEDAPDPVINACNGFYCDAFSPNKPYKPTMWTEAWSGWFTEFGGTIRQRPVEDLAFAVARFVQKGGSFINYYMYHGGTNFGRTAGGPFITTSYDYDAPIDEYGLVREPKHSHLKELHRAVKLCEQALVSVDPAITTLGTMQEAHVFRSPSGCAAFLANYNSNSYAKIVFNNEQYSLPPWSISILPDCKNVVFNSATVGVQTSQMQMWGDGASSMMWERYDEEVDSLTAAPLLTTTGLLEQLNVTRDSSDYLWYITSVDISPSESFLQGGGKPLSLSVLSAGHALHVFVNGQLQGSAYGTREDRRIKYNGNANLRAGTNKIALLSVACGLPNVGVHYETWNTGVGGPVVLHGLNEGSRDLTWQTWSYQVGLKGEQMNLNSLEGSTSVEWMQGSLIAQNQQPLSWYRAYFETPSGDEPLALDIGSMGKGQIWINGQSIGRYWTAYADGDCKECSYTGTFRAPKCQTGCGQPTQRWYHVPRSWLQPTRNLLVVFEELGGDSSKIALVKRSVSSVCADVSEDHPNIKNWQIESYGEREYHRAKVHLRCAPGQSISAIKFASFGTPTGTCGNFEQGDCHSANSHTVLEKKCIGLQRCVVAISPESFGGDPCPSVTKRVAVEAVCSPTV >Sspon.03G0010500-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:28662802:28667561:1 gene:Sspon.03G0010500-1A transcript:Sspon.03G0010500-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTENYDPYYPDQPVVDQYLPVWARQPAFGPKPAFVWADDDRHAAGGGSPSYTALTYSELNAAVERMALGLLETVRRGDTVLLLGSPGLRLVKLIFACQRAGLVAVPIVPPDPSKLGTSSALQGAAHGHLLRAMSQTRPAAAVADAGYIAMVMESPVAALKRLRWVSVAHLERESRGSSGDVAADDESTGRRTRTAYRGCAPGETYLIQYTSGATGAPRPVVVTAGAAAHNVRAARKAYDLHPASGIASWLPQYHDCGLMFLLLTVVAGATCVLASPAAFLRRPRLWLELVAEFEATCTPVPSFALPLNCTFVSTAWRGTEAKLCGGRLSLPSYKKLLPSARLSSSSSGEETEIDIVVVDGHTGEPVEDGVEGEIWVSSPSNASGYLGHPSATRDVFCGRLPGRAAGPSFVRTGDCGVVRGTERYLYVLGRSADAIAIDGQRWCVHAHYIETAAFGSSPDSLRGGCIAAFAATTTPSSSSSVVVVVAELQKGRGGSNVLLRSICDGIRRAVWKEAGVKVGCVVLAESGGVPKTTSGKLRRGSARDMLTGKLMIPKVFEVLYDYDENAKGRATWVRGGDKEVRGTSTSWVLGDAGGDTAGMVIMARGDASHRLRLQSSL >Sspon.02G0053490-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:105749352:105750324:-1 gene:Sspon.02G0053490-1C transcript:Sspon.02G0053490-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATEGGTCIHPLWPSPSLASRKAQRDGCPFPSLTSRKTQAHSADAPTPSLARPLLTASTGEGRVPPPSPPASADPRVSSPAVTATSCYQQPSRILCDASGGAPPWLLCHGGRLPPRSPLADPASSLVLHGYGLLLVLGGKREAHAGSRRHL >Sspon.05G0034180-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:50832458:50838643:1 gene:Sspon.05G0034180-1C transcript:Sspon.05G0034180-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGGAGAGSSSGGSGSGGGGGGGGGGGREGDWDCGSCGNRNYAFRSLCNRCKQPRLLVDPNTPRDSKWLPRAGDWICTGCSNNNYASRKNCKKCGLPKEEAAMPALQMAGMAMPAYATYIARLQQSLAASASAYKMNFGMAANSPLQQQLLANANWPYGMSGRYGMQSSGWPFGNSNPNQFPGVPKDWRNGDWLCSCGFHNYSSRTQCKECGASVPSGIPSTTMKATSDASSSKTISSHNTAGPNNLFLGIEQGAGSSNGQGAFSKFDNGSSMALPSGQGMSGLMGKGAKWRDGDWLCNNCNNHNYASRSFCNRCKTQKESAVHPGVL >Sspon.08G0012550-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:51708798:51710497:1 gene:Sspon.08G0012550-3C transcript:Sspon.08G0012550-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSGMGVVLAALAAALVPLLWAALVRQVWRPYAVARAFARQGVRGPPYRFYVGNNREARAMLAAASDEALERSSNDIVRRVMPHVRAWASLYGKVFLSWTGSTPRLWAGDLDMAKRILSDKAGLYVKPDQGPALLALLGIGLVFTEGDDWARHRRVVHPAFAMDRLKSMTAAMAACAAEVIGDWEARVAASASGEATVEVGQWFTELTADVISHTAFGSSYRQGKEVFLAQKELQLMVFASMNNVVVRVPGMDHVPTKANVRRWQLERTVRGTLTAIIDERLASAKESKGYGNDLLGLMLKANAGDDNGKTQQVMSMDEIIDECKTFFFAGHDTTAHLLTWTMFLLGTHPEWQQRLREEVLRECGGAEVPLNADALNKLKLVTMVLYETLRLYGPVNIIDRQATADVDLCGVKVPKGTHLAIPFPMLHRDEEVWGADAGEFDPLRFRDGVGRAAAHPNALLAFSLGPRSCIGQDFAMLEAKVTLALILRQFAFEVAPEYVHAPAAFLTLQPSKGLPVVLRLLDPHTLA >Sspon.01G0057790-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:16103417:16106439:-1 gene:Sspon.01G0057790-1D transcript:Sspon.01G0057790-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFSTRSTFIFGLWICEANDDDMLQGRLLEDSTRHEDLAILTIAMDQTRRKLAGGGDDIKALFFPMALEDMPLQWFDKLNPGSIRGWEDLQRAFCDNFAGIITHPITHAELNGLKQKEGKSLRDYYRWFGELRAQVHDITERVVIEAFSYGILPKWQFQDFCKENPRSNEEIKRMVERMITTEEKTQKRFPKWNNRDNSNRQNHRNNGHQDRKRGPDNNVAIADKEKKIFKSWKFDDLKNMHYIWHPNGTSSQRKGRDSTVATEINSIELEIPAKRPSNLAPPKEADVKQIDMGISGPSKTVTISVHLSAK >Sspon.02G0036850-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:27430101:27437576:1 gene:Sspon.02G0036850-1B transcript:Sspon.02G0036850-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVMEGKGDASVTPVRTSDRLRQRPKYYGRGYMYYKPAMRKKVKSKKRTTASQIVKKLLRKPAAPNLRRSTRKRRISVNLEGYDTDSSSMEDDDLMRPRYRCSKSKGGNNAAHDEVSARPKRQKLSNSIPRREGLRPRRSLRGQILDPYHESEDDQESSEEQGAEDQRENGNEIEEDVGDEEEVDGGDEAEGDGDDEDGEEEQEGRRRYDLRERSEVRRPSPRKEGKHRPQSPRRVLVHGIGPKNSKYLKKGGSRMHKRPRFSLPDDSDDSLLVDEPDEGPSMPWMRSGRGGMPWLMGGLDMHSPAAWGLSVGASGWGHQGDTSTSLMPGVQTAGPSSKGGADIQPLQVDENVSFKDIGGLSEYIDALKEMVFFPLLYPDFFANYHITPPRGVLLCGPPGTGKTLIARALACAASKAGQKVSFYMRKGADVLSKWVGEAERQLKLLFEEAQKNQPSIIFFDEIDGLAPVRSSKQEQIHNSIVSTLLALMDGLDSRGQVVLIGATNRIDAIDGALRRPGRFDREFYFPLPGYEARAEILDIHTRKWKDPPPKELKMELAASCVGYCGADLKALCTEAAIRAFREKYPQVYTSDDKFVIDVDSVSVEKYHFLEAMSTITPAAHRGSIVHSRPLSTVIAPCLKRHLEKIMEQISDIFPFLSSIDFSKFSALSYGSSIPLVYRPRLLICGGESVGLDHVGPAVLHELEKFSVHSLGLPSLLSDPSAKTPEEALVHIFGEAKRTTPSILYLPQFHLWWDTAHEQLRAVLLTLLNELPSNLPVLLLGTSSVAFTDLEEECASIFSSRNVYQVDHPSYDDRLRYFSILFEALLSFQTEESRNKSKKQKSAIDLPKAPKEVEGPKVSELKAKAEAEQHAVRRMRMCLRDICNRILYNKRFNVFHFPVSEEEVPDYRSIIHKPMDMATVLQRVDSGQYLTRAAFMKDIDLIVSNAKTYNGDDYNGSRIVSRACELRDVVQGMLSQMDPSLVSFCDKIALQGGPQQVVDDEDSSILQAAPVAQLVSGTRLSARLRNVQPEVNLSQSYEVLKRQKKSAENEQSMAKDVAARDEKSLEDVDLSKPTDPEEAAKEPESNGTTKEANDSPAEEPEVPTPEPMESDNGQVATTVATGDDLLGQLEALKQRFMELTASYGVPQLERLYSRIMKGAIELTSKESNEDHRRLVVRLEQSVTKINRFIPGIC >Sspon.03G0017160-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:53759219:53765079:-1 gene:Sspon.03G0017160-1A transcript:Sspon.03G0017160-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mediator of RNA polymerase II transcription subunit 27 [Source:Projected from Arabidopsis thaliana (AT3G09180) UniProtKB/Swiss-Prot;Acc:Q8RWM3] MMQQPQAHASALAVAPSASAVAPTVAHPQDPAGGDAPPKQVAQAMERLGRAGRLIADIRLGADRLLEALFVAGGAPPYSVHQHVDRMERVIVKEEAAMRLHFQDLRALGRQLEESGVLNGALKARGNSWGLHMPLVCPDGAVVAYAWKRQLAGQAGASAVDRTRLALKAFTDQKRRFFPHLEDEVLSHLHDGEPGVTKKPKLIASNGDLEEKSLSEILKNLENEVPNMKIFTYWHLDWSKRASSLASLMDDDFVDPSKELNLQNMGKSRSGALTTPIDQVAVIELLVPSIFRAVVSLHPAGSTDPDAVAFFSPTEGGSYLHARGTSVHHVFKHVKEHADKALQYFISVEPSKALSLLLRWIASYQTLFTKVCSKCGRLLMMDKSLALLLPPVHRPYHQTSNVGPDLQEAYHIG >Sspon.02G0036050-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:17488684:17489956:-1 gene:Sspon.02G0036050-2D transcript:Sspon.02G0036050-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADDRCCTFLEILLAIILPPLGVFLRFGCCRIEFCICLLLTILGYVPGMIYAIYVLVALDSDRHEREYYTLA >Sspon.05G0032990-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:25770467:25774019:1 gene:Sspon.05G0032990-1C transcript:Sspon.05G0032990-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAGGCTVQQSLTAEAAAVVKQAVSLARRRGNAQVTPLHVASAMLAAPAGLLRAACLRSHSHPLQCKALELCFNVALNRLPASAAVASGGEHGGSLCWLLGFGTYQAYMRCRVGQPSLESLWGLQTLTVPAGSLALSLTCAFDDSALGTVNQSMKAGSDTDGNGPASCWPLLGGTQLISRCCADCSAARIDTKAALPRPFVSSSTLPSWLQHCRDHQEPATTHLTDLGKTWSSICSRPSHDSGGSNGSVEVECRAKAKFKELNAENLKMLCGALEKEVPWQKEIVPEIASAVLQCRSGIAKRRDKSRSADAKEETWMFFLGGDADGKERVARELASLVFGSRNSFVSIRPGGASSPPPPAASSGSSEGHHLSKRPRMAYLERLHEAVSENPHRVIFMEDVEQADRDCQLGIKEAIESGVVRNHAGEEVGMGDAIVILSCESFDAASGSRTCSPPSKKVKVEIEEGKEERTGDHEHNEDGASLSSPSCIDLNVDMESSDQADEQSLGDLCLLTAVDRTLFFRRQEN >Sspon.01G0002230-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:6119636:6124381:-1 gene:Sspon.01G0002230-3D transcript:Sspon.01G0002230-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGERKVLNKYYPPDFDPSKIPRRRQPKNQQIKVRMMLPMSIQCATCGTYIYKGTKFNSRKEDVIGECTKCSAEITFKTDPQNSDYTVESGASRNFEPWREQDEAADKEKRKRDAEEMGDAMKALENRAMDSKQDMDILAALEEMRSMKSRHAGVSVDQMLEILKRSAHEKEEKAIAELDKEDEELIKSITFRNSGFYVKRIEDDDDDDDDDDLVPGQSSKTVKINGSSESVTKPTDVLSKTNGSEGANKEGSKSWMPKFIVKPKSASTDPHKRQKIESMAVQDNGKGLDDEQKGESAKQTNVLQSLCQNYDSDDSE >Sspon.01G0044760-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1C:84976672:84978186:-1 gene:Sspon.01G0044760-2C transcript:Sspon.01G0044760-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRPPCSSATISGGLLLCIAFFAELVNGGAIPPALYVLGDSQADVGNNNYLVTPARADFPHNGVDYPGHVATGRFSNGYNFVDFLAAGLGVASPPAYRSIFNATGGSSSSMFLKGVNFASGGAGVLALTNMGLCISFDEQIERDYYSVYAALSRQMGKAQASIHLAESVFAIAIGGNDIIDRVLLDPAGPLNSTQFIDVMAQSLKRQLQRMYGLGMRRLFFVGAAPLGCIPLMREQRSLDVDTSQGCNAEANSLSVEYNTAVASLLRDLSAQHSDFQYSFFNASTALLLYIPELEVNGSAEVKAACCGLGSDNAMFGCTPASSLCPNRSNHVFWDFVHPTELTAHKLTRVAFDGSPPLVSP >Sspon.07G0009760-3C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7C:24846187:24849754:1 gene:Sspon.07G0009760-3C transcript:Sspon.07G0009760-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AWDLYYHVFRRIDKQLPSLTTLDLHSVSPELLKCRKLELAVPGTYAADSPLVTIEYFVPQLIVITSKQRPRKLTIHGSDGNDYAFLLKGHEDLRQDERVMQLFGLVNTLLENSRKTSEKDLSIQRYAVIPLSPNSGLIGWVPNCDTLHALIREYRDARKIFLNQEHKLMLAFAPDYDHLPLIAKVEVFQHALQNTEGNDLAKVLWLKSRTSEVWLERRTNYSRSLAVMSMVGYLLGLGDRHPSNLMLDRYSGKILHIDFGDCFEASMNREKFPEKVPFRLTRMLVKAMEVSGIEGTFRTTCENVMQVLRTNRDSVMAMMEAFVHDPLINWRLFNFNEVPQVSNYGNAHAHTVVSSEEAVANRELMQPQRGARERELLQAVNQLGDANEVLNERAVAVMARMSDKLTGRDFSSGSALAGAGSSTQHGSEHLASGDARDVQPCLSVKVQLPPVL >Sspon.07G0023130-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:13116078:13119088:-1 gene:Sspon.07G0023130-2D transcript:Sspon.07G0023130-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAQAIGQGEQDHFVLKSGHTIPAVGLGTWRAGSDTAHSVQTAITEAGYRHVDTAAQYGLEKEVGRGLKAAMEGGINRKDLFVTSKLWCTELAPDKVRPALQKTLKDLQLDYLDLYLIHWPFRLKDGAHMPPEAGEVLEFDMEGVWREMESLVKDGLVKDVGVCNYTVTKLNRLMRSANVPPAVCQMEMHPGWKNDKIFEACKKHGIHVTAYSPLGSSEKNLAHDPVVEKVANKLNKTPGQVLIKWALQRGTSVIPKSTKDERIKENIQVFGWEIPEEDFKVLCSIKDEKRVLTGEELFVNKTHGPYKSASEVWDHED >Sspon.07G0016940-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7B:65054879:65058640:-1 gene:Sspon.07G0016940-2B transcript:Sspon.07G0016940-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVSSLIPKLGELLADEYNLQKEVKGGIRFLQAELETMKAALEDNSETPADQLPNLDKIWARNVRELSYDIEDNIDTFMVQVKGHHPDKEHGFKKLIDKTLGSLMQPKIRRKIAIDIRKIKRSVVELHDRRRRYKVNHSVDKPVKLDSRALVRYEKVTELVGIEESRDELIKILTEDNEASKEQNKIVSIVGFGGLGKTTLANVVYEKLTTQFDCSAFLSVSQTPDMDRLFKDMFYKLAKEKTDSIDTIDELRKFLRGKRYLVIIDDIWDISDWENIRYALPDDDSVEYRIITTTRNFTVAEKIGGPYKMKPLSLENSRILMYRRIFGQEDKDKCPDEQLEEVSNRIQKKCDGVPLAIITIASLLVSKGRSKLDWHNVCNSIGAGLEKNNTLENMRKVLSLSYYDMPSHLRTCLLYLSMFPEDYEIDRDRLIWLWIAEGFIQSGIQKKSLFEIGESYLSELVNRSMIQPIFNEDFGMVTSCRVHDMVLDLICSLSNEENFVTIQNNVGYSSVAKKVRRLSLQKGKAGHGKPKATFSIEHVRSVIVFPSYDDHIPTLENFRVLRVLDIRSCDLSKGYRLKFLGNLVHLRYLGLVDTRIDHLPEEIGNLQFLQILDIHGYASRIGSLPSSIICLTQLKCLYINEETRVPKGIGRLTALEELSCLGIDEDSIDIVEELGHLAELRMLQIKIFSKTNREENRLDKSLVECLNRLYKIQNLYMDIDSGECNLDGWVAGPQHLRAMKLDGTCSFSALPGWAVNPSLLRDLSFLEIGVKRLQQEDLEILGRLPALRNLLLMVGHEDLGTHGRFVVGACSFLCLVRCWLSGFGGPVVFEHGAMPRLLELCIDFPVQRTREINGCFDLGLGNLPSLQEVTIWLQSGGAGEQEVEEAMAAVKHAIEVHPNHPYIEVNNFWCR >Sspon.01G0009800-4D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3D:41592905:41593951:1 gene:Sspon.01G0009800-4D transcript:Sspon.01G0009800-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFIDLEKAYDKVPRNVMWWALEKHKVPTKYVTLSKDMYRDVVTFVRTCDGDTSDFPIKIGLHQGSALSPYLFALVMDEVTRDIQGGIPWCMLFADDVVLVDDSRAGVNRKLELWRHTLESKGFRLSRTKTEYMRCDFSATRHEDGDVSLDGQVVAKKDTFRYLGSMLQKDGDIDEDVRHRISAGWLKWRQPSGVLYDKRVPQKLKGKFYRTAIRPAMLYGAECWPTKRRHVQQLSVAEMRMLRWCCGHTRRDRVRNDDIRDRVGVAPIEEKLIQHRLRWFGHVQRRPPEAPVRSGVLKRADNVKSGRGRPKLTWDESVKRDLKEWNISKDLAMDRSAWRLAINVPEP >Sspon.03G0033360-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:54994007:55000184:-1 gene:Sspon.03G0033360-2C transcript:Sspon.03G0033360-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ascorbate transporter, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G00370) UniProtKB/Swiss-Prot;Acc:Q8GX78] MPGCGVAGRGGVGVSSAARPTPPQGKSRPCILSMPVARGYGRQHEPIYCTSPQSKSVLLGARFGRHVTRSTAYLLQNSRSTTMARLQKSGQFLQPVLDSSRNYLTRTFYNASMKRRVLSRVECFVSSDPINNGWLKPRRSESFTSLESACVQPEYKLPVRKRADCKAEQYEITGSPLSSSNVPADVPWWQEFPRRWTVVLLCCFAFLLCNMDRVNMSIAILPMSSEFSWNPATVGLIQSSFFWGYLLTQILGGIWADRFGGKVVLGFGVVWWSLATVLTPLAAKIGLPCLLTMRAFMGIGEGVAMPAMNNILSKWIPVSERSRSLALVYSGMYLGSVTGLAFSPLLISRFGWPSVFYAFGSLGIVWFALWQSKAHSSPEDDPEISKAEKRHILGGNPLKEPVTSIPWRLILSKAPVLKFNLTESGLLCVLPWLTMAVFANIGGWIADTLVQRGISVTNVRKIMQSIGFLGPALFLTLLSKVRSPAMAVLCMACSQGSDAFSQSGLYSNHQDIGPRYAGVLLGLSNTAGVLAGVFGTAATGYILQKGSWDSVFKVSVVLYIVGTVVWNVFSTGEKVLE >Sspon.08G0014770-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:59954501:59956729:1 gene:Sspon.08G0014770-1A transcript:Sspon.08G0014770-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRKSAAKPPPKKRMDKLDTVFSCPFCNHGGSVECRIDMKNLIGEASCRICQENFSTTVNALTEPIDIYSEWIDECERVNTVEGDDDA >Sspon.08G0029880-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:52088443:52088969:-1 gene:Sspon.08G0029880-1D transcript:Sspon.08G0029880-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGTQELDMARQEVSLPRMGDARMDEKLNMSLNEYASGSAPALTINATSAIAPALAINATIAKTKRRFKNGGKFGLLCGTDSLSHLIVSVHHIRRPGLLFLYFVGWISWKPELINEDLVDDDTDIGYY >Sspon.02G0009860-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:30468530:30471761:1 gene:Sspon.02G0009860-3C transcript:Sspon.02G0009860-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRKDVGILAMDIYFPPSCVQQEALEVHDGASKGKYTIGLGQDCMAFCSEVEDVISMSLTVVNSLLKNYKIDPKLIGRLEVGSETVIDKSKSIKTWLMQIFEESGNTDIEGVDSSNACYGGTAALLNCVNWVESNSWDGRYGLVVCTDSAVYAEGPARPTGGAAAIAMLIGPNAPISFESKYRASHMAHVYDFYKPDLASEYPVVDGKLSQTCYLMALDSCYRQFCNKYEKIVGKQFSISDAEYFVFHSPYNKLVQKSFARLYYNDFMRNCSFVDDDAKEKLQSFSNLTGEESYRSRDLEKASQQVAKHLYDIKVQPSTLLPKQIGNMYTASLYAALASVLYNKHDSLNGQRIVMFSYGSGLTSTMFSLRLNNGQHPFSLSNIASVLDVTEKLQSRHETLPEKFIETLKLMEHRYGAKDFETSRDTSLLQPGTFYLTKVDSMYRRFYSQKLAEETGGCKTKCCNGFANGH >Sspon.07G0001940-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:4812279:4813734:1 gene:Sspon.07G0001940-3C transcript:Sspon.07G0001940-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNYMSCTLAKAPGHGGGKCARVILPDGGVRQVPLPATAAELMMDAPGHFLVDARAAGLGARLAALPADEELQLGAVYATFQMKRVGTPLVAADVARLAAAATREARRSSAKVANAVVVAPPVAEVVAVPEDAPRLRLEDLVDDAAAAAEICELKHRASNARSRRPTLETIEEENYMSSIYKSSIATVAGEGKAMRPPLQKKCSQAE >Sspon.02G0010620-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:7466382:7473045:1 gene:Sspon.02G0010620-2P transcript:Sspon.02G0010620-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGVARRRLGSGCVLSQLAQALRPTAAAASAPARTYSAAAKEVGEYQGAYKISKGLLDKYGPDRVLDTPITEAGFTGIGVGAAYHGLRPIVEFMTFNFSMQAIDHIINSAAKSNYMSAGQISVPIVFRGPNGAAAGVGAQHSQCYAAWYAHVPGLKVLAPYSAEDARGLLKAAIRDPDPVVFLENELLYGESFPVSAEVLDSSFCLPIGKAKIERQGKDVTITAFSKMVGYALQAADILAKEGISAEVINLRSIRPLDRAAINASVRKTNRLVTVEEGFPQHGIGAEICMSVVEDSFEYLDAPVERIAGADVPMPYAANLERMAVPQVDDIVRAAKRACYRAAVPMAATA >Sspon.01G0016040-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:48864717:48866207:-1 gene:Sspon.01G0016040-3C transcript:Sspon.01G0016040-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSFKARRMEPELVSPARPTPSETKDLSDLDDQRTLRYYETVIAFFRSRHGYTSHRRPDDPAKAIKAALAEALVYYYPIAGRLREAAGGKLVVDCTAQGVVFVEADADVRLEELGMPLLPPYPCVEELLCDAGETRAVIGKPLVLMQVTRLKCGGFVIGFHMCHTIADGFGMVQFFRCVAELTRGEKAPTVLPVWRRELLTRRHKSSSSSLITHSNSSSSSDHNHKSDDVMLSTPMDDMVVQYFLFGPREIATLRGHLSGHHLAATSATSFELLTAVMWRCRTIALGYESDHRVRLMVTMNARGKWNQHTLIPRGYYGNAHVSPIAEATAGELCSQPLAHTVELVRTTKLSVTKERMESMVETIASTRQWPPPTMDRIYEVSDTKWIATNATQFGWAELVGGGIPLAGDLTSKLGSDHMRCKNQDGEHSTIVSMLLPKPAMEKFSGELSVWLNDKHDEKNL >Sspon.01G0029250-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1A:101631328:101633775:-1 gene:Sspon.01G0029250-1A transcript:Sspon.01G0029250-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDDWIFLGDGAGGGSDSDSASDDGSDSGFTIVRRGRKGGDQYCSVVVSDDPPLVAAVAVPQPTPPGLSFKVVSYADVFSASASSSSEDGGGRELMPGCNDVDDEATAGEAIIQGAGIDDAAGTGSTDDCTVTCKEEGCESDQVGEGEHDGTGSSAESPSVAVAAAPTVNCPETTAVLHGEAHSETELASGMDQGGAEEVEDEDSESCEEDDDDDTENSSEDEDDTDSSSEDQDEDMFISSDEEEEDTFSSSDEEYTGSFSEEEYTAEIYSEEEEDDDAESSGGEEEEDGSSEMDYVESSDEESHDAEISGEEQEEEDDAECSGEADDVESTGDEDAECTGEEVGDDDLEISGEKEEESDAECSGNEDDVESSGDESDDPESCGADSDDDAESYVEEEEEEEKEDADSTYEEEEKEEEDTVSSGEEEEEEESSHVVRVAENGKKDIFAMLFPRATTACDANAEQAAANANGEVKERSESFPSKYDDMDTDSSGSDMEDDICLESDEEDDIVDVLAQNGNQDIFAMLFPKAKACGANMDDYEEEVEEEDDGSLFDMLLPRNCAVGDDIDSSDGEDEAATATATAACGYNAEPSHGTRRPEFSGRAARGYDDVDTDSDMEDGDGEVAADVNGTSLYGWPRARATTGGGVESQCWGFASKSQTERQALWEDLRRQLAAEAFESSGQQQQQLTYDDVDDTCDLPGTAAPGGGPAGSCDCARTAEAQFTARAVRNTESALAMEEVGRREAAQRELDRALARQAAPAAQALESALQGTKRQATGVTQPAVAKPDVLADISFIICVYVFVFLMVSLLSIGLA >Sspon.01G0056280-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:86548337:86551960:-1 gene:Sspon.01G0056280-2D transcript:Sspon.01G0056280-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding KEMKVGGLLTSAGINIGLCVLFLSLYSILRKQPQNVKVYFGRRIAEEHNRLRDAFILERFVPSPSWIVKSLRCTEEEILATAGLDAVVFNRILVFSIRIFSLAAILCIFGVLPLNYFGQDMHHVRIPSASLETFTIGNVEERSRWLWVHCVVLYIISAVACILLYLSGAKKVYRKFRHFKGATVDQRCRPITFQCCFCGASSNSFQLLPSDYEQESEKSDVNDSSSSLPDEECGAAFVFFKTRYAALVVAKILQTSNPMRWVTTLAPERDDIYWSNLWLPYKQLWIRHIVTLLGSIVFMFLFLIPVTFIQGLTQLEQLQQRLPFLRGILEKKYMTQLITGYLPSVILQIFLYTVPPTMMLFSTLEGPISHSERKKSACCKVLYFTIWNVFFVNVLSGSAISQLNALSSPKDIPMQLAKAVPVQ >Sspon.04G0017240-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:62668775:62670860:1 gene:Sspon.04G0017240-1A transcript:Sspon.04G0017240-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPSKKATSSAAAAAAAAVSSNDRQAMCVQGDSGSGLVLTTDPKPRLRWTVELHERFVDAVTQLGGPDKATPKTIMRVMGVKGLTLYHLKSHLQKFRLGKQPHKEFNEHSVKDAAAAMEMQRNAASSSGMMGRSMNDRSVHMNEAIRMQMEVQRRLHEQLEVQRHLQMRIEAQGKYMQSILEKAYQTIAAGDVAACPAAGYKSLLGNHQAMLDVCSLKDMGPSMGFPSLQDLHMYGGGGGHLDLHQQMERPIEAFFASCDIGSLAKKRPISPYADGKSPMMWGDDEDGKGIVDQLQMAPPMMDAAGIDVMDSIADVYGDAKPMMSGDSTGSKGGFDVKLERPSPRRPHMGGSPSVIGGGQQTRNLSYG >Sspon.06G0009720-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:51743008:51747665:-1 gene:Sspon.06G0009720-1A transcript:Sspon.06G0009720-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MESAAIGSQSPLSFPSSLCKAKVSSGLPIYNVKIKSNRRLEVVCRGMLATRKFMQRKKKEEVFKDAADEAEQMNWRRMMREIEEAGSAVPILKTQRSGKGPLPRDVILGTLVRFKQLKKWNIVSEILEWLRTQHWWDFSEMDFLMLVTAYGKLGDFSRAERVLKYMNKKGYQPSVISQTGLMEAYGRGKQYRKAEAVFRRMQTSGPEPSPVTYQIILKSLVEGDKYKEAEAIFEYLLNEKRTSFKPDQKMFHMMIYMYKKAGDYAQARKLFAQMSERGIPLSTVTFNSLMSFETDYKEVSSIYDQMQRAGLKPDVVSYSLLIKAYGKARREEEALAVFEEMLDAGVRPTRKSYNILLDAFAISGLVDEANTVFKAMRRHRVEPDLCSYTTMVLAYVNASDMNGAEKFFRRIKDDGLKPNVVVYGTLMKGYSKLNNVEKVMRVYERMRIQGVEPNQTIYTTIMDAHGRNSDFGNAVIWFKEMEARGYPPDRKAKNILLSLANTPEEQQEANELVGNGAIQLEVKPDNEEVDGDDGHEVIHTDAGNHRLLDNTQTRNHVNGRIRAGNYTFDEEDDNDDDDDDYEEEDDEEFDFVSFKDKRELNFAS >Sspon.03G0004110-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:17205595:17208939:-1 gene:Sspon.03G0004110-3D transcript:Sspon.03G0004110-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRVIHDSGDGLQKEALDLVSSDVNFPKGCFPDYRIGPNNQIIDPEETHEAVPLKEIVAKETAQLLEQHKRLSVRDLKEKFEKGLSGASKLSEEAKRREAASLDRQVLLKKLRDVLDTLKGRVAGRNRDDAEEAISLVEALAVQLTQREGELIYEKAEVKKLANFLKQATEDARKVAEEERALALAEIEKARAAIEKVEKALHVHDSASSSREKEVMDMEFELQALRSLISEKTQLCNQLKKELAMIKRLEEDSSDLFELE >Sspon.03G0015400-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:69500499:69504493:-1 gene:Sspon.03G0015400-3C transcript:Sspon.03G0015400-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTATMATAVGGAMLLYFVLSRRLAGEDVSVGGGGGGGGGVGSGKRWRGRSAARRPVQPPATWIEAVGTLAETLRFTYSETLGKWPIGDLAFGIKYLMRRQGNLHVASVYAGSECIELKGPEIMEELIVLRRLIDLCFLFSKKPFPVFRELAGFSQEDVLIEEPKAGILKPAHTILRDECTKSFLVLIRGTHSMKDTLTAATGAVVPFHLSLLDEGGVSKLVLGYAHCGMVAAARWIARGVTPCLREAVRQCPEYQIKIVGHSLGGGTAALLTYILREHKEFSSTTCVAFAPASCMTWELAESGKHFVTTIVNGADLVPTVSTASIDDLRSEVTASSWLNDLRDQIQQTRFLNVVYRSATALGTRLQSFSGARERVAGAGAFLRPVSNKTQVVMKQAQNVAQAVARSRSAFSSWSCMGARRRGVGVVTASSKDDMRAETHVTATVESKSFVVEQCGTKTIEELQYTAASVSVDDTADEEEALLSERETSRENAEEEITEGELWYEFEKDLDRQAEVEARTREEEAAAAKEIMEEESAVLKGVEDRKSFTSDSLERQQFYPPGRIMHMVAMPPTDADPDDPVAADECSVGIYETPRDLYSKIRLSNTMINDHYMPMYKKMMEILIEKFAKDEDSYCTGSTVE >Sspon.02G0022540-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:72682959:72684878:-1 gene:Sspon.02G0022540-4D transcript:Sspon.02G0022540-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDASQDVWDWEVLPDNRSFSMSHASKNLDDQETEEHDLLPPSEDVDVREPADECKDIGVVPDETKAVPSVVADLMVSDDGGEEEEKAFQSPPDAKEAAADADDKFAQEEEEEDIKKEDNDDDKARPPQCVVFSVGKLKVNGIVGALCSFGVAAATVCIFLIGGRLQQHHRQQEQQKIQLQFYGDDKRIQQVVQQTSRLNQAMSSVMGAGGASTRANISFGGFYDGF >Sspon.01G0046710-2D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1D:93477286:93477493:-1 gene:Sspon.01G0046710-2D transcript:Sspon.01G0046710-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTFPVSKPEAAGVDRPLRFMPQLNLLLQQQMPHNSIRSLWWLSTPLPPYLPPGSKGRGGAREAKGRV >Sspon.02G0021110-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2B:70164452:70165933:-1 gene:Sspon.02G0021110-2B transcript:Sspon.02G0021110-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MISSVVIQETANQILSGLVHGYEGKENSNPNDNLERLEMALIKLEAALETSSKWQFTDSSLLRWLNKLKRAAQECDDTLYKYKKIILEEEQMEQEVRNSSFPRRVAHVTKSFIFSAFGHNNYESSRSVVRRFEWFAESAIEFLGFIELGGTPWRHISFDSFVKHLFAGRELQHKIFHGNEYPLFLLWLVPFATEEHGIEVCLVFIKKDRNTLEDNIFLGAMLQISESTDIVGTVVNCLQLFPPCFQPTVETIKKELTQLPTQDFSWVPYVDLWHRKHWDDLHSFSTQWFRPDPLCCKQHDHHKVGHKVDASLDSIIEVNLQCQISLSEYNKQRTSLSEYKYPLRDYQNLKAGLLFSPHGSSENMLPADTSSAMAGIFTGGQHCEHTNITFDQLTEIMLPKGINYFQQNTEATVYQILWKAIHGTAYIQFGKESMEARGAQRTFRGARKRKLVKQKDQELQHRTRMISHLIDLWGAHVPVRLRGLIMDWIQKEKE >Sspon.07G0033330-2D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7D:52372432:52372983:1 gene:Sspon.07G0033330-2D transcript:Sspon.07G0033330-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGLVGLPQHGGSGRPGEGLGPRGSKSPTTFGVIATMEGGFLGPTGGSGRPGKGLGPRGSKSPTTIGVIATVEGGFLGPTGGSGRPGEGLGPRGSKSPTTFGVIATAEGGFLGPTGGLFHDGGIATRGGGWLGLEGGTLPRGSKSPTTPCCIAEYGCASTIVVKSVVSSVSATTTTIDDAVAP >Sspon.03G0041030-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3C:35579053:35579903:-1 gene:Sspon.03G0041030-1C transcript:Sspon.03G0041030-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VGDVSEISQKVAEKGEAAAEVSNRPKPPSDDAEKLIAFMEDHYDDVVARVQSFDEFYHAIFELIEMFCEERGQLQYRLAEKKALEDAYNKHHLSEGKVTKEEFEAMSREVVKAGSFRVGKASAQLGMLLFGAPACALLAKKILPGLGWLSDDVVVPLATSGSVAYLIKSKRL >Sspon.04G0012150-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:18218825:18219745:1 gene:Sspon.04G0012150-1P transcript:Sspon.04G0012150-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVTVEEDATGEPALLLERSRAITLQGRDRNGRAVVGIVGNYFPARALGGRRAEAALRSYLRERVLPEIGGREFVVVYMHSRVDRAATSPASARSAARTSRCRPRPRRGCAPSTSLFFATFGRFLFSSGLYEKLRYMSRLEYVWAHIDKGQLEVPDCVREHDDELERRPLMDYGIETTESRCMYDARPWTLRRPCTRSVASPSRRVHGHLGILIPAAGTACFCVLVGRR >Sspon.06G0003790-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:26271042:26273774:1 gene:Sspon.06G0003790-2P transcript:Sspon.06G0003790-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDGDGKGRVATAPGGGYGYGYGYGGYEGPEDRKWWPWLVPTVIVACIAVFVVEMYENNCPKHGSQLGGCVAGFLRRFSFQPLRENPLLGPSSSTLEKMGALDWNKIVHQDQGWRLISCIWLHAGLIHLIVNMLSLLFIGIRLEQQFGFVRIGAIYLLSGFGGSVLSALFLRNNYISVGASGALFGLLGSMLSELLMNWTIYSNKATAIITLLFIIALNLAIGILPHVDNFAHIGGFATGFLLGFVLLARPQFSWMERHELPQTNQPPKYKAYQYILWVVALVLLLVGFVISLVMLFKGKNGNDGCHWCHYLNCVPTSKWKCNT >Sspon.06G0001590-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:5092576:5093061:-1 gene:Sspon.06G0001590-1A transcript:Sspon.06G0001590-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger A20 and AN1 domain-containing stress-associated protein 5 [Source:Projected from Arabidopsis thaliana (AT3G12630) UniProtKB/Swiss-Prot;Acc:Q9LHJ8] MAQRDKKVEEPTELRAPELTLCANSCGFPGNPATNNLCQACFQAATASSASVSPPVFQFDEQQQARPSAPAVFADRPAEQTPPPSRPARASTSTSSSSVNRCQSCRKRVGLTGFRCRCGELFCGAHRYSDRHDCCFDYKAVGRDAIARENPVVRAAKIVRF >Sspon.06G0001530-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6B:3626641:3627921:-1 gene:Sspon.06G0001530-2B transcript:Sspon.06G0001530-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DRT100 [Source:Projected from Arabidopsis thaliana (AT3G12610) UniProtKB/TrEMBL;Acc:A0A178VMS3] MHARCHALPVPTSTSTNLFQKLVTKYCRPLLPKVKGPKQSKAKHAMASPATAATLLVALAALLPPAAASSGCSAADRDALLSIRAALSEERLGVFSTWTGTDCCAGWYGVACDPTTGRVADLSLRGEADDAVMAPAGRPASGVMSGYVSDAVCRLDRLSTLVLADWKQISGPIPACVATSLPYLRILELPGNRLTGAIPPLAGLSRLTVLNLADNLLSGGIPGSLTSLAELKHLDLADNRLTGRLPSDFGKLRMLSRALLGWNRLSGPIPASVASLPRLADLDLSENQLTGAIPEGLGLGGSGSGNGGNVLTSLYLGGNRLSGGIPASLLANSGLGMLNLSRNALGGGIPDAFTPRSYFMLLDLSRNRLTGGVPRSLASAAYVGHLDLSHNRLCGTIPAGPPFDHLDAESFASNSCLCGGPLGKCT >Sspon.01G0016320-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:49875796:49876864:-1 gene:Sspon.01G0016320-2P transcript:Sspon.01G0016320-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAPRRLLGACVVAVLMAIAVANAGSGEAASVIGLAKCADCTRKNVNAEAAFNGLKVAIKCKNSNGEYESKAVGELQSSGAFSVPVSANPADCVAQLHSAAGTPCPGQEPSRITPLSDGTFVAVPGKTQQASSAVCASVTICGPIMKYFHEHYHKKEEKPEPSKPEPEPKPQPEHQPPTPTYGSPSPTTPIYHPPAMHMHLTDHFHKDHELEHFFDHFHKKPVVPPKPEPQPKPQSDHKPPATPTYGSATPTNGSPTPVSNHAPHMFDHFYKGHHHHHFFDYFHKKPVPPEPKPEPKPQPEYNPPVPTFGSPPPLYHPPAKH >Sspon.02G0015530-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:46100906:46112884:1 gene:Sspon.02G0015530-3C transcript:Sspon.02G0015530-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKALTALAAALVVLLACCPCRVRGDESPDVSASLVSFLTVLAGDDGGQTAIRLGWNASINPCVPGNKNSLWGKTLQCFDAGGNNGHIKRIDLDVQGLNGTIDAALLCAAPALRVVNLYNNSLRGGLPEGISACSGLTHLIVSGNQLSGNLPPSVAQLKSLQVIEVSRNNFSGEFPGDLSKLGLVRFLANDNHFNGTIPDFNLSNIQGLSFDVSNNNLTGAIPKNATRFGQERFWPNAAGICGGTLFAPCPAPPSSGSESDDGDGKEDDKDKDKKRTVRKIVMYLGYVLLGAAILAFVLYRICFKKKRSKLGLKSKPGGGRRGVYDSSRLTTATTTTSATTPSKTPAYSLPTSGEHSAADEADGAPAASLVVLRRSARHRSRPTPPRGGQGAAVRGPAQVPRELLGRGRFGSSYKVVVPGGAALAVKRVKDAAVDEEEFRRRMERVGLAKHPVVLPPLAFYCAMQEKLVVYEFQSNGSLAKLLHGSIENSQGPLDWPARLHIAAKVADGMAFMHTTLRGGGASSNSSSGEKAAADGPIAHGNLKASNVLFTAGMDPCISEYGITAPPTGGRDSAAAFRADVYAFGVLLLELLTGKATSAQGDGAELARWVTSVIREEWTAEVFDRALLAGSGTGSTEQRMVRLLQVAMRCVDASPGSAPPPTMREVASMINSIRDEDDSFLTVLAGDDGGQTAIRLGWNASINPCVPGNKNSLWGKTLQCFDAGGNNGHIKRIDLDVQGLNGTIDAALLCAAPALRVVNLYNNSLRGGLPEGISACSGLTHLIVSGNQLSGNLPPSVAQLKSLQVIEVSRNNFSGELPGDLSKLGLVRFLANDNHFNGTIPDFNLSNIQGLSFDVSNNNLTGSIPKNATRFGQERFWPNAAGICGGTLFAPCPAPPSSGSESDDGDGKEDDKDKDKKRTVRKIVMYLGYVLLGAAILAFVLYRIWFKKKRSKLGLKSKPGGDRRGVYDSSRLTTATTTTSATTPSKTPAYSLPTSGEHSAAAEADGAPAASLVVLRRSGTASITSNAAAAAAKELRFEDLLKSPAELLGRGRFGSSYKVVVPGGAALAVKRVKDAAVDEEEFRRRMERVGLAKHPVVLPPLAFYCAMQEKLVVYEFQSNGSLAKLLHGSIENSQGPLDWPARLHIAAKVADGMAFMHTTLRGGGASSNSSSGEKAAADGPIAHGNLKASNVLFTAGMDPCISEYGITAPPTGGRDSAAAFRADVYAFGVLLLELLTGKATSAQGDGAELARWVTSVIREEWTAEVFDRALLAGSGTGSTEQRMVRLLQVAMRCVDASPGSAPPPTMREVASMINSIRDEDDRSFSLEA >Sspon.06G0005710-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:19177869:19181737:-1 gene:Sspon.06G0005710-1A transcript:Sspon.06G0005710-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPRAAVASAKQVTRQNFAEAVRELGAHLEACDYVAVAAQKTGAPTGWRRALPVDTAETAYLKAKLAAESFQPLQIAVCPFRLRNSSPSTLVAYPYNFHLFPRDELQLGMPSYSFSCQSSYLSTMANDGFDFNMCIYDGTLVSSLSRLILGGETYGSRPSMSIDVCSDRQVQLVLEAVNHISDDLVSLVVPHKAGAVRSVRVIFTNSKEDKNLLLMDIQKLEDEQNFKFRGFREVIDLLSSSQKPIISYNCLNDMTMLHTKFIAPLPPNMHEFMCSLKMVFSNVVDISHLWRQIGPLRKAKNIQAALSYLQRQYFVPIEIEIPQQDGTSSVTKNEQNVLRITKLFAKLSNLLKISPECQLQSREQCTGVEEYCNIFYPSCVVESSDDANFAIESDTTETVSTDNIIFLWGFREKSVKELKPYLIGLHQAFSEDFEVKLLDKTCSALIFRDSNTATQLLKEINSEGPSLNRFFSEGLKAAGFEVYRKVCRLGLWDSDLAEVLEDVSSELGVPTLPECSTSQIYWNSALMLDLKELMRAPLKKQQQATS >Sspon.02G0011910-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:30480620:30483832:-1 gene:Sspon.02G0011910-2B transcript:Sspon.02G0011910-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hexosyltransferase (Fragment) [Source:Projected from Arabidopsis thaliana (AT5G47780) UniProtKB/TrEMBL;Acc:W8PUB8] MAASAVSLAKDLIIERLGEHKNRVLSATDRWQVVEAGSSRSRASGKSDTAAVREEHELPRTEEEDDPVVVGNGSARLGQDGIIKEVVLSQGREDGSGEPGDSREVGEQNGKAVGIELPHATDVVHKNGSDGLEMNDVPGLRAVGILNSSSIKVGFYLDLTAKGLLFQESATHTLSNKTREQQTSASNSNTAHHATNSIPGQTTTLSDATIHIIKDQLTRAKMYLGLFASRGNHGFARELRARMRDIQRALGDATSDRQLPQNVHSKIRAMEQTLVKVRKIHDSCSSAVNRIKTVLHSTEQQLESNKRQANYLAQVAAKSLPKGLHCLTLRLTNEYYFTNSKNKDFPYVEKLEDPKLHHYALFSDNVLAAAVVVNSTLVHAKKPENHVFHIVTDRLNYAAMKMWFLANPLGKAAIQVQNIEEFTWLNSSYSPVLKQLETQFMINYYFRSGHARHDENPKFRNPKYLSILNHLRFYLPEIFPKLNKVLFLDDDTVVQRDLSALWLVDLKGKVNGAVETCRQAFHRFDKYLNFSNPLIAKNFDPHACGWAYGMNMFDLSEWRKQNITEVYHTWQKLNENRLLWKLGTLPAGLVTFWNRTFPLDHSWHQLGLGYNPNVNEKDIRRAAVIHYNGNLKPWLEIGLPKYRKYWSAHVNYDQVFLRECNINP >Sspon.07G0001230-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:2738989:2742353:-1 gene:Sspon.07G0001230-1A transcript:Sspon.07G0001230-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGGGYGGLYRGDDPADQLITAFDHGGGGFFLQDTVSPCAGGGTTPYASIADYLKGFLDPAGLAAHFGSDDAPPLPPSCGAADDAVVAVKQEMVVQQLSGGSRRDDDVDGRLVVGAAPVTPGNSSVLSSSSCEAGAGADDDEEPPRRRCGKKGRIEGEEEQEGGGEADDDVPDRNCKRSKKQRGEKKAREPRVAFMTKSEVDHLEDGYRWRKYGQKAVKNSTYPRSYYRCTAARCGVKKRVERSQQDPSTVITTYEGQHTHPSPVGLLRGAAAGAFMRSAVAGGFRRPDLLTIDDYAGTPSGFLPLLPAGGIGGGGGGLLHPSMRLLLQEPQHHRAHSSQLAAVDAYGGVPDFIPSIPR >Sspon.01G0042460-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:65702111:65705777:1 gene:Sspon.01G0042460-1P transcript:Sspon.01G0042460-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLFVEVLPRDATGGAAVLQCRRCHVDAASVAAILSRDFHGRLGRAYLFDRVVNISLGPNEDRYFRTGRHTVNDIYCICCQEILGWRYEKAYEETEKYKEGKFILERAMMCKQAP >Sspon.05G0006950-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:15521245:15524715:-1 gene:Sspon.05G0006950-2B transcript:Sspon.05G0006950-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MCYVAAAPTMPALTTAESAAEPPLADSYLGLLRRGGGGIAPPTEGCGVQERELPFIDLSCLMTSGGWGGNGSASRACADAMARAASEWGFFQVTGHGVSRALLERLRAEQARLFRLPFETKAKAGLLNGSYRWGAPTATSLRHLSWSEAFHVPLASISATASCDFGDLSSLRGVMQQVADAMSRVAKTVAVALAGNLLQGHGDHDHEAAASFPAGCDETTCYLRLNRYPACPFAADTFGLVPHTDSDFLTVLCQDHVGGLQLLKDARWVAVRPRPDALIVNIGDLFQAWSNDRYKSVEHKVVANATAERFSAAYFLCPSYDSPVGTCGEPSPYRDFTFGEYRRKVQEDVKRTGRKIGLPNFLKHQPPHQSFCRKD >Sspon.07G0014300-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7A:51736074:51737894:-1 gene:Sspon.07G0014300-1A transcript:Sspon.07G0014300-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSGPRKPSTPPLPAATATAKDSSVMTAAAAVLLELAAADDVVAFRRAAEDDKAPALDAAGHWYGPSAAAAGRLRLEARTPAMVAALYGSTSVLAYVLSSAPAEAARASPTDGATPLHLAAAGGSAGAVAAAHLLLAAGASADALAFSGLRAGDLLPRANAAADRDRALRVLLKSPAASPSSSPKKSASPPPPAAAALPAEPRKEYPPDLTLPDLKSGLFSTDEFRMYSFKVKPCSRAYSHDWTECPFVHPGENARRRDPRRYSYSCVPCPEFRKGGACRKGDNCEYAHGVFECWLHPAQYRTRLCKDEVGCARRICFFAHKPEELRAVNPSAVSVGMQPTVSSPRSSPPNGLDMGGGMLNPAWPSSPASRLKTALAGRELDFDLELLALDQYQQKLFDKVSSPRASWGSAGGIGPTMPAAAPARTVPDYTDLLGSVDPAMLSQLHALSLKQAGDMPAYSSMADTQLHMPTSPMVGPNTAFGLDHSAMAKAIMSSRASAFAKRSQSFIDRGGRAPATRSLMSQQSTTGAPSMLSDWGSPDGKLDWGVQGDELHKFRKSASFAFRGQSPAPVPTPAEPDVSWVNSLVKDGHAGDIFAQWPEQEQMVA >Sspon.07G0027100-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7B:58834801:58835311:1 gene:Sspon.07G0027100-1B transcript:Sspon.07G0027100-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPYVKIDSCVNNCMIYYKEDANKEKCDFCEESRYVVVEATNQGCKRRPIARKVLRYLPVIPRLQRLYMEPKTAQHMRWHKEGRRANPNVMVHPSDVEAWIHFNRLNRDFESDARNVRIAMATDGFNPFGYGKAQYSCWPVFVIPLNLPPALCMKKENIFLSLVIPGPEHL >Sspon.04G0012770-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4A:44507470:44507772:1 gene:Sspon.04G0012770-1A transcript:Sspon.04G0012770-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RVPCVKRGGVRFTINGHDYFNLVLVTNVAGAGSIKSMDVKTSNSNSWIPMARNWGANWHSLAYLTGQMLSFRVTDTNGQTIEFTNVVPQGWKFGQTFASKL >Sspon.02G0010780-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:34053248:34057367:-1 gene:Sspon.02G0010780-2C transcript:Sspon.02G0010780-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:TITAN-like protein [Source:Projected from Arabidopsis thaliana (AT4G24900) UniProtKB/Swiss-Prot;Acc:F4JRR5] MPAKPPPAAEFEYCELCRHHHDHGRRHRYVTKHRRNLDAALTSFRSKLSDLRRAFLRGSPSSQPPRPRLWCPFCSTDLVVLDSRSAGKNAIYHLASSEHLKGVKDFLRKHGGGMDQVDSLRISEDVLAKWEKSSESLSTGTKKGTEGLIGPSLKQIKDIQNEYTCDSLDSFAQNNISSFSNTASYVVMPLQSPTNGAYDPICTACHRASSSGSAPYSAPYGTVGLPITPWGSAETHKQQGALSTNLFHSSGPETKAQYENYPAAAEVDLTPGILERAVGEADWAAREADTVAGATPGSKANVHTGAPPPWLKANEHDPKNLPLRSCALPSRKGKLRKLNPKRVGAAWAERRRAEMELEKQGEIVPATSDSSWLPNFGSVWQSGTRKESRKDFEKSHKLHDTKSNHDLSLEIKPYISKRMRVGADKASDKAEELGSHLQQ >Sspon.02G0022350-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:75009629:75012824:1 gene:Sspon.02G0022350-1A transcript:Sspon.02G0022350-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMIELIILVLLRSSLLFLHADAAGHHGGVHLGSQIAALLQWKSALRNSSLALDSWQQGTSPCSSNWTGVACAAVHSGRRAPLAVTKISLPNAGLDGYLGELNFSTLSFLTHIDLSTNSLRGVIPLAITSLPELSYLDLGGNLLHGNIPSELGNMASLSQLGLCCNNLTGRIPASLGNQTTLVELITGQNLLTGPIPEELGNLPDLEFLDLGDNFLSEENMITGSLPPELSNLEKLEMLLDLSKNKLTGKLPVELGNLVILEVLNLSHNQFSGSIPSSIGSMASLSTLDVSYNDLEGPLPAGRLFQNASAKWFLHNKGLCVMILRKRKRTQITTVTDTRDVLSVWNFDGKLVFEDITRVTENFSDRGSGTVYKAQLEGGRSVAVKKLHPTEEGIHDEKRFLSEIEVLTKIRHRSIVKLYGFCSHPRYKFLVYDFIERGNLHIVLETEDLAKDLDWQKRVAIARDVAQAIYYLHHECNPPIIHRDITSNNILLDAAFKAYVSDFGIARMLKPDSSNWSELAGTYGYIAPELSYTSVVTTKCDVYSFGVVALEIVMGRYPRELQSIASMEQHHELAIEDMLDQRLSSPTMVEKKEISVLVEVAFACLQTSPQFRPEMKDVYQKLALHNAPSVSPFHAHTREETIDG >Sspon.05G0014900-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:48965315:48972539:1 gene:Sspon.05G0014900-2C transcript:Sspon.05G0014900-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGGGGSAAARMDPEAASELVRKGATLLLLDVPQRTLFGIDTQMFSVGPKFKGMKMVPPGPHFVYYCSPNRHGNEFAPTVGFFLTTHPSEVIVRKWDVQEERLIKLSEEEDIRYSEAVRRFEFDDQLGPYNLDSYGDWKQLSNYLSPNVIERLEPIGGEITIAWESSWIDKAPQSDMERRLVEQLREGKFAKNAPVQSERRGCYYTTIPASVKHKDISGGQEDLFLGELQFAFIAFMMGQSLEAFMQWKALVSLLLSCSEAFIRTLYYQLKHGFQHTQDSKSGEMGNSLCLDEAWFSRDIFLYRLSKDFFTVVFEATVVDGDLLSWARKLKSLLETTFGWDLEDNAVNLIDEDDEVNLDRDQMIYSISLLHLSETVVSILPQFAPV >Sspon.06G0008280-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:34064113:34067774:1 gene:Sspon.06G0008280-2B transcript:Sspon.06G0008280-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAGIHPFHQQWPPAAAAPPPPGAAASVAVPPPPPVPGAPGTAAADEVRTIFITGLPADVKERELHNLLRWLPGFEASQINFKGDQPMGFALFSTAHQAVAAKAALQDMVFDTETKVALHTEMAKKNLFVKRGVGIDTNTVDQSKRLRTGGDYTHSPYAPPFHPPPPAVSMWGTPGYITAPPPYNPYAAYPVPPVAMTSPSPVTGPTAYAPVQNTKDNPPCNTLFIGNLGDTVVEEELRSLFSVQPGYKQMKVLRQDRNTVCFIEFEDVNAATSVHQTLQGAVIPSSGRGGMRIQYPLSIEK >Sspon.01G0024100-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:31819115:31823746:-1 gene:Sspon.01G0024100-3C transcript:Sspon.01G0024100-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKNRKKRKVTSTASSPLPTTIDSLPDDVVADILLRLPSAAAICRAVFASEHWIRVATSSTFLRRLRDIHDYPLLLGHFVAPYGHRFFTPAHFYPAPLRSSESDGVLAAMVRRGDFFLTRIQVQGSGQHVLEDCRGGLLLFSDTRTLRVFDPVTHRVSIVDQLRRHGGRAADHRGRTLCLLPNGDDAGAFRVMSLQHGNGKKLARLEVYNSCTRAWRVLSGAGTDKIPARSHMAQIYRGHYSPAMHAGDRIYWKYSVADSLLSLDTKTMTFSDVRLPPGVTRRSAYAVGETEDGTCCLVHVVKHRRQMQVWRFKLGDDDHKGNGAQMWELERQVPLILDSVSVYRSVDQVRAIVGGIVLLCVDNSSSYQHHIAFRLKSLKVEADFTCRGLARPFVIEWRIKLLNLQWEPLEIMRILLAHVGPFVLST >Sspon.01G0015290-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:44370091:44374353:-1 gene:Sspon.01G0015290-1A transcript:Sspon.01G0015290-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSRAALAVLMLSWAAVLAAASLDTSPVTFQAGYMPLFGGGNLVPSPGGRSVRLKLDRQTGSGFVSKSAYHHGFFSASIKLPDDDTAGVVVAFYLSNADVFPGNHDEVDFELLGNRRGHEWRVQTNIYGNGSTSRGREERYLLPFDPTLRPHAYAVAWTPTAILFYVDGTPIREVVRVPAMGGDFPSKPMSVYATIWDGSAWATAGGRYKVDYAFAPFAADFSSLVLSGCPVAAAADDSECQVAVMTADCAVMTPAKRAAMRRFRRRYLLYTVCHDMYRYNGTIFPECDADGSERDDFHKWGESKRVSPFSHGYKQQRADKAVAVAVAAPGRPDTWPVIGTLRVD >Sspon.06G0009590-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:41203319:41204905:-1 gene:Sspon.06G0009590-2B transcript:Sspon.06G0009590-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASMISSSALVAPSRAQGLPSLGRRAASFAVVCGTKKKIKTDKPYGIGGGLTVDRDASGRKAKGKGVYQFVDKYGANVDGYSPIYNENDWSPSGDVYVGGTTGLLIWAITLVGLLGGGALLVYNTSALSG >Sspon.01G0031760-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:108472160:108483947:-1 gene:Sspon.01G0031760-1A transcript:Sspon.01G0031760-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPATAAASADGGAFLEFVDYAISMLSSSAGDGDGYESPGDGPAPRPPWGWAVAQLLKSCCAYSSGVTSAILLSDLFQSWTEQRKSLTSKRKVELAKLMNTKNKRRRLPNTVTIDSIHEKNFLSRNSVLEAVIIDVFVLPGTNIYMLTLGDMWSTSTIDLYLHRRVEKGREVMLTGCCLRTAMEGSGHARILPTEYMVILLDEDQDEDALLLAAQFCTYSFSSMILEESRNNVPYSFYASVGSMLALDRPFIANFIDNNHEESQELCLEYGSASQVYMVPIAQQEEQVPFTPTQVKSQGPRLSCVPTDNVASQVTLPRDLHGSVDFSKYPFRAYVSDLHDKMVGISLFGTVTSVCKVSTSGTTFYLELEDTTGVVLMKLIFIGPWSLGRVGVGHMVYVSGLTCALSSTNILEVSWREKEPGSLFVNLSLLPALLNSTCLHNLSPLSDLPRSTNRTHISHVRLDCIDCDSLKVSLFHNICGSVVNELSGGLQCSFCKCACESACAHGFQLHLTIADDSAKVFAWCVGQTAVEFLQISPDEYLELPEDERAMYLYTLQNESFVVAIANTRKRADGCAVGDEAVPAWEITRAQKCE >Sspon.06G0020970-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:11761956:11766559:1 gene:Sspon.06G0020970-1B transcript:Sspon.06G0020970-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGKNTERARKALDAMKELGISRKQATPVLKELLATFDNNWEPIEDEHYRALADAIFARQDNKQTSPSQQGAQAAHADLEPNGSTRDDLQQYVYASHDDTGEDDNETPLVKRPRMGTANFRPEPLPFEPGPQQSAVSTQGALPASPQGSRRQTRSLTVVPHAAGHEYPLAVDDALIVKEPKPEPQIDIAEVSVGDPLTDRDFLADPEAIRLNGGSSGSGARGSTVNQARSVGRSLQPAPVCKNGVGSTVQNTKETSFVEVDVASSANGEVKMSLKCSLDSSNFTISMEEVFKMVEEKCLHSYKVLPPDFSIGKLMSEVCQSVVQLGTMHSEVNRDDGSLHNEVVAPFVKPIACEAAVGINDNAAGGSSVPESSEPCLQNSLVTWDPELAHSKQKTTHDITDISKGEERVFFTRDGKGWGVRTVEDLPKGAFVCEYVGEVLTSAELHERAIENARNGKRMHQVLLDAAWGSSVLKDEEALSLDGSFYGNVGRFINHRCYDANLVQIPVEVETPDHHYYHLAFFTNKKVEAFEELTWDYGIDFDDVEGPSKPFRCMCGSRYCRDPKNPRRMGRAAAKRNIITSASINVDMGMRADVLDPCRRLSTANAN >Sspon.06G0002510-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:5490733:5494237:1 gene:Sspon.06G0002510-4D transcript:Sspon.06G0002510-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MYADRTSGRKRSVMDRLGSGGASRSRSYGAKRFRRDDGTWRRELYKASRGTQTSSEPTSRNLQSNQKSQMQQRIEVVKKSPVSDLREKLSGVPSQRPQLSTVQVPKPVKEVIKSDKPVQKRDPPPTAAPIVIKKVSAPAPEPAPSALPKQSQDKVDMKALVYMNEEDMKSLGIPMKEEVFEVIAYQYQLIHL >Sspon.05G0018600-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:76938182:76941118:-1 gene:Sspon.05G0018600-2C transcript:Sspon.05G0018600-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGADRISDLPEDVLHHILSLLPARDAVRTCVLAQSWRNCWRSAPAVRFACSTGWVGGVDAFECFVDSLLHVRRRGPPLDSCDFDLDVVDLEYCDYGLDFEGNSWIRLVLELRVRELRFRISGNTDNPFMLDKRPLSSQHLTSLELKGVQDIYGVLDFSECPALESLKLEASWMSREMHSPSLKNLSIKYCCFEANCRTWISCPSLASFEFINNSGRAPMLERMPYLETAKVRFDHHSDDRCKNGRLDDCGDVACAGCFDHYGPDHSGRAFLQGLAEATYLNLSAYPDMYVFYRNLKWCPAFNKLKTLVLSKWFLSTELIALIWFLHHTPLLEKLTLRISKAHKSLMKTEESYNPLEKSVAPSHLQIVEIICKHVDGIVLNVLKVLNANGIPLGKIRIQCSDLHYHLELLNALLLLLIYERHLCWKVIILCVLIPTSRSEKYQAVDDPNRLFKQPDAKTASSCAIVSLCQFLTATVRIDFSAALL >Sspon.03G0016170-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:75429753:75430836:1 gene:Sspon.03G0016170-1P transcript:Sspon.03G0016170-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARIHLYVAAACAVALALAAPALAGDPDMLQDVCVADYASPVKLNGFPCKANISADDFFFDGLRNPGNTNNAAGSLVTAANVEKFPGVNTLGVSIARIDYAPGGQNPPHTHPRATEIIFVLEGTLEVGFITTANKLFTKTLTKGDVFVFPRGLVHFQQNRGYGPAAVVAGFNSQLQGTQQIAMTLFGATPPVPTDILAKAFQIGNGEVEHIKANFAPK >Sspon.01G0061720-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:96426995:96430072:-1 gene:Sspon.01G0061720-1D transcript:Sspon.01G0061720-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFGFRAGDRRPRHPSPDRRFTPPQEIDRDRRFSASERPRSHAAGPFHGEPPPSPFEGEVAARRERIIREEVERRLIEEEVRRELALARARFHGGFGPVPFVGPDGHTIVPSPPPGPFYMPDGPFMPPMPLPLMPVAVGMHPNCPPPALFGSWEGFGPRRLPGFGQPMTRPVPPPKRRHQLQLREIAPSESSEVLSSETKVGVKRKADASSATTKPTKLQNAARDWSCALCQVSATSEAGLNQHLEGKKHKSKLVQCGAIKDTGKSGLQVTTGNNNVAGTSDARKKIHILVDGEMHQVVQKSNCVWCERCRVSCTNAAAMVDHLRGKKHSLLNKVWTSLKAVRMNKEVKEDSAATCERKVNEYGPTGIPEEEEHKEEDTYMTSEFSGDGSFKIPTEMKETTDMAEEVDANSHNVIPAEIKKEDTDSASEVNGNCAGETKQEGTDVDVVMDVNKNFLLKAHQKSRNKANKNFLSKVHQKSRKKANKKFLFKVHQKSRIKTNKNFLLKVHQKPRSKKWLGIREVNEISSIEIPAEERHNDETTDAATDLAEPARKEE >Sspon.08G0010030-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:43935725:43937276:1 gene:Sspon.08G0010030-1T transcript:Sspon.08G0010030-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAKIEQKRSNLRQRLLGILSDLPMHKLQQLVVTSTAASLPALAVVALVLFLATARRQCSFLDAYRSDVSLQPSPCGPSRPHSVRVPSGCDIFRPGEWVPDDDAPYYTNLTCPFIQEHQNCMKYGRPDRGFLRWRWRPDGCDLPRFDAAAFFDAVRNSSLAFVGDSLARNHMQSLMCLLSKVAYPKDISTTTNPEFRTMHYEPYNFTMAIFWSPFLVRGHQPDPRRWMWDIYLDEPDAAWRDAVSGFDRVVLSAATWFNRPAVFYAGGGRVVGCHYCLVPGVPDLTLRYSLRMAFRSALRVLTGPGFNGTVILRTLSPTSHFEGGEWDRGGDCRRTRPFAANETRMAGLDLDLHAVQVEEFARAKAEAEASGGGTRLVLMDTTAAMVLRPDGHPSRYGHWPHENVTLYNDCVHWCLPGPIDAWNDMLLQMLLRD >Sspon.08G0009190-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:35454309:35455215:1 gene:Sspon.08G0009190-2B transcript:Sspon.08G0009190-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LINTDRSISMAFQSRLVLLLPMALLLLVGSSPAVAQLEVGYYSKTCPNVQAIVRNETEKILAAAPSLAGPLLRLHFHDCFVRGCDASVLLDSTGGNTAEKDAKPNKSLRGFGSVERVKAKLEATCPNTVSCADVLTLMARDAVVLAKGPSWPVALGRRDGRVSSATEAADHLPPAFGDVPLLTKIFAANGLDVKDVVVLSGGHTLGTAHCGSYAGRLYNFSSAYSADPSLDSEYADRLRTRCKSVDDKATLSEMDPGSYKTFDTSYYRHVAKRRGLF >Sspon.02G0015770-2P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2B:41358863:41359480:-1 gene:Sspon.02G0015770-2P transcript:Sspon.02G0015770-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTHRQHWFNYWWFVFPDASINHGPRSWVLPVGALHFTAAGDTFQQLTSSALLWLQQDPSSYLSFQNLLDSQPSSQSIFGAAAGGFGQAASRLHDPAPSPSDFLASVGGSGSLGGLTHGGLLAGSEGLHLHHSRSDDVHGHGGDELSGVVAAGASSGCCKLNYSSHAGAATSSSAGAASADKPPDGGAGGAAGRPGRGEGLDPWI >Sspon.04G0007180-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:21225460:21227535:1 gene:Sspon.04G0007180-3D transcript:Sspon.04G0007180-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MCKCLCLPAFLFGGTVTLQAQKEFPPDMQCKDKFLIQSTKVAASTDMDEIPPDTFNKETDKVIEEMKLKVVYTLPSGGSDDSSVSSLGSRSFKAASDDLAMLKNASIEEIQTIQRLKEERDNMLQQNQQMQRELDVLRRRRSRKGDAGFSLTFAAFAGLIGVLVGLLMSLIFSSPPADA >Sspon.01G0028380-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:99191977:99194899:1 gene:Sspon.01G0028380-1A transcript:Sspon.01G0028380-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPFFSTPFQPYVYQSQQGSVTAFQISGGDVQVLQVMLKSQEKLTAKPGTMCYMSGNMQMDNNYLPENDGGVWQWIFGKSVSSTIFFNSGSDDGYVGIAAPFPGRILPVDLANFGGELLCQADAFLCSVNDVSVSSTVDPRPRNVEIGAEMILKQKLRGQGMAFLVGGGSVMQKILAPREVITVDAACIVAMTTTINFQLKNPNQLRRAVFGGDNQLTASLTGPGVVFIQSLPFHRLSQRIASRSVAGPSLRDNPKFFIQIVMFFFLAYVMIVSSIILTDV >Sspon.07G0013530-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:54287921:54289541:1 gene:Sspon.07G0013530-2B transcript:Sspon.07G0013530-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVESGWRHMRRSGRPGPAAGVLAHDPDNARVHARLRCGHRPDVSTPDRTNTGARVAVVEGEVHVERVENLELVKNGVPDGGNQQLGWNVNQLAADFIRRSKEKFQGEDGSNGQV >Sspon.07G0007070-3P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:14343271:14346188:1 gene:Sspon.07G0007070-3P transcript:Sspon.07G0007070-3P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fructose-1,6-bisphosphatase, cytosolic [Source:Projected from Arabidopsis thaliana (AT1G43670) UniProtKB/Swiss-Prot;Acc:Q9MA79] MDHAAEAQRTDLMTITRHVLNEQSRHPESRGDFTILLSHIVLGCKFVASAVNKAGLAKLIGLAGETNGEEQKKLDVLSNEVFVKALVSSGRTCVLVSEENEEAIFVDPALRGKYCVCFDPLDGSSNIDCGVSIGTIFGIYMIKDKDNVTLEDVLQPGTNMLAAGYCMYGSSCTLVLSTGNGVNGFTLDPSLGEFILTHPDIKIPKKGKIYSVNEGNAKNWDGPTAKFVEKCKYPQDGSPPKSLRYIGSMVADVHRTLLYGGIFLYPADQKSPNGKLRILYEVFPMSFLMEQAGGQSFTGKQRALELAPTKLHDRSPIFLGSYDDVEEIIALYASESNNA >Sspon.06G0031430-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6C:67025838:67028368:-1 gene:Sspon.06G0031430-1C transcript:Sspon.06G0031430-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQKLWQVDGLLLASAIMAGVTVGIGAYGQRYRHHPITHLIFVGSTTLFLPIISSVVASSTGYVSSVYLFFSPWPSYCSNTMQSKRHVLSQSFSFGRNPRLVAGYMQQLPPPPLLVMGEEARHVEKQPSGYVFKDDSSSMSSGTMMQNTIGLVTIDRVWQLLDSRNSSNNMLLLVPTPQRLKDLCLSFALFKLLRCRFARYELHTFASSQNTFDFFWSLLLSDGEPADRIICDIRCKDGERAASVHFGNIFFDMVPLSLLLVFLVAAEVRDIVSYVCSNWTKVVLTCCLFSNTEQSLSMRKWFVQVLRCRCKLIEHQWDDRIGQCSVLPLHPRTNPLALFRHHLQLPDYKIKANAAMKVSIINALRSSKNDGGHLILSNGKASLYRSGEQGGERFIWACNSISVSNNILSWQIATSILEVRYPLQPQQQGSSSVTSEGDRTVATYLSRYCTYLVFCCPELLPDDDAWSKSMYEAVKKDAEHALAQPCCIVGRHYLSSNQEAAWKLLASFWSEMILYITPSDNLKGHAVAIARGGELITLLWALLNHVGIVSRTTSDDAARAAAGTSTPGVISNI >Sspon.04G0007320-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:21682460:21693747:1 gene:Sspon.04G0007320-4D transcript:Sspon.04G0007320-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MERKGRRRRKGVIVKTMGAIGGDESVQWDKIAGPDAVNGGGGSVGRMGRIQVLVRLRPLSEKEVARGEPSEWECINDTTVMFRSTFPDRPTAPTAYTFGQCIFPSSFDATHVHLIILLCFFTYITVNRNAPIADRVFHSDCSTKEVYEEGVREVALSVVSGINSSIFAYGQTSSGKTYTMTGVTEYTVADIYDYINKHEERAFVLKFSAIEIYNEVLRDLLSAENTPLRLWDDAEVKNKKGTYVENLTEVVLRDWNHLKGLIAVCEAQRRTGETFLNEKSSRSHQILRLTVESSAREFLGKDKSTTLVASANFVDLAGSERASQALSAGTRLKEGCHINRSLLALGTVIRKLSMGSNAHIPYRDSKLTRILQPSLGGNARTAIICTLSPATSHIEQSRNTLLFGSCAKEVVTNAQVNVVMSDKALVKHLQKEVARLESELRQPASSSSLEALVKEKDNQIRKMEKEIKELKSQRDLAQSRLQNLLQTVGDHAKHSGSGKRSARSPPSIGIPPGISRDDSSQISHDDSDLYKEVRCIETSGTGRNEQLDLSAGESSSPQGSNMNSSLHGNGSNASVNSRRSRLLSESPITLEQHLENIRRPFVNLGRDLGSSTHNSSGSRILGRSRSCRSLMGSTMFDGMEMDDGTPLHRSLVGFPGRPEGDHRRGSAPNYDAESETLSRAGSIVSTKANGACDTEFTGIGEFVAELKEMAQVHYQKQLGNQDTNGEFGDCTIKSIGLDPIADALQSPSRWPLEFEKKQQEIIGLWHACSISLVHRTYFFLLFKGDQADSIYMEVELRRLSFLRDTYSRGSTPSNAVVGSLNSSPVVSAKKLQREREMLARQMQKRLTAEEREHLYTKWDISLDSKKRKLQVARWLWTKTEDLEHVRESASLVAKLIGLQEPGQVLRE >Sspon.01G0058700-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:32178214:32184371:1 gene:Sspon.01G0058700-1D transcript:Sspon.01G0058700-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGGIMNGDDVDVSAAMGIEAGTAAGPGDSPPAEPSAATAASSASGATAASTAECAATAACAAASAECAATAAYAAASYTAACAITAGFFVATWAESSDPGATTCEPAAVHVASSSSDDHVVTALPGMGANQQQGVIADQQQLTRTGTGAEQGVNAGSQILKGSSSAGGPTDVWIENAVVQTSNVGAVGASQKTATTKVCRRCGVKGHLMFECTTTVFCEICRSSDHATSRCPILKQPKPVAQLVGQAADALAGFLIPHALFQPTKRDSRMALISTFGKDLSEEEVAAFLGVLVSDTFAWEVKQHNSSEFKVLFPTKGDLAKMTRFNAEMKEGVTLKFQEFKEDEEYFGHALPVVWMRVLNLPTILREYLVLWALGTLFGVTQDVDMVTTRASSFGRFAVAVLEPEAIPTKLDVIIGSRYFGLLFEVEPYLPNIGLRNIWKTENNGNEDHGRGAPKDTNNPEAQMDIDLEEDDLLASMVPSRSSAPPRMQHRSSQLPRSGGKVVSGGELKGKEKGQQQQPSAGIQAPAGLGAASLGSQKTHKQQPSTIDGELVEVRQVDGTSDGVFVSENQHLGSVLDAMVDAGVDRIEQNLGGVGISLGGKENLVAGSIALIKDVEQERLKPSYDVNSVDKDCESEEDEVDLDTSIIGRLCGDLTEEVIDDSSAGLDGVLVDIPVKVAKNRKFKKPLNRKNSFSLDETLRHDIPQVSEEENEVLVAPFTEEEVQYMPGDSQFWAGLMKVKDEFLSMGRFDLGDGSQVIFKGTYWTRYWTLLQKEEDRHFLKTGCRTIEITAMEVFARYGWSFSNRIAL >Sspon.03G0022570-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:68742335:68745545:1 gene:Sspon.03G0022570-1P transcript:Sspon.03G0022570-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGFKVTRISEGPVKPASATPEETLPLAWVDRYPTHRGLVESMHIFRSGADAAPAVIRTALGKALAFFYPLAGRIVEGEQAGCPAIRCTADGVYFAEAEADCSLEDVRFLERPLLLPKEDLVPYPGDDRWPVESHNTIMMMQITKFTCGGFVMGLRFNHASADGMGAAQFINAVGDMARGLTEPKVLPVWHREKFPNPNIKPGPLPELPVLALDYIVLDFPTPYIDDLKRQYKAHSGKFCSGFDVLTAKLWQCRTRALALDPATEVKLCFFASVRHLLKLDRGYYGNSIFPVKMSAPAEKVLASSIMEVVDMIREAKDRMAVEFFRFAKEETDQDPFQMTFNYESIYVSDWSKLGFSEVDYGFGPPCSPAHSSTMTSSPPSSSSRRRSHWTAPGCSPAASPRSTPRSSPVA >Sspon.08G0009200-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:39059078:39060220:1 gene:Sspon.08G0009200-3C transcript:Sspon.08G0009200-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLLLAAGSSPVVAQLELDYYSKTCPNVEAMVRTEMEKIISAAPSLAGLLLRLHFHDCFVRGCDASVLLNSTESNLAERDAKPNKSLRGFGSVERVKAKLEAACPNTVSCADILTLMARDAVVLAKGPFWPVALGRRDGRVSSATEAAEQLPPAYGDIPLLTKIFASKGLDVKDLAVLSGAHTLGTAHCPSYADRLYNFSSAYNADPSLDSEYVDRLRTRCKSVDDKAMLSEMDPGSYKTFDTSYYRHVAKRRGLFQSDAALLTDATTREYVQRIATGKFDDVFFKDFSESMIKMGNVAVLTGAEGEIRKKCYIVN >Sspon.02G0006340-2D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2D:12715005:12715361:-1 gene:Sspon.02G0006340-2D transcript:Sspon.02G0006340-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFLSTLSGSGTGPRTIQDELLQMHLRFVPNFHSMFVGMISPMADDITEFDIANFNHFGILTRFFNYSTCSSASDNESMTSTNHLRSATWRTRDTPQRLEGPQEYPVRLRNTAPHHPR >Sspon.07G0023950-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7B:20856758:20857228:1 gene:Sspon.07G0023950-1B transcript:Sspon.07G0023950-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSNLLPITQRHHGSKDLAHGESMGIPTTTSSRSTRRSLATSREDACPSLHGVSTTIHWAFMVHTWVIIIIISHLPWAMSSRWTSLVLTPGSPQLKRASKKFATLYTNRPSGRNTRRKPLLTFDSTSSKNRIIGIVCSVASTLVHRRLSEYHFST >Sspon.07G0005390-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:27911050:27914968:1 gene:Sspon.07G0005390-1P transcript:Sspon.07G0005390-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding QHGQMQSLARQGSLYNLTLDEVQSHLGEPLHSMNLEELLKSVFPDGLDPDGGTTSQYEQSLGLLRQGSITMPPELSKRTVDEVWKGIQDAPKRNVGEAGRQRRERERQPTLGEMTLEDFLVKAGVVTEGYLKDLNDVGNVEQVGRAGAVGLTAGAQWLDHYQQRITAIEPHQHGQHSLPGAYMPGRLALQPLNVGPGAILESYSDGHITSPMMGALSDSPTPGRKRGSPGDVEDKLMERRQKRMIKNRESAARSRVFQQAYTNELENKVSRLEEENEKLCYLQELEKILFSAPLPEPKYQLRRTGS >Sspon.04G0005020-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:14526239:14528423:1 gene:Sspon.04G0005020-1A transcript:Sspon.04G0005020-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYNTRKTRAAAAGRAPPFAVPCVDIKSFVASLAFLTLFVAFWQLQPYGSLLTAARTSASSPCTLLTATAATDVASPDATSGTAANTNQPASAASATAAGLKCCPGAVGKGCEAGAAGRSEQARAPAVRQRGGAVRADGRVPGRAADVRHRGAGVQAHPRVRHPLLQVRVAAQPQRRRPLAAPRPDQGVQDAPGLGLRPRLHRRRRQLHVPSNPNAGNAGGKLLVHAYYSTASRRYERFVALEEAPGSYDESRFSPPFQYDYLYCGSSLYGNLSASRMREWVAYHAHFFGPRSHFVLHDAGGISPEVKAVLDPWVRAGRVTVQDIRAQAEYDGYYYNQFLVVNDCLHRYRHAANWTFFFDVDEYLYLPNGRKLNEVLTSSRFTIEQNPMSSKLCLQDPSRNYSREWGFEKLVFRNSITKVRRDRKYAIQARNAYSAGVHMSQNLYGRTTHKTENLIRYYHYHNSINVMGEPCREFVPMPVNGSKTMFEGVPYVYDDNMKRLADEIKRFENTLGSAQT >Sspon.04G0000020-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:16700395:16707490:1 gene:Sspon.04G0000020-4D transcript:Sspon.04G0000020-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSAAAPLRTRVCIIGSGPAAHTAAIYAARAELKPVLFEGWMANDIAAGGQLTTTTDVENFPGFPNGIMGADLMDNCRAQSLRFGTNILSETVTAVDFSARPFRVTADSTTVLADAVIVATGAVARRLHFPGSDAYWNRGISACAVCDGAAPIFRNKPIAVIGGGDSAMEEANFLTKYGSHVYIIHRRNTFRASKIMQARALENPKIKVVWDSEVVEAYGGADGGPLAGVKVKNLLNGEVSDLQVAGLFFAIGHEPATKFLGGQLELDSDGYVATKPGSTHTSVKGVFAAGDVQDKKYRQAITAAGSGCMAALDAEHYLQEIGAQEEKTAEE >Sspon.03G0001550-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:15253406:15256725:1 gene:Sspon.03G0001550-3C transcript:Sspon.03G0001550-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATHGGAPAAAPVLLILLGFLHLLRGVPSRAEDTVAAGQPLSGGQSLVSKRGKFRLGFFQPGNLCHNSTQRWYLGIWYNQISVHTTVWVANRVTPISDPESSQLSISSDGNMVILDHSSSRSTIVWSTNVTTGITSNSTVGVILDNGNLVLQADASNTSAVLWQSFDHFGDTWLPGGKMGRNKLTGEVTRLVAWKGYNDPTPSLFALELDPRGSSQYLLNWNGSKQYWSSGNWTGTAFAAVPEMTSTGASPVSKYSFGYVDGANESYFVYNVTDESVVTRFQVDVTGQIQFLTWVAVANEWVLFWSEPKRQCDVYSVCGAFGVCTDNALPSCTCPRGFRERDLAEWLQDDHTAGCARNTALQPCSAAAARDGQKKHSSRNDDRFYTMPNVKLPSNAQRTAAASAHDCELACLRNCSCTAYSYSGGCSLWYGDLINLQDTTSSGTGGGSSSISIRLAASEFSSNGNTKRLIIGLVVGGFVAAVTAIVLATIFILRGRRIKSLRRVEGSLAAFTYRDLQLVTKNFSEKLGGGAFGSVFKGALPDPDATHVAVKKLEGVRQGEKQFRAEVSTIGTIQHVNLIRLLGFCSEGSKRLLVYEHMPNGSLDRHLFGTGTSQGVLSWDARYQNQIALGVARGLDYLHEKCRDCIIHCDIKPENILLDDAFVARVADFGLAKLMGRDFSRVLTTMRGTVGYLAPEWIAGTAVTTKADVFSYGMMLFEIVSGRRNVGQRADGTVDFFPSTAVSLLFDGDVSSAVDSQLGGNADVAQVERACKVACWCVQDDESLRPSMGMVVQVLEGLVDVNVPPVPRSLKVLADPSKYAVEFFSGLPST >Sspon.07G0026660-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7B:54542049:54542513:-1 gene:Sspon.07G0026660-1B transcript:Sspon.07G0026660-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSGSFASSSTATKGSCAAALLCAHAVSAPRRRRADHHWHINKKPCRCPILWSMRMRSSPKREPAGRPFDSVTRSRTRAPAKLSTAMASWRVRRPCGGYGRLRKQDGRRRKVARVWGSSQCSPVLFLRCVRPPSNSSRWLGSISALAGPVVEK >Sspon.02G0006810-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:20483509:20493673:-1 gene:Sspon.02G0006810-1A transcript:Sspon.02G0006810-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRTNFKSQEQSSIKSKKRPPLRRGRVSPQLPVPGHIPRPSYVGSKGLPELCKGQLHDAQGIAGMRAACKLAAHVLDFAGTLVKPSITTNEIDAAVHNMIIEAGAYPSPLGYRGFPKSICTSVNECVCHGIPDSTQLQVTDNEICLSSSLFSVNGDIINIDVNVFLNGYHGGTSRTFACGQVDDSIKHFLNAAEECLEKGISVCRDGVNYRKIGKKIRSQEQSSIKSKKRPPLRRGRVSPQLPVPGHIPRPSYVGSKGLPELCKGQLHDAQGIAGMRAACKLAAHVLDFAGTLVKPSITTNEIDAAVHNMIIEAGAYPSPLGYRGFPKSICTSVNECVCHGIPDSTQLQVTDNEICLSSSLFSVVPNLLLQNGDIINIDVNVFLNGYHGGTSRTFACGQVDDSIKHFLNAAEECLEKGISVCRDGVNYRKIGKKISKLAYFYGYYVVERFVGHGIGTTYHSEPLILHHANENSGRMVEGQTFTIEPILTMEKTECVTWEDGWTTVTADGSWAAQFEHTIL >Sspon.08G0001980-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:5917965:5919339:1 gene:Sspon.08G0001980-1A transcript:Sspon.08G0001980-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHADEAPRPSTAPSKLRKVGSEGMLVLAVPKDVEEFRTMSAYGRLKLFTYDELRKATGDFNPGQIVGEGGFGVVYRGVIDGAVRKGYPPTEVAVKVLNPEGLQGDREWLTEVSYLGQYSHPNLVELIGYCCEDDHRLLVYEFMAKGSLEHHLFRRACSLSWTMRVAIALHVARGLAFLHGPDRPIIYRDFKTSNILLDAEFNAKLSDFGLAKEGPMGGETHVSTRVMGTYGYAAPEYIATGHLTVMSDVYGFGVVLLEMLVGRRALEPSRAGARDGSLVDWARPILIRPKKLERILDRRMGEVGPEMGLERVARLAYDCLSQNPKVRPSMARVVTTLEAVLAADDAPTR >Sspon.08G0020480-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:24789366:24789906:1 gene:Sspon.08G0020480-2C transcript:Sspon.08G0020480-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSKATAAVTLRTRKFMTNRLLSRKQFVLEVIHPGRANVSKAELKERLAKLYEVKDSNCIFVFKFRTHFGGGKSTGFGLIYDNLEAAKKFEPKYRLIRECVVDLSIAFGDF >Sspon.05G0000880-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:3076163:3079256:-1 gene:Sspon.05G0000880-1A transcript:Sspon.05G0000880-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEEASSSGGGEEGCGAWTREQEKAFENAVATMGGEEDGDARWEKLAEAVEGKTPEEVRRHYELLVEDVDGIESGRVPLPTYAADGAAEEGGGGGGGGGGKKGGGGGGTHGDKGSSKSAEQERRKGIAWTEDEHRLFLLGLEKYGKGDWRSISRNFVISRTPTQVASHAQKYFIRLNSMNRERRRSSIHDITSVNNGDASTAQGPITGQTNGQAANPGKPSKQSPQPANTPPGVDAYGTTIGQPVGGPLVSAVGTPVTLPVSAPPHLAYGMHAPVPGAVVPGAPVNIAPMPYPMPPPSSHG >Sspon.02G0028410-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:103380468:103406183:-1 gene:Sspon.02G0028410-1A transcript:Sspon.02G0028410-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Trafficking protein particle complex II-specific subunit 130 homolog [Source:Projected from Arabidopsis thaliana (AT5G54440) UniProtKB/Swiss-Prot;Acc:F4K0C4] MANYLAQFQTIKSTCDRIVIAVEDVSDLWLNVKESFERRVPVKKACLNNKARNPVFVDNLPAEFIQTTDSRLRSRFPQEQYLFWFREPYATVVLVTCEDLDEFKTILKPRLKLIVQNDEREWFIVFVSKAHPSNDQATKMAKKVYARLEADFNTKKRERCCKFDLHGSDKEFWDDFDSKMVDCIRNTLDRRVQFYEEEIRRLSEQRFTPIWNFCNFFILKYKYNLVPLNAVNSPGKHREFGGLDTGDDQAALLNPGAKALTQIVQDDVFREFEFRQYIFACQAKLLFKLSRPVEVAARGYTFVVGFSKTLALHEFMRLAYLIGYGVEIEKSPVNSSGLDANSKLPPNRSASNLMTRTMSGPATSETSLPVDRPMRLSEIHVAAEHALKQTISDPDFMTSLSSLEEFEKRYMELTKGAADNYHRSWWKRHGVVLDGEIAALFFKHGNYDLAVKSYEKVCALYSAEGWEELLADVLPDLAECQKILNDEAGYLASCVKLLSLDSGLFSSKERQAFQSEVVRLAHSEMKHLVPLDVSSLITFAGNAGPPLELCDGDPGTLSVAVWSGFPDDITLESLSLRLSASSSADEGIKKQSVVDGMRMIALKLEFGVFHNQVFERTIAVHFTNPFHVSTRVVDKCNDGALLLQFMRLAYLIGYGVEIEKSPVNSSGLDANSKLPPNRSASNLMTRTMSGPATSETSLPVDRPMRLSEIHVAAEHALKQTISDPDFMTSLSSLEEFEKRYMELTKGAADNYHRSWWKRHGVVLDGEIAALFFKHGNYDLAVKSYEKVCALYSAEGWEELLADVLPDLAECQKILNDEAGYLASCVKLLSLDSGLFSSKERQAFQSEVVRLAHSEMKHLVPLDVSSLITFAGNAGPPLELCDGDPGTLSVAVWSGFPDDITLESLSLRLSASSSADEGRNIISFDIPPQKPGSYVLGALTGQIGKLSFRSHGFSQDGPVETDEFMSFEKPTRPVLKVRKPRALVDITPAVSSALLMNELQWIGLIVKPIDYSLKGGILHIDAGAELKIEESQMIEIEIYGSDMECANSANGSIEAGKVEKIPIENGKIKLPDWASDVTTLVWFPVRAIDDTIARGESPVSPQKQSVVDGMRMIALKLEFGVFHNQVFERTIAVHFTNPFHVSTRVVDKCNDGALLLQVILRSEVKATLHVKDVRLDLQSGFEHLGKGDGRPALSLFPLVIAPSSKAGILFMIRLSGTKDADEAENADSMLNITYGISGDRTTGAHSPVPVKPGDSEELLFKIALRLKRPVLDPCVAVGFLPFSTDCLRVGQLVNMRWRVERLKTPEDASISIDEILYQVEANPQNWMVAGRKCGHVSLSNEQGSRMEITVTCMPLVSGYVHPPQLGLPEVGEANISCNPAGPHLAQLNINIAQSHALKLV >Sspon.02G0001770-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:5238502:5241630:-1 gene:Sspon.02G0001770-1T transcript:Sspon.02G0001770-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VKGYINEMTVRIADEDERISSLAKLFFHELSKKDVRQWEYISYCLSQLTFTEKGVKKLIDNFKMFEHALSENSVMNHFRSVISKCKKFAKPELKVCIEEFEEKLSKVHKEKKEQEETTKNAEAHRQRIGSLDEFLATEEVSQNSGNSAEEETSEVVDPSVDSGTEHMENTPECSDHTSTEHFQTSPPVTESGGAGEIESTQPVRKGVSRSRAKKTRDPVSDPLVDRSTEYKENMPEHSADTSMDNSQTSAPLTESGDAGDIQSTQPVGKGVSRSRAKKTGDPIADPLVDSSTEYKENTPEHSANTSTENSQTSTPLTGSEGGGEEIQSTQPVRKGMSRSRPKKTRDPVVEDSADSGPVRRATRSTRRFQAWLMSSLSV >Sspon.05G0008470-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:25512850:25516378:-1 gene:Sspon.05G0008470-1A transcript:Sspon.05G0008470-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPRSGMVALQSGMIREDSTGKGPFDSATMALPGHGAAPTAVSGEAVLCPAPIQITAKKDPREARELREPKIHPPKQKRLSSSPPPPPAHPESGSISMVASSASSTGRRRRQWCGKSDPDKESNSKSWARLAPMGTAGQSEGGGGDSAISRTQRAFLVDSNDFVNNCYLVPFSVATLKPAMMLRSGNVVCFYSTLIHALVKQQEYKGQGIMYRGSAKLLKLSSS >Sspon.07G0025560-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:35393062:35394171:-1 gene:Sspon.07G0025560-3D transcript:Sspon.07G0025560-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRSRRVPARKRSSSPSRSRSASGWPSSPLPSSSATRTSGPRRSSAEPTCCSTSTTRRCPPTWCCTPASPRTPGATTSRCPPTSSPPTAPPASSSRSSKETARTSSSATPTEVPSPSSPRCLPLSRPEATTSAPVSASASSPTRTTAATTWSSSSTPPPPMTTPTGSSSTPPPKAGGSSGGSTCNRPACATPSPRPACSPTMAASGGSPSPMACSSATPARPNSSPPSCASSRSRTTARWRATSASTPASGPSSTSAAASGPARVSCASSRSAASPTTSSSTYPRLTPPSGCGRSTTRRAPTHGRSSTRWPSPKSGRIRPTPTRACCRMRCPTSPSSTPTTTTSSTSSSARSSSAWTCARRMSSPARNA >Sspon.01G0025200-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1A:89068327:89069723:-1 gene:Sspon.01G0025200-1A transcript:Sspon.01G0025200-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AQQASGAGAPQTINIAFAVDSQWINGGQVLARDERWYEVGGAFRVEAKPASRVMVYVQGPDAGVDLMVAGLQVFPVDRKARVKHLKRLTDKVRKRDVVLKVTGADGAAVKEADGVEVRVRQVSNSFPLGACIMRTNMDNEDFVDFFTKNFNWACSATSSSGTGRSRSAGRSTTATPTTSSVDNAVQQWVKTLSTDDLSAAVSSRINGLLTRYKGKFKHYDVNNEMLHGSFYQDKLGKDIRAAMFKTASQLDPDALLFVNDYNVESMCDTRATPEAYIQQIIGLQEQGAPVGGVGLQGHVSNPVGPVIRSVLDRLAVLGLPIWFTEVDVSSANEHVRADDLEVMLREAYAHPAVEGVMLWGFWELFMSRDDAHLVDAEGQVNEAGRRLLQLKREWLTHSHGHADENGEYKFRGHHGEYHVDVTTPTGKVSQTFTVDKDDAPLVLNIKV >Sspon.04G0011590-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:36056721:36063485:-1 gene:Sspon.04G0011590-1A transcript:Sspon.04G0011590-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLRCCSLRRLELVLAAALLVWCQRVATAQQQPARVRVGVGVILNLTSLAGQRRKVGIEMAVEDYYAAGQGSRTRVALSFRDSAGDVVGAASAAVDLIKNEQVQAIIGPQTSAEAEFVAYIGNRTHVPVLSSSATSPGLSLSQTPFFVRTGANDSFQAAPVAAVLAAFGWHAAAVVYEDSPYGSGILPALAGALQGVGARIMYRAAVPSDADDDRIDAMLYGFKAMATRVFVVHMNPFLAARFFHRAREAGMMTKDYAWVATDGVGSFVDELSPDDISAMEGVVSLRPFVQMTDRVRNFSARFRARLRREYPSADIYPHDPTVVMLWSYDTAWAIAAAAQAAGVSSPAFQTPPQSAAVTDLDRLGVSATGATLLKAVRETTFRGLAGNFALVNGQLQPPAYEFVNIVGKSSRAVGFWTPEAGITHTLGAHGTNKGLKKILWPGDSTSAPRGWVMSPNGQKLRVAVPVKHGFKEFVDVGGESKTGGHPNITGYCIEVFDAVMSNMPYPVSYEYVPFPSSSESYDNLVSLVPEQLADIVVGDVTITASRMGKVDFSMPFTDSGWSMVVAVRTETSTSMWIFLQPLTTSLWLASLAFFCFTGFAVWAIEHRINPEFRGTPWQQFGLIFYFAFSTLVFSHKEKLESNLSRFVVIIWVFVVLILTSSYTASLTSMLTVQKLQPAVTDVRELQRTGAFVGYQEGTFIKQQLQKLGFHEAKMRSYSTAEEYADALSSGQVAAVFDEIPYLKLFLSQYCDGYTMFGPVYKADGFGFVFPMGSPLTPDVSRAVLTLAEGEDMAQIEKKWFGEPGKCPSQGGGGGGTALGSSNLSFRSFGGLFLITGVVSSLMLLVYLATFVYRERGEVRPEEEGSGSSSMRRLRAWLRHFDQKDLKCPTFKTGNDDSIRNGNQTHRWFEFESVRNGRGGNGPVQAAAEEEAIAIGMSPFSFSTSTPSEMINAGSSPASELGTSFEQRMQEAPHSVSADMPGSTA >Sspon.05G0004920-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:15315277:15318768:1 gene:Sspon.05G0004920-1A transcript:Sspon.05G0004920-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AMPPSRSLFLLLMLALAPLASLAATAPASPVARTAGVQAEIDALLAFRRGLRDPYGAMSGWDAASPSAPCSWRGVACAQGAAAGRVVELQLPRLRLSGPISPALGSLPYLERLSLRSNDLSGAIPASLARVTSLRAVFLQSNSLSGPIPQSFLANLTNLDTFDVSGNLLSGPVPVSFPPSLKYLDLSSNAFSGTIPANISASTANLQFLNLSFNRLRGTVPASLGNLQNLHYLWLDGNLLEGTIPAALANCSALLHLSLQGNSLRGILPSAVAAIPTLQILSVSRNQLTGTIPAAAFGGQGNSSLRIVQLGGNEFSQVDVPGGLAADLQVVDLGGNKLAGPFPTWLDGAGGLTLLDLSGNAFTGELPPAVGQLTALLELRLGGNAFTGAVPAEIGRCGALQVLDLEDNHFTGEVPSALGGLPRLREAYLGGNTFSGQIPASFGNLSLWSLRNLNLSGNSFTGSIPATYGYLPSLQVLSASHNHISGELPAELANCSNLTVLELSGNQMTGSIPSDLSRLGELEELDLSNNQLSGKIPSEISNCSSLTLLKLDDNHFGGDIPASLANLSKLQTLDLSSNNLTGSIPASLAQIPGLLSFNVSHNELSGEIPAMLGSRFGSASAYASNSDLCGPPLESECGEYRRRRRRQRVQRLALLIGVVAAAVLLVALFCCCCVFSLLRWRRRFIESRDGVKKRRRSPGRGSGSSGTSTENGVSQPKLIMFNSRITYADTVEATRQFDEENVLSRGRHGLVFKACYSDGTVLAILRLPSTSSDGAVVIDEGSFRKEAESLGKVKHRNLTVLRGYYAGPPPDVRLLVYDYMPNGNLATLLQEASHQDGHILNWPMRHLIALGVSRGLAFLHQSGVVHGDVKPQNILFDADFEPHLSDFGLEPMVVTAGAAAAAAAASTSAATPVGSLGYVAPDAAAAGQATREGDVYSFGIVLLELLTGRRPGMFAGEEEDIVKWVKRQLQRGAVAELLEPGLLELDPESSEWEEFLLGIKVGLLCTASDPLDRPAMGDVVFMLEGCRVGPDIPSSADPTSQPSPA >Sspon.05G0013270-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:37039232:37043453:1 gene:Sspon.05G0013270-2C transcript:Sspon.05G0013270-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPPPPPAAAAPAAAASGSPPPAQVVGNAFVHQYYNILHQSPELVYRFYQEASRLGRPAGTGADGMDTVTTMDAINEKIVSMGIDRAEIKAVDAQESLCGGVTVLVMGHLTGRNGVSREFVQSFFLAPQEKGYFVLNDILRYVGEGGGDEGAEQQPLQQRAPEVAADVEAATPALILANGTVGGGDTVTVPQDASPQPECQVAGPALNPKEEVLNGEEVFNPPNDVEKPVVKETPVPEVINEVPNNVAVAPPISSPPVPTEEAPKKSYASIVKVMKEYRSPAPAVPSRPAPPKTEKQASPTPAPVADAPAFTPNPQSGSFQDPEEFEDASAVQTAIEASPVTIGERQCYVEEKRTTGSRGKGGRFPQGRGGNFRGEGIRGRGTYNGGRGYGRGEFSYRSDYGGRGGGRGGSSRGGDVSYQRVDHSGTASGRGARASSAATAVAE >Sspon.03G0012080-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:33460497:33462806:-1 gene:Sspon.03G0012080-1A transcript:Sspon.03G0012080-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATDSSALQASSESIAQKMGFFRVPDLLVKLSAKCLSELDVVRSPTSPLDLKFFTGLGNKSPRSSSVDANQNQKILLGDRVGLGLVDALTDENPMPLGGRKVLLGSEMRITDNLSRKNSSTAPVQAGEVEQKDDNMSDGLMGSVMSLDDIVNSEDYTCVVSRGPNPRTTHIFGDRVFEFQAEQLMPVESKGDQSMSLHVKEGAMSFCCFCSEKLKEGKDIYIYQGDKSFCSMECRENFMVDEMEEGEPIIYHPASPRSPPSDGGRIFQLIH >Sspon.01G0003350-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:8751508:8754687:-1 gene:Sspon.01G0003350-1T transcript:Sspon.01G0003350-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTHRRNYSDDEFSVAGEKPEVEFMDFQNDDAFQDYSSEDAPVLVSAPFPFEDGKPKSVLVGETSADTIQIENTSPESVNLWSVRIFSSNPEDSYVLSMMRPPSNDANEEEKQAFLALTSVEDRTLLPGQTLTVWLSCTPKDIGLHTSIVHVDIGDEKIERVAFLLADDNVSKALFSDKPYSRRRGQVKKFEPSPYVPGCRPTQQHTQGFKHKLRQYAIPAHIRELIESKQKPDVLYDELNMKNYAEFFSALIVMEELNMEEEMRTYDMEGVLMRRRGMNFLSLEVPGLAEKRPSLVQGDFIVARYAGNDARPYQGFIHKVEADEIFLQFDHQFHMNHRDRNQYHVSFTFNRVSMRRLYKSIDDAKHLGPGILFPRQSPYRVLKRWPFKPLNPHINTEQADAVAMILACRGVPPYVVYGPPGTGKTMTIVEAILQLYTGKKRANILICAASNTAADHVLEKLLQASYLIRPSDIFRLNAQSRQYEDVNTDFIKFCFFQDRVFKCPPLKALVQYRIVISTYTSSYLLQAEGIHQGHFTHIFLDEAGQASEPEAMVPLSGLCGRDTVVVLAGDPKQLGPVVYCKQAEKDGLGRSYLERLLTDFEQYQTGNPNYVTKLVRNYRCHPAILELPSELFYEGELIACKEGESSAYDCIGLPNKSFPVLFVGIQGCDEREGTNPSWFNRIEVSKVVSIIRNLTRGGDVHESDIGVITPYRQQVAKIKKALEAFEIPDLKVGSVEQFQGQEREVIIISTVRSTVKHNESDKFFNLGFLSNHKRFNVAITRAKSLLIIIGNPYIITKDRHWDRLLRYCADNDSYQGCPLPPPESHSYSDDTRFFSKYDEDQGGPSGQDYNQEAAYCNYNQEPAYCNYNQELSDFGLRHDTGAQPASENQELWSEELPEDENQPFSNPQADLEEMPKQDVEEGAAQGDVQADQLSTNDNQLQDAYTAKYTFPPGWCDVSSIPATGWGD >Sspon.07G0014150-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:50664049:50669384:-1 gene:Sspon.07G0014150-3D transcript:Sspon.07G0014150-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARRPGAKPRGGGAGRRSAWLAVDGSKRWGEAFFLLYTPFWLTLCLGVVVPFKLYERFTELEYLILGLVSTVPAFIIPLFLVGKADSVRNLKDRYWVKANIWIIIFSYVGNYFWTHYFFTVLGASYTFPSWRMNNVPHTTFLLTHACFLFYHMASNMTLRRLRHSTAHLPQSIRWLFEGAWILALSYFIAYLETLAIANFPYYEFVDRDIMYKVGSLFYAIYFIVSFPMFSRIDEKDEKWNLSRVAVDSLGAGCSSP >Sspon.07G0019550-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:72179450:72184208:-1 gene:Sspon.07G0019550-1A transcript:Sspon.07G0019550-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:sirtuin 2 [Source:Projected from Arabidopsis thaliana (AT5G09230) TAIR;Acc:AT5G09230] MAAAPAAHAYRASASVEAFMQPTYTCNGTQIIAGLTGALRVVSRRSYAHFCNFQASGNNALLYRRGNPLSLRYSFCSLKARNNHSSAVAPEDYCETYIQFLRDKRIVPDSDPPSSKDVDLLYQFIDKSKRLMVVTGAGMSTESGIPDYRSPNGAYSTGFKPLTHQEFVRSIRARRRYWARSYAGWRRFRRAQPNAAHYALASLERIGRVHSMVTQNVDRLHHRAGSNPLELHGSVYDVICLECGTSISRESLQEEVKNLNPKWAQAIDSLEVGQPGSDKSFGMQERPDGDVEIDEKFWEQDFEIPSCHQCGGVLKPDVVMFGDNVPQERAGSAKEAARACDALLVVGSALMTMSAFRLARLAHEANAPIAAVTIGETRADSILSLKINARCGEILPRILQMGSLVVPNA >Sspon.01G0036040-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:16942450:16947426:1 gene:Sspon.01G0036040-1B transcript:Sspon.01G0036040-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTRRHFAIFTTASLPWMTGTAINPLFRAAYLAKNGDKDVTLVIPWLCLRDQELVYPNNIVFDSPLEHESYVRHWIEERIDFRPSFSIKFYPGKPEHLNWYHHGRRWKNKFRRVIGIVHTNYLAYVRREKNGQVIACFLKYANTWVTRIYCHKIIRLSGATQDLPRSVICNVHGVNPKFLEVGKLKLRQLQNGEKAFTKGAYYIGKMVWSKGYRELLDLLSKYQSKLVGLEVDLYGSGEDSDEVCESAKRLSLSVNVHPGRDHADPLFHEYKVFINPSTTDVVCTTTAEALAMGKIVICANHPSNEFFKQFPNCRIYDNEDEFVQLTLFVQLTLNALSEQPAPLTDAQRYELSWEAATQRFIEAADINPHVLESRTHQSSRALLPAFLRTRKLKQNLEDASVYLHQALSGLEVTRCAFGAVPKTLQPDEHLCKDLGLAPP >Sspon.06G0028500-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6C:7509981:7510425:1 gene:Sspon.06G0028500-1C transcript:Sspon.06G0028500-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding EAENDEGALTARTSEAEASLSPALLLPPGSEIEAGTPQGIEGFPSAVRASSSNHHLSNIHHHLRDQNLPPDCDRNVCMIIIASLFTRPSSNSGSRRRCSSVCGSYVSHSSDHHLHLPLAFTLRGKVIQRTVRSIDLRMAIQQAGSRS >Sspon.05G0020090-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:85775330:85778787:-1 gene:Sspon.05G0020090-1A transcript:Sspon.05G0020090-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWKAAEKLIRHWKILRGDNVMIIRGKDKGESGLIKRVIRSQNRVIVEGKNLVKKHIKQGEGHTGGIFSIEAPLHVSNVQVVDPVTGKPCKIGYKYLEDGTKVRYARGMNASGAVIPRPEILKERRKPRPTSPGPKDTPIELVLEKTYDEKAGIGHT >Sspon.02G0003240-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:10473768:10478515:-1 gene:Sspon.02G0003240-1A transcript:Sspon.02G0003240-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIVCLGASSTLLCEEDRSNALGLVGCCDELLEVGSGLDGLDSAAGAVFHVDTDEAVSALVEKEMDHQPQEGYAERLERGGLASSWRRDAMDWICKVHSYYSFAPLSLYLAVNYLDRFLSLYDLPHDNPWMQQLLSVACLSLAVKMEETVVPLPVDLQVCDVKFVFEGKTIGRMEGLVMKTLKWRMQAVTPFTFISYFLDKFSDGKPPSFALASRCAEIIIGTLKGSTFLSFRPSEIAAASALAVVSENQIVGFASVLSASEVPVNKEMIARCYELLQEQALVKKRRHINGSPSVPESPIGVLDATCFSFRSEDATLGSSQSNNISSRRRTVLKSWSAGCSVFTVEEAAADRTREKGNKLELCLADLFLHCARAGWSSSI >Sspon.05G0004020-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:4514446:4520039:1 gene:Sspon.05G0004020-2C transcript:Sspon.05G0004020-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Clathrin interactor EPSIN 1 [Source:Projected from Arabidopsis thaliana (AT5G11710) UniProtKB/Swiss-Prot;Acc:Q8VY07] MDFMKVFDQTVREIKREVNLKVLKVPEIEQKVLDATSDEPWGPHGSALSELAHATKKFTECQMVMNVLWTRLSERGANWRHVYKERLYVQALTIIEYLIANGSERAVDDILDHYSKISVLSSFEYVEPNGKDAGINVRKKVETIVGIINDKERIKAVRDKAASNRDKYVGLSSTGITYKSSSASLGSNYSSGERYGSFSGTKEADSYGDSYRDKEPVKTSTSNSGSRKSGSKLRKDAKPDRRNADYSSPSSLKPPSNTNNTEDDFDDFDPRGSNGKTTAKPNEVDLFGPNLMDDFVDVSAATPATDSAVEPQADLFADADFQSATASTETAANTDVQGNVDLFATKTSFPAAFPPQAGIIPPPSARTSSEVNTPVSKKAAPEPFDPFGAIPLNSFDGSDPFGGFSSNAGSSTTAPPTHSSTGNISTSNQNLQAASDFGAFVSNNEGAVKDPFDLSSSANVGKTPLAAPKIDASDFGAFVSSTDEAAKDPFDLSSGSNLGRTDQAPVAASKPNTKKENFQVKSGIWADSLSRGLIDLSITAPKKVNLADIGIVGGLGDGSDEKAMPSWTMGTGSGLGMSGIPPSTQAGGIESLANYNKHQFGFK >Sspon.03G0026110-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:88600:94145:1 gene:Sspon.03G0026110-1B transcript:Sspon.03G0026110-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGAGAGGPGQFGDTTFTKVFVGGLAWETHKEGMRAYFQQFGDILEAVVITDKNTGRSKGYGFVTFREPEAALRACIDPYPVIDGRRANCNLAYLGVNKSKTALLPPYLQPYAHVYGGGSNMRATMKSFQTAGAGGASLMSFVPAADHGIQQGIPTYSLYAGYSPYFSDYGYPLSYYQPYAGLQQEAQLQQYAILGGGGAAAATAPAGLTMAPAANPSTLYPYFQYAPVSAVAAGGYSMVQYPQLYQYAAAAAVGATTGATTLAAVAGGLQQYGGAVAFTPNSIAQAGMTMSLTSPTLAAPTAQQYQYGRLVPSPLAAAAPDQKPSLA >Sspon.08G0015080-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:60342243:60360078:-1 gene:Sspon.08G0015080-1A transcript:Sspon.08G0015080-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MREIRYSDAYSGNSLLYKLAVPSSVSLTPDSLFAAFTTDSFFHGTISVCQPPIATDTVSNKDIKSIVDIVFFDGKLYALNMYANLFVLEIGEGHKGKPKISAIRCIVDSIEASRRSKRTYDVRCTYVNFNYLVESDGKLLHVRRHVAILFPLTDEHVARARTVWFDVFEADLTADSCREWKIVDTLGGQALFVGRCSKSFPAADCGAQEDCIYFISDYLKSVLHVDPLFDSGVFNMRNGKITPLLPETVVVQTQAAESLSWSDLPPELLGLVLRRLPSLADRVRLRAVCHPWRSNARLQSLPPPLPWLTLPDGTFLSIPDGEIIRIAVPDDACCYGSIDNWLFLMQIDGGCSLMNPFSKATLDLPKLATVWREDWFNDYPRRNPLFYKVVVPSPLDTSPESLAAVLILDDGNYSRVCICQPPVATDLSRGGSMELSTLLHDVAFFNGKLYGVAFCDKLLMFEIGYDLGNKPKISSTECIINSMDAYLRDLPHSLSREKAYMIREYVVECCDRLLRVRRFIHNDCPNSTSLFFEHDRTVGFTVFEADLSTNPGQWRQVNKLGGQALFVGRHCSKSFAVEEYNGIQEDCIYFMCDYPWPDDPGDPLRDSGVYNIRNGMITPLLSQNAPVVQCNANLRCEVHICKLNYLVESDGKLLHVRRHVAILFPLTDEHVARARTVWFDVFEADLTADSCREWKIVDTLGGQALFVGRCSKSFPAADCGAQEDCIYFISDYLKSVLHVDPLFDSGVFNMRNGKITPLLPETVVVQTQAAESLSWSDLPPELLGLVLRRLPSLADRVRLRAVCHPWRSNARLQSLPPPLPWLTLPDGTFLSIPDGEIIRIAVPDDACCYGSIDNWLFLMQIDGGCSLMNPFSKATLDLPKLATVWREDWFNDYPRRNPLFYKVVVPSPLDTSPESLAAVLILDDGNYSRVCICQPPVATDLSRGGSMELSTLLHDVAFFNGKLYGVAFCDKLLMFEIGYDLGNKPKISSTECIINSMDAYLRDLPHSLSREKAYMIREYVVECCDRLLRVRRFIHNDCPNSTSLFFEHDRTVGFTVFEADLSTNPGQWRQVNKLGGQALFVGRHCSKSFAVEEYNGIQEDCIYFMCDYPWPDDPGDPLRDSGVYNIRNGMITPLLSQNAPVVQCNGGQWRPTWIFPADPI >Sspon.05G0010200-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:29188353:29189934:1 gene:Sspon.05G0010200-1A transcript:Sspon.05G0010200-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPLLKPHSHVVAAAALHSVRRSADRHGRCPTTVHLGRFHDHGCSRSGRSKRSGSAKVSAFPSLDVVPLMVTMVEHVDMSRDYVVTKSIWHLSDAALKSDPFYDSDTYRSAGGDGTVHWYYDRQEDLEASAREELLREELLEEIEQRVGGLRELEEVVTK >Sspon.06G0000610-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:2584219:2589875:1 gene:Sspon.06G0000610-1A transcript:Sspon.06G0000610-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMSMHAGDRRSDSSRRCAGCKLLTTAALLLVVWSSRANAGDKATHDFLSLYTAAKDAALPLPPAPTPTPPPPSHQGFFLKTHDFLQPLERPPPPQSPPPQAPAEKPLHQHALPGGIGTFSISSGPAVVKQEQPQPFALWGQPDPRGTPRARGLFSSPVSCHPWSLPFAARCVAVASARPQQPQPQQQRPPERKACGGFMDAGSRSSGGAGFDDDDGLAARREVSSSLKDLRVEAKGGSCSGSAGTDQLPNTPRSKHSATEQRRRSKINDRFQILREILPQNDQKRDKASFLLEVIEYIRFLQEKVEKHEASQPEWNQENAKIMPWSNIYFRSSWKNSQNKDEINGDTASDPSQVIKNGSSQQFPFAAKPEDLNNAGAFENASGAQEPAEPKGCVPYKQDETPNNVTINAASQQATQLTNPSPSDDLAGHSGMLSNPELAIDEGTISLSSQYSQGLLTTLNLALQNSGIDLSEASISVQINLGKRAIKRFNNPGPNPTSKDLMNQASRDQEEVGEQLIRLGDGAREHSRATKRHRSER >Sspon.01G0051360-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:17028600:17033659:1 gene:Sspon.01G0051360-2D transcript:Sspon.01G0051360-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFWKDSGSRSSSGSGRDQNGVGPFGQVRVLIVGDSGVGKSSLAHLILKSSAIARPAQTVGCTVGIKHVTYGSAGASSNNISDAERNFFVELWDVSGHERYKACRSIFYTQINGVIFVYDLSQRKTKTNLNKWAVEVAETGTFSAPLGSGGPGGLPVPYLVIANKVDIVPRDGTRASRGNLVDLARQWAEKQGLLRCSEELPLTESFPGNSGLVSAAKQARYDKEAVIKFFRLLIRRRYFSSEPPAPSPWSLTPREDTILPVESLGGGIDSFQRKSLSGEGFLYNGVVPLPAQSNLTPPPTLNPQQPVSSLDNYRYHRFSSSSLPDVSSNRTSREDIID >Sspon.08G0012050-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:50577828:50578416:-1 gene:Sspon.08G0012050-3D transcript:Sspon.08G0012050-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGLCLRAQDKVEAAADDVVVVNGASVGVGDAAKEDKEGEEEMAPVEPLPEPPDDGGPVAWPMPDFCPLTIDGALKESFLETLRKDAAEMERPAREEAEEALSPDSRPSSSKRHRAGTASPSSRTSPYRNILQVFQQCRQDAVGETPTKNY >Sspon.04G0001960-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4B:3495728:3496837:-1 gene:Sspon.04G0001960-2B transcript:Sspon.04G0001960-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MERIRLPRPIVTLRASAHDGRLAIQCFPLAEHKVLCADQSGRTFLFDADTRHVVTMPSLHKPKDMPFSIFIPSASDNLHSDSNSDSDSDDYDDDVGTLFVMEGCPSMEPTSDDQPSDQFEAYVYGQRKLSHLKSWKCQPLPPPPYVRDPMWRKFRPQITSYAVISGGAQILISAESAGTYCMDTATHTWSQVGRWMLPLHGKIEHVPELDLWFGFSAKDQLSAVDLSNLDSRPRLVGTWKEFEPPEDWQESQEPQFVSLGSGKFCIARFFHTTVTVDNGYFTDDEVTNQSFGVLTGVEVLPRVYERNGNGSDNGSNGNGNASSNGTSTSICNGGNGHGNGNGGKRKLRMVKHKSRCHVSANGTVIESVF >Sspon.01G0003810-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:9840133:9842134:-1 gene:Sspon.01G0003810-1P transcript:Sspon.01G0003810-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQLVAMAMMAQPASPTSPHVAHKIPAGDGPYARAKHFQLVEKDLDASIAWFWKAISTGDKVDSALKDMAVVMKQRGYLTEAIDAIRLLRHLCPKQSQESLDNILLDLYKASGRTKEEIELLKQKLRKIYLGEAFHGKTTKRARSHGRKIHVSVKQETSRVLGNLAWAYMQQRNFMAAEVVYRKAQMIDPDANKACNLALCLIEQTRFADAEVVLADVLAGRYQARDQQDGKIVRKVEELLARIMAQTWPGGGANNGRRPDESGSESDDDDWVENQMLALLDVAVPYRKKSRRRLPVFEEISPVVYKEQMAC >Sspon.01G0049660-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1B:113638166:113638519:1 gene:Sspon.01G0049660-1B transcript:Sspon.01G0049660-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAVPLRASSRRRETGEMVSAARDGERGETAREGRVGWTEAGRRIRGGDPGRCRAQGPNQDVSSPRWCRAKRLKAAHTQQGKSWWRLRSRNAGQQPSIPSISARLGQRGAAQHAGV >Sspon.02G0017140-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:52199424:52202774:1 gene:Sspon.02G0017140-3C transcript:Sspon.02G0017140-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSIAAAATPVVVTTSVPAAVFLPSFSSSSSLISPALRPGRRGFRCRCSSSVVVAKQDSASSAVLYYRPDDKLFMPPEVIQWESGKSMNAIASAQGIQIRRRFRARYPSEGTGAERAVPRNILEQIIWDKEVEVIQRKARTPLGDVKAAAAPGLAPPPRDFLGALRAACHRNGGVPALIAEVKKASPSKGLLRDHFDPVEIAQAYEKNGAACLSILTDEKHFQGSFENLEAVRNSGVNCPLLCKEFVIDVWQIYYARSKGADAILLIAAVLPDIDITYFLGVCKSLGMTALVEVHDERELDRVLNIDGVELIGINNRSLGTFEVNTANTNMLLEKRGDIIKKKRIQVVSESGLFTPDDVAYVQNAGVFAENP >Sspon.01G0000670-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:1594397:1595121:-1 gene:Sspon.01G0000670-3C transcript:Sspon.01G0000670-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGHDKGVVGGLVGVALAHALALAAAVACAANTSGGHVNPAVTFGALLAGRICLVRSLVYWAAQLLGAVAAALVLRLATGGMHLPEYALAGGVSGWQAAVLEAAMAFGLMHAYFATVMDHHPRRVRAGAVVAAPLAVGLLAGANVLACGALEGAVMNPARAFGPAVVGSRRWGNHWVYWVGPMVGAGLSGVLYEHLVAGPAGGEE >Sspon.01G0039450-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:28649743:28658802:1 gene:Sspon.01G0039450-2C transcript:Sspon.01G0039450-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPDLAGSTHGDQTAGGNEQHCEKAQSIDSKIHHNNLINNILAKIEGNLAQAEDAIMLDKDGFVSETNATNIVWTTGTMGEITPVVMIDGREIGDGEIGPVTRQIQNAYKVLTAGQGVPIPRIAEV >Sspon.02G0018440-3C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:62040314:62042144:-1 gene:Sspon.02G0018440-3C transcript:Sspon.02G0018440-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MACLNLKRKTTSKETAVARGSRSVRLALWSGPGAGVVGWVRWARIDPLQARSHCWCGLQTSHRRGRTSSSVASGSVAHAHNSRPGSRVEAVWRLERKVPSSIPPSPLAPLPSRAASPPPARAGRQADEPGIIFPDPARPVKSLFIRVAVLLVLLQFAQSPLTAKAKASPSILPSPARRDVIRPARAQAAMGSRYEVEVTVGSARDLKNVNWRNGDLKPYAVVWIDSGARCSTRVDLDNGENPAWDEKLLVPLPPSSRLDDAVLYIDVVHANAAEGVKPLVGSARLPLRDVLDDAGIGGKASRNLRLKRPSGRPHGRLDVRVAVKEPSRYYDPNPYPAPAGYANAGTRGDPYGSSAAAAAGGGYYGSGGGGYGGSGGGYGYGAAQPYTAAPPAGYPSTYGSAPPPPQPAYGAPPAAAYGAPPVAATAAYGTSAVGADGKKKNKMGMGTGLAVGAAAGVLGGLALAGGASYLEDKFEDRVSERVEENLEREDSYGGGGYGGGYDDYGGDDDY >Sspon.02G0059130-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2D:89285442:89285795:-1 gene:Sspon.02G0059130-1D transcript:Sspon.02G0059130-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFDAPDTSFLGLRSPIRSLFRHLHKKNKCRFPIPPRHGLLSPRACVFLVRLHRAARPPLTFLARGTLAAGSVVASAVASTAGDPTRPPCRQGRTAHWHPPTPLCGIELRINEPPFV >Sspon.04G0024540-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:20322216:20324786:-1 gene:Sspon.04G0024540-1B transcript:Sspon.04G0024540-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALDKAKEIVSSSPVVVFSKTCPFCTRVKQLLAQLGASYKAIELDVESDGAELQSALAEWTGQKTVPNVFVKGERIGGCDATMAMHDGGKLVPLLTEAGAIVTASATATVTPSL >Sspon.02G0039040-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:47457916:47468101:-1 gene:Sspon.02G0039040-1B transcript:Sspon.02G0039040-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDAGEIHALGSLRRDGSVWSAASNVFSSLSDAGSGGGGAADDEEALRWAALERLPTYDRARTAVLAMPEGDLRQVNVQKLDPQERHALLQRLAWVGDDHQRFLSRFKDRVDRVGIELPRIEVRYQNLNVEAEAYVGSRGLPTLFNTYANVLEGIANALHITPSRKQKISILHNVSGIIKPHRMTLLLGPPGAGKTSLLLALAGTLPSSLEVTGNITYNGHTMDEFEARRSAAYVSQHDLHMGELTVRETAAATGEQKADVVTNHILKVLGLDICADTIVGNNMLRGISGGQKKRVTTAEMLVTPGRALFMDEISTGLDSSTTFQIVNSIRQTIHILGGTAVITLLQPAPETYELFDDIILLSDGQVVYNGPREYVLEFFESVGFKCPQRKGVADFLQEVTSKKDQRQYWKHGDDTYRYVPVKEFAEAFQSFHVGEAIRNELAVPFDKSTSHPAALKTSKYGASVKELLKANIDREILLMKRNSFVYIFKAVQLTLMALITMTVFLRTNMHRDSVTDGRIYMGALFFGILMVMFNGLAEIGLTIAKLPVFFKQRDLLFYPAWTYSLPSWIIKTPLSLLNVTIWVFITYYVIGFDPNVERECEEMVDLGVLDITPDQVIPGSAEPLGKLVLESRGLFPEAKWYWIGVGALLGYVLLFNILYTICLTFLKPFDSNQPTISEETLKIKQANLTGEVLEASSRGRVASTTVTSRSTLDESNDEAASNHATAIRAQGVTETRLELLKGISGSFRPGVLTALMGVSGAGKTTLMDVLAGRKTSGYIEGNITISGYPKKQETFARVSGYCEQNDIHSPNVTVYESLAFSAWLRLPADVDSSTRKMFIDEVMELVELLPLKDALVGLPGVSGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRAIRNTVDTGRTSTRCHRDQLELLKGISGSFRPGVLTALMGVSGAGKTTLMDVLAGRKTSGYIEGNITISGYPKKQETFARVSGYCEQNDIHSPNVTVYESLAFSAWLRLPADVDSSTRKMFIDEVMELVELLPLKDALVGCLGIEGVNKIKDGYNPRHGCSKRNKTLIKELSTPPEVWWRWFYWICPIAWTLNGLVTSQFGDVTETFSDGGVRISDFVEDYFGYHHDLLWLVAVVVVAFPVLFALLFGLSLKIFNFQKR >Sspon.01G0028700-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:110847249:110849610:-1 gene:Sspon.01G0028700-2B transcript:Sspon.01G0028700-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding KEMAKRPSSKRSFRLPFMCGQSDVASPRGAAVTRLSSSSSFGRGSRSGSSSSSSSRHGELQRIFQHFDRDNDGKISGAELRAFFVSMGDDMPSSYGDGGYMLDFAGFVALMEREGGQEEDLRRAFEVFNAVESAGRITARGLQRVLGQLGDKRSVADCEAMIRAYDVDGDGGLDFYSDISLGLKCHRFGGFLCGNDQEFGLIRPYESSSPPNLKGLLEIGAAVSNLQEAPKPREQDCLLILQRERQDGPPNTFLHHFPV >Sspon.08G0003780-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:7767727:7773139:1 gene:Sspon.08G0003780-2B transcript:Sspon.08G0003780-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Integral membrane protein, Metal ion transpor [Source: Projected from Oryza sativa (Os06g0676000)] MSGPSQSQPQFMTSVGRSNRSNGPGTPLIESIDVDQIVIPELRRIYRLEHSTNMRCLLLLSLLLWIILVASCAALIIQSLAARLGVVTVIGTAFALNMLFRIPVWCGVLITGLSTLMLLLLQQYGVRKLEFLIAFLVFLIATCFLVELGYSKPNASEVVRGLFVPELKGNGATGLAISLLGAMVMPHNLFLHSALVLSRKVPRSVHGIKEACRFYTIESAFALTVAFLINISIISVSGVVCGSGNLNPEDQANCSDLDLNKASFLLKNVLGNWSSKLFAVALLASGQSSTITGTYAGQYVMQGFLDLRMKPWIRNLVTRSLAILPSLIVSIIGGSSAAGQLIIIASMILSFELPFALVPLLKFTSSKTKMGQHTNSIFTSVLTWVIGSFIVVINTYFLITSFVKLLLHSGLSTVSQVFSGIFGFLGMLIYIVAILYLVFRRNRKSTQPLLESDAELAVSGSSAGVEAECSLGHLPREDISSMQLPQQRSASDLD >Sspon.05G0032060-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:23624315:23626846:-1 gene:Sspon.05G0032060-2D transcript:Sspon.05G0032060-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKVVDLRSDTVTKPSEAMRAAMAAADVDDDVLGADPTAQRFEAEMAAIMGKEAALFVPSGTMGNLISVLTHCDVRGSEAILGDNSHIHVYENGGISTIGGVHPRTVKNNPDGTMDIDKIVAAIRHPDLHYPTTRLICLENTHGNSGGKCLSVEYTDTVGEIAKSHGLKLHIDGARIFNAAVALGVPVDRLVKAADSVSVCLSKGLGAPVGSVIVGSKAFIDKAKILRKTLGGGMRQVGVLCAAAHVAVRETVGKLADDHRKAKVLAEGLKKIEQLKVDSALVETNMVFFDIMDPRISPEKLCAVLEQYNVLAMPASSKSIRFVIHYQISDSDVQYALTCVEKAVEELLKGGTELEHLTNGTTKTSYGH >Sspon.04G0012850-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:43405188:43407323:-1 gene:Sspon.04G0012850-2B transcript:Sspon.04G0012850-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGPKETHRHVPAYLPSDCNMQVPSPLRLMLLAPVHRHLILLLLLGLATIASLATGDDQFVFTGFTQSSLNLHGAAMVTQSGLLDLSSGTTNLKGHALYPTPLHFRKTPGGKVQSFSASFVFSIVNTYPLLSDDGMAFFIAPANHSFTEATPGMFFGLLNSRNNGKPSNRIFAVELDTYQNSELHDINDNHVGIDINGVTSLSSAVAGFYDDESGSGFKNLTLNDHMEMQLWVDYDEGTTQINVTLAELGVAKPSRPLLSSTYDLSAVLEDPAYIGFSATAGPINVRDYVLGWSFGMNRPAPPIDVSKLPKLPHVGPKHRSKLLEIILPAATAVFILTVGTVITMLVLRRRRYAEVREDWEAEFGPHRFSYKELWRATSGFQRKHLLGEGGFGKVYKGVLPVPGSSNNMDIAVKSMSHESRQGMREFISEVVSIGKLRHRNLVQLLGYCRRKGELFLVYDYMANGSLDKYIHCNGPTLNWSQRFQVIKGIASALLYLHEKWDKVVIHRDIKASNVLLDHEMNGRLGDFGLARLYDHGTDPQTTHVVGTMGYLAPELVRTGKASTHTDVFAFGMFLLEVTCGKRPMMQSTSTEGDDHQFFLVDWVLEHWKNGSLTKTVDRRVLDDDGYNADEACTVLKIGLLCSHPFPCSRPSMRKIMEYLDGDMPFPMLTPTKLNINVVRVMQSNAFSPSVMSYPQLTSSFGTMSDVSGGR >Sspon.04G0006000-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:16635980:16636861:-1 gene:Sspon.04G0006000-2P transcript:Sspon.04G0006000-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCARHPYEGGVGVCAPCLRDRLLALAAAQNAASSLPPPLPPPEPEPVFPRSVSSPYVCPRRKSDASSAPRGRPPGSLLFFRTPQVGPAYGGAGSGAAAGFEDGDIGLFRRRRGRFSVLAALFGHRPDDDRRGDKERRYRSSSWLAGIVPRARRRRKDREAALPPPSPPRRSCRGVSDRGLSPVRYYANGDGEESTSPAESPWLPSPSPMRKTPCRRRLGLGLGAPGAGVSGFSVCISPLVRPSLGRHLRGGGHPPDAVVSGDLRPSPLHPLTSSASLHHCRSWKLADGGRFR >Sspon.02G0031370-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2B:108432820:108436044:1 gene:Sspon.02G0031370-2B transcript:Sspon.02G0031370-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRARSSEGFLGVSRLRARRGLGAPLLAAAAFAYLLFVSVKLAGLGGAVGTAPAVGRLATAGAGEPPARARGGGRREAAGAVGPAAGELHGAGARGGRGVGARGRRVGGGSAFAGDVDSIASRDDGEGAAKCPGSLALGAGETAAFLPCGLAAGSAVTVVGTARAARPEYVEALERSGAGNGTVLVAQFAVELRGLRAADGEEPPRILHLNPRLRGDWSGRPVLEMNTCFRMQWGRAQRCDGTPSRDDDLGESRAPRIGNFAVDGFRKCEKWERQDIVESKETKTSSWFNRFIGRAKKPEMTWPFPFSEGKMFVLTIQAGVEGYHINVGGRRVASFPHRMGFALEDATGLAVTGGIDVHSVYATALPKAHPSFSLQQVLEMSERWKARPVPEEPIHVFIGILSATNHFAERMAIRKTWMQFPAIQLGNAVARFFVALSHRKEINAALKKEAEYFGDIVILPFIDRYELVVLKTVAICQYGVQNVTADYIMKCDDDTFVRLDIVLQQITTYNRTLPLYLGNLNLLHRPQRRGKWAVTYEEWPEAAYPPYANGPGYVISANIARDIASRHTNHSLRLFKMEDVSMGMWVEDYNASAPVQYVHSWRFCQFGCVDNYFTAHYQSPRQMLCLWEKLSAGRASCCNYR >Sspon.08G0003380-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:8972274:8983848:-1 gene:Sspon.08G0003380-1A transcript:Sspon.08G0003380-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RGEVAGHVKISLRGDTPDDKICITRKIDTKNKSEWLLNGATVPKKEVIDVIKKFNIQVNNLTQFLPQDRVSEFAKLSPIQLLEETEKAVGDPDLPVQHRQLVERSKELKALEVAITQKEKTLNNLKALNAEQEKDVERVRLRDNLLRKAQLMKKKLPWLKYDMMKKEFIEVIQENEKTAKQEMEEAARVWEDSKGPIDKLKKHKATHTSNIKKINSQVNENMNNRQKVMDLDLKLNAELKATFDEIEDLKKQEKSRQQRILKTKEDLAAAEKELEDLQPYEHPKAENAQLTDQIARINVEIKNLKAERNAAESQLTREEESMRRCCDRLKEMESKNSKLLQALRSAGADKIVEAYRWVQANKKNFREEVYGPVLLEVNVQDKLHATYLENHVPNYIWKAFITLDASDRDYIVRETKQYGIPVLNYLAHEGTRRQPLNITPEMKELGIYSRLDQVFQAPDTVKDVLISQAGLDNSYIGTDETHRRADEVSKLGICDFWTPDNHYRWSKSRYSGYMSANVDAVRPSRLFKSNLDVSDIEDLRLQKENHVTNIEGMREAIKMLHRKQRQLEDEEANIHKQKRREEIQRRVDIKRRTLKDISREEDVESSTRKLLDQVAKLNDERFHATMKLKDLLTEAVALKWSHTEKNLASIELDTKIWEMEKDVKKLEKEANQKARNYEDCKRITLEHRRRLSIAKQNAESIAMITKDLEKEFLAMPTTVEELEAAIQDTESEANSMLFLNQNVLQEYQNRQHEIESISNKLKDDKGEHEICCSEIETVKGKWLPTLRTLVSKINDTFSRNFQEMAVAGEVSLDEHGLDFDHYGILIKVKFRQTSQLQVLSSHHQSGGERSVSTILYLVSLQDLTNCPFRVVDEINQGMDPINERKMFQQLVRAASQINTPQCFLLTPKLLPDLEYSDACSILNIMNGPWIEEPAKAWSSGDCWRTV >Sspon.07G0018490-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:74951769:74957427:-1 gene:Sspon.07G0018490-3D transcript:Sspon.07G0018490-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase-like protein ACR4 [Source:Projected from Arabidopsis thaliana (AT3G59420) UniProtKB/Swiss-Prot;Acc:Q9LX29] MDHVPARVLALCFLVLLPGWASGLGSMSSIAVSYGEDGPVFCGLNSDGSHLVACFGADASVLYGAPPNIPFLGLTAGDGFVCGLLLDTRQPYCWGSNSYVKSGVPQPMVEGARYSELSAGDNHLCALRVAEDGGRGSSTAASSLIDCWGYNMTATHVVDEAVSTVSAGSVFNCGLFARNRTVFCWGDETVSGVVGLAPRNVRFQSIGAGGYHVCGVLENAQVFCWGRSLEMQQVAPSSAIGDGDVNIVPMDAMVAVVGGRFHACGIRSLDHQVACWGFTLHNSTSPPKGLKMYALVAGDYFTCGVPAETSLMPRCWGNSGPLALPMAVPPGICVPTACSHGYYEYVNHGEVGSIKVAQKTRMSHLLAMQQLTVFASLTAREADQGRKLMAFQMRIFVAEIVFAVILVLSVSVISCLYVRHKLRHCQCSNRELRLAKSTAYSFRKDNMRIQPDVEDLKIRRAQEFSYEELEQATGGFSEDSQVGKGSFSWHTERWDSGCCEARNKSVRCQEELKEFHNELDLLSRLNHAHLLNLLGYCEDGSERLLVYEFMAHGSLYQHLHGKDPNLKKRLNWARRVTIAVQAARGIEYLHGYACPPVIHGTSNSGTPLSELPAGTLGYLDPEYYRLHYLTTKSDVYSFGVVLLEILSGRKAIDMQFEEGNIVEWAVPLIKAGDIFAILDPALSPPSDLEALKKIASVACKCVRMRGKDRPSMDKVTTALEHALALLMGSPCIEQPILPTEVVLGSSRMHKVSQMSSNHSCSENELADGEDQRIEYRAPSWITFPSVTSSQRRKSSASEADIVGRRTTDGRNVGSSIGDGLRSLEEEIAPASPQENLNWLAAHSSLQRQVDFIMKHETAKSSENRAKASGHLKPKANDHLRQEKAKRSSCKST >Sspon.03G0029850-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:13104499:13107372:1 gene:Sspon.03G0029850-2P transcript:Sspon.03G0029850-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHKHPACVCYLSVANAKVFLLFQLFPSPTTGAIPSEPFNWMGTSESLGGSVKTEQRQYTDFTFQTAASAPATSTMTGAAHTASFLPQSSVLMAPLGRAGDPYNGGEMQQQQQPWTYQEPTAQFEAQPDNMLGNGGYSGAPGPVVSGFREQSQSNRPSSDDGYNWRKYGQKQMKGSENPRSYYKCSFPGCPTKKKVERSPDGQVTEIVYKGTHNHPKPPSTRGRSASSASAPVAAASYVLQSASDAVPEHSFGALSGTPENSSGSFGGDDEINGVSSRLAGNFGTDDLNDDEPDSKRWRKDGADGDAAGVSVAGSNRTVREPRVVVQTMSDIDVLDDGYRWRKYGQKVVKGNPNPRCVLATTLFTTYYCRDDGKLA >Sspon.08G0016140-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:58336168:58337708:1 gene:Sspon.08G0016140-2B transcript:Sspon.08G0016140-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKRKAPDGTAEPCSGVGGGDRLSGLPDELIGRILSFLPTPQAVLTAQLSRRWRRAWAHVAALNLSFLALATVALARHPRHLRRGRPPHLPRRRVVRPGDGARGGVRARRVAAGAELPAPPSLHVTAPRTRLTLPEADLAFGRLAELSLTLLQLGGARPLDEFLASCCPGLRTLRLRRVRGHPVRRLDLDTVDDLATLDVVAANLRCLSVRSCFRLPRDGDGDGGVVTVSAPRIEAVCWYPEQLTFRDGLALAHVRRLAGPLKLPTLGRWDQFDAPYTTQLLRSCALAVDRLDMELVVPDEMALLNWVGAPTCEDLIRHVPALPCVRVLSLKIRWGFGGGGGGVTSRLASFLSRTPSLTRLRIYASPYCLTVYEGEEAAPPRGKQQQWKRSDVGADEQSRVRLDGLREMSVDGLRGTDSEEHGIVQLLLASAPPSLERMSLTFRDAAASIVDEIAAEIPVHFPMATGRWDRCTTSVLTWTRVRWSG >Sspon.02G0024710-3C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2C:90308257:90308396:1 gene:Sspon.02G0024710-3C transcript:Sspon.02G0024710-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRREGERQPSPWPSGTAAVEADSGAFPSAIILFALVGATATAAA >Sspon.05G0001580-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:3746891:3750394:-1 gene:Sspon.05G0001580-3D transcript:Sspon.05G0001580-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVALTAASPALLPVPFRGRRDGRVRLSPRRPRYSAGRCRATAQTFQGGAAASYAREMERLSAKESLLLAFKDAGGFEALVSGKTTEMQRIDVNERIVGLERLNPTPRPTTSPFLEGRWNLEWFGDSSPGAFAARLLFERSPTAVAHFMGLDVLIKDGYSKLSSNLKFLNTIQSKFLLTTQLSVEGPIRMKEEYIEGLIEIPRINEESLPEQLKGLLGQTAGALQQLPSPIRDAVSEGLKVPLGGAFQRLFMISYLDEEILIIRDAAGAPDVLTRLEGPQPNPGDGTADAVISEYES >Sspon.05G0014940-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:57237618:57241177:1 gene:Sspon.05G0014940-1A transcript:Sspon.05G0014940-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSALLDLLMGIFRQQTLGAAALELAALAAPLWLAALVGLLIGWAWRPRWAGVVVGDDNAQQQAAAQLPAPPPPARPTATAVVSRNEAAAVVPRTVVAPAAAPEDEQLAVNTGDLMHLWRLVEEKDGGPSWIHMMDRTLPTMRYQAWRRDPPDGPPQYRSSTIFEDASPEVVRDFFWDDEFRIKNSWDDMLLQHETLEECTKTGTLVVRWVRKFPFFCSDREYIIGRRIWASGKTFYCVTKGVPRPSVPRQNKPRRVDLYYSSWCIRPVESRKGDGSMTACEVLLFHHEDMGIPWEIAKLGVRQGMWGCVKRIEPGLRAYQVARTAGEPISKCAAMAHVTTRFNADELITEENTEASSSNNAAEVEKPKHWTNNIPKVLMIGGAVALACTFDGGLLTKAVIFGTARRFAGPGRR >Sspon.03G0033050-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3B:44555536:44555919:-1 gene:Sspon.03G0033050-1B transcript:Sspon.03G0033050-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MWVFTVPPSSALAALAFALFLLNSGVALRRALVRGHAWVAAFVAGATVLVIALVATVRAHEREREERRRGLFKAAAWAQSAALTAIFAHRVAATLAQAAPAMACLVWTMAGSTIAGGFYCLFVHGRDG >Sspon.04G0006430-3P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:10076329:10080610:1 gene:Sspon.04G0006430-3P transcript:Sspon.04G0006430-3P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGATATKLHLSSAAAAARRPSLLHLAAVAVLCSVSYLLGIWHHGGFSAGPAAAGGVSSSVSIATAVSCATPALTTASSSPPAGPLDFAAHHTAEGMEAEAALRQRSYEACPAKYSEYTPCEDVERSLRFPRDRLVYRERHCPADGEHLRCLVPAPKGYRNPFPWPASRDVAWFANVPHKELSVEKAVQNWIRVDGDRFRFPGGGTMFPRGAGAYIDDIGKLIPLHDGSIRTALDTGCGVASWGAYLLSRNILAMSFAPRDSHEAQVQFALERGVPAMIGVLASNRLTYPARSFDMAHCSRCLIPWQLYDGLYLIEVDRILRPGGYWILSGPPINWKKHWKGWDRTKQDLDAEQKAIESVAKSLCWKKIKEEGDIAIWQKPTNHIHCKAIHKVIKSPPFCSNQNPDAAWYDKMEACITPLPEVSDLKEVAGGALKKWPERLTAVPPRIASGSIEGVTAEMFVEDTVLWKKRVGHYKSVIAQLGQKGRYRNLLDMNAKFGGYAAALVNDPLWVMNMVPTVGNSTTLGIIYERASLGATRTGVRACPRIPGPTTSFMQIQCEAENILLEMDRILRPEGTVIIRDDVDLLVKIKSITDGMRWNSQIVDHEDGPLVREKLLLVVKTYWTLEDNKQ >Sspon.08G0015810-3D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8D:59453314:59454002:1 gene:Sspon.08G0015810-3D transcript:Sspon.08G0015810-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIAHPIHHHRAGAELSPHHTHTMMPRTSGASLDLGLSLGLTSQGSLSSSTTTAGSASPWAAALSSVVADVARVRDVDAHAHYHAASAAAAAGLDLVDPADRAAMRASTSPDSAAALSSGASGDNTNKRDRELERTGSGGVRSDEEDGADGAGGRKKLRLSKEQAAVLEECFKTHSTLNPKQKLALATRLGLRPRQVE >Sspon.05G0017890-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:72359380:72368437:-1 gene:Sspon.05G0017890-2C transcript:Sspon.05G0017890-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MANFNSSEPRDSREPTSPAPSTSSSISREKGDLAEVDDPESAMSTVARLLEDLHGSMVSPSGKEATTRRLLELARAKKEARILIGSHSQAMPLLISTLRVGSSAAKVNAAALLSALCKEEDLRVRVLLGGCIPPLISLLKSESAEAKKAAAEAIYEVSSGGLSDDHIGRKIFVTEGVVPTLWDLLNPRSRQDRVVEGFVTGALRNLCGDKDGYWKATLEAGGVEIITGLLSSKNTASQSNAASLLARFISAFGDSIPKVIDAGAVKALLHLLNRDNIISVRESAADALEALSSKSSIAKKAVVDAGGLPILIGAVVAPSKECMQGETCHSLQSHAVRALSNICGGTTSLLLYLGELCQAPRSPVPLADILGALAYSLMVFDGSDGKSFDPVEIENTLVVLLKSHDSKLDRILEALASLYGNDCFSNRLDHSNSKKVLVGLITMAPADVQEHLVRALTSLCCDGVGIWEALGKREGVQLLISLFGLSSEQQQEYAVSLLAILSDEVDDSKWAITAAGGIPPLVQLLETGSQKAKEDAAYIMWNMCSDSDDIRACIESAGAVLALIWLLKSGSPRGQEASVKALKKLIRSADSATINQLLALLLSDSLSSKAHVITVLGHVLVLAPQRALIQSGAPANKGLRSLVLVLESSNEETQEIAATVLADIFTMRQDICDVLAIDEIVQPCMKLLTSGNQVIATQSARALGALSCSASSMSKNKMSCLTEGDVRPLIEMAKTSSIDVAETAFAALANLLSDAQIAKEALDDNIVLALTRVLKEGSLEGKISASRSLRQLVNQFPLSEVLPDYSQCCFIIHALLVCLSGINLDNVTSLEPLDVLTLMATTKEGSHYSPPLCTGFLEVPESLEPLVHCVSIGLPPVQDKSIQILASLCQGRPSLLGEYLNRSQGCITSLASRVIESNDMEIRISSAVILISAMRDSREQSIDVLEASKLLKNLISALIDMLKQHSSLTSLDIEIWKPCTEKSSLNYEQDVLSVPELGKVSEETVALWLLSLICSHHGRSKYTVMELNGVDAVSDRLASCTANRQEQYEDLENIWTCALLLATLFQDSVVVQSSEITRTIPSLASLLKSDDTIDKYFAAQALASLVSTGSRGIQLAIANSGAVLGAVALIGQVESDMPNLVTMAKEFNLADNPSQIILRSLFELEDVCTGASARRSIPLLVDLLKPMPDRPGAPLIALHLLTQLAEGSEANKVAMAEAGALDALTKYLSLSPQDSTETTITNLLGILYSNPDLLYHESSRSTSNQLVAVLRLGSRSSRLSAVRTLQKLFDAENIRDTEVARQAIQPLLDMLESGTEIEQQAALGALIKLSAGNISKDSAMFDVEGNTLENLYKILSFSSSLELKKDAAQLCYILFENSTVRASPIATECLQPLISLMTSGSSLAIEPAVCALNRLLDEDYNAEVAATSEVIDLLVSFVPGTNYQLSEACIGALIKLGKDRPNCKLDMVKAGIIEHALDMILDVPVSVSSSIAELLRILTNNSGIAKSSAAAKMVEPLFLLLRRPDVTMWDQHSALQALVNILEKPQSLAALKLTPSQIIEPLISFLESPSQAIQQLGTEVLSHLLEQEHFQQDITTKNAVVPLVQLAGIGILSLQQTAVKALENISQSWPKAVADAGGIFELSKVIVQDDPQPSPALWESAALVLCNVLRYNSDNYVKVSMAVLVRLLNSTMESTVTIALSALLVQEKSSSRCAVAMAEAGAVRALLELLKSHRCEESAARLLEALINNSRVRETKVAKYAIAPLSQYLLDPQSKNQSAKFLVTLALGDIFQHEALARASDSVSACRALVSLLEDQPTDDMTTVAICALQSLVMHSRTNRRAVAEAGGILVVQELLLSPNVDISGQAALLIKYLFSNHTLQEYVSNELIRSLTAALERELLSTSTINEVILKTIYVIFSNFKKVRFSEAATLCIPHLVCALKDGNEAAQESVLDTLCLLKESWPQMNEDIAKAQSLISAEAIPVLQMLMKTCPPSFHERADSLLHCLPGCLTVTIIRGNNLKQTMGGTNAFCCLQIGNGPPRQTKVVNHSICPAWNEGFTWLFDVAPKGQKLYIICKSKNTFGKSTLGRVTIQIDKVVTEGVYSGFFSLSHDGGKDGSRTLEIEIVWSNRPSNDSM >Sspon.08G0022570-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8C:51426370:51428584:1 gene:Sspon.08G0022570-2C transcript:Sspon.08G0022570-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding QLAAGGSPAADAEIWPFLDASPAGDCGERLSLTDSEAYDSEPDSPVLVGKGKAAADVGGGRRRRRRRHRPRNRGGFMDAARRTHSPVAGFSEASRRRQAADDVGRRSHSPATHPARASGPPDADGFFQVQSRRRWRRRTPPRSPRPVPPHLVGKCFNCGSKEHVRARCPFPPRCLNCGSECHRQRDCPFPPLGAAASGRKRRRSPGTGARHGRRHTVPRHGSSPRRRSSASDDTISARSVSMGRSTSVPHCCAPSPQREAAAPPPPEAPKPEAPEPTPYVGRRHTKLVVAPRSAELEAAERDLGRALVAVVAGTRPPVSPAMVRAYLAVELGIMDATVHRHEPEDFVVRFARREDAERVLHTRIHDPPFLLIWHPWRRTAMASASFFRYRVLVGMTRVPLHARSLSVAQRILGRACARIELAPPESIPEDDDREFFVAAWCMDPSFVPVEEIIFIPEPVGHIPGDALYLQADEEMTDGVPGLTYPVRIRIVEFQDWNPPPPPPTDDYYDGSGRGDDSGTSDDDNHNRRHPGMDEGGQSSWGPRTFRSTEGNDTAPCLGGRRGLTFMPRRTVLVGSIACPLGGRTLTGIGSGAALAAGAPRLLVRPPGGEAVPRVDAGTLANTADTELAVSVDAAALVTMDAPLNAAQTEVVDDVSLVGRRDLYVDRLGFLGSLLDADLSALEGCRHSGFHAPTMACATWDGEVLVDRSSVPGETMGFLGTLLLSDQSAMEGRPPVQTD >Sspon.05G0024860-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:26396527:26406116:1 gene:Sspon.05G0024860-2D transcript:Sspon.05G0024860-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding TLRQDFETYISSFDVDHAWHLFRQIVEGLAHVHSQGILHRDLTPSNIFFDVRNDIKIGDFGLAKFLKLEQLDHDQYLPTEAMGVSMDGTGQVGTYFYTAPEVEQKWPQINEKVDMYSLGVIFFELWHPFATAMERHLVLSDLKQKGDPPLSWESKFPRQAVLLRSLLSPSPSNRPSAVEVLQNELPPRMEDEWLNDVLRMIQTPEDTYVYDRVISTIFNEDRFAKMQGQHDSSKKSNGNIDNSELLDTIIEVAKEVFKRHCAKRFQISPLHTLEWNFTKNRGNTVKILTQGGEMLELCYELRTPFVMSIAANQTSSFKRYEISWVHRRAVGHSTPYRFLQGDFDIIGGTSPIPEAEIIKVALDLGTRFYDSKTLVIRLNHGKLAEAICSWAGVSQDRRQNVAEFLSSTLVQYWPNEADRKSQWSLIRGQLLQDLRLSEEVVEKLHKADQRFCGSADLVLARLRGTLFYDKSACKALDDLSALLRCLRVWSVEQPITTIDVLMPPSECYYTDLFFQVYSKEGNHGPSFHEKLLAVGGRYDRLVEQAWDKAYKSKPPGAVGVSIALEKFLPNNPSSDLGLRRIEPSISVLVCSRGGGGLLTERMELVAELWKANIKAQFVPQEDPSLQEQYEYASDHDIKCLAFITESGLSQTDLVKVRHLDFKKEKDVEREGLIKFLSDAICSQFKNPTIWS >Sspon.06G0000980-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:3428183:3430110:1 gene:Sspon.06G0000980-1P transcript:Sspon.06G0000980-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GAVGLAIWVPSVVNQSGSKDTFEATSPRHGYSSLLPPTDRNETDWRRQDGDDVELAILDVDPYHYGSNNEEMLLDDLKVSQAMTKHYLKVTPTFTIEETTRLMQEKQQSCVVVVDNEDFLEGIVTLGDLRRKGFVPSENSDSTQANSSTLDANSSLVSSCLTRGFQFHGNERGLVTCFPDTDLSTAKVLMEVKGIKQLPVVKRGAGRRNDGRRKVLGLLHYDSIGWCLREELERWKALYQRENFQQSTVNGH >Sspon.01G0010210-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:15131948:15136600:-1 gene:Sspon.01G0010210-1P transcript:Sspon.01G0010210-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRLSLTVIDAAAMPFALRFGGSPALRLAAGRRRPGPRPPAWLRFGGGAGAGARRLLCSAEAARRGGGDEDAEAEEGRRGGGSRVPSERRMRGGGNAAAALLAIPGVGPRNLRKLVDNGFEGVAQLKQLYRDKFFGKSSGQMVEFLQCSVGIVHKNHAESITSFIKESVDEELKDTDSSKPTQKKRLTFCVEGNISVGKTTFLQRIANETIELRDLVEIVPEPIDKWQDVGPDHFNILDAFYAEPHRYAYTFQNYVFVTRVMQERESQAGIKPLRLMERSVFSDRMVFVRAVHEANWMNEMEISIYDSWFDPVVSSLPGLIPDGFIYLRASPDTCHKRMMHRRRSEEGGVTLDYLQGLHEKHESWLLPSKGSGPGVLSVSQLPMHMEGSLPPEIRDRVFHLEGNHMHSSIQKVPALILDCEPDIDFSKDIEAKRQYARQVAEFFEFVKKKQEQAPSEQTSNDKDRMNPQVLLPNSSRLWGSLKATLLQAL >Sspon.02G0040570-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:73123159:73128447:-1 gene:Sspon.02G0040570-2C transcript:Sspon.02G0040570-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPALPRLAAPPPAAAAPSARRRSLTLPPPPRPPHCSSSWNSRRRSPLRLSATAAGAPRGGSLPEPEEVDQLLLTALRAARIRDEESRRSDPLFIDPYAAVLLSDDVAHDDMGYLDSHVVPWQDHYRLTTRYIDDKLQNLINNSEDIRQIVLLTDGMDTRPYRLNWPRLSVIYDVSPGKVFTAATQQLRGTGAKVSRNCVLLHTSLESHDLQAGLSKNGFNGNRPSLWVLQGLPLSTTTSLENLLLVISNLAMKGSIFIGELPNFPDCTTPMDMALEQDNLEKLFFTQGFRVSFVQYDNVAKDIGLDLATPWEQCGRMLFVAEQLLFSDAQMESFRIHFERMEEDADEEGFEEL >Sspon.03G0032160-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:33335975:33344674:1 gene:Sspon.03G0032160-3D transcript:Sspon.03G0032160-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKGMDYSELENWVQVQGFRPGQAMMLWKCLYGNNVWAHCYDELAGLNKDFRKMITEHADLKALTVKDIHTASDGTRKDVTDTVLSEDGSVIETVIIPCARGRTTVCVSSQVGCAMNCQFCFTGRMGLRKHLSTAEIVEQAVFARRLFSDEFGSINNVVFMGMGEPFHNIDNVTKASAIMVDEQGLHFSPRKVTVSTSGLVPQLKRFLQESNCSLAVSLNATTDEVVEELKLRKKQIVLFEYVMLSGVNDSMDDAKRLIELVQGIPCKINLISFNPHGGSQFKPTPDDKIIEFRNVLIQGGLTVFVRLSRGDDQMAACGQLGEPGDYQLPLLRVPEKFQVAL >Sspon.07G0018020-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7B:69674296:69676776:1 gene:Sspon.07G0018020-2B transcript:Sspon.07G0018020-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At3g02330, mitochondrial [Source:Projected from Arabidopsis thaliana (AT3G02330) UniProtKB/Swiss-Prot;Acc:Q9FWA6] MPYARPTPAQAGFLATATFSHLYQLCASAGRSALATGQAVHARMLVSGFVPTTFVSNCLLQMYARCGGAAHARGVFDAMPHRDTVSWNTMLTAYAHAGDTGTAATLFGAMPDPDVVSWNALLSGYCQRGVFRDSVGLSIEMARRGVAPDRTTLAVLLKACGGLEDLALGVQIHALVVKTGLEMDVRTGSALVDMYGKCRRLEDALHFFHGMGERNSVSWGAVIAGCVQNEQYTRGVELFVQMQRLGLGVSQPAYASVFRSCAAMPCLSTARQLHAHAIKNKFSSDRVVGTAIVDVYAKADSLVDARRAFFGLPNHTVETCNAMMVGLVRTGLGAEAMQLFQFMTRSGIGFDVVSLSGVFSACAEVKGYFQGLQVHCLAIKSGFDVDVCVRNAILDLYGKCKALVEAYLVFQEMEQRDSVSWNAIIAALEQNECYEDTIAHLNEMLRSGMEPDDFTYGSVLKACAGLQSLEYGSVVHGKAIKSGLGLDAFVSSTVVDMYCKCGMITEAQKLHDRIGGQELVSWNSVISGFSLNKQSEEAQKFFSEMLDMGVKPDHFTYATVLDTCANLATIELGKQIHGQIIKQEMLGDEYISSTLVDMYAKCGNMPDSLLMFEKAQKLDFVSWNAMICGYALHGQGFEALEMFERMQKSNVVPNHATFVAVLRACSHVGLLDDGCRYFHLMTSHYKLEPQLEHFACMVDILGRSKGPQEALKFIRSMPLEADAVIWKTLLSICKIRQDVEVAETAASNVLWLDPDDSSVYILLSNVYAESGKWVDVSRTRRLMRQGRLKKEPGCSWIEVQSEMHGFLVGDKVHPRSREVYEMLNNLI >Sspon.01G0017590-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:65057115:65057400:1 gene:Sspon.01G0017590-1A transcript:Sspon.01G0017590-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding CRAGGRVRGRRPVVRPASATSRSATSWPSCRRSSPRPACGATTGCRRRGCCRRHAAISGACTGRSTASATA >Sspon.04G0014680-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:57905359:57909401:-1 gene:Sspon.04G0014680-2B transcript:Sspon.04G0014680-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDPDDGGEVPPAEATSPAEAVSDPSPSEEVTASENEPAKASGGSVVPAAGTESSHPEGLSLNYEEARALLGRLEFQKGNVEVALRVFDGIDLQAAIQQFQPSLSKTPSKKGRTKSELPSSVSQNNPASLVLEAIYLKSLSLQKLGKSTEAANQCKSVLDSVESIFQNGTPDIEQKLQETVNKSVELLPEAWKHAGSYQEALASYRRALLSPWNLDDECRTRIQKRYASFLLYSNIDWSPPSMAQQVEGCFVPKNNVEEALLLLMIVLRNWYQGKTHWDPSVMEHLTYGLSLCGEPLVLAKQLEEVLPGIYPRTERWATLALCYYVAGQKDIALNFLRKSLNKLENPNDILALLLAAKICSKDHHLASEGVEYARRVITLAESSDSHLKSVGLHFLGTCLGNKSKVVSSDYQRSLLQTETLKSLTESISLNRYNADLIFDMGVEYAEQRNMNAALRCAKEFIEATGGSVSKGWRLLALVLSAQQRFSEAEVATDAALDETTKLDQGSLLRVKAKLKVAQSSPMEAVEAYRALLALVQAQKNSSASCKNAVEGADGSVTEFEIWQGLANLYSSLSYWRDAEICLKKAKALKSYSAATLHAEGYMHQARDQTKDALAAYVNAFSTELEHVPSKVAIGTMLSKQGPRFLPAARCFLSDALRVEPTNRMAWLYLGKVHRSDGRISDAADCFQAAVMLEESDPVESFSSLS >Sspon.02G0018300-4D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2D:79387530:79389810:1 gene:Sspon.02G0018300-4D transcript:Sspon.02G0018300-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MITSTTLQVLNLSSNALFGDLPLLAGSCTVLDLSNNKFRGNLSVIAKWASDLEYVDLSQNNLTGTIPDVSSQFLRLNYLNLSRNALSETIPEAIVQYPKLTVLDLSSNQLRGPMPADLLTSSMLQELYIQDNMLSGVLSFPGSPSKNLSLQVLDISGNHFNGSLPDDIASLSSLRVLDVSTNNFSGPLPAAVSKLGALTDIDISTNQFTGPLPEDLPDNLLSFNASYNDLSGVVPENLRKFPESSFHPGNSKLEYPAGSSGSGNSPSGSGGGKSLSTGAKVAIVAASIVVLVILILIAIVCHYKRISRQFPSSEKVSDKSLHRATKDSAVMKGKDNKGGLVSADELVTPRKGSTSEALSQEEKSATGGFSPSKGSRFSWSPDSGEAYGQEGLARLDVRSPDRLAGELHFLDETITLTPEELSRAPAEVLGRSSHGTSYRATLENGVFLTVKWLREGVARPKKEFAKEAKKFANIRHPNVVGLRGYYWGPTPHEKLILSDYVAPGSLASFLYDRPGRRGPPLTWAQRLKIAVDVARGLNYLHFDRAMPHGNLKATNILLDGLDLNARVADYCLHRLMTQAGVVEQILDLGVLGYRAPELAASKKPSPSFKSDVYAFGVVLLELLTGRCAGDVVSGSEGGVDLTDWVRLRVAEGRGSDCFDAAMASDSENPQAVNGMKEALGIALRCIRPVSERPGIKSVYEDLSSI >Sspon.07G0004060-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:9280349:9284201:1 gene:Sspon.07G0004060-4D transcript:Sspon.07G0004060-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPDNFTHKTNEALVAAHEAALEAGHAQLTPLHLAAVLAADKGGILRQAITGASGGDGAAGDSFERVLSKALKKLPSQSPPPDSVPASTALIKAIRRAQSAQKKRGDSHLAVDQLLLGLLEDSQISDCLKEAGVSAARVRAELEKLRGGEGRRVESASGDTNFQALKTYGRDLVEQAGKLDPVIGRDEEIRRVVRILSRRTKNNPVLIGEPGVGKTAVVEGLAQRIVRGDVPSNLLDVRLIALDMGALVAGAKYRGEFEERLKSVLKEVEEAEGKVILFIDEIHLVLGAGRTEGSMDAANLFKPMLARGQLRCIGATTLEEYRKYVEKDAAFERRFQQVFVAEPSVPDTISILRGLKEKYEGHHGVRIQDRALVVAAQLSARYIMGRHLPDKAIDLVDEACANVRVQLDSQPEEIDNLERKRIQLEVELHALEKEKDKASKARLVEVKKELDDLRDKLQPLTMKYRKEKERIDEIRKLKQRREELQFTLQEAERRMDLARVADLKYGALQEIDAAIAKLESETGENLMLTETVGPEQIAEVVSRWTGIPVTRLGQNDKERLVGLADRLHQRVVGQTEAVNAVAEAVLRSRAGLGRPQQPTGSFLFLGPTGVGKTELAKALAEQLFDDENLLVRIDMSEYMEQHSVARLIGAPPGYVGHEEGGQLTEQVRRRPYSVILFDEVEKAHVAVFNTLLQVLDDGRLTDGQGRTVDFRNTVIIMTSNLGAEHLLAGMVGKNSMKVARDLVMQEVRRHFRPELLNRLDEIVIFDPLSHEQLRKVARLQMKDVAVRLAERGIALAVTDAALDIILSLSYDPVYGARPIRRWIEKRVVTQLSKMLIQEEIDENCTVYIDAAPAKDELAYRVDRSGGLVNAETGLKSDILIQVPNDAVRSDAAQAVKKMRIMEEEEDGMDEE >Sspon.04G0004010-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4A:12375324:12381842:1 gene:Sspon.04G0004010-1A transcript:Sspon.04G0004010-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GRRSSPSSFYLQLFSPLSSSSWAHVLAAPPPGTNPGGTHQGGAAAPLGAATAAGHRPLQPPAAGHLPLQPPQPQLPLQPPLPQATSPTAATSPALPPSTAAPLQRPGPSLQARALQRDLPWPPARALQRGVMMAQAQVPVGMAMPCADAACRARGGVQCVRGVRRSTRPLPPGPNRPTSPLPPASTPGRQLPQLLRAPVFFPGDPAGAPPAAGWHLPNPPPAAGRAAGDLPARAGRVPAAGGHLGGGSDISKESHKVRQPPVAPQRQPVILFDAAQPPCAAAALAAGAGAVAAGAGPLGAAAAEAPGDLYAGRA >Sspon.01G0015400-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:39064969:39069030:1 gene:Sspon.01G0015400-3C transcript:Sspon.01G0015400-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VPVVSDRLLLSIISVDTGKTIARSSKAAARNGICQWPDSILESIWFSQDEVSKEYEDCQCRIAVSMGSTKGAILGEVFLNLTNYLSSDSEDSTAISLPLKKCNSGTVLQLKIQCLGTKSKSRFIVLLPFSLYTGMELWNGPSPCFVGNSPSNDDIKSDGSNNLITRNVNFSSTNHLGGFHQDEVGIRDTSFSPSPRNDSDEGLYIERQDTSSSFIDDISVGRGDLIYRSNDSSFSNQTPGRNMLQESIDESSLSGFAQLSSGASGSSKDLLDAAEETIDELLNEAQMWESHSQKLKNDLETLQKECDEKSKKQTEILLELSASQAEQESLRQEIEELKLSLEVANARQIVTGIPRSGDAIDVQLELKDEVQFLRESNENLTTQLKKSQDANIELVSILQELEETIEAQRTEISNFTQMSNVIDHEVPMNALSVQEDAEWERKMSLKEDEIVALREKLDRVLSIENAGGASSVAIYLELEKENDFLKVQMQDLENDCSELTEENMELIQKLKEVSGVEGQDSCISDIQEMLNATDLSGTSKSRAKYLEIKCADLELRMLNFQSESRELEEKLKKSQEELKERSLELSELRENLSSFRATELEREEINIARGYQLRSEELGDTGSELNLLKGTVQLKEKEIEGLQHSKLEMEAFIDNVLGQKIHELEICKVELELHISRLEDEKLELLESISGMEVELTNLTSEYESCIVQMDDSRTMIIDLKDKVEWQQSELEAQKVEVKQKQLEFQKRFSEVQEDSEALRRLNAKLEAKVDNLIEDCNSLQALMDDLKKEKLELHSCATQLEQELEHSKRKTTDFCRTVDFLEIYLQKSDLFSWNWRIYFMSTRSMKKR >Sspon.02G0031170-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:106454547:106458081:-1 gene:Sspon.02G0031170-1T transcript:Sspon.02G0031170-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHQIRVRRSDLESMLLDESVQPRDLPLPLLEDITGGFSCDQEIGRGGFAVVYKGTLKNGAVAVKKLINTHIHENKFQQEVACLMKAKHKNVVRFLGYCADTQGKMANYNGKFVMADVQQRLLCFEYLPRSLDVYITDASKRFEWTKLYKIIKGICEGLHYLHENKIVHLDIKPSNTLLDDNMVPKIADFGLSRCFDENQSRAVTSKLIGSMGYMAPEFYSGEITFKSDIYSLGVIITEILTGKKGYAEIDNVLESWRNMVGISQGNKELEQIRVCTEIGLECIDSNPRRRPTTEHILDRLYQMESMNLSTETSENSTSIMTQPVRYISEGCHPSASTAKKPNDEPSVKQKRDSKCQHESVNNQYSGYYTWEEIKTEKGDNYFNASFHNDQTSKTLDYFVVVDFKATCEKGKRINPQEIIEFSSVLVNGSTGQLEFTFHTYVRPRLHPKLTDFCRDLNGIRQKDVDAGLELAVALRKHGAWLQKMGTKKSSRFCFVVVTWGNWYCRSMLEPECRLKGIGRPAYFDRWINLRIPFVAAFGGSKPTADLADAVRMAGMEWEGRPRGASDDVRNTALLLGELMRRGVELGITSSLAPMPAPQL >Sspon.06G0010180-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:59675161:59680957:1 gene:Sspon.06G0010180-1A transcript:Sspon.06G0010180-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding PPSPPPAAPPPPPPPPAQPPSAQAPPPPKEQRPKATLPRAETEEERRARKKREFEKQRVEDRKQQQMMRQTQAAILQKTQQRAAQQQPQSRHHHQQPPGGSRAAATGSRAVATGSRPTSAPNAERYTKYRITSLEKNYIPKMIVPEDLGIPLDLLDMSVYNCLAFQSLTEKQAKERRESREGRNAFLDNLNDREKQIKAIEESFRAAKSRPVHQTKRGMQAEWVMPLLPDFDRYEEPFVMVNFDGDPTADSEQYNKLERSVRDECESRAVMKSFSVNGSDPSKQEKFLAYMAPAPHELTRNLDDDDDIQYSWLREYHWDVRGDDKDDPTTYLVTFDKEEGAKYLPLPTKLVLQKKKAKEGRSGDEIEHFPVPSRITVSKTAHGGTMERGESSGLHVNSKPRRSHVDDDLDEHPKRSRVEDIDQYSGEEYSE >Sspon.01G0044950-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:86167491:86169525:1 gene:Sspon.01G0044950-1B transcript:Sspon.01G0044950-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQHDDEQQGLAAAVPMPTAAITVIPDDVLVDVFRRVASPRGLAACRCVCRAWRALIDDCRLLRADLLPLSLAALLLRLNVEWERPELAPRLRSGGIFEDRYLAFDPAESPHYQVFCIPMVLTHKAEPAYRAIAQCEWPPSPFVLSVFSSMTGRWEARSLVRQGGAAGTVDDMHACPTHSACWRGALYVHRQNDFVLRISLSDHTTTYQVIKPPRELEDKEWKGGGRLGRSEKGVYFASFDRESHLCVWILHDGSSRGHMEWILRHRSAYGLALPSSPRSSWTLHNAFRSEDGNADAQEWDSDDDDDGQSTLHTETEDRIQERLVDCQILALHPYREIVFMFTEAQSREAAYAYHLKSSRLEHLGNLFPKDATPTPGTYI >Sspon.07G0005810-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:13419966:13421345:1 gene:Sspon.07G0005810-2B transcript:Sspon.07G0005810-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AQPGNHGNRQATSFPEGKTANNTAPACHQQQQRGFPQFPISRSTRFLLNTRRHGLRTDAASPPPGDQPRPRIVPGRRRRLRRADRHAGFPALPEPALRLGAALAVRSPSVGRAPRPLGVLEAGLPLRRHLPPAPQPRLLPRQLRRRGRLRAGGLAPGAPLLAPHPPGPPRRLVLPLPLPRLRPARRPLRPDLLRPRDAAGTRRRVLRPAFLHLRRVAHHLRAARRRGPRRGARRVPGARRPLPRRAQRGLRQQRGPRAAVIPWRSRIWGLTRRTPRSDLLA >Sspon.05G0015750-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:57130051:57133062:-1 gene:Sspon.05G0015750-2P transcript:Sspon.05G0015750-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHASLHRLTTPSTTHHRRNPVMAALRAVLLLVPALLMRVAVAGAPPFSCGPSSPSKGLPFCNTKLPATQRAADLVSRMTPAEKASQLGDIANGVPRLGVPSYKWWNEALHGVAISGKGIHMDQGVRSATSFPQVLHTAASFNDNLWFRIGQATGKEARAFYNIGQAEGLTMWSPNVNIFRDPRWGRGQETPGEDPAVASRYGAAFVRGLQGSSSNTKSVPPVLQTSACCKHATAYDLEDWKGVSRYSFKATVTVQDLADTFNPPFRSCVVDGKASCVMCAYTVVNGVPSCANADLLTKTFRGTWGLDGYVAADCDAVAIMRNSQFYRPTAEDTVAATLKAGLDIDCGPYIQQYAMAAIQKGKLTQQDVDKAVKNLFTTRMRLGHFDGDPKTNVYGNLGAAHICTQEHKNLALEAALDGIVLLKNSAGVLPLKPGAVNSAAVIGHNANDVLALIGNYWGPPCAPTTPLQGIQGYVRNVKFLAGCNKAACNVAATPQATALASSSDAVILFMGLSQEQESEGKDRTTLLLPGNQQSLITAVANAAKRPVILVLLTGGPVDITFAQANPKIGAILWAGYPGQAGGLAIAKVLFGEKNPSGKLPNTWYPEEFTRIPMTDMRMRATGGYPGRTYRFYNGKTIYKFGYGLSYSKFSHRVVTGRKNPAHNTSLLAAPGLAATTEDNLSYHVDYIGDEVCDQLKFLAVVKVQNHGPMDGKHTALMFLRWLNATDGRPTRQLIGFQSQHIKAGEKANLRFEVSPCEHFSRVREDGRKVIDKGSHFLKVGKHELEISFGA >Sspon.08G0001990-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:4594521:4596828:-1 gene:Sspon.08G0001990-2C transcript:Sspon.08G0001990-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPPPQQPLPPAPVAAAGAHTVFVYGSLMADEVVRTILRRVPPAAPALLPNYHRFNIKGRIYPAILRVESKRVAGRVITGVTDEELQLLDAFEDVEYTRTRVEISLTDSSEKMLADAYVWSDAEDPNLYGEWDFEEWKKLHMKDFLAMTNGFMHELEQPESKTRVETYESFMQQQEQPAPGTQVEG >Sspon.02G0030990-3D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2D:92247856:92248321:1 gene:Sspon.02G0030990-3D transcript:Sspon.02G0030990-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GKLNNGEKVAIKVLSSESRQGTKEFLNELSVISSITHHNLVKLHGCCVDGGQKMLVYNYVENNSLAQTLFGNSRSGIRLDWRTRVKICIGVADGLTYLHEEVHPPIVHRDIKASNILLDRNLRPKI >Sspon.08G0012800-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:54625508:54628026:-1 gene:Sspon.08G0012800-1A transcript:Sspon.08G0012800-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTLFDIVVFEGTYLVKLLTDCYEDVFDHCYSMDLLEEDELMPYIGGILKQLLGRYSIDSFMVFNFEGSKDNQIASIFSYYDMCVMGYPRNYEGCPLLTMEMIHHFLRSSESWLSLSQDNFLLIHAEHGGWPVLAFALAALLVYLKRYSDERKALEAVCKQAPDGLAELFSPLDPVPSQLRYLKYVSKRHISPESWPPVDKMLNWNCIIIRKVPNFDGQGGCRPLFRIYGLDPLVPNDRATKLLFSTPKTSDFVQLYTQEECEIIKVNVHCPVQGDIVIECVSLDEDFEHEVMVFRAMFSTAFIEDNLLVLDRNQIDILWDTKHRFPVDFRVEAIFSDMEMGTAVNKSELSSEEKESLSKVDDAFSHLDWSSESIHITNEESKQKGLQREHDGFDKIPLEETEISSASAENSSRSVQIHHIEPAETYSSLANVHSSPEPVASGPNFQGGQLFNDTSAQEEPEVDGTKIEPNSETSRDAEAGDAAAAAAAEWSDNNSDVFLSDTPSSSTPSSPPKFDDEILEAGIVETRSQLTELKI >Sspon.07G0009130-3D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7D:22031739:22038596:1 gene:Sspon.07G0009130-3D transcript:Sspon.07G0009130-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GNCKVEIRGSGLRCETTEQGLTISGPRGAKAVVSEGCQFILLNPSDADSQTKSLLQEVLMLYKQELPTMDYAADTGRKKYKTLILMSSSAAQHEEVIATVSYQIVPADTQYAEIPLAVVRSSYQRVGFVSVGEVDTKGKVRKIPVRADIKRALCFPGGSTLMVAHLKKQLPIMQTWEKPQTSLHTVVPDSMSPGETNVLSSENMVLQTYKRRVRKTVKVITNEVCNAYGESSLSEQEPKKHIAWIISPNWLKESFREEQFVGEAQYVLEDEEYRMQYKSELRDAVMRAKERPNSLFAGYTFCLSKHIQPSFDVLSAIIKSTGGKIIKKLSELDEPSQTIFLVCEEEAELALVAAKSGIKTFSSDWFMSCVMKQELDLEAPQFIVSL >Sspon.06G0020140-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:7977601:7987176:1 gene:Sspon.06G0020140-1B transcript:Sspon.06G0020140-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGVAKPSTSSGSGSGVTDGASSAAASPEERFADLCKSKLGLDESTTRQAMQLFKETKNILKSSVFSLGGGSAEEIERFWSACVLYCVSRLSKAGRSKEDGSVSLCQILRASKLNIVDFFKEMPQFCLKVAHILTGLYGSDWEKRLELKELQANVVHLSLLSRHYKRAYQELFLSNDGKCSDNSSESNNQEASDYYRFGWLLFLVLRIQTFSRFKDLVTSTNELVSVLAVLIIHVPVRLRNFDIKDSSYFGLLVIAVVHKTHASIYCFESVKKSDRGVSLIASLCEKYHTSEDELSKALEKTNTLIMDILKKKPCPATSACQQDNLSFIDPEGLTVFKDLLQGESLKSSLLILEKEYENAINTKGELDERMFANDEDSLLGSGSLSGGAINLPGTKRKYDVMASPAKSISSPNPMSPPRFCLSPKGNSFCNSKMAPITPVSTAMTTAKWLRSTVSPLPSKPSGELLRFFSACDKDLTDDIAHRAGIILGAIFTSSSFGERICTNMRSANRMDAIWTEQRKMEALKLYYRVLESMCRAESQILSGNNLTSLLSNERFHRCMIACSAELVLATHKTVTMMFPAVLEKTGITAFDLSKVIEGFVRHEDTLPRELKRHLNSLEERLLESMAWEKGSSMYNSLIVARPALSVEINRLGLLAEPMPSLDAIAAHHNISLGGLPPLPFQKQDRLQDKDEVRSPKRACTERRNVLVDSNSFRSPVKDIIKSKLPPPLQSAFASPTRPNPAAGGETCAETGIGVFFSKIAKLAAIRIRSLCERLQLSQQVLELVYSLVQQILSQQTGLFFNRHIDQIILCSIYGVAKISQLELSFKEIIFGYRKQPQCKPQVFRSVYVHWPPRSRNGKTGEDHVDIITFYNEVFIPAVKPLLVEVGSGASPKKKEEEKVLLMVHTQNLLG >Sspon.03G0018780-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:58178165:58182970:-1 gene:Sspon.03G0018780-1A transcript:Sspon.03G0018780-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADASRGRVGGGRRRSMLALLLLLLSVGAQASDDGEAVAGEKVAAAWTGGLSRRGFPKGFVFGTAASAYQVEGMAHKDGRGPSIWDAFIKIPGEIANNATADVTVDEYHRYKEDVNIMKKMGFDAYRFSISWSRIFPNGTGKVNWKGVAYYNRLINYMLKIGITPYANLYHYDLPEALEVQYGGLLNRKVVKSFADYADFCFKTFGDRVKNWMTFNEPRVVAALGYDDGRFAPGRCTKCKAGNSATEPYIVAHHLILSHAAAVQRYRQKYQLTQKGRIGILLDFVWYEALTNSPADQAAAERSRDFHVGWFLHPIIYGEYPKSVQKIVKERLPEFTADEINIVKGSIDYVGVNQYTAYYVRDQQPNATTLLSYSSDWHAEFVYERNGVPIGPRANSDWLYIVPWGLYKAVTYVKEKYGNPTMFLSENGKAP >Sspon.03G0020520-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:82977130:82981859:1 gene:Sspon.03G0020520-3C transcript:Sspon.03G0020520-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRGSSDRLEALSLEIERKLQKALISNSQRLQILQQLFADIALKVDDRARDAIMSENDDGIAPVDEREDGWLCFYEILANHFVKVPESGRRILELIVQLWSQSFASNIFALLFHKWLFEAPLDEKEISLRYSSALVQGATNYLLKDVALVPDRLTKISLQAGRDLFLLLSRFMFFYDQDPLLSSFLEHFPTFPNSFLVGGPADYFVIELTDLLQKLKIEPVLLHYLCRMSILQGMELRLSTSTRLKACLYSFTSPGGPMYPTRAVRHAAWNTLDLLFPVNWSLPKACDQPVLPAAVSVVLALLLLELHNDLCHDCLLLHPKPAGIKLGETEAAQSPENAW >Sspon.03G0012330-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3B:44261276:44264461:1 gene:Sspon.03G0012330-2B transcript:Sspon.03G0012330-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein QUIRKY [Source:Projected from Arabidopsis thaliana (AT1G74720) UniProtKB/Swiss-Prot;Acc:B8XCH5] MAAGGGGGPPPMVRRLAVEVVDARDLVPKDGLGTSSAFAVVDFDGQRKRTRTVPRDLNPQWHERLEFVVHDPANMHAEALDVSLYHDRRFNPSGGGGGSGKNHFLGRVRIYGSQFSRRGEEGIVYFPLEKRSLISWIRGEVGLKIYYYDEPAVMPPPPEEKPPEQADNAPPTEVPPEAPRELPEMPAPTEAAVEVQQPAAQPPIIIVEEASMHPPMMTPPMHGPHGPHGPMMPPPVHGPHGPMTPPPQPEPEPAPEPESGDQYPPEVQKTRMATERVRVVRHPSGGLGPDYYAPSPRVIPGRFVSTGESVEPVQSSSYDLVEPMRYLFVRVVRVRGIRACEGPYVKVQAGPHSIRSRPGRDLSGTGNPEWNQVFAISHARPEPTLEISVWDGGAPSPAEAFLGGVCFDLSDVPVRDQPDGPLAPQWYRLEGGEPGMVTGDIMVAVWIGTQADDAFPEAWNTDAPYAAYTRSKVYQSPKLWYLRASVIEAQDLRVPAPPPGLPFDVRVKIQLGFQSARTRRSVASSSGSAFAWSEDLMFVASEPLDDNLIVLVEDRSMIREPALLGHATIPVTTIEQRLDERQIVASRWFTLEGGTSGIGMPPSNTGGPPAFYSGRLHLRLCLEGGYHVLDEAAHVCSDYRPTAKQLWKPPVGVLELGIIGACGLLPMKTKGGAKGSTDAYCVAKYGKKWVRTRTITDSLNPRWNEQYTWQVYDPCTVLTVAVFDNWRMFAGPGDERQDYRIGKVRVRVSTLESNRAYTASYPLLVLLRSGLKKMGEVQLAVRFSSPAQLPDTWATYTTPLLPRMHYLRPIGVAQQEALRGAAVRTVATWLARSEPPLGPEVVKYMLDADAHTWSVRRAKANWFRIMGVLAWAVGLARWLDGVQRWRNPSTTVLVHALYLVLVWYPELVVPTASLYVFMIGVWYYRFRPRGPAGMDARLSQADTVDGDELEEEFDPVPPPEVLRLRYERLRTLAARVQRVMGDVAAQGERLQALVSWRDPRASRIFVGVSFAVAVALYAMPPKMVAVASGFYYLRHPMFRDPMPPPAVNFFRRLPSLSDRLL >Sspon.08G0015850-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:63236319:63241970:-1 gene:Sspon.08G0015850-1A transcript:Sspon.08G0015850-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDAIPLIDIAPLVKRIDDPNMTNDKDVLQVVKMLDGACKNVGFFYVKGHGICELLMREVRDVAKQIFQLPYEEKMKIKMTPESGYRGYQRLGQHITSGKGDMHEAINYLAPVTPGKYGDLGKPLEGSNVWPEYLSKSKLILENYITLLKDLSRKIMQGIALALGGPVDAFEGTVAGDPYCLIRLISYPVSTDVPEEKCTDTGMGAHTDYGLLALVNQDDDICALQIQNHAVKWISARPIPGTFVCNIGDMLEVYSNGIYKPTVHRVINNSLRCRVSAVFFYETNFDAAIEPVEFCKEKTGGTAKYEKVVYGERLVRKARGLLPSDQ >Sspon.07G0011010-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:42475427:42480399:1 gene:Sspon.07G0011010-2B transcript:Sspon.07G0011010-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:alpha-mannosidase 3 [Source:Projected from Arabidopsis thaliana (AT1G30000) TAIR;Acc:AT1G30000] MSGTSGPLPYSMRDVGAGGAYNNAKFRHRSRLKSPSEWTSRQKKVKEAFEYAWSGYQKYAMGYDELMPLSHRGTDGLGGLGATIVDSLDTAIIMGADDVVSEASKWIEDNLMKRISEKGQVNLFETTIRVLGGLLSAYHLSGGDHPGAGDSGVPVTYKKANPERLLEVSKDLADSPDGLSSTSEASTLQLEFSYLSKVSGDPKYDRAAMKVLEHMHTLPKVEGLVPIYINPSSGQFSGENIRLGSRGDSYYEYLLKVWVQQEEYRDSSLKYLFEMYTEAMRGVKHLLVRKTVPNGLVFVGELPSGRNGGFSPKMDHLVCFLPGTLALGATKGITKRKALESNLLTDEDKENLQLAEDLAKTCVEMYFVTSTGLAPEIAYFHIEGDTEGGPDGGNKSSKYINDIIIKPLDRHNLLRPETVESLFVLYRITEDPKYREWGWQVFQAFEKYTKVDSGGYTSLDDVTSLPPSMRDKMETFFLGETLKYLYLLFDENNTLPLDKYVFNTEAHPLPVMRSTERDSHSVLGKFLENFDFPLDPRFSDKKMPLKLR >Sspon.08G0003840-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:10931920:10934497:1 gene:Sspon.08G0003840-1A transcript:Sspon.08G0003840-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRCRGSALSASASRRAPPLPATPPVALPMTAATKLPLHPRRLRFATRSAFPAAARSTPPSSWLRVPDAAPRYHGSPARSDTLAAQPSRRRSRGLQQERIQHRLPTTCANVGLPPGAKKAAPATTSSGGADDTGAPIWRPRRDMTHARLSVMTANRRICFSKTIRIAIGINTIKCR >Sspon.04G0011920-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:39400450:39410887:-1 gene:Sspon.04G0011920-4D transcript:Sspon.04G0011920-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATAAAAAALASPAAIRASPVRRGLVSFAPALRSGPDRSSRAVALPGARTHVAAVDQAIVQGETKLEGPVVVVTGASRGIGKATALALGKAGCKVLVNYARSSKEAEEVSKEIEASGGEAITFGGDVSKEADVESMIKTAATKVMMKKKKGRIINIASVVGLTGNAGQANYAAAKAGVIGFTKTVAREYASRNINANVIAPGFIASDMTAELGEELENKILSTIPLGIILLTRSHP >Sspon.03G0013410-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:48961874:48970377:-1 gene:Sspon.03G0013410-2B transcript:Sspon.03G0013410-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTVSVKWQKEVFPGIEIDTSQPPIVFKSQLYTLTGVPPERQKIMVKGGILKDDADWSTLGVKDGQKLMMIGTADEIVKAPEKGPVFVEDLPEEEQVVALGHSAGLYNLGNTCYMNSTLQCLHSVPELKSALLSYPDTARGNGIDQASHNLTLATRNTFGDLDQSVRPVAPLQFLQDAEECWTQLVYTLSQTLTSDSRVHCAESGEESTETESVYSLKCHISQDVNHLHEGIKHKVDYPLELDVYEFCSDELKLKLQAPRQMLRDAENAKFGLKAHGKASSSKENEVMVVLYPGSQVALGKRPVWTLTKATESSLPKKQLTGVYDLVAVLTHKGRSADSGHYVGWVKQDDGKWIEFDDDNPNIRKEEDILKLSGGGDWHMAYICLYKARMAESKS >Sspon.05G0009760-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:22944207:22946556:1 gene:Sspon.05G0009760-2B transcript:Sspon.05G0009760-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFPLHDSTGVGQSMDGGRATRGQPMLEKRPSHRERHFTAGEVVRDVIMGVSDGLTVPFALAAGLSGASAPSSLVLTAGLAEVAAGAISMGLGGYLAAQSEADHYKREMKREQEEIITVPDTEAAEIGEIMSQYGLEPHEYGPVIDGLRRNPQAWLDFMMRFELGLEKPDPKRALQSAFTIALSYVIGGLVPLLPYMFVSTAQNAMFISAGVTLVALLFFGYIKGRFTGNRPFISAVQTAIIGALASAAAYGMAKAVQARYMTARQY >Sspon.08G0001520-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:5013365:5019548:1 gene:Sspon.08G0001520-1A transcript:Sspon.08G0001520-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVGKRLMVWAMSGACARSRAPNAYDAHSLLYGASARIHADCSQDGARQVAPRSSLKRMYHVLGDGRLVIATVLIAPIAPLSWSSGFGKASPHLELTGMPLSRPELRRADSRCRCLVFTNHKQPQKLPASGSRARSSSSDVASSPQIARPPHMVRNEPSEESTATIVRLWQQRRANLRRRAPDRATDLKRQSGRRGAGSSDKPLHVARSRVARDGRLTMSGGASRQELRRTCVFSFVGDECAAKGCLHCARFNRNNGVGEGEEQAVRDEGSRVTRKWESPASPPPEDPPMHKIHKKTNQDRITSHNTADETVTMGGEDRLSDLSDDLLRRILYFVLSKEAASTSVLSRRWGSLWRSSGAVNLAVEAYDYLNRRYHGTYSSYEETEEAAFSAQETFVHAAKAALDAAEVPVTRLTLRVESTDGESTIEQFLCRGRGGWRTDGANVVGALVSHQAARRVEELRVAAVDVSSDGAFLYLEKENHRHTGLYGLASLPSSETLRVLDLTRCTLTAAFALPRLATLRLRYCAVEPKVLQALLDAAPELTTVRLESLIFLLPRPDELDGAEAEPAVLRLSFQAVTTLVLSLCGKESGDRRRGKSSWAIEIDAPRLQSFKYKGLMRRFRLRPAAAPGVAWVDLHFLRDYDKDNEKETRRVLFWQFVRNFTSARTLKLKVGNDLKDITAIGESRHARLLHCAFPSLERLELEGMHRPKIKTAAAAIANLLHCCPALGEIMLKLSNTVTALPDKDPRYGREFLERKDRLDYNKSINRFVRRKGSKTALDYVLEDVPDIPGLSGRSFACLQRTLRKVRLQFRLDNNSISSCLGLRLIKFFGDHASVLEEICVDTGNRRLNEHLSFNEFLGA >Sspon.07G0002040-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:4968330:4969127:1 gene:Sspon.07G0002040-1A transcript:Sspon.07G0002040-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding NGEQHHGPLLHGLRRQGRERAVVPVRRGPRDDAQDGGEGQAGGGVRQPVVRPRPRALPGPALRRAPELPDGRVPRRLRLGHSRAVRGPRDVRQEPGAGGDPLPLGHAGRARLRVPRAARPQRRQVRRGRVVQGRVTDLQRGRAGLPGQPEPDPRAEHPRHLGRPGRAHGRRRGVPHRRRPARRGRRPALPRRQLRPARPRRRPRGLRRAQGEGAQERPPRHVLHVRILRPGHRHRQGPAREPCRPPRRPRQQQRLGLRHQLRARQV >Sspon.07G0020650-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:69124119:69131325:-1 gene:Sspon.07G0020650-3C transcript:Sspon.07G0020650-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPALLPPPTIDEEGAAPPAPATPSPAPPQPEPEPKPPLLRRRPPVRVTSEFDSERRLFSHRLSCRVLDGVAKLRLRISHGAGGGGIPWGPPEVGLMARNFSVVVDPATRGAVLRGATDLAGSLRLRASHNTKEQQGEVAITVNLRDSPCKIELSSLVPPNALPRATFFFPNGEVSIKEKILDEGDRILSVNGLVKSRVLNGVCTAVYNDNAMNIKYRYKDDEISFVPSISLPSNSLSFAFKRQLTPLDKLSYWYNFDTNYWSAIYKHKANKHLKWKAGYESDNRLGWASLWVGDAGGSTKEVPFKAKVQLMLKVPQDNMQNSAVVFHVKKRWDF >Sspon.02G0025580-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2B:90223300:90223444:1 gene:Sspon.02G0025580-2B transcript:Sspon.02G0025580-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGELKKLVEEGKIKYIGLSEASASTIRRAHAVHPITAVQLEWSLWTRE >Sspon.06G0004930-3C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6C:15426179:15427732:-1 gene:Sspon.06G0004930-3C transcript:Sspon.06G0004930-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEREHWLLCALIAVPLLYYLTRILRPRSGSSSTRPRLPPGPRPLPLVGNLLDLRGGNLHHALARLARVHGPVMRLKLGLATAVVISSRDAAREAFTRHDRGLAARAVPDMARAAGFSERSMIWLPASDPRWKALRGVVAAHVFSPRSLAAARGVRERKARDLVGYFRRRYGSGQEVVDDVGQAVYGGVLNLVSSALFSVDVVDDVGAKSARGLRELVEEIVDGIAKPNVSDLVPFLAPLDLQGWRRWTARRLRNVFGILDGVIDRRLSESDGDASSPASSSEGTHGGDFLDALLELLSAGKITRDNVTTIMFDVFVGGSDTIAITVEWAMAELLRNPSTMAKVREEIQGSLGGKGSVEEHDAVSLPYLQAVVKEAMRLHPVAPIFLPHQATEDGVEIGGYAVPKGCTVIFLAWSIMRDPTAWERPDEFIPERFLDREEEMGFRGKEFEFIPFGAGRRQCPGLPMAERVVPLILASLLHAFEWRLPDGVSAEQLDVSEKFTTANVLAVPLRAVPVVIT >Sspon.03G0027710-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3B:6625136:6634313:1 gene:Sspon.03G0027710-1B transcript:Sspon.03G0027710-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPNELAELKVQLQELLEKGFIKPSSSPWGCPALFVKKKDQTLRMCVDYRPLNEVTIKNKYLLPRIDILFDQLAGAKVFSKIDLRSGYHQIKIRPEDIPKTAFTTRYGLYEYLVMSFGLTNAPAHFMYLMNSVFMPELDKFVVVFIDDILVYSKSEEEHAEHLIVVLTRLRDHQLYAKFSKCEFWLKEVQFLGHVLSAEGVAVDPGKSELNMRQRRWLELIKDYDLEVHYHPGKANVVADALSRKNHCNCMTVKPMDHSLCYELEKLNIEIVQQGQLTNVTVESTIKDQIISAQRKNSGIAHIKEKVRTGQQTDFSIDDTDVLWFKNRLVVPKVPELRQLILDEAYNTRFSIHPGSNKMYQDLKQRFWWTKMKIEIAKYVARYDTCRRVKVEHLKSARMLQPLPILFWKWKDISMDFITGLPKTSRGFDSIWVIVDRLTKSAHFIPVKTDYRASRYAEIYVARIMSLHGIPKTIVTDRVIEKCGKVAYRLKLPEQLSAVHNVFHVSQLKKCLQVPDRVVDVDGVELEPDLTYSEYPVRVLDRKDRVTRSRTIKWYNIQWDQHSEEEATWESEDYLLENFPEFFTSI >Sspon.04G0034420-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:73996355:73997054:1 gene:Sspon.04G0034420-1C transcript:Sspon.04G0034420-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding QHMRSPCARGPLPVCSLWAPGPTCICPWNPGPLASPTPICSPWKPGPLPSPTTPICSACAPGPISTPQPKLLAANPRSPTIKAHPLLSPVFTRPPPPTRQRGEPRESDFRFEREDVKRPPAAAPPRARLVQIGTRRPADGTDPALHQVNRSRRSHTATDRRAALDCDAVACLLRAFIFSDKDRRADCCRSVSPLELLGAVRISAL >Sspon.01G0006250-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:16204580:16206839:1 gene:Sspon.01G0006250-1P transcript:Sspon.01G0006250-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGFWRDLLCGIGKGDEPPPEQRPGQLREQMEEVELSLGLSLGGRFGLDRKGDKLPRSSSVAAMLTPPVEVPAPRALPRTSSLPVLAEASEVGRQQGQAGWGSCRDGGGLGVEHAVRLPASGSPSSASSGGDGQRLQGTLMRTSSLPAVIEAAGNDERKKRKEAQSLKRLEVKKKRIERRNSLTCNTSKEAAGQIPEEMNAHADKLVSSDEAVVMNNENHSSGKHLVKGLPPKYQATIASQDSLSAVGKKPNSAFKAITKEHSPSSSVPSSDEAISNVTAASPPPSSLPPRTATLGSRGDQSILGRAASRANSMGDVERRIMQEMPGVFTKGLPNGNRVEGFLYKYKKGEDVRIVCICHGSFLTPSEFVEHAGAGKVDNPLRHIVVSPTPNL >Sspon.06G0011680-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:51177184:51179696:-1 gene:Sspon.06G0011680-3C transcript:Sspon.06G0011680-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSGPVPLVSQVQRKPQTFTPSPWGDFFLQHIPCTPSKLLAMKERAQRKKEEVRQIILETVASSNLVQKLELVDTLQRIGVDYHYKEEIDDLLRSVYDDKDGGSDNLYITSLRFYLLRKHGYGVSSDVFEKFRDEQGNISSDATSCLLMLYDAAHLRTHGEEILDNIITFNKSHLQSLLLEILEPELREEVQCTLETPRLRRVNRVEARSYISVYEKKATRDATILEFAKLDYNILQAIYCDELKELTVIMMTKFIVFASLLDDLYDNYSTTMESTTFTAAMQRWDEQTTEQLPAYLKALFINILNTTNKIVEELKLTKNKHADLIKRLITNLALISLGEVTTKEDVDWALTFPKIIKGACIVGRVGNDIVSHEREQTSEHVASTVQTCMKEYGVTVEEANEKLRIIIEEAWMDIIEDCLEQKRPMVLLETAVNVARTMDFMYKREDAFTLSFSLKDVIASMYVNSV >Sspon.02G0022050-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:73721408:73725153:1 gene:Sspon.02G0022050-1A transcript:Sspon.02G0022050-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cadmium/zinc-transporting ATPase HMA2 [Source:Projected from Arabidopsis thaliana (AT4G30110) UniProtKB/Swiss-Prot;Acc:Q9SZW4] MGSGERPAKAREAEAAAVQERLLPPPPPAPARSSGDAGAGGGRRGKWERTYLDVLGVCCPAEVALVERLLKPIDGVRAVTVVVPSRTVVVEHDPAAVSQSHIVKVLNKAGLEASVRAYGSTSGGVVARWPSPYVVASGALLLASLLAPLLPPLRWLALAAACAGAPPMLRRALAAGAGLALDINALMLVAVAGAAALGDYAEAGAIVFLFTAAEWLETLACTKASAGMSSLMSMVPPRVVLAGTGEVVSVRDVEVGTVVAVRAGEVVPVDGVVVDGQSEVDESSLTGESFPVPKLPPSEVWAGTMNMDGYIAVRTTALADNSTVARMQRLVEAAQNSRSKTQRLVDSCAKYYTPAVVVLAASVALVPLLLRAQDLKRWFQLALVLLVSACPCALVLSTPVATFCALLRAARMGVLIKGGDVLESLGAIRVAAFDKTGTITKGEFSIDGFHVVGDKVEMSQLLYWVSSIESKSSHPMATALVEYSQSESIQPKPENVTEFRIYPGEGISGAINGRQIFIGNRRIMARSSCYTGPEMDDHRGASIGYVIVDGDLVGAFSLSDDCRTGAAEAIRELRSMGIKSVMLTGDSRAAATRAQQQLGGVLEELHSELLPADKVGLVGGLKARAGPTLMVGDGMNDAPALAAADVGVSMGLSGSAAAMETSHATLMSSDILRVPAAVALGRRTRATIAANVLVSVGAKAAVLALAVAWRPMLWAAVLADVGTCLLVVLHSMLLLREPGTGSWRRRGGEAEACRATARSLAMRSQRDGASNGGAAAESAQQGPSGGAKAGCRCCQKPSEPFEQEHAAVVIDIPAPSADAEHPRATAKGGATGCCGAAREACDAPTTVTTVDCAPRGCCGGKGKGDGRVSARTSCCSNGGGGAARDSPKKAGKGCNARCCSSGK >Sspon.02G0029830-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:108332795:108336681:-1 gene:Sspon.02G0029830-1A transcript:Sspon.02G0029830-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLLASLLPLFMWLLLQASPRKRTNGQGGRHTPSPPALPVLGHLHLLKKPLHRSLAALATRYDTEGAGLLHLRFGAKRVVLVTSPAVAEECFTVHDVALADRPGLASRRVLTQDCPAIAMCSYGPLWRQLRRLATVHALCAHRLAATSGARDAEARAMAAKLWRRAGAGAAVGVKAAAYEFAANVIMAMVAGTRMTGDQVQRFREMTEAGLAAAGAANRHDSLPVLRILDFGRTRRRLAGIAEARRQFGQSILDDYRRRRHRHPGGADDEDDAWETARTVLGDLLRQQQQEEQSPEHLDDVVIRSVCLSLLQGGTDTTASTIEWAMALLLTNPSAAKKATVEIDAVVGTSRLLRESDLAGLPYLRCVVSETLRLYPLAPNHVPHEASRNCVVAGGHAVARGTMVLVDVYSMQRDPAVWGERPDEFVPERFMADDGGGGSGGGGRRDGGGRWMMPFGMGRRKCPGESLALRMVGVALGVMMQCFEWEPEVGKEVDMSEGSGLTMPMATPLVAVCRPRAKMESLLKATVRRAPTSPSYLGAHPPVATPYERWALSPPL >Sspon.01G0005600-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:14770326:14772373:-1 gene:Sspon.01G0005600-1A transcript:Sspon.01G0005600-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LHSQHETKSQVSCSVRVSENTARRIYATAENIFPAMKDHVLKATDAINRGEVIAVPTDTIYGSAGAVNCIYEIKGRIQTRPLAICVADVSDISRFALVDHLPHGLLDSLLPGPVTVVLKRGENSILERSLNPGLDSIGVRVPDQDFIRSIARGAGSALALTSANLSGQPSSVCVKDFEGLWPHCSYVFDGGVLPSGRAGSTIVDLITPGVYKILRDGRKIIFDTKCKIAAQGRKQQQCLAICVFEVILVA >Sspon.08G0006170-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:16542022:16547588:-1 gene:Sspon.08G0006170-3D transcript:Sspon.08G0006170-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMVPRTVLLLCCCLLVFPPPGTPAVEAFVGGYGINYGRIANNIPSPDKVVELLRRAKIRNVKIYDADHSVLDAFKGSGINLVIAIPNELVKDMAANSSRSMDWLNQNVQPYLPQTRIVGITVGNEVLGGQDQSLYQPLVDAVKNVYDGLKRLHLESKIELFTPHSEAVFATSYPPSACVFKEELMAYMKPLLDFFAMIGSPFYVNAYPFLAYISDPEHIDINYALFKPNKGIVDPNTSLHYDNMFDAQIDAAYAALHAAGYDDMEVRVAETGWASSGDQNEAGASSENARTYNFNLRKRLFLRTGTPLKPKRPVKAYIFALFNENQKPGAGSERHYGLFLPDGRISYDIGVSGLLPSSASSSVLSIKAANPAENSLRAGLYSEIFVINDNLHVLVKNC >Sspon.06G0024720-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:57098319:57102490:-1 gene:Sspon.06G0024720-2C transcript:Sspon.06G0024720-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:MEE63 [Source:Projected from Arabidopsis thaliana (AT1G02140) UniProtKB/TrEMBL;Acc:A0A178WA48] MAATGGENSGAGEGEFYLRYYVGHKGKFGHEFLEFEFRPDGKLRYANNSNYKNDTMIRKEVFVSPSVLREARRIIQESDIMKEDDSNWPEPDRIGRQELEIVMGNEHISFTTSKIGSLVDVQSSKDPEGLRIFYYLVQDLKCFVFSLINLHFKIKPIQS >Sspon.01G0022950-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:86967295:86971149:1 gene:Sspon.01G0022950-2B transcript:Sspon.01G0022950-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATE1 [Source:Projected from Arabidopsis thaliana (AT1G13690) UniProtKB/TrEMBL;Acc:A0A178WKP3] MNQPVQKNTLYVGKPTISSSSSSKRARARALPCPISHLIGRARHLLDEIPQQEYSWSWVVGGLAEEVDEKILHAAFVPFGEVKDVKTPLDQSTQKHRSFGFVTFLEREDAAAAMDNMDGAELFGRVLTVNYAFPERIKGGEQGWAAQPIWADADTWFERQQQEEEMQRLQAEHRAAMQAAEKLHREKLAAEREGEKEEETADPMAAAEAQAVKQSS >Sspon.04G0005170-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4B:10577575:10578744:1 gene:Sspon.04G0005170-2B transcript:Sspon.04G0005170-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVREHGGYGGFDSAEAAAFDALGYGYGHGALLGFDTSAALFGEGASAYVSDGGDAWTGAGASTVLAFNRATPAAAAAVGEEEEEEECDAWIDAMDQSYGAAAAPDALTASVGFDASTGCFTLTERASSSGGAGRPFGLLFPSASSGGGGTPERTTAPVRAPQKRTYVSAEPQAVSPKKHCGAGRKASKAKSPSTTPTKDPQSLAAKNRRERISERLRTLQELVPNGTKVDLVTMLEKAISYVKFLQLQVKVLAADEFWPAQGGKAPEISQVREALDAILSSASQREQLN >Sspon.06G0014800-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:61532181:61533310:-1 gene:Sspon.06G0014800-4D transcript:Sspon.06G0014800-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSSAHSVTVLHDIHQRQRADGPAAMLGIGTANPTNCVLQDQFADWYFHVTKSDHLAELKAKAKKICDRSGITKRYFYHTEEIIGGHPELIDRALPSLTTRLSIIADAAPKLAAEAATRAIADWGRPAADITHLVVATNSGASEPGTDLCLARLLGLRPTVRRTLLYLHGCSAGLVALRVAKDIAENNRGARVLVACAQAELLLFGPPDDARLDLVVARTLFADGAGAVIVGADPASSPMERPIFHMVSASQTTLPGTDQAVVLNLRETGLVVRHLSVEVPTLVRGSIKKCLADSLAPLGRDRRWLEWALLGAAPRRPCDLGQLRSRSRSGAGEACGQPARAK >Sspon.02G0045320-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:108737010:108741620:1 gene:Sspon.02G0045320-1B transcript:Sspon.02G0045320-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protease Do-like 5, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G18370) UniProtKB/Swiss-Prot;Acc:Q9SEL7] MALRPLLRLLFPSPPYRNPPPPPSSPSVASTSRRAAASTVFLLAAAAAASATPPRPARAEPDGEDVDEARVVRLFQEASPSVVFIKDLVVAGPQGRGGGGVEEYDEEEEGGAAKVEGTGSGFVWDSAGHIVFLEDSGGKSYSKEGRLIGCDPAYDLAVLKIDADSDHLRPALIGTSRSLRVGQSCFAIGNPYGYEHTLTTGVISGLGREIPSPNGRAIRGAIQTDAAINAGNSGGPLIDSYGHVIGVNTATFTRKGSGISSGVNFAIPIDTVVQSVPNLIVYGTSVSNRF >Sspon.01G0006620-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:20883651:20887741:-1 gene:Sspon.01G0006620-2B transcript:Sspon.01G0006620-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MELFHLYALCAILIVVSSLYLRFFFAGSRRNLPPGPRPLPLVGNLLDLGAHPHRSLARLAARHGPLMAVRLGAVTTVVASSADAARDVLQRHDAAFSTRSVPDAARACAHDEHSMGWLPPGSSRWRALRKVCSAELFAPQRLDAHQALRRDKVQRLVSHVAGLARQGAAVDVRRVAFTTALNLLSCTILSADLADLDDRGASGEFMEVIEEFPVAVGAPNLSDFFPAIAPLDPQRLRARLVRVFKKLHAIFDEQIERRMQERAAGDPPKNDFLDLLLDYRGAEDGRGFDRQTLLSLLTDLFTAGTDTSAATIEWAMAELLQSPSSMAKARDELAQALGPKQVIEESDIGQLKYLQAIVKETFRLHPPAPLLLPRQAETTTEIRGYTVPKGTRVLVNVWAIGRDRELWAEPEKFVPERFLEMEREIDFRGRDFELVPFGSGRRICPGLPLAARMVHLMLSTLLHRFEWRLPADVERNWVDMSENFGVTLGMSTPLQAIAKPI >Sspon.04G0027000-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:56375718:56376869:-1 gene:Sspon.04G0027000-2C transcript:Sspon.04G0027000-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGFIYAKQQTSIPTEATAGFRPTMAGGGNGIPPATQDAHHFAIPEREVRGWSFAQQDPHPSVRHVHAGTSCDRGLPAQARHKNEEKPVHFIPGISNSRPSHQVPEEQLSSKPTCSRPRPVPSPVGFIREALQHQKAELKEKTRQVASLIRGN >Sspon.06G0025180-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:63081477:63085832:-1 gene:Sspon.06G0025180-1B transcript:Sspon.06G0025180-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIVLDITNPSFVVLQDCFGRPIASAPDSWFDVVERTSNDNNKTLQCLNLTSYNYLGFAAADEYCIPRVVESLKKYSASTCSARVDGGTTKLHTELEELVARFVGKPAALLFGMGYVTNSATIPCLIGKVCSNAYILSDYLVSVVHVNYHCKCTLAAPAHLEKVLREQVAGGQPRTRRAWKKIIVIVEGIYSMEGELCKLPEIIAVCKKYKAYTYLDEAHSIGAVGRSGRGVCELLGVDPADVDIMMGTFTKSFGSCGGYIAASKEIIQHLKQSCPAHLYATSMSPPAAQQVISAIKVILGEDGSNRGAQKLASIRENSNFFRSELKKMGFEVLGDSDSPVMPIMLYNPAKIPAFSRECFRQKVAVITVAFPVTPLLLGRARICISASHTREDLMKALD >Sspon.02G0054570-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2C:114896291:114896635:-1 gene:Sspon.02G0054570-1C transcript:Sspon.02G0054570-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIFGCHIGYVGDVGRGFQILIKKQITEPVKNKKVTVQNLCTHGVTLTLEPLANSPPLFTGAYTLHPHSYAEFPVCWWTGRLHAGADAATVEFHVGVDGGTFYPAPNAQPGQRVP >Sspon.01G0029500-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:102584761:102587127:1 gene:Sspon.01G0029500-1A transcript:Sspon.01G0029500-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRVACSASAADADVVDLFDAAKLTVSDAPPLVLSRRACEYYYMWTSSSPASALAIQYLGTRLRRGSLTGISAVTSSVLSANEADMAGIRASSYQEGTQIDFAFADAEVIEEGTLAAVIGRRKTESGEPSFMAEKAMAKSADKLAFITGNDKYVTGVEGSIPVLVKSGNWIDTAEEIDDLFLGDAEVCPSKLIPYTLLEFQNWRSCWVSQPEVWRRPSFGTAGPLGGDHPLVTKEGHHILDVIFTTPIPDLGMSYADGRSSILATADLFSFNPVTTITLPSCLQVAEKLDKIDGVVDHGIICSNQSYAVIASKGKCRSWTKKNHTKIKPDKRTT >Sspon.07G0031810-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:31044875:31045429:1 gene:Sspon.07G0031810-1C transcript:Sspon.07G0031810-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGLVRHNAPIQLLLATKSAVSAAAASITGGIHAALLHAMNTDITASTKPEYPVVDRNPAFTKVVGNFSRSTTCASPPSPPSPSPLATSPVRLPSQTLSLTSPPSVAQTRACRAGIKPGIRGPSMVTGGLIGVMGGFMYAYQNSAGRLMGFFPNDAEVARYKYKL >Sspon.04G0000650-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:11749657:11752440:-1 gene:Sspon.04G0000650-2P transcript:Sspon.04G0000650-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding NGVQGMPEAQGAVPEGPVVAGGGREAPRLHPPLRPRLLGRSAPQGWAAAQRQELQAAVDQLPEAGAEARHVLPGGRGDRHEPPRQAGQQVVSDRTASAGPDGQRGEELLELVPQEACRGRRRAGRGGPGDTRPFRRAREPGPQREQRPGVRRQPAGELRLVRAGGGVVLGRRLQLPHRHRACGRGRGATARAGAPEGHVRGLAGHGLRDQPGGAGSGRRGLRRGQPQPGPGPEPPGVRAGRRAVRRGGFPARARHRRHVLGVRRGGGSVGRAGWRRVLRFALHERVPWDQL >Sspon.07G0015220-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:38289952:38290835:1 gene:Sspon.07G0015220-4D transcript:Sspon.07G0015220-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVFNGVRFVRLRCCARRGKYLAADADGSSVCLTGQRGVHNAVWAVHHAAGPDGGPCVLLRGAYGRYLLATSVQAGTGPSHGVVTAQDGLGGAPPPPGMLWQAIPRRTTFVIRSGTGRYLRANGRYLRWRRAVTSAGDNGSTMMQWDIENVPIRMTRPCILDPTYQLMHARRRPLTESEVARQIRYVRGETDGSVNEGAWRTMQLNTHNLMQLRLTLACRLGASRDVTRTTLCVRAGRYAHLSPLLVDLPIGNDRIDIVILNHGTPG >Sspon.05G0016080-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:65338286:65345913:-1 gene:Sspon.05G0016080-1A transcript:Sspon.05G0016080-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLWKHIDEDKKSAYAYLDSLWFNMYYHGSNIPNVLKWIKSKRIFSRQYVFVPIVCFGHWSLLVLCHFDDANCSDIKKGPRMIVLDSLNTTGPTRLQSAIRKFIADIYKTEEREESKQFINNIRLEFPKVPQQNGDECGIYVLYFIHCFLQNKKLAEVLENKRLEEDFTQLLDDVWFNPEELENFRKDIHSFQANQNNKIAE >Sspon.03G0012160-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:16709526:16712489:-1 gene:Sspon.03G0012160-4D transcript:Sspon.03G0012160-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPGKTFETRDPRTGDVLAHVAEADKADVDLAVKSARDAFEHGKWPRMSGYERGRIMSKLADLVEQHTEELAALDGADAGKLVLLGKIIDMPAATQTLRYYAGAADKIHGEVLRVSGKYQGYTLKEPVGVVGVIIPWNFPTMMFFLKVSPALAAGCTVVVKPAEQTPLSALYYAHLAKLAGVPDGVINVVPGFGPTAGAALTSHMDVDSVAFTGSTEVGRLIMESAARSNLKMVSLELGGKSPLIVFDDADVDMAVNLSRLAIFYNKGEVCVAGSRVYVQEGIYDEFVKKAVEAAQSWKVGDPFDVTTNMGPQVDKDQFERVLKYIEHGKSEGATLLTGGKPAADKGYYIEPTIFVDVTEDMKIAQDEIFGPVMSLMKFRTVDEAIEKANCTRYGLAAGIVTKSLDIANRVSRSVRAGTVWVNCYYAFDPDAPFGGYKMSGFGRDQGLAAMEKYLQVKSVITALPDSPWY >Sspon.06G0028900-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6C:11818559:11819116:1 gene:Sspon.06G0028900-1C transcript:Sspon.06G0028900-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPQDAGRALGEGWLRQWPAPVLVLVPSTAPPAAQVRNFSKLPACVTNTQVQATQFGEVWRHPARLGEVQFCHPSRRHEVEPLWPRVVKHGAAPRDLDVKARRCWPRSTSSASLELITRSHPTPPIRIEFVGNEALISLSLSLRVSLSYNPNVGYSFHPTSFPYPTTYFPQSKRHLRGIKLTPLM >Sspon.02G0018070-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:56446708:56455953:1 gene:Sspon.02G0018070-1A transcript:Sspon.02G0018070-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VPLPDPSRSLCPRCPIPGPATGNDSGLKFSAFGPLFRLKTASVRLLRDGGFRLSQELLSGYSETVIPLIQRFYSTPTTLPRDNSVCGGEPSHASRPVAKQFKNYGPKKRHGPSQKGHRHETKLPGSGRDGSSRKGSHHEKKLPGRDGPFQKGSHHEKKLPDPAFKPFLFQIVLDTSTSALVPVLDTWLKAGNRLESDQVNMVLFHLRKQRMYNKALKFMEWIDRRKLLNFEERDYASHLDLIARNHGIEAAEKYIERVPEAFRSEVLYETLLVNCVCRDDAQKAEQVFNEIRELSLPLTISACNQMLLLYKRVSRNKVVEILTLMEKENIKPSLFTYKLMIDLKGRSNDTLGMESVLNLMKENGFEPDFGIQTMVAKFYISGDLAEKAEEVINAMEVYVKDNRHAIRSLLDLYAILGRPDDVERIWNLCTEPKLEDFLAAIKAWGKLGHIERAEETFDTLVKTSPKLTSKYFNAMLYVYAENELLDKGKKFIERMCLDGCPSGPLTWDAIVKLYVNSGELAKADSFLVNVTEDNPDRYPLFRSYIILLKAFAEKGDIHNAEKIFNRLKQTSYPARTLPYNLLLAAYANAQVTPYGFRERMKADKYSPSKTQIERLNRLDSL >Sspon.07G0011550-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:41111607:41112062:1 gene:Sspon.07G0011550-1A transcript:Sspon.07G0011550-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKTSRSSIAIVPKPAADPHAQSAEAAAAEKQSPARCALLALVCLLRVGVIALMASSIARSAWRARGDPWELAFVAGPSALLAAIFVCLHRAERLMPEAPRGERWRLQVAVWALSTVMSCVLAYRVSLAMPAALLVTVVWCMTSFVVVVGF >Sspon.01G0056590-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:90904466:90914727:-1 gene:Sspon.01G0056590-1C transcript:Sspon.01G0056590-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDERRRTRPIPPEAPAPPDAPARPDAALPKRPATSRDVQNCPGAACDEPQKEKRKEEKGKRKRKEDSEMEGTGKEKNGEEKLKKGKGGGILTNRLFSELCISELTAKAIREMNYTHLTKIQARSIPHLMEGSDVMGSAKTGSGKTLAFLIPVIELLHRSNFLPRNGTGVVVVCPTRELAIQTHNVAKELMKYHSQTLGYVIGGTNMRSEANQLAEGINVLVATPGRLLDHLQSTSSFKYKELKCLIIDEADRILEQNFEEDMKQIFKRLPRDRQTVLFSATQTQKVQDFANFTFGKNEERQRKLVYVGVDDSELKPTVEGLQQGYCVIPSEKRFLVLYSFLRLKVHKEQMVKVLREEVGEEQKDYILQYDPPDDPKENIITGNYFLNKSAKEAYRSYLLAYNSHSMKDIFDIHQLDLKKVAASFCFKEPPKVNLNLESSASKHRKMRKVYSGQRHGIGPSNLYGRMADMIAANLQDSKMTSSGESDAGSLHF >Sspon.08G0019910-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8D:13536567:13540563:1 gene:Sspon.08G0019910-2D transcript:Sspon.08G0019910-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAAILTVTEATRLVAEVEGAPPLVIARGGYSGLFPDSSQFGYQFALSDSLPEVVLFCDLQLSSDNVGFCKTGLALDDSTLIAKVFPKNDKTYKVNGDDLHGWFSVDFTSNQLMDNVTLIQNVLSRPSIFDGTMGISLVDDVVELRPPQLWINIQYGQFFLDHKLNIEEYISSRAKKIGVNYVSSPEVGFLKSLGGKLGKSNVKLIFRFLDQQLIEPSTKQPYGAILKDLKSVKAFASGILVPKTYIWPVDKDHYLQPATTLVKDAHGLDLEVYAFKFANDFISSYNYSYDPSAEYLQFIDSSDFSVDGVLTDFPSTASAAIACLAHTKDSPLPPPGNDTRPLIITHNGACGLFPGCTDLAYQQAVEDGADIIDCSVQMSRDAVPFCLDSPDLTKGTTAATVFLTKAATVNEIQNGSGIFSFDLLSNEIQTLKQGLKRNPAAKNSGKLMTLVDFLAFSKSSNISGVLVDVRNAPYLATRGIDIVDAVSSTLINTSYDKETRQQVLIASDDSAVLRAFKKFPAFKRVLQIGNAISDVSKASVEEMAKFANAVSISRGSVVQAQGSFLLRFTDVIDKMHAANLSVYVGLLRNEFMNLGFDFWANPMVEIVTYSSLMADGIVTEFPATAAEYF >Sspon.01G0007110-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1C:16604907:16605758:1 gene:Sspon.01G0007110-2C transcript:Sspon.01G0007110-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RPL4 [Source:Projected from Arabidopsis thaliana (AT1G07320) UniProtKB/TrEMBL;Acc:A0A384KN11] MPGAAVASPLLLSLSSSSSPFLSSSSTSFLPPHSTAASPHAAVRTKADVSVLRALRAEAATLPVLSFTGEKVGEVTLDLKSAPPSTARAVVHRAIITDRQNARRGTASTLTRGEVRGGGKKPYQQKKTGKARRGSQRTPLRPGGGVVFGPKPRDWSIKINRKEKRLAISTALASAAVAEDAFVVEEFDEAFASGPKTRDFVAALQRWGLDPKQKAMFFATEFDDNVRLSGRNIGSLKMLTPRTLNLYDILDARKLFFTPAAVDYLNSRYGASVSDDYYTDDEDD >Sspon.02G0008610-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2A:24995357:24995782:1 gene:Sspon.02G0008610-1A transcript:Sspon.02G0008610-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MISAKRLLQMARKWQRIAALARKRVMPILAKESEGSCSTSTSVAGKGHCVVYSADGLRFEVLLAYLGTVVFSELLMLSREDESADGKIMLPCDAAVMEYVMCLLRRDASEEVVGAFLSSMARPCHTVSGVAPWNQRLAVCV >Sspon.01G0052780-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:26177432:26178547:1 gene:Sspon.01G0052780-1C transcript:Sspon.01G0052780-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLWVARLSGFLSAAMAMVVLSPSLQSFPPAEAIRSSQFDGSVRFPGQIAGGARGIAFRRAPSFRNAADCGAGAGNGTAANVCDPSLVHIAITLDEEYLRGSVAAVHSVVQHARCPESVFFHFLVSDPGLGDLVRAVFPQLRFKVYYFDPERVRGLISTSVRQALEQPLNYARNYLADLLEPCVRRVIYLDSDLVLVDDVAKLWRTDLGGRTVGAPEYCHANFTKYFTGRFWSDQRFAGTFVGRRPCYFNTGVMVLDLERWRHAGYTQRIERWMEIQKSPPGRIYELGSLPPFLLVFAGHVAPIEHRWNQHGLGGDNVLGSCRDLHPGPVSLLHWSGSGKPWARLGAGRPCPLDALWAPFDLYGPAGAGAEES >Sspon.06G0003790-3P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:30200517:30203956:1 gene:Sspon.06G0003790-3P transcript:Sspon.06G0003790-3P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDGDGKGRVATAPGGGYGYGYGYGGYEGPEDRKWWPWLVPTVIVACIAVFVVEMYENNCPKHGSQLGGCVAGFLRRFSFQPLRENPLLGPSSSTLEKMGALDWNKIVHQDQGWRLISCIWLHAGLIHLVVNMLSLLFIGIRLEQQFGFVRIGAIYLLSGFGGSVLSALFLRNNYISVGASGALFGLLGSMLSELLMNWTIYSNKATAIITLLFIIALNLAIGILPHVDNFAHIGGFATGFLLGFVLLARPQFSWMERHELPQTNQPPKYKAYQYILWVVALVLLLVGFVISLVMLFKGKNGNDGCHWCHYLNCVPTSKWKCNT >Sspon.02G0027940-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:120113435:120125352:-1 gene:Sspon.02G0027940-2C transcript:Sspon.02G0027940-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:VIN3-like protein 1 [Source:Projected from Arabidopsis thaliana (AT3G24440) UniProtKB/Swiss-Prot;Acc:Q9LHF5] MAASGGDAPELAVITNVCNAASAPEENRLADDSNTISENAQESLSSLPEQESNDASVNTEKKEPGISKCKLVEEIPKTVTVKRCKNIDSKKVSSNNNNNPSFTGSPALKKQPTKGDHLFQLCENGMSQDTKPPSTRICINSACKAVFNSDNAFCKRCSCCICHGFDDNKDPSLWLVCSSETGDQDCCGSSCHIECALKHRKAGCIELGQSIQLDGNYCCAACGKVIGILGFWKRQLVVAKDARRVDNLCSRIYLSHRLLDGTTRFKELHQIVEDAKAKLESEVGPLDGTSSKMARGIVGRLPVAADVQKLCSLAIEKADEWLSSNIQSGTKQNDTLPSACRFKFEDITASSLVLVLKEAVSSQYHNIKGYKLWYWNSREAPYTGEPAVFPKDQRRILISNLQPCTEYSFRIISFTEDGELGHSESKIFTKSVEIIHKNTEHGAEGCSSSAKRAGKRQNVRSLGFQVRQLGNVFRKAQTEENGYPSALCKDEIEDSCDQSDSVIPDKDQVPRGASRKLDLNETSVPDLNAEVVMPTECCQNENGCSSGKNAFTKSNGCGDSETCAEGHIGDAPAMESRSQSRKQASDLEQETCVDDSNLVARAARLFAPKLGQLDDNYEYCVRIIRCLECSGLIEKDFRMKFLTWFSLRSTEQERRVVITFIRTLIDEPSSLAAQLLDSFEDIVTSKRLRTVKLMLLNIFAMMLSAQHPPRPLPLRLFPVLSCAFLWSVCFKTTLQPTQETFFDFTQIVVNFLFTVETGVVADEIVCMSPRLADLAVHVTQLTAPVHVPLAAIAAFDVIMLTG >Sspon.03G0023340-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:89476130:89480028:-1 gene:Sspon.03G0023340-3C transcript:Sspon.03G0023340-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MERAGGGGGRGDEMMMTSGATGRIVPVFRSVLSRRALLRVAVALHSLFLWLLLLARGLRLRRAGSGADASALESGAGPGAGSWNARRRRRLQAAEEEDVRRRRALAEEVPMEEDRATRWATFLVTGARRNALFCRLWAPAADEMRGILVIIHGLNEHSGRYLHFAEQLTACGFGVYAMDWIGHGGSDGLHGYVPSLDYVIEDIEVLLDKIMMENPGVPCFLLGHSTGGAVVLKASLYPHIREKLEGIILTSPALRVKPAHPIVGAVAPIFSLVAPKFQFKGANKRGIPVSRDPAALLAKYSDPLVYTGPIRVRTGHEILRISSYLLHSLKKVTVPFMVLHGTADRVTDPLASRELYGAAASRHKDLRLYDGFLHDLLFEPERDEVGAEIIGWMDGMLRLRRQTG >Sspon.01G0008880-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:29749256:29751808:-1 gene:Sspon.01G0008880-2B transcript:Sspon.01G0008880-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAQAFAKGSVVAPCGNRAAPGLLGRRRGAVAARMAPSAVRIGGSWRKNAFPGGRLTLGLGTRRSRPASRSLFASPAQMNMNLAIGKSMRWWEKGLQPNVREIESAQDLVDSLTNAGDKLVIVDFFSPGCGGCRALHPKICQFAEQNPDVLFLQVNYEEHKSMCYSLHVHVLPFFRFYRGVQGRLCSFSCTNATIKKFKDALAKHKPDRCSLGPTRGLEESELLALAANKDLQFTYTREPELIPRGDAPGEVIAPEPAKLPAATKPLVRLGSEERSLVSSGR >Sspon.08G0009120-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:40179622:40187794:1 gene:Sspon.08G0009120-1A transcript:Sspon.08G0009120-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGPPPLLQSRFRAFGVAAGLLPSTDPKFHSPVTTSTSTSTSPSLPSRRHANQAFQAPRLSSGTFQNSSPVLALPLLHLGLVRLRLHRQRAMGIGRKRRRGGGELGRVAEIVIVLATAGNARGGWAPTAAESALLAEARGALAAAVMGEMELRPRELFPREVVRALVEDLGLTRARDPAVFGYRPLKASIADRVRLTKRKMEGKDASAPSTTVSKMTASSTKNGFQHGASKVTSGSPKTLSTLMSSCQAEKSVAKKGHAVHPVTSSVVMGYQDPKRELPIQRQSIFSNHKAIAKNVEQVLHRPANHSSWTVPSTDYMNTRLDCQICKVAIMNMESLLVCDACERGMHLKCLQHYGNQGLPKTEWYCPTCVAHCKGKPLPPKYGKVTRTIVAPKTCMTGDAQPSQVAAENPTETGGSSCSSKILAGNGSVINQNTNKVGSPVCKSGTLALDAVSKSPSISGAEPQKENVKYEETSSVEKEGNNPPCGGIPTETATLYNGDQSTRASTYGSGNLSGGSHMHIKRSSANPVNYSNLQSTELSGLKHTDHFFIVSSIETCENTRTPYRHPSENEQLPSTRTSADEIHQTDGVANDGIGKPHKHKIMADDAISDHDNAHQVTSNGHIYSKRETVGDCKDRYVGCSTASIVDWVGDGLKSIDNKTYYNSCNIDGVIYSLHDHILIATEGNKSGPCKLQSLWEEHDSGSRLAMVNPYFLGSDIPQSISKPCVEEDEVYGSNDERTVLVSTICGPCEVLHAGKFREETKRRQKEANR >Sspon.04G0018550-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:82589080:82591351:-1 gene:Sspon.04G0018550-2D transcript:Sspon.04G0018550-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRRTARAPWLSSVLMRIERQVVPFSGEYFGLLLHLDWRTCGGSCFGRQVGAAAQILDPGMGSFGMDWNQKASVLWDWELPIGANGSENPRMAAAPQGEAKFAGLEATRHESVHSSCGTFSSSSEMGYGSSKSSVSALTDSSPKAKGNSMELNFAPAKAPDKNTDSGKADDAKTSPSSVIAISSGEPVLSLKLGKRTYFEDVCGGQSVKSLPSDTSAVTPPASAKKAKAAPNTQKSYCQVEGCKVDLSSAKEYHRKHRVCEPHSKAPKVVVAGLERRFCQQCSRFHGLSEFDQKKKSCRRRLNDHNARRRKPQPESLSFGSSRLSTMFYSSNTRQQKSLLFGQDPYGQMRSCASSSWDNPVGGFKFEETKAPWLRAAGVDGFHLSSQKVWNNFMPHGVHQDFDGFTALKGTSAKVLDQ >Sspon.05G0012190-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:37981801:37985275:1 gene:Sspon.05G0012190-3D transcript:Sspon.05G0012190-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGGRALLLLLVSALLVQIRASDPLLYEPFDEDFEGRWVVSKKDDYQGVWKHAKSDGHEDYGLLVSEKARKYAIIKELDEPVTLKDGTVVLQFEVRLQNGLECGGAYIKYIRPQDAGWDAKEFDNETPYTIMFGPDKCGSTNKVHFILKHKNPKTGKYVEHHLKFPPSVPYDKLSHVYTAILKPDNEVRILIDGEEKKKANFLSADDFEPALIPSKTIPDPDDKKPEDWDERAKIPDPDAVKPEDWDEDAPMEIVDEEATKPEGWLDDEPEEIDDPEAAKPEDWDDEEDGEWEAPKIDNPKCEEAPGCGEWKRPMKQNPAYKGKWHAPMIDNPNYKGIWKPQEIPNPEYFELDKPDFDPIAAIGIEIWTMQDGILFDNILIADDEKVATSILEKTWKPKYDVEKEKEKAEEAAAGADGLSEFQKKIFDFLYKIADVPVLAPYKTKIIDVIEKGEKQPNITIGILVSVVVVFVTVLFRILFGGKKPVAAVKPAAEAKKPKATETDGAGSSGDKDEKEDEKEETAAPRRRTRRETIGFGGCYVSE >Sspon.01G0047420-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:103570144:103573666:-1 gene:Sspon.01G0047420-1P transcript:Sspon.01G0047420-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMGLLKDAAAAVESAAALEAAAGAGAVVSAAAVAVAVVPSAAGGSYAVLQCGEDSEYVRQKYTGYFTVFRALLEEDGESWRVYRAVRGELPTDAEAAGFDGFVISGSCADAHGDEPWILDLVDLIRRLHAAGKRFLGVCFGHQILCRALGGRTGRSTKGWDIGVSCIHPTAAAARLFAPLKLPVHMPVIEFHQDEVWELPPTAEVLARSDKTRVEMFRLGDRVMGVQGHPEYSKDILMSIADRLLRHNHILDCQVDVAKASFDVRQPDKELWKKVCRGFLKGRLPSQQQQAVAL >Sspon.03G0041310-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3C:39329860:39336132:-1 gene:Sspon.03G0041310-1C transcript:Sspon.03G0041310-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEQSREDVPQKPDFRSSPEVEHTQRQQLATSFITPFGMYCYVTMPFGLRNAASNNVAEYEALVNGLRIAIELGVRHINVRGDSQLVVDQVMKDSSCRDPKMEAYYKEVRRLEDKFHGLELNHIAHRYNEAVDEFTKITSNRATVPPDIFSRDLHEPSFDARTTEGADDPLLDPPPEVEAPSTGADVMQTKGSTLPANLKPDWRTPYLDCLTRGELSLDKTKARRIVRRAKTFVIYGDDKELYRRSPTGILQRCITIEEGKNLLKDLHSGACGHHAAPRTLVGNAFRQGFYWSTTVSDAIKLVRSCKGCQYYTRQTHLPAHALQTIPITWPFAVWGLDLVGPLKRTAGGFTHLLVAVDKFSKWIEARPITNVRSEQAALFFTDIIHRFGVPNCIITDNSTQFIGKRFLEFCDDHHIHKWVAELPSVLWSLRTTPSRATGFTPFFLVYGSEAVLPTDLEYGSPRLKTYNEQSNDVARENALDQLEEARDIALLHSAKYQQSLRRYHDKHVRRRDLNIGDLVLRRSQSNKGRHKLTPPWEGPYIIAESTRLQAHPKVQGLSSREIAYASGLWYLHSLPHAKGCNRSTRPQAHPKVQGLSSREIAYASGLRYLHSLPHTKGCNCVLKGPSCECALRFKGCRATRSPTPPDYGTSTHPLRQGVQPRAKKTIFGSQALVISRRKHLVFKQPHKSTPGSFAVSIKPRQTASFSSSVLSGSELHGLFRSKLQIDRTNITYNRMCPVRLFPINKEKLLPT >Sspon.01G0026080-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:90731137:90733522:1 gene:Sspon.01G0026080-1A transcript:Sspon.01G0026080-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPFCCAPLVPVSPAASAASAGAASSAVAGAPAVMPPPQPPPVPPPPPRSNSSPIGERRARAAGVSGGGGGGSSPPEGVKLNEIVGGGISGILYKWVNYGRGWRPRWFALHDGVLSYYKIHGPDRIVLSRETERGAKVIGEDSLRRLNRTSASSPSHSNGHHQPRNPIGEIHLKVSTVRESRSDDKRFSIFSGTKTLHLRAETREDRAAWLEALRATKDMFPRMSSSEMVGPGDTAAAVAVSTERLRQRLQQEGVSEAVIADSERIVRAEFEALHKQLVLSKQKNALLLETLRQLETEKVDLENTLVDESQRQSKEYGSASKPRHEKYS >Sspon.04G0009400-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:27861193:27871700:-1 gene:Sspon.04G0009400-4D transcript:Sspon.04G0009400-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding PLRHRHGSTAPRLGFCFACFLPPSLPSGSADCARAHRISRRDRAPHLTPPAPEPTATACLRLRSRGATPFRDSASFSGKERGTLGVVRLACLGGIGAGPAFCVKHLENHTQQNKHRYFILCLGIPIMWKLNPFGGKAQSGLDGRTIDVGSVKITVRNAIAQGGFSCVYLACDTVHPSKMYALKHIICNDSESLDLVMKEIQVMNLLKGHPNVVTLVAHDVFDMGRTKEALLVMEFCEKSLVSAMESRGSGYYEEKKVLLIFRDVCNAVFAMHGQSPPIAHRDLKAENVLLGLDGAWKICDFGSTSTNHKCFNKPEEMGIEEDVIRKHTTPAYRAPEMWDLYRREVISEKVDIWALGCLLYRICYFKSAFDGESKLQVLNGNYRIPEQPKYSAAVWFRVNELLPLELQKRLPDGPSPAVSLQDEGAHKRTPVMPRRNPPPPPREQSNSSLSHGSSKAGDAPLGAFWATQHAQGAQAADNRNPLFDEEPIKTSLSSKQNQSWVDTSISIPGDRHGHSGQMSRTSKAQSNSLSNNGFRGLSDTEIQNSGKIKAQQPQPKPKCEKDPFNSFVADFDTHNLNIAVGKASELELEVSSLKEQLKKTTLEKAEMTAKYEKLSAICRSQRQEIQELKRTLAEAMPPSNKVSSRTQESGSQRKEKIQGTVWELEQGMLASNSSSASSDAKTWQAFPDTETQARPKVDHATNGSQNITKNTTSGASPDAWGFGSDNFRTSAAAVSAATQINRAAAQGSSSRRFNAGVAKKVEQPSGWAGF >Sspon.01G0045960-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:95482235:95483302:1 gene:Sspon.01G0045960-1B transcript:Sspon.01G0045960-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAACVEAALAAAAGAARPIRKRTRIAMGSTDDYEETGRIGTGAFGSVHKARHRGTGRTVAIKRLAAADGSQVALLREASLLEASGRDNPYVVGFHGLARSPATMNLCLVMECVGPSLSDLLHERRCGGMPPLPEATVRAVMWQLLTGAKKMHDAHVVRRDIKPDNVLVAEDRSTVKICDFGLAMYMAEPPPYENAGSLWYMAPEVLLGKPDYDALVDTWSLGCVMAELIDGSPLFMASNEADQLDVIFNVLGAPDETTWPWFSSTPFATKLMPELKMKRRNLLREVFPMKKLSMQGFRVLSGLLTCNPDKRLTAAAALKHPWFAKMNNAPELHLEKKEQVPSTLPKIKRIRVLCP >Sspon.07G0027010-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:59901418:59903877:1 gene:Sspon.07G0027010-2C transcript:Sspon.07G0027010-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MANNGDTLSVGQTLVVGEKLISRNGKFAFGFFQFQPAPGTTIVSKSTLSSLGWYLGIWFNKIPVFTPVWIANRDKPITYPELRLTQLKISRDGNLVIASTAESTLWSTAHFVDRSIAETSTNTTTNAAATTAVLMDNGNLALIAAGSSSSNGPSLWQSFDYPTDVGLPGAKLGRNKVTGLNRRFISKKNHIDPGLGSYILELDTNGVLSHRRRKPPFVVYLSWPSGKLAYTLVKTLIGLLDTDARTKGLLLPKYVNNHEEEYFTYESLDESSSTFVSIDVSGQIKLNIWSQEKQSWQTVYAQPADPCSLPDVCGPFTVCDGNSSPLFCSCMESFSPNSPRDWEAGDPTGGCVRSIPLECTASNNKNMASSSSDMFHTIARVTYPRYPHSIQDATTQRQCAEACLGNCSCTAYSYNNNKCSVWYGELLSVNRNDGIDNFSDQVLYLRLPARDSQDLTRNNKRKPSIVTVAIIASCGFIVFMLLLLLIIWSNKLKWCGMLPLHGSQGSGGGLTAFRYSSLVHATKNFSERLGGGGFGSVFKGVLGDQTMIAVKKLDGARQGDKQFRAEVSSIGLIQHINLVKLIGFCCEGDKRLLVYEHMSKGSLDSHLFKSNASVINWSTRYKIAIGVARGLCYLHQSCHECIIHCDIKPENILLDASFVPKIADFGMAAIIGRDFSRVLTTFRGTAGYLAPEWLSGVAITPKVDVYSFGMVLLEIISGRRNSPEVYSSNSCHVAYFPVQAIGKLHAGDIGSLVDPRLQGDFDLEEVERVFKVAFWCIQDNEFDRPTMGEMVRALEGLRGLDMPPMPRLLAAITEPSHAA >Sspon.02G0031030-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:113588010:113593081:1 gene:Sspon.02G0031030-1A transcript:Sspon.02G0031030-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDILAMKLLSSVAASPPRPISRHPSSHSVSRAYSVSSTPQSPNPFRSHTNLPGIHTSRSTADLANMSSPHPRISHLSSTHSLVGSHLSPPISIRYSLPQLAHSGHGSPSPHVSSPYISNSGYGSSSYYYLGGSSQHGSYLRRCGESGPSLSTMVPRLPQQFQSEANVAVGTSSAQSLRQSYLRGCGDSDASLSDMMPAQSLPQQFQSEANVAAGTSSAQSLRQSYLRCCGDSDASLSDMTSAQSLPGC >Sspon.05G0002630-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:49677327:49682007:-1 gene:Sspon.05G0002630-1P transcript:Sspon.05G0002630-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMASMSDSETTNRGSMWELDQSLDQPMDEEASQLKNMYREKKFSSILLLRLAFQSLGVVFGDLGTSPLYVFYNIFPHGVDEDEDVIGALSLIIYTLTLIPLMKYVFVVLRANDNGQVLSAAGGIRVQNQNMSTGTAVVIVMLVTTFLMVPVMLLVWKSHWILVVIFLVLSLTVELPYFTACINKVDQGGWVPLVIAITFFVIMYVWHFCTVKRYEFEMHSKVSMAWILGLGPSLGLVRVPGIGFVYTELASGVPHIFSHFITNLPAIHSVVVFVCIKYLPVYTVPAEERFIMKRIGPKNYHMFRCVARYGYKDIHKKDDNFEKMLLDRLLIFVRLESMMDGYSDSEDFTMMSRRPRDPPDRFS >Sspon.01G0022790-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:81902622:81909742:-1 gene:Sspon.01G0022790-3C transcript:Sspon.01G0022790-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKAVANGAAAADVAAPDNKDSAKEEASESKEAVANQDAEEQNKGENGAEGESGGDVKMAEAETAEEGDGDAGAAKQVDSGDVETDADTKEDATAKTGEGEDVKMTEADAGSTEVKDKEEKEHEVEKEKEDEVENTNADKQDESKEQEKGVSAEQEENKGKETDADEKQEEEEAEEKGSADKKDEDEAEVEGDKKMEENKEETPKNKKARSARDRSQGRDKKQDGSKSREAKSLLNTPSPYGTDRPQRERKTVERLVEVIEKEPNRNFVVEKGRGTPLKDIPSVAHRISRKKPSDLKFLHSILFGRKGKAVDFKGHILQFSGFVWHESDEKQRAKAKEKLDKCVKDTLLDLCWTLGIPTPKANIRKEDIVSKLLDFIAEPHSPADSGLSDDQKFVDDSTSSKRQKKALQYDTDEDEDEDESMKSDSEENRDEDSDEAADKQEGDYGSGKEKAGKKLSKVKESSGKKKADTGSGHKSGPPKKIMKSPVKKVSSKNHEEKESPNDSAKVFSRKKKPTAEKEIKEKKSSGKKVTKGKGESAEAVLPSKDDLKKTITEILKKVDFNTATFSDILKKLDNHYKMDLTPKKEAIKVMIQDELTKLSEEDEGEGDGDKHQQPQAKEIEA >Sspon.04G0023930-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:15915524:15917221:1 gene:Sspon.04G0023930-1B transcript:Sspon.04G0023930-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding PAVPAPPLPPPPPPPLPPPPQAYKHRCKVCKKGFMCGRALGGHMRAHGVTDDGLSADDDALDDDDDDDDESVPCGGAWDSSEAAAAATTKRMYSLRTNAGRHKNCRACENCGKEFTSWKSLLDHGRCNYDREKDDLNIAGADIDGEDEEDLALVAGGWFKGKRTRRTKVIVVDNGSQIDEPQLVPTTSREEEDLANCLVMLASSSGGLQPQAIVDVQQQPYDASTSKNERTSASKHAQRLLMPPQPISMLPPTVPQFKFLAPPQVMPSPVMPRGLFECKACKKVFTSHQALGGHRASHKKVKGCFAAKLEESSHNDSPQPSAASSNDNVKVVVEAIPAIVDTTESNGVDGNAEVNMLNARTTAIVAATSAPEMANIMQVDEAPSSSTVSPFKKKGKVHECSICHRVFMSGQALGGHKRCHWLTTGAGDPTTAVAKLPFITQDHVMHAAMCQQLTLGPPVYGTSDSCLDLNVPMNQSADPAATRQAAGINESMLSLNAPASLYMHSWAGHTNACNMHNTAATSGHYDLPEATAATEDEADSTSAKRAKISDLKDMNMAGETSPWLQV >Sspon.03G0001390-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3A:3427193:3427471:1 gene:Sspon.03G0001390-1A transcript:Sspon.03G0001390-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GSGLCDLKPDRCVASDQIDSYLEPDGRSGITSPSPRPPRLPSDSLLSLRTGGTREPGEDEHALSAGPKAHHAPHPSAPGSPRPFPGARAMPP >Sspon.03G0032470-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3B:37918716:37922945:1 gene:Sspon.03G0032470-1B transcript:Sspon.03G0032470-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding FGASNRVGPGRSGKSLVKGHGPLGVSVPEPWAVSAEWVLGGLYQRESVTTSRPGWWWRGARAFAWLSQGMPNVLRSKRTQPLFNIHSIHHLSISHSAARPAPPAAAPTRPPAAPAVAPCSCPTVPAPLPAPLDDTDRRCQRKEKRRALARKPSGLVSCYGCGAPMQMAEEAIGLCTWEAGGGHERSCEGNIRVWHGPRVGVGDAGAVEQRWTARAHARTCEMRGKLAAAVDIRPDVRAPAVPFLSSCFGACVGNAPPTCTTSPTRRSSASSVGPSTDIVPLCRSPEEAKQKNHGDRRALQPCRGSMKHKWEHYGEEGVCEEDAVCCNDEIYLPVLDCQ >Sspon.01G0023670-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:90031381:90044911:-1 gene:Sspon.01G0023670-2B transcript:Sspon.01G0023670-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRFRRFFSSSIISPRFVELLFCRLPVSSICGRPAGLSAAKKPRLFAGSFKKGKPRFREQDAGRSLLNSQALNWSWKCGDFLIGDIRWGLSLLPSELHAAPAVRPILPIVSRLPECRKKDIVALQTINMGWLSKIFKGSVNRVSRGHYNGNSHEGYSTQHTKSYGAHGNEDEDMDHAIALSLSEEDQRKGKAIDAGHHLDEDEQLARALQENTGHDLDEDEQLARALQESMNDGPPRRDIPIEDVHSESAPASSLPSNIFPTSGLRVCAGCKSPIGRGRFLSCMDSVWHPECFRCYACDRPISEYEFAVHENHAYHRPCYKECFHPKCDIPTNKNGLIEYRAHPFWMQKYCPSHENDGTPRCCSCERMEPKDSQYITLDDGRRLCLECLHTAIMETNECQPLYIDIQEFYEGMNMKVEQQVHHLPETRGLCLSEEQIVRTILKRPIIGPGNRIIDMITGPYKLIRRCEVTAILILYGLPRLLTGSILAHEMMHAYLRLKGYRTLSPEVEEGICQVLAHLWLESEITSGSGTMATTSDASSSSSSTSSSSKKGAKTEFEKRLGEFFKYQIETDSSVAYGDGFRAGMRAVERYGLRSTLDHIKMTGPRA >Sspon.08G0017960-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:5407876:5417467:1 gene:Sspon.08G0017960-2D transcript:Sspon.08G0017960-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADVLDPASDAPRARRPPPPPPDSPEDRSPQLPPPPPGGPPAASRKRSRSPPPPPPPPLGSSRPQRYRDHRGGGGRGGSSPSPPPYRGSRRHSPPRRSPSPPFKRSRRDDGYDRRGGRGSPPRYGYDDRRRGYDHERGGGRAGYEDDRNHGRYLNRAPEWPDSGYGAANDGPGVTQREGLMTYKQFMQVLEDDISPSEAERRYQEYRTEYVTTQKHAYFDLHKNEDWLKDKYHPTNLLSVIERRNERCKVIAKDFFLDLQNGTLDIGPGITAGAASKPGGSDGNSEDDMDSDKRRKHGKDSAKGTDSLSVAPKAHPVSSESRRVQADIEQTLALVRKLDAEKGTLRRALRAMYCQVVIMTSQT >Sspon.03G0028730-2P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4B:64875430:64876515:-1 gene:Sspon.03G0028730-2P transcript:Sspon.03G0028730-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLKPTRDSLGRKPLSDALHRNPGVVLNGQGPGRHPLSGASYRDPDTMKNEQGSGHRNLSGALHLRPGVVKNEQGSSHFPRRVRRVRKLAEPTRIHLGSWNVGSLTGKLKELVDAAIRRRVSILCVRETKWKGQKAKEVEDTGFKLWYTGTTSGRNGVGILIDRSLKDRVVDVRRQGDRIILVKLVVGDSALNVISAYAPQVGLSEGTKRQFWEDLDSMVSTVPISEKLFIGGDLNGHVGATNVGFERVHGGFGYDSRNQEGEDVLNFALAYDLLIANTLFRKRESHLVTFRSGQHSSQIDFILARREDRRACFDCKVLSGECVVPQHKLVVADFRFRVRVPRDKRPKIARTKWLKLRGEA >Sspon.05G0002620-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:12824343:12828286:1 gene:Sspon.05G0002620-3D transcript:Sspon.05G0002620-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLHLLSSSAAAAKLAAGLRLAPLRRCHSFAPAPRHTDRVALASSFSVAARSASPAVAAAQTELSVSGKKQVLISLSDKTDLAYLGNGLQGLGYSIVSTGGTASSLEAAGVSVTKVEEITHFPEMLDGRVKTLHPSVHGGILARRDQEHHLKALKDHGIGTFDVVVVNLYPFYDKVTSGNISFEDGIETIDIGGPTMIRAAAKNHKDVLIVVDHNDYPALLEYLKGNQEDQQFRRMLAWKAFQHVASYDSAVSEWFWKQSNKGEMFPPSFTVPLELKSTLRYGENPHQSAAFYTDKSLSRVGAGGIGNLIQHHGKEMSYNNYLDADAAWNCVSEFDSPTCVVVKHTNPCGVASRQDILEAYRLAVKGDAVSAFGGIVAFNTIIDEDLAKEIREFRSPTDGQTRMFYEIVVAPGYTDKGLEILRGKSKTLRILEAKRSGKGMLSLRQVSGGWLAQESDDLTPEEITFTTKSERSPQENELADAKFAWLCAKHVKSNAIVIAKNNCMLGMGSGQPNRRESVRIAFRKAGEEAKGAALASDAFFPFAWNDAVEEACQNGIGIIAQPGGSKKDEDAIACCNKYGVSLVLTGVRHFKH >Sspon.08G0017680-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8B:2528338:2528913:-1 gene:Sspon.08G0017680-1B transcript:Sspon.08G0017680-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHAPREPHLAAMKRLLRYLRGTLGYELLLGRSSTAELVVYTDADWAGCPDTRRSTSGYAVFLGGNLVSWSSKRQPVVSRSSAEAEYRAVANGVAEAAWLRQLLAELHRPLARSTLVYCNNVSAVYLSTNPVQHQRTKHVEINLHFVRDRVAIGDVRVLHVPTTSQFADIFTKDLPSSTFSEFRSSLNVSSG >Sspon.06G0012540-3D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6D:49856653:49857925:-1 gene:Sspon.06G0012540-3D transcript:Sspon.06G0012540-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAALTTTTTTTTTAAAASLAFDRHRHAAEVSSSCIAAERAALLSFKAGITSDPADRLRSWRGHDCCLWRGVRCSNTTGRVVELDLRNDHCEEDNFFSDLDRGNHWLGGQISPSITALQYLRHLDLSGNLPGGPGVTIPDFLGSLKSLVYLNLSSMDFDGRVPPQLGNLSKLELDLSFNYLFSGPSSPNWWFWDLGSRLFGSFPDELGNMTSLEVLDLGNNNLNGMLPETFRNMCNLNTLTLSYSNIGVDIAHLMERLPSCPERKLRELDLSQANLTGNMLNWLPNQSSLNILDVSDNQLSGPMPIYGDRSTYKLELPGCIRQQLEGCHIRRALYQASKFETS >Sspon.07G0009060-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:23570839:23571471:1 gene:Sspon.07G0009060-2B transcript:Sspon.07G0009060-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SMGKVRSFFSRSRSGKRATGSARAGSSSPLSSAPPSPSPSPFARRSSSARRSLPVPASAAGNDETERVFRKFDANGDGQISRSELAALFASVGHAATDDEVSRMMEEADADGDGYISLPEFAALMEAASADAAAVEEDLRHAFSVFDADGNGLITPAELARVLRGLGEAATVAQCRRMIQGVDRNGDGLVSFDEFKLMMAGGGGGFGRIGA >Sspon.02G0022820-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:31336840:31337838:-1 gene:Sspon.02G0022820-2B transcript:Sspon.02G0022820-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SNGLRQQLDPRDRVAGGRTAPVPRRRVGLAHPGAQARLPRCRERPPRGGRRRRWQRQAVQLHRSHAVHLHEGEARFPGPGQVRVQANAHRGRRHRRWHRDGDVAHQGGARGRRRQRGEGGDDVQAAAGRGGEGRDHQGQGVAHRHFQGCRGLPRRQPRRLQ >Sspon.01G0037070-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1C:14981158:14981781:1 gene:Sspon.01G0037070-2C transcript:Sspon.01G0037070-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RRGPAGARPAVPGGGSYSSFPTWQPYNHLHKGGAWTSAPALPYARSPTPMIYSSPSLPLLPSNQPPLLPLPPIATKYATFPGLPAAPPPPPPAPRAAGRAAAATTTVSAAAAPAPAPPRQRDRRRRSARPPPTTTERSSTPQKKKPLERATPLPPAPVVTEALDDLEQEVARNFVQDLLHVLAPPPSSLPLPSFSLVVKASPARDTRV >Sspon.05G0010200-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:24111345:24113337:1 gene:Sspon.05G0010200-2B transcript:Sspon.05G0010200-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ASPLLRPHSHVAAAAVLHSARRADRHGRCPATVGRFHDHGCSKSGRSKVSGSVKVSAFPSLDVVPLMVTMVEHVDMSRDYVVTKSIWHLSDAALKSVPFYDSDTYRSAGGDGTVHWYYDRVEDLEASAREELLREELLEEIEQRVGGLRELEEVVTKCDITARHREFKAYIYTCTVWLAWRPQPAGLAGSYAYASSGERCAQKCSGGDRAAPGGPAGLEGKDVWPRRALQQPEPWGAD >Sspon.02G0000500-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:21319019:21322793:1 gene:Sspon.02G0000500-2P transcript:Sspon.02G0000500-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to 26S proteasome subunit 4-like protein (26S proteasome subunit AtRPT2a) [Source: Projected from Oryza sativa (Os03g0298400)] MGQGSPGGMGKQPGLPGDRKQGDGKKEKKYEPPAAPSRVGRRQKRQKGSEAAARLPAVAPLSKCRLRLLKLERVKDYLLMEEEFVANQERLRPSEDKTEEDRSKVDDLRGTPMSVGSLEEIIDESHAIVSSSVGPEYYVGILSFVDKDQLEPGCAILMHNKVLSVVGILQDEVDPMVSVMKVEKAPLESYADIGGLDAQIQEIKEAVELPLTHPELYEDIGIRPPKGVILYGEPGTGKTLLAKAVANSTSATFLRVVGSELIQKYLGDGPKLVRELFRVADDLSPSIVFIDEIDAVGTKRYDAHSGGEREIQRTMLELLNQLDGFDSRGDVKVILATNRIESLDPALLRPGRIDRKIEFPLPDVKTRRRIFQIHTSKMTLADDVNLEEFIMSKDEFSGADIKAICTEAGLLALRERRMKVTHADFKKAKEKVMFKKKEGVPEGLYM >Sspon.01G0054900-2D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1D:67678979:67679704:1 gene:Sspon.01G0054900-2D transcript:Sspon.01G0054900-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVANAENTSSLLPLDGRVALVTGGSRGIGREVFFRDQLRVQLRQGRRAGRGAHLARPARRGRADVSDPDAVRVLFDRAEEAFESPPHVVVASVGLLNPKYPALADTTVEDFDAMFAVNVRGTFLVCHEAARHVPPNSGGRIVTFSSSILGTLLPGYVAYTATNGAVEAMTRILAKEVAARGVTANVVAPGPVRTELFFAGKDEAFVRKVEERSMGRIAETTDVASVVKFLVSDAASWVNGQ >Sspon.03G0026300-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3C:7515103:7518715:1 gene:Sspon.03G0026300-2C transcript:Sspon.03G0026300-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-specific phospholipase C2 [Source:Projected from Arabidopsis thaliana (AT2G26870) UniProtKB/Swiss-Prot;Acc:O81020] MAAARSRPRPRSLAPPVAVLLLLLLLAGPAGSSTSTTSPIKTVVVLVMENRSFDHMLGWMKRLNPEIDGVTGREWNPANTSDPSSGRVYFGDSFQEIRQQIFGSDDASGPPRMDGFVQQASSIGGGNMTDAVMHGFAPDSVAVYRELVSQFAVCDRWFASVPSSTQPNRLFVHSGTSGGATSNNPTGRCLLAPPAALHPHTRVPEMETRLSYGTVISLSSVDAHELIEQVAVSVDSIPSVSETLKLKLSRLLAKGYPQRTIFDNLHDAGLSFGVYFQDVPAVLFYRNLRKLKYLLDFHPLRPSFADHASRGVLPNYAVIEQHYLDSKLDPANDDHPSHDVYQGQMLVKYVYETLRASPQWNQTLLVITYDEHGGFFDHVPTPVAGVPSPDGIVGPPPYNFTFDRLGVRVPAILVSPWIEKGTVVHGPTGPTPTSQYEHSSIPATVKKIFNLPQDFLTKRDAWAGTFEGVVQGRTEPRTDCPEQLPTPTRIRQTEADEEAKLSEFQQEIIQLASVLNGDHQLASLQDRIRDEMNVREGIDYMKAAVKRYFEAGASARRMGVDGEQI >Sspon.01G0021660-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:25454341:25456470:-1 gene:Sspon.01G0021660-1P transcript:Sspon.01G0021660-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVTLLRLPLARLSYHLRAVPSSRLPHPRLRFFTSHRLFSSLDHGSASASASAAVAEVISVPDAEELVEEESAAEAEAEAEAPRSFVLPRLPRPKLSVKERKELASYAHGLGKKLKSQQVGKSGVTPNLVSAFSDNLESNELLKLKIHGNCPGELPDVILQLEESTGSIAVDQIGRSVILYRPSTSKMKKKQQVAENARRFSRPEEGNARRFVKSEESFEERPRNSSGRRFVGSGSTFRGQQKRRPMASKGSSYGRG >Sspon.01G0042980-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1C:66541433:66545380:-1 gene:Sspon.01G0042980-2C transcript:Sspon.01G0042980-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAPRESESPDAPSRQPKPTRKSTRPPPMSSAAAPDQPPRPVRFGILGCADIARKLSRAMRLAPGAAIAAVGSRSEEKARLFAADNGLDVVATRLHGSYEALLEDPDVDAVYLPLPTSLHVRWATAAAARGKHVLLEKPTALCAADLDAILAACDAAGVQFMDCTMWMHHPRTAKMRETVDDKDAIGDIRTSTPSVSLRARWLTWPQDRSDAPLHRLPRWPAWRDRPSLATFCLIASCCTSSVPLPPTAFRLGDPSLPVPHDPLPPGRTARMFSRIYRCLGRGWPSRGKEPPTCPYHPLEPRHFLVCARFVARHPDLATSAAAVSGLECTRRGWADASVSAVERRRTAPSHVVGSPRYPSAPTVTTARTSRMERRRWSARLLGQRGSLVPGVAAVCRRSAAPSRARAQGSPRCKDGRAGSLVQSCRRAGRARDQVALLGRRC >Sspon.04G0029340-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4C:74311374:74314512:1 gene:Sspon.04G0029340-2C transcript:Sspon.04G0029340-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLAGPFSPQPCGLPHPAHPATPNNPQATLVVACPLSPALAFCLWRRRVAAADALHQVPKTPSSGPLPARRLGGRRHPTSVAQVSILGTIAGDERHQNLQYGPKSHIGDEILGNCKSISPNNPKTFNYLTPLLVSLMTTNGTSGGGKLPRKRFYRARAHSNPLSDSHFPVPISPEEVDLSQHYPRYFPADKGNDGEAAAAPQQIRFADVGCGFGGLLVGLSPLFPDTLMIGMELRDKVTEYVKERILALRAANPGQYDNISVVRTNSMKYIPNYFRKAQLTKMFFLFPDPHFKEKNHRRRVISMQLLDEYAYVMEVGGIIYTITDVEELGEWMRSCLEKHPLFETVPEEEIKADPVFKLLSTATEESQKVARNGGQTFHAIFRRISLQEE >Sspon.04G0030530-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4C:1749756:1750943:-1 gene:Sspon.04G0030530-1C transcript:Sspon.04G0030530-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPLSNGAVYQSVPDTYVLPEHKRPGSSPPSSTAAIAAIPVVDLGGDDPDRMAEQIVAAGREFGFFQVRNSSVCSSSLVSPGAKLSLLRTQELLNPAELRPWALCVTDVQVINHGVPEDVMGAMMSAAEEFFKLPTEEKMAHYSTDSTKLPRFHTSVGKEQEQLLYWRDCLKIGCYPFEEFRRQWPDRPAGLAEALEPYTAAVRGVALRVLRLAALGLGLADEAHFELEAGEVTAGPVIMNVNHYVACPDPSLTLGIAPHCDPNVVTVLMDNGVRGLQARRHGGGGGGGEGWVDVDPPPGGLVVNFGHQMEVVTNGRVRAGEHRAVTSARAARTSVAAFVMPAMGCVVSPAPGMVAEGEAPFLRPYTYQEFVGVYTAANGDRDAVLAQLRNHNG >Sspon.08G0014090-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8B:53609745:53611117:-1 gene:Sspon.08G0014090-2B transcript:Sspon.08G0014090-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMVAIKVLKPDGLQGQSQFEQEVAILSRVRHPHLVTLLGACSEISTLVYEFLPNGSLEDFLMCAEKRQTLPWQIRVRIISEICSALTFLHKNKPHPVVHGDLKPANILLDVNLVSKLSDFGISRHLVQSSSNNTTMYRTMHPMGTLQYMDPEFFATGELTCQSDIYSFGIVVLRLLTGKPPDGIKKIVEDAMEKGDLNSVVDTLAGDWPFVHVQQLALLALSCTELSRKRRPDLSAVVWAVVEAMRDAATIPSASSSRSVSDENSTPSYFICPISQDVMDDPHIAADGFTYEAEAIRNWLDSGHDTSPMTNMRLEHDELIPNRALRSAIQEWLQQHNMA >Sspon.07G0020120-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:68953476:68956691:-1 gene:Sspon.07G0020120-3D transcript:Sspon.07G0020120-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSVQAATAPMAAKKQLAAAVAPAETEEAKTKSMAALMSLLRATMSEEKAGAGAAAIGGTGEEKVEWLRSQLIGKDAEFDTPFGRRALTYADQTASGRSLRYIEDYLVTEVLPFYGNTHTEDSHVGSKTTRLVHKATRYVKRCMGAGPGDALLFCGAGTTAAIKRLQEVMGVAVPSVELRARVAAQLRAEERWVVFVGPYEHHSNLLSWRRSLAEVVEIGVDADGLLDVAALRRALASPELADRPMLGSFSACSNVTGVVTDTRQIARVLHEHGAFAGPYVKIDMKSGEIDGYDAVFLSPHKFVGGPGTPGILVMNKALTGSTLSLHPHVAVAPWPTSTDTLYYDDIEEREDAGTPPILQKIRASLAFWVKEYIGYDRMSLREQVYSEMAMKRLISNPNIRVLGNMDVERLPIFSFLIYPPVTNNPLHEAAAADEPAFKRLPLHGRFVTRLLNDLFGIQARGGCACAGPYGHTLLNIKNDLSLRIRSAILEGYSGLKPGWTRLSFAYYLSKEEFNFILAAIEFIALYGHRFIPLYKFDWITGDWTFRKQAIKYHIMKEELALATGLHLLAENGQPKVSDKLTNKPGVSHDKFESYLEHAEKIALSLPDISQQTVNIPKGVDPDLVLFHI >Sspon.04G0033150-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:44865775:44871440:1 gene:Sspon.04G0033150-2D transcript:Sspon.04G0033150-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAAAEAAPGDDGLRKLEYLSLVSKVCSELETHIGVGDKVLAEFITELGRDSTTVSEFDARLKEKGADFPDYFVRTLLTIIHAILPPTSSNPSSAAVTAGPAGAEAAKFPGLARPDDPDHARNLRLELERDADAAAPAPARDDRDRRWDERGRDRDDRDYGRGGRDHDRDRGGRDWDRGRDREYGRDRDRDRGRDRDGDRHQDRDRGRDRDTGRNRDRDWGRSRRYADDEEEERGDGGRGRGRELAASNPSGEPELYQVYRGRVTRVMDTGCFVRLEDVRGGREGLVHISQMASRRVANAKEVVKRDQEVYVKVVSVKGQKLSLSMRDVDQDTGKDLLPMQRGGDDAPRVNPSGGNGSGMGSGKRLGLSGIVITEEDEAAPTSRRPLKRMSSPERWEAKQLIASGVLDVRDYPMFDEDGDGMMYQEEGAEEELEIELNEDEPAFLQGQSRFSIDMSPVKIFKNPEGSLSRAAALQTALIKERREVREQEQRAMLDSIPKDLNRPWEDPMPDTGERHLAQELRGVGLSAYDMPEWKKEAYGKALTFGQRSKLSIQEQRQSLPIYKLKKELIQAVHDNQVLVVIGETGSGKTTQVTQYLAEAGYTTRGKIGCTQPRRVAAMSVAKRVAEEFGCRLGEEVGYAIRFEDCTGPDTVIKYMTDGMLLREILVDENLSQYSVIMLDEAHERTIHTDVLFGLLKQLIKRRSDMRLIVTSATLDAEKFSGYFFNCNIFTIPGRTFPVEILYTKQPESDYLDAALITVLQIHLTEPEGDILLFLTGQEEIDHSCQCLYERMKGLGKDVPELIILPVYSALPSEMQSKIFDPAPPGKRKVVVATNIAEASLTIDGIYYVVDPGFAKINVYNSKQGLDSLVITPISQASAKQRAGRAGRTGPGKCYRLYTESAYRNEMSPTTIPEIQRINLGSTVLNMKAMGINDLLSFDFMDPPAPQALISAMEQLYSLGALDEEGLLTKLGRKMAEFPLDPPLSKMLLASVDLGCSDEILTIISMIQTGNIFYRPREKQAQADQKRAKFFQPEGDHLTLLAVYEAWKAKNFSGPWCFENFVQSRSLRRAQDVRKQLLTIMDRYKLDVVSAGKNFTKIRKAITAGFFFHAARKDPQEGYRTLVENQPVYIHPSSALFQRQPDWVIYHELVMTTKEYMREVTVIDPKWLVELAPRFYKGADPTKMSKRKRQERIEPLYDRYHEPNSWRLSKRRA >Sspon.06G0006900-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:22967320:22970255:-1 gene:Sspon.06G0006900-2B transcript:Sspon.06G0006900-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRITIEFKSSEREQTQHIHTVCGIAREDRASMVVKVWVFIMALMCIGVVGLGADDGSAASSWDGGSLLSRCRLSSPNYHGALAKAILFFEGQRSGRLPSNQRAKWRGDSALTDGQPENVNLTGGYYDAGDNVKFGFPMAFSVTLLSWSAVEYRDEVAAAGQLRYLRSAIQWGADFLLRAHTSPTTLYTQVGDGNADHQCWERPEDMDTPRTLYKITQSSPGSEAAGEAAAALAAAYLVFRDDRDKTLATQLLAASRSLFDFANDYRGSYQLSCPFYCSYSGYQDELQWASAWLYRATKDTKYLDFLQNNQGGSATEFSWDNKYPGAQLLATQEYLGGRTVLEGYKRGLDSFVCAVMPNSGNTQIRTTPGGLLFTRDSVNLQYTTTAALLLSIYSKALSSVGGQVVQCSAASFSPDQISSFATSQVDYILGDNPKGMSYMVGFSSKYPRRIHHRGSSIPSIKALPRKVTCNEGFSSWFPTSNPNPNTHVGAIVGGPDGNDQFSDNREDSTHSEPATYINAAFVGACAAALGQQNQHKEPVDDIASALSDII >Sspon.07G0008060-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7C:17808053:17811053:-1 gene:Sspon.07G0008060-2C transcript:Sspon.07G0008060-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTTTTDGRNGEGEQKKREKGGFKTMPFILGNDICDKFASTGFGANFITYLTVQLHMPMVEATILLTNFGGTSSLTPILGAIAADSFAGRFWTIIVGSVFYQLGMLGLLVSALLPSLRPPPCSTPPSGPGCQRSSGLQLTVLYLSLLCKSVGTGGLRPCVVMFGTDQFDHEPGPEQQQVTAEAAEAAAERKRLYFNLYFFAGGVASMLALTGVVYIQDHVGWGWGFGIPAIAMFVSIVVFLIGYPLYVRLKPGGSPFTRLTQVAAAAFKKRNVVVPEDMGMLYQDKELDVLISTNGRLLHTNQLTFLDRAAIVTPGDISASGQPDLWCLSTVHRVEELKSIVRLLPIWSAGIMLATVEAHGPFTTLQARSMDRHITPRFEIPAATMSIFGTMAFLVSLALYDRAFVPLARRVTGLQSGITYFQRMGIGLAIHILGVATAALVETKRRHAAAADGLLDKPTAVVPLSVFWLVPQFAVNGVAGAFSSVGHMEFLYDQSPESMRSTAAALFCLSSSIGHYLSTVLVTVVQRTTRAHGNDWLQDNINRGRIDNYYWLVTCLMVLNLGYYLICFRFYTMKPLEMADEQDDHDGE >Sspon.03G0001560-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3A:3827466:3827783:1 gene:Sspon.03G0001560-1A transcript:Sspon.03G0001560-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEFIGNAMPNGAHNFINIGHSAALGSLGGSPTVFGQEQFANAQMLSRSYDGEPIARLGINGGYEFGYSTAIGGSGAVSGLGTLGISPFLKSGTAGGDEKPSGGQ >Sspon.07G0003810-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:8541930:8547521:-1 gene:Sspon.07G0003810-2B transcript:Sspon.07G0003810-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVRRRQPRRPETGAAERYREMGIGAALSRPWDYPTACGELAALLRLGYADLPKTAQALVASDVLLALRLLPDVQTGYAVSTANVLLQAVEVALPKQKKAQAVSEFKHSIIAHKRRARVQQNSGSPDMPQDILVHIFSFLDMHSLVAAGLVCWSWNSAANDNKLWKMNYSIFFGLSHLSCNNIPVSGVQYSMNSVSDYPTFSWKESFHSKYEECASWKFASNRALCAHCRSVIWLSNLTCASPHHCPKNRQDEVKLRPLLPDTVAKYILHVEDIAASSSESDDTDDSDYENWHPRFWAL >Sspon.05G0001160-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:3924193:3924821:-1 gene:Sspon.05G0001160-1A transcript:Sspon.05G0001160-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GEPPSARAPPPRRPRLRAVPRRRRHRLRGRRRRRVGRAAGQRRRQVQPVGVQEPIPRRRHRPFQVQGGLIDGGDGGRLRQLPRVAPDLLLQQWRHRGGAGPPGPRLLHQWRDRPLRARPEDGRQGRRPGRAPAGSSEPAGPYRRRRASRQHRRRARRRHRSRGHRDRRLN >Sspon.01G0013020-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:35265470:35267036:-1 gene:Sspon.01G0013020-1A transcript:Sspon.01G0013020-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DDDGAATTAVQGCGAHVLLLPFPGMQGHANPMLQLGRRLAFHGLRPTLVVSRHVLTTTSASRSCPFPVAAISDGFDAGGISSCPDVAEYVRRMEAAGSETLAGLLDAEARAGRPVRVLVYDSHLPWAARAAGVPAAAFLTQMCAVDLVYGEAWAGRVPLPLADGGELRGRLAVELGPDDVPPFVAAPQWYPAFTESALSQFDGLEHAADVLVNSFRDLEPRVADYLESTWRARTIGPTLLSFYLDDGRLPCNKTYGVDLFSGTDQAACMAWLDKQEPCSVVLASYGTVANLDAAQLEELGNGLCDSGKPFVWVLRSNEAEKLSQQLGGRCKERGLVVPFCPQLEVLAHKATGSFLTHCGWNSTIESITCGVPMVAMPQWADQPTTAKYVESAWGIGVRMRKGLVRREEVERCIREVMEGERKTEFRHNAAKWMNKAKEAMQEGGSSDRNIAEFAAKYL >Sspon.01G0045890-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:1699053:1700913:1 gene:Sspon.01G0045890-2D transcript:Sspon.01G0045890-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPAVEVEADERAAEIKKAEAAKKAAEEVEVVDGEEEEAVDGEDDGDEVDDGEGGEEDDEEEVEGEEKEAAGVVEISDEDDDDDDGEADDDDGDDDDDDDDDDDEEEEEVDGEDEQEEELGTEYLVQPLGRAEDEEHSSDFEPEENGDGAEDEIDEEEDDGEDSVKAQSSTKRKRSGDDDGDGDDDGDDDDDGRPPSKR >Sspon.05G0003290-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:807924:808881:-1 gene:Sspon.05G0003290-2C transcript:Sspon.05G0003290-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MENKSPSSCVSPAATSTMSAGESSWAMHIANFLASTPQDGIREMDDQQAAVSGGSSFSSGFSSSSFDSFGDDASFITSELMCDDDDEEDESLQDTACSSAAGQKMATMANFDMKQMAPMDAKEFNMPLLMAKYLEAMGSKQQATGVDQQAINSFSNNEKALYESNELRKKGLCLVPISMLRNYLG >Sspon.05G0011440-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:27224756:27237532:-1 gene:Sspon.05G0011440-2B transcript:Sspon.05G0011440-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATSAPPASAHAHANGNGSLAGVAAPVGAPTNPMATALLTDQYQFSMAYAYWKAGKHADRAVFDLYFRKNPFGGEFTVFAGLEECIKFIANFKFTEHDISFLRSVMPMCEGAFFDYLREVDCSDVEVYSIPEGSVVFPKVPLMRVEGPVAVVQLLETPFVNLINYASLVTTNAARHRHVAGKSKVLLEFGLRRAQGPDGAISASKYCFMGGFDATSNVLAGNLFGIPLRGTHSHAFVSSYMSLDEIPDKALRSKDGSRVCQDFVSLVQEWLKKIQAADSLGGVFGDTNKSELAAFVSYALAFPSNFLALVDTYDVMRSGIPNFCAVALALHDLGYKASGIRLDSGDLAYLSIEARKVFRAVEKEFNVPGFAKMVITASNDLNEETIDALNKQAALGCVFKLVEINNRPRIKLSEDVAKVSIPCKKRCFRLYGKEGYPLVDIMIRESEPSPKEKESSAAIHSLNQREHMLSPSMLRSFYSAIGLGHQSRAALLEKIRSRCMQQLEKLRPDHIRRLNPTPYKVSVSAKLYDFIHCLWLNEAPVGELQ >Sspon.04G0010240-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:30703294:30707663:-1 gene:Sspon.04G0010240-1A transcript:Sspon.04G0010240-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tubulin-folding cofactor C [Source:Projected from Arabidopsis thaliana (AT4G39920) UniProtKB/Swiss-Prot;Acc:Q9SMR2] MEPEPDQPKAAAGGGHRKHLAMLERLSKRSSSSSVGAPPSDSSDASPVEAFLSRFAGAKLAAESALSTCRSSSPEDDATASLAAAAAAIDDVDRLIAEASHALPPYELRAALASAADLRAAHRVAASELRPKKSFSFRNKSRNLKNPPQDHVTTASPPQPPPPEQPKPSLDAILPGFGFRGRNGATLVKDLRVSNDKDGDFTLADLVSCEVYLKGKCRALYIHKLRDCRVFVGAVLGSVLIEDVEGCIFVMAAHQIRIHEARVTDFYLRVRSRPIIEDCSGVRFAPYVLNYEGIEEDLKDSGLEEETSNWANVDDFKWLKAVQSPNWCLVPEEERLQTVNISEVMRLGQIAFNFELG >Sspon.07G0021290-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:1898892:1900956:-1 gene:Sspon.07G0021290-1B transcript:Sspon.07G0021290-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRHLVRSGQLADARSLFDAMPHRDEVAYATLLSGYAVAADFPGAMALFSRFRAAYPPHAAADPFVLSPVLKACASAAAGAGAGLLPLPLLHAAAAAALHAFAVRSSALSSVFVSTALADAYAKAGRLELALQVLDEMPRKNVVSWTTLVASLARAGRRHDALRRFAEMRASGVDCDSHACAAALTACAGAGLPSRGREVHALCAKLGLDAVPFVANALAALYARCGDVDLSLAAVGRMGTRDVAACTTLIASYVQTGRAEEAIEAFVRMLRDESSNSASPNEYTFSAVIAACPNIEGAYLGEQLHAQAAWRGLSHTRSVANSLIKLYTRCGRLSAADAILRESDVKDDMHKKARPRVFCLVLRNAASQQLPSPNEFTLASLFSVCASAASLDAGCQLHALAIAAGLEHHAMVRSALVNMYGKSGSMSDANVVFSNRTKDDVISWTAMIVGHAEHGHSKEAFELFEEMCRVGLKPDHVTFIGVLTACSHAGEVELGLRYLNAMNKTYGLEPEKEHYGCVIDLLARAGRIHEAEELIGRIAADGRDGVVWTSLLRACAARGAEETGKKAAERMMEAEPWGSGAHVAMANLYASKGQWREAAQERHLMKQKGVLKGAGWSSVEVGGDDRGVGVFVSGDRTNPHDNAIYMMLELIYYGAGMVRQIPDQLDLVSEVELTVSK >Sspon.07G0010120-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7B:28455498:28608863:1 gene:Sspon.07G0010120-2B transcript:Sspon.07G0010120-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFVEANITKPDWHCREAATFAFGSILEGPSVEKLAPLVQAGLDFLLNTMSDANSQVIIQKLSSTDAKSIISQTADQLMMLFLRVFACHNSTVHEEAMLAIGALAYATGPDFAKYMPNFFTYLEAGLQNYEEYQVCSISVGVVGDICRALEDKILPFCDRIMTVLLKDLSSSMLNRSVKPPIFSCFGDIALAIGENFEKYLPYAMPMLQGAAGLLGTLDQSDDDMVDYGNQLRRGIFEAYSGILQGIKGPKAQLMIPYATHLLQFTEAVFKIGAVIVCPCSIVVCAIRDDSVTKAAVAVLGDLADTLGQSSKDLFKTHLFHVEFLMECQAQELDDEVRETAQWAQGMINQAV >Sspon.07G0012730-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7C:52799307:52800248:-1 gene:Sspon.07G0012730-2C transcript:Sspon.07G0012730-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding WKLQRETKAIL >Sspon.06G0019970-3D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6D:5123437:5124417:1 gene:Sspon.06G0019970-3D transcript:Sspon.06G0019970-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGRPIDFHFHEFRLDYEQTKHLAVGKAVYSDPFSAGGHMWKIKCFPRGNWSSDSDEHLSVFVQLEKQPRLSVSAIFEVFLMDKDGQPSSTAHQRTGVHLFQMEDWGWSRFVTQTELVENHVKDGHIKIICGIMVVNDRSISVPPSDIVEHLGTLLDSRDGTDVSFIVGNETFHAHRALLGARSPVFKAELLGSMVEAKMASITLHNIAPATFKLMLRFMYTDTFPGDAELGECPSEMIRHLLAAADRYALDRLKLSCARKLWDIVSVDTVAATLACAEMYNCSELKIKCIDFFAMEKNFRKAVLTDGFVQLVQQFPSIVAELREKP >Sspon.03G0037580-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3B:99634617:99634937:-1 gene:Sspon.03G0037580-1B transcript:Sspon.03G0037580-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFAFLSFNCGMAVYRSWDDPYTVAFVLAAYAALVLLFRCLHLLERRRDQRLKLAVWGLSTLLTLMFSYKVAAMMPPWAQLLVWAMGILTIVAGFYAFFVARPEEP >Sspon.03G0036900-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:90164742:90167329:-1 gene:Sspon.03G0036900-2C transcript:Sspon.03G0036900-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRYSSLLLQLVCSDLNKVPQGVLFFRLALSRQACCLLEGFCLSRSDVKAPQASGAIPLISKGASYALRNCKLEQGRVAGEGSNEQGRD >Sspon.01G0025140-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:89027158:89028739:-1 gene:Sspon.01G0025140-1P transcript:Sspon.01G0025140-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRQDQGEAAAEDKTGPLAVLPDDVLADVLRRLPPRGLAVSRCVCKAWLAVVDARRLLRADLLPLTLGGFFMNFNNYYISEFFAPRPVSDGPSISGKHDYLPEAGCLSWGCVDDHCNGLVLVHSYPDSGDECRYVLNPATRWLAPLPPCPPPPMEIKHTFQFEYLAYDPTESPYYEVVSITRFHWVHRPGDCLYDSSRDTLDPEIEQSEWPPSVCILQVFSSRTEQWEERSFAREGNPIGTVSGMRPDWPNDQRNAVYWRGALYVHCKTDSVMRISMFSGKYHVIKPPLGIEANGDPQFYLGKSSKGIYCASVKGSCRVQVWNLIESGYQMVWVLKQDTDLSRLLKHKLEYSRPCANYGRKIQGPWASQDINYYYDDDRNRDHNMELPAEEKSDLTSQAFEDEKFAWTSDDEYADYHCGYMEILGFHPCKEIIFLSESITRGLAYHFNSSKVQVLGNLYPAGYDKELGNEQVLRSSFPYTPCWLTQTADNRE >Sspon.03G0013080-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3B:46279054:46279309:1 gene:Sspon.03G0013080-2B transcript:Sspon.03G0013080-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GKMQKPLPEFLKEYDLPIGLFPQDATNYELNEDTKFLTVYIASPCEVGYKDSSVLRFSTNVSGYLEKGKMTHIEGLKTKILIWTK >Sspon.07G0009950-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7B:27502711:27503628:-1 gene:Sspon.07G0009950-2B transcript:Sspon.07G0009950-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMTNHFRMYSYRELVKATEKFAHELGWGGTGDAYKGILDDDRVVVVKKLGNIRHSREEFHDELHVIARINHMNLVRIYGFCSERSHRMLVLEYAEKGSLADVLFKSKTSLDWKQRFNIALGVAKGLAYLHHECLEWIIHCNLKPENILLDQDLEPKITDFGLAKLLSRSGPTQNVTRARGTIGYIAPEWISGLPITAKADVYSYGVVLLELVSGTRVFDLVKGEDERVHVMLKKFIKMLSYKLDKDEPFWIAEFVDFRLDGEFDYSQVKGLIKLAISCLEEERKKRPTMESVVESLLSVNLAGIQ >Sspon.02G0037720-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:35240330:35241603:-1 gene:Sspon.02G0037720-1B transcript:Sspon.02G0037720-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHGGGSSSSSSSWRGCRDKESSYGHWPPPHSARCLCLYLLLSLLLLLAVAAALLAVFITRLKKPAFYLQSIQMDRSFSLTSSNRSANGTAAPCAVASLVFAAQNLNGIGIRYGATVLDVAYANESVGAMDVPAFYQPPRSANVTVLMHAVLAQRNVTRLLVSELSAQRSYMEIRVAGRIDARTHIMNFPLPKIQFSLDCTFGTNYTDIALLVSSLPHLSQKCSIKINMRPRRAKGRNLDDL >Sspon.02G0011550-3C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:34892830:34901153:1 gene:Sspon.02G0011550-3C transcript:Sspon.02G0011550-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGAGAGGWRLGASEDGATPARRRPGPGAVEVAGARAGAVAASEAGARAGAGAGAGPGDFGTTEAGAGAEAGGGGGRGGRGGRRRSNGDERRWANLAVRATQLRSEEWESREHSPSLLSDVVVSARLLRRALGSSETLPRLALPAPAQGNEDLVRSSEPIQLSYTSKANPHGRSNLGNIFLIVIPALCKEKASPFGAPDVCQDIGLAYSSLSMALQDSVTNDNVSGLLQIGAVFLWSIVYNIVRVTSRVTEGDDSAQTNETKVSGTIAEENYSTSNDCTDECTLPLISTSIRPAKNKDSVLERARRFLSSISEAVDLKKLFAPSTIAVIVGFIIGGTPLIRNAIIGDSAPLRVLQESSELIGGGAIPSVTLIMGANLLNGVRGGASVPPSVIAGVIVVRYILLPLLGTALVKGAVRLGVIQPDPLYQFILHLQYAVPPAMNIGTIMQLFGVGESECSVIFVWVYALASVAVTIWSAFFMWTL >Sspon.02G0020920-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:68383606:68400596:1 gene:Sspon.02G0020920-2B transcript:Sspon.02G0020920-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCRRKVPSPVRGERSPGELRSGGRAFPDEFSVDHCVPGQMHPTHSTTAWATHVRDRDAADGKASKRKSGHGEGAPAPPVGDAKRSPMAEPAGDPQVEVCAGSIRQLFQKLVHVRTTISSFGGKLPPDAWFNHVKHLTSDAEESLEHLHYKMILAKLGVGTHLKKVMPNVPGTCSKTTAISFMHLLTSQELVILPITDKLEGLRHGSPGLSGAVLRNYSDMLSLSSSVMRCILLCRGILGVINIVNMEGKKESLELPVFAFDDLTYAGQNKGIEVNAHSVPARMTDPIYLSSMFIRSLLCLDLSSCSGLTQLPPSIGNLSNLAALNLSHCYSLHTLTMSVGSLKNLQILILSCCHELRNLPVSLCELSKLRLLDLAGCSSLENLPASLVNLGNLENLNLSYCKGLKELPQPFGNLQELKYLNLSGSHRVDLDVECLCTLANLKSLTLSPLTNIQGFPDSFKDLVNRLDSLRWWKKNQIHHQCGPKATSLHSYRCYEQSIIDMLLSDEADNSSDQIVTYACIVGESGMGKTELVHRIYNNRMILDTFDLRIWLHMCDKKRLLGKIVELTTCASCGDASISVLEEIVIEELTSKRLLLVLDDSEIKSQCFWGYLQKLLNVCAKGSAVIVTTKSKEVANQIGAMQTFYLSPLSKEECFMIFKEHVLEDLVMNNYCQLESIGWKFVEKCGGNPMCIKALSGLLCHSEVGLSEIDMIVDGILPALRLCYDLLPSHLQQCFKFCSLFPKDYIFVKHHIIRLWIAEGFVFCEEGTKPEDTALHYFDQLFCRSFFQRSPFHSDHKDSFVMHELFHDLAHSVSKNECFRCEEPFCSLAENVPHLSLVLSDFKTAALSNEVRNLQSFLVIRRCFPVVRIFTLDDVFVKHRFLRALNLSYTDILELPISIGNMKHLRLLALNNTKIKSLPIEIGQVNSLQTLELKDCCHLIDLPGSTSNLAKLRHLDVQKESGNVNVGMPHDLQTLTTFNIGNDLLHCSISELKNLNGLRGHVHVTGLENIKTANDAGEANMMGKHLLEALTLEWSYQEEDMDDDMGKEITNEILQNLQPNSNIMELAILNYAGNLFPVWMQDNYLCKLISVTLDNCHGCSELPYLGDLPSLKSLFIQRINGVERFGIETSSLATEEKHPTGFPSLEVLNICEMYDLQFWVSTRKEDFPRLSRLSISRCPKLTHLPRLISLLHVSFHYGVELPTFSELPSLESLKIEGFQKIRSISFPHQLTTLKKLEIIDCKELLSIYAYSLSVSDLKVVRETIIHTLRDALLHFAVKSKKLASPLLEPFGRASEPATVDDDELTALKSKLRRIRATLRDAESLSVTDRSVQLWLAELGDLEHRAEDVVEELEYESRRSAQLEELKQDLLCAATTGKRRREVALLFAPAPARRLRRKIDGIWARYEEIASDRKKLRLRPGDGALRPVASPLVPSSALPRTERLHGRQRDIERVAALVRGEPDGGRSYAVVPIVGMAGVGKTALMQHVCGMEDVRSSFQLIHWIWVSQEFDVVSVTRKIVEAVTRSRPECGELSTLHELIVEHLAGKRCLIVLDDVWDDNPSHWNSLTAPLSRCAPGSAVAVTTRSNKVARMVSTKVYHLKCLSDEDCWRVCQRRALQSRDANVDQELVEIGEKIAKKCQGLPLAAEAAGSTLSTSTNWKHWDEVLNNDLWADNEVKNLVLPVLKVSYDHLSMPLKRSFAFCSLFPKGFVFDKDLLVQLWIAQGFVDAEGDCSLEAIANGYFNDLVSRCFFHPSPSHAISEGKFVMHDLYQELAQFVSGNECRMVQLPNSMKIDESPRHLSFVDEESHSVEEINLNSFCGHRDLRTFLFIARTEQNHEEMAFRTKIPSELITDFECLRALDLSNTNIMELPKSIGSLIHLRFLGLDNTAIQMLPESICALFHLQTIKLNHCSSLAQLPQGIKLLLNLRCLEIPHSDIKMPSGIGELTRLQRLPFFAIGNEPAGCSIADLNELVNLEGHLHITGLNNLDVAQASTANLWNKLGIQKLTLEWSELTNFNQSLCDPQGNAVSCMSDSQHQGISATGDQVLKCLKPHSNLEELSIKGYNGSFSSSWLGWLPLDRLASIELKDCHNCKEVPPLGCLPSLKHILIQSLPSVKLIGPEFFGNVGDTTSNSRSRICNVFPSLESLKFRNMEAWEEWLGVKSEHFPNLKYFSIARCSKLKLLPKFTSEPKLKIQYCDLLQMPLCQ >Sspon.03G0041540-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:42930872:42933353:1 gene:Sspon.03G0041540-1C transcript:Sspon.03G0041540-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRRLVADVLPAGTPPLVGALLLLPPPSARRHAVVIEEFDGAFYNRVFLAARAYVSTLLAAAPTGAPPVVKASLPRGAGAEQITLAMRPGTAVVDVFRGAEVTWRLSSHGSGRRRADGGGAGGEAFRLSFNGQHKDLVLGAYLPFVMARVEAMARDRRQAKLYSNEWGKWRAVRLRNASTFATLAMDAALRQDVLDDLDRFLGHQEYYERTGRAWKRGYLIHGPPGTGKSSLVAAISNHLHFDVYDLDLGAVRSNTELRKLLIRMKNRSILLIEDVDCTWIAAQRREAEGGSDASSQQAPKHNKVTLSGLLNMVDGLWSSSGHERILIFTTNHMDRLDPALIRPGRMDKHIHMGYCGFGAFKELAAIYHGVVDGHHPLFPEIEALLREVDVAPAELAEKLLATDDADAALETAAKLLRDRKAGVEEEDGGGYVKQKLHVEATRPRRRPVPAPAPAPGRGVSAARRVVFGR >Sspon.07G0021680-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:3828590:3829510:-1 gene:Sspon.07G0021680-1B transcript:Sspon.07G0021680-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AEHLASIFGTEKDRVNCPFYFKIGACRHGDRCSRLHNRPTISPTLVLANMYQRPDMITPGVDAQGQPIDPEKMQEHFEDFYEDIYEELGKFGEIENLNVCDNLADHMIGNVYVQFREEEQAAAAYNALQGRFYSGRPIIVEYSPVTDFREATCRQFEENSCNRGGYCNFMHVKQIGRDLRRKLYGRSASRRYHGRSRSRSPPPQRRGHRDRDDYHHRDRDDYRGGGGGGRGRGSRHDRYDDGAGRGGRHDRYDDGAGRGGRHDRYDDGGGRRRHGSPPRRARSPVRESSEERRAKIEQWNREREAKQ >Sspon.01G0005310-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:14469100:14470776:1 gene:Sspon.01G0005310-1A transcript:Sspon.01G0005310-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKQHDASDDGGIPAAEAPAKRPPLNKYALACAVLASMNSILLGYDVSVMSGAQLYMKQDLKISDTKIEILAGIINIFSLVGSLAAGRTSDWIGRRYTMVLAAAIFFAGALIMGLAPGYGILMLGRFVAGVGVGYALMIAPVYTAEVAPTSARGLLTSFPEVFINTGLLLGYVSNYAFHGLPVHLSWRVMFLVGAVPPLFLALDPDSPAEAEERLADIKKAIGIPEGVGDNDDDDVVVVPRRSKQGSHGEGVWRDLLIRPTPPVRRILIACLGLQFFQQASGIDSVVLYSPRVFDKAGLTSDDNSLGATMAVGASKTLFILVATFFLDRVGRRPLLLTSAGGMVVSLVTLASALHAIDRLPEGHATPLAGVSIAAVLTFVASFSIGMGPIAWVYSSEIFPLRLRAQGCALGTAMNRIMSGAITMSFISLYKAITLAGSFYLYAGIAAAGWIFMFFFLPETRGRSLEDTEKLFGGGEDKEDGHDVHKKST >Sspon.05G0011630-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:33406619:33409036:-1 gene:Sspon.05G0011630-1A transcript:Sspon.05G0011630-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLALLPFVLIFFPLSILEVHSSASASGRDTNTILPGQVLAGSDKLVCSTGKYALGFFQTQTQTQTSGNSNCWYYLGIWIDRVPTITPVWVANEDDPIADLTTAVLAISPDGNLAVLNRTTKSIIWSTQANTTTNDTIATLSDGGNLIVQRSSSPSDVILWQSFDHPTNSLLPGAKLGRDKVTGLNRRLVSRKNSVDQAPGAYALELDPTGAAQFILVELNSGVTYWSSGEWNGRFFDSIPDMGAYSEFVDNSKEVYLVTPLRDNMIMRLSLEVSGQLKAFIWYEQLQDWVIFAVQPKSPCDVYAVCGSYSVCNDNVSPSCDCMKGFSIKSLEDWELEDRRGGCIRNSPLDCSDNKTTDGFYSIPCSRLPPNAQSLTVVTNEGECANVCLSNCSCTAYSFSDDHGCYVWHDELFNVRQQQYSDLTITKVEFLKVRLAAKELRISGNRRRKILVWVVTSATMLALFGLALLLMIWRKPTMRYCCVLHSVQGGNGIVAFRYDDLQQATKGFSSKLGSGGFGSVYKGVLPDASIIAVKMLDGLRQGEKQFRAEVSSVGMIQHVNLVKLIGFCCEGNKRLLVYEYLTYGSLDVHLYQNSVTFLNWKNRYQIALGVARGLAYLHESCQEYIIHCDIKPENILLDASFAPKIADFGMAKLVQRNFSGVMTTMRGTVGYLAPEWLSGVAITTKVDVYSYGMVLLEIISGRRNTYGQCTSCSHIDAYFPLQAANNLLKGDVQSLVDPKLSGDANMEEVERACRAACWCIQDKESDRPAMGEIVQILEGLREVDVPPLPKILQAVAGSPLSSIN >Sspon.08G0022890-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:51652587:51653648:-1 gene:Sspon.08G0022890-1B transcript:Sspon.08G0022890-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFSCFPAGARGGDASAAPPSSSATSVYWTHLGGVTLTWSRAPIGLLLAAELRLAGDDEHDGDTAPARFAFRPWLPWRRRGSKRYSAPGGRAVKFSWDLSRARFAATGRRPEPVSRYSLQVSVDGELALAVGDHAPPLASSAGLLLSRRENAVADDGRGEAYSTTVSVAGERHDVSLAVEGSTMWVAIDGEKALQVRRLRWKFRGCERLDLPSGRRIRVTWDLHGWLFCPDAAAVFVLRFETSDADDKDDVDDDDGGASPHVLRQGSFSFRNHHQAHGGGESWYSSDSDRRGWRRSPFRSGSDTSPSVSVASTSAVSSAGSVATVSEWAAAEEAAALKDGGGFSLVVQLWKKRR >Sspon.07G0037730-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:62031813:62034314:1 gene:Sspon.07G0037730-1D transcript:Sspon.07G0037730-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTLAVAAALQLITLGLLLPVPPAGAARGDILTRDGAIAVEDHATDFLVSPDGTFACGFYNVSSTVFTVSVWFARAADRTVVWTAAPGRPVHSRGARLALDRRGGALVLTDYDGAVVWNSTAAAGAQTQASRARLHDTGNLVLEDADGNTLWQSFDSPTDTLLPAQRFTAARHLVSRGGRGRLLAAGYYSLGFSDYAMLSLFYDNHNVSSIYWPNPYNNYVANKRKIYNFTRDAALDALGRFLSSDDASFVAADLGAAAGVTRRLTLDADGNLRLYSLDVATGAWAVSWAAFGNPCTIHGVCGANAVCLYAPAPACVCAPGHERADPGDWTRGCRPVFRRDCSRPTKLVALPHTDFWGYDLNDGEIIPFHACARRCRGTCACVAFQHKQNMECYLKSVLFNGRTFPGLPGTVYLKVPADFHVPELHVHQWQSHDGGLAIQEDIARCDDDGASAGTEFFLNVSTYSSTSDAGKPVWPYLYGFLSALLVVEAVIIGLGCWLFSRRGLFRPSRVWAIEEGYKLITSNFQRYTYSEIKRATGDFTAVIGSGGSGVVYKGILEDDRVVAVKVLKNVSQSEQEFQSELSVIGRIYHMNLVRMWGCCSQGKHRILVSEYIENGSLAEMLFHREGEASESDAVLGWDQRFRIALGVAKGLAYLHTECLEWIIHCDMKPENILLDKELEPKITDFGLAKLLNRDGSHADLSQIRGTRGYMAPEWVSSLPITEKVDVYSYGVVLLELVKGVRISEWVIHGVKLADTDTRMVVKAAQEKMEIYGHESCVEDLIDHRLNGEFNHVQAMAMIKVAVSCLEEDRGKRPNMSSVLHALMSVEDETTR >Sspon.02G0050790-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2C:67615731:67616072:1 gene:Sspon.02G0050790-1C transcript:Sspon.02G0050790-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTCRAQKASLPNAANPVASTSSGAGQRDQLEKAATVSLAKGPSWPVALVRREGRPRAPPAPPSCGELPPLHGDIGVGLMVYNTNTMHLDLAYTHHACSCSLTGKRCAHVPDGN >Sspon.03G0036420-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:89648459:89652244:-1 gene:Sspon.03G0036420-1B transcript:Sspon.03G0036420-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFGGSSSLASGAKRPFEYGRTHVVRPKGTHKATIVWLHGLGDNGASWSQLLETLPLPNIKWICPTAPSRPVSVFGGFPSTAWFDVADLSEDAPDDIEGMDASAAHVANLLSTEPADIKLGVGGFSMGAATALYSATCFAHGKYGNGNPYPVNLSLAVGLSGWLPCARTLKNRIEASPEAAQRASSIPLLLCHGKADDVVLYKHGERSADALKANGFSNVLFKSYNSLGHYTVPEEMDEVCKWLTANLGLGTKSS >Sspon.02G0003340-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:12250908:12254991:-1 gene:Sspon.02G0003340-3C transcript:Sspon.02G0003340-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLQVTVLCLSGRGCGRPTDRSGRPEMMEEGPPDLRELVRLPDVLVVCSSTGWTDEKHMLYLQLLEETFVRQLHESVCSFKGPFNRSPRYCRRMKSSKQIVKYTAPDQGCCAMVEGDKVKSCVKAEHVESPSCCGNQQDEKVRSMEDNASTTEPVEKATSHARAASPRQASTCYVGKHRHSPSRSAEGSDQNFDEETQGTGESRRGCNRKRLKSADGTRDHQVVLVVKAEAHQVGCLDVSDKNSDYCSASSKVHAGLLDIEAGSPSANCKDHGPKG >Sspon.06G0008970-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:37550614:37553758:1 gene:Sspon.06G0008970-4D transcript:Sspon.06G0008970-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ARSGHSLSLAVAALVLAVALCTAARRTCAIGVNWGTQLSHQLPASTVVRLLQDNGFDRVKLFDAEDTILGALKGSGIQVMVGIPNDLLADLAAGGKAADNWVAKNVSGHVRDGVDIRSRGGGQRAVPGDVQRDVPEHDVPGDAEHPGGADEGRPCRQGEGDGAAERGRVPVADGEAVGRRLPRGHPRPDAHHRAVPGVHGGALRGQRVPVHQPVRGPQLPAGLRLLPGLLVAGGRRRRHVPEHLRRQPRHARGGAAPERLWQRVRRCRRGGLAQRRRRQRQPRLRAPLQPGVPHPHRLRPGHAAAPGPRRRLPLQPHRRGPQEHPAGQLRAPLGHLLLRRHAQVPAQPRRRQWLHAQAGQGRQVPGEEVVRAQALRQPRRPEGGRQRQLRVRPRRLHQPRLQDLLRWPRRQGQRLLRLQHLLPDNGPRRPRLRLQWPRHHHLRRPVRRDMPLHHRDRRRRCRAALCHGRRGRRAHGLGAVRIVVK >Sspon.03G0007720-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:20814894:20818103:1 gene:Sspon.03G0007720-1A transcript:Sspon.03G0007720-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGGKEQTKKKMAAAAAVPDGVFSCGSTNMRRADHIPQLPIPLPCMGEPTAASRVSPGSSPARSEPSRGAPCYNADAEPDPEASNTAAADHYRCSSKLPTASRPMEPHLPADLARLLKTRPLHALVSNASTYCAARHLFDAVPRPTAALCCAFLSGLSKLSLHQEFIEVVSSLHRRGGAIPSGCIPLVLKSCALSAASCQGRQTHCHALVRGMLGDVFVQTALVDFYAKNGDMESAVMAFKEMPVKDPIPMNCLITGYSKSGNVKEARRLFDSMPRRTSASWNSMIACYAYGGEFEEALTLFDQMLREGASPNAITITTVFSICAKTGDLDNGRRVRAWIGEEDLQNVIVHTALMEMYVKCRAIDEARHEFDRMPRRDVVAWSTMIAGYSQNGRPHESLELFERMKATNCKPNEVTLVGVLSACAQLGSDELGEQIGNYIESQTLPLTSYLGSALIDMYTKCGHVARARNVFDRMEQKVVVTWNSMIRGLALNGFAEDAIALYRKMVGDGIQPNEVTFVALLTACTHAGLVDKGIAFFEEMKKQHVSPQVEHCACIVDLLCKSGRPWEAYKFICDMEVEPNAVIWSTLLSACRAHADVELAKLAAGKLVALEPNNSSIYVLLSNIYADAGLWGDVREIRDLMRSKNLQKLSAYSWIELDGEVHRFLVQDTYHPRSAEIYNVVHGLGLQLERTKHQPDSVPLNPIEMESEADRAAAPAPAVAAETSDDAIQEESLATAPSSDGKPGSGAAAAPAPEVEVQLFRRGRPLGGYTQDQLEVGDILEQHGLKSIFAFDPAARKRGVAIRFNPRNGRSLLTYAPGFTIFLDGEPKMVIGIAAMTVVAVVLLKEAKMPEWLQSSKLGTVSFPPWVLACMVIVFMRLRKRTKYVMKKFGWAS >Sspon.01G0020890-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:77152853:77159932:-1 gene:Sspon.01G0020890-1A transcript:Sspon.01G0020890-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Membrane steroid-binding protein 2 [Source:Projected from Arabidopsis thaliana (AT3G48890) UniProtKB/Swiss-Prot;Acc:Q9M2Z4] MAVAEVWETLKQAIVAYTGLSPAAFFTAVAVAAALYHVVSGLFAAPPPPPPRPREEPEAEPLPPPVQLGEVSEEELRKYDGSDPKKPLLMAIKGQIYDVTQSRMFYGPGGPYALFAGKDASRALAKMSFEPQDLTGDISGLGPFELDALQDWEYKFMSKYVKVGTVKKTVPVEDGSTASTAPETSEVTTEAEKAPATEEKPREVSSEAVKEKEAPADEVRPVLLVDAAVSELWASAKGAPPLAVLAGVAAAVSVYKVGSSLLAPRRPPPRRLETQTAPPPPVPEPVQAGEITEEELLQYDGSDPEKPLLMGIKGQIYDVSQSRMFYGPGGAYALFAGKDASRALAKMSFEPQDLNGDISGLTPMELGSLNDWEYKFTNKYVKVGTIRRAAPAEEDYGSISPEIREEDT >Sspon.01G0002520-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:6760580:6762204:-1 gene:Sspon.01G0002520-1A transcript:Sspon.01G0002520-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRSAANSIVQLLVKSARKAAGGARSRRAFGTKGIGIKAAANVLPRLRILAVLGVVAWTFFLYVHFTVISNTVEVSDGDGLAADPCRGRYIYMHDLPPRFNADIIRDCRKTEDHWGDMCGFVSNAGLGRPLAADDDGVITGEAGWYGTHQFALDAIFHNRMKQYECLTNHSAVASAVFVPFYAGFDFSRYHWGYDNATRDAASVDLIEWLMARPQWRRKWGHDHFLVAGRTGWDFRRSSNVNPDWGTDLLAMPGGQNMTVLVLESTLKYTSDFSVPYPTYFHPRSDADVLRWQDRVRGQNRTWLMAFVGAPRPDVPMSIRIRDHVIAQCKASSACAMLGCARTLGSPQCHSPANIMRLFQKATFCLQPPGDSPTRRSVFDSMVAGCIPVFFHTGTAYEQYPWHLPEDGHLKYSVFIPDADVRRRNVSIEAVLRRIPPATVERMLEEVIRLIPTLLYADPRSKLETLKDAVDVAVDGILDTVAGTKNPPSLFAAIVSRFLPKGYWKAAR >Sspon.08G0012460-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8B:49874838:49876693:-1 gene:Sspon.08G0012460-2B transcript:Sspon.08G0012460-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGGAILSDIIPPPRRVTAGHLWPESKKPRRAAGAGRRRAPVEEEEDFEADFEVFEVESGESELESEDEAKPFAAPRSGVARDGLNTSPAGVDGPAANSVKRKRKNQFRGIRRRPWGKWAAEIRDPRKGVRVWLGTFNSPEEAARAYDAEARRIRGKKAKVNFPDEFSTISQKHRAGPTSLKVPEMDVEEKPIIKMANSNAYHYPVVGHNMPEPFMHTQNMSFAPLVNSAAPVQEPFVNLSSDQGSNSFSCSDFSLENDTRTPDITSVVVGESAFAQNADHAVVPPVMGNASVDLTELEPYMNFLMDSGSEDSIDTLFSCDGSQDVVSNMDLWSFDDMPMSA >Sspon.02G0005290-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:15946018:15951540:-1 gene:Sspon.02G0005290-2B transcript:Sspon.02G0005290-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKNRNKKNKAKKSGGVTAMDTSEGGPATSTTADAPQPMDTCERKQPSLATAALGSINKKIKKGVHMKRSQNARKMKAIARAVSKNEKSEEKIQKAKSKKTRIQSAKSLLHS >Sspon.04G0019190-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:68402256:68408835:1 gene:Sspon.04G0019190-1A transcript:Sspon.04G0019190-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein STRUBBELIG-RECEPTOR FAMILY 2 [Source:Projected from Arabidopsis thaliana (AT5G06820) UniProtKB/Swiss-Prot;Acc:Q9FG24] MAAPRHLLAVAMLCALLASAASFTDPSDALGLWGLYRTLESPWQLSGWTFKGGDPCGEGRGSKHWRGVVCKGSSIVAINISGLGVGGWLGPDMLKFQSLKKLDMSFNNIAGEIPPTLPPNVEYLNLAANKFEGNIPLSLPWLHSLKYLNFSYNKLSGVIGDVFVNMDSLETMDLSFNAFNGDLPRSFSSLTNLRYLYLHHNEFTGSVILLAGLPLSSLNIENNHFSGYVPGPFQSIPELRIDGNQFQPGFKHASSSFTRRTPPPPPQSLTPPPPTPAAKQKPKQRPKSPKPSFGYSSLQNNSHHRKSHSRVTAAAIASATCTVFVLLIVGLVLKSRKSCSCNPKSTSNHAKTLPANMETVPKANEVLYSWSSLLIGSGTSSSNGITSERVPKIKSWFKTSKNLLTAKQFPATDILAATRDFNEECFIGEGLTGRVYRGDFSDGQLLAIKRIDMVDLSLSEQDELMDMLWNISRLKHPNISALVGYCVEFGHCALLYEYAENGSLDDILFSAATRSRALSWKARMKIALGVAYALEYMHLTCSPPVAHGNIKARNILLDAQLMPYLCDSGLTKLSHFVSTTRMKDSEAITSGKGYAAPELSDPGADGIKADIYSFGVTLLVLLTGQKAFDSSRRQNEQFLVDWAAPHLDDLDSLERITDPRIRGSMPPKAISSLGIIILLCVKQSPDLRPPMTIIADKLVKLVESTGLQKISTTQHLEVDAQDPSFITTRPYFEPSSTVSQGGTESCISR >Sspon.02G0015240-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:41530025:41530549:-1 gene:Sspon.02G0015240-1A transcript:Sspon.02G0015240-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GLDLQGIIMETERRGMSFDQLLTIPEQDEWIYSDGKSTTCVAFILAMYKEAGIFAPFLESIQVTEFTIRDAYMLKIFEDNPARLPSWCNTDTDKLPFCQILGEYRMELPEYNTIEPYAKMNENCPSLPPTYKRPARC >Sspon.08G0010030-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:43928282:43929833:1 gene:Sspon.08G0010030-1A transcript:Sspon.08G0010030-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAKIEQKRSNLRQRLLGILSDLPMHKLQQLVVTSTAASLPALAVVALVLFLATARRQCSFLDAYRSDVSLQPSPCGPSRPHSVRVPSGCDIFRPGEWVPDDDAPYYTNLTCPFIQEHQNCMKYGRPDRGFLRWRWRPDGCDLPRFDAAAFFDAVRNSSLAFVGDSLARNHMQSLMCLLSKVAYPKDISTTTNPEFRTMHYEPYNFTMAIFWSPFLVRGHQPDPRRWMWDIYLDEPDAAWRDAVSGFDRVVLSAATWFNRPAVFYAGGGRVVGCHYCLVPGVPDLTLRYSLRMAFRSALRVLTGPGFNGTVILRTLSPTSHFEGGEWDRGGDCRRTRPFAANETRMAGLDLDLHAVQVEEFARAKAEAEASGGGTRLVLMDTTAAMVLRPDGHPSRYGHWPHENVTLYNDCVHWCLPGPIDAWNDMLLQMLLRD >Sspon.06G0027080-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:82710951:82712293:-1 gene:Sspon.06G0027080-1B transcript:Sspon.06G0027080-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding FMDGEIITRPFISCFVSARSGHGAEPPPPTGTKSRRPAGRANPLAARSAQRERRKFRSLRTEKDAGGAASAASPGAARDARSPVLGAANAVDRARAVVPPGRRTRGGGGGAGGHGARPVEGAGARGSADGRTPVVWRGRWGIFEPSVLFFARRRNVEGISLMQSAQ >Sspon.04G0017530-2T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:72236110:72236730:1 gene:Sspon.04G0017530-2T transcript:Sspon.04G0017530-2T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASPLALAYDPSPLQDFCVADTVSSVFVNGLVCKDPAKVSASDFAFSGLQNAGDTSNAFGSKVTLVDVRALPGLNSLGVSMARLDIAPGGLNPPHTHPRATEVLTVVQGQMYVGFIATDGTLFAKVLSRGDVFVFPKGLVHFEFNSGASPAVGIAGLSSQNPGLIRAADSLFGATPAITDEVLAKAFRIDAATVQRIKAQFATKK >Sspon.01G0005000-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:13336633:13343469:-1 gene:Sspon.01G0005000-1A transcript:Sspon.01G0005000-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPIMQKWGYNSFRSCVHSVLRVELSRAVAAVANPWGDVEQILKEAQHRWLRPAEICEILKNYRNFRISPEPPNRPPSGSLFLFDRKVLRYFRKDGHNWRKKRDGKTVKEAHERLKSGSVDVLHCYYAHGEGKENFQRRSYWMLEEDFMHIVLVHYLEVKGGKSTSRIRGHDDMLQAARMDSPLSQLPSQTTEGESSLSGQASEYEETESGNLQGFPATVTNTDIYSYCQDALPVALNEPGLGIGFNGADNQLDPSSLNGLVKPDQGVLQMSPPQSTVPSELFPFSEGHGIESFTFDEVYSNGLSIKDADVVGTDEESVCQLPGAISSFPPEDSFQQNDRSLEETINYPLLKTQSSSLSEMLKDSFNKSDSFTRWMSKALGEVDDSQIKSSSGVYWSSEETDNIIEASSRDQLDQFTLDPVLARDQLFSIVLITGRFLNSDEIQRCKWSCMFGEVEVPAEISADGTLRCYSPSHKPGRVPFYVTCTNRLACSEIREFEFRPSVTQYMDAPSPHGVTNKTYLQMRLDDLLSLGHDEYQAMVSNPTKEMVDFSKKISSLMTDNDSWSKLLKLASDNESATDDNQDQFFEKRLKEKLHFWLVYKASGGGKGPNVLDDEGQGVLHLAAALGYDWVIRPTVSAGVNINFRDAHGWTALHWAAFCGRERTVVALIALGAAPGALTDPTPDFPTGSTPADLASANGYKGISGFLAESSLTSHLQTLDLKEGMGSNAPEISGLPGIGGVTERRASPLAGEGLQPGSMGDSLGAVRNAAQAAARIYQVFRVQSFQRKQAVQYEDDNGAVSDDRVISLLSVKPSKPVQLDPLHTAATRIQNKYRGWKGRKEFLLIRQRIVKIQAHVRGHQVRKHYRKIIWSVGIVEKVILRWRRKGVGLRGFRSTEGAMESNSSSSSNLIQNKPAEDDYDFLQQGRKQTEERLQKALARVKSMAQYPEARDQYQRILTVVTKIQESQAMQEKMLDESTEMDEGFFMSEFKELWDDDVPMPS >Sspon.06G0009110-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:38668815:38675815:-1 gene:Sspon.06G0009110-1P transcript:Sspon.06G0009110-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPQSRLLLPPALQMLRRCRASASRSHFSTAPTLVSPPSKAVVYDEHGPPEQVLRTVDMPPVEVGDRDVCVRMLAAPINPSDINRIEGVYPVRPPLPAAVGGYEGVGQVHAVGPAVTAPLSPATGSYRPRHRSVGTWQTYIVKHESVWHKVRSDVPMEYAATITVNPLTALRMLQDFVMPLFQNGATSIVGQCVIQLAKVHGIHTVNIIRDRPGSEEAKDKLKQLGADEVFTESQLDMKNVKSLLGALPEPALGFNCVGGNAASLILKLLKQGGTMVTYGGMSKRPVTVPTSYFIFKDLSLRGFWLQKWLNSDKTEDCRRMIDYLLGLVHEGKLKYEMESISFGEFSLALEKALGKHGSQPKQVI >Sspon.06G0032710-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:60837204:60840814:1 gene:Sspon.06G0032710-2D transcript:Sspon.06G0032710-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding QPRRHLLQSGWSVFVSAKRLVAGDAFIFLRGDNGELRVGVRRAMRQQANVPSSVISSHSMHLGVLATAWHAVNTGTMFTVYYKPRTSPAEFVVPCDRYMESLKRNYPIGMRFKMRFEGEEAPEQRFTGTIVGNVDPEQAGWAESKWRYLKVRWDEASSIPRPERVSPWQIEPAVSPPPINPLPVHRPKRPRSNAVTLETQQHALQRPLQTQDTAAPKSGFGDNSELDAAHKSAQRPSGFDLEKSTVGIQRKLGSDSWMHMNRPEGYNEMLSGYQQPNKDVQNPQGFCSLPDQIAAGRPNFWHTVNAHYQDQQGNHNLFPGSWSMMPSSTGFGLNRQGYPMIQEAGGLSHQSSTNTKFGNGVYAALPGHGIDQYSSGWFGHMIPGARMDDAQPRVIKPQPLVLAHGEAQKMKGNSCKLFGIHLDSPAKSEPLKSPPSVAYDGMPQTPAAAEWRMVDAIDPLKTPKQLDATQVDPVAEKCPQVSRGTQCKSQGGSTRSCKKVHKQGIALGRSVDLTKFNGYTELIAELDEMFDFNGELKSSNKEWMVVYTDNEGDMMLVGDDPWNEFCNIVHKIFVYTREEVQRMNPGTLNSRSEDSLANSMERGSAARETPGSLSASSLNSENC >Sspon.02G0022950-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:70795694:70796696:1 gene:Sspon.02G0022950-3D transcript:Sspon.02G0022950-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTPRYAGYPYPAQQGYYNQGPYPYQGPPVMAPPQYQYAQQPPQRSPGFLEGCLAALCCCCLLDECCCDPSVVFVS >Sspon.05G0021000-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:5A:88637171:88637562:1 gene:Sspon.05G0021000-1A transcript:Sspon.05G0021000-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding QKPQCTTRTRSRVAAVLNSSVLPKPNKRVGVFVACCSARFIAFSESLSSATLLQRRRPRICLSVGRPRSRCARETAGLQLRDGRSARPDSDLRFLLLVSETGPCILLGVRSGSTVFVVLAGVTEYHAHA >Sspon.03G0021830-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:52821253:52822947:-1 gene:Sspon.03G0021830-2D transcript:Sspon.03G0021830-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVNAGGRVVARRGGSLLGRTPASALSTATTPATPQHISHYLAHQPRATWEALSAAFPAGAVSHGHVDAVLLSLSRHPHASPEPVAKNALTFFHWSAAAAASSSSSHSLRSYCLLVHLLSRAALFRDASVLLESAISRHLSSSSPASCFLDAFFAAYEDSGTAATTRGLHLLVHAYARLRLPAEALEACRYLARRGVPPSLSAFNAALHAAQRAGSFGVAWEVFELMTLKRMYANQSTVELVIGVLSREGKLARTAALVERIHGKKCAPGVVAHVALTLRMIQEERVEQVILLLRRMLQRNILLDDIAYSLIVHAYCHIGDLKSALEQCDDMVRRGCRLNAFVYTCLIRAHCCKGSMDKAAQLLQEMLSMGAKPYDTTYNHLIAGCFRQGMIKEGLAYFDNMHEEGFVLDIGSCNEMLEGLCNAGEVRRANNLLTAMMDKGLLPDQDTYLSLINGYGKAGDVQGIVNMYHEMEHRGLDPGVEVFTTLIKGLCQCGNLNEAEKFFAVMKKKTVVPTSDLYDMLIRGYCEKRNAKRALWLYDKMVTENEKLVPSAETFMMLVRRVVK >Sspon.06G0018800-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:86934121:86936492:-1 gene:Sspon.06G0018800-2B transcript:Sspon.06G0018800-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLVVKPDMLFGKRGKSGLVALNLDFNQVKEFVKERLGVEVEMGGCKAPITTFIVEPFVPHDQEYYLSIVSERLGSTISFSECGGIEIEENWDKVKTIFLPTEKPMTPDSCAPLIATLPLEARGKIGDFIKGVFAVFQDLDFSFLEMNPFTMVNGEPYPLDMRGELDDTAAFKNFKKWGGIEFPLPFGRVLSSTESFIHELDEKTSASLKFTVLNPKGRIWTMVAGGGASVIYADTVGDLGYASELGNYAEYSGAPNEEEVLNYARVVLDCATADPDGRKRALLIGGGIANFTDVAATFNGIIRALREKESKLKASRMHIYVRRGGPNYQSGLAKMRKLGAELGVPIEVYGPEATMTGICKQAIQCIMAAA >Sspon.01G0036240-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:13211988:13217255:-1 gene:Sspon.01G0036240-2C transcript:Sspon.01G0036240-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to HSP protein (Fragment) [Source: Projected from Oryza sativa (Os03g0224700)] MGSKKRSPQPPASPAGGEVGAQTAGKATPVTAAPESAPVAVVPKPPDVAPFLTKVYDMVSDPATDAVISWSATGGSFVIWDSHVFERDLLPRHFKHNHFTSFIRQLNTYGFHKVDPDRWEWANEGFVKGQKHLLKTIKRKKKSSQDVPSDLQSVPVKTAPGTENIEIGKYGGLEKEVETLKRDKALLMQQLVDLRQYQQSSSLEVQNLIQRLRVMEQNQQQMMALLAIVVQNPDFLNQLVQQQRRSNWWNDDGNRKRRFQALEHGPIDDQETSGGGAQIIQYRPPVPETSNQPIPTNEAFYSTHAQPVSSPAQPVSSPALEMPMDVEMTSNNVDTLDSTGNDFDTSALCEWDDMDIFGDELEHILQQTEQDFQVDPPLTVEDYGYDRPRLEQDCQMEAQQNCKNPQYADVITEA >Sspon.03G0003230-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:23644223:23646079:1 gene:Sspon.03G0003230-2C transcript:Sspon.03G0003230-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAAALIGPPIAFIADAKLPPKPIRSSSPDHSSDENSPSPMDEDSPAPGRTTTEEVVPRSGRPLAYASSGDPCVDFFFQVVPGVTSDADLAVLLEAAWSHDARTALKLVCHLRGVRGLGKSDRDGFYAAALWMHARHPLTLAANLANFARFGCLKDLPEILYLVLHGPRDEDQDQRKGDNSRHPVKRRRGVSEAQAAKEKLNKEAELAQVALARYASDEAFRHLYDRVADTFAELLKSDVEHLRVGDTTKIGLAAKWCPSLRSSYDRATLLCEAIARRMFPRESRQDYLNLSDKHYSYRVRDRLRREVLVPLRKALELPEVYMSAGKLDDLPYERVASVAMQRYKEAFQKRDKPRVAGFFDEVRTGHARMAAGAVLPHELIAAALKGEQDDAAELQWRSMVYALSAEGRLDNCIAVCGLMTGTAATDPAVSAAVALGLLISELSQDPWKGRMITFDETHQLHKLHGANLKEKLQPLVATLGTRKKGANLQGVFSKILSTAVAGGLRSDMMVKRVFVLSDMDFDGWAGPAAAWDTEYQGISSQFMDAGFTAPEVVFWNVGTSKASVPVVAAQKGAALVSGYSKNLVRLFLEADGNLTPAAVMADAISGSEYDALEVFD >Sspon.08G0012520-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:53730112:53736002:1 gene:Sspon.08G0012520-1T transcript:Sspon.08G0012520-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DDDVEEDEIDFSPFLREGSPSETSSSLTSEAECEVYSSDNRPSGQTYLQNSVVNENTSDSALPQNRLSSQGLVNEIFPEETSTQVNLENGLEKDVLASEGACSPTMQNPLQISEEDAICRRTRARYSLANYSLEELETFLQESDDDSGLQNVDEEEEYRKFLASVLSGIGNDTQAFQGDENQDEDDNDADFELEIEEALESDGDENAENYDDTNHRKEKGGRRPQTRQRRPFTELSGTRSYHQESDKTNFRSILPYIPSALVTPAHAFGWQYRTQNALSPSSLVSVPCAPLACGFTDQQLGQLHVMIYEHVQLLIQTFSLCILDSSKQDVANNVKKMIVELVGFRDQALARSAPQQHIVFESWHLSSSFVSSENLECQWMPLIKSPVISILDVAPLELALSYLSDVATASSPSSGQLQQKKSLAATLLERTKKGTVALVPADIARLAQRFFSLFNFALFPHKPPPSPMANRVFFTDAEDRCEFWNIIMTGKQYKSAFFLIFVRQKNRSSSKAPDNPVKDVRRMKASPLTVEEKECIEKGLRIFKNDWTSVWKFVVPHRDPSLLQRQWRVASGVQKSYSKSDAQKERRRTYEAKRRKLRVSMPDSRRGQEKVRVERSNNQITGSTENGTVNANTIDFHPLLQRTEVDVHNEVPEYDNNLDCHHLIIT >Sspon.04G0003450-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:11173208:11174970:1 gene:Sspon.04G0003450-3C transcript:Sspon.04G0003450-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGSGSFLKVLVNNMDVLAGPVVSLAYPLYASVRAIETKSAVDDQQWLTYWVLYSFITLFELTFAPVLEWLPFWSYAKLFFNCWLVLPQFNGAAHVYEHFVRPMIVNQQVVNIWYIPKKDESSRPDDVISAAQRYIEQNGSKAFENLVNK >Sspon.01G0051670-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:17298673:17302719:-1 gene:Sspon.01G0051670-1C transcript:Sspon.01G0051670-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MWARVCAVSTEAGPPVARWEPRMDFSRRPHAVKFIDTNVLDTSMTLSLSRSSPLTRNPRCHPQQKPPAAMAMARTGLPARLGSKTGLLGCLQTETPLILAILWPSLLSLTPLKHEESQYPSHSATCSLEQVNSTS >Sspon.03G0017010-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3A:53450678:53451037:1 gene:Sspon.03G0017010-1A transcript:Sspon.03G0017010-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMEVSGALPSSVLYAAISLPCALLLLVVGEAGLRVASLALRGEMKAWWPTRAAMLGYRVARPGGIDGGAYPSVFPDDDETPLLPAEYCDRLAVAVYRRGGEGPDPDCAFCLSAVRDGEE >Sspon.06G0003130-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:6572800:6577798:-1 gene:Sspon.06G0003130-2P transcript:Sspon.06G0003130-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLLESKDTWTCCGCQREKDKGIVKTRPEKSDLLICVTCYDHLCCGVGSIAYPFGHSLAHALKEKHWFAVLYCDPERGYCFKCNAEVPMPVKFGDGDNEVGLQVIRSIVSRKLLAPPPSVGYAIRGIPNLGNTCYMSAVLQCLFVLGKLRERMLRDRPKGLLPELLKELFVLVEASAAGDVLDPKKVLECVGFCKVPFFKVGAMEDSHEFLMALRNIWIEDVIVEETQKPLEVDSTQAQCISQSKDVVQRPLQIQEGKSVKWRCPNCANDHEEPSASQSKNGEQIVGSGNEDDVGDTVKIHRFTTLPPVLALHLNRTSQLKGTDGIKISGHVRFMEYLDVKRLMDPSSVDKGSTLYRLAGVVEHIGEGSLKEEDGHYIAYVRARRLGNQKEGSSCSSSWFCADDRWIRQVTLEQVLNCEAYILFYERIEDQDISGIRRQN >Sspon.04G0011630-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:7465266:7468364:-1 gene:Sspon.04G0011630-3D transcript:Sspon.04G0011630-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRGGKKLKVILVRTLMMEQATGVSGPEHIIDIPREIGPSASVSQSVGRENHEELNPVDRPSTRALVPALQAPSAIGAVPNAGQTSGTRRNDNYVRRHRSPLNSGLWISIEVIVNVSQIVAAIVVLCLSRMEHPQAPLLEWVIGYTVGCFATLPHLYWRYIHRNIVNGEHEPAHAPQGSAHNNSNEATHAASASERRRNAARNAVLANPRINALFDHFKMALDCFFAVWFVVGNVWIFGGRSSAADAPNLYREDTNNTRGATSESINALPTYKFKTKKRRHGSGSEAEGQEGGIVAAGTDKERSLSAEDAVCCICLAKYAHNDELRELPCAHCFHKDCVDKWLKINALCPLCKSEIAGSSGTSDARQSDQNAIPVQEIEMR >Sspon.04G0021300-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:80210606:80211978:1 gene:Sspon.04G0021300-2D transcript:Sspon.04G0021300-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNPSSPASSSSSAAAQEHRLQEEETQTLHHHHHQEVAAGIVIPHDDKDAELSPPRCEWEFRLAATVPTTALPGASDAIGSVDFDPTGRLLATGGIARKIRMYDVASMLRLDSSGSGGGAVPGPAACICVPAKLSSVRWRPGGVASASVVGCGDYDGVVTEYDVERGVPSWERDEHAGRRVWSLDYAPGAAMAASGSDDRTAHVWDPRAPSGGWSTARAGGAVLCVEFDPSGAPHLAVGSADRRAVVYDVRALGRGAVARMDGHARAVTHVRWAGPGPARRVVTSAADGTHRLWEWGGASAAGAEVVEAGAAREVRSYSGHASARSFVGMGVWRGAGLVASGSESNHVFVYDLRWAKPIWVHPFFASHGHGGAHGPVTTGLGQVDTGGAGGGFVSAVAWRQGSHNDDADDLDGGALVAGGSDGVLKVFTCRRWREAAGDDGQALLL >Sspon.02G0011330-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:24472313:24473688:1 gene:Sspon.02G0011330-4D transcript:Sspon.02G0011330-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AMDAAPVLKRKGEEAPEAWLLGGVPVPATKIRRQDAEVPPVGSGAGVLPQPQHAFGVEEARMSGHVGAPPAMDATPLLKRKGEEAPQPWFDVDGVPTPATKIRRLVRTSARPLARSLSLPLSLSLTRAFVLVLLAQDADVPAVESAVGVPLVEPGVSVTLQPFVVGDLRMSCDAPPAAAIGVAAPAANEERAIVVYQPAEAARNLLHGPLRPGASLRVSPDWIHGLTGTMLQEASNYRALFEEMAAGDENLSLAMVPWAPAKAHAQAASSSTSAGAEMMDADQDGDGASMEVEHGVEGQTTPPAGGALQGEAFHHHYQQQQQQQQWPAQHCVASPQLQLPAASCQPSPVTWSW >Sspon.07G0021180-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:1287348:1296508:1 gene:Sspon.07G0021180-1B transcript:Sspon.07G0021180-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding QQGSHHGHLDSFAPLDGAAPEDQQHAGGAEMVDYMLGPHVHAPPAPAPPTQSQQAVSFDKLSFSDVLQFADFGPKLALNQPAASAGQEPALGGGVDDSDDVDDDDGYFFRFQSLPATLPQRHAANHEAAGSKTTADQDGGAGGGGGVSESTTLVQQGDDGRADKGAGEPQGKSGRRKRPRTVKTSEEVESQRMTHIAVERNRRRQMNDYLRILRSLMPGSYVQRGDQASIIGGAIEFIRELEQLIQCLESQKRRRLYGGSGDAPRPPPVVDSAVPGGAPITSTTQPQVPPPPPFFPPSHPFPVSSGGGDAKIILDLEAAGGAVVDAGGGLREEVAENKSCLADIEVRALGADAMIKILSRRRPGQLIKTIAALEDMQMSILHTNITTIEQTVLYSFNVKVIKIYILLYGVYILSFIRIEIE >Sspon.05G0013540-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:49653055:49659039:1 gene:Sspon.05G0013540-2B transcript:Sspon.05G0013540-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSLSITVMSLNLQEGEQPSESPNSWEKRRDICVSVITSYSPTILCTQQGLRWQLDYLQQCLPGYEQFGISRKGSQDNTDEYCTIFYEKEKVELTEGGTFWLSESPSVPGSISWGANAPCIATWAISCNAMLFLLFIVLLLEPPGFSFQIVNTNLDEVSPRARRRSALLTWQHIASLPPNLPVIYCGGFNTQKESMTGRFLLGRSREHGVVGDMRDAWPNARVRKNVSLIHTYHGFKGAPDTSFSNLIPNKCSVAGEKQGALEFLKLIFRALCLCWDRQTQDLHIDWILFRGRPLVPALCEVINDNIDGVYPSSHFPIFAEFLLPRSVRLAETTS >Sspon.06G0001620-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:5234848:5237513:1 gene:Sspon.06G0001620-1A transcript:Sspon.06G0001620-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AGL26 [Source:Projected from Arabidopsis thaliana (AT5G26880) UniProtKB/TrEMBL;Acc:A0A178UI04] MEAGLRALGHRYCSGGGGCAVSLGGFAAARATQLRRHRHAAAFCSPRDFPPSRSGVSVPLTSPALANVSAVAVGGDGNGAAGGPVGSGVEVARARRMLHVVLVSPLIPGNTGSIARTCAASAVGLHLVGPLGYKVDDTKLKRAGLDYWPYVVVKIHDSWDHFCDYFMKQEGDKRLLAFTKSGTQIHSDFSYRPGDWLVFGSETKGLPQQALEDCCKEGLGGGTVRIPMVETYVRCLNLSVSVGIALYEAARQLNYEQLHYQPELPQEAQGLFPAEDIYA >Sspon.04G0010580-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:27800781:27801225:-1 gene:Sspon.04G0010580-2B transcript:Sspon.04G0010580-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEKHHHHLFHHRKEEESSGEVDYEKKEKHHKHMEQLGEFGAIAAGAYALHEKNKAKKDPENEHGHRIKEEVAAVAAVGSAGFAFHEHPFIF >Sspon.03G0021760-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:85230722:85231807:1 gene:Sspon.03G0021760-3C transcript:Sspon.03G0021760-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GYSLCSHGEPGDEEQPGALEAEGLVRRCAHQAATVHRQGHPAREGNVPGGAAHQRRPVHREPGDAGDLEPARGVVPLQPAGVPDCREPAAAGHRGGPGARLPPRGAVRAHGSAPQHARARPGGRARRRGARRHPQRLPHHVRRRILQRGGTLHGAHAHAHGTEEGGRQAADRRRVVQVHRRVLLRWHLRRALGILPPLRTRPPLLLQVE >Sspon.04G0031250-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4C:9137286:9137536:1 gene:Sspon.04G0031250-1C transcript:Sspon.04G0031250-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSSYYTPRPPLNRVGRRQLAQVKIPREACVIGHPRPGWRWRRRQSAGARTAYARCPAGANAARDTGRAVQPRARADDGTRD >Sspon.01G0026400-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:84079579:84090654:-1 gene:Sspon.01G0026400-2D transcript:Sspon.01G0026400-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSSADDAELRRACAAAVAASGARGEDVAFSIRVAKGRGIFEKLGRLAKPRVLALTVKQSSRGEANKAFLRVLKYSSGAVLEPAKLYKLKHLTKVEVISNDPSGCTFVLGFDNLRSQSVAPPQWTMRNIDDRNRLLFCILNMCKEILSYLPKVVGIDIVELALWAKENTLTIDNQVSTQGGQETSVATQTERKVTVTVENDLVSQAKEEEEDMEALLDTYVMGIGEADAFSERLKQELVALEAANVYQLLESEPLIEEVLQGLDAASATVDDMDEWLRIFNLKLRHMREDIASIESRNNGLEMQSVNNKGLMEELDKLLERLRIPQEFAASLTGGSFEESRMLKNVEACEWLTGAIRSLEVPNLDPCYVNMRAVREKKAELEKLKTTFVRRASEFLRNYFSSLVDFMISDKSYFSQRGQLKRPDHADLRYKCRTYARLLQHLKSLDKSCLGPLRKAYCHSLNLLLRREAREFANELRASTKAPKNPAVWLEGSGGSGHNGSSADTSTVSDAYSKMLTIFIPLLVDESSFFAHFMCFEVPALVPAGSPNANKSKSGGNDADDDLGLMDPDGNDLKPDSTSAELGTLNEALQELLDGIQEDFYAVVDWAYKIDPLRCISMHGITERYLSGQKADAAGFVRKLLDDLESRISVQFSRFIDEACHQIERNERNVRQTGILAYIPRFAVLASRMEQYIQGQSRDLIDKAYTKLVSTMFATLEKIAQSDPKTADIVLIENYAAFQNSLYDLANVVPTLAKFYHQASESYELACTRHISSLIYLQFERLFQFNRKVEELTYTIAAEEIPFQLGLSKTDLRRVLKSSLSGIDKSIGAMYRRLQKTLTSDELFPSLWDKCKKEFLDKYESFVQMVTRIYGNEPIMSVNEMKEVLASF >Sspon.02G0015900-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:41984375:41986677:-1 gene:Sspon.02G0015900-1T transcript:Sspon.02G0015900-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSRARRLHPAVRRFLLPNTPALSRHPPPPPQHSASSQTSKTFSILFRRHLCSSPPATPPSTSPPPAVVSSDLPAVRVNEVCPGCGIAMQSSDPALPGFFSLPAAKSPDYRARLAPVTADDTRISASLKSGHLREDLEPSGGDKPAAAAAETAEPKGEGKVLVCARCHSLRHYGRVKHPDAERLLPDFDFVAAVGPRLASPSGARSLVLLLADASDFDGSFPRAVARLVAAAGEAHRADWKHGAPANLPRALLVVTKLDLLPTPSLSPDDVHAWAHSRARAGAGSDLRLAGVHLVSAARGWGVRDLLEHVRELAGTRGNVWAVGARNVGKSTLLNAIVRCSGIAGRPTLTEAPVPGTTLDVIKLDGVIGAQAKLFDTPGLLHGHQLTSRLTSEEMKLVQVRKEMSPRTYRIKTGQSIHIGGLVRLDIEELTVGSIYVTVWASPLVPLHMGKTDNAATLIKDHFGLQLQPPIGQERVKELGKWVRKQFKVSGNSWDTNSKDIAIAGLGWFGIGLKGEAVLGLWTYDGVDVISRSSLVHERASIFEEAGFTVSQIVSKADSMTNKLKSTKKPNKKKERTKSSSPLTEPEASEPASTIDA >Sspon.02G0029540-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2D:97626814:97632718:1 gene:Sspon.02G0029540-2D transcript:Sspon.02G0029540-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VVVAVDVVDPIHMVLASVTRTLAQGGRWLDPGFQEERRAYLDCSFPSFGDSFPHWALEGIEQVATRLPVRPDYVGGHHQPRSLNSVYPNLIENTEEEGWMFNAVDCLLSYMVYVEGLRTNAVQTAWAMLALIYAGQEHVGNTNSSVYFNFPNYRTLYPIWAIGVYRRKLLAKK >Sspon.02G0045060-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2C:107190692:107191939:1 gene:Sspon.02G0045060-2C transcript:Sspon.02G0045060-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAADLPVDLVEEIFLRLDDAADLVRASAACAAFRRVVSDGRFHHRFMSLHRRPVLGLLSRGFRFHPAEPPRRSAQAGRAVAGAAGFSSSFLPDQAEHCWHVHDARDGRVLLSPGDATTCLLSFSYLVVYDPLHRKHVQIPPIPKDIVACTCSLQQGCSCGSDCNCECGCGCEFDPFLVPADDDEDDLSFRVMCTVLSTGLEYDFLLETFVYSSVTGKWRGVASLSDTDYEPLYDLSSMDRHYVHGCFYWVASYSEKDMLVLDMNEMKFSVVRLPPGTKHKAKVVVKAAAEDRIGLLVLCRSKKKLHLYTMAFGDPANDCWQWRHDAETTLLDSYLWLFCGAADQGYALLQGVPRDEYLAWSSSPEEMRPKTNAHYFTVELQTLLVEQLCVTEFDTELALLYASFPPPFALPSI >Sspon.07G0018850-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:68297361:68301335:-1 gene:Sspon.07G0018850-1A transcript:Sspon.07G0018850-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQSGSELHKRMEALSLQASALRDALQRSEENTDSAVAALDSFDRHFSAIEASIRPVQVRVQASTMANENIDRTIETAEAILAQFEIVRRAEAVILRGPHEDLKSFLEAVDLLKGVIHFFSSNKNFKSCEGVLNQVNNLLTKSKLKIEEEFRQLMSTYSKASEPALLLDCLPKLALRSEGNSESVGEQLSKSFESATYRTPILIPPRILPLLHDIAHQLVQDGNQQSCYRIYRDARSSALELSLQKLGIEKLTEDKMQHWVSSNVGTWTHIMHITVKVLLAGERKICDQIFDGITFNKDQCFAEVAGSSVMTLLGFGDVVAKSKRSHENLFLLLEMYGLMHGIQSEVEVTFQGNFCSGMREAALNLTKSLAQAAQETLLDLEVAVEKENSKTIVQNGNLHPFTIEVMNYVKGLFDYQSTLKILFHQPQSGSETESQLVIITMKIMQAFQNNLNGKSNQYKDPALSHIFLMNNLHYMVTFVRRSESNDILGGDWIQRHRKIVQQNANQYKRVAWAKVSASSAIG >Sspon.02G0026620-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:94505071:94507989:1 gene:Sspon.02G0026620-1A transcript:Sspon.02G0026620-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAAAPTPKAVLHQRFGAKARYTVEEVREAVGGCPGLAPQTRTVYRCALDLPGLSVATPGTFLRKKDAEQAAAQIALDKLGIQPTANIPSTPQEAWDELIARISGFFIDENFLSSTHPLVGHLCVTLRRTGDLIGRIPLPAIAACDVKVNTLCKVIDHRAEFDPLLVLSLIYNAAKQSPGVSVSDSNFWIQSEKPYSPEAVELALERWSGTTDPIEVEAILVPHMLEDELKTVRINLRDNEHYMSYVAAELSASDSSHVLVSRTIGKASSEIRLYFAAPNIHVVSDISKHALACHGDGDINWQVNKRASYICGQTIYGDALLANIGYTRRDSKLQTEDVNLCTYYRILLGKLPDGNCKMSRDSILAAELPSAYSRFSWKGLSPRDLLCSFCRLQRLPEPHFAVSRVSCDRLMSAVSSEETGAPADSVENQYTNDVRINKETTDLYKCEVNIRSRKQEILLEYSAADTWSKNLMLFRILH >Sspon.01G0021130-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1A:77774323:77774856:1 gene:Sspon.01G0021130-1A transcript:Sspon.01G0021130-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding EEGQLLEPYLENIVSPLMSLVRSKTMELAAGTDELLDIIKPLCIIIYTLVTVCGYKSVIRFFPHQVSDLELAVALLEKCHTMSSATALRQESTGEMETKCVVLLWLYILVLIPFDISTVDTSIATADNVNGPEVVPLVTRILDICKDYLSSSGPMRRMSGLLLARLLTRPDMAKAFS >Sspon.06G0035990-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6D:87617189:87617476:-1 gene:Sspon.06G0035990-1D transcript:Sspon.06G0035990-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGTAAAQLLKAATLEPWSALPPPSCSRPPRWSHGRHCRRPAAQGRHAGAMAGTAAAQLLKAATLEPWSALPPLSCSRPPRWSHGRHSRRPATDA >Sspon.05G0024960-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:19135900:19138018:-1 gene:Sspon.05G0024960-1B transcript:Sspon.05G0024960-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding HAMRPTTEPERLVGLRALRRRSVALAAVNLATAAVGVAAEVAGVWMRCGIKERCAVGAVAALPVARIVAMVGMARAQEVTALAVASDAERGGGSADGPSHDFAKRESQIYKLENQQSLPLPISKTAQRLNLGNVPVAVLRPHRRILPILPVSISVPVPNHPRAPLSVGMAAARAQPPPPRPD >Sspon.02G0023190-3D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2D:71490142:71497570:-1 gene:Sspon.02G0023190-3D transcript:Sspon.02G0023190-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding WRNKQA >Sspon.04G0013460-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:53378947:53384219:1 gene:Sspon.04G0013460-3D transcript:Sspon.04G0013460-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAAAAATMTGAGKVVCVTGASGYIASWVVRLLLDRGYTVRATVRDTGARIPARPPPHLFFPFPLIPCADPKKTLHLTALDGAKDRLHLFKASLLEEGSFDAAVHGCDTVFHTASPFYHNVKDPKAELLDPAVKGTLNVLGSCKKASIKKVVVTSSMAAVSYNEKPRTPEVTVDETWFSDPQICEKNQQWYVLSKTLAEQAAWKFSRDNGFEIVTINPAMVIGPLLQPTLNTSAEAILKLINGSSTYPNLSLGWVNVKDVALAHILAYEVPSANGRYCMVERVVHYSELVNIIRSMYPTIRLPDKCADDKPFVPTYQVSKEKIKSLGIELIPVETSVKETIESLKEKGFVSFDSSNL >Sspon.01G0002000-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:5647811:5648526:-1 gene:Sspon.01G0002000-2D transcript:Sspon.01G0002000-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALASVFSFPFCALFRQSCTYPVCYLQDPNGQVTFKHGDKTLVDSRDICRYVCNQFPNDGNKTLYGSGALERASIEQWLQAEAQNFGPPSSALVFQLAFVPHLSHLGIRQDPAVIAENEDKLKQVLDVYDEILSKNEYLAGDEFTLADLSHLPNSHYIVNTERGRKLFTNKKNVAKWYDKLSKLETWKQVVKMQKEHPGAFE >Sspon.02G0008880-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:27698525:27701768:1 gene:Sspon.02G0008880-1P transcript:Sspon.02G0008880-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEEAAESCGSHAAAAAASSGGGAARPATSSSSAAAAAAAQARKQQQSNKLEVYTEVLRRLHDAGLPDARAPGFDDELWNHFNRLPARYAMDVNVERAEDVLTHKRLLEQAKDPAQRPAFAVRAVQVSPILDGNQTDADSNTAGEEVASRPLNRQQSIHPPPAFGSSTNLEALALEASKSHQDHDSTSDNGRSLYRPMHEITFSTIDKPKLLSELTSLLGELGLNIQEAHAFSTNDGYSLDVFVVVGWHDEV >Sspon.03G0001240-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:9411458:9417485:1 gene:Sspon.03G0001240-2B transcript:Sspon.03G0001240-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDDLTKMRQATAQVLASQKRLENKYKAAEQASADWYRRAQLALQKGDEDLAREALKRRKSYADNASSLRSQLDQQKGVVENLISNTKVLESKIAEAKQKKDTLKARAQSAKTATKVSEMLGNVNTSSALSAFEKMEEKVMAMESQAEALGQLATDDLEGKFALLETSSVDDDLSQLKKELSGSSLKGELPPGRTAVSNSGAGRPFPDLEIESELNELRRKAKEY >Sspon.07G0013330-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:47493014:47493502:1 gene:Sspon.07G0013330-4D transcript:Sspon.07G0013330-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AMNDCTHQPMPNPEPASPAPAPAPTAAPPQEATGDSESDTPVSGLSLTVGDRPLVAAGYAEDDAELCSGADNNCVPAASVDADDGRGADDDAAMEGDENEVDSRMSVPWWRRTVQDAAAGAGAGGGCARPQAAAEGGAAVAAGGSHATESNRLFWEACIAHGY >Sspon.05G0007930-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:18177623:18178511:-1 gene:Sspon.05G0007930-2B transcript:Sspon.05G0007930-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKNTQQPRKDGGARSGGLSSKKSRWYQRAVEVLLLIWKQPAAAAAGASPTKAAAASGVTAGGKGAAAAGPGKLRKSSSLNVAASFTRVCLCAPISSYNSESLYCFQADAAPRRSYSYPRASSASASGGCGVSPLVAPPPAAELQAAAGRGRPAAGELGARRVFRGKSLTDDILMRRFVVDEEATRRRNEMEVIRRRHAAATKRRRLGPSPLRRMALAESESEAEEEAPEAPPAAAAPPAAAAAGTGRRVTDNRVAAAVA >Sspon.08G0010510-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:40589515:40593120:-1 gene:Sspon.08G0010510-3D transcript:Sspon.08G0010510-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARPVSTAKAMAVVVALLLLAASSAVLAVHDYGDALRKSILFFEGQRSGRLPPTQRLRWRQDSAIHDGAEAGVDLTGGYYDAGDNVKFGFPMAFTATLMSWGLIDFGRSFGAQHESDARAALRWATDYLLKATSTPGTVYVQVGDASRDHACWERPEDMDTPRTVYKVDASHPGSDVAAETAAALAAASIVFRDAGDPGYAGRLLDRAVQVFEFADAHRGAYSGSLRDAVCPCYCDYDGYQDELLWGAAWLHRASRRRVYREYIKRNEVALGASVSINEFGWDNKHAGINVLISKEVLMGKDEYFRSFRENADNFICSLLPGISGHPQIQYSPGRSTVNQLTHHCFLQPWMCIALHALNVSADSISMAAAGGLLFKVGNSNMQHVTSLSFLLLAYSNYLSHADAGVSCGGAASASASPVQLRRVAKRQVDYILGDNPLRMSYMVGYGPRYPLRIHHRASSLPSVSAHPARIACKAGAAYYASPAPNPNLLVGAVVGGPSNSTDAFPDARAVFQQSEPTTYINAPLLALLAYFSQHPDPAQHY >Sspon.01G0048760-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:100288234:100291964:-1 gene:Sspon.01G0048760-2D transcript:Sspon.01G0048760-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAVAPAATLSLVDPKSEGGKGPETKDKASGGLNGVSKGEVVSLNPPASSVEPWAQQDYKDAAMYYGAYPGAYYCGGWGDYSVYVSQDGGDALSPSVYGDMYCYPHYGIADGQIYGSQHYQYPSTYYQPKTTASKPPAYKAKTGKSSPSIQEDVSTVTAAGQQPVLLDSSKTTLKSIDGVKGLKKETLPLKPNGRFGNYQNQGSKTAYTWSGGRTSSEKHPKFSGGSPTSTVSNNNKGLHGQNSSMGLPSAGFMSSAYSGSGMYNTNTYAPSFWYGSHVYGPGLYGGWNAFSNGKYRPRGKTYGSYGFGNENLDGLDELKRGPRSSLFKNQQGSGAAVDAKGQEPPSSDGSNAVKQEQYNLADFGETYSDAKFFIIKSYSEDDVHKSIKYNVWASTPSGNKKLDAAYQEAKEKSSSCPVFLLFSVNTSGQFVGLAEMVGRVDFNKTVEYWQQDKWTGCFPVKWHIVKDIPNSSLKHIILEYNENKPVTNSRDTQEVKLDQGLQVLKIFKDHVCKTSILDDFSFYDDREKIMQEKKSKRQHPVEVMNRKLAATNIAENQATDGKQSLQKPEAIGEQNAVVEN >Sspon.04G0010760-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:32797432:32801950:-1 gene:Sspon.04G0010760-3C transcript:Sspon.04G0010760-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTRQRVLAPWAVLLLALQVAGASHVIHRSLEAEAAPPSVPASIVSPLLRTGYHFQPPMNWINAPMYYKGWYHLFYQYNPKGAVWGNIVWAHSVSRDLINWIALDPAIYPSIPSDQYGCWSGSATMLKDGTPAILYTGINRPDINYQVQVLAFPKNASDPLLREWEKPAAYNPVATPVGGINATQFRDPTTAWYADGHWRMLVGSVRDTRGMALVYRSRDFRTWRKAKHPLHSALTGMWECPDFFPVSGPALEDGLDTSDAGAKYVLKSSLDLTRYDYYTIGSYDKRKDRYYPDNPNGDYHRLRYDYGNFYASKTFYDPAKRRRVLLGWANESDSVPDDKAKGWAGIHAIPRKIWLDPSGKQLLQWPIEEVEKLRGKPVSVGSKVVKPGEHFEVTGVATYQADVEVIFEISSLEKAEAFDPAYDNDAQKLCGVKGADVKGGVGPFGLWVLASADLQEKTAVFFRVFKDGYGKPKVLMCTDPTKSSLSPDLYKPTFAGFVDTDISSGKIALRSLIDRSVVESFGAGGKTCILSRVYPSIAIGKDAHLYVFNN >Sspon.06G0017740-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:72465816:72471530:-1 gene:Sspon.06G0017740-2C transcript:Sspon.06G0017740-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPGPPSACEPKRLSFQSGPKNFDPKVDVNNFKYHNPQFRNTMHVARTRMARNPLRHRQSIMYSHRKKHFHVPVVNVHFLKGHSSCNKEFDQLDADAVRMELQAPDVEPSVAQARNIRNLKFAIVSAQWNYWTISAAPEAINRLVKIQLSFALQRSAPVPPPRLVSSVQLDAEVMKSRATLEQISYCHTRILALGIVRCIDLKVLDAEPNRLGTLILTY >Sspon.06G0015480-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:85095965:85099666:1 gene:Sspon.06G0015480-1A transcript:Sspon.06G0015480-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEGITEIPKEIGNLKFLQVLDIHETNITELPSTFAQLRQLVYLRFGVVIPETDTFGYINLKSPTMLDILGGLTELRRVSLAFTQWDERYEKPLLNWLFNMVSLKYLHIDGTVSSIDIGSRYDELSPPGLQQLQTIVIPCAICSIPRWMSSLCSLSVMRISLLTQRLEDLQILGNIPRLSHLSITVEETTKSREKGLLVIGNVYPFLCLTKFEIYNNYMVVMFAEGAIQQLQTLKISVRESMDQFGNSGFGLVYLSSLEHVVVDMVLSGAKPEEVEAAKAAIQNAVDINPNKPELKFEKLIR >Sspon.01G0015080-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:43166088:43169240:1 gene:Sspon.01G0015080-1A transcript:Sspon.01G0015080-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGGMTPATADAVEELTRLYRELPPRPAVEEAEAAAAVLASADAEEEARLAEIAREEAAARARPPAQGVPAELLDVLWEARRNSVRLRALQQRKEAAHVIELERRFKLFDDLIQRASRAVSPGGGGARGGGGVAVVDHEVVEVEARRKTALAAAATEIDRGSRGALVLEPTSVSSLRRAASAGTDTEKLGLIQVASLIESSAKKGTTELNLRGKLVDQVEWLPVSLGKLQDVTELDLSENRIMALPSTIGSLRYLTKLDLHSNQLINLPDTFGELSSLIDLDLHANQLKSLPTSFGNLTSLANLDLSSNLLKVLPDCLGKLKNLRRLIAETNELEELPYTIGLCTSLVELRLDFNQLKALPEAIGKLENLEILTLHYNRIKGLPTTIGHLTRLRELDVSFNEVETIPENICFAASLVKLNVSRNFADLRALPKSIGELEMLEELDISSNQIRVLPDSFRHLSKLRVFLADETPLEVPPKEVVKLGAQEVVNYLKNMVAAREVSQKETDKRSFWTWLGSLFGCCKKNQGLGPVPV >Sspon.04G0012270-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:39948872:39951213:1 gene:Sspon.04G0012270-1A transcript:Sspon.04G0012270-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVTPKAVMMSQQDRTVRILQTLVEINNHAVRAKPSWFRLVCCSSSSCSVAAAAASNALPSAAARGKMVLQNDIDLLNPPAELEKLKHKKKRLVQSPNSFFMDVKCQGCFSITTVFSHSQTVVVCPGCQTVLCQPTGGKARLTEGCSFRRKGD >Sspon.07G0005390-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:13637085:13639995:-1 gene:Sspon.07G0005390-1A transcript:Sspon.07G0005390-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFDELLKSVFPDGSDPDGAVTGKPDLTSSLQRQGSIMMPPQLSKKTVDEVWKGIQGGPETSVAADGRQRRERQPTLGEMTLEDFLVKAGVVAEGLMKDSADLPSNMDTAGSSVVVAGASSLNPGAQWLQQYQQQALGSQQPSLVGSYMASQLRPQPLSIATGAILDSIYSDGQITSPSFGALSDSQTPGRKRGALGEVVDKVVERRQKRMIKNRESAARSRARKQAYTNELENKVSRLEEENEKLKKQQELDEILSSAPPPEPKYQLRRTGSATF >Sspon.01G0006000-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:15712022:15713565:1 gene:Sspon.01G0006000-1A transcript:Sspon.01G0006000-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLRPMALPPFLILLFLLSCPRSSYSGEQKRFTSILSFGDSYADTANLVRWEDPVLESVNLRNPPYGETFFGQPSGRPTNGRIVLDFIGTSITTGTAGFIYFTSITPLIIYELTLLAPEFAADALGLPFVPPVLSRGQNFSTGVNFAVAGATALNLTYLQGHNVTVVPPINSSLNDQLRWFEKLKPSFCRSTPAGTASSGCFGESLFIMGQFGGNDFLNMLIASNMTLEQTGSFVPEIVNTISIGVERLIRHGAKYIVVADIVPVGCLPVTLAAQPSPNKGDYDRYGCLNSFNTGLSQYHNALLRRRVDVLRRRYPHTRVVFAEHYRAWSRFNRSTTLVSCCGGGGPYNQNWKVPCGTPGATACAAPSTAISWDGIHLTESAYSSIAHGWLHGPYADPPILQLLN >Sspon.04G0035570-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:14000520:14002419:1 gene:Sspon.04G0035570-1D transcript:Sspon.04G0035570-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSPPLIDWEAESYPAYPDFAAIPFFVVFFLVVRFFLDRFVFEWLARKLIFKEDEKLGPTTYTGKRKIMKFKESAWKCIYFLSGELLALSVTYNEPWFTITRNFWVGPGDQIWPDQKIKFKLKAVYMYVAGFYTYSIFALLFWETRRSDFGISMTHHVATVCLIALSYIFRFARVGSVVLAIHDATDVFLELGKISKYSGHKLFADVSFLVFVSFGYSFALYIIHSGFSGARVVLTLDKEKHKVDGPIYYYVFNSLLFSLLVLHIYWWVLMYRMLVKQIQSRGHVGDDIRS >Sspon.02G0008040-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:12787503:12788445:-1 gene:Sspon.02G0008040-1P transcript:Sspon.02G0008040-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding PWQWRSKRAYPARMLLHNGESSPTRARRDDDYRRMVMAYLIEAVYLLELERQERRDAAAVAQQWWKPFHFRLAHELVDDRDGSVFGAVFERDHHGVSSDAGRPSPSGAPSAVIAFRGTLLRAPTIRRDVEDELRLLARNSLRGSARLARAVQALSATIDRFGSENVCVCGHSLGAGFARQVIRMLVASSAPRQQQQQQQQAAAFAAASLESHLFNAPYLSLPMGVRSVVKTADCLLKALRSGVATVGKWHGKALRNVAYANCILGYTRLESTRKL >Sspon.06G0005070-3P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6C:16250801:16251526:-1 gene:Sspon.06G0005070-3P transcript:Sspon.06G0005070-3P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DPREKRIATVRGKKPNGPRRLKPAIRGTCPSTNQRSPVPSYIINAPPPRLLSHPTTSNTSPRIPFLPTRSLPNSNSHRTNGAQGEKKPAAKKPAEEEPAAEKAPPEEAKAEKRLPAGKSAGKEGGGEAKRGRKKGKKSVETYKIYIFKVLKQVHPDIGISSKAMSIMNSFINDIFEKLAAEAAKLARYNKKPTITSRKIQTSVRLVLPGSSPSTPSPRAPRPSPSSPRPRLRGCPRRLQASL >Sspon.04G0016780-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:11916523:11922073:-1 gene:Sspon.04G0016780-2P transcript:Sspon.04G0016780-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAADGEACAVVATAADIICSLRGADLAGWTPPWRKHEPACDGGKEEGDGGGDDARDLAWPAVARGKRSRSSRRESPSASGSAASADAKEKKKARRGARGSPASPLDYSGGSGSGSGASTSGGEDGAFCSQPQPEPAWVASPDGGRSSRCHHLGRPDSGRGRWLPEIQQMVRSLSVENDALRKEMKALQRACTTLSQENGKLETRLDQSIRLNEVISVENKGKKPQPEHQRTAEQEAPTGFVLPDLNLPADV >Sspon.04G0034770-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4C:79105388:79105789:-1 gene:Sspon.04G0034770-1C transcript:Sspon.04G0034770-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWAPLRCKFFLWLDRCWTADKLARQGLPYQPCYPLCDHKEEDIEHPRFNLRLLPPSLVRCPRQVRLASNIRHLAPGPHDDSFQRWWHEATRRAPKMVRKDLNSLMILQAWTLWKHRNRCVFVGVTPSVSESQ >Sspon.07G0018900-2D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7D:73562862:73564100:-1 gene:Sspon.07G0018900-2D transcript:Sspon.07G0018900-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSHGQGRRVEPVRAATSIGVGALPLDAMYDILLRLPAKELCRLRLVCRSWCSLLSDPAFAAAHAARYPEPLILVGHNNNPLLDSENGDWKYQGVISVIDLSGHVVKKLRVDGHITSMSLHLACVKKTGDGSCRLVNPATGAVHHVPREDPVYGYSDRLCPFGQVASTREYKVLRKVSYSLNGNSRVLYEICTIMGRSSGVHGQAQQAQWRMIQGPPHCIDIDWCAKASVVIDGVVYFLSKNYASSAAAGGSEKQDWIASFDLETERWRPNIRGPNIRRPNIRVPPSLLNGNYNGLVVDKELSLVNLNGSLVIVHLPYPCMDLWYLLDSDKGLWVRQYSISIKAYYCRSLSSFTPLIVLDDGRIIIHIERLGMGMLKIYDPKTNKLSSAAETGLSSAVSVYTGTLLSLEW >Sspon.06G0009500-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:50833105:50836461:-1 gene:Sspon.06G0009500-1A transcript:Sspon.06G0009500-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MENQSAHPQHGMADQGFRLLATTPLSSSVNTAPIIIPIWAPIQMPALPSNSADDGYIWKNLGMQEIPGSGPFICYECSQADCTVKKSVVLSADGKISEIVYKGRHNHPRPPSSEMCPRDVPTGYISDSQYYHVPSEMYIAGTSIPETEEGGEQEQLGSSSDSEEEDGGEQRADGHVAGASTTERKRRPESKVWEEFTKVFRDGKLQAAVCKHCESSLSAKTTGGTSHLRRHLKTCPARPATGRLQLQRPSSHPILSVENNSNLDQDKSLGLLAKALFCNLCSFSLTSNTNHRQLLAAICPTYDVVSQSAIQEKFLSIFQNEKLKLKEEISLAPGGAFLTVAKWVLGTKYFICVMAHFIDKEWNMIRRIIRCSFAGCKVDTASAYISMFPDFQSYRNVRIWDRKEEEEEEEEEEEEEEEEEEEEEEDFQPDTVTIIKEVVQSWSLDGKLLGISFPPSLFHTDISGLQKNLAEHNYLVRNNLLSLPCIVESLSEIFCCNDFTVRKLCDDWFTYMTCSPLRSEKYKEILLQLQISRPTFGSQKWYLAFYFLEAALQYNKVFPNPEQIDSGFYASESKPSDKEVQDTEDFCNIARVFYDAIQVASSPCNATLNSNFHTIWNLKIALTRSSGKAQKLFHHDSMKKRFDEFWEKWYLWLCLAVVLDPRYKIRFLDHSLKEAFGSDAKKYMLEVRAKIYELFFLYSIHADHQGGECSNDSNTDVPVHEGLGELNRYLEGECLPENVPFDILKWWKGNASMYPTLALLARDILSIPACVVSSESAFDETDERVSLFNRKLSPEVVEALICTQDWIKSS >Sspon.01G0048810-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:110466860:110472054:-1 gene:Sspon.01G0048810-1B transcript:Sspon.01G0048810-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSVLLSPSPPPLPLPSATATATAASYLIFSGHDRRRRRPLEHGCRCGRPPETPLGSSSGRRGSYGDEKAAPGPLGLDGSSTSSSDRRTGSAKPRYQVTLKEEDTKNADDVGWQKWQYSTEGKSRYVKVFAVDLSPDNVAVAIVYFVQGVLGLSRLAVSFYLKDDLHLEPAETAVISGFSALPWLVKPLYGFISDSIPLFGYRRRSYLFLSGILGALSWSLMATIVDDKYSAALSIVLGSLAVAVSDVVVDSMVVERARGESQSTSGSLQSLCWGSSAFGGVLSAYFSGSLVDTYGVLLVTGLNRKLGISDEWFSIGDSLIITVLGQASFMPVLVLAAKLCPAGVEATLFATLMSISNAGGVAGGLVGAGMLATCRRGFADLRVAKSWTRGGVLPLSGTDLFRNV >Sspon.07G0000890-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:10771539:10773375:1 gene:Sspon.07G0000890-2B transcript:Sspon.07G0000890-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAQQSVNAGKAKVDMQVDLTHMLCEALLLPPLRSSGVTLSQIVGRISLKHPNLFGRSEKLDVILDKGINDSNVVVAYSAQGLSGYHSNHFNQHSMTPEVAVHGFPADNFTRSGSRGINLSRLSFGLELNEPATSNWTSGTSVKFEHIRPVNNQGHSIARDHDGFPLTCSGNLHDNMIILKQESGYADVNDNSFLKVNFQMEQGLPLVPKSLTFNRVKCAVSKGIKLGPTFLVTSLTGGSIVGDMAPYQAFAIGGLGSVRGYGEGAVGSGRLCLVANCEYTIPL >Sspon.02G0049700-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:41781131:41782810:-1 gene:Sspon.02G0049700-1C transcript:Sspon.02G0049700-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEQPGSNQLRLHLAWVKPATTPSPRRPGLGTAEDEAWHGAVGDKGTAGNGGGSKPEWARGAGWRCHGGWRWQCGERTQPFLSHEWASKGGKDCLCLTLGLSWARDREEPPWRADSVVAGRGRARPPPGAWVPSSPATGMASSIGTGRPRVQTPSRAWAPSSLAVGGRGRRRERGLHHLRPRARPLHERGPHRMEAHWSPERACQVEARAPPPSQREPATGARASAAAVALVGASRRGTGERDRPGAPERNHQGRCRRE >Sspon.01G0063250-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1D:111838806:111839044:-1 gene:Sspon.01G0063250-1D transcript:Sspon.01G0063250-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding PLVPVARSPARRAWLPAHCSPAAPRAAWPLGSSPLARDPARPRRCRSRAPMPEATAQWVGDRHGGLGTWDRVFFTYWTL >Sspon.01G0015190-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:45022879:45028666:1 gene:Sspon.01G0015190-3C transcript:Sspon.01G0015190-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGDCQVLSSMAAMAGASSSADALFIPNPGALAGFMSSSAAAMPFHHFSTAAASLIPKEEGGIMGALQVAKDEDMDHLEMDMELSGGSGSAHLDGLLSFPDVDDDRPEQKPQHSGLELQTTVDAAGQQQLATANGKKKRYHRHTAHQIQQMEALFKECPHPDDKQRLKLSQELGLKPRQVKFWFQNRRTQMKAQQDRADNVLLRAENESLKSDNYRLQAAIRNVVCPNCGHAAVLGEMSYEEQQLRIENARLKDELDRLACIATRYGGGRQPSMSSALGCLSAPPPVLMPPLDLDMNVYTRHFTDQSSVMGCGDLIQSVLAPPQQITAGAAEHHATSSFMGAIGPVQEQDRQLVLDLAATAADTLGKMCRAGEPLWLRRRASSSEVMVADEHARMFSWPVDGGQQGSASTGAAARTEGSRDSAVVIMNSITLVDAFLDANKWMELFPSIVSKARTIQVINHGARSGHMGSGSLLLMQAEVQFPSPLVPAREVVFFRYCVHNGDEGTWSVVDFPAEGFQLEALQTSSVVKCCRRPSGCIIQDMPNGYSSVVWVEHMEMVGEEKPLHQVFKDYVASGYAFGATRWVSLLQRQCERLASELARNIADLGVIRTPEARTNMMKLSQRMITTFSANISVSGSQSWTALSETTEDTIRVTTRKNMDPGQPSGVILTAVSTSWLPFSHQQVFELLADEQQRCQLEILSNGGSLHEVAHIANGSHPRNCISLLRINAASNSSQNVELMLQETSTHPDGGSLVVFATVDVDAIQVTMSGEDPSYIPLLPLGFAIFPATNPSPAATSTSSGNGESSPGNTDEPASGCLLTVGMQVLASAVPSAKLNLSSVTAINSHVCNAIHQITTALKGQGAGVSGVEPVAAAGSD >Sspon.05G0010190-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:20435975:20445498:1 gene:Sspon.05G0010190-3C transcript:Sspon.05G0010190-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMGASGSKLEKALGDQFPEGERYFGLENFGNTCYCNSVLQISQAKKKTGVIAPKRFVQRVKKQNELFRSYMHQDAHEFLNFLLNELVDILEKESSAAKDSPQSSSPEKAPNGPVQPLANGVKKEPPVTLVHKNFQGILTNETRCLRCETVTARDETFLDLSVDIEQNSSITSCLKNFCSTETLNAEDKFFCDKCCSLQEAQKRMKIKKAPHILVIHLKRFKYIEQLGRYKKLSYRVVFPMELKLSNTSDDVDTEYSLFAVVVHVGSGPNHGHYVSLVKSHNHWLFFDDENVEMVEEQTLQTFFGSSHEYSGNTDHGYILFYEGLGGKS >Sspon.02G0052960-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:98728830:98731920:-1 gene:Sspon.02G0052960-1C transcript:Sspon.02G0052960-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPSPTPTVVATAAPATSPSTSLCLCIGPTSPDRRPAESEQPSPERRWGTCCELPVGIACLLLLDGRQLPSSSSSGYLILGSMKETRRMVFHEGRCDVEELSNGKGKQDWMLGCFYLLTGVIIFTCNTVLQSFRNSSMLLNYILAECGVALGCSSEEIPRTSSAFSSRWSMSADALQAALFAEGCLPGLTAAGKWDRLRVAPRAILRAGSPSPYTQAYI >Sspon.08G0014740-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:59918650:59924674:-1 gene:Sspon.08G0014740-1A transcript:Sspon.08G0014740-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPKPEGGDADGAAAAEVGSPRPGYFRQRSMHAAAADPEAAARRAFDVENPPCSAGGAGGGLRPSESVTKLESLERAERAALAPAVVLRTGFYILVWYAFSTCLTLYNKTLLGDKLGKFPAPLLMNTVHFALQAGLSKLIIFFQSKGPEATVEMGWKDYFIRVVPTALGTALDINLSNASLVFISVTFATMCKSASPIFLLVFAFAFRLENPSIKLLGIIVVISTGVLLTVSKETEFDFWGFIFVTLAAVMSGFRWSMTQILLQKDSYGLKNPITLMSHVTPVMAIATMILSLLLDPWSEFQKNSYFDNPWHVMRSFLLMLIGGSLAFFMVLTEYILISATSAITVTIAGVVKEAVTILFLMALMKDLFTLVFQVAVFYFHDEFTWLKGFGLFTIMVGVSLFNWYKYEKFKRGQTNEDDVNSPPFTGDVKYIILDDLEYQDEFEED >Sspon.08G0004910-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:12219718:12222976:-1 gene:Sspon.08G0004910-4D transcript:Sspon.08G0004910-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLPLYLCIKDKAYVICVWELQQATGKVKYHVLIYETLNATSPTRATGRQRKHSSVLKVRCWVAAHHKHNSNAQQFQAIGIGIAKILHAFYQFCRPHTIFGTIIGITSVSLLPVKSLDDFTLTVLWGYLEALAAALCMNIYVVGLNQLFDIEIDKVNKPTLPLASGEFSVPTAVLLVVSSLVMSISIGIRSKSAPLMCALLVSFLLGSAYSINVPLLRWKQHAFLAAFCIIFVRAVLVQLAFFAHMQQHVLKRPLAPTRSVAFATCFMCCFSAVIALFKDIPDVDGDRYFGIQSMTVRLGQQRVYRLCINILMTAYAAAILVGASSTNLYQKIVIVTGHGLLAFTLWRRAQQFDIVNKEFILCRIGEL >Sspon.06G0015510-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:85213744:85215884:-1 gene:Sspon.06G0015510-1A transcript:Sspon.06G0015510-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGNRIHCHDKIKHEADINGNIKIFTEVEIEIITRNFSTLIGKGGFGEVYMGILDDDNEPVAVKKYINEDLREVFMEEVRIHSKISHKNVVKLIGYCIGEHTLMIVMEYMSKGNLNDILHYSETSIPLDVRLGIAIGGKGFREIFDAAIANENNMKILKEMKKLAIECLSLISFRRPQMTDVVKRLRILRKELKERHENYSESILASHHSWRRNNRQEIIMPTYNSKMQIKKSLGFLKRNLSNSKIQSEPSHVRILTQEELKEVTHNYSYVLSGGTSGKVYKGTLEDNTVVAVKIFSEVLEGFEEAFINGGMILSQIFHRNIIKLLGYSPDADFPAFMYEYAAKGSLSDVLDGHEDFPLDLRSKIAVKIAEALEYLHSSATGIIRHGYVVPSKILLDDNFMPKLTGFSWARKFIKESNTTARDDAISGHQLPSSGFYNDPIHDHCVSLKVKTDVYQFGVLLLTLISRKNFVFYADHDDLISQFRTACLADGSGRAFFDDDIAAHGGDIVLLEEMGRLSLKCFCEEIDQRPTMKEVAEHLRIITRSWKKCSSERATLVSKTVSTSHIAGAEGTKPDRPL >Sspon.04G0023810-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:18866941:18868623:1 gene:Sspon.04G0023810-2C transcript:Sspon.04G0023810-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAFDHLTFTFAAKACAGLRLRRHGRAVHGRALAAGFGGDAYVQNALVSMYMRCRDVVAAEAVFGALRSRTTVSWNTVITGCVKDGRAERALEVFETMVGRGVCIDRATVVSVLPACAQARDLHTGRAVHRLAEVRGLVNYVAVKNALIDMYGKCGSLEDARRVFDEDSYDKDVVSWTAMIGAYVLNDHASKAFALGSEMLLTSEAQPNAVTMAHLLSACASLLSGKHAKCTHALCIRLGLGSDTVVETALVDCYAKCGYMRMIDMVVEKGSRRTETWNAAISGYTHREQGKKALALFKQMLAESVRPDSATMASVIPAYAESADLVQAKNLHCCLLIRGCLGSTDIATGLIDVYAKAGDLGVAWELFQCLPEKDVVAWTTVIAGYGMHGHAQTAILLYSRMVELGVMPNTVTMASLLYSCSHAGMVDKGLRLLNDMRGVHGLMPNAERYLCLVDMLGRAGRIEEAYRLIQDMPFEPSASVWSALLGACVLHENVEFGEVAAKHLFELEPDNVGNYVLLGKVYAAADRWSDVQDLRRVMEGRGLHKDPGSSVVDAKSEVC >Sspon.03G0004200-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:13188734:13190464:-1 gene:Sspon.03G0004200-1P transcript:Sspon.03G0004200-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AMAGCGGFVEKAKPYIAMISLQFGYAGMNVLTKVSLNQGMSHYVLVVYRHAFATLSIAPFALVLDRPVIDQNFYYAGLKFTGPTFACAMSNILPAMTFVLAVIFRMEKLQMKKVRCQAKVLGTVVTVVGAMLMTLYKGPLMQLPWTSRHESPGVHGAEGPAAEISARDWFLGSVFVIVATLAWASLFVLQTHTIKQYSAQLSLTTLVCFIGTLQATVVTFVMERRTSVWTIGFDMNLLAAAYQGIVTSSIAYYVQGLVIQKTGPVFASAFSPLMMIIVAVMGSFILSEKIYLGGVLGAVLIVFGLYSVLWGKHKETQEKEADTKTALPMAVPASSMQDAAADVVEDDAGCNIGNNGVRSSSGVRGAPAAAAASAF >Sspon.05G0024060-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:12052650:12054883:-1 gene:Sspon.05G0024060-1B transcript:Sspon.05G0024060-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding KSGRSVLTWTDGFYNGEVKTRKISNSVELTADQLVMQRSEQLRELYEALLTGECDRRAARPVGSLSPEDLGDTEWYYVICMTYAFRPGQGLPGRSFSSNEHVWLCNAHLADSKAFPRALLAKVPEDPDLISRATAAFWEPQCPTYSEEPSSNPSANEAGEATDNVVFEDLGHNAMETMTAAGNEPVSLFNASLEHITKEIDEFYSLCEEMDVRPLPLEDSWVMVDGSNFEVPSSPQPPPPGATTNNAADTSSAPVDGSRATSFMAYSSCSDEAVAVPVVENPQKLLKKVVAGGGAWTNCGGGGTTGTAQEISGINNHVMSERKRREKLNEMFLILKSLVPSIHKAMKIYAINFFILPSYLKDLQRRVQELESSRELLSRPSETTTRPTRPRGNESARKKLCAGSKRKSAEFGGDVEKEHPWVLPKDGTSNVTVAVSDRDVLLEVQCRWGELLMTRVFDAIKGLHLDVLSVQASAPDGFMGLKIRAQ >Sspon.01G0012440-3C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1C:81553264:81553568:-1 gene:Sspon.01G0012440-3C transcript:Sspon.01G0012440-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ADETATNSEKASIAEVEPLVKDFASRYKAAIELMHYDVITSFSNFLCGMEILRATLAQLLLYYTRLSECVKRINGGSALNKDLVSISSILFEIKKYSRTF >Sspon.02G0008620-1P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2A:25008414:25008848:1 gene:Sspon.02G0008620-1P transcript:Sspon.02G0008620-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MISAKRLVQMARKWQRIATLTRKRVMPISAKEREASCSTSTSVAGKGHCIVYSADGQRFEVPLVYLGTVVFCELLMLSQEEFGFTSDDGKIMLPCDAAVMEYVMCLLRRDASEEVVRAFLSSMVRPCHTVSGVAPWSQRLAVCV >Sspon.01G0039410-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:34550956:34561051:1 gene:Sspon.01G0039410-1B transcript:Sspon.01G0039410-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKKEKAVNVSGKPRHSNDVNRPNDKKGAGGGAGGGSRSAATVRRLKMYKTRPKRDRSGKVLRNDFQSKELPNTRIEPDRRWFGNTRVVGQPQLEMFREELQSRLSDNFSVILKERKLPLSLLQDHQKQARAHLLDVEPFEHAFGPKGKRKRPKLTALDYESLLKRADDSQDAFEQKYASSKLPREEEEDGLRDLVRHTMFEKGQSKRIWGELYKVIDSSDVVVQVLDARDPMGTRCYHLEKHLKENCKHKHLVFLLNKCDLIPAWATKGWLRTLSKDYPTLAFHASINKSFGKGSLLSVLRQFARLKNDKQAISVGFVGYPNVGKSSVINTLRSKSVCKVAPIPGETKVWQYITLTKKIFLIDCPGVVYQNNDSETDIVLKGVVRVTNLEDAAEHIGEVLRRVKKEHLQRAYKIQEWSDDNDFLVQLCRTTGKLLKGGEPDLTTAAKMQSEDGASESAEPVGKTEEDGVSSDRTAAAMKAIAGIISSQQNMNVPCHKEHNADNEDTESADNEDIEGADNKDIDSADNDELADQSECIDDSKFDEKMVSSDRKRGVLKPKMGQKEERKTKAVLQNPRVV >Sspon.04G0007220-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:20181273:20181970:-1 gene:Sspon.04G0007220-3C transcript:Sspon.04G0007220-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGKVYSFEEVRKHSDRKDCWLIIAGKVYDVTPFMEEHPGGDEVLLACVDVHDSPPPPCSSCAGKDATADFEDIGHTDSAKELMPQYCIGEVDAATIPAKLTHVVTKDASRSEKATTSAAGTWATLLQLAVPVLLLALAFALQNYSRAKAE >Sspon.01G0018310-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:53365003:53367146:-1 gene:Sspon.01G0018310-3C transcript:Sspon.01G0018310-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRNKVLIRAAAASSSSSPSPLPPFLLRQLSLSAAVPIPAVDLEILCCRLSRRHRLACLPQPPADALLVFQRCQSLEAAEAVSEIAAAFPGASVEVGEEEALVCSGSLVAEAVRCELRSVMLDHGWKCLGENTYVDSKFDQNEERTDLCAVNVEVRLGRNDDFEFVVSPDAFRYTTHKISDVASPV >Sspon.03G0028760-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:10946983:10955202:1 gene:Sspon.03G0028760-1B transcript:Sspon.03G0028760-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATGGMGSYSQAGTDAAMGHGHRQLSSQWSFSRQDLPQISEMGMIPDIGESIVAGGCNSSSDGGAQAQSSSYLSRNFSVSSWDDTNSIMFSSPSKKPKVDPADDMVTNFSNIDSQVSARPLLPCRAPASSMVWFRADGSTLFLLAMYVQFGLSNSSLELPGMDDYLQLQQDSVACRVRAKRGCATHPRSIAERVGSVPNSFAKALERRTRISKRLKKLQDLVPNMDKQTNTSDMLDLAVDYIKELKDQVETVMGILQCSIFSVLTDHNEQKAALSTDKLAANLRTWEHMNSSGSPPQA >Sspon.07G0016770-1T-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7D:82714363:82715226:-1 gene:Sspon.07G0016770-1T transcript:Sspon.07G0016770-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding APGRYYLAARAYASAQGVPFDNTTTTAIFDYGTGATSSPAMPTLPAYNDTTTVTTFTTSLRGLRKAELPTRVDESLFFTVGVGLFNCSSGQTCGGPNNTRFAASINNVSFVLPSTTSILQAHYTGGGAASVFTADFPANPPVAFDYTAQNVSRALWQPVPGTRVYRLKYGAAVQLVLQGTNVFAAENHPIHLHGYDFYILAEGFGNFDAATDTAKFNLDDPPMRNTVGVPVNGWAVIRFVADNPGVWLMHCHLDVHITWGLAMAFLVEDGAGELESLEAPPPDLPIC >Sspon.03G0010480-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:35377208:35380846:1 gene:Sspon.03G0010480-2B transcript:Sspon.03G0010480-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLRAKGLGLLLLLVLLALCSTIEVSEARRGKHWRSSRSSLGSSQLKKGKGKKSSSRRQHGSNRPIPKPPVSSTPSSGAGKGNQSPYQPSPNAPDIPRPSPDNGSRHSTPKPPTPSCGKGHQQPSQPPPATSQGAVFNVVDFGAKGDGFTDDTKAFEGAWAAACNQGASTVLVPPELEFLVGPISFSGPYCKPNIVFQLEGTILAPTSAKSWGSGMLQWLEFTKLSGIVIQGSGIINGRGQQWWTYSDPEDEDDDDTYDVEFERMPQIKPTALRFYGSFNVVVAGITIVNSSQCHLKFDNCQGVMVHDVTISSPENSLNTDGIHLQNSKDVSIHHTNLACGDDCISIQTGCSNINIHNVNCGPGHGISIGGLGRDNTKACVSNVTVRDVNMFRTMNGVRIKTWQGGVGLVQDIRFSNIQVSEVQTPIIIDQFYCDRSTCRNQTSAVAVSGVQYENIRGTFTIKPVHFACSDSLPCSGISLTGVQLRPVQVPHYHLNNPFCWQAFGELYTPTVPPIACLQIGKPAGNNLQSYDDIC >Sspon.01G0014160-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:42495796:42504228:1 gene:Sspon.01G0014160-4D transcript:Sspon.01G0014160-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ALPVVNNHTSAVRQLRGGGWQAAKATWYGAPNGAGPDDNGTYTTYRTRALDRQCCRADISVLPMPVADVVLCLHRPIGGACGFKNTNQYPFMSMTSCGNQPLFQDGQGCGACYQVLALGALIFSLLVTYGSCARPPVNFTASDFTADPNWEAARATWYGAPTGAGPDDDGGACGFKNVNLPPFSAMTSCGNQPLFKDGKGCGSCYQIRCQKHPACSGNTETVIITDMNYYPVAKYHFDLSGTAFGAMAKPGRNDELRHAGIIDIQFKRVPCNYPGQKVTFHVEEGSNAVYFAVLVEFEDGDGDVVQVDLMEANSGNWTPMRESWGSIWRLDANHRLQAPFSLRITNESGRKLVANRVIPANWAANTYYRSIIQY >Sspon.01G0046750-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:100264146:100266593:-1 gene:Sspon.01G0046750-1T transcript:Sspon.01G0046750-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:N-terminal acetyltransferase B complex catalytic subunit NAA20 [Source:Projected from Arabidopsis thaliana (AT1G03150) UniProtKB/Swiss-Prot;Acc:Q8LGI8] MTTIRRFCCDDLLRFASVNLDHLTETFNMSFYMTYLARWPDYFHAAVNPGGRVMGYIMGKVEGQGESWHGHVTAVSVASEFRRQKLAKKLMNLLEEISDKMDKAYFVDLFVRASNMPAIRMYEKLGYVVYRRVLRYYSGEEDGLDMRKALSQDVEKKSIIPLKRPITPDELEYD >Sspon.02G0036760-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:26316456:26318402:1 gene:Sspon.02G0036760-1P transcript:Sspon.02G0036760-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAERGLGGGFFIFYVDRRWRRWDMTRGPVGGVIDEGINWIVAVTMDDLLSPCSSFSPPSPPSFFSHAGHPQWLLDDVVVAKNEGYDDVDDLWPVGSSLSPDSELSEQPLPPQPPPPPPKQKQELTSVTAPTQQRPGKRRGRKPGPRPDGPTVSHVEAERQRREKLNRRFCDLRAAVPTVSRMDKASLLADAAAYIAELRARIARLEAESRRSAAARWEPVAAAAAACGAHEGRAAGAADDEVVEVRMVGPDAAAVRATSAAPHAPARLMSALRCLELHVQHACVTRVNGMTVQDVVVDVATPLQDDDDGLRAALLQMMQDSAAT >Sspon.07G0008120-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:18933006:18940316:-1 gene:Sspon.07G0008120-4D transcript:Sspon.07G0008120-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTMMPRRAVVLFAAALLAASAAAVSGFHLGGDESGLVRGVLAALRERAEAEDAARFAVAHHNKNQGAALEFTRVLKSKRQVVTGTLHDLILEAADAGKKSLYRAKVWVKPWEDFKSVVEFRLVGDSESESEPSVASDDSSGQAIAKLSLAADIAQEEARLHTIENDGLSGILGVTRIPGKDGKREGLK >Sspon.01G0002800-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:7572809:7575998:-1 gene:Sspon.01G0002800-1A transcript:Sspon.01G0002800-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDEQAQPEEVPEPEPPRCGRHPSQLLTGICSSCLMERLSSVRDQPESEIVEVGAADRGRLRKTLMLLFQLDDSTAGGGARPSEGPRSSAEFQSGSGEGGRRGKRKGPGSWLRSIRLPMGALRWRRNGPKEAPSMPPRGVPVVDPSASDDGGAQVERKPSFRRSCEWMASRDRDRDPSRGSLEPPRHSWDGSMVGRAFACSFACLEEPEPPEDGARRSNAEEAVGEARAVAAESRNGGQSDSADAGGDGRRLSLRGRSSCDTGMEMAVSGVGRRRSNRWSRVWDRSITSPLKEFVRKGEHVLERSLSESRREVRRGKNAEAADISGEIHQPGRNGHVPGRASQGAIRSSQAASNGDAQNFRTDWLKNSKIGRSRSVHYTSPGNLDNGMLRFYLTPMRSSRIANRGRRRSSRLFARGLFEGNQRIKSFSKKSIHMDENEAISWTVDKADFMAILEAKICSSKK >Sspon.05G0012400-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5A:38076214:38078202:1 gene:Sspon.05G0012400-1A transcript:Sspon.05G0012400-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEGGGGQETFEARVKRLFGSRLFDAVPDSSFPTASWSVAAGDVERHRWAKPSEARDAEEEAAGEAARGDTPCASAFYDSNGCLRGRRRRSRQKEFEGDLDDLDEDDEEEDGEREGKATEQDEEEGVRVNIGLDPTLDREEEEDKYDREAFGREEAADRVYMHDIMDDGINMSINSIVPDLLDDSIEEVYRFKKDPRADMRAASARLREDDGSAKDGDSHYAAQAKEFPNVGVQTKKTVEEVNVKPILKRKEEQADLKPRKRVRFDANVKDREPDMFEHDDDSPMVPQSMDVVTEKEESTSTLSASPGVPDYVRNPSKYTRYTLDVPESNDDSNRTALADLHELLGRSDPNRMHSETPVEIPSSVTFIPRKKSVDAMAVDEGPRAIDSNSSVIGMVVGASDEPDQCEMDEDDSKSSSTPQMHTNSKASSRRYRSSRTDDDE >Sspon.04G0029110-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:70351122:70354710:-1 gene:Sspon.04G0029110-1B transcript:Sspon.04G0029110-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRNQYDTDVTTWSPQGRLFQVEYAMEAVKQGSACVGLCSRTHAVLAAVNKPASELSSYQRKVFRVAEHAGVALAGLTADGRVLSRFLRNECINHSFVYEAPLPISRLALKLADKAQVCTQRSWKRPYGVGLLVAGLDESGAHLYYNCPSGNYFEYQAFAIGSRSQAAKTFLERRFQGYKDYTPEQLIKDALSAIKETLQGEKLSSSNCTVAIVGRKDDGTIEPFEMIGAARIQELIDSMEVAEEAPAEASSSMQEEERGSEDAAAPMDI >Sspon.06G0016780-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:76042747:76043358:-1 gene:Sspon.06G0016780-3C transcript:Sspon.06G0016780-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSAVLAALGLTLLVCSALVSAETHVVGDSKGWGFSVSYDSWSGGKTFAAGDTLVFNYQAGVHNAVAVSASEYRSCKVRSAADAAATASGTASFDLKKGVNYFICGVPGHCAAGMKLRVVAN >Sspon.04G0006670-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:19117445:19117946:1 gene:Sspon.04G0006670-1A transcript:Sspon.04G0006670-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARFMDIRAFILRTHVLKLYRQALRITHRAPVHARDELRQTARAEFEKYRHCDDKQKIRFLISEGKQRLKGLDELLDMTGNS >Sspon.03G0013770-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3B:51827229:51827693:1 gene:Sspon.03G0013770-2B transcript:Sspon.03G0013770-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGCHVCDYRGKFKAEGDGIRCLTGCGEPSQRFYHVPRSFLRAGEPNTLVLFEEAGGDPARAAFHTVAVGPVCVAAAEVGDDVTLSCGGHGGRVVASVDVASFGVTRGRCGAYQGGCESKAALKAFTDACVGRESCTVKYTPAFAGAGCESGKLT >Sspon.08G0012130-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:52131929:52133625:-1 gene:Sspon.08G0012130-1A transcript:Sspon.08G0012130-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding PDQLKAAGSRSYRHCFIGSVPLLLLQEIWSCPYAMETLPSYAEDIDGGESPSISMLSEVAAARKITIVGGSIPEKASGKVFNTCCVIGPDGQILAKHRKNGQFQLHLFEINIPGDIALKESDTFTGGQETTIVDTDVGRIGIGICHDIRFPELAMLYRSKGCATLLPCSARSSPISMNRLTGAHLICYPSAFNMSTGELLWDLMQKSRAVDNQLFVATCSPARDPNVNSDYVIWGHSSLIGPFGEVLAAAGHEEATVIGEMDLSTIQENLPLPRWRCRAEEISTDWLTFWPMTR >Sspon.05G0019150-2P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7D:74524829:74525371:1 gene:Sspon.05G0019150-2P transcript:Sspon.05G0019150-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DDRCCPAFAGDGAAESATSIEDLPADVLALVLRRLDGASLAALGCACAAFRDLAADPAAWRALCLALWPSLRDVPLRRDANTDAKAQAHHHQRLFADAFPFPAVTPAAGADPFSSLQLPARLVSAVDLRQGGVCVMSRAVETDASSAWFLGAPFRVDALEQEGFTLPAPAPIVPAELELSW >Sspon.07G0007420-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:19513520:19516168:-1 gene:Sspon.07G0007420-1A transcript:Sspon.07G0007420-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRSRRFLARTPRRRQPKAAGKAEPSPTAAPTYTRDVVRRATAILRDHPWSAARPLLLSLPGLAWDSHTVARVLKTHPPLQKAFLFFRLAATASRTFRHDRFTYTSMIHLLGEAGRVQAMLRLLAEMLRAGVAPDAATFTTVMHWLARAGDVDGAMRVWAEMKARSRPTVVSYTACVKILFDAGRAEEARKVFREMVAEGLRPTCKTYTDVILFVAMLKEGSRVGCEEVNVGKFEATLEIMDKMKEACVQPDKALCNILVQKCSRAGETSVLTCILQYMKEHFIVLRRPIFLEALEALKASGDSDELLREVNPHLSYEGIENDPILSDQGYLTDRSIILYLMSANKWSSIEQMVNQMAPKNVKMETHILSDIIEASCADRKPSCGLTVMRYGLGVGCELGRLGYAGQSACAAHIFGLLTADKNFSTIVPASSFLSLEGNTCPFIFALI >Sspon.02G0049140-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:24393813:24396357:-1 gene:Sspon.02G0049140-2D transcript:Sspon.02G0049140-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIARRLFLAAPALLMVLLLLVAGPAAAQDAAVEGVAPAAEEIAANARAKEAAVLAAELGQLRAKISALAPSSRTSSDQKRRIQKTEHAVKVAEEELMKVQLEATTKAKQLGEVN >Sspon.02G0012080-3C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2C:35657919:35659589:1 gene:Sspon.02G0012080-3C transcript:Sspon.02G0012080-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPSSPTTAPPEPVTPPSPWTITDGAISGTLPAAEAFAVHYPGYPSSPARAARTLGGLPAIAKVRISDPGARLELRFRPEDPYCHPAFGQSRASTGLLLRLSKRKGAAGAPCAEVVARVRTAYHFEGMADFQHVVPVHAAQTRKRKRSDSQNDNENLEKTGHREADGDVMMLVPPFFSVKDRPTNIALLPSSNALSKTMHRGVVQERWEMNVGPTLALPFNTQVVPEKINWEDHVRKNSVDWNWQMAVCKLFDERPVWPRQSLYERLLDDSVHVSQSQFKRLLFRAGYYFSTGPFGKFWIRRGYDPRKDSESRIYQRIDFRMPPELRYLLRPKNSGSQKWADMCKLEAMPSQSFIFLQLYELKDDFIQAEIRKPSYQSVCSRSTGWFSTPMIKTLRLQVSIRFLSLLHSEEAKNLLRNAHVLIERSKKQEALSRSERSKEDNDADEVPATQTGTEDQVGPNNSDSEDVDDEEEEEELDGYDSPPMAEDIHDFTSGDSYTFGEGFSNGYLEEVLRSLPLQEDGQNKSGDAPINADASDGEFEIYEQPSDDEDSDG >Sspon.06G0013180-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:68700183:68700590:1 gene:Sspon.06G0013180-1A transcript:Sspon.06G0013180-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKKQQQQQQGEAAGGADLHLQLQMRGGNEAEEEELVLATWDCGSPLYDSFELASLHYVLEKHTMVLPFFPDAAVSRSRRRSQRRHHRGDDGLPPDMAKTGNRGAGAARRRTRGWRGSKAAAAIFRAVKCWRSSV >Sspon.02G0019290-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:62634511:62643252:1 gene:Sspon.02G0019290-1A transcript:Sspon.02G0019290-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLVAKTNKSGSDEGQVTESTVAQNDSAALKNARSFDPVEGDEFCDILRMPRLVHDGSNSASEDTTNHSAKISLSAIQQAAVLAQCLHVSRRSRSDEMSGWEMAPYIESIDSQEESYFVVASAPAPGASDPAPGAVGPGASAPAPQAATPAGALAITRRPRAAAPLRLTWSRWPGQAFWRPLPPRRLGHARPSSLLRPPTPLQPRQPPPAVDFTAASAVATRPPPPAAVPVDFTAAGAVATRPTPPAADPIPAHGVSADPVRPGTGGSLCDILRVRWESTRSRTKQRALLMMENLVEDIGKEFPAAPQRVKMVFAVHMPTLPALRKEYGELLISCGIVGEALDIFKDLELWDNLIYCYRYAFQLEIEPSYIQLNLLPLLGKVTDAVSLINARLAVTPNDPRLW >Sspon.07G0009240-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7B:24274872:24276909:1 gene:Sspon.07G0009240-2B transcript:Sspon.07G0009240-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKEKSHINIVVIGHVDSGKSTTTGHLIYKLGGIDKRVIERFEKEAAEMNKRSFKYAWVLDKLKAERERGITIDIALWKFETTKYYCTVIDAPGHRDFIKNMITGTSQADCAVLIIDSTTGGFEAGISKDGQTREHALLAFTLGVKQMICCCNKMDATTPKYSKARYDEIVKEVSSYLKKVGYNPDKIAFVPISGFEGDNMIERSTNLDWYKGPTLLEALDQITEPKRPSDKPLRLPLQDVYKIGGIGTVPVGRVETGVIKPGMVVTFGPTGLTTEVKSVEMHHEALQEALPGDNVGFNVKNVAVKDLKRGYVASNSKDDPAKEAASFTSQVIIMNHPGQIGNGYAPVLDCHTSHIAVKFAELITKIDRRSGKELEKEPKFLKNGDAGMVKMIPTKPMVVETFSEYPPLGRFAVRDMRQTVAVGVIKSVEKKDPTGAKVTKAAAKKK >Sspon.01G0030920-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:103563714:103567845:1 gene:Sspon.01G0030920-2P transcript:Sspon.01G0030920-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding EMTVTPRITVGDGRLVAHGRTILTGVPDNIALTHASGAGLVDGAFVGATAAEPSSMHVFTFGTLRDLRFMCCFRFKLWWMTQRMGTSGRDVPLETQFMLLESRPGTVGGDGDCGEVVYVVMLPLLEGQFRAALQGNDRDELEITLETGDKAVQTAQGTYIRVVERHLQTFHHREKKQLPSFVDWFGWCTWDAFYTDVTAEGVKQGLNSLAEGGTPPRFLIIDDGWQQIGSENKEESNNAVVQEGARFASRLTGIKENAKFQKKEKKTADDDKDGGEQQAEQTQTPGLKLLVEEAKRDHGVKYVYVWHAMAGYWGGVKPAAEGMEHYESALAYPVQSPGVMGNQPDIVMDSLSVLGLGLVHPRRVLSFYDELHSYLASCGVDGVKVDVQNIIETLGAGHGGRVALTRAYHRALEASVARNFPDNGCISCMCHNTDMLYSARQTAVVRASDDFYPRDPASHTVHVSSVAYNTLFLGEFMQPDWDMFQSLHPAAEYHGAARAIGGCPIYVSDKPGNHNFELLKKLVLPDGSVLRAQLPGRPTRDCLFADPARDGTSLLKIWNVNKCTGVVGVFNCQGAGWCRVTKKTRVHDAAPGTLTGSVRADDVDAIAGLAGPGWSGEAVVYAYRSGELVRLPGGATLPVTLRVLEYEVFHVSPVRVVAPGVSFAPIGLLDMFNSGGAVEQCEVRGDATDGSAAAAVIALRVRGCGRFGAYCSRRPAWCTLDAAEVEFSYDADTGLVALHIPVPEQEFYRWNLEIQV >Sspon.03G0034180-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3B:69409699:69410919:1 gene:Sspon.03G0034180-1B transcript:Sspon.03G0034180-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQPPNPKIRSLPDWRSGLPEDLLQSIGQRLASGHDAASFRSACSPWRAAVPFATFGPLLLLPFDPDSDRVEFYCVSEKKVISKTLPDVRGKVPCGSSCGWLALMDEAASVTLLNPFAGARDPRVELPPAGEHVAAASSLERVSKVHGQWVIHPTNGYGGNADAAGRAIKLEDMRDVFFRQIVLSAPPDAAGREYVAMAMLGCSTEVAFCRVGVDSAWTLLDTKLEFSVASIVHCQDKFLAIDCTGEISVCSSNAAGATPTATLLPSLSPPAGLCHRSYLESNGELHIVGAMVSTFHETQSFTYSSAIYKCNLHDRTPEWSRVKDIGDQTLFVSKHFNESFSGTSVSKYKENKIYMSEPLYGDPYDSVHRLEIVDIATGTSEVKPVHEKMQGSEALGWIRPNLWKRG >Sspon.02G0022180-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:67494972:67501648:1 gene:Sspon.02G0022180-4D transcript:Sspon.02G0022180-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding KMSAGEILRAELSSRTPPFGLRLWVVIGICIWALILFVLGFMCFWSVHRRNKKPGNNKPCDKIPVSQIPDVSKEIAVDEVREQHAAVVHNLRVQESHHALAVQVQEKHYAEKDSGKMLAHLVRSKSSDADNLSQCSSAYQCERAGSSYSGDEGSSGNARRQYAQYATVSASPLVGLPEFSHLGWGHWFTLRDLEHATNRFSKENVIGEGGYGVVYRGHLINGTDVAIKKLLNNRGQAEKEFRVEVEAIGHVRHKNLVRLLGYCVEGIHRMLVYEYVNNGNLEQWLHGAMRQHGVLTWEARMKIVLGIANRLAYLHEAIEPKVVHRDIKSSNILIDEEFNGKLSDFGLAKLLGAGKSHVTTRVMGTFRYVAPEYANTGLLNERSDVYSFGVLLLESVTGRDPVDYGRPANQLHLLISYNMDTVQVHLVEWLKMMVGARRAEEVVDPDMELKPTTRALKRALLVALRCVDPDSEKRPTMGQVVRMLEAEDVPSRKDRRSRRAHSSNADSESKASSSEFEISSDRRELGPS >Sspon.05G0010560-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:29950801:29951154:1 gene:Sspon.05G0010560-1A transcript:Sspon.05G0010560-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEAPPPCVVIVPRRAAAHSFRLPRHGRRKVHVVRLGGGGPGASGSGRRHGRGLRLRRWLRRAAWRLAELCVAALSGQGHPGAPPSAAHHPPWTGVEPYFAAPFVPVARMKRAGAQG >Sspon.02G0054630-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2C:115836292:115837047:-1 gene:Sspon.02G0054630-1C transcript:Sspon.02G0054630-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSLAPRAAAPGFGATAFLDRQRGVVAAVAPAATSGDNAEEPTRRAHASEASAAPARKRGRHDGSEHLVVDPAASSALRVRMDAELVALQGLLKRAKLLPRSAPPAENKCAPPSKVCSTEAAHVAAKQQRPVMQRAAAKNPIAPEIPNNKLRPAAAHAAAKQQQHPVQRAAANSIVKAEAPKKRRPEEEKELARAAAREEFRQMLLEMEKSALPDETIYPEDLEELGIPFEFVVTRTWKQALEDHAKKVELV >Sspon.08G0001280-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:4493553:4494613:-1 gene:Sspon.08G0001280-1A transcript:Sspon.08G0001280-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGALLPLLCCFALLLQGADATTFTITNRCGYTLWPGILSNAGAPPPSTTGFALAPGQSATVTVAASWSGRIWARTLCATNTSTGAFACATADCGSGAVECSGRGAAPPATLAELTLAGGRAGGDDFYDVSLVDGFNVPMLVAPRVATANGSSCRPTGCAADLNAACPAELRVPAGGGGGAGAPTVACRSACEAFAAAEYCCSGAYGSPATCAPTAYSRFFKAACPSAYSYAYDDATSTFTCASAAAAGGYDVVFCPSASR >Sspon.06G0026480-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:79299128:79304638:1 gene:Sspon.06G0026480-1B transcript:Sspon.06G0026480-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box/LRR-repeat protein 4 [Source:Projected from Arabidopsis thaliana (AT4G15475) UniProtKB/Swiss-Prot;Acc:Q9C5D2] MRGADLINAALPDELLDDVIRRVGAGGGGAKRDLDACALVCRRWRRLERASRRSARLAASGDRADEVLRLVAERFTALAEVSVDERLTAAGAGAGAGAASRSYRSGVERGPYRAGMIHVPNQIRRRRRLPLASNLTLHISPFPLDQPVSDQGFERSCLTDVGLGHLARGCRGLEKLSLVWCSAISSTGLVRIAENCKKLTSLDLQACFIGDPGLIAIGEGCKLLRKLNLRFVEGTTDEGLIGLVKNCGQSLVSLAVTNCQWLTDASLHAVGSHCPNLEILSVESDRVQTVGIISIAKGCRQLKTLKLQCIGAGDDALDAVGSFCPLLEILSLNNFEGFTDRISDSALSHIAQGCKNLTELSIRRGYEVGDRALMSIAENCKSLRELTLQFCERVSDAGLSAIAENCPLHKLNLCGCQLITDSGLTAIARGCPDLIFLDISVLPIISDIALAEIGDGCPKLKEIALSHCPEVTNVGLEHLVRGCLQLESCQMVYCRRISSSGVATIVSGCTRLKKLLVEEWKDACLIPVSKEIPSCIC >Sspon.07G0034130-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:16325095:16330155:1 gene:Sspon.07G0034130-2D transcript:Sspon.07G0034130-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPVESPQNDLRRLRRGGGIERKNSTTKRRPDGDDLCAICDDGGDRSFHLADGNSERSWCREILRLSAEQAKMILAADKDFICKNCKYQEHQCFACGKLGSSDLSSEAEVFQCEVDDCGHFYHPKCVAKLLYPDSEDKATLFEVQVAVAREKFTCPMHECIVCKGGENKNDRNMQFAVCRRCPTTYHRMCLPSNIPFETKEGPNGYMQRAWDTFEGPDGQVIHRDRILIYCMKHPIVKKLKTPKWDHIIFPDVKKIRVPKMVVGTHNEDDIPEEEEPLELEPSQSPPSESPPSDASDQNQCSCSSPIDSFAPASLFMHPHPGTCGWLGGPPL >Sspon.01G0027730-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:91172605:91178827:1 gene:Sspon.01G0027730-3D transcript:Sspon.01G0027730-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to HOS13 protein (Fragment) [Source: Projected from Oryza sativa (Os03g0727200)] MEDLSDLGGRGRLGAARRLSAPSFPSSSSKVSVQVYTVPSSSTAGGEAARAQGGVAPMIHASSSSVSGHGGRAADLDLIKANIVGCPPEAAEEIAAAAREREAWQRAAVGDAHNTRPDPELDQFMESYCELLVAWKEELTRPLREAKEFLTTVELQLNSITNTGLPMGALISSEDKTGVYMSDDDQEEGSGMEAEAALGIDPCSDDKELKKQLLRKYSGCLGNLRKELSKKRKKGKLPKDARQKLISWWELHYRWPYPSEMEKIALAESTGLEQKQINNWFINQRKRHWKPSEEMQFAVMDGFHPAPPNPAALYVDARLVGATPAMFYARPEHEAHGLWHN >Sspon.04G0000680-3P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:21540257:21541459:-1 gene:Sspon.04G0000680-3P transcript:Sspon.04G0000680-3P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor MYB41 [Source:Projected from Arabidopsis thaliana (AT3G61250) UniProtKB/Swiss-Prot;Acc:Q9M2D9] MGRTPCCDSKGIKKGPWAPEEDKLLVDYVQANGPGNWRMLPKLAGLNRCGKSCRLRWTNYLRPDIKRGPFTPEEHNSILHLHAIVGNKRVLFSNAFCIYWILTVTLQLITHVHSCNEHASTASLAAHVLERCDLRWSMIAAQLPGRTDNEIKNYWNTHMKKQLRQEGAAAQLATAAAAASSCPAARHMAQWETARLEAEARLSLLSSSATTKITSATASSSSSTAVAAEHAAPDIFLRLWNSEVGDSFRGAAVQQQGHGPEESAAAACVPAQQALPAELGGDDSSAASTYGTEAAAADDDYRAFLDLATEEFTLLQGRLGAFSVFPQADVFADAPCC >Sspon.02G0033040-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:24468896:24473879:1 gene:Sspon.02G0033040-2C transcript:Sspon.02G0033040-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VMPLAEPPQWRRKATDFFSTSSRCQAEAGRAIGRDNIADVAGKVGSVVKSRWAVFQETRQQQQRPPHETVQERIITAAASTGLLFRKGISETKEKVAVGKVKVEQAAKKTADKSKSILNNIERWQQGVASTAVFGVPIEATVQREQSGKAVPLVLVRCADYLVISGLNNEYLFKSEGDKKVLQQLVSLYNADSGASLPEGVNPIDVGALVKCYLASIPEPLTTFSLYDELRAARVSIPDLRNILKKLPNVNYMTLEFVTALLLRVSRKSSLNQMDSRSLAVEFAPLIMWRQGDAGTDLRNHLKFTLKPPPKIVDTTSNTSTWDLSDEDDEDASSQIPLDDASPPDYSSIEVIQCLIEHHNAIFTDANETVWR >Sspon.08G0012870-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:54709105:54729869:1 gene:Sspon.08G0012870-1A transcript:Sspon.08G0012870-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLVERLRVRSERRPRYALDESDDDLPLRAVAGKGKDRQNDAPAERIEREDAVSPLTEMERILDIEVLEAPREDSSSTEPRSKKMERYLIKVSEKEYSEAANIHPRLRTRLNNFRRQKEAMKKEAERSGEDIVAIRPEWTTVDRILASRKNSVGEREYYVKWNELTYEECTWENESDISVFQPEIERFNEIQSRRKKSGDKGKATREPRQFKESPTFPSGGTLHPYQLEGLNFLRYSWFHNKRVILGDEMGLGKRFLYCKDKAFGSNVVSGFEKTMTIAGKTIQSIAFLASLFEDKFGPHLVVAPLSTLRNWEREFATWAPQMNVVMYFGAAASRDIIRKYEFYYPKEKLKKLKKKKSSPSNEEKKQSRIRFDVLLTSYEMINMDSNVLKNIEWECLVVDEGHRLKNKDSKLFGQLKDYNTKHRVLLTGTPVQNNLDELFMLMHFLEGESFGSITDLQEEFKDINQDKQIEKLHGMLKPHLLRRFKKDVMKELPPKKELILRVELTSKQKEYYKAILTKNYEVLARRNGGHTSLINVVMELRKLCCHGFMIDEPDFEPANPEEGLRRLLDSSGKMQLLDKMMVKLKEQGHRVLIYSQFQHMLDLLEDYLSYRKWSYERIDGKISGAERQIRIDRFNAKNSTRFCFLLSTRAGGLGINLATADTVIIYDSDWNPHADLQAMARAHRLGQTSKVMIYRLVSRGTIEERMMQLTKKKILLEHLVVGRLTKANNVNQVLLDRDQVDGDESVEDEEEDEFLKGFKVANFEYIDEAKAQAEREEEARRKAAAEAENSERLNYWDELLKDRYDVQKVEEHTAMGKGKRSRKQMAAADEDDIDLSSEDEDYSFEDDVSDNDTTLQGNVSGKRGQYSKRKSRNVDSIPLMEGEGRTLRVLGFNHAQRTMFLQTLNRFGFQNYDWKEYLPRLKGKSVEEIQRYAELVMAHLVEEINDSECFSDGVPKEMMRVDDVLVRIANISLIEEKVSATGPGKITNIFPNYLLYEFQGLSGGRIWKVEHDLLLLRGILKHGYARWQYISDDRENGLFEAARRELNLPSANEIIGAQSNNEANGNLEGAQEGQVNSTSMSHYKEIQRKIVEFLRKRYHLLERALNLEYAVITKKIPVPDDITEQGVPAGHVPLLPDISELLRELPNLEPISTNEVAPEGTAGQSQVPHLYNKMCGVLEESGGSAISSFFGDKSASSSLANSLRQFETVCENVVEALRPQQNGTASAIKEEVVDAATKAAAVPAQDSSHEAANGQSSTAKADMEIDG >Sspon.07G0020730-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7A:77763182:77763706:-1 gene:Sspon.07G0020730-1A transcript:Sspon.07G0020730-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SINRLLFFDGCSKWTLVDVKLQGHILEHLAAEGVVNRSRVLPRRVPPVHSSFHHQLKLLIAYLPVLVFVDIEDYVIDRLLVTSWREGLLQLFDLDVPAPILVEVAEHLGQVILAADFFQVNGDCHKLLAVQGPIAVH >Sspon.02G0033050-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:3565457:3574355:1 gene:Sspon.02G0033050-3D transcript:Sspon.02G0033050-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVKASEARGDPPLLRAVELSRVVAGEGAGAGPLPSADLAGILVSNLCFAHNSPSLWKLLGQAVASRLLCPLHVLALLTPRVLPQRRAQPEAYRLYLELLKCHVTSSLLSMEAGPNRDKIGKSIAEALQLSKVYGFSGTEFGHVVIMFVLAIVNKLIDSILEDCGFPSVMAQGQESVYATDGPQPMDLDVKRGSTENQNEHREQLRRKNTLMALDVLHMMAADRKIQSFLRLIFLNMSEKFSSLRQRLSSIEAHKVSLETLLPSGHKINDLLINIWRVCKTDYQPNNKRILGVLGNMGSGGSLLGQLTGAGRPACWIIFDIYVENAIDGRHLSLISAIRIIKEMTKTMQVLNEASWQETFKALWISALRLVQRARGPFEGPIPHLDARLCMLLSLIPLAVAEILQEESDMLGAEGNKILPQRQGLISSLQDLIQYSGLLVPPSSVVNAANAAASKAAIFKANYKTGVGNSSLMDQTDPSMKAAGNMLHLIVEACISRKLIDTSAYLWPGYVVPSGTLKDTALPQESPWLNFMKGSRLSGPLIDALVATPASSVAELDKLYSIATNGSEEEKTAAAKILCGASLVRGWNIQEHVVGMVVKLLSASLPSDSLTSTPGSMSYYLAHMSTLNEILLGVSYGDAIHILSLYGMVPDVAVALMPLCEAFGSIAPPPNHKSTILGETSVYSVFSCAFLCLLRLWKFYRPPQEYCLAGRGGSVKLELTLDYLLLMRNNHIEFSNSSAPNRDSYNNMGSVNEVPAQPIYIDSFPKLRAWYFQNQACIASTLSGFCNKNPVHQVANKILNMICRKMNKSGVSSSNLSSTSSGSVSGSSVSASDDSCQRPAVPAWEFLEAVPFVLEAVLTACAHGRLSSRDLTTSLRDLVDFLPASLAAIVSYFSAEITRGIWKPVPMNGTEWPSPGASLHSIEAEVKEILASAGVQINSCYPRGVPPMLPLPMAALVSLTITFKLDRSLEYLQAVIGQALENCAGGSSWPSMPIIGALWTQKVRRWHDFIVLSCMLSPFGRDKDAVAQLIQSCFLSFLQSSPSGSDIIANRGVGALLGDSITNQGLRLPMAPGFIYLRTCRTFHDTYFISEVILKQVIEWAHKLANGWSFNGPPQLKSGRTPLSCAASMVHQVALLGGGLLCIAGGPLVVQVLYEETLPTLLLSAREQSLKDPGPVSSTLQGYAMANMLFYSGSLLWGADRTDPVMKLSFLWRRPRVVRNHMDFIAGVLDGHILLGCDPGTWKAYVSQFMFLVVKFVPLWLRDIKLETLKKIAAGLRSWHEHDLALSLLERGGPQAISVVVETLL >Sspon.08G0021610-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:41410268:41416521:-1 gene:Sspon.08G0021610-1T transcript:Sspon.08G0021610-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAAAVTGAGDSDRLAREVARVLDECRASHAVHPRKLRELAALRSSSGRGGGQPFLAAFCVAVTPLFTLARRSAGSDRVARFVAAFASASASSLADGGGNGFLEEFLRFLVTASKAAHRPARFRACQIISEIIMRLPDDAEVSNEIWDEVIDGMKVRVQDKIAAIRTYAVRALSRFAIDGEDGGIVDLFLKTLDNEQNAVCEIVLNSFPEVRMAIVFSLPPSNNTLESVVESMLDISESVRRAAYSVLSTKFPLQSLTIKQRTTVLHRGLSDRSASVNNECLKMLKDEWLVKYCGGDVISLLRFLDVETYESVGESVMAVLLKDGALRVHDGHSIRQYFTANGEKSEQDSNIQLMDAEVALYWRIMCKHLQAEAQVKGSEAAATTGAEAAVYASEATDKNDLLDSVLPSTITDYVDLVKAHLSAGPNYHFTSRQLLLLGEMLEFSDTMNRKIASSFLHELLIRPLEHEVDDVGNQIAIGDGVSLGGDKDWAKAVAELAKKVHSSVGEFEMVVSTVVEELAKQNHVDVQRAALRCLCLLGLLENRPNAELVKQLRLSFINGPDLVSAMACKALIDLVTWHGPQEIDRAIGIESPDPSYEKSQFTQVDLSDMNADDVNIGVLDILFSGFHKDDWEFDLEGDNHDNVPTILGEGFAKILLLSGNFASIPADLHTVILAQLIRLYFSEEAKELGRSIAHLSSIETMSVCLLSALSSTFRQTQGKPIKLTIHWLKLFYSCISNAFVPVMKAMWPGLYGNAGGSPVVISKRRKLAVQASRFMVQMVQTQLFSTESMDQALKSPESASVSANGSNNFDISEEGLAIRIALEVASCPDKKTAAGKAYALALCKVVVLLRFRQSEQKALKCMRGLVNHLAASVASDKELVKELAQMAARLRSLDACPDEELSQDDADAIFKKLGLDGGFKLDTNQAVPPTPAPRSARPPAPARRKTKQAPPSSSDESDEEGGEVSVPATSVSRVPATPSMTAAARSQRASKTAALSKMSAKPPAIASDGSESDDQSDVTSEEDSSDEESS >Sspon.04G0035030-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:600738:623003:-1 gene:Sspon.04G0035030-1D transcript:Sspon.04G0035030-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGGAAKQIVDSLLARFLPLARRRIETAQAQDGQYLRPSDPSYEQVLDSLAMVARHTPLPLLEALLRWRESESPKGAHDASTYQKKKLWIGLESFVFDWLINADRVVSQVEYPSLVDLRGLLLDLVAQLLGALSRIRFSSVTEKFFIELNVRRIDSHSLALRSETLSIINGMRYLKLGVKTEGGLNASVSFIAKANPLNRPPNKRKSELQHALCNMLSSILAPLAEGGKDHWPPLGVEPALSLWYDAVARIRVQLMYWMDKQSKHVAVGFPLVTLLLCLGDANAFNSNFSQHMEILYKYLKDKNHRSMALDCLHRLVKFYLNIYADYQPRNHVWDYLDSVTSQLLTVLKKGLLTQDVQHDKLVEFCVTLAQSNLDFAMIHMILELLKPDSLSEAKVVGLRALLEIVVSPSNQQIGLDVLQVSGIGHYIPKVKSAIESILRSCNKAYSLALLTSSKATIDNVTKDKSQGSLFRSVLKCIPYLIEEVGRNDKMTEIIPQHGISIDPGVREEAVQVLNRIVRCLPNRRFAVLKGMANFILKLPDEFPLLIQTSLGRLVELMRLWRVCLSEEALVKDMQYGRRSSIGGDALQRSPFHRSRDVSEFRASEMDAVGLVFLSSADVQIRLTALELLRCVRALQNDLRDYSANELGDNKLKLEPEPIFIIDIIEENGEDIVQSCYWDPGRPYDLRREMDPIPLDVTLQSILESVDKSRWARYLSEIVKYAAELCPSSITPADLGGKAQQSQDSETKLDQWLIYAMFACSCPPDIREEFKIKSAREVFHMIFPSLRHGSEAYALAATSALGHSHLEVCEIMFGDLALFVEEVSSETEGKPKWKNPRSRREDLRTHVANIHRMIAEKVWPGMLSRKPVLRQQFLKFIEETYRQITISLADSFQELQPLRYALASVLRYLAPEFVDAKAERFDNRIRKRLFDLFLTWSEDSGSSWGQESSSDYRREIERYKSNQHTRSRESLDKLAFDREMAEQLEAINWASMNAIASLLYGPCFDDNARKMSGRVISWINSLFMEPSARAPFGHSPVDPRTPSYSKHTDGGRFGGRDKQKTSHLRLLLAKTALKNILQTNLDLFPACIDQCYSPDLK >Sspon.02G0012600-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:27058058:27063057:-1 gene:Sspon.02G0012600-3D transcript:Sspon.02G0012600-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALATRAALVRVLPPRPSPISQPKQLKQGLGGRGGASLAVRAKDSDDFGALLSEKPAAAQAPAKRDGWEGFGMEASSVEEKEKEEVEVQGEPASSWGVLNQIGVELDSDKSYTALAYGTSAVVAIWISSIVVSALDSVPLVPQVMEVVGLGFTIWFTSRYLIFKENRDELITRVSSIKKQILGSHDN >Sspon.01G0000540-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:2068148:2078193:-1 gene:Sspon.01G0000540-1A transcript:Sspon.01G0000540-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VPGPAEADCPPELRLPPPPPPADPPPVAGTDDPHFLTSIIGDAAAPPPLQPLGQKRKRGRPPKRKDGTGAGAVVPAPPPARAARRREDEEEVVCFICFDGGNLVVCDRRGCPKVYHPACIKRDEAFFQSRSKWNCGWHICSSCEKAVHYMCYTCTYSLCKVCIKQGKFFSVRGTKGFCDTCYGTILLIESKDESATRVDFDDVLSWEYLFKLYWLDLKGKLSLTLEELTTAKNRWNVPSTSARKEKEESSDDLYDANDDDDAGSDCSSRKRRRTNSRKKGQKRRKVNKDCSTAAKKVELPTRNTESVPMEVPNERVPLPVDTKVPNGRIPLPVDTKVPNEPVPLPPNMKWASPELLEFIGHMRDGDQSFISPFDVQTLLLDYIKKNNLRDPQRKSQIICDSRLHRLFRKARVAHFEMLKLLEMHFPMNETSIVSDSNQVTINLNSAHVDTNGYNDMAAKFSPDKRRRIHRKMERDTQVNLEDYAAIDMHNINLIYMRRSLMEDLIDDAKFSDKIHGGFVRIKISDVCQKQDIYRLVKVIGTHKVPEKYSIGKKMTNFALEILNLNKKEIITMDTISNHDFTEEECKRLRQSMKCGVISRLKVGDILQKAMVLQSVRVNDWFENEKQRLGHLRDRASETGRRKEYPIDHIMIEYVEKLQLLDNSEERTRRINEVLEVYVDSHMDPNYESAEETDEKRTVAKLVNRTRPDTTISRRISRYLSTKQNHPKKVSDSSHHPKSLSTESTICESGARSLENSTANRTMYEAGSLSSSGVTMSNDTEPEKVWHYKDPSGNVQGPFTLLQLSKWTSYFPRDLRVWLTFESEERSLLLTEVLSKQQKDFTQAASHTSSKATLFGTGDTRNSPSVDQTNAFSPVGHSMVSSSGITVQYSKYSVPERESVYSPDDSLSLSTSSVPMKDAYTVNSQAHCQNKHSVFIQSPGSSYGHTDLNHDGIQGCFGESNHHHSSGTLWSPTVAHMSCSGRGNVESHQNQYVSWSQSQHESKNSSQRGPVKDLMNSRRDLSKNLPTQRIGKDVSSPLFAWSPAESRTASSQHEGSCLSSTANPSFLDEPHSSIASAKPKSCAPATPIEDRGSSSPSGMLSHAERVPICSPQSAPLASASDMCKMEITNQQRTLEADTSNASVNHPVPRSENKDIAVDSSGLTPASPENITTNLPGSDTCKMEDVVSQQQVIGAVVLNSSDSHSPHSKVSPVSSPNNEDEKHEHPSSTPRSENKIPAVDSLLSTSAAPENLTTTSAHDSDTCKMEELVNQPKTLEADASNAPLCQPPHSHIFPVPSDNQDIERECPGPTPNSDIPSDNQDIERECPGPTPRSDTKELLVNNSVLTSSAPEILMTTSAASDTCKMESVNQQKTLEADASNAPLNHHPHSHSVPVSSDNQDIQRECPSPTPKSDSKEPLVDNSVLTSAAPEMLATTSASDTCKMEEFVNQQKALEADATNASLNQPPHIFTVSSDNQDIGRECPSPTPRSDCKEPLMDNSVFTSVAPGILATSSASASGTCKMEEFVNQQRPIEADASNASLNQPPHSSVFASSSGDNQDNACERPSPRPEGEQPLMDNSGLTSTVPQNLTSTSASASEICKLEEMLNEKRMLEANPSNGSITQSPQSKVFLVQSPDILERGFSSETPRREFKEPVVDSSGLTSAAPENLMTKQHVHSSDAFVPPKSGAPTGKLGDTKSDFKGEEIIQKEQYCESESIVATRENLLIDPSFGAESIDVSDVLESLMEERGGTSYMPGSVEDFLAASAEEEPHYSSPIALSPWGEPSYYQGDAVDSALWGIQDSINDMWPLLSPRPMLQPSSGIGTEGKETYDINEVAVTHVNSDFFQRGSMIGEENVNQANLSAVADWMLSDQVPSIPNGMSTSSVDESTRVLGSQESTNQSLDWGTTWTTSQNLSMYSNEKAEPSSKSYLEESRKQETNNSSVSISGEAIGNNKGLNPPDNANRGSQLSHHHRGRYSQISESWLLSSNHSRSRSDRFGSGGSSRSTSKGQTRG >Sspon.06G0029010-2D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6D:13331929:13332873:1 gene:Sspon.06G0029010-2D transcript:Sspon.06G0029010-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPIAPPPASADDEIVYESMPCIRVYKNRVERYFGTEFVAASTDAATGVASRDVVISPNVSARLYLPRLHDDAAKLPILVYYHGGGFCLGSAFNPTFHAYFNTFAGLANVLVVSVEYRLAPEHPVPAAYADSWDALAWVVSHLAPAAADHNQDPWIAGHADFSRLYLGGESAGSNIAHHMAMRVAAEGIAHDAKIRGLVMIHPYFLGTDKVPSDDLSPEVRESLGSLWRVMCPTTTGEDDPLINPFVDGAPPLASLACGRVLVCVGEGDVLRDRGCAYYDRLSASGWPGEAEIWQAPGKGHTFHLLEPSCDEA >Sspon.01G0015270-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:45746000:45747860:1 gene:Sspon.01G0015270-3C transcript:Sspon.01G0015270-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMETPLSTRRITRSLAAASARESSSSPNLASPFLDRQFDSSVSTRFLDVFPDDAHVRFSFSAEKSAAAGSDSAVLFSRAKKAAAVETQAQTRAALHDISNDSPIVGLAAGGLHATDTTPASTAAKTSRRAPRRTPGSGEALLRGQVKALLHNVEEEQGCAAAALVRPARIQALLGVSRSPAQLLAPTPANTPQIGPVSAPREGLLLLDGAVVVPCVLDEEELLIPKLQVIAASLPPPQTEEKLGECQLNRALVFDDSPEKSGESSGSAVSSSVSLQESSTGSYMDKSSSPEDNSSSAWSIQVHASSEKGDEEELGVEELAAAEYTEEEEEEEDDWEEDSDDDCYDDLCEEMSRMTMFDEEEKKATGLPQFEGKHTRFIYNSDDEIERKEVADAAEARAELGALMLRALPVPEGRHLRFHDEEDDEE >Sspon.06G0021910-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:21020262:21024356:1 gene:Sspon.06G0021910-1B transcript:Sspon.06G0021910-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSAVSFNSGGARRGGGGAQMLLFGGGGSANSNGFFRGVPMAVLGLDDATRVGKRPFFTTHEELLEEEYYDEQAPEKKRRLTAEQVQLLERSFEEENKLEPERKTELARRLGMAPRQVAVWFQNRRARWKTKQLETDYDHLKAAYDALAADHQGLLADNDSLRAKEELQGKETSPSATTAAQEVDQPDEHTAASGTEKLLAQQLKDDLHSSGDCTGHGALSSEEEDGGVVSDEGSFDLPDAMFAAGVTHHGADAEEAQLANWTSWFWN >Sspon.07G0012960-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:46970120:46971590:1 gene:Sspon.07G0012960-1A transcript:Sspon.07G0012960-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAEQGPEPLRYQTLALRVSIHCEGCKKKVKKVLHSIEGVYKTDIDTQQQKVVVIGNVSADALVKKLLKSGKHAEPWPEPAAPAPPAAGSPPGPGGSPGSGGGKKKKKKKSNKSPAAATNNKPAEPAPAPAEGNGGPSPPEQHDKADGGASCDEASDGEQDKPEAGGGGNGSPDAGEAHESGASGKVAPSAMTPHGPQPIAPAANGNGGGKKKGKKGGHGNGNGNANANGDGGAGAGAGAIVEVHPPPDAPMKPTAGNSGPLTVVDAGPYPPPPPGAAMSYPGYYAPGGVHPPAYVMSYSSAHPSSALRSSAYYHPMAGAAYTPGAAGGCGGYFYSTAPVSAAPGSYYLFSEENANACSV >Sspon.08G0010660-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:41753302:41755948:1 gene:Sspon.08G0010660-2B transcript:Sspon.08G0010660-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTVAGGKKPAAVAASSMPTERAPHPVGARHGDDGRADEPLLGGHALLAAEEWLDGDEAREAEVRKGGRVQRVAAGDVVRDVAARAVPREEAACGVDWRGAGAVYVGPAIVEEAERREAVVVGGGEPMLRRAVSTDSTAAPPKESGIELIACIGTKKTSPQPPRPCPDFAGARPAAALAWANTSLRAAVPPMRFTFSFNYFEQTRSPTHKDFLKL >Sspon.03G0023390-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:71768862:71769855:1 gene:Sspon.03G0023390-1A transcript:Sspon.03G0023390-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGTCGNCDCADKTRCTKKGDSYGAVVVNTESRVEIVEEEVTVAEHDGCKCGTSCSCGTSCSCGK >Sspon.02G0020440-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:61990400:61992153:-1 gene:Sspon.02G0020440-3D transcript:Sspon.02G0020440-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SVMATPPAKQTASRVASGRRLVFGKPTDDGKVKGVRLRGVRSFGNGGGAYGRRVAVEAVNGAVRPNGAEAVADVRQVMPAPPPASTEGDDGDAFRLGKFVEGRLVYRQQFVIRSYEIGPDRTATMESLMNLVQETALNHVMCSGLAGDGFGATRQMSLRKLIWVVTRINIQVDKYSDRGDVVEIDTWVASSGKNGMRRDWIIRDRNTKNMIARACSNWVMMNRETRRLSKIPDEVRQEVLPFYLDRSIIAADATGSGRKIEKLTDLTAEHIRSGLQPRWSDMDVNQHVNNVKYIGWIMQSVPLDVLEDYHLTSITLDYRRECRQSQLLESLTSMTSSPAAESPPLPLPSSLCGSDLHSTHLIRQQDDKAEIVRACAEWRRKEHRGSIEQ >Sspon.02G0034480-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:12878643:12879681:-1 gene:Sspon.02G0034480-1B transcript:Sspon.02G0034480-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDQARTALRRRMRHPHLAWSSHPDTDGWRGRGQLRLAVLLQRPGLYKKSWSSPTQGEVANGMREKMFCGAGSFKDVDKEEGTAGAGKPAKAKTKAGGKAKGRNNNPYASRGLDKFSVVLSELESKREKILRRVGSDVDADHLMVRFVQSEKKGWVPIVVKLPHEEEKQTAAGAKKRQGKPASQSSTPPTEPASPKEDPVAVQAKATVPPAKKSKAGGVRWSWAWGRKMMRPCYYWPLAMTLLLLCLVVFGRVFAICCTSIWWYVLPILSGEEALGAARSPATKPRKVVGFKAGDRLAVAPPPSHGKRSSSDGTAHEMISPRSLAHRKKG >Sspon.05G0006750-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:22454618:22464155:-1 gene:Sspon.05G0006750-2P transcript:Sspon.05G0006750-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAGTATGSASGGSAVHDDAEAMELGHDNLIRRRHAGAGAAGGEADDRIGSAAAAAGKAVAPLSVEQAFADQPMPSWREQLTVRAFVVGALLSVVFNVILMKIDLTTGINPSLNVCASLLSYFLLRTWTRAIGCMGLLKQPFTRQENTMIQTCVVSAYGITFTGGFSSYLYGMSGTIAKKTEANDPQDIKDPHLGWMIGFMFLVSFVGLFALVPLRKVMIVDYKLTYPSGTATAYLLNGFHAPQGTERAKKQVRTLGKYFSLSFLWAFFQWFYTAGDHCGFGSFPTLGLEAYKNRFFFDFSPTYIGVGMICPYIVNVSLLLGGIISWGIMWPLIRTKKGSWYPETLPDSSLHGLQGYRVSYNLVLLACKLLLSATNVLPRTENITLQVFITIAVILGDGLYNILKVFYRIIEAFISRYRNRNTNTLPVSNDGTPANTTEIESFDDKRRLEIFTKDQIPKTIALGGYVVLAAITIGCLPLIIPQLKWYHILAAYIVAPALAFCNAYGCGLTNWSFASAYGKLAIFSFGAWAGASHSGVLVGLAACGVMMSIVGTAADLMQDFKTGYLTLASPRSMFISQVIGTAMGCVIAPCVFWLFYKSFDVGASNGAYPAPYTYMYRNMAIMGVDGLSLPSHCLTLCYIFFAVSFAVNLIKDLVPKKVAKFIPIPMAVAIPFYVGAFFTIDMFLGCMILFIWERKNKAEADSFGPAVASGFMCGDGLWALPEAILSLANVKPPICMKFLSRSVNAKVDSFLGN >Sspon.01G0044500-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1B:83279932:83281416:-1 gene:Sspon.01G0044500-1B transcript:Sspon.01G0044500-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATALEFLRTHHQPCKPPPPPPPAPRASVLCRATAGTAGPASAAALRSLAPPTRPELLSLDLPRYDPARARPVDLAVVGGGPAGLAVAQRVAEAGLSVCAIDPSPTVVWPNNYGVWVDEFEAMGLSHCLDTVWPSASVFIGDGRAKSLDRPYARVARRKLKSAMMDRCVANGVIFHQAKVAKAVHYDASSLLICDDGVAVPASVVLDATGFSRCLVQYDKPYNPGYQVAYGILAEVDAHPFDIDKMLFMDWRDSHLPEGSEIRERNRRIPTFLYAMPFSPTRIFLEETSLVARPGLAMDDIQERMAARLRHLGIRVRSVEEDERCVIPMGGPLPVLPQRVVGIGGTAGMVHPSTGYMVARTLATAPIVADAIVRFLDTGNGMGGLAGDALSAEVWKQLWPANRRRQREFFCFGMDILLKLDLEGTRRFFDAFFDLEPHYWHGFLSSRLFLPELLMFGLALFGNASNTSRLEIMAKGTVPLGKMIGNLIQDRDG >Sspon.03G0002940-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:15173924:15176707:1 gene:Sspon.03G0002940-3D transcript:Sspon.03G0002940-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MCADTKARVRPPLRLSLSKNTGSRPPLLSFPSRVSLLSLASIPVRGRSRSRALVHFYALRWWFCGAECTSMVMDAGLHEPCALLPGSKRDGHMPIYPQIAAAAAANGFTAEELESLLFLSPDGIASAAGGVGGSYLNVAPTTVVPPARTNRASPPRDASAAPPSVAQPDDSEAFSDIVLGYINRMLMAEDIDDKFEHYPEHPALLAAEKPFLEILAERPSSSGGSSVGNSCYSAGSCTSATATAASDAFDAVLTPALDFTSAAFPQPPQLYQDLSPESSVVEAGGAWPYDSMEFYQLQSNLLSQSSSFASSNGSGVTLSDGFESLLSSPGVMPDVGFTDFAVQSQQAMQFCRGLEEASKFLPDESKLVIDLEKPASVTSLLANIKGENRFAEVKTEKADVEAAIHRGKKHFYGDDLDAEEGRCSKHSAPAIDTDHLVREMMDKVLLCNGEMCSKGVKELREALQHDVAKNCMGGRGKKQPKKKEVVDLETLLVHCAQSVATDDRRGAIELLKQIRQHASPNGDGDQRLAHCFANGLEARLAGNGSQIYKSVIMTRFPCTDVLKAYQLYLAACPFKKISHFFANQTIMNAVEKAKKVHIVDYGIYYGFQWPCLIQRLSTRRGGPPRLRITGIDTPHPGFRPAERIEETGRYLKDYAQTFNVPFEFRAIPSRFEAVQIEDLHIEKDELLIVNSMFKFKTLMDESVVAESPRNMVLNTIRKMNPHLFIHGIVNGSYNAPFFVSRFREALYHYSAIFDMLETNIPRDNEQRLLIESALFGREAANVISCEGLERMERPETYKQWQVRNQRAGFKQLPINQDIMKRAREKVRCYHKDFIIDEDNRWLLQGWKGRIILALSTWKPDHKSSS >Sspon.06G0002730-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:7299294:7301736:1 gene:Sspon.06G0002730-2B transcript:Sspon.06G0002730-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VMVVLLVGGAHRLQGVKMSERALKDLNISQSADLENGKDNSVKPCITKPVLNGNKCANKEEKAPSACQDAVTNGNEAVIADVEYIDSENLVDLPDVNGALQTLAKRLDSKDWVMTCEALNNVRQLAMYHKERLQELREPLVPLIVKSVKNPRSAVCKTALMTCADIFKAYGDLMVDSIDPQLVQLFLKASQDKRFVCQAAEVALISMTNWISPLLLLPRMQPYLKNKNPRIRAKASVCFSKSVPRQDVVGIKEYGMDKLIQIVATQLCDQLPESREAARNLALELQAFYEKSQASTSNEHEGEPSASPDAESWEAFCQSKLSALSAQAILRVTSTTKQGVTSTTTKEGVTSAPKEGLAVGC >Sspon.03G0022340-4D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3D:54397280:54398792:1 gene:Sspon.03G0022340-4D transcript:Sspon.03G0022340-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVFGIDPGCLAPDFPYVPFAAAMDTIEEVLFYRHVTPVPWLRLQKFLKTGHGKKMRNARRVLDASIAEFISLRRGRAAEAESTGEAAEADLLTSYLACQDEIGMSGAEFDRFLRDTTFNIMVAGRDTTSSALTWFFWLLTKHPDVEAKILEELSAHLPSSGADGHRTTAELKQLVYLHAALSESLRLYPPVPFEHKAAARPDTLPSGARVGPSRRVIVSFYSMGRMEAVWGKDCSEFRPERWLTAAGRFRHEPSYKFVAFNVGPRTCLGRDLAYSQMKAVVAAVLPRFRVEVDAGAVVRPKLSIILHMKDGLK >Sspon.01G0005790-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:15145777:15153837:1 gene:Sspon.01G0005790-1A transcript:Sspon.01G0005790-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:5'-3' exonuclease family protein [Source:Projected from Arabidopsis thaliana (AT3G28030) UniProtKB/TrEMBL;Acc:A0A1I9LM66] MVHLSGPYKPNGNSQVTTNPGEAKRPQQAQAPSLLASCSASRHRCASPESQAAEGTLHLFRRRPGEGDGSSRAFRRRRICKLLFLRVRPVFVFDGATPALKRRTLAARRRHRDAAQAKVRKTAEKLLLSHLKARKLEELAEQIRSDGAKHDAKGKQVESSKEGETEKTSQNQIGDTNNSEGNAASINQEKVDEMLAASLAAEEEADFTDEGKHRFTSVPLPEGAEIDEDEDDDEGMIFPMTTGDIDPAVLASLPPSMQLDLLVQMRERVMAENRQKYQKIKKEPAKFSELQIQSYLKTVAFRREIDQVQKSAAGKGGGGVQTSKIASEANREFIFSSSFTGDKQMLAQRGEEEHNVEKAKSEKEINSSVFTSNPTSSLGTMKPPNSSKPSRDFGPDVETYRDERGRIRVSRVRAMGIRMTRDIQRNLDFIKENEQVKSKEQTQTNVHNGSTGNEEPPDFPEHLFESNKLQSSCSPDEDLSETDRDNHQTSSQVEGADNISESSCYGNKEAIEISFLVDQTEVKDGDEDIFLQLVSGTTSNINNCLVKNTEESEDSECIWEDGVVEAGTSPMKADKKDHHKSSLPENFSDDEMEWEEGDSFVPGVASSSEHNPCNAPKGDLEEAALVQEAIRRSLEDFEKKASENVSTGEIQASLEDRSLQFSNNVPKISEALGENNSHSGVPVVEEINNETMTEINCDKDDIIQGTGLLGTDRQENETRPQLLNNDGHLGSVPLCTTFTSNLAEKPSNSTETNGEDVGDCDKTSSLNIMNSDQSKCRKDIASTGETLSHQKDLLIDELLAATVEQKENATQGDLKFATSEMDYTQICDNGDNHTISASYVDAELSRLRQEQIDLGHERRKLESHAESVSSEMFAECQELLQMFGLPYIIAPTEAEAQCAYMEINNLVDGVVTDDSDVFLFGARNVYKNIFDDRKYVETYFMKDIESELGLTREQLIRMALLLGSDYTEGVSGIGIVNAIEVVHAFPEEDGLQKFKEWIESPDPSIFGKVHVESGRSKKRKLGGNDSDGKGKGLEPECIQGSDDKQSSNEAEHVKEIFMSKHRNVSKNWHIPATFPSESVVNAYISPQVDNSTEPFSWGRPDLGLLRKFGWGKEKADELLLPVLREYNKHETQLRMEAFYSFNERFAKIRSKRIKKAIKGITGKSFLDTDEPEQDNPSTSKTFKKKEASSSSHARGRGKRKNNSGIRNMESQKDNVIGDTNSLADTDEITRENNNTTKRKRGSPCGHSKGRGRSRTNAGNDATISQEDYEIEYSTSASDEDSCKRHSNSYGSEGRALRRSSRKRKQVTYMEDGHEAYDNDIPMHQNDENNPSQDAAVADMAGEDRGFNLYHQDTSELNSSRMHTGAGTTEDINEDSQDFELRVDNQVDSAQKDYLFSGGGFCMEEGEGDEQEPADEQSGAEIEPGPSGPCDAMDGVSESGKSASMSTAGECTENASMEARGASSLQQRRKASRGFSAMLTLTKRRRKS >Sspon.01G0012330-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:32984950:32987177:-1 gene:Sspon.01G0012330-3C transcript:Sspon.01G0012330-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPPRVTIDNTSCPNATVIHVDSANKYGVLLEVVQALTDLKLIVKKAYISSDGGWFMDVFNVTNQRGHKIMDESVLESIKDYIYKSIGPDSCFLPSRRRAIGVEPSSDYTLIELTGTDRPGLLSEVSAVLTNLECNVVNAELWTHNERAAAVMQVNDRKSGLAISDAQRLGRIKERLCNVFKGRGRDAKTTVAMGIIHTERRLHQMMLEDRDYDRHDKDRASASPTSMVSVVNWLQKDYSVVTIRCKDRPKLLFDTVCTLTDMQYVVFHGSVDTEGPDAYQGLKLELSTGDRVGLLSDVTRIFRENGLTVTRADVSTKGDKAINTFYVRDAAGSSVELKTLEAIRQEIGQTMLQVKGHPDQPKSLTQDSPTRFLFSSLFRPRSLCNLGLIGS >Sspon.06G0033380-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6D:13658219:13659473:1 gene:Sspon.06G0033380-1D transcript:Sspon.06G0033380-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPGRHNAAVGLLLAAALLLSAAVPGARAQAETGELFDQDEDFSYIPGADNGPENWGKIKPEWANCSVGRMQSPIDLSDERAKLVQSLGYLNTSYRPAEATIVNTGHYVMVSFKGDAGSLVINGTTYNLKQLHWHTPSEHTIDGCRYDLELHLVHQSLANKTAVIGILYEIGAIKDPFLHKLEPSIMRIKNTRDQPEDIGMVDPNDARGSDSDSVYYRYMGSLTTPPCTEEVVWTVFKEISPVAEYQ >Sspon.07G0038100-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:69697195:69704535:1 gene:Sspon.07G0038100-1D transcript:Sspon.07G0038100-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVYLQKGPRPSPTAQKKTTLGGLSRKFVRERQSTRASTSAVAAAFMSTPSGTGTGTGGGASSSLYDLYGPNAKPDVVFKEAALNSTLNLQDVQGLVTWVIGDGMLPSWVFVKNKPLIPKVVLLYVPGLDAALYMSQTRLLSSLKELCGNPKPVLASSCIPDERHTIDALLTCRVKRKRDVKTSNQSSKPDIDGIDLFYLFVVYCVTEAGFELTRVTLIDIKGSCLIPPPVNSMRTFSFAVLDRLVKPANPIIDYNTRFSGITAEMLADVSTTLQEIQEEFVGLVYKETILVGHSLENDLMALRISHGLIIDTAVLYKYNRGSRCKIALRVLTKKFLGREIQNTGSGHDSVEDARAALELAILKIKHGPDFGSQPSLSRRKLTSILHESGKKCSLIDDVSVLERYSDTSCNSIAVFSDDDALSRSMKEVKNDKVSFIWTQFSGLISYFHRRAQDPEKLKSCVAEAIALKTCAGKTASKKARSQICPELKEILCELDKKIRQLYGALPDNAMLIVCTGHGDTPLVQRLRKMLHHEEETIDSRETFVQALGDLQAQAEVALCFCCVKH >Sspon.05G0008740-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5A:26049893:26051317:-1 gene:Sspon.05G0008740-1A transcript:Sspon.05G0008740-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSRWSALAGSADHKESGEEPPTTIVRGVVLTCERSRRATLVKARCEEFQVVQPDQIARAQVGSTPRRVHVFANTLVPVETSSKVVAGPLASGDPQVTLSHVECLPQVLALDTTEPLAALRISL >Sspon.05G0013280-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:37072869:37075376:1 gene:Sspon.05G0013280-1T transcript:Sspon.05G0013280-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VKACGDENKGIRQELAKLKAENEELKQRTLNPICFRCSNPTVAIQSVSENWRQLNENARLRDEYVRAKAYMDRLIREAAAERPPSAMPSSAQHLAPAHMNMDPVAFTSDYSMATNLEATLISHADRAMKEFVMLATKGEPMWVPAMDGETLNHQEYIMQTFPGLLGLCPQGFVTEATRETDMIKGTAMDLVSVLTDVMNVELWVPSPRLLNRSVKFLRFSKMMANGQWAVVDVSVDGICGVEQEGSSTSYTTGCRLLPSGCLLEDMSGGYCKVTWVVHAEYDETTVPFLFRPLLQSGQALGACRWLRSLQKQCEYMAVLRSSHVLPSSSSSSSGISTLGVGRRSVLELAGRMMASFYAAVSGPVTVPATSSVDEWRLVGSGSGVERVEAAVRLAVWNCADIMPGEPSVTVLSATTTVWLPGTPPLRVFEYLCDLQRRGEWDTLVDADEVQELGSVATSPHLQGNNAVSVLQPTTVVTDETDSSKVLILQETSTDVSCSLVVYSLIEESLMRGILDGRERRNIFVLPSGFAILPDGHGKAHADHTAADSSSSSAPIDGHNNNAGSLVTVAFQTLLPGNLSGNLDNTGAFEDARLQLCYAITKIKAAI >Sspon.05G0004090-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:12475956:12477499:-1 gene:Sspon.05G0004090-1A transcript:Sspon.05G0004090-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVVGAAIADSYWGKYKTVLVGLSISLAGMAVITTSATLPSLRPPPCEHGTGTYCAPAALHQRLVFFAGIYLCAIGIGGAKAAIVSFGAEQFDDENGKNVAEREREASYFSWYYGVSNLAMLTSGTLLVWVEDKVNWGLGYGVCASFVAVAVVSLAATAPVYRIVPPVGSPLKGACQVLVAFARKVNVRVPDDAAELYAEEHVKVSLHHPPRELLEHTEQFRCLDKAAVVTSADLEDSSPWRLCTVTQVEELKTLLRLISIWLTSAVYFVANTQAQTTFVQQGTMTDSRIGRGAFSVPAASLTCIETVFVVASIALYNRAVAPAARRFFGRAEAFTPLQLMGLGHAAVIAAVALAACAEARRLANVRAGAAALGIAWLLPQYVVMAVADASLSVGQLEFFYDQAPETMRGASTAFYFLSCSLGNLISSQLVTLVASVTATGGRTGWFPPNMDDGHLDYYFMLIVGITAVNFAVFVYLAKNYTPKRVR >Sspon.02G0018160-3C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2C:61119333:61119896:-1 gene:Sspon.02G0018160-3C transcript:Sspon.02G0018160-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MACEGCTYRRRAQRHRGSPSLQEREEKAAQGSEPVGGGRSLLWEEARGWRRSKACKIPVTHPTWRWRSGRLSSANAPRPRYLAGILRYGFPSPSPLVERGGGARESGNAGGCPPLQQVRKKKMSSVAAPPHFPEEFGGPHRSGEGTHPGGRDGRARASLTVSINQGGQPPDRCCLSLSVTVQLQPSS >Sspon.03G0004260-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:28862496:28865622:-1 gene:Sspon.03G0004260-2C transcript:Sspon.03G0004260-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AMGGAEALALALGQPDGHANGAVVEEKLDALRRQLGKADGDPLRIVGVGAGAWGSVSARCCTARTATSATRRRSASGAAPAAPSTAPPPSRPFDVINAREDVLRRLIRRCAYLKYVEARLGDRTLYADEILRDGFCLNMIDTPLCPLKVVTNLQEAVWDADIVINGVPSTDTREVFGEIGRYWKERITAPIIISLAKGIEASLDPLPRIITPTQMISNAAGVPLENILYLGGPNIASEIYNKEYANARICGADKWRKPLAKFLRQPHFIVWDNSDLITHEVMGGLKNVYAIGAGMVAALTNESATSKSVYFALCTSEMIYITHLLEEEPEKLAGPLLADTYVTLLKGRNAWYGHKLAKGELTLEMGDSIKGKGTIQGVSAVEAFYELLSQDSLSVMHPEANRSVAPVEMCPILKALHRILITRDRPADSILQAIRDETMYDPRERIEMAQGHSLYRPSLLGQPK >Sspon.06G0017230-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:93680358:93681779:1 gene:Sspon.06G0017230-1A transcript:Sspon.06G0017230-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDASSSTPPLRIVICPWLALGHMLPYLELAERLASRGHRVSYVSTPRNLARLPPRRHAVDLVALQLPRVEGLPEGAESTTDVPGDRLEPLWEAFDGLAAPFAEFLAAACAGDGDDEGNWKRPDWILVDTFHHWAPAVALEHRVPYAMLQPSAALISAIACGASGHADLAAASVFEQMPAVQAQAPPAGMPRHEWEGDKVLFTAVGTSGMSIARRITLTLEGCAIAAMRSCPEWELHAFSHAAALLGKPLVPLGLLPPSPDGGRGAGMNRDDASVRWLDAQPANSVVYVALGSEVPLRVELVHELAHGLELAGTRFLWALRMPRGVPDADVLPPGFEERTHGHCLVTTSWVPQNTILAHGAVGAFLTHCGRNSLTEGLQYGHPLIMLPIFADQGPNARLMAGRKVGLQVPRDEDDGSFDRQGIADTVRAVMVDGDTRRVFLTNAMKLQEVVADKELQERYIDEFVQRLRSYTPS >Sspon.05G0012060-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:26671788:26673839:1 gene:Sspon.05G0012060-3C transcript:Sspon.05G0012060-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADQFADSANNVIIEEVNKGLNPGMVVLLVVASFLLLFFVGNYALYVYAQKTLPPKKKKPVSKKKLKREKLKQGVSAPGE >Sspon.03G0036370-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3B:89488613:89489125:-1 gene:Sspon.03G0036370-1B transcript:Sspon.03G0036370-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPLVGSRANQLSRVEPSAGVAQPHTRWGSSKPRALLEYPICKLPRGRIKNPLQHFGEGSNNLQLRAQSPPLLQAVYRVGKHGRVTRNSQQLEDQVPLECNSQAMHLNLTQSHSRCATMQRDEWRGYSLAQRMSLGLKNVQEFSSNPGQRLFITKGYAASRYRTMQFKSR >Sspon.03G0019400-4D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3D:46128867:46137892:-1 gene:Sspon.03G0019400-4D transcript:Sspon.03G0019400-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAARAKLAVLLLVAAVCAATGFRPPRPPPPVSEDTLEKVAASLEMYVDVLPQMPKVLGYSIKHGRPTPTPTHLTIGMYQKKWIRAAVWFVDGSATLTVRHYSLLCLARPLRVRRDSALPRAVPHHALHHPRRCALQHPWRRGLHPPAALPALSGVASSPLRRGFLPLHSGTASFLPILILGAAVAAGFPCSGCRRVAAAAADLKELSLFFVEWQWRVSHLMVFDDLLFDMYKKQVLKHLICKMAPDMVRTLHRIKVLAFGTAHRAHASTEGVTNYLKPAVAGFLMQKAIAKKLAELTTTKGVTTIIGSGDSVAVDFRSVDTVTSFQKMSLSPVPMDILKSTLSLLIGTGCGIYIAQNYDVPNIKEFMWSLMGRAKEFEESYKIRATARTRIMNIIDLQSVLAPFKFHRDLPATTVFVFGTSAESATFPGPTIEALQGVPLSVTWENHLPDRHILPWDPTVPTAIPRSGRGVPTVVHLHGGVHPPQSDGHANAWFTAGFRETGPAWTTPTYLYPNAQASGGALWYHDHALGLTRANLLAGLLGAYVVRNPAVEAPLGLPRGDAFDRVLVLADRSFYADGELYMNCTGDNPRVHPQWQPEYFGDAVTVNGKAWPFLPVARRRYRFRVINASNARFFNLSLSNGLPFHIVGSDASYLPRPVVVTHLLVAVAEAFDVVVDFSESATTHEVELINTAPYPYPDGDAPNHLNGKVMKFLVDPPAAKEQNDDHSRVPARLLEYVKVAEEEAARTRYIVMYEYEDEATGAPTHLYINGKRMEDPATETPRPGTTEVWEVINLTQDNHPLHLHLATFQAVRARELDGLEDFKRCMERLNDAVRCDVGRHAVGEEVAVPEHERTWKNVVKIAPGFMTTVVVKFLMVDTGRSYPFDATAEPGYVYHCHSFARKVTHSRARADLVTSTLEPSIRAPFSPTWSRKNPSPNPSSRAMAMSTRFAACSLPRAYSSRSLPSDGRHAGRPELRLPLLQWLHHQLHAIAPVGSVGRPAACLRATPRRRRGPASQDRPLALVLACVVASLRRRRGWERKRRKDRVPGVKKRKRGWKGLNRYRKNSGFLVQNITNSGTDQILILEVEY >Sspon.03G0020560-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3B:86415098:86415385:1 gene:Sspon.03G0020560-2B transcript:Sspon.03G0020560-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRMKQRDYCSYACDKVCSPDADATLHQRLRPLAVVGPAALPDHRLLDKKQDADAVRPAALPDHPFHGTHGGGVKPAGEPDPGHVVRPARVPVLP >Sspon.02G0032430-3C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:99717833:99721541:1 gene:Sspon.02G0032430-3C transcript:Sspon.02G0032430-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LQEPGRAESAFARYDVATVLKKFYSIQIDDLSAPPGVEIIDFLEASPSPLPWISEDELGQYAEKFQKSGFTGPLNYYRMAETNWRLLAPWNEAKITVPVKFIAGDKDIGVQSFGIEKYIKGGAFKSIVPDLEVTIIEGHHFLQQEQAERVNSEIISYLVKTSQEVQISSRKSPCDPGTSQGLIEQVARQAEQQSMEAEAAAEVRHWTADVNGISLHVAERGPADGPAVLLIHGFPELWLSWRHQMAALAARGFRALAPDLRGYGDSSVPTDPAAYSILHIVGDLVALLDHLQLTKVFVVGHDWGAQVAWHLCLFRPDRVRGAVVLGIPYFPRGPRPLTDRFVPLGDGFYTMRWQATSAGWGTTGWKVGGRSCEDGWQRRRRTRGRGDGRRSQEVRAASEKEGGAAHHRRREAETDGARRRREKARVTGREGRRRKQSAAVRARAARRGDRGWIRWKGWISFSSGVQGSVEIRMQLHAKAVQLVVEPGRTERSFARYDVATVLKKFYAMEIDEILAPPGVEIIDFLQAPLSPIPWLTEEELGQFAEKFEKTGFTGPLNYYRMLVT >Sspon.02G0029060-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:99544204:99545572:1 gene:Sspon.02G0029060-2D transcript:Sspon.02G0029060-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RHGHLLPVARLAHALRRRQDPGAALLQVVGAGEGRGRPAPARHPRRIRALGDGHAGGQRHPRQQRHHPRLLWLSQAHVSAQVPRPGRPGHSPADQGAPGASRVRPREGGPPPRAGPRRLGAADAHVPGRRHPRVPAVGADRPRRHVPLQPRQGAGAAAGGGRPRPRLRQRHAQPAQDGRVRRARAAVGRRVRRLAQGLAPPRKIRGREPVRGEGAAREGGAPVAGPLVPAARRARRRRGRREGGADPPVLDQRHHLLRVLQVHHQQ >Sspon.06G0022290-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:27675531:27680277:-1 gene:Sspon.06G0022290-1B transcript:Sspon.06G0022290-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKERFVSSGGAIFEGKSLSSIYVYDDLAVLKLSDGDSLSCRLLVDAMGNFSPIVRQIRSGRKPDGMCLVVGACAHGFEKNTTSDIIFSSSSVKRAGNSGVQLFWEVIVSISLRTYNTKISELEHVLHTIVLCLYNIQRES >Sspon.02G0000320-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:1411301:1417253:1 gene:Sspon.02G0000320-1A transcript:Sspon.02G0000320-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MESPYALHLALAALVGASFAAASAYYMHRKTLDQLLRFARDQQRRRNRLLPDGDELEDGEDDAHRDHDRRTLPIPPGLPPLHTGREGSRPVISPGSTKRVGPIVRPTTPKSSVPTVSAFDRIEDSDDEEEDLVPDAKNDTAYLAPNGAIGSDLTDKASQNGELKSAPSTQMIRSHSATGSLHAPQLNPIAADILRKEPEHETFSKINITAVETPSPDEIEAYKVLQKCLELRERYIFREEVAPWEKEIITDPSTPKPNPNPFNYEHQAKTDHHFEMVDGVIHVYPNKDSKERLYPVADATTFFTDMHYILRVLAAGDIRTVCHHRLNLLEQKFNLHLMVNTDRELLAQKVAPHRDFYNVRKVDTHVHHSACMNQKHLLRFIKSKLKKEPNEVVIFRDGTYLTLKEVFESLDLTGYDLNVDLLDVHADKSTFHRFDKFNLKYNPCGQSRLREIFLKQDNLIQVVVQLLFTCRIYLMVNVNLTGRFLAELTKEVFSDLEASKYQMAEYRISIYGRKKSEWDQMASWIVNNELYSENVVWLIQIPRIYNVYREMGTINSFQNLLDNIFLPLYEVTVDPASHPQLHVFLEQVVGLDLVDDESKPERRPTKHMPTPEQWTNVFNPAYAYYVYYCYANLYTLNKLRESKGMTTIKLRPHCGEAGDIDHLAAAFLTSHNIAHGVNLKKSPVSDSIFVLTEHPLDFDIVAWEELINYLLSGCIQIGLAMSPLSNNSLFIDYHRNPFPTFFLRGLNVSLSTDDPLQIHLTKEPLVEEYSVAASVMSLPPSLYLCYLFSHPDLWKLSSCDLCEIARNSVYQSGFSHRLKSHWIGRNYYKRGPEGNDIHQTNVPHIRVEFRHTIWKEEMELIHFGNVKLPEEIDR >Sspon.06G0003160-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6D:7828340:7833253:-1 gene:Sspon.06G0003160-2D transcript:Sspon.06G0003160-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQFCLKVAHILTGLYGSDWEKRLELKELQANVVHLSLLSRHYKRAYQELFLSNDGKCSDNSSESNNQEASDYFRFGWLLFLVLRIQTFSRFKDLVTSTNELVSVLAVLIIHVPVRLRNFDIKDSSYFVKKSDRGVSLIASLCEKYHTSEDELSKALEKTNTLIMDILKKKPCPATSACQQDNLSFIDPEGLTVFKDLLQGESLKSSLLILEKEYENAINTKGELDERMFANDEDSLLGSGSLSGGAINLPGTKRKYDVMASPAKSISSPNPMSPPRFCLSPKGNSFCNSKMAPITPVSTAMTTAKWLRSTVSPLPSKPSGELLRFFSACDKDLTDDIAHRAGIILGAIFTSSSFGERICTNMRSANRMDAIWTEQRKMEALKLYYRVLESMCRAESQILSGNNLTSLLSNERFHRCMIACSAELVLATHKTVTMMFPAVLEKTGITAFDLSKVIEGFVRHEDTLPRELKRHLNSLEERLLESMAWEKGSSMYNSLIVARPALSVEINRLGLLAEPMPSLDAIAAHHNISLGGLPPLPFQKQDRLQDKDEVRSPKRACTERRNVLVDSNSFRSPVKDIIKSKLPPPLQSAFASPTRPNPAAGGETCAETGIGVFFSKISQLELSFKEIIFGYRKQPQCKPQVFRSVYVHWPPRSRNGKTGEDHVDIITFYNEVFIPAVKPLLVEVGSGASPKKKEEEKGSVDGPYPESPRLARFPNLPDMSPKKVSATHNVYVSPLRSSKMDTLLSPSSKSYYACVGESTYAFQSPSKDLKAINNRLNSGKKVNGRLNFDVVSDLVVASSLSSDQNAKPAATEVVPIKTPV >Sspon.03G0016520-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:52242118:52245099:1 gene:Sspon.03G0016520-1A transcript:Sspon.03G0016520-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLAIQSYVVYMGSPSGGGNGGVSDPEAVQAAHLQMLSSIVPSDEQGRVALTQSYHHAFEGFAAALTEKEAAALSGHERVVSVFKDRALELHTTRSWDFLEAQSGLQSGRLGQRASGDVIIGIVDTGVWPESPSFNDAGMRDVPARWRSVCVEGPDFKKSNCNKKLIGARYYGVQPESSAPNASSSAVATPAATGSPRDTVGHGTHTASTAAGTIVSDADYYGLARGAAKGGAPSSRVAVYRACSLGGCSTSAVLKAIDDAVGDGVDVISISIGMSSVFQSDFLTDPIALGALHAHQRGVLVVCSGGNDGPNPYTVVNSAPWILTVAASSIDRSFQSSIALGNGDVIKGVAINFSNHSLSGEKYPLVFGAEVAAHYAPVAEASNCYPGSLDAQKVAGKIVVCVSTDPMVSRRVKKLVAEGSGARGLVLIDDAEKDVPFVAGGFALSQVGTDAGAQILEYINSTKNPTAVILPTEDVGDFKPAPVVASFSARGPGQTESILKPDLMAPGVSILAATIPSTDSEDVPPGKKPSAYAIKSGTSMACPHVAGAAAFVKSAHPGWTPSMIRSALMTTATTTNNLGKPLASSTGAAATGHDMGAGEMSPLRALSPGLVFDTTTQDYLNFLCYYGYKEEHVRKISGAARFSCPAGAPSPDLIASAVNYPSISVPRLQRGKPATVARTAMNVGPSNATYAATVDAPAGLTVRVSPDRLVFSRRWTTARYEVSFDVAAGAGVSKGYVHGAVTWSDGAHSVRTPFAVNL >Sspon.05G0031540-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:6406980:6408850:1 gene:Sspon.05G0031540-1C transcript:Sspon.05G0031540-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVLQGRAKSLNLPTHITIDAGRTQIAPNSRTVMAILGPADMVDDVTGGLKLL >Sspon.01G0030880-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:106512026:106514318:1 gene:Sspon.01G0030880-1A transcript:Sspon.01G0030880-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEFDDYWARAYRGDPAVPHSDPQRLVSTWTGAFALGAAACVHHHASALASHLKSLPATWQDMTLVLDQKRWKKALEKKQQQA >Sspon.05G0027230-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:5B:50519084:50525285:-1 gene:Sspon.05G0027230-1B transcript:Sspon.05G0027230-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCCPPALLPPSHRPTTTATRCTRSPLDAAGTLHRLPPLPPCCRYRSWLGMPPPDATVMAALPRMPRAGASATLFPTATPHPSATPPSFLLGSPPGPSGGGGAVADAVVADGDVVGVLGSTTRHGPSRLPVV >Sspon.04G0017980-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:65091392:65092564:1 gene:Sspon.04G0017980-1A transcript:Sspon.04G0017980-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSWWNNTADCCLWKGITCNGDGVVTEVSLPGRGLQGRISPALGELTGLLHINLSWNALSGGLPLEQLMSSGSIVTIDVSFNHLEGVLGELSSSVTHGRPLQVLNISSNQFTGEFPSRTWKLLKNLVTLNMSNNSFSGQVPSSFCLASASITVLELQYNQFSGKIPLVLGNCSNLKVLKAGHNNLGGTVPDELFNATSLEQLSLRNAGLQGTLGSPIGKLSDLVTLDLGENNFTGKIPDSIGLLKTLEELHLDYNMMSGELPSTLCNCTNLVTIILENNYFSGELTKVNFSTLINLEMLDLLYNNFSGTIPGSIYSCSNMVALRLSCNNFHGQLSPRIGNLKSLIFLSIGANNFTNITNTLHVLKDCKNLTFLYLETNFKVKPCPKMKWLM >Sspon.05G0010140-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:20176031:20178726:1 gene:Sspon.05G0010140-3C transcript:Sspon.05G0010140-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipoyl synthase, mitochondrial [Source:Projected from Arabidopsis thaliana (AT2G20860) UniProtKB/Swiss-Prot;Acc:Q9ZWT1] MHGRRHLAASLTWALTQAPSRSISSTPSLLQTLDPSVPSPPPTAGAGRLAELRRRLQADAPSLGDFTYSVEVGTRQRPLPKPKWMKETVPGGAKYAAIKAKLRELKLHTVCEEARCPNLGECWSGGETGTATATIMILGDTCTRGCRFCNVKTSRTPPPPDPDEPSNVAQAIASWGLEYIVITSVDRDDLPDQGSGHFAETVQKLKALKPEMLIEALVPDFRGDPSCVEKVATSGLHVFAHNIETVEELQRNVRDYRANFKQSIDVLKMAKEYAPPGTLTKTSIMLGCGETPDQVISTMEKVRAAGVDVITFGQYMRPSKRHMPVSEYVTPEAFEKYRALGVEMGFRYVASGPMVRSSYKAGEFYIKAMIEADRAKSTTAADSSA >Sspon.01G0030950-1P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:105555815:105556458:-1 gene:Sspon.01G0030950-1P transcript:Sspon.01G0030950-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAADARTAPPHALLLPYPAQGHVIPFMELADRLLDRGFAVTFVNTEFNHRRVVVAAGGRAPGAAAAAAGDSRLRLVGVADGMGDGEDRDNLVRLNACMQEAMPPRLDALLDADDERLGRVTCVVVDAGMSWALDAVKRRRLPTAALWPASAAVLAVLLGAKKLIGDGVIDDDG >Sspon.02G0005630-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:17871140:17871322:-1 gene:Sspon.02G0005630-1A transcript:Sspon.02G0005630-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVTLHPALSLSTGFHKKMAEARCPHFMLVGRSFSIPSCQSFCTTVEMGLDGQGDAGVAA >Sspon.01G0015940-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:49347947:49360006:1 gene:Sspon.01G0015940-3D transcript:Sspon.01G0015940-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVRQQLAIILRLAASLLALLVLAPAVVRRAEAAAPFLPWQKCRNSGSYAANSTYQSNLGKLSATVPANVNASRNLFVADRIGAAPDVVFVLALCRGDINGSASATSAKYSDLNFLATMDNGEPINVMSALNVTSPVAAFDAAVHTLLDAMSNYTAAANSSTRFATGEEAFDSSNPTIYGLTQCTPDMSPGDCRGCLAGLISMLPEAQPGRTGGRLSGLRCTVRYDVYHFFYGTSTLQLPAPVLAPTPSPVTPAATPGAYPEDAESLDSLLFHISTLRAATCNFAESNRLGEGGFGAVYKGVLLDGQEIAVKRLSQTSDQGIQELKNELVLVAKLQHKNLVRLVGVCLQEHEKLLVYEYMPNRSIDTILFDHEKRKELDWGKRFKLINGIARGLQYLHEDSQLKIIHRDLKASNVLLDSDYTPKISDFGLARLFGRDQSQEVTNRVVGT >Sspon.07G0029740-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:78303652:78304034:-1 gene:Sspon.07G0029740-1B transcript:Sspon.07G0029740-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFNNLETFPWKINYFATFQRSSHPFSHMAAHCTISPVRPCSRTLGYPWPPGIRCGGRSRRRWAI >Sspon.07G0019270-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:70484031:70484772:-1 gene:Sspon.07G0019270-1A transcript:Sspon.07G0019270-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLDSLLCVVLVFTAIPSEQASGSSNTPAAGCPRSCGNLTFDYPYGIGSNCFRSPDFSLTCANTTQPPKLYLPPWMTGQTMPV >Sspon.06G0001240-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:4062085:4065952:1 gene:Sspon.06G0001240-1A transcript:Sspon.06G0001240-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSRIARISVTWRGRQLDADADPSCTVKEFGQLLQDLTSVKPETLKLIVPQSTNKGSKLITPFLDPHSRLTLNEADISEGKPIRMMGVYDDEIEEVSDNGKRPDQRIIGFDEEEQRLRQRSSGRPRISLKLPQGQYIFCDFRTLHLPGIELNPPPSEALKRMHMLACDPGIIAIMKKHKWRVGIMTEMAPVGYVGVSPKCILGFNKNMGEEISLRLRTDDLKGFRKYESIKKTLLHELAHMVHSEHDANFFALNKQLNEEAASMDWTKSTGHMLSGRKIFDSYEEEFVLEREITVAGHRLGGESSSLASARALSGAAAYQRFLDASAKADHDAPGTKIEYNPDDVPQDFVHETLKLEPDPDDAMHVDSAAGISLAGQPTISYSEPDPDDVEKHEKQSSDRCLQPDPDDSSNANNLNRFDGSHHSEPDPDDGTNEVVPESGNKMEVDSELANSIAVLKYESDPADSSNAIVNQELFIDGKHGEEPDPDDTACQDVLKSGNGTERITEQSGNSTVLKSEPDPDDHVVDSNSNELQRIEEPVAALCSRLQSPLRHSEAEFAIQTLFKIIKNVIEHPNDIKYKRLRKSNPHFQRSVANYKAAMEVLELIGFCEDVISDEIGHAETYLVLKRNDPGLLWLAKSSLEVSLA >Sspon.04G0025590-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:32543483:32544807:-1 gene:Sspon.04G0025590-2D transcript:Sspon.04G0025590-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGACATKPGDLKVKGEAPLVEEDAAAPLAAADEKAKADVLPVAAAEVDQADVSRRRSLSVLLKQDAEASDGETDHQEAEKVVAEEEPASAAAVGETMGSPQAPVQASVATEHDDTAEEPKGDDLPSGDAHAAEEEKRVDPESVQVAVAADAPSSEESKVADDDDASA >Sspon.01G0018380-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:64994583:64995517:1 gene:Sspon.01G0018380-4D transcript:Sspon.01G0018380-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AEADLRTEVVYIHQHDYSSNILALAEQHHGQGLKDACLDFLLRAHANLQEVMVLGGLDHLAAPLVSPNKKARIDVNTAFFVVPASDMHQHFTCLLQSGEGTDVVFEVSGEKLHAHRCVLAARSAVFRAELFGPMKEGTTTGVIRIDDMEAKVFKLLLTFIYSDSMPDINEDEEYDDKEDMEEDEEEEDDDDDDDDDDVDDIELMWQHLIVAADRYDLQRLRLMCENKLCGYINATKVASILELAEQHHCRGLKEACLDFLNFPPNLQQVMAAGGLNHLRSSCPSVLIDLIAKLAYLKPDN >Sspon.08G0007470-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:24624826:24635158:-1 gene:Sspon.08G0007470-1A transcript:Sspon.08G0007470-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSISRGMGMENWGVDDVFLPQHGSRAGSRNGGGRSGRGGVDDDEEALRWAAIERLPTYNRVRTAILSSSTEADADDDGRPLRQGQQQFKEVDVRKLDVGERQEFIERVFRVAEEDNQRFLQKLRNRIDRVGIDLPTVEVRFEQLNVQAKCHVGSRALPTLLNTARNVAESALGLCGGWSLVQMLGLDICADTIVGDQMQRGISGGQKKRVTTGEMIVGPTKVLFMDEISTGLDSSTTFQIVKCLQQIVHLGEATILMSLLQPAPETFDLFDDIILLSEGQIVYQGPREYVLEFFESCGFCCPERKGTADFLQEVTSRKDQEQYWADKHRPYRYISVPEFAQRFKRFHAGLQVENHLSLPFDKSRCHQAALVFSKHSVSTTELLKASFDKEWLLIKRNSFVYIFKTIQLIIIALVASTVFLRTHMHTRNQDDGVLYIGALLFTLIVNMFNGFAELSLAITRLPVFYKHRDLLFYPAWIFTLPNVILRIPFSIIESVAWVLVTYYTIGLAPEADRFFKHLLLVFLIQQMAGGLFRAIAGLCRSMIIAHTGGALSLLMFFVLGAFIPKWWIWGYWISPLMYGYNALAVNEFYAPRWMNKFVLDQNGVPKRLGVAMLEGSSIFVDKNWYWIGAAGLLGFTIFFNVLFTLSLTYLNPLGKPQAVISEETAKDAEGNGHAKGTIRNGSTKSKDGSHNKEMKEMRLSARLSNCSSNGVSRVMSIGSNGAAPTRGMVLPFNPLAMSFDNMNYYVDMPAEMKQQGVQDDRLQLLREVTGSFRPGVLTALMGVSGAGKTTLMDVLAGRKTGGYIEGDIRIAGYPKNQATFARISGYCEQNDIHSPQVTVRESLIYSAFLRLPGKIGDQEITDDIKIQFVDEVMELVELDNLRDALVGLPGITGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFEAFDELLLLKRGGQVIYSGKLGRNSQKMVEYFEAIPGVPKIKDKYNPATWMLEVSSVAAEVRLKMDFAEYYKTSDLYKQNKVVVNQLSQPEPGTSDLYFPTEYSQSTIGQFKACLWKQWLTYWRSPITTLGDANSLRMVIGAMYTAVMFVGINNCSTVQPIVSIERTVFYRERAAGMYSAIPYALSQSLKFYALQVVIELPYVFVQTTYYTLIVYAMMSFQWTAAKFFWFFFISYFSFLYFTYYGMMTVSISPNHEVAAIFAAAFYSLFNLFSGFFIPRPKIPNGGSGTTGFAHWHGQCMGSS >Sspon.03G0024960-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:94200879:94203185:-1 gene:Sspon.03G0024960-2C transcript:Sspon.03G0024960-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSVWKTKVLPGLNKIFDKDGKKAAAVGFLKSFNKEEIGKEIEDKKTELEPKVVETYEASPPEVKALFKDKKPVKISKKNSAAVTKFLDELAKIDFPGAKVVSEAVAKSGTTPLSPAITFILDKVAPFIPEEPKVEPAAAEATSREVAVEEKKEEAEPVAAAATEEAAPAPAETPSEPAAEEKKEEEKPAAEEAAPPAAAPEEK >Sspon.02G0024640-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:85021079:85021522:1 gene:Sspon.02G0024640-1A transcript:Sspon.02G0024640-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLVVQYLCGDTPFPEMPDAYLNIRSISELHDNEIASSEYGAQYTSVQTTISVVKLLEYLPALSRQLYDNETHCCIDLVAQTPNEQFHTKYLY >Sspon.06G0007570-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:30750429:30753817:1 gene:Sspon.06G0007570-1A transcript:Sspon.06G0007570-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSTYLMLASTRAPLAPLIRPPLMALVARTAAASITSGARASVPLFVNPAACRPGVRRRGWAQICRDSSLQGPPGADSPAQEQEDMKKSEAVSEAAARIAGGSGGRFSDWSTSVLIFGIWAGLMYYVFLLAPNQTPYQDTYLLQKLLNLKGDDGFRMNDVLVSLWYIMGLWPLVYSMLLLPTGRSSKSKIPVWPFLVLSCIGGAYALIPYFVLWKPPPPPIEEDEIGQWPLKFLESKLTAGVVFALGIGLIIYAGKAGGDDWKEFIRYFRSSKFIHATCLDFTLLSAFSPFWVYNDMTARRWKNGSWLLPLALIPFVGPSLYLLLRPSLSSLLEASASPSDEFKK >Sspon.04G0027810-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:61741333:61743679:1 gene:Sspon.04G0027810-1B transcript:Sspon.04G0027810-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MESDEEIRRVPELGLELPGASTSGREAGPGAAGADRALAQSSTAQAHLLLRNRVSAQQARERKKAYLTDLEVKVKDLEKKNSEMEERLSTLQNENQMLRQILKNTTVSRRGSGSTASGEGQ >Sspon.02G0050370-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:47000364:47007086:-1 gene:Sspon.02G0050370-2D transcript:Sspon.02G0050370-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYRPSDSSGTDDDLPQFPNSRSIRGSGRVSGNGRALVPASSYARAPTDMEAQIQQLEQEAYCSVLRAFKAQSDAITWVEIIKLFTVHLEKEGLMTELRKELRVSDKAHRELLNRVNNDNIICSIREWRSTGGLQASLSNNPQPIHDPVPSPTTSGRKRQKTSQSVPALPAPPLALHSQQLATPTQPSSSTARKAVPPGPKGKKTKPGQKVPGGSAAKPMSSSVGPSGRGPHMNRNFPGGSAPEAQAQNLDPLVGRKVMSRWPEDNSFYEAVISDYNAETGLYALVYDINTANETWEWVDLKEMGPEDIRWQEDDSGIDPVTYLRSRGAPTSGVRKPTGRGGPMPGSGRGRGLQKNMSKKDFPPPQNGVGKRSSDDIDILHTESLIKEVEKVFSVSNPDPQEVEKAKKALKEQEQSLIDAIARLAEASDGESDGHNRGRRNLYAGNQHQANYVDAMPVGDQADAIELHDI >Sspon.02G0022530-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:76151981:76152387:-1 gene:Sspon.02G0022530-1A transcript:Sspon.02G0022530-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWLHSLFSPLRRMWVRAHSERRNRRGMHILYKDVQSCQDEDVHVLWSILIDSHRHPAVLKLKL >Sspon.07G0004950-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7A:12578611:12579120:1 gene:Sspon.07G0004950-1A transcript:Sspon.07G0004950-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding QRTGSRHGSPDASSSRYAPIAPPRRDVGLALAASFSCAHAVRVPAALQDGGTEAADHQGQAPAGSGAVPLDHAAANKQVHEHVSAEEVMRLSSGSGGGSGGVLVLVPAADQAAVLVLVPAVDHQAAAVLVPAAAGQRAAVPVPALDQEAVVLVPAAAREAPRTMIACLT >Sspon.05G0005160-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:18298558:18301948:-1 gene:Sspon.05G0005160-3D transcript:Sspon.05G0005160-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGGGGSGRNGAVVRRYIRSKEPRMRWSADLHRSFLQAIDCLGGQHKATPKLILQFMGVKELTISHVKSHLQMYRAARLGAGRRGMQAQLLQRRYSCTGDEQGPKEEFLCPPPLKRARIGYESTQGNHGVSDARTTAAAGGLYCIDDYMQAMASMGRRIKEEGLIRWQRRDADAAATTAAAPSNLQAMGCSVQESDPFKIRRPEARHLGSALIQQDASNKEDANGSPLFSSFSIAAKDEPSEQCSLSLSLGLDPRCARAMAAASSPSGESSCILTASPARRSSSDCSGHSGCFVGPGVSLELSLSICGS >Sspon.08G0000910-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:3084808:3101502:1 gene:Sspon.08G0000910-1A transcript:Sspon.08G0000910-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVATAALLAASVRAEQCGTQAGGALCPNCLCCSKFGWCGTTSDYCGSGCQSQCTGSCGSTPTPSTPTPTPSSGGGSVASIISESLFNQMLLHRNDAACPASGFYTYAAFIAAANAFPGFGTTGGADAQKRELAAFLAQTSHETTGGWATAPDGAYAWGYCFKEEQGAAAGPDYCEPSTQWPCAAGKKYYGRGPIQISYNYNYGPAGQAIGAGILANPDLVASDPTVSFETAVWFWMTPQSPKPSCHAVMTGQWTPSAADTAAGRLPGYGVVTNIINGGLECGHGADSRVTDPLAVMAMVATAAFFAMSARAEQCGSQAGGALCPDCLCCSQWGWCGSTSDYCGDGCQGQCSGSCGGTPPSPPLPTPAPTPTPRPHPTPNPPSGGGRGGVASIISESLFNQMLLHRNDAACPANGFYTYKDFLAAAKAFPGFGTTGSADTRKRELAAFLAQTSHETTGGWPTAPDGPYAWGYCFKEEQGAAGGAADFCEPSTQWPCAAGKKYYGRGPIQISYNYNYGQAGQAIGARILANPDLVATDPVLSFKTAVWFWMTPQSPKPSCHAVMTGHWTPSGADTAAGRLPGYGVVTNIINGGLECGHGAGDSRVAGRIGFYKRYCDLLGVSYGGNLDCGNQTQWPCVPGKKYFGRGPIQLSYNYNYGPAGQDLTIDEDLLSNPELVASDAVVSFKTAIWFWMTPQSPKPSCHDVITEQWTPSAADEAAGRLPGYG >Sspon.01G0023440-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:82031089:82037599:1 gene:Sspon.01G0023440-3D transcript:Sspon.01G0023440-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNHPVLVPVSPPASFIPARGQKISASIGEQERGANSKGRKGKTAIMHSGVDARPGPLLPLPGTSPVPCSGRRVPWPRSGLGAALRLPNSPHGRLRFGPIPAGDLCRRAHREKLRVAVLASKSTLQSEHGVSLQSECVVPQGVKAAGFQISADELASIVENRDAEKLTVLGQLDGIANKLATSLTDGITTDVSSLNQRQDMYGVNKFTESEVRSLWEFVWETLQDTTLVILIACALVSFVVGVATEGWPSGAHDGIGIFTSILLVVSVTATSNYQQSLQFRDLDKEKRKISIQVTRDGFRQRILIDDLLPGDVVHLAVGDQVPADGLFISGYSVLINESSLTGESEPVVINEHNPFLLSGTKVLDGSCKILVTAVGMRTQWGKLMAAITESGDDETPLQGKLNGVANTIGNIGLFFALLTFVILSQGLVAQKYSDGLLLSWSGEDILEILEHFAIAVTIVVVAVPEGLPLAVTLSLAFAMKKMMNEKALVRQLAACETMGSATVICSDKTGTLTTNRMSVMKACICGNTLEVTNPPMLSSFSSKLPEFALQILLESIFNNTAGEVVINQDGNCQILGTPTEAALLDFALSIGGDFKEKRQEAKIVKVEPFNSTKKRMSIILELPGGGYRAHCKGASEVVLAACDKFIDARGTIVALDKTATKKLSGIIETFSKEALRTLCLAYREMDDSFSINEQIPLQGYTCIGIVGIKDPLRPGVRQSVATCRSAGIAVRMVTGDNINTAKAIARECGILTEDGIAIEGAEFREKNPKELLELIPKMQVLARSSPLDKHTLVKHLRTTFNEVVAVTGDGTNDAPALREADIGLAMGIAGTEVAKESADVVILDDNFSTILPNGDAPFMSTSKRDAPLTAVQLLWVNMIMDTLGALALATEPPDDNLMKKSPVGRAGKFITKVMWRNIVGQSIFQFVVIWYLQTQGKYLFGLEGSEADTVLNTIIFNTFVFCQVFNEISSRDMEEINVIKGLPQNSIFMCILAGTITFQFILVQYLGDFANTTPLTQLQWLVSILFGLLGMPIAAAIKLIPVEPHEDGYNNVGMLLPRIVIINKLISDIPINLKEILTKKVTGKLQLFQC >Sspon.04G0010370-1P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4C:37594140:37595267:1 gene:Sspon.04G0010370-1P transcript:Sspon.04G0010370-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRAQRADGPAAVLGIGTANPPTCLAQDEYPDYYFRVTNSEHLTDLKGKLTRICNKSGIKQRFIHLNEELLAANPDFTDRTRPSLDARVDIASAAVPELAASAAAKAIAEWGRPATDITHLVFSTYSGARAPSADRRLASLLGLRPTVSRTILNLHGCYGGGRSLQLAKELAENNRGARVLVACSEITLIAFYGPQGGCADNILGQALFGDGAGAVIVGADPIAPVERPLFEMAFASQTTIPETEDAISMQINKGGMEYHISNQVPRLLGCNVERCLVDAFRALGVSAAWNDLFWAIHPGGRAILDHIEGVLGLDDGKLAASRHVLSEFGNMSGTTVIFVLDELRRRRAATAKQGGEAPEWGVMMAFGPGITIETM >Sspon.03G0022460-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3A:68207195:68208152:1 gene:Sspon.03G0022460-1A transcript:Sspon.03G0022460-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGNVPYLAEFTEVDTFLGSSLQDCPFDAAAGSIAQKDENAKDHVKSQTHCIEEMTITNGVKKRYRDGAFVEASCFSASSRHDAMQMLKSEMHDHGFHYMPPRKPRQSDGYLRYRRRWLSDCFVYVAHADYFMLLRAFAKLAEVDVRIMHTSVLKLERRLSCIEERIERSLNTLRNLSTGT >Sspon.07G0020080-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:74740325:74745676:1 gene:Sspon.07G0020080-1A transcript:Sspon.07G0020080-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:O-fucosyltransferase 38 [Source:Projected from Arabidopsis thaliana (AT5G64600) UniProtKB/Swiss-Prot;Acc:F4KF16] MAKSRGAPPLLHPRPHRRLLRSPISRCACLLLAFAALLLLSSLHQVVRVDLSRLDPVPPRQALQGKSDSYMTVRSNGGLNQMRTGICDMVAVARLVNATLVIPQLDKRSFWQDTSTFKDIFDEPHFIKALEGDVHIVSDLPGSLQSAPRARKHFTSWSGASYYEEVKELWKNQKVVHIPKSDSRLANNGLPIDIQRLRCRCLYQALRFSDLIEDLGKASNVTLTKQKLVERLRSRGKYIALHLRYEKDMLAFTGCTYGLSYLEANELRIMRERTSHWKLKDINSTEQRYEGNCPLTPNEVGIFLRAMGYPESTWIYLAAGEIYGGEKYVSKLRSYFPNLVSKEMLATKEELEKFNNHASQVAALDYIIAVESDVFVPSHSGNMAKAVEGHRRFLGHRKTITPDRKGLVELFDLLEKGDLMEGPKLSSLVTEMHKYRQGAPRKRYGSLPGSKGRARLRTEESFYENPFPECICLTGRH >Sspon.01G0014650-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:42249528:42251607:-1 gene:Sspon.01G0014650-1P transcript:Sspon.01G0014650-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRIPSVSLRGGADEDSAAASPPPDPAALSRWVRAFCVIRFDLERGQLVEACFPPDALTAPGGGLDRLVAFSSFPDSMSHHLPRHRSSVHDSLFSFRVPDPSSPRRAFLYGFVFNRQRQDERLPRGGEQKSVVILSHAPYSSLFRLLLQILGPLCFDVGPSALAMVASHVAVWPAPAPGRLMELPIGSAALRVHLPPAADDPGPPPALLPANPSVPYGLFHDADLFAAFRGLLLHLWTLWELMVVGEPVLVVAPSPAQCSEAVAGLVSLVAPLLYSVDFRPYFTIHDPDFARLNALAEGEVFPPMVLGVTNLFFLKSLKSIPNVVSVGSPNPNSTRVLPVGGQSPGNGMNGTPGKLKLEKLAINKFSPTSLLNSIKLRREGPLSLMTEHKEALWSTYSPTTKPDTSVLNRLIDAGVSPRIEESMSVVNNEILRRHFLELTTNFLAPFGPYLRTTTPSEGSSPFVDPPLLPPFHADEFVNGLAARGPGKFLSKRMRSNWLDLYRRFLEGPNFMPWFRQRRAAAEQEQQRLWRQARMNVDIEKLMSNMSELERIDSFNAVERYLLREME >Sspon.08G0006680-2P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8B:18398534:18399982:-1 gene:Sspon.08G0006680-2P transcript:Sspon.08G0006680-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPRLHFLVLTFPLQGHIAPALRLARRLLAAAPDALVTFSTTEVAHRRMFPAKPDDGASKDSDDEYGQRLEFLPFCDGMEAGYVQSTDPGSFNAYMASFHAAAARSFGQLVDALAGRGRPVSRVVYTLMLPWAADVARERGIPSALYWIQPVAVFAIYHHYFHGHAGAVAEHRHDPSFVVELPGLAPQTVADLPSFLTDSTDPSDFFHSIFTTIRDLIETLDKESPKSTVLVNTCQELEVGALAAVGAQAHDVFPVGPVLPSGDDDDAGIFKPDDDAKYMEWLDAKPANSVVYVSFGSLATMTREHLDELLRGLEESGTPYLCVVRKDNKAALLADAETKVLDEDELKNGVVVEWCDQVRVLSHAAVGCFVTHCGWNSVLESVVAGVPMVCVPRMSDQRTNAQLVVREWRVGVRAQVDDGGVLRAPEVRRCIAEVMGNLEAAAEVRRMAAEWKRVVTKAMGKGGSSDRNLMAFVDGARSAV >Sspon.07G0025560-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:43537008:43539326:-1 gene:Sspon.07G0025560-2C transcript:Sspon.07G0025560-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSAPDVVESSRAGQEAEQQPESEPQRKWVALVSAAVLLGNEDERAQKIVGGTDVLLDLNDPPLPSYMVLHPRVAPDPRRNDEPLSAYILAADRSACILLQVVEGNRPDFFLCNTHRSTVTILPPVSSYIQARGHDIRPRLSIGLIADPHHRGHYVVVQLHPTTSDDHPNRLLFYSTAEGRWFIRGLNLQQARMRNPFSETGVLAHDGRLWWLALAYGVFFSDPCTPQFESPQLRFLPLPDDCEMEGDVGFDPRIRTLIDQRRCVRPSEGKLRFVEIRGLSYDELVDVPAANPTVRMWTLDDPEGPDAWTFEYEVAFAEIWENKTYTDAGLLPNEVPHVALVDPNDHYVVYFFQRSKLFGLDMREKNVVACKECLIDRDQLRFPSSRPIVDAWELPPPPATLPGDDDSSLDDNVWSTQSYAEEKKGQVTQSVDSWLNQARLEWARDTDASVENWRAWQMAPPTSDESSANSGGQADDELEMQFPLSP >Sspon.07G0013450-3P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:47915603:47916931:-1 gene:Sspon.07G0013450-3P transcript:Sspon.07G0013450-3P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPNFNGVWSAAEIDMVKSFIASHNTNNTYTNETNKMHNDIVDELQARFPSKERNQVIQLNFLHGLEVYGRGNWKNISRYFVPTRTPIQICSHAQKYFHRKECTTRKQRFSINDVGLYDTEPWVQKNSSSSKSLAFGHSAYNTNYYDIEGQQAVLNKLTHASQVSSRHAATWTRGQHIIASSSIDPTMVQSNSLGWEAPAFTRGADNTNYYDFDGQHNAMNNLACADLASNNQIATWTRGQQTITSSFVAPTMMQNTSPSWEVLSFTGSAYNTNFYDFDGQQVALNNVTRGNH >Sspon.02G0022930-2T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:79630228:79631859:1 gene:Sspon.02G0022930-2T transcript:Sspon.02G0022930-2T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPPSLWGGLNSGIVLSLLAIVWTMLWQALQGLQLHHLFGRHSRRLTRRLASILDPDLTVTVAEYDGGRMRRSDAYKEAKAYLERATREARGGAAGCATSGRSPTRTPTAMDDDEEITDEFRGAVVTWRACTAPQREDTGPAYYWRGGAPRAERRSYKLFFAERHRDLVLGEYLTHVRRQGRAVMVKNRQRKLFTNISSDGSWDSDGLWSDSVWSHVVFEHPKTFATLAMDPAKKKEVMDDLDAFRNGKDYYARVGKAWKRGYLLYGPPGTGKSTMIAAMANHLDYDVYDIELTSVRTNTDLRKLFIETTSKSIIVIEDIDCSLDLTGKRKRKEKDKEEADKKDGKEDGKEKEDDKAGGSKVTLSGVLNFIDGLWSACGGERIIVFTTNHVEKLDPALIRRGRMDKHVEMSYCCVESFKFLAKVYLDVDDHPRFDAVAALLREVDMTPADVAENMTPKVPGEDADSCLAALVEALEKAKEDALMAKKKKAEGKEEAGAADEVDEEEEEEE >Sspon.02G0053080-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:99266979:99268576:1 gene:Sspon.02G0053080-1C transcript:Sspon.02G0053080-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQWLHGTRIRDDGYESLRKAAGIPIRDLIISKLRETRELCLAVDTLTGDIIVGRTLNELHVRYCLSMEQIIVGDKLGKPFDALSLLVLRGLHSLTNIMWEGTSSSQALFPRLTDLYVYFCSKLQHLSWALYLPCLESLRVGWCKAMKQICMGTGQESSKTFPCLKYLTLTENDELTSLCGSDVTFPCLESLIISECPKLKRLPFTMQGLPHKLTKLGIHRYAWNELEWEDEGVKSFLEQRVRVVDSDSGADSDSDLSADSDSDLGADSDSDLDLGADSDSDLGADSDA >Sspon.05G0006700-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:21075759:21078877:1 gene:Sspon.05G0006700-1A transcript:Sspon.05G0006700-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKTGFDMDDVVRKYIRYTLNEKQFNPDVVVDLIHLRKASMLEDAEVAEILNEISRRIVREKGPVVMDLSGFTEQGFKRKLAVQALFGKILYLSELPEFCSRDSSLVVKEIFGVTDEDADSLRIHTVSTTGDIESIQKMVDDLDIEQGPSSCLELW >Sspon.08G0006260-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:19006209:19012448:-1 gene:Sspon.08G0006260-3C transcript:Sspon.08G0006260-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SOS2 [Source:Projected from Arabidopsis thaliana (AT5G35410) UniProtKB/TrEMBL;Acc:A0A384KKJ4] CTKSQTQPGIAKRHISRPRARGRACAKTQPHRPPPRGKEDQAADKQERGMAGAAGRKKRVGRYEVGRTIGQGTFAKVKFAVDADTGAAVAMKVLDKETIFTHRMLHQIKREISIMKIVRHPNIVGLNEVLAGKTKIYIILELARHGKLRENEARKYFQQLIDAIDYCHRKGVYHRDLKPENLLLDSRGNLKVSDFGLSTLSQNGVGLLHTTCGTPNYVAPEVLGSNGYDGSAADIWSCGVILYVLMAGYLPFEENDLPSLYEKITAAQYSCPYWFSPRAKSLIQRILDPNPRARITIEEIRADPWFEKNYVAIRRGEDENVSLDDVQADKYVSEEVTHKDGGPLMMNAFEMITLSQGLDLSALFDRQQEFVKRQTRFVSRKPAKTIVATIEVVAESMGLKVHSQNYKLRLEGAALNRMSQFAVVLEVFEVAPSLFMVDVRKVAGDTLEYHRFYKNLCSKLDSIIWRPTEVSAKSTLLRTTTC >Sspon.02G0020650-2P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2B:67143261:67143818:-1 gene:Sspon.02G0020650-2P transcript:Sspon.02G0020650-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELLQHPDTLQKLQKELRSVLGSKPYVEHSDVGRLPYLRAAIRETLRLHPVVPLVPNEAEQAVEIQGHVVPKGCTVLVNLWAVHRDAGAWPEPDRFVPERFLPRLEETGFVGTAEFEFIPFSAGRRACLGLPLATRMLHAMLGSLLLRFDWSLQPEAMENGVDMSESLGLTMTMTTPLKAIAKPW >Sspon.01G0009070-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:32056472:32060670:1 gene:Sspon.01G0009070-3D transcript:Sspon.01G0009070-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIGTLPGAAAVAGASVPQRQRRGGAPSFVAAYVPEGSRLVCGRQLRPAPVLACSSISPSRPARRRFLSAAAAVASSGSAGEAEPQGFAERYPTLVTGFFFFLWYFLNVIFNILNKKIFDYFPYPYFVSVSHLFIGVLYCLIGWSFGIPKRAPINSTILKQLVPVAVCHAIGHVTSTVSFAAVAVSFAHTIKALEPFFNAAASQFILGQPVPLTLWLSLVPVVTLPNTRARQSPNMRQKVPEVQEFRQGADTQCSSKSEIHLWSMNSSKAGVDIHPHPLPARARSSPTPASASRAGPSRSAREQVRRGCRQIRRN >Sspon.06G0008820-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:40515237:40522960:1 gene:Sspon.06G0008820-3C transcript:Sspon.06G0008820-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTASTCTPETVQGRHLFEVTGYSKHRGMGHDKFIRSGTFSVGGHQWSIRFYPDGIIKDKDYISVYLEFMKENFGAKVRASCDLRLVDLRTGLSASVHKTGPRMFNSSDVSKSQIRRRRLPTAHGSMMTTQVKTASTCPPPETAQGTHVFDILGYSKHRGMGADYFIRSGVFNVAGHQWVIFFYPDGYGEELAGGFDFVSAYLRLLSTSGKVRASCDLRLVNPATGVATSVHPTLVIMRELDPEKDKHHCDKLKHACVEFMCCSNNVQDVVATQGYMDLAKTSPSVLADAMARMTSIRGDWSRAHPAMTVGGYQWAILFYPDSDDGAPGSSWYVSVYLALVTRPARVRASFNLGLVNRATGLPSFQPEDTTAVFDNAACCCCCCRMHPYPYPIPTCRHERQQRSCHGVPRLMRRCELEASPAYLRDDAVTVACVVTGVTGTALL >Sspon.04G0020830-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4B:75728829:75729341:1 gene:Sspon.04G0020830-2B transcript:Sspon.04G0020830-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVAVALALLFFCVLLLASGAIAVLLIRHCLASLRRRPSADADLEARAPAAAAPRHRQPQPAAAAAKPKQQQALLIAVKKEAQQQQQAPRRLAWREVEALTGGFDEAAVVGRGGSSTVYLARLLDGSPVAVKVQRWCGGERRLRAFRQELDLLRRLRHPNIVALLAYSDDN >Sspon.05G0031350-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:15820328:15821615:-1 gene:Sspon.05G0031350-2D transcript:Sspon.05G0031350-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homodimeric diiron-carboxylate protein, Cyanide-resistant respiration in mitochondria, Reactive oxygen species (ROS) scavengin [Source: Projected from Oryza sativa (Os04g0600300)] MSSRMAGAALLRHLGPRLFAAEPVTGLAARGVMPAAARILPARMSSTAVEAAKEAAAPEEQRQKLEGPAPEGQDKKAVVSYWGIQPRKLVKEDGTEWRWFCFRPWDTYRADTSIDMKKHHEPKALPDKLAYWLVKSLVVPKQLFFQRRHASHALLLETVAAVPGMVGGMLLHLRSLRRFEHSGGWVRALLEEAENERMHLMTFLEVAQPRWWERALVLAAQGVYFNAYFVAYLASPKFAHRFVGYLEEEAVHSYTEYLKDLEAGIIDNTPAPAIVIDYWRLPADAKLKDVVTIVRADEAHHRDVNHFASDIHCQGMKLKDTPAPLGYH >Sspon.03G0025640-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:5650547:5655729:1 gene:Sspon.03G0025640-2C transcript:Sspon.03G0025640-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vacuolar fusion protein MON1 homolog [Source:Projected from Arabidopsis thaliana (AT2G28390) UniProtKB/Swiss-Prot;Acc:Q9SKN1] MDPPNPNPSPSPSPSPTDDAPSPPAESAARLAALSLRGTRDLPPDFHTAEIYDDDDEGYLTAASRGGSSTSAWKEAPEGLHDDDKDGDDVSHPSPSSSGYAGERGSSLEDDPDPEPEPAQDWPRDKKHLHEEEEKAFLHPEQFWQANIFQIVFLVKGPIYLVCISCTEESYEGLRGQLELMYGQLLLILTKSVNRCFEKNPRFDMATLLGGTDAVFLSLIHAFSWNPATFLHAYTCLPLAQSTRQAASAVLQDVADSGVLFALLMCEHQEHTYVTLLTTRSDAFYDLKDSRLYKAYQKLYVSMHDKATGPHKTQFRRDEDYVLFCWITQDFELYAAFNPLADKTQAIKMCNRAVKLYQFGKDLFCFCVY >Sspon.05G0023050-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:4896133:4896381:-1 gene:Sspon.05G0023050-1B transcript:Sspon.05G0023050-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAKASWMVAMSVGAVEALKDQAGLCRWNYALRSVHRAAKANVRSSLVQAKKLAPAERKRTDKAEEGMRTVMYLSCWGPN >Sspon.06G0004770-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:12410905:12413311:-1 gene:Sspon.06G0004770-2B transcript:Sspon.06G0004770-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGNGAKKVVDVAVKAGKAIDWDGMAKMLVSEEARKEFATLRRTFEDVNHQLQTKFSQEPQPIDWEYYRKGIGSKVVDMYKEAYESIEIPKYVDTVTPEYKPKFDALVVELKEAEKASLKESERIEKEIAELKEMKKKISTMTADEYFEKHPELKKKFDDEIRNDYWGY >Sspon.06G0003010-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6A:9566574:9569288:1 gene:Sspon.06G0003010-1A transcript:Sspon.06G0003010-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCVWKTAGDAGDVRDGTGPLVGRSIAAKSCKVSTFLEGLFDPTAGAKKQPGTREQNIWALPRPRARRHRTRRHASVDRPRESAGAAAPAAHQGARRDDRAPPPLPPAGHGEPQVAAAAAGGGGVWWRPPLRAEDEHAVIVAALAHVVGAGRQSSASQPTPAVLGQQVMHRTAPAPAAAPEQRPRYRGVRQRPWGKWAAEIRDPVKAARVWLGTFYTAEDAARAYDAAALHFKGAKAKLNFPADHRAGAAFQPHCHGLGQQSGAMTTATASTSAVLAPTPRRGTRHAAGPPSVVAGADQEEFLDLSRYAHILQSGGHLDLQAITGAGGLTLGRSSTTTASGADQEEFPDLSRYAHILQSGGHLDLQAIAGAGGLTLGRSSTTTASASSSSPAPSVDWSPWRDPT >Sspon.05G0003000-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:8930508:8933477:-1 gene:Sspon.05G0003000-1A transcript:Sspon.05G0003000-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTSSFKLEHPLEKRQSEANRIREKYPDRIPVIVEKAERSDIPDIDKKKYLVPADLTVGQFVYVVRKRIKLSAEKAIFIFVKNTLPPTAALMSSIYEENKDEDGFLYMTYSGENTFGLL >Sspon.08G0020270-3D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8D:19254394:19259457:-1 gene:Sspon.08G0020270-3D transcript:Sspon.08G0020270-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHPPFLGPSLRVRPLLLLVHREWTPRLTFSIAVDRLSLNTCTSSQAKRHAHTHSCLARTAGSAASPCCCGPAACSSVTCELADQRLAPRLAVGAELGRGPPNSSLTEFGAPLHQRPPPKQPAVMVVWWGSDNDEAAGMPGNWDDRADPTASSCSSFSTLKQCLYSEPLAWIHTPPEPAVTDGEDDKHTGKRRPRAETVSPPDAVQYFLRKVQWFSPATSPAGSDNDPSSSSRDATAVGSGDAVPDFSRRLTGMSAKHSQQYLSLSALVGPSHRRMPQSGSGFRNKRSGVRACMLAEAASSATAVVSEREEQCHKAKENLDVGATATVFGIAVLLGGLFLPKEAKHPGNNVRLTVSLLLSFATFLSGKALVLLSLNMMGRQALVSGSHRVAAKCLVAACAVLLVLTLLSLLALLPGGAYLYIGLSVVTAVTLSAAGAHWWLLRRRNGGGGGGGGGEAAAVYDDEEDKGKEELDAASKTTCGITNSAFSGASSASSSAR >Sspon.08G0002220-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:5192507:5193950:1 gene:Sspon.08G0002220-3D transcript:Sspon.08G0002220-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMVTRSVSVGAIVLRTGGAILHALSAASAAHLHAHALKLGLLPSYLHLCSALLKTYAVSGRIAAARQLFDETPRRDVPLWNALVSAYARSGHPRDAIAAASAMARDVEGFRPNRVSITSLLSSVLGRELHGYATRNVPVLDLPVLNALVNMYGKMVFAGMRVGMRSAVSWTSMINACCENGRPAEALEVFEQMRIARVKVDEVTLLAVISACTKLDCTPGLGDWVEACAVESGFLQNTRVANALIHMHGKMGRVKRSCGIFDSMGAVKTVVSWTAMVQALAMNGHGVAALVRFTQMLREGFLPDEVVFLSVINACGHSRLVSEGHRLFKSMIEEYHITPWMEHYGSMVDLLCRAGSLDEAFEFVVAMPVTPDPVIWRVLAGACRDHGNASLARRVMDHVIGMEPDHEGNYVLASNLYAADEDWKRVIDVRLDMGARKVTSRAAAAAMSSVEVNGE >Sspon.01G0013850-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:45212238:45215260:-1 gene:Sspon.01G0013850-1P transcript:Sspon.01G0013850-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRSGGRKLPFFTRSSSSSSSKRNRSARRLPSPSKQDNATRALLASPSAASPSATPGSAAAGQTAQPPPPLSATAGAGGAVPGKVTKKKAGARLWMRLDRWGTSEVVELDKASIIRRAGLPPRDLRILGPVFSRSSSILAREKAMVINLEFIRVIVTAEEVLLLDPLVHEVLPFVDQLRQHLPLRSLVGGNGECAPDGNGEKQKGSPGGQVPCLNEATGAEHELPFEFHVLEVALEVVCSSLDLSVADLERHATPVLDELTKNVSTRNLERVRSLKSHLTRLLARVQKVRDEIEHLLDDNEDMEHLYLTRKQVQNQQVEALMSSAASNSIVPAGTGVPRMNSSFRRSMSIATSMHLDNDVEDLEMLLEAYFMQLDGIRNRILSVREYIDDTEDYVNIQLDNQRNELIQLQLTLTIVSFGIAADTFIAGAFAMNIPSSLYNITDGSLFWPFVGGTSSACFVVTMLLLGYAWWKKLLGP >Sspon.04G0028270-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4B:64861073:64862831:-1 gene:Sspon.04G0028270-1B transcript:Sspon.04G0028270-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLILRTKMMENLMMIMMMREMMRMLKTKKKMMVVMRDQMRMGMRRKMTTMMTTILKPMVKEEAMMMMMMMVERMKRMTTTTMMETVTMTKMRRRKKRKRRMTMTSPNHLLRRGN >Sspon.01G0030550-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:77864427:77869003:-1 gene:Sspon.01G0030550-2P transcript:Sspon.01G0030550-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASARGSVWEIQPRDVEAAGLAAADAAAFLAALRSAAAAAGSGATLDAVWAAMTAAGVLRPEHPHALHQLVYYSAYAGWDRDARGPPPYWFPSPFSVENQEVYWSMVLKQLAVNFKQEPRSILSTSDRSKKGGIWLQGAVLNIAECCLLPCPSLKRTDDSTAIIWRDEGLDDYPVNRMSLKELRTQVITVAHALDAMFEKGDRIAIDMPMTCNAVIIYLAIILGGFVVVSIADSFAPQEIGSRMGVSKAKAIFTQDFIVRGGKKVPLYSRVVHGTSSKAVVIPAIGDSLGITLRNGDMSWKDFLSRAAGRSSSYSPVYQSVDALTNILFSSGTTGEPKAIPWTQLSPIRCASDTWAHLDDAGVTVLGSVPSLVKSWKAGNCAEGLDWTKIRVLGTTGEASDIDDNLWLTSRASYKPIVECCGGSELASSYIQGSLLRPQVFGAFSGASMSTGFVILDEQGTPYTSSVEIERVCNRADECLLETAAVSIKPSGGGPEHLAILAVLKDRSAQYDVNLLKRKFQTAIQKNLNPLFKVSYVKVVPEFPRTASNKLLRRVLRDQLAQELSNRSRL >Sspon.04G0001600-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:5471014:5471298:-1 gene:Sspon.04G0001600-1P transcript:Sspon.04G0001600-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSMVRKDEEEGPADRVPHLPWMRYPSTSTPSPAAPSHCSPASTRGALLMLQRCPCDRLNWPLASFGCSSEVTGIFYICFAVRKRVGNYSADCF >Sspon.02G0021880-1T-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:73239697:73240834:1 gene:Sspon.02G0021880-1T transcript:Sspon.02G0021880-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAATTTAARTAPPPMVAVAVAVLLVLCAASVAGQGQLQVGYYNKTCPAAEQIVRNETTAAIQASPDLAAALLRLHYHDCFVQGCDASVLLDSTPNNTAEKDSLPNGSLRGFDVVARVKDQLEAACPGTVSCADILALMARDAVSLAKGPTWPVALGRRDGRTSNAASCVELPPLHGDIGLMVQAFAAKGLDVKDLAVLSGAHTLGKAHCASYADRLYASASCATPDPALDARYADRLRMRCPSPSAASNSTTAAAASELDPGSCTTFDTSYYRHVTRRRGLLRSDASLLDHPFTRAYVLQVASGRIDGHFFHDFTVSMGKMAAIGVLTGDQGEIRRKCNVVN >Sspon.04G0020900-1P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4A:73279937:73283136:1 gene:Sspon.04G0020900-1P transcript:Sspon.04G0020900-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIAQTILTRDLADEIALVDALPDKLRGEMLDLQHAAAFLPRTRLVSDTDMSVTRGSDLAIVTAGARQIPGETRLNLLQRNVALFRKIVPPLAEHSPDALLLVVSNPVDVLTYVAWKLSGFPPSRVIGSGTNLDSSRFRFLLAEHLDVNAQDVQAYMVGEHGDSSVAVWSSVSVAGMPVLKSLQESHRCFDEEALEGIRRAVVDSAYEVISLKGYTSWAIGYSVASLAASLLRDQRRIHPVSVLARGFHGIPDETDVFLSLPARLGRAGVQGVAEMELTEEEAKRLRRSAKTLWDNCQLL >Sspon.05G0022110-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:2189070:2191168:-1 gene:Sspon.05G0022110-1B transcript:Sspon.05G0022110-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein COFACTOR ASSEMBLY OF COMPLEX C SUBUNIT B CCB4, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G59840) UniProtKB/Swiss-Prot;Acc:Q6NQK9] MDSAIGPFSIFLQEVRRRCISRALRPPQQEWVEGWVRRNDTLVRGLPILVGGASLVAVLLNRAVSGIAAVADASSSQSRADILTLALSVTDILAGLVWLSIRPKSISPVVPRGVECKRIGPGVSSFALHELLWTWDSLTTATCCKSLVIVYGRNCVLQIGVAAGSPEDGNALNVDAQKFLQGTLYKSAMESKKREYIFLLAESYLANLALYPGRSEMPFLPANTQALILQPIGDKGIAIVGGDTIRGFTNIDQAWIAMIADKLDATLSKS >Sspon.07G0019850-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7A:73531064:73532011:-1 gene:Sspon.07G0019850-1A transcript:Sspon.07G0019850-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MACPGVVWLNHGGRRSRLLSGEDLPPPIGVGGGDPSASAEAPAGRDGPIHRSSSPTDPLHCQFSSQIKTKPTNRRQKIERAKKDSPVVRTQPLFFFTAKPSTSSTTPNLHTLLDVVSVVSTLQALASMRSHHGKRTRRVVLCIKAGFMKIGGGKLVNFYVLSHLRTSVLTRRAPVAIHIGQRMELSRNCKFKD >Sspon.06G0020250-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6B:8333221:8336639:-1 gene:Sspon.06G0020250-1B transcript:Sspon.06G0020250-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHL >Sspon.04G0018280-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:65856367:65857289:1 gene:Sspon.04G0018280-1A transcript:Sspon.04G0018280-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAEKILAMVAKEARAAGILAEPHQFELVAAPAPTATGDNAALMTMHYWSWRLAHHHVNDSRRVLQRRQCCIALAERNGSLLHKEWPPPLQLPRFPREPAPRLAAWIGGHGPPRIIPRELRQVMAKRQSALS >Sspon.05G0006780-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:21244462:21253256:1 gene:Sspon.05G0006780-1A transcript:Sspon.05G0006780-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPQTTAAGGGGEEAVEEASTLRHRHNAAKNGGEEDGVASQSQLLAGEGEGNAALSVERAFAEQAVPSWREQLTVRAFVVSFFLAVMFSIIVMKLGLTTGIIPSLNVSAGLLGFFFVRLWTAAIERVGLLKQPFTRQENTVIQTCVVAAYDIAFSGMHAASSSVLLAMQSSSSGFGTYLFGMSETIAKQATEADNPQNVKNPHIGWMIGFLFLASFIGLFALVPLRKVMIVDYKLTYPSGTATAYLINGFHTPEGAKLAKKQVKTLGKFFLYSFLWGFFQWFYTGGDNCGFQNFPTLGLQAYKNRFYFDFSPTYVGVGMICPHIVNVSVLLGGILSWGIMWPLIRNKKGSWYPASLLESSLHGLQGYRVFISIAIILGDGLYNFVKVLIRTTTAFISMMKKNSTLPVSNNGSPITEAVSFDDERRTELFLKDQIPRSVAYGGYVAVAAISIGTLPQVFPQLKWYYILVAYIFAPVLAFCNAYGTGLTDWSLASTYGKLAIFIFGAWAGASNGGVLVGLAACGVMMSIVSTAADLMQDFKTGYLTLASPRSMFISQVIGTAMGCVIAPCVFWLFYKAFTDIGVSGSEYPAPYAIVYRNMAILGVDGFSSLPKNCLTLCYIFFAAAIVVNLIRDLAPKKVARFIPLPMAMAIPFYIGSYFAIDMFVGTVILFAWQMINRAKADAFGPAVASGLICGDGIWTLPQSILALAKVKPPICMKFLSSASGGPAAHAGADALESGASLLRRRHAGGLAGADDDGYGGGNAEEVVVASVEQAFADKPVPSWREQLTVRAFVRHRNEAESHHRRHPSLNVSASLLGFFLVRLWTKAIESMGLLKQPFTRQENTVIQTCVVSAYGLAFSGGFGSYLFGMSRSIAEQATEANDAQNIKDPHLGWMIGFMFLVSFVGLFVLVPLRKVMIVDYKLTYPSGTATAYLINGFHTPQGAERAKKQVRTLGKYFSLSFLWAFFQWFYTAGDDCGFTSFPSLGLEAYKNKFYFDFSATYVGVGMICPYIVNVSLLLGGIISWGVMWPLISTKKGSWYPESLPDSSLHGLNGYKVFITISVILGDGLYNFLKVFSHTMCAFISMYWKKHANTLPVSDNGAPPATTETESFDDKRRNELFVKDQIPKSVALGGYVCLAAITIGCLPLIIPQIKWYHILVAYIFAPILAFCNAYGCGLTDWSLASTYGKLAIFVIGAWAGASHGGVLVGLAACGVMMSIVGTASDLMQDFKTGYLTLASPRSMFISQVIGTAIGCVVAPCVFWLFYKSFDIGVSGGAYPAPYAIMYRNMSILGVDGLSLLPKNCLTLCYIFFAVSFTINLIKDLVPQKVAKFIPIPMAAAIPFYLGPYFAIDMFLGSVILFVWEWKNKAEADSFAPAVASGLMCGDGLWALPQAVLSLANVNPPICMKFLSRSVNAKVDTFLGN >Sspon.07G0011600-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:41237230:41247778:-1 gene:Sspon.07G0011600-1A transcript:Sspon.07G0011600-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRRVAADDGSGAGVGRPRLFAVPRLLVGLGAPRCGAAPDCDLPTAARSPTSPLDLRAPFAALGGSLLRSPRSPRTRSWDSHRLGLCGLVDDDALAEPAAGASRNRLLGLGPAQVRQPFKLPQRLATQPRDCAHASPELGNVGTAAGAVASRKPVPCSRSYRDVKSGPEVTVPGAAPPCASSHPADLGKFPAPGSLPASIGGPRRYVGSVSATEVEQSEDYTCIIAHGPNPKTTRIFGDCILEPCTLGDGVDAMEVKEGAESYWLKEPRTLNNLLNVLCSGEKAFCSRNCRDQEVLTEEEENITAVSSLSSAGSSSSFNDDIFIAEMPLCLRTAFGFHNADRTDIQKADVTEPEEPREELHQPDEQGQPARNPLAGLLNAIAVIASGVFAGLLGTSQQEKKALQSTISSMETKLVENEAAMSMLRENYEKRILDEQVKLKKEARKFQEEEALLQDQLASSRRTVASLTDEVQREKELVEQLNLEIDRLKNSIAEAEEDKHLSEGKLKENIEVLDILHGKVNLLNQEVNGKEEHIRELSSSLSAKEKDHENLNVIYNQAKENLEQANSQIKQLEKDVHTAKDDLKSKASLINSLNEKVQTLYTEKGEVEEKVSALVTQYTYLKTASEERAYHDSELLFQKDDKLNQLEEKLSAALSDSSKDRTRIAELNNELDTTKTILDNEVVARKSLSDLVQSTEEALRDSKNEVFQLSEELDEVKRSNQDLMTQISKLTDEASEVRQALANKIEQAESVSASFSDELASVREVLKKSQGELEVTSKQLLSVSEEYSDLNKELLDAYKKLESTQNELVKERKINATLNMELDALVKQSVIVSEARKGLQVDLDEATRSLSEVTKSTLSLSKQLETTKSKISAIKEDKEMLSKSLEEQKKRTVEAQENLEDAQNTIKRLGTERESFEVRSKKLEEELATAKGEILRLRRQISIGGSPNTQVISETGATPINSQPLKEQPMNDRVQNINSADAVAPRSPKRIYKRKRRPAA >Sspon.02G0055130-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:256532:266893:1 gene:Sspon.02G0055130-1D transcript:Sspon.02G0055130-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSGEIRKVASMRRGSGGSVWRSGGNDIFSRSSRGDMDDDEEALRWATLEKLPTRDRVRRAFIFPLPAGDDAGTGHQGLVDVDVLSLGPGERRALLERLVRVADEDHERFLVKLRERLNRVGIDMPTIEVRFEHLNVEAEVRVGSSGIPTVLNSITNTLEEAATALHLLRSRKRALPILHDVSGIIKPRRMTLLLGPPGSGKTTLLLALAGRLDKDLKVSGRVSYNGHGMEEFVPQRTAAYISQHDLHIAEMTVRETLAFSARCQGASAVGGHEANVVTDYILKILGLELCADTMVGDEMLRGISGGQRKRVTTGEMLVGPARALFMDEISTGLDTSTTFQIVNSLRQSIHVLGGTAVISLLQPGPETFNLFDDIMLLSDGQVVYQGPREDVIEFFQSMGFRCPQRKGVADFLQEVTSKKDQKQYWAWPDKPYRFVPAKEFATAVKSFHTGRALAKDLAMPFNKSKSHPAALTTTRYGVSGMELLKANIDREILLMKRNSFIYLFRTFQLTLMSIIIMTVFFRTNMKHDSVASGGIYMGAMLFGILMIMYNGFSELALTVFRLPVFFKQRDLLFYPAWAYTIPSWILKIPISFMEVSGYVFLTYYVIGYDPNVGRFFKQYLIMLAINQVAASLFRFIGGAARNMIVANVFAMLVMMAAIILNGFIIIRGNNSSYYKKKCKTPSFINLCSLVYFSVDKVKKWWIWGYWISPLMYVQNAITVNEMLGNSWDKVLNRTISNETLGVQVLKSHGVFPEAKWYWIGFGALLGFTILLNVVFTFALTYLKPNGNSKTSISEEELKLKCSNVNNDIMDANPMASRTTLQLIGNNTETNLEMLEDDSGPSQRGMVLPFPPLSLSFDDIQYSVDMPQEMKAQGVVEDRLILLKGISGSFRPGVLTALMGVSGAGKTTLMDVLAGRKTGGYVEGNISISGYSKNQETFARVSGYCEQNDIHSPQVTVYESLLFSAWLRLPKDVDSNTRKMFIEEVMELVELKPLRDALVGLPGVNGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVNTGRTVVCTIHQPSIDIFEQFDELFLMKWGGEEIYAGPLGHNSSELIKYFEAIEGVSKIKDGYNPATWMLEVTMVSQEHILGVDFSDIYKKSELYQRNKDLIKELSQPAPGSRDLYFPTKYSQSSFTQCVACIWKQNMSYWRNPPYNTARFIFTTATALIFGTMFWNLGSKIDKSQDLFNALGSMYLSVIFLGCTNSISVQPVVAVERTVFYRERAASMYSAFPYAFGQVVVELPYALVQACIYGVIVYAMIGFEWTATKFFWYLFFMYFTLLYFTFYGMMGVGLTPNYHIASIVSTVFYNIWNLFSGFFIPRPVSAITLRFLFLLLETHVSFVFWTCFYIKKTPIWWRWYCWICPVAWTLYGLVVSQYGDITTPMEDGRTVNVFLEDYFDFKHSWLGWAAAIVVAFSVFFAALFAFAIMKLNFEKR >Sspon.04G0004670-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:17665065:17670023:1 gene:Sspon.04G0004670-3C transcript:Sspon.04G0004670-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGRYMAYSPSPSTTPHSPRIAGLRTPSAAVAEQEKYLAELLAERHKLGPFIPVIPHSVRLLNQEILRVSTLLENASLLNQSGLEHGSPLTTGGLYSNGAATDMNGWTSAFQSESSPAYSWLGGSQGSSSGLIVKKTMKVDIPVDKYPTYNFVGRILGPRGNSLKRVEANTDCRVLIRGRGSIKDPAREEMMRGKPGYEHLNEPLHILVEAELPVEIIDARLMQAREILEDLLKPVDESQDFFKKQQLRELAMLNGTLREDGMQRSGSASPFHNSLGMKRAKTRG >Sspon.01G0048070-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:107072630:107073183:1 gene:Sspon.01G0048070-1B transcript:Sspon.01G0048070-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding TMAEQEASAVASAVIGGVTDRAFTTVMRKLRSRSRSGPAAAHEKLQRLETLVLKLRCAVEVSERHAIGSASLLVLREDGKAAVAIASASAIAPGQQPPAGAIAPTGALYFTRSALSGMARRMREATRRLFSTDEDMKKLDGTLEKRWSGSLRTSHSS >Sspon.03G0046570-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3D:40904961:40906067:-1 gene:Sspon.03G0046570-1D transcript:Sspon.03G0046570-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GQDKALKKPDKILPCPRCNSMDTKFCYYNNYNVNQPRHFCKNCQRYWTAGGTMRNIPVGAGRRKSKNASLHYRQLLMAPDCVLGSRVDISKSVLPEALVSPPAPIQPTSRNETVLKFGPEVPLCESMVSALNIDEQNVNNPGSAPRGENREDNPGSGTSYNGVPENMVPVDKNGAPVHCNGVAPVPQYYLGTPFMYPWNVGWNNVPVMVPGKSMPESASASDSESCSTSSALWMNSPMMPASRLPSPAFPYPLVPPALWGCLSGWPATTWNIPWIRPNGCVSPSSSSNSSCSGNGSPTLGKHSRDSNPLKEEKREKSLWVPKTLRIDDPDEAAKSSIWATLGIKPGDPGTFKPFQSKVESKGQRSDAAQ >Sspon.06G0026930-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:81509198:81519680:1 gene:Sspon.06G0026930-3D transcript:Sspon.06G0026930-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDLLSILQTICGLGMKITVLVETFRHNEEDCCRLRQLIFRVSEIAKCLLQQLNRTTYIVEGDKHPMISGAFMGLKEALEHGHRDIVVACQPKKGYLNDLMSIIKADDIAKELRRVSDDILSNMMVAILATVVCHFSVTAKTNRSDDALHADVKDLVNTVRARDASSSSKMVEESVQTYRRWRNRCRPTAGCLMLQLITSTIHLGSAGTEAGHDTQNNLYGVGS >Sspon.02G0051680-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2C:81440549:81440754:1 gene:Sspon.02G0051680-1C transcript:Sspon.02G0051680-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RTVSMLDENSWLPNGTLVKVLAKPQRRSNATRSSSRQLDGRSRATGSTARSRPRPYKASSTATRPWQ >Sspon.06G0024590-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:51704152:51707339:-1 gene:Sspon.06G0024590-3D transcript:Sspon.06G0024590-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSGNLKNNIIYFDGWSGFGATAVLRSIAQALPSMKSPPPKLCFGRTIYIDCSRWESKRVMQRKIAKELRLDQKTMAMFEEQDEEDDFNGVDYGSRDVVREVSAMITQTLMESRLMMIFINGSADEVSLTEFGILEYFGIIIWTFGRRFVTVHDFKCFCDVQRFADNLRYTGHFIYTAQNANGLSNSQLNALFREEAANIVASYPFMQDMDLRMVIDCCRYGFFLHRIFHRTSRLEWAAHAPNFWICDGIIQQGDRAREISNALDSEITFEGDASLLDMVFPRLMQHPETSHLLVEEKDDGNIDKYEKKPYRWISITSKNNIVHGNMQTMLAMASSIFLSFDKTISAPRLPNVLFKQCSKLGVLILSCCTFSFVSPPFLHCNTLRFLGLSNCTHQHNTSSELEGGDCITKWTSFLQYLLVLDLRYTDWGEILSEEKIGLMANLTELNIQGVRCWQQYKDQLQKRLPYLQRLRIIKPTHVHQEETSVDINNSFVDKKQLEMLDLSGNSDMKILLTSLSEASKLQVLVLDGCDGLEHVVLTSPSLRSFSFDGYGPASNWTSTGKLPPMSSRPECPSAADKTDAKTSKISLEGCILLVDLFLRGLPNLEELDLSGCSIKVLDFGAMVVDVPRLKRLFLLGCEKLCAIKWGSDEQRLKLLELICIDTRPRVGCARPLSLRAQQKSCQLQVHAIFADARLARSLWAPIVTAHSDAHYFNISITSAAACMETIQPEATTNMEKVTGSIDHQRHYDIAARDMYRDIFTKVGDGLVPMQTFPKGPTEQLDRHIEIGDGSRSVQGEVETDPYGSNLASLMRGYTQSLHVHDVSTCSNTMPIGDWSSLRWCRVERCANLHAIFPPGAEDGNGTLETIWASDLLMARCVWSKGVIYYSGYRFKSLRHLHLRRCPSLQFGVTMGPPLSFPSLETLHIIHCGNLRHVFVPGDEKYQHTSVNFPKLTTIHLHDLPALRQICEAAEMLAPALETIKIRGCWSLRRLPALKRRNKPGKRRPAVEIEKDVWDALEWDGVDAGHHPFLYEAPVHSRYYKRRTLRTTVLR >Sspon.05G0008880-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5A:26253453:26255010:1 gene:Sspon.05G0008880-1A transcript:Sspon.05G0008880-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding KKNGKSKTDAV >Sspon.01G0001210-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:3932681:3933382:-1 gene:Sspon.01G0001210-1A transcript:Sspon.01G0001210-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding HVPCPGPGTSTWPIPYSPSHATLAGVAVTDESSGRGQRVPGAGGAEGRQRLVGAGGGREPAAADGEREPAQPGVRRQGAEPVGHGGAVGRAHGGRRALQLLRRPALLVRAQRRRAGPVHGPRVPGGAHAAVPAGAGERPGGAHGPRHAHHLRHQLLRQPGGQARPARLRPGAARRPDHRRAGGRVHQQPRHVPDRLRRRHAQDGCHRGAHRHRRHHPDQLQGGQL >Sspon.01G0022660-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:79984986:79992218:1 gene:Sspon.01G0022660-3D transcript:Sspon.01G0022660-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRGGGSGKGAAGRMMSLQEFVSSMAPLIDLEKAAEISAESETSAKSLERRGCVIANLKCTDAQTGLMGKTLLEFQPNKGDVLPAHKFGTHDVVALKPNKADAGSASLGQGVVYRLKDSSITVAFDDIPEDGLNSPLRLEKLANEVTYRRMKDALIELSKAVQTGPCANLVPVLFGEKAPMRSKDTMKFSPFNKNLDDSQEVKRGSKILACAASNIAVDNIVERLARYRTKLVRLGHPARLLPQVLDSALDAQVLRADNSSLAGDIRKEMKVLNSKLLKAKDRNTKRDIRKELKTLAKEERKRQQLAVTDVLKNADVVLTTLTGASSKKLNGITFDLVVIDEAAQALEVACWIALLKGPRCILAGDHLQLPPTIQSVEAEKKGMGKTLFERLTEAYGEEITSMLTVQYRMHEHIMSWSSKELYNNKIKAHSSVAGHMLYDLEEVTRSSSTEPTIILIDTTGCDMEEVKDEEESTMNEGEAAVSIAHAKLLVESGVNASDIGIITPYAAQVTCLKMMRNKDAKLKDLEISTVDGFQGREKEAIIISMVRSNSKKEVGFLSDHRRMNVAVTRARRQCCLVCDVETVSNDRFLKRLIEYFEENGEYLSASEYQSS >Sspon.08G0015570-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:62354626:62357464:-1 gene:Sspon.08G0015570-1T transcript:Sspon.08G0015570-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MWKRATSLLRHHRSALGPCSPAASAAAAAGLRRTPAPAPLFFSTLGLRFSSDAAGTRTKTRVEDVMPIATGLEREEIEAELQGKKRFDMDAPVGPFGTKEAPAVIQSYYNKRIVGCPGGEREDEHDVVWFWLEKGKPHECPVCTQYFTLDVIGEGGSPDGHDDDDDHHHH >Sspon.02G0045500-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:109646991:109650285:-1 gene:Sspon.02G0045500-1B transcript:Sspon.02G0045500-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGGKKRLLRLWALHCFNPRFGAESDESNIEWLSGYVEDCFSSSTSYTNPVFARSAPTTASHGAGKPKLPPPSSSNGRRKKRSLASVMTNDDDQQYIIPLYVEPPLILIDQKHWMAESELILPKKDKDQEVCQQQGQEEKCEKGVRRLVKRCSNCLSCQTPRWRDGPSGPQMLCNACGLRLKPENRYATISEEHCGQETKKEQEPGAQRDKKKKMMKKTYVNEPLSLDQAEKRCTHCLSSKTPQWRAGPLGPKTLCNACGVRYKSGRLLPEYRPANSPTFVSCIHSNSHKKVMQMRQGVAQQQ >Sspon.01G0019900-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:75662509:75664735:-1 gene:Sspon.01G0019900-3C transcript:Sspon.01G0019900-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPFPVPPGALVIKVEDERESDVPGQVTADQELRDMFTKDVQRRLELIRRRRGLQPSGSAQLQPPPAPPVQQAPLPPPPPPPPPPPPAKYPCAKRLEIGPPPGFAGVRTQPLKQYQRRPPGPKAPAQPPQQPAPPNAHRTPPPPPPMLNAQRVGQAPQPAAAGAPPAHAGPSATVPGLQLQRRTARKPPHPAAKKKPTVPCAFCGVLCMTAWHLKQHEQGRKHRNRVAYLAGEMNLRCKVCDVHLSSGLNVEQHNAGKQHLLRLKLNRGA >Sspon.02G0053550-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2C:106429845:106430192:-1 gene:Sspon.02G0053550-1C transcript:Sspon.02G0053550-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding IYVKAPASSPLPRIALPLLGRRTRNTVRPVLTSRTDRPTLIRRPLRSPWLLLNARLLPFASHTTAGLQCTAVPPPAHPRVCPLPLVTPATATPLVASAPHAWPSASSSRTAGIRC >Sspon.01G0038420-2D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1D:25743061:25743402:-1 gene:Sspon.01G0038420-2D transcript:Sspon.01G0038420-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDECGGVQGRLALAFGRFFISWTPRQTGPNQSEEASGGHGGNDICHGTRLHEPKRVRRRARESDVGGSGLNGPVITCSDVRHGLPARGQSRTMSMEFAAVFLRKFVLYSTQHM >Sspon.06G0007680-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:27373357:27377092:1 gene:Sspon.06G0007680-2B transcript:Sspon.06G0007680-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFLAFGSSLHGRMDAEFCLSCYTGYTPIRFNVHELCISFLEDFVNVGEVASFLDSVRLTAGPLLALSSAIRPAKMPVTLPAGYSSVPKQNSYRSEGATANDSSTTAQNISAPLSPAVAHSNKHNLQSSALSIAGRGGPGLVPSPSLPFDITVVLRGPYWIRVIYRKIFSFDIRCFSGDQVWLQPATPPKGGPSIGGSLPCPQFRPFILEHVAQGLNAFEPSVMSARHSGVQLKASINKASGGQQSALALNRFHGAHGIATSGPTTNIGNQVAPTFIRAGSAVVTSSKYASGNAGAPPHPSPGTNLPVHMRSELSSTFTALGDDGGYGGAWVPLAALKKVLRGILKYLGVLWLFSQFPELLKEILGSVLNENEGALLNLDQEQPALRFFVGGYVFAVSVQRVQLLLQVLNVKRFHHQQQKHQQQAQSPAQEELATSEINEICDYFSRRVVCEPYDASRVASFITLLTLPIPVLREFISLLAWKKSQSQVHGEIASAYRVQVELCLETHHGSVSNDHAGSSSSSKSNIKHDRANRSVDFGLTFVLDHALKHNSSVGGAAWLPYCVSVRLRYTFGDNCHVTCLTMEGSHGGNSFWLKDEDWENCKQMVARTVETANGSPAIGETGNGRLRRVAEMIHKQLQLSLHQLRDGSLSAG >Sspon.01G0062250-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:102097708:102098715:-1 gene:Sspon.01G0062250-1D transcript:Sspon.01G0062250-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MWLKGPCHAAPNIKVGGWRLSNQGSPQPTPPPKTLTRSSEGAVSDGKFFTVSSPRHDLHCIDSFIDRRCLAQIYTTEAMANTGSSSSSAPEPNRPKTATPVAGHGSSGVVDSLRHAPPSEGFPVQPSPASLPLVNKTPLMDLDELLPSSPKLECMHLGLNRAEVRLPRTAVFTSLKDLTLEFVELAAGSGHLLARLSSSMCCPNLQKLSMMYINFERAWTKELVLDAGELLELSMEAVDDMELLELTTPKLLYLKINECGDLKSLTVSAPGLKELTYSPRPHPWPLAVRVALKD >Sspon.01G0032700-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1B:104394742:104396133:1 gene:Sspon.01G0032700-2B transcript:Sspon.01G0032700-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEAEPASAESSALVQGGTAPLVCALQSTARQDVSCFHFPGHNRGKAAPSSLSKLIGSGAFLHDLPELPGLDDLFSPKGVILDAQIRAARLFGSSKTWFLVNGTTCGIQASVMATCSPGDYLIVPRNCHISVISALVLSGAVPKYIIPEYNSQWDIAGGITPLQLDETVKELEEDGKRVGAVLVTSPTYHGVCSYVQGIVSVCHPRGIPVIVDEAHGAHFRFHDSLPSTAIEQGADLAVQSTHKVLCSLTQSSMLHMAGDLVDVDKVSQCLQLLQSSSPSYLLLSSLDAARDQLSKNTNIFDDPLAIALETKDQLMRIPGISVLDLPCFASDFPAIDPLRITLSASDLQLSGYEADDILYEDHQIVSELVGTRAVTFAVNLGTRVQDVEKLVQSAKHLSEKYFFANSSKPMKENRVCGPLEKISVHITPREAFFTKKRRVRIEDSLGEICGELICPYPPGIPC >Sspon.02G0009270-4D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2D:21006134:21010379:1 gene:Sspon.02G0009270-4D transcript:Sspon.02G0009270-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCLGWFKRRRSRSGGSSSSSGKARGASAPVSVSGPATTMTTTTATTASAVSTSRSDDSGAVRPVSKSAGSAGSSQMSQRSISSLYEERGHGHLRVFDYEELQGATAEFSRAQKLGEGGFGSVYKGFIRAADGKGDRVPVAVKKLNQRGMQTTKFTLPNLNVEYLESYRKELAGHKQWLAEVQFLGVLEHPNLVKLLGYCAVDSERGAQRLLVYEFMPNKSLEDHLFRRANPPLSWNKRLHVILGAAEGLAYLHEGVEVQVIYRDFKTSNVLLDKDFRARLSDFGLAREGPTGANTHVSTAVVGTHGYAAPEYIDSGHLTAKSDVWSFGVVLYEILTGRRSLDRNKPAAEQKLLEWVVQFPPDSRNFRMIMDPRLRGEYSVKAAREIAKLADSCLLKNAKERPTMSEVVEVLRRAVQAHAEPDSRTPGPGARGKKADAAAPSRR >Sspon.02G0010790-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2A:29229113:29229786:1 gene:Sspon.02G0010790-1A transcript:Sspon.02G0010790-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SKRQSICCKKQPQRQSIRTSSDDGKASAKESASGKASAARTTAATPSTAVQTKRRESLGTCRHSRGATPVSETGQQEVLQRSLQGEDDIRGCQQRWRKHKDFYPTRRPPKGHWEAPQIASDPGLHPTNIAFDDSLNKGNGVDYGTAVGPEIPGKVFTRIVRRTTRPNSRSSPTGHCKPAHGWRQELAGGSHGVAPKSMAATAVTATCASVDATAPCAASPRPR >Sspon.08G0005950-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:18835857:18840137:-1 gene:Sspon.08G0005950-1A transcript:Sspon.08G0005950-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGLDLSSADCPPRLSNLGGRAVGKAKATKQTAERKWEWQLIWDEVGESDEDRDKMLLQLEQECLDVYRRKVDQASSSRSRLLQQLDNSKSELTRLLSALGESSISGIPDKTSGTIKEQLAAISPSLEILCRKRESRVKEFADVQLQIQIIRDEIAGNLQVGEHLETPHVNEDDLSVRKLNEYLSELQALQKEKSTRLRKILESVSSVHDLCSVLGMDFVGTVTKIHPSLDDSVGVQSKSISDETLSKLSKMVIVLQEEKSKRFAKIQALASQLSDLWNLMDAPVEERQPFHHVTCNMSSTLDDVTIPGALALDVLQQAELEVERLDELKASRMKDIAFKKQTELEDIYARAHVAIDSSAARDRIMSIIESSSFEPSELLADMENQILKANEESLSRKDILERVDRWMSACEEESWLEDYSRDDNRYSATRGAHLNLKRAEKARVLVNKIPAIVDTLVAKTRAWEQEHGTVFTYDGVPLLAMLDEYKILRQEKEDEKRRMRDQKKMNDQLAAEQEKLFGSKPSPARPLSSSRKVAGARTSGVGGAANGTPVRRLSALQSGGRTASRDGRRDASRPVAPVNYVAIAKEDAASQASSN >Sspon.01G0022970-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:87016372:87018820:-1 gene:Sspon.01G0022970-2B transcript:Sspon.01G0022970-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQLGHCSLQSGDNELIPRRIVALDGIVVADVSCGGVHSCAVTEGGALYAWGGGHVGQLGVGPQGFFSCSLNGSDMLLRNIPVLVIPSGVRLATCGHSHTLVSMKDGRIYGWGYNSYGQAANEKSTYAWFPSPVDWCVGEVRRLAAGGGHSAVLTDACSLKELCEFKLAETVNLSNAELIEDVASRTGADALARLCGKVREHLDKEGECEFLEKQVAEEVKTAAS >Sspon.07G0010690-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7A:34782813:34784030:1 gene:Sspon.07G0010690-1A transcript:Sspon.07G0010690-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGAGVLGLPFAMSQLGWGLGTVAIVMSFVITLYTLWQLVEMHEMVPGKRFDRYHELGQHVFGERLGLWIILPLQIIVMVGTDIVYMVTGGQSLRKFHDLVCQGRGCNDIRLTFWIMIFASPHFVLSQLPNFNSISAVSGAAAVMSLAYSMIAFCTSAIKGAEATAGAIDYGLRATTTSGQAFGMLSALGTVSFAYAAHNVVLEIQATIPSTPEKPSKKPMWRGVVVAYAVVALCYFSVAFAGYYAFGSSVDPNVLITLDKPRWLIAAANLMVVIHVIGGYQVFAMPMFDMIETVLVKKHHFTPGFWLRFVSRSAYVAATMFIGLTFPFFDGLLGFFGGFGFAPTTYFIPCIMWLMVRKPKKYGLTWFINI >Sspon.06G0013550-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:70482959:70484014:-1 gene:Sspon.06G0013550-1A transcript:Sspon.06G0013550-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASGAAAAHYLQLLVVHGGTSTQLLQLLLLVSSLLLLLVASINVVATSSRRRRRSNNNKLQLPPSPPGKLPVIGHLHLVGSLPHASLRDLAAKHGRDGLLLLRLGAVPTLVMSSPRAAEAVMRTHDHVLCSRPWSPVADILFYGARSVGFAPYGDEWRRSRKVVAASMLSARKVESFRRGRLDEVRVVLAKVREAAAASAADVTGTGTAVDVSELVSAYANDVVCRAVLGESHRAGGLNRLLMALVNMNVALLGGFNLEDYFPRLTRMELLRRLICAQAKRVHRRWDQLFDDLIHEHQQAQQQDESTTEDLIHVLLAAEEEYGLTRDNVKALLMVSIWPTLITTKNCNTS >Sspon.05G0031710-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:16163516:16173856:1 gene:Sspon.05G0031710-2D transcript:Sspon.05G0031710-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKRGGERETEEQEKAAAAVAGTPDAKKRRSGSPTTDGASSGPGAAVLLLCDDVVGNILARVPARTAVASMALSRRHRRLIRSPEFRRLHRRLAPPLPRPHIAYLVTAPVWRRRAQDEPDDREVPASVFLGFHVAGAGFDGNVAPMRALTGRRYLGMEYVNVCNGVVLLAAAGDKDSARCSRDELPAVRDGEMISQRSLYMDGKIYLLLSHSKPAAILAFDVDDETATSIALPVARDPHGNTYTSGLMELSGRPCVLTNYGSSCALWLLSVDHQWCRRCLIGELHFSEGRIYPEPDSVMGVWDCGGLLVLYYKDYMGDLGDLDKLFLYDITTGKMFRANLGSVVTPECSESSSSDSNYALCWGYRPTLEAPSSIVGKLQQDRDRCRECSTYIMKALKPVAEQDRRKGQKATLNTVCFMDFLVRIMQKLPKDMKQMEKKAGDDEIVEDRGRAASSASTSSRKRSAVDGASGACLCDDVIGNILTYLPARTAIGCMALSKRHRDLIRSPEFRSLNCRLGAPLPRPHIATASLRYKQYRGRVSEFHGFHVAGAGAGISSSNAPMSAIFGDSYLGYRCVNTCNGVVLLATNDYSPLGRCVLWNPAVADVVREFTVPADPSMEGGNINYLMLGLGYGRRSETYKLLLFRMDYTHWKHSLQVYALVGGQPRLRPAVSSPPGIDGAIQSQESLYMDGTVYLLDVDRSVILAFDVDDETVTTIRLPGKLDRDHPRHVRSRFMEMQGRLCLATNHGHHHRAGLWLLAADRRQWERRCVIELQGSVYYHDSNGDTYDCSIAGVWDCSGVLAVHIQGLTVANNKLCLYQLATGRKMLDAKLPCDLVPDDSHYALCWGYKPTLVSPQSILELSQDEERRRNIANAKDIMEALKPIRDKDSREGPKAILDTVSFMEFLVSVMRKLPDDVQDVIKLPQLLDSVDLDVVSENDSEDSEDDDARGVVKIRIVS >Sspon.03G0042370-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:63381847:63385029:-1 gene:Sspon.03G0042370-1C transcript:Sspon.03G0042370-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SVCMAFSLLPVLRPGDWRATICVRVCRKWEYRGGTDDGPIQHVDLVLVDEKGNAMYGEIPGPEVEAKSPLIEEGAIYIISRFRVSNAKFGYRPVDARFMVEFTLHTTISAARNNMPDFPAYAYKITPINELSSHAGDTRNFLDTIGVLVEVSDAYMVHLPNKPAPTLTRHIILRDLSYSEMKVTLWGQRATAFTTDGVYNNVDAKPIVVLFVGGLMKSFQNNYYLSANTASRWYFNPSIPEARQFYASLHNQKLEIRSVPAPPGQNDQTQVPTQLGEKTLRELNQMDPYEFAVSDINENGYRCTVTIGRLVPNASWWFPSCSKCSRSCVADGAGYRCIPCSSTSFKFKYKLCFVALDGTDEAEMICFGDVARRMIGKPVQQVLRTAISSNAYPADITRMVSLRFTFAVKLTQQSYYRAQKSYQVASIVTSHGHQVVVPHAAGNGDDDRAPTPGSDDVLSGDADDNTDQRSPDSLPGVGLSSPVAAATPPPPVDITETPMAKSSTGDKSAENTHPTAQKRLMFEKPGSSDQAQLPEDSLQKNQPAGETIDDAALDVTDKGIVADKNLAPEKKRPRGSRSLAQESG >Sspon.05G0017500-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:69326667:69329707:1 gene:Sspon.05G0017500-2C transcript:Sspon.05G0017500-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MCRKNFARINSLGSYLKLSCGWVEQDLDSSYIQVGKDIVKKCGGVPLAIKTLGSVLHEKRTINTWRAIRENNLWEEENIEGRVFASLTLSYIYLKDHLKECFTFCSIFPKGYKINKDYLIEQWIAHGFIKLKNEELAHDIGNEYFDALMKAGFLQSPVQTWPEKSVVCEMHDLIHDLTRYILQYEVMASLPMNITTHNWSQKCGYLSLISCSEKVEGGLFDKVRAVYVSGGNPSFDNHEICYIRSVVLDYAVDTLFPQFILKLEHLGYLEIHNLRCTELPEAISGCWNLQSLHFINCKGFVMLPKSIGKLKKLRTLELNDITDLESLPESIGDCQDLQFLQLNYCGKLRDIPSSMGRLGNLRVLHILRCSCLQLPSEFNGELSNLQTVNLHGCWGLQDLPSTFACPMLRTLHLSETKVTVLPQWVTSIGTLEHIDLHNCKELVELPKGIANLKNLEVLNLVGCSKLQCMPSGFGQLTLLRHLALFAVGCGRDDARISELENLDMISGRMEITNLKYLKDPSEPEKAMLKRKNIWSLELTWSSNQTEEEIVSDVEQDQGVLNALEPPSQIENLKICGYRGSILPCWMTQLNDSSFCAGIVFKQASLCQFLSLTKMTLEEFANLKYIRGLQEFRSLKSLSLVKMANLEELWTTTSSSGIQGEESEAQYCFPVLSEVRITGCPKLNVKPYFPPSLVTLSFEESNEQLLSPGSLSHPLPPPADESSSSFNVHSAASCLRELRLRKMTGSSSNWEFLQSHTELETLHIECCNDLKELPDNIRNLTSLRALCIQGCQTLTMLPEWLGELRSLQFLFFFMTPMLDSLPESTKHLTSLTSLQICRWDEMKQLPDVIQHLTSLELLNLVLCDALTELPEWIGQLSALRTLKIQYCPGLECLPQSLQRLTALANCTSVAALVWLVVTSKE >Sspon.01G0032040-3D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:109907551:109914374:1 gene:Sspon.01G0032040-3D transcript:Sspon.01G0032040-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAANGDASHGGGGVPRPTNPMVTPLLTDLYQFTMAYAYWKAGKHLDRAVFDLYFRKSPFGGEFTIFGGLEECIRFIANFKFTEDEIKFLRSVMPTCEDGFFEYLRSIDCSDVEVYAIPEGYVVFPKVPLMRIEGPVAVVQLLETPFLSLVNYASLVTTNAARHRLVAGKSKNLLEFGLRRAQGLDEITNKALTSSDGSKKCEDFVSLVQNWLIRIQESSSLHGTFGETSQSELAAFTSYALAFPNSFLALVDTYDVMRSGVPNFCAVALALNDMGYKAVGIRLDSGDLAYLSIETRKFFHAIEKEFGVVGFRKTSITASNDLNEETIDALNKQGHEVDAFGIGTNLVTCYAQAALGCVFKLVEINKQPRIKLSEDVTKVSIPCKKKCYRLYGKEGYPLVDIMTGEDEPGPKAKTI >Sspon.04G0009100-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:27136578:27144980:1 gene:Sspon.04G0009100-3D transcript:Sspon.04G0009100-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSWLITGRGIAKKIRYAAPSANRQISELIAEARRECPNCSYVIDNSDVAMQWPGLPAGVKFDPSELELLEHLEQKVGLGGSRPHVLIDEFIPTIDNDEGICYSHPENLPGMKTDGSNAHFFHRASNAYGCGQRKRRRIINCSDHTVPDEHVRWHKTGRSKPIYDNGVIKGWKKILVLYKTSQRGGKPDRANWVMHQYHLGEEENEKDGELVVSKIFCQLPNKSMEISETETAYEEPDAPASVIGPKTPKTITPKPRHPKNSPCETEQNIPILQDQLLLDGEGEPTMPVVSLEDDAMNPAWCAVAEEQQLVGGSSRAQSNSDEPLLCREDPNSLNDEALLPWDHPILSQCRNDMLDWNLNGYGLPDLTNVALGTPPDLQLAPRPAFLSADAGVVGPRAARATMARSWLITGRGIAKKIRYAAPSANRQISELIAEARRECPNCSYVIDNSDVAMQWPGLPAGVKFDPSELELLEHLEQKVGLGGSRPHVLIDEFIPTIDNDEGICYSHPENLPDMKTDGSNAHFFHRASNAYGCGQRKRRRIINCSDHTVPDEHVRWHKTGRSKPIYDNGVIKGWKKILVLYKTSQRGGKPDRANWVMHQYHLGEEENEKDGELVVSKIFCQLPNKSMEISETETAYEEPDAPASVIGPKTPKTITPKPRHPKNSPCETEQNIPILQDQLLLDGEGEPTMPVVSLEDDAMNPAWCAVAEEQQLVGGSSRAQSNSDEPLLCREDPNSLNDEALLPWDHPILSQCRNDMLDWNLNGYGLPDLTNVALGTPPDLQLADIQFGSQESLGSWLDRI >Sspon.05G0004920-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:9400110:9403601:1 gene:Sspon.05G0004920-2B transcript:Sspon.05G0004920-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSRSLFLLLMLALAPLASLAATAPASPVARTAGVQTEIDALLAFRRGLRDPYGAMSGWDAASPSAPCSWRGVACAQGGAGGRIVELQLPRLRLSGPISPALGSLPYLERLSLRSNDLSGAIPASLARVTSLRAVFLQSNSLSGPIPQSFLANLTNLDTFDVSGNLLSGPVPVSFPPSLKYLDLSSNAFSGTIPANISASTANLQFLNLSFNRLRGTVPASLGNLQNLHYLWLDGNLLEGTIPAALANCSALLHLSLQGNSLRGILPSAVAAIPTLQILSVSRNQLTGTIPAAAFGGQGNSSLRIVQLGGNEFSQVDVPGGLAADLQVVDLGGNKLAGPFPAWLGGAGGLTLLDLSGNAFTGELPPAVGQLTALLELRLGGNAFTGAVPAEIGKCGALQVLDLEDNHFTGEVPSALGGLPRLREAYLGGNTFSGQIPASFGNLSWLEALSIPRNRLTGRLSGELFQLGNLTFLDLSENNLTGEIPPAIGNLLALQSLNLSGNAFSGHIPTTIGSLQNLRVLDLSVLSASHNHISGELPAELANCSNLTVLELSGNQMTGSIPSDLSRLGELEELDLSNNQLSGKIPSEISNCSSLTLLKLDDNHFGGDIPASLANLSKLQTLDLSSNNLTGSIPASLAQIPGLLSFNVSHNELSGEIPAMLGSRFGSASAYASNSDLCGPPLESECGEYRRRRRRQRVQRLALLIGVVAAAVLLVALFCCCCVFSLLRWRRRFIESRDGVKKRRRSPGRGSGSSGTSTENGVSQPKLIMFNSRITYADTVEATRQFDEENVLSRGRHGLVFKACYSDGTVLAILRLPSTSSDGAVVIDEGSFRKEAESLGKVKHRNLTVLRGYYAGPPPDVRLLVYDYMPNGNLATLLQEASHQDGHILNWPMRHLIALGVSRGLAFLHQSGVVHGDVKPQNILFDADFEPHLSDFGLEPMVVTAGAPAAAAAASTSAATPVGSLGYVAPDAAAAGQATREGDVYSFGIVLLELLTGRRPGMFAGEEEDIVKWVKRQLQRGAVAELLEPGLLELDPESSEWEEFLLGIKVGLLCTASDPLDRPAMGDVVFMLEGCRVGPDIPSSADPTSQPSPA >Sspon.03G0022380-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:68153765:68154867:1 gene:Sspon.03G0022380-1A transcript:Sspon.03G0022380-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPFVPPPSVQSDGARVVAAKEARPQGSSKYSGGAINSRVASGTEERARGEEFKKPEKPINQKGIKEQVSMKPRSPPRKGKGLEKPCKTVDGEQSEAVVEVRKKSDAAPDAKGASPKEEPRNGADEVAAQEQEQEPVEDDDGVRCAVCASTDGDPSDPIVFCDGCDLMVHASCYGNPLAQAIPDGDWFCSLCFAKKSKPAVRRRSCCLCPASGGAMKRTTEGKWAHISCALLVPEVFFRDPDGRDGIDCSRVPAHRFAKDCYICEGNKGCALECSQPKCDLGFHVSCGLGAGLCIEYQEGKSGAIVAGFCREHTELWEKQQVTGKYKIVARGQE >Sspon.06G0021560-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6C:18042303:18042635:-1 gene:Sspon.06G0021560-2C transcript:Sspon.06G0021560-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAVRPPTAPPPAAAGDVSEVELSEAGSPDLGSRSSGSGSGGSGRSAAEYSGWVYHLGVNSIGHEYCHLRFLVIRGKSVAMYKRDPHDHPGLVGSPVPLLSSLLALFWF >Sspon.05G0025260-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:30004820:30005609:-1 gene:Sspon.05G0025260-3D transcript:Sspon.05G0025260-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKEMVIRVQTGSEKGHSKAIKVAAAISGVESVTIAGEDKNLLLVIGVGIDSDSITKKLRRKVGHAEVVELRTVDAAVADDLGGRVVAEHAYRYHASPSPYKHHHSGARDHYYGMDHYYAGGSAYAPAPPLYYGGAGGGGYPAQYQQHDYFYHPAATNTHTVVHHEYASDPNGCSIM >Sspon.08G0020370-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8B:20646467:20648048:1 gene:Sspon.08G0020370-1B transcript:Sspon.08G0020370-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLVMELRPRGDGCCPRVLSVPLLLLLAALLSSAAAITRGDFPEGFVFGASTSAYQVEGAWAEDGRKPSIWDTYTHSDGRGAVNPKGLEYYNSLIDELVRYGHLLPRYIVDLRSAFHSSSSPPHVTIYHFDLPQALQDEYNGLLSPRIMSFGDRVKHWSTVNEPNVEPIGGYDLGDFPPCRCSYPFGQLGVTCTRGNSTTEPYVVAHHLLLAHASAVSLYRRKYQVRCIIALMI >Sspon.01G0019320-3D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1D:69945269:69947021:-1 gene:Sspon.01G0019320-3D transcript:Sspon.01G0019320-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VNENSENPYWKAIGYSVHEPREDPTRTEATPSPSRPLDVGVVETRLLNDNALLRSLVGRGLTVKPAASDANHHTVQCDAVIVGSGCGGGVAAAVLASAGYKVVVVEKGDYFTAEDYSSIEGPSMERLFERGGIFCTSNVTTMIFTGATVGGGSAVNWSASIRTPKEVTQEWAREHGLPVFASPRYVEAMDAVCARLAVTDGCREEGFQNKVVRRGCEALGLCADAVPRNSSDGHFCGSCNFGCPTGDKRGTDTTWLVDAVARGAVILTGCKAEHFVFEKNRSSGRGRGKKCVGLVATCASNGVTKKLRIEAKVSIAACGALMTPPLLRNSGLKNRHIGSNLHLHPVSLAWGYFPENKQDPPLPGKCFEGGIITSMHRVTERTIIETPALGPGAFAAMVPWESGRDMKERMRRYSRTAHAFALVRDRGVGFVDGEGRVRFTPSREDIEELRNGLRRTLRILVAAGAAEVGTHRSDGLRLRCKGLRDEDLEAFLDEVTIDKGPMHSRTDKWALHCSAHQMGSCRMGSSPKDGAVDGRGESWEAEGLYVCDGSLLPTAVGVNPMITIQSIAFCLSKGIAETLAQDKG >Sspon.01G0011360-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:51011362:51015372:-1 gene:Sspon.01G0011360-4D transcript:Sspon.01G0011360-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine protein kinase, Hyperosmotic stress respons [Source: Projected from Oryza sativa (Os03g0390200)] MERYEVIRDIGSGNFGVAKLVRDVSTKELFAVKFIERGQKQVCHRDLKLENTLLDGSVAPRLKICDFGYSKSSVLHSQPKSTVGTPAYIAPEVLSRKEYDGKVADVWSCGVTLYVMLVGAYPFEDPDDPKNFRKTITRILSVQYSIPDYVRVSTECRHLLSRIFVGNPEQRITIPEIKNHPWFLKNLPIEMTDEYQESMQLADMNTPGQSLEEVMAIIQEARKPGDAVTHAGHLPGLGSMDLDDIDLDDIDDIDIENSDDFVCA >Sspon.07G0003480-3D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7D:8084302:8089208:-1 gene:Sspon.07G0003480-3D transcript:Sspon.07G0003480-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SNQAPRRPTLALGRSRYQIRAGRGGTSSSSSLTSGVRVYVFINRPPRRLAGQCQAQRSLPQSPVPEEADEASALSIHPPRFAAPSEHLIWFPPAGTAPHRIAAAAESRARGAGSRGPGAPRSGDWDESGAPAPMTGSAASLGLRSGSYGSLAAAVVGSGGRKAGAACRPLRGEKERLQLLHRALRLVGRRRAGVLLLLAVASAAVFCSLFAVVKGICLDALQASPSPVLKNLNYVSEDGITANLSNQGSGFGGHPSLEQRNDSFNINESMTVHCGFVRGKKPGQGTGFDIKDDDLLEMEQCRELVVASAIFDEETEAYVKNSSSLYNINKVGLWRLVVVRNLPYEDPRRTGKIPKLLLHRLFPNVRFSVWIDAKLELVADPYLLLERFLWRKNTTFAISRHYKRFDVFEEAEANKAAGKYDNASIDYQIEFYRNEGLTHYSPAKLPITSDVPEGCVIIREHIPIANLFTCLWFNEVDRFTSRDQISFSTVRDKIRARVGWMPEMFLDCERRNFVVQAYHRELLEQMIASGWMPPSAVAATDAPSSRKVRAGSRKAPPSKKPSVKRKKEKRSSSRRRLPKPVAGGWVRCD >Sspon.01G0035890-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:15183341:15185050:1 gene:Sspon.01G0035890-1B transcript:Sspon.01G0035890-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DLAFHGPHLCNSRFSRENQIQVGEDHDLGYVSLLNSKSLFGEDIMWSFASNAIAGSLKKKAQPSKCSISNPNCSDDEVSSCTSREEGLDCPICWESFNLVENVPYVLWCGHTMCKNCILGLHWAVVKFPSLPIQLPLFISCPWCNLLSFRLVYKGNLRFPRKNYFLLWMVESMNGERAKFHSTNHDEHHSSWHSSAGTSSSHQHRRNATVRSESSSARDTSIVHNIFHTDNISASLQKFMVCFMQLTAKFPLVIIFLLIVLYAVPASAAVLVLYALITFLFALPSFLILYFAYPSLDWL >Sspon.07G0001840-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7B:3136517:3136885:-1 gene:Sspon.07G0001840-2B transcript:Sspon.07G0001840-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSTTAAPLFPTGGTRPLQQQAPSLAGSGGSGGGSYTPVFVVLGVIAALLVISCLVGQVCTKKHLRPRPRRDRVAYYDDDGMEGGFGPPHHSGIAKMEAPAPAASSVETRAAAAAVQQTAA >Sspon.01G0002340-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1C:6429571:6429753:-1 gene:Sspon.01G0002340-2C transcript:Sspon.01G0002340-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLGKEMAFVRMKTVAAAVLWNFAVEVVPGHVVEPKLSAILHTKNELLMKVHQRGFMGHK >Sspon.02G0018250-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:58646860:58647979:-1 gene:Sspon.02G0018250-1A transcript:Sspon.02G0018250-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MYWPHFWSGYTSLFCDILEGYPVARVLLWDYLRNKAVACMSWSKLCKYFLSPLLVAHGFFPALLSNLLFVVAISYYHYLNFLGYDGTNFILLHLCTSRLTKCKYVAVVLPFLDRTTFFLYPIGLVIILSPLMILIGFNPTRYFLSLYFG >Sspon.07G0002620-3C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7C:4531555:4532613:1 gene:Sspon.07G0002620-3C transcript:Sspon.07G0002620-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GGAIAGPTASATRRRLLLLTANYVALLVGSVASSLLSRFYFAHGGRNRWVVTLVQSAGFPLLVISVFFAGRPAAAPRPFTWFSRRFLTVCLVIGALMGANNLLFSYSTSFLPVSTSSLLLSTQLAFTLVLAAIIVRHPLTFVNLNAVVLLTISSLLLALRSGDSGENPEGGSAAHYFVGYVVTLGAAGLFAAYLPVMELLYRKAVSGGFILAVEVQVAMQAMATAVAAVGLAAVGGAGDDVARWEGSTALYWVVVLTLVLTWQACFMGTAGVIYLTSSLHSGVCMAAVLTANVLGGVVVFGDPFGAEKGIATALCAWGLASYLYGEYTKKKEVAVADPSAADADSVLKSLTAR >Sspon.04G0017710-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:72896680:72905870:-1 gene:Sspon.04G0017710-2D transcript:Sspon.04G0017710-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNIVKGVADLLRKSAPASPGAGAGGGGGAGDRGGVGSPSPDRVAAPPSPRVRFSDSGEEGVLNALWQKYESGIDKAEKKKSLQTFVMHFIKAFKDWEPGHIEQSVDHESLSDDTVLGCSTGHPSEVILILIQEISQITSSITETAVVKLKTLTSLLAADEELSNKTVENMRTMQKVLVYIVTIISNFMDLEPSTTRISQFILNSSRHTLSSNYLATVAPNTSKNMVSDRNWQKKAIVSVMEAGGVNWLVELLRVIRRLNLKEQWTDLSLHFITLYSLRSTISENTRAQNHFRSIGGLEVLLDGLGLPSSKFSVSKQSFVPSDERSGILQLQILSLEILREAVYPLPSFLSAEMSAEFHQQKFLNAQAILKADKESTGPSPTLESFSNPVDILDTSEWNEYSVKLSTALCSFLLPPKEIKYCPAPTDVTQISLSISLAYWEQCARILANTMQHYMLCTFRKVLISAPALLKSFREEGLWDLIFSEKFFYFGSSLDCIQQNDQLIDAPKSIDSKSFSETDVNVLQAEAISFLEFAATLNENSNNLPECLALVGALEHCTYDPGLAGAIVKSFHVILQLATEQTLASFKSIDVLTRVLKVACLQAQEIRKLSQDDLDQNGFQSRNAQMTYSDQRIKNTCTFVKLAFNLFKEYATISDIGRIAVLHNANCIECLFDLFQEEYLRKHILEQVLALFRLPSSSAQDHAAKMQLCSKYLESFTHAKEKEKGFAELSIDLLVNMREVIMIDRVYYQNLFRNGECFLHIVSLLNGTFDEAVGEQLVLNVLQTLTALLAENNESK >Sspon.02G0006610-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:20868062:20872789:1 gene:Sspon.02G0006610-2C transcript:Sspon.02G0006610-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRREPAASPFRDLSNLRTPRPNPNAVPPSPQFFTATKAPLQAPTPTPLRRRRPGNGAPTPTPLGRRLRALEVDQSRSARRAESGRERALRAFAASASSWLSLLLRDPSACGCSPEVTDSAAAAQPCAAGKRGALDGERARGGRSPKRRRGAGDRGGERRKEMTPAMVAALRESLREACSLEDVTERMENYMSKGACEEVLFMMFQICKNIDEGRLKMKAHCPLVTDLRLKEKATRVFMSYNPYWLRIGLHIILGGDSLLQSGQGKWDKEVHFLKLILEKHMFSQMMTAKSSGHKKVVEEHRVQGYSKVLGNIILKRIFLLVIALDRAKIESALPLKAGIDGLDGGSPLLFSHQGQIKSSRQIIQGNIAESIAFYYCFFAPCKCYIELPFYYLTDYLILESLRETMHGEGDILIHLTTMGYKLNYQQPALSEYDFTMRSLFEDLQDGIILCRVVQLLLADASIISKVIAPSDTNKKRLSNCSTAIQYIKQAQVPLSDSDGVTISAEDIAAGDKELILSLLWNMFIHMQCNYTFIFMCHFDIDETLTGCRKELFTCHQLDTITDITSCPSSKMGKVLSDVLHADIPASDILADGVLFDEKSTILLLAFLSSHLTNDERLGHLKNLINMRLDYKCAETKISARRTSRGKIDTKYHTSQTDKKDGACTNQVSAAEMKFGNIIWSTVRMWIR >Sspon.08G0004490-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:10599969:10602727:1 gene:Sspon.08G0004490-2B transcript:Sspon.08G0004490-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQRRRAPLLPRWLHGHGDPLQAFSLTGRGKVSLAAITLDDYLPMRSTEVKNRTSTDDITSLRLITAVKTPYLPDGRFDLEAYDSLINMQIEGGAEGVIVGGTTGEGHLMSWDEHIMLIGHTVNCFGSRIKVIGNTGSNSTREAVHATEQGFAVGMHAALHINPYYGKTSTEGMISHFEAVLPMGPTIIYNVPSRSAQDIPPEVIVAISGYPNMAGVKECVGHERIKHYADKGITIWSGNDDECHDSRWKYGATGVISVTSNLVPGLMHSLMYKGENAMLNEKLLPLMKWLFSQPNPIALNTALAQLGVARPVFRLPYVPLPLEKRAEFVQIVEAIGWENFVGQKEAQVLDDDDFMLISRY >Sspon.06G0008370-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:34551633:34553520:-1 gene:Sspon.06G0008370-2B transcript:Sspon.06G0008370-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSADAGDTMPAPAPQTRAVISHVIFDMDGLLLDTEGFYTTVQEKILERYGKVFDWSLKAKMMGKTTAESTRILFEEFGLSGLLTPEQFLEERETMLEKLLPTCVAMPGVVRLIHLLHTNGVPIAVATGTHKHHFALKTQNHQDIFSLMHHIVTGDDPEVKAGKPSPDIFLAAMRRFEGNVEPSNCLVFEDAPLGVAAAKTSGMHVVMVPDSRLDVSHHKGADQVLTSLLEFNPSEWGLPPFMD >Sspon.03G0027100-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:16887654:16890439:-1 gene:Sspon.03G0027100-2C transcript:Sspon.03G0027100-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAAAARRLRAFRRWMRAHGVVCSDALSLDVSDPLGIHVRAVTPLRDGDLVATIPRGACLTPRTTGAAAAIEAAELGGCLALAVAVMYERARGANSPWDAYLQLLPDRESVPLVWPADEAERLLAGTELDKILKQDREFLCEDWKECIGPLLLSGELDVDPDDFSLEKYFSAKTLVSSRSFQIDSYHGFGMVPLADLFNHKTDCEHVHFTSASDASDSDGEDDDDQSDASADDKSTIENPTSSSPGSRTNNEDLEMIIVRDVNEGEEVYNTYGTMGNAGLLHRYGFTELDNQYDIVNIDLALVTKCCTSIFSSRHTRARVSLWHNLGYSGCTSQDTEYFEIAYDGEPQLELLILLYIINLKPDVYDKLICVAHDFVSDEEHATICNVVKFVKATSSNQNFEVNGLEKLPDVKKLLHSESICSALLSLADMRESLYGSSTLEDDEKELQACSIVDERKLYHSLVLRVSERRILHRLRKFASSGSKAKKRKHP >Sspon.03G0019400-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:60279882:60281907:-1 gene:Sspon.03G0019400-1A transcript:Sspon.03G0019400-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAARAELAALLLVAAVCAASGFRPPPVSEDTLEKVAASLEMYVDVLPQMPKVLGYSIKHGRPTPTPTHLTIGMYQKKWKFHRDLPATTVFVFGTSAESATFPGPTIEALQGVPLSVTWENHLPDRHILPWDPTVPTAIPRSGRGVPTVVHLHGGVHPPQSDGHANAWFTAGFRETGPAWTTPTYLYPNAQAPGGALWYHDHALGLTRANLLAGLLGAYVVRNPAVEAPLGLPRGDAFDRVLVLADRSFYADGELYMNCTGDNPRVHPQWQPEYFGDAVTVNGKAWPFLPVARRRYRFRVINASNARFFNLSLSNGLPFHVVGSDASYLPRPVVVTHLLVAVAEGFDVVVDFSESATTEAELVNTAPYPYPDGDAPNHLNGKVMKFLVDPPAAKEQNDDHSRVPARLLEYVKVAEEEAARTRYIVMYEYEDEATGAPTHLYINGKRMEDPATETPRPGTTEVWEVINLTQDNHPLHLHLATFQAVRARELDGLEDFKRCMERLNDAVRCDVGRHAVGEEVAVPEHERTWKNVVKIAPGFMTTVVVKFLMVDTGRSYPFDATAEPGYVYHCHILDHEDNAMIRPLKLIK >Sspon.07G0005810-4D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7D:13140772:13141425:-1 gene:Sspon.07G0005810-4D transcript:Sspon.07G0005810-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAPTTPPLLPVTNPAPGSSPAAAGGSDAPIATPAFRLFLSRLSDSARRSLSDRRPWGELLDRSAFSKPDSLSDATSRLRRNLAYFRVNYAAVVAFALGASLLAHPFSLLILLGLLAAWCFLYLFRASDQPVVLFGRTFSDRETLLGLVGASFVLLFFTSVASLIISGLLVGGALVAAHGAFRVPEDLFLDEPNAASGNSAAQGLLSFLGAPGSGV >Sspon.02G0001220-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:4270556:4274094:-1 gene:Sspon.02G0001220-1A transcript:Sspon.02G0001220-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTAAAHSCRKRRHADAHEGASLPRRRRLTIVPNLFQAVRSFALRTIASAPHKRRHDDATSSSRLCRRRSRHRHLSPVPFPALRPLSASPRRRRKLLVDDARHAVSTPCRRSSLLPRVRHFPVFGPFALHFLLTTCGLAPRRLRKPAEVDMGNRISQLRGKSTSDGVSEAHTKRLDGSPEVVDLTVEAELENIDVVGRRNGDWSVPALGSSRSLEKKAMFCKALQWSKKRGGRLHEKFRLAELPDPLDTTPKEDLSELFTPLSDKEEREVDTLLYNRNHSDKVIVIHEPSNIEITNEKLQCLRPRGWLNDEVINLYIELLKEREEREHNRFLKCHFFNTFFYKKLTCGIAGYDYQSVRRWTTFKRLGYGLVECEKIFVPVHRNVHWCLVVINMKDKTLQYLDSLGGLGHDVLKVLTRYIVDELKDKSNLEVDPSSWVVVSESLPLQQNGWDCGMFMLKYIDFHSRGIKPSFSQEHMMYFRNRTAKEIMTLRAD >Sspon.07G0015590-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7A:55738528:55742103:1 gene:Sspon.07G0015590-1A transcript:Sspon.07G0015590-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAALASGVLKVAGDKLVSLLATEFAAITGVKRDLSELQDIHEEITRWLSAARDRAIQSEPQSRWVVKLKDVAYDIDDVLQEVQLEAEKQKMERDHDKSGIAGCFCVKPKTFAFRYKMAHKIKAIKVRFAAIVKQRSDVNTLVPRDQHVGTSYRTVGKMYWLSEVPESKIPRRDQEKDAIISKLVECNAGENSMIVSIVGLGGSGKTTLAKHICHDVKIKEHFGDGIFWVHVSQEFDFEKLIGKLFQTIVGDNSDRHPPQHMAQKISEKLSNKKFLVILDDAWHEDRHDWEQFMVQLKCGAPETRIMLTTRDRKVAEAVESRYTFELAFLLESESWNLFLKGSGLAEQDLSSDHVQVGKEIIKGCGGVPLAIQTLGAVLRDKKQISTWRAIRDNNLWNVHSINDRVFASLKLSYIHLADELKQCFTFCSIFPKGYRIQKDRLIAQWIVHGFINAMNGEQPEDIGRDYLDSLVKVRFLQEPYGSSNTDMYNMHDLIHDLTRQILKDELVTCVPIHTTEKITHRYRYLSLTSFTENVDKDLFDKVRALYISDSKPSFDTAVKNSCCMRSVVLDYAIGTPFSLFILKFEYLGYLEIHNVSCTTVPEAISRCWNLQSLHFVECQGFVTLPESVGKLGKLRTLELRWITDLESLPQSIGDLYVLQSLQLYNCSKLREIPSSLGRIGNLCVLDVERCSSLQQLPSTLSCHTLRTLNLSRTKVTMLPQWVTSIDTLECIDLEGCAELRELPKGIANLKRLAVLNIERCSKLCCLPSGLGQLTRLRKLGLFVVGCGADDARISELENLDMIGGSLGITNLKYLKNPSDAEKACLKRKSNIQGLVLSWSLSDTEEELVLDMEHDWGVLNALEPPSQIDDLVIYGYRGPCLPGWMMKQNDSSYCEGGLMLKQTIASHFLCLTWLELARLPNLRHMRGFVELPSLKTLELREMPNLEELWTISSGFETGEKELAAQCPFPVLSTLDILGCPKLNGSPCFPPSLEHMSLERTNVQLLSTGRFSHQLPSKHALVPRLKILVLTKVTGSSSGWELLQHLTKLKELYIFSCNDLTQLPESMRNLTSLERLSIHECPAIGTLPDWLGELHSLRHLDLSMGDLKQLPEAIQHLTSLEHLDMSSGRALMVLPEWIGQLSALRQLYIQHFPALQYLPQSIRRLTALEELLINRCPGLAERYKRGAGPDWHL >Sspon.01G0003380-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:8688695:8696555:1 gene:Sspon.01G0003380-1P transcript:Sspon.01G0003380-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSLFKSKIRWQHRSNDPASPAGGAAAAAGVGPAVAVAREFPVGDGAGAGALRLDGGLLLAPLRGGHAHGRCCCCCCCCGARTPAGAGAGAGGEDYISSEEEFQMQLAMALSASSNGDCAGDLDGEQIRKAKLMSLDRFAAHRDETHTAESLSRRYWDYNFLDYHEKVIDGFYDIFGSSMESSRQGKMPSLADLQTGIGDLGFEVIVVNRAIDSTLQEMEQVAQCILLDFPVANIALLVQRIAELVTDNMGGPVKDANDMLARWLEKSTELRTSLQTSLLPIGCIKIGLSRHRALLFKILGDSVGIPCKLVKGSNYTGGDDDDAINIIKMDNEREFLVDLMAAPGALIPADILSWKGNSLNSNRKLALNQTAGSSSTVDANMDPTVLPLEPKGGQLPLFGSDDWISDSVSGYEAAETAASTQTSSGVTSSVPAGSVFDSSWMLVNHEQSDGPSTSAGTSSQQKVVPQSEQQRNLNRLPDLQEIPESNNLFADLNPFGDMKSKKTSVPFKGPDHRNNELQKRRENIVPNAGRPQQRLVMKNWSPYNDVSNNKQYNYVEDSFARRNVGNNAASSSSQMPRSAARSNLNSGMRNDASYHNYDSIMAGTSAMKITSTAETGKVPERVLRGDLDKGQTNYRLEDQHVVVQPPQGRLPWGNPAEGRVPMNRVQSQAKQHIENMDVKQDHKKLLPDPKKSPLDRFMDTSLPSRNMDMRSQRLDFDDVSECEIPWEDLVIGERIGLGSYGEVYRADWNGTEVAVKKFLDQDFYGDALDEFRSEVRIMRRLRHPNIVLFMGAVTRPPNLSIVSEYLPRGSLYKILHRPNCLIDEKRRIKMALDVAKGMNCLHTSVPTIVHRDLKSPNLLVDNNWNVKVCDFGLSRLKHSTFLSSKSTAGTPEWMAPEVLRNEQSNEKCDVYSFGVILWELATLRMPWSGMNPMQVVGAVGFQDRRLDIPKEVDPLVARIIFECWQKDPNLRPSFSQLTSALKTVQRLVTPSHQEAQSPHVHQEISVNLTP >Sspon.01G0015970-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:48710138:48713392:1 gene:Sspon.01G0015970-3C transcript:Sspon.01G0015970-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRLVSRSWEESRLLWRLAFPALLAEVFQFSIGFVTTAFVGHLGEVELAAVSVVENILDSSAYGVLYGMGSALETLSGQAVGAGHLDRLGVYTQQSWIICVATALALAPAYVFAAPLLHHSLHQPDAVSRAAGPYARWAVPRLLAHAINIPLLMFFQAQSRIWAVAAISGAALGVHAVLTYVAVARLGYGLPGAAVAGDVSHWLVVAAQLAYMTTGGRFADAWKGFTVRALEFWYYTTLLILVGLLPQAQLQIDIMSVCLNFEFMTVMVALGFSTAIGVRVSNELGANRPKETKFAVIVAVSTSIFMGAIFLGVVLICRTHLPKLFSDSEEVIHGASNLGHLLALTVCMSSIWPVLSGVAVGAGWQVPVAFINVGCYYLVGIPMGILFGFKLKHGTMGIWIGMLTGTFLQMSILLAIIFTTKWDKQ >Sspon.02G0014360-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:38274321:38279618:1 gene:Sspon.02G0014360-1P transcript:Sspon.02G0014360-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAALLASWPWDNLGFYKYVLYAPLVGKAVASRAWEAASPDRWILLLLLLFGLRALTYQLWSSYSNMLFATRRRRVVRDGVDFHQIDKEWDWDNFLILHVLMAATALYAFPSLRHLPLWPVDARGLAVALLVHAAATEPLTYLAHRAFHHAGGGRLYARYHSLHHSTRVPQPFTAGLATPLEHMVLGALMALPLAAACAAGSGSVALAFAYVLSFDFLRAMGHCNVEVIPSSLFQAVPVLRYLIYTPTYHAIHHTKKEVNFCLFMPLFDLLGGTIDPQSWEMHKKMSAGVDEVPDFVFLAHVVDVMQSLHVPFVLRTFSSTPFSVQLFLLPMWPFAFLVMLAMWVWSKTFVISCYSLRGRLHQIWAVPRYGFQYFLPFAKDGINKQIELAILRADKMGVKVLSLAALNKNEALNGGGTLFVNKHPDLRVRVVHGNTLTAAVILNEIPKGTAEVFLTGATSKLGRAIALYLCKKRVRVMMMTLSTERFQKIQKEAPAEFQQYLVQVTKYRSAQHCKTWIVGKWLSPREQRWAPPGTHFHQFVVPPIIGFRRDCTYGKLAAMRLPKDVQGLGACEYSLERGVVHACHAGGVVHFLEGYTHHEVGAIDVDRIDVVWEAALKHGLRPA >Sspon.02G0021680-4D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2D:65786297:65788460:-1 gene:Sspon.02G0021680-4D transcript:Sspon.02G0021680-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding QLRWLTLGDNSFGGHLPGSVVNLSVTLQKLYLDDNRISGSIPADIGNLVGLNILLTVNNSMSGVIPDSIGKLENLVDLGLYGNALSGLIPPSIGNLTKLTRFLAFYNNLEGPIPESLGKLKNLFILDLSTNYYLNGSIPKAILKLPSLSWYLDLSYNSLSGPLPSEVGTMTNLNELILSGNKLSGQIPSSIGNCIVLVKLLLDKNSFEGSISQSLSNLKGLSVLNLTMNNLSGRIPDAIGSIRALQQLYLAHNNLSGSIPEDLQNLTSLSILDLSFNNLQGEVPDKEYGEGSAISTSGDIYSLGILLLEMFTGRSPTDNMFRDSLDLYKFTEEALPDRALEIADPTIWLHKEPMDSTKGSRIQECLISIFRIGLSCSKQQPRERAPIRDVVVEMHALRDAYLMFGN >Sspon.03G0009450-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:25793929:25796694:1 gene:Sspon.03G0009450-1A transcript:Sspon.03G0009450-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AMSLPAATAPSSSGLTQPQPQPQSTEQQGGSRERRMESLGWLTESAVMPKKHKAIEGVGAASILDLKAQLYRTQEEARNPTAPGAVVAAASGGEFRRAKIRSGPTDPLGAKNSGVESRAHRDKLELKAVKDGSASYAALEKKAELYEKLSRGELPDEEDKEKYCVDFFQKSFDRVYEPQMPESSHRATDDAEPVNDHEDSMPNAKPLGLGRAGTTIDRDEHKRFVREVHEEVTEARQKASTVRSRRQEQDAARREKLRQAYLKKRLEKLIAEKQASSASNDLPAS >Sspon.06G0004610-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:14707371:14710909:-1 gene:Sspon.06G0004610-1A transcript:Sspon.06G0004610-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSDASKKKAAQKKAAAAAKRGAKVPTPSSSSSSAVAKSVDAVAALKLSDRTCTGVLASHPLSRDIHIESLSLTFHGHDLIVDSELELNYGRRYGLLGLNGCGKSTLLTAIGCRELPIPEHMDIYHLTHEIEASDMSALQAVVSCDEERVKLEKEVEILAAQDDGGGDALDRVYERLEAIDASTAEKRAAEILYGLGFNKQMQAKKTRDFSGGWRMRIALARALFMNPTILLLDEPTNHLDLEACVWLEETLKKFDRILVVISHSQDFLNGVCTNIIHMQNKKLKLYTGNYDQYVQTRSELEENQMKQFKWEQEQIASMKEYIARFGHGSAKLARQAQSKEKTLAKMERGGLTEKVVRDKILVFRFTNVGKLPPPVLQFVEVTFGYTPDNLLYKKLDFGVDLDSRIALVGPNGAGKSTLLKLMTGELVPLDGMVRRHNHLRIAQFHQHLAEKLDLDMSALQYMMKEYPGNEEERMRAAVGKFGLSGKAQVMPMRNLSDGQRSRVIFAWLAYRQPQLLLLDEPTNHLDIETIDSLAEALNEWDGGLVLVSHDFRLINQVAHEIWVCENQAVTRWEGDIMDFKEHLRSKAGLSD >Sspon.06G0010900-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6A:59128628:59129602:1 gene:Sspon.06G0010900-1A transcript:Sspon.06G0010900-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGPRNKGWLSIRTNISKQPHRPNDDGTPLTDEIILFIFIGFLEIADLVRCAATCRRWRHLVSGDANFICRTSQQLCFIDKFIPSLIIGFFHKHDATTLSFVPMASASRRFPVLQKPSLSLNMDIDDGLLSSSRIVASRNGLLVIEVQRGKHSRTLKLCVCNPMSGEVHELPALRGKDGLGHYACTVLTAVDYQNKNNNPQPPLSSHYHLLMVYSRLHYTAFRSYSSKDGIWRPEGKVTGAQLGKNQIQLMRNGVIACGGQVAYWITKDLVFGLHLNTLEATMTRLPWSSGNTFIDTENILLGMTPKGRLCAIQFSRHWYLSTH >Sspon.08G0009520-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:40901181:40904743:1 gene:Sspon.08G0009520-1P transcript:Sspon.08G0009520-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSRLPATVSLLRRSLREPRGFSSSASSVLSSSKETADGKLVASVLFERLPVVIPKIHPVVYAFQEFSFRWRQQYRRQYPEEVLGKADARGKGDYHIDYVPAPRITEADKTNDRKSLQRALDNTLYLLLYGNAYGAPDGKPVWHFPEKVYENEETMRLCAEAALKSVLGGLDHTYFVGNAPMAHMAVEQTDSSVSPFKRFFFKSQVVGTTKYHIGRCKDFAWVTKDELLEYFPENKDFFNKMIIHIR >Sspon.02G0035310-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:19506146:19516811:1 gene:Sspon.02G0035310-2C transcript:Sspon.02G0035310-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GPAT9 [Source:Projected from Arabidopsis thaliana (AT5G60620) UniProtKB/TrEMBL;Acc:A0A178UCY8] MASSSVAADMELDRPNLEDYLPPDSLPQEAPRSLHLRDLLDISPVLTEAAGAIVDDSFTRCFKSNSPEPWNWNIYLFPLWCFGVVIRYGILFPLRSLTLALGWLAFFAAFFPVHFLFKGQDKLRSKIERKLVEMMCSVFVASWTGVIKYHGPRPSTRPHQVTFFYVPVFVANHTSMIDFIILEQMTAFAVIMQKHPGWVGFIQKTILESVGCIWFNRNDLRDREVTARKLRDHVQQPDNNPLLIFPEGTCVNNQYTVMFKKGAFELGCAVCPIAIKYNKIFVDAFWNSKKQSFTMHLVRLMTSWAVVCDVWYLEPQYLREGETAIAFAERVRDMIAARAGLKKVPWDGYLKHNRPSPKHTEEKQRIFAESVLRRLEEK >Sspon.04G0001560-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:6321801:6326000:1 gene:Sspon.04G0001560-2C transcript:Sspon.04G0001560-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPARPPDLASSSIPSLDAERRHFDANWIREKYPDRIPAIVEKADRSDIPDIDKKKSVLNFSKLTFSKEPNNLYNKFYFYKHSVSSSTELTEMDDSLAIKLIPGWMGGRHRSGKVVSDT >Sspon.01G0063150-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:111617473:111622151:1 gene:Sspon.01G0063150-1D transcript:Sspon.01G0063150-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNRKNFRRRADDDEDANGDGGSHSKLSTATATKTKSLTVPKPKSPPRRQGASRLSFADDEDEDDAEEGPFAQRRRPPTASVRPARTASPAAGALHRLTPARDRIRSSPAPAVAAVSAPKPSNLQSHAGEYTPERLRELQKNARPLPGSLLRSQPQTPATEPRSQKLAATPASSTPATTTAAATETVVILKGLVKPMSEASIGPRIPKHDMEEDKSEEEEEGDEEDEGPVIPDRATIEAIRAKRQQRQQPRHAAPDYISLDGGGVLSSRGGGGESSDEDDNETRDRIAMYTDKPSDGPRSTKSAQPQPFGYPVGSHYQPSLSGVVPVASVFASGTADFLSIAQQADVANKALQDNIQKLRETHKTTVSALVKTDTHLNEALSEISSLESGLQDAEKRFVYMQELRDYISVMCDFLNDKAFLIEELEEHIQKLHENRALAISDRRAADLADESGVIEAAVNAAVSILSKGSSSAYLSAASNAAQAAAAAARESSNLPPELDEFGRDINMQKRMDLKRREENRRRRKTQSETKRLASAVKNKDIEKIEGELSTDESDSESTAYVSSRDEFLKAADHVFSDAKEEYSSLRTVKDKFEGWKSQYPSAYRDAHVALSAPSVFTPFVRLELLKWDPLHETTDFFDMDWHKVLFDYGMQDNESASGSNDSDVVPVLVEKVALPILHHRIKHCWDVLSTQRTRNAVDASKMVIGYLPTSSKDLHQLLASVRSRLTEAIADLSVPAWGSMVTRTVPGASQYAAYRFGVAVRLLKNVCLWKDILAEHVVEKLALDELLKGKILPHMKSIILDVHDAITRAERIAASLSEVWPKQSQKLQPFVDLVVELGNKLERRHTSGISEEETRGQRE >Sspon.02G0009510-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:26751431:26752199:-1 gene:Sspon.02G0009510-1A transcript:Sspon.02G0009510-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGYGDDGVDLTELTLGPPGVNARKARRARKNGQQPPSSSAMMQAFVKVSMDGTPYLRKVDVAAYDDYGELVEALNELFCCCSIGLMDGYGDWEHAVVYEDGDGDWMLVGDVPWEMFVSSCKRMRVMRSCEARGLSSNA >Sspon.01G0004530-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:11389599:11392320:1 gene:Sspon.01G0004530-3C transcript:Sspon.01G0004530-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ASTVMAAQQQEQARSTRQAVPASSRVAIIGGGISGLAAAKQLAAHDPVVFEATASIGGVWKHCVYRSTRLQTPRPDYEFSDYSWRNRDDPTFPTHAEIIEYLEGYADTFGLWRYIMLGAKVVDIKFLGGGGGFTELWSGTGEPLQGKPMWEVGVATAGSSVTQYYQFEFVVMCAGKYGDVPRMPVFPPGKGPEVFRGQVMHSLDYCKLSEEDTVELMRGKKVVVVGYKKSAIDLALECAPNAAGAEGGEACTMLVRTLHWVVPSYSIWGLPFFLFYSTRLAQFLYERPNQGILRSMLCKLACMQRAGVSRFIESYLAWKLPLDKYGLRPDHPFVEDYASCQMAILPDGFFDMADRDMIRFKRASGGWCFSHNGVLLDDGTEVEADLVFLATGFEGKDKVRAVLPDPFRGLVVDKSGMIPHCSGTIHPLIPNMAFVGYVESVSNLHTSELRCRWLAGLLGGRF >Sspon.03G0011160-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:46297136:46302750:1 gene:Sspon.03G0011160-3C transcript:Sspon.03G0011160-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein SEH1 [Source:Projected from Arabidopsis thaliana (AT1G64350) UniProtKB/Swiss-Prot;Acc:Q93VR9] MTERKVAELGPGTACCGWNHCGRRLAAGAVDGSVSVYDSQLSPSFKWQAHEQAIVNVVWLPPEYGDAIACACADGTLSLWEEVAADDQLPTWRKCKIFEDGNSHVLNVHFGLHLRSLKMVTAYSDGQVKVYELLDSLELDKWQLQAEFQNITDPISRFGKPACISASIAWNPRRGGSQQASFAIGFNSDSPHFNSCKIWEFEEAHQRWLPLVELGSPEDKGDRVCAVAWAPNIGRPYEIIAVATCKGIAIWHIGLNTDADSGPSTQNVALLNGHDGEVWQLEWDMGGMTLASTGGDGVVKLWQANLDGVWHEQAVLDCSGSHV >Sspon.06G0018090-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:70310182:70314291:-1 gene:Sspon.06G0018090-3C transcript:Sspon.06G0018090-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWLGRTATLLLLLAVLLASASSPAAAAAAREEEEGRVITAGDTSEKGKEYSEEKLSNQKTILLQQMIRLKHGDGGYSYNHTLAHILVEYASAVYTSDLTSLFLWTCQRCKGHTKGFEVIEIIVDVENCLQAFVGVAPDPRSIIIAFRGTQQHSVANWIEDLFWKQLDVTYPGMPDAMVHHGFYTAYYNTTMRHEILKSIKWARKTYGNLPINVVGHSMGGALASFCALDLSVKFGSKEVELMTFGQPRIGNPAFAVYFGEQVPRTIRVTHQNDIVPHLPPYYYYLGEWTYHHFAREVWLHESIDGNVVTRNETVCDDSGEDPTCSRSVYGMSVADHLEYYGVTLHADSRGTCQFVIGAANSVYSYVCEVDGSIILSRYPEEPETLESISMHVALCKHEEPRTDQAQEGISRYKVPQIVSTAR >Sspon.06G0027670-2D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6D:88497073:88497435:1 gene:Sspon.06G0027670-2D transcript:Sspon.06G0027670-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding QQRRPEEQGQGTGTDIGRGRSNRGEAPRRQQQRQSPVAAAPPAVDVELAQEPVLECTFLTADGWEQTMCSVCQSEMADGEKVRVLTACTHSFHTTCVEQWLRDHATCPLCRAPTGAAAVPK >Sspon.01G0008870-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:29703722:29705378:1 gene:Sspon.01G0008870-2B transcript:Sspon.01G0008870-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPAMAAHGDKEWYFFSPRDRKYPNGIRPNRAAGSGYWKATGTDKPIQSSATGESVGVKKALVFYKGRPPKGTKTNWIMHEYRLAADAHAAHTCRPMKFRNASMRLDDWVLCRIYKKASHAPPIAVPPLSDHELQDEPCGFDENPYAATSAAMLLQGASFPALHAASAGAQRMPRIPSFSELFNDPSMLAHFFEEGGMQQDMARLGNQQQHAPLLGRPVTSQLLVNNGNGNSLSGGQIPQLDPPPASTSAAGDGAAGNKRKRSSETSTTGASALSIQQQASAAKKPNGSYFGATTTFQIGNGLQGSSLGHQMLLHSSNMGMN >Sspon.08G0014660-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:56777426:56780301:1 gene:Sspon.08G0014660-3D transcript:Sspon.08G0014660-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding PQQLRLRILDTVRLSPPPAPAQHAAALPLSGLDADRNALDVTFRTLRFFPPPPPSIDPHAALPPAFEAALGLFPALAGRLRDGHVEVGAGAVPIVLAESGLSTSDVDTDCPGSALLDRLAPPGDGDADADAGTPALALQATRFACGGVALGMRVAHALCDGAGATKFLAAAARFARGQGPPEVAPVWERRELLGPRRPPRVATQVFDRVLALDGGVARCGPYGANETSSGEVVKMVYSMNINKLVDPPLPDGYWGNVCVPVYVALAACDLVAQPLAATAALIRRSKQAVDDEYVRSYVDFQELHRHDGVTAGAVSAFTDWRRLGHGEVDLGWGGPDSVLPLSWRILGSTEPCFLLPYGAGDKRRRGGFKVF >Sspon.01G0058630-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1D:31092650:31093081:1 gene:Sspon.01G0058630-1D transcript:Sspon.01G0058630-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQMLMQAILESLKDYEQSNTKNVQSTASDAAPKENDTVKDSNGVVAAALEQDASSVSFDAPGKHTNVCNSGEKVSEGQSTDCDALKNSASVSASGSSEPLSSTQMTNGKPASAESQKVTQNANGEDGTRATLVVQKSRTGGLID >Sspon.08G0004640-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:11383382:11387310:-1 gene:Sspon.08G0004640-2B transcript:Sspon.08G0004640-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMANLGRTKWQAVTTFIVLCILSSICICKAQFTPADSYLVDCGSSKSTIVGRRTFAADGASPVKVSTSQDVLAGTSANGVASFDNSVLYQTARIFTVPSSYTFPIQKQGRHFVRFYFFPFTYQSYDLSLAKFTVSTQDVLLLSDFQQPDKTAPLFKEYSLNITRDTLVISFKPSNGIAFVNAIEVVSVPDDLIADNAQMVNPVQQYTGLSTQPLETVYRVNMGGPKVTPDNDTLSRTWVTDGKYLLNPTVTKNVSYGKDVNYKKGGATQLTAPDVVYGTARELAAANTSNAVFNMTWQFDVDAGFSYLVRFHFCDIVSKALNQLYFNAYIGGFSAQSNLDLSTMSDSQLATPIYIDVVLSSNDASSKLGISIGPSTLSNVLPDGILNGLEVMKISTGGSAFTVGSSSGNKNWGVILGAALGEIELLSRLRHRHLVSLIGYCDERNEMILVYEYMEKGTLKSHLYGSDNPSLNWKQRLEVCIGAARGLHYLHTGSAKAIIHRDVKSANILLDENLLAKVADFGLSKTGPELDQTHVSTAVKGSFGYLDPEYFRRQQLTEKSDVYSFGVVLLEVLCARPVIDPTLPREMVNLAEWGMKWQKRGELHQIIDQRISGTIRPDSLRKFGETVEKCLADYGVERPSMGDVLWNLEYVLQLQDADSTVSDVNSMNRIVELPSQVQNVGALESISVTMAEAGASNEPDHDLSDVSMSRVFSQLIKAEGSRFGDYIQS >Sspon.03G0013110-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3B:46288475:46288870:1 gene:Sspon.03G0013110-2B transcript:Sspon.03G0013110-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SVWNSVPTNPKSAGSGSPDPMGTGPATLDPQGKAPPRPTLGARVLPRPTPWEQNRPAPPGRPRGRPQAQALTGPPLAPNRHEHGRWAPDHAPNARGGRGHLDVTSGCHRLYLGTHVSNSAGGPALCSSIPV >Sspon.08G0017160-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:67610528:67612776:1 gene:Sspon.08G0017160-1A transcript:Sspon.08G0017160-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSENSEHSPAISLKASILVFNHCFSMKEVEGDHFEAHIRGIAADLLENFRDHSFMISNFGTKEEESPIYHILSEYGMTVLDYPGHYEGCPLLTMEMIHCILKSSESWLSLGQHNLLLMHCERGSWPVLAFMLAALLIYLGHYSDEQKTLDMVYKQSSLELLEMFSPLNSMPSQMRYLRYISTRNVMPEWPPADRALTLDCVILRMVPNFHGQGGFRPIFRIYGPDPLMPPDQTPKVLFSTPKKSNLVIVFYRVIRCSTFKLLATADELVKIGLQCHVQGDVVLECINLYEDLEREEMVFRIMFNTAFIWSNILMLNRDHIDMLWNAKDQFPKDFRFEVIFSDMDAVTSHVTTEPVIHQEKQGLGVEEFAKVLDIFNHLDWLDGKKDTIPHTAQLKISAITDEPETFFDTREELESESFPGEINSSSVVLKIENELGMLCFTNGTVFKYNHATTIIFTGSASASDV >Sspon.08G0023970-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8B:60821896:60822183:1 gene:Sspon.08G0023970-1B transcript:Sspon.08G0023970-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHATCIGCCIQSSPSSDRSAYVRLRAMMMMSMTNQQYMHQRRDRPGIDGWMNGIGSGRIIDRPVVVSIGAASTTTTMTTLVDERSRRRLLDGIQS >Sspon.07G0001580-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:3045629:3055914:-1 gene:Sspon.07G0001580-4D transcript:Sspon.07G0001580-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLVSQPRHSIKTYWARRNYQRLGSPSRRLKVARLGGGGRSAGSSAAASSSWKGIRLARRAAALLAAPALLLARLRDAYVDAMVALGGSGVRPCAALARSRSGAEAGLWDKRVPRARRGSGSGSKRGGGDFERRMMAHIYSMTDAVPVDVAVGGAARAKATLRWSERRQCPPWRANSLENIVPENLPRPSAPRRFDSVSASAAAPDLPRHSIKTYWARRNYQRLGSPSRRLKVARLGGGGRSAGSSAAASSSWKGIRLARRAAALLAAPALLLARLRDAYVDAMVALGGSGVRPCAALARSRSGAEAGLWDKRVPRARRGSGSWSKRGGGDFERRMMAHIYSMVVTPELPCATRA >Sspon.01G0028370-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:99181862:99187829:-1 gene:Sspon.01G0028370-1A transcript:Sspon.01G0028370-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPNKPGFSSGRDAVFWSGEMNAYLIDSLLYQQDIGNRGESKFSTVAYDSIINGVGDKFGVTIDRNNIKNRLKYIKESFHECRNLLGEDTRFRWCSETRKFNADPDVWRELIERKPEAKKWMMKTIDHYDRLMVLFGKDREKRPNVENSKGTAKKKVRTEPPKERLHRTPLNGKESAVAESSDKKVDKFEIPDEIITNVELDLSESCRTDNGIVAIPVRANSYGKGLPYAPENWPRSGDIWHWKVGSRASGAGHWADRYLTPPSRFRDATPKKLGFASRVQVEEFVKREFPDVDPSTFFSMFIWKIPAEGYRIQKGTQQVRRNEPEPEPEPVMADPGGLCKARNSLCNLESEGFVESSPARACNICCKEPGFCRECCCLLCNKTIDYSFGGYSFIKCEAVVEENLICGHSAHLNCALRSYMAGTVGGVFALDVQYLCRLCDNKINLMTHVEKLMETCQSLESRDEIEPILNLGLCLLRGSKQKRARSLENHMRSAMEKLECGFDLVEVWKLEGDEGRATLSAGENSPPTSGVTVLGAQQVPEAGALTGHPDLIDTLGDNDFETDIENLPVFITGDQNVASAKFEDEIDLALQELKKSQEMEYNLAEQKLYAQKDRILCLYRQLDTERAQLADPMPLSDASNYGAMLANVLRHVDQIKREEEKFKSMLKVAGGFAKAPQSVIKELFGLPAD >Sspon.02G0031800-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2B:110297898:110298086:1 gene:Sspon.02G0031800-2B transcript:Sspon.02G0031800-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRTKFVICLLHSQFCISQQIIAMVVAAPTIHVPKPAKPSLTSSLYPKASLAPRAWSLIAEAR >Sspon.05G0003670-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:15726360:15732541:-1 gene:Sspon.05G0003670-3C transcript:Sspon.05G0003670-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPAPATPRWNLERPYLTGRFHQEAKAAAAAQGPGSKSFSLDSFSRGAGAGTGSVIGSYAVSVQELLVIDDLLSALVGIEGRYISIKRVRGKEGYVVFQIDSSMDLALQELTRRIFPLCEDYVLVSQFVESRSHFKNGLVNHALAAALRAFLLDYQAMVAQLEHQFRLGRLSVQGLWFFCQRMMSSLNALAVLVEKATSNNTNGSATLNLLQSQAKAMGGDSAVRSLLEKMTEYASGAYLRMLERWVYEGVIDDPYGEFFIAENKSLQKESLTQDYDAKYWQQRYSLKEGIPSFLTNVAAMILTTGKYLNVMRECGHNVQVSLSDNSKLMSFGSNHQYLECIKSAYDFASGELLTLMKDKYDLIGKLRSLKRYLLLDQGDFLVHFMDIAREELTKKPEEISAEKLQSLLDIALRSTAAASDPSHEELICCVERSSLLKKLATLKDLDCAYPADKLAAADVDQSMQLSITGLETFCLSNKVQWPLSLVISRKALTKYQLIFRLLFHCKHVSRQLCAAWQIQQVFRSVKILGTPILRSSILCRSMLKFVNSLLHYLTFEVLEPNWHLMHDRLQTARSIDEVIQIHDFFLQKCLKECLLLSPELLVYATSIQLLMPSIDVTNSENTSKSVKSRSRINKSQDRDQQLKLASENVVMSESILKFEAAFNSELQSLAPTLSNSSHAEPYLTHLAQCILGVRIDQ >Sspon.04G0010250-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:25916156:25920534:1 gene:Sspon.04G0010250-2B transcript:Sspon.04G0010250-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADTLVGLHETLSGNGSGFNRWQAWVKRFHNRNPMPLLKRTPFSLLEPPKDLDPNEKVSGKSKLTYKEALVCEQQAAAKAQQLPKELMAPVLRMIQHRLELHAKKDGSEAACKILKVISSGETKSYEVGWIGKGNEVINTSVVKADDLIRKKAPASRNALKIFIRDSTSETSPWTLHADLAKKYGIPTEVPVDIMNGEGLNKGRKRFVNEEDASKKLKKDQLVEPPVKYPIDDLLVNPAADDTILSNRPPLSKDFRVPVDSVGDLLMVWDFCLSFGRLLCLSPFSLSDLENAICTKESNLVLVVEIHSALLHLLIKDEGEYFTILQNKKKIPKVTFVKWSEYLCNFLEMISKEEFSGKVSTIRRGSYGLVETRLKLKILRELVEEAITTSSVREKIGEQIDQQGALVAARKADARKNREEKLNVEGVAENGRNHTDNTLDGDKPPKGQRRGEEREDLNILSSSKMFLRRHPETEMEQQSVLPSPLGKDRFYNRYWFFMCEGRLFVESANSIEWGYYSTKEELDALLGSFNIKGIRERALKRQLDKLYDKISNALESRLKDVQQKMLLHQDKEVRRSSRLHAQQKEDMSFL >Sspon.07G0007110-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:18710881:18713819:-1 gene:Sspon.07G0007110-1A transcript:Sspon.07G0007110-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSRVMASSSPPQHAGAGAGSSSSDLARFRSSSGIGSMNMDDIIRNIYGPEAVNAAAGVGGGPAEPSPAAAPEAAAAAARRTSVEVWKEISAAGGLSAPVLLPPPPPPPPAACSGTGGARGGGSGAAEMTLEDFLARDSCARAAVMDGNMALGFPDADGDAAGSVAGAVGVGGGRGSRKRALLDPPDRAVMQRQKRMIKNRESAARSRDRKQAYVAELESQVAQLEEEQAELLREQEDRRQKRLKELIERVVPIIRKKSSQDLRRTNSMEW >Sspon.06G0007750-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:25823022:25825556:1 gene:Sspon.06G0007750-2B transcript:Sspon.06G0007750-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLSGGTISSGTSSGSSHGTRSFGSEGDMVDLQARMELKRKRRMESNRESAKRSRQRKQQHLDDLNSQVDKLRTTKQQLMTALNITTQNYAAAEAQNSVLRTQMMELESRLCALREIICYMNANHVANAATTMNAHPATIMSGAANYDTFGASATAWNSGMQMVQQPIDHLLYQCF >Sspon.06G0005110-2D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6D:15597086:15597550:-1 gene:Sspon.06G0005110-2D transcript:Sspon.06G0005110-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFPFFVAFSAILLVGRYLPSALPVNARAVVLAGNHDVTPATRAAKCAISVAFAGLVLLVSSTQQCSGGGQQGQQCCPAEVAMEGRALWFNSAALFLGMVLAGAAVALPPHARQRRPIVDVAVEHLTRFTETVAITAFAHDVCIFFKVVEMIQK >Sspon.02G0004090-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:14356090:14359755:1 gene:Sspon.02G0004090-3C transcript:Sspon.02G0004090-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPLLLGFLVLLLVAGEVVPTGAQSNGDKEVLVELKRFLQDNNRVNRGAYDAWQESDASPCGWAGVRCDNASGRVTSLDLSRSSISGPAFGSFSRLPALAELDLSDNTICSPGDIGQCRGLVRLNLSHNLINGSLDLSGLTRLQTLDLSGNRLSGGVAANFTAMCAADLAVFNVSTNGLTGNITGMFDGCARLEYVDLSSNNFTGELWPGVARFRQFSVAENNLTGASRRPPNYLTGSFPDSIAKCANLTYLSLWGNDFNGFIPSGIGRLAAIETLVLGKNSFDRRIPLALTNCTKLQFLDISSNMFGGDVQDTFGKFASLRYLVLHHNNYTGGIVTSGVLQLPLLARLDLSYNEFSGELPPEVADMKSLKYLMLAYNQFSSGIPAAYGRLTELQALDLSCNDLSGEIPATIGNLTSLLWLMLAGNQLSGEIPSEIGKCSSLLWLNLADNKLTGNIPPEMANIGRNPGPTFAKNRNGSSVLAGSGDCQAMRRWIPASYPPFSFVYSIMTRANCRSIWDRILKGYGIVPVCTNSSSPVRSYTISGVPTTAQFGTFDEQSFLGDPLISFGTSTGKQTPPEAADARSRGMSPRSIAVWFLFSLLAAFVTGAFVFFMANLRARFPVDQDPDPESFSCENPKCSSGKCSLQMSTTSSPPSGSSSSATRCSSSTEGVKVFQLCKTAFTYRDIVAATGNFSEDLVIGRGGYGVVYRGVLPDGRTVAVKKLARPRDGDCEREFRAEMEVLADQMGSTWPHPNLVALYGWCLSGSAKILVYEYLDGGNLESLIGDTAAFGWGRRLDAAIGVARALVFLHHECRPAVVHRDVKASNVLLDRDGRARVTDFGLARVVRPGDTHVSTVVAGTVGYVAPEYGQTWRATTKGDVYSYGVLLMELATGRRAVDGAEDECLVEWARRMAKEGWRSSSEKAAAVGTVSWELLMLGMRCTADAPQERPDMPDVLAALLDVAASGCAQLEFT >Sspon.01G0033100-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:114012693:114013739:1 gene:Sspon.01G0033100-1P transcript:Sspon.01G0033100-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MWTTALASTTDGSAYLTVHVSPLLIILCTCKCSSTSYLPSIYTKPPRLRSLGCYNQIGRRQVMQMTRLEDLTPAPSMTLPIEHSSRPTLGFPLGTALLLLVIFALSGMFSCCYHWDKLRSLLRSRHPGLFEESEHTAISIASPPSKITSDHKLEKVGKECGLPVIMPGDKVPKFFARPCPHETCLPEAQKAEVPLETKCSDHEPSVSICIHESTVSC >Sspon.04G0008040-11P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:23788711:23795981:-1 gene:Sspon.04G0008040-11P transcript:Sspon.04G0008040-11P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MACENSNVTANGDGLCMATPRADPLNWGKAAEELMGSHLDEVKRMVAEYRQPLVKIEGASLRIAQVAAVAAGAGEARVELDESARGRVKASSDWVMNSMMNGTDSYGVTTGFGATSHRRTKEGGALQRELIRFLNAGAFGTGTDGHVLPAEATRAAMLVRINTLLQGYSGIRFEILEAIVKLLNANVTPCLPLRGTVTASGDLVPLSYIAGLVTGRENSVAVAPDGSKVNAAEAFKIAGIQGGFFELQPKEGLAMVNGTAVGSGLASTVLFEANILAVLAEVLSAVFCEVMNGKPEYTDHLTHKLKHHPGQIEAAAIMEHILEGSSYMKLAKKLGELDPLMKPKQDRYALRTSPQWLGPQIEVIRSSTKSIEREINSVNDNPLIDVARSKALHGGNFQGTPIGVSMDNTRLAIAAIGKLMFAQFSELVNDYYNNGLPSNLSGGRNPSLDYGFKGAEIAMASYCSELQFLGNPVTNHVQSAEQHNQDVNSLGLISSRKTAEAIEILKLMSSTFLIALCQAVDLRHIEENVKSAVKSCVMTVAKKTLSTDSTGGLHVARFCEKDLLQEIEREAVFAYADDPCSANYPLMKKLRNVLVERALANGAAEFDAETSVFAKVAQFEEELRAALPKAVEAARAAVENGTAVIPNRITECRSYPLYRFVREELGAVYLTGEKTRSPGEELNKCETGFVRSLNGDGLCMSAPRASDPLNWGKAAEDLSGSHLEEVKRMVAEFREPVVKIQGASLSIAQVAAVAAGAGGEAAWSWT >Sspon.01G0006210-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:16078145:16087168:-1 gene:Sspon.01G0006210-1A transcript:Sspon.01G0006210-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASPSSPPAAAGVSGQNLIPLAPSPHLTNFFVWREFVWGGIAGAFGEGMMHPVDTLKTRLQSQAIMTGAKAQQNIFQMVRTVWASDGLRGFYRGISPGVTGSLATGATYFGVIESTKTWLEIANPNLSGHWSHFIAGAIGDTLGSFVYVPCEVMKQRMQVQGTQKSWASAVAKGSISQTHGTQMYGYYNGMFRAGCSIWRDHGLKGLYAGYWSTLARDVPFAGLMVTFYEAMKEVTEYGKTKYLPHSDLDISNSFEGLVLGGLAGGCSAYLTTPLDVIKTRLQVQGSTSKYNGWLDAITKTWASEGVRGLFKGSVPRIIWYIPASAFTFMAVEFLRDHFNDKVDADAHELTSLSIDTRSEVEKSA >Sspon.06G0002780-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:7356944:7358805:1 gene:Sspon.06G0002780-2B transcript:Sspon.06G0002780-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LLRLSAVFHVVDQDGNKLYDGQVIDRIEQSLGAGSLSFRGPPERLVAVEAEAEEAQTTIELVGRDRPGLLSEVFAVLTDLKCNIVASEVWTHDGRVAALVYVTDADTMGAIEDPARLDTVKRLLRHILRGSSRDKKASRAAISAGVEHAQRRLHQMMQADRSAHREGERGEASGAGMPVVAVEDCAERGYTLVNVRCRDRPKLLFDTVCTLTDMQYVVFHGTVIAEGSEAYQEYYIRHLDDSAGSSGEDRDRLCRCLEAAIQRRYTEGLRLELCCEDRVGLLSDVTRIFREHGLSVTHAEVETRGAQAANVFYVVDASGEPVQGQAVDAVRAEIGEQFLFVREQHDGSGGGAGGPKSPVGGGGRRSLGNMIRSRSEKFLYNLGLIRSC >Sspon.03G0025760-3P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:3858258:3860155:1 gene:Sspon.03G0025760-3P transcript:Sspon.03G0025760-3P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKSVTLVLPGSRHRQHWIVRSIEARWTRVHVLHEYSTADTALMYVLVPMPCLFFGGGSTHFLTSREGGGWMNAAKFLTGASAMGSLAIPAILRHAGLIETGAMFIEFTSFFILVCTVLCFHRATLDED >Sspon.02G0046460-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:3829560:3834779:-1 gene:Sspon.02G0046460-1C transcript:Sspon.02G0046460-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVAATATAAAAAAAALCCALALYLYHALWVAPERVRAALRAQGVAGPRPSFPYGNRADMRRAMTTTAAQSAGVVHDYRQALFPHYERWRKDYGPIFTYSIGSMVFLHASRADVVRDLGLCVSSLDLGKSSYMKVTHRPLFGDGILKSSGDAWAHQRRLIAPEFFPDKVKGMVDLMVGSATALVGSWEDRISRIGDRGAELELKIDDDIRAYSADVISRTCFGSSYVKGKRIFAVIRELQKAVSKPNLLAEMTGLSFLPTRTNREAWRLNRVVRDLVLDVVRESGDDDRNLLNAMLRSAAASGGGDRVHDRVAAAAVEEFVVDNCKNIYFAGYETTAVTAAWCMMLLALHPEWQDRVRDEARRVCAGASPDFASLHKMKQLTMVIQETLRLYPAGAVVSRQALRDVTLGGVRVPAGVNIYVPVSTVHLDPELWGADAGEFDPGRFADDARAHHQRQPHAYLPFGTGARTCLGQAFAMAELKVLLALVLSRFQISLSPAYVHSPALRLIVEPEHGVRLVLRKRGAE >Sspon.01G0031440-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1A:107854212:107854403:-1 gene:Sspon.01G0031440-1A transcript:Sspon.01G0031440-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTEHSPHAWVRLRVYKRNAEMRVPAGRRRAVVWSPAYRRTVPALTNDNDKTRRSLGAAERAV >Sspon.01G0036420-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:18640224:18643372:1 gene:Sspon.01G0036420-1P transcript:Sspon.01G0036420-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSAPPEPDGSGTARQENHHQAPPIETKRPGPGAQQPRRQKRSRLRGLLAETHGPTQTQEGNEASERPPARPRRSMAATAAAEEAERMVEAKWEEEEEEGKQGMRKRKRYGLVEYRALPAYLRDNEYIHRHYRCEWPLPQVLLSAFSIHNETLNVWTHLIGFFIFLALTIYTATQVPNVVDIQSLQHLPDVLRKADIHKIQAELVSCLPSLPHLSDLQKLKDELKSSWNSMEVLPSLSRWHLLELLTSCLPQRFTHPNETSLSVLQSMKEDLANLIVPQLIRPIVRWPFYAFLGGAMFCLLASSTCHLLSCHSRRLAYIMLRLDYAGIAALIATSFYPPVYYSFMCYPFFCNMYLSFITILGVATIAFSLLPVFQNPEFRTIRACLFFGMGASGVIPVLHKLVLFWHQPEALHTTGYEVLMGLFYGLGALVYATRVPERWMPGKFDIAGHSHQLFHVLVVAGAYTHYHAGLVYLKWRDVQGC >Sspon.02G0008680-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:23614172:23619054:1 gene:Sspon.02G0008680-2B transcript:Sspon.02G0008680-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTSPRRRRNKGKGKKGRKGIAMAASPQQQGQGGPGGGGGWTPEQFWSLLDKADRRFARVRDLPPLGRQEPDAFAKAFRAYTQLWRMQQEHRHRLLDAGLRRWQVGEIAARIAHLYYAQYQRTADTALLSEAFVFYHAVLDRAYFQDADHHLSPAKHLRFLARFLLVALLLSRRSHTVPRLASDIRTLLDDSKKSFQDAEYKEWKHVVQEIARFLRADSPFMNMRPLRYSYAFDPPPDTLRTVPPTVKKRGLVLSDTILCSYYPNEVKFTDLSIDVFRMVQCLEWEPCGSFALNNGYSAHDESGQNQPNLLKDLRDAALPPNPLKTILYRPSVTHFLTVLATKCEELPSNGIMLIYLSATGEMGTSGFCPDTGEKVLSNFNKFDISGTSHTSSKENKEPCLWLGCRETEGSNCIYPGDLIPFTRRPLFLSIHGTERGETAAMLLSPSSRSSAAGFSGDSTRHSGSQFTMFLTAPLQAFCLLIGNNGTDIDRDAYNKAEELLLLSLNEWATTLVASSSLHPVWVEVLGDPLLRRLLLRFIFCRATHSLFKPTNHKAEFLPTCMPPLPESVDAESMLSQSCVMRVASFFGAVSQFSFAEVTTWPDVDPEEAAVTSSSGSANKGGPETARDSDISNPSSSF >Sspon.06G0021350-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6C:15420418:15423875:1 gene:Sspon.06G0021350-2C transcript:Sspon.06G0021350-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GENESQNSAAGSTAMVLSHASSSRDDDAVANTFASRYVRERLPRYRMPERSIPREAAYQIINDELMLDGNPRLNLASFVTTWMEPECDKLIMDSVNKNYVDMDEYPVTTELQNRCVNMIAHLFNAPIKEDETAVGVGTVGSSEAIMLAGLAFKRKWQNKRKEQGKPYDKPNIVTGANVQVFVCVKLSDKVCWEKFARYFEVELKEVKLSEGYYVMDPVKAVEMVDENTICVAAILGSTLTGEFEDVKLLNDLLTKKNKETGWDVPIHVDAASGGFIAPFLYPELEWDFRLPLVKSINVSGHKYGLVYAGVGWVIWRSKEDLPEELIFHINYLGTDQPTFTLNFSKGSSQIIAQYYQLIRLGFEGYKHIMENCQENAAILREGIAATGLFDILSKDTGVPLVAFSLKDSSRFSVFDISENLRRFSWIVPAYTMPADAEHVAVLRIVIREDFSRSLSERLLSDIRKILHELDARATHAVMVSTATATATAAQSADEDGGVVTKKSVLEIEREVAARWRNAVNKKKTGVC >Sspon.04G0036860-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:52687600:52694903:-1 gene:Sspon.04G0036860-1D transcript:Sspon.04G0036860-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRETERRDRETNRTPWRRDSAASSRNSAALLPALRSPLRSIPLLWDRWAGSFESSGEAIAERALRSQELRGPAVASVAENLDATRLWQCHTGPHCGVADVGSKPNIPTPQCGPVWHCHNLVAREQVLLPPHSMAMEAMEERLLGLSGQAERRRWAARGPRGISGRTTWGCAGGSACCTRGREGLGRRGKQAAHDGVERSRHQSAASGHGARLPAATETVWEGEPGGLTADEEMPEMAGAEKKATPSMESSPDFWKQKKEKSEER >Sspon.06G0000200-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6D:11942147:11948110:-1 gene:Sspon.06G0000200-2D transcript:Sspon.06G0000200-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GGKHYALWEDPFKKPSYLFALVAGQLGCREDSFVTCSGRNVTLRIWTPAQDLPKTAHAMYSLKAAMKWDEEVAPVSLPITSCSFFSSNQIADSVLHATCQVFGLEYDLDLFNIVVVPDFNMGAMENKSLNIFQSRLVLASPETATDGDYAAILGVVGHEDAGPMAHPIRPHSYIKGAEVVRMYKTMFGASGFRKGMDLYFKRHDGQAVTCEDFYAAMCDANNAQLPNFLQWYSQAGTPTVKVASSYDPSSQTFSLKFSQEVPPTPGQPVKEPMFIPVAVGLVDSTGKDMPLTSVYSDGTLQTLSADGQPVFTTVLQFKKKEEGFIFKNIPEKPVPSLLRGYSAPVRLDSDLSESDLFFLLANDSDEFNRWEAGQVLARKLMLSLVADFQQQKTLVLNPKFVDGIRSILRNTSLDMEFIAKAITLPGQGEIMDMMEVADPDAVHAVRNFIKKELALQLKDDLLAAVKSNRSSEAYTFDHDSMARRALKNTCLAYLASLNEPDFIELALHEYKSATNMTEQFAALAALSQNPGQVRDDALLDFYNKWQDDYLVVSKWFALQATSEIPGNVANVQKLLSHPAFDMRNPNKVYSLIGGFCGSPVNFHAKDGSGYKFLGEIVLQLDKINPQVASRMVSAFSRWRRYDKTRQDLAKAQLEMIVSANGLSENVYEIASKSLAD >Sspon.01G0044920-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4C:56269743:56270072:1 gene:Sspon.01G0044920-2C transcript:Sspon.01G0044920-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMLRWCCGHTRRDRVRNDDIRDRVGVAPIEEKLIQHRLRWFGHVQRRPPEAPVRSGVLKRADNVKSGRGRPKLTWDESVKRDLKEWNIPKDLAMDRSAWRLAINVPEP >Sspon.07G0032550-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:51226579:51227577:1 gene:Sspon.07G0032550-1C transcript:Sspon.07G0032550-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPPPDLQIDLNGPVPVLGIDLNDPVVEEDDEEGALEEWNTAVEVYLPNPNDWEDVSDLNHLFWGPNEDDFFSNEGGERLDADQPELPDLNLNVSGGDEAEDLLDLNVSGAAAATYDVLGPQHVAATQKQGAFVLPCFTFSSRSPSKAEAEQEATDCYEPSQHDNQAIAFSSAFNGLSLRDDHPSSSATAIKATSSNT >Sspon.04G0025500-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:27363846:27366575:1 gene:Sspon.04G0025500-1B transcript:Sspon.04G0025500-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHVEPTAVGADQAGEGAVVPHGDVVGGSGGEVATTEAQVAVAVSTSGDERRGDYGDDAENEEEEEAATVQGSKEGTEELLRKVRRGAYKLYCDYGHRMGFSIRKGKQSYFTGTKRIRTKDYFCSKEGLKEGEKLTDTNFNDPHTRTNCRAMVRFRVNDQGEWKVIRLVSDHNHNLARPEERHLLRSARSLIAGRSKFEETWAQMLCEFKVQDNKWLKKLYKLKQKWCSALNKCTFDGGIEYEPQCDNMSNIFNNVYDKLTSLCAIVVAVDKQTEDWREKELDEDARCLQKPPACIIKHSDILNHAAKVYTHRIYKLFETDFLDGCGATKFKEVPYAYDLVTKSQGQEALRKVLWETLESGEKELERENY >Sspon.07G0030380-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7C:6312823:6313386:-1 gene:Sspon.07G0030380-1C transcript:Sspon.07G0030380-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFAPPGAIVVARAPRAASLSSSLSHPHFVCSRSSLMPSVLVSHKFEGASPSRAGTVPLPLISTAARHASAANSAPSASDLLRVRYTMGSGSGSICLRARVAAVAARTCAYTLAMTARSRGHGGDSSPPTHSDHGGGGSPTVAAPTRTPAQRWRPAPR >Sspon.02G0017860-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:48399838:48401608:-1 gene:Sspon.02G0017860-4D transcript:Sspon.02G0017860-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVVDGNGGSRLVVTELGHVKELARQLEVHLGGSSPDLCKHLASQISTIAERSISLLITTSNLVGARKRSAVASPLSDASDVPFIKATKKRKTMDKKRHEVRVSSAGDHPADDGHSWRKYGQKEILGAKHPRGYYRCTHRHSRGCAATKQVQRTDEDPTFFDVVYLGDHTCVQSQRAAAGQAATDELVPEYNDKPVTNLTVKTEGPTLSTTPATASGRLVPERSPFSAPSTSENWGVSPATSDSNHVVSFPPFEVAADDVQFGFEEVMSVIDRADGDGFVDDLDIDVSSFFA >Sspon.04G0012670-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4C:61638094:61640048:-1 gene:Sspon.04G0012670-2C transcript:Sspon.04G0012670-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LIGWTPLIELKNIAKKDGIDARLIGKIEPYQPLSSVKDRSALRLIEDAEEKSLISPGITTLVALTSGNLGIGLAFVAAQKGYRFVAVMPAKIAIDKQILLRYMGVEVILVDATINGFKGLVDRVEQLKNEMENVYVIDQFNNPANPDAHFRWTVPRGPPWDGSTCH >Sspon.06G0019120-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:81166769:81170600:-1 gene:Sspon.06G0019120-2B transcript:Sspon.06G0019120-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptide chain release factor APG3, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G62910) UniProtKB/Swiss-Prot;Acc:Q8RX79] MEAFLAGLFRAPPRAVPGPRGTPLSGLPLFHGRRRRRPSSSVVCMAEPYLIAKLDSAEKAWKEMSVRLADPDIVSDPSEYQKLAQSVSELDQVVTTYRQFKDCERQLEETKALEKENEDDPDMSEMIASELEALSNQLAELEEKLKLLLLPSDPLDSRNILLEVRAGAGGDEAGIWAGDLVRMYQKYCERNNWKFKPVSCSEAEMGGYKTYVMEVKGKQVYSKLKFESGVHRVQRVPLTETMGRVHTSTATVAIMPEADEVDVVIDPKDIELKTARSGGAGVLLIPVQLKVGTGARSEKIRTYNYKDNRVTDHRLKMNFELTSFLLGDIESAVQSCATMEQQELLEEMASSVGAVNV >Sspon.05G0015030-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:57647568:57649666:1 gene:Sspon.05G0015030-1A transcript:Sspon.05G0015030-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQGRNAGVLALFDVDDTLTAPRKVPRVSLESCLRINPAPRMNLLLLLLLLPVTPEMLEFMRQLRQHVTLGVVGGSDLVKITQQLGKSVFTDYDYVFSENGLVAHKNGELIGTQSLKSFIGEDKLKEIINFTLHYIADLDIPIKRGTFIEVRNGMINVSPIGRNCSQEERDEFEKYDKVHSIRPKMVSVLRERFTHLNLTFSIGGQISFDVFPQGWDKTYCLRYLEEFQEIHFFGDKTYKVGGNDYEIFVSDRTVGHTVTSPDD >Sspon.06G0020740-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6B:10740632:10743661:1 gene:Sspon.06G0020740-1B transcript:Sspon.06G0020740-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SRWGGRRGHRTPARSPPAKAGSGAPTWTHAEDSRSKSNLTPFPLTEEVLLESQAPTRRDARQDTHLVLLLEAEGAGEEGGRTGVRAHVGDTPRLQEQREEEGVKTGGVVEEEDEADGDVEEETDDGGLRLWSCGRGATAIGWSASPLHHSFPPQKPRGGPRHQPPPAHAMASTSLAALPSQLTSTGRLRRRAALSSASRSNLLHRATKGGSRCRLAVTCNAQAVAPTSIAQGTPVRPTSILVVGATGTLGRQVVRRALDEGYDVRCLVRPRPAPADFLRDWGATVVNADLSKPETIPATLVGIHTVIDCATGRPEEPIRTVDWEGKVALIQCAKAMGIQKYVFYSIHNCDKHPEVPLMEIKYCTEKFIQDSGLDYIIIRLCGFMQGLIGQYAVPILEEKSVWGTDAPTRIAYMDTQDVARLTFIAMRNEKANKKLMTFAGPRAWTTQEVITLCERLAGQDANVTTVPVAVLRFTRQLTRFFQWTNDVADRLAFSEVLSSDTVFSAPMNETYQLLGVDGNDILSLEKYLQDYFTNILKKLKDLKAQSKQTDIFF >Sspon.07G0032410-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7C:49536763:49538819:1 gene:Sspon.07G0032410-1C transcript:Sspon.07G0032410-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DQLGYTTSGRVRHVVRNGVDEDTHVWSRTTTLAADEEELAFLFDDELGLKRTLVLVDYDKFLTKAMMLALSEVY >Sspon.06G0026650-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:80365318:80374164:-1 gene:Sspon.06G0026650-1B transcript:Sspon.06G0026650-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASGSRQERWSLDSATALVTGGSKGIGHAVVEELAGFGARVHTCAQSAAELEESRRRWAEKGLVVTVSVCDVSVSADREKLMDTVKATFGGKLDILVNNAGQTFLKSAAECTAEDYSHVMATNLESSFHLCQLAHPLLVRASIAEGGSVVHVSSIASYLGYPGLVLYCISKGAMNQLTRSLAAEWSQDKIRVNCVAPGAVTTDILKQVEPEFLEQEISRVPMQRCGEVEEVASVVSFLCMPASSYVTGQM >Sspon.07G0003010-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7B:6940509:6944900:-1 gene:Sspon.07G0003010-2B transcript:Sspon.07G0003010-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSQRSSPHLTDRRPLSLHRAPAQPPAILPLHRAICPPRRSPPRPAAFSIEVARHSAASSSARELRINEPPFVQGSNCWREGWREGSGGAQTPHRVGWGRRHRVGSEISFSSRIAHQLPPGKGRAASTKAAHACSLLRRRTATTATVHHHHLLLPAHAGASFSRPLLSSHQPLTSPTPEAVHPVPASACAQQRRRLELVGGATMAVRLPLVLAAVAAALLLLLCHCGVGAEARVLLTLDDFGAVGDGIANDTQALLDAWTAACTSSEAAVLAVPVGKAYRIWPVQLSGPCKKKLKLLISGKIVAPSSPDEWAGRDPMKWLYIYGVDGLSVSGGGTIDGMGQQWWASTCKRKKTQPCYSGPRPKVNNDAFLCSLPVTGSLRHASSTPAGGEGEPAVHFEECRGVSVQGVTLQNAQQFQLTFTRCSCVKASFLRVIAPADSPNTDGIHLNDTSHVHITDNLISTGDDCVSMVGNCSDVHVKDISCGPGHGISIGSLGKNRTTDMVENVRVDTCLLTNTTNGVRIKSWQGGMGFARDLRFDNIVMKNVSNPIIIDQYYCDQPTPCANQARPPATPHHSSFSLNFSTQAVEVRKVEFANIRGTSATAQAISIACSDTVPCRELELENVNLTVEGGGRATALCYRASGKSVGTVVPPSCLAKLTPLDVPRATPPHAGTARAPSAVLLPRLDVYARSVAPRSRQLRQASPSQQHLIYF >Sspon.03G0043270-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3D:44795648:44796755:1 gene:Sspon.03G0043270-2D transcript:Sspon.03G0043270-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGRSDTCEVDGDVRTNGTALSVTLVPASRSERREWMIRPYSRRFASLRKVTVTQLQDRAAAAPCTVTHDVPAVLFAIGGYAGNYWHDYADILVPLFVASRRYNGEVKFLISNIQFQPRWLVKYRAFLKGLSRHDAVDMDGDAQVRCFPHVTVGLRLDKEFSVVPELVPGERRLTMADFTRFLRETYALPRGAVASRDKKPRLLLIHRGHYRRMTNEAEVARAAEAAGFEAVVAELGGGDGGGGAGAGGELVVPYGKMEHIARAEFSEPATDMGLKYLDYSVSAEESSLMETLGPEHPAVKDPDSVHRSGWDQVFELYLAKQNVRINVTRFAPMLAQALDHLR >Sspon.08G0002000-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:4501606:4503351:-1 gene:Sspon.08G0002000-3D transcript:Sspon.08G0002000-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGMSRPRRRGFGLEASRGMLPLLALQVLIEYGRAGASRPPVTAALLAANSLIYLRPGALDGVLPSLSRVSFNPQLIVEFGDLTRFFLSPFYHLSESHLFYNMTSLLWKGIQLETSMGSAEFASMVAVLLGLSQGITLLLSKGLLLLGDDTAYYDQHAVGFSGILFAMKVVLNTWSDDFVYLHGMVIPAKYAAWAELILIQVFIPGTSFLGHLGGILAGLVYLWLKRAFNGPDPITLLISSVADVVTWPVRFAQNLLRSARSQGRATGRGRVGRHISARDSPRGLWRCPSCTYDNSVSTDICEMCSTAREDHAFLRRQHHQARGNAELSVDEMRRRRLQRFDR >Sspon.01G0002610-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:7317025:7318131:1 gene:Sspon.01G0002610-3C transcript:Sspon.01G0002610-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHEQQERGSGHLLLFPFLAQGHLIPFLNLAKRLESLGQRGGSGQRRLAITIVSTPRNVAGLRRALPAGSSIGFAELPFSPSDRGLPPDAESADAVPLHAFPTFSIATELLRPSFEKLLTELAGREGRGNVCVLADMFLGWTAEIARALGVQHRMFLTNGAYASAVIFSIWLRPPSFPRSADPDDELALADFPDVRVQYVDFLNVVLNEDYGTDRSDPMVAYLCRMINLHFRHSSGLVINTSEEIEPKGLHLIGKLSGLPTFAVGPVIGVGGRTATDDTAPDQDMCIKFLDSKPQACVLYVSFGSQNSIPASQMMELARGLEASGLLALLHRLHRRQLNRQQEQLFHVWQKKKEKMGLGRKGRLGPRQ >Sspon.02G0015230-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:35630925:35635943:1 gene:Sspon.02G0015230-4D transcript:Sspon.02G0015230-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTKQGKRDVDAYTIKGTNKVVRVGDCVLMRPADTDKPPYVARVERMESDGRGGVRVRVRWYYRPEEAKGGRRPFHGAKELFLSDHFDTQSAHTIEGKCIVHSFKSYTKLDNVGPEDFYCRFDYKAATGAFTPDRVAVYCKCEMPYNPDDLMVQCEGCKDWFHPSCMGMTIEQAKKIDHYMCSDCAKENGAKRPSNSYPVSPNSDSKALGFPPILTLPPPQLFLSSHGSESRSESQPLRPRRDLFSSLGRNPGVYDNWMDCHAQVNGFASNLYQQYKTWEEANQTWLLYKCHQDQATEIKAAIAETCKAREKPPTVNCSKDLIIILLVIESKRRKR >Sspon.07G0014010-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:49986685:49990827:1 gene:Sspon.07G0014010-2B transcript:Sspon.07G0014010-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVSVPAAVLAVCAAAAALLLLAPAEASHHLKLGYYKETCPGVEKIVKYHVAKAIKANRSAGAALVRLIFHDCFVRNFTVEELVILSGAHAVGVGHCSSFRARLSSPPAQIVPAYRNLLSAKCAAGPDPVVPNNVRDEDPSVVAASFPSFLKKLRKAKDFLDNSYYHNNLARIVTFNSDWQLLTEKEALGHVKEYAENGTLWDEDFSDALVKLSKLPMPPHSKGEIRKTCRW >Sspon.04G0009950-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:29696359:29702438:1 gene:Sspon.04G0009950-1A transcript:Sspon.04G0009950-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DARRGSSHVEQRRRRRRRRCWLQQRDHLHGGDELPRGGLRRAHLRLRHQHHRWVDADGVVPEGFLPRHLGEDEQRDAGRVLHLRQPAAHHICLVSVPRRHVRVPGRRPHHQEDWAAELDAHRGLALLRRLCAQLHRRERGYARHRARPPGLRCGVHEPVRAGVPGGDRTGEVARGVHQHLPLLPERGHVRGRPGELPRQHHRRVGLAPVPGRGHRPGHRHPGGRDVHPGLAQQPGAAREARRRPRVAAAHPREVRRRGRGAEGHHAGGGGGPAVRVRRVPAHRPARVPAAPGDGHRHPGVLRADGHDRGDALHAAALLHHRVHEPEGDPGVHHHRRGEPGVHRRRRGGRGPGRAAVAVHGGRGHPAGVPGGHGVDLRRPAGHRRREGDAAAVRRGGGGAGVPVHGRLRRVVGPAQVDHPQRDLPAGGEVGGAGDERGHLAGAHLRADAVLPQHALQLQVRRLRVQCGLGGGHDRVHLLLPAGDQGRAHRVTPRGVGAPLVLEALRQAAAAAAAVSAQSGGWAGL >Sspon.01G0039760-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:36254181:36255940:1 gene:Sspon.01G0039760-1B transcript:Sspon.01G0039760-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKQSCCHKKKLRRGLWSPEEDEKLMNHIAQYGHGCWSSVPKLAGLERCGKSCRLRWINYLRPDLKRGTFSQEEEDLIIHLHSLLGNKWSQIAAQLPGRTDNEVKNFWNSYIKKRLRERGIDPATHQPLTEPAAAAATATTTVSSRAVFGDVDLIPTTTPIQAAPFEGPSMLDGVTKLPVELDWPIAGDGVPSSSLSRPCYFQRACFDMDALQQQHCGSIPPAPVVPSASSSSTLTSMAEAEHCNTNITGGSLPWLELGASAVADAGHVDSCYAGALDELRWSEYFDSAFQAAASQQGALQAAGQCVYGGKDDVPVHFDVHGLSNWC >Sspon.05G0027140-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:47787814:47792975:-1 gene:Sspon.05G0027140-3D transcript:Sspon.05G0027140-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSQLHSTLLLLPLFAAAAWGRCGGGGASTAPSLEFHHRFSAPLRRWAEARGRALPGGWPAPGSAAYVAALAGHDRHRAVLGAGGGSSGTPPLTFAEGNATLKVSTLGFLHYALVTVGTPGQTFMVALDTGSDLFWLPCQCDGCTPPATAASGSATFYIPAMSSTSKAVPCNSNFCDLQKECSTALQCPYKMVYVSAGTSSSGFLVEDVLYLSTENAHPQILKAQIMLGCGQTQTGSFLDAAAPNGLFGLGIDEVSVPSILAQKGLTSNSFSMCFGRDGIGRISFGDQGSSDQEETPLDINQQHPTYAITISGITIGNKPTDLDFITIFDTGTSFTYLADPAYTYITQSFHAQVQANRHAADSRIPFEYCYDLSSSEARFPIPDIILRTVTGSLFPVIDPGQVISIQEHEYVYCLAIVKSMKLNIIGQNFMTGLRVVFDRERKILGWKKFNCYDTDSSNPLSINSRNSSGFSSSTTEDYSPQETRNPGGVSQLRPRTIHHQQPYMIACS >Sspon.04G0008360-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:24067351:24069064:-1 gene:Sspon.04G0008360-3C transcript:Sspon.04G0008360-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAALMPPLLVSLLLCLLAAAAPAASAARAFFVFGDSLVDNGNNNYLLTTARADAPPYGIDFPTHRATGRFSNGLNIPDIISEHLGAEPLLPHLSPELRGEKLLVGANFASAGVGILNDTGIQFVNIIRIGDQLQYFRQYQQKLRALIGEPQATRLVNQALVLITLGGNDFVNNYYLVPMSVRSRQYALPDYVRFIISEYRKILSRLYELGARRVIVTGTGPLGCVPAELALHSQNGECAAELTRAVNLFNPQMVDMVRGLNRAIGADVFVTANTYRMNFDYLANPQAFGFTNVQVACCGQGPYNGIGLCTAASNVCANRDVFAFWDAYHPTERANRIIVSQFMHGDTDYMHPMNLSTILAMDQEGL >Sspon.02G0028160-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:102190840:102192457:1 gene:Sspon.02G0028160-1A transcript:Sspon.02G0028160-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ELSDIKSALNNEIEQLRSDFQELRTTLKKQQEDVSLSLKNLGLQDATENDANKGNGEDTNEVLSANLGNLKLDGSSENYDESRGSKEDKVENTDTADFVIVDKATEEESSTDEAGN >Sspon.08G0021790-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:45945550:45946497:1 gene:Sspon.08G0021790-2D transcript:Sspon.08G0021790-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSLARLGQSGETQHSRHYAYQQFARHLNSSTAQRSPTTCAPYIYIRTRPWTAEQQTNRLLFHSTSTYVFQPRTPMDRSNVAAAGAVSVEVRGGGGSPPAGAGGRKRRAVARGVQSTLSKTSMLANFLPTGTLLTFEMLLPAASGDGSCSAVSVAMLRALLALCAASCFLFHFTDSFRAPDGKVYYGFVTPRGLSLFRTGLGVEVPREERYRLAFVDVVHAVMSVLVFAAVALADYRVSGCLVTGHRKEMDEVMESFPLMVGAVCSGLFLLFPNTRYGIGCLAA >Sspon.07G0027080-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7B:58816218:58816603:1 gene:Sspon.07G0027080-1B transcript:Sspon.07G0027080-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding QSTDPRAPLNINDELAKNTRLRQQLKGDMHDRGRDVHSVILHEGHPQPRLSQNLTAAMRAAADMLEPSSEEGLHRVWEIKDLLAVIVAQLPEASGTQSRQHSGARDHLREGHGEHQPQEPRRHFVHDR >Sspon.04G0026850-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4C:53811554:53816388:1 gene:Sspon.04G0026850-2C transcript:Sspon.04G0026850-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLAAAAPDLQVDEAVRRRWWPWPRKCSSNTSRRKALLAICVGATVIVVLFAGGSSPDRMLHSLLFHHLQGFEDKRPFNLTADHLLDGLLTAEFGYRSCRSRYEFAGYHKKSSHQPSPYLLAKLRKQEALQKRCGPGTVAYKKALRRLESNAADVEDDDDCRYLVNISFRFRGLGNRMLAVASAFLYAVLTERVLLVNGGKDDVADLFCEPFPGATWLLPRAGRRSPLRKLDDYHGGSKESLGNMLQRGAAGVSADGYMSWLSPPQPPPYVYLHLAGEYGFHDKLFYCGEHQQTPPRSAVAAHEGGRLLRAGAVPHAAVRRRARGHVPGERRRVLPPGYYSANLAGADRLVGIQIRVFQEKQPPQQILDQLLSCVRDEKLLPETTTKDDNGTSSSYSVLVTSLSSWYYERLKGEYGGRLAGGVHQPSHEGRQRLRNEAHDVKALSEMYLLSMCDVLVTSGFSTFGYVAQGLGGLQPWVMSRSSPWEEWTEGQAAPQPPCQRTLSVEPCFHSPSSYDCTARRDVELDK >Sspon.01G0017750-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1A:65750123:65753210:-1 gene:Sspon.01G0017750-1A transcript:Sspon.01G0017750-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSISYPPDDYLPAVEDNMGRLFIRSLSFDDMEAAAESPLSSPSALPLAFGSGKLIIEGSLSFKRREADSIQMQNVLSISPKPPDRETCNIISAGAATSGSSRLGPIGDRPPDYDYPMVGMDSPKHQAAAVRLQKVYKSFRTRRQLADCAVLVEQRWWKLLDFALLKRNSVSFFDIEKPETAVSKWSRARMRAAKVGKGLSKDEKAQKLALQHWLEAIDPRHRYGHNLHFYYHRWLHCQSKQPFFYWLDVGEGKDVNLEEHCPRSKLHKQCIKYLGPKERENYEVIVEDKRLMYKLSRQIVDTTGSAKGTKWIFVLSTCKTLYIGQKQKGVFQHSSFLAGGATSAAGRLVVEDGILKAVWPHSGHYRPTEQNFQEFMNFLKERSVDLTNVMLSPSEEGEEDGDFSLRGSHSQLDLTQLCQQEDSQEQEAESAQRHGKDEAEAETCSHEPTLPTSTETCSMPATTIRKTSSDNRLQGKRPPRLLISSNNNIAPLPPTTHSSNARPSPGVKDVDPDSAMLGECLDFCKRNLFAEDGYEDHYLDDLAEVPEALILSRINSKRAMHSYQLGKQLHFHWSTGAGPRIGCVRDYPSELQFRALEEVSLSPRGGGGRPARFPSPRPGALTPNSIPAAKCGSLMAEGDGVHASLKPRQRSATWTAF >Sspon.04G0000060-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:622980:625445:1 gene:Sspon.04G0000060-1A transcript:Sspon.04G0000060-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Deoxyribodipyrimidine photo-lyase [Source:Projected from Arabidopsis thaliana (AT1G12370) UniProtKB/Swiss-Prot;Acc:Q9SB00] MPPASPNLVHPSRVRVLHPGGGHMPGPVVYWMLRDQRLADNWALLHAAELAAASAPAAPLAIAFTLFPRPFLLGAHLRQLGFLLRGLRRLAADAHARGLPFFLLEGGPAEVPALVRRLGASALVADFSPLRPVREALDAVVQELLRDAADMAVHQVDAHNVVPVWAASGKLEYSAKTFRSKVSKVMDEYLVEYPEMPGWAPWCMEQPKGVDWDALINSIFSEAENVPEIDWCEPGEAAAMEVLLGNKDGFLTKRIKSYHIGRNDPTKPHALSCLSPYLHFGHISAQRCALEAKRCRHLSPKSVDAFLEELIIRRELADNFCYYQPHYDSLAGAWEWARKTLMDHAGDKREHIYTREQLENAKTSDPLWNASQLEMVHHGKMHGFMRMYWAKKILEWTSGPEEALSVAIYLNDK >Sspon.03G0036970-1P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3D:56985404:56985881:1 gene:Sspon.03G0036970-1P transcript:Sspon.03G0036970-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding WRRPWRRCTGGSSRRRRRWTSLRRRASASSRRPWRRAPWRASSPLVSVFQTQSEPAFCGLASLAVVLNALAIDPGRRWKGPWRWFDESMLDCCEPLDKVKAEGITFGKVACLAHCSGADVQSFRANRVTIHDLRRHLIRCVSSQDCHLIASYHRKAFK >Sspon.04G0014630-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:60952686:60955737:1 gene:Sspon.04G0014630-4D transcript:Sspon.04G0014630-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGDHNSQTNGGHDQRAMEEGRKEEYADQGCAAMVVSVPFIQKIIAEILGTYFLMFAGCGAVTINASKNGQITFPGVAIVWGLAVMVMVYAVGHISGAHLNPAVTFAFAASGRFPWRQLPAYVLAQMLGAVVASGTLRLMFGGRHEHFPGTLPTGSEVQSLVIEIIITFYLMFVISGVATDNRAIGELAGLAVGATILLNVLIAGPVSGASMNPARSVGPALVSGEYRSIWVYVVGPVVGAVAGAWAYNLIRFTNKPLREITKSTSFLKSMNRMNSASA >Sspon.02G0006540-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:13122165:13124292:-1 gene:Sspon.02G0006540-3D transcript:Sspon.02G0006540-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLVAKMGGGAKAFGAVVLIRLMYSGMHVMSKLALDQGMNPLVFLFYRHTTAALVLIPVTFVLERRKAKPVTFKIGWKMFIHALYGVTACGDLFNLGLNYASATSSSALYNVQPVVTFILAVIFGMETLKLTRFHGKVKFAGILFCIAGVTILAFYQGPMFRSFNHHHLFQNGSASGEAGDAQPKKQWVLGIFLMTLSNVLAGLWTVLQLSWRSNSIVFLLKEKSNLIQLFKLMNQGPLIEDTSKLMNTTLQISWASLQAFLVAVAVERDFSKWKLGWNVGLAAIIYSGVMVTALSYYMQMWTIAKRGPVFLAMSMPLTFVFTILISSFIIGDAVSLGSIFAGALLVGGLYNVFWGKSIEERDDLNKISAAAGKPGGLELPQQLDKADEASQEVQDDDAEAK >Sspon.08G0017850-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8D:36884720:36886149:1 gene:Sspon.08G0017850-2D transcript:Sspon.08G0017850-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTFYHGLTQKARECLDASAKGSFLELTIGQAETLLEKISENQGWFQDKAQQCHQTEEIPEEVHAISTNEENLLHWIDQRAKFKEDQRVIETAHKPTNTINKRVELKLAKLAAALPVATNLEQVKNIITRGGKATKDPPFPKERQRKPASVQPAVIEENPVEAEELLRSPRTGEMMKDFYDTNYLPFPRRNRGPQSDEQFGKFVEVIQKLYVNIPLLDAIQVPTYAKYIRDILNKKRPLPTTEVIKLTEECSEAILNIPLRKKKDPGCPTIDCSIGDQHFNNALCDLGASVSVMPASVYKKLKHTTLEPTSMCLQLADQSIRHPMGIAENIPVKIRDFIIPVDFVVLDMSPDSKVSIILGRPFLSTANTHIDVGKGEIKFNINGQEEHFTFKPRPERDSTVKEVHEEEPLEAPSPEE >Sspon.01G0059420-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1D:51874512:51874892:1 gene:Sspon.01G0059420-1D transcript:Sspon.01G0059420-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAARGSCIRRQHDSPHAYDGPTVPATFSSTSSVKPLLRRATKGRLEKAELAGIGMVVATLLLLCAASLRCSAATLLLLWAGGVSISMPMEAVVDVDKRSVSAPATTTSLTGVGLRHRGACLYAGH >Sspon.02G0053910-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2D:94455546:94456874:-1 gene:Sspon.02G0053910-2D transcript:Sspon.02G0053910-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDGARCDGRRSATQCGMGAPPRGGLGRADDVVSYDEQSNELEISVGCRSANRVDRGESVDGPVGPLAVLEQARSRAYDFFKIPNIIPNEARSSWKAAALRSCLKLYGGVVPALQWAARSVAAGRFRGAREMMQAAQYVPAGCDGMVDGGAALPSENEGFATMATVAHA >Sspon.02G0029170-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:106033240:106034199:-1 gene:Sspon.02G0029170-1A transcript:Sspon.02G0029170-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AKRINFFLRSLVSRVISLSLAASFPCARRRSLARPSRCFLSPAGRHFLSPAGRRLSLQSPPLAAPCSPLSAPPPSTAPRGGPRTPRGGRRLELEEARLHRARAPSRGRRRQIQRHPSPPPRLTPLDAPPASWPSSPNPSPTAAYGGGSSTSTTVACETLRPPKCA >Sspon.08G0021370-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:38130882:38133341:-1 gene:Sspon.08G0021370-2D transcript:Sspon.08G0021370-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTWRHDAGQSQSPAAPSPSASINPMDKVMQRAVVFTTVLMAILGGTLCVVGLERLIRGRFTLFSVVRFLLRFTFILFLPLLSYMRAKAKDDEILFVLLWMLLIELFRKKVEAMVPSASSGSFSRSASRFRLMGHSDEVTRLVWIGFLIYSNVDWQKKAVMLPMFAILWSLAVAKLVQRVLNEWKAQDSLSAAGSANLIAGYMQHVREQEEEEEGWPGRRHSDGGSSGGSGAGGGASPDADLDTVMGKCQYVVMGEEKLVLNKKERGRRQTTGSKVLLTTPHCGYGVGRFPHDQDELKHVHLLVDLDKVKNLVTVKDIWQNLGRWLALFGNRRPKFIDHMRLMCLSFSLFKLLRRRFEHYPMVEVGSKMARRLMVEGLLNDHEPVAADNASRAFRVIQLELDFLDNYYQAGVPVVMSAPWLFLINFLSSLLFATLPSQAKVAIVKDLKAINLDTGVVNLPHDDISGFDFNIIRGKTATEIILAYHLATELLEVKHGKPNKKKKQQLKQKEQKPEDWDHQTVATTLSRYCMYLVARVPELLPDDERWVSDRYEDVKSCLKEATWCCPWRRAGRWKAVAEMKVQLKEATAKAGVELYQQLEKGKRKGKEDSAADDAWKWKVLAEFWVKLLIYLAPSNDVEGHAKALASSGSDLITCLWALCTHAGIKRHPPEPAELHAGTQQ >Sspon.07G0015700-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:55997954:56000618:-1 gene:Sspon.07G0015700-1A transcript:Sspon.07G0015700-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRDERFPVWEAALGAGVAAAFAAGLVGVYLSMPDSDYSFLKLPRNLEELQILTGHLENYTSDYTLQVLVGYCAVYIFMQTFMIPGTIFMSLLAGALFGQLRGLALVVFAATAGASSCYFLSKMIGKPLVFTLWPDKLSFFQRQVAKRREKLLNYMLFLRVTPTLPNTFINLASPIVDVPYHTFLLGTLIGLIPAAYVTVRAGIALGELTSLSDLYDTQSIALLFLIGVVSVTPALLGKDEAQEKPSEMAVGAS >Sspon.05G0005930-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:10737847:10738728:-1 gene:Sspon.05G0005930-3C transcript:Sspon.05G0005930-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLNPASEALVLDTIRQHLLEEPAPAAEARPADESFGSLVADQWSGSLPFRTDDADDMVVFGALQDAFAYGWLPDGSFAHVKPEPIAAAAAAGDKRPSPEPATSSDSSFSSCSSSTSSSSTSGSPKRRKRGEAAAANMAMALVPPPSQLNRPVQPWFPAAPVEQAAMAPRVEQLVI >Sspon.01G0006290-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:13024828:13028478:-1 gene:Sspon.01G0006290-3C transcript:Sspon.01G0006290-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASITLLSVAPTATFLHIPASTSSSFVAAPGILAGRRAAPRALPLRARPPRRVTVVCSGAAAAAEASDAAAPVEKFRLDNLGPQKGSRRRPKRKGRGIAAGQGASCGFGMRGQKSRSGPGVRRGFEGGQMPLYRRIPKLRGIAGGMHIGLPKYVPFNLKDIVRGGFKDGDEISLETLKSRGLINPSGRERKLPLKILGDGDVSVKLNIKAGAFSASAKEKLEAAGCSLTLLPKRKKWLSQNYLKNQARAEEYFAKKKGGAGESDSGSA >Sspon.08G0017210-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:294138:297996:-1 gene:Sspon.08G0017210-1B transcript:Sspon.08G0017210-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSKAIGVISGINEFGNLFQLVTSAVSYMRSKWNGSQEKQQLKQEDLLQMESDLQRLTDTLPAMYNLIDRAEWKIHVPSVAQLLPKLKDAVYDAEDLLDEFRWYKLKLEIEGNADASQMSPFIDFVHSVTHGSFNKVTNIQTRLDRLSAQLEKMGMHEATPRFDQSVRPPTTSFRTETKILGRHKELQEVMRLLGVPASDHGSSSRCRSASKRKRTASSATDSTEPIRIPSVPVLPIVGIGGVGKTTLAQEITTHPSLKSHFDNNIIWICVSDDFNEERFTKVLVNSLSRKETTANNLDDLQRVLAEEAGKRRFLLILDDIWPAALNDGQRWRKFCAPLTKVLKGSMLLVTTRFAEVADIVGTMESFVLEGLKEDVFWDFFKLCVFGSEDSHIDPQLEQIGKSILVKLKGTPLAAKTIGRLLRKSLTSAHWNDILNNELWQIEQKETDILPALRLSYMYLPFHLKRCFSFCAVYPKDHNFKKDILAEIWVAEGFVEPQGSIPLQHISEQYFEDLVNLSFFQKLRGKYVIHDLMHDMALLVSKDECFIVKNTSGIEKVPPNVRHLSILSSSGVKCSDLMCLGKHTKLRTLLCSRYFRNQTSSLMDRWFDELGCLRVFFCAFEMENLPERIGNMKHLRYLGISRSCHFNEVPSSFWSLYNLQILYAKKCTFKRLHIGASKLINLQKFESHIPETKVDAAKLVEQIGYINNFPGKKKLVIYNLGAISKDHAAKVELRKMKDLNSLSLSWISFRSPEHNEIKVLEALQPPISVESVHIEGYPGEYFPTWFPGSDGLNAMPLSRTTSSSVTKLSIERCLNLSDCTLQPACIPGINKIEITHCTSVKLVRIEHLEGSTCSLKELKVYNCPNITYLLAPSIRKLELNNSGSLGDSSDCSSLTILSSLTEELSIEGCENLSDCRLDVPAIRKIEIAHCRNVKSVRIEHSEDLTSSLEELNVYNCPNITYLSAPSIRKLKLKNSGSLGDSNDCSSLTISSALTELSIEGCQNLSDCRLDVAAIRKIEIAHCKNVKSVRIEHLEDSTCSLEELKVYNCPNITYLSAPSIRKLELKNYGSLGDSNDCSPLTILSSTELSIEGCQNLSDCRLDVPAIRKIEIAHCRNVKSVRIEHLEDSTSSLEELKVYNCPNITHLQAPSIRKLELYSSGNLGDSIDCSSLTILHLSCDHLTSIDLQNCSLPVLQELKISNCPCLFYVRTSGSEHSLGWTRLSTAKLPFLTHLTMERCYKLISVDDLLTHEYLPAIKELTISDCPRLNWRSGMMLPSSIQKL >Sspon.01G0004600-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:11521479:11522205:1 gene:Sspon.01G0004600-1T transcript:Sspon.01G0004600-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPRAPSLFHLEERVGASTSAPAAMAASGGELLVGLRLIIQPSPRKQLPTVLRTSAVRIPALATTSAANDARVFAGLEFLKRCSCCHKDLDATMDVFVYRRARLLQRRMPVPPYSQRGAAGDGNAATRSTDGTRRRPRCRDQIGTSHCCKPLHDNSTV >Sspon.02G0004410-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:13838000:13840739:1 gene:Sspon.02G0004410-1A transcript:Sspon.02G0004410-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLFVESLPGPKVFKCKYCRVHSASPDAILSKDFRGRHGRAYLFDSVVNVSLGPNEDRYLMTGLHTVNDIYCSCCQRLLGWRYEKAYNEDQKYKEGKYILEKSMMLKEGW >Sspon.01G0013800-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:40485059:40485989:1 gene:Sspon.01G0013800-4D transcript:Sspon.01G0013800-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSAMLQVFGQPASTDVARVMACLLERQLDFELVRTDTFKRGHNKLPEFVKMRARSKIPETPADRILIGTRQSAPNSRDICRYVCTEFPRWCTRDLYGAGALERASIEQWLQAEAQSFDAPSSALASFHLAFAGVGAAPAPYVGGDVAAAAESERQLLRVLDVYDGALGRSAYLAGDEFTLADLSHLPNAHYLACSARGRALLASRGNVARWYAAISSRPAWRQVVAVQPRTAHYPVAFH >Sspon.07G0011950-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:42845164:42845400:-1 gene:Sspon.07G0011950-1A transcript:Sspon.07G0011950-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRHVRPHLCPAQSIASLTLARDVRPPCGLPSSADCRGHRLVDLLSFSLPHKYCQFVIMHVLSFLQDAIVDSNLLAY >Sspon.08G0017360-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8B:1386301:1386615:-1 gene:Sspon.08G0017360-1B transcript:Sspon.08G0017360-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ARVVGKKNWSGLEMGNFIDWGFGGKGIQQYASLPRYGVQDSSLPMLLMAHSLTPPTLAHSLEAARLQAAATALVTGSWRWEDGQRARCERPVQSDEYWHVDYYH >Sspon.01G0014790-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:42571991:42573112:1 gene:Sspon.01G0014790-1A transcript:Sspon.01G0014790-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSTGPPLATPAGSYSAVPTTSLQPAPMPTVSMTPLQPAPMPAVPTMPMQQAPMPTVPMMPLQSAPMPAVATMPLQPARMPTVHMMPLQSAPMRTVPVMPLQPAPMPTVPMMRMLPAPFAVPVHEVWADNFHDVEAAIGYFAAHARCVAVDVHYPGVVHGADHHDLVALTAEQRYATVKANVDALKPLQLGLAVFTDAGKIAAWEFNLSDFDPAIDPHAASSVSYLRGRGLKCDELRLRGIPVAKLTRALRLISRPGVSWVTHTGAYHVAYLMKIVNGGNKLPDDMAGFLAAVRRSLGEDVYDVATMASDCRDMPSGLEGIASRLGVAPPLSMHPLAGAGSVLALGAFMKLRFRVFRGNVTRYRGVLQGLQAA >Sspon.07G0002260-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:5498302:5499925:-1 gene:Sspon.07G0002260-2P transcript:Sspon.07G0002260-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding NMPRQDDKWWLPTVRVPPNGLSDAYRKWLQNQKELVAQVLKAAMAINANILMEMEVPESYMESLPKNRVEASVVIWQKKMTHKDSKLSWGHNVSHEKRGMFEGRAENVLLLIKHRFPGIAQSALDISKIQCNQDVGLAILESYSRTLESLAFTVMSRIEDVLSADLATQDPKNAESMRITSLTSDDTDKVVSDAKAEVEKMRRMEPVSPMLYDYVGPRDQDLSTYIKEGANGPKLTKMSSVANKRFSYLENLGGTRSPIARH >Sspon.02G0012300-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:36454789:36462594:1 gene:Sspon.02G0012300-3C transcript:Sspon.02G0012300-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MERRLFATEKHGGRALYRLHAVTVFLGICLLLCYRATHVPAAGTGRAAWLGMLAAELWFAFYWVITQSVRWCPIRRRTFVDRLAARFGERLPCVDIFVCTADPQSEPPSLVVATVLSLMAYSYPPEKLSVYLSDDGGSILTFYAMWEISAFAKHWLPFCRRYNIEPRSPAAYFAASAKPHDPHALEEWSLVKDLYEEMTERIDSAARSGKVPEEIKVKHKGFSEWNEGITSKDHHPIVQILIDGKNSNEVDNEGNVLPTLVYMAREKRPQYHHNFKAGAMNALIRVSSVISNSPIIMNVDCDMYSNNSDSIRDAMCFFLDEEMGHKIAFVQYPQNYNNMTKNNIYGNSLNVINEVELSGLDTWGGPLYIGTGCFHRRETLCGRRFSKDYKEDWDRGIKTEHCIDKTEEKAKSLATCTYEHNTQWGDEMGLKYGCPVEDVITGLAIHCRGWESVYSNPPRAGFIGVGPTTLAQTILQHKRWSEGNFTIFVSKFCPFLYGRGKTKLPHQMGYSIYGLWAPNSLPTLYYAIIPSLCLLKGTPLFPEIMSPWITPFIYVSVVKNIYSAYEALLCGETLRGWWNGQRMWMVKRITSYLYGVTDTIRKVLGVSQMGFVVSPKVSDEDESKRYEQEIMEFGTSSSEYVIIATIALLNLVCLVGGLYQIILASGESNMAFNVFFLQAILCGVLVIINVPIYEAMFLRKDRGRIPFSVTLASIGFVMLALFVPFF >Sspon.05G0012630-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:5A:39290083:39290316:-1 gene:Sspon.05G0012630-1A transcript:Sspon.05G0012630-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRNASSERRPPARMQQICVRRCMRAFFPCARHGGNFKPSPVQAPMPESDPTAGEPAPRDPEPPQPDPMQQSGGCVC >Sspon.03G0003270-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:23731633:23741682:1 gene:Sspon.03G0003270-2P transcript:Sspon.03G0003270-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPKKAAPSKAELAKKQKVVEDKTFGLKNKNKSKNVQKYVQSLHQAVQPKPDTSKTAAKKKKEEEKAREKELNDLFKVAVSQPKVPVGVDPKSIVCEFFKAGQCQKGFKCKFSHDLNVQRKGEKIDIYTDKRDAETMEDWDQETLEKVVESKKTEYQQNKPTDIVCKYFLDAVEKKQYGWFWVCPNGGKECHYRHALPPGYVLKSQMKALLEEESEKIAIEDEIEDQRKKTKTTTPMTTELFMEWKRKKAEEREAGQAALKAERAKNDRMSGRELFMADASVFVDDAEAYEVYEREEEPEANEESSNKSQDAGPSSSTSNGKEVEEPDDEDIDVDDDLDIDELNELEASLSRTSIQIREPGEGTSS >Sspon.03G0003780-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:26211672:26217054:-1 gene:Sspon.03G0003780-2C transcript:Sspon.03G0003780-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRDDYMDSSCGCSNGTPKRLLQDCSSYAQAHAKKKVRISTRTEYTYAPYHDGYQWRKYGQKMIRGNTYPRCYYRCTYHQDHGCPATKHVEQTNSQDPPLFRVIYTNEHTCCSTHVSDYMASSIHIQQIADASLRKVEVEIPSLTHCLNGHGLIKEENDAIISSLLTAVGGCDVATSDGGHAAVQEETPARMSRGSNEASPSISPVLLPASDNLKMDFMEQLEPQYMSTVMR >Sspon.03G0000470-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:1411586:1427431:1 gene:Sspon.03G0000470-1T transcript:Sspon.03G0000470-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRALCLLLVVLSSSDCPSSCGDVEIPYPFGIGDNCSLHNAFTITCNTSFSRPLRPYLGDFEVISISVEAGELRVFSPVSFVCYDKSSSSSSTPSRSIAWSLRLHSPFLISTRRNVFTAIGCSTQALLLGRKGYFTGCTTTCQSLDLAAEDRAECTGLGCCQAAISGKLDTMRINWDRPNYLPDNQAWTFSPCSYFFVAEKSWYVFSRQDLVGVEGFSSLVGNRTIPLVLDWAVGNRSCNASATAVSACLSTHSNCVNATQGPGYLYINECNLIYNPCGTGSICHDTPGGYKCICKFWYRPSGAGCQRIFSTSTVWRIIATCVLAFLIYLGIREMKKRKQRKFFNKNGGEILKDVGIIIFTEGELKKMTNGYKKIIGEGAFGKVYMGTMDNGTKQVAIKCSFAKSKQLRHDEFRNEITFQFKIDHANVVRLIGCCLETNVPKLVFEFVPNGSLCDLLHGARRQELPLPARLHIAIGAAEALSYMHSHGHHNYVHGDVKSANILLDNDLTPKVSDFGSAKLVSIVNGNAKWCVSGDMNYIDPTLAVSRRRASDVYSFGVVLLELITRKTAKYDESNSLPIDFVKCCKEQGNGRAMYDREILMAHHAQECLDKIGALAVQCLKEDVDERPTMAQVLKQLEQVNIGYTYQPAPAEGEISDPVPLEEIRHLLQHRRLVEVQLVRAP >Sspon.01G0031550-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:108094054:108097014:-1 gene:Sspon.01G0031550-1A transcript:Sspon.01G0031550-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AT3g09210/F3L24_8 [Source:Projected from Arabidopsis thaliana (AT3G09210) UniProtKB/TrEMBL;Acc:Q94AA3] MSSLAYPLLRLPCRCSLAAAPASRLPAPVCLSASASEDAGGELTAREKRAQRRERRELRATDWKEEVQDRLIHEPARRRKKPPKRSWREDLNLDLLAELGPQWWLVRVSMAPGTDYVDLLTKAISRRYPEVTFKIYNPSIQVKRRLKSGAISIKSKPLHPGLVFLYCTLNKELHDFIRDTEGCYGFIGATVGSIKRQIKKPKPIPIDEVESIIREETEEQERVDREFEEMENMGNVGPFSKPVEESELMLMNKIKKQFKKSSSKDGTRHSAFLPGATVHVLSGPFADFTGSILEVNRKNKKATVQLILFGKESFVDLDFDQIEAIEVDDNDSKQ >Sspon.04G0010800-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:35232207:35244781:1 gene:Sspon.04G0010800-2D transcript:Sspon.04G0010800-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine and histidine-rich domain-containing protein RAR1 [Source:Projected from Arabidopsis thaliana (AT5G51700) UniProtKB/Swiss-Prot;Acc:Q9SE33] MSTTTEAAKSGTAAPVRCQRIGCDAVFTDDDNPEGSCQYHPSPMFHDGMKEWSCCKQRSHDFSLFLQIPGCTTGKHTTEKPITKAVSTNPIKATPIQSSKQGVGVDACARCRQGSQPKPQKPAATDDTNKEPVEKSSVPPPKKRIDINEPRICKNKGCGKSYKEKDNHDSACDYHPGPAVFHDRMRGWKCCDVHVKEFDEFMEIPPCTKGWHNADAV >Sspon.07G0002280-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:5520505:5522485:1 gene:Sspon.07G0002280-1A transcript:Sspon.07G0002280-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLPRAAVIAVALLLLASSGEAFDIFNIFRPRSETDYFQNAFEGTQEQTVPTQTEQEERGAAPATATGLTRVPPGGPPSKAAQDTVHLDADKVGGAVGSWTIVSENSGVSAMHMVVMRHGKAIMFDTSTTGRSLMRLPQDNCRIDPRAKEEGTMDCWAHSVEFDYNTGGLRPLKILTDTWCSSGALDTDGNLVQTGGYFDGEKVVRTLSPCDTCDWLELPNSFAEGRWYGTQVALPDGRFIVFGGRRAFSYEYVPFPGKSNDKAVRLPFLRETTDDVENNLYPFVNLLPSGNLFLFANDRSVIFDHKSGKIMRELPKLDGGSRNYPGSAMSTLLPLDLRNVTGDPEPEVVICGGAPKKAFRKGENNTFLPALRDCARINLVRPDAKWESEDMPVGRVMGDMLILPTGDLLLLSGAAKGCSGWGFGRQPILTPILYSPRKAEGPRFRALASSTIARMYHSSSAVLPDATVLVAGGNTNAAYNFSDVDFPAEVRVERFTPPYLSEGAAGNRAEIDAASLPAEGMRYGSPFIFRFSVLSEPTVAEADVKVTMYAPPFTTHGYSMNQRLLILPFTSYVQEGRSYRVCVDAPGKPELAPRGYYLLFVVAKGVPSLGAWVKVR >Sspon.03G0003940-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:16924103:16925999:-1 gene:Sspon.03G0003940-4D transcript:Sspon.03G0003940-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPPAPLPSHAKLPATGASACLPRPRDRHLLVRGWSVTAATATPLVATSSSSGPACCHQYSVATAPSLRASSSASPRRGGGAGLDALTVTASAVRSSVPASPRGPNPAELVAVSACLIFVSAIRSMLVCKRNAEFLEKYFDSAREKLPETMASVRLVGREIGDLAADLSDLSQELTKGVKSSMSIVHTAEAQLRQSPPSALPGELQFDAINLRDNPSNYNLILLGPARRMSNQNVAEEPLLASIVRDLRQLIADIRLGFGAAAGIAGLYMWALKFGSKGRKNRS >Sspon.02G0048710-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2C:27771601:27772026:1 gene:Sspon.02G0048710-1C transcript:Sspon.02G0048710-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLASARPDPDAIARRRNKQHRPPTEKKKKRRTRSPPCPARRKKRNPARPRMEKKDASHAHRSALAPNLADPSTSAPLLAHHHSPPPSYNKEKKVIATSKNIKCNKRKTKNVYFNIQNTSTATAGRICCNKEKKLLQRQKHY >Sspon.06G0017290-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:77947550:77948910:1 gene:Sspon.06G0017290-2B transcript:Sspon.06G0017290-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGFVRRLAGVPWRSIAGDALSRAFLVAQAYCTVHVVDQHLCSLAIVRGPSMLPAMNLAGDVVAVDRVSARLGRVAPGDVVLMISPEDPRKSVAKRVVGMQGDSVTYLVDPGNSDASKTVVVPQGHVWVQGDNLYASRDSRQFGAVPYGLITGKIFCR >Sspon.07G0017360-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:62609882:62616538:1 gene:Sspon.07G0017360-1A transcript:Sspon.07G0017360-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVDDEGAGGGARNQSTMVVVKSEAVRTNTDPPVVDPEPVEDVGGDTTECSSSFGDTCSGVQDAPGDGEPEVNSGMSARADGGTPWKPPRKKVTAEWRNYVRPILWRCQWLELRMRELSSQVSKYDRELALNKKQKELQAASKANGSMSESMQIHKGHGNSIMKRRKRKRHEENLDTPLYINKHQILSYYHDKQNKGAETDGLLIDDDCGSTVPIRGRLDSVTLLDSEDYDVIFEQLSLKDILLTIDGVQSRVHLLRGRLSKAHYEGRNLAFSEGNTHVRVAQKRQHTKKRSSYTECRYTKPQKKKNLNVLLKDDNGPALSRRPSSPDRETDTHIKDANRSAEERSGECKHLREKAITMDLLLGIGNSIPNGYIEDLCKENSDDILIGNQATSDVCQQFDKAKHLPSGTSKELNNSAPVEVKNTCSPVKVDSTCAPVEVDTTCAPVEVDTTCALAVGQESSIEKSASKEPVSSGSKQELNSRKKKRKKGSLFTRKKQRKEASKTPAVKEKTEGTLSAANTQTESTPSAAKEKTRGTPSAATGPQTMTARSAGKKRKSVNEPADAKEHVSGNLFSASTEQKTGKPSSAVKKQKTEKSSTAAKKHGSGNSSSASMEQTIGNLLSAVKKQKTETSFTAAKKHGSGNKSSASMEQKTGKPSSAAKKQKTETSSTAAKEHGPGNSTSASKEQKTGKGSSAVKKQETVSSSAAANKQEAESGSLAKKKQATENSSSKAKKAETAASAPSKLQVEKAVLVAADSRRSQRVRKPKIFAE >Sspon.01G0033310-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:112368251:112369749:1 gene:Sspon.01G0033310-2P transcript:Sspon.01G0033310-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMERGSRADGRNPNQLRPFSCTGNPLHRAHGSARWAQGDTVVLAAVYGPKPGTRKGENPEKASIEVVWKPKTGQIGRQEREYEMTLKRTLQGICLLTVHPNTTTSVVLQVVGDDGSLLPCAINASCAALAFAGIPMKHLAVAIGCGVMDNGAIILDTNTAEEQVEIA >Sspon.01G0024240-3D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:84527103:84528294:1 gene:Sspon.01G0024240-3D transcript:Sspon.01G0024240-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMLQLLFSIAITAFAFFLHPCASTEFRRELYGWSNGIATWYGAADGAGTDGGACGYQNAVDQPPFSSMIAAGCSSIYDSGKGCGSCYQVVCTGNEACSGKPVTVVITDECPDCPCPDEQCEWPGVDVTFSVESGSNPNYLAVLIEYEDSDSDLYSVDIMQQGETTGQWVPMQQSWGAVWKINSGSVLQGPFNIRLTFSSGRVLVASNAIPAGWNAGVAYRSGGVAVTRARPRSGGCRGYEAAGTLSGLVSHHLVVLL >Sspon.01G0032280-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1A:109479544:109480563:1 gene:Sspon.01G0032280-1A transcript:Sspon.01G0032280-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNDDSRCTDNKASIALLRRVRAGDHVHFVHHVDVCDAVPEVLVADLEPVPGTGVEDDGYSRIWYFYHAKKYKNTRGDTSGHRQRAVTGGGGTGWHSEIRRKDVQGSGGGTFCTFSYGRKTEPSSRSIDRMGWCMVEYDFVAADKKQDEAADDSSNYVLCKVYRSPRAKGKSAPVSASSSKNASSKTAKKRKAGGDHPEAPPAKSIQQQEPQVHRETAYCYQPEDAQEVGVNFNIEDFNVDEYTDILEMLQDEPKPDPQPEHDGRFIELPCGPVSAAEVTAGDTRTYGHCSSYAPSWLYTSATGCSSGLLQVQGHDPLQAPAFLSHVLTGAQGFGYYY >Sspon.04G0002490-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:7538631:7540491:-1 gene:Sspon.04G0002490-1A transcript:Sspon.04G0002490-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHRDIAAAGVTPEGKRSMMKLSQRMVSSFCASLSASQLHRWTTLSGPNDVGVRVMVHRSTDPGQPSGVVLSAATSIWLPVPCDRVFAFVRDEHTRCQWDVLSHGNPVQEVSRIPNGSHPGNCISLLRGLNASQNSMLILQESCTDASGSLVVYAPIDIPAANVVMSGEDPSAIPLLPSGFTILPDGRPGASSSSSSAAGPLGAPAAAGSLVTVAFQILVSSLPSSKLNAESVATVNSLISTTVEQIKAALNCASH >Sspon.03G0015440-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:49496544:49501681:-1 gene:Sspon.03G0015440-1P transcript:Sspon.03G0015440-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAPCCEKVGLKKGRWTKEEDEILARYIKENGEGSWRSLPKNAGLLRCGKSCRLRWINYLRADLKRGNITEEEEEMIIKLHATLGNRWSLIAGHLPGRTDNEIKNYWNSHLSRRAADFRDGVVVNVDLSKLPGGGKRRGGRASRGIVAAAKSAAAKENKAKGKKGKDDMGKNNVAAQAEQQQQLKGKEEEDDANVSATPRPQSDCATAAQSEEQAQASASGVTSDGPEEEDTLALSEEMVSALLAAPGSPKLEAGPDGSCMDGDSGGPSGDSVGGPGGPSGDVAQELLDLDDNAIMDWDLMGLDISGADDMWDPLLWYYDDETLVPEPEGGGEGHQQQQDEVMSDLFFLDNL >Sspon.01G0044400-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:82169564:82173130:1 gene:Sspon.01G0044400-1B transcript:Sspon.01G0044400-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding HL >Sspon.06G0003490-4D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6D:8575626:8576274:-1 gene:Sspon.06G0003490-4D transcript:Sspon.06G0003490-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding IRTARDSEILRLKQLLDEKAEKNNSTATGSPGLTPEAIVEKSTPMLPKRKTPLSHGKIKRVQLIENAPHSSPTEECQELSISTAIML >Sspon.02G0045570-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2B:109917179:109921417:1 gene:Sspon.02G0045570-1B transcript:Sspon.02G0045570-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIAIGAARWALGKALGPVSDGLLESWAACSELGPNIRALKLELLYAQGMLDNAHGRDVRSPALGQLLLELRQKAYDADDALDELEYFRVQDELQGTYETTDDDDQGLVQGLVLNLRHTAGAVVSKLKLPTCSCAASVCQHQKPKPKFNRVAMSNRLVQLVDDLKPLCAKVSTILDLELLGTIASKGTTGLQHSAINQTTRTTTPQITELKLYGRDEVKNDLIDGITSKYRANDLTVLSIVGPGGLGKTTLTQHIYQEVKRHFQVMLWICVSQNFNANRLAQEIVKQIPKVDNEKENESAEDLIEKRLQSKQFLLVLDDMWTYHEDDWKKLLAPFKKGQTKGNMVIVTTRIPKVAQLVTKIDWPIRLERLNDEECMHFFEACVFDEKQPWEGHTNLHDVGWEIVKKLKGFPLAVKTVGRLLKTEFTMDHWRRVFESKEWEYQANEDDIMPALKLSYNYLPFHLQQCFSYCALFPEDYEFKREELIHLWIGLGLLGPNDQNKRIEDTGLGYFSDLVSHGFFQEEKKEDGHTNYVIHDLLHDLARNVAAHECLSIKGSNFWSIQIPTSIHHMSIIINDADVQDKTTFENRKSDLDTLGKRLKAGNLRTLMLFGDHHGSFCQKFSDMFREAKALHVIFLSGASYDVEVLLPSFSQLVHLRYLRIKGYVLNETSLVGSISRHYNLLVLDVKQCNNFSSIRELSNLVKIRHLLVKHDYYHYAIFEVGKLKSIQELRRFVVKREKRGFELNQLRQLLQLQGSLEIHNLEKVEATTEVEETKLVHMHHLNRLLLHWDGNQSNRNPKKEQDVLECLKPHSNLQEVCIIGHGGYTYPTWLCAGHFVKNLEYLCLKGVAWKSLPPPLGELLMVGEERPCVAGQIFQNLKRLELVNIATLKKWSANSPFSKLEVLTVKNCSVLIELPFPHMFPNLHQIYISYCKKLVSVPPIPWSSSLSKAVLRGVGASIHYIYYRKNEQKMSVMFKKAELDRELWNVLAFSNLSEIKEFRISGCPSVPLHHLQLLKSLKTLQINNCTSVLWLAEGENDSPFEFPVEQLEISGWGATGKELTQLISYFPNLSTLELWWCDNKQAGGAEEIEAAEGGQLPLPLQLKELLQNLRSLRSLSIRDCPMLLSSNSLPSFCCPFPTSLQSLNLGGAKDRMLTLAPLTNLTKLVLDDCGGLRSEDLWHLLAQGRLKELEISGAHNLLDVPEPSRMCGQDLPQHSSRLQALETDGEAGGAVAVPIGVHFSSSLTELELGRNNDMEHFTKEQSEALQMHNSLQVLRIKGYFRLQSLPEGLSGLPNLKRLDIWFCDCFRSVPKGGLPSSLMELCILQCNAIRSLPKGTLPSSLTELQIINCAAFRSLPKESLPSSLTTLEISGCPAFQSLHQGSLPRSLQRLDVRGSNKKLRRQCQKLQGTIPI >Sspon.01G0063360-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:114882720:114885179:-1 gene:Sspon.01G0063360-1D transcript:Sspon.01G0063360-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding IPLAADLSCSVLPPRPPGGCASRPKVATPLQNQQSAKPAVRADGATWLCRLLAWQLAKALPTVCHVAVGKSAFADPGFADCSLPTATIHAHVYDRSGSWSRRCKAKTKARAWLREAAAVRARGGLDAGVRTHIKTGRRINQTPDVAAVRAQRPEAEPAMLLLGVHVKIRSRRACMAQRRALGPPKGFLPRAFRKREKKEKEKEKGKPYLSFHRTVPPRRRNPSRVSRLLLPQHRSLLCAPPPHRPLLRAPPRRLALPPRCPILRALPNAARASSPHRSPRPHAAPPLLHATTAPLQLLHATPTEGRCPRHGRTGRRASPGPEILFYLC >Sspon.08G0001540-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:1822105:1825746:-1 gene:Sspon.08G0001540-2B transcript:Sspon.08G0001540-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding PLPRHIPIGHVPAAPHTARRRCSRLARSPLPTTAPRPHPHGSCRPHPSSSGPLKTNSPGKMSLISQNAVQKRRLRKSEADDGNDEDIGSPTSIDAEVGKEAKLKNHNKERKKKRTKVQESQQNKEEEEMRQLESSLFGSLYAPLEFGTEAGATVVAPDRDVPLFFTDRSAGGGMDYFPIYEEDMAHEDEEDVVGIKGRKPVWVDEEEERTEVDIVKVSRLRKLRKEEDEHLISGKEYEARLRGQHAKLNPFTGWADMDQKTSLPAASDGESDDEGCVDDILQNNDELVVKDTVKLLPGMLEFSRLVDANIQDPSSGPINSVQFHRNGQLMLAAGLDKHLRFFQIDGKRNPKIQSIFIGDCPVHKASFLPDGSEVILSGRRKFFYSFDLVNAAVSKIGPLTGREEKSLESFEISPDSKTIAFVGNEGYILLISAKTKQLIGTLKMNGSVRSLAFADGGNQLLSSGGDGHVYHWDLGTRKCIHKAFDDGSLAGISLCTSQDSSLFATGSTSGIVNVYKRDDFLGGKRKPLKTIENLTTDIGEMKFNHDAQILAISSRKERNGMRLVHVPSFSVFQNWPGPRFSLHYPRCLDFSPGSGFLSVGHAGGKVLLYKLHHYKNA >Sspon.01G0009170-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:25901633:25904880:-1 gene:Sspon.01G0009170-1A transcript:Sspon.01G0009170-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHQAPGLLPPGPSASPAARAVVAARSVTRLQEHRYHRRCLQERRHHRRCLQERPLKRACAVRYPQAHAAQLPSSPTRGPLSDATAARKKAEVRRPERLRRSWPELMAARMSGALRCHVQPLTVLWDVLVADEDEHVPAVGAAQGGAHRLPTLREAGAELLTHSFYFPVHKMLMLQAAKATTETVDALRTGAAAMKAMQKAGLDVAQVGR >Sspon.02G0020900-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:68047352:68051849:1 gene:Sspon.02G0020900-1A transcript:Sspon.02G0020900-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPRRRAATPSLRDLLLLVALPLLLSGCGPSPARGGDLRRVVEVPGEPRSVVWAVQLSDLHLSAFHPERAADFRRHVGDALAMVNPALVLITGDLTDAKSKDLLSSRQEEFEWILYGQVIDDVANRSGLSKEVFYDLRGNHDSYGVPEVGGMFDFYKKHSINARLGRTGAVQSITLQNSGMKYLFVGFDSAMGVGLRSPTNIFGQPTDRLLSDLDAALSQWDNQSTSSLVTKIVFGHFPISFSALTTSGRSIRDVFLKHSLSAYLCGHLHTNFGRNLKRHHTSDQQQFSSKQYFQLDIHEGMSTTVCNVNCSARTTESVAEFWEWEMGDWRSARSMRILAIDSGYVSYTDMDFRFGSRDVIIVPTFPLDSRFMHRSSYPYDFACQAMSTTHVGTVRALVFSRYKIKSVTVKIYESHSGSFQLVLEQEMEKTRGKEARGAMYTVPWNWRDFLDELPDRYWLQIEVVDMTGEIYHSEPRPFSVSGLTAKVSWTWKEFRVMGCQWNQLYYPILWTTLAFLFSLVLVPRTSLTFYENRFMSKFIRPKMTGRSLGGFSPVAVELSKMYIIWSGMLFYLLYLVFFPWFTGYAVTENYNKMYLHYRGWSTRYLANTTALPYIGLPDVVVIVLPHLLSVVLPAFLLIAVIAAERALYLGHHFSQRTKKDDDHYKKAWHMKNVYIFDWLRKILILLCLPIVWKHWKHCRAIVRAYEVNPFMDAPIYCFGVPALLWMAIYRSSSVGVYQ >Sspon.03G0007920-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:26362226:26366589:-1 gene:Sspon.03G0007920-2D transcript:Sspon.03G0007920-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial carrier protein CoAc1 [Source:Projected from Arabidopsis thaliana (AT1G14560) UniProtKB/Swiss-Prot;Acc:F4HW79] MGTPSQGSAAVAAARVDLCALDLMPVFAKEMIAGGVAGAFSKTAIAPLERVKILLQTRTNEFGSLGVLKSLKKLRQLDGVMGFYKYVNSSDQLSRALKRGSPQPAYGGIIDVFRGVYSEGGARALYRGVGMSRGLNLNHSSSGPTLMGILPYAGLKFYIYEGLKAHVPEDYKSSVTLKLSCGAAAGLFGQTLTYPLDVVRRQMQVQSQQQHEQFGGPRIKGTFQGLMSIKQTQGWKQLFAGLSLNYIKVVPSVAIGFTAYDTMKHLLKIPPREKKSAERHQRDARATRRRRRDPAGRRHDRPLARRKAGLMRKKTDAEAGGVDACRRAVAMAVATDATAAAEWW >Sspon.01G0040280-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:39588148:39590258:-1 gene:Sspon.01G0040280-1B transcript:Sspon.01G0040280-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGANIDVLSRNMAAMQLALASLLPPPPPPTSQPPPPPTAAITDLARSVAAIRSYLGIPPLQPASWPLPQSAVASLPPVFPYGMLGYGTTLLPFQDVQPTVQPTLQQIEQAMDITPEPAGKMLTCKVSEAVRLQAAARGLLARWQLQEMRQPMHEATLAPVDLSSAKRDLAPWDGHQQLRRPAAVFRREHGDFPAGSDLQLYGSSGRRVAPLLVSGGDALPSATAFRHRLPRGRLRWSLSRLIPGGYTRAPLSFRWAPWDPGGNTCAGPSRGGCPPYLQESKIKSRSLSQVNKISRDIKDLFLGVRFVSSGVIVSVIVKLQLEDELHVQVGCSDRRVKGLLGLSPLGLISHLLRGQFHFSKGKPLLACSFSFICCFNPKLKIMLL >Sspon.04G0003740-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:11880506:11882055:1 gene:Sspon.04G0003740-3C transcript:Sspon.04G0003740-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCQAAEAANVLLQHPGGRVERLYWSTSAAEVMRANPGHYVALVTLRVAEERQDAPGGERRTVRLTRVKLLKPKETLLLGHVYRLITTQGASSVRKNNNHSSSTRPCMPCYQLMNEQAANLRCAAAEVTKAVRVRKEEKQRKAQQQLLESRQGTPRGAPEEEDDEAALDASLDQLARQDNGNRSSSARHRQWRPSLQSIDEATS >Sspon.05G0031790-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:9542934:9553365:1 gene:Sspon.05G0031790-1C transcript:Sspon.05G0031790-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding PWPAPPPPQPPRSAPCAATSASPRSSSAARDAATASSTGTAPTTTATAHPPRPAPTCATGASATWPAGRRGGRPRLRPGRSSTPRPGARSRRRPPRAPAAAAAAAAGAPAAARPAAATRPSWLGGERRPGQPAAGTSCSRTSRAIPKHPHWICHAGRLRLEERKGLGAGCSTLTRRGVKSRESAGVRRRRGIITSSLIHLCLLSNGAETNKNGNNHDDYQQVAVDAAWTCLGP >Sspon.02G0006020-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:12209672:12210440:-1 gene:Sspon.02G0006020-4D transcript:Sspon.02G0006020-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARVEAVVICLLVLAMDVAAGVLGGRHLRILFIECRQPVRRAYELGIAAAAVLAAAHAIANVAGGCACACSGDKLRRASPNRQMASFALVLTWMVLVVALALLVLGALPNAKRKLAECGVPRHRFLSIGGVLCFVHALFCAVYYASASAAVREDRRAAPHV >Sspon.01G0056740-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:92469977:92471275:1 gene:Sspon.01G0056740-1P transcript:Sspon.01G0056740-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding KMSVAVCRGPAVPAFEAPCWLRPVEPYKQPEVVVVDDRPAQVDIWNAIQADVDKVAAGAKKASKPYVHPLVRRSSSLMSQKSLEVCTESLGNETGSVDFTSSLDQPIRLAAAGVGGCRSTGGSFWQHDAARCCEEEQWESKDLAAVNYHCSAGTRSPRRSFPPPLPSMSRRDGPCLQMRARRQDGRLVVEAVAVRPRGYLHAKRQGGRLRLSFVECSARDQSAASKITEAAAEAPYFRTVEPRNVQEEEAAVETAEDDEVMEDEEEIEVVDRGTVVEVKVSTQPQTHTAAKVHRSTLVINKFVGSTPLSADQPRCHTDDTTQHEADTCDETAAAQSPRPTLRRVPSSTTTLAAAVAVASTGTDDVPPAPRTTTAAAVVHVAEGDKQDLLQSVRRCRQLRQKKLFILEPYCIATS >Sspon.01G0023570-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:44741684:44744432:-1 gene:Sspon.01G0023570-3D transcript:Sspon.01G0023570-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKPGKISRERSAYFYSENNDEKDKYFFKVLVGDFRERLAIPDKFVQHFRGLIANSVKLESRCGHTFDVEVAKNLGKVVLETGWKAFVTAHDLNVGDFLVFKYDGTSRLKVFIFDLSCCEKVPPCLVKRNHICGKETREMHIEISSSCGDLPMNVTASSSTSLSDSSGDSVCPEDQKSHCVPGYILPRGTCLTCVQMKKLKERVRANSSRIPIYGCILKKGNVHGRSQAMDIPRVYADVYLPFEDQTLMLQCRSKSWEVRCVTKEDKHRVKRLMKGWKQFACDNKLRLGDLCLFELLENTKYTMNVHVIRAK >Sspon.08G0010130-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:44554374:44559614:1 gene:Sspon.08G0010130-1A transcript:Sspon.08G0010130-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAESSRGAASARKKSWRSELVLAYQSLGVVYGEVATSPLYVYKSAFAGGDIDHSEGNEEIYGVLSLVFWTLTLITLLKYVLVVLRADDDGEGGTFALYSLICRRVRVGLLPGVGDSSAADELKEQRDDALLPPPASSVRTALQQRRELQWLLLLFALLGTSMVIGDGVLTPAVSVVSAVSGLKLSMVNEQHQYVLLPVTCVILVGLFALQHFGTHRVGFLFAPIVCLWLLCISTIGVYNIIVWNPHIYKALSPYYMYSFIQKTQVGGWMSLGGILLCVTGSEAMYADLGHFSQTSIKIAFTTVVYPSLVLAYMGQAAYISQHHNFERNHHIGFYISVPGFRNTKQMANAQGLAVITVMIVTTCFMSLVIVLCWNKNVVFALAFLLFFGAIEAVYFSASLVKFHEGAWVPIILSFTFLTVMCVWHYGTTKKYEFDVDNKVSISWLLNLGPSLGIVRVRGIGLIHTELVSGIPGIFSHFVTNLPAFHQVLVFLCIKSVSVPHVQPEERFLVGRIGLKQYRLYRVVVRYGYRDVQQDSLEFEKALVSSIAEFIRSGDSDKNGYPDDSESPYERLSIISKGLPFHEADGEVEGSPESSVRKDTNPNLVSSKSRRVRFVLPENAQINSEVRNELQELTEAREAGMSFIMGRSYMKAKSGSSLMKRIAINFIYEFLMRNSRGPAYAANVPHVSTLEVGMVCQV >Sspon.04G0008890-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:25906098:25907914:-1 gene:Sspon.04G0008890-1A transcript:Sspon.04G0008890-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SSCAWDREPVSVSSSFPCVYVSVDLPAVESSLEAYLFAGYDFSLLTIIRSTFLGKELPA >Sspon.06G0000640-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6C:17342405:17342839:1 gene:Sspon.06G0000640-2C transcript:Sspon.06G0000640-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHNLAITLFILAVYVAAVATPFVHATKSPASTTAKEDEATKEGDAPAKAPEAATKGSAKDRKADDKGDAPAKATDVATKGSAKDHKEDAKGDASAKAPEAATKGYAKVPKGDAKGLLQKGPEHPCHGRMGGLSLSKWSSRTPS >Sspon.02G0022760-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:78454053:78454986:1 gene:Sspon.02G0022760-2C transcript:Sspon.02G0022760-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DAVTPRSSPHRKKPAKSSAPVGVALTNQNNGLLPTEVLYEVMLCLPAKELCRLRLVFPSWRALTSDIGFARAHAFCHLSLVADIWNSTKVHLFDLTCCNNIVRRLHVPQSIYDLSTAHLSLLCVSAMCSIQLSPMKWLSPILLSHVPSTGEYKALRTNGYCTGRDGGLFGPERITQTCNVITLGGAAATATPIRWRAWPCLPVERHSCFRDRVVVDGVAYFLRRPSNYSYHDSKYSDGIEPDAIFAFNLATEEWRPNTLHGPLSCNSDNELKFSPKRDSL >Sspon.01G0029020-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:62182026:62189497:1 gene:Sspon.01G0029020-2B transcript:Sspon.01G0029020-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinesin-like protein KIN-8B [Source:Projected from Arabidopsis thaliana (AT3G49650) UniProtKB/Swiss-Prot;Acc:Q9SCJ4] MPSIRAPASKQTATLQVAVKCRPLTDTEQRRSRHIIQVIDDKTVVVLDPDLSKDYLDLIQNRTKERRYTFDHVYAPGCSNSDVYKNISSTIAGVVQGLNATVFAYGSTGSGKTYTMVGTHSDPGLMVLSFRTIFELIKKDDSKDTFEVSCSYLEVYNEVIYDLLERSSGHLELREDPEHGIIVAGLRSIKVHSADRILELLNIGNSRRKTESTEANATSSRSHAVLEITVKRKQKGQYGNQVLRGKLALVDLAGSERASETNNFGQKLRDGANINRSLLALANCINALGKQNKKGLAYVPYRNSKLTRILKDGLSGNSRTVMVATISPADDQYHHTTNTLKYADRAKEIKTHVHKNIGTLDTHVEDYQRMIDNLQVEVSQLKKELAEKEHQLSIKPTEKAADSELSWLNVLSQETGENVQERINLQKALFELEETNKRNRMELQHLDDSIARHQVKEMDSTVLQALTSRRQVILDNIRDNDEAGSGYRKDIEMNESRRRQLQDMIEEAVSNNGNKTYLHILSQYRLLGMTNAELQIEMAMRDQVIHNQREALRSLWNILHGTGLNQKQILKLAAKQGLTVEGCPLPSSSPDVTTPPSFPPHRRFPSFMSFPSPQSEPYSPSACFFQHGFSTLSLLKNQHETPTICRQEHLSSYYMMSGCSPYSGDGKQWSSGRPMTFFSTPEKTKEVSSVYRETENAQSQHKKEHSGSHDFSLHRKIPEYSQMLLDLTVKTANRSMQRTDELTW >Sspon.02G0034380-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:15288555:15289902:-1 gene:Sspon.02G0034380-2C transcript:Sspon.02G0034380-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALDAALDVSTSKPPVPPPRIDSWARGGRRSKRRAGSPASDGGSGADHDQSEQEYLALCLLMLSRGLRGDAGTAGAAPTAANKAGAQHHQHGYECSVCGKVYPSYQALGGHKTSHRKPPTPPTPPSAAVAPGDEASSGSGGTVHAEEKEKEKVHQCSLCLRTFPSGQALGGHKRLHYEGGAGDGAKDKDKDAVTKTNKASSAAAATTAVLRDFDLNLPAEGAPPEAKRARTVLLVELFGWLIALLISSALRDVGCACSIDVISTSTAAGAAPTAANKAGAQHHQHGYECSVCGKVYPSYQALGGHKTSHRKPPTPASAAVAPGDEASSGSGGTVHAEEKEKVHQCSLCLRTFPSGQALGGHKRLHYEGGAGDGAKDKDKDAVTKTNKASAAAAATTAVLRDFDLNLPAEGAPPEAKRARTMLLV >Sspon.05G0017180-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:67658384:67659964:-1 gene:Sspon.05G0017180-3D transcript:Sspon.05G0017180-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRRSDYPPPQQPCAADDGGTKQKSVCYYYDPRIFYVDYGETHAMVPHRVTMTHTLINAYGLLDDMDLLGIAPATEQDLELGGTHDKEYIKFLSSLTPADYNADAKKRRDAAQKYKLGEIRTWDNHNGCFTFTNDNPVIENLWDYCQRYAGGSLAAARALASGKYKIAINWSGGMHHACKGKAQGFCYVNDIVVAIKALLHHGRRFIRRVLYLDIDAHHGDGVETAFMEDSRVLTVSFHQFDGEFFPGTGAAVDVGELLLPGDGTCPTLINVPLEAGTGDGVYHQLFGPIVDRVMAVFEPDAVVMQCGADSLAATGSRAWAFRARPRQGYGLPLLLLGGGGYTINHVASCWCYETAVAIGKEIPDDIPQHGYQNYYKSQGYKLHYHKEDSSNNGKKMRTKDTITMDKVMNHLDRLSLELIPKPRPSEQLDEDPPRAPPPHADGDEAIVDRSPRDEDPVDRLHRRCGEKMLREFFTDLGGNIPSELELK >Sspon.05G0002520-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:13331446:13335944:1 gene:Sspon.05G0002520-1P transcript:Sspon.05G0002520-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVEVEQHPRSALALTAHDASGRLSPFRISRRDTGDDDVAIQILYCGICHSDLHTIKNEWKNANYPVVPGHEIAGLITEVGKNVKKFNVGDKVGVGCMVNTCQSCESCEEGHENYCSKIIFTYNSHDRDGTVTYGGYSDMVVVNERFVIRFPDGMPLDKGAPLLCAGITVYNPMKCHGLNEPGKHIGVVGLGGLGHVAVKFAKAFGMRVTVISTSPEKREEAMETLGADAFVVSTDANQMKVRIGLPHGKMILLGLPEKPLQISAFSLVAGGKTLAGSCMGSIRDTQEMMDFAAKHGLTADIELIGTEEVNEAMERLAKGEVRYRFVIDVGNTLSAASLASSPVPSL >Sspon.01G0013200-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:70440931:70446764:-1 gene:Sspon.01G0013200-3D transcript:Sspon.01G0013200-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thymidine kinase a [Source:Projected from Arabidopsis thaliana (AT3G07800) UniProtKB/Swiss-Prot;Acc:Q9S750] MRSICAMRSLLAAAAAASAPTVVRASAFPSRPPLLSLPSRRGRAVRNMLGAARSVSAAVQSRAGGGAAVEVRAAQSGEIHVIVGPMFAGKTTALLRRVQAEAGNGRSVALIKSDKDNHYGLDSVVTHDGTKMACWALSELSSFHDKLGIEAYNKVDVIGIDEAQFFDDLYDFCCKAADRDGKIVVVAGLDGDYKRKKFGSVLDIVPLADSVTKLTARCELCGRRAFFTLRKTQETKTELIGGADVYMPVCRQHYMDGQIVIEATRIVLDLDRSTVTGKALK >Sspon.03G0045150-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:10466802:10467862:1 gene:Sspon.03G0045150-1D transcript:Sspon.03G0045150-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVSRKGLPFGRCLLPTTSPPAGAARVGSPLPRLKHVRIYFVDADATDTDDSFGDKDERAKRRVREVIHIDVEAASAPMRAAQAQALGDPVETTKGAAEEDGEALASAPALAAAPASLDPQAVDDTALVCPFASPASVLRYAADEVLGAPTVPVFEFLCSGFGELGDLGAATTPLKAAAAEFDCLPWWDGEDFVTATGLTASAGTAVSVI >Sspon.02G0044450-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:121185662:121187530:-1 gene:Sspon.02G0044450-2C transcript:Sspon.02G0044450-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGASRRSLSAAAAAARSRVAAGAASAVSADAAASVPPRPVSNGAPGVPQQQKRLLSVLAAPKVAGTSNVVSLKLMDGALIGRRYESSAAAVDSTDLPAEKHEYQAEVNRLMDLIVHSLYSNKEVFLRELVSNASDALDKLRYLSVMDPELLKDGPQLDIRIQTDKDNGIITITDSGIGMTKQELIDSLGTIASSGTAKFLKALKESQEAGMDSNLIGQFGVGFYSAFLVSEKVVVSTKSPKSDKQYVWEGQADA >Sspon.03G0031650-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:29695781:29701413:-1 gene:Sspon.03G0031650-1B transcript:Sspon.03G0031650-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDATGSRAGGSGGDQNGKENEEDKKQGAAPAKKVSLLGMFRYADRLDLLLMAVGTVGALANGVAEPLMTILFGNVIDSFGDSTSQDIVRSVRKVVLDFVYLGIGAAVVSFLQVSCWTMAGERQSARIRSLYLNAVLRQDIAFFDTELTTGQAVSRMSSDTLVIQDALGEKAGKLIQLSSAFFGGFIIAFTKGWLLTLVMLTSLPLIAIAGAYRTDILEGLINGFGMGSVFCILFSSYGLAFWYGGKLIADKGYTGGKIITVLFAVLTGAMSLGNATPSVSSIAQGQSAAYRLFETIERKPEIDSGDTSGVVLEDMKGDVELKDVHFRYPARPDQLILHGLSLQVASGTTMAIVGESGSGKSTVISLVERFYDPHDGEVLIDGINIKNLRLSWLREKISLVSQEPLLFMTSIKDNIMYGKGDATIEEVKRAAELANAANFIDKLPDGYDTMVGPRGAQLSGGQKQRIAIARAILKDPKILLLDEATSALDVESERIVQEALNRIMVERTTLVVAHRLSTVRNVECITVLRQGKIVEQGPHDVLVKDANGAYSQLIRLQETRADETRKTADSGVPDSRSKSTSLSLRRSMNKDSFGNSNRYSFKNPLGLSVELHENRIIGGEETEGLSDVVVLKKAPIGRLFKLNMPEVPVLLLGSIAASVHGVVFPLFGILMSGIIKSFYEPPDKMRKDTSFWALISVVLGITCLISVPAQYFLFAVAGGKLIERIRALSFQSIVRQEIAWFDNASNSSGALGTRLSVDALNVRRLAGDNLALIMQSIATLTTGFVIAFAADWRLALIITCVIPLVGAQGYAQVKFLKGFSEDAKVMHGNYVRNASQVATDAVGSIRTVASFCAEKRVVATYNEKCEALRKQGIRSGIVGGLGYGFSFLMLYFTYGLCFYVGAQFVRQGKTTFPDVFKVFFALVLAAIGVSQASALASDATKARDSAISIFSILDRESKIDSSSYDGMTLENVTGNIDFNNVSFKYPSRPDVQIFSDFTLRIPSGKTVALVGESGSGKSTIIALLERFYDPDSGRISLDGVEIKSLKTSWLRDQMGLVGQEPVLFNDTIRANITYGKHGDVTEEEVMAVAKAANAHEFISSLPQGYDTMVGEKGIQLSGGQKQRVAIARAIIKDPKILLLDEATSALDAESERIVQDALDRVMVSRTTIVVAHRLSTIKGADMIAVLKEGKIVEKGRHEALMRINGGAYASLVELRSKSE >Sspon.07G0031510-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7C:24224885:24225522:1 gene:Sspon.07G0031510-1C transcript:Sspon.07G0031510-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRIMKRVFDMFPPEAIVMQCGSDSLSSYSDSLSARNASALPIVREQDEDGEWTWLLAKLILQLSTVAVVAIITTWRISPVPAMGLREIEAARQVEAPIGPIWMINVTGTVFKYLHERFESLGTGMTQQRKFKHLDEHFESLGTRMTHQRKFRDR >Sspon.02G0036750-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:26698956:26708217:1 gene:Sspon.02G0036750-1B transcript:Sspon.02G0036750-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding IRFVDMADNEKEVGEGTTPRGADWEVVSLTASAYEAAPGPVGTEVKPINEDQERSSSNELLRSDHFVFPPGEHENLPIQTSFDEIQPEKDVQKPSTSVEDCSVKNDAGSERVQFDDEGKHFSDDDVEMRDDMPGYGSFHSEDDAHGFVSHDDGNESGEDFDDKSGHPSEPADSKSCDTGASCKCWLKKHMTCLYNQAKETNAIWTVVVAAALVGIVILGRWHKDKLHLNQLKWRSGSAVRTVMNLHQSVY >Sspon.03G0014930-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:68197008:68202426:1 gene:Sspon.03G0014930-3C transcript:Sspon.03G0014930-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAETSEASAAGIALAEANINWERRGFILIGAILFTAQQGALHPTAVVKTRMQVAEGGLAHMSGFTVFRRILRSDGIPGIFRGFGTSAVGALPGRVLALTSLEISKEMTFKYCEHFDMSEASRIAVANGVAGLMSSICSCSYFVPLDVICQRLMVQGLPGMATYRGPFDVINKVVRTEGIRGLYRGFGITMLTQSPASALWWSAYGGAQHAIWRSLGYGNDSPTKPSQSELVAVQATAGTIAGACSSIITTPIDTIKTRLQVMDNYGKGRPSVMKTTRLLLDEDGWRGFYRGFGPRFLNMSLWGTSMIVTYELIKNNVISASRERILERLRAAFQQAVHWWQRERLHDSVRVPAVGVREQRSLVSAGRQQLDAGHGDVRAGDGVAASEADGRSGLRVVRAVDVPVRDPGHAHRGGLVGAPVAEAVVLVDDDAALHVLHLHAGELDRRHGAGAALPRLDPEAVVGVEDPRVPDRDVGHAGVRVVDTQAADAARMTTACRVRLTTNGSAMEARC >Sspon.06G0029340-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:21001333:21005946:1 gene:Sspon.06G0029340-2D transcript:Sspon.06G0029340-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Methylcrotonoyl-CoA carboxylase beta chain, mitochondrial [Source:Projected from Arabidopsis thaliana (AT4G34030) UniProtKB/Swiss-Prot;Acc:Q9LDD8] MLSRLAAARRQLLLPPRGAPAVAYRSSSSSSAASAAAVHGVAPAPASASVLPDTLDRGSDAYQRNAAAVGGLLSDLRARVSQVLVLPSYFLDRAIFLELASYGECAHCPLVPVQVLRGGGAEAVRRNAARGKLLPRDRIDRLLDPGASFLELSQLAGSDVYDEALPSAGIITGIGPVHGRLCMFVANDPTTKGGTYYPLTVKKHLRAQEIASECKLPCIYLVDSGGANLPRQADVFPDRDNFGRIFYNQAKMSSDGIPQIALVLGSCTAGGAYIPAMADESVIVKGNGTIFLAGPPLVKAATGEEISAEDLGGASVHCKTTPIMLIDVDELHGLELGRNIVKNLHLAAKGTNIQSSTCGYQEPLYDVEELRSIAPADLKQSFDIRSVIARIVDGSEFDEFKKLYGTTLVTGFARICGQPVGIIGNNGILFTESALKGSHFIELCAQRHIPLIFLQNITGFMVGSKSEASGIAKAGAKMVMAVSCAKVPKITIIVGGSFGAGNYGMCGRAYSPNFLFLWPTARISVMGGIQAAGVLAQIEKNNKKRQGVEWSKDEEEAFKAKVVEAYDREGSPYYSTARLWDDGVIDPADTRRVLSLCLSASAKPVPEDTKYGVFRM >Sspon.03G0010630-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:28854269:28855102:1 gene:Sspon.03G0010630-1A transcript:Sspon.03G0010630-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAADARAALSRSRVVARGLVHAAQVVGLVVLLRALAEFPWAAAAAPTILRFAASFLAPPRLCFLVANAIVIFLATFFPRDAASLSPSFADLSGSPSSNGDAPQQHPFLAFLEDPQLQALPPITESEPSGTGEQAPPPPPEEVPVFEDKQAVHVKTVRAQPPRRTMSEKTTRGGAADRSSTTSAASPELRRAKSENGRRRQQRRSAAAAAAAPTAVELGTDDAEAFRQAVEAFIAKQQTWFHREESMVIARAAAAGGAGGEDGPGKIAGAAAAVVVK >Sspon.02G0008910-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:24059100:24060227:1 gene:Sspon.02G0008910-2B transcript:Sspon.02G0008910-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTDTTSTDSQLHPAPAFSAAGARAGVCSLAARGAESLARGLVTCVFATVGTVLGAITGGLIGLATETGVLRGTGVGGITGALVSMEVVDSSLALWRSDEPAIWSVVYVLDVIWSLLSGRLVREKVDPVVLNAVESQMSAAEAPVLHGDHADIFGTGGTNGMARVAIDALPVVRFTERSNVDASGELIACSVCLQEFEAGDSARSLPVCRHTFHLPCIDGWLLRHASCPLCRRAV >Sspon.04G0017030-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:62068506:62068981:1 gene:Sspon.04G0017030-1A transcript:Sspon.04G0017030-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSAMRNLDRALSADGIIKVSTMVQMGVLGSNFPPSAGSFSQGYMAHVAQYMQSTGAPLLANGDIDINYALVITSPGTVVHDIDNGYNYHNMFDAMVDSFYSALENAGARNVTVVMSKSGWPSAVSNAANVCNSQIYSQNLINHVG >Sspon.08G0015760-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:60112472:60115371:-1 gene:Sspon.08G0015760-3D transcript:Sspon.08G0015760-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nudix hydrolase 19, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G20070) UniProtKB/Swiss-Prot;Acc:Q94A82] MAIHLRAHAFAANPLRGVSAATTAVSPSAAAEALRSLLDPSSASAAAANPHPYLSKILPFRRGRPLARSTDPPPAAPAWCLAWLPPSRVPGLAPDAFVFLGAHVEGGGKEAAAYWAVDVSEGEGATVGGPADGDGPSAFVDLRTLMVATDWSDKDAMGELAIAGHARALLEWHSTAKFCGACGAKAVPTEAGRRKQCSNESCKKRIYPRIDPVVIMLVIDKENDRALLSRQSRFVPRMWSCLAGFIEPGESLEEAVRRETWEETGIEVGQVVYHSSQPWPVGPNTMPCQLMMGFFAYAKSLEIKVDKQELEDAQWHSREDIKKALTFAEYEKAQRTNAAKVNQICKGAEKGQSLSDDFKVESGEPAPMFVPGPFAIAHHLISAWAFEGAPKLPSSFSNL >Sspon.02G0028640-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:100576621:100584405:1 gene:Sspon.02G0028640-4D transcript:Sspon.02G0028640-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSSGEELLKKIRELEVGQAQLKQEMSKLIPGAGERRRSQSVSPRRGVPVPPPPPAKGKAGAPGRRLSGGFEGGQRAWARGSASFPHSSPLQREGRAMGAGDASTSARLPERQYSRVLQSLGLSVHILDLDGRIIYWNQSAENLFGYPASEALGQDALMLLVDSRDHNAVNDIFRRISMGESWMGKFPVKNRQGDRFSALATNTPFYDEDGSLVGIICVSSDSRHLEQIFCKPPTSSRPQSESSRTSCDGSCSNTIHRINLLNKSPLDPQLPLQSTLASKITNLATKVTNKVRSRVRTDENGIEREGGSGESHCSDRGAKEEPTSSGTNTPRGDAPHGPFATEENSPGKSTNPNSDESEGKVGLHKILSSKAEALLNKKGISWPWKGRENEGPDERNHVILPWLHGDQENGMNHQKVSDSSVAPDAQGAEHNQPNKSEASGSWSSFNNNSTSSASSTGSTNSSALYKVDHETDCLDYEILWEDLVIGEQIGQGSCGTVYHALWYGSDVAVKVFSKQEYSEEVILAFRQEVSLMKKLRHPNILLFMGAVTSPQRLCIVTEFLPRGSLFCLLQKSATKLDVRRRVHMALDIARGMNYLHHSSPPIIHRDLKSSNLLVDKNWTVKVADFGLSRLKHETFLTTKTGKGTPQWMAPEVLRNEPSDEKSDVYSYGVILWELVTQKIPWENLNSMQVIGAVGFMNQRLDIPSEVDPQWKSIILSCWESDPQQRPSFQELLERLRELQRHYAIQHRNTKNSIEE >Sspon.07G0025160-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7B:37789425:37789973:1 gene:Sspon.07G0025160-1B transcript:Sspon.07G0025160-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFSGFSYHQQPGSPGDASAAPSDDRDRNLTVFLTFGIFFSSILLYLLAGVIWASVVTACAVALSFCYLKTRQRAALRHRAAMRAAQSRGGTEAAVVLSVIPAFAYKREGGIGGGGDATGWAQCVICLGLVQVGEVVRRLPVCKHLFHVECIDMWLRSHSTCPICRAAVQPNAAGQPEPPPV >Sspon.06G0014040-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:61283958:61289702:1 gene:Sspon.06G0014040-2B transcript:Sspon.06G0014040-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDIRLPADVLTSVMSRAATGPRAACIAATVSPAFRSAADSDTVWSRFLPADLAPLVYPSPPPRSKKELFLRLSGTHVLLEDGRTSAWLHRETGAKCYMVAARAMHIVWGGSPQYWRWIPRQDSRFPECAELLAVCWLDFSCSIESRMLSENTRYAAYLVFKMDDDCYGLDSPLQVASIHIGQDEISSHPVRLQNNIGNEQNDGAEEGAAPRLPMERPDGWMELEIGEWDNHGGEDKQVCARVRETTYGGNWKKGLILQGVEIRPKS >Sspon.07G0007360-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:17200253:17201757:1 gene:Sspon.07G0007360-2B transcript:Sspon.07G0007360-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAAAAVVAAASPAALLRSSSPRSRRPQGRACSFQCAGPSARPSTLVSSSVSQLQHKLEAYPLLSFQLVLCFCYPIARRASYLAQLKSCCAGHPQIRQLYGRLHCSQQQAEVAGSTVDDDEACELVSGSDLVIGEGDDSVSAHLFKAVKNNNGTGVLLLSDIFGFEDSATRDFAYRVACNGYNVLVPDLFRGNPWKLNVPFDGDSIQQWLAGHAPGRVSGDIDACTRWLVDEFKAAGVSKKLGVVGFCYGGGRLVETLARDADNCFSAGVCFYGCPMDASLGDRIAAPVLFVCGDGDPYCSVEMVQELERRARGARAAVYAGRGHGFAHRPQSVEEDADAEHAFNAMRGWLHDHLLA >Sspon.01G0009080-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1A:25413476:25414083:-1 gene:Sspon.01G0009080-1A transcript:Sspon.01G0009080-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSPYAGPQAEEEQHRQSQTVTALLPAPPFFRLHLQALLEGPRCYSDATIQPHGHSHAIRPAGLGVFILDTHTNPPQAFFLQVRMDNCASVIMAEVAAMATAAKVSTALHLQAPNYLTDSQQLASYFNSADRSPTPDWRIRHITQNFINLTGNSNCKIFKISREQNSSWYIVPS >Sspon.05G0013210-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:37026788:37031243:1 gene:Sspon.05G0013210-1T transcript:Sspon.05G0013210-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLADERDGGAVPHGSSSPRLSSALSLLPDRRYIRSRAMICQTIVVWRAGPAAANLTGLKKFWKHQRRSGFRLGYPNPHFGQLAWVQTLGDSSGNMATHYINIMMKL >Sspon.05G0020840-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:85901101:85904776:1 gene:Sspon.05G0020840-3C transcript:Sspon.05G0020840-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVTQGVLLRLLQAMHTEERVAGEHRSPVLQVTAVVPALTASTSDSPLLAAPPSNGVLLNLSDGLHCTYVQVPPADADALLAVARPQLVGHLVHLDRLRFARPVPRAVGLRTVPSSRPLPCVGKPEPLVARPAACARGYVIQPAASAADAAPPLMPSSSNSSSAPDANETAAVKRTVLGPKNAVADPAPQQPVKRRFSSPAPPSKQRDPSPAAKPAASRASSPSVGKSASRPSSPAVRGTPRATSPAPSKCVVPSLVAAREENRRVVREPAIIVPSRYRQPSPAGGRRGAASPAVGGGRRASLSPSSRRLSGEGTGKKKVGVLVAGISKMTDLGSGSAAKPGRKSWDDPTVSLGTAAAGSVMKSKAKVDKDSILRTQEAFERRDLAATAAASALQEALVSESVIRNISKFSEICASSKVSNPFPTVDLFLVVYEDTLKWKTISEFMVTNGAEEEFFEKSTKHWVGAALATDLEVLKLLNGATGSFSRTRSTNKPNAASSVEPPRTSLSKKPTHGASAKVQSKVAPSSPLRCTWSNTESMSETAELAKSLWREMHTWFLTFVDEALDVGFHLFEDQNVASKGKHSSHITMVLSQFKKISDWLDQVGKIAEEERTKEKIECLKRKIYGFVISHMGSAFESSVSVSSRS >Sspon.07G0025720-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:86477647:86478945:-1 gene:Sspon.07G0025720-2C transcript:Sspon.07G0025720-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAKSIDRLAARLVVPAEPTPAGPLRLSWLDRYPTQMALIESLHVFKAAPDRPATSPARTIERALARALVHYYPLAGRLVLSESGAQQAVDCSNAGVWFTEAEAACTLEDVDYLEAPLMVPKDDLLPPTPAAAGEEDERALVLLVQVTSFACGGFVVGFRFSHAVADGPGAAQFMNAVGELARGADAVSVEPQWGRDAIPDPAAALVGRLPTPDADSKRLEYLAIDISADYINHFKAQYSAAHAGAAWCSAFEVLIAKAWQSRTRAAGFEPDSPVHLCFAMNARPMLHASLPHGGAGFYGNCYYIMRVSAPAGKVAGSSVTEVVKIIKDGKRRMPAEFARWAAGEVGVDPYQITSDYRTLLVSDWTRLGFAEVDYGWGPPAHVVPLTNLDYIATCILVKPWAHKPGARLITQCVTPDRVAAFHEGMLDTSC >Sspon.08G0009270-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:35876374:35878175:-1 gene:Sspon.08G0009270-3D transcript:Sspon.08G0009270-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGTTFSVPTETNGNRNRRQPAPRHILLEKPSVTDVLHRDAVRLRSLFQDQNRGSPAPAPTSAGGGLSIPSRGNPIQELPGAFEYHVIAWFGTPVQQFTVGFDTSTTGATQLRCKPCAADEPCDHAFDPSASSSIAQVPCGSPDCPFKGCSGPSCTLGVSINNTLLGNATFFTDRLTLTPWNTVDNFRFVCLEAGFGPGDSSTGILDLSRNSHSLASRAAPSSPDAVAFSYCLPSYPSDVGFLSLGATKPELLGRKVSYTPLRSNPHNGNQYVVELVGLGLGGVDLPIPRATIARGGTILELHTTFTYLKPQVYAVLRDEFRKSMSQYPVAPPLGSLDTCYNFTALNSFSVPAVTLKFDGGAEVDLWMDEMMYFPEPGSYFSVGCLAFVAQDGGAVIGSMAQMSTEVVYDVRGGKVGFVPNRC >Sspon.01G0058760-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1D:35058613:35060031:-1 gene:Sspon.01G0058760-1D transcript:Sspon.01G0058760-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MELIALLLMPLLVVAAYLTVAAASRRRRSRCYLLDYVCYKPPDDRKVSTEMLSAANDRNERLSVPLRRFLLRVILRSGLGEHTYAPHTVIAGREHSPTHQDCLDEMDAFFHGAVAELFARQTGLGLGPRDVDVLVVNVSTFHPAPSLASRIVRAHGLRDDVAAYNLSGMGCAAVLVAVDLARSTMHAQSRSQSPRRPTLALVVSAECITPNCYAGVDRSMMLGRCLFRCGGSAVLLTTDPALRGRSKMELRVVERITVAADDDAHSAIVQREDGDGLVGISLSKSLPKVAVRAFAANMTRLAPRILPVRELARFAAVVACRKLLRWRRSSSSAGSATSNSKVNFKAGADHFCLHPGGVAVIDAVKRDMGLEERDVEPSRMTLHRWGNTSTSSVWYVLSYMEAKGRLKRGDRVLMVTFGSGFKCNSCVWEVTGDMADKSAWADCIDSYPPESLTNPFLGKYAWMNGEQAGDAPS >Sspon.02G0008270-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:24424300:24430067:1 gene:Sspon.02G0008270-1A transcript:Sspon.02G0008270-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding HAAPAGHRRRAPGRGGRRRHGHAAAGVRPHGHVPHPGRRALLPVLRRRACLRGAVLAAVQPLVLLRGRRRRRGGRNAGGHGGAAAAQGDREGRAGEAADRAVRGVPGRKRRAARVRHLPRRVRPRGRGPRAPAVRPRIPRGLRRHLAPLHLHVPLLPHRPRGGAAGAAAGIRGSPAVLRVRAGLGGTSGVPRHPRRRRRRRARTLPDVGAAGHKFVEVMDLKAIQEWTPCEAAEFKSLFAELRNEKSCYRMEVLEKRFPAKTIHQLREKYAEVFADMLYGEIDDEPSRDDITSDLRD >Sspon.05G0032740-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:21863558:21868194:-1 gene:Sspon.05G0032740-1P transcript:Sspon.05G0032740-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNEFDYLFKLLLIGDSSVGKSCFLLRFADDSYVDSYISTIGVDFKIRTIEMEGKTIKLQITCISMNTSLLPKCPQIVYDITDMESFNNVKQWLSEIDRYANDSVCKLLVGNKCDLAESRTVKTSVAQAYAEEIGIPFLETSAKDSINVEEAFLAMSAAIKKSKAGSQAALERKPSNFCAHNLPLVSTSFRDCCDQFDLPPALEASGDLDHQGSEHFIVAPDS >Sspon.01G0024400-3D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:84992884:84995951:-1 gene:Sspon.01G0024400-3D transcript:Sspon.01G0024400-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRAVSDGGENLDADGSDLGLGGSRASSHRRTDSIGAHRVRFPPEKGLLDDFSTAVKDMLFAGDDPLRQYKEQPSWAGRAWLGLRHVFPVLDWGRRYTLDDFRGDLVAGITIASLCIPQDIGYSKLANLPPEIGLWITQAALGFFRLGFIIEFLSHASIVGFMADAAITIALQQLKGFLGIRNFTARTESAHHGVKNIEKGVNPTSASLIYFSGPFLLKGFKIGVVTGLIALTEAIAIGRTFAAMKDYPLDGNKEMVALATMNVVGSLTSCYITTR >Sspon.01G0042590-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:60287133:60290279:-1 gene:Sspon.01G0042590-2D transcript:Sspon.01G0042590-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQWPRSPTPQCPCSHWLSYDIGVLAWLGGGGARASARQRNLTLWPPVSSLCSAAPRALAAFAHLLHAVSLPFAAAHLRHALFQAGQTESLFGRLGPTYFVLPAVGVEGCIYDYLNLQKTEEEKNQKTFRPKKNAPSGNKGVQLKKHIDATLGSGNLRDAVRLPPGEDLNEWLAVNTVDFFNQVNILYGTLMEFCTPATCPTMSAGPKFEYRWADGVQIKKPIEVSAPKYVEYLMDWIEAQLDDESIFPQKLGTPFPLNFKEVVKTIFKRLFRVYAHIYHSHFQKIVSLKEEAHLNTCFKHFTLFTWEFKLIDKAELAPLIDLIESIVSVC >Sspon.08G0011990-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:51520385:51522183:1 gene:Sspon.08G0011990-1A transcript:Sspon.08G0011990-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLRSKESASVCAQKREENQRLSSCYPAAVLGDLSRVRVHPLPSSVVARYHTPLPASLRLRGHLTPRPRPRAGFAGQSARPRRPPRRPPRLLASPRSPEKASEASLNPPNQNKERDRNLPARARPPRAPNSPAFDFLRSPDFSSDSFADPFLLRNLLRGPSPPVPGYSSYRAGQVPSSRRGLARRLPPRLAVSTGAVARGAEIHPDLDRSRLLDILSEFNNEDIDRAIALSLSEEEQRKAKTI >Sspon.05G0036910-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:5587354:5594909:-1 gene:Sspon.05G0036910-1D transcript:Sspon.05G0036910-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:6,7-dimethyl-8-ribityllumazine synthase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G44050) UniProtKB/Swiss-Prot;Acc:O80575] MATAPATSSVATNSPCLRLPSMPLRRAPAAISFPSRPWPAALTSCTGLSRRSDVVAAAGHQKLMGSLTSNEGLRFGVVVARFNEVVTNLLLQGALETFERYSVKAENITVVSVPGSFEVPITAQKLGKSGKFDAILCIGAVIRGDTTHYDAVANSAASGVLNAGLSAGVPCVFGVLTCEDMDQALNRAGGKAGNKGAETALTAVISPLIHTIRTSIRNWKGV >Sspon.02G0048350-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:24398268:24416386:-1 gene:Sspon.02G0048350-1C transcript:Sspon.02G0048350-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MWYGTASTQGSGGGRGLEAKGREEDARYILGRVRKENQKLRVYIYMAWLDSDDKLNKLERNRREVEEGKEKPEKLLTVKSDSSALDRSLRDPTADTISGDVAGGTVVLYDRKVVRNFRKDGHNWKKKKDGKTVQEAHEKLKIGNEEKVHVYYARGEDDPNFFRRCYWLLDKELERIVLVHYRQTSEENALPPPHAEAEVAEVPPINMVHYASPLTSTDSASAHTELSSAAADINSNGGGAISSETDDHGSSLESFWADLLESSMKNDTPVGASSCGGSLASNQQTNNGTRDSGNNILHANATSNVLVVGYFHENYKHLAGTNLLCVIGDQCVVANIVQTGVYRLIVRPHVPGQVNLYLTLDGKTPISEVLSFDYRMVPESQTLADDEPQKSKLQMQMRLARLLFTTNKKKMAPKLLVEGSKVSNLLSVSAEKEWMDLLKFGSDSKGTYVPAIEGLLELVLRNRLQEWLVEKVIEGQKSTDRDDLGQGPIHLCSFLGYTWAIRLFSLSGFSLDFRDSSGWTALHWAAYYGREKMVAALLSAGANPSLVTDPTHDAPGGYTAADLAARQGYDGLAAYLAEKGLTAHFEAMSLSKDKRSTSRTQSLKQNTMESENLSEQELCLRESLAAYRNAADAASNIQAALRERTLNLRTKAIQLANPETEAASIVAAMRIQHAFRNYNRKKMMRAAARIQSHFRTWQIRRNFMNMRRQAIKIQAAYRGHQVRRQYRKVLWSVGVVEKAILRWRKKRKGLRGIATGMPVEMATDAEAASTAEEDYYQVGRQQAEDRFNRSVVRVQALFRSHRAQQEYRRMKVAHEEAKKEFRFTGKSALTIASGVQSKVVKTGIGSGSEVTCTL >Sspon.02G0011980-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:30285320:30285676:1 gene:Sspon.02G0011980-2B transcript:Sspon.02G0011980-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GSDQGAAAAAAAEGRRRGRQRHGAAADGDEPVRAVRGPRGLQDARLRRAGPPPRLRRAPRRLRHRGAHRPRHRHPRGEAAAPRRRPASARPGSRRRRGRRAAPRGHGHRRHQPPRRAVA >Sspon.06G0013030-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:51691342:51692901:1 gene:Sspon.06G0013030-4D transcript:Sspon.06G0013030-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPLRTRPRRSRRHPPPTSPPASLSSLIRSCTSQRARRPGEQAHARAVALGLGAHPSVLPRLVTFYVDLGDLPAARATVERAEGKARTFPWNLLIWGYADRGLWGDVVLAYRRMLALGVAADRFTYPSVLRACGELRDASVGREIEQRVRSWGYGLDMYVWNALVGMYAKCGEMEDARRVFDGMPARDVVSWNAMVSGYASAGMWGEAFDLLQWVPGANIVTWNAVAAGNLKAGNDSEVIRLLSQMRSCHGPGLDSVTVVIGLRACSRSGYLRIGKELHAVSIRLCFDRLERVESSLITMYSRCQMMSSAYRLFRTCSTQSIVIWNSLLAGFGFMDQVEEAILLFREMIYSSVFPNDVTVLTMLSLSARFGHLCHGREMHCYIFKNGLGASNILQNSLVNMYSKSRQMAAAQRVFDQMQCQDRHTYTSLILGYGMQREGHLSLKLFDEMIANNIKVDHVTMVAVLSACSYSGLVTQGQLRFAEMFDVFCIAPRVEHFSCMVDLYCREGLLSMAEEIIN >Sspon.01G0029130-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:65212138:65216490:1 gene:Sspon.01G0029130-2B transcript:Sspon.01G0029130-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGPRDKGKAKASRTGDAPDQAKRRRCGAAAAAPPLGLEVAARSGGSVHTKEIMAFDVAHNLRSGRRVLVPNTNKPDPLRFRKGEKPVYDVSWKIPECVKVALEHYNRLNEDVRELVKAVDGYVFLYNGEWMHVNFLAKSKQGTPKAFFAELKIEGMKKISCTMCTEMDPDDPKTAPFRGGCGGCPSRIFHPAAGGHIGAKPGVGGARAEDLGAAPGGACGEFASLFNVDAMASA >Sspon.01G0028300-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:120020474:120024613:1 gene:Sspon.01G0028300-2B transcript:Sspon.01G0028300-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARWRPAALLVVTLAAVLSAAWRADALSVTVTDTECIHEFVPYEGDTVSGNFVVVDHDIFWSSDHPGIDLTVTSPGGNTVHTLKGKSGDKFEFKAPRGGMYKFCFHNPYGAPETVSFYIHVGHIPNEHNLAKDEHLDPINVKIAELKEALESVTAEQKYLKAREARHRHTNESTRKRVMFYTMAEYIAFMAASALQVLYIRRLFSKNVGYNRV >Sspon.06G0029380-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6D:22685414:22686021:-1 gene:Sspon.06G0029380-2D transcript:Sspon.06G0029380-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding PLGGGPGKKLRKMQQPEEPENTATVVYIGHIPHASTRTRCKFGDIKRLRIARNRKTGKSKYFGFIEFESPVVAKIVADEMNNYLLFEHTLQVSLVPPEKVHPKL >Sspon.03G0015860-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:74455987:74458548:-1 gene:Sspon.03G0015860-2B transcript:Sspon.03G0015860-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFDSYLTQYLYAHNIAGRTDKIVFKLFGKEPKDFPVDLREQIQNWLSHYPTDMESYIRPGCVILTIYLRLPNWMWDELDDDPASWIEKLISLSNDGLWRKGWLYARVQDCLTLSCNGRLMFASPWQPVIGDKLQRLCVTPIAVACSSSVKFSVQGFNIVQPTTKLLCVFGEKYLIQEETQMLLEDSTMQQGPQCLTFSCSFPCTSGRGFIEVEDYDQSSLSVPFVVTDNDVCSEIRTLEHGLNLISFDETSERIDDLMAYRSRSLHFLHEIGWLLQRSHMRATSEQPQYYPDRFPVARFRWLLSFAIDHEWCAVVTKLLNIMFQGDIDLDVPSPIEFALGENLLLTAVRKCSKPLVECLLRYTATNYAPVGSGDGAPVQFLFTPAMTGLSNITPLHIAATISDATGVLDALTDDPQQLGIKAWKNARDATGFTPEEYARQRGHVSYIQMVQDKIDRRVSRDHVSVTIPTTIDTVGKHTSGLKSADQITFGVEKQLSINQTSSCRQCVQQVQQLAFFHPRTNRFLSNRPAMLSLVAIAAVCVCVGLLMKSPPQVGCMRPFLWDHIRWGPN >Sspon.04G0031780-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:16965220:16968555:-1 gene:Sspon.04G0031780-1C transcript:Sspon.04G0031780-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLTLNIGVEQDVNLLPLWRWSLDTNKFDEMVLAAFMAKDKAAECTLGDIILQKIREKVATVCSYGNLLESELNSGQG >Sspon.04G0026400-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:55460790:55477191:-1 gene:Sspon.04G0026400-2D transcript:Sspon.04G0026400-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPDYTYRNSFDLIKVSTKEKKQIDPSKQDIVRLRVCLDHQVMFGEHVGIIGSTKELGSWKSQVEMDWTPNGWVCQLDLPGETLLEFKFVIFLNKGKDKIWEDGDNRVVNLPKNGTSEIKLSGDTEKEIDEDAKLSGNIALEEMGNIGNAGDGNLTPELESSTLGGLWQGSDTVFMRSNEHRNNESDRKWDMTGLDAVSLKLVEGDKASRNWWRKLELVRGLLSEYVHGQSHLEALTYSAIYLKWIYTGQIPCFEDGGHHRPNKHAEISRQIFREIERIYYAENTSAQDLLVIRKIHPCLPSFKSEFTASVPLTRIRDIAHRNDIPHDLKQEIKHTIQNKLHRNAGPEDLIATEAMLARITKTPGEYNEAFVEQFKTFYSELKDFFNAGSLLEQVQSIEQSLNESGLEALSSFLKTKKNLDQLEDAKDFDENGGIQVLLKTLLSLSYLRSVLMKGLESGLRNDAPDSAIAMRQKVILSKHKYGLKLDACISIKLIVDVLQWRLCEIGLEDYSFVLLKGLPTNTSLWDDALDALVIGINQVSFSGWKPNECTAIVNELLSWKQKCLSEFEGTISPLIYVMHECASLLVFSLWYPSTTVVMYIGSEDGKYIWALRLKATLDRSRRLTEEYSEALLSIFPEKVKVLGKALGIPENSVRTYTEAEIRAGRVLSAPAHRLDNTDIKININLIDVSKLCTVLLKATRAVLGSSVWDVLVPGVAHGALIQVKAAGDNIVGVILLQELPHLSHLGVRARQEKVVFVTCEDDDTITNTRLLEGKYV >Sspon.04G0001680-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:6773360:6774809:-1 gene:Sspon.04G0001680-3C transcript:Sspon.04G0001680-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEILESCMVKPSDDAATTTPMHVVWLSNLDLLVARSHTPTLYVYRRPSPDIPGFFSPDVLKAALSKALVPFYPLAGRLAQDGAGRPEIHCTGDGALLVTARADATLQNLAGGGGFAPSDELRQMLVPSAADGEDRAGILAMFQVTYFKCGGVCVGAAIHHTAADGLAALDFFNTWAAIASKGVDEAAPRPWLDRTLLRARSPLDVRLDHSEYSRRRGAGGDPKTARVPFDSAILPLSKTQLDALKANKKVSTFKAVVAHVWRCACMARGLAGEADTRLYMTADARSRVRPPLPAGYLGNAIFRASTVAKAGDVVSEPLDAVAGRVSDATARLDDEYVRSLVDCLEQVVSDAAGLRKGEWVMPETDLWVISWQGLPIYDADFGWGRPAFMGRACLQFSGLVYLVPGPDGDGRLDVVVAMEPKSLARFKELFYELIKGAGVPCMAE >Sspon.01G0015670-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:46554600:46556551:-1 gene:Sspon.01G0015670-3C transcript:Sspon.01G0015670-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQQEEVGLALGLSLGSGHHQQLKEQPPPPSHPRALLEPTLSLSSLPAKDGLTTTPMRRFAAVKRELQMEEDDEATDRALIYSVASSAVVTADDDEGCNSRKKLRLSKEQSALLEDHFKEHSTLNPKQKAALARQLNLRPRQVEVWFQNRRARTKLKQTEVDCELLKRCCETLTEENRRLHREIQQLRALSHPHPAAFFMPAAAALSICPSCKRFTTGVSAATATATTSADGRKAGGPGRVPHLFSPFTKSAAC >Sspon.04G0034140-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:71526221:71526815:1 gene:Sspon.04G0034140-1C transcript:Sspon.04G0034140-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQEKYKDTEPTVIDLFKVTHCSKKTGFCEPVKNAIASMETIQAESLQGQQPKSDIQVVAQVLPQSSTFLQNIGLQYSSGTSSRDVVASRVQELQAQLESE >Sspon.04G0004420-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:13097785:13110320:1 gene:Sspon.04G0004420-1A transcript:Sspon.04G0004420-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLLVLRAAPYRHSSHLCAATLLVLSPSQHRHFASFPSPPHFAARRIPPSPLRVPIRAVESSPGPTKEEQEPSPAASEAQEQLAPAAPAFEVEELGWGTQLAVKLRMLVAPPWQRVRKGSVLNMKLRGEISDQLKTRFSSGLSLPQICENFVKAAYDPRISGIYLHIEPLRCGWAKVDEIRRHIVDFKKSGKFVIGYMPVCGEKEYYLACACGELYAPPSAYVALFGLTVGQTFLRGVLEKVGIEPEIQRIGKYKSAGDQLARKSMSNEVREMLATLLDNIYGNWLETISSTLGKKKEEIEGFINSGVYQVARLKEEGWITDLLYDDEVMTLLKERVGQKDKKSLRMVDYSKYSRVSKQTLGLQGGGEQIAIIRASGSITRTRSPLSAPGSGIIAEQLIEKIRTVRESEKYKAVILRIDSPGGDALASDLMWREIRLLANSKPVVASMSDVAASGGYYMAMAAPVIVAEKLTLTGSIGVVTGKFCLQKFYERIDFNKEILSKGRYAELNAADQRPLKPDEAELFEKSAQNAYALFRDKAAMSRSMSVDQMESVAQGRVWSGHDAFSRGLVDSVGGLSQALAIAKQKANIPKDKKIQLVEVSKPSPSLPDILSGIGGSLLGVDRAVKGVFQDMTALSGVQARMDGILFENLGDMSGENQLLLLVKDIMSYFD >Sspon.06G0006600-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:23912818:23918837:-1 gene:Sspon.06G0006600-1A transcript:Sspon.06G0006600-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEFELEDNLEFILQSIQELMEDQGDNNAFGDANQNELFASLVSYDQENMLPDVSAADVAAGKDMQGIPWEKMLFSRDKYREMKMKNYRNYQNLSYAREMLCRSANKWRRIALTMSSTTTQGVLGHQLYIFRGHGSQSVAMVQVTTMAVDSNLLVVGGFQGELICKRLDDDGVVYSTRVTDDENAITNSLEIYQDPSGSRRLVAANNDCSIRIFDTEYFDLLKHYVFPWSVNSVSVSPNGKLFAVLGDHEDGCVVDPKCGKAIGSLRGHLDYSFASAWHPDGNIVATGSQDTTCRLWDIRNLSQSVAVLGGRMGSIRCVKFSSDGRFLATAEPVDFVHIYDAYADYSKSHEIDLFGEIGGLAFSPDTEAFYVGLADQTYGGMIEFSKRHQHHYLNSLW >Sspon.07G0006600-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:884943:890922:1 gene:Sspon.07G0006600-2B transcript:Sspon.07G0006600-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATADRTRTFMKDVKRVIIKVGTAVVTRHDGRLALGRLGALCEQVKELNALGYEVIIVTSGAVGVGKQRLKYRKLVNSSFADLQKPQMELDGKACAAVGQSGLMALYDMLFTQLDVSSSQLLVTDNDFENPNFRERLRETVESLLDLKVVPIFNENDAISTRKAPYEDSSGIFWDNDSLAGLLAIELKADLLVLLSDVDGLYNGPPSEPQSKIIHTYIKEKHHNEITFGDKSRVGRGGMTAKVKAAFVASNSGTPVVITSGFASQSILRVLQGEKIGTLFHKDASLWEPSKDVSAREMAVSARECSRRLQNLSSDERKKILLDVADALEENEDLIKTENEADVAAAQDVGYEKSLIARLTLKPGKIASLAKSIRTLAHMEDPINQILKRTEVITGAIPSNVGEKLIGLVTSRDEIADLLKLDDVIDLVIPRGSNKLVSQIKASTKIPVLGHADGICHVYIDKSADMNMAKRIVMDAKIDYPAACNAMETLLVHKDLIKAPGLEDLLLSLKTEGVTLYGGPVAQELLCIPKADSFHHEYSSMACTIEFVDDVQSAIDHIHRYGSGHTDCIVTTDDKVAETFLRQVDR >Sspon.01G0007240-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:19736417:19738338:-1 gene:Sspon.01G0007240-1A transcript:Sspon.01G0007240-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Photosynthetic NDH subunit of lumenal location 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G39470) UniProtKB/Swiss-Prot;Acc:O80634] LRSNSLNLGADFQLVVPNCAVTAKLNGNPPSVVAASSKEGSLNDSKVTKRRLALFGAGALATGLLKTSSAFAEEVPKNYKSYVDAKDGYSYLYIHLNGGVIFIVPLFLVLDRISTSWVMIQHSKIKILPFNIRDLGPMDEDFDFLGHDSAFKDKNFALQCVRVGFIPTEKTDIRDLGPMDETIFNLVNNVYAAPNQIPSVYDMQERTVDGKNYWTFEYDLEAPGYGRYYTLIVTANERRWSRLRNRL >Sspon.06G0009100-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:38665184:38666316:1 gene:Sspon.06G0009100-1A transcript:Sspon.06G0009100-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPHEKVVVLKPVASRPFSRFRPFPKVLQDFNATCSPTITIPEETELIRPKATRLASLPGNLPTQIAATIDAGAGSDAISEEVEVNAEHFTCCDHVTACQAARRNGVRSRLSLDGYNWRKYGQKKVKGSEFPRSYYKCTHPSCPVKRKVEMTIDGRIAEIVYSGEHNHLKPGKPCPPRKPLSSTSTEVVMCDMHGIDDMM >Sspon.07G0030080-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:1910772:1915796:-1 gene:Sspon.07G0030080-1C transcript:Sspon.07G0030080-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQQMSVRKKRSNPTGPETEMLCASAMQFSSVFPLEGKACMRPVRRGGEGSWSERMRIGNSCSIRRNKALRRMCFGARGAVSSAQCVLTSDAGPDTLVPIGGCYRLIDIPMSNCFNSGINKIFVMTQFNSASLNRHIHRTYLGGGINFTDGSVEVLAATQMPGEAAGWFQGTADAVRKFIWVLEDYYKHKAIEHILILSGDQLYRMDYMELVQKHVDDNADITLSCAPVGESRASDYGLVKFDSSGRVIQFSEKPKGAALEEMKVDTSFLNFAIDDPTKYPYIASMGVYVFKRDVLLDLLKSRYAELHDFGSEILPKALHEHNVQAYVFTDYWEDIGTIRSFFDANMALCEQIKDAIISHGCFLRECAIEHSIVGVRSRLNSGCELKNTMMMGADLYETEDEISRLLSEGKVPIGVGENTKISNCIIDMNARVGRNVSITNTEGVQEADRPEQGYYIRSGVVVILKNATIKDGTVI >Sspon.05G0010750-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:21683645:21686888:-1 gene:Sspon.05G0010750-1P transcript:Sspon.05G0010750-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPLAHPVGHRHLHLHLPPAALQRAPAPGQAYLPSRRGARTLARARPPSPSAGAAGTETTSTTSGSVLSFLCPLLKLLGGGDPSQQRNDVVEVTTSSISSLARLPWGSKVATSSGENIDSAISTPTLQLYEFESLRHRDVVKKIGGKEQFPLLVDASTGISMYESEDIVKYLFRHYGQGKSPSPGLLESTIFTGWVPTLLRAGRGMTLWNKAGAVPAEKLELFSYENNSYARIVREALCELELPYVLQNVGEGSSKTDLLLRKSGSKQVPYLIDPNTGFQSSDHKKILAYLFQQYSASS >Sspon.03G0022990-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:70166245:70166604:1 gene:Sspon.03G0022990-1A transcript:Sspon.03G0022990-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding HASGGHPAWADDRPGGGGGARGGARGAAGPREPRGDLRPPRLLHGARDEAAAAGGGRARHRHRAGRRRRGGGGARGGRGRRRPGALRGRRPRRRTRGPHGPPPQRTPRAQAQGARRPLRL >Sspon.04G0003360-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:10871389:10875158:-1 gene:Sspon.04G0003360-4D transcript:Sspon.04G0003360-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding HMPILGIAASAPPAAEGDAARAPSGSGGGSVQQWCVRIGAMMLHRINGGRPLLGEPDSSNCNTLPFPSA >Sspon.01G0052050-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:54498792:54501256:-1 gene:Sspon.01G0052050-2P transcript:Sspon.01G0052050-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATYEPKNILITGAAGFIASHVANRLVRNYPQYKIVVLDKIDYCSNLKNLNPSRSSPNFKFVKGDIASADLVNYLLITESIDTIMHFAAQTHVDNSFGNSFEFTKNNIYGTHVLLEACKVTGQIRRFIHVSTDEVYGETDEDAVVGNHEASQLLPTNPYSATKAGAEMLVMAYGRSYGLPVITTRGNNVYGPNQFPEKLIPKFILLAMRGLPLPIHGDGSNVRSYLYCEDVAEAFEVVLHKGEVGHVYNIGTVKERRVIDVAKDMCRLFGLDTEKVIRFVENRPFNDQRYFLDDQKLKRLGWAERTPWEEGLKKTIEWYTTNPDYWGDVTGALLPHPRMLMTPGVERHNWTEEIKSLTSSPAEASTTAPATSTKRTTDAPQKPLYKFLIYGRTGWIGGLLGKICDKQGIPYEYGKGRLEERSQLLEDIRNVKPTHVFNAAGPNVDWCETHKQDTIRTNVVGTLNLADVCREQGLLVINYATGCIFEYDAKHPEGSGIGFKEEDTPNFTGSFYSKTKAMVEELLKEYDNVCTLRVRMPISSDLSNPRNFITKIARYDKVVNIPNSMTILDELLPISIEMAKRDCRGIWNFTNPGVVSHNEILEMYKKYINPDFKWTNFTLEEQAKVIVAPRSNNEMDASKLKAEFPQLLSIKDSLIKYVFEPNRKVPVN >Sspon.03G0026800-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3B:3868594:3873776:-1 gene:Sspon.03G0026800-1B transcript:Sspon.03G0026800-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GHRRRRAAPRRPGRRRRPGAAAAAGVQAGAQARPLRRLQLRLLLRHHLRADGRDDDVQHRPPLRRAGVHDAGLARRGALQRLRGAVHGGDLLRLPDLRRPLLLERQARRQGMGSTCLLGHRMVLNRRAVGVHHERGLLAGAADPGDHPAGHRRSQRRRLPRQQVRRAGHLHRHPGRPRPHQQPAHPVALLVRPARSLLERRRCVRPRHPRPVGGQGEGERRVHLHPHEHRQRHGHPQQGLHPRHGGDEEGGLERADGDRLLRRSLQRVRVDLPAGSDVGGDRHPVPAGHRQRRRRVRHCPGADGVRLLQGWSDAVLARLVPGEQAGGALQRRLALRVRGVRHGPHVAGEPGGVPGHGVHRDAGSVHRLRAAHLLPRDDGEEVVRPRAVSPREIRRRRRLGGRALGGPRHRALLPAGGVPRHQGDLELHAGGRRRRAGAQPRRVGAPRPAYLL >Sspon.05G0015340-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:58945016:58948746:-1 gene:Sspon.05G0015340-1A transcript:Sspon.05G0015340-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable beta-1,4-xylosyltransferase IRX9H [Source:Projected from Arabidopsis thaliana (AT1G27600) UniProtKB/Swiss-Prot;Acc:Q9SXC4] MASIRRPHSPAKQHLLRHHHPFATSSPPSSPLRYSSSSPRTHHHGGYPHPFLFFTRRPLPRFAAFFLLGSFLGLLHFLSHLPHQTPHLHPSSPNNPAATAVLPHHHQLPIVSLPDDESSDADGNNKKLLVVVTPTRARAAQAYYLSRMGHTLRLVDPPLLWLVVEAGKPTPEAAAALRGTTVMHRYVGCCDKLNASDDPLRLRPHQMNAALELIENHRLDGIVYFADEEGVYSLDLFKRLRQVRRFGTWPVPVISDNRKDGVVLEGPVCKQNQVVGWHTSEHVSKLRRFHVAMSGFAFNSTMLWDPKLRSHLAWNSIRHPDTVEEGFQVTTFVEQLVEDESQMEGLPADCSQIMNWHVPFGSENLAYPKGWRVATNLDVVIPLKYFGCGSAEESRVDYIGEATLEKKRLLDEISAASTMKPAILLQSRALIYCAGEADGKGKILYERALVRGTTFTSGRQGKWPPLTGPLKPAGEAPVKLVVAWLT >Sspon.04G0010470-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:30907321:30910806:-1 gene:Sspon.04G0010470-3C transcript:Sspon.04G0010470-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AMAAGAVPLAYQSSSSSPEWLNKGDNAWQMTSATLVGLQSMPGLVILYGSIVKKKWAINSAFMALYAFAAVWICWVVWAYNMSFGDRLLPFWGKARPALGQSFLVAQSQLTATAVLYHRDDGTTAEAEMLRPLYPAATMVYFQCMFASITVIILAGSLLARMNIKAWMAFVPLWITFSYTVCAFSLWGGGFLFQWGVIDYSGGYVIHLSSGIAGLTAAYWVGPRSASDRERFPPNNILLVLAGAGLLWLGWTGFNGGDPYSANIDSSMAVLNTHICASTSLLMWTLLDVFFFGKPSVIGAVQGMITGLVCITPGVGLVQGWAAIVMGILSGSIPWYTMMVLHKKWSFMQRIDDTLGVFHTHAVAGLLGGATTGLFAEPVLCNLFLSIPDSRGAFYGGDGGSQFGKQIAGALFVIAWNIVITSIICVLIGLVLPLRISDAQLLIGDDAVHGEEAYAIWAEGELNDVTRHDESRHSGVAVGVTQQTRRLAPNASRSAPPSEGRNRSGANASGSAHTAALWGVMVHMFTIAVVPAGTTMPL >Sspon.01G0060890-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1D:85200856:85201392:1 gene:Sspon.01G0060890-1D transcript:Sspon.01G0060890-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNGFLNFLPMRAIPFYSCACLHSVVMVGAECRLNYWVAASRFHRFLGQKMGKIITCQAECVVEFNHTTRLKHVIVKYHTLFGAVMSEPDALSPTCRCSRRAWQPGAGGGPSARSRWRRALLSARAPYADTELPPGTVVEAFDRLMGRDATDGPGPALRCAVRAVLQLAGAGDDVDRLP >Sspon.03G0026400-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:1464103:1466957:1 gene:Sspon.03G0026400-2C transcript:Sspon.03G0026400-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAASARPVLRVAAICGSLRKASFNRGLLRAAAEVCEDSIPGLRVDELDISDLPLINTDLETGGGTGFPPAVEAFRAKVCQADCFLFGAPEYNYSIASTSFAPLLPSSPLKNALDWASRGKNCWADKPAAIVSAGGGFGGGRSQYHLRQVGVFLDLHFINKPELCVQAFQQPPKFDSDGNLIDAEIRERLKKVLLSLQAFTLRLQKD >Sspon.04G0005230-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:14990482:14992382:-1 gene:Sspon.04G0005230-1A transcript:Sspon.04G0005230-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIRRESSETLRNKCAACFRQYNRMEHLVEHMKVSYHSVHEPKCGVCGKHCRSFESLREHLIGPLPKVECARVFSVRGCSICLNIFDSNATVRCHRAACQYSRAAPQMPRGGITGRAVALACKMVGGGSDGSMDLCARVCLIGEDEHIIFQTYVKPTLPVTNYRYEVTGIRPEYLRDAMPLKVAQRRIQEILCNGEPLWKLRPRSYGRAKILVGHGLDHDLERLGLEYPAFMIRDTAKYPPLMKTSKLSNSLKYLTQAYL >Sspon.03G0029680-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:17702667:17703497:1 gene:Sspon.03G0029680-1B transcript:Sspon.03G0029680-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFSAIISSMDSRKSRLGSRDDFTTAKLSARGGGGLVRAGERTVVVVVVGDLHFLDDAKRGVLARVLRRRADDDTDRLGLSLPCSGTGTGEARRVACARTSMCLSSSWQPSGSLFAGDLVMIGGSVLSGLLLVRRSMMISVAEAAVEAGEDATPLLLLADGGAAASLKDRLRWWRSRLLDRRGGDSCSGGKRVSEALGCSGDGEPSWTRSPARGV >Sspon.03G0015260-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:69330177:69331171:1 gene:Sspon.03G0015260-1P transcript:Sspon.03G0015260-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MERVASSCVSLLAQRRAYSVAAAMAKGAARRADEKKAAAAAVTKRVMGKKEVNTAAAAAEEKKAWVPDPVTGYYRPAGSAAKEVDAAELRAKLLPEASAN >Sspon.08G0022770-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8B:50944147:50945183:-1 gene:Sspon.08G0022770-1B transcript:Sspon.08G0022770-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding CAFCNVGSYYLVDAGYKNCDGFLVPYRGQRYHQKNGATHLQKENSLFRNHNMYAESPGGAALYGKPFVNFDKLFEVYASDLAKGVKAKGPGSVEMHEELSSGNVTEATQQFENAVDSHSQPSSHGSIHRSSQCRGYECTAFTQELRLKAAAERREQLFAVQSEFTSDQIVKAAGQNEERLLSRHAKRQTPTSGVFGFWLLKGEPG >Sspon.03G0008920-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:31649679:31652453:1 gene:Sspon.03G0008920-2B transcript:Sspon.03G0008920-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAKNHSHRATYRLCFLLSMATLSSAVSATDSPLCSIPSPVPGHVSAGKDTLPLIHSFQLSSGYFFGGEDIHFAKDDESDDSPLHLPPSFTLLPLQVQSTTNSTVMHVGATLTLSGRRAAFHTVAAHRRDRFAGGAHSVSFHLDGYYSSTSEELCMLGSGTYMEDGWPKHLPDVVLRLRVPSSPSLKDPFVTGELKGAGFDAITLVSYAEGDSYEYGQRASCPPLQLPPAVRGALQALGASFSCARLREQLVSSYKLQHGGSGVPASSTSPALQEPRMRVGQVQCTADGAVRLYATFSNNTNLWGMRYLRPGFMVKEAAVVAEGRWDSTQSTLCLRACRVVRSGPTSLAVQEQQDCSIGMSFWFPAVWTIRERSIVAGRLWNSSQGTAATASNAAAAAAAAAGAVSASSIDFDINRDTFSDVNYTYTTIDDAKQRYFADVLRSHESKANMGTYPSANYTYHDFQFRFHMENRGSELGEAYPVTIGSAIVDGDRLPAGGSFSSWHAKVDMEHELLKVSYDIYTRHLPPRVNWMNMTSPVTIEERLIAAEGVYDPKTGVLCMIGCQELEGSTDCQILITVHFASLDAKAQGHGRGVISSLRAKTDPLFFIKMDIALLGMYAEQVSASISRMDLESVMLVVSTTLPCIFTALQILHAKRNPEASASTSITMLVVLAMGYVAPLVISSEALFVSRGTEYAPFQRKVPYELKQAMLRVPTLIAFVLQLRLLQLAWSARRSAADRSKDETAAAAAAERRALWVCLPLYLLGGALTIVLHMENSHRAAREDSLAVRVGPEPATLWEDLASSAGLALDGFLLPQVAMNAFSGGKVRAVSPWFYVGGTVVRAMPHVYDVIRSQGYVPSLKPSKVYASPLDDRFGVAWDIVVPCGAALLAVLLFLQQRLGGTGTGTFLFRSRRTGEYQMVSTF >Sspon.02G0044620-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:103126860:103131223:-1 gene:Sspon.02G0044620-1B transcript:Sspon.02G0044620-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VDMVTVREETRKGPWTEQEDLQLVCTVRLFGERRWDFIAKDAGLNRTGKSCRLRWVNYLHPGLKRGRMSPHEERLILELHARWGNRWSRIARRLPGRTDNEIKNYWRTHMRKKAQERKRNMSPSSSSSSLTYQSGYPDTPSTIGVEGQELHGGSGCITSILKGTPPDMDGYPMDQIWMEIEAPEVPSEVGFVGGNENGCSSLATPLPPPTVWEYYPEACWKIDDEIKMAPQFSYSLQVYGSMHDFYSIYLVCKTRSSQFVLDAMEYKVSGSITFMEKTSSE >Sspon.02G0015060-3D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2D:35290507:35292471:-1 gene:Sspon.02G0015060-3D transcript:Sspon.02G0015060-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTYTETTDGSTIENKETAIVWCYEDADPDFGSCQAKELHDHLESVLSNEPVSVKAGPNLVEVKPQGVSKGLVAKRILSTMQERGDLPDFVLCVGDDRSDEDMFEVITTAARGVSLQPEAEVFACTVGRKPSKAKYYLDDPADIVRLVEGLANVSDDDQTHAPQLPTAAATDTVPRCTKCSRNVLVLVRAACLVLVVATALCSAGVYIDISHSPRSAAGHVDLSPDYCRSGLEAPEASIIPVATPRILAAANDGEQQQMVEVVVALADPPHSNSQQQQHGRRLIVLPIGAKCELVPLRFETLVLPTTSGLVRHRAAGGVVSPCLVVPSLGLQRSRRRRGWAMTSAVASTRTRVRGGVRCGDVALARARCGVAAPTSRLQLQAGSLLTQGLVQFSNSLPKFHYAKRRFSVTSKCRQMHGILNVDEIKN >Sspon.03G0047110-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:52789793:52796041:-1 gene:Sspon.03G0047110-1D transcript:Sspon.03G0047110-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMVDKDLGSNYDRVELEEMVQVALLCTQYHPSHRPRMSEVIRMLEGDGLAEKWEASQNVDTPKSVSSEILPPKYMDFAADESSLGLEAMELSGPRLAKHWCWSTEQVEWWLALLQSPIARCLGLSVPPSAQPALEAPISTGSMARLQSAEWQTAGHPLSSALYSTARPARQPRPSPRPAPAAARNPRPSDQRPTARSPPLRQPAASANVSGNFGRERRRKTREDRRRKTERVWEKLDLLMGLVGPASKEGRAD >Sspon.02G0024410-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:83562945:83565963:-1 gene:Sspon.02G0024410-1A transcript:Sspon.02G0024410-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTASAGKQKLPVLIHLHGGGFCLGRACGRTCTSCASASLRTPAPSCSPRSVAAFAADGWLTEAANFGCVFVTGDSASGTIAHHLTVHAGSEAVSEPEPGLVTVHGYVLFMPFFGGVWHAASEAECSEEAFLNLDLVNRFWRLSLSLPAGATRYHPAANSFGPDSPDLGSVDFPLVLMVVGGLDLIRDRTVDYAETLVPMGKPVELAEFTGKSHGFYLHEPGSEATAELIQTIARFVDSCGAVAASEAGRLLLNLLGRHISLIIRLGDDDGVLGSSAEAAVSGQEFNPSSGRAPARHHRLGRTCGNSQ >Sspon.06G0000210-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:888666:889544:1 gene:Sspon.06G0000210-1A transcript:Sspon.06G0000210-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRYEVEVTVGSASNLKNVNWRNGDLRPYAVLWVDDDGPKCSTRVDPDNGEDPVWDEKLVVPVPPASAARLGDAVLHVDVVHAASGGDDADEVKPLVGSARLPLRDVLDDASGVVGGPKVSRTLRLKRPSGRPQGRLEVRVAVREAPPPRYYDPSPYPAPAFGNPAAAARDPYYAAPPAYGGQPPYAAPPVGYPAAAAAAPPYGGGYGYGAAAPAPAPAAAYGAEAAAPAQKSSKMGMGTGLAVGAAAGLLGGLALAEGASYLEDKFEDRVAERVEEETFGDGDGDYDDDY >Sspon.04G0005890-4D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4D:17485839:17486996:1 gene:Sspon.04G0005890-4D transcript:Sspon.04G0005890-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVASSSPPATIAGPQPTWVPYEPTRDCSQGLCSMYCPQWCYFIFPPPPPAFDIAGPGDGDDSSGPTFSPLVIAIIGVLASAFLLVSYYTIISKYCGTFSSLRNMLFGPRRGRGRGGDGGGGGDSRSQEPWGGVPSDGLDETLINKITVCKYKRGDGFVDSTDCSVCLGEFRDGESLRLLPKCSHAFHLPCIDTWLKSHSNCPLCRCNIAFVAVGVVSPEPERDRRDNNPELILTVDDSSEPVRGVPQSQNQNVVSGYGGDGQVGLAPKDCPGRSEEASGIAEIKEDCALPVRASSSLSDTHREGRMSIADVLQASMEDELMMARESGLLAGSSGRCHGEHSKDGSGGGRSGRAMPDAAKRLPSVGRSCFSSRSGRGKDSILPM >Sspon.08G0009190-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:35557788:35558947:1 gene:Sspon.08G0009190-1P transcript:Sspon.08G0009190-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSMTIQCCAVLLLPVALLVLAGSSPVAAQLELGYYSKTCPNVEAIVRKEMEKIISAAPSLAGPLLRLHFHDCFVRGCDASVLLNSTATNLSEMDAIPNRSLRGFGSVERVKAKLEAACPNTVSCADVLTLMARDAVVLAKGPFWPVALGRRDGRVSSATEAADQLPPANGDIPLLTKIFASKGLDTKDLVVLSGGHTLGTAHCRSYAARLYNFSSAYSSDPSLDSEYADRLRTRCSSVDDKTTLTEMDPGSYKTFDTSYFRQVAKRRGLFQSDAALLADAATRDYVQRIATGKFDDVFFKDFSESMIKMGNVGVITGADGEIRKKCYIVN >Sspon.01G0021440-1P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1A:78681333:78681939:-1 gene:Sspon.01G0021440-1P transcript:Sspon.01G0021440-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DLFAAGSDTSSSTVEWAMTELLQNPVPMSKVCNELAGIIGSGRNIEESQIGQLPYLQAVIKETFRLHPPGPLLLPRQAETATKVTGYTIPKDVRVLINIWAMGRDANIWSEPEKFMPERFLAKTVDFKGGDFDLIPFGAGRRICPGMPLAIRMVHLVLGSLLNQFKWKLPADVERNGVDMAEKFGVTLIKAVPLCAIATPI >Sspon.04G0025770-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:30361154:30366161:1 gene:Sspon.04G0025770-1B transcript:Sspon.04G0025770-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGPLCGRDRVRGRRYGAHVTSGNDIGRQMERPRRKARAVNPLGMVRATWAARAGRCVKASCRRDCVLSAAAVPPVFGPFSSFFSSSNYENPRRHSGGSSASRRLRYLLALAGDYLKYLFMKRRRLMHKVARRTLALVHRHGGERSKSHHPWPRALMEHEFSCADSPSPAFLAAKRLLLRSRLRGSGAAAAAAGAVSSCFGSFRAPFGSPEETMASEAEAAGEEDELETTEDEEEDDDQVRTAEDGGWLQCGELLDVDDRAEEFINMFYEQLRAQNFAAGGREGLDQVTEVGERTMCGSHWAHDEVWGWTKSWRGVMSTWWTARPRTLRPDLRRQRLVTSTEVYMKTLSSAPLNTQPHLPVTTRSRRHRPPHRDPGLHPGHQHPRIVTPALCEPGASSQEIWAGESCIGPNTPRVGRKQEPPAAPSSVGRKKHKQK >Sspon.03G0022890-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:88540859:88543790:1 gene:Sspon.03G0022890-3C transcript:Sspon.03G0022890-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDSSGSVSVDVERIFFGGKEHRVRTRHGPLSVSVYGDEDKPALVTYPDVALNHMSCFQGLFFCPEAASLLLHNFCVYHITPQGHELGAAPISADVPLPSVDDLADQVADVLDFFSLGSVMCLGVTAGAYVLTLFATKYRERVLGLMLVSPVCKAPSWSEWLYNKVLLNLLYYYGTRGLVKESLLQRYFSMDVRGNGQDPESEIVQACRSLLDERQGTNVWRFLQAINRRHDLTESLKKLQCRTLIFVGDSSQFHADAVHMTTKLDRRYGALVEVQACGSLVTEEQPHAMVIPMEYFLMGYGLYRPSQQESSPRSTLSPFCISPELLSPESMGVKLKPIKTRTSLNV >Sspon.03G0029850-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:25349823:25353086:-1 gene:Sspon.03G0029850-2C transcript:Sspon.03G0029850-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTSSSGSIEAPAANSRPGSFSFASTSFTDMLGGSADAAGGASRYKTMTPPSLPLTPSSFFSSIPGGLNPSDFLDSPALLSSSVSKHGRTRSKPRHHCPAAISVRVMAARPWGFDLTRGALTRRACAQPHHAGHRRPCSGAHCRPHVFLNANALCTFQIFPSPTTNAFASQQFSWLATPGAEQGGKDEQRQSYPDFSFQTAPTTQEAVRTTTTFQPPIPAAPLGEEAYRSQQQPWGYQQQQPAGMDAGSSQAAYGGPFQAASSDAGAMAPHVPASGGYSHQAQQQSQRRSSDDGYNWRKYGQKQVKGSENPRSYYKCTFPSCPTKKKVERSLDGQITEIVYKGTHNHAKPQNTRRNSSAAAQLLQGGDASEHSFGGTPVATPENSSASFGDDEVGVGSPRAANAGGDEFDEDEPDSKRWRKDGDGEGISMAGNRTVREPRVVVQTMSDIDILDDGYRWRKYGQKVVKGNPNPRSYYKCTTAGCPVRKHVERASHDLRAVITTYEGKHNHDVPAARGSAALYRPAPPPADNAGHYLAAAGVAQPGMAYQTGQQQYGFGGQGSFGLSGGGAPAQSSGSFAFSSGFDNPMGSYMSQHQQQQRQNDAMHASRAKEEPPEDMSFFPQSMLYTD >Sspon.07G0008930-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:24601972:24602743:1 gene:Sspon.07G0008930-1A transcript:Sspon.07G0008930-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding NAADAAKAEGAPARATAAPAVPPGVPSPSGRRVVRRARDCAVRRAAGHVRGLPRGAGRVVRPRSVRRLRARRGRAPRQVPCAFPTPRRHPVPRSPSRRAALRLLRARRRRPQVLRRRPRVGLAGGSRDRRRQGAVRVPFHGAVVGGTARREQGGGRRGAGLLRAVLAGARPGASRVPGRRDQAARERQRGNGASGDPRRAGSRRRRPCKRATRISPEIWVHEV >Sspon.05G0000070-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:523146:524583:-1 gene:Sspon.05G0000070-1A transcript:Sspon.05G0000070-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHARFRPSSELKMAAAASMAAHVVASSRPGRRMGGCTCTCRSEPPSFLVVSCDAKPADVYSSLAAKILAAKLKVEFAGVELRSNKQPSPFPGAYTLTHCDFTANLTLAVSGPMTSEQLAVDAAEGRRGGRMEGDDHHQQQQRRGEGDDAAGALLRQRRQPPAGAGRRFQILRLLQRAAAGACIPIPIATNRNNNLICCLWMDVKVLKAVVHGDEALFAERPELMEAKVWLHFHSSSRKYNRIECWILIWMAAGWTNCRAQSSPRASGEGSGRPRRQSSTPCSPFSSDFDSAACCLPIDGL >Sspon.08G0000420-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:1678996:1681073:-1 gene:Sspon.08G0000420-1A transcript:Sspon.08G0000420-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLQLQNEVALADWNGEEPGSRTRPMTREAEHVMWAEARARHHGAGQAGTVRKESTQTAPAISPHKSKKQRKMNAYAYACAGVQQLHALFVLLSAPTAPHRTAPHLHRPEGKEISTPLHSTRTHTARPPSFPSFLETMKQHQQQLLLLSLMFLVAVAAAAVAADPQPQQDGDEQQGQIPASAAKTSCSVRCGNNWNNEMCNKMCNVCCNKCGCETRHLCSCYDTMVNPHNPHKLKCP >Sspon.01G0028720-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:116762133:116764543:-1 gene:Sspon.01G0028720-2D transcript:Sspon.01G0028720-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIPSRHPDHEEEEEYDDALFYEDIQAPKFVDLTAPDAGRPDDDPAWFCLRVGCDQNHEQVDPEALDRSFFMRVMAARSPNVRLQKAISRKNQSSMLKCPQSAPPKPPRARFARLSVETEAADKAPAKPKPRVQRICALRASPIRAKAARVECPSERKKALTTPRSKAVRPRPELFHSVKHQKEPFAAAARKGTVVKALFMSTPKKEPVQTPAADKGKEAVSEAGSKPRKLNLACREVPSRYMSQLKNPKTAKKGEETTVAKSEKRGQESKTNVKKKILGRSVKCANAEPYGENQNGCSTAADENSLAETAGSDQERKVVLQELRIEVDTSRSNNSDDNKENLSSAPIEETLDSSYSESENRQLENNENVPLKENVALKVTKLQNKVHQEQAGKLKKTTNPRPFRLRTDERGVLKEAKPEKRQPFAENNSMAVLKDAANRGPTVSSSASRCPAFSSSTTGSLTALYVCNTMQQMDKHTHGKGRDKPTYCKKQVSMDAAKYFQLQFKQVVGVLMLICAFTIYVQKKQSTQIVTGQQQLGESRPALNSIQRKAVKPQTVSRAIYMLLSTASNISLFRLRSGLMAPSRVGKERKATVKLSRFQTTVA >Sspon.04G0027260-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:60751841:60757065:-1 gene:Sspon.04G0027260-3D transcript:Sspon.04G0027260-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GHVGVSLQFESGYFVETLVEGDKLGVTPHTIRVSPVEGGELLAVDSAHSNIVRITPPLSEYSRGRLVAGSFQGHSGHIDGKPSDARFKRPTGVAVDDMGNVYVADTANLAIRKIGESGVTTIAGGKSNIPGYRDGPSEDAKFSTDFDVVYVKKMCSLLVIDRGNAALRKISLPQEDCTYQDSALLSSDLILVIGAVVAGYIFSGFQHGFGFSGSEKVEAPENEQHESSTIGKPPLVVESLKEEPGAGWPSLGTLIADLLKLAIEGVGKLLLRVVPQRVQQGKRKTDLTPLRDRLVMPEDREETPAAQKLSSTPMRPETVHAPNAVTETAAKAQKSIKSSKFRDSTLSSKHRSSKRQEYADFYGTSEPAPIGAKVPKDRLRHRHHREKSGEVAYGAAHPDLKPAEAKPADYSDPKYDPYMRSKYAAESGYRY >Sspon.01G0034370-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:2954585:2954770:-1 gene:Sspon.01G0034370-1B transcript:Sspon.01G0034370-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRGKTQMKRIENPTSRQVTFSKRRNGLLKKAFELSVLCDAEVALVVFSPRGKLFEYASGR >Sspon.04G0034520-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:76110443:76112071:-1 gene:Sspon.04G0034520-1P transcript:Sspon.04G0034520-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SMELELEPAPVPSPQVNGAGGPMARRIVAAHRLPLRVSEDPDSPFGFAFSIDPDAVAFQLSRGLPAPVTFIGTLPASAESKIVPSDELDNYLMENFSCLPVYLDGARHNQFYDDFCKHYLWPLVHYLLPLSPAHDGDLRFDAGMYRTFLAVNKQFADRVIEVVSPDDGDLVIVHDYHLWVLPTFLRHKCPRAGVGFFLHSPFPSPEIFSDVPIRDDLLRGLLNADLVGFQNADYARHFMTCCSRHLGVSSGARTGHATGINYHGRTVVVKTLSVGLDLGRLRATLATPEAATKAKEIAEAYGGRTLIVGVDDVDLFKGVKLKLLAMEKMLETHSDVHEQVVLVQINNPARSRGRDVDGVRGETQQILQRINTRFARAEMAPVVMIDGPVPMSEKVAYYAAADCCVVSAVRDGLNRVPYFYTACREEASGARKHSAVVVSEFAGCSPTLRGAIRVDPWDLEGMANAMHAAVTTMSAEEKQARHRSNYEYLRANDAVTWAQAFDDALQLACKDHSTTLFVGLGLGMSYRVAAIQPSIRTLATSLL >Sspon.05G0000730-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:2671323:2672753:-1 gene:Sspon.05G0000730-1A transcript:Sspon.05G0000730-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding EPGTQNRISAAAKKKKTAFPPPPLAGNRHCRALAVVLHCIGTRLPSPSSPPCATCPRRAGTPPVFFPSRRRSSSLPPSSACLSMAWIWMVLAAGAVLLWAISLGRILSYPSPSCVPLSPQFVPPLRGDRRSRNVLLVVAHPDDESMFFAPTILFLKSKGHSIHILCMSRGNADGLGNTRKEELYHACETLKIPYEQVKVLDHPKLQDGFHEKWDHGLVAELTMEHVQLWAIDTVVATSQRPYELQNTLHF >Sspon.03G0005050-2P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7B:17898506:17902872:1 gene:Sspon.03G0005050-2P transcript:Sspon.03G0005050-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLFLLLCCLRWQGTLLPCSPAPRAKRRSGGGGGRGGDSVTFPGEVEKRSVSGGATSTDGPTGAALPSSRRPVRSPSPSLSSHNPIQSCLFSFLSSSTPHPHRTAPVGAACRIRSSILLDPTSRRKTTTTAREDTTKRRASKSKSFKDVENFEVLVLEKSCGCKFKSLRILIVAIISATVLTLVTPTLYEHQLQSASRYVDVGWMWDKTSSDPRYVSSVDVRWEDVYKALGNLRSGNQNLKVGLLNFNSTEYGSWTQLLSDSHVSIIRLEHAKDSITWQTLYPEWIDEEEETEIPSCPSLPEPNVRRGVRFDVIAVKLPCTREAGWSRDVARLHLQLSAAKLAVTSSKRNHKVHVLFVTDCFPIPNLFPCKNLVRHEGNAWLYSPDSKALREKLRLPVGSCELAVPLKAKSRLFSVDRRREAYATILHSASEYVCGAITAAQSIRQAGSTRDLVILVDETISDHHRRGLEAAGWKVRIIQRIRNPKAERDAYNEWNYSKFRLWQLTDYDKIIFIDADLLILRNVDFLFAMPEITATGNNATLFNSGVMVIEPSNCTFQLLMDHINEITSYNGGDQGYLNEIFTWWHRIPKHMNFLKHFWEGDSQAMKAKKTQLFGADPPILYVLHYLGLKPWLCFKDYDCNWNNAGMREFASDVAHARWWKVHDKMPRKLQSYCLLRSRQKASLEWDRRQAEKANSEDGHWRRNITDTRLKTCFEKFCFWESMLWHWGENNNRTKSIPMA >Sspon.06G0009420-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:54185527:54186462:1 gene:Sspon.06G0009420-4D transcript:Sspon.06G0009420-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heavy metal-associated isoprenylated plant protein 21 [Source:Projected from Arabidopsis thaliana (AT5G17450) UniProtKB/Swiss-Prot;Acc:Q9LF57] MGILDHLSHLCSITEAKEALKLRKKRPLQTVNIKVKMDCEGCERRVKSAVKSMRGVTSVAVNPKQSKCTVTGYVEPAKVLQRVKATGKNAEMWPYVPYALTTYPYVGGAYDKKAPAGFVRSAPQAMADPSAPEVKYMNMFSDDNVNACTVM >Sspon.08G0002120-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:6574069:6574800:1 gene:Sspon.08G0002120-1A transcript:Sspon.08G0002120-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKREGRQHGTVRISRSKVLKTAAAAAAADAADADAGAAEPLAVAATEKAAGKPTNASRSTGKCRRPRCAGCHAHPVTKARDKAKGAHKLRACDVALNHRLVSWRVVDQGQGVPEYRGKSASSLLAYLAGSGNSWHEDDDDAAGLEAPDAGLSDLYDLFVGRRADADADAGGEEADPDTAARDTDVDDVGEIQAIGEQGLLLDDKEDGDDEEEEEEDMGFCMVGITIAVEFSDGEEDWIVVEEI >Sspon.03G0010600-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:35819173:35821567:-1 gene:Sspon.03G0010600-2B transcript:Sspon.03G0010600-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAREAASKATASRGSGAEAEAAAGEYWSEALKSFLDHIPVSAVPGALQPTASPAVEVKLDGSVLGALDAMYSSNAAGAVIVDVVQSSFGKYVDRDIGFVEFSSLVLWALEELGKVEGEPTDSTSDFLSSLKQHHQIAETKIAWLAKLSLWEPFFPVRTHDTLFHAMLLFSKHHRLNVAPVVESMNSSVIAAFLDALSLSVLFLCSSSGLEWLDKIADKQLSEFRFAYVRKPVLVYSDQTLADGLHILSKEKMGVAVIDRKTSCLIGSIQCSDLYLFLDDSSLFSRRTTTTLEELINLNNKADSKCSTAENSSAPAQNILALRNRQPSVAGLPATNLKSDTLKQAMEKLTASRSSCSFIIDEQGHVEGVVTTRDIISVFSPPCMDSRIDGGTFFSAALEQAGCRVENGQMIQNS >Sspon.06G0014320-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:75570553:75579309:1 gene:Sspon.06G0014320-1A transcript:Sspon.06G0014320-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGGAGAGGAEGELTAQETALYDRQIRVWGVDAQKRIRALAAMEGGGAGAGGAEGELTAQETALYDRQIRVWGVDAQKRLSKAHVLVCGMNGTTIEFCKNIVLAGVGSLSLMDDHVVTEDDLNANFLIPPDESIYGGRSRAEVCCESLIDFNPMVRVSVEKGDPSLIDGEFLDKFDIVVLSRASLKTKLFINENCRKRSKHIAFYTIDCKDSCGEIFVDLQKHSYVQKKPGGATEQQELTYPSLQEAISVPWNNLPKKTSKLYFAMRVLEDYELSERRSPGETTLSDMHAVLVRRKDMCDKMSLNESRIPTTLVERLLAAGKKEHPPVCAILGGILGQEVIKSISCKGDPVKNFFYFDVADGKGVIEDIPPPPAN >Sspon.05G0010150-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:29062300:29062978:1 gene:Sspon.05G0010150-1A transcript:Sspon.05G0010150-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLRVQPPPSLELGVRVVRVDGLEQEQLEERGGGSLFLRYYVPAGDGRRRLRVETREVPCGAGDLRWGELARFERWGGGQPAAASAAAGGIAFELRWRPRPSSGLAAALLARRRSAGEAVVAGAGAGRAPVGRRVGVVVAAGGEVGRELRGCKAPKLLVEVKAAVHGAAVAATERTPGDLHDPVLPCCRALRPVRVGWERGGYVPRSNVQSAALLGHLN >Sspon.06G0011130-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:60088125:60092638:1 gene:Sspon.06G0011130-1A transcript:Sspon.06G0011130-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAHPQTVNEAELLLQMKHAWGDPPVLAAWNWSAATSAAGAHCRWPYVRCDSTGSVTSLALSNINITGPVPDAVGGLSSLTSLDLSNNSIIGAFPKALYRCTSLRYLNLSENYLAGALPRDIGHGLAANLSRLDLSWNKFNGTIPESLSQLRNLRYLALDNNGLVGAIPAEFGELTSLQTLWLATNPFEPGELPASFKNLTHLVILDACCCNLVGAFPSYLTKMLQLEELYLRDNSLIGAIPPGIWDLKNPQILEVQRNNLTGNVVIDGFAALSFTVIDLAHNKLTGTIPEVFGRLKNLTYLVLYGNNFSGEIPMSITNCAILEVLYLGDNKLSGEIPKGLCVGNKLWYLNISRNSMNGSIPIDLAGCATLEHIINLEQNEQMKDISWGSLPRKGGPIQQVLRDVAMSYEMQRTLKIEKRKQNEHQTCTGQLRLCFCPILG >Sspon.06G0014720-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:81155530:81158160:1 gene:Sspon.06G0014720-1A transcript:Sspon.06G0014720-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein COFACTOR ASSEMBLY OF COMPLEX C SUBUNIT B CCB1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G26710) UniProtKB/Swiss-Prot;Acc:Q9LSE4] MDATTAAAVRRLLLPLRALPPAPRGAAAVSARPRQRSGPRRRVRVAPALASLDRAAVLLDAAAAVAAGGTGYSQASYYTSLGLFVLSVPGLWSLIKRSVKSKIVQKTFVKEEGELMAPKQVAGEILSFFTRNNFTVSDRGEVITFEGTMIPSRGQAALLTFCTCISLGSVGLVLSIAVPEGGNNWFWLMTLSPLAYVSATQLPEIQNESSRTNVVTDPS >Sspon.01G0046530-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:95126456:95130425:-1 gene:Sspon.01G0046530-2C transcript:Sspon.01G0046530-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPAAASLVARVSLPPRLLPTAASPLGPSVDGPRSRRRYLRLRRSPSPAGTAAASFPSVPSSSPETPGSGIGDALGDVEIYSAATGEPVLFRDLWDQNEGMAVVALLRHFGCPCCWELASVLRDTKERFDSAGVKLIAVGVGTPAKARILAERLPFPLEYLYADPDRKAYNLLGLYFGVGCTFFNPASAKVFSRFDSLKEAVKNYTIEATPDDRAGVLQQGGMFVFKGKELLYARKDEGTGDHAPLDDVLNICCKVPIPFFVHKLGMVPYVLPYSD >Sspon.04G0009420-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:27884028:27884820:1 gene:Sspon.04G0009420-2D transcript:Sspon.04G0009420-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFPSYADLWQPVRAQHSWVKASKSLPDFKKDDQKAVDAALLKAIEAVPHLKTYLGARFYLRDGDKPHEMVF >Sspon.08G0017130-1P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:5A:16804653:16805003:1 gene:Sspon.08G0017130-1P transcript:Sspon.08G0017130-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MINHKRNPRQRDDTRFFTEVHLLPDKLLLVVAMHPLVGSRANRLSRVEPSAGAVQPHTRWGSSKPRAPLEYPICELPRERIKNPLQHLVRAPTISNYELNHLRCSKPSTVSGNTEE >Sspon.06G0014860-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:64358586:64366224:-1 gene:Sspon.06G0014860-2B transcript:Sspon.06G0014860-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLSAQFNNSKIAVKQTEKGVDLIASLCHNYHASEERLKEMMDKSHKAIEDVFGMKALSASECKTENLDKIDTGMEPFLTYGLLYFKGLIDMECFQSNLEKMEKLCNSNSCEGELDFKSILINNDYIPCAENSSGDSTNLGRSKRVFEILASPTKTIKNMLTVPSSPLSPATGGSVKIVQMTPVTSAMTTAKWLREVISSLPEKPSSKLQQLLSSCDRDLTNAVTERVSIVLEAIFPTKSSADGGGSLGLNCANVFDIPWAEARKMEASKLYYRVLEAICKAELQNSNVNNLTPLLSNERFHRCLIACSAELVLTTHKPVFMMFPAVLESTGLTAFDLSKIIESFVRHEETLPRQLKRHLNSLEEQLLESMVWEKGSSLYNSLIVARQSLASEIKYLGLLAEPMPSLDDLVARQNIHVEGLPATPSKKRAAGPDDNADPRSPKRSCNESRNIMVERNLQTPPPKQSHMVSTKCHPLESTFASPTVSNPVGGNEKCADVTIQIFFSKVLVSRHVGIISFYNVVFVPAAKPFLVSLISSGTCPEDKKNASATLNGYESVIPIDVRLVVPNNLTSHLFLQMDEQSRWPLPLACEIIISTAPPPLRRQPASPYAQARRVHQLWRWQRPEFIALELQQLLELVQSFPHPVIPTQTVRLLNGYLNMYVQELHELLL >Sspon.01G0032530-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:109783134:109785235:1 gene:Sspon.01G0032530-1A transcript:Sspon.01G0032530-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKYSREPSNPTKSAKAMGRDLRVHFKNTRETAFAIRKLHLAKAKRYLEDVIAHKQAIPFRRYCGGVGRTAQAKSRHSNGQGRWPAKSARFILDLLKNAESNAEVKGLDVDTLYVSHIQVNQAQKQRRRTYRAHGRINPYMSSPCHIELMLSEKEEPVKKEADTQIAPRKA >Sspon.08G0015810-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8A:63124987:63125984:-1 gene:Sspon.08G0015810-1A transcript:Sspon.08G0015810-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMPRTSGASLDLGLSLGLTSQGSLSSSTTTAGSASPWAAALSSVVADVARVRDVDAHAHYHAASAAAAAGLDLVDPADRAAMRASTSPDSAAALSSGASGDNTNKRDRELERTGSGGVRSDEEDGADGAGGRKKLRLSKEQAAVLEECFKTHSTLNPKQKLALATRLGLRPRQVEVWFQNRRARTKLKQTEVDCEYLKRWCERLADENKRLEKELADLRALKAAPSPAAQPASPAATLTMCPSCRRVAATATTSTKHYQQQQCHPKSSSSLPAAAAAAAGGAGSVVPSHCQFFPAAVDRTS >Sspon.02G0046120-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:639262:641417:1 gene:Sspon.02G0046120-1C transcript:Sspon.02G0046120-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAASVYCYWRPDAGWSPDCGACITRAFGEAQRLCPYHRQAMVVVDGGECSVSFHDVQQMEQSMGLGSPGRRELPCRCSSQVRYAPGSAYEANLRRLATTVAAEVNASPCNCSAGSVAGRRPDQVTASAYCYWRPGPSSLDCGACVALAFREAQRLCPYHRRAVAVVDGGACSVSFQE >Sspon.04G0010190-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:29100013:29107952:1 gene:Sspon.04G0010190-3C transcript:Sspon.04G0010190-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CNX2 [Source:Projected from Arabidopsis thaliana (AT2G31955) UniProtKB/TrEMBL;Acc:A0A178W0P6] MMRRCVSELARRRRPDRTVDTMKAGFQCLVNVSSSIRATDSQRCSSTCATSCATDPDVLSRETSSSSEMLVDSFGRFHNYLRISLTERCNLRCQYCMPAEGVELTPKSELLSHDEIIRIADLFVTSGVNKIRLTGGEPTIRKDIEDICLHLSGLKGLKTLAMTTNGIVLSKKLPKLKECGLNALNISLDTLVPAKFEFMTRRKGHSKVLESIDAAVELGYSPVKVNCVIMRGINDDEICNFVELTRHKPINVRFIEFMPFDGNVWNVKKLVPYAEMLDKVRQSYKGVARLQDHHTDTAKNFRIDGHVGTISFITSMTEHFCAGCNRLRLLADGNFKVCLFGPSEVSLREPIRSGVDDAGLKEIIGAAVKRKKAKHAGMFDIAKTANRPMIHIGVQNFLMSNVNQ >Sspon.07G0016940-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7A:60804946:60805495:-1 gene:Sspon.07G0016940-1A transcript:Sspon.07G0016940-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGECNLDGWVAPQHLRALQLDGAYFSALPGWAVNPSLLGDLSVLRIRVKGLQQEDLEILGRLPALHYLALRVDHENLGIHGAFVVAACSFPCLVHCELRSFAAPVVFQQGAMPRLVELWIGLPVQRMREINGSFDLGLGNLQSLQQFYVRLRPGGTGQQEVEEAKAAVRHAIEVHPNHPTIE >Sspon.07G0016710-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:85652749:85654055:1 gene:Sspon.07G0016710-3C transcript:Sspon.07G0016710-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSGSGSGSGGSSSSGSPPCASCKLLRRRCTQECVFAPYFPPEDPHKFAIVHKVFGASNVSKMLQELPAQQRADAVSSLVYEAHARMRDPVYGCVGAISYLQQQVSQLQVQLALAKAEILCVQMQHDESASAAAAPAPAKQQLHHHHHQQQEMECEAYGSLLLQNGLMMNTLNSTGAVHQQQQMLGGFGSAGNTAIMLQEACLKKESLWA >Sspon.03G0009780-4D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3D:31452373:31453053:1 gene:Sspon.03G0009780-4D transcript:Sspon.03G0009780-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIASVPRDILIVIFTYLQCFADLTSVAGVCRDWRKAVHLRLGASQQDQLPWLLQPSHDDAPPSTITSYVSGTTGRRICLPEGVRRTDRFCGSHDGGWVAVAADRRGPYYHKYKLVNLIKQAIASIPLPNTLRSHRPTRSEMVDIRMVTLSDVPTAGSCIAAAHTVGGYPSIIFCRPQVDGHWVPPLMDTDPLQDTLYHRGELYEGFYSISNRDNLYLFMPMEINN >Sspon.01G0038520-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:30575294:30576740:-1 gene:Sspon.01G0038520-1B transcript:Sspon.01G0038520-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGVETQARAFADEVRGGLEHKNWMLDLGHPLLNRIAESFVKAAGIGAVQAVARESYFMAIEGEGGSVSGATGSRKRSFPEINGTKSSSKSAEAMVKNVSKESLQWGLAAGVHSGLTYGLAEVRGTHDWRNSAVAGAITGAAVALTSEHASHEQVVQCAITGAALSTAANVLSGIL >Sspon.03G0041600-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3C:43797850:43798731:-1 gene:Sspon.03G0041600-1C transcript:Sspon.03G0041600-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKCSHCGNYGHNSRTCGLGHREIMLCEAGDNGGHGGGSGLRLFGVQVRIGGGGGGDAGSSASMKKSYSMDCLQLAAPAGFSLVSPSSSSSSSSSLLLSIEEGLERGAVANGYLSDGPHGRVVQERKKVRLVKWSRTAFSFRVAQRSPSMPLGSSAMESSPHAGDVAE >Sspon.01G0044120-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:78024778:78045218:-1 gene:Sspon.01G0044120-2C transcript:Sspon.01G0044120-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MELALASAKPSPRAAAGASPPPLLFSPLKPFPLLRFPPRPRRPAAARLRLRAAAGETTSPGEQVFGARRELTGIQPLVEALPPAARTAAELAVAAAAVAAGYGIGLRAGGESRAVAVAGAAVLGAASVAGAAAVNAVVPEVAAVGLHNYVAGHDDPTDLESGEVEAIANKYGVSTRDEAFKAELCDLYARYVYSVLPPGDEDLKGTEVQAIIKFKRALGLDDVDAANMHMEAFQKLIYVSNLVFGDASAFLLPWKRLFGVTESQIDIAMRENAKSLYSVQLKSIGRGLDIDTLIDVRRAQLAYKLSDEIAAEMFREHVKKLVQENISSALDILKSRIPDSLTQAVEEVNIVIKFNSLLTTLSKHPEADRFARGLGPISLAGEHDHDRRADDLKILYKAYATEVLSDGIVDNEKLSPLNELRNIFGLGNRETEGILSDVKAHIYRKTLAKSFNTELASVPSKAAFLQILCEKLQFDPELASKMHEEIYRQKLQQFVADGELSKEEVEALMAFQVRLCIPQETVDAAHTEICGQLFEKVVKEAIASVDGYNADRREAVRKAAQSLNLKPEAAMAIFSKAVRKLFLSYIQRAKEAGNRIETAKELKKLISFNIVVVSELLADIKGEISPVAETEASSAASESEGEDDEHEWESLDTLRKTRPDKELKEKLRKSSQKEITLKDDIPLRDRAELYETYLMFCITGETTNVSFGTAISTKKDDSEFLMLKQLGDILGLTRKETQDVHIKFAEKAFVQQAEVILADGKLTEAKADQLAKIQKQVGLPTENAQKIIKGITTTKLSSAIEASVARGQIGIQQVRGLREANFQLDSLIAEPQRESIYRKTVEEIFSSGTGDFDEEEMYVKIPADLIISSEKAKSIVQDIAKVRLENSLVQAIALLRQKKRDDVVSSLNDMLACDAAVPASQPLSWPTPGELDDLYAIYLKSIPRPEKLSRLQYLLGISNEKANKIRDAASEGSVPIAAEEKEEVATRVELSPLNELRNIFGLGNRETEGILSDVKAHIYRKTLAKSFNTELASVPSKAAFLQILCEKLQFDPELASKMHEEIYRQKLQQFVADGELSKEEVEALMAFQVRLCIPQETVDAAHTEICGQLFEKVVKEAIASVDGYNADRREAVRKAAQSLNLKPEAAMAIFSKAVRKLFLSYIQRAKEAGNRIETAKELKIVVSELLADIKGEISPVAETEASSAASESEGEDDEHEWESLDTLRKTRPDKELKEKLRKSSQKEITLKDDIPLRDRAELYETYLMFCITGETTNVSFGTAISTKKDDSEFLMLKQLGDILGLTRKETQDVHIKFAEKAFVQQAEVILADGKLTEAKADQLAKIQKQVGLPTENAQKIIKGITTTKLSSAIEASVARGQIGIQQVRGLREANFQLDSLIAEPQRESIYRKTVEEIFSSGTGDFDEEEMYVKIPADLIISSEKAKSIVQDIAKVRLENSLVQAIALLRQKKRDDVVSSLNDMLACDAAVPASQPLSWPTPGELDDLYAIYLKSIPRPEKLSRLQYLLGISNEKANKIRDAASEGSCQLQLKRKRTR >Sspon.01G0018540-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:68962911:68963946:1 gene:Sspon.01G0018540-1A transcript:Sspon.01G0018540-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLATDAATTKQEQHAAGGEGVEVGGGDLRLVAECGTEVRLSRSAARMSTMLLDMMEAGCAEGGIPIKGADAGMLRLVAAYCEKHAPHYDPVASAARLRDPFPPFPIEFTPATYAVKPVTQLDPDPHGLEAWDHKFISDLPDNSALFNLIIIGNLMAIEDLVDLGCKAVADKMRGKTPDEIRVALDIENDYTPEQEAEVRRENAWAFED >Sspon.06G0017160-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6A:93374568:93375344:1 gene:Sspon.06G0017160-1A transcript:Sspon.06G0017160-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKIFALLALLALSVSATTAVIIPQCSLAPNAIIPQFLPPLTPMGFEHPAVQAYRLQRALAASILQQPIAQLQQQSSAHLTVQTIAAQQQFLPALSQLAAYLQHQLLASNPLALANAATYQQQQQLQQVLSALSQLAVANPAAYLQQQQQLLASNPLAAANAAAYLQQQQLQQILPALSQLAVANPAAYLQQQQLLPFNQLPVANTAAYLQQQQLLSVNPLAVGNPLAAAFLQQQQLLPFNQISLINPALSWQQPIAG >Sspon.01G0010730-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1C:30146462:30148042:1 gene:Sspon.01G0010730-2C transcript:Sspon.01G0010730-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTQGALAGSDKASTLHSSASPAKSQDLDTGDPITAAAGSGPVAQARGPNKLTLFPLIFLIFFEVAGGPYGAEPAVQAAGPLFALLGFLVFPFVWAVPESLVTAELSTAMPGNGGYVVWVDRAFGPFAGSLMGTWKYVCSAFSAAAFPALCSDYLARVAPAVSHGGARVATIVTFNVALSFLNYTGLSVVGWSAVALGLASLSPFLVMSGVAVPKIRPHRWAVTAGEKDWKLFLNTLFWNLNYWDSVSTMAGEVDRPGKTFPKALLSAVCMASLGYLLPLMAGTGATDSPPEAWGNGYFADAAGLIAGKWLKYWIEVGAVLSSIGLYSASMSSAAYLLAGMADLGLLPPIFASRDRAFGTPWASIAVTGAIALGMSFSSFTSIVAASNFLYSLGMLLEFATFVWLRIRWPALPRPYRVPMGTVGVAAMCSVPSAFLVLVMAVAGWKVYVTSAAFTLAGAGVYYVVAFCKTWGCVTQSGRADVEGGCQ >Sspon.02G0017620-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:51701633:51702157:1 gene:Sspon.02G0017620-1P transcript:Sspon.02G0017620-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGGRSASAVEAAKEAAANVGASAWAGKEKTKAVVQEKVAKARAHDPAEKAAADARMQERVHEVEAIKQDAMRQNAAAKERATAAGHHPTPLGVGAAAAKERATAAGHHPTPLGVGAAASATAPAAGAGAGAPVATTETDVAAKPPGGGVQRDAGFHGTDGVPPPTGTAGGHYT >Sspon.04G0031800-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:19522033:19532509:1 gene:Sspon.04G0031800-2D transcript:Sspon.04G0031800-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNSSAAAVAAMFGIRDADHQEQMKPLLAHQHQLPPAPLLNAAASSSAGSGQATGASLPPVKKKRNLPADPDAEVIALSPKTLLATNRFVCEVCNKGFQREQNLQLHRRGHNLPWKLKQKDPAQAQRRRVYLCPEPTCAHHDPARALGDLTGIKKHFCRKHGEKKWKCDKCSKRYAVQSDWKAHSKVCGTREYRCDCGTLFSRRDSFITHRAFCDALAQESARLPPPGLTASHLYGATTAANMGLSLSQVGSHLASTLGADAHGHHQDLLRFGGGSAASRLDHLLGPSNASAFRPLPPPPSSAFLMVAPQEFGNGDGTGSHGFLQGKPFHGLMHLPDLQGNGAGGSSASSAPGLFNLGYIANSANSSGTSSHGHASQGHLTSDQFSEGGGGGGGGGSESSAAMLFSGGGNFAGGDHQVAPGGMYNNDPAVMLPQMSATALLQKASQMGSSASAHGGGVSVFGGLVGSSAPSATHARAPMLDQSQMHLQSLMNSLAAGGMFGGANSGSMIDPRMYDMDQDVKFNQQGRGGTGMTRDFLGVGGGGVMRGMTVPRGGHQDGAGDMSSLEAEMNSASSPFTGGRMQ >Sspon.06G0011570-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:63234398:63237535:-1 gene:Sspon.06G0011570-1P transcript:Sspon.06G0011570-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATTGTRTSSSPSPPLPLHGGLLLLLPLLTITSAASSAPLPLLALLSLKSSLHDPAGALRPWTYAAAASAGATRSLAPPWCAWPGVSCDPAMGDIVGLDLSRRNLSGTFSATAVRLLAPTLTSLNLSANAFAGEFPAAVFQLRRLRSLDVSRNFFNGTFPDGVAALGAALAELDAYSNCFVGPLPRGLGDLRRLQRLNLGGSFFNGSVPAEIGQLRSLRFLHLAGNALTGRLPSELGALASLEQLKIGYNAYDGGVPAELGNLTRLQYLDIAVANLSGPLPPELGELARLEKLFLFKNRLAGAIPPRWSRLRTLQALDLSDNLLTGAIPAGLGDLANLTMLNLMSNFLSGTIPAAIGALPSLEVLQLWNNSLTGRLPESLGASGRLVRVDVSTNSLSGPIPPEMCTGNRLARLILFDNRFDSAIPVSLAACSSLWRVRLESNRLSGEIPVGFGAIRNLTYLDLSSNSLTGGIPADLVASPSLEYINISGNPIGGALPNVSWQAPNLQVFAASKCALDGEVPAFGSAGCSNLYRLELAGNDLTGAIPSDISTCKRLVSLRLQHNQLTGEIPAELAALPSITEIDLSWNELTGVVPPAFTNCTTLETFDVSFNHLVTAGSPSSASSPGAGEGTSARRNAAMWVSAVAVAFAGMVVLAVTARWLQWREDGAGAPGGGSGAGGARGRPNVVVGPWRMTAFQRLDFTAADVARCVEGSDGIIGAGSSGTVYRAKMPNGEVIASDVYSFGVVLLEILTGRRSVETEYGEGSNIVDWVRRKVAGAGAGDVMDAAAWAAEQQQAGGKAAARDEMALALRVALLCTSRCPQERPPMRDVVSMLQEARRGRKQLLPKKQQAQPTKIN >Sspon.06G0013100-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:56224272:56228348:-1 gene:Sspon.06G0013100-2C transcript:Sspon.06G0013100-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNLSPSTLLNSISCLGALTSDVSTVRPKPIQKYCKNVYDISSIVNPLVEDLCKSPEEQLNEVLKDLDTAVNEASGLIGNWHQTTSKIYFGWQIESVISDIQGCSLQLCQLKLQDINYEHMFDLIKEVAMELAETNAVGSENLLKLSSLLSLSTNMELYMESVSLENLRTRALRSENREELELAEQMIPLVNYMHDHLLREKQQLSINGVPIPADFCCPLSLELMSDPVIVASGQTYERVYIKLWLDEGFTICPKTRQRLGHSNLIPNYTVKALIANWCESHDIRLPDPMKSLKLNFPSAASSLQDSSAAGGSPLHPSVVSRANIPGSPEADVYLRNLNGHLLPINSHLHANRPGHEVSTSQTSENANGSAPDVSRLSLASSEARESSLEGRRGGSIGQMSEHSTEEAFQSSNLDRDLQDNLASSSLNGSLPNSGQLDGECDNGITRVPSDRTNYSSDASGEVTDGGGAPVASSVPQREHLIPPRLGFPRIISSSTMDARSDLSAIENQVRKLIDDLKSDSIDVQRSAASDLRSLAKHNMENRIVIANCGAVNLLVSLLHSPDAKTQEHAVTALLNLSINDNNKIAIANADAVDPLIHVLETGNPEAKENSAATLFSLSVIEENKVRIGRSGAIKPLVDLLGNGTPRGKKDAATALFNLSILHENKARIVQADAVRHLVELMDPAAGMVDKAVAVLANLATIPEGRNAIGQARGIPALLKLLSWVCKREGECCGRLASALYKQQQILQYSSSRGRSAPASRPFTVRNTAGERKGSQLPTSYILRFRKCSRHFFNHCANADLTNSDLLFVVQAQALLSYFRSQRHGNSARR >Sspon.05G0010100-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:28969361:28976394:1 gene:Sspon.05G0010100-1A transcript:Sspon.05G0010100-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGLLSKLRSLDAYPKVNEDFYSRTLSGGIITLASSVVMLLLFVSELRLYLHAVTETTLRVDTSRGETLRINFDVTFPALQCSIISLDAMDISGQEHLDVKHDIFKQRIDAHGNVIATRQDAVGGMKMEAPLQHHGGRLEHNETYCGSCYGAQESDGQCCNSCEDVREAYRKKGWGVSNPDLLDQCKREGFLQSIKDEEGEGCNIYGFIEVNKVAGNFHFAPGKSFQQSNVHVHDLLPFQKDSFNVSHKINRLSFGEYFPGVVNPLDGANWMQHSSYGMYQYFIKVISFFLFPFCGQTAKHHAADAHIGINCDFKHSLRCCNSLPQFSVTEHFRSGESGRMQALPGVFFFYDLSPIKVTFTEQHVSFLHFLTNVCAIVGGVFTVSGIIDSFVYHSQRAIKKKMEIGKFN >Sspon.04G0011680-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:36169593:36170192:-1 gene:Sspon.04G0011680-1A transcript:Sspon.04G0011680-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding HEATAGAPAPLLLPPLPGARGRPAPPAARPRPPASSVRAQRDGVRRLGGAVPGLRSVGGRAGVPLLPQPGAAGVAGAAAGVVPAPGVVRALVGGAAPRGLRAAPLLPRRPVRARRERLLGRARRRVAAPGRRRRLGRRGHRLLVRRQRVRAGTGVRALHADRVATHHRRRVRPGGVRRWRGVHHLQLLPARQRRRREAVL >Sspon.04G0012770-1P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4A:44566475:44566965:1 gene:Sspon.04G0012770-1P transcript:Sspon.04G0012770-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQPAFEKIGVYSGSIIPVMYKRVPCVKRGGVRFTITGHDYFNFVLVTNVSGAGSIKSMDVKTSNSNSWIPMARNWGANWHSLAYLTGQMLSFRVTDTNGQTIEFTNVVPQGWKFGQTFASKLQF >Sspon.03G0001050-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:6710488:6714335:-1 gene:Sspon.03G0001050-1P transcript:Sspon.03G0001050-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAAPTVLSAHYFETVDYHTGSSRSFNDIDGTLVAMGEITEQIRQKSKEFPETKPLDYHRYLVVSLGTGLPEQDIKFDACRVAKWGIFGWFGRENTMPLLQMFLHASSDMTDSYVADLFKAIGCSDQLLRIQIGENLLHKPLSKDDYKINYIETMPKDSRNLTYAAMLTRFAKLLSDEMKLRLQNMELDA >Sspon.04G0011370-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:35032268:35032462:1 gene:Sspon.04G0011370-1A transcript:Sspon.04G0011370-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GMLRQLCTRKKASLIGKGRLSLSSRSPSLHLNPMCLEEEGTIVTYWFPVLSDHVSVSAPSVLIFS >Sspon.02G0031560-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2B:108624153:108627286:1 gene:Sspon.02G0031560-2B transcript:Sspon.02G0031560-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGSFLPACSSSPPPPRVWTARLRRERWREGGGLQAPGGKSGGGRATGAAGSGRVGEVARRWRRNRSPQSWRGPKTAKREEGAAPGGCHADLLLPGVEIRRRRPCCPSALRERMNRRRHFVGPACQPERERVKIRATPTLIQWAPQRFIWGFSLKTLTSPSATATGASPSETLTLAPLFSYPAHTGPVRCVAAAPRAGLAASGGADDSVRLYDLPSAADLGPLLDPSAAVSALAFYSRGPVPRNLLAACDDGSLLLYDADGFALLATLRAFPRHEAVEGLAVHPSGRVALAVGRAGALAMLNLVRGRRSFACRLERPASAVAYAEDRDGGDRFVMAAEEKVSVHDSVDARIIHQMDCGKRVLAMAPAKDTKHMSIRFNWRATEKWLICPWCFILPRSPARPITFSRNYRTGFPGGGADSSRRAAPPGDGRARQGPFPTCIPARRAAPAKPVLPSRREGEACAGEELRRRPPPGGFGCKMETPRYLCEIFLQEDGFPTGTSSPIFPGMDSNSSYRLTRNGVLYTGGEDRCVTAWDLSSGKVSSRIEGAHATRVKGVVVFDNRKGGSELSNLIASASSDGVIRIWDIRTIGNGKPTPLAEANTKARLTCLAGTSLKCKSQKLHNVVSIVHCPNKSITETS >Sspon.07G0015400-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7A:55243623:55244141:-1 gene:Sspon.07G0015400-1A transcript:Sspon.07G0015400-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DEPLILTGPKRGLFLLDDNYVETDLKIKDHQGQDRELSKGILTISGIAGRSLEKCEVDRLSLATRLSTVKVGYAFVIHAVEATVSLEVLEGEFCGIVTAHTTSVKTRLVLYDSQLAVDHMPGNGLGAIKMMRPVISVCFRDTLIIIARAHGGKAIGFKITPRVSNADEVEFTL >Sspon.07G0020650-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:79236211:79238217:-1 gene:Sspon.07G0020650-2B transcript:Sspon.07G0020650-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNIKYRYKDDEISFVPSISLPSNSLSFAFKRQLTPLDKLSYWYNFDTNYWSAIYKHKANKHLKWKAGYESDNRLGWASLWVGDAGGSTKEVPFKAKVQLTLKVPQDNMQNSAVVFHVKKRWDF >Sspon.06G0013770-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:42261435:42267392:-1 gene:Sspon.06G0013770-1P transcript:Sspon.06G0013770-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding EMARAGPGPAAVLAVVVLACALGARADGSDHKYKEGDHVPLYANKVGPFHNPSETYRYYDLPFCAPGIQIPTFSAVVCFSDSLTGSDHVLLLSVKCWLVIEHPKDKKEALGEVLNGDRLVDAPYELDFKEDRNSKVLCKKVLSKEQVAKLRDAVAKDYYFQMYYDDLPLWGFLGKLDKDKEQGDAKYLLFKHIHFDIMYNDNRVIEINVQTDPNVAVDITEDKEVPIEFSYSVTWKKTDIPFEKRMNKYSKSSSMPQHLEIHWFSIINSCVTVLLLTGFLATILMRVLKNDFIRYSHEDESLEDQEETGWKYIHGDIFRFPKQKSVFAAIIGSGTQLLASAIFIFLLALVGVFYPYNRGALFTALVVIYALTSGIAGYTATSFYLQLEGTNQVRNLILTGCLFCGPLFLTFCFLNTVAIAYSATAALPFGTIIVIILIWALVTSPLLVLGGIAGKNSNTEFQAPCRTTKYPREIPQLPWYRSTIPQMAMAGFLPFSAIYIELYYIFASIWGHKIYTIYSILFIVFIILIIVTAFVTVALTYFQLAVEDHEWWCRSVLCGGSTGIFIFFYCIYYYHARSDMSGFMQTSFFFGYMTCVCYGFFLMLGTVGFRASLFFVRHIYRSIKCE >Sspon.05G0012800-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:5A:40331704:40333824:-1 gene:Sspon.05G0012800-1A transcript:Sspon.05G0012800-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEEYNMDEALKAKNVAETKFHARDIRGARKYALKAQNLCPTLEGILQMVSTLEVHLAAESKMDGESDWYRILSLGAFADEEEVKKQYRKLSLLLHPDKNKSVGAEEAFKLISEAWSVLSDTSRKVVYDEKRRNHSAVNVTNGIYTYDKKANKRARKNAAAAAAAAAAAAAAAAAAEATTRPVGVDTFWTSCNHCRMQYEYLRIYLNHNLLCPNCHHAFLAVETGFPCNGSSSSFSWSTKQHPQQNHNSTKHSYGSTSRTSSIPGTGHGGYQQDNTYDSYNNQGFQWNQYSKTTPAAGTNAYSTQALEKQKRKHDESYSYNYSESGNTYVHEKTASRRGRFSKRRRHNYDAYTTVDYGGDNKETVAASTEPTAVFTDVGRVNSTSVERFRSAVSGRRANILGEITQIDTRSLLLEKAKATVCEKLHELNITSSSRFAERRGSEGKLYPCDNNIKVNGVLSDKPSKGVKLCNSRSMEIQVPATDDKNPEQRRVPVSIDVPDPDFHDFDKDRTEKAFDSDQVWATYDSEDGMPRLYVMVQKVLSMRPFRIRMSFLNSKSNIELAPINWVASGFQKTCGDFRVGRYQVSETVNIFSHKVSWTKGPRGIIRIVPQKGDTWALYRNWSPDWNELTPDDVIYKYEIVEVIDDFTDEQGLTVIPLLKVAGFKAVFHRHMDPKEVRRIPKEELFRFSHRVPSHLLTGEEGNNAPK >Sspon.02G0005070-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:15818557:15820231:1 gene:Sspon.02G0005070-1P transcript:Sspon.02G0005070-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSTDSSSGELPPQRQPSSAPDLPPGFRFHPTDEELVVHYLKKKAASVPLPVAIIAEVDLYKFDPWELPDKATFGEQEWYFFSPRDRKYPNGARPNRAATSGYWKATGTDKPIMASGGNREKVGVKKALVFYRGKPPKGIKTNWIMHEYRLADAATSTTSRPPPPPPCNVVGGKATSSLRLDDWVLCRIYKKINKLGAGERSMECEDSVEDAVAAYPTHAAAAMAAVAGGGRAAHHNGNNYTSLIHHHHEDNFLDGFITTEDTAGLSAGASSLSQLAAAARAAAPADTKQLLVPSSSTTPFNWLDASTIAILPPAKRFHGYNRDTTDGGGTSLSSPSERNNLAAAAGAVDSGACSGGTNAIVPTFLNPLGVQGATAYHHHAIFGTPVTPEAAAAAATATCGFQHPYQLSGVNWN >Sspon.02G0043310-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2B:91057182:91060293:-1 gene:Sspon.02G0043310-1B transcript:Sspon.02G0043310-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDERAAVQRFRVRCPGGVPHWMAGYGGPRGGVESPGRSLCLSRSSATRRRCPWRRRVGEVDRVFLRASTGVVRRRWCGSGEMAARWCFPTPLREPIGWPRPEDGDAEVVVRQSDPAAVLYSSGTTGRAKAVVLTHRNLIASNVTRAPAATETLMLTVPLFHIYGFTFCLRAALAAHTLVLHTARGRFEAAAVLAAMGRFGVTRLALAPPALLAIVRAAEEDATAAARVATLKTVNCGGAPAADLIARFSRLFPGVSVTQGYGLTETTAGFCRAVGEEESARVGSVGRLSWGAEVKIVHPETGAALLPGVPGELWVRGPFVMKGYLAEEDSTSEILDSEGWLRTGDLCYIDKDGFVYIVDRLKELIKYKGYQVPPAELESLLQTHPDIVDAAVVPYPDDEAGELPVAFVVRRPGSHLHESHIKEFVASQVVHYKIIHHVFLVDSIPKNAAGKILRKDLAKLALRRISSKL >Sspon.01G0053900-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:43970617:43972794:1 gene:Sspon.01G0053900-2D transcript:Sspon.01G0053900-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LKVGNVKAMLRGFLLDSVPVTGSQRRRRRDELRLHSAQAHAAVSVAQLAAAVAGIVSASDLRPAASASAGDRRLGTVLASAAALVATVCAEATETAGANRGRVTSAVRPGLESRSSADLLTLTATAATCLRGAATLKQRAADLRGISSSSSNAMAMSVSAGIQKGTTLRVCLPCGSVRVRTVSVFPRRGDGAAVVLRLGKKRLHGAFATFKDYVISAVGDGGGEAVVEGRPAFPVALITSEKGVTVQLLFEHQTHCK >Sspon.07G0018670-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:67426558:67427436:1 gene:Sspon.07G0018670-1A transcript:Sspon.07G0018670-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRMIPHPMSGDGQQRQCRRGITPDVELGAALALADMAACSSAVQAQAQAQARLHTAGAGAEGMTDEEEMASTRLSLQLGRVGVQQSPSCSSSSSAGRPSGPPPAPAPSAAPGAAAHHGPRPRHMLTEAEKEEKRLRRVLANRESARQTILRRQAIRDELARKVADLSSQNETMKKVSAQIWH >Sspon.01G0028440-1P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1B:113646477:113646725:1 gene:Sspon.01G0028440-1P transcript:Sspon.01G0028440-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GKDATEEFDDAGHSKSAKELMQDYFIGELDPTPEIPEMEVFRKEQDTGFASKVMDKVVQYWAIPAAVIGISAVVAILYARRK >Sspon.08G0027360-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8C:46785353:46786435:-1 gene:Sspon.08G0027360-1C transcript:Sspon.08G0027360-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTRIQLFPAVHGCRAPPSSAMLVEAPLISRVSVVWHGKIAEGHRPHPTASGSYVTSSSPYAS >Sspon.01G0016240-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:51142776:51147524:1 gene:Sspon.01G0016240-1A transcript:Sspon.01G0016240-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLVSASSTLLATATLLLLLSAAGAAAAAAAPTPPQAQAAAGAACHNDIVALRTTCYDYVQEGGRTLAPSSNCCATLISLTNVPCVCDYLGSDLDIDLDKVFYVCRSCGVAIPRGCGGEYLRFRMWPIEARLTKMYHQLSSCSRGLAATLLLLLAAAAAAATPEAPAAGAACYNDVVALRSTCYRYVQDEGPMVQPSPHCCATVRSIANATCVCDYLSSLDYINLDKVFYVAGQCAVAIPWFCGAE >Sspon.03G0023880-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:73004262:73005702:-1 gene:Sspon.03G0023880-1A transcript:Sspon.03G0023880-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHEQGGGNHHHHQLPRFGNGNGAGAATGVARASKKSKLKKIPQRGLGVAQLEKLRIEEQKKMEGAATAIALPRPGADGGGVHCGYPPMLWDPSAAGPMKHPYKRLCPQPPLPTVSTGLSLTASSSHPTEPPSNQMYSSSSVSRSSAAPADEDRVHAVVEVFRTIIVTVCSENVLALGIAAKCGLAREAAGVDRSWPFMFEGLNTTAFRTTMGKAPFAARTTREAAAGLPDVCPDLSRNEFRATNYFSSNASYSDWSSEFGHCKSSKENEGAYLTLNAQPTPRMKQQPPALPSIHLPEFGDFGHGSASASSRPFYSFMPVGPVRCERPLSEMKADASDGVDLELKL >Sspon.01G0019410-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:73545802:73566473:1 gene:Sspon.01G0019410-2C transcript:Sspon.01G0019410-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGFPGGAPDPQQLQATMLAIEQACSLIQLHMNPSEAEKVITSLHSSLMPYQACRFILETSQMPNARFQAAGAIGDAAVREWGILTDDNKRSLIIYCLNYVMEHASSPDGYVQSKVSAVAARLLKRGWVEFSDQEKAAIFFEVEQSIRGIHGPNRQFAAINFLETLVSEFSPSTASAMSLPKEFHEQCEYSLEVQFLKDFYCWAQAAVFNTADKILNSTVTIPDERACSAALRLMFQILSWNFKHTVEHESSDAKINSGLRIDTINLKKFERSLVKPGSMWREILISSGHPTWALNFYTTLRQKYSYDTLWGDSPIAVSCRQLIVQLCSLAGSVFPNDNGDAQIKHLMLILSAVVLWIEPPDVIAASIRNGGSESEFIDGCHALLSMASLTTGSLFDNLLKSIRPYGTVNLLSALTSEAVKSVLNNQSEEETWGIDSLDILLETWNVILGDIDADKSPISVDGALAASSLFKIIVESHLKGTNLFIFSFKCNLRLEECYRCCKRFSILFTSCILITLIFFDIAAADSAFEDTDDTEYFHVSVSKRDEQLALYALIARAAAYTTIPFLAQLFSERFARLNQRNGESDPTQTLEELYWLLLVTSHVLTDSGEGETLLIPEALQAGFPNVIEAAQHPVVTLSWSIINFSRQCLDPGIRAKYFSPRLMEAVIWFLARWVATYLVPLDVSRGKVSREIDSEGTNGSQHSRKLLNSFAWENNQGELVLDFVVLISMLALTTYQGETELQTLTCQKLLATVVHRKHTCTYLVQLDSWRDLTRAFASGRSLLSLSGRLQRSLAETLACAASCIKDPEASAQYLRDLMGPVAGCLVENASRSDLKSVAQQADVVYMVCCLLERLRGAARATQPRTQKVLFEMGCTVMNPLLTLLEVYKNHSSVVYMILKFVVDFVDGQAVFLDAKETSALVNFCLRLLQIYSSHNIGKVMLSLSSSLRSESQAEKYKDLRALLRLLTNICSKDLVGFLSDCDGEGSPDIAEVIYVGLDIVTPLISLDLLKYPKLSRDYFVLMSHLLEVYPEKDSDVVERCLAAVNALASYHFKERLGGRGGLNSQLMESEGSNGKLQESISSHFLRLLLQILLFEDFRLELAGYAADALLPLLFCEQELYQRLVHELLEKQQNPTLKSRLATAFHNLTSSNNLSSSLDRPNRQRFRKNLLSFLVDVSGFMQIK >Sspon.03G0006370-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:9573681:9575866:-1 gene:Sspon.03G0006370-1P transcript:Sspon.03G0006370-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LAFCCCCSNILTSSGNVYGQRLPVLSSHPQSKPPSSAPLQSTPQSYSQQMLGHQSWQTNSGSGALSGPLRPPPPPPVTGGTVSYAELVAATGGFSDANLLGQGGFGHVEWSPGAGLAAEVEDRAWVGQGIGLLARGLLLVWFVLMGRYPVLVSGDPKIIHRDIKAANILLDYNFEPKVSDFGLAKIQPTDDTHVSTRVMGTFGYLAPEYATTGKVTDRSDVYSFGVVLLELITGMTPVLSPEPDNDETLSRPRLTRALEEDVLDELTDPSLGTDYDAVDMRRLIACAAAAVRTTARSRPRMSQELTAVCTCCFRSWTWTKQIVRYLEGQMSVEALNAGVAPGQSEVVDDGAAEQLRRMRRMAFVPGTSSTGGFRLTENVSRSYVSEATSEYGLHPSSSSSSDDDAATSEAATSAQGATSRPQTAVSSAAVAAAGREGQRSGEIGAIEGIIRRTRSGHADE >Sspon.08G0015550-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:103376280:103377022:-1 gene:Sspon.08G0015550-3C transcript:Sspon.08G0015550-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAVAVVPTARKRPAPHPEAHVATKRPRHSGTRSIDDFELFEVLGEGTEGVVHRGGTAAPARRRRSNGSATPTTARSQAGALHACRGHPSVIGIQDVGADPKTRDVHLVLELVHGGSSLCDSMWRPLSEDVVSAAKKIHGVGFIHRDMKPENILVCPFDELKVYDFGSATRQMPAGKAHEAHAIRTLEYISPELLYGNWYYGPTVDMWGLGCVMAELLSAETLFQETLFQSERREEMLHEMSEL >Sspon.07G0001770-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:2996896:3000323:-1 gene:Sspon.07G0001770-2B transcript:Sspon.07G0001770-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMGRFVNSMDPRSGLEVIRDWNGVAQVVLRSPKGASARVSLHGGQVVSWRNDRGEELLFTSSKAIFKPPNAMRGGIQMCFPQFGYSGTLERHGFARNRIWALDDEHPPLNHNDNGSKVSVDLILKPSEDDLKCWPHCFEFRLRVSLSKDGDLSLISRIRNVNGKPFSFSFAYHTYLSVSDISEVRIEGLETLDYLDNLSHKERFTEQGDAITFESEVDRVYVSSPNVVAVLDHEKKHSFVIRKEGLPDIVVWNPWEKKSKTMVDFGDEEYKQMLCVDAAAVERAITLRPGEEWTGKLELSAVLSTNCSDHLDHPAII >Sspon.05G0030430-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:90269254:90272325:-1 gene:Sspon.05G0030430-1B transcript:Sspon.05G0030430-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARCWGFTAVKGGASNLARPLARRLDSCEEMECNRDEAQRAKDIAKKKFEARDLQGAKKFALKAQTLFPDLEGIAQMVATFDIYLASEVKVAGNKDWYSILCVATTADDETIKKQYRKLVLQLHPDKNKQVGAEGAFQMVQEAYSVLSDKTKRAVYDQKRRNVRVFQQRTAQSSKASAPPAASDGFYNFAANAAAAASKPTVNKRTAGPAAPAVRPRPPQPPPPSGPPPAPSSATPAPGAKPPTFWTSCNKCKMNYEYLRVYLGQHLRCPSCREPFLAKEAPIPPVVIQDSKISGVNQNASTNRNMQWGPFSRAAGAASATASSAAATQAANVVHQTYEKVRREREEAQAAARKEEALRRKYNPLKRHASMSDVFNPGTGDVASGKKLKTMVKDAGVGSSSFIQGPGANCFRVPGVNISFSTNIGAYEFQGVNGGPNWKPRPPIHISLARATSQLDVRGLLLEKAKSGLRNKLTEIKSKTSQVAASGKATKKHVVNENGRDNEALAPDDPTTNKDVNVDSKEIGSNTSSDAENEDDDPLSYNVPDPDFHDFDKDRSEECFQIDQIWATYDDEDGMPRYYAFIQKIFSLKPFKLRISYLESRTNSEFGPLNWVSSGFTKSCGHFRTGNYETCDIVNMFSHQMKWEKGPRGVIKIYPQKGDIWAIYRNWSPDWDEDTPENVLHAYDVVEVLDNYDEDHGISVIPLAKVAGFRTIFERHQDLNGTMKIPKEEMFRFSHQVPFYRMSGEEAPNVPKDSYELDPAAISKELLQGTTETVEANGTS >Sspon.06G0009540-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:50899555:50901748:1 gene:Sspon.06G0009540-1A transcript:Sspon.06G0009540-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSCDEIGQLPGSFGNLKNMVHLDLSHCYRVKVTPQVLIGLTKLQHLNLSPCGCTGGTMVAQAMSNHAQLRRLHLSGFMDKMCDDESTMSTSLECISTLSNDLSCNNSLLHLPECIGSLSKLHTLNLSDCSSLCSVPESIVQMHSLKMAYAKDCSPFMSHFVGQGLNKSLTILPEFAVPAAELTGSNLALFQAVNVTNLKIYELETVRSVEEVESIKLARKQSIKHLTLGWTSTVQSRSLEDNKVLEGLEPPRTIESLRIDGYRSILFPGWLLGFCGYNFPNLAIVSLENMDNCIRLQPLGQLPNLKMLVLMGLRSVVQIDEDLYGGAVAFSRLESFSLDEWNTVYFCVGDGVSGSMFPSLHKLEIKRCPKLRLKPCPPKADQWTIKYSDVSKCNLAQLPEWLGRLTSLKKLKMDYFELSILKEVAKHLTSLQSLHISHC >Sspon.02G0026910-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2A:95989707:95990831:1 gene:Sspon.02G0026910-1A transcript:Sspon.02G0026910-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPATAGRVRMPANNRVHSSAALQTHGIWQSAIGYDPYAPENNKQQPGRPSSSVSANAAAAAANDANAAASAAAASGSGSGDGNAYTSFQGLLALARVTGSNSDETRGACKKCGRVGHLTFQCRNFLSVKDLDLDDADAQAAAQAAAQAKFDEIKKKAAAGGDADEASDDVEEEDEDSDSSDSDVDPELEKIIAQRERARNGGRRPRQEEKSSSHCHRSSSSKRKSKHTRSRSDDSEDEEEEGRRGRDRKKRSSRSKKHERSDEDSSDDSDSDRHRHRKSRKDRKRRRSHRRSDGSLGEKDVSGGEERRHRRHRKRSHHRRDASDCDNGGSDSADDKKQSSRRRRHRRSESSGSHEDERHDHRGAKRSGEKNRDR >Sspon.03G0011460-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:31166053:31167430:1 gene:Sspon.03G0011460-1A transcript:Sspon.03G0011460-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIRKGNPVEVWTQEAGSPVGAWRSGEVTWGNGHSYTLRWHDGDGEVSGRISRKSVRPRPPPAPVPRDLDAGDMVEVFDDDDSLWKCAEVQGSAAANDRHFDVKIVGAAKVLTVPPQRLRVRQRLRDDDVWVALHKSAMQPRAIVGMGVGRGKGGYKPMAPGFTPFLQKRSFGMLGSDTSSHVKRFEDDTKRLKQEPRCGVEVPVPNVSIDAGKDCAAAPTARPRNDQKADQLQPVKKEQPIIKEEQPVKKEQHCDDIAESREMKSEPLKDQMGTTTVREHIHHLELEAYAALMKAFHACGNALSWEKEGLLSDLRVHLHISNDEHLQVLNVILNRKRRTGGPR >Sspon.07G0011540-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:41082774:41083607:-1 gene:Sspon.07G0011540-1A transcript:Sspon.07G0011540-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RSDELCRCQACLGKYTLLGDEENPRLSIYDRRLPCCGCGIGFLCPIIWYVAALLYCCKYYNRDPRERPGLAASAVLFMLLALNSLEFMLKDIIVFLITAPLAVIFTAATIITLSVLLICCANKRFLNSCAV >Sspon.03G0011730-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:39300073:39304965:-1 gene:Sspon.03G0011730-2B transcript:Sspon.03G0011730-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAWYYTGYPKDLGPSRIIPFTSERQFVQLLHEGRPVVVAFTIKCTYTQHLDKVLEEAAATFYPHIKFVRVECPKYPGFCLTRQRNEYPFVEVFYNPEQAASQGKSVDPNITKYSVKVLPFNYDQSVYGFREYFRKHGFKYSETK >Sspon.01G0043140-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1B:71876101:71876661:-1 gene:Sspon.01G0043140-1B transcript:Sspon.01G0043140-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLTCGTGESLKLWNVDTGECNLKFRGSVDYIISSCAWFPNSEKIVCASSEPASSPNRIFTCDLEGQELEVWAGDRIPKVSDLAVTPDGRHLIFVSCNDIWIRELPKGREWRFREKQTISSVFLSGDGQSLVVNLSSQEIHLWKINESCTVPEKFKGHKQDKFVIRSCFGGSNSLFIASGSEDSQV >Sspon.01G0052920-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1C:27824088:27824258:1 gene:Sspon.01G0052920-1C transcript:Sspon.01G0052920-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKVVAGRSGGGTVEREAQWRGRWMRAPGGEGGAVERRQPGCTVKKMSGMHSGVRS >Sspon.06G0030180-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6C:42322648:42322938:1 gene:Sspon.06G0030180-1C transcript:Sspon.06G0030180-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGVTNDVERSNEMVAILREELEAKDLELGRLGEMLSQKEATENRAVEEREEAGNDLNTASDPLQVKVEG >Sspon.06G0029890-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:36811949:36814060:1 gene:Sspon.06G0029890-1C transcript:Sspon.06G0029890-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMHFWYRDIQSYISRAFLYFAPLSKKVFILVDNQPWLTTKQSRSARLWQFMVTKLASCSSVLVVSLQYRMSPFANSRALLHHKQQEKQAAAEAAAAAAAASAAGESSDTMRRWFALAADLSRALHGFLVFEVSWRDVHGINYFNELLTDTSLALEARYMNKWEFYSAEQAAGCTHLWFLGRASEARALRGYLSALHTHSDPSEQLEECSSALRRTSSSSSLTAVSEDDDDDDDNDLLDVQRHADPVPVQGQPAAAEAAADHHVGHPAADAAGVGPASYPVLCQLYRPWMRPLVRSLYLLASLVTVLIGFYDLYKNVPLLKSAAARICGPLFGWIETWDMDPVPGHILFLRNLRKCLQSLLALLRAARALVRTVAAPLVQAAGPLLASCAQLWGLLGAGLAPAWALLVDLAELLWAPFDLVLDNVAGCLWPLLQVALLPVRGAAALAGCAGSLLSATYNFSKDIWETMSSIFELNHMSEAQQSGFDMSQIKTLWNDLFSQIFRAIRGILNGILVFFASCNRHRLRYVHVYVRRRRRPAGRPQQLYLHACSIDQPCLIACSIYNHAQSRLRHMLRVARLHQPAPHAHSSSSS >Sspon.01G0030920-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:74229229:74232061:-1 gene:Sspon.01G0030920-1P transcript:Sspon.01G0030920-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVTPRITVSDGRLAVRGRTVLTGVPDNVVAAHAAGAGLVDGAFVGAHAAEAKSHHVFTFGTLRDCRFLCLFRFKLWWMTQRMGVSGRDVPLETQFMLIEVPPATDGDASDGDGGDAPAYVVMLPLLEGQFRAALQGNDRDELQICVESGDKAVQTEQGVHMVYLHAGDNPFDTVTAAVKAVEKHLQTFHTRDRKKLPSFLDWFGWCTWDAFYTDVTADGIKHGLQSLSKGGAPPRFLIIDDGWQQIASDNKPDPNVAVQEGAQYVHCTHHISLLSHGRRSPHRLTGIKENTKFQTKPDGDGGLKRLVSETKDVHGVKQVYVWHAMAGYWGGVTPSSGTAMERYEPALAYPVQSPGVTGNQPDIVMDSLSVLGLGLVHPRRARDFYGELHAYLASCGVDGVKVDVQNIIETLGAGHGGRVALTRAYHRALEASVARSFPDNGCISCMCHNTDMLYSARQTAVVRASDDFYPRDPASHTVHVASVAYNTVFLGEFMQPDWDMFHVSRLLCLVVPLVAVSRHPLIDSILCQSLHPAAEYHGAARAIGGCPIYVSDKPGNHNFELLRKLVLPDGSVLRAQLPGRPTRDCLFSDPARDGASLLKIWNLNKCGGVVGVFNCQGAGWCRVTKRTRVHDAAPGTLTGTVRADDVDAIARVAGDGDSDGGEWDGEAVVYAHRARELVRLPRGAALPVTLGPLQYEVFHVCPLRAAAPGVAFAPVGLLDMFNAGGAVEECRAVDGGGKAVVALRVRGCGRFGAYCSREPARCLLDSAEVEFGYDADTGLVSVDLPVPEQEMYRWTLEIVV >Sspon.02G0024150-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:79162022:79166004:1 gene:Sspon.02G0024150-2D transcript:Sspon.02G0024150-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding EPKGVNLILPECLEDNEISPIKTYFGGKEGTGKYTWFRNKEKLDNLEFDLVAASSEVVGETLKYKPSLDDVGFYLILYWVPTRCDGKIGDPLMAISDDPVVAGRPKIEKLEIEGRGFHTNLYAVQGTYSGGKEGKSKIQWLRAMVGSPDLISIPGEIGRTYEANVDDVGYRLVAIYTPVREDGVEGQPISVSTEQIAVEPEIYREVKQKLDDGSVKFEVLCDKDRTPKKAQVMGHLERRVLEVNRKRIKVVKPGSKTSFPSTEVRGTYAPPFHVELYRNDQHRFKIVVDSDNEVDLMVQTRHMRDLIILTIRGLAQKFNSTSLNTLLKIEA >Sspon.04G0023430-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:17467477:17470596:-1 gene:Sspon.04G0023430-3D transcript:Sspon.04G0023430-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGARPKSAAAESKSGKTTPPTPKGGRPSKPGAAKPANGTPPQAPRAADRSPGSADKPPSGDRRTPKVFARLSTPPAEKQSSAVKQSHELQAQLAAVQEELKRAKEQLAEKEKEKGQVLEELEDAKRLADEANANLLVALAARKKAEEASETEMFRAVELEQTSIESMQRKEEELQRKLENMRSQQESDAAALRSTVEQLEKARYELADAIDTKNLALNQADDAIRLSEVNAHKVELLDAEVARLKELLDTELESKEREGAEQIMRLEAEVSALKIELQKAKDAEEKVAELGDVIEGLRIDAANTMKARAEAEELADEWKQKAEILEIKLEAANQSYMLKVDSLNSVMKELDAASTLLSEKESELSDLQNKLQALEEEVARQNEDIITSNERLDVAEKEAFELREEINELQSKIQALEEEKMDAINNENNASSQIESICEEKERLAKELETSKDEYEKVKKAMEDLASALHEMSGEAREARERYLNKQEEIERAKAQIEELNMNLKNTQENYEVMLDEANYERVCLKKTVERMEAEAKNTSEEWQSKEVSFVSSIKKSEEEIGTMRVEMDKVVEKVQDCENRNAELEEKLKELEAQVEEANRAKDEAKAEALSWKEKLLDKENELQNIKQENDELQVKESNASEKLKELSSVLGNAKVLNGTGPKDENDKGNMKEDDPVVIATKMWENSKVTNYDLSTDKEKDGESEFDLESNKGDAASDCNRLSIDNRANNNTKLAIKQQQPKKPLMKKFGGLLKKKSQH >Sspon.03G0004580-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:11678345:11679202:1 gene:Sspon.03G0004580-1A transcript:Sspon.03G0004580-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCCFSKKRKTRPVAGATAFPRRCEPEDRDPPSPEEETVKEVLSETPSAKPRAEPKPVGNVVVVPAADERGVEKAKKKQDISVDAAVSDLGSCVSLSLATDERSEAASESSVATSSVARPERSPGRKPARRRPVSADLGPARRDRDRAAAAASYNVRSRSARASASPPPPRHVPRDRSVRRSPSPAAKRPASEPRRAASPAAPVVQVQRKPPVPARPSGRVSPRRAQEATPRPASPPEDDAVTAASEPSVPDGSAGGDVQGGRGGDDGKESLENPLVSLECFIFL >Sspon.04G0016920-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:65448695:65453715:-1 gene:Sspon.04G0016920-2B transcript:Sspon.04G0016920-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding WNLLGASYTHSALLDLDSETALFGVFDGHGGRVVAKFCAKYLHGQVLKSEAYSAGDLGAAVHRAFFRMDEMMRGQRGWRELSALGDKINKFSGMIEGLIWSPRGSDSNSQQDDWASEEGPHSDFAGPTCGSTACVALIRNSQLVVANAGDSRCVISRGGKAYNLSRDHKPELAVERERIMKAGGFIHMGRVNGSLNLSRAIGDVELKQNKFLPPEKQIVTANPDINVVELCDDDDFVVVACDGIWDCMSSQQLVDFIHERINMAVTHHEWGKQLQESSLSAVCERVLDRCLAPSTIAGDGCDNMTMILVQFKKPVDRNKKAEVAGQSANNADEVKSRYVHCNGFTDFR >Sspon.05G0010720-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:21777551:21783094:-1 gene:Sspon.05G0010720-3C transcript:Sspon.05G0010720-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIIEAFQKCHVNHPVKKFFGECTDLKIKLDQCFRQEKALKRKANFEESKKFKERTVLAPLTRARSYGNLPQSHAILYYSQRATEGGLLIAEATGVSSDAQGMSLIPHTPGIWTKAQVEAWKPVVDAVHAKGGIFFCQIWHVGRASDMEKEPISSTDKPVEKNGDDHMDFPIPRRLTIEEIPNVINHFRIAARNAVDAGFDVVEIHGAHGFLLEQFMKDSVNDRTDKYGGSIQNRCRFALEVVDAVVAEIGSDRVGIRLSPYANYLGCHDSDPDRLGVYMAQELNRYNILYCSAVEPEMVMVDGKMKIPHRLHEMRKAFKGTFMVGGGYDREEGNRVVADGYADMVVYGRLFLANPDLPRRFHLNAPLNKYDRSTFYTDDPVIGYTDYPFLENCEPLNSQDGEGSTKAKKN >Sspon.06G0008820-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:36810009:36810963:1 gene:Sspon.06G0008820-4D transcript:Sspon.06G0008820-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGADYFIRSGVFNVAGHQWVIFFYPDGYGEELAGGFDFVSAYLRLLSTSGKVRASCDLRLVNPATGVATSVHPTLVIMRELDPEKNKVVCHCMCIGRGELEGTYLKNDRLTMECVVTVRKEPRVSKSRTFPSIRVPLSNLKRQLADLLESREGADVAFSVAGETFAAHRLVLAMRSPVFKAELCGPMREVGMGTRPIVIEDMQPDVFRAMLYFVYTDSMDHNDDLSRDYHSKNCDMVRHLLVAADRYAIERLKLICQSILCNNLDVQNVATTLALADQHHCDKLKHACMSWPPKGIWILRKLHLLC >Sspon.03G0043920-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3C:85884062:85884675:1 gene:Sspon.03G0043920-1C transcript:Sspon.03G0043920-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding KRGRTDQGRSRRYFVVLETADDVLLVQDDLRRVVLRHAVCAFAEADAVSVAWRVFGRRRFAAKACSHDQSVMHDIAIEYGSGSSGGDANVEMTTSIEDEEGQPEHVTFSWAKVEVFWEWPALFIFGPINRGYSMARRHHRLLLEPLRMEARLCCLQGYSGDTATLLLAGLSALCCCLLPATMHVDDE >Sspon.04G0026940-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:55762625:55764294:1 gene:Sspon.04G0026940-2C transcript:Sspon.04G0026940-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVILSSAAPRTLTPPARPRRQPRTSGLRGGLAARLSGALGLAHAGAGAALAAPLSYEEALRLSSDSGGDGAGFALPDLDLNLNLDGLVDFVNENPLVVAAGVAAVALPLLLAQILGGGGSSKPYAVVSARAAYQRLLEEPDAQLVDIRPLKDAREVGTPDLKEAKKKAAAVPYNGEDKNGFLKKLTLRFKDPENTTLVILDKFDGNSGLVAELVTTNGYKLLLR >Sspon.01G0027770-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:92465388:92466074:1 gene:Sspon.01G0027770-2D transcript:Sspon.01G0027770-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPAVVPVEDGGAGEAAGEEVTYYSCHVAWLAWWNHVRCVLASTFLPCPPVPTTKSAIVRGTLFVPSAGDRRVRLFLQEHGPATDQPQAVDHKHFLVLDLPAGLRGADIAAAGRIVLEYQRQWAPNAASPGGALLDSPKWLVYCKGTRVGYATRRERPSDAEGWLLEKLRAVTAGAGRLPGGGLEYLRGRFERIVASSDAESFHLTEWPGDSGGGFDGGLSIFFHRVC >Sspon.06G0024850-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:58238534:58239202:-1 gene:Sspon.06G0024850-1B transcript:Sspon.06G0024850-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding WKGAPCAAAPWRASPPSSTRCRWPGASWRRRRTSTSPSTPPRRSRGTKAWTRRTTRPTSSPTPTWSASGRSRRNNDKPSQPAAATAGRPAPWAAWPSTPRAGWRRPRPRAGTPTSGPAGSGTRPSWAPAPTPTPSAPCPPRASARPSSATPSRGTSPPSWSTAASPCGTPPRRPAPWGWWPCPRRGRWPCCTTPPACSGRASPRMDTPRSPSGRVPTQRTLIS >Sspon.01G0024620-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:87856094:87860450:-1 gene:Sspon.01G0024620-1A transcript:Sspon.01G0024620-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVVYYQYKSEKEICSMPVPHAFISVSELKQLILTSGKHGRGRTRGRATEDIVISNAHTGEEYADERASVPQNMTVLVRRISIPGQLSEKIVLSPTRKVTEECSVPCKSVVTDSSSKSCSSTVVQDEDAAIVAVIDAAELKLEQHPSKRGQGSGRFTSGCNYGPLEGETPPPGYVCRSCGIRDYIITESKCICGVKTLADDLIPNHTLRSTISNMLGTQTSSGGSGTTRHRSSSGSNSDPKILSHTASAASAREAKQSTDHQLPAASAPDDFLQVATGGALVNQPLEKLAATARILSKDEGNSAEVSAEKAAANAEATKVNDGSESTSKATTVSGALKHNSTRTDQLKKKRKKADLTKIVQPNNVGYGYNVPFDPAYYNPFNNGYPWATEPYMYSSMGMPYTGYPMDPYCVNTFTGMPPQVLAMQGYPASYQRPGTEPMHRGGTAAARALSKHPERSERPKDTRLQPQSPEHKRQLVSSHGSESRTRNRTRSSSERREHGNSDRASVEDHSSRKRMRDSSPKYDDKQSSRRSRHGSRSMMTREEDASDDERNFKRRWGRRSSGGVDTRH >Sspon.01G0034770-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:68914128:68925345:-1 gene:Sspon.01G0034770-3D transcript:Sspon.01G0034770-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKRGTYAPVVHSLPTIRLVRRGGTAGNKFRMSLGLPVAATVNCADNTGAKNLYIISVKGIKGRLNRLPSACVGDMVMATVKKGKPDLRKKVMPAVIVRQRKPWRRKDGVYMYFEDNAGVIVNPKGEMKGSAITGPIGKECADLWPRIASAANAIV >Sspon.05G0009270-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:22108684:22109019:-1 gene:Sspon.05G0009270-2B transcript:Sspon.05G0009270-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VGRRAVAGAARPDQAPAEAADDRAGGGRERARRSPGRRRRGGARCPRARARHPAGQRGPGDGAGRRPPGRRGRPRRGGGVGGGERVAPGPRARRGRPPHGAREPALRHLRVT >Sspon.08G0012400-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:49527912:49530551:1 gene:Sspon.08G0012400-2B transcript:Sspon.08G0012400-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPRISRLGARLLRESRAETRSGNLLSSQGILYQGHVNQHSTPVVTPTVSSLRNVLLSTATSGEQDESSQPKDKISVTFVNKDGSEKTISVPVGMSMLEAAHENDIELEGACEGSLACSTCHVIVMDVNYYNKLEDPADEENDMLDLAFGLTETSRLGCQVIAKPELDGMRLALPVATRNFAVDGYVPKPH >Sspon.01G0008280-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:22435172:22442044:1 gene:Sspon.01G0008280-4D transcript:Sspon.01G0008280-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DEAD-box ATP-dependent RNA helicase 24 [Source:Projected from Arabidopsis thaliana (AT2G47330) UniProtKB/Swiss-Prot;Acc:O22907] MSKRPKLEGFSIPRPTSYNFERSQPVQRLYRPTDDPDLDDIAFSDDAPSDAPASTAVEGKAEDEEEIDPLDAFMAEIQEEIRAPPPPPKPEALRRADSDDDEDDPVESFLRAKKDAGLTLAADAMRAGYDSDEEVYAAAKAVDAGMMEYDSDDNPIVVDKKKIEPIPALDHSTIEYDAFTKDFYDEKPSISGMSDQEVADYMKSLAIRVSGFDVPRPIKNFQDCGFPVPLMNAIAKQAYEKPTTIQCQALPIVLSGRDIIGIAKTGSGKTAAFVLPMIVHIMDQPELEKEEGPIGVICAPTRELAHQIYLEAKKFAKPYNLRVAAVYGGVSKFDQFKELKAGCEVVIATPGRLIDLLKMKALKMFRATYLVLDEADRMFDLGFEPQIRSIVGQIRPDRQTLLFSATMPYKVERLAREILTDPIRVTVGQVGGANEDIKQVVNVLPSDVEKMPWLLEKLPGMIDDGDVLVFASKKARVDEIEKELNQRGFRIAALHGDKDQASRMETLQKFKSGTYHVLVATDVAARGLDIKSIKTVVNFDIAKEMDMHIHRIGRTGRAVLLQFKDGRFRAKRDSRKGGKKGGKGKGGGGGAGRGRGVRGVDFGLGIGYNAESGSQVPAPRSAAVNSLKTGMMQQFKSSFVSGSSNTPSSSAPSFVRPALRGFVSGGTIGGDARPAQSAPTFVPASRPAQPAPSAPASRPAGNNNENGNSNPESSRDRSRERKRPSGWDR >Sspon.07G0025340-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:33780978:33781303:1 gene:Sspon.07G0025340-3D transcript:Sspon.07G0025340-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTPLGLAFAGALVFAVAMPAVADAQAPAPAPTSDVYPSRRDRSAISLTHTSLSRSVWHAGTSIDQGIAYLLMIVALVLTYLIHPLDASSPCKLF >Sspon.07G0027770-2D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7D:53828196:53828411:1 gene:Sspon.07G0027770-2D transcript:Sspon.07G0027770-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSATTQVVGGSKTSWPEVVGLSVEEAKKVILKDKPDADIVVLPTTTLAVTSDYAFNRVRVFVGTVAQTPH >Sspon.04G0013710-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4A:49711445:49714267:-1 gene:Sspon.04G0013710-1A transcript:Sspon.04G0013710-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPAEETPAAEDTASPPAAAAKSRGFWFLGEDKSVHKALGGAADVLLWKDKKTSAAVVGGATILWVLFEIVEYHLLTLVSHVLIVALTILFLWSNATVFIKKSPPDVPEVQISEDLAVNIALALRANINKALALLREIALGHNLMKFLGVIVALWILSEIGELCDLLRFMYIAILILHTVPILYHKYQDQVDEFAAKAHSELCKQYKVLDAKVLSKIPRAPPKDKKQN >Sspon.04G0010900-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:32961133:32961828:1 gene:Sspon.04G0010900-2C transcript:Sspon.04G0010900-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRDLLLLLLAAVLLPASSTADPDAVQDYCVPDTGGRAVPVDPARLPSYPCRSPTNLTAADFASAAVRAAGNFSADTGFAGVSVTPAQFPALHTLGMSFARADLSAAGGVNPPHYHPRATETALVLSGRVYAGFVDSGGRLFAKVLEKGEVMVFPRAMVHFQMNVGDEPATVYGSFNSENPGIVRIPATVFGSGIKDGVLERAFGLSPEELRRLQKRFGPPKTKTAEMDD >Sspon.01G0042740-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:67974147:67976021:-1 gene:Sspon.01G0042740-1B transcript:Sspon.01G0042740-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLEQYLRLLPATTHATAPALISASSSLSYPLPNLSALRLARSRPPPLRGKTSSAVRASAGPDATVKRAPEMAQREVARAVADQAVARLGARLLPSAVPADVAEFRNGAGNAVGSLDVHRGSPGSSIDFMLQSSLHCKVPNGAIDITSILIFLNASTDAPHFLLELIQGSSTSIVVILDLLPRKDLAFHPDYLQKYYEETRMDEQRGKIEELPQTRPYRSPSLFVRSACSPTAIMVSIDCGQGGEKALEEIMHGQLATVIQEVLQIWLDNCADSTTEMDEVERDCLLKRDRIVRSKSIEVDLTANLPRMFGPDVSSRVITEIRKAFGVQEP >Sspon.08G0019980-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:16358365:16363640:-1 gene:Sspon.08G0019980-2D transcript:Sspon.08G0019980-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LVAERSGGARSWTARDKSGGSSSGCWSHPEAQAEEWGKRTPSLHMDMATLMITGVLHRDITREILEIRPPVIARENNVQPETTARLQRKYSRIGDDYRSLSQVTEALAQAGLESSNLIVGIDFTKSNEWTGKISFNRHCLHDIGNTPNPYEQAISIIGRTLSAFDEDNLIPCFGFGDASTHDQEVFSFYPENRPCNGFEEALDRYREIVPTLRLAGPTSFAPIIETAIGIVDSTGGQYHVLLIIADGQVTRSVDTQSGQLSPQERDTIDAIVRASQFPLSIVLVGVGDGPWDMMHQFDDNIPARSFDNFQFVNFTEIMSKSIAADRKEAEFALSALMEIPTQYKATLDLQLLGRRQRITPRIALPPPTRTAYSRSTSFSQQSGVYSRSSSFDQQTSGSHQRSESLKQQQPAAPKRPDTYASERALEDRIMCPICMYKSKDLAFGCGHQTCYECGRNLERCPLCQQNITTRIRLY >Sspon.02G0006120-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2A:18571155:18571416:1 gene:Sspon.02G0006120-1A transcript:Sspon.02G0006120-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LEDKM >Sspon.01G0034930-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:6287466:6290159:-1 gene:Sspon.01G0034930-1B transcript:Sspon.01G0034930-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPSKRREMDLMKLMMSDYKVEMVNDGMQEFFVEFRGPNESIYQGGVWRVRVELPDAYPYKSPSIGFINKIYHPNVDEMSGSVCLDVINQTWSPMFDLVNVFEVFLPQLLLYPNPSDPLNGDAAALMMRDRPAYEQKVKEYCQKYAKPEDAGVIPEDKSSDEELSEDENDSGDEEIMGKPDP >Sspon.04G0022900-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4B:9451219:9454883:-1 gene:Sspon.04G0022900-1B transcript:Sspon.04G0022900-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding TAQDRCLPAPALCPPRARRQSEERTRSCAAPTSSGSRWERAVLPTAEPRLTRGPAGLRVASRPFSTWFSGVLLGAFGKFRLPVAALSTWTSSALASRVKKPVGKPRFTAVTGLTGPDRFLSGPVRPMRPIRPPRAQNAPGPAGGGGGSTRPTPYPLPSAPRPPPLVDRPGAPAYRPVRAVYRAPAAPSVSRPGSAANRAVRRATARQCQAEIETLYGSMVKILLLDGDAIIVSDQIDPEDLRQATGHDCISDWARRNVGESHLGKRKMQMVPPKGHGKRHRGKEKAVTSDTETEDEEYQSQDSGDDNSSDDDSDNDGAGGSDGGGGGETDGGGGGSGAGTIGAGGSSYVGLHFTGETQFTHATQDRDHGQPTSQRRAYAPTDYDTPQYSSSSYSDTSQPTYSYPIPDMTMQTQTRWVYEWEDPSFYNMLVQEWETTAAWTGQTWQDYKAALLRQQAPGKWVELQPVDLRTPMHSSFLDDLVHMKLSAKMSDDQLRRFKFLSFAAFCEEGIGTLLLVMAMAHNIVSLM >Sspon.01G0057580-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:11380513:11382850:1 gene:Sspon.01G0057580-1D transcript:Sspon.01G0057580-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MANSSYGSREGLTIRPAASSSSSEISLQIDPINADLDDHILGLRGQVRKLRGVAQEIQTEAKYQNDFISQLQMTLAKAQAGVKNNMRKMNQSIIQNGSNHLVHVVLFALGCFFLVYLVSKFSRR >Sspon.01G0012980-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:38335574:38342832:-1 gene:Sspon.01G0012980-4D transcript:Sspon.01G0012980-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPASRRHQDDGAAPETTQRVFHFGKGRSDGNKAMKDLLGGKGANLAEMASIGLSVPPGFTVSTEACRQYQQGGGRAMPPGLWDEVVAALRVLERDMDSGLGDPCRPLLLSVRSGATVSMPGMMDTVLNLGLNDQVVAGLAQRSGCRFAYDSYRRFLDMFGNVVMGIPHALFEEKLEAMKVAKGLRNDTELDVKDLEELVDQYKNVYVVAKGENCPSDPKKQLHLAILAVFDSWDSARAKKYRSINRITGLKGTAVNVQCMVFGNMGIRSGTGVLFTRNPSTGEKKLYGEFLMNAQGEDVVAGIRTPQELDAMKECMPEPYAELVANCKILESHYKEMMDIEFTVQENRLWMLQCRTGKRTGKGAVKIAVDMVNEGLIDHRSAIKMVEPRHLDQLLHPQFEDTLSYEDKVIATGLPASPGAAVGQIVFTAVDAETWHSQGKSVVLVRTETSPEDVGGMHAAAGILTARGGMTSHAAVVARGWGKCCVAGCSSIHVNDSEKVLVVGDQVLCEGDWLSLNGSTGDVILGKLPLSPPSLSADLEIFLSWVDEVKQIKVMVNADTPADALTGRKNGAQGIGLCRTEHMFFSSDKRIKAMRQMIMADSAEQRQKALDLLLPYQRTLKGFFRAMAVTIRLLDPPLHEFLPEGNIEDIAWYIVPRTNSNASLCYLEAAIAVNDQGFQVFPEIMVPLVGTPQYRAGRVFSFGTNDLTQMTFGYSRDDGILQHDPFEVFDQKGVGELVKIATARGRRSRPDLEVGILGNMVESRLQLHFSLRLDWTMFLVRLS >Sspon.01G0029180-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1A:101345033:101345944:1 gene:Sspon.01G0029180-1A transcript:Sspon.01G0029180-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEGVWTSNYCLGVEGFPKLLYATMQRLGIKDRPEYEGREYEEHETERCEVTVYIRKSEDFPDIAEAWSMTTTGFRFVDMYQAVAHKALRCLCQIYEKPIARTPMRFFPPQEKDRPVWRTRMDILQGRYSLEDDPTVVFMTTYLLAMDEQYDKQASELRKCMHRAKEAELMVRKFHVQLAEAQAQAAAAESRETAIAEALKEAEDRHAQELKDAYLVTRAKRRMQALEDREPMILEGIPIMSLNTERRLDVEGPSAPPPTEISHEALELEPSKEENIPLTQPPPKDDVDPPLSPRDGRQMSEE >Sspon.06G0001290-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6A:4150335:4151384:-1 gene:Sspon.06G0001290-1A transcript:Sspon.06G0001290-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTITLRDLEKDTNNFDKTRVIGGGGHGVVFKGIIDLKVVAIKKSRIIVEREINEFINEVAILSQVNHRNVVKLLGCCLETEVPLLVYEFISNGTLYQHLHVEGPMSLPWVDRIRIALEVSRALSYLHSEASMPIFHRDIKSSNILLDDSLTAKVSDFGTSRYILIDQTGVTTEVQGTRGYLDPMYYYTGRLTDKSDVFSFGVLLIELLTRKQPFVYRFRHGDNLVSHFRKLLAIGNLVGIIDPQVMEEEDGEVQEVATLATMCTKLKGEDRPTMREVEIIIESILVKKKQVPYIATRMRRDETPIHCMSIEMTTNPAERQHAMEDVNSSEISRQYTMEEEILLSGSYPR >Sspon.07G0011500-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:47648971:47657041:1 gene:Sspon.07G0011500-1T transcript:Sspon.07G0011500-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLFNNNLNGILADEMGLGKTIQTIALILSVGKEGGPHLIIAPKAVLPNWSNEFKTWAPRYQIRRRLLLTGTPIQNSLQELWSLLNFILPNIFNSSQNFEEWFNAPFACDVSLNDEEQLLIIHRLHQVLRPFLLRRKKDEVEKYLPVKTQVILKCDMSAWQKAYYEQVTSREKVALGSGLRSKALQNLSMQLRKCCNHPYLFVEHYNMYQREEIVRASGKFELLDRLLPKLQRAGHRVLLFSQMTKLLDILEVYLQMYNFKYMRLDGSTKTEERGRLLADFNKKDSEYFMFLLSTRAGGLGLNLQTADTVIIFDSDWNPQMDQQAEDRAHRIGQKNEVRVFVLVSVGSIEEEILDRAKQKMGIDAKVIQAGLFNTTSTAQDRRALLQEILRRGTSSLGTDIPSEREINRLAARTDEEFWLFEKMDEERRLRENYKSRLMDGNEVPDWVFANNNDLPKRTVADEFQNIIVGAKRRRKEVVYSDSFGDQWMKSDEGFEDVPKATPRPKKTAYSSDIQVEFSERRKRPRSVENSADGASNPTWTPDKGRAGISSYSKDETEDDGEDEVITSGLQKGNSFTWNTLGRRRSSHFSSSSDSKGRPSF >Sspon.03G0022900-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:88565916:88567503:1 gene:Sspon.03G0022900-3C transcript:Sspon.03G0022900-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:1-aminocyclopropane-1-carboxylate synthase 7 [Source:Projected from Arabidopsis thaliana (AT4G26200) UniProtKB/Swiss-Prot;Acc:Q9STR4] MGGKLLLGASQSHAAAASPPLSKVATSGLHGEDSPYFAGWKAYDENPYDAASNPGGVIQMGLAENQVSFDLLEGYLRDHPEAAGWGGATGSGVASFRDNALFQDYHGLKAFRKAMASFMEKIRGGKARFDPDRIVLTAGATAANELLTFILANPGDALLIPTPYYPGPEVEDRGEHRAGALRQRQRVPGHGRRAPGGVRGGRGAGMRVRGVLLTNPSNPLGTTVKRSVLEDVLDFVVRHNIHLISDEIYSGSVFAAPDLVSVAELVESRARRGDDAGVAERVHIVYSLSKDLGLPGFRKTLVAMLSDADFADAYIRTNRERLRARHDHIVAGLARAGVPCLRGNAGLFVWMDMRRLLGEATVAGELRLWDRMLREVKLNISPGSSCHCSEPGWFRVCFANMSLDTLDVALARMSRFMD >Sspon.08G0017490-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:3020462:3021713:1 gene:Sspon.08G0017490-2C transcript:Sspon.08G0017490-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTDGYSELRYERETPQTEVETVVGDLSRDEQELPAWYKIFDLNVIETPVDCEVSEISCGHPADDLCDSVPDLVGLVNQQANDDTSEIQGQDDHAGANQMLEDESDLNNYDLNNEADEHAQDDTSENQVQDENAEDNHLLEDGHDLTKYDVNNEAGEHAHDNHLVNNAEILLNHSMVAHTSDNCHMNNEKMLLKQNVDEQQMENEQMLIDQVNTVQVLDIHHVNDEQLLLGHGADDHHQMEPNPMAFPLGAHDLDNNYYLSSKQILLNNDADQHAGDIHHLKDGQIILDEAADGQARVHNMGNGRTIPEIDLEDDYAQQSASRNTGDYLESIFSKLCTGKDAVNAQ >Sspon.02G0015490-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:36529910:36534685:1 gene:Sspon.02G0015490-4D transcript:Sspon.02G0015490-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative potassium transporter 12 [Source:Projected from Arabidopsis thaliana (AT1G60160) UniProtKB/Swiss-Prot;Acc:O80739] MDDGGIQEEPPSARFLTPTRSGGTRWVDGSEVDSSESAPSWSLEDERSAGAVSSNGGVAAASRVSSGAFRRRLGKRPRRVDSLDVESMNVRGAHGHSAKEISMLSTLAMAFQTLGVVYGDMGTSPLYVFSDVFSKVPIKSEVEILGALSLVMYTIALIPFAKYVFIVLKANDNGEGGTFALYAKVSMLPNQQRVDEDISSFRLKLPTPELERAISVKDCLEKKPLFKNILLFLVLMGTSMVIGDGILTPSMSVMSAVSGLQGQVPGFDTNAVVIVSIVVLLLLFSVQRFGTGKVGFMFAPILALWFINLGSIGIYNIVKYDISVVRAFNPVYIYLFFETNGIKAWSALGGCVLCITGAEAMFADLGHFSVKSIQVAFTAVVFPCLLIAYMGQAAFLMKNPLVVERIFYDSVPGVLFWPVFVIATLAAMIASQAMISATFSCIKQAMALGCFPRIKIIHTSKKVMGQIYIPVMNWFLMVMCIIIVATFRSTNDIANAYGIAEVGVMMVSTALVTLVMLLIWQTNLFLVLCFPILFGAVEFVYLTAVLSKIQEGGWLPLAFSSLFLCIMYTWNYGSVLKYQSEMRGKISLDFILDLGATLGTVRVPGIGLVYNELVQGIPSIFGQLLVTLPAMHSTIVFVCIKYVPVPYVALEERFLFRRVGQKDYHMFRCVARYGYKDIRKEDHGFFEQLLVESLEKFLRREAQEIALEASTMEAERDDISVVSEVPQSPACEGDLQTPLLSDQRSGDNNRMVTTDGSDPVLPSSSMSAEEDPGLEYELAALREAMASGFTYLLAHGDVRARKESLFTKKFVINYFYAFLRRNCRAGTATLKVPHSNIMRVGMTYMV >Sspon.01G0051650-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:6430969:6432365:1 gene:Sspon.01G0051650-2D transcript:Sspon.01G0051650-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQHGKHGIRLRCISYRDLGNRILAAASAFLYMVLTDYVLVDLSIEMDKLFCDPFPDTTWLLLWDFPSRVTSTSA >Sspon.02G0014440-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:38312338:38318397:-1 gene:Sspon.02G0014440-1A transcript:Sspon.02G0014440-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASARLGILGRYGRRALCTLSTTLRSGAGEDEIERIRREFEDAKRNYLSIPAAIKDMPKMNPQGIYVNKNVKLDDLQVYGFDYDYTLSHYSEHLQCLIYDLAKKHLVNELKYPESCLQYEYDRTFPIRGLYYDRLKGCLLKLDFFGSIEPDGCFFGRQRMSLSEIKELYGTRHIGRDQARRLVGLMDVFCFSEACLIADIVQHFIDAKLEFDPSYVYEDVNQSIQHVHRSGLIHRQILSEPQKFLIKKSQVFRFLKMLKEKGKKLFLLTNSPFYFVDGGMRYLLEDQHFDGNSWRELFDVVIAQANKPTFYNSDHPFRVYDTQKDTLAFTAVDKFLPDQVYYNGCLKSFLQITKWRGPEVIYFGDHLLSDLRGPSKAGWRTAAVIRELEDEIEIQNGDSYRFQQAKLSIIHDLLGKVNATVVSTEKGQVYRALLDELNAERRQCRSGMRDLFNSSFGATFLTDTGRESSFAYHIHQYADIYTSKLENFLSYAPESWLHPPHDIKIMPHNAKVPASLFSTS >Sspon.04G0024300-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:21719703:21721677:-1 gene:Sspon.04G0024300-2C transcript:Sspon.04G0024300-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVDYKLTYPSGTATAYLINGFHTPEGAKLAKKQVKTLGKYFVFSFFWGFFQWFYTAGDECGFKNFPTLGLEAYNNRFFFDFSPTYVGVGMICPYIVNVSVLLGGILSWGVMWPLIAKKKGSWYPADLEDSSLHGLQAYRVFISIALILGMVYTTSLSCRNIYRHPSSDLPQLKWYYILVAYVVAPVLAFCNAYGSGLTDWSLASTYGKLAIFVFGAWAGLSQGGVLVGLAACGVMMSIVSTASDLMQDFKTGYLTLASPRSMFISQVIGTGMGCVIGPCVFWLFYKAFGDIGESGTEYPAPYAIVYRNMAILGVDGFHSLPKNCLTLCYIFFAAAIVINLVRDLTPHRVSRFIPLPMAMAIPFYIGSYFAIDMFLGCAILFVWEQLNKAKADAFGPAVASGLICGDGIWTLPQSILALA >Sspon.07G0023130-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:13442366:13446105:1 gene:Sspon.07G0023130-1B transcript:Sspon.07G0023130-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RMASAQAIGQGEQDHFVLKSGHTIPAVGLGTWRAGSDTAHSVQTAITQAGYRHVDTAAQYGLEKQVGRGLKAAMEGRINRKDLFVTSKFRCTELAPDKVGPALQKTLKDLQLDYLDLYQIHWPFRLKDEAHMPPEAGEVLEFDMEGVWREMESLVKDGLVKDIGVCNYTVTKLNRLMRSANVPPAVCQMEMHPGWKNDKIFEACKKHGIHVQAYSPLGSSEKNLAHDPVVEQVANKLNKTPGQVLIKWALQRGTSVIPKSTKDERIKENIQVFGWEIPEEDFKVLCSIKDQKRVLTGEELFVNKTHGPYKSASEVWDHED >Sspon.01G0048160-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:107345890:107348389:-1 gene:Sspon.01G0048160-1B transcript:Sspon.01G0048160-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKTRGMGAGRKLKTHRRNQRWADKAYKKSHLGNEWKKPFAGSSHAKGIVLEKIGIEAKQPNSAIRKCARVQLVKNGKKIAAFVPNDGCLNYIEENDEVLIAGFGRKGHAVGDIPGVRFKVVKVSGVSLLALFKEKKEKPRS >Sspon.05G0025480-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:20369555:20374388:1 gene:Sspon.05G0025480-2C transcript:Sspon.05G0025480-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GVMEYKWPFSVPDILCIGTTQVHACLAVSKLHLAACLLWMKIQGIPEQLYYSHEVSTQARGTTKTTAHCAPWCIPCISPHSLQVHHPFSILLQSKSFLSSFLIPLTHYLPFSCQCSMLLCWHYHTTTPSFTCKNTYLLENALNVGSANPLFSTEDAIEANRFMKGIEAVAGDSRGYSMANFIAQQIEALGAEVCYHKFIPHSKNFHPLKFFTSMTNDMAIQPNGTDTNFGINTIGIVRAPRGDGKEAIVLVSPYNSQSVQSNELLSLALGFSVFSLLSRAAWLSKDVVWLSADSQFGEYAAVSAWLNQYHNPVFLSQSVILHTKMYGANHINDGNSEKTEVTAFKRAGTMAAALIFKVGETRRYDDRDSVMMYAEASNGQMPNLDLLNVVHYLAVHRQGFRVNMAAFSSLLSSAWLRVIAEFLHTLGSVLRKINPDWKLDIAVPDYVEGTANLANSIYIQALGVPTGSHGAFRDYQVDAVSLEFSPTFHVRNENAKSLFLLRGFRLIEGVVRSVNNLLEKFHQSFFLYFLTAPSKFISVGMYMIPFALLLAPLPIVAAALADGSKSMEKPVDKLMEHSKADDIADIPQSKGGSCKWLQAAKVLLVIQLWAVLVSLLPYYITQIPDATPMQSTLIWVVLSIVILITLSALFGSPYSAGVEWKLLKATMITSISIRLGLMSIINFATAQLGALIVIPMCLFSRPLKASGMNFLPRAVLLASNIVFAVLGFPPAAVLIMKGMSKGSCSWTTDFGDFWIWMEFLWEWSSATYLYLYLVHLPCWLLCILVLLHPCPQLESKMKQ >Sspon.01G0029380-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:111739299:111742329:1 gene:Sspon.01G0029380-2B transcript:Sspon.01G0029380-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPLQEPLAGGGGLQRCAADVDPPPRPWPGLQKLAIVTIAAIVVLGCLQFLPPTHFRNPNDPQRNWIPIDGSWNPTGSLNDVGSVDVFSWISCLDLRTLAALANSTLSSSSQKQIKDKLNVATPEGNFLWSFHTELSPFLIAKSQLSKKRYVYIAADSIIKGKVEDLARMDLGSYAIGAVEDCSKRLNDYISMDVLSAIQRAGAAQSWVSKEPYNKDACLLDFDVLLIEPRKLEKNLVVPIMWWANAINLANQRDLIRLAVTLAFNDKYMKLPSNWKRTDGNSDILNFDGPKNMDVSMSNQATVQPGSNTVIRNLKPY >Sspon.01G0024210-2D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1D:84518752:84518958:-1 gene:Sspon.01G0024210-2D transcript:Sspon.01G0024210-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAKLQADLRPEDPTRAVGHVAAKAAARNDEAAAIGGCGFGLGVDTGEETNLRCCNARRTWARRNVDGG >Sspon.05G0011570-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:35326617:35328263:-1 gene:Sspon.05G0011570-4D transcript:Sspon.05G0011570-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGWSPIPSSETETIQDQGSKTAKDQTPKIAKDVTQLIGKTPLVYLNKVVAACEARVAAKLEIMAPCSSVKDRIGYSMIADAEEKGLITPGKSVLIEPTGGNTGIGLAFMAAAKGYRLIVAMPASVSTERRAVLRAFGAEVVLTDPTLTMDGVVRKAQEVAARTPGAHVLQQFANPANPRVHYETTGPEIWTATAGKVDALVAGIGTGGTVTGAGRYLKERNPAIKIYGVEPSDSAVLSGGKPGPHKIQGLGAGFVPGVLDVSLLDEVFQVSNEEAAGMAKQIALEEGLLVGISSGATAVAAVRVARRAENRGKLIVAIFASFGERYLSSFMYESLKNEAESMAFEP >Sspon.02G0022680-4D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2D:69726597:69728263:1 gene:Sspon.02G0022680-4D transcript:Sspon.02G0022680-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETQHQQEGGAGASPDLHVVVFPWLAFGHMIPFLELSKRLARRGHAVTFVSTPRNAARLGAVPPELSARLRVVALGLPDVEGLPDGAESTADVPPEKVGLLKKAFDGLAAPFADLVTALACADAAGGSGDAVSGVGFSRKPDFIVLDFAQNWIWPIAEEHEIACAILLILPASILAFLGPRHENETHPRTTTEDYMVQPPWIPFPTTMAHRRHEAEAIAAVFRQNASGVSDMDRFYEMQRPCCRLIVLRSCPEAEPRLFPLLTDLLAKPVVPSGLLLPDEVADDDDDAAPGGGDDGDDQSFADVMRWLDEQPPGSVIYVALGSEAPVTADHVRQLALGLERSGARFLWAVRRPAGHPSGGALPLLPDGFEASVAGRGVARAGWVPQVRVLAHAAVGAFLTHCSWGSTVESLFRFGLPLVMLPFVADQGLIARAMAAHGVGVEVPRDEHDGSFRADDVAATVRRVMAEEEGRELARNARELQKVVGDRARQEQYVDDLVEYLQRYK >Sspon.02G0012520-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:9765108:9766652:-1 gene:Sspon.02G0012520-4D transcript:Sspon.02G0012520-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding METSWILLAGALLLSLLVLRRHAKNRRRLPPGPPAVPLFGNLLWLRNSAADVEPLLRKLFKRYGPVVTLRMGSRLAIFVADRRLAHAALVGAGAVTMANRPQAATSSLLGVSDNIITRADYGPVWRLLRRNLVAETLHPSRVRLFAPARAWVRGVLMDKLRAGSGAGDDEPGNVMEAFRYTMFCLLVLMCFGERLDEPAVRAIEDAERTWLLYISQQMSVFFFFPSVTRHVFRGRLQTARALRRRQAELFVPLINARREYKRQAKAAQAPARETTFQHSYVDTLLDITLPAEEGHRALTDDEIVALCSEFLTAGTDTTSTGLQWIMAELVKNPAVQNRLHAEIKATCGDDAETVSEEAVHGMPYLKAVILEGLRKHPPGHFVLPHKAAEDMDVGGYLIPKGATVNFMVAEMGRDEQEWDRPVEFVPERFLEGGDGVGVDMTGTKGIRMMPFGVGRRICAGLSIAMLHLEFFVANMVREFEWREAPGHEVEFGEKSEFTTVMKKPLRPRLVPRRT >Sspon.01G0019220-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:72191421:72212470:1 gene:Sspon.01G0019220-1A transcript:Sspon.01G0019220-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHREVRTLSLPCLGVVEKVDENEWWNKIGQLREGSQQELVVKRNFGRDGQNILANMAQRQGLYFNAYNRGKTLVFSKVPLPDYRADLDDRHGSTQKEIKMSNQTEARVEDLLSRSKWNTNNSASTSTVSMRQFLPSASSSVVEPAAPIDKEKLSSQLRDLQNSRKTTASARSMQSFREKLPAFSMREEFLKAVAANQVLVISGETGCGKTTQLPQFILEEEINNLRGSDCSIICTQPRRISAISVAARVAAERGEELGEAVGYQIRLESKRSAQTRLLFCTTGVLLRRLVQEPDLIGVSHLLVDEIHERGMNEDFLIIILRDLLPRRPDLRLVLMSATINAELFSKYFGDAPVMHIPGFTFPVAELFLEDVLEKTRYRINSERDNFAGSSRRKRFSSVKSDPLSDVFENIDINKEYGNYNITTRQSLEAWSAAELDLSLVESTIEYICRYEAEGAILVFLTGWDEISKLLDKIKGNNFLGSPNRFLVLPLHGSMPTVNQREIFDRPPASMRKIVLATNIAESSITIDDVVYVIDCGKAKETSYDALNKLACLLPSWISKASAHQRRGRAGRVQPGFCCRLYPKIIHDAMQQFQLPEILRTPLQELCLTIKSLQLGAVSSFLAKSLQPPDPLSVKNAIELLKTIGALDDTEELTSLGRHLCTLPLDPNIGKMLLMGSVFQCLDPVLTIAAALAYRNPFVLPIDRKEEADAVKRSFAGDSCSDHIALLKAFVAWKEAKRSGRERTFCWENFLSPMTLKMMDDMRNQFFDLLSDIGFVSKTTGVKAYNHYGNDLEMICAVLCAGLYPNVVQCKRRGKRTAFYTKDVGKVDIHPSSVNAFINQFPLPFLVYSEKVKTASIYVRDSTNISDYALLLFGGSLSPSKTGEGIEMLGGYLHFSAPKHTIELIQKLRGELDKLLQKKIEEPGLDIFSQGKGVVAAAVELLHSQNFNH >Sspon.04G0011270-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:36331741:36340720:-1 gene:Sspon.04G0011270-3D transcript:Sspon.04G0011270-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSFSSGSHGHSHSHGGGGKCVCAPPTHAGSFKCRLHRTNSQGHAHPSPPVSPAGGASSAAPAQGVPSSASSRTVEAQGRNQNRSMASNYVDTTGEEGRFHGPHNHSHSTSTTPTGAAAAAAASPRNMRRSFSSGSHGHSHSHGGGGKCVCAPPTHAGSFKCRLHRTNSQGHAHPSPPVSPAGGASSAAPAQGVPSSASSRTVEA >Sspon.01G0003450-4P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:8082977:8083168:1 gene:Sspon.01G0003450-4P transcript:Sspon.01G0003450-4P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAVLVAVVLMQCCNVMVAARPLLMEAPAVATADGGWLGMIMQVLQGPGGNPGGWQAPGHQP >Sspon.01G0016660-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:57372762:57374129:-1 gene:Sspon.01G0016660-1A transcript:Sspon.01G0016660-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKPSAVSRPCFLLVTAAALWALTLYLRLLALMSVPVAFTGRVAPFDTNASGDPCRGRYVYVHDLPPRFNADIVRGCAAANDRWQGMCEDVRNGGLGRPLSGGALTGATGWYATHQFALDAIFHGRMRQYGCLTNDSSAAAAVFVPFYAGFEFARHIWGYDAAARDAASLDLVRWLVRRPEWRRAGGRDHFLVAGRTGWDFRRDDRNSTWGTSLFLLPAVKNMTFLVVETATMGWGNDLAVPYPTYFHPRTDSDVLRWQQRIRSSDRWWFMSFVGAARPSDPRSIRSQVMAQCGASPACRQLGCTFGSAQCHYPGDIMVLFQSSTFCLQPPGDSASRRSTFDAMVAGCIPVFFQPRSAYLQYKWHLPRDHATYSVFIPAEDVRSGNVSVEAELRKIPPAAIEKMREEVIKLVPRLVYADPRYKLETVKDAFDVAVDGVLQRMAETEERKTGSYWR >Sspon.08G0001710-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:5380791:5384867:1 gene:Sspon.08G0001710-1A transcript:Sspon.08G0001710-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHFKNRYIVVEVFIDAGRGEQDPVILTQFNITKVIRDSIQLNFGECGLAGSLGSLQVKYVNPVTKLCIIRVSHEDHQKVWAAITMVRCIGKIPVSFNLLDMSGSIRACKKAALECDEAKFEQYKVAAGDRITADIIKSVESCFEKIRGLES >Sspon.07G0026780-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7B:55261016:55261167:1 gene:Sspon.07G0026780-1B transcript:Sspon.07G0026780-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSLRPAMRFSLRSSSRVPVCVEERGRKVWPNGEWVLISLSADLDLRAF >Sspon.01G0008460-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:24327259:24340001:1 gene:Sspon.01G0008460-2D transcript:Sspon.01G0008460-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHYDEIFRLKGIAAKADVFHILSGMWKTPAERCFLWLGGFRSSELLKLLVNQLEPLTEQQLMGLSNLQQSSQQAEDALSQGMEALQQSLAETLAGSLGPSGSSGNVANYMGQMAMAMGKLGTLENFLRQADNLRQQTLHQMQRILTIRQAARALLAIHDYFSQQRKLQERCMDSPESRGYQRLCFEELLMMYWSRRSDGGSRQVSKDRCTKQASSAEDVGARRVGVRSSVAVLLVVVGDLALHPGPAPSFRTQACGLRCWIAAKLKLRKALKRHHGWQLQRNLDARGNDKVTDYLEAASLTEKRNSGGEMANTSSDTLDSSTDQEKSVPQGHIPSEISSPVEKEESAVPRRHSNNEPSLCIAVIGATGELARTKVFPALFALYYSGFLPRKLTDEGLRSIIEANLTCRVDHHFEININNHWNMHLAYDSGGEMANTSSDTLDSSTDQEKSVPQGHIPSEISSPVEKEESAVPRRHSNNEPSLCIAVIGATGELARTKVFPALFALYYSGFLPRNVGIFGYSRKKLTDEGLRSIIEANLTCRVDHHENCDGKLSEFLKRTYYVDAGHDNKEGMVRLNSRMAQIEGIGAANRIFYLAVPQEALLDVALPLADSAQTKQGWNRIIIEKPFGFTGLSSQRVTQSMLSRFEERQIYRIDHLLGKDLIENLTVLRFSNLVFEPLWSRTYIRNVQVIFSEETSNEIQGRYFGNYGIIRDIVHSHILQTIALFAMEPPVSLDGEDIRDEKVRIIRTSTYAGEVLRSIRKVDLEDVVLGQLKDTSGKVDRYTKSMTPTYFAAAMYIDNARWDGVPFFIRTGMGLMMNRAEIRIQFRHVPGNIYRERFGHDIDLDTNELVLRDQPEEAILDELAAAWNVLTPIIHEIDQNRVAPELYEAGDRGPINAYYLAAKHGVQWDDDW >Sspon.01G0026180-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:91105663:91106331:-1 gene:Sspon.01G0026180-1A transcript:Sspon.01G0026180-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSIELTKEYGYVVLVLVAYAFLNFWMSFQVGKARRKYKVFYPTMYAIESENKDAKLFNCVQRGHQNSLEMMPTFFVMLLLGGLQHPTIAAGLGVLYVVARFFYFKGYATGVPDNRLKI >Sspon.05G0018520-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:78498052:78506820:1 gene:Sspon.05G0018520-1A transcript:Sspon.05G0018520-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLFSTPAKVYQPAAEVDLGPGSAEHYISPNVKAPRVAGFLVKMLAWVLETPVLGWIVLSVLKRDNLVYKLVSDAEIPEPPLFTATHTWQAIPEQNVSATEPGLSPAERVQAAVTCIPADLEPAAALGDGASFRRWTVQDFHRAYTSGQATPIMVARRFLAAVEECSGPDLNMGAPLSAMDGVLVAVKDELDCLPYPTTGGTRWLGSARRCVADAACVVQLRACGAVMAGKANMHELGAGTSGINPHHGSTRNPYNVGRVSGGSSGGSAAAVCAGLCPVALGADGGGSVRMPAALCGVVGFKPTAGRLSNSGLLPLNWTVGMPGILAATVEDTLIAYAAIADQSKPSPLQQPELNLPLLTCTRSMPNIRLAKYAKWFDDSSEDIRSLCGKALQMLRTHYGWESVDVTVPEIEEMRLAHYVTMGSECTASLAKYLDNMDRSEIGWDVRIALSAYGSFSSRDYLNSQRLRCRQMYFHEKIFETADAIVTPMTGVTAYALQDDALSTGELDYINGAALVRYSIAGNFLGLPAITVPVGYDRGGLPVGLQFIGRPWSEATLLHLAYAMQEACGKEHRKPKVHYDLLTKE >Sspon.01G0001180-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:3743594:3747666:-1 gene:Sspon.01G0001180-1A transcript:Sspon.01G0001180-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding FTVTRTSQSSHEPRKFLGGGVRVRQAERGAALRGGVDHVFVPVYDHVPLDLLKFLDRKGRCQKHLRKIRKLEEEEEEEEEEYVLLELDNCLYSDISPGAPFVLSIGEYEETIGTCYLFSESEAEPKPTSDEMAASEENTDKPASSSKEAPSTEVNHLASVQKILKFQPINAEHLRHRAYQLKDKEL >Sspon.07G0013400-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:53976201:53980288:1 gene:Sspon.07G0013400-2B transcript:Sspon.07G0013400-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNYRFQNLLGAPYRGGDAVFAGDSSVLLSAVGNRVASTDLAASSSLTLPFESSSNVTRLAASPSGDFLLAADDNGRALYANLRRRAVLHRVSFKGAPSAVRFSPDGQLIAVAVGKVVQIWRSPGFRREFFPFHLLRTFPGFAAGVTAFDWSPDSAFLLASCKDLTARLLPVKKGLGGKPFLFLGHRAAVVGAFFATDKKTGRVKGVYTVSKDGAIFTWNLVQGNEENDTSPPSSPGTPEQGSEQNDVMELDGGSRKRKILGELEEPDTKLHLAKWELQEKHFFMQSPAKLTACDYHRELDMVVVGFSSGVFGLYQMPDFVCLHLLSISREKITTAIFNSLGNWLVFGCAKLGQLLVWEWRSESYILKQQGHYFDVNCIAYSPDSQLLATGADDNKVKVWTVSSGFCFITFSEHTNAVTAVHFMANNHSLLSASLDGTIRAWDLFRYRNFRTFTTPSPRQFVSLTADQSGEVICAGTLDSFEIFVWSMKTGRLLDVLSGHEGPVHGLMFSPINAILASSSWDKTVRLWDVFESKGAVETFQHSHDVLTLAYRPDGRQIACSTLDGLIHFWDPSEGLLMYTIEGRRDIAGGRLMTDRRSAANTSIGKYFTTLCYSADGSSILAGGNTKYICMYDVGEQVLLRRFQITRNLSLDGVLDFLNSKKMTDAGALDLIDNEDSDVEEGIDRQTRGNLGLGLPGSMANRGRPIARTKCVKFAPTGRSFGAATTDGVLLYSVDESFIFDPTDLDIDVTPENVEEALAENQQQRALILSLRLNEDSLIKKCIFAVDPSDVRAICSAIPYKYLQRLIDAFAGLLESCPHLEFILLWSQELCKVHGHYIQQNSRTLLPSLKSLQKSITRLHQDLADTCSSNEYLLKYLCTAGTKN >Sspon.07G0031390-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:9913257:9914149:-1 gene:Sspon.07G0031390-2D transcript:Sspon.07G0031390-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPLLLLLLLAMFTGSSDAAFCVCKTGVPDQGYQAAIDYACSKGADCSSTKQGGPCYGNGNKVAVCSYICNSYYQMRSGMGATCDFNGVATLTGSDPSSGTCKFASGPRFGTSVLRTVFATAD >Sspon.08G0012510-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:53636247:53637441:1 gene:Sspon.08G0012510-1A transcript:Sspon.08G0012510-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTYLKKPSPYTVSLIVLLPLTLIICFTFLLPLSAYLRNPLAATAVATTTTSACIDTTADRVVRAAPAATVDDGDGVGNNQRPADELMSVLVGVHTMPGKHSRRHLIRMAYALQQQATPALRAAAARVDVRFVLCARPMPPEHRAFVALEARAYGDVLVLDCAENAEEGKTYTYFASLPAMLGSGSGGGGRPYDYVMKVDDDTFLRLDALVDTLRSAPREDMYCGVGLPFHDREFPPFMLGMGYLLSWDLVEWIATSDMVRREAKGVEDLTTGKWLNMGHKAKNRVNIFPRMYDYKSAKAEDFLENTIGVHQLKQDLRWAHTLEHFNLTRLEPSRKLHNF >Sspon.03G0013660-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3A:39106582:39107530:-1 gene:Sspon.03G0013660-1A transcript:Sspon.03G0013660-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGGGHGAGDRISSLPDDILFSILLRIGSTRAAARTSVLSRRWRHVWARLPELRLGTCDVPPGATLLDSIDAALDACSAPAVQRLEVAMYCHGLRVHARRVAPWLRFASQRRVGDLYIEVPSQMKFFLSTPKPKKEELELPVCDGATRITLSLERQWRLRLCPAGSFMSLTDLHISCATMEGSELGALVSKQCPRLRNLYLFVRLAAASNVSIRSSSLDSLSFDVENTEKLEVIAPKLEVLTVCDATKADISAPKLAEISWDGDTGYDPQCHRFGNAGRHLRLLDLGSKCVVASLMHRFDKVDVLKLNLNLCNFK >Sspon.01G0000440-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:1972403:1975679:1 gene:Sspon.01G0000440-4D transcript:Sspon.01G0000440-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDNVRAKTRSWAGGETSSAAAASRIIAQQWAARRRQQACEQMVLTTLDLDRRDRESELLALARLHAVSMLDASFLHGGDGGRGGRRRARSPERALVSRIAREWAASASSTSPREGGSGEREEWLGESERERVRSVRERVRMACQGYHGEEEAPSRLRGRPQARADVVVTRMAVERQRELHGLSEHRAVSAFAHRGRIQSFLRGRFFRSGRPMNDEGSISMIARELGHVRQSHPVSRLREEVRSGTESITNDQSTLVSLSIQTNSTDNEYDSVTPQVVSDDNNHIENATRDYEILTQQSVQNEDSHIENNVANSNDAHQTDFAQEQIDRYEDYSDSGSSEQDNDHSSYAFPAPSNNGVQREAETYGGQQSDSPWSRDISSTEDGHDNTFVHRDEEWLIIDSQEPGPNWQLGRSFPSSRNVNRLRPSNDDVYGIELRELLSRRSVSNLLSSGFRESLDELIQSYVQRQEHGPHDWNFEGQRPTTGLLNEDPIEIMIDEQNRAERDTAPQSSTMLPDQTLFPQQRQWHIESPHHNWSQQSMHHFEFDWDTIHILRDELTGVQRGMTSMQQMLEACMEMQIELQRSIKQEVSAALNRSLSMQDEETLEDGSQWKLARKGTCCICCDNQIDSLLYRCGHMCTCSKCASELLHGVGKCPLCRAPIIEVIRAYCIM >Sspon.05G0031000-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:2886914:2891955:-1 gene:Sspon.05G0031000-1C transcript:Sspon.05G0031000-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALHVQRHERRLAAVACLVVTMASLASARFVVEKNSIKVLSPRSLRGHHEAAIANYGVPDYGGTLTGVVLYPDAKLATACEPFGGEKFRSPSGRPVVLLVDRGGCYFALKTWHAQLAGAAAVLVADSADEPLLTMDSPEEETPDMAFLANITVPSALVSKQFGDTLRRAASKEKAEEVVVRLDWRESMPHPDERVEYEFWTNSNDECGPRCDEQAAFVRAFRGHAQLLEKGGYALFTPHYITWFCPDAFLETPQCKAQCINRGRYCAPDPEGDLGAGYDGKDVVVENLRQLCVHRVANASGRPWVWWDYVADYHLRCSMKDNKYSSACAQEVVRSLGLPMDKIDKCMGDPDADAENDVLRTEQIVQVGHGARGDVTILPTLVINNVQYRGMDVRALQFSSQDETMPCRFSLNNLAFSGKLESTAVLKAICAGFKESTEPHVCLTPGMETDECLHNNGGCWRDEKTNTTACKDTYRGRICQCPVVDGVQYQGDGYTDCKAVGPGRCAMDNGGCWKDTRQGKTFSACSDSELSGCKCPPGFKGDGFHCQDVDECRDKLACSCPHCSCKNTWGGFDCKCNGGMIYIKNEDTCIAKNMSAFGWLVTALVLSCVAGAGIAGYLFYKFRLRRYMDSEIMAIMAQYMPLDSQHNENQPLRTEETQQAAIVHKTALFPLSRQALETAVFVHKLCGRSYSQFFKSLSFFCSLNHLNNNTLANFILSSSSTGAEVPTPNTEEYPWTTKD >Sspon.08G0012110-3C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8C:49528379:49528774:1 gene:Sspon.08G0012110-3C transcript:Sspon.08G0012110-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DQQAAAAQLLLLKEDAAGAGETAASGRERKFECHYCCRNFPTSQALGGHQNAHKRERQHARRAHLEASFAAHCSTYLPGAHLYGLFGYGSGGGHTALPPAAHYPAAVWTGAVPGMYCGVARPTVYGGMAVPG >Sspon.04G0016440-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:66970261:66973168:1 gene:Sspon.04G0016440-3C transcript:Sspon.04G0016440-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GAPPDQMGASYPHMFLILLLLHGANAALKPAAGPKWLTLSGLPPQVIARGGFSGLFPDSSQFAYQFALTPACLPDVVVLCDLQLSSDRIGFCKTGLTLDNSTTVSEIFPKMERTYKLHGEDVHGWFSLDFTADQLAQNITLIQNIFSRPSTFDGSQRMYTLDEVVELHPPQIWLNVQYNSFFLEHKLSSEDYILELPKDTLSYISSPEVEFLKSLGGKLKKAKTKLIFRFLNENIEEPSTKKTYGELLKDLKAIKEFAAGILVPKTYIWPVEKDQYLAPSSTSLVKDAHALGLEVYASGFANDIVLSYNYSYDPTAEYLQFMDNSDFSVDGVLTDFPVTASGAVACMAHSKGKPLPPPGKSRPLIITHNGASGMFAGSTDLAYQEAIKDAADIIDCTVQMSKDGTAFCMHSADISSSTTAATAFASKASTVHEIQNKSGIFSFDLSWSEIATLKPALISPFAQAGLQRNPLAKNAGKLMTLPQFLDLAKASNITGILIEIEHASYLAKRGIGMVEAVSSALTKAGYDKETKQQVFIQSDDSSVLEAFKKFTTFRRVLNIEAKISGASKPSVEDIKKFADTVRIHRNSVAQITGYFMTHFTDTVGSLQAANLTVFVGVLKNEFMNLGFDFFADPTIEVATYAFSVVADGIVTDYPATASSYFSEYLI >Sspon.01G0020170-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1A:74730775:74732896:-1 gene:Sspon.01G0020170-1A transcript:Sspon.01G0020170-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGSKCDSRKWRSWRWLVASWVLAVILERGGAEVTELDLEERREERRDLLVLRDTLRSAPDLHSNWTGPPCHGERSRWRGVSCDGDGRVVGVALDGSQLTGTLPRGALRAVSRLEALSLRGNALHGALPGLDGLSRLRAVDLSSNRFSGPIPRGYATSLPELARLELQDNLLSGTLPAFEQHGLVVFNVSYNFLQGEVPGTSALRRFPASAFDHNLRLCGEVVNAECREGPTSSSGAPAYGSSSSPVVRPAGDGGRAARKHARFRLAAWSVVAISLIAALVPLAAVFIFLHHKKKSQEVRLGGRAKATGDKFGSLPDSSFLCNGLLFFSDGSLFCTINAKVTVVEDIKDMVEVEQGRGSGSRSTESGKGAELQFFRADGASFDLDELFRSTAEMLGKGRLGITYRVTLQAGPVVVVKRLRNMSHVPRREFTHTMQLLGKLRHENVVDLVACFYSKDEKLVVYEHVPGCSLFQLLHGNRGEGRTPLPWPARLSIAQGMARGLAYLHKSLPYFHRPPHGNLKSSNVLVFFSAPHGTQQKQAVPKLTDHGFHPLLPHHAHRLAAAKCPEFARRGGRRLSSRADVYCLGLVLLELVTGKVSVEEDGDLAEWARLALSHEWSTDILDVEIVGDRGRHGDMLRLTEVALLCAAVDPDRRPKVQDVVRMIDEIATGDGPEELAWR >Sspon.03G0014740-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3A:47158577:47163094:-1 gene:Sspon.03G0014740-1A transcript:Sspon.03G0014740-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLDATSPRRTRAVAGVAAAEPGQRREPVVPGPSHCHARGPCAPRTEDVTEALEPRGRNRSVLGRKTKAEGAATGIPDPLSRSLATARTSNALALSVLAVPNCQPKGGVCAGGGNGGEEGGAARRCPPPASSGVFACWGASEEQIKRAYRKLALKYHPDKNPNNEEADRRFTEINNAYEVLTDQEKRKVYDWYGEAGLEQFHGEHSDGDGGHAMNIEHVFSNFFGGGGMEEEEERILKGDDVIVELDASLEDLYMGGSLKIWREKNVIKPAPGNRRCKCRNEIRQREIAPGVFYRMSEQVCDTCPNVKYIRERDFINVDIEKGMQDGQEILFYKDGEPRIDGEPGDLKSEALVGFEKNVKHLDNHAVEIGTKVITKPKEVRKFKGEGMPLYHSNEKGDLYVIFEVVFPKDLNGDQKAKLTSIFT >Sspon.05G0007820-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5D:25937254:25940939:-1 gene:Sspon.05G0007820-2D transcript:Sspon.05G0007820-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRDSSSRRPMASISLLPDQMRRDSRSGSKRIGSIRLFVWPSIALRNNGTTEPDPIRYHRRTRTPFPVASISSPNLAPRWPSASHPFAKAENLAPGYQTGPVHSGVVKPRRSHFTLRLFWRRHVGPSHLPCTRTPSCSLEAPHRLIPSRLPYPIRARYDGGTGSGGAWAHGHEGRTVAAAIHGPSVTPTATHLCPHPRRRRHTSAGAQGGLRRARGHGPHSQDRNHRAAHASWRSGSCSRMARFPEAVVARGDLATPLRPPQRVPSGRRYVVHAVDAEVLDSVPKEFETEEAGEDDGDAGASAITVKRHYWEWLDAIDGDSERHEVAAAGACAH >Sspon.08G0016720-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:65715241:65720011:-1 gene:Sspon.08G0016720-1T transcript:Sspon.08G0016720-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LSAASACACSPPHVIPHPSAAAPPPPLQSSTPPIPSGPCRGVREGHPPTAMADAYWRYAAADPRQQQQPPPPSAGGGVAHPGMGGGAPQMAPAMGQQQQQQQPMKRPRPADFSDVPGAPDMTGYYPRDEERAGYRPARDTEALNASYERFLRTGPGGDPIVLCFVDFAEPTQSAIAMEALQGYKFDEHDRNSPNLRLQFARFTGPRGNSGPGGGRGRR >Sspon.06G0034640-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6D:51795659:51803709:-1 gene:Sspon.06G0034640-1D transcript:Sspon.06G0034640-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAANDCGVHVVSRRMVPPLTPPPMVNGNNGCHPPTVNGNGCHRRKEEVEVIHLTPWDLRMISIDYIHKGILLPKPAAEGDNNDFFNALESSFARALRLFYPFADRLASEERGDGTVSVFLRCTGEGAEFVHAVAPEVAVADIISSLYTPPVVWDFYPLSSVLGADAAIERLPVFAVQVTVLADGVFIGMTLNHSVGDGTAFWHFFNTWSEINRAGGSVGSSADLLDHLSTPPPVFERWFLETCPVPIPMPFQRFKRTAVKECFFTFSAASVKKLKARANGEMNGTAAATISSLQAVLAHFWRAVCRARRLTPEQSMFYSVVVGCRGRVNGIPPGYVGNALVFAKAEATAGEIEEKGLGWTAWLLNRAVASFDEATMRESLERWVQEPDFTYMDNLSSAGTALITGSSPSWFDVFGNDFGWGKPLAVRSGSGNKADGKAAVFEGPERGGSISLEVCIAPDALVADQEFMDAPYKEEVGARGTEREVRRHSSHPLLTARPIERELSATGRTHRRLHHRYPDTILTDEPVTKPKGMGLYSPLHRREGAHQGSSITATHAERQIARREASDPPAGESSPPRGARPPARGAKGVARKLLDGGALSLGRARAPARGSVAAPPLLRRPPASGQLLRLHSFCTGIEEGEERERRAGGRPGQNLARPAPRRRRRPSLHLGSCRAQRNAGEERMNELGFSEVQRRERRWAPVAQPYKEEVGARGTEREVRRHSSHPLLTARPIERELSATGRTHRRLHHRYPDTILTDEPVTKPKGMGLYSPLHALPAATYTTGDKTKTQIQVRCYTAASVPPEKIIIGPTQTGLGVFLQLTNPRGKDYGRVHVKAHVSDAADIRIAYKHAMQLAIKICTCLNFENVMFLENQFLVTAQGVVKTRQSTVNKTAHKLAKQARQSLPQECSFTCDTQSHLDLCPVIETLRNLQWGDYALISLRCF >Sspon.08G0005650-3C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8C:17430898:17437970:1 gene:Sspon.08G0005650-3C transcript:Sspon.08G0005650-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ETNILSGQSLDNKGPVKLLSLAPLSKQTVPIRAVYSRRSVPASSHLRFHALSRTTKYQQQSRASKEQTRHRPPDLSRTPTPASDESTRRRLDAPPPVPHHHTPRHRPMSSSEPDAEGALGGSSPSARVLARALDKIIKHSSWRRHAALVAASKSALDLLSSSPAPDDEPDASSPSPVPGLPAPAADAALAALLLALDPASPKVAEPALECVAGLLSLRLLLGDVDAADPSPVSRLFAAVLSCVSLGGGGDEALELAVLRVLVAFARCPGVSVSGECLGQVVKACYNVYLGSASGGNQLCAKLALAQVLVIVFARVEADAMDVRVRTVSAADMMDLSDRSLNDSSVVQAAQVFINEAMEGSDVPEEAPPVDAASVEAEGTGEDGGISKIREDGLALFKNLCKLSMKFGTPDSPEDPMLLRGKVLSLELVRMVIDNAGPFWKTNEKYLEAVKQYLCLSLLKNSALSAMSVFQLLCSIFMSLISRFRSGLKEEIGMFFPMLILRVLENVLQPSFLQKMTVLNFLEKISKEPQVIIDIFVNFDCDVDAPNIFERIINGLLKTALGVPTGSTTTLTVAQDQTFRIESVKCLATVVKSMGAWMDQQLRIGEFSPGSSESSAENHNIHNGEEGSGIDYELQLDTSSSDITDSSSLEQRRAYKIELQKGITLFNKKPSKGIDFLIRSKKIGNSPEDVASFLRNTAGLNATMIGDYLGERDDFPLKVMHAYVDALNFEGMDFGQAIRFFLQGFRLPGEAQKIDRIMEKFAQCYCKCNPNAFTSADTAYVLAYSVILLNTDAHNPTVKNKMSKADFMRNNRGIDDGKDLPEDYLSTLYDQIVNNEIKMTADSSVAQTKQSNSVGRLLGLDNIINFVNWRSAEDKAVGANDLLIKHIQEKFKAKRGKSESTFYVVADATILRFMMESCWAPMMAAFSVLLDQCDDKAATSQCLKGLRFSVHITSVMCMQTQRDAFLTSIAKFTSLHSAADMKQKNIDSMKIGIVELNHIFAHSQRLNSDAIVAFVKALCKVSMTELQSPTDPRIFCLTKIVEIAHYNMNRIRLVWSRIWKVLSDFFVSVGLLENLSVAIFVMDSLRQLAMKFLEREELANYNFQNEFLRPFVIVMQRSNAPEVRELIVRCVSQMVLSRVNNIKSGWKGVFMVFTSAAADDTRSTVLLAFETVEKIVRDYFHHITETETTTFTDCVTCLIAFTSSQFNSDANLNAIAFLRFCAVKLAEEGFACQDRAFEQPRNSAMVCGGNATVQKDGHISLWVPLLAGLAKLTTDPRLTIKKDIDIPDRIDSYSETEQYLDHEMYGNDEEEANMETTSYAIVKLKNHMALLLMVIQASSGAAALAKSTCVRWQIMSKDVE >Sspon.01G0008500-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:29283795:29286682:-1 gene:Sspon.01G0008500-2B transcript:Sspon.01G0008500-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRAKRAALSPKVKRRVGKYELGRTIGEGTFAKVRFARNTENHEPVAIKILDKEKVQKHRLVEQIKREICTMKLVRHPNVVRLFEVMGSKAKIFIVLEYVTGGELFEIIATNGRLKEDEARKYFQQLINAVDYC >Sspon.04G0008960-3P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:27351148:27355255:-1 gene:Sspon.04G0008960-3P transcript:Sspon.04G0008960-3P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPPTGFWASLLSFLKFLPYFSGLLILGFIKGVLLCPWACLIMAIGISALILGLWPMHLIWTYYCIIRTKLVGPVVKLLLLIAATAVLIVWLIIGIPGSVFAGLVYGFLAPIMATFDAVGEGKEKPFVHCFVDGTWSTITGSCTVVRDVKDLLFHSYFSIMDDLHLQKPPDGEPYEIRLLDIPGALIAAAFGLLLDGIMFTLIAFYKCPVMLFKGWKRLIQDMIGREGPFLETACVPFAGLAILLWPFAVVGAVLASILSSVPLGAYGAVVAYQESSFIMGLAYVFSSVSIFDEYTNDVLDMAPGSCFPRFKYRKGKGESSHGHSAPLSRPASFDREKQEGKRPPSRVTSFKNSIDEFNPFKLLDHLFAECRNQGQALVNKGVITMKDIEETKSGKVGSGVLNVGLPAYVILNALLRSGKADSVGLILSDGSEITSDNRPKNTIFDWFFDPLMVIKEQIKVEDLSEEEEEYLKMRVLLAGDPSRLKGSLPHVPSLTERKTADIDAFARRLQGITKSISRYPTAKRRFDVLVKALLSELERTMGGSQSANGSQSQAQRLRNSVARMLSQKSMGKMANIRDEDPEAQMTRLSRTP >Sspon.07G0009010-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:25026966:25031062:1 gene:Sspon.07G0009010-1A transcript:Sspon.07G0009010-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MYADAGFGFGFAAAYSPALASSQSQPPPFDYAFSSAPAPPLLSMLAMDHDAYAAAAALPMPDLPAAHLGSLVQPSMVSEYDLGGEGDLFKAPEAIIEEPLLSLDPVAAAFSMMTGNESAMDQTIKDAGIGIQNDPLLSGVLYECEKELMEKSAIEETISELMDVKIPMLQVEEIPSQAEQVLMQTQLPAMEKEKQSIPECSLQKSVSSGCLNSADWINGPVRPNFLDFQGLDFEAAFGMRRAYSEGDIQNLGANTPRPAANAQTSCERLVTISDIKTEERKQKLSRYRKKKIKRNFGRKIKYACRKALADSQPRVRGRFAKIEECDLLKPSV >Sspon.07G0019470-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:74038084:74039080:1 gene:Sspon.07G0019470-3C transcript:Sspon.07G0019470-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLESLKKVLRKFYGEDPLRSPDLSRIVNSNHFNRLRALMDDETVAGKIAFGGQSDEQQLRIAPTLLLDVPLDSAIMKEEIFGPLLPIITVDKISESFAVINSMTKPLAAYLFTNDSQLKQQFERNISAGGMIFNDTGIHLTNPHLPFGGVGESGMGAYHGAFSFDAFTHRKAVLDRSSFLGEARARYPPYTPAKLSILRGVLKGNPLAMVQAAVG >Sspon.03G0007440-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:41276335:41278095:1 gene:Sspon.03G0007440-2B transcript:Sspon.03G0007440-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSKATSAVTLRTRKFMTNRLLARKQFVLEVIHPGRANVSKAELKERLAKVYEVKDPNTIFVFKFRTHFGGGKSTGFGLIYDNLEAAKKFEPKYRLIRNGLATKVEKSRKQMKERKNRAKKIRGVKKTKAGDAKKK >Sspon.05G0021860-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:1161311:1165851:-1 gene:Sspon.05G0021860-1B transcript:Sspon.05G0021860-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSAAGSSSSVSAVARLPGPGHLRAPPRSGWRDHRRPRRATVRCSFAPVETARIKVVGVGGGGNNAVNRMIGSGLQGIEFYAINTDSQALINSQAQYPLQIGEQLTRGLGTGGNPNLGEQAAEESREAIATALRDSDLALEALEKLEKSVDTLIVIPNDKLLDVADENMPLQDAFLLADDVLRQGVQGISDIITIPGLVNVDFADVKAVMKNSGTAMLGVGVSSSKNRAQEAAEQATLAPLIGSSIEAATGVVYNITGGKDITLQEVNKVSQIVTSLADSSANIIFGAVVDDRYTGEIHVTIIATGFPQSFQKSLLADPKAARIVESKEKAATLAHKAAAAAVQPGIVRAAIENPHLGIDNLWILDG >Sspon.01G0042640-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1B:67627604:67627915:-1 gene:Sspon.01G0042640-1B transcript:Sspon.01G0042640-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRYVLSSSVLILSSLQDSSSSTKLSQHAHLAAVLSELAFSSKEILATFSASLDCKLKQDLHAPNKQDLDFNSSFSSSKEAYLDYKQDVRDMGQGYLHRPYI >Sspon.08G0005290-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:15889503:15896586:-1 gene:Sspon.08G0005290-1A transcript:Sspon.08G0005290-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSGRRRRDRMRWSNLYTFSCFRAQHGHAGDAGPSSDGAGAVGGPGFSRVVYCNNAALQKPLKYVTNYITTTKYNIITFFPKAIFEQFRRVANLYFLLTAILSLTPVCPFSAVSMIAPLAFVVGLSMMKEGLEDWRRFIQDMKVNNRKVSVHKGDGEFDYRHWEDLCVGDVVRVEKDQFFPADLLLLSSSYEDGICYVETMNLDGETNLKVKRSLEVTLPLEEDELFKDFQAVIRCEDPNPSLYTFTGNFEYERQVYALDPSQILLRDSKLRNTAFIYGVVIFTGHDSKVMQNSTESPSKRSRIEKKMDLIIYILFTVLVLISIISSVGFAVRIKLDLPNWWYLQPQKSNKLDDPSRPALSGIFHLITALILYGYLIPISLYVSIELVKVLQAHFINQDIHMFDEETGNTAQARTSNLNEELGQVHTILSDKTGTLTCNQMDFLKCSIAGVSYGVGSSEVELAAAKQMASGADDHDIPLQDIWEENNEDEIELVEGVTFSVGNNRKPSIKGFSFVDDRLMQGNWTKEPNSSTILLFFRILALCHTAIPEINEATGSIAYEAESPDEGAFLVAAREFGFEFFKRTQSSVFVREKHTSSKGTIEREFKILNLLEFNSKRKRMTVILQDEDGQILLFCKGADSIIFDRLAKNGRMYEVDTTRHLNEYGEAGLRTLALSYRVLDESEYSSWNAEFLKAKTSIGPDRELQLERVSELIERELILVGATAVEDKLQKGVPQCIDRLAQAGLKIWVLTGDKMETAINIGYACSLLRQGMKQICLSIPTGEQVAQDAKKVAKESLLSQIANGSQMVKLEKDPDAAFALVIDGKALAFVLEDDMKHMFLNLAIECASVICCRVSPKQKALVTRLVKEGIGQTTLAVGDGANDVGMIQEADIGVGISGVEGMQAVMASDFSISQFRFLERLLVVHGHWCYKRIAQMVLLEALLLFILLHLILLCLCFNFTKSFVELQICYFFYKNIAFGLTIFYFEAFAGFSGQSVYDDWFMLLFNVVLTSLPVISLGVFEQDVSSEICLQFPALYQQGPKNLFFDWYRILGWMGNGLYSSLAIFFLNLCIFYDQAIRAGGQTADMAAVGTAMFTCIIWAVNMQIALTMSHFTWIQHLFVWGSITTWYLFILAYGMTLRSRDNYQILLEVLGPAPIYWAATLLVTAACNIPYLIHISYQRSCKPLDHHVIQEIKYLKKDVEDQTMWKRERSKARQKTKIGFTARVDAKIKQIKGKLHKKGPSLTIHTPHLAKVLRHI >Sspon.06G0016400-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:71046551:71048247:-1 gene:Sspon.06G0016400-2D transcript:Sspon.06G0016400-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SPAAMDSGADTAGEFLRSRTEYLVAVDFGCRGAVAGAGEAFDEMPQEKRPRFDADRDEPPPSPWAGLQADALGVVLRFLPSLADRARVRSVCRNWRAASYGRGVAPPLPVLVMPRFRFAGMTPGGVLTATVRRAWMPPEVDADHADCVGSSDAWLVGARQAGGECFLVNAFSHVVLHLPRLGDSDHSHWACSLCKVALSGSPESGPKCIVAAFAFCRSKPELALWRPGMKSWRVWQHSLIAGHIDMAFYQGRLYMLWRFMPSLFVFEIVEDGHGVKFSRMKDCLLEKLLPTPLGSNHVLSCNMVEWRGRLLLIIRYYGGYQVRHRVLKVEVFAMDFSTKPISLTEIHSFGGDCIFVGSGGCKSFPAGQYRGVEGDLIYFVPDHYNPHDAFVYNMRDGKIQLIVEPLPRRICAPVQSLGFPVWLF >Sspon.04G0006020-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:17136377:17137110:1 gene:Sspon.04G0006020-1A transcript:Sspon.04G0006020-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding WRTTATSPTHGGPPATSIRASQDTRCLCLATQARRGRGPAVRPAATLTPESFTTTSTSATTSTPASGAGGILAGIRTSSCTGATPRSAAMSAGSRRSRPTRRGRGGPGSSPLRRRRSGSGRAAARRGFPSGRGD >Sspon.03G0022690-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:48006396:48010208:1 gene:Sspon.03G0022690-3D transcript:Sspon.03G0022690-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPISSTLAARLRIGHSPAPARARAPARWSPYARPSVSEPCGGAKASRPTLRLDPATTRLLGPVQKAVLSGSSRRAEPDAASAAPARRRCGNDMTNPKPEPKPKPANGDDMPPLEEKRRCSGEGGFVFLCALAEHTEAISGISLPLGSDKLYSGSADGSVRIWDCNSGKCVDVIKMGGKVGCMITHGPWVFIGISKSVEGDFAWYKAWNTKTGTKLSLQGTSSLVCSMAITDEMLFAGTGDGRIVAWKFPSKESNIEPVLILSGHQRPVVSLSVSARRLYSGSLDKTIKAWDLTTRQCVQTLFEHKAAVTSVLCWDEKLLSCSLDKTVKVWTLSESGNLQAKYTHAEEHGLRTLFGMHRVGKTPVLFCSLHNSNCIRLLDLPSFDGMGTLFAKKEVRTIELAAGGLLFTGDCSGELKVWRWAPQDQEAVADVHS >Sspon.02G0022290-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2B:73320056:73322541:-1 gene:Sspon.02G0022290-2B transcript:Sspon.02G0022290-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQQMASLPLNDTAVVDAKPLRTLTPMFPAPLGLHTFTPQNSPSVVCVTPFGPYAGGTELGKPAVPPMFAAPAPAAGAELSQRQLHTANMNGAAHANGTAVNSLVTPLQTPPSAATQESGKRKRGRPKRVPDSTVASVPSAPLAPTIPPVPSLPVATPVPSAPQEGKTIVSLTPSSDAPQESGKRKRGRPKRVQDVPVMAPPTSQGDSTPVIQTLPGPSVHESGTRKRGRPKRLQDSSDIATPIHSKDSESSPQPPSAAAPPKVLPSLSSDDPRQTADNVLMMFDALRRRLMQMDDVKQVAKQQPNLKAGSIMINAELRVNKNKRIGEVPGVEVGDMFYFRIEMCLVGLNSQSMAGIDYMSAKFGNEEDPVAISVVSAGVYDNTEDDPDVLVYTGQGMSGKDDQKLERGNLALERSLHRGNPIRVIRSVKDMTCPTGKIYIYDGLYKIKEAWVEKGKSGFNVFKHKLLREPGQPDGIAVWKKTEKWRENPSSRDHVISLDISYGVESNPVCLVNEVDDEKGPSHFIYTTKLTYGNSLSSMRKMQGCKCISVCLPGDNNCSCTHRNAGDLPYSASGILVSRMPVLYECGDSCTCSQNCRNRVVQKGTQIRFEVFKTGERGWGLRSWDPIRAGTFICEYAGEIIDRNSVNGEDDYIFETSPSEQNLRWNYAPELLGEPSLSDSNETPKRLPLVISAKRTGNIARFMNHSCSPNVFWQPVLYDHGDEGYPHIAFFAIKHIPPMTELTYDYGQNHPNIQMGSHSSFRKSKSCLCWSPKCRGSFG >Sspon.07G0016150-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:57941656:57942953:1 gene:Sspon.07G0016150-1A transcript:Sspon.07G0016150-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MELAMATGSSSSLVTGFRCRTGAAAAAFRFSSAGSTTAAAGDRCGWRTPPPVTASGRGARRLTVSAAACKTCKGKGAVECPGCKIDIGHVELKSLHLRVHAGALTARGSGSRAALPVAKEVSHPSSEGRDNEPTIHNYKKCILPGRLAAMDIYIYRLKTSLAETERH >Sspon.01G0022850-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:81985715:81987423:-1 gene:Sspon.01G0022850-1P transcript:Sspon.01G0022850-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLKTELCRFSGQKIYPGKGIRFIRADSQVFLFANSKCKRYFHNRLKPAKLTWTAMYRKQHKKDIHAEAVKKRRRTTKKPYSRSIVGASLEVIQKKRLRSLRFAMLLGKLLFGIEIKERIKKTKDEKKAKKAEVTKSQKSQSKGAVQKGSKGPKLGGGGGKR >Sspon.01G0016660-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:76964566:76965933:-1 gene:Sspon.01G0016660-2B transcript:Sspon.01G0016660-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKPSAVSRPCFLLVTAAALWALTLYLRLLALMSVPVAFTGRVAPFDTNASGDPCRGRYVYVHDLPPRFNADIVRGCAAANDRWQGMCEDVRNAGLGRPLSGGALTGATGWYATHQFALDAIFHGRMRQYGCLTNDSSAAAAVFVPFYAGFEFARHIWGYDAAARDAASQDLVRWLVRRPEWRRAGGRDHFLVAGRTGWDFRRDDRNSTWGTSLFLLPAVKNMTFLVVETATMGWGNDLAVPYPTYFHPRTDSDVLRWQQRIRSSDRWWFMSFVGAARPSDPRSIRSQVMAQCGASPACRQLGCTFGSAQCHYPGDIMVLFQSSTFCLQPPGDSASRRSTFDAMVAGCIPVFFQPRSAYLQYKWHLPRDHATYSVFIPAEDVRSGNVSVEAELRKIPPAAIEKMREEVIKLVPRLLYADPRYKLETVKDAFDVAVDGVLQRMAETEESKTGSYWR >Sspon.06G0000760-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:1314038:1317729:1 gene:Sspon.06G0000760-2B transcript:Sspon.06G0000760-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARAALRLQRQSLAANPFLFSGHGLRYRKLEVILTTTIDKLGKTGDVVKVAPGHFRNHLMPKMLAVPNLDKFAILVREQRKLYQREEEVVVKQVTKEDDDARLQEERLKQYQTAAKRLDNALLVLRRFISTGNELRTPVTKDEIVSEVARQLNITIHPENLHLQSPLASLGEFELPLRLPQDIPRPEGKLQWTLKVKIRRK >Sspon.08G0026040-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:15939621:15948166:-1 gene:Sspon.08G0026040-1C transcript:Sspon.08G0026040-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTLDWLWCLLVVLAKRRRGPADFHGLRLPPGPWQLPFIGSMHHLAGQLPHRAMRDLARRHGPVMLLRIGEVPTLVISSREAAREVMKTHDTSFASRPLSATVRVLTINGRDIAWAPYGEHWRQLRKIAIAELLSARRVLSFPAIREEEVAAVLRDCAAAAAESRPHRDMFLRELDCSMGLLMGFNPADLWPSSRLVGQLSGAVRRAEECRDTVFGILDGIIKERLQRMDIGGAGQACEDLLDVLLKIHKDGSLQIPLDMDVLKAVIFDIFGAGSETSATTLEWAMAELIRNPKAMQRATAEVREAFGARGAVAAHALGEHRYLHLVIRETFRLHTPRPLLLPRQSQVPCRVLGYDVPAGTTVLVNVWALGRDGRYWPGDPEEFRPERFEAEASAVEFKGADFELLPFGAGRRMCPGMSFGLANVELALASLVFHFDWEAPGVSDPAEFDMTEAFGITARQKANLLLLRPILRVPLPAGFEHQAAMMELAALPVHLLLLLPLLAVVSFLWLSRAALCRRGGGPRLPPSPWALPVIGHLHHLAGALPHRAMRDLAARHGPVMLLRLGGLPVVVASSADAAREVMKARDIEFATRPVTRMVRLAIPEGAEGIIFAPYGDGWRQTRKICTVELLSARRVQSFRHVREEEAGRLLRAVASAASVVNLSELLAVYAADSSVRAIIGSRFKDRDTFLAMLERGLKLFANLSLPDLYPSSRLAMLVSRMPGRMKRHRQEVVAFLDAMVREHEESRAPDDDKEDLLDVLLRIQREGDLQFPLTTDNIKSVVGDMFAGGSETAATTLQWIMAELMRNPRVMKKAQDEVRQALAVAGRQRVTEDDLTNLHYMHLVIKEGLRLHPPLPLLLPRECRSSCQVLGFDVPAGTIVFVNAWAIARDPSSWDKPEEFVPERFEGSGVDFKGTDFEYVPFGAGRRMCPGMAFGL >Sspon.02G0009610-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:30034888:30035471:1 gene:Sspon.02G0009610-2C transcript:Sspon.02G0009610-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MIDEAQRSLSSSLEEGSFGDMSSGDVDEDSERVESVKASAVSSVVGVLASLPISFYEVEDLPQLFLRSSIVFISCALFGVTFRYAVRRDLDNIQLKTGAPAAFAFVRGLALLESGRTLELSTDTLISVTLDGAVSVVENIFIFLPAAVALDYCFKMRFLSPFPRRKQ >Sspon.06G0009190-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:2896704:2901352:-1 gene:Sspon.06G0009190-1P transcript:Sspon.06G0009190-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFEQEQEEVAPGAVHGHRLSTVVPSSVTGEVDYALADADLAFKLHYLRGVYYYPPGDVVRGLATKVLKDPMFPWLDDYFPVAGRVRRAEQVAEAAADGAPRRPYIKCNDCGVRIVEAKCDRDMDEWLRDDAPDRLRQLCYDKVLGPELFFSPLLYVQITNFKCGGLALGFSWAHLIGDVPSAVTCFNKWAQILSGKKPEATVLTPLNKPLQGQSPAAAVAAPRSVKQVGPIEDHWLVPAGRDMACYSFHVRDAVLKKLQQQGRHNAAAGTFELVSALVWQTVAKIRGGDVDSATVVRTGAAARSGKSLANEMTVGYVASAGSSPAKTDVAELAALLAKNVVDETAAVAAFQGDVLVYGGAHLTLVDMEQVDVYALEIKGLRPVHVEYGMDGVGEEGAVLVQPDADGRGRLVTAVLPRDEIDSLRAALGSALQVA >Sspon.07G0006300-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:16574947:16575504:1 gene:Sspon.07G0006300-1A transcript:Sspon.07G0006300-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dolichol-phosphate mannose synthase subunit 2 [Source:Projected from Arabidopsis thaliana (AT1G74340) UniProtKB/Swiss-Prot;Acc:Q9CA79] MELGDKVVGFLLTLTSLSIFTYYTFWVIILPFVDNDHFVHKYFLPQEYAILIPVLAGVVLLSFLSVFVGLVMLKSKKKKKTT >Sspon.03G0025770-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:5576943:5580468:1 gene:Sspon.03G0025770-2C transcript:Sspon.03G0025770-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LTVPTAVCVPLNILRPSSPLLSSFNQPKQHCLFTLPLYYWSPRQVDELTKSSSHLILHRHLYLPSSMSMALRRLRPWAFLLFFLVLFSYDESGLWSRSRTRSGVAQATQRVFLYPQAPKVSSIVSSKYRTAYHFQPPKNWINGPMYYNGIYHQFYQYNPNGSLWGNIVWGHSVSTDLINWIRLEPAIERTTPSDINGCWTDNNPLIQPVGQGLNPKQFRDPTTGWIGPDGLWRIAVGAELNGYGAALLYKSEDFVHWTRVDHPLYSSNASTMWECPDFFAVLPGKNIGLDLSAVIPNGAKHVLKMSLDSCDKYMIGVYDLKSDAFVPDTVLEDRRLWSRIDYGNYYASKSFFDSKKIRRIIWGWTNEADSSSDDVAKGWAGIHAIPRTIWLDKDSKQLLQWPVEEIESLRGKEVSHQGLDLKKGDLFEIKEIDTLQADVEIDFELTSIDSADPFDPSWLLDIEKHCQEADASVHGGVGPFGLVVLASDNMEEHTSVHFRVYKSQEKYMILMCSDLRKSSLRPELSTPAYGGFFEFDLEKEKTVSLRTLIDRSAVESFGGGGRVCIMARVYPVALIDDATRMYAFNNGTTTVKVPRLKAWSMRRAQVNVKKG >Sspon.07G0031300-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7C:21470264:21471201:-1 gene:Sspon.07G0031300-1C transcript:Sspon.07G0031300-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding TKEIIKYFTLKKKKEKNKNGLKAKEHQLERLLRRNRHSGATALYITYAQGMDYRGLNEAIIVTFVIYHTS >Sspon.05G0014990-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5A:57369085:57370932:-1 gene:Sspon.05G0014990-1A transcript:Sspon.05G0014990-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVAAVGWAISALGWIVSPVTARLLSDGFALLGFDESEKLRDLEARLLPQLAMLREQAERIPPAQRAHVELWANRLRTAFYDAEDILDVADYHRLQSQACLSCTCTFNELE >Sspon.02G0038420-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2B:41311724:41314464:1 gene:Sspon.02G0038420-1B transcript:Sspon.02G0038420-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSAAWREGRRTLVLVNLASIMERADEALLPAVYREVGAALHATPTGLGALTLYRSIVQAACYPVAAYAASRHNRAHVIALGAFLWAAATFLVAVSGTFLQVAISRGLNGIGLALVIPAVQSLVADSTDDDNRGTAFGWLQLTSSIGSIFGGFFALMLAQTTILGIEGWRIAFHLVAIVSVIVGILVWFFAVDPHFPTNNAASHAAPISQKSALDEARELIIEAKSIIQIPTFQVFVAQGVSGSFPWSALSFLSMWLELIGFSHEDTAIFTMTFAVATSIGGLIGGKMGDFLAQRYPNAGRIILSQISAGSAVPLAAVLLLGLPDNPSRSSGVAHGLVLFIMGLIISWNGAATNGPIFAEIVPEKQRTSIYALDRTFESILASFAPPVVGLLSQHLYGFKPDDKGSSPEQDRENAASLAKALYTAISIPMVICSSIYTFMYRTYPRDRERARMQSMIQSELDQIELGGSSFGCGDDRFELFESVHDGDKPDEVDGSYGAEESAEADAGTEKLLGNHEL >Sspon.02G0001430-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:5585599:5589688:1 gene:Sspon.02G0001430-3C transcript:Sspon.02G0001430-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCVHGRPTTSSPAPVATSSRRQDQPAVTQPHQEGVDSSDAAAAAPPADAAAPEQQAAEKPEKVKRERRSRSSRSAAAAAAAAHAEVRLGGSFANKARGEQVAAGWPAWLSAVAGEAINGWTPRRADSFEKIDKIGQGTYSNVYKARDSLSGKIVALKKVRFDNLEPESVRFMAREILILRRLDHPNVIKLDGLVTSRMSCSLYLVFDYMVHDLAGLAASPDIKFTLPRSRSHFADSLTQHRAQLCEMRLIEVFVWGQVKCYVHQLLSGLEHCHNRGVLHRDIKGSNLLLDNNGVLKIGDFGLASFFDPNHKQPMTSRVVTLWYRPPELLLGATDYGVGVDLWSAGCILAELLAGKPIMPGRTEVEQLHKIFKLCGSPTEEYWKKSKLPHATIFKPQQPYKRRIADTFKDFPQTAIRLIETLLAIDPADRLTATSALNSDFFATEPYACEPSSLPQYPPSKEMDAKRRDEEARRLRAAGGRANGDGTRKTRTRDRPRAIPAPEANAELQANIDKRRLITHANAKSKSEKFPPPHQDGALGFPLGCSNHMEPSFEPPDPSSFSTVFPFDKSAVPTWSGPLADSAAGNQKRKHKPGRSSKQPATARAR >Sspon.02G0034680-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:7797205:7799259:-1 gene:Sspon.02G0034680-2D transcript:Sspon.02G0034680-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAFLPFHLATLHLLLAPAYSGAAATGGGGGSFIYNGFAGANLTLDGVAAVTVGGLLVLTNGSLQTKGHAFHPSPLPFRDPGSRRNATSARSFSTTFVFAIYGQYADLSSNGLAFFVAADMAVLSTALPGRFLGLLNDTDNGDRSAHVFAVELDTIFNAEFRDINSNHVGVDVNSLRSVCATDAGYYDDATGQFRNLSLVSRNAMQVWVDYDGAARQVTVTMGPLGVGRPKKPLLQTAVDLSDVALGTAYVGFASATAVLSSRHFVLGWSFALDGPAPALDIGALPALPPAWPKPRSRVLEIVLPVASATLVLAVGATIYSLAQRRLRYAELHEDWEVPFGPHRFSYKDLFHATKGFSDKQLLGEGGFGGVYRGALRKSGMEIAVKKVSHESRQGIKEFVAEVVSIGRLRHRNLVQLLGYCRRKGELLLVYDYMPNGSLDKYLHGGNGSRGHTGKATPATDVFAFGAFLLEVTCGRRPVEQDEENNRDVLVDWVTEHWRRGSIVDAVDTLMPSRFNPEEVSLVLELGLLCSHPLPNARPTMRQVTQYLDGDMPLPDLSQTYTTSFAMMEWMYSRELDHNLMPCVSSSLTSVGAISLISRVEDDE >Sspon.01G0035200-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:8897331:8897966:-1 gene:Sspon.01G0035200-1B transcript:Sspon.01G0035200-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLPLSPPRAHAGLLTCSSTPVVLGLRSHSAAAPSRRAQPLLARRNRNIRSDEETAAEPKIITLGRPGKSRRRRSRKQQTPLKEEADDDEDEEDDEDEDERDATIPEVVTNRMMRRVGASVGLPLALGVGFFPVFYYLKAVAKVDVPTWIPFGVSFVFFGAALAGVSYGIVSASWDPAREGSLLGWNEARRNWPVFWDSLRGRSPPRRGS >Sspon.08G0006390-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:19672901:19673563:1 gene:Sspon.08G0006390-3C transcript:Sspon.08G0006390-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGKKVSEKILNKKELEFYKWEGNLSQLLQNVRNKLNEVASSWSREEKDHCLEETEKSFAYSGGILRHMFT >Sspon.04G0025160-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:30128628:30130373:1 gene:Sspon.04G0025160-2D transcript:Sspon.04G0025160-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCCGGAEEDSYGPPANQAVPPPNANAPGTAACSALSPSMSSDHHRPPSHLNPWMAHAGNRGGPRGPGAPRVGGPAKPVSIDVPAIPFDELKKITNNFSDRALIGEGSYGRVYNATLSDGRVAVIKKLDTSASQDSDTDFAAQIAMVSKLKNEYFLELLGYCLEDGNRMLAYQFATMGSLHNILHGKKGVQGAEPGPVLNWAQRVKIAYGAARGLEYLHEKVQPSIVHRDIRSSNVLIFDDFSSKIADFNLTNQGTDTAARLHSTRATPRLSEDKVKQCVDPKLNSDYPPKAVAKLAAVAALCVQYESDFRPNMTIVVKAITPLLNAPKPAAPAAAPQS >Sspon.05G0012080-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:29980899:29982530:1 gene:Sspon.05G0012080-2B transcript:Sspon.05G0012080-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMHQPTSAAATQLHHAAMEASLMSLSFLQLAFTAVAAIAALAVAVAVTRYNRRYMGLRLPPGPPVWPVVGNLFQVAFSGKLFIHYIRDLRKEYGPILTLRMGERTLVVISSAELAHEALVEKGQEFASRPRENTTRNIFSSNKFTVNSAVYGAEWRSLRRNMVSGMLSTSRLREFAHARRRAMDRFVSRMRAEAAASPDGASVWVLRNARFAVFCILLDMTFGLLDLHEEHIVHIDAVMKRVLLAVGVRMDDYLPFLRPFFWRHQRRALAVRREQVDTLLPLISRRRAILRDMKSSSPPDPNVAAPFSYLDSVLDLHIEGRDGAPTDDELVTLCAELINGGTDTTATAIEWGMARIVDNPSIQARLHEEIMQQVGDARPVDDKDTDAMPYLQAFVKELLRKHPPTYFSLTHAAVQPGSKLAGYDVPVDANLDIFLPTISEDPKLWDRPTEFDPDRFVSGGEMGDMTGSGGIRMIPFGAGRRICPGLAMGTTHIALMVARMVQAFEWRAHPSQPPLDFKDKVEFTVVMDRPLLAAVKPRNLSF >Sspon.07G0010060-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7A:29757700:29761144:1 gene:Sspon.07G0010060-1A transcript:Sspon.07G0010060-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding FQPRGPLSLKVGLSSLATSPLRAQNPSTQAQPALLPKLLENTYPSPHPSPAVASSSNRIAPRSPHLMADKEPVVERPEAAEEEDASAAAAAAGEEEDTGAQVAPIVRLEEVAVSTGEEDEDVLLDMKAKLYRFDKDGNQWKERGTGTVKLLKHKETGKVRLVMRQAKTLKICANHLVASTTKMQEHAGSDKSCVWHAADFADGKLKEEMFAIRFGSVENCKKFKELVEEISESLTKNEGKESEDGSSTAGLLEKLTVSEHKSEESDKSESTDSGKVTETKADTAPAE >Sspon.01G0052330-1P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1C:21550419:21552993:1 gene:Sspon.01G0052330-1P transcript:Sspon.01G0052330-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTQIGSVNGSAAAASNLTVGCPASAPGCPMASTPAQPAATLSAGEASLGRHLARRLVQVGVNDVFAVPGDFNLTLLDHLIAEPGLRLVGCCNELNAGYAADGYARARGVGACAVTFTVGGLSVLNAIAGAYSENLPVICIAGGPNSNDYGTNRILHHTIGLPDFSQELRCFQTVTCHQAVVTNLDDAHEQIDTAIATALKESKPVYLSISCNLPGLPHPTFSRDPVPFFLAPRMSNKMGLEAAVEATVEFLNKAVKPVLVGGPKLRVAKAREAFVNLVDASGYAYAVMPSAKGLVPETHPHFIGTYWGAVSTAFCAEIVESADAYLFAGPIFNDYSSVGYSFLLKKDKAIIVQPERVIVGNGPAFGCVMMKEYLSELAKRVKKNTTAYENYKRIFVPEGQPLESEPNEPLRVNVLFKHIQKMLTGDSAVIAETGDSWFNCQKLKLPEGCGYEFQMQYGSIGWSVGALLGYAQGANQKRVIACIGDGSFQVTAQDVSTMLRCEQNSIIFLINNGGYTIEVEIHDGPYNVIKNWNYTGFVDAIHNGEGKCWTSKVKCEEELTAAIETALGEKKDCLCFIEVIAHKDDTSKELLEWGSR >Sspon.04G0032380-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:25961756:25965859:1 gene:Sspon.04G0032380-2D transcript:Sspon.04G0032380-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQCLSWALGLLLPSLWEAEVAISATALLIAALILFLLTSDQHHAKSTATTSGNTPASSARSSATAAAAGRGRRTRARGEAASEITCAQGSGGGGGYVIKLELLSAKYLIGANLDGSSDPFAVISCADQKRFSSMVPSQRNPLWGEEFNFLVEQLPVEVTITIYDWDTMCKCKVIGSVTIVVLTENESGASWYELDSKFGQICLRLCSTKAFPDSDSSFEECNGVESPRKMILKKQRQAMIEGIGPLQIIYKLPHDEIVHQSYSCALERCFLLHGRMYISQWHLCFHSNVFSKQLNVIIPLQDIYEIKRSQHSLINPAISIFLNAGAGGHGTPRACSQNGRIRYTFASFWSRNRTFRALEAALQSYEATLEAEKQVRAHVLLQIERTSVFGSKSDNTKTPEKNIEKAIKFQPFINDHVLADVTSKFFPGTPEKFFSTILGDNSMFFQQYRDARKDTNLKLSRWCASEEYGGKVREVTFRSQCHSPLCPPDTAVTEWQHASFSRDKRNLIYETKHQAHDVPFGSYFE >Sspon.07G0021320-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:2101651:2109332:-1 gene:Sspon.07G0021320-1B transcript:Sspon.07G0021320-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVLRSTQSLEAEVEEMRAALLLHGAWAWRPGGGTAKRAARAAEPAGAEARTVCVTGGTSFLGFAVVDRLLRHGYHVRLAIETQEDLDKLREMEMFGEDGRDGVWTVIANVMDPESLHQAFDGCVGVFHTSSLVDPGGISGYTKHMARLEAKAAELVVEACVRTESVRKCVLTSSLLACVWRQNYAYDRLFPTTIDENCWSDESFCRDNKLWLSLGKTMAEKAAWRAARGTDLKLVTICPALVTGPGFRRRNPTPSIAYLKGLLLSTTILSLHWPYRSIASLDDHRKFEFTTSASCTFAGAHAMLAEGLLATANVERVAEAHVRVYEEMNGTAGGRYICYDHVVRRGEEFTELQRQLGLPGRVPATPDDDDDRPPRFQLCNRKLSALMSARRRCTYDAYFPVLYE >Sspon.07G0023570-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:17945655:17947672:1 gene:Sspon.07G0023570-1B transcript:Sspon.07G0023570-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTDALEAYLDYLLGPPLTCRGGDEETSGGGSPVKHFGRGISGEQVQQPSQEVFGLELRVAEGGVYDGTAVGASDWRRMGGGGAAQRTLRRPRTKPSSSQTLSRASLLNKKLNPKVRRPNQKPIHVTNPPHAEETHSGVDDKSAWARSGNLHETASDTFQPTRSWMHQGSASKGHLTLKEVANPTCQLPSSSGRTRKTRDTALVLGGNLGTKSFLEVARRGGGWKQGNKKNLCPLVPLYPAALKEPFPSHAIPHPPHKPISHPLTRRRRSSPPPRLSPSACWLPFVFPVGIGVDLGFGASAGIVDPIGLG >Sspon.02G0020730-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:70415224:70419705:1 gene:Sspon.02G0020730-2C transcript:Sspon.02G0020730-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVFAVVTTLQLLQLLAAAPVLLSDTSAASSPQSSSASRCGNISVPYPFGVGAGSHRHDFNLVCNETYDPPKLFIGDTGVQVLEISLQDGTIHIDSGIFSVDMVISNQTIDTVFIAYQHWTVPLNGSLYTVSGSNQVAALGCGFQMVAQGERTATTCSSSCPAGHPAMATDGTCSSSDGVGCCTASSLFGDYNNFSISFSTIDDVRYTKIMTLVEVDPEWWSKQENTMAGQKAVSSHSSRLSASGVRHSVPWIEVRTVVNWVFTNSSCAQDKNTSDYDCLSDNSECHDSEFISGYNCMCQPGFQGNPYIRDGCQGLLVVIAICSGIAVAIIIFTAVFVRRKLRVWKARKSREFFFRQNRALLLQRLVDKDIAERMIFTLEELERATNNFDEARKLGGGGHGTVYKGILSDRCIVAIKKSKIVVKREIDDFINEVPAVLVGGAVCSRAGVPRAPRRPTRAPRRASSLGLAHDGHAILQLNERQHRARQHQHRGRDAREVLPAACLVDVATRHVANIEHLDGVLAKLRHPPLHGGLLVVIAICSGIAVAIIIFTAVFVRQKLRVWKARKSREFFFRQNRGLLLQRLVDKDIAERMIFTLEELERATNNFDDARKLEGGGHGTVYKGILSDQCVVAIKKSKIVVKREIDDFINEVAILSQ >Sspon.05G0009490-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:18690165:18695653:-1 gene:Sspon.05G0009490-2C transcript:Sspon.05G0009490-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LPERPSEEEFCAALRNGLVLCKVLNRVNPGAVPKVVENPVITVQTFDGPAQSAIQYFENMRNFLVAVSAMNLLTFETSDIEKGGLSMKVVDCILCLKGYHEWKISGGIGIWRYGGIVKIASSSKRPASHLNRGGGSDQQMLEFVHLLSEVSLEESRVEEAQHSLFQRFVLQVVRAFLLEWGEAEDLPLDDMVIETVLEQACKEFTILLASHRNQVRSLLRKMMKDDNGTLSKMDLIQTISKCLKENSECMFSSLRLPRGSHEHLDDEGLLESQQEELEKLKMSFNEMKLQVESTRADWAEDLRRLESYFEAQNHNAYHKLLEENRKLYNQVQDLKAEVFADTQPLIRSVMDGYNSGPDVTAEETWGVNYRSLNDLFEISQTRADSITYDVKVQMIEIYNEQIRNNSHVNGLNIPDANIVPVKCAQDVLDLMKVGQRNRAVGSTALNERSSRSHSVLTVHVQGKEVISGSTLRGCLHLVDLAGSERVDKSEATGERLTEAKHINKSLSALGDVISALAQKSSHVPYRNSKLTQVLQDALGGQAKTLMFVHVNPETDSFSETMSTLKFAERVATIELGAARANKEAGQVKDLKEEIAKLKLALDEKEHEVAQFKDMANRVTSEMRNARTRSPLTASMSLKPEVSQGSSVDTCTSEIRSSSSGKQRRFRSPLSVRELDNKSPVISRELYLSARKYKTPSPPVRSSLSAERGSFAKIVENTGSIDCTPISKVEVPPKVLSSNSKNTPSSVLTAQSLRKFRDSEENRTKIPPIRQNMVRTGDEPTFHFNRKAKKLPTQVTRQSQNIDISVRASVREMEPLTEGRQRRNWSKP >Sspon.01G0051870-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:18362800:18377716:-1 gene:Sspon.01G0051870-1C transcript:Sspon.01G0051870-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSHEQRNHQLLTNAPVNFKQPKRRAEMVFQKRSSSEMESCGGGQVAEMPRVPKSARGKRSVRKKEAQSPAQMSAFDLLATVAGKLLDEGVGSLGNMSAGAPALAACAKDVRVKQEQCDEEMKQFKHEVTDQDSCNESAILPHIAFQRAVNNARIEDPKAKSEAQDKESSMISCTKAELGCNFGVIADRWSPESVESGAFTGDAVASLMPTAPAGFHKNAPEMYNLLDSMDVDAKPPPLVSSDSTGEMPLYGDKIHRSTSLPRGPKGVGGFAVDRDDDDDKSSGCTHPSTTTNRDFRSNCTAEYSRVRKLLTSKYRKVAPARIHKSDLSYSDVERKPSFRNKKMHYTRQRTQRSTFKRRKLFDRHSVLASEFGAANGKGNTKVTGRDSHAEANKGTTSMPFQKSCVSNDCHVKLRIKSFKVPELLVEIPESATIGSLKKTVLEAVTAILGGGLRVGVLHHGKKVRDDSKTLIQAGIGQDDMLDNLGFSLEPNCTQNPQVQAPEDISFLETIDTTEPLARIAPADSCSKHGEVDVSQELALTPLAMNYQGSDHDSVHSPGGVSSPDKVSTNSRALVPVAAADPNAGAIVPANKSKRSPEQGQRRIRRPFSVAEVEALVLAVEKLGTGRWRDVKLRAFDNAKHRTYVDLKQPKRRAEMVFQKRSSSEMESCGGGQVAEMPRVPKSARGKRSVRKKEAQSPAQMSAFDLLATVAGKLLDEGVGSLGNMSAGAPALAACAKDVRVKQEQCDEEMKQFKHEVTDQDSCNESAILPHIAFQRAVNNARIEDPKAKSEAQDKESSMISCTKAELGCNFGVIADRWSPESVESGAFTGDAVASLMPTAPAGFHKNAPEMYNLLDSMDVDAKPPPLVSSDSTGEMPLYGDKIHRSTSLPRGPKGVGGFAVDRDDDDDKSSGCTHPSTTTNRDFRSNCTAEYSRVRKLLTSKYRKVAPARIHKSDLSYSANKGTTSMPFQKSCVSNDCHVKLRIKSFKVPELLVEIPESATIGSLKKTVLEAVTAILGGGLRVGVLHHGKKVRDDSKTLIQAGIGQDDMLDNLGFSLEPNCTQNPQVQAPEDISFLETIDTTEPLARIAPADSCSKHGEVDVSQELALTPLAMNYQGSDHDSVHSPGGVSSPDKVSTNSRALVPVAAADPNAGAIVPANKSKRSPEQGQRRIRRPFSVAEVEALVLAVEKLGTGRWRDVKLRAFDNAKHRTYVDLKDKWKTLVHTASISPQQRRGEPVPQELLDRVLAAQAYWSQQQAKLQPKTPPLAEARLLT >Sspon.02G0006170-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:18202040:18205289:1 gene:Sspon.02G0006170-2B transcript:Sspon.02G0006170-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHHLLLLLAVLAAAALLVAPVAGYPWPVCGQSNYFKPNSTYQAHLDFVAATLPKNASASRSLFATTVVGTIPEQLWAMGLCRGDVNATACFSCLTQAFRDLPNDCSYDMDATIYYDQCILHYSDVLTFPGADTGPTTDTYTTNFNANVTSDPAQFKSLLAALINATVAYAANNSTRLFATGVADFNQEFPKVYSLAQCTPDQTPAHCRNCLTEAVAKYQGSFYDYIGGRVLGINCSYRYEIVPFFNGPAMVRLASPSSGAQTPAPAPAPAPASALQPTVGTPPAAGKGGRKHGIPVVIVAVLLPSIAALNLVACLCYWNWKRQRPIEQAKQKYPMYSAEAEDAETVDSMMMDVSTLRAATGDFDETNKLGEGGFGAVYKGVLPDGDEIAVWEHWETGTAVELVDPNMGGSIPEADVLRCIHIGLLCVQGDPTARPVMSSVVVMLGSNTVTLQAPSKPAFCARNNSNTTVSTAPLQG >Sspon.06G0009150-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:48995310:48995951:-1 gene:Sspon.06G0009150-1A transcript:Sspon.06G0009150-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKRSTGSAVLYESASSASSCSLLLESVTVAVAAPPPSLDLSLALPTAAAAQTYQLFVDPTAAVTPALLQFLPPKSEEEQSCSGSSPSSSSSSVVFDAAAPPVGLGLDLNLALLPAEMVM >Sspon.02G0038410-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:43664211:43667773:-1 gene:Sspon.02G0038410-2C transcript:Sspon.02G0038410-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVAPGSITSEEMAPYDLMAADVLSLRVFVGLLVGLRNSMLPAYVSHGGGAGLCHVGGDVSRAGFTAVDVLSSRMYVGLLVGLRHAVLPAYGGGDGAVGPHGGGRAQSVLFMGLLSSDYATPCSLHTSAMEVALDSVTSEEVALKNTYPARDGTLLLLARPRHTNEHNCKQFPPRQDPRSPATAGPLAVAAAPRGEGQCGGLGRMGPAAWERRRTLLVVNLASIMECADEALLPAVYREVGAALHATPAGLGSLTLCRSIVQAACYPLAAYAAARHNRAHVIAVGAFLWAAATFFVGVSDTFLQIAISRGLNGIGLALVVPSIQSLVADSTDEATRGSAFGWLQLASSLGSISGGFVGLLLAQTTVLGVAGWRVAFHLVAAISVAVGALNWFLAVDPHFPPTSESVDVPAVGKRPATARQVVAEMIEDAKLVVRIPTFQIFVAQGVSGSFPWSALSFGTMWLELIGFSHGDTAVLMTIFWVASSLGGLLGGKMGDALAVRYPDAGRIVLSQISAGSAVPLAAVLLLGLPADPSAGVAYGVVLFVMGVFISWNGPATNLPIMAEIVPEKSRTSIYALDGTLESVLASFAPPIVGLLAQRVFGYNPDDKGKSVQRDRQNAASLAKALYTSTAIPFIVCTSIYSFLYCSYPRDRDHARMQSLVESELREMEQGSSCLEDGNGHRDDGQLAGVTCDSKELREAEMDTVRLLADHDHVPALIGGIKPNRRWWTPSHSAYKKVGPMAQKMRFALAVATPNPSTIPRPTPNPVALHRFSPPTPTP >Sspon.04G0005440-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:11821223:11822728:1 gene:Sspon.04G0005440-2B transcript:Sspon.04G0005440-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGSSGPSCRAAICGIVVLLSVIAFSCSLAAEFRKVKEKDMKLDGSLCSLPKSSAFELGVAAIAFLFLAQLVGTTAAVTTVCAGNPKKSSAARGRAAFVALLVLSWLSFAVAVILLATAASMNHGQRYGRGWMDGDCYVARSGVFGGAAALVVVTALITLGLTFATESAAAAGAMATTPASSSSATCARTHLDAASADAEQPGGRSKQ >Sspon.01G0019930-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:75900572:75901527:-1 gene:Sspon.01G0019930-2C transcript:Sspon.01G0019930-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MESFAQEHVIGIPLASYAYANEEGKPSYSALIHEKNKKTSFIYRMNKLNLKTDSYMQGFKQHLTLGPKISETIKGKLSFGAKVLQAGSIEKIFRQYFVVEKDEKLLKAFQCYLSTTAGPIAGMLFISNEKIAFHSDRPLSLACPKGERTRVPYKVLIPAKRIKSASVRENLYNPDEKYIDLVTVDGFDFWFMGFVSYEKSFRYLQHVISRFR >Sspon.03G0004350-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3C:29424992:29427926:1 gene:Sspon.03G0004350-2C transcript:Sspon.03G0004350-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSVLGAQRRGANGQAADEHAAAGVELDWAVLHLEALHRHVGHEKPQRLRPDDGYDKLPEVISVHLSRSCRATTTRSWDFLGMNYQMPSGLLHRSRYGEDIIIGVVDTGIWPESESFSAEGYGPVPSRWKGACQVGEAWDRSNCSRKIIGARFYSAGLPEEILKTDYLSPRGIGTHGTHTASTAAGSVVEAASFHGLAAGAARGGAPRARIAVYKSLWGQDTYGTSAGVLAAIDDAIHDGVDVLSLSLAHPEENSFGALHAVQKGITVVYAAGNDGPSPQTLENTAPWVITVAASKTDRSFPTVITLGNKQQITGQSLYYQGKNSSRSSFRSLANGDQCTQDDLNGTDIKGKIVICTSPNSPTTIGPRAYFSVAWQNIVVNGGGTGLIFVQYTTDILQDLGDFPCVLVDIDTGKKIKKYIDSTSSPVAKIEPARTSTGALLAPKVASFSSRGPSPDYADIIKALLPPQPDIAAPGANILAATGNSYEIMSGTSMATPHVAGIVALLKALHPNWSPAALKSAIITTASVTDEDGMPILAEGLPRKIADPFDYGGGHINPNRAADPGLIYDINPKDYNYFLDCTTKTSVSCNATSIPGYFLNLPSISAPDLRYPVTVWRTVTNVGEVNAVYHVAIENPAGIKIEVEPSVLVFNAANKVHTFQVKLSPLRRLQGDYTFGSLTWYNNQKTVRIPIAARIT >Sspon.01G0022790-4D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1D:80483770:80484468:-1 gene:Sspon.01G0022790-4D transcript:Sspon.01G0022790-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKAVANGAAAADVAAPDNKDSAKEEASESKEAVANRDAEEQNKGSENGAEGESGGDVKMAEAETAEEGDGDAGAAKQVDSGDVETDADTKEDATAKTGEGEDVKMTEADAGSTEVKDKEEKEHEVEKEKEDEVENTNADKQDESKEQEKGVSAEQEENKGKETDADEKQEEEEAEEKGSAEGEVSKKNEVKRIRIRRRLQRTRKQGVPGIEARERIRNRVDPNPGKPKVC >Sspon.01G0028630-4P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:80505861:80508342:-1 gene:Sspon.01G0028630-4P transcript:Sspon.01G0028630-4P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPWSGLWGGRAVLAGGDAYRGTPVVVRMRTQQLGAQRGAASRPAGRTPTLTTERPRPLRRHRGVPAGSTRSSGLLLLSLFLLAAELAAHANGRRLADAAVSLGSLHASWVRFRAAYVAPPLQRLADACVALFLVQSADRVAQSLGCLYIRLRRVRPEPVSPPAVPDAEDPGAGYFPMVLVQIPMCNEKEVYQQSIAAVCSLDWPRSSLLVQVLDDSDDPVTQALIREEVDKWRRHGARIVYRHRVLREGYKAGNLKSAMSCSYVKDYEYVAIFDADFQPYPDFLRRTVPHFKDNEDLGLVQARWSFVNKDENLLTRLQNINLCFHFEVEQQVNGVFINFFGFNGTAGVWRIRALEDSGGWMERTTVEDMDVAVRAHLKAGSSSSSMTWSADFIFGQCQCELPESYEAYRKQQHRWHSGPMQLFRLCLPDIIRCKMAVWKKANVIFLFFLLRKLILPFYSFTLFCIILPLTMFVPEAELPDWVVCYVPALMSLLNVAPAPRSFPFVIPYLLFENTMSVTKFNAMVSGLFQLRGAHEWVVTKKSGRPSSEREKQRQEAVTVTTTARRGKYNRMYKKELALSLLLLTAAARSLLSKQGMHFYFLLFQGGLVPLGRPRPHRRGREM >Sspon.06G0005030-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:16886617:16890858:-1 gene:Sspon.06G0005030-1A transcript:Sspon.06G0005030-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVPAIAVYTSPPGAAVHAPPEHESSSRGSAPCAAAASPSAPSSHRHAAAFAGGLSCLFSSPSAAPRATTHEELGALWHDRSDDPPAVVAGGFGGGGYSYPQSSSPPSPFKLRDHLRGPVSLFHSPAASSPASRSPSVSWLAGRERDRLFSSFVRNALGSCIDFAPVTSLPLGVRPAATGVDAAELAFELDESLSEAEPSCEPGAHELLARVQARHRIFRDELVVKAFFEAERAHRGQKRASGDPYLQHCVETAVHLANIGANATVVSAGLLHDTIDDSFMDYDHIFRMFGAGVADLVEGVSKLSHLSKLARDNNTASRTVEADRLHTMFLAMADARAVLIKLADRLHNMKTIEALPLVKQQRFAKETMEIFVPLANRLGIASWKDQLENICFKHLKPEEHKELSSKLVMSFDEALLTSTLDNLDKGLRDEGISYHSLSGRHKSLYSIYSKMIKKNLTMDDVHDIHGLRLVVDTEEDCYRALDIVHKLWPRVPGRFKDYISHPKLNGYRSLHTVIMCEGVHPFEIQIRTKEMHLQAEYGFAAHWRYKEGVCRHSFVLQMVEWARWVLTWQCEAMSKERPSSLSSSVGMRPPCPFPLHSEDCPYSYSRQCNHEGPIFVIMLEHDKMSVQELPANSTVVDLMERVGANSPRWSPYSFPLKEELRPRVNHKPISDPNRKLSMGDVVELTPALPHKSLTEYREEIQRMYERGGFALATTPRS >Sspon.08G0013010-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:55299731:55302635:-1 gene:Sspon.08G0013010-1A transcript:Sspon.08G0013010-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homogentisate 1,2-dioxygenase [Source:Projected from Arabidopsis thaliana (AT5G54080) UniProtKB/Swiss-Prot;Acc:Q9ZRA2] MATEEQLRYLSGLGNSFSSEAVPGSLPVGHNSPLGLYAEQLSGTSFTTPRARNLRTWLYRIKPSVTHEPFYPRKPTNERLVGEFDRATTVATPTQLRWRPADVPLHPPLDFIDGLYTVCGAGSSCLRHGYAIHMYAANKSMDGCAFCNADGDFLIVPQQGRLFITTECGKLLVSPGEIVVIPQGFRFAVDLPDGPSRGYVSEIFGTHFQLPDLGPIGANGLASPRDFLSPTAWFEQDHHPGYTIVQKYGGELFTATQDFSPFNVVAWHGNYVPYKYDLSKFCPFNTVLFDHGDPSVNTVLTAPTDKPGVALLDFVIFPPRWLVAENTFRPPYYHRNCMSEFMGLIYGIYEAKADGFLPGGASLHSCMTPHGPDTKTYEATISRAGANEPFRLSGTLAFMFESSLIPRVCRWALDSPCRDLDYYQCWIGLKSHFSHDNGVATSSEPAAAVDEKE >Sspon.01G0010440-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:29664689:29675674:1 gene:Sspon.01G0010440-3C transcript:Sspon.01G0010440-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLAALCTDPVVVSCAFLCLLLHVALRSLLHPSSASSSGRSSHLPPGPTGLPILGALPLVGPAPHAGLAALARKYGPIMYLKMGTTGVVVASSPGAARTFLKALDAKYANRPAVASAVDITYGCQNMVFANYGPKWKLMRKLASVHLLGARALADWAHVRRDEAGHLLRGVAEAAAAGRPVVVPEVLVCALANIVGQITMSKRVFDAQGDESNRYKDMIVSLLTGAGLFNISDFVPALARLDLQGVQAKLRRVHHQFDGLITKLLAEHAATAADRARDGRQDFVDRLRAAIDAGADDESGETITEVNIKGLIFDMFTAGTDTSSIIVEWAMSEMLKNPPVMARAQEELDCMVGRGRRLEESDLPNLSYLQAVCKEAMRLHPSTPLSLPHFSFDACDDVDGYHVPANTRLLINVWAIGRDPATWEAPLEFRPERFLPGAAAEKVDPLGNYFELIPFGAGRRICAGKLAGMVFVQYFLGTLLHAFNWRLPDGEVLDMSETFGLTLPKAVPLRATVTPRLLPEAYA >Sspon.03G0004300-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3A:10777012:10777475:1 gene:Sspon.03G0004300-1A transcript:Sspon.03G0004300-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MYTTDISAHGLTGPSFSFSPSTDQPSLATGWHIGGVLHEEWRLETDRSRTAMANNSLRAFLVLLVAKVCLLVAMAASAVKGRPGPGPTALDPIAACCYYHLECCP >Sspon.08G0030750-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8D:64922785:64923778:-1 gene:Sspon.08G0030750-1D transcript:Sspon.08G0030750-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIQTFVPAATPVLAPAVRTGGACMRHSPTLPCFPCSSKFAAPLTSMHGTPTTVAGGRGSPGPSDSSRRGRSRRRVSTTTIASSTRLDPAGGRHARRAKSERRGMGAQQQRGGLGTQRPDGKMAIGEGRHVGEGQRWMCPWQPHAWSSREKICRCVFLILEKPRRTEDVVEEVCWAGSLGKPRNEPYGRNMPRRMPVS >Sspon.03G0022890-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:69918732:69921329:-1 gene:Sspon.03G0022890-1A transcript:Sspon.03G0022890-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDSSGSVSVDVERIFFGGKEHRVRTRHGPLSVSVYGDEDKPALVTYPDVALNHMSCFQGLFFCPEAASLLLHNFCVYHITPQGHELGAAPISADVPLPSVNDLADQVADVLDFFSLGSVMCLGVTAGAYVLTLFAVTLAKNVMCTPSQTKYRERVLGLMLVSPVCKAPSWSEWLYNKNLMSQVLLNLLYYYGTRGLVKESLLQRYFSMDVRGNGQDPESEIVQACRSLLDERQGTNVWRFLQAINRRHDLTESLKKLQCRTLIFVGDSSQFHADAVHMTTKLDRRYGALVEVQACGSLVTEEQPHAMVIPMEYFLMGYGLYRPSQQESSPRSTLSPFCISPELLSPESMGVKLKPIKTRTSLNV >Sspon.02G0023760-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:75098158:75102607:-1 gene:Sspon.02G0023760-3D transcript:Sspon.02G0023760-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVGSLQPGMEALHVQGDYKDAPPPAKAAVVAAVESLQPAMENLHVQDYKDPAPAKGAAAAAVGSLQPGVENLQAQDYKDAGMYYGAYPAYAYGAYGGWGEYSTYVSHDGAQSPTAGAYADMYYGYTPYGVATLGSDGQIYGSQNYDYQYPSTYNKQQNSTAKLSSNGKSEKLAPAPQGDVSTVGVDEVKGLKNSNSTLKADRNTPSSNGSYGRSSARSGSYQNQPSWSHYPYYSSEMFSDKQQKFTSNRNSTASNAKTKGQSRNQNTRQYPHLMGLQTPTSPSVYSANGIYGYDGSYGAGLWYGSHMYSSGLYGGWNSLYDGKYRTRGRGNNGYYVYGNGSLDGFNELKRGPRSGMYKNQLGLGATTEVPAKEQDTLSANGSHPAMKDQYNQADFAETYSDAKFFIIKSYSEDDVHKSVKYNVWASTPNGNKKLDAAYQEAKEKSSETPVFLLFSVNASGQFVGLAEMVGRVDFDKTVEHWQQDKWTGCFPVKWHIVKDIPNSLLKHIILENNENKPVTNSRDTHEVKLEQGLQVLKIFKDHVCKTSILDDFGFYDNREKLMQERKAKQQQSLRKVIDVKLPNATGTEKSLKGEIGSTELTEAEADVLNKELSLDKAGEKNGEKGNDVAPQDLKSPTDKLAGPNGC >Sspon.02G0024950-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:85743578:85745993:-1 gene:Sspon.02G0024950-1A transcript:Sspon.02G0024950-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ASTVAAAVREIRRAQRADGPAAVLGIGTATPPFCVLQDDFPDYYFRVTNKEHLTHLKDTFRKLCRITGLERRFFHHTEQMLNAHPGFLHGNGDLDARLDMVAKAAPELAASAAATAIARWGRSGTDITHLVVSTSSEARAPGTDLGLASRLGLRAGVHRTMLQLGGCSAGCAAQRLAKDLAENNRGARVLVACVELTLTGFRRPQQGDSFDTLVPRAVFSDGAGAVVVGADADDGDGGERPLFEMVAASHALVPGSTHLLNLRLGAGGVGGDVSARLQSFAAQDLERCLLDAFAPLGIGGGGWNDLFWAVHPGSRGILDHIDSALRLEPGVDNQKCLFCKVVKMCNGLYHCVSLIDGQKTYMERLIWSPNEGVMPLGRCSAVGTPDPSQDFRRPGLLTRVGTSDPCRDFRRPGLLTRIGTSDDRDC >Sspon.08G0018430-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:6069621:6077841:1 gene:Sspon.08G0018430-1B transcript:Sspon.08G0018430-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTGQLLLMSVVILGSAGCFASESDTITANSAISGSRTVVSRGGNFELGFFRPAGDSNTASSSDGSNHYYVGIWYKKAVSPCTPVWVANRAAPVSDPASSQLAVAADGNLVLADEAGKLVWSSNVVISGSSLNGTVAVLLDSGNLVLRRDDGEVLWQSPEHPTDTWLPGVRLGMNKITGHVQALTCWRSSSDPAPGMYSLGIDPNGTSQFFTYWNTTVSFWSSGEWNGNIFAGVPETTSHYFYNFEFVSNANSTSTTLCKTPRFVLDVSGQVRQLIWVPSADEWMIIWAEPHQLCDVYAVCGVAGCFASDTITANSAISGSRTVVSRDGNFELGFFRPAGDSNTASSSAGSNHYYVGIWYKKAVSPCTPVWVANRATPVSDPASSQFAVAADGNLVLTNEAASSSGPRTSSSPAAAPTVPGNLVLRRDYGEVLWQSAEHPTDTWLPGARLGMDKITGHVQALTSWRSSSDPAPGMYSLGIDPHGSSQFFLSWNRTVNFWSSGEWTGSMFAGVPEMASHDKYNFEFVNTSNASYFDYSLQDPTVISRLVVDVSGQARQIMWLPSADEWMVIWAEPHKLCDVYAICGAFGICDEKSEPLCSCPAGFRPSSVEDWELGDHSHGCRRNNPLHCHNSSVRDKDGGDAFLLAPGISLPSNSSPAAAGASPSSAEDCRSACLRSCDCNAYSYGSRCALWYGDLLGLSAMDTANTDDLYLRLSAMDVPSNGRRKRTVVVFVSVASAASILACLSVIVTVLVKMFRRRQRNIRFMQAAAEGGSLVAFKYNDMRRATKNFSEKLGGGSFGSADVYSYGMVLLEIISGRRNARCWATTEQGASLSEYFPLVAARKVSEEAALVALLDERLQGDADPRELERACRVACWCVQDDEAHRPTMEQVVQALEGVVAVDVQPIPTSLQAFTD >Sspon.01G0012260-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1B:39570350:39570838:1 gene:Sspon.01G0012260-2B transcript:Sspon.01G0012260-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVVVLDGSTVRGFVADEPAFARSVDARFAALDANGDGVLSRAELRRALESFRLLDGAGFGSAEPAPLPADVAALYDAVFEQFDADGSGAVDRAEFRDEMRRIMLAVADGLGSQPIQVAVDDEGGSFLLEAAEHEAAEIAARVEADRSKAEAEAEAASAGPK >Sspon.01G0051160-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:11317246:11327236:1 gene:Sspon.01G0051160-2D transcript:Sspon.01G0051160-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAEKLRWPFSDGSVTDLLDARSLHGSPDIKKKVQFHSSLVQKLALEKEMEGHAGCVNAIAWNSSGSLLVSGSDDTRINIWNYNNRELVHDIDTGHSANIFCTKFVPETCDEVVVSGAGDAEVRVFNMSRLSGRRPREISMEPTAVYQCHSRRVKKLAVEVGNPNVVWSASEDGTLRQHDFRECSSCPRAGSANQECRNLDLRCGAKKSLADLPKHPLALKSCDISSVCPHQILVGGSDAFARLYDRRMLPPLSSCQTKRKPPPCVKMFCPLHLADSKKTYLHLTHVAFSPNGKEVLLSYSGEHVYLFDVDPDNMSSVRYTADYVREQLSVPPFHKVPAKEHAKEKKASVNTTSRNLSRVDMCKKFMQVATRSLETGKNLMRGIEACSEVLESIKPDIDDDMRHEFLCTRAALYLKRKWKNDVYMAIRDCNRVRKIDATSYQAHLHMAEALLQLGRLKEASEYAEAANSLLPPHCQLREQVDDFKQRVASAELEKNRTDQNGNSKADTHGKLRSLSEILFRPDVGGSSQEGREDSDYDDEMELDYETSVSGDESRENDQGVFRGSLSFRFHQREDQTNEHTDESGSIESAQNNNSAFQSDVAIDMKQRYVAHCNVGTDIKQASFLGEQGEFIASGSDDGRWFIWEKRTGRLIKMLAGDGAVVNCIQSHPFDCAVATSGIDNTIKEYPAFDV >Sspon.03G0010250-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:34359476:34364813:1 gene:Sspon.03G0010250-2B transcript:Sspon.03G0010250-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGWGSSRVAACGPWGRGAALERELSRDGSHYSISSGILPSLGARSNRRVKLRPFIVSPYDRRYRCWETFLIILVVYSAWVSPFEFGFIRKPTGALAAVDNVVNAFFAVDIILTFFVAYLDRMTYLLEDDPKRIAWRYTTSWFVLDVASTIPSEFAWKILPPNLRSYGFFNMLRLWRLRRVSSLFARLEKDRHFNYFWVRCAKLICVTLFAVHCSACFYYLLADRYPVPTDTWIGKSMPDFHQRGLWIRYVVSVYWSITTLTTVGYGDLHAENTREMIFNIFYMLFNLGLTAYLIVFVDFNLYKSHIEVAPNSFFLLCFGLKRDTIQAATSFALRNQLPGRLQDQMISHLSLKFRTDSEGLQQQETLDVLPKAIRSSISQYLFFNLVQKVYLFEGVSNDLIFNWEDVILQNEAPTDFYILVTGSTIAGVAKAGDVVGEIGVLCYRPQLFTVRTKSLCQLLRMNRTAFLSLVQSNVADGTIIMNNLMQLLKEQKDNSVMVGVLKEIENMLARGHLDLPVTLCFAVNKGDDFMLHQLLKRGLDPNESDKNGHTALHIAASKGDEQCVKLLLDYGADPNARDSEGKVPLWEALCEKHNAVIELLVESGAELSSGDTALYACIAVEENDAELLENIIRYGGNINSSTKDGTTPLHRAVCDGNVQMVELLLEHGADIDKQDNNGWSARDLADQQGHDDIQVLFKSRKAHRQHASSGRVAPMLIGRFNSEPSMPNMNHEDAEVRSKVVPQKLLRKRVSFQNSLFGVISSSQARQDTGRLLSKGLAGTGGPSCRHDSLIRVTISCPEKGNAAGKLVRLPRSMIELLELGQRSSASSLPRS >Sspon.03G0014240-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:44766597:44769671:-1 gene:Sspon.03G0014240-1A transcript:Sspon.03G0014240-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFSLPQELSDIIPRDTLLWKLKLLKAGAAYANSRLHAVQAEVLLLASGKDNLLPSGDEADRLFKSLKNCRVRYFKDNGHTLLLEDGVNLLSVIKGANMYRRGRQRDFVTDYLPPTLSEFKQTFDVDHKLFHLALSPVMMSTLKNGKIVRGLAGVPDKGPVLFVGYHALMGIELSPLYEEFLREKKTIVRGMAHPFLFGKKFESSRQEISRIDTVSMYGGLPVTPINLYRLFERNEFVLLYPGGVREALHRKGEEYKLFWPDQPEFVRMAARFGVTIIPFGCVGEDDVLELVLDYNDQKNIPGLREWIESINKEAERVRDSVKGEDGNQDMYLPALLPKVPGRFYYLFGQPIEMKGMNNLVRDRKRTNEVYLRIKSEVEEIMSYLKRKREEDPYRSIGQRALYQATWGASAQVPSFEP >Sspon.04G0010830-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:32910510:32911658:1 gene:Sspon.04G0010830-3C transcript:Sspon.04G0010830-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRRAAASVTAQPAWAAVAEAVARRMDGVGGGARVSRYFSDKASGRVLSEEERAAENVYVQKMEREKLEKLRRKEDKAKAEAAKRAAAAAKGDKKKGEEAHPS >Sspon.03G0011250-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:29787123:29798506:1 gene:Sspon.03G0011250-1P transcript:Sspon.03G0011250-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNPFNPYYRGAFAGGDSAPPPPPRYSDFEVDLIASRYTGTEPPYPSSAGVGAFDSHLGARRSAEVLYHQSFMGSRSTVGQSEALYSSNTMAKRPRLESSLPTYPQRPGQKDCAFYMSTGTCKYGETCKFDHPQWVPEGGVPNWKEVPNVEDSYPERPGEPDCPYLLSSRCKFKSKCKFNHPKKMVNALATGTDSEFYVKTGKCKFGANCKFNHPKPKDTKTPPLIAKETIYTATTDAAVHIGAADDSVPAKKHVPTAPAEAHNAKGLPIRPGEVDCSFYMKTGSCKYGSICRFNHPDRSVVDIAFMAPLVQATLPSPAPIVPAVVLNPAANFLQSFDFHASHVPVEPVPMIYPQRPGEIVCDFYMKTGSCKYSQKCKFHHPIDRLAPHSNENGDPQQPVTLGLPRREGAEACAFYMRSGMCRFGVHCKFDHPPRQKAISKLQATGKEGMEGLSVVLHDPDV >Sspon.04G0014010-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:51163350:51167436:1 gene:Sspon.04G0014010-1A transcript:Sspon.04G0014010-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRDRSSRRTRDDDGHHRSRDRDDDRHRRRSHHENDAHRRHDGGDEDRRRRHRDKDGGGGGGEDDRRHRHHHDKDDSRSHRHRDGGDDDRRRGNRRSVSPSESPPPSAKRDRSSSRPRESVERRDSTDREPRSSSSLRKRKGHEGGGDGDEPGREGGKRARASVDPPPPKEERPRRERRRFEDVDGNGKNGDVSKLGKEISSHEQKKGELSINGDSQSGAARNAGSQQPLSAVSVPSSVPVPSKVSSIYTNNANEGVSIRSDEVTVKSSTDGSATSAAGKSSNLSLDALAKAKKALQLKKELSEKLKKLPMLNNKPGTAVTATQVSKEEAKTSVSAVDAQLFSKGDAKPTDVSGTLAIGGAIGIPGLTNIPNLDSVKRAQELAAKMGFRQDPQFGPLINLFPGTSTEVTVPQRPAKAPVLRLDAQGREIDEQGNVISMTKPTNLSTLKVNINKQKKEAFQIIKPDLDSLAKSSVHFDERMGINQKKLFRPKRPGFQFVEEGKLTRQAELQKIKSQFGEAQAKELKVKQAQLAKAKAEVDMNPNLIEVALGVRAPKQKQRKRSLKLSHGSMLDAKILLSATYEDISVEKLNMDKITIYVEHPEPYDPPAEPAPPPPQPLKLTKKEQKKLRTQRRLAKEKDRQEMIRQGLLEPPKPKVKMSNLMKVLGSEAVQDPTRLEMEVRTAAAEREQAHVDRNIARKLTPSERREKKERKLFDDPTTTVETIVCVYKIRDLSHPQTRFKVDVNAQENRLTGAVVITDSISVVVVEGGKKSIKRYNKLMLNRIDWATAVGGDEDAEVEGDKPVNSCVLVWQGSVAKPTFHRFTVHNCRSEAAAKKVFVDAKVPHYWDLAVNFSEDSS >Sspon.08G0021770-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:43310170:43314888:-1 gene:Sspon.08G0021770-1P transcript:Sspon.08G0021770-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEAAAPPPLPLLAAASSPAAAALPRARRRQQQQRRGWRRPRRLLVWGALVAFFFIMNWWMFSRLQDPSARPHFRLRRHPPRAPATANSSLVTLEEVAGAAKGKRAHRVMLTRLLALAGHALAEAETRPEPKDLWEEPINATMWRPCSDQRNLKASEGTNGYIMISANGGINQQRVAIVKDLPVELQSLDLEAIGSLVNDTDVMKEAKPSLYFAMKSDPSVNKSVASKYLAVHLRFEIDMVAYSLCYFGGGKDEEDELEAYRQIHFPVLSELKKTTKLPSAAFLRSEGKCPLAPEEAVLMLAAIGFKRSTNIYIAGAEIYGGKDRMAAISRLYPTLVTKETLLSPSELEPFRNFSSQLAALDFIACAAADAFAMTDPGSQFSSLVQGYRMYYGGGDLPTIRPNKRRLASILVKNATIEWNEFESRVRKLIQQTKQVHERPVARSIFRHPRCPEC >Sspon.05G0022580-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:7936322:7937672:-1 gene:Sspon.05G0022580-1P transcript:Sspon.05G0022580-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNRLNRLILPPFDAILQSLRSSLAATLATHAPLAGKLHYLADTGDVAICRATGGDDDCGVRFVAAECDADAHRLAGDEDHDVVTFERLVPELDMSLLPAPVLAVQATRLAGGGVALGVSVHHGVADGRSLWRFIEAWAAVCRGDTPPAPPVFDRSRVNLPGGEELARSILRKYVPDLPMVSGRPPPLVSLSLCLFPVWFSNISHALLDRHQARLRASLQEDRLRFTRRTFTLDTQDTKRLKQRIVRLGEAHGAPLRRSPSSFVAVVALAWTCFVRGRALAADDDDVFLFFFADARDRLDPPAGADYFGACLTGCLARLPARELHGEGALAAAASAVQGAIEKMVEDPLGCWPGWEFFRFAGDPTLRLERFMNVSGSSGFRAYEVADFGWGRPRRTEPIRMNHDGQLALVRARDGDGVQASVSMLQQKHVDAFKSEFLKLLG >Sspon.02G0012240-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:32345739:32346454:-1 gene:Sspon.02G0012240-1A transcript:Sspon.02G0012240-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding THVHVNGRPPLRRPLGSLLLRRPPPRRRLRVGVVVTATGSVWRRRRVAGRTGEQRRHPPDAQQVGHEAPLLRRRRPRFQALERLGPAGGPGRLRAVQRGEPGAKVRGRRRRHPAHARPPGLFYFISGAPARCEAGQRMVVRVVDARSSVGGGGAPTPAPAPAPGPG >Sspon.02G0006060-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:18529980:18532561:-1 gene:Sspon.02G0006060-1A transcript:Sspon.02G0006060-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGNALANYVQVYVMLPLDVITVDNTFEKEDETRAQLKKLTEAGVDGVMIDVWWGLVEGKEPGVYDWSAYKQVFKLVQEAGLKLQAIMSCHQCGGNVGDVVNIPIPQWVRDVGNDNPDIFYTNREGLRNIEYLTLGVDDQPLFHGRTAIQLYADYMKSFRENMADFLDAGVIVDIEVGLGPAGEMRYPSYPQSQGWVYPGIGEFICYDKYLQADFKAAATEAGHPEWDLPDDAGEYNDTPEKTQFFADNGTYKTDKGKFFLTWYSNKLIKHGDKILDEANKVFLGCTVQLAIKVSGIHWWYTVPNHAAELTAGYYNLDDRDGYRTIAHMLTRHPASMNFTCAEMRDSEQSSEAKSAPEELVQQVLSAGWREGLNLACENALSRYDATAYNTILRNARPQGINKNGSPEHKLYGFTYLRVSDELFEGDNYTTFKTFVRRMHANLDYNPNVDPVAPLKRSKPEIPIEEILEVAQPRLEPFPFQKNTDLPV >Sspon.03G0020930-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3A:64668684:64669700:-1 gene:Sspon.03G0020930-1A transcript:Sspon.03G0020930-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGITAPHVVEDFVGVVQLLSDGSVVRADESVLTPPGATFPDVPGVQWRDVVYDPAHGLRVRLYRSPEAEAAPKGGRRLPVLVWFHGGGYCIGAYDQPWFHAFCQHVAAEVPAIVLSVQYRLAPEHRLPAAIEDAATFFSWLRAQAAPGAAADPWLLVDSVDLSRTFVSGVSAGANLAHHVVVRIASGQIVPGPVRVAGYVLFSAFFGSDERVASESHPPAGVSLTVESLDTAWRMALPLGATRDHPLANPFGPDSPSLEPLPLPPALVVAPGRDVLYEHVLRYSARLKEMGKAVELAEFAGERHGFSVGQWSEATEELMRILKRFINHGAGAAAVLN >Sspon.03G0009660-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:30930866:30933390:1 gene:Sspon.03G0009660-3D transcript:Sspon.03G0009660-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTRGQDENKILTARGCHSSPESQELAMKSCVPCNSKDLHPMSEDSAKKLLEQVNGWELITEGGILKLHRAWKVKNFVKGLEFFQLVAAIAEEQGHHPDLHLVGWNNVKIDVWTHSVRGLTSNDFILAAKINDLTLEGIIRKKAT >Sspon.01G0012950-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:34425258:34430978:1 gene:Sspon.01G0012950-1P transcript:Sspon.01G0012950-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPLECDMAPAASPKKHLSRSRPLPRHCCLMILILALATTAAVSSAQLSSEDYYDASCPAALLTIRTAVSTAVLLDRRMGASLLRLHFHDCFVQLGGPSWSVPLGRRDATTASASLANSDLPGPTSNLNGLLNAFSNKGLSSTDMVALSGAHTVGRAQCKNFRPRIYNDTDIDATYAASLRASCPAQAGGASDGALEPLDGSTPDAFDNAYFGNLLSQRGLLHSDQALFGGGATDGLVSTYASSADQWGSDFAAAMVKMGNISPLTGTDGEIRVNCRRISMRILS >Sspon.03G0033980-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3B:67679812:67682830:1 gene:Sspon.03G0033980-1B transcript:Sspon.03G0033980-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MIYG >Sspon.06G0001370-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:1740160:1740951:1 gene:Sspon.06G0001370-1T transcript:Sspon.06G0001370-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFKTGIFTVAVLAIVLSSPAVAQKPKSPPAPSATVLPPAPAPAPSPHHVDLADLLSVAGPFHTFLDYLQKTNVIETFQNQANDTKNGITIFVPKDSAFAALKKTTFANLTQDQLKSLLLYHAFPKYYSLAEFDKLSTLNPVTTFAGSQYTLNLTYNMGTIQVKSMWSNPKISSSVYSTRPVAVYEVNKVLLPMQIFKSDPPLAPAPAPAPDAKASDVAPSPTSGKSASAKAKAEEKSSSYQLGAGIAHYLALAVSGGLMLLW >Sspon.05G0010950-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:33539362:33542510:-1 gene:Sspon.05G0010950-4D transcript:Sspon.05G0010950-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRYGLQIRTKPPSSSSSRAPPPPARPLAAFADDDDDDVEKEILRQAAKKRALQKVEEQQKKALEEDPSVYAYDELYDEMKEKEARPKMQDKVVRESKYIAQLKEKAEIRKREQDIIYERKLQKERSKEDHLFGDKDKFVTSAYRKKLEEQQKWLEEERIRQLREEKEDVTKKKDLSDFYFGLERNVAFGARTHANTKCADPQKSDNKPEDTNTISLGAEASEPSPKRRRESSVGSEGAKSMEEPLASRTRDSTAAASTEKNVADVPSNAKETPQSTQPAKVTDDHYKRGDDALAAARARALARKKAKEQQL >Sspon.07G0028560-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:67735102:67735434:1 gene:Sspon.07G0028560-1B transcript:Sspon.07G0028560-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGGGVCVASSSPSSVEAWGRRRTTSGAPRRRPSGAASWARPGRAPPAGRRRTPTVRCGCARGPPAARSRRPFPASRSWCVRKRKCATPDLATIISSIPHTTFTSLQRQ >Sspon.07G0007520-3C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7C:15542307:15543434:1 gene:Sspon.07G0007520-3C transcript:Sspon.07G0007520-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSRVSSPGWLLAAHCALLLALAGAARGHSPSAGAALSSAFYDQSCPGAYDVVRRVIQDARVSDPRIPASLIRLHFHDCFVNGCDGSLLLDDDLPAIQTEKNVPANNNSARGFPVVDGIKRALEEACPGIVSCADILALAAEISVELYLFSYLLALTELTEKNRARTNWTQAGGPRWRVLLGRRDGTTTNVQSANNLPSPFDSLEKLKEKFRNVNLDDTDLVALQGAHTFGKVQCQFTRQNCSAGQPQGALENLDQVTPTVFDNKYYGNLLHGQAQVPSDQ >Sspon.08G0007650-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:22980072:22984738:1 gene:Sspon.08G0007650-4D transcript:Sspon.08G0007650-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGYRAEDDYDYLFKVVLIGDSGVGKSNLLSRFTRNEFSLESKSTIGVEFATRSLQVDGKVVKAQIWDTAGQERYRAITSAYYRGAVGALLVYDVTRHSTFENVERWLKELRDHTDPNIVVMLVGNKSDLRHLVAVQTDEGKAFAERESLYFMETSALESTNVENAFAEVLTQIYRIVSKRAVEAGEDAASGPGKGEKINIKDDVSAVKKVSASGTDPYCLHLAAMT >Sspon.06G0011140-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:47835333:47839700:-1 gene:Sspon.06G0011140-3C transcript:Sspon.06G0011140-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGSGSFLEIQPSELAFPFEIMRQSSCSMQLTNKTDHYVAFKVKTTNPKQYCVRPNIGVVLPGSTCDVTVTMQAQKASPPDMQCKDKFLVQSVAAENGATAQDINAAMFNKEPGKVVDEFKLRVVYGQTTMPNLIPEESELGSSASSYSQENGISHSTMPLSVSRSSAETTREKPSEATSVISKLTEEKMSAVQQNQKLRQELELLRKESSKTSGGGGFSITFLFVVGLLGIVVGYILKRT >Sspon.07G0029480-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:76317209:76323655:-1 gene:Sspon.07G0029480-1B transcript:Sspon.07G0029480-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSLDACTTLCLDTCSCLAFEYKPDSNGCYLKSVLLNGKTAHLKVPQSLLSETISSVPQNSEILDCNTSSAKVEVLVFNPDAHSNGGNSLLHCIWLVVYGKKQSTQLKICEEGYRMVTDHFRNFTYKELKEATKNFKDELGHGRYGSVYKGILHDKRVVAVKKLGDVKQGEDEFQTEVSVIGRIYHMNLVRVRGVCSERKHRLLVVEYVDNGSLAMILFGNQGLLQWDQRYKIAVGVAKGLAYLHHECYDWIVHCDLKPERLRTCSWTRILSSRSVTLWLQSCYKEIKLIQTCQRGYAAPEWASNIPINEKVDVYSYGVVLLELVTGRSASELAAIGTGDAEVAMRQLVWTIREKLKSGDLSWNTDFVDPKLNGNIVHSEVLLMLEVAAMCLEKERSQRPSMNDICSNFPGLVSTKALNELLSREYKTMPHAGLKEGTEVDVEDGRANTFMKAEDAKLTARDTVGPLLTADLGQYWVSNEKTKKNTFRAPSGDPQHERVAEIAHATYLHRGSSLSVKQASDIITSPDGSFSFGLYNLSSTAFTLSIWFTNAADRTIAWTANRGRPVHGSGSKVTLNKDGSMVLKDYDGTVVWKVRKRSAVVDHVELMDTGNLVMVDQGGNILWQSFDHPTDTLLPGQPLTATTKLVSTNPSHQSSYYTLRFDERYILSLSYDGPDIYNLYWPNPDQNSWSNYRILYKSGRRGVLDKLGQFEASDNTSFIASDWGLEIKRRLTLDYDGNLRLYSLNESDGSWYISWMAFSQLCDIHGLCGSNGLCVYTPAAACTCPRGYVVVDPNDWSKGCKPQFNITCGKGVQQMGFLVIPWTDFWGSDMDFVMSASLDTCRELCLASCSCVAFVYKVYPHPHGCYLKSGLFNGKTTPGYPGVAYVKVPESFLSHSQANSSDSTHGHVCNASRTYTFNYAASRVDEKGMAWYYYYSFLAAFFLVELCFIAVGWWFMTRKQSVRLAIWAAEDEEGFRVVADHFRSFTHKELQKATNNFMDELGRGRHGSVYKGILHDNRVVAIKKLKDMKAGEAEFETEVSVIGRIYHMNLVRVMGVCSEGTHRLLVYEFVENGSLAMFLFGSKGLLLQWHQRYKIAVGVAKGLAYLHHECMDWIIHCDVKPENILLDEEFEPKISDFGFAKLLQRDESNSDMSKVRGTRGYMAPEWVSSAPVTEKVDVYSFGVVLLELVMGHRMFELPTNGSGDAESALRQLLSTIGENMKISDGNWIDDLVDPRLNGDFVRPEVLLMLEVAALCLEQDKNQRPSMSNVVQKFLCR >Sspon.03G0009420-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:4643906:4656584:-1 gene:Sspon.03G0009420-2D transcript:Sspon.03G0009420-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSNRHWPSMYRSSLACNFQQPQPDMNNGGKYSLMASRCEENGGRNPEPRPRWNPRPEQIRILEGIFNSGMVNPSRDEIRRIRLQLQEYGPVGDANVFYWFQNRKSRTKHKLRAAGQLQPSTRATLARASALAPVPGPSVTPTTAVALASSPVVVHGVMPTTTMDLLTPLPPSAAALAARQLYYQYHSQIMAPTTAPTMPDLMITSPEPLLPQWQQGGQHYLPATELGGVLGGHTHTTHEPPAMHRAGVSLSPTVLFGLCNEALGQDCADISIVSKGLGHGQFWNNSTWGSDLSNNSKTDALSAVIRDDDEKARLGLFHYYGLAGATTAAVLRMLLLQMPVRRPCCFQALRRATPPRPRAPPYSPTSCKLLIDLSSAGLLDAGLLIGETPPTATVVAVARDAVTCAATATAQFSVPAMRLDVKLAFGEAAVLACHTGEVVPVDESGVTVEPLQQDTLYYVLMYGPVGDANVFYWFQNRKSRTKHKLRAAGQLQPSTRATLARASALAPVPGPVTPPRHHLQLAAAAPVAPTSSSSSSSDRSSVSSRKSVTPTTAVALASSPVVVHGVMPTTAMDLLTPLPPSAAALAARQLYYQYHSQIMAPATAPTMPDLMITSPEPLLPQWQQGGQHYLPATELGGVLGGHTHTTHEPPAMHRAGVSLSPTVLFGLCNEALGQDCADISIVSKGLGHGQFWNNSTWGSDLSNNSKTDALSAVIRDDDEKARLGLFHYYGLAGATTAAGPAHAAAADAGTAAMLLPSSASSNAAAATSAAVLTDQLQDLSSAGLLDAGLLIGETPPTATVVAVARDAVTCAATATAQFSVPAMRLDVKLAFGEAAVLACHTGEVVPVDESGVTVEPLQQDTLYYVLM >Sspon.08G0025490-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8C:10190751:10191818:-1 gene:Sspon.08G0025490-1C transcript:Sspon.08G0025490-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDDALSRKSHQDDKESLPHSHSAVLAHIALVSDLLEQIIIEQGQDALEIPHIKKLIAKGRGPHFSIDDQSVEYLGTRLLRSSAYHPQTDGQTERVNEVLEDMLRACVISFPEKWDECLRLAEFSYNNSYQESIRMAPFEALYGKKCRTLLNWVEVGDHGYFRPKFILEAREKVRIIRSHLKIAQT >Sspon.04G0000110-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:6742506:6743598:1 gene:Sspon.04G0000110-2B transcript:Sspon.04G0000110-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRSPQLSLSGCSSLFSLSSTGTSCDNDDSTAALTAPVPAPPAGASLHPLPPRRLPLLSLSVGGEEEDEDEEEYLLGAGALDLQLTGAGGSSNSSGGGCDQERKNIRMMKNRESALRSRARKRAYVQELEKEVRRLVNENLKLKRQCKQLKVDMAALIQQSSSKSSSHIRRTSSSTQL >Sspon.05G0006750-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:18782604:18786817:-1 gene:Sspon.05G0006750-1P transcript:Sspon.05G0006750-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding QMAPHTTAAGGGGSGGGGEDEVSEAAAASPALRHRHAAGKGDGDGEGLGGNGGGAGADGGQEASVERVFADKAVPSWREQLTLRAFVVSALLAVMFSVIVMKLNLTTGIIPSLNVSAGLLGFFFVRMWTAAVERMGFLRQPFTRQENTVIQTCVVSAYGIAFTGGFGSYLFGMSDKIAKQATEAKDANNIKDPHLGWMIGFLFLVSFIGLFALVPLRQIMIVDYKLTYPSGTATAYLINGFHTPEGAKLARKQVKTLGKYFVFSFFWGFFQWFYTAGDECGFKNFPTLGLEAYNNRFFFDFSPTYVGVGMICPYIVNVSVLLGGILSWGVMWPLIAKKKGSWYPADLEDSSLHGLQAYQVFISIALILGDGLYNFIKVLIRTIAGFIAMVQQNSKSMLPVSDNGSSMSSTEAVSFDDERRTEIFLKDQIPKSVAYGGYVAVAAISIGTLPQIFPQLKWYYILVAYVVAPVLAFCNAYGSGLTDWSLASTYGKLAIFVFGAWAGLSQGGVLVGLAACGVMMSIVSTASDLMQDFKTGYLTLASPRSMFISQVIGTGMGCVIGPCVFWLFYKAFGDIGESGTEYPAPYAIVYRNMAILGVDGFHSLPKNCLTLCYIFFAAAIVINLVRDLTPHRVSRFIPLPMAMAIPFYIGSYFAIDMFLGCAILFVWEQLNKAKADAFGPAVASGLICGDGIWTLPQSILALAKVQPPICMKFLSRSTNAKVDSFLGLS >Sspon.06G0036090-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:89813575:89817681:1 gene:Sspon.06G0036090-1D transcript:Sspon.06G0036090-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVATPEDVADPEPFSPSVFLDLRPTPQSHHDGGSEDLVLPFIRRMLMEDTEDSILYQEYPDHLALLQAQQPFAQILSVGSTSTSTTTHAAATDSASGLSATTNSKGAPAFAAATWPYDPVQLSQLLFSRTRSGTGVELDGFTGGDANSFPSPGKGSASACSQARAFFNGGDTATIESSSFGDGVRVTMDMLNQAFLKGIEEANKFLPATTTTVFGLGATYREHLPSPRDGWMAESFMSVVDGRVSSRGCKNRHDWDDFDDDAETGRRSNKLLAPEPEENSELVDSVFIKGFEMAMEKMHGLSISNMSEGKAKRKSAAQANEAVDLGTLLTHCAEAVSTSNRRSAAELLRQIRQRSSPRGDASQRLAHCFAEGLEARLAGTGAQVHRSLVARRTSGVDFLRAYKLYLEVCSFKMIAFKFSHIAICKAITGRKKVHIVDYGDHHGFHWPPLLEAWRARDGGPPEVRITSIDLPQPWFRPAARIEETGLRLSAFAHLRGIPFKFHCIVTAKWETICLDDLDIDPDEVLIVNDLFHFGKLMDEGIDIDNMSPRDMVLHNIQKMRPDVFILCVENSSYNAPFFVTRFREALFYYSAMFDIMETTTPWDSDERLLVEQDILGGCVLNAIACEGSERQLPLNPNMVKFLTRMVKDGYHKDFVVDVDQRWLLQGWKGRILYAMSTWQCTKQASFHPCLPLPLPLPSMAPMPEELELMGHQDRRDVLAVAVADPEPELFSPSIPSRLPRRRPGIVRRLVLPFISWMLMEDDIDDAFFYKYPDHPALLQAQQPFADILSDATPPDGAALIVTAAAPAVPCRRRRPAGLLLSGCNGDMLNLALLKGMEEGSRFFSLPTNNGLLNSKKQPIQVNTGGKLKNRRRTLQQDEDDLDEAETSRNSKLMVPEPEETGEMVDRIALNMFSWCLSEIQSLRLTIGGEQVENNTRTGTESRSKTNKKNWAHETMDLHTMLLHCAQAHDAAAGADPEALLAERGRRSAWMILTWSLMSPRDVVLNNIQKMRPDVFILCIVNASYNSPFFVTRFPIFDMVDATTPRDNQLRLLVERDLYGQCALNVVACEGLDRVERPETYKQWQARNHLTELAVTAQGPGRPAVTQQSCSSAPTT >Sspon.08G0014150-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:53452037:53458153:1 gene:Sspon.08G0014150-2B transcript:Sspon.08G0014150-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding METAAPARVQALAETGVSRLPAQYIQTPENRPTPSPSPVAAALSVPVVDLSSSTAADAVCAACTDWGAFHVVGHGVPGELLDAVREAGLAFFRAPMGDKLRFACDPARGAAAEGYGSRMLANDDSVLDWRDYFDHHTLPESRRDPAHWPDFVPGYRDTIAKYSNSMKDLAQKLLCIISENLNLPPSYIQEAVGEVYQNITISYYSPCPQPDLALGLQSHSDMGAITLLIQDDVGGLEVLKDGMWIPVPALRDGILVILADQTEIITNGRYKSSVHRAVVNAERARLSVATFYDPSKSRKICTAPQLVSKDEPQKYRDVIYGDYVSSWYSKGPEGKRNIDALLIQKFCVVNVEYIDATARNTLWKISRDLPVKIVE >Sspon.01G0015460-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:49836704:49839945:-1 gene:Sspon.01G0015460-2B transcript:Sspon.01G0015460-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARVSNAKKRQGAKPASAGLTGTNTSTGTAATERKAEDDRPVRVYADGIFDLFHFGHARALEQAKMLFPNTYLLVGCCNDELTNRYKGKTVMTQEERYESLRHCKWVDEVIPDAPWVLTQEFIDKHQIDYVAHDALPYVKKIGKFKETKRTDGVSTSDLIMRILKDYNQYVMRNLARGYSRKDLGVSYVKEKQLQVNMKINKLRETVKAHQEKLQTVAKTAGLNHEEWLANADRWVAGFLEKFEQHCHNMETAIKDRIQEKLGRQLSKGISAGLVQEPVTA >Sspon.04G0006600-3P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:18903103:18906363:1 gene:Sspon.04G0006600-3P transcript:Sspon.04G0006600-3P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQHRKSSLRSYASNASSRSGSFDFDHDQDKERAGLTGDGDRREVVVKIDPEPHSPVSLHAPGGVSGHSSAVSTPRAGGAVSILAPSASGSSASTSSAGGDASRSGDSFSFKNRPPQSPASGESSEDPPSRLIGNFLRKQAAVGGELSIDPDFDVDEMRRPPRAPTSSNASRELRVSFQDPRKRFSPSTSTASSSSYDAGDNRNQSNIDLDTAEVLRCTSTSTGSSLLARSKTRSRLMDPPPPSTSSAPAGEGDPRKSFVSKGLPPKSGQLRSGLVGKSGLIGKSGPIGKSGGFDDEDDDPFVDEGMTSDFKRDTMDCLLIMEWVSLVVIVGALICSVTVPRLSRKKLSGLHLWKWELLVLVLICGRLVSGWLIRIAVFFVERNFLLRKKVLYFVYGVRRAVRNVLWLGIALVSWHLLFDKDAKRETHTLVLTYVTKVLCCLLVATVIRLVKTLLLKVLASSFHVSTYFDRIQEALFNQYVIETLSGPPLVDESRMMAEVQRLQSAGASIPSELEATAMPDKSGPLPKSGRLTTVASKRGGGGTSKQLHRQKTELHLDDGISIDQLHRLSQKNISAWSMKRLMKIVRYGALTTMDEQLKHATGEDELATEIHSEYEAKVAAKRIFQNVAKPGSKHIYLSDLMRFMRQEEALKAMDLFEGAQEHNRVSKRSLKNWVVNAFRERKALALTLNDTKTAVNKLHQMANVVVALIVLALWLLILGIATSKFFVLLSSQLLVAVFMFGNTLRTIFEAIVFLFVMHPFDVGDRCEVDGMQVVVEEMNIMTTIFLRYDNLKVYYPNSQLAQLPIMNYYRSPDMGDAVDFTVHVATPVEKLTLMKERLMHYLDNKKEHWYPGSMVVLRDVDDTNKLKASIWCRHTINFHDMGLRFERRELLLQEMIKILRDLEIEYRMLPLDVNVRNAPTIQSSRMPSTW >Sspon.03G0024330-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:74123083:74126431:-1 gene:Sspon.03G0024330-1A transcript:Sspon.03G0024330-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDVDVSRQLKQMTDFIRQEAVEKAVEIEAAAAEVCHFFYELMCMLTTQEFQIEKLQLVEAEKKKIRQEYDQKEKQVGIKKKIDYSMQLNTSRIKVLQAQDDLVTDMLESARKDLLRISRDHQTYKKLLKILIVQSLLRLKEPAVLLRCRKDDLELVDSVLESASNEYADKANVYPPEIVVDRHIYLPSAPSHYQAPGPSW >Sspon.04G0010470-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:32003382:32009047:1 gene:Sspon.04G0010470-4D transcript:Sspon.04G0010470-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHVEPTAVGAEQAGEGAVVPHGDVVGGSGGEVATTEAQVAVAVSTSGDERRGDYGDDAENEEEEEAATVQGSKEGTEELLRKVVYSEEAAYKLYCDYGHRMGFSIRKGKQSYFTGTKRIRTKDYFCSKEGLKEGEKLTDTNFNDPHTRTNCRAMVRFRVNDQGEWKVIRLVSDHNHNLARPEERHLLRSARSLIAGRSKFEETWAQMLCEFKLQDNKWLKKLYKLKQKWCSALNKCTFDGGIEYEPQCDNMSNIFNNVSDKLTSLCAIAVAVDKQTEDWREKELDEDARCLQKPPACIIKHSDILNHAAKVYTHRIYKLFETDFLDGCGATKFKEVPNAAMSALIMAGYVIELTFCPYSIGLLTMYSIIPDKQLCIRHSEGQH >Sspon.05G0032850-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5C:23113880:23114530:-1 gene:Sspon.05G0032850-1C transcript:Sspon.05G0032850-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGASNSNLPPGFHFFPSDEELVDHFLRRKASLLPCQPDIVPTVCMNHYDPWELNGKALEAGNQWYFFSHAKHSRVTPNGYWSSVCADETVSSGGCSVGVKKTLVFSTGEPSEGTETNWIMHEYHLLDARKGISSSTSSTNSSSKKLHHPNTVRATCIYMFAYLLASSS >Sspon.04G0028290-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:70172683:70186636:-1 gene:Sspon.04G0028290-3D transcript:Sspon.04G0028290-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAVAARRATPLVLFFLVSASLLAADASDVGFVFFSGGGGGAGSRGELVVIPARGGATQRKDPLDGLRYYTGGWNISDEHYWASVGFTAAPVFAAAGVWFVVFGIGLFIAGCCFCCCPSSGGGDSYSRACLFVSLVLLLVVTAAAAVGCAVLYDGQGRFHGSTAATVDYVVRQSGDTVATLRGFTGFLETAKAAGVGPITLPDDVKGRIDDVVRKVGAASDELAARTASNAAKIRAALETVYAISQAPRLCSFKEGSDRCFGGDANPCFSWPRVLAVWIGVDCLRAGVPGVDPGCRDVCTVRYFSPPAQSTCSVVGDTCVAMGEWVQHPQARTALDDILPCVDTAAANEALDRGKEVNYQLVAVLNAALTNVSNRDFPPQVPPPLNYNQSGPPVPLLCNPYTADLRDRACAPGEVPLDAAAARQAWLRYVCRASSSNAEVCATPGRVTPSMYEQLAGAADVSYGLYHYGPALVALADCTFVRETFRSIGDDHCPGLSRYSGQVFRGLLAAAVAVLLAVLLWVVHSRERRRRSEAKEILLLASSPYKFPVEERAFLKSPARPYISSPPPPCSKTAAGHDPAAARYCLCAPTTHPGSFRCRLHRSSSSMDATAAAVAAAPSAASEEAKAKEAAAAAAARAFLERMTMLGSSSSAAAAAAPGPGANSSSFLLAAEQTQRKDPLDGLRYYTGGWNISDEHYWASVGFTAAPVFAAAGVWFVVFGIGLFIAGCCFCCCPSSGGGDSYSRACLFVSLVLLLVVTAAAAVGCAVLYDGQGRFHGSTAATVDYVVRQSGDTVATLRGFTGFLETAKAAGVGPITLPDDVKGGSTTSCARWARPPTSSPPARPATPPRSAPPWRQCTPSAGVPGVDPGCRDVCTVRYFSPPAQVSDFAVASKSVLSRALLLQSDLVATIHTHKRSHCKSTCSVVGDTCVAMGEWVQHPQARTALDDILPCVDTAAANEALDRGKEVNYQLVAVLNAALTNVSNRDFPPQVPPPLNYNQSGPPVPLLCNPYTADLRDRACAPGEVPLDAAAARQAWRRYVCRASSSNAEVCATPGRVTPSMYEQLAGAADVSYGLYHYGPALVALADCTFVRETFRSIGDDHCPGLSRYSGQVFRGLLAAAVAVLLAVLLWVVHSRERRRRSEAKEILLLASSPYKFPVEERAFLKSPARPYINIIPGRSTSPPDQARRAALHRIRSYSITPAMSSSPPPPCSKTAAGHDPAAARYCLCAPTTHPGSFRCRLHRSSSSMDATAAAVAAAPSAASEEAKAKEAAAAAAARAFLERMTVRKPRRQSVSGSGMFLPGPSRLGATATD >Sspon.08G0015040-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:60323367:60324902:-1 gene:Sspon.08G0015040-1A transcript:Sspon.08G0015040-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSLATLQPQAEEVGSSESVAAAPPPVVDEPYRDAASPPPPPPVVNEPVRDAASPPPPPPVVYEPYRDATSPPPPPPVVYEPYRYAAIPPPPPPVVDEQYRDATSPPPPPVVDEPYRDAASPPPPVHDDAARVVSSGTGDDLGLQQIAKVLASLGYNEMASSATLLADTASVVAWPGAITAFAAPDVFLQHSCPECSRRHLLLDHMALGYFPYAELAAAPTMKLPSASVGFCLDVAAQPQRGPFSVHHANLYVNGVMVSEPELYDDGRYVVHGLHGFIPPLSRASCVEDDAHAHHHHQVHLHHYRRHHLSARSAATSAATSASVVRIMIREAISRLRDSGFGFVALAMRVKFAELEKLSNLTVFALDDQVIFTGGGHGYVSAVRFHIVPGHRLTRADLLLLRPGTVLPTLAGEDQKLVVTLGAGSATDEVRINYIPVKEPDVVINSRVAVHGIYLPFPRLHLANLAASVAVASDLQTNDSCGVGGPFGDCAFTPMTSATIPADQGYGEGQ >Sspon.04G0007530-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:21283834:21286502:-1 gene:Sspon.04G0007530-3C transcript:Sspon.04G0007530-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGREVREYTNLSDPKDRKFGKGKDKIDDEDITFQRMVAKMQEVAGERGGYLHGRGALDSDDLLYLKEQMEAEEDAERLLRRTEKRAFAAFKKAAILADSTPAVPVALRVEPKPKSDIRQQYLLKNIVGIKPKRPKVSSPSQPADSNKPKHGEEDSVSELSSPQNQSGLLVGDKGSSHGTVNPDHTAPRLDKPREVKQQNTAGSLLGLAYESSDEE >Sspon.06G0019740-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:26276898:26277482:-1 gene:Sspon.06G0019740-2D transcript:Sspon.06G0019740-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLPQRFKLLATRCAAGAPSPSRSPAPGYAGAASPGYRLRRRGIRGAGATTASARRRGRLRRFLCRRGGGGGAGAGAETLAAARQEDDRKPLFGGRPGGGGRTLRDLFVASPEAGRRKGGCSCDCGDVEDDDEDDGRAGGAGADPAGGGAWRGGRRFGSGGLRSLLMRRSWRPVLVAIPEGDGGKIELGAIEE >Sspon.03G0021870-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:66798701:66799747:-1 gene:Sspon.03G0021870-1P transcript:Sspon.03G0021870-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSVQTFPKQEADAEGESNPELYQHFANLVSSLPSSKGLSNNKFYRHDQGWHCSVVPIVGAMVADACFNARPSDVIVATLPKSGTTWMKSLLYATVHRREHPVDDGSAGHPLNSWGPHELIKFFEYQLYTKDKVPDLDRLPDPRLFATHVPFVSLPRSVVATPGCKIVYVCRDPKDHLISQWDFANKFRVKDGREPLPLEDAAKLFCAGLSPFGPYWDHVLGYWRAHRADPKKVLFFKYEEMQRDPAAHVRRLAEFVGLPFSSGEEDGGVVDAVVALCSFERMKGMAATKGGRTELSFGVVPNSSFFRQGVVGDWVNHLSPEMARRIDAITATSSRVRVSSSNYRG >Sspon.07G0014220-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:50643471:50649039:1 gene:Sspon.07G0014220-4D transcript:Sspon.07G0014220-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRISNDKLTVSFSFREYKGRSTGTSGTSTKSFRDLYNMKYSSYLNNEPMPDATSEKEQGNEYFKQKKFADAIECYSRSIVLSPTAVTFANRAMAYLKLRRQEAEDDCTEALNLDDRYIKAYSRRITARKELGKLKEAMDDAEFAISLDPNNPELRKQYSEIKTLHMEKMAKKVPVPAKCAVSGIDKTADVTSHPPTLSQKDTIMEVDPPVSDAMKIRESAGGRSNGGSGVIINDKIMQPQGRDANQKPGPEVSVQDLAARAASRLPLLVDASPLAIREKLALAST >Sspon.08G0012860-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:51388766:51391225:1 gene:Sspon.08G0012860-2B transcript:Sspon.08G0012860-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGEGGGGTQQADDFFDQMLSTLPSAWADLGAGGKSPWELTPGTGAGAAPEDPSAQTHFGDESALLASRLRQHQIGGGDIKSSDSPVMLQLSDLHRHGGLGGEESGGSGFSPLPLFTDRSAAPAREEMEGGFKSPNSAGGDHSLFNGFGMHGAAAVQPQFGQLRRERIAERMKSLQELVPNANKTDKASMLDEIIDYVKFLQLQVKVMLLLLNIAADEW >Sspon.07G0001680-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:33897035:33906218:-1 gene:Sspon.07G0001680-1P transcript:Sspon.07G0001680-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYVLVTGGVVSGLGKGVTASSIGVVLKSCGLRVTTIKIGSHSFPSRLPTRSSLPGDFFFLLGGVALAFPRENEKKKNCFRRRIRKKGRIFRWKGRPVCVISFCRLFAAQSPEPGAQDRTLPFCRFGCATSAVWGKGGRGSIFRITQSCLAVWAGHPYLNTDAGTMSPFEHGEVFVLDDGGEKKNTVVDSIMDDLSADKSSVALLQWVDLDLGNYERFLDIKLTRDNNITTGKIYQVVPHITDEIQDWIERVAMIPVDGKEGPPDPLEEHVKVKLSQFCHVPDQKAHEAILKVLELQYDGKVPREPELGKWTKRATKFDKLKTPALLHASVAMERKLVVEWVPSCDLEDSSAKETPEAHKKAWKLLKPSHGIPPQGADGVLVPGGFGDRGVQGKILAAKYARENNVPYLGICLGMQIAVIEFARSVMKLRGANSTEFDPATTSPCVIFMPEVNPDMVPDFERAGLQFVGKDESGKRMGVGLENFSDAVDLNIIELPSHKFFIGAQFHPEFKSRPGKPSPLFLGLIAAASGQLEPLLQRSNPTTKACPINKVPKLKLYPTGPVNPLNSLTIHRKDNIMRRQ >Sspon.03G0023690-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:95931451:95933247:1 gene:Sspon.03G0023690-2B transcript:Sspon.03G0023690-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding HGQLLRACPPRLRHGFPCPGLHRFAPSQAPSLCLRRLRARSGGAAPACAGPADRLLRGGPPAPAAGGELRPVLYAAPLALVRVRLRQAPRHCRLLAAAMPGGGGRPRVRDLARRPRVARHVPRRPVRPCLRRVARRRRAPPWLHRRVAHQECRRRRVDGLQGRHQVRVTPRRPRLHHARRVPRRHRRPAVHRRLKRQRHAAPLLPPPAAAAAAARAGPCRRRRSDIAEPPVERRPVVRDPKRFVRRNRERHSADQHVPRRSRGVPLLPIARRVLVRERRVRPVPRGRCSDRWRLGRRRVAVPRDLHRRHQPHALATDHRHRLVQPPVLRHRAHGLSWEAAGRREARGQVHGDQRHRHVVRRRQPPPLAGPQGHGHGGGNGQLRRTPAGHGHRDSARWLRLHDGVPADAVVRQVHGDVDAEARVREHHASPRQLFGDGGEPDHRRLLRRPRRGPRRRAVLAGGAAELHAVQVRGRGPRRLRLVHRGDEGAARVPRGARRRRPVGVLGPVSEQLAGVRRGRGRRVRGNRPGVVGRAPDVQVRVLRRVLLPERDQPWQRRGVRPLRRGLREGIARRWHCGPGGGCRSAAAELLL >Sspon.05G0016830-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:65641233:65649667:1 gene:Sspon.05G0016830-3D transcript:Sspon.05G0016830-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAWKAPAAMLLVQLFNTGMVLLSKVAIGGGMFVFALLTYPSLFGAAIILPIALLQERYAIPMSLFYYGLHDTTASYATIFMNLIPLATFMLSFVFRMEALRIMSMSGSLKIAGAVVSIGGTMIISLYRGKILHLWSPIPHPHNEELVDAGSHHQLRGTILLAGSSLMFACWYLIQTAVAGVIFRRDKNAWKIGWDINLLTIVYSGALATAAKYSLNSWAVSKKGPSYPPMFMPLSLVFTVVLGSIFIGDDITVGSLIGTVLVIVGTSIFLWAKADERLEK >Sspon.03G0021750-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3C:87710242:87710865:-1 gene:Sspon.03G0021750-2C transcript:Sspon.03G0021750-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMASSTATNTAALSRLVDRTRVPDPTLQRHAVAAFFRHLLSLPAPLPAAAHDAASALLASPHPAVAAHAAASLARLTASRPDLLPSGLALPLLIAPLAASPSPRLASCLVKAVSALAASALRSGSRFLPHDHPFVQALAAGADGARAELTRQAARMVAEGVDWVVGFLRPFVMFSVVRKGDAAFARDLIVALAAAAVAAGKAGVAIP >Sspon.07G0011160-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:43641092:43656608:1 gene:Sspon.07G0011160-3C transcript:Sspon.07G0011160-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAEENSSLFLIFILTMIALPLVPYTILRLCRAASEKAKTIHCRCSGCHRSGKYRKSIYKRVRTAFLFFVFLKLLISNFSTCSNLTILLLWIVMIFLVYYIKHVSREVQVFEPFSILGLEAGASESDIKKSYRRLSIQYHPDKNPDPEAHKYFVEYISKAYQALTDPISRENYEKYGHPDGRQAAEYMEMPVRRSDDEPLQKLFVAVRSELNLDLKNIKTEQAKFWKQHPSLVKMELLIQAHLMCESFALTPALLKDYRHMLELAPRLLEELVKVAAAMAAAEENSSLFLIFILTMIALPLVPYTILRLCRAASEKAKTIHCRCSGCHRSGKYRKSIYKRVRTAFLFFVFLKLLISNFSTCSNLTILLLWIVMIFLVYYIKHVSREVQVFEPFSILGLEAGASESDIKKSYRRLSIQYHPDKNPDPEAHKYFVEYISKAYQALTDPISRENYEKYGHPDGRQAAEYMEMPVRRSDDEPLQKLFVAVRSELNLDLKNIKTEQAKFWKQHPSLVKMELLIQAHLMCESFALTPALLKDYRHMLELAPRLLEELVKIAVLPRNPHGFGWLRPAIGVIELSQNIVQAVPLSAQKASGGNSEGIAPFLQLPHFTEATVKKIARKKIRAFQELCDMSLEDRAALLTQVAGLSEEQARDVELVLEMIPSIEVDIKCETEGEEGIQEGDVVTMYAWVSLQRRNGLTAALPHCPNFPFHKEENFWLLLADVASNDVWLSQKVSFMDEATAITAASKAIQETQEALGASAKEIGNAVKEAVDRVKKGSRLVMGKFQAPAEGTHNLTSFCLCDSWIGCDTKTSFKLKVLKRSRAGTRGHVPEEGPAAAAEDGIEEEEEEEEEEYDDYESEYSDDEEDEKNKGKGKGKVVNGSAHQGAESDIDSGSDE >Sspon.03G0014060-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:43578744:43581270:-1 gene:Sspon.03G0014060-1A transcript:Sspon.03G0014060-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMEPTLPLLTPYKMGVFNLAHRVVLAPLTRCRAYGNVPQPEHMALYYCQRATPGGLLIDEACAVSESARGYPDVPGLWTDQQVEAWKPIIDAVHARGAVFFAQLWHTGRASASEFQPNGQAPISSTDKQIPPQVSHAGHVNTFAVPRRLETEEIPHVVNDFRVAARNAIKAGFDGVEIHAANGYLFDQFMKDSTNNRDDAYGGSLENRCRFTDEVVAAVAAEVGADHLGVRLSPFADYMDCHDSDPEALGLHVIDKTLNPLGVLYCHMVEPRMRVTFIASGGYDREEGNAAIADGYADLIAYGRIFLANPDLPARFAKKAALNMYDRSTFYTSDPVVGYTDYPFLDQL >Sspon.01G0024660-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:87663873:87670298:1 gene:Sspon.01G0024660-2B transcript:Sspon.01G0024660-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPEQTFLRVHARLSGTLSQLLTPRIRLALEYLYLAGAVALFCLLVVMHTNFVQQPGCSSEFSGIEFGEAQLVQIKIISGGLWSSRGASYIMDLQNLGRSAEKILEVNGDKFNILASKFWSTWVGPGARRSKLMFRNWKGDKEFEPQSENTADTTVTTTIPGLPDLKAAGDGSVHHPLSAKESFKAAVTYLFRKWYHRAVSFWKNIKQLSENTLQLMVRSNWNDFLHIFKDLQLPSMDHLISTIVQWFERRSKAFEPTYLYGVEKGYFLLSEGAKIRHGVRTINITISARNPCFGNRWQQLLINSIVGYDTILTNSLVNSPGHGYLYNFQTKELYDLSYGHEPPEGPTRFGDYFVTKCGVLLMSLFVFFTTTMSVSFTLRETQSRMLRFTGCGLDCFALNILFDSPSVLFFLEIFSAASTPCTPPPANFSVDIRACHRIIGFCS >Sspon.08G0004840-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:11720787:11722213:1 gene:Sspon.08G0004840-2P transcript:Sspon.08G0004840-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPWVGQPSLSLDLNVGPPTARPAIPAKTKVLVEENFLAVKKHREVEALQAELRRVGEENKRLSEMLRAVVAKARSTTWWPPPLNRGSSTTSEGGSAASPSRKRNRSGDNSLDTAQHHHSRKPSSPSPSLAAACKPKVSRRYVHADPADLSLVKDGYQWRKYGQKVTKDNPCPRAYFRCSFAPSCPVKKKVQRSADDTTILVATYEGEHNHGQPPQHDGGRAARSTAPAPAQAAGEVVAVRPAAAPLPPPQQQKQKQEAATTGPSSEAARKNLAEHMAVTLTGIPGFKAALVGALSGRILELSPTRD >Sspon.07G0009960-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7A:29152025:29153950:-1 gene:Sspon.07G0009960-1A transcript:Sspon.07G0009960-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVKPTSITAATAAAALHGDQQVAAALLAAAGALRGDGDAVPVPGVLPPRPHHHLHRTHCPLSPLLPHPSCTPRLHAPLPPPHLTSGSARIAGVVLPPRGALPLLPPRSRSLTGSPLHATPACGAYDVFWGFAAGRFLSTSAASDEDGDSSKQAAAAASNPEVVGRVCAAIAGVVAAGADANLEAALSALSPPLCEAAVLAVLHRFKHAHRPSYRFFRWAAASGGFTHTTITYCKMLHILGKTRQFELMVAIIHEMGKAGALSMDAFKVAIKSFAAAGEIKNVVGMFELMRKNGFDDGVESFNCLLVALANEGLGREARQVFDKMHGQYSPDLRSYTALMLAWCNARNLVEAGRVWNEMLEKGMKPDVVVHNTMIEGLLRGQRRPEALKMFELMKAKGPPPNVWTYTMLIRDHCKRGKMDMAMECFEEMQEARCQPDVATYTCLLVGYGNAKRMDRVTAVLEEMTQKGCPPDARTYNALIKLLTNRKMPDDAARIYKKMIKKGLEPTIHTYNMMMKSYFLGDRNYAMGCAVWEEMHRSGICPDVNSYTVFINGHIRHGRPEEAYKYIEEMINKGMKAPQIDYNKFAADFSKAGKPDILYELAQKVKFAGKSDVSNVFFQWADRMKSRVKRTVPNQIGNRMF >Sspon.08G0007330-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:23443709:23450856:1 gene:Sspon.08G0007330-1A transcript:Sspon.08G0007330-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVSDPPKQYGITKPLSLLGPVEADLQRTADLEKFLVEAGLYESPDESARREEVLGKLDQIVKDWVKQLTSQRGYTDQMVEEANAVLFTFGSYRLGVHGPGADIDTLCVGPSYVNREEDFFIVLHGILAQTEDVTELQPVPDAHVPVMKFKFHGISIDLLYASVSLLVVPADLDISQGSVLYDVDEATVRSLNGCRVADQIIRLVPNIEVDLRNQICMFRFLNCLLPLQYLNNFRTTLRCLKYWAKRRGVYSNVTGFLGGVNWALLVARVCQLYPNAVPSMLVSRFFRVFTQWQWPNPVMLCSIEEDEVGFPVWDPRKNPRDRCHHMPIITPAYPCMNSSYNVSTSTLRVMMEQFQFGNKICQEIEMNKASWSALFEPFQFFEAYKNYLQVDIIAEDDEDLRLWKGWVESRLRQLTLKIERDTYGMLQCHPYPHEYADPSRQCAHCAFFMGLSRKEGVKIQEGQQFDIRGTVDEFRHDINLYMFWKPGMELAVSHVRRKQIPAYVFPEGYKRPRLSRHVNHQSDKNDTEDGTANRSPDSQLKRKHDSGGTDDIEPSRSAKRSSVSPVHPKNSPQSGSTGDETRCNNQIKRAPSDASGGSPASPQASERSPDTIVSAPRCTTTGAVCSGDAVSKHVPLVENCTTPTVAVCTTLKRVAEKVVSELVGSERLGSNNSSELLESMEKDVLAENVCFGGNGVTQGMNKDTSWKSSLRHGLELNPWLVASMHKIGGKNGVEMDKFYPLLDGMDRLWIVLYFGPV >Sspon.01G0017190-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:68226491:68231293:1 gene:Sspon.01G0017190-2B transcript:Sspon.01G0017190-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAWAAWLLVLMCFVAWTPRQILVTAATDANDVTALNTLFTSMNSPGQLQGWKVSGGDPCGESWQGITCSGSSVTAIKLPNLGLSGNLAYNMNTMDSLVELDMSQNNLGGGQQIPYNLPNKKLERLNLAGNQFSGAVPYSISTMPKLKYLNLNHNQLSGDITDIFSNLPSLTTRPFLQFSYWQSTTKFHIFVKPENTVFAEQSTYWFNQCTCESPLDDLNVANNRFTGWIPNELKKINSLQTDGNSWSTGPAPPPPPFTAPPQARNRRKSPGQRSNGSNNSSSGGSSGIGAGAIAGIIISVLVVGVVVAFFLIKRNQRKGAMPEHYEQRQPFNSFPSNEVKNMKPIEEATTVEVESLPSPAAVNLKPPPKIERNQSFDDDDFANKPVAKKSNAAPVKATVYSVADLQMATDSFNMDNLIGEGTFGRVYRAQFSDGKVLAVKKLNSTALPRPSSDDFYELVSNISKNGSLHDMLHLSDDYNKPLSWNSRVKIALGSARALEYLHEICSPSIIHKNFKSSNILLDTELNPHISDAGHSSFVPDAEFQASDQGSGYSAPEVDMSGQYTLKSDVYSFGVVMLELLTGRKPFDSSRPRSEQSLVRWATPQLHDIDALDQMVDPALKGLYPPNPSPVLPMLLLCVSRYELQSPHTTQLPEPEFRPPMSEVVQALVRLVQRANMTRRMIDGEEASRRPDGQEQEFV >Sspon.07G0007590-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:17948868:17951490:1 gene:Sspon.07G0007590-2B transcript:Sspon.07G0007590-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQTSLPPGFRFHPTDVELVSYYLKRKIMGKKLIVDAISEVDLYKFPPWDLPDKSSLRSKDLEWFFFCPRDKKYPNGSRTNRATPNGYWKTSGKDRIITLNSRTVGMKKTLIFHEGKAPKGDRTDWVMYEYKMEDEDLVCAGFSKDAYVLCKIFKKSGLGPRIGEQYGAPFNEAEWDNAEAESSMFPLMTSSEVVNPTEGPRAQPAAPAGALQEPPLHNSSATCAGEESSFDHATANTCAEDVTFGYTVASSAIQDIPAQMSGDGVVSVNNISNEANDMYSPRDCDGFLLEELSRFLNDSPVRNTPFGECSGLPPMPEAEAHAFEVNSFGLYNELSGLVGFGGVDNNFNTSNVETTDYVVLPPDRELFADDYMELTDLLAPDPSFPSEFPALDNQYMQYPLAQYNGHYDVPTLSVLCCYYNTLSTLDVGDVIDVIPGPMKSSAALLNQAGQGS >Sspon.01G0028650-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:80422316:80426633:1 gene:Sspon.01G0028650-3D transcript:Sspon.01G0028650-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding protein 1 [Source:Projected from Arabidopsis thaliana (AT1G58470) UniProtKB/Swiss-Prot;Acc:Q9C652] MAAAAGPAAAAGEEEAGESRKLFVGGIPAGAQEPELRAHFARFGEVRSVIVMRDRETGHGRGFGFVEFEDEAAAAAALGDGDRPRHFLCGRMVDVKRARTRAPRNQGEQHSQPQQAEQGRGQGNQDNQSPAGNGTADSGNNVSYDSKKVFIGGLRDNITEEEFRAYFETFGTVTDVVVIYDSATSRSRGFGFVTFDSEEAVGKVMRQSFHNLNGTKVEAKIAIPKDEAYYRNRGRGTRPFGGRGPAGYEGSMYQPYNARYGPYNGYMPQPVPAQPYFPAPYFAVGAYPYGSGYPSQGVMTNVPGMMSRRVPPAYGTYPQMYPGFNFLYRASYGGAATSFQHGINGGSDNKKDQTNVDMQQVDSTASVATMTITSTMMTVEYNNCLGTCNCEDLS >Sspon.03G0010480-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:28624403:28627930:-1 gene:Sspon.03G0010480-1A transcript:Sspon.03G0010480-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLRAKGLGLLLLLVLLALCSTIEVSEARRGKHWRSSRSSPGSSQLKKGKGKKSSSRRQHGSNRPSPKPPVSSTPSSGAGKGNQSPYQPSPNAPDIPRPSPDNGSRHSTPKPPTPSCGKGHQQPSQPPPATSQGAVFNVVDFGAKGDGVTDDTKAFEGAWAAACNQGASTVRVPPELEFLVGPISFSGPYCKPNIVFQLEGTILAPTSAKSWGSGLLQWLEFTKLSGIVIQGSGIINGRGQQWWTYSDPEDEDDDDTVRAGHQYNVEFERMPQIKPTALRFYGSFNVVVAGITIVNSSQCHLKFDNCQGVMVHDVTISSPENSLNTDGIHLQNSKDVSIHHTNLACGDDCISIQTGCSNINIHNVNCGPGHGISIGGLGRDNTKACVSNVTVRDVNMFRTMNGVRIKTWQGGVGLVQDIRFSNIQVSEVQTPIIIDQFYCDRSTCRNQTSAVAVSGVQYENIRGTFTIKPAILHAVTAYLVQESLLP >Sspon.01G0020020-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1A:74408224:74408697:1 gene:Sspon.01G0020020-1A transcript:Sspon.01G0020020-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTKLAFLAVAVLLLQASWCAVARARHHHDPDPCGNPDPAHHCSSLAVSSPNGGTPAVMTVTSFEGDGNGGGPAACDGSYHNNGDPIAALSTGWYAGGSRCQKPIRITSTQTGRTVVAEVVDECSSDNMVSTSQAVWDALGLNTYIGEVPVTWSDA >Sspon.08G0008710-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:32233119:32237551:1 gene:Sspon.08G0008710-2B transcript:Sspon.08G0008710-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGGGTGAGGGGGAGLGLDLSAVIQVAVVGLVLFSAAVVAVRRAASRYFVVDAAGFAASYDDHHHSAPHYPMGNPQEQGTAAADLTGPCAACGGVASKKCSRCKRVRYCSQDCQTKHWQIEHKFKCKQMKSLDPADKLSCGGEANSKKSSGFGRISLVPACKKISKGQVLFPYDEFLKLYNWKDLDVVPCGLMNCGNSCFANVVLQCLSYTRPLVAYLLGKDHSRECSMRHEDWCFLCELQCHIHRASGSLHPFAPTNILSHLPNIGGNLGFGRQEDAHEFMRFAIDKMQSACLDEYGGEKAVDLSTQETTIIQHIFGGRLQSQVQCTACGMVSNRYDNMMDLTVEIQGDAESLEKCLDQFTAIEWLDGDNKYKCDGCNDYVKARKHLSVHQAPNILTITLKRFQSGRFGKLNKRVTFPMELDLTPYTSCNDGSDLYDLYAVVVHLDMLNASFFGHYICYIKGYQGRWYKIDDC >Sspon.05G0028690-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:66888358:66891068:1 gene:Sspon.05G0028690-1P transcript:Sspon.05G0028690-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAVRCSCTALLPVLLCFIVCQLCYGTVTDIQCLKKLKASVDPDNKLEWTFNNNTEGSICGFNGVECWHPNENRVLSLHLGSFGLKGQFPDGLENCSSMTSLDLSSNNLSGPIPADISKRLPFITNLDLSYNSFLGEIPEALANCSYLNAISLQHNKLTGTIPGQLAALSRLAQFNVADNQLSGQIPSSLSKFPASNFANQDLCGRPLSNDCTANSSSRTGVIVGSAVAGAVITLIIVAVILFIVLRKMPAKKKLKDVEENKWAKTIKGAKGAKVSMFEKSVSKMKLNDLMKATDDFTKDNIIGTGRSGTMYRATLPDGSFLAIKRLQDTQHSEDQFTSEMSTLGSVRQRNLVPLLGYCIAKNERLLVYKYMPKGSLYDNLHQQNSDKKAMEWSLRLKIAIGSARGLAWLHHSCNPRILHRNISSKCILLDDDYEPKISDFGLARLMNPIDTHLSTFVNGEFGDFGYVAPEYTRTLVATPKGDVYSFGVVLLELVTREEPTHVSNAPENFKGSLVDWITYLSNNSILQDAVDKSLIGKGNDAELLQCMKVACSCVLSSPKERPTMFEVYQLLRAVGEKYHFSAADDELTMQPQNANPDDELIVAN >Sspon.04G0016920-4P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:14384618:14387340:1 gene:Sspon.04G0016920-4P transcript:Sspon.04G0016920-4P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding YCAIFQHSALLDLDNDTAFFGVFDGHGGKVVAKFCAKYLHREVLHSEAYAAGDLGAAVHRAYFRMDEMMRGQRGWRELQALGDKINQFTGIIEGLIWSPKASDSNDRHDDWAFEEIELCDDDEFIVLACDGIWDCMSSQQLVDFIREHINTEESLSAVCERVLDRCLAPSTMGGEGCDNMTMILVQFKKPVAQVKDATGAEQSAGDAGCSETYGAEEKQVPPLPLKRILTPA >Sspon.03G0019730-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:84104369:84106305:1 gene:Sspon.03G0019730-2B transcript:Sspon.03G0019730-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPKRLHNGYEQDGDQPDDKRMRRLPSFSTVIREAMMQKHMHSLLRCLEPLLRRVVQEELQAGLMQSPRYIERLPETPAAERAAWKLAFRTPPQLPIFTGSKIEDEAGNPLEVILVDADTGSPAALPQAALRVEVVPVFGDFPPDGREDWSADEFQRNVVKEREGKRPLLTGDVSLTMRDGRATVGELQFTDNSSWVRCRKFRIAVRAVPGSCDGARIQEAMTEAFMVRDHRGELYRKHYPPVLADDVWRLEKIGKEGAFHRKLRRNNVGTVQEFVRMLMVKPDELRVILGDGMTDRMWEATTNHAKTCAPDDKVYAHATPHGTIYVDSIFNIVRVDLGGGVQWPLPVQQLNRGQTVSGVAHHLRTEGQSSMGFACPDMCTLFRLRQMMVQQLLLDAYEHRQSLQEADAFMLHGHAANNVPLLQNAAAHVAVPAPADTPLWFPNAAEMDFPVDDVVVPIPQANNSFAYQWPGQAFHMPG >Sspon.01G0016440-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:59800918:59801794:-1 gene:Sspon.01G0016440-2B transcript:Sspon.01G0016440-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKWGLAAAMASAAAVAAASGAELLACDCDASAPVAAPAATVGRCDGLVLSRQHHDDEVHEESSSVSRENSHSGGRRGDRFAPRFDGLRFIETLVTAHR >Sspon.01G0063300-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1D:113287400:113287592:-1 gene:Sspon.01G0063300-1D transcript:Sspon.01G0063300-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRSNTSHRPLARLACTYCLLAAACYLLTRSPRRAIKAAACCLLSRSSRRVIKAAQPSMKEKEE >Sspon.05G0006980-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:21767864:21768525:1 gene:Sspon.05G0006980-1A transcript:Sspon.05G0006980-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PsbQ-like protein 3, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G01918) UniProtKB/Swiss-Prot;Acc:Q2V4B2] MALRLAVRALSASLLSRAEATSPKAPSNSKQRSQRPRAAATTSRRRLATAAGAAVLASQLLPPVASSGAGTFDLRLTLPEKSSEEAEAVVRTHARNLLGVKRFIDAGAWRELQAALRASASNLKQDLYAIIQARPTGQRPELRRLYSDLFNSVTSLDYAARDKDELQVQEHYGNIVSALDEIFAKIM >Sspon.08G0006000-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:19019523:19024607:1 gene:Sspon.08G0006000-1A transcript:Sspon.08G0006000-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFNLFFALIFQLSRTTWCSPSISLWTLDSELVRLPLQQFLPAQGISVSIAKLPSAFIMVIQISKTKSISDCKKDEK >Sspon.05G0002870-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:8530990:8531676:1 gene:Sspon.05G0002870-1A transcript:Sspon.05G0002870-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEKKGLVLLDFWVSPFGQRCRIALAEKGIPYEYSEQELLGAKSDLLLRSNPVHKKIPVLLHDGRPVCESLVILNYLEEAFPESPPSLLPAGAGADAAYARAQARFWAAYSDKVYEVGTRLWKLKGEPQAQARAEIVQVLRNLDGELGEKAFFGGEAFGFVDVALVPFVPWLPSYERYGEFSVQEIAPGLAAWARRCAQRESVAKSLNPPEKVDEFITLLKKTYGIE >Sspon.01G0029940-1P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1A:104455751:104461982:-1 gene:Sspon.01G0029940-1P transcript:Sspon.01G0029940-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKAVATATVVLAVNGKRYEAAGVDPSTTLLEFLRTQTPVRGPKLGCGEGGCGACVVLVSKYDPTTDGVTEFSASSCLTLLHSVDRCSVTTSEGIGNTKDGYHPVQQRLSGFHASQCGFCTPGMCMSIFSALVKADKAADRPAPPAGFSKLTSSEAEKAVSGNLCRCTGYRPIVDACKSFAADVDLEDLGLNCFWKKGDEPADVSKLPGYNSGAVCTFPEFLKSEIKSSIKQVNSAPVPVSEDGWYRPKSIDELYRLLQSDSFDENSVKIVASNTGSGVYKDQDLHDKYIDIKEIPELSVINRSSKGVELGSVVSISKAIEVLSDGNVVFKKIADHLNKVASPFVRNTATIGGNVIMAQRLQFPSDIVTVLLAAGSTVTIQVASKRLCLALEEFLQQPPCDSRTLLLSIFIPNWSSDGIIFETFRAAPRPLGNAVSYVNSAFLARTSVDAGSRDHLIEDICLAFGAYGADHAIRARKVEDYLKGQTVSSSVILEAVRLLKGTVKPSESTTHPEYRISLAVSFLFTFLSSLANSLNESAKVNGPNGSYSNGATNGAIEHSPEKQLKFDSNDLPIRSRQEMVLTDEYKPVGKPIKKAGAEIQASGEAVYVDDIPAPKDCLYGAFIYSTHPHAHVKAINFKSSLASQKVITVITAKDIPSGGQNVGSSFPGLGDEALFADPVAEFAGQNIGVVIAETQKYAYMAAKQAVIEYSTENLEPPILTIEDAIQRNSYFQTPPFLAPKPVGDYNQGMSEADHKILSAEVKLESQYYFYMETQVALAIPDEDNCITIYSSTQIPEVTQNVVAKCLGIPFHNVRLITRRVGGGFGGKAMKAIHVACACAVAAFKLRRPVRMYLDRKTDMIMAGGRHPMKVKYSVGFKSDGKITALHIDLGINAGISPDVSPVMPPAIIGALKKYNWGNLAFDTKVCKTNVSSKSAMRGPGDVQGSFIAEAIIEHVASALSVDTNTIRRKNLHDFESLVVFFGDTAGEASTYSLVTMFDKLASSPEYQRRAEMVEHFNRSNKWKKRGISCVPITYEVRLRPTPGKVSIMNDGSIAVEVGGVEIGQGLWTKVKQMTAFGLGELCPDGGESLLDKVRVIQADTLSMIQGGFTGGSTTSETSCEAVRQSCVALVERLKPIKENLEAKAGTVEWSALIAQASMVSVNLSAHAYWTPDPTFKSYLNYGAGISEVEIDVLTGATTILRSDLVYDCGQSLNPAVDLGQVEGAFIQGVGFFTNEEYTTNSDGLVIHDGTWTYKIPTVDTIPKQLNVELINSARDQKRVLSSKASGEPPLLLASSVHCAMREAIRAARKEFSVCTGPANSANTFQMDVPATMPVVKELCGLDVVERYLESMSAATAKA >Sspon.03G0002490-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:21575585:21576570:-1 gene:Sspon.03G0002490-3C transcript:Sspon.03G0002490-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAEAWRPVMLAPTAPCFWQQDTHSVFWRRGDAWTAEENKQFEKALAQIDRNAPDRWEKVAEMLPRKTADDVRNHYHDLENDVGFIEAGLVPFPHYSSSVPSSGFTLEDWDGGDGAFRRGYCLKRARGSDQERKKGVPWTEEEHRLFLMGLKQYGRGDWRNISRKYVTTRTPTQVASHAQKYFIRLNSGGKDKRRSSIHDITTVNLPDEDRGNAPPSPVTTTAATNPSVAQLVDVKPFMAPLPAGALIGVSHPYTNVKLEPKSSLVAGLGLGIDDSVFLQMHCGQL >Sspon.04G0011320-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:33924342:33925295:-1 gene:Sspon.04G0011320-2C transcript:Sspon.04G0011320-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MERLRRRPEPAAIDITWVSCRGVRSSLPFHTPCLYASVSVTPSSAKNAHGNRRPHRVKTPTDRAGGENPEWDAPLRLYLPEPEASPPPAERELEAGKNRKDRGDDDVLLVRFELKAEVAVLGDVLAASAAVPVPDLVADGRTRRVSYQLAGPDSRQPNGVISFSYTFHQQRNDGHHQSGDAELVVAPPCPTPTVSIPVAQPPPTAAAPRLYPAIEWPLTEEIPIYHTLLPAGAAAVTLANSRYYPPPPPSATPVAVYPPAPETSSYSLYPSVLPASAMYPPVDLAPVSCYPPASPTPYGVERGYGAAPRWDHRCLYG >Sspon.02G0015610-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:42562956:42565131:1 gene:Sspon.02G0015610-1A transcript:Sspon.02G0015610-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MELGGFKMFSIVSSWNKRRRSRSLDQLNPWVYKPAELWQAKEHHPPPKKRSCTMVFTLKEMEEATNMFSDRNLVGKGGFGRVYRGVLKDGQVRMDWPLRLRIALGAARALAYLHSSTAVGVPVVHRDFKSSNILLTEHYEAKISDFGLAKLLQQDQDLHTTTRVLGTFGYFDPEYALTGKLTLQSDVYAFGVVLLELLTGRRAIDLSQGPQEQNLIVGIHQVVGDRKKLRKVVDRDMPKGSYTVESVSMFAALAARCVCFDSAGRPAMQDCVKELQFIMYANMKI >Sspon.07G0003150-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:7251434:7252550:-1 gene:Sspon.07G0003150-2B transcript:Sspon.07G0003150-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein At4g29660 [Source:Projected from Arabidopsis thaliana (AT4G29660) UniProtKB/Swiss-Prot;Acc:Q94K18] MSSRFWRWYADRQFHKWEKTVLWDMVEPYRPPRSFVPLVGTYVAAFYTGVVGAAVTEQLYKEKYWEEHPGEAVPIMPPKFYWGPWRVMNGEVPRFIEPPDEAKTA >Sspon.02G0038850-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2B:45809577:45810112:-1 gene:Sspon.02G0038850-1B transcript:Sspon.02G0038850-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RKGRCRHGDSPSSSRQRSTPGEGTTVGKVVAVVCTGRATALPDAGALPLPSPEGGEGEGVAVSAALAKGWGRGRKGTPIGPPPVWMTRSTPPAGMMRSTPLVGRSRERRVYWISHRLHESNPLESSTATARGRGRHRQIHCRLRAWQPQIMWPRSFGGRHDGEVADTELETCVWARG >Sspon.05G0012710-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5B:33718426:33720139:-1 gene:Sspon.05G0012710-2B transcript:Sspon.05G0012710-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RVQAKLQNWWVPLGLFRGASGGIIPVLWYVLAPRCHVPLHVSASAVPVAARAARRTAGAYETSRPAHTLSPAALHRCAVCFSSFLLDVAGNTTKMVMGSRRLAGAALQVALTALLPLVLPAYYVYKVTTYLLGAVFPENVAGKVVLITGASSGIGEHLAYEYAKRGAYLALVARREASLREVGDVALGLGSPGVLVLPADVSKPQDCQSFIDDTIRYFGRMDHLVNNASIWQVCKFEEIEDVKRFRALMDINFWGHVYPTRLAIPHLKRSHGRIVGVTSNSSYIFIGRNTFYNASKAATLSFYDTLRMELGGDIRITEVVPGVVESEITKGKMLTKEGQMKVDQDERDAILGPTPAEPVGDFARTVVRGARYVFEPRWYMGVYLLRACLPEVLAWNSRLLTIDRGGASTTDTLGKWLLELPGVRH >Sspon.02G0002780-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:9512422:9513897:-1 gene:Sspon.02G0002780-1A transcript:Sspon.02G0002780-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding EAPSSSTAVCGDGGEPTPSSTSTDDGAAALPEHVRREILELGLPDDGYDYLAHLRELRPSLSSTGGGGSSAVFLPSRRPARFGPRVDVQAYDFTCTRLGSGEVEVVAARRTEETIDLDVARLLDGSDSPAVESGDEDFVTLANEPDEEQENEEENVAGGEEKALQLPHEHFDQLALEDADSGDDCHVRDAKHEVSQEVTNELKSTHSENFDAEKKCRAPAPQYVAHGILESKEQIDVSSNAISKCVGFAEIYEVSGEEQVTLAPESSEGSAAMYSTTTVSGSYLDVKKKLLKLSHGETSMKKAIIRKGIEKLPAGYLPQRNTSSDETLKPGPYKEPKDEGTES >Sspon.06G0007130-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6B:23466478:23467992:1 gene:Sspon.06G0007130-2B transcript:Sspon.06G0007130-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin-like protein CITRX, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G06730) UniProtKB/Swiss-Prot;Acc:Q9M7X9] MAPAGTFLSACAAGSLRRAPSLIPGRLLLPVPLSRARWDRSHGFSIVGVGASTRRAPTLRRNASAETVVPYVPGSGKYIAPDYLVRKVSAEEVQELVRGHRKVPLIVDFYATWCGPCVQMAQDIEMLAVEYEDNALFVKVDTDDEYEFAKDMQVRGLPTLYFFSPDQNKDAIRTEGLIPMDMIRNIIDNEL >Sspon.04G0010190-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:30545967:30559041:1 gene:Sspon.04G0010190-1A transcript:Sspon.04G0010190-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CNX2 [Source:Projected from Arabidopsis thaliana (AT2G31955) UniProtKB/TrEMBL;Acc:A0A178W0P6] MMRCCVSELARRRRPDRTVDTMKAGFQCLVNVSSSIRATDSQRCSSTCATSCATDPDVLSRETSSSSEMLVDSFGRFHDYLRISLTERCNLRCQYCMPAEGVELTPKSELLSHDEIIRIADLFVTSGVNKIRLTGGEPTIRKDIEDICLHLSGLKGLKTLAMTTNGIVLSKKLPKLKECGLNALNISLDTLVPAKFEFMTRRKGHSKVLESIDAAVELGYSPVKVNCVIMRGINDDEICNFVELTRHKPINVRFIEFMPFDGNVWNVKKLVPYAEMLDKVRQSYKGVERLQDHPTDTAKNFRIDGHVGTISFITSMTEHFCAGCNRLRLLADGNFKVCLFGPSEVSLREPIRSGVDDAGLKEIIGAAVKRKKAKHAGMFDIAKTANRPMIHIGG >Sspon.08G0016920-3D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8D:62368861:62371057:-1 gene:Sspon.08G0016920-3D transcript:Sspon.08G0016920-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxisomal biogenesis factor 11 family protein [Source: Projected from Oryza sativa (Os06g0127000)] MCQPATTKAAPHHGCDLGGTAHAVNPWRRCCWCLRRLLLPRSSSLAAAWILQQRAKFGGAGEIASHGIELTLYVPCNRAETRDKICRAIQYGSKFLSNGEPGPAQNVDKSTSLARKVFRLFKFVNDLHALISPPAKGTPLPLILLGKSKNAMLSTFLFLDQIVWAGRTGIYKNKERAEFLGRIAFYCFLGSNTCTTIIELAELQRLSASMKKLEKELKHQELYKNEQYRMKLQKSNERLLALIKSSLDIVVAVGLLQLAPKKVTPRVTGAFGFASSLIACYQPTSEEQVRWPGVRGGGGGCIRRDWFGASPIDGW >Sspon.08G0010350-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:45376013:45377407:1 gene:Sspon.08G0010350-1A transcript:Sspon.08G0010350-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATAYSVALLGGARLPAAPRSALLPRRSVCQLRLQDAPRLSLLRAKAASEDTSASGDELIEDLKAKWDAVEDKPTVLLYGGGAIVALWLTSVVVGAINAVPLLPKILELVGLGYTGWFVYRYLLFKESRKELAADIETLKKKIAGTE >Sspon.07G0017130-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:85782812:85787321:1 gene:Sspon.07G0017130-1P transcript:Sspon.07G0017130-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQFPWSFELWKMPRRTGNAALTKSVELIKQDQLEFDDPDEVDEEEEVEYEEIEEVEYEEVEEDDDNEEEGEEDEDEEDEEEEEEDEEIEGVREVDANHDSKMVVDDLKDENEKGKHTELLALPPHGAEVYVGGLSSDVSSEDLKRLFESVGEVVEVRMRGKGDNKTYAFINFRTKEMALKAIRKLCNKDLKGKKIKVSSSQAKNRLFIGNVPCDWTPDDFKTAVEEVGPGVLQVDLMKAPGSGRNKGYGFIEYYNQACAEYAKQKMSTPEFKLDTNAPTVSWADPKNANEATSTAQVKSLYVKNLPKTVTQEQLKKLFEHVGEITKVVIPPAKSGHENRYGFVHFKERSMAMKALKNTERYELDGQLLDCSLAKPPADKKDDTLSAPTAKGGPLLPSYAPLGYGLVGAYNPLGNGLAGAYNPLGNGLAGAYGVLSARAAQPMLYAPGAPPGSTMIPMVLPDGRLVYVPQTAGQQPVHVTSPLPQQGGRRFGGSGSSSGGGGSSSGGKRQRGDDRGSNSNNSRRGRHRPY >Sspon.01G0038800-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:31821900:31822772:1 gene:Sspon.01G0038800-1B transcript:Sspon.01G0038800-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding PPPALNGRVENPSPARPIRPTPLHSFPFDTYTSSDPAHVRRSSRTCPKMATPNGLARIETNGKKKHDNAVCHDDSSAPLHSLQRKRSAPTTPIKDGAASPFAAALSEEERHRQQLQSIRVQSSLPPTATGHPTDIIARPAYFDNRHCRVGPPDILLA >Sspon.05G0015230-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:58533651:58534280:1 gene:Sspon.05G0015230-1A transcript:Sspon.05G0015230-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLPRRRHLVLLACLVLLLVVAADSAAAVSPGVAVDFVRRSCRSTEYPRVCESTLVPCAAGVGRSPRRLARAALVVGADRARNCSAYIHGADSGSGSGSGAMKDCAELARDAEERLRQSAAEMERMGRAGTPRFAWSLSNVQTWASAALTDTSTCLDSLAQHQARGGNGKDSDDAVRVKRRVVAVAQATSNALALVNRLQPAPHRQRLL >Sspon.04G0006840-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:15738084:15738446:-1 gene:Sspon.04G0006840-1P transcript:Sspon.04G0006840-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKALFLVALINLVLFAVANACGGGCPTPTPPTPTPPSPSTGGKCPKHALKLAACANVLGLVSAEVGHPPAEPCCSILGGLADLEAAVCLCTAIKANVLGITVDIPVKLSLIVNYCGKNLP >Sspon.03G0029900-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:25661556:25665495:-1 gene:Sspon.03G0029900-2C transcript:Sspon.03G0029900-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANSYPSQVKSTMDDPELNAPPKNDPEEEPKQATNRILKRTSSFAQGTIATATGFLTAAFSVRKDALLHRHILVAGGCFLVIAYLSALLLVYLKLFLSGHRQLHKGHIRFIQFLCIIGGAALVATNSLLLLLISEDSILLSLNLLPIQGLIGILAYHATPTEDSMRDEAFEAGIKSGRKVALFATATAFAVQTTLLFGYLNNSSFRALGHRFDLSVSFLAAALSVFLVVATCMPLGYRTSAARDKVLSLVRYLKDAVIALLAVTALTIAKEFLGGDTVLALFPEITVAAMYYAVNLFTDDTAEQGQREIAENKMEILPIAVVATFGFGMLGAAYAALLGTPEYDMYTKALVFTLLAAVVSSLGRVAGPLCSPRRDKNAAACVVFLSNILPIVEMLVAVPLAAKVASNALPTS >Sspon.01G0004790-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1A:13047184:13049150:-1 gene:Sspon.01G0004790-1A transcript:Sspon.01G0004790-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEEDEDQPPPKRPTSASPPADQVLDNVLETVLQFLDAPRDRSAASLVCRSWHRAESATRESVAVRNLLAASAARTARRFPNARSLLLKGRPRFADFNLLPHGWDASAFRPWAAAVAAGAFPALASLYLKRIPVTDADLDLLSRSLPASFRDLTLHLCDGFTSRGLASIASHCRLRLELFSRHDRGLRVLDVVECDMAEEQEGVVDWVAAFPPEPTNLESLSFECYEPPVAFAALEALVARSPFLSRLGVNLHVSLGQLRRLMALAPSLSHLGTGSFRPAEGGEEGTGFGEVVNAFVSAGRARTLVSLSGFRDLAQEYLPTIAVVCAHLKSLDLSYAAVTPNQILMFIGQCYNLETLWVLDSVRDEGLESVGISCKKLQSLRVLPLNAHEDADELVSEVGLTAISRGCPALRSILYFCQTMTNAAVVAMSRNCPELKVFRLCIMGRHQPDHETGEPMDEGFGAIVQNCNKLTRLSTSGQLTDQAFEYIGRYGKSLRTLSVAFAGNSDVALQYILQGCSKLEKLEIRDCPFGDAGLLSGMHHFYNMRFVWMSGCNLTLQGCKEVAQGLPRMVVELINGQADENERNESVDILYMYRSLDGPREDVPPFVKIL >Sspon.02G0045360-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2B:109204270:109206440:-1 gene:Sspon.02G0045360-1B transcript:Sspon.02G0045360-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTAEAATSTAGPGGSERRTKARAALRSPRHLIHPPSSPPSSPGPARSQIKGTPRARAGTRHGAASPRPPVVLVCTRTRHPRSPASLSAPVASARAPPALAPWRRLPLRRRRRRWHGTTSSSGPAPRPRPRPPRRRPAASSAAWVGRPGTGRPGGRGGGGGAAPASGRRARGWTWTWPAAPSR >Sspon.02G0022280-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2B:72470874:72471623:-1 gene:Sspon.02G0022280-2B transcript:Sspon.02G0022280-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRRKIEIKPIENENSRQVCFSKRRQGLFKKASEISILCGAMVGSVVFSSFGKSFSFGHPSIDDVVNRFLNSVTPDGPASSGANHDNSLAVTGTVQGLNMEYLELQQSLDSQKKKKERLQEATKKEMGERMMQWLNANILELSLDELQEFQKLLEAIDGVVKEKENNIVVEARETEGSATQPPMEIASALQYQFGEHISANSMAFTAPSSSNGFIDGFEVNDPLLWWSPRCLWPGEFPLQPESWLISTN >Sspon.01G0018730-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:75361996:75364193:1 gene:Sspon.01G0018730-2B transcript:Sspon.01G0018730-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHDKSLSALRAARLGSAMAAKTWQLMVVIVMIVGMGVEPCGAVEFAYDGFGGAGGLHLDGMATLTNDTSMPKGHAFHPEPVTFRRPASAMSSFSTTFVFAIVSEFLDLSTSGFAFLVAPSTDLSTAMPNQYLGMFNGTDNGDARDRVFAVELDTVRNPEFADIDNNHVGVDVNSLNSSAAAPAGYYDAATGAFRNLSLISREPMQVWVDYDAGTTEITRPLLSTKVDLSTVITDSAYVGFSSASSIVLVKHYVLGWSFALDGAAPALDYDKLPTLPRIGPKPRSKALTIALPIATTVSVLAAVAVAFFLLRRRLRYAELREDWEVEFGPHRFAFKDLYAATGGFKDSCLLGAGGFGRVYRGVLPGSGTEVAVKKVSHGSRQGMKEFVAEVVSIGHLQHRNLVRLLGYCRRKGELLLVYECMPNGSLDKHIHGRAGKPVLDWAQRLHVIRGVAAGLLYMHEDWKQVVIHRDIKASNVLLDGEMNGRLGDFGLARLYDHGSDPHTTRVVGTMGYLAPEVVRTGKATTLSDVFAFGVFLLEVACGRRPIEEDAATVSCSWNGFGALRNGSITGAVDARLGSGYDATEADLVLRLGLACLHPSPAARPTMRQVAQYLDGSAHLPELPAMYTSSFNVFAGMERHQPLFGSWSRATMSDIGLSGGR >Sspon.05G0011930-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5A:34899741:34901350:1 gene:Sspon.05G0011930-1A transcript:Sspon.05G0011930-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATDVAASARRSGAAHGKTSAPPAAGSRPSGAAKKKVALALLVFFTALLYAQIQPPPSKIPGTPGGPPVTAPRTRLKDGRHLAYLESGVPKENAKYKVIFVHAFDCCRYDVLNVSQGLLEELGIYLLSFDRPGYAESDPHPARTEKSIALDIAELADNLQLGPKFHLIGFSMGGQIMWSCLNYIPHRVLQLFPRSRLAGVAILAPAGNFWWSGFPPDVVKEAWRVQFPQDQRAFWVAHHLPWLTHWWNTQKLFRGFSVKDGDPAILSKEDRLLKQVRQQGEHDSLHRDMMVGFGKWDWSPLEMENPFAGAEGEVKVHLWHGVEDLYVPVQLSRYISKRHPWVIYHELPTAGHLFPVADGMPDAI >Sspon.02G0015940-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:43820822:43830687:1 gene:Sspon.02G0015940-1A transcript:Sspon.02G0015940-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:THO complex subunit 6 [Source:Projected from Arabidopsis thaliana (AT2G19430) UniProtKB/Swiss-Prot;Acc:Q8L4M1] MATAAAAAQSHPASMDARGWDEASYRSGILRARDLSSRTLFRAVFFDNSDDPDPDVLLAAASSDGSLSSFSLSSCISAGSAASQVETAAALVDPVCIVQAHSGPAYDVRFYPDPQQPLLFSCGDDGRIRGWRWHEMQSCLVPLSLQGDHLEPALDLVNPQHEGPWGARSPIPENNAIAINKQDGSILAAAGDACAYCWDLETGKCKIKFKGHADYLHSVAVRESNHQVVTGSEDGTARIWDCRSGKCTQVIHPVKSKAFDSSWVSCVAIDASESWL >Sspon.03G0027380-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3B:5728974:5729486:-1 gene:Sspon.03G0027380-1B transcript:Sspon.03G0027380-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVRFQKRHEKGAGSFDPLCLEDFDWNNEWVDMDAEPVHNGRGLEITWDQVDEAVGASQMYRGRNFPRAARGDATNIDRTYTRRRSAAAAAPIRRTDEPQEDEDMEDDNSDEEYLVDDVEVDDYGEIAPIVADESDQAAAAGGNSDPFVMEDDLMMLESCESICARLFMH >Sspon.01G0006440-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:16983565:16987661:-1 gene:Sspon.01G0006440-1A transcript:Sspon.01G0006440-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFIAKKQTRQWAAWTHQEEENFFNALRQVGKNFDKITHRVQSKNKDQVRHYYYRLVRRMKKLLGPRFSLDAKNSKDTIAAMLRWWSLLEKFSCSASKLHLKPRRFKTFVEALGKQLLKDSKSRRKRSRVDMCLPSPSPIVSKAPGNETPVKLLSVYAQNGSRVASPKGTIFKRVAEPISSKSGATKGDLSATRTVKQKRKAAEELERNTVNPGMLCNVDARTLTSSSDKLSTVDGISTNHMKEADSQTPVKLKLQLFPINEATRKALERDDHNPHLELTLSSRKKISSVLEHLNRKWGNSNIASGELILFPYCANQEDLATYQRWTTRDTVVVADVFLSVNSPSVFRLRYGWFSLVELGAGVSEISLTHFEDCMIPEVIQVKSPSGDKACVQKDGKNSELPDQPANVPPSQFGSQKQVQVPVTQASEDDQGMNCVAISEVEWADTLTDISVGHLLTEASKGAHLDCVGNSVKNPLFLENPCSYDSFDAAVALHASRYQATEQPAHTPHSTIWGAEETCDEFSFNLSASRKQEGSNTPSSSPDTDNEAHPSNSEGFQGFLQDLTGGEADGDNPYNDDAKDEEDFYAKSPPRNDGTNELKDQSLADIYWPDSLGPLDLDIPSVRYQADDILIVDSQNSWSRLMANSLDAFRNLSFFSDKNDSIPSIM >Sspon.01G0046780-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:100300666:100302312:-1 gene:Sspon.01G0046780-1B transcript:Sspon.01G0046780-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCLVKAEIDRRDQTTVRQWWCLVTGEEEERGRAGALGEMGWRELVEEVRETASRPSLPPRPLPRSRSHATHAPAPRAPACQPERSALYRARRVELVHRPRWAASDLRSEALRRDGFASTSTPWLRLKVVPCPALSLSPARHSGASPPAHHRHLRLSNWPPVIHHHPSSRAHHSVSISNTPCSPWIHRLSKVGAVVAVLPPSVMASQRATSPWEGFLHCSSLFAHGLDKFAFSLRTRCAPPRVRSWPATADGRLPHGRDATVTGVTPSPSSATQTNGSAP >Sspon.05G0019470-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:66839393:66839650:-1 gene:Sspon.05G0019470-1P transcript:Sspon.05G0019470-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GARGERAAAEDGAGQVPGEPAHHAGERVDAEEGAAAGPGEQGPPRRAQAAPGRRRPFRLRRLRLCWFHRRRHQPQGEGGRQAAAAQ >Sspon.03G0024350-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:97675895:97676245:1 gene:Sspon.03G0024350-1T transcript:Sspon.03G0024350-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLEIISGRRNSKKIKTGKFTYFPSYIAVKLNEGDDVTCLLDSNMEGNADAEQKERTCRVACWCLQDSEDHRPMMGQVVHLLEGVMVVDVQVPPVPRSLQNYVGVEDSSSADMDSF >Sspon.08G0015400-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:56817689:56830991:1 gene:Sspon.08G0015400-2B transcript:Sspon.08G0015400-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPVEPVLGECLHLGLSGTGKVAMVKSFEVSELPVRSAKFISRKQWVVAGADDMFIRVYNYNTMDKVKVFEAHTDYIRCVAVHPTLPYVLSSSDDMLIKLWDWDKGWMCTQIFEGHSHYVMQVTFNPKDINTFASASLDRTTKIWSLGSPDPNFTLDGHQKGVNCVDYFTGGDRPYLITGSDDSTAKVWDYQTKSCVQTLEGHTHNISAVCFHPELPIIITGSEDGTVRIWHSTTYRLENTLNYGLERVWAVGYMKGSRRMVIGYDEGTIMIKMGREVPIASMDTSGKIIWAKHNEIQTVNIKTVGAGFEATDGERLPLAVKELGSCDLYPQSLKHNPNGRFVVVCGDGELIRRIDVNVKNVYWADSGDLVAIASDTSFYILKYNRDIVASYLEGGKPVDEEGVEDAFELLHEVNERVRTGIWVGDCFIYNNSSSRLNYCVGGEVTTMYHLDRPMYLLGYLANQSRVYLIDKEFNVIGYTLLLSLIEYKTLVMRGDLEHANEILSSIPKAQYNRFCCSFLESRGMLEEALEIATDADYKFDLAVQLGKLEVAKAIAIEAQSQSKWKQLGELAMSTGKLVNDSLLAWVCHNSTLQLELAEECLLQAKDLSGLLLLYSSLGYAEGIEKLASLAKEHGKNNVAFLCLFMLGKVEDCIQLLVDSNRIPEAALMARSYLPSKVPEIVAIWRNDLSKINPKAAESLADPSEYPNLFEDWQVALTVEKSIASQRGHYPPADQYLNHAEKSDMTLVEAFKRMQVIEHEELEDAAEENGEPDQQALEENEMQNTDDADEPEETVFVNGDEGEEQQGTDNEGASSA >Sspon.01G0044090-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1B:80359254:80359724:-1 gene:Sspon.01G0044090-1B transcript:Sspon.01G0044090-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLFVKSLTRTITLEVEPSDTVASVKAKVQDIEGIPPDEQRLIFAGKELKDGPTLADYSIRKESMLNLCLRLLGGGKKRKKKTFTTPKKGKHEHKNAGLDAVLGRYRVDKATGQVERLRKECPNAECGPGVFMAAHADRHACGTCGLTYVVEKADS >Sspon.01G0007280-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:19804576:19809822:1 gene:Sspon.01G0007280-1A transcript:Sspon.01G0007280-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPSLLLLHSRAPLQPRPFRMNSRAAPSRVVVCSVASAEGFISAAPILLPEGPWKQVEGGVTAAKGFKAAGIYGGLRAKGEKPDLALVACDVDATVAGTFTTNVVAAAPVLYCKHVLSTSKTGRAVLINAGQANAATSTGVIGQRIKKDALLNSLPRLVGSLSSSVQGANSAAVAITTTDLVSKSIAVQTEIGGVAIRIGGMAKGSGMIHPNMATMLGVLTTDAQVSSDVWREMVRTSVSRSFNQITVDGDTSTNDCVIAMASGLSGLSGIQSLDSTEAQQFQACLDAVMQGLAKSIAWDGEGATCLIEVTVSGANSEAEAAKIARSVASSSLVKAAVFGRDPNWGRIACSVGYSGIQFDANRLDISLGVIPLMKNGQPLPFDRSAASRYLKDAGDAHGTVNIDISVGSGGGNGKAWGCDLSYEYVEINAEYTTTYMAS >Sspon.08G0022960-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:52008682:52014221:1 gene:Sspon.08G0022960-1B transcript:Sspon.08G0022960-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MCVEEPEDAERLGFGDAGVEEPAKFPLAQMERVCENTTSADFRQKLSNFVPVIRSGDWSDIGGRQYMEDTHVCIPDLAKNFGFPSSLLVANAGDCRAVLSRCGTAIEMSMDHRPCSLSEKLRIESLGGYVDDGYLNGLLGVTRALGDWHLEGMKEVGEPGGPLSADPELKMITLTKDDEFLIIGSDGIWDVFSNQNSVDFARKRLQEHNDVKLCCKEIVEEAIRRGATDNLTAVLVSFHLEAPAQIKVDRPGRVARSISADGLNSLRILLGRQDKMKNSLPLVVVVIVLFLVTARVQGIRLDAESHESFNNQMVH >Sspon.01G0012440-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:41376697:41382888:1 gene:Sspon.01G0012440-2B transcript:Sspon.01G0012440-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding IFEFVIQKFYALRKPKTNIQILQQSVLLKYKWRILIIMIHEEGKGRLQGNGQWRRSFQCSGRREGGEAVAETAWRRHGWYTIIFLKEHAKEIYAEVRAAYIDTMNKLIMFKRRIPCLDSYLDKVNMSLWPRFKMVFDLHLNSLRNANIKTLWEDDVHPHYVTRRYAEFTASLAHLNVEHGDGQEAGTEGGKAQQHFEEVLKSNIAIYVEELLLEQFSGLIKFVKSRPADETATNSEKASIAEVEPLVKDFASRYKAAIELMHYDVITSFSNFLCGMEILRATLAQLLLYYTRLSECVKRINGGSALNKDLVSISSILFEIKKYSRTF >Sspon.03G0036320-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:85709227:85711106:-1 gene:Sspon.03G0036320-2C transcript:Sspon.03G0036320-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIAGQCSSCYYTSPTLQLQLHRLHGHLPQQPQAARRARWPRLQVAAAAGGGSIKAATDAEFFQPSDTRPIMLFDGVCNLCNGGVRFVREHDPNRSIRYMPLQSESGRKLLQRSGRSPDDISSVVLVEKDRSFIKSEAVLRIMEYLNLPFPQLAVFLNFFPLFLRDFVYDNVANDRYAVFGRSETEACEIL >Sspon.08G0014980-2D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8D:57310390:57310663:1 gene:Sspon.08G0014980-2D transcript:Sspon.08G0014980-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GGGHSCFWDSDEARLRFKRKKFWEKAVEIDDLCGKDDAWWGVKGYMRDNFNHSNKAISHKEHFQNSRLVESVMDLYWELPPVAGPSLTHQT >Sspon.02G0015480-3C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:46176464:46177951:-1 gene:Sspon.02G0015480-3C transcript:Sspon.02G0015480-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSAPAPASTLSCGGAHDAQAQLPHVVIFPFMAKGHTIPLTDLAHLLRRRQLATVTFLTTPGNAAFVRAALAGADGVAIVELPFAADNVTDPGAPPRPECVEALDSLSSFPAFVESVSQLRPRFEEALAALWPPASAVVADAFLYWAHAAAAAHDVPTLAFFGTNVFAHVVREVVLRDNPASVLTRGTTPDDAVFTVPEFPDVQLALADIPFPFNDPATTGPIREMDAKIGYAIANSHGLIVNTFDAMEGRYIQHWNRHVGPRAWPVGPLCLARTAPEAPWHWHGDVAKPAWMRWLDEKAAAGRAVLYVALGTMVAVPGSELREVAPGFEERVQGRGKVVREWVDQRAILAHECVKGFLSHGGWNSALESISAGVPLAVWPMGAEQPLNAKLVVDELGVGIRVPPKSEAVSGMARSKQIARVTCDLMTGDGRRGRTENGCALAAKAREAVAEAGSSWRAVEELISVFSKRSAQ >Sspon.01G0009390-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:30192605:30198984:-1 gene:Sspon.01G0009390-2B transcript:Sspon.01G0009390-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVKKRDFTKLELKVDHASRPLWACADGRIFLETFSPLYKQAYDFLIAIAEPVCRPESMHEYNLTPHSLYAAVSVGLETSTIISVLSKLSKTKLPREIIDFIHASTANYGKVKLVLKKNRYFVESPFLRTVTPIVQVLSNLLKDEVISRARISPEDSLGAPSFTVSKTSGQIASGHEDLLNGMEIAAATEDKETHSFEIDPSQVENVKQRCLPNALNYPMLEEYDFRNDTVNPDLEMELKPQARPRPYQEKSLSKMFGNGRARSGIIVLPCGAGKSLVGVSAACRIKKSCLCLATNAVSVDQWAFQFKLWSTIKDENISRFTSDNKEKFTGMAGVVVTTYNMVAFGGKRSEDSEKIIEEIRNREWGLLLMDEVHVVPAHMFRKVISITKSHCKLGLTATLVREDERITDLNFLIGPKLYEANWLDLVKGGFIANVQCAEVWCPMTKEFFAEYLKKENSKKKQVLYVMNPNKFRACEFLIRFHEQQRGDKIIVFADNLFALTAYAMKLRKPMIFGATSHAERTRILYQFKNSPEVNTIFLSKVGDNSIDIPEANVIIQISSHAGSRRQEAQRLGRILRAKGKQQDRMAGGKEEYNAFFYSLVSTDTQEMYYSTKRQQFLIDQGYSFKVITSLPPPEEGPNLSFYTLDEQLELLSKVLNAGDDMIGVERLEEDSDGKALLRARRSAGSMSSFSGAGGMVYMEYSTGKGKGAPKKHKDPSKRHHLFKKRYA >Sspon.02G0043710-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:124045157:124046142:-1 gene:Sspon.02G0043710-2C transcript:Sspon.02G0043710-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQDPSHPHRQSKDTAAPPQQQQQQPEQPEQPQPPRDVQEAAAASSSSSSDSDAGSSWLQLGIGPSSASPPPSPGPHRKRHRPDDDAAGPSTSVQPASALPLPLPLLPQLQLSLQPGPSSSSSAAPVGMGTVVAAAPPPPAHDAGTWFLLRAAQNQRREPPLPQIPRSYLRVSRDGRMTVRVVMRYLVNKLGLDDDSQ >Sspon.08G0017860-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:5290500:5293562:1 gene:Sspon.08G0017860-2D transcript:Sspon.08G0017860-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLSAFHSAAGHLPRPRRQRTAALARASSLLRLPLRRRSRWVAAEVRTFAFCMYARAPLPKKKPLLETSDCCDASRSRTSQFATGSAGGTAPGGGAGRARRGVGIDVAAVAAALRDARTADDVESVVNAFLNHDNGGGGGEARLLPLQVYTCVIRGLGKDNRPDAAFAVVEHLKRRGAVLNQFVYNCLLGAVKSCGEFGRIQAVLDDMEAQGVSPNIVTYNTLMSIYVQQGRVEDVLRVYADVQERGLVPTAATYSTVMSAYKKAGDAFAAIEFFAMLRGKYRNGELVVGSRGDWEQEFVKFQKLTVRACYMSMRRSLVGGKNPVGEVLKVLLAMDEAGVRPERSDYERLVWACTGEEHYAIGKELYQRIREGGHGGEISLSVCNHLIWLMGKAKKWWAALEIYEDLLDKGPKPNNLSHELIMSHFNILLDAAKRRGIWRWGVRLLNKMQEKGLKPGSKEWNAVLLACSRASETSAAVDIFKKMVDEGLKPDVVSYGALLSALEKGKLYDEGLRVWEHMCKVGIKPNLYAYTILVSIYIGKGNHAMVDAVIHDMLSKQIEPTVVTFNAIISACVKNNSGGNAFEWFHRMKMRGIEPNEITYQMLIEALVQDGKPRLAYEMYMKACSQGLQLPAKSYDTVLEACRDKPSAMMVN >Sspon.05G0027390-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:5B:52551031:52551447:1 gene:Sspon.05G0027390-1B transcript:Sspon.05G0027390-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPWWRRSGRWRSSTSHPWQRCTGRPQPVVAACGAAHGVSTTRGGSARDGPHRRQQSAWCPPRGGSRSTAPSTVDPGQSCSLTTDPGRVCHPVVDPGWWCPRVVDRGQARSPVANAGRARPPAADLGRWRPLAVDRGRA >Sspon.02G0020200-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:66165095:66181549:1 gene:Sspon.02G0020200-1A transcript:Sspon.02G0020200-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLRVATCNLNQWAMDFDTNLRNVKESIARAKAAGAAIRVGPELELTGYGCEDHFLEQDTTAHAWECLKDILTGDYTDNILCSIGMPVIFNSVRYNCQVFCLNRKIIMIRPKMSLANDGNYREFRWFSAWTFKDEIVDFQLPIEVSEAISQDTVPFGYGYMRSLAAETCEELFTANAPRIDLALNGVEVFMNASGSHHQLRKLNLRIDCIRNATQTCGGVYMYANQQGCDGGRLYYDGCCCIAVNGDLVAQGSQFSLRDVEVLDALVDLDAVSSYRASVSSFREQASHRKNVPFVTVPYKLCQSFQSGMVPTSPVEVMYHCPEEEIAFGPSCWLWDYLRRSQASGFLLPLSGGADSSSVAAIVGCMCQLVIKDIEKGDEQVKADALRIGQYKDGEIPTDSRELAKRLFYTVYMGTENSSEDTRSRAKRLAEEIGSFHLNVPIDSIVSAFLSLFETLTGKRPRYKVDGGSNTENLGLQNIQARIRMVLAFMMASLMPWVHNKSGFYLVLGSSNVDEGLRGYLTKYDCSSADINPIGSVSKQDLRAFLRWAAIHLKYSSLAEVEAAPPTAELEPIRANYNQLDEVDMGMTYEELSIYGRLRKIFRCGPVSMFQNLCHSWCGRLSPSEVADKVKHFFKYYAINRHKMTVLTPSYHAESYSPEDNRFDLRQFLYNSRWPYQFRKINELVQEMDKDGKWETSAERKLRGQTGAQGSGMGVVAAGSANPSA >Sspon.02G0056580-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:29552154:29553456:-1 gene:Sspon.02G0056580-1D transcript:Sspon.02G0056580-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPTLPWLTCVTLATCVVLYKLFVSTKNERKRRRIFGKAAGRPPGPVALPFLGNIHNLNGELHQVLSWLVETHDPIMSLWLGSSTHVVVASTAAATRDVLHRYDHLLAERLVTDAARALGNDQRSILWLHGTSPLWRRLRTVCTNHLFSARGLSVTRAVREKVRELIRCLDRHAAAFAGGGNEAVGIWRVVGRGLNLVSNVPFFEDIVDLSSDRVQELETLVRNTVEEVMKPNLSDLFPALSVLDLQGRRQRTAEHVRKFYDFFDPIIARRLQSASNGGERKNDFLDVLLQLHSADQLSLETIKSFLLDLFASGTDTSTISVEWTMAELLWHPTVMAKVRVELRDALGSKPHPDELDIDKLLYLRAVSNPWITNEIPQAQRQQENTRGRPTTSKPQPTEPKT >Sspon.05G0014470-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:53783129:53785204:-1 gene:Sspon.05G0014470-1A transcript:Sspon.05G0014470-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding PMADAEVVAVATAQDLVSVRAAAHHARTYSCRLQLYGELMSKARNALVATGLLVFAGAGLSFPFLFRKSKNRPIIDSSKPLPPQATFRGPYVNTGSRDIGPDPTNYPKK >Sspon.06G0029830-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6C:35618075:35619174:1 gene:Sspon.06G0029830-1C transcript:Sspon.06G0029830-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LIRIPPNDPASLFHAALVCKPWYRVASDPCFRRRFCARHHQAPPPVLGVLCNISGHGGTSRFIPTSSLRFRQPLPDRRRWLVLDSRHGRVLLTNWDRERGPVDDPLVIWDPITGDHLKLPELPRQQEWTSWNAAVLCAAGGCDHLNCHRGPFLVAVVGCGHGVLFGLVYSSEAGYWSLPTNSVEHADVDYLACAALVGNALYFKSEICIQAFRSKIIKYDLSTWKMSAFYLPYEMSLWSTFTIDLPLSRLEHGPRIVLMATEDEARLGFGAVRDSKLYLWEWEAVSGSVGDAGWAQSKVIDLQTLLPADANSISTELVGFADGVALFFVQTVDGLFSIDLKSGQVKKVYNGGDIDSVVPYMAFFTP >Sspon.07G0035030-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7C:84996501:84998273:1 gene:Sspon.07G0035030-1C transcript:Sspon.07G0035030-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAGSDDAPTTGAHGASVRLLSYGLPTATPQLTEHLLLDGKPQQRAHCLGEGELPSFWRRRKPSDDDHKPPGGRGVDWASVRRTCKEWIANPMNIALVLWLLCVGVSGGMFVLLLLGLLDGAFPAAADRNRWIEINNQVLNALFTLMSLYQHPALCHHLFLLCRWRPADAAELRADYCKDGAAAAAAPRPGDRAHIAVVVVLLHVTVVSQYVLCGLYWGYTRRTRPELAEDSFFVLGIVAPVAAAVYTVCSPLGKGDRCHELVAYSVPASAAASAATKSTTQPTTPIGHVVVEPEWAGDMFDCGGGGEDAAATGCLALSCTFCVFGWNMEKLGFGNACVHAVTFALLCFAPLWVFGISALHIHNVVIGDAVGVAGVLLCVGGLLYGGYWRIQMRTRFGLPGSAACCGSESLTDYARWMFCWPCALAQEVRTASMYHVDGEVFYSKVVDEDDHADSGQPLLAVSKHRDVFSAANTVAVSQVSPANDHLVVVHDETTMAPPVQVVVVQVEDEGSVARHGETSSSSVSSSATASEEDDASLLEAKSNREMVEDADRSMSSDESWRVEKVKRLINMVTLVSLLILLYARGIIL >Sspon.02G0012600-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:33382696:33393287:1 gene:Sspon.02G0012600-1A transcript:Sspon.02G0012600-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAARAALVRVLPPRPSPISQPKQLKQGGRGGASLAVRAKDSDDFGALLSEKPAAAQAPAKRDGWEGFGREASSVEEKEKEEVEVQGEPASSWGVLNQIGVELDSDKSYTALVYGTSAVVAIWISSIVVSALDSVPLVPQVMEVVGLGFTIWFTSRYLIFKENRDELITRVSSIKKQILGSHDN >Sspon.02G0054560-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:114737815:114739521:-1 gene:Sspon.02G0054560-1C transcript:Sspon.02G0054560-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGACASRSVPNKQQQITVAGSAAGRVEETLACVDAKITHPVQLQAAAIPVRCNLEEREREKAFALLAVGVLYEIWLTWADGQTPEPLTSPSGDAGPAHVHPGIPAPPAHDTAHPSTARGGSPPAVDLVSKQEELNLTRAPALPSPSIAASLRTRKPATTTSL >Sspon.01G0023450-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:84580967:84585036:-1 gene:Sspon.01G0023450-1A transcript:Sspon.01G0023450-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTASSKHSMVVPKRFLKHFAGKLSGTIKLESPNRGSYDVEVTEHCNKVVFRHGWGQFVESHHIKENDYLLFRHVEGSCFEVLIFDTDGCEKVFSCAGIRSVDYVDISSSSHHETAESSASERFVRYQKGSSCHHGKFAKKVAAFSSSEESGEDIPSENKSSESDDLQTPLRQHYVLSRRNYLSEAQEERVIALIQEIQPESTAFVAVMRKSHVQPPCPYLVISKGYALAHFPHKSTNVTLQRPGKSKKWHPKFYKRKDAQLYMLKGQWMDFVRDNHVQEGDICLFLPTMAGRRSTFTVYLIQATSTCSRGGSGKKGSLSRRKETAKKAATSSLYEESGEDSLSGYESIQSDHVKAFSERNYVLSACCHLTAEQEEKIVALVKKVQPEIPFLVIQMKKSNVNHLRSDLVICKGYAAQHFPQESQSITLECPEGKKWRSRLHVRPDGRGYLLTTRWQNFVRDNHLQEDDICLFQPMRSEKGFRVMVHLLRERSTRSSSSDGHVHGLHSHIKRGVTSTAHVHEKSGSENSGSLDLHKCRAVQQVHQVLSDCGGPSSSKPPLYVVLGGTCLTPAQDKVVQEKAMAIKAEVSIFVATMNKNIVGYNSEAFIILDFSDAAEYLPDGKQALTLRQQGQGRAWRTDLHNRLMLATGEWHEFVHDSGLEDGDICLFEPMKNERLAMLVHIIRSKLYS >Sspon.04G0022330-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:5247910:5252842:-1 gene:Sspon.04G0022330-1B transcript:Sspon.04G0022330-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVKSYIQIRQLLFGGIGNRHRPGETWPQRKTFKVPNEENVATEGKKTSKYRTIELWSIVEDLKTDKFTRGWPKTSMDALRSLAGKIPTKHIYTMDDIQEDDEDTSGSENPDGDSSCGTAYEAFGGGKNGKEACHAIAALCQIRFIDSLLSKFILPLQGDHISCAEGRIHCSLNINTETGRLSARTPNLQNQPTLEKDRYNIRQAFVAAPGNSLIVADYGQLELRVLAHLTNCKSMLDAFKAGGDFHSRTAMNMYQHIRDAVHEKKVLLEWHPQPGQEKPPVPLLKDAFGAERRKAKTLNFSIAYGKTAVGLSQDWKVPDKEARDTLKLWYRDRKEVLAWQKIQKKLVHEKCEVYTLLGRSRHFPNLTWSDPRERGHIERAAINAPVQGSAADIVMCAMLEIERNARLKELGWRLLLQVHDELILEGPSESAEVAKAIVVECMSKPFYGTNILKVDLAVDAKCAKSWCAAK >Sspon.02G0045920-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:86694608:86701816:1 gene:Sspon.02G0045920-2D transcript:Sspon.02G0045920-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHTEAAGNGIRRRRHAAVALLVAAVVLLAIASEAAAHPHGGVTSRYVRKHQASDDMPLDADVFAVPPGRNAPQQVHIGLADQTGTAIFVSWVTVEAEGNSTVLYGRAADNKLDLAAEGTVTRYTYYNYTSGYIHHCTLANLDHGTKYYYAVGFGDTVRTFWFTTPPAPGPDVPLRLGLIGDLGQTPDSNSTLTHYESHPGDAVLFVGDLSYADKHPLHDNNRWDTWGRFAERSIAYQPWVWTTGNHEVDFAPELGELAPFKPFTHRYPTPWKASESSEPYWYSVKLGPAHIIVLSSYSAFGKYTPQYKWLEAELQRVDRETTPWLFISTHVPWYNSNNFHYMEGEPMRAQFEKMAVDARVDAVFAGHVHAYERTHRYSNINFGHAVLDIKNRTHAYYAWYRNHDGNKVTADTMWFTNRYHMPNHDDSVYSIHAPASAKPNKVAYASA >Sspon.02G0028560-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:125891251:125896715:-1 gene:Sspon.02G0028560-2C transcript:Sspon.02G0028560-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKLPLPLLLLQPPAGAASPLRSLLPPARRLTAMSAATSTAPSRVEYPSPVSPPYPAASKDVELRRAMTASARSAAFASADVVFEDQWLAVVDKPAGVYCDALLTSFPCSAVSEDPATKPNLHLANRLDRDTSGLMVITKCNKVAGKLVKAFTDHKVKKTYLALCIGCPPTWEKIKICSGHGRSKHGAWRVYAMSDVGRSLPGGSVVRDMSTQFEVLGVNGKGQFREPNNFYTDDIESITVQEKAADQTCSGDVNNSAILVRAYPQSGRTHQIRLHCQYLGFPIRGDVKYGGVIEWNGVECDGHALHAESLSFVHPITGLPITFRSPLPSWAKDCISTME >Sspon.01G0048850-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:100690327:100691637:-1 gene:Sspon.01G0048850-2D transcript:Sspon.01G0048850-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat extensin-like protein 6 [Source:Projected from Arabidopsis thaliana (AT3G22800) UniProtKB/Swiss-Prot;Acc:Q9LUI1] MTRVSSRAVAAAFLVLAVAWPLLASAQPAPSMPPPLPSLPAVAATNNSRLEKAYVALQALKRAITDDPKKLTKNWCGPDVCNYFGVYCAPAPDDPCQRTVAGVDLNHGDLAGTLPEELGLLSDLAVFHLNSNRFCGSLPESLRSLHLLHEIDVSNNQLSGPFPSQLLCLPNVQYVDIRFNNFCGEVPAAIFDKKIDALFINNNHFEFSLPDSFTNSTASVIVLANLPRVSGCLPSSIGDMAGTLNELILLNSGISSCIPPEIGKLDKLTVLDLSFNNIAGTLPDTIGNMRALEQLDVAHNRLAGEIPESICELPHLKNFTYSYNFFCGEPHRCLEVPRIDDRQNCIAGHPDQRPGEECVSFLHQPRVHCDAHGCIAPPSPPPPPPPVHAPPPPVY >Sspon.07G0026410-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:34589686:34593958:1 gene:Sspon.07G0026410-2C transcript:Sspon.07G0026410-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPPSVAILVAVAAITTTIPSIVDGQADTAQTFVDLQNAARGEVGVAPLAWDDTVAAYARAFAAKRKGDCALAHSGGPYGENLFWGSAGANWTAADAVSLWVQEKQNYDCGSNACAAGRSAITTPSTTKAGCAAVACDGQGGTFIVCEYDPPGNLVGQRPYASCGEFNRSAQDSPQDFLSLQNAFRAGLGVGMLSWDSTLAAYAESHADERKKDCQKTPSRGPYGENLFQGSGGSGASDALFSWFGERQHYDCDTNSCESGEACGDYTQLVWANSTRVGCASVTCDGGGTFIACNYDPPGNVPGERPYVGCGKAEFNTPGNDNGNSSSIPKANGNSSTGNNSSQSRNKSNAPILAITLPVVTVLGLVVSAILVCIWRRSRLKRKQSCPTTHSEDIEDLKSVLLDPSVIRAATQNFAEGNKLGEGGFGQVYKGLMPDGQEIAVKKLARGSNQGIRELKNELLLVAKLQHRNLVKLIGACLDGEEKLLVYEYIPNKSLDSFIYDDEKRDELAWGTRYRIICGIARGLVYLHDESRVKVIHRDLKPSNILLEMDMNPKISDFGLASVFDDDHSKHITLRVWENWTRGTITEIIDPSLRHSCAENIMVLKCTHIGLLCVQENPGDRPNMSSVILMLVGRSTTLPAPSRPAFLFRLDGANQSHHGGITDRPGRSNKSNLSLNKVTITELVPR >Sspon.03G0017220-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:53933053:53934214:-1 gene:Sspon.03G0017220-1A transcript:Sspon.03G0017220-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDPGVNGRNVVSWVASGVVLWSTAFLLVRALFPKRSYDFCNRAVSTMHAVAAVCLACLSVDDWSCPVCPLAAASSPRQMRALAITLAYMVYDAACCHLNGDTRLDNTVHHLVSIVGIGAGLAYQRCGTEEVACLFVTEISSPLLHLREMLKEFGIRDTDLNLLVDVLFAITFSVARMGFGPYITYVTVTADNPILIKATATGLQLVSAYWFLRILRMVRYKLGKKKPLPPPPGKLAAAN >Sspon.07G0001560-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:3030745:3032541:1 gene:Sspon.07G0001560-4D transcript:Sspon.07G0001560-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEAKKLPQIDFSRVFPSAPGGEAWEEARGKVMDALATFGCFEAQYPALTPQLRAGLFGSAVRPLFELPADAKRRNYYGADRPFHGYLGDIPGFPGYESVAIVDGTKDESVRDFARLMWPDGAGDDNDVFCGTVGAAARRIFELEEAVRRMVMEGLGVAKHHDALSASMWHLFRMSEYKAPNAAEKVVRYGAHQDTNWLSVVCQHEVAGLEMQSRDGEWIVVEPSPTSLVFMVGNALRAWTNDRLYAPFHRITVAGDVARYSAMLFSVPSFKVQVADELVDDEHPLRFKPHDNNDFVCFCVSEEGARHQDKLKAFCGV >Sspon.05G0017310-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:67975832:67979994:-1 gene:Sspon.05G0017310-3D transcript:Sspon.05G0017310-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MESCYIGPKYEVDMKGSISPMERSATISEHLNIVEVKCQAVDERVLEILKLLCTFNIPRLSLSLLCPTFSKLKTLFLDEYWCMPDDFTPLACILEHSPVLEELTLELFCESSEYEVEMEGRFRVERPTTISEHLNVVEVKCQDVDKRVLKVLKFLCTFNIRKLTSRASVDMFTRKIRNARSKDTKLFTKI >Sspon.07G0002120-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:4012859:4018798:-1 gene:Sspon.07G0002120-2C transcript:Sspon.07G0002120-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGIDLNDTVEEDEEEAEPGNACSQQSRTSSAVTPPPPQPRPGAAVCLELWHACAGPVAPLPRKGSVVVYLPQGHLEHLGDAAGGGAPAPAALPPHVFCRVVDVTLHADASTDEVYAQLALVAENEDVARRLRGGSEDGSAGDGDDGETVKQRFSRMPHMFCKTLTASDTSTHGGFSVPRRAAEDCFPPLDYSQQRPSQELVAKDLHGTEWRFRHIYRGQPRRHLLTTGWSAFVNKKKLVSGDAVLFLRGDDGELRLGVRRAAQLKNGSAFPALYNQCSNLGSLANVAHAVATKSVFHIYYNPRLSQSEFIIPYSKFMKSFSQQFSAGLRFKMRYESDDASERRCTGIIAGIGDADPMWRGSKWKCLMVRWDDDVDFRRSNRISPWEIELTSSVSGSHMSAPNAKRLKPCLPPDYLVPNGSGCPDFAESAQFHKVLQGQELLGYRTHDNAAVATSQPCEARNMQYIDERSCSNNVSNSIPGVPRIGVRAPLGNPRFSYHCSGFGESPRFQKVLQGQEVFHPYRGTLVDASLRSSGFHQQGGSHVPTQASKWHPQLHGCAFRGPQAPSIPSQSSSPPSVLMFQRDNPKLSPFEFGHCHLDKNEDRRAMFGHAGGIGGTERTMMLQAHVSGGMGNRDVTIEKFHPTIAVGKDGSDNTEVTKNSCKIFGISLTEKVPAIKEKDCGDTNYPSPFLSLKQQVPKSLGNSCATIHEQRPVVGRAVLRSVDSLSS >Sspon.01G0040710-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1C:34960789:34967078:1 gene:Sspon.01G0040710-2C transcript:Sspon.01G0040710-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At5g67570, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G67570) UniProtKB/Swiss-Prot;Acc:Q9FJW6] SLHLQDPTRNPSPGGKKTRPAPTTETLRRRLLRRGVSPTPKILHTLRKKEALKALRRARKDTAAAAAAAAAASLKPCEEIGAEDEEETRFRAAAAEFRALMGRPWDAGARGVAPPRGWDGEDGGLEGLREMLVARRGDGFRWLLDDDIEAEAAERKQQKRPGTTGWDVDTEDEERRIQLLVSRLNGDYLSFRDWRLTRVMKKADIIYSEDNLLRILDGLEAQGNWRQALAVIEWVYNENSYRHRKSRFVYTKLLSILGKSLRATEALRVFTIMRGDAQIYPDMPAYHSIAVTLGRAGLLKELIKIIEYMRQKPSKRVMTMRRKDWDPSLEPDVLVYNSVLNACVLSQQWKGVFWVFQQMRISGLPPTGATFGLAMEVMLKAKRYDFVQKFYEKMQKNGVPPRAITYKVLVRAFWEQGKINEAVEAVNEMEQRGIVGAASVYYELACCLCNNGRWRVFFVQVEKLKQLPLTKPLEYTFTGMILASFNGGYIYDCMSIFESMKGHCTPNIGTVNVMLKVYGRCDMFGKAKDLFETTKACFSNSQTYIHEHSSLKANTYTYSSMLEASASAQQWEYFEYVYREMALSHHCLDQSKYSWLLIKACRAGKSNLLEHAIDSILERGEIPDVQLITELICQSIAHRDYGRTLQLLNIMTEASIKMKEVEWVYLLQKNVYQFNIDALEGLIKYLSTSGTINADPALGLVRALESQCGITLVEGTYLLTDDTSTQQCELSLLESEDKYASSSLAEQDQLTCKNLCTDIILDVPDSDREIPQLGISAVMSRNISLSGQRLEDKHKHSDLGQQGPQVSAIDEVLDSMNSYGVNSYGEMPSASEILELWEQERINGMFAPKTESRTTLIRG >Sspon.08G0018940-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:9703250:9710648:-1 gene:Sspon.08G0018940-1B transcript:Sspon.08G0018940-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSSSRPLRQRHHSSPLLILLVSVSVLLTSLPISATADGLPELGRGDGLYREILRDETMLRLNELGKISDGEGYLERTFLSPASIRATAVIINWMKDAGLTTWVDQMGNIHGRFEPTNSTKEALLIGSHMDTVIDAGMYDGSLGIICAISALKVLKVTGKLQRLTRPVEVIAFSDEEGVRFQTTFLGSAAVAGTLPESILQVSDKSGTTIQDVLKMNSFEATSTSISQARYNPESVGSYVEVHLEQGPVLEALRYPLGVVKGIAGQTRLKVIVDGSQGHAGTVPMKLRRDPMVAAAELVVTLERLCKEPNKLLTYDEECSCFTEESLAGLVCTVGELNTWPSASNVIPGQPCPQQVNFTVDIRAMDDQVRETIVTSFSRLVLQKCDDRLVDCKVEHKHSAAATPCDPELTSQLKRAARSTVSAMPGRTVAAASETPVLMSGAGHDAMAMARLTKIGMLFVRCRGGISHSPEESVMDDDVWAAGLALFNFIDQNVLAVSEEEPEAGQNAVAES >Sspon.02G0026980-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:96844261:96846394:-1 gene:Sspon.02G0026980-1T transcript:Sspon.02G0026980-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEMVSSVVIQESFNQILSGLVKKYEGKEETNGFRNIERLEMAHIRLEAALETSNKWQINDTSMLRWQKKLKRAAQECDEKLHKCKQRILEEERMEQEVRNSSIPKWIGHATKSLVFSIFNRNNDELNPSVVQRFEWYADGASEFLRFIELGGTPLCHIMPFGSLSTIFWQARNFTIQLFEEASSLTSVNYEQGTEVFLIFIKKDGTTEGNIFFSMIVQLSESTDIVGIAVRSLQLFAPHVKFIVENITNELTQLPTQDFSWVPFAYSYHREHLYNLHNFASQWFRPNPLCCKQQQQHEVRHFSNLNMAGLSDVSLEPVIEFNLQWLVSNSVYSKRKTSLSEGTMSLQNSPYLKAGIAFAPHGSSEDILPVNKSSETVEIVGGQQHVLHTDISLEQLEDIMLAKAVDYFCLNGEASVYQMIWRSKHGAARIQVEKPSINTRRTSMRAHRTFGGPTNRKLLRGQDQKIGSFLGVLTHFLDLWGAHVPIRLQSSLMDWMQKEKETQLAERKAKPAMGKG >Sspon.08G0000830-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:821995:826272:-1 gene:Sspon.08G0000830-3C transcript:Sspon.08G0000830-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPYKHRPSSGSNSSFWTTNSGAPVWNNNSALTVGQRGPILLEDYHLIEKLAQFDRERIPERVVHARGASAKGFFEVTHDVSHLTCADFLRAPGVQTPVIVRFSTVVHERGSPETLRDPRGFAVKFYTREGNFDLVGNNMPVFFIRDGMKFPDMVHAFKPNPKTNLQENWRIVDFFSHHPESLHMFTFLFDDVGIPLNYRHMEGFGVNTYTLINRDGKPHLVKFHWKPTCGVKCLLDDEAVTVGGTCHSHATKDLYDSIAAGNYPEWKLYIQTIDLEHEDKFDFDPLDVTKTWPEDIIPLQPVGRMVLNKNIDNFFAENEQIAFCPAIIVPGIHYSDDKLLQTRIFSYADTQRHRLGPNYLMLPVNAPKCAHHNNHHEGFMNFMHRDEEVNYFPSRFDPARHAEKVPIPTRVLTGCREKCIIQKENNFKQAGERYRSFDPARQDRFIQRWVDALSDPRVTHEHHTIWISYWSQCDASLGQKLASRLNLKPNM >Sspon.03G0033970-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:64435165:64439313:1 gene:Sspon.03G0033970-2C transcript:Sspon.03G0033970-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MWEMKNRQRRVFAKLWARGLKKHLKRLNVPKHWMLDKLGSQAIIWASQVQGVPPLILIIRNRLKYALTYCEVISILMQRHVLVDSKVRTDKTYPAGFMDVISIPKTGENYRLLYDTKGRFRLHSVRDEDAKFKLCKVRSVQFGQKGIPYLNTYDGRTIRYPDPLIKANDTIKIDLETNKIVDFIRFDVGNVVMVTGGRNTGHVGVIKNREKHKGSFETIHVEDALGHAFATRMGNVFTIGKGNKPWVSLPKGKGIKLCIIEEQRKRDAAAQAARVGALTRTIHTSRQFYAIDGEIAKL >Sspon.08G0010680-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:47014624:47017263:1 gene:Sspon.08G0010680-1A transcript:Sspon.08G0010680-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRGVWQLQKLVVNYCDWGGSSRGIRAFMEAHLPALKEKNPHLEVVTELVRGQHPNLKGIYKNHNERVVCVRNLPPEEILLQATRLRNSLGRKVVKLRTRHVTKRPSVQGTWTTELKM >Sspon.05G0017470-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:73070484:73070690:1 gene:Sspon.05G0017470-1A transcript:Sspon.05G0017470-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRPKRKRSQSQTPLTLRPRHVEEPDVAVMSASATKEEGEAVVLSLDADSFDEAVAKHPFVVVQFYAP >Sspon.01G0023670-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:90187609:90202267:-1 gene:Sspon.01G0023670-2P transcript:Sspon.01G0023670-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRFRRFFSSSIISPRFVELLFCRLPVSSICGRPAGLSAAKKPRLFAGSFKKGKPRFREQDAGRSLLNSQALNWSWKCGDFLIGDIRWGLSLLPSELHAAPAVRPILPIVSRLPEGAHGNEDEDMDHAIALSLSEEDQRKGKAIDAGHHLDEDEQLARALQENTGHDLDEDEQLARALQESMNDGPPRRDIPIEDVHSESAPASSLPSNIFPTSGLRVCAGCKSPIGRGRFLSCMDSVWHPECFRCYACDRPISEYEFAVHENHAYHRPCYKECFHPKCDIPTNKNGLIEYRAHPFWMQKYCPSHENDGTPRCCSCERMEPKDSQYITLDDGRRLCLECLHTAIMETNECQPLYIDIQEFYEGMNMKVEQQVPLLLVERQALNEAMEAEKSVHHLPETRGLCLSEEQIVRTILKRPIIGPGNRIIDMITGPYKLIRRCEVTAILILYGLPRLLTGSILAHEMMHAYLRLKGYRTLSLEVEEGICQVLAHLWLESEITSGSGTMATTSDASSSSSSTSSSSKKGAKTEFEKRLGEFFKYQIETDSSVAYGDGFRAGMRAVERYGLRSTLDHIKMTGPRA >Sspon.05G0017470-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:69198521:69199623:1 gene:Sspon.05G0017470-2D transcript:Sspon.05G0017470-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRPKRKRRQSQTPLTLSPRRVEEPDAAASASAAEGEGEAVLSLDADSFDDAVAKHPFVVALFYAPRCRQSKKLAPELAFSAVFQYEKAARLLSKHDPPIVLVKVDGNDDKNWPLATGYKIEGFPTVKIFRNSGNNIQEYKGPREAEGIVEYLKKQVGPASKEIKLPEDAAVVLTSDETIYIVGVFAEFSGTEF >Sspon.07G0028090-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7B:64297150:64297877:-1 gene:Sspon.07G0028090-1B transcript:Sspon.07G0028090-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFAGWYLKIAAVGASIGAAMELFMIHTGFYDKVVVLESEKRAWENSPEAQAMREALNPWRKHDEQQKK >Sspon.01G0016680-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:30866360:30867736:1 gene:Sspon.01G0016680-2D transcript:Sspon.01G0016680-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVAVAVVPSPDPSAAADAESLREAVQGWGTDEKALIDVLGRRTAAQRAEIRRAYAGLYRESLLDRLRSELSGDFRNAVVLWTMDPEERDARLVNRELGGDRRVMGVGDHHACWALVEVACASAPDHLIAVRRAYRSLFGCSLEEDVAACPALQDPLRKLLVSLVRSCRCGTERVDEDVARLEAAQLAEAIRKRRQPHGDEVARIVSTRSKHQLRATFKLYKQGHGTDVDEDITKHSSSQFAKILRSAVWCLTSPEKHFAEAIRYSVLGFGTDEDTLTRAIISGSEIGMNKIKEEYKVRFKTTVTSDVVGDTSGYYKDFLLTLVGSED >Sspon.01G0038910-2D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1D:19075336:19075670:1 gene:Sspon.01G0038910-2D transcript:Sspon.01G0038910-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LPGINVRGHGDEGELAAYSPPCRAVAEYLGGPLEFLATQLFRFDFEDDDGTINAVALYWARSVDFRPNKLGARMGRQSSLAKNWAMNFGSSWAGNGQIARRPFDINWATN >Sspon.02G0038870-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:46610059:46613701:-1 gene:Sspon.02G0038870-1B transcript:Sspon.02G0038870-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLKASASFSPSLPLPLSCRTNGGRPSMSTSAGARTAASVGTSSQKPLLGDLLGHLSSKMNRASRALKDVPQRFLDVLVDATFKFTDQALNPSESNFAPVDEIGEAIEIHQNQIEGAIPDDFPDGVYIRNGSNPLFGALHSTSSIFGQSGEIWVEGEGMLHALYFTKNSSSGSWSLSYANRYVQSETLKLETARQKPCFLPAIEGDPAAVIAAYIFNHLRFGKVNKDISNTNVFEHAGRVFAVAENHLPQEIGIDNLDTGGTWNIGGEWDPPAFTAHPKVAPGSGELVIFGTDAKRPFLVIGVVSADGTKLKHRVDLKLDRSTLCHDIGVTLKYNVIMDIPLTIDISRLVKGGQLIQFEKESYARIGVMPRYGDADSVVWFNVEPFCMFHLVNCFEEGDEGLRSPDSIIPGPRLALNKCDSKMSELTEDDKPLNEGTTEEFFFRLYQWRLNLKTKSVSGEYLTGTEFSLEFPIINNQYTGLQHSYAYAQVVDSLTGSCGKVNPKYGGLAKFYLDERNNTEISGASLIKMQYHWLGKHEFCSGASFLPRVGGSYEDDGWIISFVHDEKANTSQASFLCCIYINDPIRGTSHRGNKCWNLYVHIVDAKRFEDAPVAKITLPRRVPYGFHGTFISKNRI >Sspon.03G0026020-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:1703352:1705248:-1 gene:Sspon.03G0026020-1B transcript:Sspon.03G0026020-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MWNADHNAILNTFAGHSSTVTCGDFTPDGTVAWFGHLCRCTYECSVPCEGHGYHSDGLTCLSMTLDSQMVVSGSKDSSVHIVNVNSGQVVGSLVGHTNSIECLWLGSYRSMDQKLIIWDLTHQSSRCTCEHDEGVTSLAWLGSSRYVASGCIDGKVRIWDSLSGDCVRELSGHADVVQSLAITADGNTMVSASSDGSARVFDISMFNLIPDSGIRNLGGWV >Sspon.03G0036550-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:53600348:53601993:-1 gene:Sspon.03G0036550-3D transcript:Sspon.03G0036550-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSNAAGKPPAAAAAAVSWEFHATGPRNLSSPGWRDLIRSSWRDPKYRRIAMSCFVQAAYLLELDRQESRTGEAALAPNWWKPFKYKLVRPLIDSRDGSIYGALLEWDQLSALADLIVVKPQGAPKVVLALRGTVLKQLTVVRDLEDDLRLFALESLRGSVRFTGALEVLKSAIHKHGSANVCVAGHSLGAGFALQVGKALAKDGTFVECHLFNPPSVSLGTGLRKIQEKASSVLKRYISRSGSSSSSNVSPGEELQAASQVEVGEEELNKEVKRWVPNLYINSCDYICCFYADRSGVATITTEKHSGVHSKLYVIAKGPNKFLEAHGLQQWWSDDSELHLAVHDSKLMYRHLKSLYV >Sspon.02G0050500-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:62055403:62055782:1 gene:Sspon.02G0050500-1C transcript:Sspon.02G0050500-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding EQRGRAGRGEQQPGAEHPAGGGPRGAAGGGGGMSREGSSRRWRGTHLGEWGGRWGGVCRRGAVSAGRSEAVGEQGRRGGARPAA >Sspon.07G0029250-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7B:75329376:75333344:-1 gene:Sspon.07G0029250-1B transcript:Sspon.07G0029250-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLSFPVCISILLLLIISTSTTIAAASSRSGRPSKRNGSSTDLAALLAFKVQLSDPAGILGGNWTATTSFCQWVGVSCGGRRRQRVAAIELADVPLHGALSPHLGNLSFLSVLNLTNASLAGAIPGEIGRLRRLKLLDLGHNALSGGIPAAIGNLTMLHLVHLQFNHLSGPIPPQLRRLRELRAMNIQRNYLTGSIPNDLFNNTPLLTHLAMGNNSLSGPIPRCIGSLPLLQYLSLQVNNLSGSVPPGVFNMSALRVLSLAINVLSGAISGPGNSSFSLPAVEFFSVYQNRFSGLIPSGFAACRRLQSLYLSVNSFQGVVPAWLGELTAVQVISLYENHFDAAPIPAALSNLTMLRLLDLHSCNLTGPIPPELGQLRQLSVLNLYSNLLTGPVPASLGNLSNMIYLELEQNMLDGPLPMTIGDMNSLTELLLAENHLQGDLKFLYVLSKCSMLSALQFHTNHFTGTLVPDHVGNLSSYLQVFDASDNMIAGGLPATVSNLTGLEILDLARNQLQNPVPEPIMMMDSIQWLDLSGNRLSGTIPSNAAILNNVEIIYLDSNQFSGSIPSGIVGSLPDSLGQLQMMSYLNLSLNSFHDPIPPSFEKLTSLQTLDLSHNNISGAIPKYLANFTILTSLNLSFNKLQGHIPEGGVFSNITRQSLEGNPGLCGALRLGFSPCPSKTFTYRGYAHILKYLLPTIIISVGAVAFCLCVMLKKVKKRQGNSAGMVDMVNHQLVSYHELVRATENFSDANMLGSGSFGKVFKGQLSNGLVVAIKVIHMHMEQAIARFDAECCVLRMARHRNLIKILNTCSNLDFRALVLEYMPNGSLEELLHSNGRIQLRFIERLDIMLHVSMAMEYLHHEHCEVVLHCDLKPSNVLFDEDMTAHVADFGIARILLGDENSMISASMPGTIGYMAPEYGSVGKASRKSDVFSYGIMLLEVFTGKRPTDAMFVGELSLRHWVHQAFPEGLVQVVDGRILLDDASATSSLNGFLVAVMELGLLCSADSPDQRMTMKDVVVTLKKVKKDYIKMVAMRPSAIEHPAVID >Sspon.05G0016740-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:64393289:64395098:-1 gene:Sspon.05G0016740-4D transcript:Sspon.05G0016740-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to 60S ribosomal protein L18a-1 [Source: Projected from Oryza sativa (Os05g0565000)] MACVLCARRYFLRKLKKVKKSNGQILAINEIFERNPTTIKNYGIWLRYQSRTGYHNMYKEYRDTTLNGAVEHMYNEMASRHRVRSPCIQIIKTATVDFKLCKRDNTKQFHNSKIKFPLVYRKVRPPTRKLKTTFKASRPNLFM >Sspon.02G0019820-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:64615046:64619545:-1 gene:Sspon.02G0019820-2B transcript:Sspon.02G0019820-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAWKDKVADRLARLLADSPVSPSPAQAAVAPSQAKSFPAEPFIAPKTSSLSSYVFSLLPTSNLGHEQNSPCSETLRPLPPESCPKKWRGSDLTWKDSPLELSEESGSESERDERNGNFGNDQALQSYRSINNSNGNEETSTSDCAVTLRYLTEKSVFVSPKLFAFFQSSLPGTLKGCHWCSTWKHGISLRTLLRRSENIQCPCLLIVGDMQGAVFGGLLNSPLRPTEKRKYQGTNQTFVFTTIHGEPRLFRPTGANRFYYLCLNDALAFGGGGSFALCVDEDLLHGSSGSCETFGNSCLAYSP >Sspon.02G0025070-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:86389514:86397610:1 gene:Sspon.02G0025070-1A transcript:Sspon.02G0025070-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding INIDEGLITYTIPNEDEGSVYPISQDDPGIFVNARSLASFKWVVANLTCSEAQANSSGYACVSANSNCVPVNSTDGYVGYRCICSNGFEGNPYLQSGCQDIDECSQPNRCHGICHNTLGSFNCIQCPEKTVYDPTLLQCKSYMWDIPHSSMEKKYPKATTKDLFLQKPRSTSGTTNIIHENASDKTKIFSLEELEKATNNFDSTRILGCGGHGMVYKGILSDQRVVAIKRSKINEEGEINQFINEVAILSQINHRNIVKLFGCCLETEVPLLVYDFIPNGSLYEILHGDTSNELVLSWDSSLRIAAEAAGALCYLHSASSVSVLHRDVKSSNILLDSNYTAKVSDFGASRLVPTDQTHIVTNVQGTFGYLDPEYYHTWQLNEKSDVYSFGVVLLELLVRKEPILTSESGTKQNLSNYFLWEMKVRPITQIVAPQILEEASEEEINCVATLVEKCLRLQGDRRPTMKQVEMALQFLRTKRAESSQTAAGKDDEMHPFLTKSDINHYQLPTIDFGKKSKSTARQNSKNFYTLEQEFLATADLPC >Sspon.01G0022360-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1C:80795353:80802968:1 gene:Sspon.01G0022360-2C transcript:Sspon.01G0022360-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSPGIGTQEAVEGGLEGSGGTGSASSGREGGWDGILSPSSRSLILPKTRRRSSGVCSEGRRQGMRVGEGGVAGHGMRVGKGGVASHGMGKRGARARDSRPRAGRGRERGGLPRGSATRSRGSGGGRGPRRGRRDLRPGRVGWGREEGEEGGKGGGAVSHGRRRQREQRRRILEALTAKNCQEEFSQESLETLGDSFLKPSKGVAWGQGSGTWSFFLADRLRLCVFDVVSRIFKHGLIFGALACGTAAPMQHWVMLMEGYIRSEAFNPKTWIVPGVGYDICGTSLRKLKSKRIADSVEALIGAYLSAAACHSGLATDDRATPRMMRTPTRSPSMGLLFVTRFSMRRPTELCELFLLALGRCSLRDHVSGHPRLDAHGLHAVKAGLHKHVLHSSSALHKRMADYLDKFEQSFSGPSHGWAAGISLPKVLGDVVESIAGAIYIDAKHDKVIVWRSMKRLLEPLVTPETLENDPVKELQEFCDRKAYTMEYTVTRENGVSSVVAEVRTEGTTYKATRTGFSKLDAKKLAASSVLHDMK >Sspon.05G0016180-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:65829574:65841899:-1 gene:Sspon.05G0016180-1A transcript:Sspon.05G0016180-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AtACDO1 [Source:Projected from Arabidopsis thaliana (AT4G31390) UniProtKB/TrEMBL;Acc:A0A178V5V0] MELCTSCIWTKAQWSHTITTNRRLAYHGFANSSFSAPQRRRRAALYVMNAASTGAPISRQNITQLPRTNGAVIKSISSDKSSSAMEQLDIERGVCIPFRKYTPEMVRKRVLDSRGSILSLASRGVEIVWKLGFYWSSLVYDFLVGRDEEIVPYRARQLRNLLCDLGPSFIKAGQVLANRPDIIREDYMNELCILQDDVPPVPKPGIVLQQNVAFTIIEEELGQPLERLFSKISSETIAAASLGQVYRATLRETGEDVAIKVQRPGIEPIIYRDLFLFRTLASFLNGISLQKLGCNAELIVDEFGEKLLEELDYTLAIKEAGIDVEGFLTVGVSAALRQLLEFGLFHGDPHPGNIFAMRDGRIAYVDFGNVAVLSQVAYPYIAKRLLTDPNPALRERLIQVLFKDGAFQWKRLENLIVLAKENVSKMSSNPALKKNSSQAVRNRQLESKLDLTETIKDGARMFLIDAGIRRQLILAFTEDSKLHVEELVDVYRLVEDQIDMPSVALEVLQDLPSVARDFMLSWSDSILSDRQY >Sspon.02G0009950-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:27902377:27905295:-1 gene:Sspon.02G0009950-1A transcript:Sspon.02G0009950-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DGEVVQGVGHGACQVSQRDRLRQGWSAPFSFLLPNPPTPQVPAACFDPSRRKRGAEKAVQGVRRGEGAQHYQRLRWPAGDLLQLQERPGTQIRARV >Sspon.02G0009750-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:30086292:30088582:1 gene:Sspon.02G0009750-3C transcript:Sspon.02G0009750-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIGGSEAGGGAGAAGSRRSVLVTGGAGFIGTHTVLRLLEQGYGVTVVDNFHNSVPEALDRVRLIAGARALRSPRLHPRGPEEHRGLGEGVRCQEPFQRFDLYIYNKSESHTTHALLSSISRYVAVIHFAGLKAVGESVAHPEMYYENNLVGTINLYKTMKEHGCKKLVFSSSATVYGWPEVIPCVEDSKLQAANPYGRTKLILEDMARDYHRADPEWSIVLLRYFNPIGAHSSGEIGEDPKGIPNNLLPYIQQVAVGRLPQLNVYGHDYPTRDGTAIRDYIHVVDLADGHIAALNKLFDAPDIGCVAYNLGTGRGTSVLEMVAAFKKASGKEIPTKLCPRRPGDATEVYASTEKAERELGWRAQYGIKEMCRDQWNWAKKNPYGYCGTAKK >Sspon.08G0013760-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:53086019:53087551:1 gene:Sspon.08G0013760-2B transcript:Sspon.08G0013760-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGQTVVALIFRYVLILSSYFSSALSQTRSLTPHSEGTTYLIFIYTMSITKAAVPVAAHGGYDRRRELLQAFDDTKAGVKGLVDSGTKSIPAIFHHPPDSLAAITSSTTADEAAATIPVIDLAAARREEVVALVRAAAETAGFFQVVNHGVPGEAMAAMVAAVRRFNEGTVEAKRPYYTRDTTRKMRFYSNLDLFQSPAASWRDTIFLDMEPEPPQPEELPEALRGVMFEYVDAVRKLAVWLFQLLSESLGLASDRLAEMGCGERHKVACNYYPPCPEPHLTVGNTKHTDPTFLTVLLQDAVGGLQVLLDHGGGGRGWVNVPPVPGALIINIGDLLQASTSLVSNGRFRSVEHRVLANQTADTARVSVAAFVDVGRSMRQYGPIQELTSPDGGSPPIYRSVTVEEFIEHFYRKGSEQNRPRLDYFKLVE >Sspon.04G0034480-1P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4C:75135940:75140010:1 gene:Sspon.04G0034480-1P transcript:Sspon.04G0034480-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RETERANPPFPSRPPPPPPPRPPRSRRDSTRPRLRSQGHPTHLLRQLPLFLPLPELLRGGEAVAPEPASSSPSPTPLPAAMEAYKLWVRRNRDLVRSLESLANVIPPIPLPALLPLRYNHFLLSVGPGLTWILPERFANSEIAPEAVYALLGVVSSVNQHIIDAPTENHSFASKEQSIPWGLVVSVLKDVEAVVEVAAQHFVGDDRKWSFLAVTEAVKAGVRLAAFRESGYKMLLQGGEVANEEEVTVLENNYGVNGNGVPAIYPMDGHAGNGHKAMTKGLDGKNGFVSKSLEKRAVAALNKFGENAKMMSDPMWMRRLQPTPEPTVMVAEKPTLASIWSAKGGTGRLFVLGEVVHIFRPLVYVLLIRKFGIKSWTPWRHLQKAEQVLNPVPLIGFLTGKLIELLEGVQTRYTYTSGS >Sspon.01G0041940-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1B:59478981:59479475:-1 gene:Sspon.01G0041940-1B transcript:Sspon.01G0041940-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAAMATCMPRLVRGGGCRASTARPAASVSLLERIRDVVLRLIMLSAVSRASAQRTSSCGSGKSSSKAPSPGDASRHRESDACVAVAAACRQDDSIRNEAVEDCIEFLKRSSAEGDAAKFSTASAADAKLAEVGGEDKETGAFFILNVEARREVSPSSGCRESI >Sspon.06G0006540-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:23424448:23427295:-1 gene:Sspon.06G0006540-1A transcript:Sspon.06G0006540-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDRDLLGFPPAVGAAMSSAAALLLLPLLAAAGDGAACPTPPAAAVILRHASTSCRTVDVLGLRGHRAGVVEGDDGALRKAVALVLQNREDFVAILFYATWCPFSKIFRTDFQKLSSFFPTIAHFSFEESNIKPRVLSRYGVRAFPTIFLLNSTVRVRYHGSRAMNSLAMFYKDVTGLNPVSLDATSLERMEDTVTIIDHDKKTEKEDSLLSWARSPNRLLHQDTCLALASSFVLLRLLHFLLPKLNACMKQAWRTRLYELNRLFPSLS >Sspon.01G0008070-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1A:22498068:22499966:-1 gene:Sspon.01G0008070-1A transcript:Sspon.01G0008070-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTYFQAGYAAWSKGAGTLSRGIEAEVCNEITSWKSMGDWMEDELVDKDMSSGLGTWVDFRVEEFEAGEEVESHILSSLLDEVIGDMVILLVWFRAYLPHSVGKTVSISLWNDLATTTGQEDMVDSSPVVAMKSLKVSDFQGVSLSTIGKSTLAINPDLPEAQNLKSWCDSEGKDTSLAPIGAEMGATRAGGLKSMYFDRVFLSHITSDPAMGQEKPVFFSLNAIISHIKPDQNMWYRACKTCNKKGD >Sspon.07G0032660-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7C:53694409:53695117:-1 gene:Sspon.07G0032660-1C transcript:Sspon.07G0032660-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding KPRTYLERVLRRRSGGLSPVPEIDLDSIIAPPIPSNLSTLTGIVSVMAAAAHEGITHRVATSRDDGGGASDSTAAAAVSGPIKKPGGGGRASSSRRGLRSLAAAVSLSAALTALSFFAASHSSPSPKAATASTVAVVRAGSVASEAVLALAAWMAWAEGGVHARPAATLLPYAAQLGAALAWAPLVLGHGATRAGLACCAAMAASAVACARGFGAVNPVAGDLAKPAVAWAVFLAV >Sspon.01G0051740-1T-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1D:390683:391060:-1 gene:Sspon.01G0051740-1T transcript:Sspon.01G0051740-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVELGKGMYRAMQEEHGIEPRLEHCACMVHLLGRAGWLAEAYDFIKAMPLEPDCFVWGALLGACRSHGDVKLAELAASHLLTVEPANAASCLLLSDSLASAGRQDDVMKMKRLAKRRRMKKLDGCS >Sspon.05G0006870-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:21506433:21513925:-1 gene:Sspon.05G0006870-1A transcript:Sspon.05G0006870-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At2g20540 [Source:Projected from Arabidopsis thaliana (AT2G20540) UniProtKB/Swiss-Prot;Acc:Q9SIL5] MAAPSLWQLEDAVIARLRTCATFRDLLRAHGLAVRLCLSQSSYVATQIVHICNGHGRAAHAARVFAQVPAPNLHLHNAMIKTYAQNHLHRDAVEVYVRMLRCLPHPSSSGGFSVGDRFTYPFLLKACGGLAASQLGRQVHAHVARSGCESHAIVQNSLIEMYTRCGDLSLARKVFDGMREKDVVSWNTLISAHARMGQMRKARELFHSMPDKTVVSWTALVSGYTAVGDFAGAVEVFRQMQMEGFEPDDVSIVAVLPACAQLGALELGQWIYAYCNKHGMLGKIYICNALMEMYAKCGCIEEALQLFHGMSEKDVISWSTAIGEVPILLSIRVMPNGITFVELLSACSHAGLLDEGLRYFDRMKEDYGVEPGVEHYGCVVDLLGRSGRIQRALDTIRGMPMPPDAKIWGSLLSACRSHGDVDTAVVAAERLVELEPGDVGNLVMLANVYAAAGRWGDVASTRKEIRRQSTRKTPGCSMIEVDSVVREFVAGEDLGPELGGIAAVLDILASQLADDEELVDSDCG >Sspon.03G0043060-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:75514377:75515842:-1 gene:Sspon.03G0043060-1C transcript:Sspon.03G0043060-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding FQFLDYLGKSADSDPSSPVEHLSSRSSRKTLIYLVLTLGHIYPDYDISAVRAHLFFQEEELESFKVQQWAATNEGSSLLDSMTKAIFQVIKIRECDIYSYNPDSDADPVIEKGRSKLSGDDFLTGVVSDGEEEDALIDMD >Sspon.06G0005090-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6C:16214214:16214651:1 gene:Sspon.06G0005090-2C transcript:Sspon.06G0005090-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVPFFVAFSAILLVGKYLPLALPLNLRPALLVDNAARPPVRAAKCAISVAVGGLALLVSMQQQGSGAGGGGPAGAATMMEARVLWFNSAALFLGTLLGVVAAALHPPAPFVQQVAVDHLTVVTEIVAINAFAHNLCVFFKMFKV >Sspon.06G0024460-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:54988896:54990383:1 gene:Sspon.06G0024460-1B transcript:Sspon.06G0024460-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding HVDGTKEPTEIPYLHGAEKLGLGNSKIVNCYDFAVKLTRHESCDKENTWRMGSYIHEEKFFPYWRSVLVARCKDGIQHPSYAVEDDKSDKFLLELFGSRMSHGPSFLRNHNTGLAGRIQQLTSTRQSRTYSTSALKTLAKFLKKLMK >Sspon.01G0063470-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:115731992:115734479:-1 gene:Sspon.01G0063470-1D transcript:Sspon.01G0063470-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPALLDRRRRQTTSTLLLHCRSSTSSTGRRRRQTSVQALRPGGARIMRRRRQPPAPARTTPPRRSKAMATISPATPGPLWTPCSCSRRCRRCTCSRRRWRSYPTSTSRSCRGFPPCFASPSAIEPSTIRPELQLPTTM >Sspon.03G0014010-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3A:42882891:42884505:-1 gene:Sspon.03G0014010-1A transcript:Sspon.03G0014010-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKAQVKSPGPVLTGQWCPYVRVGQGFRGFLGLCEKVFSITTMTGGWSYPLLSLPRPGIDYAKTFSPVVKPATVRTVLSLALERSWPVHQLDVKNAFLLGTLTETVYCSQPAGFVDASRPDFVCRLNKSLYGLKLAPRAWYSRFATFLQTLGFTEAKSDTSLFIYHYGGETAYLLLYVDDIVLTASSESLLHRIIASLQKEFAMKDLGVLHHFLGVTVEPRPSGLFLHQRQFTCDILERAGMTACQSCSTPVDTQGKLSEAGGPMLGPADSTAYRSLAGALQYLTFTRPDITYAVQQLCLYMHAPREPHLAAMKRLLRYLRGTLGYGLLLGRTSTAELVVYTDADWAGCPDTRRSTSGYAVFLGGNLVSWSSKRQPVVSRSSAEAEYHAVANGVAEAAWLRQLLAELHRPLARSTLVYCDNVSAVYLSTNPVQHQRTKHVEIDLHFVRDRVAIGDVRVLHVPTTSQFADIFTKGLPSSTFSEFRSSLNVSSG >Sspon.03G0022280-4D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3D:54242332:54246203:-1 gene:Sspon.03G0022280-4D transcript:Sspon.03G0022280-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKRRKGADFSPFESHTESKIASKTGGLMEAPSRDAKMLSARPPNHYGKIKLQLFPIDETIQEIMQQEKHNPYLELTLSPRKKMSSIVQHLNTKWGSSQCAKGELMLFPNDTRLDTDNPSKVAPLSWLDSISNISFGALLSEAAPSQDSKQPPSQNNTCLQQIPVTCDSFDAAIASLIARQQPSNQPKVLNPSLWEAEETCHAFPFQNQTSQASSSVPGNSIATMSSSVLCAIPETGRDDQQCATDGRKEELNGQTSVLGDDINAKPDISMSILFFSSDAAAATLIPDAMHEHDPVPAIAN >Sspon.04G0014690-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4B:57725026:57726051:1 gene:Sspon.04G0014690-2B transcript:Sspon.04G0014690-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMPPPPPPPPPPPPFGGPAAGGGGKPDRKTVERNRRNQMNALYSRLDTLVRAGSSPSSAAAAVQRGPPAMTRPERLEEAAAYIRQTTERVERLKERKRELMASARASSPQGSGSGSGSSAGAAEVEVQHLGSGLHAILVTGAPPSEGASFHRAVRAVEEAGGEVQNAHFSVVGARAIYTIHTLVAEGGIERVVQRLKAALRGDA >Sspon.02G0022130-1P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2B:72207227:72208349:1 gene:Sspon.02G0022130-1P transcript:Sspon.02G0022130-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVSPQFCAPHVVPLTVTKKAMSFSGGDFTVTDDASGAVVLRVRGTYLSVRSHRVLHDAAGRAILTMQRKRSTDKNRTEMTSSGLHEPGAGRAHGEHQSALASSCVRVPNGGTAHRVGLLGD >Sspon.02G0018090-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:73524979:73528737:-1 gene:Sspon.02G0018090-2B transcript:Sspon.02G0018090-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VERPLGRIPAIRWRSGSNQEAPLPLFLSTPPAGTKRGVNISPPSPLHVSNSSSSGFRIQHTAQGDAEAKMGLAFGKLFSRLFAKKEMRILMVGLDAAGKTTILYKLKLGEIDELRDAVLLVFANKQDLPNAMNAAEITDKLGLHSLRQRHWYIQSTCATTGEGLYEGLDWLSSNIASKA >Sspon.03G0030720-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3B:22077784:22078071:1 gene:Sspon.03G0030720-1B transcript:Sspon.03G0030720-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPGPPQCLLLSSLPCWLLPVVEPDWAGLPYKAEEKHHQIQARLQEPDGRAILHSHMKIQHLRHHERSGSTAPALFHRLFLPQPESPCPTTASYA >Sspon.05G0023440-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:4357820:4358904:1 gene:Sspon.05G0023440-2C transcript:Sspon.05G0023440-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDANRRQSGIQQLLAAEQEAQQIVNAARAAKSARLRQAKEEAEREIAEYRAQVEAEFQRKVAESSGDSGANVKRLEEETATKIEQLSQQAASISPEVIQMLLRHVTTVKN >Sspon.03G0012880-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3B:45794199:45794781:1 gene:Sspon.03G0012880-2B transcript:Sspon.03G0012880-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAFSAVMDKVKSHPEVMEKVSAVMDKVKSHPEAVEKVKDEVKSLADALHLRRHGSKDKESESEEKAEGGEAAQSVVDEGASADKTEEPNLLEQAVEEIQAVAAALQQTAPAAETETEIPVEAAAAAETSAEGDKPDETNREVEKDDPKKRLDFAGFFAMLFE >Sspon.01G0017620-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:62079844:62084692:1 gene:Sspon.01G0017620-4D transcript:Sspon.01G0017620-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCMDKAVPVDHNSSYQSKLGSKSISSSNPSTVKSGSTRSTWTVASYKDSRELPTHRTEGEILSSPNLKAFSFGDLKSASKNFRSDSLIGEGGFGYVFKGWIDEQTLAPSKPGNGMIVAIKKLKPEGFQGHKEWLTEVDYLGQLHHQNLVKLIGYCSDGDNRLLVYEYMPKGSLENHLFRRGADPLPWGIRLKVAIGAAKGLSFLHDAENQVIYRDFKASNILLDTEFNAKLSDFGLAKAGPTGDRTHVSTQVMGTRGYAAPEYVATGRLSVKADVYSFGVVLLELLTGRRALDKSKPVSEQNLVDWARPYLGDKRRLYRIMDSKLGGQYPKKGAHAVAGIALQCICNEGKMRPAMSEVVEKLEQLQDPKYNMVAPQVNTRRTSSSLSSGSVPRSPMKAQPSPRRLSGSASPLPAAAAGSPLRGSCRTAQVHKTC >Sspon.01G0029580-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:103843476:103846835:-1 gene:Sspon.01G0029580-1A transcript:Sspon.01G0029580-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLRAPPAPAPLVGSTRVAFRSGIRFSSDTVLKYRGARLCWSIYILFAVAAGLPLPATRLITSSAMKSYRLSELSDAEVSGLKARPRIDFSSIFGTLDPAVKEAFDVAYDNIYAFHVSQKLPEKTVENMKGVRCKRITRCIGSVGLYVPGGTAVLPSTALMLAVPAQIAGCKTIVLATPPSRDGSICKEVLYCAKKAGVTHILKAGGAQAISAMAWGTASCPKVEKIFGPGNQYVTAAKMILQNSEAMVSIDMPAGPSEVLVIADKYANPVHVAADLLSQAEHGPDSQVVLVIAGDGVDLGAIEAEVSKQCNALPRGEFASKALSHSFTVFAKDMVEAISFSNLYAPEHLIINVKDAEQWEELVENAGSVFLGQWTPESVGDYASGTNHVLPTYGYARMYSGVSLNSFLKYITVQSLTEEGLRKLGPYVAKMAEVEGLEAHKRAVTLRLQEVEATVTV >Sspon.04G0023440-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:12727077:12729426:-1 gene:Sspon.04G0023440-1B transcript:Sspon.04G0023440-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARDDGFPVWEAALLAGVAAVFAAGLAGVYVSMPRSDYSFLKLPRNLQELQVLTSKVTKVDRLPCSVHLEGYTSDYTIQVLVGYCAVYIFMQTFMIPGTIFMSLLAGALFGQLGGLALVIFAATAGASSCYFLSKLVGKPLVFSLWPDKLTFFQKQVAKRREKLLNYMLFLRVTPTLPNTFINFSSPIVGVPYHTFFLATAIGLIPAAYVTVRAGIALSDLRSLNDLYDPQSIAVLFLIGLVSVTPTLLGKNETPSRAPDTAASTN >Sspon.03G0006870-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:18750394:18765901:-1 gene:Sspon.03G0006870-1A transcript:Sspon.03G0006870-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endoribonuclease YBEY, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G25870) UniProtKB/Swiss-Prot;Acc:Q8L5Z4] MARIVSRALPFASRSSLHLPLTPPFPGAALLRSASTAPPLPPAAGAALAASLLSWRGHTGTPEPSIAAPPPFAGFLAGIRGFRKGRRGQASAKRSQPQDAPPPSPPPPPKESEIELIARIGVEEDMPDDPEVLNIVEILKLNVPMAMKIALDGLLDSSYSTRDTSISDVGKYDKVEVSVLLCNGNFIQDLNKEWRGEGCTTDMLSMSQYIPDLDVPILMLGDIVISVEAAARQAEEKGVTLLDEVRVLVVRGILHLLGFHHESSNEAAAELEKEEQLILKSLRWKGKGLAKSALDSSKPQTDSLDGQVTNGLKKAGSLRFYKPKFKYIFCDMDGIFFALCTSLQSRCAIVFTIIVFSFEQGTLLNSKSQVTARNAEALREARSRGVNIVIATGKARPAVIDALSMVDLAGRTGIVSESSPGVFLQGLLVYGLEGRQLYKRNLDQEVCREALLYSLENKIPLVAFSQDHCYSMFDHPLVDSLHYIYHEPKAKIVPSIDELLETAEIQKVLFLETPVGISSALRPYWAKATEGRAHVVQAQPDMLELVPPATSKGNGVKILLNHLSISPDEVMAIGDGENDIEMLQLASFGVALANGSDKTKAVANVIGATNDEDGVAQAIYEYAF >Sspon.08G0026320-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:20250955:20253868:-1 gene:Sspon.08G0026320-1C transcript:Sspon.08G0026320-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGARQVINTSDGAYNRLGFKLTTLQSRRRRSRRTTSLSPAIPPIRRPPNVSSDPVDSRSEPRTTDSTPMALPNTQPVDYPSFKLVLVGDGGTGKTTYVKRHITGEFEKRYEPTIGVEVRPLDFTTSHGKIRFYCWDTAGQEKFGGLRDGYYINGQCAIIMFDVTSRITYKNVPTWHRDISRNMDVKFVEELALVPADVTIDVAVQQQIDKEIELAAAIPLPDEDDDNMD >Sspon.02G0014520-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:38515193:38519319:-1 gene:Sspon.02G0014520-1A transcript:Sspon.02G0014520-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNALIRVSAVLTNAPFMLNLDCDHYINNSKAIREAMCFLMDPQVGRKVCYVQFPQRFDGIDAHDRYANRNTVFFDINMKGLDGIQGPVYVGTGCVFRRQALYGYNPPKGPKRPKMVTCDCCPCFGRKKRKHSKDGLPEGTADIGVDSDKEMLMSQMNFEKRFGQSAAFVTSTLMEEGGVPPSSSPAALLKEAIHVISCGYEDKTDWGLELGWIYGSITEDILTGFKMHCRGWRSVYCMPKRAAFKGSAPINLSDRLNQVLRWALGSVEIFFSRHSPLLYGYKNGNLKWLERFAYINTTIYPFTSLPLLAYCTLPAVCLLTGKFIMPSISTFASLFFIALFMSIFATGILEMRWSGVSIEEWWRNEQFWVIGGVSAHLFAVVQGLLKVLAGIDTNFTVTSKATGDEDDEFAELYAFKWTTLLIPPTTLLIINIIGVVAGISDAINNGYQSWGPLFGKLFFAFWVIVHLYPFLKGLMGRQNRTPTIVVIWSVLLASIFSLLWVRIDPFIVRTKGPD >Sspon.01G0046350-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1C:89428303:89430956:-1 gene:Sspon.01G0046350-2C transcript:Sspon.01G0046350-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLSPPRPAMEHRRRQPPAPSAHAYLAAMLAFLTLAAVAYSRAAFPRFPHPPATRGCRPDAEGSWSAGVFLGDSPFSLKPIERWRISSGPGAAWPVANPVVTCADVAEAGFPSSFVANPFLFIQGGAIYMFFETKNPISSQGDIAAAVSKDAGTTWQQLGVVLDEEWHLSYPYVFSYGNETYMMPESSKKGNLKLYRAVDFPLKWELEKVLLERPLVDSVIIHFKGFYWLLGSDLSSYGAKQTGELFIWYSSSPLGPWNPHKQNPIHNTGNRPSARNGGRPFIYNDNLYRIGKNRGGGSGYTIQVFKVQVLTADQYKEVAVPFVLDKPLKDRNAWNGARSHHLDVQQLPLSQHWIGVMDGDRVPSGDSIHRLTVGCMFYGAACILVLLLGGLIGAIKCTLPLRWYLPHTEKRSDTFDIEKQMFVEHYSKCASVREIVVVWNKGRAPVQGELKSMVPVRIRVENKNTLNNRFKIDREIKTGAVMELDDDIMMTCDDLERGFKVWREHPDRIVGYYPRLAEGWPLEYRNERYARQQRGYNIILTGAAFMDHELAFKRYWSKEAEIGRQIVDSFFNCEDVLLNFLFANASSASTVEYVKPAWAIDMSKFSGVAISRNTQAHYHVRSKCLDMFSGIFGNLTSKRSFNSRGDGWDV >Sspon.08G0001630-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:5170761:5173638:1 gene:Sspon.08G0001630-1A transcript:Sspon.08G0001630-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSDPSPHLPSPVAPPGAAEADPQPLPLPQPAEPPEVMHRTRAVDFLGRRTPIVYQNDNGPCPLLAICNVLLLKNVISLNPDEGEVSQQKLLSLVAERLIDPNIAVQDKDEEYVRNREQNVADAIDLLPRLATGIDVNVMFRKIDDFEFTREQADHNSAGCKLKRMRFTSIEAKKLVANTRAQLQREAQQAAEQAASINPEVEPKSQPNEDDQLMQRSNTQSSTTTQADVLVPNTEGNTITLLSQMLSQTYAFDNKHQGWDGSSNKEEADIDNYQNDQKGKANQEIRNNNTSLNSPYTAKGC >Sspon.08G0009370-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8A:41354092:41357049:1 gene:Sspon.08G0009370-1A transcript:Sspon.08G0009370-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKLRDWAGLPDGLLHSIVELLGSFPDLLAFAATCHPWRSAFSSYHPNLAYTHSFRLFSSTLINKICFLFDVFTGIEVSPPLLPADEYSEIRCGATLTGPLASPNSHLIVCTLSFNFFWRVGSDSWSKCSPRNGSLTEFVVFKGQVFGMGSDRSLFMVHLSPQIHLQEIPVSWGGRNSMTKWHLCNPWLVVCDDMLLMVGCQSEFPGITQDVFEAYRIDTSTDPAKWVKVERLENWAIFISSDRRVQPLSCLNPERCGGRSNCVYCYDYEFGHLVAFEVGKPLPEDDATSGECRQSVAEAPRTAGLPEEASPPHAAAAPSTGMPPLAVRRSALYAHCSSDIFTILRLRPHCFVRIP >Sspon.03G0005530-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:15903437:15906793:-1 gene:Sspon.03G0005530-1P transcript:Sspon.03G0005530-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSSLARPLRPPVLAGCGGRRGHGAPRGSVSVARCRAEAAPTVGTASRAPAGPYTGRDPEVKKPAWLRQRAAQGEKYARLRESIGELKLNTVCVEAQCPNIGECWNGGGGAGGEGDGIATATIMVLGDTCTRGCRFCAVKTSNKPPPPDPLEPLNTALAVASWGVDYVVLTSVDRDDLPDGGSSHFAQTVRALKELKPGILVECLTSDFRGDLEAVSSLANSGLDVYAHNIETVRSLQRIVRDPRAGYDQSLAVLKHAKDCREGMITKSSIMLGLGETDEEVKQAMMDLRAIGVDILTLGQYLQPTERHLTVREYVTPEKFQFWKEYGESVGFRYVASGPLVRSSYRAGELFVQNLVRNNKTGSSSS >Sspon.01G0014040-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:39792215:39807038:1 gene:Sspon.01G0014040-3C transcript:Sspon.01G0014040-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRLAAAAAVARRTGEALRRGALGGLRPLSSLQPSNAASSDEVLVEGKASARAAVLNRPGYLNALTTTMGARLNKFYESWEDNPDIGFVMMKGSGRAFCAGGDVVRLRELISEGKLEECKDFFKTLYMFIYFLGTYLKPHVFATPEVHIGFHPDAAASFYLSHLTGHVGEYVALTGEKLNGTDMIALGLATHYSMSGHLDLIDERLAKLVTDDPSVIDSSLAQYGDMVYPDKKSIVHRLEVIDKCFSHDTVEEIVDALIREGRYQTLDECLVREYRMSMNGISKQFSHEFCEGVRARLVEKDFTPKWDPPALEYVTNDMVDAYFAPLGDLEPELKLPTESREAFV >Sspon.04G0013940-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4C:53446832:53454018:-1 gene:Sspon.04G0013940-2C transcript:Sspon.04G0013940-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCPYTSSQNGKAERMIRTTNDTVRTLLFQASLPARFWAEGLHTSTYLLNRLPSAACPAPTPHHALFGTPPRYDHLRVFGCACYPNTAATSSHKLDPRSTLCVFLGYSPDHKGYRCFDLTSRRVLISRHVVFDEYCFAARRAGPLSWIPGGSGSVVLGRGPVFSGWCSPGAFVPRFWHGGSCGSPTPDPVPAPAPAPPSRFAAPVRVYQRRPPPLAPPSPPGTPTPPPQSPPTRGASPVYHPPLLHRHPRHVHPMVTRHAAGTLLPRVLEASTGAAVISPVPSSVRDALLDPHWRRAMEEEYAALLANQTWELVPRPPGANVVTGKWIWTHKRRADGSLERYKARWVLRGFTQRPGVDYDETFSPVVKPATVRTVLSLALARSWPVHQLDVKNAFLHGLLTETVYCSQPAGFVDTTRPDMVCRLNRSLYGLKQAPRAWNHRFAAFLLTLGFVEAKSDTSLFVYHHGADTAYLLLYVDDIVLTASSEPLLRRIIAALQQEFAMKDLGELHHFLGVTVEHRPAGLLHQRQYTRDILERAGMSDCKPCSTPVDTQGKLSEAEGPPVADPTAYRSLAEALQYLTFTRPDITYAVQQVCLHMHDPREPHLTALKRLLRYLRGTLDYGLLLHRASSADLTVYTDADWAGCPDTRRSTSGYAVFLGGNLVSWSSKRQPVVSRSSAEAEYRAVANGVAEAAWLRQLLAELHTPPSRSTLIYCDNVSAVYLSTNPIQHQRTKHVEIDLHFVRDRVAMGEVRVLHVPTTSQFADIFTKGLPSSTFAEFRSSLNITGG >Sspon.03G0004590-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:18538600:18544437:1 gene:Sspon.03G0004590-2D transcript:Sspon.03G0004590-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEPAVGYDGFEAAGDAGAGGAEDNLSMSLGDFMAFLETEPAPPEEGGEEEEQLQPGVNQGCFEMPANTDGSEDLFQSHEEMFENAEFWSNYSHVDASECHMELSGGEQMIDHTEASPYELFSNGEKYKDLQNQSRTSNLDNEHFPRDGSNHANVEEATGPPYEDLSNDFSGGISTEHSDLSEIKWESTDEMLGNTGQDGDHFTSMGMFSLTHNTDVPDISCTEFNMGERTESIRNGNNSCLTMQEEHVQAECGGYPHPDYISVDMVDERSLHDLPHGFSQNNEQYEMEQFPQSICESGSMQMDSPDQYCDDTSLSDLYMDVSSPESISCEQNHTLDIFGDWQDISQPKSEANPPDGVLAVPLLRHQKIALSWMVQKETSSSHCSGGILADDQGLGKTVSAISLILTERSPVPRSATIKNEPCEAVNLDDDDEDDCIEPHPKKLMQTCSSEVTTNTVKQENPIVAVKTRPAAGTLVVCPTSVLRQWAGELKNKVTSKANLSFLVYHGSNRTKDPNELTKYDVVLTTYSIVSMEVPKQSNPDSDDEEKGKPDRYGAPVSSSGSKKRKASSSKKTKSKSAAESCLPEKPLAKVAWFR >Sspon.04G0030880-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:2877260:2878663:-1 gene:Sspon.04G0030880-1C transcript:Sspon.04G0030880-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLALLTVLLLAHAVAYLAWQAVSRRRRACCYLLDYACHKPSDDRKVTTEMAGDVIERNKRLGLSEYRFLLKVIVNSGIGEHTYCPRNVLQGREESPTHDDALEEMDDFFADAVAGVLAKTGVRARDVDLVVLNVGSFSPAPSLVSRLVHRFGMREDVMAYNLSGMGCSAGLIAVDLARNVMLTRPRTTMALVVTSESCAPNWYVGTDKSMMLGNCLFRCGGAAALLTNDPAFRSRAKMELRCLVRANIGAHDDAHAAAVHREDADGRLGVSLSKALPKAAVRAFTENLQRLAPRILPARELARFACRLLLRKLLLAPRNNSKAEGGASSPKIDFKTGVDHFCLHPGGTAVIEAVRKSLGLTAHDVEPARMTLHRWGNTSASSLWYVLSYMEAKRRLKRGDRVLMVTFGSGFKCNSCYWEVTRDLADAGAWEDCIDEYPPETLVNPYMDKFGWVNDVQGQGSGFIF >Sspon.05G0002180-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:6479102:6482298:-1 gene:Sspon.05G0002180-2C transcript:Sspon.05G0002180-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVIAHDPYASADRARAIGVELVSMEEAMTTADFISLHMPLTPATNKMLNDEAFAKMKKGVRIINVARGGVIDEEALVRALDSGIVAQAALDVFTKEPPAPDNKLVLHENVTVTPHLGASTVEAQEGVAIEIAEAVIGALKGELAASAVNAPMVPAEVLSELAPFVVLAEKLGRLAVQLVAGGGGIKSVKVTYASARAPDDLDTRLLRAMVTKGLIEPISSVFVNLVNADFTAKQRGVRITEERILLDGSPETPIDYIQVQIANVESKFPSAISETGEITVEGRVKDGVPHLTKVGAFQVDVSLEGSLILCRQVDQPGMIGSVGSVLGEENVNVSFMSVGRIAPRKHAVMAIGVDEEPSKGTLTKIGEIPAIEEFVFLKL >Sspon.01G0010380-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:35494357:35495811:1 gene:Sspon.01G0010380-1P transcript:Sspon.01G0010380-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRSGRRVLLMVSASGTFLGCFLTGVSFYLKVYIGAYSIGMGPVPWVVMSEKNSKMENGNLQDTTE >Sspon.04G0013680-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:49342572:49345815:1 gene:Sspon.04G0013680-1A transcript:Sspon.04G0013680-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRYYCDYCDTYLTHDSPSVRKQHNAGYKHKQFEEQQTQSLIDQRIKEHLGQAAAFQAGAPFNQHMLAFPGAVARPRLPILPTPGMPHGFPQAPGAPLMPGVRPPILPAPGIPGYPGAPPTMPQPGAPPGSMPQPGAPPGSMPQPGAPPGSMPMQMAPLPRPPMLPPPTSGVPGAPIPNSAAPPVIYQANPTAPAGPTSGAPPAPPTAPQPTFSYAQPSEGNH >Sspon.06G0006930-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6B:23045048:23051768:-1 gene:Sspon.06G0006930-2B transcript:Sspon.06G0006930-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AQAAGVLYHQPAQMAALGPDAVRNSIHSVLRCVWFEFGSGQLSGDLCFECLRCFQILSEFRCSPSCTRGLVLGIEPVCPPDCFQYKGTLDVFSKVVRQVGIYLPCYDIFRNAIEDFTRTNAPGLTPYAPLVAGSVARSLACIVCSPIELARTRMQAYKEFRPGVKPPGMWKTLVGVLSPLASSSQNVQSYRVLWTGVGAQLARDVPFSAICWSTLEPIRRKLLGLVGEEGNAASVLGANFAAGFVAGSLAAGATCPLDVAKTRRQIEKDTEKAMRMTTRQTLTEIWRSGGMKGLFTGVGPRVARAGPSVGIVISFYEVVKGWIEVTWTELWQVGGVDFMLSMSDPCQIGGPVRYKAEKDRSSSRSVEWEDQPHDAYMEEAIPKAAAPQSPGPASPLPAPTPCPVHGYAPCPPHEVCPMNPDFDAAARTQTPPPPRTPPPTMAARMSAPSRLMAYAGIDPTPAAPPPSPASPERANDVVAPPPRIIRTWISVPHGPSAHRLANREKC >Sspon.05G0008660-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:25900472:25904046:-1 gene:Sspon.05G0008660-1A transcript:Sspon.05G0008660-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALTRLLMMASRPPCSLLLPSPSYKTSRCCCHRGRRLLRSALPGGYQEISTITPTLRQQSMAQLERGSLLVGGRELLVRAPPNVTLRPAGTGVADDDARAAFLGARAAAASSRHVFSVRHLARRLCHRFDSWLMVSFLDRWPRSGWRWLSLFRFKIWWMMPTTGVGAAAVPAETQMLLLESTIEAGSEAATERGSLYALMLPVLDGGFRASLQGSPEDELQFCFESGGVPPRFLIIDDGWQETVDEFKEADEAIREQAVFAHRLSDLKENHKFRGETCKNLEDLIKKIKEKHGVKYVYMWHALLGYWGGILATSDAMKKYNPEFVYPVQSPGNVANLRDIAMDSLEKFGVGIVDPDKIYEFYNDQHSYLSSVGVDGVKVDVQNVLETLGRRFGGRVAVTRKYQQALEKSIAQNFKTNNLICCMSHNSDSIFSALKSAVARASEDFMPREPTLQTLHIALWHSIAYCWEKSSYLTGICSIKHESAEFHGAARALSGGGVYVSYFLVVAFNPSTGVHHACIFVVICSDKPGVHDFSVLKKLVLPDGSILRARYAGRPTRDCLFTDPVMDGKSLLKIWNVNNFTGVTGVFNWSWTWVWPVKETAYVPININITGQLSPSDVESLEEIAGDDWNGETAVYAFSSCSLSRLQKHQSLEVSLSTMTCEIYNISPIKIFSEVVRFAPLGLIDMFNSGGALHNVSSIADSSATTIHIRCQGPG >Sspon.05G0014260-4D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5D:50742196:50744038:1 gene:Sspon.05G0014260-4D transcript:Sspon.05G0014260-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKQFKVLLPPSFHKLRISDELAGCFDTGEGEGAPEPTALVVSPFGKVWRVEVGRDGDGAFLGRGWAEFLAAHGVDLGWFVVLRHEGGGALTVKVFDTSMCIKEFGAPAAVMTSKSSKGIICKPQFIRIFLPYLSEKMILPARFVKDYIREECLNSRTAIILSPLGKFWRIELKNDQSGIFFTGGWSQFLDFHGISNGDVLLFRYEGNMVFKFKAFGLSGCQKDFRNQNAGIQLRARSAAARPVLDPGQSTPVFKTDGSIPPGRGPKTARSGLNEQRLSEKMN >Sspon.03G0019760-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:47367128:47369342:-1 gene:Sspon.03G0019760-3D transcript:Sspon.03G0019760-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein CLMP1 [Source:Projected from Arabidopsis thaliana (AT1G62390) UniProtKB/Swiss-Prot;Acc:O48802] MGKSGARKKKPAAAGAKPPPASAELKATPPSSSLPAANGGAVPHQAVDAGVLLRRAHELKEEGNRLFQSRDYAGALRQYELALRLAPRGHPDRAVFHSNRAACLLQLRPVDHEAVAQECSLALQAEPHFPRALLRRARALEALGRHELALADALALLALDPDHRDAIDLSYRLRARVNASSAASASSAPEPTSRPSPAALGASAVVAGLGHSLPARPFPKKQPPAAPTATLQPNLAVMSKSNPSPLPKLVPFSNSPPSSAKPLAADSSEKAMTLPVASLLPATVERLINKKVVTRWRPLKLVYDHDIRLGQVPEKCGFRTLREFVAKRFPSSKAVLIKYKDADGDLVTITSTEELRLAESFIDKAGHEVVENGREGDNKLPVLRLHLVETGTNFAIRREVGGGEELLVSGEDSTLNTSAVVTNAEVMKQDVENGVAEQSMETGKKDCGHAECKEAEIDDWLLQFAELFRNQVGIDADAHLDLHELGMELCSEALEETVTSEEAQALFEMAASKFQEVAALALFNWGNVHMCEARKRIPLDESAPKEVMAAQLRTAYHWVKERYALAGHKYEEALKIKPDFYEGLLALGQQHFETAKLHWSFALADKADLSTWDSLETFKLFDSAEHNMRAATEMWEKVEEQRMAELKEPGAGEKDDVLRKRQHGAGGQPELTPEEAAEQAAVMRQQIHLFWGNMLFERSQVEFKLSVGDWKTNLDASVERFKLAGASESDISQC >Sspon.03G0038570-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:5882504:5884357:1 gene:Sspon.03G0038570-1C transcript:Sspon.03G0038570-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding KMHSQHPYYPHRLSNTAIGYLNLVTLVASIPIIGAGLWLAHGSAATCESALQAPLLAIGFILLLISLAGFIGACYHVTWALWLYLLAMLLLVVALLGITVFGLAVTAGGGGRQVPGRAYQEFRITDYSAWLQRHVEVDRYWRPALACVVGSRACPKIATWTPLDYLQHNLTPIQSGCCKPPTSCTYNQGIPVAPQDEDCYRWNNAPSILCYQCDSCKAGVLEQVRRVWHNITILNVIILVVLIAIYSCGCCAFRNARRAEAAGYPYGVNRMSKSNPRWDYFRKSFLWFRDVDVITAGCR >Sspon.08G0022720-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:50716138:50717600:1 gene:Sspon.08G0022720-1B transcript:Sspon.08G0022720-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATADAARPAAPAGSGRDHVVVFPFMAKGHTLPLLHFASALAAHHGGLRVTVVTTPGNLAFARRRLPARVGLVALPFPSHPELPEGVESTDALPSHSLFSAFLRATALLREPFAGYLASLPAPPLALVSDFFLGFTQRVAGDTGVPRVTFHGIVTITADEIPHGVVQAANVDNPVTRFVFDEVRYWEYKSWGVLVNSFDALDGDYAAILESFYLPGARAWLVGPLFLAAGESPEGGGDDDEDPEGCIAWLDERRPGSVVYVSFGTHVRVTVAQLEELAHGLADSGHAFLWAVRSSDTWSPPVDAGPEGKIVRGWVPQRRVLAHPAVGGFVSHCGWNSVLESLAAGRPLLAWPVMAEQAANAKLVADILGAGVRAGVRAGANVAPELVGRAQVAEKVRELMDGGEDGRRMRARAEHVRQAARAAVGEGGTSRLALRWLVDELQRTYDGRRSDE >Sspon.01G0030400-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:102019896:102021651:-1 gene:Sspon.01G0030400-2D transcript:Sspon.01G0030400-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRKQRGGGDGEPAAEGDAAAGGAGGGHSPSTVFVSNLPYTFKSSDLEAVFSEVGPVRRCFMVAEKGSEKSRGFGFVQFATVQDADRAIQQKNGFPVAGRKIRVKLAMNRAPLKERLQKKENKPEQPHLLSKDAMVSKEAPIGDRKSEKVAKTVIFGGLRDSTMASEVFRQAREIGSVVSVNYPLPKEEMEFH >Sspon.02G0023400-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:82866745:82867596:-1 gene:Sspon.02G0023400-3C transcript:Sspon.02G0023400-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIPSPLHPFAIVVAAAMLILLPSASLAGDADLLQDICVADLTSTVKVNGFACKATVTSDDFYFKGLAVAGNTSTTTGSVVTAANVVQVPGLNTLGVSLSRIDYAPGGVNAPHTHPRATEMIFVLQGTLDVGFVTAAGNRLVAKTLSAGDVFVFPRGLVHFQRNAGDGPTAVLAAFNSQLPGTQSLAAAMFAAEPEVPTPC >Sspon.06G0027670-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6B:88053319:88053630:1 gene:Sspon.06G0027670-1B transcript:Sspon.06G0027670-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIGRGRSNRGEAPRRQSPVAAAPPAVDVELAQEPVLECMFLTADGWEQTMCSVCQSEMADGEKVRVLTACTHSFHTTCVEQWLRDHATCPLCRAPTGAAAVPK >Sspon.03G0046290-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:34740926:34744991:1 gene:Sspon.03G0046290-1D transcript:Sspon.03G0046290-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHDACNLGWIMSRLGSLNEPEDKLGSAHLGWLPHVDGTGFGAEERAASGSRAKDRDDPICIALPSSGDETWEHEPSPSPREMTGQLIASARRRHLIWLLRRTPHSGRFIGLDWEKGGVTRDAVAGLLLLFHRRLPTGPPPTQATRAIEGEFR >Sspon.08G0015000-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:60276986:60277183:1 gene:Sspon.08G0015000-1A transcript:Sspon.08G0015000-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVRPMVALRAALVGGIAVFAKIGGAMKAAGGVKIGAAAAAVTAAASAAISGKDAKEDTSKAETK >Sspon.07G0016420-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7A:58147136:58147585:1 gene:Sspon.07G0016420-1A transcript:Sspon.07G0016420-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMVIVLAVCLALSAASASALQMPWAGLQGFSPVTTMMGAGWLYPCAEYLRQPLCSPVAAPFYALREQTMWQPNFICQPLRQQCCQQMRMMDMQSRCQAMCGVVQSVVQQLQMMMQLQGTAAASSLYQPALMQQWQQLLPAAQALTPMAM >Sspon.05G0038210-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:5D:35955344:35955649:-1 gene:Sspon.05G0038210-1D transcript:Sspon.05G0038210-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding IAKRTIRYELLMKAMVKPAQPTVPLRVVLYLPMGRAFSPCTTLRCFSRAEPTHGPVELLSLPMCGYTLLHESVKVVASPRTLHSCCPRWPWLCPYAARAPP >Sspon.05G0005990-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:19204600:19208620:-1 gene:Sspon.05G0005990-1A transcript:Sspon.05G0005990-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSCLGACSGGGLALSAPRCRAPSYCSVAPHRASVSCSAGGGKASPRGKDNVWSVDNDRAAKEAVRGTKHRRRRRPSGRRLPPPKRKGKDAGSRVLVSGAMLVEVETVLQTQEPVIKPSWDTFASSLSGNWKGVGAVFSPITAEMEPVGVGSKEEYLYDCYTLSHIERSFDGGHGSEIRRKTNWVPINPFGEAEKQITSYDGGSQSTSSGKGTANLPSYESFDLNRSAVLDEETFSMEPGIVFFEDGSYSKGPVDIAIGEYDESKYFLSPTYKFEQCLVKGCHKRLRIVHTIEFNEGGANIQIVRVAVYEEKWASPANIHVEDDTLVDLKPFSQRCRTKPSELTGSWKVYEVSATPIFSDEMQELEGGSPFVYLCMETVKKRTLPESSVFFGEEEMLDMQDVTVLWLPGGVTAYVDINEDGILCIGVGWYSEEGINLVMERDYGTDGRLREVRSKTEVKRWWYQSVP >Sspon.02G0002020-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:6694194:6700479:1 gene:Sspon.02G0002020-1A transcript:Sspon.02G0002020-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DDRRAEQGLGGAAACCRRRAAPALVLPMPSSSSAAHRGRLLAGQEATPPPPIRALSPSLIACACVWSRPAEDSRRPIDEQNKEGRWSRAKRVVEESDGRTERHYVSLWAAVGSPRWAVGAPVWAGWVRTGMTEAPFLPRERLFKQQHYFQNLTKHTYLKGRYDVITSVAIPLALAASSMFMIGRGVYNMSHGIGKKEKTE >Sspon.05G0007900-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:26068437:26070814:1 gene:Sspon.05G0007900-4D transcript:Sspon.05G0007900-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding EMGKNQAYKAMQRARVGSSSGAPGSADAPEDGQTDGSFHSPEWHAARLASLNKTHTVTWEEFKKKQQEEELKRGELEADKDKMMREYRAQLDAERAQKLANGRNHLRSNSKSSSSKTERKDKDAKKRSKKRRQHRSSSESSLSSSSDSSSSDDEDRGSRKSRSRSRSKRTKKEKKHRSRSKRR >Sspon.01G0030720-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:104927016:104929210:1 gene:Sspon.01G0030720-1P transcript:Sspon.01G0030720-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVRPGWVVWVARGSAAAWQRVACNPETLPPDRVLALICCAPLHLLARLAAFLCVPFLPGPARSRRFLVLRPPELAPHPFAYSSSSSSSSSSSDEEEDDDGNDIHQHVLTSKNNFTHSCLRIRLRKREFGDSGGKNEHWHALDAELLALLSHGFQDLAF >Sspon.01G0048670-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1B:110070823:110071335:-1 gene:Sspon.01G0048670-1B transcript:Sspon.01G0048670-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVTLRRFELSDVDAMMAWASDPEVAVFCRWEPYASTEPLLAYLRDTVLPHPWFRAICVSGAVVGAVSVSPTPERCRAELCYMLARAHWGKGVATAAVRRALRDAFADLEPQGLRRVEALVDVGNPASQRVAEKAGFRREGVLRRHYWHKGRARDMVMYSFLSSDFFPE >Sspon.07G0023390-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:21244543:21248999:-1 gene:Sspon.07G0023390-2C transcript:Sspon.07G0023390-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycerol-3-phosphate 2-O-acyltransferase 6 [Source:Projected from Arabidopsis thaliana (AT2G38110) UniProtKB/Swiss-Prot;Acc:O80437] MVASRRFKPIEECSSEGRSEQTVAADLDGTLLISRSAFPYYLLVALEAGSVLRAALLLLSVPFVYATYIFVSESLAISTLVYISVAGLKVRSIEMVARSVLPRFYAGDVHPESWRVFSSFGKRYIITASPRIMVEPFARAFLGADKVVGTELEVGKNGKATGFMVKPGVLVGDHKKKAVVKELGDAVPDVGMGDRETDFDFMSICKEAYLVTSRKYSPVPKNQLLSPLILHDGRLVQRPTPLVALVTFLWMPFGFALALMRVYINLPLPERIVHYTYKLMGIRLIVKGNPPPPPKKGHPGVLFVCNHRTVLDPVEVAVALRRKVSCVTYSISKFSELISPIKAVALSRERDKDAENIRRLLEEGDLVICPEGTTCREPFLLRFSALFAELTDRIVPVAINTKESMFHGSTVRGFKLMDPYFFFMNPRPTYEITFLTQLPKDLTCSGGKSPIEVANYIQKTLSGQLGFECTTITRKEKYGILAGTDGRVPSKNKDMEKEKN >Sspon.01G0038750-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:21172786:21174485:-1 gene:Sspon.01G0038750-3D transcript:Sspon.01G0038750-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEMTARQQIVYTAKQMGRRSISNAKTFAVMGLIFSAAECTIEKIRAKHDTTNTAVALKLHALDVRGLLRSQWRLRSSLIGILEMGVVNLKPAEYEQRWQKNLIHKREFTSLV >Sspon.04G0020290-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4C:76559644:76559943:1 gene:Sspon.04G0020290-2C transcript:Sspon.04G0020290-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKRVAAATLYCMLIVLSVSGQQQKVAGFCGCFGDCYHDCREGHRHPGWFCTLKCVETCAAVISDEVHGTTVGAAAVCSGICSFTTSICGVAQTTDGK >Sspon.01G0063240-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:113899294:113901951:1 gene:Sspon.01G0063240-1P transcript:Sspon.01G0063240-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding CSAAAGASSLSLSNARSSATRAPSRGPVRLRGDAPVETPVTPATTIPTPSASRGGGATPPAPLLSASTAPSRNPLPRCGSAPPTTTSARRPARTPGRRTAPRLLVLCSITTGRRTGTPPRRPTPPWSPATSGSATCRARRRSSAQRPRLPGGSIWTPSCSAGTSRPGASTARASCSREFLVKNVVTWTSMVSGYFRAGRVREARELFDVMPDRNDYSWTTVVQGYTSNGMLKEAREMFDRMPQRNVVAWTAMVKAYVDSGHIQKAWELFDMMPERNSYSWNAMISGFLNIGKVAEAVQLFERMPHKHRNAVSWTTMVTGLAKNGLACRAREFFDRMPAKDTAAWNAMITSYANNGQLNEAQRLFDTMLAKDLVTWSTIIEAYSKNEQTSLGNALLTMYSRSGDLTSAWFAFKRLEEKDDITWTSIVQAFANHGCGYHALQGFSQMLRHGYKPSSTTFTAVLSACRHAGLVEKGRKMFKSIYHVYGVEPTIEHYSCLVDLLGRSGYVREAKELVDGMQQGMRDEAILATLLGACVMHNEVEVARDVGEDLVRFDPSGSGGYRLLANVFASHGMWDETANVWKVMRGSKAKRTPGFSQIEVNMRNHVFYSRDQEHPQCPEIYEMLNDTIVPQMKGSSCMGFWEPTLLSDALAYPPPGDLGCLGLLEKCKIDMK >Sspon.04G0012810-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4B:43479593:43482501:-1 gene:Sspon.04G0012810-2B transcript:Sspon.04G0012810-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DSLDTKIILVNNGIDNRLIVARQLPHPVESIWRQVVGGSVVPPFFPGSLSRPVPFSAVSLVIIITSAKQSKGPELPTTGPPLRQRHGEEERTRKSYSFLLGGAAGPPPPSPPFLVFPRAGRVHRPSPNSTPPRPSCATCSLLHLVPPTAAPLPELPPARAPPSPWDRSVQSAVQRAVGSEGGVASSAVRSVRRAFLALVRARRRPHQLWEGAEPGWRLLEAVGWVMVRFLRRGHSLDKTGSHNNSNQQQQRERHLDRSGSDTGEMHESLGNGAGGTPPLPNGRAAAAGAARSRLGRDGPPSELDIMKEKFAKLLLGEDMSGTGKGVASALALSNAVTNLAASVFGEHRKLEPMAPDTKERWKREVGWLLSVTDHIVEFVPTRQTAENGTTMEIMSTVQRRDLAMNIPALRKLDAMLIGYMDNFVDQTEFWYEKGGDNKRDDDKWWMPTVKVPSEGLSDVTRKWLQYQKECVNQVLKAAMAINAQKGKTSLGDAIYRSITEDTFDPLEFLAGMDLSTEHKVLDLKNRIEASTVIWKRKMQTKDSKSSWSSIVSFEKREQFEERAETILHLLKIQFPGTPQSQLDISKIQYNRDVGYALLESYSRVLESLAYSVMSRIEDVLSADAAAQNLTATEAARRMMESAELPAARKLDAKEELEKLNEAPASMTLFDFMGWHFDQDELMKRREDGTLDADGEAMLLKKAPSMAPKKFSYVDSLSSGGGMRSPSARH >Sspon.07G0014420-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:57919888:57926987:1 gene:Sspon.07G0014420-2C transcript:Sspon.07G0014420-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRWLPALLRRAAPAVSGGGGAARLFASSSLLFDDTQVQEYGGMGLGYMYHCIAMEEITRASGAVGLSYGAHSNLCINQLVRHGNPEQKLKYLPKLISGEHIGALAMSEPNSGSDVVSMKCKAEKVDGGYVLNGNKMWCTNGPSAQTLVVYAKTDLAAGSKGITAFIIEKGMPGFLIMLLRVLVYDYRFSTAQKLDKLGMRGSDTTALCHAKMSSVKKAKGFLETCITGGPIGLMQACLDVVLPYVRQREQFGRPIGEFQFIQGKMADMYTSLQSSRSFVYSVARDCDNGKVDRKDCAGVILFAAENATQVALQAIQCLGGNGYINEYPTGRLLRDAKLFEIGAGTSERTEISSRLLRDAKLFEIGAGTSELSADHCNEHNGQASSEYDGLCLCFEVTGDGLTDPAKVSVTGASYDPYQIPWEALHSGSGPSSQP >Sspon.06G0000580-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:2481885:2483749:1 gene:Sspon.06G0000580-1P transcript:Sspon.06G0000580-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MITTAHSRQQHHAFEKSPGNNHMKSIDRKLQQAMNQAASKYMQRIYPLGIQRSSSNLTLSSLSLSQNSNDSSISSSNSSWEPKVPLLYGGTFSPWGDVMVSREMRREDDKVSDHDVEGGEEDFDCSEPGSLHRCSWITKNSDEAYVQFHDECWGVPVYSDNRLFELLSLSGMLIDHNWTEILKRRDMYREAFADFDPSAVARMDEDDVAEISGNRELRLAECRVRCIVENAKCIRKVAREFGSFSGYMWGHVNHRPVVGKYRHHKYIPFRTPKSEAVSKDLVRRGFRLVGPVIVYSFMQAAGMAIDHLVDCFRFHDCVRLAERSWGITN >Sspon.02G0007310-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:15304301:15306599:-1 gene:Sspon.02G0007310-4D transcript:Sspon.02G0007310-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQTFIMIKPDGVQRGLIGDIISRFEKKGFYLKGMKFMNVERSFAQQHYADLSDKPFFPGLVEYIISGPVVAMVWEGKDVVLTGRRIIGATRPWEASPGTIRGDYAVEVGRNVIHGSDSVENGKKEIALWFPEGVAEWKSNLHPWIYEA >Sspon.02G0050620-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:63488352:63491695:1 gene:Sspon.02G0050620-1C transcript:Sspon.02G0050620-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFKKGKNGAYVITWESEDNEEEEEESSNKAFASIAINKKPSLFDSSSSCFMAKEAKVLYDESDDESEMEYAHDSDNDNDNENDDDEPTKEQLYDLMQQTRDIAIAKDKECKKLSMKVAILEKVLSELKTTHESLVEDHEDLDKAHSKLEKAHSLLLEQQAKKEVVVSCDIGVTCDIIDESFNEPIIMSNANPSCSSSSTTTNSTSTTSDGFTCDASLMVENETLKREGVKGVQAKFVGTPIVGPKKKAIWVNYKAGGRHWIIDSGCTQHMTGTSRMFDSINDNDSGVDSITFGNNKKGKVIGLGKIAISNDMSISNVLLVESLDFNLLSVAQICDLGYKCIFGVDDVEVISVDGSNVAFKGFRYENLYLVDFNARETSLTTCLVSKSSMGWLWHRRLGHVGMKQLKKLTTHNLVNGLKDVEFEKNKLCSACQAGKQVGSSHPKKSTMSTCKAFELMHMDLFGPTTYTSIGGNKYGFVIVDDFTRFTWVFFLSDKSEVFDTFKTFLTRVHNEFEIRIKKVRSDNGSEFKNTRIEELCDHYGIGHQFSAKYTPQSNGLVERKNRTLLDMARSMLHEYNGFGCKCYILKKGTRLGKFDKRCDEGFLLGYSTTSKAYRVWNNSSGILEEVHDVQFDETNGSQDEEENLDDIGGTLLVEAMKNMDIGDIRAKQVIDIQDDDKDQVLNSPFKLVSDSQVQDQGASSSHQDTSSDQPSSSNQVQVLQPQHVARDHPIDSVIGDIRSGVQTRSRLAMFCEHASFVSTIEPKKIEEALRDADWIMAMQEELNNFTRNDVWELVERPNDYNVIGTKWVFKNKQDQDGIVVRNKARLVAQGYTQVE >Sspon.03G0036930-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:95788284:95789018:-1 gene:Sspon.03G0036930-1B transcript:Sspon.03G0036930-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding KAWPPKTHAPSRSAARYTSSPSPARASTASTRLYCLPSAPRSPQSGPTRAAPARSSWPPRASSSATAATWHGRHRTAPVDRLLAYRAALRGLVADLLALPVPTVAAVTGHAAGAGCALALAHDAIVVRASRGFLYMSEVDAGIKIDFVGEVLRQKVPDAVARRDLVMKGHKMIAAEAVRRGIVDAAVDGGVEDVVAAAVAMAEELAGRGWDGENLANIRKATWPVLWSWSKVEDYGGEAPARPRL >Sspon.03G0004560-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:21525182:21525436:-1 gene:Sspon.03G0004560-2B transcript:Sspon.03G0004560-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MANRGTAALVFACLLVAVTLADAAVPPVSPLVCNKVHGLQERETCFAVAQAAGLTLKQFLSFNPNIICEKLFVGQWICLDAAHA >Sspon.01G0043030-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:71243056:71246380:-1 gene:Sspon.01G0043030-1B transcript:Sspon.01G0043030-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone-lysine N-methyltransferase ATXR4 [Source:Projected from Arabidopsis thaliana (AT5G06620) UniProtKB/Swiss-Prot;Acc:Q9FG08] MFLRRRLLSTAAAAAAARGPPPIRVSLTESAGRGVFATRPVSSGELLHSAQPLVSHPSHSLLHEWNQPTGLFWAQVCYNCLRRKPWEGRVSSGGYYFCSDACRDHAKGFHDIDKNVDWSLFDYHCSSRGLKYPYMAKRLACMVISGAANADCLNILQPAQLHQGTLIEMEEEFELLESTFRKAGFQEEVTNCILQILFPLIATILSFLQLNVEKIGSFIEFLSQSSSNLVDFDKINWYINVLARIRINAFRIELVASSYEDLLSSAAASVSCDSSVGNAVYMLPSFYNHDCDPNAHIVWLDNADAKLKALRDIEEGEELHICYIDASLDADARQKILADGFGFKCHCLRCLSGD >Sspon.01G0031280-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:107323168:107325640:-1 gene:Sspon.01G0031280-2B transcript:Sspon.01G0031280-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPPPGELAKAVVEVSEARKSGKRHPPTGSAPPPWIHRGRLGAPWIRHGGGPPCRCRVGGGSSRAEKSTRAAGSEDPPPRERSGKGEEEGERGRPGGGARRRTEEELRRRTEEECARSARAASRGGGRAVWSGGGEQVGLGGSGQSQRMDTARGESRARCLRRGASVRVRTHHSSSQPPLPALSPRFASSADSSSSSSCLVRSLPPRELSRDGKAPAPLRFPSPRALWLPPRSARARAGSAPDFGLFVLAGRSCDCNTSANLALDVLGFQQLLRNPVGSRVDLLTANARSGVAVNDECMLKFGELQSKRLHRFITYKMDDKFKEIVVDQVGDRATSYEDFTNSLPENDCRYAIYDFDFVTAEDVQKSRIFYILWSPDSAKVKSKMLYASSNQKFKSGLNGIQVELQATDASEISIDQIKDRAR >Sspon.06G0011810-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:45480469:45481017:-1 gene:Sspon.06G0011810-1P transcript:Sspon.06G0011810-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAVVTAVVMEAAAAATYTVGAPDGLWDLETDYAEWVKTKTFHPGDKITFTYSPELHDVVEVTEAGYDACSSANNISAFRSGNDVVPLTAVGTRYFLCGLTGHCGNGMKIRVDVVAGGAAPGPAASASTTAAGLGSGLLVAVVAAAFW >Sspon.02G0013760-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:30031826:30034554:1 gene:Sspon.02G0013760-3D transcript:Sspon.02G0013760-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPVKWLMHWHPNPGATVNSQILSEACGCAESLGGSKDGRWKTSIFFYRAMTRDGAGAPAGQQHPDLPRELLGVALHERPGLYFSIVRAQRLVLQADAAFPQVMEKLQSYRIRVALNFEGFQYQLGDFCLRIGKCVPNNSETLRGIMMEVEYYPLSSIEKSKAVMEDFFDIWKETVAKKSLPGQFIHVDSSFSDYGLSDQYSFQHTAVQYATCLQQLIAAVTVRG >Sspon.05G0027120-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:47735245:47736191:-1 gene:Sspon.05G0027120-2D transcript:Sspon.05G0027120-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Expansin-A11 [Source:Projected from Arabidopsis thaliana (AT1G20190) UniProtKB/Swiss-Prot;Acc:Q9LNU3] MKATGSSVDRVLVLLATACLLWKEAACFSASGINKAFATFYGGSDASGTMGGACGYGNLYSTGYGTNTAALSTALFNDGASCGQCYRISCDYQADPRFCIRGTSVTITATNLCPPNYALPNDDGGGCNPPRQHFDMAEPAWLKIGIYRGGIVPVNYQRVPCVKQGGVRFTINGRDYFELVLISNVGGCGSIQSASIKGSRTGWMAMSRNWGVNWQSNAYLNGQSLSFQVTSSDGQTKTFLNVAPAGWGFFATSQQFS >Sspon.01G0012670-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:41429335:41430918:1 gene:Sspon.01G0012670-2B transcript:Sspon.01G0012670-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAREASAPAPAPAPAGDGPSRPPRPTLALPPRSAVESLFAAGASSSSAGAAETSPGPLTLAAALFPDAPSPAFHGSFTQLLVGAIGSPAAVPSPPSPFAVPPGLSPATLLGSPGLFSPTVTSQRKDIGAPGT >Sspon.04G0015640-3C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4C:64445780:64448036:-1 gene:Sspon.04G0015640-3C transcript:Sspon.04G0015640-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVRVARGVRRRVQRARRRRVALVEVARADQLPVAMEFIILAFVAGSFPMGWNRPQTIIPKALRFRPHT >Sspon.01G0001020-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:1903768:1906554:1 gene:Sspon.01G0001020-2B transcript:Sspon.01G0001020-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Riboflavin biosynthesis protein PYRD, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G20960) UniProtKB/Swiss-Prot;Acc:Q8GWP5] MASSLVSRPHLTQRPVRAATLASPTRARLAAGAGTLAGRCQAQAAGDLDAHYMRRCVELARKAAGYTSPNPMVGCVIVRDGRVVGEGFHPKAGQPHAEVFALRDAGNLAENATAYVSLEPCNHYGRTPPCTEALINAKVKEVVVGMTDPNPIVASKGIEKLQGAGINVRVGVEEALCHKLNEAYIHRMLTGKAFATLRATLSMNGIVTNHIGKGADQSGGYYSQLMKEYDGVIISSDLAKMSSLPLSREAGANQPLYIIVAQGENSRLHIPSLSEEHASKVIVLADSPVTVEPAGVEVAVLRQIDLESILQLLAQRGLCSVLVDFREAGESFASLLNDFQEDKLVQKVVVEVLPFWLASEGLSNLAFGGGQSFPLKNLEHREVNGSLLLEGYV >Sspon.03G0007640-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:27821594:27825911:-1 gene:Sspon.03G0007640-2B transcript:Sspon.03G0007640-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPAGGRQHTTRGPAGLGLQLSLAYSSLLLNAIFLAHHFLSPSRLLLRDDGGGGSCGLTWSLQAAREAEAVAAVECSGHGQVFLDGIAGEDGRPGCECNSCFSGPDCSLRTPNCTADADSGNPLFLEPYWRRHAAASAVVFSGWHRMSYSTTGQFQSVELERHIRRLHRAVGNAVADDKHLVFASGSLQLINALVHALSPDAMAAKPPSRVVAAAPYYPAYRVQTYMFDGREYRWGGTTALWTNSSRTNSTDGFIEFVTSPNNPDALLREPVLCSSAAAIVDHAYYWPHFTHIPAAADEDIMMFTISKPSGHAGSRFGWALIRDDEVTQRALDYVSNSNMGASRDTQLRMLGIVRFMLANLHGKDDIFGFGHDVMRSRWLRLSAVVSRSRRISLQKIKPQYCTYFNRVREPSPAYAWVKCEREEDEDCYEALLKANIITRTGVEYEAGSRYTRISLLKSDDDFDVLMERLQDLVDAEKYDDAPNGSSSM >Sspon.05G0009840-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:28143796:28146876:1 gene:Sspon.05G0009840-1A transcript:Sspon.05G0009840-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Formin-like protein [Source:Projected from Arabidopsis thaliana (AT3G05470) UniProtKB/TrEMBL;Acc:A0A178VCE3] SLAAAPRAPATMLTDCQFPWSSIDARYTSFGCPRCLRLLLCLFLIACLAALVLSIAGSARKTKETDNGDAKFRVLRGLDTLGLKQKQRHGHGISPAPAPVRAHLPLLHKDARLPVPGEVAHNHNRGGNANATAPRPRQRQSERGSKKKSTPLVVVAAAAALSGAALVLLAVLVVFLTCRKFQGRRGGGGDQSGTNKPYLDDAGRDGGGKAAPEMAGPKDQEPKRADEECGGACSDDDGAESVHSSCCFQSSHFSYSELAKGGQADGVSPSPSVRSKRRGSAPTTPSDKTKAASPYSPLGPRTPSSDERGRRAHSPSSTSVSVLTEQSFNDHELQGTAHSVRSLKFQSGGACHAKEAGAEADAASRKTVPPPPPPPPPPPPPVMVKQQQNVQISCGGPAVPPPPPPPPPLLIPQRQNAQRSHGPILPPPQAPPGLFRQTAPVGKNGAPLPKLKPLHWDKVRAAPNRRMLVRVSLNRLRLPRTLPLLDEQMIESLFGYNAAARCSVKLEEGQSRSPSLGHHVLDPKRLQNITILMKAVNATADKSTPRCCKQLEALIKMAPTKEEVEKLESYDGDVGGLVAAERLLKAMLYRETFADEVSHIRKSFAMLEVANPDSWAPSDDQELMSSKLFLKLLEAVLKTGNRMNVGTARGGAMAFKLDTLLKLADVKGTDGKTTLLHFVVQEMVRSQKPPPTRAAEGPDIVAGLAAELTNVRKTATVDLDVLTTSVSSLSHGLSRIKALVGTDQLAAGDEKGQRFVAFMAPFVSQAEEVIRELEDSERRVLAHVRDITEYYHGDVGKEEASPLRIFVIVRDFLAMLERVSKEVRGARGCHGSNQTLP >Sspon.08G0010540-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:46635399:46639964:1 gene:Sspon.08G0010540-1A transcript:Sspon.08G0010540-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKLLESSSIEAETVGIQDSAVTDFEGTKGTGDLGHKTDSPLRDDVHDTPCSTIGLVCEKEPTEALTAGSHSEAPNLLAAVEQTRETTVANQEEIIDAVVFMDACKAEPDGDCTVAKGAEQTVEIVHSVEKQSAVLEHVERQTKQTTICGSTLNESPQAAGLEEDCSVLKHGGPTASSELLSVAPNPIGETSVIQAEPEATNSDGYCTTEVGSALSETVMGLEPNNETAVPMQEDIGEANDTSNNCEARNNSGIHAFGEVSMEMQSSEFKEVSSIQSGAANLSTQTPALPDETGQTNMALTAELVPTNDDEHMQGTEVNSEQQIKMVSPAESAPANDEHVQDITILSSEQQTKMVSAAENDTVCVQETAIVDLGGTRGTVDLNDISTQVPALPGSDVLGDEINSSEQQAKIETIAEIETVGVKENAIADHEETGDQSGVSMHAPLLAESGEKGSHGVELRGIEIEANNSEQAKMVSAVEAASTPDDLGRHTHEVHVTGDGAILSSGEQDTLQDNISSGADVDLTTSERKTDSEVIKDPSIGINVEEIQGPYDTSNKDHFTDPPATTPVIAESDKDTCDAEVVCAGKLESSGADAVGMIVVQEAPAVADHDRARGIGVEAHGAEHIEMASVAEAASSLALVGYSSSEDSMVDAAARVADGDFADSKGAGVDRQETMPTQTTSTLPENMDWQNDSSATSAVTVEPMFASTSEHVAKPKPIDETSVMQVELATSTGDECAAEGDNVVSSETVVESKPVQEIAVSSQGGSIEANNTSTINEVNKDTESRASGEVCKDAQSHGGESCTELQLEPSSGEEAMILCSELPSQEVKEAPSSDPLGYNENVKTENEASSQGPVNEESAPHAENEKIDEADTEQQLPPGQQVKEAPSSDPLGYNENLKTENEASAQGPVNEESAPRAENAKIDEADTEQQLPPSGEAMVDISSELLIQDEKVAPSTGTLGNDEDAQMEEAPAAQRELSTEVAHGSENAELGEAPSGEAMADVSSELLSQDEKVAPSTGPSGNDEDAQMGEAAAAQRELSTEVAHGSENAKLGEAGTELQLPPPSSGEALVDISREQLSQEVQEVASSGPSETDENAEMERLSTEHSPGGETSKLVGADPGMQSPPSGEAVVNISNEPPSCQEVKEAPSSDTMGNDANSDTEKTAASLQGQLNTELAPSGENTESNEADTGKQGTPVSAEAMVESSSEPPSDEAKEATTTDLSGDDEKAKSARAAVVAELFGDATEGGSDQPLPSPREQGKEAEADGGL >Sspon.05G0013720-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5B:49887914:49889151:-1 gene:Sspon.05G0013720-2B transcript:Sspon.05G0013720-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKCGHKNHKSKAHHQNRNMVHGVLDSKSPSPHRHAHFFLCSLFILLSSTTVPLSSAQTSNRSEDDWQALLCFKSGISGNSAGVLGSWSNESLNFCNWQGVTCGTALPIRVVSLELRSVQLKGKLSSCIANLTSLVKMDLSNNSISGEIPDEIGSLPGLQTLMLSANRLEGNIPPSLGMAASNNSLLTTLILSKNNLSGEIPASLLSNPSKLIVVDLRSNSLSGAIPHFHKMASLQFLGLTGNLLSRSIPASLGNISSLTSIVLAQNNLRGSIPETLGQIPKLNILDLSYNRLSGNVPDLLYNVSSLISYNISNNRLAAKILSDIGRSLPNLVSLIMSGNAFTGEVPASLANISKLQAIVKDNTG >Sspon.06G0029960-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:33657144:33666044:1 gene:Sspon.06G0029960-2D transcript:Sspon.06G0029960-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MIDFKTLNKEEEQVNKVGPPVEAPLLEAPPQESSSSRANRGSRSSPPNPAGGLHGRPPELAGIKRFSRFRPPFSPQPTPTEREAQRESIYAVQASYEEGGGAIADSSPLHPKEKHEKVEEKKLDLKSTRELLLRATETSNSPQSLELAAEEDEARGESAAAAHRRGPARGEKETGQPEREDARALLLRGWRERGAAREMATGGAVLLREASLPQTLRLVRLHTTPPPPPPTCDAAAPLRLRAARGSRHGGHGAAGRPEPGMCGRRSLAAVPHGRTDVAGGADRATKAL >Sspon.03G0018270-3D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3D:43266036:43269843:-1 gene:Sspon.03G0018270-3D transcript:Sspon.03G0018270-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MENEEAAAELEIPGECQYAGDPVVVRPSQPTPRHTLHLSNLDDQRFLRFSIKYLYVFAAHAAVSADALRAALGSVLVDYYPLAGRLRPSDDEEGKLVVDCNAEGALFAEGCLPGLTAADFLRGRAGARPHKSWRKLHYRVEAQSFVAVPPLVVQVTWLGCGGMVLCTAINHCLCDGIGTAQFLHAWARAVRGGGGHDAPDAGADTDDPALPAPPFHDRRALRPRCPPRVAFTHPEYSTRGISAAVSNGNGNGNANEAAPSLLARLLGQPLAPVSLTFTAAHLARLKRQCAPSLKCTSFEALAAHVWRAWVRALDPPRALRVKLLFSVNVRRRLKPELPRGYYGNGFVLGCAESTAAQLMASPSSSAAARYGVRLVQDAKECVDDDYVRSMVDLLEERRGARPDLAASLVISAWTRLGLEDLDFGAGKAAHMGPLTSEIYCVFLPVMGDPQGVTVLLSVPQVAADKFQHCCLEFLKDTDVDAKLS >Sspon.06G0023080-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:40606498:40608654:1 gene:Sspon.06G0023080-1B transcript:Sspon.06G0023080-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLTTTNLVLVVLVIAGVWTAAEAVRHRKGRPDPYEDVFDRQEADRVEALPGQPSEVGFQQFAGYVTANESHGRALFYWFFEATHDVESKPLVLWLNGGMLYVIRPGCSSVGYGALEELGPFLVQKGKPEVSLNPYSWNRDANLLFVESPAGVGFSYTNTTKDLSQFGDELTATDAHAFLMNWFKRFPQFKGHDFYLAGESYAGHYIPQLGVKILEGNKKAHRKDRINLKGIMIGNAAIDSSSDDRGLADYAWDHAVISDEKVHRGMPYNTYDPCVDYHVFDYLNRADVQKALHANVTGIPYSWEPCSDALSGWTDSPPSTLPAIKQLVDAKLRVWVFSGDTDDRVPVTSTRYALRKLGLATVKEWREWFTTDQVGGYTLVYDGLTLVTVRGAGHMVPMITPVQASQVFAHFLTGNEMPAKPV >Sspon.02G0031170-3D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2D:91977719:91981704:-1 gene:Sspon.02G0031170-3D transcript:Sspon.02G0031170-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding PECYISEGYHPSASTAEKPNDEEGVQSTASSPRVKSEPRIVPRRRVARDGGRLGLCDVRRRHGINAVTVREQDGTEGHGLGLYSPRFAPRMEGWRLEGILGGGEYGRRRPDDVRRKMVETSLTCGPRAPPVKQKRDSKCQQVSMNNQCSGYYTWEEIITEKGDNYFNVGFYDDQMSKNLDYFVVVDFKATCEKGKQMNPQEIIEFSSVLVDGATGQLESTFHTYVQPRHHPKLTDFCKDLNGIRQKDVDAGVELAVALRMHGAWLQKMGTTKCCGGFCFIVVTWGSWDCRRMLEPECRFKGVSRPPYFDRWMNLRAPFEAMFGDSNTRADLADAVRMVGLEWEGRLRGASDDARNTARLLAELMRRG >Sspon.01G0018500-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:69825536:69840002:1 gene:Sspon.01G0018500-3C transcript:Sspon.01G0018500-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VRFRAEVGSGWPVVLTRSIRRGFHKGISVARVDDNAAGQVSVDDDDDDLDHITSETVRAAIRKSKEVLARHKVIMEQIEEKKKHLATMLDSSIHNEQEPLSGQSDGSLSNLNAIAESTEIGYGHQTYDDSSSQQSKFDTTYGESIYNQNEYYESSKDDGTDFSENYQRNSFPRAARSVYELEEDNGGLGDVAGALPKALARRGHRVMVVAPKYGDYEEAQEIGEPRSYQVAGQDIWKRMVLLCKAAVEVQWYVPCGGFCYGDGNLVFIANDWHTALLPVYLKAYYRDNGFMPYARSVLVIHNIAHQGRGPIDDFNYLDLPGHYMDQFKLYDPFGGDHLNIFAAGIRAADRLLTVSHGYAWELKTPDGGWGLHSIINENDWKFQGIVNGIDTTDWNPRHDVHLQSDGYTNYSLETVQTGKAQCKEALQKELGLPVRGDVPVIAFIGRLDHQKGVDLIAEAMPWIAGQDVQLIMLGTGRQDLEDTLRRLESQHYDKVRGWVGFSVRLAHRMTAGADILLMPSRFEPCGLNQLYAMMYGTVPVVHAVGGLRDTVEHYNPYEEVGVGWTFEKAEANRMIDALGHCLNTYRNYRSSWE >Sspon.01G0023480-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:82111500:82116775:-1 gene:Sspon.01G0023480-3D transcript:Sspon.01G0023480-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFTTLGGCGAASVRLVPQNRILGSNSKPFAGIILKKPQQIVRFLANHPQFHIKVMTADRKAGEQFGSVFPHLRTLDLPRLVAIKDADFSDVDAVFCCLPHGTTQEIIKSLPRHLKIVDLSADFRLRDINEYAEWYGHSHRAPELQEEAVYGLTELQRDDIRNARLAKLIKLTNIIIDAKSGVSGAGRGAKEANLYTEIAEGIHAYGITSHRHVPEIEQGLTDAAESKVTISFTPHLMCMTRGMQSTIYVELASGVTPRDLYEHLKSTYEDEEFVKLLHGSSTPHTSHVKGSNYCFMNVYEDRIPGRAIIISVIDNLVKGASGQALQNLNLMMGLPENMGLQYPPLFP >Sspon.05G0020940-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:88379445:88382105:-1 gene:Sspon.05G0020940-1A transcript:Sspon.05G0020940-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRGSREIEKGGSGSRRRDKHEGRRDTSALLGGPLRTRHGDGRPADGVPSATVLGQSRHSDGTIYLGKDDWHIQYCITDRNETRLEPMALSDPTNCRPDRNNCREHLPCAMMQIFSLKLAYTDPPVDDGPIQVYGYLAVRDLLEPLLNYVFYRSRDDPLTLEQLYLNHDGSHVQNHNWQLFYDDSCSAKKSDLVLNGSDMTGLAKGHWGSVMQMAGPKRGIDMQSGVLLEFDMKIKKGEQESEDLQLIDGASYFSELSTPSCKVFTARIEGDFGAVDISSALLHNAVEATIDIGISQVDDNNNIDLLLTSSVSGLPEEIHLFRGLMSKSSGVKRFVVAVVSGTCLDLKFKIGQTGLDDGVDCSCSFKAAEHGCSSEELALVFATISVKVHWSTLIHGNCWNTQMQFDFHRSFES >Sspon.01G0028580-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:112707178:112709740:1 gene:Sspon.01G0028580-2B transcript:Sspon.01G0028580-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASSMLTAASLSFSPLPAPRLRAAASLAPPRRAAAALVVRAAAASSKSPAAAEAAPKKKRATGITQPKPVSPALQAIVGEPVIPRTEALKRLWAYIKERNLQVPEQKPLLTYADPSDKKVVVCDEKLKVLFAGRERVGFLEIAKLLNPHFVK >Sspon.01G0013720-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:38225033:38233185:-1 gene:Sspon.01G0013720-1P transcript:Sspon.01G0013720-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPDPSPKPQDTAAMDIERCRIWWPRQELQLKHKPFSLRLVLFGWFFSSAGSIDIVISAVVPQDHILRSFATLDTLQDASKPARICCIYHSWGLWPTPPKELEEYCFNKLEQLPLDANFVQKEHFGTRRNNAMIGSVGNGDQGPSYDHRRWGCDCCLLDGFLDACRKSAVKEGSWVHLCWKFGKNFKSNLNQVPVLHHLYLDGQQIANNRCHVILYEVPTIGRNHFSLGVDAPRKLNVSFKKPNWINDLQKQPAFLDLDSIVLALNCSNAARLPDTQECSTTSSGAYFIFASVYDVLVQVTWHCVGIFLASASTILYIMILMFRKCLSHMPQYLMLNKVFRHSWNNIHLRSCQILYWPIVLQDASLSSTVNVEYAHKAAIRKHALWSSIIVDLLMGFVLGAAFLLNTETICIWTIALVHHMTEAILRSGCVWLMGVPAGFKLNTELAELLGMISLNAVQIYSTLWFFVGGYLRHIIQGIAVSGIILGLTTPVSFFIDIIQLATLHVTMLHWLISSLYSRQIQTVASLWRLFSLLTLAYAVTEGANGILLGRARCGFPAVYTSPTSYTYNFCILCILLYLDNNGYLACVMLEIVIAVIQSTPYAELTLWMMRRHRFPAGLFFLHVPSSGHTSEDGDLSAHPIRCCNERRKEDLIDKLSESLVSELHCSYATLVQVIRSNYERVFNRTGYSFCKQLAYGILSGERVPSSLHLQPSPSFPWMNIGITEYWMHCYVSVLQCAPKRWRVSGMLAVGTHGSRIFSFQIFVAN >Sspon.07G0028390-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:82903694:82904148:1 gene:Sspon.07G0028390-2D transcript:Sspon.07G0028390-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding EMSCLVFADTSPELSGFRIWDLSLERDAEEEAEFRTKMKEQANAPEDLPPQLLFAHQGQRDLKELHWHPQIPSMIISTAIDGFNVLMPSNIDTTIPGSTDTAMASADP >Sspon.08G0001730-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:2212346:2220343:1 gene:Sspon.08G0001730-1T transcript:Sspon.08G0001730-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAKKTKKSTDNINNKLQLVMKSGKYTLGYKTVLRTLRNSKSKLVIIANNCPPLRKSEIEYYAMLAKVTVHHFHGNNVDLGTACGKYFRVCCLSIIDPGDSDIIKTTPGEQ >Sspon.05G0017950-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:75743677:75750647:-1 gene:Sspon.05G0017950-1A transcript:Sspon.05G0017950-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPQQQQSIQLAAGASPAGSSAKATPPLQCDHERMVVGNMCRSLYLAVYKGRMAEVTALLLQQHAAAAIDCRGTGIVMHGQCDILELTAERKTVVHVAAEQGHHELIRELYLRFRDQGLLSRQNSALDTPMHCAARAGHARAVAVLVELARDHGENILGCKNEAGDTALHLAARHGHGAVVEVLVSAAAQPAAELNNAGVSPLYLAVISGSVSAVRAIITKCKDASSMGPSAQNALHAAVFQSSEMVHLLLEWRPALADQVDSSGSSPLHFASSDGDRSIVRAILRAGPPGTVYKKDSSGLSALHVAARMGHHRVVKDMLNSHPDAAELRDGNGGTFLHNACREKQSSVVSAIIKSRTPLHLAIKNPMLRARGLLDAQDRDGNTPLHLAVAAGTPDVAEALLRKGKVRADVLNYDGHTPFDLVEGSTSFFTMVSLVVTLFAYGAQLRPQRQDCKKQWSDRHIVEGIGKASDSFAVVAQLIATAAFAAGFNLPGGYSDGTGESNLSAEGRASFTYFLVLDTVALATAVVAVILLIYGKASSMSSSRSADGSSWKSFVRALQCMWVSLLSFMLAFYAALYAADAVGRRFFMIIHLCISVVQIMIPNWIMPATTWRTILRFQWRQCFHSKGRHAIKRQYPLAGALVLNFCLFAFVNFVVYFVLLFLPLPMKKI >Sspon.01G0059850-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1D:69403472:69403856:-1 gene:Sspon.01G0059850-1D transcript:Sspon.01G0059850-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MIATVRDETSRLRRCAKSRRSHDQEELGGGAAAAANCAGGWRWPAVAPKREEGENDDGKGFIELVEQHGDDTRANWPARSNCWQSRARLEDGRRPPASGGRCRPA >Sspon.03G0030870-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3B:23066721:23067110:-1 gene:Sspon.03G0030870-1B transcript:Sspon.03G0030870-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNEINREIEGTLRGMIEKRERAIENGEASGNDLLGLLLQSNMESGKGSLRMSTEDVIEECKLFYFAGMETTSVLLTWTLVILGMHPEWQDRAREEVLSVFGRDKQPNFDGLGRLKTASVSLQYHDTIPT >Sspon.06G0013300-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:69120781:69124518:1 gene:Sspon.06G0013300-1A transcript:Sspon.06G0013300-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAMVVSSYKGVIDSAVAKLKELMTGDMCANLIGVSSRDILFLRDELLAMNALLEKLDDAEELDPEAKNWRHQVREMAYDIEDCIDDFSNNTAGVGAKAGFLDKASYFLKTCRAHLEAASQIKELRTHMVRLLTSMLLQLKQHPSQACEVQDLINNLREYLMDKSKVELIKRWVAEGFVSHSPGQDAWVIAENYFNELVNRSMIQLPYQDYYTEVSHCRVHDMMLNMILIRCKEDNFISVIHNPQVAAEVQDKIRRLTIDLNGVADDTMDMNITRTVSQVRSLAVFGGSKWIPPLLEFKFLRVLFLEFFLREMIIDLTGINQLTQLRYLKVECKECLMDGDIPSQLSIVLPSQIRRLQHLETLELPWVSDCSIPSISDIIDLPRLTHLVLLQHKGGLPDGIGKVKLLRTLHGFNLPVSSPENIDGLSKLTNLVDLSLHCGGKGHQKSTTLGWMAAVSCSLEKLGNLKALSVRSNSLSSCADPMSSWFSPPFLKLEKLDLLDWTFSKVPRWIGHLHCLRELALGAKKILQEDVSMVGTRLPFLIHLSLRIVPCIPMKERRITIASSTGFPALRLFCFDSSRMSHLEFGVGAMPHLRRLLLGLDPWEWDKSTPVGLDHLLYLEEIRVLTASTATAGSESMKEKFALVKGVFEDVANALSSRPAFTLLPRIRSLSEHVNCCKINMETVA >Sspon.08G0018530-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:7908720:7910830:-1 gene:Sspon.08G0018530-2C transcript:Sspon.08G0018530-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At1g03540 [Source:Projected from Arabidopsis thaliana (AT1G03540) UniProtKB/Swiss-Prot;Acc:Q9LR69] MDATTKHQHRRRRPRRRLVRRSRVSGLGGSSPLRTPPARRASMPPSPAAPHDVAAVLRLLESRDLPAAARLAAASASSSPLPLAAVLLHRPLPPRLGYSLHARAARAGLLADRYLANALLAFYVRLPDHLPHALRAFDDLPRRDVVAHSSVLAAFLRAGLPRSALLQLRTMAAGGYGADDDVAPSAHALSASAKACAVLRDLRAGACVHGTTVVRGYGDDGVVLSALVDMYGHSGAPGDARRAFEEMRAPDGICYTSLISAFVRNDWFKEALQWFRAMLATDGVWPDGCTFGSMMTALGNLKRARQGREAHAQVVTRGLCGNVIVESSTLDMYAKCGMMVDAHKVFDRMKDNDWYSFGTLVRSCAGLSAVKLGKEIHCRFIRMRGCGDVIVESALVDLYAKCGVVEYAYRVFKRSSVRNMITWNAMICGCAQNGHGERAISLFNEMVREGVKPDYISFIGVLFACSHTGMVEEGRNYFNSMSKDYGIPPGIEHYNCIVDLLSRVELLEEAEDLVNKSPFRDDSSLGKEADEIKEGKERSRNKLD >Sspon.05G0009220-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:29711972:29725943:1 gene:Sspon.05G0009220-4D transcript:Sspon.05G0009220-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGEDRTFKANFTGEGVTMLQDRVKEKLRELMGDYSDDTLAEYVVVLLRNGRRKDEAAKELQVFLGDDNDAFVSWLWDHLSSNLHLYVQTKAASSKDDARSTRNAARELPVRSVTSSIQVNHEPEAETQKTAVTHQKRDWGNQKRDWGTIGQGQSEAVPLRSVVANVSHAEEKDFHESHADERAFHKSHSVRRTCSPDMHNHRKRSRDADVRSTKRASHPAVGAPCRLLQFAVRDAVRTVQPVTSSSEPASKRLRSVVSTLASDSTLDITHIKLQKTNSDLRIPGATAALRAAAEAAEDALKDSFSGSVFSRLGRMPTINSTEQAPARREQDLEGKEYENIDNIQAENQVEFYERNEHGGSDVYMRDRRTEEATGSVPNIDEYDHNSAVRCNGLGSRRSALPASGGKESLVLGYARGASEVRSRRLIVQGPHAGSGRRPSEKILNVSGNTNTQKLPTHATRDAIAFDPQVPMEKVADARKSHVKIAHSNDISMMTDKSKDLIQPSSMLEAQKASSGAAGSNTTGQPEGGPDSRTVFVTNVHFGASKDALSRHFNKFGAVLKTHIMTDGVTGQSTGRAGVNPERDIIYVVRKSSTEATQLPGLARASWGSPFASRLIRTAYPRPMFPGAIRGRLPLRGVARSLQWKRGAADSTDAGKPSQTAPAAPGNQSITPTTRSFTYTRTEPKPNDGAMA >Sspon.02G0043230-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2B:90297862:90299262:-1 gene:Sspon.02G0043230-1B transcript:Sspon.02G0043230-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding KSAKLKVQDVEDSLQRVLLRAQVIINEATGRHITNEAMLQQLDMLRDAMYQGNYILDTFRYQSNDVEDAKDPVVSHSFPLSKVNSLKEIYSSNRKTQNLEQLQDALHNLNSMILDVKELVVFLTSYPCLYRQPYSMHLLLSNYMFGRQMEAQLVISFLLNTQPCGSEELEVLPIVGPYRVGKSTLVSHVCKNERVHDHFSEILFLDGHEFTYFDLTTLIKGCAMEHQDNVLTSKKDRRLLVVVELVGEVNEDAWNRFYSSCKQRLPRGSKIVVTSRSDRIVKFGTTSPLCLKHMPHEEYWYFFKTLTFETMDPKMHPRFANLAMEMARMLGFCLIGANLTASLLRTNFDIHFWCKVLTFIRGSTQKNISKHDGHPFHRINQNKPPQCWRMTSPSKDLVLYCDFERLSHQEAPRIKFQDVIFGKVRPHGKFELLSWRSRIPPYYSYVASCEIREPKTAATKRKRSTN >Sspon.07G0002080-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:3724783:3726776:-1 gene:Sspon.07G0002080-2C transcript:Sspon.07G0002080-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AAMLLFKRKRLLLASAGCVILMLLTPTHGGSNPEQSYKMAQPLQLTPKLSLQLKLHAFLLWSSVGFLMPIGVLLIRASSNVKSARNVRLLFYCHVASQVVAVALATAGAVLSISNFENAFNNTHQRIGLALYGFIWLQPLVGFLRPDRGVRTRSAWYLAHWLLGVGVCVVGVANVYIGLHTYQERTGRSARPWTLLLTVEVAALAFVYLVQDRWSYVVRQQEEDAAALGDVRSEGSTMYPANDHKEVVVV >Sspon.08G0018100-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4C:52933825:52934244:1 gene:Sspon.08G0018100-2C transcript:Sspon.08G0018100-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGRPLTDMIMEGFPPGGVSNNIPPHNEEEARNEVTESVKGNKRRSKNFTVKEDEMLVSAWLNVSLDPVRGANQSKDTYWKRIHDYFHSKKDFESDRTQSSLMSRWSSILHDCNIFAGCVSKVEGRYQSGASVDDKVR >Sspon.03G0003970-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:10327915:10330730:1 gene:Sspon.03G0003970-2B transcript:Sspon.03G0003970-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATELPAAGMAGNMLPPRNATAQVAPGNNISSAASVVCYSPMMVTAYGIWQGVNPLEFSLPLFILQTAIIVATTRLLVLLLKPIRQPRVIAEILAGVILGPSVMGQVEVWGTTVFPVRSLLTLETVAHLGLLYFLFLVGLEMDVNVIKRSGKKALIIAVAGMALPFCIGTATSFIFRHQVSKNVHQASFLLFLGVALSVTAFPVLARILAEIKLLNSDLGRIAMSAAIVNDMCAWILLALAIAISEVNSTAFSSLWVLLSGVFFVLACFYIVRPLMWWIARRVPEGEAISDVHVTLVLTGVMIAGVCTDAIGIHSVFGAFVYGLVIPSGQLGVVLIEKLEDFVTGLLLPLFFAISGLRTNITRVHDPVTAGLLVLVFTMASFAKVMGTILIAVSYTMTFRDGVALGFLMNTRGLVEMIVLNIGRDKESFAVMVLVSVAMTALVTPVVTTVYRPARRLVGYKRRNLQRSKHDAELRMLACVHTTRNVPSIISLLELSNPTKRSPIFIYALHLVELTGRASNMLAAHHSATNQNRSSSSAPGSSDHIFNAFENYEESVGGVSIQALTAVSPYQTMHEDVSVLAEDKHVSLIVLPFHKQQTVDGGMEPINASIRGFNESILSSAPCSVGILVDRGLSAAAARMASVHHVALLFFGGPDDREGLAYAWRMVEHPGVCLTIVRFIPPDYKAPALAPPPQPMPPRVPASNVQARAITIVPDAAKSERQMDEEYLNEFRTRNIGNDAILYMEQVVANSEETLAAIRDLDSAHELYIVGRHPGEAGSPLTSALAEWMDSPELGPIGDLLVSSEFSKMVSVLVMQQYVITTPQPAVGPAVPVTDDPVRQYVTNANQRTSPSVGLGGNQMARG >Sspon.06G0017180-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:74598322:74601156:-1 gene:Sspon.06G0017180-2C transcript:Sspon.06G0017180-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAETLSTPASASASASASTKKKKKHSKKHKATDEGPTNVTVDAPTDEAPTNVTVDASTDEAPTNVTADAPTDVTVDAPTDEAPTNVTVDASLTGGSSYAAAAPVVGYFPSGYDPLAAAAAAAGAESSSRTRLFRHEKHPTWVDLVVRSPGGGPDFVGRSYAGEAAAPQLCEYALGVLDKASGTLRVVPIAAKKILRIEPHLEVQRPAHSQHSEVASEAASAAGNDELKVQDLTMMYGTKMDRDKDNKWRSLNEQRNDPSAFEDIDLGGSETAANTIDSQEPVLTRNIPPYDPTADTSEKAYLLDEIIPKSMRQHLLQIIDHFESGEFSSKGYVGFVSKRVHKLNELQGEDKERFAWILSYIQHLLSLLARNGSMSKRQRKERNENQTNRGPATPQAVYHRLLLMFTEPGSSVMSTEKNELLINYILVLTLFADDFRSNPNDICEDLKMTRQKLKPYYDQLGCKSVSEGAFKGSVMTLPAPLKFPKDVTRKRRRQ >Sspon.01G0040590-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:34525747:34536068:-1 gene:Sspon.01G0040590-2C transcript:Sspon.01G0040590-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPIMKLLEDDEDESLHSGADVEAFTAALNREVEASASASTSTSVPAGSSCSSSQPTDHGAGLLPQEHKSLLNHGHSQWQDAVKNEIVNQESQQQEQTHALRNDQPSRPEMVSQGSNNNPLPTNTSKECDLLKAKQEPGTTSQQGIVAQQQPMQQMKSEQAPIVTQQQSMQQMKSQQTPGTNQTNSATTAKAPVVTFHMLIPILRRYLDKDRDMQVQSIFAKLRKNEVSKEHFLKVVRNIVGDKLLKQAASQYQMQHTAQAQRSPQTNPSNYSLSGQVSGQQTVPSGSMTGDEQKGYPGAHTIPMKQAIDSTRPPQFRPSLSGQMQSNTGYPPSQTNLHKANEMGNMSDGKGVHMLQTRPPNNSIPVQTMQHHVQRPQTSSPVFGANSIHARPFPRPVGGPAAPFRPQMADSNPRAQLVQGAVTTVAGSVPTRSIVSGNVPSNQSTRQQSANKEQKTNSFVPTAHMNKETVSQNSESSQNSFAAMHAKQVNQALGSSKVGAGTESQSPQLSAPKPLTTTSLSQTQSHGIQEEPKIQIQSSVQAPPAAASKTPQRKASSGQKKPLEALGSSPPPSGKKQKVSGGFHEQSIDQLNDVTAVSGVNLREEEEQLFSGSKEESRVSEAARKVVQLEEEKLILRKGPLTQKLACVEERLRGFISSIIRFSKQRVDVEKSMHRFYPLSSDVRSHIMRVNREAREQWEKKQAEDAERIRKQNDGDGNANVDLEKDKNETRGLSKHAKAYKEDDDKMRTTAANVAARVAAGGDDMLSKWQLLAERNKQRSEGGDGSSGSVPGGVRRSPLTKVARSVSIKDVVAALEREPQMSKSSLLFRLYGRPLTEPSA >Sspon.01G0031100-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:105905390:105906886:1 gene:Sspon.01G0031100-2D transcript:Sspon.01G0031100-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRGPRRGRGSGGASSRWDRDATQILLRGCRWWERRTPWASPTGNPRPATDAAWRDVDRRVAVRGWRVAGLRPGGRGKQLRCGRTWTSSDLDGYREILECRCVSVSHRQAIVGTTARRSGALVAMEAIGPSRGGGAPSAMSGQVIGVSWLDHVGAGKGKKAAVAVTAELWRNPSAPRRGRAEDEAEEGRQLGKQVGPRGREGVRRKKMIARGRRDARTRERGGRLKMTNGYDEETHPYRKVGL >Sspon.01G0041310-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:44639057:44644254:1 gene:Sspon.01G0041310-2C transcript:Sspon.01G0041310-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNSSGKQSTDEDSEVIINLQSGLTSLQNLLTSNPGLAAVFASKERLIPLFECLALHVPPESSIPQICLSVLSLLTKHAPCLEAMVAERMSLILLFQILHCNPPCRDGALAVLYSLASTPELGWAAAKHGGVVYILELMLPLQEEIPMQQRAAAASLLGKLVGQPMHGPRVAITLARFLPDGLVSAIKDGPGEAVVSSLEQTTETPELVWTPAMAASLSAQLATMASDLYQEQMKGRVVDWDVPEQASGQHVMKDEPQVGGIYVRLFLKDPKFPLRNPKRFLEGLLDQYVSSVAATHYEAIATDPELPLLLSAALVSLLRVHPALADHVGYLGYVPKLVAAMAYEGRRETMASGQATSGSQAEPSEHDNSAHSEATVQTPQERVRLSCLRVLHQLASSTTCAEAMAATSAGTPQVVRLLMKAIGWQGGSILALETLKRVVGAGNRARDALVAQGLKVGLVEVLLGILDWRSGGRQGLCNQMKWNESEASIGRVLAVEVLHAFATEGAHCARVREVLNSSDVWSAYKDQKHDLFLPSNAQSSAAGVAGLIESSSSRLTYALTAPPPQPSLVRLPSSSPSPPSAPANPSGRHSYQHL >Sspon.02G0002080-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:6827059:6828420:-1 gene:Sspon.02G0002080-1A transcript:Sspon.02G0002080-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFFKSILAEPDPDPASPPPEQEQEPEPAGSASSSSGGGGGWGFGGLLKTLTSQSETVLEAYRRDLAEFGTGLRRETEVLREAAARAARDLPSSAHALDGLADIVAQGKEALSQAAAGPQASDGGDSDPSSASGAHVRYSRFEAQLRALQADPATFTSDPEDAEDFAAWSEGFSLDEREEEIEALCYDSDALEAMADRLVPDAVPREVFWARYFYRVHKLKQQEDARAKLVQRVIAQEEDEDLSWEVDDEEEEEEEQPKDNTEELAERRQETIKEEVRQDVAEKENGERVVEESRVEAVEEAPDLEREEKNAAEPQPVLGSSLVVVDKEEERENSDEPKPTVLGSSLVVVGEGENAEPSKSNVKESGDKKEGAKHETSDSSKDSDYSIVSRQRTAEEEDLEWDEIEDLGEHDEKKGGTHTSSPALKEELRKRLSVAEDDEDLSWDIEDDDDNA >Sspon.01G0024400-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:85955698:85956398:1 gene:Sspon.01G0024400-2C transcript:Sspon.01G0024400-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRAVSDGGENLDADGSDLGLGGSRASSHRRTDGIGAHRVRFPPEKGLLDDFSTAVKDMLFAGDDPLRQYKEQPSWAGRAWLGLRHVFPVLDWGRRYTLDDFRGDLVAGLTIASLCIPQDIGYSKLANLPPEIGLQQLRATTDIPFDGKL >Sspon.02G0059290-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2D:91414935:91416455:1 gene:Sspon.02G0059290-1D transcript:Sspon.02G0059290-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHIPFTWLPMNPDAGATLTNTFPAPIPTTTIDGTIMQHYLNSRLCASLVQPVVQSAAEVARRRQEEEDASIRLVHLLITCTGAIQAGDYSIAHGNLSEARAILKKIPTSTGIGRVGSHFADALAQRLFPAYPHAAALPTPPPDATPAATYNHFYDAGPYLKFAYSTANRAILKAFEGCKRVHIIDFALMQGLQWPALMDELSKREGGPPELRITGIGPNPTSGRDELHEVGIRLAEFARYVKIPFTFQGVCADHLDQLTAWLHLKLRPDEALAMNSILQLHRLLVDPDADESTLPSPIDILLKLVVKLKPKIFTVVEQEADHNKPLLLERFTNALFHYATMFDSLEAMCPVTASAAGGSTSTTTSSLAEEYLRGEIFDIICGEGSARLERHELFSGWKERLTRAGFTQVEFDLSEANMAIADLISVTSFSGSGFGILQGSGGLALAWHGRPLYVATAWYAMGGGNAASAAIAHTKGGDSYHKGRNSSSGSSKGNRGHRGVAGGITF >Sspon.01G0027240-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:95683709:95696225:1 gene:Sspon.01G0027240-1A transcript:Sspon.01G0027240-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSENIDLVLEFLRKNRFAKAEAALRGELTGRSDSNGMTTQRRIAELKEDEQQEDLVGSNVRPKGAATARSADSSREFIVKEIDVGALPNGSDGKKGSGIGQLQENNVGDLYPWTFSIANSTAEQLAELLVSEEVPRHRRGAMLAEKRDRGVGTEQPGPVLEQKVSFGRGKGKVDAAGRTEINEQGHSRDKTLVPEKEEMLNGCTVKTVLPFPAENPSSSYNSTHHENERKEVKRSTNADGVGKGTKGQLDEGNRLYYFEKSLDTDQVSDRCFDLQLVGDNQREELPKLPPVRLKSEDKLVNMNWEEKINHHGSGAKQSSADHGFMIGSYLDVPIGQEITSSGGRRTIGSSWLSVSQGIAEDTSDLVSGFATLGDDSLEYPIGEYWDSDEYDDDDDVGYTRQPIEDETWFLAHEIDYPSDNEKATGHTRGTDRHDRPTKDEDDDQSFVEEDSYISGEQYFHGKNIAQIGTSEGPMVHGIPDNDMIAQYDGQLLDPEELNLMHSEPAWQGFVSQNSELGMLGNGKFLNDSERPHPDDPFVEDDQHGSVRSIGVGISSDAADIGSEVRESLIGGSSEGDIEYFNESSLSVSGKRHSQQDTEKKRVGAKGVKHDQIIYAEKGNLPPGVADGGFSFPPPLHSGKNHDSDAKSLWSKKDEMYCINDPDDCQNGIVSDDMLATWRKKNSESSLRSSRDEMTSDVVRSRNSSASYDETEDTTNVRHHKLDDAQEEDTGTNLDDEEAAALQEQVRQIKAQEEEFETFNLKIVHRKNRTGFEEDKNFHVVLNSVIAGRYHVSEYLGSAAFSKAIQAHDLHTGMDVCVKIIKNNKDFFDQSLDEIKLLKYVNKHDPADKYHLLRLYDYFYYRANLYEFQKFNRESGGEVYFTMPRLQSIAIQCLEALQFLHGLGLIHCDLKPENILVKSYSRCEIKVIDLGSSCFETDHLCSYVQSRSYRAPEVILGLPYDKKIDIWSLGCILAELCTGNVLFQNDSPATLLARVMGIIGSIEQAMLAQGRDTYKYFTKNHMLYERNQESNRLEYLIPKKTSLRHRLPMADQGFIEFVAYLLEVNPKKRPSASEALKHPWLSFPYEPISS >Sspon.01G0025010-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:56558030:56558935:-1 gene:Sspon.01G0025010-3C transcript:Sspon.01G0025010-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAADVEYRCFVGGLAWATNNESLEHAFASYGEILDSKVRFISFSLGFVPWSLCAVVITDRETGRSRGFGFVTFSSEQSMLDAIENMNGKELDGRNITVNQAQSRGGGGGGGYGGRRDGGGGYGGGGGYGGRRDGGGGYGGGGGYGGSGGGYGGRRDGGGYGGGGYGGGSRGDSGGNWRN >Sspon.04G0012010-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:38163410:38168905:-1 gene:Sspon.04G0012010-1T transcript:Sspon.04G0012010-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chromophore lyase CRL, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G51020) UniProtKB/Swiss-Prot;Acc:Q9FI46] MGSGEEDTGGGGGAVRGAVLKALVVVGGVLLLRRLRRSTTRWDHARAVADALSGEKVTPPPSLEKCLVPGAFRCGRTENSSEKFRPFSSAARRKIPMRRRLFLNVDRGKKCLCLNAFPDVQFSREQARKDPDNFFNLRMLTCPATEMVDGSRVLYFEQAFWRSPEKPFRQLSSYAIRDAEEYKNFCDRQKDQRPQPEEVIADIAEHLTTIHLSRCGRGKRCLYEGSTPPEGFPNNWVWGTKVGPYEFKPAPKSKYDDMFSPLNFSAPLSLEKLDKAYVLGWQLRGRNI >Sspon.08G0011180-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:44598811:44599469:-1 gene:Sspon.08G0011180-2B transcript:Sspon.08G0011180-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding HMTERVREREEREKKADGPRGLITLSHQPALHDSAITQEMLAHSIGQRRRHRGSACRASTCGMTERYCRALAQPERLHGAWGSAHAAMARNALALKGVQYEYVEEDLDDKSQALLRLNPVHGKVPVLVVDGRLLAESLVIIEYVDEEFHN >Sspon.03G0008190-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:29244808:29248796:1 gene:Sspon.03G0008190-2B transcript:Sspon.03G0008190-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKGAKKRAKLKKQQQQGHPDDGGNTNASNGNGNGSDDNNNASSGRDGDHHHLRIPPKVSRVDASEDSMESSEEMVTPRAAASEPDEEERKVTAAEVPVERAVEADAAGSGEVMVDAFPPETAAQEREGKVDEAEVEVHAVVAQEPEVKDVLVAEESVVQEPVADAPAAEASEVKKEVAKVHPVLESEPQVDEVVVVEETPVAPEVQEPEVKGTGATVVLKEPETNSGNVVVKDSAEVSRSQEAVDVHTTEVARGPAVAASGQRATWWNCCGLFDATGSGR >Sspon.08G0021370-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:38015106:38018343:1 gene:Sspon.08G0021370-1B transcript:Sspon.08G0021370-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTWRHDAGQSQSPAAPSPSASNPMDKVMQRAVVFTTVLMAILGGTLCVVGLERLIRGRFTLFSMVRFLLRFTFILFLPLLSYMRAKAKDDEILFVLLWMLLIELFRKKVEAMVPSASSGSFSRSASRFRLMGHSDEVTRLVWIGFLIYSNVDWQKKAVMLPMFAILWSLAVAKLVQRVLNEWKAQDSLSAAGSANLIAGYMQHVREQEEEEEGWPGRRHSDGGSSGGSGAGGGASPDADLDTVMGKCQYVVMGEEKLVLNKKERGRRQTTGSKVLLTTPHCGYGVGRFPHDQDELKHVHLLVDLDKVKNLVTVKDIWQNLGRWLALFGNRRPKFIDHMRLMCLSFSLFKLLRRRFEHYPMVEVGSKMARRLMVEGLLNDHEPVAADNASRAFRVIQLELDFLDNYYQAGVPVVMSAPWLFLINFLSSLLFATLPSQAKVAIVKDLKAINLDTGVVNLPHDDISGFDFNIIRGKTATEIILACHLATELLEVKHGKPKKKKKQLKQKEQKPEDWDHQTVATTLSRYCMYLVARVPELLPDDERWVSHRYEDIKSCLKEATWCCPWRRAGRWKAVAEMKVQLKEATAKAGVELYQQLEKGKRKGKEDSTADDAWKWKVLAEFWVKLLIYLAPSNDVEGHAKALASSGSDLITCLWALCTHAGIKRHPPEPAELHAGTQQAPERDSKLSTSLASQQEHKLSR >Sspon.03G0018380-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:56609130:56611156:-1 gene:Sspon.03G0018380-1A transcript:Sspon.03G0018380-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHHFFLERFLLPADWFQSRLAYTRSVAASSMVGYIVGLGDRHSMNILIDQDTAEVVHIDLGVAFEQGLMLKTPERVPFRLTRDIIDGMGVTGVEGVFRRCCEKTLSVMRANKEALLTIIEEIDDTDSCLDDSQEAYEGNKDAARAILRVKQKLDGYEDGEMRSVQGQVQQLIQDAVDVDRLCQMFPGWGPWL >Sspon.04G0032040-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:20115722:20124532:-1 gene:Sspon.04G0032040-1C transcript:Sspon.04G0032040-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQGGRVRSFPHVEGNYAVHVYIPVVIPSDARKQMALSMKRAASLVPDLYAVDADYALSELCKDEQKLDKVLLSREFHVSLGRPVAVQIRKQMLMVDEVYRLHGLPEFYSNPRPHISLVWALGDVSSKLKQAIKDIEKYQSTMSSLQKCNGDTLYATQDADSDSIFLELFRIFFDGSPDLHFANFLHMIKTMAESGTHAEQIESFVVNNQNVPEFPEHEVVWSFCSLSAADQGSANQGGADTQGVDFQPVREFSAPNHQKATGMVSSWPLNHWRTAPVFRIPLINQHARGKGDCIIEEKPRTETTLLGSSSAEILDEPRMVMSAEPFNAPAYLNLEAGSLSLTVHVELTNFDENLANLAEDKNQLPSDASQLRTGRLTTELKPHPKGFTEYMEVKTTVPSRKDWFDVSPREWQFASEKGDLFSIARVILNEDQKSLPSSVSRCTASASFGLTRRKPSMKSSILRNLFLDGLVFTPVDLSGIQSPCCGAGGSLSSSESEVESGISIL >Sspon.07G0031750-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7C:29950693:29951091:1 gene:Sspon.07G0031750-1C transcript:Sspon.07G0031750-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHPWVRINRQGEARHGGSWYECRLDGPRSDSSPRVLVLPAAARRREAAAHASLLGSSGEERQREMDGVLPAGAVAGHRGGMGGGGRGEGARGRARRVRRALQLQLRCGTNDSTKQKEIPSRDASPAAGCCR >Sspon.01G0014860-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:42240218:42243660:1 gene:Sspon.01G0014860-3C transcript:Sspon.01G0014860-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-amylase 3, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G17090) UniProtKB/Swiss-Prot;Acc:O23553] PVPSIATAIAPGSTANSSINRAPSTPRLSSKFYSPLICAAFFCRRVEIILFNSNRPLLLPSLSLFLAKHKLLCVRAAALQAKAMALTLRSSTSFLSPVDPTSKLLHKPADEAPPCCVAPQAARAQGVRGALTGGGGGLLHGGGGADQQHGLPRGGVPMYVMLPLDTVGPGGQLLMALRGAGVEGVMVDVWWGVVERDGPGRYDWEAYAELVRMVERAGLRLQAVMSFHQCGGNVGDTCNIPLPPWVLEEMSSNPDIVYTDRSGRRNPEYISLGCDTLPVLKGRTPIQVYTDYMRSFRERFRDYLGNVIALRYPSYPEANGTWRFPGIGEFQCYDKYMRASLEAAAVAAGHEEWGRGGPHDAGQYKQMPDDTGFFRRDGTWSTEYGHFFLEWYSRMLLEHGDRVLAAAEAVFGGTGATLSAKVAGIHWHYRTRSHAAELTAGYYNTRDRDGYAPIARMLAKRGAVLNFTCMEMKDEQQPQHASCSPELLVQQVKAAASKAGVELAGENALERYDEAAFSQVTSTARGAGLAAFTYLRMNKTLFDGDNWRQFVSFVRAMADGGARPALPRCDTGHSDLYVGFVDASKQRKAPEAEGAATAAAV >Sspon.08G0004410-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8A:12600495:12600695:-1 gene:Sspon.08G0004410-1A transcript:Sspon.08G0004410-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIPNSRIVTFDEVDRAWVPAGVGDDGAGLVGGEPPPRRCRGADVPTTVANKRENPLGHAGGPGRHE >Sspon.06G0000820-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6A:2903157:2903811:1 gene:Sspon.06G0000820-1A transcript:Sspon.06G0000820-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPQQLQQVAAASACFFPSPAAALATGALASTWLGHLLCRPLWRHYRRCLSRSHRRTDLPPLSSF >Sspon.07G0023730-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:18887742:18888974:1 gene:Sspon.07G0023730-1B transcript:Sspon.07G0023730-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLRHNESSLGSKRGNNGGRLGNHSAVARQEPPRSSPVVEKVQSRRPDLARQEPPMTQANPQKPQSSSLQAKPHGVLNKQSNPSSYESGPGRPLKAASQQRPFGDLKPKQTREHIAIERKPMASQMDVKIKAWRSILAGAKLELAKPKVYDDGLDNNRKLEAAKRRLQERYQEAENAKRQRTIQVMELGDIPKPKHQNRQPMVKSRNNLRNWANGRR >Sspon.03G0012670-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:35072446:35075232:1 gene:Sspon.03G0012670-1P transcript:Sspon.03G0012670-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQLSSSQDAPNPPAPEQRVLVTNTHGENLVGLLHHMGSDKVVVLCHGFRASKDDSLIVDLAAALTKQGISVFRFDFSGNGESEGEFQYGNYKKEAADLHSVVLYLRQEKYDVAAIVGHSKGGDVMVLYASIYNDVPMVVNLSGRFNLEKGIEERLGKEFMDRINKEGYIDVTNNSGKVLYRVTKESLMERLSCDMHAASLSISKECRFFTIHGSADEIIPVEDAYEFAKLIPNHKLRVIEGANHCYTAHRRELSDAVVEAITSSEAGETTP >Sspon.05G0002820-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:11046145:11047991:-1 gene:Sspon.05G0002820-3D transcript:Sspon.05G0002820-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPFVLAVVSIAFLNLRFLQAHGSELLLSCGSNGTVDADGRRWIGDMTPQGNFTLSSPGLAASLVRKNNSDEVFGPLYSSARFFDAATWYTIGVLPGSYCVRLHFFPSTFGNFSANNSVFDVTANDFKLVSKFNVSEEIVWRATVSNSVISAVVKEYFLVVGARGLNIEFDPRPGSFAFVNAIEVMLTPDNLFNDTVSKVGGAGMQLPLGLRDRGVETMYRLNIGGPALKSASDQHLHRPWYTDEAFMFSTNAAQTVSNVSSIMYVSSNDSSIAPIDVYETARIMGNNMVVDKRFNVSWRFYVHPNFDYLVRLHFCELVYDKPGQRIFKIYINNKTAAENYDVYARAGGINKAYHEDFFDNSTQQADSLWLQLGPDSMTSASGTDALLNGLEIFKLSKDFDLSYVLGHIDTGNKRGSSKGGKNKGLWEEVGIGSASLVAVTSVVLFSWCYIRKKRKAVKKEAPPGWHPLVLHEAMKSTTDARATSKSSLARNASSIGHRMGRRFGIAEIRAATKNFDESLIIGTGGFGKVYKGEIDEGTTVAIKRANTLCGQGLKEFETEIEMLSKLRHRHLVAMIGYCEEQKEMILPSLREQPSSSDMEATD >Sspon.08G0004620-3D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8D:11247957:11249083:1 gene:Sspon.08G0004620-3D transcript:Sspon.08G0004620-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSHSALQLEVPKAAANNGTSSFLADKSAKVFLAGHKGMLGTAIHRRLAALGFTNIVGRTRAELDLTCEPAVHKFFDAEQPRYVILAAGKVGGLHASSAAPADFMTENLRITLNVLTAARRCGTVRKLLFLATSAVYPVDAPQPIPESALLTGPPAPGNEWYVLLQSSMAKLACMYAIPKIVGIKMCQAYRAELGMDAIVVVPNNLYGPRDPFPSESSHVIPALIRRFHHAKASGAPEVVVWGSGRQLREFTHVDDAADAVVLLMETYSGPEHVNVGSGREVTVRELAETVREVVGYEGRVVWDTSRPDGVMRRLLDSSKMAALGWEPKVELRDGLKKLYEWYLRGRARLPSWTRV >Sspon.07G0035760-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7D:12987145:12988569:1 gene:Sspon.07G0035760-1D transcript:Sspon.07G0035760-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ADHHPVHNSPANMFSHSTRLARRPHIAAPDEDVAPWRARRVEQTQGRARPSGGGGNAECDLAAAATLARQKPRLGDFSRVKESPTANIRRAS >Sspon.06G0015050-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:81397100:81398937:1 gene:Sspon.06G0015050-2C transcript:Sspon.06G0015050-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKKAAGASGEDRISDVPDGVLHHVLSLLPADQAVQTSVLARRWRHLWKGMPALRLVGPKTRFATAQDFDKFVNRLIAARGIYCLPQNLDVHHVCMEKDSVDFSSCRVLEELKMKECGLWVRNMSFPSLKRLFLTECNFPVDRRVSISAPGIVSLRLLQCGGKTPLLESMPLLQTASIDLSRYECKDKCGGCTDESCEGCHGYPVGSYRSVLLNVLSNAINLELKDQPEVYIYKRDLECCPIFGRLKTLLLDMWCRHVDMHALVRILQHTPILEKLTLQLRSDKEVSIECEEKLRVKDKVRQIVKILNRNGILKEQITFKKLPRPEG >Sspon.01G0056960-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:90573191:90573401:-1 gene:Sspon.01G0056960-2D transcript:Sspon.01G0056960-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding CPHHRLTCSFVALELAGGGARLGFLASSAAQPSRMGRTGVHVPMSPEWKAPDVPIPSPTREITRATPPK >Sspon.08G0029700-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8D:47042941:47045775:1 gene:Sspon.08G0029700-1D transcript:Sspon.08G0029700-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARCRHHLLLLPLFLALAAAAPVRSYFLLLPMCLHLPLTVVLISCRVAWWQGKAQSHGEGSAVFIDAASHRYLRNQQADGQDASMSLNEVSAAVSVLLGFAPSTSLPAISSSKLNKVLLPNPFDRPRAVFLVQIAGSDASAPSFVSEGSNIFKTRIEGADSTDTGLTDTDDLIVIHSDESLDLSRSDYLDNELSSLEADMEFVSSLASLLKTIERAIQVHEDFSGVVNPAELLECHFTGIKALENEYGSAEIVKQATEIVQRAVTKSYQSLHEAYKGKIVGLVISTKEASSSLGSIIDAPSSLHISRRLEEASKTKEASVALVRKSLAWITGIILLVSTLIGVCLLMNMPLTRDTLLYSNVKID >Sspon.05G0012690-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:41531536:41534042:-1 gene:Sspon.05G0012690-4D transcript:Sspon.05G0012690-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALMAAAAARMDDHDEYAKLVRRMNPPRVVIDNEASDDATVIRVDSVNSHGTLLAVVQVIADLNLVIRKAYFTSDGNWFMDVFNVTDRDGNKVLDASTISYIQKTLEADDWYYPEARNTVGIVPSEDYTSIELTGTDRPGLLSEVCAVLAAMGCAVQSAELWTHNTRVAAVVHVTDAGTGGAIEDAALIADISARLGNLLRGQSDVRAGGGAGAGSLALHKERRLHQMMFDDREGHAAAAPPDGAAPRTEVSVTPCAERGYTAVVVRCRDRPKLLFDTVCTITDMHYVVHHGTVSAEPGGGAYQEYYIRHVDGHAVRCDDERQRLVRCLEAAIERRTAEGLELEVRTGDRAGLLSDITRIFRENGLTIRRAEISSSGGEAVDTFYLSDPQGLPVEAKTIEAIRAQIGEATLRVKNNPFAAGDGAAARKDADVAGAGTTAVIFGNLFKFYRPFQSFSLVKLYS >Sspon.07G0003690-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:8403033:8404068:-1 gene:Sspon.07G0003690-2B transcript:Sspon.07G0003690-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKTIVLYPGLSVSHLVPMMELADALLEEAGLRRHGRPRRPQLPKTGHRPGCRRRPHVRIVSSSKPSVTFHRLPRIQDPPVIVHDEKFLPTMPPVYCVGPLVAEAMPLVISWLDKQPERKVVYLCFGSVGAGHHSQEQLKEIVVGLEKSGHRFLWVVRAPPHHDPERPFDPRANPDLDVVLPEGFLERTDGRGLVVKLWAPQVQVLRHRATGAFVTHCGWNSVLEGITVGVPMLCWPLYAKQKMNKVVMVEEVGIGVEMVGWQQELVKAEEVEAKVRLVMESEEGEQLRARVAVHKQVADMAWKAGGSSRTAFGEFLLDAGKLSLGVPRQ >Sspon.05G0022270-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:7070804:7075964:1 gene:Sspon.05G0022270-3D transcript:Sspon.05G0022270-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRDPKEDGFGVGGGGAADPEADIEAPLLVSSGSSFLLDPALEDGGGGDEEQRRRRRRFLHGSHTHSNTTSQVALVGADVCPIESLDYELIENDVFKQDWRARGRGHILRYVALKWALCFLVGALSAAAGFVANLGVENVAGAKFVVTSNLMLDGRHGSAFAVFLASNFALTMLATVLTVYVAPAAAGSGIPEVKAYLNGVDAPNIFSLKTLIVKVVGCIAAVSSSLHVGKAGPLVHTGACIASILGQGGSRKYRMTCRWLRYFKNDRDRRDLVTCGSAAGIAAAFRAPVGGVLFALETVSSWWRSALLWRAFFTTAMVAVVLRALIDFCQSGKCGLFGKGGLIMFDVTADYVTYHLIDLPPVITLGVFGGILGSLYNFFLDKVLRLYNLINEKGKTYKLLLAATVTVCTSCCLFGLPWIAACKPCPTDTGEACPSIGRSGNFKKFQCAMDEYNDLASLFFNTNDDTIRNLYSAGTDDEFHISSILVFFVASYFLGIFSYGLALPSGLFVPVILTGAAYGRLVGMLIGSQSTLDHGLFAVLGSAALLGGSMRMTVSVCVIILELTNNLRMLPLVMLVLLISKVVADAFNANVYDLLVRLKGFPHLEGYAEPYMRQLTVSDVVTGPLQTFNGIEKVGHIVHVLKTTGHNGFPVVDEPPFSDTPTLYGLILRDHLLVLLRKKDFIRSCTASTRNASNHFSHAEFAKRGSGKHDRIEDIELCPEELEMFVDLHPFTNTSPYTVLETMSLAKALILFREVGLRHLLVLPKSSKRAPVVGILTRHDFMPEHILGLHPFLFKSRWKKVRLGKVKVTNIF >Sspon.07G0014060-3C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7C:56698252:56701104:1 gene:Sspon.07G0014060-3C transcript:Sspon.07G0014060-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LSFPSPASPPFFLHSAPPLFFNPPSPRRSWRKRGEKLPGPGPDPDSHPGPRAADPRPRRSGNPPLRARALRLFLDPAIEVRAGGGRL >Sspon.03G0022790-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:88302640:88307854:1 gene:Sspon.03G0022790-3C transcript:Sspon.03G0022790-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRVASGLGALKRHGADAQMMNVAIRCASTSVAQGSSGGFWTWLTGARSNEIPPPDFTLPGVIIPPPLPDHVEAGKTRVTTLPNGVKIASETSAGSSCSVGVYVDCGSVYEAPETTGASQLLKTMAFATTANRSELRVVREIEAIGGSAKASASREMMSYTYGALKTYMPEMVEVLIDCVRNPAFLDWEVKEQILRLKAELAKSSSNPEKFLLEALHSTGYSGALANPLIASEYAISKLNADVLEQFIIENYTAPRIVLAASGVDHDELVSIAEPLLSDIPSVSGTRPKSTYIGGEYRRSADSSNTDVALAFEVPSGWLKEKDFVTVSVLQTLLGGGGKFSWGRQGKGLHSRLNHLANEFDQIKSISAFKDVHSNTGIFGIHTSTDASFVPKAIDLAARELTSLATPGQVDQSQLDRAKASAKSAILANLESQASLTEDIGRQVLAFAERKPAEHLLKAIDGVTLKDVTSVAEKIISSPLTMASHGNVLNMPTYESVSGKFRSK >Sspon.07G0023960-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7D:19455137:19458224:1 gene:Sspon.07G0023960-2D transcript:Sspon.07G0023960-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTGSTPGSPPLKALRSALPRTPHRSLRKRGSTKDSVALYAVQCYKCYKWRTVPKDEFETLRENFTKDPWFCSRRPDCSCVDDADIEYDSSRIWVLDKPNIPKPPPGTERLVIMRGDYSKMDTYYVMPNGKRARCAGDVDKFLEANPEYKNRISASDFSFAPPKVVEETVSHNSVWKAAKAKKQEKAEAQK >Sspon.01G0030650-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1A:106074878:106075902:1 gene:Sspon.01G0030650-1A transcript:Sspon.01G0030650-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGITESAGRRHANRRPLYGLRRTHAARDGELVGSACVYESREPNPGGLLVARVEAAGGNNVSQLLIITLLLDATGEARAARHCTRTACIPNSFLVPHPWRLTKRGTECVHARARRPSSDFPRRGRDGVSGGRGRGLGTANVAVAWWLRIGIGGIGTGTGPTGSSQAAAGVLTA >Sspon.06G0025340-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:66061920:66065978:1 gene:Sspon.06G0025340-1B transcript:Sspon.06G0025340-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTGSSPPASQQTFKLILGSSSVARKHILEEMGLEFQVMTADIDEKSIRRENPDDLVMVLAEAKADAIMSRLNLADYQKEGNQPTLLITSDIVCYSGGHVSTVGSVVVTNLTTGKRLGSLDKAEVYFHDIPDEVIENLIDEGVVFRVAGGLLLEHPLTLPFVEAVVSVSFTLSWLSYRVGSSDSVMGLSKEITNKLIHDALST >Sspon.05G0008750-1T-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5D:27937241:27938628:1 gene:Sspon.05G0008750-1T transcript:Sspon.05G0008750-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding METLLAHDGLFSCVLLVLVTTITILYLKQLLLAVFKRRTSSPSLPCPRGLPLIGNLHQLGTAPHDSLAALAAKHAAPLMLLRLGSVPTLVVSTADALRAVFQPNDRAMSGRPALYAATRITYGLQDIVFSPPDGAFWRAARRASLSELLSAPRVRSFRDVREGEAAALVAAITDMSGSGSPVNLSEELMATSNKILRRVAFGDGGGEESIEAGKVLDETQKLLGGFFVADYMPWLGWLDALRGLRRRLERNFHELDAFYEKVIDDHLSKRGAGADASKGEDLVDVLLRLHGDPAYQSTFNSRDQIKGILTDMFIAGTDTAAATVEWTMTELVRHPDILAKAQKEVRGAVAGKDIVLESDLPRLKYLKQVIRESMRVHPPVPLLVPRETIEPCTVYGCEIPARTRVFVNAKAIGQDPDAWGPDAARF >Sspon.08G0008690-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:11584549:11587557:1 gene:Sspon.08G0008690-3D transcript:Sspon.08G0008690-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTHPVLLFLLVLACTGAASGFYLPGVAPADFRKNDLLAVKVNQLSSIMTQLPYSYYSLPFCRPDTIINSAENLGQVLRGDRIENSPYVFEMMEAKLCQITCKIVLTEQGANDIKEKIDDEYRVNMILDNLPMVVPITMFDRDAPPFYLHGVHVGVKGLYAGSKDVMYFIHNHYSFLVKYNKEAQTDLARIVAFEVKPYSCQHKLDGDWKGNATRLKTCNPHSGHLVGNSEGPQQIEANKEIIFTYDVNFEESDIKWASRWDTYLRTTDGHWFPIVNSLTTVLFLSVMVAMIMLRTLYRDISKYNQLESQEEAQEESGWKLLHGDVFRPPVNADLLCVYVGTGVQFFGTLLVTLLIAILGLLSPSNRGGFMTAMLLLWVFMGPFAGYSTARLYKMFGGMEWKKVAIRTVLIFPGVVFLIFFALNMLLWGVKSSGAVPFTTMFALVFLWLGISMPLIFIGSYLGFKKPAIEDPVRTNKIPRPIPQQPWYMNPAVSMLIGGILPFGAVFMELFSILTIIWMHQFYYIFGFLFLVFVILIVTCAEITIVLCYFQLCSEDYQWWWRSYLTPGSLALYLFLYATFYFFTKMQITKAVPSVLYFGYMLIASYAFFVLTGTIGFYACFWFTRHIYSSVKID >Sspon.01G0032690-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:102726247:102726874:1 gene:Sspon.01G0032690-2P transcript:Sspon.01G0032690-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCMSQSAVSVADPSEKKAQAQAQGDPSSSSSAAAVTTATMAAQVPAAGSSEEGAAGEAVKAAATPGLVAAGVSRLMKGIRSLSQMFAAYDGEEEEEEEREMVI >Sspon.08G0007670-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:25595345:25598377:1 gene:Sspon.08G0007670-1A transcript:Sspon.08G0007670-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MREIKVQKLVLNISVGESGDRLTRAAKVLEQLSGQSPVFSKARYTVRSFGIRRNEKIACYVTVRGEKAMQLLESGLKVKEYELLRRNFSETGCFGFGIQEHIDLGIKYDPSTGIYGMDFYVVLERAGYRVARRRRCKSRVGIQHRVTKEDAMKWFQVKYEGVILNKAQANTS >Sspon.06G0003540-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:10778141:10782129:1 gene:Sspon.06G0003540-1A transcript:Sspon.06G0003540-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:La-related protein 6B [Source:Projected from Arabidopsis thaliana (AT2G43970) UniProtKB/Swiss-Prot;Acc:O80567] MAQDDNPESSATGSSTSSAPAARLNAAAPEFTPRSAAQHHNHGNNPHRRGSHHHHYHHHQSNQHYQPRHQHHQAEDEGSAAATAGDREGPAGAGQAQHRLPEPEARKLVKQVEFYFSDINLATTEHLMKFITKDPDGFVPISVVASFRKIREIVYDRSLLVAALRTSSELVVSEDGKHVKRSQPFNAEEVQSRIVVAENLPEDQKHQTLMKIFSAVGSVKSIRTCYPQDVTAAAANKTSRIEMIFANKLHAFVEYSTVEDAEKAVAEFGGGGNWRDGIRVRSLLGCLKHGLGQGRKGGDEEYAADEDGPDTTDHQQDYGTDVAAQNSEAHFDHQAEDGTHDKGGMRQQGRGRGRGGRGRGRGQYYGHNSRDAHHPVGTPPSEHPVVPKPPPGPRMPDGTRGFTMGRGKPLNPTTDAAANPTTDAA >Sspon.02G0021160-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:70388828:70390275:-1 gene:Sspon.02G0021160-1A transcript:Sspon.02G0021160-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVVTRAKKRRLEEEEERLADRISNLPDGVLGDIVSLLPTRDSARTQILSSRWRYVWRSAALNLDLRKDPVGREILANASDVSRIISAHHGPGRRFVMPHHYKSIPPRRPWMASSGPLPSTTSRSSSSVTLFRCSHGCTASRPPSVLLAFGFGGGSFPDGNNASALCFLVLQQMTLLNVSISESSLHALLAGCPILESLLIHEFVHPRLKIVSPSIISIGVHSAWLLQIVSPSLRSIGVHSSWLGHRDAPCPERLLYCEGMKVDISVISVPRLVILGDLFQNCRPTYGGDATFFQGSTVVSMAAMCYLVLSLSDVKICLDAPINLVKCFPHLERLYVKAQIVWLNSKGASYHKCQKLICTLDIRVRKIVLVNYDGSKSHTVLTSSSFLYQMPECWSQ >Sspon.02G0016310-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:43589247:43596546:-1 gene:Sspon.02G0016310-2B transcript:Sspon.02G0016310-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMEVVGAEAAPAEVKVSDGEVNLFQEKESKATAKEREEAAVFGSETTTNFADLAPPKDAKDEWPEPKQTHAFYFIKIRSFEDPKLRAKLEQADKEFQKKIQARSKLIEAVRAKKAERSSIIAELKPLSAENKQYNEVVNEKLKEMEPLRNSLGKFRDENNAMRAQSAGLCSSIEELDLTIKMLNDRMVHESIPLSEEKRLVKEIKDLEKTRSKVISNAANRAKLQGTVVEKEAIQDQVKIIGEGIDGIKKERQAVRSKIKVLEDELKLVDAEIASLQVDLDAATARKDKAYESLTELRTVRDAKNASSMQNRSVLGRARDYSSRNMLTKLQELHKTEVDKFMTQWCESKAFREDYEKRILTSLNSRQLSRDGRMRNPDEKPIFIESQAPAPEPEPIPVKLPAKQAKEVPAPQADDAPKIEARSKGPVKSHKAKAALDADDDYEAEPPKEKAKPTEADVAKLKEIKRQEEIEKNKLAMERKKRQAEKQQAKAAARAQKEAEKKLKKEEKKAKKKSGAADTDEPSESDAKSDEAMEAPAEQEVIPASTTVKKEQKESARYRNVVSRSKAPPPKAILKRKKVQSYWSWAGP >Sspon.01G0037870-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:21554861:21558788:-1 gene:Sspon.01G0037870-2C transcript:Sspon.01G0037870-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPDAGGSSSAPPPPSATIGAGAETKEEERGLKQVMVVLVGPPGSGKSTFAEAVVGGSTAGRHWVRVCQDTIGNGKAGTKIQCLKAASDALKEGKSVLIDRCNLEREQRADFVKLGSTLHADVHAVSLDLPAKVCISRAVSRKGHDGNLQGGKAALVVNRMLQKKETPLLTEGFSRIMCCNDDGDIKKAVDLYNGLGPSDSLPSGVFGQKSKRPVQVGIMKFLKKADASSVETSSGPKLALTEIKPVQQNPLPKQENVEAGFACPMEVEKGLNDKMENEEHAKESDYCDVGSRTLAFPSISTADFQFDLDRASDIIVDTAANFLQKFDNIRLVLVDLSEKSRILSLVKQKAAKKSIDSNRFFTYVGDITQLHTRGGLQCSVIGNAANWRLKPGGGGVNAAIYNAAGESLQHATKKCADALRPGTSVVVPLPSTSPLRQREGVTHVIHVLGPNMNPMRPDYLKNDYTRGSKILREAYNSLFENFASIVQSYMGKQNSESGAEKSASGGTSPNDTKMKREDSHGSERMKKHKLFQPIMTAKQQHECTKVNAPNCHDDAMTSSVVPSQTRQVDNKRNDVVTSKTWGSWAQSLYELAMNPEKYKNSDSILETSDEYIVLKDLYPKAKRHILVISRMDGLDSLADVKKEHLPLLRRMHSAGVKWAQKFLEEDAALEFRLGYHSVPSMRQLHLHIISQDFNSASLKNQKHWNSFTTSFFRDSVDVIEEIEQNGSTTTSSDEKVLAMELRCHRCRSAHPNIPKLKSHITICKSSFPSHLLQKNRLLSSTMHMDRT >Sspon.06G0026020-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:72344507:72346026:1 gene:Sspon.06G0026020-2D transcript:Sspon.06G0026020-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARQTEARARPAAASTRPPRASVPAFGGWEGGAAAPDYSLDFTNIRTARMQQRRKALSWSSFVGNAAVAVETSPGGAGGGDDEEEKRRQWSSSVSASDGDDDDRERRRRHQLRRLRSADDRQPIQPGRAAPKGRGKFKGYLFGCVSGQW >Sspon.04G0001200-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:4636462:4637669:1 gene:Sspon.04G0001200-2C transcript:Sspon.04G0001200-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGRGGGMGRRQERQALMVAFALALLMGTAVYFRIWARQSSDPSFTADDREELRRQFEQANLEAMDESAEWRMQYDKEVEKNRQLHDELSKDNELQKRQTESLRQQ >Sspon.01G0023650-3D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:82483421:82485358:1 gene:Sspon.01G0023650-3D transcript:Sspon.01G0023650-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding QKQDLSTVMNLLNIKQYQARALLIHHRWRIDGIYDSLDKGRECMLRNAGIVLQENNSMAAAGSMAQWRTVTCKVCFEDFSMGAVSTMDCGHCFCNDCWTGHFHAAVESGKKQIRCMEVKCSAFCDENLVRFLLGQKYPDMAKRFDRFLLESYIEDNASVKWCPSTPNCGHAIRVGTGERYCEVECPCGLSLCFNCMAHAHSPCPCSIWEKWNAKRSEGENIKWILANTKSCPKCFKAIEKNGGCNLVRCKCGQCMCWLCGGGTGMDHTWTSIAGHSCNRYKEDTRGKTVDTSREQMQRYKHYHDRFKIHGDSYGVEKQKLGATVEERVRLLESDQERPLAIRDGDWLTRAHRRLLVSRQVLSRSYVFAYYMFGGGSELRTRPPATAKRAGAAAAERERASLLGVARNLFEDQQEQLEQHVEHLSRSLAEGDVVAGTPEAEIVRQKQTAVTLAKTVERLCGEMYKCIQDELLTLLVEPMSIAAYRPDGPDRARELAA >Sspon.01G0047520-2D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1D:85074909:85075301:-1 gene:Sspon.01G0047520-2D transcript:Sspon.01G0047520-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGLRKGNARLPPEVNRVLYVRNLPFNISSEEMYDIFGKYGAIRQIRLGNAKDTRGTAYVVYEDIYDAKNAVDHLSGFNVANRYLIVLYYQPAKMSKKSDVKKKEDEITRLQEKYGVGSKTPGPGSSD >Sspon.01G0060790-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:83634341:83634745:-1 gene:Sspon.01G0060790-1D transcript:Sspon.01G0060790-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPYRAVAVSDSMYFEFHLKFKGDGDVDEDFRKGILVHSAICHSQQPTTDHLYSCLSTVELVYTPVPYAVEASFVVSILKGPFDFIGKVTAWTTGNEKNKIVVYNKEVAGVERCGVHGLVAVPVDELVLCVCVF >Sspon.02G0039030-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:39641505:39644196:1 gene:Sspon.02G0039030-2D transcript:Sspon.02G0039030-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MESTSKDDHLFIADESKDEKTIDATPIKESGGNNDDDYEALMAALEANREWLQAKVSINNALATDEATTQTPTRHGDFFRIGECEGQKTIDGERMPLVLTPATEEHKDHEALVAALKASREWLEGKVIASSAVLLRGFDVRDAVEFDAVVEALGWPDIRYVGPAPRTHVHGRVWTANEGPLEQFVYFHHEMVLIKEFPEKVILFCEVPPPEGGETPFVPSFRVTERALQEFPEMVEELDATGLRYTLTALSKNDNRSMRGRGWEDAFGTSDKAKAENRAKALGMDLEWLPDGSAKTILGPRSLTRVFPGRRGRRMWFNTVVGMHGKEVSSATAADGSEIPASFVQRIGEIIEEESIQFRWRRGDILILDNLATLHARRPSLPPRRILVATCK >Sspon.03G0032020-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:33719978:33722969:-1 gene:Sspon.03G0032020-1B transcript:Sspon.03G0032020-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYEFGRVKRWKHSFLIGFLNQSYDPSTVPACCHPDPIGEWLGAGAPAADLSVGRLRRTSTAGSSGYKLLRDALAGSCFGVARCSGGHLLRRSCFGGQVLRRAAAAASLLRWTAMENMLARPAQDLETPRSSTEIVSKVLSQTSAASTFLKNAGIETPISKSTSSAAREAQRREQLQAEKQRADLL >Sspon.02G0052000-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:86920297:86925281:-1 gene:Sspon.02G0052000-1C transcript:Sspon.02G0052000-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALYLLASLATTLATSGFHSLLLLLRLLVRYPGRASPAAADGPAAAARLYEGRVRHSRRRPAAHAFEYPARYALIDLDQLPLPDHLSADEARRVASTSGPVHLLTIPKSVGYEQNPLSIYYCYDSAAQGQDGELRMCIAEVVSVYIRFIPICLLLIICNCLISYYWCQVTNTPWGERVMFTFQPGSDLVAKPLHVSPFMDMLSSWSIRADAPGDRLYVVISIQHPTLGDYFTAALDAKLVGQTSNSLRLATFFWLMPHKVAAWIYWEALRLWLKNVKFLDHPKYSNPSYKDEALERDLELRASCSYLQRQKANNQRSRTAEKTAETTSHVDGKGDENITKRWCVWKDAQHHKLPVGDCIT >Sspon.02G0058900-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2D:84925463:84926017:1 gene:Sspon.02G0058900-1D transcript:Sspon.02G0058900-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSKKVCVVGAGVAGLVSARELRREGHDVTVMEQSGGVGGQWLYDPRTDASDPLGVAGAQSSIYASLRLNTPRETTSFSDFPFFPNSDGTGDARRYPVHGEFLRYIRDFCDAFGLMDVVSLNTNVLQVGLLAPRGHDGGVTRWTVRWSRHGGCEGEVVTAEEVFDAVVIAVGQNTQPRLPAIS >Sspon.05G0011460-3P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5D:34705907:34710369:1 gene:Sspon.05G0011460-3P transcript:Sspon.05G0011460-3P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQEGANLPQEVEGSHDQDTTPHGNIPAGAGSPTATVMLAGSNPNSGSKLASTDNNAGLSKSASLAVLSVVEDNNAMSKIASPVVFQAGEDSSAASKNASPAVLLASEENKAEFKLASPAVLLAGEEKGNDATGSKLASLAMLHDCDYSKAGSHAGVDSNAARSKLARPAVLHAGDSNNGGSKIASPAVPQAIVGNTTSSKLDSPPAVLHPGEHNNAGFKLPNPAVLHASKDMDNSAGRPKFASPALLHVGEDNKARTKLAIQAVSHAGGNTGSSRLTRLAAAALHAAKDNASKLAIQVVPCPHAGKDNNAGSSKAATRPAAVDAGESNAKEGKNNVAGEQRAHEADVGGGSRKGNAAAVEDADPNLHIFTERERRKKTKNMFSTLHALLPQLPDKADKATIVGEAVTYIRTLEGTLQKLEKMKLERKRALTAQQQLLVGAGSSSRASSARHPAPPALPAPAPASSREANLADMVHSLAQQAAVAAANKALAVAASAAAAAAGVGSSGSSSSGAAAATQLPRGAVPFPAPAAGFQTWTGQNVVVSVANNEAYINLHCPREPGTLTKALFVLERHSIEVVTTTISAHDGFR >Sspon.03G0023350-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:94660715:94661473:-1 gene:Sspon.03G0023350-2B transcript:Sspon.03G0023350-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPRPPPPLIDDVMAEIFLRLPPDEPEHLFRAALVCKPWLRILCDPGFLPRYRAFHGSPPLLGLLHRLMVIQGDPEPRLTPTTAAPLSRYPDRGRSRALDCRHGRVLLYVGADCWHFVVWDPVTGDEHRLEEPGIPWLIYSAAVLCAVPGCDHLDCHGGPFRVVFVVTDDVEELVKATVYSSETGAWSTPVTLDSGCESYGQHRRDVLADSRLYYIPYVQPRRGAVIGDEASRCGGVTQLSSMIGATIPYP >Sspon.04G0006790-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:15444358:15447192:1 gene:Sspon.04G0006790-2B transcript:Sspon.04G0006790-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKFDNETNEPFVDEHCDQDEDDLGDFIVYSDDDEESLKHKQHQQELEDEHHQELEDEVEEEVEVEEEEEEAPVGQQEILSLREQLKEEIRRKNAAMAASARKPNLSSTSKNQTMPPVKDGYGTFFGPSKPVLARRVIEEGCSSIMKDLQNVPSKKDVSLSSKMRPGTVEKMQKLKFVSEEKRKVDTLRKNRDYSCLFSDDADTHQPPTKDHPGSSRTPLLVPKSETQNAGPVNSARKSRVYTGQPATLSSTDRGLKGSGSLGKKAHAERKGTIAAGRNSLPNLKKKSPGLLPSSKGQELQPSLHNKRPQASIPGQKLRQQPQSQRPQGNGSQPPLQGRSLQGQLIGQNRSAAQNGRLKSAQKQLIPSSKFKASHGVEKCTVKRRKSNDDRQIMHNYDEFAVSDEDESDMEADFASIQREERRSAALARKEDQEQLRLIKEEERRERAMKRKRAAQKE >Sspon.07G0038010-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7D:66419206:66422753:1 gene:Sspon.07G0038010-1D transcript:Sspon.07G0038010-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRHRQFTTRRRTTDTPQAESTATGAPQDPTNGGGNQDDWSPSHYLQLDQGDEVPPQEPSGEGTSRTKRGATKLPQISKHWHISEFDQVGEPVEPLTALGPYKSVIGALVRDFIPIKYRKWTGREDDPWRVPESEKELVWNKLKGYFTFPNDYDEATVKKKAKEIMGRSFKTFKGTLYKLIKEDREPNFEGGQYTKQRDFWEEFKAYKLSEEAKASSERNRANSLKAKDPHRLGSRGYVRKIPEWEMEVQKLEQRGVRPQTSDWQPRSVNYLLARGAQYNSDGSLNASSEPVSDLLQRISTLTEEVNRGNRKSDRENDVLTQALGNKEHPGRTRGAGNVPWVKAFEGESSSYRSRARARTQREEELQRFRKEIEDSMEARIAAQVDARVMSAMQSMCSTQPQPILTLNSPVPKGRSSCGSTPMLDEEEVNAPHPVDNITGPINVRLYIRQQWTKDKVAVGQAFPRGDGIIHGKPMPPGYARVSIDKILDKKYNKIHIDFPVEEDRPRLVHNLGTHVAWRKRFIKLDEQPSSDDEDGKKTPPPPPKEKTPPLPPPPLKEKTPPLPPPPPKEKTPPLPPPPPPQPKEKTPPPPPRKSKVRSGSTSQHKRSSVSVGNAPQTSKKKVDASITSKMKDPTVEPPSKKAAIAFFNRIASLPKWRQQTEFERQHNKESNQIRAREIHTKELLEIQNLANNMGISMERATELYYGTRDFEKATVAYKYSRGKPLVATEQEYKDLPTYMRQLHDYYSLDTANGDCVVLKFASLPEWCMAKWCLKKQLSNIDFLDPIFVNETTCTALVKMTINHFILVDIYLQDSHIEVWDSKKKPFSLIDPLVKVLNKMKALPNWKNLDSKMQKQWSAPFSVKYMEDLKTLDQPMGNNECGFYRKANNHNQLLDFEMRGIQEEVARFVMDEILTKTGSFSIARTKKYKAQYGRDHIDRLL >Sspon.04G0010790-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:32694837:32701913:1 gene:Sspon.04G0010790-2C transcript:Sspon.04G0010790-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine and histidine-rich domain-containing protein RAR1 [Source:Projected from Arabidopsis thaliana (AT5G51700) UniProtKB/Swiss-Prot;Acc:Q9SE33] MSTTTEAAKSGTAAPVRCQRIGCDAVFTDDDNPEGSCQYHPSVSSSSASSDLPLLPKPWYPGKARQLRPMFHDGMKEWSCCKQRSHDFSLFLQIPGCTTGKHTTEKPITKAVSTNPIKATPIQSSKQGVGVDACARCRQGFFCSDHGSQAKPQKPAATDDTNKEPVEKSSVPPPKKRIDINEPRICKNKGCGKSYKEKDNHDSACDYHPGPAVFHDRMRGWKCCDVHVKEFDEFMEIPPCTKGWHNADAYYFGHGSVHVQGMPMIGMNGKKKMRGSPPKLKGGLLPKLKGGLLPPANGGGHTGVCTAGGAGLSSGSGGAFPWWSWSRSAMVVATAELPLAAAHLVKKARREPKK >Sspon.06G0029820-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:35526282:35532432:-1 gene:Sspon.06G0029820-1C transcript:Sspon.06G0029820-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MACDRQLREWISDRLVTFLVRPNRVSVQDVITLAAECSSACDLVTKLVELGFTSSAETFTFASDTYGMVPRKAICISNDQMQEKVAADPVEEQEAGKLSSDNGSDDDYSQLQGSKGMLYKVESDIVDDEDQGRANDSGRNVGGVTEEEDEEDDDNCSDDMEGEVYLQQWVTDKLITLLDYPKSIGAKEIIRLAEDCSSTDDLVCMLVKSGFRSSSETCSFGLEIYAKKLYQEHVVNRDAEEEEATELYLNTIENNQAHRQKYKMPEADDMHENAGEEKGLSVVLLEYEYVSEVIDFVKLPVMEETMDGNDLDEEDSNARYMLKRDMQVESRTLSVNKFRDDLLKAVQEHQEKGLEGRIGGIRGD >Sspon.02G0000540-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:2139992:2141991:1 gene:Sspon.02G0000540-1A transcript:Sspon.02G0000540-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAMPMPIVRIAQTFIFLVLLAHTASAQLPAPAPAPDAGCNGIFLSYTLQGRDQIRPHVAERNSQPYSFRATRHRRQLRHPPAPLLGAADHILVGVDGAVLTSGADLPYNTTAGDAGRPTSFTGRTSSPRSPRPGTPPRHRPPSTSSARSSPGPSPTRPLPSFLSLADPSYTCPPATNATSLTTCCVLTPAAGAGDDDSATDPARNFLPRRTGDLVITYDVLQSHETTYLALVTLENDAPLGRLDGWQLSWRWQRGEFISTMRGAYPREVDTAGCLYGPQGQYYKGLDFSKVLNCDRTPVVHDLPPSRANDDDIGRINHCCRNGTLLPKSMDVAQSKSAFQMEVYKMPPDLNRTKLYPPTSFNVTGSSPLNPEYACGAPIPVSPSEYPDPSGLASTTVAVATWQVVCTSTKKPPRCCVSFSAFYNESVVPCRTCACGCPSSGTQPAVSATCSTTAPAMLLPPQALLMPFDRRASEALEWADQKHLGVPNPMPCGDFCGVSINWHVATDFTGGWSARLTLFNWDGTDMPDWFTAIVMDRAYDGFQQAYSFNTTRVGNSTIFVKGFQDFDFLLGERNMSGVDYPVPGKLQSVFSFTKKTTPAIDIIAGDGFPSKVFFNGDECAMPLRIPSLGTRAAGLVVPMQLCLLLVSSFLLLLLH >Sspon.02G0020540-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:69573728:69575037:1 gene:Sspon.02G0020540-3C transcript:Sspon.02G0020540-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTYNYRDIAFSPYSERRKLFISELVGSKRVQSFSRALEEQVEQLIQSFSCLPPSTMEPVNLNEKIFALIDGFIGTRRKAPQIQVALVVLSAFSAQDFFPASPVSRWVDRFIGLEARYRAIFVTLLWAMSEIIKNPRVMIKVQAEIRSSVSGKPRVQVSDTPQLKYLRMVIKETLRLHPPAPFLIPRETTQHVQLLGYDLPPKTRIFVNVWAIGRDPACWKNPEEFYPERFEDADIDFQGTDFELLPFGAGRRICPAIPMGLMNVEFTLASLLHSFDWKLPEGMAPEDVSMEGTGRQIVCRSTPLYLIPSPYASG >Sspon.01G0054750-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:68320102:68325887:1 gene:Sspon.01G0054750-1C transcript:Sspon.01G0054750-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEASTHCRGTHSLVGGRYSPAVPQVPMVEEPARTSKVSLRLIVMATTQASEAAADKGLPLAADATMVDEYVSQSKLLQEFVKIPTIGKAWIFNSKDDEMSKAVVSIGQSDLLANKRRTFLLNSHISKSPSKSVDFKWSPFPTEISGVSAVIPSPSGEKLLLVRNSEDDSPTKLEIWGPCQWENEIHIAKSVHGSLYTDEWFGGISWNQEETFIAYVAEEPPQPKPVFNDYGFKKEGSSEKDCKSWKGQGDWEETWGETYSKKRIPALFVVNISSGEVRPVKQIPRSLSVGQVIWAPSSSYSLVFVAWSSDNGFQETPRKLGIKYCYNRPCALYAAPDPFREEAEKSSTEGNKGETTTMIKLTADLISAFFPRFSPDGKYLVFISAKSAVDSGAHNATNSMHRTEWPADGKLDGSLGIADVVPVVMCPRDNCFPGLYCFGLLRDPWLTDGQTMIISSVWGSREVILSVNVVSCEVSRVSPQDSDYSWNVLAVDKNNILAVSSSLITLPQMYYAIKVPQTESNWEWQEVSTPFPKPSDKISSILAEHKFSILKIPISNPSDKLANGAKLPFEAIFVSHKDSASNPTIVVLHGGPHSVYPSSYSKSLAFLFSQGYNLLVVNYRLWEEALQSLPGNIGSQDVNDVLTALDLVIKRGLIDPSRVAVVGGSHGGFLTTHLIGQV >Sspon.02G0028190-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:102320040:102322571:-1 gene:Sspon.02G0028190-1A transcript:Sspon.02G0028190-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAACRATAAVVRLRCRCRNDSSSLALVYLAAILLAAATAAALSDAEASDIARRQLLSLREGDGDLPDDFEFDIHVDVTFANNRLRRAYVALQAWRRAMYSDPKNFTGGWVGADVCSYFGVTCVPALDDAKTTVVAGVDLNGGDIAGYLPAELGMLTDIAFFHINSNRFCGIIPKSFSRLALLHELDVSNNRFVGGFPDVVLQIPVLKYLDLRFNDFDGTLPPHLFDKDLDAIFVNSNRFVGFIPENFGNSTATVVVLANNAFVGCIPRSVGRMADTLDQLMLLNNRLDGCIPPELAELINSTVVDVSGNALIGTLPEGLVNMTRLEQLDVSRNQLAGAVAERVCKLPALANFSFAHNFFSVEAEACVPSEDSPVALDDRGNCLDGGRPEQKPSLECAPVLAHPVDCRTNVCSKGAAPPAKKVVPRPPDVSPQPLPPAPSSEKQPPKKSPPPRLVSPPPPPAPISSPPPPVKSPPPPAPISSPPPPIQSPPPPVPVSSPPPPVKSPPPPTLVNAPPPPVKSPSPPPVPMRSPPPPVKSPPPPTPVSSPPPPVNSPPPPAPVSSPPPPKKSPPPPAPISSPPPLVKSPHPPAPVSSPPPPVKSPPPPAPVSSPPLPVKSPPPPTRVSSPPPPVKSPPPPAPVNSPPPPVKLPPPPAPVISPPPPMKSPPPPAPVSSPPPPAKSPPPPAPVISPPPPVKTPPPLASVSSPPPPVKSPPPPVPVSSPPPPVKSSPPPPTLVRSLPPPIKSPPPPAPISSPPPPVTSPPPPTPVSSPPPSVKSPPPPAPISSPPPPAPVSSSPPPAVPSPPPPAPSLTPPPAPEEFILPPIMAQQYASPPPPQFQGY >Sspon.02G0049120-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2C:33667179:33670106:-1 gene:Sspon.02G0049120-1C transcript:Sspon.02G0049120-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLSLYESPSSRRLPSRSRSVRLGRASPLSAVAAAWPGDAAAIPDTCGAPAHRTTTPGTAAGGDSRLPWWRSGARPHCGGVWQRS >Sspon.06G0000380-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:674981:676722:1 gene:Sspon.06G0000380-2B transcript:Sspon.06G0000380-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ATRAINPRAAAQPGPPLAVAGPGRPRGAPPRGAAAPEPVVRLELAGPPGAAAARVPGQGGPERGAADGGDVPAHRLRRRGAHPRGAPRGGRRRPGLPPPGRRLRRELQGVQRQQHQGHLPRPPANVRRAHVRRPDACRQGVGRMAGQFAKPRSDGFEERDGVKLPSYRGDNINGDAFDEKSRLPDPHRMISAYSQSAATLNLLRAFATGGYAAMQRVTQWNLDFTEHSEQGDRYMELAHRVDEALGFMSAAGLTLDHPIMTTTEFWTSHECLLLPYEQALTREDSTSGLYYDCSAHFLWVGERTRQLDGAHVEFLRGIANPLGIKVSDKMDPAELVRLIDILNPENRAGRI >Sspon.01G0011690-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:39351576:39359992:-1 gene:Sspon.01G0011690-2B transcript:Sspon.01G0011690-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPATAEDSPAIRKLGQLFRLSEVYLWDDSYGAGPHHGQKNWRSAEGALVDSHTDKTCNEASNGTDKDLELANLMGSLGLPVSFSTSKVNKNTGNKGKKKGRQAPLKAANTQINDAGRICANTEDRESTVESLDVMEHMHSCNLSGTLLGHNEPCIDDTDKMLREDSPCVEEQEESGCSTIYSADKAPGCDAKNQLTELGTFELSDNLGSPAKEEYSIQENQASDSVLLDSEEMSRQEMSRHDCVGGESTHSCVGIYQEEIVSTREDQTSEETLSVPHGNNGVGREASLSLAEPSSIDEHAQSSANNFYYDYGEWRVVWDPFYSRYYFYNIQTQESTWCPPEGLEDFASYCSPDTTKELVELGSQCTSIALQENNLASDDNHLEAQEQDHCIHDLSDIPVEKPIYQSMITTSDKAQHTENKYSDSTTIVLEMNQEVASTKKKKRVRRSQSYHSCQDMAGDISSDIIKYWTQRYSLFSLFDSGIKMDEEGWFSVTPEPIAKHHASRVGAGVMIDCFTGVGGNAIQFATKCKHVIAVDIDPQKIDCAHNNASIYGVNDRIDFIVEKNFLNGKLKAITAYFEEQDVGSGHFIQRRD >Sspon.01G0028640-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:90476496:90481118:-1 gene:Sspon.01G0028640-3C transcript:Sspon.01G0028640-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNNNGNGTNAAASSWLGFSLSPHMASAMDEHHHHQQQQQHHGLFFPSVTAAAAVAYGLGGDSGVATSASSYYTPQLASMPLKSDGSLCIMEALRRSDQQDHHGPKLEDFLGAAAQSQAMALSLQDNPAAAASSFYYYGNGGGSGQQHHGGFLQPCADLYSGPSAASLVADDEAEAAAAATAMASWVAARAEGGGGVLSAAAAAGHHPHHHHALALSMSSGSLSSCVTAHPGAEYGVQVAGSAASLDGGRKRGGAAGQKQPVHHRKSIDTFGQRTSQYRGVTRHRWTGRYEAHLWDNSCKKEGQTRKGRQGGYDMEEKAARAYDLAALKYWGPSTHINFPLEDYQEELEEMKNMTRQEYVAHLRRYSTCKQEEQRLLTGRVDVPRTHAGTTSTGGGRRASAASPATRTSTSCPQARRRRRRRRTTSRRSSSGGSTPSPTSTSRATTSTRSWPATRCCRATSRAAGRTTTPLPSSPAPMPAAAASRPRLRRPPWCSRRLPLLLAPAPAPTLPTRHGRWPRQRRWPPRRGTAITTTTCSPARHSPCCTTWWSPPRTAATAAADTTTATAPTCPCQSASSLVTSLGNSREGSPDRGGGLSMLFSKPQAPAPAPPHAANKPMSPLMPLGSWASTASARAAAVSIAHMPVFAAW >Sspon.02G0021920-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:73247387:73247846:1 gene:Sspon.02G0021920-1A transcript:Sspon.02G0021920-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARARAMAAAAPPLSPAAAAAAAVLLLLICAIAMPAAEAQVVVMGAYNETCPQAEDVVFKEMTAIVAEKPHLAGTGSILLDSTPGNTAEKDAPLNRGVRGYEVVDAIKAKLDAACPGVVSCADTLALAARDSIRL >Sspon.01G0061300-3P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:92809275:92809550:-1 gene:Sspon.01G0061300-3P transcript:Sspon.01G0061300-3P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RCLGTWRCWTWACASRRGSTRTARRRRACTTSRRRPRRRRRRRPTTPPRPRASVSTPRRSCGPSRPRGQGSSARGSTFTNSTPRRSSYTRLS >Sspon.05G0020430-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:82302699:82310539:1 gene:Sspon.05G0020430-4D transcript:Sspon.05G0020430-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding REKKQPPHQNHQHAAPPLRPSLIRHVRPPPFPILAAEGVAPCVPSSAGARASNKDWIWLFVSPSFGPSPSTSPSASSAAASSSSGTHYRALSQWCIFHRKRAKRVVDTWEKQFTIAREDKKISFLYLSNDILQNSKRKGADYVDEFWRVLPKSLKHVYENGGEEGKKQVARLILIWDDRKVFGTRIESMKNDILGDNPPILDNNGNSGSNPISNSKAARKDSGTIVKESKSVVLRGQLQVAQAEAQCVIQLREQLGRALVTSVTQSTSSPLMITPPEQTSAIMQGSGVRSTPPQSQPLNPATSLPPTVSAVGDESKRTAAAMADKLASLSAPVQVLTSILSSFAAEQAASINGGSPSGEFSGGPPGFQIDKRPRLEKTGQAADMGAPPFFGQAPQVQQQIGAVPTSLGGTHPPTPGPFPPPPPPLPSLLPPHLQQFGQNTGGMIGMGGPYGMMAGSMPLPPPLTNILPAGFPGPSGPPPPPPLPPAQSQPQQQQQQQSPQAPQQSPTSAGFFQSSGMGFFPPVQVQQSPSAQRQ >Sspon.05G0022500-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:3489166:3491992:1 gene:Sspon.05G0022500-1B transcript:Sspon.05G0022500-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIVAVLVAPTASSVGPRRRWRAAAASSSAASGVDLKGLKAAIDKKSSDDVKEALDQLRELGWAKRWSSQPYVSRRTTSLRELTTLGIKNAENLAIPSVRNDAAFLFTVVGTTGFLAVLAGQLPGDWGFFVPYLIGSISLIVLAVGSVAPGLLQAAIGAFSTVFPDYQERIARHEAAHFLVAYLIGLPILGYSLDIGKEHVNLIDEQLQKLIYSGKLDGKELDRLAVVSMAGLAAEGLEYDKVVGQSADLFTLQRFINRTKPQLSKDQQQNLTRWAVLFAASLLKNNKAAHEALMSAMSQKASVLGCIEAIENAS >Sspon.06G0003830-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:10110729:10115264:-1 gene:Sspon.06G0003830-3C transcript:Sspon.06G0003830-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAGEEMAAQAKEERIMVSVRLRPLNGREAGDSSDWECISPTTIMFRSTVPERAMFPTAYTYDRVFGPSCSTRQVYEEGAKEVALSVVSGINSSIFAYGQTSSGKTYTMTGITEYSMLDIFDYIDKHPEREFILKFSAIEIYNEAVRDLLSHDPTPLRLLDDPEKGTTVERLTEETLRDYGHLRDLLAVCEAQRHIGETALNETSSRSHQILRLTIESSARQFLGRGNSSTLVACVNFVDLAGSERASQTASAGMRLKEGSHINRSLLTLGKVVRLLSKGRNGHIPYRDSKLTRILQSSLGGNARTAIICTMSPAHTHMEQSRNTLLFATCAKEVVTNAQVNVVMSDKALVKHLQRELARLENELKFPGSTVCTTHTEALREKDAQIKKLEKQLKELMEERDTVQSQLNCLLKGDGDDHGNEHTAKRWDEHSRSSDSLARNVLEEALSVADAYGIAHHYQDYASFNGSYVYSSDHNDSAFLSETRELPRQTWDQKVISPWHPPSNHGSDGIEPYHIKESPSRTTSEVSEEHCREVQCIEIHEHVRSRSQEFNQLLPEDTKSQTPDVEVISKDAVPQPDEQQGLKSVTKKIEDHVRSYSSKDEQQAENIRKIEEDSVKTYQCESDRITENVVKLYTCDANHSFDIAKTPHECLSLKRCIMSSKDRALARSKSCRATFMVIPNSWFDDFENTSRTPPDEMFRYAPRRLDKVRRSLYDDNGDCQNEDFKTSPLIPEKNDYQNEDCLLDCSTVSCEVASDEVFNDMSTSDEVAKEMSTSDEEQETPVNDISCVTEAKENTEDCHEDLLEEDEKTSTKTVRDVGVDSALSPFESPSHPTVDFEKKQQQIIELWHECNVSIVHRTYFFLLFKGDPADNIYMEVEHRRLSFIKSSFSAQPAAEGELNPAIASSLKNLRRERDMLYKQMLKKLSNGEKESIYGKWGIDLSTKQRRLQLSRLIWTQTDMEHVRESTSLVARLIDVEPGQALKEMFGMNFTLVPRADRRSFGLVGSYSMK >Sspon.05G0026530-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:36027342:36035837:1 gene:Sspon.05G0026530-2C transcript:Sspon.05G0026530-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSPQTPDSSQMAQRPIGRKRAKEQLKNKGGDDGSYKKVVQELLVEKEEKKMKDLRWQEAKAMQERRISIEEKRLMWEQEQKIMFCDVNTLDIDQKNYVLAMRAQITAQKMTEFSQSLGGSSGGSRDEIVDGVPLYVSSNCLPVKALKYEPAGHSFHAAAMKLLGLVEHEDIETDDRSVSSDDKSQDFNTGSDTFSNKGKKSSGSQQQDHYALLGLGHLRFLATEDQIRKSYRDMALKHHPDKQAALILAETTEEAKQAKKDEIESHFKAIQEAYEVLIDPTKRRIYDSTDEFDDDVPTDCAPQDFFKVFGPAFMRNGRWSVTQPIPSLGDDTTPVEEVDKFYNFWYNFKSWREFPDDDEYDLEQAESREHKRWMERQNAKLQEKAKKAEYARVRTLVDNAYKKDPRIQRRKEEEKAEKQRRKEAKYLAKKLQEEEAAKAGEEERIRKEEESKKAAEAALHQKKLKEKEKKLLRKEKTRLRTLAAPVVADSHFGLSEANVESTCASLDMEQLKKLCDGMDGKDAAEKARLLSNALRNESSSKEAKKIEANGVEHSAPKSNSTGGRVTEGSSSILSSYEKKERPWGKEEIEMLRKAIQKYPKGTSRRWEVVSEFIGTSRSVEEILKATKTVLLQKPDSSKAFDSFLEKRKPAQSIASPLSTRDEISSSTEGAGTASSKSAAQPVSSQTANGKAVADPVPDGAPSVSDPDAWTEAQVLALVQALKAFPKDASQRWERVAAAVP >Sspon.07G0011210-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:88862538:88863419:-1 gene:Sspon.07G0011210-3C transcript:Sspon.07G0011210-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNGKPTPQPPAAGNGAGGPPKMYQRPIYRPQQAPAKRRRGGRSCPFSCCCCFFWTVLVILLLAFLAAVVGGAFYLLYRPHRPAFTLTVARVTKLSLSSSATAPALSDAIDVTLTAKNPNKKLVYLYDDFTVTAATAANAVPLGETTVPGFTADAGNITIIKATVSASALTVDPTAAASDIKKSGEFPITLDLETKAGVRVGGLKTKKIGIQVHCDGVKVAAPAAPPAAPAAKKKKLGKAAAADAPAPVAATEALAPAAAAVDDAPAPPAAASTVARVCEVRIRVKIWKWTF >Sspon.02G0025540-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:93712815:93715483:1 gene:Sspon.02G0025540-3C transcript:Sspon.02G0025540-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At3g06430, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G06430) UniProtKB/Swiss-Prot;Acc:Q9SQU6] MAPPAYTASPCTGSALFSNTRAPPPAPPRLLLLRRVGPAEVPSAAGRYGGRVAPSQERRRPAPPQEKRRPSEPSSRTQLPERKRHWKAGEFPGTAPADGGGGGRLPAQGKRHSKAGEFPGTDGGVRPAPAPLQGKRHWKAGEFPGTAAGPGSGTPRTPLKNVKKRLDARADAKAWACTVTEALADRVSSKNWQEALQVFEMLKEQPFYHPKEGTYMKLIVLLGRSGQPARAHQLFDEMLQQGCQPTPELYTALIGAYCRSGLLDEALQLLNDMKGSPLCQPDVYTYSTIIKACVDATRFDLIDVMYKDMAERSIAPNTVTQNIVLSGYGKAGWLDDMERVLSDMLHSTDCKPDVWTMNIILSLFGNRGQVELMEKWYEKFRSYGIEPETRTLNILIGAYGKKRMYDKMSAVMEYMRKLAFPWTTATYNNVIEAFAEAGDAKNMEHTFNQMRSEGMKPDTKTFCCLINGFSKAGQFHKVVGMVKLAERLDVPANTSFHNAILGACARADDLMEMERVFRHMKHTQCDPDALTYSILVEAYRKEGMTDKIYALHQENPTLVPTDFVMV >Sspon.08G0023220-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:53613035:53616697:-1 gene:Sspon.08G0023220-1B transcript:Sspon.08G0023220-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEADERAEEARRAKEARRRGALLDPRDISFLTNRAAAYLHMGKYNECVRDCDEAVKRGRELSADNKLIAKALTRKAAALIKLADCARDYAPAIRALRQSLDEHHSEETLEKLNKAESARNELEAQEQLHEAADHHRERGNELFKQKKYHEAAVHYTQAMKMNPKDPRVFSNRAQCYIYLGALPQGLEDAEKCIELGYVRKAKVQFLMEDYENAMATYQEGLRCDPNNLEVLDGLRRCETHIKRGHGGAGREFFKQMLGGFHPEDNLRDIQKAFSTISSMQEASEEPLGHTKSERMREFCTEDGLDRFQNAMEQFAIFKKEASEERLRRTESERMDASRGQYDWLLSEHDHLLHDRDRAVREVEELRQKRGQMLLDLVTSMYLEFSPSELEFASKNFSSSLMIGEGAFGCVYRGVLRNMTVAIKVLKPDTLQGRSQFEQEDILIP >Sspon.03G0000160-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:10383284:10385210:-1 gene:Sspon.03G0000160-2C transcript:Sspon.03G0000160-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGRPPGAWRLQQLQQQRGPGAPVAGLPIGFRFRPTDEELLLHYLRRKALACPLPAGVIPDADLARLHPWDLLPAAEPGAADADGERFFFHRPATRCWRKGGGAAGTGVWRPSGKETLVVSPRCKRPVGTKRTLVFCPRRGRGGARTDWAMHEYRLLPAGLHLHGCSAAAATTNAPPPTNVSANPGWLTRPLFVHPDDHTAANLLFHVPESVIQVSSHGAGAAADWVVCRIYRRARPAHRARLSGGEEEHEAEESPSSPSSCVTDASETVGQEDDGDEGSSSSGCSVASSN >Sspon.01G0057630-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1D:12853195:12853491:-1 gene:Sspon.01G0057630-1D transcript:Sspon.01G0057630-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRAHPHVLGAAAAHRHRILLVSMPRAVAFAGNKRSHDWQSRSRRKSGEHAKIAAAVCTLLIGKSLRPRPVRHARSPRAVWFFVLRSPFTGGSAMGSS >Sspon.06G0007240-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6A:26920258:26921098:1 gene:Sspon.06G0007240-1A transcript:Sspon.06G0007240-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRMRLPASGARTVTHDSVPAIPAATVAAQYHLAAWTNGGSSLQSSTPAHNSPVWQGRWQPAGEVASHEVPQLACLLKHPSWRLDSSVEAGGAVEHIVGKVGGTVERADDAIASGKISGKPHPSVQTL >Sspon.02G0023300-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:81601395:81609530:-1 gene:Sspon.02G0023300-3C transcript:Sspon.02G0023300-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:D-2-hydroxyglutarate dehydrogenase, mitochondrial [Source:Projected from Arabidopsis thaliana (AT4G36400) UniProtKB/Swiss-Prot;Acc:O23240] MARREAARLLRRLAPLAVESPTRGMPHNQYESANRIVNSCRRFHWIPSLQRPLCGPTTSGGIYEGQRSANKACEVQKRRFGSAATHIQRNPAYSELNSDDVSYFKSVLGENGVVQDEDRVAVANVDWMGKYRGASQLLLLPKNTTEVSKILSYCNTRRLAVVPQGGNTGLVGGSVPVYDEVIVGLAGMDKIISFDNVNGILTCEAGCVLENLSNFVENEGFIMPLDLGAKGSCHIGGNISTNAGGLRFIRYGSLHGSVLGLEVVLADGTILDMLTTLRKDNTGYDLKHLFIGSEGSLGVVTKISVLTPAKLPSTNVAFLSCNDYKSCQKLLLAARRSLGEILSAFEFMDHHCIDLAMRHLEGVHNPLPASPTKLEAFLLRSMEDGLVADGVIAQDISQASNFWRIREGISEASVKVGAVYKYDLSIPVEKLYDIVEEMRCRLGDSAEVLGYGHLGDGNLHLNIVSSKYDDNTLGRIEPFVYEWTSAQRGSISAEHGLGLMKAEKIHYSKSPEAVQLMASIKKLLDPNSILNPYKVLPQSVRTGLVVVAE >Sspon.08G0007030-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:22517551:22518979:1 gene:Sspon.08G0007030-1P transcript:Sspon.08G0007030-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAIYMSQLSTALPLMEGDHQEHHHHHQGHFQAFTLPKDPPILFPFVIGNSSYGSADHHLLRQHRHQAMLEPQHSIRDDMIEPASYDPYDMGKLHQVLDAAAAKMRITRKATAADPSGYDADMNMSGQPNLGVIRVCSDCNTTKTPLWRSGPCGPKSLCNACGIRQRKARRAMMAAASGSGPAVPTDSGKAATTASNAGTAAAAHPKVKKEKRVDVDRSLPFKKRCKVVQVQQDHAAAVAAPAAATNRAAVVVQATAAEVGDDDACPGRDLLVHDIGGLISWSRTAASCSLFRASPALPVQQDEITDAAMLLMTLSCGLVRS >Sspon.04G0004580-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:13609611:13613242:-1 gene:Sspon.04G0004580-1A transcript:Sspon.04G0004580-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DDEAAVVARRRVGAGGRDGDGRVGGRARRRRQEIHQAQGQRRRRDGRALEELRSSLYNEMHSSEGAKRQQQRFCGPSVALTFNFAVAVGIIMANKMVMGSVGFKFPIALSLIHYAVAFVLMATLKTLSLLPVAPPSKSTPFSSIFALGAVMSLSTGLANVSLKHNSVGFYQMAKIAVTPTIVVAEFMLFQKKVSSQKAVTLAVVSFGVAVATVTDLEFNFFGACVALAWIVPSAVNKILWSSLQQSGNWTALALMWKTTPITIFFLLTLMPLLDPPGLLLFNWNFRNSCAIIISALFGFLLQWSGALALGATSALSHVVLGQFKTIVIMLSGYLIFGSDPGITSVCGAVVALGGMSFYTYLGLKKDSATTGKKAPSRQNSFMGRPKVATDSDDADSEQEDTV >Sspon.08G0001060-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:2043083:2045152:1 gene:Sspon.08G0001060-3C transcript:Sspon.08G0001060-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SEN1 [Source:Projected from Arabidopsis thaliana (AT4G35770) UniProtKB/TrEMBL;Acc:A0A178UYD5] MATSASGVSFLLAQPPPPANGTSLWRGVVAARAPAPARFAALAAKSNAKRRTLRFVSVSVRSVGVGGTEALRSDAAEPAVPSPSSVPRSVPVRVAYELQQAGHRYLDVRTEGEFSAGHPEGAVNIPYMNKTGSGMTKNTHFLEQVSRIFGKDDEIIVGCQSGKRSLMAATELCSAGFTAVTDIAGGFSTWRENELPTTIQ >Sspon.02G0032210-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:117955329:117956967:-1 gene:Sspon.02G0032210-1A transcript:Sspon.02G0032210-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GKRFAKKRYAEKSQMKKMSSVEKESSSQFALAMAINKVARSASETAGAIDEFSRFIQMRGLFGDPEARWSALNGKTSAGIDLLLLLSVCS >Sspon.05G0008640-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:25876753:25877220:-1 gene:Sspon.05G0008640-1A transcript:Sspon.05G0008640-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFNCVRFTWPTFLVTNASYSNLTVAQSFQRLNLTEWLAGIRVNNPGVVDLKLIDAFKASLCLFSDDTTRHAVVSSLGEHNVMVILDNHLSKPGWCCSNTDGNGFFGDALFDPDVWVDGLTKMATMFAGVPN >Sspon.03G0003770-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:26169383:26174882:-1 gene:Sspon.03G0003770-2C transcript:Sspon.03G0003770-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKREQSFEFGDPSAPQDAMGSASESSYSPPGAVFGLSPPESSPRSGRHNRRRDRPSWVRLTYTPYFDGHLWRKYGQKKIKDAEYPRQCLASKLLQQKNGGDPPLYEVTYTYEHTCGAPPISFPDIVAEPPTAREGLVLRFDSPGGHGGDARMQQNGHCQQSTSRSPFMMSFGSSGQTHDQHPAVFRSDLDAAGSSSFPTEGLPAPPPANGDGGDMFSTLNSIAYDFDNQMRFGDHTYLPHNNSNYDYDDY >Sspon.04G0026990-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:58146569:58148263:-1 gene:Sspon.04G0026990-3D transcript:Sspon.04G0026990-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATETESFVTAPSTPEAAAAVAPMPPTVKPPAQPADRLEIVVERRLHQHGEGESSRMTIFRVPAHVRDASKELYEPRLVSVGPYYRGREALRAMEQHKWRYLRELMGRPPQPAASLGDYVRAVRDVEQEARRCYSEKTSIFDAAAAQSEPSGGEEIEEEQSRRHGDGDPGGPGPDGFAEMLMLDGCFILEFFVKWYKGEPDKLCDVAWGLPLLHSDLLLLENQIPFFVIEALFDVAFPTATKLDLLRLILHRLRFSFYELSTAEVLVQSEIQVQHLLHLFYEAIMPKADETASSVQDSTPPSRQYLVWMRQMSVRLKKAVSTRFVSIRDMPRVPHWMKTTLPATLLRKVGAWFSKLLAMIRRTPPAPAPTLVVPSVTQLREAGVRFEKKESPRHMFDIAFDRDSGVLEMPRMEVDYANVALLVNLVAFEQTRGLPGDGDASKRLSSYAALVGALVRTGKDVEHLQKRGIVENLLDGDDDAATKFFQHLGDCSSLNYESHMFAGMFEDLRLFYHSSWRRHKAKFLRDHCSSPWAVLALVVAISAFCFALFKLSTTIFGLAHPNCHC >Sspon.08G0006540-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8B:18069376:18072488:-1 gene:Sspon.08G0006540-2B transcript:Sspon.08G0006540-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MANTTSLRSRLLVLPPPPALPTAVSFRLRPCTITASSSSKRRSSRLAARVAPPGGAVAPASAASKEDEQEEMGNGGGLSAADAERLCEFLRADLPHLFDDVGVDRSAYDDRVRFRDPITRHDTIDGYLFNIRLLKLLFRPDFYLHAVEQTGPYELTTRWTMVMKFMLLPWKPELVFTGLSIMGVNPQNLKFNSHVDLWDSIQNNEYFSSEGLWDVFKQLRIYKTPDIETPNYLILKRTAHYEVRSYAPFLVVEAKGDKLTGSSGFNNVTGYIFGNNASSEKIPMTTPVFTQASDDTLSDVSIQIVLPMNKDLDRL >Sspon.05G0009720-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:19345687:19346821:1 gene:Sspon.05G0009720-2C transcript:Sspon.05G0009720-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEVTGLAVVSAAASVALAYARLATSRLAPGIPRLAALLPVLLLLHVLPFAFSSIHVRTISAFFLVWLCGFKLLLLTAGHGPLHPALPLVRFVACAALPVKVRDENEKQQQPPSCSSLPSGFLLSYAAKAALFSALVSARCYRARMPAYAVPVFDGAHVYLMLELFLASAAALARALLGAELEPQFDRPYLASSLRDFWGRRWNLMVPGVLRPCVYRPVRARFGAAAGVLAAFLVSGAMHEVMFYYITLEAGTGEVTAFFALHGACAVAERWLARQRGVWRPPRPVATALTLAFVTGTGSWLFFAPVIRSGLDKAIIAECEGMLAFLERAGRNLAATAHLVLVLEQFLARIKWILFLHTFLV >Sspon.02G0025230-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:87605581:87607815:-1 gene:Sspon.02G0025230-1A transcript:Sspon.02G0025230-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSGAGETAAKRAKPPWDSATGVDLLSALHDDLLAKILCSVSSPDAVRTSVLSKRWRYVWKLLPDLHFPFLPEPAGSFSYSLDCHQVPLHHLKVEGHGHGQGGLPRSLATWLPAAAHRVSGSFELLINEAPMRNARPEDEDEAATLELPCFERAASISIGLWFLGRLAFPPAGVFSRLTDLWLEDVRFQDPSALGDAISSARCPCLQTFHICHGLGLEKLAVSSTSLVKLDLQRLGLRELTVEAPELKELHVVDCFSTPPGAGQLPPPVVSITAPGLVVLDWRDTITQDAIGFGHRLPHLRSLGTFLFFVYGDDDADSYNRDWLSVHRNFDIDPVVMDIEDDYEYLMEAISVLPDLTTLNLIVMSDGHCFGDSSLHVLRICTGIRKLVLELSSFPEFEVVQGFNE >Sspon.01G0007570-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:21019624:21025980:-1 gene:Sspon.01G0007570-1A transcript:Sspon.01G0007570-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRPRDASDGDEDPGDPSSSAVTGAGEEEEEEEERRQAARTPGKDGPVDALWRWRSKGLSEIVLSWSVDQILDKDLLRDKVSKIPETFSSMEQYMTSYFGPLLEEVRDDMCSSMEDISNAPYADLLSVNSMRKGNGSYEISLGRWRGTSHGCGIDNYKPKSADVLLISETKPANQSDILKQSKSCVIVWVSKVNGNKMTVKASRLMETGAQGDERRSIGANKYDKLDAEGMDKSWEMLDREAMASKCRNSSVHRNVWKESHKVQKCSNLDGRNEKETGESKRWSFYAMYLTNMVTYDRVWVVLRRGLSMDSKIIHSMLGRNNYVSFSAFGHCKYCINKSHDEIKGDLCNFRLNDSQLDAVASCILASECSHRSSVRLVWGPPGTGKTTTVAVMLQMLLMKEQRTLACAPTNMAVLQVASRLLELIGDFSLRHHYSLGDIILFGNKDRLQIGKLLSEIYLDDRVQKLLSSFNRQHGWKHCVDSVVTFLVNCISRYRMSVDIQQGSGDARDLTFKKYFTSRFSTLANELVRCIDTFFDHLPRSSLGKNFDKMMSAKSLVDKLQQSLSADDVSDELLFTIFNPADEVPDSSGTHDDLIDDADDFHDFNISLDSPLEIKSRCIKTLTVLSKMRLPCEDNEVSIRDLCLKHAKLIFCTASSSFELFRLQSVRPISILVIDEAAQLKECESLVPLLLQGIEHVLLIGDENQLSSLVKSKIAKDADFGRSLYQRLCTMGYSKHLLEVQYRMHPSISKFPNSNFYDNRISDGPIVKQEDYAKSYLPGPIYGAYSFIHIDNDMEMLDSLGQSSKNMAEVAVAANIVERLAKDCTEKRQRTSVGVISPYTAQVIALQDRLGRKFEKHDFLSVTVKSIDGFQGGEEDIILISTVRSNKDGKVGFLSDSGRINVALTRAKYCLWILGNGTTLLASNSIWADLVRDSKRRGCFFDAFKDKDLAEVVRSATKPEQWNQREQRNSRANGAPSWSSTHDVVAVRNSPPRRWNERPASSNARSMTKSHDQGPNAYRRTNNFSASREERYRTRFQQDEPFCSGHYNNQSRAVPANQNRFNNYNASSDWHGSPLEGYKGWSKQHLGPEPHVRLSREPLCSSSFQAGNGRHTPRSAYREESPGQISVLGTWQAPGTYYNCEFQNRSVYPEFQNKGSFQERFGSYGV >Sspon.08G0020680-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:24954094:24954465:1 gene:Sspon.08G0020680-2D transcript:Sspon.08G0020680-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGSAAKENAAPLTSDATASAVVVSRRPGYAVKSCGVKKRPSRARLLGRVPLRDITNLIEAISAVAGPEAPLGQEVPPAAATELTKPDAVLPAVVRLAALQDGDAAGPAAKAARYSLRKGFR >Sspon.03G0027060-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:4440567:4444718:-1 gene:Sspon.03G0027060-1B transcript:Sspon.03G0027060-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At4g14850 [Source:Projected from Arabidopsis thaliana (AT4G14850) UniProtKB/Swiss-Prot;Acc:Q0WSH6] MYYYQKKREPDRQGKHQPQRQMRRAAATATAAVAVDPHLLASAVEAAIASRSPRLGRAAHARALRLLAPALPPFICAHLVNLYSKLDLPAAAAAALAADPSPTVVSYTAFISGAAQHARPLQALSAFAAMLRLGLRPNDFTFPSAFKAAAAAAAVGPQVHALALRFGYLPDDAFVSCAALDMYFKTGRLALARRLFDEMPNRNVVAWNAVMTNAVLDGRPLETVEAYFGLRGAGGMPNVVSVCAFFNACAGMTYLSLGEQFHGFVAKCGFDKDVSVSNSMVDFYGKCRCMGKARVVFDGMGVRNSVSWCSMVVAYAQNGAEEEAFLVYLGARRVGEEPTDFMVSSVLTTCAGLLGLDLGRALHAVAVRSCIDANIFVASALVDMYGKCGGIRDAEQVFFEMPQRNLVTWNAMIGGYAHIGDAWNALAVFDEMVMGRETAPNYITLVNVLTACSRGGLTKEGYELFQTMKQRFGIEPRIEHYACVVDLLGRAGMEERAYEIIQGMPMRPSISVWGALLGGCKMHGKTELGRIAAEKLFELDPQDSGNHVLLSNMLASAGRWAEATNVRKEMKNVGIKKDPGRSWITWKNVVHVFQAKDTTHEMNSEIQALLAKLKGQMQAAGYMPDTQYALYDLEEEEKESEVFQHSEKLALAFGLICIPLGVPIRIMKNLRICVDCHRAFKFISGIVGREIIVRDNNRYLVGVTCREEEVQVLPFYT >Sspon.01G0011630-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:31569692:31572689:-1 gene:Sspon.01G0011630-3C transcript:Sspon.01G0011630-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSLFYGLWKYVFTKDEFRVLILGVDKAGKTTLLEKLKSMYLKGEGLPPDRVVPTVGLNIGRIEDAKAKLVFWDLGGQVGLRTIWEKYYEEAHAIMYVIDAATASSFEDSKSALEKVIRHEHLRGAPLLIVANKQDLPGAIDDEELAKFLHKELDERPYTFQAVSAYDGRGIKSGIDWLVDQIEKSKRTETLQARAGVPGQI >Sspon.05G0039820-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:79656996:79659620:1 gene:Sspon.05G0039820-1D transcript:Sspon.05G0039820-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSAAASPPPSGKLTQDELKRVAAHRAVEFVEPGMTLGLGTGSTAAHALDRLGDLLRAGALPGVAGVPTSLKTEAHASAVGIPLLPLDAASGARIALSIDGADEVDPDLNLVKGRGGSLLREKMIEGAGERFVVIVDESKLVPRLGCTGAIPVEVIPFGAPHTLGLIRNLFDGLPGFHARLRTVPAAKGGDGSEQPFVTDNGNYIVEMFFEDGIRGDLRDISDRLLRITGVVEHGMFLGMATTVIVANKDGTVAVMGRKKPEKTQLAHILSPLCGVRLWIL >Sspon.08G0020130-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8B:17885700:17886040:-1 gene:Sspon.08G0020130-1B transcript:Sspon.08G0020130-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LALWPTTSHRRTHARRKKARIETGDAHRCALSHGSEGRRAAPSCPPRAGVSLTRGVPTRPWPPCRRAAAGWRAGSASTQRASPPSAGLAQRVAPCAETWPGYRPFDPAATDG >Sspon.08G0018850-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:11173216:11176781:-1 gene:Sspon.08G0018850-2C transcript:Sspon.08G0018850-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MREILHIQGGQCGNQIGAKFWEVICDEHGIDHTGKYAGDSDLQLERINVYYNEASGGRYVPRAVLMDLEPGTMDSVRSGPFGQIFRPDNFVFGQSGAGNNWAKGHYTEGAELIDSVLDVVRKEAENCDCLQGFQVCHSLGGGTGSGMGTLLISKIREEYPDRMMLTFSVFPSPKVSDTVVEPYNATLSVHQLVENADECMVLDNEALYDICFRTLKLSTPTFGDLNHLISATMSGVTCCLRFPGQLNSDLRKLAVNLIPFPRLHFFMVGFAPLTSRGSQQYRALTVPELTQQMWDSKNMMCAADPRHGRYLTASAMFRGKMSTKEVDEQMLNVQNKNSSYFVEWIPNNVKSSVCDIPPIGLKMSSTFIGNSTSIQEMFRRVSEQFTAMFRRKAFLHWYTGEGMDEMEFTEAESNMNDLVAEYQQYQDATAEDDEEYEEEEEEEHDA >Sspon.03G0038490-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:5015292:5018682:1 gene:Sspon.03G0038490-1C transcript:Sspon.03G0038490-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSPSPSPAAAAARLDLDGNPIAPFTICMIGAGGFIGSHLCEKLMAETRHVVLAVDVYCDKIRHLVDPAPPHLAGRISFHRLNIKNDSRLEGLIKMADLVSLRPPACCHHPSPDFVSSLPPLISSVTLTINLAAICTPADYNTRPLDTIYSNFIDALPVVKYCSENSKRLIHFSTCEVYGKTIGSFLPKDHPLRKISVLFKTSSYAMLTPVAEGAENGLEFTIVRPFNWIGPRMDFIPGVDGPSEGVPRVLACFSNSSQKMHGTMVLQNLLRREPLKLVDGGQSQRTFVYIKDAIEAVVLMIENPARANGHIFNVGNPDNEVTVRELAQMMTEVYANVSGEAPLDEPMIDVSSSQFYGEGYDDSDKRIPDMTIINKQLGIAGVNLMRLEPKDASQGSAGDNIDISAQDIQRSCEEANVAGFSNELDDMPPDLKVVVVAGTALVRALVAAVMMFRKSEYIYASNRFEALDVHVRFHHAAIKGCYAIRM >Sspon.08G0010730-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:44093914:44098517:-1 gene:Sspon.08G0010730-3C transcript:Sspon.08G0010730-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGQGGSFNPHYRHGSPPPPQQQAGVPGSFPQRPVPPPPAPYPQHPGLRPPPGPYQHGVLPPQNQPYPFAQHGQMHQMPMLPQQRGYAPMPMPGMLPPQQAVHQAPPQYPPLPPPPPRPSAPPPSPPPPPPPPPPPPPPASQSPHTPVVAQSWDAEAEAKVGASDGGCFAKTPEAPTQLIVSDDSDMDMDGDEDSPSRQPLTPENSSLVTAECTGNVNVSKSISDVSSPGKDLPPGSGENAKTAHATEVGGSAFRLIQGYASDDSANEADAGPDGTSTLVILPKDNMHSHSSDRNTEVGYQKHVDAKGNVNTPHGTEQNGKAENYHLKDESNPVKHGTDVFGHLAKEDTSDSEFEGSQSSKRHGRRQKKRTRSKSPQGRSGSPVGANKCSPSQSSSPGKQSRPPFAKRVHPAGDGNDSGGKVAQQEGLALTSKLDSSSNDLSGKVGDNAAFDVALGQHCHSDNLISEHSQPVAASAGTHKMQRPCPPSESRSDLNVSSSAGDPILMSQPAAGVPYMSVQTTKSSMASDHLQPHPQSLCPPEHMSSSNMIQPPGQPTFATSEFPQMQFQHKVIAPANEFLQNQMRSYPPQDVSHPRPFNFHHHTLPPAIPSNQQPSGVGLSYSSHQPPYGQHQPPGNLDSGSNLVYPSFQRFPSNLPGSNNLGPVSDVDLTKSSIKPHYNPFASTFDKTDPSLDIGDPVSPNAIGSVSTTAEHMNTLSPFGRSRTHAQESSVAPVPNKQKLFCQEFASGAPYDPLLDSIEPSSSSINKVNLRKEKNWSAADSRDASKLMNLEVDSENMYGLGVVAESEVEGLGEVAADTEAGVVENASPEFLGAKDWNSDIPGDVDNDQTLDKNKKGKDSRSMKLFKIAIADFVKEVLKPSWRQGNMSKEAFKTIVRKTVDKVSNSVPSSHIPKTPAKMKHYVQSSQKKVTKLVMGYVDKYVKP >Sspon.08G0000600-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:713566:715719:-1 gene:Sspon.08G0000600-2C transcript:Sspon.08G0000600-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MCIAAWIWQAHPVHQLLLILNRDEFHCRPTKAVGWWGEGSKKILGGRDVLGGGTWMGCTKDGRLAFLTNVLEPDAMPGARTRGDLPLRFLQAIRLGKNFRELLREHGDDEIEVKDIVERLMTDTTKADKDRLPNTGCDPNWEHGLSSIFIEVQTDQGLYGTRSTAVLSVNYDGEASLYEKYLESGIWKDHTVNYQIE >Sspon.06G0015100-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:81544534:81549743:-1 gene:Sspon.06G0015100-2C transcript:Sspon.06G0015100-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAQEKLRRRLMLVAAVSAAAVALLVASPADATLPQVEHAPTKADGSLAILAVGDWGRRGQFNQTLVAQQMGVVGQKLDIDFVISTGDNIYDDGIANTSDPLFKESFSNIYTAKGLQKPWYLVLGNHDYTGNALAQLDPAIRKVDRRYTVIAKSFIVNSGIADFFLIDTTPFILHYWNNTKFDWRGVAPRGTYIANLLKDLKYALTASKAAWKIVVGHHPISSACGHGNNTELEELLLPVLKLLTSGGGSKAWAGKFKTTPDKVEFLYDGQGFMSMRLSKTEAHLAFFDVAGSILHCWALTKTTAATGH >Sspon.02G0003700-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:11805353:11809885:-1 gene:Sspon.02G0003700-1A transcript:Sspon.02G0003700-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:APETALA1 (AP1)/ FRUITFULL (FUL)-like MADS box transcription factor, Specification of inflorescence meristem identit [Source: Projected from Oryza sativa (Os07g0605200)] MGRGPVQLRRIENKINRQVTFSKRRNGLLKKAHEISVLCDAEVALIVFSTKGKLYEYSSHCSMEGILERYQRYSFEERAVLDPSIEDQADWGDEYVRLKSKLDALQKSQRHLLGERLDSLTIKELQQLEQQLDSSLKHIRSRKNQLMFDSISELQKKLMEAEKEKNNALMNAHLREQQNGASTSSPSLSPPMVPDSMPTLNIGPCQPRGAGESEPEPSPAPVQANSGNLPPWMLSSVSNR >Sspon.01G0049940-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:77068374:77073111:1 gene:Sspon.01G0049940-2C transcript:Sspon.01G0049940-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQPRARYPPGYGSGGGGGAGRGGGGGNGGGGGGGGNHNYYGRNPQPQQQHHYQQQQQHSQRNSSHQQQWLRRDQAPAVAGAASGNAAAKTAPQLDAVDSSSQDWKAQLNIPAPDTRYRTEDVTATKGNEFEDYFLKRELLMGIYEKGFERPSPIQEESIPIALTGSDIIARAKNGTGKTAAFCIPALEKIDPEKNAIQVVILVPTRELALQTSQVCKELGKYLNIQVMVSTGGTSLKDDIMRLYQPVHLLVGLLAAYLILPGRAFVC >Sspon.06G0006110-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:19285051:19286463:1 gene:Sspon.06G0006110-4D transcript:Sspon.06G0006110-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATEEAAKPSPIPPYPEMILAAIEGLGDKNGSNKSAISKYIEGKYGELPPAHASLLTAHLARMKESGELIFMKNNYFRADAPDAPPKRGRGRPPKSRDPNAPPPPPKSPASSAGTGRGRGRPPKAKNPLEAAVKQATAGMPKARGRPPKKAKTTEDGASPAPKPAAPAPPTPAPAGDGSAPVKRGRGRPPKVRPAVPSETAAA >Sspon.03G0039130-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:10124325:10128751:-1 gene:Sspon.03G0039130-1C transcript:Sspon.03G0039130-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVADSTRRRAKLGDGGDGVLGASVLGGLGMAGLGDQLSVVMKFGGSSVASAARMAEVAGLILTFPEERPVVVLSAMGKTTNNLLLAGEKAVGCGVIHVSEIEEWNMIKDLHIKTVDELGLPRSVIQDMLDELEQLLKGIAMMKELTPRTSDYLVSFGECMSTRIFAAYLNKIGVKARQYDAFDTGFMTTDEFGNADILEATYPAVAKRLHGDWIQDPAIPVVTGFLGKGWKSGAVTTLGRGGSDLTATTIGKALGLREIQVWKDVDGVLTCDPNIYPHAKTVPYLTFEEATELAYFGAQVLHPQSMRPAREGDIPVRVKNSYNPKAPGTLITKQRDMDKVVLTSIVLKSNVTMLDIVSTRMLGQYGFLARVFAIFEDLGISVDCVATSEELDNVVEELEKIAIVHLLQQRAIISLIGNVRQMSLILEKTGHVLRKSGVNVQMISQGASKVNMSLIVHDSEAKALVEALHQAFFQDDVLAQVEAENLLVG >Sspon.03G0004530-3C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3C:30004381:30007957:-1 gene:Sspon.03G0004530-3C transcript:Sspon.03G0004530-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAPAAAQGGCGNKHIVLVHGACLGGWSWFKVATPLRAAGYRVDAPDLAASGVDPRPLREVPTFRDYTQPLLDLLASLPEGHRAVLVGHSLGGVNVALAAETFPDKVAAVVFLCAFMPDCTAPPSHVMEKFVEGKWLDWMDTEMKPQDAEGKLPTSMMFGPRIIREKFFQLCSPEDLTLAASLMRVSSMFVEDLVLQQPYTRERYGSVRKVYIVCTEDHAIVDKFQRWMLENNPLDEVKEIAADHVVMLSRPDELVRCLTDIAD >Sspon.01G0026030-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1A:90641697:90642382:-1 gene:Sspon.01G0026030-1A transcript:Sspon.01G0026030-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SGLHLFLLPTVQIEGAIGEHMREAGPMWRLRQGGRAWLAAGRSGARGTAGEEGGACGHDFFFLARRWSMGRPRLLPRAPVAGVGLTGGRASAVGRGGPSWRRGPSRHGQGELVGGRCGHGQGKLVRGGGWRGHGQAWGSQERGLARGRGGQRGVRGRLASGGWTGRQGARVAGEGRPEAGGVAVRGRRARVSRWRRRGRERRNHVFSTNQWH >Sspon.03G0037690-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:61171582:61172411:-1 gene:Sspon.03G0037690-3D transcript:Sspon.03G0037690-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAENLKPVALLLLLMNLCMYVILAIIGGWALNVAIDRGFIIGPELRLPAHFHPIFFPIGNFATGFFVLFSLLAGVVGIASAIVGFNHLRFWNYHSLQPAAALGLLAWALTVLAMGLACQEISFDRRNAKLGTMETFTIILTVTQFFYVLAIHGGRSHGPGVPVERHSNVAG >Sspon.03G0020940-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3B:86717213:86718184:1 gene:Sspon.03G0020940-2B transcript:Sspon.03G0020940-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGDTAPHVVEDFFGAVQLLSDGTVVRGDEAALMPAEPFPDVPGVEWKDAVYDATRGLKVRLYRPPPAADAGDGGSSRKLPVLVHFHGGGYCLGSYDQLGGGHYLRRRLAADLPSLVLSVQYRLAPEHRLPAAIEDGATFLSWLRGQAVLAAAGGAGAEPWLAESADFARTFLSGVSAGANLAHHLAVKAGSGQIDLAPVRLAGHVLLSLFLGGVQRTATESTPPDGVSLTVAMSDQLWRMSLPVGASFDHPLANPFGPDSPDLEPVALPPVLVEAPEVDVLRDRVLLYAARLKEMGKDVELAEFEGEQHGFSVRRWGQANEEL >Sspon.03G0007540-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:25462675:25464255:-1 gene:Sspon.03G0007540-2D transcript:Sspon.03G0007540-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding METLVSAVLADLVGRAISFTVDRCCHRRRKGRTEDTPQRLHRVLLRVQTVVEEADRRCVTNQAMLRQLQLMREGVYRGYYLLSAFKRQGVVQDKAQDRELSRHGHSHSSFALSQFNPAKRLCTLSARTTTTTTRTTNTASEDTRREGEAELQEVLTVLERMASDMKELIVFLSCYPPTRREPHSEHLWLENRMFGREAEQERIISFLLEPEPPRAEYLGVLPVIGRARVGKSTLVEHVCLDERVRNHFSLIVFLFLSEGDTKDGKQSPHLGDNCIIKHQDLGSAGKSLVVIELLGDVDEHTWWRRTLPALRGRRTTPVSKIIVTSRSEKIAGFGTAQALELKPLPREAYWYFFKTIAFGSTTDAMDQPELVSICMEMADLLNTSFISANLFGGYLRANPCPQFWLRVLKGIRHYTSMHLLLFGEHQSDLLANDRPVYLWRLPKTDAMLIAYNCYQACSSAQQHDLPKITLKEVQIGSTRPRGNFQVLAWRSNIPPYYSYLLNCGVQASSSLLRTPRRNKQIRHRQA >Sspon.05G0012430-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:40227302:40230321:-1 gene:Sspon.05G0012430-4D transcript:Sspon.05G0012430-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGRSRDGTTIAAAVVCAAVLLLGDAWAVSAQQAASAAAAAEEDKISALPGQPPVSFAQYSGYIPVDAAGKRSLFYYFAEAEADPAAKPLVLWLNGGPGCSSVGVGAFSENGPFRPSGNALVRNEYSWNKEANMLYLESPAGVGFSYSTDPAFYEGVGDSMTARDNLKFLQGWFAKFPQYKGRDLYVTGESYAGHYVPQLAQRMVEFNGKEKLFNLKGIALGNPVLEFSTDFNSRAEFFWSHGLISDSTYNIFTTVCNYSRYVSEYYHGSLSTACDRVMSQVTRETSRFVDKYDVTLDVCISSVLMQSQILAPQQGSRELDVCVEDETMNYLNRKDVQQAMHARLADGVQRWTVCSSVLEYKQLDLQIPTVNIVGALVKSGVPVLVYSGDQDSVIPLTGSRTLVSRLASRLRLNTTAPYRAWFQGKQVGGWTQVFGGGALSFATVRGASHEAPFSQPERSLGLFRAFLAGQQLPESFQ >Sspon.05G0010130-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:23856897:23860130:1 gene:Sspon.05G0010130-2B transcript:Sspon.05G0010130-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MERAVPVRKPHTNTADLLSWTATGPDASASPAASSRPSLKPAAGITPAMFGAPVTEQEAEDLSKSERKFCSGSKLKEMSGSGIFIEKSENGDSEASNPANKTSLRMYQQTVTGISQISFSADGSVSPKKPSSIPEVAKQRELSGTLEDADAKINKQLSEAKTKELSGSDIFGPPPEIPARPLAARNMELQGNVDFSLPQRSVHTSVKVSNPAGGPSNISFSEEPVVKTAKKIHNQKFQELTGNNIFKEDVPASAEKSLSSAKLKEMSGSDIFADGTPAPREYLGGIRKPPGGESSIALI >Sspon.07G0006870-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:17776008:17779360:1 gene:Sspon.07G0006870-1A transcript:Sspon.07G0006870-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVIAAYLLAVLGGNTSPTADDVKNILESVGAEADEEKLEFLLTELKDKDITEVIAAGRERLSSVTSGGGAIAVGAPAAAAGGGAAPAEEAKKEEKVEEKEESDEDMGFSLFD >Sspon.05G0009290-2P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5C:18279750:18283987:1 gene:Sspon.05G0009290-2P transcript:Sspon.05G0009290-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLQHDNFYSQFNL >Sspon.05G0028730-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:67691518:67692298:-1 gene:Sspon.05G0028730-2C transcript:Sspon.05G0028730-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAIVGSMLWKLQQVAAREVRTLVAVNEDIRSLRDKLMWMQAFLRDVQPSRRVQPNELIKVWLQQTRDAVFDAEDAVDLYFVQIDLSSKLKKP >Sspon.08G0011460-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8A:49954387:49955350:1 gene:Sspon.08G0011460-1A transcript:Sspon.08G0011460-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GRRRASGQVAGRGRVRGRGPFPGAAAHRHGGGRPGPRLRRAPAGAAVRGVGALVVVLLPRRHRRVRGHVPLPLRDGADGDGREQVPLFKCGTVGIQGIAWAFGGMIFALVYCTAGVSGGHINPAVTFGLLLARKLSLTRALYYVVMQCLGAVCGAGVVKAVVGSALYESAGGGANAVGPGYTKGDGLGAEIVGTFVLVYTVFSATDAKRNARDSHVPVLAPLPIGFAVFLVHLATIPITGTGINPA >Sspon.08G0001740-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8A:5421807:5422055:-1 gene:Sspon.08G0001740-1A transcript:Sspon.08G0001740-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEKVIGLLQKMNLSATEKKSIRMGGAVMAIGLMRRVTLDLGEGQENTKWLPCTSNSGEFSWGSGSRRGIDAPSWRKDMVMR >Sspon.06G0006630-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:22338540:22344725:1 gene:Sspon.06G0006630-2B transcript:Sspon.06G0006630-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVASELVGASREMQSRKRKQFHTVELKVRMDCDGCELKSTGKRVELWPYVPYTNLYVAPPVYDKRAPPGHVRRVDAQIVAPAAGQEEHLATLFSDDNPNACSL >Sspon.05G0034630-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:61068026:61070695:1 gene:Sspon.05G0034630-1C transcript:Sspon.05G0034630-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding PMDSNQKPLPSPPPPMPPPPPSPPKNGNTRGRPPLVSTKLHDEGGCSAVGVGDMEAAALRRGSPRASRHELSGSSRHELSGSGRHELSGSGRQELLGSDRHELSGSGRHELSGSGCRLEGKALSRSLVGYPSRDKPTTEQRGLPRRHSRVVARLGLAGLGTVAAVDDRPYACANCSMTFGRETALCGHMRSHKRDHLSSDSEEDARTPKRRKKRKSKLRTVTPLEITWGNHARRNGTPRDAPIEVKVSRSDISMIVIDDDEDDANPVIAITGSHGTPGPAPDAAAALSMAPASTVVVPTPTPAPHAVVASVNTEGAHVATSTVDVTIPPAGAPRSAILALPASMAPVHQPHQGTTAMVGNPVTGHFSAASTSTGWSLLGTTSNMLPTTPLHNEERNLPEQIAAVALGNHGHRSISPALFSFSRRQEIAVVPGNAIASSSSTPRPGIATAIDAPANTMGRRLPFFLAGRSDTQELHVSWPVHHPTQGMEMEGNPLTGHFPAAMSATGWSFPTTTNSVLPSIPLQNQVFNNNVAAIDAHANTMRHLPFFLTGRSAIHAPPVSMPVQQPTQGMTAMSGNPVTSHFPAAMAGDWFSFPATISSVLPAIPLQTQENPPQGRVVPATEPSQNQAENPPEQTVPSNNGGHTIRLFGTNIVVVLKGEKFFI >Sspon.02G0004110-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:12604247:12607250:1 gene:Sspon.02G0004110-1P transcript:Sspon.02G0004110-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDSEEHHFESKADAGASKTYPQQAGTVRKNGFLVIKGRPCKIKEGFESGKDLVVTVQSAMGEEQICALKDIGPK >Sspon.03G0013520-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:38620614:38622609:-1 gene:Sspon.03G0013520-1A transcript:Sspon.03G0013520-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTTASYSSSRAAAAAHLVRLLLVALLLAAGWGWATAANDTQRFRPGDELRRYRRVQALLKRLNKPALRTIQARAPDGDLIDCVAAHLQPAFDHPRLRGQRPLADPPARPKGHRRRRRPSNDTTADAGVQLWAASGEACPEGSVPIRRVTEADVLRASSVRRFGRAPAGRVRRDSVSGGHEHAVGYVAGDEYYGAKASINVWAPQVSTASEFSLSQIWVIAGSFGNDLNTIEAGWQVSPQLYGDNAPRFFTYWTTDAYQTTGCYNLLCSGFIQTNSRIAMGAAISPTSAYNAGQFDISLLVWKDPNHGNWWLEFGSGELVGYWPSLLFSHLASHASMVQFGGEVVNARASGSHTATQMGSGHFAGEGFGRASYFRNLEVVDWDDSLVPLAAGFHVTADHPNCYDIQGGVNAVWGNYFYYGGPGRNVRCT >Sspon.03G0010350-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:34789614:34796431:1 gene:Sspon.03G0010350-2B transcript:Sspon.03G0010350-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LPRCPRTARPPWSGVRCPLARFPAAPPPYVKWTYPHHPGASPSSSSLTVTAAPIVTTGSRTVHPAREGDAAEGEGCGSGEAPFFTDYGEASRYEVTEVVGKGSYGVVAAALDTLTGEHVAIKKINDVFEHVSDATRILREIKLLRLLRHPDIVRIKHIMLPPSRREFRDIYVVFELMESDLHQVIKANDDLTPEHHQFFLYQLLRGMKYIHAANVFHRDLKPKNILANGDCKLKICDFGLARVSFNDTPSAIFWTDYVATRWYRAPELCGSFFSKYTPAIDIWSIGCIFAEMLTGKPLFPGKNVVHQLDLMTDLLGTPSSESLSRIRNEKARRYLGNMRKKHPVPFTQKFPGIDPMALHLLERLLAFDPKDRPTAAEALTDPYFTGLANSEREPITQPISKFEFEFERRKLARDDVRELIYRETLEYHPQMLQEFLGGGDKANFVYPRERVNDSGDDLEKPSADYCIRLHVCEPLSSARNFLKSESISASQCVVIKQKRDKDEESISEYVNDPVDGVPQRIAQLKT >Sspon.05G0009200-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:22050521:22053111:1 gene:Sspon.05G0009200-2B transcript:Sspon.05G0009200-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FT-interacting protein 1 [Source:Projected from Arabidopsis thaliana (AT5G06850) UniProtKB/Swiss-Prot;Acc:Q9FL59] MPSLAGEGEADRLTNRRTRSSVTGATILPAPPVMAYAYPYVFRAQAPPARMEDHKAKGAAPAPQVKEHRSASPHGAGARWPDGLGSGESQRLASAYDLVETMHYLYVRVVKARGLPASAVTGGCSPYVEVRVGNYRAATRHCWNLVFAFSRDRVQATVLEVFVRDRDALGRDDCVGRAPVRVPPDSPLAPQWYRLEGTDGGGKMAANGEVMLAVWAFSDAWHADAASVRGGDGAAAVHNTRSKVYVTPKLWYFRVSVLEAQDVVPPGAGAGAGATADKGRHTEVFAKVQVGGMVLRTRPCTTRGPTNLAWNEELVFAVAEPFDDPAVLIIEARVHPGKDEIVGRALLPLTIFEKRLDCRPVQSQWFSLEPFGRRIRRPRAPPRVPRGRVPCHGGADHVRQRHAPTARQLWRPPIGVLEGLTPMKTVDGRGMTDAYCVAKYGQKWVRTRTVVDSCSPRWNEQYTWEVYDPCTVLTLAVFDNCHLGTAAAGNGAVIRDQRIGKVRIRLSTLEMDKVRTSAHPLAVLHPSGLRKNGELCLAVRLTCLSLGSVVRLYGQPLLPKVHYIQPLTVLQLDSLRRQAMSIVAARLSRAEPPLRREVVEYMLDADSHVWSIRRSKANFFRVTALLSGAASTVRWLADVCRWKNPATTVLVHVLFVTLMCFPELILPTMFLYMSTAGLWNYRRRPRRPPHMDARLSCAEATHPDELDEELDTFPTSRPNAVVRLRYDRLRSVGGRIQTVGERIRSLLAWRDPRATALFTAFCLVAAAVLYVTPIRVVSLVVGLFRGRMPSAASNFFKRLPSRADTML >Sspon.03G0002890-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:7231800:7236767:-1 gene:Sspon.03G0002890-1A transcript:Sspon.03G0002890-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSSLSRALARSARSSRPLRQGSLLEGHAGLRAAPMPRPTIPGGDDRGLGFARSYLTSALGSRAAAPTGAEKVGIGGSCSPVRSSGDYEKYHPKEKEQKPEGDGSDESEPKKDSNSKNQWNFREDVMKKFQEFLAPLLLLGLIRLKRISFQEFKNKLLEPGLVDHIVVANKSVAKVYVRSSPSSNQGQDGNIHITTSHLPGTGSPSKYKYYFNIGSVDSFEEKLEEAQQAMGRDPHVYVPVTYTSEVNWFQELMRFAPTVLLVGLIYVAGKKMKGGISIGGPGGGARGIFNIGKAQVTKMDKNSKNKVFFKDVAGCDEAKQEIMEFVHFLKNPKKYEELGAKIPKGALLVGPPGTGKTLLAKATAGESDVPFLSISGSDFMEMFVGVGPSRVRNLFQEARQCAPSIVFIDEIDAIGRARGRGGFSGGNDERESTLNQLLVEMDGFGTTSGVVVLAGTNRPDILDKALLRPGRFDRQISIDKPDINGRDQIFRIYLKKLKLDKEPSFYSQRLAALTPGFAGADIANVCNEAALIAARSEDAQITIQHFEAAIDRVIGGLEKKNKVISKLERRTVAYHESGHAVAGWFLEHAEPLLKVTIVPRGTAALGFAQYVPNDSLLMTKEQLFDMTCMTLGGRAAEEVLIGKISTGAQNDLEKVTQMTYAQVAVYGFSEKVGLLSFPQKGFEMSKPYSGHTASIIDTEVREWVAKAYQRTVDLIKTHKEQVAQIAELLLVKEVLHHDDLVRVLGERPFKTAEPTNYDRFKQGFQDEVVDKSSEVTGANPSPLGVVPT >Sspon.01G0052330-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:21415226:21418085:1 gene:Sspon.01G0052330-1C transcript:Sspon.01G0052330-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTQIGSVNGSAAAAASNLTVGCPASAPGCPMASTPAQPAATLSAGEASLGRHLARRLVQVGVNDVFAVPGDFNLTLLDHLIAEPGLRLVGCCNELNAGYAADGYARARGVGACAVTFTVGGLSVLNAIAGAYSENLPVICIAGGPNSNDYGTNRILHHTIGLPDFSQELRCFQTVTCHQVSPCAVVTNLDDAHEQIDTAIATALKESKPVYLSISCNLPGLPHPTFSRDPVPFFLAPRYTCSAAVEATVEFLNKAVKPVLVGGPKLRVAKAREAFVNLVDASGYAYAVMPSAKGLVPETHPHFIGTYWGAVSTAFCAEIVESADAYLFAGPIFNDYSSVGYSFLLKKDKAIIVQPERVIVGNGPAFGCVMMKEYLSELAKRVKKNTTAYENYKRIFVPEGQPLESEPNEPLRVNVLFKHIQKMLTGDSAVIAETGDSWFNCQKLKLPEGCGIIFLINNGGYTIEVEIHDGPYNVIKNWNYTGFVDAIHNGEGKCWTSKEELTAAIETALGEKKDCLCFIEVIAHKDDTSKELLEWGSRVSAANSRPPNPQ >Sspon.08G0013510-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:56472673:56485714:-1 gene:Sspon.08G0013510-1A transcript:Sspon.08G0013510-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMDSAAEEGRRKEREAARRTEKMEVEKEAGGEGKVWRGGAEAEEEVADGGGGDVYKWEEGGAIGRNWRMQMQGHQRQPFEAGHRPFWRPRGGGWSGRGGGRGGFQFQRHPWYGAVTMLVWFQLYQKYYITDCPLFPQSYIIICNHEIKRQFFEQKHFALPGYAATFIKKIRAGMLLFLFEHEERKLYGVFEATSDGALNILPDACASLCKLRPAQVLNLVDLFSSRMIRLQPYQKPKSKVLWDYKISLARTGREFRLYTHSNGFSHPPSMFCNNRISLPHIPFMHAKHNGQHPAHKHVSPLHPCPKPVVFKSPDIIEKNKPDDGDYIPLELDDCNSDSDGNQSALMGTVSFHSTMESNISCEDQVPKPFNGKHTEDDRCCSPVLNQRFVSESETVWQKRYHLALVAMGFLLLLINQSCIPTLAGIQQNREAVLKERKEHIGFSARDIQSERDASAKWSKLPRPSFAERYRNQHAQWRSRNSSSQLGGLASCGRQLFVSNINLCYVWLVLHVFLCNHLTRKECFDKKIFGLPRFCADFVEKVKVGTTLFLYDVDQCKLHGVFEATSDGAVNIIPDAYIRFKRIWFCKPLMLSEFQDAVQNYSVKNKFSYGLSHQQGASVIQVAKLLHLFSSQNRLQLPQNPRLQDDLPGDLETSSLTKVTDVQSSPNSSSCGSFRSTCQTCSSTTLGEHTASLGEKLIDPVALVHRGIQSDISDVAKSKRSKSPLQSGADMATVTVPGNQEAMHDQSTDDYIPLSQEEDTFEGVDDLFALLKDESHSSESKGSSDSENHTTFHQVCIRKEDECYSPILDSKLCADSEGRTSVFSRLVRKNEIYNHRKRFKTEAFPPRSAEFSNALSQRKRQQKAQHNRPFPCRNDGMLDMPSADRLNRAPAFNRSFVWRRSTKYSGGKQSGIQTGLGPFLCEDGNKRDVSVKQPARYNTCKKSFVPQGCPKLIVSCDKGLNMPTVFAGVPDCNEDNVKEMRTPFLNCKWPAKLVCSEDVEGEGRKKKRQATASFHQEYPSDTALIPESTKTMDMLAVPDENFKEKSITLSSKDTHTQLTIPYLDAKVLLQDEQQQSFQGSFEYGEDVTCDSSLILEGSKTMETLAEQSFGVRKSLLSDDTQSHVAADYLGTGTSFQQKETPSIRSCHRVVNGDNILLLGKSETMDFLLGHDKDCENKKILPSDESDRLATSCHLETEMPLLQKQTPNVLSCSEVVHDDEVLIPEIPEVMSPKSDADCGNQVTSLCSGYREEVCHVVKNYHEVVPSDAAPDREGYGPLNNFPQLHGDSAKRNFLFDKTSEHVSTGHQDTIMLPHDEHYHSCCGDTSTVLECTILDMGSGDGGSEHKNSCDEKDDGTLYLVTGSKDTFSCDGSCSCPPTNDQVCSEVMLLKEEGQYQNLQSNSNSVDSFAVSSEGCGSKSGISVDLLGTNAESRTSFVNDFSSESAETFSAPALRVEEADRSVNRSEAYAEPPILQHDPGESMTQL >Sspon.08G0006340-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:16662551:16665086:-1 gene:Sspon.08G0006340-2B transcript:Sspon.08G0006340-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSCRSLSTWVRRLVACMGGCFGCCAKPTPITAVDEPSKRLRIQGHSVRKASLSEDFWSTSAHEMEKSGIQSQRSMSSISTVAQSSDQHAAGSSSNPNEFVNQGLMLWNQTRQQWVGSKKRHSRSQQPREPKLSWNTTYESLLGSNKPFSQPIPLGIDRLNVFGTLDVQKL >Sspon.07G0010050-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7B:28020303:28037315:1 gene:Sspon.07G0010050-2B transcript:Sspon.07G0010050-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LTRRHRRRHHHHFRRRPEGPSQRRRLPPRIETMSSDDEVREEKELDLSSNDVVTKYKAAAEILNNALKLVVSECKPKAKIVDLCEKGDSFIREQTGNVYKNAKRKIERGIAFPTCVSVNNTICHFSPLATDDAVLEENDMVKIDMGCHIDGFIAVVAHTHVIANGPVTGRAADVLAAANTAAEVAMRLVRPGKKNKDVTEAIQKVAAAYDCKIVEGVLSHQLKQFVIDGNKVVLSVSNADTKVDDADFEENEVYAIDIVTSTGEGKPKLLDEKQTTIYKRAVDKNYHLKMKASRFIFSEISQKFPIMPFTARALEEKRARLGLVECMNHELLQPYPVLHEKPGDLVAHIKFTVLLMPNGSDKITSHPLQELKPTKSIEDNAEIKAWLALGTKSKKKGGGKKKKGKKGDAAEADPMEATNASVAPNGGGLVIRIWKSSCGFRNDELWERVIEHRLRRVTRVTQNQFGFMPGRSTVEAIFLLRQLMERYREQKKDLHMVIIDLEKAYDKVPRNVMWWALEKHKVPTKYVTLIKDMYRDVVTFVRTCDGDTSDFPIKIGLHQGLALSPYLFALVMDEITRDIQGDIPWCMLFADDVVLVDDSRTGVNRKLELWRHRLESKGFRISRTKTEYMRCDFSVTRHEEGDVSLDGQVVTKKDTFRRYRVRNDDIRDRVGVAPIEEKLIQHRLRWFGHVQRRPPEAPVRSGVLKRADNVKSGRGRPKLTWDESVKRDLKEWNISKDLVMDRSAWRLTINVPEP >Sspon.03G0038850-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:8244749:8247029:-1 gene:Sspon.03G0038850-1C transcript:Sspon.03G0038850-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLHVQDYKDVAPAKGVATVGSLQPGVENLQAQDYKDATIYYGVYPDYAYGVCSPWWLGEYSTYLSHDGAQTPTAVSDSSQFDILFWGPTVLVDYDIWKNQVS >Sspon.02G0020920-3C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:70985773:70989319:-1 gene:Sspon.02G0020920-3C transcript:Sspon.02G0020920-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MYDLQFWVSTREEDFPRLFRLSISRCPKLTHLPRLISLVHVSFHYGVELPTFSELPSLESLKIEGFQKIRSISFPHQLTTLKKLEIIDCKELLSIYAYSLSVSDLKVVRCLKLDLVGSSMEDHIGQKVVNGRYMNAHQLDGRPCLHPVPAPRVTCISVSHASFVSCTRIARMPRPASTRSHIGTTHFRSASRVQAVCPAPYHAREDRLCLHLLRIALAPPPADVRRVTLTVPFNT >Sspon.04G0020150-4D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6D:56299601:56303049:-1 gene:Sspon.04G0020150-4D transcript:Sspon.04G0020150-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding QVSRIIDGDTADSCDPDMETIASNNHVAGQMAHPPPAFGSSSNLEALGLETNEGDVRSTNDTDHSVHLISRPMHEITFATIDKPKLLSQLTCLLAELGLDIQEAHAFSTIDGYSLDVFVVTGWHLESTEQLQGKLLQKFYNAETRAWPVSSSSSPPSEGPQGGEGMPSTNVEIPTDGADVWEIDLKLLKFGSKVASGSNGDLYRGTYCNQDVAIKIVRPERISADMYRDFAQEVYIMRKVRHRNVVQFIGACTRQPTLYIVTDFMSGGSVYDYLHKNNNAFKLPEILKVATDISKGMNYLHQNNIIHRDLKTANLLMDENRVVKVADFGVARVKDQSGVMTAETGTYRWMAPEVIEHKPYDHKADVFSFAIVLWELLTGK >Sspon.01G0027060-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:95630301:95635254:-1 gene:Sspon.01G0027060-1P transcript:Sspon.01G0027060-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRNQELEEVVPNDSDPLLGRENRESESSVELSPPQPASVSLPEIEDEETDGSSAACCRICLEAESEIGDELISPCMCKGTQQFVHRSCLDHWRSVKEGFAFSHCTTCKAQFHLRVETWEDNSWRKMKFRIFVARDVLLVFLAVQLTIAIIGAIAYFLDRDGSFRNSFSDGWDRFLSKHPIPFYYCIGVVVFFVLLGFFGLIVHCSSFNDNQDPCLAGCRNCCYGWGILDCLPASLEACFALVLVFIVVFAILGIAYGFLAATMAVQRIWQRHYHILTKRELTKEYVVEDLHGNYTAPKLDPEHEERLKMLKLL >Sspon.03G0023350-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:77303374:77307067:1 gene:Sspon.03G0023350-1P transcript:Sspon.03G0023350-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPRPPPPLIDDVMAEIFLRLPPDEPEHLFRAALVCKPWLRILCDPGFLPRYRAFHGSPPLLGLLHRLMVIQGDPEPRLTPTTAARLSRYPDRGRSRALDCRHGRVLLHVGADCWHFVVWDPVTGDEHRLEEPDIPWLIYSAAVLCAVPGCDHLDCHGGPFRVVFVVTDDIEELVKATVYSSETGAWSTPVTLDSGCESYAQHRRDVLADSMLYYIPYVQPRRGAVIGDEAYFTLRWGNAIVKYDWGNNSISLINPPTQYVYHVALMVMEDSSLGFACVQGSSLYLWSRKVNSEETAEWVQCRSIELEEIIPVTSPDDEPFVVGCAEGMDAIFVSTDAGLFTIRLHSKLVKKVGESGVYFSVLPYMSFYTPGFIFGHLLVKSELKSGY >Sspon.05G0023360-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:14680295:14683553:1 gene:Sspon.05G0023360-3D transcript:Sspon.05G0023360-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEHMGRRTVGGLLFTKGGSILLFREDGSRPKAKNCCSRHGCSGQHSTDKAKGKEVHRVAAPSESTPATPGRSQIFRKPNRKPPHESSASGSISRDAGGSCSETGSRSRDTPGRDLLARLKERVNTSRKRSLNRENSPQSPSGFSASSPSNSRSVTRPSHRAASRIRKADEGANAGAADGMHRNGAGDARRSSERSDDDLLLVEQVTRNHVPSEGFLSGFMARYRSGLQGELSSLDDSMEDSNGYLRFDVGGIEEEEYLAGEEVGKMACKHYYHLSCIQHWLRQKNWCPICKSVALKIN >Sspon.01G0022010-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:78426738:78428560:1 gene:Sspon.01G0022010-2D transcript:Sspon.01G0022010-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPSPPAFVYRISTADEWAEFQRTGATLGGDLDRSTGCIHLSDLSQLADGLIYEASDDNNYFPHFYGPGRSFVPLQLDAVVKADKIELADNDFTCGLLDGSDLPS >Sspon.02G0015440-4D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2D:36318239:36321053:-1 gene:Sspon.02G0015440-4D transcript:Sspon.02G0015440-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable acyl-activating enzyme 17, peroxisomal [Source:Projected from Arabidopsis thaliana (AT5G23050) UniProtKB/Swiss-Prot;Acc:F4KBF3] FYSRVVEAKAPMAIVIPVRGSLPIKGLRVDDLSWQDFLGKVNHTEAENYTAVKQPAYAFTNVLFSSGTTGEPKAIPWTHITPLKAAADGWCHMDIRKGDVVAWPTNLGWMMGPWLVYASLLNGASMALYNGSPNSSGFAKFVQLPNFQDAKVTMLGLVPSIARTWKNTDCTAGLDWSSIRCFSSSGEASSVDDYLWLMGRAGYKPVIEYCGGTEIGGGFVTGSLLQPQALSAFSTPAMGCNLFILDSSGNPLPQDSLGIGELALDPTLFGSSTTLLNADHQEVYFNGMPEWHGKILRRHGDEFERTSDGYYRAHGRADDTMNLGGIKVCNALWKYETAHCNAAFADPRMEFHDHFQVSSIEIERICNRVDDAIVETAAIGVPPVGGGPEQLTIAVVLKDQSSQVEDLNQLKLAFNTALKKLNPLFKVSSVAVVPSLPRTASNKVMRRVLRKEFSQAAQAKHSKI >Sspon.02G0015420-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:40526724:40530041:-1 gene:Sspon.02G0015420-2B transcript:Sspon.02G0015420-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQATAAAFLARPLPRLRRIGGWGADAAAVRGGVIALPPRLRGSRCSISLSIGAGAGAGGDHEFSYEHVPVFPRYRIRDPYKLLGVDRDASEEEIRSARNFLIQQYAGHEPSEEAIEGAYEKIIMKSYQQRKKTKINLKTKLKKRVEESPSWVKALIGYFEVPSMDIISRRLFFFAFIAGWSIATSAENGPAFQLAISLFSCIYFLNEKMKNLLRASTTGFGVLVGGWIIGSLLVPLVPTFIIPPSWSLELLTSLVAYIFLFLGSTFLK >Sspon.02G0008220-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:26847342:26855679:1 gene:Sspon.02G0008220-3C transcript:Sspon.02G0008220-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSFGHRANAVATFGVTILAAMCFAASFSDNFNTPTPTASVKILNINWFQKEANGNDEVSMTLNISADLSSLFTWNTKQVFVFVAAEYETPQNALNQKERGHSTVVTPPSEAANYGLNPGSCKKRPLAGSNLKGKEFNLTMHWHIMPKTGKMFADKIVMTGYRLPEQYR >Sspon.03G0007340-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:20052188:20056616:-1 gene:Sspon.03G0007340-1A transcript:Sspon.03G0007340-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DnaJ protein P58IPK homolog [Source:Projected from Arabidopsis thaliana (AT5G03160) UniProtKB/Swiss-Prot;Acc:Q9LYW9] MLRAWGRTALPPLLLLGLLRLHFSPLVVAQGQEKDPATLFAQASEMMNLRKYDGALGLLNAVLEVDPNHSEAYRQRASVLRHKCRYKEAESDYNKHLELNPGSASVEKELSQLLQAQNSLQSTYGQFDSGDFSKVLEYINKIVLVFSPGCLKAKLLKAKALLALKDYSSVISETGFILKEDEDNLDALLLRGRAYYYLADHDVASRHYQKGLRLDPEHSELKKAYFGLKNLLKKTKSAEDNAAKGKLRVSVEDYKTALAMDPDHTAYNVHLYLGLCKTLVRLGRSKEAISSCTEALSIDSELVDALTQRGEAKLLSEDWEGAVQDLKEAAQKSPQDMGIREALMRAEKQLKLSKRKDWYKILGVSKTASAAEIKRAYKKLALQWHPDKNVDNREEAENMFREIAAAYEVLGDEDKRVRYDRGEDVDEMNMGGGGGFNPFGGGGQQYTFHFDGGFPGGGFPGGGGFQFNFG >Sspon.02G0023720-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:80889374:80892378:1 gene:Sspon.02G0023720-1P transcript:Sspon.02G0023720-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding PELRSSTRQARLRSKKLDDLQPGEPPAKPVPPAPQRAAKRAPTRAARGRKGAAGRGAPPAPKPRRKGVEIADLEADPACEDPPKAVKGLEVAAAAPKNLPLKKVAKVGVPKMDGESPEKIVGAEDESTATPVPERVHVGNSPEYITDRKLGKGGFGQVYVGRRVSGGNARTGPDAYEVALKFEHRNSKGCNYGPPYEWQVYKYLNGCYGIPSVHYKGRQGDYYILVSYGYAWSQPLGRVEFNGADV >Sspon.03G0045300-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:14799139:14805885:1 gene:Sspon.03G0045300-1D transcript:Sspon.03G0045300-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VAWPVAVRFHGREPETPASHLAHPHQPLVGQSERSLPNERGHRVPDARPVPSRPRRPGLPPYIGRHHSLSSRPPLLPVLRPPPLRSPFPVLLPCPCDRETQREAAMARHFVLNTGAKIPSVGLGTWQSDPGVVGNAVYAAVKAGYRHIDCARVYGNEKEIGVALKKLFEEGVVKREDLFVTSKLWNDHHAPEDVPEALNETLNDLQLEYLDLYLIHWPFRVKKGTNHSPENFVTPDILLLGGQWRSYMMLAKLVLLVECHPVWQQTKLHSFCQSTGVHLTAYSPLGSPGTTWMNGNVLKEPIIISIAEKLGKTPAQVALRWNIQMGHSVLPKSTNEERIKQNLDVYDWSIPEDLLAKFSEIKQARLLRGNFLVNPASVYKTHEELWDGDHHTVALDETRQRHKRRAAGGRREVGKKMATYCTLNTGARIPSVGLGTYKAGPGVVADAITAAVKVFAPPSSTLLFRMCFLLACLSWQAGYRHIDCAPLYKNEKEIGAALNKLFDDGVVKREDLFITSKICRRVKLCRCSDLAPEDVPLAIDSTLNDLQLEYLDLYLIHWPFQIKKGSELGPENFVQLDMPKTWQAMEKLYGSGKARAVGVSNFSTKKLADLIAVARVPPAVDQVECHPGWQQAKLREFCRSNGVHFSVSQHGFKLGDLACMILGNLLMFFLQAYAPLGRMKAVANNKVVASVAERLGKTPAQIALRWGIQQGQSVLPKSANESRLKENIDLFGWSIPEELCAKFSEIEQVKQIRNDAFVHPQSIYKTIDELWDGEI >Sspon.07G0019780-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:73381593:73386166:-1 gene:Sspon.07G0019780-1A transcript:Sspon.07G0019780-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGNPYAAELAAAKKAVTLAARLCKTVQQDIVHSGVQAKADKSPVTVADYGSQILVSLALKMDVASGPFSLVAEEDSEELRKEGAEEILEEITDLVNETIVDDGSYNISFTKEGILSAIDDGKSEGGPSGRHWVLDPIDGTKGFLRGDQYAIALALLDEGKVVLGVLACPNLPLSSINNLNGNSLGDQVGALFSATIGCGAEVESLYGSPPQKKLGVQAPPLELTAKQNMVLWPEATVPFTCAFHTKASSSRQTSALVPVLLKAIHEVIKENHTGCLL >Sspon.02G0007110-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:21244400:21247430:-1 gene:Sspon.02G0007110-1A transcript:Sspon.02G0007110-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DQKKNAAPRRPPSRLPHHRGVHRPPGARHGGGARGGSRGLHVRGGVEKGRPQHRAPNDAPLPHAAAPAHAGYHPHQAHRQLRPPLQVRDFCFQVDMVIVPATTGQMGVLPGHVATIAELKPGVLSVHEGNDVTKYFVSSGFAFVHANSIADIVAVEAVPVDQIDPALVQKGLADFTAKLGSASTDLEKAEAQIGVDVHSALNAA >Sspon.04G0013820-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:55044274:55046167:-1 gene:Sspon.04G0013820-3C transcript:Sspon.04G0013820-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEEWELYPSSYIGSQVIEDRPVSEDSDDDQNGDVAVSLDAVLPDDLLEKVLSFLPIASIIRSGSVCKRWHEIVHAQRHAWSKMVPQKPWYFMFTCSEDAVSGFAYDPSLRKWYGFDFPCIEKSNWSTSSSAGLVCLMDSENRRRILVCNPITKDWKRLLDAPGGKTADYSALAFSVDRGSHHYTVAVARSNQVPSEYYQWEFTIHLYESVSGSWVTPFTGVLLGWRGGDECVICDGVLYYLVYSTGVLVNNNEHRHCLVMYDLTARPNHTSLMSMAIPVPCALTCGRLMNLSEKLVLVGGIGKQDRPGIIKGIGIWELHNKEWHEVARMPHKFFQGFGEFDDVFASCGADDLIYIQSYGSPALLTFEINHKSWKWSVKSPVSKRSGQSQEVLPLKPFEHIKYSVSSESFASNAVMQNLNALF >Sspon.04G0011120-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:35959394:35964199:1 gene:Sspon.04G0011120-4D transcript:Sspon.04G0011120-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alkaline/neutral invertase E, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G22510) UniProtKB/Swiss-Prot;Acc:Q9FK88] MGIAEVALHTMPGAFTTHSPASILSLRAVARRRNRNTNAVPNVRALQGLLRIPRLRSVRRLCQRIDDLTRVTEGNGTWVLGDVSVPGQAVGGNGGLNGSAAKPPPQRRKSSSVEDEAWELLQESMVYYCGSPVGTIAANDPNDSDPVNYDQVFIRDFIPSGIAFLLKGEYEIVRNFILHTLQLQSWEKTMDCHSPGQGLMPASFKVRTIPLDGDEDATEEVLDPDFGEAAIGRVAPVDSGLWWIILLRAYGKCSGDLSVQERIDVQTGMKMILKLCLADGFDMFPTLLVTDGSCMIDRRMGIHGHPLEIQALFYSALLCAREMLTPEDGSADLIRALNNRLIALSFHIREYYWLDMQKLNEIYRYKTEEYSYDAVNKFNIYPIRFLHGLLSGYLLRGVTLLETSSQLIWTSDSFHWEIYGQYLATTHQSHAILDLIESKWSDLVAEMPLKICYPALENQEWKIITGSDPKNTPWSYHNGGSWPTLLWQLTVACIKMNRPELAAKAIEVAERRIATDKWPEYYDTKKARFIGKQARLYQTWSIAGFLVAKLLIEKPDAARILWNDEDAEILNALSTNRKRGKKVLKKTYIV >Sspon.03G0014280-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:64274077:64275654:-1 gene:Sspon.03G0014280-3C transcript:Sspon.03G0014280-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVGAKEERDQLWWGHRAWSLLSAVRARAPLVQCITNLVSMDIAANVLLAAGASPAMVHSLREVPDFTPRCDAVYVNVGTLSEDWLPSMRAAAAAGRPWVLDPVAAAASGFRMEACLELLSLCPAVVRGNASEILALASRSAAASSNFKGVDSSHGSVDAIEAAKALALSSSAVVAVSGAVDFITDGKQVISVSNGVPMMQKITATGCAVTALIAAFVAMEPSDAIVAAACALAIFGLAGEIGMESAKGPASLRMHLIDALYCLDEETVTSRVRIS >Sspon.01G0014940-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:44019343:44025528:-1 gene:Sspon.01G0014940-3C transcript:Sspon.01G0014940-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATMENVIVLVNRIQRACTVLGDHGGGTSPPSGKRSRQSPSSASSGKSSVLESIVGRDFLPRGSGIVTRRPLVLQLHKTEDGVQEYAEFLHMPKRRFTDFALVRKEIQDETDRLTGKTKQISPPNCLILAISPANQDIATSDAIKLAREVDPTGERTFGVLTKLDLMDKGTNALDVLEGRAYRLQHPWVGIVNRSQADINRNVGMIIARRKEQEFFDSSPEYSHLASRMGSEYLAKLLSQHLEAAIRSRIPSITSLINKTIDELESEMDHLGRPIASDAGAQLYLILELCRAFDKIFKEHLDGGRPGGDRIYGVFDNQLPSALRKLPFDRHLSVQNVKRVVSQADGYQPHLIAPEQGYRRLIESALNYFRGPAEASVDAVRNLLPVLIVHSVLKELVRISIGETQELKRFPTLQTELAAACYKALERFREDGRKTTLRLVDMESAYLTVEFFRKLPQEVDKTGTGNPRTANPPAPGNPRTANPPAPLDDRYSDAQFRRIASNVSSYIGMVSETLKNTIPKSVVHCQVREAKRSLLNDFYTQVGGKDAKQLAVLLDEDPALMERRLQCFKRLELYKSLGTRLIPCHGHDEVV >Sspon.05G0023650-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:8164724:8166062:-1 gene:Sspon.05G0023650-1B transcript:Sspon.05G0023650-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding KMGDAGEIQLQISGIGGQEAGGDDHGHRAENGGACRATEAPRPPLSKRLAWWAVVLINIVFILGGQGVATLLGRIYYDQGGNSLWMQTVVQSCGTPLAIPLLLYFRFRTRPSSSSVAATANRPPLVKLAAIYAGLGVLLACDNLMYSYGLLYLPMSTYSIICASQVSFNAVFAYFLNKEKFRVLVLNSVVLLTFSAALVGVNHGSDETGSSIPKGKFPAGFALTLSASALFSLILSLTQLTFDEVLKSDTLRTVLEMQFWSNTAAACVSVAGLFASGEWRTIAGEMEAYKKGEVAYAMTLAWTAVSWQLCTMGLMGLVAAVSSLFTNVISTVGTPLSPVIAVIFLGDRMDGVKLMAMLIGVWGLLSYVYQHYLDDRAAKKIAEKSDEQYQAAKISA >Sspon.02G0006990-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:20953489:20956140:1 gene:Sspon.02G0006990-1A transcript:Sspon.02G0006990-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MECLLGLLKVRVVRGVHLAICDPLTHSSDPYVVLRHGQQKVKSSIKYRTCNPEWNEELTLSITNMMNPVKIELFDHDTFTKDDSMGNAEFCILNFVEIAKQDLSDVPDGTVMKSIHPEKGNCLATESHITWKDGKVSQDIVLKLRDTETGELVLHLTWVNIPGVAR >Sspon.05G0004960-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:17737092:17742518:1 gene:Sspon.05G0004960-4D transcript:Sspon.05G0004960-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATALAAAADLSAPDKQQDSALPELTLVDVFQFVELTEFMVDMKCEGCVTAVKNKLQTLEGIKNIEVDLSNQVVRVLGSLPVKTMLDALHQTGRDARLIGQGNPNDFLVSAAVAEFKGPVVFGVVRLAQVNMELARVEATFSGLSPGKHGWSINQFGDLTRGAESTGNVYNPPDHVSDKPLGDLGTLEAGENGEAHFSGPKEKLRVVDLIGRSIALYATEDRSDPGIAAAVIARSAGVGENYKKLCTCDGVTIWESS >Sspon.02G0011360-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:34650413:34652366:-1 gene:Sspon.02G0011360-3C transcript:Sspon.02G0011360-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding QNAWPHQVLLFHLQVLFLRGKAPLCSPPSRTCCAFRPFDRSIFGPAGPAVHGEEDTAAAGGGADGVHPGQRGGAEAGAAAAQGAALLRQGRRAGAAAPGGAAEAAAAARPPPEGRQVRRVDAVRMICEALAPVYPSASYEFSPTRRQPQVQQMEVRKTNKKW >Sspon.07G0028010-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:63950010:63955061:-1 gene:Sspon.07G0028010-1B transcript:Sspon.07G0028010-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGRPRKPTSMASGLSAAAALLLHLHFHLLLLLSPSAAQPGFISLDCGGARDHTDAIGIQWTSDATFVSSGGQAAQLLVQNAQQQLTTVRYFPADNRKYCYTMNVRNRTRYLVRATFLYGNFDNSNVYPKFDISIGASPWSTIVVDDATTPVVEEAIILAAAPTLSVCLSNASTGQPFISTLELRQFNGSLYYTTDETRFFLGLSARINFGAASNDSVRYPDDPFDRIWESDSVKRANYLVDVAPGTERISTTKSIFVGTNEEPPEKVMQTAVVGQDGSLNYRLDLEGFPANAWGVSYFAEIEDLAPNETRKFKLEVPGMPALSKPTVDVEENAQGKYRLYEPGYTNLTLPFVFSFGFRKTNDSSKGPILNALEIYKYVQITMGSQDANIMASMVSRYPQEGWAQEGGDPCLPASWSWVQCSSEASPRVFSITLSGKNITGSIPVELTKLSGLVELRLDGNSFSGQIPDFSECHNLQYIHLENNQLTGELPSSLGDLPNLKELYVQNNRLSGQVPKALFKRSIILNFSGNSGLHIVSNGISHTIIVICAVIGAIVLLGVAIGCYFITCRRKKKSHEDTVVIAAPAKKLGSYFSEVATESAHRFSLSEIEDATDKFERRIGSGGFGIVYYGKLADGREIAVKLLTNDSYQGIREFLNEVTLLSRIHHRHLVTFLGYSQQDGKNILVYEFMHNGTLKEHLRGADNVKITSWLKRLEIAEDSAKGIEYLHTGCSPTIIHRDLKSSNILLDKNMRAKVADFGLSKPAVDGSHVSSIVRGTVGYLDPEYYISQQLTEKSDIYSFGVILLELISGHEPISNDNFGLNCRNIVAWARSHIESGNIHAIVDESLDRGYDLQSVWKIAEVAIMCVKPKGAQRPPISEVLKEIQDAIAIERGPQEMQRSTIQQQLLVSNSNRSMGGASSSANNNSGSMAVDLEQNGASFDELLMRPGLR >Sspon.02G0015920-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:43772968:43776301:-1 gene:Sspon.02G0015920-1A transcript:Sspon.02G0015920-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRAATGAIAAAFLRAQAPPASRIARAFPGLATAAATRFASSSSASSPRLALHPRLRSARSFYSSVARASSGGGTVSGSSTSEEDQWLQSELIFLGTGTSEGIPRVSCLTHPTKTCPVCTKAAEPGNPNRRRNTSILLRHATPSGTTNIVVDAGKFFYHSALQWFPTLRTIDGVIITHSHADAIGGLDCLRDWTNNVQLSIPIYVAERDYEVMKMTHYYLIDTSVVIPGAAVSALQFNIIKEEPFMVHNLEVIPLPVWHGQGYRSLGFRFGDVCYISDVSDIPEETYKLLENCELLIMDALRPDRSSSTHFGLPRALEEVRKIKPKKTLFTGMMHLMDHEKVNSDLAKLMETEGLDIQLSYDGLRIPVRL >Sspon.03G0028810-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:9267162:9272274:1 gene:Sspon.03G0028810-1T transcript:Sspon.03G0028810-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RHOMBOID-like protein 13 [Source:Projected from Arabidopsis thaliana (AT3G59520) UniProtKB/Swiss-Prot;Acc:Q9M1B5] MGKPLIYEILEKPASSSVIGICSLIWWFIQKRGIGYADVGLSYEAAVDGGQYWRIITSAFSHISVVHLVFNMSALWSLGVVEQLGQIGLGVEYYLHYTLVLVVLSGLLVLGFYHMMIQRFKVEYFRRVTAVGYSCVVFGWMTILAAKQPSSKLNIFGVLSLPISFAPFESLIFTSIMVPQASFIGHLSGIIVGYSIAWGLIHGMNNYWAITMLGWIALVFVLSLKRTGSMELSFIEIEPVTDPSLPSVGMVASRNGRTLQMDVLPGRRVADILLQKPPFQPAKIEKNEIVFPGEARFNLVAPQRKH >Sspon.05G0031970-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:11543683:11551100:-1 gene:Sspon.05G0031970-1C transcript:Sspon.05G0031970-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLPPPPTTIQTAIASIISTSLPPWLRHHQLRIDPPGIAEERLSHPRRCVNEWPCLGFAIVDDIDGWGFDQVYAIGLVKIFEEVRQRDLPVSVAGIAQTADSGAHVEAESAANGVGLVKLMGRSAGHIALHATLSNRSVDCCLIPRRTSTSAAPAGCHTVVIVAEGAGQRLIPRHDPQQQHDESGNPVFLDAEEHAGEPLTLKYSDLTYMVCAVAANAADNLYCTLLAHSAIHGAVAGNGYIPAVEVAEARNHIDTKDYKWAWPDFIQSTELQALTGLYKAMQRPRRQRGVSGDFVNLEICRLSPSERWTRNGMLDFSQQSASSPYGFYDAGQGASHGCRSQDKEQRNLEKNLTKVRKEWMKVKEEMGYARLLSEHLSETVAAMMQELDRTDKYMQDILSSSQQKCTLVLGCDDAVVIDDAIDDDDCIVDVRLHPIRTRPVAILGQVPLPVGVPTANCQPKPGARQLLV >Sspon.06G0000070-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:374782:375006:1 gene:Sspon.06G0000070-1A transcript:Sspon.06G0000070-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPPSSYGMMKLAGCHIDDTTTTTTIEMQCHQQMICELAMAPLHREPDDFEDFMTRLPKAEDLGMQGF >Sspon.04G0007360-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:20636095:20640092:-1 gene:Sspon.04G0007360-3C transcript:Sspon.04G0007360-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLTDEEKERKERKAAAQKEKELGNAAYKKKDFETAIQHYTKALELDDEDISYLTNRAAVYIEMGKYDECIKDCDKAVERGRELRADFKMISRALTRKGTALVKLAKTSKDFDIAIETFQKALTEHRNPDTLKKLNEAERAKKELEQQEYYDPKLADQEREKGNEFFKEQKYPEAIKHYTEALRRNPNDPRVYSNRAACYTKLGAMPEGLKDAEKCLELDPTFTKGYTRKGAIQFFMKEYDKAMETYQAGLKHDPNNQELLDGVRRCIEQINKANRGEISQDELQERQNKAMQDPEIQNILTDPIMRQENPRAAQEHLKNPGVMQKIQKLVSAGIVQMR >Sspon.01G0017890-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:72356138:72358039:1 gene:Sspon.01G0017890-2B transcript:Sspon.01G0017890-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSPARAGGAVEDQAEADVEETGRGTWRHAAFHVATTIATPAAYAPLPFAVASLGWPLGACSLVIGTLVTWCSSLVVASLWRWNGEKHTNYRLLAESIFGPWGYWYVSFFQQVASVGNNIAIQIAAGSSLKAVYKHYHTADDGAMTLQQFILVFGALELLLSQLPDIHSLRWVNAICTASTVGFAGTTIGVTIYDEQNRGGIVTDEYGLLGYRIERKEISYSLQGSTATKIFRAFNALGTIAFSFGDAMLPEIQSTVREPVRENMYKGVSAAYTIIVVSYWTLAFSGYWAFGSQVQPYILSSLTAPRWATVMANLFAVIQIAGCFQIYCRPTFAHFEERVQAKNRSCISYLCRLTYTSAYMAVITLVSAAMPFFGDFVSVCGAIGFIPLDFVLPAMALLKTWTMPDNPGLQCAVKMLSAAVAILFSIIGALACIGAIRSIALDVKTYKFFHDM >Sspon.01G0051840-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:54102811:54105705:1 gene:Sspon.01G0051840-1P transcript:Sspon.01G0051840-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DRDSYWKMMHKYIGSDVTSLVTLPVIIFEPMTMLQKMAELMEYCELLDKADECEDPYMRMVYASTWAISVYFAYQRTWKPFNPILGETYEMVNHQGITFLAEQVSHHPPMGVAHCENEHFTYDITSKLKTKFLGNSVEIYPVGRTRVTLKKSGVVLDLVPPLTKVNNLIFGRTWVDSPGEMVMTNLTTGDKVVLYFQPCGWFGAGRYELDGYVYSAAEEPKIMITGKWNKSLSCQPCDQEGDPLPGTELKEIWRVAPTPQGDKYQYTHFAHKINSFDTAPKKLLASDSRLRPDRYALEKGDMSKSGAEKSRLEDQQRAEKRTREAKGEQFTPRWFNMTDVVAPTPWGDLEIYEYNGKYTEHRAAIDSSNVTDETDVTSIEFNPWQYGLALLVCCAISGFRALVVSMTFPFPFNATIIQEVVYFM >Sspon.05G0020320-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:83877659:83881493:1 gene:Sspon.05G0020320-1P transcript:Sspon.05G0020320-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAKFAFSRSSSSSRRSNLSPLQPPARPGPALAEEDDDPAASWKFVDSELLTSSLEDWFSGHSEDCGFRKPAFDVPFDLTELQNFDYALEGVTFQQLVRMPNALYASTSDVFEATAYLALEDFLHAGIKGLWGAFWGLDEAMPSSVACIHSSSSKFYPAEKAISSGKLDGVCATSVLLKNLKHSQGRWDHIVVLALLRPDIGMVSAQGDQGPSPAVLGEALFFALRVLLSRSLSRSSTVLCNSDCIYLLLVDSQFGRVVKVQGDLNKLDFDLNNVYYCAAEWIKKHAEISVSSVDRVWNKLGNANWGDIGTLQVLMAIFLSVIQFYGEPKYSLDELATEHSSRLQSRRSERHLVDRQANGHGLFQFQQPSHSPEIVEVQEEPAVDVKPQETLKLEIGSVVLMDDAYIQKGFQINDILTDSDPPIYTSTPVEEPTKTYLLYVGSSPSHLEPAWEDMNSWYQVQRQTKVLSSMKQRGISSRYIPHMTSSGLVIHPGTCNKPNSNGNCGHPWCSTPILVTSPVGQTVSNLIRNGLFGVEEALRCCHDCLSALAAAASAGVRHSDIRPENVIRVSNGSSHPYFVLIGWGHAILEDRERPVMNLFFSSTFALQEGKLCSASDAESLIYLLYFSCGGVCPELDSVESSLQWRETSWSRRVIQQKLGEVSAVLKAFADYVDSLCGTYPMDYDIWLKRLRRTINEDHGKEVDTSSSS >Sspon.01G0011520-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1A:31434288:31434725:1 gene:Sspon.01G0011520-1A transcript:Sspon.01G0011520-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPTSPASPAPAARPTAAPLPTMADIMAASRAQGLRVCLRTTGPFFRVTATRGEGKEAVELGRAKGGVRPWPGGAVLHLDSMRMTRATLSVPDRPLFGLGMFLGAVAVRHGFDAGCRRAELLAINDTPLYHDKVVSIPQMKQLL >Sspon.01G0015820-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:46979454:46982224:-1 gene:Sspon.01G0015820-1A transcript:Sspon.01G0015820-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAGDDKAGSSVPAVALSSGKPMPRIGLGTASFPLGDAGDRPVVREAVLRALDAGYRHFDTAAVYGTERAIGDAVAEAVRAGTLASRDQVYVTSKLWIADAHPGHVLPALRKTLQNLQMEYVDLYLIHFPVSMRLPEPEGGAGPVLAKENLVEMDMKGVWEEMEECHRRGLARAIGVSNFSCKKLEHLLSFANITPAVNQNKLRVFCREKGIQLCAYSPLGGKGAPWANNSVINCPLLRQIAHAKGKTVAQVCIRWVYEQGDCLIAKSFNEKRMRENLDIFDWQLTEDECRKISTLPESRGTYDFF >Sspon.06G0003390-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:10248136:10250157:1 gene:Sspon.06G0003390-1A transcript:Sspon.06G0003390-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAASSASPLLLPSGPRHASASRAWLRGGSEFRSRALSSEAPCCCKAAAGGSAEQCGAADDFLIGGSSTRRGLVGVALGASALGLAAFDAVAAGLPPEEKPKLCDASCETQLENVPMVTTESGLQYKDIKVGEGPSPPIGFQVAQVAANYVAMVPNGQIFDSSLEKGQPYIFRVGSGQLAFPKGLTSAPGRPRVPPSSPVVFDINLLYIPGLDDE >Sspon.06G0002600-1P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6A:8335215:8337510:1 gene:Sspon.06G0002600-1P transcript:Sspon.06G0002600-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARFDPYENNGGTCVAVAGADYCVVAADTRLSVGYSILSRDHSKIAQLADKCVLASSGFQGDIKALQKALTAKELIYEHNNNKKMSCPAMAQLLSNTLYYKRFFPYYAFNVLAGLDTQGKGCVFTYDAVGSYERTGYSAQGTGSALMMPVLDNQLKSPSPLLLPARDAVTPLSESDAVDLVKDVFASATERDIYTGDRLEIVVINSSGTHRECIELRKD >Sspon.01G0025230-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:89137363:89137951:1 gene:Sspon.01G0025230-1A transcript:Sspon.01G0025230-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DGSEWIFTNRRADEAHQFTMHVNFDKFSEGILVGDELVIDGGMATFEVTEKIGNDLRCKCTDPGLLLPRAKLSFWRNGKLVQRNFGLPTLSTKVILEEKT >Sspon.02G0007590-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2B:39816667:39818904:1 gene:Sspon.02G0007590-2B transcript:Sspon.02G0007590-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSELEDPSPPPIALLFHRASPACSLLVSSIAMRLLHVLLLLLLSLHAAPRPCSAATTTTDTVSPGNALAGTAARLVSNNSKFALGFFKTDSASPNTYLGIWFNKVPKLTPLWSANGESPVVDPASPELAISGDGNLIIRDQATRSVVWSTRANITTTTTTVAVLLSSGNLVLRSSTNASDVFWQSFDYPTDTLFAGAKIGWNKRTGLNRRLVSRKNALDQAPGLYSLEMTERDGVGHLLWNSTVAYWSSGEWNGNYFGLAPEMIGAVMPNFRFVNNDEEIYFMYTLHDDTMMVHTALDVSGQGLVGFWLDSKQAWLINYRQPVVQCDVYATCGPFTVCDDVADPVCSCMRGFSVRSPRDWELGDRRDGCARNTQLDCESSETNRTGLTDKFFAVQGVRLPQDATKVQAATSGDDCSEICLGDCSCTAYSYWNGDCSVWHGKLYSVKQQSDASSDGNGETLYIRLAAKEVASGVATRRKRGISTGVATGVAVGASVAALILVVILGLMIWRRKGKWFTSTIENPQGGIGIIAFRHIDLQRATRNFSERLGGGSFGSVFKGCLGDSVALAVKRLDGARQGEKQFRAEVNSVGIIQHINLVKLIGFCCEDDKRLLVYEYMPNHSLDLHLFKANGTVLDWNLRYQIAIGVARGLAYLHTGCRDCIIHCDIKPENILLDASFVPKIADFGMAKVLGREFSQAITTMRGTIGYLAPEWIGGTAITSKVDVYSYGLWDGFIRSRIRKEEFESR >Sspon.07G0001680-3D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3D:32209670:32215317:-1 gene:Sspon.07G0001680-3D transcript:Sspon.07G0001680-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYVLVTGGVVSGLGKGVTASSIGVVLKACGLRVTTIKIGSHSFPSRLPTRSSLPGDFFFLLGGVALAFPRENEKKKNCFRRRIRKKGRIFRWKGRPVCVISFCRLFAAQSPEPGAQDRTLPFCRFGCATSAVWGKGGRGSIFRITQSCLAVWAGHPYLNTDAGTMSPFEHGEVFVLDDGGEVDLDLGNYERFLDIKLTRDNNITTGKIYQAVINKERRGDYLGKTVQVVPHITDEIQDWIERVAMIPVDGKEGPPDVCVIELGGTIGDIESMPFIEALGQFSYRVGPGNFCLVHVSLVPVLNVVGEQKTKPTQHSVRGLRGLGLAPDILACRSTEPLEEHVKVKLSQFCHVPISNIVNLHDVTNIWHIPLLLRVGDGKVPREPELGKWTKRATKFDKLKTPVKIAMVGKYTGLSDSYLSVIKALLHASVAMERKLVVEWVPSCDLEDSSAKETPEAHKKAWKLLKPSHGIPPQGADGVLVPGGFGDRGVQGKILAAKYARENNVPYLGICLGMQIAVIEFARSVMKLRGANSTEFDPATTSPCVIFMPEVNPDMVPDFERAGLQFVGKDESGKRMGVGLENFSDAVDLN >Sspon.03G0046310-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3D:35254403:35256751:-1 gene:Sspon.03G0046310-1D transcript:Sspon.03G0046310-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LVGLRLPTAKLSSSTQAAKPKSVADSYRLPEVLFSLLGVKTRPLGMPKEEVHEHVEHDHNLVIVEDCSTSWSSDDDDRSTTSSLDKVEDDALSVTNDDSTPCTLDGDDDGSCSGYESDVSSSSPTTLHCFMSQDDTKVSNVNVIDLDSYEELLDRYGCMIKDACSSSMSCETNILKENNELKNKVNNLSNKLERCYNSKIIFEHMLKTQRSYGDKCGIGFNKSKIKGKRWGKKRYEREMKRQEHMKLSHFMCFKCHEVGHLANGCPNEEKIKLKKKEERLKHVKCFKCHTWGHLTSMCPTKQLVKQQVEPQPKPQVEQETPQEQIKINHEDGGDLMVKKKKLEGVKKQEKEQCVQE >Sspon.03G0022420-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:68194091:68197501:1 gene:Sspon.03G0022420-1A transcript:Sspon.03G0022420-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGARATPTRTPPRSSSPAPRTEAVVPPDWASAVSTVSSHPTPPVVVVCGPKNSGKHGKVGYLDTDVGQPEFGPPGCLSFHVVDEAIADLLNPTLREAERCYFFGDISSKRDPEAYLNCLFNLYDYFVGKYRCNENELLPLIVNTPGWVKGAGFDMLVEMLRYICPTIVVQIRITMQSKNLPDGVFWLDGEQTGPKMINIDAAFHDASNRSLLIQKDSGGMRERRLVEYLKQCFPSNISLSTNKELAHALASLPPYQVPAGEIWRSLNATIVGLAVSNASEGSRSVPYCVGLGIVRGVDVQKGQLYLITPVPLQRLQSVDLLQQGLIEIPTTLLQVRGCVSPYMSTNVLHKISERDLYAG >Sspon.04G0007930-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:22440983:22441746:1 gene:Sspon.04G0007930-3C transcript:Sspon.04G0007930-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GAVSPHGRSRPRPCAPPRRHRSGDDDGGGGEVLPVAEPPVQRLVHEQQQLRQRVPDRELPRRRVQGGRRHAQVLLQEDMLA >Sspon.02G0001310-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:4579237:4579623:-1 gene:Sspon.02G0001310-1A transcript:Sspon.02G0001310-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding EIRVPDGYYPIKRRVWDEFSTRGYVIGQNPIPIGYGGYGCGCILPIPAYPRVIFGYAIGYG >Sspon.01G0054410-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1C:55146045:55146836:-1 gene:Sspon.01G0054410-1C transcript:Sspon.01G0054410-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARPRARNFGAAGRARAQRASRAGKIGALEKDKREKGERVRACSCSSPPARLVLLPHPRRRRSWRSSPPATRLLLLPAGDAAAAPPRPRRGSCSSPPTTQPLLLPVATRLLFRPDAGSACAPPRRRSPPSGEPQDDWNSDPSDWNNCCWNKCYWKFSDQTMDLRYVY >Sspon.04G0009180-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:26614397:26622124:-1 gene:Sspon.04G0009180-1A transcript:Sspon.04G0009180-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPQQESAAAGRKRRRRGGVRNRKKLSSQKGLPPAAAPPTPASPPVKRRRKDVAGQAAAMPKRGNNSSLLDKMRARLSGGHFRMLNEKLYTCSGEDAFDYFKNDPNLFDVSHSASWAVADFGCGNAAVAKNVKNEVFSIDLVSDDPSVIACDMAHTPLEPSSIDVAIFCLSLMGINYPSYLEEQIGGWLVIAEVRSRLDPNNGGADPEKFSKAIIQLGFSLVSKDVKNKMFILFYFRKKVYLLEESRRCSL >Sspon.03G0036930-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3C:90695880:90696554:1 gene:Sspon.03G0036930-2C transcript:Sspon.03G0036930-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATENTCAVEKRGRVYVITLTGEGEHRLNPALLSAIRAAVAAVRAFPGCAGALALAAEGKFFSNGGDLAWARAGTAPADRLLAYRAALRGLVADLLALPVPTVAAVTATPRAPAARSRWRTTPSSCAPPAGIVDAAVDGGVEDVVAAAVANIRKATWPVLWSWSKVEDYGGEAPARP >Sspon.01G0035450-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:11461442:11462440:1 gene:Sspon.01G0035450-1B transcript:Sspon.01G0035450-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MANRSSCLLVLALAALLLAAAVRVVDGGGTAAAPAPAPSADCTDALLSLVGCLSYVEEGSTVATPDPTCCSGLKDVVHKEVACLCQVFQSGQNFGVSLNMTKALQLPAACKVKTPPFSKCHGTMSDKLSRCFSACSLVRGPILQVRAVAAVVNSFGITGSGSNREPDQHSSTLPSAFWRCRPLGNTADLPRCSNCCRDSVRVPSLVRAGLTCHVPSSCAPPYLVPYPSLTTDKRSM >Sspon.03G0002550-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:6300215:6301006:-1 gene:Sspon.03G0002550-1A transcript:Sspon.03G0002550-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding EDVRPDGGCGRGRRGVRAVPAGAVPHQPAHCAGAPLHLGAVRQALPPGLGPRSPGPARVVPHGEPHPLAPAARPPPLAAAAASLQPARRPPRRALRVPLRPPHAGPPAPPRPPAPRPGPRAAPHRRLRLRIQSPQRLRTDPLLRAPRRPPGVPRPLPRRAGPLRVGDADEHRGGQGAAAAQGGRGRVQDPEGRVVRPGHQPQLLRRDGGVAGLRRGGVDARGVGVLPLHLRQPRAEGQGPPPLVRAEVRRRVPGVAQGVHPLHL >Sspon.04G0022290-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:4937765:4947643:-1 gene:Sspon.04G0022290-1B transcript:Sspon.04G0022290-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein CHROMATIN REMODELING 25 [Source:Projected from Arabidopsis thaliana (AT3G19210) UniProtKB/Swiss-Prot;Acc:Q0PCS3] MPSRSQYNRRGSDGDEDEIVAVSSDSEESESEANRGAEADDDEYVGESSDAGGGDEAEEAGSSDCGEGGDVDGDDHDGHGRRPLRGLRRGAAAPDKERKSQNVDALVRGNLVVRRQPLIPRILSVSDAAAIARKPFKPPCQNGYSDNNEQLARRLSARKRFVPWGSTQTFAVIHHLPQSPVVASDGSSEKEEPLPTGIEPLILWQRDECEKENCNSASIEVNHLLVRYLRPHQREGVQFMFDCVSGSLSADGISGCILADDMGLGKTLQSITLLYTLLCQGFDDKPMVKRAVIVTPTSLVSNWESEIIKWLKGRVQLLALCESTRADVLSGIESFLKPLSHLQVLIISYETFRMHSSKFERPGSCDLLICDEAHRLKNDQTLTNKVCQFTDVIYTHYSSTLGVPLRLCSAETAKMHNALAALPCTRRILLSGTPMQNDLEEFFSMVNFTNPGVLGDASYFRRYYEAPIICGREPTASAEEKKLGSERSAELSAKFILRRTNALLSNHLPPKIVQVVCCKLTPLQTTLYNHFIHSKNLIYDTIKSNNSGGSGFDDCLRFFPPELFSGRSGSWTGGGMWVELSGKMHVLARLLGHLRQKTNDRIVIVSNYTQTLDLFVQLCRERRYPYVRLDGATSINKRQKLVNQFNDLSRDEFVFLLSSKAGGCGLNLVGGNRLVLFDPDWNPANDKQAAARVWRDGQKKRVYIYRFLSTGTIEEKVYQRQMSKEGLQKVIQQEQADNKMQGSSLSTEDLRDLFTFHEHVRSEIHENLKCSRCDKDGNSALDGTGFAATDHKASIPGVQDYADIGRFGEISGCLQKMNSAHHQTGQGISAALNLKRFHLTFYAHPCQIGRPTEEDLGSWGHHFDPSTVPDTVLQCSAGEEVSFVFTNQVDGKLIPVESMVRSAAHQQNGIAATGEKGVQKTDSPSKPGRQSLNGKNLKLMGSNLKNSSLKCSTKSRTSPNCLQGLKKTSPSLDQPQSKKIHVASDISDDDFV >Sspon.01G0043630-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:76173320:76174694:1 gene:Sspon.01G0043630-1B transcript:Sspon.01G0043630-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQNEPNRAGSLSSLNRCISLSTIQTILTVTHSAAWCPKTGEGSVAARLRVAANEKAEAEKIIQIKRAEGEAEAEYLSGLGIARQRQAIVDGLRDSVLGFSGNVPGTSAKDVMDLVLITEYFDTMKEIGAA >Sspon.06G0024130-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:46568582:46571157:1 gene:Sspon.06G0024130-2D transcript:Sspon.06G0024130-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSSPIPLVSQVQRKPQTYTPSPWGDFFLQHVPCTPSHFLSMKERAQSKKEEVRQIILGTVATSNLVQKMELVDTLQRIGVDYHYKEEIDDLLCSVYDDKDGGSDNLYVTSLRFYLLRKHGYRVSSDVFEKFRDEHGNISSDDVSCLLMLYDAAYLRTRGEDILDNIITFNKSHLQSLLLANLEPKLREEVQCTLETPRFRRVKRVETRRYISIYEKKATRDATILEFAKLDYNILQAIYCDELKELTVWWKEFQTRIDLSFTRDRMVELHFWMLGVIFEPYYSYSRIMMTKIFVFATLFDDLYDNYGTTEESNIFTTAMERWDEEITEQIPAYLKALFIKIRNTTNQIVKELKLKKNKNAEFVKKLVIDTARFYHAEVKWRDEQFIPANIEEHLQISTRSSACMQMTNLGFISLGDVTTREDVEWALTFPKIIRGACIVGRVGNDIVSHEREQASQHVVSTVQTCMNQYEVTVEEANKMLKVIIEEAWMDIVEEYLEHKRPMALLEIAVSVARTMDFMYKREDAFTLSFSIKDVIASVYVNSM >Sspon.04G0009450-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:27933944:27938155:1 gene:Sspon.04G0009450-4D transcript:Sspon.04G0009450-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQYEVVEQIGRGAYGSAYLVLHKAERKRYVMKKIRLSKQNDKFQRTAYQEMSLMASLRNPYIVEYKDGWVDEGTSVCIVTSYCEGGDMVCRWFTQLLLALDYLHCNRVLHRDLKVQTNSSVPTGSKGYFVRYQCHLTFSSCPAALQCSNILLTRDNSIRLGKMSSAELLNMSLSDNMYFFYTTDFGLAKLLMEDLASSVVGTPNYMCPEILADIPYGYKSDIWSLGCCMFEILAHRSAFKATDMAALVNKINRSSISPMPPIYSSALKQIVKSMLRKNPEHRPTAGELLRHPHLQPYLAESCTCSPIYLPVKPNKSNLVDKQSKKPSSGRKRTVKANGSNETLETAAEHTVEARDSSTNFSDASTIGTQEALILQMPVELVPRSKEQQNSDVLSLQHAEENLMATTDRQIDATIRLKAIRTSNVKEEVPVTVANQKFNEAPIPNEELTIGVVQEQRKDVKPRSYPAAKPELCDTTITEESSPISTLKLAHTESAPAEWDHLNIVQQRADALESLLELCAKLLEQERLDELAGVLRPFGEGAVSSRETAIWLTKSLMTPPKFGESPTKLL >Sspon.07G0006160-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:14153093:14156196:1 gene:Sspon.07G0006160-2P transcript:Sspon.07G0006160-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MELMDLGFRLRCVVLQCRRSSWRTLRRAPGAAAGATKSGSPRGGGGGGGGSVREQDRFLPIANISRIMKKAIPANGKIAKDAKETVQECVSEFISFITSEASDKCQREKRKTINGDDLLWAMATLGFEDYIEPLKVYLQKYREVRTYEGVGDFGVIGFGGHVVQSPICHLDCTSD >Sspon.05G0006840-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:12352548:12354799:-1 gene:Sspon.05G0006840-3C transcript:Sspon.05G0006840-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVVVPPQPAHLRLYEFAKSALIRIFAFPYATVCDLYCDGGVDTDKWCDAQVGHYIGIDASATGVNDARELWENKKKPFTAEFIELDPSDDGFEAQVQEKGIQADMLCFESEERAKKLLNNVSSLLKPGGYFFGMTPDSSTIWTKYQKNVEASHNKGLKTVPNSIRSENYTITFEVEEEKYELKLFPFFGKKYQLKFANDTGFENQCLVHFPSLLRLAREAGLEYVEILNLTEFYDDNRLLFAPMLGGYGASFLDARGKLVARSYDILGGLYSTFVFQKPDPDAIPPVVTPDLHDPDNAHEEEWLQPQQASVEDERRSHADVLPIDPAKGILGPGPADMRL >Sspon.08G0025670-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8C:11182161:11186097:1 gene:Sspon.08G0025670-1C transcript:Sspon.08G0025670-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLGPQALRKVIEDAELYPIRGLFSFKDFFPEIDNYFLGIHGDELGIHTGWKSLDDLYKVKEHARKLLEKHIEKPFFDARYGGDAQRMTPDEFEAGKQWCEDDSLPSINWVLDLAKAAVLRHGVRGLVIDPYNELDHQRPSNQNRDPNAGPLDVVQVCVRKVRNKVIGQIGDAFLTYDRVTGQYKDAGKSTIAAVTAVQKRQNSYAKSKKDNVAYEMPFPHPVEDDSVSGEDDSVSGEDGGNSFGL >Sspon.07G0028670-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:68101972:68104599:1 gene:Sspon.07G0028670-1B transcript:Sspon.07G0028670-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGHQHQSSSPPPPPSKRRYSGLAAAVPALVVCSTLLPLVYLLVLHRPAGASAPRICRLVSSLPSLANPLTARVACSVLLLAAGYGSDDRAAVVISTELAGVGARGKRHLENGGAMKHKLLKDVSKKLSGSNGILAERSTRSRSNKDLAIKSKAKLKGAFSLTGLNNDTFKSKGPRTSKRYQLKDLTWRSKDTTVNGKENYGQETVHEENTKSCEHEYGSYCLWSTEHREVMKDAIVKRLKDQLFLARAHYPSVAKLKHQERFTRELKQNIQEHERMLSDTITDADLPPLL >Sspon.01G0025910-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:89107775:89112943:1 gene:Sspon.01G0025910-4D transcript:Sspon.01G0025910-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLNIILVIASLLPFSASDRQGDALYDMKLKLNATGSQLSDWNQNQVNPCTWNSVICDNNNNVVQVTLASMGFTGVLSPRIGDLEYLNVLSLPGNNITGGIPEQFGNLSRLTSLDLEDNLLVGPIPDSLGRLSKLQLLILSQNNLNGSIPDTLASISSLTDIRLAYNKLTGQIPPQLFQVARYNFSGNNLTCGANFLHPCASNMSYQGSSRGSTIGIVLGTVGGLMGLLIIGAIFIICNGRRKSHLREVFVDVSGSESAAFYFITLLQLKLFISLCSPGEDDRRIAFGQLKRFAWRELQLATDNFSEKNVLGQGGFGKVYKGALPDGTKIAVKRLTDYESPGGEAAFLREVELISVAVHRNLLRLIGFCTTQTERLLVYPFMQNLSVAYRLREFKPGEPILDWSARKRVAIGTARGLEYLHEHCNPKIIHRDVKAANVLLDEGFEPVVGDFGLAKLVDVQKTSVTTQVRGTMGHIAPEYLSTGKSSERTDVFGYGIMLLELVTGQRAIDFSRLEEEDDVLLLDHVKKLQREGHLDAIVDRNLNNNYNGQEVEMMIQIALLCTQASPEDRPSMSEVVRMLEGEGLAERWEEWQQVEVTRRQDYERMQQRFDWGEDSVYNQDAIELSAGR >Sspon.02G0054530-1P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2D:99202506:99202991:1 gene:Sspon.02G0054530-1P transcript:Sspon.02G0054530-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHHTRATRWLRPWCSWSVRALVFVRARAGVGASSSLGGALVATLAARPVLVEGAVADVAFARPQQQAAELRRHLVLQSPVVLPLVVSRLSTGSADDPCPGAADDHHPGAAVLAASGGRDGGCDEEEEDEDGDGQAAAGCHRVHDGPTTRFARLVWLSSTL >Sspon.06G0028530-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:7582251:7589513:1 gene:Sspon.06G0028530-1C transcript:Sspon.06G0028530-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEPQAILPLRALPPDAPLPFPVPTPFHPQTPGPGGTAPATPPNPTPPQPQTPAAPPSSSSTRPPHPWEIAARAWLESFPDGRPPTEPEVDAYIDVHRPELPSLPRSQLHQRLLALRGDQVLDADQSAFPYRFQRTDLWKPVYQWLESLELDSLVATQQISDWLTSNPKIMDRLVEKHSKYHLIHYTQRMHLKMLKKKGKLPKTLQLSAARATVRPIAAAVTPEENIVPLQKTIPPVTGTFPSVSACRQQSGTAGRFQGGNATLRDKKTSLSKKKEALLKYELLTDLQNQLTAVLLKQCRTVAIKETDSSYVEFPNQETNMSIQGATTANASAPSEAKKVFINEQSVPGGAPENEHGHKRKRNPIIVTPAWCYSEAPAETLQNEPNPSSNSDGSRNFNIWKGHANPVVSHRDIKKNILFCLEGRETGVSCSQAASYGGWNSPAVQFEGPAVHVVRKSYLSWCPTSCAYTSSAPSAQPHGRQGIRKVLDVKFHPEGLPQLVSSSNEAPNELLLFNLLSGRAIQLRGHNTKIQSIAFAVKGASVVSCASNLLKVWDCITGSCLYTLGGDDQNSVGHTQKINAMAVNKWQSCLVVTSGAKGDGKLLLWNALRGELASDLNSNLRSQDMIYPSVDTMEFYSENHLACGSDCDYGGSAVVQLWDIDSPESYLSFSASDSYITSLKVNPAGNTLITGSGDGTIGLFDIRTCSAISHLSVGSGCEVTSVSFSNCGTYFSASSTSNNTLVWDTRLVPINQSKDVSRSRDMRFFRPLHCFSHGSQMPTAEYTSQLP >Sspon.01G0013370-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:39367167:39370559:-1 gene:Sspon.01G0013370-4D transcript:Sspon.01G0013370-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGAAARSALASAGRAANEAVSFVVFLLLDALEVLLCVVYKVADYVVEGAWRPCYCSSRSAASPASAATGKIVVSERGGSKVVSMLSATRLHLEDISDTLYTRPSVLACAAASASRSASESSSQPRRAPAGVTVHSAIVQMLRGKVGGGVGDGSKHRPYPSPRWSDCHCGNCNPADTDRLFVHVEAPPQGTPARSPVRHHHLRKALTHTLHASIAASTEEDVLFIHGFISSSGFWTETVLPHVSPAARSRRRLFAVDLLGFGRSPKPADSLYTLREHVEMIERSVIERHGVRSFHIVAHSLGSILALALAVRHPAAVRSLTLVAPPYFPVPRGEVGTRYVLRTVAPRRVWPPIAFGASVACWYEHLSRTVSIVLCKHHRLWELAFRVFTLYRVRTYLMDGFFCHTHIASWHTLHNIICGSAGKIDRCLEVVRDQLTCDVTVYHGSDDELLPVQCSYAVKSKIPRAQVKVIDGKDHVTIVVGRQKDLARELEEIWDRKR >Sspon.01G0040970-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:44397388:44397827:-1 gene:Sspon.01G0040970-1B transcript:Sspon.01G0040970-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGLLAVEAAGAAASSASASVLNGAVDWWRDVNESPLWQDRIFHALAVLYGFVSAVALVSTIPRPLRCPDPRLIQLIR >Sspon.03G0012390-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3A:34154347:34155693:1 gene:Sspon.03G0012390-1A transcript:Sspon.03G0012390-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MCNAMFVDEKVLNKCVNEISRALLQADVRFETVRGVQASIKSSVNLQALADGTNKRRVIHRAVVAELRRMLDAGNGTPSFTPSKGRKPASVVMFVGLQGSGKTTTCVKYADYHRRTKGFSPALVCADTFRAGALDQLKQSATKAGIPFYGSHTESDAVKVAVEGVDRFRNQEGCDLVVVDTSGRHRQEAALLEEMRQVADATRPDLVVLVMDASIGQAAFEQAQAFRQSAPVGAVIVTKMDGHAKGGGALSAVAATKSPVIFIGTGEHVPDLEAFDAKSFVSRLLGLGDLSGFMDKIHDVIPGPADDNTSPYQTLEELTKKGNFTLRDLRKLFRAVQSMGPLGQLVSMIPGGLISDKFSDEEGQAKMKRYMTMMDSMTDAELDGTSSPKLMNQSRINRVARGSGRPVREVVDMLEEHKRMAKMMSKLPNVKRPNDINHIVNAIPQDLLN >Sspon.04G0001180-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:5257209:5260076:1 gene:Sspon.04G0001180-3D transcript:Sspon.04G0001180-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVTRTHIVRGDSLEGMLGDYGGGKGAGKPTRSGARNTSRLVASLTCLQFAFAIYATFLLYYMSPTVDLRVNVKPDLAWATRIAQHWKQIITTQPGAGALSPEEVCEHESIDFEQKKSTDEVMIRLKRELYDEVLAFQRRSFGAETLPELLRMRSRWSASGPNVPRVTVILNHFKRRTLCAQLEQLRRQTLPFHRAWVLSFGSPNEASLRRIVESYNDSRVSFVASGYDFKYYGRFQMALQSESDFVYVLDDDMIPGARMLEILCHVAGTDKYASAVLGSIGRILPFRQKDFTFPSYRKFRSKEAGLYLPDPAYDITVDRIVQVDFLSSSWFLPADLVKTLFIETPFTFMTGEDLHLSYQLQKYMGAGSFVLPVDPNDKETWGDSEHRLAYVSETTVIFKDIVQVRDEQWWRALTSGYVTQWAAMHPQKVDALFYAHSLGEVRALAPLLERFRTTPGRKAYLVVSGGGHCPCEEAATVLKWPKVVCRDRRFKIFDLGIGALSGPSRSEVPVLQAVYASMRGIVQMHNPSVVVALADIDAKVKEALRMAADTAAVNRTALVLLPRNSVSKVLWMATLKPASLPNWNRMRISVNIITQNRAKSLLRLLTSLRSAYYLGDEVPISFNMDSRVDAATLKVVSSFDWPHGPKTLRRRIIQGGLIRAVSESWYPSSDDDYGLLLEDDIEVSPYYYLWIKYALLAYHYDPGVSLPELSSISLYTPRLVEVVKERPKWNATEFFKKVHPNTPYLHQLPCSWGAVFFPKQWREFYAYMAARFTEDAKQNPVQIPRSRTNGWQASWKKFLIDMMYLRGYVSLYPNFPNQTSFSTNHMEPGAHISAKGNVLKHDKMDFVVPLVADDFSALLPAGKMPPASKLPVINLFNQAVSLKGLKAAGAKLGQDVLECAAKELVAVDHITGLPTNCTAF >Sspon.05G0008830-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:28034664:28041313:1 gene:Sspon.05G0008830-1P transcript:Sspon.05G0008830-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWIWMVPVAGAVLLWAISLGRILSYPAPSCVPPSPQFMPPLRDDRRSRNVLLVVAHPDDESMFFAPTILFLKSKGHNIHILCMSRGNADGLGNTRKEELYHACDTLKIPHEQVKVLDHPKLQASLNILRKYSGPVDIWLSSLISFSSDLAIGHNIRDNRNMAFGMNCYHFALPKDAKVSRLN >Sspon.05G0000460-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:2166380:2168313:-1 gene:Sspon.05G0000460-1A transcript:Sspon.05G0000460-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQARGGGGAPGSIVGGGVGVALGGGGGAWVVLESLLGNNFVPNDTELHTDGEHCQIVTGPNMGGKSCYIRQVALITMMAQVGSFVPASSAILHVVDGIYTRMGASDSIQQGTSTFHEEMNEASNILRNCTSRSLVIIDELGRGTSTHDGVAIAYATLHYLLKEKKCIVIFVTHYPKILDIQREFEGSVGAYHVSYLATRKLLEVTDKQVETRPEANDLGEIIFLYKLVAGASDRSFGLNVALLAQ >Sspon.03G0008680-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:37869510:37877712:1 gene:Sspon.03G0008680-2C transcript:Sspon.03G0008680-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VFPLLTKKFSCEEQADLVWQFLCNIPVNMVAEFLPWLSTSVTSDEHQDIRNCLCKVVPDEKLLQQVVFTWMEGKATREVAESFAAGNSVRNNSVEDVPDQGEIHICSHHDSRLGSKNCAESNGPQADRHPIDDILYWHNAIRMELHDIKEETRRVQQSEDFSDISAFNERLQFIADVCIYHSIAEDQVVFPAVDSELSFVQEHAEEERRFNNFRCLIQQIQIAGAKSTALDFYSKLCSHADKILETIEKHFRNEETKVLPQARMLFSPEKQRELSYKNAYETASPSETALVTLISGWACKGRDKCKSGEYSCLTSSTARCLSDDVDDLGKCRPFCPCASRNSSDLSLQLQTENGSRPGKRGKDAVSFPGTNGSYCSQTADIEASPCSKKPCCIPGLRVESSNLGIGSLASAKSFRSLSYNSTAPSLYSSLFSWETDALLSCSDGISRPIDTIFKFHKAIRKDLEYLDVESGKLIDGDESCLRQFIGRFRLLWGLYRAHSNAEDEIVFPALESRETLHNVSHSYTLDHKQEEQLFEDISNVLFQLSQLYDSQGHAQTEVNEVEQSCFHSSNDVDFARKYNELATKLQGMCKSIRVALTNHVHREELELWPLFDKHFSVEEQDKLVGRIIGSTGAEVLQSMLPWVTSALTQEEQNKMLDTWKQATKNTMFGEWLNEWWKGAGTTSDSSVEASSAPEDSHLQDKLDQNDQMFKPGWKDIFRMNQSELEAEVRKVSRDPTLDPRRKAYLIQNLMTSRWIAAQQKLPEPNSEECSDDASIPGCAPSYRDQEKQIYGCEHYKRNCKLVAACCNKLFTCRFCHDKVSDHTMERKATQEMMCMVCLKIQPVGPFCQTPSCNRLSMAKYYCNICKFFDDERTVYHCPFCNLCRLGKGLGVDFFHCMKCNCCLGMKLTEHKCREKGLETNCPICCDFLFTSSAAVRALPCGHFMHSACFQVYFGMLDALLAAEELPEEYRDRCQVAQFFCSRFSSFPPLEYLLIFIASLVQDILCNDCERKGRCRFHWLYHKCGSCGSYNTRVIKTDTADCSTPS >Sspon.06G0006290-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:20195145:20201201:-1 gene:Sspon.06G0006290-2C transcript:Sspon.06G0006290-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPAMVPLRQLFVDGQWRPPAQGRRLPVVNPTTEAHIGEIPAGTAEDVDAAVAAARAALKRNRGRDWARAPGAVRAKYLRAIAAKVIERKPELAKLEALDCGKPYDEAAWDMDDVAGCFEYFADQAEALDKRQNSPVSLPMETFKCHLRREPIGVVGLITPWNYPLLMATWKVAPALAAGCTAVLKPSELASVTCLELADICKEVGLPSGVFNIVTGLGPDAGAPLSAHPDVDKVAFTGSFETGKKIMAAAAPMVKPVTLELGGKSPIVVFDDVDIDKAVEWTLFGCFWTNGQICSATSRLLIHTNIAKEFNERMVAWAKNIKISDPLEEGCRLGPVVSEGQYEKIKKFISNAKSEGATILTGGVRPAHLEKGFFIEPTIITDITTSMEIWREEVFGPVLCVKEFSTEDEAIELANDTQYGLAGAVISGDRERCQRLSENEPVYQIEYDIVKKAYLRNKVKYLKACYK >Sspon.02G0040420-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2B:68676833:68677192:-1 gene:Sspon.02G0040420-1B transcript:Sspon.02G0040420-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTCGTYMSSSVMFFHGWTEHGRAEPPVSLAGERRPRRGGEWRPRRGGERRLRRGCHIGGELHRAGGDSHRTKGQAAGAGYAEQVTPPVPAVLPVGVGRAAVAGLLPVGVGRSDGRTGKK >Sspon.04G0020120-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:70806088:70812553:1 gene:Sspon.04G0020120-1A transcript:Sspon.04G0020120-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKKGGHIGSSLTSSTSIRHTGSSASPAQATMPAVASLPAPAAAAQRRRFSPVASASLRRVASGGGSSWRSERRLMSELERTVTAGAAERVIRSYVGTKSERAALAALSRLLMDSDPLAIPFYEAVTQARWFKWSSIHAAAVAALLEANGSTGESRSLISDSIFQHLQSTSEVALFYCDLMAAFSSCGLKDRAMDFYKELRSMLLSGRKTYMAMIKSLCLMGLPTEAEETLREMISLGYQPEAFQFGSVAKCYGKSGSLVDMERVIASMADAGIRFGTGAADTVLSCYSSCRDHSKMLAWLKKMRKLRIAPTMKGYNFVLNSCSSLALVVQELGPSLPLSTTGLVKRLRSVSTPAAEVELVQELLASSSVLDKAMEWSETEVKLNLHGFSTVSAYVLMLQWVDAVKGRELPLEVSVVCGIGKHSDVRGEPKVRELAQEVLSRMGSPLQLSTRNKGRLVAKRDRVKQWLASFPVPEEGTDQSPDATNQQPFLLDADGTDKLCWSIVEGKSRKLGGSLGRVKQVPEEVFAAITAAAGDGGAPYLSIEPATTAACTLHFLQPCRKKALGYLIQSLNTFSCWVLTSQPGDLFSEKKSNASFDPALMRKMDFDSDSATLPALNLVDIWSLRSEKYPICWVMLIVEPWRNDHLDFSGQTYSGIKKTRASRSEVGSAHVGCCSCHRRVPRHLTAAVGSSGRHERQLSVLTQEVRADEAVAEPAGGPAAGEVHAVVREVGPGDAPRQVVVVPHVGSGVAEDVHRRDLRRRGAACRHCHRQKKHPMQRSSVHSHGWPRPTTGVGIEMLSLDLSVVYPNACVGLNIIYYYLLEHHDTEEVKINDFRMSRTREFSSMLHIPETQPKRRSSVRPSNSSKRASPRPNKAHRSFHGPKPQHYTSRAQHSE >Sspon.02G0030290-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:110313215:110314766:1 gene:Sspon.02G0030290-1A transcript:Sspon.02G0030290-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPRTGDSATSPSLAPVVEAVWKFRVEEYLIILRFRLSGKKLSGVVGEIPWEIGNFSHVKSLNLSHNSFTSPIPAAMANMSAIESLDLPHNELRCIPNSGQIGLFGAESYLGNINLHNLSQGKINLHNLSQGNLCFLVPVPMKEEDAGKTSDDPILYIISAASYVFSFWATTVFLFCHPFGQRV >Sspon.01G0016340-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:49762173:49763127:1 gene:Sspon.01G0016340-3C transcript:Sspon.01G0016340-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPLPRCLLAGICAAVLLAAVVAHGAEHETASMVVGLAKCADCSRKNMKAEAVFNGLKVAVKCKNADGVFVTKALGEVDKSGAFSIPLAADLLREDGELKQDCFAQLHSAANQPCPGQDPSWIVGPTSDSQYDDDKMKKTFVAVAGKVHYPSKECASAFLCYHFFKKHLLHKKPIVIIPHIHKKPVPEYKPPTTTTPVPVYHSPVPEYKPPHPTPTPIYHPTAEARPRTLRRTLRSSRSSSLHQEEPLLLSQVPQVPSGEGGDQGVEKW >Sspon.03G0005710-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:22280797:22289725:-1 gene:Sspon.03G0005710-4D transcript:Sspon.03G0005710-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPAADPQPKKRKVADAQDPSPFSSNPAPARLPSPALPPPETLGAVAPSTSSPPPTETASQPPEEARLQKLRNQEELRNVFQRYNRIRKYIQEHKDGGLTPELEQDYLYLISASRGCESVQCFLSLPIPRFASRCPTALEAATKVTINMYKCNMATVKRGEDLKGVPYKTAKACIIGLTHICSAASSEELKSSVMKGICSVVYRTVLSFFISSLEGKDIYRMIYTKHAMLQDPVALLETLKLELDNDKQPTIDNLSELGAICLLCTFLLFPENILEACFTLLASAECDDVKGEGLYLLNQLTCHLTCNAANVAMGDKIDEQCPVMEGNLSSTNKIVDSNPVVSENAMESNECYITMAISRHPSLRRWISSRYKKLCDSCKPAVVSEVSSCLKVLGSLSEPSEDKSHTGNESSVLEKLDNNVRENMRPDELISSSEQGALAKTESVNNYGNKSLQNMNVDMVRSDNQKSDVLTDAKLNDFKGRTVVSDARHQGTRPDLLMPKSVYDPAGGSTSLTSPGQHFGRAKHLFSEPFDIYGTYVARDVISVSKELWVGSLGNRAMESLVRSKFEEFGPLVNFLFYPSKNFALVEYRNILHAVHACGYMQGSSIWGGFLQIRLIGSKGFIRGIAIGESRHIYVAKVKNKKDKDEVFDELKMAGLKWPSGITDISGENALLLEFEAAVDAATAKFYIRHQAPPDVCSRDMNPPGHQLLVQNIDHSVPDIDLINAFSQFGEVVRNQFNRSDSSCFIVYRSQDAAARAKSHLHGARFGLKSLSVELRTCSAGSVHDKTVPPVAPLLGQSVPDNSVHHEIRNPRVPGYHAGYAAPGDRPIYGPPPPNTSRAPQGIFPCPPVSAHHGSVIPPPPIQTSFVRPIYPGPGSPWENTTPNPPPFSHVSPRMMPGSSFRVNPASLPFIPSCVTPLPQLPGGSAQHSEKMPPSLPLPTIAPPPFTPLDMPPPPPPPLPISQPPLVPPPPNSPPPQPIADSSDSQKSSSHPQWQGPLLKSGMHYCRIYASRIELDTCRYENSVSEPAEWPSELDVTKRSAFQHVKTTFNNTPPNKREVCRLVPCSNGDQRGFRDFISYLVQKDCAGVIRIPAVKAMWTRILFILPPTSDTCGMVGISPVPADTMIVVTLPKETTIEAS >Sspon.05G0022010-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:1714215:1715070:1 gene:Sspon.05G0022010-1B transcript:Sspon.05G0022010-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIAQYSSMMKASCVVLVSSLLLATLAVAGASSSSPWSFLGRHPELVLGRKGRELGQSSGYHYQHQSKHMQQHEEVAMEVKKPEEHEEAKARWTANKGEDAEAGLIYSVDYSGVAMHAASPPTAKPKHRHPTKP >Sspon.04G0018920-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:67504433:67507152:-1 gene:Sspon.04G0018920-1A transcript:Sspon.04G0018920-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAAAVAPGAAAATDGGAEGAAAALSASASRTSSLRHRAMSASSKLLRSSLSRKSMGRRSSKVMSVSIEDVRDAEEMKQVDAFRQTLVLEELLPARHDDYHMMLRFLRARKFDIDKSKQMWSDMLQWRKEFGADTIIDDFVFEEMDQVLEHYPQGHHGVDKDGRPVYMEKLGQIDTTKLLQVTSMDRYVQYHVREFERAFAVKFPACSISAKKHIDQSTTILDVSGVGYKNFNKAARDLIGRLQKIDGDNYPETLCRMFIINAGQGFRLLWNTVKSFLDPKTTAKIHVLGNKYQSKLLEVIDASELPEFLGGTCNCEGGCMRSDKGPWKDPEILKMVQCGMGRCGMNSADPRDADEKLITEDEIVPVPKKQDSMRRNAVDSPKVAREKIEHPQMSPLHEMKTANDPAPPAKDGSFDGGLFPGADKGGMDFNWSGEVSEEKLQIARDMYAQLPDAYKQGHDAGDRQVVSGFMALVMGVVAMFRVGKIAPKRAMDAAMGIATMEAMAKKTRQMQMQQQLGGPDTVVVSAAQYQALVKRLDDLEGKVAALAAKPPEVPPELEESLKAAAARVEALETELDATKKLLETSNGQQEEVLAYIEKKKKKRGMFCLQQQNPFRW >Sspon.07G0022930-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:11585407:11585886:1 gene:Sspon.07G0022930-1B transcript:Sspon.07G0022930-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GMVAHLISLSGDEDEVRALVGARNVCGETALHEAVRFGDAKMVGALMKANRGLAAVAAKDGTSPMYLASSLGCLEIAHVLHRQGDRVSYSGPGWTKCLACCCSPQPLSTH >Sspon.03G0013690-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:39195902:39201347:-1 gene:Sspon.03G0013690-1A transcript:Sspon.03G0013690-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:E3 ubiquitin-protein ligase SIS3 [Source:Projected from Arabidopsis thaliana (AT3G47990) UniProtKB/Swiss-Prot;Acc:Q8GYT9] MPMRGVDFKWYDGFFLSMLATSVIIVSINWKRYRLCAHPLHIWIVVDYTTVFIFRLLMFLDNGLAAGMGLDLGWQQRYARFCGRIVVLSVLVLLLYPFLWVWTVIGTLWFSTARGCLPEEGQKWGFLIWLLFSYCGLACIACVAIGKVLVDMIRVPDWAFEAVGLEMRVGQDTAYHPGLYLTAAQREAVEALIQELPKFRLKAVPTDCSECPICLEEFHVGNEVRGLPCAHNFHVECIDQWLRLNVKCPRCRCSVFPNLDLSALNNLRSTSELDHPSASASDVTTATAASRYMRSHPAGQSYLVRLQGLLLRPIRHESVESDGEPAVANSRLVGPEELPSIVVDDGHQLPDR >Sspon.07G0001710-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:3974669:3976717:1 gene:Sspon.07G0001710-1A transcript:Sspon.07G0001710-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At5g39680 [Source:Projected from Arabidopsis thaliana (AT5G39680) UniProtKB/Swiss-Prot;Acc:Q9FK93] MAKPCGAALQRHAAVAVLRAAAAAGDLSKGKALHARLITAAHFDVVLHNNLISFYAKCGRVGLARKVFDAMPFRNAVSGNLLMSAYASLGRHKDSLALLRVVDFGLNEYVLSAAVSATANVNSYDMGRQCHGYAVKAGLAEQRYVFNAVLYMYCQCAHMEDAAKVFENVSGFDAFAFNSMINGFLDRGLLDGSFGIVTKMTGEVEKWDYVSYVAVLGHCASMKDFVLGIQVHAQALKKRLELNVYVGSALVDMYGKCDHAHDANRAFEVLPEKNVVSWTAVMTAYNQNELYEDALQLFLDMEMEGVQPNEFTYAVALNSCAGLAALRTGNALGACAMKSGHWDHLLVGNGLMNMYSKSGSIEDAHRVFISMPLRDVVSWNLMITGYAHHGLAREAMEAFHSMLSAGVVPSYVTFVGVLSACAQLGLVDEAFYYLNTMMKEVGITPGKEHYTCMVGLLCRVGRLDEAERFIVNNCIGTDVVAWRSLLSSCQVYKNYGLGHQVAEQILQLEPNDIGTYVLLSNMYAKANRWDGVVKVRKHMRERGVRKPPGVSWIHVGSDVHVFTSEEKVHPQMDQITEKLEELIDQIKAIGYVPNFAVVLHDIEDEQKEDHLMYHSEKLALAFGLIHTPKGATLHIMKSFRICDDCHAAIKLISVVTSRKIVVRDAVRFHCIEGGVCSCNDYW >Sspon.06G0035170-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:68356355:68357526:-1 gene:Sspon.06G0035170-1D transcript:Sspon.06G0035170-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKAEIEGCAGVALFGKSQEADLAAFEWAYEHLHLSKKYKLWIIHVNENANPTGERPDSRYEHEDLGTRFKQNYPDCKIGKLQTDICKIKENICGVTYEGENLEAALQQAINRLQKDEGLDTLVLGWSVADDTKGPPIAINSLESEGCSIVLAKVDSRKLTFSMPPTQISLHWLAGAVAGCILDYLSSVCKSFFAATASHAATPSEAKADTVPHMANKAQSTSSGSASRR >Sspon.03G0036060-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:87688052:87688421:1 gene:Sspon.03G0036060-1B transcript:Sspon.03G0036060-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQQAEKAAELQDPEIRAALDRRVREEGETVVKGGTGGTSLESQERLAEGRKKGGRSRTTESGKERAEKEGGVVIEPDEKQPQEAIKDIGRN >Sspon.03G0019500-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:83233563:83235131:1 gene:Sspon.03G0019500-2B transcript:Sspon.03G0019500-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWGKLVEQAIGILVLIGFWGISSTMMCGSGEEPMTGPLTNLSHISGISLSVKKPRIKMALRRALGWSEGEVMRPESKPCSRLMRQTAGIFSVGGGLAFWVLCRLHYGPRITVPRSLRWASCGAVSMSATSALLVRLFSPECEPQNIAAFDKPELKAE >Sspon.02G0019240-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:62589375:62594171:-1 gene:Sspon.02G0019240-1A transcript:Sspon.02G0019240-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AVDKHSASDEVVMENILSNSDFSEGLHLWQPNSCHAFVAAEGSSYHYGVRPHSGSTYAILTHRTQSWQGLEQDITEKVTLGTEYFVAAYVRVHGEVHEPIGVQVTLKLEEEGSSTNYLSIARIVASQERWEKMEGSFNLTTLPRRLVFYLEGPPPGVDLLIDSVTISYKKTEGSASSIGGTENIILNYDFSKGLHPWNPICCHAYVASQWSGFLDGIRGNSGENYAVVSKRTEHWQGLEQDITNQVSTGTAYVVSAFVRVDGNVQGQVEVKGTLRLQNADGSTHYNPVGSVVASKEKWNKLEGSFYLTNMPKNVVFYLEDLLLEVKVPSGAETIVKNPHFEDGLKNWSGRGCNICRHEFTAYGNVRPLNGSYFASATGRVHNWNGIQQEITGRVQRKVLYEISSAVRIFGSANDTEVRATLWVQEYGRERYVGLAKNQTSDKQWTHLKGKFLLHAPFTKAVIFIEGPPAGIDILVDGLVLSPARKLQAAPCPKNEDRASQKHISGRYILATNRTDVWMGPSQVITDKLRLHVTYRVSAWVRVGSGGHGRHHVNVCLAVDNNQWVNGGQVEADGDQWYEIKGAFKLEKQPSKVTAYVQGPPPGVDLRVMDFQIYPVDRKARFDYLKEKTDKVRKRDVVLKFQGSNAVNLLTENSFPFGSCIARHNIENEDLAEFFVKNFNWAVFENELKWYHTEAEQGRLNYKDSDELLEFCEKHKIQVRGHCLFWEVEDAVQPWVRSLQGHHLMAAIQNRLQSLLSRYKGRFRHHDVNNEMLHGSFYEDRLGRDIRAYMFREAHKLDPSAVLFVNDYNVEDGCDTKSTPEKFVEQVVDLQERGAPVGGIGVQGHISHPVGEIICDSLDKLAILGLPIWITELDVTAENEHIRADDLEVFLREAFAHPAVGGIILWGFWEMFMFREHAHLVDADGTINEAGRRYLALKQEWLTRERQCRSPRRVKFRGYHGSYTVEVDTPSGKVARSFVVDKDSPVQVITLNV >Sspon.04G0027220-2D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4D:60550620:60551147:-1 gene:Sspon.04G0027220-2D transcript:Sspon.04G0027220-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MWLWSCLQPPAIRLLLALEAATLAPVSRWSAPIHGDLPVAASNPDVPRRATKPDTSPTVSPRGGRVAVELQRDPTARGHLLLPLTLPPPPWPWHPALTWPGWLLHRRNPNRRPHRLPSFTSPEPLLGPLKLEKMTERGREGEGKRGSTRRTTPKINQKISGACRIGDVRGMTSLC >Sspon.06G0032270-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:81787820:81789472:-1 gene:Sspon.06G0032270-1C transcript:Sspon.06G0032270-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein AUXIN RESPONSE 4 [Source:Projected from Arabidopsis thaliana (AT1G54990) UniProtKB/Swiss-Prot;Acc:Q9FZ33] MPAADPPVTDAAPDEGAPPRPSPPPPPPPPRPLSLASALPFWFYLAAAVSLLALLLPHILPPSSPAPLPPLLRGHLAAGRVLKLDPAPGLFAVTSRPADSGGGGGGSPAHRVLVLPGLAAGSLSFRRVLSSLSSRGVHATALDLPGQGLSPAPPAAPAPARTSALREIMDRGIFHAFEHLVQTSEVPYQEEAPPAAAQRSPHAPDEAAAAVARAAEALGLGVAPVHLVLHDTALAAGAAFASAHPGAVRSVTLVDAAASLPAFPAAVFDVPVLGRLVLRVPALFRGLLRLCCARGVGAEEAEAYRAAMRGEGKVEGVVEAWKAMNHSFQLGEWRGSSEEVRRLPMLVLWSGSWSDMWIDEGKKVAAALPDAKFVYHSGGRWPQVDAFEEISGLITEFVTSAEEAA >Sspon.08G0021120-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:33287614:33288615:1 gene:Sspon.08G0021120-1B transcript:Sspon.08G0021120-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLIHYHLSKHPHIFPNSISSGFPSRYPFFFVARCRSRKMITIVAYLCCTICSSLFRRRLKGFRRKTILCLANGGSDQKSHLSLETKFAIYSTCAEKLN >Sspon.01G0045230-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:86123039:86124068:1 gene:Sspon.01G0045230-1P transcript:Sspon.01G0045230-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTLLISKIREEYPDRMMLTFSVFPSPKPYNATLSVHQLVENADECMVLDNEALYDICFRTLKLTNPSFGDLNHLISATMSGVTCCLRFPGQLNSDLRKLAVNLIPFPRLHFFMVGFAPLTSRGSQQYRALTVPELTQQMWDAKNMMCAADPRHGRYLTASAMFRGKMSTKEVDEQMINVQNKNSSYFVEWIPNNVKSSVCDIPPVGLSMASTFVGNSTSIQEMFRRVSEQFTAMFRRKAFLHWYTSEGMDEMEFTEAESNMNDLVAEYQQYQDATAEEYDEEEQDGEEEQA >Sspon.06G0009450-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:50439889:50441274:-1 gene:Sspon.06G0009450-1A transcript:Sspon.06G0009450-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKLCPNLDRDDSLDTVLEVPIPDEMLINAPGADKRRGAGGANMRAWLKNQAFDRATVDGAASATAELQLFLNVVGSPLIPCPVPHDRAFSRSIRDSSIQASTAKYIIQQYIAATGGQAALQGVRSMYAVGKVRMCASEFHLGDQTVTTAAAQGRAEVGGFVLWQKTPEVWFFELIMAGHKMSAGSDGKIAWRQSAAEHSHVSRGPPRPLRRSLQGLDPRSIANLFSDAVCIGEKVLNNEECFILKLEAGAATLRARSAPAFDIIHHTVWGYFSQRTGLLIQLEDSHLLRMKSGKGHRRSENIFWETSMESVISDYRYIDGINIAHGGHTNVTLFRYGEGSVNHKRKLEETWTVEEADFNVHGLTTDYFLPPADLKKDVEDQN >Sspon.05G0026940-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5D:42958617:42960909:1 gene:Sspon.05G0026940-2D transcript:Sspon.05G0026940-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRPPPPSSYFNIFPPLPTSSWAQVAGAPAAAAGPPAGAAAAAGLPAGAAAASDPLLEPLSRLDSAFPAPPCLLPPPLLPPPLALHFQARPRLLLPALLLPWSRPRARTYLSFLETLPLLGTPLGPSFSRPAGFRHLHPLVSGQLLLPLRPAWACRPLLLCPRWPRAPLPSPTRLRCHRPTSTPPVRTPLSLLPSSLHVLRQPRARRVCMRLPSLGSASGMPPMPWPARSPKRSSISSFPPTTTSAPPPPARRNICLLVPVVLEPESPSYARWRDLVLLTLRRYALDDHVLVDASVTAQTPSWLHLDSIVLSWIIGTISLDLHDLVRNTPDARQAWLALEGQFLGNAEARALWLDASFRTFVQGDLTVGEFCRKMKTMADSLGDLGWPVEDRILILNVLRGLSDRYVHLRTVHLRVGVLDFLDGPCCYSAASVRSTVSVRSAAIVSSWSPSLRAERGWGGRGGRCRRGAGRGAGRGGSNQAAPTAPQGAPWPSFHNPWSGRISMWPFHAPGGEPRPPAAMLAGAPPPGAYTMSPWATPSGTSPGPACWDQAALARSFSTMGLTPPVGPEWIADSGATYHTTPDPGILLSVHSPSSSILAPAVNGVAEASRLRQLLAELHTPLSWSTLVYCDNVSAVYLSTNPVQHQRTKHVEIDLHFVRDRVAIGEVRVHHVPTTSQFADIFTKGLPSSTFTEFRSSLNITSG >Sspon.08G0019020-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:9985608:9985937:1 gene:Sspon.08G0019020-1B transcript:Sspon.08G0019020-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAGSSAAAASAARRGPSSSASSPGCRGGRGCAPALGRLVRKLRRQSRLLCAARHGAASSSAARCCLQYDPLSYSRNFDFGTALDGNEACSFASRFVLAASAAARQPQ >Sspon.02G0045970-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:113115062:113120340:1 gene:Sspon.02G0045970-1B transcript:Sspon.02G0045970-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVASAEVAHRPRARAELAGADLARRPHARPAMEVASAEVAHRPRARAELAGADLARRPHARPAMEVASAEVAHRPRVRAELAADLARRPHARPAMEVASAEVAHRPRVRAELAGADLARRNETCEKLGTIGTTANLLVYLTTVYGMSGASAATLLSLWSGTVNLAPLLGAFLSDSYLGRYTTIALASIASFLGMIILTLTAAVPSLHPAAHPNPTGPSTLQMAVLLASFALLAVGAGGIRPCNLAFGADQFDPRTPDGRRGINSFFNWYYFTFTIAMMISATVIIYLQSNVNWALGLAVPATLMGLSCALFFMGTRLYVRVRPEGSPFTSFAQVLVAAASKRRLPAPLPADLYDPPHRSSLVAKIAYTDQFLCLDKAAVRTPDDDLGNNPWRLCTLQQVEEVKCLARLLPVWSSGIIYYIVLTNLGNYNVLQAMQTDRHVGRGGFQIPAGSFVVFNMLALTLWLPFYDGVLVPAMQRVTKREGGITQLQRIGVGIVLSIVTMLVAAAVERHRRRVGDATSCFLLVPQQMLAGLSEAFAVIGQVDFYYKQFPENMRSVAGALLFLGFAIASYASGLMVSVVHRTTGGRDGRPDWLAQDLNQGRVDLYYLLIAAMAAVNLVYFVVCARWYRFKKPAAADDADVELELEGKAAAPPV >Sspon.01G0037170-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:20345999:20347102:1 gene:Sspon.01G0037170-1B transcript:Sspon.01G0037170-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRRIAPPLAVLFVLLLSTAARPSLAQPSNTTITQHSRTAVGGFTPTTVIVLVALISAFVILTLFSIYINRCAPTRAPPPWRPPSRYAPTDHHHAAAAGGSVHPERHAHGAGLHREEAVREETDDEERDEARLVAAFTPESVISFGAARPHEFDYRRTQSAMDVPDRHTLRLPEHVMKELAAVRRHRRAASLSGYPDGGAERTPGWLASFWRSVSWQRPSRTDPDAVVDENGVSNSKRVVPITRTPAERPSGSGAATDDKEKPDHDALNQV >Sspon.01G0005010-3D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:11755923:11760578:-1 gene:Sspon.01G0005010-3D transcript:Sspon.01G0005010-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPWHEMWLPPAAGEGAVAAGLFLDGADAAAHGALLAAMPGCSLSFGPRHRRRRGAQPPGFLSLTMSVKGGRGFVPAPVGLLASSEEKAGAEESDALVAGKRAVEVAAEAEGVILLQEKEKDDRAGAGAMNMTKHLWAGAVAAMVSRTVVAPLERLKLEYIVRGEQRNLFELMHAIATTQGLKGFWKGNFVNILRTAPFKAVNFYAYDSYRKQLLKWSGNEETTNFERFIAGAFAGVTATIMCIPMDTIRTRMVAPGGEALGGVIGVARHMIQTEGFFSLYKGLVPSLISMAPSGAVFYGMYDILKMAYLHSPEGKKRISMMKQQKQEANALDQLELGTVRTLLYGAIAGCCAEAATYPFEVVRRQLQMQVKANRLNAFATCLKIVDQGGVPALYAGLIPSLLQFRDLDLCTSGTIYTVGRARDASGASCPHTATLCSGRIEERHGRTGEKNKP >Sspon.06G0021270-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6B:13962936:13963942:1 gene:Sspon.06G0021270-1B transcript:Sspon.06G0021270-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPACRHLRLAVTVFLAAALLISAAMPAARANLETEHEEEFSYVPGDEHGPEHWGSIKKEWAACGTGRMQSPIDLSHERVSLVRSLGYLHHSYRPAEASIVNRGHDIMVRFEGDAGSLVINGTAYYLKQLHWHSPAEHTVDGRRHDMELHLVHESAEMKAAVIAILYEVGRHEDAFLRHLEPFIQRIADVRDREERVGVVDPRRARGSASVYYRYMGSLTAPPCTEGVIWTIVKRVRTVSKYQLELLREA >Sspon.08G0018230-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8C:7075058:7076080:1 gene:Sspon.08G0018230-2C transcript:Sspon.08G0018230-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPASLSPGPGRRAPPQHRCAASALAAAARPGGDCSGSGAPVRERPRSSTSSRRSRLRRSWRPRSRETWLGLGRRYLRNISRRLRTKHRSVGKGLPSPTSSMAAVDDDTARERAEAVARAISYCKDTLRRRSMTPPPPPSPLPSLDDWLSDDRDRQEVKIIASAAELHCDDCRARDSRPSPTRPRRDRDASLPLRLQTTAKRFKESPCSASPSREGSLAATAVVAHGEESPHHGHDCRVEPMSSLDELEFLKTFDGDEEMINRHFITVEIPRSGSRAFRKQSLLDMKRKRLDMKKKIVSA >Sspon.03G0027220-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:9244133:9247405:1 gene:Sspon.03G0027220-2C transcript:Sspon.03G0027220-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCGGSKEAVVTGNSGNSRCNRFKRKSSVVDATQPSSRVLQPSDNASTATVKANGEAIAETKDKAARLKEKAIGEKKEEGINNKAEQAVKDNKEVVAAKEGNTISKGNANGEEKQVEIKKDEAVVTDAREGITVEKGKEELADKAAKEKKDEVVKDKAVVADQKAGMSSTEDVNVITEEKEEDIKKDDVLKISTKEAVEKENGGDNKDMAIEENDEAASTENGNVEENKEDDSVTFPVAMVTEEDGSVTFAVPDDAEAKDDESVTFASAPTTSHMVAMLTEDDGSVTFAVPVSPVTKDDESVTSAAAPATKDQDMVAMVTEDDGSVTFAKPLALVAKDGHSVNFTATPATKDDDSVTSAASPMTKDNEILSLQAAQEEEGEEEEEEVEEKPEPSEDNEVENEAELAEPTVFEDEEAMTEADGATEAEEKAGQSELSEDNEVNNEADLPDPTAVEQVLTKVVEELKVKEEKVDTVGENKVEEEEESVSKEPEDGKSITPLRDEDGESDGKEPNDLKEARTTEENTLDLGIPEKKSDNEKDAALTNMGKLCCSQEDDEPAFNLLGLLVTIVLALLLLMLCTPPRRRRCVAYYPYC >Sspon.01G0015500-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:44959295:44962224:-1 gene:Sspon.01G0015500-1A transcript:Sspon.01G0015500-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGSASATASLSVAAAAAAALRVRRPCARSQAWAPSQRPQKGALLSLKPCASLAPHAPMWRAESDAAGGGAGAGDVMGLLLRERIVFLGNEIEDFLADAVVSQLLLLDAIDPDSDIRLFVNSPGGSLSATMAIYDVMQLVRADVSTIGMGIAGSTASIILGGGTKGKRFAMPNTRIMIHQPVGGASGQALDVEVQAKEILTNKRNVIRIVSGFTGRTPEQRSLHGPLEAVDYGLIDGVIDGDSIIPLEPVPERVKPKYNYEELYKDPQKFLTPDVPDDEIY >Sspon.02G0004550-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:13768270:13772841:1 gene:Sspon.02G0004550-2B transcript:Sspon.02G0004550-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MACAAAPSPLHARRSATAACVVFAVGPHPPRFYRRFKPSTGGAPRSSLRVVASSSKADPVEERSPVAPLADVAVSADASSPVEPQPQVSTGTWKWRGYNIRYQHAGTSGPALVLIHGFGANSDHWRKNIPVLAVAHRVYAIDLIGYGYSDKPNPREIRENFYTFETWGEQLNTFCAEVVQSDAFFICNSIGGVVGLQAAVMEPQKCKGIVLLDISLRMLHIKKQPWFAKPFVKSFQSLLRNTIVGKVFFNAVATPESVKNILCQCYHDTSAVTDELVQIILQPGLDPGAVDVFLEFICYSGGPLPEELLPLVKCPVLVAWGEKDPWEPVELGRAYASFDTVEDFVVLPDVGHCPQDEAPELVNPLVESFVQRHT >Sspon.04G0016230-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:66333257:66337953:-1 gene:Sspon.04G0016230-2C transcript:Sspon.04G0016230-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEAPAPADLSAAAQVPALPLQVDLLQLPPEVPAPGAPALRGVLDRLFAHWLSLPDTAALLASLVHKAKAGGGGAAAAMLPSMMLQGGAAVPPLSPRSPRLSRRPSSLGAGPPNRSASPLRPPAARPAKEVIPQFYFQDGRPPPYEVKKQCISTVDQLFAGHSNGLRAPEFRMVTRELCKLPTFFTTVLFDKIDKESTGFVTRDAFIDFWVNSNLMSMDSATQVFTILKQQNRNYLTKTVVYRIFYSLNRIGSGHLTLRELKRGNLLSALRHADDEEDINKVLRYFSYEHFYVIYCKFWELDTDHDFFIDKENLIKYGNHALTYRIVDRIFSEVPRKFTSKVEGKMGYEDFVHFILSEEDKSSAPSQEYWFKCIDLDGNGILTHIELQFFFEEQLHRMECMAQEPVLFEDILCQLIDMIGPENDSYLTLKDFRRCKLSGHFFNILFNLNKFMAFEARDPFLIRQMREEPSLTDWDRFARREYVRLAMEEDGEDASNASGDVWDEEKTPGGLIGWATGKDHAFALVAPPMIAYCWNEHPGQERKA >Sspon.01G0039130-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:21950071:21957712:-1 gene:Sspon.01G0039130-2C transcript:Sspon.01G0039130-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LLLCAKTKVAKVRLASYFAEGAINAAKKSIQITYAVQVIEMIYRDKSEFSEERKISKFYRALVTGILDDDERDFMRHVPQVMRDLCNLFPETAGITYMHIGWFFATQQPIRC >Sspon.06G0001660-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:5300967:5303806:-1 gene:Sspon.06G0001660-1A transcript:Sspon.06G0001660-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHIPSEAAEIIEENRPSPNWPQVGRVDLRDLKIRYRQDAPLVLHGITCTFEGGDKIGIVGRTGSGKTTLIGALFRLVEPTGGKIIIDSVDITTIGLHDLRSRLGIIPQDPTLFQGTIRYNLDPLGQYSDQQIWEVLDKCQLLEAVQEKEQGLDSLVVEDGSNWSMGQRQLFCLGRALLRRCRILVLDEATASIDNATDAILQKTIRTEFRYCTVITVAHRIPTVMDCSMVLAMSDGKVVEYDKPTKLMETEGSLFRDLVKEYWSYTSNGNI >Sspon.06G0021930-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6B:21184151:21184486:-1 gene:Sspon.06G0021930-1B transcript:Sspon.06G0021930-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPTWAPPTRPPRRTRWPPRTPRISTSACVTNSLCSVSVRFHPYLPRLMVVARLVLANLRTTIELDSAEAMHGRGGAREVVQLLGAGAQARNTLGTTATTFAMKLEDREG >Sspon.01G0002410-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:71925895:71927176:1 gene:Sspon.01G0002410-2B transcript:Sspon.01G0002410-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAGHGLRSRTRDLFARPFRKKGYIPLTTYLRTYKIGDYVDVKVNGAVHKGMPHKFYHGRTGRVWNVTKRAIGVEINKQVNGRIIRKRIHVRVEHVQPSRCTEEFRLRKAKNDQLKADAKARGEVISTKRQPLGPKPGFMVEGATIETVTPIPYDVVNDLKGGY >Sspon.01G0001720-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:5000092:5003852:-1 gene:Sspon.01G0001720-1A transcript:Sspon.01G0001720-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA polymerase delta small subunit [Source:Projected from Arabidopsis thaliana (AT2G42120) UniProtKB/TrEMBL;Acc:F4IM00] MPVPKPMERKQAAYSNLDERYAIQGERYQGQQYSHIYFTRLHHMRNLLHALVPSWKPQLPVTTVLGLEKGKDCIIVGTLYKHMKLKPSILDEYVKERSAIPLVKPHNFMHPDDHLILEDESGRVTLAGAIPPAAFVTGVVVALHGKETSAGNFLVEDVLEAGLPPQTALSSAAEDKYVVFVSGLSVGSDTFNPLQFQLLIDHVTGHLGDENEQSIASNIVRVVVAGNSVHISPRFVNGQTVASKDQSRIAEPIKELDIMLTQLVASLPVDMMPGCHDPANFSLPQQPLHRCLFSGASTYNTFSSCSNPHQFELDSVQFLGTSGQNIDDLYKYSDAKDKLAFMERTLRWRHLAPTAPNSLGCYPYTDKDPFLVESCPHVYFVGNQDKYETRLLEGPEKQKVRLISIPRFSESGVAVMLNLRNLECSTLSFSTSFDA >Sspon.04G0025440-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:31665070:31667550:1 gene:Sspon.04G0025440-3D transcript:Sspon.04G0025440-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVREGKYHEALAKWEAALILTPDNAILHEQKAQVLLEVGDAWRALTAATRATELDPFWPEAWVTLGRAQLNFGEPDSAILTFDKALAIKPDYDDAKADRETAARLVKKRGQLHTSGLSANKRRFTVGEYPEKGAEGEEKG >Sspon.08G0010400-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:43635357:43637029:1 gene:Sspon.08G0010400-3C transcript:Sspon.08G0010400-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPLLPWCMYMIIILLFVLLALVLLDLRCLRTPPSAPGTRLPPGPWRLPVIGTLHHLMTMKNPRLVHRALAVLARRWDAPVMYVRLGELHAVVVSSADAAREVIRENDTNFATRTMTATVRATVGDKVGLVLSPHGAMWRRLRRICTTELLSARRVRSFRSIREDEAAHLARAIATDAPSGERHQLVNVSELVSRFVSDTVLRAIMGERFKWRDEFMATLAKAMTRGAEFSAEDLFPSSRLLRAINGTVSQSKALNAKLFELVDRAIDQRRERKAGAAAEDDGANDARDLLDVLLRLQEHDDDLDCPLTMATMKAVILDMFGTGSSTTSTTIQWAMLELMKNPKVMRKVQQEIRLVLGCKSRVTEDDLTNLKYLKLVIKETLRLHPATCVLFPKASQESCKILGYDVPKGMIMVMNVWAINRDPKYWDDAEVFKPERFEGITVDFRGTDFQFLPFGGGRRMCPGIMLAHANIELALVTLLYHFDWQLPPGVTPEEVDMAEKFGVDVRPKRDVYLCPVLVLPPKIE >Sspon.02G0006390-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:19465982:19467037:1 gene:Sspon.02G0006390-2P transcript:Sspon.02G0006390-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLSVGTPPLAFPAIIDTGSDLTWTQCAPCTTACFAQPTPLYDPARSSTFSKLPCASALCQALPSAFRACNATGCVYDYRYAVGFTAGYLAADTLAIGDGDASSFAGVAFGCSTANGGDMDGASGIVGLGSRALSLLSQLGVGRFSYCFRSDADAGASPILFGSLANVTGDTVQSTPLVRNPVAARRRAPYYYVNLTGIAVGSTDLAVTSSTFGFTAAGAGGVIVDSGTTFTYLAEAGYAMLREAFLSQTAGLMTRVGGAQFDFDLCFEEAGDADVPVPRLVFRFAGGAEYAVPRQSYFDAVDERGRVACLLVLPTRGVSVIGNVMQMDPHVLYDLDGGTFSFAAADCTSL >Sspon.01G0023600-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:84871242:84874300:-1 gene:Sspon.01G0023600-1A transcript:Sspon.01G0023600-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWRGAASRSLFAAVRARAASSSSSAASRIRSAAPLPASPRRSVPAFAFATARPLAAMAGSPAAVVVRLTGHSATSVRACCELSQGT >Sspon.02G0058150-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2D:70131427:70131574:-1 gene:Sspon.02G0058150-1D transcript:Sspon.02G0058150-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MCKAKSAQRGCWEGRAVSDPIRRLTPAGLDVYGLPADGTVGSRGMQLLV >Sspon.08G0026460-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:22700867:22704400:-1 gene:Sspon.08G0026460-1C transcript:Sspon.08G0026460-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARFDMPFRRFFEHPKHAHPAFLSPVPFELLGLNQPASCDVHAPAEPRPKRAPARATGRRCAAPHHEQNQEDARRAVPGLPIIGNLHQLKEKKLHQTFSRWSEEYGPIYTIRTGASSAVVVNSAEVAKEAMVAKYTSISTRKLSKAISVISRGKKIVALSDEGEFHKMVKRHIMVSMLGASAQTQFRGTRDTMIDNMLSTFHTLVADDPETPLNFRDALSEDVSSVYVEEFGKAFSKKEMFQTAVTDMMMCAIEVDWRDFFPYLSWIPNRSFEERVLTTEARRTAVMQALINQQKKRIARGETRISYLDFLLAENTLTDEQLLMLVWEALVEAADTTLVTTEWAMYEIAKHPEKQEYLYQEIQKVCGNKTVTEDHLPELPYLNAVFHETLWRHSPVPLVPPRFVHENTNLAGYEVPAGTEMIINLYGCNMNKSDWAEPEEWKPERFLDGRYEAADMYKTMAFGAGRRACAGSRQAMNISCAAIARFVQEFTWRLKEGDEDTADTIQITTNRLYPLHVYLTPRGRK >Sspon.06G0005050-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:16935858:16938520:-1 gene:Sspon.06G0005050-1A transcript:Sspon.06G0005050-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQLLPVRDFALLVILESLIGRFDPHPRSQAVANSKFVDQHTSSEEDLPSADPLPQSVGKVERQVTGAPPPLSTIGYSVDVADGNEVPPPEKKECNYRNGKWVSDNRRPLYSGFGCKQWLSESWSCRLTQRTDFAYEKFRWQPEACEMPEFEASQFLRRMQDKTIAYVGDSLGRQMFQSMMCMVTGGKQRPDVEDVGAEYGFVLAPGAKRPDGWAYRFPSTNTTILYHWSSTLCDLEPLNPSDRATSYAMHLDRPPAFLKNNLHRFHVLVLNTGHHWNRGKLRANKWEMYLGGAPNNNRNIAVIWKAKNFTVHSIVKWMDAQLPHHPQLKVFYRSISPRHFFNGDWNTGGSCDNTNPLAKGSGIHLNHSEDGDAEGAVRGTRIKLLDVTALSRLRDEGHISRYSIKATPGVQDCLHWCLPGVPDTWNEILAAQL >Sspon.01G0044160-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:80850960:80870246:-1 gene:Sspon.01G0044160-1B transcript:Sspon.01G0044160-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAAELARLLCPRAPPRPSLPQSSVYSQRPQSLLRALPRLRPPICLRCRALDASRPVAVEGERDEEDGLEDEEESYFSVTSSGLSEVDYLGESTRGDLNVRRERLEALGGNGKSTLHGPIEEIAWKEAVEAETLLHDLGIAAPFSVRHSPRGIFCTRTLNLRSISVVGYDMDYTLIHYNVMAWEGRAYDYGMDNLKSMGFPVDDLKFDPDLVIRGLVIDKEKGNLVKADRFGYVKRAMHGTQMLSTRAVSEIYGRELVDLRKESRWEFLNTLFSVSEAVMFMQMVDKLDQGLVPAELGPLDYKGLYNAVSKALFRAHVEGQLKREIMAEPERFVEPDPELPLALLDQKEAGKKLLLITNSDYHYTNKMMNHAFNRFLPNDVGWRDLFEMVIVSARKPEFFQLSHPLYEVVTDDGLMSPCFKVKSGGLYSGGSAQMVEKSLDIHGDEILYVGDHIYTDVSQSKVHLRWRTALICRELEDEFDALVKSHDQKEKLVTLIQQKESVGDLFNQLRLALQRRSNSRPAQTLAATCMDDQEVTESMQKLLIVMQRLDEKIGPMLEADGELFNKRWGWLSRAGLWDKSHLTRQIEKYADIYTSRVSNFLHYTPFMYFQSQEQKSLCSFE >Sspon.02G0019440-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:58877628:58881106:1 gene:Sspon.02G0019440-4D transcript:Sspon.02G0019440-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKDDVIESGAGGGEFAAKDYTDPPPAPLIDAAELGSWSLYRAVIAEFIATLLFLYITVATVIGYKHQTDTTASGADAACGGVGVLGIAWAFGGMIFVLVYCTAGISGGHINPAVTFGLFLARKVSLVRALLYIVAQCLGAICGVGLVKAFQSAYFDRYGGGANSLASGYSRGTGLGAEIVGTFVLVYTVFSATDPKRNARDSHVPVLAPLPIGFAVFMVHLATIPVTGTGINPARSLGAAVIYNKDKPWDDQWIFWVGPLVGAAIAAFYHQYILRAGAIKALGSFRSNA >Sspon.05G0038260-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:36109232:36119715:1 gene:Sspon.05G0038260-1D transcript:Sspon.05G0038260-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSLHPPHLHFHKLKRRHHPAAMAKKGLAAILYKFRDVHRPPSASPPAPSTPSAHYAQRCYPPPPSAWPWPSCRHPRTSSFRGPKDDDAAVFRTLNSVYDTTSEQFLRRSSMDEAACIDRSPLSLLGEAVAEQVDEEEKETELRETAVVRGMRSERLFFDPAGAEFLPKQGVAPARGKNEAATVAVAGVKSEEPATDAPRDKNESAAEAAVAAKGGAVVVTVESKDPYGDFRASMAEMVAAHGLQDWEALEELLAWYLKLNAKGVHAAIVGAFIDLLVTMQPQASSPPSLPSPSPSSSCITFEEAARPAPAGHWTLETGTMPPSLHPPHLHFHKLKRRHHPAAMAKKGLAAILYKFRDVHRPPSASPPAPSTPSAHYAQRCYPPPPSAWPWPSCRHPRTSSFRGPKDDDAAVFRTLNSVYDTTSEQFLRRSSMDEAACIDRSPLSLLGEAVAEQVDEEEKETELRETAVVRGMRSERLFFDPAGAEFLPKQVTHSACFRACAGERQERGGHRGSGGVKSEEPATDAPRDKNESAAEAAVAAKGGAVVVTVESKDPYGDFRASMAEMVAAHGLQDLEALEELLAWYLKLNAKGVHAAIVGAFIDLLVTMQPQASSPPSLPSPSPSSSCITFE >Sspon.05G0001100-3P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:2382052:2387410:-1 gene:Sspon.05G0001100-3P transcript:Sspon.05G0001100-3P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSLVSTPFTAAAQKQLLAAPVPLHSFLLSSRRQPARRGGAGAIRCAVAGGNGLFTQTKPEVRRVVPTDTRGLPRVKVVYVVLEAQYQSSVTAAVQQLNADPRRAAAFEVVGYLVEELRDEDTYATFCADLADANVFIGSLIFVEELALKVKAAVEKERDRMDAVLVFPSMPEVMRLNKLGSFSMSQLGQSKSPFFQLFKRNKANSSNFADSMLKLVRTLPKVLKYLPSDKAQDARLYILSLQFWLGGSPDNLQNFLKMIAGSYVPALRGAGIKYDDPVLYLDSGIWHPLAPTMYEDVKEYLNWYGTRRDANDRLKDPNAPVIGLVLQRSHIVTGDDGHYVAVIMELEAKGAKVIPIFAGGLDFSGPTQRYLVDPITGKPFVNAVVSLTGFALVGGPARQDHPKAIAALQKLDVPYIVALPLVFQTTEEWLNSTLGLHPIQVALQVALPELDGKSHALHKRVEQLCTRAIRWAKLKRKTKEEKKLAITVFSFPPDKGNVGSAAYLNVFNSIYSVLSDLKKDGYNVEGLPDTPEALIEEVIHDKEAQFNSPNLNVAYRMNVREYQALTSYASLLEENWGKPPGHLNSDGENLLVYGKQYGNVFIGVQPTFGYEGDPMRLLFSKSASPHHGFAAYYTFVEKIFQADAVLHFGTHGSLEFMPGKQVGMSDACFPDSLIGNIPNIYYYAANNPSEATVAKRRSYANTISYLTPPAENAGLYKGLKQLSELISSYQSLKDTGRGSQIVSSIISTAKQCNLDKDVPLPEEGEELPPNDRDLVVGKVYAKIMEIESRLLPCGLHVIGEPPSAIEAVATLVNIAALDRPEDGITSLPGILAATVGREIEDVYRGSDKGILADVELLRQITEASRGAITAFVEKTTNSKGQVVNVANNLSSILGFGLSEPWVQYLSTTKFIRADREKLRVLFGFLGECLKLIVQDNELGSLKLALEGSYVEPGPGGDPIRNPKVLPTGKNIHALDPQAIPTTAAMKSAKIVVDRLLERQKVDNGGKYPETVALVLWGTDNIKTYGESLAQVLWMIGVRPVADTFGRVNRVEPVSLEELGRPRIDVVVNCSGVFRDLFINQMNLLDRAVKMVAELDEPAEMNYVRKHAQEQAEELGVSLREAATRVFSNASGSYSSNVNLAVENASWTDEKQLQDMYLSRKSFAFDSDAPGAGMKEKRKAFELALATADATFQNLDSSEISLTDVSHYFDSDPTKLVQGLRKDGRAPSSYIADTTTANAQVRTLSETVRLDARTKLLNPKWYEGMMKSGYEGVREIEKRLTNTVGWSATSGQVDNWVYEEANSTFIEDEAMRKRLMETNPNSFRKLVQTFLEASGRGYWETSEENLDRLRELYSEVEDKIEGIDR >Sspon.03G0008900-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3A:24323768:24326425:1 gene:Sspon.03G0008900-1A transcript:Sspon.03G0008900-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPAPKHQPDGEDALPLLRSLELNAGYFFQGEDLHFTKDESNETSYSYVTRSFSLLPLHAYRTSNTTVFHVAAILTLSGGRDRESHSVGMGSHRRRRHHYVGSHSVTFSVEGYYSKGTASAELCMKGTGSYSEENGSTKRLRGVDLHLRVPNPSSITDPFITGSLKGTGFKNISLVAYAEGDSDTYHYGKRASCPPLPPSSAAATRGALQPRGSNFSCAHLKERLATSYKLQYDGGAHAPGFSPERRLHISDVQCTRDGSVRAYAAFSNDTQMWRRLQPRPPFMIKDEVVVAEGHWDSARSMLCLRACLVVSSGASMAVDKECDMGMSFWFPGVWTIRDRSAVAGMLWNSSKAAAGSGVSGVISASSIDVSIHRGNFSEVKYDYTMVEEAKKHYLHDPVSSKPKKKVKGSFVAPNYTDHDFEFHFYGTKEDMVGSGRAYPVTIGSAMIYGDQLAADESFSRNAVVDMNSQELLSVSYDIREHVPPAGWVRPKNGSYSVALEERRMTAEGVFDPKTGILCMIACREYNSSTDCQILITVYLASLDGKAQGHGRGAISSLRSKTDPLFFEKVDIRLFGMYSDQISESISRMDFESILLVISTTLPCVFTVLQILHARRRPEAAAATSVTMLVVLALGYVAPLVVSSEALFLSRRRQYVPLPFQSYVPYELSQAMLRAPTLIALLLQLRLIQLALSARKADADRNKAEASSSVAERRALWLCALLYLIGGALTIIIHVVDALRAAREESLTVRVGPEPTTLWEDLVSSAGLAQDAFLLPQVVMNALSAGASRVRALSPWFYIGGTVVRAMPHVYDVIRAQGYVPSSKPSYVYASPRYDRYGVAWDVIVPCAAALLAVLLFLQQRVGSAAPLFRSGRRLGEYQMVSPL >Sspon.08G0006780-3D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8D:18246757:18248382:-1 gene:Sspon.08G0006780-3D transcript:Sspon.08G0006780-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAATTNGALAMTNATVATANGARTNGTPATTPNGASATAYGSPASHHGANGAGDGVPVPGSGRDHVVIFPFMAKGHMLPLLHFATALSAQHGRSRRLRVTLVTTPGNVAFARSRLPASVDLVALPFPSFPPLPAGVESTDALPCPTLHLTFMHATGLLRGPFAEFLASLPSPPLALVSDFFLGFTRRVAADAGVRRVVFNGMSCFASAICKALAASPPASGFEPGAMIQVPGMPEHVVVRAEEVPDGVTKRADPDNPFTRFFMDEIGDSDVRSWGVLSNSFAALDEAYVPALESFYEAGARAWLVGPLFLAAAGDDIPDGEKEQDPEGCLSWLDERAAAQPGSVVYVSFGTQAHITDAQLDELVHGLLQSGHPFLWAVRSDTWSPPVDVGPNGRIVRGWVPQRSVLAHKAIGGFVTHCGWNSVMESLAAGKPMLAWPMIAEQHLNARHVASIIGVGVRIALKAGADVVGSAEVEDKVRELMDAKCKAAKQMRERAAWAQQAARSAVSHSGTSAMALQKLVEELQETYDDVVGKGANGV >Sspon.05G0001730-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:1511400:1518347:-1 gene:Sspon.05G0001730-2B transcript:Sspon.05G0001730-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Naringenin,2-oxoglutarate 3-dioxygenase [Source:Projected from Arabidopsis thaliana (AT3G51240) UniProtKB/Swiss-Prot;Acc:Q9S818] MAPVSISAVPFLPTAASRESTLRASFVREEDERPKVPHDRFSDEVPVVSLQGIDGARRAEIRDRVAAACEDWGIFQVVDHGVDAALVADMARLARDFFALPAEDKLRFDMSGGKKGGFIVSSHLQGEVVQDWREIVTYFSYPVKARDYSRWPDKPAAWRAVVERYSEQLMGLACKLLGVLSEAMGLDTDALANACVDMDQKVVVNFYPRCPQPNLTLGLKRHTDPGTITLLLQDLVGGLQATRDGGRTWITVQPVEGAFVVNLGDHGHVSSLRSSVHPEQRQVQERGPPGGGELRVQPPVHCDVPNPAPDATVYPLAVREGEAPILDQPITFAEMYRRKMARDIELARLKKQAKAEKQLQKSAKEFAAPNVKEFTVPTAKEFAVTWPRAVPFLPTAASGEATLRASFVREEDERPKVPHDRFSDEVPVVSLQGIDDGGARRAEIRARVAAACEDWGIFQVVDHGVDAALVADMARLARDFFALPAEDKLRFDMSGGKKGGFIVSSHLQGEAVQDWREIVTYFSYPVKARDYSRWPDKPASWRAVVERYSEQLMGLACKLLGVLSEAMGLETEALAKACVDMDQKVVVNFYPRCPQPDLTLGLKRHTDPGTITLLLQDLVGGLQTTRDGGRTWITVQPVEGAFVVNLGDHGHLLSNGRFKNADHQAVVNSECSRLSIATFQTPAPDATVYPLAVREGEAPILDQPITFAEMYRRKMARDIELARLKKQAKAEKQLQHQSATEFPVPNAKEFAVPKAKSLEDILA >Sspon.05G0012030-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5A:35052475:35053848:-1 gene:Sspon.05G0012030-1A transcript:Sspon.05G0012030-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAYSCAGRHDEVLRLFRSLPFPPTAPLFTTLISSLAASGSKSAALDAFSLLASGLGPTTSAFTALLKSIDAAPSESVYRAFFGTMAAMGCAPDAATYNCLIWMLCDSQRLDEAWGVLDSMLEEGVCPTVRSYTAILHGYCKQGRVLEAERMGLLDEAFWQVDVMLSRGVSMTVETVNILFDCLCRDSMFSEAVSFLEYSEELNWNVDVFCYNTLMSRLCDAGDFARVLKLLVDLVKKGIGPDMFSFTIAIRSLCRAGKFKVAKCLLDNKGIEYDAVAFNTLIHALCMAGDLHEMLQTYTDMTSRNVSPNNFTIGMDVLLGGVL >Sspon.07G0035800-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7D:13010965:13013101:-1 gene:Sspon.07G0035800-1D transcript:Sspon.07G0035800-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQWPSAPSPSGSNKPNDRPGLKSRQFSSSTAAVRKPTKAHGDSKESRGLEAKANKVQNPRPRKRDLASRHPFGRTPPPARADSSPPSRLPVPFRLAPPAVATGKDGCCRGDEKLQREQSAAEDGTQPGKGRREDIARQGTSTTVDGAVPGEGTPRAHADCSRDETQGTGWVRAG >Sspon.02G0004830-3D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2D:10357346:10358257:-1 gene:Sspon.02G0004830-3D transcript:Sspon.02G0004830-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polygalacturonase-inhibiting protein, Inhibitor of fungal polygalacturonase, Regulation of floral organ numbe [Source: Projected from Oryza sativa (Os07g0568700)] DLHALLSVKRALGNPATLSTWTASSPNCCAWDHLRCNDAGRVNNVFIDAADDVHGQIPSAVGGLTELMSLTLFKLPGLTGSIPTCLTALSKLEFLTISHTSVSGAIPESLARLRSLDSVDLSSNQLTGGIPASFADLPNLRSLDLRHNQLTGTIPAGLVQGQFRSLILSYNQLTGSIPRDDAHDEINTVDLSHNKLTGDPSHLFVAGRPIGKVDLSWNYLDFDLSKLVFPPELTYLDLSHNQIRGTVPPSLERLSTLQKLDLSYNRLCGPLPKGHGVIKHGCKPYAHNQCRKGTPLAGCQDLE >Sspon.06G0022400-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:10244906:10252648:-1 gene:Sspon.06G0022400-3D transcript:Sspon.06G0022400-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding WMAPAAGTPSTGTKSRIRGRGGRARPSRRAWTTSSSRTRRCSLRGHGVVLLNNREAGTLSVTNFRLLFVSQAKKCVIELGTIPLTAIEKLNDDVKLQPLPHLSDKNQPRELLQVIGKDMRIIVFAFVPKTKQKNEVFDVLRRYTKPANLWDLYAFSCDPSAIKNDSEPKRRLLREYFRLFRRSLPLQGFEEVSLCNEWWRLTRVNSSYSLCSTYPSELIVPRSISDEDLFQASTFRSGKRLPVISWCDPGSGAVLARSSQPMVGLMMNFRNNADEKLVCALSSRTTDEKGSPRKLYIIDARPRANALANGAKGGGSESSSNYPRSEVLFLGIQNIHTMRDSLSRLRDYVDAHGSISSNGSPSAVSVVGDRRNRGSTWGGGNLNSMTSFSSTLGEWLNHIQNILVGASWIAAQISEEAASVLVHCSDGWDRTTQLVALACLLLDPYYRTFSGFQALVEKDWLAFGHPFAERMGVPTVAENGGSQYELLRQPSLGNLSSSPSRNTLGSSGPSSNSSVQSQTSNNSSPILLQWLDCISQLLRLYPSAFQFSSVSLL >Sspon.01G0002090-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:5894371:5897077:-1 gene:Sspon.01G0002090-2C transcript:Sspon.01G0002090-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLAAAAVNCRCSRVVYVGNIAFHASEKEVRDACELVGPVRSLRLAADAGTGKRKGYAFVEYPDDETARSACRNLHGHALRGRELRVGLAGRASRRGASARGEHEPVGLEDAVHAASLVAGTPPPDSVTRFLAARSARELREIVGLLEGHGPDALRLLQEQVPRLAATVELARHLIDMAAADAAAEAARNKKRAGVEGCGSDDGDHGAKLRKVDLEEGGGFKGNNVAASAADVIKGNQGQRT >Sspon.05G0001850-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:5873311:5875075:1 gene:Sspon.05G0001850-1A transcript:Sspon.05G0001850-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-fructofuranosidase, insoluble isoenzyme CWINV6 [Source:Projected from Arabidopsis thaliana (AT5G11920) UniProtKB/Swiss-Prot;Acc:Q8W4S6] MYYNGMYHFFYQYNPHGALWDIGNLSWGHSVSGDLVNWAALDTALDPTSPFDANGCWSGSATILPGGTPAILYTGIGANGEQVQNVAFPRNPADPLLREWDKPSYNPVIPLPADVPGDKFRDPSMAWLGRNGLWRVVVSAEVAGVASTLVYRSPDFLRWERAATAPLHASRAAGMVECPDLFPVLERGDDAGLDASASGDGVRHVLKLSVMDTLQDYYMVGRYDDAADAFVPAEPERGDDVRAWRRLDYGHVYASKTFFDARHSRRVLWAWANESDSQADDVAKGWSGVQVPAGTLACSDQSLTHSLTARWFVRRTQTFPRKLWLDEDGKQLRQWPVEEIETLRRKRVGLRRGTVLSAGGMNEIVGVAGSQADVEVAFKVPNLAEAEALDASWLLDPQKLCREKGASVPGGVGPFGLIVMASGDLREHTSVFFRVFRHHGRYMLLMCTDLTRSSTRSGVYKPPYGGFVDVDIEEHESIKLRTLIDHSVVESFGAEGRMCITARVYPEHAETSNSHLFVFNNGTGKVEVSNLEAWELATATVNAVGDDGLVVSQSKGESESY >Sspon.01G0005590-3D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1D:13164562:13165629:1 gene:Sspon.01G0005590-3D transcript:Sspon.01G0005590-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding QERKKKVKITVECGGKPLPDAELSVEWVAKGFPLGNAMTKEILDMPEYEEWFTKRFKWATMENEMKWYSTEYNEGQEGFEVADKMLALAEKHNITVRGHNVFWDDQSHQMPWVSKLSTDKLKAAVAKHLKSVVSRYAGKVIHWDVVNENLHFSFFEDKLGKDASGEIFKEVAKLDSKPILFMNEFNTIEQPCDLAPLPTKYLAKLKQIQSYPGNEDLKYGIGLESHFDKPNIPYMRGSLDTLAAAKVPIWLTEVDVTKGPKQVEFLEEVMREGFGHPGVKGIVLWAAWHAKGCYVMCLTDNNFKNLPQGDLVDKLLDDWRKVPEKPKTDSKGVFEAELFHGEYQVTVKHESLKEPI >Sspon.06G0021510-3D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6D:17424725:17425147:-1 gene:Sspon.06G0021510-3D transcript:Sspon.06G0021510-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVDLSKNNFQGIIPNEIGNLKFLKGLNLSWNSFTGEIPNRIANMLQLESLDLSCNQLSGEIPPAMALMSFLEVLNLSYNHLSGMIPQSSQFLMFPETSFLGNDELCGKPLPRLCDTNHTPSAAATSGLSKELNWEFLSGR >Sspon.04G0024960-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:47397566:47397969:-1 gene:Sspon.04G0024960-3D transcript:Sspon.04G0024960-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKALLVLALLLAATFLVASANEQAQAKEEKKAEVQDWHGGGGYPGGGGGGWHGGGGGYPGGHCRWGCCNRGYHGGCRCCSHPDQIPEPMYRPELVEVHN >Sspon.05G0034600-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:60350973:60356487:-1 gene:Sspon.05G0034600-1C transcript:Sspon.05G0034600-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding TMVPEDLSFQFTREITDDFSEERILGEGAFGVVYQGVTKNGQVVALKKLKPCYADPDNKQFRNEFHTLVKLKHQNIVKVLGYCYETKKKPFIIPDVDESCELKLDWHMRFKIIKGICEGLKYMHKDLEEPIYHLDLKPDNILLDKDMVPKIADFGLSKIFGQDIAWTTHNPYGTSGYQPPEYIHGGEISGKFDIFSLGVVMIRIVSGPMAYPESSDMSSYEYIDQVREIWRNKLQETCSSSDSLLEAYCRQVETCTQIALKCLDKESQKRPDIVKITEKLNEIEIGVDQLSGKENQTNERHVGHSSLTKLLPGKNIMIVLDDLWEDNQFQLMDLKDMLYHDDSKIIILVTTRSEIVAERICTNLQPYKILPLTNDMCWDIIKQRSGFGARDDKEKLRGIGQEIAQKCAGVALAAQSLGFTLRGKSFDQWMKVKDNDIWNEHISKDISSPNHVLASLMLGFIKPTVLSVMDLCKKYIMQLQGLSFLQESPQAVWQWTEIVSMDVSPSKDGYTWSVGQMDAEGQQF >Sspon.07G0011700-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7C:47537656:47541052:1 gene:Sspon.07G0011700-2C transcript:Sspon.07G0011700-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEGPARSSTAESSSAASSGLDFEDTALTLTLRLPGSDPDVRKRAASTSDPAARGRGSSSPQASEAPPAPKYVVGWPPVSRSRRNALPSRGKFVKVAVAGAPYQRKVDLEAYAGYDQLLAALQDKFTSHFTVRRKCVHHRNFLLLLVPCCLSMTDGWIYAGRVGNEEMALVDVVSGAEYVPTYEDKDGDWMLVGDVPWRFRSGAELLMLIKQKAAGRLFCCFS >Sspon.04G0005030-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:14531918:14550660:1 gene:Sspon.04G0005030-1A transcript:Sspon.04G0005030-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MESPAKEEAGGELAMEIESSVTAEDWRRALSRVVPSVVVLRTTAPRAFDTEVAGASYATGFVVDKSRGIILTNRHVVKPGPVVAEAMFVNREEIPVYPLYRDPVHDFGFFRYDPGAIKFLKYDEIPLAPEAASVGLEIRVVGNDSGEKVSILAGTLARLDREAPYYKKDGYNDFNTFYMQAASGTKGGSSGSPVVDCQGRAVALNAGSKSSSASAFFLPLERATFQHKGFEETRRLGLRNETEQVVTQFLRLETLLDDSVGREIDLQIERGGVPLTVKLQDLHSITPNHFLEVSGAVIHPLSYQQARNFRFKCGLVYVAEAGYMLSRASVPRHAIIKKLAGEDIAHLDDLIAVLSKLSRGSRVPLEYVKYTDRHRNKSVLVTIDQHEWYAPPQLYTRNDATGLWTGKPAISPESPFLAPAHHANHMDTNSNSVSSLSESSPMDLKCQYDSENLADGCIKMQTDDEIVVDGSHSNEDSLVEKKRRRVDEEIAAEGTLPSYGDLEDVKGGSLRHPSNAEGSELARTISSNASLAEQVIEPALVMFEVHVPPVCMLDGVHSQHFFGTGVIIYHSERLGLVAVDRNTVAVSISDIMLSFAAYPIEIPGEVVFLHPVHNFALVAYDPSALGAGASVVRAAKLLPEPALRRGDSVYLVGLSRSLQATSRKSIITNPCTAVNIGSADCPRYRAINMEVIELDTDFGSTFSGILTDEQGRVQALWASFSTQLKYGCSSSEDHQFVRGIPIYAISQVLEKIISGTQGPFRLINGIKRPMPFVRLLEVELYPTLLSKARSYGL >Sspon.05G0013040-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:35628269:35634828:1 gene:Sspon.05G0013040-2C transcript:Sspon.05G0013040-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMSRQCTNRIFLSRGSSFLHGVQSRVASSRSRAVRLNWCCVRANLWRTKRLGVKATPSEIKCGDLFENSVVDEFNDCAVSRKKCVPKKSDVGEFPVPDPSALVKNFNMADFNGKWYISSGLNPTFDTFDCQLHEFHVKGDKLIANITWRIRTPDSGFFTRSTVQRFVQDPSQPGILYNHDNEFLHYQDDWYIISSKVENKDDDYIFVYYRGRNDAWDGYGGSVLYTRSKTVPETIIPELERAAKSIGRDFSTFIRTDNTCSPELPLVERIEKTVEEGEKTIVREVKEIEGEVEELEKEEASLFQKLAEGLMEVKQDLMNFLQGLSKEEMELLDQLNMEATEVEQVFSRALPLRKLR >Sspon.01G0024760-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:88148085:88151214:-1 gene:Sspon.01G0024760-1A transcript:Sspon.01G0024760-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDDNSSKNKLSWSKTLVRKWFNIKTKAKDFDSDYAADEVGVQWRTSFSDRDACKSKKSRTERLPKRNVERDGRVGNGFDGAYITNTQDYRVFVGTWNVGGRSPSSHLNLEDWLHTSPAADIYVIGFQEIVPLNAGNVLLTEDNGPAKKWVSLVRKTLNNLDQQGSGVYNYHTPSPAPDPIVELNVDFERSSRRQRNSSFFHRRSFQSFNRSSRIDMMDPHSLVDRRFSVCDRISFGSRPSDVDTSMRCGGSSDDENIDEESPSGIFFPPMPCAYGAPLCTDSRYCLVASKQMVGIFLMVWVRNDIREHVKNLKVSCVGRGLMGYLGNKGSIYNGSISISMSLHQTSFCFVCTHLTSGQKDGDQLRRNADVVEILRKTRFPHVHGAGDKKSPETILDHDRIIWLGDLNYRIALSYRSVKALVEMHNWKQLLEKDQLRIEQRFGRVFAGWKEGRIYFPPTYKYSYNSDRYAGDDMHPNEKRRTPAWCDRILWYGRGLTQLCYVRGESRFSDHRPVYSIFTAEVQIPSQAQFGGITRSASLLGLDELPYPTYPRSYMDINFY >Sspon.01G0003820-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:8963248:8966520:1 gene:Sspon.01G0003820-2B transcript:Sspon.01G0003820-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWWGGGEAEPFPSVDKCDASRLGRGSTVVADLDGALLRSPDAFPYYALVAYETGGAARLSLLLLLSPLAAALRRAVSESAATRVLVFAATAGARVADVESAARAVLPRFYAADVHPDAWRVFSACGGRRLVLTAALRVMAEPFLRGYLSADAVAGTELAAWRGRATGWVDARRGGVLVGESKAQALREMLAAGEMPDVGLGHRRSDYAFMSICKEAYLVPRTPVAAVRADKLPKRVVFHDGRLVQRPTPLVALLTVLWFPIGLLLSLVRVAAGVLVPMRWLHVAFHALGVRVVVRGTPPPPPRADGDAARTGVLFACCHRTLLDAIFLSVALGRPVAAVTYSLSRLSEFLSPIRTVRLTRDRAADAATIRRVLAEEGDLAICPEGTTCREPFLLRFSALFAELTDDVVPVAVECRMSMFHGTTARGWKGMDPFYFFMNPSPVYTVTFLDRLPPELTCGGGGKSSHWVANYVKKLIASTLSYVCTGLTRKDKYRELADNDGVVPVNTDDGGKKRMA >Sspon.04G0003520-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:11639880:11641039:1 gene:Sspon.04G0003520-2D transcript:Sspon.04G0003520-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LNTSFLRQWKIVSTRKTSGTSSFRISDELGDLFDVDFPSFWAQMEEEDAHRKSKEGDCEKALIESANVQTVTEIPERRGGGFSETKKELTFEQVSRHFSVPIKQAARELNVGVTVLKKQCRKLGIPRWPHRKVKSLQKLIDNVQGLGKENAQEDGHLTRSLVEFLEQTMKLLGERPDVMLDQRTEELSQVCFKENAQEDWHLTRSVVEFLQVTKKMIEERPDVILDKRTKHLRQVCFKESFKRKRLIGGHGT >Sspon.07G0010820-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:38777353:38781506:1 gene:Sspon.07G0010820-2B transcript:Sspon.07G0010820-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLLLPLPFAAAASRLRLPAVSVARRECLFVGRVVGGVVRAPARLAKRGLCAGAEAGGSAGTVVGQEEAMEWVKKDRRRLLHVVYRVGDLDKTIKFYTECLGMKLLRKRDIPEERYTNAFLGYGPEDSHFVVELTYNYGVESYDIGTAFGHFGIAVEDVAKTVELIKAKGGTVTREPGPVKGGKSVIAFIEDPDGYKFELIERGPTPEPLCQVMLRVGDLDRAINFYEKAFGMELLRKRDNPEYKYTIAMMGYGPEDKNAVLELTYNYGVKEYDKGNAYAQIAISTDDVYKTAEAIRVNGGKITREPGPLPGINTKITACTDPDGWKTV >Sspon.07G0023540-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7B:17598713:17599516:-1 gene:Sspon.07G0023540-1B transcript:Sspon.07G0023540-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRRKAARAAHCSKSAAGLGGRCGGPASGRRSCCPGSQIWEGQGGTTTIVPSQERKAEGEGEEEEEEEEVGNGPVPGVRADRTRGGGDGEAAGHGIRTRCGQGRGRGGGCRAVAAGGTHGGGEGEAFGRTSACAVTEGEEVEAEAEAVAERRWQAGPSVGKAEVWHPGARRRRRRRRRRGTFRFSFSFTGAGEEEEQRRPRGAASFFFSFSTETAAYNETYAYTRTRHRASPDWGTVVATVPERSERAESTRYNVCLHTHKAQGFA >Sspon.05G0000740-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:1987680:1988484:-1 gene:Sspon.05G0000740-2D transcript:Sspon.05G0000740-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGSYTIMHLDLASLDSVRQFVDNFRRAGMPLDSLVCNAAIYRPTARTPTFTADGYEMSVGVNHLGHFLLARLLLDDLQRSDYPSRRLIILGSITGNTNTLAGNIPPKPGWATSAASGFDGAKAYKDSKICNMLTMQELHRRYHEDTGITFASLYPGCIATTGLFREHIPLFRLLFPPFQKFITKGFVSEAESGKRLAQVVSDPSLTKSGVYWSWNKDSASFENQLSQEASDPEKARKLWEISEKLVGLA >Sspon.04G0021040-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:73780590:73783480:1 gene:Sspon.04G0021040-1A transcript:Sspon.04G0021040-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHSRMDEWKPVMAMLVFNLISAVLTALVKKALELGLNALVLITLRQLVATLFLAPIAYFKERNIRPKFTLEIFVYHFFSAALGASLSQYSFFYGLKFTTATFAITFANMAPVLTFLIAVALRVESLNMKSKAGCAKILGTLMSLGGMLLLSLYKGVAVTHQSSGTPPAAASSQQVQVEAGNKIWMLGTVASLANCLFFSLWLLLQSRLTKKYPALYSSTAFMFFISTLQAGALTVTIERHASVWIITNKMEIVTILYSGIMASAAGFLLMTWCVHKRGPVFTAAFIPIVQIMVAVIDFFFLHEQLYLGSVLGSVLMIFGLYLLLWGKKDAAAACCSTDSKHQADDEEADINNNKEQQLQSMVKSNDKTGIYKML >Sspon.05G0002350-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:6518129:6518593:1 gene:Sspon.05G0002350-4D transcript:Sspon.05G0002350-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLYRGGMRTPNSFQIEQITEELGKYGRIEGKNVFYWFQNHKARERQKQKRAALLTLSTTSSTLLPAAAAETKDGVETKKEQACEDASSRKRRFRTWDDVDHGGGGDAATDDVTLELFPLRPQGKAS >Sspon.05G0011700-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:33983496:33985607:-1 gene:Sspon.05G0011700-1A transcript:Sspon.05G0011700-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DGD1 [Source:Projected from Arabidopsis thaliana (AT3G11670) UniProtKB/TrEMBL;Acc:A0A178VKL1] IMQEVPPLHLTEILAHLVRQSGPFLDQLGIRTDLCDKLVEMLYSKRNGRLMYHSLSQDRPLAENITDELDLRIARVLESTGYHTDEGFWNDPAKYKISDNRRHVAIVTITSLPWMTGTVINLLFRAAYLARSAKQKVTLVVPWLFKSDQELVYPNNITFSSPEEQETYIRTGCMKELVLKQILRYPFILTSSQKSTAALFLLGILLAILEEPEHLNWYHHGKHWTDRFNHVIGVVHTNYLEYIQREKNGAIQSFLVKHINNWVTRAYCHKNDLKGFMIDVYGNGEDSEAVKNVARKFDLSINFFKEKDHADDSLHRYKVFVNPSVSDVLCTATAGALAMGKYKSFPNCLTYRTLEEFVARFKEAMTTEPQPLTPEQRYSLSWQAATERFMEYSKLDKVLNRNGHPGRNGKINKARKILLLPKLSDVVDGGLTFSHHCLAGNEILRLATGAIPGTRDYDKQQCMDLNLLPPQVQHPVY >Sspon.05G0016180-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:64225827:64245017:-1 gene:Sspon.05G0016180-2B transcript:Sspon.05G0016180-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AtACDO1 [Source:Projected from Arabidopsis thaliana (AT4G31390) UniProtKB/TrEMBL;Acc:A0A178V5V0] MAVATSATRAPPLLCSAPHRDKERRQRKERAWSHTITTNRRAAYHEFANSSFSAPQRRRRAALYVMNAASTGAPISRQNITQLPRTNGAVIKSISSDKSSSAMEQLDIERGVCIPFRKYTPEMVRKRVLDSRGSIVSLASRGVEIVWKLGFYWSSLVYDFLVGRDEEIVPYRARQLRNLLCDLGPSFIKAGQVLANRPDIIREDYMNELCILQDDVPPVPNQVAFTIIEEELGQPLERLFSKISSETIAAASLGQVYRATLRETGEDVAIKVQRPGIEPIIYRDLFLFRTLASFLNGISLQKLGCNAELIVDEFGEKLLEELDYTLEARNIEDFLENFKDDPTVKIPRVYKQLSGSRVLVMEWIDGIRCTDPQAIKEAGIDVEGFLTVGVSAALRQLLEFGLFHGDPHPGNIFAMRDGRIAYVDFGNVAVLSQQNKQILIDAVVHAVNEDYAEMANDFTRLGFLASGTDVAPIIPALESIWQNSAGKGLADFNFRSVTERFSLVIRSLLTQEGICFTLKRDFKFLEVAYPYIAKRLLTDPNPALRERLIQVLFKDGAFQWKRLENLIVLAKENVSKMSSNPALKKNSSQAVRNRQLESKLDLTETIKDGARMFLIDAGIRRQLILAFTEDSKLHVEELVDVYRLVEDQIDMPSVALEVLQDLPSVARDFMLSWTDSILSDRQGCMELELKMNIPKSVGLIVPEVSSISVASGACQKRSRSAVVEYLFILRIFGNDLSIPIY >Sspon.04G0000510-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:2592495:2595356:-1 gene:Sspon.04G0000510-1P transcript:Sspon.04G0000510-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRRGGPAPATAVASPAVLGTVAVKALVYYSTVFVFLDHWVGLATPAGAAHAAAVSLAVAACFLAFVCAAGADPGTVPSAFAPDAEAAQGQGLKSRYCDKCCMFKPPRTHHCKVCKRCVLKMDHHCVWINNCVGYANYKAFIICVLNASIGSLYSFILAGVLLFFLSLTIGSLLCWHIYLLCHNMTTIEYREAVRARWLAKKSGQKYRHRFDLGIRKNIQMILGPNILCWLCPTATGHLKDGTDFQITNN >Sspon.04G0008830-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:26157674:26160619:1 gene:Sspon.04G0008830-2C transcript:Sspon.04G0008830-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription termination factor MTERF5, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G14605) UniProtKB/Swiss-Prot;Acc:F4JVI3] TNITLATSTLASLPGSPLLRCFVVPSRLPAMACLEAPPRLQLRPVTCQPRGLQLPPWRLSLPRSSSSCRSCILVSRQLPICNAQSYADDLWVPAPQSPTSVRSRLLAAEREEAKAVLSLFLRHKGLRSTLAARIVNKSDGFIEHLVSKLQIAYRSRYAEGRELSTPEIRDALIPYLEALSKEHGDSLVEVVENFPDPFCMEREALSSSMPFTPTSSNKQKAIARITTATSGGALPELVRYLLDLGMDHEEIKTIVRKFPAFAYYSVDRKIKPLVELLLELGVPKSSIPGIIKKRPQLCGISMSDNLKPMMVYLESIGVNKAQWSKVITRFPALLTYSRNKVETTVSFLTELGVSKKNIGKILTRCPHLLSYSVDDNLRPTAEYFRSIGADAASLIQKSPQAFGLNVEAKLKPITEFFLAREFSIEEIGIMANRFGIIHTLSLEENLLPKYEFFLTMEYPRCELVKFPQYFGYSLDQRIKPRYAQMTGCGVRLILNQMLSVSDDRFEKILEKKKTGL >Sspon.07G0007570-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:20252124:20255879:-1 gene:Sspon.07G0007570-1A transcript:Sspon.07G0007570-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein trichome berefringence-like 7 [Source:Projected from Arabidopsis thaliana (AT1G48880) UniProtKB/Swiss-Prot;Acc:F4I037] MLTLGSSRGGPSCVAARGGVAGPGSPRVSSAATAFAALRRRWRWAPSGASTLERAARAFLLASAALVLACALYLYVFRYVGWGGRRAFAAAGFVGDAGLGHGGEPCDVFDGAWVPDDTGRHPLYNSSECPFAERGFDCLGNGRNDTGYLKWRWKPRRCDVPQFAARTALERLRGKRVVFVGDSMSRTQWESFICMLMAGVDDPRTVFEVNGNEITKTIRHLAVRFASHGLTVEFFRSVFLVQEHPAPRHAPKRVKSTLRLDRMDNFSRKWVNSDVLIFNTGHWWTPTKLFDTGCYFQAGRSLKLGTSIDAGFRMALETWASWVQKRVDLNRTHVFFRTYEPSHWGDTSQKVCEVTELPSSEAKGNDKSEFGAILADVVTNMKVPIAVLNVTLMGAFRSDAHVGTWSYPPTILDCSHWCLPGVPDAWNELVFSYLLTNGEFLVMLMYTRELTDVRDKAAASA >Sspon.05G0010550-3C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:5C:21579972:21580517:-1 gene:Sspon.05G0010550-3C transcript:Sspon.05G0010550-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LVSVSAPHLLLLLVVVLAGYRAALASKTVDQTCAKATSGAPRKEQLVPFCVSSLQAAPGSDGADARGLAAIATNLTLANYTAAVATIKALERRGGWPERSRRALATCRQRYIEALNVVHSAVHALATGKLRDYVSDMGVVRKAATDCEDAFGGAGGNGKSPLRKVDSDADNLTVVAMLIVRS >Sspon.01G0041480-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:46030481:46031265:-1 gene:Sspon.01G0041480-3D transcript:Sspon.01G0041480-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTKCTARKSTGGKAPRKQLVAARKTAPMTGGVKKPRRYRPGTVALREIRKYQKGAELLIRKMPFQRVVREIAQLHKSDLRFQSHAILALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDVHLATRIRGERH >Sspon.02G0001830-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:5529653:5532006:-1 gene:Sspon.02G0001830-2B transcript:Sspon.02G0001830-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DGGLRRRRGRRRRQEAVPADAVADVPPPPGAPAGGVGGQVAAAAARGRAARAAAAGGGAVHDVAARGAAHVRGLHRGARHPARVPRRRGRAGRVHGRRAPPGAPGSAGRAGTRLRAPAAVHRRPPRRRRGRGAAAERDRPAPAPPRRRGWAGPGLRLRRLRRRPVRPLHRLRRRPQGVRRGGGPRSPLRRVQRERIVRGL >Sspon.07G0017250-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:62323947:62329782:1 gene:Sspon.07G0017250-1A transcript:Sspon.07G0017250-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPSGNNTAIVMEADERNAVPKEFGRAAEEATEVVPQSQHGVDAGVMNNSHDAVALPEEAAPVQPTNDSAGCPVVSHIMEDSNVAGDSGKNDYENTSCDFVEEQKEIPCVEEIARENSRMGEEERKETSYESPQLAIVECVQDIILMETEYDVEQKIVHPAVEDVATSNSMSPAPLGVPEPENAELNKHPYLANKDAEDIPMEVAQGGEAELEQISTSAKEGAEEHEEFSEVDHMGTTDAKMHLHLNSEVPEKIDEVERKEVDGTMRVTGRDSDKKLGDVPEVGYTEDENMRGLVVNTSDRKPSEVSQAEPAKVEDSKDLMEEDTDKSENVPGLDSTGLKETHGDLMKEGTNNTSENVPKLENTGLEGTRGPIEDNDGRLNEVHDVNAELEKRNVHESDIDRSEDITQIDPLTQDEARWLAKEETEDNATKVQEVKYEQLRNEALIQEDTKEKPCADSKDLSENVVDQSKEVDKLKQAEAEGCQVLMEKDMENTSDAFVLERTEDGHRKSLIETGINSHNEITLVEQLDGQSERLKRTGTEEIHGETIEAQEKEFHKDVAEDSNNSTNDKDVVEDSNNLINAEMPCSSATAVALEQDSRIIYNNMEATTVEGSHTLDSGLNSDLANVDETHAAWEIKNQEILDVDMVSHTLDSGLNSDLANVDETHAAWEIKNQEILDVDMQVAMDERQDLQAVTGNDKRNMLEDTDGSGVSYEEACKLGGDGVNTSGVAVDAREDKQHHGVGHANEKRILEDTSMINTGELKSNLTVMEASMAGLREGTLNQCAASLEKEEAVQEKHDQGVVDENTNRGSTDIDALECEGVNPDVAMKMFHETILTTEAVNVPGSKISSENKQKEAPFEEHSIT >Sspon.07G0027430-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:60184357:60185082:1 gene:Sspon.07G0027430-1B transcript:Sspon.07G0027430-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding WTPPPPRPPATTSRTRLAWTPSPTAYRPETRCGCTTGSRTAASPTASSPSTAGRSAGARRSVSAPASASTSSSPPPPPRASPTSLTPRRRQHSTID >Sspon.04G0009490-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:28099576:28101497:1 gene:Sspon.04G0009490-2D transcript:Sspon.04G0009490-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQFAFGAIVEQVVGLSPEAPLIRRLLEHYSTFMKGLARRKISLTLEEIMDERWKGDDGSFRKSAFIDVLIANSELSHDDKVTFVLDSLLAGYETTSVLLSMLVYFVGQSPQCLEQLKVQHESIRSSKGTDDFLTAEDYRKMEYSQRVINETLRCGNIVKFVHRKALKDVKYKGYVIPAGWKVLPILGSVHLDPALYKNPQEFDPCRWEGLDQTSSGKKFAPFGGGLRLCPGSELGKVEAAFFLHHLVLQYSWRLDGEDVPMAHPYVEFSKGLPIQIWCHQQSDTAPWFVGQL >Sspon.05G0034420-2D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:5D:53241983:53242840:1 gene:Sspon.05G0034420-2D transcript:Sspon.05G0034420-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDHGSTHPLLQSPAAGTAATTLAYAWGYQQQPGVPFDEHQADTGGGEHYQLDLTTAPELRRALVRALAELHASRAAHQAELRRMESEAARLAALVSSAAAERDELRRHCHSLLLLLHHQAQAQAVVAPPPHTPSLHVHAASVLSAGGGGGGGCTTAAAVIMNNENAPDAACADDSELEMALARRLPEKGRLVEAVVSAGPLLQTLLLAGPLPRWRHPPPPAPADIPPFNPGRHSPLKADASNNSFSSASAGSSSPESNCSGGPPPPPAPVAHALPSFHMSPFCL >Sspon.03G0007080-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:19247699:19247923:-1 gene:Sspon.03G0007080-1P transcript:Sspon.03G0007080-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MENGQQLQGDESSSSHALPSFPYFAVPSPPYAPPPSLPLPPSDDQHSTLITALQQQPSSASNNDDLPLHWGLIS >Sspon.06G0016320-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:70829518:70834077:1 gene:Sspon.06G0016320-3D transcript:Sspon.06G0016320-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding PPSPSLPLLLFLRRPPTSCSCSCYKYHHHHSDAAAPPRPVVPIELKPPAPTSALRCVAPEIAGHAAREQDLDSAVGDTMDVSYETCAHPSNSDLPSAVDAEQSDNGSSEHLGSAVVKGATENEASEQTDDECAGDEGSLGNVENIADKQENQEKIPMEETAMSDGTSITSLEDALEPNNDLPSEPEDMSNHTPDPSNDKSSNGNRNVFQSAKRVLTSTKKKTPSATTRKPLQSTNRGNQDDVKSSVGKTTVPSGPVFRCTERAEKRREFYMKLEEKHQAMEEEKIQLEAKLKKEQEEALKQLRKSLTFKANPMPSFYHEATPSPKAEFKKLPTTRPKSPKLGRRKTTTATTSMETSNSSSESEGATRPCCRANRDVLDSNCKCSGASRSKAQQATNAAKLAGPKKQQPKHRAHKIAGEGAINIAVH >Sspon.06G0025450-2D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6D:66556189:66558591:1 gene:Sspon.06G0025450-2D transcript:Sspon.06G0025450-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNLPNTSLTGSIPTELGMLRRLKALHLSQNGLTGPIPSAIGNLTRLEELALGYNSLSGDIPPGLLKNMHSLEMFSLTKNDLTGHIPPCLFNNTQSLRQISLRNNSLSGPVPHNLGSLPKLELLYLAYNNLSGIVPPTIYNKSRMQELYLSHNNFAGLIPKNQSFSLPLLEYFDLSENHFVGPIPLGLAACKNLETFILRDNYFVDVVPTWLAQLPRLTALSLSRNNIVGSIPAVLSNITHLTVLDMGTNQLTGPIPSFLGNFSKLSLLFLYKNNFSGSVPPTLGNIPALNKLTVGFNNLDGNLNFLSSLSNCRKLQVVDLSYNSFRGGLPDHIGNLSTDLFWFTANYNMLNGRLPPSLSNLSHLQVLELSSNLFTGDIPNSIIEMQELIYINVSSNDLSCPIPSKIGMLKSLQHFDLQGNKFFGSIPDSIGNLSVLEEIRFSSNHLNSTIPASFFHLDKLLTLDLSNNFLVGPLPSDFGGLKQVYFIDLSCNFFHGTIPESFGEIIMLNFLNLSHNSFDGQFPRSFRKLTSLAYLDLSFNNISGTIPMFLANFTALTSLNLSFNKLEGKIPEGGIFSNIMSVSLIGNAGLCGPPHLGFSPCLEDSHSKKRGLLIILLPAVTAAFVSILLCVYLIIRWKAKSKIDPGNAVRQILVTYHEIISATDNFSDNNLLGTGSLAKVFKCQLSNGLVVAIKVLDMRLEQAIRSFEAECHVLRMARHRNLIRILSTCSNLDFKALVLQYMPNGSLDKLLHSEGTSSSMGFLKRLEIMLDVSMAMEYLHHQHFQVVLHCDLKPSNVLI >Sspon.07G0018980-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:72727612:72736264:-1 gene:Sspon.07G0018980-4D transcript:Sspon.07G0018980-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAEEGGPVEEGVGESSSPPREDAAAPAPAGSGGGSGGGARDICAQVLERLVADGHAEASDPDFRDKLAAHFGRLPHSYQLDINVDKAADVLVHQNVLAEAKDPDRRPAFYVRFLRIEDMDPAYDSDASEEGDDDDDDLSVRQDTPYTHIHEVVFSTIDKPKLLSQLSALLSDIGLNIREAHVFSTHDGYSLDVFVVDGWPIEGSWSGSSHSSAAERTLPFQVKGGEWEIDKRLLKMGGIIASGSCGDLYHGTYLGEDVAVKVLRAEHLNKNVWNEFTQEVYILREVHHANVVRFIGACTKPPQFCIITEYMSGGSLYDFVHKQHNVLNLTTLLKFAVDVCRGMCYLHERGIIHRDLKTANLLMDKDHVVKVADFGVARFQDQGGIMTAETGTYRWMAPEIPYDTMTPLQAAVGVRQGLRPGLPKKTHPKLLDLMQRCWEADPSNRPAFSDILDELEDLLAHVQGTSGKTVQDPSNNSNTKD >Sspon.07G0002290-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:4957939:4959298:1 gene:Sspon.07G0002290-4D transcript:Sspon.07G0002290-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAITAPSSMEQIPLVQCPRANAGQQAGAAIPCVDLSAPGAAAAVADACRSVGFFRATNHGVPARVAEALEAHATAFFALPTQEKLDMSGAARPMGYGSKSIGSNGDVGWLEYLLLSVSTNTVKVSSLPPSLRAALEEYTAAVREVCGRVLELIAEGLGVDRSLLRAMVVGREGSDELVRVNHYPPCPLPPPVDCGVTGFGEHTDPQIISVLRSNRTAGLQIKLRDGRWVPVPPAPDSFFVNVGDALQVLTNGRFKSVKHRVVAPEGAQSRLSVIYFGGPAPSQRIAPLPQVMRDGEQSLYREFTWAEYKTAMYKTRLADHRLGPFELRATAATNTNSCMPPPPSADPHCNSSGTCLPPPPQQQVAEVH >Sspon.01G0060080-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:73657869:73666199:-1 gene:Sspon.01G0060080-1D transcript:Sspon.01G0060080-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTRAYKLRIPVIAEEFVAHASNVNCAKFGRRTSRILITGGEDLKVNLWAVGKPSALLSLSGFTSPVESVSFDSSEVTIGAGAASGTIKIWNIEEAKVVRTFTGHRSNCASLDFHPFGEFLASGSSDTNMKIWDTRKKRCIHTYKGHTRRINVLKFTPDGRWIVSGGADNSVKVWDLTAGKLMHDFRLHEGPVNCLDVHPHEFLLATGSVDKTLKFWDLETFELIGSSGPENSREYFEPASVIRSMKFNSDGKTIFCGLHESLKVLSWEPIICHDVVDVGWSTLADLTVDEGKLLGCSYNQNCVSVWVVDLMRNEPYVDNSAGPRLNGSVNRLIESDDSCLEGYQVPEAQGLSKPPGKRDLQLTRSVSTPLLSPRVRLNPNFIDRRKNQPAAAVPLPEPIVRFNVNLSSDAGMLSRSSHASAAPMYRSRSNSSRYGIMGSSSVPVLALRHSSRKDAGPDLSEAATVELADIELQNIEKVGLAAKHGKEDGKLVPVIVSRSSKMVEEVGCRRITNDVGYKNVALENSLRVNSDIDYRRIAPESQEVQQLIPLSEPISSSQRKFMRESSGAGDNNCSGLMCTESVESNEVGSWYSVTSFDKWNPAAARNPEFASIHRSQLMESSGKHAVDDRPSSSNYDSIQYVTTLYSSRLRPSLSGKLSASASDEDDMSGLMENHQEFIHVMKSRLTKLEVVYRCWQRNDIKGSIDATWRMLDFAVTTDIVNALMENRNCITLDVCASLLRLTASLLESTYDSLVKSFGSTISSALSATPPVGVDLEAEQRLERCNLCFQELKNVSASLKLLTRRQGEVGRSAQELNLFLQDIFQLSSV >Sspon.06G0015160-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:83253827:83259260:1 gene:Sspon.06G0015160-1A transcript:Sspon.06G0015160-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKISVAVRFRPPNPAAADPSPAGAGGGGDREWRIDDTRVSLLHRAAGPVPGASFAFDHVFDGAATNERIYGALVRELIGAVVGGFNGTAFAYGQTSSGKTFTMNGSDADPGIIPRAVRDVFDTVRQADDREFLIRVSYMEIYNEEINDLLTIEGQKLRIHESLERGVYVAGLREEIVNSAEQVLELLQLGEGTFLSLRHFGETNMNVRSSRSHTIFRMVIESSGKDQTDSGDAIRVSVLNLVDLAGSERIMKTGAEGVRLNEGKYINKSLMILGNVINKLSENGKQRGHIPYRDSKLTRILQPALGGNAKTSIICTAAPEEIHIEETRGTLQFASRAKCVSNCAQVNEILTDAALLKRQKLEIEELRKKLQGSHSEGLEQVVLKLRNDMHKSELERDRLAMELEEEKKLRVTLEQHLTEQQKKLEAISSDHFTDSIQLDALKTPDSKSVPDGFVACRSRYSNNVEFSPLPENLDNIAHEDLWTRLNKGCVTDLDMLEMTPGLKREASFIQDTSAVPLEEPTDTRCHRLEKECISDRQQLEESKAQCANLEKERDVLRDQNLSLQQELSESKREADSLVAEKQAQLDDVRAKCAAFEKDLYTARQEAHLLATEKQELAGELGTERQKLDELKQDIRVISRGFLQREGQLTSLYTKSKAILENCKTSQTVFEVIEYNLY >Sspon.02G0025300-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2B:88884962:88885492:1 gene:Sspon.02G0025300-2B transcript:Sspon.02G0025300-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding QNGPETCSDICLGRLAVGDHRSARRCEQRIPHRPARRHGVATRAKKRRLQEERLADRISSLPDDVLDIVSLLPTKDGARTPILSSRWRHLWCAAPLNLSPVGCACGIHVSEVSRILAAHPGPSRRFSMPECCLSYDDEYSETTLDGWLRSPSLDDLQELEFQPYPRLLLPPSVYRF >Sspon.06G0021750-2D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6D:20493410:20494375:-1 gene:Sspon.06G0021750-2D transcript:Sspon.06G0021750-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLTVPPVPTWPRQDAIDLHKAFRGFGCDSTKVISILAHRDAAQRAAIQQEYRAVFNQDLTRRIASELSGNHKRAMLLWVLDPATRDATILKQALTGDVTDLRAATEVVCSRTPSQLRVVRQAYRARFGCHVEHDVTERTSGDHQRLLLAYLAVPRAEGGPGEVVDASTVALDARNLYRAGERRLGTDERAFIRVFSERSWAHMAAVARAYHHMYDRSLEQAVKSETSGNFCFGLLTVLRCADSPARYFARVLHKAMKGLGTSDSALIRVVVTRAEIDMQYIKAEYHRMYKRSLADAIHAETSGNYRTFLLSLVGRDRTY >Sspon.02G0018300-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:84655914:84662214:1 gene:Sspon.02G0018300-2B transcript:Sspon.02G0018300-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VMGLLGGFLALLLLVVSPALAQLPSQDILALLAFKKGITHDPAGYITDSWNEESIDFNGCPASWNGVVCNGASVAGVVLDGHGISGVADLSVFANLTMLVKLSVANNNLSGSLPSNVGSLKSLKFMDVSNNRFSGPVPEGIGNLRSLQNLSLAGNNFSGPLPESIDGLMSLQSLDVSRNSLSGPLPAAFKGLKSLVALNVSYNAFTKGIPSGLVLLVNLQSLDLSWNQLEGGVDWKFLIESAVAHVDFSGNLLTSTTPKELKFLADISETVLYLNLSNNKLTGSLIDGVELSTFGRLKVLDLSNNQLSGDLPGFNYVYDLEVLRLANNAFTGFVPSGLLKGDSLVLSELDLSANNLTGHINMITSTTLQVLNLSSNALFGDLPLLAGSCTVLDLSNNKFRGNLSVIAKWASDLEYVDLSQNNLTGTIPDVSSQFLRLNYLNLSRNALSETIPEAIVQYPKLTVLDLSSNQLRGPMPADLLTSSMLQELYIQDNMLSGVLSFPGSPSKNLSLQVLDISGNHFNGSLPDDIASLSSLRVLDVSTNNFSGPLPAAVSKLGALTDIDISTNQFTGPLPEDLPDSLLSFNASYNDLSGVVPENLRKFPESSFHPGNSKLEYPAGSSGSGNSPSGSGGGKSLSTGAKVAIVAASIVVLVILILIAIVCHYKRISRQFPSSEKVSDKSLHRATKDSAVMKGKDNKGGLVSADELVTPRKGSTSEALSQEEKSATGGFSPSKGSRFSWSPDSGEAYGQEGLVRLDVRSPDRLAGELHFLDETITLTPEELSRAPAEVLGRSSHGTSYRATLENGVFLTVKWLREGVARPKKEFAKEAKKFANIRHPNVVGLRGYYWGPTPHEKLILSDYVAPGSLASFLSDRPGRRGPPLTWAQRLKIAVDVARGLNYLHFDRAMPHGNLKATNILLDGLDLNARVADYCLHRLMTQAGVVEQILDLGVLGYRAPELAASKKPSPSFKSDVYAFGVVLLELLTGRCAGDVVSGSEGGVDLTDWVRLRVAEGRGSDCFDAAMASDSENPQAVNGMKEALGIALRCIRPVSERPGIKSVYEDLSSI >Sspon.01G0026380-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:34073960:34076119:1 gene:Sspon.01G0026380-1P transcript:Sspon.01G0026380-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding FAQELLTVVTLMGTGKLGDLGTRALVDGLMAIEGVGVIGGEEPQDDRKEKLMDNMLFTHEANADQFDLLSYGNLRGVDASRNSEESSFGNDLKDGSSVSSENFNSSCLPGQNYQSATIDHEKRPLSDVKPCQVACKRPKQTDHHTWLYSFEEDPLTSEVGISSPALADGLVETKQPNDIPAINGGTTCSGSSDTPCLNHDQSVLVESLDVPDWATSFPGYFEDCGPVATYNHVDDIGSPVHEYLPRKGVPIGPEHQADIPEWRPRTSMIVPGASEFCADLDCSSASTSESVPTGDDKWIRYCVVPMKAAHLLLTGLDTTK >Sspon.04G0032540-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4C:27977712:27978269:1 gene:Sspon.04G0032540-1C transcript:Sspon.04G0032540-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQCTARPSARVRAASCRPAANSGSYVGNELKKQNWRRNSFHQSCLVSKNPNELKKQNWRRWRIHTKLRCYPGALANDATAEQTDSTFPRRWRGCDGSAAPAGRPGHATHRLRPPATRRPGAARRPRDAPAPPASRATPRSPVPAARRPASARRPGAARPGVARRRLCCPSPCPSPPIRFGPVAVE >Sspon.03G0017660-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:54951665:54956069:-1 gene:Sspon.03G0017660-1A transcript:Sspon.03G0017660-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAGVAPSGYKDSTSTSIGVEKLQDQMNEIKIRDDKEVEATIINGKGTETGHIIVTTTGGKNVSYMAERIVGQGSFGIVFQAKCLETGETVAIKKVLQDKRYKNRELQTMRLLDHPNVVALKHCFFSTTEKDELYLNLVLEYVPETVHRVVKHHNKMNQRMPLIYVKLYMYQICRALAYIHGTIGVCHRDIKPQNLLVNPHTHQLKLCDFGSAKVLVKGEPNISYICSRYYRAPELIFGATEYTTAIDIWSAGCVLAELMLGQPLFPGESGVDQLVEIIKVLGTPTREEIKCMNPNYTEFKFPQIKAHPWHKVFHKRMPPEAVDLVSRLLQYSPNLRCTAVEALVHPFFDELRDPNTRLPNGRFLPPLFNFKAHELKGIPADNVAKLIPEHAKKQCSYVGL >Sspon.07G0013790-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:56003856:56007637:-1 gene:Sspon.07G0013790-3C transcript:Sspon.07G0013790-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVAGIGIGSWPGAGATTTKLLLPATACLWALSCALLLLRASSASPHAHGLLRVGLSKRGLDQHALQAAKVARQEDSLRRLGASAGSTSTSGGGDVPLVDYLNTQYYGEVGLGTPPQNFTVIFDTGSSNLWVPSSKCYLSIACYLHPRYKSAKSSTYKKDGETCKITYGSGSIAGFFSYDDVLVGDLTVESQKFIETTRESSITFIIGKFDGILGLGYPDISVGKAPPIWQSMQEQNLLAEDVFSFWLNRNPDAESGGELVFGGVDPDHFKGNHTYVPVSTKGYWQFNMGDLLIDGQSTGFCGKGCAAIVDSGTSLLGGPTTIIAQVNEAIGAAGIISQECKEVVSQYGEMILELLIAQTSPERVCSQVGLCLFDGAQSVSEGIESVVGKENLGSDVMCSACEMAVVWIENQLRENKTKELILQYANQLCERLPSPSGESTVSCEDISTMPNLAFTIANKTFTLTPEQYIVKLEQGGQTVCISGFMAYDVPPPRGPLWILGDVFMGAYHTVFDFGNDRIGFAESA >Sspon.01G0058210-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1D:23002809:23003350:1 gene:Sspon.01G0058210-1D transcript:Sspon.01G0058210-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GTFDLSLSVSPSGKKIAMASFQGKTWDGEIKDLKTNIYVTSLESPSLERKRVIENGGWPSWGSENVIFFHRKVQDIWGVFRYNLSTGETVRVTPEAFDAVTPAAIGETRVAVATIRQKSEFTDVRKEAQYRHIEIFDMSAQERPLQITQNTRAKADHFNPFVMDGGKYIGYHRCKSDLLK >Sspon.04G0011840-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:36556957:36567543:1 gene:Sspon.04G0011840-3C transcript:Sspon.04G0011840-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTTTCVGLAFLPARQVGSKGSHHKAAGASAAAPPPVLIGGVLESKPVLARRLPCFSWSHRVRTWAIGASAAATRSATTVRQELLDEEGNQIISRRNVSNFEPSVWGDFFLTYSSPLASSTQLLTRMIERANHLTRNVSKTISASSNCSLYERMQLINVLERVCLDHLFKEEINVILSEIYKTNDVSGSDLQTTALWFYLLRKHGYQVSPDVFAKFKDEQGNFAANNPLEVLSLYNAAYLRTNGEIILDEAVSFTKRSLESVLTNLQGPLAREVKCALEIPFPRRVRIYEAKYYISMHGQVNEVIMELAKLNYNIVQLQYQRELRIITSWDRMMADGLPENMKFIFGKIMETYQSIEDELSPEEKYRMPYLKNFIIDLVRAYNKEVKWREEGYVPATVEEHLQREKMTHHVASTIESCMKEHKVPVHVAREIIQDMIEETWKDFNEEWFNTNNHMPKELLERIFNLTRTMEFMYKQDDAYTNSHVIKDTISKLFVENVPMI >Sspon.07G0011140-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:37813052:37820418:1 gene:Sspon.07G0011140-1A transcript:Sspon.07G0011140-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDGDSVVRSVDKAGAAPGDDGSATPLPETVQIGNSPTYRLDRKLGKGGFGQVAIKFEHRTSKGCNYGAPYEWQVYNMSVEMVACIAIEAISILEKMHSKGYVHGDVKPENFLLGPPGTVEEKKLFLVDLGLEPNYAKCISLFDGIVGPNPDIRPINTDGAQKVGQKRGRLMMEEDDDEQPKKKIRMGMPATQWISVYNARRPMKQRYHYNVADSRLAQHISKGNEDGLFISSVASCSNLWALIMDAGTGFTSQVYELSPYFLHKEWIMEQWEKNFYITALAGANNGSSLVVMSRGTQYAQQSYKVSDSFPFKWINKKWKEGFYVTAMATAGSRWAVVMSRNSGFSAQVVELDFLYPSEGIHRRWENGFRITSTAATWDQAAFILSIPKRKPADETQETLRTSAFPSQHVK >Sspon.07G0034520-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7C:74470152:74470275:-1 gene:Sspon.07G0034520-1C transcript:Sspon.07G0034520-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVYVSTKQRAAAVEHEARTAAAVELEQQQQQSWSKAENRS >Sspon.05G0026020-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:37282086:37291050:1 gene:Sspon.05G0026020-3D transcript:Sspon.05G0026020-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWDWKPDTEAKKGPSPEDARTPGAFPVYIYRTHDIPFANLAVIDPATKAAIRWRAAASADRCGEAKGEPRPASGWRELSGESPESCGGQRPGAGAMGGYELVRSDDAPAAIAVDLEAGGTTAPCDNGGSTPSPPAPASTRQRLVSLDVFRGITVLLMIIVDDAGAFIPAMNHSPWDGVTVADFVMPFFLFIVGVALALAYKRVPDKLDATKKAVLRALKLFCLGLVLQGMTKAAMFLQLTKGTLVEMKMRFDAFLLLHYAGGFFHGVRSLTFGVDLQEIRLMGILQRIAIAYLLTALCEIWLKGDEDVDYGYDLLKRYRYQLFVGAVVAITYMSLLYGTYVPDWEYQTSGPGSVEKSFFVKCGVRGDTSPGCNAVGMIDRKILGIQHLYGRPVYARSKQCSINSPQNGPLPPDAPSWCQAPFDPEGLLSSVMAIVTCLIGLQYGHIIVHFQKHRERIMNWLIPSFSMLVLAFAMDFFGLHMNKPLYTLSYTLATAGAAGLLFSGIYTLVDVYGYRRPTVAMEWMGMHALMIYVLIACNILPIFIHGFYWKEPKNNLLKFIGIGA >Sspon.03G0011440-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3A:31095352:31096128:-1 gene:Sspon.03G0011440-1A transcript:Sspon.03G0011440-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding PPKPPPPTFAAGSSSPKPPPPTFNSHPSYEELMDSYLRHRVVSGTKVGFIHEADLYSADPYQLTQNHLPATARSGERAWYFFTTLRPKGSSKTQMKRNVDTGREGTWVSAGVVNNVLSNGQLIGRRRGFAFHDKVGGNLVKSAWRMMELRLDPNEGGQEEGPSDLLALCKVYRIPNAKAEDESSMAVAKADAEGPAASAVVTPGPKGADEEIGAETAAGPGRKRKAGDKDSGAETVASSPGRQKKADGDGESADAATTG >Sspon.04G0005630-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:12042758:12046906:1 gene:Sspon.04G0005630-2B transcript:Sspon.04G0005630-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPVYFTWISISGIGIPSQRCSLPLEDGFEMGCRVFLLNILLDEVFTYSQISKETCTLKLLSKDGLQQLVSEQRVGYLVTRAKMIAGGGYFDGSHDHILMEGSMVHDSSQSSIYDNTDVEQQNFRLAPFIIEDHSNPANLTSEPARVIEQIQHQLGIDMEQDHKTANLVPAVYDVQDHILSHQIEGPHNITVEQQVLDYDPASYRNGTYAAAHDLLNSLQIQRCSLIPEFPSTEHIFSDPAQNMVNHLDITNDLQGVAIHESGMMFSDSTLPLGYHTTQSHMLKDLYHSLPQNYGLFISDDERDGMVGVAGVSGNIFQEIDGRQFNSPVLGSRRQKGGFGKGKGKANFATERERREQLNVKYGALRSLFPNPTKNDRASIVGDAIDYINELNRTVKELKILLEKKRNSTDRRKILKLDDEAADDGESSSMQPVSDDQNNQMNGAIRSSWVQRRSKECDVDVRIVDDEINIKFTEKKRSNSLLCAAKVLEEFRLELIHVVGGIIGDHHIFMFNTKIPKGSSVYACAVAKKLLEAVEIKKQALNIFN >Sspon.01G0042420-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:65427823:65431757:-1 gene:Sspon.01G0042420-1B transcript:Sspon.01G0042420-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:K Homology domain containing protein, Nuclear RNA/DNA binding protein of the STAR (Signal Transduction and Activation of RNA) family, Flowering time contro [Source: Projected from Oryza sativa (Os03g0815700)] MSGLYSQGFSPAQNLSPQIRNNPDVDSQYLAELLAEHQKLGPFMQVLPICNKLLSQEIMRVSSTVHNHGFGDLDRHRFRSPSPMSSPNPRANFPGNGFSPWSGLQERLGFPQGTSMDWQGAPPSPSSHVVKKILRLEVPVDSYPNFNFVGRILGPRGNSLKRVEASTGCRVFIRGKGSIKDPGKDESQDFYKRQQLRELAMLNSTLREDSPHPGSVSPFSNGGMKRAKTGQ >Sspon.05G0005420-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:11543664:11550376:-1 gene:Sspon.05G0005420-2B transcript:Sspon.05G0005420-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALLPLLLAHLLLCADGATASSAATPPPLPVLPVPSYAQLRWQLSEMALFLHFGPNTFTDSEWGTGRADPSVFAPSALDAGQWARVAAQGGFGRVVLTAKHHDGFCLWPSALTDYSVAASPWRGGAGDVVAELAAAARAEGIGLGLYLSPWDRHEPVYGDTIAYNEHYLGQMTELLTRYGDVEEVWLDGAKGDAKKMNYMFDAWFSLIHQLQQRVVIFSDAGPDTRWVGDEAGVAGYTCWSSFNKSSVTIGHTIAEYSRSGDPFGQDWVPAECDVSIRPGWFWHASEKPKNATTLLDIYYKSVGRNCLLILNVPPNSSGLIANEDIQVLQEFTEIRRAIFSQNFAANATVTANSVRGGQDNLQFAPSKVLEDGIYSYWAPQEGQTCWEMLFDLGQSTSFNMLQLQEPIQLGQRVIEFHVAILIDELWQTIVEGTTIGYKRLLLFPVIESRYLKLTIDSARADPLISFFGVFMDPFSSRHSLQNHVKPARTNSSEVTMLRMTHASVNKSVAAMYQGLNEANVHFISFEPPWMFAEKYGFYVLPPCFACAYTAAPSKISDSSRRCHCRRRPSPSLPANMSEQAPPYAPLPLVSSLAPDPSSTPSPNPTLVLPNPAFPNKRKRTGFRRKVPSGSPAPSPVASSQPPPPTSAADDIIVINREPTAEAITALTAGFPADSLTDEEIEAGVVSDVGGIEQVNYILIRNHLLCRWRETYNSWLAKEPFATLIPPHCEHLLTAAYSFLVSNSYVNFGVAPAIKERIPKEPTRPTTVVVVGAGLAGLAAARQLVAFGFKVIVLEGRKRCGGRVYTKKMEGGGRLAAADLGGSVLTGTFGNPLGIVAKQLGLPMHKIRDKCPLYRPNGSPVDPEVDKKVEITFNKLLDKSSNLRASMGEVAVDVSLGAALETLRQADGGISTQEEMNLFNWHLANLEYANAGLLSRLSLAFWDQDDPYDMGGDHCFLPGGNGKLVHALAENVPIVYERTVHSIRYGGDGVQVVVNGGQVYEGDMALCTVPLGVLKNGGIKFVPELPQRKLDSIKRLGFGLLNKVSMLFPHVFWSTDLDTFGHLVEDPRRRGEFFLFYSYATVAGGPLLMALVAGEAAHNFETMPPTDAVGSVLQILRGIYEPQGIEVPDPLQSVCTRWGTDSFSLGSYSHVAVGASGDDYDILAESVGDGRLFFAGEATTRRYPATMHGAFISGLREAANITLHANARAAKSKVDKSPSTNTQACAAILTDLFRQPDLEFGSFSVIFGGKASDPKSPAILKVELGVARKKNATEGVKTEQNHSNKLLFQQLQSHFNQQQQLYVYTLLSRQQAMELREVRGGDDMRLHYLCEKLGVKLVGRKGLGPGADAVIASIKAERNRSRTRPGPSKLKKSLKPNVAAS >Sspon.03G0002020-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3B:12979526:12980613:1 gene:Sspon.03G0002020-2B transcript:Sspon.03G0002020-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQNGILLLNSSRLCTSDRFEAVLFTASATDATATHARTRAPVRQPRLSVHDLGTSRRSGPRTHTRRVPMEVETDGTQEATAGAGDGGVHDVFFCVAATPRGNRNNISCFHTNAVGKDAKSALELAELCLGHAPEHHRWHHHTVDGARTFAFLSADDGCTYFAAADPTPGAAEVVRFLERVRDACDAAPRKRLRDEAVAPVARQFARTLLRTAAGPSSGVADAELPGASLAPACEKDEDHQRAGERRRALQPGESALPGWRSWWRHAAVVIVVDVVLCLVLFAVWIG >Sspon.07G0021690-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:3933667:3937349:-1 gene:Sspon.07G0021690-1B transcript:Sspon.07G0021690-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPKAAAKSKRSHTVHGRRVHQTPAAAAAHRQKRAAAAATSSGPRSRNLPSNWDRYDDEGEAEDSAAVGEWTGEVAPRSKGADFGFLLEQARAQPPRGRDLSAPCFPSQDLPFDFMQASTSMLEAKGEGILSWCADDNFILEDDLAPDFEVPFLSMDLNALANHLSKIKLSRRLFIEEDLFPEDMADASEDNEIAIELDTSVEIDAKGSSVGHNLNFEPRKGTSHHEYAINICSDDQMKTERRSQCYAQETTASPKINTHTVNSDSEEDKTYKQIMDTDPGMSHSKGLKFEVGAAEEELDMLLDSFSGTHFSSSNLDEPFEHVSTLQEISRSNEKVEPSLSSRPLDDALDDLLSETSHTAQNEGFATQSTTSQPTADSGQNIDLRYAKKIDVTPSIDDSVDDLLEDTSSCLNEPKQITTAQASNSTPVDSVPLHSGPLNASASDDFDSWFDSL >Sspon.01G0043370-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1C:69699816:69701690:1 gene:Sspon.01G0043370-2C transcript:Sspon.01G0043370-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAPPTSGSLRSCTTGVIRQSSPIPQLPRARSRQPCMAKPSASAAAAAALRACLGVAFALLLLLASSADLSSPSVVVANEEVRGHGAAAAGVRSNAERCRDDAAPGSDGRDRNPRGGGGHVDYLYLFYCVLGGDRRPFLGYAALAAWLAVLFYLLADTAATYFCASLEGLARLLGLPPAIAGATLLSLGNGAPDALSAIASFASGEGGGGASPTAAAAVGLSGVLGGAMFVSSAVLGIIAVRLGGQGIAVHRASFFLDAAFLLLALVAVAVVVAVGEVTVWAAAGFASLYLVYVLAVAFTPDRWSQRHDALAEDDHASAAAFSELHNVTENKFYTDQEARDPLLPDTAPLLQYYAGESNRSSSTQSVFWTVLRVLELPLSLPRRLTIPDASKERWSKPTAVTAATLAPVFLSLLWSHRATGSAPFVAVLLGSLAGLALGLTAFLTTDPSAPPTRFLGAWLAGGFAMSVAWAYVIANEVLSLLVSAGTILSVDSAALGVTVLAWGNSISDLIANVAVASRGGGGGGGGAQVAVSGCYGGPVFNVLVGLGLSMLLSCWSGYPRPVEIPRDPGLYRTLAFVVVGLLWAVVMLPRRGMRVDRTLGIGLLVIYFCFLCINISQLKNAR >Sspon.03G0033630-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:52711385:52712720:1 gene:Sspon.03G0033630-1B transcript:Sspon.03G0033630-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable pectinesterase 67 [Source:Projected from Arabidopsis thaliana (AT3G17060) UniProtKB/Swiss-Prot;Acc:Q9LSP1] MARTPCLLTFFLLAAAAVLTWAPGGALGKSKLAKKSDDIVNGPLLTEKIKAKRTLIVGPDEEFKTVQSAIDAVPAGNAEGKVVIPENKPFIFVRGNGKGRTSISHESSSSDNAESAAFTVNADNVVVFGVSFRNSARVGLVNDPEIRSVAAMVAGDKVAFYHCAFYSPHHTLFDSAGRHYYESCYIQGNIDFIFGNGQSIFQCPEIFVKPDQRTEIRGSITAQVREEEDNSGFVFLKGKVYGVGEVYLGRVSAPDSRVIFSDTYLSKTVNPAGWTTIGYTGSTDKVMLAEFNCTGPGADASNRVPWSRRFSQNDAAKYLTIDFINGKDWLP >Sspon.04G0006900-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:19386271:19386672:-1 gene:Sspon.04G0006900-2C transcript:Sspon.04G0006900-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AGRVRGVPAPQVRDPRQGDPDPRRVRDQRAGGRGVRDRHHLRARVHRVRHRRRPQEGLPRHHRAHRHRLHRRRQHPRRGPLQRRLHEPGPLLRPRRRRGQLRRQLGLLGRPAHRRWPCWSRLRRCFHRWLLPAG >Sspon.08G0017050-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8B:61919106:61920639:1 gene:Sspon.08G0017050-2B transcript:Sspon.08G0017050-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPYPVLQQQVHLGYACKPTATASVHHHGHGNPKADAVAQSLRRGAPADARGLRALIKALPASSAAAAVAVHAHATKLGLDRERTVRNGLIALYLACGERAAATALFDAFPGDGPDVVSWTAMVTGHARLGLARDAVALFFAMLDLDDGVSVDAVAAAAGFAACAEARDLALAREAHRASRRRGSRSMSWRGTRSWTCTPSAATWPRRAGGSGGCQWPRPSCPGTPCSRRSHAPASMARRWPCSGRCSAPGCARTARRSWPSSACAQLGALDTGRWVHAYMRRQLGCDADGVVGNALLDMYAKCGAVDQAAAVFDGMARRDVYTYASMIAGLATHGRAEEALALFSAMRRAGVRPNGVALLGVLSACCHAAYGCAIDMLGRAGRLDEAEALVAAMPVPPDALVRGSLLAACRACGDVERAERVMRWMLAVDRSGGEAGDHVLMSNMYASKGRHGRALQLRKQMRRSKIVKDPGCSSIEIDGVVHEFQA >Sspon.01G0047820-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:105954826:105958841:1 gene:Sspon.01G0047820-1B transcript:Sspon.01G0047820-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VLAIVCVPQPTAHLIWTGIHSQFRDNELHRAVYLEAEFRNLVQGDMNITQYTGRLKHLADALRDVGQPVRETSQVLNMLRGLSSKYRHAIPAITAKQPPHTFLSARSYLLLEEHYDKEQAKTAAHQALVATGAAHSSSTSSPDTGDGGSSSTTTPAPARSPAVGHGTPPRHDNKKGRGRGRGRGGYQHSGSGGSSSSAPRPLAGWAPGVNPWTGMVQAWPMPFRVPGAGVLGSHPSASPQHAYFAGSPPLPPPGAVQQHSPPPDVWNNQALLAALATSGIPPSGPQAAEWFMDTGAMSHMASNAGIFPTSQPLSNSPPITVGNGNLLPVTHRASTAIATSHSPFLLKDILVSPSLVKNLVSVRSLTRDNNVTVEFDPFGFSIKDIPTRTVLLRCRSAGELYPLASTSPSALVATTPSVDLWHLRLGHPGRQSLSQTLHQLDFNCSKSMSLLSGLSVCLLIHAGMPSSFWVEALSTATYLINRRPCQATGRLTPFQLLLGAAPEYDHLRVFGCLCFPNLAATTPNKLSARSSACVLLGYRCFDLSTRKVITSRHVTFDESHFPFRTAESASESVIPATIACPTSETLIIQQPHLTPPVHQSASSGSSLSPSPSVPSSNPPASGSPLPVQGNGSSVPQIHPMITRARAGVFKPNPRFALTTASDPSAPSDATSAISPLPSSVRVALRDPHWHAAMEREYSALQANRTWRLVDRPAGAHVISGKWVFTHKLKPDGTLDRYKARWVVRGFTQRAGVDFGETFTSVVKPATIRTVLTIAASNRWPTSQLDVSNAFLHGNLREHVLCQQPTGFADPARPNAVCLLDKSLYGLRQAPRAWFDRFATFATSLGFHPTRSDSSLFVLRRGADVAYLLLYVDDMVLTGSSSALLQRIIDRLRAEFAIKDLGELRFFLGIDVKRTTQASICRSSGTLKLFSIGKLSADGAPINDATSYRSLAGALQYLTVTHPDLAFAVQQACLHMHDPRVPHQALLKRILRYVRGTSSMGLHLCGSHNLSITAYSDADWAGCPDTRRSTSGFCVFLGDALVSWSSKRQPTVSRSSAEAEYRAIANAAAECIWLRQLLTELQCAVTKATVAYYDNVSAVYMSANPVHHRRTKHIELDIHFVRERVQHGGLRVLHVPTSEQYADIMTKGLPTSTFAAFRSSLCVLAPTHETAGGVDMHCNGIDPGSLY >Sspon.06G0018290-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:82066655:82074309:1 gene:Sspon.06G0018290-2B transcript:Sspon.06G0018290-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIAKKVGTIQHPLHLHSRLTTAASPSLSSFVPSLEKPRRATALPLITMATTPEEFFIKDLMEQQPASPPVFLDLPQKTNVSNVVRHHVYNNDMMLPYISHMLMEDDIDDELNDHPALLQVQQPFAQILSSHSLGTNTNNSEGPNKFLHEGHGDESALNSPLSKGSCVLGAYLKGMEEANMLLPKNNIFRRDELVMNQIRESNITDGRVRKRYNRDHLIDEEVRSTNKAVMMSKEPEEKHGNEMLDEMMLHAYETCIKGMEHVSIDNSDMEKRNMKSGRIKVARDNMVDIRRLLISCAQSLAADDDMTTRELLKQIKQHASATGDTTQRLAHCFAKGLESRILGTGSQLLQLLMLEYPSDIEFLKAYKLFSEACCFINVMFIFSAMTIMQAMAGKSRLHIVDYGTRFGFQWAGLLRLLASKEGGLPEVKITAIARPKPMCHPGEQIEKIGCRLVKCAHELGLPSFKFHTIMKNWEDTSIIDMHTDADEVLVVSDIFSFSILMEESIFFDDPSPRDIVLHNIKKMRPDVFIQNVMNRSYGASFLSWFRETVFYYMALFDMLDATIPWESKSRLWLEKVLLGCHAFNGISCEGMDLVEIPEKYRQWQKRNQRAGLRQLPLKSSIVNVVKDEVMKHYHKDFMISQDGQWLLQGWMGRVLCAHTTWPPACPPVFPDPPQEPNGSSEGQHHVPNAMMLPYIARMLMEDDDGDNNLNDHPALLQVQQPFVQHVHGQQGGAQRFFCMKDMVFALIQRYICGGGIVLGVHGRSKHVLAQRQNFTKDEQVNQIWESNVIGSRIRKRYNRDHLVEEVRSTSKDMMMIKEPEEKCGNEMLDKMMLHAYETWIKGMDVSPWTIVAQIKETRRGLGENDHMRAHELLMQIKQHTSTTGDATQRLAHCFTKGLEVRIGGQREPNLAVAYRPSVVEFLKAYNIYTKVCCLLNVTFIFSAMTIMQAMAGKSRLHIVDYGTRFRFHWAGLLHLLASKEGSPPEVKFTAITRPKPVYYPSEQIEKIGCRLMKCAHEFGFPLFKFHTIMRNWEDISIMDLHTNVDEVLIHLDGREHILRFSKPRDTVLNSIKEMRPDVFIQSVLNRSHGSSFLSQFREMLFYYMAVFDMLDATIPRESKSRLVLEQVLLGHYFFNDISREGMDLVERPEKYRQWQTRNQRAGLWQLPLKSSIVKAVEDEVTKHYHKDFMICQDGQWLLQGWILSSLPTRNG >Sspon.01G0032450-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:105028574:105035257:1 gene:Sspon.01G0032450-2B transcript:Sspon.01G0032450-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKGAKATAAKSADKDKGKKAGGPVSRSSRAAPQAKVGVPRLEMVLGEKSAPKKDVYQLFAEKVRDNKQLESRWAIMQETRVEYFRGKDFNSFIRNHPEVREILGPDKDLEVEDIVNTLLTKNLVIRCDRVMKTVRPGKKKLSSWPAHLEIHNEQVFTENDGFFAWMFLKRRTLWQTVLSFVWPLFALAVCLFPVYPYQCKIVVLYSCAGALLFIVSILLLRATIFGILWVLLGKRVWFFPNINAEETTFRELVRFWPEKDEGERPKYQKKVSNIIDDVLEWSPKLAISGMIEKHTGANITEESNYTSRAASSHVPPPSTKGPDMDADGDTEANLDETQDNEYADDTRSSEA >Sspon.01G0049550-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:113402057:113406080:-1 gene:Sspon.01G0049550-1B transcript:Sspon.01G0049550-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitotic checkpoint protein BUB3.3 [Source:Projected from Arabidopsis thaliana (AT1G69400) UniProtKB/Swiss-Prot;Acc:F4I241] MGTGLRLYDADEGTLRVNAQSEAALLDFCFEDEYSAFACGSDGSVRRYDFHSGSQDTVGHHEDVLACIEFSLMTGQVMTGSLDKKLMLWDLKTRNVSPSGTIILDSDVASLSICGMYILAAVARNVYFYDMRNLTTPVNEKDCPLEYPIRCLHASPDWNAYVAGSVDGAVALKYLDRGTDRDLGYAFRCHPKSRNGRSNLVPVNCIAVHPCKKTFVTGDDEGCTIAWDAHSKKKLIERLLSQLPTYLGSVASMAYNYNGQLLAVASNYFLEVDKEVSDHHQIFIETEDFKGKSR >Sspon.02G0005470-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:16627570:16630243:1 gene:Sspon.02G0005470-2B transcript:Sspon.02G0005470-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGSPVSKDLNLPVQPPTMASSGLLRYRSAPSTVLGDLCEDFLPSAPRAASPDAAAADNVFSRLLAEHHIRDDKPSPPPAAAAAVHLASQQQQQMMFHSQQQQQEMVGAKSGLYRTVSSGIETAAPVGTGGASANASNLIRQSSSPAGFLDHLNMDNGYGAMLRAGMATADSLAGSGSRLKGQLSFSSRQGSLMSQISEMDSEEVGGSSPEAAGGGRGYIPGYPMGSGWEDSSSALMSDNLSSMKRPRDSSEPGQVWGLLYQLFPFLVVHLCGELTMPSVQSGLTHQFSLPKTSSEMATIEKFLQFQDAVPCKIRAKRGCATHPRSIAERVRRTKISERIRKLQELVPNMDKQTNTSDMLDLAVDYIKDLQKQVKVLKESQANCTCSAGKNQQHSC >Sspon.01G0003520-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:8906709:8911548:1 gene:Sspon.01G0003520-3C transcript:Sspon.01G0003520-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTPCDSFLHVPAQPRTPLPDLTAPASWKENVLNFQIRAVSAPARTAEICPKLVVPCSVPKQTVGGKKKGNWGHYGGSLPEMLEALEHVRDVGEALWAWKDTLSNRERTIILKEQKDWRRAVEIFDWFRRERGHELNVIHYNVMLYTVGKARRWDLVLRLWHEMHSFGVVPDNSTYGTLINVCCQGGSEWAALLWLGDMCKRGLMPDEVTMNIVMQAHKKAGEYETAELFFERWSLDSIRRMKGRSHCSLYTYNTLIDTYGKAGHLEKVADTFNQMLTEGVAPSVVTFNSMIHAWGKHHRMEQVASLVRMMEEFQCFPDTRTYNILIALYRESNDIDIAESYYWKMKTENLVPDAVSCRTLLYGFSIRGMVTKVEALLKEMDERGFVVDEYTQSALTRMYVNVGMLEQAWRWFDRFHHHMSSECFSANIDAFGEKGYIVLAEKAFICCLKKKMLSVSACNVMIKAYGLVEKLDEACEIADGMERYGILPDYVTYASLIQLLSAAKLPKKAIYYLEKMKVVKLLTDCIPYSVVISSFAKNSDLRMAEYLFREMIMSGVRPDVFVYSILIDAYAEVGNVQQASAYFGLMKKDGLYENVTIYNSLIKLYTKVGTEEALKIFKKMLASNTPPNDATFQALKVVVFFLLFQQFSGFSSMAVLAHIDQHSDSATT >Sspon.08G0022290-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:48412580:48416810:1 gene:Sspon.08G0022290-1P transcript:Sspon.08G0022290-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRGLRLGGRAAARRGGAPAAASPSPSAWATSSPRCSWPARSTHPAPSHLHPDLTVSPSGCSDQINLPIKFVPVGLGGELKYSREQMRWVEDSIQIRRAAEPVELIEATLGFGVWCEKVKKLRKAFAREEKRRRGLPLELKQKVSLEILQRLHDLGENSSTTEQREAVEAWRVGKLMYMRSTSTKNLSNVGLSSEESRMLKRALEFNWQMLLEDIGLWIPPTIYHIEHDDKPENEPEDEEIIPGPPLPPECNTELHTDYGGTAVRWGLTHHKESAADCCQACIDQAKRARPGALKCNIWVYCPSEYGCYSPDKYEHKHQECWLKQADHPRLNFKDRYSEPYRDSHPTAPVVVPWMSGVITA >Sspon.05G0017410-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:69178683:69185012:1 gene:Sspon.05G0017410-4D transcript:Sspon.05G0017410-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAVACAERATNDMLIGPDWAVNIELCDIINMDPGQAKDTLKLLKKRLGSKNSKVQILTLYVLETLSKNCGDIVHQQIIERDILSEMVKIVKKKPDLSVREKILSLIDTWQVAFGGPSGKYRQYHAAYQELRAAGVDFPPREENSVPLFTPPQTQPLRHPHLYPPPGQSYEDAAIQASLQSAPPAPPLRPPASVLQTSRSLRGGQSWFRQGRRQARAEVEAWAEVDVRTEMRSRAADGDADDGEMRSYGRRAGGGDAGGGVSGAGGCEREQRQSGKGKSDERELEIVQRLQLWDLSEIQSARGIVDVLDEMLNALDHRHPEGVREEVIVDLVGQCRSYQSRVMDLVNSTGDESLLFQALGLNDELQRVVQRHDDIAKGIPPGTGAPVPASANVNQGTAPPRSTAVSFSPLLNVHEDDEPEDEFSVLSRRSAREGAVAQNNLPSAPRNERPSYPSPLLPPPPASKRPVYTEASGIDYLSGDSYKSEKVSDDFINPTAPANISTSSNSKPEAYPPPSYGSSRPDSVSDDFINPTAPSFSAPSRPTNEEPIRSSMKQQESLPDDDFINPTALPGFSSSSATKESSEDLPKAPWESQAAAAGALPPPPARYGQRQQYFEQNVYSGGSNGGGYDGLLTQTENLSLNPRSSENEKSASRPTASRQAKPEDSLFKDLVDFAKTKPSSPSKPANSRRTR >Sspon.03G0019210-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:59436429:59445470:1 gene:Sspon.03G0019210-1A transcript:Sspon.03G0019210-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMGVVSRELLPACEKLCFICPSLRTRSRHPVKRYKKLLAEIFPRTQDEGPNDRKIGKLCEYISRNPMRVPKITVYLEQKCYKELRAERYGSVKVVMAIYRKVICSCQEQLPLFANSLLTIVETLLEQNRQDDLRKLACQTLFDFINNQVDSTYMFNLENQIPKLCHLAQEMGEKEKICILHAAGLQALSSMIWFMGEHSHMSAELDNVVSAVLENYESPYANADNDAAVEDRRIQWVDEVLKAGGHEPPAVTILTRVPSWKVIRAVHGELSLTIEESTSPNFWSGICLHNLARISRGGTTVRRVLEAIFRYFDNNNLWSPSKGFALCVLLDMQIVMEKSGQNAHILLSMLVKHLEHKNVLKQPDMNLDIIEVTSRLAEHSKAQSSTALMASISDMVRHMAKSMQSLASDADPGDSMVKWNSRNGKAVDECLVQLSRKVGDAGPILDTLAVVLENISSSMIVARSTISAAYRTAQIVASLPNLSHQSKAFPEALFHQLLLAMVYPDCETHLGAHRIFSVVLVPSSVAPCSFADTSQTRKIDLRRTLSRTTSVFSSSAALFGKLKRDMLSFRESPLQDNTKLLPISENADEISANDATLFKSQTIQRMASTKDISLPSSTDTSTSSEPTPNQEKDAVTLMLSVRQANLLLSSLWTQALSPENVPWNYEAISHTYSLMLLFSRAKGSGAEVLVGSFQLAFSLRSVSLQAGFLPPSRRRSLFTLATSMLVFFSKAFNIPALIPVVKHVLTESTVDPFLCLIEDCRLRALDSAAEPCTKLYGSKEDDDLALKSLSNIDMNEDQSKETSVSLILDSLEDLSESELSTIRKQLLEEFSADDICLGSHFTETPSKSSAQNGKLHQKSMEVIPLGFVFEDDTLVEASDSLVEPHLRNPPCNSVLDVNRLLDSVLETSQHVGRLSVSTNQDLPFEEVANQCEALLIGKQQKLSVCMSVREKEDGESSIEQSSPQDPQADTFLCTADEQCHLNSCKLPVLSPYDRFLA >Sspon.01G0061040-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:87930033:87931925:-1 gene:Sspon.01G0061040-1D transcript:Sspon.01G0061040-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLNDCTKAAWSQPADPGGVPGGVAHDGVVETPGGGAPVNMATGGRVSPPGACWRGDTTTASPTTPTAPTTSATTTTPPHLRLARREEDQGGTRVVEQTDEGGQMARRSSSQGRRGRGPLGGGGPWLEALSELLHDEVVTDLQEGGEGPLTGDPRLEVGIAVAEPAEDVEDEDAILHGPAQVAEGICHGLHLPAELANGKVPLDEGAEARVESQSSSLSIAQKLALECQPGLASGGGGADEVVEVDGDRAEDPGKHDAVQTLPRRSLDHGRRLVEDVVVEGVAAKSEDHQIPPTGVRGRLGVENDRDEGSTGSCRRAVVVEVRLPVEPEEVPPPVLGEKLLCLGDLAGQSVGGVPLPLPHKGSRTDSLLSRCGRGPGGNQCDREGGDGPRSVEDGRRRCGKVEGATAHGRPLQVGSRTITRQEQAGSSSGGVRWKVGPDGVGDIGRGGRESRCRKGTVRGESEGRRRPSYGEEGRGGRGGRLQRPARAKGRASGGGRRRRGRAGGGGRRRGRAQGGRRCRRWGRAHYLSPGSSGGEGGKGEEIGGRGWRRSARASHGASGVPAWAAAPGGQPAAAGGWREGGRRGKES >Sspon.03G0026520-1P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3C:1957736:1959309:-1 gene:Sspon.03G0026520-1P transcript:Sspon.03G0026520-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RPQERVHRVLGHRRFGERIQIFDDSKNVEPIHPPAPRRPPNPKQASPATTPPRISQRSPSLSLPSLAPRISNRTAPETSSVRPHAMVQRKPARRNRTPTPCRLGRWPWPGSRGGGGGMAPAPLPNYMRATSCSGAKAGASGAPAAPQPQPQQGRAKVVLAVTAAAAAAVPRAGRATCSSAMKGPGAYGPAHACPYAYCSFKGHAHAPPLGSFVAERRRLIKTQQSMKLKGASPFRKPSTGGGGRGYFVEIRAGSAAPTVTSDVSCSDLSAEDAPEGLGAASVDDGSCGSSDVISVGSVELLGTTEHRGREEKETLVVMVDHEGRDFGGCKSDISEELLDANHGVNVPEGEFPCNASSIPTARAHVGIEKQLLMPDERHGDFAGGVGDAPKESSVDSISSSLSGISFEDVSSACVYAASSHKKNRSSIARRRRTSEQETGPEAEKVDLKHQAVDDRRAAEEWMVDYALRKEVKKLARAQKRKVEILVQAFESVLPTVANEKKPEQHDN >Sspon.02G0006420-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:18657213:18659138:-1 gene:Sspon.02G0006420-2B transcript:Sspon.02G0006420-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Xyloglucan endotransglucosylase/hydrolase [Source:Projected from Arabidopsis thaliana (AT1G11545) UniProtKB/TrEMBL;Acc:A0A178W0W2] MTTEPPRRPLAGALLLPLAAAAVAVVLSCCCCHGASAAAASFGDNFEITGAEDHVKTSADGQTWYLYLDNKTGVGFQTKQRYLFGWFSMKLKLVGNDSAGVVTAYYMCSDVDAAPLRDELDFEFLGNRSGEPYIIQTNVYHNGVGGREMRHWLWFDPTADFHTYAILWNTKHIVFFVDKVAIRVYPNDASKPGGGSGFFPISKPMYIFSSIWNADDWATRGGLDRTDWAKAPFVSSYRDFATDACAWPADSNAPPACAAATGDSWWDQPPAWALDAGQRLDNAWVARNLLIYDYCDDRKRFPTPPEECALRAAATA >Sspon.08G0027870-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8D:3335114:3335929:1 gene:Sspon.08G0027870-1D transcript:Sspon.08G0027870-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRACRYPKCQPPRLATRPPGPSVQASRPSFTPPVHRHGTSSLTFTLPSATASVLHTCTTQAKRHVAHKAFVKVSHAVGIARGWLMVLAVAKAARPSQGRGVHGRSSSWKEEEERKEAAAAGCAAFLRPLTGGGGEDEECGGRRGTKGG >Sspon.05G0016240-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:61038689:61043498:1 gene:Sspon.05G0016240-3D transcript:Sspon.05G0016240-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMANAKLHKQALLPPRSPFPTAAPSPYADRGPIARPQVGAAHHHRHGHHQRTSSESFIEEQPPLWLDDLLNEPETPAVRQSGGRAGHRRSSSDSFALFDSGAAGAAGAYASGFEGMGRGGRQPAPWGGVQEYYAKPASFGRAHGRPWEQGMPNSAGFRHGGGLPMPTKDKVGGHHGPPNVPREHDHGMDKRTPDDAGHDQKVGAKEGVLLKHAQSEADNKRAKQLESLAQEQLIKRFQQEMFEREIGRLRSLYQQQQQQQQQVPALVRSNSRDLDVQFANLSLKHKDPNSGRDALSGPLRT >Sspon.01G0056540-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1C:90695831:90696202:-1 gene:Sspon.01G0056540-1C transcript:Sspon.01G0056540-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALGPAERPGPRPAHRNARAEDSDSPADCLPSADGDGRPHPRVPCGAGMGTTLGDVAGPAAAGGAGATATDGAARSGHVTANSAWPLAGRPSWPQALCRARARVRVRCRCLVARRQLGFWGAQ >Sspon.07G0018270-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:65719029:65722557:-1 gene:Sspon.07G0018270-1A transcript:Sspon.07G0018270-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLYIMLFCYQKIDGGEHGKEKDFDFLSSIEIVVVDHADVISMQIKMVTEYAGVLPKIQLEVRQVYERFDASSIAEADDARFDYFCNKVYPKIQNLDELSALSLKTSVFISMCSQIRATSQQDISRSRLWFFEGKKKILLYSERSHFYHRYKLVNMLGESEIRKCNVLFSRLDLLKLERIVGTSSARRLISSDKGMFVFC >Sspon.06G0013260-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:68898748:68903278:-1 gene:Sspon.06G0013260-1A transcript:Sspon.06G0013260-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:TOM1-like protein 5 [Source:Projected from Arabidopsis thaliana (AT5G63640) UniProtKB/Swiss-Prot;Acc:Q9FFQ0] MAAEMVKAATSDKLKEMDWAKNIEICELVAQDPGKAKDVIKSIKKSIGSRSKRTQLFAVMIHCYLFQLLEMLLNNCGEPIHRQVIDNGLLPILVKIVKKKTDLPVREKIFLLLDATQTSLGGAKAKFPQYYGAYYELVSAGVQFSNRPNVVVTRAEVPVPEPRTEPNNESLATRLNEAQQEVHTQPAPDASIVQKASSVMEVLRDVLNSMDPRHPEGATDEFVLDLVEQCTFQKQRIMHLVMTSRDETVVSQAIELNEELHKVLVRHDALLSVHPTTTVPSNIKEEAEEEDAESLYRRLRKGKALSQDYTDDSVPSFRSMPEDKLRRPLTIQSPHPDKRLGALNIRSPDREEPRHDPAPLIPPPPAKHAERERFFREKSIDGVASLPGHLRGLSQHSRDGSSSCSGSTDYGD >Sspon.06G0007220-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6A:26910823:26911986:-1 gene:Sspon.06G0007220-1A transcript:Sspon.06G0007220-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHDAVVAAVHHTVVAGAAAALVALAVALFLLWRKRRMAAARSPADGGAAASGSSASTGPLPVIPLADVEHATDGFHPSRVIGQGRHFAVYAAAPGIAAKRMHPHLVLGDPGGRRFPAAVRSLAVPPHPNVAAVVGLSEGPGERVILVERAPAGAVSLDRVLDWDCDDDAHHVPALSWRQRAAVAAGAARGLAHLHAHGVAHGRVRPCNVLVHASSGGGGARWRHATTRITDYGLAGFLDRRDDARAEDDVYMFGAVLLELLTGRRWDGGRLADWALPHIRYGASLEVLDVVRAGAPADKAEARLLARAARVALACVGNDGRSRPGMAEVSAILSDVEAAYKRRDGILVGREEEHDDDGDESRLSGCLLGPSRSIHKADMLLRAPPVM >Sspon.03G0016330-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:51963457:51967249:1 gene:Sspon.03G0016330-1A transcript:Sspon.03G0016330-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKKQARPGGAVPAQEQKNGGDAARLVRKPMTTFPQQAVARGRRALVDVGNLMNGRPSLVNRQKQAVAATENGRKAVDVGKKPLVPQAAARSRRPLADVRNLLTNDRAAPANRQKPLADVSTRNGKAVMLKEYKVKPEASDGVVSSPKKTDPYDIDTPDSCNELAVVEYVEDIYRFYKSTEGTCLPLSSYMSSQAEISERMRAILIDWIIEVQYRLILMPETLYLTVYIIDQYLSMESVPRKELQLVGISAMLIASKYEETWAPLVKDLLCLCDNAFTRDQILTKEKAILDRLHWNLTVPTMYMFIVRYLKAAMGDEKLENMAFFYSELALVQYTMLVYPPSVTAAAAVYAARCALGMNPRWTDILEHHTGLTEPQLLFHALAPESKQKAVYKKYSRPKLGAVALQSPDKKLLPVLVEM >Sspon.03G0043210-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3C:76918697:76919596:1 gene:Sspon.03G0043210-1C transcript:Sspon.03G0043210-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPNRHVPPGVALAAPTPKTGLKAALPLPHRLSGLPLSSPLSSKLAGVGEEGGEGVGCGRWPPELGKKGWGEQERRHERCGRRDLHKEMLLVQ >Sspon.02G0028790-3D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2D:97192012:97194931:-1 gene:Sspon.02G0028790-3D transcript:Sspon.02G0028790-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLLWKLGALLSDEYKLLSSVKVDMMFLKAELEVMHAFLKKMSEVEDLDEQSKCWMKEVRELSYDIEDNIDSFMFSLGCESNSEPRGFKGFVASNRRGRYRVDDDVPRLSRTSIDPRLPALYTETTRLVGVDGPRDKLIKLLTEREGTTTQLSVVSIVGFGGLGKTTLANEVYRKLEGQFDYRAFVSVSQKPEIKKILRHILCQYSCREFGSNEAWDEQQLINTIRQFLKDKRCPLHLKEVSNEILKKCGGLPLAIITVASLLVTKAIIKEEWEKMLKSIGSALEKDTDMEEMKKILLLSYNDLHYHLKTCLLYLGVFPEDYEIKRDRLIRRWIAEGFITTEGGQDMEEIGECYFNELINRSMIQPVGIQYDGRADACRVHDMILDLIISKSVEENFLTLCGDRNHKLVQQDKDSWFPPPCRLQKFDMSIDYYFPRIPNWMISLEYLSYLDIYLTPVDEELFRTLGDLPSLLFLWISSREAKPKEGVIVSSNGFRCLKEFYFSCWEIGTGLTFEPGAMPMLEKLRVPLNAHCVCSLHSVLDFGIWHLSSLRHLQVEIICHGARLKEVEAVEEAVKNAASNLSDELFLDVRRWDEEEILKDGEHKLEEEEFSSYDDSKHNSLL >Sspon.08G0002530-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:3938867:3947050:-1 gene:Sspon.08G0002530-2B transcript:Sspon.08G0002530-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTVALSWSSLGSLVATAVVVRTAVRDVLPPEAHGALRALLARAAAAFAQPPDTIVVHETDANGVPNELYDAAQLYLGARCLASAPALYLHKAHGAADASNGAYSPSGFFGGGSWSGGFSYPVGGGGGGGGQQQRCLVLQFPRRHRDVVRDAYIPHVLDVAARLRLKMRERKLYTNSGYCSGRGGFGSDAHQTQWSVHPFAHPSMFDTLAIDPALRDGIRADLLRFVRRREHYARAGRAWKRGYLLHGPPGTGKTSLIAAIANLLETEEAERDNAPPRHVTMSRFPPTGGGPPGMYGEKISLSGVLNFVDGLWSSCVGERLIVFTTNHVDRLDPALLRPGRMDRKIELGYCKGPALRVLATNYLGDCGTAGDGDHEPTNGDHSYEELIGEAERLLEEVHMTPADVAE >Sspon.04G0006300-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:14059350:14060398:-1 gene:Sspon.04G0006300-2B transcript:Sspon.04G0006300-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDKETESPQPPAKLPRLSCADTSAGEVTMAASSPLVLGLGLGLGGGGGGSGERDADASPATATATPTPKRPSALTFMQQQELEHQVLIYRYFAAGAPVPVHLVLPIWKSVAASSFGPQRFPSRKHRQNLQPPLLFFVSTVSSLERLGFTVWNECVAVMGLGSLCFDYRSSMEPEPGRCRRTDGKKWRCSRDVVPGHKYCERHVHRGRGRSRKPVEAAAATATAPAAALATSAAAASSLGGGGPVHRGAAPPHPHGLGLSSPTSVLLAHSAARAT >Sspon.07G0018990-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:69162610:69177542:-1 gene:Sspon.07G0018990-1A transcript:Sspon.07G0018990-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MCNLGLSSARADAEPVDQKNYLEERCKPQCVKSLYDYERCVKRVENDGSGQKHCTGQYFDYWSCVDKCICLYSNQAT >Sspon.04G0026630-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:2087345:2088485:1 gene:Sspon.04G0026630-1P transcript:Sspon.04G0026630-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVDYYKVLQVERGASDDELKKAYRKLAMKWHPDKNPSNKKEAEAKFKHIPEAYEVLSYSQKRAVYDQYGEEGLKGQVPPPGAGSPSGSSSYGGNASTFQFNPRSADDIFAEFFGLSSPFSTMGGMGGGAERGMRGSRFGMFGNDIFRSCPQFSGEASMHVPQRSQKAPPIENRLPCNLADLYKGTIKKMKISREVLDAG >Sspon.05G0002300-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:7068284:7069273:-1 gene:Sspon.05G0002300-1A transcript:Sspon.05G0002300-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding HSMKSMGTRDKLAAASGHRRVLFVVFAFCFAFATFLTFLYTTTHFATAPGSASASGSAAAASTTTTTSSSGSSGGGQAASGSGLPVPVFEALVHFASISNATHRMSDTDIRAISAVLRARAPCNLLVFGLGAESPLWLALNHGGRTVFLEENEFYVKYLEPRHPGMEAYDVSYTTKVRDFRDLLAAARASRGKECRPVQNLLFSECRLAINDLPNDLYDVPWDVVLIDGPSGWNPNSPGRMPSIFTTAVLARSGATAAKGRPTDVLVHDFQFEVEQVLSREFLCDENRVAGSGTPSLGHFVIQGGGAGDAFCSGQEDDGSSGEKTRRRRR >Sspon.03G0006980-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:18995987:18997938:-1 gene:Sspon.03G0006980-1A transcript:Sspon.03G0006980-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADHKEEPVLESVMDKITEKFHGGDSSSSSSDSDDDKKKGSSSASAAEAVKAKIYRLFGRERPVHSVLGGGKPADLVLWRNKKISGGVLAGATAIWLLFEVMEYHLLTLVCHCLILSLAILFLWSNASTFINKSPPNIPEVKIPENVAVNVALQLRYEINRGFATLREIGHGRDLKKFLIVIAGLWLLSVLGSCCNFLTLFYIAFVGLYTVPVLYEKYEDKVDAFGEKAMIELKKYYAIFDEKCLSKIPKGPLKDKKH >Sspon.05G0001740-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:1466836:1467321:1 gene:Sspon.05G0001740-2B transcript:Sspon.05G0001740-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MCKLAITIPSLVWLRRAVRRWRSHGRAADASGSSSSSPRPCTAVPAGHVAVCVEAAGGSGSGSGSTRRFVVRVAHLSHPAFRELLRQAEEEYGFPAAPGPVALPCDEDHFLDVLHRVSSSPAADASSSSCCCGLATRRCARGESRPLLQGRVMAVDQKLVW >Sspon.05G0014310-3C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5C:45647553:45649156:-1 gene:Sspon.05G0014310-3C transcript:Sspon.05G0014310-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFRRCRWHDAGTYDAKTNTGGPNGSIRFPQEYSHSSNAGLKIAIDLLEPIKQKHPKITYADLYQLAGVVAVEVTGGPTIDFVPGRKDSSDCPEEGRLPEATKGASHLREVFYRMGLSDKDIVALSGGHTLGRAHPERTGFDGPWTKEPLKFDNSYFVELLKGDSEGLLKLPTDKVLVEDPEFRQYVELYAKDEEAFFRDYAESHKKLSELGFTPPRTCKSGNKQKSLLVQAAAGVAVAAAVVAWTYLCESNKKFI >Sspon.02G0027680-1P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2A:100282795:100283217:-1 gene:Sspon.02G0027680-1P transcript:Sspon.02G0027680-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding QVHELHRVYKVQKQLMMQMQITKTNNYGNKVPEMQTHPTVKLEHQQWCGSSGKTETKLAEDFNLELTLATGAGRTKQEKPSNSDSEATMSSSTSAESESGQRFMPNSNVTNLRFQNESNRHDDQVMQSPWRYQCLSLKMA >Sspon.01G0036400-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:18316394:18321544:1 gene:Sspon.01G0036400-1B transcript:Sspon.01G0036400-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAAGVGFQLIGAAAATFLAAVLVAAVLGRRRRPRPRPQAPLVEGKPAPEAGCAVDDGGTDVIIVGAGVAGSALAYTLGKDGRRVHVIERDLTEPDRIVGELLQPGGYLKLIELGLEDCVEEIDAQRVLGYALFKDGRDTKLAYPLEKFHSDVAGRSFHNGRFIQRMRQKAASLPNVQLEQGTVTSLLEENGTVKGVQYKTKSGEELKAYAPLTIVCDGCFSNLRRALCSPKVDVPSCFVGLVLENCELPHPNHGHVILANPSPILFYPISSTEVRCLVDVPGQKVPSIASGEMANYLKTVVAPQIPPEIYDSFIAAIDKGSIRTMPNRSMPAAPHPTPGALLMGDAFNMRHPLTGGGMTVALSDIVVLRNLLKPLRNLHDASSLCKYLESFYTLRKPVASTINTLAGALYKVFSASPDQARNEMRQACFDYLSLGGVFSNGPIALLSGLNPRPLSLVAHFFAVAIYGVGRLMLPLPSPKRMWIGARLISGACGIILPIIKAEGVRQMFFPATVPAYYQAAPMGE >Sspon.02G0003290-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:10724985:10729648:-1 gene:Sspon.02G0003290-1A transcript:Sspon.02G0003290-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSALLPTLRLKSEVDTAIRDTLDKVLVLRFGRATDAACLHLDDILARSSWDISKFATVALVDMDSEEIQVYIDYFDITLVPATIFFFNAHHMKMDSGTPDHTKWIGSFSSKQDFIDVVEAIFRGAMKGKLIVSCPLPPERIPRFQLLFKDV >Sspon.03G0021190-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3B:87678675:87679796:-1 gene:Sspon.03G0021190-2B transcript:Sspon.03G0021190-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAACQPLQGKELQPYDGFDPSVFGGPVLLPRQASSAPPAVRVAPPEMSSSSGSGRSATEARALKIHSEAERRRRERINAHLATLRRMIPDTRQMDKATLLARVVEQVKLLKRKASEATTQSTPLPPETDEVSIELHTGADKVIYTRASISCDDRPDLVAGLAQAFHGLRLRTVRADMTSLGGRVQHVFILCKDEGWGGAGVGASASLRSLKEAIRQALARVASPETAYGSSPFQSKRQRILESHYSITSI >Sspon.04G0002720-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:5140073:5143861:-1 gene:Sspon.04G0002720-2B transcript:Sspon.04G0002720-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPAVARPRLVPVAHPASTSCLLALRGRGRGHGRAVAAVRAREQGAAPPDPAAFLRRPDVATVTSTEEERETDAESSFDGPGEDEAPEEEGVQGRRKATEREWVDWEDLILEDTVPLVGFVRMILHSGKYESGDRLSPEHEKAILERLLPYHPEYDKKIGCGIDYITVGLHPEFENSRCLFIVRKDGEQVDFSFWKCVKGLIRQKYPMYADSFILRHFRRRQDY >Sspon.02G0010470-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2A:28821389:28821952:-1 gene:Sspon.02G0010470-1A transcript:Sspon.02G0010470-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AHTGKRRGRASVSGWVGPGEVHTRAPARSSGGASGGQPRTGGEREGVLRARLGAGAIRHSRLSWPAAGSCLARTLPALCLHGRWPGQGGQEQRRRPAGPGPLAPPLRFARGREVGCGGVAFRVGACAPALQERRQRQDRACCLHDQGVLVLASAGGCIDLRTHLRDGGSLVCTRIFRMAVRYLFVFR >Sspon.06G0008230-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:33950538:33952875:-1 gene:Sspon.06G0008230-1P transcript:Sspon.06G0008230-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAARAALPRPHLSLPSSSRRSPRLSLTPSSAPRLRGGAATSVAAGTSAAPQQHQVTTRLGDVIEAQQFDRDALNEIFEVAREMEAVERGSHGAPSRVLEGYLMATLFYEPSTRTRLSFEAAMRRLGGEVLTTENAREFSSAAKGETLEDTIRTVEGYSDIIVLRHFESGAARRAADTANIPVINAGDGPGQHPTQALLDVYTIKREIGTLDGIKLGLVGDLANGRTVRSLAYLIAKYQNIKIYFVSPDVVKMKDDIKDYLNSKGVEWEESSDLLEVASKCDVIYQTRIQKERFGERIDLYEAARGKYIVDKKVLDVLPKHSVIMHPLPRLDE >Sspon.05G0013410-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:46493859:46499871:1 gene:Sspon.05G0013410-2B transcript:Sspon.05G0013410-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Metal tolerance protein C4 [Source:Projected from Arabidopsis thaliana (AT1G51610) UniProtKB/Swiss-Prot;Acc:Q8H1G3] MRRPLASAALRLRLRCLSSTSHTRLLSTCPFLLSRRDDDDGREGPSSPLPPLPPSGSAFSPRPLLFSASAAAGLFSLRGGWWRRALPPAASRPPGAVADAAPVRLTISRSYSLRVAKSKKKAHFDDEHSHRAVNTALWCNFLVFSLKFGVWISTSSHVMLAELVHSVADFANQALLAYGLSSSRRAPDALHPYGYSKERFVWSLISAVGIFCLGSGATIVHGVQNLWNSQPPENIHWAALVIGGSFLIEGASLLVAIKAVRKGAEAEGMSIWDYIWRGHDPTSVAVMTEDGAAVTGLAIAAASLVAVQMTGNAMYDPIGSIIVGNLLGMVAIFLIQRNRHALIGRAIDDHDMQQVLEFLKSDPVVDALYDCKSEVIGPDFNGVVLVQNYLERTGRGTWAKQFREAAMSKDDTELLRVMANYGEDVVEALGYEVDRLESEIQKLVPGIKHVDIEAHNPEGLSLRAEVL >Sspon.05G0024760-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:17717416:17722666:1 gene:Sspon.05G0024760-1B transcript:Sspon.05G0024760-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGEGGGGPGPGRVKAGSRPPWVGLAAAVWVQVAAGSAYVFPLYSHAIKEALGYNQQALTMLGVGNDVGENVGLVPGVLANRLPPWLILVIGSACAFFGFGTLWLAVTKTVAMPYWVLWIALCIGTNSSAWLGTAALVTNMRNFPLSRGTVAGLIKGYVAVSAAVYTETFNGMLGNSPTNLLLLLALGIPTACIVVMYFVRPCTPSLDEDNSTEHSHFMYTQISSVVLGIYLMVATILGDTLKLSQAVTYLLFGIMILLLLAPLAIPIKMTLYPNKQTKEKPSTLAPSYSTDSLSGADPENSQPLLGSASTTFATGTNEYDDSTDLDLLLAEGEGAVNLKKKRGPRRGDDFTFLEALVKADFWLLFVVYFCGVGTGVTVLNNLAQVGMSVGANDTTILLCLFGFCNFVGRILGGSVSEHFVR >Sspon.01G0056180-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:86156396:86157685:1 gene:Sspon.01G0056180-2P transcript:Sspon.01G0056180-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPPKDRPSGRLGRLLAALRPSRAGPLPVQTGFPTSLADLVVKNHGRLKKQPSPSSKRGKRGAAASTSPSSPTSPSPSPPPASPPPPAAAVAVSPSDRPRPADLPPAQPRRRGKGGGFGLGLGFLAVSGVVSLALLVIWSKKVVAAVTVASFSLYLLESVRSSSLPRPRRRPRPAVAERRLCLDGRGRVSPIREADAETEPSRPSCSDSDRGSDACILAVEESSGVLDESSNPKAKAKKKSWKKLLAASAKKLHRGRRSKEAGSSGSSFRSEGDRADDATARGGGGNAKAADSSGSRRVSASQTGAPAEDAAAAAAKEEADSSRGSRRSQGVEVDADAAPVEIDASVGDLIEEEEEEGEEKQAGIRFPALVLVAIVLMGLVAGKVVALALTVLCSAFLSSVSVQRSPCRGCGGGGGCSQGRRLELSMS >Sspon.08G0007280-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:21008828:21017742:1 gene:Sspon.08G0007280-4D transcript:Sspon.08G0007280-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGAVSGGAAVGDAAGAATYPVVLNVYDLTPINNYLHWCGLGIFHSAVEVHGSEYSFGAHDHPSSGVFEVEPKKCPGFIYRCMVFIGRTTLNPLEFREFIQRMASEYHGDTYHLISKNCNHFTDDLSTRLTGKSIPCWVNRLARLGAFCNCLLPESMRLESTETKHLADCRFSDGSNTTSNDNFDEDDLEDKHLLPTSSVGEDTIKVIFMRCYPSKMEN >Sspon.01G0015420-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:44837225:44839341:-1 gene:Sspon.01G0015420-2B transcript:Sspon.01G0015420-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Divalent ion symporter domain containing protein [Source: Projected from Oryza sativa (Os10g0547500)] MTQLAPLPKVVMGSLAFGVFWMLAVFPSVPFLPIGRTAGALLGAVLMIVFHVISADDAYASIDLPILGLLFATMVVGGYLKGAGMFKHLGKLLAWRSQGGRDLLCRVCVVTALASALFTNDTCCVVLTEFVLELAAERNLPAKPFLLALATSANIGSSATPIGNPQNLVIAFNSKITFLQFFFGILPAMLAGTGVNTVMLLCMYWKDLEGADEVAAAAGKEMEAVEEGRSPASVLSLKNSPTAAAQLGGALRQRQSSHDHDADDDPDSMMSENIPTKHRWFMQCSEHRRKLFLKSFAYVVTVGMLVAYMLGLNMSWTAITTAIALVVVDFRDAEPCLDKVSYSLLVFFSGMFVTVSGFNKTGLPGAIWNFMAPYAKINHVSGVTVLSLIILLLSNLASNVPTVLLMGDEVAASAATISASAVTRSWLLLAWVSTVAGNLSLLGSAANLIVCEQALRAPRNAHDLSFWSHVVFGVPSTLVVTAIGIPLIGKIAF >Sspon.04G0029230-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:73376712:73381088:-1 gene:Sspon.04G0029230-2C transcript:Sspon.04G0029230-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSLLRPPLPGPTPILSARRRVSPPPAPVRVGSAALVGGGRRCRRGLAVAASAAPSWMEEAGLDVLEEGGRWNPSVSDSYRPAGLPRPNATVLEAQARVCTGPAQTRPLGEEQAMRVLDTILRSAMGELKEEPVSSAQLGAFFAGMTIRANSFPEATQWSEGERRAMTIFWPRLVQVLPPEVKFIADPEGTIMGANGLTGPRYVGQGTGEMRLVGALREVLAGGHLGYEEVQCVLKDILPIGSSSDLTEVSQALLAAFLIGQRMNRETDRELKAYCLAFDDELGPPPVADVKSLTHYGEPYDGNTRFFRSTLFVAAVRACYGESCLLHGVEWMPPKGGITEGQMLKFMGANIHLSPTQAKILLEDETAGFAYLNLQEACPPLYSIIGLREHIKKRPPLATSEKVQQFVRGEEGALSMTTKERSAHASKGLPVNHCSGFRTPNSINSSETDGISRESFRVIVNAQELGFESTETPRTDRSILKNLELGLAALGGEKGAAYDRIVLNAAMADHLLGCSGAEDINTALDRAREAMDSGEALRRLMSYIKISHSVS >Sspon.05G0018430-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:78033414:78034965:1 gene:Sspon.05G0018430-1A transcript:Sspon.05G0018430-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFGELPTTIGNCENLEHIGLRQNSFGGRIPVSLGNIRGLEVLDLSHNNLTGSIPMSLTNLQYLEQLDLSFNNISGEVPLKGIFNNVTSVRIDGNPGLCGGPLELHLLACHVMPANSSKKGHSIVQKVVIPLSSILALVIVITVMQQHLSPETSLAMKEKSLECLLSVLNIGLLCTKLSPNERICMQEVTARLHGIKKAYIS >Sspon.04G0015460-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4A:57914540:57915138:1 gene:Sspon.04G0015460-1A transcript:Sspon.04G0015460-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ICNTLNLQVSYGHNSDQQHPTLQMVSDLLNSVTLALERVGEEKYMLLNKIRDLNELSRKEVDEIIKVCMKQDCISPNDNIRGTLQ >Sspon.06G0010970-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:59490786:59497252:1 gene:Sspon.06G0010970-1A transcript:Sspon.06G0010970-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVASCRFSMARPFTLDVFELAASPIKPSTILRRSLLPPSSHLRLKQPRHRARSYQPILPGAPALPPPSSSPVSRSHRATSRGQPPPLPLHACRLLNYVRGESLMLTLCLPGRRAPRPHYLQGVYDQSWWSVYLSSIGSSASWSPAGHRAAMAGPRGSRKGEKNGGERELGRPTRAGGPHVWLGRARGRRKETARAVTEVESVPEEEPTSVEVGFDICGTDLEPELPEWRDGESSVYPRGQGLDWRCTVLSGGTGPTPAHIATTEACAPTHALRRPRIPRATEKSKKNNSAPVLEADGKTNRRGRLRGPPRGAARARQRMGEAEAAATKKKRRVSLDVDLEADEFVMVLLVVVRCGPVVLAGRVSGRDVERRVGRGRDSAGREPQGRGRGEDEAEVEAGGAGGAEVEPPHRCWIAPPGGLCWRKEHSGGLPADPSRAVLGGNGFVAWIRCLAGQIRAVLRRPGSNGSGGGAMGRRPRGSLQREPMAATIIHAQVTSPSSPGIATSGVAVYRARRCSAAMAAHLLLLLLGSKKRDAGREMAAAPPRAGAPAPRAWVKGTAAAHPFGHSQRWGRPLRDRVVLIDLDLGTMESIRAGPFGGIFRPDNFVYGQSDVRNNCAKGHYIEGAELIDVVRKEAENCNYLQGMHLY >Sspon.01G0040630-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:42291217:42295820:-1 gene:Sspon.01G0040630-1B transcript:Sspon.01G0040630-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASLAAASAAASTRLAGGGEVPGSARPCCPGRLAASFPCARPGRRRRPAAGVRCGGGVLPAGAAAEAEEGGRFVGWFREAWPYIRGHRGSTFVVVISGEVVAGPHLDGILQDISLLHGLGIKFVLVPGTHVQIDKLLAERVFLNNIASSCIDEALGVEKKRYKRRGVVGGIDYGFTGEVKKIDVSRIRERLDRDSIVVVSNMGYSSAGEVLNCNTYEVATACALSIEADKLICIVDGQIFDEHGRVNRFMSIEEADMLIRTRAKQSEIAANYVKVVDEEDINHVRYLPMKHDTEHGLNGRDHINGYTASFRNGVGFNNGNGLSGEQGFAIGGEERLSRSNGYLSELAAAAYVCHFPYSSH >Sspon.03G0011610-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3A:31606704:31607052:1 gene:Sspon.03G0011610-1A transcript:Sspon.03G0011610-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAGDIQKVARRRTTRRRSAGPRWRSCPPTTRVRRAIVPLGLGADGADAPGGKGLVDVDVLSFGPRERRALLERLVRVADEDNERFLLKLKDRID >Sspon.01G0024040-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:86339617:86340249:1 gene:Sspon.01G0024040-1A transcript:Sspon.01G0024040-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding TWSAPSPQSPSRWPSTSSPRSMAPPPRGRWDGRPRHRPGRRRRRWRWRRLGIKIISGARRRRLRPPGPGSGCRSRACTTTCRRRRRTGRTGRCRGSGPASWRAAQTPWRAARARRSCGSASGNGASGRRTRATGRRRPPGRSSSQCGRRCSTPRGKGGRTPRAGFRRRELVARWPTTSRPRPRTRLKGRRRMTRQPTARGSARPIGATMAT >Sspon.08G0029140-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:25156803:25160649:1 gene:Sspon.08G0029140-1D transcript:Sspon.08G0029140-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPSTAVLAGITSTSGSPADATKSTSAGTTTTTILRRKQVALSIPMPLAVNTKITAKLIENMRV >Sspon.02G0032440-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:99547450:99552034:1 gene:Sspon.02G0032440-3C transcript:Sspon.02G0032440-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSSSPSRKALSKIACNRLQKELAEWQLNPPAGFKHKVSDNLQRWVIEVTGAAGTLYAGETYQLQVDFPEHYPMEAPQVIFLNPAPMHPHIYSNGHICLDILYDSWSPAMTVSSVCISILSMLSSSPAKQRPADNDRYVRNCRNGRSPKETRWWFHDDTV >Sspon.08G0022360-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8B:48693371:48693700:1 gene:Sspon.08G0022360-1B transcript:Sspon.08G0022360-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQFHLAQRRRASPGAASQPNSGRRKKRMAVVRLGGEGRKRRFFGVLRTRLRRLRWPWLAAVYRRALRRLRASYEQALRELVDGNGLVGALHAPAGVDRAHAASFGPVATV >Sspon.07G0001460-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:2277208:2282125:-1 gene:Sspon.07G0001460-2B transcript:Sspon.07G0001460-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPAYELMFGKLSLHNLFEDYFDQAGNMISRIMLKPLEDPHVDLIATLRSCAYDPKYRIGAFGTLPLLMGNRVRSEDFGVVGVRYGSENLSVGASFVPIPLSGEVPFGAWLVGRKGSLTAGVQYKPLSGSKHPMPFTELENWNCAISYGVGSTSPLSPSFIFSLELARSTQLTTSFYQHLVVQRRVKNPFEDDQVVGITNYIDFGLELAARVDKDKVSGDGNSLFQLAASWQANKNFLLKGKLGPSKSSVALAFKSWWRPSFTFSVTAVNDHSKGTTSYGFGLRVEDLRQASYQRADPNYVMLTPSKEHLAPGVLREYGKRPMFQTQIDSGNYNHLPTELKPLGRIF >Sspon.03G0033360-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:48937972:48949997:1 gene:Sspon.03G0033360-1B transcript:Sspon.03G0033360-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ascorbate transporter, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G00370) UniProtKB/Swiss-Prot;Acc:Q8GX78] MPGCGVAGRGGVGVSSAARPTPPQGKSRPCLLSMPIARGYGRQHEPIYCTSAQSKSVLLGARFGRHVTRSIAYLLQNSRSTTMARLQKSGQFLQPVLDSSRNYLTRTFYNASMKRRVLSRVECFVSSDPINNGWLKPRRSESFTSLESACVQPEYKLPVRKRADCKAEQYEITGSPLSSSNVPADVPWWQEFPRRWTVVLLCCFAFLLCNMDRVNMSIAILPMSSEFSWNPATVGLIQSSFFWGYLLTQILGGIWADRFGGKVVLGFGVVWWSLATVLTPLAAKIGLPCLLTMRAFMGIGEGVAMPAMNNILSKWIPVSERSRSLALVYSGMYLGSVTGLAFSPLLISRFGWPSVFYAFGSLGIVWFALWQSKAHSSPEDDPEISKAEKRHILGGNPLKEPVTSIPWRLILSKAPVWALIISHFCHNWGTFILLTWMPTYYNQVLKFNLTESGLLCVLPWLTMAVFANIGGWIADTLVQRGISVTNVRKIMQSIGFLGPALFLTLLSKVRSPAMAVLCMACSQGSDAFSQSGLYSNHQDIGPRYAGVLLGLSNTAGVLAGVFGTAATGYILQKGSWDSVFKVSVVLYIVGTVVWNVFSTGEKRHSWKKTPFYARDIPAYNLDYRKNQIKLEYCVRSRRLTRYKNFKEGHKRILVATDLVGRGIDIERVNIVVNYDMPDSADTYLHRVGRAGRFGTKGLAITFVSSASDSDVLNQVQERFEVDIKELPEQIDTSTYTTW >Sspon.02G0057700-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2D:63772620:63772985:1 gene:Sspon.02G0057700-1D transcript:Sspon.02G0057700-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MYIANEIKERVPAAYTCVYSRPPVDRHGRRWEERTLSEQSMELSCATLSDKGTWDDAAPRVSPTNCVAQLLDEGAARRLHCQLQREPHAGTASSWNTFLYCSAERGGRDRMAPSLKFLDPL >Sspon.06G0011560-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:63254768:63258971:1 gene:Sspon.06G0011560-2P transcript:Sspon.06G0011560-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGREHLHNLAHLAAEVEREQSVRVRVTGLADPHQESLRLGLQLAAELSLPAPQTFSGHRELLDSGLCDAIIVSSPNMTHYKILMDIISHREPHHILVEKPLCTTVQDCKKVIEAAKQRPDILVQVGLEYRYMPPVAKLIDIVKSGTLGQVRMVAIREHRFPFLVKVNNWNRFNCNSGGTLVEKCCHFFDLMRLFAAANPVRVMASGAIDVNHKDEVYDGKVPDIIDNAYVIVEFDNGSRGMLDLCMFAEGSRNEQEICVVGDIGKGETFVPESVVRFGKRTEGRDGVVTIMAEDERIKYQGLHHGSSYLEHLNFLSAIRAQGASGPSVNLSDGLLSVAIGVAGQLSIEQGRFVTMEEVLGS >Sspon.05G0011670-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:35599668:35600018:-1 gene:Sspon.05G0011670-4D transcript:Sspon.05G0011670-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTKQTARKSTGGKAPRKQLATKAARKSAPATGGVKKPHRFLPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSSAVAALQEAAEAYLVGLFEDTNLCAIHAKR >Sspon.01G0010600-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:36080386:36082749:1 gene:Sspon.01G0010600-2B transcript:Sspon.01G0010600-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GGRAARGLGDGAPGAGGGVALGLRRGAPPVAAPRRGRHVQGAGGPRAALQLPQGQQPRHQEDEGGGRRASAGRPRPQLPPQGDAPLPHLEATVRYVCLFNHTVYILEYFNKSGHFFKSDAHPTILAMLGKGLVLVEGTDWVRHRRVVNPAFAMDKLKMMTMTMVSCA >Sspon.08G0011200-4D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8D:46159882:46165480:1 gene:Sspon.08G0011200-4D transcript:Sspon.08G0011200-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKKTNGVAKATATSADAAARPSKANPSTPGSVKGTKFKKLKVKAKANREKPAVTAAAVGEVAPVGASTGDGDASASAVLPQPSNVAEASPVVQTQPSNVAAEASPVVQTQPSNVADASPVVQTPKSTTFAEASAVAQTQKPATDAEGSVPALMPATAEASASSPKPKPKPKPAHANADAAAAISASKSKGEGKGADNSGGDGRMKSRRRRSRSGKGKEVVEDGGSKGKEKGKKSVGKKEERGDRKVAGFIFMCNAKTKKECYQNRLFGMPSGKIEMVKKIRPGVKLFLYDFDLKLLYGVYKAASHGGLNLVHEAFNGKFPAQVDGLALGPPITLLSPSRALGQPSSPLTPFARAAGLLQLPSSTSDSRTLTLDNLTLVPEGRGTAPAGGEVGRPPDLALWVGPPLSTKRRDKGKLLGLLMGRVEMGFMGWVLAWGLSGWARTGFGIWRVGVGRSMSHLRPDERTPSVAARWLSGRAAPARAAAAFGNLSPKRPSARQVDLLPKRPPLSCPPHSSWAGKAPIPSPRTPPCIGRWQWLDLENMSEFWPRLRQTQRNLLDSLSWQVKVKFKIEKDCRPLPESSLKQAIKENYSARSKFDPELTARQVQRLLLLFKPVSAPQSVPNNHLEERRHYEERRKPYHHFEERLPIEEARQQRFDEERRPAVRHVPLEDPYRAPRFAPVQGDHHRYYQPPALAPEPRHIPLVLEPRYVPLALDHHHGPTVPELRHVPAAYYRTLAPSGDSYYRSVENLVPERYADRTVTDVTTRDPIIRDHTALPGEASARADRLDDLYRTRGAHVEELYRPGEIADHADRVGITTRADRVEELYHSDRLVNRAVDPLHSAYLTA >Sspon.05G0008840-4D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5D:28024495:28029395:-1 gene:Sspon.05G0008840-4D transcript:Sspon.05G0008840-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGFMEPVAKLFQKIQEMVEEESNLVFVLIDIAFVDRADIKAYVGPPTLQARYEILRSCIQELLRVGILTYPQGSSVPCILNYSTLEDKKHCPEAAEPHGAFQLSSLLFEAAKLCETPRSIRKIVLVLRRRICEGLLWKEAKSDSSLKEVLSSFFLFYPTRDQVLKAPRQPLWGWKLDRGAMNQELTSTWSRGDRTNVKTATVTSMLLYENNRPAAARGALGAWHGARRSGRCGCRELRAGGEAALTRIRR >Sspon.06G0004900-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:16041949:16056476:1 gene:Sspon.06G0004900-1A transcript:Sspon.06G0004900-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding METAEEAGQEVFTTGEEERIPDAAACSREEGKEEEEEVEVSFDELGLDEQLKRALRKKGIAKATPIQREAIPLILEGKDVVAKAKTGSGKTFAYLLPLLHELLKLSTEGRIRKPAPNAFILVPTRELCQQVYNEALSLLEFCTCKLRVVQVTASMSDKDIVRQFYSVPTVALSGPPNILVSTPACVATCISKGIIRGPSVKESLSMMILDEADLLLSYRCEDDLKALIPHIPRSCQSILMSATSSSDVDKLTKLLLHNPFILTLSEVGRAKDEISCDAKDKMLHILALLKFELIQKKVLIFVNSIDMAFRLRLFLEKFGIRSAVLNAELPQNSRLHIIEAFNARLFDYLIATDDTKTKEEKQNNMDKENKKEPKLSRKREKQTNKENKKESKVSHKHLQQTLDAEFGVVRGIDFKNVVNFDMPLDAAGYVHRVGRTGRANKTGASISLVSQEEDSTFKEIEHMLQDVEKKDMDCISPFPLLTKDAVESLRYRAQDVARSVTTRDIQEARRQDIKNEILNSEKLKSHFEENPRDLDLLKHDKLLSNKEIPAHLRDVPDYLIDPKTKEASNVVKLSRAAMGIDKPQRRKRQGFKGGSGKSRDPLKTFSAEGKRRRRRKDREGEPDRTKKSRKAES >Sspon.04G0005270-1T-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4C:9144236:9144754:1 gene:Sspon.04G0005270-1T transcript:Sspon.04G0005270-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLPDPTVYYPASSNAILRAQPSTAAASKGSFGPVFAVLAVISFLAVAACVVGRLCGRRLSRRRSADQDFYASDAVGGDLEKGFEITCPTMKPMASSRAMIHDIDDGFEIKFAPGKPAAWKNDSKADNKGRQHQGQVQGQGQHLHQQPQLVGIPKGYAVPKEYAGFRYPAEA >Sspon.01G0037320-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:21097619:21102004:1 gene:Sspon.01G0037320-1B transcript:Sspon.01G0037320-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMALPVVNATAAVLARVSSAFNAPFARAVVFGVHIDGHLVVEGLLIAVIVFQLSRKSYKPPKKPLTEKEIDELCDEWEPEPLCPPIKEGARIDTPMLESHDCIIYELLRIRINPSILLLYSAAGPHTIVDGKEVVNFASANYLDVHLDCESKIAKFLGTPDSILYSYGISTIFSVIPAFCKKGDIIVADEGVHWAVQNGLHLSRSTVVYFKHNDMASLASTLEKLTRGNKRAEKIRRYIVVESIYQIEKIDIITAGMGNALATDGGFCTGSVRVVDHQRLSSSGYVFSASLPPYLASAAVSAVNYLEENPSVLANLRSNIALLHKELSDTPGLEITSHVLSPIVFLKLKKSTGSPTTDLDLLETIADKVLKEDSVFIVTSKKSNLDRCKLPIGIRLFVSAGHTESDISRLSSSLKRVSASVLSDY >Sspon.01G0030330-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:79370600:79372324:-1 gene:Sspon.01G0030330-3C transcript:Sspon.01G0030330-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVSSSLWGGLNSGIVLSLLAVVWTMLWQALQGLQLHHFFGRHSRRLTRRLAAILDPDLTVTVAEYDGGRMRRSDAYKEAKAYLERATREARGGGGGVRHLRAEPDKDPDRVLLSMDDEEEITDEFRGAVVTWRACVAPQREDTGPAYYWWGGAPRAERRSFKLFFAERHRDLVLGEYLTHVRRQGRAVMVKNRQRKLFTNISGGGLWSDSVWSHVVFEHPKTFATLAMDPAKKKEVMDDLDAFRNGKDYYARVGKAWKRGYLLYGPPGTGKSTMIAAMANHLDYDVYDIELTSVRTNTDLRKLFIETTSKSIIVIEDIDCSLDLTGKRKRKKKKDKEEGKDGGDKKEEEKEKEDDKAGGSKVTLSGVLNFIDGLWSACGGERIIVFTTNHVEKLDPALIRRGRMDKHVEMSYCCVESFKFLAKVYLDVDDHPLFGAVAALLREVDMTPADVAENMTPKAPGEDADSCLAALVEALEKAKEDELMAKKKKAEGKKKEAGAADEVDEEEEEE >Sspon.02G0040360-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2B:68029708:68030393:1 gene:Sspon.02G0040360-1B transcript:Sspon.02G0040360-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MACIGQNDQCNPSPFLFQLNLIQLAWFALAFTVVAAAAAGVAVAQITAQDFLNLHNSPRADVGVGNVTWNTTVASYAQSYANQRAGDCRLVHSGGPYGENLFWGSAGYAWAASDAVGSWVAEKQYYNHATNTCSAPSGQSCGHYTQVVWRASTAIGCARVVCSNNAGVFIICNYYPPGN >Sspon.04G0001930-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:7248794:7252810:1 gene:Sspon.04G0001930-2D transcript:Sspon.04G0001930-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGYSYWELWQVDLFTMARVYVGNLDARVTSGELEDEFRVFGVLRSVWVARKPPGFAFIDFDDRRDAEDAIRDLDAGAIALVIALQGAVVLRQLVGAAIAGHRSTTATNSRASRRLAITMSR >Sspon.04G0014760-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:60252129:60260118:1 gene:Sspon.04G0014760-2C transcript:Sspon.04G0014760-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLGLGGRLGLGRELAATLGQEGGKELAQEREMQVNRKGLLERQHTQIKCGLRRRCLGWASSSSPSPRSRRPAGTSPRCYRSSATSSAPSTTTARTRSSWRPRSLAFAAAGTAGGPSKSRDDPVTLQLHLGRSYDVGVPLGASLLHVAQMSAQGEGEEATAREERRGREVEGRAEAGGSVEAPWTQAPRAFTLVALHGTKASLHAAVVPCKVLVPASATARRVRRGHRDELRFHGLWLYQAWVAIAAAPRAARPPLPPPLSNAHICHSLCSLSPASCHTWCIAKKPRRAHHWDSESNRATTTCPLPLGPPSGRICVGMRWRPARISFLSLPSGGSSRPPPPPPPPPDMKTTPFPSFFSNSSGYRRGRPRGGRQARRWVWWPGGLGALAVSGRERLTELEKMAVAELAGVGEKDGGGGGKGRGGPPQALRGGGGQRLKEIMDPPLVARAFGGALGQGAAAQGLPLAPSTEAGPRDIIGMWPAATMFALGFLLCPFPALSPSGRNLTALLPELRDLIGSLYRHCRQEFSLLASTRRRRGGHRRRRIISAAQVTFGTVQEPRVRLMPRPQGQHSARC >Sspon.01G0027330-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:94548391:94554603:-1 gene:Sspon.01G0027330-3C transcript:Sspon.01G0027330-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSENIDLVLEFLRKNRFAKAEAALRGELTGRSNSNGMTTQRRVAELKEDEEQEDLVGSNVGPKGAATARSADSSREFIVKEIDVGALPNGSDGKKGSGIGQPQENNVGDLYPWTFSIANSTAEQLAELLVSEEVPRHRRGAMLAEKRDQGVGTEQPGPVLEQKVSFGRGKGKVDAAGRTEINEQGHSRDKTLVPEKEEMLNGCTVKTVLPFPAENPSSSYNSTHHENERKEVKRSTNADGVGKGTKGRLDEGNRLYYFEKSLDTDQVSDRCFDLQLVGDNQREELPKLPPVRLKSEDKLVNMNWEEKINHHGSGAKQSSADHGFMIGSYLDVPIGQEITSSGIAEDTSDLVSGFATLGDDSLEYPIGEYWDSDEYDDDDDVGYTRQPIEDETWFLAHEIDYPSDNEKATGHTRGTDRHDRPTKDEDDDQSFVEEDSYISGEQYFHGKNIAQIGTSEGPMVHGIPDNDMIAQYDGQLLDPEELNLMHSEPAWQGFVSQNSELGMLGNGKFLNDSERPHPDDPFVEDDQHGSVRSIGVGISSDAADIGSEVRESLIGGSSEGDIEYFNESSLSVSGKRHSQQDTEKKRVGAKGVKHDQIIYAEKGNLPPGVADGGFSFPPPLHSGKNHDSDAKSLWSKKDEMYCINDPDDCQNGIVSDDMLATWRKKNSESSLRSSRDEMTSDVVRSRNSSASYDETEDTTNVRHHKLDDAQEEDTGTNLDDEEAAALQEQVRQIKAQEEEFETFNLKIVHRKNRTGFEEDKNFHVVLNSVIAGRYHVSEYLGSAAFSKAIQAHDLHTGMDSLDEIKLLKYVNKHDPADKYHLLRLYDYFYYREHLLIVCELLKANLYEFQKFNRESGGEVYFTMPRLQSIAIQCLEALQFLHGLGLIHCDLKPENILVKSYSRCEIKVIDLGSSCFETDHLCSYVQSRSYRAPEVILGLPYDKKIDIWSLGCILAELCTGNVLFQNDSPATLLARVMGIIGSIEQAMLAQGRDTYKYFTKNHMLYERNQESNRLEYLIPKKTSLRHRLPMADQGFIEFVAYLLEVNPKKRPRLPTCHLEIVYDVSGEF >Sspon.01G0048190-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:107476058:107479833:-1 gene:Sspon.01G0048190-1B transcript:Sspon.01G0048190-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQAKPASGGKRNWSSGGTATGRKNKERGDDKAKGTRVAGWNKGDKKKEDRGRQVSAGSTRRRSEGVPRVGMKRAVSGLDRRKRKVDDDAWDDGGGGGKNVASSKSKFTRKTASTISHRKVSPGKGDRLNPQSLYEDDYPAGKRSTSKDSDVTGGVKSRLVNSVVFKGKKFDATKEVRRTKKGGAKEVDLDEEAADSKKSDDSGLITEEEKPRPRLTRVLDRTGKKIKPSKKVVVPDTEEPAPPKKRKRMKLDPYDTSNKRIEDSPIQDVSSAEKVLVNCAPEEETEMSINANFVLYSQLLGRRRLNEIKNAGYNVKISAPLDNVPFSTSTERERIEESAFRNKLEFFAAAKISSSFPAPTLPEIAFAGVSNVGKSSLLNSLTRQWGVVRTSDKPGLTQTINFFQLASKLCLVDLPGYGFAYAKDEVKESWEELVKEYVSTRVGLERVCLLVHTKRGMKPLDYELVELMERHKTPYQIVLTKTDLVFPIDVARRAMEIQESLKRNKSVVNPVMMVSSKTGAGIRNLRGVLGKLARFIKP >Sspon.02G0006410-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:18958755:18959129:-1 gene:Sspon.02G0006410-1P transcript:Sspon.02G0006410-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding PFAEANAGDSGAAAGSKDYVHVRIQQRNGRKSLTTVQGLKKEFSYSKILKDLKKEFCCNGTVVQDPELGQVIQLQGDQRKNVSNFLVQ >Sspon.06G0030040-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:40588564:40601557:-1 gene:Sspon.06G0030040-1C transcript:Sspon.06G0030040-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGLASFELKLVNQRTGLSFSIHKEALRTFDPKDLSEISIHPKKRSLFESPNYLHDDCLTIECFVTVTKGRFLTETKSLPRIEVPPPGITEHFAKLLETEEGVDVTFSVRGVNFKAHKVVLATRSPIFKAELYGPMREAGGETIVIKDVQPDVFRALLHFIYTDSLPHLDDLHAVDYGEMIHHLLADSE >Sspon.03G0039280-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:12226051:12231056:-1 gene:Sspon.03G0039280-1C transcript:Sspon.03G0039280-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALGQPWGHRFRPTRPAPPDACPSGHRTTRRLRLVFLSPGRDPCASQFPHPLPSPRFSAPNFLSAARLLCNLPKAGERPGTRHILRLQFTAKRYDPVVASSHQKLEMESTIPRNVLKWRGNV >Sspon.04G0013080-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4A:45380999:45386241:-1 gene:Sspon.04G0013080-1A transcript:Sspon.04G0013080-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSAAHLLSAGAWGAPGGQTSALALAADGAPAAAAHPIHPADATDAVDPALPGDATAALPGDATAAAAAAAALASARAAAAAGQERVRAAALLWQRERDAADTLARQIAEAEHLLAQDGGGASSGSTGHLASTTTALRHDPADPLVSQLHYQAGGVQNIRLLVPVVLDPESPSYARWRDLVVLTLRRYALDDHVLDEPTPLIQTPSWLRLDSIVLSWILGTISVDLHDLVRTSTSARQAWLALQGQFLGNAEARALRLDASFRTFVQGDLAVGEFCRKMKTMADSLGDLGWPVEDRILVLNVLRGLSDRYAHLRTWITRQRPFPTFLQVRDDLVMEELTQGLQPGSAITPGSSSSSTALAASSPPEVGGAVVAVVDVVGRWGSWGRPWWGHSCCCSTCSTGGAPWPSFHNPWSGRISMWPYHAPGGETRPPVAMFTAPPTSGSAPPSWAAPPSWAAVPGSAGWDQAALAQSFSTLGLTPPVGPEWIADSGATYHTTPNSGILSSVHSPSSSQPSSIMVANGSCLPVTSVGTAGAHGSFRLPDVLVAPSMVHNLLSIRRFTADNSCSVEFDSCGLTVKDLATRRPLLRCDSTGPLYTLRFPASASPSSLLSAAFATTSSTTWHRRLGHPGRDALMQLSHSSDIRCTRAHDEQLCHACQMGRHVRLPFSSSSSHAARIFDLVHCDLWTSPVLSISGYKYYLVVVDDFSHYSWTFPLRAKSETFPALHHFFAWVSTQFGLTIKAVQCDNGREFDNSTSRAFFLSRGVQLRMSCPYTSSQNGKAERMIRTTNDIVRTLLLQASLPAHFWAESLHTSTYLLNRLPSAACPAPTPHHALFGTPPRYDHLRVFGCACYPNTTATAPHKLAPRSTLCVFLGYSPDHKGYRCYDLTSRRVLISRHVVFDESLFPFATTATPSPSPLDLTSSFPTDAVVEPPLPFVPAGPTAPCPSSPSTGAPPDPAPRSSTESPRPPSPTPPARFARPIRVYQRRARPAAASSPPPPVVPPSPVSPPGTPGPSSPPHTARVATPVYHPPLLHRHPRHVHPMVTRHAAGTLPPPALAALPAESPVSPVPSSVREALLDPHWRHAMEEEYAALLANRTWDLVPRPPGSNVVTGKWIWTHKRRADGTLERYKARWVLRGFTQRPGVDYDETFSPVVKPATVRTVLSLALTRSWPVHQLDVKNAFLHGLLTETVYCSQPAGFVDSSHPDLVCRLNRSLYGLKQAPRAWNHRFAAFLRSLGFVEAKSDTSLFIFHHGAETAYLLLYVDDIVLTASSELLLRRIITSLQQEFAMKDLGVLHHFLGVTVAPHPAGLLLHQRQYTLDILERAGMTDCNPCSTPVDTQGKLSEAEGHPVSDPTAYRSLAGALQYLTFTRPDITYAVQQLCLHMHDPREPHLVALKRLLRYLRGTVDYGLLLHRQSSSTEMVVYTDADWAGCPDTRRSTSGYAVFLGGNLVSWSSKRQPVASRSSAEAEYRAVANGVAEASWLRQLLAELHNPLLRSTLVYCDNVSAVYLSTNPVQHQRTKHVEIDLHFVRDRVAIGEVRVLHVPTTSQFADIFTKGLPSTTFAAFRSSLNITRG >Sspon.04G0034310-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:72742507:72747498:-1 gene:Sspon.04G0034310-1C transcript:Sspon.04G0034310-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSRLPPASTLPPHRRSSTTGRFPRSRRRSRFLRFLQSQREQELRSRFLQSQHEQELRSDSPPRITMFPEPELEKEPDFLTSPPSSPCSVRVNPTSMFGDWHSLLPQSLDATIVGAQSQQSIMLPGPEQEVSDSPTSSPGSPCSVKVNPTGIANYLNLLIKYRSVKDSPNDEDQYFNYLVDNFVSVAADVCNSTLPGDETDYKIEIHRQSVLCAKSALKHYNNDEKNKYLAEQNWVSYSMRRLLSKKCNDGEKPNRLADSHLRSTVG >Sspon.01G0041550-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:46439240:46444989:-1 gene:Sspon.01G0041550-3D transcript:Sspon.01G0041550-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLQDLGVSAFINILSAFVFLLLFAVLRIQPVNDRVYFAKLYLAHKRQHDHTARSAVRRFVNLNICTYITFLSWVPGALRMSETELIAHAGLDSAVYLRIYTLGLKIFLPITTLALLVLIPVNVSGGTLLDLKKEVVFSDIDKLSISNVSPGSNRFFIHLLMAYVFTFWACFMLYKEYSNVAFMRLHFLASQKRCADQFTVIVRNIPRISSHSTSETVDEFFRRNHPDHYLGQQPVYNANRYAKLVKQKERLQNWLDYYELKFERHPERRPTGRTGCLGFCGREVDQIDYYRARISELERRMASERQKVLNDPKAVMPVSFVTFDSRWGAAVCAQTQQSKNPTQWLTDWAPEPRDVYWQNLAIPFFSLSIRRFLISVAVFALVFFYMIPIAFVQSLANLEGLEKVAPFLKPVIEAKVVKSFLQGFLPGLALKIFLYVLPSVLLIMSKFEGYVSLSSLERRTASKYYYFMLVNVFLGSIIAGTAFEQLYSFLHQPPTQIPRTIGVAIPMKATFFMTYIMVDGWAGIANEIFRVKALVIYHLKNMFIVKTERDRERAMDPGSIGLGENLPSLQLYFLLGLVYAVVTPLLLPFIIVFFAFAFLVYRHQIINVYNQEYESAAAFWPQVHSRIIASLLISHVTLFGLMSTKKAAYSTPLLIFLPLLTIWFHKYCKSRFEPAFRKYPLEEAMAKDTMEHTSEPNLNLKSFLANAYLHPIFHPFEQAEKEEEMVEVRVDKAEKQRHRHEEDETQVRNSSQYREETHFRSTHETHYHHEESHVSRSTQYHEGIHVRSDTDSPSPPHFVYHYDIQP >Sspon.07G0019430-1P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7A:71904278:71906293:-1 gene:Sspon.07G0019430-1P transcript:Sspon.07G0019430-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVFPLPRLATLRLRYCSVYPNVVQALLDAATELTTVQLESLFLVLPPDSQQKARLHWPHHDELDGAEPEPPVLRLSFQAATTTLVLALCGMPRQDSGDRRRRSRGAIEIDAPRLQSFKYKGLMRRFILRSSAAPGVARVDLHFLRHDPDDPYRRYDSDDDNEEETIRMLFWQFLHNFTNARTLKLKVGNDIKDIAAIGKAKRARLLCAFPRVECLELEGVHGPKSKTAAVAIANLLHCCPVLDRSDYTKSMDRFVRRRKSKTAMSMEDSSFGDNKYDDVPGITGLSGGSFACLQRTLRRVGLQFRLDNNSSISSCLGLRLIKFFADHARVLEEIFVDTGNRRLDEHLNFNVETQIALAPKPISATASIQHKNLAGSSSEFSRISSASLDSTTDLAKSTVGFTPLQRPERMVCKS >Sspon.07G0031890-2D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7D:31680863:31681636:-1 gene:Sspon.07G0031890-2D transcript:Sspon.07G0031890-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAACNPSATPMELKLKLLKEGTTPNVDTTEYRSIIGSLRYLCNSRPNLAFAVGYLSRFMEAPRQEHMAAVKRVLRYVAGTMHWGVHYHPAGKNAAAPTLLGYSDSDLAGDVNDRKSTSGLMFFLAGGPIAWQSAKQKVVALSSCEAEYIAAAGAACEGVWLARLLAELTSGKILAPKLKVDNKSAIALMKNPVHHDRSKHIDIKIHFIRECCDNKLIDVEFIGTEFQLSDILTKALGRVRFQELRHGIGMKKLALVL >Sspon.07G0005060-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:11976445:11980005:-1 gene:Sspon.07G0005060-2B transcript:Sspon.07G0005060-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQRKAIFRAKLRETKEKQQRRIDPALVRYNEFDQPICRVCNITLKSEALWPAHQVSRKHHEAKAAAAAKAATGAGSRGNSAKQEQPLESQKQKSSTLPTNFFDNQGTKRQSDDTGSEGRSVRREVAVIQPKTIEASTGKSSVRMDQMSKKGSQSNTSVKGTLPGNFFDYGEEDEAPAPVPKELSTSQNVASSIHTKVKGVPDGFFDHNKTGSGMQPNEPSSETAQAKGSLPEGFFDNKDADLRARGIQPQKVDMNDAYKEFEKEIQEDLQEVDDRLEEEEIDAAAEREEYLTLEQQEYRQQVDMLKKQLVESKASRTAKLNSKPIGMDAESSSSDSSSDEEDDNTDFAVDWRAQHLK >Sspon.07G0004390-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:10073253:10075148:1 gene:Sspon.07G0004390-2B transcript:Sspon.07G0004390-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VMADANKRINLTAPLLSVRRHGGGGAETAATGLPAYKQADATSEPPGHTSAVPFGWEHRPGHPKSVRTRRPPPPVPPHPSTIVDVDEPSRVTRNPAVVVTVASERAPRGEERCSDALSRDDVSCFTVNCSATGLSDAAGAGAGAGPCARRSVMMDRFLPAAHAVAAGSPQNTFRKAGSARAAVVLGARTAGGDRVPAQRRVPLQRIAAYHLPPLPPSGKNDDDDANSDAHSTAGFASRRCGLLSTRCVKSALLLSRVARRGAGRPFQEVRGELLLPPRSRNGHGQQRLPHTGDDHGQVSQQSWEEVYIKSLLRSSGPGGLMGPAAAVASELDRTVRELYKRRDGRAVRPKASHLGLLLVLDRSNEACGHGSPARKLSRTGDTTLLLKATTKSSPDGDKQLGRERDAAADAGGGGYGFPLLLEDKEAVAGREMALSPQPLLPLPLPKSPTESWLSRALPSVSTRPPATSFLGLHVQPKKRAPLPRCSSIDSSRDVHHDRQRQIRVHDLLK >Sspon.02G0057670-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2D:63754270:63754629:-1 gene:Sspon.02G0057670-1D transcript:Sspon.02G0057670-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSPKPYFIFLFVPIKHLFAAAAALDLHGPCASRGSEIARSAKRERVLRTWEMEAAHMDSAFNVDAVMYASDRGPAPLLQLRRAGHAGLSSSPPPHPGTRTPYIHFPISSTLLVAPSLSR >Sspon.02G0006670-3D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2D:14110254:14110520:-1 gene:Sspon.02G0006670-3D transcript:Sspon.02G0006670-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RRCGDRKEEARKGEGAGAPAAATEVKIRITRKQLEELLRRVEDGKHGGGGGAPVQEVISELLCVASTSSNFRHRAEGQWRPSLQTIPE >Sspon.01G0058620-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:31052375:31053559:-1 gene:Sspon.01G0058620-1D transcript:Sspon.01G0058620-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAGTPGLQRADAVPEMVARTLGPRWCSTERSLLSGLRRGERMEEIGRGRDEPRRRAKGRASYQRPQLPCVYATEGLVLQTASAHSYTENLIHVAACAEPPVRFLFGMAITIPSMLLVTWVGHHPDISHGLPFTAAAYSPDGDDLVMGVVDAPHKAPAMEIPSAACSPRPAAQLYFSFTYYF >Sspon.03G0016280-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:38785160:38787045:1 gene:Sspon.03G0016280-2D transcript:Sspon.03G0016280-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLPPGFLSLGSGSGSAEFSFPDLLGTHRMPPRLPDEIVEEILLCIPPDDHEHLLCVALICKRWAYLLGSRGFRRRYRERHRTPLLLGFLGNLIDTGGYARFIPTRTFRPVHPDRHDYRAHDARHGRVLLNRIARCGDVFQGVEAALIVWDPITDEQWPLPPLLRDQPVRNWTAAVLCATTGAAGLGTCDHLGCRPGDFHVVFVGIDDKEMFASVYSSDSATWSEATSANLPDDYLHEAVLPALAGNALYFVFRMGMAMLKYDLAMRVMSVMHIPVSWHPRRVVPMAMDDGGLGLAEVDMESNLILWSMEVSADGNVEQWVVSRHIELRTLLPAHALAFCVVAVADAVGVIFVYTVDGVYTFDLNSGQVTKVLSYGFYDIIPFVSFYTPVLRAALD >Sspon.07G0035000-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7C:84736087:84736775:1 gene:Sspon.07G0035000-1C transcript:Sspon.07G0035000-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGEGFLSDILLNGQDDHVTGLDDLTIPTSQDTQTNQDVDVEVVPSREKSNKKQKATKVSRPRDEEDTNNEQAVTYVGQQTEARKRPDGIKKAKENLRRGDGEACLEALDKMWAKKEILDNEKKAKQERFMASLEIDKEALELEKMRVQIDKKKADAEQKRAEAELLKEEKEIMLADMTALNPMQLQWLETMQKQIVARRLTN >Sspon.08G0023600-2D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8D:58768950:58769410:1 gene:Sspon.08G0023600-2D transcript:Sspon.08G0023600-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding HGHGPRKIRTAAEAEPCACTVAIAARKIRTAAAARFLGAPVRASMRHASPYSHAIFEVRAPAPEALPIRAAPLPVARAPPPLPSADPQCSAAATSAFPYKRLAHAHVRVLSATGSAAAAGALHAQCLHRGLAADRFVACSLISAYGRTGHPA >Sspon.01G0017250-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:68339616:68344967:1 gene:Sspon.01G0017250-2B transcript:Sspon.01G0017250-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFENVVKDITLLSDDSWTYRDFVEAEARIVRALQPELCLDPTPKLDRLCQDPVPHKLSLGIGKKRRLRQNPEVVVTSSNMSHGKKVCIDRLPENAKVDEMGITSSNAAQQVGDNITIQNISVLGGSRPNNSSQDAARMHLSQSGLQQALSYSAAGNDRMAGLPANFSGINSSISSPQSMIGYNDTVAANGLLSVKREMQDAPLQDPKRIKPTGGIDHVQQQQIRPQPLGGQEMQWKNHQLHPQLDVKGMQYASSLSGQRYPSSMMSNMQDPGSSLYFNHQHNLRYGAKQEQMDGSDKSKDALQSMAPESSMLDQQQSQAQHLPQQSAARNNVPNMGQWQNTRFAAEDLKKEETIPRRKLAPSSRAPSGPMVQSPVSSKSGEISSSSMGGQFGSAVTSAVIGVQKDKFAANSSAPVGFPSVASSPSDSMHRIQQPAVASSKRKTNSVPKTQPPVSAVGSPASVSNMHAPLNASSPSIGTTPLGDQAILDKFAKIDNISHRYQLFNKKNKVDKISQKKTITNQNHQDVARCLNSCFHSEDYTDTTRPLCNSMISGTINMCKTRVINFVSTNRMYQGHSRPFQVIFKETSDETVKMQYGDLEDFDGPNSYDCVFILPTKYYADLLAEQLIPLMLQDGHSKADDKVVRGTPLANLSTLSGILPDNLVSDVKQEGGVSQQLNAAAHANVAPGTQMQQLPVNRMLSSPSSNQVLAMQQGYMQGAAMPPRSQQLDQNLVQQPQQQQPQQQPLQQNAQAQMQQPSSLPPNQMQRPQLLPTSPLSQMLGPGSNLPMGSSQIGNNKAPTSLQLQMLQAQQQQPMSRKVMIGLGSAMNMGNMVNNVGGLGSLGNVMGMSNVRPISSPMGSMSGLGNNSNPMNMGMASNLAGLRPGMNPAAFAKMRMGLAQQRAAGMYPGMVGMPGSSSPILPSSAGLSMMGQPLNRSNLGPLQRAMMSSMGPPKMSGGNFQLNPQQQMQLQQQLQQQQLQQNPQQQQQLHQNPQQQMQQLQQQQQIQQQLQQQQLQQQLQQQQQHQQQQQQMGSPLQQAQVGSPAGSQQSLMMQQQQISPQQMGQQAAMSPQLSSGTLQQMSNNAANPVATPGPPPSPQLSSQTHGSVNSIANSPMEQLQGANKGGPGSM >Sspon.03G0011310-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3A:30747049:30749534:1 gene:Sspon.03G0011310-1A transcript:Sspon.03G0011310-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPPTVTKSPPSLVPPAGPTPGGSLPLSSIDKTAAVRVSVDFIQVFPAPTSGKEEDRSPSSAIAAMREGFAKALVSYYPVAGRIAEPAPGEPEIECTGEGVWFVEAEASCSLEEARNLERPLCIPKEELLPRPPAGVRVEDTLLLAQVTKFTCGGFAVGICFSHLVFDGQGAAQFLKAVGEMARGLPEPSIKPIWARDAIPNPPKPPLGPPPSFTAFNFEKSVVEISLDSIKRVKDQVANETNQKCSTFDVVTAIIFKCRALAVDFAPDAEVRLGFAASTRHLLSNVLPSVEGYYGNCVYPGGLTKTSQEVKEASLVETVTAIREAKEALSSRFLDWLSGGAKENHYNVSLDYGTLVVTDWSHVGFNEVDYGFGEPSYVFTLNDDVNIVPSVVYLKPPKPKQGIRLVLQCVEGQHSAVFSEELQKHA >Sspon.03G0005710-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:22874388:22879560:-1 gene:Sspon.03G0005710-3C transcript:Sspon.03G0005710-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPAADPQPKKRKVADAQDPSPFSSNPAPARLPSPALPPPETLGAVAPSTSSPPPTETASQPPEEARLQKLRNQEELRNVFQRYNRIRKYIQEHKDGGLTPELEQDYLYLISASRGCESVQCFLSLPIPRFASRCPTALEAATKVTINMYKCNMATVKRGEDLKGVPYKTAKACIIGLTHICSAASSEELKSSVMKGICSVVYRTVLSFFISSLEGKDIYRMIYTKHAMLQDPMALLETLKLELDNDKQPTIDNLSELGAICLLCTFLLFPENILEACFTLLASAECDDVKGEGLYLLNQLTCHLTCNAANVAMGDKIDEQCPVMEGNLSSTNKIVDSNPVVSENAMESNECYITMAISRHPSLRRWISSRYKKLCDSCKPAVVSEVSSCLKVLGSLSEPSEDKSHTGNESSVLEKLDNNVRENMRPDELISSSEQGALAKTESVNNYGNKSLQNMNVDMVRSDNQKSDVLTDAKLNDFKGRTVVSDARHQGTRPDLLMPKSVYDPAGGSTSLTSPGQHFGRAKHLFSEPFDIYGTYVARDVISVSKELWVGSLGNRAMESLVRSKFEEFGPLVNFLFYPSKNFALVEYRNILHAVHACGYMQGSSIWGGFLQIRYLDRLIGSKGFIRGIAIGESRHIYVAKVKNKKDKDEVFDELKMAGLKWPSGITDISGENALLLEFEAAVDAATAKFYIRHQAPPDVCSRDMNPPGHQLLVQNIDHSVPDIDLINAFSQFGEVVRNQFNRSDSSCFIVYRSQDAAARAKSHLHGARFGLKSLSVELRTCSAGSVHDKTVPPVAPLLGQSVPDNSVHHEIRNPRVPGYHAGYAAPGDRPIYGPPPPNTSRAPQGIFPCPPVSAHHGSVIPPPPIQTSFVRPIYPGPGSPWENTTPNPPPFSHVSPRMMPGSSFRVNPASLPFIPSCVTPLPQLPGGSAQHSEKMPPSLPLPTIAPHHLHL >Sspon.02G0007940-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:17599009:17602607:-1 gene:Sspon.02G0007940-3D transcript:Sspon.02G0007940-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RPSVESPMYAMEESTAYLLPPLRLLFVFFAAFSFLAQCGGTRVLRPEKMLLGHYSYYSTPVMPPYADVFASPNTPPPPPGSPNCVLTPDAPPPPPKATTVPAPAFVYSSPPPPEMEYPPPYINPSPPEISPSPPLLPPVIYPSPPEVTPSPPEVVPYPSPSPPEIAPSPPEISPSPPEISPSPPEISPSPPEIAPSPPPTVTPLPPIIYPSPPEVTPSPPEVAPYPSPSPPEITPSPPEISPSPPEISPSPPEIAPSPPPTVTPLPPIIYPSPPEVTPSPPEVAPYPNPSPPEISPSPPEIAPSPPEISPSPPEIAPSPPEISPSPPSYEPTPPSIVPSPSTRQSPNLCPKPAGVRARATNLRPKPARVRTGATDLRAEPTGVLRAGAASNRAEPARVCTRAADVRAEPANLRAVSAWDHPEPSGVRAGTAWDRPEPAGVRAGTTWVRSEPAGVRARAAAGRAWPARVRAGAAGAPAVPSPGGGFLPPVVFPPPFATPSPGTTGSEWCVAKPSVPGPIVQQAMDYACGSGADCDSILPSGPCFRPDTMLAHASYAFNSYWQRTKANGATCDFGGTAMLITKDPSNGGCHYILMCSEYGWHPSTKILSKGISLVRR >Sspon.03G0006600-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:23025150:23027427:-1 gene:Sspon.03G0006600-3D transcript:Sspon.03G0006600-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDAEPPPAMEEAMLTTPDVVLGEGYKDIEAIEGQKDGGTCYGEGGNVIVADEPVGISEDPNQPDAAKADEPEKGADVEGQTNGISAAVDLLTEVGAAVSSSTLVDDLMEVGMQTKEVSAAADDLIEVGAALVSSAEDRTAVAACLVNDDCNIVFTGGVHRLDDQTDQEFGGGSLDPDVVAPLVNDVQDDSGRMYMDVAANDHMHAQDQESPQLDVATALLNEVETELVEAGDHVVQDCANMDMQIQTGHDSEAERVGAIADTATDEEGKHMGEVTTTRDDSEKHDGAVGVDVPDERIQMSRGGLSGDDNEQKEVATSDEDRVEEEGMQVDAVNITGDMVEEGKIAVEYIAGEAVNDEGGIDVPEEKAAQIDKAGNDIPEEEGLQMGGTGLIGNDDGLKEAVIADHDGVEENAILTEAAATTNDDDEDNKIVGEDVAEAVNDISGDVVPEEEAAHMDDDDDDDDDDDEPPPLVAKKGGGRRKRGRPSSKVQAVVKPSVKRKDEEEVCFICFDGGDLVICDRRGCPKAYHPSCVNRDDDFFKSKGRWNCGMCNNFV >Sspon.04G0015430-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:61837507:61839042:-1 gene:Sspon.04G0015430-2B transcript:Sspon.04G0015430-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATMAVTTMVTRSKESWSLQVPTVSLPWKSRSGKNGLEFPRRAMFASVGLNVCPGVPAGRDPREPDPKAPRPADNCDIARQLGAAVPGGQQPQQAAGEAEEEAAKKKKGGGGKKKLGDLRKVRLKIANPHLRRLVSGAIAGAVSRTFVAPLETIRTHLMVGSIGVNSMAGVFRWIMQNEGWTGLFRGNAVNVLRVAPSKAIEVRTTTHPGFWNVQNPWQSCASVTTTPSYELSCFVSMVVVTAQHFTYDTAKKFLTPKVDEPPKILIPTPLVAGALAGFASTLCTYPMELIKTRITIEKDAYDNVAHAFMKIVRDEGPSELYRGLAPSLIGVVPYAACNFYAYETLKRLYRRATGRRPGADVGAAATLLIGSAAGAIASTATFPLEVARKQMQVGAVGGRQVYQNVLHAIYCILKKEGAAGLYRGLGPSCIKLMPAAGIAFMCYEACKRILVDKEDDNEEEDEGEAGARDEDKKK >Sspon.02G0026410-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:91943148:91945731:1 gene:Sspon.02G0026410-1A transcript:Sspon.02G0026410-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPSSATPRRRTSQSQRRLPRNAASASQKLNKGRSRRRPASHLCYGNKRRTDHLAAETHCRCRNGSILDKIDACVECPAAYGSSSMEKATKWDANRLHSRGRRGLQLDDIFFTSPSTSPLLLLMEAELEKARGQVRELEDERRVMTKRLERFLRKLAEEKAAWKARVRDKARHAVAALRDELGAERRHRRQLEQANARLLRDLAEARSSAKQQTQSYEMERKARELMEDACSELTREVEEDQAEVELLRRECLRMREEMEEERRMLQMAEVWREERVQMKLSDAKLALENKYTHLNRLQAEMEAFLRSKDDESASHSAALREARLISDAAAATSSSVVRSRQSGENHHHHHHPVDSVLDHFRRKEKERHRHAAAERERDDDGRRYSSSPASPASSKSNDMLQSVSPATDLFLAKAEDDDDMYADGGSSADLEMDSCSWVGTGDRSASVANGNGAGSGVTTEARSSGASRSSTKNTALIRRLWRSAITESRKKTGRTAADGGGWSPSYSADRRRSSVTAEGPPPPPPPAVAGEQCSSSGGSGLPLPPQRGGGGKQKQSLKEKLMEARMDDHKPLHAHAVKQKP >Sspon.05G0013280-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:42738800:42742136:1 gene:Sspon.05G0013280-1A transcript:Sspon.05G0013280-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MENEGQLNNNSYEQDHDGFTMDEIPDLPWNSHMEYDVDALLGAEDHVNTNQTTDDVDHRSPVGETPSKRAKRFTMDQIQELEAQFRVCSHPNPDVRQELAAKIGLEERQVKACGDENKGIRQELAKLKAENEELKQRTLNPICFRCSNPTVAIQSVSENWRQLNENARLRDEYVRAKAYMDRLIREAAAERPPSAMPSSAQHLAPAHMNMDPVAFTSDYSMATNLEATLISHADRAMKEFVMLATKGEPMWVPAMDGEMLNHQEYIMQTFPGLLGLCPQGFVTEATRETDMIKGTAMDLVSVLTDVNQWSEMFPGIVAYVTSSNVISSGSSSLRDGLIQLMNVELWVPSPRLLNRSVKFLRFSKMMANGQWAVVDVSVDGICGVEQEGSSTSYTTGCRLLPSGCLLEDMSGGYCKVTWVVHAEYDETTVPFLFRPLLQSGQALGACPISTLGVGRRSVLELAGRMMASFYAAVSGPVTVPATSSVDEWRLVGSGSGVERVEAAVRLAVWNCADIMPGEPSVTVLSATTTVWLPGTPPLRVFEYLCDLQRRGEWDTLVDADEVQELGSVATSPHLQGNNAVSVLQPTTVVTDETDSSKVLILQETSTDVSCSLVVYSLIEESLMRGILDGRERRNIFVLPSGFAILPDGHGKAQADHTAADSSSSSAPIDGHNNNAGSLVTVAFQTLLPGNLSGNLDNTGAFEDARLQLCYAITKIKAAIGA >Sspon.03G0021950-3P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:47130690:47134645:-1 gene:Sspon.03G0021950-3P transcript:Sspon.03G0021950-3P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DGEQHGDGGGELRRRREGGGRAAAGVAEPHQHRVPVGGGVAALLHGRARLRPHPPPGLLRLRRRLAVQLRDRHPPAAVGGPRQPPGERRDQPQGQPHLLPVREHGGGGASAQGDGHPIRAALRGGRRHQRGPDLLPRPGRLHDRGLQLRQPPRHPARRRPAAAARARRRPAGGMQAGRGRRQAAAAAAAEQRGGAFPSGPGHGGGRAVCAVGAGHPRRRGVVVAHFVRV >Sspon.04G0033010-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:42089778:42095035:-1 gene:Sspon.04G0033010-1C transcript:Sspon.04G0033010-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVDEAVRALGAGFDLTSDFRLRFAKASEGRRLVELGEETRDVPLPGGGGATLRGVPRDVGVDKGDRIRFRSDVLEFNRMSELLNQKSSVQGKVPSGYFNALFELSGAWLTDAKETKHLAFDGYFISLYNLHLKASPLVLRDEVKKAVPSKWDPVALSWFIKTYGTHIIVEMGIGGQDVICVKQSHSSTVSTADLKLHLEDLGDFLFSDGKNHSPIHRKTKDGKSKVPDVFVRIVQHSNNLHLSSYSEASTKDGLTITCSKRGGEAYLPNHSKWLQTVAKKPDAIMFKFVPITSLLTGIPGSGYLSHAINLYLRYKPDLQDLQYFLEFQVPLQWAPMFNELVLGPQKRKGSYPSMQFRLLGPKLHVSTSQVSSSQKPVVGLRLYLEGRKCNRLAIHVQHLSSVPSMIEDSVASSMSEWRESEDSDPGYIEAIQWKNYSCVCTSAVKYNPEWHKRAPGGVFIVTGAQLVTKGTWSKKVLHLRLLYTHIPNCTIQRTEWTNAPAASQKGSFLTTISTTLSSPFTQRDTHQQAKHEPVQLNSGVYPDGPPVPLRSRKLLKFVDISEVVKGPHDVPGHWLVIAAKLVKEGGKIGIHVKFALLGYEGQSQGDSFMS >Sspon.05G0023350-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:7039312:7053268:1 gene:Sspon.05G0023350-1B transcript:Sspon.05G0023350-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein SLOW WALKER 1 [Source:Projected from Arabidopsis thaliana (AT2G47990) UniProtKB/Swiss-Prot;Acc:O82266] MATDTSKPFFPAAPHLALLPSRNAASRASPEGSYWRAFRSSELVSDAGFPVTDLTFAPAVAAGASPTLAASWSTSLHVFSGDPLEKLRRISVAGDLAFSPSFRCDGALLAAGDKKGVVRVFRADKAAAGALRTLRAHAAETRVVRYPVAGGDKLHLLTAGDDALLAYWDVPSETPVFSVPAAHRDYIRGAAASPADHNIFATGSYDRSVKLWDARTGNTGPSLSFSHGELVESVLFLPSGGLLATAGGNVVKIWDVIGGGRLVHSVESHVKTVMDLALGRMANTGETRLLSAGIDGYVKSFDFGKLKMTHSLRYPQPLLSVACSPCGSVVVAGSAKGKIYLGNRRKKAVDEEEEGRKSVGGEIDWVSPEPEKSVLRPNYFRYFRRGQNEKAKEGDFVIAKPKKVKIAEHDKLLRKFRHKDALVSALAKNNPRSVVAVMEELVARRKLMRCVGNLDNEELGLLLEFLHRNATLPRYAKLLLCLANKVVEMREADIRSDEKLRVYVVFKGRVLGVYKTWMDASAQVSGYPDNIHCSFLTREAGEEAYAQYQVSLKNKVQEVKLKEEAGVATDNSKETPRMTTKDALLFFEESLKFELSLLTEVLLDGEPEPWVCNLVSMWDRHVHPEPLGQGPKARCTSVSMMGTLSLIYAGGLVRW >Sspon.07G0005680-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:4596188:4599431:-1 gene:Sspon.07G0005680-2B transcript:Sspon.07G0005680-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MISSPTTSSSSSAAAAAMATPSRLLLLPVLVLLAASAGAAAAATVSDDVLALVVLKSGLSDPAGRLAPWSEDADRACAWPGVSCDPRTGRVAALDLPAASLAGRLPRSALLRLDALVSLALPGNRLSGTLPDALPPRLRALDLSGNAISGGIPASLASCDSLVSLNLSRNRLTGPVPDGIWSLPSLRSVDLSGNLLSGSLPGGFPRSSSLRVVDLSRNLLEGEIPADVGQAGLLKTLDLGHNLFTGGLPESLRGLSGLSFLGAGGNGLSGELQAWIGEMAALERLDLSGNHFVGTIPDAISGCKNLVEVDLSRNALTGELPWWVFGLPLQRVSVAGNALSGWVKVPGDAASTLEALDLSANAFTGAIPPEITTLARLQSLNLSSNSMSGQLPASIGLMLVLEVLDVSANKFDGVVPPEIGGAVALRQLLMGRNSLAGGIPVQIGTCKSLIALDLSHNKLAGSIPISMGNLASLQTVDLSDNLLNGTLPTELSKLDSLRFFNVSHNSLSGSLPNSRFFDNIPYSFISDNDGLCSSQKNSSCNGVMPKPIVFNPNSSSDPWSDVAPSSPSNRHQKKMILSISTLIAIVGGAVILIGVVTITVLNCRARATASRSALPTALSDDYRSQSAESPENEAKSGKLVMFGRGSSDFSADGHALLNKDCELGRGGFGTVYRAVLRDGQPVAIKKLTVSSMVKSEDDFKQHVKLLGKVRHHNIVTLKGFYWTSSLQLLIYEFMSAGSLHQHLHECSYESSLSWMERFDIIIGVARALVHLHRYGIIHYNLKSSNVLLDTNGEPRVGDYGLVNLLPVLDQYVLSSKIQSALGYMAPEFTCRTVKVTEKCDIYSFGVLVLEILTGRRPVEYLEDDVVVLSDLVRGAVDDDRLEDCMDPRLSGDEFSMEEATLIIKLGLVCASQVPSQRPDMAEVVSMLEMVRSPQGTPEDDLV >Sspon.02G0048370-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:24495102:24501046:-1 gene:Sspon.02G0048370-1C transcript:Sspon.02G0048370-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLAEPPQWRRKATDFFSTSSVKLKQAGQSAGDNIADVAGKVGSVVKSRWAVFQETRQQQQRPPHETVQERIITAAASTGLLFRKGISETKEKVAVGKVKVEEAAKKTADKSKSILNNIERWQKGVASTDVFGVPIEATVQREQSGKAVPLVLVRCADYLVISDSGASLPEGVNPIDVGALVKCYLASIPEPLTTFSLYDELRAARVSIPDLRNILKKLPNVNYMTLEFVTALLLRVSRKSSLNKMDSRSLAVEFAPLIMWRQGDAGTDLRNHLKFTLKPPPKIVDTTSNTSTWDLLDEDDEDASSQIPLDDASPQTTAPLRSSSVSSSITMPSSLTQMKPCGDDQHLL >Sspon.03G0033380-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3B:48978493:48982357:1 gene:Sspon.03G0033380-1B transcript:Sspon.03G0033380-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding IKLSPHLDASASSRCLRLHLLFEHTLPLPGLRASGCRAGAVSRSPPPFRLHLLFDRPLPNPWLQRVRMPRPAASPSPPPRVRPTLAPASPDARGRGGLSQWHVCCAAGSPCMSGRGCSYGLRRRSTYIWGHCSSATRNVLVSREGAPFVYGRRWKRRPGGRLRPLPSVHQFHGCHRRRRKGKKEEKPHKSQTVMLPHCLNCIDKPSDTKLFSPAILCQTKISGLLTMIKNLAKELLSLLQQHAAKHCISAGQLQVPLIIYVSRLLKHASAGRLEAYRGYTVLQKHLLDAIAYIALHLHLDKQKKMAWGSDNGEGNDINLEKALSKV >Sspon.02G0022570-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:76346817:76356233:1 gene:Sspon.02G0022570-1A transcript:Sspon.02G0022570-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVSKKRATLIKLASSSSEATIGTNNFATSTDEICENQFVGANEKIIRKSFTRIGTITGALMESLLVGREKEKSDLIDLISKQDEPRVISVWGMGGLGKTTLVKEIYQSQELSGLFEKRACVTIMRPFVLQDVLDSLCMQLDPESYNRKGNDFGLLRRKLEENEAKIEELSKLVGRKVIDEEVLIEKLGNLLKGKKFLIVLDDLSSLGEWGVIIRSLPKMNSTCRIVITTREENIARHCSEKQENIYKLQVLEDSDALDLFTKKVFKEAINLDEYPTLIEEAKMILKKCNGLPLAIVTIGGFLAKQPKTPIVWRKMNEHISAELEMNPDLGIIRAILMKSYDGLPYHLKSCFLYMSVFPEDYNISRRRLVHRWKAEGYSSEVRGKSMGELADGYFMELIERSMALPSKESIGRRKGISSCKLHDLMREISISKAMEENLVFRMEEGCSLNTQGTIRHLAISNNWEGDQSEFESTVDLSRIRSLTVFGKWKPFYISDKMKLLRVLDLESTSGLVDHHLAPIWKLLHLKYLSLRGCGGIFLLPESLGNLKQLETLDVTDTRIVKLPQAITKLRKLQYLRAGNVYNEIFNDSSYEGLVEELPKRMKMLSIWTLILIFFCLSSCSLEIGKSAAGIEDDDPMNRRDVCTFYCCAMFPFLARLADPIGVALPRGLRKLKALHTLGVVNIARGGKAILQEIKRLTRLRKLAVTGINKKNCREFCSTLAHLSSLESLSVHSEEEEGLRDCLDSLRTPPENLQSLKLYGSLGKLPEWVTGLQNLVKLKLVRTRLTELDGTMQVLGKLPNLAILRLLGLSFEAKEPCYLSCRREAFPSLTALEWGTSLESVLFEEGTAPKLELLLARGGISFSGLSCLPSLKEVMIPSFPPLVRNVQGQLSTHPNKPVLKFV >Sspon.04G0012390-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:40940095:40944456:1 gene:Sspon.04G0012390-1A transcript:Sspon.04G0012390-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AAAHRLPRRHRLSAVVAASTRRAGCRSRTTPPGRRGGGGVGSIWVNPSAPPRPGVASRTLRRLVELDNLDAALHLLLGGPSSTSTPATSDSAPEPPAVITCNILIKKLCSRRRLADAERVLEALKASGAADAVSHNTLVAGYCRDGSLGDAERVLEAARASGTANVVTYTALIDGYCRSGRLADALRLIASMPVAPDTYTYNTVLKGLCCAKQWEEAEELMGEMIRNNCHPNEVTFATQIRAFCQNGLLDRAVELLEQMPEYGCTPDVVIYSTLVNGFSEHGRVDDALKLLSTMLCRPNTVCYNAALKGLCIAGRWEEVGELIAEMVRKDCPPNDATFSTLINSLCQNRLVEYAIEVLEQMQKYGYMPDVVSYNTIISYFSEQACADDALKLLNSMLCKPDMISFNAVLKCLCRAERWYDAAELMAKMLKEDCHINEMTFNILIDSLCQNGQVKDAIEMFELMPKYRCTPDIVTYSSLINGFSEQGLDEVAFDLFRSMPCRADIFSYNATLKGLCMAARWDDAGELIADMVTKDCLPNEVTFNILINSLCQKGLVNRAIEVYEQMPKYGITPDIFTYNTLINGYSEQGCLDDALKFLSTMPCEPDTISYNSVLKGLCRAERWKDAEKLVTEMLRKNCTPNEVTFRLVLLKGSIWVLLLSSLSITGTNLLSTSQTYDRLAFKLNMNNNRIYNHPALLTFHLVLSLPVTPIFITNKLPYRLHQGVYTDQNNPIQS >Sspon.06G0027380-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:85094495:85096081:-1 gene:Sspon.06G0027380-1B transcript:Sspon.06G0027380-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPAAAWGPSCEFQLANWTVEEPAAEVWAPAVGLPLEYASSDMFGTCCSLQAYVPESIGGQQLVEYNYSAASRHNWSPEAMVVDGQNRQEVVDAQKLETRNVVSNDSYATNVFNQVEHAFKVDMDLMVEKMHRYPAGFGDTIGESYTVPRIVAIGPYHHHRDHLKQAEKVKHMAAICCVKESGHLLEEIYAAVASVADNVRCLYDKDVMAGISCVDFRDMMFVDACFLVQYMSMRSRQEIDKSLHGFLSFNRSNIHHDIKLLENQLPWKVVEAVMRFRPVPLYRFMAVLKEYLQDRKPPKEEDPVSILDNSYKPPHLLGLLRYYTVGRSRYTSHRTVKPKSQSVSVSALELAKIGITLTANESMELIDMGLSQEGTLFAELSLAPLTLDRDRASYLLNMAALELCMVKSFSKAPVEDSAVCSYLLLLANLVHRQEDVHQLRVSGLLQGGGGLTDEEALRFFTNLRNMRHGRCYNRVMAQIEIYKESRWKEAKVYAFYYKNKKTIAAVVTGIGALVGIIGALLSIKKSL >Sspon.04G0026930-2D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4D:57593686:57594078:-1 gene:Sspon.04G0026930-2D transcript:Sspon.04G0026930-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKLATARECRAYSLGAGAGSGTASRNRWEYINAGVYIFAAVLLVVGFLAQLPPWGGWSGRPGLVVTAIGLAGVLAVNAHDLLAHVAGVDYRVGMVAGLDAQLALVELAVPAVQIVGALLMLVAVIFFEIQ >Sspon.02G0027700-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:105105663:105108184:1 gene:Sspon.02G0027700-3D transcript:Sspon.02G0027700-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSPVTTAAASAAVVALAVANRVLYKLALVPLKAYPFFLAQLTTFGYVAVYFSILYARCRSGVVTRDMLALPKHRFVAIGLLEAGAWSRFRHSFLVWQLIFSALLLGRMYSARQIIGCFLVISGVILAVASGANEGQFLSEVKLIWPALMIASSAFQAGASILKEAVFIDGAKRLKGKRPDIFVVNSFGSGFQALFVFLLLPFLSNLRGIKFAELPAYLNGGAECFLNVAESPIGNDCGGAPFLPLLFIVVNMAFNISLLNLVKMSSALVASLTATSAVPISIYILSLPLPYIPHGAELSTSFIIGAM >Sspon.03G0022070-6P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:39618793:39625622:-1 gene:Sspon.03G0022070-6P transcript:Sspon.03G0022070-6P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMPSLLSPRATSTRAVEPTAHSASPEPRLSYSSPGAAAAPSRASDDSCVVNDVDAFARTIAAIRSKPPAAAASGSGSSLASVLSHYAARWLPDAASSPSGRFLLPPESPTAAWLKKRLLLESLVAALPPDDGDGDDKDGGKSDGITCDFLLRLLRAGSTVGADAALLGDLEARAARRLDQASLGAVMIPAFGLGGLARGALAPCAPYASPPTLLDVPLVLRLVRGFLREGRAKAGSGGGAAAARVARLVDAYLAEAALEAGLRPAEFEELARAVPAHARAADDGLYRAVDTYLKAHPRATKEERRSLCRLIDARKLSAEAAAHAVQNDRLPVRCVVQVLFLSSEHGGGGGKLIAGHHRLAEWSGAGGGSFRDPQQIIRCPAAAASLDLPYYGAAVAAGGSARCPSKREAAVAEQHHELRRLREDVARLQAQCHALQAQVDRLGSESGRRRRGLFRWGAAFLFGGGPGPGAGATSRVDDSDSGVDRTPLSAGKLQGRGTPTVSRWRSTRIGLVRLMRLHNGSDVVAGLAWSAAATGAVPAAAASAALEVALAAATLELRLACAASAAAAAAALEVALATATAAALEVALAAAAALKLGLAGATTTAATTATHAGAAPTAATLEPRARARRCRSSRRSARVRASHHRAPASLALSFLGFDGLMVSDRDRCRRLSNLGLLDGFRPRPYCNRDLGFGWAGYGLAAGFVKPLFRVNVIHSRENQ >Sspon.03G0001900-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:4672367:4673110:1 gene:Sspon.03G0001900-1A transcript:Sspon.03G0001900-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSERWFHLHWRCRGGVPGLLEKLLPRHDGGLPWPQLHREQLPPARRRGFRDRGCGGRRLRRSESGRRRGNGRGCRRRRRNPSRCSSGRGGGGRGGGRHGVFHGKAGGIGNSRGHGIRSKDLGFSGRRFWGAGVGVVQVSGEWRRWAPESGEGDTKTPSVLVEAEGVARAGWGELAAVDFSSPLGWLLVPPPRTRPRLPNRNGRAWTCGTGGCLLVLALTLGLGPWEYKSVTARMVARTPHPWAASP >Sspon.01G0013610-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:40901885:40904876:-1 gene:Sspon.01G0013610-4D transcript:Sspon.01G0013610-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding CIPCGHVYGRHCLETWLAQYGKKKAPCPQCGKRYTPNNIINLYVPEIAVPSNDLEKQVLYLREKNESLEKQQAKLLEEIKEYKRIIMLQQNIIYESSSKRQKMTEQSSDGIPGAEPIASLTKDIDHSNLSSFALQNEFLVDGARVMGIDASSQIIFTSGRHMELVLNTSLQRYKSLLLNDFRHGMEKIYLPPDTKAIRDICVLPGGDVVFASLGRKLSLFSVQVLFFTGFLATFTPISDLCSHVLYPSVATNNVVLQCDLPAPGWSCSGDYTTSTHIYAGLQNGMLLVFDIRQTSAPLHSTMGLSTHPVHTIHCAVDDSGSRKVFSASSIGPCVWDVSENRPSLVSGMENQGVCISLACTSQSSDLLVASYRPRVELPDDSATTQAATPQSPALTGSGKLGCHTLLRRTTTTSFAKDHICSGSVSELRMSKSAIIPCGGNQHLFAYGDESLYGVRTWRLPSFQRYIDLKPHRQPVLDLRFAESSTGERYLGCLSAEKLQVFTVR >Sspon.06G0008940-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:40912220:40918200:1 gene:Sspon.06G0008940-3C transcript:Sspon.06G0008940-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAATVPRSAAACRAAPLLPAARTAARHLALPRRAFSASVAAARARAPAPAPRCLLAARRAADGDSVETAPEAVPIEKRFPPFPSVMDINQIREILPHRFPFLLVDRVIEYKAGEYAVGIKNVTINDNFFPGHFPERPIMPGVLMVEAMAQVGGLVMLQPEVGGSRDNFFFAGIDKVRFRKPVIAGDTLIMRMTLTKYQKRFGLAKMEGKAYVGGDLVCEGEFLLGMANLVAMNIKRKDAEVASHGFSMFLDPKRIKLQDEAEIPDMMEEEEPLADADAGAAPVVVQVQPTMLMPPSPLPLKPTQGQEAAVLHDSTVSTEPGSRSSEPPLAAEQAAPMAMDIEEDSWQCQPRQPPPQPQPGQHPHFWSVSKTGNGLSGERLWSSMWIVEQDRDDARREDTS >Sspon.04G0015230-3C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4C:63198119:63202988:-1 gene:Sspon.04G0015230-3C transcript:Sspon.04G0015230-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAGRAGGGRRPRAVFMAFGTQGDVFPIAALAAAFARDQQEYAVVFITHSAHRSLSAHLAASNVRYMPVSSPPVLAAEQVENISSMVSAKKLWSAQVTCPFLLDQFYWSERLHWLGVAPEPLQRQNLVPDNDDALSIHNAADVLVGAIRSALSPEIKAQATRIADRLSFEDGIGEALRILKERALTQNKT >Sspon.08G0002450-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:7193639:7200562:1 gene:Sspon.08G0002450-1A transcript:Sspon.08G0002450-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCVVENGKFSYGYASSPGKRSSMEDFHETRVDGVDGETVGLFGVFDGHGGARAAEFVKQNLFTNLIKHPKFFSDTKSAIAETYTHTDSELLKADTSHHRDAGSTASTAILVGDRLLVANVGDSRAVICRGGDAVAVSRDHKPDQTDERQRIEEAGGFVMWAGTWRVGGVLAVSRAFGDKLLKQYVVADPEIKEEVVDSSLQFLILASDGLWDVVTNEEAVAMVKPILDSEQAANTLLAEASQRGSADNITCVVVRFLEQHNGLGRATNEQAS >Sspon.03G0001820-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3A:4431472:4441219:1 gene:Sspon.03G0001820-1A transcript:Sspon.03G0001820-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGATPPHPSHSMAGAPPPHPSFSCLPPKPVLRSADPRQDPRPRRLVAAAAGQEAMAGAPPPHPSHSMADAPPPHPSFSCLPPPAGAQIRRPGAAHPPAPAPTTTSGPARGKLPPCRVWSPTRAPGWACWPSPRLRPCPSAFPSLPSTAPAPGRLPSACFPPLSSAATPGAGLLGGPAPGRLPGVSAAPGADRPCFCCHSSEPLLLPPFSVPQQQPPFSSLSSTSTTAAPAALCCYPPCSGSVLEAGAVWTGDPRTPDSIAPDPWAPQLPRPGSMAQARDPCAPLRAHPSSFLPATSTFLPHSRPCLLRVAFARRRQWSLVLPRAFTPLHLPVPCLGLSVGTRLPWLPPLAPPPVLIGIADSGATFHTTPDARLLSSLRPPHPSCPSSIMVANGTCIPVSSVGTASFHGSFHIPDVLVAPGMVHNLLSIRRFTTDNSCSVEFDPSGLTVRDLASRVPRFRCNSTGPLYTLQFPTSVSPSAPSSASVSPSSTSSAAFAATPSSTTWHRRLGHPGRDALLQLRHSADIRCSRAPDDHLCHACQLGRHVRLPFQSSSSHATRVFDLIHCDLWTSPVLSMSGYKYYLVVVDDFSHYSWTFSLRAKSEAFPTLSHLFAWVSTQFGLTIKAVQCDNGHEFDNSTSRAIFLSSGVHLRMSCPYTSSQNGKAERMIRTTNDVMRTLLLQASLPARFWAECLHTSTYLLNRLSSTACPAPTPHHALFGTPPRYDHLRVFGCACYPNTTATAPHKLAPRSTLCVFLRYSPDHKGYRCFDLTSRRVLISRHVVFDESAFPYSTTSPPTPPADPAEASFFPTDPALPPPFSSYPAGTAPARSPGGPASPLPDSHQDLPVPDTVEAAPELPPSLPVASLPPVVPDAAVPIAGPSTPDPPPPGRFGLVYQRRREPRPPSPPPGRFDIVYERRREPAPPLSSPAPSSPVHAPPASPRSRADPPVYHPPLLHRDPRHTHPMVTRQASRPQALTAAACEPEISPVPSSVRAALADPHWRRAMDEEYAALLANQTWDLVPRSPGSNIVTGKWIWTHKRRADGSLERYKARWVLRGFTQRPGIDYAETFSPVVKPATVRTVLSLALERSWPVHQLDVKNAFLHGTLTETVYCSQPAGFVDTSRPDFVCRLNKSLYGLKQVPRAWYSRFATFLQTLGFHEAKSDTSLFIYHYGGETAYLLLYVDDIVLTASSEPLLHRIIASLQKEFAMKDLGVLHHFLGVTVEPRPSGLFLLQRQFTCDILERAGMTACQSCSTPVDTQGKLSEAGGPMLGPADSTAYWSLDGALQYLTFTRPDITYAVQQLCLYMHAPREPHMAAMKRLLRYLRGTLGYGLLLGRSSTAELRQPVVSRSSAEAEYRAVANGVAEAAWLRQLLAELHHPLARSTLVYCDNVSAVYLSTNPVQHQRTKHVEIDLHFVRNWVAIGDVRVLHVPTTSQFADIFTKGLPSSTFSEFRSSLNVSSG >Sspon.04G0001380-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:5945003:5948300:1 gene:Sspon.04G0001380-3D transcript:Sspon.04G0001380-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AMGNASSMLTQYDIEEVQEHCNYPVSQQEIVSLYERFCQLDRSAKGFISEDEFLSIPEFSLNPLSQRLLRMVDGLNFKDFVAFLSTFSAKASLRQKIAVIFKVYDIDGKGKITFEDLLEVLRDLTGSFMSEEQRQVIDHPGLKMEVEVPID >Sspon.06G0002650-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:8433667:8435705:1 gene:Sspon.06G0002650-1A transcript:Sspon.06G0002650-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFFSDDPSSCICPPSPSSRSSKSMAAWKVRGDAGRLLPVITFFFGAAMTGAFVFLGATMDMSWRFAAWGNGARAVAGDEVKPFAELAELLKNASMEDNTVIVTSINKAYAAPGSLLDLFLESFRAGKGTAGLLDHLLIVSVDPGAHETCRSVHRHCYLLRPDNEDGAAPAVDLSAAKYFMTKDYLDMMWARNRFQQTILELGFNFLFTDVDILWFRNPMRHIAVTSDVAIASDYFNGDPDSLHNQPNGGFLYVRSMNRTVEFYRRWREARAGFPPGTNEQHVLARVQLPLTRRLGVRMQFLDTAHCGGFCQLSDDLRRVSTMHANCCTGLDNKVHDLRNVLRDWRNYTAAPREVRRRGGFGWTKPGRCIR >Sspon.01G0052230-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:20220618:20223382:-1 gene:Sspon.01G0052230-2D transcript:Sspon.01G0052230-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQIKELRIVMPMSMEEYEIGLSYTIMKMEQQNTNSKEGVEVLQQVPFEDEKLGKGQFTSKIYHLQRALHLPALSQCMRTLGVHFQRAEQVHNLTSEQLAAREVEIIDIASISRDYWSKVIGAPNVDLTTFKSQRTERGPLLKGWMDSCVPVMTTYKLVIMDAPIWGLGERLEDCIIAGERALFLASHRLCFAWIDEWYGMTMEQIREMERQTDMLLKKTLKKPGKAGSKHEGSRKTLKDEIVAVGSCT >Sspon.02G0032440-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:86723607:86728297:1 gene:Sspon.02G0032440-4D transcript:Sspon.02G0032440-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSSSPSRKALSKIACNRLQKELAEWQLNPPAGFKHKVSDNLQRWVIEVTGAAGTLYAGETYQLQVDFPEHYPMEAPQVIFLNPAPMHPHIYSNGHICLDILYDSWSPAMTVSSVCISILSMLSSSPAKQRPADNDRYVRNCRNGRSPKETRWWFHDDTV >Sspon.01G0013260-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:35960378:35962256:-1 gene:Sspon.01G0013260-1P transcript:Sspon.01G0013260-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGGLASSVALGVLAVVLGSSCLVALSEDEPLENLRFVRHAQDAPLVSQYNYIVIGGGTAGCPLAATLSEHSRVLLLERGGLPYRNMSNQQHFTDALADTSPASPAQRFVSEDGVVNARARVLGGGSCLNAGFYTRASNDYVRAAGWDTRLVNSSYRWVERALVFRPDVPPWQAALRDALLEAGVTPDNGFTFDHVPGTKIGGTIFDNSGQRHTAADFLRHARPRGLTVFLYATVSRILFRQQEGVPYPVAYGVVFTDPLGVQHRVYLRDGAKNEVILSAGTLGSPQLLMLSGVGPQAHLEAHGIQVLVDQPMVGQGVADNPMNSVFIPSPVPVTLSLVQVVGITRSGSFIEGVSGSEFGIPVSEGARRLARNFGLFSPQTGQLGTLPPKQRTPEALERAAEAMRRLDRRAFRGGFILEKILGPVSSGHIELRSADPRANPAVTFNYFQESEDLERCVHGIQTIERVIQSRAFANFTYANASVESIFTDSANFPVNLLPRHVNDSRTPEQYCRDTVMTIWHYHGGCQVGAVVDDDYRVFGVRRLRVIDSSTFKYSPGTNPQATVMMLG >Sspon.03G0014320-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:66961814:66971478:-1 gene:Sspon.03G0014320-2B transcript:Sspon.03G0014320-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELVVSMVVGPLLSLVKEKASSYLLEQYKVMEGMEEQHKILMRKLPAILDVITDAEEKATHREGVKAWLKEVKTVAYKANEAFDEFNYEVLRREAKEKGHIRKLGFEGVKLFPTHNRVAFRNKMGNKLRRIVQTIEVLVAEMNTFGFNYQQQTPASKQWRQTDSIVIDPGNIASESRDEEKQKLIKMLIDNASNADLTVLPIFGMGGMGKTTLAQLIYNDPHVQKHFELHKWVCVSDEFDVFKLANKICNSTEKNLEEAQKNLQDILKGKRYLIVLDDVWNEDGDKWEKLKASLKHGVNGCVVLTTTRKEGVAKLMGTVEAHDIALLDAGAIKKIIETKAFVGTGPDCSSIGELQHLNDLGGSLLLSQLENVKEATDAKLANLGNKKEIRELSLRWTATEEGKPHCPNVLEGLGAPHGLEALRINGYQGTSFPAWMGMLGNMVELHLYDCKKSKNLPSLGQLSIRSCQELIALPEAPLLEEPLLLELFGGDYEKAHSAFPALKVLELEDLENFERWEQVGATQGGDTMFPHLEELSIGDCPKMTALSAELTTLPEGPKLSSLTILGGHQQILLAAIPRAIDSLSELKFSAASPPAEQGAFELADSNNIKSPLTSLQLRSNCNLLFHSSALALWTCFVQLQDLKFSFCNALVYWPVEVFQSLVSLRNLVIWDCNDLIGYARAAPGQPASERIQLLPNLESLDIRDCESLVEIFSVPASLKAMMVKRCPKVELESIFGKQQDKPTLNEGLSTDVMACTAVPQLSSSARDHFLSCLESLEIWRCGSVSEVLNLPPSIREIDISECDKLQLLSGQLDALRTLEIRRCKRLRSLESGSGELQMLERLDLQDCESLAPFLHNGPQAYSSLRELTITGCPGIKSLPSSLRQRLDNLEEKDLDARYEDNELKQQHKNQATY >Sspon.04G0006120-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:16784126:16784437:1 gene:Sspon.04G0006120-3C transcript:Sspon.04G0006120-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AMSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDAVTYTEHARRKTVTAMDVVYALKRQGRTLYGFGG >Sspon.04G0006470-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:16400626:16407701:1 gene:Sspon.04G0006470-1P transcript:Sspon.04G0006470-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNSSAAAFFGVRDGDQQDQIKPLISPQQQQAAALPGVAGAPTAAAQPPPKKKRTMPDPDAEVIALSPKTLLATNRFVCEVCNKGFQREQNLQLHRRGHNLPWKLKQKNPLQAQRRRVYLCPEPTCVHHDPARALGDLTGIKKHFCRKHGEKKWKCDKCSKRYAVQSDWKAHSKICGTREYRCDCGTLFSRRDSFITHRAFCDALAQESARLPPTSLSSLTSHLYGATNAGNMALSLSQVGSHLTSTLQDGHHHHHPSTELLRFGATAGGGSSIAARLDHLLSPNGASAFRPPQAPPSSASFFLNAAGASVGQDFGDDAGNGPHSYLQANKPFHGLMQLSDLQGNGAGGPSLFNLGFFANNGNSSGSSHEHASQGLMNNDQFSGGAGGGGGGGGSEVSAAGIFGGNFIGGGDQVPPPGLYSDQASMLPQMSATALLQKAAQMGATSSANGGAASMFRGFVGSSSPHVRPATPHMEQSDANLNDLMNSLAGGGVGAGGMFGGGNGAVSAGMFDPRQLCNMAEHEVKFGQGGGDMTRDFLGVGGGGIVRGISTPRGGGDHQSSSDMSSLEAEMKSASSFNGGRMP >Sspon.08G0026170-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8C:17530163:17530532:1 gene:Sspon.08G0026170-1C transcript:Sspon.08G0026170-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VCQPLKARTANSISLEPCEEASGTIGVSGDISPPRPSPCDVIKGARPPAAHSRLAQGARGTLRRNREPPGPQHGARSIDMMDVTVHSQQGHQNHALTRHDLSHSTTTTFMPPQQRGDGSGAT >Sspon.08G0009830-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:42924088:42928542:1 gene:Sspon.08G0009830-1A transcript:Sspon.08G0009830-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LQFEVFQRYSSTPWPFLPFRPCEMCGVAFRSNSRRKISSRNNFGVALQPKSSAFFTPGLFGNRSSVCFRATDSCGIALKVNNIGNSRPFSTTYSKKLRFLIKNKTSFSNLNMRREDGSVAHSLFHRSEKRQSTLATCSTIADEASTSTSNSAKSVTDAKTDTAKRKSSRGSKKEADGDMKEKEVHTKKKRISARTRKAATKTTESTSANQENKKTDNCKSKKGADSSKEKKVNNRSKSKAKVSAASSVPSEAEICMKSSIDGSGIEKKSLVSLYPPRAKSVVVVESATKAKVIQNYLGDMYEVLPSYGHVRDLAGRSKSVRPDDDFSMVWEVPAAAWTHLKSIKVALKGAENLILASDPDREGEAIAWHIKEMLEQQGALGYDVTVARVAFHEITENAIKKALMSPRYIDMDLVNAYLARRSLDYLIGFGISPLLWRKLPGCQSAGRVQSAALALVCDREAEIEQFKPQEYWTVQTYFKMQSADPSNGTCIPFRIKHLNSKKLDQLSIPSQEEAQAIEKRIHSSKFEVLGVKRSKIQKNPPMPYITSSLQQDAANKLHFTAGHTMKIAQKLYEGINLSSEETTGLITYIRTDGFHISDGAAEDIRSLAKERYGQKYVSEDTRKYLKKVKNAQEAHEAIRPTSIRRLPCNDSPCVLVDLLHFSQLQLLTIS >Sspon.02G0017070-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:48409094:48414581:-1 gene:Sspon.02G0017070-1A transcript:Sspon.02G0017070-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSPKPESSFGPNPNSGTHQQQMELPGGNMGPSNGTNNNTNMAARQRLRWTNELHERFVEAVTQLGGPDSNKADNKDPGDLLAGLEGSSGLPISEALKLQMEVQKRLHEQLEVQRQLQLRIEAQGKYLQKIIEEQQRLTGVKSETPAGGASVTVSSDQFPDSERTEPSTPAPTSESPTQVGASNRDTGDRTEAIKSTCHGDSLSRHEPLTPDSNCQNGSPAASPNHERAAKRQRGSGTEFLDSEAEFSLPRHIFESSSGSEFQQYSMSYSGQ >Sspon.04G0026640-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4B:48381680:48384049:1 gene:Sspon.04G0026640-1B transcript:Sspon.04G0026640-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AVLRGDRGGRGRRRTGGGAYLLPAEQTVFPNRIA >Sspon.04G0011680-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:7511961:7512560:-1 gene:Sspon.04G0011680-2D transcript:Sspon.04G0011680-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPLLAPLLLCCSLLCLAPAAAPRRLLHGHGHPRPPYARNATAYVASAALCPGCEAWADALEFLYYHNLVRLASLEPPLAWSPRLASYARWWAAQRRGDCALRHSFPDGQFALGENVFWGGPGGAWRPRDAVADWAAEGTDYSYADNACAPGRECGHYTQIVWRRTTAVGCARVACDDGGVFITCNYYPPGNVVGERPY >Sspon.01G0037220-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:20890939:20892499:1 gene:Sspon.01G0037220-1B transcript:Sspon.01G0037220-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAATCIAEMKHGWPTKGRCCFQEIENQLDSLCIECDHVVLYPICLWFVKFLRMGLSCAGWGGVVLPLPGVIQENQSIFVWFPVQSDHARAYELATLQSVSYYLGVQNVYG >Sspon.02G0046850-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2C:8578412:8579806:1 gene:Sspon.02G0046850-1C transcript:Sspon.02G0046850-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHAIRGGPWRYRGDAFLVTKLEVGVEPSLMQFITVPIWVQFHKIPFYLLSKELAAKLGEKVGEVLLVDVNSHGNINEKFMRARVLLPLHTALQKSISLIDEETDEEVVTEIRYERLPNFCLYCGLIGHKELQCFAPEAERRTRYTTELCVPPVIQGDPRSWLMSEGAVRGPYRARQYKQNNEVRALQQTAVEEVANGVANLLMKDKNNSMTTATMNNDTELCTKNLADVNQMQVDSHSLFFNLGEQIVKSSIVCAGEKGLCKQPPVERCEGLEVCNPTLYNTNYVSGEEEKDNRLSGDRSALASPAPGASDFAGMARAYQEATMMQMKSSDNEAPTPTEATLPENQSAKKKDGKWVRYANKGKVEGQSKMMQPIEHDQTNLYNQNAKRFRPPTLSECLGEEGVKRLREMELNRLGEDIHTSTQSEERNTTVEGGHEGEGKLEATGPGAPGQLTGANDSACQAP >Sspon.04G0024930-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:27851276:27856375:1 gene:Sspon.04G0024930-2D transcript:Sspon.04G0024930-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATTFPLLLLIILSSSARADPDAVVSRIAFGSCANQSEPQPIWDAVVGFGPQVFVWLGDNVYGDNKRPFRVFGRDRTVGPWKNAPRFYPSTEEELRRQYQLARAQPGYARLRETAQVIGTWDDHDYGLNDAGKEFSGKVITQRLLLDFLDEPEDSKRRKQAGVYASYMFGPEGKRVKVILLDTRYHRDPLLSDGTILGDPQWQWLERELHGPRSEITIIGSSIQVVSNLSATTGPLFYVESWARFPRERERLFRLIDSSKRPGVIFISGDVHFGEITRFDCGAQYPSYDVTSSGLTQSVDNTVPEVFQPLMRLLAVLTPTTMRVLSPNCLYKSCTTGQPNFGAIEIDWNAVPLRIKLELRDVEGRSVHSVEFPISELQPSDGHAIKRQPHTFQRHCTLETELPWLTRYRLALMLFVTIAVFVVAVVLLAITCLSNFTKSSKKSKKE >Sspon.08G0009120-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:35077830:35084978:1 gene:Sspon.08G0009120-3D transcript:Sspon.08G0009120-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKDASAPSTTVPKMTASSAKNGFQHGASKVTSGSPKTLSTLMVSQVISKHPLLNGTLAGASSVKLPSNPSAVSLPTGSADVKKQIVVNGSDSTQNRGHHTTSSNQSSLQSSCQAEKSMAKKGHAVHPVTSSVVMGYQDPKRELPIQRQSIFSNHKAIAKNVEQVLHRPADHSSWTVPSTEYMNTRLDCQICKVAIMNMESLLVCDACERGVHLKCLQHYGNQGLPKTEWYCPTCVAHCKGKPLPPKYGKVTRTIVAPKTCMTGDAQPSQVAAENPTETGGSSCSSKILAGNGSVINQNTNKVGSPVCKSGTLALDAGSKSPSISGAEPQKENVKYEETSSVEKEGNNPPCGGIPTETATLYNGDQSTRASTYGSGNLSGGSHMHFKRSSANPVNYSNLQSTELSGLKHTDHSFIVSSIETCENTRTPYRHPSENEQLPSTRTSADEIHQTDGVANDGIGKPHKHKIMADDAISNHDNAHQVTSNGHIYSKRETVGDCKDRYVGCSTASIVDWVGDGLKSIDNRTYYNSCNIDGVIYSLHDHILIATEGNKSGPCKLQSLWEEHDSGSRLAMVNPYFLGSDIPQSISKPCVEEDEVYGSNDERTVLVSTICGPCEVLHADKFREETKRRRQLDSSGCRLHPIFFCRWNYDESTSSLYKDYNVDN >Sspon.04G0023370-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:15793547:15798503:1 gene:Sspon.04G0023370-2C transcript:Sspon.04G0023370-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding EMITVAAPEAQPQVAAAAVAPAAPEETVFRSKLPDIDIPSHLPLHEYCFARAAEVADAPCLIAAATGRTYTYAETRLLCRKAAASLHGLGVGQGDRVMILLQNSVEFVLTFFGASFLGAVTTAANPFCTPLEIHKQFRASGSKLIVTQSAYVDKLRHEAFPRIGAASDGGEDEDNALTVLTIDDAANTPEGCLAFWELVTPADDAALPEVSISPDDPVALPFSSGTTGLPKGVVLTHGGQVSNVAQQVDGANPNLYMREGDVALCVLPLFHIFSLNSVLLCALRAGAAVMLMPKFEMGAMLEGIQRWRVTVAAVVPPLVLALAKNPALEKYDLSSIRIVLSGAAPLGKELVDALRARVPQAVFGQGYGMTEAGPVLSMCPAFAKEPTPAKPGSCGTVVRNAELKVVDPDTGLSLSRNLPGEICIRGPQIMTGYLNDPEATARTIDVDGWLHTGDIGYVDDDEEVFVVDRVKELIKFKGFQVPPAELEALLIAHPSIADAAVVRQKDDAAGEVPVAFVVRAADSDIAEDAIKDFISKQVVFYKRLHKVYFTPSIPKSASGKILRRELRAKLAAAATT >Sspon.05G0013660-3D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:5D:47775794:47776207:-1 gene:Sspon.05G0013660-3D transcript:Sspon.05G0013660-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHVKPLEEETIIRRTNPMGTMGYMDPVFFSTGELTTESDVYAFGVVILQLLTGLDGLNIAEKVRGAAKLHRLLDVSAGPWPEVESERLLKLALRCCSLERKQRPLMTCDAEWKPLLILRGKAAPAKKARKWNCFSF >Sspon.06G0016290-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:88434700:88435598:1 gene:Sspon.06G0016290-1A transcript:Sspon.06G0016290-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GGGGDLRRTGGAGAGGGGRRAVRGGGYGGGAAGVQRAGDVPLLQPAAERVEPQRRQRLLLHVGRRQAAVVAPEVRLDRLLWARGAQGPGRLRQVHPGDEPCDGRVHRGEDRGPVQQRRAGPGLRDGVQEDRHQRAGIPDGPPQRQLPVRRLLI >Sspon.05G0014790-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:5C:48913178:48914319:-1 gene:Sspon.05G0014790-2C transcript:Sspon.05G0014790-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEGSMRRRRRPSSPALAAPLPDNEDLLHEILLRLPPVPSSLPRASLVSKRWRRIISDPQFLRRFRAFHHRQAPLLGFYLCDAGSPCFTPTLDPPDRIPSARLSLTLSHNENGRFLGCRHGLVLILNSTRLEITVWDPVTGDKRCVALPRGFNREHPRFAVLCGALLCDDHAGRAPLESFKVAVLRTDDVLLDADPHAFAFLCESKADVWSNTISASIRAPLSFAKPSILVGNSLYWLLLGYGNGGILKFDLDRKNLTAIDTPTDARDALRSQILRMEDSRLGFAILTDFSIQVWEKKPNSEGGAKWMLQKTINLDKLISLGPAFDRSWTLIQGYDEDGNTIFVKADRQVFMIQLKSLELKIIFEDDIILYHPFTSLYTT >Sspon.02G0003620-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:10461726:10465004:-1 gene:Sspon.02G0003620-2B transcript:Sspon.02G0003620-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MYILLVLPVVVLASSAAAAADGSFITWDDLSIPPAAAVQGAVGGGVKAASRGAPTRDLDTIVVSQDGTGHSRTVQGAVDMVPTGNRRRVKILVRHGVYREKVTVPITKPFVSLIGMGSGSTVITWNARASDIDHRSGHQVGTFYSASVAVEADYFCASHITFENSAPAAPAGAVGQQAVALRLSGDKTMLYRCRILGTQDTLFDNIGRHFLYNCDIQGSIDFIFGNARSLYQGCTLHAVATSYGAIAASQRSSAAEDSGFSFVGCRLTGSGMLYLGRAWGRYARVVYSYCDLTGIVVPQGWSDWGDRSRTKTVLFGEYSCKGPGASTRQRVPWSRSLTYDEARPFLGRSFINGEQWLRL >Sspon.02G0004950-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:15703961:15705179:-1 gene:Sspon.02G0004950-1A transcript:Sspon.02G0004950-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLVRPAAMEEELASSGHGCELGWRKEGAGDGAAIWGGHGGGSRPAPTRSCLGERGWGQGRRAEQGQRRRSAPREREATRGHGKGKKEDVQSCHGFTDNHMGTGLCCLFHRRSSSDQDYYKTTTTTISCRSDDNETPTSARVSGGPSSRRPASAAHQGPVGPDPRPLHEVGSVFTVRLFHLKLTFLVGPDVSSHLYRGLDSEISQDEVSQFTIPTFGPGIAFDVNYATRHEQFQFFGDTLKP >Sspon.04G0007260-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:19584780:19585859:-1 gene:Sspon.04G0007260-1P transcript:Sspon.04G0007260-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Receptor-like cytoplasmic kinase, Salt tolerance, Oxidative stress toleranc [Source: Projected from Oryza sativa (Os04g0540900)] MFRGCGLFACARRGRGDHLRKRGELGGASSRVAPAEPEPVCVDDAEAEDSSGAAARQLAWAEVETATGGFSSKVVGQGGFSTVYLASLSSSSSSSSRLGAVKVHCSSERLSRAFRRELDVLLSLRHPHVVRLLGYCDERDEGVLVFEYAPNGDLHEALHDGAGDLLPWARRVAVAKSDVYSFGVLLLELLTGKEAVCRETGHRLTVAVRPKLSDGQVSDVLDQRLGGDYDAAEAAAVAELAMQCVSDSPGLRPSMADVVRVLQEKTSAAGSKLDRKMVS >Sspon.01G0017980-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:66933331:66938674:1 gene:Sspon.01G0017980-1A transcript:Sspon.01G0017980-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Class III chitinase RCB4 (EC 3.2.1.14) [Source: Projected from Oryza sativa (Os10g0416100)] MGSSKLIAAVLLPAAIVAAFHAPTTSAANSNLFRDYIGAIFNGVQFSDVPINPDVQFDFIVSFVIDYTTTTDPPSPTNGQFGIFWQDSVLTPSAVAAIKQSNPNVRVAVSLGGATVNNSPVFFNVTSVDSWVQNAVSSLTSIVQQYGLDGIDIDYEQFQADPATFAECIGRLVTTLKSNGVIKFASIAPFADADVQSHYQALWSSYGISVIDYINFQFYAYDASTTAEQYVSYFDEQMVNYPGGNILASFTTAPTTTSVPVDTALSACQTLQSQGKLYGIFIWAADYSKSQGFKYETQAQALLANATSHAANSNLFRDYIGAIYNGVKFTDVPINPRVRFDFIMAFIIDYTTATEPPTPTNGQFNIFWQNSVLTASAVASIKQSNPNVQVAVSLGGATVNDRPVFFNITSVDSWVQNAVSSLTAIVQEYSLDGIDIDYEQFQADPATFAECIGRLVTTLKRNGVIKFASIAPYGNADVQRHYQALWASYGSVIDYVNFQFYAYGASTTEAQYVDYFDQQLVNYPGGNILASFTTAPTTTSVPINTSLSACQTLQSQGKLYGIFIWAADHSRSQGF >Sspon.02G0003020-3P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2C:9176570:9176872:-1 gene:Sspon.02G0003020-3P transcript:Sspon.02G0003020-3P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDGLGHAILEDDGLEAALEEVLNSEGKHIIKLVLTLAKETISVHAAEERLTLKDTARVLLIQGEQLPGRISDTAQGILHAPQFTLAAQAILTNQLQLSI >Sspon.02G0007340-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:24200320:24204586:1 gene:Sspon.02G0007340-2C transcript:Sspon.02G0007340-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:COP9 signalosome complex subunit 7 [Source:Projected from Arabidopsis thaliana (AT1G02090) UniProtKB/Swiss-Prot;Acc:Q94JU3] MAMDAERRQAELIAQFSAQAAALSSAPQLAALVLEATSHPALFAFSELLTLPALSKILPYDQLMQELDVSNVRELEDFLINECMYSGIVRGKLDQLRRCFEVQFAAGRDLTPDQLTNMIETLSDWLGTSDSLLHQIQEKIKWADTMSEVNKKHQKEFEDRVEEAKKSIKADIDLRGHDDFLSESGGIMDFEEDRIRPKRRRQPPA >Sspon.07G0004550-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:11477043:11481660:1 gene:Sspon.07G0004550-1A transcript:Sspon.07G0004550-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein BREAST CANCER SUSCEPTIBILITY 1 homolog [Source:Projected from Arabidopsis thaliana (AT4G21070) UniProtKB/Swiss-Prot;Acc:Q8RXD4] MADVGSLERMGRELSLSLFTSAVSITCNHIFCNACLTESMKSASCCPVCKVPFRRREIRPAPHMDNLVAVFKNMEAAAGTSVVSTQLTPAPKVAECGGNSAAKPKRSNKKNTTKAIVSCSTAKPSISKNKRIHVTPFPESETPIRPKKVMKSDEQKSKQNGDVNKEDKDKTLNSDIPESPSLSPFFWLREEEENEGGTAETLSEPPSLDTPLRHNAPTFSDIQDSDDERPNDMTPNSKAEVSEIFDSEIFEWSQRPCSPELRSTPLKSQGKLKNILDQITEVDDDEDMNLGGSFDKLDHESNVAQPLNAEEVKKKKLARPMKRKNSKLPSCGKLCTRGSDAEHQVANIPESIVAKPRQKDGSKKERNTSNGGNKVSGNNTRAVFSSDKSMNTFSPQAGGLGNEVPENQLSERIPKKDKNSRSKLEIAGDSAVKTAENKSEQRGKRIRRISDGSVAEKIRILSEAENEIELFQLHSLTKGCTQHKPLDGRSKKNIVSNTGPNTPNILPGRDQFNIGPSTPSILPGRCPLNESISTVPSVRNVSVKNGSAKPIEQQDHSGTIRSCTARNAVLKKCEGKASKLSCAFCQSDDITEGSGEMVHYHNGKQVPAAFDGGASVVHCHKNCLEWAPDVYFEDDSVFNLTNELARSKRIKCACCGIKGAALGCFETSCRKSFHFTCAKLIPECRWDNENFVMLCPLHQSSKLPRETSGLKKKSHRKLTPKGPSQVNTSQCHGNKWTWPSGSPEKWVLCCSALSAAEKGIVSEFAKIAGVPISTSWSPNVTHVIASTDMSGACKRTLKFLMAILNGKWVISIDWVKTCMELMEPVDELKFEVSTDVHGTAEGPRLGRQRVINRQPKLFDGFQFYLHGDYTKSYRGYLQDLVVAAGGTVLQRKPVSRNQKLLDDSSLILIVYSIENQDTAKPRSRDGISTGRSQADAQALACASGGKVVSSAWIIDSIAACNLQPL >Sspon.02G0007680-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:22295485:22298819:-1 gene:Sspon.02G0007680-1A transcript:Sspon.02G0007680-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMSTESGMFRGAGVGAVSGAVFSIEAVESCIEIWRSSHSGKYSILFVLDIISSLFSGRIVWEKVSPALQRAVQSQMSLMSTPFIDNNDLFETGSTGGMSRDLIDRIPKMRFSAASNCDQETDSSCCSVCLQDFGAQQFVRALPQCQHIFHVRCIDNWLFRHASCPLCRAESRGFQSPPAAIHFQMSKSL >Sspon.08G0026250-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8C:18938747:18943553:1 gene:Sspon.08G0026250-1C transcript:Sspon.08G0026250-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLMFKGTSVLDCSIWRLQIILWNSRTLIFEDDEPGADADPPKPTISLAAIAGIPTATTMQVYVQVGSQQCVALLDSGSTHNFISGTVARRAGICFQPCPGAGV >Sspon.01G0029900-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:85403096:85408426:1 gene:Sspon.01G0029900-2P transcript:Sspon.01G0029900-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CPK20 [Source:Projected from Arabidopsis thaliana (AT2G38910) UniProtKB/TrEMBL;Acc:A0A178VWI7] MGNTCVGPSTPSDRHSFFNSVSLAVLWRPPAAARAEPSSTTEPSSSTPSSTPSRAPDPVTISDSEHPPHTISTAASGPSAAPPNPNGKPKPKVKRVQSAGLLAGSVLRRDSERLKDVYTLGKKLGQGQFGTTYQCVEKATGKHFACKSIAKRKLVTEEDVEDVRREIQIMHHLSGHPNVVSIVGAYEDAVAVHLVMELCAGGELFDRIIQRGHYSEKAAAQLARVIIGVVEACQSLGVMHRDLKPENFLFVNQKEDAPLKAIDFGLSIFFKPGEIFLDVVGSPYYVAPEVLKKHYGCEVDVWSAESEQGIFEQVLKGDLDFSSDPWPSISESAKDLVRKMLNRDPRKRLTAHEALCHPWVCVDGVAPDKPLDSAVLTRLKQFSAMNKLKKMALRVIAENLSQDEIAGLREMFKMLDTDNSGQITLEELKSGLKRIGANLKDSEITTLMEAMFRDGSGYITHDELQKACEEFGIEDAHLEDIIRDIDQDNDGRIDYNEFVTMMQKGNNPLGKKGHFQMSFGLREALKLG >Sspon.03G0019560-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:47072131:47074472:-1 gene:Sspon.03G0019560-4D transcript:Sspon.03G0019560-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPRLGAGFWNPGRPPAAPLAGCADATRASLGLPVVDYIVTDAPLEIKTWFDPRCGKSTGSVGNSDSLLRAVDVLVTHSDVNAVAVVARFPDDDPEDSDCYREGKGVDLLAGVEAIISHLIVKEFKIPCAHAPAIGYTFLPCVLAGLSNAPQYVMRKGSLDNGCIVAGDVDSVILPKDSCGGDGTLAFARAARKDKPLIITVQENDTVLDDTPDKFGIEALNVRNYWEAIGVIAAHKAGVNPNALRRQGIDHLKSSRRLYSAHSSSPKSSARPPVHDKVHIQQLVRQI >Sspon.02G0039490-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:66081357:66083638:1 gene:Sspon.02G0039490-2C transcript:Sspon.02G0039490-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPEIQNRCPSPTAVLLLLGACLAAVAALGAADYDARASRPPPVPLPVDGGARRVLLANGLGLTPQMGWNSWNHFQCDINEAVVRSTADALVATGLAKAGYKYVNLGCPVLLKDDIAIADDCWADYQRNKEGVDYLKYDNCYRDGTPETVRFGRMSRALKNSGRPIFYSLCEWGYMEVPKWGGMYGNSWRTTGDISDTWSGMLDNIDRNDAYARYAKPGGWNDPDMLEVGNGGMAYNEYVVHFSLWAIAKAPLVIGCDVTRVSKETLGILSNAEVIAINQ >Sspon.07G0005990-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:10825714:10828664:-1 gene:Sspon.07G0005990-3C transcript:Sspon.07G0005990-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLRRLVGLSAAVSGRLRRGFSTVASRPPWALIYPGLCLVRSAAPRASFWLAEPPGVSLLLVPGHLVDHRPFPDADSDIIPILAGVATSTSGDGLLLVRFLDGRATAPIVDTHGATLGGRKVIGIDLDPDIMHFICNPLSGQLLRLPDIDGTKKTADCRNFGLLTRSAHGHGPPDRYAVAELSEDRGVEKRSFVMRRLLSQTGEWEKLVGLPSPLPLARPLDIHYEVSAFAGRLWWVDLSWGVVSADPFSDRPELRFVELPSASVLPASSTNAERLAARARNVYRRMGVSQGRLRYVELSEKKPFLLSSFVLDDDSSCWTLEHQVALGRLWAHGGHPSEEDIPRIGALDPLNASIMLITIGTHVLAVEMDRGEVLGCSLVGEGELRPSDLDAGFIPCVLPPWLGSSSIPRVQDVTARKQLCCNFRPLAKR >Sspon.03G0028730-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3C:37619674:37620783:-1 gene:Sspon.03G0028730-2C transcript:Sspon.03G0028730-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLKPTRDSLGRNPLSDALHRNPGVVLNGQGPGRHPLSGASYRDPGTMKNEQGSGRRNLSGALHLRPGVVKNEQGSSHFPRRVRRVRKLAEPTRIRLGSWNVGSLTGKLRELVDAAIRRRVNILCVQETKWKGQKAKEVEDTGFKLWYTGTTSGRNGVGILIDRSLKDGVVDVRRQGDRIILVKLVVGDSALNVISAYAPQVGLSEGTKRQFWEDLDSMVSTVPISEKLFIGGDLNGHVGATNVGFERVHGGFGYGSRNQEGEDVLNFALAYDLLIANTLFRKRESHLVTFRSGQHSSQIDFILARREDRRACFDCKVLPGECVVPQHKLVVADFRFRYVSTGTNGPRLRERSGGSLEGKQRKRLRRGC >Sspon.02G0010190-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2C:31410707:31410979:-1 gene:Sspon.02G0010190-2C transcript:Sspon.02G0010190-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATADQRPEAASAAARWQPRRVERPNVTAQAGLGGGGGGPGSAVAIGGGVGVGRRRRWGTRRNGESTRGFRFTNWAAFVSPFSPKKINSR >Sspon.03G0036630-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:87230021:87231474:1 gene:Sspon.03G0036630-2C transcript:Sspon.03G0036630-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding YMAASLGLAHEACYAAYPPAAAASSYFPSPPPPPGDLVAEFPPPAAAMAMVDDYYFQFGEEMGGARAPGCGGYCSPPAPVFDNGMNLRSYGSADGDGRRLMSGPAGGTGGNGGGRPASRIGFRTRSEVDVLDDGFKWRKYGKKAVKSSPNPRNYYRCSVEGCGVKKRVERDSDDPRYVVTTYDGVHNHAAPGAAYVCPPPRGASTTPCFSPPCSASSAPLVAAPSWSAAFDAWKAQLHAAAAHSSESSY >Sspon.01G0051590-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1C:16712896:16717872:1 gene:Sspon.01G0051590-1C transcript:Sspon.01G0051590-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPATPLSSPKSGEHATAEVAARFAKEKANDDAAAVQLLEKERQAVLARALQAEVEAAAATKECDAAAVRASEALAHAAKEHAAATATAAPGGAFSNASLAPPSDLASAMLLHEALALLNLHAQAIAVNNIWTRPHRPRRRLRQLQSLARPIPAHLWQVFSPGSRLPRPSRSRLPRLGSDGLRGQGSIPRDAWLAVESQFLGNREARAIHLETKFRNFVQGDLSVTDYCRRLKKMADDLTALGEVITDRTLVLNVVCGLNECFSHVGALLRHSQPFPTFLEARDDLILEELTLEKRKESPATALAASTASSSPSAAPPTSNTGSGGAGGPKSSNNNRRSKRGGGGKGGSGGGRQWVAPGHYNPVAGLPSWDPSALASAFSTTSLTPPPSNEWFFRLGPRSPGAIAPGRYIHCAYLQHTPLSPRLPPRCGIDVLATPASMPPSYWVEALSTAISLLNILPTKTLQFSMPHLALLGMPSAYDHLRVFGYKCYPNMSTTAPHKLAPRSVLCVFLGYSAHHKGYRCLDLSSNRVIISRHVIFDETTFPFAERNGPRIPADFEFLDATDVVSVLIGPSHKFLTARTSHDTSGDAAAPTATTSALAGPSVAELGVPAPSPSSPAPSADPPAPALYISPALACRGSRQTSPPRHARPPTSPRHARPPALPTCLRPPGPPLGFVPLRPERVITHYYTRWPRPPSAQVALPPTAAPTPPPDAASAAPHQPLHLLLRCPRVLPRYGGRTHALLKNHTWDLVPRPPRANVVTGKWIFKHKFQSDGSLKRYKARWVLHGFTQRPGVDFDETFSPVVKPATVHTVLSLLPHPLLRRIISALQQEFTMKDLGELHHFLGMHIQRCGDGLLLSQRQYMLDILDRAGMVECKPCVTPVDTNPKVAAADGAPVSDASDFRSLVGALQYLTFTRLDIAYAVQQVCFHMHDPREPHLVALKRILRYVRGTLHLGLLLRPSTSTDLVVYTDVDWAGCPGTCKSTSGYAVFLGDNLISWSSKRQNMVSRSSAEAEYRAVANGVAEATWLRQLLLELHAPLRRATLVYCDNISAVYMTSNPVQHQRTKHIEIDLHFVRERVAIGDLRVLHVPTSSQYADIFTKGLPSSVFTDFRSILSVQSG >Sspon.08G0021380-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:43317896:43319061:1 gene:Sspon.08G0021380-2C transcript:Sspon.08G0021380-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNLLEDPHRVLRDWNPVQVNPCSYAFVTCSNYNLVVSLVLSDNNITGTIPAEIGKLRGLKKLDLSSNHLHGEIPSAVFHLENLQYFVVGNPLICGENTGQDCSSSGTASSQASLPTAKTKSHKFVVAVGSTVACIIFLFLPICMALVRFHSTPPKITTKGLEEFKHKLGAIL >Sspon.01G0009250-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:94386429:94388695:-1 gene:Sspon.01G0009250-2B transcript:Sspon.01G0009250-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLGARSLVRRSFSRLAAAAPAETAAPRMPAFDHVPLPYDGPSAADIARKRAEYLSPSLFHFYSKPLNIVEGKRQYLYDEHGRRYLDAFAGIATVCCGHCHPDVVDAITAQAKRLQHSTVLYLNHAIADFAEALASKLPGDLKAPVQQTMTFFYWSCIYTQVVFFTNSGTEANELAILMSRLYTGSHDIISLRNSYHGNAAGTMGATAQKNWKFNVIQSGVHHAVNPDPYRGAFGSDAEKYVRDVQEIIEFGTTGQVAGFISEAIQGVGGIVEVSPGYLPLAYDKVRKAGGLCIADEVQAGFARVGSHFWGFETHGVVPDIVTMAKVHVIPIFSLLSVPCHRRRSEPDNVARPGIGNGIPLGAVVTTPEIAQVLTRRCYFNTFGGNPLCTAGGLAVLKVLEKEKLQENAFVVGSYLKDRLRGLQEKHQIIGDVRGAGFMLGVELVTDRQLKTPAKDEICHAMEHMKDMGVLVGKGGFYGNVFRITPPLCFTKEDADFFVEVMDIALSKL >Sspon.01G0040810-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1B:43012423:43012854:1 gene:Sspon.01G0040810-1B transcript:Sspon.01G0040810-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTSRVEFARRGGAQVILDLFPGCSGGTKRRLVEILSALTLMREVRRLLLTDGKVEYLVEAISLGNLASRTRAAAAQAAGMLGASSVGRSSLVAMRAPLALVGLLKDGDSSAKLIAANALGIHSIIKWIEFKHPIDSSNWHYT >Sspon.03G0032100-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:34768874:34769446:-1 gene:Sspon.03G0032100-1B transcript:Sspon.03G0032100-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADRPPPGPRAALPSPAARRGHASSSTEREQHSLLGRAVQTFHHVHGSTKLLGFLALAVTLTALLVLAGVTLTAALAALVLLSPLVLLTVPLWAPVAVVALLTGAASLLACCAGVAAAAAGTWAHRYFTGRHPVGAHQVESAADHDHYARGGTVAGVASRVRGYYDAYAREYGGYPPRAHARVKDAAPGA >Sspon.01G0009210-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:94297111:94298184:1 gene:Sspon.01G0009210-2B transcript:Sspon.01G0009210-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVASMSHRFVVAACVATVAFALLATTSSAQLDPHFYDKACPAALPTIKRLVEEAVAAEPRMGASLLRLHFHDCFVNLGGPSYNIPLGRRDARTASQAAANNSIPAPTFSLDRLASNFASHGLSLQDLVVLSGGHTLGFSRCTNFRDRLYNETATLDGSLAASLRAVCPRAVGSGDDSLAPLDPTPARFDGAYFASLLRSRGVLHSDQQ >Sspon.03G0009390-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:30529599:30541505:1 gene:Sspon.03G0009390-4D transcript:Sspon.03G0009390-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVPLRLIPRLAAAACRLTPAASCAPQRVLRRAPLLPALAMASAYSTGSSADRRLLFRQLFEKESSTYTYLLADVADPDKPAVLIDPVDRTVDRDLNLIKELGLNLVYAMNTHVHADHVTGTGLIKTKLPGVKSVISKASGAKADHFIDHGDKIHFGNLFLEIFTLPKDTLLYPAHDYKGFTVSTVEEEVAYNARLTKDKETFKTIMSSKFSKVDMYIWFIPNCHISADMPTLLVKLILLFWAERKKSDHFVSSWADLNLSYPKMMDVAVPANLVCATMGGQMPDADEKARSADDSSSSYGYPPSAPPQHQHQHQYGTFGTPSRAPGEFPQPAVGFPQPAPPPGMQHYPQPPPASYAVYPPPQQPYSAAAPYYAQGYQVAQGYIPVVEGRPVRMRPLPCCGLGMGWFLYVFLNINHLAISYMNSYWEKPGYVACTIAFHQYQVVGRALPTPGDEHPKIYRMKLWATNEVRAKSKFWYFLRKLKKVKKSNGQVLAINEIFERNPTTIKNYGIWLRYQSRTGYHNMYKEYRDTTLNGAVEQMYNEMASRHRVRSPCIQIIKTATVHFKLCKRDNTKQFHDSEIKFPLVHRKNERMVKSHGRSSKFRKAHGDPNFV >Sspon.02G0013420-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:33311754:33314988:1 gene:Sspon.02G0013420-2B transcript:Sspon.02G0013420-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVRSISFGCAGTPHSTFSYKEDDPTGPKKWATLQKDWAICDSGTKQSPIDVAKVEVSKDLGPLEQNYKAGAAVLQNRGHDFMLNWTGGNGDLTIEGKKYTLLQVHWHAPSEHSVNGTRFDAEMHMVHEDSTKSKAVVVVLFSSKAGKPSKLLGDLKPYFERLAGKQNATEEVKGTVDPSAWIDKASGYYRYEGSLTTPPCTEGVLWTIMSKAVDYWVEGLDQS >Sspon.06G0007960-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:34729459:34737955:-1 gene:Sspon.06G0007960-2C transcript:Sspon.06G0007960-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDGLEFSFTDKRRFAKIRLLDNPEAAPPISELGPDALFEPIKLDEFMKSLGQKKGPIKALLLDQSFISGIGNWMADEVLYQARIHPGQTASKISKDKCEILHQCIKEVIEESLKVGADSNQFPEKWIFHSREKKPGKAFVDGKKIDFITIGGRTSAYVPELQKLDGTDAAASRSKGGKDKENDDDTKSGKGRNAPKPAKGRVKAAKGSSNKATNTSDDGDEEDYEVEEAKPAKRGRKQPARVANTSSKNAGSIHGDEATDEEDARPAKRGKKQIEKTTKRSLKEANHEDSDEEAVGKIEAKPGKAPTEAKSLPKQVDDAGPARRPQRKNREDKAIHSLHKEECNAICKANQFSRDGVGISRE >Sspon.02G0027860-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:120738190:120742112:1 gene:Sspon.02G0027860-3C transcript:Sspon.02G0027860-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAAAGSVSWVTAQPSVLGRCGGGGGAPSASLKGSACGVGGGGCRVRGRGVAVPRFCARAQEKRPPRVRKSKEERREMVESFINSYRLSNEGKFPSVNLTHKEVGGSYYIVREIVRDIIQENRVLGPGGLDAMALSFEDCADSSESSMKHELGQDSIEILDMSGSEVSKGYVPEISSTDESFPLQDNAISTQTLLGSSNILEAGVLNSVVQNGSTADAIFMETNLEKQDEVPSGGSIEFDLNSSEEQAGLFAQVSDSDEDIALNSQADAQDGTASSATDRVILPLESSAVYENNAALLRDHETLPNDNHDGSTDSAVDDANLPAVTNGVQAKQASLHEHDASTGSVSIDNAQSLDSQFRTTVSTDPINGFKLEDEVATKTIEASKVHMLQDELKQTLVDTSCDGQENSDSPVSHPALDTKGLLHTEDQHSVLQIDESEFKNSTSGITKEEVQAADFRHEQGISTRTAISRHALCLLTLRCMLTVYNFLHTKTLKALQKKDDNLFWLVLRAFVFIKKENI >Sspon.06G0027890-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:61588804:61589559:1 gene:Sspon.06G0027890-2C transcript:Sspon.06G0027890-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHSDGAGTGNGSGIQLILVNNLLSKKILVNNCVESIWPGLLGTAGHPTPQSGGFHLAPGDEAAFDVPLGWSGRVWPRRGCSFDDRERGLCATGDCGGVLRCDGRPGATPATVVEMTLGTPKSPLHFYDVSLVDGFNAPVSMAPVGGGAGCGVAGCQADLNVCCPSALEVRDREGRVAGCRSACKAMGGDRYCCTGDYASPDKCRPSIFAHVFKAVCPKAYSYAYDDATSLNRCKASRYLITFCPPPTSRK >Sspon.02G0034540-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:13121178:13128152:-1 gene:Sspon.02G0034540-1B transcript:Sspon.02G0034540-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding KLFVGGISWETDEDRLREYFGRFGEVTEAVIMRDRNTGRARGFGFVVFADSGIAERVTMDKHMIDGRMVLVLCCVPRDDQSIASKNNGSSIGSPGPGRTRKIFVGGLASNVTEVEFRRYFEQFGVITDVVVMYDHNTQRPRGFGFITYDSEDAVDKALHKNFHELNGKMVEVKRAVPKEQSPGPIARSPAGGQNYAMNRVHNFLNGFNQGYNPNPLGGYGMRVDGRYGLLTGARNGFSSFGPGYGMGMNVEGGMSGYFGASSGFVNSSNGRQIGSYFNGSSNRLGSPIGYVGLNDDSGSILSSMSRNVWGNGNLNYTGNPTNANSFAPPGSGGGIAGDEISWGSLTSAHGMGNISSLGSGNLGRGTGDNNFGLPSGNYVRSNSTGTIGEPFSASANAYESNNPGAYGSSSIYGDSTWRFNSSEVDMPPFGHDLGNVDPDIKSEISASYMGNYTVNNNQTSRGQLLFQFVE >Sspon.02G0021850-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:71896100:71899566:1 gene:Sspon.02G0021850-2B transcript:Sspon.02G0021850-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPGGGQDGPAPAPGGPPVAGGARGEPRRRQVGRRSGRRRGCRGLGAWMRGGSGHLGLGSGVGEGVGKSCLLLRFSDGSFTTSFITTIGIDFKIRTVELDGKRIKLQIWDTAGQERFRTITTAYYRGAMGILLVYDVTDESSFNNIRNWIRNIEQHASDNVNKILVGNKADMDESKRAVPTSKGQALADEYGIKFFETSAKTNLNVEQVFFSIARDIKQRLAESDSKPEDRTISINRPEGGEASASQKSACCGS >Sspon.01G0032720-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:113500150:113503757:-1 gene:Sspon.01G0032720-2D transcript:Sspon.01G0032720-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGGDMSSVSPLVSFLLGAAMATVCVLFFMSATPARRLVDISAFTSNNKGLQRLASDADSAAALAATATPAPAPAPVQAPSPWGDLEEVLARAATKDRTVIMTQINAAWTRPGSLLDLFFESFRTGEGGVARLLDHLVIVTMDPAAYEGCKAVHRHCYFLRTSNGVDYRSEKMFMSKDYLEMMWGRNRFQQTVLQLGYNFLFTDVDVMWFRDPFRHISMAADIAISSDVFIGDPYSLRNFPNGGFLFVRSSAKTIDFYRAWQQGRWRFLGKHEQDVFNLIKHEMAPKLDLAIQFLDTAYISGFCQLSKDLNKICTLHANCCVGLGAKLHDLRGVLDVWRNYTAGTPDDRRAGKFQWKLPGICIH >Sspon.02G0036210-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2C:27449321:27452379:-1 gene:Sspon.02G0036210-2C transcript:Sspon.02G0036210-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AN >Sspon.06G0012680-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:50581559:50585820:1 gene:Sspon.06G0012680-3D transcript:Sspon.06G0012680-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGGRGGRQGLFGFGDPFVGFGSFGQPGSLMPGFFGGANPFDDPFFTNPFGSMMQPSFPTPFSGMMQPSFMNPFGSMMQPSLLGPSMFATHGDLNGGMFGSQTHLSQGMSNASGFIQQAPEPSRPKGPIIKELSSDDEDGADDDKEDEKKNGNFRKHPRESKEPYVEDPDEEVEDNKRPKHGQFGREFSTASTSRSQPQTFMFQSSTVTYGGPNGACYTSSMTRRSGADGITVEESKEADTTTGKASHRISRGIASKGHSLTRNLNSDGRVNTLQTLHNLNEDELAGFEESWQRNARENMPGWDPRMNMLGNGNVHSNFRDVNQMPALPAPDRSRGTNSSWNFQNGSSMGRAPRT >Sspon.04G0027500-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4B:59610992:59611157:-1 gene:Sspon.04G0027500-1B transcript:Sspon.04G0027500-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GFNSGLKQPLVVPPIRPGGQYRKIDLPNMFFAGRGPLQRLCNGAGQLNSNSEMT >Sspon.07G0014920-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:59541516:59549184:-1 gene:Sspon.07G0014920-2C transcript:Sspon.07G0014920-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQSYWDTVTEEYEKLQERSQIALAEIAAQLHIGSELVISILEPRLGTIVKGRLEGGQLYTPAYVSRITAMVRGAARGITVPTNLPSVWNSLQQQLHEMHGASGVSVEGSFFQSIFNGLLKEGAVLGSVRAGGQWTPANSYIGYDVLQKLAIPQPKQFLEARYPDGIALDAVFVHPSVVDMLDTAVGDAIENGHWIDSLSVLPSYISGPDATKILSICPSLQKAIKSSKAVVFGESCVFSNMFIKGIFDRLEKDMDSFGIRHSVGQGRPVNANLGSEHKTGSGQYSDTKDLGDNDTSSTGVSSDRGSKKKRGKGTGSTKGGSLEKDDGNEESIPVKGKKSHRKNKDAGASGDVKHGGKKVSEKMKEESTNIFPDELIEQKVLAVAPELEELGGSDDSNAPLKLLSSHLRPMLVDSWTKKRNTMLSGNAERRRRLLDNLQKQIDEGILHKHLLRSMGTPIVDKVLITLDKDSKLKNGIEVEDSEEDHIQLSTADRSSLAKDLPGALSLKAQVLIEALEGKRFDSFMDALRDILEESGLTFKKLDKRLERTMLHSYRKAYNKALQAPGRAVGAVITLLKDKLPASTYKVLADYHSTTVKLLALQAAATDDMRWRPSEKRLEEGHSTEVRCCSGSSQSDSDISFGLSGS >Sspon.02G0035370-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:18750835:18756984:1 gene:Sspon.02G0035370-1P transcript:Sspon.02G0035370-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEMVAFGPHRMGLGLELLRVLTAGDTVGLAELLRREEQTAGQVAINIQAAVAPGVVAPAPPGTSCLLGVTSNGNTALHLAASRGHAELAALICDRAPSLVATRNKCLDTPLHCAAKAGSSDVAACLLLKLRGGVDAETTLRARNHKGTTALYEAVRHGHARVVDLLMKEAPELASLATDGGFSPLYLAASVDSLQMVQSLLRPSQDGTPSPASFSGPEGRTALHVAAYSTQDGIHPMYVDKKLADLFQKKIESTKEEESNNVYYKAENGTIASVLIATVAFAAAFTVPGGFIADDHPNAGTAILAKRFAFRAFVVSDAVAFVSSIIATIFLIYGARHIPRSHRSCYNTVASELVPVAAQSFIAAFAFAFHLVLGHANRGLIVFVYTVCLAAVILCFPGIWVPMELGLAKAVWRRAGWRGLVNIHERPWSLLGLLDCFSTSLLFENLRSPLFVLLISASFIVAIALNIALPSY >Sspon.06G0012290-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6C:52901778:52903412:1 gene:Sspon.06G0012290-2C transcript:Sspon.06G0012290-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LATVALAPKGRRAASDAPPEGEGRRSTQEKLIADDWLPVNARRNAKWWYAAFHNVTAMVGAGVLTLPYAMSELGWGVGVTVLVLSWIITVYTLWQMVEMHECVPGKRFDRYHELGQHAFGEKLGLWIVVPQQLVVEVGLNIVYMITGGQSLQKFHDMVCHGRCKNIKLRYFIMIFASIHFVLSQFPDFHSISSVSLAAAVMSVSYSAIAWIASATQGATSAEAKADYSLRATTTPGKVFGFLGALGDVAFTYAGHNVVLEIQATIPSTPGKPSKKPMWKGVVVAYVIIAACYLPVALVGYWAFGNGVDENILITLNRPRWLIAAANMMVVVHVVGSYQVYAMPVFDMIETVLLPCIMWLIIYKPRRFSLSWFTNW >Sspon.02G0000140-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:4891147:4895042:1 gene:Sspon.02G0000140-1P transcript:Sspon.02G0000140-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPSSASSSARLRLLTVPALLLLLSSAALLVFLVLPSLSPSSSSSSAASAHLCACSPPATHTTTTVTTTTVTASPAPVTTSPADVAWLKAQLASNSLLLAAGGAHDAWHRLRKGINPRTREQQLFDINRHHGISHYPDEEATNHTALPCPGELLVEEHHSNYGEPWAGGRDVFEFLANASALTPTEQVLEIGCGTLRVGLHFIRYLDAGRFHCLERDELSLMAALRYELPAQGLLYKRPMIVRGEDMDFSKFGDTVMYDLIYASAVFLHIPDKLVWTGLERLAGKLRPQRGRIFVSHNIKFCSRLGGDECTRRLAKLGLEYVGKHTHDSLLFNHYEIWFEFRRPKKFTSSKTTRSGYSKTIL >Sspon.03G0022220-3C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3C:86680639:86681547:1 gene:Sspon.03G0022220-3C transcript:Sspon.03G0022220-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding METLKDSIRSSEEALKTSRSEVSKLSKELEEANELNEDLVSQISKLQEESNEMQVDLTNKLGEAESLSKALSEDLASVKEMVQKGQEELEATSNELASIVEARDNLKKELLDVYKNLESTTHELVEERKIVTTLNRELEVLAKQLQVDSEARKALEADLDEATKSLDEMNNSALLLSKELESTHSRNDTLETEKEMLSKALTEQAKITTEAKENTEDAQNLITRLQTEKESFELRSRHLEEELALAKGEILRLRRQISTNSSQKPRAPPRPRGPPETNETLKEQPVNDRNQKSSGVVAGTPQP >Sspon.08G0003740-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:11586717:11590668:1 gene:Sspon.08G0003740-1P transcript:Sspon.08G0003740-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGRPRCPAKPEDEEAASAKAAKLRDLQAHVLHNHHARTYTKEAIGLSFKLLEINPEAYTAWNYRKLAFQHNVKELSDPEAIKSAVDDELRVVEVALRQNPKSYGAWYHRKWLLSQKLAPVDFKREFGLLDKLLKVDARNFHGWNYRRFLARFMGVPDEEELKYTMDKISDNFSNYSAWHNRSILLSNLLIQQSKGFESKQKIFSEEFELVTQALFTDPSDQSGWFYHLWLLAQTSSPDNPQLIASWPSNAAKLSFPLIKEKAGQHTLSSIWSTTVPIVLYFNEPVKGLNQSSVNLKSDLEFGKDIQWRPLTMADSGYSNCWATYLQIANECSSLQEYSVEVSIPCSDDIVSRSGSNYNHPVHFTFNIELISNDTAQGLDLFDKPVAWNFSESFQSHGNHDPIPFDLLKITSALVEQDSNWHFERLSEEIDLFRELPDDNSKFGKLTLARLLLACAAIKSRGRSLIERKGYCEEALELFTDLIHLDPSHKQYYEDERSLVLMDKLTCDMETFMKHCSVQVQPNSAPLNHVQLCRLSLTRIGFAERLLWVQILDLSHNSLRSVEGLEALQHLVFLNISNNQISSFTTLEPLTNIISLKVLDLSFNKIGAHSIDTTRYICSSPFSHKIEASEAFEEYQKLNINVEEYWDAILLFRSLKLAQLDIKGNAVASKENFRTLVVTLIPCLKWFDGEDVN >Sspon.07G0022570-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:6288618:6289175:1 gene:Sspon.07G0022570-2C transcript:Sspon.07G0022570-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEELEFQEADVLWPWPDTPPPSPEEEGSHHYDLPAAAARELYEYDTAIAFSCEPFSEPAASWSASSTNSTLSALLSSDWSDGGGGGFFLSGPSTVSAAGLELDATEEFLEADVLWPDTDADDEAEDDEFLWRRRRSRLIEEAAASAAAAVFCGKREGCSRPLVASSPIDIPMATRGAAFARRRRP >Sspon.04G0006530-2T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:14822873:14825667:1 gene:Sspon.04G0006530-2T transcript:Sspon.04G0006530-2T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLKAGGAVHLHLLQCFMPQHQLQESATDQEAKESSAVSAPQLVVHFAAAGYHVGVSPGGACGGLADDLVARVFFPSWSPYAGTTAALLGASSAFATDAGSSPPSMRLPAAGEHAVHGHAWSHNGEQSNITCYKENFLDLLASKNVTQEMFEDVPAGLYASQALSGRFGVGGSDVAPIKYEVTGSSLFFGSTTGMHQGMDMVGCTPRYTYAAADHHQMKEGGNQQQELDAAPAMASFLQQLSSNASVGMHASFDYSGTGGLDKICQESRALETSPFGKRSLPDLSSFGGYSRSTAESTSSAQPYLRSSNLAESSKQEPDIVSARSSSSGSGAASDRKKRPSKERPSTVKKSKQEGSKPSPPKQQVPKVKIGEKITALQQIVSPFGKTDTASVLFETIKYIKFLHEQVQVNQLPWGDHAAAETSKGGDEAEHDLRDRGLCLVPVSWTPEVYRDGTAMDYWTPAYRGCLYR >Sspon.01G0061380-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:92990192:92992235:-1 gene:Sspon.01G0061380-1D transcript:Sspon.01G0061380-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWQWAAPPPAYPVGHGQAYGNQQLATPPPGYPAGQGQAYGNQQLATPPPQAATAVAVTATSNGVGNPYVVVTPASATPSAGQTVRKALCRYGKLLEDGTRKAADATGNIWHHLRTAPNMADAAVARLSQGTKVYAEGGHDRVFFQTFGTMPGEQLRKAYACYLSTSSGPVIGTLYLSTARLAFCSDSPLCYQGPAGQECMYYKVVLPLSHVMSVNPSTSIRNRAERYIQITTTDNHDFWFMGFVNYDKALKNLYEALQHRDVNGHQRS >Sspon.02G0031510-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2B:108641093:108646331:-1 gene:Sspon.02G0031510-2B transcript:Sspon.02G0031510-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEADAVVAATESLATTFDPLTSIHSVSTARAHHGSNRKRAAFRECASIASVCEREKWRHKEHGRTQPDFRAFVRPDGPRSHRPLAKRAPPVLPHPRHSLALRAARPCSRDGPHPRPRHPLPRARVVCPCSDSRLPLRPHSIRLSRLLPRFVPPTSFTSVTSAPLRSIELHVGEPLFSSKQQVDVALASACCKPKFQVFSGCFRGMLRVFHMDVAKVDRYGAFVAMVVHPPRRNRLVMVRLLATKGFDGSPLLAQSLLAGPRSCLLPAVRRLAILGRWQPADLSGASALAAMRVRRAPDYPDFESWVRALQVLYPNVLKMTSSVFLSPLSRLTVAGVAVDAARLLASARAANHLAKTSAGFRLSLFFFFVAAVELSVNGEIHHALTIFIQLTVQLCSLRCCASPEPPRVASPCWSGARARFFSQLRRSIAVRYLAVARLPLSISSPPVSRFYSVLLPWCSSVISTVQGRPERHHRFVGARRRDAINDDELPLPRFHPNHRAEPHAASSLDVASPSTVPLPRPGSRRSERRIAGPPWPPASTSRALIRPPNPLDVCARLFLAAAPATSRHLVRPSLPFPCPVSPFRCAKPSTQNPTVPPAPSLAAASPPPILRKCRAAASPSLLRQRHIVLPLPLLSWSSRLRSTSAGVRLPRQWLVAPAQARTVGAPTSNIRFWGAGVPLSLRGPDGDPLEQAFPPNDSLFLVGIDNGEL >Sspon.06G0023140-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:42665510:42669798:-1 gene:Sspon.06G0023140-2C transcript:Sspon.06G0023140-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ARIDVDDTKNDKEESIITITSTEATDDVKSAAVEAVLLLQAKINDYEDDRMNLRLLVPNKVIGCLIGRGGSIVNDMRKKTKANILISKGDKPRRASSSDELVEVTDDGYGGLPSYTSKSYEEYVSTELAINPVLILPLNSSNT >Sspon.06G0010460-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:45118745:45128224:1 gene:Sspon.06G0010460-2B transcript:Sspon.06G0010460-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAKWRSLQHRHRYTYTSIVFPKHYLETLALVPAEVSSSNFFVRLNNLISLTSTYSQVVAVKDLASAYVQFLSTTGTPDDAVLTATKLYLEILFLENSLPLHRTLISVLAKCKKFSTVISGCFALLCEEYGGSGSKAKKRFMVSRAALSLIGYPKLGFLDEAVERCAEIMALDVVDGLDGVTKDIGEGSRPSPVVMEQCQEAMSCMYYLLQRYPSKFTGLDKASSVFKSAVRTILSVLKSSAFSRDCLVASGVSFCAAIQVFMSTEEISWFISQGLFGICSNHEDRKNQSVLNVFPDFDLCEQIRDLSVLSRLCLLRGILTSIPRTILNMRQLHFSGPLWTILYDGILPELCKHCENPVDSHFNFHALTVTQICLQQIKTLISSDFTDFSGDYKPFSKDVVNRILEIIWRNLEDPLKTAYAYIDDDVCCAATTFLKSFLETLRGECWNDDGVELGYDAFRALCLPPFMRGLVSGNSKLRSNLNTYALPALIEVDAESIFTMLGFISIGPSTKETKLDVLKNDQCIAALVSLLKVSRNLALVEGDIDLDPDELSQPEQMDSKGAAVISVKGIKVTVPVNWFALALTHSDENLRIDAAESLFLNPKTSSLPSSLELSLLKEAIPLNMRCSSTAFQMKWTSLFRKFFARVRTALDRQVKQGSWIPSLTSSVKGADSIDTSEATVVKRAEDLFQFMKWLSSFLFNSCYPSGPYERKTIAMELILTLLDVWPICRSEGKIDLYPYNDSIILPDSTISFVGSIIDSWDRLRENSFRILLQFPTPLPGISLSTSINDVIRWAKNLVLSPRVRESDAGALIFRLIFRKYVLELGCILVFSKGNDCLECYTQSMNGDTEVVTSQNPVAQYISSLIQWLCIVVEEGERDLSEACTKSFVHGVLLTLRYTFDEMDWSSEVVQSCISEMRCLVEKLLQLIMRVTSVALWVVSSDALCLPYDMDDVIDDGSFLSDIYEEDQPTTASEREEKNAKPGSNGKPAEQVVMVSLLFGTIIRKIPLPGCSHSASSQNGLPDSTDETSMSEEILDVLLKMKHNGAIDKTRAGFTALCNRLLCSNDSRTIAKGQTVDDLIRRSAGIPAAFIALFLAEPEGTPKKLLPRALEWLIEFAKNSLAIFQKDSNQRSGVMKDGLGELLESQSETTISVHSNGNLSKRRDEGVVPTVHVFNVLRAAFNDANLATDTSGFSADATIVAIRAFSSPYWEVRNAACLAYTALVRRMVGYPALHPFLSSELRTATEQLADRASSNLESHITKAIHPSLCPILILLSRLKPSPISCGTDDSLDPFLLLHFIQKCATQSNYRVRILASRALTGLVSNERLQYVVSDILDNLPHGNHKAMAHSVQRTDPAVSANMGNGNVLLLSKSFNSVHGLLLQLASLLDNNFRGLTDGSMKDQILGLLLEVLSRCSWLGCTKLCSCPVVITSYLRVLDLMLDVARTGKSRHTEVIQALLLELSSQCLSNTVSTQYAFHDPTRIELKQQATESFLSCVGLSKKNDETNDEDVQLQILGEPTSEMPREDYSLHKVHKEIMSCLTDPSYDVRITVLKRILWLTKSIRHGDVENILHQWAGVNLQPALMERLFVEEHPKCLYYNLKIIFSWNMEFPFNNGEDSSTLLSLLVHLNSTMSHAKTREIVLCCMGMCMKLFTKLSGGGVSMNCLKTSEISASYVRINEGNRLFDAMLRVNLFVTLVKNQSEPSESVNARRAAAEAIVASGLLGEANCVSSSVSNMYSPSEFDEGRIKEKCMEANLLEDEDAYLRQKLAKDIQKIIAKGSANTFCDDSTPLQVDRVIELSLDYLTSLFGHWLKYIEFLLRIVLDTGNTLNSRGDLVRQIFDKEIDNHHEEKLLICQIFCSNIQKLLHSKCQMEVGAKTKLFLQNWRETFLNQLTSLTGGYLEKEGKNNWIGGIGNHKDVFISVYAVLLGLYALTQSGSLEQLDDNCAIYLQEFSNLEGFITPFLKNPLISNLYALVKLSHERFRSSDKPEDQEIVVDVEIEANRTHELPAEDLAMSHTRASCRGPCDVAANHFLEFGPAVLNLPAHTHRFVDG >Sspon.06G0012450-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:66146883:66152512:1 gene:Sspon.06G0012450-1A transcript:Sspon.06G0012450-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSPRKDVGFLTQDQREKLRIAVQNAETQSLASPRSPSGGTTSALLQQYEQQMLEQKRAAAAAAAAATGGGRGGGGGGGGGGPRHVRRSHSGKTIKVKKDGAGGKGTWGKLIDTDAEACLDRNDPNYDSGEEPYELVEAPVSTPLEDYKKAVIPLIEEYFSNGDVKLAASDLKELGYDDFHRYFVKKLVSTAMDRHDKEKEMASVLLSYLYGNVVSSTQIRLGFVLLLEAVDDLAVDIPDVVDVLALFIARAVVDDILPPAFLSKAKVSLSGSSKGMQVVQIAEKSYLSAPHHAELIERRWGGSTHITVEEVKKRIADLLKEYIRNGDTAEACRCIRELAVPFFHHEVVKRALTLGMESPAAEALIVKLLKQASEECLISSSQMMKGFYRVAESLDDLILDIPSAKSEFQLLVSKAISEGWLDSSYVKSGVNGSVEDDEHEKLARYKREAVSIIHEYFLSDDTTEVIRSVKELGYPEYNPIFIKKLITTAMDRKNREKEMASVLLSSLSMELFSSEDIAKGFVMLLESAEDTALDILDASDELGLFLARAVIDDVLAPLNLDEISSKLPPNCSGAETLNMARSLASARHAGERLLRCWGGGTGWAVEDAKDRITKLLEEYESGGDLGEACNCIRELGMSFFNHEVVKKALVMAMEKKNERTLSLLQECFGEGIITINQMTKGFSRVRDGLDDLALDIPDAREKFLSYVGHAKKSGWLLPGFGVSSSA >Sspon.05G0006540-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:12023418:12027562:1 gene:Sspon.05G0006540-3C transcript:Sspon.05G0006540-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding FKKLLKTFVQAKRSCFFPPPPRLAEPNPQVIIYTPLDGASHRNPPPLLIIGLLGCSPPPALLSPAAVPPRPAPPRSRVRRPKHRRGLAPPPTNHLPLAAPLSTSPGPRSTEARSAAVVIIILAGGAGPAWSADPFELGLISSLLATLSTDTLVPLYGRGGNSKKSLNGMAIPRRPMVHRETVERQNAQNNVNDQHYHQSTEDNPQHQPLLQAHHHPIANGFDFIYPPAPVGRGLIHSTAGGVLGGMAEVVLPWALRGQLPASLYYTSPYHVATQNVNHRLRRHQMEIERSLHQIWFFLFVFVVLCLLLF >Sspon.01G0050380-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:979693:981037:1 gene:Sspon.01G0050380-1P transcript:Sspon.01G0050380-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRGVSYVSATQLVSMASDVRVAIVDVRDEERGYDGHIAGSHHYASDTFAERMPELAQATGAKETLVFHCALSKVRGPSCAQLFHDYLSEAKEDSGVKNIMVLERGFNGWELSGRPVCRCKDTPCKGVCS >Sspon.01G0032310-3D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:110320959:110324190:1 gene:Sspon.01G0032310-3D transcript:Sspon.01G0032310-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAPDTAAPTPPPPPPAPATSSPPPKSGIPPRYDLDAKWDACLDLSIRRVAYSSLAGAFAGLLLFRSPTTRWASVALGAGVGIGAAYTECSYLFNGAPPKWSPKVSTIPSAHSEGGDK >Sspon.01G0017640-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:69052338:69053479:-1 gene:Sspon.01G0017640-2B transcript:Sspon.01G0017640-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEKQGHPRLNERIMSTLSKRSVAAHSWHDLEIGPGAPAVFNCVVEITKGSKVKYELDKKTGLIKVDRVLYSSVVYPHNYGFIPRTLCEDGDPMDVLVLMQGEKDDKIIAVCVDDPEYRHLTDLKELSPHRLNEIRRFFEDYKKNENKEVAVNDFLPPTTSLEAIQHS >Sspon.06G0021380-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6B:14493482:14494336:-1 gene:Sspon.06G0021380-1B transcript:Sspon.06G0021380-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LWSHIDFDHPTTFDTLAMHPNKKRKIMEDLDNFCGNKDYYRRIGKPWKRGYLLYGPPGTGKSTMIAAMANYLKYDIYDIELTSVSNNIILRKLLVETTGKSIVVFEDIDCCFDDVTGQRSNQGRSTSSRYNDDANVTLSGLLNFIDGVWSAQSGERIIVLTTNIPDKLDPALIRSGRMDMHIQMSYCCFEAFKTLARNYLGVGAHPLFQRVEELLQEVEITPADVAECLLCPQTDFPGSGR >Sspon.02G0009930-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:26876428:26880650:1 gene:Sspon.02G0009930-2B transcript:Sspon.02G0009930-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLGAVARTTRMAAEEMRRASASTAEVPAAPAQAGSRWARVWPTALRWIPTSTDRIIAAEKRLLSIVKTGYVQEHVNIGSAPPGSKVRWFRSASDEPRFINTVTFDSKENAPTLVMVHGYGASQGFFFRNFDALASRFRVIAIDQLGWGGSSRPDFTCKSTEETEAWFIDSFEEWRKAKNLSNFILLGHSFGGYVAAKYALKHPEHIQHLILVGPAGFSSETEHSSEWLTKFRATWKGMLVNHLWESNFTPQRVIRGLGPWGPGLVRRYTSARFGTRSTGELLTDQESTLLTDYIYHTLAAKASGELCLKYIFSFGAFARKPLLQCASDWKVPTTFIYGQEDWMNYQGAQQARKDMKVPCEIIRVPQGGHFVFIDNPSGFHSAVFYACRNHGEEGFTFPDGLISA >Sspon.05G0019680-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:5B:85894068:85894427:1 gene:Sspon.05G0019680-2B transcript:Sspon.05G0019680-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSSSRPHHLLRPLLRGFHASAQALGRAEPHEFSKSSGYLGSWEPAAEPREAWARLDRLRKGYARDVRQLRRQYAYEVQLMEAERQRKADARAEAARIANQERKAAKLAAAETRAAERR >Sspon.01G0059440-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1D:52768800:52769507:1 gene:Sspon.01G0059440-1D transcript:Sspon.01G0059440-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHNELKELDIGGIWFVFTMWSEFGEQNSPRRRVGPGQRHQALRRRGKRSKGERAASPESARAGGLLYWGRPRPSCCARACPRRWPPTPVRRRGNGCAFLAWREEERKRPRRWSAPTPRREAIRAGLAAPAAAAAGAPCRLPRRVEEATPGPPRARTAPPRHLRVGPALGEAGTPGPLRRRDAPSTSTAVALGGRRGRAEEEEEEEGVRHRHRPARIGERQQRGGGQSAAAGSRVP >Sspon.04G0002200-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:8211834:8215195:1 gene:Sspon.04G0002200-2C transcript:Sspon.04G0002200-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPASSSAPPAPPEEAAARRRLPEELKLRRRTLESVLEQCQRALEMMHEADLGEAAEGAGFKEVEVEVEEEGCADGGGDEGAPPPPPSEADYEADELCDLLKSRVQSPEFLEKLDTLQKSIYQHGAVDETVSWDIISAADIWDDKSMNVSDDSEDGYVLVKQEDIVDGIACFMAAYLLSLKQTKDLTPNQLQQALSKTFSAKKRKSKLQKAWDGTKVVYNIASWSATAIGIYQNPAIVQAATAAFWTSCRVISKFL >Sspon.04G0022660-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:12990916:12996648:1 gene:Sspon.04G0022660-2C transcript:Sspon.04G0022660-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSPRPTGPVRRIAAPAPPGAAQGSIAGGTAGVVVETALYPIDTIKTRLQAARGGSRIEWKGLYSGLAGNLAGVLPASAIFVGVYEPTKRKLLETLPENLSAVAHFTAGAIGGIAASLVRVPTEVVKQRMQTGQFKSAPDAVCLIVAKEGFKGLYAGYGSFLLRDLPFDAIQFCIYEQLRIGYKVVARRELNDPENALIGAFAGAITGAITTPLDVMKTRLMVQGQGNQYTGIVSCARTILREEGPKAFLKGIEPRVLWIGIGGSIFFGVLEKTKSMLAERRNRELRAVKDE >Sspon.02G0045740-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2B:111718668:111719621:1 gene:Sspon.02G0045740-1B transcript:Sspon.02G0045740-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RPGFTDSGTVASPGRGNLALETWPGRLWKSSVNVVSIRIHAGFLELSPGYCLRGAKKRRSVLPPARSPLPSASGGLWPFGCVGEWWILDRRCRLGTRLRVRFPGGGCEVDAASPWLNKVLLALFHLVVAFSGTDDGPVRSGLSGSRDQVGVPDPIGSGLGVFPAVPRRLGASRSLVFCFVGTDAAVGLLEDWWLDRAHASRGGSRRRRRAPVQDPGSSGCVPGRWAFSDNFSSYGTSVFCGFFQSLCAMEFPRIWMAAATAGAGDPRRWKPSVGKGSRDLFVISVFLVALSAMFGGIAGLSIHVRRTCTCTGLCIFP >Sspon.07G0023320-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:15000667:15002988:1 gene:Sspon.07G0023320-1B transcript:Sspon.07G0023320-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKFFLLNTGAAIPSVGLGTWQISPAVVEDAIRAALQVGFALRKLFEEGILKREDLFITSKLWCTDHDPEDVLEAIDNTLQHLQLDYLDLYLVHGPVRAKKGTRLSTENILKPDIPATWKAMEKLYDSGKARAIGVSNFSCKKLEDLLAVARVPPAVNQVECHPVWQQDKLRKLCRSKGIHLSAYAPLGSPGSPGNDGLDVLCHPTLISIANKLQKTPAQVALRWGIQMGQSVLPKSDNEAWTREDIDLFDWCIPDELMAKFSEIKQACHVS >Sspon.04G0023290-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:15863087:15866643:-1 gene:Sspon.04G0023290-2D transcript:Sspon.04G0023290-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKKAEDYRNSLQQEAQAKAQALRYEDELARKRMQTEHAAQRRQDAELVKMQEASALRREEARRATEQKILEEMIRTEKEKAEIDQEINRVEALAEAQARVHEEKQSEEVTKRMMLERMKGEKEKWLSAINTTFSHIEGGFKALLTDRSKLIMGIGGVTALAAGVYTTREGARVTWSYINRILGQPSLIRESSMPKFPLPMSRLLKPSSASLSGGAGFENVILHPSLKRRIEHLARATANTKSHGAPFRNMLFYGPPGTGKTLVAREMARKSGLDYAMMTGGDVAPLGSEAVTKIHQIFDWAKKSKKGMLVFIDEADAFLCERNSTHMSEAQRSALNALLFRTGDQSRDIVLVLATNRPGDLDAAITDRIDEVIEFPLPGEEERFQLLKLYLNQYILKEEGKGSSWGALFKKQQRKIQVNGISDDLLREAARKIDGFSGREIAKLVASVQAAVYGRPDCILDPQLFSEVVDYKVTEHHQRIKLASEGMA >Sspon.03G0025960-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:5481178:5484532:-1 gene:Sspon.03G0025960-1P transcript:Sspon.03G0025960-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATFATGSAAVRAALASPNPCQPAALASRPAPARWMPLRCSTPALGLRGGAARSRRGAALRVEAKKQTFSSFDELLEKSEKPLLVDFYATWCGPCQYMVPILQEVSEKLGDKIQVVKIDTEKYTSIASRYRIEALPTFIIFKDGKPCYRFEGALPANQMIEQIENALAVTK >Sspon.01G0019300-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:69749491:69755365:1 gene:Sspon.01G0019300-4D transcript:Sspon.01G0019300-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MELVTLLALTLLACSAAMLARLLVARAQRRRCYLLDYVCYKATDDRKLPTDLCGEIIQRNQLLGLEEYKFLLKVIANSGIGEETYGPRNMIEGGEARPDRLREGMEEMDETFHAVLDELFARSAAPGGVGIRPADVDVLVVNVSMFSPAPSLSARVVRRYGLREDVKVYNLTGMGCSATLIALDLVNRFFSAHAGQVALVMTSESIAPNWYAGNKKSFMLGNCLFRSGGCAYFLSNDPRLRAHAKLRLRHVVRTHTGASDEAYNCALQMEDDAGRPGFHLGKELPRAAVHAFIHNLRVLAPKVLPLPELLRLSCATFSARLARKRGSKSSNHLTIRMKAGVDHFCVHTGGAAVIDGVGKGLTLTEHDLEPSRMTLHRFGNTSASSVWYVLSYMEAQGRLRKGDRVLMVTFGAGFKCNSCVWVVENPATDAGVWKDRIHLYPLKDVSNPFMEKYGFLKDLTIDGPM >Sspon.08G0000410-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:1961091:1966775:-1 gene:Sspon.08G0000410-2P transcript:Sspon.08G0000410-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHDQVDSSKPVSNGNTHGGSTLRESRQRKNVRNSSMAVPPCHVVAKYSRPVATTLSLRSDSDILHNDDKLSKRSSRKRGKQCKRTIRKRLNLASETTFEESTFGASPVEVVPTNLLVDKLSETTPSASSSVKNDGQYNKDYVECGIMLNLATLGTDEMDGSGCAGSSYNVAGGRLSSSCAPYLNDESNVIDSSEFDGSTFTEHGLGEESNSYQKLTCAHVYNPSHATTDSIFSRWNNDNSGNYSVDVEATIKDENGHDHSKPGASTGLSNMRAECQLIGSHLSATHAEDTNDHLGIRSYSKDVTDSCSNTERVQCSSQACSSKASLQFRSGRRNIKSSKTPSYIDLTVSNRVRGSNRHKNNGKDSSAVWQKVERNDKMISKAGHLSNSPIHDKGAREVGKKGVQEDPTRILVKCNQNRKMCKQDSSNGTVELEPTKEEDALNSSHTFSGPVYKKQTPFLRQQRSSSSKQGSQSLKNYYAPRIGIPKAPKDYLQQEELPMLVLVHAKNTSDRSTSYSSSADEVGLTGVGSDYPTEANEGSQPGIEIAASVSCNLVPDLAPQAPSDDSHVSDPHSLCPENKGVSTSRSSKNLCIDPFAAETKEARCVKLLTENNSQECCKWYSGAGHLSQKWVPVGKKETCSGIYLDVSANGEDSNLPSERTYKLNSSEHVDLKFQADNASETDYSKMKEAISYVYTAQQQVEDIQLRIGRPIADLENFVYSASPIVHCSPCPAGCKSYLQECVKDGLCLHQTPDITLRTVWQWYEEPSCYGLDVKAQDIRRSKGMWNSHCQFTTYFVPYLSAVQLFSQPKRISDGSIDKESIHRDVTCETSPDLNLPPIFAKLFPKQSNPVNKSSTPCTEDDQQPADGELVFEFFESEQPYSRQQLFDKVNELIAGVKPSKCQISGDPKNLEVSLHDLHPASWFCVAWYPIYRIPDGKFQAAFLTYHSLGHWIHQSSSADGAAVLPVIGLQSYNAKAEWWFEMSKSDSEGAESAEPASQILKERLRTLNESAVLMSRASVLKNGQMSRNSHPDFEFFLSRS >Sspon.02G0046010-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:113480342:113482374:1 gene:Sspon.02G0046010-1B transcript:Sspon.02G0046010-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFQPNFATNGRFFASYNCDRTKSPSCTGRCSCNSDVGCDPSKLGTENGAQPCQYQVVVSEYSAKGSSANVSEVCSSEHTLPVTVLMFPELHLFSAHILLEMLCQATSADPSEDFHNGATLHISTWRTGTFWSYDGCLYLMMGDVGGKGDPFNFAQNKKSLLGKIIRLDVDSTPSKSLSLYELVKLVTQVCGGNYSIPKDNPYSDDSELEPEIWALGLRNPWRCSFDSERPSYFYCGDVGQDEYEEVDLISKGGNYEWRALEGPLVYHPQWAPGGNTSLSSINAIPPIMGYSHSDANKNIGSASIMGGYVIIIIRSIYTAISIHPLSSFGEDNNKDIYVLASKGVVRPSLCSYTCPTERPETGNGAAPPGSSSKASMTGLNNQMGMLLLSVIIYSMFW >Sspon.02G0005920-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2A:18385605:18385766:1 gene:Sspon.02G0005920-1A transcript:Sspon.02G0005920-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSHLHAELEQPILHLKHTWRSATDISREHGTAARRCEPHGWSAIAPLREDA >Sspon.05G0023740-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:17038383:17039065:-1 gene:Sspon.05G0023740-1P transcript:Sspon.05G0023740-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADMQIVLAGRKIEAQYVEMKVPLYSYGCEKKIKKALSHLKGIHSVQVDYHQQKVTVWGICNRDDVLAAVRKKRRDARFWNSDELGPGEHVPPPGEAPKQYLAAFTAYRLRKSWKKLFPLIRL >Sspon.05G0019020-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:81227039:81232668:-1 gene:Sspon.05G0019020-1A transcript:Sspon.05G0019020-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MANHKSFNSKACQEDDEGVHTNKDISCLSGILPAENHLDAIAHNPHDPPPRAPAPSVEDSHGHEPDLDVDGAPVAGLERGGVVGPDVCVLDDEAEGERGGVAGRLAEGRQRGDGERGGGREGDGGQRREVGDGELGVRVGGEEGRVEGGREGGVGAGVGDAGQREGGEREGRGPRAEEEPHDEGGGAEEEERAHDGGEQAAQAGGRRRREDDEGVHTNKDISCLSGILPAENHLDAIAHTLMTLRRVRQPPLSKTATAMSLTWTSTAPPSPVLSAAASSGPTYASSTTRRKASVVVLPGAWPKGASEATASGEEGAKETEASGEK >Sspon.08G0014420-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:54287144:54292195:-1 gene:Sspon.08G0014420-2B transcript:Sspon.08G0014420-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LEGVGKMIGVGKAKQYANVLDKPLSRGRQEVSLSAFAFLFSEMVQYNQTQVDNITELERRLEDAGYAVGARVLELLCHREKGNRRETRLLGILSFIHSTVWKVLFGKVADSLEKGTEHEDEYMISEKELLVNRFISVPKDMGAFNCGAFVAGIVKGVLDNAGFPAVVTAHFVPIEGQQRPRTTILIKFYTEKQDWAEFYFLKLLHCYKLYGFVRAF >Sspon.01G0046260-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:87282106:87290533:-1 gene:Sspon.01G0046260-2D transcript:Sspon.01G0046260-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSSSSSSPSRKVLSKIACSRLQKELAEWQVNPPAGFKHRVTDNLQRWVIDVAGAPGTLYTGETYKLQVDFPEHYPMEAPQVIFLHPAPMHPHIYSNGHICLDILYDSWSPAMTVSSICISILSMLSSSPAKQRPADNDRYVRNCRNGRSPKETRWWFHDDTV >Sspon.05G0032460-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:18004871:18005370:-1 gene:Sspon.05G0032460-1C transcript:Sspon.05G0032460-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADEMEPVKNLVSGQKSNAAYKIHPPLSRQRCCRWTKRQQALESIEPDDMLRLIGAKNTADPPGTCFSKGKC >Sspon.07G0006340-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:16658459:16665339:1 gene:Sspon.07G0006340-1A transcript:Sspon.07G0006340-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTFSVRLNLSSHSDSLLGRSVVCHCCLRLLLVLLRRLVGRVAPLAGGASLAIGAVGEDSIGEPLEGRGCTPRVRWSGGGGRDAPTPTEELLEPGPPGAATALDWPACWNAYDTGPSLGPAARVKDPGELQARPAVVAVDLGAVVGDEVLGAVAAATARAATVVAATTSATAAAAAAATATAEDATVLGAALRQACGVEEQRSAAGEGNSEENGGHDRRTEATVRGRGYAAANGYTPVDSLGSETAASGCAQAPSPPPPPVPGLNRRRRWGMGVRSAMGAEVGELGRRGERGRRRGVGRAVHSPATAPHHLHRSCLCSMDLTKVCDIGGSQWVRTLGWGKEPPGWRRRTPSGQARESAQSTREAREPDEIKLWCTSRHAIRRHHVEKQTNKQTNGADLAMATPCRVEREKTRPFLDSGHRATVAASARLEAAPAARRDGRLERYRTPRAVTGLVQNLVLATATAGLGSPPSATQGTKNSAVAFLVWWEAPRIMLKKKTFSYRLRKPMNLCSTHKQWHHSSRETVLALDLCFGPPPFLSSPSLARPLPQHTTEAARDAVPGKTGFGPPSPPCVPSRSLRAISFSDSILPDSPI >Sspon.04G0017800-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:64718915:64719187:-1 gene:Sspon.04G0017800-1A transcript:Sspon.04G0017800-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVSGKAPSLVVAASMGAVEALKDQAGLCRWDYALRSLYHRAAAPRIHALSAALSDSVSVPPASRPPSSSAADAARMRKAYHLVCWGPN >Sspon.01G0010490-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1A:29303949:29312277:1 gene:Sspon.01G0010490-1A transcript:Sspon.01G0010490-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLCYGKSAAVQEPAVAEENNLADGAAAGRVDAPPASPVKAPRTPKQAKFSFYLPSPLPPSSYKGSPANSSVASTPARGGFKRPFPPPSPAKHIRALLARRHGSVKPNEASIPEGGEPDLGLDKSFGYSRHFAAKYDLGREVGRGHFGFTCAAKCKKGELKGEDVAVKVIPKAKHLGITATPARDRVLSDAGPRSSLEERQTRELCALAVGSQESVGCPIGPPQRAGARALDSQGDPSGARTPGTNLSINQEHDHEPSRPPGAGPASPDPLGAGPGVRLARPLGKDTASPDPRAAGSALGGGHGLLRGFPTPPTATNEGCEPMTLPLTLGRGRARLDVIGDYHRLYLGIHVPNSVGRTGTVLLDPFSPKQTTSGQMTTAIAIEDVRREVRILSSLTGHSNLVQFYDAFEDEENVYVVMELCKGGELLDRILARGGKYPEEDAKVVIHQILSVASFCHLQGVVHRDLKPENFLFMSKDENSALKVIDFGLSDFVKPDERLNDIVGSAYYVAPEVLHRSYGTEADMWSIGVIAYILLCGSRPFWARTESGIFRAVLKAEPSFDEIPWPTISAEAKDFVRRLLNKDYRKRMTAAQALCHPWIRGTQEVNTNLDMIIYRLMRAYISSSSLRKSALRALAKTLTTDQLFYLREQFTLLGPNKSGHISLQNMKAALMKNSSGDSRILDFVNSICNIQYGKFDFEEFSAAAISVYQMEGLETWEQHAQQAYELFDKEGNRPIVIEELASV >Sspon.02G0042380-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:77581262:77583102:1 gene:Sspon.02G0042380-3D transcript:Sspon.02G0042380-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCGLHRRLCLGGTRTVPFLRRFTPKEVEAATRGFTAVLQGGAGGPGTGTAYRARFAGGLVATVVRRRHDDRGQGAGGTDAFYLELQLLARLNHRHVVRLRGFAQGHHARPVRFTLLQSSLADSSVTLISGDILFFLLLLFFFRSRYPDACLSATDPLRTPLDWRTRLQVAIDIAAALEYLYYHCDPPVFHVSVNSGNVLMDANFVAKLSDVGVISHDVKLATTDSFQDQVEQRRAGLVFEYGVLVLELVTGQSPGGDGELVRWVQDPGFAASVDRMVDADLGGVYDARELRDLVVVARLCTRDRDRDGGGDGVVVTIPQIVRYLQEKLERIGCQDRFG >Sspon.01G0015590-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:46016359:46018627:-1 gene:Sspon.01G0015590-2C transcript:Sspon.01G0015590-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTPRLAAVFFSLLCCSASSSRPLNLISNNSSGFITHLPGFQGPLPFHLQTGYVEVDESNGVHLFYYFVRSERSPGDDPLILWLTGGPGCSVLTALAYEIGPLSFDLNGYVDGLPKLVYNQDSWTKVSNVIFLDSPVGAGFSYSDTEKGYKSSDTKAANHIVIFLKKWFDKYPQFLSNPLYIGGDSYSGMIVPTVTSEIARGKEDGSQPNLNLKGYLVGNPVTDFNFDDPSRIPFAHGMGFISDEIYEAYKKSCSVGDSRHQSISIECINSLGAIDEARICPNHVLEPLCAFASPQPHKTPNLKLNSGAREMLQLPVYTGEEEPHLSEISLQCRVSTEHLLAYMQLSRDLI >Sspon.03G0029370-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3B:15363890:15368143:-1 gene:Sspon.03G0029370-1B transcript:Sspon.03G0029370-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable 3-deoxy-D-manno-octulosonic acid transferase, mitochondrial [Source:Projected from Arabidopsis thaliana (AT5G03770) UniProtKB/Swiss-Prot;Acc:Q8VZA5] MGVIRAVQGSEPRGGAGGAIVAATARAGAPDAVAGAARRPSAARPRPGSPLVWFHAVSLGEGMAALPVVRHCVRLHPALPVLVTTTTLSSFEVIKDLLPDGVIYQFAPLDCPNAIDSFIGYWKPSLVLLLESELWPNLIMSAAAKGIAVALLNARISLKSFNHWSMPVGSPLIALMLSKLSLVVPLSTIQAVRFQLLHTPPGIIHFAGDLKYAVGDVNAGENQVNEIKDLQQQQFGNRPLWMAASIHRGEEEVILRVHDELVKMYPALLLILVPRHPEDCKNISLALKKQNVSFVLRSTREVVASSTRVYMVDTLGELRMLYRVTPVAVIGGSFLSGLAGHNISEAAAAGCAVMTGTVGGVEMHWETDTSDCGMLATW >Sspon.01G0007390-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:91229445:91234511:-1 gene:Sspon.01G0007390-2B transcript:Sspon.01G0007390-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNTSEMPQAKEKLKRSGSLGSNDTYVRADKIDLTSLDIQLEKQLTKTWGKANLKSQGPKEEWEIDLAKLEIRYVIAQGTYGTVYRGTYDGQDVAVKLLDWGEDGFATEAETAALRTSFKTEVAVWHKLSHPNVTKFVGASMGTTDLKIPANNSNGGARTNFPARACCVVVEYLAGGTLKQYLIKNSRRKLAYKVVVQLALDLARGLSYLHSRKIVHRDVKSENMLLTPQINLKIADFGVARVEAQNPKDMTGATGTLGYMAPEVLDGKPYNRKCDVYSFGICLWEIYCCDMPYPDLSFADVSSAVVHQNLRPDIPRCCPSAFANVMRKCWDANPDKRPDMDEVVQLLEALDTSKGGGMIPDGQSSGCLCFTKARGP >Sspon.03G0010290-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:34630279:34631673:1 gene:Sspon.03G0010290-2B transcript:Sspon.03G0010290-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:MOT2 [Source:Projected from Arabidopsis thaliana (AT1G80310) UniProtKB/TrEMBL;Acc:A0A178WGR3] MASAGADPLLPGEGSGGRRLGFLPSGIRLKTSVWSELGGAVGDLGTYIPIVLALSLASHLDLGTTLIFTALYNFATGLLFGIPMPVQPMKSIAAVALSSAHLTVPQIMAAGLAVAAVLLFLGATGLMTCIYRLLPLPVVRGVQLSQGLSFAFTAVKYVRYVQDFSRSSSASTAAARPLLGLDGLVLALAALLFIILATGSGDDDEDVVASDGTVVRRRRRSCSRVPAALIVFALGLVLCFVRDPSILRGLRFGPAPLRLVGITWDDFKVGFWEGAVPQLPLSVLNSVIAVCKLSSDLFPERAELSPARVSVSVGLMNFVGCWFGAMPCCHGAGGLAGQYRFGGRSGASVVFLAIGKLALGLVFGNSFVTILGQFPIGILGVMLLFSGIELAMASRDMGTKEESFVMLICAGVSLTGSSAALGFISGIVLYLLLRLRDVDYRALIGRWGSGRRQTGNKAGGDEDA >Sspon.03G0035960-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3B:86813257:86813499:-1 gene:Sspon.03G0035960-1B transcript:Sspon.03G0035960-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPTSNRCLPHAWAMLAWHEATTAWASEGMVEPRRQWKTRQRCDTSRGIVTAARLGMGYDSVAQHKIGRGGVIKPTTMMA >Sspon.07G0006370-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:11888796:11890989:1 gene:Sspon.07G0006370-3C transcript:Sspon.07G0006370-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLIFAIAILAATAAAVLFHGSDAQELTQSHQTERISGTGGDVLEDDPVGKLKVYVYDLPAKYNTEPLEKDPRCLTHMFATEIFVHRSLLSSAVRTLDPEEADWFYAPVYTTCDLTASGHPMPFDSPRMMRSAIRLIAERWPYWNRSEGADHFFVTPHDFGACFHFQEEKAMARGILPVLRRATLVQTFGQRNHVCLKDGSITIPPYAPPWKMEAQLLPPATPRSIFVYFRGLFYDAGNDPEGGYYARGARASVWENFKSNPLFDISTVHPTTYYQDMQRAVFCLCPLGWAPWSPRLVEAVVFGCIPVVIADDIVLPFADAIPWADIGVFVAEDDVPRLDTILTSIPVEVVLRKQRLLASPAMKRAVLFPQPAQPGDAFHQILNGLARKLPHGDDAFLRNGQ >Sspon.02G0022190-3D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2D:67753977:67754330:1 gene:Sspon.02G0022190-3D transcript:Sspon.02G0022190-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSRSLVGELPPYTSIPCRPSFSPAANAHHPRGPGWCCSASASALSSSTSRVHARVSVSSANASAENLPAPRCPRSLGSTSPPNSTTCLPIPAAQNTLRGLGRSPVVSTTAHARVAG >Sspon.02G0021630-1T-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2D:65096607:65096972:1 gene:Sspon.02G0021630-1T transcript:Sspon.02G0021630-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSFIYIFSLHNTPFHLKDRMGLSTKLFAVLLLLLIGYTETQLGPVTMALARKCESQSHRFKGPCSRDANCATVCLTE >Sspon.07G0019010-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7A:69186844:69188436:1 gene:Sspon.07G0019010-1A transcript:Sspon.07G0019010-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At4g15720 [Source:Projected from Arabidopsis thaliana (AT4G15720) UniProtKB/Swiss-Prot;Acc:Q8VYH0] MTTRPQLPMAPPTSPATALKPVLIHLLRGASDLASVSATHAKLVKTGIASPLASCNHLLAAYCRCGAMGRARDLFDGMRDRDVVSWTTLMSGYAAAALPRDAMYLLRAMGSSGVRPNAVTFSTAAFACARLADAGLGRQVHAQAEVAGCARDAVVATALLDMYGKAGGVEDAHAVFDAMAAPVRNAVSWGAMLAAYAQNALGDEAIRLFADLRTRGGGVAPNHFMLATVVSACAGMARLGIGRCVHGAVLRLGYGNNDVISVALVDMYSKCGCYEYSRKVFDRIEQPSVVTYTSIIVAAAKYGRWRCALTLFDEMVDQGVQPNDVTLLGVMHACSHSGLVDTGLQLLRSMQSKYGVAPCPSHYTSAVDMLGRAGRLEEAFELAKEAQLEGNDALLLWNSLLSACRTHKRLDLATMAAQRVSEFNNQQDVAAGLVVMSNAYVSAGQIDNAAAVQSSMRLRGIRKDPGCSWIEVKDTPYVFYAGAISCAGAWADEVLMLLDELESKMRERGYRGRLGSARVSDAHEDDEEEGK >Sspon.08G0015810-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8B:57680913:57682026:-1 gene:Sspon.08G0015810-2B transcript:Sspon.08G0015810-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIAHPIHHHRAGAELSPHHTHTMMPRTSGASLDLGLSLGLTSQGSLSSSTTTAGSASPWAAALSSVVADVARVRDVDAHAHYHAASAAAAAGLDLVDPADRAAMRASTSPDSAAALSSGASGDNTNKRDRELERTGSGGVRSDEEDGADGAGGRKKLRLSKEQAAVLEECFKTHSTLNPKQKLALATRLGLRPRQVEVWFQNRRARTKLKQTEVDCEYLKRWCERLADENKRLEKELADLRALKAAPSPAAQPASPAATLTMCPSCRRVAATATTPTKHYQQQQCHPKSSSSLPAAAAAAGGAGSVVPSHCQFFPAAVDRTSQSTWNTAAPLVTRELF >Sspon.04G0007910-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:23599760:23604199:1 gene:Sspon.04G0007910-3D transcript:Sspon.04G0007910-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHPAMVPQKERHQARRHGPLSCLGLSAGLLASNTTIPPVFLGARARPHTFLHACGSTGEQPRPAAPGHVIRKSGTQGGHDLLLPIEAGAGPTTLYLTCVPFQRIPAAAARSLFNPVPVTGLLLVDRALAQSKQALRTSLSACAGAPRLTTICSSSLVGACPAASCTCPRRGGPNGCSQPSHRVDRAPQLLYTPYSTELASPPSARASACLRCTQLQPQLRLVSRSQPAMPAFAGSAAEPPLADSYYALLRRNDEAGAYATSTAPSDDVPVAECELPMIDVGCLTSDDGCSSESQRAACTAAIARAAEEWGFFQVRNHGVSQALLDAMRREQARLFRLPFEAKATAGLLNDSYRWGTPTATSPQQLSWSEAFHVPLAGVSGSAAGTCCDFGELTTLRDVTREVAGAMSKLAGTLARVLAEAALGRPAEEGERFPEGCDETTCFLRLNRYPPCPISADAFGLVPHTDSDFLTVLCQDQQVGGLQLMKGARWVAVKPIPGALIVNIGDLFQAWSNNRYKSVEHKVMTNAKTERYSVAYFLCPSYDSPIGTCEEPSLYRTFTFGEYRRKVQEDVKRTGKKVGLPNFLA >Sspon.02G0030210-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:109957751:109963083:-1 gene:Sspon.02G0030210-1A transcript:Sspon.02G0030210-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWVSVVNFVARDSIGVRGAGSQQAKRWTHVGLKFYKLKAESVSTRAIYFIFVFASLPETWNIKLLSSKSSLFGMSKGELKLLKRNMAGIEAALVSGILNIVGTKLAPLVTKEFSSIAGVTKDLQDLQDLVEDINVWLERVGGRALENERSSNWLKRLKEAAYDAEDLVNKFHIKSEKHGINVAAQEIKKIKKRFDEIVKGRSDYSTIANSMPVVHPVQHINKIIGEVPLWTIVDETIIFGRDQEKDLELGLFVIGDSTTHARISELENLDKLNGELQIKNITYVKDPDDAGKANLKKKNGIRKLSLDWHPRVEFGDSDTEESVFVEARTEEELLLHMEKDLRLLNGLEPPSEIKELRVSGYSGLRLPCWMTKQSDSFDPVDMHMLKQSKPLPFSHLIKLVLEKLPNLEHLQGLVDLPAIKILHLRALPKLDFLTFTTDVANAKEEVDLQCHFPSLLELVIGDCSKLNVKMYFPLSLQKLTLEGSNEPLLSLGSFFRRPRDAHGDESSSSSSSYIAEVKTDPCHLTQLKLGKLIGSSSGWEVLQHLTELRDLEIERCNELRQLPETMRGLTCLHRLSLRFCDNLCVLPEWLGELQSLQSLEIFLLPAISNIPPSINRLTLLQHLDIRGCKPLQRLPEEFGELCSLRSLTLWDLPALTCLPESMQHLTSLQFLNWVRCHCQLPESLGELPALRKLWIQDCPTLTSLPRFIQRLPALEELTIRYCPELFRRCQEGVGQDWHLVSHIPDFEVEEWD >Sspon.02G0039210-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:52736642:52750628:1 gene:Sspon.02G0039210-2C transcript:Sspon.02G0039210-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTFHTFLRLLLILTLHLHAIPPGAGAAPRDDDDTLMPGQAFTVGNKLVSSNGKFALGFFQPRAGNMNKPPSSTTSPGWYLGIWFNKIPLVTPVWVANRERPLLEPYLSATQLQISEDGNLLVIVSNNATTTQTQSVVIWSTSTHIAKANNTATCRAILKNTGNLVIESLENPSNNATAMVWLWQSFDNPTNALLPHAKIGWNKVTGLNRVGISWKSQIDPGLGSFRVGLETNATTRNNVTIRRRIYPDKTYWWWSPDTAGGMKIPALRALLHMNPQTSGLVVPEYVDNSEEEYYMYTSPDESSSTFFSIETNGQVKMNVWSHANQSWYSIYVQPVDPCRPYATCGPFTVCTGSSRPPCECMESFSRTSPQDWDLGDRTGGCSRNTPLDCSANTGSSSSTDVFHPIARVTLPYGPQTQSSLHTMTRSECQRACLSNCSCTAYSYQDTKCSVWHGKLFSVNQDDGIEISSEDSIYIRLAAGDFPSLTRGMTRKPLPIGAITAASVIGSGVLLIVIVMISRKKRFKYWCGVSSPAPTSQASVGVAVFSYADLGRATRNFSEKLGAGGFGSVYKGVISGLSTVAVKRLDGVRQGEKQFRAEVSALGLIQHINLVKLLGFCCQGDKRLLVYEHMCNGSLDSHLFQRNDVAAVLDWSTRHQIAIGVARGLSYLHQSCRECIIHCDIKPENILLDESFVPKIADFGLASVIGRDFSRVLTTFKGTMGYLAPEWLSGVPITSKVDVYSFGMVLMEIISGRRNASVVSNTTSMDHVAYFPVHAMSQLHEGDVHSLVDPNLHGDFSLEEAERVCKVACWCIQDNECDRPTMGEVVRVLEGLQDLDIPPMPRLLAAITQCSSVAAIWQKRLELSLIRCTKCDRHRVLEFKLTVRWRKRPELLLIRCTKRDQHRMLEFKVKTNKNAMYLEIPFFTAVVSKASYSVMAGFNDSLYASTGSNKFFALARSSSCFITAGSISSFATAFSSYPFASMCSSKKAFVVVGCSKNAFATVGSSKNAFRLPFCSYPFAFVCSSKNAFIVVGCSKNAFATGLLQECLHHCGLLQGSLRCCGYKAPFATMGYHSTFAAIGSGSRFARNAAATVSPPAPLRRAAQRTPPAEAATVESHASAGVMAGAGGRVGVLAIPFTAVKALANSPLGARLRRRLEDRKAAAAAEADALRAAARGARNNSFWYGGDRPRWLGPVPYDYPEHLTGEYPGDYGFDIAGLGRDPVAFATIQGDTLDYLGIPGFRIAGGQGVIVIAICQALLMVGPEYARYCGIEALEPLGIYLPGDINYPGGALFDPLGLSKDPVAFEDLKVKEIKNGRLAMVA >Sspon.02G0020920-4D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2D:63630178:63635167:-1 gene:Sspon.02G0020920-4D transcript:Sspon.02G0020920-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHGHGVPTQGTFVVGQGVELLRGGAAVELHLREQSSSRQTLTISKSGHGEGTPSPPVGDTERSPKAEPAGDPQVEVCAGSIRQLLHRLVHVRTTISSFGGKLPSDAWFNHVKHLTSDAEESLEHLQYKMILAKLGVGTHLKKELVILPITDKLEGLRHGSPGLSGAVLGNYSDMLSLSSSVMRCILLCRGILGVINIVNMEGKKESLELPVFAFDDLTYAGCSSLENLPASLVNLGNLENLNLSYCKGLKELPHPFGNLQELKYLNLSGSHRVDLDVECLCALANLKSLTLSPLTNIQGFPDSFKDLANRLDSLRWWKKNQIHHQCGPKILKGTKFELYRHTRAANFYRNMKHLRLLALNNTKIKSLPIEIGQVNSLQTLELKDCCHLIDLPGSTSNLAKLRHLDVQKESGNVNVGMPHGIGHLTDLQTLTTFNIGNDLLHCSISELKNLNGLRGHVHVTGLENIKTANDAGEANMMGKHLLEALTLEWSYQEEDMDDDMGKEITNEILQNLQPNSNIMELAILNYAGNLFPVWMQDNYLSKLISVTLDNCHGCSELPYLGDLPSLKSLFIQRINGLERFGIETSSLATEEKHPTGFPSLEVLNICEMYDLQFWVSTREEDFPRLSRLSISRCPKLTHLPRLISLLHVSFHYGVELPTFSELPSLESLKIEGFQKIRSISFPHQLTTLKKLEIIDCKELLSIYAYSLSVSDLKVVRCLKLDLVGSSMEDHIGKKVVNGSQSYKSST >Sspon.01G0029580-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:103825503:103828865:-1 gene:Sspon.01G0029580-2P transcript:Sspon.01G0029580-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLRAPPAPAPLVGSTRVAFRSGIRFSSDTVLKYRGARLCWSIYILFAVAAGLPLPATRLITSSAMKSYRLSELSDAEVSGLKARPRIDFSSIFGTLDPAVKEAFDVAYDNIYAFHVSQKLPEKTVENMKGVRCKRITRCIGSVGLYVPGGTAVLPSTALMLAVPAQIAGCKTIVLATPPSRDGSICKEVLYCAKKAGVTHILKAGGAQAISAMAWGTASCPKVEKIFGPGNQYVTAAKMILQNSEAMVSIDMPAGPSEVLVIADKYANPVHVAADLLSQAEHGPDSQVVLVIAGDGVDLGAIEAEVSKQCNALPRGEFASKALSHSFTVFAKDMVEAISFSNLYAPEHLIINVKDAEQWEELVENAGSVFLGQWTPESVGDYASGTNHVLPTYGYARMYSGVSLNSFLKYITVQSLTEEGLRKLGPYVAKMAEVEGLEAHKRAVTLRLQEVEATVTV >Sspon.04G0000010-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:17745:20206:1 gene:Sspon.04G0000010-1A transcript:Sspon.04G0000010-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSIGGKRKSAKVMQLDGTSFRVKPPAAAADVLRDHPGFQLLESEEVKLLGARARPLAPDAPLRRGRLYFLVALPRRAPAGPMRRAWSGNLNVGARERLESLKLARRSTSDLSSLPAHASASAPTSPLPSGGATPGAGGEAHGESKDPAEAAAKIMELCGAHASARVTPERPPGILRSPRFAKTPEWGAGFMLPPPAPAKTPQRWPTLHAPTRFHFDVSEKGTAALYFFRCSFERNKYGLKMRSCRWLPIHLDPLLYAEWILELNWSIVSSVEELLGGVVDQLNSSSAHARASSFAKVVHCCT >Sspon.02G0005170-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:15651887:15654066:-1 gene:Sspon.02G0005170-2B transcript:Sspon.02G0005170-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAWSRIGRGAQLSLSKYLSRTLYEGGVGGASAAEAASAMRSAAALSRARGQHASSLHSLAGRSAGHLLQPPNRGIVTTPARLHPASSAAVAAELSDAETREHEPVAAPPRKMPSLGPTRPGEKPRVVVLGTGWAACRLLKDVDTRAYDVVCVSPRNHMVFTPLLASTCVGTLEFRSVVEPVSRIQSALATRPGSYFFLASCTGVDTKAHEVYCTAASGDAQLPSDPYQFKIAYDKLVIASGAEPLTFNIKGVQENAIFLREVSHAQEIRRKLLTNLMLAENPGLSDEEKQRLLHCVVVGGGPTGVEFSGELSDFITRDVRQRYAHVKDYVKVTLIEANEILSSFDIGLRQYATNHLSKYGVNLVRGIVKEVKPTEITLSDGTRVPYGLLVWSTGVGPSEFVKSLDLPKSPGGRIGVDEWLRVPTAPDVFALGDCAGFLEGTGKPVLPALAQVAEREGRYLARLLGRVAAQNGGKAHCAGKADLGEPFVYKHIGSMASVGRYKALDAKGVSMAGFLSWLMWRSAYLTRVVSWRNRFYVAVNWGTTLVFGRDNTRIG >Sspon.01G0045990-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:95836695:95845356:-1 gene:Sspon.01G0045990-1B transcript:Sspon.01G0045990-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLTNIGCCGCFSILRKPSVPARQPPDADGMLSQDLLEDQSAEDTNGSFYTGDDPDLSFYNGNNLDTSFRNGDDPDKSFYDRDDNDYLDESDTGPPMKSSEDIIQSRAQSGFACREIPVKETNKVFRSEDENCNKMVNQYVHLGKIGSGSYGKVVLYRNVKDGKLYAVKVLNKPYMLKVHVVRSETAMTDVLRENVIHGDIKPDNLLVTSSGNVKIGDFSVSQDDDDMLWRSPGTPVFTAPECCQGSVYHGRASDTWAVGVTLYCMISGHYPFLGDTLQETYDKITNDPVQIPDDMNPQLADLLQRLLCKDPGERITLQTAAEHPWVAGDKGPVPEHIC >Sspon.08G0003080-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:8443726:8452307:1 gene:Sspon.08G0003080-1A transcript:Sspon.08G0003080-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAAAVPLLLRPLFHGLLLLLVLSLALGAHGNKPGEHYNLTRENFPPGFVFGTASSAYQVEGNTLKYGRGPCIWDTFLKYPGATPDNATAEVSVDEYNRYMDDVDNMVRVGFDAYRFSISWSRIFPNITPYVVLYHYDLPQVLQDQYNGWLSPRIVPDFTAFADFCFKTYGDRVKFWFTINEPNMVANHGYGDAFFPPARCTGCHFGGNSATEPYIAGHHLLLAHAAAHQAGKIGILLDFVWYEPLTKSIEDEYAAHRARMFTLGWFLHPITYGHYPETMEKIVMGRLPNFTFEQSAMVKGSADYIAINHYTTYYASNFVNETDTSYRNDWHAYSDWLYIVPWGLYKLSSGQRRSLTTLLCSSAKAVCRSPRIDQPGNETLPFALYDKFRIDFFEKYLHELQCAIRDGANVFGYFVWSLLDNFEWRLGYTARFGIVHVDRNTFVRYPKDSARWFRKPGEHYNLTRENFPPASSSGRRRRLPGGGNTLKYGRGPCIWDTFLKYPGEIESRCMHEQHHHGIFFMSPIYFIITWPGASLIMPSPLDGCVLGHAGATPDNATAEVSVDEYNRYMDDVDNMVRVGFDAYRFSISWSRIFPNITPYVVLYHYDLPQVLQDQYNGWLSPRIVPDFTAFADFCFKTYGDRVKFWFTINEPNMVANHGYGDAFFPPARCTGCHFGGNSATEPYIAGHHLLLAHAAAVKLYREKYKANYMMHFTVLSSFAYTHQAGKIGILLDFVWYEPLTKSIEDEYAAHRLGCSPLAGDHKPYTTIKKTCMFLHPITYGHYPETMEKIVMGRLPNFTFEQSAMVKGSADYIAINHYTTYYASNFVNETDTSYRNDWHVKISYERDGVPIGKRAYSDWLYIVPWGLYKALIWTKEKFNNPVMLIGESGIDQPGNETLPFALYDKFRIDFFEKYLHELQCAIRDGANVFGYFVWSLLDNFEWRLGYTARFGIVHVDRNTFVRYPKDSARWFR >Sspon.01G0031360-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:107690223:107692292:1 gene:Sspon.01G0031360-1A transcript:Sspon.01G0031360-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAAALAVRVAVMLLVARGGSAAGAAAVEFVLTGFAVRGNVTTSGAAVVTSSGLLQLTNETNEVFGHGFYPVPLRFRDDASSSSSSSTGAPRPRSFSTTFVFAMVPRHDDAHGHGIAFALAPSPTLPGAVAGKNLGLFNTSDDTGRMRSGVVAVELDTAKDEEFNDINDNHVGIDVNGLVSVSSAPAAYVDVGGSLVSINLVSGEPLQAWVEYDGASMRLDVTVAPARKPRPAVPLVSSVVNLSSAVADDTYIGFTAANGAASSSHYVLGWSFRLGGGRAPDLDLSKLPSVPTSRSSKKTPSQLLVVTLSLTVVVVLLLASVAVAALVIRRWRFAEEEEDWEIEYGPHRISYKDLHAATGGFRDVIGEGGFGRMSKTGKATTSTDVFAFGAFLLEVACGRRPMERNDDLDSPGLVDLVLECWKAGRIVEARDPKIGKCDEADVELVLKLGLLCSHPDPRCRPSMRQAVQILEGAAPVPETPPKDLASNNRLFYGYSESFDEFATMFPATSEVTSVTIEPSSSHSTGEEQQ >Sspon.06G0002630-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6A:8379243:8380364:-1 gene:Sspon.06G0002630-1A transcript:Sspon.06G0002630-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDLGVWIGCLCSILGLAARMQKPPLGLAGEERVPLGQARAGAACAYGRALSSVAEPKSCFMRASCYEIFRRGCILLRSYLHDLNNFVHREDFPVKIASLTGGSPMTRTTPFRRSPSCQRRTTVPALCGIIRGSDRGAIRGSACGTTSEGPTPPVAPALAPSAPLVPVHALPAPARPWCLLHGDGLCPPPPPSMVASLPWLSLDMESLSPIRYRDLDLLSNWQTQ >Sspon.02G0021780-5P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:74418764:74420142:1 gene:Sspon.02G0021780-5P transcript:Sspon.02G0021780-5P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSVLLPATVDLSSQQPRCTLYRPQRRWPDKSSNAGIVLFPLPFQGHISPMLQLAALLHARGLAVTVLHTGFNAPDPTRHPAEFTFVSIHESFPAEVTSPGANIVTQLLALNAACEAPFREALASLLLGGGQDVACVVVDGQCYSALRAAHQLGVLALALRTDSAATFRSMLAYPRLRDAEERLDELVPDLEPLRARDLIRVDGSDTDALCSFIGSVADAVRASASGVVINTVEGIEASELAKIQRELSRPAFAVGPLHLLSQAPAEQSLHAPDRGCLAWLDAHPPRSVLYVSLGSVACVDRGVFEEMAWGLARSGVPFLWVVRPGLVSGGGEEVPPLPDGFSEEIRSRGKIVSWAPQREVLAHTAIAAFWTHCGWNSTLESICEGVPMLVQPCFADQMVNARYVTHGWGVGVEAGEVLERGRVAKA >Sspon.06G0012950-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:55800192:55801172:-1 gene:Sspon.06G0012950-2C transcript:Sspon.06G0012950-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAKRMSAAKNLVRLLVLVQVAAGLLAGPGGSVAALSMDYYGMSCPFAEMMVRSVVYDALTKDPTLAGSLLRLHFHDCFVQGCDASVLIDSTDGNTAEKDALANKSLRGFEVIDRIKEVLESQCPGIVSCADILALAARDAVFLARGPYYGVPLGRRDGTRSLDSDTFTALPPPFFNTSSLIKLFGSHGFTVQDLVALSGGHTLGIAHCTNFKARLTETDTLDATLGSSLSATCTANGDAGTAPFDRTSTRFDTVYYRELQMRRGLLSSDQTLFESPETKGIVNMFAMNQAYFFYAFQQGMLKMGQLDLKEGDEGEIRHTCRVINS >Sspon.04G0009830-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:23408558:23411481:1 gene:Sspon.04G0009830-2P transcript:Sspon.04G0009830-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARAPLLLTLLCACACAAALGPANAAGRKMVGVYELKTGDFSVSVTNWGATITSVVLPDSKGAFRLSSDPLSKNPSMASVLCAVRVRLLVHRHQRIYSASPTSFAGNLADVVLGYDTIGGYVSGKSYFGALVGRVANRIANARFVLDGKAYHLFKNDGNNTLHGGHRGFSQVIWTVKEFVGGGDSPYITLYYHSFDGEQGFPGDLDVYVTYQLASPYVLRVHMNATAANKATPVNLAQHTYWNLGGEGSGDVLHNTVQLFASRYTPVDSALIPTGVLAPVAGTPYDFLAPTPVGSRIRQVSGGKAGVYGYDTNYAVDGDDVGGALRKVAVVRDGASGRAMELWANQPGVQFYTGNFLQDVEGKGGKVYGQYGALCLETQGFPDAVNHPDFPSQIVRPGQVYKHDMVFKFSF >Sspon.03G0012050-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3A:33338107:33339573:1 gene:Sspon.03G0012050-1A transcript:Sspon.03G0012050-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTPPRKATTTLPVLLVFLLLLLAPHILATAPTAPHRGLSASDAAHIRRRQLLQYHGGGDDGGDVVVDPSYAFPNPRLRDAYVALQAWRRAILSDPHNVTGSWTGPDVCAYAGVYCAPSPQDPDLTVVASVDLNHADLAGHLPEALGRLADLAVLHLNSNRFCGLVPRSLHRLHALHELDLSNNRFVGGFPDVVLRLPSLRYLDLRFNDFEGPVPSELFDRPLDAIFINSNRFRFQIPDNVGNSPASVLVLANNDFGGCLPASVANMSDTLNEIILMNTGLKSCVPPELGALTGLTVLDLSFNKLMGAIPDELASLHSVEQLDLGHNRLVGDVPEGICRLPHLQNFTYSYNFITGEPPACLHVKTLDDRRNCIPYRPDQRSPEQCSFFKNHHVNCDAFKCKKFVLPSPPLPPPSPPPPSPSPPPPSPSPPPPSPPPPSPPPPSPSPPPPSPPPPSPPPPSPPPPSPPPPSPSPPPPSPPPPSPPPPSP >Sspon.08G0017380-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:2584232:2587934:1 gene:Sspon.08G0017380-2C transcript:Sspon.08G0017380-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRVWLTALLLAFLLAASPFTARVARAESEEDAAAAEVVEGADLGIVGDDTQVSSDGPLSPAPGVETVVVFPKNAGKIVPAGEETELLVGLQNEGESTLNVVAVHSTLHLPFDHSMYGQNLTVQNFFNASVPVSVQATFPYKFVVSKFLQPGAYDLVGYIVYEIDQHPYQNVFYNGTVEVIEAGGLLSVESVFLITLGIALLGLFGLWAYGQVQQLSKKTKKAPKVELGTGTTDANMDEWLEGTSFAQRSKSKKKQT >Sspon.01G0025020-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1A:88853082:88854179:1 gene:Sspon.01G0025020-1A transcript:Sspon.01G0025020-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLNAMSSFSAGHGRRPKKKLYYREPGLDKAMDLQKKPALLLHLRDLILAQKTGSLLVRDLEKEVGFVQKWNFLSLIERHPNIFHVSGGSASREPISVTLTEKARKISSEEAEARELMEPILVRNLRKLLMMSMDCQIPLEKIELIQSELGLPKNFKSNLIPRYPDFFSIRDVKGLDHLCLENWDSSLAVTIREEKMNFEGSQIGRRGIAKDGNFLGPFAFKLKYPAGFRPNRKYLEEVVRWQKMAFPSPYLNARRVESATPQARKRAIAVLHEILSLTMERRLTSDKLDIFHNEYRLPCKLLLCLVKNHGIFYITNKGARSTVFLKEAYENSNLIDKCPLLKFHDRFASLIGRPCSNSDNMLAV >Sspon.06G0013930-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:89794868:89806170:-1 gene:Sspon.06G0013930-2C transcript:Sspon.06G0013930-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGEYPQRIGQPECQYYLKTGTCKFGATCKFHHPREKAAMATRVQLNELGYPLRPNEKECAYYLRTGQCKFGSTCKFHHPQPSTMMVAVRGSVYSPGQSATSPGQHAYQGAVTSWPLSRSASFIASPRWPGHSSYAQVIVPPGLVQVPGWSPYTAQIGSSSSDDQQRTPGAAQYYTGSRQSGTAGIGDQGMFSSYQAGSVPVGLYAVQRENVFPERPDQPECQFYMKTGDCKFGAVCKFHHPRERIIPTPNCALSPLGLPLRPGEPICSFYNRYGMCKFGPNCKFDHPMGSDMYGHASSPTSEAPTSRRMLAHVPSHPEVSPDSGSGRSRRIAHSDSQQIPSVERSTERE >Sspon.01G0046080-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:87433199:87444445:-1 gene:Sspon.01G0046080-2D transcript:Sspon.01G0046080-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:E3 ubiquitin-protein ligase UPL7 [Source:Projected from Arabidopsis thaliana (AT3G53090) UniProtKB/Swiss-Prot;Acc:Q9SCQ2] SNDDALTSWDIEAMRHASEGIGKDLMCMLYLFCAIYGHLLLVICFVPILISISLSHIFDNMLCHLTSQVPFTLEQQRKIASALNTFVYNSFIQNSGSYSKPLVDVAVRCLNLLYERDSRHKFCPISLWLAPARNGRIPIAAAARSHEAAFGTFPGNNSSGIPPRSSVLTTLPHVYPFEERYLTVFSVNFLSIILWLLPRVQMFREFIESDKASRRVTGEISGPGPGSIEIVIRRGHIIEDGYRQLNCLRSKLKSCIHVSFVSECGLPEAGLDYGGLSKEFLTDLSKTAFSPEYGLFSQTSASDTSLIPSNSAKLLDNGIDMIEFLGRVVGKALYEGILLDYSFSPVFVQKLLGRYNFLDELSTLDPELYRNLMQLKHYDGDVEDLFLDFTVTEELGGKRIVHELRPGGKNISVTNENKLHYVHAMADFKLNRQILPFANAFYRGLSDLISPYWLSLFNANEFNQLLSGGLRDFDVDDLRNNTKYTGGYTESSRTVKLFWEVIKGFKPTERCLLLKFVTSCSRAPLLGFKYLQPSFTIHKVPCDVTLWASIGGQDVDRLPSASTCYNTLKLPTYKRSSTLRSKLLYAISSNT >Sspon.02G0024390-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:83473831:83475096:1 gene:Sspon.02G0024390-1A transcript:Sspon.02G0024390-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQTLPIIENAIKEACTSLVPKTMIIADLGCSSGPNTLLFISNVIDIMARQCNKSIDECDPVELQIFLNDLPGNDFNQLFSSLENLKNGAIMEQMGYTPPLYYISGLPKSYYGRLFPRQSVHLFHSPCCLHWRSQVPEELHARNGTLLNKDNIYITKTTPSFVVKCFQEQFHKDFSLFLKLRHEELVYGGKMVLTFVGRKDDDVYSGDSCQLYGLLARSLQSLVAKGLVEKERLEAFNLPLYGPSIAEVKEIVMEGHMFKLDHVKLLGLNWDPYDNTEGDVVHDSVRSGMNVSKLVRALLEPLIASHFGETILDLLFADYAHLVSKHLEQEKTKTAFIIISLKKL >Sspon.08G0022780-3P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:52864964:52869792:1 gene:Sspon.08G0022780-3P transcript:Sspon.08G0022780-3P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHLPSPGKRHAEPAEPAMAPARRAAAVKLEVEELGADERGPLSKRAKAAQLMPPTPPQPQQDMYHNVLDEPSPLGLRLKKSPSLLDLIQMRLSQASNAGESSMDNSGSEPSKKKDNKSGASTAGERLKASNFPANILKIGSWEYVSRYEGDLVAKCYFAKHKLVWEVLEGGLKSKIEIQWSDITALKATCPENGQGTLDVALARPPLFFKETDPQPRKHTLWQATSDFTGGQASMHRRHFLQCPSTLLSKNFEKLIQCDQRLYQLSQQPEIALDSALFEPRCSIFEDPVESKCAGFTNLKDEHEALPGYSGSVSCAGSSISAKNENNDSMGMPAEYLPQTVGTGVGAVGVQAVGRNVNGAAPDFNIPHWWSQLKVPGLRPSMSVDDLVNHLGNCISEQITSGNPALANNEVPTKETLEGIAQYLLGDTQGPPVSASDERSLMARVDSLCCLIQKDTAPVAKPKPEPNDSDSIGAEASDGSDEEFSSAPTGKPTDATNPPAMSRKDSFGELLTNLPRIASLPQFLFKIPEDTEN >Sspon.03G0028940-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:9683339:9685007:1 gene:Sspon.03G0028940-3D transcript:Sspon.03G0028940-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GQARPELARAPARRPPVPARPGRRRSVQRDALRRPPRRRDGRGGPLPPSVGGRLPVAPPGHRVRAVRQVPGAERHVHRPVPQPRRDVRHRRHGRRPHALRRARLVGAVDHVREHGRPRRLRRRRRHAGRPRQGALGLQTARAARLSDPHVGSDDRQLEERGGSRGAVGGQRAVPRGSAAVPRRDAARGDGGGAGGQPQHRRDPPAHVQPCVGVRRQDQHRRRLHFHRPRELSPLDRARRLRPRPRYQVREPGQAAGHGGGGGAERDGEDDVVHRHHERAADQDVGRLQARLRHGRHLRGLHHVRRRQPHHHRPELLPRQQRLPRRRQELQHQDQRRAVRRHPGLLGDAGGRQLRLQPEQPLQRHQPAGRGADVPEPGRRQVLLPERAGDHARPRAAPELPLN >Sspon.01G0027730-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:96919424:96926256:1 gene:Sspon.01G0027730-1A transcript:Sspon.01G0027730-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to Homeobox protein [Source: Projected from Oryza sativa (Os03g0727000)] MAQELEARQRTALGGLGAATEPELDQFMEAYHEMLVKFREELTRPLQEAMEFMRRVESQLNSLSISGRSLRNILSSGSSEEDQEGSGGETELPEVDVHGVDQELKHHLLKKYSGYLSSLKQELSKKKKKGKLPKEARQQLLSWWDLHYKWPYPSETQKVALAESTGLDLKQINNWFINQRKRHWKPSEEMHHLMMDGYHTTNAFYMDGHFINDGGLYRLVYLIL >Sspon.01G0021720-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:78751334:78754140:-1 gene:Sspon.01G0021720-3C transcript:Sspon.01G0021720-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MWAWGCVERVAAGLLGGPLAGGGRWNTAVAVGVTAAAGLALVAIVVSSRRGGLKSPWWRRRKKAPLTAQEWRDLFTPEGKLQDGGVKLLKQVRSGEEIFYCNCNNIDHGPWRWMSLHFRKTCSLYHQTELKHYSLDSSEAQRDVVKAQNRKGYLLLRKHCLRKSAYSMEESKQSTKTAEVNHEGSNSSEKGDESGCVSPVKSEEVPESPSMEEAIAEEGNPSLSAEQEVQDDTSETKPEQMKENQSSSSSSDEEGSEKSAVTHVEASHKNLASVCESSFEDEQESIPRYSNTGGDMDDVVLSKAARPVKSARAIEDFETWQRIIRLDAVRANDEWVSYSPSQASVSKERAIESAKAVFLKDYDHLEPYRIHHASRLVAILEAYAIYDQEIGYCQGMSDLLAPLLAVLEEDDEAFWCFAGFMRKARHNFRLDEVGIRRQLNMVARIIKYKDFHLYRHLEMLQAEDCFFVYRMVVVMFRRELTFEQTLCLWEVMWADQAANRAEIAKSSWRKLRLGAPPTDDLLLYAIAASVLQKRKLIIESYSSMDEIIRECNSMAGQLDIWKLLDDAYDLVVTLHDRIE >Sspon.06G0004970-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:14426636:14430106:-1 gene:Sspon.06G0004970-2B transcript:Sspon.06G0004970-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKYGEGDKRWIVEERADGTNVHNWHWAERDCLEWSRARLSSLLAGLTVLDGEGGLTLRTVALDKLDGEAYVNIRKGKVIPGYELSLTLSWEAEAASESEAVKVAGAAEVPYLADENADEDPELRVTVRGDETPLALRAKDAFLARGKPLVLEKIREFVAAMAKGGPAKDELESKKTPAKVAAAEPGAAPAKKEEVVAPAPAAEKKKAKGKDKEGFKTIEMTEKFYCRSKDIYEILMDENRWKGFTQSNARISREVGGEFSLFDGSITGVNEELQEGKLIAQKWRFGSWPDGLYSSVRSSCHGWVRLTFDEPESGVTIIKLKQTDVPEEDKYGNSTVVENTERGWRELIFQRIRGVFGFGI >Sspon.02G0009450-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:26552494:26552984:1 gene:Sspon.02G0009450-1A transcript:Sspon.02G0009450-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVYGIVSLLLLAGPLVVWAMETSVISGSCSLLEQHASSVNLDEAAHPMTGVGGVTSGMVRNGMALSDMHAFLARGLVCINSSGEAETPFSGSDGVGPAPLASGKAESAVRALAERDPLS >Sspon.08G0015290-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:61540366:61543006:1 gene:Sspon.08G0015290-1A transcript:Sspon.08G0015290-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATYSLQVKDELHGGGIGVGVGGGGQGLYCGATPTPAPPPAATGGGGDGAAKSNKRSRKREPSSSSLVTMSNGGGKDEAVAGGGDKSASSNSNATKRSSRFRGVSRHRWTGRFEAHLWDKGTWNPTQKKKGKQVYLGAYNEEDAAARAYDLAALKYWGPTTYTNFPVVDYERELKVMQNVSKEEYLASIRRKSNGFSRGVSKYRGVARHHHNGRWEARIGRVFGNKYLYLGTYSTQEEAARAYDIAAIEYRGINAVTNFDLSTYIRWLKPSGGAAAAGEDGAAGTPTSGVRGSGIPPASSLSLQAGGLLHHPHGAAPGMLQVDVDDLYRGHLAAARGAVLFPGGGLDDVGSVYAGSAGPSPTALCVGRPSPSPSPSSSTTALSLLLRSSMFQELVARNAGGDAQQQQLVLAGEGAVSPHVVVDAKVEQHDEPEAEGELMGHGGELYGAAGVDEDEDALACSMYELDDSFARIEQSLWGCLRSSDGSDLNL >Sspon.01G0003900-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:9194854:9197127:1 gene:Sspon.01G0003900-2B transcript:Sspon.01G0003900-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSPSLPPPPPAAAAASTTLAGNLTASSLLSIPRPRPRLAAAHRRAVVAAAASPRPRPPPPSEGDGDAQEVDRAMGMDGGIPGTSDEFLRRVSSRAYGMRRHLMESLDSLAYDVLETNPWREDSKPVYVLARSNNHLWTMKTRRSRNEVERELGKLFSKGGGSGVGTKSKYSGSKFDMVVEDIREGVLIFEDEDDAVKYCDLLQGGGQGCEGIAEIEASSVFNMCRNMKALAVLFRRGRTPPLPQSLERDLRARKRSPLED >Sspon.01G0008050-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1A:22444496:22446656:-1 gene:Sspon.01G0008050-1A transcript:Sspon.01G0008050-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSRYSFCVGVRFSCVLLYVGDVHAFIVDAGLVSHCTTAGTWRSFGGRMDGDGIPLVGLLVVVWGCMARQLASDRSNDEEMAACLWPGGEAGHVSDHPVPLAGSPRALPCVGSSGLRLGLREWSFMCPSSPPSTGTSDPTSLFLRRSAEHGAKQGVSIAVTYEEPSDDNGTVLEINCARLRRSLIVVTHVFASYD >Sspon.01G0011190-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:25376138:25384150:-1 gene:Sspon.01G0011190-2C transcript:Sspon.01G0011190-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADARKFVLPGQPPDISQILQEAQKRWLRPTEICEILSNYKLFSIAAEPPNMPRILRYFRKDGHNWRKKKDGKTVKEAHEKLKNQMGPQNMEAAESPISGQISEYEDTEPAKFGAADNCRASSRYHPLAEMQQLVDGVITDNMLYPSASTVDSRQGKSHSSTFTSEALYTNHLSQKEADALGFTGILASEVNGDRYNDGSIKHPLLKQSSLDLLTIETPGLKKHDSFSRWMSKELEEVVDLGIKSTSDAFWSSIETVKVPDGSNVLSNEQLDAYVVSPSLSQDQLFSILDVSPSCAYIGTNTKVSVTGTFLVNKEHVENHKWSCMFGDVEVPAEVLTDGTLRCYSPAHQSGRVPFYVTCSNRVACSEVREFEYRDSDAQYMETSRSQANGVNEMHLHIRLEKLLTLGPDDHHMLAISSGNEKYEIINAINSLMLDGKWSNQESSAVKEVVSTARVQSLKKLVKEKLHQWLICKVNDDGKGPNVLCKEGQGVIHLVAALGYDWAIRPIMVAGVNVNFRDAHGWTALHWAASLGRERTVSVLIANGAAAGALTDPTSEFPSGRSPADLASVNGHKGIAGFLAESALTSHLSALTIRETNDSTVEVCGLPAAEDFTGIDSAQLAGEGPHAESLEGSLSAVRKSTQAAARIFQAFRVESFHRKKVVEYGDDDCGLSDEHTLSLVSLKKVKPGLHDTHLHSAAVRIQNKFRGWKGRKEFMIIRQRIVKLQAHVRGHQVRKNYRKVVWSVGIVEKVILRWRRKRPGLRGFQPQKQLEGPSQIQPAKAEDEYDFLHDGRRQAEARLQRALARVHSMSQYPEAREQYHRLTTCVAEMKQSRMMQDEMLSQAAGGADDFMAGLEDLICIDDAPMSAIW >Sspon.04G0008980-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:26596408:26598100:1 gene:Sspon.04G0008980-3C transcript:Sspon.04G0008980-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKLRYSKRLFKRSSWSKQQQQQAAACGGDNGVGGGAGEIEWEVRPGGMLVQKRNGRGGQEMVTVRVSTGFSWHDVSIAATSTFGELKVMLSMITGLEPREQRLLFRGKEKDDTDHLHMVGVRDKDKVLLLEDPALKDMKLRALSARVVPSSCQPFIQ >Sspon.02G0034560-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:16599038:16605695:-1 gene:Sspon.02G0034560-2C transcript:Sspon.02G0034560-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable WRKY transcription factor 20 [Source:Projected from Arabidopsis thaliana (AT4G26640) UniProtKB/Swiss-Prot;Acc:Q93WV0] MADSPNPSSGDLPAGAGGSPEKPVLADRRVAALAGTGARYKAMSPARLPISREPCLTIPAGFSPGALLESPVLLNNFKVEPSPTTGTLSMAAIINKSTHRDILPSPRDKSAGSGQEDGGSRDFEFKPHLNSQSAAPAVNNQNHHDTPMQNHSSNHASPSSNLMTENKPLCSRESSHTANVSSAPNQPVSIVCPSDNMPAEVGTSEMHQINSSENAAQETQTENVAEKSAEDGYNWRKYGQKHVKGSENPRSYYKCTHPNCEVKKLLERSLDGQITEVVYKGRHNHPKPQPNRRLAAGAVPSSQGEERYDGVAPIEDKPSNIYSNLCNQVHSAGMIDTVPGPASDDDVDAGGGRPYPGDDTNDDDDLDSKRRKMESAGIDAALMGKPNREPRVVVQTVSEVDILDDGYRWRKYGQKVVKGNPNPRSYYKCTHTGCPVRKHVERASHDPKSVITTYEGKHNHEVPASRNASHEMSTAPMKPVVHPINSNMPGLGGMMRACDPRVFTNQYSQAAESDTISLNLGVGISPNHSDATNQMQSSVPEPMQYQMQHMAPVYGSMGLPGMPVTAVPGNAASSIYGSREEKGNEGFTFKAAPLDRSANLCYSSAGNLVMGP >Sspon.02G0045230-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:108582774:108587487:-1 gene:Sspon.02G0045230-1B transcript:Sspon.02G0045230-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATVPNGHPAGGGAGDEDAPPPASSSLVFLGTGCSSAVPNARCLIQPPDPPCPVCSQSLSVPPELNPNYRCNTSLLIDYCQDEGAHKYIIIDVGKTFREQVLRWFVRHKIPCVDSILLTHEHADAILGLDDVRVVQQFSPTNDIDPTPIYLSQFAMDSIRQKFPYLVKKKLKEGEEVRRVAQLDWKIIESDIQKPFTTSGLEFVPLPVIHGEDYICLGFLFGRKSKVAYISDVSRFPPSTEYAISKSGGGQLDLLILDCLYRTGSHNVHLCWDQTLDAVRRICPKRALLIGMTHEMDHHKDNQTLEEWSRREGIDVQLARDGLRVYIDL >Sspon.04G0007280-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:17467890:17471872:1 gene:Sspon.04G0007280-2B transcript:Sspon.04G0007280-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVAEEKAALGFDGLVSDLREVYESGRTQDLEWRQSQLRGLIRLLEEKEEEIFDALHEDLGKHRGEAFRDEVGVLKKSVVDKLQNLKNWTAPEKAHTPLVAFPATALVVPEPLGVVLIFSCWNLPIGLALEPLSGALAAGNAAVVKPSELAPATSAFLAANIPKYLDSKAVKVVEGGPEVGDKLMDHPWDKVLFTGSSRVGRLIMTKAAKHLTPVALELGSKCPCIVDWLDSNRDSQVAVNRIIGAKWSTCSGQACIAIDYLLVEEEFAPILIEMLKSTLERFFTRPEYMARILNEKHFQRLSGFLGDRRVASSVVHGGHFNPKTLSIEPTLLLNPPLDSDIMTEEIFGPLLPIITVKKIEDSIKFLKSKPKPLAIYAFTRNEKLKQRIIDETSSGSVTFNDAIVQYGLDSIPFGGVGFGQYHGKYSFEMFSHKKAVLKRSFLVEFMFRYPPWDETKIGMLRHVYRFDYVSLFLAIIGLRR >Sspon.08G0025390-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8C:8196405:8198627:1 gene:Sspon.08G0025390-1C transcript:Sspon.08G0025390-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSEEVSLELLLLDGSNYASWSASVLDVFRAMGPHIERIVDVSISPPSDDLAILSKEEVKCLQHNAQATNVLFSALSEDVFDAVIFGDGEPLDDAHLIWTTLKEKYDKSKCDEKLLSLEEPLEECSTSPTSEEHQVILPNGQSDHATSTPSPTYMNGNEMVGENNAFTCGTSTSSCSCETNILKEEEDCDRWRPNDESTSPRSSSLYVTSHVGLMAKKEKNVARESENESESESESESESEDESDDDEFNQHLARLSKKDKLMVLKLVEKIGEQEETLYKQDELLIKKIKCLEKLTKEHEKLKCSHASLVKRYENLSIEQTRTINSLCCVAKLEDENYMLKDKVERLTSRNEILRENHDELLCSHEKLMDSHLMLEIAHEVVVTTVKSYQPHTHKCTCTQVPFILSCANNCCSQASQPSVEHVLVENCDDSIAKENEQLKEEVNRLRRDLIYWKGKCNAQPSQDNCEDMVKKLEKGSTVACMKPHQQSHKSNYGKVMGKMEKVQFVQNTQCGSATPKCDSAAPGQNSKTPIATKVQLQPKKTPITCFKCKKEGHHVRDCTLKKERKGMSKIQERKKMTHVKCSNLGHNASMCSNNVDDQATLPKNKTRRSKRKCYGCNEKGHEIASCPSMKDESLASSTKRLVSEVANKKQDKKMSYKIKRHICYTCRGKGHLSMDCPMGNTPKLNSTIDSNMLRRPKNDTCARKVIGSPRASTKAIWVPKSLVTNLNGPNMVWVPSCA >Sspon.07G0018210-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7C:79646426:79647422:1 gene:Sspon.07G0018210-2C transcript:Sspon.07G0018210-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MCPLRVILIFLSATIAGFFLIRGLNAEPDQFDADADELETPRAPLRLHSKVGSAVKTGFWTMVDMASGRYLWRTLVAQPAKSESDKAR >Sspon.02G0025470-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:88302897:88303501:-1 gene:Sspon.02G0025470-1A transcript:Sspon.02G0025470-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEELVKEILLRFPLQEPASLVRATLVSKQWCRLISGPGFRRRFRGGGESPCGVLVWDPITDEQKELPIPPLRTIVWTATILCSAAAGTCDHLDCHHGPFLVLFVGCDRWNTFVYTYSSDVDAWSEIISSTPRNPPDGFYVDYQCTVL >Sspon.01G0003300-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:9022670:9026827:-1 gene:Sspon.01G0003300-1A transcript:Sspon.01G0003300-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASWDNLGELSNIAQLTGLDAVKLISLIVRAASTARLHKRNCRRFAQHLKLIGGLLEQLRVSELRKYPETREPLEQLEDALRRGYLLVNSCQDRSYLYLLAMGWNIVYQFRKAQSEIDNYLRLVPLITLVDNARIRDRLEYIERDQCEYSFDEEDKKVQDALLNPDPCTNPTIVLKKTLSCSYPNLPFNEALRKESEKLQVELQRSQSNMDLGSCEVIQHLLGVTKTVESTVPEEETNAKASEKKGSNYSESKGDSAKSFDDDDDYPKKQKDDYPKKQKDTCSTQREAAVTTFFHICFAVAVRLFKNGGKLRFVEPIAKRQRLPHHHANIWSTEQPYARMGRPELL >Sspon.05G0033780-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:41285729:41299135:1 gene:Sspon.05G0033780-1C transcript:Sspon.05G0033780-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVTMYKQQPEGDHTMGSRSGITSSVIRTGDGKPSNGNMIIHAGPIKVQDMQGIQKQPNQTSNLQVVASVPDLLIGQSKPQTIKTEPSSQENKTAHSVELVSDDHESNKQGLGDAAVFSIVQKDSSKLTYGSECHEHPKSETQNPRCTVAENPNSTLGSAKVCTSFSGSISIPPELSHSLASKEPSSGNSDCSKKEELVSPTVSKHDSVKVSEDSSQEVTRYSEKVQLKGSPPSAPKSSQASRIHVSTVKHRLPVSKEQSQKIALTGGTSARSFHGEVPSLQSRNKAVASSSSQKKDKIHQRIINVTQDSSNNSASTELRASDSAAPLSDEQVIKKRNRDDSLKDSEDTKRTEKRHRVSSTEHASVKDSCRSAENIASEQKTRGICSTGANTGLAKDDLMDTTVSHNLPGLIDEIINKDRNITYGELCDAIHQHFRDSRKSNGGDSVYRSYVDAINDCLRKRREWAHLVDQASKMNSNKRRKGESNSLLADVLEAENMRAGHERDSEGSADLHQDDQSRGKRKGRKRRRLELKGRRVRDTRKRSSIDSSSEDAAATLSDSSSDRNNNPMDDENKEDNSVAPEIGGYIDAKGADSSWWKAVERWGRSDDLLGGRPTPCSSPLDCIIDS >Sspon.02G0040300-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2B:67501908:67502388:1 gene:Sspon.02G0040300-1B transcript:Sspon.02G0040300-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLQPGSCMCTQRGGGPPSAGHGQGMTQRRSSSLGAWVKSVGPRVWTSICSQGLLRFAFRPLNHSLDHQDTFSLDLFVTVDPM >Sspon.05G0036900-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:5501365:5506291:-1 gene:Sspon.05G0036900-1D transcript:Sspon.05G0036900-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding METPQIEMGGFKVNSPQVPNGGLQPTVASSWKSRGTVERALKSIRIVVFTSKLNLLMPFGPASIILHFTTRRHASRDSLNADMLPTALKLQGLVFLFSMLGITPLAERLGYATEQLAIYTGPTVGGLLNATFGNATEMIIAIYALKNGMIRVVQQSLLGSILSNMLLVMGCAFFAGGIVHRNKDQVFSKATAVVNSGLLLMAVMGLMFPAVLHFTHSEVRQGASEEEAPNEDTVEEDEEAEIGMWEAVTWLAVLTLWVSFLSEYLVNAIEGASDSLNLPVAFISVILLPIVGNAAEHASAIMFAMKDKLDITLGVAIGSSTQISMFVIPFCVVIGWVMGQKMDLNFQLFETATLFITVLVVAFMLQDGTANYLKGLMLILCYLIVAASFFVHVDPQSSDG >Sspon.04G0028500-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:66246944:66250425:1 gene:Sspon.04G0028500-1B transcript:Sspon.04G0028500-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGVASVERVKDRATGLDKFVLREARGSSVEVRQILCCYSIVYLYGGQVTFWKNNFGQQLLFVSNKATFKPPKAIRGGIQICFPQLGNHGVLEQHGFARNQFWSVDESPPPFPVATSNCHVDLILKSSQEDLKIWPHSYEFRLRVALSPRGDLILTSQIKNISSDSKPFQFTFAYHTYFSVSDISEVRVEGLETLDYLDNLQSKNRCTEQGDAVVFESEVDKVYLSAPPKIVIIDHEKKKTFVLRKEGLPDVVVWNPWDKKAKAMPDFGDEEYKSMLCVGAAAIEKPITLKPGEEWIGKQEISAVPSSYSSGQLDPELIRRMHTI >Sspon.07G0033640-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:62550546:62561647:-1 gene:Sspon.07G0033640-1C transcript:Sspon.07G0033640-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTTSKKAYGVAIIIQLIYTGMYVVSKAAFNHGMSTYVFIFYRQAAATALLLPLAIVLERRNAPPMPFRLFLKLFFYALLGNTLSMNLYNISLKYTSATVASATSNSVPVVTFFLAVLLRLEVLRLRSPSGMAKAAGVALCLAGVLTIALYTGPSMSPVNHHRAFAAHHPSHPQAHADGGKGTWIKGTFLMLLSNTTWSLWIVLQASLLKEYPNKLLSTLVQCGLSTAQSFLLAVAVERDPAAWKLQLDVGLLAVAYSIVRLGSLIGSGLLVGGLYSVLWGKSKDHLHQKRSQEQVPKTLATTSNDGHDDDEEKQQQPPAVQNTAGGDEKQLKDSISPDEQIMEAKKPYVIAIVVQLIYTGMFVVSKAAFNHGMNTYVFIFYRQAAASLLLVPIALVLERITFSLNLYNVSLKFTSATVASAATNAMPVVTFCFALLLKMEAVKLRSSSGLAKLAGVSLCLAGVFAIAFYVGPALSPVNRHRAFASSASPAPSGGRTTWIKGTFLMVLANMAWSLWIVLQGRLLKEYPNKMLVTVVQCVFSAVQSFVVAVVAERDFSRWSLRLDISLLAVLYTGFVVTGVTYYLQAWCVEMKGPVFFAVWTPLCFIFTIFSSSFFLGEIVHLGSIVGGILLIGGLYSVLWGKSKETNVPTCGKVNSAVDDADEENNHHKPQEK >Sspon.03G0020540-4D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3D:50608035:50608409:-1 gene:Sspon.03G0020540-4D transcript:Sspon.03G0020540-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFPFPSLSLAGPLARRALLLYAAAWTAVATTAVAVAAFAPELAFVWAVGTGAPLSRACPGTTGGGGGFTVGLPLDGPPWDAVCVPAGMFGRARPDVIVPLVFAVVEDEHDDEPSSPAAFIEQV >Sspon.03G0003050-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:19598474:19600072:1 gene:Sspon.03G0003050-2C transcript:Sspon.03G0003050-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGESLLTALSMDTATAHHPHQGPSTFLSMDTASHDDFDLFLQPQGPFRRCLHAAAVAPPDINLPLAADPSPPPPASHDVNVDMLDVGLGGPQHYDSDSPAAAGPVSAPAAAATTMVAVSHTNKGSGSSAARKCVKRNDSIWGAWFFFTHYFKPVMSADKGGKAKAATTTTTGGNGNSATLDAFLVQHDMENMYMWVFKERPENALGKMQLRSFMNGHSRLGEPQFPFSADKGFVRSHRMQRKHYRGLSNPQCLHGIEIVRAPILAGVPEADLKRWMELTGRDANFSVPTEASDFESWRNLPSTDFELERPATAAPAKSSSHGHHKKLLNGSGLNLSTQPSNHSSGDGMEIATTCNKRRKDSSPAAMEEDCSNSNSDKAQDMDVSHTFEPSWMNDFSGVMRHASGPVTAAKTIYEDSKGYLIIISLPFADFQKVKVSWKNTLTNGIVKVSCTSVGRMPFLKRHDRTFKLADHTPEHCPPGEFVREIPLPTRIPEDATLEAYRDETGTGLEIIVPKFRVGPEEHEVHVSMRPPSS >Sspon.01G0024420-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:28242324:28247274:1 gene:Sspon.01G0024420-2B transcript:Sspon.01G0024420-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSASETTSSSATSATSSSDADAPASPSPSPSRRAAPTLLLVSFLAALLILSSGDDATAQPLNGVSLENPEVSFVPSPLDGQFCERVLLSGVPRLHLDSYASQIRVKMNVSQSIPEKFHWKIEVCFHRNASMDLCQCEAGEWQGFQDGMWTAVNSPYGNKYVDVKLADKKPARFTLSIQEEFQKWRLACLGIGFVLLFLSPIVSKWAPFYYSSSMALGILLVVLIVLFQGMKLLPMGRKSLFYLAIYGSVLGVGSYVVHYFSTLVSTILENFGLSEELYNPVSIFLLVAIVFTGAGFGYWMVRRFILSKDGSVDAGIAQFVKWAMRVVATLFIMQSTLDPLLALVALAFSWWLCSLLTAKKVQKTMTQKQKQSMVLSHQMLTQGLPKSPKIQFLSPSKIGFGRTTSRSSATQYGRSNLANGGLVCSALTKHVVPNEDDEDHYSTFHNIQPRKYSKEEWEDFTQKSTRKALAECTATPEFAQWVADNAHRLQVEKEEDNFSEEETIESSNSSEETGDEADGAPGLIRLWG >Sspon.04G0005500-1P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4B:11842527:11848781:1 gene:Sspon.04G0005500-1P transcript:Sspon.04G0005500-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding WRNLGGRGTPPGPPWAPSESSAFRAFAAAVGERAEASPSGPGNGDSARSSNLRAVRKRPFVARL >Sspon.07G0003330-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:7775968:7779339:1 gene:Sspon.07G0003330-3D transcript:Sspon.07G0003330-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFWGLEVKPGSTVKCEPGHGFILHLSQAALGESKKSDNALMYVKVDDQKLAIGTLSIDKYPQIQFDLVFDKEFELSHTSKTTSVFFSGYKVEQPTEEDEMELDSDDEEELDIPVIKENGKADGKEQKSQEKAVAAPSKSSLVSKKSKDDDDSDEDETDDSDEDDTDDSDEGEGLSPEEGDDDDSSDEDDTSDDEEETPTPKKPEAGKKRPAENSLTPLSDKKAKVATPSAQKTGGKKGAVHVATPHPAKGKTPANNDKSKEKSPKSGGSVPCKSCVKTFNSEMALHAHSKAKHGAK >Sspon.02G0024470-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:83918146:83923185:1 gene:Sspon.02G0024470-1A transcript:Sspon.02G0024470-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNESSSTVVGEMQSSLDRVRRQLSSTSTRHLLQGPLLKRSDTLRKWNERWVILDPTTGKIEYKYETPSAARAWVSTLHATQLVLQAHKEAVNSLGENGPAKLGTVATVVAVANATAIEATKEDIRARDSTINEIADKLLETAEVAETAASAARSIDEERRFFTSELERLKQDHEKQVEASLPRLRESEEKAKLLVEERDHLLTERDSALQEAQMWRSELGKARGNAVILEAAVVRAEEKARVSAADADIRIKEAMSRLESAVKEKEDLLALVDALQSQIQRQETSTIQVCEESSELCSTASKHMEDDNVDKACVSDTDPILVTENIVELDDEGVDIPTIGDTEWDNPHSSEVSDEILVVSCKLSF >Sspon.01G0006530-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:17352876:17357309:-1 gene:Sspon.01G0006530-4D transcript:Sspon.01G0006530-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSTFAAHGFWPQALKKLLFPLCSADLKVAIKCKSGAAADESYETKALGPLDDTGVFRIPLAAELLRDDGSMNRDCFAQLHSSLGTPCVGQAPPRIAPTSSQLDGGDSSTTYLAAAIDGTVFAPVACACGKKKKHYMFGPPPPPPRPTPTPTPNPPTPTYGPPTPTPVPEPRPPAPEEPEPFFKKKPKMKFMHKKKPDMEIQARQLLVAVFGIVIAIGFANTVQGETATPVVVGLAKCSDCARRNMNAEAAFKGLQVAVKCKNSKGEYESTGVGQVDKSGAFSVPLAADVVGEDGELKAECFAQLHSASSAPCPGQEPSKIVAAPPGGHDGTEKTFVALGGKVYRSSPECASAFLCHPFFHSIIHHHHHVGIHTPVVIPHLPDHGHGHSVPPVTNKPPAVGVPEHKPAPAPAPAPVPEHKPPSTPVPVPEHKPTPPSTTPVYSR >Sspon.08G0022160-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:46031079:46032644:-1 gene:Sspon.08G0022160-1B transcript:Sspon.08G0022160-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SIMYGCDVARDLVTMLAKPVPPYGRRAGLMPRRQEDFGGSGAFPEVHVVQYPLSMGLRGDREAEATPRSNDVLVVTVDSHGRVAFGAVVRQGENAAKIVYSSHADLVPRIAPAPDHDQDAANNEDEVEATTARMRATLQAIINARLSAVQPASVIQPPRSRDDPTLVKYRPARQAAAFNSGAEERTVRVEHAQEDPVLPPKHKRRRVPRPAGSPPVTVMHSLPRPVSRKDMSDWKIPPRVSDWKNANRYSIPLDKRMASDGRRMQNVQVGDGFASLSEGLYVAEQKAREAIQTRVKVVKELKMKAKEQRGQELHEMANRARVEMAYGATAAPPVDAELSEQRIQRDMLREERRRKRECERQQEASSLSGKKSMITRDRDRDVSERIALGMASTGGSADAGDLAYDERLFNQDTGMDSGFAVDDRYNVYSGRLFAVQPPALSMLYRPNKHGDSDVYGGADEHIEKRTRTGRFRPYKGVSGAPERPVGKRDRPVEFDVPEECGEADDPFVELDQYMAKVKEGKK >Sspon.03G0021440-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3B:88089540:88093934:-1 gene:Sspon.03G0021440-2B transcript:Sspon.03G0021440-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LVDPDGEYARASRTSTSHLDDESSNWDDLDDVATKEGTESVNDEHPHINPQHDEQYGIVAVRRKLQEMSVLRQQELNPPRTLLILLQINQCLKNHSLMPHSPQTHLIPPQSVINKWITCPRLKHAKGSLAGTTLNDKIFAIGGGDGSAVFSEVEMFDPALGRWIDSVSMRQKRFAPAAAVLSGTLYVTGGYDGNTYLQSAERYDPREGFWTLLPSMSARRGSHSVAVMRESLFAVGGYDGNSKISTVEIFDPRANSWRIGSSSSIARGYGCAATMDDNLYLIGGVNDAGETIETVEVYNERQGWSISGCRAIGRRAFACAITV >Sspon.05G0012690-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:32851137:32853308:1 gene:Sspon.05G0012690-3C transcript:Sspon.05G0012690-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALMAAAAARVDDHDEYAKLVRRMNPPRVVIDNEASDDATVIRVDSVNSHGTLLAVVQVIADLNLVIRKAYFSSDGNWFMDVFNVTDRDGNKVLDASTISYIQKTLEADDWYYPEARNTVGIVPSEDYTSIELTGTDRPGLLSEVCAVLAAMGCAVQSAELWTHNTRVAAVVHVTDAGTGGAIEDAALIADISARLGNLLRGQSDVRAGGGAGAGSLALHKERRLHQMMFDDREGHVAAAPPDGAAPRTEVSVTPCAERGYTAVVVRCRDRPKLLFDTVCTITDMHYVVHHGTVSAEPGGGAYQEYYIRHVDGHAVRCDDERQRLVRCLEAAIERRTAEGLELEVRTGDRAGLLSDITRIFRENGLTIRRAEILSSGGEAVDTFYLSDPQGLPVEAKTIEAIRAQIGEATLRVKNNPFAAGDGAAARKDADVAGAGTTAFMFGNLFKFYRPFQSFSLVKLY >Sspon.02G0004270-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:13084870:13087376:-1 gene:Sspon.02G0004270-1A transcript:Sspon.02G0004270-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAGALCLALLCLMAAHSAMAQKSTPAPAAAPAATTRRRPRPRRRPHPPRHHPPPPPPHAAHHHPGHTRARRDPARHPGSRRGAAQVHPEGIPSRPGAQGQGHPARRLPAGGRAAPAASPPAPAPASPPTKPAEVPAPAPSKKKKKPSSNSKNKKKKSKAPAPAPVAEAPASTKKSKAKAPAASEADAPGPVGDGAAADTAAAGRTVAGGIMAFALGLVALLA >Sspon.02G0001120-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:4631831:4643753:-1 gene:Sspon.02G0001120-2B transcript:Sspon.02G0001120-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable acyl-CoA dehydrogenase IBR3 [Source:Projected from Arabidopsis thaliana (AT3G06810) UniProtKB/Swiss-Prot;Acc:Q8RWZ3] MTRNRFPILIPSLPPKHERELPTQIRPRCLVSLGIAVSSSVPQVLNRPAAAAMAKLTSELLRPVDPAAALDEAALLRYLAANVPGFPGPAPALSLTQFGHGQSNPTYCIHAFASASAPGGGPARRYVLRKKPPGAILQSAHAVEREYQVLKALGDHTDVPVPKVYCLCTDASVIGTPFYVMEYLEGIIYPDSALPGVTPSKRQSIYFSTVKTLAAIHKVDVNAIGLQKYGRRDNYCKRQVERWERQYLASTGEGKPARYQKMLDLARWLKEHVPKEDSSAGSGTGLVHGDYRPDNLVFHPTEDRVIGVIDWELSTLGNQMCDVAYSCLPYIIDAAPSERSSYRGFQDTGTPDGIPQLEEYLSARPWPAANWKFYIAFSLFRVASIYAGIYHRWTMGNASGGERAKFAGKVGNVMVDCAWDFINRVNVLQEPPSKGFQVSGAPWQEFHREEESSTSEKNQGKFVPSEKVMQLRKKLIKFIEDHIYPMEGEFYKHAQSTSRWTIHPEEENLKALAKKEGLWNLFIPLDSAARARKLLLEDHSQISLGSSNDLLLGAGLTNLEYGYLCETMGRSVWAPQIFNCGAPDTGNMEVLLRYGTKEQQKQWLVPLLQGTIRSGFAMTEPQVASSDATNIECSMSRQGDFYVINGRKWWTSGAMDPRCKILILMGKTDFSAPKHKQQSMILVDINTPGVQIKRPLLVFGFDDAPHGHAEITFENVRVPVTNILLGEGRGFEIAQGRLGPGRLHHCMRLVGAAERGMDLMVERALSRTAFGKRIAQHGSFLSDLAKCRIELEQARLLVLEAADQLDRHGNKKARGILAMAKVAAPNMALKVLDMAMQVHGAAGVSSDTVLSHLWATARTLRIADGPDEVHLGTIAKLELQRARL >Sspon.07G0030370-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7C:6309837:6310214:1 gene:Sspon.07G0030370-1C transcript:Sspon.07G0030370-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEAAADVLCPGHHHHHSPDDDDDGHDDHQRQQPHCWTGRKQPCPRPRVDDDAAARGGSSKVRRPAGSSSSSAPVAIIIPVAAVKRPSSSSWAEDYYYGDDDDDEAAARSSGGEVAAIGGEGGLVH >Sspon.04G0031830-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4C:18084274:18089304:-1 gene:Sspon.04G0031830-1C transcript:Sspon.04G0031830-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADLKLTVIRHREVFSATTARCWRWHRGRINSPGLFLAPLVTPSSAIGEAEEKGILRIPFSRGWPDLARSFRGYGGRWRRARIWVLGMSGGGPGRCGTAVGSIPFLLTGVYFDSAQSQLAKGSSALRTAMVVFFFGGDVRRRGGRLRKLHEGSRVYVVIFLFLRALTEVWLRQLYSYPYTCLYLTLSLLDGASLLKIVGPIGFSTVLPRGMCDSMPFGIPDSEMKYNPI >Sspon.08G0014440-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:54328904:54349733:1 gene:Sspon.08G0014440-2B transcript:Sspon.08G0014440-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ELTCPAEHAWAAQGSCTARLSYHKDGQRGAFRRLTDAALNWRSWPLASELATTRTTHSPIRLLSPRFFPFLPSSDLARRRLSRCGVSRLAAIRRSHLQGLEGDLTQASSSAEFLDDDVHLRDPILLQPSRSLMWMEGHIRLLHFTNHVLEMGISTLIIIILALQLLVRVTRSRASARQQLVALSSPLQLAAVVEDGDGGTESALYKPLNTETVDDERADSQSHVTHLLFAKAGFFSVMSFWWLNPMMKMGYEKPLEEKDMPLLGPLLLKAFINVSLGKGSFKYEGYVLAVTMFICKCGESLSQRQWYFRTRRLGLQVRSFLSAAIYKKQQKLSNSAKLKHSSGEIMNYVTVDAYRIGEFPYWFHQTWTTVGLATIASLGVIIVTVACNAPLAKLQHKFQSKLMGAQDVRLKAMSESLIHMKVLKLYAWETHFKKTEAWDGMRGAEAWDATSKCRNRRILHN >Sspon.01G0029540-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:91691067:91694017:1 gene:Sspon.01G0029540-2C transcript:Sspon.01G0029540-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDGTELRFDHGAPYFTVSNNEVARVVSGWEARGIVAEWKATFASFDLATGKFTDFEKEGTAKKYVGVPAMNSICKSLCVEDGVVAKFGVTVGKMDWLQDRSSWSLASLDGKDLGYFDYVVATDKNVASTSFSGLTGRPPPLDLSSFPHLPTILQDIPVRPCFALMVAFSEPLAMVPVHGFSFNNSNSLSWAFCNSSKPGRACVPPNRQSWVLHSTTEYASKVVKNIGPRKPSAEALAKVAEELFSEFQATGLSIPQPIFMKAHRWGAAFPAIAISGDDKCVWDKSTKLAICGDFCTNPSVEGAILSATRGASKILECLSLPSGL >Sspon.01G0002380-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:6506122:6512115:-1 gene:Sspon.01G0002380-1A transcript:Sspon.01G0002380-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carotenoid 9,10(9',10')-cleavage dioxygenase 1 [Source:Projected from Arabidopsis thaliana (AT3G63520) UniProtKB/Swiss-Prot;Acc:O65572] MGTEAEHQASLQHDGVLVVPAPRPRPRKGLASWALDLLESLVVRLGHDKTKPLHWLSGNFAPVVEETPPAPNLTECLNGEFVRVGPNPKFVPVAGYHWFDGDGMIHAMRIKDGKATYVSRYVKTARLKQEEYFGGAKFMKIGDLKGFFGLFMVQMQQLRKKFKVLDFTYGFGTANTALIYHHGKLMALSEADKPYVVKVLEDGDLQTLGLLDYDKRLKHSFTAHPKVDPFTDEMFTFGYSHEPPYCTYRVITKEGAMLDPVPITIPESVMMHDFAITENYSIFMDLPLLFRPKEMVKNGEFIYKFDPTKKARFGILPRYAKDDKVIRWFELPNCFIFHNANAWEEGDEVVLITCRLENPDLDKVNGHQNDKLENFGNELYEMRFNMKTGAASQKQLSVSAVDFPRVNESYTGRKSEVNVIDAKTMSADPVAVVELPNRVPYGFHAFFVTEVSN >Sspon.01G0003460-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:8573084:8573287:1 gene:Sspon.01G0003460-4D transcript:Sspon.01G0003460-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAVLVAIVLMQCCNMIVTAGPLLETPAVAGGATGAGTSWLGLIMQVLGGPGGNNNNCTAPNGSCP >Sspon.03G0009650-5P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:4321276:4331015:1 gene:Sspon.03G0009650-5P transcript:Sspon.03G0009650-5P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGGAGGKGAAAPVPAGSRKLVQGLKEIVNRPEAEIYAALRECGMDPDEAVSRLLSQDTFQEVKSKRDKKKEIKEVPETRYRSANSSTNRGLRSGADRGGRSNSVHSSSTDNMTSRPPVSGSGTASVNSSQKQTIASSSANKHMVTDGPAVSLQSSSGFQHGGWSGTPGQLSMADIVKMGRPQGKASSKPVVTVDRGYAGQYPSLPSTNQNLKQSVSMVPPTELDKGLQPAQDSVQVKNHGHSAADSKLPYGTDWSPQDDPTSANQSSLPETSGDPSLYEASFQSSALVTDVVNSHENSHLDENSTFAMRPAPASERHLEPSDGISEYNDGMLNNSSSYQPHNYSYTEQEVEDSSADVSAAAANFQSLSLHNDELAAKKTAEDNPAVIIPDHLQVTNTECVSLSFGSFGSGAFSGLLPQKTADSNVEFPAREDSAPVDQIDARNQEYYESGAVTSPAEENLEDMLGANMENVDAPSVSQANELRQDVLDPSGLQYDVPSVSSHAYSNTNASQPSTMEDPQGNNQAHTLSHLSNLMQANPLSTSSLLGSNQNHAALHGLEFDLPPYLEAKYNTGSTTNPRPAISMQEALKAGVFSNAQSTQSLPSTSIPPGPPLPQQLAHHPYSQPTLPITHFPNMVGYPTYLPQNYATYLSSGTFQQAYPSNGPFHQSAAALLGSGMKYSTPEYKNNLSATGLQQQHQPQPQPQPPSSVISGYGGFGSSSNIQGNFTLNQSSGSAATLGFDEALSRQYKDTSQYMALQQGDNSGMWLHGSGSRAASTLPPNHFYGYQGQSQLGGFRQAQQPQPSQFGGHGYPTFYQSQGGLAQEHPQNLAEGSLNGFQVAPSQPSHPSWQHQHTY >Sspon.08G0012520-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:53647918:53655244:1 gene:Sspon.08G0012520-1P transcript:Sspon.08G0012520-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNPLQISEEDAICRRTRARYSLANYSLEELETFLQESDDDSGLQNVDEEEEYRKFLASVLSGIGNDTQAFQGDENQDEDDNDADFELEIEEALESDGDENAENYDDTNHRKEKGGRRPQTRQRRPFTELSGTRSYHQESDKTNFRSILPYIPSALVTPAHAFGWQYRTQNALSPSSLVSVPCAPLACGFTDQQLGQLHVMIYEHVQLLIQTFSLCILDSSKQDVANNVKKMIVELVGFRDQALARSAPQQHIVFESWHLSSSFVSSENLECQWMPLIKSPVISILDVAPLELALSYLSDVATAVVKYRRSHVDGTADKTRRKEPLFPSPFINSCKEVNNVSQDRSNSVPTASSPSSGQLQQKKSLAATLLERTKKGTVALVPADIARLAQRFFSLFNFALFPHKPPPSPMANRVFFTDAEDRCEFWNIIMTGKQYKSAFFLIFVRQKNRSSSKAPDNPVKDVRRMKASPLTVEEKECIEKGLRIFKNDWTSVWKFVVPHRDPSLLQRQWRVASGVQKSYSKSDAQKERRRTYEAKRRKLRVSMPDSRRGQEADNNASEDAENDDDSYVNEAFLEDTDSIPYQQSGTDLDEECGTTGGYIEPQKLSGAKLDVTTSYKPFMYRPSDGPSYVRTPSTAAPVVSCGSLDQLPASQLSKQKGSCVVKLAPDLPPVNLPPSVRVLSQAEFYRNATHFQGTSDNAAKDMYPVPPLTSFTESADRQLNMFPDHRANSRLQQNGISSDNATEDGAEQDLQMHPLLFQYPRDVTSYSHPVQNLINQSRKYDLFPFEKVRVERSNNQITGSTENGTVNANTIDFHPLLQRTEVDVHNEVPEYDNNLDCHQSDNNMSEIPVDDQSTAGQASTSPSERETSIDLNIHLCSPMVINGSNNFRSSFSRSNVQDEISRKDKSSVPELEVVNSYSHYCIQEPNEESMQGIVMEQEELSDSEEDSQHVEFECEEMDDSEEEQVQSPEASPIQNKGISAAVVCGEFHVNNDQSQIQQGSVQKDKQGASLMQKMQVPSRSARAKLKPETAKCTGSRTSQRSSTSRTAETSRSKTRNSKVPQGQSSAERKPNDSRTRKTLAPT >Sspon.01G0022240-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:81555328:81559675:1 gene:Sspon.01G0022240-1A transcript:Sspon.01G0022240-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHQHATTAAGRGGGSMEIVPYSYSSGRDLELELPPFDVKRQDSLYRDATMPAHAGHHGQESWVRTLRLAFQCVGILYADLGTSPLYVYANTFKEGVHHEDDVLGVLSIIIYSFILFTMIKIVFIALYANDDGDGGTFALYSLISRYAKVCLIPNQQAEDELVSSYKHRGKPSATLRRAQWMKNLLETSKAAKISLFFLTILATALAISDSMLTPPISVLAAVNGLKLRAPHLTTDATVWITVAILVVFFSVQRFGTDKIGYTFAPVVFVWLLLISGIGIYNTVKYDISTLKAFNAKYIIDYFRRNKKKGWVSLGEILLCFTGTEALFADLGYFSIRSIQLSFTFGLLPSVLLTYIGQAAYLRKHMDMDISNAFFNSIPTSLFWPTFVLALITSVIGSQAMVSCAFATMSHLQALNCFPRVKILHTSRRYSGQLYSPEVNIFLCIAACVVTIGFRTTGFIAKAHEICVVLVMVITTLLMTIVMLLVWKVNIWWIAIFFVVFMSTESIYTAAVLYKFTHGPYVPVAMSAVLMFIMIVWHYVHVKRYKYELEHTVSRDEAKDLLERRDLKRVPGLGLFYTELVQGIPPIFPHLIEKIPTIHSVIVFITVKNLPVPHVDVTERFLFRQVEPKEFMVFRCVARYGYRDALEMAGDFVKILVEYLQYYVRDLNLYGVGGDEPLKIVFHSARVDSFTWERKPSGHAIHAEEMLTPAQSFSELTMHPVSMSSRLAHFQTGKINLEEMLKIEEDQKIIQREVDKGVVYIIGETEVVAKPHSNLLKKIIVNYVYSFLRKNSRNGEKMLSIPRGQLLKVGITYEI >Sspon.01G0006150-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:15996613:16009811:-1 gene:Sspon.01G0006150-2B transcript:Sspon.01G0006150-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MIIYEGAVKEDGRGKTIWDKFAHTFGKVADFSNADVAVDHYHRFEEDIQLMADLGMDAYRFSIAWSRILPNGTGQTCFNAFGDRVKHWITLNEPHTVAIQGYDAGLQAPGRCSLLLHLYCKLGNSGTEPYIVAHNFILAHATVSDIYRRNYKATQNGELGIAFDVMWFADPFFFGDYPASMRSRVGDRLPKFTADEAALGALDFVGINHYTTYYTKHNSTNLVGRLLHNTLADTGTISLRQFDMAVHRAQRDEEPDELRQGKVQQPTGMDDGNSPYTSIKDALKDSKRVKYHNDYLTNLAASIKEDGCDVRGYFAWSLLDNWEWTAGYSSRFGLYFVDYKDNLKRYPKSSGLAKRPSQI >Sspon.05G0036550-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:5C:88183426:88183678:1 gene:Sspon.05G0036550-1C transcript:Sspon.05G0036550-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPGYLEDWTEEDPPAPAPFLRRLEVLRARGAPLLPTYALPGGLDGGSPACPCSLPASAGSPALEEAPCSLPVPCLEDWTDEAQ >Sspon.07G0009050-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:21852431:21858305:-1 gene:Sspon.07G0009050-3D transcript:Sspon.07G0009050-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAGAASSILSSAPPRRLPFPPAHTRKPLAAAAAPKALTLPSQKLLRLPCPPAPSAPASPPPPPPPEGAEKPDPVKLAFARAAAYKKERDSPSPAPAPAPPPTPPPPSPPPSQLQAAAVEPGSKEAFKRALEYRNGNGAGAGAGAAGGGDSPLLGGSPDFGLPPGLAPAFEPLRDDDFPKVEIIVGDPSKFEKSPRPTEIQPVDDPESEETSQSTTKPNESDKVAPPSTVIEPEEDEDAYRPTVRSWGMFPRPQNISKAYGGGRNIRLGGETLSAEEKAAKDKRTKELVAAYRNRQNIVIDAKTKAECIKALREGDEMMNTGRLKQALSYYEKVMDAVDFKTELHGKAALQWSICLDSLCRSKEAMSMYSKLKNHPSIEIRKKANMFVFSFQAMEFMKVSSIPVPKSTGYETYFTKFGSQKNYYASLDEPEAGIGQIIPYMIFLVSPIFIVAFVALRKSFQL >Sspon.07G0038410-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:78154648:78164831:-1 gene:Sspon.07G0038410-1D transcript:Sspon.07G0038410-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVAWPDPSPTRSVHERRCNCLAWLLEKSSVSTESPAIQRPGNEVPTTATENLRHRPKVKGSAPDPKNLNTEERAATTGLSNQQQRYGSTEPKQLQVDLDVSNLYISMWYLTSWKHTVQSPGLLIQIERHTEAELSA >Sspon.04G0017900-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:68260994:68262789:-1 gene:Sspon.04G0017900-2B transcript:Sspon.04G0017900-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGHGEKRRRTEGTHEEPEEEDRISDLPDVLRLQILSLLPLKSAIRTGALSSRWRRLWAYRWPEPSSVSIRLPPGGGAAVAAARAEALAGIDRRGRRRVDGFSLAFHGGQLTQADLNRCVHYAAACEARTCTSASTAARAPAGARAGARAAPGRSPCSSPPLLARLSVRGLNLTAVNNAMVATLEVIHLHSVFLTDAALRRMVAACPRLRELDLRYCRRLRRVDFSNVGVPNLRSFTVVDCSRTTELRVPSAPRLRSFRFSGAFLSSNILSCAKGSLEHLYLCSGGPETGLPTTNLPYAVPRLSNLTVLTLCSIALQYVSSFTAKAVTESNLHGLRELHLLMFGMANSNLADIYSFLKTCPCPQLERLFVQLPTNTGDAFTENFLKVAEEDPPKGGLENLCLAKMTNFKGHRNEMQLVGFLLRKSSSLKKLFLIASKEDHPQGLRKIQSDMLPDLLEKEILHLERASANTQIFFSEPDAQTKPLHSEVFVRF >Sspon.08G0012340-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:52810793:52815809:1 gene:Sspon.08G0012340-1A transcript:Sspon.08G0012340-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGPRRGGGHPPPPPPRLSLLAAQGRGDGGPHRVLRRASAPAAAVPGCRVAVNQECVEIDIKYEGFISWQQSQLRQIVNQENRNLPQDLDYHSMTNLSLEACEKLSKGKNASLYAKSGREALDATKNRCLAQYRSMSNCLR >Sspon.02G0036170-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:23246077:23250715:1 gene:Sspon.02G0036170-1B transcript:Sspon.02G0036170-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription initiation factor TFIID subunit 15 [Source:Projected from Arabidopsis thaliana (AT1G50300) UniProtKB/Swiss-Prot;Acc:Q9AST1] MLLEYMCGLLNLCPLFLEARQIEMMMAGYMSRGPQNGSVYVCNLPPGTDENMLAEYFGTIGLLKKDKRTGRPKIWIYRDKVTNEPKGDATVTYEDPHAASAAVEWFNNKDFHGSTIQVHIAESKSKDAFDNPTSLNIIAGVDEEDELDNGAGRGRGRGDGPGKAWQQDGDWMCPNTSCGNVNFAFRGVCNRCGAARPAGAGGAGGGGGGRGRGRGSSDARGSSRAGAGAAAVGGPPGLFGPNDWPCPMCGNINWAKRTKCNICNTSKPGTNEGGVRGGRGGGYKELDEEELEEVKKRRKEAEEDDGEIYDEFGNLKKKFRSKALHTESAQALPGSGRAGWEVEHRGSSEREGRERSRDRGRDDYDEKETRKRDRGDHGRDQRRSRSRSRDREREKRRERRDHEYERSRERDRDRRHSHGTRGLTLVLMKRCRAHLPDLGAGQDPELVGGAMTPKL >Sspon.02G0009680-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:26297301:26301446:-1 gene:Sspon.02G0009680-2B transcript:Sspon.02G0009680-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPTTYSVRVSSETHKIEAWVASDEALARQLQEEENARDAAADTREFAGNVSLESSSPAVEYRPAQNAAQVAREDNVDPDNMSYEQLQALGEAVGTQSRGLSDELISYLEPFRNKCTFFSRNKNSEECVICKTTYKSRQRMIRLPCSHCYHADCITRWLKINKACPVCNEEVFG >Sspon.03G0024500-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3C:93170856:93171721:-1 gene:Sspon.03G0024500-2C transcript:Sspon.03G0024500-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHAALAPVTYRQPAAHGQARAAAATTRPRLQTFRHLGSWRLLSSAPDTTTAVYGGGAKPRPPPQDQPANAEARRVTLATLRGKHRRGEPISMVTAYDYSSAVHVDSAGVDLVLVGDSAAMVAHGHDNTLPISLDLMLHHCRAVVRGAPRPLVVGDLPFGSYESSPAQAVESAVRLMKEGGVDAVKMEGGTASRVSAVRAIVDAGIAVMGHVGLTPQAISVLGGFRAQGKTVNSALKVVEAALGLQDAGCFAIVLECVPAPVAAAATAALQIPTIGIGAGPLCSGQ >Sspon.04G0016200-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:13227821:13231063:1 gene:Sspon.04G0016200-2B transcript:Sspon.04G0016200-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIGDGSSNGNQQLVHKEIRDETTPLLPVKVEEDEGFHEFNGASFSGAVFNLSTTIVGAGIMALPASIKMLGIIPGILLIIIVALLTEASIDMLVRCSHQGKITSYGWLMGEVFGQWGRIALQGSVIINNVGVLIVYMIIIGDVLSGTTSGDVHHRGILEGWFGAHLWNSRPIVLIATALLVFAPLVSFKRLDSLRYTSALSVALAVVFVVITAGIAIIKLFNGTVAMPKLFPELDGLNSIWNLFTAVPVLVTAYICHYNVHSIDNELEDRTQIKPIVRTSLFLCSSVYITTSFFAYLLFGEGTLDDVLANFDANLGIPFSSVFDDIVRVSYAAHVMLVFPIVFFALRLNLDGLLFPTSRHISRDNKRFAIVTISLLTVIYLAAILIPSIWDAFQFTGATAAVLIGFIFPAMVILRDSYGIATKRDKILAVTMIVLAVLSNSVALYSDAMNIFCKKEVA >Sspon.02G0027050-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:68363545:68363784:1 gene:Sspon.02G0027050-1T transcript:Sspon.02G0027050-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQQEETPPTTLHTPTTFNPKTAHVAPHDCSLQLLDLEHYSAPNRARLPWLAGMAEARRAISSGTTTIVRVFLEHAMKL >Sspon.08G0013200-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8B:63877861:63881092:-1 gene:Sspon.08G0013200-2B transcript:Sspon.08G0013200-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVVVTENETAQPPSAAAASDNDSARSAPGAKILDPQLLMAACHGDSERLKELLQLKKDDEEHAHSMVEEEEEEAAAAASEVVIVEVVPRHPPAPAAAPAPAPTSRSGSASAPLPPPLDDDGVTMERDTLLHMVAASGDGPEFLRCARMIVPHKERKKGQLVVVGQVLEARNNKGDTPLHCAACSGNVNMISCLVDLIADDNDEAAKKAAFLRIQNDCGETALHQAIRAAKNNLECIDQLMDVDPELACIPREKGASPLYLAISLGEVEIALHLFRTSGGKLSYSGPDGRNVLHAAVSCGKEIMLTVVLGCLEGRLTMAVVLPMILRCLKGRLRMAVVPMVLRCVNAQVRMIKDDDMRARQDNDDRRHRGDAATGGGSVVGGGDDANLLSQLTRQQDKENGSTPLHLAASLGGLPSARLLPWQVRPPAPGAATRLLLEANESTAYQPDKRGMYPIHVAAAVGSLGVVKTLLGRCPGCATLRDAKGRTFLHVAAEEGHYPVVKYVCDQSRSQSRRRHRMSPADAAVAALLSSILNAQDMNGDTPLHRAVHAGHRGVVQRLIRNQQVHLDVPNKVGTRPVDVSWSMLPLTAYYAWDRRIHIRRSLLEVGAPYGESRGDLFHEKRKEILPKGDREKKMSDDLTNAAQVLAIFSVLITTVTFASAFTLPGGYRSAGDGGGAAGTAVLAGSYAFDAFVLSNGLAFLCSFFATTNLLYAGVAYGTLEKRFRLINGAYGLMWCSGMSHPKFPILGCA >Sspon.06G0012830-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:55398066:55399158:1 gene:Sspon.06G0012830-3C transcript:Sspon.06G0012830-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLPRPMELCFAARAGTCQRAPTISPNKRRASGSTVRCVATAPAPAPMGEKTEYRDGPLERAFMGLFARKMEKYATKKKQPDQPEKKAVWDWDYESFVDVSRRVMVGRTRAQQQEAVREVLLSMLPPGAPEQFRKLFPPTRWACEFNAALTVPFFRWLVGPSEVIEVEVDGVRQRSGVLIKKCRYLENSGCVGMCVNMCKIPTQDFFTNEFGLPLTMNPNFEDMSCEMIYGQVPPPLEEDPVSKQPCYPNLCECSQIEHNKLHLSAAHLQN >Sspon.01G0048910-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:100764366:100766832:-1 gene:Sspon.01G0048910-2D transcript:Sspon.01G0048910-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAVFDPAVAPSPEGLRQPGAAGGDSAAGLADRFREARPDAVTVNLGGSGAMAYSSSNQSPLLPRLFGAVDDIFCMFQGTIVNVAVLKQQYGLSKGANEVNIIIEAYRTLRDRGPYPADQVVRDISGKFAFVLYDCSTKSVFMAADSDGNVPFYWGADTDGRLVVSDDAELVKKACGKSSAPFPKGFFFTTSGGLKSYEHPMNEVKPVPRVDSKGEVCGTTYTVDASAKKETGIPRVGSAADWSSQY >Sspon.05G0000270-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:5655156:5655776:1 gene:Sspon.05G0000270-2C transcript:Sspon.05G0000270-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSASRAVSCLCCPCKCLACGLFSCLCSILVSLAVALGIIVLVLYLIFKPHMIAATVDSASLAHFSLSSTSTLTYDLNVTMTVRNPNTRVGLYYDDVQSLAYYKDQRFGYVALDAFYQGTKASTKLSPEFNGTHLLLGDVTAAEFRQDQSAGNFAVNVDLDAKLRIKVWAFKVRGPKAKITCDLSVPAPGAANASPFQPTDCKVRF >Sspon.06G0021750-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:19649757:19650722:-1 gene:Sspon.06G0021750-1B transcript:Sspon.06G0021750-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding QDGEPDGAAGADVAAAGRDRPPQGIPRVRVRQHDGDQHPGAPRRGAARGDQPGVPRRVQPGPCPSHRVGAQRQPQARHAAVGPGPDHPRRHHPEAGPDRRRHRPARRHGGGLLPDAVAAAGRAASVPRQVRLPRRARRDRADLRRPPAAPARVPGRPARRGRPRRGGGRVDGGAGRARPLQGRRAAAGDGRARLHPRLQRAELGAHGGCGARVPPHVRPIPGAGRQERDVGQLLLRPPHRAPMRRQPRQVLRQGAAQGHEGAGHLGLGAHPGRRHQVRDRHAVHQGGVPQDVQAIARRRHPRRDVRQLPHLPPLPRRPRPHL >Sspon.03G0020170-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:62564355:62567002:-1 gene:Sspon.03G0020170-1A transcript:Sspon.03G0020170-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPVSLALLCLALSLVAAVEPADDQEASCSPKFCGNLTISEPFGFVPEEATDTKCGRLGFEVHCNNSIPYLGYYRRKYRFQILNIFYDNSPLLVADIHKLKDFNGSNSKGCHVPTANTSSKIGLPFSISPVNLNLIFYMCTKTPELDRGLVETKCGSSLFVHVGGHYNDSSNYTQYSVEGCSTTLVPVREAFGEANASSYEQLISNGFLLTWQPTSGSMSATAGVFFTCLLWFIYCQKQKLSLFILQKHARSRSNMEETMRRYQSLTPKRYSYSDLKKITRGFKEKLGEGGYGTVFKGTLPDGHMVAVKILKGSKGNREEFLNEVTSIGRTSHVNIGSKRALVYEYMANGSLEKYIIIQRSALGWENLRKIAIGIARGLEYLHQGCSTRIIHFDIKPHNILLDEDFCPKIADFGLAKLCHVKDSALSMADARGTIGFIAPEVFYRGFGVVSTKSDVYSYGMMVLQMVSSRGNTENSSETYFTDWIYDCMVKDLQSHEVTCELEETARQIALVGLWCIQMAPESRPSMNTVTEMLEKNINELEMPPKPFLSCPRPQSHLSS >Sspon.03G0001240-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:3154639:3162706:1 gene:Sspon.03G0001240-1A transcript:Sspon.03G0001240-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Membrane-associated protein VIPP1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G65260) UniProtKB/Swiss-Prot;Acc:O80796] MEIRAQPTSLRLAPPPASASFRRTPLRTSFLKGNVSLKVVQLRQSNVNRFKCNSIRSNLFDRLTRVVRSYANAVLTSFEDPEKILEQAVLEMNDDLTKMRQATAQVLASQKRLENKYKAAEQASADWYRRAQLALQKGDEDLAREALKRRKSYADNASSLRSQLDQQKGVVENLISNTKVLESKIAEAKQKKDTLKARAQSAKTATKVSEMLSNVNTSSALSAFEKMEEKVMAMESQAEALGQLATDDLEGKFALLETSSVDDDLSQLKKELSGSSLKGELPPGRTAVSNSGAGRPFPDLEIESELNELRRKAKEY >Sspon.01G0018450-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:65226920:65230163:-1 gene:Sspon.01G0018450-4D transcript:Sspon.01G0018450-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGGGCVPSKKRQPPAAAPSSSSAAAAVPREPPEEEAANAASPAAAAAGRKLRLYIVFYSMYGHVESLARRAAAGAGAVDGLEAVLRRVPETLPPEVLEKMQAPPKDPAVPVIASAAELQEADGVLFGFPTRYGAMAAQMKAFFDSTGSLWEAQKLAGKPAGFFVSTGTQGGGQETTAWTAITQLAHHGMLFVPIGYTFGSGMFNMDDIRGGSPYGAGVFAGDGSRQPSETELALAEHQGKYMASIVKKLAQHA >Sspon.07G0024430-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7D:23695739:23696193:-1 gene:Sspon.07G0024430-2D transcript:Sspon.07G0024430-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDEMRMAAVFGEAKPEKSDNKADALPCRPVLFRAYSHSHSQGGLRVVATDLHSLAWHSSHDLDGLRDLQDDVGIAGSWSDFLDYLNSSLSSGHVRLLFPDAAR >Sspon.01G0015480-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:46450228:46456085:-1 gene:Sspon.01G0015480-4D transcript:Sspon.01G0015480-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLPPPADKEQSEHEEIHVSSGGVQAYTDDDDCESRRPLLLRAPASAECYSVSAAVFPFFFPALGGLLYGYDIGATSGATISLKSSTFSGTTWFNLSSVQTGLVVSGSLYGALIGSVLAYTIADFLGRRKELILASISYLIGALLTAVAPNFAIMVVGRFLFGIGIGLAMHAAPMYIAETAPSQIRGMLISLKEFFIVLGMLLGYIAGNLYVEVVSGWRYMYASSTPLCLIMGVGMCWLPSSPRWLLLCAIQGKGNLPETKENATRCLCRLRGQASPDLVSEQIDLILEELSYIDQEKQASFGEIFQGKCLKAMIIGCGLVFFQQVTGQPSVLYYAATIFQSAGFSGASDATRVSILLGLLKLIMTGVAVLVVDRLGRRPLLIGGVSGITVALFLLSSYYTLLKDASYVAVIALLLYVGCYQLSFGPIGWLMISEVFPLRLRGRGLGVAVLVNFASNALVTFSFSPLEDLIGTGTLFSGFGVIAVASLVFIFWIVPETKGLTLEEIEASL >Sspon.04G0036460-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:29792005:29795344:-1 gene:Sspon.04G0036460-1D transcript:Sspon.04G0036460-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPQLPPTSAPHRSPSPRAARISCNPDLTRGWGLEQGRLEGIKKGRDLPIAVVQINLEGREASRGHTVAHTVNE >Sspon.07G0018640-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7A:67384722:67385568:1 gene:Sspon.07G0018640-1A transcript:Sspon.07G0018640-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPHSRVCKRAQQLQQFQRKHLHSCLVEWILIGVWSSIPVVAGCMQASSAPATENWVGGCEMCVGGLRPGCRELHVGELHTRPQQAGQEEAEVFLAVEQASGPRGGCGSSLPHHGRAMQRARGSSCVHG >Sspon.01G0020440-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:22430945:22434395:1 gene:Sspon.01G0020440-3C transcript:Sspon.01G0020440-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNLECRMYEQRFPEVDAAVMIQVKHIADMGAYVSLLEYNNIEGMILFSELSRRRIRSISSLIKVGRQEPAIVLRVDRDKGYIDLSKRRVSEEEAHACEDRYNKSKLVHSIMRHVAETLEVDLEPLYQRIGWPLYRKYGHAFEAFKLIVADPDAILDALTYEEKEVGPDGQEVTKVAPAVTPEVKDSLVKNIRRRMTPQPLKIRADIEMKCFQFDGVLHIKQAMKKAEAAGNDNCPVKIKLVAPPLYVLTTQTLDKEQGISVLTDAIKACTAEIEKYKGKLVVKEPPRAVSEREDKLFLDQIDSLMEQNAEVDGDADSEEEEDTGMGDVDITNSGVTAY >Sspon.03G0005500-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:30945252:30947174:-1 gene:Sspon.03G0005500-2C transcript:Sspon.03G0005500-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWRWHDDDGDSDSGRGLGGVPDLAGGRGEGAQCATRRVVQSRCHTEEVEPGRFVRKCEKTEQLLRDCVGWPSELVESKTENTEEDVTEEMKSGSLSLGFPRNEPFAFPGLRNDMEALEKDFFGSLGNFLDEAERMTNSFIKSFGFPPVHDSESSPFQRQPAERHIEEDTARKTKESDYSEFRSKISDLYVLLLVLKENVPGRSTTTSREVDLTGAVLHSRSQNV >Sspon.07G0017040-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:61409737:61411146:-1 gene:Sspon.07G0017040-1A transcript:Sspon.07G0017040-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPLAKDEDHKKHGDGCGSHFLVVAYSMQGHVNPARTLAHRLAQVSGCTATLSVPLFGHRRMFPSSSSSSSSSSSSSSDEETIVSDGLISYLPFSDGKDDGTWPVDSEDRARRRDANFRTLSAVISRLAASGRPPVTCVVCTLSMPMVGEVARVHGLPLAIYWIQPATVLATYYHYFHGHDELLRLLLATDNQHDASDNLRSDDEVTLPGMLHPLRTRDMPSFFFTGKMKDGLSKMVLQSMGELFEQMDEEKPAVLVNTFGALEDVALQALQPYMDVFAVGPAVPLKNDGTSELAQVHLFQHDETAAYMEWLDAQPAKSVVYLSFGSLLGYTRRQAEEVLHGLQAGGQPYLWVVRREGRAEEVDDLCLTAAEKKGMVVEWCDQQRVLAHPSVGCFVTHCGWNSTLEGVACGVPMVAVPSWSDQPVNAWLVEEEWEVGVRAERDEEGGVGTRRAREVCGAGDGCRWQSGA >Sspon.07G0012300-3C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7C:60829042:60829539:1 gene:Sspon.07G0012300-3C transcript:Sspon.07G0012300-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFRVVCRKLYDYVRYDLKEIAFPSSLPDPPHIKKRPKLTWHERWCILKEATRLYGASWVRDIGPDLRPNDYKKAAEDEEEQSSNAIPSSGSGKKGKASSEPSLLEDLAVAARGGAETLKPALRRIYMARASTYTDAMKNFVETYQEGLKDQLQEKADGGEGGGGG >Sspon.01G0017680-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:65502987:65512507:-1 gene:Sspon.01G0017680-1A transcript:Sspon.01G0017680-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAWAVPALTAAAWALRAAVWACLAASAMLVAEAAYMGLASLVAAAYMWRRRRPDARYRWEPMPMPGAGGRDDVEAADFPMVLVQIPMYNEREVYKLSIAAACALTWPPDRIVIQVLDDSTDPIIKELVELECQDWATKKVNIKYEVRDNRKGYKAGALKKGMEHIYAKQCDFVAIFDADFQPEPDFLLKTIPFLVHNPKIALVQARWEFVNYDVCLMTRIQKMSLDYHFKVEQESGSFVYSFFGFNGTAGVWRVSAINQSGGWKDRTTVEDMDLAVRASLKGWEFLLRVSYQVPSKPTVTNNIGGLVVPPISSGKWLGKSLQTRFVFLVLTSQGINMSLSCYNCHLHMMQEVSIWKKHHLLYSFFFVRRVIAPLVTFLFYCVVIPLSAMVPGVSIPVWGLVYIPTAITCMNAIRNPGSLHLMPFWILFENVMSMHRLRAAVTGLLETAHANDWVVTEKVGDLVKDDWMFHSLNQIYFPELLLALLILICASYDFVLGSHKYYLYLYLQAFAYVVMGFGFVGTKTP >Sspon.01G0042190-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1B:64262734:64263071:1 gene:Sspon.01G0042190-1B transcript:Sspon.01G0042190-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVRAFTPLRSPVHYFWDLHWVVDLPERELGARLSSELFSVASARAPAWAGRGAGDGTVQLSCLYVSRRGVMKATASERDPDSGHTATACICRRRASAGARLGSRYTTVEL >Sspon.06G0030480-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:44758506:44760083:1 gene:Sspon.06G0030480-2D transcript:Sspon.06G0030480-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLLYYSLTIAVCIAVIPLLSTLRSFTATGTPPPSLPPGPSRLSLITPLLFLGRTNFGIERVLSAARSRYGPVYTLYLHPSRPAIFVADHAAAHRALVQRGAAFADRPPASLAFRIFSSGQRNITSGAYGPLWRALRHNLTGKVFHQSRLGRFAAARRKALQGLVAGVVARQMRGDGVVVVEALLHRAMFHVLVTMCFGERLATDAVVAAVEKLQREFLTSVLGFQVFDVCPAVTGILFRRRWKQMLSLRRRQEELFAPLIRACSAQRDAGDGVADTTTYVDTLLDLRIPEDGGRNLTEGEMVSLCAEFVAVGTDNTATEAQWIMANLVAQPEIQARLRAEIHQVTSGSACIQEADLPRMPYLRAVVLEGLRRHPPGHFAIPHAATGIDDDDGDVGLSLEGFRVPRRASLNFPLVALGLDEAVWPDPLQFRPERFLPGGEGADVDLTGAKEIKMIPFGAGRRICPGMALALLHLEFFVASLVAEFEWVQVPGEPVEFAEKQELSVVMRHPLRATVVRCTRNAPN >Sspon.03G0014080-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:63102504:63108366:1 gene:Sspon.03G0014080-2C transcript:Sspon.03G0014080-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPVVTVFGTANFANAARVMACLEEVGVEYEVVEVDYMAKEHKGAEHLARNPFGQIPAFQDGDIKLFESRAIAKYVLRKYSKPAQVDLLREGNPEEAAMVDVWTEVEAHTYFPAIAPIFYECVVYPAKHGIPTNQKVVDESLEKLKKVLDVYEAHLSRSKYLYLAGDFFSFADLNHFPFTFHVMTTPHASLFDSYPHVKAWWERVMARPSLKKISPDMRNHPALGSNTDGAVYSNKFAGLGHTAGAITCAEQAKMAPVKVFGSAVFANAARVMACLEEVGVEYEVVEVDYTAKEHKGPQHLSKNPFGQIPAFQDGDTMLFAIAKYVLRKYSKSAQVDLLREGNPEEAAMVDVWTEVEAHTYLPAIAPIFYECVVYPARLGTSPNQKVVEESLEKLKKVFDVYEAHLSKNKYLYLAGDFFSFADLNHFPYTFYFMTNVSCVSLGLVPQREGVVGAPDGTAVGEEDQRRYGAQGLIWYYKR >Sspon.01G0045900-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:1743838:1745216:-1 gene:Sspon.01G0045900-2D transcript:Sspon.01G0045900-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable xyloglucan galactosyltransferase GT19 [Source:Projected from Arabidopsis thaliana (AT4G22580) UniProtKB/Swiss-Prot;Acc:Q9SUW1] MAARLLVLLLMLLLCSVVIIAASARKKKNTSLPLPVPDGPDPCAGRRIHIRRLPASFNTHLLLYCATAFPLADPDSKSVPACASVANHGLGPRTHNGTRSWYRTDARLLEPFFHRRLLEHDCLVSRPAQADAVFLPYYAALDALPYVLHPDLLNSSALHGLPLARFLARHQPRVLARRHGHDHFFLLAGTAWDYSQPQDADPRWYGTTSPTSPSSRSSRAPPAPDLLPPVLAAAPPVLDRPRAPLPPSRPNIRGAILAECANRTSAVCTVVDCSAAACGLNPVAYMRPMLKANFCLQPPGDSPSRRSTFDAIVAGCIPVFFEHAAARAHYGWHLPRGRYDQFSVTIPKESVVMGDVRIADVLAAVPEDKVARMRERVLEMAPRVVYRRHGSAADLREATKDAVDLAVEGVLRRIRRRVSALEDGHPDAIYELEDDDDD >Sspon.03G0045920-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:28304444:28305142:-1 gene:Sspon.03G0045920-1D transcript:Sspon.03G0045920-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SPWVFRVRVALGLRGLSHEYIEEDLANKSELLLRSNPVHQKVPVLIHGGRPVCESLVILQYIDEIRRGTTGPALLPSDPYDRATALFWAAFVDDKRAEALQNALLVVETLEGAFMECSKGKAFFGGDAVGLVDITLGSHPIWIRTVDETAGTNLLDGAKFPGLAAWAERFMGVDAVNKVVPDAGKLLEQYR >Sspon.08G0013190-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8A:55940174:55940404:1 gene:Sspon.08G0013190-1A transcript:Sspon.08G0013190-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MCTEGQARRGAVARATRGACGGPATLARAGDPGVPGDSGAEDTSNRRSGVFAKRQERRVGWTGSTARSTSTWELDD >Sspon.05G0023080-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:5B:5066225:5066557:-1 gene:Sspon.05G0023080-1B transcript:Sspon.05G0023080-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGHRCGEEERSLRLHGGGVDTAAYIRDERGDAPLSEPRLRRAWPACTDVEEEGQCVATTVTRRDVGRADGHDRQATCVEAEQASEPHSWRQRCEGRWRRRREEEWTIHK >Sspon.08G0002230-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:5183358:5190923:1 gene:Sspon.08G0002230-4D transcript:Sspon.08G0002230-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRIKLACLLLIFVQVQRAVLGTHDVYIVTMEGDPVVSYRGGVDGFPATAVDLDEEMDVSSEAVTSYALHLRGHHDKLLDSLLVEGTYEKLYSYHHLINGFAVHMSSLQAEFLRKAPGVKHVERDMKVQKLTTHTPQFLGLPTGVWPTGGGLDRAGEDVVIGFVDSGIYPEHPSFAAHKTDPYGPVPRYKGKCEMEPVTQRSFCNGKIVGAQHFAKAAMAAGAFNPDVEFASPLDGDGHGSHTAAIAAGNNGIPVRMHGHEFGKASGMAPRARVAVYKVLYRLFGGYAVQDGVDILNLSVGPNSPPTATRTTFLNPFDAALLSAVKAGVFVAQAAGNGGPFPKTLVSFSPWITTVAAGVDDRRYKNHLTLGNGKLLSGLGVSPDALLGSSATKYSALDCQRPELLNKKKVQGKILLCGYSFNYISGTASIKKVSQTARSLGAAGFIVAVENSYPGTKFDPVPVSIPGILITDVSKTEVALFSSRGPDVKDFSFQDADVLKPDILAPGNLIWAAWAPNGTDESNYADEGFAMVSGTSMAAPHIAGIAALIKQKNPKWSPSAIKSALMTTANTLDKGSHPLRAQQYTASEMMTLSRATPFDCGSGAVNPKAALDPGLVLDATHEDYITFLCSIPDVNQSEVSNIAGSACNSNSKGQRPFDLNIPSIAVSQLRGTVTLKRTVTSVSDETETYTIMTRMPPEVALEVTPPAVTVLPGASRDVTVTLTARSVTGTYSFGEIAMKGDRGHLVRIPVVAMGFK >Sspon.06G0016280-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:76887279:76890405:1 gene:Sspon.06G0016280-3C transcript:Sspon.06G0016280-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTVSKLALAAVLLCAAAAMATAQQASGVRATYNYYNPAQNNWDLAGTYCATWDAGQPLSWRSKYGWTAFCGPSGPTGQAACGQCLLVTNTATGASLTVRIVDQCSNGGLDLDYDTAFKPLDTNGAGFQAGHLTVNYQFVNCYTRLYTVSGHVSKDAEHLKLAMAAITGTRALTVAALLCAAAAAAMAQQASNVRATYHLYNPQQNNWNLNAVSAYCATWDADKPASWRQQYGWTAFCGPSGPRGQAACGKCIR >Sspon.04G0034610-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:80116388:80119465:1 gene:Sspon.04G0034610-2D transcript:Sspon.04G0034610-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sialyltransferase-like protein 2 [Source:Projected from Arabidopsis thaliana (AT3G48820) UniProtKB/Swiss-Prot;Acc:Q8RY00] MAPRAAPALRVLPLALAAAIFSGLTAILAYLSGVSSSRNAPPPLSRPSVCVSCGRSLTPDWWVRADGVARLSEADLAALAALRGGFSKCVDANGLGLKAVTGEDYCRVVIQYPSDTVSKWRDPITHKVEGLSFEFNLCEAVASWEQVRNSTTVLTKEYIDALPNGWEEYAWRRINKGILLNKCQNRSLCMEKLSLVLPETSPYVPHQFGRCAVVGNSGDLLKTKFGDEIDSYDAVFRENGAPTQNYTEYVGTKSTFRLLNRGSAKALDKVVELDETKKEVLIVKTTIHDIMNQMIRELPITNLVYLMLGTSFGSSAKGTGLKALEFALSICDSVDMYGFTVDPGYKEWTRYFSEVRKGHTPLHGRAYYQMMECLGLVKIHSPMRGDPGRTVKWLPTMATIDAARVASEKLLKRPGAGSNSPLGTCTMIKKRRKGKEPNRSGLRDAAMKHLEDMKGATRYPLERNAGGGYLCMINDR >Sspon.03G0029370-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:13801234:13810971:-1 gene:Sspon.03G0029370-3D transcript:Sspon.03G0029370-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable 3-deoxy-D-manno-octulosonic acid transferase, mitochondrial [Source:Projected from Arabidopsis thaliana (AT5G03770) UniProtKB/Swiss-Prot;Acc:Q8VZA5] MRPARAASRGGWTLYELYRAASRAAAPAVLLWRRLQGLEHPTRWPERLGRPSAARPRPGSPLVWFHAVSLGEGMAALPVVRHCVHLHPGLPVLLTTTTLSSFEVIKDLLPDGVIYQFAPLDCPNAIDSFIGYWKPSLVLLLESELWPNLIMSAAAKGIAVALLNARISLKSFNHWSMPVGSPLIALMLSKLSLVVPLSTIQAVRFQLLHTPPGIIHFAGDLKYAVGDVNAGENQVNEIKDLQQQQFGNRPLWMAASIHRGEEEVILRVHDELVKMYPALLLILVPRHPEDCKNISLALKKQNVSFVLRSTREVVASSTRVYMVDTLVIGGSFLSGLAGHNISEAAAAGCAVMTGPHVGHFNHMLVEMWQINPLAVKQVSGEFELLQTLKELLGDASTLGARQRAAKNAFSIMSDGVVNRVWNLVSRFAIDFQTDTWNS >Sspon.02G0005460-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:16228199:16236594:1 gene:Sspon.02G0005460-2B transcript:Sspon.02G0005460-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAQLAVALFLALAVLPSARGSDVPSFPLSQAQSPSNSSSPSNASSPPCHLDLSAELFGGVAAACGAGGGPGSLDRGRCCPVLAAWLFAAHARTALSVPPAPAPSALAGEEGLGPGDDGPMVPYDNQRCVDALGAALDKRGVALPRPNATCDTVLCFCGIRLHQIGSLRCPAAFAVGAGAAAKNATPTAAVKDLEKSCRNASYAGCSRCVQSLQKLKGNVSREVSGAGGDRARRMLGRDCQLMGLTWLLAKNKTAYIPTVSAVLRAMLYTAHPTESGSGSGGAAPRCSPDQENMPLAVDSLQFERTASAGSSAASATPRGALLLGLALSLMMIGWWDAFL >Sspon.01G0017810-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:34062554:34064666:1 gene:Sspon.01G0017810-2D transcript:Sspon.01G0017810-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGELAFFSPLRPSRLSFEGRDGCGFGSPTWASQLGTLRDSPSSCVSDGRGGGNGRSGFSSPTWGSPLETLFNAPSSCVSDRHVGGNGSGFNSSTWGSTLETLFNSPSSRVSDSRGVGNGSMFSTPKQASPLETLPKSPSSCVSDCRGSSSGSVFGTAKMALPLETLLNSPSSSISDSRGGGNGSGFSTTKKASPLEPLLNSPSSSVSDSRGCGNSSSPRISKERDSEQVKKAESLLRAITERYDNCVLRLRDTTAELADLRLERVRLGAENLHLSLLLEELDAAEQSKQASAVALTPPPKPTQAEAPSTPKSISIRSKGFLSKKQPQGVATPQRPRVRASQAMEILYLTFDLVMSMEMAIAGRMEKEKDDGEVEVDARREDGAVQQVGARRVPLRRRCRFAHGMEELRPVIRHPRYKTLPCQLFAAASGCPYGHRCHFRHSMAPTAESC >Sspon.01G0049090-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:118643601:118648573:-1 gene:Sspon.01G0049090-1P transcript:Sspon.01G0049090-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVFHFLNCAFLTFGPHVVYYSATPYFRSEYDTIGTSVKAAAVYLGTALVKLVCLATLLKVPENDSFDPYQELMKVFIGFIDVAGLYFALTQLTHRNISQNHKFQAVGLGWAFADSVLHRLAPLWIGARGLEFTWEYIFQGLEANANLVMTLSLAALGSLMWLRKNKPRTLIPIIYACALLLATMPSITSYLRRSLEWQTPKVVGFELFSSLVMAFISWQLFSASYMKQRRARGDSRAAVTGAGARTLLGLLDGGLHPEQHEPDGDADEHEAVHGDSDPEDLRGGVHLGAERPAAAPLAARGAAERRDDLGEGVVGGLAHPEHVHLVEPLEAAAFMPSTYVTPPDLFLAAGAASDGIVATANDAIPCAAGWSS >Sspon.07G0001980-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:3577978:3582820:-1 gene:Sspon.07G0001980-2C transcript:Sspon.07G0001980-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPASASARPLSFPLTVPLSRYLSHVLPATCSRVFPRRRVALAPARPGAALLSSLSNAREEEEGDFYEEDEQQEYDDEEEEQGFDAENEELVEVGYVSGAHGVRGDVLVTPRTDFPELRLATPGTRWLRARAAGKQQVREFELVRGRAHTGKKSWIVSFDGVDNLDEARQIVGSAVLVKAGDRPEIEDDEFYSLDLVGMRVIVKDTGKVVGTVGQVFNFGGGDLLQVMIGSSEGTAVDPDSENQDSISSREHVWIPFAEDIVPDVDMASREMWITPPKGLLELNSRSDKRSKKERRAMEWKDRKRLQRRVIAGKKVLSEMDQVHVLEGLISGDKVQKASLAEQIGSIDFQLFRHAVHCVSKQIESSSKDLLANSSLSRKKVIKIPYKTLFNLGDKAEHAFSSELKNGLEILLKSKAAIVWVLEELELPIVSISSEGNRKKVLMKSPWEIIKRPAGSGGIFSLLASNKILETLNEMGVQYTQICSSSNRPLIGHPLLFGAVASRGADVGIQLFQTRETEDDFDVILSIDQLNKMCRDVTQVSFSARPEPSAHGEHVDGQWVAVQPEVANSHRLDAEVTSVLNSCAPDKLCVMEIVEQ >Sspon.05G0025210-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:25047200:25049943:1 gene:Sspon.05G0025210-1P transcript:Sspon.05G0025210-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPKVVAAAAGEAHTLALTGDGQVYSWGRGPFGRLGTGREDDELVPTAVAPAVSASGTPRPRFVAVAAGAYHSLALDDGQLGYGDQNSLFPCLVEQFQDLGSPETLNDEDQDTRDQTCLKIVKKVWLSIDNRDLSSVKAGGMMSFAIDSLGGLWIWGSCPQQTDAGEFCIASSSIPLPVWDFHGHTVVKVACGNEHVVAAVSVGETYTEGDLVCYAWGNNNHGQLGLGDKESRSRPVLISAFSEGSSWEVYEIACGASHTAVLANKKSSDQIESRCWTFGLGDKGQLGHGTTATICSPQPVDGLPTGSFLISLDCGLFHTTVVSSDGEVWCWGMERGLGLCPDASFSGVDEGDALYPIRVQSPETNGFKFLGPVQIACGAAHTVLVAGDGYRMWAWGRGRSGVLGRGQTADSYIPCVVMWPPLDENFQEIHEDQEGGSTSRVNDRTSTELNQKLSAASEELQFLRSKLTLMERYANILHISIFRKPLDERALPRSLQESSVFDIRKEFENILDAADTDELNRFEIFYRSMLSGVKDKLLKRRVQEMVQQCIISLSAGRQNPQDK >Sspon.04G0017050-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:71018910:71023398:1 gene:Sspon.04G0017050-3D transcript:Sspon.04G0017050-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Coiled-coil protein, Homologous chromosome pairing and synapsis in meiosi [Source: Projected from Oryza sativa (Os10g0405500)] MPGPFTLIHALATAPMEVELPNIHKVSVGITIPRAGTVSRGGDATPTPTLDRNLSQGTDGLSRPKGDNTSLRVSQEAVNHAVSTEGAPEADPVMKVSVKVSLSQSDGNACEQTRTFSFETRREQGSNSLDKLGTPEFVSSQRKQNSESADKGKPNSEMLRMKLWEILGGTSQNKQAVASPNPDDIETPDQPRSQTVKGPSSGNKEVFTSPFPDNIKTPNPLKRQTVNFTKCKLSLDPIESDSDSPKVVERRPVTRSSGRKKAPASSKKQSRSAKKPLSTLRSTPKKKMLDNVFTFNEKCTPKTVDQGEMNKATESPGSGSPDSAKKRENRKQPYLSPLSPTEDEGIKSSETSFARATQETTDSDKEQEQCPENFLTRAFDQASKQPSRSAKKPFSTFHSTPKKKMQGNVFTFNEKRTPKTVDQDKMDKTTELPGSESPGSAEERENSKQPSHSPLSPTEDEGSKSSEQSFAKGYKSHKWLSDIKGPDKSPLEHVGRKSKLKEDRMSKRYLSSPTPFATSGIQETIVSDKEQEQCPENYLTRAFDQLVVVLGRFQTKIKSETSKKSSEILAATREIIYQHLEEVEAQMQADV >Sspon.07G0014940-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:52266211:52269029:-1 gene:Sspon.07G0014940-2P transcript:Sspon.07G0014940-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GVLSSLLSKLSVLLSDKYKKLKGVTRDFESVSRELTDMNAALVKLADRDKLDVQTKVWRDKVREMAYDIEDIIDIFMHHHDQAGDDKDGLFHKAARKIRKLRARFQIAGIIQDIKARVEEQSQRRDRYKVEESFSEPRVVEVDPRLPRSTVTPFHSNHLTPLSSLLLKPQSTNHSNHRAQTTQTTEHKPLKPQRGELASLEVEMAGTEVTAATGVMNSLLSKLTELLTKQYMQRKGVRRDIQFLCGELTDMNAALEMLAGMEKLDVQTKAWRNKVREMAYDIEDNVDIFMHKLGQEDDEKDGLFHKIAGKAKVLLQYYKFSNNIHELRARVEEQSQCRDRYRIGESIPESRVVEVDPRLPAMYEDAKRLVGIDGPREEIIKWLMEEADCHSGQLKVLSIVGFGGLGKTTLANQVYAKIKNEFECTAFITISRTPYMPKILKDILSGVGYSGTNEMEDDVQKLIEILRETLTNCRYLIIIDDLWSSTDWEIIKCAFMENNNASRVITTTRIQDVATASCIQSQGHVYQMQPLNGLHSRRLFFRRLFDTEDSCPEQFREISDQMLRKCKGVPLAITSIASLLANHMHIEAWEKIHNSMGSQMDTDPTLQWMRHVLSLSYNDLSHELKTCLLYLGMYP >Sspon.03G0013630-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:39050837:39057122:1 gene:Sspon.03G0013630-1A transcript:Sspon.03G0013630-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGTLIYMAPEILRKDIHTEKSDVYSFAISINELLTGVVPYTDLRAEAQAHTVLEMTYTEQQLTAAIVSQGLRPALALPESGAPPTLLSLIQLQKHLVSNASIPSSAVSKSQNGTIEVHHYQEALNWFNQGELFVKRSSRSDLKNLWSGCFDQSSEYHPTLSWGSFATCGRRETMEDTHFVLPHMSEEKDVFAFGIFDGHRGSAAAEFSVRAVPGFLKQFGQGASPTDALSEAFVRTDIAFREELILHRKSKRIIQKDWHPGCTAVTALIVRNKLFVANAGDCRAILNRNGKPFPLTKDHVASCPNERERVTKAGTEVKWQIDTWRVGSAALQVTRSIGDDDLKPAVTAQPEVIETVLSADDEFLVMASDGLWDMVSNEDVLSIIKDTVKEPGMCSKRLATEAAERGSKDNITVIVVFLRPVSTAERIY >Sspon.07G0020120-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:74900334:74906322:1 gene:Sspon.07G0020120-1P transcript:Sspon.07G0020120-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSVQAATAPMAKKEASAEEANKSMAALMSLLRATMSEEKAGGASAIGGTGEEKVEWLRSQLIGKDAEFDTPFGRRALTYADQTASGRSLRYIEDYLVTEVLPFYGNTHTEDSHVGSKTTRLVHKATRYVKRCMGAGPGDALLFCGAGTTAAIKRLQEVMGVAVPSVELRARVAAQLRAEERWVVFVGPYEHHSNLLSWRRSLAEVVEIGVDADGLLDVAALRRALASPELADRPMLGSFSACSNVTGVVTDTRQIARVLHEHGAFACFDFAASGPYVKIDMKSGEIDGYDAVFLSPHKFVGGPGTPGILVMNKALYRLNAQPPSTCGGGTVAYVNGFNEEDTLYYDDIEEREDAGTPPILQKIRASLAFWVKEYIGYDRMSLREQVYSEMAMKRLISNPNIRVLGNMDVERLPIFSFLIYPPVTNNPLHEAAAADEPAFKRLPLHGRFVTRLLNDLFGIQARGGCACAGPYGHTLLNIKNDLSLRIRSAILEVCMIKLWDDYSIYPLLSDKICTDNVTVVYLHFFQGYSGLKPGWTRLSFAYYLSKEEFNFILAAIEFIALYGHRFIPLYKFDWITGDWTFRKQAIKYHIMKEELALATGLHLLAENGQPKVSDKLTNKPGVSHDKFESYLEHAEKIALSLPDISQQTVNIPKGVDPDLVLFHI >Sspon.02G0051850-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:75340694:75343843:-1 gene:Sspon.02G0051850-2D transcript:Sspon.02G0051850-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKRRYFRQDHGDNSGSSSSSSSSGCDSDRDSAEEAVSSEEVEEVQEEKAVREESGEEEEEELEQQIEEESSGYQSEDNSGNDVDDASIDDDEHSSPRNEERREISLPIKKSSGADADSAKSADNTDDAVDADFPNCILKCKSVFKCKLCPRIICLNEEMVKIHLKSKRHARSEKLLGEGRLKLMLNSDGELEEEQETHAERHARTIALSQQVQKPKKDSGRQRQNRRKKKKRSGNNLEKKLEAQNSNKKQRKAK >Sspon.07G0000440-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:423157:430449:-1 gene:Sspon.07G0000440-3C transcript:Sspon.07G0000440-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPISSLLFLILVLPRSTAALSKSKPPARYRYHTLRATPLFPDPIARADAAQPLFAAASGEASILELDPHDTSNASTVRLLLAHREAFAAPNATAAQLLAHRLTRDAARAKAISVSVSVAARNVTLGGGFAAPVVSGLALGSGEYFASVGVGTPPTPALLVLDTGSDVVWLQCAPCRRCYAQSGRVFDPRRSRSYSAVRCGAPPCRGLDAGGAGGCDRRRGTCLYQVAYGDGSVTAGDLATETLSFARGARVPRVAFGCGHDNEGLFVAAAGLLGLGRGRLSLPTQTARRYGRRFSYCLVDRTSSSSLNRPSSTLTFGAGAVPGRASFTPMVSNPRMATFYYVRVVGFSVGGARVRGTIINDYRPVYAAVREAFRAAAGGLRLAPGGFSLFDTCYDLRGRRVVKVPTVSVHLAGGAEVALPPENYLIPVDTRGTFCLALAGTDGGVSIVGNIQQQGFRVVFDGDRQRVALVPKSC >Sspon.01G0018670-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:69481884:69482126:1 gene:Sspon.01G0018670-1A transcript:Sspon.01G0018670-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MENSYCRWVLVCWVLALCLGSQGARCQLTPNFYHSTCPQLYYIVQHHVFSAMRAEMRMGASLLRLHFHDCFVNVTVTTLG >Sspon.03G0027590-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:6368160:6372243:-1 gene:Sspon.03G0027590-1B transcript:Sspon.03G0027590-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVPVPQQPPAALPAGAFLGLCNEVTEPTVTGHRTCAWGPAGLGQFWLGGGADHHQLGKNNTTMAINTVAREVAHDDATKLGLLQYGFGASTAMEAAASAAAPLAASPAGAAVTVASVAATTAWLTGLPASTTNGVVANYDLLQVPGAGAVVGVSTGGAPAAAAAAAPTAAAQEGVVALCITDTITGKSMAHNIAAARLDVRATFGEAAVLLRGIGERGLDLEPVPSSRSSMVPSTTCWYNGKLNVTREEAMDADGKWFRVSAGIGVRSAKKTSRRDYRRDRRFSLPSFAERPIKDARLPSISVALGKAFAERNSAFNERLMRSAKPQSAVPASMSGVWVLKDSVVKLEVPSSSGKKDKALVHTESGQVSMLAALDWERYYGDDALLQFHKRGSLDTISLPKDFARFGSAHMYDIVLRLPASQEPRRLPRRRNAASS >Sspon.02G0010910-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:28168747:28172280:1 gene:Sspon.02G0010910-2B transcript:Sspon.02G0010910-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRGDWVYENNGGTCVAIAGADYCVVAADTRLSVGYSILTRDHSKICDLADKCVLASSGFQGDIKALHKNLAARELLYQHQHNKRMSCPAMAQLLSNTLYYKRFFPYYAFNVLGGLDSEGKGCVFTYDAVGSYERTGYSAQGTGSTLIMPVLDNQLKSPSPLLLPARDAVTPLSESEAIDLVKDVFASATERDIYTGDKLEIVVINKAGTKREYIELRKD >Sspon.02G0009710-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:30155005:30161416:1 gene:Sspon.02G0009710-3C transcript:Sspon.02G0009710-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLPVGIGALDGAMHGAADGIDRTVLLELGLAAMEELMKVAQMDEPLWFRRPDGGGLETLNFDEYHRAFARVFGPSPAGYVSEGTREAGIAITSSVDLVDSLMDAARWSEMFPCIVARASTTDIISSGMGGTRSGSIQLMHAELQVLSPLVPIREVLFLRFCKQHAEGLWAVVDVSVDAILRPDGGNHHSHHHHHAQNGGGAAGYMGCRLLPTGCIVQDMNNGYSKVTWVVHAEYDEAVVHQLYRPLLQSGQALGARRWLASLQRQCQYLAILCSNSLPARDHAAITPVGRRSMLKLAQRMTDNFCAGVCASAAQKWRRLDEWRGGGEGGGSAGTGGAAGEGEVKVRMMARQSVGAPGEPPGVVLSATTSVRLPATSPQRVFDYLRDEQRRGEWDILANGEAMQEMDHIAKGQHHGNAVSLLRPNATSGNQNNMLILQETCTDPSGSLVVYAPVDVQSMHVVMNGGDSAYVSLLPSGFAILPDGHCQSSNAAQGSPNCGGGGSSSSTGSLVTVAFQILVNNLPTAKLTVESVETVSNLLSCTIQKIKSALQASIVTP >Sspon.08G0000510-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:416834:418368:-1 gene:Sspon.08G0000510-2B transcript:Sspon.08G0000510-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPAIYNLFIINKSGGLIYYKGTKIFVVCEIGAPNMEMLLKVIYELYTDFVLKNPFYEMEMPIRCELFDHNLAQVIQKDCVALLGR >Sspon.04G0025090-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:28190308:28192306:-1 gene:Sspon.04G0025090-2C transcript:Sspon.04G0025090-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MIAAGDPESFFATSPPLRDASAVAANLQEFVARNSHASSEGGGRRRIVCVTSGGTTVPLEQRCVRYIDNFSSGHRGAASTEGSCQPFSRFLPDDSFLQFFDVTTDLKVQVVESQATVVKKAIGDYRKAKHKIQSAGGPLDMKLSQVPKMLSVLRNQWAPLAFCISFKLETDSDILVQKAEMALNKYRMNVVVANLLATYKEEVVIISNGKSNTIRRCNKDEDLEEHIIKLLEKSHSKRVQ >Sspon.03G0032980-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3C:50046080:50046289:-1 gene:Sspon.03G0032980-2C transcript:Sspon.03G0032980-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSCMSPEKKKAVNSSTYAFTDAINSQLLKKLKKNENANAPAKLCDLFAVAKELEGMHIYRCSTWAKVR >Sspon.03G0029810-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:18338449:18340714:1 gene:Sspon.03G0029810-1B transcript:Sspon.03G0029810-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQPQPLLRLRLRLRLLLPLVPLLVLLCLSPACAAGRVPVSVYYETLCPFCSAFVVNDLSRIFRNGISSIAELRLVPFGNGRVSADGTITCQHGEDECQLNAIEACVIRLWPDAETSVAYQPAIDCYNSGYGRQYAAETNALQPPHQFVPWVVVNGKPLGDDYMNFEAYICSAYDGKLPEACKGKHLAIAQETKASRGDK >Sspon.02G0005030-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:10017064:10022066:1 gene:Sspon.02G0005030-3D transcript:Sspon.02G0005030-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MWERGRPPRKPRPSPILVPPPPSSPPPRLNLLLPRSLLALAARAMPSRRPSPVLLLLLALSLALLFLLLSPSSPSASVFSRSLSSGSAIASSSPASTSAPPAPVKIYLYDLPAKFTYGVVRSYTAARAPSGSADAAAALPDEQLRYPGHQHAAEWWLFKDLLRRRPWDRPVARVDDPSDADLFYVPFFSSLSLVVNPIRSPPAANASGAAAAYSDEAMQEELLEWLERQPYWRRHWGRDHIFICQDPNALYRVIDRISNAVLLVSDFGRLRSDQASLVKDVILPYSHRINSFKGEVGVDGRPSLLFFMGNRYRKEGGKVRDALFQILENEDDVTIKHGTQSRESRRAARQGMHSSKFCLHPAGDTPSACRLFDALVSLCVPVIVSDYIELPFEDIIDYNKISIFVGTSKAVQPGYLTSMLRRISSERILEYQREIKKVSTD >Sspon.03G0044880-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3D:5033409:5034146:-1 gene:Sspon.03G0044880-1D transcript:Sspon.03G0044880-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFFAAAKRQMLTARCSSPPLICLSWSWPAMLNACYVCVRSLRLNLLVLRSHSIPSHPIPSHHPTARCRLPLLSIHPCVTSHCSLPPFRYPPPARPRPFVPAQRVARRHRVVAIQTATLHIPHSLSSSVRSRQNLKTIDDDAEPLGSNATSKKGQRAAVRRSRWRLTTNHQGRGPFRSVPAGFARSILLSGRRLPGPVAFAFHHRARVPTHTARRRPLVGFRSRVQQSAGVPSLYLSESPSVFNS >Sspon.07G0020830-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7A:77980451:77984293:-1 gene:Sspon.07G0020830-1A transcript:Sspon.07G0020830-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SDVARVPPS >Sspon.08G0021420-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:43253358:43254757:-1 gene:Sspon.08G0021420-2C transcript:Sspon.08G0021420-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAHGDQRWLVECLTATLDTARDVRAFAEESLRQASLLPGYGAALTKVTINKEIPFGLRQISFQPFMYIFIKQHWQEDEENFVPPVVSASEKV >Sspon.08G0020420-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:23931002:23932555:1 gene:Sspon.08G0020420-2C transcript:Sspon.08G0020420-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGAAAAATGGSSGGHRHMDSRIEEHGKYLSESSCCPQCGHKTDRKLDWVGLPAGVKFDPTDQELIEHLQAKVRAASAAAPSHPLIDEFIPTIEGEDGICYTHPERLPGLTKDGRSRHFFHRPSKAYTTGTRKRRKIHQPPAAEGSSSSAVPAQQQQQQRSETRWHKTGKTAPVVVAASSVGARKS >Sspon.08G0021610-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:41433838:41440091:-1 gene:Sspon.08G0021610-1B transcript:Sspon.08G0021610-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAAAVTGAGDSDRLAREVARVLDECRASHAVHPRKLRELAALRSSSGRGGGQPFLAAFCVAVTPLFTLARRSAGSDRVARFVAAFASASASSLADGGGNGFLEEFLRFLVTASKAAHRPARFRACQIISEIIMRLPDDAEVSNEIWDEVIDGMKVRVQDKIAAIRTYAVRALSRFAIDGEDGGIVDLFLKTLDNEQNAVCEIVLNSFPEVRMAIVFSLPPSNNTLESVVESMLDISESVRRAAYSVLSTKFPLQSLTIKQRTTVLHRGLSDRSASVNNECLKMLKDEWLVKYCGGDVISLLRFLDVETYESVGESVMAVLLKDGALRVHDGHSIRQYFTANGEKSEQDSNIQLMDAEVALYWRIMCKHLQAEAQVKGSEAAATTGAEAAVYASEATDKNDLLDSVLPSTITDYVDLVKAHLSAGPNYHFTSRQLLLLGEMLEFSDTMNRKIASSFLHELLIRPLEHEVDDVGNQIAIGDGVSLGGDKDWAKAVAELAKKVHSSVGEFEMVVSTVVEELAKQNHVDVQRAALRCLCLLGLLENRPNAELVKQLRLSFINGPDLVSAMACKALIDLVTWHGPQEIDRAIGIESPDPSYEKSQFTQVDLSDMNADDVNIGVLDILFSGFHKDDWEFDLEGDNHDNVPTILGEGFAKILLLSGNFASIPADLHTVILAQLIRLYFSEEAKELGRSIAHLSSIETMSVCLLSALSSTFRQTQGKPIKLTIHWLKLFYSCISNAFVPVMKAMWPGLYGNAGGSPVVISKRRKLAVQASRFMVQMVQTQLFSTESMDQALKSPESASVSANGSNNFDISEEGLAIRIALEVASCPDKKTAAGKAYALALCKVVVLLRFRQSEQKALKCMRGLVNHLAASVASDKELVKELAQMAARLRSLDACPDEELSQDDADAIFKKLGLDGGFKLDTNQAVPPTPAPRSARPPAPARRKTKQAPPSSSDESDEEGGEVSVPATSVSRVPATPSMTAAARSQRASKTAALSKMSAKPPAIASDGSESDDQSDVTSEEDSSDEESS >Sspon.08G0001080-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:1628205:1631463:-1 gene:Sspon.08G0001080-2C transcript:Sspon.08G0001080-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAEIAAAARAPPCFGPRQRYGPGGSGGWCEHAALEFARRGELSRGDGDDKYLIATSQQPLCAYDLGLNIELSKESGTTHQPLISRGELNSTRSEELCSIKDTAAANEERLGAALEWRDEDELSEGGPG >Sspon.02G0011150-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:52291313:52291714:-1 gene:Sspon.02G0011150-2B transcript:Sspon.02G0011150-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GVARRRGSPGAASHQDDNETSAAPASAAAAVVCHGLGLYVSVAGVVVGGGQREGRGGGGRGSRAVDADVGGQQAAVARRVPAGSAQAAGAAAARQAEAAAALARAGAGVRRRPARPLHRLPGAASQEADPGVVM >Sspon.07G0008120-3C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7C:18073255:18075757:-1 gene:Sspon.07G0008120-3C transcript:Sspon.07G0008120-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTMMPRRAVVLFAAALLAASAAAVSGFHLGGDESGLVRGVLAALRERAEAEDAARFAVAHHNKNQGAALEFTRVLKSKRQVVTGTLHDLILEAADAGKKSLYRAKVWVKPWEDFKSVVEFRLVGDSESESEPSVASDDSSGQAIAKLSLEADIAQEEARLHTIENDGLSGILGVTRIPGKDGKREGLK >Sspon.01G0000600-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:2156057:2163841:1 gene:Sspon.01G0000600-1A transcript:Sspon.01G0000600-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPSPPPASNAGLRILLSKDRPTPSPPPTAAVSSHADRDRIIKETVLVLEENQSLENALRRLLQELVSSAVQSGKKIMQYGNSLDSGESNCLITRLLDIVLYLCERGHVEGGMVFQLLEDLTEMSTIKDCKDIFGYIESQQDVLGKYRRYMFVTLLTDYRYGLFVARTVWTWKTSYAKDLQPTSSQTIEALNIKGVFNTSNVTKYEKDAMDGISVDFNFYKTLWSLQEHFSNPALTSTNPAKWQKFSSNLAVVLSTFEAQPLSDDDGKLNNLNEEEDAAFNIKYLTSSKLMGLELKDPSFRRHILVQCLIFFDYLKAPGKNDKEGPTGSMKEEINSCEEHVKKLLEIIPPKGKEFLKSIEHILEREKNWVWWKRDGCLAFEKPPFEKKPGQAGGRKRKPRWRLGSKELSQLWKWAEQNPNVLTDPDRVRMPSITEYWKPLAEDMDPSAGIEEEYHHKSNRVYCWKGLRFSARQDLDGFARFSDYGIEGVVPSELLPPEVNARFSSKPAEKVKRTRREDSKGVSAQPKEQQVAATPETDGGGSGGDPEEGAAPMDSDNGAVEDSQKRSPGEVSGPESGQCEPEADGDDNVKTETTSRYARAGEKQ >Sspon.05G0010370-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5A:29582665:29584565:-1 gene:Sspon.05G0010370-1A transcript:Sspon.05G0010370-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSQSHLSPWRGSAARRRWCQAAARPTTVLVIAVTVFAPLLLAVVLFGFRVTPSGANSTWVSAGVRVVLKAVSDQGTSGPGPLATVPDPSDRLLGGLLSPDFDESSCLSRYSAALYRRPSLHAISTYLVSALRRYESLHRRCGPGTPAYARAVELLRANASFATSSSAAASGSSCSYAVWTPIEGLGNRILSITSTFLYALLTDRVLLLHSSGDDLHGLFCEPFPGSTWILPAADKDNKDDDFPIRSIEKLTDWWHHDSLGSVLRRGEDPGMAPWLYVHLRHDYTKDNRDQQFFCDDVQAKLGTVPWLVFRSDNYFVPGLFLMPRHEAELARMFPRRDVVFHHLGRYLFHPSNTVWGMVTRYHDSYFAKADERVGIQVRRFYWAPISTDDLFGQILNCTQREDILPGAAKGGSTAGGQPGKQKAVLVVSLHGEYSEKLRDLYHEHGAAGGEAVSVYQPTHLGSQRSGEQQHNQKALAEMVLLSFSDAVVTSAVSTFGYVGQGLAGLRPWVLTSPVDKKAPADTPCRRAATIEPCFHAPLDYDCRAKAKGDAGRRVRHIRHCEDFPR >Sspon.02G0026630-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:76380442:76392601:-1 gene:Sspon.02G0026630-4D transcript:Sspon.02G0026630-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone deacetylase [Source:Projected from Arabidopsis thaliana (AT3G18520) UniProtKB/TrEMBL;Acc:F4J8S1] PNPLFAPLAFGPFPHFPRRGLAGEALTEAAGFAAARGIPRRVPPTVSGLVALLVAGVGICPGSSSSHFVPAPLRSADRRAAGICSGLRYPLVFVDLSVIGYPLMASDMRAPSSGKKSEISHGVEKCGVSDQACHGKCQSCGIDVKPFCAGVDGVSSLTGGHTDVKASKENCGACSLNNDRADSLEEEVKGSTARIGHVESADPDGCVDVKKESFMAVDDLPQEFEGEQAGATLEDLFFFNGEEEDDSDWEPASRLVENRWFCFNCTMPIVDEITHCMNCRELKGSVVDGYDVFKKQIAQTALLSADTELLPVSTAIGFDERMLLHSEGSFPQNALWYLLEKSRRRNSLCYFTSDTYANGHSACAAKLAAGLCADLASLMVSGRVRNGFALVRPPGHHAGVKQAMGFCLHNNAAVAALAAKRAGAKKVLIVDWDVHHGNGTQEIFEGDKTLFRICTCNFLRESQVGVLDGQGFSVNIPWSRGGVGDNDYIFAFQTVVLPIAAEFAADITIISAGFDAARGDPLGGCDVTPAGYSWMTSLLADCSNGRLLVILEGGYNLRSISSSATEVVKVLLGDGPNRASFVGSPSREALKTVSQVLKIQQQFWPVLGPTYASLQAQQGSVSSNHITKRNELKKRKHSGGLGPFWWKLGSKRLLYEALFERCRQRKIKGSGEGKATGFSSTLDG >Sspon.08G0020470-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:21911919:21914704:-1 gene:Sspon.08G0020470-1B transcript:Sspon.08G0020470-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVQQAGSGHTTQHAGAGKPPMNPGSSTQQFTYKIEAVVVQQQEDWYQKVGVRCCEGLAAGAAAREAVQGS >Sspon.02G0058560-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2D:79486271:79486768:1 gene:Sspon.02G0058560-1D transcript:Sspon.02G0058560-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSAARAALNQFRALVARRGHAAATAGTFSVAPRARLLSATTTGAKAKGSSTPDERAVADDDVESRKADPPAKPRLYIVHLVPTALNKADDVDKSKAAELHHSILSSVVGSEEEATRCMVRSFAYLHAFSAMLTDSQARTISDLPGVLGACPNTEHSIDDPTMED >Sspon.03G0016540-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:72640581:72643456:-1 gene:Sspon.03G0016540-2C transcript:Sspon.03G0016540-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Magnesium-protoporphyrin IX monomethyl ester [oxidative] cyclase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G56940) UniProtKB/Swiss-Prot;Acc:Q9M591] MASSAMELSLLNPAATHRHGGGLAAAGMPLAPRRSVVRFRVSASAAAAAPPKSSGPKKRGKTEIQETLLTPRFYTTDFDEMERLFNAEINKQLNQAEFDALLQEFKTDYNQTHFVRNPEFKAAADKMEGPLRQIFVEFLERSCTAEFSGFLLYKELGRRLKKTNPVVAEIFSLMSRDEARHAGFLNKGLSDFNLALDLGFLTKARKYTFFKPKFIFYATYLSEKIGYWRYITIFRHLKANPEYQVYPIFKYFENWCQDENRHGDFFSALLKAQPQFLNDWKAKLWSRFFCLSVYVTMYLNDCQRTAFYEGIGLNTKEFDMHVIIETNRTTARIFPAVLDVENPEFKRKLDRMVVINQKIIAIGESDDIPLVKNLKRIPLIAALVSEIIAAYLMPPIESGSVDFAEFEPQLVY >Sspon.07G0013400-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7A:48312156:48314034:1 gene:Sspon.07G0013400-1A transcript:Sspon.07G0013400-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding NVTDVLQVWTVSSGFCFITFSEHTNAVTAVHFMANNHSLLSASLDGTIRAWDLFRYRNFRTFTTPSPRQFVSLTADQSGEVICAGTLDSFEIFVWSMKTGRLLDVLSGHEGPVHGLMFSPINAILASSSWDKTVRLWDVFESKGAVETFQHSHDVLTLAYRPDGRQIACSTLDGLIHFWDPSEGLLMYTIEGRRDIAGGRLMTDRRSAANTSIGKYFTTLCYSADGSSILAGGNTKYICMYDVGEQVLLRRFQITRNLSLDGVLDFLNSKKMTDAGALDLIDNEDSDVEEGIDRQTRGNLGLGLPGSMANRGRPIARTKCVKFAPTGRSFGAATTDGVLLYSVDESFIFDPTDLDIDVTPE >Sspon.06G0022030-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:22587925:22591661:-1 gene:Sspon.06G0022030-1B transcript:Sspon.06G0022030-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GLQDMKPLSTTNSTVRFKPAPFAETKTSAESGWDPRTRHKRPFSFEQFRHKYRRSRASDNPIDGAARRQDEGKPFSSQYSTSLRLDPPSGGSHADAGYHVSNNLQDNVFVNVVASVQYRALADKASDAFYRLSNTREQIQSYVFDVIRASVPKMNLDDVFEQKNEIAKAVEDELEKAMSMYGYEIVQTLIVDIEPDEHVKRAMNEINAGNISELFLSINETAARLRLAATEKAEAEKILQIKRAEGDAESKYLAGLGIARQRQAIVDGLRDSVLAFSENVPGTSAKDVMDMVLVTQYFDTMKEIGASSKSSSVFIPHGPGAVRDIAAQIRDGQLQASLL >Sspon.03G0007730-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:20821232:20822002:1 gene:Sspon.03G0007730-1A transcript:Sspon.03G0007730-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SSPMADADADAAPLAPPPEDNKRKLEEVSADAEANGTGEDAKRPRMDGEPDAATGVEQQNDGSSVNVEEPAAAEDKVAPTDGAAMDGDNGTTAPAPDSQAGSDEKPLETAAEAPQQEGDAEAAAQEISRKIEVPNSKVGVLIGKAGETIRNLQTSSGAQIQIIWSVDVDSDVDSNALTRSVELVGKLGSVDKAEQLIKSVIAEAEAGGSPALIARGFGSGQPGSEQFEMTVPGNKVGLIIGKGGETIKDIRSNLVLV >Sspon.03G0036850-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:89688442:89691579:-1 gene:Sspon.03G0036850-2C transcript:Sspon.03G0036850-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeobox-leucine zipper family protein / lipid-binding START domain-containing protein [Source:Projected from Arabidopsis thaliana (AT1G52150) UniProtKB/TrEMBL;Acc:B3H4G8] MEPAKVAQVLKDRPLWLRDCRSMEVVNVLPAGNNGTIELLYMQLYAPTTLAPARDFWLLRYTSILDDGSLVVCERSLSTKQGGPSMPLVQPFIRGEMLPGGFLIRPSDGGGSVIHIVDHMDLEPWSVPEVVRPLYESSAMVAQKMSMAALRYLRQVAHEDTHSVITGWGRQPAALRALSQKLTRGFNEALNGLADDGWSVIESDGVDDVCISLNSSPSKVINCNATFNNGLPVVSSSVLCAKASMLLQDVSPPALLCFMREQRSQWADSNLDAFFASAMKPNFCNLPMSRLGGFSGQVILPLAHTFDPEEFLEVIKLGNASNYQDALLHRDLFLLQMYNGVDENMVGTCSELIFAPIDASFSDDSPLLPSGFRIIPIDAPLDTSSPKCTLDLASTLEVGTPRSRINGSGPGNAACAGSKAVMTIVFQFAFESLQENVAAMARQYMRSIIASVQRIALALSSSHLVPHGSSISHTPTSPEATTLARWICQSYRFHFGAELIKSADGSGCEAGLKALWHHASAILCCSLKALPVFTFANQSGLDMLETTLVALQDITLEKVFDDQGRKNLCAELPGIMEQGFTCIPGGLCVSGLGRPVSYEKALAWKVLDDDSGAHCICFMFVNWSFV >Sspon.06G0018930-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6B:88097041:88097739:1 gene:Sspon.06G0018930-2B transcript:Sspon.06G0018930-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRALSSVGVGLAVVSSLLLLALTAELYYIFVHKRRQRRRAAAISDAASSPSTSSRELLQLFCFKKPPAALASTYAVPEPAATAAVAVSVGGADDDDETMEAQLMRLSSLVGPTRLLFTIKEETREDLESEDGGRRGRSRSLGELLHCAETPPFLTPRASPSPVPTAAVDNSYNPLFESPVASLAGPGVAPAVSPPPKFQFLRDAEEKLYRRALAEEEAMRARRSPAAAAEEDG >Sspon.07G0005520-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:9349932:9352959:1 gene:Sspon.07G0005520-3C transcript:Sspon.07G0005520-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding PMARLHWLEAVLPLGIIGGMLCIMGNAQYFIHKAAHGQPKHIGNDMWDVAMERRDKKLMEQSSGN >Sspon.04G0024740-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:98578987:98579187:-1 gene:Sspon.04G0024740-2C transcript:Sspon.04G0024740-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTKMPSPNHHSCCFLPVCCPSFSSASDSSSPPVAADSTGCLWMTWKNRKRLKARPSCKHCGAAVL >Sspon.02G0024370-4D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2D:67046584:67046763:1 gene:Sspon.02G0024370-4D transcript:Sspon.02G0024370-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGKDAFAACDLSANLQLGNWTSGNDVVQLDKPGKVWFICNKPGHCINGMKLVIDVVDGT >Sspon.05G0028440-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:67654229:67657550:-1 gene:Sspon.05G0028440-1B transcript:Sspon.05G0028440-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDYHFHCFHASWETKPFLKAVLPVHGASHTLSAPSSQWPGRHPPHDNDTTVGERGHHFLDACGRCGPLLGRNKDIFMYRGDTPFCSVECRQQEIDADEARERRSKQQPAAATAKRERKQQRHMHVCVPPHTHIATAAAAPR >Sspon.01G0000990-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:1873029:1875568:-1 gene:Sspon.01G0000990-2B transcript:Sspon.01G0000990-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CRR1 [Source:Projected from Arabidopsis thaliana (AT5G52100) UniProtKB/TrEMBL;Acc:A0A178UH15] MVSLCHPIRIRACGNTATRRNAAAAKVLCSVQQTPPPPAQSTIKVVIVGATKEIGRTAVLAVSKARGMELAGAIDSQCIGEDAGQISGMDEPLEIPVLNDLTMVLGSIAQTRATGVVVDFSEPSAVYDNVKQAAAFGLSSVVYVPKIELDTVTELSAFCEKASMGCLVAPTLSIGSVLLQQAAIQASFHYSNVEIVESRPNPSDLPSQDAIQIANNISDLGQIYNREDMDSSSPARGQLLGEDGVRVHSMVLPGLVSSTSINFSGPGEIYTLRHDVANVQCLMPGLILAIRKVVRLKNLIYGLEKFL >Sspon.08G0003390-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8B:5787060:5791470:1 gene:Sspon.08G0003390-2B transcript:Sspon.08G0003390-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MYYKGARAFHALEVIRLV >Sspon.06G0013730-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:54721793:54727570:1 gene:Sspon.06G0013730-1P transcript:Sspon.06G0013730-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTDAHLYDDPDDASIPELLDSRFDADKVDALKRLLALIAQGVDVAHLFPQLFLLLLSTRWVLTQLALPPSLPPLLSSEQNHKCGQVVKNVASQSLEVKKLVYLYLLHYADKRQNEALLSINIFQKDLSDINPLVRAWALRTMAGIRLHVVAPLVLVAIKKCARDPSAYVRKCAAYALCKLCDLLPDESTALEEIVDILFNDNSPGVVGAAAVAFKSVCPSCLPLVSKHFRRLCETLPDIEEWTQIALIEILLRYVIARHGLVKDSLLFASDLPTETQGIADSDAVASVPTQPDSISNGVSDTISSIMLFRHYIEQCSGPSDREVNNLKLSSVTTNSNDDVAILLKCTSPLLWSRNSGVVLAAASVHWIMAPIGDVKRIVGPILFTLRSSPDAAYVMLGNVLVFAKTMPSLFAPFYEDFFVNASDPYQTRALKLEILTIIATEPSIPAIFEEFQDYIKDPDRKFVADTVAAIALCAQKLPSIATACLEGLLTLVFYESFISNSVHLDGEDAVLVQAILSIKAIVKMDPVSHEKVIVRLVRSLDKIKEPAARSLIIWLHGRSHYKDSSPVLKYLTWSFAAEVVETKLQILNCSAKVIMRCTEEHMEEFKRIVAYVIELATCDLNYDVRDRARLLSKLLPCYMTHQGPSHQPQNGDIYKELADHIFNGKLQPTSHSASNYRIYLPGSLSQVVLHAAPGYAPLPNPQSMELNHNVSEATRGKAKLSGSNNSDTESGTSTYESSSVYDSESEGAGLSDRDTVESHQDQEDNQDAPLVQIYDASIQQGQIGHNTEENLADLISTDLTELMSKSALESWLDEAPAEPVVQNLTQTSSARVSFTNRNFERKPILHSLLDSSGSNGLSVLYAFSSEVSPRSRLLVCVDLYFENVTTQQLTDITIESEEASSSVDSIDQTSEGSSGIPTIVPVEEIHSLAPQQMAKMVLQVHFHHHLLPLKLSVLCNGKRHPAKLHPDIAYFVRPLPMDLNTFLCKENQLRGMFEYARRCTFKDHRQKLEHEDSAEHSDKNLQVAQSVASKILSNANVHLVSMDMPVTFSVDDASGLCWRFSSEILSTSKPCLITILAEGRASGPLDLTVKVNSEDTVFALNLLNRVVAIID >Sspon.06G0022460-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:35284322:35285929:1 gene:Sspon.06G0022460-2C transcript:Sspon.06G0022460-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding PGYYDIDDILMEDEVISVVFQVTANGVGLLDPGAESNCVEKGAKVDLPFWLAHGLLSLEQAVSINPPPCFTQKYRKEIQADAACVDLRVRCPYFYELGCKIVPL >Sspon.02G0005590-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:18013501:18014407:1 gene:Sspon.02G0005590-3C transcript:Sspon.02G0005590-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding HFWAPTFKWGISIANVADFAKPPEKISYPQQVAVACTGIIWSRYSMVITPKNWNLFVLMLQWPYRLQLSNRLMKAFALFYEPSRVPLLLFDS >Sspon.02G0026650-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:94548168:94549463:1 gene:Sspon.02G0026650-1A transcript:Sspon.02G0026650-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKDKEAPKLKEEEKSSKDEVHLKIKSKDKSTGEVDDKKEIEIEIEAKFVEKEEVKDSGDGAGSAGKGKEGKKDKENKKKEKSEKHEDDEDDEKMVKKKDKKEKDKKKKGDGEDSEKADNDKKDKKAKEKVKDKDGSELKGSSGEKEEKIEDKKKKEDDKDVGEEEKHKKRENKDKDKKDKKDKEKDGSEPKGSSDEKQEKGKDKKKKDKSEEMEQKEGHLENKNGEAAQKDVHMNKELAGAADSGTREIKLTDTEPQEKEIDSEDSEGKKKNKEEDKDEVKKKKKDVGQGDEGEKKDKEKKEKKKDKGDKKEDGKKKEGDGEEDGEGKKKDKEKKEKRKDKGAKEKTTDPVKLKAKLEKVDAKLQDLQAKKEDILRQLKELEESGKGKTNEEKPAHVQEDKGKDTAEVPAHILEQGGESKVKEQNPVAAA >Sspon.07G0002500-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7A:6165494:6168227:-1 gene:Sspon.07G0002500-1A transcript:Sspon.07G0002500-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVALILLHHTGSQEVVPAVVPEDAEEQPEVTEPEPTEAEEETGEELPECPDHRPSSFERGKPRSISPPFYLLLLLISVDGEGEGWNRFLHGGSVEDEDDNIGVSIFIDMTTWRLWFPDDGIKAEMMLPSWNNFLRSLLRFVVVRSGHDEGLGRIIFRSVFFTLRWQKEEEGRHQKEEVPQFRLQECPQIRNFWSLPATTTYDDATRQLAKRARHGIVTSQQPPKRPIGRWEADWSTIRRQQAPPVGVGHVGLSAPEKPTSILSAVQ >Sspon.08G0002460-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:34830091:34831650:1 gene:Sspon.08G0002460-1P transcript:Sspon.08G0002460-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMVLDWRSLGSLLATVVVFRTALRDFLPPEAQTLLRRFIAWVAAAFRPPHDTILIDEADGPPGGAINDLYDSAQLYLGARCLATAPIVRLHKPRQSPRPVASLPDSHTTHDTFRGVRVKWTSTARTVDRGSGGGGYGHPYNMFGGRGGHGDQRGLELQFPRQHRDLIHGHYIPHLIDEATRMRLKSRERRLYTNRATGLGDLDHHRLWTSHAFSHPSTFDTLALDPALREEIRADLLRFAARRDHYTRVGRAWKRGYLLHGPPGTGKTSLVAAIANLLEFDVYDLELTTVPTNSHLRRLLVSTTPKSVVVVEDIDCSLDLSDRNKKKKKGGGSNVGADNDEDAAAQLAVMSVSPAAAAAMAVMGRESISLSGVLNFVDGLWSSCVGERLMVFTTNHPERLDRALLRPGRMDKKIELGYCTPPALRVLAKNYLGVGDEGCEDADADPDTVNTLMAEAEGLLASAEVQITPADIAEVFMGCDGDDAACALRKLVGELRRRRDATAATAVGPGESTEDTTE >Sspon.06G0016730-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:76340976:76346393:-1 gene:Sspon.06G0016730-2C transcript:Sspon.06G0016730-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGNLSIAYPFGIGAGCFRDPDFELVCNHTTEPPRLFLTGGDTEVVADIDPSATYELADVRVAFSRSVPIIQGVQVYNTSWKPPGRSFTGFYFDLNLYFTGCDFNVSWLHDDGSTNFMCKVTCPSEGIMDEAIARQQCNGTGCCNYHNFLFGSHDTVSSLNLQFERNDPEANAKQPNRRSSLWDRINVESDYGMLLSWVVADEPNCAAASANKTKYACVSKHSTCIDKLPSYVCTCEPGYSGNPYVADGCLRDRGYNPAPLKANCSRSCGDIIVPFPFGLDGGCSARKELQLECTGKKLSWPQFLDPHYVSHININEGTMGVKKTDSYVGRGNRSRLNWVVANLTCQEAPQNNTTYACISLNSECLGVVSSANEDYDYDRYIGYRCKCNAGFQGNPYVQNGCQDIDECAMTPGICSGQQCQNTIGSYHCTECPYKTHYDSTLMRCTHEKRELSLLSGIMIGFGAGFGILLLGLCATPIEKIIAPEILEEATKEEISSVASLSKMCLMLRGQDRPTMKQVEMSLHTLLTKRAKYRIIDTRENNHDMQ >Sspon.01G0002370-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:6503480:6504604:-1 gene:Sspon.01G0002370-1A transcript:Sspon.01G0002370-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVRSASTPVLGALLPSGSHSPAVSSPAVHFPESPAAAYHPPPISCHLAGPGSGSDHERSRAGMRRTCSEDNLASLAGVRADDHQHHHLPPSGKGAPRARPVPLETIQSFRGRQASSTDDEEEEDEEEAYEVERELRFGQFSFAGSTYSQEHPLNYAQFLYQVKGDYRRAEEYYSRAILADPDDGELLSEYAKLVWDVHRDEERASSYFERAAKASPQNSHVLAAHAAFLWDTDDEESGGDVLSSCAGFAQPAQSSTLASATT >Sspon.05G0032430-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:17366434:17371876:1 gene:Sspon.05G0032430-1C transcript:Sspon.05G0032430-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTMPSDDVRMHVILVPFLAQGHFAAFLSLTVRLKAALPSAAITLVSTLCNVVTLRASSSAGTAAEAPFLMFHALPFVPEEHSLPAGAESADAVHVRHFLVLFQSTESPSLRAAFDAFLAGVVCADDAAEEEGAPAVIPVVVVADPFQGARVLRLQRCVRQRGVPLALEPPPAPAFCLMDHPEAGGHGPSLAAPGHFAAFLSLEARLQAALPSAVITLVSTPRNVAALRASSSAAAVEAEASFLRFHALPFVPEEHGLPAGAESADAVHVRHFLALFQSTESPSLQAAFDAFLAGVCVDAAAEEEGTPVVVFPVVVVADPFQAWTTAAVRRRGAAHAFFDSCGAFGSVVYHSLWNHIPHWRAPGGELAEAFCLPDHPELLAHLLLEDGTDRALGYATDAVLINTTEELELAGLRMLRRTLGVPHTSHRDGDNIMHWLDTREKLNLSVLYISFGSQNSLRPEQMMELAAALELTGRPFVWAIRPPVRLGNDIRTFGSDKWLPEGFDERVRANDTGLLLSILAHAFFDSTSAFGSVVYHSLWNHLPHRCAPGGELAEAFCLMDHPEAGGHGPPLAAPASDFFMHSRVRMPASDVPMHVILVPFPAQGHFAAFLSLAAHLHAALPSAVITLISTPRNVAALRASSSAAAVEAEAPFLSFHALPFVPEEHGLPAGAESADVVHVCHFLALFQSTESPSLQAAFDAFLAGVCVDAAAEEEGTPVVVFPVVVVADPFQAWTTAAARRRGAAHAFFDSCGAFGSVVYHSLWNHLPHRRAPGGELAKAFCLPDHPEVTVHRSQLPAHLLLADGMDPWSAFHRRQIALGYTTDAVLIDTTEELEPAGLRMLRRTLGVPVLPIGPLIRLPTQHTSHRDGDSIMHWLDTREKLNLSVLYISFGSQNSLRPEQMMELAAALELTGRPFVWAIRPPVRLSDDIGTFGSDKWLPEGFEERVRANDTGLLVRGWAPQLSILAHASTGAFLSHCGWNSVLESVAHGVPIIGWPLQGDQFFNCEMLEREWGACVEVARGNAEGSSSPVVERARLAEVLETVMGNTAQGAEMRRRVKEIQQLMGRTQENGGASSAESLKKLFTSVLHATDADAGAVLGATVPHAS >Sspon.05G0003300-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:10067651:10069671:-1 gene:Sspon.05G0003300-2D transcript:Sspon.05G0003300-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSMVFRLLFGFVFPLFLQPSSSAQSNLLQLGLQQSSPSGLRVGFYQYTCPNAEAIVRDEMTKIISQVPSLAGPLLRMHFHDCFVNTKGPHWDVPTGRRDGRRSVKQDALDNLPAPFFDAGRNLFQFFIPKGLDAKDQIVLLGGHTLGTSHCSSFADRLYNFSGTMMADPSLDKRYLPRLKSKCSNPGDTTTLVEMDPGSFRTFDASYYRHVARGRSLFASDQTLMNDAFARAYVQRQAAVAAAGAYPAEFFADFAASMVKMGGVQVLT >Sspon.08G0012350-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8C:50691871:50692197:-1 gene:Sspon.08G0012350-2C transcript:Sspon.08G0012350-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLNDAAQFALCVALGISYILKPLLDFLDGANKPLSPVLEFAVAVLIPTLPLAYLMGILLLLLQVAPPAPGAARRLACFACTVVSAVLALLAVALIAFWFLTGGSPP >Sspon.01G0035900-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:15486405:15498094:1 gene:Sspon.01G0035900-1P transcript:Sspon.01G0035900-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALHAPVLVLKDSLKRESGAKVHQANIQAAKAVADIIRTTLGPRSMLKMLLDAGGGIVVTNDGNAILREIDIAHPAAKSMIELSRTQDEEVGDGTTSVIVLAGEMLHVAQAFIDKNYHPTVICRAYTKALDDAIAVLDKIAMPVDVNDRVDLGQGMREVDIKKYIKVEKVPGGQLEDSRVLKGVMINKDVVAPGKMRRKIVNPRIILLDCPVEYKKGENQTNAELMKEEDWQVLLEMEEEYIKNLCAQILKFKPDLVITEKGLSDLAIHYLSKAGVSAIRRLRKTDNNRIAKACGAVIVNRPEELQESDVGTGAGLFEVKKIGDEFFAFIVDCKIPRHDAMSVARNILKNPKLLPGGGATELTVSATLKQKSSSVEGVEKWPYEAAALAFEAIPRTLAQNCGLNVIRIMTQLQGKHANGENAWVGIDGRSGDIVDMKERKIWDSYSVKAQTFKTAIEAACMLLRIDDIVSGIKKKQAPGASAPKQPQIETEGDADNEQMIPE >Sspon.06G0014050-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:73951188:73952306:1 gene:Sspon.06G0014050-1A transcript:Sspon.06G0014050-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEAHARMHLRSYVSQEDVDMAIRVLLDSFISTQKFGVQKALQKNFRKYMTFKKDYNELLLLLLRTLVKDALHFEEIMSGSTSRLTHVEVKVEDLRNKAQEYEIYDLKPFFSSAHFRDNSFVLDEGRGIIRHPLAE >Sspon.01G0028490-1P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:112380906:112382519:1 gene:Sspon.01G0028490-1P transcript:Sspon.01G0028490-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSGSGVCAKRIVVDARHHMLGRLSSIIAKELLNGQKVVVVRCEEICMSGGLVRQKMKYLRFLRKRMNTKPSHGPSTSAPPPRSCGAPSAGMMIPHKTKRGEAALARLKTYEGVPPPYDKTKRVVIPDALKVLRLQPGHKYCLLGELSKEVGWNYHDTIRELEEKRKEKAKVAYERRKQLAKLRVKAEKTAEEKLGSQLEILAPIKY >Sspon.08G0017590-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8B:2400906:2401793:1 gene:Sspon.08G0017590-1B transcript:Sspon.08G0017590-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPKQTQPSKKGSTERRETDGIRHRRHHDRTEFHSDAFRKSMTPNARRRSSRNGPGFHPWKTLLGHDAFNREVASTGVAIVRLSPEVPSAQTSAKMSDSSMSSLPAILQNPRQRGIDAPAARAAAQLHRQPLPTRQHIKDRPHPTARRSRRRPPPPAEPPAVGAPDLRQPPSVAPSTTDAAPCPGDDLAYRASDLDPPPSPKEDAGDEEEAPRHRPVPLLDISVVSRDRASGWFFELVKVSPVFIFFFSAAQADSLSFSNSNSLALFRGGKMGVSKGQKQLPDQHLDCTKKRSCV >Sspon.07G0013900-2D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7D:49944511:49944906:-1 gene:Sspon.07G0013900-2D transcript:Sspon.07G0013900-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSRGGGARGLLGAYELGRTLGEGNFGKVKQARHRGSGAQFAVKILDRARVVSQRVDDQIRREIATLKLLAHPNVVRLHEVRPSVRHPLPPTPLPAQAQLVDSGFLLPSLDDSIGSVPTSDFRAERFSGRE >Sspon.06G0020720-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:10730191:10735253:-1 gene:Sspon.06G0020720-1B transcript:Sspon.06G0020720-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQSRRRGGSYRCPRRAALPAAALLLFLLAAVALLYVSPPPLADHPVVASSRRRRSPHALLNSSGGGGMEESERREISRAPTNGSTVRDDLWGSKLASKFYGCSNSSSKFLDSNITTQPDRYLMIVTSGGLNQQRTGIIDAVVAARILNATLVVPKLDQASFWKDSSNFSDIFDANWFISSLSKDVKIVKELPHIGGKLRAPHRMRVPRKCTERCYLNRVLPALLKKHVIRLTKFDYRLANRLQTDLQKLRCRVNYHALRFTAPIQEMGEKLIQRMRERSKFEPDMLAFSGCYYGGGEKERRELGALRKRWKGLHPNPEKGRRQGRCPLTPEEVGLMLRALGYRKDVHIYVASGEIYGGARTLAPLKALFPNLHTKETISSKEELAPFSKYSSRMAALDFIVCDESDAFVANNNGNMAKILAGRRRYFGHKRTIRPNAKRLYPLFLNRGNMSWDAFSSKVHMVQKGFMGEPKELRPGRGEFHENPSTCICERTDGKAVARAKSQDDQVLNSGADRDKGIGEPAVPNTDEEVGEPDDDEDAPAEKEIVDAEMDDDALVGPDDPELEQILSD >Sspon.05G0014130-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:5B:52061654:52063666:-1 gene:Sspon.05G0014130-2B transcript:Sspon.05G0014130-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SGEQSPIQVSSGSRLQLTSGGTLSLLDPTNTEVWTPQSVGAAAYASMLDSGNFVLAAADGSIQWGTFNDPADTILPTQVLTAPKMLRSRIIATDYSNGRFLLDLQDTGVALYFVAVPSGNQYVSYWSTVGNTTKLAFDPMGRVYIALDNGTQINITSEAVGSVADSYHRATLDPDGVFRQYVYPKKVSHLRSQAWSMVSMQPSNICGALVTDVGSGTCGFNSYCLMDGTNNQTTCMCPEQYSFFDEERTYKGCKPDFQPQSCDLDQEAAMMQFQFKTMYHVNWPLSDYEKYNPISEDQCRQLCLIDCFCAVAVYNDQDSACYKKKLPLSNGNMAGDVHATVLVKVPKNSNAQTYLMESSKWKKDKKYWILGSSLLLGISVLVILVLISVLLFCTNYTVTRKMVPSLKSSSNLGLPQKAFTYAELEKATRGFQEVLGTGASGIVYKGQLEDELGTCIAVKKIDKLEQESEKEFSVEVQAIGQTHHKNLVKLLGFSSEGKERLLVYEFMSNGSLNRFVFGDVNLQWNLRVQLALGVARGLLYLHEECSTQIIHCDIRPQNILLDDKFTAKISDFGLAKLLGTNQTQTNTGIRGTRGYVAPEWFKSIGITAKVDVYSYGVILLELISRRRNVELEAAEDKKILTYWASDCYRCGRVDLLVEGDAEAIFNLKVVE >Sspon.05G0013810-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:42443436:42459425:1 gene:Sspon.05G0013810-2C transcript:Sspon.05G0013810-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LIPPTDQSKQTHASGAAMATWRLRRAGAALAASSALAAALAASSASASDPSAAALDAARQRVARPGAAPPPRDAQRAALAGSTPAEPLDVLVVGGGATGCGVALDAATRGLRVGLVEREDFSSGTSSRSTKLIHGGVRYLEKAVFNLDYGQLKLVFHALKERKQVIENAPHLCHALPCMTPCFNWFEVVYYWFGLKFYDLVAGRRLLHLSRYYSVDESVELFPTLAKNGHDRSLRGTVVYYDGQMNDSRLNVGLACTAAVVGAAVLNYAEVVSLIKDESGQRIIGARIRDTLSGRINMAEDAVNAAVRSGNLKPANGCVTDNLHIVGGYGWDPASFTVLAQNYKRMKKTYGGKVIPGAMDSALSKHLSHAYGTLAEQVATIAQNEGLGKRLAHGYPFLEAEVAYCARYEYCESAVDFVARRCRLAFLDTDAAGRALPRIIEILASEHKWDKARKKVELQKGREFLETFKSSKNAQFRDGKHNGP >Sspon.07G0011120-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:37794003:37798455:-1 gene:Sspon.07G0011120-1A transcript:Sspon.07G0011120-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTTDAAAPVAPVEEESAAPAAAEEEPPKKVDEAVATSVAAPVAPVEDETPAPEAAAEEEPTKKVEAAAAEEEEDKEAPTKVVAGGGEREEEEKEDVRLEGKGEGFGGPEAENGQAEGVGGGYDGGEVKEVEEDDKGVNLGAAEAEKDDGGEEPASEDGEAAASGAAPVPAVESKSENGELGEGDPSLAFHDALEGDEKGELREEQQQEERGAAVEVKVVDKVSDDAEAPVAEEEKLELEVEKGEEVGSGGAVGGELGNEKEVEVSPPGEGDEAAEPEDKVAHVSKANGELGDEKEGSDDVVALGGEEAPEESTNKDADGDDVVALGGEESTKKDADVEDEATKPEPPSEASPVVLNDGSIEELAPASADSVLEDSSEKELNAEDQATASEVVEDVGVDKPTEVENVAAPSADGILSRELAPESSNENNGADEIEGATKVVDREEEAADTDIIEVVPDDEDGVENEADDDDDGANSDTSPARVAILESSEAAKQIMKELAGGSSGSVSRDFTNSMDGQIMLDDSEDDEDDDDNDDGDEKGFDSAALAALLKAATGGSSDGNITVSSQDGSRIFTMDRPAGLGSSAPSLRPTAPRQPARSNLFSPSELAVTADPTEEMTEEEKKLHDKVELIRVKFLRLVYRLGATPEETVAAQVLYRLSLAEGIRHGRQTNRAFSLDNARRKALLLEAEGKEDLNFSCNILVLGKTGVGKSATINSIFGEEKSKTDAFSSATTNVREIVGDVDGVKIRIIDTPGLRPNVMDQGSNRKILAAVKKYTKKCPPDIVLYVDRLDSLSRDLNDLPLLKTITAVLGSSIWFNAIVALTHAASAPPEGLNGAPMTYEVLMAQRSHIIQQSIRQAAGDMRLMNPVALVENHPSCRKNRDGQKVLPNGQSWRHQMLLLCYSSKILSEANSLLKLQDPNPGKLFGFRFRSPPLPFLLSSLVQSRAHPKLSAEQGGNEGDSDIELDDYSDVEQDDDEEEYDQLPPFKPLTKAQLARLTKEQKNAYFDEYDYRVKLLQKKQWKDEIRRLKEMKKRGKTDLDDYGYANIAGENDQDPPPENVSVPLPDMVLPPSFDCDNPTYRYRFLEPTSTVLARPVLDAHGWDHDCGYDGVSVEETLAILSRFPANVAVQVTKDKKEFSIHLDSSIAAKHGENASSLAGFDIQTVGRQLAYILRGETKIKNIKKNKTTGGFSVTFLGDIVATGLKVEDQLSLGKRLSLVASTGAMRAQGDTAYGANLEARLKDKDYPIGQSLSTLGLSLMKWRRDLALGANLQSQFSIGRGSKMAVRLGLNNKLSGQITVRTSTSEQVQIALLGLIPVAASIYRSFRPSEPSFAY >Sspon.02G0033140-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:4781522:4783425:1 gene:Sspon.02G0033140-1B transcript:Sspon.02G0033140-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVGFALQGAHDRAAQCRFFRDHIYNDTNINSTFAASLQANCPQATAAATPRWRRWTRRRPPPSTTPTSATCCRRTGCCLRPAAVQRRQHGQHGQELCVQRVRLQQRLRDGHGEHGEHRPQDRVPGPDQGQLLQGQLLSPYPTMQETETPCAALRVDCGIRLFVRFKMTPSDLQLELLPRMIPSADIHLSRKQHHTTPTEDHHLKAHWFFLRISILLLREVVLNVEHLVDLLRSHALDHVSTRLEGQVQQSLDVQIVGSLKRILSQKVGNNLALDLPETPLLQKTSKASLSGC >Sspon.02G0043160-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2B:89602758:89607332:1 gene:Sspon.02G0043160-1B transcript:Sspon.02G0043160-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHTMKLWERVIEHRLRRVTHMTQNQFGFMPGRSTMKTIFLLRQLMERYREQKKDLHMVFIDLEKAYDKVLRNVMWWVLEKHKVPTKYINLIKDMYRDVVTFVRTCDGDTSDFPIKIGLHQGSALSPYLFALVMNEVARDIQGDIPWCMLFADDVVLVDDSRAGVNRKLELWRHTFELKGFRLSRTKTEYMRCDFSATRHEEGDVSFDGQVVAKKDTFRYLGSMLQKDGDIDEDVRHRISAGWLKWRQASGVLCDKRVPQKLKGKFYRTAIRPAMLYGAECWPTKKRHVQQLSVAEMRMLRWCCGHTRRDRVRNDDIRDRVGVAPIEEKLIQYRLRWFGHVQRRPPEAPVRSGVLKRADNVKSGRGRPKLTWDESVKRDLEE >Sspon.01G0060750-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:82828292:82830097:1 gene:Sspon.01G0060750-1D transcript:Sspon.01G0060750-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVEEANREAVQSCHRVLALLSQPHDPAQVRSIALGTDEACAKFGKVVSLLSNGGVGVGEAGPSDASGSGSHPRAKLVSRRQNPGFLTQKGFLDTNTSVVVLNSAHPSPTSAQVYPRTAVALDAQGVHPLGGPPKLVQPLSAHFQFGNVPSRYQFPNQQQQKLQAEMFKRSNSGINLKFESTSGTGTMSSARSFLSSLSMDGSVASLDGKSSSFHLIGGPAMSDPVNAQQAPRRRCTGRGRMGLASALRKLRVKRSIKVPAISNKIADIPPDEYSWRKYGQKPIKGSPHPRGYYKCSSVRGCPARKHVERCVDDSSMLIVTYEGEHNHTRMPTQSAQV >Sspon.01G0033990-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:115665460:115667861:1 gene:Sspon.01G0033990-1P transcript:Sspon.01G0033990-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKANILLYCHTTEDARKLCDCRGVTTSRIIKLHSNRAACYLKLHDFHKHLPVQKRPFVKDELEKLIAEWPTKVVKRQKKDNRKIIRARNTIGALQAKRGSKEQAEITVKARNFYAKLTIKSSKKLLH >Sspon.07G0002850-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:7075918:7077492:-1 gene:Sspon.07G0002850-1A transcript:Sspon.07G0002850-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATTHAASMSFLLSHPQSRSATPSRHLQLRPAARRVRCCATDAAAPAATTKHRRAADENIREEAARHPAPKQGLSAWYEPFPPAPNGDPNERYSLDEIVYRSSSGGLLDVRHDMEALARFSGAYWRDLFDSRVGRTTWPYGSGVWSKKEFVLPEIDPDHIVSLFEGNSNLFWAERLGRDHLGGMNDLWVKHCGISHTGSFKDLGMTVLVSQVNRLRRAPLSRPIAGVGCASTGDTSAALSAYCAAAGIPAIVFLPANRISLEQLIQPIANGATVLSLDTDFDGCMRLIREVTAELPIYLANSLNSLRLEGQKTAAIEILQQFDWEVPDWVIVPGGNLGNIYAFYKGFEMCRVLGLVDCVPRLVCAQAANANPLYGYYKSGWTEFQPQVARPTFASAIQIGDPVSVDRAVVALKATNGIVEEATEEELMNAMSLADRTGMFACPHTGVALAALFKLRDQRIIGPNDRTVVVSTAHGLKFSQSKIDYHDSKIEDMACKYSNPPVSVKADFGAVMDVLNKRLKGKL >Sspon.01G0037490-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:8682196:8687552:-1 gene:Sspon.01G0037490-1P transcript:Sspon.01G0037490-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDPSSASNKRKRKRGRKPKASPPSPDRSSPSPAAAPAPAGRRGRKPRRNEAPTEADAARPPSPPRRGEPKPVANGGDAVAVAVAEAGPASWDEVVRVVPCMDAVVKVFCVHTEPNFSLPWQRKRQYSSSSSGFIISGRRVLTNAHSVEHYTQVKLKKRGSDTKYLATVLAIGTECDIALLTVNDDEFWEGVSPVEFGTLPALQDAVTVVGYPIGGDTISVTSGVVSRIEILSYVHGSTELLGLQIDAAINSGNSGGPAFNDRGKCVGIAFQSLRHEDAENIGYVIPTPVITHFIEDYKKSGEYTGFPILGVEWQKMENPDLRKAMGMKPDQKGVRVRRVEPTAPESGCLQPSDIILSFDGVDIANDGTVPFRHGERIGFSYLVSQKYTGEKALVKVLRDSKVYEFKIRLATHKRLVAAHVKGRPPSYYIVAGFVFAAVSVPYLRSEYGKDYEYDAPVKLLVKHLHAMAESPDEQLVVVSQVLVSDINIGYEEIVNTQVLAFNGQPVKNLKNLATMVENCKDEFLKFDLEYDQIVVLETKTAKAATQDILTTHCIPSAMSDDLKA >Sspon.03G0026930-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:4229392:4230702:-1 gene:Sspon.03G0026930-1B transcript:Sspon.03G0026930-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGGHVAALSLPPRLAATPPCCGRPVRASSFARPVPGGARRRGRGRLMVVRAGGPPSTNQLILAFVLPLSLFVGTLVTAARVADDLDDRFLREMEINRAILEENEASDEDGAGEEEDDGDIIVYDEGEEEELPPVPVEEKEPAVIVPRTRNRPRRRV >Sspon.03G0037420-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:98215647:98221549:1 gene:Sspon.03G0037420-1B transcript:Sspon.03G0037420-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chlorophyll b reductase, Leaf senescenc [Source: Projected from Oryza sativa (Os01g0227100)] MIRLRSLFIQFTGKVQVQWRWRAHAPPKFMGREHTSKPHTKSKIHSTVGDRRILICSPRHGRRRRRRGRPPSVHGRLRRSLEHRPSLLRCRAFKQEADGGDRDSDPSEPDARKRRKGPLYKLKAAIQGLAGSRSAAAEVYGGEHQYQRAVEKAEEIFFSVATQLGRYAITMMSSGVVLGVGFQLSGGDSQMNELIWYSWLGGVIIGTMIGANSVLEEHCKAGPRNVVITGSTRGLGKALAREFLLSGDHVVITSRSPESVLQTIKELEENIQEGLSVAKKKERKTLSHAKVIGTACDVCKPEDVKKLVNFAINELGSVDIWINNAGTNKGFRPLVTFSDDDITQIVSTNLVGSLLCTREAMNVMQYQEKGGHIFNMDGAGSGGSSTPLTAVYGSTKCGLRQFQGSLLKESRRSKVGVHTASPGMVLTDLLLSGSSIRNKQMFNLICELPETVARTLVPRMRVVKGSGKAINYLTPPRILLALVTAWVRRGRWFDDEGRAVYAAEADRIRNWAESRARFSFTDAMEMYTENTWVSVFSLSVVCAFIILSSSGGPLPGT >Sspon.08G0010040-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:43148112:43149353:-1 gene:Sspon.08G0010040-3C transcript:Sspon.08G0010040-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKFHEIKLPYTTHCAIPAAVLLVTCVVILAFVILPDRKMLLLPSVTDTDGHGGGNLSSCNIFKGEWVPDPGAPRYTTETCPVIHGHYDCMRYGRPDLGFVRWRWRPAGCDLPRLDAARFLRAMRGRSMAFVGDSLARNQMHSLVCLLAHAEQPAAPRINAQASNVFRFERHGFAVSLLWSPFLVRAVETDPDGPTWSGAGLWSLHLDEPDAGWAARAGEFDYVVVSAGSWFFRPSVFHVHGRVVGCNGCVAPNVTDLTLRYSLRMAFRTALREAADAPPGTGRRRSRSRRTVVVRTLSPSHYENGTWNEDGDCARARPLRRGEWEMNAVEKDMYAIQAEEFGAASTASKGARMLLLDATEAMALRPDAHPSKYRLWQPDRFNVSRDCLHWCLPGAMDACNDMLLHMLLHYRN >Sspon.04G0015410-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:63835111:63838093:1 gene:Sspon.04G0015410-3C transcript:Sspon.04G0015410-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAASARRLSAAASSSSSSSSMRASLLAAALNPQRWMHDRNKKAMELVAKGWSALQEVDRVIDYADRNDKRLIPLLRGAKENFELALEIDNDNTHARYWLGKMHFKYHVPGACKAVGAALLVEAANMGDPDAQYELGCRLRIENDYVQSDQQAFHYIEQAVDQLHPGALYLLGAVYLTGDCVKRDIASALWCFHRASEKGHAGAAVAYGSLLLKGAEIPEVITRFNSGKSPSTGKMRKRTLQQDPIKLAKEQFQIAAEAGCDLGLRWLKRLEDYENQEEKLKQIQH >Sspon.02G0022210-3C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:75282403:75286064:1 gene:Sspon.02G0022210-3C transcript:Sspon.02G0022210-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycerol-3-phosphate dehydrogenase [NAD(+)] 2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G40690) UniProtKB/Swiss-Prot;Acc:Q949Q0] MAAHVAAKKADLEVAMLLRDDLVCRSINNTHINCKYLSEHRLPQNIVATTSAADALAGADFCFHAVPVQFSSSFLESISTHVDPKLPFISLSKGLELNTLRTMSKIIPRALGNRRQPFVVLSGPSFAVELMNKLPTGVEIAGALKNVLAIAAGIVEGMSLGNNCMAALVAQGCSEIRWLATKMGAKPTTLSGLSGSGDIMLTCFVNLSRNRTVGLRLGSGEKLDKIMSSMNQVAEGVSTAGAVIALAQKYKVKMPVLTAVARIIDNELTPNKAVMELMNLPQVEEV >Sspon.03G0016790-3D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3D:39946678:39948086:-1 gene:Sspon.03G0016790-3D transcript:Sspon.03G0016790-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSGILSNVLRAWGMAAHFAYISSSLLLTRRSPRKGERRKKSCTFLSSSISFGLEQGVYLFIYTPVGEVASVGSNRLHVGLRCILGGGVGILQKLVEYGESGRLGGQGCGQLAPPGGLWLPRSVWCLLVSSTPF >Sspon.02G0006380-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2A:18899815:18901191:1 gene:Sspon.02G0006380-1A transcript:Sspon.02G0006380-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVKRSLLLCLLLLLPSFAVPVHGQPSRGIRLELTHVDARGDLTGPDRVRRAADRSHRRVNGLLAAVSPPTASTSTLRSDGGGGGAATTAAASVHASTATYLVDLAIGTPPLALSAVLDTGSDLIWTQCDAPCRRCFPQPAPLYAPARSATYANVSCGSRLCEALPSLRPSSRCSASASAQVRGCAYYYSYGDGSSTDGVLATEAFTFGAGTTVHGLAFGCGTDNLGGTDNSSGLVGMGRGPLSLVSQLGVTRFSYCFTPFNDTTTSSPLFLGSSASLSPAAKSTPFVPNPTGPRRSSYYYLSLEGITVGDTLLPIDPAVFRLTASGRGGLIIDSGTTFTALEERAFVVLARAVAARVALPLARGAHLGLSVCFAAPEGRGPEAVAVPRLVLHFDGADMELPRSSAVVEDSVAGVACLGMVSARGMSVLGSMQQQNMHVLYDVGRGVLSFEPTDCSEL >Sspon.03G0011980-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:42827821:42832678:-1 gene:Sspon.03G0011980-1P transcript:Sspon.03G0011980-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCLQTKHARRSPHLLDTREAVALAAETSFTVSEVEALHELFRKISNSIIKDNLIHKEEFHLALFRSKKKQNLFVDRVFDLFDQKRNGVIEFGEFVRSLSVFHPDTPEEQKVAFAFKLYDLRQTGFIERHELKEMVLALLDESDLDIASDAVEMIVDRTFDQADTKGDGKIDQEEWTAYAKDNPYVLRNMTLPYLKPLNRRRFPKQEKIYFRLALCTFSG >Sspon.03G0016840-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:40282374:40287716:1 gene:Sspon.03G0016840-4D transcript:Sspon.03G0016840-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGRAVSSTVWGIVADKHGRKPVIVLTLVAIVIFNTLFGLSSNYWMALITRCLLGVMCGYLGPIKAYATEVCRKEYNHLALAVVSSSRGIGLIIGPAIGGYLAQDVAYAETFSLWAVSDRSYGGLSFTTTDVGNVLAMSGLFLFLYQMLIYPLLAKTVDHITLVRAVAVTTITVFNILMNEAVIFMGPEASNSFISSRLRKMVSLQTI >Sspon.05G0028600-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:69588267:69589863:1 gene:Sspon.05G0028600-1B transcript:Sspon.05G0028600-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKPSTLLRSFSSRLSTRLAPSPAVAPWPPVRSAYDRWLATELDELRADPLAPCTNAAWLGRALSLAVAAQRRLVASGTASGGAASASIDRRTIDECVDDTAELLDACARLRDRLDTLRGYVAATRTALHWLEGGLGHGGEGVAAAPRAAAAFAECEAVERRCGAELAKCGSNLRRLGEKALLRARAGSIWALGRRTRRTSSATALLRASRQQQVTTGAHCWFDEEAVSGARALAVLAVGALGTALAFRPRRSVSGLASSGKAAAQWECALQDVQRHVREEYDRRRKEGVPCMAELDAAAAASRAVRCAVASGRGRCPETIVAEARRRCDELEDTVAAFEEKVGELHRELIAVRMLLLEWAQIAGGHEVLRFA >Sspon.03G0027090-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:4494944:4497103:1 gene:Sspon.03G0027090-1B transcript:Sspon.03G0027090-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATTSAARSLLLCLLVAFLHRLQFHIPPVAAATSTSHNKTESRQDGRGKTLSFTLYQHETINKTGYIVVDGVAGAGVSQTTTPFGTIYVFRDDLTVRADRASPVAGVAEGSSITTSLDGLQSLSLAKITVHHRGHRGSVSVLGGTYNTKPSDYPVLGGTGDFAYALGYVRSSPVDLRGRTVTYKMELHLYWPPYAHYAPIEIFTVYQHDRLNETGYVVVTGDEGAPSSDTTRPFGSIYVFRDDLTLHNDSDSPVAGVMEGTATTTSFDGLHNLLAAKISLHHRGYRGSVSVLGGSHNTKPSVYPVVGGTGDFLYTEGYVQSSPVDSDGPRVMYRLEIHLYWPPYTKFAPVP >Sspon.06G0024920-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:59295680:59299711:-1 gene:Sspon.06G0024920-2C transcript:Sspon.06G0024920-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGDMAAAADSGMVMPFYPFQDQGGGLTTTTTTDPHHHMACFMGSSNSHSGPDPNPTLMPRPPPPPPAPASTSTATLLLPPPVPNPSSLPSPPKYKFVTGSPADWSADEIATLNQGLIRYAHEPSIMKYIKIAAMLPTKTIRDVALRCVWTPGKESSRRKPDGYHAGRNMTYSKLLEENNQLLSQIAANIRTLKTEENVDLFLRTNNNIRAISERMRETLGIMDQMPSLPVHELAHPSLEGWKKIE >Sspon.03G0014840-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:50271825:50274041:-1 gene:Sspon.03G0014840-1P transcript:Sspon.03G0014840-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding TMCPPPPRAGPAVNLFGLYYGDDWGGLYESITGYGLGGSSVALFGRVGGGIYTKAADVGADLVGKVERNIPEDDPRNTQVIADNVGDNVGDIAGMGSDLFGSYAESSCAALFVASISSFGAEHNLTAMMYPLLISAVGLLVCAITTVVATDVTEVKESDEVGPALKRQILISTVLMTAGVAAVTFLALPPSFTLFDFGNDKHVENRHLFICVSAGLWAGLVIGYVTEYFTSNAYGQAVARSCRTGAATNVIFGLAVGYKSVIVPILAIAAAIYASFRLAAMYGIALAALGMLSTIATGLAIDAYGPISDNAGGIAEMAGMPRRVRERTDALDAAGNTTAAIGKGFAIGSAALVSLALFGAYVSRAGITAVDVLSPRVFVGLLVGAMLPYWFSAMTMRSVGSAALRMVEEVRRQFDTIPGLAEGLAVPDYATCVRISTDASLKKMMAPGALVMLSPLVAGTLFGVETLAGLLAGALVSGVQVAISASNSGGAWDNAKKYIQAGMSEEARSLGPKGSEAHKAAVIGDTIGDPLKDTSGPSLNILIKLMAVESLVFAPFFAAHGGIIFDHL >Sspon.05G0009790-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:23086745:23090404:-1 gene:Sspon.05G0009790-2B transcript:Sspon.05G0009790-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAELSREENVYMAKLAEQAERYEEMVEFMEKVAKTVDSEELTVEERNLLSVAYKNVIGARRASWRIISSIEQKEEGRGNEDRVTLIKDYRGKIETELTKICDGILKLLESHLVPSSTAPESKVFYLKMKGDYYRYLAEFKTGAERKDAAENTMVAYKAAQDIALAELAPTHPIRLGLALNFSVFYYEILNSPDRACSLAKQAFDEAISELDTLSEESYKDSTLIMQLLRDNLTLWTSDISEDPAEEIREAPKRDSSEGQ >Sspon.01G0040260-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:35783927:35785165:1 gene:Sspon.01G0040260-3D transcript:Sspon.01G0040260-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNHHKLAPTPSSSSKNNNKAEQPHLSGAYIRSLVKQLSSSSSAARSKDHRTMGTNKPHSQPPQDDLLLQQHAQTAPPQQQQPHKKQVRRRLHTSRPYQERLLNMAEARREIVTALKIHRASMRQAKEQQQQQQQQQLMHLQLQRQQEVHHLVQEPSQAATGASSAPSYASYYSDYLYNSPFSHFTAPTPSSYSSSPLMITYDAPVAPPMVNSEHNLDDHLVPLPAQPLGLNLSFQGFNSSSVVGDDTKNSTCSFDPPLLQPSPASSYSVYSSPSVTMASHDMSAVTMENTSLAADPSLHRVLDDEEMAAIYSIGQQHDIEWSDTMNLVTSAWWSKLLESIEDKGNGTVVDQEAGGAANVTVDPSLVDMPDDWFSDSHGHVVATQEINSDFPGIHLNDYYHQDEDVSLALPR >Sspon.03G0029820-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:18371727:18372079:1 gene:Sspon.03G0029820-1B transcript:Sspon.03G0029820-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGRKPAVCRALLVLLIVASSTAVSTAHAESCWKDDDHHPICFTSDCKETCLDHGHVDGRCSWSWRPYCQCLLADCH >Sspon.03G0002030-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:20006624:20009665:1 gene:Sspon.03G0002030-3C transcript:Sspon.03G0002030-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRSRRALLRRTAAALEQSAVAEAAANGRKRRLYGFSASLVVASWVAVLLLHFLVGHGDGQRDGGGSAVDLTVVEPTMNVGSINPVVQEEHGENLAVPGDTCVNSVENAVLSEDTLVQADQLCSNDEVRSENTEALTKDSQVELSGDQGGYLPQSDVDSGVQPGEKVESEDLPRPPRLSRVAPPDLDEFKTRAIAERRPGVSNQPGHVIHRREPSGKLYNYAAASKGAKVLDFNKEAKGASNILEKDKDKYLRNPCSAEEKFVIIELSEETLVDTIAIANFEHYSSNPKEFELQSSLTYPTENWETLGKFTAANAKLAQNFTFLEPKWARYLKLNLVSHYGSEFYCTLSMLEVYGMDAVEKMLENLIPVENKKTEPDDKTKEPIEQIPLNEPAGGKQESSQEPLDEDEFEIEDDKSNSDPSKNGANDPVSETRALQAGRIPGDTVLKVLMQKVQSLDVSFSVLEKYLVELNSRYGQIFKDFDADIDSKDVLLEKIKSELKNLEISKDSIMNEIEGILSWKLVASSQLNQLVLDNALLRSEFETFQQKQTDMENRSFAVIFLSFVFACLALAKLSIGIMSKFCRFYDFEKFHNVRSGWVVLLLSSCIVSTILIIQ >Sspon.02G0009230-3P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:10223232:10226898:1 gene:Sspon.02G0009230-3P transcript:Sspon.02G0009230-3P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGSSRRPVAVVMAWVRRQPPKVKAFLAVVAGMAALVFIRFIVHDHDNLFVAAEAAHALGIAVLIYKLTKEKTCAGLSLKSQDLTALFLAVRLYCSFVMEYDIHTILDTATLAATLFVIYMIRFKLRSTYMLDKDNFALYYVVLPCAVLALLVHPSTSHNIVNRICWAFCVYLEAVSVLPQLRLMQNTKIVEPFTAHYVFALGVARFLSCAHWVLQVLDTRGRLLTALGYGLWPSMVLLSEIVQTFILADFCYYYVKRYIWSSISYHSRESID >Sspon.02G0040190-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2B:66266747:66266953:-1 gene:Sspon.02G0040190-1B transcript:Sspon.02G0040190-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSTCGPHYLGGSATPCLPSAFLSTAVACSPREAAPPNCCYRLHRMCPIARGRIAVRPLPSSFSTTTV >Sspon.02G0023510-3D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2D:73896612:73897175:-1 gene:Sspon.02G0023510-3D transcript:Sspon.02G0023510-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTVMTSTSSLAFLAPGACASAGRFPTAHLPAAAGRVVAPRRRALLVRAAQQTEDAAADTPKPAAAASKKTPGLWDALAFSGPAPERINGRLAMVGFVSALAVEATRGDGLLAQAGNGAGLAWFAYTAVVLSAASLAPMLQGESAESRSGGLMTADAELWNGRLAMLGLVALAVTEYLTGAPFVNV >Sspon.06G0029320-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6C:20020014:20020406:1 gene:Sspon.06G0029320-1C transcript:Sspon.06G0029320-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQILPALHISICSLTLTTILLPLPSSEANRQSAAAASPLPLCSSFFSACRAALVPLSLCQRQTLLPSQHTPESANLGLACRGQRISAACATDGGPATRAEAQRQAGSEREKGTASYLGMLSRSWRGVRT >Sspon.08G0009950-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:37361964:37365222:-1 gene:Sspon.08G0009950-2B transcript:Sspon.08G0009950-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQDIKSHRAGAEVITGDAACRKKSVELLEELGLPKGLLPMEDIQEFGYNRETGFMWLIQGKKKVEHTFKKIKQTVSYASEVTAFAEKGKLRKITGVKTKELMLWLSVVEVYVPEALLDKVTFKTGTGLPSRATALVQMSSPEMPRAGRSLLEDLGLPKGLLPMEDIQEFGYNGETGFMWLVQGKKKVEHTFKKIKQTVSYASEVTAFAEKSKLRKITGVKTKELMLWLSVVEVYVPEASPDKVTFKTGTGLSDTFDATAFAFGQ >Sspon.02G0035390-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:18847390:18855032:-1 gene:Sspon.02G0035390-1B transcript:Sspon.02G0035390-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLISFGFGAQHICAAGYRHESLRTLSANGIVDDYIAGVKSVTQAHREHEEDGEHEQHEEHEDMEEVEQGQGPEPEQELNAKVLYDVSGGMSTHGRFAIGNGAVRAADVRAAGKEKNVSQSNSVSMQMLAQQNAQLRRENTRLRREKDSADSALQQSKVALDLAV >Sspon.04G0007470-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:20946941:20948749:-1 gene:Sspon.04G0007470-2C transcript:Sspon.04G0007470-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQTNRKPTIMRHIPLLLFLTLHRLRLAAAAVDQFTFDGFAGKNVTLDGTAAVTPNGLLMLTNGTTLLKGHAFYPSPLRFRHDAASGGGAVRSFSAAYVFGIVSEYADLSSPGLAFVVAKSTDFSTALQSQYMGLANAADNGNATNHFLAVELDTIVNAEFGDMSNNHVGVNVNGLVSVVADNAGYYEDGSGAFRNMSLLNRTAAQVWVDFDARTSLVNVTMAPLELPKPRKPLLSTAVNLSAIVDGSEAYVGFSSSTGVVASRHYVLAWSFRMDGPAPPLNISKLPALPVTIPKPDPSKVLKIVLPIASAAFVSALAVIVLLIRRRRHKYAELTEEWEVMFGPHRFSYKDLFRATKEVSCGRRPVVQDEHGDHRLLLDWVFQHWRQGTITDAVDPRLQGDLAVEDASL >Sspon.08G0025450-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:9743248:9749376:-1 gene:Sspon.08G0025450-1C transcript:Sspon.08G0025450-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVRHTFRVICALVQLMVRDPELLKKGDSILNAQDNGPIRDSSMVGNGCRAQTGCHQAMGDWAVAMEDNLDACKMQKKVSEPTGGLRAAGSNCLEAAKTLRFLLLNATKVPTRA >Sspon.04G0004170-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:12824069:12826053:1 gene:Sspon.04G0004170-2C transcript:Sspon.04G0004170-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MREQQGSGDVAGRRGGVIRSLLGGVERRLGEGDADAAEGAVVGVSSGKQPAAADSGGEERKAVVRVVAADMPPALQRRAFRCARDELAAMPHFPRRLEPKRLALALKKEFDTAYGPAWHCIVGTSFGSYVTHARGGFLYFSVDKVYILLFRTAVEPSPH >Sspon.01G0046040-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:20967569:20973319:1 gene:Sspon.01G0046040-3D transcript:Sspon.01G0046040-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSGADVGGGGSSNGSGVVEIDEDLHSRQLAVYGRETMRQLFASNVLISGLNGLGAEIAKNLALAGVKSVTLHDIGNVEMWDLSGNFFLSEDDIGKNKAVACVAKLQELNSAVLIYTLTEELTTEHLSKFQVVVFTDISLDKAFEFDDYCRNHQPPISFIKTEVRGLFGSVFCDFGPEFTVLDVDGEDPRTGIIASITISTDNHTIVSCVDDERLDFQDGDLVVFSEVQGMTELNDGKPRTVMCAGPFSFCIEDTSKFGTYTKGGIVTQVKEQKILKFKSLRDSIREPGDFPLCDFSKFTRPPLLHFAFIALDKFRKEFGRFPGVACRLDARRFLEFTASINEATIDYKIEGELDQNLLRLFASGSKAVLNPMATMFGGIVGQEVVKACSGKFHPLYQFFYFDSVESLPTHQLDPKDLKPLNSRYDAQISVFGSKLQKKLRDANVFVVGSGALGCEFLKNLALMGVSCSRKGKITITDDDVIEKSNLSRQFLFRDWNIGQPKSTVAATAASAINSCLHIDALQNRACLETEHVFHDAFWEGLDVVINALDNVNARMYMDMRCLYFQKPLLESGTLGTKCNTQVVIPHLTENYGASRDPPEKQAPMCTVHSFPHNIDHCLTWARSEFEGLLEKTPKEVNSFLSNPAQYAASMKKAGDAQARELLERVCECLEKECCETFDDCITWARLKFEDYFSNRVKQLTFTFPEDAATSVGAPFWSAPKRFPHPLEFSAADSSHIHFIMSASVLRAVSFGISIPDWAKDTDNLADAVSKVAVPEFKPKSGVKIETDEKTKNISSASVDDAAVIEDLLTKLEACAKKLPPKFQMKPIQFEKDDDTNFHMDLIAGLANMRARNYGIPEVDKLKAKIIAGRIIPAIATSTAMATGLVCLELYKVLARGHPIEDYHNTFANLALPMLTISEPLPPTVIKHRDMRWTVWDRWSIKGDITVAELLKWLSDKGLSAYSVSCGTSLLYNTMFPRHKDRLSRKIADVAKEVAKVDTPEYRKHLDVVVACEDDNGNDVDIPLISIYFR >Sspon.07G0013450-2P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7B:54072849:54073796:1 gene:Sspon.07G0013450-2P transcript:Sspon.07G0013450-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPNFNGVWSTAEIDMVKSFIASHNTNNTYTNDINKMHNDIVDELQARFPSKERNQVIQLYVHLVVEMNTMQSNNQQVLVSNALVNDNFGAPTEDTYMDNMDMFHGYILDDVEAMKMVEEPPHKLNIVPKKKRQDPVPWTHEEHKNFLHGLEVYGRGNWKNISRYFVPTRTPIQICSHAQKYFHRKECTTRKQRFSINDVGLYDTEPWVQKNSSSSKSLAFGRSAYNTNYYDIEGQQAVLNKLTHASQ >Sspon.01G0056870-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1C:93781936:93782520:-1 gene:Sspon.01G0056870-1C transcript:Sspon.01G0056870-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHFSLLGSIIWFKILAEYKDLHICLPNFGSKQRHATVPASCKHSGSTSSPAETELRQVGGQPGSLRLILSSTPRPATRAARAHTRPRLERQRPTAILPPPRASNTTSIAWWNLPTAPAGKHARTPRPRNRSSWPGCLPSASFPASGGGSATCPGDPAELPAEHPIGQCRRRDEETMRAVSSSALQTESSIVHAL >Sspon.01G0052470-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:22526363:22528623:-1 gene:Sspon.01G0052470-1C transcript:Sspon.01G0052470-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LVTTAANHQSNKPASQPLPVNRSDGEVRSREDVLRLCSSFALLLPSPRALAEERFYEFVVQETLVKRLCKSQKIVTVNGQFPGPTIEVYSGDTLVIKAVNLAQYNVTLHWHGLRQLGTAEWWRRDPIAVLRQSMITGAPPNVSDAFLINGQPGDLLPCSSQETSIIPVVAGETSLLRIINAAMNTELFVSLAGHRMTVVAADAMYTKPFETDVVLLGPGQTTDGVPFDNTTATAIFQYKNAPGCPTTSGAGAFSGPVGRSTRSSGHLGRAGPQPMLPFLPAFNDTNTATAFSNSLRSPEPVKVPGPVTQEVFTTVGFGLFNCRPGPFCQGPNNTRFGASMNNVSFQLPNTVSLLQAHYHHIPGVFTDDFPAFPPVFFDFTSQNVPRALWQPVKGTKLYRVKYGAVVQIVFQDTGIFAPTSTHAHPR >Sspon.07G0022100-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:6759209:6760111:-1 gene:Sspon.07G0022100-2D transcript:Sspon.07G0022100-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCYSAPIDTHNAGAEEAALPRRMPSAPEASSSGLGLQRMRGYVTLSLRTPDQEPAAVSLSLSLNNPPPPEEDPATAAAAVQERVQPRGGEKAMSEAYRAIADMAARLGIAAGARDRAVEVFLRMEERKGKAHHYYTKGAGRSGDALYAACLYVACRRAGAPRTFKELAAVTRDGPAARKDIGKLIALIRKRLGDEAGGEAMMDIGVVRAADYVERFGSLLGMGEDEVRAVEEAARRMQDQLDVRRNPDSTAAAIIYMAMERRAGTGRSIRDVSAATGVAENTIKQAYRELYPHADMLFR >Sspon.02G0050470-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:61990296:61992852:-1 gene:Sspon.02G0050470-1C transcript:Sspon.02G0050470-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LGKMTREEIEKELISTGLSSEAVEGIIDVLSLKSLSKLEEVLGSGVEAVADLKKLFSFAEQYGYADWICFDASVVRGLAYYTGIVFEAFDREGKLRAICGGGRYDKLLSTFGSEDIPACGFGFGDAVIVELLKEKDLLPDLSRQVDDIVFPLDEELEGPASSIASSLRKKGRSVDLVEDKRLKWVFKHAERINASRLILVGNSEWEQGMVRVKILSTREEFKVKAGELE >Sspon.03G0002340-3P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:15411701:15412811:-1 gene:Sspon.03G0002340-3P transcript:Sspon.03G0002340-3P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMEISVCAGGFLSSRSFSPSRKSSSGLENALEKDCTRNNSRRGTRDAYLIPVPHLHSRVLYNAAEKPPFFLPSWTGMFVLNMFIVVWVLVVGFGLGGWASMVNFIRQIDTFGLFAKCYQCPKPPVPAAAQSPAPLPHH >Sspon.07G0001650-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:3841646:3842766:-1 gene:Sspon.07G0001650-1A transcript:Sspon.07G0001650-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MENWQGLSLNLQNKVHIVKAGLLKKLPQLTDDKDLSRSQELALLVLSISSLANTDFPISTTELLPFLVATLSDADVPPDTMLPCLAALRNLSTKLEHARDVVSSGAVRALLSLSLSLDRKTSEAALCILGELAAASAAARKAMEEEGEGEAAPRAVLEAMTRHESARCQEHATYLAMVLAHGSRALRRRMRQLGVVQALLEVSLLGSPLAQRRAAKILQLFKEEGPQQSRVRAHSGPRVEGDGGTSCPDDDVAGEDGREAKDCCRDTTVGKIVRQSLDRNMKCILRRATASVDLTNL >Sspon.06G0020460-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:9680996:9685734:1 gene:Sspon.06G0020460-1B transcript:Sspon.06G0020460-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAGEEMAAEAKEERIMVSVRLRPLNGREAGDSSDWECISPTTIMFRSTVPERAMFPTAYTYDRVFGPSCSTRQVYEEGAKEVALSVVSGINSSIFAYGQTSSGKTYTMTGITEYSMLDIYDYIDKHPEREFILKFSAIEIYNEAVRDLLSHDPTPLRLLDDPETIESSARQFLGRGNSSTLVACVNFVDLAGSERASQTASAGMRLKEGSHINRSLLTLGKVVRLLSKGRNGHIPYRDSKLTRILQSSLGGNARTAIICTMSPAHTHMEQSRNTLLFATCAKEVVTNAQVNVVMSDKALVKHLQRELARLENELKFPGSTVCTTHTEALREKDAQIKKLEKQLKELMEERDTVQSQLNCLLKGDGDDHGNEHTAKRWIPLHEMYRKRRFQLRMLMGLLINQDYASFNGSYVYSSDHNDSAFLSETRELPRQTWDQKVISPWHPPSNHGSDGIEPYHIKESPSRTTSEVSEEHCREVQCIEIHEHVRSRSQEFNQLLPEDTKSQTPDVEVISKDAVPQPDEQQGLKSVTKKIEDHVRSYSSKDEQQAENIRKIEEDSVKTRLDKVRRSLYDDNGDCQNEDFKTSPLIPEKNDYQNEDCLLDCSTQADRDEKTSTKTVRDVGVDSALSPFESPSHPTVDFEKKQQQIIELWHECNGDPADNIYMEVEHRRLSFIMSSFRAQPAAEGELNPAIASSLKNLRRERDMLYKQMLKKLSNGEKESIYGKWGIDLSTKQRRLQLSRLIWTQTDMEHVRESTSLVARLIDLEPGQALKEMFGMNFTLVPRADRTSFGLFHRSG >Sspon.07G0006800-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:21333548:21336160:-1 gene:Sspon.07G0006800-1P transcript:Sspon.07G0006800-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIQVAAVVTPPPCSSPSSSSSSSSSSSPASPSSSAIATSPRHAVLGVRLARSQSSLAAWNAGLIGGRCGGQHAIRRALSASIDSVGSDGGDDEEFLRRIQELAAGQHPGAGGGCGWPASVERSASSVGLPLSLRMLKRRKQQQQQLEQGRCAGESARAAVGRAFSSMVLIIRELQSFTLQMREALFYEDLQGVLARVHSEMHASFVWLFQHIFSGTPALMVSLMLLLANFTVYSMGDSVAAAAILPPPHAAVGAVEMVDTQQPEQSSHFQQRFDTPALKTFSTGRTASVGGNGDGGGKVRPIAGATGDGQSDESSYRQSGAVLPQDVSQSQVTPLGAGAGSEASVSDSMPVEEAQETVQDELVIWKRISDEATRMQASVRAEELMDPEILEQLVAPVEAPKTDVATAQRYEQPVSEEPNSSLLLANFAQFLYQVQGDLDRAEHFFKRAVRAEPADAEALGRYAAFLWQARNDLAAAEETYQEAIAADPGNAHHAAAYAHFLWNTGGEDTCYPLD >Sspon.08G0024590-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:64641759:64642220:1 gene:Sspon.08G0024590-1B transcript:Sspon.08G0024590-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding NRHGLPLLCHHHRPFLRRAGRPRRGQEVLHRARLSRQGRRHRRRGRGRQRPACRRRHGPGGAAGRKRRRARLRAQRVHRQGRRHHHLQEQRRVPAQRRLRRGRGAQRRRRHQDLAGGVPQRARRDLLRHPHRAGHLQLLLRAAPRGRNGRQGHR >Sspon.05G0012640-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:39436406:39445220:1 gene:Sspon.05G0012640-1A transcript:Sspon.05G0012640-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCARGKTGIRITCTDSSITEPLWIPSVAQEMEADRLCQYGRAGILQLITFSWMNPIIATGYRKPLDKNDVPDLDGKDSAKFLSVSFTKIIDDVELRHGLSTSSIYKAMFLFVRRKAMVNAGLAVLSASASYVGPSLINDFIKFLAGDRPYGHVRGYLIALAILSAKVVEAVADSQWWFGAQQLGMRLRAALVSHVYQKGLQLSFSSRQKHTSGEIINYMDVDIQRISDFLWYTNYIWMLPIQLFLAVYVLYRNLGAGAWAGLAATMAVMACNIPLTRMQKRLQAKIMAAKDERMKATTEILKSMKILKLQAWDMQYLQKIEALRNDEYRWLWRSARLSALTSLVFWGAPAFISCATFGSCILMEIPLTTGSVLSALATFRMLQDPIFTLPDLLSVFAQGKVSADRVAKYLQEEELKCDAVTQVPRSDTCYDVEIDQGTFSWELGTTCPTLTDVELSVKRGMKVAICGMVGSGKSNFDLLPNGDLTEIGERGINMSGGQKQRIQIARSMYGDADIYLFDDPFSAVDAHTGSKIFKDCVMGILRDKTVLYVTHQVEFLPAADLILVMQGRKIVQRGKFDELLQRNKGFKSLVGAHSQALESVMNADNSSGTLSDNQKLADIEDEFNTEKETDDQLQGITKQGLVQNVSHDISDKGRLTQDEEREKGSIGTKVYWTYLRAIYGALSMGSALCIFARTIVTSLIGLLTSEKFFKNMTCCILRAPMSFFDSTRAPMSFFDSTPTGRILNRASSDQSVLDLSIANKLSWSLLSVIQILGTIGVMSQVAWPVFAILVPVTALCFLYQHYQIPAARELARLYKIQRAPILHHFAESLSGASSIRAYGQKDRFRKENLGLLDNHSRPWFHNLASMQWLSLRLTMLSNLVFAVCLTLLVSLPEVTYALNLNDQLMSMIWNISRIENKMISVERILQYSRIPSEAPLIVDYYRPPNSWPQDGTINIRCLEVRYAEHLPSILRNISCIIPGRKKVGIVGRTGSGKSTFIQALFRIVEPREGTIKIDDVDICKIGLHDLRGRLSIIPQDPTMFEGTVRRNLDPLNEYSDQRVWEVLDQCQLGDIVRQSPKKLYSTVFENGENWSMGQRQLFCLGRVLLKRSNVLVLDEATASVDSSTDAIIQETIRREFGNCTVLTVAHRIHTVVDSDLILVFSEGRIVEYDTPSKLLNNKNSEFSRLVKEYSRRSHRFSGRANNWMAMSS >Sspon.02G0045780-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:87947984:87956075:1 gene:Sspon.02G0045780-3D transcript:Sspon.02G0045780-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDQDSVDDWVVLASSSSSSDDDRVIALSSGCTTPPPPPPPPTRSPTTPPAPPPPSSSSPTPPPRRPDDPEGMYALSDAEDTHATPPPSPPLPKPLSGLFHHTLAGGVAYAAFDPLPPAPAHDHYVGKQLVPDPTFAAFFPVPRPPRRSSPRSSLLRARSSPTPSEDSSYAAATNTTATTTTTSSSGSGTAGGNSTLSPSSDAPRPPPTPPPGRPTTTRSTSPTCSAPRRTLLLTWGSASTTSPDSCRRHKDRMPPPRHDSTSNRVRRSRMAAAAEEEEKTNGSNLPSPPRALAVPNNSAAARAPPLSPATRMKRRRRRQMKEEEEQVVNAISMFGGSRSANGRGRKNRHAEEDDLEAETGRSSKLMMPEQEETGANELYNEIMNCTYESFMKRMEDLRITMDSETEKSARKVSRKGTRGKQSLVNEVVDLRTMLIHCAQSVATGDRQSATEVLKQIKQHSSPRGDATQRLAHCFAMGLEARLAGTGSQAYQSLMAQHTSVVDFLKAYRLYVAACCFMKVNFIFSHMTVGDAVAGRSKLHIVEYGVQHGFHYPGLFHLLARREGGPPEVRVTAIAVPQPGFRPAHQIEETGHRLSNFAREMGVPFKFRGIAAKWEAVRAKDLNIDPDEVLVVNSECYIGNLMDESVLLDSPSPRDTVLNNIREMRPNVFIHTIVNGTYGTLLFLGTIRHDRCDHPRDNAERLLIERNIFGSFALNVISCEGADRVERPETYKQWQVRNHRAGLRQLPLNPEVVKAARDKVKNYYHRDFLVDEDNRWLLLGWKGRVLYAISTWIRVVAFKFSNMTICKAIAGRKRVHIVDYGIHYGSQWPGLLKCLSICPGGPPEMRITGIDFPQPGFRPAAWVQETGRRLSSYACQVGVPFKYRGIAAKWETVGVDDLDIDPDEVLIVNSILHFGNLMDEGINTSSPSPRDVVLSNIRKMQPDVFILFITNGTYSSPYFVRRFWEALFHYSAMFDMMDATTPRDSDLRVLVERDLFGQCAQNVIACEGLDRVERPETYKKWQLRNHRTGLRQLTLDTDIVMAVRESIRDKFHEDFVTDVDHQWLLGGWKGRILYAMSTWAAADSIHLQMVQQKEVVRFKITLLQNRVQLKEVWYSNNFVQESMFGAGMSKFRILLCQVTETDLRYLIIYQVSDTADSCQPPCRWRKKLGNFRQKNRRLFASTDERRQKKSPSR >Sspon.03G0000500-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:12065351:12070450:1 gene:Sspon.03G0000500-3C transcript:Sspon.03G0000500-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPISKDAWQKRFFSVAPPAALVFFFVLIFVAGAIVTLDHKQSLSILQLQPKGVIAAVEMRPPATSELRPSATSELRAEPAEEPDICEHKCIPSGSEPLPRGIVQDKSNFEMESLGGNPERKENGNGRQSKSLLAIPVGIKQKAIVDKLVTKFPDTRFTVMLFHYDGEVDGWRDLEWSGRAIHVAVRDQTKWWFAKRFLHPDLVAEYDYVFLWDEDIEVESFDPLRYLRIVRKEGLEISQPALDRRSQIHHRLTARARSGDVHRRYYKTNGHGRCYGNSTGPPCTGWVEMMVPVFSRAAWRCAWHMIQNDLVYGWGMDYKLGYCAQGDRSRNVGVVDSQYVLHRGIPTLGDGGKATVSASASSALGTDRLAVRQRSYTELQVFNRRWKKAVAEDGCWTDPYLNSAATTG >Sspon.02G0043640-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2B:93945279:93945578:-1 gene:Sspon.02G0043640-1B transcript:Sspon.02G0043640-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQCKTIVAALIAVALVTAAAFVPAATTSIAATGTQKEVTDDNTIPASADTNLVPAGGTAYSTEGSSASTFGMQKEETRGNEKPTLGGSVGVGKNAIYG >Sspon.08G0007810-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:26718941:26719285:1 gene:Sspon.08G0007810-1A transcript:Sspon.08G0007810-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAMPTTDAIELTVEVRRAPDTASAEVAHRTTTAIGSSRLNPSASAGTWMRSASLSRPMSAARYDVQDRPRPAAPTTASSRMFPAAMNAAKSPSSTRRYENEPPADGISVASSA >Sspon.04G0012770-5P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4D:55435255:55436000:1 gene:Sspon.04G0012770-5P transcript:Sspon.04G0012770-5P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGEAATSGTPSSRTPTGWLKAHATFYGGADASDTMGGACGYGNLYSQGYGTRTAALSTALFNDGASCGQCYKIACDRNRAEPRFCKPGITVTITATNFCPPNSALPDGGWCNQQRPHFDMAQPAFEKIGVYSGGIIPVMYKRVPCVKRGGVRFTINGHDYFNLVLVTNVAGAGSI >Sspon.02G0032560-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:98735085:98736459:-1 gene:Sspon.02G0032560-3C transcript:Sspon.02G0032560-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATDQSPPERETLPTLPTSHRQFATRSLDPSIMSAAGGGGAPPPPPAACAACKYQRRRCAADCPLAPYFPHDRPRVFRNAHRLFGVSNILKTLDRAGPDPGRRHEAMQCVLYESQAWDLYPSAGCVPIIHALQRRIQQAQHDLRRVHADLQAYRLRADAAEGIAAAARAPFMHGGGDEQQQMMINNAVTSDDGNMPQTLPWTIQQPPQYEHPFFVNATTMLPQFHCQQQPIVVSTQLPELRDDMMNYFADGMNGLLSGRFQV >Sspon.05G0019400-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:67281300:67298488:-1 gene:Sspon.05G0019400-1P transcript:Sspon.05G0019400-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATTALALSSSLATARRSPEKAAAAPLRLRHFSATSSVHLRAARSPALRSHPSPFQGWRRRGRAGGLVVRAEMFGQLTTGLESAWNKLRGVAEPMRDIRRALLEADVSLPVVRRFIESVSEKAVGTNVIRGVRPDQQLVKVVNDELVQLMGGEVSDLVFAKSGPTIILLADVYRPAAIDQLTILGKKVGVPVYSEGTEAKPSQIAKNGLKEAKVNKADVIIVDTAGRLQVDKAMMNELKEVKKAVNPTEVLLVVDAMTGQEAAGLVSSFNDEIGITGAILTKLDGDSRGGAALSVKEVSGKPIKFVGQGERIEDLEPFYPDRMAQRILGMGDVLSFVEKAQEVMRQEDAEELQKKILSAKFNFNDFLKQTQMIAQMGSFSRLIGMIPGMNKVTPAQIREAEKNLKFMESMINVMTLEERERPELLAESRERRKRVAKDSGKTEQQVSQLVAQLFQMRAKMQKMMGAIQGKESPDMDELMESMKAEEQAAAGTGRRRRKYGNLRQRQLDAMRGFRRR >Sspon.06G0013840-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:89617735:89620427:1 gene:Sspon.06G0013840-3C transcript:Sspon.06G0013840-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSVEKTSSGREYKVKDLSQADFGRLEIELAEVEMPGLMACRAEFGPSKPFAGARISGSLHMTIQTAVLIETLTALGAEVRWCSCNIFSTQDHAAAAIARDSAAVFAWKGETLEEYWWCTERCLDWGEAAAPTSSSTTEATPRCSSTRVSRPRRSTRRPADPKKYRKMKERLVGVSEETTTGVKRLYQMQETGALLFPAINVNDSVTKSKFDNLYGCRHSLPDGLMRATDVMIAGKVAVVCGYGDVGKGCAAALKQAGARVIVTEIDPICALQALMEGLQVLTLEDVVSEADIFVTTTGNKDIIMVDHMRKMKNNAIVCNIGHFDNEIDMLGLETYPGVKRITIKPQTDRWVFPETNTGIIVLAEGRLMNLGCATGHPSFVMSCSFTNQVIAQLELWKEKSSGKYEKKVYVLPKHLDEKVAALHLGKLGAKLTKLTKSQADYISVPIEGPYKPAHYRY >Sspon.08G0004740-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8A:13644431:13650191:-1 gene:Sspon.08G0004740-1A transcript:Sspon.08G0004740-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKINASLSSGCILLCR >Sspon.06G0011930-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:64494123:64496942:-1 gene:Sspon.06G0011930-1A transcript:Sspon.06G0011930-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRGQAVGGRGGAAGQPGVRLLRERLRRHPGHRRLCRPDDSLLSRASVAMNAYYQARGRNSWNCFFNGTGLITITDPSGEAEKRREEGQGTNLLGREDEGVAHSLRLLGEVRRGWLRLRRRRRAGLALDLGGFGVVVGLRVGVERVRVAVGEAVGRRVPLQRAEGVDGEHGHLVELVLQLRDDVHGEPRLPPLSLLPPPPCPCCRRGKAGGFIGPGRLHPRLGLQGPDHRVCVGINVFVNI >Sspon.06G0014930-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:81928565:81933557:1 gene:Sspon.06G0014930-1A transcript:Sspon.06G0014930-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARRSRGWGWARGAAAFAAVALAVGAGRRYGWDGASAVAAFREARGALGPWAAPAYVAAHALTLALCPPYAIFFEGGAALIFGFLPGVACVFSAKVLGASLSFWIGRAIFRYFTSAMEWLQRNKYFHVVVKGVERDGWKFVLLARFSPLPSYIINYALSATDVGFFRDFLLPTVIGCLPMILQNVSIVSLAGAAVASTTGSNKSHIYSYLFPAMAIVSSVLISWRIKQYSSALAVPEELQSSPTNGNDNGDAKLASTPSKNTSSGKTRKRRSID >Sspon.02G0004350-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:14498470:14504458:1 gene:Sspon.02G0004350-2B transcript:Sspon.02G0004350-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPTVGAGEDKAMSELREKHRVDLERLTITSRPFKTLAFFVLAIAQSLERTCSSVLKKGSRLKIAMLLVAATWVLLLFTDGLHEKHLQELLWYVRFGLWWIILGVASSIGLGSGLHTFIMYLGPHVALFTIKAVQCGRVDLKSAPYDTILLKRSPSWLEKDCLQFGPPIYHETIPFSKILQEVCLEAVLWGIGTALGELPPYFLSRAASMSGRVIDELEDLDASISEGFLSSTLRQAKRWLMSHSQHLSFTLILLLASVPNPLFDLAGMLCGQFNVPFWKFFLATLIGKAIVKVCIQTTLVITLCNNQLLDLVEKRLTWAFSNVPGVASVLLSLVSKLKMAKNKFLSAHVAASASSSVKGKKWNLSFSLVWNTLVWLMVVNFIIQIVTSTAQGYLRTQQEQEISRKLETELSASEPSSGLPK >Sspon.03G0030140-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3B:19807886:19809649:1 gene:Sspon.03G0030140-1B transcript:Sspon.03G0030140-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding TDTDSPETETRDCIERAVRARRIAPFPKQDAHATRKKTEKGPAGARGLASRAGLTSGPVSPTSLTLRYTCTPQRKERDQHDESGWCHRLLLDFCLASSQGSGFARTPRSEPLSPIRSPAAAAAGANHQSEPLICSAQLSFCLRALRPPHPHPHGTARHGDGEPCVAPTTKPGPAWATAWVLCPDGVGPAPLQTQSLARCLGRVGRTGRARRASNRGEHAGLVGGARGTHRPLPGASEWSLPPAHVALGNGGPSLYCHALAPRNRKRKPLRVLVHPWIHSRPRPAARARQSAPPSRLGQARPLPFAALQIILGRSSSSAQDACASGSGLREIAPFFSGCGRSRSGSAVVPSRPCTSGPMQHARINTQCLYVILRWTRLCTRRLAPVAGACTYGYGGQKITPAVMADSLLVVDGSSTELRTRELEAVWPRVRVTSALLAFTASPRPCICTWQPYRACTVY >Sspon.07G0006430-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:14193731:14195342:1 gene:Sspon.07G0006430-3D transcript:Sspon.07G0006430-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRHGGAVRQGAPRRARGVGCDLEYSRLRRSTGLLKGSGHEAVATRVRALFAGDDRPDLGIGGSEMAPSFLTFCQEQLRPPFTAEHETKAPPFRPVIFHDGRLVCRPTPFMSLVILLWLPLGVLVAFVRIAVGLMVPIWTIPYIAPVFGGAVIIHGRAPPPVRVSDAATDDGGSPSGVLFVCTHRTLMDPVVLATVLGRRVAAVTYSISRLSEILSPIPTVRLTRDRDVDAARMRAELARGDVAVCPEGTTCREPFLLRFSKLFAELSDSIVPVAMNYRVGLFHPTTARGWKAMDPIFFFMNPRPVYEVTFLNQLPAEATCAAGKSPVDVANYVQRILAATLGYECTTLTRKDKYTVLAGNDGSVNAAKPAAAGKPAWQSRVKEVLGFLLH >Sspon.07G0027620-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7B:60941868:60942532:1 gene:Sspon.07G0027620-1B transcript:Sspon.07G0027620-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLKQALQAPTEGGPRRWLLDGEVAARQSMTVAATMRGSKKAWPRLLQREHRAVHGDLWSLGGRGEGGNRRIGREENGAGWDSNLDPALRGAAAKVEGSPPPPEPQRPQPASHPVRRGLLTPRGSAPRRGLACG >Sspon.06G0005730-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:17665669:17666493:1 gene:Sspon.06G0005730-4D transcript:Sspon.06G0005730-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMRVVRNLDLERYAGRWYEIACFPSTFQPKTGTNTRATYTLNPDGTVKVLNETWADGGRRGHIEGTAWRADPASDEAKLKVRFYVPPFLPVIPVTGDYWVLHVDADYQYALVGQPSRKYLWVRANSFLSGLRSAAVRPSLAGILPTDLIVSLHMICARAAPRLTCVFACILCRQPHMDESVYNELVERAKEEGYDVSKLRKTAHPDPPPESEQSPRDGGMWWIKSIFGNQVLGVSGCCRRLCRAGEHTHEMAVTVGVRRCPGFRAAQR >Sspon.07G0016710-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7B:64252045:64252788:1 gene:Sspon.07G0016710-2B transcript:Sspon.07G0016710-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSGSGSGSGGSSSSGSPPCASCKLLRRRCTQECVFAPYFPPEDPHKFAIVHKVFGASNVSKMLQELPAQQRADAVSSLVYEAHARMRDPVYGCVGAISYLQQQVSQLQVQLALAKAEILCVQMQHDESAPAKQQLHHHHHQQQEMECEAYGSLLLQNGLMMNTLNSTGAVHQQQQMLGSFGSAGNTAIMLQE >Sspon.03G0000440-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:1367320:1370170:-1 gene:Sspon.03G0000440-1A transcript:Sspon.03G0000440-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPQEGYLRRIAFFVFEMWFATVFALLILFALASIGRSLDMLEKSYYTEIGDGETDELLPQTQFADLAQLLPKVATDDRTVIITSVNEAFARPNSLLGLFRIGHLLNNILVVAVDAKAFSYCKAVHPHCYLLEVKTMDLSSANNYMTEAYVELVWTKLSLQQRVLELGYNFLFTDVDIVWFRNPFRHISAFADMTTSSDVFSGDANSLDNWPNTGFFYMKATKRTVEMLRRWRAARARFPPNHEQAIFNEIKHELARDLGVRVRFLDTARFGGFCRIFHIDMGAACTMHANCCFGLANKLHDLRDVLRQWKNYTDLTPQEKKSQKFTWKDPAKCGTPDKRDT >Sspon.02G0042790-2D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2D:81099729:81101636:-1 gene:Sspon.02G0042790-2D transcript:Sspon.02G0042790-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GQQFIYSGFADTGIILNGLATVTPNGLLHLTNGSAQLKGQAFHPTPLHFRKGSLNGTVQSFSAAFVFAIRSIAPGVSAHGLTFFVSPTKNMSTAFSNQFLGLLSKKANGNSSNHVFAVELDTVLSSDLLDDDDNHVGIDINDLRSVKSHYAGYYDDMNGNFHNLTLSSFEAMQVWVDYDGGKKQINVTLAPLGIAKPMKPLVSTTYDLSSVLKDESYVGFSASTGILDSHHYVLGWSFGINQQSPPIDVNKLPKLPRIGPKPRSKLLYIVLPIASAILVLGLVTVIFLVIRRKIRYAELREDWEVEFGPHRFAYKDLFHATEGFKNKHLLGTGGFGRVYKGVLRKSESEVAVKRVSHESRQGMREFIAEIVSMGSLRHKNIVQLLGYCRRKGELLLVYDYMPNGSLDKYLHDNTVETLDWAKRFHIIQGVASGLLYLHEDWEQVVIHRDVKASNVLLDNEMNGRLGDFGLARLYDHGTDLQTTHVVGTMGYIAPELTRMGRASTLTDVFAFGVFLLEVTCGRRAIAQQDGQDDAPFMLVDWVLEHWQKGSLLSVVDTRLLNNYNLDEATLALKLGLLCSHPSPSARPNMRQVIQYLDGSAMFSEQTLVEITRNGGQDSGPNYVASPSPPSSTSFGT >Sspon.04G0035430-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4D:10594082:10596100:-1 gene:Sspon.04G0035430-1D transcript:Sspon.04G0035430-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAAAEQIPSPPTYRFRPKKRELVEFYLLPRARGQDPFPGVIFEDDAAGSTLPWDLFERHGVGSEDEAYFIVRTNEAKKPGARQDRACDGGVGTWKKQSSVEKSLRVRGERIRVHVSNLNLHMGKGKNSGSVGWVMHEYNIAVPPCPSLVKICHIAFSGHGRMRMRVPDGQQVRQSSGHARCATAASGSSTLPCSGAMLDHGSSGVAYASGDEEPSHLVLIDDDNFRQSPLLGSSDFQGFPFAASEQYTELEAQVRTTEQQDIAVPQLMVQQSTMQVAQQLSAGELEFWSSIGVDVQSNNGFDQEQSTGVQSSWVVPNNNAMATGAQSGWVAPNTGAMATGVQSSWVVPNNGAMATGAQSSWVVPNTGAMATGVQSSWVVPNTGAMATGVQSSWVAGDLDDFCRSILTNVQTNCAAPDFGNMATGTLGGGCSLLCMPST >Sspon.02G0016190-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2A:44397363:44398046:1 gene:Sspon.02G0016190-1A transcript:Sspon.02G0016190-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding QILVLPPYQGEGHGLRLLEAINYIAQSENIYDVTIEDPSDYLQYVRSSIDCLRLLTFDPIKPALSAIVSSLKETNLSKRTHSLRMVPPAELMETVRQKLKINKKQFLRCWEILIFLSLDSQDHKSMDNFRACIYDRIKGEILGSASGTNGKRLLQMPSSSNEESFAVYWTQESGDADDQTVEQQPEDLKTQEQQLNELVDNQMEEIVGVAKNVNSRGKDKLVELVAQ >Sspon.07G0005340-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:13586517:13589525:1 gene:Sspon.07G0005340-1A transcript:Sspon.07G0005340-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPASPSGSASGHGHDSKPSSRSKTTVVASNGYTATKAAAKAAKLFGTTEINPATFLPLTWMDGTRPLLNGAPLRPVLKPCRTVGSQFDALRRSSCLWELSRAGFGEILQPFCARTARGQRFRGPGSSPALSGAGSGCVPARVGIATAAAVIEPCLAILGAYRLAAWQKGVTFARIHADPVREVDHFDCLPDSLVLLILNKVEDVRSLGRCYAVSKRLCGLVPLVHDVYVKIDRVVAVDGDAEDTLNLSSPKPRNIFSHFLKLMLLTIIKPFHNMRNPNGTGRPLFPQLSQHSPAQVLRNFTHIRNLRIELPSGDVGTEEGVLLKWRAEYGSTLQNCVILGGTRVDRKPVGGEHEPSLEDNGSMPESFYTNGGLKLRVVWTISSLIAASTRHYLLRSIINDHPTLRSLVLTDADGQGTLCMGAEQLKEFRENQLSASACSNRTQVPACNMKLKYAPYLELPGGMALQGATLVAIKPSPEESNGSHLNRKEADGFVFGAFDGPFKAAVKALMKRRTYLLEMNGF >Sspon.05G0026970-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:48276997:48278071:-1 gene:Sspon.05G0026970-1B transcript:Sspon.05G0026970-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LQALIVIGFIDVTAKRVLGQGMSQSDDIIVRKEQDYKSVEDVLHKCISLYKEPHTRRLVKKAVKQDYMMCLRKLIVMVQRIHPIPQMTGLQELLVEAGQIIEELAEESTRKK >Sspon.07G0013430-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:48347850:48353999:1 gene:Sspon.07G0013430-1A transcript:Sspon.07G0013430-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGVRRSARGESSRKAAADRDREVERFTLCAKMSHLTRATSEPCRRARGAAPALRKRPFSAFELVSAREAGRAGGAGFSAADRAYVGGQHIPTKGPWGVDDVDSEAYVSQFSADGSLLIAGFRGSRIRVYDAEKGWKIHKDISCRMVHWTVSDIALSPDQRFLAYASLSPTVHIVNVQSAGKESHANITEIHEGLDLTGGDEDEDFGIFSVKFSKDGKEIVVGNNERSIYVYDLATNKVSARIRAHKADVNAVTFADESGNVLYSGSDDSFCKLETYHLDPRSRPVSLVDWDYRWELFPSEAHNFKHPDDQSVATYRGHSVLRTLIRCYFSPVHRCTYSDHSAFFEHWVSKFHVSGKTVKRLSWHGSIIRDCTWHPYYPTLVSSSWDGYVARWEASGDDDDPSVLVHDEKRATRYFRRYANPFTDPF >Sspon.01G0046920-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:100592861:100596643:-1 gene:Sspon.01G0046920-1B transcript:Sspon.01G0046920-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRSADAMTEPAKPVFLTKAERERLALERRQAAVNDQRRTTLDLLQSLPRPPPPPPAGGPPPSGSNPAPRDSSNSHRDSSDRDRDRDRDRDRDRDRERRRDDDSRRDRDRDRDRDRDRDDSSRRDRDRDRRDRDRDRDRDRERERERERDRDRERGDRGDRERDRLEKMAEREREKELDAIKEQYLGSKKPKKRVIKPSEKFRFSFDWENTEDTSRDMNALYQSPHEARLLFGRGFLAGIDRREQKKAAAAHEKETRAELRRKAGVEDRPEDDVVDKKKAAAAEMYDAFDMRVDRHWSEKALEEMTERDWRIFREDFNISYKGSRIPRPMRKWSESKLGTELLRAIDKAGYEKPSPIQMAAIPLGLQQRDVIGIAETGSGKTAAFVLPMLSYITRLPPISEENEAEGPYAVVMAPTRELAQQIEEETVKFATYLGIKVVSIVGGQSIEEQGFKIRQGCEIVIATPGRLLDCLERRYAVLNQCNYVVLDEADRMIDMGFEPQVVGVLDAMPSSNLKPENEDEELDEKRIYRTTYMFSATMPPAVERLARKYLRNPVVVTIGTAGKATDLITQNVIMVKESEKMPRLQKILTDLGDKTAIVFCNTKKTADMRAKDLDKAGFRVTTLHGGKSQDQREISLDGFRNRRFNVLVATDVAGRGIDIPDVAHVINYEMPSSVDTYTHRIGRTGRAGKKGVATSFLTLENTDIFFDLKQMLIQSNSPVPPELARHEASKFKPGSIPDRPPRRNDTIESIKYIIYLG >Sspon.04G0019430-2D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4D:77264577:77264920:1 gene:Sspon.04G0019430-2D transcript:Sspon.04G0019430-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSSSSDASVALELQESGWEELRREARKLEGDLDVKLSSYARLAARSSSSAAASGAASPTADRSSWKSMEFEIQSLLGKLQDVNDAMSRCAASCATTTSVSQKLARHRDILHEF >Sspon.01G0058290-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:24302573:24304025:1 gene:Sspon.01G0058290-1D transcript:Sspon.01G0058290-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AMQPPQPEPASRRASSTCSTTAPRRRSSGIGCMAGLLRLISPYHRSHHRKRLTAKNASSARDSQARPPTPPSSPPRKKAAAAQQQPSASPAPAPSPVKPMMPQLMTTTAVTIRRRRSCDAPRSPTIAPEYRRSSCDSPRPPPPAIIARLMGLEESAPPSAAAATATPRPVVLPTRPPPPPPAPAAPETAAEKRRKLLGALEKCDEDLKTLRRIIAAVRAAEMRAASASDVCPVAATTTPAAAGKGAKRTFGRDNEQSPSPSPSPPTQQQQLYPSPDSVLDAISSPRFPCRKRPSPCTDLDGNGALAPTVGSKIVKPSRTLVFSGDYCKMKSGGELPLHAVHYPVPLVVGMPRSAGEESWRQHRRRWEQEAAAAGRVISRATAESVGEAIMWVRQQQGQGGAGDDERGRVAAALERAIVQDLVADLVAELQAQSGGHGAGSGCRKRLCF >Sspon.02G0029740-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2C:111945238:111945630:1 gene:Sspon.02G0029740-2C transcript:Sspon.02G0029740-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDCTVGGFHVRRGTMILVNAWAIHRDPKLWEAPEEFRPERFLDAGMVTTVTAPLLPFGLGRRRCPGEGLAMRLVSFTLAALIQCFEWDVGECGAPDMAEGVGLSMSMAKPLAAICRPREFVNSMLSGST >Sspon.01G0009220-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:26295698:26298187:-1 gene:Sspon.01G0009220-1A transcript:Sspon.01G0009220-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hypothetical conserved gene [Source: Projected from Oryza sativa (Os03g0339300)] MVPTTATTSRSMQLCKHVQPRVRPGVQGKQAAGSRQARQAEAELQADRCVAGSPALLRACMAAFRPASRHGTFLVPARLEEQWPAIVLLAVAAAASAQLSATFYDSSCPRALGTIRSAGPPDGGLPAQASLPRLLRSGNSSSSSLPLLMHAQGCDASVLLADTANFTGEQNSFPNRGSLRGFDVVDSIKAQVEAVCPRTVSCADILAVAARDSVVTTDDVYSASFRCLQLGGPPYTVLLGRRDSTTASLSQANSDLPSPGSSLDSLISGFARKGLTTTDMVALSGAYACSTRLPVHDAQCKHGNPPFTCCTHRAHTVGQAQCANFRSRLYGESNLNQSDAAALRVNCPQSGGNGNLAPMDLETPNTFDGAFFRGLLSQRGVLHSDQQLFSGGSTDALVQSYASNAAQFRNDFAAAMVRMGSIGVLTGSQGQIRLSHLLCCYGVHPRLREHRANGPGCGVIVSCQQRDASRSTN >Sspon.06G0014850-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:81770642:81773658:1 gene:Sspon.06G0014850-1A transcript:Sspon.06G0014850-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLGEACGIIIIRKDTILCRIWVLVQLTRRLFLWRRDKMAPPASSLPSRPTPAMVCARQGRLRQRYEGCYRLVSGCIPYMLKDKEDAGEKICQQDMLGRLQVLMISTPKRSDLIFPKGGWEDDESIDEAACREAFEEAGVKGIISATPLGEWIFKSKSKQNSCGLQGACKGFMFGLQVTELLEIWPEQVTHGRRWVPVEEAYSLCRYDWMREALDKLKELLQFESNEFRPLPSPELVDSSNLCIW >Sspon.03G0003090-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1C:54738310:54738738:1 gene:Sspon.03G0003090-2C transcript:Sspon.03G0003090-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKAEKKPAEKKPAAEEKAEKAPAGKKPKAEKRLPASKSSGKEGGEKKGKKKAKKSVETYKIYIFKVLKQVHPDIGISSKAMSIMNSFINDIFEKLAGEAAKLARYNKKPTITSREIQTSVRLVLPGELAKHAVSEGTKAVT >Sspon.05G0007780-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:15947666:15953365:-1 gene:Sspon.05G0007780-2C transcript:Sspon.05G0007780-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPDQPVISLRPGGGGGPRAGRLFSPAFATSGSGDFLRPHGGGASGISKIGDSHFEPRERVRYTRDQLLELREIVDVSEDILRIKQEIDVELHGEDQSWVRNDSAIQGQAQAQAQAQAQAQNRYAETDNRDWRARSAQPPSANEEKSWDNIREAKEAYASSGRQQEQANRQDQLSSQFASKAQVGPTPALIKAEVPWSARRGNLSEKERVLKTVKGILNKLTPEKFDLLKGQLMEAGITAADILKDVISLIFEKAVFEPTFCPMYAQLCSDLNEKLPTFPSEEPGGKEITFKRVLLNNCQEAFEGASSLRAEIAKLTGPDQEMERRDKERLVKLRTLGNIRLIGELLKQKMVPEKIVHHIVMELLGSGPDKKPCPEEEDVEAICHFFNTIGKQLDENPKSRRINDTYFIQMKELIMNPQLAPRLRFMVRDVIDLRSNNWVPRREEIKAKTISEIHSEAEKNLGLRPGAASVIRNGRSSPGGPLSPGGGFPMNRPGTGGMMPGMPGSRKMPGMPGLDNDNWEVPRSRSMPRGDSIRNQGLLLNKPSTVQKTSSINTRLLPQGSGAALIGKSALLGTGAPPSRPLSFTPGPAPAQTTQSPKPLSAAPAVAPAPEKPASAPKGNSAELQKKTIALLEEYFGIRILDEAQQCIEELQSPGYYPEIVKEAVNLALDKGTNFVDPLVRLLEHLYTKKIFKTQDLEAGCLLYGALLDDIGIDLPKAPTQFGEIVARLTLLGALRFEAVEEVLKKMEDTFYRKAVFNAVMKTLEANPSGQAILGSQAAVIDACNSLLK >Sspon.04G0028180-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:66974833:66977797:1 gene:Sspon.04G0028180-1P transcript:Sspon.04G0028180-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEIFLSRAYLDHGILIGRNSSLCNLVPRTAKASVSGAGPSSDGEDLNEVIDTVEVESTTPGASLLAKVAVAISIAATATVISLVMKEPSSGPSFRLPQIVDASTQSDAAAATIGYTFSLFGKKVIIPEYTPGWVYFWLLMAAGFGLFISEEALNVWAGVSLARTLCLDGTWQSLVMSFSTNASYIVSTVLWIYWGVCISDMIPFYLGKLFRQTRASEDISSKIGIGKEKALSISRAVQKYGNLIGFVERFSIGVRNPTAFLAGALGISADFFFAGVCFGCLFTLPIQLAVGFVLRERPVVALASVAAAV >Sspon.01G0026490-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:92573589:92575375:1 gene:Sspon.01G0026490-1A transcript:Sspon.01G0026490-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding QTASLTLSSRVKRRVQVAKTCELLWSKSCCARNGEAITHTQATTTKVSPTLVSLFMQSYICSTSAATMQEHRQADRAPKMAMSKRTASRALLVMTVTVVILHRTLCVAASPATPVTAPPATKQTRTPALFLFGDSIVDTGNNNGIITTIRCNFAPYGQDFPGHNATGRFSNGKVPGDIVASRLGIKEYVPAYLGTELSDFDLLTGVSFASGGCGFDPLTAELVSVLTMDNQLDLFKEYKEKLKRVAGAHRAADIVSTSLYLVITGTDDLANTYFTTPFRRDYDLESYIEFVVQCASDFIKKLYGQGARRINIAGAPPIGCVPSQRTNAGGLERECVSLYNQASVVYNAALEKEIKRLNGSEALPGSVLKYIDLYTPLLDMIQRPDAYGFDVTNRGCCGTGVFEVTLTCNRYTAEPCGDPSKFLFWDTYHLTERGYNLLMAQIINRYGM >Sspon.01G0045340-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1C:86082510:86090043:-1 gene:Sspon.01G0045340-2C transcript:Sspon.01G0045340-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding CRPSRSRRCRSMCATCTATSATQFSRISYPSPMHILPIASPASSICRRISRSKISALLKTTLSMHLRLRNTACQRCCQPKVIWIICCTCVLQRRGNVFLQHITDLLKLVSLEHCIECLQCNL >Sspon.07G0023300-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:14775899:14779563:1 gene:Sspon.07G0023300-1B transcript:Sspon.07G0023300-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLASLARALGRSARSSRPRQGFQLGGLRQPPAPPLPPPVHGGEGGAIGFARSYLTAASSAALGKPAAGKTVDWRYILASPQFRRLFSDESKKNYENYYPKGKKEVPKGDGSNKSESKQESNTDEGWNFQENAMKHLQNFLAPLLILGLMLSSMSSSTADQKEISFQEFKNKLLEPGLVDRIVVSNKSVAKVYIRNSPLPKSQGQNSDTHISTTDVPGKPAPSRCKYYFNIGSVDSFEEKLEEAQEALGIDSHDFVPVTYVTEVNWFQEVMRFAPTAFLVGLIYFMGKRMQSGFNIGGGPGKGRGGIFNIGKATVTKMDKNSKNKVFFKDVAGCDEAKQEIMEFVHFLKNPKKYEDLGAKIPKGALLVGPPGTGKTLLAKATAGESGVPFLSISGSDFMEMFVGVGPSRVRNLFQEARQCAPSIVFIDEIDAIGRARGRGGFSGSNDERESTLNQLLVEMDGFGTTAGVVVLAGTNRPDILDKALLRPGRFDRQITIDKPDIKGRDQIFRIYLKKLKLDNEPSFYSQRLAALTPGFAGADIANVCNEAALIAARSEETQITMQHFESAIDRIIGGLEKKNRVISKLERRTVAYHESGHAVAGWFLEHAEPLLKVTIVPRGTAALGFAQYVPNENLLMTKEQLFDMTCMTLGGRAAEE >Sspon.01G0026970-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:90058459:90062789:1 gene:Sspon.01G0026970-2D transcript:Sspon.01G0026970-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPGQMTASNLLRTEGFPGNMGKRCGLIPARSLGSRRLRMTQHCFHQQHICWPRLQRIMTRNIRLSSSPGAVASKGFDSPLVEKPDTALDVGVIHLYRIPFLQESETMELLKKVKAKVSANIVDILTEQCFNIQLDNPLTPEKLSTLHWLLAETYEPEKLQTRSFLEDEVSRNSYTVIVEVGPRMTFSTAFSTNAVSICKSLSLVEVTRLERSRRYLLRLKPGSDPLDESQLKEFAVLVHDRMTECIYPNKLTSFQSDVVPEPVRVVPVIERGEEALEEINVKMGLAFDQQDIDYYTHLFRDDIKRNPTTVELFDIAQSNSEHSRHWFFNGKLVIDGETMPNTLFQLVKSPLKANPNNSVIGFKDNSSAIKGFPVNQLRPTIPGFTSPLSIIMRELDILFTAETHNFPCAVAPYPGAETGAGGRIRDTHATGKGSFVVASTAGYCVGNLRIDNSNAPWEDPSFSYPVNLASPLQILVDASDGASDYGNKFGEPLIQGYTRTFGMRLLNGERREWLKPIMFSGAIGQIDHAHISKGDPEIGMLVVKIGGPAYRIGMGGGAASSMVSGQNDAELDFNAVQRGDAEMAQKLYRVVRACAEMGESNPIISIHDQGAGGNCNVVKEIIYPKGAEIDIRSIVVGDHTLSVLEIWGAEYQEQDALLVKPESRSLLESLCERERVSMAVIGKIDGCGKIVLIDSAAVEHSKLNGLPPPTPVEELELEKVLGDMPQKTFEFRRVSQVTEPLDIAPGVTLLDALKRVLRLPSVCSKRFLTTKVDRCVTGLVAQQQTVGPLQLPLADVAVIAQTYTDLTGGACSIGEQPIKGLLNPKAMARLAVGEALTNLVWAKVTSLADVKASGNWMYAAKLDGEGADMYDAAVALADCMIELGIAIDGGKDSLSMAAQCDGEVVKAPGNLVISTYVTCPDITLTVTPDLKLGKDGILLHIDLAKGNRRLGGSALAQAFDQIGNECPDIDDVLYLKKVFEAIQELLSQRLISAGHDISDGGLIVSVLEMAFAGNCGFKLDIDLEDRSLIEGLFAEELGLIIEVHSEYLNIVKQKLEIAGISANVIGEVTSSPEIEVFVDGNLQIKERTSDLRDLWEETSFQLEELQRLKSCVKLEKEGLKCRTSPSWSLSFTPKFTDGKLLTASSKPKVAIIREEGSNGDREMAAAFHAAGFEPWDITMSDLLAGKSSLTEFRGIAFVGGFSYADVLDSAKGWAASIRFNQPLIQQFQDFYHRPDTFSLGICNGCQLMALLGWVPGSGVGGSLGTGGDMSQPRFIHNESGRFECRFTSVSIGDSPAIMFKGMEGSTLGVWSAHGEGRAFFPDENVLATVVKSNLAPVRYCDDSNNITETYPFNPNGSPLGIAALCSPDGRHLAMMPHPERCFMMWQYPWYPKDWQVEKSGPSPWLRMFQNAREWCS >Sspon.04G0032820-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:34458280:34458744:-1 gene:Sspon.04G0032820-1C transcript:Sspon.04G0032820-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFGPGRARDGIRIQELGDSHCDESNAAAAAAAAEPPPPALPSGGPQEQPHGPLGAEGGSPSAGKGVLAPSPLPSPTHSESEGTSSQVSFDIVSDKGAGESSSANTLADGDWRLGVSWKDPLVESTMEVPKVTRPMDVKSAFDNLSLE >Sspon.07G0005010-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:12721173:12728057:-1 gene:Sspon.07G0005010-1A transcript:Sspon.07G0005010-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding HDGAQAELTDAQNQMESIKEKVKTKDSYIVELQEKIEKHQNEASEARKIEQECQKQEDSLIPLEQLARQKVAEMKTTRDSEKNQSTALKAILQAKESNEIQGIYGRLGDLGAIDAKYDVAISTAASSGLNYIVVETINSAQACIELLRRRNREETEKQTHLLHKIKEKVKTPEGVPRLFDLVKVKDEKLKLAFYHVLGNTVVANDLDQASRIAYTAAKEFRRVVTLGGELFEKSGTMSGGGKRVQRGMMGTAIRESFSEEAIKTAENELTELVDKLNKLRENMNDAKKHYRSMEDAKSRLEMELAKAKKEVESMNAQYIYNEKRLESLKAASQPKADELRRMKELDGIISSEQAELDRLTKCSSKLKDQAKLQQKIENAGGKMLKDQKAKVGNIQSELDKTSSEINRHKVNITSGEKLVKRLTKGIEESKKDREKLFAEKENMMSMFKEIEKKAFVVQEEYKKTQEMIDNHKVELDKTKEEYTKLKKAMDGLRASEVDAEYKLQDTKRLAKEWEMKVKTFKKRLDEIQTNVVKHMDQIQKDAVDPEKLKATLGDEQLNDTCDMKRAMEMVALLEAQIKDLSPNLDSIAEYRTKARLYGERVDELNATTQERDDLKKLYDGLRKRRLDEFMAGFNIISLKLKEMYQMITLGGDAELELVDSLDPFSEGVVFSVRPPKKSWKNIANLSGGEKTLSSLALVFALHHYKPTPLYVMDEIDAALDFKNVSIVGHYVKDRTKDAQFIIISLRNNMFELADRLVGIYKTDNCTKSITINPGSFAESMKVV >Sspon.02G0034190-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:11026164:11030061:1 gene:Sspon.02G0034190-1B transcript:Sspon.02G0034190-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RYTPYKNLKVLNAIAASVASLHWEATDNVKATNGDTSLGGADFYDRPRRRLHLGTPPRREAQLVVLQVVHDVEVLLLEVTDDGHAGPRGAQDRQAHDAVLQPDEVVLRGEVPHGTVGEQQRQLRHLRPLHARHVVARAQHREVRDERVRPAHAIDERLPDHLVRRLHEVAQRRAGVDDGAAGSALAGHIPRVGADVEQLATDQHALELHVVERVRRRRRGRERRVVKLRMRRRQRQGVVLPEHHLAGDVAAVVHQAVGEGVAVHGAELGRERQRAPAEAQQARRAVEEAAVPVPAPEHDAVHAAGRAGGREVDREGLQRQVARGVGLVPVPVVVGAGVLPGAAARPRRRLGRRRHEAAALVATGDVAVGGGRGGIEHGAKLLVAVQARRALQPVEVAAGVHDHPETPRRRADTSIRYSPDPTATPDSTVATIRSDSARRGDEDAGVRSPPERAALRCIVSMRTASLSAKSLAARRFMCSFSDGDGLAGCVCSPTASSAAASLLSQPVTATAVLNAGNSMPKRGDDELSLAIPGEATAGETRSKDSSSDRTASCAIAEQRTDGWISCPSWNSRRRRGAVVGEEDGEGGWWWWNLGEI >Sspon.01G0008980-3D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1D:27446107:27448722:1 gene:Sspon.01G0008980-3D transcript:Sspon.01G0008980-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRALLLLALLLAACAAAAAQAAAGGANSTSTPFVPRDDILLDCGAKGQGNDTDGRVWTGDAGSKYAPPDNLASVASASGQDPSVPQVPYLTARVSASPFTYSFPLGPGRKFLRLHFYPANYSNRDAADGLFSVSVAVPGGKLTLLSNFSAYQTAAAITYSYLIREFSVNVSSPTLDLTFTPEKGHPNAYAFVNGIEVVSSPDLFDISTPNMVAGDGNLQPFPIDAGTAMQTMYRLNVGGQAISPSKDTGGYRSWDDDSTYIFGASFGVSYPKDNNVTITYPSNVPEYVAPEDVYGTARSMGPTKEVNMNFNLTWMLQVDAGFMYLIRLHFCEIQYPITKINQRVFKIYINNQTAVKGADVNAWAAMSGATTLIGTPVYQDYVVSTPGLGPMDLWIALHPDPDNKPQIYDAILNGMEVFKLQLINGSLAGLNPIPSVAPANDGTTKKKSTVGPIVGGVVGGLVVLALGYCCFSVICKRRRNAGKDAGMSDGHSGWLPLSLYGNSHTSSSAKSHTTGSYASSLPSNLCRHFSFAEIKAATNNFDESLILGVGGFGKVYRGEIDGGTTKVAIKRGNPLSEQGVHEFQTEIEMLSKLRHRHLVSLIGYCEEKNEMILVYDYMAHGTLREHLYKTQNPPLTWRQRLDICIGAARGLHYLHTGAKHTIIHRDVKTTNILLDEKWVAKVSDFGLSKTGPSMDHTHVSTVVKGSFGYLDPEYFRRQQLTEKSDVYSFGVVLFEVLCARPALNPTLPKEEVSLAEWALHCQKKGILDQIVDPYLKGKIAPQCFKKFAETAEKCVSDQGIDRPSMGDVLWNLEFALQMQESAEESGSLGCGMSDEGTPLVMVGKKDPNDPSIESSTTTTTTTSISMGDQSVA >Sspon.06G0012990-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:67959312:67967318:-1 gene:Sspon.06G0012990-1A transcript:Sspon.06G0012990-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKRKELLSSAPWRTGEAAEDEDAARMSREGKVSVTSNPGETPTMSVPRSRRPDLDLTVDDFEEDEIDPELRYSFQRNSRFLKRVFSVDTLVKPLPPVMAYSVSRNINFFFRIFTQFWDEEGIANAQKSLGLGNDDGSRRMR >Sspon.02G0054540-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2D:99261894:99263872:1 gene:Sspon.02G0054540-2D transcript:Sspon.02G0054540-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRASDEPCARALSPLTALTIPTFVQLPCSLYLCLLDAFKLRLRATSPSVPPTTTAYIPTNFAILAHHAWHTLTLGLGTKNSKATVFIFESAAMKAAWPGVVPLGDVGHNLIRAAPGSPEMARFKFCKGCWMRHFLRLHRPHRRRARIALPSAGLSAKKVFAESPALGKDLHSAKTTSPDGAQTETAPTQPRRSPTRPHRHAAAPPCTRARDRCRHGILTADVHTLGIQNLPVQGREEPVGAEPEYANRLPRRCGSACTASPHHCTDSPWLR >Sspon.08G0001540-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:5028143:5029815:1 gene:Sspon.08G0001540-1A transcript:Sspon.08G0001540-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLISQNAVQKRRLRKSEADDGNDEDIGSPTSIDAEVGKEAKLKNHNKERKKKRTKVQESQQNKEEEEMRQLESSLFGSLYAPLEFGTEAGAAVVAPDRDVPLFFTDRSAGGGMDYFPIYEEDMAHEDEEDVVSIKGRKPVWVDEEEERTEVDIVKVSRLRKLRKEEDEHLISGKEYEARLRGQHAKLNPFTGWADMDQKTSLPARQMNNDELVVKDTVKLLPGMLEFSRLVDANIQDPSSGPINSVQFHRNGQLMLAAGLDKHLRFFQIDGKRNPKIQSIFIGDCPVHKASFLPDGSEVILSGRRKFFYSFDLVNAAVSKIGPLTGREEKSLESFEISPDSKTIAFVGNEGYILLISAKTKQLIGTLKMNGSVRSLAFADGGNQLLSSGGDGHVYHWDLGTRKCIHKAFDDGSLAGISLCTSQDSSLFATGSTSGIVNVYKRDDFLGGKRKPLKTIENLTTDIGEMKFNHDAQILAISSRKERNGMRLVHVPSFSVFQNWPGPRFSLHYPRCLDFSPGSGFLSVGHAGGKVLLYKLHHYKNA >Sspon.01G0028660-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:99994659:99995219:1 gene:Sspon.01G0028660-1A transcript:Sspon.01G0028660-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSVQDTLDLASSSCGTMDIVTCGFTLWGPESCPSLDQVMASSRPAVVEEADPEAEAARNVDPDPDPEEEERLRRQRRKVSNRLSAQRSRARKQQRLEELRQAAARLRAEKQELEARLQALARHDLAVRCQNARLRAEAAALERRVREARRLLELRRLAYALMPQPAAGVAPAPAAPLLGLASLMT >Sspon.08G0001300-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:1395113:1398734:1 gene:Sspon.08G0001300-2B transcript:Sspon.08G0001300-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEEAVSDNTKGLALAVASSAFIGASFILKKIGLLRAAKCGARAGGGGYTYLSEPLWWAGMTTMLLGEVANFIAYIFAPAVLVTPLGALSIIVSSVLAHFVLKERLEKPGVLGCVSCIVGSVVVVMHAPEEHMPNSVKEIWNLATQPGFLAYALTTLLLVGTLVLFFEPRYGQTNILIYLGICSSMGSLTVVSIKAIGVAIKLTLDGVNQAAYPYTWLFLMVAIVCGVSQINYLNKALDTFNLAIVSPIYYVMFTTLTIVASGIMFKDWAGQSFSSIASELCGLITILSGTILLHTAEEGANNSASLLPWPLDKGSISWCISLSSDNLLKNVEEDYFAALQSSPAPV >Sspon.05G0001910-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:6136356:6144316:1 gene:Sspon.05G0001910-1A transcript:Sspon.05G0001910-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALEAARSWAGSVIPPELAAAAGGDPLAALAATAAALVAGLLILAVWFRSGGGAPSKPVATPVRPPPVKVDADADVDDGRKRVTIFFGTQTGTAEGFAKSMAEEARARYEKAVFKVVDLDDYAQEDEEYEEKLKKETVVLFFLATYGDGEPTDNAARFYKWFTEGKEKEVWLKDLKYGIFGLGNRQYEHFNKVAKVVDELLEEQGGKRLVPVGLGDDDQCIEDDFTAWKELVWPELDQLLRDEDDTTGASTPYTAAIPEYRVVFIDKSDVSFQDRSWTLANGTGVIDIQHPCRANVAVRKELHKPASDRSCIHLEFDISGTGLVYETGDHVGVYAENSVETVEEAERLLDLSPDTFFSIHADAEDGSPRKGGGSLAPPFPSPCTLRTALLRYADLLNPPKKAALLALASHASDPAEAERLRFLASPAGKDEYSQWITASQRSLIEVMAAFLQLSHHWECFLQQYLLVCNRDTTQFHHLQIYGPSPTGRIHQGVCSTWMKNTIPLEYSEECSWAPIFVRQSNFKLPADPSTPIIMIGPGTGLAPFRGFLQVSTETIWSTTGHFDLFFGCRNRNMDYIYEDELQTFLEEGALSELIVAFSREGPTKEYVQHKMVEKATEIWNIISHGGYLYVCGDAKGMARDVHRMLHTIVQEQGSLDSSKTESYVKACRWKAGTSVMYGDTSPPISHSSSVWK >Sspon.02G0020940-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:68158053:68170192:1 gene:Sspon.02G0020940-1A transcript:Sspon.02G0020940-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chromatin assembly factor 1 subunit FAS1 [Source:Projected from Arabidopsis thaliana (AT1G65470) UniProtKB/Swiss-Prot;Acc:Q9SXY0] MDGDKAKESAPDCASGAAEPTKKQKRKRAYAELDVVDKESASAEWQREIDALYEYYKEVSGRQLNPEELSCTTNDSVIACLLEESSLSCAKLTDEIYKRMKLQDGVTESSVRTSVLNIGKRSSFGISAMDVDDLEDESDSSLWCWEHQTFGLLKRVCKLAAKDASNARSNQNSLMENAGEVLDLDEIRSIVESKHKNDADITKMHSKTEAQELQAISKAVKKQQTEQKKKKKIRTGEARVTPPEQNPPNLERELRHINEKTEREAKRIERENKRLKKRQEEEERAKKKKEKEEAELKRKASIQKQANLMERFLKRKANSNTESSGSHHLERTKCSKSSGNIEELAVAATSGMDCTLSKESHLSMEELRMIHVVKWRKLYQHNRLCHWGVRRCPKIQLFPELRLQKSSAAITSDSMSTPTKEQSSQKSTGSLDITKLLDELEIPSRSQNSISSSVLLVKKLLQFDKSSRPAYYGTWRKKSSTVRARQPFQRDEELNYDVESDEEWEEEDDEKTMNEHDSMIDAEEEADNSFVVPNDYISDDEGMQCEPVCVIFDEISTMLSIPGVTVEELNALLQRQKALHIITEHALKIDRPLVISNLDHRKLDLLNAEDITGMLKMEKSKYPGSPIIDVPVVNMTIEDGFRRSNRKSPRTPVSSKAISESDMPEFAKLVASCPQGIVKLVELLHETFPYVSKAQLKNKVREIAEFTNNRWQLVQANLFRGMRNLITMLRVMKNGKRTVLVKDYLILRKMVREL >Sspon.08G0003220-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:8643965:8645581:1 gene:Sspon.08G0003220-1A transcript:Sspon.08G0003220-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDADEAHRNKPGRQEEANFQQPSKATTDPVTPISTSHNGMAFHLASADLRQSNSHPVPAGCRELELAAAMAGAPDQQQPPAGAGSSSPSSRLRVHDTTLVPASPSPPETSLPLTFFDVFWLLSPPVERLFLYRLAPDADVAAIISNLRNSLHQAVRAFYPLAGRVRLTPGTSNRYELHYRPGDAVTFTVAECDDADANFDTLATDEPREVSKIATLVPPLPGGGRLFAVQVTLLPARGGLGIGVTVHHAACDGSGSTHFLHTWAAACRGGAEPPPPPPVIDRTLVADPRRLYDIFVQTAPSTEEYEMAEMSADQLFATSALSKDDLKRIKDVVADEAARRGVAPPRCSSLVATFSFVWSCYQRAKEGSGGGAGEGPVTCMGFTVDHRSRMKPPLPDKYLGNCVGPAFALAPTGEVAAAGAGGLFSACAAVASSIDEAVRDIGTSNMAAWMDRIREVLPMGLLTVAGSPRFRVYDLDFGFGRPAKVDVVSVARTGAVAVAESRDGDGGIEVGVSLQPAAMERYTKCFADAIAWLRQRT >Sspon.05G0012950-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:34162540:34166321:1 gene:Sspon.05G0012950-2C transcript:Sspon.05G0012950-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAAAFASAALERAIGTLSLLLPGFFPSARHGEEDAEELGRLVRTMRRIRAAIDDGFPSRAPGSASASARLRLRELRGLAYDAEDVVSECEYEAVRRRAEALDAVGRAGSDGGRCRLKRVRREVIDDYFSDIDMMCCCCVRDLAARARKIRVRLDEIIKEYEDLCMTEQQIDLAAQRSQRYTSSIVHETSIHGREVDKNNIIKMLLSEVRPMSVLAIVGMGGLGKTTLAQLVFNDQRKQKYEALELNDLQEALIEQIERKKLLIVLDDIGEEIVEKCKGLPLAIKTLGSMLRYETDEERWKYVLESDLWDLDPQQNDIVPALELSYSHMPVYLKNALRAVILSSMEGLGGPIEISDELFVYSKQLRTIVLDGISLARPSLHDSVGNLKHLRHLVLRDIGGLELPISICQLFNLQTLDVTTSGNLKPTCIPNGIGRLINLHTLPVITVKRGAWHCNLRDLKDLQNLSGKLCLKGLDNVTSVDEAEEANLCSKQHIRALNLIFPDGDWQYCKHGQEPAPTTASHEEILENLQPHSNLTELSIEYLTIAEMSRIKSIGPEFCSLNPKTTGFKSLGPY >Sspon.02G0037380-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:28684266:28686573:-1 gene:Sspon.02G0037380-3D transcript:Sspon.02G0037380-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SGGMELKPGLSALVTGGASGIGEQEQRRPASRLPASARAPCRFRFFQGKALCIALARKGVFVTVIDFSEENGREVVSIVQKENKHIHQYARVPSAIFIKCDVRHVDALAAAFRKHVDTFGGLDICINCAGFVNKSLVYDDKSDGTSTWKRAVNVNLVAVIDGTSQKFQVMRSQKKPGVIINIGSVAGIYPMSYEPVYSVFVGGVVMFTRSLAPLKRHGIRVNVL >Sspon.01G0019260-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:69647901:69660177:1 gene:Sspon.01G0019260-3D transcript:Sspon.01G0019260-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSGHIFHCRKNSWPAEEYVGRTALQLLDFDGGAPPEQAWRRRLNSHANILKEFSVTFMEAMRMKAPIDPFTKERCKPSASQGVPLGGMGKNSDSGISSWDWNLSGQHSTYHALFPRAWTVYDGEPDPDLKISCRQISPFIPHDYKDSSLPTSVFVYTLVNTGRDRAKVSLLMTWANSIGGFSHNSGGHYNEPFITAKDNPPVTFAVAACETQNVNVTVLPVFDLSGENHVSAKEMWNTMLQNGHFDRENFSAGSSMPSSPGQKLCAAVSASTWVEPHGRCTVVFALAWSSPKVKFQKGCTYNRRYTQFYGTSEKSAVNLVNAPGESPTNINFGKKKSRSGKTPYSRMKDFQNDGQPPAIDEKNSPGSNQQKSSKRGTKDTKQGSVKDSHVNLTVEQVPHGGYMTNGDDRSVSEFAAVHGSQMQEQINGLKSEEPIPYLISKDGPEHVGKFLYLEGVEYIMWNTYDVHFYASFALLDLFPQIELSIQRDFANAVLYEDRRKVKFLADGTSGIRKVKGAVPHDLGTHDPWHEMNAYNIHDTSKWKDLNPKFVLQIYRDFAATGDMQFGRDVWPAVCAAMDYMDQFDRDGDGLIENDGFPDQTYDAWTVHGISAYCGCLWLAALQAAATMAHRLGDRHFAEKYKLKFTKAKAADQLAGQWYTASSGLPPLFDEHKIRTALQKIFEFNVMKVKGGRMGAVNGMTPKGKVDETCMQSREIWTGVTYAVAANMLLHGMEHQGFTTAEGIFIAGWSEEGY >Sspon.01G0004170-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:9728882:9731844:-1 gene:Sspon.01G0004170-3D transcript:Sspon.01G0004170-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSTLSHPTAAAAGSGKSLFPAAPAAQSVRFPKARTPVPAAVSAATAAVHADSAEDRVSSLSQVSGVLGSQWGDEGKGKLVDVLAPRFDIVARCQVRGGANAGHTIYNAEGKKFALHLVPSGILHEGTLCVVGNGAVIHVPGFFGEIDGLESNGVRCDGRILVSDRAHLLFDLHQVVDGLREAELENSFIGTTKRGIGPCYSSKVTRNGLRVCDLRHMDTFGDKLDVLFKDAASRFLGFQYSKSMLKEEVERYKKFADRLEPFIADTVHVLNESIQQQKKILVEGGQATMLDIDFGTYPFVTSSSPSAGGICTGLGIAPRVIGDLIGVVKAYTSRVGSGPFPTELFGEEGDRLRKAGMEFGTTTGRPRRCGWLDIVALKYCCQINGFSSLNLTKLDVLSGLSEIKVGVSYSQPDGQKLQSFPGDLDTLEQVQVNYEVLPGWQSDISSVRSYNELPQAARLYVERIEELVGVPVHYIGVGPGRDALIYK >Sspon.06G0016810-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:73020267:73024425:1 gene:Sspon.06G0016810-3D transcript:Sspon.06G0016810-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSPSKATGEDALVLCKDRMRHIRQAIDSRDALSAAHLSYTQSLRTVGTALRRYAESEISPESSLSISEVDKSPSHSSMASPSPSRAVENLASPAHRASPLSTQPSTRIHCMKAAATAPLTFVIDPSAAEFVGHESPVSAFVPPPPPLPPELCTSWDFFDPIDAAGSSSSNNENGVTLNFSRLKGLRESRVAEIVPLKKGEEEIMSDRRHTELPDDNAPSKQEREPKQGGTRKPSQLVDTSTKATSEQVAAKLEESEMEKELCAEAEDPSEFITHRAKDFVSSMKDIEARFMRAAEAGNEVSRMLETKKIRLDICKMPGSPGKLPTARFVSALRVCCNRDVILNQETAQHVSKVVTWKRSVSSLSSSSKSALMTSIIKDDVDDSNSDFVEEFAMVSGSHSSTLDRLHAWERKLYDEIKASENVRKAYDEKCNLLRRQFARGLNAQLIDKTRAVVKDLHSRVSVAIQAVDAISKRIEKIRDEELQPQLVELIQGLIRMWKLMLECHHKQFITITLAYHVKNSTPLHQGEHRRQAAMHLWNEMDSFSSSFRNWVAAHKSYVEALNAWLQKCLLQPPQDRRRRKRKVSFPPRQAVSPPIFVLCRDWLAMTESLPADDACKSLKDVMQLLRDSFEHQDEQNKPRSESQECGMLENNSELEAVKSGSVASAEGLQSRLTAVLDRLTKFSEASLKCYEELKQNYELACDDYKRVGPNAQHA >Sspon.01G0049320-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:95833508:95837270:1 gene:Sspon.01G0049320-2D transcript:Sspon.01G0049320-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABC transporter B family member 27 [Source:Projected from Arabidopsis thaliana (AT5G39040) UniProtKB/Swiss-Prot;Acc:Q0WML0] QAKHDAGKLVLATIALLVASLSNLLVPKYGGKIIDIVSRDVRRPEDKAQALEDVKGTILYIVTIVLVGIKSISLVAICTVLALVIVPVISVAVRKFGRFLRELSHQTQAAAAVASSIAEESFGAIRTVRSFAQESHEISRYGGKVEETLKLGLKQAKVVGLFSGGLNAASTLSVVIVVIYGANLTINGYMTTGSLTSFILYSLTVGSSVSALSGLYTTVMKASGASRRVFQLLDRVSSMTNSGDKCPINEKDGEVELDDVWFAYPSRPSHMILKGITLKLAPGSKVALVGPSGGGKTTIANLIERFYDPLKGRILLNGVPLVEISHQYLHSKSVLCNAES >Sspon.04G0032330-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4C:25274469:25275401:-1 gene:Sspon.04G0032330-1C transcript:Sspon.04G0032330-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHRPPRGGSMGGNADPAAWGSPPPWRALPHHDGGMVGSPVADPWCTGLPVVDPWRRDADPAAWWSFSTLARLPHLDGGMGLSPVVDPMEQRSPLQRQREGAELGGGMLRGGEVGGEGGSGQARSGEGGRDPRACEGRDGFDPLSLRAWGRCGLLFFIIILLCVVRGGSGGRDILLFASRPDASARIRTSGR >Sspon.02G0057010-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:41993708:41998960:1 gene:Sspon.02G0057010-1D transcript:Sspon.02G0057010-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSGWEVPLARGVGEVAKKVGILGFIENRADSGWNNRKYFYDAASAVNTLVTYASQLLDNCPADGGFEEPLFNWLDGVMQLIRDFKSSGIAKKIPGNWTSVEENIKWFASNDRNKPEGYTEVVSVSDWREHISRTRGTSFKGIHIGQNSKNFLTLAEQLNGRYQTFMKDTDTSKTLFRMKQLPYLPPQKEMNTLVSAASELLDRCPKDGGFDESSFDWFCRVMQLIDKFDNKQIVMQIPGSWSSVRANIRQFSENGRYKPETYTTASSAANSQLLVRRKGASQYEIDQKSKELVSDAEKLMVEYDEKWKKDYDANTLFKKKPGLKLPEQEKMNTLVCAASSLLNRCPVDAGFDAVTFDWFRRVMRLINDFESSGAAREIPPGWSGLRGNITQFSNNSMCRPEEYAAVDPQLIYHSLSRKSDDKEIEGNLKEFSTRAESLKKQYDEMEHKGNTLFKKRRRCKLPEENNGVLFIKFKCREEIMKKVFRSIDDNASRITLIYGPGGIGKTTILAEVAKEAQKDVMFSAVVYAKVSRNPAADVRAIQETIEKQLGMSWQPESRTERSKELENKINELISSKIENEFRKVLVILDDVWEDDRIMTKIGIPSDSRVKVLIGTRRRDLSMGEDNKIQIELLREEDSWLLLQQVAPEASKIDSAEKIQAECCGLPLALAVIGKALLGKEERAWQRAVDCLKNSNPINLEGVQANLYKIIKYSYDHLPLPNKISRKVFLYCSLFPESEQIHEVDLQRHLDEAGDVKSSLAEEENGDLTMKVADSVDTLVHYGLLQKPIATGGIVNMHDVVRDAAVLIARQEEYAFIDCSGSQKMNFSKTTMRKCKRMSLSNINKALDTPDWPKLQTLLIRGNTALPDLFSKKLESSLAVLDLSHSGMSTLPPSMKNLKNVRTLLLNGCRRLRNVDVIVKLTGLQVLSLRESVVNLLPKNMKDLLNLIVLDWENSLPPKDTDPKVTQQHITEICKLQELSMYSKSITDAAFLEITKLKHLTAVKLYVTNSALKSAGVFAGENPCSWDKFTIYNHSDSPKLSEKKNLNLSIKDLQEVSHGVQVLLRKAEEVVMNNCFQNATRYEQSTNSAAETGLILTEATGKGTLEKTSILCVTNCANISYLTSNSGVPLTELEEIALSGLKILVGIIKPHEGATTDIFGKVRKITVNSCPEIKCVLHNDVLQKVHKTLKLIIVRHSMKVESIFQSETDCSASFSMLTSIELDDLQSLSCIWQGVQPPETFQNLKELFVRKCNKLNSLFTTHVAAQLKNLQKLTVEDNSGLTEIVSTQGANVALSEQAFPRVTHLSLQWLPQLKHFSSSDINFDWHALVKLKLGACPALTGLPIGPKSAPHMNIIDLDSSDDLRRVNGEKHVP >Sspon.04G0025100-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:24850321:24850569:1 gene:Sspon.04G0025100-1B transcript:Sspon.04G0025100-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYMMGGDFVEAYVLKNAYKEKLRRMEAAEEKKSKQGSAEKKAVSGGGRGGGGLFGLLKKKVHPKAAASPVEMTSAEEESSS >Sspon.02G0008890-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:19955609:19961989:-1 gene:Sspon.02G0008890-1P transcript:Sspon.02G0008890-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospholipase D delta [Source:Projected from Arabidopsis thaliana (AT4G35790) UniProtKB/Swiss-Prot;Acc:Q9C5Y0] MASPAGGESPAKPVLLHGDLDLWILEARLLPNMDMFSEHVRRCLAACRPPTSCGPKHPARGGSGGGRGRQHHHRKIITSDPYVTLSVSGAIVARTAVIPNSQDPVWGERFAVPLAHRAAELEFQVKDNDTFGAQVIGTVTVPADRVVSGDEVEDWFPVIGTNGKPYKPDTALRLRFKFQPIADNPAYRHGIPGDPDHRGIEDSYFPLRHGGQVTLYQDAHVREGNLPEIELDNGKTFQHNACWEDICHAILEAHHMIYIVGWSVYDKVRLVREPSPSRPLPEGGDLTLGELLKFKSQEGVRVCLLVWDDKTSHDKFFIKTGGVMATHDEETRKFFKHSSVICVLSPRYASNKLSVFKQQAIDDIEFVVGTLFTHHQKCVLVDTQARGNKRKITAFIGGLDLCDGRYDTPEHRLFKDLDTVFQNDYHNPTFSAGAKGPRQPWHDLHCRIDGPAAYDVLLNFEQRWRKATKWRDRFRRVSHWKDDALIKLERISWILSPSPNVPNDHTSLWVSKEEDHENWHVQVFRSIDSGSLKGFPSDCKAASKLNLVCRKNLVIDKSIHTAYVRAIRSAQHFIYIENQYFLGSSYAWPSYVNSGADNLIPIELALKIASKIRAGERFAVYVVIPMWPEGVPTAASAQTMEMMYRIIADELKAIDNKDMHPEDYLNFFCLGNREEPLSNGSPESEKSTDKSAAKKCLRLSYKYRRFMIYVHAKGMIVDDEYVILGSANINQRSLAGSRDTEIAMGAYQPHYAWSTKNGHPHGQ >Sspon.08G0014640-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:56768083:56768352:-1 gene:Sspon.08G0014640-3D transcript:Sspon.08G0014640-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AAMASITNLNTLMKVLLCAALLLSTTVVAARSHSEPDCRRLAVAPRSHSEPDCRRRLAVTVVAITGRRMLGAAAYFESKRESPSGSDPQH >Sspon.01G0063160-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:111635365:111636950:-1 gene:Sspon.01G0063160-1D transcript:Sspon.01G0063160-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLVCTVQLKDMVLKLSGTHRQHGSQHRRGGSPPPRGRATSLYRSGYYRPGVVQDDMAVPPATYLGGSAGVTGASSASSTPAWDLPGRAADGEAREWVAQVEPGVQITFVSLPGGAGNDLKRIRFSREMYDKWQAQKWWGDNNERIMELYNVRRFSRQVLPTPPRSDDGERESFYSQSQVGSMIGSPAATPSPAPLTPERISWGAFARQVAAPPAGATGGAARQHSFRPMSPPPPSSSNPSERAWQQQHQQQRQNGDGAAGKSPAASEAATATEAARTTTSSRDDVSVSNASELEVTEWIIQDQPGVYITVRELADGSRELRRVRFSRERFAELNAKLWWEENKERIQAQYLSEL >Sspon.05G0033510-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:33451198:33455053:1 gene:Sspon.05G0033510-1C transcript:Sspon.05G0033510-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQEVGAEHLADVARVSRLPPRRHQPFNGFQKKARLSVDRHEEELWIDSWLDGMMRLQMHIVNLKV >Sspon.05G0020160-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:85987330:85992616:1 gene:Sspon.05G0020160-1A transcript:Sspon.05G0020160-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDEEEEEEERGGADARPAAPLLRSNDDGDKADGAAGHRPEMECPGCAYDRRKEELRLRNAKPYREFLYIWIISLTAALPISSLFPFLYFMIRDLGVAKRTEDIGFYAGFVGASFMFGRCLTSTAWGIAADRIGRKPVIVFGISSVVVFNTLFGLSVNYWMAIATRFLLGALNGLLGPIKAYAIEVCRPEHEALALSLVSTAWGIGLIIGPALGGYLAQPAENFPTVFSTDSIFGRFPYFLPCLCTSIFAAVVLISCIWMPETLHKHKVHENRSQNIEALEAHLIDSKEKVEESGSLDTKKSLFRNWPLMSSIIVYCIFSFHDMAYTEVFSLWAESDKKYGGLSLSSEDVDQVLAISGASLLVYQLFLYPSINKVLGPIKSSRIAAILCIPILFAYPYMTYLSDPGLSIILNIASVIKNNLSVTIITGTFILQNNAVPQDQRGAANGLSMTGMSFFKALAPAGAGIVFSWAQKRQHAFFFPGDQMVFFLLNIVELVGLLLTFKPFLAVPEQFDSR >Sspon.03G0011170-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:30388471:30394161:-1 gene:Sspon.03G0011170-1A transcript:Sspon.03G0011170-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPACCMQEHTRVSENRSQRNFANPVLAYVTPWNRLVLEGEHNFDAKWVSELQSNGSLVVPRIVLEAFPAVLLLEKKQKEKAIDLIVKSCTISFADKHMLYDGLTSGCQFIGIRAMMVLCSNPGQGGLFMVCWMIKSYVTCSKSSSHHLELIYVIPAPRMQKLNNQDFGPEDLMHLADTLDGFSLMTYDFSGPQNPGPSAPLKWVHDSLATLLSAKGSSGSTSHSQMIFLGINFYGNDFLLSRGTGGGAITGRDFIHLLEKHKPSLQWDEQSLEHFFIYSDEGVKHAVFYPTLMSLSVRLDEARNWGTGLSIWEIGQ >Sspon.02G0051400-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:76505764:76510543:-1 gene:Sspon.02G0051400-1C transcript:Sspon.02G0051400-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRNQALRRDARNRKPPLPAMLLLRQLRACRRLLQLLLLISAAATTSAAAALYSSGHGDDERALLAFKANISGHFGVLDSWNQSTSYCSWEGVTCGRRHRRRVVALDLSSQGLAGSISPAIGNLTFLRLLNLSYNSLQGEIPASIGSLRRLRRIDLGLNMLTGVIPSNISRCISLREMHIYSNKGVQGIIPAEIGNMPSLLVLSLFNCSITGTIPSSLANLSRLTELALAANYIDGSIPAGIGNNPYLGFLWLSGNNLSGLLSPSLFNLSSLYIFYVAFNQLHGHLPSDLGKSLPSIQELGVDGNLFTGALPPSLTNLSSLQVLYAAFNSFIVIVPSGLGRLQNLEVFSMGNNMLEANNEEEWGFIGSLVNCSRLQHLSFGWNRFAGKLPGSLVNLSTNLQLLQIYNNSISGVIPSDIGNLAGLEMLDFGKNLLTGVIPESIGKPTRLQLLFLDSIYLSGHLPSSIGNLSSLLQLYAGHNSLEGPIPPGIGNLSKLLALSLPNNSLAGLLPKSKGNNTAKLLGYKTSYKSQLPSISRFLDLSNNMLEGPLPLGVGSLVNLEVLVLSGNKFSGEIPDTIGNCRVMENLLLDGNSFQGSIPATFKNMAGLTILNLADNKLNGSIPSDLATLTNLQELYLGHNNLSGTIPELLGNSTSLLHLDLSYNNLQGEVPKGGVFKNLTGLSIVGNNALCGGIPQLQLPKCPSSYARKNRKGIPKFLRIAIPTIGSLILLFLVWAGYHKRKFKTVPKKDTLPQFAEIELPIVPYNDILKGTDGFSEINVLGKGRYGTVYKGTIENQAIVVAVKVFNVQQSGSYKSFRAECEALRRVRHRCLLKIITCCSSINHQGQDFRALVFEFMANGSLDRWIHSNLEGQNGQGALNLSQRLDIAVDIVDALDYLHNGCQPSIIHCDLKPSNILLNQDMRARVGDFGIARVLNEAPSKHPVNSSSTTGIRGSIGYIAPEYGEGLAVSTSGDVFSLGITLIEMFTGKSPTNDMFRDGISLHYYAKAALPDNVMEIVDSNIWLHDGVNNSIIDKLFSNDPAPWKNWILRDAASFDTPTNGSQSYLWKIISDELDTYRSITYVNVQMVLLPLSGSTTSCLVVLFSHTTRPDVSVQRVFQSRFDLCLRPRLTNVASVQQAALLSRLQDVVLTEEPNDRRLKLTSRHYTSKDAYTALDSSQDSTDPVGQRIWRTRVPNKVKIFAWLFFRDRLSTRCNLFTKHVLEDDICRRCSLHP >Sspon.02G0010050-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:26994572:26997531:-1 gene:Sspon.02G0010050-1T transcript:Sspon.02G0010050-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAQVLLVHLLLLAATSSADHHRHYWGRRALHEPLFPLESTPALPPPPPAPFFPFLPGVAAPPTVTPEAGSASASTPADTGAGDASSSSSSSPHPTAPANISSLTALPVSSHTAPLRSFLSSHRLLSVLVLVVAVAAAVLTAALVYILARRQRRHSPKEEAPTYTKPSSLAPANPVFYDGGDQHGRGSTATVSSTSSPELRPMPPLPRQFQQTRMNLPSCSKSVIDAGTGGKRASEGAPPPPPPPPPPPPPPMPPVKDKGCATAAAAPPAPPPPLPRAGNGSGWLPRRHSERPATTVIRASASAVHPEESPGRAPSEEQADAAALPKLKPLHWDKVRASSGRPTVWDQLKASSFRVNEEMIETLFVSNSTWRSSKNGTKGPNSSLCSQENKVLDPKKSQNIAIMLRALNVTKEEVCKALLDGQAESLGTELLEMLLKMAPSREEEIKLKEYREDAVSKLGPAESFLKAVLAIPFAFKRVEAMLYITNFDLEVDYLKTSYKTLEAACEELQGSRLFHKILDAVLKTGNRMNTGTNRGNAHAFKLDALLKLVDVKGADGKTTLLHFVIEEIIKSEGANILATGQTSDQASALADDLQCKKVGLKIVASLGGELSSVKKAAAMDSDALASCVSKLSSGVSKISEVLHLNQQLLGSEDSCKRFRASIGEFLRKAEAEIAGVQAQEGRALALVRETTEFFHGDSAKEEGHPLRIFMVVRDFLRALDHVCKDVVKMNERAAASGSSRRVGNMNVPPVFNAVRSTSSSEESSSP >Sspon.06G0013780-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:38419970:38421528:1 gene:Sspon.06G0013780-3D transcript:Sspon.06G0013780-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable pectinesterase 8 [Source:Projected from Arabidopsis thaliana (AT1G05310) UniProtKB/Swiss-Prot;Acc:O23038] MSAKTNRAAAAAAFLLFYTHLYFPTTAHLYSSTTPLPGALVNLTAPPLPLYPISVASTSTHHQYGCKYNPLCDDFPPDFPHPTHQRCPSSVSTPMAAVNSQPCRQQSMQFQTTAARGMFEKVTVPASKPNITFQGQGFDLTAIVWNDTAKSANGTFYSASVSVFASGFIAKNISFINVAPIPRPGAVDAQAVAIRINGDQAAFWGCGFFGAQDTLHDDRGRHYFKECFIQGSIDFIFGDARSLYEVSEEILKKDCKIILISIADPVPSGQRSITGSVTAHGRVSEDDNTGYSFVNCSIGGTGWIWLGRAWRPYSRVVFSYTSMSDIIASEGWNDWNDHTRDQYASSNVSLDSYLQNRKTVFYGEYKCTGDGANLADRVPYAQKLTDVQVLPYLNTSFIDGDQWLKPYCDSLISA >Sspon.01G0004060-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:9585034:9585866:-1 gene:Sspon.01G0004060-1P transcript:Sspon.01G0004060-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding KMSSRRSRSRQSGSSRITEEQISDLVSKLQDLLPEARLQSNARAGAICEGVAGDSQLHQELAPGGGRPEREAVGAAGYVRHEQRAGGCHPKPAHVAETSRSVHSLSRVIFSTCWRGRSLPNSPVYFAYVRTHCCRLAT >Sspon.08G0022420-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:51613043:51613782:1 gene:Sspon.08G0022420-3D transcript:Sspon.08G0022420-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSEPVQENLVMDYSQPSKPRVLLAASGSVAAIKFENLCRSFSEWADVRAVATMSSLKFIDRSSLPSDIVLYTDDDEWSTWKKIGDEVLHIELRKWADIMVIAPLSANTLAKIAGGLCDNLLTCIVRAWDYSKPLFVAPAMNTLMWNNPFTERHLQTINQLGIILIPPVTKRLACGDYGNGAMAETSQIYTSVRLACKTQPHDASSSLAVPVSNNRPSS >Sspon.06G0011150-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:47759706:47761761:1 gene:Sspon.06G0011150-3C transcript:Sspon.06G0011150-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGLLPETNAAAETDVLLDAWDFKGRPAPRATTGRWGAAAMILVAELNERLTTLGIAVNLVTYLTGTMHLGNAESANVVTNFMGTSFMLCLLGGFVADSFLGRYLTIAIFTAVQASGVTILTISTAAPGLRPSPCSANANNGGECARATGAQLGVMYLALYLTALGTGGLKSSVSGFGSDQFDESDRGEKHQMMRFFNWFFFFISLGSLLAVTVLVYVQDNLGRRWGYGACACAIAAGLVVFLAGTRRYRFKKLAGSPLTQIAAVVVAAWRKRRLPLPADPAMLYDIDVGKAAAVEEGSTKKSKRKERLPHTDQF >Sspon.04G0020050-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4B:73019348:73019879:1 gene:Sspon.04G0020050-2B transcript:Sspon.04G0020050-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding TTRHWMYNADRRSKEFIDGVRYFLRVAEENKQDGFIRCPCALCQNLKEYSSSRNIHSHLLKSGFMPNYICWTKHGETGIMMEECEEEQEQREPDDIITQFGGFDDTAMGDAEEEGGAEGTPAEDDALGDAIHDAQKECESEKEKAKFDRMLEDHKKLLYPSAQDGQKKLGTTLENN >Sspon.06G0023670-1P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6D:43098407:43099928:-1 gene:Sspon.06G0023670-1P transcript:Sspon.06G0023670-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ARPPGPWALPIIGHLHHLAGSAPPHRALRDLARSYGPLMALRLGTLPVVVASSPDAAREVTRTHDVAFASRPVPPALRFLYQGAEGIIFAPYGDGWRQMRRVCTLELFSARRVRSFRAVREDELGRLLRAIAAATAAGAPVNLTREISRYLTDSAVRAIVGSRREHHDEFLRTLEEALKNLTRFSLLDFFPPSRLTTLVSRVSRMIQRRRRAMRSEVPDMDAILYSIIQEHQERRDAAAAATAGVQNDDDEDLLDVLLRLQKDMDSQYPLTTLNIKSVIMDLLSAGGETASTALQWAMIELMRNPAAMRKAQDEVRTALTGQSKVTEDGLTNLHYLRLVIKETLRLYPPAPLLLPRECRSACQVLGYHVPQGAMVLVNAWAIGRDPAHWDAPEEFVPERFEHGGRDFRGADFEYIPFGAGRRICPGMALGLAHVELALAALLFHFDWELPEGMVAEEMDMTEAAGITLPPRSDLVLVAIPR >Sspon.08G0022780-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:50990778:51009864:1 gene:Sspon.08G0022780-1B transcript:Sspon.08G0022780-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSRRRPRGRRRRRPRRATATAGPPPSPVAWFRMLARELHWSFVFGVVATYGISQGLGGGITRVASDYYWKDVQRVQPSVAQVYQGVTSIPWMVKPLWGLLTDVLPVAGYRRRPYFILAGFRRQIPTPMVHLPSPGKRHAEPAEPAMAPARRAAAAAAVKLEVEELGADEHGPLSKRGKAAQLMPPTPPQPQQDMYHNVLDEPSPLGLRLKKSPSLLDLIQMRLSQASNAGESSMDNSGSEPSKKKDNKSGASTAGERLKASNFPANILKIGSWERLSKMSPQPAPDDLAEDKERETNVLIEAAAPGSPAKAAEEGDGHGRPPPSPVAWFRMLARELHWSFVFGVVATYGISQGLGGGITRVASDYYWKDVQRVQPSVAQVYQGVTSIPWMVKPLWACSPTCSPSPDTAAGPTLFLQLGFRRQIPTPMVHLPSPGKRHAEPAEPAMAPARRAAAAAAVKLEVEELGADEHGPLSKRGKAAQLMPPTPPQPQQDMYHNVLDEPSPLGLRLKKSPSLLDLIQMRLSQASNAGESSMDNSGSEPSKKKDNKSGASTAGERLKASNFPANILKIGSWEYVSRYEGDLVAKCYFAKHKLVWEVLEGGLKSKIEIQWSDITALKATCPENGQGTLDVALARPPLFFKETDPQPRKHTLWQATSDFTGGQASMHRRHFLQCPSTLLSKNFEKLIQCDQRLYQLSQQPEIALDSALFEPRCSIFEDPVESKCAGFTNLKDEHEALPGYSGSVSCAGSSISAKNENNDSMGMPAEYLPQTVGTGVGAVGVQAVGRNVNGAAPDFNIPHWWSQLKVPGLRPSMSVDDLVNHLGNCISEQITSGNPALANNEVPTKETLEGIAQYLLGDTQGPPVSASDERSLMARVDSLCCLIQKDTAPVAKPKPEPNDSDSIGAEASDGSDEEFSSAPTGKPTDATNPPAMSRKDSFGELLTNLPRIASLPQFLFKIPEDTEN >Sspon.03G0015980-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3A:51048295:51048939:1 gene:Sspon.03G0015980-1A transcript:Sspon.03G0015980-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKAKVKCVYYRYGGGEGVILCLYVDDILIFGSSLKVIEEVKEFLSNNFEMKDLGEADVILNIKLLREGDGGITLLKSHYVKNVLSRFGFNDCQPAPTPYDSSVLLRKKQRISRDQLRYSQIIGSLMYLASAIRPDISFVVCKLSRFVSNPGDDHWRALERVMRYLKGTMSYVIRYTGHPNVLEGYCDVNWISDADELYATSGYVFSLGGGAVS >Sspon.02G0051350-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:76173012:76174891:-1 gene:Sspon.02G0051350-1C transcript:Sspon.02G0051350-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRNRDVVWEHGENLAPGWRCNYCHVTKAGGGCTRFKQHLAGHGADTLHCDRVPPDVRAYFQRDLDRAKKTTADRARQRLAREKAAAEGNHPLGDDEYEAQMKEALNQSRAEYDYEQRVQQRGGNYDRGGGSGGGGSHGGNPIERLFRRAGSRRETPVEVEDYHVAAGGRRGMHQQRIDTGSWTQKGKNAKEAIGKAWGKFFHYVGIPGRNADNPYFVSAVRETQKWGEGIASPTGRDIDGKYLDQNEKDLKDRYAKFQKEWPNFGVTLMCDSWTGPTKMSVINFLIYCNGVTWFHKSIDASGKSQDANYLYREIRKVVEEIGAEHIVHVVTDNGANYKKACNSLKEEYGHIVWTPCLAHTINLMLKDIGNRPIMQ >Sspon.02G0007770-3P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:18081549:18085002:1 gene:Sspon.02G0007770-3P transcript:Sspon.02G0007770-3P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SLVSRSMASLFGNGGYSYSSGSEGEDEDEGTEGYRKGGYHAARPGDRFAGGRFVAQRKIGWGNFSTVWLARLQILQRFVALKIQKSARDYAQAALHEIELLSAVAKGDPTNSKCVLRLLDHFKHAGPNGKHVCLVTEFLGDSLLRLIRYNRNKGIGLSRVKEICRSVLVGLDYLHSQLGIIHTDLKPENVLLDSTINPAKDPVRSGLTPILDRPVGNQYGGTVISFSEKMLKMRARRAVAKISQRRVSLGGVGAELEKERCLDGISLKCKIVDFGNACWADQQLVGEIQTRQYRAPEVIIGSGYSYSADMWSFACIAFELATGDLLFAPKNCQMLPILQDHLALMMETLCFAVFQIATSGTRSKDYFDRHGDLKRIRRLKFWPLDRILVERYNFTEPDAKGFTDFLRPMLDFTPENRPSAAQCLK >Sspon.03G0033190-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:52808181:52817651:1 gene:Sspon.03G0033190-1P transcript:Sspon.03G0033190-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPASRVHLAMAHAALPALLPTPPKWKMLPLLPTPCPCVAAILGLPKPLAKPSRADYDERWDARKTKAASIESSASSSPRSADSVRSNAGRGSSCKNATSPPPKPGRADSVERWDAHKKAASPASSSSSSGTSPLVSSTCTISRASSAERWDVHKKRRPPQAKELDDGESSSTGSNDIDTEEEEILWKPRAMYAGPGFVVASPESSMLPMPTAFLASLGPIYFCCCYQKSCISPIVISLFHPSPRFTWRRFCLLVCASPSWIVGSMAIPVSRVHLAMAHAALPALLPTPPKWKMLPLLPTPCPCVAAILGLPKPLAKPSRADSDERWDARRTKAASIESSASSSPRSADSVRSNAGRGSSCKNATSPPPKPGRADSVERWDAHKKAASPASSSSSSGTSPLVSSTCTISHASSAERRDVHKKHRPPQAKALDDGESSSTGSNDIDTEEEEILWKPRAMYAGPGFVVAAPESSMLPMPTAFL >Sspon.05G0031190-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:3548385:3549230:1 gene:Sspon.05G0031190-1C transcript:Sspon.05G0031190-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVERSHAKNVPRERSRPTSSRTARAAPRPVRRIRPRRGNQKAKIGDDVESEESAPSESQDDQNLDTDDTSKIEEGITSKKKGLPPPASKPARRTRATRGNQHTKIDDGGSEESGPCETGQDNQKLGTDCISKMEVDNSDKDCGPPPGAQLFTLGEQEAKGVKLNTVEEKPDSPFQRTTAAEAMSSAPGEKIEQMVDPLHAMLLDMLPLLGKKGTEDTSRAPLAKVEKDPPAVGSSTSNSEILVPDAGTSGVPAPDPNAAPPAKKKKVSYKDVVSELLKDC >Sspon.01G0010790-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1A:29947250:29947456:1 gene:Sspon.01G0010790-1A transcript:Sspon.01G0010790-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALDALCRSRLQLQELSLDQDRVRGRTCRVLFLRWLGSLLLHNTSSLSECGVVERANELDLSLRLSGH >Sspon.02G0039720-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:60654320:60666828:-1 gene:Sspon.02G0039720-1B transcript:Sspon.02G0039720-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRTAALLFRRRVSAAAAAPKPLQQYFAGLSTAVGHVTPDDSGHIGGGEENKKRWVELPPFAPVDANAAARAISRGDGGEGACSNATAIRWVRRCCPHLPASLVQKLFRLRKVKKNLVTADTSSTDSIAQQLRLRRVSAKDELVPGDILFLPVNIQESSVTEKTKKFGNKNEIDFLRGLEIYKDRAIIVLNKPPGMPVQGGVGIKNSIDILAPMFEDGSSEAPRLVSSGCCSCYSLAVLMVHRLDRDCSGVLVLGRTQLSASIMHAIFREKTADALGDGTQQVLQRKYVALVIGRPRHPKGLLSAPLAK >Sspon.02G0015290-3C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2C:43266971:43267234:-1 gene:Sspon.02G0015290-3C transcript:Sspon.02G0015290-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQPAFLSSLRSRLRSAPPPPPPHHRLQPSRGYHVELGAREKAVSASPHSLAVSSLIRYFDRGVVWWTGGRRDSRYDSWVCGIRARL >Sspon.03G0037830-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:1391153:1393482:1 gene:Sspon.03G0037830-1T transcript:Sspon.03G0037830-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSFGLLKEDSDGSKFWAYGGDFGDTPNDSNFCLNGIVWPDRTIHPAVHEVKYLHQPIKISSAENMLKIENGHFFETTEALDFSWVLHGDGCILGSGPLNVPTLAPQTSQLINMESSPWFALWSACAAKEVFLSVNAKQRHETRWAKAGHLLASAQLCLPQKNVFVPNVIAFSSSPLACERIGDSVTICKNNAWKIKVNSQLGTIDSWKVNDVELMSKGILPCFWRAPTDNDKGGFFTKPYASRWREAFLDNVSFHSSQFSVKELPDNTVEFSTVYYGVPGHLPKPDDEASESILFRVKMVCRIHESGDVVLDYEVNPRADLPPLPRVGVVFSAERSLSNVTWYGRGPFECYPDRKAAAHVGVYESSVEELHVPYIAPVECGGRADVRWVALRDAGGLGLFATAHGGSPPMQMSASYYGAAELDRATHVHRLVKGDDIEVHLDHRHMGLGGDDSWSPCVHEQYLLPPTRYAFSLRLCPVLPTSSCRDIYRSQLPS >Sspon.02G0008580-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:18493966:18499866:1 gene:Sspon.02G0008580-4D transcript:Sspon.02G0008580-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCGQSKMEEEYAVRHCRERSELLALAIRQRYMLADAHHAYAESLRSVGALLHDFLRGVQSLPPPPPEPALRLPQQRKGDGLPAASPPPAIASSSAAAPPVAKQVRIAPDDGHIHFSDDDSDSEGGHIKFHDDEEPDQARRRPEVVRSAGEPGPPPPQMGPPYASGYAPPPYGPGYGYGYANGPGPGPGPGPDYGSIGMNGGGGYEPGYGVMGGGGGYGQSYGSAGYDDQGYGGMGSGGTAGGYDQSYGGIGGYGQSFFNINYARSQPPPPSVSHEHRLQATNARVHYYSGNGEQQPPPRGYGGGYYPPQSSSSYNQDAYGGYYGGGSAPAPPADIPSSSREPAAPPPPPSPPRVSTWDFLNPFETFESYYEQPTATAAPYSPSRSSKDVREEEGIPDLEDEDMEVVKEAYGDEKHPVKGYMGNGKAAKEEGRSSTGDELPRKSKASEASSSGSSLEHDVHVVEKSVVGEQVQPRQHVAGLPPTGSEKIYIDDTEVVVEIRTQFDRASQSASEVSKMLEVGKMPYYQKSSGFKVSAMMVCGIPTMEEEFLRFEEDKAMGSGNLSSTLQKLYMWEKKLLEEVKAEERMRVLYDRKREELKMLDEKGAEAHKLEATELYIRKLSTKISIAIQIVNTISEKISKLRDEELWPQTCELIQGLMKMWSVMLECHQIQLYAISQAKNIDSMIDAAKFGDAHMDLIKQLELQLLDWIACFVAWVSAQKNYVKTLNQWLRNGVIYVPEETEDGAPPFSPGRLGGPPIFVICNNWAASVDMISEKEVVEAMQAFASNILNLWERHRSEQRQGLMANKGMDRDLRLMERDEQSMRKALEEQNKKLVLISNQGSVSLSAQCYMSEALVLKLAVYKQV >Sspon.08G0011840-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8C:48640955:48652878:1 gene:Sspon.08G0011840-2C transcript:Sspon.08G0011840-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKFELEVGLVRARQVFEETPSWPPCTRVRPTIVSAVVGCPAPLCRWGGVRALAPHFPHLPGPFPRSSALAFACCRRSNTLPWPSPPPWSTPESSSPSLVRAFPVPASLTLNIPAPRYLLSAAFPVAQPLTSCCRRSTSRRAAGHHGRATWDHPRLNRAAPQRRQKERRRPVDLWRFLTEMLPMIHHQGEQLQVHEQKGQCKRWENDTSHSAPFQWIYSCKDNLSKQTRPMTITNLFDNPTEMGSRDPEKNASPARPDNNGLGGRGRHWHSQAASQQCLDAVENTARRAEAEEGTVEQNSTPWIARKKVTALAICLVALPVLMTTVSRRDSPWTPASFWPLATFARQEKLLGGLLVPGFDERSCLSRYQSAFYRKNLTRSPSAHLIKRLRQHEALQRRCGPGTEAYRAAAARLRSWRRDGTNDGACRYLVLVPYRGLGNRILAVASAFLYAVLTDRVLLLDGNTSLGDIFCEPFPGTSWLLPPHFPISNLQNLTGDVRESYRNLVQNDSAASLASRLPYVFVDLDHSCTYHDKLFFCDDERPFLRRAPWLVMRTDGYFVPALFLNPAHQDELDRMFPRKDSVFYLLAHYLFHPTNKVWGLITRFHSSYLRDSDERLGIQVRVFDGDTPFQHILDQILACTSQEHLLPDVVTQEPPRPSTAGARSKAVLMTGLSSWYYENIRWKYWQLATATGEVVSVYQPSHEEHQLSGYTTHDMKAVAEMYLLA >Sspon.03G0025140-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:76051504:76054169:1 gene:Sspon.03G0025140-1A transcript:Sspon.03G0025140-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLQEGLLFSDTLKDLRNLRSQLYSAAEYFEVFYRNNSHKSTVMTSLKDYTVEAVVSTVDHLGFVSYKVDNLVSEKADEVNETEFLVSSVEQRVRICQQTADQEGRSQQALLIKAPKCDREPSPTPNDAYHRSRSLSPSRKARAKSPSPRIVNTNTTKETRAGSPIPNSNPLARSATVARRPPLSSKHLRQTSMQLHTDWDQHKEQEKSSSKGRGFLKSLLTRRRWRNDESLYSYLDEY >Sspon.07G0031790-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7C:31036672:31037049:1 gene:Sspon.07G0031790-1C transcript:Sspon.07G0031790-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGKVTAAVAKPTVLCCCDHVLEQGVVTGVCFVTWVQQLYCMTVRMNIDCNGCYQRIRRALLHMQDLESHLIDRKQHRVSVCGAFVPQDVAIKLRKRTNRRVEILEIKEVDAGAGGDGGGGQQPS >Sspon.02G0050760-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2D:60368246:60373530:-1 gene:Sspon.02G0050760-2D transcript:Sspon.02G0050760-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADRPPSFPSLFSFFPSPSHPSWAQIAAYPPGAAPAAGSPAPGRTSARESAPFPAAPGSGAAVPGPRPSLPHPMAGHPSLPNPHPMAGHPSLPNPHPLAAALTANTAAPSSGLGEDETPAAGAEDPIPAALPAGTGTSSATAALQTALHSLLGADAGADHLPTTLAALLRAAGTDAAVFPTAAMAPGGGTPLLPATGSDSALAAALVAARAAAAEGQARVRAAAAVWERERDAADALARQIAEAEHLLISPAGHDAGATSSASPGRRVSHTAVLWHDPADPLVAQLHYQAGGVQNIRLLVPVVLEPESPSYARWRDLVVLTLRRYALDDHVLLDAPVAAQTPSWIRLDSIVLSWILGTISLDLHDLVRTSPDARRAWLALEGQFLGNAEARALRLDASFRTFVQGDLSVGEFCRRMKSMADSLGTSGGLWRIASWSSTSSGGSVTATPTSGRGSPARGPFPPSWRSVTILSWRSSHRASSRAPLLPRGPPRRLWLLLRRASLLHGRLLHHRRLSWVPLPPGRAENPWSGRISMWPYHATGTDSRPPVAMLTGAPPAGPPLGVFPATPPTTPWVPPSGPSGAPPGVAGWDQAALARSFGTMGLTPPVGPEWIADSGATYHTTPDPGILSSVHSPSSSLPSSIMVANGSCIPVSSVGTAGAHGSFRLPDVLVAPSMVHNLLSIRRFTADNSCSVEFDSSGLTVKDLASRRPLLRCDSTGPLYTLRFPAALLLRRPFCQLPSSLLLPLLPGTGASAILDYYLVVVDDFSHYSWTFPLRAKSDTFTTLLHFFTWVSTQFGLTIKAVQCDNGREFDNSTARDFFLSHGVQLRMSCPYTSSQNGKAERMIRTTNDTVRTLLFQASLPARFWAEGLHTSTYLLNRLPSAACPAPTPHHALFGTPPRYDHLRVFGCACYPNTAATSSHKLDPRSTLCVFLGYSPDHKGYRCFDLTSRRVLISRHVVFDESVFPFSSTTTPPVPPRRVLSQPPVPAPLRVRAPRPPVRPRLLQLVQTRGLRPPLLPRGVVPVADAGPCLGTCPGGSVEVCRAGTGIPAQAAATAARGSGPFSAGNTDTTATVSAGPWCPAGLPPAALHRHPRHVHPMVTRHAAGTLPPRALEASTGDAVVSPVPSSVRDALLDPHWRRAMEEEYAALLANQTWELVPRPPGANVVTGKWIWTHKRRADGSLERYKARWVLRGFTQRPGVDYDETFSPVLDVKNAFLHGLLTETVYCSQPAGFVDNTRPDMVCRLNRSLYGLKQAPRAWNHRFAAFLLTLGFMEAKSDTSLFVYHHGADTAYLLLYVDDIVLTASSEPLLRRIIAALQQEFAMKDLGELHHFLGVTVEHRPAGLLLHQRQYTRDILERAGMSDCKPCSTPVDIQGKLSEARDHRWTTPLLQQVCLHMHDPREPHLTALKRLLRYLRGTLDYGLLLHRASSTDLVVYTDADWAGAEAEYRAVANGVAEAAWLRQLLAELHTPPSRSTLIYCDNVSAVYLSTNPIQHQRTKHVEIDLHFVRDRVAMGEVRVLHVPTTSQFADIFTKGLPSSTFAEFRSSLNITPWL >Sspon.04G0033190-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:50964782:50969527:-1 gene:Sspon.04G0033190-1C transcript:Sspon.04G0033190-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPYSKLRRLTYSDAGKMSVDWASLDKDLVELIGWRVLAGDLPDYVRFRAVCSHWRASTVRPSRRGVLDPRFYPRRWMMLPEGHGLYPGHPDLGGFVRFFNLSTGAFVRAHLPLLDDHVILDSIDGLLLLHRDHDTAIRLLNPFTGDVAELPPLASLLPQIEFTHRYNEQSKRTGLMSVRASSVTVSSTGTITVMLAFDLLHRVAYATTGDQRWTLFAWKIQPLLRPVSFQGKFYAMQIVVSVRKLYIHQINPPIQDTAEELSNLLLPVKIAEFPMEKFLYILNFVECCSELLLVAYNDASRSKLVIYRLADLVNGKFEPVTSIGNHTLFVGERCLCVSFSPNKGSKNLLSISPNSVICLHSLPVNHDSEDSSHFEQYDLGTGIWIPASDGNIFQMPPASPHTLIHHIFTCCRLKYWNKGLMFCAETEPVWLVKQELRYGA >Sspon.04G0013710-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:56404023:56407435:-1 gene:Sspon.04G0013710-1T transcript:Sspon.04G0013710-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPAEETPAAEDTASPRPAAARSRGFWFLGEDKSVHKALGGGKTADVLLWKDKKTSAAVVGGATILWVLFEIVEYHLLTLVSHVLIVALTILFLWSNATVFIKKSPPDVPEVQISEDLAVNIALALRADINKALALLREIALGHNLMKFLGVIVALWILSEIGELCDLLRFMYIAVLILHTVPILYHKYQDQVDEFAAKAHSELCKQYKVLDAKVLSKIPRAPPRDKKQN >Sspon.05G0008180-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:16372380:16386595:1 gene:Sspon.05G0008180-3C transcript:Sspon.05G0008180-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MIMIYESYNLGYGLLALQAHLPSLHPPPCNIEAKPSNCKEVHGWNSTLLFASLYISAVGDGCMRACMPSLGADQFDHENPTESRQQSSFFNWYTFGISFGGFIGLILVVWLQNDKGWDIGFGLCAILILLGLLVVAVGLPFYRNQIPEGSPLTRILQVLVVAFRNRSLELPEKLEEAQESSAEPCSIEVLPETKSLKILDKACINRGKDGAWSLCSRTKVEETKIVLRLHIGYVSNPVLFTFTVQQGGMTNTRLGKIHVSPATLFIIPTIFQMVMLPIYDQFLVPFLRKRTGYVSGITHLQRVGMGFASIILASVIAAVIERKRKEAAVQMSLFWLTPQFFLLGVSDVTSFPGLLEFFNSEAPRGMKSIAAALFWCVLGLSSMLATFLVQIGHEAWEPGRWLEATSLNSSRLDLFYWVVAVVGLLAFFNYLFWAKRYVYRHNPRIVVTDEPSALWTGKETPYIERYMEVFEQQFLTIVTSMVNVPNLLNLVTYLHGTMHMGVSSSATTVTNFVGATSGFALIGAFLSDSYISRSRTILLFGPLEFLALDCLHCKPTFHHSIHHLAILKMNELSAKRFMVGMLPYYMQPCISVHLVKVASVLACHLSEQTSLTMKIPLSPANSPASLTGTPLGSPWVLVVAFRNRRLELPEKLEEAQEISTGAGTIEVLSRKNNLKFLDKAWISRGKDGAWSRCSVAKVEETNIVLRMLPLFVSSMIGYVSNPIILTFTVQQGSMTNTRLGKIHISPASLFIIPITFQMVMLAIYDRFIVPFLHKRTGYASGITHLQRISLGFASMILASVIAALVERKRKDSATQMSLFWLAPQLFLLGVSDVTSFPGLLEFFNSEAPRGMKSIATALFWCEVGIASLLATFLDPRVADEPSVDQDAPHITYLSLPLVLARTAATTRAQTPIPPLCLPSHKSQARPETNRKKTGGIGGALRLQAPKS >Sspon.04G0024300-3P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:23056657:23063630:-1 gene:Sspon.04G0024300-3P transcript:Sspon.04G0024300-3P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPHTTAAGGGGSGGGGEDEVSEAAAASPALRHRHAAGKGDGDGEGLGGNGGGAGADGGQEASVERVFADKAVPSWREQLTLRAFVVSALLAVMFSVIVMKLNLTTGIIPSLNVSAGCSASSSSACGPPPSSGWASSASPSPGRRTPSSRPASYLFGMSDKIAKQATEAKDANNIKDPHLGWMIGFLFLVSFIGLFALVPLRKIMIVDYKLTYPSGTATAYLINGFHTPEGAKLAKKQVKTLGKYFVFSFFWGFFQWFYTAGDECGFKNFPTLGLEAYNNRFFFDFSPTYVGVGMICPYIVNVSVLLGGILSWGVMWPLIAKKKGSWYPADLEDSSLHGLQAYRVFISIALILGDGLYNFIKVLIRTIAGFIAMVQQNSKSMLPVSDNGSSMSSTEAVSFDDERRTEIFLKDQIPKSVAYGGYVAVAAISIGTLPQIFPQLKWYYILVAYVVAPVLAFCNAYGSGLTDWSLASTYGKLAIFVFGAWAGLSQGGVLVGLAACGVMMSIVSTASDLMQDFKTGYLTLASPRSMFISQVIGTGMGCVIGPCVFWLFYKAFGDIGESGTEYPAPYAIVYRNMAILGVDGFHSLPENCLTLCYIFFAAAILINLVRDLTPHRVSRFIPLPMAMAIPFYIGSYFAIDMFLGCAILFVWEQLNKAKADAFGPAVASGLICGDGIWTLPQSILALAKVQPPICMKFLSRSTNAKVDSFLGKNEILVRWCNSMEEIKQHTSNPQEVDIGSKHHKSPCKSRKTSI >Sspon.06G0017300-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:93995798:94010442:-1 gene:Sspon.06G0017300-1A transcript:Sspon.06G0017300-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPQQQRMKQAAAAAQQQQLMQQALLLQQQQQQAQPPLFPGQHHPHPGLLAPPQMEPIVSGNLPPGFDSSTCRSVYVGNINLQVTDAVLQEVFQSIGPVEGCKLIRKEKDAQSAINDLNGKWLGNRQIRCNWATKGASAGEEKQIVDSKVDLTNGTSESGKENLNEDGPESNPQFTTVYVGNLPHEATNNDVHLFFHSLGAGSIEEVRVTRDKGFGFVRYSTHEEAALAIQMGNGQLIGGRQIKCSWGSKPTPPGTASFPLPPPAPAPFSTGVSATDFMAYQRLALSKMATNPALMGQHALKQVAALGMGGGASQSIYDGGFQGINTATTTTSAQQQQQQLMYY >Sspon.05G0040030-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:84774731:84776998:-1 gene:Sspon.05G0040030-1D transcript:Sspon.05G0040030-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQARIHLVMVLAIAAIAAPPKKKPSAPRSFPSLQSQHLRRQRRSLPGPFLAVPGGGSSSSAPILPPPPSPPVPVPAPPAAVNCVTASSGLAPCGTFLTGRAVPPPTPQSSCCNGLAAFLSGARAAGPVAGAQRLRCLCPIIHGDVNAVLPKPVDPVSMMYLPVACGLALPPETIAICFGAPCFPFLSPPLSPSGSLVRQAGSPGAPAREAPRAAGPLAPRQGRTSARQPRRPRRGRPRRGKPGSLAREAPGTAVPCPSAATPALVASQRCGGAEPGKELLGSQLKVLLWGKVGGGRRTWFHP >Sspon.08G0013730-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:53057836:53062389:1 gene:Sspon.08G0013730-2B transcript:Sspon.08G0013730-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MYVVKRDGRQETVHFDKITARLKKLSYGLSQDHCDPVLVAQKVCAGVYKGVTTSQLDELAAETAAAMTASHPDYASLAARIAVSNLHKNTKKSFSETIKDMYVHFNERSGLMAPLVAEDVYEIIMKNAARLDSEIIYDRDFDYDYFGFKTLERSYLLKLGGKVVERPQHMLMRVSVGIHKEDIESAIRTYHLMSQRWFTHASPTLFNAGTPRPQLSSCFLICMKDDSIEGIYETLKECAVISKSAGGIGVSVHNIRATGSYIRGTNGTSNGIVPMLRVFNDTARYVDQGGGKRKGAFAVYLEPWHADIFEFLDLRKNHGKEENRARDLFYALWVPDLFMQRVQNNGEWSLFCPNEAPGLADCWGEEFDNLYKKYESQGKAKKVVPAQTLWFDILKAQIETGTPYMLYKDTCNRKSNQQNLGTIKSSNLCTEIIEFTSPTETAVCNLASIALPRFVREKGVPLESHPSKLVGSSDSKNRYFDFEKLAEVTSTVTYNLNKIIDINYYPVETAKRSNMRHRPIGIGVQGLADTFILLGMPFDSPEAQQLNKDIFETIYYHSLKASAELAAKEGPYETYEGSPVSKGILQPDMWNVVPSNRWNWPSLRETISKVGIRNSLLVAPMPTASTSQILGNNECFEPYTSNIYSRRVLSGEFVVVNKHLLHDLTEMGIWTPTLKNQIIYDDGSVQKITEIPDDLKAIYKTVWEIKQKTLVDMAVDRGCYIDQSQSLNVHMEQANFGKLTSLHFHAWSKGLKTGMYYLRTRAAADAIKFTVDTTLLKENGNVVSNGKPTEEDVEAKMAQMVCSLNNREECMACGS >Sspon.02G0025700-3C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:93991164:93991732:1 gene:Sspon.02G0025700-3C transcript:Sspon.02G0025700-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GHPGTPSRWPAGPSYAVELGRLDGLSSAASSVDGKLAPPSFDLDQLTALFARNGLSRADMVALSAGHTVGFAHCGTFAGRIRGAAAPDLMLNRSLAEKLAAWCP >Sspon.08G0027210-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:6566826:6568208:1 gene:Sspon.08G0027210-1P transcript:Sspon.08G0027210-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MILKLTQICQDSRLLTSSTNNLPIRHLLAFLLITVVTVKYLTRHPRRVYLVEYACFGPNSNYRIAPASLIENVRLAYFLDDNISFLSNICRRSGLGDKTCLPSSHHYIPSIPSFTLARMEAEQVIFAVVDDLSAKASTEPSKIDILIVNCILMTMIPSMTNMIINRYKLRSDIQNMQLSGMGCSAGLIAVGLARNLLQTMPYGAHALLVSTEILTCNSYAGKKRSMQLTNMLFRMGGAAVLLSNSRTNGARFQLLHTVRTSTGAQDSAYRCAFQEDDEGNLGVNLSKDLVAMAGEALKANITTIAPLLLPVSEQLSFLLSSIAQKVFIMNKSRAGHQYVPNFGLAAEHFCIHAGGRAVIDAVQRSLNLSDEQAESSRMTLHRFGNTSSSSVWYEMAYCEAKQSMRKGDRVWMIGSGAGYNCNSTVWKCIRPALSADSAWANCIHRYPIEVKSQNKSNQLP >Sspon.05G0013930-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:42859412:42861692:1 gene:Sspon.05G0013930-3C transcript:Sspon.05G0013930-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTILTPSLIFVLATTTVAIFLSPAVAVGDIATTGAAAAEDAAGTYLVVVCRANGPKENGEKLREWHASLLASLLNTSTATVLEEAWTPEGGQLVYSYQHVVSGFAARLTVPEVDALRKLHWCVDAIPDVNYRLRTTYTPTLLGLNTPQTGMWAAARNMGEGVIVGVIDNGIDPRHASYGDEGCRRLPSGGAGAKAHRRAVADAGEHGMHTSSTAVGAFVGDVQMFRTKVGTASGMAPRAHLAFYEVCFEDTCPSTKQLIAIEQGAFMDSVDVISISAGDDTQKPFYQDLTAVGSFSAVTSGVFVSTTAGNQGPYEGTVTNCAPWVLTVAASTMTRRVVSRVRLGNGLVIQGEAGKRYRALKPAPLIYVQGVFENGSLNAVDVRGKIVFCDRSEGATLRGEKVRAAGGGGVTSFVGNVSIAAARVSQADGEKIMSYINSTTNPTASLHFTGVTLDPSYQPAIAIYSSRGPCNMSNLGVIKPDITGPGTNIIAAVPGGAGGNGSAPTRTFGLMSGTSMAAPHLSGIAAVLKRARPGWSPSAIKSAMMTTADVTHPDGTPITDQITGKPAGHLLMGSGIVNPTKALDPGLLYDLSGLDYIPYICGLGYNDTFVNEIIAQPLRNVSCTTVSKIEGKDLNYPSLLVTLTAAAPVVEVRRTVTNVGEAVSAYTAEVVAPPSVAVEVVPPKLEFGSVNQKMDFRVRFSRVGAAPNGGTAEGSLRWVSGKYSVRSPILVLDGTQNLV >Sspon.05G0005910-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:20420301:20422788:-1 gene:Sspon.05G0005910-3D transcript:Sspon.05G0005910-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSLLDTAVAAGLCPAAAGRRRAGSTASFLSCSCSSRVCFRIGLWLQLHQTNRSPSRLQGERVLLPLHQPDAERGAVGGSQEALPDRPAAADLLGIANWSDETSGGAGGHHQQQHWWTALENNFVLEATDDEYGGVVVDADRLPDDKAAFARSLAASLSYWKGRKGVVEIAGGSSRVRSISSEGSFKYHHAEEAYLMMTYWIPDDEPNMLPANASHQVGVGGFVINDQMECAERYRGSSLDGVWKLPTGFILASEEIYTGASREVKEETGVDTEFVDVVAFRHAHNVAFQKSDLFFICMLRPVSSEIKIDETEIQAAKWMALEEFVKQPFIQEDHMFQKIMDICIQRLRKCYCGLMPHHVVSKFDDRTSTLYYNVAEPEDVNCSAA >Sspon.08G0010970-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:44043885:44050525:-1 gene:Sspon.08G0010970-2B transcript:Sspon.08G0010970-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSMDAVVKVFCVHTEPNFSLPWQRKRQYSSSSSGFIIGGRRVLTNAHSVEHYTQVKLKKRGSDTKYLATVLAIGTECDIAMLTVEDDEFWKGVSPVEFGSLPALQDAVTVVGYPIGGDTISVTSGVVSRIEILSYVHGSTELLGLQIDAAINSGNSGGPAFNDKGKCVGIAFQSLKHEDAENIGYVIPTPVINHFIEDYEKSGEYTGFPILGIEWQKMENPDLRKAMGMKANQKGVRIRRIEPTAPESGCLQPSDIVLSFDGIDIANDGTVPFRHGERIGFSYLVSQKYTGEKARVKVLRNSKIHEFNIKLATHKRLIPAHIKGRPPSYYIVAGFVFMVVSVPYLRSEYGKDYEYDTPVKLLDKHLHAMAQSSDEQLVVVSQVLVADINIGYEDIVNIQVLAFNGTPVKNLKHLLTMVEECDEAFLKFDLDYDQLVVLETKTAKAATQDILTTHCIPSAVSEDLKS >Sspon.05G0019920-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:85357503:85360704:-1 gene:Sspon.05G0019920-1A transcript:Sspon.05G0019920-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTGVLAVLVAAAVVAAAALLSSPDDSRRDVAVLEIGGSEDGRVELVPVDAGAAGPESLAFDGAGGGPYAGVSDGRVLRWVPGERRWEEHSSSCAPELYATSPACELYFAAPLFFLSAIYTMRARGEVALAALLAAAALLLSSLDSRSDVRVLEIGDGDAELLPLLDGAVGPESLVFVDDGDGGPFTGVSDGRVLRWVPAERRWAEHSSAAPDLLDSCRGAQDPGREHECGRPLGLKFNHGTGELYVADAYHGLRVVSPDDKVSRPVAPQWWQGTGRTFSFANGVEVDPDTGAVYFTETSTRAGKVEELADGLAFPNGLAMSRDGTHLLLAETTTGRILRYWLRPAAKATAIEEVARLPWFPDNIRMSPRGGFWVGLHAKRGKLAEWCISYPWLRRLVLALPTRHVQRASWLLNRLGRQVIAVRLNEEDGKVMEMVSVHGDLQKVFRS >Sspon.04G0018310-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:71606428:71616603:1 gene:Sspon.04G0018310-3C transcript:Sspon.04G0018310-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AMAAAAEKARVVVIGGGPAGSLFAKTMQGHADVVLVDRKEYLEIPWAELRNMVEPSFAERSLIYHKDYLNDATIVTSSAVNITEDAVLTADGQSLPYDYLVIATGHALTSPASRAERIKEFQTDNEKIESSESVLIIGGGPTGVELAAEIAVDYPGKKVTLIHRGPRLLEFIGEKASKKCLDWLTSKKVDVLLQQSVDLGSLSDTEKVYKTSGGETVTADCHFVCIGKPLSSSWLHDTILKESLDSKGRVMVENDLRVKGYSNIFAIGDITDIQEVKQGYNAHKHALLVAKNLKLLIKGLPNSKLATYSTGYPLALVSLGRKEGLLQLPLLTLSGCLPGKIKSGDLFISKTRKQMGLTVRKTFNINTAALSCIAFYAIGFTMLASAHGRAGFVF >Sspon.03G0033030-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3C:50631347:50632163:1 gene:Sspon.03G0033030-2C transcript:Sspon.03G0033030-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ELDNNNDDDGGYATSPAARVELQQVASGQQQEQENKAKESSKKQQQWWVIDEIRIDISFLVLGMGASATDPMQMQLVVKMIDVAGVLLWLSSELDNNINDDGGYAAAPSARVELQQIASDL >Sspon.06G0003100-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6A:9658932:9660981:-1 gene:Sspon.06G0003100-1A transcript:Sspon.06G0003100-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPPPSPAVPVKESKLCSADLAMCKSFLPSYLSGFAFSCSDDLRGELIMAWSPTVVSADAISHSDHCLTVSFSTSFAYAFIITNVYAPSDHRTIATFLEEFESQAAPSSTNWVAIEDFNLTRDPVDKNTAGSDRHLAASFSNLIDQLALIELPLLDRLFTWSNKRVVPTLACLDRALINTAFEGDFPNSSLTSRLGSTSDHVPLTLSIPTAIPKSHRFYFENAWLKSPNFLLTVSPAWTNAWVLRDAGGGLVAHVKAVRHAAKL >Sspon.05G0032220-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:15490514:15496375:1 gene:Sspon.05G0032220-1C transcript:Sspon.05G0032220-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIADKGDNMHERKRLCWALDCGKLSREVRTHAAQNEWPAAAPRRGAGAAVKAGKDGWRCRQVLGRLAASCPSPLLAHLWIALCIGTNSSAWLGTAALVTNMRNFPLSRGTVAGLIKGYVAVSAAVYTETFNGMLGNSPTNLLLLLALGIPTACIVVMYFVRPCTPSLDEDNSTEHSHFMYTQISSVVLGIYLMVATILGDTLKLSQAVTYLLFGIMILLLLAPLAIPIKMTLYPNKQTKEKPSTLAPSYSTDSLSGADPENSQPLLGSASTTFATGTNEYDDSTDLDLLLAEGEGAVNLKKKRGPRRGDDFTFLEALVKADFWLLFVVYFCGVGTGVTVLNNLAQVGMSVGANDTTILLCLFGFCNFVGRILGGSVSEHFVRSRMLPRPFWMMCTQIIMVVTFLLFATGLHSLIYVSTTLLGICYGVQFAVMIPTVSELFGLKDFGLMYNFMLLVNPLGAFFFSALLAGYIYDKEAARQHPGVLEPSDCYGPDCFRLTFYVCALVCCCGTLLSVLFILRIKPVYHMLYASGSFRHPRSQQQLH >Sspon.01G0053130-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:32516479:32519271:1 gene:Sspon.01G0053130-1C transcript:Sspon.01G0053130-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MCYAWPACTRVHLQLAAVMQDTRALVCRCHSMLQLANAQRHAMFQYAATQRMCQYIDNGDQEVAFIIHGTLLSESAPRQQPVSISCVHTLRRRRRRAEWANFGGAVIGGAVAETMREGVITFVVESSSVGRHAGR >Sspon.05G0018960-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:68355649:68360401:-1 gene:Sspon.05G0018960-1P transcript:Sspon.05G0018960-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGDALRRLCEEVGWSYAVFWKAIGAADPVHLVWEDGCCGHASCSAGSEAPEAGCEPGTSVCTLVRKVMASQIHIVGEGTIGHAAFTGNHQWIVHDPAANDHILRPELAAEMNHQFAAGIQTIAIIPVLPRGVLQLGSTSVYKKLCSQLNNRSSMASSSSVKNDSNQKVQSRPLNGPSIYPADTRSKFLSGSPMTYQQCYGPDGTTVSSSTLANMGSNASMLMVAQRNGQVGKEHILYAPDMRFRPQNPYCDRRVQSNTQSSVVSSGFISSISASMERHPLMTNSQQLEQGNTGEPSDPRNVRLKSLAYHNPLVHENTNMTLLHGRGQVPGFVNGHGGFDFLPEGTRVVKGNLYGSAANQILDQRCSSTSGMTGHRPTISYKMPQSTHFVRKTESPKRETCQASAALSSGSDIQVSGGLKTAISQENQMSSLDLIGPKKANEVHDPTDAIVQAVKNMDRRRLPDISNERSPPLLMDPAAESDLFDMFGSEFHHLCRNVDNDLTWKAAKPESSNRDVPESSVHLGSSPAFNSVDDEFPYSGIFSLTDTDQLLDAVVSNANPGGKQISGDSASCKTSVTDIPSTSYGRLKEPKQSNSSGAPLLIKNELAVSNFVKQTSFPDKAEDGCLSQNNAIQKSQIRLWIESGQNMKCESASASNSKGVDTSSKASRKRSRPGENPKPRPKDRQLIQDRIKELRELVPNGAKCSIDALLEKTIKHMLFLQSVTKHADNLKDSSESKILGGENGPLKDYFEGGATWAFDVGSQSMTCPIIVEDLERPRQMLVEMLCEDRGVFLEIADFIKGLGLTILRGVMEARKNKIWARFTVEANRDVTRMEIFLSLMRLLEPSCDGGGAGENPNNNTKMPLGIVRYPVIPATGHL >Sspon.02G0034500-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:8203106:8204029:1 gene:Sspon.02G0034500-1P transcript:Sspon.02G0034500-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVQPADTAVKADEILARFRPIAPKPTLAAEGVVAANRVLCHLQSRPCRARKHGRPTVVPVSPPKSGSGAQSPAKRKRAATLYPPLPCAPATGTHASAVVPVSARLPLPSLPPASAGAEDHAKVAAVGRDVPVERDLLRKLLEPKVISPRAVRPVCSAIHVGCIHRTDATCTAAASKTAVQVEAELEVDALPAVVSDSSNRVRLVNDAYKQMVGQPECPWLDAVSATSRRISGEVALVVADQSSLPETYGAFTCTAEIEWEDDGKLTSIAVPCDVSRLHCESRDYLFTWRSVPPTPTHPLATAPRRL >Sspon.01G0033300-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:114505779:114508632:-1 gene:Sspon.01G0033300-2P transcript:Sspon.01G0033300-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKHRDPASEAASGDSPAAAIRSLFSADNPFRRKASTEEAPATPAPAPAPAATPLRKQPSRGAEAAEPSSKKKEGPRPKRKRDDLERRRGAGAEKPPRVGEKRKAPDDAAAGAGEDDEEAFDDESKLLRTVFVGNLPLRTKRKVLIKEFAAFGEIESVRIRSVPLVDSKLSRRGAVLQGKVNELVDNVHAYIVFKDEQSARAALSHNMALFGGNHIRVDMACPPRKKLRGEGPLYDRKRTVFVGNLPFDVKDEEVYQVFCSSSGSEGDVEAIRVIRDPSSSLGKGIAYVLFKTREAANSIARKQDMKIRDRLLRLTHAKPVDTTPKKTEVQKRSHVPKHKEVSTPGSKSNEGSEKAKRKASALSYQGLRSSKSGVVKKVKVNQQQPSSKGKQSKTNETGASAHKAKRPAVAARKAKQLAKKRKVDASTPENAHRSKKPRK >Sspon.01G0036030-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:14031715:14036662:-1 gene:Sspon.01G0036030-1T transcript:Sspon.01G0036030-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MANSRIGRYKMANLSDPSKEDTSPEGSGTIQRTGAWSNTLNTLLQQASVYGVAAGYCLSASLLSIINKWAVMKFPYPGALTALQYFTSVAGVLLCGQLKLIEHDGLNLRTMWKFLPAAVMFYISIFTNSELLLHANVDTFIVFRSAVPIFVAIGETLYLHQPWPSLKTWLSLSTILGGSVIYVFTDNQFTVTAYTWAVAYLASMSIDFVYIKHVVMTIGLNTWGLVLYNNLEALMLFPLELLIMGEFDQMKVDSSKVSNWLSFDVVLPVALSCLFGLSISFFGFSCRRAISATGFTVLGIVNKLLTVVINLLIWDKHASFVGTIGLLICMSGGVLYQQSTTKPKAPKVEPKEENDEEQQKLLQMQGVQESNSTQKRSEAGMQQW >Sspon.05G0019380-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:83257034:83263109:1 gene:Sspon.05G0019380-1A transcript:Sspon.05G0019380-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEVALPRGPANLASPASRAASSSSSLRYLASADSDVLPGSGSPERSAGSTGSRGIQERRGRHSGGSEEEEEEEEEERFHGFLGLPVEFEPEVPRRAPSASASVFGVSTESMQCSYDTRRNSVPTILLMMQRRLYEQGGLQAEGIFRINAENSQEEFVRDQLNSGIVPDGIEVHCLAGLIKAWFREMPSGVLDSIPPEQVMQCQCEEDCARVAKCLPPAEAALLDWSVNLMADVVQEEQINKMNARNIAMVFAPNMTQMADPLTALMYAVQVMNFLKMLIQRTLKDREESSLEDVLLPQKDPSDENGHQKPSMTLDSLVEEGSRRPSFVKDEPLVNSPAHSNEDKPNEINAAEGATTAFTVETSTESSASCSQPALAAHAATADASITTTNSLQGKESQNLNCRRTRKGKGQSAMRATPPAEKSRGVSIVSRINSKVERIEAWR >Sspon.07G0034890-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:82647530:82650196:-1 gene:Sspon.07G0034890-1C transcript:Sspon.07G0034890-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLSSQSLTAIAAREVAALAELVADGGGTLVGPSKIQQWCMACSAGGVLGRCGDGVHGGARGYGAADHGPRGWPARRRRNRRDGGADRQRRLTRSGVRGRGTRWIQNSNHCRTYCGRARAAAPRPAVSGRGDDGARWMWRRASFVSFLLKSRERDFSFLAWAGEPAVFARRAGMRASDGVRHRALLVAVCVMLLLHSSMGQQPPTTSPASTSPYTGQQPPPPPPPPASPAATALANAGGAASASADADADASASAHADADACVTGAGAVTHQLQLYLLEGAFNGCYESMINHTCPGKSCVHSGCGFGNCSCDNPHAVLQELYPGRVRDDEMRAACTVSDPPPLPSAELSAKNALGKDFFAERHALGKEKHSAKNIFAKRQVLGKG >Sspon.01G0028640-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:99901962:99905718:-1 gene:Sspon.01G0028640-1A transcript:Sspon.01G0028640-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSNSSQNISSCSTGGSDAAVGGNWLGFSLSPHMAATMDGAADGSSGFPVQQHHGGLCYPPVVCSSPAPFGYALGGGQDGPANASGGFYPGLSSMPLNSDGSLCMVEALHRSEQERHGVVVSSSSPKLEDFLGASTAMALSLDSSSFYYGGGHGHHAHGHGHGHDQGGYLQPLQCAVIPGSGGHDVYGGHAQLVDEQSAVAMAASWYSARGGGGGGYDVNGAGAIVPLQGHPRPLALSMSSGTGSQSSSITMQVGGHADAVTEYVAMDGSKKRGGGGGGGQKQAVVHRKSIDTFGQRTSKYRGVTRYPQSPHSRPSVRRGRRCRTRTIRRRPSRTSRSQAAWFPPCKSRHRWTGRYEAHLWDNSCRKEGQSRKGRQGTQEEAAEAYDVAAIKFRGLNAVTNFDITRYDVEKIMESNTLLPGEQVRRKKVGDAAAVPVSEADAVARAAAALVQAGGSCVADTWKIQAAALPAAAAVARADEHRGGGQHQDLLSSEAFSLLHDIVSVDAAGTGGGSASAHMSNASSLAPSVSNSREQSPDRGGGGLAMFFAKPVAAVPRLACPVPLGSWVSPAAASSARPGVSIAHLP >Sspon.06G0021540-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:16949285:16949958:1 gene:Sspon.06G0021540-1B transcript:Sspon.06G0021540-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding EMANCVTPRPLRPRPGSSPRPAPTISSSAAAARGLAEMGSTPSMLTQYDIEEVQDHCNHAFSQQEIVSLYHRFCQLDRNGGGFVSADEFMSVPEFAVNPLSQRLLRMLDGLNFKEFVAFLSAFSPRTSPQQK >Sspon.08G0016500-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:64999495:65007241:-1 gene:Sspon.08G0016500-1A transcript:Sspon.08G0016500-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDDEEMCDAASSAPSSPGGGGGGVGEGEGEAEEAGAMDDGGGGREGLMIMDVMWFQVDLDYEFDAPRWFDLTQEEAPRDAAAAQEWFAAAPSYPPSRACSLPFLLPSPRDFQLCVSARGGLIRGHTGMGVVPCDRLLRLKCDAPAFLLRCVTAALITKMLAEDLGLQTIRSIADTNALHCSTASHECSSGVEQNIYRFEGHFLERLHTDEAYSQSFGEAKQTSGSKESDTVVQPLRATGHQHASKQHVVVGSNVPACTSNHARHLKRFLSCNIHRANGSSCTFCEQMLASKGDGVFQCAKRNPTVPKEFNLSTGRKVNQAPLSELFNKTQRLPTGRKVHIYRITIATGIIALSS >Sspon.05G0024740-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:25042242:25043627:-1 gene:Sspon.05G0024740-3D transcript:Sspon.05G0024740-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGPGPARPREALLLSSSRGGASRPSRSRHRQDLDVGLAAAAAGGVGIGIGIGGGGEGGSGSPSSPSPSSPSSQPRPCDFENERLYRAYLVIQKFKSTVTCDPMDITRSWSGTDLCGGYKGFFCERPPNVTDRTIASVDLNGYMLRADSLQDFVNSLPDLALFHANSNDFGGAVPALAGLQYFYELDLSNNKLAPATFPTDVLGLTNATFIDIRFNSFYGELPAGVFCRFPKVQAIFVNNNQFSGSLPDNIGQSPVNYLSLANNRFTGEIPKSIARNAGTLLEVLFLNNSLSGCLPYELGLLEKATVIDAGTNRLTGTIPASFACLRKVEQLNLADNLLYGEVPDALCRLAYSHLKNLTLSGNYFTSREGRLNVDRNCIQWAPNQRSHEECAKFLHKPQTCPVNNYVPCRSKSHSSSEPADAVTQEDAAAEYKYRTYSALHP >Sspon.06G0016080-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:72399469:72402557:-1 gene:Sspon.06G0016080-1P transcript:Sspon.06G0016080-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MYQERALYLEGDNKIENLNKATELDPTLTYPYMFRAASLMKRQSVEAALMEINRILGFKLVLECLELRFCCYLALEDYRAALCDVQAILTLAPDYRMIGGRVAAKQLRMLVLENVEQWTAADCWMQLYDRWSSVDDIGSLSVIYQMLESDNAKGVLYFRQSLLLLRLNCPEAAMRSLQLAREHAASDHERLVYEGWILYDTGHCEEGLQKAEASIAIQSLCFGRFKFDPSTSATVVSLLEDALRCPSDRLRKGQALNNLGSVYVDCGKLDLAAECYINALKIGHTRAHQGLARVHFLRNNRAGAYDEMTKLIEKARNNASAYEKRSEYCDRELTKTDLQMVTKLDPLRVYPYRYRAAVLMDNHKEKEAIAELTKAIAFKADLNLLHLRAAFHEHIGDISSALRDCRAALSVDPNHQEMLELHHRVNSQEP >Sspon.03G0040750-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:32692218:32693920:1 gene:Sspon.03G0040750-1C transcript:Sspon.03G0040750-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRGGRGQVSLLKLEEDKNQLSKGLDPWSNPTAATSTLHYLLQEKERAQAQEQLQIYHQQGFSYLQHHHRRQQQQSRAAAAGASAGGDGVSSGESTPVDALATAFGGGRIVRSAAGRKDRHSKVCTARGLRDRRVRLAAHTAIRFYDVQDRLAYDRPSKAVDWLIRNAKAAIDELPADRAEGQQPATEAADATEPSEQVTSTSSYGFGNPGGAIGGVAGSFMPHCVGADGGVSGSGNVKSLFPSSSTASTTPTQDDYRGSPPDLLSGTTSSHHQPQELCLTLQSTNNHQQQQQQMFSHVSPNHHGMISGAGVQGWPEHGQRMPSWHAASENSSAGDGRGAAGNGDSYMFAVPPRHQGLDHQGQLFSQGEPLQSSGGWPSARAWLDPLAAIHQAPSTMAGQVSFSHLVGAGAGAGGFMGFLAPAAAQQRLQGEEEQGSEAMRE >Sspon.07G0011620-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:41393566:41395487:1 gene:Sspon.07G0011620-1A transcript:Sspon.07G0011620-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNARVLGNDDGETVVLAHGYGGTRFVWDDVVPALAARFRVVVFDWSFSGAAAAPGGGGGERYCSSYYALADELVALMDEMGVRRAAFVGHSMAGMIGCIASVARRDLFSHLVLVGASPRYINEDGYEGGFERGDVDAMLAAVDADFAAWAPRFAEAVVGADQPAAVAMFAKQLGAMRPDAALRVLRAVLTSDFRGVLPDVVARCTIVHCTDDAVAPLAVARYMQRALAGCGGGGGADTVVIESSGHFPQLTAPKEFVRVLEAILLDK >Sspon.07G0003380-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:8163665:8166741:-1 gene:Sspon.07G0003380-1A transcript:Sspon.07G0003380-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRSLSLLLLHSSLHSRPTPSRLLSSAASSGALGGRAHGTVSAVLEIVGPIELLFPAFEARLYVRLVRRCARGALAAGAAAAHAHIVKRGFASDMLVSNVLLDSYAKGGSLAAGRQLFDEMPHRDVVSWCTVISAHVSCGIFVKAIGLFKDLLSSDQVKPNRFVISSVLNACARSGVMELGLMVHALVVKSGLGVDRFVEVGLVDMYAKCGNTGDAFRLFNKIPMKSSVAWNAMIYGFVKNGCFVEAAELFQDMHKIGMAMDVVTLRVVAGVAAILGSFDLSRNIHVYALKMGLGVDCFVVSELIKSAGTDLDKGRQIHSFALKLGLLLADFVSASLVNMEYQFGLCPDRFTFSTVLGACADIRAKQAGEQIHGYLVKTGSENLDHSGLRANRVTFARILRGCGDLCAIDLGRQLHASIIKMGLLSDVYVTNALVGMYKASDIQTESRRNSEETLAGNVSEQDTTDSFSSEQSYVSSTLEELGLFTLDEENDHVTSANAWKIYTGAASQFYGTPLPIHVVGHEFGINNNMGNVNNVKYNGIKLSLNYKDSSYKGNINGSVNIDEQDTRYSNLSKWVKFGLEKSASASNIDVS >Sspon.05G0014880-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:57009528:57010758:-1 gene:Sspon.05G0014880-1A transcript:Sspon.05G0014880-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding PGGWVLNGRKRWPGNSSFADVLVVLARNTSTNQVNGFIVNGGSSGLKINKIENKVSMRMVQNCDIQLEDVFVPDDDRLPGANSFQDLVDSLSFSRVMAAWVSIGIAVGVYDACHRYLGERRQFGVPLATFQLNQEKLDRMLGNIQAMWLLGWRLCKLHGSGKMTTGQASLGKAWITKQARETVALGRELLGGNGIVTDFHVGKAFCDMETVYTYEGSYDVNALIVAREITGISSIRPTSRL >Sspon.04G0037300-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4D:66806482:66807516:1 gene:Sspon.04G0037300-1D transcript:Sspon.04G0037300-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPTKVKYDDSDDDSCASDGCRSDNEEDEDYSKDDLLGIIDQMSKGYKRTTKKYKILEQELIAKSNENDALMEELVALKKSKECKGIEQELKALRKSFDELEASRECLKEDHEDLEVAHTRLKEAHSTLLELIKEKDLKLEKLG >Sspon.01G0026690-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:97117122:97131421:1 gene:Sspon.01G0026690-1P transcript:Sspon.01G0026690-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRWWKRSSSPSLSASSSSASTPASPARAATPRLIGGWGSGASSRRDGSGGSSEPQPRLIRARRMRYVVDDLEVGVSALGVDSPARRDAAAGFALATVSSTPISRSPSNVEVAPARSSSTPVLLPRPLPLPSEGESPCRGPWRPLPSPAPKMLDGEWNGPAADAPGVLETGSERMTPLLARRVVAQTLPKAHEHNDFRLNGTTCCQRRKAFQEKFQDKNCAETLNFRLNIPAKSAPSSGFSSPVQSPRRLSNVDFSSAAISIQDTNVWSARSLWSSDAMGSSPPCDSPDKFAGGQERSPLSSPLRSPVLKSRNPSAPPSPMHPKLFPENHVSRPDGNGSASFHPLPLPPASVSPKQTNVSHQLVPKAEMPSVAGQWQKGKLLGSGTFGCVYEATNRHTGALCAMKEVNIIPDDAKSAESLKQLEQEIKFLSQFKHENIVQYYGSETIEDRFYIYLEYVHPGSIHKYVHQHCGSLTESVIRNFTRHILKGLAFLHSQKIMHRDIKGANLLVDIHGVVKLADFGMAKHLSTAAPNLSLKGTPYWMAPEVVRATLDKSAGYDLAVDIWSLGCTIIEMYTGKPPWSGLEGPAAMFKVLRTDPSIPDNLSPEGKDFLRCCFKRNPTERPTASKLLEHPFIQTSNHYSPHSSPHSFSGIRSPDTVHSGARDKVPWKSDSCMRGKHANSETNSARSSGSSAYRLMTPLPNLGTSSLSPPPLSSASSSGSAAHAANSMHFSVAYHQPSPLPKPNGKEAINLISH >Sspon.07G0038210-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:72941348:72942892:1 gene:Sspon.07G0038210-1D transcript:Sspon.07G0038210-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSFHRALDGGEAARTELVLLLVLSVVLALASSASAIDGSTSNLTAGFVQVELPEGNLQVQSPYDVPENQRYSYGNVTSVRTFLVYASDKPFNTVTGTKPRTEVRLTGHDYSATATCRRDLRRIATTLMLHVYNGTLRYYSGEAVEDDIYDRGSASTWCTTSTRPRLAVNVTPSASHYFKFGVYMQHHDVSPLMESRWRNITSPRGGESWRAWSVGPLHLVLASVFTSEYGRGFLLLTAWCAMAAQCKSWLLRHTIAAQCGS >Sspon.05G0028370-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5C:62617515:62624267:-1 gene:Sspon.05G0028370-2C transcript:Sspon.05G0028370-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MIDKLLALGLPLGATKDSPYTSPELAAKAVETELVIPVHRLPLRVQGAQAALRKDERVQLELGALPQPAQVLHVRLPPDDCEGLQSMVEEIASSPKFLHHHLPLELVPVGQFRLPKFKLSFERITVADDLEHLGLHLPFDELEADMTDMLLKEDDRAARVCQPSYPQGSYRAERGRERGSSCHRGARHHHVLSTTAKAGGFRGRAPVRLLHHGGDRGGALRLYPAAAARLYGGSLGHGERELVPGGSHSVPAVAREGVASGVPDSMAAHDGAASGVLAPAMAHEGAVSGGPTPTAAHKGTVSGDPAPAVAHEALVSGGPALVAAARPHRWLATARQVGAVDGS >Sspon.04G0000850-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:3565273:3569113:1 gene:Sspon.04G0000850-1A transcript:Sspon.04G0000850-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVGVDVPLPREKLSAGPNSGRDGGSRGGVVLLATGSFNPPTYMHLRMLELATDELEQRGYSVLGGYMSPVNDAYKKKDLFPAAHRIRFCELACKSSSFVMVDPWEAMQKGYQRTLTVLSRVQNSLCKDGVAGQDTRSSSLRRQQPKRWRKAAHYRRDHLDWLPDKDLDLCACSGSTQVDGESCTRGIPFAVPASVPELPLAGVTTACSAPRRKAHLGHCRSTAAGASAALHFLRGDGSSSSSAVWTTSLPARCSASAFLLPLEDAARTSGSAAGSSWHPPSGAPALRWRAALAAVSAGGSRRRGLRYTFPSMMSLASSGSGSPSSLSSRYSLIIHPVATLFSLNVKLDRFPISRLWRSTTRLILPSPCQTPPPAVRDLFRPSGLVLLGRTGVRKYQHRCPSSEMTGANTRVRSSGAASYMSSACMYAPTPLRSNSLHTTHGRRYSFTRAVSDGWVWNTSAAAGDTGARDMAGAEGREEVGGRWPVGD >Sspon.05G0016500-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:67890162:67890894:1 gene:Sspon.05G0016500-1A transcript:Sspon.05G0016500-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPFLVLALIFVIAGVAHGAGECGRASADRVALRLAPCVSAADDPQSTPTSSCCSAVHAIGQSPSCLCAVMLSGTARAAGIKPEVAITIPKRCNMADRPVGYKCGDYTLP >Sspon.05G0023700-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:8254414:8255000:-1 gene:Sspon.05G0023700-1B transcript:Sspon.05G0023700-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHDRAHRSASSAIVCALGGRSSQGTLAALRERHLARCRAPTPASHVSRPRAHASAPHARAVPSWRCAAPPAPAPLRCLHAQDRGTAPAGHHAVRACDVEHASLWLRVRRLLQPAALRSGWNARKKTNAYGHESGHVAVEWNRPPACPPLGALDGSGLSTSWGCQSLNEPRCVSPPVFNGVLDGMENDVRC >Sspon.08G0007460-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:24448648:24455948:1 gene:Sspon.08G0007460-1A transcript:Sspon.08G0007460-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAPEAAADVAPSATLSVYQAARRIKRRASTLYNALRSVAEDAAFVAEVAALWPSLPLVANLRCGLWYAPPRAFASTCYFKSTDGHAGNWSFSTARLNLHLALLAGERGGCIIVDSTRRGKRFPDSMSNTIPIWCSVLNRAIERHRLRAIHKSVESSTLLNGDAENNSDLSNWDSSVHLPVWVLGTEKNAIEGRIDEWTDQFESCGADIHSLAVGLQKPLCPLWISQRTRIWLNEVPELESWDFTPIILISASASEAVATQRMSSEFSWHYIPGAGDDEESWARGLTPTLFWKHSYDLLDAGPDHCNQLVADIVEKDRVYRSQRGEHCPQITSKSLKSFGGAKCNDDQTSEMWTMNLDPCTSTMDAQCSNNGLPLFWIGTSNLAVSSTFQVGVDCILNCDSTSKLPSNSSENSYLELSIVGSKDDRFSLMRNLPKAVDFAKRNLIAGRKILVCCQNGEDISICVALAILALLFDDNGCFDYGNYFVKSDVTKLEMRKRLVFICKFAVNARPSRGNLKQQSKGTAVMFDIALFDVDE >Sspon.07G0030130-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:2894848:2898531:1 gene:Sspon.07G0030130-2D transcript:Sspon.07G0030130-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRWLVVRHDEREDLQLGAVREQGLEFFVADDAVEEAELAEVGEHRRVRGCRLGEFPYAEVEAVEGGAAEDVVGERHVERPWAVDEDEVPDVLVGEVRDQAPERKETRVTRAPRTGPGCAATQRASAHDGDVVGPADAEEVRIIDEERGPGPHAAPVRGERGGAPGVLSGEAVDDVGQERLTMSFYQHLVVQRGVKNPSEDKHVVEITNYIDLGLEFTTRNDKDKRTENGNSLFQLAASWQANKNFLLKGKLGPSKSSVVLALKSWWRPSFTFSVTGIQVYASFIFGTDEEVIEEHSFPFLFTAVNDHSKGTTSFGFGIRTEDLRQPSYQRADPNYIMLTPTMEHLAQDDGKRPVFQAYSDSGSYDHLPTDLRPIDRIIVLKIGGTQSNLYVGSAFLLDLPVK >Sspon.05G0013100-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:23471899:23473721:1 gene:Sspon.05G0013100-4D transcript:Sspon.05G0013100-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAPCCEKMGLKKGPWTPEEDKILVAHIQSFGHSNWRALPKQAGEQTTPRPACLCLLLGRGVLTRRAAVSAGLLRCGKSCRLRWINYLRPDIKRGNFSKEEEDAIISLHEQLGNRWSAIAAKLPGRTDNEIKNVWHTHLKKRLEPTNKPEQRGAQAAGAGKKHRPKRGGAKKATVPATTAPVSPERSAASSSVTESSSMTEQEQEQGNTGSSPGFPKEESFTSSSDAEEFQFDDTFWSETLSMPLESFDVPMEPSDALGASSVGADGDLDYWLRVFMESGDVHQELPQI >Sspon.01G0022190-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:80320737:80327858:1 gene:Sspon.01G0022190-3C transcript:Sspon.01G0022190-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDERDAHDGASWQLESILTAEDASSPWARRAWAAASIELRLLTRLAAPAVVMYMINYLMSMSTQIFSGHLGNLELAAASLGNTGIQIFAYGLMLGMGSAVETLCGQAYGAQKYDMLGIYLQRSAVLLCATGVPLAVVYAFSEPILVFLGQSPEIARAASIFVYGLIPQIFAYAINFPIQKFMQAQSIVLPSAYISTATLALHLLLSWVAVYKAGLGLLGASLVLSLSWWLIVAAQFAYIVMSPRCRHTWTGFTCQAFSGLWDFLKLSAASAVMLCLETWYFQVLVLIAGLLPNPELALDALSVCMTISGWVFMISVGFNAAASVRVSNELGAGHPKSAYFSVWVVTGVSTLISVMLSIVILCLRNYISYLFTEGEVVSNAVADLCPLLAITLILNGIQPVLSGVAVGCGWQQFVAYVNIGCYYIVGVPLGALLGFVFKLGVKGIWGGMIGGTCMQTAILLWVTLRTDWNKEVGIPKVEEAQKRLHKWEDKKTTEEPLLAGVGNGN >Sspon.07G0034100-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:68906439:68909563:1 gene:Sspon.07G0034100-1C transcript:Sspon.07G0034100-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVSTDVQGQEVPNKRVILKRYVTGFPSEDDMEVVTGTARLAVPPGSAAMVVKNLYVSCDPYMRGRMTKHDRPSYVPDFVVGEALVNLGVCKVVASGHPDFKVGDLVWGMTGWEEYTFVPKPESFFKINHPELPLSYYTGVLGMPGLTAWAGFFDVGKPKKGDYVFVSAASGAVGQLVGQFAKLTGCYVVGSAGSDEKVNLLKSKFGFDEAFNYKKEQDLDAALRRYFPEGIDIYFENVGGRTLEAVLPNMRNHGRIPACGMISQYNLEEPEGVHNLFEIIAKRVRMEGFIVLDYYGQYHKFEQEIAGYLKEGKITYVEDIAEGLEKAPAALIGLFTGRNVGKQLVAVARE >Sspon.06G0005660-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:19124544:19128601:1 gene:Sspon.06G0005660-1A transcript:Sspon.06G0005660-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SFD4 [Source:Projected from Arabidopsis thaliana (AT4G30950) UniProtKB/TrEMBL;Acc:A0A178V0M7] MATASGVSAGSVLQLPSLRVPAKRSLPRRTAAGAPAAGTFFVKRDVVYNRRSYHQFLTLKQRGGLQAAVLPVTPPLVDDEGERKQMAEDYGFTQIGDELPDNITLKDVMDTLPKEFFVIGHDCAHKSFSRNKLVEDVVGTLAFMPLVYPYEPWRFKHDRHHAKTNMIEILCHDINVHVPHHISPRIPSYNLRAAYDSIKQNWGKYVNEANWSWRLMKTILTRCHVYDKERYYVPFDELVPEESQPIKFLRKFMPDYA >Sspon.06G0015890-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:71360353:71362345:1 gene:Sspon.06G0015890-2B transcript:Sspon.06G0015890-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPPRGRGGGGRFGGGGRGGGGGRFGGGGRGGGGGRFGGGFRDEGPPAEVVEVSTFLHACEGEAVTKLTNEKVPYFNAPIYLQNKTQIGKVEEIFGPINESVSIYLRRHHGLPNLSEHLKDKNKKVPFFMFSWVLELRLLRISRDNLKEHLEVVAVVEGVVAEAVAVVLSVAAEDHQGVVAEVGVEVVVVLEGEAGSRFSLSLMFFLKHHSCPIGNFHVLVT >Sspon.08G0001880-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:4158650:4160577:1 gene:Sspon.08G0001880-3C transcript:Sspon.08G0001880-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPPAPLPRSGSKKGRAAAFCNLPLLLLIGAIQFLVIYSPAIDRYMVMVTKGKPGFPSLLLDGRRGFKLVEEETIPEPRVRCDFADPRSDVCELEGTIRIRGSTSEVFVVAPAGADGLLAANVTGLAPGMNATSWTIQPYTRKGEARVMRSIATLTVRVVSPGDAPPCTVRHDVPAVVYSNGGYCGNYYHDFNDNIIPLFVTARHLGGEVQLLVAQKQAWWFHKYREIVDGLTNYEAVDLGGGDGGGDGGEEVRCFRRATLGLRSHKDLSIDPRRAPRNLSMVDFKRFLMWRYALPREHAIRLEEEEAAGAARRRPRLLVVTRRSRRRFVNLPEIVALAEEVGFDVTASDLMSATASAKNKAAGGVGDEGHSRMADASKLVNSFDAMVAVHGSGLTNLVFLPMNAVVVQVVPLGRMEGLAMDEYGVPPRDMNMRYLQYNITAEESTLSEVYPRAHPVLMDPMPIHEQSWSLVKDVYLGKQDVRLDVRRFRPVLLKAIQLLR >Sspon.01G0035220-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:9870862:9874536:-1 gene:Sspon.01G0035220-2C transcript:Sspon.01G0035220-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRYHRVEKPRPEAAAISENEIRITTQGLIRNYVTYATSLVQEKRVKEIVLKAMGQAISKTVAIAEIIKKRIPGLHQDTIISSVSITDVWEPIEEGLVPLEMTRHVSMISISLSPKELNKNSPGYQAPLHLDLKPQRYQQPQQYQQHQPRQNPVQTDSYGRGRGRGRGRGRGWGSRGGYGGGYGGYEYDNQGGYGGYGHQGGYGHQGGYGNQGGYGHNQGGYGGYGYNQGGYGGY >Sspon.02G0015270-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:35568194:35571713:1 gene:Sspon.02G0015270-4D transcript:Sspon.02G0015270-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DEAD-box ATP-dependent RNA helicase 22 [Source:Projected from Arabidopsis thaliana (AT1G59990) UniProtKB/Swiss-Prot;Acc:Q944S1] MALHHLRLAPLALLRAASLPPLASSRFAARRHRHVLLFAPPSWPWRLLSPAARPRALATAAEAEADDADSGSGNGFFAESTSWGSLGVSERLASALRGAGLSRPSLVQATCIPHVLTANDVIVAAETGSGKTHGYLVPLIEKLCSKSSTTEDDNCQDTTPGAHDIVLVLCPNVMLCEQVVRMANSLLDESGEPLKSAAAVCGPKGWPAVHPDILVATPAALLNYLFDYDPEKRRRERFLRNVFDEADMLLCGSFENQVIRLIHMLRFDEKLLSRAQDSGKEVSLGSDNEYHEDSRFETAEFSGSDEEIEDNIAQDRPVKVENSHSGKKTAGGVLKRMFPDAVWVSGTYLHRHNPRLERRWMEVTADTQVDALLNAVKYGLMSEVHDAKDVPRRTMVFTNTVDAANSVSDILRRAGIPCILYHRENSLEERANNLQSFRENGGVLVCTDAAARGLDVPNVSHVIQAEFAACAVDFLHRVGRTARAGQSGIVTSLYTEANRDLVRAVRQAEELAQPV >Sspon.03G0026150-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3D:2274330:2275522:1 gene:Sspon.03G0026150-2D transcript:Sspon.03G0026150-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MANALSLRGRASPALSRRKGSIGCRVEPHLRLAGGGERLRDWEDDDEKERERDRERDETEPEETEWDGEREEEPEEERDAERPRRGFAIVPGGGRASVGGPVVLE >Sspon.02G0026910-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2B:60613172:60614269:-1 gene:Sspon.02G0026910-2B transcript:Sspon.02G0026910-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPANNRVHSSAALQTHGIWQSAIGYDPYAPENNKQQPGRPSSSVSANAAAAAANDANAAASAAAASGSGSGDGNAYTSFQGLLALARVTGSNSDETRGACKKCGRVGHLTFQCRNFLSVKDLDLDDADAQAAAQAAAQAKFDEIKKKAAAGGDADEASDDVEEEDEDSDSSDSDVDPELEKIIAQRERARNGGRRPRQEEKSLSHRHRSSSSKRKSKHTRSRSDDSEDEEEEGRRGRNRKKRSSRSKKHERSDEDSSDDSDSDRHRHRKSRKDRKRRRSHRRSDGSLGEKDVSGGEERRHRRHRKRSHHRRDASDCDNGGSDSADDKKQSSRRRRHRRSESSGSHEDERHDHRGAKRSGEKNRDR >Sspon.05G0003770-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:11297935:11301747:1 gene:Sspon.05G0003770-1A transcript:Sspon.05G0003770-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSEAAKVAVPESVLRKQKREEQWAAEKKEKALAEKKKSIESRKLIFTRAKQYAEEYDAQEKELVQLKREARLKGGFYVSPEAKLLFVVRIRGINAMHPKTRKILQLLRLRQIFNGVFLKVNKATINMLRRVEPYVAYGYPNLKSVRELIYKRGYGKLNKQRIPLSNNQVIEEGLGKHNIICIEDLVHEIMTVGPHFKEANNFLWPFKLKAPLGGLKKKRNHYVEGGDAGNRENYINELIKRMN >Sspon.04G0022970-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:95921:98698:1 gene:Sspon.04G0022970-2C transcript:Sspon.04G0022970-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLPVVDLAPYLRTAAGDAARAGEEELRALCATVSASLRDTGALLVMDPRCSAADNDRFLDVVERYFARSADAKRLQERPHLHYQVGVTPEGVEVPRSLVDKNMQEKIRSMPEEFQPATPKGPDPKWRYMWRVGPRPANTRFKELNSEPVIPEGLPEWKETMDSWGSKMISAIEVVAEMAAIGFGLSKDAFTSLMKEGPHLLAPTGSDLQRHGSEGTVFAGFHYDLNFLTIHGRSRFPGLNIWLRNGKKVEVKVPVGCLLIQSGKQLEWLTGGECLAGMHEVVVTKRTLDAIALAKEQNRSLWRVSSTLFAHIASDAILKPLGHFAETPDAHSYPPICAGDYVEQELSVINLKGKDGV >Sspon.06G0024540-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6B:55786911:55787240:-1 gene:Sspon.06G0024540-1B transcript:Sspon.06G0024540-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGHTGRKPPPIARVAAGGRGPGAGGASTTTTYLGAEAAAVLACVTATLLVLPLLLPPLPPPPPLVLLVPVAIFAVLLLLFLVPSDARAAVAVAAPATSPSSSSSSSSSY >Sspon.04G0030240-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4D:81764353:81769731:-1 gene:Sspon.04G0030240-2D transcript:Sspon.04G0030240-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ASLLCCENPSIHPSSSSSVQGDDCRSSAGGGGVGVELVVGRRRSIISFSVDHQDCGRGPGEKTTTKIKMAWSMEAVMLPTSMVLVQAFTMGALLLSKLALNVGMQPFVLLAYRNLIGAIVVAPFALYFDRSMVPKVTFKVVGWMSMSALLGIVLAMGLHYYGLRATTAAISVNFLNLIPVVTFLMAVLLRVEKLAAGTWAGRAKMAGTAVCVGGTMVASLYRGPLLHPWPTHLLRHRAATAPHHHRNMPLGTVYLCGSCLAYALWFIVQARVGRVFPCKYLSTLLACVCGTVQALAIGAVISRGDPASWRLSWDLRLVTVVYSGVFNTAATFCLISWAITRRGPIYPSMFNSLSLVATTVLDSLLLGTDVSVGSLLGDLLIILGLYGFLWGKGREMKHLKQQPELNGNGNGTTMMSIRTNGGTNNAADDRV >Sspon.06G0001760-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:5660993:5662129:-1 gene:Sspon.06G0001760-1A transcript:Sspon.06G0001760-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding PMKASIKFRDEERPLMRAKVPIAVLGLPFQSGLAAGGDPRELRFDVSTAFASGPALRLSYRPNDPALPFALTVRAGLGPLGSPARAPFALAAEFNLLAPDPSSSPAFFLRLKPRLGDFSLSHTLRSPAAAPAPAPRKVGEPGSDDGGGGHGREVKLLDYRPPFTFTGSGLAADVAAAGTKSGVGALLSGMRLTTRSVLPLWGRASLRFNWGLRVPPELLTDDADGKGARAPVSKMPLLVMSKVSIEQSPRASADTKAGGRAPSATLACTDADSDGDAAFSLVRRQLESLNVDNMLLRRAVEDLRAEVRSGSRAARPAAAAAGRGEGRVAATALQTQPHPRPQPYHATKPVRGAATAREPAATPDDVGEELKKALEARLR >Sspon.07G0002450-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:4850673:4855179:1 gene:Sspon.07G0002450-2B transcript:Sspon.07G0002450-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTRASKSYLCAGSSSFDDPDVVEVSPAAAAGWAPSHHKRKRSQVVPQEVIEIDDDDPDGVIIIGDKAPVDKNKQTVVCPMNWPKHGKSGWIQDCPGPSTYAPKHTNSWADLKVFQDESVYNYSDDYPYEGFEEDYAYDEDEFEDDVTMLHLLKVSLNLVCLPSLITLMFHLVWTLLYRGCIRLLLRLQIRPNPLRL >Sspon.06G0011670-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:51421672:51423105:1 gene:Sspon.06G0011670-2B transcript:Sspon.06G0011670-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLDTNPTAFSAFGDDATGFQPLNPEDVRSYLHKAVDFISDYYKSVESLPVLPDVKPGYLRSELRSAPPTSSAPFDVTMKELRASVVPGMTHWASPNFFAFFPSTNSAAAIAGDLIASAMNTVGFTWQAAPAATEMEVLALDWLAQLLRLPSSFMNRTGAAGRGTGGGVILGTTSEAMLVTLVAARDAALRRSGSQGVSGLPRLAVYAADQTHSTFFKACRLAGFDPANIRSIPTGPETDYALDPARLLEVMQADVEAGLVPTYVCATVGTTSSNAVDPVGAIADVAAVFNAWVHVDAAYAGSACICPEFRHHLDGVERIDSISMSPHKWLLTCLDCTCLWVRDTHRLTDSLETNPEYLKNDASESGNVTDLKDMQVGVGRRFRGLKLWMVMRTYGCAKLQEHIRSDVAMAKMFEDSVRSDERFEVVVPRNFALVCFRIKPHGGGMTEVDADEANRELMERLNRTGKAYLAHTVVGD >Sspon.06G0011420-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6A:62512405:62512614:-1 gene:Sspon.06G0011420-1A transcript:Sspon.06G0011420-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLTGGSHSSVKEKGGEMADNWGRVVSEREREKGGAHSGWAGWPVSAQCGGEKRRGNGLRLWDSEGRGK >Sspon.02G0033920-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:10615441:10617529:-1 gene:Sspon.02G0033920-1P transcript:Sspon.02G0033920-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRRLPTRRLASAFLSSSPLQRAAATHAPPLERHLPTTASGLVPPSRLPPWHHEPRWFASSSAAEAVSSEDAEELHHAIEEIVRAQPSQNLPQPQSVAEERQAPGQKHRGRHRRSGRGRHVEVMAAEHGMTYHRYTSLRRRQIRVETEAWEQAAKEYRELLADMCEQKLAPNLPYIKSLFLGWFEPLRDQIAAEQELVADPGSRASHGPFFNMLPADMMAVITMHKLMGLLMTGSGDGSVRVIQAACQIGEAIEHEVRINRFLEKTRKKSNKEMEKEEEAAGDTDIAKEQQRLRKKVTDLMKKQKLRQVRKIVKNQDNSRPWGQDAQAKVGSRLIELFMETAHIQPPASQSSDGLPDIRPAFRHEMRTMAKEQQ >Sspon.05G0009940-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:19799748:19803740:1 gene:Sspon.05G0009940-2C transcript:Sspon.05G0009940-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAIAAALLRRSTSTPHHRLILPLLSHLHRPLPQPPSPWLPPQHRFFSTGDTAGDPTQKPAPSLDPKQLWRELSASDPAAGSSRLPKATWDAVVGLVRGFAKSPAMSDQALALYVPSSAFPSYARRFRAFLLPRLSRESAAHLLSLPAEDAHELLLPAFAEFCVSNFADELRQHRSVMAAADLTAPHTWYPFARAMRRRIVYHCGPTNSGKTHNALTRFAAAKSGVYCSPLRLLAMEIFDKVNALGVYCSLRTGQEVKDVPFANHVACTIEMVSTEELYEVAVVDEIQMMADPVRGFAWTRAVLGLKADEIHLCGDPSVLKLVRKICADTGDDLVVHQYERFKPLVVEAKTLLGDLKNIRSGDCVVAFSRKEIFEVKLAIEKFTKHKCCVIYGALPPETRRQQAKLFNEQDNEYDVLVASDAVGMGLNLNIRRVVFYSLAKYNGERMVPVPASQVKQIAGRAGRRGSVYPDGLTTTFLKDDLDYLIQCLQQPFEEAQKVGLFPCFEQVEKFASQFPDLAFTDLLDKFRDTCRIDKTYFMCQQDGIKKVANMLERVQGLSLKDRYNFCFAPVNIRDPKAMYHLLRFATNYCQSRRVSIAMGVPRGSARNDAELLDLETKHQVLSMYLWLSHHFEEDNFPHVEQAENMAINIADLLGKSLAKACWKPESRQQARGRRQDKDEYNEEKTSNDNAKDVSTDGYERPRALAKTIVEMAR >Sspon.03G0025730-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:649271:651038:1 gene:Sspon.03G0025730-1B transcript:Sspon.03G0025730-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMKPVVIVAALAVLCVGLLSASSCLARDFSIVGYSEEDLSSHESLAELFERWLSRHRKAYASLEEKLRRFQTNRKVSSYWLGLNEFADLTHDEFKATYLGLRPSGGDDDDEEEEEEGSPSLAAGVDAASLPKSVDWRSKGAVTGVKNQGQCGSCWAFSTVAAVEGINQIVTGNLTALSEQELIDCDTDGNNGCNGGLMDYAFSYIAHNGGLHTEEAYPYPGFFLPTGFRGKPARFTGNRSEGTCQRSSEKKKHKQSDAAVVTISGYEDVPRNNEQALLKALAHQPVSVAIEASGRNFQFYSGGVFDGPCGTQLDHGVAAVGYGTAGKDDSGHDYIIVKNSWGPSWGEKGYIRMRRGTGKRQGLCGINKMASYPTNN >Sspon.02G0025330-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:87848394:87849980:-1 gene:Sspon.02G0025330-1A transcript:Sspon.02G0025330-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLDVVEIGMGADLSLDLRHFASKAVRQSKDDAPAPDMDACIRRLEEERGKIEMFKRELPLCARLLADVIDVMKEEAGKKTTRRSDRSLAAAAAVAEDEENGAAGDKSKWMSTAQLWTGDSGRQDAESEKQDKGRTSPSRGGAGGGILPFKAAVGSGAPAFAPVCLRMDDKAARVGMPDLSLMSPPATKSAGEGSRRQVVGFAQAAARVAAMATAAPALGLQSQSQQQTAQQQQSRKVRRCWSTELHRQFVAALNQLGGPEVATPKQIRELMKVDGLTNDEVKSHLQKYRLHNRRAPGSGMVSQPIVLVGGLWIPQEKSSSQSGSPHGPLHFSTSGIAVSSAATISCEEEDGRSESYGWK >Sspon.07G0004770-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7A:12240947:12241684:-1 gene:Sspon.07G0004770-1A transcript:Sspon.07G0004770-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTQEDDPIYGRLRQSAARSPPAIDRHAVRLVTRVSVALAAIATLSLLHLLRHASIYCFAASDPLALTISLAPFPRTSCDAALRRVVPPDRRLAKLRASPRWRRRAASLATSAFAPLRGLGLLAAPSRVLCLAAGAGHAVDALRASGTRDATGIDLVDFPPLARRADPHHLPFSDGAFDLVFSDDPAAISGALFPSRLASEAERAARRGGGIALAFDREIETAAVATLFKRSRVVDVKDVTLDGSQ >Sspon.02G0032510-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:86258151:86258816:1 gene:Sspon.02G0032510-1P transcript:Sspon.02G0032510-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTTILLLLSSVLVAAATQLSPAAEKYIGDLHSGIQKVLDAVVSAAPPDKQLETLHAAQKHLKLLSSALDKAKETGDEKKIARLVLTVELTAAMIKAAPPEKKLKTMEDSMNSVAAPSPLDCPTVDKAFCEMHAKVETAVNGVTAAAPAGKLSEAQAAVVKETLYNAGATISKAYADGDEKKIAQVLAAYGKAADAVTAAAPADKLIVLEKTFAAAAAGN >Sspon.07G0015750-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:56076321:56077293:-1 gene:Sspon.07G0015750-1A transcript:Sspon.07G0015750-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDATASSPDLAIRSSRPQTTMSSTTAAPECGGAKTSWPELVGLSVEEAKKVILKDKPDADIVVLPVGSPVTRDYRADRVRIFVDTVAEAPHVG >Sspon.04G0022010-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:2788257:2793957:1 gene:Sspon.04G0022010-1B transcript:Sspon.04G0022010-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTTRRGWAARGVLAAIVVAGVLLPGGVCAGAVAAAGAGVEECEDSSRARAGDRVEALPGQPAVAFTQYSGYVTVHRDRGRALFYWLTEAVGDAATKPLVLWLNGGPGCSSVAYGASEEIGPFRIKPNGTGLFLNKGKPSLPRIACGVGFSYTNTTSDLKTTGDERTAQDALQFLISWMSRFPQYRHRDFYIAGESYAGHYVPQLARKIVEYNEASPNPFINLKGILVSIFKHEQALYISLAPNVSEFTLQHFLYSLDQVGNAVTDNYYDNIGTVTYWWTHAMISDRTYKAILKSCNFSSSNISRFCNRAMNYAMNQEFGESTRSIYTPSCVAARSNAI >Sspon.02G0016490-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:46846354:46849468:-1 gene:Sspon.02G0016490-2B transcript:Sspon.02G0016490-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWSAAVFLLLLLLPPPCAPDDRLALDKHLSVGTTIVSDGGGFALGFFSPTTNSTPANSNKLYLGIWYADVPTRTVVWVANRDAPATNTTASGSPTLSLTNTTNLVLSDGGGRVLWTTNVTGVVVPSSSPASTTAVLLDTGNLVIRHPNGSTLWQSFEHPADSFLPGMKLRINYGTRAGERLVSWRAPDDPSPGSFAYAGDPNTALQIFLWNGTRPLMRDGPWTGYSVTSKYQANASVFVYQAVVSTDEEIYLTYTLSDGAARTRFVVTAAGQYQLQSWSASSSAWAVLGSWPTWECNRYGYCDNTMAAPTCRCLDGFEPADSEAWTRGVFSQGCRRQEPLRCADGDNFLPLQGMKAPDRFVLVANRTSDECRSTCTGNCSCVAYAYANLSTTKKTGDATRCLVWAADLIDTEKKVANVVGTDTLYIRLSNLDAVMQEVTTYFIFSTSVRGKSNNALRIALPTVLISSILIITAIFLAWFKFKGKRRNDKGDNNKISHGATSMSDDLAEGSSAQDFELPFVKFEDIEVATHNFSEAYKIGQGGFGKVYKALIGGQEVAIKRLSKDSEQGTVEFRNEVILIAKLQHRNLVRLLGCSVEGGEKILIYEYLPNRSLDAILFDNSRKVLLDWPTRFNIIKGVARGLLYLHQDSRLTIVHRDLKAANVLLDAEMRPKIADFGMARIFNDNQKNANTRRVVGTYGYMAPEYAMEGVFSVKSDVYSFGVLIMELVTGIRRSTFNKIKNFPNLMIYAWNMWKEGKGKDLADPCIIDTCSLDEVLLCSHMALLCAQENPDDRPVMSSVVLALENGSTTLPPPNNPGHYGHGSSDMELTRDRTCNSMNSLTLTDVEGR >Sspon.01G0042850-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:68639000:68647192:1 gene:Sspon.01G0042850-1B transcript:Sspon.01G0042850-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAVASRTRNKSATTVTLAMPFLLSAANASATTNPRSLSRSPRSQNNSRAHRLQLPARRLPSFPAAAPGSPFAPLPQSRGMASLAASAAAAAAAEVTHLTQRDAAEIDEQLMGPLGFSVDQLMELAGLSVAAAVAELESLSIPFIPVEDLPNDLSREFDVIVDAMFGFSFHDKRPAIVSIDIPSGWHVEEGDVDGGGIKPDMLLPPYPGTSMCVRIGKAPSVDISSLRENYISPELLESQVMSDPFDQFLKWFDEAVTAGLREPNAMALTTVNKEGKPSSRMVLLKGVDKQGFVWYTNYGSQKAHDLSENPNAALLFYWNEMNRQVRVEGSVEKVPEEESDKYFHSRPHGSQLGAIVSKQSTVIAGREVLQQAYKELEQKYSDGQIFSSCKTSQLPQDVSVVIRSRV >Sspon.02G0010160-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:31574063:31578379:1 gene:Sspon.02G0010160-2C transcript:Sspon.02G0010160-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Flowering time control protein FPA [Source:Projected from Arabidopsis thaliana (AT2G43410) UniProtKB/Swiss-Prot;Acc:Q8LPQ9] MSSEPPPAESPEASGSGSGSPSKDAVGTEGGAAAGGPETNTLWVGNLPLHVTEGDLLALFGPHGALDCALARAGSRSYAFVLFRSPAEARAAVEATRGEKVKGAAMRTEFARPAKAVRNLWVGGISPSVSKEELEEEFQKFGKVEGVAFSQDQTSAYIDFEKLEDAISAHRALNGKTLGGKELCVDFQRSKGRAEWSEASSFNGRVSGPVGDKRGSGPPKGSAGIRMREAQPTNVLWVGFPGAYKVINEETLKQAMSAFGVVTKIKIFQTRQYAFVEFANILFSNSELAPNKLDNPTSAAGFPRSEMYSSDGRQGPGIGSGTLQGYDPPRGGRSRYYDYGGMPTPGGILSQPESFDPREAKRMRLDAGADPHLRAGSTGLYSAGYRHQGNSVHAREAQLLLFESGAQCIEHHTLITVGVAVLPKVDPLPDVMNCSARTGLDMLAKHYADATGFDIVFFLPDSEDDFVSYTEFLRYLGSKSRAGVVKVDAGTTLFLVPPSDFLTNVLQVDGPERLYGVVLHIPQISAAAALRPQLTGTEQQPYYDERETLLTQRKYSIISPNDSGHVDADYRASLHEDSMHRLGHIPGRPRVDEGQAVQPALAGFPANQATGLQVQSSLKPDIMATLAKLLPSVQSPLVSGQMNATDRPSQMQDPSMLSKVWIPENQVTASNSSVGQIANVQHPGQQFSRQASAAHLTNYGNMGQQQNLGPGAAQAPEEDKSKKYQATLHEDTLKQNETKSFLHQL >Sspon.07G0013010-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:46355903:46362358:1 gene:Sspon.07G0013010-4D transcript:Sspon.07G0013010-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGQERRTIDLEEGWAFMQKGITKLKNILEGKPEPQFSSEDYMMLYTTIYNMCTQKPPHDYSQQLYDKYRESFEEYITSMVLPSLREKHDEFMLRELVQRWSNHKVMVRWLSRFFHYLDRYFISRRSLTPLKDVGLTCFRELIYQEIKGQVKDAVIALIDKEREGEQIDRALLKNVLDIFVEIGLGQMECYENDFEDFLLKDTIEYYSVKAQSWILEDSCPDYMIKAEECLKREKERVGHYLHISSEQKLLEKVQIELLAQYATPLLEKEHSGCSALLRDDKVEDLSRMYRLFSKIPRGLDPISNMFKTHVTNEGTALVKQAEDSASNKKPEKKDMVGMQEQVFVWKIIELHDKYVAYVTECFQGHTLFHKALKEAFEVFCNKGVSGSSSAELLATFCDNILKKGCSEKLSDEAIEDALEKVVRLLAYISDKDLFAEFYRKKLARRLLFDKSANDEHERSILTKLKQQCGGQFTSKMEGMVTDLTVARDHQTKFEEFVAGHPELNPGIDLAVTVLTTGFWPSYKTFDINLPAEMVKCVEVFKEFYQTRTKHRKLTWIYSLGTCNINAKFDAKPIELIVTTYQAALLLLFNGSDRLSYSEIVTQLNLSDDDVVRLLHSLSCAKYKILTKEPANRSISPNDVFEFNSKFTDRMRRIKIPLPPVDEKKKVVEDVDKDRRYAIDASIVRIMKSRKVMGHQQLVAECVEQLSRMFKPDFKAIKKRIEDLITRDYLERDKDNANMYKYLA >Sspon.02G0009530-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:26780083:26780253:1 gene:Sspon.02G0009530-1A transcript:Sspon.02G0009530-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLVNKAIPKLNRDSKLALCSRTIPSMLSFHFDISIRQSTLGLSQPLYLLHKNGEL >Sspon.01G0060560-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1D:80939917:80940288:-1 gene:Sspon.01G0060560-1D transcript:Sspon.01G0060560-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIYARMHLKCGTTKVKDLKLPNARKLREQPPLVSWLLGVEHDAGVVKLGEVPQLQEPAPAIVRCSSSHRRLGAWMIHALGTGRPPSGATLWKAMFASHSFSSVGANAEIMASSCSHAFSLEI >Sspon.01G0005890-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:14220578:14222845:1 gene:Sspon.01G0005890-2B transcript:Sspon.01G0005890-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSASELFTARRAARGGRLSDPDPDPDPHADALRDQHGLGGRRQRRGCRPRRQLDAAGDVRQHLHTGAPPPRRRGSYTDQILSYIDNNNIGDSAARRNRLDRLMFRTNERLPGAVLQAQARVLERLRGISLGSSASRPSILLDEFSVSDVFRIIDFRNRETRHEANRPHSSSFHPSSESDEERPTISSTNSNRSAGLSKAAFLRLQIEIFEASKDDKREASPECSICLDGFYNGDELIKLRCGHRFHSTCLEPWVRKCADCPYCRTNIRSRS >Sspon.01G0040940-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:37976390:37978779:1 gene:Sspon.01G0040940-2C transcript:Sspon.01G0040940-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEVVLHVYDVTNSDSEKTNNTILQINRIFKDRIGLGGIFHSAVQVYGEDEWSFGFCETGSGVFSCPVGKNPMYTYRESIVLGETECGIAAVNRILRELSREWPGHSYDLLSRNCNHFCDVLCERLGVPKLPGWVNRFANAGDTAVVVAESTAVKFRQAKTEIVNASRVAYRFMAGLASKNQASPESPGNIQNRGSPTFQGAWFKNIISAGAKPSSSESTPSHLQDADDGTPLRRQSSKEHSTQL >Sspon.08G0013470-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8A:56305024:56306787:1 gene:Sspon.08G0013470-1A transcript:Sspon.08G0013470-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPECSRRDASLLIVSVALYTVLAAASSPKALASSSSLSSRPALEQQLPLSADLTTDQERAGRPGEETRRPLSVEQELDVARAAIRRTAQRHGDAGRAGNGNGNVSSANSWFDAGVEHALLATVYRNPAAFHRSYTEMEKRFRVYVYEEGEPPILHDGPCKNIYTIEGRFIEQLELMAPSSPASSSRQGSRRRRAASGVRTSEPARAHAFFLPFSVSKMVQFAYRPNTYDKTPLRAIIADYVRVVASRHPYWNRSAGADHFMLACHDWGPAASMGHPELYANGIRGLCNANTSEGFRPGQDVSVPDINLYDGETPRELLEPAAPGVTSRPFLAFFAGGRHGRIRDLLLRHWKDRDPDVFPVYEQRHDDAFDYYSFLRRARFCLCPSGFEVASPRVVEAIHAECVPVILSDGYALPFADVLRWEAFSVAVPVADIPRLREVLERIPAPEVERLQQGVRLVKRHFLLNQPPQRLDMFNMILHS >Sspon.07G0025120-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7B:37774827:37776256:1 gene:Sspon.07G0025120-1B transcript:Sspon.07G0025120-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AWQDHWSTYIV >Sspon.05G0027070-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:49151694:49168370:-1 gene:Sspon.05G0027070-1B transcript:Sspon.05G0027070-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMDSDSSSHSGADYRSFRQITRDRLLLEMLRSTRKSSKSTWKVLIMDKLTVKIMSFSCKMADITEEGVSLVEDLYKRRQPLPSMDAIYFMQPTKENVRIFMSDMSGKNSLYKNSPVQRELVAHIKKDSTMNLEYFAIDSQGFITDHDKALEELFSEDAEGSHKYNACLNTMATRIATVFASLREFPRVHYRVARTIDATTLTTLRDLVPTKLAAAVWNSLARYKSTIPQFPQTETCELLIVDRSIDQIAPIIHEWTYDAMCHDLLCMDGNKYVQEVASKNGSANEKKEVLLEDHDPVWLELRHAHIADAYDRLHEKMTSFVSKNKAAQLQQARSGGELSTKQLQKMVQALPQYSDQIDKLTLHVEISGKLNNIIKEQHLKDVGQLEQDLVFGDAGTKELISFLRTRMDVSRENKLRLLMIYASINPEKFFESEKGAKLMQLAGLSADDMIVVNNMRCLRGPDTKKSSVGAFTLKFDLQKKKPGIRKERIGEESTWMLSRFYPILEDLIEKLSKGELPKDEYHCMNDPSPSFRGIPGSTSAQTSPAHQPAQSMRSRRIGGTWARPRNSDDGYSSDSVLKHASSDLRKLGQRLFIFVIGGATRSELRVAHKLSGKLKREIILGSSSLDDPPQFITKLKMLSTEELSLDDLQI >Sspon.04G0005450-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:15791926:15795137:1 gene:Sspon.04G0005450-1A transcript:Sspon.04G0005450-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFADLEAGALRAPLGRKARGGSNDARALVFQITTAVASYRRRLNSLGTPKDTLTLRDNLNKTSQNILQLAKDAKISSKRLLKQTRALIQADKRIADMKLAKDFAATMEEFRKLQSLAIQRETAYKPVAPQNAQSNYTTDDRSSEPGNMPEQRALLAAKRQEVLQLDNEIVFNEAIIEEREQAIQEIQQQIGEVHEVFKDLATLEIDMNIDKSEVVTKEAKKEVANAARTQKSNSSLICLLMVIFGVVLLVVIIVLAA >Sspon.06G0030390-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6C:46404604:46405347:-1 gene:Sspon.06G0030390-1C transcript:Sspon.06G0030390-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GQPHGGGGSSHAHGPPVHELETHLDVDARQTEESRTRRGRAHDHEQLRVRRRHRSGALEWAAAVLFTVLAVVVLVGAVSILVVVLLLQPRAPYVAVRAASLDRLVYDQLGALDDVQLSLRVEARNDNAHSAAIFSRLECRLAFAGATLAVLRADTFGVPARGALPLAYVARAQGAPLGAAGSAAMEAALRDGVVPFGVEGEARTSWKVGGLVAINEWTRLACQMRFFWPNGTALPFRCSSKSKFLFF >Sspon.07G0018100-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:79950823:79953078:-1 gene:Sspon.07G0018100-3C transcript:Sspon.07G0018100-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALRHLAAGPTYHHHGVAAATASLQLRLLPLPSCRPLRSRSRSLTRVYALSSNDIRVGTNVEVDGAPWKVLEFLHVKPGKGAAFVRTKMRNYVTGNTVEKTFRAGSTLQEPSLSKETKQFTYKDGAQFVFMDLTTFEESRLNEADVGDKQKWLKEGMDCNLLYWNGKIIDFELPITVRLTVTDTDPGASDSAQVPAIA >Sspon.02G0015710-3D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2D:37075763:37085315:1 gene:Sspon.02G0015710-3D transcript:Sspon.02G0015710-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQQQERDRRRTLLLVNLASIMERADEALLPAVYREVGATLHASPAGLGALSLCRSIVQAACYRLATYAAARHNRAHVIAVGAFLWAAATFLVGVSDTFLQVAISRGLNCIGLALVVPSIQSLVADSTDDGTRGSAFGRLQLASSLGLISGGFVGLLLAQTTVLGIDGWRIAFYLVAAISVAVGILNWFLAVDPHFPRRDGKQAATAREVVAEMIEEAKFVVASSLGGLLGGKMGDLLAVWYPDADRIVLSQISLLSAVPLAGCCCSASLTTCPRASPTALPIFAEIVPEKSRTSIYALDRSFESVLSSFAPPIVGMLAEQVYGYPRDREHARMQSLIESELQQMENEHESSCLELEDGSDGVPKVFGSTNDDGERATIGVTYDHKEDPEAEKDRLLPTGSSSISPARATVLSYDLLCACSMRAGGASVGRLRRLRHSSTTVPEQEPVGAPPNAALEFVRWMFERADERATATISSAALVSLALFGAYVSRAMITAVDVLSCTHVAPDSITSEEMAPQDLMAVDVLSRRVFMGLLFGLHHGLLLAYVSHGGGAGHCHVGGDVSCAGFTAVDVLSPRMFVGLLVGLRHAVLPASRVFMGLLSSDYATPCSLHTSPMEVASDSVTLEEVAPKNTYPAIDGTLLILASPRCANQHNCKGLDYPQWTRPKIDGDCWSASSGGCPDAELSFPSRSERRGAVRRTRTDGAGGVGAAADAAGGEPASIMECADEALLPAVYREVGAVLHATPAGLGALTLCRSIVQAACYPLAAYAAAHHNRAQIAISRGLNGIGLALVVPSIQSLVADSTDEATRGSAFGSRRRRLACRVPVAAISVAVGALNWSLAVDPHFLPTSESVDVPAVGKRPATARQVVAEMIEDARLVVRIPTFQIFVAQGVSGSFPWSALSFGTMWLELIGFSHSDTAVLMTIFWVASSLGGLLGGKMGDALAVRYPDAGRIILSQISASSAVPLAAVLLLGLPADPSTGVAYGVVLFVMGVFISWNGPATNLSFPNSGGKALACGMWHEREQEVRTGESWLIVCAVSIKQGMRARVQPLRLLPCLQPLFLGFDPILRYDLNTPMGDQYKRRLGS >Sspon.04G0029260-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4B:71688463:71691807:1 gene:Sspon.04G0029260-1B transcript:Sspon.04G0029260-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQALRRLFDSFFSTREMRVVMLGLDAAGKTTILYRLHMGEVLSTVPTVGFNVEKVQYKNVVFTVWDVGGQEKLRSLWKMYLSNSDALIYVVDSLDRERIRDARQEFQTIIKDPLMANSIILVFANKQDLRGAMSTDEVSEGLGLHDLRNRIWHIQGTCALRGEGLYDGLDWLASTLKQLQESVSSVPQPTDGSCREPPQAHKNMLQRKHSLVWLPADGVPLCWYHMSQFIRQELAYLSAEIVNC >Sspon.03G0040200-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:27351561:27354199:1 gene:Sspon.03G0040200-1C transcript:Sspon.03G0040200-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADQLSEDQIAEFKEAFSLFDKDDNGYITTTELGTVMRSLGQNPTEAELQDMIAEVDSNSSGTIDLQEFLGLMARRMKDTESEEELREAFRVFDQDQNGFISATELRHVMTNLGEKLSDEEIGEMIREADVDSDGNINYDEFVKVMMAKRRSKRTEEKAAARGKKKAGAPSDAGKRGQKCVIL >Sspon.03G0033600-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3C:56355742:56359814:-1 gene:Sspon.03G0033600-2C transcript:Sspon.03G0033600-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVSSTAAWLWETEEAKKTMPVAGKINVTPLEEKTSEEEPV >Sspon.08G0021690-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:41837126:41839917:1 gene:Sspon.08G0021690-1B transcript:Sspon.08G0021690-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVATNLALAEQHSCSRLKTRCIKFIVDSPANLEAVLATEGYKHLVANCPFPMTELLRSAVEKSLDKGVRFESTEVFS >Sspon.04G0035740-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4D:16198788:16199228:1 gene:Sspon.04G0035740-1D transcript:Sspon.04G0035740-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRWRKRLIEPYPERKLWRGREHEKEEGEAELSVRRIGQWRCEEGARRRRVLRWRRKPLGLLLLVLWREERQWEETAEADARAEGVGGCGGTQGPPDSQVSPLPAHGVHAVARVCHGRARRTGERGGVRGRRGAGPGRLRPWAEK >Sspon.01G0025400-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:90676302:90677147:-1 gene:Sspon.01G0025400-2C transcript:Sspon.01G0025400-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPWWDSDWKDRSGPEYRAFVSNLPYSTNEGSLKDAFSSYGPLNADVAYDRDTGRSRGYGFVQFDDKKSMDNAIQGMNGQQVGGRTISVSEANQRPRRWRA >Sspon.03G0016870-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:71792107:71800460:-1 gene:Sspon.03G0016870-2B transcript:Sspon.03G0016870-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGNGDGTTPLGEPLLAKKARAYRPRCPGCRVDRLNAEREGCSLSRTSSSSGSSPSPAIRDLNIAKQTQDIGFYAGFVGASYMFGRAVSSTVWGIVADKHGRKPVIVLTLVAIVIFNTLFGLSSNYWMALITRCLLGVMCGYLGPIKAYATEVCRKEYNHLALAVVSSSRGIGLIIGPAIGGYLAQPADKYPSIFSQTSIFGRFPYFLPCLCISILAVVALIACIWFPETLHKHNEDTVDNSVETVEESLADTDTEENGGGGCLKLFTNWPLMSSITLYCIFSLQDVAYAETFSLWAVSDRSYGGLSFTTTDVGNVLAMSGLFLFLYQMLIYPLLAKTVDHITLVRAVAILTLPLLASYPFFPSLKGFMLMLVVTTITVFNILMNEAVTQDVRAAANGIAVTLMSISKAVAPAVAGIIFSWAQRRQTASFLPGDHLVFFILNIFTLTGLIFTFRPFFVRGSAKH >Sspon.03G0003080-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:23109952:23114528:-1 gene:Sspon.03G0003080-2C transcript:Sspon.03G0003080-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATTSQASLLLQKQLRDLAKHPVDGFSAGLVDDSNVFEWQVTIIGPPDTLYDGGYFNAIMSFPQNYPNSPPSVRFTSEMWHPNGQLLCFLTSCIIFGEMPVYPDGRVCISILHPPGEDPNGYELASERWTPVHTKDWREKREDFKKKVRRIVRKSQEMITDDEIIENRFILNYERSMNVKAR >Sspon.01G0002590-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:5917116:5920318:-1 gene:Sspon.01G0002590-2B transcript:Sspon.01G0002590-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGATPRLSTLHLFFPLSFSLALLCCVAVCNAAADEAAALLAIKASLVDPLGKLGGWNSASASSHCTWDGVRCNARGVVTGLNLAGMNLSGTIPDDILGLTGLTSIILQSNAFEHELPLVLLSIPTLQELDVSDNNFAGHFPAGVGALASLTSLNASGNNFAGPLPADIGNATALETLDFRGGYFSGTIPKSYGKLKKLKFLGLSGNNLGGALPAELFEMSALEQLIIGYNEFTGAIPAAIGNLANLQYLDLAIGKLEGPIPPELGRLSYLNTVYLYKNNIGGPIPKEIGNLTSLVMLDISDNALTGTIPVELGKLANLQLINLMCNRLKGGIPAAIGDLPKLEVLELWNNSLTGPLPPSLGSAQPLQWLDVSTNALSGPVPAGLCDSGNLTKLILFNNVFTGPIPAGLTTCSSLVRVRAHNNRLNGTVPEGLGRLPRLQRLELAGNELSGEIPDDLALSTSLSFIDLSHNQLRSALPSNILSIRTLQTFAASDNELTGGVPDEIGDCPSLSALDLSSNRLSGAIPASLASCQRLVSLNLRSNRFTGQIPGAIAMMSTLSVLDLSSNFFSGVIPSNFGSSPALEMLNLAYNNLTGPVPTTGLLRTINPDDLAGNPGLCGGVLPPCGATSLRASSSEASGLRRSHMKHIAAGWAIGISVLIAACGVVFLGKQVYQRWYVNGGCCDEAMEEDGSGAWPWRLTAFQRLSFTSAEVLACIKEDNIVGMGGTGVVYRADMPRHHAVVAYGYTLKVDQKSDIYSFGVVLMELLTGRRPVEPEYGESQDIVGWIRERLRSNSGVEELLDASVGGRVDHVREEMLLVLRIAVLCTAKSPKDRPTMRDVVTMLGEAKPRRKSSSATVAATVVDKDKPVFTTSPDS >Sspon.02G0030210-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:95719243:95723398:1 gene:Sspon.02G0030210-2D transcript:Sspon.02G0030210-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MECDGVGMSKGELKLLKRNMAGIEAALVSGILNIVGTKLAPLVTKEFSSIAGVTKDLQDLQDLVEDINVWLERVGGRALENERSSNWLKRLKEAAYDAEDLVNKFHIKSEKHGINVAGDNNVVVKYLWRKPKSILFECKTAQEIKKIKKRFDEIVKGRSDYSTIANSMPVVHPVQHINKIIGEVPLWTIVDETIIFGRDQEKDLVINELTEASHQQKIKIVSVIGLGGSGKTTLAKLVFNDSAIQNHFDAILWVHRSAKMEDIPNSIWKLEKLRVLWFSATGLEQFASFQHFGKLCNLQTITLKYCSLQHVPQCITLLTHLEHLDLEGCTELVELPEGIANLKMLQVLNLYRCKKLRGLPAGCAQLTRLQELGLFVIGDSTTHARISELENLDKLNGELQIKNITYVKDPDDAGKANLKKKNGIRKLSLDWHPRVEFGDSDTEESVFVEEGQKKSYCYIWRRTCVCSMVLNHHQKLRNSELVVIQ >Sspon.01G0037130-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:20210483:20213645:-1 gene:Sspon.01G0037130-1B transcript:Sspon.01G0037130-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMHRFALRGLGFLVLWMLLHCGSELAAAVPPDGWYDYSAYTDCRGQPEPALYNGGILKYGNSGDDPDGYRTTETGVFSPAFVVYNLNKTTMYTFSCWVKLEGAYSALITARLAPDNTGARCIATVLARSDCWAFVKGGFVLDWPTQTSVIFFQNADKTPMKITVASGSLQPFTTDQWAMHQQDTIRKRRKRVATIHVADPQGARVVGASVSVQQTAKDFPIGSAIASTILGNQAYQQWFVDRFNAAVFEDELKWYSTEPMSGLLRFDVPDQMLAFVRSHRVMVRGHNIFWENQDATPRWVKNLTADDLRAAVNTRIQSLMTRYRGEFAHWDVNNEMLHYNFYEQRLGPNASMEFFSVAQDADPLATLFMNEYNVIETCDDPFSTVDTYVDKLKELRSGGAILEGIGLEGHFSKPNIPLMRAILDKLATLGLPIWFTEIDISNKFDAQTQATYLEQVLREAYSHPAVSGVMLWTALHPNGCYQMCLTDWNLSNLPTGDVVDRLLNEWRTLQAGGQTDAHGAYSFSGYLGEYVLTVSYNNRTTQATFSLSPGDETRHINVQM >Sspon.02G0024210-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:84264833:84271452:1 gene:Sspon.02G0024210-2B transcript:Sspon.02G0024210-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:F20D23.9 protein [Source:Projected from Arabidopsis thaliana (AT1G17210) UniProtKB/TrEMBL;Acc:Q9SHI0] MREEVRSSSAPPDPPPGRSASPPPTPAASSAGASSPPAQTNVASIDWLGSDQVSKVGSSHIAPPTSQPALSTNANGAAADCFQSSCRPWERGDLLRRLATFKPSTWASKPKAASSLACARRGWVNIDMDKIECESCGAHLIFTALTSWSPAEVASAGEAFAEQLDASHQNDCPWRGNSCADSLVQFHLTPSALVGGFKDRCDGLLQFTSLPAIALSAIESMKLTRSVQIDRVLSQSVTILSGELGYRTDSTTGIDIGQQDETCCYSQAQKLISVCGWEPRWLPNVQDWEENSTRSARNAGSAEPDGQFHSQIPEHHQNSYSASVKKDKGKGKMRVKDSGCSMRSPLLDCSLCGATVRIWDFRSVPRPSHFSLNNIDMPDTGRKPVLICGISATSGINGLVAEGAERENVEGRGEAGIDEGKSLSNAQVDLNLTMAGGLPSNYSALPPMPGHLNYGGMGRDLIIGQPTGSELGGHAASFESRGPSSRKRNLEEGGSTADKPINRLQPADSIEGTVIDRDCDEVDDAAQDSGARSKRLRGFNLFDINRPSSSGAGPSRNLSLDLDIDVNKFDTSNAEGPSALRNPFPKDSMRASSVIAMDTVHGAEENSMESVEYHPCDVDDVNKPSSALRSGVMSDTLDLNYSNQAQQSSFVQPAAETESNAREIGGSSMNGGEEVLNAETTPAFARDQLSLGVSGGSVGMGASHEAEIHGTDVSEHKTGSVVGDADPIPELTETMGHTGESAPGPALMDEFVPEEVGREDPNGDSQDMASRLAVRADSGSKICGSTKADSVESGEKMSHENSAHPSLSCNARVYSGIDASKEEVTGIMLTNDDYDPGNGLGATNGENDYETDLPDFDPIRHHNDYCPWVNGNVAAACCINTGSSTALSGWQLTVDAIETLQSLGQAQNQTMQSDSAASLYKANDDHVPPSRKLLKRANHSKS >Sspon.02G0048360-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:24462735:24465676:1 gene:Sspon.02G0048360-1C transcript:Sspon.02G0048360-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GMRGSSGAYKYVSELWRRKQSDVMRFVQRVRCWEYRQQPAIVRLTRPTPRQGPPPRLQGQAGAYKYVSELWRRKQSDVMRFVQRVRCWEYRQQPAIVRLTRPTRPDKARRLGYKAKQGYVVYRVRVRRGGRKRPVPKGIVYGKPKHQGITQLKFQRNKRSVAEERAGRKLGGLRVLNSYWVNQDSTYKYFEIILVDVAHTTIRNDPRINWLCNPVHKHRELRGLTSAGKKFRGLRGKGHTHHKNRPSRRATWKRNQTLSLRRYR >Sspon.05G0014070-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:54114058:54116069:-1 gene:Sspon.05G0014070-2C transcript:Sspon.05G0014070-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LIGLMMSSSRVMVCAMAFVLACLAAMPAASAASGDDGGILYIPSAAYIASHCPSSCGDVNISYPFGIGAGCFRQGFELTCNHTTQPSKLFLGNSTTQVIDMHGSTVSVSGMLFNSTLEKSDTNTYNISWYAPAKGLTIPSYNSLFFLGCDFDVSVFDSARDLIGTCMSRCQGDVLPNQGPCYGVGCCSIGLQNDIPSFHGTIARAGNNMAAQSDPLHLMAFMGDGGYYTQMATDLFSSWTNASNIIDAELEAAIMDQPSCESAQMNNASYACATDSNCQNESYGGYRCYCDNNNYYYYYTVNAYLSEGCMQDNYNPKPKEHCQRSCGNMSIPFPFGLAEDCFGNERFRLNCTATNETLFSTAYIQYHVTDLSIEDGTLTVSSMLNNASSGKEVIIAQATENGGVYMDDPVEDDFDFSMEYDIVIRWAVTNSSCEQAMHGNISKYACRSVNSDCKNVIHRKIFMGYRCKCSSGYRGNPYIQDGCTDIDECSMPNYCNGTCQNIPGSYRCTSAKQRNLLLGIAIGTSCGLGSIFIAL >Sspon.02G0020160-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:60798563:60803758:-1 gene:Sspon.02G0020160-4D transcript:Sspon.02G0020160-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Adenylate kinase isoenzyme 6 homolog [Source:Projected from Arabidopsis thaliana (AT5G60340) UniProtKB/Swiss-Prot;Acc:Q9FJI1] MAAGSGGGSRRARPNVLVTGTPGTGKTTTCSLLADAAGLRHVNIGDLVREKSLHDGWDDDLECHVINEDLVCDELEDMMEEGGILVDYHGCDFFPERWFDLVVVLQTDNSILHDRLTGRGYTGSKLTNNIECEIFQVLLEEARESYREDLVMPLRSDNVEDISRNVGALTDWINNWRPS >Sspon.07G0021700-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:4118744:4120952:1 gene:Sspon.07G0021700-2C transcript:Sspon.07G0021700-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFTASPVVELPVGGAVLTFEQDNDSFEVGTSVWPSSLVLVKFVERCLRDPALPFADVLRFPGTRAVELGAGCGPAGLGLSRLGLTDLVLTDIVAVLPALRRNLRRNRVHLPRAPRLAQLHWNCPAHLATLATPRRFDLVVAADVVYVQESVPHLIAAMDTLADAERGVVLLGYQIRSPEAHQAFWDSVPAAFPVIEKVAREHLDPVYAYEESDSSMSTEMQIVS >Sspon.01G0020170-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:80417027:80419141:-1 gene:Sspon.01G0020170-2B transcript:Sspon.01G0020170-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGSKCDSRKWRSWRWLVASWVLAVILERGGAEVTELDLEERRDERRDLLVLRDTLRSALDLHSNWTGPPCHGERSRWRGVSCDGDGRVVGVALDDSQLTGTLPRGALRAVSRLEALSLRGNALHGALPGLDGLSRLRAVDLSSNRFSGPIPRGYATSLPELARLELEDNLLSGTLPAFEQHGLVVFNVSYNFLQGEVPGTSALRRFPASAFDHNLRLCGEVVNAECREGPTSSSGAPAYGSSSSPVVRPAGDGGRAARKHLRFRLASWSVVVISLIAALVPLAAVFIFLHHKKKSQEVRLGGRARAAVTVAEDIKDKVEVEQGRGSGSRSTESGKGAELQFFRADGASFDLDELFRSTAEMLGKGRLGITYRVTLQAGPVVVVKRLRNMSHVPRREFTHTMQLLGKLRHENVVDLVACFYSKDEKLVVYEHVPGCSLFQLLHGNRGEGRTPLPWPARLSIAQGMARGLAYLHKSLPYFHRPPHGNLKSSNVLVFFSAPHGTQQKQAVPKLTDHGFHPLLPHHAHRLAAAKCPEFARRGGRRLSSRADVYCLGLVLLELVTGKVPVEEDGDLAEWARLALSHEWSTDILDVEIVGDRGRHGDMLRLTEVALLCAAVDPDRRPKVQDVVRMIDEIATGDRPEELAW >Sspon.02G0016780-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:47080567:47084186:-1 gene:Sspon.02G0016780-1A transcript:Sspon.02G0016780-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLASARGLWARHRRKILVSLGVAGVGYAAYRFYDARRAQLVRVEQLRAMEERAAEDLVKNQLQAHFEKVKRICDTTTLPLAMHHLSDKIMSELDISKLTDKLRQGKVDSSALTPKEKYDTWEEIKIKSFTKTVSSMWAMTLLSLYVRVQVTILGRHLYLDIARGTNGAQLQAGSDTFSENGHKSFLAMADYLVTDKITAFITQMQRAATEVLKEKQLKDRMNMDQVLQTVLQILDLFMGLCEDNSWIHYLVPENPPVYAQLMAVSSSGFDDSSLLNDFRKLDQLMSETRIVLASDDFRNIMEKSLRKIAEVVVEDLALQIGTPGSGLPLATLLPKVGHLSSPLLEEPNKNKHIQIIRSMPEVELFYTFLYANMPPET >Sspon.02G0005060-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2A:15922099:15922473:1 gene:Sspon.02G0005060-1A transcript:Sspon.02G0005060-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGDRRSRASRNRGRGRWRADTRYGRRRGTCRGTTGAGLCGSVQRSHISRGNAFGTSHSSPARLRLRLLHRYDSPGETTKNPSADHSPAADSVTNPGYPRRCLLSFTLLEAALPNCREGSIPR >Sspon.06G0028270-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:5045391:5059220:1 gene:Sspon.06G0028270-1C transcript:Sspon.06G0028270-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADTTPQLFQTQRSGGGSEKGDDDSSSLIISTLPTREGWWAAPLFRLHGCWLTARLARNVELVQAEFKPRPDDVLLATFPKSGTTWLKALAFAVTNRSRHPIIITGDDDHPHHHPLLSRNPHDLVPFLEPPNGSLCPVAELEALPSPRLLCTHLPPALLPPGMLMTSSRAVYLCREPKDVFVSYWHHVRTLSHESLVDFDKAFELFCEGVSVCGPVWEHYLEYWKLSKRNPSSSRVLFLKYEEMMAQPARHVRKLAEFLGVPFTKEEESSGVVEEVVRLCSFQNLKDLPVNTHGVSEMGAAVGKPFKNSLWFRSGKVGDWENHLTQEMARKLDCIVEEKLKGIRYMSEVTDRHARHVRMLADFLGVPFTEEEESGGAVEAEGRGRRLEEPPDEGDGTQKGDDDSSSLIISTLPTREGWWAALVFRLHGCWLTARLARSVELVQAEFKPRPDDLKALAFAVTNRSRHPIIITGDNDHPHHHPLLSNNPHDLVPLLELPERSLRPVAELPSPRLLCTHLPPALLPPGMLMTSSRAVYLCREPKDVFVSYWHHVRTLIHESLVDFDKAFELFCEGVSHYLEYWKLSKRNPSSSRVLFLKYEEMMAQPARHVRKLAEFLGVPFTEEEESGGVVEEVGDWENHLT >Sspon.01G0036350-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:18282542:18288574:1 gene:Sspon.01G0036350-1B transcript:Sspon.01G0036350-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEARGGAAAVETHRVDLPPTATLADVKALLASKLSAAQPVPAESVRLSLNRSEELVSPDPAAALPSLGLASGDLVFFTLSPLTALAPPAQALPRNPSPGSGTAASIAEAVDRGKCSKQPVTGGSSSSSQVEAVVVNPSFPVASDPPDVVMEEAFDAAKSWSSFVLRDLKREMGNVGGAEGTAAGRLVAALHAALLDVGFLTATQMGSHLSLPQGWPSGALKPLTIKYTIPELSAMLSVTEEGKVVVLNYSLMANFVMVYGYVHGAQLEVCRLCLELPGLEPLLYLDSDQLSGVHEKGVHDLWRVLKDEICLPLMISLCQLNGLRLPPCLMALPADLKTKVLEFLPGVDLAKVECTCKEMRNLASDDSIWKKFVSKFEHYGEGSRGVSKTAKAIFGEVWQANKRRQKRPNPTFWNYGWGNSPYSRPLRLPLIGGDSDRLPFIGNPGSVGRHFGNQRRNISPNCILDVLCEIMEAYCYVMYGRCYRRRRDTRRAVERAAKAGLERDYELRSRGPATLGVEALDNVVSASRRFSPTRSSHPPSPSTSNSSTGHSTTMAEPTIQDLMELMKSFQTELSSVKADMATMKDKSSSLSATGSGGREDHPRDLDRPPHEGTPPWGRFKDLLNLRFGPPLRSAPLFELAECRRTGTVEEYSNRFQALLPRASRLDEGQRVQLYTGGLLPPLSHAVRIHNPETLAGAMSLARQVEQMELARLPPPPARGASRAPVPTPAPTQPLLALPAPPAGVAQPRQDGPPLKRLSPEEQAERRRLGLCFNCNEPYSRGHNRVCCRIFYLNGVEIAAADEEPAGDDQQEGAPVFSLRAVAGMPICDSMQVRVSLGATTLVALLDTGSTHNFIAEDAARRTGLPIQPRPRLTATVANGERVTCSGVIRRAPIIIEGETFFIDLFVMPLAGYDLVLGTHWMVTLGRVVWDFVDRTVSFLRQGRQVSWSDVADRRPPLLAATTTTPNALLEELLHSFDGVFAELAGLPPQRARDHAIVLKPGSTPVAVRPYRYPAAHKDELERQCAAMLDQGIVRRSDSTFSSPVLLVKKQDGAWRFCVDYRALNALTVKDAFPIPVVDELLDKLHGAQFFTKLDLRSGYHQVRMRSADVHKTAFRTHDGFYEFLVMPFGLCNAPATFQALMNDVLRPFLRRFVLVFFDDILIYSKTWADHLRHIRAVLAELHRHVLFVKRSKCIFGSPSVAYLGHIISAHGVAMDPAKNDEAQAAFQALKAAVTSAPVLALPDFTKGFIVECDASTHGFGAVLLQDHHPITYFSMPVAPRHRALAAYERELIGLVHTVRHWRPYLWGSAFLVRTDHYSLKFLLDQRLSTIPQHHWVGKLLGFDFSVEYKPGATNTVADTLSRRDTSEEGSVLALSAPRFDFIDRLRHAQRQEPSLVALRDELAAGTRGAPWSLLDGMVAYAGRLYIPPDSPLLIEVVAATHDDGHEGVQRTLHRLRRDFYFPAMRRVVQDFVRASATCQRNKSEHLHPVKGLDGLRGVNSLLKFSTKTS >Sspon.03G0004310-2P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7B:11887235:11889124:1 gene:Sspon.03G0004310-2P transcript:Sspon.03G0004310-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAKRAVLVGINYPGTKAELKGCHNDVARMRRCLLDRFGFDESGIRVLIDDGSALKPTGANIRRELARLVGDARPGDLLFFHYSGHGTRLPAETGQDDDTGYDECIVPCDMNLITDQDFTELVQKVPSGCLFTIVSDSCHSGGLLDKTKEQIGHSTKQNQTQRRELEERPASDTSFREFLKDTVRDVFESKGIHLPHRSHSHRQGHGDDGGDEESRYINTADAHVKNRSLPLSTLIEILKEKTGKDDIDVGSIRLTLFNLFGDDASPKIKKFMKVMLNKLQQGQHGGIVGFMGALAQEFLKAKLDGKEEELDPAMKQNVHSDQEVYAGTTARVPNNGVLISGCQTDQTSADATTPKGVSYGALSNAIQTILAERGTVTNKELVLKARTMLSKQGYTQQPGLYCSDENANVAF >Sspon.04G0001080-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:4212472:4222718:1 gene:Sspon.04G0001080-1A transcript:Sspon.04G0001080-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARGARSGGSAAAAALVSVLLVGCLVAGGQGAEIRRQKNVQAALRAKWAGTPLLLEASELLSKEWKDLFWDFIDHWKELEKGSECLTAKCCAQKIVEDARTLLNEPLSSIFEFSLTLRSTSPRLVLYRQLAKESLSSFPIDDSPEQISGHGTGKTFDGAVDPSSSGGTCCWVDTGNVLLFNSADLHEWLGGLGKLAMDSTEQPELFDFDHTYPRTNITAPVAIFYGAVGTKCFKEMHVQLAEASKQGKVRYALRPVLPSGCGTTSTFCGSVGAVDAVTLSGYGVELALKNMEYKAMDDTAIKKGVPLEDPKTEDLSQEERKPELNAEIMAFRDYLLSSTVSDTLEVWELKDLGHQTAQRIVQASDPLQSMQEINQNFPSIVSSLSRMKLDDSIKDEIIANQRMVPPGKSLMALNGALINIEDLDLYLLMDMVHGELSLADQFVRLKLPQSAAHKILSAPPPAESNSFRVDFRSSHVLYLNNLEEDDMYRRWRSNIQE >Sspon.08G0014880-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:60123210:60124823:1 gene:Sspon.08G0014880-1A transcript:Sspon.08G0014880-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRPLLLLVVVVALLRSMSAGGAATITVDVKDYGAKGNGVDDDTKPLMTAWKAACGSAGAVTMLLSPGTYYIGPVQFHGPCNASTLTFQLQASHPGTLKADTDLSRFRNDWIEFGWVTGLTVAGGVIDGQGAAAWPFNECPFRKDCKVLPTSVRFVNSRNTAVRDVTSVNPKFFHIALLQAKDVRMSGLRISAPPDSPNTDGIHIERSAGVSITDARIGTGDDCISIGQGNDGVDVARVRCGPGHGMSVGSLGRYAGEGDVTRVRVRDVVFTGTDNGVRIKTWENSPTKSSAAHMLFENLLMEDVRNPIIIDQKYCPYYNCEHKYVSGVTLQDIQFKNIKGTTTTPVAVMLRCGVPCQGLVLQDVDLKYKGQGGTSAKCENATA >Sspon.06G0007590-2T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:27914862:27919534:-1 gene:Sspon.06G0007590-2T transcript:Sspon.06G0007590-2T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMTAIASPSSRTLIPLRHHGAAPSPSTSGDSSLRLLRAHPRHGRRSRGVSVSTPAARSRPFVFSPRAVSDSKSSQTCLDPDASTSVLGIILGGGAGTRLYPLTKKRAKPAVPLGANYRLIDIPVSNCLNSNISKIYVLTQFNSASLNRHLSRAYGSNIGGYKNEGFVEVLAAQQSPDNPNWFQGTADAVRQYLWLFEEHNVMEFLILAGDHLYRMDYEKFIQAHRETDADITVAALPMDEARATAFGLMKIDEEGRIIEFAEKPKGEQLKAMMVDTTILGLDDVRAKEMPYIASMGIYVFSKDVMLQLLREQFPGANDFGSEVIPGATSIGKRVQAYLYDGYWEDIGTIEAFYNANLGITKKPVPDFSFYDRSAPIYTQPRHLPPSKVLDADVTDSVIGEGCVIKTEADKKLLAENGAFPLILNADNVQEAARETDGWMCDMQLSVIDNDQHLV >Sspon.04G0014780-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:58037245:58044851:1 gene:Sspon.04G0014780-2B transcript:Sspon.04G0014780-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSVGRGGGDMWSSLGCSHCPNGGLALASWRCTACGSSLDPESRGSGRYGRCMEEEARLSVWHLMRASGAGRCRAGHPCLVEPESMEAEFITVAPLDTSGSGRGRHRDPVRHDSGMQQGLGAVQCLVLARPSILWAKTRWVHLGESKANILNFLQVKHIGFKSARFPAAAAASKRPREPAPAKPIPRAAGRQAAPSMADTKVLPAVTLRTRKFMTNRLLSRKQFVLEVLHPGRANVSKAELKEKLAKMYEVKDPNCIFVFKFRTHFGGGKSTGFGLIYDNLDSAKKFEPKYRLIRNGLATKVEKSRKQMKERKNRAKKIRGVKKEKLTNHLDLRSRLNCKGHRESKVIDY >Sspon.03G0000860-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:2434016:2436728:1 gene:Sspon.03G0000860-1A transcript:Sspon.03G0000860-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl serine alpha-galactosyltransferase [Source:Projected from Arabidopsis thaliana (AT3G01720) UniProtKB/Swiss-Prot;Acc:Q8VYF9] MNGQAPAQYKQVDFVGLGAVWLRYPAINKPAGIVHWLKHSPEADNVDWVVILDADQIIRGPIIPWELGAEKGKPFAAYYGYLKGCDNILAQLHTAHPEFCDKVGGILAMHIDDLRSLAPLWLSKTEEVRQDKSHWSTNITGDIYGMGWISEMYGYSFGAAEVGLRHKINDDIMIYPGYTPRPGIEPLILHYGLPFKVGNWSFSKLEHHEDGIIYDCNHLFPPPPFPREVEMMESDPNIKRGLFLSIECINTLNEGLLLHHASVGCLKPQWSKYLSFLKSRRFSELTKPKYWKGQQVDSIVTMQHVALSKANSEYPKIHTLFSTECSSYFDWQTVGLMHSFRLSGQPGNITRLLSCTDEDLKNYKGHDLAPTHYVPSMSQHPLTGDWYPAINKPAAVLHWLNHVQTDAEFLVILDADMIMRGPITPWEYGAKRGTSLAVITYLQRYTLAIPLHVIRLAVSLSCI >Sspon.03G0044170-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:89869518:89870217:-1 gene:Sspon.03G0044170-1C transcript:Sspon.03G0044170-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding HGRRHRHHLPGAPRAALAGADGDSAAGAAAAGVGGPVQPLPHHVRPGRHHLLQAGGPDPHRHAGDRGGRGVGWAQPSPPAPQKPAPAPPGPKKPAFKLYERRSSMKSLKMLCPLLPAAAAFAAGGSAGGFSPRGFSPRGLEVLSPSMLDFPSLALGSPVTPLPPLPGSEEAAAAEDRAIAEKGFYLHPSPRGNAGPGGDLQPPPRLLPLFPSVTASWHPPPFL >Sspon.02G0034690-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:7800296:7802675:1 gene:Sspon.02G0034690-2D transcript:Sspon.02G0034690-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHCGCAHQCRRKATSLVLVLVLLLGIRAASAADIDSGQFVHEGFPAAGLTLDGLAVVTPDGLLALTNTTDQAKAHAFHPVPLHLRLPSSESAATTARSFSTRFVFAIVSPYDELSSHGLAFVVSPTSNLSTANAGQYLGLLNATNGTTAAVLAVELDTITDAEFHDINSNHVGVDVNSMISEQARPAGYYDDGDGGAFRELALNSRKSMQVWVDYDGRARRLDVTLAPVRVPKPKKPLLSMAIDLSTVVADPVYVGFSAATGVLSTHHYVLGWSFSLDGPAPPLDLSKLPVLPRLGPKPRSKVLDVTLPPATALLVAAALAAVFVIVRRRRRYAEVREDWEDEFGPHRFAYKDLFHATNGFNDRNLLGVGGFGRVYKGTLPSSNLEVAVKKVSHGSKQGVREFVAEVVSIGHLRHRNLVQLLGYCRRKGELLLVYDYMANGSLDKYLHEHDPSKPALSWPQRYWVIRCVASSLLYLHEDWEQVVIHRDVKASNVLLDHEMNGRLGDFGLARLYDHGATPETTRVVGTMGYLAPELVRDGRATPSTDVFAFGAFLLEVVCGRRPIERDRRSDEPVVLVDWVVECHRGGGTVLDAVDPRLAGRYDADEVSLVLNLGMIMRKVVQYLDSGQPVLPDLSPSYASYGTMALAQIQQGFDSYVMSRDPSSATTSVCAASSTADEELGGPQVDGVQQRTIHL >Sspon.02G0058770-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2D:83276082:83276678:1 gene:Sspon.02G0058770-1D transcript:Sspon.02G0058770-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPRTRLSSELVDDTIIDVLLRLPPEEPAHLIRASLVCKQWCRVLSNPDFLRLYARVHRTPPLLGLFTLSSSDVHPRHARPLPCFVPIPTTAAGAGSPSSTTSVAATGARPLAIDHCPWRILDCRHGRVLLLRRYVADDAGAFLVWDPITGNCHELPWLNTSSRRSAVVLCAAAADGCDHFGYSGGPFLVLCTDVGIH >Sspon.07G0003190-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:7451469:7452811:1 gene:Sspon.07G0003190-3D transcript:Sspon.07G0003190-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF778) [Source:Projected from Arabidopsis thaliana (AT2G26070) TAIR;Acc:AT2G26070] MELEPAPDDEVFSSNDEMQTLWPLGQVDPKSARFPCCIVWTPLPVVSWLAPYIGHMGIAREDGTVLDFAGSNLVSVDDLAYGSVARCLQLDKAKCCFPANLAAHVCARSHEHSEAGTAISWDDALRSGARRFEHKCYNLFTCNSHLFVADCLNRLAYGGSVGWNVLNLAALVWLRGRWLDPMAAVRSFLPFAAVSCVGVLMAGWSFLLGMAAFTLLLLG >Sspon.02G0034960-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:15247935:15249744:-1 gene:Sspon.02G0034960-1B transcript:Sspon.02G0034960-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNWFQAAPNRKVKQDDTNQPMRMMKEDGERGEDDTTTVPEDCGAGAEDGGSGPEHGNIGLENHDSGMASKDSNDKSCQRERRRHEGFGRRAVQLLAIRSNFPFSEIYGYDWQQGRCIYVQREREVQEEGMVDLVPIGPREVLMAYGCFSLKVYADNESDATIIAGWGVSEDVEIKEYTQSICGPGYEFEIIYLVIPEAIEANVEVKLKLKDFGSRRRAVYGKIKASATDYRNKSVHLFSCERGTSLSFPSGSTSILPLSPSKVVVPCRWELELHIEVDLTVITTCDSQEEEQDKNLKFSLEFNHEITSQEREVDDDQKEN >Sspon.03G0034080-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:67919449:67919745:1 gene:Sspon.03G0034080-1P transcript:Sspon.03G0034080-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHHHHDKQNKSEQAAGDWRKEEKHHKHMEQLAQLGASAAGAYAVHEKHKAKKDPEHARSHRIKEEIAATIAAGSAGFAIHEHHKRKEAKKHGHHHHH >Sspon.01G0026600-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:93275976:93279364:1 gene:Sspon.01G0026600-1A transcript:Sspon.01G0026600-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DIDCMPLHPLENFPESLRQQSIINGYYSHLSEAKYEDQMKELASGGAARSTSNLNGADATFPSGHPMSTLMKQAKEALLVELRHMNEEVSGKQRDGEIIRDLEHFRKQYAMVLVQLRDSNDQVNDTTLFLLRWLQLLLSLRQRNTYHGNPGQSKSMENGIALAGASDPYNLFSYINPESDSQVIEVIETSKCRARMMVDVAIQAMCKVSEGENAFAKIGEALDHLNSRGTGSGSSILGIRRIPPDSGQSNASYHDNCTTTPAANSSSKLPNGCDSEAQFPKELISSCVAMMLMIKNCTEKQYHPAEVAHILDSALSSVQPCSSQNIPIFRDIEMCMGIIKNQMLALVPTPSG >Sspon.06G0004390-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:13920557:13922573:-1 gene:Sspon.06G0004390-1A transcript:Sspon.06G0004390-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMRTALTSLPARLRDPSSAAATGGLRLLSDGKGRVLSEEERAKESVYIQKMEKERLEKLKKRLDQEKSGADRGKPADADKKAEGSN >Sspon.01G0019670-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:74877908:74880110:1 gene:Sspon.01G0019670-3C transcript:Sspon.01G0019670-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MISILAQERLLGFALGSVSMGGFVLHQRRAIYRSIAEADGSPYFYQPGEIASRRSSTELAHVWNKAVDETLGRLVVYLSSRGW >Sspon.03G0002280-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:5533866:5537653:-1 gene:Sspon.03G0002280-1A transcript:Sspon.03G0002280-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDSPSDRIRQATRSHSHVTTSGLVSGLHPLFNGFREPRQSPPPRDAIEMGWGISRLIGLKAAVLLSVACFFQGLGVTLISFPLIYASVIAILISIASHPSIDLPLLLGKASDGSFPLWSWIMFSPFLLFIHLFVLLRRFVKNEPLYTEVADGVFVGGWPSSVEHLPPDDPAIIDCTCELPKSSTISNSAYLCVATWDTRAPQPSQIESAVRWAVRKRSQNKPVYVHCAYGHGRSVCVMCALLVALGLAEDWKAAEQMIREKRPSISMNTLHRKSLEEWSKHLLPSSKRSGESDMSSVIHSDYNWK >Sspon.03G0000050-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3B:6823030:6823983:-1 gene:Sspon.03G0000050-2B transcript:Sspon.03G0000050-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTLSTASSLLLTHRHRLLAARTLSVSVSASSSSTPVKLILSHRTLLNQPKPAGFFTRRTSPLLCFPHVMCARQMARSALDEVTDTGAFDRSPSTFRSSVSRDGRFPPVAGRYHLYVSYACPWASRCLAFLKLKGLDHAIGVTAVKPIFERTKETDDHLGWVFPATADEEPGAEPDPLNGARSVRELYEIASSNYAGKPTVP >Sspon.03G0009260-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:39624816:39628016:-1 gene:Sspon.03G0009260-3C transcript:Sspon.03G0009260-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSEHWISRLAAAKRYYAAQLGHVDGEDIPAFSCFSLPSVTSREAIGPSTDLRVLVGGLVADVPGMGTEEVEVEMEDDGDMEMQMEMALELGDATWPEVACPYCYEDHDVASLCVHLEEDHPYEPHAAPCPICSQRITRDMLNHITMQHGYLFKNGHRSRRFIIPERDAISLLSRDLRGTHLQALLGGVHGQRSNNAVTTNISSDPLLSSFGLSFPTSDAPEPSKSASSIPDGASIRKETPVQPWESSIDSSLTSEEREQKRKQATDRATFVQGLVLSTLFGD >Sspon.04G0011170-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:36085330:36088118:1 gene:Sspon.04G0011170-1T transcript:Sspon.04G0011170-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRARPAAADANDPDAPYAAAMLLEPKLEDEDVPLQQLASPGPFVSLDQLMPPRPLEALLQGPQLPPFLSKTYDLVSEPALDGVISWGAAGNSFVVWDPSTFARDVLPHNFKHNNFSSFVRQLNTYGFRKVHADRWEFAHEDFLRDSKHLLKRIVRRRSSPTKQSSIQPVSSSEESSRPELHTLRREKNALLEEVARLKQEHRQTIEHMSTLNHRLESAEDRQRQMVSFLAKLLQNPSFVRQLKLHREKKEIDSTRVKRKFLKHVPHSIESGESSLHHAGESDSHFAVSSPMATTTSVHDDITELQNFLLEDDDVNFGMDLENMGLEDIEGPEDIGELIQGFDTQEELELGSGAELLEMPPASGPLGQDPTIGRSKGKNVLCPGLDATSSEADCLSPLPDNMGLLSGTMLGAAGRMMDADDQQMWGVDASAALQSSCSGTSQQAFSSHSSDPYLMDIANKPERFWELDFQALDEADLQLDKCY >Sspon.06G0018920-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:104157533:104160310:-1 gene:Sspon.06G0018920-1A transcript:Sspon.06G0018920-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:VPS2.1 [Source:Projected from Arabidopsis thaliana (AT2G06530) UniProtKB/TrEMBL;Acc:A0A178VT12] MSFIFGKKKTPAELLRENKRMLDKSIREIERERQGLQAQEKKLINEIKKLAKQGQMGAVKIMAKDLIRTRHQITKFYALKSQLQGVSLRIQTLKSTQAMGEAMKGVTKAMGQMNRQMNLPALQKIMREFEMQNEKMEMVSEVMNDAIDDALEGDEEEEETEELVNQVLDEIGIDVNSELVKAPATAVAKPVAAGKVAAQAEAAGGPDGGIDDDLQARLDNLRKM >Sspon.05G0019430-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:80949897:80960982:1 gene:Sspon.05G0019430-3C transcript:Sspon.05G0019430-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPPPPGAKEEPDNKRLAMAVKQHMKLAVLIPWATIVLLFSCGAGTINCMTHDGNDTDFLSLFDFKRTIRNDPKGALSSWNSTVHFCSWEGVACSRTRLERVVVLNLSGQALDGHISPSLGNLSYLASLDLSMNMFSGHIPHHLGYLHKLKLLDLSSNSLQGNIPDAVTNCSSLQKLYLHENLLVGEIPKKIALLSNLLDLRLYSNNISGAIPPDLGNITTLQYVILQANQLHGSIPEELGKLSNMTDLLLGGNRLSGRIPEALFNLSLSIELEFNYGFTGKIPPSLGKLQKLRTLGLNDNNLTANDSQSWEFLDALTNCTLLERLTLTTNQIHGVLPNSVGNLSSNLDDLTLATNMLYGLVPTSIGNLQKLTKLDLVGNSFTGPIDGWIGNMVNLQGLYLRWNNFSGHIPRSIGNFSKLSDLFLAANQFHGPIPSSLGKLQQLSILDLGYNNLEGNIPKDLIAATVVQCSLSHNNLEGQIPYVGNLLQLSYLDLSSNKLTGEIPPSLATCQQLQTVIMDSNFLSGSIPAFFGQFGSLTVLNLSHNNFSGSIPISLSKLQLLAQLDLSHNHLDGEVPTEGVFRNTTAISLEAGTINCMTLNGNDTDFVSLLDFKRAIINDPKRALSSWNTTTHFCSWEGVVCSQTHPERVEKLNLSGQALDGHISPSLGNMSYLIYLDLSRNMFSGYIPPHLGYLHKLKLLDLGKNSLQRNIPDAVTNCSSLLGLYLDRNLLTGEIPKKLVLLSKLLDLWLQSNNLSGVIPPNIGNITTLEYIFLNSNQFHGSIPEELGKLSNMSNLSLGANMLSGRIPEALFNLSLLQQLAMPVNLLHGPLPSNIGDFLPNLQYLLLGTNMLGDHVPDSLGNASELQLIDLGYNFGFTGKIPPSLGKLQKLRTLYLFHNNLKAEDSQGWEFLDALTNCTLLERLRLDGNQLQGVLPNSVGNLSSNLEYLMLGSNMLYASVPSSLGNLHKVTLLDLSLNSFTGPIDGWIGNMVNLEGLFLHRNTFSGHIPDSIGNFSKLSQLFLTANQFQGPIPSSLGKLQQLSILDLGYNNLQGNIPKDLIAATVVQCSLSHNNLEGQIPYVGNLLQLSSLDLSSNKLTGEIPPSLGTCKQLQTVIMDSNFLSGSIPAFFGQLGSLTMLNLSYNNFSGSIPISLSKLQLLAQLDLSHNHLDGKVPTEGVFKNTTAVSLEGNWGLCGGVLDLHMPPCPNPTQKIIGWRHYFLRIAIPIIGI >Sspon.02G0033290-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:5828482:5838715:-1 gene:Sspon.02G0033290-1B transcript:Sspon.02G0033290-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTGRVCRGGPSSAHPAAAGRPFPPLAASSSAFPSSAPSETASTSVTKTVNGSHHFKIAGYSLSKGIGVGKFIASESFNVGGFDWAIYFYPDGKSGEDGAAYVSLFIALASEGTDVRALFELTLVDQSGKGQDKVHTHFGRSLESGPYTLKYRGSMWGYKRFFKRTALETSDYLKDDCLLVNCTVGVVQSHTEGPKIYTIPVPRSNMALHIGQLLTSGKRTDIAFEVDGEMFPAHKVILSARSPVFRAQLFGPMKDKDMKCIKIEDMEAPVFKSGGFFRGYTKLCKGLAVILLLVHLVVQLFPSAVTYLALIPGRTIPFAWNLITAGYVEQTIPGVVVSIIGLLLFGKLLEPLWGSRELSKFIFIVNFSTSACVFMTAIVLYYITQQEIYLYTPLSGFYGVLSGLLVGIKQLLPDQELSLFVLKIKAKWIPSLVALISVVVSFFVNDLMSYLPVLLFGIYMSWIYLRYFQKRLETGLKGDPSEEFSFSSFFPEFVRPILDPIASVFHRLLCGRSERSDARGQTLDTSPMPGSDSIEANRRRERGQRALEQRLAEKLAAVRSSEGTSLDAADKV >Sspon.06G0019820-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:5161511:5163111:1 gene:Sspon.06G0019820-1B transcript:Sspon.06G0019820-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTRGAALLLLLLATSAAAGGEKVCDKGWECSGSRFCCNETIGDFFKAYQFEELFPKRNSDLAHAAGFWDYKAFITAAALFVPRGFGTTGGKEMGMREVAAFLGHVGAKTSCGYKEAPDGETAWGLCYNHELSPSQSYCDDSNELYPCVEGVEYYGRGALPVYWNYNYGIVGKGIKQDLLNHPELLEQNATLAFEAAIWRWMTPMKRKQPSAHDVFVGNWKPTKKDTLSKRYPGFGATMNILYGDVICGKGSTDKMNFIISHYQHYLGLMGVGRERSEDNLDCGDQVAFNPSSESSDF >Sspon.03G0019550-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:60960933:60961606:1 gene:Sspon.03G0019550-1P transcript:Sspon.03G0019550-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSSTLLVILCLQAALVMGIFAAVAKENAVGESKAIDINPGQLKCCTNCNFSFSGLYTCDDVKKDCDPVCKKCVAVQTYSGKMFKCTDTFLGMCGPKC >Sspon.02G0015260-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:41579471:41580852:-1 gene:Sspon.02G0015260-1A transcript:Sspon.02G0015260-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQQQPKQVEMNIVGASGGLSLPPGFRFHPSDNEIVSIYLTNKVRNRDLTSTVITEVDLNKTEPWDLPREAKLGEKEWYFFYQKDRKYQTGLRANRATKGGYWKATGKDKEVYNTTQGVVLLVGMKKTLVFYKGRAPRGDKTNWVMHEYRLEGSGRLPDPASASSSDEWVVCRVFDKTTRIEKMTTPTYKVSMAGAEIGQNQNNIPTIPIPMPLQPPLLVPMPMESPILSDFATDPMATYFPNTGTGMAPMMPSMAGIGGTSRLQINDALFGNLIATPPQTNFYHQMGTGAATGHMGMGAAGHMDMGAAGTDGFDVDAPRPSSMASQKDEQANAAEIWSMMSVAGPESATPTIEMDGIWKY >Sspon.08G0004140-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8B:15637502:15638578:-1 gene:Sspon.08G0004140-2B transcript:Sspon.08G0004140-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSFSNLTDAACVVYQFKINGYSATKSMARTDSLPSKRLAVGGYEWEVHYTPSLVVDGGYWIAFRLVILSAPRRNDVKAAFRCRLLYTSSNSYYGHERGACVRDSIGNVEGQISHAFKRAKESSGWIPLRKRNDVEAARVIENDSFTAECTITVVTELPPEPDTAKTIVVRPPIPPLSGLHSLHHDLGQLLGKATGSDVVLVVSGETFAAHKAILASRSPVFMAQFFGPMKETRSERVEIMDMEAAVFGAMLRFMYTDMVPELERQEDGAIIAQHLLAAADRYGLNRLKSMCEDKLCDGTRVETAATTLALAEQHGCPKLKARCVEFIAANLDDVMETEGYKHLMTSSPLVLNDLLRA >Sspon.06G0022250-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:26306046:26309595:-1 gene:Sspon.06G0022250-1B transcript:Sspon.06G0022250-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cellulose synthase-like protein D4 [Source:Projected from Arabidopsis thaliana (AT4G38190) UniProtKB/Swiss-Prot;Acc:Q9SZL9] MSNPPQKKAIRNPGAGAGGPAGGSRGPAGNTVKFARRTASGRYVSLSREDIDMEGELGADYTNYTVQIPPTPDNQPMMDQASVAMKAEEQYVSNSLFTGGFNSVTRAHLMDKVIESEVTHPQMAGSRGSRCAMPACDGKVMRNERGEDIDPCECRFKICRDCYLDAQKDGCICPGCKEHYKIGEYAEDDPNDASSGKHYLPGPGGGMMNNSKSLLARNQNGEFDHNRWLFESSGTYGYGNAFWPKGGMYDDDLDDEGGPAAGRRHAPRAEAIPMPTSIISPYRIFIVIRMFVLLFYLTWRVRNPNMEALWLWGMSIVCELWFAFSWLLDMLPKVNPVNRSTDLAVLKEKFETPSPSNPHGRSDLPGLDVFVSTADPEKEPVLTTATTILSILAADYPVEKLACYVSDDGGALLTFEAMAEAASFANIWVPFCKKHDIEPRQPDSYFSIKGDPTKGKRRSDFVKDRRKVKREFDEFKVRINGLPDSIRRRSDAFNAREDMKMLKHLRETGADPAEQPKVKKATWMADGTHWPGTWAVSAPDHAKGNHAGILQALTLIDCRLTSASDHAILLPRPSYGMHDEEQLIDFSDVDIRLPMLVYMSREKRPGYDHNKKAGAMNALVRCSAVMSNGPFILNFDCDHYINNAQAIREAMCFVMDRGGERIAYIQFPQRFEGIDPSDRYANNNTVFFDGNMRALDGLQGPMYVGTGCMFRRFALYGFDPPRTTEYTGLLFKKKKVTLSKVADPAGETDTQSLNHKQGQGGAVDFDAELTSMIVPRRFGNSSALMASIPVAEFQARPLADHPAVQHGRPPGSLTVPRPPLDPPTVAEAVSVISCWYEDKTEWGDRVGWIYGSVTEDVVSGYRMHNRGWRSVYCIPKRDAFLGTAPINMTDRLHQVLRWATGSVEIFFSRNNAFLASRRLMFLQRVAYLNVGIYPFTSIFLLVYCFIPALSLFSGFFIVQTLNVAFLCYLLTITITLIALGILEVKWSGIELEDWWRNEQFWLISGTSAHLYAVVQGLLKVMAGIEISFTLTAKAAAEDNEDIYADLYVVKWSSLLIPPITIGMINLIAIAFAFARTVYSDNPRWGKFIGGGFFSFWVLAHLYPFAKGLMGRRGKTPTIVFVWSGLISITISLLWVAISPPEASAGGRSAGFQFP >Sspon.02G0020130-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2A:65772203:65772447:-1 gene:Sspon.02G0020130-1A transcript:Sspon.02G0020130-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding QSASPASSPTPNAVGMPRRSHPYRWPMGACAGPPQVVHGRAKGTHEHISMLVVLACRRSSPAPTLTAGTDRSRHLLPYVAN >Sspon.03G0011880-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3A:32405717:32406307:-1 gene:Sspon.03G0011880-1A transcript:Sspon.03G0011880-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSKGERHPIATFSNSVPIPPLSSSLPHRSSKPPEHSASPPRRLPRPSTPPRRRCRPRSRRGSSGSTGGTGGADAASTAARGLHSTGGSRTRPPPRRRRRLLHPDGPTKTGANALGRLSRRRIFAFRIGSRAKCVFCLGSLLESDFSTQKHCARCKMPMPLLVGDSLREAVLPDGSLPDPKLRRMAIDIYILEQNH >Sspon.02G0047560-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:17154810:17155931:-1 gene:Sspon.02G0047560-1C transcript:Sspon.02G0047560-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATELDVGKSRRFDIAILVACFQDQYTPSLAPHRHQELKAFLQCQDEELKAVQQCEDAEQKTLSSNEDEETKTPQVPLQYEDAEQKTPEQYEDEQKKESQQYPDEEQEIPDPYQDGEKKPYQYLDEEKTPEQYQDEDELPSQYQEQGLVTPNLPEEDEKETASEQFQNVELVTPNLPEEDEEETASERFQDEEHLVTPNLPEEIEKETAPGRFQDEEPKELQQHQEADELASQSEDEEEQKSQQEHHDIEQKAPEQFQALKKVATPPRLVVDNVPRFSLQELIQQKQLPTGEAMPTSKLGNRGESVLADHKVSGSGAAAGGTTLAMVIKRPEGGKKSMGMIRRCMKALNQMIKAKHGSKKNLHF >Sspon.03G0030590-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:18868784:18870425:1 gene:Sspon.03G0030590-2D transcript:Sspon.03G0030590-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRYDRNPFEEDDVNPFAGGSVPPASNSRMPPLPHEPVGFYNDRGATVDIPLDSTKDLSKKEKELQAREAELNKRER >Sspon.07G0003420-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:7908271:7910688:1 gene:Sspon.07G0003420-2B transcript:Sspon.07G0003420-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVLSSSRFARALLPSLLDPASRPLSNSTPPCRRPRGAVHTLASSAHGPAADAAAPSSSSPPSLARLLAAALRGGRAGGELPDLAAAATATGGAGIGTLLMSTTAAAVTKARESPYLLALAANPTFVSGLVAWAVAQAAKALLTSVVERRWDLRMLFSSGGMPSSHTALCTALTASVALCHGVSDALFPVCLGFTLIVMYDATGVRRHAGMQAEVLNKIVEDLFEGHPISERKLKELLGHTPSQVFAGAILGILVACLIIVKKEGLESDVTLKSSTGVASMPFAEVTD >Sspon.08G0006740-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:21419796:21421298:-1 gene:Sspon.08G0006740-2C transcript:Sspon.08G0006740-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ATTTTTNGATATAANNAANGAPAAGRDHVVIFPFMAKGHTLPLLHFATALSAHHKSLSVTLLTTPANRAFAASRLPSSVRLVELPFPSLPPLPAGVESTDALPSMSLFPSFLRATARLREPFAKFLTSLPSPPLALVSDFFLGFTHRVATDAGVRRVVFHGMSCFSMAICKALITRPPAAASGVDLSAPFHVHGMPEHVAITADEIPDAVVKLADLEDPVTRFFIDEIGYSDVLSWGVLVNSVAALDEDYVAPLESFYLQPGARAWLAGPLFLAAGDMLGLEEEEEDPEGCLAWLDERAARQLGSVVYVSFGTQTHISDGQLDEIAAGLVQSGHPFLWVVRSDTWSPPVDMGGSHGMIVRGWVPQRSILGHKAVGGFVSHCGWNSVMESLAAGKPILAWPMIAEQHLNARHVADIVGAGIKVHTKPRGTAATVDVVIGRAEVEEKVRKLMDADSEAGKKIRARATWAQQAAKSAVSEGGASRVALQKLVDELRRTYRGIIE >Sspon.08G0005130-3D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8D:13069452:13070453:-1 gene:Sspon.08G0005130-3D transcript:Sspon.08G0005130-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASGKKLRPFLLTLLLVLSTAVTPILSLPADNEVDCDCDKPKAPKPSHSHPPKTKPSHPSPSPKPKNPKPPKGPAYPAPGHQPPKSPSYPPVTRPPVVGPPKGPVTRPPVTRPPVVRPPVTYPPITRPPVVGPPVTYPPITRPPVVGPPVTYPPITRPPVVGPPVTYPPITGPPTTTPPVVGPPVTYPPITGPPSTTPPVTVPPITGPPSTTPPVTGPPVTYPPGGGGGSSTPCPPPPPSTPTPSSPTCPADSLKLGACVDLLGGLVHIGLGDPVVNKCCPVLEGLVELEAAVCLCTTIKLKLLNINLYLPLALQLLLTCGKTPPPGYTCTV >Sspon.01G0006890-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1C:16942219:16942919:1 gene:Sspon.01G0006890-2C transcript:Sspon.01G0006890-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding KEISDL >Sspon.05G0013620-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:41475796:41476993:-1 gene:Sspon.05G0013620-3C transcript:Sspon.05G0013620-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIVDVVSEYCSLPRTRRHLKKRKQFQTVEMKVRIDCEGCERKVKKALEDMKGVSSVEVTAKQNKVTVTGYVDAAKVMRRVAYKTGKRVEPWPYVPYEMVAHPYAPGAYDKKAPAGYVRNVVADPTAAPLARASSTEVRYTAAFSDENPNACSVM >Sspon.05G0006330-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:21435133:21435822:1 gene:Sspon.05G0006330-3D transcript:Sspon.05G0006330-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxisomal protein, Response to salt and low nitrogen stresse [Source: Projected from Oryza sativa (Os04g0534600)] MSSGDTLDKLVVFLAKRDGIDKLVKTFQYVSKLAHWGAESSSLPELAKRAKSWETASGLSRKAFRSGRFLTGFNALRRGSPPPGDEFGALAVLANAGEMVYFFFDHFAWLSRVGVLEPWLARRVSYVSSFGEAVGYVFFVAMDLIMIRRGLRRERKLLRDRDVGKDVEKEVRKIRMDRVMRLMATAANVADLVIAIAETDPNPFCNHAVTLGISGLVSAWAGWYRNWPS >Sspon.01G0044680-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:80598493:80598735:1 gene:Sspon.01G0044680-2C transcript:Sspon.01G0044680-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKGKAPTWADQWASGEDSGSRSTNGKADKKTVAGNVKAAASEGFVKAKAAALVGAHKVKAGTSTGIKWVKEQYQKKRTP >Sspon.04G0006010-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:13196223:13197765:-1 gene:Sspon.04G0006010-2B transcript:Sspon.04G0006010-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVQAQYPSNLLFHDRAEPDRKGMDMPRPSQLAGVSPAAVYFSSAGATGNNNRRKRPREAMAMAPPAAKEEYVNLFTLQPQQSTSSFANVALFHNQSRVSSSPSPAATTALVSTGLRLAFDEQQQLQQQESKQTNALRYSSSSPSLFASVSDELAAQVKQHDEEIDRFVRDQVSIFAAPAIRLFSSSSSFLGSNPILMCRAGCRSVLGQGEQLRRAMADRLRRQNRAILVKADQSAARRLREKAAEAEREARRGAELEDRLARLRGEAAAWQAKALSEQAAAVTLHAQLQQAAAAARASVEELAAAAGDAGPAESSSSAYVDPCRRRTTGPSSDRACLGCRLRPASVVLLPCRHLSLCGECFAAGDADEAAMACPVCLCVRTGSVEAILC >Sspon.05G0025830-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:27717819:27724509:1 gene:Sspon.05G0025830-1B transcript:Sspon.05G0025830-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVCAQKAQDIEEEYNSTLRSQSKEMEALLETQEIIISPVLHNMMVHRRTSSEVELAMANYFDATTEALEMCRQLLQNIKDARSIYQSMDSFHASISASHAFDFPFTSNNPFCTTTRSNLRPIHDKYSCILQSIRSSHRRVGRKLKMVKAVKKLSRALVVIAGGAAAAAAFGTGVPLLFFGLLIRTATTGTLKTWLAARASTKKPSSKTMSSLLQLQEQLDTAAKGTYVVGQDLDTVSNLVARLSDAIERENAMARWCAERAEYNSTLRSQSKEMEALLETQEIIISPVLHNMMVHQRTSSEIELAMANYFDATTEALEMCRQLLQNIKDAQRNYQYMDSFLASISASHALDFPFTSNNPFCTTTRSNLSPIHDKYSCILQSIRSSHRRVGRKLKMVKAVKKLSRALVVITGGAAAAAAFGTGVPLLFFGLLIGTATTGALKTWLAARASTKKPSSKTMSSLLQLQEQLDTAAKGTYVVGQDLDTVSNLVARLSDAIERENAMARWCAERADERSSVLEMANELRRSCSSSKRLTDELEEHACLFLATIHRARNLVIQEVSKKA >Sspon.03G0008900-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:31704637:31707402:1 gene:Sspon.03G0008900-2B transcript:Sspon.03G0008900-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAHNSAACSLCVLFLLLSTATLSAAVSASGSPLCTMPSPAPKHQPDGEDALPLLRSLELNAGYFFEGEDLHFTKDESNETSYSYVTRSFSLLPLHAYRTSNTTVFHVAAILTLSGGRDRESHSVGMGSHRRRRHHYVGSHSVTFSVEGYYSKGTTSAELCMKGTGSYSEENGSTKRLRGVDLHLRVPNPSSITDPFITGSLKGTGFKNISLVAYAEGDSDTYHYGKRASCPPLPPSSAAATRGALQPRGSNFSCAHLKERLATSYKLQYDGGAHAPGFSPERRLHISDVQCTRDGSVRAYAAFSNDTQMWRSLQPSPPFMVKDEVVVAEGHWDSARSMLCLRACLVVSSGASMAVDKECDMGMSFWFPGVWTIRDRSAVAGMLWNSSKAAAGSGVSGVISASSIDVSIHRGNFSEVKYDYTMVEEAKKHYLHDPVSSKPKKKVKGSFVAPNYTDHDFEFHFYGTKEDMVGSGRAYPVTIGSAMIYGDQLAADESFSRNAVVDMNSQELLSVSYDIREHVPPAGWVRPKNGSYSVALEERRMTAEGVFDPKTGILCMIACREHNSSTDCQILITVYLASLDGKAQGIGRGAISSLRSKTDPLFFEKVDIRLFGMYSDQISESISRMDFESILLVISTTLPCVFTVLQIFHAKRRPEAAAATSVTMLVVVALGYVAPLVVSSEALFLSRRRQYVPLPFQSYVPYELSQAMLRAPTLIALLLQLRLIQLALSARKADADRNKAEASSSVAERRALWLCAPLYLIGGALTIIVHVVDALRAAREESLTVRVGPEPATLWEDLVSSAGLAQDAFLLPQVVMNALSPGASRVTALSPWFYIGGTVVRAMPHVYDVIRAQGYVPSSKPSYVYASPRYDRYGVAWDVIVPCAAALLAVLLFLQQRVGSAAPLFRSGRRLGEYQMVSPL >Sspon.01G0028620-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:99804714:99807011:-1 gene:Sspon.01G0028620-1A transcript:Sspon.01G0028620-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRHGEVCVIFAVAAAALLAAAAAAPAAAGREDRQTYIVHMSHSAMPSDFVEHEEWYAASLQAVSDAATVLYTYNTLLHGYSARLTRAEAAALESQPGVLVVNPEVRYELHTTRTWEFLGLDGTDALFPQSGTGSDVIVGVLDTGVWPERPSYDDTGFGPVPAAWKGKCEDGNDFNASACNKKLIGARFFLTGYEAAKGPVDTSKESRSPRDNDGHGTHTSSTAAGGAVQGADLLGYAAGTAKGMAPRARVATYKVCWVGGCFSSDILKAMEVAVTDGVDVLSLSLGGGTAEYYRDSIAVGAFSAMEKGIFVSCSAGNAGPGAATLSNGAPWITTVGAGTIDRDFPAYVMLGNGKNYTGVSLYSGKPLPTTPVPFIYAGNASNSSMGQLCMSGSLIPEKVAGKIVLCDRGTNARVQKGFVVKDAGGAGMVLANTAANGEELVADAHILPGSGVGEKAGNAMRDYAMSDPKATATIMFAGTKVGIKPSPVVAAFSSRGPNTVTSSILKPDIIAPGVNILAAWSGSVGPSGLPGDSRRVGFNIISGTSMSCPHVSGLAALLRAAHPEWSPAAIRSALMTTAYNEYPGGNGILDVATGRPATPLDVGAGHVDPAKAVDPGLVYDITAADYVDFLCANNYEPAQIAALTRQHPSEGCSANRTYTVTALNYPSFSVAFPAAGGTVKHTRTATNVGQPGTYKVTASAAAGSAPVTVSVEPSTLSFSKAGEKQSYTVSFTAGGMPSGTNGFGRLVWSSDHHVVASPIAATWT >Sspon.06G0019000-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:62813903:62814948:-1 gene:Sspon.06G0019000-3C transcript:Sspon.06G0019000-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AGCLAAADQAATAAQAASEARSTLTWRRRAPPRRPPLSWASPRRRQLLPSSRPRRSPARPPTAAAAVTAASATLAT >Sspon.06G0011610-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:50217691:50220220:1 gene:Sspon.06G0011610-3C transcript:Sspon.06G0011610-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTKSFLEYCIWGWDNLPRNLLMYYVNFISSPEGYFQTVICNSDDFRGTAVGHDLHYIAWDYPPKQHPLILSMKDFNKMVKSGAPFARKFPKDDKVLDKIDRELLHRSEGRFTPGAWCDGSSEGGADPCLSRGEDSVFEPGPGAERLRGLMKKVLSWDYRNGSCSSLAYDQTKRDWELLEER >Sspon.03G0011920-4D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3D:36980475:36981809:1 gene:Sspon.03G0011920-4D transcript:Sspon.03G0011920-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding PQVLDELGARGTDVSIENLHQGFTHVFESTFESTEGIKEYIEHPAHVEFANVFLPVLVKVIVIDYKPTSVN >Sspon.03G0023500-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:94885640:94886882:-1 gene:Sspon.03G0023500-2B transcript:Sspon.03G0023500-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRIAGVVALALLSVLMAATCDAGRDFYVGGHAGWAPNPAEPFNAWAERNRFQGADAVLVVSQGHYDACNATEPELRLDDGDSRFVFHSSGPYFFISPDAARCRAGERLIVVVLAVRDDGTSSSPPPPKPSSSPAPPPKSMLSPPPPGASPAPRALLAPPPSKSSSPPPPAPHALPTPPPPHPVPGKNASSPSHSPVPAPAPAPGTNGTSSLPPSPSSAVALSGGFLACLLIGGAAILV >Sspon.08G0010060-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:34438328:34440545:-1 gene:Sspon.08G0010060-1P transcript:Sspon.08G0010060-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNPTKILYVVLLTAGVTLMLVVHVLVVFWAKMGGLGSRGNGATHTDEEGGHGGGLSAGELVRLRCHDFESADSEAGGDCVVCLEAFEAGGRCRQLPRCEHSFHAECVDSWLTKSRTCPVCHADVVDRPPKGEAKAAASSGVVEMAERRSSDAALEIVTERQDAYSPRPKGSGGKEPHVMEAKSLTPCINVMNAKMPHVINSNEVKTSVTLDITLLIKTSG >Sspon.03G0043340-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:79985445:79985748:-1 gene:Sspon.03G0043340-1C transcript:Sspon.03G0043340-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AHGSMASRRRLGAELLQFCHRPRHRRTGTTGQLRDRPSWWWRLLRAKNPSPSA >Sspon.02G0058080-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2D:69725006:69725578:1 gene:Sspon.02G0058080-1D transcript:Sspon.02G0058080-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SVRLGVSSPNASFWACAPISPTSMCGQRYPTRLTRSLPHPRIQSRASSSLPRLAPRALPRRAAVATSLASDGLLPHPDVLLPTSAAFSLTPAASSPHPGTRCGSDGRTAAAREPSPLTVVATSGDGQALEQPPLSAAADPTATRIDRRILPLPYVPRPLTAPSPPRCPPLPGGAPPPISVSSPTKSPQCP >Sspon.06G0009910-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:10056105:10057940:-1 gene:Sspon.06G0009910-3D transcript:Sspon.06G0009910-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKGMPKKPKGDRIAAPALHPYQMVRLRECMRNKARLRELGLSCDEYDRVLTEGVAGHSDKNRSEDVHSNKYQSGDSESEYDPLQDDNGEGDLIDDDNAKCSKQKTRKQTNNQTTSIAPGGFKFQSRKRVFADQTSTRDTRSKKSIVQQDASLPPSDICVPPPSRANESHTGELVGNLDDHTQAAIEGQFYCHIT >Sspon.01G0032110-2T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:109986205:109986818:1 gene:Sspon.01G0032110-2T transcript:Sspon.01G0032110-2T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRSAASTVPLVLLLLLLAVATASAAATSGTAGAAEAEHAANYLVYVNPHPPGVDCQAYQLGILAAALGSEAKAKAAILYNYRNVMSGFSARLTPPELEAVKKQPQVNRVLPSATLSLMSSKFDGVS >Sspon.04G0017080-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:68246601:68251547:1 gene:Sspon.04G0017080-3C transcript:Sspon.04G0017080-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:4-coumarate:coenzyme A ligase, Lignin biosynthesis, Defense against woundin [Source: Projected from Oryza sativa (Os02g0177600)] MGSVDTAVAVPVPVAEPAAEEKAVVFRSKLPDIEINNSQSLHAYCFGKMSEVADRACLIDGQTGASYTYAEVESLSRRAASGLRAMGVGKGDVVMNLLRNCPEFAFTFFGAARLGAATTTANPFYTPHEIHRQAEAAGAKLIVTEACAVEKVREFAAGRGIPVVTVDGRFDGCVEFAEVIAAEELEADADVHPDDVVALPYSSGTTGLPKGVMLTHRSLITSVAQQVDGENPNLYFSKDDVLLCLLPLFHIYSLNSVLLAGLRAGSTIVIMRKFDLGALVDLVRKHAITIAPFVPPIVVEIAKSPRVTAADLASIRMVMSGAAPMGKELQDAFMTKIPNAVLGQGYGMTEAGPVLAMCLAFAKEPFQVKSGSCGTVVRNAELKIVDPDTGAALGRNQPGEICIRGEQIMKGYLNDPESTKNTIDKDGWLHTGDIGYVDDDDEIFIVDRLKEIIKYKGFQVPPAELEALLITHPEIKDAAVVSMKDDLAGEIPVAFIVRTEGSEVTEDEIKQFVAKEVVFYKKVHKVFFTESIPKNPSGKILRKDLRARLAAGVH >Sspon.02G0017860-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2B:47645853:47646224:1 gene:Sspon.02G0017860-2B transcript:Sspon.02G0017860-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RGYYRCTHRHSQGCAATKQVQRTDEDPTLFDVVYLGDHTCVQRAAGQAAADAQAPEYNANLGASSLLRSLSSRLMVKTEGQAVETEQHLRGWDTPTPFCFCFSSTPGMASGCLLPERSPFSAPS >Sspon.02G0014940-3P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:19206465:19208499:1 gene:Sspon.02G0014940-3P transcript:Sspon.02G0014940-3P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding QGALAQAATGTGSCERNKASMALGVVGLVLALPLLFLLTRAAWITVSCYYLTPARIRTILAGQGVHGPPPRLLVGNLRDVSALVAEATAGDMSSLSHDIVGRLLPHYVLWSKMSGRLFVYWYGSEPRVCVTDAGMVRELLSSRHAHVTGKSWLQRQGAKHFIGRGLIMANGATWSHQRHVVAPAFMADRLRARVGHMVECARQTVRALREAVARAGNEVEVGAHMARLAGDIIARTEFDTSYDTGKRIFHLIEELQRLTARSSRYLWVPGSRYFPSKYRREIKRLNGELEQLLKESIQRSREIADEGRTPSSACGMGLLGMLLAEMEKNKKKRTTKSGNDDGELGYDAQTMIDECKTFFFAGHETSALLLTWAIMLLATNPSWQDKARAEVASVCGDAPPTADHLPKLTVVSQAIDSDPAIHYSVHACQSLADCNGLVVRACPQLQMVINETLRLYPPATLLPRMAFEDITLGSGGADELRVPKGASLWIPVLAIHHDEAVWGPDAHEFRPDRFAPGRARPWAAGRFLPFASGPRNCVGQAYAMVEAKVVLAVLLASFRFGISDEYRHAPVNVLTLRPRHGVPVRLLPLLT >Sspon.01G0032010-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:108983660:108994593:1 gene:Sspon.01G0032010-1A transcript:Sspon.01G0032010-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRVMNSRPIVLIFLLLVLIITSQFEWKQQIGEAEANPTATRRRQQALEREDAVKEKVILAQEKNIQQLNELIQSLQLQLLHCRGSNSTARTTSSQSTSNSGVEGQEMIGD >Sspon.05G0029970-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:85900364:85907960:1 gene:Sspon.05G0029970-1B transcript:Sspon.05G0029970-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVQFLLRSAAFLAILAAATPAALPEPEPVPEPEVKPSDTDALTIFRHGADAHGILSANWSTGDASARSTSAGTASTARSTRSSAAPPGLVLLYLSRNDVSGPVPADALARLTRLVRLDLADNSLSGGIPSAAVFAGLTALVTLRLQDNLLTGLLPDVAAALPRLAEFNVSNNQLSGRVPDAMRARFDVAAFAGNAGLCGPAPPLPPCSFLPREPAPTPPSSSVLPSVVPSNPAASSSVASSSPALATPESLGGARNRNKGGLSPGAVAGIAVGNVLFFLALASLLVACCCCGRRGGEPGAPKKRKRRGRVGLEDGGGALFGHLKGEQQQPARPGSAGRCSDGGDSDGARSKLVFFGADGEDDDGDSDGSAGAPLTSHLQQQGRRWSRFQLEELLRASAEMVGRGSLGTVYRAVLGDGRMVAVKRLRDANPCARDEFHRYMDLIGRLRHPHLVPLRAFYYARQEKLLIYDYLPNGNLHDRLHGHKMSGESPLDWTTRVRLLLGAARGLACIHREYRTSGVPAHAIARLGGYMAPEQQDNKRLSQEADVYSFGVLVLEALTGKAPAQHLQPAPVPPDAHKKGAAAVTAVAAMGLPEWVRSVVREEWTAEVFDVELLRYRDIEEEMVALLHVALACVAPRPDQRPSMGDVVRMIESVPVEQSPAPEEDRDVSVTSPSIGITTDDGD >Sspon.08G0014360-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:56305564:56307000:1 gene:Sspon.08G0014360-2D transcript:Sspon.08G0014360-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGTKGNAAAALSAAPYDAMLKSTAYERLRAEHPAEFAPASIFFTHDERSAIDRRNSFRVKAALVYEAVTGRHVDDNMLLANSLLLALARKCHSKINGQPEEAGDPAEVTDQSWDEKKKRVVDGIFLVVGFLPKLNEVITKNEDRDSIDQIFKSRHMLDMVTDVIKLENQLWLQDLLNVARHVEAVIKETVGQSAFKDVKKSMGGREYKLNVTEQNLADVIHRFCWYYSPFSTKKTAAAPPDSPFKDVVGSKEVAARTLLDCLHMSMVKPAQGKDGSVTGRPSRMPTARDLRRSGVRLQASENGRAEIEFAQPAVWLPALVYDFKLATVARNLLAREYEEQSKPITRYFQMMNEIVEDAADVRILRRAGVVRSGSSGGQEVHELIKNIDGHATYLSMYMAMDREIDKVREYHDQRKANFFVRNRPGVICASSVAAISVAAIVAMRRIRG >Sspon.01G0002460-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:6557156:6561765:1 gene:Sspon.01G0002460-3D transcript:Sspon.01G0002460-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAWARAKRALATSLCVSVPARQRTMEDAPPVVAAAAAEAPSSVAEDKLESASVSVRRLTSFGSRSSQQKTCAICLGGMRSGQGHALFTAECSHKFHFHCISSNIKHGNLICPICRAEWKELPGAQPADANYGRARVNPLNWSQDEGHMAVVRRLSHTYSGNLQEYLPYFRTLEAGIFNDDEHIDLQSDMNDEHNAVTGSVKINAYSEFPAIEQSVTKELFAILIHLRAPKSSHSTSSRAPLDLVTVLDVSGSMAGTKIALLKNAMSFVIQTLGPNDRLSVIAFSSTARRLFPLRRMTLTGRQQALQAVSSLVASGGTNIADGLKKGAKVIEDRRLKNPVCSIILLSDGQDTYTLPSDRNLLDYSALVPPSILPGTGHHVQIHTFGFGSDHDSAAMHAIAEISSGTFSFIDAEGSIQDGFAQCIGGLLSVVVKEMRLGIECVDNGVLLTSIKSGGYTSQIAENGRGGSVDIGDLYADEERGFLLTLHVPAAQGQTVLIKPSCMYHDAITMENIEVHGEEVRIQRPEHHVDCKMSPEVEREWHRVHATEDMSAARAAAEVGAFSQAVAILEARRRILESQAAQSSDNQCLALMTELREMQERVENRRRYEESGRAFMLAGLSSHSWQRATARGDSTEITTTIHTYQTQSMVDMLQRSQILVPPTADMLNRSPSVAPSQRSPHRFSRIA >Sspon.05G0014830-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:49145391:49146777:1 gene:Sspon.05G0014830-1P transcript:Sspon.05G0014830-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLPTDRMDSLFSPRCVWVNGPIIVGAGPSGLAVAACLREQGVPYVILERADCIASLWQKRTYDRLKLHLPKQFCELPRMPFPDHYPEYPTRRQFIDYLEDYAAKFEIKPEFSSTVLSARYDETSGLWRVVTSAPNNGGDMEYIGRWLVVATGENAETVVPDIPGLDAFDGKVTHVSDYKSGEAYAGKRVLVVGCGNSGMEVSLDLSDHGARPAMVVRDAVHVLPREVLGKSTFELAVLLMRWLPLWVVDKIMVLLAWLVLGNLAKLGLRRPAAGPLELKDKYGRTPVLDYGALARIRAGDIAVVPAVTRFGKGGQVELADGRTLNFDAVILATGYRSNVPQWLQGNDFFNKDGYPKTAFPHGWKGQSGLYAVGFTRRGLSGASADAMRIAKDLGNVWREETKPTKRAGACHRRCISVVF >Sspon.07G0011660-3C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7C:47489295:47489521:-1 gene:Sspon.07G0011660-3C transcript:Sspon.07G0011660-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AGDSTPEELATVTQVQGGYMPITCGEKRSVEVVKVTDEMKSFAAYGKLRLERMNKKHLGARQKKAAEAEKDEKK >Sspon.05G0002180-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:6852755:6856209:-1 gene:Sspon.05G0002180-1A transcript:Sspon.05G0002180-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPSPTTAAAAATTHHRSLLPSPRPRTAAAPSAVRLPLRPQPHAQRARLAAPVAATAPAASTASPESPAAGAVAGKPTVLVAEKLGAAGLALLREFANVDCSYGLSPEDLRAKISLCDALIVRSGTKVGRDVFEASGGRLRVVGRAGVGIDNVDLAAATEHGCLVVNAPTANTVAAAEHGIALLTAMARNIAQADASLKAGQWQRNKYVGVSLVGKTLAILGFGKVGSEVARRAKGLGMHVIAHDPYASADRARAIGVELVSMEEAMTTADFISLHMPLTPATNKMLNDEAFAKMKKGVRIINVARGGVIDEEALVRALDSGIVAQAALDVFTKEPPAPDNKLVLHENVTVTPHLGASTVEAQEGVAIEIAEAVIGALKGELAASAVNAPMVPAEVLSELAPFVVLAEKLGRLAVQLVAGGGGIKSVKVTYASARAPDDLDTRLLRAMVTKGLIEPISSVFVNLVNADFTAKQRGVRITEERILLDGSPETPIDYIQVQIANVESKFPSAISETGEITVEGRVKDGVPHLTKVGAFQVDVSLEGSLILCRQVDQPGMIGSVGSVLGEENVNVSFMSVGRIAPRKHAVMAIGVDEEPSKGTLTKIGEIPAIEEFVFLKL >Sspon.02G0008530-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:27400981:27406338:-1 gene:Sspon.02G0008530-3C transcript:Sspon.02G0008530-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DETOXIFICATION 45, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G38380) UniProtKB/Swiss-Prot;Acc:Q9SVE7] MEFAAGAGVAPRLRLRQLPAGPVPGRNRRVRVGGGAIGICWARRATAPGLSLAPAAARRAVSASGGGHLLHGRVVVRSTGDGGGRVGFRGEDAEGDRSPAARASPLDGATPARNSVRDHPGGIRKELVNLAVPAIVGQAIDPVAQLLETAYVGRLGPVELASAAVGMSVFNIISKLFNIPLLSITTSFVAEDVSKHDSSQYASAAIGVIEALALILGSGILLNIMGVSHASAMHNPARLFLSVRALGAPAVVVSLAIQDMLALIASSFAKLDYGKVEEVTFYAGVFVGIALALLLFVSFGRLAEVFSKDPMVIQIVKGGVLFVSASQPINALAFIFDGLHFGVSDFSYSASSMDL >Sspon.03G0006260-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:32394142:32400381:-1 gene:Sspon.03G0006260-3C transcript:Sspon.03G0006260-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRSRGNEPPPPRASQRAPLHLKTTADANGAHHRPVGDRSSPKKKRAAGTRVAELEAKLGKVQDELKKLREQLASAEAAKKDAQVALEEAKKRVGTKGNPVSTATATATSPPSPPSVGVESAKKPEELKVPQPAAEEESSINAPATDVFEVVRAESGDKENQSAAEDCEVVSCGEKAALAEKEEVEVEEEETKKMIGEDNSAAAVETDGTEKEESPEVVELKAKLAEKDTEIAALAAENAELKKQAGEAAEAAKKAGEDAASKAAQAEHDLKEGATREARVGEQLRASEAAREALDGELRRLRVQTEQWRKAAEAAAAVLGGDNHLTGLAGNGNGWGSPATMPDDGDDEGFGGKRKGAGIRVLGDLWKKKGSK >Sspon.04G0026610-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:51208699:51212729:1 gene:Sspon.04G0026610-3D transcript:Sspon.04G0026610-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDYKKNAFLEADKQCSNAIHNMEKKIRAACTAPGVKVSAVTQVLETALAEYETSCTGPAKWRMLAAFLRHLEGPILDLCLKLINEAESERTSFTLRCRSNEDQLELLKKQLEANEAHKSEYLKCYEAAVSEKQRATADLSGNLANLRTKCSTLEERCVSISKELDHVRHECTDWRVKYEQSVSQHKAEQDRFVAQLASLESRHSSAEGKLGAAREQAASAQDEAAEWKKKYEMAAVQAKTALERLASVQEQINKIAQERESAIRAEFASHLEEKEEEIRKLVAKIREAESEESVLTERLLVAESKTQGHNKETACLKDEIKELTSKLEFLRDRAVSYEKQARMLEQEKNHLQEKFLSECKKYDEAEQRYKSAERDVKKAIELADAGRTEAIASQKEKDEAQRLSMKKVAVIERVQRQVDRLEQEKVNLFGKVQRMRNSESDAWSNVTLLESRVAEREKEMDDLLSRSNEQRSNTVHVLESLLATERLARAEANKRADALSVQLQSAQGKLDILHQELTSIRLVETALDSKLRTTTYGKRLRDNEVRLDSIEDMDIDPPERSIKRTKSNTTPLKPVQTEDGGSVHMREDSVTFSTDVKDGNPDGYKKFTIAKLKEELTKHGFGAHLLELKNPNKKDILALYKKHVLCE >Sspon.02G0008640-2D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2D:18560099:18560533:1 gene:Sspon.02G0008640-2D transcript:Sspon.02G0008640-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MISAKRLVQMARKWQRIAALARKRVMPIPAKESEGSCSTSTSVAGKGHCVVYSADGLRFEVLLAYLGTVVFGELLMLSEEEFGFESECGKITLPCDATVMEYVMCLLRRDASEEVVRAFLSSMARPCHTVSGVAPWNQRLAVCV >Sspon.04G0000740-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:3188469:3196905:-1 gene:Sspon.04G0000740-1P transcript:Sspon.04G0000740-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMSAAVARLRELAPAPGAEEELELDAAGAAALAECCAGLLRPGGGGGGDGDADAGAAREAIEALCAAGLGGVMRRHADGLAPLVVARLGDGHAAVREAARRYLVLLMEMKEMNARKENTEPNSCMPDDQHVHYTAIEMESSNTREGDITRKLVEPIKVFSEKDLLREIEKVVSTLQPDNEWSIRITAMQRVEGLVLGGAADYSAFPMLLKQLVTPLITQLLDRRSSVVKQACHLLNFLSKELLRDFEPYAELLIPVLLKNVVITILVIADSADNCIKEMLRNCKVARILPRIIEFAKNDRSAILRARCCEYAILMLEYWVDTPEIQRSADLYEDLIKCCIADATSEVRSSARACYRMFSRIWPDRSHQLYSSFEPSRQKMINGEDAETPQRHLPPVKLKQPQPSSFIPTVIDKVVKVDCGTSFSSGDLQPSDRLYLQCDDMTSKGPDEEKKDGTLTIGSSFEDKITLRKVETTERDTEKYDSGNSGGVNSSACDPPTATPIATEAPSEMSLNDAAVVTIVQDKAECRLNVEPIQQVQAREDPSELTCLSPAVNSKGPGNLLKENPVEVSSDAGSSGKVGTPKKGAVSKEPRGSYTPNFRRPLLSKQMTNWFYASTKSDIHEKQLILGEMVNNMDVPSSLTEALSLGLNPRSDWMMKVYAFSFLRQCLLERGSKSTQEVAQNFEKVMRLVCRYLDDPHHKVAQAALSSLAEIMPAFKKPFEHYLDKTLPHIFSRLNDPKESIKQQCLAILKHANESYPIDSLLPALLRSLDEQKSPKSKLSVLEFANASFVKCTVNSESYSSSSFLKPWLGKLALLFNDKNKKLKEVTVVGFSSIYSHYDPESMLSFLVTLSMEEQKRLRRAMMQLIPTIESDFEEFLQQKRHKQKAPSFDGFTAKSPLHPASQSAKSPLHPAYRSSKSPLHPRSAKSPLHSAYKYAKSPLHPSYQSAKSPLHPAYQSNSVKTDDCFSSALQCLPNISLEVQGHRTERIEFESPRESYGHKAEMMDKKSSTVSQGMISQKKDSRSTKIFDEPNDSELHINIRNKKTMRTRNDCQDHENLQQMFSSLLEMLDDPDVPTRELALSLLVEILEKHRKAMENCVELLIAKLLHATKDGALKVVNQAHICLTTVVTQFDPLRCLGAIASQLASQDEKILIISINSLSKLVIRLSEDNLMAHLSTFLPALLDAFENRSPYVRKAAMVCVVDAYLKLGPALLPYLEGLDSAQLQLVTTYASRLSQARLIAADG >Sspon.02G0007420-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:21835103:21837583:-1 gene:Sspon.02G0007420-1A transcript:Sspon.02G0007420-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGMEHLVVVPTRPAGSPQVAHAGVLGSARAIRACMASPPHVLVFPFPVQGHTNCLLHFATGLAAAGLRVTFLHTDYNLRRLGRDPPAPDSPRLCFMSVPDGLPGGHPRSMRGFGELMEFLWTKCSVVYRALLASLRAPRADADVVDVFPLLPFPEGGDLDEPALGVPGMESFLRRRDLPRECRNLTTTHDDPMLHMVVVVIAHSRTARALILNTAVSLELSALAQIAPHMPDVFAIRPLHAMSPAPVAATSPSHEDGCCMAWLDG >Sspon.03G0002320-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:5755432:5755749:-1 gene:Sspon.03G0002320-1T transcript:Sspon.03G0002320-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AALPREHAPGGAQLGERRAPVAALAERGHQLHLPRREGLEPARELAAAGVPARVGQREGREAEGDLERLQRRLDQALARPLREAPGLPQARQLRQAQVLLVRLGR >Sspon.03G0029290-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:13375390:13378801:1 gene:Sspon.03G0029290-3D transcript:Sspon.03G0029290-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGAGTRRRRLVERGSDRLAFITGQTRSLSCDPIPDSPLRSEFEGGTDDGKFSERNQSQKSEPSDLVPEFQRPGIRQGVKARTLSYDDLVPEFQRADGRREIKASTLSYEDELFRKFKTGSAVPEIQPVNQTPLPTHEQETVSKNTSHDGVSKNTSHDGVSKSTSHDGVSKNTGHDGVASVQPSREVEMRPRSAPPSQSSQADNSGWSVETLKELLDFTPQEITKAISATESNRFLASVAIALLVVLSNWGLDIGGAITRVLVGTRPLLFLIITNITIVFTLLMENKDPNVRSRPVGANLGSADSLGQMLEIGLLLQKALGALLIDCSCMAVGLRQNRSTGVGH >Sspon.05G0013160-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:36704981:36709980:-1 gene:Sspon.05G0013160-2B transcript:Sspon.05G0013160-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSDQISTGRKRSIHDRLDGDLPAGTGAGGRVRHTVSKRQRQIDEKWKHDLYREDDEPASKSIDPKDLRLKLQRQSSQQGFTSTRSSGVRDLREKLSGTMHPQPSNVDPPKRKPVSEVVNISRRETADEMPARQSKKAPKQTSSKKTSQPKAESPLDSFLSSLGLEKYSITFQAEEVDMAALRHMTDSDLKALGIPMNEKMASLVF >Sspon.04G0006500-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:17930314:17934394:1 gene:Sspon.04G0006500-3C transcript:Sspon.04G0006500-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPEPEAKLEDVTPSLSRKPLPESESDAPASTGREPLPESESDAPASSQELKPWEQHAAVINLPRYDYRASGSLLLRSHSGFLITCPIKREKSATKEAISILEEYIGHANCCVSEHTEPCDVENAVKKRKVCSEASGSLEEAVTNGNSNSVSESIGGTVNSSSPQSKMNDNVDRASNLSLVKLSRSGLLFFKFPSGGLHVVEMLTEILHSLRSGKLKSPQWCHRIFPIQETCILSEEDLHATVSKLFLDFSRSKTNKDKPIKFAVAYNRRGIEETEKNSNEGSNQQALMDREQCFKVVAAAVKSVAENSVVDLRSPE >Sspon.02G0001040-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:3745061:3746376:-1 gene:Sspon.02G0001040-1A transcript:Sspon.02G0001040-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASVSCLLVVLAALASAASAQLSSTFYDSSCPNALSTIKSAVDAAVMQEARTGASLLRMHFHDCFVHASLICRTTLAHLFLLILVFSNISRSRYIGVVYVVRSTLTALGGPSWTVLLGRRDSTASFPNETTDLPAPTNSLQQLLSAFSNKSLDATDMVALSGAHTIGQAQCSNFRDHIYNDTNINATFATSLQANCPASGNASLAPLDTMTPTTFDNDYYTNLLSQKGLLHSDQELFNNGSTDSTVSNFASSTSAFTSAFTSAMVKMGNLSPLTGTNGEIRLACGIVN >Sspon.04G0005570-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:11965735:11976908:1 gene:Sspon.04G0005570-2B transcript:Sspon.04G0005570-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VVLAASVVSKSGKALVSRQFVDMSRSRIEGLLAAFPKLVGTGKQHTYVETENVRYVYQPIEALYLLVITNKQSNILEDLETLRLLSKLVPEYSPSLDEEGVCRAAFDLTSAFDEAISLGNKENVTVAQVKQYCEMESHEEKLYKLVMQSKINETRDHMRQRVTEIEKSKIGRGKTENAFGPQRTTNVVNDMNTRGSGLGGDPIFGELFAQKAKAHSSAPTATSKVAGGMKLGKAQKTNQFLESLKAEGELISEDTQQSGIQSRLPSAPPTDPITVAIEEKINATVKRDGGIHNFDIQGTLALQVLNDTNGFIQLQIENQDLPGLSFKTHPYINKELFNSQQIVAAKDPNRPFPSGQNETPLVKWRIQELDESSLPLSVNCWPSVSGNETHVNIEYEASEMFDLHNIAISIPLPALREAPSVRQIDGEWKYDSRNSVLEWSIILIDQSNRSGSMEFAVPAADPSTFFPISVGFSASSTFSDLKVGYHRSSIKGRQPSQIFSEDSNGSVFEIEMGE >Sspon.03G0021590-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:52182131:52189324:-1 gene:Sspon.03G0021590-3D transcript:Sspon.03G0021590-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVAGEKFQLGTVGALSLSVVSSVSIVICNKALMSSLGFNFATTLTSWHLLVTFCSLHVALCMKLFEHKPFDARTVMGFGVLNGISIGLLNLSLGFNSVGFYQMTKLAIIPCTVILETLFFRKKFSRNIKLSLSVLLVGVGVATVTDLQLNTMTNTIQKKFKVSSTQLLYQSCPYQALTLFLIGPFLDGFLTNQNVFAFDYTTQVLFFIVLSCLISVSVNFSTFLVIGKTSPVTYQVLGHLKTCLVLTFGYVLLHDPFSWRNILGILIAVIGMVLYSYFCTRETQQKPAEVSPQVIQASVLSSPRLPTSPAVAPESQTPAREKKREREMVGPQYDLVGNPLGAVRATFERTAAAAAAESGGADPVAAFRGKDWGAGDLFRSFLFEQDGLAKVPVLDASNLGLIKPNTLVRYRGMVQDMLGNEYYIGAFKDGSTWRTNKFTDLSPFSMPHPCDSHLWERHLFHCVPAPGQNSWTLESSPGPDLRRMSNCLAPELREKRKRDGDDDAMDVSENGHGESSFCKKPVRVDNYLISVSLLRKEGDVHVSSSSTEVAGTVPEMNGGDHHIPGSSFSCLVKIYDMPESQVKLNDVIEFIGVYTFDPELAAPSDNPDDIMLDLMEDVTVQLPPSKVPRLHCLVWRKLSSHDFISRPVVEHSPSLLKGIRQSLLSHLTLVLGNDELAAQCLLLHLLSRLRNRVDVVTVGRLSLNFTGFNKESASIFGNQLYSLIQKLVPYSQAIPLSIDYLNSATLQPRKDNKSGRCVDYDFEYYKLEMAADVQLLTLSEGKSNILPSDLVVPFRPSSVPTVNAGAEETESWRWYLATVRSLPQSTEPETYQMIQDEMVSAMRDDRSLGCSELS >Sspon.01G0023640-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:82389036:82390851:1 gene:Sspon.01G0023640-4D transcript:Sspon.01G0023640-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGLPPGFRFHPTDEELVTYYLTRKVSDFAFATRAIADVDLNKCEPWDLPSKASMGEKEWYFFSMRDRKYPTGIRTNRATDSGYWKTTGKDKEIFHCGMLVGMKKTLVFYRGRAPKGQKTSWVMHEYRLQNKYPYKPNKEEWVVCRVFKKCQVIKMRPPQDSPTMGSPCHDAANASLGELGELDVSSILGGLASSGSPPGALHHQGSAGAESFGAHRPVDMSAYMSWMAAAANQGAAAAAAMLPWATTPPPGLFGNVFAPNNHQLLQKPLPFAGCSQPRELGGVVANVIGSGEHTMFGSSVVKVGMECDQQQQQPEQQLGMDESTWRTF >Sspon.05G0004030-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:4438619:4440144:1 gene:Sspon.05G0004030-1P transcript:Sspon.05G0004030-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRMAGAALLRHLGPRLFAAEPAVSGLTARLLPARMASTAAEAAREDAGAKQHGGTGKREEAADGQSKKAIVSYWGIEPPKLVKEDGTEWKWPCFRPWDAYTSDTSIDVKKHHAPTTLPDKAAYLIVKSLRVPMDLFFQRRHASHALLLETVAAVPGMVGGMLLHLRSLRRFEHSGGWVRALLEEAENERMHLMTFLEVTQPRWWERALVLATQGVFFNAYFVGYLLSPKFAHRVVGYLEEEAVHSYTEYLKDLEAGIIENSPAPAIAIDYWRLPADAKLKDVVTVVRADEAHHRDVNHFASDIHYQGMKLRDTPAPLGYH >Sspon.01G0006150-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:13550819:13555550:1 gene:Sspon.01G0006150-3D transcript:Sspon.01G0006150-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPVLLLLLVLGVSLQGCIAQGQGGGGGGLTRGSFPKGFVFGTAAAAYQYEGAVTTDGRGQTIWDTFAHTFGKISDFSNADVAVDQYHRFEEDVQLMADMGMDAYRFSIAWSRILPNGTGQVNQAGIDHYNKVINALLSKGIQPYVTLYHWDLPQALEDRYNGWLDRQIVNDFAAYAEICFKAFGDRVKHWITLNEPHTVAIQGYDAGLHAPGRCSVLLHLYCKTGNSGTEPYIVAHNFILAHATVSDMYRRKYKAAQNGELGIAFDVIWYEPMTNSTIDIEATKRAQEFQLGWFADPFFFGDYPATMRTRVGERLPKFTADEAALVKGALDFMGINHYTTFYTRHNDTNIIVRLLNDTLADTGTISLPFDKNGKPIGDRANSIWLYIVPSGMRKLMNYVKEVQ >Sspon.05G0015280-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:57070539:57073058:1 gene:Sspon.05G0015280-4D transcript:Sspon.05G0015280-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVATTAHLLAIALALAALVLRQPSAPVSAQQLPPPVVALRGCRDKCGNTTVPYPFGIGDGCYRNDGTPGFSLECNETWSPPLLTVAYPYGIQRLAGFNLEAGEARAYVSTTRTCYNSTGGQINSTGSSNYMQLMNSHHRFSNAKNSLVSLGCPVLGYFVDGEGYYVSGCMSVCQPSRYIKPGLCTGVGCCQSAIPPAVDYYEPYILNFQPGQGDPIFNADFTACRYVFLVETDWLTTTYSDRVYSNRSGDFAVPLVLDWAVRNVGNCTAGRRNATDYACRSTLSECADSINGPGYRCSCSSGYEGNPYLDGGCKDIDECQRRDQYPCYGVCTNTPGNYTCQCPRGTSGDASRKNGCRPKDKFTLALRVVTGVSAGLFLLGFMSFWLYLGFQKRKLIRAKQKFFEQNGGVLLQQQMRSYDRAGAGAAGFKIFSKEELQKATNGFAADRVLGRGGHGIVYRGVLEDKRVVAIKKSKMMEEAETKEFAREMFILSQINHRNVVKLLGCCLEVEVPMLVYEFVSNGTLYHYIHDKDSNADVSLDTRLRIAAESAEALAYMHSSASPPILHGDVKTANILLDDNLTAKVSDFGTSKLAPNDEAEIATLVQGTWGYLDPEYLMTCHLTDKSDVYSFGVVLLELLTRKKALYFEGQEEDRSLVSCFITAVKAGRMEELLDSQVRNEISACDILQEIVDLVMQCVSISGEERPPMKEVAERLVRLRRHQQHPWTHDDGNPEETQGLLLVSEQ >Sspon.01G0037300-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:21026713:21029751:-1 gene:Sspon.01G0037300-1B transcript:Sspon.01G0037300-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEEDAAAASPAAVRKPPKEEEEDDDELDNVPLAISRAKKSGNASASKVKKEEGDEEDNMPISRSRGKKGNVKQKGTTNSNMKASKVKKEEADSDDDGDFMPISQKKSAGAAGSNAKTSKVKKLKDEDLEDLKEVKKRKKRVGVKEEANLAIVKAEKVKKERKVYELPGQKHDPPAERDPLRIFYESLYEQVPTSDMAATWLMEWGLLPLDVATKVFEKKQGQKLKSPVKTPVAKRKPSSPTPTKALPSSAKKSVASAKSAGKLTSQKKRKASDDTDDDDDFMAPKTKTKRHKA >Sspon.01G0033570-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1A:113014670:113024583:-1 gene:Sspon.01G0033570-1A transcript:Sspon.01G0033570-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKLRDLSQPIDVTLLDATVAAFYGTGSKEEVISSARLPARHLADYLPRNDFRRSAADQILRDLQNNPDMWLQVVHILQNSQNLNTKFFALQVLESVIKYRWNALPVEQRDGIKNYISDLSSNEVTFRQERLYVNKLNIILVQLLSEEIFDFSRGEMTQQKIKELKSSLNSEFRLIHELCLYVLSATQRPELIRATLATLHAFLSWIPVGFIFESPLLETLLKFFPMAAYRNLTLQCLTEVAALQFGDFYNVQYVKMYTFFMIQLQAILPPEKIPDAYANGSTEEQAFIQNLALFFTSFFKNHMRILEITQENAAALLMGLEYLIGISYVDDTEVFKVCLDYWNVFVLELFEAHNQMEPAATAQMVPGMVDGTGTAVQQRRQLYSGPLSKLRMLMICRMAKPEEVLIVEDENGNIVRETMKDNDVLVQYKIMRETLIYLSHLDHEDTEQQMLKKLSKQLNGEDWSWNNLNTLCWAIGSISGSMVEEQENRFLVMVIRDLLNLCEITKGKDNKAVIASNIMYVVGQYPRFLRAHWKFLKTVVNKLFEFMHEMHPGVQDMACDTFLKIVQKCKRKFVTQQVGHMIQAESDNTKRDEYLKRLMSLPNQKWAEIIGQASQSIDILKNQDVIRSVLNILQTNTSVASSLGPHFFPQISLIFLDMLTVYRMYSELVSSTIAEGGPFASRTSFVKLLRSVKRETLKLIETFVDKAEDLPHIGKQFVPPMMDPVLGDYARNVPDARESEVLSLFATIINKYKGEMLEDVPRIFEAVFQCTLEMITKNFEDYPEHRLKFFSLLRAIGTHCFQALIQLSSPVDGLTEPLWDASSVPYQYTDNAMFVRDYTIKLLGTSFPNMTVTEVTKFVDGLLSSKHDLPSFKNHIRDFLVQSKEFSAQDNKDLYAEEAAAQRERERQRMLAIPGLIAPSELQDEM >Sspon.07G0012010-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:40602883:40606343:1 gene:Sspon.07G0012010-3D transcript:Sspon.07G0012010-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLGVMMSRRSKWRAVATAPLMACAVLVLCVGCAVAVDEQGAGLLAWKATLRGGDALADWKPTDASPCRWTGVTCNADGGVTELSLQYVDLFGGVPANLTALGSTLTRLVLTGANLTGPIPPELGELPALAHLDLSNNALTGPIPAGLCRPGSKLETLYLNSNRLEGALPDAIGNLTSLRELIIYDNQLAGKIPAAIGRMANLEVLRGGGNKNLQGALPTEIGNCSQLTMIGLAETSITGPLPASLGRLKNLTTLAIYTALLSGPIPPELGQCTSLENIYLYENALSGSIPAQLGRLKRLTNLLLWQNQLVGIIPPELGSCSGLTVVDLSLNGLTGHIPASFGNLPSLQQLQLSVNKLSGTVPPELARCSNLTDLELDNNQLTGSIPAVLGGLPSLRMLYLWANQLTGTIPPELGRCTSLEALDLSNNALTGPIPRPLFALPRLSKLLLINNNLSGELPPEIGNCTSLVRFRASGNHIAGAIPTEIGKLGNLSFLDLGSNRLSGSLPAEISGCRNLTFVDLHDNAISGELPPGLFQDLLSLQYLDLSYNVIGGTLPSDIGMLTSLTKLILSGNRLSGSVPPEIGSCSRLQLLDVGGNSLSGKIPGSIGKIPGLEIALNLSCNSFTGTVPAEFAGLVRLGVLDVSHNQLSGDLQTLSGLQNLVALNISFNGFTGRLPETAFFAKLPTSDVEGNPALCLSRCAGDAGDHERDARHAARVAMAVLLSALVVLLVSAALVLVGRHRRAARAGGEKDGEMSPPWNVTLYQKLEIGVADVARSLTPANVIGQGWSGSVYRASLPSSGVTVAVKKFRSCDEASAEAFACEVSVLPRVRHRNVVRLLGWAANRRTRLLFYDYLPNGTLGDLLHGGGGTAGAAVVEWEVRLAIAVGVAEGLAYLHHDCVPGIIHRDVKAENILLGERYEACVADFGLARFADEGASSSPPPFAGSYGYIAPEYGCMTKITTKSDVYSFGVVLLEMITGRRPLDHSFGEGQSVVQWVRDHLCRKREPMEIIDARLQGRPDTQVQEMLQALGIALLCASPRPEDRPMMKDVAALLRGIQHDDSSIEARKAGGAEAEAGAGARKWADPKQPISPTKLMALAQPAQARASSGSQSLLKNRE >Sspon.07G0014260-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7A:51482934:51488636:-1 gene:Sspon.07G0014260-1A transcript:Sspon.07G0014260-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVASRLAVARVSPEGARPAAAGRGRSGLAAFPAARKGTRRGGAVAASAPTEEAVQMTEPLTKDDLVAYLDSGCKLKENWRIGTEHEKFGFEVDTLRPIKYDQIRDILNGLAERFDWDKIMEGDNVIGLKQGKQSISLEPGGQFELSGAPLETLHQTCAELNSHLYQVKAVGEEMGIGFLGLGFQPKWALSDIPIMPKGRYEIMRNYMPKVGTLGLDMMFRTCTVQDFMQGKLPQAPGELPTLNDWENHLTTIFPEVRLKRYLEMRGADGGPWRRLCALPAFWVGLLYDEESLQSIIDMTFDWTKEEREMLRQKVPLTGLKTPFRDGYVRDLAEEVLKLAKSGLERRGYKEVGFLREVDEVVRTEASSARPLHKALHHSAMTTVRHDTSAFFTMASSPRPSASARLPPSPWRPLPTAVASLSLSQPRLPPIAWSAFSTGATRHGLIHRSGELRATGELYTGELHTPIDEPPFPSIKKMLR >Sspon.06G0000040-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:443474:447302:1 gene:Sspon.06G0000040-1P transcript:Sspon.06G0000040-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSPPPPPPPPRPQIDHLLSQFPITPKPDPDGPTPAQTHVPVAARSALMLALTPELCNVLHRELAPSPTTIPSSLAAFLRLEALAASLRPPLPPPLPPHLLHDSLLRLLLSPSQELQVRLPQEPGAGGGSNPSSSKKRARAATEVVRATHLSPADHLRYRALVRRTRLTFEALRSTYQRQETSSGVRNRHDLRASSQMLSAGHWLHREVRIVGDIPGVLVGDAFYYRAEICVVGLHTAPQAGIGYIPGSLLDEGHPVATSIVSSGGYLDDEDTGDVLVYTGSGGRQRNRLDHHANQTLERGNLALHNSYLYGVEVRVIRGHDIDQGPHRKVYVYDGLYRVISSTFGPGKTGHDVCKFKLVRLPGQDDLASKTWHTAKLLKESMDARIRPPRYISLDLSKGAEVLRVPVCNKLDDDRSPLLFEYIAHPEFPMPPAHASVKQHRGCHCATGCGSKCRCERKNGGGPVYTEDDTLVMGRPVVYECGALCGCPMTCVNRVTQRGMKHRLEVIRSNETGWGVRALDLIQPGAFVCEYTGHVVVMDDQPGSALMEGRSIIDPRRFPERWREWGDASAVEPNMKRRQFTKLAGPGYVLDVSHKRNVACYISHSCTPNVFLQFVLRGNEDESFPHLMVFAMETIPPMRELSIDYGIDGEILELMGILEDEMR >Sspon.08G0003540-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:9428656:9432072:1 gene:Sspon.08G0003540-1A transcript:Sspon.08G0003540-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVCFPEMRAENKIKDNEHTKPHPSFEDINIWLLINNCSDTAVFWSAVKGISIFLDSIQWYQSRERNIEVFTQSVISLSQQLEYFREYKARLQLAKGESAANDIIAEAVYIFSIGTNDFIVNYFTVPLRQAQYTPPEYVAYLVGLAEAAVRDAYGLGARKMEFTGLAPFGCIPAARTLNRDEPSECNEEYNRMAARFNTGLQEVVRKLDGELAGTRVVFAETYSVVSDIVANPSDYGFENVEQGCCGTGLIETSVMCGLDEPFTCQDADKYVFFDSVHPSEQTYKILADHILNTALRVFL >Sspon.02G0006170-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:12390255:12393072:1 gene:Sspon.02G0006170-4D transcript:Sspon.02G0006170-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTLPMAPHLMAVAVAATIAAVAVLAPGAAGYPWPICGTSNDFKPNSTYQANLNLLAATLPKNVSASPTLYATSVVGAVPEQVWAMGLCRGDTNASSCLACLTQAFQDLPNDCSYNKDATIYYDPCVLHYSDVHTLPDDDTGPTTLSYTINNNANVTSDPARFERLLAALVNATAEHAAYNSTRRFATGEADFDQEFPKVYTLAQCTPDQTPAQCRKCLAGLISQSLDGFQNNIGGRVLWVNCTWRYEIAPFFNGPAMVRLASPSPPAPAPATATAGTTAATGGGELKRAFNNYHGHMDPVHIDWEKKYSVFIVVLAVVLPTLAALNLVFCFCFWRRRRPIAQAKQPQPMYSTKAEDTETVDSMMIDISTLRAATGNFDETNKLGEGGFGAVYKGVLPDGDEIAVKRLSKSSTQGVEELKNELALVAKLKHRNLVRLIGVCLEQQERLLVYEFVPNRSLDLILFGTDKREQQLSWEQRYKI >Sspon.08G0013760-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8A:57286271:57287446:1 gene:Sspon.08G0013760-1A transcript:Sspon.08G0013760-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTKAAVPVAAHGGYDRRRELLQAFDDTKAGVKGLVDSGTKSIPAIFHHPPDSLAAITSSTTADEAAAMIPVIDLAAAQREEVVAQVRAAAETAGFFQVVNHGVPGETMAAMVAAVRRFNEGAVEDKRPYYTRDTTRKMRFYSNLDLFQSPAASWRDTIFLDMEPEPPQPEELPEALRGVMFEYVDAVRKLAVWLFQLLSESLGLASDRLAEMGCGESLKVACNYYPPCPEPHLTVGNTKHTDPTFLTVLLQDAVGGLQVLLDHGGGGRGWVNVPPVPGALIINIGDLLQASTSLNYCMIGYSLFTYPSIDRYDDIAANEVHELIIEPWCSLSAMGGSGAWSTEFWRTRALTRQGSPWRLSLTWEDP >Sspon.08G0017310-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:1042458:1044215:-1 gene:Sspon.08G0017310-2C transcript:Sspon.08G0017310-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RRAAAVRGQRTPAGSASAVGARRPRQRAAGLGRWPHPPWPVVRVDTAAPLTDYSNCEIQFNTTMDGQTGAATASLGPRVKANLVLGSESFAISPESGILSEQLAAMKEKSMAILKEYITKHNAPNDVPDEPVEGESDGEGEALVNNPPKKSKKQK >Sspon.04G0024910-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:23339711:23344013:-1 gene:Sspon.04G0024910-1B transcript:Sspon.04G0024910-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRKRALSEENGGATALKSLTPINLFEDECVFCHSFRTSLFHGPMVRYLKGRIVSIDEGNSSDAIYVHRKCLEWASGVWFKGDIVMDFEPEIRRASKLSCKRCGVKGAALGCYYDPCLTSFHVPCAVQTTGCRWDADGYVLCPEHVSNALPCDKLGTHPKENYNATSLHQSGRADNEGNFDYHNRENQHTDQLNTSNASSLTQSHPCHEEGISTNCSRVGEQTDQLNSSPYIEKEETFDSHGRKNQQTDHLTISSPYLPHSLHSQKEEISTNSSRDDQQIDQHHISSSSSLPLGYVMCHPDIEEISRACQGEEMESDQHDTSSCPSDQSVLLGFSLSVEEKDFVQKFACWTKATLTEEWAENVTHVILGKGAGSSWSRSFEVLMAILLGKWVVHFEWVADCLQLAPGPEASYESLHLICLQAPKLFSGLCFCLSDFMSPGNRDRTRDLIAAAGGRVLEKRDLRLLLKNPERSSSSVKPRRPCYFVYDVDPPGEFSASSLREETEEAREQAAAGAQVICHLRVLDAVAAYDAEILLIAKKDSFST >Sspon.02G0023670-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:79932489:79933655:1 gene:Sspon.02G0023670-2B transcript:Sspon.02G0023670-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTTMTRLMLSMAAVAMLLLASPAPVSGQPGPGGVVGATMSCTASLVTSFTPCLNFITNGSASPTNDCCRPLGALTKASAGCACLILTGSVPLGVPVNRTLAVTLPRACNSSSLQLQCRDASSAQSPAPGPVADAPAPSTFMAPLPPATPAAPEAEAPATAPPVEPTATATPPISQGQTRSTVVPSAAGRASSDVPTTAGFGLLLAIGAALMA >Sspon.04G0022400-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:10112135:10116845:-1 gene:Sspon.04G0022400-2C transcript:Sspon.04G0022400-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVASKRAAADPTKSSNENKRTCSGEGGTTESLISGHKVLFTSSGVAIERRRNVTKFVTAASLVRALQQGHSNVKIEVHYEGKVVIGVLEEYDLAHEIAFVKATTTLDVYCVPLNHVVELMPETKVVVVARDISGKLMATSGKLRRSDGYGNGEAGGYLIMSSTCKLSEGGASFDVDGNFVGMNLVLDMERPIILPRSTILEQWEHLRTSPQRNKGRRTKDVKLYSRPQGGTKFFSCTGFFINFDDECQTILTSATLVRHPDGSNKIVEGLKIKVLLPNNQSKEGTLKHCSLQYNVALVSVKNCRFVRHVNLEQCSVGIGGPLVDIDGKFLGMNYYDKKMGTPFLYFDDLRGILQYFKTKQTEYRSMVGKVHHIIEDSNYRQNRWILPDPSSMDESECEEERRVLASLHPTSSRRIQYAYINGDVTVLK >Sspon.02G0028420-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:103419370:103428181:1 gene:Sspon.02G0028420-1A transcript:Sspon.02G0028420-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:At1g68370 [Source:Projected from Arabidopsis thaliana (AT1G68370) UniProtKB/TrEMBL;Acc:Q1H5A8] MSSSGKMEGPSAPAVRRDPYEVLSVPRDSSDQEIKSAYRKLALKYHPDKNASNPEASELFKEVAYSYSILSDPEKRRQYDTAGFEALENEGMDMEIDLSNLGTVNTMFAALFSKLGVPIKTTVSPTVLEEAMNGTVTVRPLPVGTSATGKVDKQCAHFFGVTISEEQAQSGIVVRVTSPAQSKFKLLYFEQEVNGGYGLALQEDSQKTGKVTSAGMYFLHFQVYRMDSTVNALAMAKDPEAAFFKRLEGLQPCEVSALKPGTHIFAVYGVHSCRLLALARFQEVTNRYTQEKEAVDDMLRERDNIHCSFTTERTLVNPVSAGSSSSRYTVEQSIPESPENGSVDGRDKSGKKKWFNLNLNRSDKKA >Sspon.06G0010790-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:46045117:46048723:-1 gene:Sspon.06G0010790-1P transcript:Sspon.06G0010790-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATATRRLLPALLKTLAPAGARGLSTEKAVGAAAVVGSHTAKWMQDTSKKSPMELINEVPPIKVDGRIAVCEGASEGVGLGHPIEYICLDLEAPNVCKYCGLR >Sspon.08G0011080-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:45823245:45827606:-1 gene:Sspon.08G0011080-3D transcript:Sspon.08G0011080-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIISDFKEDEAPQQAAAAAAAGPGVEEALVAALERSAGALPFLQAAIDVAHRRSGLFRDPSAVSKVTAMAAAVRAQVEAEERAAREAKRKAEEAERKAAAEAQKAAAEAAATATAEEKPESSVEKDSMEVDKEEGNVRQPNAGNGLDLEKYSWTQQLPEVNITIPVPQGTKSRFVVFDIKKNHLKVGLKGQPPIIDGELYKPVKVDDCFWSIEDGKTLSILLTKHNQMEWWKSVIKGDPEVDTQKVEPESSKLSDLDPETRQTVEKMMFDQRQKQMGLPTSDEMQKQEILKKFMSEANSARHIFTN >Sspon.07G0019040-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:72786209:72806172:-1 gene:Sspon.07G0019040-2D transcript:Sspon.07G0019040-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIQPAKTSPAQTSSVCPPSHSQLHDTHLAVLGSSTPVLPCPVASDTRRGQLPPPPLQRPPIRFHRLAEAANFAAARSASPGARLRDPRPRRGALNPRSAAPLAPALAAAASRRRRAADRPTALDSSAGNTAGSTRPRKEKRFTYVLNDADDKKHCAGINCLAYLNGSTFSTSDYLFSGSRDGTLKRWELNNGDASFSATFESHVDWVNDAIIVGEKLVSCSSDTTIKVWNCFSDGACTRTLRQHSDYVICLAAAEKNSNIVASGGLGGEVFVWDLDAALAPVAKSVDAKEDEVPNGNSGPALSTLCSVNSSSNIASSNGQSHGYNPIAAKGHKDSVYALAMNDTGTLLLSGGTEKVVRVWDPRTGSKNMKLRGHTDNIRALLVDSTGRYCLSGSSDSMIRLWDLGQQRCVHSYAVHTDSVWALASTPSFGHVYSGGRDQSVYLTDLSTRESVLLCTNEYPILQLSLHDDTIWVATTDSSVYGWPAEGRTPQKVFQKGGSFLAGNLSFSRARASLEGSAPVPVYKEPSFVIPGVPAIIQHEIMNNRRHVLTKDTTGSVKLWEITRGAVIEDFGKVSFEDKKKELFEMVSIPAWFTMDARLGCLSVHLDTPQCFSAEIYAVDLNVAGAQEDLKINLAQETLRGLLVHWSKRKQKSSSHSLSNGDSSIGKDVPSKDSPRSRSEVDDVTENHATHVLPSFEFSTVSPPSIITEGSSGGPWRKRITDLDGTEGDLPWWCVDCVTHNRYPKENTKCGFYLHPAEGSPAPNITQGKLSAPRILRIHKVANYVVEKLVLDKPLDGGSDSAFVMGLSSAQSQLSALDSSSRLGLKSWQKTKPLRTYIWKKPEDLILHYRVVQSRVRGGLDVGSNKAVF >Sspon.03G0013510-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:38594653:38595210:1 gene:Sspon.03G0013510-1A transcript:Sspon.03G0013510-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MACRVKVVGQVERVDGESLSYAEFVHRFMAPNRPVVLTGLTSSWRAQGLDAPGPGDRRRPNLSFFTQNFPSPLVQVADCSSRDFTDQKRLEMSMQEFIDHWVGGAHGASSDGDRDGSLLYLKDWHFVKVCSQCPVRLISLSNNIA >Sspon.05G0026110-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:29905106:29908927:-1 gene:Sspon.05G0026110-2C transcript:Sspon.05G0026110-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLRSVARIIINFIAFAVCYSNRLLFAILYFFSFVLDGVDGWFARKFDQGLALLVCWPFSHSVTGKTSHKDVKNTGNWLLKLYYEYRPFMAFCCVSCEVLYIILFLFADEKSTSLLSACRGVLKQGPLTVLVFISTLVGWAVKQVTNIIQMKTAADTCVEFDPKRSK >Sspon.06G0032080-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:78341812:78346576:1 gene:Sspon.06G0032080-1C transcript:Sspon.06G0032080-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLQVDSYSRNKGNHKFQIPKIDQNASVIGGEEGGDRKKPPVARATKQPDVQEPAVNGEEAEPEKRKQNLSMSATVFIEPLPTIEFVAQLLNSEIYSTPLRCRTVAKKAKRHVKEN >Sspon.08G0011020-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:45864642:45868357:1 gene:Sspon.08G0011020-4D transcript:Sspon.08G0011020-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALHAAAPACAVFCRLPSSSPSHAGGRRSSLPVVARPRGGVSAAPLRTRAVRTKATFSDGVPETSNSPHVVCFGELLIDFVPTVNGLSLAEAPAFKKAPGGAPANVAVGIARLGGSSAFIGKVGDDEFGYMLADILKQNNVNNQGLLFDPHARTALAFVTLRSDGEREFMFYRNPSADMLLEEKELDLDFIRKAKIFHHGSISLITEPCKTAHIAAAKAAKDAGVLVSYDPNLRLPLWSSAEDARDGILSIWETADVIKISEEEVSFLTNGEDPYDDAVVKKLIHSNLKLLLVTEGPDGCRYYSKDFSGRVGGLKVNAVDTTGAGDAFVAGVLSQLATDFSLLQDEGRLREALKFANVCGALTVTERGAIPALPTRQQVLDALTNVVA >Sspon.03G0025630-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:1026713:1027591:-1 gene:Sspon.03G0025630-3D transcript:Sspon.03G0025630-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aquaporin TIP1-3 [Source:Projected from Arabidopsis thaliana (AT4G01470) UniProtKB/Swiss-Prot;Acc:O82598] MPVSRIAVGAPGELSHPDTAKAAVAEFISMLIFVFAGSGSGMAFSKLTDGGATTPSGLIAASVAHALALFVAVSVGANISGGHVNPAVTLGAFVGGNISLLKAVVYWVAQLLGSVVACLLLKIATGGAAVGAFSLSAGVGAWNAVVLEIVMTFGLVYTVYATAVDPKKGDLGVIAPIAIGFIVGANILAGGAFDGASMNPAVSFGPAVVSGVWENHWVYWLGPFVGAAIAALVYDIIFIGQRPHHEQLPTADY >Sspon.08G0008640-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:6011215:6012366:-1 gene:Sspon.08G0008640-2D transcript:Sspon.08G0008640-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLSLRQAAAAATSSPLAATLRGKSSPSSLAHGRLSLSHTSLQTTPDHRRHRAGWAVRVLPLTEENVEKVLDEVRPSLMADGGNVALHEIDGLVVVLKLQGACGSCPSSTMTLKMGIETRLRDKIPDILEVEQIVDTETGLDLTADNVEKVLDEIRPYLSGTGGGGLELLQIDGYVVKIRISGPAAGVMTVRVAVTQKLREKMTSILAVQLTE >Sspon.07G0035320-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:5701529:5703948:1 gene:Sspon.07G0035320-1D transcript:Sspon.07G0035320-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGNKRRAVASGTAHFLPEEMMTEVFLRLPVKSILRFRAVCRSWNAVLSSDEFCCLHMARAESKPVPPNLIFTSPTAGFDATAVYSSSSSGPDDGLLFTLDGVRGGDFIHMTPAPCRGLTLLHDPFAPAYYVFNASTRAVTRLPPCHNALCVTAGLGFDARTKKYKVVRLFLGDFGDKQHIKCEIYTLGSDHGDYWKPPAAGVPFRFCRAAHATISHARRDKVLPVFANGFLHWLILPSFVVERPRAAILSFSVTDEIFAWVQSPPFEVSGVHLVELAGHLCMVRDLRHVGVLEIWKLNDYGSGGWSLEHRIDLLQHVIEPEIVRVIGSVGNCGSMKRIVIVTSKRKVIVYDPTNEERALSAPLAKATREILLRLPADCTMRCKLVCKQWLSLIEGNSFMRSYHSHNNMDKRPKIMLVGKGITWDCITRGSGFSFTPCSKLLQRARRHDIWLDTKVVCSKPCHGMNLLSTELKDYLYNPCTGFRLAYHTRGTASIHVPPNIPSWSIPEGHGHAFALGHKNVGLGFNLSTQDHAIVEMFYHVKDFKSRRYFLTCMVTECGRGTVQDCSLPPLPVSDMPPAYLAGVLYWMSDPRLGECDERAIVSFDITTREFVVIPCPSCVAMWNNGSASNAFVVELEGTLCAVLADPDAEELNIWRREHDQWHSAYTVYLEGWPGYSLGANVVVPWAIDPKDGKILLNTGRKLGFYDPARRFIENLYDLEEVLRVWSTEQSSRVGVRGNLHIAKYKHDVEKFSEGFR >Sspon.08G0005000-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:14645617:14655791:1 gene:Sspon.08G0005000-1A transcript:Sspon.08G0005000-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLSEDWRFVFPVCAIFAAPSANPLPAAAASSWGPLHFSPLPPRTPLLSLPYPIPLLHSSTYGLNHCLRSFVRGTSFLPRSDLDSLNETLLPQTSPPSSPPSNLLAILRLSCSLILFFPYGENAEHVAFVTLDATTVTGSAPLSPVVQRDGFMDPGHRIQQLATTANESSWPSQLGDSAEGFLLAVTMYSLTWFRVESQGSGTYALVPVAKQQLDSVIVHACWSRHLPSECAVLLESGELCWFNLETRQGGNIKIDVGSKDDTGEWLSCDYGAQPWMIIVATSKSILLIDLRFGGRGQYKVLARVGMPGLLETNPFVEADQYLAFCMAGFDHFHFSVVTERYLILLDVRQPLTPVLAWQHELENPSNVAMFRLSELRPSKEHEWASNSGIAILVGSFRTGEFSVFCYGPKEQGFPENSHPYAWDLPSRFSLTGHHCSCSNRIMKDVFSMPVSRDEYASQLSKNSIVGYYVLPNDLPVSETSFTGFGLVCLTALGKLEMQRYHALADSRDDIPCDESQHTARASNLAIFPDPPYENSSLRHSFMKLHFLSEYLEGNLCNALVKHGYSINKAMGQIIVSEAVSEYAKDNSSSLPQPVSDFLCNVSIPMNIFEISCQRILNGLPSDILHVTFSKYKDMLACSTEKTLCEHLDVPTCLTNTKLRPFLLAKPSSISYNLTSKVVSPNALVGPVLPIPVLLALEERNKDAESSSQGVPIETDSINDRYREVFEAFDPVISIADTKNGYGWPGSQELNEEKSYFSYEPQINHRFSMDRSTRKEEKEDQNQGDLHTSSTLYEDKIFTAFVCGKAKVPDSHEQAATSLFDFSPVRMDFDTPAMEIQPAEEKGKSRLRIYTQASELEASRGHRGSADVRKESLHTMHILIGKVGSADALAFFLPGIVSRLGKVLYTAKTMISGAGSSLSIEQAILGLTEALMIVLNDKENLSALGKHMNEKHSHCPGGSGSTGLYCRCFASYPPKECLCILACHDAAAVSEAAQDALDYLFNQRHNLVIAARFLDCLGLCIGHSSQFSGSIDKLIVSKPLSVGYLYSVAELKSGAYAKDTTNKFLHAMSTSAASKISVIHDNALPNALLGTVEYELPHVPPCFFHAGNQKLYGVLAAIIRLVGLSTVSGKGTAASLSLFVDILLDQFRRLSTELRDKDIYKDGLQRWYMKSEAGKTLHQASSAVCMLNELISAQMSRTVCQKNQPLACVKHNGVINEREMWGFNEQKGTKDNILHCIGSILHEYVSPEVWDLPTEKDTELRLIELNLPLHFYRDTTALHMVVIEGIGVLGVLLGQDFALSGQLRHLDLNPHVPDVLASMLCYIGASRDILPFLEEPNTMGYANLDRVARNKGFPLIRKKPKFASKINMQAVSSELEVLGRHDHPHLTVPFLKVSSEGQEVKSMIKKRMESSAISASGILERGAGHEERRRPADHWEEEKGSPTFDHGEEEKGPPATGHGVERKDLPAVGHGVEREGPAGRWPWRRGERPLAMGKRAEVH >Sspon.02G0029090-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:99409570:99413353:-1 gene:Sspon.02G0029090-4D transcript:Sspon.02G0029090-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKGDGPAIGIDLGTTYSCVGVWQHDRVEIIANDQGNRTTPSYVAFTDSERLIGDAAKNQVAMNPINTRQATKDAGVIAGLNVLRIINEPTAAAIAYGLDKKATSVGEKNVLIFDLGGGTFDVSLLTIEEGIFEVKATAGDTHLGGEDFDNRMVNHFVQEFKRKNKKDITGNPRALRRLRTACERAKRTLSSTAQTTIEIDSLYEGIDFYSTITRARFEELNMDLFRKCMEPVEKCLRDAKMDKSSVHDVVLVGGSTRIPRVQQLLQDFFNGKELCKNINPDEAVAYGAAVQAAILSGEGNEKVQDLLLLDVTPLSLGLETAGGVMTVLIPRNTTIPTKKEQVFSTYSDNQPGVLIQVYEGERTRTRDNNLLGKFELSGIPPAPRGVPQITVCFDIDANGILNVSAEDKTTGQKNKITITNDKGRLSKEEIEKMVQDAEKYKSEDEEHKKKVESKNSLENYAYNMRNTIKDEKIASKLAGDDKKKIEDAIDAAISWLDANQLAEADEFEDKMKELESLCNPIIAKMYQGAGADMGGAGGMDEDAPAGSGGPGPKIEEVD >Sspon.05G0020500-3P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:84465823:84470513:1 gene:Sspon.05G0020500-3P transcript:Sspon.05G0020500-3P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEQSSGGGEAAKVPLLEPQPRVAAEHHHNGAGAGGGGISKADEAEQRREEAEWSAQPLRRRAWEENKRLWVVAGPSICARFASFGVTVISQAFIGHIGATELAAYALVSTVLMRFSNGILLGMASALETLCGQSYGAKQYHMLGIYLQRSWIILFACAIVMLPIYLFTAPLLVALGQDPDISAVAGTISLWYIPVMFSYVWSFTLQMYLQAQSKNIIITYLALLNLGLHLLLSWLMTVKFQLGLAGVMGSMVIAMWIPVFGQLAFVFFGGCPHTWTGFSSAAFADLGAIIKLSLSSGVMLCLELWYNTILVLLTGYMKDAEVALDALSICLNINGWEMMISFGFLAATGVRVANELGAGSARRAKFAIYNVVITSFSIGFVLFVLFLFFRGGLAYIFTDSQAVAEAVADLSPLLAFSILLNSVQPVLSGVAVGAGWQSVVAYVNVTSYYLIGIPLGAVLGYVVGFQVKGIWIGMLLGTLVQTIVLLFITLKTDWDKQVAVAQERLKRWYMEENRRLQGLRGNS >Sspon.01G0054210-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:49545209:49549537:-1 gene:Sspon.01G0054210-1C transcript:Sspon.01G0054210-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLEKTSATRFTSAERKGAVLATTRALPAGDYVGSRAQFLVPQLRAERKRKTSSKLDENNITQRGGNVSLPTFWGTRLMHHSFEPHKGTMHAKLGLGQPHPEATRTSPLWQKPRSMALKVARLGRGPLAAISSNTFQAARTSPLRNRRRSNAANAERLGVFPERRTRVSTSSASAAMPAARNAWSASRRAPYRARELPQALSLDDHERRSEEATGRSAAMRIAAKGRSA >Sspon.06G0001010-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:1881335:1884691:-1 gene:Sspon.06G0001010-2B transcript:Sspon.06G0001010-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVTCVASLIAGTDNRCALMEDWMLPSPSPRTLMPSFFNEEFSSAPFSNIFGDDRSNKPLVEIEKSKSFIGSSVQETSQDMKDHPQTESNLFGANQKSTSPGGLAERMAARAGFGALKIDTSRVSSSGAPIRSPVTIPPGVSPRELLESPVFLPNAISQPSPTTGKLPFLMPNNFKSTMPSVPEKSEDHSHEDSAFSFQPILRSKPSTLWTAEKGSSVVHQTQSLAKDRQESNLHANPTATKHETEENLVKPKTYDSMFDNDHPSPDVEPEQSEEIQNGEDSSAPVTGTAEDGYNWRKYGEKQVKNSQHPRSYYKCTHPNCPVKKMVERSLEGHITEIVYRGSHSHPLPLPNRRPSIPLSHFNDSEADGLENFSSKPGPGYNSATSQGIGPNGQFQDVRSGALETKLSGSLTTTKIGDTSVMESMDVSSTLSSNEKGDRAMNGGAIPSTNDMNEDETESKRRKMEVSVASNTTNTGIDMAAIASRTAREPRIVVQTTSEVDILDDGYRWRKYGQKVVKGNPNPRSYYKCTYAGCSVRKHVERASNDLKSVITTYEGRHNHEVPAARNSNGHPSSGSGAAPQGSSLHRRPEPPQFSMPQLNAAAAAYGSLCLPPQLSAASGGFSFGMLPPAMAMPVPSLGNFMPAQMPGHGSPMQGCAGLMLPRGEEKVNPEQQSRLPVANENAVATYQQLTSRWPQGHQM >Sspon.01G0043030-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:62627410:62630610:1 gene:Sspon.01G0043030-3D transcript:Sspon.01G0043030-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone-lysine N-methyltransferase ATXR4 [Source:Projected from Arabidopsis thaliana (AT5G06620) UniProtKB/Swiss-Prot;Acc:Q9FG08] MLLRRRLLSTAAAAAAARGPPPIRVSLTESAGRGVFATRPVSSGELLHSAQPLVSHPSHSLLHEVCYNCLRRKPWEGRVSSGGYYFCSDACRDHAKVGFHDIDKNVDWSLFDYHCSSRGLKYPYMAKRLACMVISGAANADCLNILQPARLHQGTLIEMEEEFELLESTFRKAGFQEEVTNCILQILFPLIATILSFLQLNVEKIGSFIDPLQIWLTLTKLVLTIDWYINVLARIRINAFRIELVASSYEDLLSSAAASVSCDSSVGNAVYMLPSFYNHDCDPNAHIVWLDNADAKLKALRDIEEGEELHICYIDTSLDADARQKILADGFGFKCHCLRVEGYPKQ >Sspon.07G0014580-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7A:52491586:52493310:1 gene:Sspon.07G0014580-1A transcript:Sspon.07G0014580-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLQEIVSVQASILKSNNGVSIVNHQENPSTPSLPPFNKGNLVAMQHQVLEGVTQQKNSYQSQEKDQIGSLKGPLKRQVVKALKNMKSNEGISDKPLGIQEPCHCCRHYGFPCLQNNSSKVKDKTSQNHHPDIDKKRKAISQEPQLESSQNLGLTAPSHVDKKRKAIPQEPQLESSQHLGLTTPSVLVQCSSKKGPNPKESAVPQVRGNPHKIFLDGWSITYKEFQPWKGKHAKKQTSEISEVKMNSQNQQASDSLLPHGTSLKGAQSHQGSTPVKACFHCQEEGHFVAHCPKKDRASDGLVPHGTSLKGAQSHQGRLGSTPGKAAGKVCFCCQEGGHFVADCPKKYQASDSLVPHGTSLKGAQSHQGSTPGKAAGKACFHCLEEGHLVANCPKKYPLLFGNRDTQKQNLSPEGKICNQTPVQVPQSSCSKGTSFICGDMDHSINQSPKACKSVTRKLQSPQPSNTPGQHTSSQGPCQPMSDNTSMKSNTPKKPVKRVCYHCREKGHSANLCLQKNQHLLDGRSQNQIPIELEPPVVSDSGAQGQGSQLQQNHTGNQANLEVAKEDKNTQSAI >Sspon.04G0012680-1P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4A:49695710:49695911:-1 gene:Sspon.04G0012680-1P transcript:Sspon.04G0012680-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVKAGAELIGMILWPNSKRSVSLLEAKEISRVAQSYGAESVGVFVDDNEETILRVSHSCNLNFVQV >Sspon.06G0029270-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6C:18295319:18295604:-1 gene:Sspon.06G0029270-1C transcript:Sspon.06G0029270-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ASQPVRRQRWSVDGSMAHRAHGVYHGCLRLGSMIFEKLIPWVFQEGWIEISQPLPYNTSPMDTTTPPTSISMPPRATSEPTFFLSSNPSNRETT >Sspon.06G0009800-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:42100078:42104053:1 gene:Sspon.06G0009800-2B transcript:Sspon.06G0009800-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIYWSHYCYLASLKRDISSPNSVLEPHSGEPHKVHLRLSSGVEGATKWEPINIKSIEPDGAFVIASQLNLVEEQQGGSYVASLELELQQARDRVSKLEAERLSAKKQLDRLFKKLAEEKAAWRNREHKKVRAILEDMKADLEHEKRNRRQLETINFKLVDELKEVKMAAKQLLQEYDNEQKTRELTEEVCNKLVREIEEHRSEIEALKQDSVKLRGELDEDRKLLQMAEVWREERVQMKLVDAKLTLEAKYEQLSKLQEDVEAFISTFSSSKGDSTIVEAAHNIVQAIGSARDQEVEFTYELPRASEDILSIFEELRPSEETETKETEPCPKKEIQEASPTTDIFLENRDKLFPDGMHSDESDTEDGSSWETMSHEEMQGSSHSPYGSEPSVNKIFDRISWTSGNDSEGEETNKLCDDLSNVYLTDMKQSKKKESAISKLWKSSPLKNCELRTKDVPEMINGRLSSASLPNGVYSTAKGSNLDMGDSTPSTAQWSSPDSMNSQLNRGFRGCMEMVQRQSLKAKLLEARMESQKIQLRHLTKEAILTMALH >Sspon.01G0034040-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:18092934:18096734:-1 gene:Sspon.01G0034040-1T transcript:Sspon.01G0034040-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MELQLAAVLASLALGGAVLVLFFGKWWQPLAGTDRRVKELADAVEALLRQRAEVLGHDPAPSSDPVRAWLRRVQEAQDELASIKARHDGGQLYVVRLVQYLFLPTGPVAGLAEQQLKAVWALREQGAAILDAALATPQAPPPLLCDPEELEGLPAEAGPARAYLNEALRFLGDCDAALGIWGAGGVGKTTVLKLVREVCGRVARFDHVLLVAASRDCTVAKLQREVVSVLGLRDAPTEQAQAAGILSFLRDKSFLLLLDGVWERLDLERVGIPQPLGMANGKVRKIIVASRSEALCADMGCRNKIKMECFNEEDAWSLFQANVAAECKCLPLALVTVGRAMSNKRTPEEWSNALDTLKASLPSGTPGLDKSTHTLLKFCYDNLESDMVRECFMTCALWPEDHNIFKEELVQSWIGLGLLPYLGDIEEAHRFGLSVIAILKDARLLEPGHNHRYNMFPSDTHVRLHDVVRDAALLFAPGKWLVRAGAGLREPPREALWRGAQRVSLMHNTIEDAPAKVGSALADAQPASLMLQFNKALPKRMLQAIQHFTKLTYLDLEDTGIQDAFPMEICCLVNLKYLNLSKNKILSLPMELGNLGQLEYFYLRDNYYVQITIPPGLISRLGKLQVLELFTASIVSVADNYVAPVLDDLESSGARMVSLSIWLDTTRDVERLARLAPGVRARSLQLRKLEGARPIPLLSAEHAPELGGVQESLRELAVYSSDVEEIAADAHMPRLEIIKFGFLTKLSVMAWSHAAGSNLRDVGMGACHSLTHVTWVQHLPCLESLNLSGCNGLTKVLGGAEDGGSATEEVVVFPRLRVLALLGLPKLEAIRAEGECAFPELRRLQTRGCPRLKRIPMRPARGQQGTVRIECDKHWWNALQWAGEDAKACFVPVV >Sspon.06G0007460-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:24766764:24772327:1 gene:Sspon.06G0007460-2B transcript:Sspon.06G0007460-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSPAPRVPDRHSPPRPPPATLPHQSGVHTSSSFSPLQGPRELSGAVDLISRYKLLNHHSFFCKKPLPLAISDTNYLNNVVGDTEIRKGEGMELDQLFQNSYSSEKTAYIQPFDMEILGQAFQLRETAPVDLPSAEKGTPTISGKSKVKSKDKVKKHKKHKEKDRDKEKEQKKHKHRHKDRSKDKDKDKDKDKEKKKDKSGNHDSGGDHSKKHEKKRKQEVTASSANVQNHKKIIADGKNIQYYAATEETRYLY >Sspon.05G0006880-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:21543235:21548490:-1 gene:Sspon.05G0006880-1A transcript:Sspon.05G0006880-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABC transporter G family member 11 [Source:Projected from Arabidopsis thaliana (AT1G17840) UniProtKB/Swiss-Prot;Acc:Q8RXN0] RRAGGDLQGDGTTPRSAAAGQAMVELQANGSAAAAGGAMVVGLSPLSETLWRDSKALPPSAGPAALIGDVSARLTWKDLCVTVALGPGKTQTVLDELTGYAEPGSLTALMGPSGSGKSTLLDALAGRLAANAFLSGDVLLNGRKAKLSFGAAVYVTQDDNLIGTLTVRETIGYSALLRLPDKMPREDKRALVEGTIVEMGLQDCADTVIGNWHLRGVSGGEKRRVSIALELLMRPRLLFLDEPTSGLDRCSAFFVTQTLRGLARDGRTVIASIHQPSSEVFELFDMLFLLSGGKTVYFGQASQACEVFAQGGFPCPPLRNPSDHFLRCVNSDFDKVKATLKGSIKARVERSDDPLDRMTTSEAIRRLVASYSRSQYYYAAREKVNDISRMVGTVLDSGGSQASFLMQACTLTKRSFINMSRDFGYYWLRLLIYLLVTVCIGTIYLDVGTKYTSILVRASCSAFVFGFVTFMSIGGFPSFVEEMKVFQRERLNGHYGVAAFVISNTISATPFLILICFLSGTICYFMVRLHPGFEHYIFFVLNLYASVTVVESLMMAIASVIPNFLMGIIIGAGIQVIFMLVSGYFRLPYDIPKPFWRYPMQYISFHYWALQVQCQNDMKGLVFDNQYPDQPKIPGDFILKYIFQINVDRNKWIDLSVIFSMIFIYRILFFLMIKINEDALPWIRGHIARKRMQKKGPSPPFGKTPSLRGYVVDPELG >Sspon.02G0026560-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:94237837:94250770:1 gene:Sspon.02G0026560-1A transcript:Sspon.02G0026560-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LIQTNHSQTRISSAILRTSASYDVKSFHKLTFDQHEILEALIDKNIVGHGGSGTVYKIELSSGELVAVKSKHRRRTANSRDSSKSDVGGWLGDREFRTEVETLGSIRHKNIVKLYCCYSGADCNLLVYEYMPNGNLWEALHGCYLLLDWPTRHRVALGVAQGLAYLHHDLLFPIVHRDIKSSNILLDADFEPKVADFGIAKVLQARGRGGAERDASTTTIAGTYGYLAPEYAYSSKATTKCDVYSFGVVLMELATGRKPIEPEFGDTRDIVHWVSGKVAAGAGAEADALDKRLALEMVQALRIAVRCTCSMPALRPTMADVVHMLAEPGLQDRLEYLHKSCQPPLIHRDVKTKNIAKIADFGLMKAYADEFKTHVTTLPAGTLGYLDPEYYNTSQLSEKSDKLVLKRKRRKSGINMAKLVMLACCRDGIGELQHSGLKVRTDS >Sspon.02G0051730-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2C:82473574:82473873:1 gene:Sspon.02G0051730-1C transcript:Sspon.02G0051730-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYLLAPPNNGAAVLPTDDDGQGQLRPPTKLLGCLRTVGRPTSKTNPLLVVAFYSAADISHVDVKLVDMASGATVARLDRQGNGHFGVTGSLLCLVSTV >Sspon.05G0022170-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:2240519:2251716:1 gene:Sspon.05G0022170-1B transcript:Sspon.05G0022170-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAPTPGATPHVLVIPFPAQGHALPLLDFVALLAARGLRLTVVTTPANLQLLSPLLAAHPTAVRAATFPFPSHPSLPPGLENTKGCRPGHFPAFVHALAELRRPILAWVKAQPDPVVAVVADFFCGWAQPLAREIGAAGIVFSPSGVLGTAVPHSTFRRLVRRPAQCDDDDEFSVSFPAIPGEPSFQWRELLMMYRNYMAGALDEQVAASVRQNFLWNLNDSWGFVFNSFRALEGRYLEQPLEDLGFRRAWAVGPVAPEADAAGTRGGQASVGLADLSAWLDAFPEGSVVYVCFGSQAVLSPAVAAALAEALERSAVPFVWAVGGAVVPDGFEARAAAARRGVVVRGWAPQVALLRHPAVGWFLTHCGWNSTLEAVAAGVPMLAWPLVADQFFDARLLVDEARVAVRACRGGLGFVPDAGELASVLADATGEKGRDVRARAKALAAEAARAVKPGGSSSVGRHEAPAAAAAVAVPEPRGGAGRRAPEPAKPSLPATWLLLHALFCATSMAVGFRFSRLIVYLLFLPTPAINPAAHLVSLMSPPAVVLAGANATAMATITTTTTTTTTVTTTTTVAAEIGAHPQQQPHHHHHGPVFVGRHPIRVRAWPHPDPSELLKAHRILAAVQNAQRSTKRRGAGPARPVIAVTPTTTSALQVPSLTSLAHTLRLVDAQLVWIVVEPGHRTDAVAAVLSRSNLDFLHITGPGESTASLRMHALREIRTKRMDGIVVFADENSILRTELFDEAQKVTTVGAVPVGILGEDDGTSESFLQAPSCDAEGNLVGYRVSEETVLPANRSDMLMSSRLEWAGFVVNARTLWEDTKERPVWVRDLSAIDDADTRAASPLALVTDAGRVEPLASCAQAALAWSLRSDSLHEVKFPH >Sspon.08G0024720-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:2097098:2098804:1 gene:Sspon.08G0024720-1P transcript:Sspon.08G0024720-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEGRDNGDRGSWRSRYRDHTTRGSGRSTSSSGGYTSAGTVVSTGYTSNSSRRLSSFSSLKAAIHLDLELDEDRWLSQPTKKSRKEIDTSKETQKKEKMYHHQEVDIAQKEMEEIRILVQEFFLGAPSPSPSPSVDDCSSLEAKGGDTSVLDWWLKHLRVGWVLDITDDYASSGKLFEHTFDDARSWILALAKISQAVRFMAPSLCRNHNSVGLRSICAEEEQQPEIETGNKDSIPDLFQFAQFILETLLKLLVFVDVIAAPNSKIALQEQEVTIMNRRVLQLYHKLRTLLRVHSALSDDALSAIEWLFGSRPPRGVERIHEKIVNILSGKVAKAAEGIWSTMEQIRMESIDDRNDSSCSLDTQGSSDVHQATQFVVEYIRFLCSHYESVAAIVSNKAASLGNMIRETASGLHETLVNISESFRNHGLRFLFLLNNSYFIRQELSYGTYYFSPQQNLAALFGKVEGYMESYLQVSWAPVLSCLLNPTPLCFGRKYSLLPKFESEFQKTYTTQKLWKVPDPELRKTLRKAIIEKIVPGYTNYIEDNKITTPKFSPQELKEMLEELFEG >Sspon.05G0011820-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:36181767:36191845:-1 gene:Sspon.05G0011820-3D transcript:Sspon.05G0011820-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRIFVQRGTAGSSSSSGRSGSQPAQQQAAAAREEELPLQPQLQLPELLAIDDTTEHLNEGSENSSSSKKPLRTDDTVSESSSSAEERAAREKPPKDESNVINPTFLVEELIGLQIPDQIEHGDSVPSGTSSSQMAGAASHPPPPPAPPPKPLLGNNGLRRMGPGSSNSVRIGSSRRPVAWPPVAARTSASGSRPSSPRSLIDGEGYNSADEQGPCYPSSYDDLERERMFEHDLRRVKGLEIRKMAEDGNCLFRAVADQVYGDAEAYDMARQMCVDYMERERDHFSQFITESFTLYCKRKRRDKVYGNNVEIQAFAEMYNRPIHIYSYGAEPINIFQGSYNTDVPPIRLSYHHGNHYNSVVDPRRQTVGAGLGFSSLRGTNNVDRDQVKAAIKAQQDQQIENALLAEGRFYSDLELTEREIERMVMEASRSEYLAKEKKLNIRESSTSGAEPSSSAAISGSSRSVAGADRGSEDYFVLPDTVLTRSMQLLLAMGFSYIQVMEAYSIFGEDVDSMVCYLVETGGTGASAGGSNRRKGKAAE >Sspon.01G0058820-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:35707875:35709827:-1 gene:Sspon.01G0058820-1D transcript:Sspon.01G0058820-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLWRQSGSKWTPLLYGEVFLGYEILHDRVSLDDELHAGGVASLATSSSMVAGLLHRQAQPDLHTTVGYVVVEHLVATSMWHSGGARVLPRLVAEVEVLKLGEDYTWRDSAGGRD >Sspon.08G0010320-2D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8D:43518185:43518556:1 gene:Sspon.08G0010320-2D transcript:Sspon.08G0010320-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLCPLLSFTATAARTCGLQMPSSWPAMAAGSRRPFARGRSRDRNNNEQGRPAWGGPAEAGGGRTGAGCTQSRRGHARLRADRAPRNDGIRRVTTRAHTAGSAAIMRLARPACRLPCPATGD >Sspon.07G0012060-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:40809296:40814598:1 gene:Sspon.07G0012060-2D transcript:Sspon.07G0012060-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGYTGLSAEWAQRRKPKHNHESSPVSTLMWLRRIGPLHCTDDTLSHDQSFILSPPHLLSEWPDHDELGKIKRESAAERMTAAARRSCSSPVGAGAGAVAGAGPGSALNTITPCAACKLLRRRCAQECPFSPYFSPLEPHKFAAVHKVFGASNVSKMLLEVHESQRADAANSLVYEANLRLRDPVYGCMGAILTLQQQVQALEAELATVRAEIVRHRCRPAAAAVATVLPSSHASQLLAASAASRGLHAGSRSSVGARAATLAAAAAVGPAASSSSSSAVYAAAASSSTDYSSITNENAPYF >Sspon.01G0008250-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:22415018:22418062:1 gene:Sspon.01G0008250-2D transcript:Sspon.01G0008250-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-conjugating enzyme E2 32 [Source:Projected from Arabidopsis thaliana (AT3G17000) UniProtKB/Swiss-Prot;Acc:Q9LSP7] MAASAKYNRSNPAVKRILQEVKEMQSNPSPDFMALPLEEDIFEWQFAILGPRDSEFEGGIYHGRIQLPSDYPFKPPSFMLLTPSGRFEIQKKICLSISNYHPEHWQPSWSVRTALVALIAFMPTNPGGALGSLDYKKEDRRALAIKSREAPPKFGSPERQKLIDEIHEQMLSKAPPVPQAIPNVPNEESNQLPAPDSSEHADKVDEGDNTSGSMSGSLSGHPVPESESPVAENTGETSAVEVANHHVPEASRRENIPRVPSSLQNPAVAIQKPKHDRLLTLAAFGLTLAIMALVIKKFLKINGMGGFIEGKF >Sspon.07G0019670-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:73830122:73832678:1 gene:Sspon.07G0019670-2C transcript:Sspon.07G0019670-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRASGLARIVLPHALNNYASGPTLIPTQFPDEIRKYASLQIRSLAQCPASFSHILIAQHRAATIGAEGDEEGDGSEHSNHHHLLHGVRAPDNLRTGFCLFEPFWLIDIANVAIVVHLVGAYHVFCQPIYAFVESQVAAVWPESAFISKELRVGPFAPSALRLVWRSAFVCLATVVAMALPFLGSVVGLIGAFTFWPLTVYFPVEVYIKQLSLSWAIAQLGRVVLERTRLLQENQCTTTIFYMLCGCMAYAAFGDKAPDNLLTGFCLFEPFWLVDIANVAIVVHLVGVYQVFWQPIYAFVESRAAAAWPESVFISKELRVGPFAPNALRLVWRSAFVCLATVVAMALPFFGSVVGLHVEVYIKQLGWVGHLLERTRVLQDDSDADGQRGSATCGRRMNRCSLDMARPLLALSRMGAGPYGTFDGVSDMEKFVAPPLLA >Sspon.02G0003970-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2C:14017343:14017792:1 gene:Sspon.02G0003970-2C transcript:Sspon.02G0003970-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding PKPEEQPPLPKPELPVPPQPLPKPELPVPPQPLPKPELPVPPEPLPKPELPVPPEPLPKPELPVPPQPLPKPELPVAPEPLPKPELPVPPEPLPKPELPVPPQPLPKPELPVPQQPLPKPELPPPVLAGELPPKPEIPPLLPKPELPKP >Sspon.03G0001660-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:4070526:4075737:1 gene:Sspon.03G0001660-1A transcript:Sspon.03G0001660-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFTCSQVGATAAGGASPFLGYRRRSGATPSSSLFVGRRLATAVRMRAPSRGAARGGSALRVTCEKVVGIDLGTTNSAVAAMEGGKPTVVTNAEGARTTPSVVAYTKTGERLVGQIAKRQAVVNPENTFFSVKRFIGRKMSEVDDEAKQVSYGVVKDENGNVKLDCPAIGKQFAAEEISAQVLRKLVDDASKFLNEKITKAVVTVPAYFNDSQRTATKDAGRIAGLEVLRIINEPTAASLAYGFEKKNNETILVFDLGGGTFDVSVLEVGDGVFEVLSTSGDTHLGGDDFDKRIVDWLASNFKKDEGIDLLKDKQALQRLTEAAEKAKMELSTLTQANISLPFITATADGPKHIEATLSRAKFEELCSDLIDRLKTPVNNALRDAKLSVGDLDEVILVGGSTRIPAVQELVRKLTDKDPNVTVNPDEVVSLGAAVQGGVLAGDVKDVVLLDVTPLSLGLETLGGVMTKIIPRNTTLPTSKSEVFSTAADGQTSVEINVLQGEREFVRDNKSLGSFRLDGIPPAPRGVPQIEVKFDIDANGILSVAAIDKGTGKKQDITITGASTLPKDEVERMVEEADKFAKEDKEKRDAIDTKNQADSVVYQTEKQLKELGDKVPAPVKEKVDVKLQELKDTISGGSTQSMKDAMAALNQEVMQIGQAMYNQPGASATGPTPGAEAGPTPGTGSAQNDGDVIDADFTDSN >Sspon.08G0000740-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:1547361:1548307:1 gene:Sspon.08G0000740-1P transcript:Sspon.08G0000740-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLSKCCTISFALAKLPFMVPNLEALFLYSYYEVPNLPMVSKTFLHLKHLSIKLCEGAFSPDYDCFSAHEPFVGEPSPQRQIMGTHHSNLKSVKITGFCSAKSLVELTCYILENATSLDCLTLDTTWGCFPRCSDHEFSKCHPLTKNIIRDSQNALLVIRAWIEGKVPPSVKFNVLGLCSKCHNA >Sspon.02G0055430-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2D:5974405:5976390:1 gene:Sspon.02G0055430-1D transcript:Sspon.02G0055430-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMYLGSIHERVLQVTENDFAIIDPDHLTDEDRANRTCNRMALNTLYNGIDTKVFEGIKDLDLANEVWTRLSETYEGTKVVKSAKLYGLKSEFENFKKKDDETIIEMFHRLQVIVNDLKSLGHKTQDQDFCHKFLLSLPRRFKMLIMMLQRDGLEAMKPNDLLGEVLTYDKYDQDVDDKEKGKAIIIEEEEDNNEDGEFEIDDEALALVVKKMGHMFIKRRGFKKRNDNFKRNEQQRKCFNCDSTEHLQAECPYDKKKNKKDKFEKKKREAKMTFKKGKNGAYVITWESEDNEEEEESSNKAFASIAINKKPSLFDSSSSCFMAKEAKVLYDESDDESEMEYAHDSDNDNDNENDDDEPTKEQLYDLMQQTRDIAIAKDKECKKLSMKVAILEKVLSELKTTHESLVEDHEDLDKAHSKLEKAHSLLLEQQAKKEVVVSCDIGVTCDIIDESFNEPIIMSNANPSCSSSSTTTNSTSTTSDGFTCDASLMVENETLKRE >Sspon.08G0022240-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:53160967:53167723:-1 gene:Sspon.08G0022240-2C transcript:Sspon.08G0022240-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNTLGVGVGVGLGLGLAVGASALIQAAGPKIGDEVVASQHKCNTEDIDEYSSERLHDSISYAKNNAMLIQVSVLGTTKTFWRLSDEATRISRKLALILRNQHSVCKYLTAPLQVSNVWIGSTGNVKLRGVSFTGEGFFSIERVRDDYKHLSRVLELLIMISGGDINKLPPDYKDFLLLLRRNNLTWKDEFLIVNNAALLPMKNRTEVFLMLHDRIVNYLGQKNRAKRKKILSNLPYKNDWLDTATSNAKINQWVVNVQNEYKRTTIDLLRLNRNVRSHLHHYNHDDDIEEIMYCEWPMLLIVMENMLHLEGGTDRSRPNRMRPKAFPFFSNLDPTTGGGLSSPRKAQGTTPVAGRMQAKLGSWSTSAAL >Sspon.04G0012750-3P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4B:52389227:52389702:1 gene:Sspon.04G0012750-3P transcript:Sspon.04G0012750-3P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GSIRSSFGSPASAHSRLDSGWRRRRQRTAADRVADGGARDVLRGSRCLRDHGRCVRVREPVLAGLRLAHGGTEHGALQRRRILRPVLQDCVRPQDGPDAVQARRHGHGHRHQLLPAQLGAARRWVVQSVAPALRHGAAGLGEDWQGRQNRHHPRHLPE >Sspon.01G0037520-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:22410406:22411111:-1 gene:Sspon.01G0037520-1B transcript:Sspon.01G0037520-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SPPDIPVVQIPEDLVVNVSRALCNDINRALHLFREIAMGHDLKKFLFDKVDHFAGRAHTEALKQYEVLDAKVLSKIPRGPVKSKKQN >Sspon.06G0005080-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:16046063:16050636:1 gene:Sspon.06G0005080-2C transcript:Sspon.06G0005080-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVDLSFGAVAAIWVGEVAREMPLVLQVLLVRMCSCPKRPEPGWCHAALSDGAHLIAGHLRLDETTSASVRAAPRGYCAVVRLDFETRTNIDGGRSIDSEHVEVLRTSLKIGDPKRYQPGYLVKKHEKLDAQLVTSSSKQNFRAHPIGQGLKQLLKRGVVAMLQHPVMQVVGVSLLRPEPKTLVKYHLILSDGVHTQNATLHPRLDHLVKETHPRKGTIVRLLDFVCCNVRYRSIITVWQLKVLPIECELIGSPKACELCCIEKVYGLKSESVEPYSGSVSNYAQPNNGPYFTCQGLNWYLTQGAVAAIMEGEMAVERQPVLQVVDFSLVCHNGFSFYRTLLSDGIHQVYINLFPHLSHLVEGSCLRKGTRVRLLRFIRDTVDQDQNCRIAIAVELEVLQTECELIGNPTFYELGNKQSHSNLESLLPISGSFCKDQGGMEMQASLTWGAVAAIWEDVAAVVQPVLQVFDLLVWAEMDEP >Sspon.02G0054940-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2C:122615035:122615298:-1 gene:Sspon.02G0054940-1C transcript:Sspon.02G0054940-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSTLLAAPALRKYPSPTRGSGSNGRLIQRHSRASDGREAPRSPISRSSPPTSCASLASAPAASFRPAAAKPLPTPPPVLLRCVLKP >Sspon.07G0005480-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:14034223:14039563:-1 gene:Sspon.07G0005480-1A transcript:Sspon.07G0005480-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPRRISASPRPCSGRRVVAARKRPRQEAVVSSVRKLQRREISSRRDRSFALSAAQERFRNIQLQEEFDTHDPKENTLLLPYLRKRSKIIEIVAARDIVFALSQSGVCAAFSRETNQRICFLNGSPDEVIRSLFYNKNNDSLITVSVYGSENFSALRCRTTRIEYIRRGQPDAGFPLFESESLKWPGFVEFDDVNGKVLTYSAQDSTYKVFDLKNYTLLYLVSDKNVQEIKISPGIMLLIYTRTSSSVPLKILSIEDGTVLKSFSHLLHRNKKVDFIEQFNEKLLVKQEGENLQILDVRNFQLTEVSRTEFMTPSAFIFLYELQLFLTFRNRSVAVWNFRGELVTSFEDHLLWHTDCNTNNIYITSDQDLIISYCKADSTDSSSEENAGSINISSILTGKCLAKINPGNSCKQKKAWRFQNTVSEALEDITALYYDEDRDEIYTGNRHGLVHVWSN >Sspon.03G0017140-2P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7B:64518031:64521241:1 gene:Sspon.03G0017140-2P transcript:Sspon.03G0017140-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRADLRCRLKKKKKAQQGSGRRNLSGALHLRPGVVKNEQGSSHFPRRVRRVRKLAEPTRIRLGSWNVGSLTGKLRELVDAAIRRRANILCVQETKWKGQKAKEVEDTGFKLWYTGTTSGRNGVGILIDRSLKDGVVVVRRQGDRIILVKLVVGDSALNVISAYAPQVGLSEGTKRQFWEDLDSMVSTVPISEKLFIGGDLNGHVGATNIGFERVHGGFGYGSRNQEGEDVLNFALAYDLLIANTLFRKRESHLLTFRSGQHSSQIDFILARREDRRACFECKVLPGECVVPQHKLVVADFRFRVRVHRDKRAKIARTKWWKLRGEAAQTFKERMPDEGPWEGEDADDMWLKMATCVRKVASEVFGVSRGGKREAKDTWWWNDEVQRAIREKECFKRLHHDKSAANIEGYKIAKRVAKRAVSVAKGQAYDGLYQRLGTKEGEGIYRMARIRERKTRDINQIKCIKDGTDRLLVKDEEIKDRWREYFDKLFNGENEGPTLELDDSFDDTNRRFVRRIQEAEIGEALKRMKGGKAMDPDGIPIKVWRYLGARAIVWLTKLFNLIFRSNKMPEEWRRSILVPIFKNKGDVQSCTNYRGIKLISHTMKLWERVIEHRLRRVTHVTQNQFGFMPGRSTMEAIFLLRQLMERYREQKDLHMVFIDLEKAYDKVPRNVMWWALEKHKVPTKYVTLIKDMYRDVVTFVRTCDGDTSDFPIKIRLHQGSALSPYLFALVMDEVTRDIQGDIPWCMLFADDVVLVDDSRAGVNRKLELWRHTLESNGFRLSRTKTEYMRCDFSATRHEEGDVSLDGQVVAKKDTFRYLGSMLQKDGDIDEDVRHRISAGWLKWRQASGVLCDKRVPQKLKGKFYRTAIRPAMLYGAECWPTKRRHVQQLSVAEMRMLRWCCGHTRRDRVRNDDIRDRVGVAPIEEKLIQHRLRWFGHVQRRPPEAPVRSGVLKRADNVKSGRGRPKLTWDESVKRYLKEWNISKDLAMDRSAWRLAINVPEP >Sspon.04G0012540-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:45022637:45022978:-1 gene:Sspon.04G0012540-2C transcript:Sspon.04G0012540-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHQRSNSMEIEPTIWQSARLQATGTDELGQSCRVYCPPATGRVPFEWEDEPGKPKGSARLDVVAPLCPSPAMQSARLTDRHSPRRKSKQSAGTVAGGFEGCIPLRFHLGRAMK >Sspon.08G0008430-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8A:31274747:31275231:-1 gene:Sspon.08G0008430-1A transcript:Sspon.08G0008430-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVPPPSLPPSFPFGNPKQELLSPPPPAAASPAFRHRWTISAQFASQTTPHHLPLPGAQTNAATCRKVEPDLGVPPPLSAMAAIDRQAPYLANATSWGSGLPGFDLPASTVRSCPPFSPSSLLHSPNSSIFYPHVFP >Sspon.04G0003200-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:10717604:10722257:-1 gene:Sspon.04G0003200-3C transcript:Sspon.04G0003200-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding APPGPFRPPAGRLSGGSSFGGRGRGDALFEDGAPSVRRGPAGGGPACGVVAAARKRGVLPRTGEERSPAAEATARGQVPAPLALKGRQHLLPRQRLRLVCSVINGASIMQDRDLGIYKPAESTTSRHLPCSHELCQSGSGCTNPKQPCPYNIDYFSENTTSSGLLIEDTLHLNSREGHVPVNASVIIGQTYAVNVDKSCIGHKCLEGASFQALVDSGTSFTSLPPDVYKAFTMEFDKQMNASRVPYEDSTWKYCYSASPLEMPDVPTVTLAFAANKSFQAVNPILPFNDKQGALAGFCLAVLPSTEPIGIIARKCPNESMRFVLLRKHEAGLVPL >Sspon.01G0007150-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1A:19390247:19391619:-1 gene:Sspon.01G0007150-1A transcript:Sspon.01G0007150-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMASVCSETDNRKSKLHCNADAAARVPPASSVIGLVSLPCSLASYHLRASGLARAQVGK >Sspon.03G0025620-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:313997:318316:-1 gene:Sspon.03G0025620-1B transcript:Sspon.03G0025620-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPALSTHHLQLRRRGAAFALALLAASLVLTLSRYAAGPAASPPASPSYGHRLPALVDITLVYGATDKGAGFGDGSHNWLLHLEGGSWCHNFESCARRKKTNLGSSAHMDTRAEFVGILSDDQSQNPDFYNWNKVKIRYCDGASFSGHVQDEVKKNGTGFFFRGQRIWEAVMAELLSKGLARAKQAFLTGCSAGGLSTYIHCDDFRALLPNTPTVKCLTDGGFFLDVYAKMCVKGSLIAAQIWNRGRLSPDLLKLSFPFFKVEHVLSPEGSDPQNLWQNCRTDITKCSSKQLDVLQGCNGILCGSSVYLSHLSLHLATHYTEDKKFSGFRKALLDAINEFKKRRDWGMFIDSCFIHCQSMNALTWHSPSAARINNKTAAEAVGDWFFDRREVKEIDCEYPCNPTCYNVVLDQPYKQD >Sspon.05G0013780-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:48380990:48384699:1 gene:Sspon.05G0013780-4D transcript:Sspon.05G0013780-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQLGLAAAASKALPLLPNRQRSPAGTKFPSSALSRPSNRRKSRTRSLRDGGDGVSDAKKHSQSVRQGLAGIIDLPSEAALEVDISHGSEDPRGPTDSYQMNGIINETHNGRHASVSKVVEFCAALGGKTPIHSILVANNGMAAAKFMRSVRTWANDTFGSEKAIQLIAMATPEDMRINAEHIRIADQFVEVPGGTNNNNYANVQLIVEIAERVGVSAVWPGWGHASENPELPDALTAKGIIFLGPPASSMNALGDKVGSALIAQAAGVPTLAWSGSHVEVPLECCLDAIPEEMYRKACVTTTEEAVASCQVVGYPAMIKASWEVV >Sspon.02G0006740-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:20316627:20330339:-1 gene:Sspon.02G0006740-1A transcript:Sspon.02G0006740-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGAATLRSRAAVAAAACLAVLAAAALLHRRHRRNRAPSSSRRLEVGGRPRRACEEEEKPQARFKRVLADNSYSPFKHLRRQSAQLGSAEGEAPLPPPQGARTPGILLLLVGISALPSYSYESSQKVHPFEEEITSLLKNPPGFHIFMLGDRCPEMSATYNWVDTEAQLEHLARLLDTIALHDVMGILRPVFANSSICKIFHGADNDVLWLQRDFHIYVVNMFDTAKACEILLKPQKSLAYLLEVYCEVTTDKTMQREDWRLRPLTPEMIEYARTDAHYLLYIANCLASELHAKTFDTSSDKINFFFEASHRSNMVCMQLYAKEIESPPGASSATSILSRNLQTHGFDSKKYSEVKDLVWKFCAWRDLMARMHDESLRYVLSDQAIAALAVSLPKGPTEVFAVIAETDRSISNCHEIAHSAAEKYKRRIAEEFGIPLFVQKIMNSGDISLITNTSVSEDKLNGTGVSPLQLRTAAMALLRHGSTMPLKRCGELMQIVKSYYGGRDVTPENLEMALLVGMSPHERRRLEKKKGYSFRAQAQNIIRKSSSNTISEDSGHGSENCHALSERFSEDGTESNGQPEFDETEERNQLENLTLSQGSSSLPVGMEDTTFDHDTATLETDTTQQARGACTPGNSHLDREYPSVTILAWSFPRMLRRRFPCWVMGITVNKLWNFCFPMAGRKLLTSFAKDGGRSLLRLFTPVIYLLAGILTTAAMSCSHLSTAWSSSALASSASTTQRRSAPRSGLVVRCSLRELRTRIDSVKNTQKITEAMKLVAAAKVRRAQEAVVSSRPFSEALVEVLYNMNQEIQTEDIDLPLTRTRPVKKVALVVLTGERGLCGSFNNNVLKKAETRIEEFKQLGLQYSVISVGKKGNAYFQRRPYIPLERDLEVNGVPTVKDSQAICDLVYSLFVSEEVDKVELLYSKFVSLVRSDPIIQTLLPMSPKGEICDINGVCVDATEDELFRLTTKEGKLTVEREKVKIETQPFSPVVQFEQDPVQILDALLPLYLNSQILRALQESLASELAARMSAMSSATDNAIELAKTLSISYNRQRQAKIT >Sspon.06G0011680-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:51200452:51203155:1 gene:Sspon.06G0011680-2B transcript:Sspon.06G0011680-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSSPVALVSQVQQRKPRPYTPSPWGDFFLHHIPCTPSQLFPMKEKAQIKKEEVRQIILETLASSNLVEKMELVDRLQRLGVDYHYKKEINDFLCYVYNDKDGVSSNLYITSLRFYLLRKHGYGVSSDVFEKFRDEQGNISSDATSCLLMLYDAAHLRTHGEEILDNIITFNKSHLQSLLLENLEPELREEVQCTLETPRLRRVNRVEARRYISVYEKKATRDATILEFAKLDYNILQAIYCDELKELTVWWKDFQSQTDLRFARDRMVELHFWMLGVVYEPYYSYSRIMMTKFIVFASLLDDLYDNYSTTMESTTFTAAMQRMNKIHASPKFTFARITNARWDEQTTEQLPAYLKALFINILNTTNKIVEELKLTENKHADLIKRLVIDTAKFYHAEVEWRDKHYIPTSVEEHLQVSTRSSVCMQITNLALISLGEVTTREDVDWALTFPKIIKGACIVGRVGNDIVSHEVYQISPHINKLLINVISSFLNVFVSNGNVYILTICTFHHPLKREQTSEHVASTVQTCMKEYGVTVEEANEKLRIIIEEAWMDIVEDCLEQKRPMVLLETAVNVARTMDFMYKREDAFTLSFSLKDVIASMYVNSV >Sspon.08G0009680-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:36500061:36501494:1 gene:Sspon.08G0009680-2B transcript:Sspon.08G0009680-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPQATKSLGELDSGARPHVMFIPSAGMGHLLPFFRVIAALAGHDVDISVVTVLPTVSAAEANHFSSLFAALPRIRRVDFHLLPFDASEFPGHDPFLLRWEALRRSAHLFRPLIVGAAPRVSAVVTDVTLTSHVIPIAKELGVKCHVLFVSCATMLSLVAYTPVHLDKKVEQGPGVGDVDIPGMHRIPQSYLPQPLLDLNKLFTKQFIDNGREINNADGFLVNTFDALEPVALAALRDGKVVPGFPPVYAIGPLRSQHNSTEVDKEEATGSPVAWLDEQPARSVVYVAFGNRNAVSLDQIREIAAGLEASGCRFLWVLKTTTVDRDDSAELTDVLGKGFLERVQGRGLMTKAWVDQEALLKHPSVGLFLSHSGWNSVTEAAASGVPLLAWPRGGDHRVNATVVVSGGVGVWMEHWSWDGEDWLVTGEEIGKKVKEVMSDAAVRARATRTGEEAAKAVAEGGTSYRSMQQFISRLKAT >Sspon.01G0051950-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:18967346:18967741:-1 gene:Sspon.01G0051950-1C transcript:Sspon.01G0051950-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MELDGGERHRDKERRERHRREEKDYNGSGRRDREKEKDDRRREKDDERDRDRDRGKDRERELDRQRDKDRGKDRDKEAERDKERERRDRDKERSRNRDKDKG >Sspon.06G0003540-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:8679481:8683211:1 gene:Sspon.06G0003540-2B transcript:Sspon.06G0003540-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQDDNPESSATGSSTSSAPAARLNAAAPEFTPRSAAQHHNHGNNPHRRGSHHHHYHHHQSNQHYQPRHQHHQAEDEGSAAATAEDREGSAGAGQAQHRLPEPEARKLVKQVEFYFSDINLATTEHLMKFITKDPDGFVPISVVASFRKIREIVYDRSLLVAALRTSSELVVSEDGKHVKRSQPFNAEEVQSRIVVAENLPEDQKHQTLMKIFSAVGSVKSIRTCYPQDVTAAAANKTSRIEMIFANKLHAFVEYSTVEDAEKAVAEFGGGGNWRDGIRVRSLLGCLKHGLGQGRKGGDEEYAADEDGPDTTDHQQDYGTDVAAQNSEAHFDHQAEDGTHDKGGMRQQGRGRGRGGRGRGRGQYYGHNSRDAHHPVGTPPSEHPVVPKPPPGPRMPDGTRGFTMGRGKPLNPTTDAAANPTTDAA >Sspon.06G0013310-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:69157689:69162734:-1 gene:Sspon.06G0013310-1A transcript:Sspon.06G0013310-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRTLVQPVGQKRLTNVAVVRLRKHGQRFEIACFPNKVLSWRSHVEKDIDEVLQSHTVYSNVSKGVLAKSKDLTKAFGTDDLTQICIEILEKGELQVSGKEREAQLSSQFRDIATIVMEKTINPETRRPYTITMIERLMHEIHFAVDPNLTSKEQALKVIKKLTEHFPIKRAPLRVRFTAPKPNFPGLTEKIGEWNATVISKDELSTQPSIVCEIEPSLLHSCEERLKDVQGRVEVLSVSAHAESGPSVEQHDSVEVPQAAPVKEHDAVAQISETMQKQSISTESQGSAQGKQQRRCKECDVLVEDKLYREHCKSGWHKHNYTRHKNGLPPLSQEECMVEMELADSKKDLKDYDF >Sspon.08G0001990-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:6032410:6034738:1 gene:Sspon.08G0001990-1A transcript:Sspon.08G0001990-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPPPQQPLPPAPVAAAGAHTVFVYGSLMADEVVRTILRRVPPAAPALLPNYKRVAGRVITGVTDEELQLLDAFEDVEYTRTRVEISLTDSSEKMLADAYVWSDAEDPNLYGEWDFEEWKKLHMKDFLAMTNGFMHELEQPESKTRVETYESFMQQQEQPAPGTQVEG >Sspon.05G0021190-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:89251755:89259515:-1 gene:Sspon.05G0021190-1A transcript:Sspon.05G0021190-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDISECSPVPESVPAHPDPASVSPDAWRRFETAALAVVNKIQPTAASEQLRAAVIAYVQRLFWFQARYQVFPFGSVPLKTYLPDGDIDLTLFGPAISDENLANEVCAILKTEERRKDSEFEVKDVQYVPAEVKLVKCLVQNIVVDISVNQIGGLCTLCFLEKVDQNFGKNHLFKRSIMLVKDWCYYESRILGAHHGLISTYALETLVLYIFHIFHKSLDGPLAVLYRFLDYYSKFDWDNKGISLFGPVSLSSLPELVTDPPDTQDDDFLQREEFLKECTESFSVLPRNSETNPRVFSRRFLNIVDPLKQSNNLGRSVSKGNFYRICSAFDFGARKLGKILQVPSCLTVSEVNQFFRNTLKRNRTGLRPDVWVSSSDLVTNDPVSSVLDVERVNKVTPNNSCDVLSNQLSNINISDSNNHGPVKQKECNSMADHKEIKSVSWGLLDSDATSQTATDSVSVRGGGEFSEASPTPSETCTLQSETGKKVDVRNDTIPSYHGVSAKQFTGRSHHYIDDAKHNAYSYSTGLIDGLGTSNSVLTSDTQPGGTTNDTVPNLTGDFDTNLHNLLYARGFHQDSPTTQLYYPMPMPPPLQYQNMHPSNGHGRKNPYGYAGRNGVVPGPVYPPGYFVYRPLYQADDHMAMRARGTGTYFPDPNLRKERPPTGRGERGRNHSHQNNYQKFHHHARPDMPVDMIPFDELRHEPPLQIYAPSANDHGIPSPMNIPIPSPSSQSPRDPLNVPMHSPSSQVRKDNFHGNGFMLSQDSKVEFGTLGALPREVASKEHASRSGSASNNQGSGPVTVNPMSVVKNTGMGPNGMRNVQVQPYHLKDSGDFPPLSS >Sspon.03G0018170-3T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:47879926:47882448:-1 gene:Sspon.03G0018170-3T transcript:Sspon.03G0018170-3T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGDGHPYAPADLHLPGFVPLQLSQGQILAPYLGTSVFVVLAVWLVSGRCRGISKTDRLLMCWWAFTGLTHIVIEGTFVFNPGFFRKENPNYFDEVWKEYSKGDSRYVARDPATVTVEGITAVLEGPASLLAVYAIASHKSYSHILQFTVCLGQLYGCLVYFITAYLDGFNFWISPFYFWAYFILANSFWVWIPTLIAMRSWKTICAAFRTEKAKKTK >Sspon.04G0010440-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:30975154:30979320:-1 gene:Sspon.04G0010440-3C transcript:Sspon.04G0010440-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MELAVGGGMRRSASHTSLSESDDFELTRLLSKPRINVERQRSFDDRSLSDVSHSGGYGRGGFDGMYSPGGGLRSLVGTPASSALHSFEPHPIVGDAWEALRRSLVFFRGQPLGTVAAVDHASEEVLNYDQVFVRDFVPSALAFLMNGEPDIVKNFLLKTLLLQGWEKKIDRFKLGEGAMPASFKVMHDAKKGVETLHADFGESAIGRVAPVDSGFWWIILLRAYTKTTGDLTLAETPECQKGMRLILSLCLSEGFDTFPTLLCADGCCMIDRRMGVYGYPIEIQALFFMALRCALQMLKHDNEGKEFVEKIATRLHALSYHMRSYFWLDFQQLNDIYRYKTEEYSHTAVNKFNVIPDSIPDWLFDFMPCQGGFFIGNVSPARMDFRWFALGNMIAILSSLATPEQSVAIMDLIEERWEELIGEMPLKICYPAIENHEWRIVTGCDPKNTRWSYHNGGSWPVLLWLLTAACIKTGRPQIARRAIDLAERRLLKDGWPEYYDGKLGRYVGKQARKFQTWSIAGYLVAKMMLEDPSHLGMISLEEDKAMLKPILKRSASWTN >Sspon.02G0049560-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:40801198:40805435:-1 gene:Sspon.02G0049560-1C transcript:Sspon.02G0049560-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ILGRFNRARAARLTLPHFTCQTPLFMPVGTQGTIKGLTTDQLEDIGCQIILGNTYHLELRPGSQLIDDLGGLHKFMNWKRALLTDSGGFQMVSLLHLADITEEGVTFQSPVDGKPMLLTPEESIHIQNNIGADIIMALDDVVKTTITGPRIEEAMYRTLRWIDRCIAAHKKPDVQNLFGIVQGGLDPVLRDICVRGLVERNLPGYAIGGLAGGEDKDSFWRVVAQCTAGLPENKPRYVMGVGYPLDIVVCSALGADMYDCVYPTRTARFGTALVPEGVLKLKHNAMATDERPIDPTCSCMVCKKYTRAYLHCLVTKDAMGSQLLSYHNLSFMMRLSRDLHMSILEGRFPEFVRGFLRVQFPKGDVPQWVRNAMEVAGIDISECCASTKCLTPSVQGPPLTVPVDANGVVPN >Sspon.05G0023980-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:11509815:11513245:1 gene:Sspon.05G0023980-1B transcript:Sspon.05G0023980-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha-L-fucosidase 1 [Source:Projected from Arabidopsis thaliana (AT2G28100) UniProtKB/Swiss-Prot;Acc:Q8GW72] MALFLHFGPNTFTDSEWGTGRADPSVFAPSALDAGQWARVAAQGGFGRVVLTAKHHDGFCLWPSALTDYSVAASPWRGGAGDVVAELAAAARAEGIGLGLYLSPWDRHEPVYGDTIAYNEHYLGQMTELLTRYGDVEEVWLDGAKGDAKKMNYMFDAWFSLIHQLQQRVVIFSDAGPDTRWVGDEAGVAGYTCWSSFNKSSVTIGHTIAEYSRSGDPFGQDWVPAECDVSIRPGWFWHASEKPKNATTLLDIYYKSVGRNCLLILNVPPNSSGLIANEDIQVLQEFTEIRRAIFSQNFAANATVTANSVRGGQDNLQFAPSKVLEDGIYSYWAPQEGQTCWEMLFDLGQSTSFNMLQLQEPIQLGQRVIEFHVAILIDELWQTIVEGTTIGYKRLLLFPVIESRYLKLTIDSARADPLISFFDLTLHSKA >Sspon.01G0051090-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:11689088:11694320:1 gene:Sspon.01G0051090-1C transcript:Sspon.01G0051090-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVVVTGATGFMGGRLCAALADAGHDVRAFALPGVDVSGLPPAVEVAYGDVTDEESLVAAFSGCDAVFHAAAAVEAWLPDPSAFHTVNVGGLENVLKAAERTPELKKIVYTSSYFAIGPTDGCVANEKQIHQGESFCTEYEKSKFLADRIALQAAAEGVPITIVYPGIMYGPGTLTSGNLVCRVLYECYLPSIP >Sspon.07G0034900-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:82864458:82869631:-1 gene:Sspon.07G0034900-1C transcript:Sspon.07G0034900-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGSRSLGPAPANDKGGLGRRRVRHAAAPLFGGTSAAQVATAAASTALPLHGAAATATATTLAGANVAAATATTLAGANVAAATAAATVPAPTPMPTPMLPPVPPPTPAPTLPPPLPLPTPTPAPAPSPVNCSYSACVSHCSPICYANRDAGMTKCKSDFVTNFNTCYDECTSQVCPGDSCANSGCGFSHCPCDNANATICCQGCGNGVYPQYQSCLRYNDKAVGYCMIDCGNTCYKNCTQGA >Sspon.03G0033470-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3B:50548624:50549010:1 gene:Sspon.03G0033470-1B transcript:Sspon.03G0033470-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPLTHPLYPSSTPSLDASEGDLFLPSFLPLPPTSMVWHREDVHGRSGTTTLAWICVVADKGVASPTWIGMAEQRHCGPIPILAGPAGLRKGAEVGARQFFFDFHCWFKISGDKLPHYHRVSPMKV >Sspon.01G0003230-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:8423596:8430275:-1 gene:Sspon.01G0003230-3C transcript:Sspon.01G0003230-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LAPSQSLSRTPSADTVLSTPADAPSLSRKSSFGRKRRSASVGSGNNNSHIRKSRSAQLKLDVEDLVGSGAALSRASSASLGFSFTFTGFTPPLQHMCSADPPPFSDDDENPMDIEAGTRRKKLMTEPTLPIYFKFAEVKYRVAGKGSPREILGGISGSASPGEVLALMGPSGSGKTTLLSILGGRAGRGAVEGCISYNDEPYSKSLKRRIGFVTQDDVLFTHLTVKEILTYFRSQLWIGFVTQDDVLFTHLTVKETLTYAALLRLPRTMTRQQKKERAMDIIYELGLERCQDTMIGGSFIRGVSGGERKRVCIGNEILINPSLLFLDEPTSGLDSTTALRIVQLLHDIAEDGKTVITTIHQPSSRLFHKFDKLILLGKGSLLYFGKASEAMPYFQSIGCTPLIAMNPAEFLLDLANGNTNDVSVPSELYDNVHMENNNSKNDHRPSAQDVHEYLVDAYETRVAFKEKKKLLAPLPICDDLKATITSSKREWGTSWWQQYSILFCRGIKERRHDYLSWMRITQVIATSIILGLLWWHSDPSTLKGLEDQAGLLFFIAVFWGFFPVFTAIFTFPQERAMLNKERAVDMYKLSAYFLARTTSDLPLDLFLPVIFMVIVYFMAGLKATATHFFLSVLTVFLSIIAAQDALKKISTMIISINSRISTKSDVQGLGLVIGATLLDIKKATTLASVTVMTFMLAGGFFVKRVPPFISWLRYLSFNYHTYRLLLKVQYDPVPDILTTTKHMDNGATEVAALVAMIIGVERHTHKELSCQELTVLTDVRLYRPVTDVFT >Sspon.01G0043040-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:71255404:71258504:1 gene:Sspon.01G0043040-1B transcript:Sspon.01G0043040-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSISYPPDDYLPAVEDNMGRLFIRSLSFDDMEAAAESPLSSPSTSPSALPLAFGSGKLIIEGSLSFKRREADSIQMQNVLSIRSPKPPAREACNIISAGAATSGSSRFGPIGDRPPDYDYPMVGMDSPKHQAAAVRLQKVYKSFRTRRQLADCAVLVEQRWWKLLDFALLKRNSVSFFDIEKPETAVSKWSRARMRAAKIDPRHRYGHNLHFYYHRWLHCQSKQPFFYWLDVGEGKDVNLEEHCPRSKLHKQCIKYLGPIQSKKKKNHSGRKKGKNNQISRLVLVQKERENYEVIVEDKRLMYKLSRQIVDTTGSAKGTKWIFVLSTCKTLYIGQKQKGVFQHSSFLAGGATSAAGRLVVEDGILKAVWPHSGHYRPTEQNFQEFMNFLKERSVDLTNVMLSPSEEGEEDGDFSLRGSHSQLDLTQLCQQEDSQEQEAESAQRHGKDEAEAETCSHEPTLPTSTETCSMPATTIRKTSSDNRLQGKRPPRLLISSNNNIAPLPPTTHSSNARPSPGVKDVDPDSAMLGECLDFCKRNLFAEDGYEDHYLDDLAEVPEALILSRINSKRAMHSYQLGKQLHFHWSTGAGPRIGCVRDYPSELQFRALEEVSLSPRGGGGRPARFPSPRPGALTPNSIPAAKCGSLMAEGDGVHASLKPRQRSATWTAF >Sspon.04G0020000-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:79072931:79075851:1 gene:Sspon.04G0020000-3D transcript:Sspon.04G0020000-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAPARIFFFSSVEPLLLSASPAAAGNNSRQGRHRGDSIRPSAAANTLLLRNDFDLQEGLTDVQRILHQRQKNAREMMVTIDNLKRLCIDHYFEEEIEGAMSAFMDLIHSDDLFDATLAFRLLREAAGHDVSATDDVLRRFTDDSGEFKLPLSMDIRGLLSLHDMSHLDIGGEVLLSKAKEFSSKHLASAIRYLEPSLAEYVRQSLDHPYHLSLMQYKARHHLTYLQSLPIRDTVVEKLAVAEFQLNKLLHQQEIQEVKRWWMDLGLVQEIPVVRDQVLKWYMWSMTALQGCSFSRYRVEITKIIALVYVVDDIFDLVGTLEELSLFTEEVKMWNTAAADSLPSFMRSCYMALYTVTNEIADMVEKEHGLNPVNHLRKAWAALFDGFMVEAKWLATDQVPTAEDYLRNGVVTSGVPLTFVHIFIMLGCDQSTEALIDQMPSVISCPAKILRLWDDMGSAKDEAQEGIDGSYKDIYLMENPRCSLSDAEAHMRSLIAREWEELNRECLCKRTFSSNFTQTCLNAARMISVMYSYNKEQRLLVLEDYARMLIL >Sspon.05G0001110-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:3824406:3833013:-1 gene:Sspon.05G0001110-1A transcript:Sspon.05G0001110-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQWSAVGATRTSRNGGGARGGRTEVLLERPRVISSQVSHAWDMPQNTFGAAYAQFMGSRNFSPDDRPPVRFMDTDELAYVATRAREVHDFWHVLFGLPTNLIGETALKVIEFEQMFLPMCMLSVVGGSARFSEKQRTLFFQHYFPWAAKAGLKCTDLMSVYYEKHFNEDLEEVRRNWGILPCSSPKKSSV >Sspon.07G0019220-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:69904781:69917609:1 gene:Sspon.07G0019220-1A transcript:Sspon.07G0019220-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPIMDWLRWGLLAAESQQQPQPQPQPLAGESDVSGERTKPQRVTRRNLADWLRRRPARASPCRRPSEGFRLRACGSVSLPPATASKSMNPYFVGILVPVAVSLLLRKRRKDERKRGVPVEVGGEPGYAVRNYRFEQPVETHWEGVSTLAELFEQSCKEYVYMPLLGTRKLISRETEAAPGGRSFEKLHLGEYEWKCYAECFKSVCSFSSGLIRVGHLKNERVAIFAETRAEWQIALQACFRQNITVVTIYASLGEEALCHSLNETEVTTVVCGQKELKKLIDISGQLDTVKRVVYINEEGISAEVSSAQNCTSWIVESFEGVIRLGAEAPVEANMPLPSDVAVIMYTSGSTGLPKGVMMTHRNVLATLSAVMTIVPVLGRYGSPLTLTDTSSKIKKGTLGDASALKPTLMTAVDTKGGIAKQLFDVAYNRRLAAINGSWLGAWGLEKLLWDALVFGKVRAILGGKIRFVLSGGAPLSGDTQRFINICLGAPIGQGYGLTETCAGGTFSEYDDTSVGRVGAPLPCSYIKLIDWPEGGYLTTDLPMPRGEIVIGGPNVTKGYFKNEAKTNEVYKDDEKGLRWFYSGDIGRFHPDGCLEIIDRKKDIVKLQHGEYVSLGKVEAALIVSPYVENIMIHADPFHNYCVALVVAAHIELESWASQQGIKYSDFSDLCQKPEAVKEVLGSLAKAAKQARLEKFEIPAKIKLIPEPWTPESGLVTAALKLKREVIRKTYENDLSQLYA >Sspon.05G0007960-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:16249784:16254227:-1 gene:Sspon.05G0007960-3C transcript:Sspon.05G0007960-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LCSGLLPNGNFELGPAKSDLNGTRVTGQNSIPNWEISGFVEYIGSGQKQDDMILPVPEGAYAVRLGNDATIRQRLSVTRKTTYSITFCAARTCAQAEQLNVSVAAESGVLPIQTVYTSTGWDSYSYAFRARHSTAWLTIHNPGHEDDPACGPLIDSIAIKALNPPHVTKGNMLRNGDFEEGPFIFPGTAWGVLVPPMDEDDVSPLPGWTVMSDTKVVKYVDAAHHAVPRGARAVELVAGREAALVQEARTVPGRHYRLSFSVGDAGNGCEGYMAVEAYAARATARATYESRGNGGGRSERAAVEFTAIANLTRVVFQSYNHHMKPDGTLCGPVVDDVSLVGLRKHAARRLFLYSQGGSYKLSLVCYTRSRFQGYNQNRGYLLRMEPTMMAKSARSVAFVILIGVAARAVFAVTDGLLPNGHFEQGPAKSELNGTRVLGRYSIPHWEISGFVEYIESGQKQDDMLLQVPEGERAVRLGNDATIQQQLAVTRHTYYSITFSASRTCAQAEHLNVSAAPESGDLPIQTVYTSSGWDSYSWAFKAKHSTVWLSIHNPGHEDDPACGPIIDRVAIKTLRSPHHVKSKTTDCSCVPLMTYINEELLTRKKLISTLTLELRHGYDLTEADNMVRNGDFEDGPYIFADTPWGVLVPPITEDEHSPLPGWMIMSDTKVVKYVDAAHHAVPRGAGAVELVAGRECALVQEVRTVPRRSYKLTFAVGDAANGCQGYLAVDAYAGRATLTVPYESRGTGRYKRAELEFVASDTLTRVVFQSANHYMKSDATLCGPIIDDVRLVPVHAAGRLR >Sspon.02G0016560-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:46055981:46061288:1 gene:Sspon.02G0016560-1A transcript:Sspon.02G0016560-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATITAAARWSSPTRLQLLNSCRLRFSASAAPPTSSAGFGWADALRVAGDSGHGDESDLSGYFRKIKTCNRGMDKKGQFVEFSVEDQVVGYIHKRFIKHIRDFHDVFTIVLGNNGSNSVEHVSLHSSLRTPEDRTHAIGSVLYPVTSSYGMPVYFSLERAAAPYFGIKAYGVHMNGYVNKDGQKFLWIGKRSDVKQTYPGMLDHLVAGGLPYGISCKENIIKECEEEAGIPRSISTNATSVGAVSYMDIEGFRYKRDVLFCYDLELPSDFVPNNEDGEVDSFRLIPIPHAANIIRRTEFFKPNCNLVIIDFLFRHGYINPDSRGYLDLMQSLRSGDCS >Sspon.08G0002440-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:3873228:3885471:-1 gene:Sspon.08G0002440-2B transcript:Sspon.08G0002440-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:E3 ubiquitin-protein ligase BRE1-like 1 [Source:Projected from Arabidopsis thaliana (AT2G44950) UniProtKB/Swiss-Prot;Acc:Q8RXD6] MSTCLHVQDPRNIAMSRPLDFAVLKYKNQKLAEQLEVHKFEFRALESRFNDLKEKQKTHNETLVLVKSYWERLVADLGIIPVCKSESSHSSCSTGNNNIRKDFLNGLLEAGATESSGSPNCQLGNDVSSEQSTTIDILQKLFLPSNGPWHVNNEFVSAALTKLPENEHSRQLHSATNDVLSKLHVVMCAVDNLHLKHRQLAGNYQKQRDLNAWNRAEQKRLKEELTSVVAKLEGSKQKLAVLKAQGDNKQATPILVPTLGNKNMTAEKVRDKQTELQDLEATHKELMVRLTKYTRSTQNTLLHNFGLPYLIFIVWLKNILTDFKSIRSSKAFQLVNDQLQKSQAELDDHQTLLEKLQVEMDTFVWRERQFNQKVDLAEIPQKVSAYCVSRIADLEKDVQKLCNEKNMLVLKLEEASREPGRNQVISEFKALVSSLPREMGAVQSELSKHKDVSLQLHSLRAEVHSLSSIRTRKEQEIEETSCRSAHAGSDISQLQSLVRELRENTQELKLFVELYKHESTDSRQLMESRDRELAEWARVHVLKYSLNESKLEQRVIAANEAEAISQQRLATAEAEIAELGQKLETSRRDLVKQSDILKSKHEECEAYVVEIESIGHAYEDIMSQNQQLLQQIIERDDHNTKLFMEGVKAKQSHDALHLEVCSLQRNLRHASTLMDLCNQKIIRLEDQLRGWSERLRRLSEDGMQQSISLGNSQRKLAGMHGEAPKLRQSMDVLQAKVGSNRLEVAELLIELEKERFSKKRIEDDLDLMSSKANSLREKTDNSAVLQKLLHEAKEYRGILKCGICHDRQKEVVIAKCYHLFCNQCIQKSLGSRQKRCPSCGLSFGVNDAFGLAATFSNEALPVLISKSY >Sspon.04G0031390-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4C:12588943:12589708:1 gene:Sspon.04G0031390-1C transcript:Sspon.04G0031390-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding QSLASPAVPPTYFPKSVASPPLYCAAVPPQRRAMDPSRPRWRALDPAQREEAERYMSIAKNFLAAGELDLCWLLLVQQPLAVDPGAPGLDVLLVSTTALRAAQRPRLPCGDPNPYTVFGLDPAVPISRNPAFIQSFYRRASNLLNRCHPNDPCWPAFTEAARLVTDAWAFLSDPDLKAYLDSGFEAAATAAAPVPTAPQPALLLPPPPPPQAEAAATAAAPVPTAHQPAPPPPHQPAPVDMGRGKRRKMPVKRMN >Sspon.07G0009670-3C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7C:24813019:24813579:1 gene:Sspon.07G0009670-3C transcript:Sspon.07G0009670-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding APEVEGHWAPHGAALTACVVGINVLVILLIFGFFWRFFSGKGGPPSTSDDSADDEDEDSLPVASPWAASPYRHEDRHGAQSSTPVEDVASSLPVSVYSSPGSGAEEGGRKAPECAVCIVELRDGDSVRVLPRCGHRFHADCVGAWLRLHATCPLCRGRVVAPAAAAVADESRNAKDDDDDVAADDCP >Sspon.01G0043880-1T-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1B:77840233:77840460:-1 gene:Sspon.01G0043880-1T transcript:Sspon.01G0043880-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLLSLYGSGGQRRTSRGSGTAAPVRRLLRRLRSSFGRSTARRRAVRFGYDLHSYSQNFDDGVASSAPVAMACS >Sspon.05G0038960-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5D:56302546:56315415:-1 gene:Sspon.05G0038960-1D transcript:Sspon.05G0038960-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RHRYGRIEFHGEGVRVRPARHAAGEEVDIAAVRYKPPTLQAPHLTGFSLRAFLWLMESSLLGPLITSVLKSQNNMPQADAPNPLDDHFDKTAYFWVGLFLQVSSYSPCIVNSGVAAPNYSDPEPEVVLVDEDRDPVAEYVIAGIEEWNNKKPPMPMLIYFNADDLKKQAEASTKRFQQGNPISILDGVFVAIKDDIDCFPYPTKGATTFFDQIHTVEKDAVCVARLRNCGVIFIGKANMHELGLGVTGNNPNYGTARNPHSVDRYTGGSSSGPAALVSSGLCSAAIGTDGGGSVRIPSALCGIVGFKTTYGRTDMTGVLCDSGTVEVASPLVSSVEDAILVYSAIAGSRPMDKLTLRPSPLCVPNLLSPENSNILGSVKIGKYTEWFNDVSDHEISSTCDDALKLLCSTFGCQIEEITLPELEEMRTAHVVSIGSESFCDLNPHYKAGRKTEFTLDTRTSLALFGSFTATDYVASQSISITAPKIPPSALKSGESNYVVSAYLMRFIIAGNLLGLPAITVPIILVEYRSKAEKLYFGDMSLSRQVGHDKQGLPIGLQLIGRPWGEASLLRVASAVEAEDLKMTWLPTKEEVQKKSVSVVPPPPFPRFVAAASTSTTPGPLPFLSPLLVPVPHRVGQRPRRRRRSGLVPRPSTDRALSSSSDARAITRDAFRSGIPPSSDQRPSNNKSEVIEAGKLVNLWNEWGIQILVLVSFGLQVFLLVFGGVRRHSNSTALMFFLWSAYLLADSTAIYTLGHLSVDSRSDEHQLVAFWAPFLLLHLGGPDNITAYALEDNALWLRHLQTLAVQVLGAAYVIYEYITTSGALLLLASMSMFVAGLLKYGERIWALKCGNISSIRSSISIRKVKTDPYQLLALGTSEEDLLLGAHSQFDICKGVFADIIMLPNPSVRSQSKPRSVISYLGEDLYKLVEMELSLMYDFLYTKAAVIHTWYGFCIHFISLFGTATTFLLFQLSINSSGNRYSRVDVAISYVLLVGALVLEIISVCRAVLSTWTCSLLHRRGRGWEWPLHIVTSLGQRVHPASRRLWSGSIGQYNLFHLCTRNTNEVGSRLAMKLGLEDCFILKRMEAYKDFARWSVNIDFDESILVWHIATEVYIRKSKPNMQKSSLRLLSCSRIPRREKLAEVAWSFSQFALVWGSVRAPNPHGDSIRDNANMYAILLANELLSIELQWQDQRDTLELILGVWVEMSLYAADHCSQESHARQLSNGCEFITIVSLLAHHFKYYSGAFRGTDNLGEDKPASENNPLLKIIP >Sspon.06G0001700-4D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6D:2991966:2995675:1 gene:Sspon.06G0001700-4D transcript:Sspon.06G0001700-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSVLLPPLPFPRSSSPAAAHQRRASSPTRSPQRLKIRRHSPPTSEIHPSPARSVEVARRCCRGVGMALLTPSPTVAAEPSRYRWRWCSCNAAHRWLRPRRLESTGPGFSSLMLQIYVLDIFVISKCRPPTLVSVRAGKGQAATTNVWRRRIRHRRCGKEAQAAQSCCGRDGGESSGRLGRDGHRAGVEEVASDPSSMGSGSEASGFGWSAGNGGSANGARIRADGAEQLRASGHLGAHLASPWPSVEPRPHLAGGRPGPGATAPPSSAPAVHSSGTSASPPSSAVSSRLSPNLPTAAPPLALLPAPGRGEAPVRRCLPAALSRRLPLPARPLSVFAEMSLWQLLLHARQRCLPRTPQLSGLLFLCRELSILPSKALAAPPQRQSPYVQVWLPQSTPQSSSDLLGSGFHIDVVDSDLWTPYFGFSSEAARGNEYLDDLSRNDDSEVQDFNDEIDDMRHRKKLFYKLDRQSKEYEENSLPLRRSKNRDKTNAKNPKECKVEPAKSVSSNAPKPTKRAIRDDDMVEVKRERMPTFNQMTDPYHHPFCLDI >Sspon.01G0006030-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:15810795:15813198:-1 gene:Sspon.01G0006030-1A transcript:Sspon.01G0006030-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTVGACVCRAAPCLLDSEVDGKEDVEVGFWGVDGEPHADGHDGGKRRGPRRRPTRPTVFQKEVGARSAPPAMVSKPDRKSEHGASRLHFLEERDEETLSRRLIKLSQNNKVTSATELFGSMRASGLQPSAHACNSLLACYVRRSPLADAMRMFELMKGKGMATGHTYTLILKAVASNQGYVSALEMFNKIQEEADSKKIVDVIVYNTMISVCGRAKEWMLVERLWRRLEENSLSGTLLTYDLLVSIFVQCGQSELAIAAYQEMLQKGLDPTEDIMKAIIASCTKEGKWEFALSTFSRMLSAGMKPSLILFNSIINSLGKAGQDELAFRMYHLLKKSGLKPDQYTWSALLSGLYRSGRCWDCLELFQGIKAKHPALLNDHLYNIALMSCEKLGQWEHGLQLLWMMEKSGLEISVVSYNHVIGACEVASKPKVALKVYQRMINQRCSPDTFTHLSVIRACIWGSLWTEVEDILEEVAPDSSIYNAVIHGLCLRGKIGLANRVYAKMRSIGLVPDGKTRAFMLQHIATD >Sspon.03G0044740-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3D:3169159:3169392:1 gene:Sspon.03G0044740-1D transcript:Sspon.03G0044740-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSWTCIKYDLRISGAQEQNSVLSMKVGCMLEDARDGTGKPVQVTGKWRTLWMVATVAIGTSQPHGSWRGRPWRNR >Sspon.02G0031850-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2A:116520182:116522073:-1 gene:Sspon.02G0031850-1A transcript:Sspon.02G0031850-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding NRSNRDPEKEQDVLERLKPHNNIQEVWIRGHGGHTYPTWLCSDHSAKNLECLCLKGVAWKSLPPLLGEFLMVGEEQPSITGQIFHNLKRLELVKIETLKKWSADSPFSTLEVLIVQDCSELTELPSPHMFPILQQIYISNCEELVSVPPIPWSSSLSKAELSRVGKSIQNLYYRKNEQKMSVEFKKDALDCELWNVLAFSNLSEIKEFQIKRCPPVPVPLHHLQLLNSLKTLKISHCSSLLWPAEAENDPRFKFLVERLEIYDCGATVKELVQLISYFPNLSTLELQRCDNKQAGGAEEIDAAAGGQLPLSLQLKELLQNQSSLRSLTIWDCPMLLSSNSLPSFYCPFSTSLQSLHLHGVKDGMLTLAPLTNLTRLVLIDCGGVRSEDQWHLLAQGRLKELCISRAHNLLDVPEPSRMCEQDLPQHSSRLQALETDGEAGGAVAVPIGVHFSSSLTELALDENHDMEHFTKEQSEALQMLTSLQVLRIRLYSRLQSLPEGLSALPNLRRLEIWFCHSLRSLPKGGLPSSLVVLNIWLCNAIRSLPKGTLPSSLTELHITSCSAFRSLPKESLPSSLTTLYIRRCPAFQSLHQGSLPRSLQRIDVRGSNKKLRRQCQKLQGTIPIVKFRD >Sspon.06G0017610-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:95453477:95461349:-1 gene:Sspon.06G0017610-1A transcript:Sspon.06G0017610-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDELRVPPRRPEELNRAAKRRCSPMTARLQDEDARIHRDQDRIRDRGGPARERAARTPRTGRADGMTAMNGGRKDPGRRPGGSAMAASIHPRRRKMTEFGGSCDGGNEPISGPGSTPQPISAFWPARHSGLLSAEPSSHASPSLLSLSSGSRVSAPSSTFHFGCDHGIESDFDCRGGRSSPRASTFRAWLPGFLTSGCRRGVLARVGGLGEFALFPASFLYFSFGAPAKFTAAAMAATGRMNSRRPDHSGSADMRPHQSNVSDSREVRCRMLSGTLVRAGKWWNSLMLWQDAGLKSAESWWAFSPAIRLPSQPLDKIRQESRRSACISHSRVSRRGSLRRLLLAPPPRTGLAPNPLSTPAYPLSALSPSPVAGDRRRHPTLLVLPPSFQIGPGVAAVVAAASAPFPHLLVYSTTGSGRRLTLPHPLTRARSRRPHSHRRRLLLSVPDPPSARPHSTPSLPPVVSVGTRKRGALTLIQIIGCPRTVRCPLPTTIRPPPFLNELHALFGDRNRNTGTYISGGGIASSTPPHDMMHHDHSERTREQEEVDEAMQILRDDGVPIPSEMYFTALELFKNSVSRREFINMDGPEVRFAWITW >Sspon.05G0016790-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:64625231:64635469:-1 gene:Sspon.05G0016790-3C transcript:Sspon.05G0016790-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTTGRLPKRVRVTAGPRALDLRAFPAAGEKPPRGAFRDCVRAFLARSAVPADGAWRVAFRVGDGAVVAMEVVEEDVARAGAGRIYCEHCTVAGWSRHPVCGRRYHFIIRNEYDVHEYKTCKHCGYMAQLFEMRCPSCKHGISYDDPEDWDYMQLDNPCHLLHGIVHDNGFGHLVRINGREGGSSLLTGIQLMGFWDRLCKYLRVRNVSLMDVSKKYGTDYRILHAITTGYSWYGQWGFKLNKGSFGITSEEYLKAIDNLSSTPLSHFFPHSRYPRNKLQDTISFYQSLSKQPLTTIRELFLYVLGLATSKSMNMHYGSMHKEHSHTHVQETWSDEEMKRATEIAIKVLRAVEKTRWVAMRTLKAAMYHPIGSPQLVDYCLKTLEAKTIDGMTVAVRCNSETNTLEYRLTDEPIFQSNVSTPTLDHLRRDISSCMMLSSTHIQCIHTNQKTVMSMPRELLDQVGDPPCIPPELLTLPQTATVADLKVEVTRTFRDIYLMLQSFVANQLVDCGTASESTQLKLLFGANGTVRVQGRCAGGERRVGIYRMERGVDKWTVNCSCGAKDDDGERMLSCDSCHVWQHTRCAGISDFDQVPKRYKLSDQIDQVIRKAYFHGMTTPLNHKIAG >Sspon.06G0009880-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:53293214:53296821:1 gene:Sspon.06G0009880-1A transcript:Sspon.06G0009880-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIHPAPQNEEDVHRHKYHSSLWGDFFLHHVPCPPLQYLMMKDKVEIMKEEVKKMLMDVDSFDLSHKLDCIDTLERLGLDYHYTEEIDKLMCNVFEAKDQDLDLPTTSHLFYLLRKHGYHISSDVFLKFRDDKGNIVTDDARCLLRMYEAAHLRVKGEEILDNILIFTKSQLQCIVDDLEPQLKEEVKYDWKHLFSEDSKDWWKEFQTQANTSIYARDRMVEMHFWMMGVFFEPQYSYSRKMLTQLFMIVSILDDLYDNHCTTEEGNVFTAGLQRWDEEAVEQCPTYLRTLYVNILTTVKGIEEELNLQNNKHAKLVKRL >Sspon.02G0023360-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:73046176:73049932:1 gene:Sspon.02G0023360-4D transcript:Sspon.02G0023360-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFALKAAATGTASFSAAGPRRGAAPTGRVSFRGAAPVVAVRAAAAAVAEDKRSISGTFAELREQGKTAFVPFITAGDPDLATTAKALRILDACGSDVIELGVPYSDPLADGPVIQASATRALAKGTTFEDVISMVKEVIPELSCPVALFTYYNPILKRGVPKFMSIVKEAGVHGLVVPDVPLEETDVLRSEAAKNNLELVLLTTPTTPNERMEKIAQASEGFIYLVSTVGVTGTRANVSGKVQSLLQDIKKVTEKPVAVGFGVSTPEHVQQIAGWGADGVIIGSAMVRLLGEAASPEEGLKKLEELAKNLKAALP >Sspon.03G0024770-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3A:75213506:75216523:1 gene:Sspon.03G0024770-1A transcript:Sspon.03G0024770-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMYRAAIGKPGSGGYRLASSYDCDCGLPCRYGQQRAVCRCGSGVRCGRSDAPLSCLPPIGRHDETALACPLLLNRIAPCAAHCDVLAPLYLCLGHVRRVLPPPHCLLCLAFGGGQRAWLLTRPPSLGLSLSLCCLLASRLGRSPWRAGDHAPLPPFLIASPGHLRPIPCAGATLQKREHRHELLAWAACWADLLCVAAPALGHGAE >Sspon.04G0000090-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:1744605:1745414:1 gene:Sspon.04G0000090-1A transcript:Sspon.04G0000090-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRICISSSKLVFLLLLCARMLPAGVSSAKIHGKVKSINQSISNLRGLMAMVMAVGAGNPANDLVALINGKRAASKLPALRNSRGLGCMALQYISECMAMATGAACSSGNTVACQPPEAHITEVYAANCGVELPTVDVISGRLVGCHRDRDGPEDALQAVLASATGNGSTNGTEAAALAVIRGKEHTQVGAGFDRAHRRGPFFWCLLFSSGSANSTFLLEAGGKGIHQTHGCFSAPDRPSCNAAPPRPGQLRFTAIAAAAALLFRCLLK >Sspon.01G0027170-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1A:95553600:95554679:-1 gene:Sspon.01G0027170-1A transcript:Sspon.01G0027170-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCAPLLTGQTPPAHAALARTDSARATRRRRPCLRCLPMPPLTGRTLPMPLAGAAPAHPPSCAPIAAALHPPTAAIAEVHAAAELHTGDLHNPCIDLHTGVELHKDELHSFKLQDRVLHMLQWHRWLADSSLPQRFDSYLARCTSPSPLPPVPSLLSISPRQFELSEETLPNEHSDTHGGGGPGWADSDAMLAWWPRSKLRSAPFARYAQETSSRGVFPDVRALVASCKL >Sspon.04G0020110-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4B:73479111:73479830:1 gene:Sspon.04G0020110-2B transcript:Sspon.04G0020110-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGTLPPSYLRWVAAELDYGDTAPWADLARDVLDDPIYVDRVEWEHAHRFLRGDADGYDYAYGDDGGDGPLQEMAERFGWDLSDEEGWGRLDFRLLGTSYGGRIPRKGARKKQSNSNSSTGGAKKGSLFDAPDGAGGKRDERRERVRMRRDEQVRTAKMDMLGVNAAVADGRGVLGSSSARKQAQIRTAKKEILGLGQGSRDGEMLDEKRASGKGGKGANPFPGRQAFLDKVRKLKGDE >Sspon.08G0002660-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:34216842:34220523:-1 gene:Sspon.08G0002660-4D transcript:Sspon.08G0002660-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSRRPSPSWRQSVVAAVVIALAAAWCSAAAQAQLSQSYYASTCPNVETLVRGAVTQKLQETFNAAPGTLRLFFHDCFVRGCDASVLLSGPDDEHSAGADTTLSPDALDLVTRAKAAVDADPKCAYKVSCADILALAARDVVSQTGGPYYKVELGRFDGKVGTRAVVKHSLPGAGFDLDQLNKLFAANGLTQTDMIALSGGHTIGVTHCDKFVRRLYTFKGNNAGAGPPMNLYFMRQMRQTCPLNYSPSAFAMLDAVTPRKFDNGYYQTLQQMKGLLASDQVLFADRRSRATVNYFAANQTAFFDAFVAAMAKLGRVGVKTAADGEIRRVCTKAN >Sspon.01G0042020-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:27072694:27076060:1 gene:Sspon.01G0042020-1P transcript:Sspon.01G0042020-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding HVQTDTKGKGKAVEGSGKGEELADSISSLSIGPGRTNFKKKPVIIIVIGMAGASISLARCSITFSSMNLPTDLGADSFVLSPCSGTGKTTLMHRLVCDTQGSNKRGYVVNLDPAVMTLPFGANIDIRDTVRYKDVMKEYSLGPNGGILTSLNLFATKFDEVVSVIERRADQLDYVLVDTPGQIEIFTWSASGAIITEAFASTFPTVVAYVVDTPRSTNPVTFMSNMLYACSILYKTRLPLVLTFNKVDVAKHEFAIEWMQDFEAFQTALESDKSYSATYTRSLSLVLDEFYKNLRSVGVSAISGAGVNTFFEAIEASAKEYMETYRADLDKRIAEKERLEEERRKENMEKLQKDMMKSKGQTVVLSTGLKDKNSVSDMMDDADEEEEEEALEDFGFSEDDEDEDEGEDEEVAHFGF >Sspon.02G0059990-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2D:105369472:105371828:1 gene:Sspon.02G0059990-1D transcript:Sspon.02G0059990-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GRRPEPPLAVVRVRAHTGSRAPPARPLDGGSLSLGRARTPAKGPAAALPPPPPPVTSNRRRRMLLGIETEEREEGGKCIVNIANIPTLNGSNYRVWREKYELELALGEVDFAITSPCPTEPEDPVRGDNESDADFAARKRDHAEIRMKYDLEHRQWTLSNRKCLLVAKATIEEQIRGSIPECATATEYLEKIKSQFTGSTKATASSLIKKLPERWGIERLISMCAQEEERIKSSQSESAHFVKDNKRKNFNNKNSKPQGKPKWDKASSSQGKKPQEPENQQSNSYGGAEKDQCKHCFKKGHYKRDCPDFLKSLLKRGDEFITFVDESLYLCYAKSTWWVDSGATTHVANSYRVKWDENLAKRRKND >Sspon.05G0021140-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:86522425:86538229:-1 gene:Sspon.05G0021140-3C transcript:Sspon.05G0021140-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VVSTSFSRTETRRERETRVRTPAAAQRPTIPSADAAPGTLDPFFREVAVASTPPPLTAASPPVRAPAAAAAPVATRGPDCARIPSASEPTLIGTRMDGNAEPIQFSWGKRRATGGVKMDTQFYGSFTLDNVNYSLYDCVYLFKHGETEPYIGKIVKIWEQNNAKKVKILWFFHPDEIQNYLRGPVMGKEIFLACGEGTGLADINPLEAVAGKCTVICISKDERNRQPSPQELDIADYIFYRFFDVKSLTLSDQLPDKIATLQVDVLLNPKDELVISSPGTNVPLNPNVDECMVAAVPAPPSAVKTEDGSLAAALPLPQPAFKEVDENPPATVTLSQSELKEEQKPAIAIPLSQPVVKKEDKKSVAAIPLSRSAVKEDEKPVASIAPPQSAVMENIPKHTQLQDAHAGERPPKKLKLSQVTTEQDIAPETTEQKPLELPPKNIEWDDRLKMADDKGTLVYIQNLDIRFGPADIEELVRKALQLNCTAKPINHPTYDDPNNGKAYAIFKTKDAADAAISKINSGLVVGGRPLYCSKGLLEVPKPSVNLVGHLSSHVKIGQKQRDEQKKAVATSHCSQPNTIEYDLALDWMLLREKQDQKYFTRSTEKPGNGLQAWAAKVQRQRNSRLVLVPYQVGFLITTFQMVRYDRQVSSLRKRVVHSTGDKAFMMVEMESVGMPAFIRMDGNAEPIQFSWGKRRATGGVKMDTQFYGSFTLDNVNYSLYDCVYLFKHGETEPYIGKIVKIWEQNNAKKVKILWFFHPDEIQNYLRGPVMGKEIFLACGEGTGLADINPLEAVAGKCTVICISKDERNRQPSPQELDIADYIFYRFFDVKSLTLSDQLPDKIATLQVDVLLNPKDELVISSPGTNVPLNPNVDECMVAAVPAPPSAVKTEDGSLAAALPFRSQHLRSYPFPIRAQGGTETSHCHSPFPACVKEDEKPVASIAPPQSAVMENIPKHTQLQDAHAGERPPKKLKLSQVTTEQDIAPETTEQKPLELPPKNIEWDDRLKMADDKGTLVYIQNLDIRFGPADIEELVRKALQLNCTAKPINHPTYDDPNNGKAYAIFKTKDAADAAISKINSGLVVGGRPLYCSKGLLEVPKPSVNLVGHLSSHVKIGQKQRDEQKKAVATSHCSQPNTIEYDLALDWMLLREKQDQSFRILHKTTFEESRLNEADVGDKQKWLKEMDCNLLYWNGKIIDFELPITVRLTVTDTDPGASDSAQGGTKPATLGTGAVVTVPSFVNVCDDI >Sspon.01G0047310-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:115388089:115391229:-1 gene:Sspon.01G0047310-2D transcript:Sspon.01G0047310-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rhodanese-like domain-containing protein 8, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G17850) UniProtKB/Swiss-Prot;Acc:F4I933] EAMASAVHHNCHRLLALLLRPLPTPSRHPRLSFSPRPAGLRSFFPAPGCRLGTHAVALAEPEFVPPEEEEADEDARFVVVTFYKFVPLEDPHAEVVRHLNFYQGRDIHGRIYLNEQGINPQYSGPHKDAVAYADWVKKHHRFSDMLVQTAPAVTGHAFPRLKLRYKPSLFQLEGGSLHLPLLDPNMRATPLTPSEWKERLEARTCLDVSSSETSGRRLLLLDVRSDYEWDIGHFEGAQRPNVDCFRSTSFGLSLQMEDPSDPLNGIDKERTDILMYCTGGIRCDVYSTICRKKGFRNLYTLEGGVSNYLKAEGPAGWVGNLFVFDGRLSLPPATFRQSLPSEEEEKEENGRWVGCCYACGSEVVELRHRNCANIDCNRLYPQVLRLVCGGAARLLLLRLQGRPSAAAAPARPPEILEMACLPRRTMTATAERRDDDDSRTCQEYLSYRVPTTYFTYTDEWT >Sspon.05G0003130-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:10657494:10669413:-1 gene:Sspon.05G0003130-4D transcript:Sspon.05G0003130-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GGTRGAAAGRPHAAPGGEASPFAAVSGDHPVPEISSPTAVRVRVAATSLNFANFLQVQGKYQERPPLPFVPGSDYSGVVDAVGPAVRGLWPGDRVCSFTGLGSFADFIVAEEKELYF >Sspon.07G0015070-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:59705889:59715174:-1 gene:Sspon.07G0015070-3C transcript:Sspon.07G0015070-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPPNPLYHVALAVALIFFSSLHTPAAAATDGDTLAAGQALAAGDKLVSRNGKFALGFFQFRQTLPGVSSSGGSDTTGKSTGTTTVAVSSPGWYLGIWFNNIPVCTPVWIANRERPITESELKVAELKISTDGNKLIITTSNITTIWSSTAAVANTTNSTSTQHVVILMSTGNLALLVPDTLSTGGPYLWQSFDYPTDVGLPGAKIGRNKLTGFSHQFISKKSQIDPSPGSYSINIDTRGVLQLTTRSTTYWSWPSGKLGELVTLLSVLIDMDPRTKGLLKPAYVDDDKEVYFTYTITNDSSSVFVPLDISGQLKLMVWSEAKQSWETIYAQPSDFCVTYAVCGPFTVCNGNSGPSFCDCMETFSHKSPQEWELGDLTGGCARRTPLDCKTSNRSNTSSVTLPYDPRTIEGAAALSDCAQACLIDCSCTAYSYNDSKCSVWHGELLNVNHDDGNVIRSQDVLYLRLAAKDFQSLSTTNKRIPTVIIVASVVGSGLVVLMLVFMIWRNRFRWCNAHSIQDGGGGIVAFKYTDLYRATKNFSEKLGGGGFGSVFKGVLSDSTAIAVKRLDDARQGEKQFRAEWLSGIPITPKVDVYSFGMVVLEIISGKRNTPEVFSKSSYHVAYFPVQAIRKLHEGDLKSLVDPQLQEDLNLEEAERLCKVAFWCIQDNECDRPTMVDVVRVLEGLQELDMPPMPRLLAAITERADVDNSKFALGFFQFQHQVPAAGSISKSPSTVTTNTFSPGWYIGIWFNKIPVLTTVWVANRERPITDTEVKLAQLKISRDGNLVIILNSATSTKSSIVWSITGNIVVNSTSNTSAILMSTGNLALIPNTTPSNPAVAPLWQSFDYPTDVGLPGAKVGRNKVTGFSRQFISKKSLIDPGLGSYSVEIDTDGVLLLRSHKPPFVVYWTWTSGKLGELVSALNALLEMDPRTRGLLKPTFVDNDEEVSFTYTLLDESSSIFVPISITGQLQLNVWSQATESWQTVYAQPSDFCTPYAVCGPFTVCNGNASPFCGCMEGFSQRNTPLDCIGSNTSTARSADVFYPIAHVTLPYDPRRLQDATTQSNCAEACLTDCSCTAYAYNNSICSMWHGDLLNVNQDDGNGIISQDVLYIRLAAKDYQSLMKTNRGMSRLVIVTSTAGFGLIMLMVLLMIWRNRSKWCNASLHDIHGSGGGIIAFRYSDLCRATKNFSERLGGGGFGSVFKGVLNDSTNIAVKRLDGARQGEKQFRAEVSSIGLIQHINLVKLIGFCCGGDKRLLVYEHMPNGSLDGHLFNSNSPILNWNTRYQIAIGVARGLLYLHKSCRECIIHCDIKPENILLDASFVPKIADFGMAACVGRDYS >Sspon.06G0023230-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:41847566:41849142:-1 gene:Sspon.06G0023230-1B transcript:Sspon.06G0023230-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQALCQLTGCCLMGRPLKFAWFDKNSYDLNRDARPVMELLPGRVPRTVCVVGFDTSLSITQIRSMLRSHLRLNELHSGRIITPENPDGTSTGKAFVRFSSHTDLVAALERDGLDLGDGRKLCVTKWLELLSFPWHYKEKGGGSVGGGSGSHVDAANWGTPSTGKRTVFETEF >Sspon.03G0034770-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3B:76924888:76925716:-1 gene:Sspon.03G0034770-1B transcript:Sspon.03G0034770-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSHRSCVAMAPPGSTTVLSQYCYIARAGAGETRPNGPLVKAPNSDLVIGSLRSERTLAYHLPPTTSLLVLVPSDRHAPLGSDKVHFRGCMGSAECSAALQV >Sspon.06G0011740-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6D:46851421:46852260:-1 gene:Sspon.06G0011740-2D transcript:Sspon.06G0011740-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAILVCIVVLALDVTAGILGIEAQAAQNKVKKVTILFVQCQKPVYKAYQLGLAAAVLLVVAHAIANFLGGCACICSQLEFIKASINRKLAATTIILSWIALIAGFSLLLAGAMSNSKSQTSCRFTQGHTLGLGGIMCFVHGGITVAYYVTATAAAHEVP >Sspon.06G0002720-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:8534196:8545576:-1 gene:Sspon.06G0002720-1A transcript:Sspon.06G0002720-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEAKPAAASGVAGEMEVEAYRRLFPVAFLERHLGESVRIDARRLREARPTTVALGAVSSAHGSALVRLGDTAMLASVKLEVMSPPAEHSDEGSVAVEFHMPPICSPLVRPGRSAEVAPVISKALEDVLMSSGMLNLKDLCLITGKASWLAYLDIYCLNADGSLFDAALISAVAAFTHLEIPLVSVGDDGRLFTVGGNDGKNKFELVNREKRKLTLGDIPLSLTCALHKDSILADPTSEEESIIETYVTVVVDSSDRLVSLQKVGGAVTSMATIRECISWAKERRQSLREILIDSVKAMEVDQTE >Sspon.04G0027790-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:66387895:66392133:1 gene:Sspon.04G0027790-3D transcript:Sspon.04G0027790-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding WRRWRWTSRSPRRRRPATRPRRPRRGGAAPGERGYGSTTCSTSTTCSSRSGRRPTTSTASRPSATTSTPEVRMLREELQLLQEPGSYVGEVVKVMGKSKVLVKVHPEGKYVVDLDKSIDITKITPSTRVALRNDSYMLHLILPSKVDPLVNLMKVEKVPDSTYDMIGGLDQQIKEIKEVIELPIKHPELFESLGIAQPKGVLLYGPPGTGKTLLARAVAHHTDCTFIRVSGSELVQKYIGEGSRMVRELFVMAREHAPSIIFMDEIDSIGSARMESGTGNGDSEVQRTMLELLNQLDGFEASNKIKVLMATNRIDILDQALLRPGRIDRKIEFPNPNEDSRFDILKIHSRKMNLMRGIDLKKIAEKMNGASGAELKAVCTEAGMFALRERRVHVTQEDFEMAVAKVMKKDTEKNMSLRKLW >Sspon.02G0038480-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2B:42063998:42064787:-1 gene:Sspon.02G0038480-1B transcript:Sspon.02G0038480-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETDCRSSHVVVDIEAEGEEPICVVCMEPMEWVAVAPCGHREVCVRCAASIRFFHNDLRCCICRSHCSTIVVTKANRASGQQRGFPFLWPPPPAFASKVLVGHYYWYHRGMRAYFDDVYQYQEMKKVCTTSSSSSEESRAAGATEVNYVGYPDALLPQPASSVNNVNLNVSVSPRQAAGAPLEEQSSSDVGTSLVCIAVVLPLLTTGVFLMVAEHPYPK >Sspon.05G0020370-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5A:86664845:86667066:-1 gene:Sspon.05G0020370-1A transcript:Sspon.05G0020370-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADTPPPPPPPPPPSPTTINAIGDDHLLEIFLRLPDVTTLARAAFACRAFLRAVRSSPAFRRRFRELRAPPLLALFLKPDMRAIVPAAAGKGSDIAAAFADLLQDSNASEWRSDPGIPYSDGYVDFFNRATDQGACYSPHSQALNIFPKNIRYGEDNDACLEFHTLSPDGEEQRPSRVVCVHRDRAWAWASVAVFSSQTMEWQVVLPEVDNGGPLVENDRYSTGTVVNGFICWLHQREGCIFALNTVTFQFSQMELPPLLKGPFAMFQRGHTEDGKFCVVSVLQCMLSVWLWAADDEGVGRFMLHKMFSLRENVSKITELSEEANVGMRPMAVINGFVYLSVYLRHPQLAYFGDLQSPEWFLSFCLETDEMNLLYKESQLLPCIADPYFMVSWPSSLINGKEDLETGVTGNISEDDGHLGTEEASPVLFTALLSFKEKLIDDGNSNFAEIDSFLLDDERNSLLSRIATLESGLAAARNCVLRIGTEPNSMSGMELVTSESELATARDRVLRIGTECDSTEGMMIGE >Sspon.03G0029890-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:25635556:25637821:-1 gene:Sspon.03G0029890-2C transcript:Sspon.03G0029890-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTERERFDEIGKKIKREPDTAAALAVAAASASASTVPADNHIPCRLGLGGALNTLTPCAACKLLRRRCAQECPFAPYFSPHEPHKFAAVHKVFGASNVSKMLLEVAEGERADAASSLVYEANLRLRDPVYGCMGAISLLQQQVNALEAELEAVRAEILKHRYRQAGGNRLIDDGAHATASFVAPASAPVHVNDVVSVVEAGQEVAATGGASGMSTSSAVYVAEAEQPANRHRCAALHALGTMEHLVSHELAMIGSSLGCIACSSPVMSFRRARFVPHCHKRPPACHARPKRCEDNATRA >Sspon.01G0001280-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:4120295:4121470:1 gene:Sspon.01G0001280-1A transcript:Sspon.01G0001280-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTATTLMDGEVAALVKVSAAVWAAMSYARLAAARLRPGAPRLLALLPVVALLCAIPFAFSTSTFRGTSGFFLAWLGSFKLLLLAAGIGPLDPSLRLSHFVCSASLPVKLRRQSKEKSQDPVRGPARILLSGAVIPGVIYAYQFKSSMSRYQLLALYTLHIYFSLDLLLATVHTMIHDLLGMEMEPQVDRPYLASSLRDFWGRRWNLMVPSILRPSVFRPVRARLGAAAGVLAAFLVSGLMHELMFYYIMWSAPSGEVTAFFLLHGACAAAEGWWASHAGWWRPPRAAAVPLTLAFVAGTGFWLFFPAMVKGGLDEMVLRECQGMVVLMEQAVRRLANHVSLSKRHARWLALLLDVNCTAGFRSDGCRDVVGGCVDTVSFVMSCDMVVNFP >Sspon.02G0021860-2D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2D:66496813:66497049:1 gene:Sspon.02G0021860-2D transcript:Sspon.02G0021860-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKDKLPVTVLGLSLVALLLSSSVVQDAAAMQGSLNYHMMAADQENGRNKDLFRPEANANANAYTRGCEATEGCRGVR >Sspon.08G0002460-2P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8A:7360811:7362373:1 gene:Sspon.08G0002460-2P transcript:Sspon.08G0002460-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMVLDWRSLGSLLATVVVFRTALRDFLPPEAQTLLRRFIAWVAAAFRPPHDTILIDEADGPPGGAINDLYDSAQLYLGARCLATAPTVRLHKPRQSPRPVASLPDSHTTDDTFRGVRVKWTSTARTVDRGSGGGGYGHPYNMFGGRGGGHGDQRRLELHFPRQHRDLIHDHYIPHLIDEATLMRLKSRERRLYTNRATGPGDLDHHRHWTSHAFSHPSTFDTLALDPALREEIRADLLRFAARRDHYARVGRAWKRGYLLHGPPGTGKTSLVAAIANLLEFDVYDLELTTVPTNSHLRRLLVSTTPKSVIVVEDIDCSLDLSDRNKKKKKGGGGNVGADNDEDAAAQLAVMSVSPAAAAAMSVMGRESISLSGVLNFVDGLWSSCVGERLMVFTTNHPERLDRALLRPGRMDKKIELGYCTPPALRVLAKNYLGVGDEGCEDADADPDTVNTLMAEAEDLLAAAEVQITPADIAEVFMGCDGDDAASALRKLVGELRRRRDATAATAVAPGESTEDTTE >Sspon.02G0014650-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:42054802:42056099:-1 gene:Sspon.02G0014650-3C transcript:Sspon.02G0014650-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gibberellin-regulated protein 6 [Source:Projected from Arabidopsis thaliana (AT1G74670) UniProtKB/Swiss-Prot;Acc:Q6NMQ7] MATTMAGRLLLLLAVAGLLAVSIAEHEVLAKGSDEYDGNVYQVSKGGQGSLKSYQCSPQCSRRCSNTQYKKPCLFFCNKCCNTCLCVPSGYYGNKGECPCYNNWKTKRGGPKCP >Sspon.08G0016970-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:61038533:61041741:-1 gene:Sspon.08G0016970-2B transcript:Sspon.08G0016970-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVGQSGALRRVTVHYANSPTRSTVAEVSLDDLDDELLQFVLADLLPGQEGLHQSILEGAYSNQQNHMRGAGPRGPYDYSQSQEYHGESSTAAAVTATRNSGMDQQIASDFEYAKQLQQEMEDLSVEDDGDDISCVPSPSDSDDEHDHHDEEEEADRQDGNGDDDDVDPDNMTYEQRQALVESVGTEDRGLSDELISYLQPWKYKSVKASGFFSRKTNHEDCPICLSTFRSRETMITLPCRHHYHAACVKVNKTCPVCKYELFGPS >Sspon.07G0004560-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:11478050:11484270:-1 gene:Sspon.07G0004560-1A transcript:Sspon.07G0004560-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPLCRTRRYISVRASAAQLAAAGVATAQQPELGVEEAVVGFVTGKRKATEVAHAVWRNIVQKGDTVVDATCGNGNDTLALLKMVADETARGRVYGMDIQDSAIESTSSFLKMSVDDDHQKSGNASSSSNNPRLADSLWHIRSRLVAFNLGYLPGGDKTVITVPRTTELALQAASRILSSGGLASSAGQFHRLQPQGLAGFALPFLTETFLTEGTVLMDSFNGHLPGFAALFPPHSDPFQVKGRQ >Sspon.01G0024310-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:85995160:85997631:-1 gene:Sspon.01G0024310-3C transcript:Sspon.01G0024310-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSAAAVIPRLRFATRPRLRRPSVRTYWPRPLSSSHVTPAAAAGVLEPPDLTRLANAARISLSPQEAQDFEPKIRQVVDWFGQLQAVDLESIEPSLRAGTTADSSLREDKPETFDNRDAIVEAIPSYDDPYIKVPRVLNKE >Sspon.02G0021530-3D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2D:65248536:65249950:-1 gene:Sspon.02G0021530-3D transcript:Sspon.02G0021530-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding PEDWFDEEDGEWTAPTIPNPEYKGPWKQKKIKNPNYQGKWKAPMIDNPDFKDDPYIYAFDSLKYIGIELWQVKSGTLFDNIIITDDPALAKTFAEETWGKHKEAEKAAFDEAEKKKEEEEAAKGGDDEDDDLEDEEDDEKADEDKADSDAEDSKDNFDDEKHDEL >Sspon.07G0008010-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:19136196:19150919:1 gene:Sspon.07G0008010-2B transcript:Sspon.07G0008010-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPALLDPSPFDLRHYPAHLFDPDIPLDAGGLPLGEFAGDDGCDGLDFDLPVDFSIDDFLLRSPDRGDDGDDSGEGSAAGSGPAASSSASPATSGANSAAANAGVREVKHEDSDEGRSGAAPNWSLKRKQASPGGATSDAAKCRRSGDGELSPSASASRAAAEDSDERGAGGEEEDKRRTARLMRNRESAQLSRQRKKRYVEELEEKVKSMHSVINDLNSKISFIAAENATLRQKLGGAGVSGPPPGVYPPPPLPGIHFPWVPGYAMRPHGSHVPLVPIPRLKPQQAAAAGKVSKKPEVKKSVESKSRTKTKTKKVASISLLGLLFVALVFGAFVPGFNHSLGMRGRSDNVMFGNFGHSDARVFSVTNHGKGPKGGLNSSDIISTDPGMITGHADGAGQKHRAANDSSEILPALLYVPRNGKHVKINGNLIIHSVLASEKAVAHKASNVQSVKDRKETSVAIARYLSPPGKDMDSKETFPPDAPLPQWFREGMEGPVLNSGMCSEVFQFDISAASAKSGGIIPASPTVNSSSVNATQKIPKPAPAYGGKLKNRRIMYNEAIPLTGKTVNETKPRAFNSTSESSKVPDSKPASSVIVSVLADPREAGNGDGDPRVSPKPLSRIFVVVLLDGVRYVTYSCTLPFKSASPHLGRARACALPIQPIIRAMAMAFATASASASASASNLSLTAFSLPLPQSLRPSPSHALHGATSSFPRPRRCGWSAVVRCAKRTGKRRYPSEKKLLNRRQQELLRQAAPEEGSKERESGYWRLSKLAVPARDDPGKDFTGISLPLLQAIAKAIKFPVPSMLPDEAFTVIRKSFDARKVLKEPQFTYIVDMDVKKILDIEPRAWDFIARLEPKLGAVEYMPEEKLAADLVSMLNVNKGSNNVLGIRDTHSGMICHQQKKPRVAVIGSGPSGLFASLVLGELGAEVTLLERGQPVEHRGRDIGALAVRRILQSESNFCFGKVMKTFVHFGAPPNILVDGKPHLGTDKLVPLLRNFRHHLRELGVTIRFNARVDDLIVEDGQVKGIVVSDVELQPGSASQKLAFDAVVLAVGHSARDTYSMLWQHNVDMSPKSFAVGLRIEHPQELINSIQYSELAAEVQRGRGRIPVADYKIAKSVGERDAENELDIAEPIATRFACARVVPTSTNPSELCINGMSFSRRASKWANSALVVTVSSHDFKPFQSHGPLAGVEFQREFERRAAMMGGGNFVVPAQCVTDFISNRLSVTTLPPSSYRLGVRPSNLHELFPPYITEALQQSIIMIDREMPGFVSSKALLHETYESTSLRGLYPIGEGAGYAGGILSAAVDAKQLSLFHGDIESFLGKAQKQTGFV >Sspon.04G0004800-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:9404294:9409942:-1 gene:Sspon.04G0004800-2B transcript:Sspon.04G0004800-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein-tyrosine-phosphatase IBR5 [Source:Projected from Arabidopsis thaliana (AT2G04550) UniProtKB/Swiss-Prot;Acc:Q84JU4] QLFFLSETETQNPKNTLSTRPGSEPGTRTSPPPPADHRDLASPRRRRERGRKAMRKRERENPCGICGHYHKYEEGEVCGVCGHRWKPSDGEGAPTRHESAFPTEVLKDFLFLGSYDNASRSEVLRTLSITHILNTVPDCHNLYKNSFTYHSLQRDRPLDFDEANQYLEKCERDNSRVLVHCMTGKNRSAAIVAAFLMKSRGWRLAQSFQWVKDQRPQVQLTNASQNELLEYELKLFGPSAQPLVPTESFASLGFGYQKPAGDIQAPMFNQMTMPSIFERVNPNDRPTNFTFGAERNAEVNHHDSNSDGGVNPTSTENLMDSS >Sspon.06G0015530-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:66769402:66772611:1 gene:Sspon.06G0015530-1P transcript:Sspon.06G0015530-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWLARSIANSLLATEDEPEASDPGPSASPGSTSPPRGVREDLSELTGALANRFQGLASFLAPPAPGGGGALRRPDPAEIAGRFRAGLARLPGRQAVADLAKIASSLLPPEGDADWAEAAAGVTEEVVAFARDAASRPELWLDFPLLPDDADSDDFDMTDAQQDHALAVESLAPELADLRIELCPSHMSEGCFWKIYFVLLHPKLGKDDTEILSTPQILEARDKLSHDLQYQTKLQDSYGDTVPVPFSNEDGVLGSPVEVLGVLKGQDDSAMLTSFSNIDYGKPQPIKPGILSNDTIIETGAVSSDNISSSVPVQLLPILKNTTVVTPSRMEESTHNLSTEDAAKEEQTVQMSEIPLMDNSPPKDDQQKQPLADISEQSRVDIEKTDNVEDGDIEDDDGDEWLEEEETGDPGNTKIPIADDEDVSFSDLEEDDDAA >Sspon.07G0019670-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:70332522:70334415:-1 gene:Sspon.07G0019670-3D transcript:Sspon.07G0019670-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHNGTTKHLVAPMEVSVEAGNADAAEYLDDDGRPRRTGTFWTASAHIITAVIGSGVLSLAWAIAQLGWIAGPAAMLLFAFVTYYTATLLAECYRTGDPDTGKRNYTYMDAVRSNLGGARVAFCGAIQYANLVGVAIGYTIASSISMQAIANGGFKGSLTGISIGADVTSTQKIWHSLQAFGDIAFAYSFSNILIEIQDTIKAPPPSESKVMQKATRLSVATTTIFYMLCGCMGYAAFGDKAPDNLLTGFGFFEPFWLIDIANIAIVVHLVGAYQVFCQPIFAFVERRAAAAWPDSAFIARELRVGPFALSLFRLTWRSAFVCVTTVVAMLLPFFGNVVGFLGAVSFWPLTVYFPVEMYIKQRRVPRGSTKWICLQTLSVGCLFVSIAAAAGSIADVIDALKVYHPFSS >Sspon.05G0012390-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:40004759:40014418:-1 gene:Sspon.05G0012390-4D transcript:Sspon.05G0012390-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPAPSAGGAGAMSRDAFRAAVTNTLERRLFFVPSFKIYGGVAGLYDYGPPGCAVKANVLAFWRQHFVLEEGMLEVDCPCVTPEVVLKASGHVDKFTDLMVKDEKTGNCYRVDHLLKDFCKDKLEKDHTLSPEMVEEYNRVLAILDDLSAEQLGAKIKEYGIVAPDTKNPLSDPYPFNLMFQTSIGPSGLSPGYMRPETAQGIFVNFKDLYYYNGNRLPFAAAQIGLLRVREFTLAEIEHFVDPEDKSHPKFGDVSDLEFLMFPREDQMAGRSATKLKLRNAVSEGTVNNETLGYFIGRVYLFLTQLGIDKDRLRFRQHLPNEMAHYAADCWDAEIECSYGWIECVGIADRSAYDLRAHSDKSGEKLEAHEKFAEPREVEAMTETEALDMKAVLESKGEVEFKVCTLGKDVTIKKNMVSINIEKKKEHQRKFTPSVIEPSFGIGRIIYCLFEHCFYQRPGKTEDEQLNVFRFPPLVAPIKCTVFPLVKLEKFEAVAKKISKALTAAGISHIIDMTGNTIGKRYARTDEIGVPLAITVDNTTSVTVRDRDSKDQIRVEVDEVASVVKEVTDGQSTWADIMWRYPAHTASAADEEESQP >Sspon.01G0020680-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:76935502:76937532:1 gene:Sspon.01G0020680-1A transcript:Sspon.01G0020680-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGKELVVKRNGPVDIREIAAKATLREVRQSGHTYVELRRVGKRVIFFCTICLTECFSDNVLFDHLKGNLHSRRYAEAKVTLFGPMPWPFNDGVLFFNNSREKDPLLLDSSSQSTRELALVPQPEVSRNDTEVTSRLRDGSSSCNGVKGARNGGKGRANGRVAPVSENYALSNSGTDGPLVIPGVLLKDVVSDLPVHLLGYGNISYRICEASKNCKKISKIWCAWVGQEGSHGSESCNTNEQSGFAIVNFSYTYDLGRKWSSDEQDLSISAGSFFVIDDAGHRGKRMKKSFSDQEASSEESNGQNSSPQGSSQAIVTSSPAGTSHNLQVGLLSSKSARRELRKQKRIAAEKVCDICGRPMLPGKDVATLLNCNTGNLACSSRNSNGAYHLFHTSCLLHWTILCQYEMLNDQIARKGKSNRGRKAKNALKKSKITSIVCPECQGTGIHVNGDELEKPSISLSEMFRFKLKAIEAHKAWLKSPEVLENCSTGLHFPSEHVENSEEKVMPLKSLRFYAADG >Sspon.01G0036040-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:14026314:14029808:-1 gene:Sspon.01G0036040-2D transcript:Sspon.01G0036040-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTRRHFAIFTTASLPWMTGTAINPLFRAAYLAKNGDKDVTLVIPWLCLRDQELVYPNNIVFDSPLEHESYVRHWIEERIDFRPSFSIKFYPGKPEHLNWYHHGRRWKNKFRRVIGIVHTNYLAYVRREKNGQVIACFLKYANTWVTRIYCHKIIRLSGATQDLPRSVICNVHGVNPKFLEVGKLKLRQLQNGEKPSQKGHTTSEDGMEELLDLLSKYQSKLVGLEVDLYGSGEDSDEVCESAKRLSLSVNVHPGRDHADPLFHE >Sspon.07G0018350-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:71342736:71348118:1 gene:Sspon.07G0018350-2B transcript:Sspon.07G0018350-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGDDALARKRNRVRRKRLRSSENAVSARVAAIIASKRRRKSGKRRGCEGMCFSLPTPDDPFNERHGKKRKVDDEPTEDTAAAAKDGDNPKKKGANTKKQQPLAKAGAEAKAKSKAVRERATGAEEDRVDFDRPSKFLVVCLNAIRDAVAPEDGGGSIHGAGDWGVELWRCCSAQAPSDVLDASGACATVDQTAWLVSTACDIVARKERLGMVVSCPFLLYLVPSQEKAAQVRSICKPLKPLGIHSVSLHPGASIEHQISGLKTCEPEFLIATPERLLELVSLKAIDISSVSMLVIDGLKCFVDHNVSDKIFSIRDAISNNPQITIFTDPSDKKVATMAKSLLRGRITKLSINDSVSSRSAFIAQHVHFCPSEELKTSKVKEILEQILQNHPKKTSKVLLVVASDQKAQHMSSSLKLKNCTVIDDSHGSSFTICSRYSELNNIAIYMGLMNVIVKDRENMVATDVEEFETVLVVDLPLSVDEYVEILAGAALHVIGGEVHSIFCKTDASIAKPLAELLADCSRWCLNSSKSWSLHSRLVYTIRVVRSYRGNSDEAQRFMAVNSPSNFDIFFVLGSTCNSHLSANIGIQASTLICTNVLLSRTLKCECLEALFLEEVEVP >Sspon.08G0017450-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8B:1846253:1846559:-1 gene:Sspon.08G0017450-1B transcript:Sspon.08G0017450-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding CLFFLAPSFSCRVPTSVFLAPADSRCAPPTPPPDPEMRPHHHPRPCLHHLPSPWRPPTRRACGALTRPYRRRRPPPSPPPPPALPTCGHGAPPRPGLAAAAA >Sspon.05G0004880-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:9407740:9412852:1 gene:Sspon.05G0004880-2B transcript:Sspon.05G0004880-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLPGPWILRAIVLVSRTQPGRPSLTTIPAALCFSKPPILSHRIETFRSHSDRIRWDGGIYAPAARLAPSLTLLNLRPRVTTNTSSSPATSSGKRDRRLEGAEIHPSSSVGRGKLKQSFTGDMLTMATRLSKHTVAIQHPFLISFLHIEYPMLFELHNDATQRISHCGVLEFVAEEGMIIMPYWRVTLVHVKNATLPKGTYVKLQPHTTDFLDISNPKAILEKTLRNFSCLTTGDSIMVAYNNKQYYIDIVETKPASAVSIIETDCEVDFAPPLDYKEPEKPRQQTVPASKAPAQDGNTVVEDEPKFKPFTGSGKRLDGKALKLQASDVPSSSLSAPSDSNKRGNQQISAPAASGASNDSRQKTGKLVFGSSASNNKELQKAPAKEEPAKKDEPRFQAFSGKSYSLKHSSKSRIQPAKI >Sspon.07G0005200-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:13381816:13382883:-1 gene:Sspon.07G0005200-1A transcript:Sspon.07G0005200-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to Glutamate-1-semialdehyde 2,1-aminomutase, chloroplast precursor (EC 5.4.3.8) (GSA) (Glutamate-1-semialdehyde aminotransferase) (GSA- AT) [Source: Projected from Oryza sativa (Os08g0532200)] VNAALIETLKKGTSFGAPCLLENVLAEMVISAVPSIEMVRFVNSGTEACMGALRLVRAFTGREKIVKFEGCYHGHADSFLVKAGSGVATLGLPDSPGVPKGATYETLTAPYNDVEAVKKLFEDNKGEIAAVFLEPVVGNAGFIAPQPGFLNALRDLTKQDGALLVFDEVMTGFRLAYGGAQEYFGITPDVTTLGKIIGGGLPVGAYGGRRDIMEMVAPAGPMYQAGTLSGNPLAMTAGIHTLKRLTEPGTYEYLDKITGELIRGILDVGAKTGHEMCGGHIRGMFGFFFTGGPVHNFGDAKKSDTEKFGRFYRGMLEEGVYLAPSQFEAGFTSLAHTSQDIEKTIEAAEKVLKRI >Sspon.06G0018200-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6A:98309506:98313089:1 gene:Sspon.06G0018200-1A transcript:Sspon.06G0018200-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ICTTSHHLWMQTEQPRLRMCLPTSSGGETRSSGRGTGRGTGTGAAATTSRGATPSSVSSVPQPSGSGSGTGTGTGIGTGAAATTSRGATQPIPSADPTLDEFDPELEDNEIEVEPDDLWERGPTGLPDIPTREEDKILLTPEGDTQWGEENENGRLPNGILGVLIREAFPGIVKYKGRDEPAWHWQQYDSSEDTLEEQTFDSALQRLWFKWPNGKEHAARRVVRNAVKKLIPQMLYEGRIQSVITYHNNVLSKKIKRAQACQIYLTKEEYMQAIPWWAQKAPEAWALAAELKWCNEAWKDKSRAAKERRQRMGGASHRQEQEKVKKRPVSDVEAYTVGRMGDEEGTFCNPKAAANIDAYTEAAKALNGPDFDVMNAPLDKVAVYRAGRGKKHGCYLIGDGFIDTPSTISEVRALVSGLENEIIQTHLNVLVPPLVLPSQTRSSHQ >Sspon.06G0025970-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:72268010:72268649:-1 gene:Sspon.06G0025970-2D transcript:Sspon.06G0025970-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMAQGGMSKKVSSLIEKKDAANEDLTAIRKEAEDTNTTMDKRETLVSGEPRDYMSQKKTTLASKVKATLKKMLRKAKPRKKRTTMYPIVASTLKFHKDDDVDPADAGSACWG >Sspon.02G0020950-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:68177943:68180683:-1 gene:Sspon.02G0020950-1A transcript:Sspon.02G0020950-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTRREIVSYHPLPAPGVTNSLKDEIQSKVTETVSNAINSFDPSTLPRHIEGALGTAGNLINSFEPKWSGKKEFDFNGEADFLDGYQCPDEYWGSAPVKAQKPVNIKNLLGGVIAIIGRNLGNTEVEQPKDTKTSVSFLGSSDDGNTFLHSSVYMPSAPPVLDEEALNYNIYRAVLEAEPPEWLPDSYASACMQCAAPFTALTRGRHHCRFCGGIFCRACSKGRSLLPAKFRERNPQRVCDACYDRLDPLQNLLINSVSNASQTAKHDVMDWTCARGWLNLPIGLTMEHEIYKAANTLSSYSQVARINPEKSIPHAVLSGASGLAILTVAKAGAILTYKLGTGLVVARRSDGSWSAPSAILSAGFGWGAQVGGELMDFIIVLRGPEAVRTFCSRMHFSLGAGVSAAAGPVGRVLEADLRAGDKGSGVCYTYSCSKGAFIGVSLEGNLVATRMDANLRFYGDPYLTTSDILTGNVEQPNAAKFLYTALDDLYSGLDC >Sspon.04G0019250-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:68690339:68694280:-1 gene:Sspon.04G0019250-1A transcript:Sspon.04G0019250-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GFLRKIGSLFGISRDDADHPDSPSSAAAAELPHDRAAAAAAAHGARRGFSVQVPVPVERQGPGPVLVPCPQGDGGVQVGFRWYTRRLRIDEDGDVADEFLDEIIPEGSVNNNTGPVGRFQVKYNTKPTATALRKHVIAVDGDIRHSLEHQGQLRWV >Sspon.04G0031230-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:9023037:9027040:1 gene:Sspon.04G0031230-2D transcript:Sspon.04G0031230-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPQRPRPRQRPQLARINAMKHSSYPAEDDGADDLAPVDLGPEFASQTSFRIRRGGAEVADLFRKLGLKGPEDFTIPPAVYAAAMAHIPNSSRSRRQSLEAPPPLLPGAGAEGMEPAPPGPPDVSGRDAAVAARLDAAVEGEDQAVLASKVVQPEVAEVSARSYKEGSARSYRAVQLETGESSRRAIAAVVKERTADGMKGKGDVVKVDQLRVERTKAVVVEAPRETTAAVVQAVAESPSRSTEYLISPSPNRRFKRTITSWLRGQHLGSGSFGSVYEAISDDGFFFAVKEVSLMDQGLNAKQRILQLEHEISLLSRLEHENIVQYFGTDKEGGKLYIFLELVTQGSLAALYQKYRLQDSQVSAYTRQILNGLHYLHQRNVLHRMIYQFDFLLYIWILNMLTPYVTRDIKCANILVDASGLVKLADFGLAKEMSILNQAKSSKGTVYWMAPEVAKAKPHGPPADIWSLGCTVLEMLTGKVPYPDVEWTHALLKIGRGIPPEIPNTLSEDARDFIKKCVQANPNDRPSAAQLFEHPFVQRPLQQYDT >Sspon.02G0008440-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:23371442:23373820:1 gene:Sspon.02G0008440-2B transcript:Sspon.02G0008440-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPWMNGSVSRLKDKEPGEGSSGPFQETSRLPSCVLWLLHIFMPGRNVSVGWSKLCHNIMPLGDRRMRFPEFISTATDPLVFDLGDGEELPAVVVLVVQRTRPLTSDKTRLELLVRTSEGAEEEMARVARFATHTTRFSGVELDGAKKPKANSPPSDMMVVPGNSGLPGTRRSSENIIDTEARKREQTKVLVGADASALSGLGCDHVDR >Sspon.08G0003580-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:9531877:9534832:-1 gene:Sspon.08G0003580-1A transcript:Sspon.08G0003580-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450 like protein [Source:Projected from Arabidopsis thaliana (AT1G11600) UniProtKB/TrEMBL;Acc:Q9SAB7] MDVNDVLLVVLAAALGAMWWRRCSKTGGVDGLPPGPPGWPVVGNLFQVILQRRAFMYVVRDLRERYGPIFTMRMGQRTLIVVTSADLIHEALVKQGPMFASRPEDSPIRLLFSVGKCTVNSAPYGPLWRALRRNFVAEIVSPHRVKSFSWIREWAVDAHLRRLRAELGAEGAVRVMASCRLTICSILICICFGAKIPDEMIREIEEVLKDVMMISLPKLPDFLPLLTPLFRKQLSEARALRRRQLDCLAPLVLARRDFLRDGTKGAAAEKKDGVEMMSGPGEAYVDSLFDLEPPGRGKRLGEEELVTLCSEVMSAGTDTSATALEWAMMHLILDPAAQERLYDEVVAKAGKTARITEADVEAMPYLQAVVKETFRRHPPSHFVLSHAATRDTELGGYRVPADASVEFYTAWVTENPATWPDPEAWRPERFLEGGEGFDTDITGTRALRMMPFGAGRRICPAATLGVLHIQLMLANMVRAFRWTPPAGEGPPDPTETFAFTVVMKNPLRAAFVERNHPAATATAE >Sspon.04G0029570-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4B:72769034:72773311:-1 gene:Sspon.04G0029570-1B transcript:Sspon.04G0029570-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASALLTGSPWLRLRLLPDAPARPFLHLHLRRALSVRASAGADGSPGPVRVRFAPSPTGNLHVGGARTALFNYLFARSKGGRFVLRVEDTDLERSTRKSEEAVLADLAWLGLEWDEGPDVGGEFGPYRQSERNSLYKQYAEKLLDSGAVYRCFCSSEELEQMKEVAKQRQLPPVYMGKWASASDAEVQQELEKGTPYTYRFRVPKEGSLKINDLIRGEALGFSMPSFAHVSLILAPDRSKLSKRHGATSVGQYKEMGYLPQAMVNYLALLGWGDGTENEFFTIDDLVQKFTINRVNKSGAVFDATKLKWMNGQHLRSFPHDELIKAFENRWKNTGILQESESGFAQEAAELLKDGIDLITDADAALTNLLSYPLHTTLSSEEAKPVVQDKISEVALSLISAYDSGELTQALAEGRDGWQKWVKGFGKSIKRKGKGLFMPLRVLLTGKLHGPDMGGSIALIHKAGICGAVTPQSNFVTLDERFRILKEVDWESLAKEQETPAESAVPAAS >Sspon.01G0003090-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:8219563:8253849:1 gene:Sspon.01G0003090-3C transcript:Sspon.01G0003090-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRALEHILSSLSFETDWVAISYLQLYLESVHDLLAPEKTNIPIVEGAKTGEVSLPGAAIVEVKDLEHVFQLLQIGEANRHAANTKMNTESSRSHAILIVCSCTATISSVITPILGSIHLQRSTRRKEENSSSLCNDRHDTFPDDLPLVLKSKLLIVDLAGSERIDKSGSEGHMIEEAKFINLSLTSLGKCINALAENSPHIPTRDSKLTRILRDSFGGTARTSLVVTIGPSARHYSETSSTIMFGQRAMKVVNTMKLKEEVDYEILYKKMEREVDQLTSEMERQQKVIRSEKMQMDKRLKESERSFHDLRMTSNMQIENLEKEKRQLESAVKKLTQDLDKERGQNNLLSKQVTELEKLLDENKFNIQQQLENLSKTKFLTDTTKEHEKEMGELLRKLEEERCCSSSMKDRMSVLQQQLCDAQSSAQELIYDELKSTQEKVQQEISQRQGLEDQISEDLDVQIHAVKVVANLAAEDVNQERIVEEGGLDALLSLLETSENTTIHRVTAGAVANLAMNEKLHSMLKQDGGIKALLGMFRSGHTDVIAQIARGIANFAKCESRMISQ >Sspon.02G0019700-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2B:64184791:64187910:1 gene:Sspon.02G0019700-2B transcript:Sspon.02G0019700-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPAPAPPPPLAVHLVTGGGSSPELALLLRSLAAARVVALDAEWKPRRRGSPAAAAAVPAALGDGTTPASEASPAPPKFPTVTLLQVACRFGDGGEGERSEVFVVDLLSVPLADLWAPLRELFERPDALKLGFRFKQDLVYLSSTFSAALGCDSGFDRVEPFLDVTNIYYYLKGHDRQKKLPKETKSLATICEELLSVFLFKELQCSDWSCRPLSEGQIQYAASDAYYLLDIFDLFQKKITMEVTKYSEKIILTESDAKPRTSRRKEKLRIPANAKCKDKVDCSSEWQGPPPWDPSIGGDGYPKFLCDVMVGSPDLVTPNSCTIHNFFYYHFAVDKM >Sspon.01G0039120-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:20749087:20752721:-1 gene:Sspon.01G0039120-2C transcript:Sspon.01G0039120-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding HFPPSDPSSSVLTTRSLLPPPPAYSPTPPPPPAPSPPTPLPQPPTGADPLSLLATAGFAPPTPTPGPGAARHRRRGPRGRRRLTRGDQNSSWRRGLRWPRSPTRPGRRCSSPRQPIMNTTQKANSVEQDAKVLKHASQFKRWGRKHPFVRYGLPLISLTVFGAVGLAHLIQGSKEVTKEKEDIEWEVVETTKALSRTGPVEGAYKPKKLSLEDELKALQQKVDINSYDYKPIPRPNEK >Sspon.01G0023830-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:106044465:106045697:1 gene:Sspon.01G0023830-2P transcript:Sspon.01G0023830-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAMDSKQSKGSNKKSKSLLGKYELGSLLGRGTFAKVYLARPVAGGEAVAVKVLDKAEVMGTAGMAPRVLREVTAMRRLRHPNVLHLHEVLATRAKIYLVMELAPGGDLLSRLAALPRRRLPEHAARRVFVQLVAALSYCHARGVAHRDVKPQNVLLDGDGNLKVSDFGLSALPDSLRDDCRLHTVCGTPAYAAPEVLRRKAYDGAKADAWSCGVILFVLLAGHLPFDDSNIAVMCRKAHRREFEFPEWVSQPARRLVSRLLDPNPATRVAVETLTTHPWFKRSLSVDSQLGGLLNGQQERALAFQAPAMNAFDIISMSSGLDLSGLFDQRNRQRRFMTTASPERTLEELGRAGGKLGYVVVGKKGVDCLPLGGLPGLAAMTVEMSEVAPRLMLVELRLEVVDGDGDGEGQ >Sspon.01G0052560-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:24316512:24319184:1 gene:Sspon.01G0052560-1C transcript:Sspon.01G0052560-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLDAKKGLRELELSCSSTVRPLQTPELVRKIEDIFEEMNPPLCLESLKLVNYFGTRFPRWLSVTFLPNLHDLDIIGCNFCQSFPPLGRLPELRSLYIADSLALKDIGAEFTGTEHPHQVPFPKLENLHLQGLQKLQTWTDIEPGAFPSLRQLQLESCPNLQNLPVGLRHVTSLTKLHIADMASLEAVDDIATLRELSVWNTPNLKRISNLPSLEDINMCHCPMLESVENVDGLQTVHIFDHDLRDMPRWIEAHASKLRSLNFTSTVELLKRCLVDGPDWPVIKDIKEVHGYSTGSNYIYYNRSPYIFESNVNDEDNLCVRENEADPDNVDDISVSSSGTGYLEIRGFFDSKVLKEGTTRAEEILSGRSVGRTMLGFTHRHLHKLAPEDDEVEDRTDSAMLLPSNPTRATPSAAKVGCVMTEYDNDPGSLPKATSYESQAITNDEIYHDNIVTSAFPRQSMSKTAKDVSSKPSTDGYATLTKSAAPIGHNLVRQGSQAINSTEIGQGSNFSSIQRKEHTSKKGEGINADVTVAKGISLVHSRQVMTSNNGKDDFADNAAATICSSDIVSQKHIKGQTATSANGSINATPMPENPSDKGPEKSAGVTGSSFIHEASHTVFVNETTRDLASSLIHSKQQMSDKEVEVSDALGPANAVDNNGNQMEDGNISSSVKLNHKESKALGASTTNCDTGPCKLLASLACSNQQTMKTLQAASADQNDGMESKITETARSRCAVESVKYSSGETAKNISRSLAKTADPTSHPIDITEAAMRKAKATTVRKHSMNEAVNDDRTDKDAPCSIDAKADDSQQAPKVHTAVWVDTDTDALRARLVDSMRHLRRMASRRRHRQRKRGSNNRWSIGPALVVILLLVSMVQLLFILWLYRRLLNQN >Sspon.07G0009220-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7C:21425930:21427204:1 gene:Sspon.07G0009220-2C transcript:Sspon.07G0009220-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEVHEADKNIEIWRVKKLIKALDAARGNGTSMISLIMPPRDQISRVTKMLGDEYGTASNIKSRVNRQSVLAAITSAQQRLKLYSRVPPNGLVLYTGTIVTDDGKEKKVTFDFEPFRPINASLYLCDNKFHTEALNELLASDDKFGFIIMDGNGTLYGTLSGNSREVLYKFTVDLPKKHGRGGQSAVRFARLRMERRHNYLRKVAELATQYFINPATNQPNIVGLILAGSADFKNELGKSEMFDPRLQAKVVKMIDVSYGGDSGFNQAIEMSAEVLSDVKFVQEKKLIGKYFEEISQDTGKYVLGVQDTMTALEMGAVDILIVWENLDVRRYELKNSVTGDTVVKYLNPTQEADQSNFTDEATSGDLEVIDNTQLLEWFAENYHQFGCTLEFVTNKSQEGSQFCRGFGGIGGILRYPADVSAYQD >Sspon.06G0009530-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:50875575:50876881:1 gene:Sspon.06G0009530-1A transcript:Sspon.06G0009530-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMTLESVPAVLRDAERKSIENSSVQLWLRRLKNAMYDISDMLDEFEADTQPAARQMSLKKGILQLASIGPKTKLANRMKKMREELKEITDQHVRYEENWQRRESNNRNADDTYSPCRTIAGKNIIIVLDDLWEDNDQFQLDELKKMLKVSEDGKIVVIVTTRNESIANDLRTIQPYKLALLSDDSCWTI >Sspon.03G0044900-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3D:5055539:5056667:1 gene:Sspon.03G0044900-1D transcript:Sspon.03G0044900-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VLVPSSLLPMESSYHSNVTHKCMEGLIKRGLLRVRTEVVELLVRSCEEAPGPPDGYDIVAFIALCEGYLGIVPHFKLWRYFFSVSLHKKRERRGDLLVLMGCASIHLRGHRSAEYMALQLSRSNKGWHALWLYMKNDTASPLLDFTGCLIEETPPVWGWGPPEKEKKRLCDLLDAIALLKRNGLRRPVSSGRITRGGTVLAQEALHDSEVAQRVKEVTKESDDVFSILGHPVMRLDTGFVDLVSFGFRTSIVPLPEHGAVRAVNRTTDKKRKKKKDEEKMRGGRRSERG >Sspon.02G0042910-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2B:87082073:87082387:1 gene:Sspon.02G0042910-1B transcript:Sspon.02G0042910-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEIFLRLPPDEPEHLFRAALVCKPWLRILCDPGFLRRYRAFHGAPPLLGLLHRLQVLEGDPPPRFASTTSMPDFPHPQVLDCHHRRVLDCRHGRVLALNYMEDA >Sspon.02G0030230-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:110518793:110524026:1 gene:Sspon.02G0030230-2C transcript:Sspon.02G0030230-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATALSLGKSVLDGALGYAKSAVVEEVALQLGVQRDHAFIREELEMMRAFLRAAHDERGDHQVLMTWVKQVRDVAYDAEDCLQDCSVHLKKPSWWRRPSTLRERHRIAKKMKELRARVEDVSQRNLRYQLVKTSGSKPEDDAEVSGAAAMSGMEEALRQQNKSKADLIRLINKKDEDLRVIGIWGTSNVLGEKSIVRRAYDGLKRGRKFQYHAWISMVRPLNTTVILQDVVMQFAVDYLKEETKKHTSAPDEVQDLRRLWVAKEDDLADEFRKFLNEKSYLIVVNGLSTMDEWDQIRTCFPTNKKGSRLLVCTEHVKVASLCVEPSTLLLPEHKQLFPDKDLYAFYDKGSQDITCSEDPGPSSTSDTLDDSHSGDGKHLTRMETNVTAFKESKLIGRQNEKSQILKLISNEVSQDFEVISVWGMGGLGKTTLVKDVYQSQELNAMFDKRACVTVKRPFNHTEVLKSLAEQLSGQKQWNHDILDGKRYLIVLDDLSTIKEWNDIQSYFPRIVTESRIIITTRLNKIARHCSSKTTHIVDLKVLEEKDAHDLFTEKVFGKITNLKEECPELHEEAELIMKKCKGLPLAIVTIGGFLAKQSKTPMEWKNLNSHISAELEMNEGLRNIKNVLNKSYDGLPYHLKPCFLYLSIFPEDYNIKRSRLVRRWIAEGYSTEMLGTSFIETAESHFMELIDRSMILPNQTTYFSQRAINSCQVHDLMHEISISKAAEENHVFKLEEGCSSNTNGPARHLTISANWKGDEADFESTVDVSRIRSLTVFGKWRPFFISDKMRLLRVLDLQNTRGLYNHHLEHIGKLLHLRYLSLRGCDDIDHLPDTVGNMRQLQTLDIKRTRIMLPKTIVKLKRLQYLRLGGSRTGYCYLLESFLNDCAAFCAPRLTSIPQDMTWRERCYSCWCFTVPALGRPFSNGTNVPRGVGNLKDLRTLCRVDIRSGNGLIKEIKKLTQLRKLEVNGVSRKNCQEFCSTLEVLSCLESLAVTSSHKDGSGCFSEAVFPPLNSLRSLKLEGKLVKMPAWIMSLPNLVKLRLKFTDLTDSVGTMQLLGNLPSLAILQLYAHAFDIEGLRLDFLPEAFQRLVALELCNSQRSYRSAQTSEIKSVEFKAGAAPKLEVLKFTYRYAAIRNNAGLFFGLASLPNLKRFELGTGSSEGKEPFVEDVRAQLAQNQNRPVLIKDW >Sspon.05G0030930-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:2340344:2343188:-1 gene:Sspon.05G0030930-1C transcript:Sspon.05G0030930-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RHMHWRDDTAHSTAFPTWKRSCVPSEGCPGPSFMLLPRTATELEKRGGAKTNSTVSLTARSAVRPRLRDANWAWPMGRRGPPPAPPRSDPPRHAEMARHEASSSAAAAAPGVDFHLPDEILAVIPTDPYEQLDVARKITSMAIASRVSRLEADAARLRRDLADRDRAEAELRARLADSDARLAAALDAQAKLAKERDSLAATTKKLARNLAKVLA >Sspon.04G0014900-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:59161234:59179877:1 gene:Sspon.04G0014900-2B transcript:Sspon.04G0014900-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRMKHLLRKLHLAGGPAGGGGAAPDHHRPRHRRSGHPTPPPLPPGAAAVVVAAGAPEPPQPAVTPAAAAVSVAPAAAEEPRGLGAEAATTRLEEDYQVRLALAISASDHAGLVDADSVQIRAAELISLGAATGCGPHDRSRAAEALSARYWNHSVVNYDEHLPDGFYDVCGAQLHPGFQAKFPSLDYLRAVPLGRDVAFLAILVDREHDPALKRLEDRAAQIAAQTRARHGGAASAELAQKIVGLIVNAMGGLVEDADGMNREWSIKSRELSLQLNSVVLPLGSLRVGLSRHRSLLFKVLADRVNLPCKLVKGICYTGTDEGAVNLVKDSNNSQLSSDAIEESVAELCLALEQINGGYENKNTIGGCSSGHSSNLALTSSHLGDLSQTEFKQNVVSEKKNEGDISEHVKVDDVSKYIVPEVVDPQFAQNLHDLLLESGTLLPSDLLSDQNSHNIHEKESTGWLLISQTTQNLPNAFVAKDSSSPDEDAQHPVENTEEVIRDLDLHGHTASAISNEDQRAAEGSSVNMSGSSNGNLDKLSWSSAKTISSVIDDVAEYEIPWEDLDIGERIGLGSYGEVYHADWNGTEVAVKKFLDQDLSGVSLEQFKCEVLADRVNLPCKLVKGICYTGTDEGAVNLVKDSNNSQLSSDAIEESVAELCLALEQINGGYENKNTIGGCSSGHSSNLALTSSHLGDLSQTEFKQNVVSEKKNEGDISEHVKVDDVSKYIVPEVVDPQFAQNLHDLLLESGTLLPSDLLSDQNSHNIHEKESTGWLLISQTTQNLPNAFVAKDSSSPDEDAQHPVENTEEVIRDLDLHGHTASAISNEDQRAAEGSSVNMSGSSNGNLDKLSWSSAKTISSVIDDVAEYEIPWEDLDIGERIGLGSYGEVYHADWNGTEVAVKKFLDQDLSGVSLEQFKCEVRIMSRLRHPNVVLFLGYVTQPPNLSILTEYLPRGSLYRLLHRQNSRIDEVRRLKMALDVAKGMNYLHSSHPTIVHRDLKSPNLLVDKNWVVKVSDFGMSRLKHHTFLSSKSTAGTPEWMAPEVLRNEPSNEKCDVYSFGVILWELATMRVPWSGLNPMQVVGAVGFQNRRLDIPKDVDPRVASIISSCWDNDPSKRPAFSQLLSPLKRLQRLNLCGIDVFGRRFYTSPCEKCESLKLCKGAAGESV >Sspon.07G0017160-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:61790950:61797370:1 gene:Sspon.07G0017160-1A transcript:Sspon.07G0017160-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPSGAPRPRPLRNNGGAPPMILYSPPPPYGAIGYGPIGGPTRLPPPYAAALLYPPYYGGPAGFGNPAPMPAVHAVQVQVQSVTAANFAAELDIIGSLLPSYPYVVIDTEYPGTVHRPPAGRRDGDLSPDERYALVKANVDELPIVQLGITLCDAHGNLPCVPDYRYGYYHGYAERAWEVDFSDFDVSRDRHAAQSVAFLRSQGVDFDAARARGVSSAAFGTKLAQILSAPREGVELTWVTFGGAYDLAYLVKMLDGGQPLPETRQGFMERVKDLFGGRVFDAKFMAENCGRADLRGVGLRSVAANLGAAMPAADLPWLAGTKSVTAYRIHTILRLHVLSQDTAAGFEGVIDGLQPIADFDVGRDGHASQSVAFLRSQGVDFDAERARRQLGGIRREARRDPVGAARERRADVGGLRRRLRPGQLAYLVRMLDGGQPLPETRQGFMERVRDLLGGRVFDAKFMAENCGRADLRGVGLRSVAANLGAAMPAADLPWLAGTKSVTAYRIHTILRLHVLSQDTAAGFEGVIDGL >Sspon.08G0030270-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8D:56635193:56635408:-1 gene:Sspon.08G0030270-1D transcript:Sspon.08G0030270-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding IWVTLPIEINGIDLSPINGVVAYFGVGVVATGGASGARKRLQLWVVRPWALGAHGIRGDVNRIKIEQRDQG >Sspon.07G0009240-6P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7D:22700213:22702238:1 gene:Sspon.07G0009240-6P transcript:Sspon.07G0009240-6P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKEKSHINIVVIGHVDSGKSTTTGHLIYKLGGIDKRVIERFEKEAAEMNKRSFKYAWVLDKLKAERERGITIDIALWKFETTKYYCTVIDAPGHRDFIKNMITGTSQADCAVLIIDSTTGGFEAGISKDGQTREHALLAFTLGVKQMICCCNKMDATTPKYSKARYDEIVKEVSSYLKKVGYNPDKIAFVPISGFEGDNMIERSTNLDWYKGPTLLEALDQITEPKRPSDKPLRLPLQDVYKIGGIGTVPVGRVETGVIKPGMVVTFGPTGLTTEVKSVEMHHEALQEALPGDNVGFNVKNVAVKDLKRGYVASNSKDDPAKEAASFTSQVIIMNHPGQIGNGYAPVLDCHTSHIAVKFAELITKIDRRSGKELEKEPKFLKNGDAGMVKMIPTKPMVVETFSEYPPLGRFAVRDMRQTVAVGVIKSVEKKDPTGAKVTKAAAKKK >Sspon.04G0001640-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:2798384:2799376:-1 gene:Sspon.04G0001640-2B transcript:Sspon.04G0001640-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALGSESQAAATTADPSCPPFPLLEEDGGHIHEQEQEVPCGAELELPTVDLEAPGEALAAACRGLGVFRLANHGVPAALSARFFATARAALAGAPFSDKRAQPGYFWGTPALSLRVRDVNWVEGFHIALGVVPGHQLPAPLGDLLDLAAEYGAHMARVARALFDALAASLGLGAGQAATYLAERDGFLRVYRYPRCPEPGHLGMEAHTDSSVLSVINQDAVGGLQVLHDGAWRDVAPGAGETGTLLVNLGDMARAISGDAYCSVRHRVAASQAAERLSLCYFAFPQDDAVISCAGGRYRPFTYAEFREQVQADIKATGSKVGLERFLLRH >Sspon.02G0027260-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:123173995:123182534:1 gene:Sspon.02G0027260-1P transcript:Sspon.02G0027260-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHVSFYRNYGKTFKKPRRPYEKERLDAELKLVGEYGLRCKRELWRVQYALSRIRNAARHLLTLDEKNPRRIFEGEALLRRMNRYGLLAEGQNKLDYVLALTAENFLARRLQTLVFKAGMAKSIHHARVLIKQRHIRVGRQIVNVPSFMVRVESEKHIDFSLSSPFGGGPPGRVKRKNQKKASGGGGDAGDEDEETSLQGAFKTLVFKAGMAKSIHHARVLIKQRHIRVGRQIVNVPSFMVRVESEKHIDFSLSSPFGGGPPGRVKRKNQKKASGGGGDAGDEDEE >Sspon.03G0009650-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:40955031:40964507:1 gene:Sspon.03G0009650-3C transcript:Sspon.03G0009650-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGGGGGGGARGPSGPVPASARKLVQGLKEIVNRPDAEIYAALRECDMDPDEAVSRLLSQATHFVYSSHIVDLSPDTFQEVKSKRDKKKEVKETPEPRSRGASNSSRSSRGGVDRAGRSSSVQSGSSGTDYMASRSSILGPAVPATNAMQKPTVPSLPTNKDVVPNGSVGAPQSSSGFQHNWFGVPGQMSMADIVKMGRPQVRSSGKPMAAADTSYAGQNSKQSASTALPTTFDQGFPALPDPIPHTVNSSHGSAGNNHTHDNDWFPQDELPSEAQSTGIEASGDQSLSVASLDQSMLVADAAYSQENSHAEENNSTAVKATLSSKRHLEIVEEDNHFNDGLLQNSSAYQAQVHSYVDNEVGISNVDAESAAANFQHLSLQNEDIAATKSAEDNPAVILPDHLQAANADCAHLSFGSFESGAFSGLLSSKVPKGSLEDDDVHIPDESPSVNRIDVRNQDYYDDDALNSSANEDVETRIGTNMDNIDGPSVSESDELRQGAIEVPGLQYDLPSVSSHAYSNTTQPSTVEDPQGNTHAQPFSHFSGLLQANALPNNLLGSNLTPLREFDFSQLLQTQSATKYNPSVAPNNLPAISMQETLKPGGFPNTQSTQHVPSTSIPSGLPLPQQLPVYSQPTLPLGPFTSLVGYPYLPQNYYLPSAAFQQAYSSNGPFHQSAAPAVPGAGMKYSMPQYKSSPPASSLPQPSSLSGYGGFGNANNIPGNFSLNQGAPSAPTTLGFDEALGTQFKDPNHYAALQQSDNSAMWLHGGAGSRTVSAVPPGNFYGFQGQSQQGGFRQTHQPSQYGGVGYPSFYQSQASLPQEHPQNPTEGGLNNPQGVPSQPSHQLWQHIY >Sspon.03G0027670-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:10041493:10046677:1 gene:Sspon.03G0027670-2C transcript:Sspon.03G0027670-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRLPYVTALTTLFSYGLLFAFGQLRDFFRRILDARKPSNLKVRCHCLPARPGFVMLPAPSHAGCSMPLLSPQGYAPICLGLEDFYTRRLYLRIQDCFGRPIASAPDAWFDVVERYSNDCNKTLHRTTATTKCLNLGSYNYLGFAAADEYCTPRVIESLKKYSASTCSVRVDGGNTKLHTELEELVARFVGKPAAILFGMGYVTNSAIIPALVGKGGLIISDSLNHNSIVNGARGSGATVWVFQHNNPAHLEEVLREQIAGGQPRTHRPWKKIIVIVEGIYSMEGELCKLPEVISVCKKYKAYTYLDEAHSIGAIGKTGRGVCELLGVDPADVDIMMGTFTKSFGSCGGYIAASNEIIQHLKLTCPAHIYATSMSPPAVQQVISAIKVILGEDGTNRGAKKLAQIRENSNFFRSELQKMGFEVLGDNDSPVMPIMLYNPAKIPAFSRECLRQNVAVVTVAFPATPLLLARARICISASHCREDLIKGLEVISKVGDLVGIKYFPVEQEKTTAWK >Sspon.08G0013540-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8A:56538969:56539793:1 gene:Sspon.08G0013540-1A transcript:Sspon.08G0013540-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRSLEAIIADAKELVVLLTACPPVSCRQPYSAHLFLDKCMFGRHAERDQVLEFLLQAEPPAPVTAANPGPGVLRIIGPALIGKSTLVEHVCNDKMVRGQFSLILLHTAAGSAGLGGLGTMATLRDNCTTKHQNNTEDERWLLVIELSGDVDDEAWNNLFYSRGRKCMPRGSKVILTSRSKKIERFETTRAVRLKCLSMEAMWYFFKLSAFGSADPEEHHKLASVARDMAVKARGSSMATNIMAAMVRADLSSRQLWCKVHATTRHYMQKNLVLF >Sspon.04G0007300-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:20815300:20817218:-1 gene:Sspon.04G0007300-1A transcript:Sspon.04G0007300-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVQCDVCAAEAASVFCCADEAALCDACDRRVHRANKLAGKHRRFSLLNPAPLSSSGSSAQQAPPPLCDICQEKRGLLFCKEDRAILCRDCDVSVHTTSELTMRHTRFLLTGVRLSAEPAACPAPPSEDENSSGSFCCSAGGGDAAAAPPSAAPATSSHGSGSDNGSSISEYLIKTLPGWHVEDFLVDEAAAAAATNIGVSSADASYLQGGLARIGGRQDGYGYSAWMVQEQFFYEDSAAAAGGGGARGSREQWVPQMAMYSSTGLAGAGSKRSRATSAASSYSY >Sspon.05G0018690-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:77962243:77963310:1 gene:Sspon.05G0018690-3C transcript:Sspon.05G0018690-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEEKHHHLFHHHKKDEEQPTGEYGYSETVATEVVTTGEDEYERYKKEEKEHKHKQHLGEAGAIAAGAFALYEKHEAKKDPEHAHRHKITEEVAAATAVGAGGYAFHEHHEKKKDHKDAEEASGEKKHHHLFG >Sspon.01G0006220-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:16670398:16674790:1 gene:Sspon.01G0006220-2B transcript:Sspon.01G0006220-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAALRREGRRLLLSPTVPNPSPAAASAAVTRSSAISQPPILCIFFVHIELLVYGFVLTAHYVNREMAPLGARSISTQVVRNRMKSVKNIQKITKAMKMVAASKLRAVQTRTENSRGLWEPFTALLGDAPSVDVKKNVIVTITSDKGLCGGINSTSVKVSRALHKLTSGPEKESKYVILGEKGKVQLVRDSKNSIEMTVTELQKNPINYTQIAVLADDILKNVEYDALRVIFNKFHSVISFKPTMVTILSPEQVAEKESETGGKMGDLDSYEIEGGETKSEILQNLAEFQFSCVLYNGALENACSELGARMSAMDSSSRNAGDMLDRLTLTYNRTRQASITTELIEIISGASALEGK >Sspon.08G0028220-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8D:6802986:6803318:1 gene:Sspon.08G0028220-1D transcript:Sspon.08G0028220-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPIVVKARWSLSGTPIQYPQVDLALRFFNHQQQQGVRCFQLSSRTVGDGRRNHALQMQAVTPPRAHGSARAAAAGSNGEEPRGAVRCHFDRRLYFSTRAPGLSFPAPFF >Sspon.01G0030850-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:106438978:106441051:1 gene:Sspon.01G0030850-1T transcript:Sspon.01G0030850-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHMRAAGTAITGSSSATPVPSAPSPASHHDDDDVEVTTFRDIHPLTPDVPTPPARTRSWDTASHRSFSSEEQFMTMSREFTAMVAAGATMQTGTGGANGGYDGGADQLTSIGEDELEETNPLAIVPDSHPIATPARSRASAAGLEVVPAGPTPTPQPPAHVEASQVKKEEVETKVSAWQTAEVAKINNRFKREEVVINGWETEQVEKASSWLKKIERKLDEQRAKAVEKTQNDIAKARRKAEEKRASAEAKRGLKLAKVLELANFMKAVGRVPTKRSFF >Sspon.07G0010280-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:27576479:27578029:-1 gene:Sspon.07G0010280-3C transcript:Sspon.07G0010280-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVDNSRHDQDHRAAAAFSVELEVEHVGERKNGAAPARTVLEQEEPEPRTEGRRRPGRARRLARRLHPATVARACWRWLKHPAHLALVAWALCVAASGSMLGLLLLGSLNGAFPRRSIRNRWIEINNQVLNALFTLMSIYQHPALFHHAVLLLRWRPGDAKVLREAYCRKGAGAGARRGERVHMSVVVALLHAACFAQYAMCGLYWGYSRRARPDDALTSLTVVGTVTPVIAGLYMYFSPLGRKRGGQSVHQEPGERSAASPDHNGGIVAVEVAAGAEWAGGLLDVGDDPTACWLSCLCTFCVFGWNMERLGFGNAHVHTAMFALLCFAPLWVLSAAALTIRNDDVSFAVGATGVVLCALGLLYGGFWRARMRRRYGLPATNACCAASPSLADYGQWMFCWSCALAQEVRTADILLDVEAGSVSRPDSDGRRVDAADAQALLPLPRESGVKSFHQGGSSHLAKSATIDTHSVQLASYSTSRGDESPLLLHDQGSSASSGEMTPPVPPSIPEGERR >Sspon.02G0032470-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:120721100:120726143:1 gene:Sspon.02G0032470-1A transcript:Sspon.02G0032470-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAGVTGVVAKLGELAAEEATALLRVDAEIRALRRKLAYLQALVRGADRQRRGRASELLLLWLRETREVAFEVEDAVDEFHLRVETCRLGARWRRRCRWWWGWHRDAVSLVQALATQIFVRHGLSNQISKINERIEELNQNKETYQIESSPSEIWSSSSVEVDPEWYEDKYVIGSRESEFGILKDLIIDKEGDISHQAVISILGERGIGKTTLAKKLYNDPDIIKHFEVHAWVCLPPHIRFRDYIEIMYMQVSSQVPETPEKNDSTTSAPGNEETTDMEFKLWQNLENRRYLVVLDGILRSKLIPMEWDVIFEQLESNGQPKPVRSIWSLAFDDLPHYLKSCFLYFASVSENVILYPDRLVRLWIAEGFVMPKKAETLEDVGFDHLKELVARGLVQVMEKDAGGSIKLVAIHNLLHAFVESEAQDSSFLEIHHHANVVNPNAVRRLAIQNYVDAYVHIPNVFPKLRSLLCDFAEDQCSSSSFGELQPQSLWGNLAELCSRACGISENVSSNTLHGLHFLQGSRFLRVVDLNGLKMQKLPDEIGNIIHLRYLGIRKRNLEELPFIRL >Sspon.01G0009210-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:26294069:26295305:1 gene:Sspon.01G0009210-1A transcript:Sspon.01G0009210-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHRFVVAACVATVAFALLATTSSAQLDPHFYDKACPAALPTIKRLVEEAVAAEPRMGASLLRLHFHDCFVNRVFDLISLCGCDGSILLDDTPFFTGEKMAAPNANSVRGFDVIDRIKGAVNAACRGNVVSCADIVAVAARDSIVAVRSFASIVLLHARKPSPPLGGPSYNIPLGRRDARTASQAAANNSIPAPTFSLDRLASNFASHGLSLQDLVVLSGGHTLGFSRCTNFRDRLYNETATLDGSLAASLRAVCPRAVGSGDDSLAPLDPTPARFDGAYFASLLRSRGVLHSDQQLFAGGLGVTDALVRFYAANADAFRRDFAEAMVRMASLSPLTGSSGEIRYNCRKVNYS >Sspon.04G0003270-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:9814959:9816725:1 gene:Sspon.04G0003270-1A transcript:Sspon.04G0003270-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Photosynthetic NDH subunit of lumenal location 4, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G39710) UniProtKB/Swiss-Prot;Acc:Q9SCY3] MAPPISSLSSLVASSQIPTPLLPKPSSRALAVAPCSSASSSASPSTSSTPPCHAAAPASAGRRGLLALGAGFLASAALLCPAGDAGATRVEYYATVGDKLCDLSLVKSGLAYCDVEVGTGVQPPRGELINVHYTARFPDGTLFDSSYKRGRPLTMRIGAGKILRGLEQGISGGGGVPPMLVGGKRKLMIPASLGYGPEPAGCFSGDCNIPGNATLLYDLYLVGIYK >Sspon.01G0016300-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:49972463:49976103:-1 gene:Sspon.01G0016300-3C transcript:Sspon.01G0016300-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEPKILELSNGKITARISNWGATITSLLVPDAQGSIADVVLGFDDLDPYVKGMSPYFGCIVGRVANRIKDGKFRLNEVEYSLPVNNGPNSLHGGLKGFDKVVWDVIEHKDGECPSITFQHHSKDGEEGYPGDVTIRATYSLPEATTLRLDMEATPENKATPISLAQHTYWNLAGHASGDILNHSVQIWGKHITPVDENTIPTGEVMPVNGTPFDFTTEHKIGERINDVPGGYDHNYVLDCGDEKNGLKHAAKLRDPSSLRTLDLWTDAPGMQFYTANYVNGISGKGGAIYEKHAGVCLETQGFPNAINQPNFPSVVVRPGEKYKHTMLFEFSN >Sspon.01G0014840-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:27357454:27364512:1 gene:Sspon.01G0014840-2B transcript:Sspon.01G0014840-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSSARSNVSEGNHLGYARSTSMESSGPSLAGRSGSMLSRRSSRQGSRGSISLSREMGDSILSSMRHSLQSADQLLGDIDSSVLAQLIESGRVLSPEGDVDEDTARISEHHKIGPLPDDAIKQNSDKFASAPVSSAETKDTISNVLADASTKVEPYKLSLRLDYAAYMIHLAVFGFFGVFTRYGLQKLFGPDCLALTSDQSPLYPDLPSNMLGSFLMGWFGIIFKADIRYISDHLIVGITTGYMGSLTTFSGWNQKMVGLSSKGHWVYAIAGVVLGMFIVNESITIGAETGERLRSLILKYIREKSLIGHKYEWEHWRLDTKTKQSVLLSVMIILMSFFWVLSIVLTVVKMHSLADGAVLWMGCSVAPPGVWLRWYLARLNGQGIGKQRSLKWLPIGTLVANVLAAGIMAILAITSKAVHTERSTTIISGIQLGFLGCLSTVSTFAAEVYTMRRSGQIARAFVYAASTFLLSFVLGTLIPEPADMQSVEEQNDLLED >Sspon.03G0016540-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:52340304:52343265:1 gene:Sspon.03G0016540-1A transcript:Sspon.03G0016540-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSAMELSLLNPAATHRHRGGLAAAGLPLAPRRSVVRFRVSASAAAAAPPKSSGPKKRGKTEIQETLLTPRFYTTDFDEMERLFNAEINKQLNQAEFDALLQEFKTDYNQTHFVRNPEFKAAADKMEGPLRQIFVEFLERSCTAEFSGFLLYKELGRRLKKTNPVVAEIFSLMSRDEARHAGFLNKGLSDFNLALDLGFLTKARKYTFFKPKFIFYATYLSEKIGYWRYITIFRHLKANPEYQVYPIFKYFENWCQDENRHGDFFSALLKAQPQFLNDWKAKLWSRFFCLSVYVTMYLNDCQRTAFYEGIGLNTKEFDMHVIIETNRTTARIFPAVLDVENPEFKRKLDRMVVINQKIIAIGESDDIPLVKNLKRIPLIAALVSEIIAAYLMPPIESGSVDFAEFEPQLVY >Sspon.02G0002250-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:7235196:7237657:-1 gene:Sspon.02G0002250-1P transcript:Sspon.02G0002250-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPALLAPDPFADLPFPEFQAPVDGESFAFEDFDLEDLDLDVDFDLDLDLFASDGQLSQPPPLATSSSSAGSPERGSSSSGAGRDGGGLRNEESSESSSRSASGTDGSGQGKGEEDEAKRRARLVRNRESAHLSRQRKKQYVEELEGKVKAMQATIADLSARISCVTAENAALKQQLGGAAGAVPPLMPMYPTMYPLPMPWMHPAYPMRGSQVPLVPIPRLKPQQSAPAAAEPPAKKARKTKKVASVSLLGLLCLVMLCGCLIPAVNRMYDSVDAGEGSAFRPPHHGRVLAVEGSRDNVLDGIDPKPPQSASETLPALLYLPKNGKHVKINGNLVIKSIVASEKASLRLSGYDGNSPQNQKKEETSLAIPGYVTPLEAGEVMESTKGMMKNELMALAPADGNVYREEDGLLPQWFSEAMSGPLLSSGMCTEVFQFDASPSSAHSNGIIPVYSNAMSNSSQNFTQNLPSAQPHMVKNRRISYSEAIPLRGSTSNDTEHEE >Sspon.03G0007770-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:7621651:7623411:-1 gene:Sspon.03G0007770-2P transcript:Sspon.03G0007770-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVGARPVLYHHPAPAGDAASMSSYFSHGGSSTTTSSASSFSAALAPTTTALAEQFDISEFLFDDAGVAGAPGVFADGAAPVVVSDAAAAAGGGGAISSAAGSAAAAAEAVPERPRTERIAFRTRSEIEILDDGYKWRKYGKKSVKNSPNPRNYYRCSTEGCNVKKRVERDKDDPSYVVTTYEGTHNHFSPSTVYYASQDAASGRFFVAGTQPPGSLN >Sspon.05G0033730-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:39552297:39557991:-1 gene:Sspon.05G0033730-1C transcript:Sspon.05G0033730-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGCLLIVTTNIPLQTMPSLGTTIPTEIGGTIDSDIKPMIFIDNKKLILAFVRPSIKARPISSISESTCDNNIHRLHSGKVKSIKLMLPDSEGKDDQDSPAGSEPRSRNLHAGDVHDHGRDLLRHRLIQAVFKKVQLEVNQFGFIIYNANVDVPRHVYSYLDQKMQQRIYRNR >Sspon.08G0002570-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:5668040:5669175:1 gene:Sspon.08G0002570-4D transcript:Sspon.08G0002570-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding HGVSSCVLAAGRTASLLRRLRRHGGRGGQLQPGVRHHLGRRAGQDPGQWPAPHADAGPDLRVRVPVPARVPVRQDRHAAEAGAGELRGHRHRLLPVVAGQHPRRDRLRVPRQRLRRALHAAHQRLHAGPGAARAAVPPLVRPHQGLPYLLHPLEPQARQLHGGRDADQGLPQPGEQGGWRSPRTSRCGSTPACGTPTTGPRRAGRVKTDWSHAPFSASYRGFRADACVAVAGGKTRCGAAAAVGTEGAGAAAGDWYNQELDLTLQQRMRWVQRKYMIYNYCTDPKRYSQGLPAECSMQ >Sspon.06G0019780-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6C:4750685:4754848:-1 gene:Sspon.06G0019780-2C transcript:Sspon.06G0019780-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GKMSSLKGDPMQSGHLLTGDSSEQAMATNQPQSAYKPKNSLLNRAKKGYSDGTFLRLLLLRLPVGTLKRYYKALERAKRISSVSLRKPGGNKEGARAALHTGGNRRVLDRLALQSSISRLMAAARFLVHLLLLAAASASAAAAASTSLSTNATSAPGVSGGNVTGFSFSRFVSANRVVNVTVLGDANIDQGALQITPDSLNDAATYLTHKSGRVLYATPFKLWHRDKDTNATGGGKRVASFSTVFTVNVFRPNGTEPAEGFAFLIAPSADEPPAESSGGYLGLTNAATDGNATNRIVAVELDTEKQAYDPDDNHVGLDVNSVVSVATASLRPLGIEISPVVPVKYDVWVDYDGAARRIAVRMAVSGKPKPRRAVLAAQLDLGAVVADWSYFGFAASTGRKYQLNCVLAWNMTLEKLPCDDDGEDGDGKRRRMLGLAVGVPVGVAAVVGAAVLAYVCVVERRKVHGDDGNSSSAITGTMIRSLAGGPREFEYREIHKATNNFDEKMKLGQGGYGVVYRGVVVGDHTSPGGAGSAVEVAVKKFSRASTQGQNDFLAELSIINRLRHKHLVRLVGWSHDNGELLLVYEFMPNGSLDQHLFSPAPGRQLLGWELRYNIVRGVASALHYLHDEYDQRVVHRDLKASNIMLDAAFSARLGDFGLARAIETDKTSYMEEAGGGVHGTIGYIAPECFHTEKATRESDVYAFGAVVLEVVCGRRPRCDIEGFHFLVDWVWRLHRDGRALEAVDARLDGGFDADQAERLILLGLACSHPTPAERPKTPAIQQILLGSMPPPVVPPFKPSF >Sspon.07G0008520-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7C:19134060:19134335:1 gene:Sspon.07G0008520-2C transcript:Sspon.07G0008520-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASATALSGAGTLIFLIQSILTWSHGHILQIWWAHVSGFCTCQVPSSALPALRLHHCAWQQHTLGSMLKLNLARLPRISIAPPLLAYTTP >Sspon.01G0044790-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:62849089:62849850:1 gene:Sspon.01G0044790-2D transcript:Sspon.01G0044790-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LTSDDDPSPMDSPGRPRRSPPVDRFLGLFSSPYHSLPASSPSAGDELLEGDLLFPAPPSSSDPPPDASKNPGRVQGGHLGLLAALHEGDRKVRGRGGAATVAAASTATAGTSGTLLRRKATIAAAAAAASSASSVTPSLSPTSASRAIPVAPRPRDPELPPAAPYHQSAPVLVPVPPPWSRGRKWDKLAGGPGDGDDDDEELFHGDAAMLPPHEMVARASAGGGYGSPGKPSSMLEGVGRTLKGRDLRRVRDAV >Sspon.05G0039220-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:65568874:65572431:1 gene:Sspon.05G0039220-1D transcript:Sspon.05G0039220-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLAGVASTNAFKCSANCPTHSNFLLKGNALMAKLPLRRTNCKNCLVWAKSDDLGRDIIKLSEDVIVGYIVMVDKSTNRRTELVRIEGAKVAGVYHPLIHEKVMKVMRRHDRRVFAWTVDDSSSMKKMLYEHVDAIVTSNPSLLQQLMQETRTECMEDDQVIEKIVTII >Sspon.02G0014150-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:39971139:39973711:-1 gene:Sspon.02G0014150-2C transcript:Sspon.02G0014150-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGGAILSGFIPPSRVAAAAAKKKQQRRVTADLLWPGPGKKGAPQEEDDFEADFREFERGLSEDDVDGAGEGGDDEVQELPPPEPARFAFAAAAKAPRPAVGAQKSTAKPTAANATKLALPPKACADEVFNNLSNDNNDLFAMFAFNDNKVPVKPAAGASFLPSVKPLVPNKRSATNMLSDQSSNSYCSSDFGWDDDTMTSDNVIPASYMQGGVSKRMRNNYGVAMLQGNGAPSLAQVMAGFDPEMNYQPLPYVESSSPDASTEQTDIPQDGASNGDIWSLDELLMAA >Sspon.08G0018710-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:10300828:10302216:-1 gene:Sspon.08G0018710-2C transcript:Sspon.08G0018710-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTVVAMLKGEADLGTEMISKPDVIRDFGDLKMRSRATSSTLLTSIMARSSQLSSEETTRTSITFTEISERD >Sspon.04G0007590-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:14818109:14823204:-1 gene:Sspon.04G0007590-2P transcript:Sspon.04G0007590-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAGGGEEEEEEEVFYESRDRVLSSSCSSTSASDDDDNDRPRRRRDGAASAAAAALDVWTSEPAPVQERRRKLLHMMGLAGDPALARLEMGRSVSCDGPVCPAPVSPISRSRSDGAVPVSATKPPLGGRSRQASSGSSEATPEGEETDPRCLIRNLDDGSEFLVKEEFELREVGTGRQLTMEEFVDLCVGRSPIVQELMRRENVANSGSNNGSSTPIQRSNSDSSNGATRHRRHSSWLGIIRNVAGSMVVSSRDRRSSDEKDTSSEKGGRRSSSATDDSQDSAGAVHHGPVRVKVRQYGKSYKELSGLFMNQEIQAHNGSIWSIRFSPDGRYLASAGEDCVIHVWEVSEFERKREENGVCNAFVAMACNPTLALASSVDGSNREKKRRARFLEGRRSVSSDRLMVPEHVFALSEKPIRTFVGHSEDVLDLSWSKSQYLLSSSMDKTVKLWHISSTSCLKTFSHSDYVTCIQFNPVDDRYFISGSLDEKVRIWSIQNREIVDWKDLHEMVTAACYTPDGQRALIGSHKGSCHIYDTSDNKLLQKKQIDLQNKKKKSSFRNTNSQISACLAANGRYVISASEDSHVYVWRNNDNLEQGRSKGNVTVTNSYEHFHCQDVTVAVALPCNGSAMVSRANSTKHDEQDSVLEHSLLHAVPEKLQDSSDFQPQSGNILSTSSNHSGDGATWPEELMTPTKQSPRSSASFPSGADQAPSRSAWGTVIVTAGRGGQIRTFQNFGFPARV >Sspon.04G0017430-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:69354920:69362446:1 gene:Sspon.04G0017430-3C transcript:Sspon.04G0017430-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ATPTASSNLPLATASASASASASTSFPSRSLRTPCTLRRRSWPRLLAGSVKEWREFEDDAGAVKEWREFEDAVRRKDLPRALRFLQSVEPAAVAAPGTAAVQVAVPVPPGRDWEVLDACIDADDMRLVGRAYQFLVDRGVLAGFGKCKNIGVEGPREVTPTVLKEMTGLEGEKLAPKKWGLSGSSPYVLVGFLGGDLMGCPIRGVILDPFVALRMGIQGQVGTQFWDEKMEKELAEGHLSSTAFDRYCMILFAGSAAEALVYGEAEGGENDENLFRSLCILLNPPLSVAQV >Sspon.08G0008230-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:27545928:27548124:-1 gene:Sspon.08G0008230-2B transcript:Sspon.08G0008230-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKRILKELKDLQKDPPTSCSAGPVAEDMFHWQATIMGPSDSPYAGGVFLVSIHFPPDYPFKPPKVAFKTKVFHPNINSNGSICLDILKEQWSPALTVSKVLLSICSLLTDPNPDDPLVPEIAHMYKTDSAKYESTARSWTQKYAMG >Sspon.02G0004810-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:15540375:15541735:-1 gene:Sspon.02G0004810-1A transcript:Sspon.02G0004810-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Remorin protein, Coordination of interlink between abscisic acid (ABA) and brassinosteroid (BR) signalin [Source: Projected from Oryza sativa (Os07g0569100)] MGRSRIGEAEEELEETNPLAIVPDSNPIPSPRRGPPTPGGADVALMAANGHGHGNTNGEGGVSVGQVKKEEVESKIAAWQIAEVAKVNNRFKREEVVINGWEGDQVEKASAWLKKYERKLEEKRAKAMEKAQNEVAKARRKAEEKRASAEAKRGTKVARVLELANFMRAVGRAPSTKRSFF >Sspon.02G0017780-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:54038628:54043734:-1 gene:Sspon.02G0017780-1A transcript:Sspon.02G0017780-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVLTRYQNLKLKWQMAIISVVGVYVFLYGQLYLVLSGLQRALLLEAQTQNI >Sspon.03G0006830-3D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3D:24154199:24157106:1 gene:Sspon.03G0006830-3D transcript:Sspon.03G0006830-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNLALYKICTCRFGRTKHGPNANATPIRAAEQDARTQANPKPGRARPRAAATYNPGRERWQLHISFAASASAAVEAITGRSLAMDPCAFVSLTVDQLLLKLPAVPRPSSGAGVHPSNSPCFCTLTLQDHHPSSLSRTALLPLASSAASGPGAAAAAYADPVVLSLDADAVRRLSARPAELVVSVHAGPTGSSCGVSASRALGRVRVAVDVARAAAGETVVARDGWVDVGKPASSGSSSAAAAVSARAQIHMVVRAEPDPRYVFQFGGEPECGPVVYQVPGGAAGGGQQRQPVFTCRFSAGRRAARSRSLTPQSSMTRSTSRRLRYWLSSTLHGEGRDGAHSRREQRKGWTVTIHDLSGSPVAAASMVTPFVPSPGSGRVSRANPGSWLILQATGAGPSSWKPWARLEAWRERGPVDALGYRLELVFDSGPHECAVPIAESSINTKRGGQFVIDPATFPEAAAGAAWPFAGGFVMGSTVEGEGRASRPTVQVGVQHVTCMGDVAVFVALSAAVDLCMDACKLFSQRLRKELCQDQDE >Sspon.01G0018500-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:74817864:74833451:1 gene:Sspon.01G0018500-2B transcript:Sspon.01G0018500-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASTFLVAPVHAPPLVRPRVVPPPPTCGSAPRGGLTLRPQACAPRRRCNPAIRPVVAPKYGDYEEAQEIGEPRSYQVAGQDIWKRMVLLCKAAVEVQWYVPCGGFCYGDGNLVFIANDWHTALLPVYLKAYYRDNGFMPYARSVLVIHNIAHQGRGPIDDFNYLDLPGHYMDQFKLYDPFGGDHLNIFAAGIRAADRLLTVSHGYAWELKTPDGGWGLHSIINENDWKFQGIVNGIDTTDWNPRHDVHLQSDGYTNYSLETVQTGKAQCKEALQKELGLPVCGDVPVIAFIGRLDHQKGVDLIAEAMPWIAGQDVQLIMLGTGRQDLEDTLRRLESQHYDKVRGWVGFSVRLAHRMTAGADILLMPSRFEPCGLNQLYAMMYGTVPVVHAVGGLRDTVEHYNPYEEVGVGWAFEKAEANRMIDALGHCLNTYRNYRSSWEGIQRRGMMQDLSWDNAAKLYEEVLLAAKYQW >Sspon.06G0002890-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:8887409:8909754:-1 gene:Sspon.06G0002890-1A transcript:Sspon.06G0002890-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:65-kDa microtubule-associated protein 8 [Source:Projected from Arabidopsis thaliana (AT1G27920) UniProtKB/Swiss-Prot;Acc:Q9C7G0] MGSLKMTEKAPGAALPESSCAYLLQELKMIWDEVGQDQNERERILEELEQECQEVYRRKVNSANMSRIQLHQALAESEAEFTNLLLSLGERSFPGRPEKMAGTLKEQLNSITPALQEMQMRKEVRVKQFMEVQTEIHRIASEIAGRLGNEAVTVNEEDLSLKKLEEFQSELQRLKREKSDRLCKVEEYKVLIHNFAKVMGMDPSKILANVHPRLLDGPNEQQTKNISDEILNKLNMTVQQLKEEKSNRREKLQNLVKALTNLWNTLDTTMEERKEQRLNLKADMNYVRQVESEVQRLNQLKASKMKELFLKKRAEVDEICKKSHMDMPYQTEMDKIMNLIMSGDVVHDDLLKTMDEYIYKAKEEATSRKDIMDKVEKWMASCDEERWLEEYSRDERRYSISRGAHKHLKRAERARIIVNKIPGLVEQLMAKTQFWEQERNKIFYYDEMGSLKMTEKAPGAALPESSCAYLLQELKMIWDEVGQDQNERERILEELEQECQEVYRRKPEKMAGTLKEQLNSITPALQEMQMRKEVRVKQFMEVQTEIHRIASEIAGRLGNEAVTVNEEDLSLKKLEEFQSELQRLKREKSDRLCKVEEYKVLIHNFAKVMGMDPSKILANVHPRLLDGPNEQQTKNISDEILNKLNMTVQQLKEEKSNRREKLQNLVKALTNLWNTLDTTMEERQPYGQIKVLAMTSVNGMLGPGSLTIETIQQVESEVQRLNQLKASKMKELFLKKRAEVDEICKKSHMDMPYQTEMDKIMNLIMSGDVVHDDLLKTMDEYIYKAKEEATSRKDIMDKVEKWMASCDEERWLEEYSRDERRYSISRGAHKHLKRAERARIIVNKIPGLVEQLMAKTQFWEQERNKIFYYDELPLLAMLKDYMFTLKEKEEEKYRQRE >Sspon.01G0024900-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:97035402:97036407:-1 gene:Sspon.01G0024900-2B transcript:Sspon.01G0024900-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ICDCCNGLVLFENHVVNPATRQWMRLPPYPTLPEEKQSYYDYWYLVFDPTVSPHFEVFVMKSPLDYKNEPSELLQLEYMSVRIYSSSKQRINVFTNKYQVINLPPDINWTRYYQFRLGKSVGGVHFAVAGQQGLQVWFLDESGSKTEWVLKHVTRYPFNNDQTDRPWSLQHGLYAYDDDDQEENNKEPTTAEKDSDWDSDDDNAGGIDLERVDKYSCPYTEVLGFHPYRDIVFLALSKEVVAYYFNSSKMQPL >Sspon.07G0036050-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7D:20083368:20084374:-1 gene:Sspon.07G0036050-1D transcript:Sspon.07G0036050-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SRAAILHSCYLYLVGTRPPQRLPYTTSGPRRVGCPPARTHGSHTNRPLAGRSGHWLVKRVVACSATGAPSSTSDADFPSRWTWSARRLCLCLPPARSSFTHPPIGIHPVTDRSNQTKPTDPSAVMATAVAGAREDDRCPVNFQRFDSGLRFVMCLGSSGDSIPGTEQRTRERTEGPTSSQAEEQGPRAAGGVRSGRLRAATLALAAIAHRPSGHVGSGDPGPPIAGSTTAGAFASVADSAPEQPHPHFFPPHLLELGRG >Sspon.07G0011690-3C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7C:47518719:47521627:-1 gene:Sspon.07G0011690-3C transcript:Sspon.07G0011690-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMQYYFDSLLPRIPLPVTRQITANLEKMKLPTKLSGATGDSSRQGSEDTARRPPSVKASLSVSFGQRAPHRASTRDSSPVRRTVTHDDHRRSYSPSRRSASREGPDHDRSDRELDRSSRDCDRDRDRSSRDRYRSSRDLDRDKDVRDYHRHERDSRDREYYRSRRSEERRDDRRDRESSRHRRSSSRHRSRSRSRSRSRRSRSRSRSRSRGRNEQRSSPFRDANKEKAATVSSNLAKLKDLYGDITEKKEDSDAEKLHRDSCAEEVIRLGGPRWR >Sspon.08G0021350-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:37578985:37580973:-1 gene:Sspon.08G0021350-1B transcript:Sspon.08G0021350-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MCFLEPLLVDGLEYTGGMGFFVLLVTTLCVFTPLACLKRIGKQLNYKKLLQALEDSLSYTSTISVALAVVFVIITAGIAIIKLIGGQIPMPKLFPTIPDLASVWELFTAVPVLVTAYVCHYNVHPIHNELKDSSQIKPIVHTSLTLCSTIYITTSFFGYLLFGESTLSDVLSNFDSNLGIPYSSVLNDAVRVSYAVHLMLVFPMIFHALRLNLDGLLFSSARPLSSDNRRFGIMTALLLLVIFGSAIFIPSIWDAFQFTGATAAGSTRHCQEVGQNSGVFMIVLAVVSNVVAVYSDAYKIFHKESAPSKA >Sspon.01G0024950-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1C:87232288:87232770:-1 gene:Sspon.01G0024950-2C transcript:Sspon.01G0024950-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPGAVMASRSGGSSSTVAFSWEHEPGVSKQSPAEARKPTAGPGAARAEAVTRRTPASAKKQAPATAHPHRLRVPPPPGGPGAPAASPPGRRKPRSSRRVRPADDPFLAAYLACTEDGGASGGRDYRGAQKLLGWAGLGLGLGLGMRGPCKSSCGAVEECV >Sspon.05G0039760-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:78063292:78070601:-1 gene:Sspon.05G0039760-1D transcript:Sspon.05G0039760-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRAELATTDHQTPNNGQNASTHQQKQEVTLELRKYILLLASLVATVTYAAGFSPPGDVWQDTSAGHLAGDPIIRDTQHKRYVAFFYCNATAFAASLVVVVIILILAYLDDKQQEPNSNGDEETNSKVACFLQDDKKKHRIALRTLQAAMVLDLLSLMGAYAAGTCRDTLTTIYSSLLVGFVFFYVVAQVTVASCVTDTGSGGVPEGQVEERQPVPDDALASVAGGNPHHSGPGGVVAEVKEEEERHPVPDNGAVEKEEEWKKAKEMFRKVKVLMAALASSSSGISVPNNVEQDKAKERFRKVLMLLATFAHAGGFWDSAGAGHRPGDAILKDRHNTRLAVFFCFNTMAFVASLLIIVVLLDTKPHRHLRLGFIVVTLFSLIGAYNAGSCRRTDTTVYVFSLVPAVLGKTEKEKAADKARSLAATITYQAGLEPPGGVWQDNSGDHTAGDPILLTTNPRRYKAFFYCNSVSLKKLLLKHHVLEAVMILDLFGLIGAYAAGSCRDVNTSIHAMALAGAVLVYVVIHVIFITLDDDTRTRSESDKEKDNDGCSSSPSWRRPSPTKPASLRQGFGHHAGDPVLLYNFPRRYKAFFYCNSVSFMLSIALIILLVNPNLYRPAIRSHALSVCTAVGLFGLMGAYAAGSTQHLKTSVYVFVLIAVVLVCIALLFLVFLLTSGGGRAKAPGQAGSRDDTATTQGTAMEAGTEVAAPTISNINATAAAAAAQGAAISETTNTQLAVTSSNNNNGKATEENKREHANRKYLMVLGILVASVTYQAGLEPPGGAWQSSSGRYEAGNPVMHDNRRPRYLAFFYSNSTSFMASIVVILLLLIPPENLIGTGNKERRKKWLVVMNTTIVLDLLGLLGAYAAGSSRRLKKELGSGGSTNLWRGLAAARPPRSQPWPVAPQEHGD >Sspon.03G0028660-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:14132208:14141762:-1 gene:Sspon.03G0028660-2C transcript:Sspon.03G0028660-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPRRRERRVIAIAGAAALVAVGLNIAFSAVAAHRGGSDEVSAAKPSGLAASRVYCSSQPVSTEIKRIADRIISKSKETYDSVAAVPLDKVSFANVVVPLAELDVLQFPLVQACVLPRMVSPSEDVRKASAEAEKLLDSHFVLCRCNVKEFERNGVKLSQSKRKELEKLKSHIDILNLKYLQNLNDFSKFLLLGEDELAGMPFEFLKDLEKADGKFKVPLTSYHVTPILEHCKVGSTRKHIAVAYGQKGGKDNLAILENLVQLRHKFARLLGYTNYADFAIEPRMPRTSRKVLEFLEEMSEQLSDAANRELSILKDLKIKEEGNAQFGMEDLLYYIKRAEEFKVDLDIGEIKQYFPVSLVPAAVILSQCPKEFDGNSALLRFPEVVFAADLFVSKFKDDLLNQYAGLRFRNKVLALGGSKDPLEIITDYLGREPSLQPFIQSRTRNTIHGSTVDAPKVSTPPPTLVIMARLACLAIHLSTLTPSSNAAGYRLSGASLYPTATTMASQSLATLLQKASLAAAVLLPVTKPPPWNCTTMGSLRRADTAARGEATACGRYPRYGAPAAGAGGVGVGSLARREGACARRCACGEGGGGVGRKMRTEREELGSMWRSLEATPSAAAEKAGLGGAVASSGRQETPKAALSRSPAAASDWRRWRRKSLKKTL >Sspon.08G0002340-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:5316055:5318887:-1 gene:Sspon.08G0002340-3C transcript:Sspon.08G0002340-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding QGGAARGRGAVRGARSGVPEERTAGEELEKDVEAAEEDAARRARRRKSTRAAPKAAAAVLNEDDTSMALVTACPVTTCGEQCPISALCHLGVMILGLAFFKDSVPQRLQVENKRSFQLTEEDVLRASQVVLPIANSMISTAQVIFSGEPSMTLKVLPVLLFGAKYGGLVTMWRLVAAGFYTSFTVPKLYSCYSSQIHKRVEILRDQALEAWKSCPRKKLVAAMVVTMCWNMFSVKTRVMAAFVSLVILRYNQKYDKANITTEVGSSRDEQGPTMEMDD >Sspon.05G0009510-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:22431143:22431595:1 gene:Sspon.05G0009510-2B transcript:Sspon.05G0009510-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEDEYCRATDRVQSVGIEGEEKDQLVVVGDGVDATCLTSCLRKKVKVGRADIVKVEAVVDEEATAASTTDPNPVAGWPPQWYPGYYCPVEDPCADEDPGRWQAEQCM >Sspon.01G0006140-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1A:16003213:16009154:1 gene:Sspon.01G0006140-1A transcript:Sspon.01G0006140-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAATTGPAPPVATPVAPPPSYPASSTASASVAASVEDDDDLYGRLKSLQRHMEFVEIQEEYVKDEQKNLKRELLRAQEEVKRIQSVPLVIGQFMEMVDGNNGIVGSTTGSNYYVRILSTINRELLKPSASVALHRHSNALVDVLPPEADSSISLLGSSEKPNVTYNDIGGCDIQKQEIREAVELPLTHHELYKQIGIDPPRGVLLYGPPGTGKTMLAKAVAHHTTAAFIRVVGSEFVQKYLGEGPRMVRDVFRLAKENAPAIIFIDEVDAIATARFDAQTGADREVQRILMELLNQMDGFDQTVNVKVIMATNRADTLDPALLRPGRLDRKIEFPLPDRRQKRLVFQVCTAKMNLSDEVDLEDYVSRPDKISAADIAAICQEAGMHAVRKNRYVILPKDFEKGYRTNVKKPETDFDFYK >Sspon.02G0002910-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:8723385:8725276:1 gene:Sspon.02G0002910-2B transcript:Sspon.02G0002910-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARYARALLRRREVEEEEGKGMAKLQAAGRLLVILLALFLLVVAPAQAARFTRGFRATRIGEAPALEGAQVSAEDNWRSNAVVEEMFGRMALQITDYPGSGPNDRHTPKAPGP >Sspon.01G0016230-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:49652024:49656370:-1 gene:Sspon.01G0016230-3C transcript:Sspon.01G0016230-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGGGGGGIADEKQAPAEAFGAHLQEAAEMMEEEEEQHSGVKSRLSGLLWHGGSAYDAWFSCASNQVAQVLLTLPYSFAQLGMLSGILFQLFYGVLGSWTAYLISILYLEYRTRRERDKVDFRNHVIQWFEVLDGLLGRHWRNAGLAFNCTFLLFGSVIQLIGCASNIYYVNDRLDKRTWTYVFGACCATTVFIPSFHNYRVWSFLGLVMTTYTAWYIAVASLVHGQVDGVQHSGPTRIVLYFTGATNILYTFGGHAVTVEIMHAMWRPQKFKAIYLLATLYVMTLTLPSAAAAYWAFGDELLTHSNALALLPRTRFRDAAVVLMLIHQFITFGFACTPLYFVWEKLIGLHDCRSLCKRAAARLPVVVPIWFLAIIFPFFGPINSAVGSLLVSFTVYIIPALAHMVTFRSPQSRENAVERPPRFAGGWTGAYVINSFVVAWVLVVGFGFGGWASITNFVQQVNTFGLFAKCYQCPPHLTAPPAAPAFTPPPLAPPATSMPPATAFNATGFFPPVPAPAPAPSPMINFFLRHHHHRHHGRHG >Sspon.01G0008150-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:22992684:22995895:1 gene:Sspon.01G0008150-2C transcript:Sspon.01G0008150-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAVCAAARQGRREADESEEEMAGCDGAKSSASMEEEACAEKKYGGIAPKKPLISKQAANSSTRAAVESLKPKLKRTPHHQLPPRHALQLLAGELGGKEGRMYASSDKCLAGPKS >Sspon.03G0022420-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:91058713:91062369:1 gene:Sspon.03G0022420-2B transcript:Sspon.03G0022420-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGARATPTRTPPRSSSPAPRTEAVVPPDWASAVSTVSSHPTPPVVVVCGPKNSGKHGKVGYLDTDVGQPEFGPPGCLSFHVVDEAIADLLNPTLREAERCYFFGDISSKRDPEAYLNCLFNLYDYFVGKYRCNENELLPLIVNTPGWVKGAGFDMLVEMLRYICPTIVVQIRITMQSKNLPDGVFWLDGEQTGPKMINIDAAFHDASNRSLLIQKDSGGMRERRLVEYLKQCFPSNISLSTNKELAHALASLPPYQVLFSDVTVVHLHCEVPAGEIWRSLNATIVGLAVSNASEGSRSVPYCVGLGIVRGVDVQKGQLYLITPVPLQRLQSVDLLQQGLIEIPTTLLQVRGCVSPYMSTNVLHKISGRDLYAG >Sspon.03G0021580-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:88272946:88279895:-1 gene:Sspon.03G0021580-2B transcript:Sspon.03G0021580-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTPEKFCESMHLCKKGMKISLPTREGTCGLCHHVVVEILVMLKDPNTQLEVIDLLTKTCSKAQNYEQQCKRLVLKYTPLILVKGQKFLETTDVCSAIHACKAGTQASMEAMPLSATL >Sspon.01G0060730-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:82128686:82131790:1 gene:Sspon.01G0060730-1D transcript:Sspon.01G0060730-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVGDRKVTEPQISEYWELICILNLCIACGCTCARCSAAAVFPAVHPISRRAAPPSSAASHVAPLLSPRHAPSPAALAPAHCASCPRCLALCPVPHAHRGADEVPPLPFPTK >Sspon.02G0032480-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:120726802:120727673:-1 gene:Sspon.02G0032480-1A transcript:Sspon.02G0032480-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MELAVTGAPPLAVANYGELPTDVLHDILLRLPVDEVCRLRLVCRSWRSLTSDPLFAAAHASRHPHVVVVVSHHEGSHDEAEVRAMDLHGNVIKRRRMTDCHFLEVFQAIQGLKGLQAVTIMHG >Sspon.05G0008320-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:25216256:25217589:1 gene:Sspon.05G0008320-1A transcript:Sspon.05G0008320-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRTASKDIITLRGSAAIVSDILYNRAVYPEESFTKVKKYGLTMLLTQDEGVKNFIANLTSQLSKWLEAGKLQRIVLVIMSKATSEVLERWNFNIVTDAEVVEKGCATRSYPPSSPSSCDPSQSIVAAAHCVSHGLIVRLLLMFVRAIKEKSDKEIMREIQAIMRQIASCITYLPCLDEPCVFDVLAYTDTDVDAPGTWVESDAKLIDNPQMVKLHSFDTKIHKVDTLVSYKKDEWDEE >Sspon.05G0004550-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:13664710:13667089:1 gene:Sspon.05G0004550-1A transcript:Sspon.05G0004550-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MWPWLERIASACWDRVRRYALTRKGEDDDAEDDAGGGADELLWSRDLARHAAGEFSFAVVQANDVLEDHSQVETAAAATFVGVYDGHGGAEASRFISNHLSAHIVRLAQEHGTMSEDVVRKAFSATEEGFLSLVRRTHLIKPAMATIGSCCLVGIIWRGTLYLANLGDSRAVVGCLNGSNRIVAEQLTRDHNASMEEIRQELRTLHPDDSQIVVLKNGVWRIKGIIQVSRSIGDAYLKKREFAADPSTARFHLSEPLRRPVLTSEPSVCSRVLNSQDRFLIFASDGLWEHLSNQQAVEIVHNNPREGVARRLVQAALKEAARKREMRYGDIKKLDKGVRRYIHDDITVVVVFVDHELRSEDSASTSVPELSVRGFVDAGGRSSFSGLNDIT >Sspon.02G0017580-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:46727770:46729673:1 gene:Sspon.02G0017580-3D transcript:Sspon.02G0017580-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PSRP2 [Source:Projected from Arabidopsis thaliana (AT3G52150) UniProtKB/TrEMBL;Acc:A0A178VFQ5] MATAISSLVTPPALHRRRLSPASVSVSVSTPIRISFRAAAVPQAWRRGLALRVSASSAVLEAPEVVAARKLYVGNIPRTVTNDELRDMFAAHGTVERAEVMYDKYTNRSRRFGFVTMSTAEEANAAVEALNGTEVGDRKIKVNVTESFLPNIDRSAPESEPVFVDSQYKVYVGNLAKTVTTEVLKNFFSEKGKILSATVSHIPGTSKSKGYGFVTFSSEEEVEAAVATFNNAELEGQPIRVNRA >Sspon.03G0007180-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:19596652:19599407:-1 gene:Sspon.03G0007180-1A transcript:Sspon.03G0007180-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLLSKPLLADTGTKFHAATTPVSCSGSPQRYAIAGLAGPGRRDRDWRRRKLGRTNLRVKAVAAESRSSEGGIAEDYYAVLGVMPDATPKQIKKAYYNCMKSCHPDLGGNDPDMTNFCMFINEVYTVLTDPIQRAVYDEIHGYAATATNPFLDDSAPRDHVFVDEFSCIGCKNCANVCSKVFQIEEDFGRARVYDQSGSTELIQEAIDSCPVDCIHWTSAAQLSLLEDEMRRVERVNVGLMLAGMGGSVDVFRMASSRWEKRQAKVLEKVRRRMSQDDSSKGGSWSDIWGAPTRYEKNEEEAKERAKRAAAAARRWREYSRKGADKPPTFKLPEAVPNKE >Sspon.02G0049710-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:41972394:41978691:-1 gene:Sspon.02G0049710-1C transcript:Sspon.02G0049710-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPAAEKPEDVEIREVWADNLEAEFAVIRDIVDDYPYVAMDTEFPGVVCRPLGTYKTAAEFNYATLKANVDMLKLIQLGLTFSDEHGGLPALGPDGRPCVWQFNFRGFDPRTDVAASDSIDLLRRSGIDFSRHAADGADAHRFAELLMSSGVVLNSDVHWVTFHSGYDFGYLLKLLTGTNLPDTMSGFFDLIKIYFPVIYDIKHLMRFCNSLHGGLNKLAELLDVARVGICHQAGSDSLLTALSFKKLKEAYFNGLTEKYADTSCPLCIARCLLKAGYCAINHRESQRQFYDAGKVFVNNRFCY >Sspon.08G0011200-3C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8C:46778447:46779413:1 gene:Sspon.08G0011200-3C transcript:Sspon.08G0011200-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKKPNAVAKATATSADAAARPSKANPSTPGSVKGTKFKKLKVKAKANREKPVVTAAAVGKVALEGASTGDGDASASAVLPQPSNVAEASPVVQTQPSNVAAEASPVVQTQPSNVADASPVVQTPKSTTFAEASAVAQTQKPATDAEGSLPVPMPATAEASASSPKPKPKPKPAHANADAAAAISASKGEGEGEGADNSGGDGRMKSRRRSRSGKGKEVVEDGGSKGKEKGKKSVGKKEERGDRKVAGFIFMCNAKTKKECYQNRLFGMPSGKIEMVKKIRPGVKLFLYDFDLKLLYGVYKAASHGGLKLVHEAFNGKFPAQ >Sspon.08G0024680-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:161443:162408:1 gene:Sspon.08G0024680-1P transcript:Sspon.08G0024680-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVATAALLAASARAEQCGSQAGGALCPNCLCCSKFGWCGTTSDYCGSGCQSQCTGSCGSTPTPSTPTPTPSSGGGSVASIISESLFNQMLLHRNDAACPASGFYTYAAFIAAANAFPGFGTTGGADAQKRELAAFLAQTSHETTGGWATAPDGAYAWGYCFKEEQGAAAGPDYCEPSTQWPCAAGKKYYGRGPIQISYNYNYGPAGQAIGAGILANPDLVASDPTVSFETAVWFWMTPQSPKPSCHAVMTGQWTPSAADTAAGRLPGYGVVTNIINGGLECGHGADSRVTDRIGFYKRYCDLLGVSYGDNLDCANQRPFNS >Sspon.01G0039540-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:35206245:35212259:-1 gene:Sspon.01G0039540-1B transcript:Sspon.01G0039540-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPNNSAAPPASLDLETGAGLTVATAGAFEPCAWGDFFVTYAPPVSQESEERMRERANQLKGEVRRRMFDAGGEATRSVSDMVTLVDTLQRLGIDNHFRQEVDAALKRINSCESLPESSGSGGTASMDDDGLHAQLQAYITHKYATLTDVFDRFRDERTGSFNESLTSDPRGLLSLYNAAHMATPGEQALDEAISFARRHLVSMKGRLSSPLEEQVSRALDIPLARLPKRLETMHYVAEYGKEEGHDAVVLELARLDFNLVRFLHLRELKDLSRWWKDLYGNVKLNYARDRLVENYFWTCGVFHEEEYSRARMLFAKTFGLLSLMDDTYDVYATVEECHILNDAIHRWDESTASILPEYMKMFYTNLVRNFQEFEDSLQPNEKYRVSYAKQQFKLSSKYYLDEAKWCSEKYAPSFKEHMEVSVMSSGFPTLAVVLLMGAGDMATREAFEWAIGVPDVVSASGEVARFLNDIASYKKGKNKKDVASSVECYAKEHGTSGEEAVAAIAGMAEHAWRTINRSCMEMDSALLPAAQLVVNLTKTLEVIYLGGRDAYTFAADLKDLVVSLFLNGP >Sspon.07G0033590-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7C:61938611:61938892:-1 gene:Sspon.07G0033590-1C transcript:Sspon.07G0033590-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEHRDRSTDSQLRRPTTTIMSSTATAPECGSAKTSWPEVVGLSIEEAKKVILKDKPDADIVVLPAGSIVTPDYRPDRVRIFVDTVAQTPHVG >Sspon.04G0016220-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4A:59766471:59766887:-1 gene:Sspon.04G0016220-1A transcript:Sspon.04G0016220-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLFLPQIQNDPMYDFSSFDMVDLDPYPYSCNGSVATTIVSSVSAAAADNHQERRGHDERKKRRLASNRESARRSRVRKQRRLDELSLQVAELLGTNHRLLVELNHVIAKHAAVARENAKLREEADGLQRRLSEMEAG >Sspon.05G0021970-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:4673114:4676707:-1 gene:Sspon.05G0021970-3D transcript:Sspon.05G0021970-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYLSSVIGHPTDGSSVSGGGLSQNGKFSYGYASSPGKRASMEDFYETKIDCVDGQIIGLFGVFDGHGGAKVAEYVKENLFNNLVSHPKFISDTKVAIDDAYKSTDSEFLESDSSQNQCGSTASTAVLVGDRLFVANVGDSRAIICREGNAIAVSKDHKPDQTDERQRIEEAGGFVMWAGTWRVGGVLAVSRAFGDKLLKQYVVVDPEIREEVVDDTLEFLILASDGLWDVVSNEEAVAMTRSIQDPEEAAKKLLQEAYKRESSDNITCVVVRFLRGQGSSGYA >Sspon.03G0010440-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:33508756:33510237:-1 gene:Sspon.03G0010440-4D transcript:Sspon.03G0010440-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIKDEQKPLHILFFPLLAPGHLIPIADMAALFAARGVKCTILTTPVNAQAIRSAVDRANDASRGTDGALAIDIAVVPFPDVGLPPGVESGPALNSVADREKFFHAVQLLREPFDRFLAENRPDAVVTDNFFDWAADTAAEHGVPRIAFPGSSLFSWSCIDSMLRYNPVEAAPDDPDALVLLPGLPHRVELRRSQMLVPKKRPEYWALLQRMSAADQRSYGEVFNSFHDLEWDYLEHYTTTLGRRAWLVGPVALASKDAATRGTSKGPSPDADGCQQWLDTKPEGSAVYVSFGTLAHFSLPELRELARGLDLSGMNFVWVIGGGADTEESEWMPDGFAELMARADRGFIIRGWAPQMSILTHPAVGGFVTHCGWNSTLEAVSAGVPMVTWPRCADQFYNEKLVVELLKIGVSVGSMDYASKFETETRRVISGEVIAKAIGRVMGDDKDAEAIREKAKELGEKARHAVAKGGSSYDDVGRLVDELMARRSSVNV >Sspon.05G0020630-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:85341671:85345480:1 gene:Sspon.05G0020630-3C transcript:Sspon.05G0020630-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAWAVLAVLLVAAQVASAAPVTAPAFLWAPKNYGWCSREDTQKSVDVAIVFIGSKLQSSDISKDKQVDPALADTLKLSLTDSEFSMAFPYVSTSDDEKLENSLLSSFAENCNSGFGRNRITYTDTCTVSGQDVKKYHNMDAIEDLVRYGVGSRRINPSEQTDIIVFCSGGFEKFFTPKSEGELLSQLVLLLEQSGAKYTILYASQPSGLLENPSSLPLGRYLAEKTTGTKTGQGKCDGECLVKSTLLEGTFVGIVLLIILISGLMCMMGIDTPSRFEAPQES >Sspon.05G0005490-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:19284121:19284498:1 gene:Sspon.05G0005490-2D transcript:Sspon.05G0005490-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DVGAGLAGEVAGAGGVRRGRGGGRGCGRVPRGDGLLLGPLRRRRAVAQAPAASQPCATHAAARPLPPRRRRAQLRPAQLRAELRRRLPGAGRARAGLQRQVRARAARRRLPAAHGRGAQRRGRGRL >Sspon.02G0005820-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:11801551:11805301:1 gene:Sspon.02G0005820-3D transcript:Sspon.02G0005820-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTDAAAMAVVRAARPALRGAHDGVAFAAHAAFLAAGYSLCAVGPAALADPSPSGDEEVGIDGWNSMENCYAFLYSKEEKDKKKRVLVKCVVIGEVLAIDVLDLEAQDKGPYNIQINVKDFFVEEQPKNYGDMYKNFATFIETVNSNVLCKLDGDDSAAEAAKNPGPRTADPSGLIYPPIAPLGSDDLYPGPGAGFYPHSGIGSGGSMHVGPNDPRFFPSNPFNAPFGGPGSVPPGGRYDPIGPPGVPGFEPSSFVRRPRRPPGGSTHPDLEFFQQGPDF >Sspon.06G0015420-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:80352487:80353464:1 gene:Sspon.06G0015420-2C transcript:Sspon.06G0015420-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MACLSPSPSGRRLSELLEEKQEPFVLDLHLLEKGCSSSRLLDGYDTTALCWPAAAAGNDAAAAVLRRLTSKKNKAAKAKSNKQQQPAGGLLHLLLSKILRGRSRAAAPQRKPAALQFSDSFKLPTTAAVAPAPCSDRDRHMELDAVKTAAADAKAPDAAECYDSDCDDDEKQQLSPVSVLDHPFESSPVHGKLLLSPSSKGAAAMDVFRDLLDAAYSPALLAQLFAKSEDLLLRDADEDDDFYYGGGYRTNPKNCRDDESAAAYWDAHREELARVSELVASELPTSKLSAADVQPERRDVGAEVEAAVFEALMRELVVDLRSCGC >Sspon.03G0039780-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:21133312:21137378:-1 gene:Sspon.03G0039780-1C transcript:Sspon.03G0039780-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AEVAKVVETQTGLERQLELIETHQREVDKALQSMEEEAERIFQDERVLLREDEAASARDTMYEQAEVVEHELQHMTEQVKSIIQTMNATQGGELESADSMTPFDVAVRILDNQLRSLMWIDEKVNEFSGRIQRLPNNSASAERDSGIPRFWLS >Sspon.08G0005320-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:13797355:13798687:-1 gene:Sspon.08G0005320-3D transcript:Sspon.08G0005320-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase HT1 [Source:Projected from Arabidopsis thaliana (AT1G62400) UniProtKB/Swiss-Prot;Acc:Q2MHE4] MESWSMLLDTVMGPGGEDSRSGRREEWMADLSQLFIGNKFASGANSRIYRGIYKQRAVAVKMVRIPERDEARRAELEEQFNSEVAFLSRLYHPNIVQFIAACKKPPVYCIITEYMSQGTLRMYLNKKDPYSLSPETILKLALDISRGMEYLHAQGVIHRDLKSQNLLLNDEMRVKVADFGTSCLETKCQATKGNKGTYRWMAPEMTKEKPYTRKVDVYSFGIVLWELTTCLLPFQGMTPVQAAYAASEKNLRPPLSNSCPPVLNNLIKKCWSANPARRPEFSYIVSVLEKYDHCVKEGMPVTAHQELRLWRSFAKIFRRGCITNNLSIPVHS >Sspon.03G0011710-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3A:31924588:31928515:1 gene:Sspon.03G0011710-1A transcript:Sspon.03G0011710-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTRHAAGTLPPRALEASTNDAVVSPVPSSVRDALLDPHWRRAMEEEYAALLANQTWELVPRPPGANVVTGKWIWTHKRRADGSLERYKARWVLRGFTQRPGVDYDETFSPVVKPATVRTVLSLALARSWPVHQLDVKNAFLHGLLTETVYCSQPAGFVDNTRPDMVCRLNRSLYGLKQAPRAWNHRFAAFLLTLGFMEAKSDTSLFVYHHGADTAYLLLYVDDIVLTASSEHLLRRIIAALQQEFAMKDLGELHHFLGVTVEHRPAGLLHQRQYTRDILERAGMSDCKPCSTPVDIQGKLSEAEGPPVHDPTAYRSLAGALQYLTFTRPDITYAVQQVCLHMHDPREPHLMALKRLLRYLRGTLDYGLLLHRASSTDLVIYTDADWAGCPDTRRSTSGYAVFLGGNLVSWSSKRQPVVSRSSAEAEYRAVANGVAEAAWLRQLLAELHAPPSRSTLIYCDNVSAVYLSTNPIQHQRTKHVEIDLHFVRDRVAMGEVRVLHVPTTSQFADIFTKGLPSSTFAEFRSSLN >Sspon.02G0043440-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:91951228:91976104:-1 gene:Sspon.02G0043440-1B transcript:Sspon.02G0043440-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSDRAELARLCSSRNWSKAIRLLDSILARSPSSIHDLCNRAFCYSQLELHKHVVKDCDRALLLDPALLQAYVLKGKALSSLDKKEDALAAWKQGYDIAVRDTTDLKQLLELEELVSSVKFAIQLNHVMDASTCDTKVVISEDRVADKSLAATTMADTKTVVCEEAIGSSKVSSNGDTKSSNYNKKRTIINPVKDSTGTQAPKKAPKVDKKNKAKAVKEINGRAEGVTVRTGTDESETISLDQTLFATKISKSSKSISLDFRLSRGIAQVNEGRYDQAISIFDQILRETPTYPEALIGRGTAYAFQRELDSAISDFTKAIQSNPSAGEAWKRRGQARAALGEFKEAIEDLTKALEFEPNSPDILHERGIVNFKFKDYNSALEDLSTCVKRDKKNSSAHTYLGLTLSSLGEYKRAEDEHLIALKYDESFLDCWAHLAQLYLDLAYPEKLLNCLEKAIQVDSRFAKAYHLRGILYHGMGKALSSLDKKEDALAAWKQGYDIAVRDTTDLKQLLELEELVSSVKVCDTTESADHVMDASTCDTKVVISEDRVADKSLAATTMADTKTVVCEEAIGSSKVSSNGDTKSSNYNKKADHNKVSSSPVKDSTGTQAPKKAPKVDKKNKAKAVKEINGRAEGVTVRTGTDESETISLDQTLFATKISKSSKSISLDFRLSRGIAQVNEGRYDQAISIFDQILRETPTYPEALIGRGTAYAFQRELDSAISDFTKAIQSNPSAGEAWKRRGQARAALGEFKEAIEDLTKALEFEPNSPDILHERGIVNFKFKDYNSALEDLSTCVKRDKKNSSAHTYLGLTLSSLGEYKRAEDEHLIALKYDESFLDCWAHLAQLYLDLAYPEKLLNCLEKAIQVDSRFAKAYHLRGILYHGMGRHRSAIKELSIALTYEGSSIECLYLRASCHHAIGEYKAAIKDYDDVLDLELDSMDKFVLQCLSFYQKEMALYIASKANLEFSQFNIDDDVDPLFKEYWCKRLHPKNVAEKVYRQPPLRISLRNGRLNKQDFKFTKHQTTLLLAADSIGKKIQYNCRGFLPNQRQYRMAGLAAIEIAQKVSKAWRFLRNPKNIAKLVRKRDKLNMSQNRGGYCSTSTLAGSPTSSPNEDRVSSGISLSWQDVYNIAVKWRQISDLVIQLFGEEFNSGFGSHTPMLLGQAKVVRYYPYYQRVLETAKTIMLDLKYVNNAEDRAIFLTDIEKLKKIEIASSCSDLYHVVGETYWVATRCDSMAFRGGKTGFDFAIRTPCTPSRWEEYDEEMSAAWEAICEAYCNDTNPTRDPGMLDAVKDAILRMTYYWYNFMPLSRGSAVVAM >Sspon.06G0017820-1P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6B:79894410:79894904:1 gene:Sspon.06G0017820-1P transcript:Sspon.06G0017820-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASCKLYSALLAVAVVLAVGPATQPVSATTHLHFYVHDVLTGSATTAVQVLNGPRGHMGDTIVVDDVLTVNASRSSSMVGRAQGYYIWASTGNPELLVTMNMVLTSGPYAGSSVTVVGRDDILAPVRELSVVGGTGLFRMARGYVLWKTVSLDHRNGVLELDVYV >Sspon.02G0008720-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:25104581:25105595:1 gene:Sspon.02G0008720-1A transcript:Sspon.02G0008720-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MIHHKRFAQLVRKWQRIKAAIRDDDAYCTTSPVADKGHCAMYTADGKSFKVPLAYLSTMVFSELLRMSKEEFGITNDGRITLPCDAAVVKYVMCLLRRNASEEVEKAFLKSVAMPCQHSSNTMPRAALHQQFAQNVRTSRNSKLAVKYSWHTAMKQPWHTAGRNITCDVMQKNRQT >Sspon.02G0029890-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:105502173:105505767:1 gene:Sspon.02G0029890-1P transcript:Sspon.02G0029890-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase (Fragment) [Source:Projected from Arabidopsis thaliana (AT2G40190) UniProtKB/TrEMBL;Acc:W8PUX5] MAILAGLVAVFSALLAAALRRLLIPRRRPADAAGFFHPYTNDGGGGERVLWCAVRAVQELRPDLPCAVYTGDADAAPDALAARALDGSVVHLNKRKWIEARTYPHFTMIGQSLGSVYLAWEALTNFTPQFYFDTSGYAFTYPLARLFGCKVICYTHYPTISSDMVERVKHRSSMYNNSSHIAGSWLYGLVGSCAHLVMVNSSWTRSHIINIWKIPERTKRMLPLERPTTPPIFISVAQFRPEKAHGLQLEAFALALQRLDPDFPKPKLQFVGSCRNKEDLDRLQKLKDRSTELHIDELVEFHKDISYRDLTTSWGAIAGLHSMTDEHFGISVVEYMAAGAIPIAHKSAGPMMDIVLDEDGHQTGFLASEKEDYTEAIIKVLRMPESERQEMAAVARKRAQRFSDQRFHEDFTEAVRHILSAREA >Sspon.01G0004990-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:11162283:11165965:-1 gene:Sspon.01G0004990-2B transcript:Sspon.01G0004990-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSPPEDLQCAVNGNCAASPVKPPAALAAAGDGELRWLRRCVEAAAKGFAIGAGLKGGLALFSVLVRIRSRRSPRSRKAGAMTNEEAVVLAVKETVRYGLFLGTFAGSYVSVDEYIAAVWGRKRTARWRSLLAGLIAGPSMLLTGSGTQHTSLAIYILMRAAVLASRCGIKSKRFGKICKPLTWSHGDVFLMCLSSAQILSAYILKQDSLPSSYKSFLNKHGGKDLSILQGVKDVVNHTAFSNLAGIEKYYKSVGVDIKLDPNMKVPCSIVHGNQSCTGHVFSFLLQAYGRAVPVYVPVYLVPALVVHRQHLMKRPYSIIGKSFLGVARSSLFLSVYCASAWAWTCLLFRTFHRANTPLVILGTFPTGLALLIEKKSRRIEISLYCLARAIESFFTWMTDAGLCPPILQIKRADVVVFSIATSIIMHCYAQEREVFRSKYLNVLDWVFGVPPPPDSEDKNCYRPDEAKR >Sspon.02G0041360-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2B:75229401:75234079:1 gene:Sspon.02G0041360-1B transcript:Sspon.02G0041360-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPLVGSRANRLSRVKPSAGAAQPHTRWGSSKPRAPLEYPICELPRGRIKNPLQHLVKAPTISNCELNHLRCSKPSTVSGNTEEACWPARSAVCTACGGGGKAHGEGLAHSSYLDARGARPARTSGERARTAWGERRTKPARARTARGEWHGDTGCTCEGDRRRGWRGVGARSALAAGLTGATLDVRTLASSTDARAAAPRPASYACPPTSRLLHSPASTWGSPTPVGTTSAFPRLTPRRERRKVQRKHLLAAWENRDSLACGVRQKQRPGGGCATRQRGSGLAPCLAGLVAEHRDSRAIARLAGSATASPRLTSSRTLKLGEGSRAPHLDEGSSPHTALRGGGLAPVFDGRGGSGELGAGGGSVPRRRRPCDRALQEGAAVLNRWQAVRVTQDDPVHGQPRNHHDSHATATLETETELDRDACAVRERQLKQADESLKKNPCGVSASASGEVYKGIHGYTDYKAGFRREHMVFSEKAGGGRA >Sspon.03G0009660-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:30898279:30901664:-1 gene:Sspon.03G0009660-1P transcript:Sspon.03G0009660-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGRGFLRSPCKHIIKHLPCTTDCLSLSLSLSLSAVQAKVACRWPLVRRKPSHLGSVRHRYLCSPFYQMDTRGQDENKILTARGCHSSPESQELAMKSCVPCNSKDLHPMSEDSAKKLLEQVNGWELITEGGILKLHRAWKVKNFVKGLEFFQLVAAIAEEQGHHPDLHLVGWNNVKIDVWTHSVRGLTSNDFILAAKINDLTLEGIIRKKAT >Sspon.02G0033540-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:7374074:7389010:-1 gene:Sspon.02G0033540-1B transcript:Sspon.02G0033540-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:chromatin remodeling factor18 [Source:Projected from Arabidopsis thaliana (AT1G48310) TAIR;Acc:AT1G48310] TQLFACHADHIFLGEGLYDRIPPNLESQLMPFQREGIRFVLQHGGRALIADEMGLGKTVQAIAVASCLCDAWPVLVISPSSLRLHWANAIQSWLNIPMEDILVVLPHTGGSHKAGFRVVYSNTKGGFHLDGVFNVISYDIVIADESHFMKNGQAKRTVASLPVLQKAQYVVLLSGTPALSRPIELFTQIQALYPTVYKNVNEYGNRYCKGGFFGLYQGASNHEELHNLMKATVMIRRLKKDVLSQLPVKRRQQVFLDLSEKDVKNVRALFIELETLKIKIESSDSKEMIDSLRFAYQNIVNKIYIDSAVAKIPAVLDFLGTMIEAGCKFLIFAHHQPMIDAIEQHLLKKKVKCIKIDGKTPLTTRQTLVTNFQENDDIKAAVLSIKAGGYGITLTAASTVIFAELSWTPGDIIQAEDRAHRIGQVSSVNVYYLLANGTVDDLMWDAVQGKLENLGQMLDGQEKTLDVSQIDCRPSPSKQRKALDGLGPSPSKQQKTLDGFLKRPSNSTSMEEGMPSPSTKQRKTLDGLGPSPSKQQKTLNGFLKQPSTSTFTEEGMPSPSTKQPRRI >Sspon.01G0030280-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:101620971:101625685:1 gene:Sspon.01G0030280-3D transcript:Sspon.01G0030280-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLLHPRLPSHHLRAAAHHHHAISDASCLLILRGPGRKRTPLAAVTCRAARVKESAPSAGASPPPPQALAKEAHKYFDHAVVTVRAGDGGHGAVLAMPPAPSADAAKPRGRFNRGEKKSKKVSYKRNYDGSVALPTGGHGGDVVVYADEAEETLLRFHEKARYCAKRGGNVGAAGGTLSSRMHSGFAGETLRIPVPVGTVVKRKKGAVLADLAHPGDEVLVARGGQGGISLIDVPEYRRRKAMVLSPNIMRDTSDKVLTHGQPGEEISLELILRVVADVGLVGLPNAGKSTLLSAITLARPDIADYPFTTLMPNLGRLGGDPALGALQFSSEATLADLPGLIEGAHLGKGLGRNFLRHLRRTRVIVHVVDAAADDPVNDYKIVREELRMYNPQYLERPYVVVLNKIDLPKANDRLSSLALEISSIGCEEGHVQSGSKDNIHGHVSNHQVLSEAKVEGGEKELGDYPRPQAVVSASVLRHIGIDEMLKEIRAALRKCFDHKLPEP >Sspon.04G0017330-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:9670208:9676885:1 gene:Sspon.04G0017330-1P transcript:Sspon.04G0017330-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to heavy metal ATPase [Source: Projected from Oryza sativa (Os06g0665800)] MAHLQLSSVAGAGARPAAAGGRGDEMEDVALLDSYDEEMGLPLPPPPGAAGAEEAHVRVTGMTCSACTSAVEAAVSARRGVRRVAVSLLQNRAHVVFDPALAKVEDIIEAIEDAGFEAEIIPESAVSQPKSQKTLSAQFRIGGMTCANCVNSVEGILKSLPGVKGAVVALATSLGEVEYVPSVISKDEIVQAIEDAGFEAAFLQSTEQDKVLLGLTGLHTERDVEVLNDILKKLDGLRQFGVNNVLSEVEIVFDPEAVGLRSIVDTIEMASNGRLKAHVQNPYTRGASNDAQEASKMLNLLRSSLFLSIPVFFIRMVCPSVPFLSTLLSMHCGPFLMGDLLKWILVSIVQFVVGKRFYVAAYRAVKHGSTNMDVLVVLGTTASYAYSVCALLYGAFTGYHPPVYFETSAMIITFVLFGKYLEVLAKGKTSDAIKKLVELVPSTAVLVLKDKEGKHVGEREIDARLVQPGDVLKVLPGSKVPADGVVVWGTSHVNESMITGESAPIPKEVSSVVIGGTINLHGILHIQATKVGSGTVLSQIISLVETAQMSKAPIQKFADYVASIFVPIVITLSIVTFSVWFLCGWLGAYPNSWVAENSNCFVFSLMFAISVVVIACPCALGLATPTAVMVATGIGANHGVLVKGGDALERAQNVKYVVFDKTGTLTQGKAVVTAAKVFSGMDLGDFLTLVASAEASSEHPLAKAVLDYAFHFHFFGKLPSSKDGIEQQKDKVLSQWLLEAEDFSAVPGKGVQCSINGKHVLVGNRSLMTENEAETFLIDLESNAKTGILVAYDGDFVGLMGITDPLKREAAVVVEGLKKLGVHPVMLTGDNWRTAQAVAKEVGIEDVRAEVMPAGKADVIRSLQKDGSTVAMVGDGINDSPALAAADVGMAIGGGTDIAIEAADYVLVRNNLEDVITAIDLSRKTFSRIRWNYFFAMAYNVVAIPIAAGALFPFTGLQMPPWLAGACMAFSSVSVVCSSLLLRRYRKPRLTTVLQITVE >Sspon.02G0012290-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:32610568:32613984:-1 gene:Sspon.02G0012290-1P transcript:Sspon.02G0012290-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRDKSRRLSGSRHFRQRLVLATLTSTAVTIVDIRSGDAAPGLRPHELKYRPGVIIGGKGLEHDCGVHRGIGYFLEPLILLGLFARAPISIRLKGITNDTKDPSVDTFRTTLHMLKHFGVPLEGFNLNIDSRGSPPLGGGEVFLRVHNINSTLTAANWVDEGMVKRIRGVSFSTRVSPELESRIIYAARGIFNRFIPDVHIHKDHRSGSAGGRSPGYGVSLVAETTTGCLLSVDVTVSYPSVDEINEESEKPELTSPEDLGVQAASMLLEEVAQGGVVDSTHQGLLFILCALCPPDVSKVRVGQLTPYGIETLRNIRDFLDVKFIIKPDPNSNTVTLKCVGAGVKNLARKIS >Sspon.03G0009080-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:24847970:24859845:-1 gene:Sspon.03G0009080-1A transcript:Sspon.03G0009080-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTAQGTALKSAPMGAGRRARRGRPVAAPYRSGWQAYGGVSLEGSGFLGGVQRTEERVAPRAPRAAARDAEVVRPLSKLPNSSIGLYNPSFERDACGVGFVAELSGDYKRETVNDAIEMLERMAHRGACGCEKNTGDGAGIMVALPHDFFKEVAKDAGFELPPPGEYAVGMFFMPTDEKRREKGKAEFKKVAESLGHVVLGWRPVPTDNSDLGESALETEPVIEQVFVTKSSRSEAEFEQQLYILRRLSIISVRAALNIKRGGERDFYMCSLSSRTIVYKGQLKPCQLKGYYYADLGHENFMSYMALHLKAANYGCNMISKIHTLKQVHSRFSTNTFPSWDRAQPMRVLGHNGEINTLRGNKNWMTAREGLLGAEKLGLSKEQLSRILPIVDATSSDSGAFDNVLELLVRGGRSLPEAVMMMIPEAWQNDGNMDPEKKALYEFLSALMEPWDGPALISFTDGRYLGATLDRNGLRPGRFYVTHSGRVIMGSEVGVVDVPPEDVLRKGRLNPGMMLLVDFENHTVVDDEALKTQYSKAYPYGEWLKRQKIYLKDIVESVPETDRVAPSIFSSLPQKNENKDDVGINGILTPLKAFGYTVEALNMLLLPMAKDGVEPLGSMGNDTPLAVMSNREKLTFEYFKQMFAQVTNPPIDPIREKIVTSMECMIGPESDLLETTEKQCNRLALKGPLVSIDEMEAIKKMNYRGWRSKVLDITYPKKSGRKGLEETLDRICAEAREAIRKGYTILVLSDRGFSSDRVAASSLLAVGAVHQHLVANLERTRIGLLVESAEPREVHHFCTLVGFGADAICPYLAIEAIWCLQKDGKIPPNGDGQSYSKEELVRKYFYASNYGMMKVLAKMGISTLASYKGAQIFEALGLSSEVIGKCFEGTPSRIEGATFEMLARDALRLHELAFPSRTPPAGSADAKALPNPGDYHWRKNGEIHLNDPLAMGKLQEAARVNSRAAYKEYSKRIQELNKACNLRGMLKFKDISDKISLDEVEPASEIVKRFCTGAMSYGSISLEAHTALAIAMNKLGGKSNTGEGGEQPSRMEPLPDGSMNPRRSAIKQVASGRFGVSSYYLTNADELQIKMAQGAKPGEGGELPGHKVIGDIAVTRHSTAGVGLISPPPHHDIYSIEDLAQLIHDLKNSNPGARISVKLVSEAGVGVVASGVVKGHADHVLISGHDGGTGASRWTGIKNAGLPWELGLAETHQTLVANGLRGRAVLQTDGQLKTGRDVAVACLLGAEEFGFSTAPLITLGCIMMRKCHMNTCPVGIATQDPVLREKFAGEPEHVINFFFMLAEELREIMANLGFRTITEMVGRSDMLEVDPEVVKSNEKLENIDLSLILKPASEIRPGVAQYCVEKQDHGLDMALDNKLIDLTRTAIEKQVRVFIETPIQNTNRAVGTMLSHEVTKHYHMNGLPAGTIHVKFTGSAGQSFGAFLCPGITLELEGDSNDYVGKGLSGGKIVVYPPKNSSFIPEDNIVIGNVALYGATKGEAYFNGMAAERFCVRNSGAQAVVEGIGDHGCEYMTGGTVVILGKTGRNFAAGMSGGIAYVYDVDGKFSARCNNELVDLYHVEEEDDIITLKMMIEQHRRNTESVLARDILSDFDNLLPKFVKVYPRDYKRVLENMKAEKAVAKHAKEPKMANGISVTTKLSLTTCINFHVQKVQPDQSASRPTRVANAKKYRGFITYERESISYRDPKERVKDWKEESSGAGCPLGNKIPEFNELVHQNRWREALDRLLETNNFPEFTGRVCPAPCEGSCVLGIIENPVSIKSIECAIIDKGFEEGWMVPRPPLQRTGRKVAIVGSGPAGLAAADQLNKMGHFVTVFERADRIGGLMMYGVPNMKTDKIGIVQRRVNLMAEEGVTFVVNANVGSDPLYSIERLRSENDAVILACGSTKPRDLTIPGRELSGVHFAMEFLHANTKSLLDSNLEDGKYISARGKKVVVIGGGDTGTDCIGTSIRHGCTSLVNLELLSKPPSNRAADNPWPQWPRIFRVDYGHQEAATKFGKDPRTYEVLTKRFIGDENGKVKALEVVRVKWEKVDGRFQLKEIEGSEEIIEADLVLLAMGFLGPEATIAEKLGLEKDNRSNFKAQFGDFATSVDGVFAAGDCRRGQSLVVWAITEGRQAAAAVDKYLSRYDQNAAGDITPSGAGLVQPVAA >Sspon.04G0027640-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:63359486:63360417:1 gene:Sspon.04G0027640-2C transcript:Sspon.04G0027640-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGGKRFGGGRVPTGTPSLAWSSVVIVASLLAGASIVHNIYKPDMTIPPVESASGGRDQER >Sspon.08G0017510-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:2012455:2021569:1 gene:Sspon.08G0017510-1T transcript:Sspon.08G0017510-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSDPSPHLPSPVAPPGAAEADPQPLPLPQPAEPPEVMHRTRAVDFLGRRTPIVYQNDNGPCPLLAICNVLLLKNVISLNPDEGEVSQQKLLSLVAERLIDANIAVQDKDEEYVRNREQNVADAIDLLPRLATGIDVNVMFRKIDDFEFTRERAIFDLLDIPLYHGWIVDPQDTETATAIGSKSYNALASGLAEFKSGKPTEENKHVEEETVDFAAATTAALKIPSPSVSRGRSFDELTLSNSTEPHKRRGDLEEEEELMRVLNLSKAESGDAVDGEVSFDTSHSHSSSNMETPQSESFQSEAPEVVGAAKKEEHGDHAVNDDGSMLTNGAVNGSEVVPEESQETLTSKEPEDSEIKNMLPGDLDIPVQSSESTPSCPSHESFATSDHQPAVPTLVEGDKETCREQFDVQIHGQSNDTEAACDSSVATCGAVLGHATTELDVKSDSLDKSEPLPSSIQECEPIYQGEEHILGTTNMVYENQEPVYEGEVVLAEQADKTGESSPSVDDKATEHQWELIDNFLQTTANQLTVYGLFCLQEGLNESELCVFFRNNHFNTMFKYNGSLYLLATDQGFISQTDLVWQRLDEVNGDGVFLTSNFTPFKAETPRNDSWNEQQAMTSTADYLAQFDNTSGNSDLELAIALQQQEFERQPQRFQAPPPQQQQQQQQPPQTQHQPTQSGRPGLVVGPRRSNVPPPSRSESKKERRSDYLDIHFPIDRGCKERNQERELPKTCHEVVLADLFVAIYVERLEQLQHLSKVTWSDEYDIMVRGNGDFTLSSDGSSMLNRRTSASCALEISDVSGSWHFSKAVSQAPWNNGCFLRDADGLAKKGRASVREFKQPSEKLGIMRLRRNNGWEQFIEEEYGFYVRDMIGNNNRCEFPRSGPSFLSDPVVPDKKKVSARLRSDSLH >Sspon.05G0005630-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:10026618:10030468:-1 gene:Sspon.05G0005630-3C transcript:Sspon.05G0005630-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MANLADSFLADLDELSDNEGYPEEDNAEEAGREEEDDDMPDLESLNYDDLDSVSKLQKTQRYNDIMQKVEDALQKGTDFSSQGFILEEDPEYQLIVDCNALSVDIENEIIIIHNFIRDKYRLKFPELESLVHHPIDYARVVKKIGNEMDLTLVDLEGLLPSAIIMVVSVTASTTSGKPLSEDNLEKTTEACDRALTLDAAKKKVLDFVESRMGYIAPNLSAIVGSAVASKLMGTAGGLGALAKMPACNVQLLGAKRKNLAGFSTATSQFRVGYLEQTEVFQSTPPALRTRACRLIAAKSTLAARIDSIRGDPTGKAGRNLLEEIRKKIEKWQEPPPAKLPKPLPVPDSEPKKKRGGRRLRKMKERYAQTDMMKLANRMQFGIPEESSLGDGLGEGYGMLGQAGSGKLRVSAGQSKLAAKVAKKFKEKSYGSSGATSGLTSSLAFTPVQGIELSNPQAQGNLLGGGTQSTYFSETGTFSKIRRTQ >Sspon.03G0001650-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:15375479:15378223:1 gene:Sspon.03G0001650-3C transcript:Sspon.03G0001650-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRAADHKAHSGFQTARKPVDRLASPGIRREGCQRTGARVIYKSGGCLVAKQAQAQQQAGHVRFFRSAKQHILTGCTRGTSYYGGLCEVRGQGDRLGDHEGLPERSWTPAGLFGAGALYVGGGADVACSAQREWSVVGVMVAFALRAFGGVGMPVRGRWSPFGLLKLINLAFGVGRLPAGSVFHSTVWRVRQHATACLPSSQYPRWAALRLGWTTLEARTGLPPSAASVHFTPHPLCLFDDWVGATAAAAAALRQVPRPFCLFGAATLRRPASWLPVAAWVSSSPHAARTPQPGCQAGGSVSQQEEDTMKLDVNALRYLSKDDFRVLTAVEMGMRNHEIVPAELVDRIAGLK >Sspon.07G0031490-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:23857010:23860128:-1 gene:Sspon.07G0031490-2D transcript:Sspon.07G0031490-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMLVPWLAWLLVSLLVAYFLELYAHARRGLPPGPRPLPLIGSLHLLGDQPHRSLARLAKFHGPLMSLRLGVVTTVAISSPDVAREFLHKQDAVFANRFVPHAIGDHANNSVPWLPHSGRWRALRKMMATELFAPHRLDALQHLRRQKVEELVGHVRMLALQGSAVDVGRVAFATSLNLLSRTIFSCDLTNLDDHTGSKGFQEVVTEIMEVAGSPNVSDLFPALAWADLQGLRRRLAKLFARLHQVFDVEIDRRLCERDAGDPRKNDFLDLLLDTTTSTAWGRDTLLSLFTDLFAAGSDTSSSTVEWALTELLRNPVSMVKTCNELRAAIGSARNIEESEIDQLPYLQAVIKETFRLHPPVPLLLPRRAEATTQVMGHIIPKGARVLVNVWAMGQDKDIWQEPEKFMPERFLERTIDFRGGDLEHIPFGAGRRICPGMPLASRMVHLVLASLLNQFKWRLPNELERNGIDMAENFGVTLKKATPLCAIATPV >Sspon.01G0047670-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:104913756:104915672:-1 gene:Sspon.01G0047670-1B transcript:Sspon.01G0047670-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHCHGWRSIYCIPKRVAFKGSAPLNLSDRLHQVLRWALGSIEIFFSNHCPLWYGYGGGLKCLERFSYINSIVYPWTSIPLLAYCTLPAICLLTGKFITPELNNVASLWFMSLFICIFATSILEMRWSGVGIDDWWRNEQFWVIGGVSSHLFAVFQGLLKVIAGVDTSFTVTSKGGDDEEFSELYTFKWTTLLIPPTTLLLLNFIGVVAGVSNAINNGYESWGPLFGKLFFAFWVIVHLYPFLKGLVGRQNRTPTIVIVWSILLASIFSLLWVRIDPFLAKDDGPLLEECGLDCN >Sspon.06G0007020-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:27801250:27807959:1 gene:Sspon.06G0007020-2B transcript:Sspon.06G0007020-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGAPKRLHEEGSHSTPTKRPLDDSSLYSSPGKVIQSSGSDFHGSFEHDGRFAKIQRVEPRDDKRPSVPHRMPVGSTNFADHPISSDSRLESKQNKDARDNKADDRETKADARDVHSESRIEFQANKIESDVKVDNRADESEIRADRRGHPDYRSDIKFDKDNHSTVPANINWKDNKEHRGKRYFEQPADTVDWRLPRPSLQSIDEAPKGPISVEERNSKDANESAGDNKAEPKSEDRCRDKDRKKKDEKHRDFGAREGDRNDRRTGVQLGSSGVERREMQREDRDAEKWDRERKDSLRDKEGNDREKDSVRKDSSVVIEKDNTILEKASSDGAVKSAEHENTITESKAPKDDVWKAHDRDPKDKKREKDVDAGDRIEQRSKYNDKESDDNGTEGDMEKDKEVFGSVQRRRMVRPRGGKSEVSAIVYKAGECMQELLKSWKEFDVTQDATNAESLQHGPTLEIRIPAEFVTSTNRQVKGAQLWGTDVYTNDSDLVAVLMHTGYCSPTSSPPPSAIQELRATVRVLPPQESYTSTLRNNVRSRAWGAGIGCSFRIERCCIVKKGGGTIDLEPRLSHTSAVEPTLAPVAVERTMTTRAAASNALRQQRFVREVTIQYNLCNEPWLKYSISIVADKGLKKSLYTSARLKKGEVIYLETHFNRYGYFNFVTSLHRYELCFSGEKPRIIGSSSNASESETEKHQSSSHHSQNGDRGYVEHELRDVFRWSRCKKAMPESAMRSIGIPLPADQLEVLQDNLEWEDVQWSQTGVWVSGKEYPLARVHFLSAK >Sspon.01G0025880-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:88558677:88562104:-1 gene:Sspon.01G0025880-3D transcript:Sspon.01G0025880-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMPFARRDIEAAGAGAGPGSDDSPAAKKGKPELAGARPALTRSEAFAFAAVLALFTAGIFCVFLTAPRGEFGQILRLPRSLADVRLLKDNLAVYARDYQTNFILGYCSIYIFMQTFMIPGTIFMSLLAGALFGVIKGGILVVFTATAGGSSCYFVSKLIGRPLIAKRREKLLNYMLFLRITPTLPNTFINMASPIVDIPFHIFFAATLVGLIPASYITVKAGRALGDLKSVRELYDFKTLVVLFLIGSVAVVPTILKRKRTYE >Sspon.02G0033200-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:6269270:6270962:1 gene:Sspon.02G0033200-1P transcript:Sspon.02G0033200-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSPADGAAYWLRWQVLVCGALIALPTAAAAALLPRLRRAAPPLRAADLWVPCWARLHPGWLLAYRAFALAAAVALLARLLVAKGLTVFYFYTQWTFLLVTIYFAFATAISAHGCWVYSRKSLGKAHESHVFLNADVENLDVSNSISGERKKDETNMMVSYYEQIVNERRAGFWGRCMQIIYQTSAGATMLTDVTFWGLLVPFFYRGKFGLSL >Sspon.02G0045880-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:86937380:86937766:1 gene:Sspon.02G0045880-2D transcript:Sspon.02G0045880-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding NGGAGAEVRGAEQAARQRPAVATRRGNVLLRGARAAAAQGVPRGAPGVPRPGAEAHRRAAHGPAAAEQPAAPPTPPPRGRRGRAGGAAAARARRRRRAAGVRRGGAVVRVPDGRRGGGGGAAPARRFPL >Sspon.02G0026060-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:92049166:92051886:1 gene:Sspon.02G0026060-2B transcript:Sspon.02G0026060-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEEAAAPLLVPGNNGDDDLRVDLTRTIHGDGDDDERKAAGEAASSARHHALLGWDWAEVSGQLAFAAPMVATSIAYYAIPLVSVMFAGRLGDLQLAAATLGNSWGTVTGIALMTGLSGSLETLCVQGYGAQAYRTMGVHLQASLLTSALASAVVSLLWLYSEPLLVLLGQDPETSRLAADFLRHSVPALFAYGFIQCALRFVQAQSVVAPLVAFSLLPLAAHVGVAHALVNMLGMGFAGAAVATSVSLWMSFLMLAAYVMLSARFRETWPGLTAEAFQHVLPGMKLAIPSAVMVCFEYWSFEILVLFAGLMPESQLSTSIIAMCQNTEAISYMITYGFAAVISTRVSNELGAQNIANAKKALTVSLALSLMLGVAFLLLLGLGHEVWVRLFSSSEAVVSAFASMTPLLMGSVVLDSTQGVLSGVARGCGWQHLAAWTNLVAFYVIGLPLAILFGFTLGFQTKGLWMGQICGLLCQNCVLFFITLRTNWEELDLPMFNKDNDFVC >Sspon.02G0046670-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:5923360:5926843:-1 gene:Sspon.02G0046670-1C transcript:Sspon.02G0046670-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFSMASVTYIDDSHAEVIDPPKNEEMLDVTELVGDHIQHSPKPNVASCGNVRELLECPVCLSAMYPPIHQCSNGHTLCSGCKPRVHNRCPTCRHELGNIRCLALEKVAASLELPCKYQNFGPYTCPYAGSECTVAGDIPYLVNHLKDDHKVDMHNGSTFNHRYVKSNPHEVENATWMLTVFSCFGQYFCLHFEAFQLGMAPVYIAFLRFMGDDAEAKNYSYSLEVGGSGRKMTWQGVPRSIRDSHRKVRDSYDGLIIQRNMALFFSGGDRKELKLRVTGRIWKEQ >Sspon.02G0013460-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:49922974:49924823:1 gene:Sspon.02G0013460-4D transcript:Sspon.02G0013460-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGHGGGAGAGPGAGKLTRTPSSLLRSPTVRNCSSFQAVVVEDPEPDDKKAQAHPKAPPHHFHPGGGGFGGPAHPLLVLALPLAFLLLLLLLRGGGGGDGHHLALLAASAAAALAAAAGAARLLRGRLRLRRSPGSGSVQWFIGDDDDKPQKRADKAAAAPHGRVVREGVEFYSNGDCYEGEFHKGRCNGSGVYNFFGKGKYEGDWVDGKYDGYGIESWARGSRYRGQYRQGLRHGHGVYRFYSGDCYAGEWAGGQSHGIGAQTCSDGSSYVGEFKCGVKHGLGSYHFRNGDRYAGEYFGDKIHGFGVYSFANGHCYEGSWHEGKKQGFGMYTFRNGDKRSGDWDSGTLRTPLPPADPSVQRAVQAAQRAAENAFRLPRVDEQVHKAVMAANRAATAARVAAIKAVQNRMDGKFCDTYV >Sspon.05G0017570-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:74643156:74644037:-1 gene:Sspon.05G0017570-2B transcript:Sspon.05G0017570-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASDRAEVDTARPFRSVKEAVAVFGDRILVGNSHSRHSSSSAAAVATPSASANATSVAATPSAKHEASSSSSSTMTFSPTPMAESDAEIMAATVPMYSAPSSPTSLASSPSPVKPCSGRGGDGHRGNDNESAGGLVIVRSIQKLEADVAETRQEVAQLRKRGNEMEMALASLSAQLHRGLSKLTEMEADKAAAAAARRSIGGDTDVASFTLRSERWGDRLAASEYLPSFSHALSLGEMDDVELMGSGRRRKAQKVKPIVPLIGDILFSRRKSTKEKGNDGFYSGDLYGVLG >Sspon.07G0006920-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:18088237:18092869:1 gene:Sspon.07G0006920-1A transcript:Sspon.07G0006920-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGFGRWESDPLFPAAECVQDSADRMEGVYRLLLHERKVMQGGTSDAKFHAPIQYERDVITALGTTKWQLEQFEREVNAAAFSDKSKSRENAILKFRQFTRAIADQISQVEESLESLRLGSSRTPKHSYSSEYDGDGLASFLSGSSKDDHAYHSTGTDEIVELKLNNVPLVNGYHSTQEYTTYEHRNSDKDVEGAGSACEGDHNNRNMYVLDADDSISWRFFCKNKLSRQYRSFIRNLWFAKRGRESFTKRRKDGEDMDSLRNGNMLPSFNLPQSGRVMYFWPEFVTDPTWDIVSN >Sspon.08G0013030-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:55313258:55315794:-1 gene:Sspon.08G0013030-1A transcript:Sspon.08G0013030-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutaminyl-peptide cyclotransferase [Source:Projected from Arabidopsis thaliana (AT4G25720) UniProtKB/Swiss-Prot;Acc:Q84WV9] MTPASVSVSAPAPAPAPAPTKPLYLRSPVIAGAAALAALLLLAAAYATRRAGSPPTALLAHPAVATRFFSFDLVREYPHDPDAFTQGLLYAENDTLFESTGLYHRSSVRKVDLQTGKVLVNHQMDGQMFGEGLTLLGDRLFQVTWLKNEGFIYDRHNFSKRTSFTHKMRDGWGLATDGKVLFGSDGTSMLYKLDPKSLEVMKVVTVKYHGNEVPYLNELEYIDGEVWANVWQTDCIARVSPEDGLVVGWIFLHELRRQLWNSGNTNIDVLNGIAWDERNHRLFGEL >Sspon.02G0018460-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2B:61223703:61225876:-1 gene:Sspon.02G0018460-2B transcript:Sspon.02G0018460-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSEEEVAKMEGMAPRRRCSSPGQGGVPVTVVYYLCRSGRHLEHPHMMEMHLTSPNQALYLRDVIYRLDALRGKGMATMYSWSCKRRYKTGFVWHDVMEDDMLLPAQESEYVLKGSLLPVRHSTPPIVVAAPPPKDHDQPNVDTTIIQKVPCVEPNPDEESPTHSQEGWTANSSSSSPPTVKVDVEDEAPPLALHQGSQPALSSISPSSCCTIGDGDETASARSSCSGSPSSPNKPTTKGSSGGTSSPTPSSLTLHNKQRMPGCSSVIAAAQDLATQTQGTSTGRELHKDTSCSTDSTPAKATIPTNDKQPGNAGSFSSSRSGRSGTLESLIRAEALGRRGATAKRTTAKRILEEDDDKEAVQSLATKLNPANLLIRLVACGSTMSARQHFPACGLMRTTHKPRYLTQHVEFLPSSPVLSPLGTLIMRPRNADGARGDSDSGDCSHCRGRLLQTADNRCESGKVMSTIVRPSSYCDHNSVSEKEVPIANLDNLEDRSKLTPQKIRVAPFQQPTSGTVVTITTDVGDEQESKTLSRSTSKKMIDPSSRPSRVVSFRDEREKVIKIEER >Sspon.03G0028310-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:9013875:9028050:-1 gene:Sspon.03G0028310-1B transcript:Sspon.03G0028310-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNCGPPDQLPPASAPSCFLNLNWDQSMAAATAGDHLDPALSSMVSSPASNSTAAAATDGLALHGISPQPQYGGTPLSSPPKLNLSMMGQFHHYPPPQVGGAAPGGLPILENLMPMGHLDQFLADRAARLSGFDGRPGGSGYGGAVPGQFGLPDAGPIGALKELELGNGRDESSVSDPASASAEMALKAPSDGNAKKRKASGKGKGKDGPGSTAAKDLTKEESSGKRCKSADESNGAEDNSTKGKAAQSNSENGGKKQGKDSTSKPPEPPKDYIHVRARRGEATDSHSLAERVRREKISQRMKLLQDLVPGCNKVVGKAVMLDEIINYVQSLQRQVEFLSMKLATVNPQLDFNNLPNLLPKDIHQSCGPLQNSHFPLETSGAPLPYLNQPHQGNPLGCSLTNGMDSQSSMHPLDPAFCRPMNSQHPFLNGVSDAASQVGAFWQDDLQSVVHMDIGQSQEIAPTSSNSYNVDERTRLDIAQQSYNAGDFRAALEQSNAVYRENPRRLENLLLLGAVYFQLREFDMCIAKNEEAVAIQPNFPECFNNMANAWREKGDIDRAIQCYEHAIQLRPTFADAFSNLANAYTRKGNLIKASTCCQQALALNPRLVDAYCNLGEVLKAQGSYSDEAIKFKPSFYDAHLNLGNLYKAVGMCQDAIICYQNAALAWPKNAVPYGSLGDAYYEQGQLDLAILSYRHATNCNPSYVEAYNNLGNALKGSGKCDEAICCYQTCLALQPNHPQALTNLGNVYME >Sspon.04G0027600-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4B:60599336:60599774:1 gene:Sspon.04G0027600-1B transcript:Sspon.04G0027600-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RYGYCGPFGYCDNTDDGAAAVPTCKCIDVFEPTSGEDWGGGNFSWGCRRREALACGDGDRFLLPGMKAPDRFVHARNRSYDECAAECSGNCSCVAYAYANLNTSTINGDSTRCLLWVGELIDAEKISVDEAGDETLYLRLSGLHTG >Sspon.08G0013560-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:56621753:56623297:-1 gene:Sspon.08G0013560-1A transcript:Sspon.08G0013560-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHERKAAQLYLPRVTYSLPGAPDTPRREWRVSNGGRRQDSERPAAILPAPGALLLHLCAPARSIPGLGGLLSNRPTAGQRAASGDVVRRRPLPLPAALPPTAEALRSCSKHTWAAHRRIGRLSPSQQDSIYKEYRQGAT >Sspon.01G0029750-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:83885848:83887383:1 gene:Sspon.01G0029750-2B transcript:Sspon.01G0029750-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAEPEVVLLDFWVSPFGQRCRIALAEKGVAYEYREQDLRSKGELLLRSNPVHKKIPVLLHAGRPVCESLVILQYIDEVWPDVAPLLPKDDPHARAQARFWADYIDKKIYDSQTRLWKLKGEAREQAKKDMIEVLKTLEGELADKPFFGGDAFGFVDVALVPFTCWFLTYEKLGEFSVEEHCPKIVAWAERCKERESVAKALSDPDKVFEFVQFLQSKFGAK >Sspon.01G0042720-2D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1D:59661674:59662096:-1 gene:Sspon.01G0042720-2D transcript:Sspon.01G0042720-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSTRLVVLVRWLAAYNVHLAQPQPMSIHELAGGHNHSSNALLWEGCGRLTHGWHDACANNAVDGVRTWWPWRANVIAGGTIAGHEWGWCANMAGGAPRRYGFGGVLQCSGYWCHNGPRHKCWWCSDGAALSRCQDDCLG >Sspon.03G0010610-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:35836033:35839449:1 gene:Sspon.03G0010610-1T transcript:Sspon.03G0010610-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHSSDEDTEISDSEIDDYEGKIYARLMSGDLKVKNNGENYSCLFCSSKKKNNYSKSSLVQHASGVSAAPNRKAKEKAAHRALFKYLKNDLAKSPEPRPHVIPVEPQPLQNRDEKFVWPWMGILVNVPTEWKDGRQIGESGNRLKEQLSHFCPLKVIPLWTFRGHTGNAIVEFGKDWNGFRNARTFESHFAAGGYGKKDWTGKKNQGSELYGWLARAEDYNSPGIIADHLRKNGDLKSVNDLAKEGARKTDRLVANLANQIEVKNRYLQELESKYSETTASLEKMMGQREQLLQSYNKEISKMQQLARRHSQKIIDENQKLRSELEAKMNDLDVRSKQLDELAAKSDYDRRNLEQEKQKNAIKSSHLKLATLEQQKADENVLKLVEEQKREKHAALKKILMLEQQLDAKQKLELEIQQLKGKLKVMEHMPGDEDSESKNKINELSEALQEKIDELDGMESLNQTLVIKESKSNIELQEARKELENGLLDLSGGQAHIGIKRMGELDLKAFSKACQKEHTEDTEVTAAFLCSKWEAEIKNPDWHPFRVVTVDGKEMEIIEDDAKLRALKEEHGEEIYALVTKALLEINEYKSKGSYPVGELWNFKENRKVTLKEAVQFVLRQWRTNRRKR >Sspon.01G0020010-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:81782454:81789612:1 gene:Sspon.01G0020010-2B transcript:Sspon.01G0020010-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASGGGSSGKRTVADVLMGNARAAASKAKKAAPSPKKPPAKTDGAEVKPEAAAVAEKLPSPVRSKRVSSPAKSPKSLADGPTSPAKSKGPAAAAQLDAAKENSPSPKRSKTLAAKSDTQPSGEGVVSHTVEKKRAASPKKAKTTDSPKTEEKNTTIELKKKGSEFDPMAAAYWKPGEPVPFLFLARALDLISNESGRIVITEILSNVFRTVMATTPDDLLATVYLSANRIAPPHEGIELGIGDASVIRALAEAYGRKEEHVKKDLKELGDLGLVAKASRSSQKMMFKPKPLTIARVLSTFRAIAKESGKDSQDKKRNHMKGLLVAATDCEPQYITRLLQSKMRIGLAEKTVQMALGQAAVYSDKNSSPPKVQSPFEEAAKIIKQAYSVLPIYDKIVPAILEVGVWKLPETCKFSIGVPVGPMLAKATKSVSEIIDKFQGLEYTCEYKYDGERAQIHCMEDGSVEIYSRNAERNTGKYPDVVDAVSRFRKPTVKSFVLDCEIVAYDREKQKILPFQILSTRARKGVTINDIKVSVCTFGFDILYINGKPLLQEQLKIRREHLYNSFEEVPGVFQLATAITSNDLEEIQKFLDTAVNSSCEGLIIKTLDKDATYEPAKRSNNWLKLKKDYMDSIGDSLDLVPIAAFYGRGKRTGVFGSFLLACYDEQNEEYQTICNIGTGFSEQQLEERSASLRSKVIEKPKAYYRFADTMDPDVWFEPSEVWEVKAADLSISPVHRAANGIVDPNKGISLRFPRLLRLRDDKSPEQATTSDQVADMYRSQKINHGYNQEDEDDD >Sspon.02G0002420-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:7892201:7894035:-1 gene:Sspon.02G0002420-1A transcript:Sspon.02G0002420-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVIVKSLGVTVLACNLCYRVGKAAAAAAPPSPLGLLAQRRRAFQGVRMETAGSKGGRGALVVLEGLDRSGKSSQCARLLSFLKGKGYDAEGWRFPDRATSVGQMISAYLANESQLDDRTIHLLFSANRWEKRALMESKLLSGTTLIVDRYSYSGVAFSAAKGLDIEWCKAPENGLIAPDLVIYLDVQPEKAAERGGYGGERYEKIEFQKKVAEHYHSLRDSTWKVVDGSLPMETVEEKLRDLATSCIQECQGNPLTNLAW >Sspon.07G0007510-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:19816973:19818342:-1 gene:Sspon.07G0007510-1A transcript:Sspon.07G0007510-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADIEDAIGAPPTVTTTESEGTTTDEDVHLSPTCTCGGAGGAGGGGGGGRIKILCSFGGRIVPRPHDGVLKYVGGETRVLAVPRSICFRELKKKVEDMFKTEVAAIKYQLLSLAEELDVLVSVTCDEDLVHMLDEYDRLEAKRSPTTSPRFRVYVFTPQAAAPLPSAAAAPTVLPSSARYAGLSRLHPHHHHHHYQQHHHFQPEERYVATVPASPDGSPPFSAQTHGAVSAGNSPRANAVGAEPPTVFRFGMQRVRSSPNLSTLDAAAQRLHQHGVDGSIPGYVSGSPRHAGAGPGPLLLQNNFRHYQHQYAPAPVPHHGGRCDARGYVRVGNCLAPMVPAARPLSRGGPAPHSEMVTPKKSAIVWD >Sspon.02G0022940-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:79936878:79937934:-1 gene:Sspon.02G0022940-2C transcript:Sspon.02G0022940-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARQPPALVDELVEEILLRVPPDDPARLMRAAVACKPWCRLVCGPGFRRRFRKHHGSPPFLGIILNCMNADGAKLVRFVPTGSFRPPRAERINWLALDSRHSHVPIRSSLSDVSFDVAVWDPFTDELLQLPAMPWMPSIKHNYKGVVFVGVAADCNNMPSMSIHYLKYKMYSYVYSSEAYAWSGSAHRQYLVPDTWQKFDTQQTPTAADGHKLPFEFAECHTADTRQTYALS >Sspon.04G0036080-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4D:22367959:22369127:1 gene:Sspon.04G0036080-1D transcript:Sspon.04G0036080-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLATLFPPCIAVPICPPHFASSSPVPAAPRPIPCGLFRAAFLTRPNLPHPPPSRCLLAAAGPLTATASTKLASRGRLYQASEPPRRMLQQAGEGDEIRVAPRPPVSCPCRCCTGGQCVAVDLRVGVPPFVQTSPCCKTAGRMHAYEKRRRHEQGLPMCSQTAWPRVSGHEMQLPRGAQGRRMQTHVSGRPDRSAADPPSARPHLLRRSRELIRYLE >Sspon.08G0009370-1P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8A:41399175:41400428:1 gene:Sspon.08G0009370-1P transcript:Sspon.08G0009370-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKLRDWAGLPDGLLHSIVELLGSFPDCLAFAATCPAWRSASLSYPSKSTLYALFPPLLLDPNVSFCSPRPFPNVTRNTSVPKCPCYVVDLASQDTLLCSQIPLLSIDYRNNRLPSALDELDFDFGFKGASFGHMIFCSNKTCFLFDVFTGIEVSSPPLPVYENTRFCYGIDLMAPPPLPGLPLPVFGKFCYGAAFTGPLASPNPHLIVYTESSNFFWPIGSNSWSKCSPRNGPLTKFVVFKDQVFGMGSDHRLFMVHLTPQIHLQEIPVSLGGRNSMTEWHPRFAWLVVCGDMLLMVGCRSDSSGTGPSFEAYHLDTSTEPAKWVKVERLEKWAIFISNYLGVEALSCMNPERWGERSNCVYCYDSRSGHLVAFELVKPLLGDDATRLRAFIYVTRFYNDHMGMVQPTWVVPSMFS >Sspon.07G0010820-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:36038609:36040355:-1 gene:Sspon.07G0010820-1P transcript:Sspon.07G0010820-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLLLPLPFAAAAAASASSLHLAASRLRLPAVSVARRECLFVGRVVGGVVRAPARLAKRGLCAGAEAGGSAGTVVGQEEAMEWVKKDRRRLLHVVYRVGDLDKTIKFYTECLGMKLLRKRDIPEERYTNAFLGYGPEDSHFVVELTYNYGVESYDIGTAFGHFGIAVEDVAKTVELIKAKGGTVTREPGPVKGGKSVIAFVEDPDGYKFELIERGPTPEPLCQVMLRVGDLDRAINFYEKAFGMELLRKRDNPEYK >Sspon.02G0019550-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:64136287:64137347:1 gene:Sspon.02G0019550-2B transcript:Sspon.02G0019550-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSRFLSVTARRTRHPARRLHAGAGAVVAPPPQALVQSGIRPESLPRHVAVVTDGNRRWAQARGMLTAEGHEAGRRALERTIWLSHAWGIRALTVFAFSQENFGRPKAWIFLLPSLTFLLSSAPTRTDLVFIHCSCIPLNLKMEVDYLMGMIERTIRDNIDEYARNGIRMHVIGDPSRRPASLQNAAREAEEMTRNNSQFHLMLATCYSGRWDIVRACRELAGEVQGNLLRPEDIDESSLASKLATSVAGEFSCPDLVIRTSGEVRLSNFLMWQSAYSELYFTDVMWPDFGEAEYLQALTSFQNRERRFGQRNA >Sspon.01G0007380-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:96975841:96986375:-1 gene:Sspon.01G0007380-2B transcript:Sspon.01G0007380-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDTDVDTEMREAAAAAAPAGDDDGEGDTEEEEEEVDDDDEEEEEEELPAAAPAPAEEQPAPAPISALPGNPNQLTLVFQGEVYVFESVTPEKVQAVLLLLGRGELPSGLASMVLPNQNENKGYDDILRRTDIPAKRVASLIRFREKRKERNFDKKIRYAVRKEVALRMQRRKGQFAGRASLEGESPAPGFDPGSQGSGLDFASRESKCQNCGTSEKMTPAMRRGPAGPRTLCNACGLMWANKGTLRSCPRAKVESPVVAIEQGISDNKALVTPNNDNVAASNGEAASAAEIGVPKAPSLPIARQDTPDRKIC >Sspon.01G0029730-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:82197036:82199769:-1 gene:Sspon.01G0029730-1P transcript:Sspon.01G0029730-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to Glycolate oxidase (EC 1.1.3.15) (Fragment) [Source: Projected from Oryza sativa (Os07g0152900)] MGEITNVMEYQAIAKQKLPKMAYDYYASGAEDEWTLQENREAFSRILFRPRILIDVSKIDMTTTVLGFKISMPIMVAPTAMQKMAHPDGEYATARAAAAAGTIMTLSSWATSSVEEVASTGPGIRFFQLYVYKDRKVVEQLVRRAERAGFKAIALTVDTPRLGRREADIKNRFVLPPHLTLKNFEGLDLGKMDQPPYGHSLMEEFVRAEHEQAADSGLASYVAGQVDRTLSWKDVKWLQTITTMPILVKGVITAEDTRLAVANGAAGIIVSNHGARQLDYVPATISALEEVVKAARGQIPVFLDGGVRRGTDVFKALALGAAGVFVGRPVVFSLAAAGEAGVSNVLRMLRDEFELTMALSGCTSLADITRNHIITESDRLRAMPSRL >Sspon.03G0003500-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3B:18312929:18321828:1 gene:Sspon.03G0003500-2B transcript:Sspon.03G0003500-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSAATEARGSVGNGETAAGVSSGRDEEGQGGGAAVEEPVELPSWELCKVGKEVEAADYIPCLDNVKAIKALKSRRHMEHRERHCPTEPRPRCLVPLPERYRRPVPWPRSRDMIWYNNVPHPKLVEYKKDQNWVRKSGNYFVFPGGGTQFKNGVTAYIRFIEQILPNIQWGIHTRTVLDVGCGVASFGGYLLDRNVITMSFAPKDEHEAQIQFALERGIPAFLAVIGTQKLPFPDNSFDVIHCARCRVHWYKPLDSCLFPAVPSSGGGNSWPISWPERLNMKHSTTSNNSSIQFPQEKIDSDTNYWKDLVSEVYLNEFAVNWSSVRNVMDMNAGFGG >Sspon.06G0003750-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:27227865:27229451:-1 gene:Sspon.06G0003750-1P transcript:Sspon.06G0003750-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DETOXIFICATION 49 [Source:Projected from Arabidopsis thaliana (AT4G23030) UniProtKB/Swiss-Prot;Acc:O82752] AMATCQCPEPAQCHHWPLLSAANSYPKLHDRPRPKPGTGSVLAEVAAILCLTGPMVGAGILFYMRSLVSMVFLGRLGQLPLAGGSLALGFANITGYSVLSGLAGGMDPVCAQAFGAGRTDLLRTALRRTVLLLLAACVPIGMLWVAMHRVLVSTGQDPDIAATAYAYILCCLPDLVLQCFLHPIRIYLRAQSVTLPLTYGAAAALLLHVPINFLLLNVLGLGVRGVALGGVWTNLNFLLFLMAYVYFRGMYGARDDDDGAKKGGASVAAPPAEEEDGANSKEWWSLVRLCVHSCMSVCLEWWWYEIMVLLCGVLIDPKAAVAAMGVLIQTTSLIYIFPHSLGCAVSTRVGHELGARRPERARLVSRVGLGLGAALGVVACAFAVSVRGVWARMFTADEAILKLVAAALPLLGMAELGNCPQTAGCGVLRGSARPEKAARINVSAFYGVGMPVALALAFWPAGLDFRGMWGGMLAAQLVCAALMLRAVLGTDWAEQTERARQLTGGRGDGFDVIGIVDEDKSSHAEAAKA >Sspon.08G0024110-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:61782799:61787771:-1 gene:Sspon.08G0024110-1B transcript:Sspon.08G0024110-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ATHHHVTFTVGPPPLPLSCLVSPSPEPSLAFPSLPTTRRLEQKAALAEEQREREPYHSIPSAAARRDGTARGKVISLPKLLLACPRFELLYIIAGLAASFAIAYASDVLVAQKKIFGGTTPRTVADKEWWQATDKKFQAWPRTAGPPVVMNPISRQNFIIKDAHAATYGPD >Sspon.04G0035610-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:14154432:14154779:-1 gene:Sspon.04G0035610-1D transcript:Sspon.04G0035610-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding PPPDPLPHLLLLVISFSFPFSFCFLIRFIDPIAGSVPFPFPIGGTRAAIRSLDPEVVSSGPHAIRFWLGSCSTETPFVFPA >Sspon.04G0003420-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:11123491:11124627:1 gene:Sspon.04G0003420-3C transcript:Sspon.04G0003420-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCTGSRHALRGGVRSPHARSRSGGVHHTVALKSSTLGSLSLDRDEEEMMKWRDDGGVVGAAKTPPLPLKPQLMRQQKQVPSSPAKTQVREPEVINVWELMDGLDDKDEEGDADGEERREKSAPGSPEFDPDVIAAFRKALDEIPPPPDDPGNEECITKPDDGPGGGGEEVGVKKREIQRFPGIVRARVSAFQQRIDAKLAKLAPPQPQPPALPPPPDSARKVVLYLTSLRGIRKTYEDCWSTKSILQSYGVRVDERDLSMHSGFKDELHAALGSTAGSRLPQVFADGRHLGGAEEIRRMHEAGELSKALEACEMAPPPSSGGKSIALEACSGCGGVRFVPCEECSGSCKVFLEEVGTFRRCPECNENGLVRCPLCSL >Sspon.07G0006770-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:15694493:15695477:1 gene:Sspon.07G0006770-2B transcript:Sspon.07G0006770-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAEAWRPVMLAPTAPCFWQQDTHSVFWRRGDAWTAEENKQFEKALAQIDRNAPDRWEKVAEMLPRKTADDVRNHYHDLENDVGFIEAGLVPFPHYSSSVPSSGFTLEDWDGGDGAFRRGYCLKRARGRTRSGRRVSPGRRRSTGKQQSQLFLMGLKQYGRGDWRNISRKYVTTRTPTQVASHAQKYFIRLNSGGKDKRRSSIHDITTVNLPDEDRGNAPPSPVTTTAATNPSVAQLVDVKPFMAPLPAGALIGVSHPYTNVKLEPKSSLVAGLGLGIDDSVFLQMHCGQL >Sspon.01G0045560-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:85558872:85559237:-1 gene:Sspon.01G0045560-2C transcript:Sspon.01G0045560-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding CMQLNEAGVRVWRSPKGAAAAVEEAKKDTVAALKTLEAELGGKPYFGGDALGYVDVALVPFAPWFLTYERFGGFSVAAECPDLAAWAERCARENACVAESLPEPEHVFQFVCGMRKAFGLDD >Sspon.04G0038040-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4D:81744153:81744527:1 gene:Sspon.04G0038040-1D transcript:Sspon.04G0038040-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGRFTARLPCRLNRKLIPLCRHLKRRRSPRANDCATPLDLASHSASASGYHRLSLSLQRQAHLSSPYGQIPAPSAGRAHHMRSLFQPLFISLDSLPPPAPYADTGTSARNTTILDLRPPPLAE >Sspon.08G0000070-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8B:15721762:15722644:1 gene:Sspon.08G0000070-2B transcript:Sspon.08G0000070-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIAAKKLENRDFAGAKRIALKAQRIFPEVENIPQLLTVCEVHCAAEAKVNGILNFYGILQAEGIADEMTIRKQFCKLVLLLDPDKNSYAGADSALKFVAEAYSTLADKTKRYVYDVKWRVAFKIAPKQATQPTQAAEPTRVTQPNQATQPKQAAKPKQATQPNLAEESERATQPKQATQPMKTTEPINKTDANRSNTARYGPSVMRNRAVFLLNKAILDISLLERTKRQVL >Sspon.02G0006190-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:18231945:18234464:-1 gene:Sspon.02G0006190-2B transcript:Sspon.02G0006190-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVHLLPVVTILAVALLAPPRSAGYPTYPFTVCSNFYGNSFEANSTYEAHLHLAATSLPKKAAASPELFAVADFGTVPGQLWAMGFCRGDINASTCFNCLTQAFQDLPNYCSYQKDASIYYDFCTMHYSDVQSIIYNLGNVTSDPARYNRLLAALINATADYAAYNSTQRFATGEADFDLQPHKVYTVAQCVPDQAPADCRSCLARLIGTSLSLFLGHIGGRTLWFNCTYRFETAPFYNGSPMVRLASVSSGAPTPAPAVQPTSLFAWRPDSKRIVAWLTEKRKLSVPVLVPAVLLPTLVALNLVACIYSWRRRRSVAQANFSHVYQMCSTETEDIEMVDSMLIDVSTLRAATMDFAESNMLGQGGFGPVYKGVLPNGDETAKREKLDWKQRYKIINGIARGLQYLHEDSQLKVVHRDLKASNILMDTDMNPKISDFGLARIFDRDQTQAVTNRIVWEHWETGTVTQIVDPCMGGNFPESDVLRCVHAGLLCVQGDPLLRPTMSSVLTMLDSDRVTLQPPSKPALRYQ >Sspon.07G0022880-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:11219253:11221496:-1 gene:Sspon.07G0022880-1B transcript:Sspon.07G0022880-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding FTMVLGGEMVRVIMRSLDHAAWLDDDISGGVIATLLVFLSVGLVGATDGIGFHSTGKVVNWSGMPFAIGVLVFCSFAICTVIYVSFAVIGFLMSCDGSRSLLSILVAVIMPALCFLKITQNKATCSQVIASIGVIILGVISAALGTYSSMKKIDNCCLQRLPPDSKHGTRNGQ >Sspon.03G0008250-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3A:22371570:22372178:1 gene:Sspon.03G0008250-1A transcript:Sspon.03G0008250-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MENGDETLASPTAAAEKAALNGGVADEEQVPITHPAKSYADPAPNGGVAKEEEGVAGAHTAAKSYAAVAARAEIEDLRAAKLDLEAKLAEARRENKSLAEETHRIEGVFMQAREEVTIAELAATAAEKEVASLRTEVDRLEALLKAEKGEHELDKESHEKLAKEVDAVRQEKLKLEEEISALKASATKEREAAPAAEAPKEGE >Sspon.06G0020480-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:9337666:9339851:-1 gene:Sspon.06G0020480-2D transcript:Sspon.06G0020480-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVGIGDLRAMEQDDAGDEEDEDDGVHTQELIALGEVVGTESKGLAADTIASLPSVTYQAQDKQDGNMEQRGILFLEMLLVFKTSNELS >Sspon.06G0026630-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:80347231:80348232:-1 gene:Sspon.06G0026630-1B transcript:Sspon.06G0026630-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAGGRSREEQWSLAGVMALVTGGSKGIGHAIVEELVGFGARDCRRRWEEKGLQFTVSVCDVSVRGDREDLMATVGATFGGKLDVLVNNAGQTLFKLAAECTSDDYARIMATNLESCFHLSQLLHPLLRHASVAGGGSVVHVSSIAGFVGLPALAWAGDGIRVNCVAPGGVTTEISTDMTIDPELVKNEMARLPMGRIGEPEEVASMVAFLCMPPAYYMTGQVICIDGGRTIS >Sspon.03G0045180-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3D:10583239:10583846:1 gene:Sspon.03G0045180-1D transcript:Sspon.03G0045180-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKDTVGVSVHGGGGRPPLGSKPLLVYDHGFDPNGRQTAVSIADMSVHTHVVPELSENDFHVTPHGWVFLNGLGSLRSRLWDPRSGESLALPDMEHDLPVSWKDTHWITHEYDVGDVNLPPSYAPPRRRVIQQAGAVGGKFYILDKGKLGVLEFSPAPEFSYHDYTPPAP >Sspon.04G0019050-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:67889668:67896975:-1 gene:Sspon.04G0019050-1A transcript:Sspon.04G0019050-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding IGLALDVADRMCKSSSDVPIESFHPIIHACEQRCELHMARPIYDLIRHHNLKLKSETFRSMISLFVKLKDFEGAYNILTDAEESGEISTVSLYNAIMLGYYREKNYNGAHMVMSQMQIAGVKPDSETFSYLIANCESEENISKYRDQLRQDLIPMTRHIYVALIVAYARLGNFDMAKQVLLDKEIPRKFLSDIKSALVGALASNGQVLDALRLHDEIKESGGSLEPKAAITLIEHIRTEGELDRMHQLLDGLSDSNSWFEGCGRVLLYCVQHNYTDVAIDLLKQLKEKDEMSTYMVVDQVFYQIWDMEITNLDLGMVFLDAVKELGLNVSRTSLDFLLSACVKAKNLQRAQQIWSEYESAGLPHNVLTSLRMYQAFLSSGGRKAAKKLLKAIPKEDDHVRYITDACHTTYYSEDSKPSATVRLSSKKKARSKQKATNKGFRYAEKKRLVRSCPQMKESGTSRLQMHDGLAYTLAICIPGLHRKDLLDVIQNILFARC >Sspon.06G0021470-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:15808602:15810937:1 gene:Sspon.06G0021470-1B transcript:Sspon.06G0021470-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAAGAASVVLILIVMGGAAVAYNASTQDDSSSSSSSPSPSGGGSGSGSNLISASKSVKMMCAQTDYRDACEKSLSKAAANASASSPKDIVRAAVAVIGDALGNAFNRSEVIKSNDPRVKAAVADCKEIYQNAKDDLGRTLHGIDASSMDGVAKRGYELRVLLSAVITHMETCIDGFPDGDLKKQMTDTMESGKELTSNALAIIEKASSVLVTLHIPGFTHRRLLGKNQEENMENELKVNHSGTFRGRDAAAPASDNRRLLSIDEEENMENEPKVKHSSTFLGELKDDVMAANNRRLLSIDEEENMENQPKVKYSSTFLGELKDDVTAADNQRLLSVEEEGNLENQPKVKHSGDTFLGELKDDVAAAGNRRLLNIEEDTPQWVNGPERRLLKGNFQGKLKPNVVVAKDGSGKFKTINDALNAMPKQYTGRYLIYVKQGVYEEYVTITRAMENVTMYGDGAMKTVITGSRNFADGLTTYKTATFSKTKAIKHPFRTRGLKSLTDAVVAADAQGDGFIAIALGFRNTAGAAKHQAVALLVQSDRSIFLNCRMDAFQDTLYAHSKAQFYRNCVISGTIDFVFGDAAAVFQNCVLLLRRPMDNQQNIATAQGRADGRESTGFVFQYCRFTAEAALRDASRPPIRSYLARPWREYSRTLIMECDIPAFIDKAGYLPWNGDFGLKTLWYAEYANRGPGADTAGRVAWPGYKKVISKEEADKFTVQNFLHAEPWLKPTGTPVKYGFWA >Sspon.03G0037630-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3B:99969443:99970546:-1 gene:Sspon.03G0037630-1B transcript:Sspon.03G0037630-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPSESLHPSWVILGAIPRVSAAAADPSIDLAAPPRVSLLTIPERIFPDKVTPHNYPKLLAADPSGLLLLHADQGGAKGPTIIDRPDHQSFCWLANVAGYFVLHADADSAASPSALALPEPELVMNAGHLGILASPAGSGYVFAELQTFLGDDHATLIFFSSDVGEWVDKTVDYPLPARPLCTHGVVALHGRLWLRFVDMYRNRDSRGGLQVSVWTLPDADSTEWALEHEASFTDIWEHHTYKAAGLPAKIPVLALIHPDDPAVVYFFLEDHLVGVDLRDRAVVACDLYHLVDPPRDLVSARFVYAWQLPQPLSRSPP >Sspon.05G0001220-2D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:5D:2851604:2853655:1 gene:Sspon.05G0001220-2D transcript:Sspon.05G0001220-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSRWLLLHFLLVHVVHSGRSLESQSCDPADLKALLAFSDALDSKPAGWGPGDAACCSWTGVSCDLGRVVALDLSNKSLHGGISSALASLDGLATLNLSRNALRGAAPEELAGLPKLRVLDLSANALSGPFPAAAAAAGGGFPAIEQVNISFNSFDGLHPAFPAAANLTAIDISGNNFSGGINSSALCLAPLEVLRFSGNAFSGEIPSGLSRCRALTELSLDGNCFTGNIPGDLYTLPNLRRLSLQENQLTGNLGNDLGNLSQLVQLDLSYNRFTSSIPDVFGKMRWLESLNLATNRFDGELPASLSWCPMLRVIRLRNNSLSGEIAIDFTLLSRLNTLDIGTNDLIGVIPPGIGLCSELRTLNLARNKLVGEIPQSFKDLRSLSYLSLVGNGFTNLSSALRVLQHLPNLTSLVLTRNFRGGETMPVDGISGFKSMQVLVLANCLLTGVIPPWLQSLGSLNVLDISWNKLNRNIPPWLGKLDNLFYIDLSNNSFSGELPMSFTQMRSLISTNGSSERSPTEDLPLFIKRNSTGKGLQYNQVSSFPPSLILSNNLLVGPILSSFGYLVKLHVLDLSWNNFSGPIPDELSNMSSLEVLNLAHNNLNGTIPSSLTKLNFLSKFDVSYNNLSGAIPTGGQFSTFSDEDFAGNSALCSLWNSCYQVLQAEDENHDMDTSKQITRIMVE >Sspon.07G0018490-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:72599586:72604439:1 gene:Sspon.07G0018490-2B transcript:Sspon.07G0018490-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase-like protein ACR4 [Source:Projected from Arabidopsis thaliana (AT3G59420) UniProtKB/Swiss-Prot;Acc:Q9LX29] MDHVPALVLAAVCFLALLPGWASGLGSMSSIAVSYGEDGPVFCGLNSDGSHLVACFGADASVLYGAPPNIPFLGLTAGDGFVCGLLLDTRQPYCWGSNSYVKSGVPQPIVEGARYSELSAGDNHLCALRVAEDGGRGSSTAASSLIDCWGYNMTATHVVDEAVSTVSAGSVFNCGLFARNRTVFCWGDETVSGVVGLAPRNVRFQSIGAGGYHVCGVLENAQVFCWGRSLEMQQVAPSSAIGDGDVNIVPMDAMVAVVGGRFHACGIRSLDHQVACWGFTLHNSTSPPKGLKMYALVAGDYFTCGVPAETSLMPRCWGNSGPLALPMAVPPGICVPTACSHGYYEYVNHGEVGSIKICKPANSRLCLPCSTGCPEDSYESSPCNATADRVCQFDCSRCATDECLSFCLSQKQTKSRKLMAFQMRIFVAEIVFAVILVLSVSVISCLYVRHKLRHCQCSNRELRLAKSTAYSFRKDNMRIQPDVEDLKIRRAQEFSYEELEQATGGFSEDSQVGKGSFSCVFKGILRDGTVVAVKRAIKASDVKKSSKDGTPLSELPAGTLGYLDPEYYRLHYLTTKSDVYSFGVVLLEILSGRKAIDMQFEEGNIVEWAVPLIKAGDIFAILDPALSPPSDLEALKKIASVACKCVRMRGKDRPSMDKVTTALEHALALLMGSPCIEQPILPTEVVLGSSRMHKVSQMSSNHSCSENELADGEDQRIEYRAPSWITFPSVTSSQRRKSSASEADIVGRRTTDGRNVGSSIGDGLRSLEEEITPASPQENLNWLAAHSSLQRQVDFIMKHETAKSSENRALKPKASGHLKPKANDHLRQEKAKRSSCKST >Sspon.03G0019460-3C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3C:80362725:80370122:1 gene:Sspon.03G0019460-3C transcript:Sspon.03G0019460-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDPPALAPPEPEPEPEATPAPPQRLLRLRCPVQPYEWGQRGAASLVARLADQNPDPARPYAELWMGTHPSGPSTLLGDGALLRDWLARNPDALGPAVAARWGGDLPFLFKVLSVAKALSIQAHPDKKLAEVLHALRPSTYKDDNHKPEMAIAITEFRALCGFAAIEELKDVLRTVPEIKGLIGHEDIGKLMNMKGYDGVSEVKSSLQSAFAKLMTASKDMVSEAVAKLISRLNTDSKVRSYLEMFDLWLAATYGWLRRCCKRDSSSPGDELAKCFGKLGLLILIRTLTDKEQMVLSLERQYQDDVGVLAALFFNYVKLSPGEALYIGANEPHAYLSGECIEIMATSDNVVRAGLTPKYIDVQTLCSMLTYKQAFPEILRGVPVQPHVRRYTPPFNEFEVDCCLVPPGELVVIAPVPGPSIFLVMTGEGEIQLDSMSGGEKAKEGDVFFVPAYTEVKISACGPESVQLYRAGGYRLIEMKILVRGLILSGIGGVLGNSFSMDETLRHDIPQVSEEENAALTAPFSEEEIKMAVFDMEHNKASGPDGFPAEFYQFFWDIVKTDLISLFHEFHAGRLPIHSLNFGIITLLPKIADAVRIQQYRPICLLNVSFKVFTKVLNNRILKVADK >Sspon.02G0054070-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:109888029:109896774:1 gene:Sspon.02G0054070-1C transcript:Sspon.02G0054070-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LRVEPGLLARSRAVARGLQLDVAALNAAAGAGQSPSWPATFDRAAAAEVELAASPWAAPAIDAVVRARGRRAHTQVRFDDVMVHVRYLDDSHVFVLRTTDLRFGPEPVFRSTLFRGLVGSLVSSRKKNKLSVECAEFEFLMKENDSVDCSASFTEVSASVRLDNLQLSGFGIHVPKACWEITPKFAPSLMVILDIASQKEDYAVRNGRELWKAAAQKLGNSVVCRRFSLSKAVSCAAFWRSYVHAYVLLLTLVGYPSDKILVRNCAGVSRNKKLLDTIRHQWETVVGLEDKIPVEAIARARCAARSKLIASQQLIKQESSKAVLVSSLLKILTPFLYLWRFLVFMWRNYVYSFFGVRNFISTNLEGRIIGFLAFFCMDELFMVCQLIESMHLEALKSDPGNFKYSRDFIGRLASFYKKGIKGSTMELVEHIAQEDTVDPHVEFSVEMQLDMESTDISFSASRGELLINPAVFINSFGNYISSSPVFEGIATQELLDVLALGVGFCIKSSSVKVLLNGECTDFLVSLSGIQYTERGATAVPLGAHVQSEESQLSVIKCLDIELTWLSLTLVVMDKSGTHQGLTFEVDVSLQQMNLGMEFLFEVKRLSISTVSSIRKNSREQLRDVPGPRFRSSKSVDLSPQSEIQEYLPFAEADNMRSYDHEAPSSSTSTLGSLTGNTSLDFSSHENQILKHFSAYLKIKRKKLDGHSGLEHFYGDWSGSGSLSGLEVAMSLSNVENNEGKALALSFSQGSDFVEISSDVDKPCSIWSTLPFRVDSSEDDSDDGKPYKVIPRSSYHLVNKKNNYGIAFVDGLLEFVKKPGNPFKVQVFDESIFSDASRLTVNHMNLDNNTYLDVDDDVPFSVRDRLASGASSQHVIINVDKIVFTITHEVSDTDNVFPLVQTCISDIRVVTQIFPSKIRILSSFKVDIFINELSFDILLYLVGKLDLMGPYAVRSSAIFPNSCKEGLSLSVQPLLRIHNKSDFPLELRFQRPNKASEEAAFVTVRSGDMVDESTGVFDAMDLSGGSKRALMSLALGK >Sspon.01G0038420-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1B:29785421:29785663:-1 gene:Sspon.01G0038420-1B transcript:Sspon.01G0038420-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGPFNPLPPTSLSRARRLTRFGSCRRVPWQMSLPPWPPLASSDWFGPVCLGVQEIKKISQRPKPNGLGPHHTRPSHLMF >Sspon.07G0017780-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:80854326:80858978:-1 gene:Sspon.07G0017780-3C transcript:Sspon.07G0017780-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHDLLHDLSRIVSLEECAYIGCSGFDANEIQKTVRYLSIFMHDTHIQNFDENMGKLKERIDIKNLRSLMIFGEYNRLHLINVLKDTFKEINGLRVLSIFMNSYSSLPNNFSKLVHLRFYHLKFLDLKQWGRGCSLPKDISHLENLRHFVASENFHSNVPEVGKMKFLQELKEFHVKKESVGFELGELGKLEELGGELNIHGLENVTTRQEAKEAKLMAKRNLVDYFGIRSKSPPGYDILDSIQPHSYIRRLRIVNHGGVVGPGWLCSNNLYMKNLETLHLESVSWANLPPIGQMYHLRKLKLKNIIGLSQIGPDFFGGTTEKSFTHLKEVEFCDMPELVEWVGGANCHLFSRLEKISCTDCPMLTTLLFSGWPISSTEDSTIWFPSLRDLHIDRCPKLCLPPLPHNSMVSRIDTESLSYDRTELIIRKPLELVIHNLGDIERVMTWDALLFSFMDLQKLHSLRLIEVNRCEETFLRGLDDGVVLHTVQSLELREFSLTRKSLSNLFKCFPTLSRLDVSASSDEDHEEVELSLWNPQSMLPMALLANLTSLTNLELYNCNDITVDGFNPRITFNLDHLTVYNWRDGEAQPYSVAADVLVAVARTKTMPAGSFQLVRLDVDSISAVLVAPICSRLSATLQRLDFSYDWRTEKFTEEQDEALRLLTSLQNLWFYNCRALQSLPQGLHRLPSLQELHIWGAQKIRSLPKEGLPDSLRLLKITDCCPEIYEECQKLKGTRPDINEGLTIVDNIRDPVSLRKQRKFKLEGNLPTGWRTYRRPDDKSGGHRVGWSPIIPYRFKVPDGWDECLLLPSAAASLLPSRGYTSVMIGGRRSLRKSRTRRFGSSRLSETCASTTAGLCSPSPKACIAFLLSRNYISGGLKK >Sspon.08G0021020-1P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8C:32522025:32525352:1 gene:Sspon.08G0021020-1P transcript:Sspon.08G0021020-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRRGSEEGNSFESRGKQSLASLAATASPGVGQGRLQPPYDHAQFSAGSVCRHPPHLPVSLSPPLRPSEAEKGRMKSGERPKLVRGLRQESRRFRLLVIVVGFFLVSLTFVVISKPDAMLFSLNSKLPVDQTPTSILIQQKVNSPPATTLRTSTDALPGGDPRVVDDEADPRPQGFKGEEEESRVLSEPDPTSGMTELTPNKDGSGRKSDGENLGGGGDGEGKRNEEPGHAADKHKVTLPTVSNYTIHDTEDTENAKRDDTSYDQQGSKPLCDFSNFRANVCEMRGDVRVHPNATSIMFVEPGHSQRDELWKIKPYPRKGDEFCLSHITELTVKSSKVAPECTKYHDVPAVIFSLTGYTGNLFHDFTDVMVPLFTTASEFNGEVQFLITDMALWWTIKYHTVLQKLSKYPVIDFSKDDQVHCFKHVIVGLHAYMEFTIDSSKAPHNYSMVDFNRFMRGAYSLGRDTVTVLGEYPKVKPRLLIIKRHRSRMFLNLDEIIAMAEELGFEVVIDEANVSSDISKFATLVNTVDVMMGVHGAGLTNCVFLPQNATLIQIVPWGGLEWVSRTDFGNPAELMGLHYKQYSISVDESSLTEQYPRDHEIFKNPIAFHKNGFDFIRQTFMDKQN >Sspon.07G0013120-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:54101796:54103113:1 gene:Sspon.07G0013120-2C transcript:Sspon.07G0013120-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPAVKVYGWAISPFVSRALLALEEAGVDYELVPMSRQDGDHRRPEHLARNPFGKVPVLEDGDLTLFESRAIARHVLRKHKPELLGTGSLEQAAMVDIWLEVEAHHLSPFVLAIVLECIVAPFYGREGNQAVVDENVEKLKKVLEVYEARLSQSKYLAGDFLSLADLSHFTMMHYLMATEYATLVEALPHVSAWWEGLAARPAAKKVAELMPVGTRAPKKQE >Sspon.07G0014850-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:38428589:38432349:-1 gene:Sspon.07G0014850-4D transcript:Sspon.07G0014850-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRYDDRYGGTRLYVGRLGPRTRSRDLENLFGRYGRIREVELKRDYAFIEFSDHRDADEARYQLDGRDVDGSRIVVEFAKGVPRGPAGSREYMGRGPPPGTGRCFNCGIDGHWARDCKAGDWKNKCYRCGERGHIERNCQNSPRSVRRERSYSRSPTPRRGRGRSPSYSRSRSRSRSYSRSRSLSGSPRGGRRDRNRDDRRSRSLSYSRSPMRSASPPPKERERSPTPNGSRSPRSRSPQDQVMSPPPKDNGEGNGSDRGDSPRGRENSRSRSRSRSRSPSGGNRSPAANGRSPSPRGDRSPSPRADRSPSPKGNGNKDDDDAAPGGSPAGSKSP >Sspon.07G0001060-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:2296185:2297660:1 gene:Sspon.07G0001060-1A transcript:Sspon.07G0001060-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPESDRLHSPPVAETPYSISIRVAPSNEAKLDAHCPDAGERKTTHPQPSLTLRSEEPPRQQQVQGTLARSDSTEERDRRFDQFKTLGGRLERQLSSIRGGSQHPAAAVGGDDEASRVSEAETDDDSGEVPTADRYFAALEGPELETLRATEVPVLPEDETWPFLLRFPISAFGMCMGVSSQAMLWKTLELERSTAFLRVSPGVNDVLWWVSAALMALVSFIYLLKVVFYFEAVRREFHHPIRVNFFFAPWIACLFLAKGLPEHVTALHHAVWYQLMAPILLLDLKMYGQWMSGGEWRLSRVASPTSHLAVVGNFVGALLGARMGLREPALFFFAVGTVHYVVLFVTLYQRLPTNVPLPRDLHPVFFLFVATPSVASVAWARISDEFGLGARVAYYVALFLYASLVARVSFFFRGVRFSLAWWAYTFPVTSAAIATVVYASAMTSALNQALAVGLSAVASVTVAGVLAATVYRAFVRRDLFPNDVSIAIRR >Sspon.03G0036050-2T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:51559353:51559888:-1 gene:Sspon.03G0036050-2T transcript:Sspon.03G0036050-2T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELQRQGHWSLALAALHPWYRPDPALYATFVSSAPASPSGEAEAEAAVDALVEAFLEEKARGGGFVDGEEDVYKLTRLLRALVAKARGRAAWKVYEAAVRKGGLDVDEYVYRVMARGMKRLGLHEEAAEVEADFAEWEATVSPPARDVLDEMRARDESKTTASASASQSTRI >Sspon.08G0016660-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8A:65511591:65512861:-1 gene:Sspon.08G0016660-1A transcript:Sspon.08G0016660-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding FLGQSPSTPLVHLRLHSPVLAAAALLSPSTHHSVRSSTPPTYQLRPRRWPCPSPSPSPSRSRRCSSTRPRRSRSPPPPTSSTSPPSSSTPSTPTSTRTPSPPTPRAPSSARRAPTPAPPRVPPSAAPPRTRTRSTPPPRSSTASASPTPRSPPAPTSPPTTSRSSSRSRCCRPRSCSRPPPRPPRSRRSSPRPTSSSRSSATSSSRRRAPRCSPPRSARSSLPPCSPPVPSPARSRRSPRSRPRGSRARSGSAPTSPAPGSLCSRRLRRRASSSTLLCSSPLWPRSFDAAGSWMARSRRRRCSPPRLGCSCWRRGRPCRCT >Sspon.04G0005890-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:12871256:12873157:1 gene:Sspon.04G0005890-1P transcript:Sspon.04G0005890-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPLAISGGQPTWVPYEPTKDCSQGLCSMYCPQWCYFIFPPPPPFDVGGPSPDDSSGPVFSPLVIAIIGVLAIAFLLVSYYTFVSRYCGTFGSFRGRVFSSNSGGGARRRGNGGGGQGQSRSQESWNISPSTGLDETLISKITLCKYKRGDASVHTTDCSVCLGEFRDGESLRLLPKCSHAFHQQCIDKWLKSHSNCPLCRSHITFVTVGMGMATQEAEVRGPGESVGRDAAHEVVVVMDDLEIMCDEQQSMGAAQMVTEANGGQERMDEADSKAEIREERPPPLKLKSGPSSSDPDHDIRMSIADVLQVSMEDELTAARESGILAGGAGTSRRCHGENSKGGRSSRRALQDAMDTKRLPPAGRSCFSSKSGRGRDSDHPIVTA >Sspon.07G0026410-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:53210317:53214525:-1 gene:Sspon.07G0026410-1B transcript:Sspon.07G0026410-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPPSVAILVAVAAITTTIPSIVDGQADTAQTFVDLQNAARGEVGVAPLAWDDTVAAYARAFAAKRKGDCALAHSGGPYGENLFWGSAGANWTAADAVSLWVQEKQNYDCGSNACAAGKVCNHYTQVVWGSTTKAGCAAVACDGQGGTFIVCEYDPPGNLVGQRPYASCGEFNRSAQDSPQDFLSLQNAFRAGLGVGMLSWDSTLAAYAESHADERKKDCQKTPSRGPYGENLFQGSGGSGASDALFSWFGERQHYDCDTNSCESGEACGDYTQLVWANSTRVGCASVTCDGGGTFIACNYDPPGNVPGERPYVGCGKAEFNTPGNDNGNSSSIPKANGNSSTGNNSSQSRNKSNAPILAITLPVVTVLGLVVSAILVCIWRRSRLKRKQSCPTTHSEDIEDLKSVLLDPSVIRAATQNFAEGNKLGEGGFGQVYKGLMPDGQEIAVKKLARGSNQGIRELKNELLLVAKLQHRNLVKLIGACLDGEEKLLVYEYIPNKSLDSFIYDDEKRDELAWGTRYRIICGIARGLVYLHDESRVKVIHRDLKPSNILLEMDMNPKISDFGLASVFDDDHSKHITLRVAGTYCAENIMVLKCTHIGLLCVQENPGDRPNMSSVILMLVGRSTTLPAPSRPAFLFRLDGANQSHHGGITDRPGRSNKSNLSLNKVTITELVPR >Sspon.05G0018910-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:80749049:80753936:1 gene:Sspon.05G0018910-1A transcript:Sspon.05G0018910-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTTEQHSTDQQSLLDAQLELWHSTFAFIKSMAFKSALELGIADAIHGHDGTATLTQIATKAALHPSKTPYLRRLMRVLTVAGIFSIAKNLSDDDGGDHVYGLTPASRLLVGSSQNLAPTLSLILNNIFVSPFLDLGTWFEHELPATDLPLFELSHGKNVWDVVGHDPSMSQLFNAGMVADTHFLMDIAIKECGGVFHWISSLVDVGGGHGAAAQAISVAFPDIECTVLDLAHVVATAPACAGLTFVAGDMFEAIPPANAVFLKWIMHDWGDSECVTILRNCKKAIPPRDAGGKVIIIDTVVGAGPPNLKNRETQVMSDLFIMIVNGTERDEQEWRNIIFEAGFSNYKIIPVLASRRYTSKQARIQIGNLCIIQASRS >Sspon.02G0056150-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:18595445:18611780:1 gene:Sspon.02G0056150-1D transcript:Sspon.02G0056150-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AYSMTAASQGSVICSLPMKPNSSWDIRRSSKKTNVLRYKRLAQMARKWQRLAALASKSLMPTPAKEIEEPSCSTSSVAGKGHCIVYSADGRRFEVPLVYLGTTVFNGLLDMLQAEFSFLGTARKAGDRNMVSARRLVQMAKKWQRIAAMARRRIALTPAKGTTEGSSCSTSPVVGKGHCVVYSADGRRFEVPLAYLGTAIFGVLLSMLQEEFGFAGDDGRIMVPCDATVMEYVMCLLGRDASEEVVAATYGTTWFAEWHDIAMVLRNAFSSSAEVPLLSKHRAYSMTMASQGIVILPSPPVKPNSSLDICRSSAKTAIPRHAKGTSNRTPSVVYIVHCTVALARHGSAALVDFLCCRRRPPSEKAGDLLENKSRRSSHDQCQDTCSDGKEVAENGCPGEEAAGGDSAERRRRWFVRYIDIHCVVYSSDLRRFEVPLAYLGTTVFTELLSMSQEEFTFAGDNGRITLPYDAAVEYVTCLLRRDASEEVV >Sspon.02G0002800-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:8698539:8705541:1 gene:Sspon.02G0002800-2C transcript:Sspon.02G0002800-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHEVVALGLLKTCVLREEKDYNGSGRRDREKEKDDRRREKDDGKHRDRDRDRDRERDRDKDSKYRGREPERDRDRGKDREREPDRQRDKDHGKDRDKEAERDKERERRDRDKERSRNRDKDKDRAEREDRDHEKSRGRVVEKMMQIHPRVTRGIISRELMPQGMLSSPQQMSSESALQGNSMKQLAKEERLKDKKEGGILDGNDGASEILSWVGKSRKLDEKRQAEKEKALRLARALEEQDNILAENGDDDDDEEEDTQVGDADMLENIEIGEQKQRDEAYKASKKKGTYDDKFSDDSLSKKSMLSHYDDQMEDEGVTLDEGGRFTGEAEKKLEELRKRIEGNYIQKKTEDLTSTTKMATDYFTPDEMLKFKKPKKKKSLRKKEKLDLDALEAEAIASGLGAADRGSRNDGKRQSAREEEQAADAEKRNSAYQTAIAKAEEASRALREEKTAPTKPAEELVFGDDYEDLQKSLEQARKLALRKQEEAAASGPQSIVELATATKSQEDTEAAEGDSEQNKVVITEMEEFVWGLQLNQGTRKPEDDVFMDEDDDAMPSGNLAKDDANGLAEMEEEALTEIPAKVEEDGVKPDEVIHEVAVGKGLAGALKILKERGSLNEGTDWGGRTTDKKKSKLIGVEDGPKDIRIERIDEFGRVMTPKEAFRHLSHKFHGKGPGKMKQEKRQKRYEDELKTKHMKSSDTPLLAAEKMREAQSRNQTPYLILSGNAKTGETSAGGYATLETEQPGSLTPMLGDKKVEHFLGIKRSAKPGSLPPPVPKKPKN >Sspon.02G0014420-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2B:36103926:36104877:-1 gene:Sspon.02G0014420-2B transcript:Sspon.02G0014420-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDFYDSNVAGIDKTASDDKAREVIMEAVAEMFPRQVARKKLDNAKDIRVAWQLLSFTCMVNKSVQKKTSEFREMTVAITLHTRVREPSFTIFICHFQNQSRSRSRSRVPRRSLSLHLLRILFLNPHRIQSLGHDRSPSQSRNLHRSRILILGRSHNQSRNLRHSQILDRSHFPDRIRSQTRNPGPGPTHALRHTQILGRGLHLHLHLALDHNLNLNPNPNPNPCWNQHQTQLLTPHRSRRQSQHTILHQSQHLSPHRIPTMNRHRTRNLRPSQSLIQTQMLSQNLSHRHHHLCRC >Sspon.05G0024630-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:14422593:14425324:1 gene:Sspon.05G0024630-2C transcript:Sspon.05G0024630-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEGEGEDEAESSSSGAAAGERKDPRTIARKYQLDLCKRAVEENIVVYLGTGCGKTHIAVLLMYELGHLIRKPSREVCVFLAPTIPLVRQQAMVIADSTNFKVQRYYGSGKNSRDHQAWEKEMGEYEVLVMTPQILLHNLRHCFIKMDLIALLIFDECHHAQAQKRHPYAQIMKFQNSMWNTFGIAGDYACACTYINVCKGFSDSQISTMVPLTS >Sspon.05G0000690-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:1839594:1840385:1 gene:Sspon.05G0000690-2D transcript:Sspon.05G0000690-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAIFQKQVAHAPQELNSPRSGGASSNKPRNPDEIMRDFHAAHPTNAFSTSFGGGAALACVGPTAAAAGSTYQRMFCGLDDIYCVFLGRLDNLSGLIRQYGLCGRSTNEALLVIEAYRTLRDRGPYPADQVVKDLAGAFAFVVFDNRSGAVFAALGGNDGGDSVPLYWGVAADGSAVISDDRDVVKRGCGKSYAPFPAGCMFHSEGGLKSFEHPMNRLKAMPRVDSEGAMCGATFKVDTFTKINSMPRVGSATNWAAAWDDAI >Sspon.04G0027300-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4C:59858878:59859414:-1 gene:Sspon.04G0027300-2C transcript:Sspon.04G0027300-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPEDSSEFEDDEEEGDDLMLFILPALYLGSTGNGTLDQTSMVSDGTAIETPSQVSKFNDAEWICKVLEDDRGQGYDKLRVEPHILLELSRYLRSNDLLRNTRGVSVEEKIGMFIYMLSRNASFQKLNDRFKYSTETIHRHIKACFDAVTPMTGEFVKPPLTQAHQKISSDTRYWPYFE >Sspon.03G0025290-3D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3D:60863021:60866244:1 gene:Sspon.03G0025290-3D transcript:Sspon.03G0025290-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPTSFLPFLLLLLANAARALNQDGVHLLHAKRALTVPPDALADWNASDATPCAWTGVTCDDATGAVTNLSLPNLNLAGSFPAAALCRLPRLRSVDLSTNYIGPDLDPAPAPAALARCAALQRLDLSMNSLVGPLPDALADLPDLLYLKLDSNNFSGPIPGSFARFRKLQSLSLVYNLLGGEVPPFLGAVATLRELNLSYNPFAPGPVPATLGGLSDLRVLWLAGCNLIGPIPPSLGRLANLTDLDLSTNGLTGPIPPEITGLASALQIELYSNSLTGPIPSGFGRLKELRAIDLAMNRLDGAIPEDLFHAPRLETVHLYSNKLTGPVPDSVATASSLVELRLFANSLNGTLPADLGKNAPLVCLDVSDNDISGEIPPGVCDRGELEELLMLDNHLSGRIPEGLARCRRLRRVRLSNNRLAGDVPDAVWGLPHMSLLQLNDNQLTGEISPVIAGAANLSKLVLSNNRLTGSIPSEIGSVSKLYELSADGNMLSGPLPGSLGDLAELGRLVLRNNSLSGQLLRGIQSWKKLSELNLADNGFIGSIPPELGDLPVLNYLDLSGNKLTGEVPMQLENLKLNQFNVSDNQLRGPLPPQYATETYRNSFLGNPGLCGEIAGLCAGSEGRSRNRGSGFAWMMRSIFIFAAVILVAGVAWFYWRYRSFSKSKLRADRSKWTLTSFHKLSFSEYEILDCLDEDNVIGSGASGKVYKAVLSNGEVVAVKKLWSTAVKNGEEGSAADNSFEAEVRTLGKIRHKNIVKLWCCCTHKECKLLVYEYMPNGSLGDVLHSSKAGLLDWATRYKVALDAAEGLSYLHHDCVPAIVHRDVKSNNILLDAEFSARVADFGVAKVVEPEGTGRAAKSMSVIAGSCGYIAPEYAYTLRVNEKSDTYSFGVVLLELVTGKPPVDPEFGEKDLVKWVCSTMEQKGVEHVLDSRLDMGFREEIVRVLNIGLLCASSLPINRPAMRRVVKMLQEVHAGAAAELPGRPHPARVVDRDGKLSPYYYEDASDHGSS >Sspon.04G0008290-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:24123403:24133810:1 gene:Sspon.04G0008290-1A transcript:Sspon.04G0008290-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGQFGWGREEGGWRKGPWTAQEDKLLVEYVRQQGEGRWNSVAKLTGLKRSGKSCRLRWVNYLRPDLKRGKITPQEESVIVELHALWGNRWSTIARSLPGRTDNEIKNYWRTHFKKGKPSKNIERARARFLKQRREMQSQLLLLQGQDHQQQEKQPDHDDDDDASREAAPAAVSLAQQHEEDLQMLQQDMDDLLFQFCPMASACTSSSCLLPGGSCTSAVSASTSEDGSSGDHQLDGGATWGWGSLWNLDDVVDDFGWGREEGGWRKGPWTAQEDKLLVEYVRQQGEGRWNSVAKLTGLKRSGKSCRLRWVNYLRPDLKRGKITPQEESVIVELHALWGNRWSTIARSLPGRTDNEIKNYWRTHFKKGKPSKNIERARARFLKQRREMQSQLLLLQGQDHQQQEKQPDHDDDDDASREAAPAAVSLAQQHEEDLQMLQQDMDDLLFQFCPMASACTSSSCLLPGGSCTSAVSASTSEDGSSGDHQLDGGATWGWGSLWNLDDVVDDVDGGACGWDSSFPLLQDQGLAFY >Sspon.02G0041500-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:75936678:75940578:-1 gene:Sspon.02G0041500-1B transcript:Sspon.02G0041500-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLRGVLLVLALLLAATAVVLLLGDDDDDGAAVVAPAPPFNSSRVKAVSWQPRIFVYKGFLSDAECDHLVTLAKKKIQRSMVADNQSGKSVMSEVRTSSGMFLNKRQDPVVSRIEERIAAWTFLPEENAENIQILRYEHGQKYEPHFDYFHDKINQIRGGHRYATVLMYLSTVDKGGETVFPNAKGWESQPKDDTFSECAHNGLAVKPVKGDAVLFFSLHVDGVPDTLSLHGSCPVIQGEKWSAPKWIHVRSYENPPVVSKETGGCADKSEHCAEWAAAGECRKNPVYMVGAEGSPGQCRMSCKIDLRGGEKEKGENYTTQKAEDKRRHGSAGLLWFYPDPSALH >Sspon.04G0013640-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:54246629:54250974:-1 gene:Sspon.04G0013640-1P transcript:Sspon.04G0013640-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LLPPRPRSRSPPQNLPKPPSRAPHLPTTAFQSVGGNCNAWRCRTYGCGGGSAPPQRRPTRRLWAPTAGAGRRRRGRERRGGRGGGGEKKEKGLLPEWMNVTTEDAKTVLAAVAISLAFRTFVAEPRFIPSLSMFPTFDVGDRIVAEKVTYYFRKPCVNDIVIFKSPPVLQEVGYTDNDVFIKRVVAREGDVVEVHEGKLVVNGEARNEEFILEPPSYDMNPVQVPENSVFVMGDNRNNSYDSHVWGPLPAKNILGRSIFRYWPPGRIGGTTKGCFNPELNPETKPGSLIDVKLTK >Sspon.05G0026650-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:37517593:37520582:-1 gene:Sspon.05G0026650-1B transcript:Sspon.05G0026650-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAASTKTANIPATMRAVQYDAYGGGAAGLKHVEVPVPSAKKNELLLKLEAASINPVDWKIQKGMLRPFLPRKLPFIPVTDVAGVVADVGPGINGFQAGDQVVAMLNSFNGGGLAEYAVASENLTVKRPPEVSAAEGAGLPIAAGTALQALRTIGAKFDGTGQPLNVLITAASGGVGLYAVQLAKLAGLHITATCGARNVELVKSLGADEVLDYRTPEGASLQSPSGKKYDGIVHCTVGISWSTFEPVLATTGRVIDITPNFTAILKSALHKVTFAKKRLVPLLLSPNKADLEFLVGLLKDGNLKTLIDSRFPLSEVSKAWEKSIEGHATGKIIVEMGG >Sspon.02G0038620-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:43696897:43699500:1 gene:Sspon.02G0038620-1B transcript:Sspon.02G0038620-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFGWRVVVGSLIGFFGAACGSVGGVGGGGIFVPMLALIIGFDPKSSTAISKCMIMGGSVSTVYYNLKLKHPSLDMPLIDYDLALLMQPMLMLGVSIGVIFNVIFPNWLITALLITIFLVTSTKAYLKGFETWKKETIKKREDARRQEQICKRAPKQKKSISHTMKFSWFLTICFAKCQEPKHATTIPIEADAPANKAKTPTDEATSVLKNIYWKEFGLLAFVWIAFLGLQVTKNYVASCSVWYWVLNSLQIPVAVGVTVYEAYGLRTGKRVLSSKGNQQQSTLRIRQLLVYCLFGILAGLIGGLLGMGGGFIMGPLFLELGIPPQVSSATATFTMMFSSSMSVVEYYLLHRFPVPYAAYFTGVAFVAAITGQHCVRKLIAWLGRASLIIFILASMIFVSALTLGGVGISNIVHRMERHQYMGFESLCKV >Sspon.08G0025090-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:6059050:6061783:-1 gene:Sspon.08G0025090-1C transcript:Sspon.08G0025090-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAKKQEGNSLLLLLRLISLFSYYLLCRVPHATSLSFSYNFSNAGVLTSADLRYMPNATNASGRIDLTKDTTWSTGRVAYGQPVPLWDNSTGKVASFTSNFTFAITPRNSTSQGDGMAFFVGPYPPTLPQDSNGGFLGLFNNPNNTANAYFPPTVAVEFDAFKNVWDPENTVNHVGVDVNSIVSLAYAPLPVASFNGTMSAWVRYDANASTLSATLRFDHLPALGLYNVSAVVDLKEARLPQQGAVGFSAATGDFVERHQILSWSFESTLVSVAVVSTTGKQMQGETPLHLHGEMDDEFEMGAGPRRFTYNQLSQATRGFSDEEKLGEGGFGSDQGLHVAIKKVSKTSKQGRREYISEVTIISRLRHRNLVQLVGWCHDADELLLVYELMSNGSLDTHLYNTDNVLTYNIILGMGSALLYLHQEWEQCVVHRDIKPSNVMLDSSLNAKLGDFGLARLVNHSRAAHTTMLAGTMGYMDPECAVTSRASVQSDVYSFGIVLLEVACGRKPVVPEEEDESRVLLVQWVWDLYGRGELLDAADPRLDDGGGGFQPLEMERALCVGLWCVHPDYASRASIRQAMSVLQFEAPLPELPLEMPVASYGPPVAGRGYYWSTTTTSSSAGANTGGHSSTSDRTAEHSFASSAKSGGTHGTSFVTSNTEPMGSARESAMPSHVADRFSTHSTGGRSGTIE >Sspon.01G0057960-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:18453574:18456034:-1 gene:Sspon.01G0057960-1D transcript:Sspon.01G0057960-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIAQRETDWEEQCWICGPVQCIFPQQASELSGLMIPMALWKMDPIALEFLESNINHLR >Sspon.05G0002860-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:8511271:8511711:-1 gene:Sspon.05G0002860-1A transcript:Sspon.05G0002860-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSKMLLAALCVVALLAVRSESHGLGDFTSGEATPQMQNFFKPEAAALPESLDGSSMPAATMAAKPEATVIPTTTTTATADTSAATAGTVAKSSATPRRSVSVAAGVACGVAAVAVVGIAAAVAYVVRGRRGARRGTAVQLGSSP >Sspon.03G0013540-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:50712301:50716603:1 gene:Sspon.03G0013540-2B transcript:Sspon.03G0013540-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNRAAFVVAFLLRAIYGGMQIVTKAAFDEGMSTSVFVFYRHLTGILFLVPITFVLERKTAPPLSFKVSMKLFFHALYGMETLNLKRFHGIAKASGVLFSIAGVIVLAFYQGPAFRSFIHHNPFHHTSNFHAGVTAHPKRVWIFGIFLTTLSTTAWALWTVRMCPGASFIYMFSGHSRCRSNVSLCLLMIFTYTVIVPQGPMLEAYPSKLLNTTLQMIFATIQCFFIALVAERDFSKWKLALGIRLFAVMYSVSSISLQIVLQGILVSGVAYYMQVWVIEKSGPVFLAMTMPITLLVTIILSSFLGEAITLGSILGGVIMVGGLYSVLWAKRSEQVDASASKKQMAPAPAEATQ >Sspon.01G0057360-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:6363069:6373545:1 gene:Sspon.01G0057360-1D transcript:Sspon.01G0057360-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDPRDSLPLLCPLYALPAQIGSYFALALPALLPASTSASFVAAPLVLRFGHLVAPPSEENAAYESAAFQALIVLQPVLGFVIVDYSIHGLLLYRSLAQSLLPLANRGAAAARCASLQCGQFDEEFVAALKAKMDHKVHLAALQKGRETRGVTGDSLYAEIPAEVVPKKEYLLELGKVYIIKKFRVTNAKPSFRTVDRPLMIEVSEFTTIELAKNYPPTIPEYVYRLTPFQSIVPAGNTVFTYTDVIGYITKYTEVESFVPKNKEKVTHIRKVVIKDLSDNELIVTLWGDHAINFTTDGVYDEKIGDVIVCLFVGCIPRRDYKDYDKTYLSASSACSYYFNPAIPEATPFYTRYLLSFIASDETGDAKFFAYDAQATLIVGKDCEAVMNPLDQASGLPQRLQNIISKKYVFSVDLSDDQVRKYVVKAVLQRPSNRPAHPVSLALVPCSDPQPTQTPAPSDDADQVSPKDGEKSLAAHSASVRRNLFPDTSVPDKAGVSTEQIPVAGASSDHNPVAPGDPLHPQHKRQAKEYEKFTFTHGNTE >Sspon.07G0002940-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:29360211:29361722:-1 gene:Sspon.07G0002940-1P transcript:Sspon.07G0002940-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATTAVISGRWTRVRTLGRGASGAVVSLAADVASGALFAVKSAPAGSAAAEHLRREGSILSALRSPHVVPCLGLRAAPDGGCQLLLEFAPGGSLADRRGRLGDDERAVAAYAADVARGLAYLHARSLVHGDVKARNVVVGADGRAKLADFGCARAVGSSAIIGGTPAFMAPEVARGEEQGPAADVWALGCTVVEMATGRAPWSDVVNDSLPAAVHRIGYTDAVPEVPAWMSAEAKDFLARCCFARDPRDRCTAAQLLEHPFLQASAAGFKAEEGAAAAEWVSPKSTLDAALWESDADDDSDDEGDVSESPAQRIKALACPGSAVPDWDSEEGDWIEVLDEQYEATNLVPLPTKDVAGEDECQFQSEVLETEVDFIDAADAEGDDPECSVAVGLGRGGDGEA >Sspon.01G0053890-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:44045248:44047763:1 gene:Sspon.01G0053890-2D transcript:Sspon.01G0053890-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLARWNPPLPPAPLAAGAAASTARPLARRRIPRRAATVVSPRAFGGAGFDGFVRRAWRSANAGAERLAFEARQAAQRLDGRFSISRRLAEASRAARARAVEIDAELGIGRRWRSFSVDFSRSWPRYRREINDFMETPIGRALATIFFLWLALSGWLFRIFIFGTFVLPFAVPLLLGPLANRVAIEGTCPACKRRFVGYRNQVIRCMNCQNIVWQPNNSSSGGTRSSRSSEPDVIDVEYEEK >Sspon.07G0029430-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:76061778:76064930:-1 gene:Sspon.07G0029430-1B transcript:Sspon.07G0029430-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQTDASELATLLTIKKDWGNPSALSSWSSQNASSSCRWAGIKRCVNGQVSALSFQNLNIINPVPASICNLKNLSYLDLSYNNLTGQFPTALYGCSALQFLDLSNNHFSGALPADIDKKLSSSAMEHLNLSSNGFSGSLPLAIAGFPKLKSLVLDTNSFNGSYPGAAIGNLTQLETLTLASNPFAPGPIPDEFGKLKKLKTLWMSGMNLTGGIPDKLSSLTELTTLALSDNKLDGKIPGWIWKLQKLEILYLFSNSFAGAIGPNITAVSLQQIDVSANWLTGPIPESIGKLSNLWLLYLYFNNLTGPIPSSVGRLPNLADIRLFSNRLSGPLPPELGKHSPLGNFEVSNNFLSGELPDTLCFNKKLYDIVVFNNSFSGAFPAILGDCVTVNNIMAYNNHFTGEFPEKVWSAFPDLTTVMIQNNSFTGTMPSVISSNITRIEMGNNQFSGAVPTSAPGLKTFMAENNQFSGPLPENMSGLANLIELNLAGNRISGSIPDSIRSLEHLNYLNFSSNQISGAIPSGIGLLTVLTLLDLSNNELTGEIPEEFNNLHLNSLNLSSNQLTGELPGSLQSAVYDRSFLGNRGLCAAVNPNINFPACRYRRHNQMSTGLVILFSVLAGAILIGAVGCFIVRRKKQQGRDVTSWKMMPFRKLDFSECDVLTNLREEDVIGSGGSGKVYRVHLPGRERGRGGGCGGTVVAVKKLWSRGKAEEKLDREFDTEVKILGDIRHNNIVSLLCYISSDDTKLLVYEYMENGSLDRWLHPKDNATATAALDWPTRLGIAIDAARGLSYMHDECAQPIMHRDVKSSNILLDPEFRAKIADFGLARILFKSGEPESVSAVGGTFGYMAPGTFLVLVVVRQHRRPTLLAFSGGLNRHSADLLWLELDAECGRGAKVNQKVDVYSFGVVLLELATGRVANDSSKDAAECCLVEWAWRRYKAEGPLHDVVDESIQDRAVYAEDAVAVFVLGVMCTGDDAPSRPSMKQVLQQLARYDRTANVAGACRDGRDVDLGQVPKGKQGRHQAAKRSYDVGAFLGGDEESGNFGARPV >Sspon.07G0011520-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7A:41049948:41078773:1 gene:Sspon.07G0011520-1A transcript:Sspon.07G0011520-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALQDRVMEETKEKKNAVEAYVYDMRNKLYDKYSDFVTPEDKEGLIAKLQEVEDWLYEDGEDETKGVYIAKLEELKKVGDPIEVRFKEWEIRSSAVSQLVYCINSFREAALSNDQKFEHIEMSEKQKVINECSEAETWLIEKKQHQDALPKHANPVLLAADLKKKAETLDRFCKPIMTKPKPAPKPQTPPPAETQAAPEPQTPEQQQSNGESTASEGAAEEPPAEQMDTDKPEGATDPSA >Sspon.05G0009060-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:26415188:26418327:-1 gene:Sspon.05G0009060-1A transcript:Sspon.05G0009060-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVPLPLPPNPPPPLARRRGSARALFLPPLMDMDASQTWPPPTPSPPPFSSRPRASPSPSPHRRRRRHSKKFKPPPAPAPAPTPQGAADFSALPPELVHRALAAACASDVAAASRACRAWRDALRPLREAAALHAYGRRVKHGLVAGSAASRGTGGERLETERQRALGLFRRAARLGSAAAMVDAGLMCWEDGRREEAVGYYRSAADLGHPVGMCNLGVSYLEADPPKAEDAIRWFYPSASAGNARAQYNLGLCLQNGKGVKRNQKEAAKWYLRAAEGGNVRAMYNTSLCYSYGEGLSQDPVRAKRWLQLAADCGHKKALYECGIKLCAAGDKVKSLMYLELATRRGETAAAHMRDVIFESLSVVNAQRAMSDADKWKPRTLHPRR >Sspon.02G0037650-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2B:35161400:35162159:-1 gene:Sspon.02G0037650-1B transcript:Sspon.02G0037650-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLSMLRTQTEVGRMRLAARAPNRRPWRGSARPRSDVDRPPLPVTPYPKLLYATRNPKQELARMESDGSELAMVSRRVDENAGEVSRWRKWKFTLTSARLVQVKDGGGRGDSGGAVDGVWVAVA >Sspon.07G0034810-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:81514136:81517107:1 gene:Sspon.07G0034810-1C transcript:Sspon.07G0034810-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding PKAKVHPNAADPEHAAPVTVVTPDEAEPPTVLTVWRRSLLFNCDGFTVYDDRGDLVFRVDSYDGSGRRRAEVVLMDATGTPLLTVRRKRRLGMLVDHWVIYDGDAAAADAEAEPSTTNSKPLLSVRRHRRASSSSSKAKALAYVTPLLASSSSYVVEGSYGRRACAVRDARGDAVAEVRRKEAVLGDDVFWLVADPRLGATLAMGLVIALDEMFAGGRSSARSSSLLRSRTWSLCLHSARSCALPGYHKLERARQDIKMPKAKVHPNAADPEHAAPVTVTPDEEPPPTVLTVWRRSLLFNCDGFTVYDARGDLAFRVDSYDGSGRRRAEVVLMGATGTPLLTVRRKRRLGVLADHWVIYDGDAAAADAEAEPSTTNSKPLLSVRRHRRASSSSSKAKALAYVTPLLASSSSYVVEGSYGRRACAVRDARGDAVAEVRRKEAVLGDDVFWLVADPRLGATLAMGLVIALDEMFAGGRSSARSSSMLRSRTW >Sspon.08G0029380-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:35569632:35570212:-1 gene:Sspon.08G0029380-1D transcript:Sspon.08G0029380-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LIRWRPLPIPIVNCATSFGARSAGSNAAAAHVSPFSRLFSSASAAVAIVPHDARDSGLGVSAYWAWIRAAPESAPAPAPPKEEEDEGPARYIPVKAYFLSTSIDLKSIQAEHRNDIGPTPTCSLNYIALRYSEFPPEIM >Sspon.01G0000530-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:1233746:1239753:-1 gene:Sspon.01G0000530-3C transcript:Sspon.01G0000530-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGILERIKEIEAEMARTQKNKATEYHLGQLKAKLAKLRTQLLEPPKVSSHSCLQLDYQKVNVGKSTLLTMLTGTHSEAASYEFTTLTCIPGIIHYNDTKIQLLDLPGIIEGASEGKGRGRQVIAVAKSSDLVLMVLDASKSEGHRQILTRELEAVGLRLNKRPPQIYFKRKKTGGISFNTTAPLTHIDEKLCYQILHEYKIHNAEVLFREDATVDDLIDVIEGNRKYIKCVYVYNKIDVVGIDDVDKLARQPNSLLNLDRLLARMWDEMGLVRVYTKPQGQQPDFTDPVVLSTVRSLPFPRLLFTLAFPRNRGNRLADTRVVYHQDRGGCTVEDFCNHIHRSLLKDVKYVLVWGTSARHYPQHCGLSHGLQDEDVVQIVKKKEKEEGGRGRFKSHTNAPDRISDRVKKAPLKT >Sspon.01G0024620-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:85904513:85909001:-1 gene:Sspon.01G0024620-4D transcript:Sspon.01G0024620-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVVYYQYKSEKEICSMPVPHAFISVSELKQLILTSGKHGRGRTRGRATEDIVISNAHTGEEYADERASVPQNTTVLVRRISIPGQLSEKIVLSPTRKVTEECSVPCKSVVTDSSSKSCSSTVVQDEDAAIVAVIDAAELKLEQHPSKRGQGSGRFTSGRNYGPLEGETPPPGYVCRSCGVPGHFIQHCSQENKTPPPGYICYRCRIPGHFIHHCPTIGDPKFDNNKMSRSLVPVVTVSPVDGILDSLVPAAPVSAVDDLPAELHCRLCKKVMRDAVLTSKCCFDSFCDRCKCFSYTPFVCIFKVFVLVSMSALSKSIRDYIITESKCICGVKTLADDLIPNHTLRSTISNMLGTQTSSGGSGTTRHRSSSGSNSDPKILSYTASAASAREAKQSTDHQLPAASAPDDVLQVATGGALVNQPLEKLAATARILSKDEGNSAEVSAEKAAANAEATKVNDGSESTSKATTVSGALKHNSTRTDQLKKKRKKADLTKIVQPNNVGYGYNVPFDPAYYNPFNNGYPWATEPYMYSSMGMPYTGYPMDPYCVNTFTGMPPQVLAMQGYPASYQRPGTEPMHRGGTAAARALSKHPERSERPKDTRLQPQSPEHKRQLGSSHGSESRTRNGTRSSSERREHGNSDRASVEDHSSRKRMRDSSPKYDDKQSSRRSRHGSRSMMTREEDASDDERNFKRRWGRRSSGGVDTRH >Sspon.03G0040850-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:33767733:33769558:-1 gene:Sspon.03G0040850-1C transcript:Sspon.03G0040850-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQNVMSDESAKGYNGEREGNEYLINLIDSSGHVDFSSEVTAALCLTDGALQWLTVLRVSVCKLRTIWTDMFKFI >Sspon.05G0006180-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:19909651:19913636:-1 gene:Sspon.05G0006180-1A transcript:Sspon.05G0006180-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MESDEAVWALYERWCKVFKKQRDPAEMARRFKIFKYYAEYVHDWNTYVPEDPKEAAIHFRKEERPNYFFQRAKTRNKNKTTKAGRTIRASLPIKQTWLKLYHLVDWDRYKNGAIHAKTESKDWAAPPPVPAPVLGPPPTLSSPADGHLNHLLAADLNEKLPTFPPEEPGDKEITFKHVLLNNCQEAFEGASSLRAEIAKITGRDQEMERRDKERLVKLRTLGNIWLIGELLKQKMVPEEIVHHIVMELLGSGPDKKPCPEEEDVEAICHFFSTIGKQLDENPKSRRINNTYFIQMKELTMNPQLAPRLRFMVHDVIDLRSNNWVPRREEIKAKTISEIHTEAEKNLGLRPGAASVIRNGHSSPGGPLSPGGGFLMNLPGTGGMMPGMPGSRKMPGMPGLDNDNWEVPCSRSMPRGDSIRNQGPLLNKPSTVQKASSINTRLLPQGSSAALIGKSALLGTVLGSSAELQKKTISLLEEYFGICILDEAQQCIEELQSPGYYPEIVKEAVNPALDKGTNFVDPLVRLLEHLYTKKIFKTEDLEAGCLLYSALLDDIGIDLPKAPTQFGE >Sspon.06G0001410-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:4411268:4416702:-1 gene:Sspon.06G0001410-1A transcript:Sspon.06G0001410-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVISAENGQQAWTYLEDMQSNIDIVLTEVFMPGVSGISLLSRIMSHNICKNIPVIMMSSNDAMNTVFKCLSKGAVDFLVKPIRKNELKNLWQHVWRRCHSAQSSWTKRAVEIDSPQAMSLDQLADPPDSTCAQVIHPKSEICSNRWLPGTSNRNCKKQKGTNDDFKRKDLEIGGPGNLYMDHQSSPNERPIKATDGRCECPPENNSKESMMENLEEPTVRAADLIGSMAKNMDTEQEARAADTTPNLSSKVPEGKDNKNNHDNVLPSLELSLKRSRSCGDGANTVKDVEQRNVFRRSNLSAFTRYHTSAASNQGGTGLVGSCSPHDNSSEAMKTDSTYNMKSNSDAAPIKQGSNGSSNNNDMGSTTKNVVTKPTTNNDRVMLPSSAINKANGHTSAFHPVQHWTMVPANAVGGKAKADEVANNAGHPAGDMQSNLMQQYPRPTLHYVHFDGAQENGGSGALHCGSSNVFDPPLEGQATNYGVNGSNSGSNNGTKGQNGSTTAGASTAAANANANAGRTNTETANGAIHKSGHAGGGNGSGSGSGNDTYVKRLAAGMTPRQAQLKKYREKKKDRNFGKKVRYQSRKRLADQRPRVRGQFVKQAMQDQGGWDGAGDR >Sspon.04G0009690-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:28179789:28186594:-1 gene:Sspon.04G0009690-1P transcript:Sspon.04G0009690-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGKIVIRRIDNSTSRQVTFSKRRNGIFKKARELAILCDAEVGLVIFSSTGRLYEYASTRALAAVEAAGPDRGEGVTAVLASEHGHGGGGESGGGERENEATAGMSCGETLPRHGRGSGGVEHEEEGEDDREGEEGHGEDEQYPGCMKSVIDRYGQAKEEEQLVANPNTELKRFLVDDRTEPIHSSKL >Sspon.07G0036060-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:20951243:20959945:-1 gene:Sspon.07G0036060-1D transcript:Sspon.07G0036060-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MESTLQLSNIDLIFTYTVKGKTGSSMRATSFVQNDILSPTLDDAVNLLGDYSTWLSSSNTREAKVYLECFCERWGALVAPEERVLLDPNGLVSEGEKLTIKALDGFNASAAAKVFEEEIREVVCPINGLDFLMSIDMFQIYALTPLKSIEVLITSSTAP >Sspon.05G0035840-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:81307409:81309799:1 gene:Sspon.05G0035840-1C transcript:Sspon.05G0035840-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMQYFNMSAAFLLLLGFPFHPTVDGQLSTIAPPHSWEIISMQSISKGEDTSMTPVLVNSTELYGTMFGFYTTDGGHSFILSVVFMGAQARVIWSANPDNPVSRNAILDFTREGDLLLHEADGAIIWTTDTNSLSVAGMKLDDLGNLVLFDQNNTTVWQSFDHPTDTLVLGQSLCRGNNLSAKPSNTKWPGARVYFSAQLNGLQYSFKPAAYTQLFQATTTTSTNATPTCYAFVNGSFGFPDKIFSLPLAGSMQLMRLESDGHLRLYEMGIPHLELRMVLDVLSSVMNFCDYPLACGDYGVCSNGQCSCPSSNYFRLQSERHPDAGCIPLASISCDDMHGHKLIPINNVSYFSYTTFQSLATRVVSEDVCLHSCLLDCSCKVVLFQSSSFSDDAGNCLLLSEQNLILFTEGSSNNLLALLKVQDKHSVKRRNIIIGSTIAGFSVTSFFVYAVIWKKCKKDEEPLFDGIPGIPKRFSFHELKVATSNFSIKLGAGGFGSVFKGAIGKETIAVKRLEGVDQGMEEFLAEVKTIGRIHQFNLVRLVGFCAEKSHRLLVYEYLSNGSLDKWIFHTSLVFTLSWKTRRNIMLAIARGLSYLHEECEEKIAHLDIKPQNILLDNKFNAKLSDFGLSKMINRDQSKVMTRMRGTRGYLAPEWLGSTITEKADIYSFGIVMIEIICGRQNLDESQPEQSIHLISLLQEKAKSGQLFDLVDSSSDDMKSNVEDIMQTMKLAMWCLQVDSSRRPLMSTVAKVLEGAVSMEATPDCIFVPSFESVNTDASGSTSSYVPSESHLSGPR >Sspon.02G0038060-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:45119532:45125563:1 gene:Sspon.02G0038060-2C transcript:Sspon.02G0038060-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant UBX domain-containing protein 2 [Source:Projected from Arabidopsis thaliana (AT2G01650) UniProtKB/Swiss-Prot;Acc:Q9ZU93] MKDKMKEFMKKVTSSGSGTPSSFKGTSHVLGSGPSPSSSHPAARPSNPSPNPRPAPKRTSPPPPPTSTTDFTPFTPLVSSSSSSRRPDANGTAAAAATVACPSCGDAFPSELAVSEHLDGCLASAGGARARAAAYLAADPPPPAASVEVVKRLLGNLLREPGNDKFRRVRLGNPRIKEALADRDGGVELLEAVGFTVGDEGGEPFAVMDEVPSDPRLNGIRRAVLLLEGAHPSAPPVKAEAEAKESCSNVADVQEGAKTIDRQIRVFVSVPGSSMAQNDVPDSFYKLSGEEIRNEAKMRRERLEQSRLLIPKSYKEKQALAARQKYKQAVIRVQFPDRMILQGIFLPGEATSSLYEFVTSALKQPGLEFELISPAIPKPRVVPHFPNPGERARTLQEEELVPSALLKFIPKETDSMVFTGLLDELLMASEPLPAASQ >Sspon.05G0021090-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:86496603:86497770:1 gene:Sspon.05G0021090-2C transcript:Sspon.05G0021090-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MACVADREAAVREVAQVYELIKLQQPLLLLHSQEPPPSTTPLAQSLLGKALRALNVALSVMKQQQQQQPVVVVKAEPHLSTPSPVSADSEAAIAPSTATRGAKRRRSSVAMEGKKTSSWTTLTAVPYDDGYQWRKYGEKKINGTLFTRSYFRCTYKDDAGCLATKHVQQMDNNSDPPMFHVTYNNDHTCTAKAAAKANTTSSSSNLAALLAGCNGRGSGSGNGLTTMATTNARPTEHAAAAAMNMKQEPPLLLPALIDLQPSACFPYEQIPQCQQEPLFPTSMEQQFVCGALRDHGSPVDGEIPSATGSCISGETSWWDGYSGDMAAQMAAEDDPLHYLDRFLQCDSFMDY >Sspon.08G0017950-3D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8D:5473289:5475331:-1 gene:Sspon.08G0017950-3D transcript:Sspon.08G0017950-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASRDDERHQEEARRMASLLAARRALRAGLDKSRALSHALAPRLEAIQARLPAMEASVRPIRAPREALATAGPNIDRAVGPAAAVLKVFDAVHGLEPPLLDRAAVAADLPGYLAVLAQLEAALRLLADNCGLATQWLFDIVAYLGERRLADQRFVAGLAAELDRLRNAAASADLDAGLLAAALDLLEAEFRRLLKDHSAPLAVKDPAAGSDAPAVVPSRIPPSVVYKLSLILDRLAANGRLDHCSSAYADARGDTVSASLRALGLDYLKETSGDAQALSPSVERWGRHLEFAVHHLLEAERKLCVAVFERRPEAAPLCFAEIAARAGILDFLTFGRALAGVKKDPIKLLRLLDVFDCLNKLRLDFNLLFGGKACVEIQSRTRELVKTVVDGAVEIFEELLVQVELQRNLPPPVDGGVPRIVSFVAKYCNQLLDQPYRSVLTQVITIHRSWRKEVFNDKMLVDAVLSIVKTLEINFDTWSKAYGDSTQSSLLMMNIHWHFFKHLKGTKLGELLGDPWLREHEQYKDYYLAMFLRESWGTLAPLLSREGLIMFSKGRATARDLVKQRLKSFNASFDEMFQKQSKWVISDRDLQQKTCHLVVQAIVPVYRSFMQNYGPLVEQDVSASRYVKYSADDLDKKLNTLFLAKPGRPMRAGSFQIKNSDDKITSAMTGLYRSASTLK >Sspon.05G0010780-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:30379761:30382331:-1 gene:Sspon.05G0010780-1A transcript:Sspon.05G0010780-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein FAR1-RELATED SEQUENCE 11 [Source:Projected from Arabidopsis thaliana (AT1G10240) UniProtKB/Swiss-Prot;Acc:Q9SY66] MTPADAVPASLLECDARGADDSPDETRLSLETAAPSAEAGEGDPDAAPYVGQRFPTHDAAYEFYSGFARRCGFSIRRHRMEGKDGVGRGLTRRYFVCHRAGSAPAKPLAGAPRPQRNRSSSRCGCQAYMRIGKGLDAGGPLPQWRVMAFSNHHNHALLGQSKVRLLPAYRFISGADRDRILMFAKSGITVQQMMRIMELEKCIEPGSLPFTEKDVRNLIQSRKVEHEDDERVDLLRMCKNFKEKDPNFKCEFTKDANNHVENIAWTYVDSVQSYELFGDAVVLDTTPRLSSLDMLLGIWVGLNNYGRLFFFACVLLREENQISFAWALFQLLLPTDQAGEQEMIQQNLQNIRLKTASPIEGHAAAVFTPYAFSKLQDELVASAQYASFHLEGSIFLVRHHTKEAGGCSVTWNQREELISCCCQMFKSVGRRDPLSACPSRAHSTELFPDPRPVPSCPVAQDSATAFQLLEWSSSSPSGRSI >Sspon.06G0004380-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:13867903:13870438:-1 gene:Sspon.06G0004380-1A transcript:Sspon.06G0004380-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKKDKAPPPSSKPAKSGGGKQKKKKWSKGKQKEKVNNAVLFDQATYDKLLSEVPKYKQITPSVLSERLRINGSLARRAIKDLMARGLIRMVSVHSSQQIYTRATNT >Sspon.03G0032030-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:32117318:32123812:-1 gene:Sspon.03G0032030-1T transcript:Sspon.03G0032030-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLAAAAAAVAACPSPIGLTRPLCRIEAHPRRRRRFRLEASSSASASASAPAPAAADEGGGAGPCPVVRFDMADFTVADRVNVGLHGRSDEMIFEATVCDPSSELYGSTVVLRQLKSLQAKRRGRRALEVLKKLARRQMMYHSYALQVHGYIAPCNAVEEEDVPLVLVHGYHGSHSLRHWLQLSDWLPTLEATLALDEEQVRRVGDDSVGGPAVTRQLRLIRILMRDLLIGVNYLHSHGLAHTELRLENVHVSPIDKHVKVGILGNAADFHDNDPSSSTVASNNDRRKMMIAFDMRCVGFIMAKMVLRELMDSSTFFKFKSFLTKGNDPSCLREFLLPTLCQNSTSGNIDRQWGAGWNLLALLLATKPEKRISCVDALRHPFLCGPKWRINPSANLIRWSLGSTAVRMAEDYIYGHHQRRRLAYFVELMEVLNPSLRTQSWLHLLPGRWRLLYCTGRHIGLTLRQPSPRVLISDVYLTVSSESVDPIFSLTSDTGFRIMPESNWPHDKSGTEGVLSVTTSARIAAGRIYIKEHDSEESRVTSFRSSRRYLHGKWGKVSQMKELPSSLPTVSIAAMDEIDVSMSCDSSLNVNSAQNVLQEIRTQTPPEIFDLSKIVCGTYIDARLMILRGVNGSALLFTRANPTSDP >Sspon.05G0012230-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5A:36472758:36473715:1 gene:Sspon.05G0012230-1A transcript:Sspon.05G0012230-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSGAFAILSKALSLGCFPSVQVIHTSKSYAGQVYIPEVNFLMGLASIIVMITFRTTTEIGNAYGICVVTVFSITTHLTTIVMLLVWRKKFIFILLFYVVFSSIELIYLSSILTKFVQGGYLPFCFSLVLMALMITWHYVQVMKYWYELDHIVPADEVTALLEKHEVRRIPGVGLLYSDLVQGIPPVFPRLVQRIPSVHSVFLFMSIKHLPIPHVAPVERFLFRQVGPREHRMFRCVARYGYSDMLEESVLFKGFLTERLKMFIQEEAVFETNSTARDTQTNPNKVIDPK >Sspon.06G0021010-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:11790144:11793815:1 gene:Sspon.06G0021010-1B transcript:Sspon.06G0021010-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSSALSKRGGDGGDGILAAISRSQVAAHGREAAAVAKKLLRSTGKAAWIAGTTFLVLVVPLIIEMDREQQLNDLELQQQTLLGGPPPPAAAKFELREVSEGVREGVIESTCCRSVEEEKKGSPAVDHGEQEKGSPITGHGEDEKGLPATETAAEACQAVDGWGQRVKSESNLKSMETKATGVDEAPVQAYKITLGGKNKTPKTPTN >Sspon.02G0028100-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:102145148:102145772:-1 gene:Sspon.02G0028100-1A transcript:Sspon.02G0028100-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding KYNADVTTPTKNIHGVLEERDPSYDEMLKHMVGRITTKPGGKPEMGEASVVQRYNRPLPKVRTSKAEPGQSGGRQLPSGALNVQHIQEIIQLYQGKSANHQGPMSVDDIASRFRVEATVVQNIVQFISLPQDETVKKKDEH >Sspon.03G0007750-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:35890444:35892501:1 gene:Sspon.03G0007750-3C transcript:Sspon.03G0007750-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding STTSITEKGKLRSWVGPNGQYYRELPCPSCRGRGYTPCKECGIDRSSLDCPMCNGKGIRMCLQCSGECVIWQESVDEQPWEKVRSSSPLKVKEDDEVDKLEININNPKRSKRTYASPSPEVAMKISRSLRSLNAQTGLFTKHMKLIHQDPELRAQRVAAIKRTKGTAAARKRASETQKAFFSDPENRLKRSIAMKGVKFYCSKCGQEGHRSFYCPTVRKGSTRLQFRCRLCGGEGHNSRTCGKPKSEKEHQQRPRHCSRCGAKGHNRRNCPTSTDVGIGASGYVVNKVNSSNSAVYSCSLCLEKGHNRRTCPKRKAT >Sspon.03G0046050-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3D:31995120:31995528:-1 gene:Sspon.03G0046050-1D transcript:Sspon.03G0046050-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAFAPLRASWARSCFTVAVQAAVCALIASPCPFKAELPSCFPFPLFPTAAELFGPPVKLVAPAPPQPASSRQQGRLILALPPSPLASSLHIGVGCWPSSPSRPSRTLPRTLPPWPGHTRADLAHSFVVLVTLQA >Sspon.07G0007060-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:14337853:14339559:1 gene:Sspon.07G0007060-3C transcript:Sspon.07G0007060-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALGGATPERQEPRRYSGRITAFVVLSCVAAGMGGVIFGYDIGVSGGVSSMDAFLQRFFPEVYRRMKGGGERVSNYCRFDSQLLTAFTSSLYVAGLVSTFFASSVTARCGRRPSMIVAGVAIIAGAAIGGSAVHISMLILGRVLLGVGLGFGNQAVPLYLSEMAPPLRRGAFSNGFQLCVGLGSLAAQLVNFGAEKIKGGWGWRVSLAIAAVPAVFLAVGALFLPETPNSLVQQGKDRDEVRELLRKIRGGGTDSNCVEDEVDDMVAAVRSSSKVTAWRGLKMMVSKRRYRPQLVMAVMIPFFQQVTGINAIAFYAPVLLRTVGVGESAALLAVVAKQTVGVGATLASMFAVDQFGRRTLFLAGGSQMLVSQVLIGGIMASQLGDDGEVSKACAVALITLIAVYQAGFGWSWGPLGWLVPSEIFPLEVRSAGQSIAVAVNFLLTTAVAQSFLAMLCHMKAGIFFFFAAWLVIMTVFVYLFLPETKGLPIEQVERLWAHHWFWKRFVASE >Sspon.02G0027250-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:123208891:123214820:-1 gene:Sspon.02G0027250-3C transcript:Sspon.02G0027250-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFLYRTTQPAAPELPRISEQDHHRDALQKPTTTLEGLIADDSYQPSSTRSEDGAANNGSRDISGDPSSLYSKSLVPLGTHADVTEDEGWITIPCSSLSGEQVHILVCLSASKQDVQVISPFRIAAVMSKNGNSLQNSTNKSSPVSANGHDNGAAGESGYQDFELNGEASPSEHDILETQSLLQMEDHKQQIEHVLRRFRESNFFVRIAESDEPLWSKKRVTSATTADERSDNQGNSKSSKSNVYNTISDKGIFDGSTSGGVARDVVKCYSLQNGDIVVVLQVNVGVNKLEDPVLEVLQFEKSISNNCMPQNLVAGLSDSNNDPCQELLSWLLPLDRTLPPRSLAPPTLNPSVSHKQSYSASGSQIFNFRSYSMPSASSVQTPNNIRPPPISESQEFMPEKPAKTPDIINDGQLSFRGVPLEPERYSVRCGLEGVYLPGKRWRRKVEIIQPIEVHSFAAKCTVENLLCVTVKNIAPTHAKDIVVFIDAITIVFEEASKGGAPLSLPIASIEVGHGHSLPNLALRRGEEHSFILKPATMSSRERKTSGYAPPALSLPTMTGATLNTHTPKVGEPYADLSDQYAVLVSYRCNYTESKLFFKQATSWRPSAASDLMISVSSELSLRNPSLGARVPQLPVQILTLEATNMTSENLTLNVLAPEASGSSSVVSLNSDPTTPNGSFDGVNESAKKSGLGKHGIGFRRLNSVLATSPKEGDNGGNRMSNASGCTHLWLQSAVPLGCVPPRSSTTVKLELLPLTDGIITLDTLQITAREKGLAYIPEHSLEIHATSGMSSGRS >Sspon.02G0024580-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:84719739:84721277:1 gene:Sspon.02G0024580-1A transcript:Sspon.02G0024580-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPCPFVRVLVGNLALKMPASTTSAPRSAAASGSGVHPTTAPCYCRVRLNKLPYQTASAPLLPAAEEGPASCTGAFAAAFHVSKADLDRAAAKPAILLGASLRRRTARLKVAVYAGRGGGGVGASCGGGGGGAASGRLIGKLVVPLDLSAAMAKPVVFHSGWVAIGKRRAGRGKQAASARAQLNLTVRAEPDPRFVFEFDGEPECSPQVLQVKGSMKQPMFTCKFSCRSNSDLRSRSVQSDPGTVGPRNWLAKFGSERERAGKERKGWSVTVHDLSGSPVALASMVTPFVASPGTDRVSRSNPGGWLILRPVDGTWTPWGRLECWRERGGSGGDTLGYRFELVPDHHTNTGGTGSAGVCVAESGVPASRGGRFAIDLTAAQPFGRSGSPACSPRGSGDFGHHGGGLWPFGSFRGFVMSAAVQGEGRCSRPTVEVGVAHVGCAEDAAAFVALAAAVDLSMDACRLFSCKLRRELSASRAELRR >Sspon.03G0042740-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:72390566:72394793:-1 gene:Sspon.03G0042740-1C transcript:Sspon.03G0042740-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLRELQLSSCKISDFGVSYLRDLISLECLNLDSCKIGDEGLFHLKGLMQLKSLELSDTEVGSNGLRHLSVFKNLQSLEVCGGLITDAGVKNIKDLKALTPDRAGIVERVQLGPPPPETIAEPVLTIPGVLQVDGKRDR >Sspon.03G0008880-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:12123563:12128584:-1 gene:Sspon.03G0008880-3C transcript:Sspon.03G0008880-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGGEEFAIGVVISAKTTLGEEFEGQIVAFDRPSNLLVIHILPKSLMLLTRISISQEGVGRAERGERRNVRVLKANYIREFSVVSKGDDPLDPAGCVLDLNAIYAREDAAVRQAEIEAERIGVGVTPEAQSIFDALSKTLPVQWDKTDIVVMKEVRVRSPYLPENVSGGTAAANERVKKVIDFERKRLHSRVPGQFS >Sspon.01G0035330-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:9529686:9532244:-1 gene:Sspon.01G0035330-1B transcript:Sspon.01G0035330-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MENLKEQRGHDAAGGNNAWMTVPAFGDWDMKNGALPDYSMDFSKIREMRKQNKKELSRASLGGDDDLLAHAQAQKPQPKLGRPRPADDHRRRPLHARDHSPTGGKKFLSYFQCCIKA >Sspon.08G0005430-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:16509962:16515019:1 gene:Sspon.08G0005430-1A transcript:Sspon.08G0005430-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAVASTVAARFAFFPPTPPSYGVEPPPSPAAAAADSEVVELSGVPVSRGRGVEARRLPTKRGTEVVAMYVRQPGARLTLLYSHGNAADLGQMYELFVELSAHLNVNLMGYDYSGYGQSSGKPSEQNTYADIEAVYKCLIETYGASEENIILYGQSVGSGPTLDLASRLPHLRAVVLHSPISSGLRVMYPVKHTYWFDIYKNIDKIPLVKCPVLVIHGTADEVVDCSHGRALWELSKVKYEPLWVKGGNHCNLELYPEYIKHLKKFVSAIERSPPVKDESPESSDPSDPSETGSESAESSRRSTDIRDKPRSSIDHRPSIDRREKPRGSIDRRDKSRKSVDQLDKPRASVDQPDRPRKSIDRNVS >Sspon.04G0006580-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4A:18940141:18940593:1 gene:Sspon.04G0006580-1A transcript:Sspon.04G0006580-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPARTTRRRAAGRAGGRQEGSGIFVFSSACPVPSPASHGSALLPHQPSSATCLPGDSEKRKREEEPVGAGPPLYPARRQHTHTDTARGLSRSRRSERGDDPDEDQDGGRRTVWPVAGGHRHVMPPACVAATPPAAPRRFPRDLEGKRGP >Sspon.08G0014920-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:60174003:60182070:-1 gene:Sspon.08G0014920-1A transcript:Sspon.08G0014920-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKYEYEFREKTIIDPIFQEDRLPIPPERERRQSPHARDACIAPILSFLNGSGRGAGVILNAANLRSPVVLTPSRPAVPVDLGLYLEQIDLLVRENGTDGAVGVPVLFACIFMMKEARSSSWPDLLPEILGLVLRRLPSLADRVRLRAVCRPWRSNAQIDPLPPLLPWLTLLDGTFLSIPDGKIIRMPIPDKGFCRGSVDNWMFFVHNSDGDCSLMNLFSKATLNISKLDITWLNGNSRYYNSHFSKLMAPLPLESSPGSLVALEGGRLRICQPPIAVDLQMHLDDITFFNGKLYGLSHHKLSILEINYGLNGEPKISKVRCITSYPSFTLRPPQPLPGTYLLRSYLVECCGRLLMVRRKIGCNPEIKPCPLDSDRTAGFEVFEADLSTKPGQWICVRELGSQALFVGKHCSKSFPAGEGTGVEENCIYFMRDYFPGSRAADPLGDSGVYNMKTGIIKPLSETSAVPKHRGGRWRPTWIFPTDAM >Sspon.02G0040070-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2B:64669553:64669900:1 gene:Sspon.02G0040070-1B transcript:Sspon.02G0040070-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPLPASVASRLAAVLADPVGVPLPVFNSLLSALAASVDPSHAHLPLHLFRRRLLPLRRPDAFTLSALTSSLPSAADALHALALRLGLLHADPVLANSLLRLYLRPPGPCPGLARP >Sspon.01G0008720-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:24302354:24319216:1 gene:Sspon.01G0008720-1A transcript:Sspon.01G0008720-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPERPLPPLSTPPLPPSQQTEEAAAGAPGMDVPARLPDPVPSPILRHSSANSMRRSRSLRSLMADSPSVTFVTNNLRSGSKAESTASSLESFRFHREGSASGTPAGLGRVSTRRSASERAGSQRDLRDEDARFVYINDAERTNAPPAGLPDNSIHTTKYTVLTFLPRNLYEQFHRVAYLYFLVLVALNMVPQLGVLTPAASVLPLAFVLGVTAVKDAYEDWRRHRSDKNENNRTASVLVGGVFVPKRWKEVQAGEVLRVVANETLPCDMVLLSTSDPTGVAYVQTINLDGESNLKTRYAKQETMPTPAEALAGVIKCERPNRNIYGFLATVDLDGRRAVSLGPSNIVLRGCELKNTAWAIGVAVYTGRDTKVMLNSSGAPSKRSRLETHMNRETIMLAVVLFLLCTIVSLLAGIWLGDHSDELGVIPFFRKRDFSVKDDPDATYNWYGTGAEVVFTFMKSVIQFQVMIPIALYISMEIVRVGQAFFMVQDKHMFDDKRQAKFQCRALNINEDLGQIKYVFSDKTGTLTENRMEFRCASVHGADFSDTAGGDADDGHSVIGEDGVVLRPKTAVKTDPKLVALLKDGAGAHADRARDFFLTLATCNTIVPIAVDAAAAGARLLEYQGESPDEQALVYAAAAYGYMLVERTSGHITVDRPRLASPAMAEDHGSSRHMSASQKELGDEDARVVRVGDAARTNERLDLAGNAVRTAKYSPLTFLPRNLFEQFHRLAYVYFLVIAVLNQLPQLAVFGRGASVMPLAFVLLVTAVKDAYEDWRRHRSDRAENGRLAAVLSPGAGPGGAQFVPTEWKHVRVGDVVRVVSDESLPADMVLLATSEPTGVAYVQTLNLDGESNLKTRYAKQETLSTPPERLAGAVIRSERPNRNIYGFQANLELEGETRRIPLGPSNIVLRGCELKNTAWAVGVVVYAGRETKAMLNNAGTPKKRSRLETHMNRETLFLSAILVVLCSIVAALSGVWLHTHEEGLELAQFFHKKDYLRRDKDNDYRNYNYYGIAAQIVFIYLMAVIVFQIMIPISLYISMELVRLGQAYFMIRDTRLYDESSNSRFQCRALNINEDLGQIKCIFSDKTGTLTQNKMEFRCASVDGIDYSDIARQRPPGKGDRIWAPKISVNTDPELVKLIRDGADTEQGTQTREFFVALATCNTIVPMIADGPDPKKKVIDYQGESPDEQALVSAAAAYGFVLVERTSGHIVIDVLGEKQRYDVLGLHEFDSDRKRMSVIIGCPDKSVKLFVKGADSSMFGVIDKTVNSDVVQSTEKHLHSYSSLGLRTLVIGMRELSQGEFQEWQMAYEKASTALLGRGNQLRNVAANIETNLRLLGATGIDDKLQDGVPEAIEKLREAGIKVWVLTGDKQETAISIGYSCKLLTRDMTQIVINSRSRDSCRKSLEDAIAMVNKYQSFSTDPQLRVPLALIIDGNSLVYIFDTDWEEKLFEIAIACDVVLCCRVAPLQKAGIVDLIKKRTSDMTLAIGDVKNLKRCTFSGANDVSMIQMADVGIGISGQEGRQAVMASDFAMGQFRFLVPLLLVHGHWNYQRMAYMILYNFYRNATFVFVLFWYVLYTGFTLTTAITEWSSVLYSVIYTAVPTIVVAILDKDLSRRTLLKYPQLYGPGQREENYNLRLFIFIMIDSVWQSLACFFIPYLAYRKSVIDGSSLGDLWTLSVVILVNIHLAMDVIRWNWITHVAIWGSIAATWICVMIIDSIPIMPGFWAIYKVMGTGLFWALLLAVTVVGMIPHFAAKAFSEYFIPSDIQIAREMEKSQDFHDVTHPEVQMSTDNIAWTREFGKFLPLSLSQDAAGQHNIILHNINWGNRENELHYDFCCCYRLKPGTGDGLRPAGAAPWIYKSGIVYGPRRAGAWEKDNERRCKKEGGGSLEGEEKPKKINP >Sspon.04G0007800-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:18799422:18803879:1 gene:Sspon.04G0007800-2B transcript:Sspon.04G0007800-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DELVSAALEEVCARLAPGIPVVDLWPALRGALDATGLPLGPSVKRALWARILALRVVSLVEGGGDGVPVSAGDPAEKDFEEAERRGMRLVASAGIRDNFLGLYERRFAKSEFSAVQKATLECVAASRCSGVAQSELCKKFKMKGNNFYFIAKSLESQRLVVRQSTIIKVKDHGAEREDASQNKHVINTNSLYLSRYAKDLNMTSQQRIEITKPELLGSNEETNVDAFQEDGTFDVNSKNDISIHDYLPAMKAICDKLEEASGKVLVVSDIKVDLDYRMAYGHRAWRNVLHRLRDAQLVETFDAEVDDKVGKRLGLNNSKKLHKRVSSMLKKFDLTWEAEVPDKTSQYRIWTSKNFLLYKAGTALQTFEALSEESDDCSDLWSLVPSKGLDTLESSSSHGKLLLLEEENHDEPVGHHIQNNLDASAVVSQLVEEGITRPPLVADPALQRCPLPSSPEAAAAAAKGTAAAHALATSSDLGTVGDGTEDVAPAGVVLA >Sspon.01G0034860-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:5725855:5729057:1 gene:Sspon.01G0034860-1B transcript:Sspon.01G0034860-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MINLFELSVGASAKVSSRDGSPVRGTQSERKEYAGSKALTGSTRRSSSDRSCGTPMKMLIAQEMAKEGDANQKTTNVVARLMGLDDNVDFPKHVVPSNRRSFPDGHLSATLAKVNNQSSFEKHTSSAEDVEYKDVYEVGYQPPRGDGYPRRRRPNEDHDERRMDLVRQKFVEAKRLASHDNILQSKEFHDALEVLNSNKDLFLKFLEEPNSLFAKQSGGHNSAPTSPQRKRITVLKPSKSVEMKCDKAIKRLKNHAVDGNRIERSNVHKSDAAHVKEDRLPKHTRIVVLKPTSVVTSMEQSEQNYHADLDDSEAPGLSRHLSDEIDWSVHGICRHHTESLQGCIQSNMFSADRPYHRYAEEEGNSLSDSDIGTPTSHHSWEYIYRFSNPYFGSLSHASCSPESHVTREAKKHASDRWAIVPSSEITQEKVPVRRSLSTLGEMLAMPDMKKEEVADQASPDATTHQLCEPTVGVSSNCAVDEGEGESSLRKISRSRSVPVSSTAFDSLRLDGGHLNAQQKEPTVPKEVKPKNGKSSLKGKITSFFSKRKKAEKEKSNPSPLGTLNSRVSSASAVAVAKSDVPQHALSFEIRSSHFDQPSPTSVLDLQFEDISEKSPISSESAITAKQEPLSRSLPIGSIARTLSWDDASQEAPLCSTKGDNHEQEQYEFVEKTLASAGFCNEKIQDIFVRWHSLDCPLDPAMLDQLLERKVEDAKCRERRSNQRLLIDSVNAALLDIGQSKLWGAYPCTARHSNAQGVATCDVLVTDEAWRLVKSWLFDDENDIAGLGDTPGLAADWVVGKEIHGKGWPEMLRLEVDEISKEICEEVLGELVGEAFPELADAGCH >Sspon.05G0014820-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:5A:56784474:56784785:1 gene:Sspon.05G0014820-1A transcript:Sspon.05G0014820-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSMVSEQNLPPLPQSGSGVSLTPNSAAPQSMSAARLSSTSPGRRTPSPHAMMEPSSLRSPTSTQNAGAVPVASGTLDPRAVPALGAGHEVRRPRAQTGEGPKP >Sspon.04G0010920-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:29490441:29491431:1 gene:Sspon.04G0010920-2B transcript:Sspon.04G0010920-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRVLEPLIVGKVIGEVLDHFNPTVKMVVTYNSNKQVFNGHEFFPSAVAAKPRVEVQGGDLRSFFTLVMTDPDVPGPSDPYLREHLHWIVTDIPGTTDASFGREVVSYETPKPNIGIHRFIFVLFRQKRRQAVNPPSSKDRFSTRQFAEENDLGLPVAAVYFNAQRETAARRR >Sspon.06G0018710-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6B:85185821:85191367:1 gene:Sspon.06G0018710-2B transcript:Sspon.06G0018710-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVIGGTAGPGEAVCSVCMNHEQRFALVEFRLAEEASNAMALDGILFEGVPVKTVAVYFNQVMAAIGVNTAGPGDAVLNVYINHDKKFAFVEMRTVEEASNAMALDGIMFEGAQAKGFDLVKDRETGNSKGYAFCVYQDLTVTDIACAALNGIKMGDKTHTVRRANQGASQPSPEQESILLQAQQLVQVQNFVQRILSVSAAGLADRCMEQDAAWVPCDIQLTHWSMQPAADYQLGHWTAMDMEPAVWVPNIYDSCLQTYVAASSTVEHGQLVQFSSATPNDPMEKASQEFQVDFYNMEMNIYSNPIHVFEKAACEFKFDVDMMKMKMHRYPARIRSLGELYTAPMTVSIGPYHHGRDHLKAAEKVKHVAAYHCIMESGRPAEEVYQAVLAVAGYARSLYDENVVDSISDGVFQPMMFYDACFLVQYMLTCTDAGLAHMDPALRSFFDANDNDIYHDIMQLENQLPWPVVRAIMRFRPVPLKEFVASLRGCLQDRKDRHEKPFVMDDSYEPPHLLGLLRYYIVGRSDVKLPALPETESMSFSVSAIELAEIGITLTASKTTELVHMGVNKKGNFFAELSLAPLSLDDTRASVLLNMAALELCVTSSFHDADDEASAVCSYLLLLGMLVDREEDVHELRARRVLQGGGGLSNTKALSFLTSVQGLRLGSRYVRIMEEIEDYKVNRRTRTKLHAFVYRNVKVIVAVISAIAALVSIIGTIRSLKSR >Sspon.06G0012370-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:53813717:53817021:1 gene:Sspon.06G0012370-3C transcript:Sspon.06G0012370-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKQILGRLPKKEKPAKSGEKELAGAGPSLPSPTSDARTTTDLTMSSRLVNPSNYASTATNPGQSYAARGAGLGAGGVSNGFAASSAGYEALPSFRDVPASEKPGLFLRKLAMCCVVFDFADPTKDVREKEVKRQTLLELVDYITSATGKFPEPVVQEVIRMVSVNLFRVPTPAPRENKALESFDMEEEEPVMDPAWPHLQIVYELFLRFIQSPETDAKLAKRYIDHGFIIRLLDLFDSEDPREREYLKTILHRIYGKFMVHRPFIRKAINNIFYRFIFETEKHNGIAELLEILGSIINGFALPLKEEHKLFLVRALIPLHKPKCVAMYHQQLSYCVTQFVEKDCKLADTVIRGLLKYWPITNSSKEVMFLGELEEVLEAAQPAEFQRCMVQLFRQIARCLSSSHFQVAERALFLWNNDHIEGLIKQNTLERNTKGHWNQAVQSLSLNVRKIFMDHDPTLFEECRKKFEEEEAQEAGVRSKREARWKRLEEIASSKSPQ >Sspon.04G0003540-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:11733595:11735606:1 gene:Sspon.04G0003540-2C transcript:Sspon.04G0003540-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRPRRCSSHTLSSPPPPPCTPRGELTAMVAALRQYTYRSLSNPMFGFGLFPVASSFTFMHAVPLQLGRFHDHGLRSGRSKRSGSAKVSAFPSLDVVPLMVTMVEHVDMSRDYVVTKSIWHLSDAALKSVYTFYAMFTVWGVCFFASMKASMQY >Sspon.01G0004700-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:12618069:12620307:1 gene:Sspon.01G0004700-1A transcript:Sspon.01G0004700-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVVLTGATGYLGGRLCAALAGAGHAVRALVRPSSNVSGLPRGVELAYGNVTDAESVAAAFDGCDVVFHVAAAVEPWLPDPSVFLKVNVGGLENVLKAAKRTPTVKKIIYTSSFFAIGPTDGYVADETQMHPEKEFCTEYEKSKVLADRIALQAAADEVPITIVYPGVLYGPGKLTTGNLVSRILIERFNGRLPGYIGDGYDRESFCHVDDVVNGHIAAMEKGRVGQRYLLTGENMSFVQIFNMVANITNTKAPMFHVPLWLIEAYGWISVFVSHITGKLPLISYPTVRVLRHQWAYSCDKAKTELGYSPRNLTEGLSEMLLWLKEEKLIKF >Sspon.05G0017920-1P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5A:75709125:75711209:-1 gene:Sspon.05G0017920-1P transcript:Sspon.05G0017920-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTTPEEPNHYSPGQATLHLGRNPIGTKPFKAIFDSGSTYTYLPENLHAQLVSALKASLSKSPLKLVSDTDTKLRLCWKGPKPFKTVHDLPKEFKSLVTLKFDHGVTMTIPPENYLIITVSTPNTADCQQ >Sspon.07G0008480-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7A:23123809:23124594:1 gene:Sspon.07G0008480-1A transcript:Sspon.07G0008480-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQKSLHKFLVLAVANVPTGPVVNLEDKNFELRTRLITMVQASPFCGLPSEDANAHLQQFLELCDTIVIKDVAPEAIKLRLFPFSLVGKAKQWFYKDKEAVNTWIKCSAAFLAKFFLVGKTNALRRRIANFQQTQMEPIPEAWEKLQEYIHACYGLNREDGDQPKLGGDRTPAKTQKGKHTVKRFDEHVANVNTGTIKVLDSQMICEVCGNVGPSGNDCPETREDAAYINNGFRQQGGGNNG >Sspon.01G0035110-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:8392501:8396022:-1 gene:Sspon.01G0035110-1B transcript:Sspon.01G0035110-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to Uroporphyrinogen III synthase [Source: Projected from Oryza sativa (Os03g0186100)] MAFSSSLAPFFAPSGTFGAHPAKRLRADAPGRFVASSSPPPDVVVTREQGKNARLIAALEKHNIHSLELPLIKHVEGPDTDRLSDVLRNDKFDWITITSPEAAAVFLQGWKAAGSPKVRVAVVGAGTARVFHEVSESDDPSLEVAFSPSKALGKVLASELPRSNENSCKVLYPASAKAGHEIQDGLSERGFDVTRLNTYTTVPVEDVEPLTLNLAISAPVVAVASPSALKAWLNLISKVDNWNNSVACIGETTASAAKKLGLKSIYYPTTPGLEGWVESILEALRVHRHQKRFCSFPCS >Sspon.08G0021230-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:35151664:35156684:-1 gene:Sspon.08G0021230-1B transcript:Sspon.08G0021230-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDASAGSGGNSLPTTGADGSKLCVCYFYDAEVGNHYFWAGPPNQFSLHQFYIVISISYRSQDADEEFLEINDFFDMEDVVRNANCTTTEYLISATNVMFGNLEYSDAPSFLPGPFDTVGLTSQFKAIVVINNVGDNVYFDPYFRKIFEVCKKEELLGSFPTKESLARFSRYNSSENGAETCGILLECHQALCNTAEVIVWKIVQEHAKLRWDLPSSPRWRKIASTASEASATALGPEEQSRSAITMLRLVLLNFFIKSVTASSTTGFIHFCTALYIVNKPRSDI >Sspon.02G0013860-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:15967234:15969644:-1 gene:Sspon.02G0013860-2P transcript:Sspon.02G0013860-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSATTQPWRSLLCCVSGGAVSGDDDGPSTQRQRGSRSRRRDRGDRLLLLPSSSASRVSLSSLSSSARTLTPEDLSLTLSGSNLHAFTYAELRAVTGGFSRANYLGSGGFGPVYRGRVDAGLRKGLDAQQVAVKYLDLDGTGTQGHREWLAEVFFLGQLRHDNLVKLVGYCYEDEHRMLVYEYMSNQSLEKHLFRSVDGSMPWMRRMKIAVGAAKGLAFLHDADTPVIYRDFKASNILLDEPDKLYRVMDPAMECQYSCQGAERAAMVAYKCLSQNPKSRPTMREVVQALEPVLDMDDYLQIGPFVFTVIVEDRNDRSKESEGKVIVDGEKVDMTIETTVEEKQHQMSHQDRHRQKFPNSAVHADVVLHRDRDGGELGLHISALRRHRRTSSYVKERGA >Sspon.03G0006550-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:25148769:25158798:-1 gene:Sspon.03G0006550-2B transcript:Sspon.03G0006550-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASLALASPFRLLLRAPHPRGAIPVPCYFISRGRYITAIAVAAARDSAVKGSADRNSAEEVRNILDMAERASQRRDVFHTNFLTPPIVKEAMLAVEKLADIKAVAQGGYPQAERCRISVGHPDFMTSNPDVVAALSISGNFRLEPCSHGDFLGAILGTGLTREKVGDILLQGERGAQVLVDPELVDYLISTLEKVGKVGVSCTQIPLLALEYEPPRTNSFKTVESSLRVDALASAGFKISRTKLASLISSGDVRVNWTPTTKNGVTLKSGDVVSVSGMGRLKIGEIVTTRKGKYAVELIRYL >Sspon.04G0029000-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:71764505:71766704:-1 gene:Sspon.04G0029000-2C transcript:Sspon.04G0029000-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine protein kinase, Abscisic acid (ABA)-activated protein kinase, Hyperosmotic stress response, ABA signal transductio [Source: Projected from Oryza sativa (Os12g0586100)] MARTPAAGSAVGMEMPIVHDGDRYEHVRDIGSGSFGVARLMRSRATGDLIAVKCIDRGDKVRRTLPLPLQSAKLTGRGDHVGCCVLALALPLQIDENVRREIINHRSLRHPNIIRFKEVRQAAKLNENDSKFPTLLFASLLVVLLQVILTKTHLAIVMEYASGGELFDRIRNAGRFSEDEARFFFQQLISGPVCHRDLKLENTLLDGSTAPRLKICDFGYSKSSVLHSQPNSVVGTTAYIAPEVVLTKEYDGKIADVWSCGVTLYVMLVGGYPFKDPEDPKNFKKTILVPLLSQPYTYIFQFYSYSLYHLNADANLQKILGVQYAVPDYVHVSPECRDLVSRIFVANPADRITMPEIKSHPWFVRNLPADLVGDGTVSYEEADQPMQNMNDIMQILAEATVPADGARGTTQFLCDDLDDGDMDLDWDLDLDIESSGDIVYAM >Sspon.03G0024460-3C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3C:93165256:93166452:-1 gene:Sspon.03G0024460-3C transcript:Sspon.03G0024460-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VAHRRLLSNVPESTIYGGPSPQESAAARRMTVTVTTLRGKHRRGEPISMVTAYDYSSAVHVDSAGVDVVLVGDSAAMVAHGHDNTLPISLDLMLQHCRAVVRGAPRPLVVGDLPFGSYESSPAQAISVLGGFRAQGKTVDGALKVVEAALALQDAGCFAVVLECVPTPVAAAATAALQIPTIGVGAGPLCSGQALVYHDLLGMFQSPEHSKVTPKYCKQFGDVGAAIGKALSEYKQQVEARAFPDANHTPYKISSVDVDAFADALRNIGFDGAAAAAAAAAEKTEKI >Sspon.04G0010110-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:25169122:25171213:1 gene:Sspon.04G0010110-2B transcript:Sspon.04G0010110-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGVGGPLLTIGDLLSDLAVDGGDDPLVGVGDASAPSSPSAAQQAGKADPSDLSRLFEALQEKDPSWPSLMLKLCAALKTADKLVSCANTNAEQLLEKVKALEGVLERGDHAVAEIVEALQRSGIAKDHQSSRSKSASK >Sspon.02G0037650-2D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2D:30823608:30824147:1 gene:Sspon.02G0037650-2D transcript:Sspon.02G0037650-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARGKQRGRSRGLRRAPARRRRRLRRREGHRRVPLDFPHHLTPKSWILPNPSYSGHGDRGSVVAHGGAPASSGNKGLRLGALRLPEEMADLSMLWLRTEVGRVRLAAGAPNRWPWRGSARPRSGVDCPPPPVTSCPKLLYATRNPKQELAKTESGGSELATVSRRADEMPVRSRGGGKG >Sspon.07G0014300-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:57696619:57698870:-1 gene:Sspon.07G0014300-3C transcript:Sspon.07G0014300-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRESDDSTDERERDRGRLGDGFVSSSFLISFTAPPRPAPRLFSPPSSIILPSPPPSTYTPSHLNSSSSTHPPPTLATVWSEAPSKAAELARAGIVHHQQPYRSLLPLPLNENANANAPCDYYYPSMCSGPRKPSTPPLPAATATAKDSSVMTAAAAVLLELAAADDVVAFRRAAEDDKAPALDAAGHWYGPSAAAAGRLRLEARTPAMVAALYGSTSVLAYVLSSAPAEAARASPTDGATPLHLAAAGGSAGAVAAAHLLLAAGASADALAFSGLRAGDLLPRANAAADRDRALRVLLKSPAASPSSSPKKSASPPPPAAVALPAEPRKEYPPDLTLPDLKSGLFSTDEFRMYSFKVKPCSRAYSHDWTECPFVHPGENARRRDPRRYSYSCVPCPEFRKGGACRKGDNCEYAHGVFECWLHPAQYRTRLCKDEVGCARRICFFAHKPEELRAVNPSAVSVGMQPTVSSPRSSPPNGLDMGGGMLNPAWPSSPASRLKTALAGRELDFDLELLALDQYQQKLFDKVSSPRASWGSAGGIGSPMPAAAPARTVPDYTDLLGSVDPTMLSQLHALSLKQAGDMPAYSSMADTQLHMPTSPMVGPNTAFGLDHSAMAKAIMSSRASAFAKRSQSFIDRGGRAPATRSLMSQQSTTGAPSMLSDWGSPDGKLDWGVQGDELHKFRKSASFAFRGQSPAPVPTPAEPDVSWVNSLVKDGHAGDIFAQWPEQEQMVA >Sspon.03G0039650-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:12435559:12444948:-1 gene:Sspon.03G0039650-2D transcript:Sspon.03G0039650-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine protein kinase, Hyperosmotic stress respons [Source: Projected from Oryza sativa (Os01g0869900)] MDKYEAVRDIGSGNFGVARLMRNRETRELVAVKCIERGHRIDENVYREIINHRSLRHPNIIRFKEARYFFQQLICGVSYCHYMQICHRDLKLENVLLDGSPAPRLKICDFGYSKVSSVLHSRPKSAVGTPAYIAPEVLSRREYDGKAICTTRDVDTRKVEQGYLITDIHKRDVWSCGVTLYVMLVGAYPFEDPDDPKNIRKTIQQIMQVQYKIPDYVHISTECQQLLARIFVANPMRRITMKEIKSHPWFLKNLPRELTETAQGMYYRRDNRVPSYSDQTSEEIMKIVQDARTMLKSSRSGYGWSSEYSDDEEEKEEEHRPEEHEEEEDEYDRRVKELAASEENPGPECRYRKTSYSIISTLTK >Sspon.07G0003080-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:7237814:7249008:-1 gene:Sspon.07G0003080-4D transcript:Sspon.07G0003080-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGKDPSPPPLRAPAPAPARSRLPRRSATPKPVAGVRAALALTGFGVRALARARALAGALTGFGALFRALAGGCAALTGFVLSPELALTCSTTPRRPRPSPSHSAGPPPSPHLCCGTCGKVLDQEVYTDEPTFVKDNTGQSRLAGSILASIESGYSISHQRTLDKGKDEIRQIVNNLNVSGGETIVNKAYRLYELAVDRNFTRGRRTTHVAAACLYIACSYVLGAVFLQLCQVLLLADHPVVQKLVDPSLFIHRFTHRLLGKRDNAVSDTALRITGRKPSGLCGAALYIAALSHGKNYTKADIVSVVHVCEATLTKRLIEFENTDSGSLTIEEFLATADECNEEPVPKHSPKSGEILCKHKDQRDKGFEHFAHGLCEKCYNKFTKLSGGLEGGADPPAFQRAEKKRLEAAKRAEEAAAVKEAALEESLCDTQNSEVESTITPKSGHKSSTIGSGDLINDSVPPKDPPEEGGENCEGNADPESLSDIDDVEVDWYLHNEEETQYKKIIWEEMNKEYLEEQAAKEALAAELAARGIVVEEGKKKSSTPAETPAEATYNMLKRKGLGSKINEGAIGELYKS >Sspon.04G0036650-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:39386802:39387364:-1 gene:Sspon.04G0036650-1D transcript:Sspon.04G0036650-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARVFLGRRSRGRSFFFLYASRSADFCFCEITVSTRAIEEKIEIGGTHILESLLGAPPVTLATRRRESSDLSSLSCACRSVLLFPRSSCTLIRAASHRHTTKWVSDRREDKEDNSNEGWNPGGGLPMATAAVATAAAAAAGWGGAVREGKRKGGGGGGFI >Sspon.07G0018820-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:73086117:73090177:1 gene:Sspon.07G0018820-2B transcript:Sspon.07G0018820-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAGDGASEARARALLQQHHPFAPSPGEYHHFGAPAAAAEEMVEAVVLRTPLKRKHNNRPGNEAGESNDLMMSPGYANAGSSPVPTPPSGKGSPGNPSTPVGGCRYDSSLGLLTKKFLNLLKGAPGGIVDLNNAAETLEVQKRRIYDITNVLEGIGLIEKKLKNNIRWKGVDDSRPGEVSDDMSILQADIDALTLQERNLDEQISEMRDRLRALTEDENNQKWLYVTEDDIKSLPCFQNQTLIAIKAPHGTTLEVPDPDEVNGYPQRRYRIVLRSTMGPIDVYLVSQFEEMSGMETPPRPTQTISMDPIENPRTPLAANCNKVTGMQSNIQEVPILPSDVPSSSQDISGMMKIVPSELDADTDYWLLSDNTGVSMTNMWETGQDEWDRIEKFNAEDFLEVGTPQQQDKPADVVDGSSCIS >Sspon.05G0027600-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:49590968:49599814:1 gene:Sspon.05G0027600-2C transcript:Sspon.05G0027600-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPVFVGNLDYDTRHSELDRLFYRYGRVERIDMKSGFAFVYFEDERDGNDAIRALDGYPFGPGRRRLSVEWSRGDRAARRDGNKPEANTKPTRTLFVINFDPINTRVSDIERHFAPFGNLSSVRIRKNFAFVQFETLEEARKALDATHATPGEENGQRPNNSPAGQPSSLNPTAYPLLPHAPPHSALAQSLLANSPLSLALSSPRLAGGVRCRGLLYSAAPAPSRRRAASSSPPRAAAGSVLLLLLPMPPLRRSGLVLRGSGLLIARRLSSVDLAKSGAERSPVASFTPLLTLRLLHTLLDRVISVEYAFRDDGEGSDRYDSPRRGGGYGRRGDSPVYRSRPSPDYGRPASPVYGSYDRSPVRDRYRRSPAYRSRSPRVNRRAYD >Sspon.01G0027030-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:90218242:90233243:1 gene:Sspon.01G0027030-2D transcript:Sspon.01G0027030-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:EMB2754 [Source:Projected from Arabidopsis thaliana (AT4G36630) UniProtKB/TrEMBL;Acc:A0A178UXB5] MVHSAYDAVELVADVPGNIEAVASHAGKLLIAVSDTEGFLWGSDCSLRIYSAPSPSSDSGGEIRWDGPYALERQEPRFWRRPPLAMEVSASRDLLISLSEWVALHRLPGLETVAVVSSKTKGANVFAWDERRGFLAVGRQKRLTVFRLDSGREFVEVKEFGVPDILKSMVWCGDNICLGIRREYMIINSMTGALTEVFSSGRIAPPLVVPLPTGELILGKIRSLRAPNALVQTVVLRDVQKLVQTDNCILAALSNSVHCLLPVPIGAQIVQLTASGEFEEALALCKLLPPEDSNLRAAKESSIHIRYGHFLFDSGSYEEAMEQFSDSHVDITYVLSLYPSIVLPQTNIIGEHDKLLDMPELARESSDVTDEMESYSLQLHEPDDKSPLEVKKMSHNTLAALKKRSGIIERATAEVTEEVVSGAVHHSLKLSEPYKPKKLSKQKRAQTHTSSIAREMATVLDTSLLQALILTGQSSGAIELLKGLNYCDLKICEEFLKERSEYMVLLELYKSNEMHREALRLLNQLVEESKSEMENTDFNKKFNPQMILEYLQPLCRSDPMLVLESSLYVLERNPSETIQLFLSENVPADLVNSYLKQHAPNLQSTYLELMLSMNDTGINPNLQNEKVQLYLSEVLDWYKILKEEGNWTERTYSPTRKKLISTLESNSGYNTDLLLKRLPQDALFEERAILYGKINQHLRALSLYVHQLQMPERAVAYCDRVYEEREQQPSKSNIYFNLLQIYLNPRKAEKEFEQKIIPVASQYAGIQKASATKLKGGRIGKKVVEIEGADDIRFSPSGTDSGRSDGDGDDVNDVNDGGPIMLNEALELLSQRWDRINGAQALRLLPRDTKLQDLVSFLEPLLRNSSEHRRNYLVIKNLIFRANLQVKEDLYKRCQAVVKIDGDSTCSLCHKRVANSAFAIYPNGQTLVHFVCFKESQQIKAVRGANSVTVRFQSPKGGLKQSHQNA >Sspon.05G0001980-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:6546705:6552014:-1 gene:Sspon.05G0001980-1A transcript:Sspon.05G0001980-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQLQAAASSSGGPPNTPTLEGELEGKLLLHDDNVPPPAAPPQTKQGERCQPREGGADIAGDHRERFLRAYERLRDELLDDDSCELTDEARRWVAQMIDYNVPGGSEVAEDEFFLACVLGWCVEWFQACALLLDDIMDDSHTRRDQICWYRRPEVGLHGINDGILLKCHITRFIKKYFREKPYYIDICELWNEIALQTSLGQMLDLISTHNGTNDVAKYNIEVYRRIVKYKTSYYSFYLPVACALLLYGAKLENFSGLRDDDYLDCFADPNKIGKIGTDIEDHKCSWLIVQALGHANSDEIEVLLENYGKKDSTSVSKVKNTYSTLDLKEIFSEFEDRAYKHLVTSIEAQNDRAVQEILKFFLKKIHRRKK >Sspon.03G0003880-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3A:9930933:9931084:-1 gene:Sspon.03G0003880-1A transcript:Sspon.03G0003880-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RRSGDVARSFFTEISATDAGSGSNRRRGRICRSALAWPLFGKSVRRMDA >Sspon.02G0019820-3D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2D:59965933:59969751:-1 gene:Sspon.02G0019820-3D transcript:Sspon.02G0019820-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAWKEKVADRLARLLADSPVSPSPAQAAVAPSQVRSASLFRTSRAARISIVWVSVDSVVGAGVLGSRRKAKSFPAEPFIAPKTSSLSSYVFSLLPTSNLGHEQNSPCSQTLRPLPPESCPKKWRGSDLTWKDPPLELSEESGSESERDERNGNFGNDQALQSYRSINNSNGNEETSTSDCACSTWKHGISLRTLLRRSENIQGPCLLIVGDMQGAVFGGLLNSPLRPTEKRKYQIWGNLIPKIFQRICSSYMGHRLVPAYGKAGEEKMRGWPARFGCRRVSNGRAPPQAPLRASCAPGLAACGHEGAQRGGVRRGRTARLVMEIGRMARLVRLRAGSA >Sspon.03G0014310-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:45058920:45063147:1 gene:Sspon.03G0014310-1A transcript:Sspon.03G0014310-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to Respiratory burst oxidase homolog [Source: Projected from Oryza sativa (Os01g0360200)] MADTEAATDTSSSRRSQDDTATLIPHSGNLGESSRKGVKTTRFKDDDEVVEITIVQRDSVAIEDVRAVDDGGSGHGGGFDGLSLVSPSSSRSGKLSSKIRQVKNGLKMKSSSNKAPQTQLGKNVRKRLDRSKSGAAVALKGLQFVTAKVGHDGWAAVEKRFNQLQVDGVLLRSRFGKCIGMDGSDEFAVQVFDSLARKRGITKQLLTKDELKDFWEQLSDQGFDNRLQTFFDMVDKNADGRITAEEVKEIITLSASANKLSKLKERADEYTALIMEELDRDNLGYIELEDLEALLLQSPSQAAARSTTQSSKLSKALSMKLASNKNTGPFYHYWQEFMYFLEENWKRIWVMTLWLSICIGLFIWKFIQYRNRAVFGIMGYCVCTAKGAAETLKFNMALVLFPVCRNTITWIRSKTKIGAVVPFNDNINFHKVIAAGVAVGVALHAGAHLTCDFPRLLHASDAAYEPMKPFFGDKRPPNYWWFVKGTEGWTGVVMVVLMTIAFVLAQPWFRRNRLKDSNPLKKMTGFNAFWFTHHLFVIVYALLVVHGICLYLSRKWYKKTTWMYLAVPVLLYVSERILRLFRSHDAVRIQKVAVYPGNVLALYMSKPPGFRYRSGQYIFINCRAVSPYEWHPFSITSAPGDDYLSVHIRTRGDWTSRLRTVFSEACRPPTDGESGLLRADLSKGITESNARFPRLLIDGPYGAPAQDYREYDVLLLIGLGIGATPLISIVKDVLNHIQHGGSVAGTEPEGSGKAKKRPFMTKRAYFYWVTREEGSFEWFRGVMNEVAEKDKDGVIELHNHCSSVYEEGDARSALIVMLQELQHAKKGVDILSGTSVKTHFARPNWRSVFKHVAVNHENQRVGVFYCGEPVLVPQLRQFSADFTHKTNTKFEFHKENF >Sspon.05G0013870-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:49476831:49481577:-1 gene:Sspon.05G0013870-1A transcript:Sspon.05G0013870-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANSLLLVLLVVAIRSATLALGQTVKVWSSCSPANYTPGDAYDANLRGMLKDLVTVTASYGGNGNSTLGDGDGPADQSSYGLAICYADAPPEVCRLCLAMAAGNVTLACPRAVAADMMYNNCLLRYANASFPARPDMVQRFSFYNNLTRAGDAAVSAAALARLMDRLASAAAASLRSFAFGRTNMSAEQGLYGFVQCVAYLSSDDCRRCLQRIAASLPIWTRGGRAYSLTCYTRFEVVPFYTPPNTQTIVVAPAPAPPPEPSSPDAPAAAKSRDGKARKFPSRMVLAVSTVGAILLIMVCVIFVFKIRRRGKFQQTIGVNSTTKQNIEELLEDYGSLAPKRYKYSQLKEITRYFSEKLGEGGYGMVYKGTLPCGMLVAVKFLHDFTRNGEDFINEVISIRRTSHVNIVTLLGFCLEGSKRALIYEYMPNGSLDKFIYDDNSKTIMGWDKLYEIAVGIARGLEYLHRGCNTRIIHFDIKPHNILLADDFIPKIADFGLAKLCNPKESYLSMAGMRGTIGFIAPEVFARRFGVVSTKSDVYSYGMMLLEMVGGRKNLKESVNNSSEMYFPDFIYSHLAEVGSLHSFDMAGETEEMARKMASIGLWCIQVSPMSRPTMSKVLEMFEKSADQLEIPPRQHFYSAI >Sspon.05G0000470-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:2231548:2235362:-1 gene:Sspon.05G0000470-1A transcript:Sspon.05G0000470-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGGGASETAVGAGEENASASRIPTRRFFVALHVGAGFHAPANEKAYRRAMKRACLAAAAILGEGSGTSLDAVAAAIQVLEDDPVTNAGRGSNLTESGHVECDASIMDGSSGSFGAVGAIRDVKNPIQVALHLAKEQMAGSSLLGRIPPMFLVGEGAYQWAKSKGMDLVESASGANNWLVTENARAQWVKYTSLLVNTKKLLEHNTGPASEHSVQLEAPGTESENMSDVKKILTESVMEDNTDCVMDTVGVICVDSYGNVASGASSGGIALKVDGRVGLAAMFGSGCWASSKGPFGAPFVVACCATGAGEHLIRGFAARECCISSSLSQSGPASACTKVLRSVVQSSSKMSHDTGAGLLLVQADVLKVLMLKTPEGPSKTVNQFATCVKFDRESSEV >Sspon.04G0033010-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:41422552:41427617:-1 gene:Sspon.04G0033010-2D transcript:Sspon.04G0033010-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVDEAVRALGAGFDLTSDFRLRFAKASEGRRLVELGEETRDVPLPGGGGATLRGVPRDVGVDKGDRIRFRSDVLEFNRMSELLNQKSSVQGKVPSGYFNALFELSGAWLTDAKETKHLAFDGYFISLYNLHLKASPLVLRDEVKKAVPSKWDPVALSWFIKTYGTHILLKWESEVRMSYVLNKVILQPFQLLILSCIWRTLVISYFLMGRTTPQYTQDKGWQEQVPDVFVRIVQHSNNLHLSSYSEASTKDGLTITCSKRGGEAYLPNHSKWLQTVAKKPDAIMFKFVPITSLLTGIPGSGYLSHAINLYLRYKPDLQDLQYFLEFQVPLQWAPMFNELVLGPQKRKGSYPSMQFRLLGPKLHVSTSQVSSSQKPVVGLRLYLEGRKCNRLAIHVQHLSSVPSMIGDSVASSMSEWRESEDSDPGYIEAIQWKNYSCVCTSAVKYNPEWHKRAPGGVFIVTGAQLVTKGTWSKKVLHLRLLYTHIPNCTIQRTEWTNAPAASQKGSFLTTISTTLSSPFTQRDTHQQAKHEPVQLNSGVYPDGPPVPLRSRKLLKFVDISEVVKGPHDVPGHWLVIAAKLVKEGGKIGIHVKFALLGYEGSRRRVTVS >Sspon.06G0009590-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:51109499:51111141:-1 gene:Sspon.06G0009590-1A transcript:Sspon.06G0009590-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASMISSSALVAPSRAQGLPSLGRRAASFAVVCGTKKKIKTDKPYGIGGGLTVDRDASGRKAKGKGVYQFVDKYGANVDGYSPIYNENDWSPSGDVYVGGTTGLLIWAITLVGLLGGGALLVYNTSALSG >Sspon.07G0001100-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:1415249:1417505:-1 gene:Sspon.07G0001100-2B transcript:Sspon.07G0001100-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABI-1-like 1 [Source:Projected from Arabidopsis thaliana (AT2G46225) UniProtKB/TrEMBL;Acc:B3H5V3] MQPPQHCPPAAGAWGGVAGAGAGPTTVDEASMERSKSFIKALQELKNLRPQLYSASEYCEKSYLNSEQKQMVLDNLKDYAVQAVVNAVDHLGTVAYKLTDLFEQQASEVSTYELKVARLSQQIFTCQVYTDKEGLRQQQMMGANIKHHKHYILPQRVLNFDSPFLSAKLAGYKRSPVHGHQQADTDQESKPRPYPSGGHSCLFSLLMMKFQGMQCTILNKKELVFYFVGAAKTLSWHLSSENSTKANTHKPTFALVDTAPSKPASGKERSASPMRRPLQFNRSTSSDAMQKVGTKNQSGVKEFSTFHSFGNPKGRAIQKAPVGTKSMLAALFIKHKSSKMKKIT >Sspon.04G0036830-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4D:52390509:52390924:-1 gene:Sspon.04G0036830-1D transcript:Sspon.04G0036830-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding HGQCSSTAASMAALRADVAENGGEGDSGQTGYCTGAQEMRREVATLTMRAIARWRSGGGEFGRRLELDGDSEEGERKKKTAGIGDFKRRESKLEFGQRLCDPRHAAGDVLPRAYAKKDTATAVNRGREEMAETTLAL >Sspon.08G0009030-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:34560999:34567553:1 gene:Sspon.08G0009030-1P transcript:Sspon.08G0009030-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTKKVLCKFFMHGACLKGEYCEFSHNWSDQANNVCTFYQKGACSYGSRCRYDHVKVSRNPTVPPPPPTSSAARRVTSTSLQLLSSSQPHTGHQTDSSNQRHQISVDVLAHSASKPAWRNDFQHDIVSDDGIDWSSNRNLLNQTSLKPADLPICSFAAAGNCPYGEGCPQMHGDLCVTCGKMCLHPYRPDEREEHTKFCEKNHKRLEALKLSQEIECSVCLDRVLSKPTAAERKFGLLSECDHPFCIACIRNWRSNSPASGMDVNSALRACPICRKLSYYVIPSVLWYFSKEEKEEITESYKSKLKSIDCKYFDFGTGSCPFGTSCFYRHAYRDGRLEEVVLRHLDADDGSTVIAKDISINVREE >Sspon.02G0047690-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:18816968:18819346:1 gene:Sspon.02G0047690-1C transcript:Sspon.02G0047690-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRPGFSSRSSKPPPPPPPPPKPPRPRRAGSPLASSSAWRSPTVLPCFHPPPAPLYPPTSRRLAMPGNREVAVGGGGDRLSDLPDGILELVLSFLPAADAVRTRFRGAWAHAPALNLSDGLLEGRFLGFAREALARYGAPDIPALHVTIGCECNLGPATAPWLRDAMERAVESVSVTVTAPGALHCLTLPRCLRAKSIALTLSGVFFEHCPLVLPEPGAPTSFVGLTELSLSRVRLQERLRPLGVFLKLRLSKVSGGLAAHGGLSLWPLVLHLDLLEELVVHRVETFTNLQVVSSNLRALGVLSCFESLLQWCIDAVVEISAPRLEDVSWSGSLPKHGSHCIRRLSGLRFYLPGKEIRSASAIRLLEMCSRADHLIVGIDIPDSTSPAMSWCMYHTFLTSEYSPWRCFRVCGLLDVPSPQLSCPSSGDAPNLTRLHIDLLKLNQFSRLSAEFMAPDKDETEVKKPWQSIDCDMWKTWRDQQQLSSLREIRLSGFMGTDREMEVADLLFGVWASRPALERISISLFPQLNQGVDGSLACGVGTWLNFEGMSVSFAQVLQHMDAIGAKMKAEFPLVGGCWETIPRKEITWTRT >Sspon.01G0027040-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:95428087:95428611:-1 gene:Sspon.01G0027040-1P transcript:Sspon.01G0027040-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQQQHQERPREVYRECMRNHAAKLGTYASDGCCEYTPDDGQPAAMLCAACGCHRNFHRKAYLDAAAGAHGGGGGGAHGPMLPSPGVSSGYGMHHMAITAAGMGGGDTGGAHGHGSGGSRRRTRTKFTEEQKERMARFAERLGWRMPKREPGRAPGDDEVGRFCREIGVTRQVFK >Sspon.06G0010950-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:47758014:47758814:1 gene:Sspon.06G0010950-2B transcript:Sspon.06G0010950-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSLGTLVEGTQEVQEDQEDNLYQQRALGQDLHQQGGGGGGGGEPSHGVEREHMFDKVLTPSDVGKLNRLVVPKQHAERFFPATGAGTQLCFQDRGGALWQFRYSYWGSSQSYVMTKGWSRFVRAARLAAGDTVTFSRGAGGGRYFIEHRHCQRRRRRRDVDISFGDAATTMPPWPIVVGVQAFNGGATMAVETASPAIAGHDSEVGVGPSGARSFRLFGFNVECSSDDAPAPAPATPEVEYVDVDGESATATATASSNDVGGQR >Sspon.01G0019480-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:73724333:73738682:1 gene:Sspon.01G0019480-3C transcript:Sspon.01G0019480-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transportin MOS14 [Source:Projected from Arabidopsis thaliana (AT5G62600) UniProtKB/Swiss-Prot;Acc:Q8GUL2] MAKDPMRVLVTGAAGTVLNLPSFPIRVVSVVVWSLLGSGLVRSSPIRSRGDEEDVKAIARLYADMGESYVDLIATGSDDSIQIVNALLEVTSHLEFDISSMTFNFWHRLKRNLIRRDSYVSYGSEVAIEAEKNRRLQIFRPKFETLVSLVSFRVEYPEDYHTFSEEDRRDFRHVRYAVSDVLLDATEVLGGDSTLKLLSTKLAQTLNSTRIKRRVKSSQRKNREITAARRQAYGSCNNEQNPKWQPVEAALFCIQAIARSVSIEEREILPQVMSLLPCLPHHEQLLRTVCSTIGAFSKWIDAAPAELSILPPLVDILNKGMNTSEDTAAAASMAFKYICEDCRRKFSGSLDSLFQIYHIAISGVGGYKVSSEDSLHLVEALSKYDTMELLTEVPVTAIYMNVLLHSFIKILLCTDEHGIPVPWSQYADLANLLKGLKQITNISCGHFNTIWVRTCGRVMGMTIGAMLEEIQTLYQQHKQSCFLYLSSEVIKIFGSDPSCAGYLTSLIQILFSHTVQLLRTIQVTILHIGNTKARPDIADDCYLLASRCIRYCPDLFIPTEMFQRLVDCAMAGITIQHREACKSILCFLSDVIDLPNSSDGRQYREVINTIILQRGATLTRIMIAALTGALPSGRLEEVSYVLLSLSRAFGENMLNWARESINLIPPQALTDAERLRFFNIISDAASRSSLHTITDRFGEISDVCRRNKTVQDLVQSALRPHDLTFTVVPQQM >Sspon.06G0029670-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6C:26767544:26768495:-1 gene:Sspon.06G0029670-1C transcript:Sspon.06G0029670-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFIIGLTHTQHGYDSIWVIVDRLTKVAHFLPIKTTYNGARLAELYMERIVCLHGVPKKIVSDRGETQVFGPDVLRNAEEQVRMIRDNLRVAQSRRKSYADTQRRELSFEVGDYVYLKVCVLEGVANEKCEKIQYEGQASTEKCLRVPEEQIPLEDLTVKEDLTYEEYPVKILETAERITRS >Sspon.07G0022360-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:7303982:7306664:-1 gene:Sspon.07G0022360-1B transcript:Sspon.07G0022360-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEEAAAAAARAGVEESIGRRPRRDGRGEAAAAAGRVWEFERDLVAGALMGGAVHTVVAPIERVKLLLQTQDGNAALLGRALRFRGFADCVARTVRDEGVLSLWRGNGTAVIRYYPSVALNFSLKDLYRNILKDAGTSADNKFTSIALSNFLAGAAAGCTTLVLIYPLDIAHTRLAADIGRSDTRQFRGIRHFIQTIYKKNGIRGIYRGLPASLQGMVVHRGLYFGGFDTAKDVLVPLESPLWQRWVAAQAVTSMAGLISYPLDTVRRRMMMQSGMEVQMYSSTLDCWRKIYRLEGIRSFYRGALSNMFRSTGAASILVLYDEVKKFMDRGRL >Sspon.01G0039500-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:28631193:28636303:-1 gene:Sspon.01G0039500-2C transcript:Sspon.01G0039500-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rhomboid-like protein 19 [Source:Projected from Arabidopsis thaliana (AT3G07950) UniProtKB/Swiss-Prot;Acc:Q8LF05] MQGGRFFTGFTRLCKGLAVILFLAHILVHLFPSAATYLTLIPARTIPFAWNLVTAGYIEQTIPGVIVSIAGLLLFGKVLEPLWGAKELLTCVFVTTIVLYYITQEESYLYTPVSGFYGVLSGLLVGIKQILPDQELNLLVLKINAKWIPSIVAFISVAVSFFMKESMSYLPIILFGIYMSWIYLRYFQRRLEVGLKGDPSDEFSFSSFFPGFLRPILDPIASIFHKLFCGRSARPEGTGQTLDGSQFPGSGSIEANRRRERGQRALEQRLAEKLAAVRNAEDTPPPKQQQQRENAEDDASDKV >Sspon.02G0028950-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:99651313:99654752:1 gene:Sspon.02G0028950-4D transcript:Sspon.02G0028950-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable inactive shikimate kinase like 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G26900) UniProtKB/Swiss-Prot;Acc:Q9LW20] MAMRAATAAATGFFSPSSVSPRRFSSATPPASLSIGRCIQRHRLRAFPSSEIPLEELNPSVDLLRKTGEAVGDFRKTPIYIVGTDCTAKRNIAKLLANSIIYRYLCSEELLEDVLGGKDALKAFKESDEKAYLEVETEGLKQLTSMGSLVLCCGDGAVMSSTNLGLLRHGVSIWIDVPLEMAANDMLKSTGTQATTDPDSFSQAMSKLRQQYDELKERYGASDITVSVQSVASQRGYSSVDLVTLEDMVLEIVRQIEKLIRAKEMMEAAGRPF >Sspon.04G0023320-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:11993334:11994567:1 gene:Sspon.04G0023320-1B transcript:Sspon.04G0023320-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding QMCFQLKDMVLKFSGSGRQYKAAGSPSFRGNRFHRNSRLAAYPGVIDDSGFTSDGAAEGYGYMRTMTTDATAARTMAAPPPPWNADTKVARGFPQHVRSPSASWIPSIGEEEEEEEEEDDEVVVLEEDRVPREWTAQVEPGVQITFVSIPGGAGNDLKRIRFSRDMFNKWEAQRWWGENYDRVVELYNVQTFSRQQGISTPTSSIDDATQRDSSFYSRAGSTRESPVILPPTAAVGREQPLARAASCRAMAAAAAASTARAACNPSSSAVPDPSDHVWAHHFNLLNSAPAPPAAPHLDPSRATTSSLDEASVSVSNASDLEATEWVEQDEPGVSITIREFGDGTRELRRVRFR >Sspon.08G0022520-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:49522483:49527173:-1 gene:Sspon.08G0022520-1B transcript:Sspon.08G0022520-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGELMFRMGGIRMLWLFEHDHCNLQLEVVFKFCITKMVQYNFKKITVVPPGKDFIDIILSRTQRQTPTVVHKGYAINRIRQFYMRKVRYSQQNFYEKLSTIIDEFPRLDDIHPFYGDLLHVLYNKDHYKLALGQINTARNIIAKISKDYLRLLKYGDSLYRCKCLKVAALGRMCTVVKRISPSLAYLEQIRQHMARLPSIDPNTRTVLICGYPNVGKSSFMNKVTRADVDVQPYAFTTKSLFVGHTDYKYLRYQVIDTPGILDRPFEDRNIIEMCSITALAHLRAAVLFFLDISGSCGYSIGQQAALFHSIKSLFMNKPLVIVCNKTDLQPLEGLSEDDMKLVMEMKAEAMKTITQAGGPNEEGVLLTMSTLTDDGVMAVKNAACERLLEQRVDVKMKSKKMMDCLNRFHVAVPKPRDNKERPVCIPQAVLEARANAAAKEKKKLEKDIENENGGAGVYSASLKKHYILANDEWKEDILPEILDGHNVADFLDPDILVRCEELEREEGLRLEEQAAEDAFQIDGHELTQEQKEILAQIRKKKALLIQEHRMKKRTAESRPIVPRKFDKDRKFTTDRMGRQLSSMGVDPSAAMNRARSQSRGRKRERSVSRTAADGDGMEIDGQQSNKKLRLTSRSRSRSRAPEEVIPGEGFKDSEQKKKAIKKAKAATRNRNKDARRGEADRLSAYLFNLSYLPLETRIVTHGNVIETSGEAPIIALVRMKIKQPLVFFYLQTLAPATIHRPTLGRGYVGTNHK >Sspon.04G0007000-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:20943614:20945520:-1 gene:Sspon.04G0007000-3D transcript:Sspon.04G0007000-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding TGATVVFFAYVGFDAVANSAEEAKNPQTGYSVVSACVITLRWNDKGTSRRSLGNMSIWQEGVLCLVIVALCGFIVGLCYRFNYAIAFMVVAFVIAVAASFALQFRQLHEEAWYRFVILSLIALGVYAGYGQYNAVASSSEHSTVGYHGIPSEVP >Sspon.04G0034510-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:78860718:78861935:1 gene:Sspon.04G0034510-2D transcript:Sspon.04G0034510-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRRIIKELKDLQRDPPTSCSAGPVSDDMFHWQATIIGPSDSPYSGGVFLITIHFPPDYPFKPPKMAFKTKVFHPNINSNGNICLDILKEQWSPALTVSKVLLSVCSLLTDPNPDDPLVPEIAHMFKTDRLRYESTARGWTHKYAMG >Sspon.08G0017990-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:34131334:34135835:-1 gene:Sspon.08G0017990-2D transcript:Sspon.08G0017990-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPGEREDSVFALHETVASVHPECGHFHTDGTPPERLGRPFYAELPHAIYSSNRQGSSSQTARRRSTSRTEESPQRMFHGMFGERDGYRRVNMEGIAQALLALDRIEHDDELTYEQLLVLETNLLLSGLDLHDEHEDMRLDIDNMSYEELLALEDHIGSVSTALTEEQFAKCVNQSVYEARNSGRDVNKIAADDVKCSICQEEYVEGEEIGTMQCEHQYHVCCIHEWLRQKNWCPICKASAIPSEMNKGDA >Sspon.08G0001890-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:3404618:3408166:1 gene:Sspon.08G0001890-4D transcript:Sspon.08G0001890-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSFQLNPNASPFIPGSLGSFAHKAPEKQGGSSSKGEASGSSFDPSQHEENDIDELALANMVFSMFPNVSTDFIDELLKANDFDINVTVDMLHELNSQDMLYDDAEATNDLHNGQGVPGADYHNAEVSESSSKMSQDLQNEKSATSDVKSVLPKFSEINLLHNDLVCAIPSIYCTSAYMACLMMRSQRGLLLQSDLTYQGALFDPSYRFMVYILVASRSVAWKTKQWKLLFHSRSQDWLTVSGCYP >Sspon.03G0047150-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3D:54253144:54253322:-1 gene:Sspon.03G0047150-1D transcript:Sspon.03G0047150-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding FIVEELAATAHGARRCPQRRHCATLGEQSGLGEHPSTCASCVTGELGGLLDEHGRAPG >Sspon.08G0014820-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:57060920:57065028:-1 gene:Sspon.08G0014820-3D transcript:Sspon.08G0014820-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEAGSRRGAAARRKAKEAAVGAAARVLFYPTLLYNVVRSKVQAEFRWWDEVDQFVLLGAVPFRRDVTRLQKLGVKYKNMTPAATFEHVVQEFSKKNAELPALTSDSATASPARDVVRFGKDDTVIRVMASAPIDECTWSCSSSESTSHLLSHYNLKLLLAEATMLNPTR >Sspon.02G0033770-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:9849245:9851901:-1 gene:Sspon.02G0033770-1P transcript:Sspon.02G0033770-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLRAISSLFHIYCASAAVRRGAGRLGFAPALGGSFRVPSNSGPPAFVLDEVARAAGGVRRRASTRAASWDSEKSPYETLELGRDADEETIKTAYRRLAKFYHPDVYDGKGTLEEGETAEARFIKIQAAYELLIDDERRRAYDREHHVNPMKASQAWMEWVMKKRKAFDQRGDMAVAAWAEQQQREMTLRARRLSRSKVDPEEERKLFAKEKKASMEFYSTTLKRHTLVLRKRDIMRKKAEEERDNEISRLLAAEGLELDTDEDENKTFLG >Sspon.03G0019380-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:60263746:60264898:-1 gene:Sspon.03G0019380-1A transcript:Sspon.03G0019380-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RMHHLQYNLTSLHYSILCPCPHIFFFHDSHSAIEIMQRDYDRRNLQQEKENHLMLMEPQNLGNTEDLFVWPWMGVLVNVPTEWKNGRQVGESGNRLKEQLARFCPQKVIPLWNYRGHTGNAIVEFAKDWTGFKNALAFENHFEAEGYGKRDWKLKHRGSGMFGWVAKADDHRCQGPIGDYLQKNGDLKTVGDLESEGTRKTDTLVATLASQIEVKNRHVQELENKCNETTASLDRMMEQRELILQKYNEEIRKMQQIARRHSQKIIDDNKKVRKELESRMQELDSRSKELDDIALQRDYDRRNLQQEKEK >Sspon.02G0007220-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:24040893:24047096:-1 gene:Sspon.02G0007220-2C transcript:Sspon.02G0007220-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding KMAMTRRFLAPLMIVAVVLLSCMAAAAADGNGDVLLQVKSAFVDDPQGVLAGWNASAGVSGFCSWAGVACDEAGLRVIGLNLSGAGLAGTVPRALARLDALEAIDLSSNALTGPVPAALGGLANLQVLLLYSNQLTGVLPASLGALSALQVLRLGDNPGLSGAIPDALGKLGNLTVLGLASCNLTGPIPASLGRLGALTALNLQQNALSGPIPRGLAGLASLQVLSLAGNQLTGAIPPELGRLAGLQKLNLGNNSLVGAIPPELGALVELQYLNLMNNRLTGRVPRTLSALSRVRTIDLSGNMLSGALPAELGRLPELTFLVLSNNQLTGSVPGDLCGGDEAESSSLEHLMLSTNNFTGEIPEGLSRCRALTQLDLANNSLSGGIPAALGELSNLTDLLLNNNSLSGELPPELFNLTELQTLALYHNELSGRLPDAIGRLVNLEVLYLYENQFVGEIPESIGDCASLQLIDFFGNRFNGSIPASMGNLSQLIFLDFRQNELSGVIPPELGECQQLEILDLADNALSGSIPETFGKLRSLEQFMLYNNSLSGAIPDGMFECRNITRVNIAHNRLSGSLLPLCGTARLLSFDATNNSFDGGIPAQLGRSSSLQRVRLGSNMLSGPIPPSLGGIAALTLLDVSSNALTGGIPATLAQCTQLSLIVLSHNRLSGAVPDWLGSLPQLGELALSNNEFAGAIPVQLSNCSKLLKLSLDNNQINGTVPPELGSLVSLNVLNLAHNQLSGLIPTAVAKLSSLYELNLSQNYLSGPIPPDIGKLQELQSLLDLSSNNLSGHIPASLGSLSKLEDLNLSHNALVGVVPSQLAGMSSLVQLDLSSNQLEGKLGTEFGRWPQAAFADNAGLCGSPLRGCGSRNSHSALHAATIALVSAAVTLLIVLLIIMLAMMAVRRRARGGEVNCTAFSSSSSGSANRQLVVKGSARREFRWEAIMEATANLSDQFAIGSGGSGTVYRAELSTGETVAVKRIAHMDSDMLLHDKSFTREVKILGRVRHRHLVKLLGFVTSRECGGGGGMLVYEYMENGSLYDWLHGGSDGRKKQRSAGTRGSRNSRVQLLTVDVPHYLCKATERSDVYSMGIVLMELVSGLLPTDKTFGGDMDMVRWVQSRMDAPLPAREQVFDPALKPLAPREESSMTEVLEVALRCTRAAPGERPTARQVSDLLLHVSLDYYRACEKQGRLKH >Sspon.03G0009180-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:39419665:39432224:-1 gene:Sspon.03G0009180-3C transcript:Sspon.03G0009180-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQGFSSLSLHVTIKWLVVFERKKDKKLKETFPTIPSLDDLQTLEADGLKADIIIVDTERDKKIFMLKQLSAALVKGLSSSPALVIKKIAGLVFDCFKRQNPDASPARGSIEDTHFFGNKGPQLLGQIRHGSCRPRAILFKVLADAVGLESKLVVGLPDDGAVGFVDSYKHMSVVVSLNSMELLVDLMRFPGQLIPFSAKAIFISHISAAGESDSAENDSCDSPLEPNSPLYGLSDKVEAEGIESSSNLSGRSLRNMMLRSRTFSEGKLSPEHPLMRARGRSILGGEKQSFQEYTESGAASRSDGLEGTSTSNARRIRRRSISITPEIGDDIVRAVRAMNETLKQNRLQRDHVEGSCSYVTEDQSNANDCPNKDDTSRGIGAIDSDSRNRTGSTQKAVSLPSSPHEYRGQVAPKSDDFISKEKMALAWNKVFQSSPFLNKPLLPFDEWNIDFSEITIGTRVGIGFFGEVFRGIWNGTDVAIKVFLEQDLTTENMEDFCNEIYILRGLMCIHRKKIVHRDLKSANCLVNKHWTVKICDFGLSRVMIDSPMTDNSSAGTPEWMAPELIRNEPFTEKCDIFSLGVIMWELCTLSRPWEGISPVQVVYAVANEGSRLEIPEGPLGRLIADCWAEPENRPSCQEILTRLLDCEYTVS >Sspon.02G0016520-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:45792984:45794102:-1 gene:Sspon.02G0016520-1A transcript:Sspon.02G0016520-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRSVGHVPGLAVVLVGDRRDSESYVRYKVKGCEEVGIKSLLAKLPGNCSEDEVMDSVSRFNEDPSVHGILVQLPLPEFMDEERILSTISLEKDVDGFHPLNVGNLALRGRNPLFVPCAAKACIELLLQSGIELMGKHVAVIGRSKVVGLPTSLLLQRHHATVSVIHAFTTNPEAITRESDIVISAAGVANLVRGSWLKQGAVVIDVGTNPIE >Sspon.07G0025170-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7B:37793970:37798018:1 gene:Sspon.07G0025170-1B transcript:Sspon.07G0025170-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEAIAKAVTDALIDLKLSNTLERLDKRLSAQTDRVAALEVQPPPDQEVHDGSNTGGLEDAVYDADGNVDQAATRQNRLRHRLRTNATGMGGAQHFNRHQGNRNRAPDDPYAKVKFKIPSFSGHYDAEGYLDWEMIVEQKFSSHLVPEKHRVRQATSEFKDFAIICWTGLAAENATPSIWEELKVAMRDRFVPPSYHRDLRKKLMRLEQGDKAVQDYYGDLQKGLMRCGIVEGPEDSIVRFYLGLRHEIQDIVDYKLLRLLYLCAKHSLHNDVHVGGRVFATKDFAVLLNSMFLILIIFNVRGADVPIVCSNVCSLTRALPLYMPKSMAAVALNARSASR >Sspon.06G0003990-4D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6D:9965135:9965530:1 gene:Sspon.06G0003990-4D transcript:Sspon.06G0003990-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLTAIVLLMLMLAAATAGMVTDAGGTLPAGRQQARTRRSRFLLANSPSPYACSKKSAVAVCFAPGSPGATCCGGQCVDTVASADHCGGCNKVCKHDRSACCGGRCVDLLSDKDHCGACGNQCSKKCSNGF >Sspon.01G0039210-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:20438214:20441433:1 gene:Sspon.01G0039210-3D transcript:Sspon.01G0039210-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLVLSECCGLAPLRLRAGRRGAAIAAPSPPALSAAAAVPGRPASAAIHRDWALRVSAPTRLTSAVEEDKRSSSLLGEEDVGVAANGAAGGEFDPGAPPPFGLAEIRAAIPKHCWVKDPWRSMSYVLRDVVVVLGLAAAAARLDSWIVWPLYWAAQGTMFWALFVLGHDCGHGSFSNNPKLNSVVGHILHSSILVPYHGWRISHRTHHQNHGHVEKDESWHPLPERLYKSLDFMTRKLRFTMPFPLLAFPLYLFARSPGKTGSHFNPSSDLFQPNEKKDIITSTASWLAMVGVLAGLTFMMGPIPMLKLYGVPYLVFVAWLDMVTYLHHHGHEDKLPWYRGKEWSYLRGGLTTLDRDYGLINNIHHDIGTHVIHHLFPQIPHYHLIEATEAAKPVLGKYYKEPKKSGPLPWHLFGVLAQSLKQDHYVSDTGDVVYYQTDSAQKSD >Sspon.01G0004500-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:10486801:10490734:1 gene:Sspon.01G0004500-2B transcript:Sspon.01G0004500-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:3-ketoacyl-CoA synthase [Source:Projected from Arabidopsis thaliana (AT2G26250) UniProtKB/TrEMBL;Acc:A0A178VYA3] MAREEQALLSTEIVNRGVEPSGPDAGSPTFSVRVRRRLPDFLQSVNLKYVRLGYHYLISHGVYLATIPVIVLVCGAEVGSLSRDDLWRKVWGEATYDLATVLAFLAVLAFTISVYIMSRPRPVYLIDFACYKPADELKVSKAEFIDLARKSGKFDEDSLAFQSRLLAKSGIGDESYMPRCVFEPNANCATMKEGRAEASTAMFAALDELFDKCRVRAKDVGVLVVNCSLFNPTPSLSAMIVNHYKMRGNILSYNLGGMGCSAGVIAIDLARDMLQASGAGLAVVVSTEAVSFTWYPGKRRSMLIPNVFFRAGCAAVLLSNRRRDFHRAKYQLEHVVRTHKGADDRAFRSVYQEEDEQRIKGLSISRDLLEVGGHALKTNITTLGPLVLPFSEQLLFFAGVLFRHLFPSKASTPPPPTTPGDASAAAPYIPDFKRAFEHFCMHAASRDVLDHLQSNLGLRNADLEASRAALHRFGNTSSSSIWYELAYLEAKGRVRRGDRVWQLAFGSGFKCNSAVWRAVRRVRRPARSPWLDCIDQYPSRMDA >Sspon.02G0048920-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:31101884:31102246:1 gene:Sspon.02G0048920-1C transcript:Sspon.02G0048920-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding WRGSFRSSAARSRSGGRRNRPWTTSASPPPALRQRGDGHTSASPAAPMTILGVGARAAGSPRARRPMTRAVSPAARVSGHCQRVSTGTSRSRRSPATGGVVCPSPGGSVACASLDASVARR >Sspon.04G0033330-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4C:54757243:54758949:1 gene:Sspon.04G0033330-1C transcript:Sspon.04G0033330-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQLLFAVLVAEASLTAALLFKTPLRKLAVLVVDRLKRGRHAPIVVKTVAGVVLALLASTLYSMAQISGSASDSDSGGGPTPTDQVLFSRHLLEACLMVIDRLHQYIRDLRVFKKDLEAVRKHNKMLEEAKHGNSEEAKKYQEEIATLNKEMKKLKLQVQEKIEEVHVAEDKARTIQKQSEGLLIEYDRLLEDNQHLRDQLVSIDLRLSSSS >Sspon.07G0023300-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:12005265:12011828:-1 gene:Sspon.07G0023300-2C transcript:Sspon.07G0023300-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLASLARALGRSARSSRLRQLGGLRQPPAPPLPPPVHGGEGGAIGFARSYLTAASSAALGKPAAGKTVDWRYILASPQFRRLFSDESKKNYENYYPKGKKEVPKGDGSNKSESKQESNSDEGWNFQENAMKHLQNFLAPLLILGLMLSSMSSSTADQKEISFQEFKNKLLEPGLVDRIVVSNKSVAKVFIRNSPLPKSQGQNSDTHISTTDVPGKPAPSRCKYYFNIGSVDSFEEKLEEAQEALGIDPHDFVPVTYVTEVNWFQEVMRFAPTAFLVGLIYFMGKRMQSGFNIGGGPGKGRGGIFNIGKATVTKMDKNSKNKVFFKDVAGCDEAKQEIMEFVHFLKNPKKYEDLGAKIPKGALLVGPPGTGKTLLAKATAGESGVPFLSISGSDFMEMFVGVGPSRVRNLFQEARQCAPSIVFIDEIDAIGRARGRGGFSGSNDERESTLNQLLVEMDGFGTTAGVVVLAGTNRPDILDKALLRPGRFDRQITIDKPDIKGRDQIFRIYLKKLKLDNEPSFYSQRLAALTPGFAGADIANVCNEAALIAARREETQITMQHFESAIDRIIGGLEKKNRVISKLERRTVAYHESGHAVAGWFLEHAEPLLKVTIVPRGTAALGFAQYVPNENLLMTKEQLFDMTCMTLGGRAAEEVLIGKISTGAQNDLEKVTKMTYAQVAVYGFSEKVGLLSFPQRDDGFEMTKPYSNQTASIIDDEVREWVGKAYKKTVELITEHKEQVAQIAELLLDKEVLHQDDLTRVLGERPFKALEPTNYDLFKQGFQDDDDKSQAPAENAELPDDSSPPLGEVVPT >Sspon.05G0005470-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:5A:17544858:17547143:1 gene:Sspon.05G0005470-1A transcript:Sspon.05G0005470-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MESFKLASLTLVLPFLLLAAVAVVAGDELTTYIVHVQPQENQMLATAEDRNAWYRSFLPEDGRLVHAYRHVASGFAARLTRQEVDALSSMPGFVTAVPDQMYELHTTHTPQFLGLDAREAKKSYAIAERGAGVIIGVLDTGIVPSHPSFSDDGMPPPPARWKGRCDFNGRAVCNNKLIGARSFVPSGTNATSNNSTSDDWRAPPVDDEGHGTHTASTAAGAAVPGAQALGQAMGTATGIAPRAHVAMYKVCTKTGCPDSAILAAVDAAVGDGCDIISMSLGGSSTPFYQDSVAIATFGAIEKGVSVTMSAGNSGPNVSSVTNEAPWMLTVAASTMDRSIRSTVRLGNGFVFHGESLYQPQAWNSTFYPLVYAGASGKPYAELCGNGSLDGMDVRGKIVLCELGGGPGHNITRILKGAVVRSAGGAGMILLNTFPQGYNTLADAHVLPASHVDYAAASAIKSYVNSTSNATAQIIFEGTILGTPPAPAIAAFSSRGPSLENPGILKPDITGPGVNVLAAWPFQVGPPSAPVLPGPTFNVISGTSMSAPHLSGVVALVKSKHPHWSPAAIKSAIMTTADATDRAGNPILNEQRVPADLFATGAGHVNPEKAADPGLVYDIAANDYIGYLCSLYDSQNVSVIARRPVDCSAVTVIPESMLNYPSISVTFQQTWNRSTPAPAVVERTVKNVGEVPSVYYAAVDIFDDDVTVAVYPRELVFTQVNQEQSFKVVVWPRQNGAPVVQGALRWVSDTYTVRSPLSISFF >Sspon.01G0058310-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:25306847:25309614:-1 gene:Sspon.01G0058310-1D transcript:Sspon.01G0058310-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSSNSPDRQSSGGSPEERGSGGSGGRGTGEPVRSRWTPKPEQILILESIFNSGMVNPPKDETVRIRKLLERFGAVGDANVFYWFQNRRSRSRRRQRQMQAAAAAAAAAASSAANSSPAASATVGGLPSGALQYPLAMGGGTACQYEQQASSSSSSGSTGGSSLGLFALGAGVPGTGGGYFQASCGASSPLATGLMGDVDSSSGGSDDLFAISRQMGFAASPVASPSVAPSTTAHQQQYYSCQSPAATITVFINGVPMEVPRGPIDLRAMFGQDVMLVHSTGALLPVARPT >Sspon.07G0009240-3P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7A:25949154:25951152:1 gene:Sspon.07G0009240-3P transcript:Sspon.07G0009240-3P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKEKSHINIVVIGHVDSGKSTTTGHLIYKLGGIDKRVIERFEKEAAEMNKRSFKYAWVLDKLKAERERGITIDIALWKFETTKYYCTVIDAPGHRDFIKNMITGTSQADCAVLIIDSTTGGFEAGISKDGQTREHALLAFTLGVKQMICCCNKMDATTPKYSKARYDEIVKEVSSYLKKVGYNPDKIAFVPISGFEGDNMIERSTNLDWYKGPTLLEALDQITEPKRPSDKPLRLPLQDVYKIGGIGTVPVGRVETGVIKPGMVVTFGPTGLTTEVKSVEMHHEALQEALPGDNVGFNVKNVAVKDLKRGYVASNSKDDPAKEAASFTSQVIIMNHPGQIGNGYAPVLDCHTSHIAVKFAELITKIDRRSGKELEKEPKFLKNGDAGMVKMIPTKPMVVETFSEYPPLGRFAVRDMRQTVAVGVIKSVEKKDPTGAK >Sspon.02G0024310-1P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:88078176:88079453:1 gene:Sspon.02G0024310-1P transcript:Sspon.02G0024310-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEHSENVAADLMGNIMETIAENLPKQKSVRFDDGEGSSISDQAKKLFGGGENRKKSVHHVLGGGKSADVLLWRNKKISSSVLGVATLVWVFFEWLDYHFLTIVCFLLALGMAVQFAWATFAAVLSKGSASSVPRVQLPEELFANVGAAVGAQVNKVLGFLQDVSCGRDLKQLLIVIAGFFAAAIVGSFCNFLTVIYVGFVCAHTLPVLYERYQDQVDDFLYNMLGVVQSQYRKLDTKSILKGGVSKFRKSD >Sspon.03G0038320-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:5351918:5355370:-1 gene:Sspon.03G0038320-1P transcript:Sspon.03G0038320-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDDEKATPPDSSQAPPPSLPLSAVRLNRPLVAANRAMAAVHAALVAAVIAHRAMALLSDSAGSASSWSRHVAMALADLTLLFLWALSQSGLWRPVTRAAFPDRLLAAVPRGALPRVDVLVVTADPDKEPPLGVMNTVVSAMALDYPGGALSVYLSDDAGSPLTLLAARKAYAFARAWVPFCRRHSVQCPWPDRYFAGDDAHDGGGGDRREEIAEETTRVKKLYEKLKADIEAAKKDESISGSWTKAKRQDHDAYVEIITAKEDGGEDGEEEMPALVYVAREKRRAWPHHFKAGALNALLRVSGVVSNAAYVLVLDCDMACNSRASAMDAMCFLLDGSRRSSPPTAPENLAFVQFPQMFHNLSHNDIYTNELRYIFRTRWFGLDGVRGPLLSGTGFYVRRDALYGATPPPGSTDLSSMDAGELKTRFGHSDSLVASLRSNSGGDQHRRRLPLAPPEPESLASCAYEAGTAWGTGVGFMYQSVVEDYFTGYQRFFSRGWTSAYCYPEHRPAFLGSVPTNLNDVLVQNKRWMSGMLAVGVSRRHSPLACRPLLRASLLQAMAYAYFGFAALYAVPVLCYATLPQLCLLRGVPLFPCPAAAAAAAFASSLLLHLAEVCVAKRSLALRTWWNEQRFWVLNALTGQLFGCVSAAQELLGARALDFDLTSKAADGRLYQDGVFDFTGCSTLLLPATTLSVLNAAAIVASTWKMTSSGGFQFAGAQLFLVCYGAALSYPLLEGMFLRWDAARVPPRITALSVALAAVLLAVFG >Sspon.05G0010250-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:29290549:29297143:-1 gene:Sspon.05G0010250-1A transcript:Sspon.05G0010250-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNVVLTCRCPLIDDVCSLLSPGLMFRWQWKATRVQPFDSLTVENKFLLVSELLTKPIKKSKMHAEKSTIQAVPMVGFDAMTRAKSTLEDFVQSYIYQLDASNEGCLHVVPDDNTSKVLGKKEEALNETSLSQMIEPLEDLLQCQGLMTDRLRTELKSGIQYWSLERKLCQALLRNEKISIEDVMKAIHLKSFDYRVLNLLMFQLTGQNVNELHMDFLSVSEFLVEISDDLYDYEDDVMNNTFNILRMLAAIYGPLEAPNMLAKCIGEAEEKYESFSKKLEPSLSGSYWRRCEEATKEAKKLYMRPPLSAIDACRYRDTIPWL >Sspon.03G0034520-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:37619819:37625524:-1 gene:Sspon.03G0034520-3D transcript:Sspon.03G0034520-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAPHGDAPEVRNAVPPAKRASAPITEADIRAEFAHHDGTVARVNNGTFGCCPASVLAARARWQRLFLSQPDAFYFDSLQPGLARSRAAVAAAVGACDAAEVSLVDNATTAAAIIMQHVAWSFAEGGFARGDVVLMLHYTYSSVKNSIHAYVVRAGATVVEVPLPFPVASPGAVVAEFRAALALAKAGGRSVRLAVIDHITSMPSVLLPVKELVAICREEGVDKVFVDGAHAIGQVPIDVRDIGADFYTSNLHKWFFCPSAVAFLHIRKDDPIASELHHPVVSSEYGNGLPMESAWIGVRDYSAQLVVPDAVDFMSRFEGGIEGISRRNHDKVIEMGTMLAEAWGTFLGSPPEMCGSMAMVGLPGCLGIESNGDAMRVRDMLRNEFKVEVPIFHNSRSVEEGQELAKDAKGDQVTGYVRISHQVYNVREEYEALRDAVHKLVLDGFSCSKLRPSGKSWNCTNLEKRVDVTSGDPSLGSIVPCP >Sspon.03G0032630-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:38944641:38952033:1 gene:Sspon.03G0032630-1B transcript:Sspon.03G0032630-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAGDIQKVASMRRAGSMWRRGDDVFSRSSREEDDEEALRWAALEKLPTYDRVRRAIVPLGLGADGADAPGGKGLVDVDVLSFGPRERRALLERLVRVADEDNERFLLKLKDRIDRVGIDMPTIEVRFQNLEAEAEVRVGSSGLPTVLNSVVNTLEEAANALHLLPSRKRIMPILHDVSGIIKPRRLDKDLKFSGKVTYNGHEMTEFVPERTAAYISQHDLHIGEMTVRETLAFSARCQGAYAMGGQDANVVTDYILKILGLEICADTMVGDEMLRGISGGQRKRVTTGEMLVGPARALFMDEISTGLDSSTTFQIVNSLRQSIHILGGTAVITLLQPAPETYNLFDDIILLSDGQVVYQGPREEVLEFFESVGFRCPERKGVADFLQEVTSKKDQKQYWVRPDEPYRFVSVKEFATAFKSFHTGRAIANELAVPFEKSKSHPAALTTTRYGVSGKELLKANIDREILLMKRNSFVYIFRTFQLMLMSIIAMTLFFRTKMKHDTVNDGGLYMGAVFFGVLMIMFNGMSELSLTVFKLPIFFKQRDLLFFPAWSYTLPSWIVKVPITFIEVGGYVFLTYYVIGFDPNVGRFFKQYLLLLAVNQMAAALFRFISGVSRNMIVANVFASFMLLVVMVLGGFILVKDKIKKWWIWGYWISPMMYAQNAISVNEMLGHSWDKILNSTASNETLAYGNSRPSVSEEELQEKHANIKGEVLDGNHLVSASSHRSTGVNTETDSAIMEDDSSSTKKGIILPFDPLSLTFDNIKYSVDMPQEMKAQGVQEDRLELLKGVSGSFRPGVLTALMGVSGAGKTTLMDLGRKTGGYIEGDIRISGYPKKQETFARVSGYCEQNDIHSPQVTVYESLLFSAWLRLPKDVDSNKRKIFIEEVMELVELKPLRNALVGLPGVNGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFEAFDELFLMKRGGEEIYAGPLGHLSSDLSSIFEGIQGVSKIKDGYNPATWMLEVTTTSQEQILGLDFSDMYKKSELYQRNKALIKELSQPAPGSSDLHFSSKYAQSSITQCVACLWKQNLSYWRNPPYNTVRFFFTTIIALLLGTIFWDLGGKMYTSQDLMNAMGSMYAAVLFIGIMNCTSVQPVVAVERTVFYRERAAGMYSAFPYAFGQVVIELPYALVQDILYSVIVYSMIGFEWTAAKFFWYLFFGYFTLLYFTFYGMMAVGLTPNYNIAAIVSSAFYAIWNLFSGFIIPRPKTPIWWRWYCWLCPVAWTLYGLVVSQFGDVMTPMDDKRPVKVFVEDYFDFKHSWLGWVAAVVVAFAVLFATLFGFAIMKLNFQKR >Sspon.07G0031730-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7C:27713160:27713465:1 gene:Sspon.07G0031730-1C transcript:Sspon.07G0031730-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGARSMPGGGTRSTLEDGGGAQDPTAAAASHHNRARGHKATHRRPPHDHQPPVAHSGGGEEKGSRRRLGGVGRRALPELSISRTTRESGRDRRPTKFSND >Sspon.07G0016820-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:81757558:81760535:-1 gene:Sspon.07G0016820-2D transcript:Sspon.07G0016820-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGICCSKAAAGELDDDEGLGFPWMHDDLFHSHLWTSAGVSVHTKQGWKGANQDAMTVCQDFAGHKGHIFCGVFDGHGPLGRDVARHIRDTLPMKLAFALKPKTGDEDSSTDTLKLKPEEDSSSNNLKLTTEEDDSSNSLKLIPEDDSSNNLKLKPEDDNSSNSLKLRTEEDPSSNTDLDSFDKSDSSSFSDDTSDEGQLLSTWKNIFVKTFELVDEELRQHSGIDCICSGTTAVAAVRQIWDVLSNKEVVKLVSSATDPSKASRQLIDRAVRAWRRKYPTSMVDDCAVVCLYLNRRASPSPDDSLRVPGTGSDVKLAAPPAVPFTGSSFRRALSNNGGEASSEEGATVWRALEGVARANSVIRLPRMGRVLSWRRWSSSLDEDDGEVRD >Sspon.01G0006420-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:14913112:14916121:1 gene:Sspon.01G0006420-3C transcript:Sspon.01G0006420-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRCFPYPPPGYVRNPVAVAEAESTAKSVQLLQEITVVRKLQKEREKAEKKKEKRSNRKAPQEGETSKHSKHSHKKRKLEDVIKAGQDPKRESRESVEQLEKSGLSEEHGAPCFVQTIRDSPESSQDSSKRRKVVLPSPSQAKNGNILRIKIKSNQESQSALLEKPRLLEQPLVQQMGSGSSLLGKQNSIHHKVNVRSTSAQQRINGDSQAVQKCLITETPSQTMQRVVPQPAAKVTHPVDPLVSVKAPVGRSDLPPKFLGSVPSPARVMGRFEPPPVKLMSQRVQHPASMVSQKVDPQLSKVLQKETGSAVCLPEAPQPPVLQKPKVPVLMQQEPITSLTKEEPCFSGRNAEAVQVQDTKLSRSDRKKIRKAEKKEKKFRDLFVTWNPLLIENEGSDVGDQDWLFSGTRNSDASMAQCKATDGVEPIHPMVQQQPCLQPRATFLPDLYIYQLPYVVPF >Sspon.06G0032300-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:82680132:82682105:-1 gene:Sspon.06G0032300-1C transcript:Sspon.06G0032300-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGATVTVEEVRKAQRATGPATVLAIGTATPANCVHQADYPDYYFRITKSEHMTDLKEKFKRMCDKSQIRKRYMHLTEEYLAENPNMCAYMAPSLDARQDIVVPKLGKAAAQKAIKEWGQPKSKITHLVFCTTSGVDMPGADYQLTKMLGLRPSVNRLMMYQQGCFAGGTVLRVAKDLAENNRGARVLVVCSEITAVTFRGPSESHLDSMVGQALFGDGAAAVIVGADPDERVERPLFQLVSAAQTILPDSEGAIDGHLREVGLTFHLLKDVPGLISKNIERALEEAFKPLGISDYNSIFWVAHPGGPAILDQVEAKVGLDKERMRATRHVLSEYGNMSSACVLFILDEMRKRSAEDGQATTGEGFNWGVLFGFGPGLTVETVVLHSVPITTGAAITA >Sspon.01G0038480-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:30702369:30702728:-1 gene:Sspon.01G0038480-1P transcript:Sspon.01G0038480-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPPAAAQGARRAAVTTEEERRRNRMTSNRLSARKSRMKRQQYVDDLTAENERLRLDNEAMRASVGDVLQRSSALEQENRVLAAHARQLCAALLLRNSQLRLLGDVAGVPLDVSGVPDHL >Sspon.04G0008270-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:18738509:18740284:1 gene:Sspon.04G0008270-3C transcript:Sspon.04G0008270-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MWITSKTRYQAAKVFISVFTDAEPEWHCTGASASCSPAAPPASPCALPSGAWAWDRPAETTVVSEWALKCAGPALVSLPASSFFAGCLAGGFLLTTLADSLLGRKKMLLTSLVSMSVAGVLTAFAPNVWAYAALRFVSGFGRSMVGTCTLVLSTELVGKRWRDTVSVAGFIFFTVGFLSLPALGYTFREASWRNMYLWTSVPSLCYSVLLYFLAQESPRGCWKQDAMETLQQIASLNGNSITSSFSMLHACNMQEDDGGAGGAGGVFSTMRAMWERPWALRRLAAIMTIGFGVGMVYYGMPLNVGSLGTNLYLSVTYNALAELPSAILSLIFIGRVNRRSSVVALTVAAGAFSLACVVIPEGSAARMAAELLSFFSTITAFNLILIYSIELFPTSVRNSAVGLVRQALVLGGVVAPMLVALGRERSFWSFGVFGLCIGCLGLFAACLPETRGRSMSDTMEEEEHKEAAAAACTGATDIATKDDSDLV >Sspon.01G0001070-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:2861455:2866377:1 gene:Sspon.01G0001070-3C transcript:Sspon.01G0001070-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclin-dependent kinase A-1 [Source:Projected from Arabidopsis thaliana (AT3G48750) UniProtKB/Swiss-Prot;Acc:P24100] MEQVSERSGPIRVLICVFGCSRACVRACWLQYEKQEKIGEGTYGVVYKGLDKATNETIALKKIRLEQEDEGLYSSACRLHDVIHSEKRIYLVFEFLDLDLKKFMDSCPEFAKNPTLIKSYLYQILRGVAYCHSHRFLHRDLKPQNLLIDRRTNTLKLADFGLSRAFGIPVRTFTHEVVTLWYRAPEILLGAKQYSTPVDVWSVGCIFAEMVNQKPLFPGDSEIDELFKIFRVLGTPNEQSWPGVSCLPDFKTAFPRWQAQDLATIVPNLEPAGLDLLSKMLRYEPSKRITARQALEHEYFKDLEMWLGADIKLSHRRHVIFARYRVFFRIADVRISASSLAKLHQRNDRGIATARQIARPSTYPHRGGMS >Sspon.08G0000330-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:1390823:1392902:-1 gene:Sspon.08G0000330-1A transcript:Sspon.08G0000330-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFSGHLHRPLSTMAFAAFAAVSSHELPDKLSHHRLSDASTSADALGLLPSTRADGLAAAAPSAYALSGTQLLPRDLHSLRLLKAPFVSLPVMQTVYQYANFPKTSGQADAMPAIPSSPSDVLYRWHLPDPRVYADFPDKSQTVVVLLGWLGSRQKHLKRYADWYTSRGFHVVTFTLPMSDIVSYNLGGKAEKNVEMLSEHLADWVREESGKKIIFHTFSNTGWLCYGVILENLQQQDPSAVDKIKACVVDSAPVAAPDPQVWALGFSAALMKKRSVTTKGLGSDDSRSDVLVVESNKEPKLGATEAVLLSALESFFDVVLNYPKINRRLSDVMELLSSKQPKCPQLYIYSSADRVIPAKSVEAFIEGQRKAGREVRACDFVSSPHVDHYRSNPGLYTSQLGNFLEECVLAKRCDGACAS >Sspon.04G0020370-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:71601137:71603799:-1 gene:Sspon.04G0020370-1A transcript:Sspon.04G0020370-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein LOW PSII ACCUMULATION 3, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G73060) UniProtKB/Swiss-Prot;Acc:Q8H0W0] MHEHCTTAWDRRRRRLAARESVNGSSDEFIDANIQLALVVARKLKELKGTRSCIVFPDQPEKRRASQLFRTAIDMIEGVTVSSLDDVPTDPVNSFFKSIRNTLDFDFSDDNEDNSRINTHEQGFHNQLPGVDTDRWKSDEPPSLYIFINSSTRDLASIEKYVEKFATSVPALLFNLELDTLRYVSYIPNRSLLLYEAVTYAIHGAVLTWGFWGFPQKICTTAELYSANTQVMLKQADGSYACVAESQARFTLGQAKEELLRVIGLQEEEGSSLEFLRRGYKVHLILVKQLIAASKRLLAINCISL >Sspon.01G0004400-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:11902382:11903974:-1 gene:Sspon.01G0004400-1A transcript:Sspon.01G0004400-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding IMPAEQQLQPAKAAAGGSRFAVTCGLLRQYMKEQGGSGATRCLAPAVAMGLMREADAAAAAAAATEERTTVLELFPQQAGTLKDEQHRKREEPADGRAPLTIFYGGKMVVFNDFPAEKAEELMQLAGSGGNTAPAAAHQNAMGQPSLTDMPLARKVSLKRFLEKRKNRLTAADPYPAAAPAVASESPTKQPPAVKDEGAPWLGVSSALSLS >Sspon.07G0030860-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:14793364:14797365:-1 gene:Sspon.07G0030860-2D transcript:Sspon.07G0030860-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSHPLHSSSGLSDMDEMAATHAHAARSQSQVVVVPAAARPSSPPRASIPVSSSASAPPSQTAAAKLPFSAAVPASTSASGSISVPIPIASDGLGPEPSTLTEPVWDTVKRDLARVVSNLKLVVFPNPFRDDPGKALRDWDLWGPFFFIVLLGLILSWSATAKKSQVFAVAFAVLAAGAIVLTLNVLLLGGHIIFFQSLSLLGYCLFPLDVGALICMLKDSVILKIVVVTVTLAWSFWAAYPLMSAAVNPKRKALALYPVFL >Sspon.02G0022810-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:105637899:105642761:-1 gene:Sspon.02G0022810-1P transcript:Sspon.02G0022810-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRPSGAGALCRRRAIQVLVAVSLAYALAVLLLESPLVSTSLPGAGASAAASRKLHLDGAWEGAGRAAPARPAKHPHRETLSADAGRGRARRLAGIVSRLELRHLNSTRSGSLRKVAAEAAESGARVFSDLEALATALTSSRDSSGEEEKSKCPHSIVLSGDEFQERGRTVELPCGLTLGSYITVAATPHEAHPERDPKITLLREGEEPIMVSQFMMELQGLKTVDGEDPPRILHFNPRLRGDWSGKPVIEQNTCYRMQWGTPLRCEGWRSRADEETVDGLVKCEKWIRDDEGRSEESKTSWWLNRLIGRTKTVSVDWPYPFVEDRLSVLTLTAGLEGYHVNVDGRHVTSFPYRTGFVLEDATGLSLNGDLDVQSVFAGTLPTTHPSFSPQKHLEMLPSWQAPPLPDEPVEIFIGILSAGNHFAERMAARKTWMSAAQKSSNVVARFFVALHGRNEVNVELKKEAEFFGDIVIVPFMDSYDLVVLKTIAICEYGVHVVSARYTMKCDDDTFVRLDSVMAEVKKVQNGESLYIGNMNYHHKPLRDGKWAVTYEEWPEEDYPIYANGPGYVISSDIADSILSEFLNHKLRLFKMEDVSMGMWVERFNNTRFVKYIHSVKFCQFGCIDDYYTAHYQSPRQMLCLWDKLQTGKAQCCNMR >Sspon.03G0009990-4D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3D:32067154:32075852:-1 gene:Sspon.03G0009990-4D transcript:Sspon.03G0009990-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGPASAVLRLLPCTPSGFSFLTHPGPRFASVQQSESSLLRANGGVQGRRCCDSRAPRSEMQNVVEVEPGRPAADGRPSVGPTYRSAFARDGFPPPVPGMDSCYDIFRMAVEKYPNNRMLGHREIVDGKAGAYVWKTYKEVFDIANKIGNSIRSCGLAKGSRCGIYGANCPEWIITMEACNAHGIYCVPLYDTLGAGAVEFILCHAEVEIAFAEEKKIELLVKTLPKSNEFLKSIVSFGKVTQEQKEEVRKYGLSVYSWDEFLSLAADQEFDLPVKEKSDICTIMYTSGTTGDPKGVLISNASIICLIAGVDRLLSSQNEEDVKLLVEDIGVLKPTVMCAVPRVLDRIFSGLQAKISSGGFLKSTLFNVAYKFKHFRMMRGAKHNEAASICDKVVFSKVKEGLGGNVRVILSGAAPLATHVEEYLRVVTCAHVLQGYGLTETCAGSFVSLPNQMSMIGTVGPPVPNIDVRLESVPEMDYDALASTPRGEICIRGETLFSGYYKREDLTKEVLIDGWFHTGDIGEWQPDGCMKIIDRKKNIFKLSQGEYVAVENLENVYGLVSALDSIWVYGNSFESFLVAVVNPNKEALESWAAANGISGDFESLCKNPKAKEYILGELSRIGKEKKLKGFEFIKDVHLEPVPFDMDRDLITPTYKKKRPQLLKYYQIQVKTNIRLDFGAVFAVTGTPPPSPNATISLAVSSFRPAPQLSTAN >Sspon.01G0044780-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:62904989:62914836:1 gene:Sspon.01G0044780-3D transcript:Sspon.01G0044780-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFCRHLLLAAVLALLLAGCASAANRGAGSFDPSRVVQLSWRPRAFLHKGFLSDAECDHLIALAKDKLEKSMVADNESGKSVQSEVRTSSGMFLEKKQDEVVTRIEERISAWTFLPPENGESIQILHYQNGEKYEPHFDYFHDKNNQALGGHRIATVLMYLSNVEKGGETIFPNAEGKLLQPKDDTWSDCARNGYAVKPVKGDALLFFSLHPDATTDSESLHGSCPVIEGQKWSATKWIHVRSFDLPVKQPGSSDGCDDDNVLCPQWAAVGECAKNPNYMVGTKEAPGFCRKSCKVCAE >Sspon.03G0033160-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3B:46393986:46395227:-1 gene:Sspon.03G0033160-1B transcript:Sspon.03G0033160-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVTPLEDSVEATLSTLRAELPQWRDQPFTIFRVPAYVRASNPTAYEPRMVSIGPYYHGDVALRAMEDHKWRYLHDLLSRSPAVSSSLLIQEMRSMESRARACYSERPALESDKFVRMLLLDASFILEFFFKWHTKCPDKLCDVGWGRTLITTDLLLLENQIPFFVIEKIYDVIVAGAQGGSRGNLFNLFLEYMINPKDLITLPSVTCDVHHLLHLHYESAVPKRPPEPSKVRVIPRATEMSAAGVTFIRRRSSKARDMYDVAFDGGRGVMEIPAMVIDDMKRPLLINLIAFEQSQGREEAGVVTSYVSLMGMLVRTSQDVELLRRCGILENLLADDDEAARFFSHLGDGGAMNYERQVFSGLYQDVQRYCGSWWHRNRASLRRDYFGSPWSAISFVVAGLLIALTTTQTYFT >Sspon.07G0024580-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7B:25828322:25828708:-1 gene:Sspon.07G0024580-1B transcript:Sspon.07G0024580-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTSPRWTHRRYGLPQVAIRSQLSCHVTLGFSRHVVTVLVITLAYDIETGRSWMRWKGDDDAVVLDLVPAPEGPWIILCDHDKVLRHLFGPTWPCIVSGLKPKLWAPNPWPSSTLGRVLDYKNSCRRC >Sspon.01G0047450-2D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1D:85109934:85110134:-1 gene:Sspon.01G0047450-2D transcript:Sspon.01G0047450-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGGAAISAGPTPPSATATAVEWHQRPPNPKNPVVFFDVTIGSIPAGRIKMELFADIAPKTAENFR >Sspon.08G0015230-1P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8A:61060706:61061062:1 gene:Sspon.08G0015230-1P transcript:Sspon.08G0015230-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADNNRRNRGLTLVAGAGLGVLTVNSGLAMYRARGHAASVLFVAGSYLTLLLLFVCLRAYERAAPGSPARARARRAVWPLTTLLTVAFAWKVAAVMPSAVAAAVVWGLAIATTVGGFVA >Sspon.07G0000690-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:932009:935955:1 gene:Sspon.07G0000690-2B transcript:Sspon.07G0000690-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VIIEGFKSYREEISTEPFSPKVNLVQMDLANQISFMEGAGHSVVSAFVEIVFDNSDNRIPVDKEEVRLRRTVASKKDEYYLDGKHIASLTLMKDSERLDLLKEIGGTRVYEDRRKESLKIMTETANKRKQIDQVVHYLEERLRELDEEKEELKKYQQLDKQKRSLEYTILDHELNDARNELASMDDNRRKISESMSLADNEAVDVREMIKSFDKEIKVSTKGINDTKAQKEGVEKRRTEALKVVAQIELDLRDIKDRIVNEKRAKDEAARDLQSVRRESEKSKSELAEISKVHQTKLKEEEEISKSIMDREKRLSILYQKQGRATQFANKAARDKWLQKEIEDLKPVLLSNRKQEGLLQEEIQKLKDEINDLTNYIESRKNESSKLEETLAKRHNDYNDLRKQRDVLQEERKSYWTEESEVTAELDRLQIDLVKAKKSLDHATPG >Sspon.01G0011140-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:30722185:30724044:1 gene:Sspon.01G0011140-1A transcript:Sspon.01G0011140-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLAIQEKGNVDDYDIYAPICHDASNPSKSSDSLVFGDPCTNHYVSSYLNRPEVQRALHANTTGLGYPWMDCSQHVYDNWNWKDSPETMLPSIKKLISSGTRIWLYSGDMDAVCSFISTQYVLDNLGLPIEASWRPWRIDNEVAGYVIGYKGLVFATVRGAGHMVPYYQPRRALALFSSFLEGKLPPH >Sspon.03G0043780-2D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3D:50844580:50845335:-1 gene:Sspon.03G0043780-2D transcript:Sspon.03G0043780-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTPFATSGVSAATTLPASTVHSEISESSGAPLTLEALASVVNRMGANIDVLSRNMAAMQAALASLLPPPPAPAIQSYLGIPPLQPTSWLLPQSVVASLPPVFPYGMPGFGTTLLPFQDVQPTVQPTLQQIEQAIDITAEPARKMLTCKVSAAVRLQAAARGLLARRRLQEMRQPMHEATLATVDLSSAKRDLAPWDGHQQPRRPTAVFRREHGVFPARNDLQLCGLLVTGGDALPSATAFHRRPPRGRLR >Sspon.03G0007540-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3A:20323296:20324804:-1 gene:Sspon.03G0007540-1A transcript:Sspon.03G0007540-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding METLVSAVLADLVGRAISFTVDRCCHRRRKGRTEDTPQRLHRVLLRVQTVVEEADRRCVTNQAMLRQLQLMREGVYRGYYLLSAFKRQGVVQDKAQDRELSRHGHSSFALSQFNPAKRLCTLSARTRTTNTASEDTRREGEAELQEVLTVLERMASDMKELIVFLSCYPPTRREPHSEHLWLENRMFGREAEQERIISFLLEPEPPRAEYLGVLPVIGRARVGKSTLVEHVCLDERVRNHFSLIVFLSEGDTKDGKQSPHLGDNCIIKHQDLGSAGKSLVVIELLGDVDEHTWWRRTLPALRGRRTTPVSKTIVTSRSEKIAGFGTAQALELKPLPREAYWYFFKTIAFGSTTDAMDQPELVSICMEMADLLNRSFIAANLFGDYLRANPCPQFWQRVLKGIRHYTSMHLLLFGEHQSDLLANDRPVYLWRLPKTDAMLIAYNCYQACSSAQQHDLPKITLKEVQIGSTRPRGNFQVLAWRSNIPPYYSYLLNCGVQASSSLL >Sspon.01G0032740-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:112187878:112190760:-1 gene:Sspon.01G0032740-1P transcript:Sspon.01G0032740-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHQGVPKFGSWEDEGDHLYTQYFENARKGKSPGRSASQNDHSGDPEALSKDSPSAKASPLSTGSDPVVRKPKDERHANREDDLRRHEAPARRPYAESATHKHGVNTSYDSAARKTGTERSPLHPHHQARVVNKGGVSSPSWERRGSSEGHRGAAPTTPGRSKMRPSGRGDETPERGSTVPKFGEWDEKDPSTGEGFTDIFNKVREEKQSGDAPVITSDTGLLVLQLVQKLMLPCRVAAHASVGSETDRYP >Sspon.06G0034490-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:46375486:46379408:1 gene:Sspon.06G0034490-1D transcript:Sspon.06G0034490-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding TMAPISEIYCTSTPGRYMWRDHGRMTGLRCLCTGGGGAEAPCQRRGLAKVLPAWEAASERSKHWGCRRGEVVEVPAIGLEDLARRWRIRLRSGMLCGVELIEGKIDLPQYTTPISTINRIPCSGAAMDMPPPRLQFTEEHVPLALGPTTDTKMHLHRTEHAETTLAMEIASKAAVFDDVGEARRKRGRQRSCMMEGEGPGKYRRWMTRKGAEMACQDTTTHIAIDRLTGLGANSLLLPTSSPPSNSRTYDPADAEPLQAGHAFNFPAVLSDPSAMGSLDTNPTAFSAFGDDATAFQPLNPDDVRSYLHKAVDFISDYYKSVESLPVLPELRSAPPTSSAPFDVTMKELRASVVPGMTHWASPNFFAFFPSTNSAAAIAGDLIASAMNTVGFTWQAAPAATEMEVLALDWLAQLLRLPSSFMNRTGAAGRGTGGGVILGTTSEAMLVTLVAARDAALRRSGSQGVSGLPRLAVYAADQTHSTFFKACRLAGFDPANIRSIPTGPETDYALDPAKLLEIMQADVDAGLVPTYICATVGTTSSNAVDPVGAIADVAAMFNAWVHVDAAYAGSACICPEFRHHLDGVERVDSLSMSPHKWLLTCLDCTCLWVRDTHRLTDSLETNPEYLKNDASESGNVTDLKDMQVGVGRRFRGLKLWMVMRTYGAAKLQEHRGDEYG >Sspon.05G0034380-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:54601287:54602593:1 gene:Sspon.05G0034380-1C transcript:Sspon.05G0034380-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LRDRPCRPLRSSRPPPLPPPLLAAMSVAAVSADGLLALADEAERRYDFAAAAACLESALRPPHAAALLPLTEARARLRLASLLLAPRGSSRAPRAGAPAAAKAHLERALLILSPLPSAPPRLKLLAHSHLAGAYAVLGAIASQKHVLRRGLGLLDSASASGLLQREPALLWTCNFQAQLASALTIDGDAASALSTLSVGASAAAELGSPQLELFFAASELHVHLLCWEDSAAVENAVTRTSLLWDALAAEQMEHWVGLFFYTQLLQTFYLLRICDYKAASQRVERLDTAVKSEMQRGRQIKELANDLSTVERTLGQSGLKERERSALSHKQRQLKAQLQALCGYDSLNNVLDYGDKLLLAPPLMHGEWLPRTAVFVLVDLMVVMVGRPKGIFKECGKRINSGLRLIH >Sspon.06G0001300-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6A:4260574:4261976:-1 gene:Sspon.06G0001300-1A transcript:Sspon.06G0001300-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLISLAVAATAAALPRPSGATAAPPVADGPWRSCTTSCGNISIAYPFGVEPGCYRSGFDVICDRSIQPPKLFLGDGATEVTAIFIPNGTVRISSGYVNISDLVLRSAGTSWGAGLRPGGPYFLSEERNKLVVVACNMQVHLLGTNANGGGIVSACSALCPSVDDGGAGAPAQRYVYYNGGCSGVGCCQATVPVGYATYAVRVQKLNGTATRRTNIFYIAERGVNYTLNRTTAKHAPPPALPAVLDWVIGDANSTCPVDAPAPECRSSQSYCQNSTAEAHRGYICRCSAGYEGNPYITDGCQVSTSVGPTNLSTYFFPHPPLSLLRRVLSSQQCRLEQEPSAATAAVHESGEARSGRHRLSPARPCTGAAAPALARLI >Sspon.06G0017320-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:94056315:94058481:1 gene:Sspon.06G0017320-1A transcript:Sspon.06G0017320-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VTLLAFRLPPLFLLLHHLLLAAAVAADNANVTEAGAAAKAALVNLKKSFTDPTGRLEAWSATSPFPPCDAASPWPGVQCYKGSLVGIRLTHMNLSGTFDFGAIAKLPRLHSVNLKHNALSGPLPASLGALSGLRALYLSSNNFSGPIPADVFANMRWLKKLYLDNNRITGPLPAEAIASAPRLIELHLDRNQIDGPIPFRLPESLKRFNVSHNRLSGFIPQSVAERYEASSFAGNPGLCGSPGSDAAVCVAAGPALPPAMPPPTAADYMAMEEETSVFVVIGIILLVILLVTGAMVLMLRQDERNSAAPAWNYYAGSTTAAGAGAGAGAGSSKSAAAAESSTAPPRTGEMVAVDVAGGGSSSHGGSSAAGGGRRMGEFVLLNEDIPAFGLPDLMKASAEVLGNGTLGSAYKAAMRNGVTVAVKRLRDMNRVGREEFEQHVHMLGDLHHPNVLPPVGYHYRKEEKLIVCEYMPRGSLLYILHGDQSPNRLILDWQGRLRVAVGVVRGLAFLHERLGIPAGRLVSMDGADFDAPPPPPPHGNLKSGNILLDAGMEPRLGGEAELVDPAIAAAGGDAAVRLLRVGVHCASPEPECRPSVAEAAWMVEEIGSGRGGAS >Sspon.05G0039230-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:66459536:66461798:-1 gene:Sspon.05G0039230-1D transcript:Sspon.05G0039230-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLRVSPSPAAAAASQLSGAATTPAPVPVRVAAPRGGVSPSAAAACRAAGKGKEVLSGVVFQPFEEIKGELALVPQTPDKSLARQKFVDDCEAALNEQINVEYNASYAYHSLFAYFDRDNVALKGFAKVRLQSIVTPLTEFDHPEKGDALYAMELALALEKLVNEKLHNLHGVATRCNDPQLTDFIESEFLEEQVEAINKISKYVAQLRRVGKGHGVWHFDQMLLEEEA >Sspon.02G0049640-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:41440522:41458599:-1 gene:Sspon.02G0049640-1C transcript:Sspon.02G0049640-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSQHSYRTRAMLKTANEVVYFEASDKGCDMMKICQQCTGAEQAPKVIGPGFATVK >Sspon.01G0001500-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:4480933:4484427:1 gene:Sspon.01G0001500-1A transcript:Sspon.01G0001500-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPATPRTSLILPRASSHSHSQPSAVGLTSDRVAASRRRRGDFVFVVNPSGANGRTGKQWKQLLPLLRTRLADQCNICECITSGPSHAIDVTREAIKDGADAVIAVGGDGTLHEVVNGFFWKGSPVRALDRGPDHSTALGLIPLGTGSDFARTFGWTNDPRDAIDRIVRGAKSKLDIGVMEGPNREPHFFVNVADIHLSAKAGYFASMYKRFGNLCYVFGALRGFWGHNNQDMRIKVNGGEWRTVHKVTALCIGNAKYFGGGMKITPTADPFSGNLEVVILQDFKWYDFLLKLHRLYGGTHLSVNGVSSMRVQSIEVAEVTASGGIFVQSDGEHFGFLPTKFSVLPGAVDFFC >Sspon.08G0020070-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:16988764:16995102:1 gene:Sspon.08G0020070-3D transcript:Sspon.08G0020070-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAETAKPAAGAGAIRIETVPDALVERLKKSPFKRPLPRRKSFLERCNPELAELRAPPTPFPAEGVADAAFFIGCRRRHFPHRAPLLPAEDFPFEDMLRLSPQPSEYHIFYDMDGFLDKEGRKVSASHDTSNYYPPSSESASLSHTAFGAQVGGGSMHEGHCHYGPGHQGAMGSFSQLLMHADAGILWHGHPASLWNHTDLKASTDDELKCLHDSTEDDMCEVGDCEEGYQAGTESEQENGEAVVSNGEVAFNPINETYNSISDFVTTFSDTIGREDFLRGRGFDDDDFVRGIDDTELNGFELSNGENSDIQFQDEVPDEASKYGDDIENIPANVNLEDELPEEDGHHELNKASAAEESETDGYACPSTRGDCGCAKQEIAQEISERYRTNKNMKGTDCRKPRDMGAVEIAVRTASHRKGEHIFEPILGMVFDSKEEGYEFYNMYSWEYGFGIIYNKNRPSSSKDPESRTMQEFCCDKVGKDRRVSSTTKKVNCKARIKLLRDENGGWYVSEFVKDHNHPLAESCSEKRNLFSHKRLDPYTLGVIRYLRESSSLAQFGLQSAGLRLQHSSSSRKEEREGSWKGGRSREGRRVRDLQ >Sspon.08G0002990-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:6438646:6439125:1 gene:Sspon.08G0002990-4D transcript:Sspon.08G0002990-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding TAMAAAAPGRPLTVDFEALSYISSLVEAFQAFDSDSDGLVTAPELRGLLASLGLDKSEAEARDMLARADADRDGRLSVEELLDVMNAGELGLGALGAMLQSALPQLEAAGAALVGADELARVLGTLGDASPEDCAAIVECLDGDGDGAITIEEFRLMADL >Sspon.01G0020190-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1A:74789162:74791921:1 gene:Sspon.01G0020190-1A transcript:Sspon.01G0020190-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha-galactosidase 1 [Source:Projected from Arabidopsis thaliana (AT5G08380) UniProtKB/Swiss-Prot;Acc:Q9FT97] GGGGGSSPARLALLVVVVAAAAAVVACGGKVVHVEEAHRRSMLANGLGSAPPMGWNSWNHFQCDGNGEVVIRETDDCWAEPQRDAKGNLAANTKTFPHGIKAVADYVHSKGLKLGIYSDAGFQTCAKAQPGSLGHEEQDAKTFAAWGVDYLKYDNCNNGDLKPLERYPEMSRALMKVGRPIYFSLCEWYVSDSCCLSPCRGSIARGDMQPAKWGAAYGNSWRTTNDIADTWDSMIATADQNEVWAEYARPGGWNDPDMLEVGNGGMTNSEYVVHFSLWAISKAPLIIGCDVRHMSQETYDIVANKEVIAVNQDPLGVQGKKVRMEGSSEIWAAPLSEYRTAVVLLNRHAKDEATITAHWDDIGLPAGTPVEARDLWLHETVDATFTDKMSFDVAPHSCRMLVLKPSIHIR >Sspon.01G0031780-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:108499143:108502390:-1 gene:Sspon.01G0031780-1A transcript:Sspon.01G0031780-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGPLLRLLSACGGVWPTSPAPGAAASSGDDSEGRDGLLWWRDLARCHAGDVSVAVAQANQVLEDQCRLDSAPPLGTVVSVFDGHGGPDAARFACDHLVPNLREAFSGPRGVTADAIREAFLATEEGFLALVSSLWEAQPDIATAGTCCLVGVVHNRTLFVANLGDSRAVLGKKVGRAGQIAAEQLCSEHNASQEAVRQELKAQHPDDAQIVALKHGVWRVRGLIQVSRSIGDVYLKDAKYNTERIKPKFRLSESFSKPLLSADPAIISRNLEPNDCFIIFASDGLWEHLSNQEAVEIVHNHQHAGSARRLIKAALQEAARKREMRYSDLTKIDKKVRRHFHDDITVIVLFINHDLLLKGAPQGQPLSIRCALDY >Sspon.04G0015390-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:63797720:63801828:1 gene:Sspon.04G0015390-2C transcript:Sspon.04G0015390-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SMDVVQVLASATELVSAMVSAVRALEQAASDLAEAPRRLQVLEDFVSDLDALMEQSRQRHAHKLHGPQLDRQFQSLGRLMDQLRGNVAKARKVLSGNGKGKGKGFARLVRSSVVGDPLMRYVRLIRDDLNQWLELQELTQSVGKVIASTARSTPALVRVKSEHGYPVSKKCSYVRELLERNGAHRVVLIVGLSGIGKSCLARQLASDPPFSFVDGAIEIGFGRWCSRAACNGSRSEYHKRLARKICTFLVQIGSMTVKEEVGKDLDDVCCLLQTALVGRSMLILLDDVWEQDIVDRFTRLYDNDCRYLVTTRDEAIYEIAEAEKVEISKDDIKEISREILLYHSLLSVGELQPAAEVLLDRCGHHPLTVAVMGKALRKETRVEKWEKAISNLSTYATCAPGPVSYVNEKDAETTLTIFGSFEFSLEAMPENSRSFFMALAAISWEEPVPEACLESIWSALEQGGLFSLVVSKLVEGSLIIKLEDQPLYHMHDMVSLYLENKTNDVARALLSESISYYAALVAPWLFVFGKECMKRPAEQKMGSFFSLLEFTEIEILLVNTTQALMACRSLSEFEASRLGFSKILGPRIAEIISVGSPDLIFAVTTAIIVIFFQADYINLARSLETAGSIDKLIDLLGACEDASTLANLSSVLAKISEHVDATIADEILSRIPMDRIADLLSVENEQWHEIVFTTLASLTKVGKLKAVETMIESGIDKKLLVLLGNGSEISQHHAIITLKTFCELGAPLQGCMGPAVLLHLPWHARISLERFVLFDKNVSQSPKPQQTFEVILHKILQRDNKDIIEAIQGLLSLAERANDTRVQDLLLGSNLFDRLALLLQRREVENNQVRSQTAFLVMKLACTGGEPYVHRFLELNIVHELIDMLQCNIDELQDSAYYALHQIVFAKGGSLVLQRFLQLGTIEKLVNLLDRKSLKTKDLAMQFLVDIVEVGTKPCIERMLASQVVEKLVALEKGGDPFGGAVSRYIQGLNMCKKLQTAERAVMKQHILRKVRSAVRGHKLEASLVASVEASIAEGSRGASSSRKKK >Sspon.01G0033190-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1A:112077900:112078641:1 gene:Sspon.01G0033190-1A transcript:Sspon.01G0033190-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQPSGERARAGTARYTGSCLGRTLGTRAGPAQPQFVERPACHLRSWLRLWTPYAGAPPAQAAPAPPLRCSDASASASSLCGRANSSYFASSTESAHLLRQLHRRVLPGVSLSAAAATSRPSLPLLSVLIWWASGQSGLLKRPCFLVQPGTEKGPTGRAWAVGQA >Sspon.08G0016610-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:61813699:61816753:-1 gene:Sspon.08G0016610-3D transcript:Sspon.08G0016610-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ISI1 [Source:Projected from Arabidopsis thaliana (AT4G27750) UniProtKB/TrEMBL;Acc:A0A178URL9] MSRKEAEPAGQDGSSSSAADGAGGSGSGRELADALARRRLYREVTLALRSGLRDAKADFSFLRARGLRSLLGFLRSTASEADDARLLLFRHSQSIPDLQVIPVLFQNSLHQPKQDPVVTLDHIFGTEPMKITSPPTDSEIALALRVLEGCCLLYSRCTALAHKYKAVKVLLNILASRGPAEQGVCLDTLISLMLDSPSNQMDFEEYSGLEKVAELLKDVQVEKHIRQENSPIHEQMKNLFGEQCASLIWAASRFGSTLDAEQRQTTLQIQAMRVVESLEPY >Sspon.04G0031690-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:15803069:15806939:-1 gene:Sspon.04G0031690-1C transcript:Sspon.04G0031690-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LPRPARGRKRSRRVREGERGRRDSGMGKGGELWDDSALVDAFDRAMSTFKEMHCKSKRATPNEDGKTEDASATPTTAEEEAPMTAGAADGCREEDGNCDNTPCGQSETQQQPSEERQTVEQAPLRETDPCKETHVSEAKTLSTDAATTDADGNLSSSQQNGEYNELLRQYYELEEKSRNILEQLQQANYWNYQAPGYASTTQQQQIPVYSATAPDPHSSTTQSSCCNWNVPLVSVSCCSGGQPSGGSACMPPTAGCSVSLTCDQCPGASTAYPSVSSFMQAPTKVSTNDDQVAKAAMMTAEGAFNFMKSTISGLPGTQRNESDTGKEESTNMGMNPNLDSDLAALLNSWYAAGFYTCRYLMQQSTKNSRP >Sspon.05G0018620-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:79400187:79407538:1 gene:Sspon.05G0018620-1A transcript:Sspon.05G0018620-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MCDPLNHTRNGSCIGRFSILHSKHVVGNDKRRGASVLGVPDEIKKMDNKLGDLKRFITDADKRNISDESVQSWVKELRNAMYDATNILDLCQLKAMEHGPSWDMGCFNPLLFCVRNPLHAHDIGNRIKNLNKRLDDIEKRSKAFSFVNLASYADGGRQKAESSHCARRETTGGDELCVVGEKIEEDTRNLVELLTRKEKTIHEHNKILVFAIVGVGGIGKTTLAKKIFNNDTMNQVFKKRIWLSVNQEFSDVNLLERAITEARGDHQAARNTKAALERTLKKALAGCKTLLVMDDVWDHEVWEKVLAPPLINSVAGGSRVLVTTRHDTVARGIMAEVNKLEPEDAWCLLKKQVVRSGNNDEPKVDTLKDIGMGIIAKCDGLPLAIKVMGGLLRQKKARLSDWRSVLKDSIWSVQMPEELNYAVYLSYQDLHPNLKPCFLHYALLPKGTVFWETLIVAMWISEGFVHGTSDDLEVLGKEYYYQLIARNLIEPDLRYMDQAVCNMHDVVRSFAQYVARHEALTISHKSEAGLTDKLSSPNVIRLSLESKERESNKIGWSSLQAHISLRTLILVGQIKINPCDSLLPFSCLRILHIQDGNFDALCESLAKLKHLRYLSIGGTDTSKLPENIAKMKLLQCISLYNCKNLVKLPCGIGKLQQLRVLVLNGTSINSIPRGFNGLTNLRKLYGFPANVDDCSCSLEELGPLSHLIELSISGLKKVSSPSFATKARLGEKVCLSYLSLNCTSRQGDDHWLVKEEEQQQIKKVFDELCPPPCLEHLVIKGYFSQQLPKWMMPTAVATLGNLRILMIKHMPYCWELSEGLWQLPNLEFLQIERAPAIKRVGPEFLLRQQPEHPSARVNLGSDLKIKVIGCRGLEKISHLPKLQNLEIHSCPNLKLLEGLPTLQRLWIEDYDMETLPGYLQDVNPRLLELDCNVSLLTSIATGISSPEWDKINHVKQVKAYANDDEINIKRKWHVRYTSGPFTFKTNIIPPSADPSGK >Sspon.03G0004320-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:29230848:29233496:-1 gene:Sspon.03G0004320-3C transcript:Sspon.03G0004320-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MCNSNVKSAGVAQIDGRPVLQPAGNRVAAAPDAARPLKKSLQKSLSMPASYDNNSNATAAAARPAPPENTRAAAAAASLLPPTTPASVTARAAKAVGAAEKSRSTKASRKPGAVLPVVTFAALEAFEPAAAGSIAAAQREHAAQAQAQRKMRIAHYGRTASFSRVEGRVGATATATAAEPAVPASPTGHDEKRCSFITPYSDPLYVAYHDEEWGVPVHDDELLFEMLTLSGVQVGADWTSILKKRHVYWEAFSGFNVDAVAKYTEKQMASLSADYGLDLGTVRGTVNNACRILEVRRDFGSLDRYVWAFVNNKPLSPSYKYSRKIPVKTSKSESISKDMVRRGFRFVGPTVIHSFMQAVGLTNDHLVSCPRHRVCSSAAARVN >Sspon.02G0015690-4D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2D:36915734:36922324:1 gene:Sspon.02G0015690-4D transcript:Sspon.02G0015690-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding PFARFLQENGI >Sspon.01G0001330-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:3004618:3007172:1 gene:Sspon.01G0001330-2B transcript:Sspon.01G0001330-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGIARGRLAEERKAWRKNHPHVSAPRFSLRPALIPLCCRRLAGLSDLFSSLLFAVVLPQGFVAKPETLPDGTVNLMIWHCTIPGKQGTDWEGGYFPLTLHFSEDYPSKPPKCKFPQGFFHPNVYPSGTVCLSILNEDSGWRPAITVKQILVGIQDLLDQPNPADPAQTDGYHLFIQDPTEYKRRVRLQAKQYPALV >Sspon.02G0029010-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2A:105199724:105199987:1 gene:Sspon.02G0029010-1A transcript:Sspon.02G0029010-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPNIDLSSASTTRAQPSPRCWSEESSGGPCGMRRLISGSDHCAQLPLPAPRRRPETDGPTATGAAAAPETRNNPTPNHLYTTPPTA >Sspon.06G0002310-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:4660971:4668951:-1 gene:Sspon.06G0002310-4D transcript:Sspon.06G0002310-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFHDVRTKSSVIHVFPFNSEKKRGAVAVQSDDGVHIHWKGAAEIVLSSCKSWLSVDSSVQSMSAEKHNEFKKSIEDMAANSLRCVAFAYCSFDIAKIPEEDIASWELPEDDLTLLGIIGIKVRMVTGDNIETAKAIALECGILDANSVISEPVVIEGKVFREMSESARGDAADKIIVMGRSSPNDKLLLVQALKRKGHVVAVTGDGTNDAPALHEADIGLSMGISGTEVAKESSDIIILDDDFTSVVKVVRWGRSVYANIQKFIQFQLTVNVAALVINVVAAVSSGDVPLNAVE >Sspon.01G0023440-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:82906894:82912777:1 gene:Sspon.01G0023440-2C transcript:Sspon.01G0023440-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSGVDARPGGLLPLPGASPAPCSGRRIPWPRSGLWAALRQPNSPHGRLRFGPLPAGDLCRRAHREKLRVAVLASKSTLQSEHGVKAAGFQISADELASIVENRDAEKLTVLGQLDGIADKLATSLTDGITTDVSSLNQRQDMYGVNKFTESEVRSLWEFVWEALQDTTLVILIACALVSFVVGVATEGWPSGAHDGIGIFTSILLVVSVTATSNYQQSLQFRDLDKEKRKISIQVTRDGFRQRILIDDLLPGDVVHLAVGDQVPADGLFISGYSVLINESSLTGESEPVVINEHNPFLLSGTKVLDGSCKILVTAVGMRTQWGKLMAAVTESGDDETPLQGKLNGVANTIGNIGLFFALLTFVILSQGLVAQKYSDGLLLSWSGEDVLEILEHFAIAVTIVVVAVPEGLPLAVTLSLAFAMKKMMNEKALVRQLAACETMGSATVICSDKTGTLTTNRMSVMKACICGNTLEVTSPPVLSSFSSKLPEFALQILLESIFNNTAGEVVINQDGNCQILGTPTEAALLDFALSIGGDLKEKWQEAKIVKVEPFNSTKKRMNIILELPSGGYRAHCKGASEVVLAACDKFIDARGTIVALDKAATKKLSDIIETFSKEALRTLCLAYREMDDSFSINEQIPLQGYTCIGIVGIKDPLRPGVRQSVATCRSAGIAVRMVTGDNINTAMAIARECGILTEDGIAIEGAEFREKNPKELLELIPKMQVLARSSPLDKHTLVKHLRTTFNEVVAVTGDGTNDEPALREADIGLAMGIAGTEVAKESADVVILDDNFSTILPNGDAPFMSTSKRDAPLTAVQLLWVNMIMDTLGALALATEPPDDNLMKKSPVGRAGKFITKVMWRNIVGQSIFQFVVIWYLQTQGKYLFGLEGSEADTVLNTIIFNTFVFCQLKRHGGDQCYQGLATNSIFMCILAGTITFQFILVQYLGDFANTTPLTQLQWLVSILFGLLGMPIAAAIKLIPLLPRIVIINKLISDIPINLKEILTKKVTGKLQLFQC >Sspon.07G0023680-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7B:18408840:18409598:1 gene:Sspon.07G0023680-1B transcript:Sspon.07G0023680-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRALNVGSKHCLTALRRASFPLPSTTTIHRFHSRLPHHQGTHPHVQPAPHTYSTPTSGRVTCACHQIDSYDDSARPIPLRGRVASCRLPRRLGSMLLGHRPYPRVTGTARQTGQRRAPEY >Sspon.03G0034530-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3C:71559495:71560128:-1 gene:Sspon.03G0034530-2C transcript:Sspon.03G0034530-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCGERCARHEWRHEREERTTHDARSEVQGSRDRASKMKEGTANCVDILIAIILPPLGVFLKFGCKVRNYPSS >Sspon.02G0030460-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2A:110929783:110930160:1 gene:Sspon.02G0030460-1A transcript:Sspon.02G0030460-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKAGRHGISRRYCSACRRRCRIQGRHGCSRHHHGCSRVMGQLKHGRPEGKHRSCWKWHGNRRPRRHALRLHDGIHWRRRQADGHRLHLVLQRFHVMDGLVQRRGLVGLKMLSIISRVIRNQENS >Sspon.01G0016410-3D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:52030396:52031116:1 gene:Sspon.01G0016410-3D transcript:Sspon.01G0016410-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VISEMTDGGVDYSFECTGINDVLREAFLSTHDGWGLTVMLGIHSTPKMVPLHPMELYGRRIIGCVFGDFKGKSQLPDLVDKCVNGEVNINFDGFITHKMPFSDINKAFQLLEEGKSLRCLLNL >Sspon.01G0053100-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:31918207:31923778:-1 gene:Sspon.01G0053100-1C transcript:Sspon.01G0053100-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLRDGDSNGSGGLRPAENKRWCAVTGGRGFMARHLVAALLRSGEWHVRVTDLAPDVMLGLGDTEDVLDDALRDGRAVYVSADVCNLDQLIEAFEGVEVVFHTAAADPSKNNLQLHYKVNVEGTKNVVYACMTCKVKRLIHTSSIAVVFDGFPDAYGQTKAEAEKLVMKANGINDLLTCCIRPGSIFGPGDIVILPTLDQCGKTHFIFGDGKNCDDFVYVENVVHGHICAEKTLSTMEGAKISGGKAYFITNMEPMNMWDFLYLLQEELGYKRLFKIRIPLLVIKAVSYLVEWGYKILHHYGMCQPQVLTPARIKYLTVHRTFSCNKAAEELGYKPIVTLMIIMVV >Sspon.06G0027090-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:82779516:82779915:1 gene:Sspon.06G0027090-1B transcript:Sspon.06G0027090-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLYPKEDREKKVLLYACRNCEHQEVADSNCVYRNVVDHDAGEFTQVLFEDVASDPTLPRTKSARCAACGHGEAVFFQVRATTRGEDGMTLFFVCCNPSCGHRWRD >Sspon.01G0000320-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:1258183:1260108:-1 gene:Sspon.01G0000320-1P transcript:Sspon.01G0000320-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPSPKPPTPRGRGHRQHPPSSTFTFTRRLHVARCFPDPPPPTPNPVLSLLSAVPDWADAIQERRVRDRRPLYDHAAWREHRSSRRHLRHLLTSLSSRAILSLAPPVSAFTAFAAAIATYNTLLPAYALTASSLPYQLTAPALALLLVFRTEASYARFDEGRKAWMRVLAGTADLAGIVMHLHTRPGPGPGPAADDRALINYILAFPVALKCHIIFDSDVKGDLQGLLAEDDLNVVLASKHRPRCIIEFISQSLQMLDLDEQKRSIMESKLSIFLEGIGVCEQLMGIPIPLSYTRLTSRFLVLWHLTLPVILWEECKWIVVPATFISAASLFCIEEVGVLIEEPFPMIALDALCKQLHDGIKDVMAVQNSVHSRLVAKTTKDHRGSRCENNGWPSSKREASKID >Sspon.06G0018230-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:81305735:81309090:1 gene:Sspon.06G0018230-2B transcript:Sspon.06G0018230-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGIEGLLARDFGVRPQGKAGPMAGASSRSTGSGASAAAWASYGRSTPAPSAAPSYDDLFGSPAPAPAPASTASFDSLFDSFNGPTTKPAAPRYDDGVFGSSAAPAYDDVFATSARSAAPPPPAYDDDLLAGFGSAPPPRAEERRRPVAVDDDDGDDLLGALGRSPAEEKRKPVPTREDRMGSAGFDDLIPGFAGSSSPPRSRKSNDDNKMKPPVPTSKQTASMADDPFVVLETASASGSSYTSPGRSTDPVEDLDKSARFDGKAANNIADDDSLFEESSAFDQAPKSDPLFTSELNGHAKDTNPPSVARDSSPLHHSMDRNPARQSSMEDFSNVMPKSQSARYSDIRGNDMEDQSPRSTESEDDIWLTVSEIPLFTAPTTAPPPSRSPPLLKRKPLGANANGKENGHARQSSQNHNYYMDLPKQPEVSSIDDLEGFAMGKPQMPAYDNNAFDEDFERSSSDPEEKDRQGRLEKEREMKQKEEMERERRRLEKERELEQQRGRQAVERATKEARERAAAEARAKAEREARQRAQRAYVQRAQQEARERAAVEAKERAARVAAEARERAAAEAREKAAAEAREKAAAEAKEREQTAARERAAAEKAATERAQQEARKRAERAAVERAAAEARERQAAAAAAAAAAAASREKQSTPDDLESFFGVGARANSAPKQRAPTPTVLKAQEPLMDHREQHQLRLL >Sspon.04G0019850-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:72659301:72662280:1 gene:Sspon.04G0019850-2B transcript:Sspon.04G0019850-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTAPPRPGPAAAAAAVHLADHLESATFAPPPPPPPPPSPTTILTAWSRLRDASTSPADALAALETLHHHRRALRLSSAHASLLLPLLPLHPRLVAPLLAAAPHLVPASASAPASLPFAPRLLLLGARASAKDLPGHASFRKPASTANNPGSGESASGHDDDPVVAVSRILEDVERGDQSIDDLDHLALTGVGYALVAADEVQFRRILDSLLRICGRTGNLATGVRVLKLMEWLVMGFIESRKMRKVQVLFELISPEKCEGEGYVLFPVVMAACGGLRALRVASARYRLDFDPLLKQAPERTIQFAAEKAVLEGRPADEQRILVQCVALGLTRCGQVAFHESVLQCVCMGLLKELLPLPDMLRMSVQNAEGNSAEFVKAQVNQHLDSVLFKEAGPVTGVLCNQYSYANDKAKSFVETCVWEYAQEIYCHLRAAVLLHRGKQVDLITVIDKIAEASFLMVVVFAAKVTKHRLNAKSSEGFQPEVAARILVAFSCVEHLRRLRLPEYTEAVRSAVLAIQENAAATALFIESMPSYTELTSKPDLPALAGTTYIWHRDEHSNEKVTSASHSVMVSFSSSGSDTDQDDRAALKEQLTFYYIKRSLEAYPGVTPFDGLASGVAALVRHLPAGSAAILFCIHSLVVKAKDLCEVAKVQNKSLWRSWEESTDPCKKMLDLLLRLIFLVDIQSFPYLLKELAEFVTLLPKEGQDALLDDMHAHVAESDDVTRKPVLVSWLQSLSYLSSQARSESHSKAKRADASVANDELALNRTMARL >Sspon.01G0026390-3P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:101512951:101514063:-1 gene:Sspon.01G0026390-3P transcript:Sspon.01G0026390-3P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLPLYQQLQLSPPSPKPDQSSSFYCYPCSPPFAAADASFHLSYQIGSAAAATPPQAVINDSPELPVQPLMEQAPVPATELSACASGAQGAGISVSLDRAAAAAAARKDRHSKICTASGMRDRRMRLSLDVARKFFALQDMLGFDKASKTVQWLLNKSKAAIQEIMAEADDVDASSECVEDGSSSLSVDGKHNPAEQLGGGDQKPKGNGRSEGKKPAKSRKAATTPKPPRKSGNNAHPVPDKETRAKARERARERTKEKHRMRWVKLASAIDVEAVAASVARDRPSSNHLNHHSSSSMNMPRAAAAELEERERCSSALNNRGRMQEITGPSDVVLGFGNGGYGGGGSGNYYCQEQWELGGVVFQQNSRFY >Sspon.04G0026290-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:30253409:30257632:1 gene:Sspon.04G0026290-3D transcript:Sspon.04G0026290-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASCSSFYFVPLLLLLMLLLASPPATAALASSESSGNATTGTLRPGKELLKHKRIRALLTKLNKPALKTIQSPDGDIIDCVPSHLQPAFDHPKLRGQKIMDPPERPKNCNVTLGSNSGSSRVGEVVVQAWHATGEACPEGTVPIRRTTEKDLLRASSLRRYGRKPVRRGVRRDSTSSGHEHAVGYVNSEHYYGAKASVNVWSPRIGDPSEFSLSQIWVISGSFGNDLNTIEAGWQVSPELYGDSNPRFFTYWTTDAYQETGCYNHNCRGFVQTTNKIAVGAAITPESDPKHGHWWLELGPGLVVGYWPSYLFTHLARHANMVQFGGEVVNTRPSGSHTATQMGSGHFPREGFDRAAYFRNLQVVDWDNNLIPAANLELLADHPGCYDIQGGSNSYWGSYFYYGGPGRNVKFLPINGMFQSERDLVGGFKLKISSAVSS >Sspon.07G0034670-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7C:77952388:77953794:1 gene:Sspon.07G0034670-1C transcript:Sspon.07G0034670-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding EKLMICHNACKRKIRTLFGL >Sspon.01G0012810-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:34210944:34213217:-1 gene:Sspon.01G0012810-3C transcript:Sspon.01G0012810-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNSSRELNCRDESGNNLEDLHLLDPCVERGDSVPEAQEAMLLAFVHAVQKLGLDTKHGIDEPPIGDLVKLRRYEVILPDNLRPDGFLSGHSLLEPEPVLLPFVASPFHPEVIGEYRPQLLPLEEIPVAAIEGLVLGEGIDGGPDLVLGDQVGVGGVAEALPGDPGAGPPERGALLPADGGVHAEAADEVHGAARGVAEDGGGAVHGPADGAVAAGDLVEEGVLHVVVEVGAVEARHVLGEGRVRGFDLADGLEGPDVVLAAGLEADVDERGAVGDDDGEHVAEHGGVGLPVLRLGGAAGPGDEEDVGDVGEGLEGLGDGGGVGEVEVEVGDGGGGRRGPGRERAARDGVDLPRPAGGVGEREDVEERGADDAGGSDDEGHALVGGLGGRRLLVLPLLDRADRARPPPAHRGLGWAGFGGVGGGRREGGREAGIGIREGGEEQRRGGGDEDPCPPCLAACGSRLLAHNSTTVAVTKLSVGTGMVRKLHGQLRPRVHVASACCVRLGAVCTQSDGSDDVYTG >Sspon.08G0000880-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:772321:782746:-1 gene:Sspon.08G0000880-1P transcript:Sspon.08G0000880-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKGIKTNKTEIYGRHRLHVNRQTIAHFPSPISLLPKLSPFSWSRLPPQYLYLTHSAIPQEGKNYLLISHGAAIGVRLQPPHHCLAPKAKTFLSLPAVAPSRQAPPRGGAPRSRRLTRARSRRACPLPDQAPAPAPELQAEAPTPTANPFSSSLLTAPKPFAATSDLPEGAQWWYSEFLSAVKRGKVERVRFTNDGGLLQLTAVDSRRSTVVVPNDPDLIDILATNGVDISVSEGESAGPGGFVAFVGNLLFPFLAFTGLFFLFRRAQGGPGAGPGGLDGPMDFGRSKSKFQEVPETGVTFLDVASADQAKLKLQEVVDFLKNPDKYTALGAKIPKGCLLVGPPGTGKTLLARAVIGEAGVPFFSCAASEFFELFVGVGASRVHDLFEKAKAKAPCIVFIDEIDAVGRQRGAGLGGGNDEREQTINQLLTEMDGFAGNSGVIVLAATNRPDVLDCALLRPLEVR >Sspon.03G0021120-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:77596177:77601120:1 gene:Sspon.03G0021120-1P transcript:Sspon.03G0021120-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSYAAAAAGSSSRKPNRTSNVASSTARPPAPSPSPAPAPPAVNPSVISDSDPSSYSSSSADEADLTASDSATASVVSAYLSVAGEGADLSKVGIFLSSAARRRSPPCLICFDPIRPSDPVWSCSSSCFAILHLPCIQSWAHQSASGAAVPCPTWGCPKCRFAYPKSETPSSYVCFCSKTVDPAPDPWILPHSCGDVCGRRLDANLDSGCEHTCLLLCHPGPCPPCPAVVPNARCFCGAHREPRRCAHQRYSCGGQCNKRLSCGLHRCPVDCHDGPCPPCAVRGSHKCECGETMEEKLCSQRIFQCKRECGGMLDCGKHRCERGCHGGKCGECPLRGRRTCPCGKKDYPRLECDAEAATCGSTCEKVLGCGRHRCPERCHRGPCDETCRLVITKACRCGGLKKEVPCYQELTCERKCQRLRNCGRHACKRRCCAGDCPPCSETCDRKLRCGNHKCLSPCHRGVCSPCPLMKTISCACGKTCFEVPCGTEKNQKPPKCSKKCSIPRLCRHKLECRPHKCHYGACPPCKLTCGEELSCGHTCKERCHGPISPPNPEFTLKPTKKKMGKHIEFTPGTPCPPCKEVVLVPCFGQHLGQERAMPCCKWRPFACENLCGNPLLCGNHYCTKSCHVLEVPLNQPEGDRIASISKANALAEPCEQCNLRCQRVREPPCSHPCPLPCHLSDCPSCKVLVKRPCHCGAMVHAFECVYFNNLNAKEQIKVRTCGGPCHRKLPNCPHLCSEVCHPGICPSVDQCMKKVNTRCACNTLKQEWLCQDVLKEYRKSGRDPKEVPRSQFGVGLLACGEDCKKKLKAPDSELHLRKSQENKSPAVEVVNVPKRRKRRDRGQEVKISKFQEVKTYARRVLLIILLSIIVAAGLYLLWKGIFWLSDWMNEMEEQGKTKASEGCNVVKKKREKSYFELNNRYGHMD >Sspon.01G0013570-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1A:37333853:37334383:-1 gene:Sspon.01G0013570-1A transcript:Sspon.01G0013570-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKINITGYSMGIANTGRNTEETNTDAKENNKSKPVTVATPAKDVGDEHQARTRQHRDSPTMNKDDRREALEEQELPSEELTRKKSNTTLPKTTAIVGKYTPSAGSSALPTHRRRKRPPEVRKPANPAEAAEIAVESPSRYCAGGTGRFRKSLSAEPPGGKGTKLVGLPCFLSRF >Sspon.06G0007460-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:29152628:29163900:-1 gene:Sspon.06G0007460-1A transcript:Sspon.06G0007460-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSPAPRVPDRHSPPRPPPATLPHQSGVHTSPSFSPLQGPRELSGAVDLISRYKLLNHHSFFCKKPLPLAISDTNYLNNVVGDTEIRKGEGMELDQLFQNSYSSEKTAYIQPFDMEILGQAFQLRETAPVDLPSAEKGTPTISGKSKVKSKDKVKKHKKHKEKDRDKEKEQKKHKHRHKDRSKDKDKDKDKEKKKDKSGNHDSGGDHSKKHEKKRKQEVTASSANVQNHKKTQKHKNQ >Sspon.06G0035740-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6D:83429317:83429646:1 gene:Sspon.06G0035740-1D transcript:Sspon.06G0035740-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SSPRSWRPRRWRETGGGEEEQRSTGGRPRSSPRSRDRRRSRSGHHRQLATRRWCWTRHSIYCVASAAGFSWYVLASCSHLASLSVSFLMPGCEPASGSKM >Sspon.03G0017910-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:79438766:79448238:-1 gene:Sspon.03G0017910-2B transcript:Sspon.03G0017910-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLSSPSAAAAAAASGARFGPFPSRAQRLAPYPSLARGAPASTLVLRPQPHPDGRGHGLLAHTGSSSSSRCRAVAAEVEGLNIADNVTQLIGKTPMVYLNNVVKGSVANVAAKLEIMEPCCSVKDRIGYSMINDAEEKGLITPGKSVLVEATSGNTGIGLAFIAASKGYKLILTMPSSMSMERRVLLRAFGAELVLTDAAKGMKGAVDKATEILNKTPNSYMLQQFDNPANPKVHYETTGPEIWEDSKGKVDIFIGGIGTGGTISGAGRFLKEKNPGIKVIGIEPSESNILSGGKPGPHKIQGIGAGFVPRNLDSDILDEVIEISSDEAVETAKQLAVQEGLLVGISSGAAAAAAIKVAKRPENAGKLIVVVFPSFGERYLSTALYQSIREECENMQPEP >Sspon.04G0009640-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:24243608:24284803:-1 gene:Sspon.04G0009640-2B transcript:Sspon.04G0009640-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKAYAGIMLNMAQESAARVLAAERRAAALAAGLEAAKEDGVAALVRLKAIMAARIKEKELEASVHVKKIKELEEQLHGSQNAMALLKVELQRANTELDLTRKTLADERINSLPTSNNVYSNKNTSPRSKMHQQGVSLKNKKAADNSDDTCLVPITEKENEAVENLEDMDRRSPDLPSLMERNKKPKFYHNGCTQRIHALKQQTQSTDAFLKQNQKQATALNSRSKARKNNAAKNPCHTRSIMEQILQTKFLGKFKRKRGRRSRPSYKHDNSSEHGEAEYKFSDRSESDGNGCLLLLQALEEDLSPPKMSAEHDGEAFTDLKEDLKMNRRDAELSRCTAFPELIDVLAVNSVQMKRRKRTKTVRVLEDDFFETKSVPEPANTQLRTSEKRMSGNQLVFEMTENRSDTPTRNSGPFLKCATGNLMHQTDAGNGQFDPEITSSVFLQSTKSEITDYGNLVVDQQELITPNNNTASLKEVNEDGSCSLASPKADASTFSSIDTENLKASSGLPVQALEKHDISVGSSLNKREHTKASSGASMQAEGARHIKYTFNRRKRKGMSIDSTPQRAVPEESSDLCSPTNKQKLHPDHVEQDHLIDSPQGDSQLVQVAKQVSAGGHGGGYGDDGGQEAVDFRGNPVDKSRTGGWVGAGLILGTELAERVCVMGISMNLVTYLVGELHLSNSKSANVVTNFMGTLNLLALVGGFLADAKLGRYLTIAISATITATGVSLLTVDTTVPSMRPPACANARGPRAHHECVPAGGGQLALLYAALYTIAAGAGGLKANVSGFGSDQFDARDPREERAMVFFFNRFYFCISMGSLFAVTVLVYVQDNVGRAWGYGVSAVAMLLAVAVFVAGTPRCLDKAAIVEADLSAPAGKQQVSAAAVSTVTEVEEVKMVVKLLPIWSTCILFWTVYSQMTTFSVEQATRMDRRLRPGSGAGGFAVPAGSLSVFLFISILLFTSLNERLLVPLAARLTGRPQGLTSLQRVGTGLVLSVAAMAVSALVEKKRRDASNGPGHVAISAFWLVLQFFLVGAGEAFAYVGQLEFFIREAPERMKSMSTGLFLVTLSTGFFLSSFLVFAVDAATSGAWIRNNLDRGRLDLFYWMLAVLGVANFAVFVVFARRHQYKASTLPAAVAPDGAGQKAMDDFVAVTEAVEGMD >Sspon.02G0041960-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:74664113:74666592:1 gene:Sspon.02G0041960-2D transcript:Sspon.02G0041960-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VDPKATTAVQSRLPIQARSQLPTFYHGGIHSSSLAMGAQDTGLNAHGQMSPAGNLVLVPAPAPVSGGQISGAPGNAEQYLEEDAPLSQDAIKRYEHLIWVQVAYFRVCLDPTVATSGRLLQQAMQDLKNHCACDALVAHYENNPAEADTTVTRQQSTHSAGSSQEQQHHRARGFNNVVPSVHQQETAAPVMSPTLRLMDIKGKVAASCADRKWSRFVQQAIEVATPEEIVMVYKEIMPCVRTLAVDMFGNHAIQKILEHGPKSCKREVISNLIGHMLPLSLDKYSCRVIQKAFDVGEHDQKVVMAKELSSKVLKCVRDQFANHVIQKCIECLPPKDIHFILRSFDGRAKALSTHPYGCHVIQKVLTRCKDHEIYHTLTAEIMENVNKLSADKFGNYVVQQLVEHGGHAMRSTMVRQFAGRVVSMSYHKFASNVVEKCLTFGSQEDRRLIANEIVGAGGGQHFDHLVDMMINPYANFVIQKMVVTAEEQQVALLLEVASSNATSLARYPHGRHVIDSMEKFLSAAKGSVHADPARCCR >Sspon.02G0038750-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2B:44424766:44426853:-1 gene:Sspon.02G0038750-1B transcript:Sspon.02G0038750-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEEFEKGSGPKRFEYGQLSVATNGFSEEEKLGEGGFGAVYRGFLRELDLHVAIKRVSRGSEQGRKEYASEVKIISRLRHRNLVQLIGWCHQGRELLLVYELMSNGSLDTHLYNPVVLLTWPVRFKIVLELGSALLYLHQEWEQCVVHRDVKPSNIMLDASFGAKLGDFGLARLVDHGRGSHTTNLAGTMGYMDPECLVTGRAGPESDEHQDQGRSRLVERVWGLYGRGSVLEAADERMGGEFDHGEMERVMVVGLGCAHPDPGLRPSIRQAVSMLQCEVTLPTLPEKMPTP >Sspon.02G0002140-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:6642242:6646120:1 gene:Sspon.02G0002140-2C transcript:Sspon.02G0002140-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCAQGKCCLPRHPRRGSSSAAIGGPTLDRAAVPRAGLLLEYAALAVAGLYPDSPARESQDAHLVATRFAGDPNLHLFAVFDGHGAAGAACAGFARDALPRLLLASPSLAADPAAAFREAMLGANAEMHAAPGVDDSMSGTTAVAALVAGGALHVANVGDSRAVAGVWRAGRVVAEDLSWDQTPFRADERARVKACGARVMSVEQVEGMRDPEAEGWAPDEGDPPRVWARDGLYPGTAFTRSLGDLAAEAVGVVAEPEVKSVEITPSHLFFVVASDGVFEFLSSQEVVDRVATYQDPRDACSAIAAESYKLWLEHENRTDDITIIIVHIRDMENSGPAGSDKADYGSVGASIAVHTIQSEIPVFVQSEASHMNRSAATGMQSPSSGSPTERSLSCVAPSPTHPLLIHGRISDASKPVQSEQRAASQPVQTWHQMDGGTEVEWSMQQSIPPAS >Sspon.03G0005740-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:16249329:16254551:1 gene:Sspon.03G0005740-1A transcript:Sspon.03G0005740-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKLCPNLDRDDSLDTVLEVPIPDEMLINAPGADKRRGAGGANMRAWLKNQAFDRATVDGAASATAELQLFLNVVGSPLIPCPVPHDRAFSRSIRDSSIQASTAKYIIQQYIAATGGQAALQGVRSMYAVGKVRMCASEFHLGDQTVTTAAAQGRAEVGGFVLWQKTPEVWFFELIMAGHKMSAGSDGKIAWRQSAAEHSHVSRGPPRPLRRSLQGLDPRSIANLFSDAVCIGEKVLNNEECFILKLEAGAATLRARSAPAFDIIHHTVWGYFSQRTGLLIQLEDSHLLRMKSGKGHRRSENIFWETSMESVISDYRYIDGINIAHGGHTNVTLFRYGEGSVNHKRKLEETWTVEEADFNVHGLTTDYFLPPADLKKDVEDQNKGLHVLREAASPAAHKPSSLRNRAVLFFLRTADDLNMWNGSKKKRLTTGTEELQLFAHSGGRPGDCRNPRFRTRPGGKSEEERLAGEERKRGAKYKREREKDNGVRLLGCGDQVSGALCGTSQVNPREATATGGKGALRTAEETPVWGWGWSADGIGTGCRGAGALALARSSLLPSVRPSPSLSPKESRTTGRGIPFQRETRPFRGNGVKGELSHLFRGNRMREGSWLALLPDRTDD >Sspon.05G0037910-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:27230938:27233824:1 gene:Sspon.05G0037910-1D transcript:Sspon.05G0037910-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGGEDGKQQPHLVLAHKLFLLSRSDVDDLAKVDLRADVLAAVKSDDMAALYESLAADGVLEMDAALLAEMRARIDEEIRKLDEKIADAEENLGESEVREAHLAKSLYFIRVGEKEKALEQLKVTEGKTVAVGQKMDLVFYTLQIGLFYMDFDLISKSIDKAKNLFEEGGDWERKNRLKVYEGLYCMATRNFKKAATGLTEPIKLDRYLQPHFRYYMREVRTVVYSQFLESYKSVTMEAMAAAFGVTVDFIDQELSRFIAAGKLHCKIDKVAGVLETNRPDARNAFYQATIKQGDFLLNRIQKLSRVIDL >Sspon.01G0009820-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:27659422:27663421:-1 gene:Sspon.01G0009820-2C transcript:Sspon.01G0009820-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFRSLIQDMRDEFGSISRHSLRSRSHRSAGNAGRAAAAGPSEMDQSCWSQLPPELLREVLMRIEVSESWWPARKDVVSCAGVCRTWRGIMKEAVRVPEVSGKLTFPISLKQPGPRDGTLKCFIRRNRTTQTYYLYIGLTEALADDGKFLLAARKCRKPTCTDYLISLDKVDMSKGSSTYIGKLRSNFLGTKFTVYDAHPPYDGAVVSKSRSARVVGLNQVSPRVPAGNYPVSHISYELNVLGSRGPRRMNCVMDSIPASAVEEGGKAPTQTEFPLSSLDSFPSIPFFRSKSARIDSSTSQSSSSDRLVLKNKSPRWHEQLQCWCLNFRGRVTVASVKNFQLVASDENGPGNQENDKVILQFGKIGKDLFTMDYRYPISAFQAFAICLSKAGQQWQIAGQHT >Sspon.02G0033450-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2C:8410477:8410728:1 gene:Sspon.02G0033450-2C transcript:Sspon.02G0033450-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKEPAEEVARRRPEPPLMEVCEADDVAGGRVQLVFIAGTIHFGYVAFVTGRKSPSSTSRNMIFSVKLERPHGSIEMGEKALR >Sspon.05G0013250-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:42573602:42575606:1 gene:Sspon.05G0013250-1A transcript:Sspon.05G0013250-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding CYTGARELARDARATTPNDPTNSVVGGLASGAVLGRIQGGHFGAVKYAVTFAAAGTALDYAALKLAPEWQALKEHFSEKKDWFTLPEWSPIQVLDEEALAKKKAREEKLFAQRALGKLDKEDP >Sspon.02G0034990-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2B:15535141:15540180:-1 gene:Sspon.02G0034990-1B transcript:Sspon.02G0034990-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLRLHLFVLCVIIIFLVYNMANFQHKQTSVSDRDAVKVPQKVEARIGYLPHGIVESNSDMELKPLWLTSAQSKQKSKQSDQFLIAIAAGINQKKSVDAIMKKFLPENFTAMLFHYDGNVNGWNDLPWSKSVIHIAASNQTKWWFAKRFLHPSVVSMYQYIFLWDEDLEVDNFNPRRWVEGMAPVFSKSAWQCVWHLIQNDLIHGWGIDYKFGYCAQGDRTKNIGVVDSEFIVHRGVQTLGGSTVTKGKAPGLDMRTKIRRKSRSELRDFQKRWDRAAREDRTWVDPFARSRRKRRNRNPQ >Sspon.05G0005170-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:8907115:8909119:-1 gene:Sspon.05G0005170-2C transcript:Sspon.05G0005170-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSATMPRGFTAPREPCRSLQTPRCKATGGADRRDVLLGLGGAAAAGLLRSSSSSGGGALAAPIPAPDLRNCHPPDLPDTVSDVNCCPPGAGTAIVDFTPPPRPLGLRVRTGAHLVDEEYLAKYEKAVALMKELPDDDPRSFAQQWRVHCAYCDGAFDQVGFPDLEIQIHNCWLFFPWHRFYLYFHERILGKLIGDDKFALPFWNWDAPGGMSLPAIYANKSSPLYDERRDPAHQPPVTLDLDYDGTEPTIPRAQQIDQNLMIMYRQMISGAKKKELFFGLPYHQGDQPDPGAGTVESVPHGPVHFWSGDPRQPNAEDMGNFYSAARDPIFFAHHGNIDRMWAVWNGLRPGNTNFTDPDWLDASFLFYDEEARLVRVRVRDCLDTAALGYTYQDVALPWLNAKPTTEAGSQAPAAGALPATLNQTVRVAVTRPRTSRTRQEKDAEEEVLVVEGIEVADHFSRFVKFDVFVNECQSGGGMGAAAAQCAGSVALTPHLVRPGKGGGSVKTAARFGICDLLDDIGADGDKTIVVSLVPRCAGDMVTVGGVRIEYVK >Sspon.06G0011580-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:63261224:63263390:-1 gene:Sspon.06G0011580-1A transcript:Sspon.06G0011580-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MWEQTLVAVYALGLFSLYEEKYKLGRESFGDTLPFLWVPFLLVHLGGQDSITAFSIEDNNLWLRHLLNLAIQGVLALYVIWKSFHRIHYSILVPAMLVFVSGIIKYGERVWALKTARRNGLGESVEFDSSAGLENNSKESYALQAVLLGRGLFVGRTVLQLGDDAQEKIEYDFEKKCEDREEKLKMVVMELGMMFDLLYTKANVLQRWTGVLFRCASQTLMVVAFLLFLLIEKDAHNHNRVNVAITYTLFSGAMFMEACSVAVVMASPWTRAHCWNESSFLHDLCNFATSIFKAVQCNKRHRMSSRSCTSMGMGQFNYMDYCIAMKYKPRLMSKAICAIGLDKQWRNLWYVHHIDDAGRRAVEGITIGHRPTGEWFGQRCLGRSRRLNYTLSLPFEHALYRLHIYTDLHISTHTPAPDDVTIMRLKEECEALSNYMMYLMVVHPSMLPVSMAAEDLEPELLKRVSNSIKEHEEGTTWTKLNFLDEYAGSVLGNEPDSGSPFEPEEPNYGPSQLEQSLMEIKEMWLRLLIYAAGKCRGELHARQLSEGGELITFVWLLMVHHGLGDVATELSLLTSDDPCVPQPGSTVSVGNSNWRARQQGPCYAFEFPGHQQSETAGVSRESQSLPLDHILQHLVPNVFQEGWSLLERTGLIGGVIHRCVMLYLSAQTQQDGATAAPGGASEQDEAGTSGVAGRDDDEQAPMMTAVENEGSRHA >Sspon.05G0012140-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:30305277:30306224:1 gene:Sspon.05G0012140-2B transcript:Sspon.05G0012140-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRGSRRPVSRVGRNPGQRPILPAGSATPSIKARSARRGAAVCRSWHLGFLPPLFSFLSISSQFLSSENQGLMASNYVDTVAEEGRFHGHGHGHGHGHGHHHSSTTPTGAAASPKTMRRSWSSASSAHSHGHGHGAAPKCVCAPATHAGSFKCRLHRSSSHGHPASPATSAAPPPAAAPASSRTVAAQ >Sspon.01G0021890-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:80431045:80432836:1 gene:Sspon.01G0021890-1P transcript:Sspon.01G0021890-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPAAVGAGAGQGKEYPGGLTLYVLLTCAVAATGGLIVGYDIGISGGVTSMDAFLHKFFPSVYRKEQTTRGGGSSSQYCKFDSQLLTAFTSSLYLAALVASFFVASVARSLGRKWSMFGGGVSFLAGATLNAAAQDVAMLIVGRILLGIGVSFACIPIYLSEMAPHRLRGTLNIGFQLMITVGIFSANLVNYGAAKIEGGWGWRLSLGLAAVPAAVITVGSLFLPDTPSSLIRRGYHEQARQVLSRIRGADVDVGDEYGDLVAAASEASGAVDVRRPWRDILGRRSYRPHLTMAVLFTGINVIMFYAPVLFKTIGLGGDASLMSAVITGLVNIVATFVSIATVDGLGRRKLFFQGGCQMLVCQIVIGTLIGVEFGASGDGDGAMPKNSAATVVAFICIYVAGFAWSWGPLGILVPSEIFPLEIRPAGQGISVAVSMLCNFAVAQSFLPMLCHLRFGLFYFFAGWVLVMTLFVAVFLPETKGVPVEKMGVVWRTHWFWGRLVADMDGGAGNCDSAFHKGNDIAVREP >Sspon.07G0019290-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:75369966:75372037:1 gene:Sspon.07G0019290-2B transcript:Sspon.07G0019290-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLLDLLLCVVLVFTVIPSEQASGVVVTSQGQGSSNTPRADCPGSCGNLTFDYPFGIGSNCFRSPDFSLTCDNTTQPPRLYLHDGTTEVVDDIDVSSGNTWLQISLSQAMPMIHGVSKYNMSWKAAGRAFNLFDADLNITGCDFDIYRIYQDTNASSVKLCTVTCPDPEITDKVAKQNCNGTGCCSVDLNILEAFQLQFVLHSHNRGELGTHTNRSSLWESINVTSIDGEILWSIVDQPTCASAMDDRANYACVSNHSRCYDGSFGRLDLGYICSCDGGYGGNPYILNGCSRDKGYNPKEQKENCQRSCGNINVPFPFGLEEGCFARKLFQLNCTNTSSSSLQFDDNHQVTYININEGLVGIKYTSFYEQEMFRVYVSKEPDLYIGSGESSSVQWAVANLTCQEAMQNKSGYACVSISSTCLGVNSTDGYIGYRCQCLQGFQGNPYIHNGCQ >Sspon.05G0016380-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:66293772:66299194:-1 gene:Sspon.05G0016380-2B transcript:Sspon.05G0016380-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDDGAEGGEGHLFHKFERQVTENRYLVVLEGLSDMQEWDAIAIRSFLPDMKNGSRIIVSTQQFEIARLCIGQSAQVLQLEQFSADHSVCAFFREGSQSVGGKSEDTDRASSSNTGHVSSSSQGSSSGVASSSDYKTLTSKREAANLWMKNYPHVCRDSEMSELRKIVAKTRFNQYQVSMSVWGIAGVGKSHLVRNLFYDRVLHGEESPQDKKIAYRSMIGSKNPIQECHELLKRRRCLVVIDDLQSKEQWDSIRDNLVSDCDSVIIVITTEASVAAHCAYNEDLVFNVKGLQADAAFCLFKSKVDIPSQQGETKLMLEQPVLKCGGLPKWRIYVMASGSADPDDLALTSSILRSFIAYDPAYSIRRRRLVRRWIAEGYSRDSDDKSAEDNGEEFFSKLIDLSIVQQPPEFLTKKLCQVNAFIREYIVSRRMEENLIFELGDTCALTTQRTGRHLVIRETWDRDKIVFGSMDFSRLRSLTVFGNWKSFFISQSMKLLRALHLEDASGVSVTDDDVEQVVKLLRRLKFLSLRRCSEVRHLPCSLGELRQLQSLDVRHTSIVTLPVGIAKLQKLQYIRAGTTITAAKEEESGSCPSGCWLSCSCRRRHLHGVKAPRGIGKLTAQHTLGVVNIGASGGKAILNELKELTQLRKLGVSGINKKNCKEFFCAISGHKHLESLFVHLDKGSGGCLGDISLPMTKGYL >Sspon.02G0055080-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:100775686:100776807:1 gene:Sspon.02G0055080-2D transcript:Sspon.02G0055080-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTMKFCRECNNILYPKEDREQKVLLFACRNCDHQEVADNNCVYRNVVHHSAGEFTQVLQDVAGDPTLPRTKSVRCASCGHGEAVFFQATARGEEGMTLFFVCCNPSCGNRWRE >Sspon.06G0001200-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:1340136:1340722:1 gene:Sspon.06G0001200-4D transcript:Sspon.06G0001200-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALKFLNKKGWHTGSLRNIERVWKAEQAEEAERRKTEELKKQVAAEKEKAEFRAMQERAGLRPAQERLDFLYESGLAVGKSSEGFQALQQSAPGAAAASTSAQASAADSSK >Sspon.01G0050270-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:123215619:123218486:-1 gene:Sspon.01G0050270-1B transcript:Sspon.01G0050270-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MERGGKVGGADELVQLRVRSSINAGFPMWRDTIAYDNHSPFTSLKRQLSAPNASVGQHYDFIVHLSLASKDDGSMAHLHSKAFSEHVSPSKGSVNCNTECKELHMVHQIVKLSVKKVNMQKMGLY >Sspon.01G0063260-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1D:111848521:111849045:1 gene:Sspon.01G0063260-1D transcript:Sspon.01G0063260-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGRGAGRLLVPGMTSCGLANCCGAGEGAFFSGIGGCGDGLRSLWFGGGDGFGSLWIGGVGSLGSDRLGAGLRSRNLANRDCASSSFISAESSCGGHRELRDPTKIASLSSSPCQKGEREEERARAYQGLVVEQLELAALDVALAVRDEGDDRLLRDVILLLLHVVARRRPAGG >Sspon.07G0021480-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:3563225:3566158:-1 gene:Sspon.07G0021480-1P transcript:Sspon.07G0021480-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCGIKVAFLKEFHVFNDKASAINSNGVCSKLAKMIKRSLWPGQRLAVGNCEYKNIIEASLGIPCLFNEAVLEVMWGLKYLMKVLVPGEEVELTNEDRFQMCQGLKLVLNLYGFEVESKMVNSDIIDMASIVYESDRCVDKRASYLDHGRAKLSEVSTIDSTNWDELKLATALKLICYPEEDVETGDSEEMLSKSEAEQLLADAHLYETKLHKPAYLKIYNNFVWVRGVRRKALLQLESMVKKLREEEELKLHQGPWSMMQEKNFSCARCRWIPPWTRQKKN >Sspon.05G0014870-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:57001804:57007130:1 gene:Sspon.05G0014870-1A transcript:Sspon.05G0014870-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAARRRLSAASTSPLVRRRLSAQTQPTASPAQPPPEVTDSGPGAWAGRAVALSLLGLTGAVAASAVSDLSVFLSCSSQAIEKATQNQQIVNAIGKPIVRGPWYSASIAVNHVKHSVSCTFPVSGPQGNGLFKLKAMRLGDESWYSLLQPADWEILIMDAILDIHTEDGKHRTMRVTIPDNTPAPPPADCTACESHAAPPPAEK >Sspon.04G0007370-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:20996857:21012557:1 gene:Sspon.04G0007370-1A transcript:Sspon.04G0007370-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARWCLVLLVLLLAAAAAGAEKGEWDPVIRMPGEEPAGSHSHGGEGFEGEEDDAVGTRWAVLVAGSSGYGNYRHQADICHAYQILRKGGIKEENIVVFMYDDVANSALNPRRGVIINHPEGEDVYAGVPKDYTGDQVTAKNFYAVLLGNKTAVTGGSRKVINSKPDDHIFIYYSDHGGPGVLGMPNLPYLYAGDFMKVLREKHASNSYAKMVIYIEACESGSIFEGLMPEDLNIYVTTASNAEESSWGTYCPGMEPSPPSDETHNLKEETIKEQYEVVVWTYLLHCAQVKERTSDSNNYGAGSHVMEYGDKTFKGEKLYLYQGQEAVVNQRDADILFLWKRYELLHEKSEEKQEVLREITGTVRHRKHLDNSIDFIGKLLFGIEKGPSTLQTVRPPGQPLVDDSNCLKRMVRIFESHCGSLTQYGMKHMRAFANICNSGTPGASMKQASISACGSYNSARWSPVPSSTAAAAHTHSWPLVLLQLDLLEKELLLCLRLLQAPLQVHAGVVLLGCLGLGERDALQPLRLLPGLIRFQLEHLCFRLLQPHDALQLLHLLLLAQTLRLQLLGFPNLLIQLSVAGLHGEAGLLVKSHLFFGDKLHLSHSLLDYLCYAPDGHRGTFTWLLLVCVPLFCPTNGQHLVIQLH >Sspon.05G0011740-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5B:28995445:29001015:-1 gene:Sspon.05G0011740-2B transcript:Sspon.05G0011740-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSHWSVRPAPLPHAALDCQSASDPTLPDAVAYESRWRGCRPCQTYSLRIGFRSRFGQGWVKHWEYKSSITFKLLSLQMRGKAKAVPTSVGGLHMLASGRMIRDVGGVLFAWYWYLVFSREKFMAGLSTWQEVSSRSLYFQNVISGSLNLTSGVIWVPELGFGCHPGHDESKPTMDADMARSYIWVPHDGKASAGTSSCGKASARTSSCGKASAGNDRDLHAVLIQPLPTGVGYRHSQFRSLRCSQRTRPSLLFRSKDPAAAMKGMGPMEMAPAPPTTGKAAAAAASSPHMPMMHMSFFWGDRAVVLFPGWPGARGAGAYLLCLLFVLALAALTEALAAASRCVARRGAGGGAAGRVPASSAALLTAAHAARMGTAYLVMLAVMSFNGGVLLAAVAGHALGFLLARSRLHPGGGGAAAASGHGLSG >Sspon.03G0045160-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3D:10507001:10509235:1 gene:Sspon.03G0045160-1D transcript:Sspon.03G0045160-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARCKNVGGASSDGDESPPRLTEVARGKHKKAITKKRKRTEAKEDFYRDYVDSGIAFKPQRVCRLEALVAVVGEQLRPHISFLPGLSDLLGQTGAYCGTWVREFYSSLWIDPAHEFIHFAFRGRDCRLYSTRVREILWLPSSEMRIHQLCFGQTRPSRCPHGGTVPPTNLVRPCFREPFGEGSRRTLAMMTPITRVLDAIMRRTPLPRLGYREGLTRMQLWVVHHLVSQTPFDSWDLMLCEMEDTLAEGFKGHRQLPYAHWICFLIRCACDLPAEIRAEISDTTTAFSEYDIRQLWATLTREQAPSQSQHQRAEVPEIAAEQNQTTEGLAEALPRYRSPHPHDHKAGGSGSASRTDPATVAILERLTQAHERQEIQLQRQAQDNTVAFTQIQARQDEFQRQQLEIQRQQAATQQQYLELLRHLVTVGVPPPSSVLTPALQLGGLQSQGPQPSFQFTSPQQQVPQSFQFLGYTPIQTGFTPPVHLRPHLMTGTPFSTNLSASYSELTDQPTPSQTTVLTTTEMSVSETVAPLVTGTGTTETVPSLVASTDPPTIGTLQAPVTETATLVATTSSAIAPEF >Sspon.01G0000530-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:2035067:2047299:1 gene:Sspon.01G0000530-1A transcript:Sspon.01G0000530-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGILERIKEIEAEMARTQKNKATEYHLGQLKAKLAKLRTQLLEPPKGSSGGGDGFEVTKFGHGRVALIGFPSVGKSTLLTMLTGTHSEAASYEFTTLTCIPGIIHYNDTKIQLLDLPGIIEGASEGKGRGRQVIAVAKSSDLVLMVLDASKSEGHRQILTRELEAVGLRLNKRPPQIYFKRKKTGGISFNTTAPLTHIDEKLCYQILHEYKIHNAEVLFREDATVDDLIDVIEGNRKYIKCVYVYNKIDVVGIDDVDKLARQPNSLLNLDRLLARMWDEMGLVRVYTKPQGQQPDFTDPVVLSTVRSLPFPRLLFTLAFPRNRGNRLADTRVVYHQDRGGCTVEDFCNHIHRSLLKDVKYVLVWGTSARHYPQHCGLSHGLQDEDVVQIVKKKEKEEGGRGRFKSHTNAPDRISDRVKKAPLKT >Sspon.08G0018830-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8B:8814145:8814823:-1 gene:Sspon.08G0018830-1B transcript:Sspon.08G0018830-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQSKAEFLEQFGGDYGYPDAPRGIDELRAAEFKRLEGAGMVYLDHAGATLYSEAQMADVATDLMSHVYGNPRILKFGTSVHKNIFSLDSYYSLCLQKDATMRFVQVKLA >Sspon.03G0018500-3D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3D:52856248:52858468:1 gene:Sspon.03G0018500-3D transcript:Sspon.03G0018500-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMQGAAAAGTTSVSGASSWTRATRGRASVLASRHVGVVASSSSSFFGPRGATAAAAQPLPLLCVRGGGCRLRPLSLLSGSGKNGEVAKAAAAAAAAAASVPADDASAAAVTRDGGAGGGIAATAQLGAMIHLKIPSPSSSPLLDRSRPIGSVSVPPLQVLGALPLPLPYTITAFQLAFGSLLIFLMWATRLHPAPRLSAAQLGKIAPLAVGHMLGTVFTNMSLGKVAVSFTHTIKASEPFFTVVLSALFLGEVPSLPVLGSLVPIVGGVALASFTEVSFNWTGFWSAMASNLTNQSRNVLSKKLLAGDKDVMDDINLFSVITVLSFLLSCPLMFFAEGIKFTPGYLQSTGLNLQELCVRAALAGLCFHGYQKLSYLILSRVSPVTHSVANCVKRVVVIVSSVLFFSTPISPVNALGTGAALAGVFLYSRLTRTKKPKDA >Sspon.02G0039600-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:55884071:55886435:-1 gene:Sspon.02G0039600-1B transcript:Sspon.02G0039600-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding CCPHPGLLLLPICIHGLSTRISRMQKSSHHTTSTSHVWLAAVGLVLPALAMHKQFILLPWACRRTCGVVHR >Sspon.04G0029560-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4B:72765284:72768070:-1 gene:Sspon.04G0029560-1B transcript:Sspon.04G0029560-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDETILNAVSIEKDVDGFHPLNIGRLAMQGRDPFFVPCTPKGCMELLHRYGVEIKGKRAVVIGRSNIVGMPAALLLQKANATVSIVHSQTKNPEEITRQADIVIAAVGVANLVRENWIKPGATIIDVGINPVDDPESPRGYRLVGDVCYDEASKVAGAITPVPGGVGPMTIAMLLSNTLESAKRIHHFK >Sspon.03G0004910-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3A:13945967:13946623:1 gene:Sspon.03G0004910-1A transcript:Sspon.03G0004910-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQCTKGHVSCSRCCTGYQDDEVECLMCREPETATRCRAMEHILGGLHVPCPFRQHGCAEMIPYTSELAHKALCVHAPRHCPISGCAGYVGKPLREHVRQDHPGVVRTVVSPRSLRPLRMRAHEQARVVRLSDGNGDGGAEFLVVVGEYKELGRALSVVHLVDESVDEQDFKHRIEVVGKAGVLSLSGETLDAEGLAEPYEANPFLFVPNETWDSPEGIR >Sspon.05G0039420-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:70271565:70273031:1 gene:Sspon.05G0039420-1D transcript:Sspon.05G0039420-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSTHALFIPYPAQGHVLPLLELAHRFADHGFAVTFVNTDYIRGQLVAASPDLLAGQDGPPPPESGQVRLVSVSDGFPPDGDRNDLGTLTSALMSSLPAAVEHMIQNGQFCCMVVDYALALVLGVAKKAGVRTATLWPSCAAMRAAGLDLPELIADGMLDKDNEPGIAGLERRRHGGGTEADNLALGQGTVDLMLCNTVKELEEGILSKHPSILPIGPLPTGLRAGKPVENFWAEDDSCLSWLDAQPDRSVVYVSFGSMVVLDQKQFHELACGLELSGRPFLWVVRSSLANTANYPDGFLEIVEKRGRLVLAHPAVACFVSHCGWNSVMAGVRNGMPFLTWPYFANQFIDESYVCDVWKTGLRLVKDADGVVTSEHIAARIENLASELQQVASRSIGKDGTSFNNLTAVINARKG >Sspon.02G0003350-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:12256827:12260043:-1 gene:Sspon.02G0003350-1P transcript:Sspon.02G0003350-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRQRQTGGPVHLPLPLSLPGLARSSSTRRASVLPVASTVDRRSEAGELGTRSAAGSWSGRAMETPPPFQESAHCDVCRCTFSTFRRRHHCRSCGRTLCHEHSSYHMALPQYGIYTDVRVCYDCFNKSSSQGRASNSVSAGSISGRADSFSGLSLGKEDASSPMKNSTVQGAAPLIECKCGMPLCICEAPKPEPTPVKNISTVPSTTQSNPRPKKPANTQQKASATSSSNSSPFLNIGLMSNDNNDKGLSDYEVSGEGLREAIKGGDVKGVKKLLTQGVDSNYCDKQGFTLLHLAALFNQTEIALILMDHGANVESKNGQGETPLDCAPAMLQYKMRQRMEELAASRRRLE >Sspon.01G0004730-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:11824065:11825289:-1 gene:Sspon.01G0004730-2C transcript:Sspon.01G0004730-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aspartyl protease AED3 [Source:Projected from Arabidopsis thaliana (AT1G09750) UniProtKB/Swiss-Prot;Acc:O04496] MAATTTILLLLVAATAASAADLSVYHNVHPPSPSPLESIIALARADDARLLFLSSKAASSGGVTSAPVASGQTPPSYVVRAGLGTPVQQLLLALDTSADATWSHCAPCDTCPAGSRFIPASSSSYASLPCASDWCPLFDGQPCPASQDASAPLPTCAFSKPFADTAFQASLSPATRSGAYNGVFSYCLPSYRSYYFSGSLRLGAAGQPRNVRYTPLLTNPHRPSLYYVNVTGLSVGRTLVKVPAGSFAFDPATGAGTVIDSGTVITRWTAPVYAALREEFRRQVAAPSGYTSLGAFDTCFNTDEVAAGGAPAVTLHMGGGVDLTLPMENTLIHSSATPLACLAMAEAPQNVNAVVNVVANLQQQNVRVVVDVAGSR >Sspon.01G0022350-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:80804032:80807137:-1 gene:Sspon.01G0022350-3C transcript:Sspon.01G0022350-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASVAAVASPSSPASRRRLLPLPSSAAPSLLRLPSRPARRLRRAALRVAAAGDEADVLPGPGAEGEAAVPGRLEEQRDEPPLGGSQLDIGGLAFQGDVGGGFTGGGSGSGSGAAGGGDGNKMLDRGINTAIVLGASTYALTKLLTVDQDYWHGWTIFEILRYMPEHNWSAYEEALKANPVLAKMMISGVVYSLGDWIAQCYEGKPIFDFDRARMFRSGLVGFTLHGSLSHYYYHICEALFPFKDWWVVPAKVAFDQTIWSAIWNSIYFVVLGFLRLESPTTIYSELKSTFWPMLTAGWKLWPFAHLITYGVVPVEQRLLWVDCVELVWVTILSTYSNEKSEARNSDSTSTPAASKDNSR >Sspon.08G0011550-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:46205813:46207142:-1 gene:Sspon.08G0011550-2P transcript:Sspon.08G0011550-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQQAAKEVIPLMTPYKMGQFQLSHRVVLAPLTRCRSYGNVPQPHAAVYYAQRATKGGLLIAEATGVSATAQGYPEAPGIWTQEQVEAWKPIVDAVHRKGGIFFCQIWHVGRVSTNDFQPDGQAPISSTDKQISPDAESRIVYSKPRRLRTEEIPGIVDDFRRAARNAIEAGFDGVEIHGAHGYLLEQFMKDSSNDRTDEYGGSLENRCRFAVEVIDAIVREVGAHRVGIRLSPFVDFMDCVDSDPVALGHYMIQQLNKHEGFLYCHMVEPRMAIVDGRRQIPHRLLPFRKAFNGTFIAAGGYDREEGNKVVAEGYADLVAYGRLFLANPDLPKRFELNAPLNKYDRSTFYTQDPVVGYTDYPFLKTAVIMMSQ >Sspon.02G0035520-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:19625211:19627042:-1 gene:Sspon.02G0035520-1B transcript:Sspon.02G0035520-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDLRTDDNVHQGNLRGTLLRFLKAREWSIPKAHKMVERFPLERTDEISDCLLQLMDCLNWRVQNEIDSVLAKPILPSDLYRAIRDTLLVGLTGYSKQGQPVYAFGVGLSTFDKASVNYYVQSHIQMNEYRDRVVLPAASKKFGRQINTCLKVMDMTGLKLSALSQIKV >Sspon.01G0023850-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:85580547:85587389:1 gene:Sspon.01G0023850-1P transcript:Sspon.01G0023850-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDVNLRSSSGIAKVTGIALCLAGVFTIAFFSGPSISPVNHHRAFASDPAPAGSKPVVPKGVWIKWTFLMVVANMCWFACITYFSLPETRDAVHSLNSELLFLHPALLKDCPDKMVVTITQCLFSTVQSFVVAVVAERDFSKWKLRFDISLLAILYSGFMVTGLSYYLQTWCIEMRGPMFFAAWTPLCFVFTIFCSSFFLGEIVHLGSILGGILLVGSLYIMLWGKSKESKTDDVADDNEKDEHKKSAESCPEEQHHTTTEVKESTLTGLSALHVQEL >Sspon.07G0003300-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:7647179:7650833:1 gene:Sspon.07G0003300-2B transcript:Sspon.07G0003300-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPSLVSLLPAASISPRRRQAKHHKAPTASNSLFSRRVVSQPPLHASPSHAAAGGSGSDELHLLDKPLPTVAEDNEAEEEPEPAPVLSTEEALAPFLKFFQVKSTDPDACTDTAAGARADGDVKEEASRRDVLSAAGGRGVSYYDPKPGDFVAGVVVRSDGRTLDVDIGSGGEPALMLAKESAPVPGEEFGFLACDVASERAAEFAAEGRVGVVVRQVGGGGEGHEEATVGRNGKEKGARVLCAGTIVFAEVLGRTLGGRPLLSARRLFRRVAWHRARQIKQLNVPIKVKIYEWNASGLLSRIEGLRAFLPKPEMMTRPRNFTDLKNKVGQEMHVCITRIDQGNNELIISEKEAWAVTYLREGTLLQGTVRKLFPYGAQIRIGETNRGGLLHISNMTNGELRSVADVLKVGESVKALVIKSTPDRIALSTKELESEPGLLITNKEKVFSEAEEMAQRYREQIAEPPGSIAVEDSCDDAVPFDDEVRSYANWKWLKFSKSDDVPNPKSVAKS >Sspon.08G0000630-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:698307:701265:-1 gene:Sspon.08G0000630-2C transcript:Sspon.08G0000630-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSRRRHHHKPPSNRITTNRLHHTGTRAAAEEEPMMIHSHRDDDRMRRPVVHLQPNVIARLMGIDDAAVPTPPPAPRKPVAGGTVQFQAQRRQTNKRSSLQQLPYYSYRGRNDDAEYPGSSSSSRICLHKMMLVPRSRSRSSRLRRRRHPHEELLQKMRHDLQAWQASKAPALQTSGATRPSDSDGGSRSSLVLQAIAQEDLRRQKMARYGFGFGLGGHAKVTQQDELPLIMSKKDGVAQAQGDTSEHAAAASEPAPMPDDRDLDARRDGHGHGHGHGHGAPAAQAQQLPSHRHTAIVLLKPTTCSESDTTGGGAIGGGQKTLLPGLPKLYRDASMSVLLQDVKNRVQRELALTAATATATGGEVIKAPASAWGIPPLQQVTKETKEDRENKRRLFRRSESFRAFRSDRKRNAATNTTHASPEAYILTKSQTVSPAHEESMTTSDASLSVLRPPGVGGVTDDGQSFRSECLTLTKHKDDDAVPSPPRLLFRSFSAPESGFSFSSLGSRLFGDAITSSASSTKHGASEPRSAATAVTLTTKNVVSASLSSFIRGTVSSLRHSFSSRRNLPFRRKTHWSNKASLAEIHPVKMEMPICAASPPSHEAFNLFKVAQANLSELSPSPVSPLEVAAGHNSRHFFTDLHFTLPPELSPKCLSEFEVPATASESSFRTDITAVEAACSRDKAYITEVLIAAGLYDDDDGASANARVDSMARPMPICDDVFEDVEDMYYYRGDYIGGGDMYDDHRMLFDLANEALQSLVVESSKAGSSSLRQWVVDSTAVARGKKLIDEVWQQVQALKNPQVQEMQTIDGMVAYDVRRSVWAEVLYEDVYVVGRRIERAIFDELIQDLVTCAGGFHVVKPS >Sspon.04G0019480-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:68978466:68981889:-1 gene:Sspon.04G0019480-1A transcript:Sspon.04G0019480-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQCTQPPPQLKLPESKITELTDDENHDSPPKPEKRTRMHHIERHRSCVVTLSDIELNGLQPRRLLQTIEKSPGGGSQSSIHEETPTDTNASHRHAIADAAWEALKRSIVYFRGQPIGTVAAIDKSQGAALNYDQVFMRDFIPSALAFLMKGEHLIVKNFLVETARLQSREKMVDLFKLGQGVMPASFKVHHRNPTQKTESLLADFGETAIGRVAPVDSGLWWIILLRAYTKWTGDNSLAESPNCQRAMHLILRLCLSEGCDTSPALLCADGCSMIDRRMGIYGYPIEIQALFFMAMRCGLSLLKQDSDADFVNHITKRIQALSYHLHSYYWLDFQRLNDIYRYKTEEYSQTALNKFNVIPESIPDWIFDFMPSRGGYFIGNVSPARMDFRWFCLGNFIAILSSLATGEQAEAILDLVEERWQELIGEMPLKICYPAMENQEWQIVTGCDPKNTRWSYHNGGSWPVLLWLLVAVSVKLGRPHLARRAVELMEQRLAKDDFPEYYDGKAGRYVGKQARKFQTWSVAGYLVAKMLLDDPSHLRIVALEDDSHSRAPFLKRSNSC >Sspon.01G0019260-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1A:72234984:72237160:-1 gene:Sspon.01G0019260-1A transcript:Sspon.01G0019260-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding FPVCLFESYVAAAVLNLKITYSMDPTPTMFRGLKETFQQMTDVECAVQALQEAEIFRQKCGEFGSELAMKMAMDPRTTPSAWWMIFGRETPNLQRLALRLVSQCISSSGCERNWSTFALLHTKVRNRLSHKKLNRLVYVNYNLRLRLADVNPRRYDEEDFIDRFAEVSFYDRSNPVREWMEYGRSNLPPVLDEDSDEMDVPLPSHLVSDWARRNVGATHLGKRKMQMVPPKGHDKRHRGKGKAVTSDTETEDEQYQSQDSGDDDSGDDDSANDGASGSDGGGAGGTDGGGGGSGDATIGAGGSSYVGLHFTGETQFTHATQDRDHGQPTSQRRAYAPTDYDTPQYSSSSYSDTSQPTYRYPIPDMTMQTQTRWVYEWEDPSFYNMLVQEWETTAAWTGQTWQDYKADLLRHKMASFKHTEQDGDLLQTFMMSRSQN >Sspon.02G0045480-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2B:109534828:109535970:-1 gene:Sspon.02G0045480-1B transcript:Sspon.02G0045480-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIGRIDAKAFSMKFHHQVLILTSAGPTRQPDKSPLYTAAPRACRNRLASDLSPPFGECVSSPGRPPVRSPPHESPGDGGAPRSLTAAAETTTSSARSGTTMAEACGEHARDGRRHEHQHQKQRRSHRRSASASASTERGLGSASPSPAAAAAAMEEERRLRRSPPVEARRERERRRAREQPRCGEVAGGTAAECAAVCCCFPCAVVELVVLAAVRVPAALCRRALRARARRRRASRAAAAKKKEMAGLLASDAASSLTAAAAKDSNGDALDFCYWPAGAAAARRDEFAEAEAAVWASFQGAGFWRSPSQREERRCSSQREERRCS >Sspon.03G0010800-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:36643534:36645849:-1 gene:Sspon.03G0010800-2P transcript:Sspon.03G0010800-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLGALASAVASTQWSLLIYGLLGALLLWKAARLLERLWWEPRRLERALRAQGLRGTSYRFLTGDLKEYRRFNKEAASKSLPLRCHDIAGHVSPFVYGAVLEHGKTCFSWFGPIPRVTVTDPDLARDVMSNKFGHFEKPKFQAFSKLFADGVANYEGEKWVKHRRILNPAFHLEKLKLMLPAFSACCEELVSRWAQSLGSDGSCELDVDPELQILTGDVISRTAFGSSYLEGRKIFQLQTEQAKRLMSIVEKFAVPGYMSLPTKNNRRMRQIKNEVESILRGLIGKRMQAMKEGESAKDDLLGLLLESNMRETDENGHSSQGMTIEDVMEECKLFYFAGMETTSVLLTWTMILLSMHPEWQDRAREEVLALFGKNQPGYDGLSRLKTVTMILYEVLRLYPPAIAFSRKTYKEMVIGDVTYPAGVIVELSVMFIHHDPDIWGSDVHEFRPERFAEGIAKASKDRLAFFPFGWGPRICIGQNFALLEAKMALSMILQRFQFELAPTYTHAPRQVIMLRPMHGAQIKLRAI >Sspon.03G0023550-2T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:95421419:95421772:-1 gene:Sspon.03G0023550-2T transcript:Sspon.03G0023550-2T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPSSSLRRMAAAFVVVALLLLASPPSSLLQLQAARTTPTDGHQGRRVQEQEEVRAPTTTTSSSGSGSTPSSASVQERPPPLALLLLMPPPPPTTIEEASAPRSRMLGSVPSPGVGH >Sspon.04G0024180-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4B:18139338:18139590:-1 gene:Sspon.04G0024180-1B transcript:Sspon.04G0024180-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MESRAPWSAAVEGPTASIPARARVDPDSMEGQRRRSSGGGSGAWIPARACVDLAAWRAGDADLAKVARRRRYAPHPLALLSQIR >Sspon.03G0011770-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:39378707:39379814:-1 gene:Sspon.03G0011770-2B transcript:Sspon.03G0011770-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RMARQRRAPGFQPNGEKRPNETGFPGGEDPSEAATQINNWGGPEPDRWTRSNPPRGPSEGFSATRPSTPSDRGGGRPPLSGMYNGVGLQTPRSKFLAKPRPSPSSSPSPSEAGGMRKPNKDIIEHDRKRQVELRLEEQGYTEAEIEARVDEARKAAEAEAAAEEVDEGRPPLQRKSHHAAEQKEKQLQTMRVAFGLDGENVHKKGGL >Sspon.01G0006000-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:57876041:57877533:-1 gene:Sspon.01G0006000-2D transcript:Sspon.01G0006000-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFLPAAVVPFLLLVTLSCPRSAYSSKQKRFTSIISFGDSYADTGNLVRWDDPVIESVNLIRNPPLRRDVLRPPVRSRHRWPHRPRLHCRCFGSTFRAAGAVQRGELLDGRKLRGGRSDGSEPDVPAGAEHHRRPPDQQLPERPAPVVRATEAIALPQQQHTWRIVQRLLRRVSLHLGPVWSKRLPQHPDELEHDLGTSQIVCPEIVNTIATGVERLIHHGAKYMVVADKVPFGCTPATLAMATSTNNNGDYDQYGCRKSFNRGLSQYHNALLRRRVDVLRRRYPHTRLVFAEQYRPVIAFLKDPDHFGFNRSTTLVSCCGGGGPYNQNWKAPCGTPGATACAAPSKAINWDGFHLTESAYSSIAHGWLHGPYADPPILQLLD >Sspon.05G0015690-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:61709625:61710639:1 gene:Sspon.05G0015690-1A transcript:Sspon.05G0015690-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGILVITVDLDCCRCRAKITKVLDSLKEEFCIQKVEFEESRTRRRRRWQWRGKFDPEKLCMKVWCKAGKVVKEFIIVEVWPIPPPPKPPKPEKCCCCEHCKPKPENCCGCEHCKPKPKPEEAKPKPEEAKPKPPPAKPQYKFVPYPYPLPNPGMCQSWPWQCPP >Sspon.02G0025440-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2A:88173368:88173689:1 gene:Sspon.02G0025440-1A transcript:Sspon.02G0025440-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDGRLGFVRVEDFSGLCIWSRENEEPAGWVLSKVIELNKLLPLDDRRVYWHYLVGSAEGVGTIFLKLKDELFTVCLRSGRVTKVYTHQGLCFAKVVVPYVNFCTPG >Sspon.08G0002090-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:6558324:6560178:1 gene:Sspon.08G0002090-1P transcript:Sspon.08G0002090-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIRLHVLASAARHAVSSSVLVCRLIAFHLTPLLLHLSYFLAVDLLGSLALVLLKPSKPGYHPRYVDVFFMSTSAVTVTGLATVEMEDLSSAQLVVLTLLMLLGSEMFVSLLGLVLELSRKRRQQQRDHQDHDGRVMAAAVCDEPGPDLEEANGPAAAPSADSFGDGGDRKESCRTVRTLALVVSAYMAAILVVGSVLVFAYVATVPTARDVLARKRINAALFSVSTTVSSFTNGGLLPTNESMAVFAANRGLLLLLAAQILAGSTLLPVFLRLVVGATRGLARALFLFTGRGGPVEELVPMDMEKSAAAAGFGHLLPSGPRAASLAATVVVVAAAAAALLCCLNWNSAVFAGLTAGEKVTNAVFMAVNVRQAGENSVDCSLVAPAVLVLFLAMMSVTTLLRAKLKHTTRQHVVRSLTDFACQPTTFRFIPASATLLSVHDGGGERKRSGAGEPERKDGAEKKKRRLSLNRMLLSPLACNAAAVMLACITERRSITGDPLNFSTFNVIFEVISAYGNVGLSTGYSCSRLPPAVEATAACHDKPYSFSGWWSDQGKLLLVLLMLYGRLKGFHGQRRR >Sspon.07G0025760-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7C:47687236:47689692:-1 gene:Sspon.07G0025760-2C transcript:Sspon.07G0025760-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALCFTPQNHMMLVAAIAALHLLHLLAVTPVLHAATNVALPGCLSKCGEVSVPYPFGVGAGCYYEGFMLTCDETHSPPKLFLGNTSTVVLDIFLHDGRLDIDNGIASLTGRNLYSMNWGVLLDNSIFTLSSFWNNFFVMGCGFKFQVMLPDSENMIVMCNSSCLNGHPAVATDGTCSGVGCCEASLPGSSNMYSIKLDPLGAENGTMEQPFNATFVIADKEWWNTNNNGMLLQTAVLDGLVTPWGIPGSAPPLQIKASGKWNFRNLSCADAQRSSDYGCLSSNSYCHDHWNGESSGYICRCKRGYEGNPYITNGCHGLAVATGIWCGGGLVLLIFAAIFLRKKLRARKAKKLRNFYFMKNRGLLLQQLVDKDIAERMIFSLEELEKATNTFHEDRKIGKGGHGTVYKGILSDQRVVAIKMSRRTIQSETDNFINEVAILSQVNHRNVVKLFGCCLEIEVPLLVYEFISNGTLYEHLHVNSPQSLPWRERLRIALEVARSLAYLHSATSISIVHRDIKATNILLDDNLTAKVSDFGASRGIPIDETRITTAIQGTFGYLDPECYNTRRLTEKSVVYSFGVMLVELLTREKPHIYVSATGDSLVEQFLILHRQDKLSEILDPQVAKEGEEEARVVAEIAAMCVSSSGEDRPTMKQVEMRLEVLQSSATSIKNTPRTEEHVVNIPLAS >Sspon.02G0010020-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:30891117:30898130:1 gene:Sspon.02G0010020-3C transcript:Sspon.02G0010020-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATALSLARSVLDGVISSAGSAIADEVAHLIGVPKEVDFIRNELEMMQAFLKVASAHPEATVRNDIVKTWVKQVRDLAYDVEDCLLDFALYAVRTSSSRAGTWLPGAIAERHRIAQRIRDLKASVEELNQRNQRYHIVLDNPAARVEEQPVLPDYDMHSDELAFQSSLVRMVHNDAALLDEFDCGAWVTVPHPLDNADVFAQRLKRELGVAELGEYLRAKRYRVIVDDLLSQEEWEHVWKVYKSAEYTLPEDMVEQANQILTVCHGLPLAISTIGGLLANRPKTSIEWRNMHEHLGAELGSDLRRIPNVIESSYDGLPYHLKSIFLYLSIFPVNHVMRRTRLLRRWMAEGHIARNRDMPTEDVGERFFSELINRSMIQPCKVSHGSIKATRCRVHSMLLQIILPKSIEENQLFFVEKHSSIEVPQSKIRHLVVSRWKTRDEKLENINFSYIRSLTIFGECPASLISPKMQLLRVLDLEDTDNLKNGDLKHIGELQHLRYLSLRRTNISKLPSSLQKLRYLETLDIQDTQVTQLPRGIAKLEKMRYLLSGVNFKKLHEKMADSGGCCKVFNADQFSVRAPKGIQKLKNLHVLGVVNVGKGNGVSRRLTKLTNLMNLRRLGARVTGLTEKEGDELCKSIGELDRLQSLELRSDSLDFLDRMHESAIPTHLTSLRLCGNLTKLPDWISSLNNLAKVKLLGTQLKQQDILHLQNLRNLALLGLWENSYAGDSLEFFADTFLKLKFLDIGGLGKTEKVIIHQQAMPQLEQLWLKRCPLLQNNKHGLSGVTHLPNLMELVLKNC >Sspon.05G0017760-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:74535780:74538637:1 gene:Sspon.05G0017760-1A transcript:Sspon.05G0017760-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHMALQTPAVLLGTTPQGNTCLHIACIHGHERFCKNVLALTNNQQSPAAASLLGAVNADGETPLLAAVTSGHVSLASFILGRCREEQLNEAILTQDKRGFNALHHAIRSGHRKLALELIDAEPGLSKAVNKYDESPMFIAVMRNYVDVSEKLLEIPDSAHGGAYGYNALHAAVRSGNPVVAKRIMETRPGLAREEDKHEATPMHMAVHWDKIDVLRVLLEHDWSLGYVFNSDGAPILTSVASRGYVGAARELLKHCPDAPYAPADGIAQMLLPQMLLPQMLLRNPQIVVPTTCLHQAVRGGHMELLEFFLGSKHLRKLVNMRDITEETPLHEAVRKCNPKIVNALLQHPDTDVTMLNSSGNPATWLLSPMTEHAKTLNWNEVSMLMLKADPEAANDTYNLHKQTKDRVTYESRKDIKILTQTYTGNTSLVAILIATITFAAAFTLPGGYSNDAGSEGLPIMARKVAFQAFLTSDSLAMFSSLAVAFISTMSRWEDFEFLLHYRSLTKKLMWISYIATTTPFATGLYTVLAPRLLWLAITICLLSVLLPVLTKLLGEWPVLKLRIHLGKTFKSELLDMV >Sspon.08G0021630-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:40261809:40262255:-1 gene:Sspon.08G0021630-2D transcript:Sspon.08G0021630-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding QWRTSSSGARSAAFSTRAPSPPSSGPPARRPWTGWRPRPPTCSASTCRASARTTSRSRSRRATCSPSGAPRPRPRRRTRGRGTTRRRGRCGTWRSAASRSSRGLWRCRRTCGWTGSGPAWRTGCSPSWCPRRPPRPGPSPGPSPSPASS >Sspon.01G0009050-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:27717191:27724336:1 gene:Sspon.01G0009050-3D transcript:Sspon.01G0009050-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRGQAWLALLVVVALALADAAAGRFVVEKNSIQVTSPDELKGKYECAIGNFGVPQYGGTLHGWVEYPKSNRKACQSFDQFDISFKPKQAGGRPIFVLVDRGECFFTTKAWNAQNAGAAAVLVVDDKPEPLITMDNPEEGKEHLENITIPSVLITKKLGESLKKSAENGDMLSVLLDWRESLPHPDERVEYEFWTNSNDECGPKCDMQMDFVRSFRGTAQILEKKGYTQFTPHYITWYCPEAFVDSKQCKSQCINHGRYCAPDPEQDFSQGYDGKDVVVQNLHQICVFKIANETGKPWLWWDYVHDFALRCPMKDKKYTRDCASDVIKSLGLDIEKINKCVGDPEANEENEILKAEQDAQIGHDQRGDVTILPTLVINNRQYRGKLDKVAVLKAICSGFEETTEPAVCLSEEVQTNECLENNGGCWLDKANNVTACKDTFRGRVCECPIVRGVKFVGDGYTHCEASGIGRCEINNGGCWQETKDGKTISACSNEVSEGCKCPVGFKGDGGNSCEDIDECKEKLYCQCKGCSCKNTWGSYECSCGDDNMLYMREHDTCISKEGTTTTVGWSFLWVIFFGLVFAGVGAYAVYKYRLRSYMDSEIRAIMAQYMPLDNQEGANQHQVVHANDI >Sspon.04G0005210-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4C:14455753:14456462:1 gene:Sspon.04G0005210-2C transcript:Sspon.04G0005210-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDYQVYQDGGEHHHAHDAGDGHASAGLRLPGLCRGRGRRASAQLLGVHHSIGTFFGVLVAVLLLTLLSCAFGRVCAAQADGPDERYDCTRLARRWCGWRGPRRTAVKREAKAPPVLEVPAALPPPEEP >Sspon.05G0010980-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:33716217:33720412:-1 gene:Sspon.05G0010980-3D transcript:Sspon.05G0010980-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVERERDEGEAARALTSGPPQQQQQQQQGAAEGEKAGDEREEDDRDDEAVEWSEIRLAIEELSPPARLKHGGGGDGKADASSLPTLPFLALSHLLLRVLDKIGPTMAVLRLDVQRNIERLQELYLLDPAKYSTLTGVVEKEVKEGTARKVDSCARAVLWLARLEEDSEQEQSLADIVEAAYEIALKLIPERKVFTSLLLGMGQDCSALKDEIRRLALLLRPLLDDIHSMMAKFRLDRLKST >Sspon.01G0035940-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:34181351:34184306:-1 gene:Sspon.01G0035940-1P transcript:Sspon.01G0035940-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVANRDFASSFFFSRFYKYKSARKKDAVVDRTNLSPKFPLPSHRTSSRSDPDPCRAPPRLTDPSTDPLVARRDLSIGVWTSMLHQENSEAFVRHHSEQLACGNMRGADNGRPNFLPEKCQIAVCPEVCQRGEKVGIFDEELVHGVHLTNPTVQSPLVPSGVKETPIVDHNKRPASSDLLDIVECKRLKQEDQIVTKEDNHTSAEEDFHEFPTYTTCERSFDSPLYESEESEDEGVDSPLHYSHAHTYVEDDLWPASFHQSVGPFPSRKPVPIGPNHQAELPECRPFGGRTEEGESVKWIKNVVVPRPGTDASSLVLEPVHCKAGCDCLDEGSINCVKKHVREAREKLKGAVGADTFRELGLYDMGEEVASRWTEEEEHLFQQVVSSNPASLRRNFWDELPLVFPSKSSKELVSYYFNVFMLRKRAEQNRFDPVNIDSDDDEWQAGGDGEFPNTGRADEYLPTESLTDQDDVACNPVPLEGDFYEDSDDEDELDNASGDRHNGVQRAGMLSEGHPVMSFIDHNQQTFKLDADAQDDSCTSFESHQVGLEGGTHTDIADDNHYRSDGFGGGAEHGFFGDHCDTKEWDFGFCTGWEKHDFLSTNNVIEEVFGKGSSEDGSDTATGQDLI >Sspon.07G0014290-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:57638316:57640503:1 gene:Sspon.07G0014290-3C transcript:Sspon.07G0014290-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLASSSTFALDFLRRLLCAHSAGGSADDRPGSAAAARHTCCTPETEEPPRSPCIVARLMGLDAMPPEAPAPHTQPQAQRTPPLRRSRSASSAEGSPGPWEWDRDTQQQPRVVRASASLRERPAYLRQESDEFLLLSFSPEDQDPDPDRERYVREELDFLLAAEATRRGGRVRSDAPGIKQRRNGRCRRLRFADDEAESAGRVVLRRSTPAAECDALHSSPVSVLEAHDESSTTTTTTSSSLEEVEHAEPSSATSDEPQTILEQRNSRRKLHPDFFQLDNLSPPRSSCHVSRCSDRERKNRRVVNKDEVITPEVSGIWQPICRLVEEDLKNMDWPARDGTNVVAEIEHGILEHLICELMDELLRGMSETVQHPLPLRSISKKQLGGKNVQTRRAIGCY >Sspon.07G0000430-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:703580:709945:-1 gene:Sspon.07G0000430-2B transcript:Sspon.07G0000430-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTGEILRAELSSRTPPFGLRLWIVIGICIWVAILFILGFMCFWSIHRRKSSKSSFDSTNTIPVSQIPDVSKEIAVDEVREHAAVQSFHVHESRSLAVAVQEKHCEKDSGKMLGHLVRSKSSDADNLSQCSSAYQCERAASSYSGDEGNSGSAIARRQYSQYPTISASPLVGLPEFSHLGWGHWFTLRDLEHATNRFSKENVIGEGGYGIVYRGRLINGTDVAIKKLLNNMMLVYEYVNNGNLEQWLHGAMRQHGVLTWEARMKVILGIAKALAYLHEAIEPKVVHRDIKSSNILIDEEFNGKLSDFGLAKLLGAGKSHITTRVMGTFGYVAPEYANTGLLNEKSDVYSFGVLLLEAVTGRDPVDYGRPANEVHLVEWLKMMVGTRRAEEVVDPDMELKPAIRALKRALLVALRCVDPDAEKRPTMGQVVRMLEAEDVPSRE >Sspon.06G0028610-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6C:8796705:8797103:-1 gene:Sspon.06G0028610-1C transcript:Sspon.06G0028610-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGVLRELSGYGVIFPRGFRNLRALRTLSTVNIAVGKHELKDIKRLTQLRRLGVTGINKKNSQDFCSTLDHLSGLESLSMHSAAEPGLHGCLDGVSSPPKNLQSLKLTGSLIKLPEWIEGLHNLVKLKLKKA >Sspon.04G0008860-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:25876216:25879533:-1 gene:Sspon.04G0008860-1A transcript:Sspon.04G0008860-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKIKIGINGFGRIGRLVARVALQSDDVELVAVNDPFISTDYMTYMFKYDTVHGQWKHNELKVKDSKTLLFGEKEVAVFGSRNPEEIPWGAVGAEYVVESTGVFTDKEKAAAHLKGGAKKVVISAPSKDAPMFVVGVNEKEYKSDINIVSNASCTTNCLAPLAKVINDKFGIVEGLMTTVHAITATQKTVDGPSSKDWRGGRAASFNIIPSSTGAAKAVGKVLPVLNGKLTGMSFRVPTVDVSVVDLTVRLEKSATYDEIKAAVKAEAEGSLKGILGYVEEDLVSTDFQGDSRSSIFDAKAGIALNGNFVKLVSWYDNEWGYSTRVVDLIRHMNSTN >Sspon.06G0015790-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:86429308:86431299:1 gene:Sspon.06G0015790-1A transcript:Sspon.06G0015790-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding CFRQLKAVALHHSTNNRVIMDMTNGIVWFTIALVFITVVISKATRGGIMFDPKCNPPHPPTVKGVSFIRVLHTLLSKGLQAMIHDQYTKLGSVFTISFFQFKVTFLIGPEVSAHFYQGLDSEISHGNAIEFTVPMLGKEVGYGVDTATRNEQARISLDALKPSKLRSHAGPMIQESGDYFAKWGEQGMVDLKQELDKLLMLISGRCLLGKEVREKMFDEFFTLFHELTDNGMCLTSVLFPYAPTPANRRRDRARAKLSEMLTEIVRSRKRYDNVESDVLQNLIDSKYGDGRSTTEAEVIGLVISLLFAGKHTSTITSVWTGAHLLACKRSLTSVLEEQKKIVRKYGMI >Sspon.02G0010660-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:29068236:29070703:1 gene:Sspon.02G0010660-1A transcript:Sspon.02G0010660-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMASSSPMAMMAPAAVLMAPLVLLMLSGAEAKFLANNITVVGSVYCDACSNNTFSKHSFFLKGARVLIKCSFKVNSTSSEELSLEAERTTDQHGVYKLDVPPVDGFECREGHEVRSACRATLVRSSSAACNVPGLGGSTQHIALRSRATSACFLNLNALNFRPAKRDGALCHGHGDGDGGDAFGSSLFFWPFLPLFWPPFRVPGGGAGGTVSFPWPFPVPDWLVPFLRPPFLPFPLYEPAPSPPPPPFYRFPPSQEAASRP >Sspon.02G0019410-3P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2C:65646450:65647060:1 gene:Sspon.02G0019410-3P transcript:Sspon.02G0019410-3P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKEMDVSTLEAGGARDYADPPPAPLIDIDELGKWSLYRAVIAEFVATLLFLYITVATVIGYKHQTDATASSADAACGGVGILGIAWAFGGMIFILVYCTAGISGGHINPAVTFGLFLARKVSLVRALLYMVAQSLGAIAGVALVKGFQSGFYARYGGGANEVSPGYSTGTGLAAEIIGTFVLVYTVFSATDPKRNARDSHVP >Sspon.08G0000140-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:778201:780433:-1 gene:Sspon.08G0000140-1A transcript:Sspon.08G0000140-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAFESPTSSPAAAPFHDDPFLHFDGSAPAPADGFPASPDAYAPSPFGMPHSNGDPFAAPADSNGGPILPPPTEMGRDEGFLLREWRRQNAIHLEEKEKKEKELRSQIIVDAEEFKKAFVEKRKLNAETSKGQNRDREKLFLANQEKFHAGADKQYWKAISELIPHEIANIEKRGARKDKEKEKMPGIVVIQGPKPGKPTDMARMRQILLKLKHTPPSHMKPPPPPAAATGKDGAPAAAGKDGAKPAAPANGSVPEMEKAAAAAPAPAAAPPTATEPIAAA >Sspon.01G0057170-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:313936:317039:1 gene:Sspon.01G0057170-1D transcript:Sspon.01G0057170-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAGRAPCGLPRIGLGTAVQGPRPDPIRAAVLRAIQLGYRHFDTAAHYATEAPIGEAAAEAVRAGAVASREELFITSKVWCADAHPDRVLPALRRTLSNLQMEYVDLYMVHWPVTMKAGRFTAPFTPEDFEPFDMRAVWEAMEECHRLGLAKAIGVCNFSCKKLETLLSFATIPPVVNQVRWPSTYFQPALAIYFRCKSNMDGDHWQVEINPVWQQHKLREFCREKGIQLCAYSPLGAKGTHWGSDSVMDSGVLHEIAKSKGKSVCLRWVYEQGDCLIVKSFDEGRMKENLDIVGWELTEEERQRISKIPQRKINQGRRYVSEHGPYKSLEELWDGEI >Sspon.01G0045160-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:82304134:82314507:1 gene:Sspon.01G0045160-2C transcript:Sspon.01G0045160-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFCKFGTEWMAYLTGHDVSLIVLVLLIGVSDSKASPAAPLHRHSQLDVNHKKPIQTFRPYNIAHRGSNGELPEETAAAYLRAIEEGADFIETDILASKDGHLICFHDVTLDATTNVANHTKFADRKRTYEVQGENVTGWFIVDFTLKELKSLRVKQRLSFRDQRYNGKYQIITFEEYILIALYADRIVGIYPEIKNPVFINQHVKWSGGKKFEDKFVEMLLKYGYKGEYMSEDWLKQPLFIQSFAPTSLIYISNMTNSPKLFLIDDTTIPTQDTNQVVSLVRTDVFMHVSTHVMWLQFISLHLRSVPIVQSYYEITSNSYLEFIRNYVVGIGPWKDTIVPPNPKDNCLGQPTDLVARAHALNLQVHPYTFRNENQYLHFDFHQDPYAEYEYWLNEIGVDGLFTDFTGSLHKYQEWTTPYQKEKNPEALLRRISIIVSVLHFWGRGSNANPAAPPHSQLGVNHKKPLQTFRPYNIAHRGLNASPAAPLHRHSQLDVNHKKPIQTFRPYNIAHRGSNGELPEETAAAYLRAIEEGADFIETDILASKDGHLICFHDVTLDATTNVANHTKFADRKRTYEVQGENVTGWFIVDFTLKELKSLRVKQRLSFRDQRYNGKYQIITFEEYILIALYADRIVGIYPEIKNPVFINQHVKWSGGKKFEDKFVEMLLKYGYKGEYMSEDGSSNRCSYNPSLQPH >Sspon.01G0021330-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:100446157:100446540:-1 gene:Sspon.01G0021330-2B transcript:Sspon.01G0021330-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding HGQPRVRVGGHAVPRHGHRGARQPPDAPPPEHHPVRPHGRQPGLLRDAHVLLREQHQQRDAARGPRARGHLPGSRPHVRGIQRHAGAALGAVRARARAHAAADAPPQPQGRRRPRRSRRRRQQPPQAL >Sspon.04G0007170-2D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4D:21192012:21192479:-1 gene:Sspon.04G0007170-2D transcript:Sspon.04G0007170-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RRVRVYADAEVLSQSVLEALSSMANAKTLQHFDGIKVKLVFFKQQVEVERDDVAVVYQVVQPRPDKAARRLHLELDALPRHAVCLFALALVRLPGRGWFHAGRRSHPTEDPSRRFLRPRTRARNRDPPHSLPYASCSPSHWLELSATWWSRCHAA >Sspon.06G0023720-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:47378428:47380481:1 gene:Sspon.06G0023720-1B transcript:Sspon.06G0023720-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGDGWARRRASVQAAAARHTAAAGHAAAEPPPGKAVTAPSPHSDPDRACIAVDCSVASVVTTANKV >Sspon.02G0040390-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:70992275:70993987:1 gene:Sspon.02G0040390-2C transcript:Sspon.02G0040390-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RSLAAAPEPPPPPPPAASPVPAAPAHPPSLHLPSPSPATKSSPPAAPSALRPAPGGSRSGLPSPLPSAGTPPPAPPSSSPGGSGCQTLLRAGDASIGEEAATKSEEQHESAPPRSVDFAATASAACPTGSAAAALPRLPPPPSPPTWPGPAVTASAVAGYGAGWSSTPSPLPLPKLGSASAAAGPTTAGTGAGSPRASSPASSSTHRPTMEDESLVIVEDVSDNDENEDDDDVVAPTMAASIPSPSPALQMFGSAASLCANAGVKKGAPWMRTVSTGRTSPLSPLAAPFFPTKQSAGRPKALRWMEDSGDSGSDCVSSAAQSPCLEAARRAVSPVPAVPCGAAAVVPGPETPTVLQWRLHARPLGCPRTSGSAHSRSCVYPCTSDSGSAVGRRQLTAAIVVVVTHALGSCRTLGGLLRCRVPILQMGFLANTFLKRLRLLTLTASRSFKAVGMGAAMHRLTLVASGQCHLRWSVSASTVLLVTTSPPDAPSRPAASSASARSTERETGSMVVRPIASVQIASGHVRTRVATTTAAVPRMLLARLPSRQPLSSSV >Sspon.03G0032820-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:41284359:41293943:1 gene:Sspon.03G0032820-1B transcript:Sspon.03G0032820-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKVTAGQLRASMDKKHNIRNISVIAHVDHGKSTLTDSLVAAAGIIAQDAAGGVRMTDTRADEAERGITIKSTGISLYYEMGEGALARFGAARDGTSSYLINLIDSPGHVDFSSEVTAALRITDGALVVVDCIEGVCVQTETVLRQALAERIKPVLVVNKMDRCFLELQQNGEEAYQAFCRVIENANVVISTYEDSRLGDCQVSPEKGTAAFAAGLHGWAFTLSDFAKMYAAKFNVDEARMTERLWGEHFFDQATRSWSTRHTGSPTCQRGGDDGGKEKLWPMLHKLSVALKAEDRELSGKALMKRVMQAWLPASAALLEMIVFHLPSPAKAQQYRVETLYEGPLDDAYGTGIRNCDPEGPLMLYAQQYRVETLYEGPLDDAYGTGIRNCDPEGPLCSTDKGRFYAFGRVFSGTVATGTKVRIMGPNYVPGGKKDLFVKTVQRTVIWMGKRQESVEDVPCGNTVALVGLDHFITKNATLTNDRAVDAHPMKAMRFSVSPVVHKSVACKNAADLPKLVEGLKRLAKSDPLWCATSRRPYVIAGVGDLHLEICLKDLRQDFMSGTEIVVGPPVVSYRETSKSPNKHNRLYMEAWPLQKELAKAIDDDELVGCKDDTKVRAKVLTEEFGWDKDVAKKIWCFGPEATGPNMMVDMCRGVHGPPRRARSPKRACAASASSSATSCCTPTRSTAAAARSSHGAARHLRRAAHGDAAPHGARLPRRDPGAGEGDGEHLQPLEQEEGCVIEERQRPGTPLINFKAYLPVTESLEFSEKLRAETSGEAFPQCVFDHWEAINSDPLEEGSMAAKLISDIRKRKGLKNMIPLSEFEDRL >Sspon.05G0036740-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:949377:951466:1 gene:Sspon.05G0036740-1D transcript:Sspon.05G0036740-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGPENSQLRKKPRSGSLGTCAGSAPEARRRCTAGCDGVRSGCAGFHFLDLGRCCLRLSRWWRLGVGLPYASVVSEMAEDGSPIYGIEIDVPCVGAITLYGFVVVDYSFHGALLYRKIASAAVSVAARAAGMVDRLSKEREEFAVHSDCLMKEVSLLGQTVSGARKTDHPSARKRLIMEDNIAEESADEHSNEASPDATQVKDTVVCSSGESVKQDAAPAADEKRLRGSRSLAEKSG >Sspon.02G0044920-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:106090797:106092049:-1 gene:Sspon.02G0044920-1B transcript:Sspon.02G0044920-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAMDSKQSKGSNKKSKSLLGKYELGSLLGRGTFAKVYLARPVAGGEAVAVKVLDKAEVMGTAGMAPRVLREVTAMRRLRHPNVLHLHEVLATRAKIYLVMELAPGGDLLSRLAALPRRRLPEHAARRVFVQLVAALSYCHARGVAHRDVKPQNVLLDGDGNLKVSDFGLSALPDSLRDDCRLHTVCGTPAYAAPEVLRRKAYDGAKADAWSCGVILFVLLAGHLPFDDSNIADMCRKAHRREFEFPEWVSQPARRLVSRLLDPNPATRVAVETLTTHPWFKRSLSVDSQLGGLLNGQQERALAFQAPAMNAFDIISMSSGLDLSGLFDQRNRERRFMTTASPERTLEELGRAGGKLGYVVVGLAAMTVEMSEVAPQLMLVELRLEVVDGDGDGRDKGSVGKS >Sspon.03G0037700-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3B:100690478:100691331:-1 gene:Sspon.03G0037700-1B transcript:Sspon.03G0037700-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGFAQEKRKTRDGRTWWVLAADEGHEDGGLGTWRRAAAAVEAAQSSAPETGNTAHARATGDRRVLNWRTKGGPARRRRVKRCSVFGALMPMRERGCKT >Sspon.02G0021490-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:73301694:73306616:1 gene:Sspon.02G0021490-3C transcript:Sspon.02G0021490-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGPSGASSSSRGGVVDRFYSPPHVRRQQQEEQLQRLKGLAQVQGQRPSSPAAGTLTPRAAAAAARQQKTPPAETPTPAPSKEPERRAADAPSKPPPVSTAPAASTAKAADAATAAPPAAALDEAGNLDRFLSSTTPSVPVHYLPKTSMRGWRIADVTNSRPYFCLGDLWEAFKEWSFYGAGVPLLLSGSESVIQYYVPYLSGIQLYADPSKLSSRTRHPWEESDGESMDTSSEGSSETDADRLRSSTGEATCRLDGGFQRDGDEMHSPSTRPIFEYLETDPPFGREPLTDKANTDPSTPACPSFGGLNCCMNAAGKLTLPVFGLASYKLKSSIWSSNRPEEQQLAASLMQTADDWLRRRQVYHPDFRFFLTHYNTALR >Sspon.07G0024800-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:13647376:13648141:-1 gene:Sspon.07G0024800-3D transcript:Sspon.07G0024800-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSQSTQFGLATALFGVLSFVLAVLAELKKPPHGTPIKGRDVVVCRFPGDPTVALGALSALAAACSAGLGALAVFFPYGGRRVPGKALFAHTPLYVFFHVAVGVTAAGAGTTVWATATEAMHHVRNVHRDLAYACPTAKTGVLGGAAFLNLDAMLFWIVCLMLGRNVREDYFDDHGRYGGDGVAGTGIEEK >Sspon.05G0008820-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:17765654:17768573:-1 gene:Sspon.05G0008820-1P transcript:Sspon.05G0008820-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRGHLDGLTAQAPALIRHGSFAAGSLSSHSPLQSSSTLEMLESKLAMQTAEVEKLIMENQRLASSHVVLRQDIVDTEKEMQMIRTHLGEVQTETDLQIRDLLERIRLMEADIHSGDAVKKELHQVHMEAKRLITERQMLTLEIDNVTKELHKLSAPGDGKSLPELLAELDGLRKEHHNLRSQFEYEKNTNIKQVEQMRTMEMNLITMTNQAEKLRGDVANAERRAQAAAQAAAHAAGAQVTASQPGTAQATAVSAAATDPYAGAYASYPSAYQQGAQAGAYQQGAQAGAYQQGTQAGAYQQGTQVGAYQQGTQAGAYQQGNQAGAYTYAYDAATAYAYAGYSGYPVAGYAQSAVPNYSYAVPPQPSSGAATDAASLYGAAGSAGYPTGQVQPSSVTANAAQPPPPPLPTAPYPSTYDQTRGAQR >Sspon.01G0024050-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:86442360:86444623:1 gene:Sspon.01G0024050-1A transcript:Sspon.01G0024050-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQFVPDWRNMGDTSRPLGEDDDLIELLWCNGHVVMQSQTHRKVPPRPEKAAVVAPPAPASVPQEDEGGLWFPFSLADSLDKDIFSEFFYEALAPAPAGVAAAALVASGGTSTEAAGGKSCRDDVPAEADRRGACPVSEDPCDLMPPPKSTPASCSRQQTMSLANGGGDNAGDLSDLVVRAGSAGKSAAAAAAEAGASSMLSVIGSSICGSNQVLVQRAVGAPGRPNASAMGGRGNEASSSGRSNYCFGTATATTTTTTTTTEPTSTSNRSSKRKRLDTEDSESPSEDAESESAAMLARKPPQKMTTARRSRAAEVHNLSERRRRDRINEKMRALQELIPNCNKTDKASMLDEAIEYLKSLQLQVQMMWMGSGIAAAPPAVMFPGVHQYLPRMGVGMGPAAAMPSMPRLPFMAAPQPVAPANAQVNLNPVPGYRGHHLPPPAVGITEPYGHYLGINHLQPPPSQLQVQFGQHYAQGVGYYPPLGAKAVQQQAPELHHVPGPGGSMPAGAAPGVLPPESAPAPSRGPAGTVPCALLFSSSSASGITGSQQQR >Sspon.03G0008110-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:22030343:22031495:-1 gene:Sspon.03G0008110-1A transcript:Sspon.03G0008110-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRHAASGGGVQQKLRKGLWSPEEDEKLYSHIIRYGVGCWSSRCGKSCRLRWINYLRPDLKRGSFSQQEEDAIVGLHEILGNRWSQIASHLPGRTDNEIKNFWNSCLKKKLRQRGIDPSTHKPISANAAALEQPASQDRKPLATAAADGGFGMKQQQVFDPFPLTDSFGGGFDAAGAALYGHMGGGGGKQDAAAFVDYSSVLDVSENLGYGESSSNSSNWNCAPEANNAFDGGDAPLHWASESKATPAFAGYGGEEQSLEEHKFLLPCHGQQEQSLPHFDFDISRGAVVGEFNLEFF >Sspon.01G0046000-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:95874952:95878638:1 gene:Sspon.01G0046000-1B transcript:Sspon.01G0046000-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEMEEGGVQGARLGNWSIIRQQQARGIDPGERITLQTAAEHPWVAGDKGQSLNTSAGVALAAGREVILGAITACKWDDLV >Sspon.02G0046390-2D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2D:4372014:4372427:1 gene:Sspon.02G0046390-2D transcript:Sspon.02G0046390-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVPRELELSDEVEGEKDRTTDFAFRLAGDPIPHLPTDSNPLPLFDLLSPPARPLAVSDRHATVFLAHPSGITDTTGNANVAEGQILCRVPFIGHSAKTFYAESRTRQRKTLGIDIFAECQALGTAWHSTKKPYAEG >Sspon.03G0022600-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:68812184:68815083:1 gene:Sspon.03G0022600-1P transcript:Sspon.03G0022600-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LGAGGAGRAPGELPAHGPRPAGPPHRVRLRGLAALTGYAPRDVLGRNARLFQGAATDRAAVAGVREAVRAHRAHQAALLNYRRDGAPHWVLLHLAPVFHARDGTLLHFLAVQVPIAPAREAPCHEPGPLLAACRDEARVREDLPCAIHAGRTDSRLPDMPIIYASDAFTSLTGYSREEILGCNCKVLNGPGTSLEVLEEINQHICSEQACTVDLLSYRKDGSSFCDLLHVSPIRDASGKVRLHLSQVAFHIWVHLDMGAKHDFNGLAPDVWLLGAVGAVRVAVRGLSAQ >Sspon.05G0010570-3P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:28900494:28901768:1 gene:Sspon.05G0010570-3P transcript:Sspon.05G0010570-3P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDGAASRSPSRSPSRSPSRSPSPLPVADPVTVAAAPPGHVAVAIPLRKPSPSSGGGGGGREDAWSDGATSTLIDAWGERFVALGRGSLRHPQWQEVAEVVSSRDGYSKAPKSDVQCKNRIDTLKKKYKIEKAKHDSDWRYFDRLDDLLAPVLKLNSSSSSAAAAAAAAAARSAGPMVPPRINFPQRTRTPLHSSAGAKRRMPPSPPPQPSASSDSSDGFPPSSAVANGKRQQRVEEPLAAAAAAAAANGGAESSSVSRAQGLRDLAQAIRRLGEVYERVESARREQELRMERERLESARQLEEQRVQFFLKMQMELSKASTDGGAAAATAPMAAVPIPADGNGARRAGVTAEVATSSNHRVRYRIKAGSSRHPHPAAPQQQAHYQNSTMSPTGGDGDGNGSDSDNKEAAQEEDAEDEEEEESS >Sspon.06G0034120-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:39022735:39023126:1 gene:Sspon.06G0034120-1D transcript:Sspon.06G0034120-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHGAGGGTDEATTTSMQHLNDDDMVVEILLHLPSVSVFRAWRDITSGPGFVAAYVRRRPLELIVQRPCSLYVHRASGEHRLLLLTNDDEGYGWDKWASHYIRSPEAAETRPLQGPAVIGIYIV >Sspon.08G0023070-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:52660264:52663682:1 gene:Sspon.08G0023070-1B transcript:Sspon.08G0023070-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DTKIKDQTGFRQGASSSNRNVKKPSLSTQANEQDMRYILSIPGSGVDYSKLKFKDNHPSLSATPGISSDNGCIMPNDHRDEPAQGVSAGDEPLFSTVPELDWIWQGGFELQRTGRSPELCDGFQAHLSCSAAQLVLDIVKKFPSKVQLEEVPRQNSWPTQFQENGPTYDNIGLFFFARDVQSYEKHYSKLVENMLKNDLVLRGSVGTVELLIFPSNILSKNFQKPGTVSTAINLNDADNLMDIDHVNTYEVSAGALDRSHASGGADKRSFEMAKVADEVDEVPEHKKIKLDNVVPVNSDLYKSACNGRLSSKVHSLSASSVNDGTSNKPMAGSSSSDGKCVFPLDLNVVDDAVSENIVNHY >Sspon.03G0023060-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:70574405:70577885:-1 gene:Sspon.03G0023060-1A transcript:Sspon.03G0023060-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKYGTIPTSSSAGGGAPLGGASPLDFISRAKARGASALATRRPWRELADVHAVGLPPSLGDAYLRVRANLAHFAMNYAIVVLVVVFLSLLWHPVSLIVFLVCMLAWLVLFFLRDEPLVLFGRVVADGYVLAVLAVVTLGLLLLTDATANILSSLSIGLVLVLLHAALHKAEDNAADEVDRWYAPVSQQPSH >Sspon.05G0021320-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:88363610:88364458:-1 gene:Sspon.05G0021320-3C transcript:Sspon.05G0021320-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding EMERMSSSVQSWVEEHKLSTTGAVWATAVGASVAYSRRRAPARATSLRLIHARMHAQALTLAVLGGAALAHHYYGNSGSSKKRQQEDLDYGFYSQLPPATDADGNENERWSW >Sspon.07G0020150-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:71716322:71717274:1 gene:Sspon.07G0020150-3C transcript:Sspon.07G0020150-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGCDGSVLIRSASNDAEVDNAKNQGLRGQDVVDAAKAQLEDQCPGVVSCADILALAARDAVAMTGGPSFDVPTGRRDGLTSNLRDADVLPDAGDSISVLRSQFAASGLDDRDLVLLTAAHTVGTTACFFVKDRLYSYPLPGGGQGADPSIPAPFLVELKARCPPGDFNTRLPLDRGSGTDFDDSILRNIRSGFAVIASDAALANSNATRALVDAYLGPSARSFERDFAAAMVKMGSVGAITGDDAGE >Sspon.05G0020760-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:89964180:89967353:-1 gene:Sspon.05G0020760-2B transcript:Sspon.05G0020760-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSSLLLFPSSSSAASTAPHSFPHSHATATASTSSSHSLLPPLPSPHLPPHPPPSSSSQDHFLLHYLHQLDRQEAAAAMVRKRPAADMDLPPPRRHVTGDLSDVTAAAAAAAGGGSGAPSASAQLPALPTQLHQLPPAFQHHAAEVDVPPAPPPAAHAHQAQAGGEAAAAASTTAWVDGIIRDIIGSSGGAAVSITQLIHNVREIIHPCNPGLASLLELRLRSLLAADPAPLPPPPPQPSQQHALLHGAPAAAAGLTLPPPPPLQDKRRHEPPQQQQEEAPQSPKAPTAEETAAAAAAAAAAAAAAAKERKQEQRRKQRDEEGLHLLTLLLQCAEAVNADNLDDAHQTLLEIAELATPFGTSTQRVAAYFAEAMSARLVSSCLGLYAPLPPGTPAAARLHGRVAAAFQVFNGISPFVKFSHFTANQAIQEAFEREERVHIIDLDIMQGLQWPGLFHILASRPGGPPRVRLTGLGASMEALEATGKRLSDFADTLGLPFEFCAVAEKAGNVDPEKLGVTRREAVAVHWLHHSLYDVTGSDSNTLWLIQRLAPKVVTMVEQDLSHSGSFLARFVEAIHYYSALFDSLDASYGEDSPERHVVEQQLLSREIRNVLAVGGPARTGDVKFGSWREKLAQSGFRAASLAGSAAAQASLLLGMFPPTGTRWWRRTARSSSGGRTSACSPRRHGAPSRCRRAVDES >Sspon.08G0026610-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:28030139:28035727:1 gene:Sspon.08G0026610-1C transcript:Sspon.08G0026610-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPLPPAGSPHRRDTPPSLLSPFSRSAFPAAADGDHDAAVSEQPSVMLLEWWLATVEGDEQKIAVAGTFRWKQILHELYPTPIAKRHSVNILESEDGTVLLISGSLNVSRSLDNGYSNVVCKHFFVGFPHWWQSCNLRYPKRTNSDTGCQPCSSNTSKHPEGLKSSSRNESVFRKSSHLSNGTPRFEEHTCDGDIATSENAAASSEAGKDRKKTPVACLKNQGSWGENQHVASNKKMKLIDLCLGKQPVGRPKKQISPHEKCRSATKSPGTKSPDSFMKLIDLCLGKQPVGRPKKQISPHEKCRSATKSPGTKSPDSFMKLIDLCLGKQPVGRPKKQISPHEKCRSATKSPGTKSPDSFGANQELMEVRRGGLNEHLLDAMLLQAKETKTSLSGGEFDKKEVGFRSSVKDQVILTSEGIS >Sspon.04G0006630-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:19083229:19083651:1 gene:Sspon.04G0006630-1A transcript:Sspon.04G0006630-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MELEAAAAPATCSRRDGRKLVRCPRLHLDTKTVTAIEQSTGESVGADAVAVAAEGGAGAGAMRVKIVLSKQQLKQVAAAVAAGGGGAFAALPPALEQLVSVLKRQHAKKQQAAAADVVAAAGRRRGRWSPALQSIPEECF >Sspon.05G0028710-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:66807318:66808145:-1 gene:Sspon.05G0028710-2C transcript:Sspon.05G0028710-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAIYEQHGDVVEAIKFFRRMLTENTGKWVHEFARSHGLETDARIGNVLVDMYAKCGEIADARRVFDCLHVRGVVSWSAMISAYANHGDSEEALKVFSLMKSEGVRPNSFTFTAVLVACGHSGLVNEGLKHFNSILSDYQMSPTLEHYACMVDMLGRAGRLVEAYEIIRGMSLRPDKCVWGAFLGGCKLHGNLELAEFVAKDLFQSGSNDVTFYVLMSNMYFEAGMLEDAERIRRAMKEMELKKTAGRSAVNQ >Sspon.01G0009210-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:27995967:27997203:1 gene:Sspon.01G0009210-3D transcript:Sspon.01G0009210-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHRFVVAACVATVAFALLATTSSAQLDPHFYDKACPAALPTIKRLVEEAVAAEPRMGASLLRLHFHDCFVNRVFDLISLCGCDGSILLDDTPFFTGEKMAAPNANSVRGFDVIDRIKGAVNAACRGNVVSCADIVAVAARDSIVAVRSFASIVLLHARKPSPPLGGPSYNIPLGRRDARTASQAAANNSIPAPTFSLDRLASNFASHGLSLQDLVVLSGGHTLGFSRCTNFRDRLYNETATLDGSLAASLRAVCPRAVGSGDDSLAPLDPTPARFDGAYFASLLRSRGVLHSDQQLFAGGLGVTDALVRFYAANADAFRRDFAEAMVRMASLSPLTGSSGEIRYNCRKVNYS >Sspon.01G0042390-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:65217427:65219579:1 gene:Sspon.01G0042390-1B transcript:Sspon.01G0042390-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVTAAAGEIELSVPEPVAPLVRVRARHCLSPPPATWPVPTAAAPSPAVLPLALRRRLAPRDDASLLVSSGDDGGVAVFPLIRFLDVDDADGAWARDLAISCVAAHVAPVTCVACGHGGYNAAVASASAEGTCKAGGRRRLRILTLPCNAFALALDPTSANLYAGGSDGRVHVASLNSAGTSTVAATAAYSSTDAAALVAVAVANGCKSRVSCSEDGVAKVWDLTRGLVLANTFWASSVLLVKIRLPARPVAAGAPSRTSASPRRGRRASRLLLTPTTVAADAAPLRRGPPDGVLAAVGLAGCRRACSRGGGSTARVPPTSVWASTPTASSGCGHLGRPRVVVNLELQEEVEIQLLFVTCCCMGGVCLGAPSPMERMASSVEVRSCVRRPTSPVAGHCRSATLPSFSCGSSESAAMCPSCRTAWSGQRASARRRRGRRTGTRTAVSV >Sspon.08G0003980-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:10127377:10131094:1 gene:Sspon.08G0003980-2B transcript:Sspon.08G0003980-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAGAVAVSVGAGATTAGLLMWKNGKRSPWERRQIMCCHIPWRMPTSRIEFEPEYHVNFGNPDIDEKPPMALEVLQKIGLLSLAHLLYYRRLKSDRFQALLSTCCLQVWVRGGFWGLAVRCTYRKGFRGGGRSGGGGGCRGGAARQQGRRWPQVEDDSGWSRCSDMYRGPDVVTAKQQEEELQRVANTFPQSIPSSVKRFTGKTLLSLKLWEWKQEYQNHKVKLPHIPIWQYQIVRTLGARQQLLAGGNLRFTVQVLQAKGIASHGSQASPLVPEMHLGISTKFVRPELGCRVKLPQSFRIVLPQRLVLVVLAVQRHILGHDGHDLRVHVRLQHDGKEFSVDRVAICTNFFCILRFSQLLRWTYPEPVLVENVAGDPNAYYARHGRDGDEPAELRHVVSCVTGPEKSWSSDLQMADA >Sspon.08G0013220-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:55980599:55981865:1 gene:Sspon.08G0013220-1P transcript:Sspon.08G0013220-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VYGDEEWSFGYCDRGTGVFSCPPCKNPMYTYRESIVLGKTNCCILKVNQILRELSWEWPGQSYELLSRNCNHFCNTFCEKLEVPKLPGWVNRFANAGDAALEVAETTAVKLKQAKKEIVTACKAASTFLTGTSSSTSSNAEDTGGSTSSGNSLFEGAWIRSIVGMTMKPSKSLVCRDSSDSDSSESESESDGDRPNSDENAEQQAKDATQEQGKKNENNGPQGHS >Sspon.03G0009920-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:26698036:26701870:-1 gene:Sspon.03G0009920-1A transcript:Sspon.03G0009920-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMGHCSEQRTGFEVTKDWNGADQVAIRSPRGASVRVCLHGGQVVSWRNHRGEELLFSSSKAIFKPPKATRGGIPICFPQFGNCGTLEQHGFARNKIWTIDDEAPPLNYGDNNNNKASVDLLLKPSEDDLKCWPHCFELRLRVSLSMDGDLSLISRVRNVNGKPFSFAFAYHTHLSVSDISEVRIEGLETLDYLDNLSQRERFTEQGDAITFESEVDRVYVGCPGVIAVLDHEKKRTFIVRKEGLPDIVVWNPWEKKSKTMTDFGDDEYKQMLCVDAAAVERAITLKPGEEWTGKLELSAVASTNCSDHLDHPGR >Sspon.01G0043300-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:69309845:69310498:-1 gene:Sspon.01G0043300-2C transcript:Sspon.01G0043300-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MISSTGTDDKSTVLGMWMVSIAGELWFALMWVLDQLPKMQPVRRTVYVSALDESMLPTMDVFVTTADTEKEPPLVTVNTILSILAADYPAEKLTCYVSDDGGALLTRDAVAEAARFAGLWVPFCRKHAVEPRNPDAYFSPGVSNGVVKTRRGDYKGRAWPELARDRRRVRREYEELRLWIDALQAGDVRRQQRSTSSPADGSCWRRGTVEDHAGAVEL >Sspon.02G0034360-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2B:12376011:12376712:-1 gene:Sspon.02G0034360-1B transcript:Sspon.02G0034360-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQFLGADDHCFTYEYEHVDESMEAIAALFLPTLETESNSSSSCLNYDVPPQCWPQPDHSSSVTSLLDPTENFENFEFPVIDPFPTSSFNSHCATPYLTEDPSSLHGKHSSVIEEEAANVTPAAKKRKASVTTKGSKKSRKLSKKDYIGDDDGGDAYVETQSSSSCTSEDGNLEGNTNSSTKKPTLGPAVEQQLILRVSMQG >Sspon.07G0016450-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7A:58219299:58220495:1 gene:Sspon.07G0016450-1A transcript:Sspon.07G0016450-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRSKAIDRTLPISWAWPVGASPSPWLHTSSWLADSLAPQRSEHTIRIDPHPYLSSEDARAVGGQILRVWSPSFLRLRAARPATSARPLLSRALDIGDLGGLVDPRLEMKLNEVEMFRMIEAAAACIRHSASRRPRMSQVVRVLESSADIDLTNGVQPGQSQVFNVANTAEIRMFRRMVAGAQDDDSSDLTQYDWSRGSDATPSSRIL >Sspon.02G0056540-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2D:28943700:28944050:-1 gene:Sspon.02G0056540-1D transcript:Sspon.02G0056540-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTNGLTTTTRLLASPLTRMAGDKIILIHMAGYEDWSPRSPDGTGFMTSSEHGSSAPAVVPFDWAAGVLDGRPAPARCARSVGCRAPPEQRAPRDDNNNDFCEPPRRGDVPKSTRV >Sspon.05G0007560-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:15284644:15285278:1 gene:Sspon.05G0007560-3C transcript:Sspon.05G0007560-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTAEENAGDGGGGSTAARVVVVERVVTVEYLEPSMSRELLGKFPDPSAFDFDYSQSGIWSPLNKLPRGGACCEAEAADATSTDFLIANPKRRARAGGRVKDSATGGGKSRWRRRRLRRDGSFLDLHETGRARLDFSPPALSPAKEGWRRVLKAAIRKFKARQRRSRQAPLLQTVLPML >Sspon.01G0025390-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:89731580:89734626:1 gene:Sspon.01G0025390-1P transcript:Sspon.01G0025390-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AMGNVCFCGTTSTSPDQPEPDATAARKAPPQGAANKRPATPPSSQQGSNSQEPSPRPKPRPKAAATKPNPYDSAPPPPPASRGGAAASRVLDGVVPHHPRLRVTDKYHLGRELGRGEFGVTRLATDRATRERLACKSIPKRRLRTAVDVADVRREVAIMASLPDHPALVRLRAAYEDADAVHLVMELCDGGELFDRIVARGRYTERAAAAAARTVAEVVRACHAHGVMHRDLKPENFLYAGKSDDAQLKAIDFGLSVFFKPGERFTEIVGSPYYMAPEVLRRSYGPEVDIWSAGVILYILLCGVPPFWAETEQGVARAILRGNLDLQREPWPRISEGAKSLVRQMLQMDPKKRPTAQQVPEHPWLQNARKAPNVPLGDVVRARLQQFSAMNKFKKKAMRVIAEHLSVEEVEVIRDMFALMDTDKDGRVTLEELKAGLRKVGSKLAEPEMELLMEQADVNGNGYLDYGEFVAITIHLQRLSNDAHLRKAFLFFDKDSSGYIERAELADALADEAGHTDEAALNNVLQEVDTNQDGRISFEEFVAMMKAGTDWRKASRQYSRERFKTLSNSLIRDGSLGMAR >Sspon.07G0009440-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:23454184:23454712:1 gene:Sspon.07G0009440-2P transcript:Sspon.07G0009440-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDEMRMAAVFGEAKPEKSDNKADALPCRPVLFRAYSHSHSQGGLRVVATDLHSLAWHSSHDLDGLRDLQDDVGIAGSWSDFLDYLNSSLSSGHVRLLFPDAPEFISSPSYSYTSLPCLAERRKT >Sspon.06G0026970-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:81903793:81915192:-1 gene:Sspon.06G0026970-1B transcript:Sspon.06G0026970-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTAGGGKGRRSVRKTRSRHGDGENGAGKRCRVDDVKDYGDGEFGAGKRRRFDEDYNDGETGAGNGTGDYNINGDEIDDKGDCTGEDLIYYYSDDDEETEVDGADAIERAEEEADIAEVADVLSIPPGFAAVMLRHYKGRAMRVQEEWFSDDRRIREAVGLPVDGGVLVPTVLSRKRLVCAICFGRFPAGRTRSAACSIHFYCDECWRGYIRAAVEDGPRSLSLRCPDPSCSAAVVRELVEEVADAEEKARYAQFALWSFVDESGGRIKWCPGRGCTRAVEFVGCAGDSTDVFCECKNGFCWSCGEEAHRPVSCDTVRAWLAKNISDSETANWVLTNTKKCPKCRRPIEKNQGCNHMTCSTPCYHQFCWICLGPCECGSYRARQNKVNAGQRRREQAKASLDRYLYHYERWAANHTSLQMVFKDMADLERSGLEKMAVKVHVPASDLRFLTQAYEQVADCRRVLRWAHAYGYFLDPERDATKRNLFDHLQKDANSSLERLHGCAEVERMELCAGDTADVTERYKSYKKKLQGLTQVTRHYFENLVKAFETNLAEEEWFSDDARVRAATGLPADDGGVPVVPTAVSRAELSCAICFYPAGQTRSAGCAHYYCGECWRAYIRAAVDDGARCLSLRCPDPSCPAAVVQELVEVAADAADRDRYARFALGRSWRRAAAAPAVGGCGSSGARAPGARAPWSSSAAPRGTRTQRTCSAPAGTASAGAAARRRTGRCRATRCERGWTRTRPTRRRPTGCWPTPSTAPGAGCPSRRTRGCMHMTCPPPCAHEFCWVCLDPWDNHTGCAGFDGGSNGGQGERTQSQQRQQSRSQAAMDMDRYVYHYERWAANYSSLENVFKDMAQLESSEIERIAAVSGQPAASFAFLSKAYEQIAHGRRALKWAHAYGYYLDPVRDAAKRGLFEDLLDQANSQLERLHGAAELERRELFCSDAEPAVVRELLKYYKDKVESYTMTTRTFIRNLVKAFESTDLPEFKSL >Sspon.01G0044780-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:85144233:85153534:-1 gene:Sspon.01G0044780-1B transcript:Sspon.01G0044780-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFCRHLLLAAVLALLLAGCVSAANRGAGSFDPSRVVQLSWRPRAFLHKGFLSDAECDHLIVLAKDKLEKSMVADNESGKSVQSEVRTSSGMFLEKKQDEVVTRIEERISAWTFLPPENGESIQILHYQNGEKYEPHFDYFHDKNNQALGGHRIATVLMYLSNVEKGGETIFPNAEGKLLQPKDDTWSDCARNGYAVKPVKGDALLFFSLHPDATTDSESLHGSCPVIEGQKWSATKWIHVRSFDLPVKQPGSSDGCEDDNVLCPQWAAVGECAKNPNYMVGTKEAPGFCRKSCKVCAE >Sspon.01G0039640-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1C:29847754:29848278:1 gene:Sspon.01G0039640-2C transcript:Sspon.01G0039640-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTRSVSVADRALRGVADLIKLLPSGTVFLFQFLSPLVTNNGHCATFNKVLSGTLVALCGAFCAFSSFTDSYVGSDGRVYYGVVTRRGLRTFTPDPDAAARDLSAYRLRAGDFVHAALSLLVFATIALLDADTVACLYPALELSERTMMAVLPPVVGGVAGYVFMVFPNNRHGV >Sspon.07G0001070-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7A:2322963:2327938:1 gene:Sspon.07G0001070-1A transcript:Sspon.07G0001070-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPLVGSRANQLSRVEPSAGAAQPHTRWGSSKPRAPLEYPICELPRGRIKNPLQHLVKAPTISNCELNHLRCSKPSTVSGNTEDRYRRPDALDLATGRAGQHRTRRSRDPPDAPVSTEPRTARQPPDALKNPPDATVPASGESPCLLLVFYCLASFSYYGEDASGYGGVDASACSPHLYYVERDTASLFYYYEPSEIKLPLRPDQRASAAPTESNKASDRNGPTHSASMMKQKASQPWQIGNACLPATHQIRRKEAALGFLSRSDRGMDRTSEKQIAMTATLALTGLPSPSVVVKSASPLMNMHARIRWRKDGSICARRFGIMLLHHLSSSCLVVTTQ >Sspon.07G0030700-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:13261947:13267256:1 gene:Sspon.07G0030700-2D transcript:Sspon.07G0030700-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Translation initiation factor IF-2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G17220) UniProtKB/Swiss-Prot;Acc:Q9SHI1] MASPASVTNLGSNGRSGPLPAAAAAAAARRAHLVTRISFTGFDGIRRWQYEPGRLCRCMVITNLIEEKGVQFSSRGSVSVKADDDNDLLLKPPQKPVQPNGPPESMKTASLPDQKSAGATLDDREKVRESLDAVLEKAEKLEASALNNVSSNGPGPTLVEEGGNSRKTKTLKSVWRKGNPVPTVRKVIREQPRTESRSQSIPVAKPSVSSPSKPAPLLLSKPSVAPLPRRPVKSDTSKEKKGPILIDKFASKRAAVDPIVPEELLNPLKPVRGPPAKVRVDRRKKPDTQAGSRRRMPNDDGLVDEDTADVPISGVAVRKGRRWSKAKRRAARLEAMQAEEPVRVEILEVGEEGMLIEDLAYDLAVSESEILRFLSVRGVMLDNVQTLDKDLVKMVCMEYDVEVLESGPVKVEEMAKKKEFLDEEDLDKLEVRPPIVTIMGHVDHGKTTLLDYIRKSKVVASEAGGITQGIGAYQVLVPVDGNPQACIFLDTPGHEAFGAMRARGARVTDICIVVVAADDGVQPQTSEAIAHARAAGVPIIIAINKIDKEGANAERVMQELSQIGLMPEMWGGDTPMIQISALTGDNVDELLETIMLVAELQELKANPHRNAKGTVIEACLDKAKGPLATLVVQNGTLNKADIIVCGEAFGKIRALYDDRGMLVDKAGPSNAVQVIGLNNVPLAGDEFEVVDNLDVARERANERAEALRLERISAKAGEGKVTLSSIAASVSSAKQTGIDTHELNVILKVDFQGTIEAIRQAIQALPQENVSLRFLLQAPGDVSVSDVDLAVASEGIIFGFNVRAPGSVKNYAKKKSVEIRLYKVIYDLIDDLRNAMEGLLEPAEEEVPIGSAKVRAVFSSGSGKVAGCMITTGKVVQDCNVRVLRKGKEVYVGSLDSLRRVKEAVKEVGAGLECGIGVDDFDEWQEGDIVEAFNTVKKARTLEEASATVTAALKDAGVPL >Sspon.02G0053240-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2C:102392343:102392840:1 gene:Sspon.02G0053240-1C transcript:Sspon.02G0053240-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSSVFFLLFACFATCSNAATFTIRNNCGFTVWPAGIPIVGGTQLNPGSTWTVSVPTGTSGGRIWGRTGCSFSGGRGRCATGDCGGAYSCYLSGQPPATLAEFTIGGGSNHDYYDISVIDGYNLPMDFSCSTGAALRCRDSGCPDAYHQPNDPKTRSCNGNSNYQ >Sspon.04G0011790-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:36051950:36052762:1 gene:Sspon.04G0011790-2C transcript:Sspon.04G0011790-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSLCPKPGKIHPSPAEDPIAAAFRLLPAAILVLVAGLCPEDQRVLAHLVTRSFLVGWDAATAPPPEQQARGGGGGPRRRARGHPPTVGCLCFECYGSFWSRWDCSPQHDRIHDVLEAFEEHLSAAESAAAATATPPSSSKRRDKGKRGRAPLPAATTPPPPPPQPPAQSSPESPEPAASEVAQERPSSPLLPSCPSTSAPSACLSENKENVPEETAAAAEAQAGEECGGGEVAAEAEEERKRGWADVIGGVLNLRRWGIWSPAVESGAT >Sspon.03G0032450-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3B:37718374:37718559:1 gene:Sspon.03G0032450-1B transcript:Sspon.03G0032450-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MYVRESWTPEIRASPTHTAGMLLQFAMSPSRVHVTSLVAPQGSRAVQKQRRLRLTKAPSSN >Sspon.01G0045950-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:95476212:95480226:1 gene:Sspon.01G0045950-1B transcript:Sspon.01G0045950-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDDNSSKNKLSWSKTLVRKWFNIKTKAKDFDSDYAADEVGVQWRTSFSDRDACKSKKSRTERLPKRNVERDGRVGNGFDGAYITNTQDYRVFVGTWNVGGRSPSSHLNLEDWLHTSPAADIYVIGFQEIVPLNAGNVLLTEDNGPAKKWVSLVRKTLNNLDQQGSGVYNYHTPSPAPDPIVELNVDFERSSRRQRNSSFFHRRSFQSFNRSSRIDMMDPHSLVDRRFSVCDRISFGSRPSDLTQNIDEESPSGIFFPPMPCAYGAPLCTDSRYCLVASKQMVGIFLMVWVRNDIREHVKNLKVSCVGRGLMGYLGNKGSISISMSLHQTSFCFVCTHLTSGQKDGDQLRRNADVVEILRKTRFPHSYYLAWGSELPDSSFLPLSEGLVEMHNWKQLLEKDQLRIEQRFGRVFAGWKEGRIYFPPTYKYSYNSDRYAGDDMHPNEKRRTPAWCDRILWYGRGLTQLCYVRGESRFSDHRPVYSIFTAEVQIPSQAQFGGFTRSASLLGLDELPYPTYPRSYMDINFY >Sspon.03G0022430-4D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3D:54482718:54483056:-1 gene:Sspon.03G0022430-4D transcript:Sspon.03G0022430-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATPADVKELPGAYAFVVDMPGLGTGDIKVQVEDERVLMITGERRREEREDAKYLRMERRMGKFMRKFVLPDNADMDKISAVCRDGVLTVTVEKLPPPEPKKPKTIEVKVA >Sspon.06G0007390-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:25967894:25969928:1 gene:Sspon.06G0007390-3C transcript:Sspon.06G0007390-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCVTNWLGGRCWWRTVVSSCAPVRECSTLGIAAGNRQILTARISDDGTVNLLSRSLGEYNINEHGFHKRSAGPDESDSDEKGYRCASHEMHIFGPIGNGASSVVERAIFIPVHRILALKKINIFEKFPD >Sspon.02G0010640-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2B:27905985:27906604:-1 gene:Sspon.02G0010640-2B transcript:Sspon.02G0010640-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding FAVPEARKEPGAGDEQEHVVVARVLAALKPRDDGDGKSASPPLQKHSGNGSSSSALPNPSLYRHQWRPRNTSVQPPRTGPLQPPAGPRILPPLHLLQQPACGSREAAAAAELVRMLERAMVRDRVAVAAEAMPPSPCYYAPAAASAYHHGGSPAAPRTFAAGGYHAPAVSVRSVIPVCAAPPRRPPPAKEERNDPATPSDACKRA >Sspon.04G0026570-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:53423866:53436541:1 gene:Sspon.04G0026570-2D transcript:Sspon.04G0026570-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMYFDFVVKNSTSPIDFVVKNSTSPIDFVVKNSTSPIDFVDKKSTSLDREVDEELSVSWSSKSCCSTSAFGDVAVVSDDYVESSPSSSGYLNSAMDASSHEDNQSERAVKMNQNSRDNNKMIKICDKLIGIFLVDKPTPTDWRKLLAFSREWDNIRPHFFKRCQEKADTELNPEMKHNLLRLGIKLKEIDEDVQRHNELLQVVKSTPSDKLGSIVAKRRKDFTVEFFNHLYYAAESYHDEPEKQTELAKLGNDCVDALQAHDDTTGSLEALNAAELKLKDILNSPSVDAACRKIDDLAEKKELDSALVLMLSKTWSAAKGTDITKSEAKDIMFHLYMTAVANLQRQMPKDIRILKHLIMIEDPEERLSALNYAFTPGPELQGDSVDTLYTSPEALHTWARAIVDAYYNSREGTLLGQARDMTNPKIIKRVEEILQNKDFAYSNGVEATNTGLPLQHYTTEMSCPCPAPWNVGVAAARMEHNCRELRSDGNSMASYERNSRQTISSSGEDVFRNGTVCN >Sspon.02G0059510-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2D:94204926:94205243:-1 gene:Sspon.02G0059510-1D transcript:Sspon.02G0059510-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGGAFIVASHSFMSHVLDAASAEAAALKDGLLAQQIGCTRLEIQSDCMEVVQTMHDGGFSATAAAAFYDEIVQLWQEFGEIAISHCTRSCNSVADDKFSGLGK >Sspon.04G0020320-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:71521749:71525364:1 gene:Sspon.04G0020320-1A transcript:Sspon.04G0020320-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADEKLAKLREATAGLTQISDNEKSGFLSLVGRYLSGDEELIEWAKIHTPTDEVVVPYDTLESPPEDIEATKKLLDKLAVLKLNGGLGTTMGCTGPKSVIEVRNGFTFLDLIVIQIESLNKKYGSNVPLLLMNSFNTHEDTLKIVEKYANSSIDIHTFNQSQYPRVVADEFLPWPSKGKTDKDGWYPPGHGDIFPSLMNSGKLDLLLSQGKEYVFIANSDNLGAIVDMKILNHLIHKQNEYCMEVTPKTLADVKGGTLISYEGRVQLLEIAQVPDAHVNEFKSIEKFKIFNTNNLWVNLKAIKRLVEADALKMEIIPNPKEVDGVKVLQLETAAGAAIRSDLYTLVDGFVTRNSARTNPSNPSIELGPEFKKVGCFLGRFKSIPSIVELDSLKVSGDVWFGSGIVLKGKVTITAKSGVKLEIPDGVVIENK >Sspon.03G0002230-3P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7C:13763282:13764539:1 gene:Sspon.03G0002230-3P transcript:Sspon.03G0002230-3P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding HTAPRDHRLSARHQHHHGLSLKEPAVCRPAQGKAEASQRRPRPVTVGLGSEPTSRRRAGRAGRQARGQRTPLPLLIKPPRVCPALHSTASIHHLFPSRSGPSCPASSGITGVPNHRSVSSRNRRSRYASPRPVRHLDRTQVRAAGWIPVVVDRAVRCDATLLFVLRLGPASRQDVGRGALADLPACLPDPNPSIGITGPAKMSTATAPRLSVPKSGASYQTAAAPAGKTVSFVGYTRRQAPHTSGLLRLSSRFVASAAAVHKVKLVGPDGAEQEFEAPEDTYILEAAENAGVELPFSCRAGSCSTCAGKMSAGEVDQSEGSFLDEGQMAEGYLLTCISYPKADCVIHTHKEEE >Sspon.04G0015280-3D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4D:65918933:65927974:1 gene:Sspon.04G0015280-3D transcript:Sspon.04G0015280-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVLCLLGSEEARAEVGEGEVRGSASDRAMIWSLGSPDPNFTLDGHSKGVNCVDYFTGGDRPYLITGSDDQTAKVWDYQTKSCVQTLEGHAHNVSAVCFHPELPIIITGSEDGTVRMWHSTTYRLENTLNYGLERVWALGYMKGSRRPTAQSYCIHCVFHISSTVLLEVPLLWEFLDAVAEVDDVACHLFRRKHRTRRGGVQDRLRSCHHQRARSRKGGAGVGRDRRDRRGGRGGGGSGVGHDRQGLRYQIVMDAVGIERRESREAAATDALQYPRCYSTRGVVIGYDEGTIMIKIGREVPVASMDSSGKIIWAKHNEIQTVNIKSVGADNEIADGDRLPLAVKELGSCDLYPQEKKSIRPSFSAERIFGGVLLAMCTNDFICFYDWAECRLIRRIDVNVKRDVVSSHLDGGGSAGEEGVEDAFELLHEINERVRTGLWVGDCFIYNNSSWRLNYCVGGEVNPKAAESLADPNEYPNLFEDWQIALNVEAAVAPKRGIYPPAEEYMIHAERSNESLVEAFKNMHVHEEMVPDDNEDTVHEVIEDGGVEESQEDAVEVDAEDDGVEESQDEAVEVEAEGSTDGAVLVNGNSSEEQW >Sspon.08G0004380-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:12571541:12577698:-1 gene:Sspon.08G0004380-1A transcript:Sspon.08G0004380-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQHHVALPPGSMGKISFIAPAGQYSLQDTVLELEFQGIKKQFTMLQTWPVRSPRPVSSKLAADTPLLTGQRVLDALFPSVLGGTCAIPGAFGCGKTVISQALSKYSNSEAVVYVGCGERGNEMAEVLMDFPQLTMTLPDGREESVMKRTTLVANTSNMPVAAREASIYTGITIAEYFRDMGYNVSMMADSTSRWAEALREISGRLAEMPADSGYPAYLASRLASFYERAGKVKCLGSPDRTGSVTIVGAVSPPGGDFSDPVTSATLSIVQVFWGLDKKLAQRKHFPSVNWLISYSKYSKALESFYEKFDPDFIDIRTKAREVLQREDDLNEIVQLVGKDALAESDKITLETAKLLREDYLAQNAFTPYDKFCPFYKSVWMMRNIIHFNTLANQAVERAASADGQKITYSVIKHRLGDLFYRLVSQKFEDPAEGEEALVGKFKKLCDDLTVGFRNLEDEAR >Sspon.07G0008950-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7B:29931006:29933227:1 gene:Sspon.07G0008950-2B transcript:Sspon.07G0008950-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLESLKIQKTANGKLASGGVPCRDEACVHGCVTRSSKRAGSDLQMEDDEISELYLLSRFAPSSSTPRHQLRAAQIAMDQDLSSELDPALLMSTSTSSSSPPGSASPSSSFSHPSPPHYTFAVNNLSCPAPRRRAAANLLPSFLSSSSSASPAPDAAGAAGLLKSVSFTASSSNILAVVGPSGAGKSTLLRILSGRGTGSEIAKPSTVSLNGHAVASRAQLRRLCGFVTQDDNLLPLLTVRETILFAARFRLRAAATAGERRERVEALMQELGLSEVADSYVGGGDGCGLSAARGVSGGERKRVSIAVDIVHDPPVLLLDEPTSGLDSRSALDVLQLLHDVSRARRQVVVLSIHQPSYRMLHYISSLLLLSRGAVAHFGTLKSLEDALARLGHKIPMQLNPLELAMEVTGQLEEDRSRIAVHGGDHHADDEDEVSRLVISGRRLDVPDQGYCSRFTEVAALTVRCWRTMYRTRELFAARAAQAVVGGLGLGSVYFRLSPDSPDGVALRLGLFAFTLSFLLSSTVEALPILLHERRVLMREASRRAYRLSSYVVANALVFAPCLLAVSLLFSAPLYWLAGLRATPLAAFAFFVLAVWLIVLMASSLVLFLSAVSPDFVLGNALICVFLGVFFLFSGYFIPKDSIPRYWAFMYYVSMYRYPLDLLLINEYGGSARGRCVAWLGGNNNAMSGGVCLRTGADVLRDRGIDEGMKWVNVGVMLGFFLLYRLMCWAVLVRRASKPPC >Sspon.04G0006380-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:17946895:17947892:-1 gene:Sspon.04G0006380-1A transcript:Sspon.04G0006380-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEAPPAPAAVSSQDAPVLPTELITEILARLPAKSVGRFRCVSHAWLAMLSSAYFVDLHLRRANRPDHPRLLLTAVGSAYDDHLHSWRPGGAVQKLMPDDFSDGIIVPLTKPCVALSSSAAPTTTDTIATMKMIWRAKLFQSHPPPHFFEVSYGLGYCEARKEFKVVRLFCNPESETGMASSTSCDVFVLNKPAYWRPAGEQPPLCWVEEKKPAVSLNGYLHFLCQDGGIVTFSISNETFGSLPPPLGFEAAPSVMTELDGCLCLCYGEPDSEDLYRVCVLRDYNEARGRRCVALIEPLGQTLSARSWTRSGWPR >Sspon.03G0000020-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:34029:35188:-1 gene:Sspon.03G0000020-1A transcript:Sspon.03G0000020-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKQGVASMLALALLLGAFAAIPTGVHSIGVCYGVNGDNLPSASDVVQLYHSNGINLMRIYFPDTNALNALSGSNIGVIMDVPNSALSSLASDPSAAATWVQSNVQAFPGVNFKYIAVGNEVSGGDTNNILPAMQNVNSALANAGLGNIKVSTAVQSGVTQGFPPSQGSFSQGYMGPIAQYLQSTGAPLLCNVYPYFSYTGNEAQIDLSYALFTSSGTVVQDGGNAYQNLFDALVDTFVSALENAGAGNVGVVVSESGWPSAGGDAATPGNAQTYNQNLINHVGQGTPKRPGAIETYIFAMFNEDQKPGAETERHFGLFNPDKSPAYPINFS >Sspon.01G0022840-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1C:81853049:81854687:1 gene:Sspon.01G0022840-2C transcript:Sspon.01G0022840-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHILQLLAEHQSSAKHYNRGVARFTRSADASRVTLALAAIAFLALFLSSFSMASSQNSSTLPARLLAAGSIFTSKTAGGATGWSLWSADHPAVQPKPIGISRYCNQRMFAISLWKCESLSQAPSTQRPRVRSLEGPLYSYSTPLLLAADYRCRFAATTSAGDAASSGEPGGVVLEKQARHHQVQVQVEPCAVPSSAPCSESLDHDEQGEYKSTMSTSTLPDEEQLSELELVRLGEEEDSSVTLEETVDDVEEPTCGTAQGLDRLEISELNKKFDEFIRSRRIKWVKEEAYLLLCEV >Sspon.06G0030320-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:45536959:45540234:-1 gene:Sspon.06G0030320-1C transcript:Sspon.06G0030320-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRPLSSFSARPRSPGSAVWFLPAAALLLLVLLRWSPMGSYPPASPRGSGSVPARRAELYSKMARDLDERGAAFLKGGETSQSLTLSDLFDTAGDGAVVPRLKAADPPVRANVLYMDPKFAAVISSIEAEVEAVKRVAENFCPLKIVLDRVVLTSTGVLLGLWQVESGTDPAEIRSRLREALPRAPQKQLYDPVLLHTSFARILGHPKLPDEQSASSFDHVKFFHELVVQVNEKIRGFQAMISELWYVEEYDVLALALNGKMKVRRLHLGCNHQGN >Sspon.02G0017750-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:53741518:53741820:1 gene:Sspon.02G0017750-1A transcript:Sspon.02G0017750-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding PPGSLFRSSRPAEAYLHRSGFECPASPDLQLAAAAISLVPRSPARFSPLAPTHRLGGGGMKDVVGSPGTWSGMALRLSQCVSAGASMGAMATAYGFSNYTA >Sspon.01G0009340-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:28360122:28360789:-1 gene:Sspon.01G0009340-3D transcript:Sspon.01G0009340-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKSLVLAALLIAVVVSAGQLPRGAAGLGGASPNTNTSFVVSGIVPCATGNSINLATVPSFPNAVVQLVCGSNVVGSTTTDSTGAFLFSQSSASKGLVTAILVNLCKVVVATPLGACDKSLAGATGTLSAPLKLLDITTGSGSGSDLGGLGGLIGGIVGLVGQIIGGLIGGILNLGTQPFSFV >Sspon.06G0029120-2D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6D:14865377:14866315:1 gene:Sspon.06G0029120-2D transcript:Sspon.06G0029120-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTFLGHVLPGLAFAILGVWHTLNTVKGYKLKGASGFRSATWFPFPSSPLPGLRHLELYLLLSFSVLAIADQLVDLPILALCLQPDSLEHATMYLHLAVYASVALAADVVSSRRRDAAATAAPGGGGVGDVVTALAASVFGQELFLLRFHSADHAGLEGHYHWLLQLVVAASLATTAASAVLPRSFAVAVVRSSSVLLQGVWFVVTGFALWVLALVPVGCRGMEGSAGAAMRSAVACATEEAARRAVVMANLQFSWALAAVWVVTAYLCLRVDYCRCLDYMQLQTPPSGGVVAGDGDAPPPQKHVFPVEEHV >Sspon.04G0006080-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:17224737:17226613:-1 gene:Sspon.04G0006080-1A transcript:Sspon.04G0006080-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARILVEAPAGSGSPEDSINSDMILILAGLLCALVCVLGLGLVARCACSRRWATAAGRSQQPGAKAANKGVKKEVLRSLPTVTTLLQKKSRTRNPTKSVPD >Sspon.07G0025370-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:41233880:41242582:-1 gene:Sspon.07G0025370-1B transcript:Sspon.07G0025370-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLLVQLPNVAGVRRPAAAAVHRSCRAGRFTVYAAASGGRVKEEEEAKGVEQKEKILIRVSDPVRERRLPPPLFSAPDEPSVPPPDPEEGRRQGIEDGEKDKGQYYVNMGDAIRTLREDLPVAFYREPNFHVYRDDIIFKDPLNSFTGVSNYKRIFWALRFTGQIFFKAGWIDIVSIWQPVENVIMVRWIVNGIPRVPWEANGRFDGTSEYKLDKNGKIYEHKVDNIARNSPTKFKVLPIIELIRSIGCPSTPKPTFFEASSINTASLFFYSEV >Sspon.05G0007250-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:14318333:14322278:-1 gene:Sspon.05G0007250-2P transcript:Sspon.05G0007250-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ASPVAVGSRRQPLLDEGDWSYYREWWGEEDGPGEGAQTVFRRHSECGNGVVSVSVYPASRPASEHWPATERWLQERNAKLYPESASSDQFKVLGYQWRVMRFNDHTRQSTAKVMTCYRTSGQRSLFLMQQPHVLAVPYVKSMVSAGLTALPCSSYDLPQAASGQNTMKILCIGHGGGTLPLFLASKFRGATIHIVEIDPVVVSASIESMGFPMSSVKGLSSESMLPADADDLLWGGIHDRIFLHIADAEDFIANDSNEYDIVFIDAYDGDDVFPRKLWDVDGAFMKNLEKKVHPVHGTVVVNLHSDSELPASEAEGNAHFQSRLPMGRRVSQICSAYKQHFGLAFTAAVPWLCNITLVACRDKGIASGARLGLSHRDFVLVKLLSKSDTVERSLGLPFPCLPYIKNGFTLVH >Sspon.05G0027420-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:51242693:51252443:1 gene:Sspon.05G0027420-3D transcript:Sspon.05G0027420-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRWLCRVWEALEHASAESLGAFPKVFDIPRALRDTKPDAYSPQHFAVGPYHQSCTDLKDMERYKLAAAKRAERLFADDHKIPDLPRVGFSRSLDIGTGTRCWLRALSLDFNAAFDAGAALSDVASDTGSSMNTPPSSEFTAPQVHEHPTQFRVHCSTELIVCVNCIFMASRNQGSAPRALVVEDIKVDCVILMHMLHKLNCEATAVQNGKEAVDLFVEGKTFDIVFLDKDMPVMSGPEAVAKIRAMGASEVKIVGVSADFGGLEKFMQAGADVFVPKPVKLETLEAMLE >Sspon.06G0027030-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:82320504:82325761:1 gene:Sspon.06G0027030-2D transcript:Sspon.06G0027030-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIAKLAGVDAVKLVVMIVQAAQTVRHNKKTCQQLVHHVQIIGDLLKKLHTPEMMQHPETRNGLNELEEILREAYMLITSCQNNNYIYHLFTGKKQADQFRILQNRMNSCLQVFPLISHIDTTDRLDKILEVIRPPCSQVARLVTPDRMIEFTHLVSGRTEVYGEVKRCSLQLNCTEALKFTLSQLVDATNNFSDRNLIGKGSFGCVYKGQLHDGLEVAVKRCFELPSSPNQLDVQDYEFQNEICFLPSLQHTNIIKLLGDTMQERERILVYEYMSNGSLDSFIFGITYNPGVDEEFADRIVGSIGFTAPECRERRVFSIKSDVYGFGALLLEIISGQRCFSLASGESGDDHGFLNKRVWKLWRAGRLIKFVDAPPGDESEKMEILRCIQIALLCVEENPANRPTMQEVVLMLSCHIFALPTPQHPAYLRAEMVHRRS >Sspon.02G0045300-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:90942328:90946128:-1 gene:Sspon.02G0045300-3D transcript:Sspon.02G0045300-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding NGEGGVERGVPGGEPAGLRAAAHHPADLPRPRRHPRPRLPAPPAPAAPRHRRDHGILLGPSALGRSTKFLNTVFPSASMTVLDTLANIGLLFFLFLVGLELDIAAIRRTGRKALAISLSGIALPFALGIGTSFAFRATIVKDARQAPFLVFMGVALSITAFPVLARILTELKLLTTDLGRMALSAAAVDDVMAWILLALAIALSGSGSPIISLWVLLTAAAFVAAAFLLLKPVLAWMARQCREGEPIRELHVCATLGIVLAAGFATDVIGIHALFGGFVVGVVVPKDGPFAGMLIEKVEDLVSGLFLPLYFVSSGLKTNVATISGAKSWGLLVLVIANACLGKIGGAVTTALLVKIPVREAVTLGFLMNTKGLVELVVLNIGRDRKVLNDEAFAIMVLMALFTTFITTPIVMAVYKPARPSVPYKRRTVECAPGDDGELRVLACFHTSRSIPTLLNLVEASRGTGRRRLVMYAMHLVELSERSSAITMVQRARRNGVPFFNSADREGQMVVAFEAFQQLSSVRVRAMTAISDLDTIHRDVIDSAAGKRAAIVVMPYHKALQQDGSFQSLGSAYHAVNKRVLREAPCSVAVLVDRGLGGPAQVSAKNVSFSVATLFFGGPDDREALAYTTRMAEHPGVAVTLARFRPHSDEESADDEAAVEAFKSKLGIVKDGSVHFEERQGYTKEEVLETINSLSKFNVFVVGRMPPTAPLVENPDELGPVGSYLASPEFRTSASVLIIKRYDPATNPKSKRFDPSARPPAATDEDVLDEEMGSRSTVVPVP >Sspon.04G0007640-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:14993699:14993941:-1 gene:Sspon.04G0007640-1P transcript:Sspon.04G0007640-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVVVAVEAAAVPSGACRSKSSSYLVRSLYRRLRAGLRRLQSERAGRWRRGTAGRFSFHYDALSYALNFDDGRASADFVV >Sspon.01G0021230-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:78023676:78028633:1 gene:Sspon.01G0021230-1A transcript:Sspon.01G0021230-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSASARVATATAHLVAAAALLFMLARGGESVSFWLPPPTAGGGGFLGGADRYLTREEHWMTQTLDHFNPTDHRQFKQRYYEFLDYYRAPNGPIFLYICGEASCNGIGNNYLAVMAKKFGAALVSPEHRYYGKSSPFNSLTTENLQFLSSKQALFDLAVFRQYYQETLNAKYNRSGADSSWFVFGGSYAGALSAWFRLKFPHLTCGSLASSGVVLAVYNFTDFDKQIGISAGPECKAALQEITRLVDGQLQSGRNSVKELFGAPKLENDGDFLYLLADAAAIAFQYGNPDVLCSPLAEAKKNGTDLVETFASYVKDYYIGKFGASVASYDQQYLKNTTPSESSYRLWWYQVCSEVAFFQVAPKNDSVRSQKIDTRYHLDLCRNVFGEGVFPDVFMTNLYYGGTGIAGSKIVFANGSQDPWRHASKQKSSDELPSYLIECKNCGHCSDLSGCPQAPSNIEGDSSKCSPPESLNKVRKEIVDHIDLWLSECQEQGHDKEPSLGSRWSIASI >Sspon.02G0019250-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2D:58495144:58500059:-1 gene:Sspon.02G0019250-2D transcript:Sspon.02G0019250-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRPHGIQSLYEAYWHLFRVQSKNSISKMSFRSIEQLLRRNSKTKISRNIVDGVHDQKEEQLVQSLRELLLASNQLPDKFDDYYVLLRFLRMRGFNILKAKEMFLNMLKWREDCSVDAIANGLNNFSKSAREMFAEIQKIDSNYYPETLNQLYIINAGTGFRALWKVLKAFMEARTLAKVQVLGTNYLNTVLEAVDPSNLPDFLGGTCTCPTGGCLLQDKGPWTDPEMVRASKEPSAKQVDSTSRKKRTLGMLLKDDQVGIDTGENILQKQVDEQISEKIRELEYCAAQSNETLQTLICKQQELTGHIDS >Sspon.05G0030440-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5C:86059721:86060328:1 gene:Sspon.05G0030440-2C transcript:Sspon.05G0030440-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DQVATGVSAKVIWTVKEYVP >Sspon.01G0009670-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:27440284:27441587:-1 gene:Sspon.01G0009670-1A transcript:Sspon.01G0009670-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSHAYSLFVPLLLLVIGVCCCSEALPIGHHPVDPTCPPESAVAVVSSSSSYGDGEPRRCQPPAPHIPVAVFPYDVDPMQFALNLEYTEAEFFLHAAYGVGLDHIAPKLALGGPPPVGARKANLDEVTWRIAAEFGLQEVGHIRAIQRTVGAIPRPLIDLSAHNFARVMDEAFGHRLDPPFDPYINSLNFLLASYMIPYLGINGYVGTNPIIDGYETKKLLAGLLGVEAAQDAVFRARLFERLGDAVLPYRNITVAEFTDRVSALRNRLGRCGVKDEGLTVPRELGAERAICTNVLSADRDSLSYARTPAELLSILYLTGDEHVPGGFYPEGANGRIARSFLGKPHGGAHEVPGN >Sspon.03G0005600-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:31087642:31090632:-1 gene:Sspon.03G0005600-2C transcript:Sspon.03G0005600-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VVESRYQMMDLSTTSVLAAKAYKYKAESLVKEYLLADSYVSYTAVLGGILMCKMAYDITSIISLLYYKGYGSLTKTQKLEWNNRGMSTIHAIFITVMSVYLVFFSNLFSDQLDGPVTFRSSNLSIFTLGVSVGYFIADLAMIFWAYPSLGGMEYVLHHMLSLISVVFAMYSGEGQLYTYMCLISETTTPGINLRWFLDTAGMKKSKAYLVNGVMMFVAWLVARIILFVYLFYHIYFHYDQVKQMHTFSCILVFSVPTILLVMNIMWFAKILRGLKKTLAKR >Sspon.04G0031670-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4D:16850654:16854076:-1 gene:Sspon.04G0031670-2D transcript:Sspon.04G0031670-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKTQGPETPDDNLQVPLLNDKNCTGSKAPLVVLGFECLESTAFNGISTNLVMYLETVLHGSNVASASNVTMWFGTSYLIPVFGAIIADAFWGNYNTILVSLAIYLVGMILVTLSAFLPTATVVGGSSVFGAHTVAFVGLYLVAIGSGGVRSSLLPFGAEQFDDDNAVDCENKMSFFSWFYLCVDFGPIVSGLFIVWIQENVSWGLGFGIATACIALAFGAFVLATPMYKRPTPTAGKAAAVISDSETGLKEISEEAAGSSQKLHAATKAEEFKTLLGLLPIWATSIIVSSAYTQMNTTFIQQGSAMNVSVLSVQVPPASMGSFEVVCVLLWVLLYGQVIVPALRGCGLSFGGDGEPSQLQRMGAGRLLMALAMAVAALVEMKRLGSAARGEEITIAWQIPQYFFLAGAEVLCYIAQLEFFYEEAPDTMKSTCTSLALLTIALGSYLSSFIYAIVAAFTATAGSPGGWISDNLNQGHLDYFFWTMAAICTLNFVVYSVFARNYKPKSPTCQLLPPIHTIFIFVAPTRVEGAKWGGTGRLVSPSRGECAQHLSLHAYTVQPWRRPLARYGVAGPGRPSTPHHLSVLPMPYAIPCLLRKVPTLTSPIPMTHDSPTPAALQDGEGKETGRRCQTCSVAVWRSAGKAGGALAATAHVAGASRIGFSLR >Sspon.07G0013840-4D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7D:49683011:49683433:-1 gene:Sspon.07G0013840-4D transcript:Sspon.07G0013840-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTIDDTAGLFLVTRTSRSLVPPSAATPRETLHLSVIDRVAGLRHLVRSLHVFENKKAAGTTPAKALREALGKALVDYYPFAGRFVEEDGETRVACTGEGAWFVEATAACSLEEVRHLDHPMLIPKEELLPEPAPGVNPLD >Sspon.04G0035340-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:8089942:8091379:-1 gene:Sspon.04G0035340-1D transcript:Sspon.04G0035340-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDHPSPEPNLSLFCPNSPKSPLNKGKKKEEEKKGGEGERGRRRPSSHSPAGPDPCPGLFGRRRTQQEEKISAGRGVKPISAAGTTGI >Sspon.04G0035720-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4D:16187096:16187479:-1 gene:Sspon.04G0035720-1D transcript:Sspon.04G0035720-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGSQLINAGYHVVAKLALNVGVNRVVFCVFRDLLALAVLAPLAVFQHRGSHAKALPPVTWRLLVSFFVLGLTGYTN >Sspon.01G0050350-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:2155244:2156944:1 gene:Sspon.01G0050350-2D transcript:Sspon.01G0050350-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALTLLMDRTSGSGFKSKRSYRNGYFGVSIKVQPGYTAGVNTAFYLSNNELYPGKHDEIDMELLGTVPGEPYTLQTNVYVRGTGDGARLVGREMRFHLWFDPAADFHHYAILWNPDEIVFLVDDVPVRRYAASAAGAAAFPDREMWAYGSIWDASDWATDGGRYRADYRYQPFLAGFRAFRTAGCEAAASAGCRPVPASPAGAGLSVQQRDAMRWAQQRSMVYYYCQDYTKDHSLYPECSLAT >Sspon.02G0008910-4D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2D:20364402:20365803:1 gene:Sspon.02G0008910-4D transcript:Sspon.02G0008910-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding CLVRALLAHGGRGGARLPWPTPRRSQIPALTRLRQRTGDGRFSDLTLRTPPPPPSLL >Sspon.07G0014220-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:56697803:56701437:1 gene:Sspon.07G0014220-1P transcript:Sspon.07G0014220-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYKGRSTGTSGTSTKSFRDLYNMKYSSYLNDEPMPDATSEKEQGNEYFKQKKFADAIECYSRSIGLSPTAVTFANRAMAYLKLRRQEAEDDCTEALNLDDRYIKAYSRRITARKELGKLKEAMDDAEFAISLDPNNPELRKQYSEIKTLHMEKMAKKVPVPAKRAVSGIDKPADVTSHPPTISQKDTIMEVDPPVSDAMKIRESAGGRSNGGSGVIINDKIMQVFISVAFLTIIIPTICGICVGTSCHTMASKSSPICTIGCTQHIIWAVFLAIFPRYNPQGRDANQKPGPEVSVQDLASRAASRYMASTVKSVKTPKTAYDFEVSWRALSDDTAQQIHKLTRDFKNALSAPFLIDIVKCSASIFRDDAALAVSILENLARVPRFDLIIMCLSSMHKSELRKIWDQVFLAEKAWLMIRSKLLSSCEADTFREDGRIACSLRVKSMMMM >Sspon.06G0031170-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:89482021:89489492:-1 gene:Sspon.06G0031170-2D transcript:Sspon.06G0031170-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRSGAEGTLAPPTEQQTNAPVATVMDAKLMLATGDGDVQQLKDLVNKQEQDSKMMVVVMAKQQAAASAENPHPRQGNMEPRLLALASSGSSAELQTLLNGEHSQGSRLPTRRATSSYGDDTEANESILAGKTAEGDTVLHVVAACGQGDNFCTYQVQRSQASPSTKRHPVYGDGENFLESARIIYGKANHLLFEENNEGNTPLHCAARAGKSNMVKCLVDLALAEGGEGRMKELLRKENKDKETALHEAVRVGNKDIVDLLMGKDSELASFPQDGGASPMYLTIVLKLNLIEKTLYEKSSDGKLSFSGQNGQNALHAAVLRHRAHLCHENKDLTKQRDENGSTPLHIAAARNKPSSINLSASIGSEYGSPTMPDFFPIHVAASVGASSNIAMFVKKCPGSAGLRDAKGRTFLHVAVEKKELDIIDDACRNLSLSWILNMVDNDGNTALHLVVQAGSLRMFCPLLANPLVNLNLSNNKGETPLDIVEHKIPEDGLFYKQVICRLTLFSSIGEQLIFWVRSSSASVCFGFAEYTNTLYTYHRRDHFEDNKTLKAKRDESKQLEVLKDSTGTMCIGSVLITTVTFGATFAAPGGYIADDHNNGGSPILARRYAFDAFVMSNTLAFVFSAMATIALMRSGSPLYNPRSRKMHLGTAFYLVSISITCLAAAFALGAYVVLAPVAHKTAVATCVEFFWETTSSSTTVMYEEGANLDSNRLVVLHILHSSPKIQGDKLDTVCRFTDIHPMSPPTEADTPVADMDAKLMVATGSSGVQQLKDLVNKQKQDSEVMVVVMAKKQAAASVEKPHMDPHLLALASSGSFEELKSLLAQELQTNLVISEEGEASGNNGGKDDDIEANKSILLGVTAEGNTALHVVATACGQRDKIFTRGWRWRWCLTRAERHALTTYGDGHDFLESARIIYGKEKRLLFAQNSNDDTPLHCAARAGNYNMINCIIHLALGEGGEGRFKTLLRKENKDRETALHEAVRIGNNDIVHLLMDKDSELASVPEDGGASPMYLAIVLKRDEIVKILYDKSSPGKLSFAGPNRQNALHAAVLRHQGTHARISPFSNLQTVFADLSFVSKHCHI >Sspon.02G0000680-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:2489725:2491035:1 gene:Sspon.02G0000680-1A transcript:Sspon.02G0000680-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding WMGVQTMSSHSHADDGRRGLPRQGSVYGLTLTEVETQLGEPLRTMNLDDLLRTVLPAAAAGQPPAAKKTVDEVWRDIQSAGGGGRQPSMGEMTLEDFLSRAGVAVDASPHWMHQYPPQQQYALPRPLPLGAAAPGPALGHAAYRGDRAGVFLSHSLSQLAGRKRGAPAAVAEDGVVERTVERRQKRMIKNRESAARSRARKQAYTNELENKIARLEEENERLRKLQRTDLPNALL >Sspon.01G0050460-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:2795662:2798614:1 gene:Sspon.01G0050460-2D transcript:Sspon.01G0050460-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVFYYVVFGALAAVVAGLELGKSGKDRVATTSAFNSFKNNYVLRGFDPQWLSITFSKAIFLGNGLIAIVSGLFANLLAENLGFGPVAPFDAAACFLAIGMAIIMSSWSENYGDPSESKDLMAQFKVAAKAIASDEKIALLGAIQSLFEGSMYTFVFLWTPALSPNEEDIPHGFIFATFMLSSMLGSSIASRLLARKLKVEGYMQIVFSISAVTLVLPVVTNILVPTSSVKGGSISVGGSLQLLGFCTFEACVGIFWPSIMKMRSQYIPEEARSTIMNFFRIPLNLFVCVVLYNKSFPRPTIMISSIMPARIVQKCQHTSNTLPVDIVNAFPITVMFGMCSIFLFMAAILQRRLMVVSDLHKSSTKAQEMIDEDEPLNP >Sspon.02G0026180-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:90882562:90885039:-1 gene:Sspon.02G0026180-1A transcript:Sspon.02G0026180-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADENNCSAVSGHCGTSPSSPQECCRSEDLNRYVCSDEGKEVGHLKKSQSLGNMLQKDHDHNSSEGSEFDVTNHEHKCDPSSFKRGEVVGESTKTCSPKNEDDFDASSDLISHDFCEPLGDHTVDSDRHHRMSYSQSKFPRSQSAIFQNDSTSDPEGSVDSEILGSRCRSVDGLCSLVDEKFDNLSGGEMHRCKSNLDVYCAPSSPNVYRASNIDDHGSVGCSDAAAEGQRSTGSTEENFVRDGILVGHEYWDGKYICGDHSLDPVATFCADPGDVYHYSGNDGDLSGATDQEREKLWNRDSTLHESLVVEVPELVNISDTNDITGEPEHSKTDIDEEPSELTPRTYNMKRIEDWINQIDINDLALDEQGESSISALAKSSEPMAVVPAVRPDAKSPLGMEIAYTYISKLTPASSSAQLANLGLVAIPRLSAFSVRVTAGALPKGLHMLSLSKNNISTIEGLRELTRLRLLDISYNRISRIGHGLASCSSLKELYLAGNKISEVDGLHRLLKLKVLDLRHNKISTSKGLGQLAANYNSLEAVNLDGNPAQKNVGDEHLKKYLLGLLPNLVVYNKQPIRATGSKDVSDRHTRKISSSHRSDRGGRSDRKSSMLVGASSSHKPQSSRYARSGYASSSALKYTRARNMPTTLLGSRPVEHASAIDLANQTQTEGKV >Sspon.03G0006310-2P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7B:9597665:9600630:-1 gene:Sspon.03G0006310-2P transcript:Sspon.03G0006310-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDPAAGGGGDAHPASGSKSSRSSTRHRQFRDRAKVRVDDLQEMFCGLQSARKESRSADAAVLEEQVHQMLREWRAELSVPSPASSLQASPRTLALRPSHRSLSCALVVPEEEDDATSKLLMYYIDNEISIDDFLCDDDYKINISGSNEDQFNNLHGIGQLEHQQFDLPLDLPPTHSYADANSSEQNTGDVFVHMSDLLTTIWPSPSQYLGPKCALWDCGRPVGGTEDSGDYCNPYHAGLALNDDGLLGTRPVMRPKGIDLKDGPLFAALIAKVQGKNVGIPVCGGAATSKSPWNAPELFDLSLLEGESLREWLFFDTPRRAFESGNRKQRSLPDYSGRGWHESRKQVMKDFAGLKRSYYMDPQPSSSHEWHLFEYEINASDALALYRLEYKSSDSKKSAKSKLSSSPLNEIQQQMGRLTADSPVENKRTARSKPNANTKDTNANTHSHVNNPSQVNAPNAYQTTSQVNQMTFLNGNVVYGPHLPHSENVVYGPHLPQSENVVYGPHLPHSENVVYGPHLPHGYSAEGSSFFWNPSDGT >Sspon.07G0026240-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:52388508:52390648:-1 gene:Sspon.07G0026240-1B transcript:Sspon.07G0026240-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPARGGAGGWLPGERWRRVLFLALASVSFLISLILLFLSAPRLRLPSVVLPYTAAAASAVRRGPDAPPCLAYLLTGARGDGRRLLRLLLAVYHPRNRYVLHLSADAPDDERLSLAAGVAAAAPAVGAFENVAVVGKPTAGTPVGSSGLAGTLRAAAVLLRLHADWDWFLTLNAADYPLVTQDDLIHVLSSVPRDLNFIDHTGDIGSKEPEKVQQIIVDAGIYLSGRTNFFRGTQKRPAPEAFKHFLLSVLGSPWVILNRQFIEYCVLAWENLPRILLMYFNNVIQPQEGYFQSVICNSLDFRNFTVNNDLRFVVRDDSAQTKPLFLSREHYRHMVDSGAPFARPFQENDPLLDLIDSNLLKRWSHGPVPGAWCTGRKRWFSDPCSQWGDVNIVRPGPQAVMLHQYVNRTLEEAKSSSNSCRR >Sspon.06G0005550-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6A:18751740:18757244:1 gene:Sspon.06G0005550-1A transcript:Sspon.06G0005550-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWQWPAGRRAPLMTTNHTTRPGAFVSRFRGVPSQGLSGTLSGRIANLTHLEQVLLQNNNITGRLPPELGALPRLQTLDLSNNRFSGRVPDTLGRVTTLRYLRLNNNSLSGPFPASLAKIPQLSFLYVRNARTRLVQAIGFLPRFHTTALFFCLLLLWFLLRFKSNSSIRDSMRLVGVCSVPRDLSFNNLTGPVPLFPTRTFNIVGNPMICGSNAGAGECAAALPPVTVPFPLESTPGGSRTTGAAAAGRSKAGAARLPIGVGTSLGASSLVLFAVSCFLWRRKRRHTGGPSSVLGILHERGGCDLEGGGGVVARLGNVRQFGLRELQAATDGFSAKNILGKGGFGNVYRGRLPDGTTVAVKRLKDPSASGEAQFRTEVEMISLAVHRHLLRLVGFCADGGERLLVYPYMPNGSVASRLRGTFSSVTLSPLTLCSRIAVAIGTTVVVVAGIKVRARRLHTRWEWDPGSTRPVPPAGPARGSARRSHGGMRAAHSTQQSGVVASPCSTWRCGRAGTMERRARGRRPQARHHHGTRDLSASLSRPAGDMPSLLAIPADCGGAAQQRQCGTPPPRHRAARHEAQAGSVSAPGRPASAPRLPVAAASGSASDTARPERRPGGGRLGRCNLLAALAPTSLAWALATAPTCACVRRRLALLLATRVLLAPTRGLRQECSIPSFLGEGVGPRPCRSRGGRGPVQDQDSRREREAWGRRALWNSTAQGHRAWKPALDWATRKRIAVGAARGLLYLHEQCDPKIIHRDVKAANVLLDEHHEAVVGDFGLAKLLDHGDSHVTTAVRGTVGHIAPEYLSTGQSSEKTDVFGFGILLLELVTGQRALELGKASGALHTQKGVVMLDWVRKVHQEKMLDLLVDQDLGPHYDRIEVAEVVQVALLCTQFQPSHRPKMSEVVRMLEGDGLAEKWEATNRPAAVAGAPCHVDALGYDHRNDSNGSVFFNDFHDNESSLSSDEARSIDMVEEMELSGPR >Sspon.05G0021980-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:4799805:4802898:1 gene:Sspon.05G0021980-3D transcript:Sspon.05G0021980-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRNGKRRKRKKRGDERAAAGGPSPSSASFDRHFFPVLLAAARTTRQTSSSCSPPAALAARLLRHVLARSPQTLSPLPGSLVALLPLLLSSSCSSVAALSCEVLGAAALRSMGTGEMLASDSGIASGLARTLGSRSQRVIEAACNSILDLSASSVGRERLAGSPVLPRTLHLFCQVESVYGFAHSISTESPESPTEANKILCLIIDTVVLLVNSCKIDNLQNLQQELVRNVLSLLYKIWKRTQLLRSSTDCNKPKNKLQSKEYDISEDIFRLSIGLASPAFPEPDVVRKSIFGQTVSDFETFVLTYWEKSPNLCRRKQSSQKDSPVFAALHSAFNLGTAPDPIIESLIKGLVSCPAITSDELDINSFLREVHDSLGDSVKYRQDIRVMRTQDPNDQTSRGCVTEEHFFDDGTVFMDEDAFIEKCKHAFKSGYSIALRGMEFRYEKVAAIASALADLFGQPSVGANIYFSPARSQGLARHYDDHCVLVWQLLGSKKWMIWPNPKPLLPRLYEPFDPLDGTLDENSERVEVLLEGDMMYIPRGYVHEARTDVGGSETNAYADYSLHLTLAIEVEPPFEWEGFAHIALHCWTEKQQLRDSQLVEFEGIVETSLSAIALHVAIRLLSDSVHGCGKVWTIQFLYDDSLKAFRSNQRSTFDEILRKIDQNCSIKEALKRITLAVKERDDEAFQWMSWLRHLPQQAVDIIDFCNIFRALEELLEAFNSNPDQALDGFTAFKSGFCRRVTYEDVCETFETLLEMYRTTRTQYMRGMLALHGAGAHVS >Sspon.06G0020650-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6D:9891411:9892200:1 gene:Sspon.06G0020650-2D transcript:Sspon.06G0020650-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MACHLRSARENAEIEANIQFQSYIRFAKKTQKQFKKVSDKSTSANQESCRIVKLSGETRHIAIILNLIAVQSSREQSLIHKTFQESRVPCKVEQLEVLEIEIVDLEAGVEALFKKLIQNSTLSA >Sspon.07G0005080-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:11702148:11703081:1 gene:Sspon.07G0005080-4D transcript:Sspon.07G0005080-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRICLSGATTFGGASATPAPTSGSGRRLALAAASSPSRRRTASAMAEAHTGRRVGMVGDVRDAPAGHENDLEAIELARFAVAEHNSKTNAMLEFERLVKVRHQVVAGTMHHFTVQVKEAGGGKKLYEAKVWEKVWENFKQLQSFQPVGDAAAA >Sspon.07G0015980-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:61944712:61946496:-1 gene:Sspon.07G0015980-2B transcript:Sspon.07G0015980-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTTSKKAYGVAIIIQLIYTGMYVVSKAAFNHGMSTYVFIFYRQAAATALLLPLAIVLERRNAPPMPFRLFLKLFFYALLGNTLSMNLYNISLKYTSATVASATSNSVPVVTFFLAVLLRLEVVRLRSPSGMAKAAGVALCLAGVLTIALYTGPSMSPVNHHRAFAAHHPSHPQAHADGGKGTWIKGTFLMLLSNTTWSLWIVLQASLLKEYPNKLLSTLVQCGLSTAQSFLLAVAVERDPAAWKLQLDVGLLAVAYSGLVVTGVSFYLQAWCIEKRGPVFLAMSNPLGLLFTIFCSSFFLGEIVRLGSLIGSGLLVGGLYSVLWGKSKDHLHQKQSQEQVPKTLATTSNDGHDDDEEKQQQPPAVQNTAGCDEKQLKDLFAMEASPLRHQSG >Sspon.04G0034810-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4C:79128802:79129098:1 gene:Sspon.04G0034810-1C transcript:Sspon.04G0034810-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGILQATSSTRVSNLRTPTGKDQEPLTTLGEGSNNLQLRAQSPPLLQAVYRVGKHGRVTRNPQQLEDQVPLECNSQAMHLNLTQDVQPSKEMSGGVIL >Sspon.04G0021560-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4B:579991:581524:1 gene:Sspon.04G0021560-1B transcript:Sspon.04G0021560-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGYPTPPRIMAGYGHGHLNGRALLVSVFASIPYPTAPLSSNSAPAPERPSPPVPLPGGCRPSSVLVPATPRIRKMEDSYRESIWRKKGRPREMYPDVSCKDVPVPPEEPFPNCDYGHPAHVGQSRHPDTAARCFYTCYSCSPYFRCFFFQWIDGPDKFDPRILLFYPSIDHCKREVFTCWVPLPPNPPPMTEEEKAIASARRLEDPPKCHCGEQAMINPRNEQEFICPLRRESTQKCKRKSYDDVFKFKDEYKTRVALRKMRGYPANYVTDFVKDHKKKMLQRAQEMRVRNPESIA >Sspon.03G0003550-1P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3A:9217839:9219428:-1 gene:Sspon.03G0003550-1P transcript:Sspon.03G0003550-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEILQALDDLISQFLSLDRSLWSSSDDADAFLEAVDELTSTIHGLENTSADHVLLESFDLLLERCSMRLKDEFQQLVGTSGFSHDHGDHNFKRSQNEDDNHTFVAQPVRNFDIIVDALPEGVVTEANRIARRMIAAGFGDTCVETYASARRNFIDESIARLGVNAHLEELCKSTSWEELETQIMRWIPAIRVVFHILIPSERRLCNCIFEEFTSYTNLAFATTCKPFLQLLSFAKVIAAAGHNPESLFRIVDMYDALTDILPVLDKAFAHEVAALRECLGLSIKGIFVALEKLIRCDPCESSPPDGGLHPITRYVMNYLMAACVSRHTLEEVMLLEFGCVETCPIDPDRPTSSLAIRFAWIVDVLIGNLESKSRIYGHAPLGCVFLINNGIYIIKKVNGCELKILLGEDWTRVISAKVQQWVLEYRRATWGRAIAILETDRRSDSSLSIMLEKLNHFHNFVEAICQVQSRWVLVDKQQAVDLSIMVEELVIPVYRDTIDMLKATEAVGVSYVRPEDVKSRIQRLFKAMA >Sspon.02G0021970-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:83189343:83193387:-1 gene:Sspon.02G0021970-2C transcript:Sspon.02G0021970-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGYQKGLDVEAGTSGGGARQLYPGMQESPELRWALIRKIYVILSLQLLLTAVVAAVVVKVRAIPHFFTTTNAGLGLYIFLIILPFIVLCPLYFYHEKHPVNLILLGLFTVAISFSVGLTCAFTSGKVILESAILTTVVVLSLTAYTFWAVNRGKDFSFLGPFLFASLIVLLVFALIQILFPLGKLSQMIYGGLASLIFSGYIVYDTNNIIRRFTYDQYVWAAVSLYLDVINLFLSLMTLFRAAD >Sspon.01G0021100-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:76731361:76744468:1 gene:Sspon.01G0021100-3C transcript:Sspon.01G0021100-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chaperone protein dnaJ 13 [Source:Projected from Arabidopsis thaliana (AT2G35720) UniProtKB/Swiss-Prot;Acc:Q39079] MASPPEPEDGRELYALLHLSPDASGEEIRRAYRQFAQIYHPDKYQDSQMKEVATENFQRIRDAYEILSDENKRQIYDIYGMEGLNSGLELGPKLSKPEEIKEQLERLRRRTEEEKIFTHALPNGSIIANFSVPHYLNDGGIMRGMAMSSEVELPVSKQNTVVVGGNLAVNGSAGSGAASTVLRHQLSPVSSIKFMATAGLRSVIGMQMSRQISPHSTATSGLAVSLRDGSINLSNAWTRQLSDNAVGNVKLMLFTTCIQLVLGDESSISVGWQKKDEKSTATGEVKFGTNFFGASAHYTHRFSKSHARIAGRVGSTALDFEIGGGRRISEFSTVRMMYNIGIQTYVVKPYYLKREKQKTLEKMEGLSTQLTEARKAAEKAQKLLEPVSNRKKNRQLENNGLVITKALYGSRQKIKESSELNEIHNDMASQVFDATIPLNFLVTEAGQLKLHDGIKKSGIMGFYDPCPGDPKLLLVEYTFHGRKYKVMVDDYEALLIPQDIHQF >Sspon.04G0013470-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:53340049:53343944:1 gene:Sspon.04G0013470-3D transcript:Sspon.04G0013470-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MELDSIECVSYSDGMEDDDDTAAVTSSQLPRPFLKSSSTAGTAAAAAVNVVVVSDRAGAAGPVAGAGPLVISPATGVHELLECPVCTNSMYPPIHQCQNGHTLCSTCKTRVHNRCPTCRQELGDIRCLALEKVAESLELPCKYYSLGCPEVFPYYSKLKHESQCNFRPYNCPYAGSECSVVGDIPFLVAHLRDDHKVDMHSGCTFNHRYVKSNPREVENATWMLTYFCLHFEAFQLGMAPVYMAFLRFMGDENDARNYSYSLEVGANGRKMIWEGTPRSIRDSHRKVRDSHDGLIIQRNMALFFSGGDRKELKLRVTGRIWKEQQNPDSGACIPNLFS >Sspon.02G0022320-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2A:74846848:74848428:-1 gene:Sspon.02G0022320-1A transcript:Sspon.02G0022320-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKQQEKRKQRATRAEKAKKPRPSQLINFTVHPRGSGTAAPVVVPPLHRGASNMRTAFPFPIAGVNGAAPLWSTAATLGAASRAAALTLSSSPATASANGPAAAPATGLQPPPVGAAASNAAAAALAQPAARAATGVSPPLVLAHAGAGDQLVPLPLQFVGYHPTCRASAVGLPAPAVGIPLAAALDKIENGALGRMLSALMPVCNPPLRQHQSKSGPPPPWWPTGFEDWWATELLAHLDTVAALMGAPAPVPFAPSYSLKKAQKVAVLVAIVKHLAPDFARVAAAVRHSGKLSVSETDLWHSALNNELAKCMRPMFIVLPQQQGGVAVGGGSASTDPSAAGSVVVVHSGKAGSAGCELQVANVNLPAGGSVSAPADGSRGEVIAAAELVVEKEQRSNSDGVGVGVIVSATDAPAGDGCDQPVNFPAAGGDGSPHKQGAAVAAAPTTGHVRQVAGGPAEMTMQQPEQDGGVPGDDVAAAEDEQVRPVVAPNPNAVAAIEEAPEDRHWWCDNDELNRMFAEFESFGDD >Sspon.01G0031230-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:107393914:107396798:-1 gene:Sspon.01G0031230-1A transcript:Sspon.01G0031230-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPARARADYDYLIKLLLIGDSGVGKSCLLLRFSDGSFTTSFITTIGIDFKIRTVELDGKRIKLQIWDTAGQERFRTITTAYYRGAMGILLVYDVTDESSFNNIRNWIRNIEQHASDNVNKVLVGNKADMDESKRAVPTSKGQALADEYGIKFFETSAKTNLNVEQVFFSIARDIKQRLSETDSKPEDRTIKIKAEGEADAAAAQKSACCGS >Sspon.08G0024250-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:65029891:65034710:1 gene:Sspon.08G0024250-2D transcript:Sspon.08G0024250-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATAAEVPVEAPVRTVKVTNVSLSATVQDIKEFFSFSGDIEHVEMQSGDEWSQVAYVTFKDAQGAETALLLSGATIVDLSVIIAPAPEYQPPPTYSASAPPMSGTRVAVGGDNTVVHKAEDVVSDKTKSAFAAAEQKVSSAGSAIMKNRYVFTGASWVTGAFNKVAKAATDVGTMTKEKMAAEEQQKGSGPSSGGHSYTPIR >Sspon.07G0025910-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7D:41092089:41093665:-1 gene:Sspon.07G0025910-2D transcript:Sspon.07G0025910-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPLSVLTLLVKKGPCEGRTLQRRAGAVALRVGRVAKGNDLSMRDVGASQRHLSVEFLPPPAARWAVTNLGSSNGTLLNGTPLVPTVPAPLSDGDLIKIGESTVIAVSISIDAGPVPGPAATRRSARNAAAVAAAEEEEEQGPAVSRRAGRPVRRKPPKLGMKWKRKLHARLAEAGGRRPLSPLGWRWKRKRRRRQYRAVVGRGRLQRRSSFRHSRKIRGQRELLQGEEEEGEVAVARDREGSAAEGRGDEEEDMVETTDRTSNASEVVLAAGRGRAKRSRRGRGRATRASTRKAEDAIVENDENEQEERDMADGRERGGSPLRVLAVNDGSEEDKVATEDDKLDGISKASMEDEKMVDVEEDVTLTERAIEGWVDAQPAPADNGGVEEEEMKNFSKGGETEVDQELREKVLPESKLDGVGEVEENDKREAIGASGEKGHVGERTGRHRLENMTLGKWFVQIEKYLLAKNKEAAEKAIAEVQEKHRRF >Sspon.01G0007010-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:18935919:18945810:1 gene:Sspon.01G0007010-1A transcript:Sspon.01G0007010-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLAATSVFSPSRAAVPAAGARVRTGTVVSARRRSSRSGTIGVKCSTVTPQASSVISRSAVAAKAAEEDKRRFFEAAARGSGKGNLVPMWECIVSDHLTPVLAYRCLVPEDNVDAPSFLFESVEQGPQGTTNVGRYSMVGAHPVMEIVAKEHKVTIMDHEKGQVTEQVVDDPMQVPRSMMEEWHPQQIDELPESFSGGWVGFFSYDTVRYVEKKKLPFSGAPQDDRNLPDVHLGLYDDVLVFDNVEKCTIPILILIDLFALDFSPTLSPGFVKLHTRQFGAPLNKSTMTSDEYKNAVMQAKEHIMAGDIFQIVLSQRFERRTYANPFEVYRALRIVNPSPYMAYVQARGCVLVASSPEILTRVSKGKIINRPLAGTVRRGKTEKEDQMQEQQLLSDEKQCAEHIMLVDLGRNDVGKVSKPGSVKVEKLMNIERYSHVMHISSTVSGQLDDHLQSWDALRAALPVGTVSGAPKVKAMELIDKLEVTRRGPYSGGLGGISFDGDMQIALSLRTMVFSTAPSHNTMYSYKDADRRREWVAHLQAGAGIVADSSPDDEQRECENKAAALARAIDLAESAFVDKE >Sspon.01G0055040-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:72455237:72470695:-1 gene:Sspon.01G0055040-1C transcript:Sspon.01G0055040-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSPWSNCHGDMRRTRTTGSGGGGSGSGHAVFLPQCSHQDQSHSRCVSGSSTVEANGRPVCQLCIARWRELPSLRSTNPSSPSTVPSSQPFFRPMEPRVFDDDDPVDRTPRPLRDDQHRGASVDGGGVALTTHCECSALARDASANDFAVLVHARAPGMAGAVGAAAPARAPLDLVTVLDVSASMVGTKLELLKQAMGFVIDNLGPRDRLCVVSFSSSANRLMRLARMSDAGKSLARRAVQSLAAGGGTNIGEALRRAAKVIDERMHRNAVASVVLLSDGQDTYTVPRRGGYGGRDANYDALVPPSFAYTGAGGPSAPVHTFGFGTDHDAAAMHTIAEATGGTFSFIEDEAAIQDAFAQCIGGLLSVTVQELRLDIACVHQGVRLRAVKSGSYGSRTDANGRSASVDVGELYADEERRFLLFLHGLTRGRRRHAPVACAYRDTATGRSKNVAGEEDAKVRRPWGPVTSARSVEVERERVRVEATDGIAAARAAAERGAHAEAVEILRHRQRSVTRSAAARAGDSTCLALSRELREMRARVASRQRYELSGRAYVLAGLSSHAQQRATSRQMVSGGVAEATRSSESAEERSVALATADLTTSYMTPAMLDMLGRSRRSRELLQQRQQQTKERRRTASADPCGICLGDISPGQAVFVAECSHTFHHRCISNNVARGNHDCPVCKVTWRDLPATIDPVPPPPRKYADDEETVAQGVVQAQADAAGLAADVGEMALKTHCEFPAVARDAPRDNFAVVVHVRAPDQAGGAVAADEVRAPLDLVTVLDVSGSMEVRKLALLKQAMGFVIDNLGPADRLSVVSFSDEASRKIRFTRMSSDGKASAKRAVESLVANGLTNISKGLLVASEVLADCRYRNAVTSVILLSDGQDNQSGVGRNHQYLVPPLFRDADNRPGPIHTFGFGTDHDAVAMHTIAEVACGTFAFVENQEVIQDSFAQCIGGLLSVAVQDARLAVTCVHPGVRVREFKSGRYGNIVAEDGRTASVDVGELYADEERRFLLFLDVPRAEAAEEVTRLIKLSCTYRDTMTGRAVDVVGEDAIVQRPVEVTNTEASMEVERERVRVAAAEDIAVARAAAESGEHAEAARILQRRRQSVLQSAPGRAGAFDALVEELQDFSARVEDQAEYERTGRACMLTGISTHAQQRGTLLAIRPKCGRTLQTARKSAPPYTTKRANMEGSKLALLKQAMGFVIDNLGPADRLSIVSFSNDASRKIRLTRMSGDGKASAKEAVESLVAVGGTNISKGLLLSDGQDNLSGVGRNHQNLVPPLFRDADSRPGSIHTFGFGSDHDAAAMHAIAEVARGTFSFIENLSVIQDSFAQCIGGLLSVVVQNARIAVECVHPGVRVRQVKSGRYESSVDAEGRAASVDVGELYAEEERRFLLFVDVPRAEASEDVTQLVRVRCTYRNVATGGTAADVVVSDDAVVQRPVEVSNPEMSMEVERERVRMAAAEEIAAARAAAERGAFSEAGRILERQRYCMRMSAPAMAGDKVCLALEDEKYYETTERARVLERISSHAQQRASYGGVPGAAPQACMTTAMESMLHKSKKSREKQSSPPPPKRKRGGSK >Sspon.06G0002620-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:6872705:6873919:1 gene:Sspon.06G0002620-2B transcript:Sspon.06G0002620-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAILKRHSSTDTDSAMSTAAAGGEASAAVAASLSDDMLAEIILRLPVDSVARSKCVSKAWCATVSDGYLRRRLPLQLSVVYFPDDADSASARGKTRPRFACADSAAGDGGVGLLRDRDLGFFPFLDVSVVCDACNGLLLLRASGTRRFYVVDPVTRRWAALPSPSRDPRLSMLAFDPSSVSGYHVINFTGRWRDRGGEVEVFSSETRAWSLRDVEFGVPAASLSGSVHFHASAVYVLASDPDCVVRMDVTAGLECAVAELPEPADGGGDGRLAHSAGRLHYVATDGALRIKVWVLDGESPAALQWQLKHAVKLRDVVELEGGCGGRGGEARFLALHPEKDALYVWSAGKLQLLEYDLTRKEVTGAWAFGKGEKNRVVKTWLVPSSMYLSDCLPLADDAHVQEQC >Sspon.07G0029420-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7B:75976461:75977150:1 gene:Sspon.07G0029420-1B transcript:Sspon.07G0029420-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVRNISSATAFPNGRSLLPWQRSFNGKVKVRFILFLSITTTLKHVISIALDREGEDEGRTPVEVPLTRAPLLVDAVRLAENRSQHWRIFCCRRRRGHHSRRRPHRGRGNHARRLIAYVAPPVQAAPPTPPATPVRLPSLTPRSFTLAAGPREGPTPYAFQEADQTSVGFNATESGTIAAPAAFPVTTLADLAQATLHRPGSVEFVARAPPPQAIVPAPGLQPSRQGRI >Sspon.03G0046850-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3D:48434387:48436745:1 gene:Sspon.03G0046850-1D transcript:Sspon.03G0046850-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVYSVQICSLAQRFPELKTLRSCDLSPASWISVAWYPIYRIPTGPTLADLDACFLTYHSLSTQFASVGNSSPKPTTATTTCSAPVTAMWLPTFAMASYKVKAAAWTPGWRDRQMAASLAQAADAWLRLLRADHPDHRFFAARQEM >Sspon.01G0032860-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:111612389:111616640:-1 gene:Sspon.01G0032860-1P transcript:Sspon.01G0032860-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEERQQQVASDAAEWKQVAELRAVTQAQDPSCKEEDDYTLRRFLRARDHNIGKASAMLLKYLKWKPTAKPHGGAIPASEVAREVAQAKLCLQGYDREGRPLIYGFGARHHPARRDMEEFKRYVVHVLDATVARLPPPGPGRQEKFAAVADLKGWGYANCDIRGYLAALDIMQSYYPERLGRVFLVHVPYVFMAAWKIVYPFIDDNTKKKFVFVADKDLDRTLREAIDDSQLAEIYGGKLKLVAADNN >Sspon.08G0016040-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:58205255:58210011:1 gene:Sspon.08G0016040-2B transcript:Sspon.08G0016040-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTITWVKARQIFDSRGNPTVEVDIGLSDGSFARGAVPSGASTGIYEALELRDGGSDYLGKGVLKAVSNVNSIIGPAIVGKDPTEQVEIDNFMVQQLDGTSNEWGWCKQKLGANAILAVSLAVCKAGAMVKKIPLYQHIANLAGNKTLVLPVPAFNVINGGSHAGNKLAMQEFMILPTGASSFKEAMKMGVEVYHNLKSIIKKKYGQDATNVGDEGGFAPNIQENKEGLELLKAAIEKAGYTGKVVIGMDVAASEFFSEKDKTYDLNFKEENNDGSNKISGDSLKDLYKSFVSEYPIVSIEDPFDQDDWTTYAKLTEEIGQQVQIVGDDLLVTNPTRVAKAINEKTCNALLLKVNQIGSVTESIEAVRMSKRAGWGVMASHRSGETEDTFIADLSVGLATGQIKTGAPCRSERLAKYNQLLRIEEELGDAAVYAGAKFRAPVEPY >Sspon.05G0029790-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:83419899:83425190:1 gene:Sspon.05G0029790-1B transcript:Sspon.05G0029790-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNVRSLSVASLTVMPESKDTFCRGGRSTGWEEQGHIYEDVSMERGTFLVQQAMRVTGWSILSVSLNKIGDLRYYEGDLQFARSYYARSLDVRRCAVKEHLAVASQVIDLETSFAKVADVDQNLGNESTAVKGFKEAIECLGKLKLTKER >Sspon.05G0016250-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:73804947:73807792:-1 gene:Sspon.05G0016250-2B transcript:Sspon.05G0016250-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHGSLTDSTSSTFSIMEEDHTLANSTRFVLNQDPRVAFCGYSIPHPAEKKVNIRVQTTGDAAKDVLKDALQNLMIMCQHVRGTLDNAVANHRAKNTTAEEMDVDRK >Sspon.03G0031910-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:38861738:38863208:1 gene:Sspon.03G0031910-2C transcript:Sspon.03G0031910-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVAPAPPVPAPPGRPPLPILSSSLRRGSSSWWFSNGSAHGRDSTTATTSSRATRRRWWSDPDGSQEDYGSSSLEDDYDYDYEDEAAFPGFGGAGELFDEPWFSKVFKTYGFLLPVMLVSMFAATGTKAFLMAMAFPLGQSAISFLLEAVWGRRKGNRDDRWRRRPVQDEEEDYPEDATDFATGGRGSRYGGGGSSYYEGRRGRRSYQSRVSNDFADAASTAVGADDDNTKSSSSGDGWGASKSGGGYGGWDELLDNSAAAAQEAKRNRNSFSDGNTGYSTKSRPSATGEEDADYTAAGSGGRVEQGVGAPMRMRRRRMPRTMGLGST >Sspon.05G0018680-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:74835575:74838910:1 gene:Sspon.05G0018680-3D transcript:Sspon.05G0018680-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Syntaxin-61 [Source:Projected from Arabidopsis thaliana (AT1G28490) UniProtKB/Swiss-Prot;Acc:Q946Y7] MTPAQDPFYIVKDEIQDSIDKVQDTFLQWKQTPENTGEYVHLTKELLTSCESIQWQVDELEKAIAVAERDPAYYGLNEAEIGRRRSWTSTARNQVLALKRNVEGGRQKSVFGRSTNPSESIRSKKNISQDNDEFIASESDQQMLLIKRQDEELDALSASVQRIGGVGLTIHDELVGQEKLLGELSLDMETTTNRLDFVQKRVAMVMKKASWKGQIMMIAFLVILFIILFVLNGAGRAESQPFIQIIHK >Sspon.07G0011150-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7A:37828094:37829417:1 gene:Sspon.07G0011150-1A transcript:Sspon.07G0011150-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSDVGHVVGSGVGSSEVVNMQWRRSSEEFVRKGPRAVKGRHEGLNLIKDQEADDRPWVAHIGHMEELANMGDEDLVNWSEDGIGPSKGKTS >Sspon.04G0031420-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:13194256:13200895:-1 gene:Sspon.04G0031420-1P transcript:Sspon.04G0031420-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mediator of RNA polymerase II transcription subunit 23 [Source:Projected from Arabidopsis thaliana (AT1G23230) UniProtKB/Swiss-Prot;Acc:F4I4P3] MESHQGSRAETTVINQCNQLLSPSAEPNYVMTYLSHSFPQHRQYLCAGAWMLMNGHLEINSANLARVLREFSPEEVTANIYTMVDVLLHHIQFEVQRGHLAQDLLSKAITNLSFFIWTHELLPLDILLLALIDRDDDPYALRLVISLLEKPELQQRVKNFCNTRSPEHWLKNQHPKRAELQKALGSHLSWKDRYPPFFDDIAARLLPVIPLIIYRLIENDATDIADRVLAFYSSLLAFHPLRFTFVRDILAYFYGHLPIKLIGRILNLLGVSTKTPFSESFAKYLVSSNSSICPPPEYFANLLLNLVNNVIPPLSSKSKSNPADTTRSTFNKHHASSQPGGVGNTDGQRAFYQNQDPGSYTQLVLETAAIEILSLPVPAAQIVSSLVQIIAHVQAMLIQSNSGQGMSGGLGQSSGLPTSPSGAAESSGPNQANSAASGINATNFVSRSGYSCQQLSVLMIQACGLLLAQLPPEFHMQLYSEAARVIKDCWWLADSSRPVKELDSAVGYALLDPTWASQDNTSTAIEWLESTHTVIKHLRPVNSVAMLRIAFRILGPLLPRLAFARPLFMKTLALLFNVLGDVFGKNPPVSNPNPVEASEIADIIDFLHHAVMYEGQGGPVQSTSKPKLEILTLCGKVIEILRPDVQHLLSHLKIDPTSSIYAATHPKLVQNPS >Sspon.01G0021820-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:79462865:79465737:1 gene:Sspon.01G0021820-3C transcript:Sspon.01G0021820-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLSPSPPAPAAAVTVPRRIRLAPHRRLKATCTPPRLACLRLRPAAPLRGIPSKTGCRAAAADADAAPSQAPGGDGGVRGAMVRIGEALSLGFPVWVASACALALWRPPAFLWVGPTAQMLGLSFTMLGMGMTLTLDDLKTALLMPRELAAGFILQYTVMPLSGFFVSKLLKLPSHYAAGLILVACCPGDWLLALELRRKMYLQAQRATLANVALSVLMTAASTFAAAFMTPLLTSKLAGQYVAVDPMGLFVSTSQNSVLGLVLATKHFGNPLTAVPCAVSSICHSVYGSILAGIWRSMPTKNKGE >Sspon.03G0027930-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:3154298:3155896:1 gene:Sspon.03G0027930-3D transcript:Sspon.03G0027930-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAVAALLVVLVAVAVLLFRGLRRHTGHRNHCPYPNPVLGNVVPFVRNFHRFLDWATDQLAAAPASTIEVRGALGLGNGVATADPGVVDHLLRAGFPNYVKGARFAGPFADLLGSGIFLADGRLWSLQRKLASYSFSSRSLRRFSGRVLSAHLHRRLLPLLAAAADSGEAVDLQDVLKRFAFDNICGVAFGVEASTLLELGEEDGGGRGRRHRHDAFFKAFDDAVEISFARMLHPTAVVWKAMRLAGVGSERRLREAIRVVDEHVAAIMESEERSRGRGDEQHLLSRFAAAMEEDEGSELGAMFQSPEAKRRFLRDIVVSFVLAGKDSTSSALTWFFWLLAANPRCERRVYEEAAASLDENGYDDHSGYDELRGMHYLHAAITEAMRLYPPVPINSRVAAAGDVLPDGTTVRAGWFSDYCAYAMGRMPRLWGDDCREFRPERWLDGGGEFVAVDAARYPVFHAGPRACLGKEMAYVQMKTVVAAVVRRFAVEPVRAARMEAPPPYEMAVTLRMKGGLPVRIRGRQSDAGRH >Sspon.05G0007110-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:16441305:16446469:-1 gene:Sspon.05G0007110-2B transcript:Sspon.05G0007110-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSASAALVRAAPKPRPEQHPLRFFPASAKAAGEPHPTAYYATLLASLSRECRHAHHPFDAGPHRTHQARACGVLHARILRLGLPLRGRLGDALVDLYGRSSRVGYAWRALGCCTGAPASGAAASSVLSCHARSGSPRDVLDAFQRIRCSIGGTPDQFGLAVVLSACSRLGALQHGRQVHCDVLKNGFCSSAFCQAGLVDMYAKCGEVNYARRVFDGIACPDTICWASMIAGYHRVGRYQQALALFSRMEKMGSVPDQVTCVTIISTLASMGRLDDARTLLKGIQMPSTVAWNAVISSYSQSGLESEVLGLYKDMKRQGLMPTRSTFASMLSAAANMAAFDEGQQMHAAAVNHGLDANVFVGSSLINLYVKHDCISDAKKVFDFSTEKNIVMWNAMLYGFVQNELQEETIQMFQYMRRADLEADDFTFVSVLGACINMDSLDLGRQVHCITIKNSMDADLFVANAMLDMYSKLGAIDVAKALFSLIPGKDSVSWNALIVGLAHNEEEEEAVYMLKRMKFYGIAPDEVSFATAINACSNIRATETGKQIHCASIKYNVCSNHAVGSSLIDLYSKFGDVESSRKVLAHVDASSIVPINALITGLVQNNREDEAIELFQKVLKDGFKPSNYTFASILSGCTGPVGSVIGKQVHCYTLKSALLIQDTSLGISLVGIYLKCKLLEDANKLLAEVPDHKNLVEWTATISGYAQNGYSDQSLVMFWRMRSYDVCSDEATFASVLKACSEIAALTDGKEIHGLIIKSGFVSYETAASALMDMYSKCGDVISSFEIFKELKNKQNIMPWNSMIVGFAKNGHANEALLLFQKMQESQLKPDEVTLLGVLIACSHAGLISEGRDFFDSMSQVYGIVPRVDHYACLIDLLGRGGHLQEAQEVIDQLPFRADGVIWATYLAACQMHKDEERGKVAAKKLVEMEPQSSSTYVFLSSLHAAAGNWVEAKVAREAMREKGVMKFPGCSWITVGNKTSLFVVQDTHHPDTLSIYKMLDDLTGMMNKDDRIEEYDLHSLSGMLTGGITTLSFGEALVDAPDMVRCQMNFKRLSLTDIKIDIKRVPKKTTLIKAMEEADVKSKWENSSWGKKLIVQKRRASLNDFDRFKVMLAKIKRGGAIRQELAKLKKFHVTNSCRLGFECPLKGIKRLYSDDELGIYPSSVV >Sspon.04G0007020-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:20012386:20016869:-1 gene:Sspon.04G0007020-1A transcript:Sspon.04G0007020-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRPYTVKGRKKKRKLEEASASDAAPPAEEAEEQPPPEVGSGEEDEAVAGKEEHAAADGLPILPRTVDGKRRPGAIFVLERACLEVGKVGKTMQILNSDDHANYLRKQNRNPADYRPDIIHQALLAIFDSPLTKAGRLQAVYVRTEKGVLFEIKPHVRMPRTFKRFCGLMSQLLQKLSITAVGKREKLLNVVKNPVTRYLPVGARKIGLSFSAEKSVNLFDYVAKSSDDEPLVFVVGAMAHGKIDKEYTDDYIQICNYPLSAACCLNRICSALEQKWNIQ >Sspon.05G0021190-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:84878105:84884124:1 gene:Sspon.05G0021190-4D transcript:Sspon.05G0021190-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDISECSPVPESVPAHPDPSSVSPDAWRRFETAALAVVNKIQPTAASEQLRAAVIAYVQRLFWFQARYQVFPFGSVPLKTYLPDGDIDLTLFGPAISDENLANEVCAILKSEERRKDSEFEVKDVHYVPAEVKLVKCLVQNIVVDISVNQIGGLCTLCFLEKVDQNFGKNHLFKRSIMLVKDWCYYESRILGAHHGLISTYALETLVLYIFHIFHKSLDGPLAVTDPPDTQDDDFLQREEFLKECTESFSVLPRNSETNPRVFSRRFLNIVDPLKQSNNLGRSVSKGNFYRIRSAFDFGARKLGKILQVPSCLTVSEVNQFFRNTLKRNRTGLRPDVWVSSSDLVTNDPVSSVLDVERVNKVTPNNSCDVLSNQLSNINISDSNNHGSMADHKEIKSVSWGLLDSDATSHTATDSVSMRGGGDFSEASPTPSETCTLQSEPARRTDTIPSYHGVSAKQFTGRSHHYIEDAKHNAYSYSTGLIDGLGTSNSVLTSDTQPGGTTNDTVPNLTGDFDTNLHNLLYARGFHQDNPTTQLYYPMPMPPPLQYQNMHPSNGHGRKNPYGYAGRNGVVPGPVYSPGYFVYRPLYQADDHMAMRARGTGTYFPDPNLRKERPPTGRGERGRNHSHQNNYQKFHHHGRPDMPVDMIPFEELRHEPPLQIYAPSANDHGIPSPMNIPTPSPSSQSPRDPLNVPMHSPSSQVRKDNFHGNGFMHSQDSKLEFGTLGALPREVASKDHASRSGSASNNQGSGPVTVSPMSVAKNTGMGSNGMR >Sspon.02G0032760-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:121830888:121832198:-1 gene:Sspon.02G0032760-1A transcript:Sspon.02G0032760-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQNCPICYEYLFDSLQGTRVLNCGHTMHLTCFEEMVEHNKYTCPICSKTALDLTRHWEMLDQEIEATIMPPVYRYKIWVLCNDCNKVSEVNFHVIGHKCSHCSSYNTRSTSRPADSSGSSSPSTDSSDNNM >Sspon.03G0016620-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:72911580:72918571:-1 gene:Sspon.03G0016620-3C transcript:Sspon.03G0016620-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLLVGALLLCAAAAVEPKPPLDTLGIPPQDEAYYRGGVIKCRDGSGSFSRDQLNDDFCDCPDGTDEPGTSACPEAKFYCKNAGHTPVMIFSSRVNDGICDCCDGSDEYDSNVACKNTCWEAGKAAREKLKKKITTYKNGVVIRKQEVERAKEAFAKDEAALAKLKGEEKMLQGLVDKLKEQKRLIEKAEEEERLRKEKEEKRIKEEAEKQAAVEKGAPDASRDVDSKETQDHVQEDENKVAEQHDGHATDHDNHVTESGASAEQHGSVSKDGITAEAGTVDELPQESAAPTLEKDLSSDNPEGLSREELGRMVASRWTGESVNEVSKDDKKGHEDEQEIPEPAEEALEDELEIPEPAEENYGGYHSEVEDDRHKYEDEEFDHESEDEYVDDHDDHVESYRSDDDQKGDHHSDLTEPGHASWLDKIQQTVQNVFQKFNFFKTPVDLSEASRVRKEYDDASSKLSKIQSKISSLAEKLKHDFGKDKEFYSFYDQCFETKEGKYTYKVCAYKKASQAEGHSSTNLGRWDKFEESYRVRLRCGLSNELNDVDEPSRCEYVAVLSTPAVCVEEKLKELQNKLDAMSSKQPGHDEL >Sspon.04G0006430-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:17616408:17620413:1 gene:Sspon.04G0006430-3C transcript:Sspon.04G0006430-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVRSAATKLHIPPSAARGGRPTCLPLVAVLLLCSASYLVGVWQHGGFASSPSESRAVSIATSVACTNTAATPKRRTRSRSGSPSLDFSARHAAVAALDADTTSPSASSSAAPRTRRYPACAAKYSEYTPCEDVARSLRFPRDRLVYRERHCPASERERLRCLVPAPAGYRAPFPWPASRDVAWFANVPHKELTVEKAVQNWIRVDGDKLRFPGGGTMFPNGADAYIDDIGKLVPLHDGSIRTALDTGCGVASWGAYLLSRDILAMSFAPRDSHEAQVQFALERGVPAMIGVLASNRLTYPARAFDMAHCSRCLIPWQLYDGLYLIEVDRVLRPGGYWILSGPPINWKKYWKGWERTKEDLNAEQQAIEAVARSLCWTKVKEAGDIAVWQKPYNHADCKASRKPFCSRKNPDAAWYDKMEACITPLPEVSSAKDVAGGAVKKWPQRLTAVPPRVSRGTVKGVTAKSFAQDTELWRKRVRHYKSVISQFEQKGRYRNVLDMNARLGGFAAALAVAGDPLWVMNMVPTVGNTTTLGAIYERGLIGSYQDWCEGMSTYPRTYDLIHADSVFTLYKNRCEMDRILLEMDRILRPRGTVIIREDVDMLVKVKSVADGMRWESQIVDHEDGPLVREKILLVVKTYWTAQDQEQ >Sspon.05G0029280-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:77382602:77386209:-1 gene:Sspon.05G0029280-1B transcript:Sspon.05G0029280-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVPESASTGVMYSVIEKLGALMGEQYEKHRPVRRDVAFLKDELSSMHAVLNKLANMEELDPQTVEWRNQVMGMAFDIEDSIDDFMHQVGEDATSTGDFGFIAKIRQYVNELRLRHHFTKQIQELKSRVIEVSERRKRYKLDEAAASSSSFVTVDPRMSALYTEAGSLVGIESPVDDIVKLLDKKEGDASASPLGLRVVAIVGFGGLGKTTVANEVYREIRGQYDCEMFVSVSQRPDLLKLLGRIIHKVGIPQLNHIVEVEDLIESIRGYLKDLREDQDTAAATSLSMSHMRSFAVFGNTCFIPPISKFKYIRVLNLKDWRTDGHDSIDLTPICKLFQLRYLNVGRKARLPAQISNLQCLETLELNKLDGDVPSDIVHLPCLLHFVVPCGKRLPDGICVMRSLRTLRCFDVGLNSVDNFKGIAELLNVRDLVISCSGTAPQQGIMDTLWSSIAKLICCKLRALTFPSFPANLPPPIVGLDRLAISQAEHHLEVLEVSSTLFPQVPSWIVLSLTVNILRQDDVDLLVQLPNLLDLKLNIRKCPKEIILIDSSRVAFPALKLFTFSCFAPCLFFAAGALPNLHTLTLNHHGRGLENVKVLEGIQHLLNLKEVHVVFTNGYCGGKAMPGITEADAEATYRDAISMHPGKSGIKILVNLFCLVMPSSAFYYDSDDGAFVDSQ >Sspon.03G0032560-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3D:35602347:35606859:1 gene:Sspon.03G0032560-2D transcript:Sspon.03G0032560-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MELGGGARGPGDRVRRQLQSVGRLAAYLGGGFLLLSAASSVAVRSLRAFSDANQWPALRQRKYAMPCGACEGKGTYACRLCRGSATIEWSPMHDPVLVNPCLCPTCDGTRLATSAAASYDCWGAVADLPITPGGAAAPATAALKRGDASCDLPACRSALAAAAPRRAGAPPKILGEFRHCWGVDIKESHLNVSH >Sspon.02G0036930-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:33654792:33657817:1 gene:Sspon.02G0036930-2C transcript:Sspon.02G0036930-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSQDGHYKYMARSLILYVQQYNLLSLVHSSLYHRWLFHSVICRLCFISSCSSPGLLQMETSRNGVGCGACYQRSHFPCYLEFEIWYQQGNHCSPALRGNVQSQFY >Sspon.01G0025720-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1A:90181865:90184989:-1 gene:Sspon.01G0025720-1A transcript:Sspon.01G0025720-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSQALAHEEGRRKRVEAEMSELRHMYGNVVSEYEGAKSIVESLSSNTDGEIASLRSSLAEKATLLKEMGYRKTRLEQENEDLRSRLKEYQEAQIGGADAVVSLKSLREKFRTLEQTHRSCTEKLRDKEEEWRLQMAKLVNDLDGRLSQLESKDILIGQLQNELLGSYGSLELQIVENWEALIILTVVQAKFREACSFVDTAQLNMQRHCQEIEKQIASAKKQLEEQSCTIVLSEAEQKQQSQVIAKLHARIEELEHMEQEQKKMQRQLDAYKEMLDNSSRDVHCLKDEASKKESTLQEKLREALSALDEANCALADRKSELSQLEINLHHQKQAIEHLEKLKVDMETEVKSYMYDNHILKRDLDAALVAKVEVEEFLRQEKMKLICALDEAKYTLSERNSELTQFETNFHQQRQALENLEKVKVDMETELKTCMDENCVLKRDLDVAIIAKMEAEECHTKEKEELCGIINEKGMMIDKLQQHIAVLEEENMVQKLDLGSLIKMEYEKSIHEVKNRYSEIVEVSDKKLLELEERLRFVEQKFSCREQELMKMFDQEESDWYTLIAEKEIAISDIQRTAESVQLDIKHLLEAAAAKVAEVQLEVNQLYGFAETLNSLNIVQEHDTAFKDMLIAECERELDSLQVNLVQEKHQSRNLKNLIEQLKAQTASEMSEKAKEHLEVTTKLKSLEERNETLDEHLRELKSRATDMSNVVLQERNQLVDELTGLTNTIGEVIYGGESMMSNLRRIMQKVNEEEPCNDRLTSEKTNGRSSAPLIRNKSGHVLDRRSPLKEHNY >Sspon.01G0021400-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1A:78669135:78670260:-1 gene:Sspon.01G0021400-1A transcript:Sspon.01G0021400-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLFPWFAWLLVALVGAYLLVLAHDRRRGLPPGPHPLPVIGSLHLLGDQPHRSFARLAKIHGPLMSLRLGAVTTVVASSPAVAREFMQRHDAVLSNRTIPDALGDHAKNSMIWLPNNPRWRALRKIMATELFAPHRLDALQHLRRGKVQELVDHVGRLARGGQAVNVGRVAFVTSLNLLSRTMFSRDLTSLDDDDGASREFQEVVTDIMEAVGSPNVSDFFPPLAAADLQGWRRRLAKLFAQQHRVFDEEIDGRLRSREAGEPKKNDFLDLLLDAAEDDDNTAKLDRDTLRSLFTLSHMSALISFPLVSPCDSSE >Sspon.04G0028470-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4B:66108316:66111025:1 gene:Sspon.04G0028470-1B transcript:Sspon.04G0028470-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMLRWCCEHTRRDRVRNDDIQDRVGVASIEEKLIQHRLRWFGHVQRRPPEAPVRSGVLKRADNVKSGRGRPKLTWDESVKRDLKEWNISKDLAMDKSAWRLAINDILSTILSLLPINDALPCDFKGCLNLKSLTLVDRTIVPEGPFKFTCLRNLRLDLVICGKETRNVDVLDYAYLLKIAPFMETMELRMRIRWHHLPYCKEDGELRIGLPHQHAHLKYVRISGFFGHKDQVELALHILGSCMVLEKMVITPKLKITSSAFEPSESDYKQHYVDGHRVATEFVCKADHRNVVNVVPAETTTKLAPGPRDGEELKRRAVVLTT >Sspon.03G0029060-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:20060354:20066069:1 gene:Sspon.03G0029060-2C transcript:Sspon.03G0029060-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSWPASSDLSLGLHSHAHAHANARVHAVAAPLRLFDDAEDAKPERVVAGEANAERDDEDGGDQHFSLLGHSLCVKRPRRAVNGGGGGGGETSSCSSTSAAQRPAKRQATGEGSGADLETRRAAVRAWGNQSLAEADPDVHALMEQELDRQVRGIELIASENFVCRAVLDALGSHLTNKYSEGAPGARYYGGNQHIDAIERLCHERALTAFGLDPACWGVNVQPYSCTSANLAVYTGLLQPKDRIMGLEPPSGGHVSHGYYTPSGKKVSGASIFFESMSYKVNPQTGYIDYDKLEERAMDFHPKILICGGSSYPREWDFARMRLIADKCGAVLLCDMAHISGLVAAKECRSPFDYCDVVTSTTHKNLRGPRGGIIFFRKGKNLRKRAGSFSQGDENEYDFEDRINFGVFPSLQGGPHNNHIAALAITLKQVATPEYKAYIQQVKKNAQALASALLRRKCRLVTGGTDNHLVLWDLRTLGLTGKIFEKVCEACHISVNKTPIYGDNGSISPGGVRIGTPAMTTRGCLEEDFDVIADFLIRATQIASNVLKEHGKVQKEFLRGLQNNKGIIELRNQVEAFASQFAMPGFDV >Sspon.01G0012280-7P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6D:11939301:11940611:-1 gene:Sspon.01G0012280-7P transcript:Sspon.01G0012280-7P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEEWRRSILVPIFKNKGDVQSCTNYRGIKLMSHTMKLWERVIEHRLRRVTHVTQNQFGFMPGRSTMEAIFLLRQLMERYREQKKDLHMVFIDLEKAYDKVPRNVMWWALEKHKVPTKYVTLIKDMYRDVVTFVRTCDGDTSDFPIKIGLHQGSALSPYLFALVMDEVTRDIQGGIPWCMLFADDVVLVDDSRAGVNRKLELWRHTLESKGFRLSRTKTEYMRCDFSATRHEEGDVSLDGQVVAKKDTFRYLGSMLQKDGDFDEDVRHRISAGWLKWRQASGVLCDKRVPQKLKGKFYRTAIRPAMLYGAECWPTKRRHVQQLSVAEMRMLRWCCGHTRRDRVRNDDIRDRVGVAPIEEKLIQHRLRWFGHVQRRPPEAPVRSGVLKRADNVKSGRGRPKLTWDESVKRDLKEWNISKDLVMDRSAWRLAINVPEP >Sspon.05G0025550-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:24433795:24439794:1 gene:Sspon.05G0025550-1B transcript:Sspon.05G0025550-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zeaxanthin epoxidase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G67030) UniProtKB/Swiss-Prot;Acc:Q9FGC7] MRPPDASVAPAPAAGEARRKKKPRVLVAGGGIGGLVFALAARRKGYDVTVFERDMSAVRGEGQYRGPIQIQSNALAALEAIDMSVAEEVMRVGCVTGDRINGLVDGMSGSWYIKFDTFTPAAERGLPVTRVISRMTLQQILARAVGDDAILNGSHVVDFIDDGSKVTAILEDGRKFEGDLLVGADGIRSKVRKTLFGHSDATYSGYTCYTGIADFVPPDIDTVGYRVFLGHKQYFVSSDVGAGKMQWYAFHNEEAGGTDPENGKKKRLLEIFDGWCDNVVDLINATDEEAVLRRDIYDRPPTINWGKGRVTLLGDSVHAMQPNLGQGGCMAIEDGYQLAVELENAWQESVKTETPMDIVSSLRRYEKERRLRVAIIHGLARMAAIMATTYRPYLGVGLGPLSFLTKLRIPHPGRVGGRFFIKYGMPAMLSWVLGGNSSKLQGRPLRCRLSDKANDQLYRWFEDDDALEEAMGGEWYLFPTSEGNSNSLQPFRLIRDEQRSLSVGSRSDPNDTDSSLSLPFPQISERHATITCKNKAFYLTDLGSEHGTWITDNEGRRYRVPPNFPVRFRPSDVIEFGSDKKAMFRVKVLNTLPYESARSGKQQGQQQQQQVLQAA >Sspon.08G0024920-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:3782997:3791985:1 gene:Sspon.08G0024920-1C transcript:Sspon.08G0024920-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHDQTSNLAASYQFISLPSLAECFVVVSCTRDAASCSSIACSLLALMASLGQLVLVAGLAFLVLAAQDHGHGGPAGGGGPAIGAAAAAAPPAREVVVISQLPQPLSATVAVSKRVLIPIPPSGPSNKWNSEVNHEKPAAAELGSGRRRLGRSLPDPPRHSADRGAIRGGAAEGTACKGFTSEKVVLAALPKEKVVVGDREGEEDVGGPFVIVNSGDSDGHSDRGSDLGKAPDEDSPSEEDDALSSNAAPPPKGKVLLRVWHSVLRWRTGCFRRV >Sspon.03G0004310-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3A:10778979:10781072:-1 gene:Sspon.03G0004310-1A transcript:Sspon.03G0004310-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGRKLALLVGINYPGTKAELKGCYNDVDRMRRCLVDRFGFDETDIRVLTDADRSAPQPTGANIRRALSRLVGDARPGDFLFFHYSGHGTRLPAETGQHDDTGYDECIVPCDMNLITDQDFRELVQKVPEGCLFTIVSDSCHSGGLLDSAKEQIGNSTKQNKTQSREPDERPHSGSGSGFRSFLKETARDLLESEGIHIPHSRHGGDDQDDAYAQPTGDGRTKNRSLPLSTLIEMLKEQTGKDDIDVGSIRMTLFNIFGDDASPKIKKFMKVMLGKFHQGESGEHGSGGGVLGMVGALAQEFLKAKLEGNEEEAFKPALEQEVHSVDEVYAGTKAWAPNNGILISGCQTNQTSADATTPQGVSFGALSNAIQTILAGKHGKVTNKDLVMKTRELLSKQGYTQQPGLYCSDEHVHVAFIC >Sspon.04G0002040-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:52169055:52171908:1 gene:Sspon.04G0002040-2P transcript:Sspon.04G0002040-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKGRTGQRVRLYVRGTILGYKRSKSNQYETTSLVQIEGVNTKEDVAWYAGKRMAYIYKAKTKSSETRYRCIWGKVTRPHGNSGVVRAKFKSNLPPESMGRKTMSLIKKCQLHREDSISRLECDFP >Sspon.01G0051190-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:12120961:12123871:-1 gene:Sspon.01G0051190-2D transcript:Sspon.01G0051190-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASEAAAAAVMEAEDVSGGKGSWYVLGERALMVPYMREHVPRYHDWMQDPALLEATASEPLSLSQEFEVHRSWTLDPLKHTFIVLDKELIEGEFVPGNPHTEAMVGDVNIYMNDSDDMQIAEIEIMIAEHKSRGKGIGQEAIILMMAFAVEKYGIHTFRAKISESNMASLKLFRKLGFKDASYSTVFKEVTLEAPADSFPLRFPLTIGDW >Sspon.02G0042400-2D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2D:77592832:77593284:1 gene:Sspon.02G0042400-2D transcript:Sspon.02G0042400-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDRGRIYARNAITTCRFALGRRRLLSSSSSLRTHNLHKVHRSSGGEGAAALETLNMTRRVETKREKAAAFAVAVVDLTNSPSLCYEYLQSKDDPSGVVRFSSAWERLDDLSKSRHGTEPSQPLESRRNLIRTERSVVASQGTQGQRRRQ >Sspon.08G0015020-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:60285214:60286744:-1 gene:Sspon.08G0015020-1A transcript:Sspon.08G0015020-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVSMVASPLTVVSLLVASAAIMSGGGAQAQPIVPAVISFGDSTIDVGNNNYLPGAVFKADYAPYGQDFVRHEATGRFSDGKIVTDITAETLGFESYAPPYLSPQASGKNLLIGANFASAASSYYDDTAAMYDAITLTQQLKYYKEYQSKLAAVAGRAKARAILADALYVVSTGTGDFLQNYYHNASLSRRYDVDQYCDLLVGIFSGFANELYRLGARRIGVTSMPPLGCLPASIRLYGEGKDACVPRLNRDAETLNEKLNATVKALKRRHADLKIAIFDIYTPLSQLAQDPPAYGFANARGTCCRTGTAKTRVYLCNPTTAGACRNASSYVFFDGVHPSEAANVFMAESMIEAGIEL >Sspon.04G0015630-3C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4C:64411503:64412060:1 gene:Sspon.04G0015630-3C transcript:Sspon.04G0015630-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLCTIARRLCLSKPSSGSRLSVICAHLYSTEAAKDTGAKKYKYPDVYDPYGPMPPPSEKVVELADRIAALPPEEIKQIAPALLFRLNQEPPQAISGQGFSFGAQGGSGAGAGKAEEKKAEKTVFDVKLEKFDAAAKIKIIKEIRTFTDLGLKEAKELVEKAPVILKQSLTKEEAEAIIGKIKAAG >Sspon.05G0025060-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:27877373:27879003:1 gene:Sspon.05G0025060-2D transcript:Sspon.05G0025060-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding METLQVHELLARVLLVLATMILFKRMLPSPSRRRAANSTPPPFPRPRGLPIIGNLHQLGTHPQASLAALAARHAAPLMLLRLGSVPTLVVSSADAASAVFQHNDRALALSGRPALYAAARLSYGLRNVSFGPPDAFWRAARRACLSELLSAPRVRGFRGVREAEAAALVAAAADESSRDGGSPVNLSDRLVATTNRIVRRVAFGDDGDDVDSVRTRAILEETQRLLGAFFVADYMPWLGWLDALRGLRRRLDRNFRELDAFYEKVIDEHINKGTKSKEDGDLVDVLLRLHNDPAHQSTFGSRSQIKGILTDMFIAGTDTSSVTVEWTMTELVRNPDVLAKAQQEVRSVVANNKDTVLESDLPRLHYLKLVIRVSLRLHPPAPLLLPREATEPCTVHGREVPAATRVLVNAKAIGMDTDAWGADAARFVPERHEGDGADLNDHKPWHADSFSLVPFGVGRRSCPACTSPRPWWSCCSALTGTRVSRVKGKARTTKKRRQNNRNNKHGYG >Sspon.02G0004430-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:8625617:8644356:1 gene:Sspon.02G0004430-4D transcript:Sspon.02G0004430-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SFSFVITGGKTQNVSVPPVEGVAGGGTSYGWVDGGLRGTNLGAGVIDPTKVHSEDLLHVWSMPSTANVSQQEAPRPLEKINLLAARNERESFQIALRPKVSWATSGIAGSVQIQCTDLCSSSGDREDQHSHSSIPMIITVLYQSITLRRVVPILGVPDALVPIDPLSPQVNLQPGETVAVWVSLNVPCGQPPGLYEGEIFITAVKTELDSRTESLPKSEKYRLYRELRSCLDLTGPRDYSSPEEMVQRLTSASTALRRVLDNPALQDCQENNGFGDMMDEDVMNNVSVRLKLSLTVWDFTLPVTPSLPAVFGISETVIEDRFCLEHGTEGWYSALDHHFRWLLQYRISPFFCRWGDSMRILAYTCPWPADHPKANEYYSDPRLAAYAVPYAPILSCTDAAKNSLRREVEILKSKPHWSKAYFYLWDEPLNVEQYDMICNISNELRSYAPDVRILTTYYCGPSGSELAPSTFEAFVKVPNVLRPHTQIFCTSEWVLGTREDLVKDIVAELRPDLGEEWWTYVCMGPSDPQPNWHIGMRGTQHRAVMWRVWKEGGTGFLYWGTNCYEKAMIPSAEICFRRGLPPGDGVLFYPGEVYSSSHEPVASTRLERILSGMQDIEYLKLYSSRYGREEGLALLEKTGMYLGPDRYTLDHGPVDVMRG >Sspon.03G0007100-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:34775496:34777504:-1 gene:Sspon.03G0007100-3C transcript:Sspon.03G0007100-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SMERLLAGLLGFLQIASVGSHAARAPDQYWKSALPDTPMPTSLSQLLDTPAGGGTTVNVGWGGVHVDAGHGKPGGTTVDVGHGGVGVNVNPGSSGKKPGGTTVDVGGKGGVGVKVNPGYGKPGGTTVGVGKGGVGVDVNPGYGKPGGTTVGVGKGGVGVNVNPGKPGGSGTTVGVGKGGVGVNVNPGYGKPGGTTVGVGKGGVGVNVNPGKPGGTGTTVGVGKGGVGVGVNPGYGKPGGTTVGVGNGGVGVHVNNPRKKPVNVNAGAFVYNYAASETQLHDDPSVALFFQEKDLLQPGKKVTVQFANTATTGAKFLPRSEAEAIPFSSEKVPEILTRFSVDPASVEAAEMAQTLRDCEAPAAKGEKKACATSLESMVDFATASLGTSHVRAVSTVVAKEGSPKQEYTVTGVKRAAGTDGDGRLVACHAEPYAYAVFACHLTQQTRAYSVSMLGRDGTAVDAVAVCHADTSGWNPKHVAFQVLNVKPGTVPVCHFLPQDHVVWTRSG >Sspon.07G0001580-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:2382461:2383887:1 gene:Sspon.07G0001580-3C transcript:Sspon.07G0001580-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRRSRSVAVVVLCRADGLRRACVAATAACVVIGAAGGGDVAASSMPRDTAVLAVDSRPAAAPRWSDRRECPPWRANSLENIVPENLPRPSARRRFNSAKRAPRKAPALGTQAVAPLAVPGSGSNAACRLLTAVPSGAAPGRYASAVAHCAPLGGGSTAEASGTQAGRDYYR >Sspon.03G0033540-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3B:51219481:51222687:1 gene:Sspon.03G0033540-1B transcript:Sspon.03G0033540-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFHWWTTTPAQRSSLTYALVHSPPTKSAHEPVVCSSPFTTPSRHAPARPRPHDALHCARLTAVLSLHCSPALPPATFLSTGPSTRRSVLHRAGCLLHGSGAPVHDIELCVDEPSFIQGRNWTPGVGLGRETPRGVWWVQASCGIGQITRCQTTRKSPRHHNLLVTTSHAGPLANFMGAAHANLLTIFVGATSARHLPTPWTLDPESQLARFHLVGNRHGRHSMSFKVTPPTRGATPQAPSSPNQKAKKGKAFAKAPNPLHGCHVIATVAKHGLTPIFAITRAQDCTWPKPQNRPQASKALTKLYRPPSPPRDPVGRRTAAIKETASRPYVRPRGRGLDPDAGGPHPPPPSPDHRSRARHRVDPALETLDPSTTKAPEPVSPTTSVAIAAKDSSSAPSLLHGPHPCLPCACIGLQAERSSSGSEVEEEGERG >Sspon.02G0026260-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:66025779:66028447:1 gene:Sspon.02G0026260-2B transcript:Sspon.02G0026260-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQESSDVAVKCATKAATSDEEGAAVAAAVAPPGPAAASATATPFKFNVHAPEFVPMSPAAASPIASPMSAPAGGYYSPFMQMQPGLAPADWSFFHDHEPVFFMPDFAHAKFGAATATATAAGAAGSNSAQAKGTAATTDVAQKIVKQVEYQFSDINLVANEFLLKIMNKDTEGYVPLSVIASWKKIKSLGATNQMLVKALRTSTKLNVSDDGKKVRRRQPFTEKHKEELQSRMIIAENLPEDSSRNSLEKIFGVVGSVKNIKICHPQEPSTARASKSDTLVSNKMHALVEYETSQQAEKAVEKLNDERNWRKGLRVRTVLRRSPKSVMRLKRADFDHFVGSDDDSPHSSQISSDSPTADYSSPAAAAAAAEAAAAHAHAHVQQQHQEDQQQNGGSKQKGWARGRGTTKLHITAPQSPQSAPAGMAGHFDPSSPRTSSSSSQKQCPSSPGSRQQVSAAASASSQKCPFSPRHQPHPPQGPRMPDGTRGFTMGRGKPAAAAPTSPAAAAARATPAPVLV >Sspon.05G0022260-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:3004028:3009592:-1 gene:Sspon.05G0022260-1B transcript:Sspon.05G0022260-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Synaptotagmin-5 [Source:Projected from Arabidopsis thaliana (AT1G05500) UniProtKB/Swiss-Prot;Acc:Q8L706] MGFWVGLVLGVAVGVAIIVGFARCENSRAARRRRLAATIASFSKMTIEDSRKLLPADLYPSWVVFSSQQKLKWLNQELTKIWPFVDKAASELIKTSVEPVLEQYRPIILASLKFSKLTLGTVAPQFTGISIIENTKESGIVMELEMNWDANPSIILAVKTRLGVALPIQVKDIGFTGVFRLIFKPLVEELPCFGAVCFSLRQKKKLDFRLKVIGGEISSVPGISDALEDTIKNAIEDSITWPVRNDLELKPVGTLEVKLVQARDLTNKDLIGKSDPFAIVYVRPLPDKMKRSKTINNDLNPIWNEHFEFTVEDADTQCVTVKIYDDDGIQESELIGCAQVRLKDLQPGKVKDVWLKLVKDLEIQRDRKDRPTVFDDFIGENNDKHGKWIRGSSFDRLSSRKKREIIIRGVLLVTVISGEDLPAMDMNGKSDPYVVLSLKKTKTKYKTRVVNESLNPVWNQTFDFVVEDGLHDMLMLEVYDHDTFRRDYMGRCILTLTKVLLEEEYKESFNLEGAKSGKLNLHLKWSPQPIMRDSREADSLRFR >Sspon.03G0024440-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:58951557:58952708:1 gene:Sspon.03G0024440-4D transcript:Sspon.03G0024440-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VAHGGGDVRGGEADGARRGHLPGGGGGAGSGQGEGSGGGLRRRGAQDGARLLRRLRRDRLLRRRGGGGRRRTQEGEALRAGDPPGAGGEGGGAGREGRAFVGCRDGGRGGGEDHRRGRRAPPAAAGVRAGTGGVQERVILRHVLRLRRGVPRGGGVSDLRAPLIPALRGRRRHLQQGGRQEVGAGTGSSRSTGPGDRRVDRRQQQRVRLPLLLPRRLLRRRVPAAVHRLRPARGLPGAIAGLPRGHPRGAAVPAAVDPRRDPRGVAVRRGAGVAGEVAAGGRLLPRHHRPVPDRPAAR >Sspon.07G0005050-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:11476500:11477473:-1 gene:Sspon.07G0005050-4D transcript:Sspon.07G0005050-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MIANNLPAPEQVISMYKAKNISYVRLFHPDTTVLNALRGSGIGVVLGTLNEDLPRLASDSSYAASWVATNVQPFAGAVQFRYINAGNEVIPGDTAAQVLPAMQNLESALRSAGVTGVPVTTAVATSVLGTSYPPSQGAFSEAAAPVMAPIVSYLSSKGAPLLVNVYPYFAYSGSGGQVALGYALLSAEAGGAASSLVTDGGVVYNNMFDAIVDATHAAVEKAGVQGLELVVSETGWPSGGGGAGATVENAAAYNNNVVRHVGGGTPRRPGKAVETYLFAMFNENGKAEGVEQHFGLFQPDMSEVYHVDFTVGSS >Sspon.05G0014330-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:52771902:52775224:1 gene:Sspon.05G0014330-2B transcript:Sspon.05G0014330-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMEDASGSSSSPGILRNRYWILRHGRSVPNERGLIVSSLENGTKPEFGLAPQGVEQARAAGEQLRKELEEIGVPVDSVKIRYSPFSRTTETARVVAGVLGIPFEGPVCEAVLGLRERYFGPSYELLSHDKYADIWSVDEAHPYTAPEGGESVADVASRFSAVLSSTETEFHGSVILIVSHGDPLQIFQAVLSGAKENLSFLNNLTNLKVKDTMVASILSQHRKFALITGELRQVV >Sspon.02G0011700-3P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:3800947:3803164:1 gene:Sspon.02G0011700-3P transcript:Sspon.02G0011700-3P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGSPVSKDLNLPVQPPMTSSGLLRYRSAPSTVLGDLCEDMLPPAPGAGPPRDTGGADNVFSRFLADHHNIRDDKPSPPPAHFPSAADMASQQHQHQHQQMMFHSQSQQQQMVDANKSGGLYRTVSSGMEAAAAGAGVGAASNLIRQSSSPAGFQDHFSMDNGYGAMLRASMGMGFRDGSGGGAGAGTDSLAGGGGGGSGSGRLKGQLSFSSRQGSLMSQISEMDSEEVGGSSPEAAGGRGAYIPGYPMSSAGWDNSSSALMSDSLSGMKRPRDSSEPGAQQQQNGGGGLAHQFSLPNTSSEMAAMEKFLQFQDAVPCKIRAKRGCATHPRSIAERVRRTKISERIRKLQELVPNMDKQTNTSDMLDLAVDYIKDLQKQVKALNESRASCTCPASKHQQFSG >Sspon.06G0002190-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6D:3869681:3871863:-1 gene:Sspon.06G0002190-2D transcript:Sspon.06G0002190-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MCPNASTPATGDADADTGATVLAKLHASRASIVSVLSAAAEAEVEIDAIGDRLDELLSSASPSSSHNLQSQAVAARALSARIDRAVAPAEPLLAAFRRVSALAEDAAPPANPGDAECAVAFVDRVDQLRDAIEEVVARGEEAVRRVEEAVGFLGRTKAAGRGRVRRLTEAAAALRAVYETEAEEMRFEGPLDDALLGLQELFEALLLRLKHPAPVDDDVAGAEGGTAGYELGTDDQVEAAARMARTLAGNDCLDICLDIYVTTRYRRAAKAMMRLNPAYLKSYTPEEIDAMEWESLESAMALWSPHFHVAIASVLVAERRLCARVLEPLPPAVWPECFAKIAARIVAAFFRFADGVAAAAREPQKLFKLLDMLDAVVRERERLDELFSSESATLVAIRERTREVERALARAASGVFFEFGLRIETLHVTGAGADAGHVPKIVRYAVNYLKCLASDDYRVLMDTALRADLERGDEEENTGEGGNRAPLAEAAASVLEALLRHVEAARRVCPDTVASHVMAMNAYWYIYMRTRGSELAKLVSEDTMRRRYKAAAEEAAWEYQDAVWTPLVRLVSGSSSGAPKTWPPDDAREKAVAFADKLEERVRRHGAEYKIPDGDLRGQIKVATAKAVRGAYAGFLKANDKALAGGRKQLLPLDIIEGMVGRVFDEMSDGAAGSVGRARSRRESRSSGNLEGFYAV >Sspon.01G0004600-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:10703775:10704619:-1 gene:Sspon.01G0004600-4D transcript:Sspon.01G0004600-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPRAPSLFHLEERVGASTSAPAAMAASGGELLVGLRLIIQPSPRKQLPTVLRTSAVRIPALATTSAANNARVFAGLEFLKRCSCCHKDLDATMDVFVYKGEQGFCSAECRCRHIAKEERREMEMLVRKRRDAFHRRHAAAPKMQGPNRHITLLQTAAR >Sspon.01G0043140-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:72473107:72480845:-1 gene:Sspon.01G0043140-2C transcript:Sspon.01G0043140-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:WD repeat-containing protein WDS homolog [Source:Projected from Arabidopsis thaliana (AT5G43920) UniProtKB/Swiss-Prot;Acc:Q9FND4] MDLPESSVPRADEGPAPHRLGPVDREELVRAMTQSLYSLGYRRAAATLEAESGVPLYPPEHDRLLLEVMAGRWDASAETVRSVAGVGDADRAVAEFLVWRGYYLELLGMRGDAGLRRAREVLRRRIAPLGVDRRCVHWLARAMVSSEGAVAPEAVIGWRIAVFLDLIEVLPPWFHVPSGRLEHLVESAVTKQIESCIYHNLPDEITLFEDHRCHEEHIPSQCAQVEKDDTLTKKHCLQGHQKPISFVAWSPNDRMLLTCGTGESLKLWNVDTGECNLKFRGSVDYIISSCAWFPNSEKIVCASSEPASSPNRIFTCDLEGQELEVWAGDRIPKVSDLAVTPDGQHLIFVSCNDIWIRELPKGREWRFREKQTISSVFLSGDGQSLIVNLSSQEIHLWKINESCTVPEKFKGHKQDKFVIRSCFGGSNSLFIASGSEDSQVYIWKRHVEMPIKVLHGHTMIVNCVSWNPARPHMLASASDDCTNTPELLSEVLFT >Sspon.01G0001510-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:3292849:3297237:1 gene:Sspon.01G0001510-2B transcript:Sspon.01G0001510-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGARRRLLADAPPGHHHRQGAPPSDWSAGYLNGWLSQPTPIFGLRLWVLIGIAVGAAIVLVLLLILVCLSRRRRRRDDQLAANLYPAADTKLLKQHLQQQATPTPTKDIQEIVRRQQAQTPSPPPAAPQPAVQLAKAVAEPQTPPPPQQQQHRPPARKTPGSGMSATTSGGSERDGATPRSTGSAGMPEVSHLGWGHWFTLRELEEATDGLAEENVIGEGGYGIVYKGTGQAEKEFKVEVEAIGRVRHKNLVRLLGYCVEGAYRMLVYEYVDNGNLDQWLHGDVGEVSPLTWDIRMNIMLATAKGLAYLHEGLEPKVVHRDIKASNILLDQQWNAKVSDFGLAKLLCSEKSYVTTRVMGTFGYVAPEYASTGMLNERSDVYSFGVLIMEIITGRSPVDYTRAAGEVNLVEWLKTMVAERKAEEVVDPKMTEKPSPKTLKRALLVALRCVDPDANKRPKMGHVIHMLEMDDLLFRDDKKPGRDAHPQGSDRYSSKEEGSFSKREHHHRYR >Sspon.01G0002250-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1A:6274785:6278021:-1 gene:Sspon.01G0002250-1A transcript:Sspon.01G0002250-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPWPWVILARIPRVVAGEPPADGEGETEVEADVQAEGVDEAEAELDADAQAEVEEDAQAEGIDEAEAAAHDSEAEVEEDTQAEEVDEAELDSEEEVEVDAQAEGVDEAELSSEEEDEEDEADVEHKADFSIPVALPPRITLMTVAPTAHPVRNSPDMYPYVLGVGSGCILFNFSVEPFYGVCFADRPYQSNLIMVRHFDCSGVEQGHPATGTAERIPPRHGKYAVVANIESIGFVSIGHGKAYFIAELMVHKGRRRAMLVYFSAGNNSWRQETTQNPLPNDTNRDGEWVPSGVVSYKKILWWFDLSWGIISLDPFHDLEEPPLRFHRLPEGRALHKAPPNIHDRRCIAESQGGLRYVEIITPEGEAATVSMWSWIPAVDGNDETIAWDMEYAMSFAGIWEHESYKATQLPRKVPVLVVVSPSDANLVYFALELEERLFSVNVPERRVVEFVEDSYELVTPWPAAPSCRYVLPWFLPLDVAQAVGMDPFAGSDAEQLQAEYDRLREKLIVETDVEEEEEVEVDWDLLGPVVLEDLPEITDSELPVDESSEETTLSVAMDPETLARLKAE >Sspon.07G0027570-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:62087587:62088553:-1 gene:Sspon.07G0027570-2C transcript:Sspon.07G0027570-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSWLDNFLVQSTDKMVNAIKGLFISCDIPMAQFIVNLNASMPPSERFIVHMLDPTNMFVQPHVAHMIRSKIGEFRDQNSYEKPQ >Sspon.02G0005410-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:16989899:17005995:-1 gene:Sspon.02G0005410-1A transcript:Sspon.02G0005410-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRWGTWAPRARERVASERLGRLRRATGHQSDCKTWHTRKKTLHLLQGKHWGIFICWLLGNGCLFGFNSLLTIEDYYTYLFPKYHPTRVVTLTYQPFVLATTAIFTYHEAKVNTRLRNLAGYTLFFLSSFAAIILDIATSGRGGIGPFVGICIIAAAFGVADGHVQGGMTGDLSLMCPEFIQSFFDGLAASGAITSALRLVTKAAFENSRDGLRKGAMLFSSISCFFELLCVLLYAFVFPKLPIVKFYRSKAASEGSLTVAADLAAGGVENSQNPMSKEDPACMERLSTKQLLMQNIDYALDLFLIYILTLSIFPGFLAEDTGSHSLGSWYALILIASYNVWDLIGRYVPLIEQIKLRSRKGLLIAVVSRLLLVPAFYYTAKYGDQGWMIMLTSFLGLSNGYLTVCVLTEAPKGYKHERITVVILQHLIELCTRWCLQGPEQNALGNLLVLCLLGGIFCGAVLDWVWLIGKGW >Sspon.01G0014940-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:42829370:42832270:-1 gene:Sspon.01G0014940-1A transcript:Sspon.01G0014940-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEVPNSERTFGVLTKLDLMDKGTNALDVLEGRAYRLQHPWVGIVNRSQADINRNVDMIIARRKEQEFFDSSPEYSHLASRMGSEYLAKLLSQHLEAAIRSRIPSITSLINKTIDELESEMDHLGRPIASDAGAQLYLILELCRAFDKIFKEHLDGGRPGGDRIYGVFDNQLPSALRKLPFDRHLSVQNVKRVVSQADGYQPHLIAPEQGYRRLIESALNYFRGPAEASVDAVRNLLPVLIVHSVLKELVRISIGETQELKRFPTLQTELAAACYKALERFREDGRKTTLRLVDMESAYLTVEFFRKLPQEVDKTGTGNPRTANPPAPGNPRTANPPAPLDDRYSDAQFRRIASNVSSYIGMVSETLKNTIPKSVVHCQVREAKRSLLNDFYTQVGGKDAKQLAVLLDEDPALMERRLQCFKRLELYKSARDEIDSVSWTR >Sspon.02G0027490-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:122616731:122618782:1 gene:Sspon.02G0027490-2C transcript:Sspon.02G0027490-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding QREKSAAVAVAPVSGSPSPSSSSGGPAAASSGERWSAAIGNLGELGANVDALQKLLGRKAVFVDDDIFSKASLAADQARTIKVLDQRVQSLERELDAAISAAARARTEKRQAEAAQRAAELRTQEVTRELENTARVFELHMEELRLKQEEIAKKDSDIKVLEAIIRTLSTKDDTLSSKDDDGSSE >Sspon.05G0039170-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:64543502:64548199:1 gene:Sspon.05G0039170-1D transcript:Sspon.05G0039170-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPDVRLDDAVAFLCFRRFRASPVELRAEADQFKDVSFNLGLNGPMPATIGNLAQLTTLILAGCSFTGSIPQELGNLQQLTFLALNSNKFTGTIPPQLGLLSNLFWLDLADNQLTGSIPVSTATTPGLDQLIHTKHFRLDRNSLRGAIPTNISNLVNLNELNLASNQLTGSLPDLSSMTKLNVVSISSGQLSGVVPKGLFRLPQLQQVVLSNNAFNGTLEITGNISNQLQTINLMNNGIFAANVTPSYKKTLVLVGNPGCQDPDLKSFCSLKQESMIAYNTSLSKCSSTASCSSDQSLNPANCGCAYPYAGKMVFRAPFFTDLTNSTTFQQLETSLTTQLSLRDDAVFLSGIHFNSDNYLQIQVALFPSTGVSFSVPDLIRIGFDLSNQTYKPPANFGPYYFIADPYALLAGTLVLLRGSKKSQISTGAIAGIAVAGGLLVVALIGMVLFALRQKRRVKEATGRTDPFASWGVSQKDSGGAPQLKGARLFSLNELKNCTNNFSDTHEIGSGGYGKVYKGTLVDGTRVAIKRAERGSMQGVGEFKNEIELLSRVHHRNLVSLIGFCYEQGEQMLGYLDPEYYMTQQLSEKSDVYSFGVVMLELVSGRQPIESGKYIVREVRLAIDPNDRDHYGLRRLLDPAIRDNARTAGFRRFVQLAMRCVDESAAARPAMGEVVKEIEAMLQNEVAGADGGATSSAGSSANEFDGAGAGARSHPYSDTEITRGSYGDNASDYMPYFEVKPK >Sspon.04G0015550-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:64113188:64114342:1 gene:Sspon.04G0015550-3C transcript:Sspon.04G0015550-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSSSSSSSMGGSLALAAATAIAFSGSLVIFSLCRAHLSHGAEPEPEPELEEGAPEHALRPCLTSSARRTRWAKAKARRRRGERRVRFAPDVVDNEGAPPARRHPPAAAAASSTSSSAATCRDAAGSEDRVMPANREALYRGMLRDRSAHRFTCSY >Sspon.02G0007450-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:21455125:21460267:-1 gene:Sspon.02G0007450-2B transcript:Sspon.02G0007450-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMIATAALPAASRSVAALLAGEGGGGVPADELRRLERKLDKARGLAVDAEAKEGRDAGARAWLRDLRDALYVLGDSVDDFRRAAARRHQQGRRSLRHWFTLPSNMDRNQYKTFKTSISSLNKQMDGILQKGSELGLQAINQEGQGGSFEFSCGVVLDDDTLGDIQNEKNKLIDVLTERKSPNKVMIIVGDSGIGKTTLARKIHDDHRTRNAFTIVVWVSVFNDLDGIGLLSAIVKAAGGNPSGEENRVQLEAMLAAILKGKRFFMVLDDVRSDQIYENSLEAHLHVCGHGSRILITTRDESISTQMKDAYIYRVKKLTFQDCWSLLCRASCLDESLHGDILRNIGIAIIQKCNKLPMAVKIIGSVLRTKEPTREAWQRVYESEGWSFRELRDHVHGLTGAIYLGYHDLPLHLKQCFIYLSLFPEGFVIRQQFVSQLWISEGLIDERDNCSPEKTAEEYYRELLSRNLLQPEIGNDDITRCTIHDQIRSFLQFFVNDKIFTGELKTSINGNSSEGLRHVWIRSNLLRTTVEDIGTVESLKTVILYKNPLGNRSLDKLFKGLKYLQVLDLGGTEIKYIPRTLESLYHLRLLNLSLTRITELPESIECLTNLQFLGLRYCNWLHNLPNGIGKLQYLRYLDLRGTNLYQVLPSLVNLKQLSTLHGFVVNRKSKREDDPTGWPLEDLKSLDALRSLQILRLERVSDPLRVQEAMLEKKSHLKELELCCSNDDRQSEVQEEDAKTLKDVFDCLSPPHCLKSLKIVSYYGKVFPDWLPNLSNLQRLVLTDCKFCEHLPNLGQLTELKFLTITACSKLVTIKQEQTGTDQAFPRLEQLHLRDMPNLESWIGFSSADMPSLVKFRLENCPKLCNLPSGIKNSKVLTTMQLHHIDSLQIIEDLPVLKELVIQACNELQKISNIPLLEVLIVLGCSRLKDVTEVHLSHVRIVDREIRELPDWVATNASMLQTFTIVGRAELLERLLPNHEDWEIIRHISKVYANLPDESPFFTYTKSSADFHVDQRIGERGNPSALLAAEIPHEALNISLDNSVVRTSRVGVPRVPLRRISTLKRAIRRYLVPYLIMAAILMQVLSYLLQNRTSREIRLVQTLFIFFTTVFLLLLVFLE >Sspon.08G0012590-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8B:50289227:50291669:1 gene:Sspon.08G0012590-2B transcript:Sspon.08G0012590-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSYHDGGRDTGTDLGYPLVAVCIDKDKNSQNALKWAIDSLVQKGQTIVLVHVNTKGTSGGVEDAAGFKQPTDPHMKDLFLPFRCFCTRKDIHCKDVVLDEHDVAKSIIEFSAHAAVEKLVLGATARGGFVRFKADIPTTISKGAPDFCTVYVVNKGKVSSQRNSIRAAPRVSPLRSQIQSAQSAAVATPKPEPPPQSQRWSSSSRGHSDHGETPRVDNFRSPFARGGPANTRKSYADLSHMSMPDSADISFVSSTGRRSVEHHSAIPPRMSNGSVDSYDHSFEMSRTPSKWGGDSFGGGMDHTTFSQSSSSSFCSLGMARLELKQTMDMYSTACKEALTAKQKAMELQRWKMEEEQKTQDSRITEDSAMAMIEREKAKAKAAMEAAEASQRIAEMEVQKRISAEKKLLKEAEERKNHRGGSGMSHEVRYRRYSIEEIEQATNNFDDTRKVGEGGYGPVYKGFLDHTQVAIKVLRPDAAQGRSQFQQEVEVLSCIRHPNMVLLLGACPEYGCLVYEYMASGSLDDCLFRRSSGAAGPVIPWQHRFRICAEIATGLLFLHQTKPEPLVHRDLKPGNILLDRNYVSKISDVGLARLVPPSVADTVTQYRMTSTAGTFCYIDPEYQQTGMLGVKSDIYSFGVMLLQIITAKPPMGLSHHVGRAIERGALLDMLDPAVPDWPLEEAQCLAEMALRCCELRRKDRPDLGAVVLPELNRLRALGEDNMQYCGAIRGGGGMGMHSSPFHSNVSRSHAA >Sspon.05G0022130-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:5B:2214043:2214687:-1 gene:Sspon.05G0022130-1B transcript:Sspon.05G0022130-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGRALNDRTRRSHSTARPRSNDRTRSSQRPDATVPASGRSPVSSLDDRTRPIVCDRTRTASDRPCDRCSPDRTRRSRESPDAPVQTLARAPDSCAVLTGRAGRTVTASGAASGHFVTAFASVSFPTSGVVENRHFISPKSPESRARTLGGRERGTQTPLYPQTTARPTPPLQMCQHHQVYISMCLCVSFFSIIFKGFPVSSLGPTCNALHEFT >Sspon.01G0031150-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1A:107133318:107136719:-1 gene:Sspon.01G0031150-1A transcript:Sspon.01G0031150-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VDHDVGSRRVRTEPTENGEVSRLALSLVSLRFGRSKRAAGSERAARGPRRRLHAPGGGRASPRVGLVAPSACGCSLAETFGRSAVTWDMPLPQLRRMHRDHRGHESARNVMCRGHGRTVHSLMQSRESHRVVAPVVAALARVTCVLPGEQGRRLSRGSTSFNATLMLEMLRGKRMLFVGDSLNRGQYVSLVCLLHRIIPESSKSMETFDSLTVFKAKDYNATIEFYWAPFLAESNSDDAVVHRIADRIVRGTSIEKHAKFWKGADILVFNTYLWWMTGQKMKILQNSFEDKNKDILEMETEEAYGMVLNAVLKWVESNMNPKTSRVFFVTMSPTHTRSKDWGDDTDGNCYNQTTPVKDLSYWGPGTSKGLMRVIGEVFGASKIPVGVVNITQLSEYRKDAHTQIYKKQWNPLTPEQIANPKSYADCTHWCLPGLQDTWNELLYSKLFFP >Sspon.08G0002390-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:7105250:7110167:-1 gene:Sspon.08G0002390-1A transcript:Sspon.08G0002390-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGRSLAAVYSARATRPAPLRAGARPPRAAAAAAAEPASEHVRRLVAEFDPAVPLDSAVTPPTGWYTDPGFLQLELDRVFLRGWQAVGHIWQVKNPNDFFTGRLGNVEFVICRDANGKLHAFHNVCQHHASLLACGSGQKTCFQCPYHGWTYGLDGTLLKATRISGIKSFNKNDFGLIPIKVATWGPFVLVRFDDESTEDNVYDAVENEWLGSASDLLGTNGIDTSLPHICRREYIINCNWKVFCDNYLDGGYHVPYAHGALASGLQLQSYETLTYERVSVQRCESAPAESDDFDRLGTKALYAFVYPNFMINRYGPWMDTNLAVPLDSTRCKVVFDYFLDKSLLDDQSFIEKSLKDSGQVQIEDIALCEGVQRGLESPAYSVGRYAPSVEMAMHHFHCLIHVVAASTRDT >Sspon.03G0000730-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:13475592:13476204:1 gene:Sspon.03G0000730-1P transcript:Sspon.03G0000730-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MCEAPRPGYRAGDAAAAAAAADVDVVTTGGRRRIPAHSSVLASASPVLGSILKRRLQKDRESGKPGRSVVRIRGVTDDAAAAFVRRLYAG >Sspon.02G0012220-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:26395587:26397661:1 gene:Sspon.02G0012220-4D transcript:Sspon.02G0012220-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGQTAKAKEAGKDDRQGPGAEATKEKLLPSHQQQESPPSVVDKDSSGVSSVPGDESPPVLDGDSGELKVEENLDGNGNKEKKTSQKSSTSDSFASAKVSDGTSSLRKTSGSATMSTRADFTESGKSSMCRASTGTDISDESSCSSMSSATTKPHKGNDSRWEAIHVVKSRDNVLGLNHFRLLKKLGSGDIGSVYLSELSGTRSYFAMKVMDKTSLASRKKLLRAQTEREILQSLDHPFLPTLYTHFETDKFSCLVMEFCPGGDLHTLRQRQPGKYFSEQAAKFYVAEVLLALEYLHMLGIIYRDLKPENVLVREDGHIMLSDFDLSLRCSVSPTVIKSANPGLDAMQRNNAAYCAQPACIEPSCIQPSCVAPTTCFGPRFFSKSKSKSKSKSKKEKSKPDAPNQENLFPELIAEPTDARSMSFVGTHEYLAPEIIKGEGHGSAVDWWTFGIFLYELLFGKTPFKGSGNRATLFNVVGQPLRFPESPIVSFSARDMIRGLLVKDPQHRLGYKRGATEIKQHPFFEGVNWALIRCASPPD >Sspon.04G0014730-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:55195764:55196708:-1 gene:Sspon.04G0014730-1A transcript:Sspon.04G0014730-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGKQQEGAVAAATTEPSVARRLWRVVRAVLYMLRRGLPSGRKLAMDLHLLLHRGKIAAGKALGDRFLASHHGHGLHAFSYASGGASAAGGGTFSCGALDPSVAVHEPSRRRREVEFSCSNTPSSASGGGALGLLGAAARRRRRRRSSRQQQQQHRDEAEASNGYLMQYYGGYDAAEVARVFEMLSDEDDEYDDRRLFSDDAVPVPVPVPVPGASSSARTPSPAQLLRLARCSRQGQQQQAPRIAAGSSSPADGGAGQVDRRADEFIRRFYEQLRAQRSAASTPDYYGYASSAPVASPYAAARAPRPVTAGIA >Sspon.03G0008330-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3A:22516134:22517195:1 gene:Sspon.03G0008330-1A transcript:Sspon.03G0008330-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSMEEPLLPLVQRNQKYISKEDRRRSCDVPSRCATSFSPNSYLKANFSTLNHLPPTDGSTNMVSSPNIQRVHSSPSIFTSSKEAHCVDELDGQSHAAATQYTPSIARQAIASVILYISIGVLVYMTNVDGFKGKSTFKLVDAFYFTIISLCTIGYGDIVPCTNFTKVFTCLFLLVGVRFIDLMLNGLLTNVLDKQRTVLLSTMDDNKLNKVFDTYMIDARKKRSRGRMKVILALAVVAGTISICTIIVHEVEGLNWIDSFYLSVISVTTVGYGDKSFSTTAGRLTATVCLLVSTLAVAKAFLFLTDLRMDKRNRRTTKWILQKKMDNEPLVGDLDNDPAVRYGTVSMKDYFM >Sspon.04G0025090-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:24832034:24837715:1 gene:Sspon.04G0025090-1B transcript:Sspon.04G0025090-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAGDPESFFATSPPLRDASAVAANLQEFVARNSHASSEGGGRRRIVCVTSGGTTVPLEQRCVRYIDNFSSGHRGAASTEYFLKAGYAVIFVHRRGSCQPFSRFLPDDSFLQFFDVTTDLKVQVVESQATVVKKAIGDYRKMPLTQVNFLQAIEGGSLLKLPFTTIFEYLQVLHLLKMVATSMSSVGLHGMFYLAAAVSDFYVPWDSMDMCCLIGLKTSSNIVLSCFTAKFLILREILVQAKHKIQSAGGPLDMKLSQVPKMLSVLRNQWAPLAFCISFKLETDSDILVQKAEMALNKYRMNVVVANLLATYKEEVVIISNGKSNTIRRCNKDEDLEEHIIKLLEKSHSKYIYNSTEGCNKNDYGKIISLGIKTEAFEDELASFLKAN >Sspon.02G0035410-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2B:19008094:19010000:1 gene:Sspon.02G0035410-1B transcript:Sspon.02G0035410-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ERARLVVYALGQLLAWLFFLGHLLCLGLLRIDPSLLFRYCYLRLLLRSCMMQVHKKSKPILFIRLTGQPTGTTGPRPFPAGAPSGEKARRPGSGPRPKAAWPSEQRGKGGAWPRCADVPDVAGAWRSPSANGQPPTLSGYLECAWFPRRDGEHGSARQGKKERARAPVAELKLGGPAMVRRGGGGGAGGEANWREVRSLSGNDGVSQRWSCGSREAERGRMVGAKLREERVRLQEAGRGPVEPTARHPYDGDGERQPRGERALTRAVALARARARGMERGRAGPGWRWLAG >Sspon.08G0022220-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:96245593:96248153:1 gene:Sspon.08G0022220-2D transcript:Sspon.08G0022220-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFAAPKPHVMVLPFPAQGHVIPLMELSHRLVDYGFKIDFINTEFNHDRIFKSMQNKEAIPEGLHMLSIPDGMDPDDDHTDIGKMVGGLSAAMLSRLEEMIRSKKIKWVIADVSMSWALELTNTVGVRIALFSTYSASVFALRMKLPKLIEDGVIDESGNVKVHEMIQLMPPIDSTEIPWVSLGSTPERRRVNIQNVIRSNRLIVLAEAIICNTFREIEPEALALLPNALPIGPLVVPMSKPTGHFLSEDLTCLTWLDKQAPSSVIYVAFGSSTVFDTTRFHELANGLVLSGWPFVWVVRPNFTKEIDEDWFNQFKQSVNGKGLIVTWAPQQRVLSHPSVACFMTHCGWNSTMEAVLHGVPFLCCPYFADQFCNQSYVCHVWKTGLKLCSNEQGVVTREEVKEKVVQLLRDEDIKARAVMWKNMACLVNCDVYEKSRMLERLGGAVERTCVLAAGEREMFDGMPNPDDRHGASPSHAGGVLTAPIGPSCLDCIGGRRMMQWVLVLAPYAGAAPPHPKLDSGRRAGMVQYRFSPWYEKEVWAMLGFY >Sspon.08G0006500-3P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:66364087:66365658:-1 gene:Sspon.08G0006500-3P transcript:Sspon.08G0006500-3P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding HGKPGAADRSCLHGRHANAVVVTVAAAAGLPAVGAAQVREAGVPLPHHPRHVPAADPADGAGRRAPLHAVPARRGRPVGAPPPQPHLRGGLLHAARLPRHGLLPDPPAARVPGGLRLLQAGSGAAVHARDLHALLQAHGLLHGRQPRVPAQDPGALGARRGHVPAPRRHAGAAQPVHGRGARGGAGGDVRRRGRAAGQDGRQAQGHRDPGGELQPVQPDAVAVGHGGEPLQAARERGELQPGRDGVQRRAAVRGPRQGPAADAPGVIRAGDQHGEHHAQLVLGQRPLQAGVQLPVPDGRRGGAALEPAVRPAAGQVRAGAHGAHAQGCRRPVLRLRDAGGGRRGRPGRVAVEGPDGRGGRRAQDQHHDAGPAGAAAVGAAAVHGHAGRQEGAQDEEGEAVHPGLQAGVRALLHPRGRARRAGRTGEQPVAHGLAHGAVADDAAPVREHVQQLALVRAGLQRGQGQDPPAPPGVADRVRVGVQVQQRRVEGAPVGEPGGGDQPVDGRDRQVPRGCSQGLQGFQRV >Sspon.01G0039440-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:34892550:34901192:1 gene:Sspon.01G0039440-1B transcript:Sspon.01G0039440-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding EPSDSDESSGAYEADVIMEELLLGPSCKRKIESSSVTHAGDVVMEEPQEGPSPKKVDIHRAIDLMRFVFREGMPFLDNGSGRSFAERMIVDKSGFMVDMMFQGPSIFQQNAPGRMWTITPTLDKDIAHAFAKETRKGIGSVVQGDFYGIFVDTIHIPSTIMSCMVLFVRYLNGKGDVVERLLGVVPNPDFGGSSIKLMVDSMLSEAGLSLSKLRGQGHGLFGYDDELFTELKTLTNENGLEYYVHPYLCRLHSSLVHSSHWQFKAYELFQTVDALSNLIKDCPQFTEKVMEPSDCQSCTGASGAHFHSDESSGANVADVVRKGPRRGPSRKKVKSSGAHVAGVVKEEVQEGPSHAKVDIDQAIDLLRFSLREGMAFLDNGSGRSFEERNTIDMSNYMVNLLFERGASHRGAPRRMRAVKPTIDKDIAHVFAKEVRKGIANELQGDPFGIVVDVCYPPSTGKYYMVLFVRYLNGKGEVVERLLGIVPEPDVKVAVDLMLSEAGLSLSNVRGQGCGLARYGDETFDELKTLVSKASASAYYVHPSAFQLHSILASTSQNLLETYQLFRAIDALSNLVQESPQFNQRVRSLIQERLESG >Sspon.02G0026340-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:91585781:91585974:-1 gene:Sspon.02G0026340-1A transcript:Sspon.02G0026340-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLHVLKIPSGGMRTAAASGSACSQGRSPSPTSHSATRDKQEPFCFLFATLEGEACVVVFSICA >Sspon.02G0047500-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:17013326:17020279:-1 gene:Sspon.02G0047500-1C transcript:Sspon.02G0047500-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNMRDNESSNDAQLDEPLGRVSILSYGSGHMLNDITSSCWFTYLLVFLTDLGLSPGDAATVMLSGQLADGFTTIFVGELVANLSLYGIALLIFTLLQSVNVLVQYRWIAYMSISLGCCFVVIFLVGTKEPGSIRHCMDKSLSRISWAYWFKKVLYYQVALVYTLTRLVTNVSQAFLAFYVINDLEMNQYSKALVPAIIYICSLIVSVILQVRLFC >Sspon.01G0039200-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1B:32871979:32872665:-1 gene:Sspon.01G0039200-1B transcript:Sspon.01G0039200-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQFPRNHSYSISTFIYCGGHLSVFLILSLLPPRPILSLSPSLPRVLRPPARRPGISLPAPVSPSPRRAAPAAALLAPASPGPGPPPSVREREGEGSSVRERRPSSIHPPSSTAVAERRRRKRATSMVGAWQGVDVGGGPRRPRPPVPSRDPAPPHRRRKRWRASATLFPGSGAPQWRRTLLPPSRGPAPSGGRGGGGGARRTRRRRGHGPPFPERDGGRARSLRQAAA >Sspon.02G0005700-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2A:17956636:17957982:-1 gene:Sspon.02G0005700-1A transcript:Sspon.02G0005700-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKEVLLRGMIKRIGNGTSTSIWQDRWIPNHFDARPLAPANGQEVTMVSELLTDTGLWNEDLIRQVFIPIDAEAILRIPLRTQEMDWWAWELEKHGEFSVKSAYWKLVAMHEQNTLTAPGGSGDDSWDKVWKLDVPPKVKVFYWRVLHEFLPTKSILNHRHIEPTAFCDMCGAEKETIKHVLTECTMALQFWREIKLLTGVKLPKLHAHTWATDILRDDLCSGKERSLFTIGMYSLWMQRNKRRHGDSQVPVRNAVKWTVDMANDLWQITQSRQQVHPVLVRPTWQSPPFGWTKCNTDAAFYAGVNQGATGIVLRDSTGNFEGGRACWYPHALDALMMESLACRDGLTFAKAKGVTKLQMETDSQELVRLWRTGDFQRSYLAPILKEIWDISPDFQSFSLMYANRACNQVAHVLAKQATDVFRLGEWQHAPTFILHLLAEDCNPPVT >Sspon.01G0004030-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:9191498:9197169:-1 gene:Sspon.01G0004030-3D transcript:Sspon.01G0004030-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Conserved oligomeric Golgi complex subunit 4 [Source:Projected from Arabidopsis thaliana (AT4G01395) UniProtKB/Swiss-Prot;Acc:Q8L838] MAVPFSSPTPRSPRRPDAIVAPDPSSADVPPSLDFGDPASLAALRVLTDAGAATRLLHECVAYQRALDARLDALLARRADLDRAAASLLRSAPPLLSLAASDAAALKESSSSTAALADALSSRVRHLDAAHSRADAALARAEAALDRSRALEAARRALAADDLAAAATAAHEFLAIDARFPTDDDLRRDLLDIKRRLEGLARRRLAAVVDAQDHPAVLRLVRLFPLLGLADEGLQVYVAYLKKVVALRARADFEHLAELTSATQPTSERPDFVGCLTRLFKDIVLAVEENDAVLRELRGDDGVAYAIIELQEECDSRGTQILRRYADYRKLARLASDINSYTKNLLSVMGSMASAAGGNEGPDPREIELYLEEILALTQLGEDYTEFMVNKIRGLRDVKPELGPRAMKAFRNGNFNKMEQDLTGFYVIFEEFFMVENVRKAIRIDEPIPDGLTTSMVDDSCCRRAASTASINSVLAVLGGATSLLGNEYQEALQWRMREPNLGAKLFLGGVGVQKTGEEIATALNNIDISSEYVLKLRHEIEELCVEVFHTPADREKIKSCLSELGEISASFKKILHSALEHLVASVAPRIRPVLDTVATVSYELDDAEYGENEVNDPWVQKLILAVNTNVAWLQPVMTSNNYDSFVHLIIDFIVKRLEVIMMQKRFSQLGGLQLDKEVRSLINHFSEMSQRPVRDKFSRLSQMSTILNFERVSEILDFWGDNAGHLTWLLTPAEGFLNHSPFAQVTDEVHRLTHKALNSSEQLAGEMSYPIEKLHLSQEEHLQHRLAEMP >Sspon.05G0031330-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:15791541:15795477:1 gene:Sspon.05G0031330-2D transcript:Sspon.05G0031330-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMQGSYGCGGAASRDPKPRLRWTPELHQRFVDAVTKLGGPDIRILRCLALSYCKAAVGRWATLEHRQRTAVVLKQPKLAQKYRLGIQGKKSIGLELGSGGLFSQGLSSTTAHPPGVPDEGKNTREIALSDALKYQIQVQRKLQEQIEVQKKLQMRIEAQGKYLKTILEKAQTNISFDTNASNGIESTRSQLMDFNLALSSFMDNATQVCKENREQLAKALSDDNDKDNLGFKLYHVGSQEAKEVKCTPKTEDSLLLDLNIKGGYDLSSRGTQSCELELKINQQINVDTRMSELHQSRLKKTHIHGRAWKQKIGTKQNCGCAGEATLYLPHFERIKIPTTVNHTEESQPQEQRRTFGLE >Sspon.01G0000230-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:1793225:1795107:-1 gene:Sspon.01G0000230-4D transcript:Sspon.01G0000230-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADHDESLPQTGAEGKMTTTMKDAWDYKGRPAVRASSGGWSSAAMILVVELNERLTTLGVAVNLVTYLMDTMHLGGAASANAVTNFLGASFMLCLLGGFVADTYLGRYLTIAIFTAVQAVGMCVLTVSTAAPGLRPPACADPTGPNHSSSCVQPRGRQLGVLYLTALGTGGLKSSVSGFGSDQFDESDGGERRSMARFFSWFFFFISIGSLLAVTVLVYVQDHLGRRWGYGACVAAILVGLLLFLAGTPRYRFKKLVGSPLTQIAVVTAAAWRKRAMPLPSDPAMLYDVDVKGKHKMPRTKQCRFLERAAIVEEEVEASGSGSGNGNGKKKWAACTLTDVEEVKRVVRMLPTWATTIPFWTVYAQMTTFSVSQAQAMDRRLGSSFEIPAGSLTVFFVGSILLTVPVYDRLVVPLARRLTANPQGLSPLQRISVGLLLSVLAMVAAALTERARRTASLAGATPSVFLLVPQFFLVGAGEAFTYVGQLDFFLRECPRGMKTMSTGLFLSTLSLGFFFSTAIVSAVHAVTTSAAGGRRPWLTDDLDQGSLHKFYWLLAAISAVNLLAFVAAARGYVYKEKRLAEAGIHLVAHDDDVLVLVHASTAAE >Sspon.05G0019180-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:77336767:77337857:-1 gene:Sspon.05G0019180-4D transcript:Sspon.05G0019180-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMESSGGSGGGSDPLLAPGFRFYPTEEELLTFYLRHRLAGTRPVVEHLIPVVDIYGYHPSELQALAGAANVADTEQWFFFCPRAERELHGGRPARTTPSGYWKATGSPSYVYSSSPTANNRVIGEKRTMVFYQGRAPTGNKTRWKMNEYKAVADDADDAPAGAPIMLRNEFSVCRVYISTGTLRSFDRRPLNPTGGLAVAAVHCGYQQQQLQPLPPPAAVAETTTSHHHQQMLATVVVDDSAADNNGRRHAAAAAEKSQDSSSSGSRGGGGVDGAEDAATAIDWDSLIPPVDDLAFGGVDDLTRVIWPHN >Sspon.01G0052870-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1C:27453336:27453471:-1 gene:Sspon.01G0052870-1C transcript:Sspon.01G0052870-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding CCEEELGQGTNAANSDDYDHGGHDGDGGCGDDGGGDHGLREIDY >Sspon.04G0029580-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:75564970:75569382:1 gene:Sspon.04G0029580-2C transcript:Sspon.04G0029580-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DMEKREKQKPVAARQQLARRHAIGSGSTSSISSPPTAAVAAGCNGKERKGGDHKAALRQKKLKSKTFKWRSSNGDMNSKVEAGGSDQVCDDAVLCSLSTASFSGKVAERCDAVDPPVPRKLRSEQEEAIADVLLSLSQAPSHSDLTADMAIADSSNTNVASTSYSTGATKEGDQIVVLPSAANELANQSACIDKVVEQTNIVPNVNPVAVTTDRSSNKTPPLSTTEQIQDLSMGTAVNLPGPSKDTSNNSTQKQQKVQFDDSKCHPAQKPEAPLWQVNSDKSEGVPERENAKNNSAPGPLQANWQLAPIQFLNPLTSQHLEIRTRQHRLVKNVGAPKAWKRSITHVYVSHVIQMHVNKEKAAASSSQIQAKPEERPLARCSRPPNGGFTTSHKATPAVRDEKFYTVHFDVRVPAHQQPSAGICDMGGGRQKIVSATDTSLATAAALCLNAATSN >Sspon.02G0016330-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2A:44707217:44707908:-1 gene:Sspon.02G0016330-1A transcript:Sspon.02G0016330-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ILVLPPYQGEGHGLRLLEAINYIAQSENIYDVTIEDPSDYLQYVRSSIDCLRLLTFDPIKPALSAIVSSLKETNLSKRTHSLRMVPPAELMETVRQKLKINKKQFLRCWEMLIFLSLDSQDHKSMDNFRACIYDRIKGEILGSASGTNGKRLLQMPSSSNEESFAVYWTQESGDADDQTVEQQPEDLKTQEQQLNELVDNQMEEIVGLPRTSTHVARTSWWSWWLNEFR >Sspon.06G0022860-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:37767305:37767526:-1 gene:Sspon.06G0022860-1B transcript:Sspon.06G0022860-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPDVFKALLHFVYTDSLPPLDDLDEVESRNAMERMKLMCESILSKRIDVHSVATTLALAD >Sspon.06G0006030-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:18952005:18955327:-1 gene:Sspon.06G0006030-4D transcript:Sspon.06G0006030-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DEHGHHRVDEAGVPGGGSEPGLHQGGRQLLCARLHAPLHHLRRLRHRWLPLRFASPPLPNLIPYFTPIGTSDPRLLCRDQAGDPGPVDGHGRAHRGHGRVHVRLPELRRPPNGILPQRRRGRALQVQAVLVRIGDGDFVASHLPQLWKI >Sspon.07G0021270-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:2307836:2308132:1 gene:Sspon.07G0021270-2D transcript:Sspon.07G0021270-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGGGRGGGGRQPSYAAPDGSDAAAIPAASRKMVQSLKGILADRSEGEIYATLCDCGMDPDIAVERLISQGTYSLALSSSVLGLNCLAWTLSCDARQR >Sspon.03G0017260-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:78754088:78758383:1 gene:Sspon.03G0017260-2P transcript:Sspon.03G0017260-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKAAPRVRYEPGPAFEEVKEEAMLDISPTESTEFWLIQWPKDQIDVLDFHGKELSLKLHSDGNLGSLESSSGKSYELVSFAAQKPDATVFLPSGSETKPVGKISRRVSLVHYPKPEELAKPSFGSLTPSSKKSAGSKKTMSRFTSASKNRSSQGSALSLGQRSAEPTPKHKGKRKDESSLGHSNVSGKASHGSQAGGVGSNMASEMPQSPSEKSQKKRKKVKIAE >Sspon.07G0021410-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:2369679:2371202:1 gene:Sspon.07G0021410-1B transcript:Sspon.07G0021410-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADEPRTTAASGMPDFKQSVKLKYVKLGYHHLISHGAYLLLAPLPGLVAAHLSTFTLRDLADLWQSLQYNLVSVLVCTTVLVVVATAYAVTRPRPVYLVDFACYKPDDERKCSRARFMNCTESLGTFTPENIEFQRRVIERSGLGDDTYLPEGVLNIPPNPSMANARKEAEMVMFGALDELFAKTGVRPKDVGVLVVNCSIFNPTPSLSAMVVNHYKLRGNVASYNLGGMGCSAGPIAVDLARDLLQCHRDTYAVVISMENMTLNWYFGNDRSMLVSNCLFRMGGAAILLSNRGSARRRSKYQLVHTVRTHKAADDRCFGCVRQREDAEGKVGVSLSKELMAVAGDALKANITTLGPLVLPMSEQLLFFATLVARKVLKRKVKPYIPDFKLAFEHFCIHAGGRAVLDELEKNLKLTDWHMEPSRMTLHRFGNTSSSSLWYELAYAEAKGRIKKGDRIWQIAFGSGFKCNSAVWKALRSVNPAKEKKGNPWMDDIDRYPVTVPKVSAI >Sspon.03G0017990-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3A:55529985:55531989:1 gene:Sspon.03G0017990-1A transcript:Sspon.03G0017990-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLQNIGTSVLVSPNQLPDLHQLLAEAATLLNTEAPDLYIRQNPVPNAYTLAINGKKPFIVVHTSLVELLTPKEFQAVLAHELGHLKCDHGVWLTFANILTMGAYTVPGFGMVAGFLEEQLYRWLRAAELTCDRAALLVVQDPKVVISVLMKLAGGCPSLADQLNVDAFLEQARSYDKAASNPVGWYIRLELLSLEP >Sspon.06G0010530-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:46289329:46293856:-1 gene:Sspon.06G0010530-3C transcript:Sspon.06G0010530-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMMGMQLGGDVPFQKVYLHPMIRDAHGRKMSKSLGNVVDPLEVINGMSLDGLLKRLEEGNLDRNELNIARDGKRKDFPDGIAECGTDALRFALISYTSQSDRINLDIKRVVGYRQWCNKLWNAIRFAMGKLGDHYIPPATVDVSLMPPICKWILSVLNKAVGKTVTSLEAYKLSDATSAIYSWWQYQLCDVFIEAIKPYFFNDSQEFESARAASRDTLWICLDTGLRLLHPFMPYVTEELWQRLPQPKDSCRKDSIMISEYPSLVEEWSNGGLENEMDIVLDAVNKIRSLKPPTDSNERRPAFALCRGQEIAATIQCYQSLIILTENDETPADCATAVVNKDLSVYLQLQGALNADAEREKLRKKKDEIQKLQNALAQKMDASGYREKAPQSVQEEDMRKLTALLEQLEVISEAEKKLDAN >Sspon.03G0023440-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3C:89803925:89804200:1 gene:Sspon.03G0023440-2C transcript:Sspon.03G0023440-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARVALRTGEMEHESDGAGRGVTGGSPGTLQVAALPGALATPFVYPLPYREAYLYIACLPSRHPCNQQPFLRETCSPLHAISIVLLLLARS >Sspon.04G0027270-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:58471972:58474164:-1 gene:Sspon.04G0027270-1P transcript:Sspon.04G0027270-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPASSPVEFLLRRPQPRQRRRPPLAGAFFAPTGLSGAPLLRALASLAADLLGTPPPPSQRRNLDALMRRLALLSALLDSLLLLLADEGEAAFSDAANLCFRELYVVLFRADLFVSYVASAGRAWALLRAPQLAASFRDLDAELAVVLDVLPAASLRLSWDAAQYLDLLRARCRRRAPAHYHDPAEAALGDRLLAALRHFELGQPPDPSTLRSLLLQIGISDAPSCRSEIEYLEEQILSQEEDADLPLIGGVVALLRYCLFSLFDPSNTKALRVWLSAGNRQRLLSWSCSDDSSFSVPKEFSCPISLDLMRDPVVVSTGQTYDRPSIIQWIEEGHSTCPNSGQALSDNRLVPNRALRSLISQWCGVHGFQFDSLESNEGMIECVAASCSSKAAIEANKATARILVKTLTEGSDNSKPVAAREIRLLAKTGKQNRAFIAELGAIPLLCRLLLSSDWMAQENAVTALLNLSIYEPNKTRIMEQEDCLHLIVSVLKNGWTTEAKENAAATLFSLSVVHDYKKKIMNEPGAVEELASMLTKGTSRGKKDAVMALFNLSTHPESSGRMLESSAVVALIESLRNDTVSEEAAGALALLMKQATIVHLVGSSETVITSLAGLMRRGTPKGKENAVSALYEICRRGGSTLVQRVARIPGLNTVIQNITLTGTKRAKKKASLIVKMCQRSQMSSALGLGSTLTVVDHSLVGNSTLRRAASFGSGELSNPVSISVPVP >Sspon.02G0004440-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:13531079:13534914:1 gene:Sspon.02G0004440-2B transcript:Sspon.02G0004440-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEKKTPAVSDVGAWAMNVVSSVSLIMANKQLMSSSGYAFAFATTLTGFHFTVTALVGWISNATGYSVSKHVPLWELVWFSLVANTSITGMNLSLMLNSVGFYQISKLSMIPVVCLMEWVLNSKHYTTKVISAVIVVAAGVGICTVTDVEVNAKGFICACVAVFCTSLQQITIGSFQKKYNIGSFELLSKTAPIQALSLIILGPFVDYYLNGRSLLNYPFSGGATFFILLSCSLAVFCNMSQYLCIGRFSATSFQVLGHMKTVCVLILGWILFDSALTVKNILGMLLAVMGMVVYSWAVEAEKKAAAPIPRNKSDMLDGEDVPLKARVSGVPAGDLEDGEMKS >Sspon.05G0027970-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:5B:57746732:57747326:1 gene:Sspon.05G0027970-1B transcript:Sspon.05G0027970-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SCVASLSSPSVALSWCLLFFNAGDVRAMWAHMGASGVGGARVVQVLCNLSKRGVRHGASAGRGEERAAWAHVRGERPKWGTSHLSGVEPELAGRAARGAASGSGPRAGRPDASLPRPPAIKFHRYQILGYTKLLPLSPLRNQIVIYRRLRLTRRLCLRLWGTGGNSADLLAAGCSIPLQQVKQKSVIRFFVNVIGIF >Sspon.01G0017760-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:68637562:68643123:-1 gene:Sspon.01G0017760-4D transcript:Sspon.01G0017760-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLAGSRGGGGCGGLDAQIEQLMECRPLSETEVKTLCEKAKEILMEESNVQPVKSPVTICGDIHGQFHDLVELFQIGGKCPDTNYLFMGDYVDRGYYSVETVTLLVALKVRYPQRITILRGNHESRQITQVYGFYDECLRKYGSANVWKIFTDLFDYFPLTALVESEIFCLHGGLSPSIENLDSVRSLDRVQEVPHEGPMCDLLWSDPDDRCGWGISPRGAGYTFGQDISEQFNHTNNLKLVARAHQLVMEGYNWAHEQKVVTIFSAPNYCYRCGNMASILEVDDCRNHTFIQFEPAPRRGEPDVTRRTPDYFL >Sspon.02G0040820-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:72325626:72331297:1 gene:Sspon.02G0040820-1B transcript:Sspon.02G0040820-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAERAGAQMAAGGAAQRPTFSSPGDGELMVFNCSVEVAGGSIHCLRRSEPRQLLASLLLRSYGETASPSNPMRPHQKQAESRLSKVRSLDIFGWVTSIPLLSISRVLRVLQVDDCSGLNNHHLQLQDIGKLRLLRFRRLYGLKINEVPESIGELESLETLDIRRHDRLRPRSMVLPVSLAKLRKLVRLLADVVKLPDGLTLENMTSLRELVGIWFTLHAATEVGKLGELKVLGLCMPIESRSNVYELIVKCLQLCPILQIFILRSPGSTYFYPVDLMAQLPSGLRTFTGTGGYFMKAFPKWNKAQLSYLTVLHLRLYRVRVQPEHLEQLAELPSLCFLMIRSVGPHRMLLGRQLMIIPTIHRWICPIIKGPKQQHAICYSSHSFVTG >Sspon.06G0033050-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:7996268:8001205:-1 gene:Sspon.06G0033050-1D transcript:Sspon.06G0033050-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LNPSSATDSIPSRRATLVTLTQPKPSRAPEPCSDPTPPRLLLDPSTASMGSSEADEDQLLKSFLAEVSEAERDNEVLRILGCFKLNPFEHLKLSFDSSPDEVKKQYRKLSLLVHPDKCKHPQAQEAFAEELRAKRKKELKKDSASKIKSLVDEGKYEEQFERSDEFQKQLIIKVREILTEKEWRRRKMQMRISEEEGRLKKDEEETKEMWKRKREHEEKWEETRDQRVCLQPILCRNFTMLAMPHFLLTRQYEIRSMYSY >Sspon.03G0039630-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3C:18927914:18928793:-1 gene:Sspon.03G0039630-1C transcript:Sspon.03G0039630-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASTMSHPSPALAGKAAKIARASVFGEGRITMRKTAGKLPKPAAASGSPWYGPDRVLYLGPLSGAPPSYLTGEFPGDYGWDTAGLSADPETFAKNRELEVIHCRWAMLGALGCVFPELLARNGVKFGEAVVLMGAVEGYRVTGGPLGEVVDPLYPGGSFDPLGLADDPEKFAELKVKEIKNGRLAMFSMFGFFVQAIVTGKGPLENLTDHLADPVSNNAWAYATNF >Sspon.04G0013920-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4A:50366961:50367332:1 gene:Sspon.04G0013920-1A transcript:Sspon.04G0013920-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAPVLAALLLLTVRMGIGRGVAANTPSPTGWLRGAHATFYGGADASGTMGGACGYGNLYSQGYGARTAALSTVLFNDGASCGQCYKIACDRRTDPTLCKPGVTVTITATNFCPPNSALPDGG >Sspon.08G0028060-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8D:5492473:5494715:-1 gene:Sspon.08G0028060-1D transcript:Sspon.08G0028060-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RNQDSRSGVVNARNRKKKNENVARSPCQKRREDRRPAKTKPLATPSLAHGSGRERAACACASVSRPALAVLFLSPAARHPSVPTVRGCMRALRERGRRGHVHVTSGEGGDVERWSVVLAEAGPREGSRLHVWGRSSPGGTWGCDAARKIRTKTWHEPCQKKEGKRARSAKTYDTIGRRPASLARTGPRATCLPCVSACPRVPDGDGAGCHVGGGGRTARHQEWGLDRVEARSVLADRSPWRESRMSNGPCRAGPARRRAVPCRPASCRHGPPCLTGRACGVLVPSLRPKARPVGRRAGPRARRALRAAGGPRAAREEGKSGATDGEGKGRAAPSGTVGVGGSTAWSLGPGRPGPGGHRAVPCSGRAKTPGRGPGHRASGCMAKYTPSRSILADPPPAILQTAPPPPNKWCRSNRSQASRAEHSRAVISVGTGTARRTLHCTHAGALHGLIARLIRPAASALLACHLPPSNILHEERCLSACARESLPSRQQSMAGRRKQRTNIPDGRQYYTISSNFPAAFASWLLASHHLFIPCSTDQHRIIGTACPADTTNNSRQKFERIIPSLIDRRNAWPAALAACYPIWKMAVSFS >Sspon.01G0047860-1T-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1D:109364626:109364987:-1 gene:Sspon.01G0047860-1T transcript:Sspon.01G0047860-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding CLTCWCPCITFGRVAEIVDRGATSCGTAGALYALLTYFTGCQWIYSCTYRAKMRAQFGLPDTPCCDCCVHFCCEPCALCQQYKELKARGFDPVIGWDLNAAQRGAAAAMYPPPAQGMGR >Sspon.05G0005050-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:10213591:10214286:1 gene:Sspon.05G0005050-1P transcript:Sspon.05G0005050-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQVKTLAPQALAYSPISPSTIARAAHPSAMAAASSSAAPAPAPADAYDIPWVEKYRPTRVADVVGNSDAVARLEVIARDGNMPNLILSTTSILALAHELLGPSYREAVLELNASDDRGLDVVRNKIKMFAQKKVTLQPGRHKIVILDEADR >Sspon.01G0032100-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:109965940:109968528:-1 gene:Sspon.01G0032100-2D transcript:Sspon.01G0032100-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPLRRSSGDLAAFGCLFLLLPLLSRGADMPVGSTLSPSNSATWTSPNNTFSLGFTASASSPSLFVAAISYAGGVSVWSAGAGAAVDSGGSLRLSSNGDLQLVNGSGAVLWSSNTGGQNVSAAAVQESGNLVLKDSRGATLWQSFDHPTDTVVMSQNFTSGMNLTSGSYVFSVDKATGNLTLRWTSAATTVTYFNKGYNTSFTGNRTLSSPTLTMQTNGIVSLTDGTLTSPVVVAYSSNYGESGDMMRFVRLDEDGNFRAYSAARGSNAPTEQWSAVADQCQVFGYCGNMGVCRYNGTAPVCGCPSLNFQLTDPSKPRGGCTRKVDLNSCAGNSTMLQLDNTQFLTYPPEITTEQFFVGITACRLNCLSGSSCVASTALSDGSGLCFLKVSNFVSGYQSAALPSTSFVKVCYPPLPNPVPGSTAGGNSGGGPGVRAWVVAVVVLGVVSGLVLCEGGLWWFFCRHSPKYGPASAQYALLEYASGAPVQFSYRELQRSTKGFKEKLGAGGFGAVYGMVLLETVSGRRNFDVSEETGRKKFSVWAYEEYERGNLAGIVDRRLPAEDLDMAQVERALQVSFWCIQEQPAQRPSMGKVVQMLEGVMELERPPPPKSSDSFLSTTTATSAGSGVSSSMVSTVVSSGAPVAPAPSPNLEQEMALGRSESARNRERVSRQLLSPQPYMTM >Sspon.05G0012900-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:5A:40676062:40676256:1 gene:Sspon.05G0012900-1A transcript:Sspon.05G0012900-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADWGPVIVATVLFVVLTPGLLCTLPGRGRVAEFGSMHTSGLAILVHAVLYFALITIFLIAVGIH >Sspon.02G0026090-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:90688902:90698675:1 gene:Sspon.02G0026090-1A transcript:Sspon.02G0026090-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPQTLSAPPPAAAAAPNPGKRKRQPKGKKKKNKLARSDQDDSVRRRRNKPSAKFLKLLRKRARDYNSDDEEEEDDDQQQEHPPNPRRRRGHDDGDDDDDEALSHSEPEEDEDEDEEDEEEGASSSAVTRFEQGCRAFRVAFLKIMAKKLPDNPLGPIMSAHKTLVAAKLAEEVEEHKPKGEARKEKRVAAEKGHVIPKDHLDSKEKELIKVATKGVVRLFNAVSKAQKPRKDLNPSRTKDAKVLEKERKNTFLAELDMPSRQDKRNKASSNFSKHTGKDEDEPAWAPLRDTYMLGSKLKDWDKMKDSSAASEEKK >Sspon.02G0053920-2D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2D:94458402:94458953:-1 gene:Sspon.02G0053920-2D transcript:Sspon.02G0053920-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRTTATLFAVAAAALCFSSSFYSGAAADTVADSCDAIRDFVDVAFCASRLGSVPGAASADRHGHLLMAADLAAASGASARDAAAGMARREGDGDGEDARDALEACGILYGAASVPALRLMRGYAAARAWAAARALLPLTGQAGIGCDAALEGSATAKARMAAANREFDQLSTMATALLNKLT >Sspon.05G0020770-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:87929130:87931688:-1 gene:Sspon.05G0020770-1A transcript:Sspon.05G0020770-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATAVADPLAEVWNHTMSAGRSDLFCFDPRKITMTGIWTGDNPLDFSLPLLLFQIILVTATTRAVSLLLAPLRLPRYIAEILAGFLLGPSVLGRVPHFSDIAFPVRSIFILESMSLIGLVYYTFTIGVEIELHTVLRAGFRSFWFAAASALPPFLVGAVTGYVALSSAGDEDGSGTTTAAKAGQQFLNRLSFPVFLGATFCSTAFSVLARNIAELKLAGTDVGQLSISASLINDTFAWAGLTVATALAHVRYGMAPCLWTLVSGVLIVSASYLVVRPMLVRLARRVAEGEVVSEAHECWVLVGVLVAALVADAGGTHAIFGAFVFGLAVPNGPVGVAIVEKVEDFVVGTLLPLFFAMSGLRTDTAKITSTPAAVLLMVSALAAAILKVAAAVGVAAVFGMPLLDGTSIGLLLNTKGIIELIILNIGRNKRIMSDQSFTVLVFMSALITALVTPLLALVVKPARRLVFYKRRTIAWPQPDAEFHVLACVHMPRDVPALLTLLDVASPSDRSPVAVQALHLIEFAGRSSALLLINASAPSSSFEHSAHRRSQVELQFKHIAHAFMAYEENVAGVSARTMAAVSPYATMHDDVTAAAEEQHSALILLPFHKHRLVDGGMEVFHPAIQPLNQSIQLFSPCTVGILVDRGLGGVPGAGCRVAALFFGGRDDREVAALAIRMVYNPAVDLTLLRFAQKGGSFTGTEFDALKERKADDGILREFLDRANSVSAGGGGGAGVEYRERGVFNASEMVAQIREVEALGKDLFVVGKVPGLPALTAGMAEWSECPELGPSGTCSHRGTSRPRRRCWWCSRTPGRLSGGCRRSWDWAAMACPRGGGRHGQISSVGVASGIGAS >Sspon.01G0034420-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1B:3655640:3656272:1 gene:Sspon.01G0034420-1B transcript:Sspon.01G0034420-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SVSLFGNALTGGVPSSFRALAPTLRKLNLSRNALDGEIPPFLGAFPWLRLLDLSYNRFAGGIPAALFDTCLRLRYVSLAHNDLTGPVPPGIANCSRLAGFDFSYNRLSGEFPDRVCAPPEMNYISVRSNALSGDIAGKLTSCGSIDLFDVGSNNFSGAAPFALLGSVNITYFNVSSNAFEGEIPSIATCGTKFSYLDASGNRLTGPVPESV >Sspon.01G0003610-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:7731833:7733383:-1 gene:Sspon.01G0003610-2B transcript:Sspon.01G0003610-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKAGRWLRSFLSGKKDKPPQAVDPPAAPKDKRWSFRRPPAPQEGRAAEPAGRGGPPDQDQHQGPLGFPKPGVEFDQKKHAVAVAAAHAAAAVARLSSRGAPRMELPPCLVEDSAAVRIQATFRGYLARTALCALRGIVKLQALVRGQLVRKQANATLRCMQALLAAQSHLRAQRMLALQHHDHHPTPPRPRQSPQHPKHRRSYEMDRLCEENAKIVEVDVGEPPVRRSAGKDRQLFADHHHAGRSSPAPSAVTELMSPRAYSGHYDEFSVANTAQSSPQHQASEACPGYMANTESSRAKARSQSAPRQRTDALERLPSRRKGTPPRTAKMQRSSSLAGGAGATPRGAGHYSSPWSSGARLDVSTASVKDSECGSTSSVLTAATVYSRTRSLVGFEVRRGLY >Sspon.06G0008900-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:38938568:38941613:1 gene:Sspon.06G0008900-2B transcript:Sspon.06G0008900-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAATVPRSAAACRAAPLLPAARTAARHLALPRRAFSASVAAAPAPAPAPRCLLAARRAADGDSVETAPEAVPIEKRFPPFPSVMDINQIREILPHRVIEYKAGEYAVGIKNVTINDNFFPGHFPERPIMPGVLMVEAMAQVGGLVMLQPEVGGSRDNFFFAGIDKVRFRKPVIAGDTLIMRMTLTKYQKRFGLAKMEGKAYVGGDLVCEGEFLLLSEIITGED >Sspon.05G0034940-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:67049185:67051419:1 gene:Sspon.05G0034940-1C transcript:Sspon.05G0034940-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQRDVEYSCGSCGYPLNLSSSNRSTSEVGSSYKKSLKKGLISFISVDLSRFTQVDEVSCFPLAWRSYRPKTKLLCRKCGASIGYGYGEPAVLCSFDPASSSSSSTSQKYLIKIQALQPSDGTQ >Sspon.07G0013480-3C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7C:54845066:54846244:-1 gene:Sspon.07G0013480-3C transcript:Sspon.07G0013480-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPNFNGVWSASEIDMVNSFIASHNTNNTYANDTNKMHNDIVDELQARFPNKERNQVIQLYVHLVVEMNTMQSNNQQVAVSNALVNDNFGAPTKDIDKDNMDMFHGYILDDVEAMKMVEEPPHKLNIVPKKNRQHPVAWTHEEHKNFLHGLEVYGRGNWKNISRYFVPTRTPNQICSHAQKYFHRKECTTRKQRFSINDVSLYDTEPWVQKNSSSSKSLAFGCSAYNTNYYDIEGQHAVLNKLAHASQVSSRHAATWTRGHHIIASSSIDPTMVQSSLGWEALAFTSGANNTNYYDFDGQHNAMNNLACADLASNNQVAATWTRDQ >Sspon.02G0013540-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:29102653:29105480:-1 gene:Sspon.02G0013540-4D transcript:Sspon.02G0013540-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lysin motif-containing protein, Pattern recognition receptor, Peptidoglycan and chitin perception in innate immunit [Source: Projected from Oryza sativa (Os09g0452200)] MRAAAASLRLLPHLLLLVLPLLSAVPNAVSKSTLESCASSTACPALLSYTLYADLKLAELAALFAADPLAILAANAIDFAVPDPADRILPAGLPLRVPVPCACSDGIRKATSVRYVARAGDTLASVAGTVYGGLTTPDWIRDSNGMLEDAALDAGTTLFVPMHCACFGGVDSGAPAVYLTYVVAEGDTVPAIARRFRTTGNDLMSVNDMATADVAAGDIIVVPLPACASSFPAFTSDAGLAVANGTYAITANRCVQCSCGPGNLDLFCVPAPLADSTCSSMQCSNSSMMLGNFTLQMTSAGCSVTSCTYGGYVNGTILATLTTSLKPQCPGPHQFPPLIPPPTSSFFETYLGPSPTPMASEGGIGPQVAGMAPTSSPPVSSGPPMAGSHGSDRLALVALCLVASLLW >Sspon.01G0009400-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:30260987:30263927:-1 gene:Sspon.01G0009400-2B transcript:Sspon.01G0009400-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGTAAEGVAPAFDIIASTLSLLLLCLLILALFLARKYGLLCFKRKEEPTIKSFLQKNGNLHTKRYTYAEVKRMTMYFSEKLGQGGFGDVYRGNLSEGPQVAVKMLKDSKGDGEEFMNEVSSISRTSHVNVVMLLGFCLEGSKRALIYEYMPNGLLERYAFNSNRNNQSSLGWEKLFDIAIGIARGLEYLHRGCNTRIVHFDIKPHNILLDHDFCPKISDFGLAKLCPNKESAISIVGARGTIGYIAPEVFSKQFGTVSSKSDVYSYGMMVLEMVGARDKNINADSESSSQYFPQWIYEHLDDYCISASEINSETTELVRKMIVVGLWCIQVIPTDRPTMTRVVEMLEGSTSNLELPPKVLLSYQA >Sspon.06G0028180-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6C:3260451:3260768:-1 gene:Sspon.06G0028180-1C transcript:Sspon.06G0028180-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLQGHKPPGSIHEVESKAKIEQQRGMELINRSAGCWTTCMPWRPPDLPAGEPPSHSDPCGPCQPWPSGDLCFLRGWRNMKENDSSLVRASAECSGWACWAASA >Sspon.02G0011360-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:30562620:30567039:-1 gene:Sspon.02G0011360-1P transcript:Sspon.02G0011360-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MERESRSGMLSETASCAGTPRSVQSTCSLQRRYSSRSILKPQEGALDMSPRFSYCKPVTHSRDKMFNRRHSLNLPEQLPGHYSRKATERTQKATSKSVADLVREIAALEQEVIRKELHLLSLYRRAFDQYVSESCSFTSEQVDQEILKNIDEGALRLRDIKHSAAFNLPTVSNSEVSKSGARHSSLVNFLSASISEYVPTISCKLSEDILGCIAAVYCKLSSTESQGAECMASPSPSVSSSSTFSPRRRNDSWSPRYNFDSPRPCGLQKESNEQNIGLIVIPRIHIDADKFDYASKMLETIRSLIQRLEKVDPMKMTHEEQLCFWINIHNALVMHAFLAYGLHDKRMKSTDMILKAAYNVGGQSVNAQTIQNSILGCQSHRPSLWVRALFTPTKRSAAGTARHPYALHHPEPVAHFALSTGAFSDPPVRLYTAKKIQQQLEAARTEFIQGSVVVRKQALLLPKVLHYYARDAALELRHLVELVCESMSDAQQKQLQQHGLRRRVDKCVEWMPYKSSFRYVVHRDLAD >Sspon.07G0014090-4D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7D:50465661:50466635:1 gene:Sspon.07G0014090-4D transcript:Sspon.07G0014090-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDMDMTMAMMAGLEDLDQVVLAMSPGSSSATSSAPAAAAPPAAAGGGSSEVDEAAAGDLRRGPWTVDEDILLVNYIAAHGEGRWNSLARSAGLKRTGKSCRLRWLNYLRPDVRRGNITAEEQLLILDLHSRWGNRWSKIAQHLPGRTDNEIKNYWRTRVQKHARHLRCDVNSASFRHVVRHVWMPRLRERAQADSEDLQPVAAATPLAVQAAPATTTVSAPPACFNYYGSQHLVQQQGEAAASEADHHHHQYYSEPAGQMAATATALSPDDASSVLWPSSLTADDASHYTAATYTSAASTATPTNDQSCRPTTTVDDNVFTGTT >Sspon.02G0028700-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:52194501:52196304:-1 gene:Sspon.02G0028700-3D transcript:Sspon.02G0028700-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPAASELFPALVRAGTTTPRPRPPRAARNSPTVHRHAPPAARLAGGAGTTTTLVVDVDGALLLSRSLFPYFMLVALEAGGFLRALVLLLLYPAIRCCRLGGGGDLAVRTMAAVAFCGLRARTFRAGRAVLPRWLLEDVAAEALDAARRVGDDDPARVVWASAMPRVMVEPFLREYLQVPAAAAVAAREMKTAWGFYTGLMEGDEDGEAVSPVTRKRTTAVASARDGGDAVGFSASGSVEFLSSPPASICKEVYVVSAEEQSKWRRLARRDYPTPLVFHDGRLAILPTPLNTVAMFTWLPLGAALAVLRLAVALALPYRVATALLAATGQSWRLRGSLPPPPRNGSSGGQLYACDHRTLIDPVYVSIALDRPVRAVSYSLSRVSDLLSPIGATVRLARDRARDGAAMARLLARGDSVVVCPEGTTCREPYLLRFSPLFAELGGAAGVVPVALAVDTSMFYGNTASGWKAVDPFYYLSNPRACYTVQFLDRVDTADVVSGGKAASADVANRVQRLIAEALGYECTMLTRKDKYLMLVGNDGVVAAPRRAANK >Sspon.06G0002650-3D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6D:5703462:5705417:1 gene:Sspon.06G0002650-3D transcript:Sspon.06G0002650-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFFSGDPSSCICPPSPPSKSSKSMAAWKVRGDAGRLLPVITFFFGAAITGAFVFLGATMDMSWRFAAWGNGARAVAGDEVKPFAELAELLKNASMEDNTVIVTSINKAYAAPGSLLDLFLESFRAGKGTAGLLDHLLIVSVDPGAHETCRSVHRHCYLLRPDNEDGAAPAVDLSAAKYFMTKDYLDMMWARNRFQQTILELGFNFLFTDVDILWFRNPMRHIAVTSDVAIASDYFNGDPDSLHNQPNGGFLYVRSMNRTVEFYRRWREARAGFPPGTNEQHVLARVQLPLTRRLGVRMQFLDTAHCGGFCQLSDDLRRVSTMHANCCTGLDNKVHDLRNVLRDWRNYTAAPRE >Sspon.01G0006730-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:17795191:17799225:1 gene:Sspon.01G0006730-1A transcript:Sspon.01G0006730-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRIPSVSLRGGADEDSAAASPPPDPAALSRWVRAFCVIRFDLERGQLVEACFPPDALTAPGGGLDRLVAFSSFPDSMSHHLPRHRSSVHDSLFSFRVPDPSSPRRAFLYGFVFNRQRQDERLPRGGEQKSVVILSHAPYSSLFRLLLQILGPLCFDVGPSALAMVASHVAVWPAPAPGRPMELPIGSAALRVHLPPAADDPGPPPALLPANPSVPYGLFHDADLFAAFRGLLLHLWTLWELMVVGEPVLVVAPSPAQCSEAVAGLVSLVAPLLYSVDFRPYFTIHDPDFARLNALAEGEVFPPMVLGVTNLFFLKSLKSIPNVVSVGSPNPNSTRVLPVGGQSPGNGMNGTPGKLKLEKLAINKFSPTSLLNSIKLRREGPLSLMTEHKEALWSTYSPTTKPDTSVLNRLIDAGVSPRIEESMSVVNNEILRRHFLELTTNFLAPFGPYLRTTTPSEGSSPFVDPPLLPPFHADEFVNGLAARGPGKFLSKRMRSNWLDLYRRFLEGPNFMPWFRQRRAAAEQEQQRLWRQARMNVDIEKLMSNMSELERIDSFNAVERYLLREMEHYIIKAFIQQVRYLSSEVPNVNVFFVLQNPGKGSADSIGACQKLKVDLQAAFNVLPKDMQQLLLSNPKRAVLLQVFHNNPIGKAWKS >Sspon.08G0004880-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:14249533:14251040:1 gene:Sspon.08G0004880-1A transcript:Sspon.08G0004880-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSEVRAAGRRIVDYLNDGEELGAEGAVVETPPCSPAAAVAVAVAVAVGESARSALHRFRWPRLVRHRLRRKGGGDKGKQEEVVVQKGDDLPVADVSTSGRGSLAASDTRHSDLGVGLSLVFLLAKTSDEFNKMVKVRAEMEALLKEIRDEVRIKSGGGTEGGRDAPKDRNRESTTSSCVTTDGNEVQSARARMAYQAASSSSVELAGDEKSSSSEDDGGCCARMDVLEEEFHAEMELLQVNYGSETPSFLPEEEEEEHYCEPYDELADYRNGIDDDSGGVVEEDDDGDDDHDDNAEYNGVSAVQLERRLHELIHERNRDRIEELEAALRCAEQKDVEKEMEVSLWKDTAKFALR >Sspon.06G0024930-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:58866192:58882950:1 gene:Sspon.06G0024930-1P transcript:Sspon.06G0024930-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPGGRRGAKQRPKWTREPQLGDLVLAKIKGYPPWPAKISRPEDWQHKPTPKKFFVYFYGTQEIAFVSLADLEEFTEKTKNDLLDRAPNIKVQRKYVQVFNDAVEQICKAYDELPKTSEAANGALPDQSEKTTEHLVRSPDVGEIPGLRQMEGDSPTDYSNTSGQGSGTEEDVKDGGHEVGDHSLAVSQKKTSLLQDPKHPKTKKSAASKSALDMYTEQEHSPTSVHTERETEEVKIEKESRSLEGFDLEPNLEVVCALEVPKKSKSNKLLRDAERKENKRAGIGSPTGRIAAEAASDVVLNMSTGKESREFKESKIMTKQSLTTDSEKRDYNKTVHGKPDKQLTGKSSAGFSSNKKSLPGSGQHKMDSCADTRPAKKPRLMDRAGETDKTVAKSETKNINYEKHNAMKHERATAMETGKNTILKTGTSDGARRSGGVVSPISRLHSEAMEPASGSVTQSGVADSVKKGSSMKEDASRVDRQLVKPKRRACRFDDDENEGQRTPLHRTSAKSIGEIHRTSAKSIEHVPSEKAGTRGHVGNASGKKSGPAREEKSRSVRMSPVKHEPVFSSPSQDKVHARHQVTGRRLITGSVETPAVIGNKMNLVDCKSSAQVKMPASSEVKKLHSSSSKPSHLTSGNSHSRNYPASEKIALLPKSEETKAKSKPTASVEHKVGINLSTEWTGKRDHLKEERSSSVDKAASSEPNPDSAKSIKHLIAAAQARRNLMASAHAKFDGSSTDNAAITSTPYGLPGLSPSPVFRIPSPPRIAFPESPGQRILKSPMELDNGHGKSPKSRQASGSPSGGTDAAIARDALEGMIETLSRTKDSIGRATRHAIECSKYGIAGEIVELLIQKLESEPNLHRRIDLLFLVDSITQCSHSQRGVAGASYVPTVQAALPRLLGAAAPPGAGARENRRQCLKVLRLWLERKIMPEDILRKYMGDIEVPNDGTSTTLMLKRPSRAERSVDDPIREMDDMLVDEYGRLPRNNGSSPFVSRPVESDVIQETEETIAPASVEEHIIRVENVTTDAAMEDALEILGNNQQTDGAVLIEHEHDSSQDPGSEQALIDQDELPPLPDGPPPLPSDSPPPPPPLPPSPPPATPPPPPPLSPASPPPPPPPPLPSGPPPQPAPPPPQPAPPPPQPAPPPLPTQAPPLPSIPPPVPSSPSSLGYQPPAPEYFMTPNGNQLTQMTGNTSIQAIGNTTTFIPGGPVNGQAAVNFVTSMPAEYGNNNVFMAPQTSNGNYQFRPTAVPFQQGNFSAFPSAQTPPVHPHSRMAHMNPMGQQAVPPPRNPYAVQSFPNSQSHYPPEEHWRMASGNFSPGGRALSCSEGPFMQDGYSRSNIDRSSMNPMNHQHTVLNHLPSGAPLPGHIPQMLPARSDIHTLNCWRPS >Sspon.08G0000770-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:1209271:1212723:1 gene:Sspon.08G0000770-2C transcript:Sspon.08G0000770-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAC domain containing protein 36 [Source:Projected from Arabidopsis thaliana (AT2G17040) UniProtKB/TrEMBL;Acc:F4IME8] MHPALDQQPISIIDPSSSQSSNQQRQQSMAEQQRRGASPPSSGGAGAAELELPGFRFHPTEEELLEFYLTQVAHGRKLKFDIIPTVHLYRHDPWELPGLARIGEREWYFFVPRDGGGRAAKQAGSGSGSGSGGGRPSRTTERGFWKATGSDRAVRCAADPKRLIGLKKTLVYYEGRAPRGTKTDWVMNEYRLPDATTCCNAAGDSSAAASTNKLPKEDIVLCKIYRKAVSLKELEQRVAMEELARATATPSASASHNTGSPADSMSSSDHETAAAAAGVAHPQKQQGGEIMMMPVGISPPPVMSMKKEEVAEPLLPAAALMRPATLSLPQLEVAKQQPVQQEWMQDPFLTQLRSPWMENWSPYYASVLNF >Sspon.08G0001780-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:5468337:5470765:1 gene:Sspon.08G0001780-1A transcript:Sspon.08G0001780-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISSKIIVVFMLLLSAMFLLQLSAPAHARKLEVMMRAPISRVQPPSTGRSVLQEPATQTESTTPATTIFFLVNFMAISSKIIVVFMLLLSAIFLVQLSAPAHARKLEVMKRAPISRVQPPSTGRSVLQEPATQTESTTPGHSPSIGHNSPPN >Sspon.02G0045810-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:112391882:112398349:-1 gene:Sspon.02G0045810-1B transcript:Sspon.02G0045810-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKISALLTSAGINIGLCVLFLSLYSVLRKQPANVRVYFGRRIAEEHDRLRGAFILERFVPSTGWIVKALQCTEEEILAAAGLDAVVFNRILVFRSEIKMEYKHIARLRLLHLTSATPKPSHFTVLVRGIPKADKESCSDVVDSFFTKYHSSSYLFHQVVYKVGKVQKIMECTAAFVFFKTRYAALVASEILQTSNPMKWVANLAPEPEDVDIYTRAISARAVAAEASFPKRNIEEGPTSHSERKRSACCKVLIFTVWNIFFANVLSGTVISQLNVLSSPKDIPVQLAKAVPGQATFFITYVLTSGWASLSSEVMQLFGLIWNFIRKYVLRMREDTEFVPSFPYHTEVPKVLLFGLLGFTLSVLAPLILPFLLVYFCLGYVVYRNQLLNVYRTRYDTGGLYWPIACNTVIFSLVLTQIICLGVFGLKESPVAAGFTIPLIILTLLFNQYCRNRLLPLFKTFPAQDLIDMDREDERSGRIDEIHHGLHSAYCQFPDTVDVPLEKIEIVGGDEEQGSTSGESSGKETCEDPKKDLSHPTLKGLPVNRLRQAVRSAKGYAGPSLVVGSAAAV >Sspon.04G0011500-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:35201599:35209535:1 gene:Sspon.04G0011500-3C transcript:Sspon.04G0011500-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFPSSSAANSNFSLGVFLLPVSEKLTRSNHVLWRAQVLSAIRGAQLSDVITPTAAPPNEFLPPKDATDKTEAPVKNPEYGLWIAKDQTVLSYILSNLGREIQGQVSTKTTAASIWAAIEEMFASQSRARLITTRMALATASKGTSTISEYFTKMKSLADDMASAGRKLEDDELISYILTGLDPTEFDPIVTSLTTRVEPITMGELYTQLVAYEQRHELKGSNGGQQSSANVAAKGGRNPNFNNTRGGGRSGRGGFNRGGKGGRASSPRPKGNFQAGVFCQVCGKEGHPAWRCYNRYDENYNGTPPQKKSASAANTSYGVDTNWYMDTGATDHITGELEKLTVRDKYHGVQIPVLILAAVTTRQHQLRDPANRQQVHQLRDPCGRSISSCCWIFYTDERATAVEWIGWVLPISGSSATEGGEAPPVISIDPPVQRPCTRLQHGISKPKIYTDGYKQRYGIDYEDTFSPVVKAATIRIVLSVAVSLGWSLRQLDVQNAFLHGILNEEKLSIKDGDALGPEDSTKFRSQLDIRFIHSDDQVADGFTKALPVAKFLHFRRNLNLLHGDSLTLIILHFYSTNELLARPALKGAVRWRSPAKAPHDAGIVSGPLRQLAGQGQATHHVWTVSYNNRRPGMPGLGPDAASPLLLVFDMSRGVSQQRQQLAGLSFAESQYLPDDEPGANATSRWPPAQNHQLATVEYALLRASGAVSRSLAAAPKAKEAKQARLDKSLSLFLSLSWRPLRRLSLYIGGPAGSKPPPPAQVASELLCPLHLASPFLLLLHARTAVSPLLTARSRGRRTKQGRSIEMAFLNLELQTWAFTFEFRSIIDKSTEGFQSTPYVVTLFSCMLWIFYALLKSGAELLVTINGVGCVIETVYLGMYLVYAPKAARVLTAKMLLGLNVGVFGLVALVTMVLSNGGLRVHVLGWICVSVALSVFAAPLSIMSVEFMPISLSFFLVLSAVIWFAYGALKKDVFVAAPNVLGFVFGVAQMALYMAYRNKKPAAAAVVLVEEVKLPAEHASKEVVAAAAHEGSRASCGAEVHPIDIDTLTLPVVEVHDPQAVVVIDVDAAAVAAGADDGAAGVPTAPEQPAMIKPDMAIAVEA >Sspon.05G0018400-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:77781629:77783314:-1 gene:Sspon.05G0018400-1A transcript:Sspon.05G0018400-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGFDLLANGPNGLLGLILRPDRGSPLPLSPPTRSSDGKHLHAIAAAALRRHGLHCITFFPNRRCPCAAATNAPPTPPLPQLPQMVRTPHFTLSSSTLSISLGVSCVCLQITDDLDATYSRVIAAHGDSVLIEVSTKEKNGNTINQFRQQNKIDHFVYNAGAAAADPPRPPSLSLLLLFDPDSTGLMRRGEEELVVAQLTAVPATPDTPELMRVAELSLFHYGEWSFKSLQLRFRDDSEVWVWEYPSRSWNAVIPVGDRLLCWVDLEWLIVVLCDVFEETPRLQFLRLPRENILGPRSNRNVCATAWRQCAQVHQHLSPLLLWRSWRRLLQTFSPCLHHPHLDDVDRQQRHGVVDATELWPLDAYRGLPWLPLDHPVLSMDEPDLICFWLHELPDKGRRALRGHRQPPLTMTSS >Sspon.01G0040100-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:38966151:38968675:1 gene:Sspon.01G0040100-1B transcript:Sspon.01G0040100-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRLPGCCCAPRFSCFICFGNTCLLLLLRPTPPLAVATPYASPFPANYIVRERIEYRFRPTALATLCHPLRYFGVVFTGARSRSAVLHHVRYQTPSAIPSGIAVIRYAQIFYCCQSAVRDGRLCGGRGGGSHEFK >Sspon.02G0028070-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:103082334:103085268:-1 gene:Sspon.02G0028070-1P transcript:Sspon.02G0028070-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRQPPLTRGGGGGGDAPAGESGSGGGFKKGKGKGRWGGGSRRRNEQRLGVGGGGALSLAAFASAKSRNTGYNPALIKKQREFYKNAKLISKYKKTKKQQSQSNNHPEIPIHEDGGDTAQDVPKPHHKGNKRTAHSLKEEYEKKRGEDEKAKKERDAIIQAKREHREKSEAKRKELREKMFKKTRSGQPVMRYRIEHLLETALSK >Sspon.07G0029100-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7B:74256826:74257071:-1 gene:Sspon.07G0029100-1B transcript:Sspon.07G0029100-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GKKRAEYPEFLRLECAGDARPSWTARYLTRKGFKSSDHPAWGPAHAAGRKPVRANVRARYRQTGWFFAGSGSALGLHSHWPI >Sspon.02G0044470-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2B:101811405:101812685:1 gene:Sspon.02G0044470-1B transcript:Sspon.02G0044470-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRFVPSEFGMDPSKMGHALEPGRVTFDEKMDLRRAIEDANIPHTYVSANCFAGYFCPNLCQMRTLLPPKEKVHVYGDGNVKDTDFANQVGVGHYYHIFYEGCLTNFEIGEDGAEATLLYPEVQYTRVDEYMKIYL >Sspon.03G0045870-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:27295296:27298973:-1 gene:Sspon.03G0045870-1D transcript:Sspon.03G0045870-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVPIAGSVSNALMPAPVVHNPRARKLRSAVWQDFTKERRADGNCVAVCNHCKKQLTATSRSGTTHLRNHLAICTTTSTRRAEKLQSWGIDRKVAAVVLDNCNGGEIVARELLRVLQPRRLLLNGELFQVRSCAHILNLTVQESWEQASDITNRVRKMINHVKFDRFQKFQDISKVLHMDQKLLVVDSPDNWPSTYLMFDSACYYHDVLVRLTEQEGHYDVFLSASDWADVKALTEILDVVYHAMEKFPVENPTANLYFNEMCEIHLLLVQVVMEMMEHRVVA >Sspon.03G0010010-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3A:27094985:27095727:-1 gene:Sspon.03G0010010-1A transcript:Sspon.03G0010010-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHHKKLLQFMRRDPAVATAPAKSSTTSDDKDDDDYYGDTSCSTPATLITSACTAAAAYASSPWTQLLGLGPRGGAAEATKQQTGLLGSLVARFQSGNGLVKTLVVAGDGRIYTGNRDGKVRVWRASSSAAEDPPPRTAAWGRCLGSRMCSAAPSARRSTCRRGGNTTACGCGTSTPSRCLASTPRQGSSTPLPGTAPSRCGGVFEFEYTARIDPRVDWGRL >Sspon.01G0030470-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:108895872:108896291:-1 gene:Sspon.01G0030470-2B transcript:Sspon.01G0030470-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAFLVVLSWTAGVARADFAKDRAMCADKLMGLATCLTFVQDKATARAPTPDCCAGLKQVVAASKMCMCVLVKDRDEPALGFKINVTRAMDLPSLCSNPATFSDCPSTYNGTPSCAVLYSCTAPTRTPCTHHVPRGA >Sspon.07G0016840-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:60478855:60483009:1 gene:Sspon.07G0016840-1A transcript:Sspon.07G0016840-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNIIRCLTGREHDHGDDDSYPYYRTASRWEDEPAAASRPRQQSLGPIHGGVAPVATASLARDLQLNFESASMIPEGLKRHVTSSKKAQIKWTSTRHKDMSEAYKDMKTPRKTPAETAFTRIQRADLEDVFSFYNLPTTSLPVPDSNHHSTLLPEGVQFVLNTLPVRYIYVQPLQRKAYWQHQVSNNALNQHLLSIASSLATIVHNKCIGDGDGFTAYVDTADPRESADVPPEVHEMVIAITQARTDKDYQTANALQRSLDKAGYKVIVILGEDILARKYRIRMRGIDAPELKMPYGKEAKEELMKLIGGKSVTIYVYEQDQFGRYVGDIYCDNMFIQEQMLKCGHVHHFKKYDKRPEFENWQKEAKSTGLGLWASKKPPQKPWDWRRNKRHARH >Sspon.07G0012700-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:52590229:52594917:-1 gene:Sspon.07G0012700-2C transcript:Sspon.07G0012700-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVHAIGEATSDPTLHTGTCIAAHPADLCREMHIASLPPLGCVFLDLMDCLLTSESVSSLGDVHTGDVPNIKQRLWLHEKRIVMGGARTFN >Sspon.03G0038440-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:4825631:4830796:1 gene:Sspon.03G0038440-1P transcript:Sspon.03G0038440-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGFSASCGPAADSFARLRDIIDFNRKPNRRNSSAMAKLPVLARSAIRKPNEGMRLIVVTIVGAVFGFFIGISFPTVSITKLHFPSSIVSYIEDKNSGLSAQAILNHAWTAARNARGNGSEPISSDNFKIYVPTNPRGAESLAPGIVVPESDFHQHRLWGNPDEDLPFKPKYLVTFTVGISQKDNINRAVKKFSNDFAILLFHYDGRVTEWDEFEWSKRAIHVSVRKQAKWWYAKRFLHPDIMAAYEYVFIWDEDLGVDHFNGDEYIKLVKKYRLEISQPGLEPDRGLTWQMTKRRGDRQVHMVTEEREGWCSDPHVPPCAGFVEIMAPVFSRDAWRCVWHMIQNDLVHGWGLDFALRKCVEPAHEKIGVVDSQWIVHQVVPSLGNQGQAENGRAPWEGVRERCRKEWGLFQTRMAEAEKAYYEMMGVTPPNGIAFAT >Sspon.04G0022970-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:14032290:14035724:-1 gene:Sspon.04G0022970-1P transcript:Sspon.04G0022970-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLPVVDLAPYLRTAAGDAARAGEEELRALCATVSASLRDTGALLVMDPRCSAADNDRFLDVVERYFARSADAKRLQERPHLHYQELNSEPVIPEGLPEWKETMDSWGSKMISAIEVVAEMAAIGFGLSKDAFTSLMKEGPHLLAPTGSDLQRHGSEGTVFAGFHYDLNFLTIHGRSRFPGLNIWLRNGKKVEVKVPVGCLLIQSGKQLEWLTGGECLAGMHEVVVTKRTLDAIALAKEQNRSLWRVSSTLFAHIASDAILKPLGHFAETPDAHSYPPICAGDYVEQELSVINLKGKDGV >Sspon.04G0008450-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:21287251:21293098:1 gene:Sspon.04G0008450-2B transcript:Sspon.04G0008450-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKITVRGSEMVYPAAETPRRRLWNSGPDLVVPRFHTPSVYFFRRRDADGNDLTAPDGSFFDGARMRRALAEALVPFYPMAGRLARDEDGRVEIDCNAAGVLFQEADAPDATIDYFGDFAPTMELKRLIPTVDFSDDTAFPLLVLQVTHFKCGGVAIGVGMQHHVADGFSGLHFINSWADLCRGVPIAVMPFIDRSLLRARDPPTPAYPHIEYQPAPAMLSSEPPQAALTAKPATPAAAVAIFKLSRAELGRLRSQLPAREGAPRFSTYAVLAAHVWRCASLARGLPADQPTKLYCATDGRQRLQPPLPEGYFGNVIFTATPLANAGTVTAGVAEGAGVIQAALDRMDDGYCRSALDYLELQPDLSALVRGAHTFRCPNLGLTSWVRLPIHDADFGWGRPVFMGPGGIAYEGLAFVLPSANRDGSLSVAISLQAEHMEKFRKLIYDF >Sspon.04G0008680-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:26309053:26314320:-1 gene:Sspon.04G0008680-4D transcript:Sspon.04G0008680-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLDESKFEQRLELWALRIPRELASAVTRLLRSGYLLDKPRVKCVVEDPESDKNRLVVLSEKIQKPDLSDMPQQALDSLKQLCNVDVVPYKLTLGYSYWSAGHVAHLNISDDLLAYKNVIGKVIYDKNYPRIQTVVNKVGTITNEFRVPKFEILAGKNDMVTEVKQYGATFRLDYGLVYWNSRLDHEHIRLVSLFKKGDVICDMFAGIGPFSVPAAQKGCVVYANDLNPDSVHYLRTNAKINKVDDYIFAYNMDARVFMQNLMTVPGLETGSDSQVAADESYPKEGVPANENSSSNGNNNDVREGSQNGANESSVASTAAKKRQQTSEEGEPDCQDGDANQTKRRNNKRVRGPGPPPSKPWEHFDHIVLMVSSKRNTGQGHYLGYTVIVSSVQEAQNKLNAKIAEPIFHRVRDVAPNKAMFCLSFKLPMECLKEDDSENHIDSVA >Sspon.04G0013060-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:55970854:55979647:-1 gene:Sspon.04G0013060-2D transcript:Sspon.04G0013060-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to Rf2 protein [Source: Projected from Oryza sativa (Os02g0274000)] MDERVDKEGVGTGERVAPHRLGTPVLGAATLPPPSSSSNPPVLPSSSCPAALLVPRCLLTGAAAVLFGGIVRRPPAAPAAAPSEAWTTKTPPRRTPAAAPSDPLQSQVPTRARRRDACETGFKIRAAIYGTSTRRFGGLKLQQPKVNHISFGQKVSAKATLRIVKCNATQTQSVQKKSSSATMQRDKKGKVQGPKLDDGSGGFPPFRFGKGGGGGGGGGGGSNYFGGFLLFSCVLLLDYLKEFEKYLLAQRHRAGDDGGNGLLQA >Sspon.07G0011660-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:46684669:46687133:-1 gene:Sspon.07G0011660-2B transcript:Sspon.07G0011660-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKHNNVIPNGHFKKHWQNYVKTWFNQPARKQRRRIARQKKAVEIFPRPTAGPLRPIVQCQTLKYNMKSRAGRGFTLEELKAAGIPKRLAPTIGISVDHRRKNKSLEGLQANVQRLKTYKAKLVIFPRRARKVKAGDSTPEELATVTQVQGDYMPITRGEKRSVEVVKVTDEMKSFAAYGKLRLERMNKKHLGARQKKAAEAEKDEKK >Sspon.02G0051190-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:73377766:73378206:-1 gene:Sspon.02G0051190-1C transcript:Sspon.02G0051190-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSREAASASLEPCDVIEGAQPPRNPYPLCLLSSSRLGREPGWDGGLPCCPLPSIGRTETWSAWVAGRDPGHLHPPSKAILPQWRVPHLSSAVRFYRTGSGTGTSRQWTEWHRLDGRNVYTVSRTTTHDG >Sspon.01G0055550-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1C:80137324:80143906:-1 gene:Sspon.01G0055550-1C transcript:Sspon.01G0055550-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQLVGVHHHHSLSPRAPRTPTRPQPHPLLHHLPPSNRLRDLHSQIRVHPAVPAASRVLRATPPFFLILLAAVYLLASVTIFSAPTPLLRLRSASPRPLLLPMPAPPPPPAPELFDLDGGSVRVRLTNVGAAVTSLLVPDKNGAASFGTRLLFACAVERLSLLVHDILLVLLAGVLADVVLGFDSLDPYLQLSVAEMRMLRWCCGHTRRDRVRNDDIRDRVGVAPIEEKLIQHRLRWFGHVQRRPPEAPVRSGVLKRADNVKSGRGRPKLTWDESVKRDLKKWNISKDLAMDRSAWRLAINVPEPWSLFDQNGTSPYFGCIVGRVANRIKDGKFTLNGVQYNLSINNPPNTLHGGFKGFDKTIWEVAEYNKGDKPSITFKYYSKDGEEGYPGDVSVTVRYSLLPSAILKLEMEAIPLNRATPISLAQHTYWNLAGHDSGDVLSHSVQIWGSQITPVDQTSIPTGEFMPVSGTPFDFLTGNRIGSRIDQVPGGYDHNYMLDSSEVRSGLRHVAKVVDPSSSRALDIWADAPGVQFYTGNFLHGIVGKGGAVYGKHAGLCLETQGFPNAVNQANFPSVVFHPGEKYRHTMLFEFSTE >Sspon.01G0024420-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:87476294:87480956:-1 gene:Sspon.01G0024420-1A transcript:Sspon.01G0024420-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLYTLTGVSLENPEVSFVPSPLDGQFCERVLLSGVPRLHLDSYASQIRVKMNVSQSIPEKFHWKIEVCFHRNASMDLCQCEAGEWQGFQDGMWTAVNSPYGNKYVDVKLADKKPARFTLSIQEEFQKWRLACLGIGFVLLFLSPIVSKWAPFYYSSSMALGILLVVLIVLFQGMKLLPMGRKSLFYLAIYGSVLGVGSYVVHYFSTLVSSILENFGLSEELYNPVSIFLLVAIVFTGAGFGYWMVRRFILSKDGSVDAGIAQFVKWAMRVVATLFMMQSTLDPLLALVALAFSWWLCSLLTAKKVQKTMTQKQKQSMVLSHQMLTQGLPKSPKIQFLSPSKIGFGRTTSRSSATQYGRSNLANGGLVCSALTKHVVPNEDDEDHYSTFHNIKPRKYFKEEWEDFTQKSTRKALAECTATPEFAQWVADNAHRLQVEKEEDNFSEEETIESSNSSEETGDEADGAPGLIRLWG >Sspon.07G0006810-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:17629447:17635274:1 gene:Sspon.07G0006810-1A transcript:Sspon.07G0006810-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRLAWGLLEPPPASVSRVRVSTRLELQRNGILMLLRIELYNQHLTAFPRHVNEEIEHNIQKTREIESEIVQHSETEKHYLNKESELTKEVSVTEFELNGLIQVAAAESDLLKVAEGNLEFQKVAQDRIQKRLSDKISSSDDLVLLLNEKSSLEDESAKLKMKIDTIHSSSKEYIAEILEEVNTENSVLESELRYMISEYKDVQKDINNLK >Sspon.04G0013360-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:44712797:44714754:-1 gene:Sspon.04G0013360-2B transcript:Sspon.04G0013360-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAALLTTTGNGNKHGGSEQSAVACEVKKQLYLAGPLVVGFLLQNMVQMVSVMFVGHLGELALASASLATSFAGVTGFSLLAGMACSLDTLCGQAFGARQHQQLGVYKQRAMVVLALVSVPVAVVWAYTGQILTWCGQDPEIAAGAGSYIRWLTPALFAYGALQCHVRNGAALSNAVSYVANLSFLAVYVRASPACKTTWTGFSAEAFRGVPDFLRLAVPSAVMVCMEWWSFELLVLLSGLLPNPKLETAVLSICTRVSNELGAGRPQAARLAARVVVLLALIVGMSEGLVIVLVRNLWGYAYSNEEEVTRYTARMMPVLAVSVMLDCVVRGCGRQKTGAFINLAAYYLAGIPAAFIFAFVRHLGGMGLWFGILCGLVVQMLSLLSITLCTNWNKE >Sspon.08G0029450-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8D:37296009:37296178:-1 gene:Sspon.08G0029450-1D transcript:Sspon.08G0029450-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGVQSPDAGMQMSPTFSRQPPIVHAEGDGTRVRRGREVPTDHARCGVRGVRTVK >Sspon.02G0034610-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:13598106:13602408:-1 gene:Sspon.02G0034610-1B transcript:Sspon.02G0034610-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKNAVATLMVRLHHLHLPLPRAAPAPTYRCRRHLAPPLPRPRASAAAMSTAAEQAVAEKALSPDQRASEGQEELAKDLYVPRVEDKNRNMRMLKITTMDDLVKNSMNILEPSPVDATGKDREDGQAFDRSGRRLGRGGGYYDTFLMKYQELAKEKEWNQPLLVALSYSVQIMDEGVIPVNSTDVPIDALVLSSGVIPISAAALQRMQ >Sspon.02G0011300-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:52338762:52340896:1 gene:Sspon.02G0011300-2B transcript:Sspon.02G0011300-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding TILESREAREFASGALAGAMSKAILAPLETIRTRMVVGVGSRHIFGSLVEIIEQNGWQGLWAGNTINMLRIIPTQAVELGTFECVKRSMIEAQEKWKENGCPKIQLGNLKIELPLHFLSPVAIAGAAAGIAGTLACHPLEVIKDRLTINREVYPSISLAFSKIYRTDGIGGLYAGLCPTLIGMLPYSTCYFFMYDTIKTSYCRLHKKSSLSRPELLVIGALSGLTASTISFPLEVARKRLMVGALQGKCPPNMIAALSEVIREEGFLGLYRGWGASCLKVMPNSGITWVFYETWKDILLADRDKPRA >Sspon.07G0031280-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7C:21465966:21466178:1 gene:Sspon.07G0031280-1C transcript:Sspon.07G0031280-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRASARWQWAWLWWSSLRRRRGAGCRSEAVTPRAQQSREHAVNDYGARAGLVWRLREEAAGWRDQRMLTS >Sspon.04G0022370-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:9069052:9071009:-1 gene:Sspon.04G0022370-2C transcript:Sspon.04G0022370-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSSSTERYNINWNYGLLPQTWEDPTSANSDVEGAFGDNDPVDVVEIGERRASIGDVLKVKPLAALAMIDEGELDWKIVAISLDDPKASLVNDVDDVEKHFPGTLTAIRDWFRDYKIPDGKPANRFGLGNKPASKEYALKVIEETNESWEKLVKRNIPAGELSLA >Sspon.02G0046510-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2D:2803797:2804332:-1 gene:Sspon.02G0046510-2D transcript:Sspon.02G0046510-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AKQGKENSNVLIIQGTAQCKSNSSRIISNTPVRLVINNATVPGGTCRTTSDGHILITVNLNSTEQLAALMSGSSKAYVTAPPHACGAPSLPAGKVVAAEVQAMAMVTISDHDDAGSVQRPTIAAAADT >Sspon.01G0003630-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:8029396:8034597:1 gene:Sspon.01G0003630-2B transcript:Sspon.01G0003630-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MANQQYRSSIAMDRELFRTVRQAALNHARLLYHRLVSRLPHLLAVTFLVAAAQLVPLPPSPSAPLPPVGALLREAAARARPDAGLVAAALAGVAACACAYAALRPRPVYLVDLAAYRPGPAHQATRAESVRHFALAGRFTDESVAFQTRMLERAGVGDATHFPASILAVPVDMSLRAAREESEAVVFGVVDDLLRTTAVRAADVGVVIVNSSLFSPTPSFTSLLVNRYGLRHDVVTHNLSGMGCSAGIIAIDLARHLLQVHPDTYALVVSTENITLNAYLGNYRPMLVTNTLFRMGGAAVLLSNRAADRSRAKYRLVHTVRTHRGGASDDSYACVTQEEDGEGNVGVSLSKNLMSVAGDALRSNITTLGPLVLPLSEQLRFLAAALLRRVAGVKPYVPDFKLALEHFCIHAGGRGVLDELERSLGLSAWHMEPSRMTLYRFGNTSSSSLWYELAYCEAKGRINKGDRVWQIAFGSGFKCNSAVWKALRTVDDAGRETNNPWADDIHDLPVHVPKVSPFASSDQQAASTNGQHA >Sspon.04G0028430-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4D:70876968:70879565:1 gene:Sspon.04G0028430-2D transcript:Sspon.04G0028430-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAQASLGLAAQHASRLGLTTRRTIISPLDSLVPAHPKPHPRRPALSLARAGMLHSPAAGCLRATSPAPRPGPPPSQAPPLSSHLAARGKCAHGNSLYIKTPPRRPNWAARAAPPAPLPRPPRTSATSAVAGGAAWGSARSEDGDGGASSLPSPTSSPHPTIHSPPLLFLLQFFLGDFNLGLLGRRPDPREVGRIRAGDGRISIFLAGSVRFRWWGGVVRRLLFVAVLFSGKTATERQASLILAGAGGSGDPTPGSPHGDGDEARGRPWSALRRSVWGGYGSRRLWGRRRLLEAVRGVVDAGLARQRLGRWWAGRLGLLLIPALFSPPSACPLSRVGFCGGAGRICVLLDGFAVSGGGWTLRQASPCASPSRRL >Sspon.02G0014700-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:42190256:42191492:-1 gene:Sspon.02G0014700-3C transcript:Sspon.02G0014700-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPPCCDKAGVKKGPWTPEEDLMLVSYVQEHGPGNWRAVPTNTGLMRCSKSCRLRWTNYLRPGIKRGNFTEQEEKLIIHLQALLGNRWAAIASYLPERTDNDIKNYWNTHLKKKLKKMQAGEGEDGGEDGAAGATGGGGAGGTAGGGGKRPAVPKGQWERRLQTDIHTARQALRDALSLEPSAPLAPAKVEPLPTTPPGPGCTTYASSAENIARLLEGWLRPCGGGGGKGPEASGSTSTTATTQQRPQCSGEGAASASASHSGGAAANTAAQTPECSTETSKMVGSSAGAAGSAPPAFSMLESWLLDDGMGHGEVGLMADVVPLGDPSEFF >Sspon.07G0023110-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:13112751:13115151:1 gene:Sspon.07G0023110-1B transcript:Sspon.07G0023110-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MISYEDCGNDFPALYSAANSLGSRPYRQDMAAHSACDFGGGRTVQLALAKYRQVVWPGILLNPQLTDEELWNTGRCMLT >Sspon.04G0011110-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:33179190:33180408:-1 gene:Sspon.04G0011110-2C transcript:Sspon.04G0011110-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRGGKPAASSKPNPFDSDSDSESNNKPAAKKSGAYQAPADAKKRYKDGFRDSGGLENQSVQELEHYAAYKAEETTDALAGCLRIAEDIKQDASDTLITLHKQGEQISRTHEKAVEIDQDLTKSESLLGSLGGFFSKPWKPKKTKQIKGPAQIEKKKQDDALDDLSGVLGQLKGMAVDMGSELDRQNEALDNLQGDVDELNSRVKGANQRARKLVAK >Sspon.02G0018120-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2A:57683329:57683631:-1 gene:Sspon.02G0018120-1A transcript:Sspon.02G0018120-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLSSCILFCVNYQVVVDNAEAYTYDDEVIKHAEAMGKPGLIEINAKEDSFIFTVETTGAITAYELIMNAITVLRQKLDAVRLQDDDGDLGELGAHLGGP >Sspon.05G0032940-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:24558819:24559590:1 gene:Sspon.05G0032940-1C transcript:Sspon.05G0032940-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEKHHHHLFHHRKEEESSGEVDYEKKEKHHKHMEQLGEFGAIAAGAYALHEKHKAKKDPENEHGHRIKEEVAAVAAVGSAGFAFHEHHEKKDAKKHGHN >Sspon.05G0003680-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:10053974:10058153:-1 gene:Sspon.05G0003680-2B transcript:Sspon.05G0003680-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVARRPFQFPGKAVAALPSSVLTRPLLAFQLRQICRSAQRSPPACARGSNGSGSSRTHSTPSCSYGHDQTSNEQKSSGDVKYELQLAVDEALARELQEMEGKLANTSLNDNNGRKPTSSSAFDRGNNSASRPPQVVEEDGIDPDNMTYEELQQLGEAIGTESKGLPKSVIALLPTSTYKIGIFSRKEKHDEYYLAQVHSIILSGMLQLVLKQ >Sspon.04G0008860-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:26224712:26228141:-1 gene:Sspon.04G0008860-3C transcript:Sspon.04G0008860-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKIKIGINGFGRIGRLVARVALQSDDVELVAVNDPFISTDYMTYMFKYDTVHGQWKHNELKVKDSKTLLFGEKEVAVFGSRNPEEIPWGAVGAEYVVESTGVFTDKEKAAAHLKVINDKFGIVEGLMTTVHAITATQKTVDGPSSKDWRGGRAASFNIIPSSTGAAKAVGKVLPVLNGKLTGMSFRVPTVDVSVVDLTVRLEKSATYDEIKAAVKAEAEGSLKGILGYVEEDLVSTDFQGDSRSSIFDAKAGIALNGNFVKLVSWYDNEWGYSTRVVDLIRHMNSTN >Sspon.03G0008350-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:22621009:22625368:-1 gene:Sspon.03G0008350-1P transcript:Sspon.03G0008350-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVKRSASVAALPPACRRARARFCVRLAAPLSFLLLFVALFRAQPLLGVPPAAPLPSAGPAKVAFLFLVRAGVPLDFLWDAFFRNGEEGRFSVYVHSAPGFQLDRTTTGSPYFYGRQLARSVKVVWGEATMVEAERMLFAAALQDPANQRFVLLSDSCVPLYNFSSIYTYLMASPKSFVDSFVDKTEKRYNQKMSPAIPKDKWRKGSQWVVLIRKHAEVVVGDKNVLKVFRRHCQMVVTKSLLGRRPNARRLGFTFRRKQKGVAQQEHDCIPDEHYVQTLFSIKGLEDELERRTLTYTSWNQSSNPKDKMTWHPMKFEYDTSSPEHINAIKRIDHVNYQMEQRTEWCQCNGTSVPCFLFARKFSYSAAMHLLEQGAIGTPKSAQLMINF >Sspon.08G0004650-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3A:10244150:10245133:1 gene:Sspon.08G0004650-1A transcript:Sspon.08G0004650-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHHSVATVPMAATAAMLYARFAASSTRPGLRRLFALTPVLALLLVLPFLIQLYSVRGLTAFFLVWLGEFKLLLLAFGHGPLDPRIRPLPFVFTAALPVKLRQVSEDAAITKTKTILLSSGIKFAITVALFHHLHYSKERTHPYVAFVLYGAITYCILDSVLPCLAAMGMALGMELEPQFNKPYLSASLQDFWGRRWNLMASAVLRPSVYIPVRARLGAPAGVLATFLVSGLMHEVVVYYFTFRAPTGQLTAFFLLHGVCVCAERWCARRCSTRPPRVVATPLVVAFLAGTACWLFLPAIFGDGMDDLCLAETAALASSFRDVSARFF >Sspon.07G0009910-3C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7C:25328589:25329480:-1 gene:Sspon.07G0009910-3C transcript:Sspon.07G0009910-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AANQVHPKNWYPIPLIFCRPWGKLPENVPCHPKLADFANCMKKKGRGMSIFVSIIDGDYHELAEDAKTACRQLDAYIDYKRCEGVAEIIVAPTMSDGFRSIVQTMGLGNLKPNIVVMRYPEIWRRENLTQIPSTFVSIINDCIIANKAVVIVKGLDEWPNEYQRQYGTIDLYWIVRDGGLMLLLSQLLLTKESFESCKIQVFCISEEDTDAEELKADVKKFLYDLRMQAEVIVVTMKSWESHMESSSSGAQQDNSHEAYTSAQQRIRTYLDEMKETAQRERQPLMENRRQVVVNEQK >Sspon.03G0026770-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:4268134:4277490:-1 gene:Sspon.03G0026770-3D transcript:Sspon.03G0026770-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPAPMQLKVRVPENEEVARCLHEKRLSMREQPAGFKEHLDRTFAKAYRNVCAANEPIRTLKEFSKINGVGPWLIRCMKGFFPESNQDSPTKGKKTRIPRCPKPKKNTAAAAASSSLGSIMLAILIGSSDFNMLDKDAVSMDNCILAMPPCQSSEEFLEAYEVVLILDDRENFGYSSRKVASKKVADNIGSQFNVPVEVKRLPVGDGIWIARHKKFLTEYVLDFIVERKNVADLGSSIRDNRYKDQKTRLQKCGLKKLIYLVEGDPNTSKGSAASIKTACFTTEIFEGFDVIRTSGYTDTIRTYGYITLSIIDYYSTNFQSLAKSACICPTYDEFERQCRCLQKRTVSQIFALQLMQVPQVTEKVALTVIEFYPTLFSLARAYSMLEGDICAQEEMLKNKSKMINAGASRNIFKLVWGDGCRVSNISPLHTLLTISNPTKASPRSHGVAT >Sspon.04G0029400-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4B:72061188:72062849:-1 gene:Sspon.04G0029400-1B transcript:Sspon.04G0029400-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSCIGWTPLLLCLVQLLHFHTHTASTFSVHAHGHSNLTHHSAPSLCRPDQANILLKLKQSFRFHPNEWFRHDLESITTLPSWQAGTDCCLWEGVGCSKSSSGHAVVTALDLSGFRLNSNGIHPVLFNLTSLRMLDLSMNNFRSYDDVSSLGFEGLSSLTYLNLSSSGISSEVPIGISNLTNLVYLDLSSNRYICLDDDDELDYYTTSGAPNFFSVPYFDTQGTTSLSNLRELYLDHAVTTSLSAEDFFKALAKYVPHLRVLSLEECSLQGQIDSSLSRLKSLAVINLSDNGGITPGPFPEFLMNFPNLRVLELSGINLQGWFPQGMFLSENLRVLDLSGNSNLSGHLPSFSNAASLETLRIDWTNFSYVTKSSYFSDFKALTELGIGGKIISMEFHPLFGMLASLHELFVTQLDSPRQLETLFSWLEGIKNLRSLSFIDCDLSMTIPSSIGNLRNLTSLHIWGSNFTTQTLSSVTNIRNLKNFEINCDHGDYIFLAVKLPSAIGNMSNLENWTSQLSTFWANSTRSWRTEEAEITGTIFYRSFRQNTKYNC >Sspon.02G0048260-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:15847246:15850118:-1 gene:Sspon.02G0048260-2D transcript:Sspon.02G0048260-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ALIVSSLKRIKTYIKLRKALSSLDGALPDATLDQGLMEGYSCPTCWRPLLLSSEGQTRSTTAEVANVQRIAEQLTMGMNQQRVPGNEHPVEQQNPSDAVWRGAGLDASWIPPWSSPVVDNPSSSSAVRSVGLTGVQMMMRQLASVTDNYGHADGTWNLWPEPMAGSSLVPSTSSMPGSASASGLRLRGSAGTGNGSLSEVLTMVDRVREVLPHIPDELIIEDLMRTNNINATVNNLLLMQ >Sspon.03G0022100-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:67349073:67351269:1 gene:Sspon.03G0022100-1A transcript:Sspon.03G0022100-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aromatic aminotransferase ISS1 [Source:Projected from Arabidopsis thaliana (AT1G80360) UniProtKB/Swiss-Prot;Acc:Q9C969] AFVNLVLTLCDAGDSVVMFAPYYFNAYMSFQMTGVTDILVGDCNPKTLHPDVDWLEKVLKENNPIPKLVTVVNPGNPSGAFIPRPMLERISDLCKNAGAWLVVDNTYEYFMYDGMEHYCLEDTHIVNLFSFSKAYGMMGWRVGYIAFPNEADGFHDQLLKVQDNIPICASIIGQRLALYSLEAGPEWIKERVKDLVKNRALLVEALSPLGEDNVKGGEGAIYLWAKLPDNCSDDFEVVRWLANKHGVAVIPGSASGGPGYIRVSFGGLKEEDTRLAAERLRHGLQELVTDGMVQ >Sspon.08G0010680-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:41777311:41779620:1 gene:Sspon.08G0010680-2P transcript:Sspon.08G0010680-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRGVWQLQKLVVNYCDWGGSSRGIRAFMEAHLPAFKEKNPHLEVVTELVRGQHPNLKGIYTSGLCEKFTARGNTPASHQAKELPGQESGEAANQTRNETTQCSGDMDDGTEDVSQATSQ >Sspon.03G0010620-3D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3D:33757775:33765937:-1 gene:Sspon.03G0010620-3D transcript:Sspon.03G0010620-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ADP-glucose pyrophosphorylase large subunit, Controll of starch biosynthesis in endosperm developmen [Source: Projected from Oryza sativa (Os01g0633100)] MQFALALDANSGPLPIRSCEGGGIDRSERLSIGGSKQEKALRNRCFGGRVAATTQCILTSDACPETLHFQTQSSRKSYADANRVSAIILGGGTGSQLFPLTSTRATPAVPVGGCYRLIDIPMSNCFNSGINKIFVMSQFNSTSLNHHIHRTYLGGGINFADGSVQVLAATQMPEEPAGWFQGTADSIRKFIWILEDYYNHKSIEHIVILSGDHLHRMNYMELVQKHVEDNADITISCAPVEESRASNNGLVKFDHTGHVLQFFEKPKGADLNSMRVDTNFLSYAIADAQKYPYIASMGIYVFKKDALLDLLKSKYAQLHDFESEILPRAVVDHSVQACIFMGYWEDVGTIKSFFDANLALTEQLSKFDFYDPKTPFFTAPRYLPPTQLDKCKIKDTSISDGCLLRECSIEHSVIGVCSRVSYGCELKDCVMMGADIYETEEETSKLLLAGKVPVGIGGNTKIRNCIIDMNARIGENVVITNSKGIQEADHPEEGYYIRSGIVVILKNATIKDGRQLRGECKRSTGEVLLGEDVRTSPDGRGRGSRSFTPSEPRATCSPPYPLVPHTLTLCFSTPPHSLPRTPRRLRHRRLRLSPLLPTLHCGLLLPLSSMPWLPSPPNRALLQLRWPSWMAFPGADPWSSALPRGESMGRGIDLAARVVYFTAERPPPPRRRHAPPWWILGGPRRSGCSVIVVHPSAPPPPQRRHEGAPPVVDALQMVPGISGSSLTEGTSK >Sspon.07G0012630-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:45699482:45702501:-1 gene:Sspon.07G0012630-1P transcript:Sspon.07G0012630-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDPEPSSSKVMRLLYTDIGMALLALSSNAVHKLWKWEHSGKNPCGKSSKSVPPVLWQPENGIQMTNDTTDGNNPEEATACTALSKNDNYLISASGGKVSVFNIMTFKVMTTFMAPPPAATFLAFYPIDNNIIAIGREDSSIQIYNVRTDEVKIVLTGHQKKITGLAFSQSMEVLVSSGADAQLCVWSIDDWEQKKSRYIKHPSNGCGALVGDTMVQFHYDQMHLLVVHESQLAIYDWQLECLCSCRIAPSAYIPSLITSGGETVYPTVVVAHPWKPNQIAVGMSDGAVHVLEPLDTDDVQVGSDASSEQCQPSNVSRSGGDSQPSV >Sspon.06G0013360-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:69307529:69313766:-1 gene:Sspon.06G0013360-1A transcript:Sspon.06G0013360-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding PMAARNRKLVCACFLLLAGAAAAPAGAGAATVNISVYWGQNGKEGSLAKTCSTGRYALVVMAFLSTFGSGQTPVLILAGHCHPGSGGCTGLAVDIASCQAGGVKVLLSIGGAAGNYGLSSASDAHQNGDVTDLGFSWKTWTQFLPNASVFLGLPASPDAAASGYIDPQALVSRVLPEVDGSANYGGITLWSRYYDMNTGYSAKLVTDIIAGTSGLSGVCVIVLTVFMWYKRCYGMVPWHRGPRNSPRLESFLQKQGTLHPKGYTYLEVKRMTKSFSHKLGQGGYGAVYRGNMPDGREIAVKMLLKGIEGDGEEFMNEVASISRTSHVNIVTLIGYCLQGSKRALLYEYMPNGSLERYTFGNNSTEGEDTLSWDKLFDIVIGIARGLEYLHTGCNTRIVHFDIKPHNILLDQDLCPKISDFGLAKLCRQKESKISIAGARGTIGYIAPEVFSRNYGPVGSKADVYSYGMVILEMVGARKKINVTTDNSSSKYFPQWLYENLDQFCGLTTSEISSSDTTEVLVRKMIIVGLWCIQPRPADRPSMGQVLEMLESNAADLQLPPKAFWTGY >Sspon.01G0053250-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:34091486:34102700:1 gene:Sspon.01G0053250-1C transcript:Sspon.01G0053250-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFRPGGHTTNAAINAPKKASERQYRGAVPDHRRDGLRLPPPATPAGQLPRSSLWQGIWTAQAPDSKFYGSVTNNIKSSWGYDSGGGFDLLSPTLLLFFLNFSGRRSQVVGMGETAVVMPPERTALEAAWPGQGRGRRMSQELRWASKPVRGRSGQQRRSPLAGGENEVGGAHPEAAPVRYPAPHPTTQQPIPHPAPILKPHVGPSHLPCLRMCDAEASASLKCLHGDPRRRPSSSSSSRAIVVDVKSSDAFNEVKSYLTTASTRDVRHLRAESGGGQSDANGTDKDRHVLSMAKGEEVADVFRGATVWWSADSVPPPRDAMPWTRSARAERRYFRLDFHETHRDLVINDYIPHVRSQGRAIMVQNRQRRLYTNIHYEGYDDGWYEDVWTHVPFHHPKTFDKLAMDPAKKKEVMDDLDMFRNGREYYDRSTMVAAMANYLDYDVYDFELTSVKTNTELRKLLIETKSKSIMVFEDIDCSLDVTGKRKSKKEEEEEADKDEAAEEKDGDPRRQSKKDAKSKVTLSGLLNFIDGLWSACGEERLIVFTTNHVEKLDPALIRTGRMDKKIEMSYCDFESFRFLARMHLDEDVEGHELFGVVRALLQEVNMVPVDVGELLTPKTLHDDAGSCLARLVTALEKVKAEDAAKAGAQQAQEDGKAIAVEPQREEEEIGSGWICGRLLLRLTATARRRSDHLVARERGGGLPVGSSSLAMGGKWPTAEWGLGWMSTGLRAEGRIKALFTWLGFKKQVTEFAKPERVRYPSLLQPLATSSSAWHTVSLDFVEGLSISHGYNCILVVGTEVRLQALVKWSGMSTTLATWEDMEGQRFPRAPAWGQAGAYQGGMSTTLKHRYKTQATMRSWYMGLGVAKGSDGPVPEFAGPNGSEPDSRRRRLDLNRWVSTFQPGFGSCYKNFILRLPGSTSKPGAEPVQGLPPRCITPESSDEVQPVAVRYSVATTPPQPRSKPQFRAINRTHHDRNYTGHTPLALATTRVHSHPQPMDDAWPWLASLPPPLRDEGRPDTPSPPPWSLPLAASTDGASIVLQADTTAAAASASPAGNADDDDGEPALHVAFSVAINGAGGEARALWASERFAASSPVALRVQLLVQLLNEAKVDSETVSAVVGAGGADPSAPAAAFFSLALLLRLFWLCALDAPADAGYLFFRDLGAEIECGLGECQPVLGVFLRSVGPDVEDRFMRSLGYMLAKWCLLREIQAAAAAGPAAAPRRRCALPAAACLSYATEVHGLWVLKGYAPVLAMPCVTATGPASASIAASPHEVPEEPALRYGLAHQQLEAVAQLEYAVRVRDRRFVTVSVRVDNVRVRVALLAFRKDDGDGGGNDGEEEEDAGVDNDVMDGESHFPSRIRVWVGPRFGASYATGPSLGRSTGNPERDVEMTRTVKGAFAGATKPANGGGVAPRIKAKMRSSTRMLNRSWRWEQEAEGSAGVFEGVLYDPVTGTEVSAWRPGIGGGGSGAADPRNGMRRRKMRGLVVAGDELPEEMTWRVGREAEGRTLRWRLGLKAWVSYLPNEVRSRHFETRCVEWAHEVDLPLVAVDADES >Sspon.01G0017030-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1A:61499133:61500731:1 gene:Sspon.01G0017030-1A transcript:Sspon.01G0017030-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLSLRRKQLVLALIALSTSDPDVIVRMLHLNQQQLPNGGEGQGEDDAYKILVMDQPCISVLSPVLKLGDLRHHGVTLTLNIDRPRQAVPDAPAVYFVRPTPGNVDRIAADAAAGLYGSFHVNFSTSVPRPLLERLATACAAAPPACAGRVARVADQYIDFVCLEDGLFSLAQPRAYVALNDPAAADADITSLVDAVALGLFCVVATLGVVPVIRCARGGPAEMVAGALDARLRDHLLAKPNLFTEAASAAVTSFQRPVLCLFDRNFELSVGVQHDWSYRPLVHDVLGLKQNILKLPAEKYELDDSDKFWVANSWSPFPKVAEEIEAQLAKYKQDVDEVNQRTGGGKVGVEFDGTDLIGNTKHLMNAVNSLPELTERKKMIDKHTNIATSLLGHIKERSLDGYCDCENDMLVNGTVDRNTLLSLLRGKGTKEDKLRLAVTYLLSFETPPSSELEQVEAALRESEVDMFAFQYVKRIKALNTQFASASGTATKSNIVDWAEKLYGQSISAVTA >Sspon.03G0026250-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:2247999:2251604:-1 gene:Sspon.03G0026250-1B transcript:Sspon.03G0026250-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGGILTRDSRESEDSEELMLSTCKICELEHFRIFLRRSEFLERLQNLKACSDDPFEDLDFSGYPKPPIDMSDGMILVNTFEDTFGDKYGGGVWSELSETVSCNISQNIVALASCYGKKRIFACTSFFIEWNGCTSILTSASLLSDFVDDKKILEDLRIKVLLPTKHIREGTLQHYNLHYNVALVTRPPYLSWDVILDVLAYFKTK >Sspon.03G0002220-2P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7B:15972555:15974762:1 gene:Sspon.03G0002220-2P transcript:Sspon.03G0002220-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRAELRTVTMRSSPLPRCVLLLLLLPLRLLSLLSAIDVVSAATAAAPLKFGINYGQIANNLPHPAQVSGLLQSLNVNRVKLYDADPAVLTAFAGTGVEFIVGNEDLFNLTDARKARAWVAQHVQPFLPSTRITCITVGNEVLSGKDTAAMQSLLPAMQAVYQAVEALGLSGQVNVSTAHSVNILASSYPPSSGAFREELAQYVQPILNFHAEVGSPFLINAYPFFAYMASPGTVSLPYVLFEPNPGVVDPNTNLTYDNMLYAQIDAVYAAMKAMGHTDLTVRISETGWPSKGDDDEVGATVANAAAYNGNLMKRIAMGQGTPLKPHVPVDVFVFALFNEDMKPGPTSERNYGLFYPNGTPVYNLGFDGASFNPSPTYSSSSKLAAITFQMAVVVLLAGFFLL >Sspon.02G0004420-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:7712129:7713148:1 gene:Sspon.02G0004420-3D transcript:Sspon.02G0004420-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLLHAWQLMNSVRCGVQARRPGERPRARRRRRRAARCGGGELDGGGDPKKRRLSDEQVEMLELSFREERKLETGRKVHLAAELGLDPKQVAVWFQNRRARHKSKLLEEEFAKLKQAHDAAILHKCHLENEVPARTSHDSMAWVYAWQVMRLEQRLVLAEEELTRFRSAGSHAVSGDGGDVMGRAVCSGSPSSSFSTGTCQQPGVDVGGGDHLGDDDQLFYVPDYAYADNSVAEWFS >Sspon.01G0043620-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1B:76171835:76172413:1 gene:Sspon.01G0043620-1B transcript:Sspon.01G0043620-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SSEDEDEDEDEDEDDGAETVEILPLSARSQDLDQGGDFHVDAAPGMESTCAKDTCTKEQAAHAFQEIECPGIDKIVNDQTGSKNDANVYDVHVVDDIRFSTEVKGLIGRSFSEATMQAERLQTRAAADDLLGKSLNAIKGAQDKIRHAANERRQSLQLQLLEDIANQLQGIKDAAEAGRQVYCAAPKISKRS >Sspon.05G0019390-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:84577077:84577898:1 gene:Sspon.05G0019390-2B transcript:Sspon.05G0019390-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPLAAADGGLRRLFEKPLPENPTLLEALSAWNRNVHHHHPRSKPIDTASITEIFGELHFQEKPQQPDHRGDVLLLPPTSPPPPPRSPPSRMPSWLDIAAEAENKSKDDSSLDALLRPKPAATVATVKRSASFCAKKGSSSASLLLCTEGLGSESTVDADDMFKDGDAEAEAEAAALKGTDETADGANAGAAEAVKEEKERQPKTFPPPIRSIGRGGKPYVCFMSFREDGRFVLLERVIPGKELLQATREGGRLRLQFAAAAAAAAAAGVSV >Sspon.03G0016910-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:53304284:53304929:1 gene:Sspon.03G0016910-1A transcript:Sspon.03G0016910-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SNYGSCRRRGRSCIGRGSQPRPHECAPHSRPPPLRAALVHSRRLFFSPPFRPRRCRCRREPAPAAAARTRGPARVPRPGRSSWRRLSPGTPGSPPSRCSRPTTSPVLTPPPTGGRLGWIPTREAPSPSSRLTAPCRCSTTRSCTLWCQRSSVSAWTPSPLKLLRGLDAPPGNCPW >Sspon.03G0034400-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:72989377:72991004:1 gene:Sspon.03G0034400-1B transcript:Sspon.03G0034400-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTELETPRITELHVRMDCNGCGNKLRKTLSAIDGVSEVYIDQATHKITVVGMADPERLVKAIRKTKRVPTIFSHTDPAAAAAAAAEAQPPPPPPAEAEGEAQAAPPPPAAPPAAAAAAEEAAPAEAPAPENKEAKPAETPAAMDATVVRTVHDYPYGYGHGGHHMHSEHWVRAKHPMDMHGVRYEASPYVAEYGGYGGFPVQEGRYYIPAEDYPARGKGDDRQITSIFSDENPNACNIV >Sspon.08G0004460-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:10858056:10876982:-1 gene:Sspon.08G0004460-2B transcript:Sspon.08G0004460-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSMREVDPVFQGAGQKDGLEIWRIEKLQAVPVPKESYGKFFTGDSYIVLKTTALKNGSFRHDIHYWLGKDTSQDEAGTAAIKTVELDAALGGRAVQYREIQGNETEKFLSYFKPCIIPEEGGVASGFRHAEVNEREHKTRLFVCRGKHTVHVKEVPFARTSLNHDDIFILDTKSKIFQFNGSNSSIQERAKALEVVQHLKDTNHDGKCEVAAVEDGKLMADADAGEFWGLFGGFAPLPRKTFSELNGKDSTSTSKLICVNKGQSASIDCEILKRELLDSSKCYLLDCGSEIYVWMGRETTLEERKQGGSAAEELLREGNRPKSHIIRLMEGFETVTFKSKFDKWPKKADAVVSDESRGKVAALLKRQGFNFKGPAKAAPVKQEPQPQIDCTGNLQTFLSFSEQCKFYSGDCYIFQYTYPGDNGDECLIGTWFGKKSVQEERSAAISLADKMIESLKFQAVLVRVYEGKEPIEFFPIFQNLVIYKGGTSTGYKKFVSDNGIEDDTYSENGVALFRVQGSGPENMQAIQVDTAASSLNSSYCYILHDGDTVFTWIGNLSSSMDQELAERQLDVIKPNLQSRMLKEGSEYDQFWKLLGVKSEYGSQKIVRDQESDPHLFVREIFNFTQDDLMTEDIFILDCHSCVFVWVGQRVDTKIRAQALNIGEKFLELDILMENVSRETPLYVITEGSEPQYFTRFFSWDSAKSAMHGNSFERRLSIVKDGVKPRADKPKRRPTTSTHTGRSSVPDKSQRSRSMSFSPDRVRVRGRSPAFNALAANFENPNARNLSTPPPAVRKQLPKPVSPDSSKLPPRTASIAAISSTFERPKATLIPKSIKASPDASKPKIEASKPKLETNVKEINPTKDSQIATPTVEEDVKESQADGQAGHPIYPYDRLRTSSTNPPTDIDVTKRETYLSSSEFREKFGMTKEAFGKLPKWKQNRLKIALQLHRHSQSLVVNSEV >Sspon.01G0033510-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:111200463:111207212:-1 gene:Sspon.01G0033510-1P transcript:Sspon.01G0033510-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSHGADSAHDAGAVGVSSGGATVPTRFVWPYGGKRVFVSGSFTRWSEHLPMSPVEGCPTVFQAICSLSPGIHEYKFFVDGEWRHDERQPTISGEFGIVNTLYLTREFNQINALLSPSTFGSRMNMDVDNENFQRTVTLSDGTVSEGTSRVSETAIQISRCRVSEYLNLHTCYDLLPDSGKVIALDINLPVKQSFHILHEQGIPVAPLWDSFRGQFVGLLSPLDFILILRELETHGSNLTEEQLETHTISAWKEAKRQTYGRNDGQWRPHQHLVHATPYESLRDIAVKLLQNGISTVPVIYSSSSDGSFPQLLHLASLSGILKCICRYFKNSTGNLPILNQPVCSIPLGSWVPKIGDPNSRPLAMLRPNASLSSALNMLVQAGVSSIPIVDENDALLDTYSRSDITALAKDKVYTHVRLDEMTIHQALQLGQDANTPFGFFNGQRCQMCLRSDPLLKVMERLANPGVRRVFIVEAGSKRVEGIISLSDIFKFLLSL >Sspon.01G0031710-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:105837629:105840035:-1 gene:Sspon.01G0031710-2B transcript:Sspon.01G0031710-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPACLLLSHSSSAVSSSFKQSAVQLAYILDELFFTFLKQSMSCFSLISGVFVELSYDSTQVKTLSSSVVTEYRVMVTDKQRAYLFLKPFVCREKPCRSEPLAAAGSFVNEVLGPNRRLNVSSSIVTATDTQLGALRRTLQSFQASLGDAGLAESVKVSPELSLSSLRIIVKDRARAGKKRWGKVVEFVRRTGSFVLVRVETEAEADSDLAVDAGIEEAVAEVAALLGAGAGAGVVIHIKSRAAPSAVAMAKLRGDTGREKRLLGVLVDVSSPRRQLGEARATAHDEFSPVSNPATTPVNNPVTVPATNPVANPMAPGFVTVPSTNPGNGFATNPNLPPLYPEPTTPVTMPMPDPTTPTMPPVTVPPPFTNPVAAPTTMPGTVTNPAAPAVTNPATTPSQFPGTSPVTNPVTTYPYPQQGGVGVGGGMPATQPVYQPPATTMPGTGTVQPGAPTVAGQAWCVAKSGIMDTTLQDAIDYACGIGGADCSPIQPMGTCYNPNTLQAHASYAFNSYFQRNPSATSCDFGGAGMLVNVNPSSGTCMYQTSSGFGAGYSPGTTGSGGMSGTGYNPAGGMSGTMGGGSGSSVLNANNPGGNSMYGGYDNPTGLTAGSAPLSCGGWVVLCLVWMVTFAFVKEK >Sspon.04G0006340-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:17399212:17402765:-1 gene:Sspon.04G0006340-3C transcript:Sspon.04G0006340-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNFGKKLMADQLEEWREYYINYKMMKKKVKQYVQQTQTGGKNRDQVLKEFSRVLDDQIEKIVLFLLQQQGHLARRIENLGAQRAMLMERSDVSQICQLRQAYREVGYDLVKLLRFLDMNATGIRKILKKFDKRFGYKFTDYYVSTRANHPYSQLQQIFKQVGVVAVVGALSRNLAYLQDHQGSLANIYDYPSLILKDPVIEQINHSVQRLTHSTSFLQFLGQHALIVAEDMQSGSDLGDDKDYHFLSLLLNLVNTFLYMVNTYIIVPTADDYSVSLGAAATVCGVIIGSMAVAQIFSSVYFSAWSNKSYFRPLVFSSIMLFLGNLLYALAYDLNSLTVLIVGRLLCGLGSARAVNRRYISDCVPLKTRMQASAGFVSASALGMACGPALAGVLQTKFKIYGLTFNQNTLPGWVMCLAWLAYLFWLWISFKEPGHIATENSVSTQSSDSGRRVSGHLEDGLGEPLLIDAKPGQDDDGEDNDDNDDEESHRPATSLAAAYRLLTPSVKVQLLIYFMLKFAMEILLSESSVVTTFYFKWTTSNVAIFLAVLGLTVLPVNVIVGSYVTNLFQDRQILVASEIMVLIGIVMSFCFTPHYSVPQYVTSALITFVFAEVLEGVNLSLLSRVMSSRLSRGTYNGGLLSTEAGTLARVAADMTITAAGYLGQSRLLNATLLPSLVICLASIVATFCTYNSLY >Sspon.02G0037710-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:35211492:35222462:-1 gene:Sspon.02G0037710-1B transcript:Sspon.02G0037710-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAHLFCSAPAASPAANPRPFPTRGRHAHRAIPSRGFFPCRASLSPDGSLAVLGPPSPRTAPPMRKPYLREHSCLIFPPPRGRRPLAVIKFLGGAFIGAVPEVTYGYLLELLAREGFLVVCVPYNVTFNHEAAAREVFQRFHACYDALLASGLPEASLSALDIAELPLYSVGHSNGALLQLLVGSYFSEKIPKANAIVSFNNRPASEAVPYFEQVTEGVSEFKPTPPENREFCKNSYSVKFSVDAIDDTDIVEDVLRPRIDSIGGQIKKVILSGTHLTPCIQLDVSSANGTTV >Sspon.08G0021380-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:38351252:38352744:-1 gene:Sspon.08G0021380-1B transcript:Sspon.08G0021380-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AMAVSSPSLPLYLLLLIASSLSADPSGGGNDAVLALQSMRNLLEDPHRVLRDWNPVQVNPCTYAFVTCSNYNLVVSRAPLTKHRKLDQSPVSVSVVFLPTSSHGYGSSVLSDNNITGTIPAEIGKLRGLKKLDLSSNHLHGEIPSAVFHLENLQYRDLSYNNLSGPIPRSFAGTLSVVGNPLICGENTGQDCSSSGTASSQASLPTAKTKSHKFVVAVGSTVACIIFLFLPTA >Sspon.02G0051460-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:78328726:78329576:-1 gene:Sspon.02G0051460-1C transcript:Sspon.02G0051460-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQPATDMWLLNLPPDSKDAQVFEQFAKQAAAQGSAPSGGGSAAPSTAQKNGAAAGPGKRWLGVGSRVGDGGARAVALALFAPLAVPFLILLG >Sspon.01G0035580-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:12200028:12204229:-1 gene:Sspon.01G0035580-2D transcript:Sspon.01G0035580-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGASSLQFLLIPPPIHTEDLDSPLPESILLDPFGYLSDCTNATTADGRRSKNRKNCKRIRVTFWVASPPRVSCFTVHCPDLKPEAFGDMPKVICTEDDLVLIRMAFSSQRPDIYDNIIRYFVYQAGTKNKSPSLNLLRTPQDFSISHKEVVLLRCRDQDMFYPRRRWSTKLMHLDVPQNFRFNSPSKGITIGGEFGSVGWVDLWRGILICDLLLDNQSLRYIPVPSPLVANSIMGYPLCVRNIIVLEGYIKFFELHSIAKKGSDTESSWIAESWVAATKRIKISSIGSSNNWEEDLAIKCSDEIPIDSLLYALMLPDLQKGGDTKPSLKRIHAGYPVMSSHDGDVVYILHTPDGLERKAYVIALDMRNKTVKGVAYFRSGRPLGYGFTYLESKISKHLGILSSTSCIESAPLAQPHKSRLSPPPANPIFYLLRYAVPRLHPGAAKSVSPISGFTAAHRTSPTPRPDPLSSPSRQVVASSRSLDVLRSLLQFSNEELYIPFCKGFDVIHRTQSGTGNNTDTGAVAAAPVALGKGKRKVEGKEHTRRLYNPTTGKATTRIVTLLLDFALGD >Sspon.01G0022610-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:74020082:74023789:1 gene:Sspon.01G0022610-3C transcript:Sspon.01G0022610-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADDDYNEIDMGYEDEPAEAEIEEGAEEEPENNEDAPDDVIGGEGEDKEQEKTKRERKTSKYMTKYERARILGTRALQISMNAPVMVELEGETDPLEIAMKELRARKIPFTIRRYLPDGSYEDWGVDELIVEDSWKRQVGGD >Sspon.03G0010040-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:3945877:3949592:-1 gene:Sspon.03G0010040-1P transcript:Sspon.03G0010040-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFNKIQVANPVVEMDGDEMTRVFWKSIKDKLIFPFVDLDIKYFDLGLPYRDATDDKVTVEAAEATLKYNVAIKCATITPDEARVKEFGLKAMWKSPNGTIRNILNGTVFREPIICKNIPRLVPGWTKPICIGRHAFGDQYRATDAVIKGPGKLKLVFEGKEEQVELEVFNFTGSGGVALSMYNTDESIHAFAEASMATAYEKKWPLYLSTKNTILKKYDGRFKDIFQEVYEAGWKTKFEAAGIWYEHRLIDDMVAYALKSEGGYVWACKNYDGDVQSDFLAQGFGSLGLMTSVLVCPDGKTIEAEAAHGTVTRHYRVHQKGGETSTNSIASIFAWTRGLAHRAKLDDNARLLDFTQKLEAACIGAVESGKMTKDLALLVHGSANVTRSHYLNTEEFIDAVADELRSRLAANSNL >Sspon.02G0017330-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:50346800:50352412:1 gene:Sspon.02G0017330-2B transcript:Sspon.02G0017330-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLRRGAPPCPGCPPRALASVLCGGGGSAGGRCCAPIRRACFLRPTTEKSGGALGYQMKNCRWRPTFALETGGPSNTDGQDFDEDSGFLGRTRLGRLIQAAARELLDKLNSARTNSPTKIFLVLLGFYTANALATILGQTGDWDVLVAGVVVAAIEGIGMLMYRKPITRPPGRFQSLISMVNYWKAGVCLGLFVDAFKLDYSYMVLFIMKLGIIVT >Sspon.07G0004710-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:11317714:11320142:1 gene:Sspon.07G0004710-1P transcript:Sspon.07G0004710-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MECSDSTSSQGHHVNGKQNQVQAIDPPGPSIVEMGSRLRPLTFMRRFRGVLCLVIMILTAFLMMVYLSPVTTFLVRLFSLHYSRKSTCFLFGMWLAMWPFLFEKINKTRFVFSGESVPAKERVLLFANHRTEVDWMYLWDFALRKGRLQCIKYILKKSLMKLPVFNWAFHIIEFIPVERKWEIDEAIIRSRLSELKNPKDPLWLAVFPEGTDYTEKKCIKSQEYAAEHGLPVLKNVLLPKTKGFNCCLQVLRSTIDAVYDITIAYKHRPPTFLDNVYGIGPSEVHIHITSIQVSDIPTSEDEVADWLIERFRQKDELLSSFSTLGHFPNEGTEGDLSTIKCLSNFVAVVTVTGLLMYLTLFSSMWFKIFVAFSCSFLTFATCYSIHLPQMVGSGSPESIHAKKP >Sspon.05G0031710-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:7970260:7972565:1 gene:Sspon.05G0031710-1P transcript:Sspon.05G0031710-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKRAGERETEEQEKAAAGTPDTKKRRSGSPTTDAAAVLCDDVVGNILARVPARTAVASMALSRRHRRLIRSPEFRSLHRCLARTSPTVRARPAGKDEVPASAFQGFHVAGAGGFNGNDPMPMRALAGPRFSDVGYVNTCNGIVLLAGDKYCARSRCILWNPAVADVVAEVSVPGADADASTHVKEYRALGLGYSQRKLPAYFSLVTYALADLGKQPTQIELPAVRGNVAISQHSLYMDGKIYLLLSHSKTNPAAILAFDVDDETVTSIDLPIERDPLGYTSGLMELSGRPCVVTDYGGGCALWLLSQRRCLIGEPRSGHRIYPDSIVGAWDCGGLLVLCYRDDLYNADRLFLHDLTTGKMFMANLESVVTLKWSESSNLAFCWGYRPTLEAPSSVVGKLKQDKDGCLECWTYIMKALKPVAGQDRKKGQEATLNTVCFMDFLVRIMQKLPEDMQQTKE >Sspon.04G0035730-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4D:16194236:16194536:1 gene:Sspon.04G0035730-1D transcript:Sspon.04G0035730-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AGG >Sspon.02G0054720-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2C:118033781:118033994:1 gene:Sspon.02G0054720-1C transcript:Sspon.02G0054720-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GLDYLHKGCSPPIIHRDVKSSNILLDQNLRAKIADFGLSKTFLSDAQSHISATVAGTAGYMDPEYVSKSV >Sspon.02G0030730-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:107723399:107725156:-1 gene:Sspon.02G0030730-3C transcript:Sspon.02G0030730-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VHMDYLEAGANILITASYQATIQGFESKGFSKEQSEKLLTKSVEIALEARDMFLKEHLEKSIPIQHPILVAASIGSYGAYLADGSEYSGDYGEAGTKEFLKDFHRRRLQVLAEAGPDLIAFETIPNKLEAQAYVELLEECNINIPAWLSFNSKDGVHIVSGDSLIECATIADKCAKVGAVGINCTPPRFIHGLILSIRKVTDKPILIYPNSGERYDGEKKEWVESTGVSDGDFVSYVNEWCKDGAALIGGCCRTTPNTIRAIQRTLNQGFNEQHLPVA >Sspon.02G0006000-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:18430012:18432646:1 gene:Sspon.02G0006000-1A transcript:Sspon.02G0006000-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAVVEDAGMDAVQKRLMFEDECILVDEQDNVVGHESKYNCHLMEKIESENLLHRAFSVFLFNSKYELLLQYHILLMQQRSATKVTFPLVWTNTCCSHPLYPQRKLLDELGIPSEDAPVDQFTPLGRMLYKAPSDGKWGSMSVIDYLLFIVRDVKVQPNPDEVADVKYVNREDLKELIRKADAGRREDLPWFRLVVDNFLMGWWDHVEKGTLGEAVDMETIHKLKE >Sspon.02G0009840-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:27454713:27459248:-1 gene:Sspon.02G0009840-1A transcript:Sspon.02G0009840-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Holliday junction resolvase, 5'-flap endonuclease, Homologous recombinational DNA repair, Microspore developmen [Source: Projected from Oryza sativa (Os09g0521900)] MGVGGSFWDLLRPYARHEGAGYLRGRRVAVDLSFWVVSHSTAIRARLPRARSPHLRTTFFRTLSLFAKMGAFPVFVVDGEPSPLKSQARAARFFRGSGMDLAALPSTEAESSAAEAPVKRRNAAFTRCVEECVELLEYLGMPVLRAKGEAEALCAQLNNEGHVDACITADSDAFLFGAKTVVKVLRSNCKEPFECYHIADIESGLGLKRKQMVAMALLIGSDHDLHGVPGFGLETALRFVQLFAEDEILDKLHEIGRGVYPFLEGFDNAHIDDLPSSSTKSSVVKSRHCSHCGHPGSKKNHSKDGCNYCLVDSLENCVEKTAGFKCECPSCDEARDLKEQRRHENWQIKVCKRIAAETNFPNEEIIKLYLSDNNLVEEKGVPLLSWSKPDVEALVDLLNYKQNWEPSYIRQRMLPMLSTIYLREVASSPSTPLLLCDQYEFDSIQRIKIRHGHPYYLVKWKRATRGMNSNMSSKKPVTEGETCSEVMVLDEDDDEDEVVCEAAELLDEPDIPQVLIDDGCSFLLTDEDIQLVGAAFPKETARFQEEQRLKEARSRTRKSKSSLADSACETPEGPKPSGVQLSITEFYRSKKGQNVESGKKPAGEGQAAKEGSRKSSDRDLNKSLPKSVRRRLLFDY >Sspon.03G0045640-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:22251934:22252661:-1 gene:Sspon.03G0045640-1D transcript:Sspon.03G0045640-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MESDTERDVPNLSPAPNPSSHHQQPLRLVKSTAFKREERRKRKDRKRQERLADELAQWQPLGAPPPLPAATGSMSPPERSPIRLGRAVAVRSCRAFFASQIEDDDEEENGGNAARFFSELLGSDAALRGFYEAERDKGQFLCLVCEGSGARLGKRFAGCAALVQHAGSIARTKRRLAHRAFADAVGLLLGWGAGRIAPPP >Sspon.08G0023550-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:56391434:56391841:1 gene:Sspon.08G0023550-1B transcript:Sspon.08G0023550-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDQRHAARTTWLVGAGFLAVTVSSAVAAFRGRATGDTATVAFVVATYVTLLLLLACLRAYERAPPGEADGGGPRARRRIRIRRAVWCLTTLLTVMFASRVAGVMLPCWPAALLVWSLAAATTIGGFVALFQPRQ >Sspon.07G0024110-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7B:22146704:22154375:-1 gene:Sspon.07G0024110-1B transcript:Sspon.07G0024110-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CDKD [Source:Projected from Arabidopsis thaliana (AT1G66750) UniProtKB/TrEMBL;Acc:A0A178WBE6] MAAPDPICIGFNLLDTHLDVLVSRRDLGPAVQFLAAPPVASSPTLAMATVTRPASSSVDPWSRSGICSSRGLSGQPHRRGAAVGAARCNSPFIRGKMTNFIVSNGSQWSGSRLREEPVPTGNGTQPPHTAHTSVERAAAAESPDHSSRRPPHPTRPASPRPGVRSLLPRRRLRTRWAQRAPPHSDAPPPSRCEAEVLGDPPPMASAGNSGSGDDDAGGKLLADRYQKGEVLGEGTYGVVFKAIDTKTGNTVAVKRIRIGKDKKEGVNFTALREIKLLKELKDPNIIELIDCFPYKENLHLVFEFMETDLEALIKDKNIILSPADTKSYVQMMLKGLAFCHKKWVLHRDMKPNNLLIGADGQLKLADFGLARMFGSPGRNFTHQVFARWYRAPELLFGSKQYGSGVDIWAAGCIFAELLTRRAFLQGSSDIDQLGKIFAALGTPKSSQWPDMVYLPDYVEYQYVAAPPLRTLFPMASDDALDLLSKMLTYDPKARISAQQALEHRYFSSLPAPTRPSQLPRPRRKGDQGNNKIPDLNLQDGPVVLSPPRKLRRVTAHEGMEGMHRADKVDEHPSGMRHTDDMPSQSSRIPMSVDVGAVFGTRPAPRPTLNSADKSRLKRKLDMDPEFGYAE >Sspon.01G0004720-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:11829277:11835662:-1 gene:Sspon.01G0004720-3C transcript:Sspon.01G0004720-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPLAIRIVDALITQYNELEISSLRQQQTDPNFQDIPTQSWYPPSVVGSSSRPSTPTSSSASPHQRPSDNPQSSSRGQPSPAEAAGIIARLKDKSIEELQRLLKDKEAYNAFFNSLDQVKTQNNVRDELRKETLQLARENLEKEQRILELRNQCTIIRTSELAAAQDRLTDLERQKDDIMRSYSPAALLDKLQTSMAKLDEESEELHQKFLEKDIDLATFVHKYKKLRTAYHKQALLHLAGQTSLR >Sspon.02G0028820-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:104798874:104799924:-1 gene:Sspon.02G0028820-1A transcript:Sspon.02G0028820-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIGYVVGVLGGAILAHAAYATIQYRSVLKITEEEFSRPPMDVMMELLLGLALCMWAGLAVPAKFVSVLPHSEEN >Sspon.04G0001480-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:52905628:52906338:1 gene:Sspon.04G0001480-1P transcript:Sspon.04G0001480-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSHKTFRIKKKLAKKMRQNRPIPYWIRMRTDNTIRYNAKRRHWRRTKLGF >Sspon.03G0012250-3C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3C:50255549:50257190:1 gene:Sspon.03G0012250-3C transcript:Sspon.03G0012250-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLAVEGGGFFSSSASGYSHGLALLLLGRKAEEKPVKVSPWNQYRLVDRETEQVYHLPSAAKDQAPGKCAPFVCFGCTANGLEVASPPKAASSSAPGGIGTSQEEASCSANKTLTTSGSISGSERRGCLKSNSKRDSLEHRIVVSEGEEPRESVEEVQTLRSSVERRKVQWTDTCGKELFEIREFETSDEGLSDDDAENEGFRKCECVIQ >Sspon.04G0036140-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4D:23686283:23686570:1 gene:Sspon.04G0036140-1D transcript:Sspon.04G0036140-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GSAKGESVDGALTAEQPEATYRAGPVLPPIGFPSTDCDLEQPRRVVEEGAACAGVAVAAWRRWCAHLHRGRCGGCAITCGLGIGQPGAGRRRRQR >Sspon.03G0001880-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:18091130:18094537:-1 gene:Sspon.03G0001880-2B transcript:Sspon.03G0001880-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gibberellin 20 oxidase 5 [Source:Projected from Arabidopsis thaliana (AT1G44090) UniProtKB/Swiss-Prot;Acc:Q4PT02] MPENPHHGSDRLGKEVDRRVLLPVSPADDKDNGAAVINLWGQLKIPDPFVWSHDETLASAERELDAPVVDVGAAMRGDCSGIRRAAEQVSGACSSHGLFQVTGHGLDPTLARAALDGAADFFRLPLATKQRASRAPGNMTGYTTAHADRFTANLPWKETLSFGHRDSRTSGSHVVVDYFTSTLGSDFKPLGVVYQDYCNAMKEVSLAVMEVIGVSLGVGRSYYRDFFADGSSIMRCNYYPPCPEPERTLGTGPHCDPSALTVLLQDGDVDGLQVLVDGEWRTVRPRTGALVVSIGDTFMALSNGRYRSCLHRAVVHRERERRSMVFFLCPREDRVVRPPPRLLAVAAREQEQPRRYPDFTWADLERFTQRHYRADAGTLDAFARWLVGAAPTCVAATSASHSPDTADETV >Sspon.01G0031310-3D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:116325716:116331546:1 gene:Sspon.01G0031310-3D transcript:Sspon.01G0031310-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SSRKLPKSSWGLFVVRLPPVVRVIAQTFLQVLKPSTKQKLRFEGDSYKKTLAEFLQVVPAFLGGKCSCPQCEKPRISSVVQAGEGSKNQPRLISVDDGSPVTDFDFDEEEIPSAYSCENAIRAAIIGLLMAAAGEHSAEIHGKQAKGRAAGAWRASTTARRPQTSSLMARGEAKGRGDDGSMKGVLSGREATAGAEVGATVAAGAGAAVLAEEIGCAVNVHPAAVAVAVAAGAAAHTVAENGVATGILYARTVGDLGTSLRSAHLRLRAITVTFQAECTSQTVCWNCKESGHIASECKNEALCHTCNKTGHLARDCPTSGANVKLCNKCFKPGHIAVDCTNERACNNCRQPGHIARECKNDPVCNLCNVSGHVARVCPKTTLASEIQGGPFRDILCRICGQPGHISRNCIATIICDTCGGRGHMSYECPSARIFNRGLRRF >Sspon.01G0055900-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:84948364:84952499:-1 gene:Sspon.01G0055900-2D transcript:Sspon.01G0055900-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGEEHLAAAAAVEETPEKKEPSTTELPAPSGWTKKLVPTRGGRFEVLFVSPTGEEIKSKRQLTQYLKAHPGGPASSEFDWGTSDTPRRSARLSEKVKATESPEGEKTPKRGRSSSKRGKRQEKEDAEDANEAGEGGASEEGKGTDVEMKDAENAEDEKKEAPSADAAEKTEEGEDKKEEAPAVDAAEKTDEKEVAPAVYAAEKTEQSTEGQAQPNNVAAPESENKGDGKPAESESAPPAIVDEEKKEEKIENGQAAEPAVPSLASSEGEKKENGGATEPAPLVAETKADAPPPAEAEKGAENPGQVNTAPQEPTAANCDKGQIQPGASAVRCT >Sspon.04G0018240-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:71259024:71262076:1 gene:Sspon.04G0018240-3C transcript:Sspon.04G0018240-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASNPPVRLVSRFCHGSQNKASSSGVRGEEKSGKPENRQLIPSARDIMKNVENSDTTKEFIARHGSVKCICSTGRRSSVDIIFGDDEARRTTTDVTDGEQGTWGEFGEVLLEADADNRAANVRQAEAAHQSSVDRVVSLNRLPDSSHRDGSVYRDTYEWQKDYRIADRTETRLEAMMFSNPTDCDMVNGICLRHYPRHMLQIFSLKLAKIPVGAGKVELYGYIAARDELEPFLNYVVNISRDDPFTAEQGSLINMAPKRGISLDYGTLIEYDMKIKTGEQEKDDLQLIDGASVIGLMGTVDRSVFTSRIIGDYGAIDISASRLDRAVEVTVEVTASEVQDIFRLCLGCFISGLHKEIRLFDGTIGEPCGLKRYVVAVVMGTQMELKFKVGAESSGYSEHCCSFTAHQHGHADQLIKTDFASFLVKVTRSVLPCEKNL >Sspon.02G0058160-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2D:70797877:70798359:1 gene:Sspon.02G0058160-1D transcript:Sspon.02G0058160-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding TVCAGDGDGDGCDHLDCHGKPFLVVFVGVGAFYDHTMSSYVYSSEANAWSESAHYQYLGHFSESRSTLVENALYFAVNKSPGILKYNLGTREVTAISRLPMLNPHIALMTAEGGGLGCATMRSSKLCLWSAEVRPDGDIGWALGKTIELSTLVPAGHSVR >Sspon.08G0028460-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8D:12281873:12282082:1 gene:Sspon.08G0028460-1D transcript:Sspon.08G0028460-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGMGEACRDATGGASEAGPAGGAGAAASSSSGVVTRPPPLAAAPLLPSSAAPLPLSQAPPGSQIRRGG >Sspon.06G0004220-3C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6C:11780727:11780987:1 gene:Sspon.06G0004220-3C transcript:Sspon.06G0004220-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MIIAPTKVNWGLVHSIKLDLSVLLIGSTSSDNGYSLRRGFQVTYLFGEKSEKRVLFPLFLKVVHALSQSVEVSPDEEEKKWSLLPS >Sspon.03G0011480-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:31245337:31246944:-1 gene:Sspon.03G0011480-1A transcript:Sspon.03G0011480-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTCTLSVSAQKTACYSCNIWCRLLPNGNFEDGPPKSALVNGTVVSGANAIPRWETSGFVEYIESGHKQGDMLLVVPQGAHAVRLGNEASIRQRLAVTRGAYYAITFSAARTCAQAETLNVSVSPEWGVLPMQTIYGSNGWDSYAWAFKAKFDAVTLVIHNPGVEEDPACGPLIDGVAIRALYPPTLAKGNMLKNGGFEEGPYFLPNASWGVLVPPNIEDDHSPLPAWMVVSSKAVKYVDAAHFAVPQGARAVELVGGRESALVQEVRTVPGWNYRLAFAVGDSGDGCTGSMVAEAYAARATVKVPYESKGTGGYKRAVLDFMAIANRTRVVFQSTFYHMKPDGTLCGPLVDDASLVGLRKKPAAGRRLLQFL >Sspon.07G0023760-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:19243225:19250798:-1 gene:Sspon.07G0023760-1B transcript:Sspon.07G0023760-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPPPPLPPMPPRRVSCGSLLQELQELWGEIGQGEMERDRMILQLEEDCLNVYRQKVNQTRKQKADLLQELSFGEADIDKILSALGERETFPRTFSFQTDTEKKKLSVQSEKLGGTLMEQLAKIQPVLEDLRRRRDDRLNDFRAIQLQIVRLQAEISGAIDHGDPAAPMVDENDLSLKRLGELKEQLNDLQTEKECRLQKIDIQTNSIQEMCNIMSIDLKKALKDVHSSYAELGRAKPMSISNDSLDRLSEKVHALNNEKKQRLRKLQDLGSTLIELWNLMDTPTDEQRSFDHVTSLIKVSPNTVMPPGCLAHELIEKFKGIKEIRKQNIIMNEDIILLAGSSMGEIRPKDAGTCTRWSLKISRRRCVGGRSRQRSGARDKGLNAGRKETGGIQSGAEKGDGVGGLRR >Sspon.08G0019710-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:17748419:17748715:1 gene:Sspon.08G0019710-2C transcript:Sspon.08G0019710-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AHKANPRDLYPYGLTSLGAAVEPKPQSVETLYICSFCNKTFKSPQARGGHQNAHKKEIAVLRRNLEEEMSNKRAKQAHNVFVASHEIKQLPLGSDSFDV >Sspon.07G0006140-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:14195796:14200308:1 gene:Sspon.07G0006140-2B transcript:Sspon.07G0006140-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LAIGPFIHTSLYSYRDLRVGAATATWSTAARFSSRGARRSPPPAGSRRAGERPAPPPPRPPHPGRRFHRSSPQPACPTRQAGARVRGACAPSTSRPPPSPSTVRRVKRPRLAEVHRLLQDNMPKIKTSRVKYPEGWELIEPTLRDLEAKMREAENDTHDGKRKCEALWPIFRISHQKSRYIYDLYYRRKEISKELYEFCLDQGYADRNLIAKWKKPGYERLCCLRCIQTRDHNFATTCVCRVPKHLREEKVIECVHCGCRGCASGD >Sspon.03G0002900-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3A:7247045:7253267:-1 gene:Sspon.03G0002900-1A transcript:Sspon.03G0002900-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GDGDYVLHPQPGAADVAVWPGELDEQLITELLSDDSLLLGALEQVPAGDSEQHCSR >Sspon.03G0009980-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:2744015:2746775:1 gene:Sspon.03G0009980-2B transcript:Sspon.03G0009980-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDTSAARDEGGPAVCKAVAGGGVDAKGGASARRRRRRLELRRLGRAAEGSPVPAKRIRSGSDRSSSPDSSLEMAAGCWPAHLSYGAVSVIGRRREMEDAFAVALSFLASDPSSPGATDGGEQEQDFFAVYDGHGGARVAEACRERMHVVLAEEVGLRRGVGSDLRWEEAMAACFARVDGEVTGGFTPPPKPPPQAAADDAAGAGDLPYRTVGSTAVVAVVGQRRIVVANCGDSRAVLSRGGVAVALSTDHKPDRPDEMQRVEAAGGRVINWNGYRVLGVLATSRSIGDYYLKPYVSAEPEVTVVDRTEQDEFLILGSDGLWDVVSNEVACKIARNCLSGRAASKFPESVAGRTAADAAALLTELAMSRGSRDNISVVVVELQRLKRSPGAA >Sspon.03G0038220-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:1380998:1385443:1 gene:Sspon.03G0038220-2D transcript:Sspon.03G0038220-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSRSQTQSQADLDFPSLISDLTSLLLHSPAGAASSSSGPVFSSSSLSIPTPTPKPKPKPNPSPTSATATPTPTPLARAAIGACAGVAAGAFTYVALLPIDAVKTRLQVQAAAAPSATSWQVFLDILRTDGPLGLYHGLSAVILGSASSSAVYFGTCELAKSLLRPHLPPFLVPPLAGASGNVSSSAIMVPKELITQRLQSGAATGRSWQVLLGILRADGFFGLYAGYAATLLRNLPAGVLSYSSFEYLKAFTLKRRAGESLTPGESVLCGALAGAISAALTTPLDVVKTRLMTRVGAEGSRTVLGTMREVVAEEGLVGLSRGIGPRVLHSACFAALGYCAFETARLAILQCYLERCQINAKAAAQPEMEPGVLGHQNRELKKMYDTYRQCSFSKWHLNLQGLECGLGTRSIEAMQATCAGHAACHGYQVLHENCFCNHPNSLGKVLLLDSRITYEMRQEWFNKYRKNGIGHHLDNIRFRLHCGGACMDETLQAGNLQGSLITYILPHKQYSLQREDIMLRSLLR >Sspon.03G0020910-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:51226389:51228755:1 gene:Sspon.03G0020910-1P transcript:Sspon.03G0020910-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMNRQNDIMATNELSLKLLIDTKAQKVCFAEAGNDVVEFLSTLLCLPVSTITSLLTKERMVGSIGNVLDSLQELDAKYVISSRSKERYVSPAVAPSVLHPLQLLLDAPLNASDSFFTCRGKTDGYGRLAAPACGYFSAIKGTICPSCSNSMNVAMRHVKADGLVAGTATYTVKDDLSITPASSVSSIALLAQSGVKDLSTLHERIVKIGKEEVLEILLASLKSKTVLTDVFLQKKKVCPYE >Sspon.03G0044400-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:93665258:93666829:-1 gene:Sspon.03G0044400-1C transcript:Sspon.03G0044400-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MELVCFASNSSTTPLCCYMAFFVSLLFLLHRRYGRGKSTLNTKSKGRLPPGPPALVFLAKFLALRRSIFDLAPLLRDLHARHGPVISVHLFTTLVFVADRRLAHRVLVRDGATFADRPPLVEPDPLFCAGDINTAPYGHYWRLVRRNLAADALHRARVGLFAPARRWACEALVTNLLHAARVSSFETELVTVRPFLRRAMFELLVYMCFGARLSQGALDEIEALEQHVLASFIAFPVFAFFPPLTKRLFRKRWEAHVAVRRRLDELFAPLVHAARGRGEDDHPPCYADSLLELRVPDEGDRPLTDAEIVSLCSEFLNAGTDTTVTLVEWIMAELANNPDVQAKVYEEVTRVKPDVLDDAGNLQSLPYLKAVVLEGLRLHPPAHFLIPHGVRSDEAEIGGYTVPKGAEVNFLVAEIGRDEAVWTTAHEYRPERFLDGGEGYDVDITGSREIKMMPFGAGRRMCPGYALGMHHAEYFVGSLVRELEWLPAAEGEAVNMEETVDFTTVMKYPLRARVIPRNNSLLT >Sspon.03G0008160-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:27456685:27463660:-1 gene:Sspon.03G0008160-3D transcript:Sspon.03G0008160-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVMPLSGSDADADGGSSSGDDVEDDDEEDECDESNDDPLADDFLAGSDEGSEGGDSGLESDESDDLEAKSRAIDEQKLKAEEDAEEELQINIRSESDEFRLPTAEELEEEAHRPPNLPNLKRRISEIVRVLSNFSKLRQKDVPRKDYINQLKTDIMSYYGYNDFLVEALIEMFPAVELIELLEAFEKRPPECLRTNILKKQGASSFLPVMALAPQEKERIVDMAAAPGGKTTYIGALMKNTGIIYANEFNEKRLHGLLGNIHRMGVTNTIVCNYDGKETIWKDLQIKTSKDIEDIRNCAFVQKQLLLAAIDLVDANSKTGGYIVYSTCSIMIPELKKLSNTIPVTSESSKASEGAAEKADGEDENTISNEQETAVPGKHLKKKDSDTKEIDGPESTETNGDRKEEHREQTKQTSYKRKFAFNNSKKSGPESNSGVKEKKQVSDKKLKRKFKLRREWEAEKKAAKRKV >Sspon.01G0023210-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:82564989:82569325:1 gene:Sspon.01G0023210-3C transcript:Sspon.01G0023210-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding KSMLWNLIQDIEPLDLSVIQKDVAPETVDAMKRTISGMLGLLPSDQFRVVVEALWNPFFKLLVSSIMTGYTLRNAEYRLSFERNLELSEEVAECPKSDVTEDNHHNINLGRPVTIFRLSEEDMPQDPGKTDEESSYENMGEELGDLTPQAEEYIIHMQSRLDAMKKELHDLRRKNSALQMQQFVGEEKNDLLDYLRSLTPEKVAELSESTCPGVQEAIHSVVHGLLATLSPKIHSKAPPLLDNTSGGVLNLGGEDDDRAELVENASLPFQPLISVPRDYLARLLFWCMLLGHYIRGLEYRLELAQLLRISSDVGSFSGGDDHVV >Sspon.05G0021370-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5A:89948420:89951889:1 gene:Sspon.05G0021370-1A transcript:Sspon.05G0021370-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-directed DNA methylation 4 [Source:Projected from Arabidopsis thaliana (AT2G30280) UniProtKB/Swiss-Prot;Acc:Q8GYP3] MASAAAAAPAGEASTSEAAAKGKPIVVRVKRKPSQTRPDAFWLEINERPAKKAMLDLSSLSISDPPSSSSSASAKASEEPRVKKLLVQHIETVHHSEAVEDVLHSLLLADSNSKEIKSKTKEWNDRIKQDKDMGRNARFAQIWKSRKGENNDADDSLREICHLYDAVQVDPDDEKHQAEPRITSFEEGAVLCNFLPLIREYLPSAAEEIESDIISLAQSEDSEVYDIYTVKEVDDTNMEATSAASYPRLQVDDGEDECYDDDYPYDTDDSNAEDNPLFDYPDELSEDEDDGSHDEDPFGDEEGSDPSMRRKK >Sspon.04G0008970-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:25986436:25988980:1 gene:Sspon.04G0008970-1A transcript:Sspon.04G0008970-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTCAHVEFLRAQPAWALALAAVGLLVALRAAVRFALWVYAAFLRPGKPLRRRYGAWAVVTGATDGIGRAIAFRLAAAGLGLVLVGRNPEKLAAVAAEIKAKHPKVPEVRTFVLDFDSEGLAAGVEALKDSIRGLDVGVLVNNAGLSYPYARYFHEVDEELMRSLIRVNVEGVTRVTHAVLPGMVERKRGAIVNIGSGAASVVPSDPLYSVYAATKAYVDQFSRCLYVEYKSKGIDVQCQVPLYVATKMASIKKSSFMIPSADTYARVAVRHIGYEPRCTPYWPHSVLWFLISILPESLIDSVRLGMCIKIRKKGLAKDAKKAL >Sspon.03G0010720-3C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3C:44507081:44510021:1 gene:Sspon.03G0010720-3C transcript:Sspon.03G0010720-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AT5g40850/MHK7_8 [Source:Projected from Arabidopsis thaliana (AT5G40850) UniProtKB/TrEMBL;Acc:Q42606] MALAVRTPRFQPRPASISAPATPASTSLAAASARPRACAAAVRASPFTEATSSSRYRRDAWSYAADGSSNSASPSSDAAVAAAAAAAAAAAGRRDDEIALQLPELRRLLDALRASRGRGAEGADGGGGPGRVALVGTGPGDPELLTLKAVRAIEAADLVLYDRLVSNDVLDLVGEGARLLYVGKTAGYHSRTQEEIHELLLSFAEAGANVVRLKGGDPLVFGRGGEEMDFLQQQGIKVEVIPGITSASGIAAELGIPLTHRGVATSVRFLTGHSRNGGTDPLHVAGNAADPDTTLVVYMGLSTLPSLAPKLMKHGLPPDTPAVAVERGTTPQQRTVFSLLKDLVDEVKSADLVSPTLIIIGKVVSLSPFWVESSEHDALKIESSYANEAR >Sspon.05G0004160-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:12586162:12587220:1 gene:Sspon.05G0004160-1A transcript:Sspon.05G0004160-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAGSAGTGKIRVKFLVDNEKKKVVVAESGKDFVDVLLSFLTLPMGTIVRLLGKESSLGCFDELYKSVESLDESHFQTKACKNMLLRPLSAVGKLYEDLVVRIDDRNHRYICVCSQPKCVIDTIYYSSVPDVLCGQCGKPLAHSLEWKKADGEAKDDGVFVRGGSGMSYAITDDLQVVSADTDNLMFLLRSLGLEDITMLEEKTLELGLEEIKNFLKQSFRSLSTFSKNLIHVATETPKLLGVSDP >Sspon.01G0030050-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1A:104378182:104378574:1 gene:Sspon.01G0030050-1A transcript:Sspon.01G0030050-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFHSNRFLVRVRVSILGFGFGCTETPPDPNPTRCHPYLGLAEISVAHHSRPGRGNREKVCHACTENGYRSISSVPVRRPRLPGSIRRLPSPEGSFHLLAPSASWPLAFASLGNQHAVKLAVLAIERLNI >Sspon.03G0045420-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:18203480:18204585:1 gene:Sspon.03G0045420-1D transcript:Sspon.03G0045420-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTEKDNVVLDWQVRYRIIEGITRGLLYLHQDSRYRIIHRDLKAANVLLDTEMTPKISDFGMARIFGNEETEINTRKVVGTYGYMSPEYAMDGIFSVKSDAWSLWNEEKSIELADERMNGSFNSDEVQKCIRVGLLCVQENPDDRPLMSQVLLMLASPDATSLPTPKQPGFAARRVLMETDTSSTKPDCSIFDSATITMLEGR >Sspon.02G0004960-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2A:15716487:15716630:-1 gene:Sspon.02G0004960-1A transcript:Sspon.02G0004960-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VRRGPIAIDGLGAPDQGVRSRPKRPLGHCGWEINPTAQAKAGQAIAT >Sspon.04G0032010-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:20136440:20140351:-1 gene:Sspon.04G0032010-1P transcript:Sspon.04G0032010-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein ORANGE, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G61670) UniProtKB/Swiss-Prot;Acc:Q9FKF4] MLCSGRMLACNGLLPGRLRLPRADAYRLRPPALARRWRVAASAAASGGSSDLPSSSSSPPTPPFGVGDDQAAASPGFCIIEGPETVQDFAKLDLQEIQDNIKSRRNKIFLHMEEIRRLRIQQRIKNVELGISDEESDRELPDFPSFIPFLPPLVDPIVASFSGGAVGVISALMVVEINNVKQQEHKRCKYCLGTGYLACARCSSTGALVLTEPVSTFSDGNQPLSAPKTERCPNCSGSGKVMCPTCLCTGMAMASEHDPRIDPFI >Sspon.02G0026680-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:81475540:81479299:-1 gene:Sspon.02G0026680-2B transcript:Sspon.02G0026680-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RRRRIQTQIKPPLSPPVLLLPLRRGGEHHQQQRATMRPILMKGHERPLTFLRYNRDGDLLFSCAKDHTPTVWYADNGDRLGTYRGHNGAVWTCDVSRDSARLITGSADQTAKLWEVSTGKELFSFRFDAPARSVEFAIGDALAVVTTDNFMDHVPTVQVKHIAEDIDDQTEESALVITGIKGRINRAVWGPLNRTIITAGEDATIRIWDSETGKLLKESDKESGHQKTITSLSKSLDWSHFITGSLDKSAKLWDTRTLTLIKTYVTERPVNAVDISPTHDTVVLGGGQDAMNVTMTDRRAGKFEAKFYHKILQEEIGGVKGHFGPINALAFNPDGRSFSSGGEDGYVRLHHFDSDYFSIKM >Sspon.07G0033950-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7C:67315053:67315585:1 gene:Sspon.07G0033950-1C transcript:Sspon.07G0033950-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VLHMGCAWKAEDIQGGMGGVARPTTSALPREPDALYACLLPLCGNFRELQTDVQTLASPRLWEEEDDSCRGPNTIGGDATPPLGKMGGFFVTTKLWSC >Sspon.08G0012350-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:51538969:51539635:-1 gene:Sspon.08G0012350-3D transcript:Sspon.08G0012350-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELNAAPQFALCVALGISYILKPLLDFLDDGVNKPLSPVLELAVAVLIATLPLAYLMGILLLQLQVAPGAPAQAAVPPAPGEARRLACFACTVVSAVLAVLTVALISFWFLTGGSPP >Sspon.01G0015130-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:44372911:44375054:1 gene:Sspon.01G0015130-1P transcript:Sspon.01G0015130-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKVKRSTPVTAPAPLDPGATAAKAKKSASPGSAITAGKSPAAASPLIVIEIPSSPDRSTGGSHSTKKARKRPAPRTLDLDDEIEMWTPRRSSATTRTARSSPETPSPPPPRWTPPPPPPMTKSRSLQSEEKRLRLRQDSRGWALLRAEITHMRGLLVQSTHLVEPLMNAIVTSVSAMSVTLLPHVCSGRAWRALPRVGQGQKVEVYEVLDGKVKESKA >Sspon.04G0032030-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:19981107:19988296:-1 gene:Sspon.04G0032030-1C transcript:Sspon.04G0032030-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGSAGSFVAVRRLSGSDRAAAFHHSSSAEVVTGSTAWIGKGLSCVCAQRRDSDARLSFDLTPVQEECLQRLQNRIEVQYDSANSEHQEALQALWCASFPGTELRGLISEQWKEMGWQGKDPSTDFRGGGFISLENLLYFARNYPKSFQELLRKQNGDRAIWEYPFAVAGVNITFMLIQMLDLQAENDRAFDILYCITFKLMDQQWLDMHATYMDFNTVMKSTRRQLERELLIEDIQRIEDMPSYRLLGR >Sspon.07G0020150-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:75034587:75035929:-1 gene:Sspon.07G0020150-1A transcript:Sspon.07G0020150-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSGAGAGAGAVAHLAATVLLLLLVGVGISRSRAQLQVGFYSDSCPDAEDTVTAAVQDAAGNDPTILPALLRLQFHDCFVKGCDASVLIRSASNDAEVDNAKNQGLRGQDVVDAAKAQLEDQCPGVVSCADIIALAARDAVAMTGGPLFDVPTGRRDGLTSNLRDADVLPDAGDSISVLRSRFAASGLDDRDLVLLTAAHMVGTTACFFVKDRLYSYPLPGGGMGAGPVDPGAVLGGAQGPVPAGRLQHAPAAGPRQRDRLRRLHPPEHPLRLRRHRLRRCAGPTATPPARSSTRTWAPRRAASSGTSPPPWSRWAASAPSPATTPA >Sspon.06G0014810-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:81509964:81511337:-1 gene:Sspon.06G0014810-1A transcript:Sspon.06G0014810-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAAACVGQTTTVVCVTGAGGFIASWLVQGLLSSGSYVVHGTVRDPSDPKHAHLMALDGAVERLRLFKADMLDHASVTAAVAGCDGVFHVASPIPASKPADPELEVVAPAVDGTRNVLRACHDANVRRVVVVSSVAAIAVNPKCPNGVVLDEEWWSDEDFCRTIKGWYFLSKTLAERAAFAYAKETGLDVVSVCPSWCLGPLLQPTVNNSSLTLIDYLKGDRDTVDDKMKNVVDVRDVAEALVRVYETPEASGRYICRSYPMSMTEILDIIKSFYPKLSYPKNFVKVQDERMFTSKKLQALGWSYRPAEETFKDAVKSYKDTGILNF >Sspon.06G0029160-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6C:16061962:16062857:-1 gene:Sspon.06G0029160-1C transcript:Sspon.06G0029160-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLVGRDPLSLDTLTRDASAAFLFGLRGTAGIISDLVAQRMLLLPMNSEFLGMVDYVVDYVRDALRSGSKTLSDSNSDVGSCHPSLECFMADVEPERESTPEGFIEEASDNEATPSEDVEDGYRTETPEDNPETPPHLRMEQIQILARDVRRRIIGNDDGPPHFARASQNIAAAIALLRGLPEHGTPEERQVQHNILTLLDCRRSSRPKARCLNDAGRTPANVRPRSDLAEMRSFTRPRTKQEDVSGPRYRGASATNAICAIL >Sspon.01G0010430-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:9097719:9099919:-1 gene:Sspon.01G0010430-1P transcript:Sspon.01G0010430-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPSRDYWLGFFRGAGDNIFDAIDAAITVAASDHPTALRERRDGIAERLFTALLVTGAAAAAGAAAAGQGGAAGGTPVAGAPTPAQLHPEGAASVPSLCSSDRAEAITDDGAPRCDDPVLTETERIKAVLLNDKEKSESELLELLRRLQELDLAFDTLDVTAIGKAVANFRKHSSKQIRNLVRSLIEDWKHTVDVWIARRREAVVDQTPQSMGPSSLEQEDRGAASTHMDEGDLFATPSTTIRLSEENPGSKFSDGMGGDGSVMNNASRDFGQRYPMNQEQVKRPPPMGERYDPEPYWRQEQSAPRQSRPQELSNGQTKEQFVAEMLARPSNVESGPGRPQARPRQHQDASTAQGRLQSAVSEKPVAQLDANSVRAKLELAKNAKLEATKRKLQEGYQEFDNAKKQRNIQMVDPQNLPKQGNNNRNFQPSGKPRNNSNINSNRNWSR >Sspon.04G0008850-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:26885923:26887055:-1 gene:Sspon.04G0008850-3D transcript:Sspon.04G0008850-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKGGKGLLAAKTTAAKSTDKDKDKKKAPVSRSSRAGLQFPVGRIHRQLKSRASAHGRVGATAAVYSAAILEYLTAEVLELAGNASKDLKVKRITPRHLQLAIRGDEELDTLIKGTIAGGGVIPHIHKSLINKTAKE >Sspon.06G0020140-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:8336199:8342604:1 gene:Sspon.06G0020140-2C transcript:Sspon.06G0020140-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RBR1 [Source:Projected from Arabidopsis thaliana (AT3G12280) UniProtKB/TrEMBL;Acc:A0A178VFS5] MEGVAKPSTSSGSGSGVTDGASSAAASPEERFADLCKQSKLGLDESTTRQAMQLFKETKNILKSSVSSLGGGSAEEIERFWSACVLYCVSRLSKAGRSDEDGSVSLCQILRASKLNIVDFFKEMPQFCLKVAHILTGLYGSDWEKRLELKELQANVVHLSLLSRHYKRAYQELFLSNDGKCSDNSSESNNQEASDYYRFGWLLFLVLRIQTFSRFKDLVTSTNELVSVLAVLIIHVPVRLRNFDIKDSSYFVKKSDRGVSLIASLCEKYHTSEDELSKALEKTNTLIMDILKKKPCPATSACQQDNLSFIDPEGLTVFKDLLQGESLKSSLLILEKEYENAINTKGELDERMFANDEDSLLGSGSLSGGAINLPGTKRKYDVMASPAKSISSPNPMSPPRFCLSPKGNSFCNSKMAPITPVSTAMTTAKWLRSTVSPLPSKPSGELLRFFSACDKDLTDDIAHRAGIILGAIFTSSSFGERICTNMRSANRMDAIWTEQRKMEALKLYYRVLESMCRAESQILSGNNLTSLLSNERFHRCMIACSAELVLATHKTVTMMFPAVLEKTGITAFDLSKVIEGFVRHEDTLPRELKRHLNSLEERLLESMAWEKGSSMYNSLIVARPALSVEINRLGLLAEPMPSLDAIAAHHNISLGGLPPLPFQKQDRLQDKDEVRSPKRACTERRNVLVDSNSFRSPVKDIIKSKLPPPLQSAFASPTRPNPAAGGETCAETGIGVFFSKIAKLAAIRIRSLCERLQLSQQVLELVYSLVQQILSQQTGLFFNRHIDQIILCSIYGVAKISQLELSFKEIIFGYRKQPQCKPQVFRSVYVHWPPRSRNGKTGEDHVDIITFYNEVFIPAVKPLLVEVGSGASPKKKEEEKGSVDGPYPESPRLARFPNLPDMSPKKVSATHNVYVSPLRSSKMDTLLSPSSKSYYACVGESTYAFQSPSKDLKAINNRLNSGKKVNGRLNFDVVSDLVVASSLSSDQNAKPAATEVVPIKTPVKCEPSDS >Sspon.07G0012940-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:46862030:46864467:1 gene:Sspon.07G0012940-1A transcript:Sspon.07G0012940-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQIIDGKAIAADIRREVAADVAALSSAHGLVPGLAVVIVGSRKDSQTYVSMKRKACAEVGICSIDVDLPEDISEPALVAEVHRLNADPAVHGILVQLPLPKHINEEKILSEICIEKDVDGFHPLNIGKLAMKGREPLFVPCTPKGCMELLSRSGVTVKGKRAVVVGRSNIVGLPVSLLLLKADATVSIVHSRTPDPESIVREADIVIAAAGQAMMIKGDWIKPGAAVIDVGTNSVDDPTRKSGYRLVGDVDFAAVSKVAGYLTPVPGGVGPMTVAMLLKNTVDGAKRGIVE >Sspon.04G0004040-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:12431170:12432605:-1 gene:Sspon.04G0004040-1A transcript:Sspon.04G0004040-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GSPAALAPGALRRRPIRRRGRRPRAAGRRRGVGHLPGRARRRADGRRGDRAVRRRGGRQRGGRAPPPPALRLRGRHRPLTPRPRRLPCRRQAGPHRWVVKEFLGRWPRLCSVCDSSNTSPLYSAAVKDHLDVVNAILDTDDSCIRIVRKNGKTSLHTAARIGYHRIVKALIERDPGIVPIKDRKGQTALHMAVKGKNTDVVEELLMADVSILNVRDKKGNTALHIATRKWRPQ >Sspon.02G0030170-3D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2D:95772869:95778902:-1 gene:Sspon.02G0030170-3D transcript:Sspon.02G0030170-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEKGCERCREWQEHFYWEHMDVSKIRFFRRMTGDFAHGISIPDKFAKNFKGQITEKVQLKSPSSAETWHVSVEKQDDELSFMSGWEDFAKAHELKENDLLLFTCCGNSSFEVLVFEASGCEKVSSLFGNGIGPDMCKQFNDMEGQHGEHHSVTVSDSEDTIAPSQLVGSPHNASSSKEQSGKARPSEYESPKDSNFIVKHVATGEEDSDDGYANSNYYYSVFANRLRDEEKEEIIGLASVRTNNPAFVTVLKKNHIQRRNNSLIIPSKFAADHLVERAHDIILRRPNRKEKWLVRYYYACHTRCFQNLVLFEFMRENKLREGDICVFELMKGKRRVTMTVHAIRKANDRGPRHSDRGLRTLGPPSCILLFLAMVEKRCERCREWQEHYYWEHMDVSKIRFFKLMTGDFAHRISIPDKFAKNFEGQITEEVQLKSPSSAETWHISVEKQDDGLFFMSGWEDFAKAHELQENDLLLFTCCGNSSFEALVFEASGCEKVSSLFGNGIGPNMCKEFNDIVGQHGEHHSVSVSDSEDTIAPSQLVRSPHNASPSKEPSGKARPTEQEEVEHVATGEEDSDDGYANSDYYYSMFANRLSDEEKEEIIGLASIRPNNPVFVTLLRKNHVQRGNNHLVIPSKFAADHLGERAHDIILRRPNRKEKWLVSYYYSCRTRCFNNLPLFKFMSENKLCEGDICVFELMKGKRRVTMTVHAIRKANDRFILLGY >Sspon.05G0019560-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:78502990:78507456:-1 gene:Sspon.05G0019560-4D transcript:Sspon.05G0019560-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPDGDAASGTGADIAADELQSLSFGSSSDRSRSRSASTVSTATASCSTSSSGPLHHHLSLPPPPSRRTPTPTTPATSAALSSSTTQIPRLGAVALSDIRFLRRLGAGDIGSVYLAEVRPPAAAAGKAEEKHPPQQQPMVVAAKVMDRKELEGRNKEGRARTEREILEAVDHPFLPRLYGVAEGDRWSCLLTEFCPGGDLHVLRQRQPHRRFSEAAVRCVAHSPSPPCAMPNLPLSGAFSAVCALLPTYLPVTPAPFPSLAALELESNPTCRHLIQTQYTRLTLVVSRCTGAAWSGLFGYITDLFPGRGGGRGRRRRRWRAAKKPSSNGGINSGGSSSGLELEFVAEPVELRSMSFVGTHEYLAPEIVSGEGHGSSVDWWTLGVFVFELLYGVTPFKGYDNEMTLANIVARALEFPRDPAVSSAARDLVTALLAKDPARRLGATVGAAAIKRHPFFAGVNWALLRCATPPYVPPPFSVANKAGGGGGGGSNGKGDGGDDDGDVSDDSCPGTPVEYY >Sspon.03G0003750-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:9683956:9685159:-1 gene:Sspon.03G0003750-1A transcript:Sspon.03G0003750-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LDTPAAVVLELMTMGQQSAAHLGDLLRTASPAAAAAPHQELAAEILRCCGRVIDALRATAAATSGLKRKAAAEYHQDAAAAGGAASWSPPPPGPPLKRRCVYRCAHKDQGCNATRRVQQTQDQPAAYEIAYYGDHTCRGAATAWQQLGAAAAPPAVVDFGSNSWGSADTNRGSPASMSQGGWSPSASSEVGFEAQQALHEWHDSAAPDPVM >Sspon.01G0041020-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:38767335:38769372:1 gene:Sspon.01G0041020-2C transcript:Sspon.01G0041020-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSPSSSSPSSAPVPGPCQWSGAAACGLAGRVLCFVATCVFAAVGSLVGAVTGSMVGLATESGMLRGAGIGAISGAVFSIEVAESSRDLWHSGDSGIWSLLYMVDIISSLLSGRLVREKVGPAVRSAVQSQISAISSPFEETSDLFETGSIMGLPEDA >Sspon.08G0018410-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:6036805:6042704:1 gene:Sspon.08G0018410-1B transcript:Sspon.08G0018410-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVGRPRDWEQDLGEELNQEGVEWVVALLMSSASVGGAAILERAAVVGFMLPSPECENMDWNPEALQLLQRVRLHRRLLPFAVVLGTEASHRYSCLLILVRLSTSVVAETREGVMRAKKPQYSSDLCSWEVAVNSRKKEKRTNNGHQIASMVMPRIINLRQELFGHPLAGIIYVQLHQHTEDFERLLNWQCAQTSRFPKDFEPHHGSTGAVESGTKWQAEAASLGGWGKGFQDASSPASVSTALINCCHESNS >Sspon.07G0033820-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:66252119:66263562:-1 gene:Sspon.07G0033820-1C transcript:Sspon.07G0033820-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRPERWHNQRDEKTRRFTRRSSSLTAVKEDVSPPPGFEKTPAFKKKAILAAPPGLKKKATVITRKEKHLAALMKEKHLAVARRKEKKALPATKMCYHCFKGGARTCCLWLRAKVNCAKVGDSSSTHGQVRSYQLPVSTMHEALQAVVLKPIMNNIGGLQRSVNELKKEKAENKEEKRLKKNLDNLGDHLASVVHNVHDLQSNESRISQVATTIPVRNVQDLAACDAGELTAEALERYIRPDIDKDAVLYEHPGELPVVDLGRLLNPQHWDEEAAKLRSACEEWGFFQVLSHGVPEEVMVNIKRDIQEFFQLPLDVKNAYAQTPGDLQGKSLEDYCDELKKVAHSIVEAIAKILNIDPELTSDKYAVQVLRMNYYPPCMSMPEKVLGFSPHSDGSFLTILLQVNSVEGLQIKRHDAWIPVKPHPEALLVNVGDLLEIMTNGKFKSVEHRVIINPRKERLSVSAFHNPKFDGVVSPVTGTPTEKLLYRTVKVEEYITHHMSNKLDGKRALDHMKVF >Sspon.08G0009060-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:34973777:34976962:-1 gene:Sspon.08G0009060-2B transcript:Sspon.08G0009060-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sodium/hydrogen exchanger 4 [Source:Projected from Arabidopsis thaliana (AT5G55470) UniProtKB/Swiss-Prot;Acc:Q8S397] MAAAWWEELVVTAAAADGTGESSTTVVSICVFTAVLCLCLVAGHLLEENKWVNESITALIIGCITGAIIFLLTKGKHSHILRFDKQLFFIYVLPPIIFNAGFQVKKKQFFHNFMTIMSFGVFGVFISGAIVSAGCYWLFPKVGFGELDAVDYLALGAIFSSTDTVCTLQVSYTLHSEFLAHLPNTCSTSNCLIHGAETQVINQDETPRLYSLVFGEGVVNDATAVVLFNVIKNLDVSQLKGGVVLKLISDFLYLFATSTIIGISIGLATAYVLKALYFGRHSTDREVALMALMAYLSYMLAELLELSGILTVFFCGIVMSHYAWHNVTESSRITTKHIFATLSFIAETFIFLYVGMDALDIDKWKTSKESFKTSIGIFGIVILLILLGRVAFVFPISILSNTMSGSSERTPITFKHQVVFFGFDSKAIYNLFCKNVNEMTPSKFFTHAKVVIWWAGLMRGAVSIALAYNQFTFSGVTSDPVHATIITSTIVVVFFTTLVFGFLTRPLISAMLPRHSRALSRGHSTGSNSPKDDFTLPFLSADEGASGSGIVLEQAKRSLSIMLERPVHTVHIYWRKFDDRFMRPIFGGPQSY >Sspon.03G0040570-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:30084267:30084488:-1 gene:Sspon.03G0040570-1C transcript:Sspon.03G0040570-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSWLAWVSGSPSASDQLLSRQHRTSQQSCFAYLKERCVDVGSTKSSSSLCRVVSHEQTIPRSYQSGNHLSVDS >Sspon.05G0008500-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:19777784:19781879:-1 gene:Sspon.05G0008500-2B transcript:Sspon.05G0008500-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMDMRRHSVSVDVPLSRTLVQLKRVRSLRDPATNSMSKYASPSDHMIWETASSNGVTLELGRSAHHHLVEEDEDVGAEPTMGSERSFRGPNARTASYRKSSTVRIRGLNPPRNKQVHRVRQDGHRKSLDSNHSNHSSIRQLANNMVNNVDADKEEEEVNSYERPKFAMPDKADEEVKMPDYSKFRSKSSAAMSRVGSPCMSASEARSVGSRRSTLGHGTEDTRLRSNDVVGSNFSGCGISYCWSGASKYRELYSDSDGPDQPLLSTDGTEAAFQGNVPYTETPRCLSQKFRPRSFSELIGLNVVAQSLLYSSCKGKVAPMYLFHGPRGTGKTSTARIFAAALNCVSLEEQRPCGFCKECVILFSGKSRDVKELDAAKMDRLGRVKALLKSASLVPYSSRFKVFIIDECHLLQEDAWSAILKSLDEPYRHTVYIMITSDIESLPRTSITHCQKFHFPKIKVADIVYRLEKICIEEGLEFDHDGLYFIAAKSNGSLRDSEIMLDQLSLLGKKITISLVHELVGSVSDDELIELLDLALSSDTTNTVRRARELMGSAIDPLQLVSQLANLIMDILSGRCQSALTEVSKISEVGIKKLRHALKILSETEKQLRTSRNQATWVTVALLQFSTNEPNLAAEPNDMHAHSVTGYTDDWVSKVHSSANFCQACNSSKSNCSERHCRRLKLENIWRRAIGKCRSRSAKSFLRKEGFLSSVHVTEGKNKHAISIEFLISHIWIVLKMIHYMYKFSELAIAEVGFGHPDHLSRAEKMQSLIECSLQHVLGCNVEIRFKLVPCPVRKDARLKRQSFSFLNCSGRKQELSDSVVTDEDEAVRPGARETPLKGYSSSQQESPYTMQRADSKPTVHGCEDDARSTLTSNRSMTDDLTRTCRSETNYSKSVSEQGHFNSIQEPDLQPNCFSRTLKLQKKLLSSGAAHTICLRIQPHNKMDFLPKKEFDTYFCAYEPYEQCSRSNSRATYSGARIHALVRIYSAGGPQNNPCNRGKDEHTRWNMEDSNSAMTFTNFARSWRHGHHLRGQFLKESPGGVLYDATSGQLYSAPMMKPCAY >Sspon.05G0004980-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:17800801:17802688:1 gene:Sspon.05G0004980-1T transcript:Sspon.05G0004980-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSPLLSPPALLALSLLLLALYIARRRRGGGRNRNYPPVAGTMFHQLLNFGRLMEYHTELAHRYRTFRMLTPTCNYVYTVEPDNVEYILKTNFANYGKGVMTHDVLEDLLGDGIFNVDGAKWRHQRKVASHEFSTRVLRGYSSGVFRDTAAELAGIVAVAAGAGERRLDVADLLMRSTLDSIFKVGFGVSLGVLSGCSDEGAAAFARAFDDASEQLLYRFFDLSWKVKRLLNISSEAAMKRSIRTIDGFVYGVIDRKIEQMGRDQQEFAKKEDILSRFLMERESDPGCFDNKYLRDIILNFVIAGRDTTAGTLSWFLYVLCRNQHIQDKVAREVRAATTGDRDVGVQEFVAFLTEDAINRMQYLHAVLTETLRLYPAVPIDVKYCFSDDTLPDGYAVKRGDMVNYQPYQMGRMKFLWGADAEEFRPERWLDDDGVFVPESPFKFTAFQAGPRVCLGKEFAYRQMKIFAAVLLYLFRFEMWEANATLGYRPMLTLKMDGPLYVRASFRQ >Sspon.05G0004550-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:8463431:8465677:-1 gene:Sspon.05G0004550-3C transcript:Sspon.05G0004550-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MWPWLERIASACWDRVRRYALTRKGEDDDAEDDAGGGADELLWSRDLARHAAGEFSFAVVQANDVLEDHSQVETAAAATFVGVYDGHGGAEASRFISNHLSSHIVRLAQEHGTMSEDVVRKAFSATEEGFLSLVRRTHLIKPAMATIGSCCLVGIIWRGTLYLANLGDSRAVVGSLNGSNRIVAEQLTRDHNASMEEIRQELRTLHPDDSQIVVLKNGVWRIKGIIQVSRSIGDAYLKKREFAADPSTARFHLSEPLRRPVLTSEPSVCSRVLSSQDRFLIFASDGLWEHLSNQQAVEIVHNNPREGIARRLVQAALKEAAKKREMRYGDIKKLDKGVRRYIHDDITVVVVFVDHELWSEDSASTPLPELSVRGFVDAGGRSSFSGLNDIT >Sspon.06G0036180-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:90745247:90745876:1 gene:Sspon.06G0036180-1D transcript:Sspon.06G0036180-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RHVAVVADEPRRVQVAGVHRAGERAVRGRAAAAAPLRPHQLLPRQRPAPPQAEPPAARRRRRHHQRARRAAAPAPAAAAGGRGGGGEHQRAALGPFPRSSDG >Sspon.06G0009980-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:42636899:42639709:1 gene:Sspon.06G0009980-2B transcript:Sspon.06G0009980-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLPRKLLPWRRPAQDAQALAGRLLRSSSSLSDPDDDPPFTRIPKHPPRAPSTPPPPKPKAVASKIRPDEPAHSDLPFDFRYSYSETDPAWRPIGFREPTRFSPFGPGRLDRPWDGAAAARVGEDASGEERSREEVLGEPLSEEEVAALVERYRHSDCSRQINLGKGGVTHNMLDDIHNHWKRAEAVRIKCLGVPTLDMDNICFHLE >Sspon.01G0007040-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:16661698:16666320:1 gene:Sspon.01G0007040-1P transcript:Sspon.01G0007040-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMDMEMPDPDELEWMESHGLVPEEEEDAYFDDPDEGFVLPPGDSDQPCDPQPQDSAALRANEASGGLKRPPPPPPPEQDEEEERSKRRNVEQEDSEDEDWLRYSPPPAPEIVVAEKTISRFASEIQGDSVPVTAPNGERVYAKLAMEGLVGRGISGTRQGAHFYNPNSNHKGLLSESFHSLTRRAEQEALAKALQESTDSIDRVACSVTPLVTEKLWVEKYAPNSFTELLSDEHTNREVLLWLKQWDSSVFGSHIRATGDDVLSALRRHSSTIQKNASNRNFFSKSKGGPVASQDDTPLNAQSSNPEGLGGSFSKKSSVDNTPEQKVLLLCGPPGLGKTTLAHVAARHCGYHVVEINASDDRSASSIETKILDVVQMNSIMSDSKPKCLVIDEIDGALGDGKGAVEVILKMINAEKNNNFARGTNGEETQVRKASRKSHRTAKLLRPVICICNDLYAPALRQLRQVAKVHVFVQPTISRVVNRLKYICKNEGFKTSSIALSALAEYTECDIRSCLNTLQFLNKKRVALNITAFDSQVIGQKDKSKSILDVWKQGFITQS >Sspon.02G0058830-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:84221358:84222831:1 gene:Sspon.02G0058830-1D transcript:Sspon.02G0058830-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGNGQKSKMARERNAEKNKAAKGTCSQLETNKKAMNIQCKVCMQTFICTTSEAKCKEHAEARHPKNDLYQCFPHLKN >Sspon.08G0003260-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:8756291:8757438:-1 gene:Sspon.08G0003260-1A transcript:Sspon.08G0003260-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGLSGPKLLVVHPSSNKSPGGAGSPGAVLGARRRVCAAVFLACFACVSLATTLLSAARDPGAAGAGARAAAAFAVPAGAAGAAAGATGEGLPGHVFDALVQYASAGGNSTASMPGGDVRAIAAVLKRRAPCNLLVFGLGGETPLWRALNHGGRTVFLDENQYYVSHLEGRHPGLEAYDVAYTTTVREFPDLLDAARAARAVECRPVQNLLFSDCRLAINDLPNQLYDVSWDVILVDGPRGYTATSPGRMSAIFTAGVLARTRAGEDATTDVLVHDYEREVERACSREFLCEENRVAETSTRSLAHFVVRGGSSARRDAFCSGAASRAAAAH >Sspon.01G0016560-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:83801639:83814438:1 gene:Sspon.01G0016560-2C transcript:Sspon.01G0016560-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRASRPAVHPVEAPPPAPAAAAQALGEGEGAAHPRGVRMKDPPGAPGTPAGLGLRLAQAFFAAAALAVMASTNDFPSVSAFSYLVAAAILQCLWSLLLAFVDIYALLVKRSLRNARAVCIFTIGDGITGTLTLGAACASAGITVLIGNDLNICAENHCASFETATAMAFISWVKKGRLIRIDVSKAVSKIKMKTFTVKQPRPAAKKPLQRTKLNRSKWGGTLRNQEEQEKIRLSDTFKLRSISTGKWSK >Sspon.04G0017080-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:13091486:13099294:-1 gene:Sspon.04G0017080-1P transcript:Sspon.04G0017080-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:4-coumarate:coenzyme A ligase, Lignin biosynthesis, Defense against woundin [Source: Projected from Oryza sativa (Os06g0656500)] MGSVAEDSAATAAASVVFRSKLPDIEIPRHLSLQAYCFERLPEASSRPCLIDGQTGAVHTYADVERLTRTAAAALRGLGVGKGDVVMNLLRNCPEFAFVFLGAARLGAATTTANPFYTPHEIHRQAAAAGAKVIVTEACAVEKVRGFAAERGVPVVAVDGAFEGCLELGALMDAAEPLADDEEVDPDDVVALPYSSGTTGMPKGVMLTHRSLVTSVAQQVDGENPNLYFSSDDVVLCVLPLFHIYSLNSVLLAGLRAGCAIVIMRKFEIGALVELVRAHGVTVAPFVPPIVVEIAKSPRVGAHDLASIRMVMSGAAPMGKDLQDAFMAKIPNAVLGQGYGMTEAGPVLAMCLAFAKEPFEVKSGSCGTVVRNAELKIVDPDTSASLGRNQPGEICIRGEQIMKGYLNDLEATKNTIDKDGWLHTGDIGYVDDDDEIFIVDRLKEIIKYKGFQVPPAELEALLITHPEIKDAAVVSMKDELAGEVPVAFIIRSEGSEISENEIKQFVAKEVVFYKRINRVFFTDSIPKNPSGKILRKDLRARLAAGIPSNDNTQSKS >Sspon.07G0012960-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:46247465:46249144:-1 gene:Sspon.07G0012960-3D transcript:Sspon.07G0012960-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAEQGPEPLRYQTLALRVSIHCEGCKKKVKKVLHSIEGVYKTDIDTQQQKVVVIGNVSADALVKKLLKSGKHAEPWPEPAAPAPPAAGSPPGPGGSPGSGGGKKKKKKKSNKSPAAATNNKPAEPAPAPAEGNGGPRPPEQHDKADGGASCDEASDGEQDKPEAGGGGNGSPDAGEAHESGASGKVAPSAMTPHGPQPIAPAANGNGGGKKKGKKGGHGNGNGNANANGDGGAGAGAGAIVEVHPPPDAPMKPTAGNSGPLTVVDAGPYPPPPPGAAMSYPGYYAPGGVHPPAYVMSYSSAHPSSALRSSAYYHPMAGAAYTPGAAGGCGGYFYSTAPVSAAPGSYYLFSEENANACSV >Sspon.02G0027250-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:107582760:107593883:-1 gene:Sspon.02G0027250-4D transcript:Sspon.02G0027250-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGDLIFYRSMNFLYRTTQPAAPELPRISEQDHHRDALQKPTTTLEGLIADDSYQPPSACSEDGAANNGSRDTSGDPSSIDSKSLVPLGTHADVAEDEGWITIPCSSLSGEQVHILVCLSASKQDVQVISPFRIAAVMSKNGNSLQNSTNKSSPVSANGHDNGAAGESGYQDAELNGEASPSEHDILETQSLLQMEDHKQQIEHVLRRFRESNFFVRIAESDEPLWSKKRVTSATTADERSDNQGNSKSSKSNVYNTISDKGIFDGSTSGGVARDVVKCYSLQNGDIVVVLQVNVGVNKLEDPVLEVLQFEKSISNNCMPQNLVDGLSDSNNDPCQELLSWLLPLDRTLPPRSLAPPTLNPSVSHKQSYSASGSQIFNFRSYSMPSASSVQTPNNIRPPPISESQEFMPEKPAKTPDIINDGQLSFRGVPLEPERYSVRCGLEGVYLPGKRWRRKVEIIQPIEVHSFAAKCTVENLLCVTVKNIAPTHAKDIVVFIDAITIVFEEASKGGAPLSLPIASIEVGHGHSLPNLALRRGEEHSFILKPATMSSRERKTSGYAPPALSLPTMTGATLNTHTPKAGEPYADLSDQYAVLVSYRCNYTESKLFFKQATSWRPSAASDLMISVSSELSLRNPSLGARVPQLPVQILTLEATNMTSENLTLNVLAPEASGSSSVVSLNSAPTTPNGSFDGVNESAKKSGLGKHGIGFRRLNSVLATSPKEGDNGGNRMSNASGCTHLWLQSAVPLGCVPPRSSTTVKLELLPLTDGIITLDTLQITAREKGLAYIPEHSLEIHATSGMSSGRS >Sspon.08G0006560-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8B:18080312:18081844:-1 gene:Sspon.08G0006560-2B transcript:Sspon.08G0006560-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRVAVVVGGSVAGLACAHAVAGAGWELVVLEKAAAPAAGNGTGAGLGLDPQSMETLSRWIPGWGTDAATLPLAVDLNRATDSETKAVRTLARDEDLNFRAAHWGDLHRRLHEELPPAVTVLWCHQFLSFEAPDDTRGIVATARVLRTGETVEVTGDLLIAADGSTSSVRRSFLPDLKLRFSFLRIWFDDLVQSYPFLTHRGRDRYSGYCAWRGVFDFSGKESCDTMTSIRRAYPELGNCLYFDLAYKTHAVLYELPRSRLNWLWYINGPEPELTGSSVTMEATDAMVARVRDEAERAWCPELARLIRETAAPFVNVIYDADPLPRLSWAGGRVVLVGDAAHPTTPHGLRSTNMSVVDACTLGQCLAKWNSEPTPARALAEYEAVRLPVVAQQVLHARRLGRLKQGLPVDSEAEEGFDVTTAKAEVLQLRQRTMPFFDGAPAGGGFPLVGRTR >Sspon.01G0001310-3P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:37583415:37588698:1 gene:Sspon.01G0001310-3P transcript:Sspon.01G0001310-3P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEHLLSTAVHDTLPGNYVRPESQRPRLAEVVTGARIPVVDLGSPDRPAVVAAIGDACRSHGFFQVLNHGIHADLIAAVMDVGRDFFRLPPEEKAKLYSDDPARKIRLSTSFNVRKETVHNWRDYLRLHCHPLHEFVPDWPSNPPDFKDTMSTYCREVRELGFRLYAAISESLGLEASYMKETLGEQEQHMAVNFYPPCPEPELTYGLPAHTDPNALTILLMDQDVAGLQVLHGSKWVAVNPQPGALIINIGDQLQVTHDDYSLCRPMSSWATGSAEQRAVPECVAPAVVNSDRERMSVASFLCPCNHVVLGPAQKLVTEDTPAVYRNYTYDEYYKKFWSRNLDQEHCLELFRT >Sspon.06G0026900-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:81424529:81425555:1 gene:Sspon.06G0026900-2D transcript:Sspon.06G0026900-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQKSETMQRPEIRRPLDGLEDTLRQAYMLVVSSQQSNIMYRFLMAGTQAQKFRDIRDRIDSYLRLYPLVSHIDTREFITRLYSRAHPSQPQALDEALESSASLANPNN >Sspon.02G0031580-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2B:108591019:108594998:1 gene:Sspon.02G0031580-2B transcript:Sspon.02G0031580-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRALSLQSLAWWGPPAASATPPDSARGGGRRRHPPAIADYSHNPRFPGAGLSHARAHAMLRHLTSNPLLPRRCRLLLHPNRLRATATSSAAAGEIAPAPAANETPLEDDLAEELRSRLVRDTCRLLELRDSWSAKLEAQLRHFLRAMTPPQVCAVLRAQAQRDARAAFEFFCWADRQWKYRHAPEVFDEMLALLSRTRLHDPARRVVRLMIRRGMRRGTRQFAHLMLSYSRAGKLRSAMRVLQLMQKDGCAPDICICNVAVNVLVVAGRVDKALEFAERMRRVGVDPDVVTYNCLIKGLCSARRIVEALEMIGSMLQNGCPPDKISYFTVMSFLCKEKRVAEVRNLLERMRNDAGIFPDQVTYNMLIHGLAKHGHADEALSFLRESEGKRFRVDEVGYSAIVHSFCLNGRMAEAKEIIGEMISKGCRPDVVTYSAVVDGFCRIGELEQARKMMKHMYKNGCKPNTVTHTTLLNGLCKVGKSSEAWELLNKSEEEWWTPSDITYSVVMHGFRREGKLKESCDVVMQMLQKGFFPTTVEINLLIHALCKERKPADAKDFMEQCQSKGCFINVVNFTTVIHGFSRQGDLESALSLLDDMYLTNRHPDVVTYTVVVDALGRKVEDLLNLLDKMLTKQGFSSAYNQVIEKLCAFGKLSEAYNLLSKVDSQLALVGEKQAAGKLITKFVERGVNMHNGEEVTVNLVYDETTSA >Sspon.02G0001170-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:4178954:4181269:1 gene:Sspon.02G0001170-1A transcript:Sspon.02G0001170-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAVSPPLPSPHLHHQGPDPDHLLRLVDALCASGRSTEAHRRASLLLLSPACRLDARAAEAILRADTPLLTLRLLQLLPASAPSLPNRNRLLAHLCRAGHVLLAHRLLLRMGAAPDAVSYAALLDGYARLPDPRAARRLLDEMPERGVAPTSLARSFLAKALLRARDVDAAMTLVDTALWPPSAAAGGGEDQEITNAAFANLVQCLCAEGFFHVVFRVAEEMPQRRCGVGDDFAYAQMIDSLCRAGQHHGASRIVYIMRKRGMRPSDVSYNCIVHGLCTSPKPGACLRAHQLVMEGMSFGYRPREVTYKVLVDELCRENELAKAKDVLELMLQPTVRCGQDSSGDAGEETRTRLYNVFLGALGEETRTRIYNVFLGALRVVDNPSEQLGVLVSMLQAGCKPDVITMNTVIHGFCKSGRNQEARRIMDDMLSGKFCAPDVVTFTTLISGYLGAGDHAEALEVLHSLMPRRRCSPTVVTYNCVLKGLFGIGQVDTAMQLLEEMSANKISADSVTHTVVIKGLCDAGLLEKAKEFWDNVVWPSGIHDNYVYSAIFRGLCRQSKLEQACDFMYELVDCGVAPSVACYNILIDAACRQGSNKLAYQLVKEMKRNGLAPDAVTWRILSKLHHYDEEKQEGNHHRTFDVDRISTEDRVEPLVLTKDMMPLLPSSENFCEVNEDNNAAESEEEIGYLPDMASKAEAEDVGYSTKMTVEEAPDNTNATKEQGNCLMDNPTRGTTIDKGDITWGDGLKNSNNQHSVREPLSTVAKKVFGLL >Sspon.04G0027400-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:61656601:61660910:-1 gene:Sspon.04G0027400-2C transcript:Sspon.04G0027400-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPAAAARKPSAASTVALTLTLALASAGLLFLLLRLSPSSPSPTAHPHRRLRLRARAHAHAHHHQIPFDPVVADIERRLEDREWERLAAAGLHAPGMEAAPVPEDLTDGEADADEDYINDAARFNVTLRVEALFPKIDIDPADGAVTGAELAAWNLANARREVLHRTARELELHDRDHDGRVAFGEYERPSWAWRFDDHNSTNDGVGWWKEEHFSAADMDGDGFLNLTEFNEERDKDNDGKLNFQEFFSGLFYSIRHYDDKGITDDTGGSDAPAKKSFSHLDLDNDGLLSADELKPIIDNLHPPEHFYAKQQADYVISQADTNKDGQLSMKEMIENPYVFYNALFTEDDYGFHDELRYPKLYGITTVGSRRTVMHCFYHFHSLSEFSHHLMVIPCKKKHVFRCTCSRSLCVLL >Sspon.01G0040800-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1B:43012015:43012212:1 gene:Sspon.01G0040800-1B transcript:Sspon.01G0040800-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MECPSPVRQGDGEIDWAQAARKYVEAVSSGDEATAVRTTLEVKRLVSHAPDPAVWLAVRALVGLLA >Sspon.07G0006510-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:14134441:14136437:1 gene:Sspon.07G0006510-4D transcript:Sspon.07G0006510-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding PLSAAEADILRLQPLEAEQGSKVFYAARGYGSGDSERMATHFVLNTGAKIPSVGLGTWQADNGLVGDAVYAAVKAGYRHIDCAQAYNNEKEVGFGLKKVLDEGIVKREDLFITSKLWNTNHAPEDVPVALDGTLKDLQTDYVDLYLMHWPVRMKKGAGFGPQSVVPSDIPATWAAMEKLYDAGKARAIGVSNFSSKKLADLLAVARVPPAVDQVECHPVWQQGKLRAFCVSNGIHLSAYSPLGSPGTMMVKAGAVLEHPVVVSAAEKLGKTPAQVALRWGVQMGHSVLPKSTDEGRIRANIDVYDWSIPEDLLAKFSKIEQEKLIRAGFFVDPEGVFKSIEEFWDGEI >Sspon.06G0000520-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6B:950940:951818:-1 gene:Sspon.06G0000520-2B transcript:Sspon.06G0000520-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSIHIHHPRLAGDEIYRTLESSYSPSASYCSDDAFVPVFRPDPSAPSASASAAVAAAADRVRSLFSSVDVALFRDALFAPGCDGAGDSDRDGLGFTDADAEAAEYDGDLTSICWDCLEIEDADDPLVASPAEEFEWEEVASPSGAAAGEAPDPEWEVLADVPPPPADAEEGFVYTSHHREEAAAYEVLVAGGEGLFLKNKPPAARSAVEALPSAVVAAGQEGEGDECAVCKDGVAAGQRVKRLPCSHRYHDDCIVPWLQVRNSCPLCRYELPTDDPEYESWKAGRTVAA >Sspon.01G0048400-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1B:108826386:108826593:1 gene:Sspon.01G0048400-1B transcript:Sspon.01G0048400-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LAVHAELCSGPQVIILWAGPPPMARPMCSHEGIRVYTPTSTLFFTLGCKREDDESLRVYIRFFTQRKN >Sspon.07G0000700-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:1575048:1577921:1 gene:Sspon.07G0000700-1A transcript:Sspon.07G0000700-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYYGSSSSGGRSSRRVDYGRTYVVRPKGRHLATIVWLHGLGDNGASWSQLLDSLPLPNIKWICPTAASRPVAAFGGFPCTAWFDVEDTSIDGRDDIEGLDASAAHIANLLSSEPSDVKLGIGGFSMGAAVALHSAACYAHGKFASGIPYPITLNAVISLSGWLPCSRTLRGKMESSHIAVRRAASLPILLGHGRVDEVVVYRNGERSAEILRNSGFSFLTFKPYN >Sspon.08G0002320-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8A:7019519:7021199:1 gene:Sspon.08G0002320-1A transcript:Sspon.08G0002320-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAVLWAVTATVKSDTFCMTGMIDARFLFSTSVNSTRRRAFILPSSKEML >Sspon.06G0023640-2D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6D:42819478:42820314:-1 gene:Sspon.06G0023640-2D transcript:Sspon.06G0023640-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MANEQSGSLLRRWRPFYGAFGAIDDAIEEAGGHPRAAFRDVRVRILQLLRGATDDGVAEQLCGALDEAMAEALETLRVAPVPHSALASTDLERTVGALEKHGSARIRRLAGDVVRGWRAANVTTTTSVKEELDKRSADDRIPGQIISAVIADGNARGHKEKLHIQPAKMLPAAEVHKKKLQIPPAKMLPTVPVAEAYKKKVYVPPAKMLPTTATVSIPNTSETKKPAVDESKKMEATKRKLREGYQEAEKMKRQHTIQKINDKEAAKMFEQKQRKMHPV >Sspon.05G0027250-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:50724844:50726818:1 gene:Sspon.05G0027250-1B transcript:Sspon.05G0027250-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWQRLLLLAAAVALTVTAAGAAFQFEEATLDSIQDGFKNGSLTSTALVRYYLDQIARLNPQLHAVIEVNPDALRDAARADAERASGDDYHRASPSSGGLLHGVPVLVKDLIATRDRLNTTAGSFALLGSVARRDAGVVARLRRAGAIVLGKSNLPEWGNMRSARGLHGWSARGGQAMNPYVLEMDPCEASTGSAIAAAANMAAVTLGTETIASILCPASVNAVVGIKPTVGLTSRSGVIPFTLRQDTVGPLCRTVADAVHVLDAIVGYDALDAEATMAASQYIPKGGYKQFLKIDGLCGKRIGIPNGFFHFETQTVMTTVYKQHIETMRRYGAIVIENLDIANLSESRDNTKSGFLVAAPAEFKINLNNYLSNLSDTPVRSLAEIIAFNAIHPVEEKLIEYDQLLLLLSESTTGIGPLQRAAIHRMEELSANGVEKLMKEHQLDAIFTPDSSVATVLAYNGLPGIEVPAGYDENGVPFGVTFGGLRGYEPRLIEMAYAFEQATKVRRPPTGLESECTQ >Sspon.08G0009150-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:35337165:35347211:1 gene:Sspon.08G0009150-2B transcript:Sspon.08G0009150-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKRERENPCGICGHYHKYEEGEVCGVCGHRPPAASPAGARQQDSAFPSEILKEFLFLGSYDNASRSELLKTIGVSHILNVNHFPIGSFCNSLLDEVERLEIGTILSMGERAETTTAQQQLIDYETKLFGSNNVSIPAQPFAPVDSFPSLGFGFPKPAGDIQVPTFDQQAPASIFERVTPNNFPSNFTFGAERTNEVKLPDSNNFGTSELASGSIGMLSGLYLPYLVPEALEVWLHKSAYFIKSVNGVQSPLEFKSGFDV >Sspon.02G0043750-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:96519319:96521688:1 gene:Sspon.02G0043750-1B transcript:Sspon.02G0043750-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPRAASAVGRVCEECIGERESCALVEKLNYQNEDIHVYFVRGKEAPKSIKEACSKAVVVADRRTSKRSRRATSGNSISLKVSGSTSIYQLKLMIWESLGIVKENQKLHKGSVEIEDDLATLADKGVFPGDILWVRDSEIYENRDIADEISEQKADMLQVEEGFRGTLLTSGVSVQLCQDITFSE >Sspon.08G0026440-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:22575420:22582825:-1 gene:Sspon.08G0026440-1C transcript:Sspon.08G0026440-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding IDLAIRIKPDPQAIKNSPPHPFDFPSAPVPISLISLRRPLAQRHRTLRGAVEHTGVQRGCRPGAAPSRLFQIQLEGLVLLRIQLEQLIHTFSGSRPPSISLSPGSGCGLARPLNLAVLSGLTLVTILDEGSPHTSGRGFTTPHHPTRGLPEDILMKIFATLEVPDLVRAGSVCSSWLHAYNCLRKLGASIQPQTPSLIYTNKSSGPSAAGFYSLVENKPYTFTLPDPPIRSRYLIGSAYGWVVTADERSELHLVNPITRDQIALPSVTTIEQVKPIYDSKGAIHMYKYSRYTGTHGELDPPSVLRLDKLRDYIFIKAFLSSDPSTGNYIVVLIHHPYWQISFARSGDEHWTWLPYHCRYTDCAFKDELLYALLVNGGIHAYNLCDPVVKPRVVMEGLKSGLPPKVYIAQAPCGDLLQIWKVSEYSDQEVEDVSEPDLDPPDDDEYFSVSEDESESEVDTVPYRHYITVFKVYRVNLTAMKLVEISCLGDNILFLGLNQSICLCAKEYPQLKGNHVYFTDDDEYMSYGFKNKGRKMGVFNLGNKISEKIVSPRIWSNWPAPIWIVPNPRKMNSAFLRVIIKGRPFWWILSSFADKAPEKQAESSSKGDPSGDTFDPSQYEENDMDPLALAEMLFSMFPNVSTDFIDELLKANDFDINLTVDMLHDDAGLPDGDYHHAEVSESSSNLNQDLQNEKSATTDVKSVLPKLSNISLLHNDLGLPADDKSAGTSVANLVLHVF >Sspon.01G0017880-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:68532110:68533920:-1 gene:Sspon.01G0017880-2P transcript:Sspon.01G0017880-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKDGSGSQDLGHRHRFWPMLSYACGELCVIILLYVAALASYAATRLARICGLRPPCIMCTMLDRALHGKPWFSGDLVCAVHRSEISSLAHCKSHDQLACSGDLCKACLLSCKAVGVGEEVNSRSASCRSTRLCSCCSDPFKNARSAQKHSEAANAVESWNSVPADHPNEKTFVVGIEEVHESDGSPGSHGKSRKDSAPSANVGTAKSNYRSAAPTRIAVDRNGSVKNASVPRVNLTSPRPSEIISARDSNSTTQQEVKALLSQISSVRGLDSSSSEGSPSPGINALNEESNPTSKRPYLERNYSVLEPSDGSLTDDVEGESSLENVKKQLELNKKSMAALYKELEEERSASAVAASQTMAMINRLQEEKAAMQMEALQYLRMMEQQADHDHLAIQDLHDLLTEREKELLDLDAELAHCRRLLQNDPFNGDRLDGTDTLNNTDFVGSAMLHFEDDKAYILESLSRLEENLGISTNRIASGDARNSQEDILFEDHTRADGSPSNSDKLSGVASLKIEISLLNIRLRALEEDQEFLKQVLSSLRCGSDGLQCIQEITSHLAELRRVVTH >Sspon.01G0055850-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:83265931:83269483:-1 gene:Sspon.01G0055850-2D transcript:Sspon.01G0055850-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNIFKKKVDPKEALRTSKREMAVATRGVEREIGSLQMEEKKLVAEIKKTAKTGNEAMYAGTSISAGMKGASKAMAAMNKQMEPVKQIKVMKEFQKQSTQLDMTLSHIGMGVSMQLEMMSDAIDETLDKDEAEEETEELTNQVLDEIGVDVASQLSSAPKGRIGATNKKVDNSQARNAAAPARNVAAPPESSAEVDDLERRLASLRRI >Sspon.02G0056420-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2D:26203992:26210789:-1 gene:Sspon.02G0056420-1D transcript:Sspon.02G0056420-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKPTAGEERVKRVKHYSSAQSILLVGDGDFSFSLALATAFGSSANLVATSLDTYCAPWVHRAARAPPSRMDATCMALSSCGSWKRRFRWKAKHGSAQSDASCLQPKTARLLSPYISSVPSFPSQSRPMPAVAHIRNLGHGEETNPTPDIAAAHLAALRRSSSPPSADAGARSPPDSAPLLRSRPNGGGSSLHLLHSTTPPPSAAGRDAPCVLDIDGAATVAGGVEDTYGEDRATEEQLVTPWTVSVASSHNEPVSAFFSNASHLLGRYGEIHISHKTGHPYDSWDLGRLASESSLLLIEKVGFQKEDYPGYNQKRGDDERGRKQAAGRAAGFSFSDSDGMTRLPPRRGRAIRCRKDCYSACSAFEALRLPLRFKAAPRAWQWGWPRRRQGVKRFEVAEEEEPRPVERVPVPGMGIGNGAGPTDGVPAVTAVEGEEEEEKWLKDYSSMHSILTVGDGDFSFSLALASKFGSGALMVATSLDTYG >Sspon.07G0022280-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:7104794:7108603:1 gene:Sspon.07G0022280-1B transcript:Sspon.07G0022280-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Syntaxin of plants 32 [Source:Projected from Arabidopsis thaliana (AT3G24350) UniProtKB/TrEMBL;Acc:F4J6K6] MVAKRTSVFDDPTVEIQELTAVIKKDITALNTAVVDLQALCNSQNESGSLSKDTTNHSTTVVDNLKNRLMSATKEFKEVLTMRTENLKVHENRRQMFSSSAAKDASNPFIRQRPLVARDPSESSVPPAPWASDSASTPLFQRKKTNGDHGASSSSSQPFAQQQQLAVQQDSYMQSRAEALQNVESTIHELSNIFTQLATMVSQQGELAIRIDENMDDTLTNVEGAQGQLLKYLNSISSNRWLMMKIFFVLMVFLMIFIFFVA >Sspon.02G0036850-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:31811470:31817142:1 gene:Sspon.02G0036850-2C transcript:Sspon.02G0036850-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVMEGKGDASVTPVRTSDRLRQRPKYYGRGYMYYKPAMRKKVKSKKRTTASQIVKKLLRKPAAPNLRRSTRKRRISVNLEGYDTDSSSMEDDDLMRPRYRSSKSKGGNNAAHDEVSARPKRQKLSNSIPRREGLRPRRSLRGQRLDPYHESEDDQESSEEQGAEDQRENGNEIEEDVGDEEEVDGGDEAEGDGDDEDGEEEQEGRRRYDLRERSEVRRPSPRKEGKHRPQSPRRVLVHGIGPKNSKYLKKGGSRMHKRPRFSLPDDSDDSLLVDEPDEGPSMPWMRSGRGGMPWLMGGLDMHSPAAWGLSVGASGWGHQGDTSTSLMPGVQTAGPSSKGGADIQPLQVDENVSFKDIGGLSEYIDALKEMVFFPLLYPDFFANYHITPPRGVLLCGPPGTGKTLIARALACAASKAGQKVSFYMRKGADVLSKWVGEAERQLKLLFEEAQKNQPSIIFFDEIDGLAPVRSSKQEQIHNSIVSTLLALMDGLDSRGQVVLIGATNRIDAIDGALRRPGRFDREFYFPLPGYEARAEILDIHTRKWKDPPPKELKMELAASCVGYCGADLKALCTEAAIRAFREKYPQVYTSDDKFVIDVDSVSVEKYHFLEAMSTITPAAHRGSIVHSRPLSTVIAPCLKRHLEKIMEQISDIFPFLSSIDFSKFSALSYGSSIPLVYRPRLLICGGESVGLDHVGPAVLHELEKFSVHSLGLPSLLSDPSAKTPEEALVHIFGEAKRTTPSILYLPQFHLWWDTAHEQLRAVLLTLLNELPSNLPVLLLGTSSVAFTDLEEECASIFSSRNVYQVDHPSYDDRLRYFSILFEALLSFQTEESRNKSKKQKSAIDLPKAPKEVEGPKVSELKAKAEAEQHAVRRMRMCLRDICNRILYNKRFNVFHFPVSEEEVPDYRSIIHKPMDMATVLQRVDSGQYLTRAAFMKDIDLIVSNAKTYNGDDYNGSRIVSRACELRDVVQGMLSQMDPSLVSFCDKIALQGGPQQVVDDEDSSILQAAPVAQLVSGTRLSARLRNVQPEVDLSQSYEVLKRQKKSAENEQSMAKDVAARDEKSLEDVDLSKPTDPEEAAKEPESNGTTKEANDSPAEEPEVPTPEPMESDNGQVATTVATGDDLLGQLEALKQRFMELTASYGVPQLERLYSRIMKGAIELTSKESNEDHRRLVVRYLLTFIENSNSF >Sspon.04G0002750-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:9401838:9403658:1 gene:Sspon.04G0002750-3D transcript:Sspon.04G0002750-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVAGILFTDLLRVSGNSDLPVWFEAGAAKFEFANTTALFFVQLLLMGFAETKRYMDFKNPGSQAEEGTFIGLEAALAGQQPGYPGGPLFNPLGLAKDIENAHEEKLKEIKNGRLAMVAMLGFMVQASVTHAGPIDNLLTHLSDPFNKNIIHAFSSS >Sspon.08G0008930-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:39035781:39045321:-1 gene:Sspon.08G0008930-1A transcript:Sspon.08G0008930-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding NPTGTQTGTIAQFARSALQPQLKGFTVFLSRPSPPPAPILLPPFLLGLSPPQFPPKKLSHCRLLPGFTISPRCERGAGHGGTQAELQASLEAHVLVLHPGQVYNGEIASLLAMVVAFGRVENGVMNDAMHLEKFGHNLKMDLPVNASATDKFKPQKLDYFLILDLEGRVEILEFPVVMIDARSTEFVDSFHRFVRPTAMSEQRTTEYIEGKYGKFGVDRKWLGEKREVFFSIYT >Sspon.08G0001150-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:2316343:2319031:1 gene:Sspon.08G0001150-3C transcript:Sspon.08G0001150-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVQQASGDDRRSIRMMRNRESALRSRARKRAYVENLEKEVRRLVDENLKLKKQCKELKLEVAALVLPTKSSLRRTSSTQF >Sspon.01G0019320-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1A:72471892:72473650:-1 gene:Sspon.01G0019320-1A transcript:Sspon.01G0019320-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VNENSENPYWKAIGYSVHEPREDPTRTEATPSPSRPLDVGVVETRLLNDNALLRSLVGRSLTVKPAASDANHHTVQCDAVIVGSGCGGGVAAAVLASAGYKVVVVEKGDYFTAEDYSSIEGPSMERLFERGGIFCTSNVTTMIFTGATVGGGSAVNWSASIRTPKEVTQEWAREHGLPVFASPRYVEAMDAVCARLAVTDGCREEGFQNKVVRRGCEALGLCADAVPRNSSDGHFCGSCNFGCPTGDKRGTDTTWLVDAVARGAVILTGCKAEHFVFEKNRSSGRGRGKKCVGLVATCASNGVTKKLRIEAKVSIAACGALMTPPLLRNSGLKNRHIGSNLHLHPVSMAWGYFPENKQDPPLPGKCFEGGIITSMHRVTERTIIETPALGPGAFAAMVPWESGRDMKERMRRYSRTAHAFALVRDRGVGFVDGEGRVRFTPSREDIEELRNGLRRTLRILVAAGAAEVGTHRSDGLRLRCKGLRDEDLEAFLDEVTIDKGPMHSRTDKWALHCSAHQMGSCRMGSSPKDGAVDGRGESWEAEGLYVCDGSLLPTAVGVNPMITIQSIAFCLSKGIAETLAQDKGQ >Sspon.02G0027550-4P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:126172383:126174383:-1 gene:Sspon.02G0027550-4P transcript:Sspon.02G0027550-4P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLVKDISGAIGLMAVALVLLGTWPVVLAVLERRGRLPQHTFLDFSVTNFLAAVLVALTFGQIGPDTPATPNFLTQLTQLQNNWPSVLFAMAGGVTLSLGTLATQYGWAFVGLCVTEVMASSLKVVIEIPKDLEEAKPDAAEATQALEKVEAGTAQFLVDLEEKRSIKVLGSHTLLGLGIVVFAGVFYALFAPAFNLATNDQWHALPAGGAVPHLVVYTAYFYFSLACLAVSAALNVWFLYRPIMAGVPSSTVSAYLRDGGEGRALAMLAGMVCGLGNAFTFMAGQAAGYAAADSVQALPLVSTFWGVVLFGEYRRSSRRSYTLLASMLLMFTVAMVLLMASSNHRKPL >Sspon.01G0048270-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1B:108018775:108019182:1 gene:Sspon.01G0048270-1B transcript:Sspon.01G0048270-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLARRTVAKNTTRTTPAPHLGQEGNEHARTPVAIGPNPIESNREGNLPALGAIIDKESISQSQPSAYPATSRRPSSYRCWCRPVRATRSHMPPAPPPPAPPPLYPAGVVGGQCGEGERGRRDLCGARERKERRGG >Sspon.02G0014850-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:42526711:42529865:1 gene:Sspon.02G0014850-3C transcript:Sspon.02G0014850-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTAASSWRLLSPASSSPPPCPQKLPKRQATFAASPLQAQQSASSSAKFRLLCLLHDKPAAPTTSVQQSSSQLQRLATVLQCGAVWAAVEAPAALATVTGEEDLDLLGILPPIAVFAVFYLFVAPPLLMNWMRLRWYKREFVETYLQFMFTYLFFPGLMLWAPFVNFRKFPRDPTMKYPWSKPKEGTPLFKDRYPPIDSYKP >Sspon.01G0034030-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:18065183:18073053:1 gene:Sspon.01G0034030-3D transcript:Sspon.01G0034030-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable mitochondrial import inner membrane translocase subunit TIM21 [Source:Projected from Arabidopsis thaliana (AT4G00026) UniProtKB/Swiss-Prot;Acc:Q1G3L1] MASRVTRLLLHRRLATAAEASARRAPQAPCAGAAVSKDVSKAEASSLKNSRWYVTRSNTSGPLTTRYECRKVFPCSVRPCASYSTQASDQKAKQEGKDLSTVEHPFDDITYNIPEKPVTFTEGASYSLVILAGLGIAGVAGYAVFKELIFEPKEYKIFGKALARIQSDSQVTSRIGHPITGYGQETRNRAARQRIPNKIWTDEDGVEHVEVNFLIRGPHGAGKVYSEMFKDNSDRTWKFTYLVVDIVSPPHAKTQLMLESYLPA >Sspon.02G0036390-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:51473964:51477823:-1 gene:Sspon.02G0036390-1P transcript:Sspon.02G0036390-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDSFCPDCKKQTEVAFDHSAGDTVCTECGLVLEAHSVDETSEWRTFANESNDNDPVRVGGPTNPLLTDGGLSTVIAKPNGAQGEFLSSSLGRWQNRGSNPDRSLILAFRTIANMADRLGLVATIKDRANEIYKKVEDLKSIRGRNQDAILAACLYIACRQEDRPRTVKEICSVANGATKKEIGRAKEFIVKQLEVEMGQSMEMGTIHAGDFLRRFCSTLGMNNQAVKAAQEAVQRSEELDIRRSPISIAAAVIYMITQLSEDKKPLKDISLATGVAEGTIRNSYKDLYPYASRLIPNTYAKEEDLKNLCTP >Sspon.01G0012650-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1B:27088552:27089169:1 gene:Sspon.01G0012650-2B transcript:Sspon.01G0012650-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDAENLFNEMLEYGCKPNCTIYNILLNGHRIAGNTENVCQIFEKMVEQGINPDIRSYTVLIDTLCTAGRLNDGLSYFRQLLELGLEPDLIIYNLLIDGLGKSERIDEAVCLFNEMKKKGIIPNLYTYNSLILHLGKAGKAAEAAQMYEELLIKGWKPNVFTYNALIRGYSVSGSTDNAYAAYGQMIVGGCQPNSSTYMQLPNQL >Sspon.06G0013450-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:57966801:57969793:1 gene:Sspon.06G0013450-3C transcript:Sspon.06G0013450-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SWR1 complex subunit 2 [Source:Projected from Arabidopsis thaliana (AT2G36740) UniProtKB/Swiss-Prot;Acc:F4IP06] MDAGDDEPPVLLNRAARATRGKRITRLLEDEVEQDEAFWSQEALKDEENDDNYVEEQDAGDEFDSDFGEDESEPDDEPEKEERERLPIKKRLMFPGKTLRKTNVKKKKATPKPEDDAKADKSADKPSSSTQADVPDELEVEKTIRKSTRTSVIVRQAEREAIRAEKEATAKPIKRKKEGEEKRMTQEEMLLEAAETEIMNMRNLERVLAREEEVKKKAVVHKDTYEGPTVRFFSRDGESRLEFINGATFGSELCTTSSPYPEKPVCVVTGLPAKYRDPKTGLPYATMEAFKKIRESFLKEEADRKRPNMSNMGELFESITGEHLMPKKRRVEIRPPNIPGGLRHGGRFWCIPALDMVDED >Sspon.06G0000880-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:781921:784089:-1 gene:Sspon.06G0000880-4D transcript:Sspon.06G0000880-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHTAHRTQRIHPLPPLSSSPPAPAPFSSSPRRSPPPPGFLTHVLGAGHFLLQKMAWALHSPCFMAPLLWVTLLLVVVTPADAFYLPGSYMHTYSQGELIYAKVNSLTSIETEMPFNYYSLPYCRPKGGIKKSAENLGELLMGDQIDNSPYQFHVNANESVFLCTTKGLNENDAKLLKQRTRDLYQVNMMLDNLPVMRFTEQNGVTVQWTGFPVGYSPAGTSDDYIINHLKFKVLVHEYKGGKVEIIGTGEEGSAVISETDKNGMSGYQIVGFQVVPCSVKRNAKDFSKLNMYDKIDPVDCPVELKKSQVIRQQERITFTYDVEFVKSDIRWPSRWDAYLKMEAGAKVHWFSIMNSLMVILFLAGIVFVIFLRTVRRDLTRYEELDKETQAQMNEELSGWKLVVGDVFREPT >Sspon.03G0006080-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:24106057:24110002:1 gene:Sspon.03G0006080-2B transcript:Sspon.03G0006080-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVTPPPQSDPPPKTGRPASSAAAAAPAAKRGGGGAGAAGGLLMGKYELGRLLGHGTFAKVYLARHVGTGDNVAIKVLDKEKAVKSGLVPHIKREIAVLRRVRHPNIVHLFEVMATKTKIYFVMELVRGGELFSRVSKGRLREDTARRYFQQLVSAVGFCHARGVFHRDLKPENLLVDDRGNLKVSDFGLSAVADQFRPDGLLHTFCGTPAYVAPEVLGRRGYDGAKADVWSCGVILFVLMAGYLPFHDKNIMAMYKKIYKGEFRCARWFSKDLTSLLTRILDTNPNTRITLPEIMESRWFKKGFKPVNFYIEDDQLHNVIDDEDGLLDMGPAGPVHPPLPPPPPPLPPPKVDGDESGSDSDSSISSCPASVLSDESQRPRGSLPRPASLNAFDIISFSRGFNLSGLFEEKGDEVRFISAEPMSDIITKLEDIAKLKSFKLRRKDWRICLEGTREGVKGPLTIGAEIFELTPPLVMVEVKKKAGDNEEYEDFCNKELKPGMQHLVHHMVRAPSFKLNSSGMRLQTQLSTAQLTRRDRSRNKNLNQFHTTVVPQMMSPTSHD >Sspon.02G0028770-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:104622801:104623599:-1 gene:Sspon.02G0028770-1A transcript:Sspon.02G0028770-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PPD1 [Source:Projected from Arabidopsis thaliana (AT4G15510) UniProtKB/TrEMBL;Acc:A0A178UV94] MLSTSSILLLGPRQITLAETTGGAFREYIDIFDGYTFLYPKSWIQVKGAGADIFFRDPFVLDENMSVEISSPSSSKYTTVEDLGPPEKAAEKVLKQYLTEFMSTRLGVRRESNVLSAVSKVADDGKLYYEVELAVMPQDRVQSLEWDRRYLSVLGVENKRLYELRLQTPEQVFMQEEEDIRRVMDSFRVIKTA >Sspon.08G0010200-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:39477101:39485451:-1 gene:Sspon.08G0010200-2B transcript:Sspon.08G0010200-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAKKKRVAIVGAGPSGLAACKHALAKGFCPEVFESGTAVGGVWNRTLASTRLQTPASAYRFSDFPWPASAADEGLFPRHDQVAEYMAAYARRFGVLERVRFGCRVLGASYVGATEQEVAAWERWSGNGEAFGDGTGEWHLTVRHGDAESEGGSTQTYRFDFLILCVGRYGVAKLPTFPDGRGPEVFRGRVLHSMEYSAMAHADAAELVRGKRVAVVGAGKSAMDIAAQCAEANGSRYPCTMVYRSAHWMLDPKLAITFTSARWTELMVHKPGEGFALSLLATALTPLRWLISKLAEVYYKRSIPMQEHGMVPDGSFSQGSLGWRIGIHPEGFYDRVDDGSVALRRCRGSVGFCADGLVVLDDDDDVVVGADVVILSTGFHIDRPLRDVFASPWFGEMVAPDDGGAAAVLPLYRHCVHPRVPQMAVVGYVESGSSIYPYEMMAKWVAHLLDGAVRLPPVRDMERDVAEWARWGRWARRACGGFFLKSCIASVSTWYHDQLCRDMGHRPRRKAGLLAEWLQPYGPTDYAGI >Sspon.08G0000830-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:773937:778254:1 gene:Sspon.08G0000830-2B transcript:Sspon.08G0000830-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPYKHRPSSGSNSSFWTTNSGAPVWNNNSALTVGQRGPILLEDYHLIEKLAQFDRERIPERVVHARGASAKGFFEVTHDVSHLTCADFLRAPGVQTPVIVRFSTVVHERGSPETLRDPRGFAVKFYTREGNFDLVGNNMPVFFIRDGMKFPDMVHAFKPNPKTNLQENWRIVDFFSHHPESLHMFTFLFDDVGIPLNYRHMEGFGVNTYTLINRDGKPHLVKFHWKPTCGVKCLLDDEAVTVGGTCHSHATKDLYDSIAAGNYPEWKLYIQTIDLEHENKFDFDPLDVTKTWPEDIIPLQPVGRMVLNKNIDNFFAENEQIAFCPAIIVPGIHYSDDKLLQTRIFSYADTQRHRLGPNYLMLPVNAPKCAHHNNHHEGFMNFMHRDEEVNYFPSRFDPARHAEKVPIPTRVLTGCREKCIIQKENNFKQAGERYRSFDPARQDRFIQRWVDALSDPRVTHEHHTIWMSYWSQCDASLGQKLVSRLNLKPNM >Sspon.04G0033070-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:44617909:44619044:1 gene:Sspon.04G0033070-1T transcript:Sspon.04G0033070-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MITVDDLMRSCGGDSGGIPVPSGGDGRQMLAMGDHHQLTVSRIRTAVSMLNRRTGHARFRRGPVAEQHASSDQLHPPAESAAGGVALDFIKACEARFSASASGTSSSLPLTTLTSLTVTAGEGSLSNGRAQGRYLFQPVSGGGGSGSGHSAGKPLPLAVSMQQHASPDYTPGTALKNGKCHDRARSENDAGGKTHGDRCHCSKKRKSRVKQTVRVPAISPRNADIPPDDYSWRKYGQKPIKGSPYPRGYYKCSTVRGCPARKHVERDPGEPAMLIVTYEGDHRHDDQQNRATGGAPQTEHTTTTSS >Sspon.06G0007650-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:25186366:25204556:-1 gene:Sspon.06G0007650-2B transcript:Sspon.06G0007650-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIDWISDTKCYYGLVHISTGDLLRAEVSSGTEIGKKAKEYMDNGKLVPDQVVTDMVVSRLSQLDVQERGWLLDGYPRSFSQAQSLENLKIRPDIFIVLEVPDDVLINRCVGRRLDPVTGKIYHLTNFPQENEEISARLITRSDDTFEKVKSRLDTYKQNSEAILPTYSDLLNQIDGNCLAEVVFQEIDSLLQKICENTSANKLTKTNGKRADSADTDSKNEWRGIPTRLNNIPHSREIREYFYNDVVKATKLAIEDKKTRLQVCVQGSMGQGAFAGIPLQLAGTRKILEFMDWGDYGAKGTFINIGAVDSINYQMK >Sspon.01G0035790-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:14566266:14567597:1 gene:Sspon.01G0035790-1B transcript:Sspon.01G0035790-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASYDGDEDSGRGRPSQHRPSGGGSGDLASSAKLVAEAAKLALQDHSLEKVDKGRVAGAAADLLHAASQYGKLEGKPVGGYLEKAEEYLHQYGRKEGGGGKHQGEGEGKYGKKPGGGHGGGRYEEEEEEEEEGYKKKPTGGRYEEDEYKKKPGSGGGYGGGRYEEEDGYKKKPGSGGYGGGKYEDEDDYRKKPSSGAYGGGRYEDEDDYKKKPTSGGYGGGRYEQEDDYKKKPTSGGYGGGRYEQEDEYKRPPSGGGSSYGGGRYEEDDEYRKKPSGGGYGGGRYEDEDDYRKKPSAGGYGGGGRYEDDYSKKPVGGHGGGRYEEDDEYKKPSGGGYGYGASSGGHGGRYEEEDYKKKPSGHSGGRYEEEEGYKKTSGHSGGKYGKEEEDKKKKHGEDESEGGGIGDYLKLAQGFMNKQDGEGGSGGGMGDYLKLAEGFLKKR >Sspon.03G0021950-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:89534579:89537677:1 gene:Sspon.03G0021950-2B transcript:Sspon.03G0021950-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNTKGVEPVQRAAGLPLTALNHISVVCRCLESSLRFYRDVLGFVPIRRPGSFDFNGAWLFNYGIGVHLLQAEDPASMPPKKTEINPKDNHISFQCESMEAVQRRLKELGIRYVQRRVEEGGIYVDQLFFHDPDGFMVEVCTCDNLPIVPLVPEDHAILGLPQQPAAPACKRPAAAALRQQAPSPQQPLPVPVAVAVPTPAKAGGGGSCVGEVELEASIPACAMRSCPEHACV >Sspon.03G0017360-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:54348588:54352774:1 gene:Sspon.03G0017360-1A transcript:Sspon.03G0017360-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPYAAAKGGGADAGTGLEESMRRLGLGEDGEAGEEKLPERPGEADCAYYLRTGACGYGERCRYNHPRDRPAPVNGVGKTTGMVEYPERPGQPLCEYYAKNGTCKFGSNCKFDHPREGGFVPVTLNSSGFPLRLGEKECSYYMKTGHCKFGATCKFHHPELGFLTETPGMYPPVQPSPISSSHPYPHIANWQMGRPPVVPGSFLPGSYPPMMLPPTVMPMQGWNPYVSPMNQVAPAGGQQAVPAGASYGLSHQGPTSAVTYGSHYAQLYSSSGTSSSNIQEYAFPERPGQPECEHYMKTGTCKYGAVCKYHHPQYFSGPKSNCILSPLGLPLRPGSQPCAYYAHHGFCKFGPTCKFDHPMGTPNYSISASSLTDVPVAPYPHSFPVTPMPPYLPSSDLRPQYTLVKDSSANPPAPGTTYGPVGSISKVYAPHTLIRSPASAAAGMQAS >Sspon.07G0004900-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:11093951:11096340:1 gene:Sspon.07G0004900-3D transcript:Sspon.07G0004900-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLSSANRCCCKNILSCNLLRCACCCSWIRSVCGRTTSKATQEASDTKSKNKRKWVRGFCGGAVQEAEEPLTSEAKKKRKNTAMIPEPEKCKWTEKIWRKKKRKDEQNGLATLVKEISLSNSPKHRAAAGEILRIGNHNIPSRVFTFRELVDATNSFCPENLLGEGGFGRVYKGCIPDTMEVIAVKQLDKEGLQGNREFLVEVLMLSLLHHPNLVTLVGYSTDCDQRILVYEYMPLGSLQDHLLDLTPNSQPLSWHTRMKIAVGAARGIEYLHEVANPPVIYRDLKASNILLDGSFNAKLSDFGLAKLGPSGDKSHVSTRVMGTYGYCAPEYAMTGKLTKTSDIYSFGVVLLELITGRRAIDTTKPTREQILVHWAAPFFRDKRKFVKMADPLLDRKFPLKGLYQALAISSMCLQEEASSRPLISDVVTALTFLADPNYDPPDDIKDPLPITVPNIGIETNQNETEGGKEQLQQNQEESHERKNEEDSHERENEEDSNERKNEGDSHERKNEEDS >Sspon.07G0013580-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:54605662:54610214:1 gene:Sspon.07G0013580-2B transcript:Sspon.07G0013580-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVAVVESVVVVHNVAKRHNVGTLARSATAFGVAEVVVVGRRDVSAFGSHGATSHLRFRHFASLALARAYLKASLPVLMNAMWLCRVLLQDERGCDICGVEITEDAKPVTTHPFRRSTAFLFGNEGTGLSQKECDICDFFVYIPQYGGGTASLNVTVAASIILHHFGVWAGFPERGREGNKFIVADRPQGHSRGLYCTDSIEAVIEERKMRKENACDILEENGSSQSQESNGLDLMFTD >Sspon.03G0002870-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:11892172:11899519:-1 gene:Sspon.03G0002870-3C transcript:Sspon.03G0002870-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLASLARALGRSARSSRPRQLGGLRQPPAPPLPPPVHGGEGGAIGFARSYLTAASSAALGKPAAGKTVIGDTFSPAHSSDASSRTSPRRVHYENYYPKGKKEVPKGDGVTSLNPSISFQEFKNKLLEPGLVDRIVVSNKSVAKVFIRNSPLPKSQGQNSDTHISTTDVLGKPAPSRCKYYFNIGSVDSFEEKLEEAQEALGIDPHDFVPVTYVTEVNWFQEVMRFAPTAFLVGLIYFMGKRMQSGFNIGGGPGKGRGGIFNIGKATVTKMDKNSKNKVFFKDVAGCDEAKQEIMEFVHFLKNPKKYEDLGAKIPKGALLVGPPGTGKTLLAKATAGESDFMEMFVGVGPSRVRNLFQEARQCAPSIVFIDEIDAIGRARGRGGFSGSNDERESTLNQLLVEMDGFGTTAGVVVLAGTNRPDILDKALLRPGRFDRQITIDKPDIKGRDQIFRIYLKKLKLDNEPSFYSQRLAALTPGFAGADIANVCNEAALIAARREETQITMQHFESAIDRIIGGLEKKNRVISKLERRTVAYHESGHAVAGWFLEHAEPLLKVTIVPRGTAALGFAQYVPNENLLMTKEQLFDMTCMTLGGRAAEEVLIGKISTGAQNDLEKVTKMTYAQVAVYGFSEKVGLLSFPQRDDGFEMTKPYSNQTASIIDDEVREWVGKAYKKTVELITEHKEQVAQIAELLLDKEVLHQDDLTRVLGERPFKALEPTNYDLFKQGFQDDDDKSQAPAENAELPDDSSPPLGTQEVEAALYLRLYAMWILTLASVVLFSTKCPECDILSNGRHARRVKPACERRSWLLAVAVREEPCAEDIEKCR >Sspon.05G0005710-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:12525596:12528616:-1 gene:Sspon.05G0005710-2B transcript:Sspon.05G0005710-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKDDGVKVVSVENLKNPYEKQENFNMRFKLTLNKLYAWSLVSYERVVMLDSDNIFLQNTDELFQCGQFCAVFINPCIFHTGLFVLQPSMDVFKNMLHELAVGRENPDGADQGFLASYFPDLLDQPMFHPPANGTKLQGTYRLPLGYQMDASYYYLKLRWSIPCGPNSVITFPSAPWFKPWYWWSWPVLPLGLSWHEQRRETLGYSSEIPVVLIQAVLYIGVIAVTRLARPSLSKMCYNRRMEKNTTFLLSLLRVVAAWSILAAYTIPFFIIPRTVHPLLGWPLYLLGSFSLSSIVINVFLLHPMTVLTTWFGFIGALLVMAFPWYLNGVVRALAVFAYAFCCAPLIWASLVKTMSSLHVLIERDAFRLGEANQNAEFTKLY >Sspon.08G0016670-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:60521806:60522504:-1 gene:Sspon.08G0016670-2B transcript:Sspon.08G0016670-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSLRFLRPTKNGGTGGGGDGYFDGVALEVTVLSADSLRLPPSYSPLPRRLRPYVTVSSSDAPGSACSTAVAPSGGTVHAWGDTLVVPVGAGFLEGRAHVHVAVLSEPNCRLVGATPLGWCGIPAADVLDGLRPPRALRRLSYSLRCPRRGGGAPPGSPAWGHGVVHLAVRVLGLRDDAPATTTEPAAATTTPVQQGWCRVAMGIPVSGPSSAAASAVVGMPLSSWGASATS >Sspon.04G0032660-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:30223466:30227509:-1 gene:Sspon.04G0032660-1C transcript:Sspon.04G0032660-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VICLPARPRHHLPPAALPVTNSSRTKQDETFSIPLPPPFSPRRRLLRLPRPPARRRRPPEVNGGRWRGPRRPQRGRHSRPRGSRRVLEAWLRRRDRVRRRRGRGVSGHWMFSIRTGPC >Sspon.01G0013800-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:38008859:38014293:-1 gene:Sspon.01G0013800-1P transcript:Sspon.01G0013800-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSAMLQVFGQPASTDVARVMACLLERQLDFELVRTDTFKRGHNKLPEFVKMRARSKIPETPADRILIGSLHQVCMLDIYDAGSQRPTDSRDICRYVCTEFPRWCTRDLYGAGALERASIEQWLQAEAQSFDAPSSALASFHLAFAGVGAAPAPYVGGDVAAAAESERQLLRVLDVYDGALGRSAYLAGDEFTLADLSHLPNAHYLACSARGRALLASRGNVARWYAAISSRPAWRQVVAVQPRTAHYPVAFHPAHPEQPNLHTNICNAQHSTLCFIWQAKALAAAAAGPPAPPIQKMPVKVFGSPTSAEVARVLACLFEKDVEFQLIRVDSFRGPKRLPQYLKLQPHGEALTFEDGNVILVESRKILRHIAEKYKNQGYRDLFGPGALERSSIEQWLQTEAQSFDIPSADMVYSLAYLPPDMPLDGSRSGGGLGLPAAAAGPGMNPTHRQKMEEMLQLFDKSRKELSKLLDIYEQRLGEEAFLAGAKFTLADLSHLPNADRLTADPRSARLIQSRRNVSRWWDTISSRDSWTRVK >Sspon.06G0025750-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:71039626:71042547:1 gene:Sspon.06G0025750-1B transcript:Sspon.06G0025750-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MECNREEGLRAGRIALTKLKKKDFRGAQRIALKAQRLYPELENLSQLLAVCEVHCAAEAEINGNLDWYGILQVEATANDEVITKQYCKLSCLLHLDKNTLPGAQAAFKLVCIAHKILCDHMMRLLYDIKTQHVFRKMAKKATHLADSTHANKGDAIGHMVPSELILVFRTICPHCQKQFLFYQKNIFVCCDGCGKTFFAFKLHEEAVPLRFLPPAPYNAQVSSEMFSCHPNQYLDCTKLRNTGRDMDSRATMNATQKDEHVKWDDRSCDDGEGNFSETEDEVVQLSAMNQINSPVAAIDKDPTESMTLEPNLSREDACAVSNANTAGSSSIQRFDKRKQDGGTGSSHSMDSCKNKRQRIYGSIPNAKSSDNIMCNENAARAENQSSDHCPSEFDNPEEGNTAHEDCQQIYRKHTSDISTQRSAGKSMIACACPDIFNFETYRDANRFAVGQIWALYDKLDVMPRFYARIMHFDAHNHKIHLTWLEHEATNEEEENWTNKKLPIACGSFRLQPTVDTSQDRFMFSHIVAWTKGKKGNSYDIYPNKGEVWALYKGWGKQWSSDTDNHRSCEYEVVEVLSNMSADDGATVIPLVKIKGFVSLFARAKGMSSFLIPSSELLRFSHSISFYRTNGNEKIGVPRGFLELDTACLPADLDAAFSSVTLVSYMFLGNMTGCVFADLTTESADNKMDYEDEQIARKENPSEPHVCHSISADDSDDISSEQNTSMQKNAHSANESGDSSQQNCLSPNNYSYPDPDFHDFEEGRSCKKFKPGQIWAIYSDADKFPNFYAWIRKVGPEPFRVHLTWLEACPKSEQEKRWLEQNLSISCGTFEVRNWRTEYDTSTYFSHLVDARPTGMKWQFEVLPQVGQIWATYMNWAPGWVPPSDSACEYVIGEIIERNEAGTKLTVLTQVGGYRCVFEPDNTKGVLEIPAGENLRFSHRIPSFRLTEEMGGTLRGFYELDPASLPDVFLYRDT >Sspon.03G0032360-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:37258710:37259021:-1 gene:Sspon.03G0032360-1B transcript:Sspon.03G0032360-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKFFRFLKLVGVGFKARSESQGRELFLKLGYSHEVQFTAPPADRVHQFAGAVRSCKPPEVYKGKGILYIDEVIKLKPGKKQKK >Sspon.02G0025310-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:93216710:93218970:-1 gene:Sspon.02G0025310-3C transcript:Sspon.02G0025310-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPPAPFLLLLLLAALAGADDPYRYFTWTVTYGPITPLRTTQQGILINGQFPGPRIDCVTNDNLVVTVINALDEPFLLTWNGIKQRKNSWQDGVPGTNCAIPPGANYTYHFQTKDQIGTFFYFPSLALHRAAGGFGALNVYQRPAIPVPYPPPAGDFTLLVGDWYAAASPHVDLRNALDAGGALPPPDGLLINGAQSGNTLVGNGGGTYLFRVSNVGLRASVNVRVQGHALRLVEVEGTHPVQNVYDSLDVHAGQSLAFLVTLDQPPQDYAVVASTRFTGTNELTAVATLHYAGATARAPGPLPPAPAPFDYGWSLNQARSFRWNLTASAARPNPQGSFHYGAIPTSRTLVLASSSSAPVDGPRRCAVNGVSFVVPDTPLKLADNYNIADVIDWDTLPARPDAAGAEAAPRAGTPVLRLGLHEFVEVVFQNTESELQSWHLDGYDFWVVGYGDGQWNETQRQTYNSVDAQARHTVQVYPNGWSAILVSLDNQGMWNLRSAIWDRQYLGQQLYLRVWTPEQSFSNEYAIPTNAILCGKAAGLPH >Sspon.05G0019710-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:86459319:86473924:1 gene:Sspon.05G0019710-2B transcript:Sspon.05G0019710-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTRDKLNQQTGYSVVLPEKLQTGKWNVYRSAHSPLRLINKFPDNPEIGTLHDNFVYAVETFRDCRYLGTRICPDGTVGDYKWMTYGEASTSRTAIGSGLIYHGIPEGARIGLYFINRPEWIILDHACAAYSYVSVPLYDTLGPDAVQFIVNHATVEVIFCVPQTLSAILSFITQMPCVRLIVGRMSPQSFRPPKPEDIATICYTSGTTGTPKSSAFTFQTGEVGLSIFAQGAVLSHENLIANVAGSSLGIKFYPSDVYISYLPLAHIYERANQVALLHYGVAIGFYQGDNLKLMDDLAALRPTIFASVPRITNAVKESGGLRERLYHTAYNAKRHAMINGTNPSPMWDKLVFNKIKARLGGRMRLMTSGASPLSPDVMEFLRICFGEVLEGYGMTETSCVISTMNIGDRSIGHVGSPNPSCEVKLVDVPEMNYTSEDQPYPRGEICVRGPIIFRGYYKDEVQTREVIDEDGWLHTGDIAQGEYIAPEKIENVYSKKKNIFKLAQGEYIAPEKIENVYAKCKFIAQCFIYGDSFNSFLVAIVAVEPEVLKAWAASEGIQYEDLRQLCADPRARAAVLADMDSIGKEAQMRLLIDDFIHILYQLRGFEFAKAVALVAEPFTLDNGLLTPTFKVKRPQAKAYFAKEISDMYAQLRETELARSKLRKISAETACDMKININKTGGGCRPEFKFWKSKRIYDPDS >Sspon.02G0031200-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2B:107643000:107643432:1 gene:Sspon.02G0031200-2B transcript:Sspon.02G0031200-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLKMTLALCLSLLVMTLLLDPSCGNKEACDEWSSDTYRMLLLCSSKTCSKHCIGEGATRGKCGFLIFRSFCFCTKECD >Sspon.02G0046900-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:8952861:8955645:-1 gene:Sspon.02G0046900-1C transcript:Sspon.02G0046900-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHQYEKGLQLQSFTTKGLQEKGAQPKSPGHSYDRRYPRYYRGRSPSRSRSYSRSLSPQDPKHRLRERSYSGSPVDSRSRSGSPYEDGYRRSSRRERLSFPAPEKYRETICCTY >Sspon.02G0010100-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:22750020:22753066:-1 gene:Sspon.02G0010100-4D transcript:Sspon.02G0010100-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKQSSTRHGALEKLKSFRGIEKQRSFKFLSMEKQQSFKRNKDSPGKRGDTELHLAARAGSVAHVQRILAECDPELVVELAARQNQDGETALYVSAEKGHVEVVCEILKASDVQSAGLKASNSFDAFHIAAKQGHLDVLKELLQAFPSLAMTTNSVNATALDTAATQGHIDIVNLLLETDASLARIARNNGKTVLHSAARMGHVEVVTALLNKDPGIGFRTDKKGQTALHMASKGQNAEILLELLKPDVSVIHVEDGKGNRPLHVATRKGNTIMVQTLISVEGIQINAVNRAGETAFAIAEKQGNEELINILREVGGETAKEQVNPPNPAKQLKQTVSDIRHDVQSQIKQTRQTKMQFQKIKKRIQKLHIGGLNNAINSNTVVAVLIATVAFAAIFQLPGNFLEDMTQAPDPDMTLGQALIASDPAFIIFLVFDALALFISLAVVVVQTSLIVVEQKAKKKMVFVINKLMWLACLCISAAFIALTYVVVGRDDEWLAWCTMAIGTVIMVATLGSMCYCIVAHRMEEKSMRKIRRNSTSQSFSISIDSETELMNSEYKKMYAL >Sspon.04G0003970-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:7743017:7747243:1 gene:Sspon.04G0003970-2B transcript:Sspon.04G0003970-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLTLRASTAAAAAASPLQQRRFPGRQRATYVRATASASAAGEPDLSVRVNGLQMPNPFVIGSGPPGTNYTVMKRAFDEGWGGVIAKTVSLDAEKVINVTPRYAKLRAEPNGAAMGRIIGWQNIELISDRPLETMLSEFKQLKKEYPDRILIGSIMEEYNKAAWHELIERVEESGVDALEINFSCPHGMPERKMGAAVGQDCDLLEEVCGWINEKATVPVWAKMTPNITDITQPTRISLKSGCEGVSAINTIMSVMGINLKTLRPEPCVEGYSTPGGYSARAVHPIALAKVMQIARMMKEEFADGQSLSAIGGVETGNDAAEFILLGADTVQVCTGVMMHGYPLVKKLCAELQDFMREHNFSSIEEFRGASLPYFTTHTDLVHRQQEAIKQRKAIKKGLQSDKDWTGDGFVKETESMVSN >Sspon.03G0029160-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:13707631:13708256:1 gene:Sspon.03G0029160-1B transcript:Sspon.03G0029160-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRYLTGTREGRRRRPLRGGELGPGAERDAAGNGMDEWPKTRSARAFIWPGLYPAMHHGGLGLPRHASWRGTPLPRWRGALPPLPRGMCTPPPCMAGSMLVSVIDWATRSKGDSWFSDNLNRGHLDYFYGLLAGFAALEVAAFLHFANRYVYTNKAEL >Sspon.08G0009690-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:42534441:42537428:-1 gene:Sspon.08G0009690-1A transcript:Sspon.08G0009690-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGQSLQNGCREVEQLKECLAVAETALGAADGEAADARAANVATRTKLVDELLCFLCEIASRLDLEPPRFSVVLEQLGVVLAEATDLRQANEELAGKLVEVTRLHDKSVDWAHGGAAMARINSNRCVALERAVEVAFGLVQLTGSDLREQALGFSAQIREVTARRLPWGRGGPCCRSPSSLAGGGPACDGAWDIDVRQLIADFGVAASAIAAVVNVERMCQRQWDVRKETMESSLINRARATPSFVETTMAPPPATQSPGELVGGAYDAPHVLFIPSAGIGHLTPVFRVIAGFSSRGIDVSVVTVLPTVSAAEMDYFNGLFADYPGVRRVDMHLLPLDASEFTSEDPFFLRWEALRRSVHLLRPIITNAAPRITAIITDITLTSCVIPIAKELDVPCHVLFPTAATMLSLNAYYPGYLEQLKGGPAPGVVGDVDIPGVFRVPRSALPPALVDVNKLFTKQFIDNGREIVRADGVLVNTFDAVEPAPLAALRGGKIVPGYPPVYTIGPLKSHATKAGGAGDALLDEWLGQQRARSVVYVAFGNRSAARLDQIREIAAGLEACGYPFLWVLKTTKVDREDDAKLAEVLGDGYLERVKGRGMVTKGWVEQEALLKHPAVGMFVSHGGWNSALEASSAGVPLLVWPQLGDHRVNAMAAVSAGIGAWVEHWSWDGEDTLVTGQDIADKVKEVMADEKLRASVAVARKESAKAVAEGGTSYRNMHDFIAKLKGGA >Sspon.04G0017670-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:64423364:64424153:-1 gene:Sspon.04G0017670-1A transcript:Sspon.04G0017670-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVTAASSASMASEAEMVGEAGGGGERKRKKTLGRRKIDIKPIKCMEARHVCFSKRREGLNKKASELCALTGAKVAVIVYSPAGKPYSFGHPSVSAVVDRYLDTTPSPPPPPTTRAAAADDVRVRRPARPAVRGHRGGGAAEGHARRGGARGRVWTDDVVRQAEMPELVAMLAALERVKDDADHAMRQHQCAAAAAAAGACDACYYDLGDGTFAADDYGGASSSSHHHQQAAMDAQTMALLMGSSAVAHAAAHAPMLASS >Sspon.08G0007240-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:22918193:22923894:1 gene:Sspon.08G0007240-1A transcript:Sspon.08G0007240-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAGWSSSWICGGEDSGQLTVASPCVQRTLIDCVNVVLLVAYVSTLAAACARRQCAATASQRSGAPGWVLLLVSMCCVAYGATGLQDASDVKAAVPYFVRGLVWITLAASLHVQPTRPARAVAVLWWALFSLLVTAYNAEILAGGHSLGLAETIAWPASLLLLLCALGSLLPRGHRDASNDSSGRCVPAWRRHQLAAHGMELATSAVATLFWALKLGALPGLVPLVIFGFLNVPFAKMLQGYQAMFMVAQDERLRSTSEILNSMKIIKLQSWEDKFRHTIESLRDGEFKWLRETQMKKAYGAVMYWMSPTVVSAVMFTATAIMGSAPLNASRLFTVLATLRVMAEPVRFLPEILTTMIQYKVSLDRIEKFLLEDEIREGDVKRVPSDNSDVRIQVQDGNFSWKASGADLSLRNVNLRVNRGEKVAVCGPVGSGKSSLLYALLGEIPRLSGSVEVFGSVAYVSQNSWIQSGTVRDNILFGKPFNKELYDKAIKSCALDKDIENFDHGDLTEIGQRGLNMSGGQKQRIQLARAVYNDADVYLLDDPFSAVDAHTAVVLFYECVMTALAEKTVVLVTHQVEFLTETDRILVMEGGQVSQQGKYSELLGSGTAFEKLVSAHQSSITALDTSASKQKQVQGQQASDEYIVPSAPQVIRQASDIEVTAKGPSAAIQLTEEEEKGIGDLGWKPYKDYINVSKAAFQFSGMCTSQVLFTCFQIASTYWLAVAVQMDSVSAALLVGTYSGLSIFSCCFAYFRSLFAAILGLKASKAFFGELMDSVFKAPMSFFDSTPVGRILTRASSDLSILDFDIPYSMAFVAAGGIEVVTTVLVMGAITWQVLVVAIPVTITMIYVQRYYVSSARELVRINGTTKAPVMNYASESILGVVTIRAFAATDRYIHSNMQLIDTDATLFFHTVAAQEWVLIRVEALQSLTIITAALFLVLVPPGVISPGFAGLYLSYALTLTTEQVFFTRFYSYLENYIISVERIKQYMHLPVEPPAIIPENRPPTSWPQEGRIDLQDLKIRYRPNAPLVLEGITCTFGAGNKIGVVGRTGSGKSTLISSLFRIVDPAGGRILIDKLDICSIGLKDLRTKLSIIPQEPTLFRGTVRNNLDPLGLHSDQEIWEALERCQLKTAISSTSALLDTVVSDDGDNWSAGQRQLFCLGRVLLRRNKILVLDEATASIDSATDAILQKVIRQQFSSCTVITIAHRVPTVTDSDKVMVLSYGKLLEYETPAKLLEDKQSAFAKLVAEYWANTKRNST >Sspon.02G0003180-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:9312478:9316055:1 gene:Sspon.02G0003180-2B transcript:Sspon.02G0003180-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPPPASRSRGRCSALSFAAARDRCFSHRFRRAGLRPLAVPLPGPGPDPDPDPATTVHMWVPAGPPPRNPLLLLHGFGASATWQWAPYLRALIAAGFDPIVPDLVFFGASCTRLPDRSDAFQASAIKAAMDAIGVPRFGLVGVSYGGFVGHRMAAMFPEAVDRVALVCAGVCLEEKDLAEGLFPVAGVGEAAELLVPRRPEEVRRLVRLTFVRPPLVMPSCFLWDYIRVMGSDHIQEKTELLYALINGRQLCTLPKLTQPTLIIWGEQDRVFPMELAHRLNRHLEGNSRLVVIKNAGHAVNIEKPKEVCRSIIEFFKEPVAGAADADDKV >Sspon.04G0012360-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:46637557:46651465:1 gene:Sspon.04G0012360-1T transcript:Sspon.04G0012360-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRFPVFLDSILEALAKDDMLNATEVVQRENGMTVNDIAASQGIRIRRHLRPTASLKEIEEGLGAPLNILEKIIWDKEIEVAEGHAKKPLEEVIQAAQKAPPSRDFYGALEAAYKRNGVPALIAEVKKASPSRGVLRENFDPVEIAQAYEKNGAACLSILTDEKYFQGSFENLEKVRTSGVKCPLLCKEFVIDKWQIYNARSKGADAILLIAAVLPDVDITYFLKICAELGMTALIEVHDEREMERVQKINGVKLIGINNRSLETFVVDTSNTKMLLEKHGDSIREKGILVVGESGLFTPDDVAYVQNAGFGGGIPGEARMPWTSHCWIVWQRTAALNERTKIVGIWFGSDD >Sspon.04G0003700-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:44029861:44032837:-1 gene:Sspon.04G0003700-2B transcript:Sspon.04G0003700-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSASRFIKCVTVGDGAVGKTCMLICYTSNKFPTDYIPTVFDNFSANVVVDGTTVNLGLWDTAGQEDYNRLRPLSYRGADVFVLAFSLVSRASYENVMKKFVVLMSSFFIQWLPELQHYAPGVPIVLAGTKLDLREDKHYLLDHPGAVPVTTAQGEELRKHIGATCYIECSSKTQQNVKAVFDAAIKVVIRPPTKQRERKKKKARRGCSIFCSRIMHTRKLGCFK >Sspon.05G0018080-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:73057807:73062766:-1 gene:Sspon.05G0018080-2C transcript:Sspon.05G0018080-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRGVLLLAAAVLLAVAHSPLAYASEADHKSGLDVIRQKLVVSKYKTEEPVKLWVNKVGPYNNPQETYNYYSLPFCQPSENPAHKWGGLGEVLGGNELIDSQIDIKFIKNVDKGAICTIELDAQKVQQFANAIENSYWFELFIGFVGETDKNNENKHYLYTHKNIVVKYNGNRIIHVNLTQESPKLLEAGKKLDMTYSVKWVQTNVAFARRFEVYLDYPFFEHQIHWFSIFNSFMMVIFLTGLVSMILMRTLRNDYAKYAREDDDLESLERDVNEESGWKLVHGDVFRPPRSLVFLSALVGIGTQLAALILLVIVLAIVGMLYVGRGAIITTFIVCYALTSFISGYVSGGLYSRNGGKNWIKAMILTASLFPFLCFSIGLVLNTFAIFYRSLAAIPFGTMVVMFVLWAFISFPLVLLGTVVGRNWSGAPNNPCRVKTIPRPIPEKKWYLTPSVISLMGGLLPFGSIFIEMYFVFTSFWNYKVYYVYGFMLLVFVILIIVTICVTIVGTYFLLNAENYHWQWTSFFSAASTALYVYLYSIYYYHVKTKMSGFFQTSFYFGYTLMFCLGLGILCGAVGYLGSTLF >Sspon.08G0005140-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:15334048:15338813:1 gene:Sspon.08G0005140-1A transcript:Sspon.08G0005140-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWLDIRYGIVLLLLLETSLCATDDRLVPGKPLSPGATIVSADGSFALGFFSPTNSTPGKLYIGIWYNDIPRLTVVWVANRETPVTNSSSTFSSPPALSLTKASNLVLSGADGRVLWTTNVAGAGAATRNKNAAAVLLNNGNLVIQSPNGAILWQSFDHPSDTFLPGMKIRINYKTRAGDRLVSWKSPDDPSPGVFSYGGDPDTFLQIFIWNGTRPIFRMGPWTGESVSGGLVRTFTGVIYQAVVSTEEEIYKTFSVSDGAAHTRYVLTDSGALLLQIWNSSSSEWAVLGSSDDPECYRYGYCGPNGYCDSTESPESPPRCNCLDGFEPVGLEDWNSGSFSQGCRRKEELRCGGDRFLALPGMQPPDKFVLVQNRTLQECEAECTKNCSCVAYAYANLSSSRTTTDQTRCLVWAGELIDTCNGGGETLYLRIASLDAGVCNMYEYRAKSNSNTRAKSNAVKIALAAVFLSGILILTGISIAWFKFKGKISYGAKHRKIIFDDMCPSDELEGNHAQDSEFLSVRFEDIVAATRNFSEACKIGQGGFGKVYKDSEQGSKEFRNEVILIAKLQHRNLVRLLGCSVDIDEKVLIYEYLPNGSLDATLFDNSRKMLLDWPIRFNIIKGVARGLLYLHQDSRLTIIHRDLKAANVLLDGQMRPKIADFGMARIFNDSQENANTHRVVGTYGYMAPEYAMEGVFSIKTDVYSFGVLLLEVVTGIRRSSTSSTKGFQNLIVYAWNMWKEGKARDLADPSIMDTCLLDEVLLCSHVALLCLQENPVDRPLMSSIVYSLENGSTTLPTPNNPGHYGQRSGDMEQIRMKTTP >Sspon.07G0000760-4D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7D:1072349:1073647:-1 gene:Sspon.07G0000760-4D transcript:Sspon.07G0000760-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At4g01030, mitochondrial [Source:Projected from Arabidopsis thaliana (AT4G01030) UniProtKB/Swiss-Prot;Acc:Q9SV26] MNGMSSQAVLLLRQIKAIGLTPNVVSWTSLISGSCNNGDYEDSFYFFNEMQKDHVQPSVVTMSVLLRACAGLALLKKGKELHCFALRRAYDHDMVVGTALIDMYSKSGNLASAKRIFERIQENNLVSCNAMLTGLAAHGQGREAIALFHDMCDSGLKPDSITFTALLTACRSMELITEGWEYFDSMKSRYGVTPTVENYACMVDLLARCGYLDEAMDFIDKSAFKSAASLWGALLTGCTVHGNLALAEAAARKLFKLEPYNSANYLQMVSLYEHEQMFDEAESLKYAMKARALDTRPGWSWIQIEQSIHVFEVEGKPHPDTAEIYEELIRLVFQIRKAGYVPDTSCIVYNVPEEEKEKLLLSHTEKLAITYGLIHSDSSRVPIRVIKNTRMCNDCHEVAKHISALCDRQIILRDADRFHHFIDGKCSCNDCR >Sspon.06G0033100-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6D:9209799:9210071:1 gene:Sspon.06G0033100-1D transcript:Sspon.06G0033100-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLVVDEQAQWMAENSNASPTMDSHVLAMDLTPTRPHGPESQCSTPRREIKINEWTTLDKINMSHHLMTMRREVPTRHTVPPTTGHEARP >Sspon.01G0027670-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:98744584:98771205:1 gene:Sspon.01G0027670-2B transcript:Sspon.01G0027670-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRECISIHIGQAGIQVGNACWELYCLEHGIQADGQMPGDKTIGGGDDAFNTFFIGKEIVDLCLDRIRKLADNCTGLQGFLVFNAVGGGTGSGLGSLLLERLSVDYGKKSKLGFTVYPSPQVSTSVVEPYNSVLSTHSLLEHTDVAVLLDNEAIYDICRRSLDIERPTYTNLNRLVSQVISSLTASLRFDGALNVDVNEFQTNLVPYPRIHFMLSSYAPVISAEKAYHEQLSVAEITNSAFEPSSMMAKCDPRHGKYMACCLMYRGDVVPKDVNAAVATIKTKRTIQFVDWCPTGFKCGINYQPPSVVPGGDLAKVQRAVCMISNSTSVVEVFSRIDHKFDLMYAKRAFVHWYVGEGMEEGEFSEAREDLAALEKDYEEVGAEFDEGTCYLEDYASVWYTSPDGQMPGDKTVGGGDDAFNTFFSETGAGKHVPRAVFVDLEPTVIDEEIVDLCLDRIRKLADNCTGLQGFLVFNAVGGGTGSGLGSLLLERLSVDYGKKSKLGFTVYPSPQVSTSVVEPYNSVLSTHSLLEHTDVAVLLDNEAIYDICRRSLDIERPTYTNLNRLVSQVISSLTASLRFDGALNVDVNEFQTNLVPYPRIHFMLSSYAPVISAEKAYHEQLSVAEITNSAFEPSSMMAKCDPRHGKYMACCLMYRGDVVPKDVNAAVATIKTKRTIQFVDWCPTGFKCGINYQPPSVVPGGDLAKVQRAVCMISNSTSVVEVFSRIDHKFDLMYAKRAFVHWYVGEGMEEGEFSEAREDLAALEKDYEEVGAEFDEGEDGDEGDEKKGILFVAIDQKRPLSDQGPFDIVLHKLTGKGWQQLLEEYREAHPEVTVLDPPGAIANLLDRQSMLQEVSELDLTDCHGKVRVPKQLFVNTDPSSIPAAVRRAGLSLPL >Sspon.08G0022110-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:47087865:47091784:-1 gene:Sspon.08G0022110-3D transcript:Sspon.08G0022110-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALEAETVLRPLFLAAGGGGDGEVSGTPVFLTTEKDRPVDPMIWGDEKRMKRELLVWAKAVASMAVSSNNTSFSSSSSSSPSNDIATGEGAEGIAVQHDLKGVASNVGKMTATMEREAAIQEKVMNDNPQRTLREKAVGELRKLGFTRTEQMKAVVFMKMSEQLSMLLTLEESLRREFILNKLN >Sspon.07G0031760-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:29950804:29954205:-1 gene:Sspon.07G0031760-1C transcript:Sspon.07G0031760-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding NMPSNGHKRTPKQCRVHWGNVKRDVTKFCGCYSRATSTFTSGYSDDMIKQTTYAWFKKQNKGRSFTLEYLWKDLKDQPKWKRILEEGSKNKRNKISESGAYTSSSNQDTEEETLSKEKRPEGPKAAKQRLKGKDAPSPLGDKPCQNMVLFHEAVTQRAATLLKSAEATVLSAEAKKESARAKQEKARAKKFNTYLKLSKKDTSKYTKKQLKTHTACRWTRIPGRDFLLLRRVVGATSKLQLQSSSNSPCPSSCSFTAAAPAHAATVASDGAGRKHPIHLSLPLLAAASEERSMSCSLATARGSWED >Sspon.06G0009510-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:42363009:42364675:1 gene:Sspon.06G0009510-2C transcript:Sspon.06G0009510-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAIIVLEHATFVSCQLPPQNLKLCYALLVDYILRQLLSHCPSLEELDLKDCLMTDHEISSACLKDFDHVQVSDVFIVALNLVRKLCISRITQAPSFENMGSLVTSTIVFDGYAFSDDFEDFNKDEPGGTTDEDDNNKKYKTGMDLDDYAYGIGRDMIVMTTPMNIVRLQTTVMSMVSVVMSANPVQMVTIMCLVKSGYNFNKINGGRDVLESLSSATCLEVLADAGEVLSLSICLSRHMSNNSLAVLQKEFQLHEATGKQCQTKVKIICLKTFLNGEDQML >Sspon.01G0010060-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:28120793:28123405:1 gene:Sspon.01G0010060-3C transcript:Sspon.01G0010060-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMATTAYIDDSCSEVIDPPKTEVLDVAELPGDYTQHPPKPNVVVSSSVRELLECPVCLSAMYPPIHQCSNGHTLCSGCKPRVHNRCPTCRHELGNIRCLALEKVAASLELPCKYQSFGCSGIYPYYSKLKHESQCQYRPYSCPYAGSECTVAGDIPYLVNHLKDDHKVDMHNGCTFNHRYVKPNPHEVENATWMLTVFSCFGQYFCLHFEAFQLGMSPVYIAFLRFMGDDAEAKNYSYSLEVGGTGRKMIWQGVPRSIRDSHRKVRDSYDGLIIQRNMALFFSGGDRKELKLRVTGRIWKEQ >Sspon.06G0002390-2D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6D:5176733:5177737:-1 gene:Sspon.06G0002390-2D transcript:Sspon.06G0002390-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVSVFDSCSFTHQFKLNFLETEMVAIGHIVSSEEISAGGHLWRINCYPRGYRKENNGQYLSIYLQLQSNSKDAKAIFEAFVMNKDGTPSSSHSNRLLHVFTPKSSAKESWGWTRFVARSVLDSLYVTNGSFIIMCGVKVLHEDPLEVPPSDMGSHLGLLLDCAEGSDVSFIVNGEKFLAHRAVLAARSPVFKAQLLGSMADAKMPSITLHDIAPSAFKVMLRFMYTDACPADDELGDSPDDMFRHLLAAADRFALDRLKILCARELWDNISADTVAATLICAETYNCLQLKKKCIDFIVEEKNFKKAVLTDSFGQLAQQFPSILDELRERVGA >Sspon.03G0023360-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:71709870:71719027:-1 gene:Sspon.03G0023360-1A transcript:Sspon.03G0023360-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVATATEASAPAPSGGAARQTHPFPWLDAAISEPYYFLHLLAFFSYFAARSTALSADNGGELHDRLLRREIQAVLVFLVLFVVKIVKEETWETFIADSLLYAKGLLLAVTLVIDYWLAFAYFLGFLVIYAVAQQPPYDGLGHSNHLTPLQLESLLTEEPTSRFWLVEFRTSFSAKCIQASSVLPELSIMYSNKNISFGIIDLGHFPNAAAKFGISMWDHLPTYILFDKVTEVSRFPEITSESKVFVPKVTKAPPASTTLLGSSLFGRSYSALTDPARLLPNRRPRSAPAASALLFLRRARWDLRRVQWGHTVGHTVSRCSVRRVRRRKKDRSRRKKKHEWSNNVIENTKGVHIQAMTRQTQNFFTSPY >Sspon.04G0002300-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:7026246:7030597:-1 gene:Sspon.04G0002300-1A transcript:Sspon.04G0002300-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding FLEASCGAWRQRERRGEERRSSSSSSRRAIRLLACFPFSPSSPHRRRRLFALRAPRRCTRGWRPRTMDAGGEKCGDAAAAEGVEGGGDLYAVLGLKKECSDADLKVAYRKLAKKWHPDKCSSSSSVKHMEEAKEKFQEIQGAYSVLSDANKRLLYDVGVYDDEDDEDSMQGMGDFIGEMAEMMSQVRPTRQESFEELQQLFVDMFQSDIDSGFCNGTAKGHQVQGQAKSRTCSTSPSSSPSPPPPTIVKEAEVPSCNGFNKRGSSAMDSGKPPRPVEGGAGQRQAGFCFGVSDTKQAAKPRGPNTSRRRNGRKQKLSSKHDVSSEDETAGS >Sspon.04G0038010-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:81651440:81662292:-1 gene:Sspon.04G0038010-1D transcript:Sspon.04G0038010-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIHPSPPDHLMVMATAAAAMDVDAPAAPDGNAANKRGRRGRREMRRIEDTTSRQVTFSKRRSGLLKKAYELSVLCDAEVALIVFSPRGRLYQFASAADLQNTIDRYLKHTEGTPSNGKVETGVEDKKLMDQILDLREKEQKLLMENAMLRDQCKTLPLLELNDKDHDHHMDAASGGQEEEEAAAAAAKEGRMEDVVTELAIGIIGSRRLTESPALRLHQQPAGSYRRSSA >Sspon.01G0014490-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:35738329:35744720:1 gene:Sspon.01G0014490-2C transcript:Sspon.01G0014490-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MERLLWASTQALGCGGKRGKGDDAAAAGLLVDSMGTVGQLGEWLCRAALQPPPAPRVCGAPGGPPVTARRVRLRDGRHLAYEESGVPRESARYRIVFSHGFSGSRLDSLRASQEVAEELGVYMVAFDRAGYGESDPNPHRSVRSAALDMEELADALGLGEKFYAVGVSLGSHAVWGALRHIPHRLAGAAMLAPVVNYWWPGLPAEAAAAAYARQARGDQWALRRDAEVRAALAADGTLRRKREAATQQGIHESYYRDMKVMFGKWEFDPMALPEPPCPVHLWQGDEDGLVPVVLQRHVARSLPWVNYHELPGTGHFLSAVPGLGDTVLRTLFGAAAASTGYWSLAIGAMRAAMLCWVCLAYMRGVCDWLPLPAWTIGSTTSTPQVGLLLVLMAAALVAGWFLNAVRPPPPTPCGTPGGPPVTAPRVQMRDGRYLAYAESGVSKDSARFKVVYSHGFSGGRMDSPRASQVGVTAASPVSIHRLPSTCMHELLEELGVYMVAFDRAGYGESDPDPRRSVESAALDIEDLADALGLGDRFHLVCSSLGSHAGWAAIRYIPHRLAGLAMMAPVINYRWRGLPRGLARQLYRKQTVGDQWSLRVAYYAPWLLHWWMSQSWLPTSTVIDGSAPFPNALDEKNRVMALSNGMFHSVRRLTSPCLVCLPERNQLCKAMLMILPAVPSSFLLQRARLATQQGAQESFYRDMAVMFGRWPEFEPTDLEKPPFPVHLFQGDEDGVVPVQLQRHICRKLGWVNYHELPGTGHFLSAVPGLGDRIVSTL >Sspon.01G0003540-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:9801596:9802491:-1 gene:Sspon.01G0003540-1A transcript:Sspon.01G0003540-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding WLAREGRACWPPRAPTRTRTRTRRRPPCPAPPAPASRSRWVASTASSSRVSSRMAVSAPPPPSTPPPSSSTSPPRSSSWLAMPARTSRSPSVGTRSWTPSSRAPSPVAASSRTSTSRSSTRPPRRRAETPPPPPPHCFCLTTGHLVVFIWSCLEQLWSNSPTTCE >Sspon.08G0002190-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:3674712:3676367:-1 gene:Sspon.08G0002190-2B transcript:Sspon.08G0002190-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDAAAPAAPPPPPGTLQKSYFDVLGICCPSEVPLVEKLLRPLPGVSTVTVIVPSRTVIVLHDAAATSPAQIVKALNQARLEASVRAYGSGSEKKVANKWPSPYVLFCGVFLVVSLFEHFWPPLKWFALVAAAAGLPPIVLRSFAAARRLTLDVNILMLIA >Sspon.05G0035460-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:72943674:72954743:-1 gene:Sspon.05G0035460-2D transcript:Sspon.05G0035460-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GEYENGQKVAVKLLYNREQDSESKQFQNEFDNLMKLEHTNIVRLMGYCYETKHVATLYNGNVVLAEKATRALILEYLQNGSLERHLSTNEELDWHTRYKIIKGICEGLKYMHEEITPPVLHLDLKPDNILLDKNMVPKLADFGLSRICDQGTQITSCLIGTRGYQPPEHIHRNHVSKKFDIFSLGVIMIKIIEARHSDYYTSDDSDNFIDTVRNDGFLFTRNNNVQMKWRSKLNGTYHGSLLDTYCRQVKICTEIALKCMEWKRNRRPTISEIIDKLNAMEHEMGMSHAHRVKRNYGRFFRESETWCRLIWKSGEKENGEKVAVKILDFDGLGPDAENFKDEFDNLMKVEHPNIVRLIDYCYETQYEAVPYDDRLIMTEKTTRALCLEYLHNGSLESHLSNEDLLDWHTRYRIIKGTCEGLKYIHKERQALHLNLKPSNILLDEHMVPKLGDLGMAEIFKGYIGTRHPIRANYKFLLRYIGCSEYQPPEYIERRLISEKFDIFSLGIIILKIVAGKRGYSKYRGMNSEQFADDVQRIWRKRWDNGTLVGSILDTHCRQVKLCTEIALKCVEHERIRRPNIVEIINKLDTMENKPCPPPPPGCVSAYAPQESTHEPEGPEDTSAEVRRGPGSSELLRVHPLQLRFPSTPSSGSPISSKLHLTNDTDDRVAFRLLSKGHPIRDLEGPTCGVVPPKSRLTLAVTLRRPVHSGESFELVSTRAGDEDLLLPQDRPVPDFLYQHSSFINRAKEAGREVHSVKLATNVYTQTAVCFGPWFRFRRENLRRLFLDCFLPAW >Sspon.03G0044550-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:56745018:56745833:-1 gene:Sspon.03G0044550-1P transcript:Sspon.03G0044550-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEGMGVQQPEDGEENVQAPGKEEEVSSAKELDLLGALGSEPPEAKAVEAVAPGKGKEKGMLAAEEKSPATGTATASGGVEKKRSFKCNYCQRKFYTSQALGGHQNAHKRERSLAKRGAAAAAAAAAAAGRGLYGGADPFLPPHHLRFQHAWPYSAGGSRPSPFLGFGRGSAAAPFYGMHHGWAAHAQTQSSMAGLTRHTGADRPAYTPPGYGYGSSSRAPAPAVLDSTMAGLRWSGAVDSGAAASGTHEVPTQQQEEEQSSKIDLNLRL >Sspon.08G0012310-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:50496219:50501008:-1 gene:Sspon.08G0012310-2C transcript:Sspon.08G0012310-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMASAELCCFQPSSTRADRGTGRSSSSSTENRTMGNAISAAGALCAAISAVDLVNFLDARQGRATTRVAAQAPPLHSGIHDLLLLASAAGFSISVAFIHRHLHRGAAAGTANRRLPEIVPFMLCICAGVLHFFLFVLTPGGADVDHGAQAQELGLAALRVLPAAATATFFLGTMLIVAAHIRAGGEGGGGAVAVAGEEAIQAPLGLRLLSRMALAAAAGLVCLMAIAFYGAY >Sspon.04G0025470-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:30544530:30548620:-1 gene:Sspon.04G0025470-2C transcript:Sspon.04G0025470-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAGAVSAAITLLVLLPLAAASDSDHKATICSLDLDLVKAKQLSDAIENSYWFEFFIGFVGEADRNNDNKYFLWTHKNIVIRYNGNQIIHVNLTQESPKLIDVNKALDMTYSVKWEQTNITFAHRFDVYLDYPFFEHQIHWFSIFNSFMMVIFLTGLVSMILMRTLRNDYAKYARDDDDIETLERDVNEESGWKLVHGDVFRPPCNLVLLSALVGIGTQLAALILLVILLAIIGMLYIGRGAIVTTFIVCYALTSFISGYVSGALYSRHGGKNWIKAMAMTASLFPFMCFGIGLVLNTIAIFYGSLAAIPFGTMVVVFILWAFISFPLALLGTVVGRNWSGAPNNPCRVKTIPRPIPEKKWYLTPSVIALMGGLLPFGSIFIEMYFVFTSFWNYKVYYVYGFMLLVFLILIIVTICVTIVGTYFLLNAENYHWQWTSFFSAASTAVYVYLYSIYYYHMKTKMSGFFQTSFYFGYTLMFCLGLGTLCGAVGYLGSTLFVRRIYRNIKCD >Sspon.01G0031600-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1B:106330183:106330818:1 gene:Sspon.01G0031600-2B transcript:Sspon.01G0031600-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRLSLASPAAAPASATCRCSSASFLRPHRSRRPRGCVVAMADLLGDLGARDPFPEEIESQFGEKVLGNVDTLHQILIPTLSALSLASLPLQLDAEPLSLDDARKLLFKVVGWRLVLSDGNEQRPARLQCVWKVRDEHCAQELIARINAALDGADHAPAALVFEAPNQVTAELSTPSAAGDSLTVNDYIVAARIDKVKTLDLIPKKRAWA >Sspon.06G0035670-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6D:81236132:81237597:-1 gene:Sspon.06G0035670-1D transcript:Sspon.06G0035670-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding PSCSRKISSSPVAQSGEWQPNRDKDEL >Sspon.04G0001980-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:7361568:7362623:1 gene:Sspon.04G0001980-1T transcript:Sspon.04G0001980-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAYAATPAVATLPSAAPPLTPDAAAVLSRAAADASRRRHAHTTPLHAAAALLSGPAPLLRDACAAGLASPHPLRCRALDLCFSVALDRLPTSTELQHHHDGCGGAFHAAAAPPLSNALAAALKRAYAHHRRIGSGGAEADDHRVGVPHLVLAILDDPSVARVMREASFSSTAVKAAMLRSLSDPAAPDAGAYVGARVMHRLTSHGREEEVAKVVEVLKRGKKRNPVLVGDTADVDAVVQEVITLIQRQRLGNARVISFPKEFGDPVDMDRAQLTAKITELGEAVRSASSSAGVVVNLGNLQWLVEERCAAHQGEQQEKRRDVVLDTARAAVDEMARVLNLSAAKGNTECG >Sspon.07G0002260-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:5461136:5463729:1 gene:Sspon.07G0002260-1P transcript:Sspon.07G0002260-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPFQKIGHGLERFSFRRRRSASSSSPLSLASDGTDASSMEAQALALVPGQPCTRRALSRSCGSKGSRLSVDLPPPLAGGPSDKAAAGSSSSLAVPPKTVQHEGSPSDAEIVREKFSKLLLGEDMSGTGKGVTSALALSNAITNLAASVFGEQRRLQPMAADQKSRWKKEIDWLLSVADHIVEFVPSQQVAENGTCMEIMITQQRQDLQMNIPALRKLDAMLLEYLDSFEGKQEFWYVKKDADESGKGNMPRQDDKWWLPTVRVPPNGLSDAYRKWLQNQKELVAQVLKAAMAINANILMEMEVPESYTESLPKNRVEASVVIWQKKMTHKDSKLSWGHNVSHEKRGMFEGRAENVLLLIKHRFPGIAQSALDISKIQCNKDVGLAILESYSRTLESLAFTVMSRIEDVLSADLATQDPKNAESMRITSLTSDDTDKVVSDAKAEVEKMRRMEPVSPMLYDYVGPRDQDLSTYIKEGANGPKLTKMSSVANKRFSYLENLGGTRSPIARH >Sspon.04G0034020-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:71950919:71951108:1 gene:Sspon.04G0034020-2D transcript:Sspon.04G0034020-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSHQFIGASHHSRAGLPQGASEIFSLMEHMGCEPDRASYNILVDAYGRAGLHQGQQASSC >Sspon.04G0006000-3D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4D:17851807:17852893:1 gene:Sspon.04G0006000-3D transcript:Sspon.04G0006000-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RKAGASAPSQISLRSAPAPAAPALASAPRRQSPPSTHPPIYRAGRGNAPRRLRRRHEVQEAPVSGRRRRLRHVPPRPPARARGRAERRRRVPAAASARVRAGPSGSARAPGVPEVGVAVRVPQEVGHVGRAQAPPEPALLPNAAGRARLRRRRRVGGRRHSLRVREAARPQVLSARHALRPPPPPQIGGREASAGSRRQGAQETFLVRRDHTAPPQEAGSGGARRRPRSPRHSRPRRGAPPAAPARSATGGSRRSGTATAAAATRAAARPPRIPRGGRPRPPCGGRPAGAARRTACRRVSPSASARSCGPARGAATAPASSRRTPAPSPASSGRRRSTSSRPPP >Sspon.06G0023570-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:34954658:34956723:1 gene:Sspon.06G0023570-1B transcript:Sspon.06G0023570-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVPLAPYPTPPVPFTPPNGGQSQLVCTGCRNLLMYPAGATSVCCAVCSTVTAVPAPGTEMAQLVCGGCHTLLMYIRGATSVQCSCCHTVNLAMEANQVAHVNCGNCRMLLMYQYGARSVKCAVCNFVTSVGASPGAEQKPSS >Sspon.01G0044370-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:81984231:81986455:1 gene:Sspon.01G0044370-1B transcript:Sspon.01G0044370-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ILALLVVGFGFWMSTHNDECRRSLTVPVIALGGVIFLISLVGFLGAWKNVSCLLWTVSFLPPSVTLTCGRLVPNHAVRGLGGNHGVHGIKSIVFRITAPGLSNRHVTFLCWKNYLDDTEKWARLKSCLVKTDDCNNLSKRYKTAREYKLADLTPMESGCCRPPAECGYPALNASYFDLSYHPVSTNVDCKLYKNARSVRCYDCNSCKAGVAQYMKTEWRVVAIFNVILFVILSFVYFVGCCARRNTGGSDAKGRGR >Sspon.02G0000040-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:264335:271923:-1 gene:Sspon.02G0000040-1A transcript:Sspon.02G0000040-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MESRSDVPLISDSELPDRNRRGGGRIAELAKEVWGESKKLWVVAGPAAFTRLTFYGMTVVSQAFAGHIGDLELAAFSIATTVISGLSFGFFVGMASAMETLCGQAYGAKQYHMMGIYLQRSWIILLSFAVLLTPTYIFSGQLLTALGQPAELSRQAGLVSLYMLPLHFVYAIILPLNKFLQCQRKNWVAAVTTAAAFPVHVVATWLLVHYFRLGVFGAAMALTLSWGLATVGLLSYAFGGGCPETWRGFSGSAFVDLKDFIKLSAASGVMLCLENWYYRILVFLTGYVKNAELAVDALSICISYAGWEMMIHLGFLAGTGLFISLLILIFHDKLGMIFSSSQAVIDAVDNISFLLALTILFNGIQPVLSGVAVGSGWQALVAYVNIGSYYLIGVPFGFLLGWGLHYGVQGIWVGMIVGTMVQTLILAYIILRCDWNEEALKASTRMRRWSNSKMESQSAVPLIAELLEKRGGKTLVEEVWEESKKLWEVAGPAVFTRMVLYSMTIVSQAFAGHLGDRDLAAFSVANTVISGLNFGILVLCSPIFLTFIISQLGMASALETLCGQAYGAKQYSMIGTYLQRSWLVLLAFAVLLAPTYIFSGQLLIVLGQPAELSREAGLLSMYMLPLHFMFAIQLPLNKFLQCQRKNWVIALSSVLGFPVHVVATWLLVQCFQLGVLGAAMSLNLSWALITGLQLAYAIGGGCPETWRGFSWSAFMGLKDFVRLSVASGVMTWYVLLCHSF >Sspon.08G0021090-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8B:32243160:32243794:1 gene:Sspon.08G0021090-1B transcript:Sspon.08G0021090-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding EVLEVAAAAEAGGQPGGRRWAGCAIGARVYWQKATRWAAALVPLCIRVGGSTQCRCASGREVLSALARANGQRRVRRRRSCSRASVAEGGYALEDKIP >Sspon.02G0000710-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:4602708:4605930:1 gene:Sspon.02G0000710-1P transcript:Sspon.02G0000710-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADASSRTDTSIVLDDNDKNQRMENGSGAVVPSNSSDRSDRSDKPLDQKTMRRLAQNREAARKSRLRKKAYVQQLESSKLKLAQLEQELQKARQQGIFISSSGDQTHAMSGNGALTFDIEYARWLEDQNKQINELRTAVNAHASDSDLRLIVDGIMAHYDEIFKVKGVAAKADVFHILSGMWKTPAERCFLWLGGFRPSELLKLLANHLEPLTEQQMLGLNNLQQSSQQAEDALSQGMEALQQSLAETLAGSLGPSGSSGNVANYMGQMAMAMGKLGTLENFLRQADNLRQQTLHQMQRILTIRQASRALLAIHDYFSRLRALSSLWLARPRE >Sspon.01G0010470-5P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:7039421:7042369:1 gene:Sspon.01G0010470-5P transcript:Sspon.01G0010470-5P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to Isoform 2 of Heat stress transcription factor B-4b [Source: Projected from Oryza sativa (Os07g0640900)] MAFLVERCGEMVVSMESSHAKPTYQLVDDPCTDHIVSWGEDDTTFVVWRPPEFARDLLPNYFKHNNFSSFVRQLNTYGFRKIVADRWEFANEFFRKGAKHLLAEIHRRKSSQPLPTPLPPHQPYHHHHHHLHHHHLNPFSPPPPPPTQPPVSYHHFQEEPAATAHGVHAGGNNEGSGAGGDFLAALSEDNRQLRRRNSLLLSELAHMKKLYNDIIYFLQNHVAPVTSPSSAAHASLPTSAGGAASSCRLMELDPADSPSPPRRPEDDGTVKLFGVALQGKKKKRAHQEDGDDNHEQGSSDV >Sspon.01G0041040-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:38642392:38644044:1 gene:Sspon.01G0041040-2C transcript:Sspon.01G0041040-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding PKRSQTKTWRFPYSVSSTLTIPVLGPRVLGVVVSVCAVRRLLATRSTMAAGLGFLKAVALVLAPVVLAVVLYSPRDFSPAPMPPEYSYGPDVSAPRHERRALQHSERVGEGRLPGPEDLAYDGAGGWLYTGCADGWVRRVSVPGGDVEDWVRTGGRPLGLVLASDGGLIVADANIGLLKVSPDRKVELLTDSAEGVKFALTDGVDVAADGTIYFTDASYKYNLDNVMADLLEARPHGRLMSFDPSTGRTAVLARDLYFANGVSVSPDQSSLIYCETVMKRCSRYHIAGEKKGTIQKFIDNLPGFPDNIRYDGEGRYWIALSAGRTLQWDLLMKYPFIRKLVYLAEKFVAVPHALKNSGAMSVALDGKPVSMYSDQGLALATGWLKVGEHLYYGSLTESYLSKIDLTKSSVESLE >Sspon.05G0003550-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:395632:398730:-1 gene:Sspon.05G0003550-2C transcript:Sspon.05G0003550-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDHAEMLHAAPAMYNGVGAGAAPHGGWWNTAVPATTCSTELAGFTTWSSALAASYDLAAEGAKAKSAATTASSESPGNNSSVTFQEPAGVTDPAGGGAAVVQQPLACYTDWVAHPYMSSGATTLHGFLQDGHQQDMSSTTEQSAMTASSLMNASSNTLQGHHHQEQQDHQQMLSSFGSELLLSPTSPYGFQSSLLRSLMEPTAAAAAKPQALPGYQQYDQYQQMGMGQARFAPAGATREALQFTNDAPFWNPSAAGFGVPPAPAAANQASSVRSVKPSPTARTAATLALKTALEGVGESASSIITKKKANGEPAFKKSRLETPSPLPTFKVRKEKLGDRVTALQQLVAPFGKTDTASVLHETIEYIKFLHDQVGVLSAPYLKNNGHHQVPHLKSSSPDKSKDDSHAGEVSLKGRGLCLVPISSTFAVASEVPVDFVWSTPFAANFR >Sspon.01G0053750-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:42980861:42984688:-1 gene:Sspon.01G0053750-2D transcript:Sspon.01G0053750-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTGQEEKAVEHKGGAVAGRQGSSENNDDAKDGAPEAAACAPKAAAALPMPRHRRSKSASSDRNAEACKHGPSRGAVEQCCGQAQPAIITPCSSRSYAIPPAACSVLHQGPRDQRPNGSPNHRVSLENDVKQLQLHLHQERSIRVMLDRAIGRASSTLSPGHRHFPAQTKELIAEIELLEEEIANREQHVLTLYRSIFDQCMSGPSSGQSSGISSPAHTKSITTRTRRQPSIISSAFCSSKKLPLQPFHIMESLSESGRTKNMLKAKIKHQSFSSETLDIHPTSFPPDPKKLPYSGSGSLARTLKDHLYQCPSKISEEMVRCMASIYYLLRTEAPEKPEKARSPFLSRSSTNVILPRRVNGEENSTSNSKYTVEIASISVDKNQMPDVSYAITHYRLLVEQLERVDLSMSENSIKLAFWINVYNSLIMHAYLAYGIPNSSLKRMTLFHKAAYNIGGHAITANSIEHALLCFRSPRIGRWFESILSTAMRKKCPDEKQLVQLKFGLQDCQPLALFALCTAKNVMEELERAKQEFLQATVVVRKSKKKVFLPRLVERYAREACVGPDDVLPWAQWEGGVSVSLTADDRTQQVAVQRRRKAVQAVEWLPYTARFRYAFARSMVDKPHC >Sspon.08G0020640-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:23858983:23870120:1 gene:Sspon.08G0020640-2D transcript:Sspon.08G0020640-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGYSDSGKDAGRLSAAWQLYVAQEEMAKVAKKYGVKLTLFHGRGGTVGRGGGPTHLAILSQPPDTINGSIRVTVQGEVIEFMFGEDHLCFQSLQRFTAATLEHGMHPPVSPKPEWRKLMEEMAVVATEEYRSVVVKEPRFVEYFRSATPETEYGKMNIGSRPAKRKPGGGITTLRAIPWIFSWTQTRFHLPVWLGVGAAFKWAIDKDIKNFQKLKEMYNEWPFFRVTLDLLEMVFAKGDPGIAGLYDLLLVADDLKPFGKQLRDKYMETEKLLLQIAGHKDILEGDPYLKQGLRLRNPYITTLNVLQAYTLKRIRDPSFKVTPQPPLSKEFADENKPAGLVKLNPASEYPPGLEDTLILTMKGIAAGMQNTG >Sspon.01G0026120-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:90994539:90995436:-1 gene:Sspon.01G0026120-1A transcript:Sspon.01G0026120-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SVAAAGDPSSAVVWYCGVYARVRLDTQRGAPVHPSARWFTSAGPLTLAQSATTPGQRPSKASSVTESENASPSRESESVDLTFAAGLLVVVGGDR >Sspon.01G0015720-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:51227815:51235785:1 gene:Sspon.01G0015720-2P transcript:Sspon.01G0015720-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAEAAAIWSRRRDEITFDRLHKFWSALSPRARHELLRLDKQTLIEHARKNLYCSRCNGLLLESFTQIVMYGKSLLHEGSCEPRIQEVEAEEVQDPSVHPWGGLSTTKDGILTLLDCFINAKSLHVIQNVFDNARAREREREMLYPDACGGGGRGWISPVIPNYGRGHGTRDTCALHTARLSCDTLVDFWSALGEETRSSLLRMKEEDFIERLMHRFDSKRFCRDCRRNVIREFKELKELKRMRREPHCTSWFCVADTAFKCEVFEDAILVDWHQSLLEQDGIYHHFEWAIGTDEGKSDILNFENVGMNGQVHRKGLDLDQFEDYFVTLRAWRLDGRCTEFCVKAHALKGQSCVHRRLIVGDGFVTITKGESIRSFFEHAEEAEEEDEDDVVDRDSNDPDGDIAHPQKHAKSPELAREFLLDAAAVIFKEQVEKAFREGTARQNAHSVFVSLALKLLEERVHVACKEIITLEKQTKLLEEEEKEKREEEERRERRRTKEREKKNRRKERLKGKDREKEKTLFRSKKSDDLSPLSLCNQAAPSNNESQDVLDLRYSDSEEEDNVVVREHYPDSSADQSSSKDSDERSNEHECSATAEFVPSDCDGSFLCDESKSSRNLRFRRDFPQEKDASYWYEDCRDDSGDTQWQSRERIRNNTRNYNAVFNANNRTRDRYNPCSCGHQEDYRYFSTATRSSRETKMSRKTVAEKPRLQYRRCYPPDSFAVSNGGRVGGTPNKSPGPKQVWEPMDARKKIGNGNSATGAADGSDQVECSKDISECEKVEVVCEPLAEICSEISAEACKSDTDQPCRQGEKNQSACSDGSKCVDKPNGFLTKDTGRTTNLTSSDSSSCLSEGDRDSSMSSMTSLSAQNVESSSTSDSEESSDRNNSSPGDPPAKNVSRSLLEMCAGNGFREYQPKGLNPPNGNQFGFMVSPLQDQMLHQQKVHAPPYSSTFMGFHNHPLAVPTNGYLPYPQPGHFYPGPMAPVGYGVAGNQRVDFPMQYTNNIHAYSGPEFGFLPSQPVHKTPVSFHAVPVPPLTTLCRSGVPVVINQERQQSPAPFPKLKQAVPDPETSCAEDTSKQKGDDADSTPFSLFQFNLPIGPPTLATTKEEQSGAMPSTATAPAQIARAQPCSREETNVKEYNIFSGCNGVMFQLN >Sspon.01G0033390-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1A:112470184:112475294:-1 gene:Sspon.01G0033390-1A transcript:Sspon.01G0033390-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoglycolate phosphatase 2 [Source:Projected from Arabidopsis thaliana (AT5G47760) UniProtKB/Swiss-Prot;Acc:Q8GWU0] MANGLPNPPCAVLTADAARSLVDSVDAFLFDCDGVIWKGDKLIEGVPEAMELLRKMGKKLVFVTNNSRKSRRQYSKKFRSLGLEVTEEEIFTSSFAAAMYLKLNNFSPEKKVYVVGEDGILEELKLAGFECFGGPEDGKKNIKLEADFYFEHDKSVMYARICISENPGCLFIATNRDPTGHMTSAQEWPGAGTMVAAVSCSVQKEPIVVGKPSGFLMDFLLKSFNLETSRMCMVGDRLDTDILFGQNTGCKTLLVLSGQNSEAPALIQ >Sspon.04G0015340-1P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8A:20167157:20169296:-1 gene:Sspon.04G0015340-1P transcript:Sspon.04G0015340-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMDAEAGRWWTWNAAAVVAAGACLLLLMRVADALWWRPRRLEAHFARQGVRGPPYRFLLGCVTEMVALMAEAAAKPMSPPDSHDALPRVLAFYHYWRKIYGPMFLIWFGPTPRLTVADPELVREVLLTHADAFDRYEAHPVVRKLEGHGLVSLHDDKWALHRRVLTPAFYPDNLNVRAHRSVSLSLSCFNPLIAVGNQWWRDTDTCPVDGSLPFPQRLAPHVGRSVAALAERWRAMASAAPGGEVELDVAEWYQAVAEEAIARATFGRSCDSGRVVFRMQARLMAFASEAFRKVFVPGYRFLPTKKNRLQWSLDREIRRGLVTLIGNRSLEAARDDDDAELNDDKGSNGFRDLLGFMINANDKKKKLAPAIPVEDMLEECKTFFFAGKQTTTNLLTWATVLLAMHPDWQERARQEVLAVCGADELPSKERLPKLKTLGMILNETLRLYPPAVATIRRAMRDVTLGGVSIPQDTELLIPIMAMHHDAALWGPDATQFNPARFAAGGAAKAAAHPLAFIPFGLGPRMCIGQNLALLEAKLTLAVVLQRFQLARSPSYVHAPTVLMLLYPQYGAPVIFRPVPVPVSSQLSD >Sspon.01G0055400-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1C:78458423:78462428:-1 gene:Sspon.01G0055400-1C transcript:Sspon.01G0055400-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSRTTEWGLIIFVVFFQRLRKFFSPEYASFNTSQRTSLSAVRISLLSSTHVEEMHNSAKGSKKKSIGWPNETYGVKDIPLGIFYKDSVTPCPSFFERTKSSLA >Sspon.02G0002900-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:8726603:8727193:-1 gene:Sspon.02G0002900-2B transcript:Sspon.02G0002900-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:E3 ubiquitin-protein ligase MPSR1 [Source:Projected from Arabidopsis thaliana (AT1G26800) UniProtKB/Swiss-Prot;Acc:Q9LQX2] LSLVLDRSPPPHMASANDEDRAAAGILRLLMGLAAAAPASALPRGGGGSGGPGLVVVQHVILDGDGDLFSGGVGGGVPPASKAAIAALKEVKAGEIEGGGELGECAICLDGVEDAGKEMPCGHRFHGGCLERWLGVHGNCPVCRRELPPAKEEDNAAAAAEGGGEERRRPRAAVVVSYLVLGGERGEAQAQQEPERE >Sspon.05G0029410-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:74957033:74960346:1 gene:Sspon.05G0029410-2C transcript:Sspon.05G0029410-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRGAHGAMPVRQYGFLLVQPANRFLCWVSYGYEFLLCDTADEASPKAHYVGLPPEVRRSIYGSDHNPVRFRRMGVAGDSSVRFVSFHPHCCSIELDDDDPNCCGFGTPTGRNVGTHATDVAKKWMVQLNISTKTLLSVVQLTYDDYRTAIIETTTKMLSRIAVHRFAALSLLTCQASITLRPIINRYFAPTCTHRRLLSNSPVATAAAAAAAKDRCWVMLSTYGHRRRLDDSSSITDDVNTVAESCTSKDRRVRVSLRCAAPPSSSYLYYDFPESARVDEGDHYRIRAGRPRRPPSLSLLPVPDFLTKSESVFPLDVPDLFPIPDFLTKSESVIPPDFNPRIRPSLDSGASACCGEVKLLDDYHARRQTVEFSVLRPGMGRWELTEPVPILHGEDEGHWRIMLGTLKDVICVGDRFLCWVNYRYDFLLCDMADDAGPKVRYVPLPPEVRRCSYGNDNPMRVGRMGAAGDDSVRFVSIHPHSCIRDHNDLCSRDDSDCWCIVSDRTTLQHPCFVYTIKTWTMNLSMDEPLEWMQDGEMDSEEIFALPGYQGLPQAIPEWPVVCLDKPNVVCFLVSNYYFTKDDEKLWMVQLNINTKTLLSVIQFTDTEDCWYWKKYHHLPAEIQH >Sspon.08G0000030-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:15729401:15737895:1 gene:Sspon.08G0000030-2B transcript:Sspon.08G0000030-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Conserved oligomeric Golgi complex component-related / COG complex component-like protein [Source:Projected from Arabidopsis thaliana (AT5G51430) UniProtKB/TrEMBL;Acc:Q9FGN0] MVVVDASEFGAEGFDPKRWINAALDARHPSEPLDRFLADAEERLRSAADDAAAALERDSGDALRRVPLACRDALRLRDDAVALRSHLASVLQSLSLAEGSSAESIAALAQIDTVKQRMEAAYTTLQDAAGLAQLSQSVEDVFSSGNLPKAAETLATMRHCLSAVGEVAEFANVRKQLEVLEERLDEMVQPRLVDALSNRKVDAVQDLRGILIRIDRFKSLEVQYTKIHVKPLKKLWEDFDLKQRSSRLDMEKLGGESISGLSFSSWLPNFYDETLLYLEQEWKWCLTAFPEEYKSLVPKVLTETMSELNSSFVSRVNIATGDVVPETRSVAKGILDVLSGDLPKSTKLQNKHLQALIELHNMTGTFARNIQHLFSESDFAVVLNTLKAIYSPYETFKARYGQMERAILSAEMAGIDIRGAVPRGVGAQGIELSETVRRMEESIPQMIVLLEAAVERCISLTGGSEADELVLALDDVMLQYISNLQETLKSLRIVCGLDSDALKKDVCLEKKEVQRLVDVSEEEEWSIVQGALQILTVADCLTSRTSVFEASLRATLARIGTNFSVSGFGSSLDKSPAAAADENADLPLAGRAALDIAAIRLSELPDKSKKLLTVLEQSKDPRFHALPLTSQRVAAFSDTVNELVYDVLISKVRQRLSEVARLPIWSSVEEQGGLPLPSFSAYPQAYVTSVGEYLLTLPQQLEPLAEGVTGNEAGNDEAQFFATEWIFKVAEGATALFMEQLRGIHYITDRGSQQLAADIEYLNNVLSALSMPIPPFLSTFHACVSTPRDQVRDLIKSDGGSQLDLPTAHLVCKIRRITLD >Sspon.04G0020250-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4A:71222052:71223189:-1 gene:Sspon.04G0020250-1A transcript:Sspon.04G0020250-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHIFIDQAEWRSHEECVAQLLPVLKATVYDAEDLLEDFRYYELTVETEGSATSVELDPKSFDDVIQGNFKKLTHIKERLDNQSRLLEIMGLHQATPRFDRSVRPETTSFPTEAKIFGRDDEIKQLINLLGVPGNKSRVPSRPKRKRSAICSSACNQVCATLDNNEATITSVPVLPIVGIRGVGKTTLAQNICSNPQVKKHFDVIVWICVSDDFDVKRLTKEVIEQFSKKAPAKDNLNSLQAGLADSLNKRRFLIVLDDMWTENGDLWKTFYAPFKHMFFKLCVFGSDSSNNNPELERIGEQILPKLKGSPLAAKTLGRLLGMSLDPAHWNMTLNSQVWELR >Sspon.07G0014670-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:51669364:51672594:-1 gene:Sspon.07G0014670-3D transcript:Sspon.07G0014670-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AUR3 [Source:Projected from Arabidopsis thaliana (AT2G45490) UniProtKB/TrEMBL;Acc:A0A178VSR7] MATREEWSMSDFEIGKYIGEGKFGKVYLAREKQISPPMEVNLLVAMMIQSGYVVALKVIFKAKLEKYRFHAHLRREIEIQRDLDHPNVLRLFAWFHDEEKVVLVLEYAARGELYKVLRAAGRFDERTAATYVASLAGALAYCHKKGIIHRDIKPENLLLDLEGRLKIADFGWAARSNVKRHTLCGTIDYLAPEMIEKKAHDHAVDNWTLGILCYEFLYGSPPFEADEQDDTLRRIVTVDWAFPSTPRVSSEAKDLISKLLVKDSSKRLCLEDIMKHPWIQKNAEPSGSCIKHKDLATAKVDDK >Sspon.02G0020600-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:67135839:67136536:-1 gene:Sspon.02G0020600-1A transcript:Sspon.02G0020600-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVAAAEGSGRSRRDAEAELNLPPGFRFHPTDEELVVYYLCRKVARQQLPVPIIAEVDLYKFDPWDLPEKALFGRKEWYFFTPRDRKYPNGSRPNRAAGRGYWKATGADKPIAPKGSGRVAGIKKALVFYSGKAPRGVKTDWIMHEYRLADADRAPSKKGSQKP >Sspon.03G0026990-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:4298123:4301029:-1 gene:Sspon.03G0026990-1B transcript:Sspon.03G0026990-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SVAPINSSNVSLPTPSLDLPDVTDLFAPAADNASKKRESNESALHDSRTLESLPTGFGDSGDSVGVAKGSGGCRGCSASFRGTPGWSRPGLDELLKLMRKSTYKVVRDDILHLQSYIIANCLKEKVLLQEGTLTNLREQVSMFFSESDRALAAKIKQARSADAKKLADMEQRLKASVSTKEVLESKLEKQNNVVDGLWERVAKEWSLAMEMKRNVDDLNEKVQAIENLGQEAAGLNIKMIESFGGVIEGIPAEASASDIFLDVRQVGDFAALSGVRNLLSMEEALGCNHLEEFGRKSYKFSSPGELGDFEDHARTLVEARWAEECIAAGVPTENILYLGGPNIASEIYNKEYANARICGSNKWRKPLAKFLRQPHFIVWDNSDLVTHEVMGGLKNVYAIGAGMVAALTNESATSKSVYFAHCTSEMIFITHLLKSNLRNSLVLFWLILMYSSKRS >Sspon.05G0016230-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:65898968:65906397:-1 gene:Sspon.05G0016230-1A transcript:Sspon.05G0016230-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding IGLVIDLTNTTRYYSPAEWTRQGTKYAKIACKGRDAVPDNESVNKFVYEAMMFLDRQKQSKNPKYILVHCTHGHNRTGFMIIHYLMRTHVSCVAEAINIFAQRRPPGIYKRDYIEALYSFYHEVPENMMIACPPTPEWKRPDDLDLNGEAKQDDDDENGDLEPLRNESEDKVITNDDVLGDEVPYDQQEALRVLCYRLLEMPLGRGHSQFPGSHPVSLNSENLQLLRQRYYFATWKADGTRYMMLIMRDGCFLIDRNFCFRRVQMRFPHKSLEGLHDMTLIDGEMIIDTLPFSERWKMLEDEIIRPRYHEKKQFESGAKSNPLYKYDMELFSVRRKDFWLLSTVKRVLKEFIPSLCHDADGLIFQGWDDPYVTRTHEGLLKWKYPEMNSVDFLFELTNDNRQLVFLYERGKKKLMDGARIAFTDDVDPSSVAGRIVMRSITDNITEEKLLEEIDEIRRLPMYADRMHAHTKMAQQRRRLPAPKC >Sspon.02G0004630-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:17598297:17607230:-1 gene:Sspon.02G0004630-2C transcript:Sspon.02G0004630-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Adenylyl-sulfate kinase 3 [Source:Projected from Arabidopsis thaliana (AT3G03900) UniProtKB/Swiss-Prot;Acc:Q9SRW7] MSSTVPKPSNIFWHDCPVGKTDRQKLLKQKGCVVWITGLSGSGKSTLACTLGRELHTRGKLAYVLDGDNLRHGLNKDLGFKAEDRAENIRRVGEVAKLFSDAGLVCIASLISPYRRDRESCRALLSDGSFIEVFLNMSLELCEARDPKGLYKLARAGKIKGFTGIDDPYEAPLNCEIEIKEVDGVCPSPSDMAGQVVTYLEEKGFLHE >Sspon.03G0022970-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:88716850:88720161:-1 gene:Sspon.03G0022970-3C transcript:Sspon.03G0022970-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVARKQPPPQPQAGGARRRGGGGVRVGPARLEGLPAAWPGAAAVKVKWPAPGGALSQMLTGRWARGVTAVEPVGAGGTVRWEPRDGNRFRLDVVDPAGARGRPERGVFFSVLYGFQEQGRGKELVRLEEIGTAMISLEECCWEMQLQQQRQQLVVVPIRVRKDGWASDAMLYVNVELVDANTRSDIERAVSFREKPRTNMAPRPTMRDSRKSLEAATYDDVLDLKQLLDLAEKEGRVAVYGNKRNSDTSSVSSISSSSSSSSTISISSASTSGGASPEPASTSKRRFLPWMRRSRDFDKRSTESLSQELHMKCMDDDPSGSWETREFTSRDAETKLRTPVFFASIDQRDDSAGGESACTALVAVLAAALHANHPTMPTRPELDALIRDGSSEWRKLCDDEAHMAQFPNRHFDLDTVLASRARPIAVQHDKAFVGFFQPESFASLSGAMSFDDIWREISGGEREPGRADVYIVSWNDHFFVLKVESDCYYIIDTLGERLYEGCDKAYMLRFDGASEMQAEGTQEVIVTGKECCREFIKRFLAAIPLREELEIEERGAGSTDGGGAPHRRLQIEFHFTVLRDHDR >Sspon.06G0020200-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6B:8295082:8295835:-1 gene:Sspon.06G0020200-1B transcript:Sspon.06G0020200-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SCTGQFGLCLLHDVSNGARDNSLERLAVVVAWSISTTRPTIFGFAPYNPVLGETQHVSTSGCGLNVRPRGAGLTPAARVTALHATDAHGEVRLVWCQSPTPVVPRRQRGGRGAGLTGASASPACRDVSLKDVAIGEVFVLYDVQRAIGDLATPVASSEELRVRLPHSLHCAASGCRRAPPPRRHRAASDLQRARAPRVHRLTICRRRPRPPHIVRVRAHVGSRAAGPCRRQPTPPPQISMDCASRFFKVF >Sspon.08G0022930-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:52947479:52952454:-1 gene:Sspon.08G0022930-2C transcript:Sspon.08G0022930-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMASRAPAGDPSSPSARAWGGGEDEAASGAGKVKLMCSYGGRIAPRPGDGALRYVGGQTRLISVPRAASFGELLRKVEAVDEASASSGGGVLVRYQLPGEDLDALISVSGPEDYDNMMEEYEKLAAAAPDGSAKLRVFLFPASGTDAAGCGGSGPHHLAAAAVDESGQRYIDAINRVSAEAMRRKDSVASAGSSAHNSEASEYSGLVEGMSPRAVPPPPASVATEYSYSGGGHYLSAFPESVGFTAVSVSPSAMGIPAQNPILVRTEPSTLQPHQVAAAAYATPHQQPQVTTYVQQQHQQPPQVTHYVPHQQPQSASYVQQMPQSYIEPQQDEGNNQSDKTSQGVQVLDDIDNLQIIKNSDLEELRELGSGTFGTVYHGKWRGSDVAIKRINDRCFAGKASEQERMRTDFWNEADKLASLHHPNVVAFYGVVLDGPGGSVATVTEYMANGSLRQALQRHENRIFDRRRRLLIAMDVAFGMEYLHGKNIVHFDLKSDNLLVNLRDPQRPICKVGDLGLSKVKCQTLISGGVRGTLPWMAPELLNGSSSLVSEKVDVFSFGIVMWELLTGEEPYAELHYGAIIGGIVNNTLRPPVPESCDPQWRSLMEQCWSAEPSERPSFTEVGKSLRAMAAAPTKAQQQSK >Sspon.08G0018880-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:8779262:8779555:-1 gene:Sspon.08G0018880-2D transcript:Sspon.08G0018880-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRELMRRLSFSDRVSDGSGGVPRGCVPVLVCGDGDGERFVVRVEALRHPSFAVLLEMAAQEFGYKQEGILRVPCDVRHFKEVLAAVSVSVSSPRSRN >Sspon.02G0009030-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:28388336:28392333:1 gene:Sspon.02G0009030-2C transcript:Sspon.02G0009030-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGKKARPREEELVEAALAAAAAALLVSGVKRLVAPAVPVAPWWWPASLSVPSPALFLLLNVIIASIVVASVQPRRRGAAASAGAIDDEREALAARGDAARRAKRTRRSKKRTAAEAAVADGCCMPLVVTDEPIETAAVMKEDVAADEDAAGNAEEVNKRAEEFLSAFRHHLRMAEGKKARPREEELVEAALAAAAAALLVSGVKRLVAPAVPVAPWWWPASLSVPSPALFLLLNVIIASIVVASVQPRRRGAAASAGAIDDEREALAARGDAARRAKRARRSKKRTAAEAAVADGCCMPLVVTDEPIETAAAAAAVMKEDVAADEDAAGNAEEVNKRAEEFISAFRHHLRVDSSSGTRRSNARTAPCS >Sspon.03G0023880-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:96385930:96387379:-1 gene:Sspon.03G0023880-2B transcript:Sspon.03G0023880-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHEQGGGNHHHHHQLPRFGNGNGAGAATGVARASKKSKLKKIPQRGLGVAQLEKLRIEEQKKMEGAATAMSVSGAAHLGHLLPMHPPPAPPLSLSALPRPGADGGGVHCGYPPMLWDPAAADPMKHPYKRLCPQPPLPTRAFWLPSELTRHQWHGQVSTGLSLTASSSHPTEPPSNQMYSSSSVSRSSAAPADEDRVHAVVEVFRTIIVTVCSENVLALGIAAKCGLAREAAGVDRSWPFMFEGLNTTAFRTTMGKAPFAARTTREAAAGLPDVCPDLSRNEFRATNYFSSNASYSDWSSEFGHCKSSKENEGAYLTLNAQPTPRMKQQPPALPSIHLPEFGDFGHGSASASSRPFYSFMPVGPVRCERPLSEMKADASDGVDLELKL >Sspon.03G0008790-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:23599549:23601268:-1 gene:Sspon.03G0008790-1A transcript:Sspon.03G0008790-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDLVLKKKTELEEHRRPEFSDEAIEAGAVDPALVLEQIEAHIATVKDEAFSRKDILEKVERWLNACEEEACWKITTKMTIVIMPEGAHLTLKRAEKARILVNKIQLGRQKEERSSHMMVIFQNGNIFQVRLLSMLEEYMIDQKKIQDQLKAEQEALYGSKPSPSKPQSTKKALRHSMGGANRRLSLGGPTTQAPKTDIMHSKTARAAKKAEDLGALSPSSRGLDIAGLPVKKLSFNASTLREAETPRKPFAQIMPGNNVSSVPARPISNDTEEENKTPKTFAGLNPKTPVTVTAPMQMAVTPAVANKVIATPATLFQEKAGLPALPGDIEYSFEERRLAVYLARQVA >Sspon.05G0017320-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:72736531:72743911:-1 gene:Sspon.05G0017320-2B transcript:Sspon.05G0017320-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPRKKGKGAVPPASGGGGGINDLPDGVIHHILGFLDAKEAVQTCVLARRWRHLWRSASSLRIRRHSLTDVSTFLEYVLLLCAGSPLDTFELKFDVLKLSCYLYPPFELDDLPLVSQHMRILKLFGVVLKNRTCDFVSCPHWNTYTLLSAPCLVKILSKSLKHLSFTNCDFENQRFRTLVYAPSLVSQKLDDHGLDFSLFRAPVLDRMPLLKNAFVRLTHTNEIEDSCTHADSGDCVHDNCNTCYGIEHDNSSSCILLEGLSEAENLSLIAESKTFIFKGDLKQCPTFSKLRTLFLNDYWCVAPDFHELNCILKHSPVLEKLILQLFSKRSKPKLRIKGSWNPPEIAAAISGHLKIVEVKCEVIDDKVIKVLKFMSSFYINRHGFQRSCAEEGTSGRGGVNGRLDWRQRGAPDRGGQEPTDQSSNTSCLTEVMITAPSANYLMKMVQIVIHQDPKRLSVLLSSTRASLQYESNRTSKQGMHQNKE >Sspon.07G0016210-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:57806720:57810400:-1 gene:Sspon.07G0016210-1A transcript:Sspon.07G0016210-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALKTTAQGAAEAAVAAIGRGYDVVSDVRLKYCKGKLADPDARLIDVSRDEVQDVLFPGGIRVAAVPKSIKCDKGERTRFRSDVLSFQQMSELFNRELSLTGKIPSGMFNSMFDFSGCWQKDAANTKSLAFDGWYISLYTVALSKSRILLHDHVTQAVPATWDPAALARFIEKFGTHIVVGVKMGGKDVIYLKQQHSSSLQPAAVQKRLKDMSDRRFLDANGQYDMNNKDVYGKDKHDARDQRLRFVESSPSSSYCTKEDLVMVVKRRGGKEWDKDMPHSEWINTVQSEPDVISMSFLPITSLLNGVPGCGFLNHAINLYLRYKPPIEELHQFLEFQLPRQWAPVYSDHPLGPQRRKQSSASLPLSFMGPRLYVCTNMVDVGERPVTGIRLYLEGKKSNMLAIHLQHLCSLPQILQLQDDPYNHRTPEPYDHKYLEPFGSWKRFSHVYTAPVESDDDSSIVTGAQLHVSSHGLRKVLFLRLNFSKVSNAALVKNPEWVGSPNLGQKSGLISTLISTHFSTAAQKPAPRPADVNINSAVYPGGPPAPAQAPKLLKYVDTAEMVRGPQDTPGYWVVSGAKLQLERGKISLRVKYSLLTAMVPDDEYPLDE >Sspon.06G0016790-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6A:91209782:91210408:-1 gene:Sspon.06G0016790-1A transcript:Sspon.06G0016790-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MWSVARNLSLWITDMAFYQGKLYVVDYHEDLLALDISVDDKTGDPQVSHIGRVIKVNQFDNELTLLRMLYLVESCGSLLLVRRTIFHTHVHGEGQIHTFAGQCEPDLSIFDADFARSQWAKVTTLNQALFLGPCSRAVCMPQGDSRGNRVWFLDDYKDFHHSNEYWHSSSLSSGSSSVASPKPFTHLPLISWRGYVGNAGAAWLFPEN >Sspon.02G0028890-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:105019333:105021397:-1 gene:Sspon.02G0028890-1A transcript:Sspon.02G0028890-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPASLYLGFTASIIWVGQGTYLTSAALSHARENNLPEGPTLGSFNGEFWGMFASTQVIGNLISLALLRNGKDGGSVTGKNLLFAVFLGCMIVGIVLMCLLSKRDEKRDNTPIHSSFGAMLKYIIAPLKDQRMLLTIPLIVYSGLQQAFVWAVFTKSIVTPVLGISGVGGAMAIYGAADVVCSLVAGRLTSGLRSAAFIVSVGAILQAIVLFWLLLFYSPMDGLLGAAIPLFIGALWGVGDGVLNTQLSALLGLLFEDVKEAAFAQLKVWQSGAIAVIFFLSPSITLQAMLILMAAGLVISFGSFLFLTLVVEKSSPVIACHRLTVS >Sspon.05G0027530-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:57807628:57811306:-1 gene:Sspon.05G0027530-2D transcript:Sspon.05G0027530-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MCWRMIGERSALRMRREYLKAVLRQEIGFFDTEVSTGEVMQSISSDVAQIQDVMGDKMAGFVHHVFTFIFGYVVGFIKSWKIALAVFAATPVMMSCGLAYKAIYGGLTAKDEASYKRAGSVAQQAISSIRTVLSFVMEDRLADRYAEWLNRAAPIGIKLGFAKGAGMGVIYLVTYSQWALALWLGARLVANGDIKGGDAIACFFGVMVGGRGLALSLSYFAQFAQGRVAAGRVFEIVDRVPDIDAYGGGGRSLSSVRGRIEFKDVEFAYPSRPEAMILYNLNLTIPAAKMVALVGVSGGGKSTMFALLERFYDPTRGIITLDGQDLSSLNLRWLRSQMGLVGQEPVLFATSIVENVMMGKENATRQEAIAACAKANAHTFVLGLPDGYDTQVGDRGTQLSGGQKQRIALARAIIRDPRILLLDEPTSATGRRQSIERLSVGRTVVVIAHRLATVRNADTIAVLDRGAVVESGRHDDLVARGGPYAALVKLASDSGRSSSDDVPNRASKLPAGGAPAYNSFTDDSGVYDDDILSSVSKSRYGGGARRTFPREEADVRAKTKNDASDSKVSVSEIWKLQRQEGPLLILGFLMGINAGAVFSVFPLLLGQAVEVYFDADTSKMKRQVGALAMAVVGLGVACILTMTGQQGLCGWAGARLTMRVRDRLFRAIMRQEPAWFDEEDNAMGILVTRLARDAVAFRSMFGDRYAVLLMAVGSAGVGLGICFALDWRLTLVAMGCTPLTLGASYLNLLINVGAKTDDGAYARASSIAAGAVSNVRTVAALCAQGNIVGTFNRALDGPVSKTRRRSQVMGVILGLSQGAMYGAYTVTLWAGALFIKRDLSKFGDVSKIFLILVLSSFSVGQLAGLAPDTSGAPVAIAGILSILKRRPAISDENGSGKRRTIKDGRPVDVELKRVVFAYPSRLEVTVLNEFSVRVKAGSTVAVVGASGSGKSTVVWLVQRFYDPVGGKVTVGGIDVRELDLKWLRGECAMVGQEPALFSGSIRENIGFGNPKASWAEIEEAAKEANIHKFIAGLPQGYDTQVGESGVQLSGGQKQRIAIARAILKQSRILLLDEASSALDLESEKHVQEALRKVSRRATTIVVAHRLSTIREADRIAVVTNGRVAEFGSHQELLATHGDGLYAAMVKAEVEAQAFA >Sspon.01G0028220-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:98751714:98754067:1 gene:Sspon.01G0028220-1A transcript:Sspon.01G0028220-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGLTDEQRLAFQEAFSLFDKNGDGCITMEELAAVTRSLGLDPSDQELNDMMSEVDTDGNGIIDFQEFLSLIARKMKDGDGDEELKEAFEVLDKDQNGFISPIELRTVMTNLGEKMTDEEVEQMIREADTDGDGQVNYDEFVLMMKNAERKISG >Sspon.06G0006940-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:24796132:24801664:-1 gene:Sspon.06G0006940-3C transcript:Sspon.06G0006940-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hydroxyproline O-galactosyltransferase HPGT1 [Source:Projected from Arabidopsis thaliana (AT5G53340) UniProtKB/Swiss-Prot;Acc:Q94F27] MQIREGPARRQAPSAAGALRSPMSAMMLAMFATMASFYVAGRLWQDAQNRVYLIKELDRRTGQGQSAISVDDTLKVVACRQQGKRLASLEMELAAAKHEGFVGKYTPETNGTRSGKKPLIVIGIMTSFGRKNYRDAVRKSWLPTGSMLKKLEEEKGIVVRFIVGRSANRGDTFDREIDEENRSTKDFLILDDHTESDEELPKKTKSFFANAADTFDAAFYAKVNDDIYINVDTLSAMLETHWDKPRVYIGCMKSGEVFSDSYFRHAAGEMFVISRAIAQFISINKSTLRTYAHDDVSVGSWMIGLAVKHVNEAKLCCSSWPSGAMCSAL >Sspon.07G0001000-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7A:2110292:2112902:1 gene:Sspon.07G0001000-1A transcript:Sspon.07G0001000-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDRRWRSLETAFPASSEEWLERACEITVELLVSYKYKMGGTLSATVLRLVPQRQCSPPRFPLYCAFLSIASPIRQPASPPSQSTASCLPLHRTSRLPQASHAHGPAAAFSTEPVAAFFTGAVSSAPRVSPTSVNSTRRRASIPKQQGDVALKAHVANSYIQRVMGARPRRWAKGRGESGDGRWSTRRAWGPTDRACSSSSGLPGPAHVEKGGGQGEGVADAAGVGARAGRGEETGTGYACGEGRDSLGTSGHARAAAIRRNGRCGPAEWGSSMHRGVRTRSSAPDVRVLVIPLRPHLDSWN >Sspon.04G0016640-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4C:67167550:67168521:-1 gene:Sspon.04G0016640-2C transcript:Sspon.04G0016640-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQLGYSMWFFLAALLFPLVLLKLKKRDNNGLRLPPGPWQLPVIGSLHHLLLRSPLAHRAMADIARQLNNAPLIYLRLGEVPVVVASSPDAARELMKTQDVNFATRPWSPTIKAMKADGEGLVFARYGALWRQLRRISVLELLSARRVQSFRRVREEEVGRLVAAVAASASASESAPGEAVVNVSERIAVLVTDSAVRAMLGGRFDRREEFLENLAEALKIAAGFGLGDLFPSSRLARLVAGTTRRRAVANHRKQFELMDRAIRQHEERRAAMAAAAADDGAGAGAVHEEDLVDVLLRIQREGGLDVPLTMAHGPHQDCHPCK >Sspon.02G0028890-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:103082906:103099213:-1 gene:Sspon.02G0028890-2B transcript:Sspon.02G0028890-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGHRDEEVAASPLLAAPTQAAGGRRRSHAADVHILCAAFLFVFSAYSAAQNLESTVNTEGDLGTVSLGILYTSFTLFSVVASPVVTRLGPKRALVVGTSGYVLFILANLVPSWYTMVPASLYLGFCASIIWVGQGTYLTSAALSHARDNNLPEGPTLGKFNGEFWGIFASTQVLGNLLSLALLRNGKDGGSVMGKNMLFVVFLGCMIVGIVLMCFLSKREEKGNNDPVHSSFGAMLKYIVAPLKDQRILLIIPLMVYIGLQNAFVWAVFTKSIVKPVLGISGVGGAMAIYGAADAICALVTGCLTSGLYSATFFVSFGTVVQGVVLFWLLLFYRPTVGVHGAAAPLLIGAIWGVGAGMLNTVLNTVLGLLFEDAKEAAFAQFKVWECAAVAVIFFLSPNIMLQAMLILMTTALFISCGAFLFLTIVTEKPSTCSVEVIVDEKTGKTKIRLDMWYFELGNLEYVVLDKYKDFLPHRNGKPQSAQSTPPAHPAPPPTPISPARPNDASMPGADAELAAAAGDVEEAAPLIPGPGASATGAARDVHLLSSAFLFVFLAYHAAQNLQSTVNTDENLGDISLGVLYTSFTAFSAVGSAVVRWMGSRRALVVGTSGYLLFIAANLAPSWYTMVPASLYLGFTASIIWVGQGTYLTSAALSHARENNLPEGPTLGSFNGEFWGMFASTQVIGNLISLALLRNGKDGGSVTGKNLLFAVFLGCMIVGIVLMCLLSKRDEKRDNTPTHSSFGAMLKYIIAPLKDQRMLLTIPLIVYSGLQQAFVWAVFTKSIVTPLLGISGVGGAMAIYGAADVVCSLVAGRLTSGLRSAAFIVSVGAILQAIVLFWLLLFYSPMDGLLGAAIPLFIGALWGVGDGVLNTQLSALLGLLFEDVKEAAFAQLKVWQSGAIAVIFFLSPSITLQAMLILMAAGLVISFGSFLFLTLVVEKSSPVIA >Sspon.07G0020760-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:77833587:77836564:-1 gene:Sspon.07G0020760-1T transcript:Sspon.07G0020760-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALQGLVDWRGRPVTGKRHGGVRASVFIHVLIVMANISNIPLILNLVSYLHGTMHMGVKDASTTSTNFFGAICFFSLLGAFISDSYIKRFYTILIFAPIEIMGYVLLACQAHFPSLHPPPCDMVNHPNQCTVVSGRNLSLLTLGLYLIPVGEGSMRACAAALGGDQFDGENPAELHAKISYFNWFAFSISLGGFVGLVFLVWVQDNEGWGLSFALAALMLFVGMVVVGCGLPFYRHQKPMGSPLTRILQVFVAAFRKRKLSIPENPMEMHELTDSTGKSVEFMERTPDFKFLDTAAVDDGDKRAWSLCTVTQVEEAKIILRMLPIFLSSILGNVSIPLLLSLTVQQGGTMDTRLGATSIPAASLFVVPIVFQMLTLVAYDRAIVPWLRRVTGRAGGVTHLQRVGVGFVFSVMALAVAAVVEGRRRSMAATGAPPMSVFWLIPQFFLLGVMDVTSFVGLLEFFYSEASAGMKSIGGAIVFCILGVSSWLGSFLIQVVNHATAHRGGGHGWLDGANLNASRLDLFYWLLAVFGLVSFFLYLLCSWRYVYRHDPRMQEATLDDDI >Sspon.01G0047610-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:113263132:113266288:-1 gene:Sspon.01G0047610-2D transcript:Sspon.01G0047610-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFNFGLLELADGESGEATVSVVVGKAKAEAAHAKKAEAAAAAAESAAPGTTEKENAVQKNLYYFKLRHDNGIRNIELELKRLRDVLIKLRGQETKLKEKGENGVHLIQLSEEQRELRQQQKKLREEEARLRSLRKEFYLEHGFPLVGGDEEEPQVPNQGEGVQGNDNAGVDNFNGGYNSDGNGSVPSYHDGEPGVHADGAYVYHERQVEEGYAYNNNGGRQGYPEVRKVQKWVAKQPSSDAVTSDAGSEAEHKPVENDQKLAATPANADAKPVSGSEKSSAAGASASNNGQGKFQKQKLNGSVKRKKRKHAKNGGNEVDKAKKQDSSEADLSKKTDKEPPVDYPREEEKKTLAEYEKIRQEKKKSSEDVSRTELRKVSAEEFKGLQMLEKKKLDDEEAVMKAEKVQNKAKETSKKEEKAQPEAKDADAAKPKKVVIPLKDLSFAPPRRILEDGSSNGGGAPRGRFNGGSFQGRRRDNSTDSRVPAGRGGDNGRAAQNEAGNYGNGAPRGAYSGGRGYGAPRGDSSGGRGDGGDGAPRGDYSGRRGDGYSGRGNGGYHNQVGNGGYHNQEGGNGGGYQQQQGGYQRRPGNDNYYRPRGRGYSGNGRSPAPQPIVVEDMNLFPPLPASAPARAAAPAAAPAAAPAPSSATAPAPAQS >Sspon.01G0028400-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:99249384:99255266:1 gene:Sspon.01G0028400-1A transcript:Sspon.01G0028400-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable helicase MAGATAMA 3 [Source:Projected from Arabidopsis thaliana (AT4G15570) UniProtKB/Swiss-Prot;Acc:B6SFA4] MVLQRVKNTYDSVAEYLGVFEPLLFEEVKAQIIQGRSDEEEESGMDWRRGAVGSCTESEGFHKLSVAVEDNFQDNVSENDLLLLSKEKFEEGSTPNAYAFALVEQRGGGLHISLRTFVAGEIVNLNVAKPMNSTRLQHFASTIASQNSLLWILKASLNCSKTSTCVCSLSTIMREFTAMHSVASLPFKDLILSATEKHKDGDDQSRAWNVPEPLMDYLKTNLNDSQLEAVNAGLSRRSFVLIQGPPGTGKTQTILGLLSAVLHSAPARMQIKGGFDVRKHGPELDIDGKRAHWIKASPWLLGANPRDLIMPVDGDDGFYPTGNELVLFYMFQSQVSAHVLVCAPSNSALDEIVLRVLKTGIRDENNNTYNPKIVRIGVKALHSVKAVSMDYLIQQKLSGVDHTLDGGRRGTGEYDRIRASILDEAAIVFSTLSFSGSSIFSRMTRAFDVVIIDEAAQAVEPATLIPLIHGCRQIFLVGDPVQLPATVISQTAQKLGYGTSLFKRFQAAGFPVQMLKIQYRMHPEISMFPSKEFYEGVLQDEEGLSRKRPWHSYSCFGPFCFFDVDGIESQPSGSGSWVNQDEVEFITLLYHQLAMRYPELKSSPEVGVISPYRHQVKLLKDSFRSTFGDQSKELIDVSTVDGFQGREKEIVIFSCVRCNEEQKIGFVSDFRRMNVAITRAKSAVLQDKHWNNLVESAKERNHFFKVPKPFTTFFGEDNLKAMKVEKYPLPEPNARVLEEINQEVVRQELMNVDDAADHADAGDDDDAAMDADDGGDDD >Sspon.05G0013580-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:47822540:47827083:1 gene:Sspon.05G0013580-1A transcript:Sspon.05G0013580-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPHVVLLFLAAALRPCAALVRLHSATFSFTFLDAPARFGPRVGGDGICGSLRAAEPAEACEPIKAGGGRRSAGRKVFVLIARGNCSFEEKVRAAQQAGFDAAVVYDDEEKASLYSMVGESEGIHIPAIFVSKMAGETLKKFARGEDDECCINSSMDETAGTVLVMSFVSLVVIISVLASFLFARNCRLLRHGVDNHPTYVKKHVVEKLPCSAYVLPVLVKIIFRKLVQFA >Sspon.01G0051560-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:16459176:16461694:-1 gene:Sspon.01G0051560-1C transcript:Sspon.01G0051560-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSSGLSPRRRTLCCRRSALGTSPTSSSWLPESKDNVEELTAARCRSRFPAPAEHGSGGGGQRSSTARYARETEFSQQRGGSVSRPPSHPRSSCLAEYTHDEVLPIVLLWMMTGALECRGQVVLPLTVCSECHSLKVKALRAKALENDGRYFYICPSGKQDGNGCGFWYWEDDYEKYLIKNRHVPDSYVPVFCDRAEIEMEKKMAAVAVSKLVREKEAVQVQERMVDNPSVLANSIREAVMLLKVVVLLLKVLAGACSYLVIINLYGLIRSV >Sspon.01G0059620-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:63459806:63466583:-1 gene:Sspon.01G0059620-1D transcript:Sspon.01G0059620-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRTEWPADGKLDGSLGIADVVPVVMCPRDNCFPGLYCFGLLRDPWLTDGQTMIISSVWGSREVILSVNVVSCEVSRVSPQDSDYSWNVLAVDKNNILAVSSSLITLPQMYYAIKVPQTESNWEWQEVSTPFPKPSDKISSILAEHKFNILKIPISNPSDKLANGAKLPFEAIFVSHKDSASNPTIVVLHGGPHSVYPSSYSKSLAFLFSQGYNLLVVNYRGSLGFGEEALQSLPGNIGSQDVNDVLTALDLVIKRGLIDPSRVAVVGGSHGGFLTTHLIGQAPDTFVAAAARNPVCNLSLMVGTSDIPDWCFVEIYGKEGKKYFSESPSVDDLCQFHQKSPISHISKVKTPTLFLLGAQDLRVPVSNGLQYARALKERGIESKTIIFPEDIHGIDKPQSDFESFLNIGVWFKKHMSK >Sspon.03G0012620-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:34884178:34889703:1 gene:Sspon.03G0012620-1A transcript:Sspon.03G0012620-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-prolyl cis-trans isomerase CYP57 [Source:Projected from Arabidopsis thaliana (AT4G33060) UniProtKB/Swiss-Prot;Acc:Q6Q152] MSSVYVLEPPTKGKVVVQTTAGPLDIELWPKEAPKAARNFVQLCLEGYYDGTLFHRVIKDFLVQGGDPTGSGTGGESIYGAPFADEFHSRLRFNHRGLVACANAGTPHSNGSQFFITLDRCDWLDKKNTIFGKVTGDSIFNLLSLADVETDKDDRPVYPQKILSVEVLWDPFEDIVPRQLKKVESVAKADAEVKPKKKAVKQLNVLSFGDEVEEEENEVATSVQAKIKSIHDVLDDPRFLKGEPEDVQLSKEQEEKKKDTVQTVRDALISKKVESREQEHAPVSDDYPEDENEEDFDNRMRSQILKKRRELGDVPRETSKAATALFGTDKPHHKDKELSDRRSNIQHRRDNDEDDDQEHELQKAKKLSLKKKGAGSEASAERMSKADANLQLLNPAEQGRHLQKQKKRRLQGREDETLAKLQKFKSSFLSKNLATNHVKEKNPSTDKVEKEDEEEDDYKGWHTNRLSFLPSSSKDGMARKDDPDDYVVVDPLLEKGKEKFNKMQAKLKRREREWAGRSLT >Sspon.08G0008220-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:26883682:26887466:1 gene:Sspon.08G0008220-2B transcript:Sspon.08G0008220-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MIMCRLEPDDCWQNQVIFKQGILTTLSQSNGKYKYDYATIPFLAELFKLSVSGFFLWKECHSSSPPRMTKEWRSVRLYLVPSVIYLIHNNVQFATLTYVDPSTYQIMGNLKIVTTGILFRLVLKRKLSNLQWMAIVLLAVGTTTSQVC >Sspon.03G0016160-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:51491672:51492828:1 gene:Sspon.03G0016160-1A transcript:Sspon.03G0016160-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSNAAEDMGVQLVVYTSSYGAVHMNPNSSPDRVVDESCWSDLEFCLKTKAYPNAVSGFVDVQDVARAHVLVSETPAAHGRYLCIGEVVHRSEFVQTMRELFPQCKGENAPKIKPYKFSTKRLQALGMKFTPLKESLHKTVICLQEQGQSQSSPTSRP >Sspon.02G0029360-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:113598788:113599685:1 gene:Sspon.02G0029360-2C transcript:Sspon.02G0029360-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLSVAAVSATTARPSSSGGRGRRLRVAAMATQKGQKPTARKTVSGTRRSGTTTVFPLGEPGPRAATTSGGKAPVKLLTNVEKLRLLTKAERAGLLSAAERAGLSLSAVERLGLLSKAEELGALSAATDPGTPGALLALALPATGRGPPPSCTSSPRSRRGRWRCRPLPRSSASSAAPPRSPRPRSCPGCRAPPADEPERVCICSRISRALLYACNMIFVWISVLLSR >Sspon.02G0055970-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2D:15403981:15404187:-1 gene:Sspon.02G0055970-1D transcript:Sspon.02G0055970-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVPGGAGREGRGRRPILAPAVLDIDRPLRIPTVSVYDCRVSLARFHGTSVPAGSTSSSPSPRASTAG >Sspon.05G0003180-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:1125883:1129654:-1 gene:Sspon.05G0003180-3C transcript:Sspon.05G0003180-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMQQPPPPQPQPGMAAPPPPQAGGGQPPQWGGIPPPMTQQYGAPPPQQPPAMWGQPPPQAHYGQAPPPQPYYAAPPAPAPAPAAPAAADEVRTLWIGDLQYWMDENYVLGCFLSTGEVQNVKLIRDKNSGQLQGYGFVEFTSHAAAERVLQTYNGQMMPNVELTFRLNWASAGEKRDDTPDYTIFVGDLAADVTDYLLQETFRVHYPSVKGAKVVTDKLTMRTKGYGFVKFGDPTEQARAMTEMNGMPCSSRPMRIGPAASRKNTGGVVQERVPNSQGAQSENDPNNTTIFVGGLDPNVTEDTLKQVFAPYGEVVHVKIPVGKRCGFVQFVTRPSAEQALLMLQGALIGAQNVRLSWGRSLSNKQAQPQQESNQWGAAAAAGAGGYYGGYGQGYEAYGSGYAQPQDPNMYGYGAYAGYPNYQQQQAAQQPQQQQ >Sspon.05G0015770-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5C:57357703:57359026:1 gene:Sspon.05G0015770-2C transcript:Sspon.05G0015770-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNYEKEQEEMKHMSRQEYVASLRRHVVKIKISCTQEEAAEAYDIAAIKFRGLNAVTNFDMSRYDVKRIIESSSLPVGSTTKRPKDGTDKSDDIGVNGNCAEAAGPMTATNLLTDGIGSYSPEQYGYSGWSSPAAMVPISFQYSKGHGHSTLWCKQELDGAVVSAAHNLHQLQHIPASAGTHNFFQPSHVQDAAGAVDVPSLSVDTNSLLYDGGVGYHGAMGAGYAMPVATLVDGNLAASGYGVEDETASDLYG >Sspon.03G0014550-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3B:68151500:68158068:-1 gene:Sspon.03G0014550-2B transcript:Sspon.03G0014550-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding QDQQTEWLDDYLVKDRLLMYFTKASATTLPVLIAFLSLTLGEKKEQEHVKIAFCAVSLLALLAITVPLACSSGLQDDPIVDDDDPAVTVDDDAREKSMRRLCAAAYLSNISLILTHATDFTFVFNVSSEVTQTSLFSLSAALFGNLKSGQRRQGEAASPRAAEALLVYAAVFGLAMMLACSMPPVVTNTRHREKVVNKFVRVAACLLVALVATAAFAAVVPLLQGYIVVALAVVTGVSVVWFLKLYKPGQSTSFNASCSSRSTSSRSTAPIAVPAKPLECCAVAGTLPSRVWSANGSLLPAEQLSTHGEAVQDDGLLRIPGHSQQPCPNDHLKGRRVAKQDADSFCGRVPLPPGLADLLAPPLQLHRLLQLSRSSASQCSMTSTHQRRHPSTDATAPASSERAGIPPRHGRRRVAHAAMLSGDLRTAIDSRRSFTRVPRRSRTPRITMPWPESAGALPVNLRGAPSSPATHLRWVSEPITTTTARCALSCFRSLTIDEQLYLRLSKRGSPEGVTLVEFEPIKGEIPPEIPQEEPEGGQALDLLECPDHRLSTFLKGKPPEHSKEHFLLIPVNSVIEIPRSPGIKPGVAKQGLWLLALTTTSCHSLLGLFPLVADGSMFYKATARIASIPLWMRNGSMFYKATARIASIPLWMRSKTVGTVLP >Sspon.06G0009140-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:48986529:48990304:-1 gene:Sspon.06G0009140-1A transcript:Sspon.06G0009140-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPTAARATHAAATTRADTVAHAPEHDAPPTLKKRAPGTRRQEPPKRAGGGGLGPTPAQARSTVRSDLTFRASALLPHPPRGPAHSTSPCHRPAAAPPQAFPSIDPSSEAPRQSSRREGNGPPNKPASGEYARRHQLGYCSIHGAQEIDVDGRKQWQRRVRGGGGDGAAEAARRAEAAIRDPVLKARVWLGTFDTPEQAARAYDAAARRLRGPGATTNYPAASEPMAPAVSGSASGSAVVYESSSSSSSSCSMLPESVTAAVAAPPPSLDLSLALSASAAAANTYQVFMDPTPALLQFLPPKSEEEQSCSGSLSSSSGVFDAAPPVGLGLDLNLALLPPAEMRMGVGAGTGTPDRCERRHRNASPGKCLEVSLQACAHGRRHRPELTTRGAARRVVAIRLPRSRLSQLGDARAPNDYTQRPEQSWWQPQAGSSTFQGRRCYPLRARPDAAIRAWATPVPANRPPLASPSSAPPRYPDLGVAAEQRGLVVLPTAVRVYAVPQTPPYDMFRHWGAGGTRLALASRVGDAQPTAVRGVGGGAGAGPVALGSHRSHWGRRVGRECGRGGELRERYCGEARTETPHGYLVFFEWPGLNIEIAPGPCDAPAGDTDTATVQLRLESMYTNTGLNWDIKGSAQMEMPRPTSMPFYSVSSGSWWRARAGHCPSEQDVRGELRSPAMVARDEIRTSRGAGRAGGGASLAWAAGASGIVGWAAVLYWKGGGRRWKVG >Sspon.08G0011140-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:43459426:43464240:1 gene:Sspon.08G0011140-1P transcript:Sspon.08G0011140-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKWHGGFVIVSLFVILMLRYVILDSPLAEKSLQYVFQQNRTAELHWLDVPNPPAIQNPQNSSEVISTRLLASNLSITRNLSDRELQSLHSWNHLRHLLSHAHILPDGVEAIKEAGVAWRELNTALAYDDSVVSVNGSIQQKDKGKQCPYSIRRMNATRLGDRFALKLPCGLIQGSSITIIGTPGGLLGNFKIELTGAAVPGEPDPPIVLHYNVRLLGDKLTEDPVIVQNTWTIADDWGSENRCPSPDSDAKDSAKVDDLEKCSSMVGEDQKEILPSRLRSNVSTMIAARKKKAEPRKYFPFRQGYLAVAILRIGAHGIHMTVDGKHITSFAFREDLEPGFVGEVRIAGDIKLLSVIASGLPTTEDFEHVTDLETLKAPPVPMNKSVDLFIGVFSTANNFKRRMAVRRTWMQYDDVRSGKAAVRFFVGLHKNEVVNEELWNEARTYGDIQLMPFVDYYSLILWKTIAICIYGTNVLSAKYVMKTDDDAFVRVDEILSSLDRTNISHGLLYGRVNSDSQPHRDPYSKWYITPEEWPEESYPPWAHGPGYIVSKDIAKEVYRKHKSGELKMFKLEDVAMGIWINEMKKDGLDVKYENDGRILVEGCEDGYVVAHYQEPRDMMCLWDKFQKTKRGTCCKE >Sspon.04G0008600-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:25546002:25550868:-1 gene:Sspon.04G0008600-3C transcript:Sspon.04G0008600-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVASSHHLRPSPAGPARRPGCAAAPRVSLWPAVAPVPRPLHRRGGGGGGEAASAPAAVSAVAPAAAARDKVRRHTISVFVGDESGMINRIAGVFARRGYNIESLAVGLNKDKALFTIVVSGTDRVLNQVIEQLNKLVNVLSVEDLSKEPQVERELMLIKLNVEPDQRPEVMVLVDIFRAKVVDISEKTLTIEIALRREKIGATARFWQFSAASYPDLIEALPKNPITSVNRTVNGSFDQPSNAGGDVYPVESYESLSANHVLDAHWGVLDDDDATGLRSHTLSILVNDCPGVLNIVTGVFARRGYNIQSLAVGPAEKEGISRITTVVPGTVESIEKLVHQLYKLVDVHEVHDITPSPFAERELMLIKVSVNTAARREILDIAEIFRAKPVDVSDHTVTLQLTGDFDKMVALQRLLEPYGICEVARTGRVALVRESKVDSKYLRGYSLPL >Sspon.05G0004600-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:8605753:8609883:1 gene:Sspon.05G0004600-2B transcript:Sspon.05G0004600-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNACGGSLRSKYLHSFKHAASQRHDSDYSATSAVAADDSPRKQPTTPPATTDAHAPAPPAPAAAAAAMRRGGAGATPDLGSVLGHPTPNLRDLYALGRKLGQGQFGTTYLCTELATGVDYACKSISKRKLITKEDVDDVRREIQIMHHLSGHKNVVAIKGAYEDQVYVHIVMELCAGGELFDRIIQRGHYSERKAAELTRIIVGVVEACHSLGVMHRDLKPENFLLANKDDDLSLKAIDFGLSVFFKPGQVFTDVVGSPYYVAPEVLLKSYGPAADVWTAGVILYILLSGVPPFWAETQQGIFDAVLKGVIDFDSDPWPVISDSAKDLIRRMLNPRSAERLTAHEVLCHPWIRDHGVAPDRPLDPAVLSRIKQFSAMNKLKKMALRVIAESLSEEEIAGNVPTMDTDNSGAITYDELKEGLRKYGSTLKDTEIRDLMEAQACKEHNMPDAFLDDVINEADQDNDGRIDYGEFVAMMTKGNMGVGRRTMRNSLNISMRDAPGAF >Sspon.03G0043500-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3C:81429228:81429569:-1 gene:Sspon.03G0043500-1C transcript:Sspon.03G0043500-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AEEPSTPKRKRTPKPKTTPLSEADVRRSLRIKKVNTGFKTSACKDKNCLGCSAAPPIISPKVIRDLGASFCNIDPEELTDDKLNARPRQGKAKDNKSKKDKKAKPSSSQSSSH >Sspon.08G0021070-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8B:32140552:32141130:1 gene:Sspon.08G0021070-1B transcript:Sspon.08G0021070-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVDALNKCHRQRTKQLLFSIPEPMFSSIGNSCVYSETRNRESAIRATQSTEEFHKYRAVLFYNPKSPSIPHRLPRQPYHLPSNKSDRERYHHGERTGRGRQLTLAARRGVGDWVEKILRGGAPGLSVLEPPPDAAKREGGGGGGAAVGGAEGGRMRRASGIKTLALDISISSACEMGFGKEANKTGEGCGA >Sspon.01G0002990-4D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:7590539:7594126:1 gene:Sspon.01G0002990-4D transcript:Sspon.01G0002990-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLVGNKADLHDNRSVSSQDAQDYAEKNNMFFIETSAKTADNINQLFEASTKNLGRDLQYLATLDGRKLHLFLRRITNVFLEKDHIINKSLWWQNEEAIEANSEDGHQQSYTGTCPMCD >Sspon.02G0035400-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:18994312:18996203:-1 gene:Sspon.02G0035400-1B transcript:Sspon.02G0035400-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIQFRYSQFRYVRSIEKLNTSRGTEGNNNLLKCGQMCTSELRMPFGRSITLLCRMCALVYPKVIQKKAKKFKLASHTSE >Sspon.03G0000300-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:732516:735780:-1 gene:Sspon.03G0000300-1A transcript:Sspon.03G0000300-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding KMSDDVIWHCIRHNHCSFMARITTGIFCRNPYNATGICNRSSCPLANSRYATIRDHAGVFYLYMKTAERAHLPNKSWERVKLPKNYEKAMDVINKHKEFWPKLLVHKIKQRLTKMTQYRIRMRKLQLKVREKVMTMPRKQTQRDLRRLDKAERAAQLEQNIENELKERLTKGVYGDIYNVPFKEFGVVLDMEKGEVAPEEEEEQEGEMEYVEGDDMEEMDDMEDMEDFEGLSAGGTDEDDVLDDPVSKKKGSSSNSNQNAGKRSRKVMTQVEQDEEINTRQRQ >Sspon.05G0032330-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:16313850:16315124:1 gene:Sspon.05G0032330-1C transcript:Sspon.05G0032330-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MENSTRIMAVLALGLLALLCAAGPATAQNCGCQPGYCCSQYGYCGTTEDYCGAGCRSGPCHSGGGGGGGGGSGANVASVVTDAFFNGIKNQAPNWCEGKNFYTRSAFLNAVNAYPGFAHGGSEVEGKREIAAFFAHVTHETGHFCYINEINGASRNYCDANNRQWPCVPGKKYYGRGPLQISWNYNYGPAGKAIGFDGLGNPDRVAQDPVISFKTALWFWMNNVHGVMPQGFGATIRAINGALECNGKNPAAVNARVGYYKDYCKQFGVDPGNNLTC >Sspon.08G0001350-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:4697839:4699199:1 gene:Sspon.08G0001350-1A transcript:Sspon.08G0001350-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASADLPEVVERKKKSNVMYAFVCSILASMASIILGYDIGVMSGAALYIKKDLKITDVQLEILMGILNFYSLIGSFAAGRTSDWIGRRFTVVVAAAFFFVGALLMGLAGDYATLMLGRFVAGVGVGYGLMIAPVYTAEVSPASSRGFLTSFSEVFINVGILLGYVSNYAFARLPLHLGWRVMLGIGAAPSVLLALMVFGMPESPRWLVMKGRLADARVVLDRIAETPEEAAERMADIKAAAGIPHEADGDVVAVPKRKSAEEKQVWKELILSPTPTVRRILLATLGLQFFQQASGVDSVVLYSPRVFQSAGITDDDQLLATTCAVGVTKTVIVLLAAVLLDRVGRRPLMLTSSGGMAASLVGLATGLTVVGRHPHTEAPWAVGLCVTSTLAFVSSYSIGLGPMTAVYTSEIFPLRVRALG >Sspon.08G0011850-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:48166969:48171522:-1 gene:Sspon.08G0011850-3D transcript:Sspon.08G0011850-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LHEHDCTTVTHVYPAPQVRVDHRPLKFPLTRDTAHKQTPIYKPQKKRKFQKKPQKKTGAFEEISKKKIKTGNEPNAEATHPVPVPVPVPVSSTPPLTSTLHLHQKQTSETAQTLVPIPLSLLGLLDRSSRAGAAAAAEAMWPGCGGRFYWAPESAPPGQARGVAVLFAWVWSDDEQLRPFVELYSSLGWRCLVCHPDLVALYLSEKATSLATGVISELVKELRVKPVPTVLASFSGGSKGCMYKVIQLLDGICEGDATMKDYRLVRNCICGQIYDSSPIDFVSDVGTQAFGNSSQSTILRSWMAKALASGMDTLFPSRIEAQRAEYWHTLYSAAGLGPVLIFCSEDDNLAPCHIICGFARRLIELGTEVKLMKWSDSQHVGMLFETKNKSNMYHIHEQLALECHYNSHETEYRTAVDDMLKKALVTFCHRSQLYDSNMAGDQEYKIAHSVCSLHNAAANSNESLRRVANSPSDHFFLPSSKDHDESREPGSLIEDQRRNMSHPPCMEPKGVLGQILFDVCVPKNVEGWDIKPTVSPNGRPTFASARQLGPFNPI >Sspon.07G0019930-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:82840575:82843577:1 gene:Sspon.07G0019930-3C transcript:Sspon.07G0019930-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKMEWALPPATLREKLPRFLQKCAQEFEDDARYRDDPRYLRVWIQLMDYVTDAKPLLKKMERNGIGLKRASFYMAYALYYEKHKRFNDAEKMYRLGIQNLAEPIGELHRAHEQFNFRMESYRRKDKNARKAGSSATSMNQVKGESRNCKELKSNTKQTSGISSNPSLGSYPPLGPAKVGMLSRGNSGANKNLSRCNSDDTVVVRFIGSALVGKSETEDACHHGLVEPTINTKEALDAINSMNSRKENMKLNQEESGFEIFVDEDCPNGNDQNAGQNRNCGKENMKLNQERSRFEIFVDENEANGTVQNAMCYKSNRYPPRPLSDSSRHQGESDFQKPFVGGFAILPDDEEQCEESYGGANIISRTVQPAHDTSTLLCPVQGNSGTRNREGPHPVTSGIREDTVIHRFVGSTIDDEPKVENACYHGLVDPTVNLKEAMDDINNMFGKPLNFKGERTKRKTNAQSDGKVAPVSGFSILADDDLKENSTSKASQSNSCKFGDENGLFEPTITTRDVMAEINDMFGMPLD >Sspon.07G0019870-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:74573254:74574298:1 gene:Sspon.07G0019870-1P transcript:Sspon.07G0019870-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVNSSVVGLKPAAAVPQAAAAASSPAKRVQLVAPEGRRAALLGLAAVFAVTATTGSAKAGIIDEYLEKSKANKELNDKKRLATSGANFARAYTVEFGSCTFPYNFTGKCPSSPTTSRLSARGRRSSSAAPTFSGSGVKLMNEEETWCTCTCVPRCAPKLQEKFGCVIVIIVFVSSHHLIIMNLLH >Sspon.08G0020150-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8C:19931479:19933129:1 gene:Sspon.08G0020150-2C transcript:Sspon.08G0020150-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:OCP3 [Source:Projected from Arabidopsis thaliana (AT5G11270) UniProtKB/TrEMBL;Acc:A0A178UCN6] MATVLPLAAASASAAPRTCISGGPVPAPFLGTRMRLRIHSPPRGVACALRRRPSKYKTKIQSEEDVVAAEDVMDDDDDEDGALEALFKQLEEDLENDDLSVDDDDDGISEEDMARFEKELAEAIEDASGVDESAGDSLLSSGDYGIDEQIDGSKRPELKTWQLRRLARALKIGRRKTSIKNLAGELGLDRGLVIEMLRNPPPKLLLISDSLPDEAPSKSEVKELEPPSSTTIDEVDTTEIKPQLELPVHVMSAEWSARKRLKKVQLETLERVYLRSKRPTNTMISSIVQVTNLPRKTIVKWFEDRREQDGVPDHRVAFKRSLSEIGASS >Sspon.02G0030710-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:93112605:93125280:-1 gene:Sspon.02G0030710-3D transcript:Sspon.02G0030710-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSRLLHLHLRRRGRGRGGSPGSPVPALPLLAARLLSSSSCSPPPTASSASAGGGIEKVLVANRGEIACRVMRTARRLGVATVAVYSDADRGALHVRAADEAVRIGPPPARESYLNAGAIIDAALRTGAQAIHPGYGFLSESADFAQLCEAEGLKFIGPPASAIRDMGDKSASKRIMGAAGVPLVPGYHGADQDIELLKLEADKIGYPVLIKPTHGGGGKGMRIVQSPDEFVDAVLSAQREAAASFGVNTLLIEKYITQPRHVEVQVFGDQHGNAIYLYERDCSLQRRHQKIIEEAPAPNVSAEFRSHIGGAAVSAAKAVGYYNAGTVEFIVDTLSGEFYFMEMNTRLQVEHPVTEMIVGQDLVEWQIRVANGERLPLSQEQVPLNGHAFEARIYAENVPRGFLPATGTLHHYRPVPSDPTVRVETGVEEGDTVSMHYDPMIAKLVVWGESRTAALVKLKNCLSNFQIAGLPTNVGFLQELASHSAFERGLVDTHFIECYKDDLLSISTKSSEESHGVSELGAILAAACICKKDHITSKESQRANNTLSVWYNNPPFRMHHFARHPLDLELEENDGFSEKLLKLFITHKSDGSYFIETEDGTSGLDVKVDHKGDHDFRVDVSGLQTDVTLASYSKGNSKHIHVWHGKHHHHYRQTVRTEHVVDDSSQPSHASEGKSHPKGSVLAPMAGLVVKVLLEDGAQVEAGQPVMVMEAMKMEHVVKAPRAGYVEGLKVTAGQQVFDSSVLFTIKDNAAS >Sspon.03G0016150-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3A:51481658:51481844:1 gene:Sspon.03G0016150-1A transcript:Sspon.03G0016150-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding KAYPNAVSGFVDVQDVARAHVLVSETPAAHGRYLCIGEVVHRSEFVQTMRELFPQYPITTK >Sspon.07G0006520-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7A:16883824:16888164:1 gene:Sspon.07G0006520-1A transcript:Sspon.07G0006520-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRSPAPASSATRRYSSPVLCSRRTSSSCPWPGTTSSSAPSGSAGWGPLLATAAGPAARALSAGEPLLEALLDAFAGSSPLRLACPPKRAHDHRILL >Sspon.03G0016130-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:81851303:81860171:-1 gene:Sspon.03G0016130-3C transcript:Sspon.03G0016130-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTEARVGVVVDGGGGSGSRAAVGRCQEQRHIGTAAHLAAGGFAGAVSKTCTAPLARLTILFQVAGMHSDVATLKKYSIWHEASRIFREEGFGAFWKGNLVTIVHRLPYSAISFYSYERYKNLLQTVPGLDTDSNNVGVVRLLGGGLAGITAASLTYPLDVVRTRLATQKTTRYYKGIFHAVSTICRDEGVKGLYKGLGATLLGVAPSIAISFSVYESLRSHWQMERPHDSTAVVSLFSGSLSGIASSTATFPLDLVKRRMQLQGAAGTSSVQKSTITGTIRDILQREGLRGFYRGIAPEYLKVVPSVGIAFMTYETLKSLLSSIDTDDES >Sspon.01G0021640-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1A:79467820:79468356:-1 gene:Sspon.01G0021640-1A transcript:Sspon.01G0021640-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RAHLSMSEKASSQAHPSALSSASYSSKQSKARGHGGDEGSGGGSGTHGQGLARSRLMAAGKGGGTTHGEVGEDVELAMDVGLEGRAERTASGVRGSRPRAGAWPAREIVQPARRLARPAWRGARASIGIEGTTGWPKRRGRWGGMQGRCSLAMAGWWRERLRPGKKRSVGRRKKDEKI >Sspon.02G0004470-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:8753730:8754911:-1 gene:Sspon.02G0004470-4D transcript:Sspon.02G0004470-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor, Brassinosteroid (BR)-regulated growth response, Feedback inhibition of BR biosynthesi [Source: Projected from Oryza sativa (Os07g0580500)] MTSGAAAVAAAGALGRTPTWKERENNKRRERRRRAIAAKIFTGLRALGNYKLPKHCDNNEVLKALCREAGWVVEDDGTTYRKGCKPPPGMMSPCSSSQLLSAPSSSFPSPVPSYHASPASSSFPSPTRLDHSSGSNHHNHNPGPAAAAAASSLLPFLRGLPNLPPLRVSSSAPVTPPLSSPTAASRPPTKVRKPDWDNAVADPFRHPFFAVSAPASPTRARRREHPDTIPECDESDVCSTVDSGRWISFQVGAATTAPASPTYNLVNPAGGASASNSMELDGMAAADIGGRGGGPAEFEFDKGRVTPWEGERIHEVAAEELELTLGVGAK >Sspon.04G0031010-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:4623748:4636157:1 gene:Sspon.04G0031010-1C transcript:Sspon.04G0031010-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G39190) UniProtKB/TrEMBL;Acc:O80962] MATLVRPPVLHVRAVSGGGGNKDKVAQRPWWGGNKPVSPRQARQSGGSGARGGAGALDQVLGVLRRDGEFLQAAAAAPLRDVFWLRFLEKKQQQPRPKPKPLRQQQEEEVVVVDESSPAFPPPSYPPGLSCVELMAADFQALKVYAGSAQHSLARRFLGSKGQSKPEHQPKPRPQEQQKEQQQILQAPAFPPPSYPPGLSCMELMMADLEALKLYINYYSAILTTPLPQHYDPDLLAQYFASRPHILASRVIQIFKRAQLATDSTHSSWSSSKGFDDTQYMVGQLLKETFLNLGPTFIKGWVVMLGKTASRQGGVGQSLSTRPDIIGSEICEALAELHERVPAFPREDAMKIIEGEFECPVSHMFSYVSDEPVAAASFGQVYQGRTVDGALVAIKVQRPDLLPFVLRDIYILRLGSFTSYNLFQLSFIRKIAKRRSNISLYADELGRGFVGELDYNIEAANATKFMEVHSRYPFMLVPKVLKQFTRKRVLTMEWVDGENPKDLLSLSKGVSEKNTQASDKQKLEAKSRLLDLDLEDALGEVTFEDGIPEIKFSKVLGKIWSVAFKYHFRMPPYYTLVLRSIASLEGLAVAADGTFKTFQAAYPYVVRKLLSDNSLATRRLLNQAIFNKRKEFQWQKIAAFLKLASARTNFKYNSEVLPEPDMKAINVASLVEISDLSSLDHATATPERALHTANLCVRLLLSKDSVVIRRLIMTANAKSLARDLISRDALMFRVLLSKVIADVVCQWMLNATGLKRVAETRIQTPMTTGKNEGHLVLAEESSTLMALQAAVKDRRMQLIFTKFVRELREEPVLMIRVSWNMFLISVQAAAIGLHRFMVFLLKEGNARFLDDKVKKMRIWLMEFWKRTANLEIEGVR >Sspon.02G0013460-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:33399894:33401676:-1 gene:Sspon.02G0013460-2B transcript:Sspon.02G0013460-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGHGGGAGAGKLTRTPSSLLRSPTVRNCSSFQAVVVEDPEPDDKKAQAHPKAPPHHFHPGGGGFGGPAHPLLVLALPLAFLLLLLLLRGGGGGDGHHLALLAASAAAALAAAAGAARLLRGRLRLRRSPGSGSVQWFIGDDDDKPQKRADKAAAAPHGRVVREGVEFYSNGDCYEGEFHKGRCNGSGVYNFFGKGKYEGDWVDGKYDGYGIESWARGSRYRGQYRQGLRHGHGVYRFYSGDCYAGEWAGGQSHGIGAQTCSDGSSYVGEFKCGVKHGLGSYHFRNGDRYAGEYFGDKIHGFGVYSFANGHCYEGSWHEGKKQGFGMYTFRNGDKRSGDWDSGTLRTPLPPADPSVQRAVQAAQRAAENAFRLPRVDEQVHKAVMAANRAATAARVAAIKAVQNRMDGKFCDTYV >Sspon.01G0031960-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:108809695:108811455:-1 gene:Sspon.01G0031960-1A transcript:Sspon.01G0031960-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGAPVLVAVALACAGLLWLRSKEMRDIPGTMGWPVIGETFSFISDFSSPAGILSFMRDRQRRFGKVFKTYVLGRITVFMTGRDAAKILLSGKDGVVSLNLFYTGKQVLGPTSLLTTNGEEHKKLRRLIGEPLSVDALKKYLGFINDLAVQTLDTWHGRSRVLVLEEASSFTLKVIANMLVSLEPEGEEQEKFRANFKVISSSFASLPLKVPGTAFHRGLKARNRMYAMLDSVIARRRREGGEAPSDFLQTLLRKHAGDEADKLTDAQLKDNILTLLVAGHDTTTAGLTWLVKFLGENPDVLEKLREEHLEIKERLNGSMRLRWSDVNNMPYTNKVMNETLRRATILPWFSRKAAQDFSIDGYEIKKGTSVNLDVVSIHHDPSVFADPERFNPNRFDETLKPYSFLGFGSGPRMCPGMSLAKLEICVFVHHLVSRY >Sspon.06G0031920-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:74777079:74781355:1 gene:Sspon.06G0031920-1C transcript:Sspon.06G0031920-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISSSSGQYNSSSPWRAATTTLLLALAAGSLLLPALARGSSEHDQQREDDLIRGLPGLPNDDVPFDMYGGYITVDEEAGRALYYWFQEADRTEVQDPDAAPLLLWLNGGPGCSSIGGGALEELGAFRVHTDGERLLRNEFAWNRGMKLMGHIHNSQTNRDMQVKLTCERHAAANVLFLESPAGVGFSYSNTSSDLIVGDNRTAHDAYTFLVKWFERFPKYKYRDFYIAGESYGGHYVPQLSQLVYRNNIGVEKPSINFKGFMVGNGLTNDRTDMIGMFEFWWHHGLISDETLDSGLKICPGSSFIHIEPECQKIWDKAVEEQGNIDGYSIYTPPCDKGTPYARRLRRISRRPLMLPAYDPCTAFYSTKYLNLPQVQTAMHANVSGIINYPWVLCSDPLYYNWTDTPASMLPIYKELIGAGLKVWVFSGDTDTAVPLSGTRRSLAALGLPVKTSWYPWYIVSTEVGGWSMEYEGLTYVTVRGAGHEVPLHRPEQAFFLFKQFLM >Sspon.02G0031710-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:90103412:90107302:1 gene:Sspon.02G0031710-3D transcript:Sspon.02G0031710-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRWPRPPPARNFRVRLVVRRAEGLPPPPAPLSPEGSPEAEAKVFVEVSWKGPKMSPLTSLRRSQRPPRNQTRKEALPAVAAAAPADLEDGAAAASAPAAVSAVAWEEEFERDAALTATSHRDPAAFHPWDVFFSVVSESNKMSKSKLVLGTASLNLADYASAAEEEIEIILPLSVPSSATDLAPSLHLTLSLAELRISQQSPGASQRSVVAPLSPSSGDSVPSGKDEVSVIKVGLRNLKILRDLVSTRRFKKTNQDYDGSEEKYYVHSDGAEFSCDTDSLDDDLDDREQDDEFGGSTVRKSFSYGSLQTMNVGALLYAPRIDGDDEGWVHYSHRNSDVSYHVEQVPSSTTEEHPSFPLRRKRSLFPARWRKTKLPKAKGEPLLKPYGEEGGDDIDYDRRLLTPSDGSVSEGSNGSTNSMVSVFGDDDFVVGNWELKEVFSRDGHLKLSTQVSQFDNLIREGSLEWRKLCENESYRERFPDKHFDLETVLHAKIRPLTVAPSKSFIGFFHPEGTEDVTGFDFLDGAMSFDNIWDEISRAAECSSGKPTLYIVSWNDHFFVLKVDADAYYIIDTLGERLSEGCNQAYILKFDDTTTIHKVPAEKKEANPDTGGRHKDSSEISCSTEQDSGTDTEECELVLTGKDACKEYIKSFLAAIPIRELQADIKKGLMASTPLHHRLQIEFHYTESCPAEITVPAPLSPFEAPFEFCWPEPPSPPPAIEFAITHPPAMEVAITQA >Sspon.02G0002700-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:6645310:6648880:-1 gene:Sspon.02G0002700-2B transcript:Sspon.02G0002700-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEKEFRGMLDLFPVVRSRDYCADSGTSSRGTRQRSRVQEATKGNNKDSSAAQDMFMQKLKMAAEKKIGATKAEFFCKAFEEAHEKLVYRELNLDAAKKFLSAYES >Sspon.08G0027740-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8D:1021676:1023061:-1 gene:Sspon.08G0027740-1D transcript:Sspon.08G0027740-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding METTGTDRDGGRGGAWSNLGFSPYSIGSSWSSSGTIVSTGYTFTGSHVSSISTEASMAMYLPFDYYLCPEQMEMKRKHMKSMVQEFFGAPLAQRNSKTNLLKRKLLGALSVNRGILERWLTELGVDWVLHLADGAVTLEHTLPAQSWIRALAEITETIRLASASLFPDRGSLGLPSISEDKEDPVAESGSNASPPDPFQYAQFIHETMSEMLAFVDVIVAPNAKASIQQIEVVTLMDRGGTQQYGKLSTLLGVHDALSRALAKIQLSFHSSSSTAQVQRIQGKTVRLLSAREDKVGEAIWSTLEHIRTRVLESIEDDGVDSSGSQILQGSPDIHKATRTVTRHISFLRSHYSPVNAVVSEAASRGKYVPQVGDLFPLNSMIVELASCLEEKLVNMSKSFPDQGLGFLFLLNNSYFIRERLLFLFLLNNSDFIRGSLEKYSALDVHVAALFEKVEGYIESYLL >Sspon.05G0014600-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:51896398:51900626:-1 gene:Sspon.05G0014600-4D transcript:Sspon.05G0014600-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to Ethylene receptor-like protein 1 [Source: Projected from Oryza sativa (Os04g0169100)] MVVGTALPRGVSVSSVWILLLLSSLLLLSPSAASVDFSHCGGCDDADDGALSSAYNILQCQKVGDFLIAAAYFSIPLELLYFATCSDLFPLKWIVLQFGAFIVLCGLTHLITVFTYEPHSFHLVLALTVAKFLTALVSFATAITLLTLIPQLLRVKVRENFLMNKARQLDREVGMMKREEEASWHVRMLTQEIRKSLDRHTILYTTMVELSKALELQNCAVWMPDETRSEMILTHQLRERDIMDPQNRSIPIDDPDVQEIKATKNAKVLGPDSALGVASRSKLEAGPVAAIRMPMLRVSNFKGGTPEVMQTSYAILVLVLPNDASLGWGRRELEIVEVVADQVAVALSHAALLEESQLMREKLAEQHRDLLRAKHEAMRAGEARNSFQTAMYDGMRRPMHSILGLVSMMQQESMNPEQRLVMDAIAKTSSVASTLMNDVMQTSTMNCEHLSLVRRPFNLHSFIKEAVGAVRCLTGCKGVEFEFQVDNSLPERIIGDEKRVFHIVLHMVGTLINRCNAGCISLYVNGHNEVEERHNHDWMLRRANFSGGYACVKFEIRVRKSKDNLLSSSSSQISQGSKPSNSEMGLSFNMCKKIVQMMNGNIWSVSDSKSIGETIMLVLQFQLQPVTPVSGASSDLYRSSAIPNFNGLRVLLADSDDTNRAVTHRLLEKLGCRVLSVASGVQCMSSFAAESSFQLVILDLAMQTMDGFEVALAIRKFSSNSWLPLIVALAARIDDNIRDRCQMSGINVLIQKPVTLAALGDELYRVLQNN >Sspon.05G0011110-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:26387378:26390827:1 gene:Sspon.05G0011110-2B transcript:Sspon.05G0011110-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding HGRRGEGGRAGDGLRREAPQRARLQAGAPQGDDAVQDAGHLLLDDDALHGDHAAVREQPAVRGAGATRLGLGRRHLLHLVRRHRHGRDLLLLPTTGSLYFWAAHLAGPVWGPLASWCCAWLEAIGLIAGIGTQAYAGSQVLQSIILLCTGTNTGGGYLTPRWLFLVMYIGLTLIWAVLNTFALEVIAFLDVISMWWQVIGGTVIVVMLPLVAKTTQPASYVFTHFQTAPDVTGISSSAYAVVLSFLVSQYSLYGYDAAAHLTEETKGADKNGPIAILSSIGIISVFGWAYILALTFSIQDFSYLYNPNNETAGTFVPAQILYDAFHGRYNSSVGAIVLLFVIWGSFFFGGLSITTSAARVVYALSRDRGVPLSSVWRRIHPRHKVPANAVWLCAAVCALLGLPILRINVVFTAITSIATIGWVGGYAVPIFARMVMREDDFRPGPFYLGRASRPVCLVAFLWICYTCSVFLLPTVYPIKMDTFNYAPIALGVVLGLIMLWWLLDARKWFKGPVRNIDEHNNGSKV >Sspon.07G0027820-3D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7D:54755187:54756212:1 gene:Sspon.07G0027820-3D transcript:Sspon.07G0027820-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTTTTAMRTSLVLLLLLLAAASAAAASSSKDRCHSDDKKALLAINVAFGTPYHFASWTPDSSCCDWYDVDCDPFTGRVVGLSIFQDANLTGTIPDAIAGLAHLRNLMLHHLPGISGPIPPAIAKLSNLSMLIISYTGVSGPVPSFLGKLTALTLLELPFNSLTGAIPASLAALPYLSGIDLSRNRLTGAIPPLLLSRSPDQAYLVLSHNNLSGGIPAEFAAVNFAHLDLSRNALTGGGASGLFGRAKELQYLDLSRNALSFNLSGVELPEQLYFVDVSHNAIYGGIPAQVANLTNLQFFNVSYNRLCGGVPTGGNTARFDAYNYQHNKCLCGPPLPTCNK >Sspon.03G0007260-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:19830859:19831567:-1 gene:Sspon.03G0007260-1A transcript:Sspon.03G0007260-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPAGACRRRRAPRPHLPLFVIVLLIFSLPIPRASALRVPLRQAATLVSLSHSLLSRVAATRAARGDAAAAARARRIASLLSSRGAWGLGWDYLRHYAFSSATGCGLSCAAAASRLIAAAAEASRLRSATDAAQWMRRHYGDIRDAAAQLLNGLLLAFSEEGPLREVVMDVKWEVEEGELLKDCLEVGAKDLQGLLVIAKDLFAGVSRTSTPH >Sspon.06G0031580-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:69236124:69240549:1 gene:Sspon.06G0031580-1C transcript:Sspon.06G0031580-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHTEALYANEVEQNDDENQNTSGDGNEVPDKRKGTVLTHVWDLLEGDRIVTKFLYPRSCEKWILKSIGRDWRKYKATLKKTLFNPKKKKSVLNKRCPDDIDEDQWRALVKYWKSSEGQNLSEKNKISREMKKTTHTAGTKSYARWSEDMRQADPEKKQPHRAMVYLATHRKRGKGINEPVVELESLLNAQPELAQNSEEGVAWEGDALHQVLGEEKAGQVHGMGLLPVPKQVYGRRTHHFKDINIVSLDGSSSDVETHMLEEIRQLKEHSRMQDKVIEELKNNQRHHENQEVIMGNCARSDLNNSQNQAVRFKRKRVHCLAPNQNDGFLEHIDELNKETCESEFSDDDSLLLSTTSRTTKKQKGQHGGPGETTTIAHQEVAHDKATCYKRQTPKQLPLMKVGSMVLLMTSKYPNKAHVAYATLLSTDPEATVGGVKTGSQFYKVRINHVIAKDEPLVRPMPRCNNIGDAQAKGVSIAWPSIGRKLYTAFLLTSPPATGIRQRRPWVPS >Sspon.08G0004700-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:14367725:14369055:-1 gene:Sspon.08G0004700-2C transcript:Sspon.08G0004700-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFDVQVLESSFVAPSEPTPRKGLWLSSLDTVQANKGHTPTIYLYSPKDVATAADFFDVARLKEAMAKALVAFYPLAGRLSVNDDDGRVEIDCNDEGALFVVARADDLTVDDVKEFKPSPELRRLFVPRIESSSIILAIQTWSAFSKHGDRAAVEFPYPTPRTVPANSPPRRTLDAAH >Sspon.06G0006580-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:23696134:23698055:-1 gene:Sspon.06G0006580-1A transcript:Sspon.06G0006580-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKQGVVVPVPEAAVAVPPNSAPLFQYPPPRAARASPCARSTCDGRGRRRRRRIAGGWVDSMRASSPTHARAAAALAAGVDEERYAAWMVKHPSALGMFDQVVAASKGKQIVVFLDYDGTLSPIVDDPDAAYMSDTMRRAVRSVAKHFPTAIVSGRCRDKVFEFVKLAELYYAGSHGMDIKGPAKGSRHTKAAKVPIHPSKPLFLPLPHRLRPPAPKGGRYLSLLCPLCGSQAKGVLFQPASQFLPMIEQVHDSLVEKTKCIPGAKVENNKFCVSVHFRCVDEKSWSTLADMVKSVLKDYPKLKLTQGRMVFEVRPSIKWDKGKALEFLLESLGFADCTDVLPVYIGDDRTDEDAFKVLRKRGQGVGILVSKHPKDTSASYSLQAPAEASAVSVSVSISFSGPGVGVVPGLSPPPSVRFDLPPATCPPRH >Sspon.01G0029070-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:97601311:97604147:-1 gene:Sspon.01G0029070-2D transcript:Sspon.01G0029070-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRECISVHIGQAGIQVGNACWELYCLEHGIQPDGQVPGDKTAGHHDDAFSTFFSQTGAGKHVPRAIFVDLEPTVIDEVRTGTYRQLFHPEQLISGKEDAANNFARGHYTIGKEIVDLCLDRIRKLADNCTGLQGFLVFNAVGGGTGSGLGSLLLERLSVEYGKKSKLGFTVYPSPQVSTSVVEPYNSVLSTHSLLEHTDVSILLDNEAIYDICRRSLDIERPNYSNLNRLVSQVISSLTASLRFDGALNVDVNEFQTNLVPYPRIHFMLSSYAPVISSAKAFHEQLSVAEITSSAFEPASMMVKCDPRHGKYMACCLMYRGDVVPKDVNAAVALIKTKRTIQFVDWCPTGFKCGINYQAPTVVPGADLAKVQRAVCMISNSTSVVEVFSRINSKFDLMYAKRAFVHWYVGEGMEEGEFSEAREDLAALEKDYEEVAAEGGGDDGEEDEEY >Sspon.07G0029460-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:76271260:76279939:1 gene:Sspon.07G0029460-1B transcript:Sspon.07G0029460-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLFERDRYQRLDGGGAGAGAARRPPPSSSFCSSATIVVFVALCLVAAWMMASSNNIAVTVTPENNSGAKDQDGSVDVARASDEVADTGRKDDVAGGEGVGAGGGGTQSTEENGDTGKKDDGSGGDASQTKEDAGDTGNKYDGSGGDASQTKEDADGDTGNSKDDGAGAAQTTEPVTTDGNDVNQSDVAGRTDAAANSTARGTDAEDSGEPASGIVAEGDTPSKNQTFSDENGKTEGGEVAKPEDPDKKVEQSAEQTVIDGNTSNTTTTGGQDQDEKNNETGGQVDKSEEEASTDDKSTAGQVDNTAQEASTTDDDNTGGQANNSTKETPTESKETVGSDDGTTKNQTSFDDMNGNMDGAQRVKEDEKVAEKSSDETASGDKVESTDDDASTGAASKNATSGGQNVAAETMAFAAADDGASGNITEDSYAMNSSATTEEKKPTAGEGDAAEKTDLLPSGQAELLNETASAVAENGAFPTQAAESSEEKEARAGKNKKNKKQKSKEQGAASGETASAEKETYTHNWKLCNVSTGADYIPCLDNEAAIKKLKSNKHYEHRERHCPAESPACLVPLPEGYRQPIPWPYSRDKIWYHNVPHTMLARVVLDVGCGVASFGGFLFDKDALTMSFAPKDEHEAQVQFALERGIPAVSAVMGTKRLPFPGNAFDLIHCARCRVPWHIEGGTLLLEVNRLLRPGGLFVWSATPVYRKVPEDVEIWHAMAALTKSMCWEMVKKTSDTVDQTAMVVFKKPTSNECYDARTRAEPPLCGPSDDQDAAWNVTLRPCMHRVPTDASARGSRWPAQWPERLATTPYWLSADQVGVYGKPAPADFAADQEHWRKVVDNSYLHGMGIDWKNVRNVMDMRAVYGGFAAALRDMKVWVMNVVTVDSPDTLPIIYERGMFGMYHDWCESFSTYPRTYDLVHADHLFSKLKSRCKLLPVIAEVDRILRPEGKLIVRDDKATVEEVQSIARSLHWEVRMTVSKQGQGLLCVRKTMWRPTQIEALS >Sspon.04G0017920-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:64977099:64982152:-1 gene:Sspon.04G0017920-1A transcript:Sspon.04G0017920-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMMQPQIILLKEGTDTSQGRAQVVSNINACTAVGDTVRTTLGPRGMDKLIHDDKGGVTISNDGATIMRLLDIVHPAAKILVDIAKSQDSEVGDGTTTVVLLASEFLKEAKPYIEDGVHPHSLIRSYRTAGNMAIQRVKELAVSIEGKSLEEKKTLLAKCAATTLSSKLIGGEKEFFASMVVDAVLAIGNDDRLNLIGIKKVPGGTMRDSFLVNGVAFKKTFSYAGFEQQPKKLSDPLQYQSIVDAEWNIIYDKLDKCVKSGAKIVLSRLAIGDLATQYFADRDIFCAGRVTEEDLQRVAAATGGTVQTSVNNVIDEVLGSCEVFEEKQVGNERFNIFSGCPSGQTATIVLRGGADQFIEEAERSLHDAIMIVRRALKNSTVVPGGGAIDMEISKYLRQHARTIAGKSQFFVNSFAKALEVIPRQLCDNAGFDATDVLNKLRQKHASGEGANYGVDINTGGIADSFANFVWEPAVVKINAINAATEASCLILSVDETVKNPKSESAQGEAAAGAMAGRGGGAMRGRGGRGMRRR >Sspon.02G0027980-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:103821269:103822041:-1 gene:Sspon.02G0027980-2D transcript:Sspon.02G0027980-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGGGRGKPKGTKSVTRSTKAGLQFPVGRIARYLKTGKYAERVGGGAPVYLSAVLEYLAAEVLELAGNAARDNKKNRIVPRHIQLAVRNDEELSKLLGAVTIAAGGVLPNIHQTLLPKKAGGKGKADIGSASQEF >Sspon.06G0009360-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:38699059:38703777:1 gene:Sspon.06G0009360-3D transcript:Sspon.06G0009360-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AUGMIN subunit 2 [Source:Projected from Arabidopsis thaliana (AT2G32980) UniProtKB/Swiss-Prot;Acc:O48767] MAAAQPKPAKRLGGMAEALAIAADLGFPAPTTQEDQSSSDKSDDLVKVLRELTVVQRNIANLQVELQGRKVIFMKFRLPQDDKNIAHLTHVSEMEKKCESLARITTILKDVIQNKDRIIARLQQPYSLDCIPVEAEYQKQFSELLLKAASDYGALTASVGDFQWSQNFRESPAVWGEMLRPIPAALASCTRFFEAMSAMRESFSTLQKLRVGHSSLSLTPSGSSDDSKFLTPPQWRDGSMLDSWKQVEDINPESDGLADAMNQRRLSWPSIKRDP >Sspon.08G0015420-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8B:56852140:56853276:-1 gene:Sspon.08G0015420-2B transcript:Sspon.08G0015420-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALACLFTMQLLRKRHKKEKDEYFRQNGGLKLYDEMRSRKVDTILILTEKEIKGAADNYNEDKVLGCGGHGMVYRGTLDDHTEVAIKKSKVINDDCREEFVNEIIILSQINHRIIVRLLGCCLDVDVPMLVYEFVSNGTLSDFHHGSGHRPPIPLDLRLKIATQSTEALAYLHSSTSRTILHGDVKSANILLDDEHNAKVADFGASALKSMDESKEVAVVLQKMTKLDMHCLSPSGEDRPTMKEVAECLQILRRLHMHATSAHRDSYYTQNHEGLSSLAVPLDSMTYRSMETSRLVWE >Sspon.04G0010360-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:30998551:31022244:-1 gene:Sspon.04G0010360-1A transcript:Sspon.04G0010360-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEPGAGVRGGEGHVADAQRLGPAEVALSTATAPGPVHGLVGDDTVEVCHAPEARLSTEIDDKGSDFALGLVTPVECASSSFQGRRFQRGEEEGSSSEQRVMYMAEGKEGSLAPAASAENASLQICHEANGRLSNKTLPSPCREAVCSEDARCAYDILEKTTEGSQFEQVGLTGNGGDCDVMVGVVKETVEDLRTVCNGAKHVYEGLSVSVNDGSEQEPCGVDGIGSIDELQPDGLISDIEAVSMPAHEDSVPSISGSIDLSLDRKAGQFGGISADPGMCHRSDRGMWNGDLAHDNGNLSDPGKHHSEKLPSGAEGLTLITGANYELEKVGFLPNIDAVNFCPVVETSVPSIYGSSIDVPLDGQAVELQMEAYGDGNQHFGPCPDKELQQVSLKYGASELPPERNQLVYSYNQLCNDEPCCSSKEPSALCLGHQDAVGRSDHLDQGLNACNSADDSSVDFIGNANDGESQSQKLTALQLFIRRNPKRAASSRSLNSEKQDRIGKGSSGSRKPKKVDIMSSLHQSTVDMFPNKITKGRSGMNRPPKSSTWGNLEQLLDCCPRYGPSTSNAHPICLDKGISYNRSDQRSQPSIRRSRSSRSSKSKCSSFSEIGHAANELDGKPTLTTVADIGHAASELNEQPTFSIVADTDISLECHRGNIPNLSSDKLINILDSTGKTTESIDSHRTESKCIQTDVQQRERALVSCTKETCTAYVHGECAKLSTSEPMDNANGSVMLHIGFSPDSVLEVASVTCEGNASASHDVMLHENPTDAGALNGGDPSILSTSDCGKEHASSLMNLEQHARSTLHEDTRKEEVGPSHGTVENDIGEGKVQALQKSNSVRKNGIVRKAGCKKKDGSKGVKKNVIGYSKVSPCESSKLRPFSSGPISPGPPDDGSCFEALTSGSQDLSMHEHDGIQSHSVVHGDKGSAFDSMKSPRRKIKDTNARKKGKVRDPHKKEKGKKKNTSGETSLDHGLLHLPSTEQAASHMNEQSNLDPTSELAFKNSGAISTDLPGNVACKMDGASVAPLPPRAAWVCCDDCQKWRCIPAELADVIGETNCRWTCKDNGDKAFADCSIPQVKTNAEINAELDLSDASADEADNGESNSKACKPPSWTLVRSNLFLHRNRRTQSIDESMVCNCKPPQDGRMGCRDGCLNRMLNIECAKRTCPCGEQCSNQKLCKTEMVPFGKKGYGLQLQEDVTEGRFLTEYVGEVLDITSYESRQRYYASKGQKHFYFMALNGGEVIDACTKGNLGRFINHSCSPNCRTEKWMVNGEVCIGIFALRNIKKGEELTFDYNYVRVSGAAPQKCFCGTAKCRGYLGGDVSIVDTIITQDDTEADHFEQMVVDKDSEELLGPNGSDSDGSHPNISETEFSIQGEDLHDSSAAKVELDLLEETRGTPFETSEPEHSLEAWSPPEDEDVNRTPVHVSRTFESSLQTFPVHDTQSSDLLRKTANSTEGSKAPNIINGSTLSSDFRGNLVPTFSATERKNLKQHKNQKPQPSSPIDNEHILGVEGRLNSLLDVDGGISKRKDATNGYLKLLLVTAAEGGSAGGTSKSMRDLSLILEALLKQNHIRFCWISSIRMNRHIFHRTPITRKLLKVLEFLAQKGILTSEHINGGPRCAGVESFRDSMLSLTRHQDVQVHQIARSFRDRWIPRSTSTFVYDVQGTNTVWSSDRRKRKSRWDYQPDEHYKMVGLKIQKVFCGFMRNKLQRNQGANNYCTDVLGMGSSTQGADDEVPPGFESQLECRPAQLSIGSEVAPGLCMESTLEPLNLKEANAIKNGKLHLACLLVLFHHCLPIHEGVLAPPFHHPRCFSMMEHPKLNITILDNAEGSWAEMGECIGHGEMGQDQNGHTIKEGNFRALIIDLKDSNLQNLNSD >Sspon.07G0027220-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:53000792:53004979:-1 gene:Sspon.07G0027220-3D transcript:Sspon.07G0027220-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AGGGGGGAARRRRRGRGDGAGRRHGHGGGRRGRGRRRRHAAGRRRGRGDRRHRQLPRPGRPRLRPLPHHPRVRPPHHPRRYVSTDGHWCFVVFWVVPRSSSIKIRWASLKNRLMSMCPSSYSIPFYPDISQPGPSQFYLLKLLSPDRKGLLHDVTHILSDLELIIQRVKVSTTPDGRVVNLFFITDGMELLHKKERQEETCSTLIATLGPSISCEVLSAEGFQQGFSSLPPKIAEELFRVELADSEICSSSLSAELKKVQTATINFDNSLSPAHTLVQIICADQKGLIYDILRTMKDCNIQIFYGRFRSDKKGSVSKGCREVDLFVKQVDGKKVVDPEKQDALQSRLRSEMLHPLRVMIVGRGPDTELLVANPVELSGKGRPRVFYDATLALKALGICIFSAEIGRQAASERQWEVYRFLLDDSKEFPLANSLTNRNRVVDRRAKGGRCKEDIYDDGHASRRWRAQFGKATCAAGG >Sspon.02G0006480-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:20298622:20303516:1 gene:Sspon.02G0006480-2C transcript:Sspon.02G0006480-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSTSNYDFRDNNEHRRCITASLVKGTYVLESDRTKNRENTSHALAPAWWETSHFRKLPEYKLERACKCVICEMRHKFGSGSFVYGAVFEYTPPKGAPRHPSAPSHIVAFRGTMIRDSTVLIDMLHDLRILINKQHTCCRFVDARKNVRRLLSEKPDNNGRGEIWLAGHSLGASVALDVGREMVTRKGCNLPTFLFNPPHVSAAPLVDAVMPEEAKRDLYISSYDLKGVLGMTVLRSHRKHMEELFQQLFPDIICKGFIDYFEQREQVQEWSTRVANSAAATLLYRDLLLRPTVFLLHRDTATHTAV >Sspon.02G0010320-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:32699247:32703387:-1 gene:Sspon.02G0010320-3C transcript:Sspon.02G0010320-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LAAHGTPRHASTRPTPVTSRGFGAFAPRPAPPRSSSIWNGNGTPLSSGPSLCFCFSPRHRSNPGQDRVPQHQNQTPPHHSARRDHFASSSPPPSSAPLGSRVSFSSSSSSGGWVHLSPRPAVDPPQRQDKSKARSVCAGGFAARSLMLHIVNMKMNVPSVQRRKKLFVITWLSGNGFAVKSDPIKVPPSIEVPELSFEELKQKTDNFGSKALIGEGSYGRVYYAILDSEKHVAVKKLDASTDPELDNEFLTQVSIASKLKHDNFVEMLGYCVEGNQRLVAYEFATMGSLHDILHGRKGVPGAQPGPALDWMQWVKIAIDAAKGLEYLHEKVQPSIVHRDIRSSNVLLFEDYKAKIADFNLSNQSPDMAARLHSTRVLGTFGYHAPDLVQFMAMSLHVHSILKLLATPRLTEDTVKQCVDPRLKGEYPPKGVAKLAAVAALCVQYESEFRPSMSIVVKALSPLLQHKPQPPPATTTDATAPSDA >Sspon.02G0037110-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2B:29497256:29498336:-1 gene:Sspon.02G0037110-1B transcript:Sspon.02G0037110-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding EGSSSSKKKDLAFKASHDKKKKKGQAMMVQESSSESDVDDESLALMVRKTTKMLKKLNKSGIKFDGKKKKFFTSSKRKPISEMDCYNCGELGHLAHQCPRPPKDKYKNKNKGKKNDSSDEEEERKKNKPYKKKDGKKKEFHKKKKGGKAYIVGDWLTDIESSCESSEDESNDEKEKVAAFVMGPSSSPTSSSPPSPPPSPSSSTTHLCLMAKGERKVQNHDSDDDDDSDSDDEYDAPSYDELVKLLNKYTKVIRRTRNENDELQNENESLSSKLESAQKAS >Sspon.04G0022580-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:7532681:7533738:1 gene:Sspon.04G0022580-1B transcript:Sspon.04G0022580-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAVAVALPASAFPSGLPPGAPTFPNPWAAFQNLSGCHMGDERQGLAGLKDYLSHFGYLPPPPSSSPFSDAFDQDLEAAIATYQRNFGLNATGALDASTVSQMVAPRCGVADVINGTSTMARSSSADAHGRHLYAYFPGEPTWPPFRRDLRYAITATSETSIDRSTLSDVFARAFSRWAAATNLRFAETASESDADITIGFYAGSHGDGEPFDGPLGTLAHAFSPTDGRFHLDAAEAWRRVAVVDARAVDLESVAVHEIGHLLGLGHSSVPDAIMYPTIRTGTRKVELEADDVQGIQSLYGSNPNFTPTSPATSSPEMDSSAGAGFRPDRVFVGVVAPVGLLLVIVP >Sspon.08G0027410-1P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8C:47882926:47883698:1 gene:Sspon.08G0027410-1P transcript:Sspon.08G0027410-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFAVASKTKRAPLAVAGLLKKLLLAAPSASGAAPAPAAAALRPACVATARRLFSTGGAPFRRDDFESEEYSGDEDVVYDRLRSRGFSFSVNSSADEPMSLGRLLALMEDEAAAPRRECWVSKVDADAVKLKVAMPGLGKEHVKVWADQEELAIVGEGDKDTEYDDDEEAPAWYGHRIEFPADTFKMDQIKAEMKHGVLKVTVPKIKLEEREDVFVVKVE >Sspon.02G0015050-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:39337042:39338970:1 gene:Sspon.02G0015050-2B transcript:Sspon.02G0015050-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALNGGKTFVQDEENQQLLLEEHTEKHFTAGEVVRDIIIGVSDGLTVPFALAAGLSGANASSALVLTAGLAEVAAGAISMGLGGYLAAKSEADHYNRELQREQDEIDTVPDVGPSEYGPVVTSLRNNPKAWLEFMMKFELGLEKPEPRRALVSAATIALSYVAGGLVPLLPYMFVPEAGRAMAVSVAVTLAALLFFGFVKGRFTGDRPFFSAVQTTVVGALASAAAYAMAMAV >Sspon.01G0022940-4D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:80732402:80735756:1 gene:Sspon.01G0022940-4D transcript:Sspon.01G0022940-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLLLLVAVLGVVLASSLLLRWNELRYSRRRGLPPGTMGWPLFGETTEFLKQGPSFMKQRRLRYRPTPSAFCSPCPPVLCLFFALYGSLFPDAHPGLPTVVCMEPELNRRTLASEGAGFVPGYLDILGPNNIAAVHGPLHRAMRGAMLALTRPHMIRAALLPKIDAFMRAHLHGWAGRRVDIQEMTKEIAGISAGPLSDALKAELYTLVLGTFSLPINIPGTNYSKGLQARKKLVAMLRQMIVDRRSSGCAQDDMLDALLSGNEGTRAKLSDDQIIDLLITLIYSGYETVSTTSMMAVKYLSDNPKALEQIRKEHLDIRKAKSPEDALDWNDYKSMTFTKAVIYETLRLATVVNGLLRKTTQDVEMNGYVIPKGWRIYVYTREINYDPFLYPEPMVFNPWRWLVCSSHKFLILAIEKPAELFTDKDLALIFSCRWEEEGNNTISKFPRVAAPNGLHIRVQDY >Sspon.02G0026670-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:67114545:67118132:-1 gene:Sspon.02G0026670-1P transcript:Sspon.02G0026670-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding TTAVITPRQVTVGLGRKRVNVVAAAKHHTVIATETGELFTWGSNREGQLGYPSVDTQPTPRRVSSLKQRIISVAAANKHSAAVADTGEVFTWGCNKEGQLGYGTSNSASNCMPRMVEYLKGKVFRGVSAAKYHTIVLGVDGEVFTWGHRLVTPRRVVVARCLKKGGNTNLKFHRMERLQVISVAAGTTYSTALTADGDVFMWDAKKCKDKMPIFTRVHGVKRATSVCVGETHMLVLSSIYHPEYPPKPKSQGIKSMLEWNSGTEELDEDILFNDVQPNSGLSGSSGEMSKGVPSLKSLCEKVAVEYLLEPKNAIQLLEVADSLEAKELKKHCEDLAIRNLDYIFTVGAPSIMNASPEILVNLEKLLDEKSSEPWSQRRLPTMTATYPAVIDSDVEEDEATEFPKPRKCGKSASRPSAMSSHDNFLQKDCTAEQAVSKQIRALRKKLQQIEILEAKQLSGHQLDNQQLAKLESRAALEGELAELGVPLEAYSASSACPAEGRTNRKAEVSKKQKRKNKQATQTPSVKSEPGQQIPITDLQEVLPSNVSAEKEVCAADPIKCIETRAFSNTKDIASPLEKKALQPTSSKKKNRKGGLSLFLSGALDDTPKPSLPAPVVLVTPKPEGPAWGGAKITKGPASLRDIQSEQSKTNEPTSAKAKDRYENSPDSAGHVRLSSFIPDSHSSPIAVTPARAVPSSEGDKSTPPWSSSATSPNISRPSLRDIQMQQEKRHHGISHSPKTRTSGFSIPPGGSPEVGGVKDSVPNRWFRPETDAPSSIRSIQIEEQAMKDFKRFYSSVRIVKPQ >Sspon.03G0017520-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3A:54705795:54708847:-1 gene:Sspon.03G0017520-1A transcript:Sspon.03G0017520-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVLRFVRGAAPPQPPVAPASVPPPASSSSSSSSSAAAMSAQRQRHPLQQPLLRMWPRGGGDRPPPPAGASVRGAEPRSPPPDEEERKAEEGDERRQGGNWVLQMLRVQPRWVEAADAEASGGSQEPEEEMTAAAGGVEECASCGGGENDGGCAVGYDDGEVFDRASFSRLLRKASLREAKEYSMMSYLCNIAYMIPRIQPKCLRRYNLRFVTSSMQDKAGTSPDQKQEHSTKKDESGDPAPGAVDNAVPASKGERSGLGINPFGAYHVVSSAASYLHSRAMGIMPFGPGNDAKDDPTIMAFVSGESSDGLSLDEASFVATTNSVTSMVAAKEETRQAVADDLNSSRSCPCKWFVCDDDQNSTRYFVVQELDVLVHRGIYEAAKGMYHQMLPYVKAHLKSCGKSARLRFTGHSLGGSLALLVNLMLLMRGEAPASSLLPVITFGAPCIMCGGDHLLRRLGLPRSHVQSITMHRDIVPRVFSCHYPDHVANILKLANGNFRSHPCLTNQKLLYAPMGEVLILQPDERLSPHHHLLPPDSGIYHLAGGASASSSESVDGSLSQQLRSALSAFFNSPHPLEILKDGAAYGPRGSVYRDHDVNSYLRSVRAVVRKEARRAREEAERGLWRWFLLLWWPFGVHGLSSGSSGRRRGGRGLVDAVSEAARRAHLLVVVLLPAELLALGALLAVIRF >Sspon.01G0013390-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:4081737:4084042:-1 gene:Sspon.01G0013390-1P transcript:Sspon.01G0013390-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSMESCVPPGFRFHPTDEELVGYYLRKKVASQKIDLDVIRDIDLYRIEPWDLQEHCGIGYEEQNEWYFFSYKDRKYPTGTRTNRATMAGFWKATGRDKAVHDKSRLIGMRKTLVFYKGRAPNGQKTDWIMHEYRLETDENAPPQASSLTMILEEGWVVCRAFKKRTAYPARSMAMAWDPSYAYRDVSAMGAAAAAEVAAFVDPNAAYAQIRRQSNKSARFKQEAELDGAAAALLQYSSSHLFELPQLESPSAPLAPANQSQASGADEVVDGADSGRRPGKKARADKVATDWRALDKFVASQLSPAAECGGSLEAAAAAASTVAASNVACSQLDHGEDDDMAALLFLNSDGREEAERWTGLLGPAGGDGDFGLCVFEK >Sspon.07G0013830-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7A:49929643:49932568:1 gene:Sspon.07G0013830-1A transcript:Sspon.07G0013830-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTRRHSVGSEAARRRHARQTSPAPCRISATSSFANTAASASDGISAQSRASSSAAMGGEAGGGGGGGGGED >Sspon.02G0031130-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:92289532:92293906:1 gene:Sspon.02G0031130-3D transcript:Sspon.02G0031130-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSQIVCHGCRTVLLYPRGAPSVCCAVCHAVTNVPPPAMEMARLICGGCQTLLMYTRSATTVRCSCCDTVNLVRPVSSIAHVNCGQCQTVLMYPYGAPSVKCAICNFITNVGGVTPVRPLPPALPASSGNSYSIPSTSVPANQSQNVTVVVENPMTVDDKGKLVSNVVVGVTSGGKK >Sspon.06G0018510-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:99500751:99501902:1 gene:Sspon.06G0018510-1A transcript:Sspon.06G0018510-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGGEGLTLLGLWPSPFVIRARIALNLKGLTYVYTEESLYDKSELLLKSNPVQKKVPVLIHDGKPVCESQIIVQYIDEAFPAAAGAAPILPSDPYDRAVARFWASYVEDKLFRTWVPVFRGRTAEERVEAATQVFAVLETLEQAFKECSKGKVFFGGDSVGLVDVVLGGHLGWLYATEAICGVKVVDATKTPLLVAWAERFCALDAVKGLIPDVDKLVEYNKARRAGLGLPLLLPYEELQQ >Sspon.01G0005790-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:13827409:13833188:1 gene:Sspon.01G0005790-1T transcript:Sspon.01G0005790-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQQQPSRDFGPDVETYRDERGRIRVSRVRAMGIRMTRDIQRNLDFIKENEQVKSKEQTQTNVHNGSTGNEEPPDFPEHLFESNKLQSSCSPDEDLSETDRDNHQTSSQVEGADNISESSCYGNKEAIEISFLVDQTEVKDGDEDIFLQLVSGTTSNINNCLVKNTEESEDSECIWEDGVVEAGTSPMKADKKDHHKSSLPENFSDDEMEWEEGDSFVPGVASSSEHNPCNAPKGDLEEAALVQEAIRRSLEDFEKKASENVSTGEIQASLEDRSLQFSNNVPKISEALGENNSHSGVPVVEEINNETMTEINCDKDDIIQGTGLLGTDRQENETRPQLLNNDGHLGSVPLCTTFTSNLAEKPSNSTETNGEDVGDCDKTSSLNIMNSDQSKCSKDIASTGETLSHQKDLLIDELLAATVEQKENATQGDLKFATSEMDYTQICDNGDNHTISASYVDAELSRLRQEQIDLGHERRKLESHAESVSSEMFAECQELLQMFGLPYIIAPTEAEAQCAYMEINNLVDGVVTDDSDVFLFGARNVYKNIFDDRKYVETYFMKDIESELGLTREQLIRMALLLGSDYTEGVSGIGIVNAIEVVHAFPEEDGLQKFKEWIESPDPSIFGKVHVETSGRSKKRKLGGNDSDGKGKGLEPECIQGSDDKQSSNEAEHVKEIFMSKHRNVSKNWHIPATFPSESVVNAYISPQVDNSTEPFSWGRPDLGLLRKFGWGKEKADELLLPVLREYNKHETQLRMEAFYSFNERFAKIRSKRIKKAIKGITGKSFLDTDEPEQDNPSTSKTFKKKEASSSSHARGRGKRKNNSGIRNMESQKDNVIGDTNSLADTDEITRENNNTTKRKRGSPCGRSKGRGRSRTNAGNDATISQEDYEIEYSTSASDEDSCKRHSNSYGSEGRALRRSSRKRKQVTYMEDGHEAYDNDIPMHQNDENNPSQDAAVADMAGEDRGFNLYHQDTNELNSSRMHTGAGTTEDINEDSQDFELRVDNQVDSAQKDYLFSGGGFCMEEGEGDEQEPADEQSGAEIEPGPSGPCDAMDGVSESGKSASMSTAGECTENASMEARGASSLQQRRKASRGLSAMLTLTKRRRKS >Sspon.04G0004950-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4A:14351914:14352474:1 gene:Sspon.04G0004950-1A transcript:Sspon.04G0004950-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYMNGGRGRAGRFLLSGWEPVASGSAREPLALVTLVGYLGSTRDDLQHPGTCAQRPSARGGGEASCRRLDAGVAEQAEEALRELREKAVWSA >Sspon.07G0027340-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:53408135:53413427:-1 gene:Sspon.07G0027340-2D transcript:Sspon.07G0027340-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSATPGSSIPGAAVSLCLLLFSLLAPTTTIATSQSVSGEEIMSNGSCIVAERDALLSFKAGFTSDPSGRLRSWRGQDCCHWHGVSCSTRTGHVVKLNLRNDFLLHDLLGEDRASLAYLDLSNMNFSGRVPPKLGNLTKLVYLDIHNDFIYGYAYRFLRFAHAYAYTYTYSSDVSWLSSLHSLEHLDMSGVHLRVSVDWVHTINTLPNLRVLYLSNCGLNSSAPALQHYNNLTVLEKLHLSHNPFNSPAAPNWYWDVTSLRRLDMYNCELSGPFPDELGNLTMLETLHMGANNIKGMISSILKSLCSLRMIDVGYNSIGGDITDLIERLTNYTGLVGTIPNWFWNTFSNPTLLDLSYNSISGELPHNLEFMAVTELYLQSNNLSGSLPLLPRSIILELHIYRLYMTGIILNSICQCPQLRVLDLSNNLLTGGLPNCSAKELRRQNRSSNSSSRVRTTNPYVTTFMVIFQLKQQSFFLFRILDLANNNFSGGIPQSLVNLKAPTTTVVASTENPFQEVYQSGYMFSEVGLSNDTLSLVIKGQVLEYSKNTIFFMSIDLSCNRLVGQIPEEIYSLIGLINLNLSSNLLSGNISHKIGHLQSLESLDLSNNQLAGQIPLSISNLTLLSYLNLSYNNLSGRIPSGHQLDTLKA >Sspon.03G0002150-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:12800861:12803455:1 gene:Sspon.03G0002150-3D transcript:Sspon.03G0002150-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHNHGDTIPLHPSSAQSDMDEIESLIHAAPSPATVLPARPPSPTRASIPVASSPAPAPVPTKPPLPAASIPISVSVSPVPASVSVPIGTDGFGPPPNTLTEPVWDTVKRDLARIVSNLKLVVFPNPYREDPGKALRDWDLWGPFFFIVFLGLTLSWSASVKKSEVFAVAFAVLAAGAIILTLNVLLLGGHIIFFQSLSLLGYCLFPLDVGALVCMLKDNVILKIVVVTITLAWSSWAAYPFMSAAVNPRRKALALYPVFLMYISVGFLIIAID >Sspon.01G0061710-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:96295723:96296909:1 gene:Sspon.01G0061710-1D transcript:Sspon.01G0061710-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLPLVNIVGYLRYPITLNVVQGRTSVVFLHAVYGSMKGKKRSVTTTYASVDRTSSNSNESRIPIQRELQRNLQKNDFATEEHSHRNIYMSSFSDFATEDHSHWKIYTSSFSAF >Sspon.07G0018300-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:78854992:78862051:-1 gene:Sspon.07G0018300-3C transcript:Sspon.07G0018300-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LWAGGVSIAAAAAAAADAAVDVGKRPVSAPATASAGSGGDEEEECDLFDGEWVWAGGGGYPLYDSRDCPFLDVGFRCAENGRPDASYTKWRWQPSRCHLPRDYNCTVEYYRSPFIVLQGRPPAGAPEIVRYTIRVDAMDWMSDRGKWKDADVLIFNTGHWWNNEKTIRGGGDWKTGGSCHLETLPDVTPVKSLDEWADLLQPVDDFLGSNLGSKLVGLDLLNVTRMTAQRKDGHLSVYLSPSGPVARYKQDCSHWCLPGVPDTWNELLYALVMRRHKKMGQNTSLAGTRTLNTEEGDEAAYRPAASCLHMEVLRISKSSFGSIPTKQNAIETVDDGAVRHRTWWAACISTVSHHVEQLQCLLNSPFITEALEKYGVGKFIGRTAELRHPSEQHVHGLIHPLHGTQPFEQLTDDYAIHPPARLKDEAKCSETKLQAAQAAEAADDDAVRAKIRTHLVAATGAGHPVEAGDDELRGGGGAPGARNNVEEVVEGEHRGGPGVWQQLVEQLECVVEAEASRAGAAGDEALQYGAPVSGGACAAGHVHGVPVRLLAVWPGDEMDEPPAAPPASASGSVRGLDRWGRGKAACAAPWSG >Sspon.02G0009730-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2A:27102555:27103181:-1 gene:Sspon.02G0009730-1A transcript:Sspon.02G0009730-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHKAAATMPVATPPMTLEVKVVNGEDVRVPSGRPLCHGAYAVVHTPSSSAPTRVDQDPDCHGYPYWGEAVRVALPAGARWLDVEICRAHARGMSEPVAAVRVPVGDFTVGPPGHLHCLSYRLFGSAARGMMQRRNGIVNITVKRLDGVAPLPAAEGKAMFPPAAAGNKAVVDAGGASGSGSCCGAAAVEQGKPAAPAGAVMGYPVRC >Sspon.03G0009690-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:26104996:26105828:1 gene:Sspon.03G0009690-1A transcript:Sspon.03G0009690-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHEAAPCTCGLLYGSCGGGCSLLFAAAAEDHYYKHCGGGDGEAFSAGPYGGSVDCTLSLGTPSTRRAEAGGARAPAAAAAGLHWEAPSAPSCNGRQQETRGGAEAGARRCANCDTTSTPLWRNGPRGPKSLCNACGIRYKKEERRAAAAAVAPAAVAADGGVDYASYGYARQPQQWGCYGPAAVAKAASFGMFGDAAAEVVDGPCLPWGLGVMPSSPAFGTVREMPSLFQYY >Sspon.04G0012710-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:52529307:52530943:-1 gene:Sspon.04G0012710-3C transcript:Sspon.04G0012710-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMWVLYGLPLVHPHSMLVITINGTGMLIQLSYVALFILCSAGAVRRKVVLLFAAEVAFVITLGALVLSLAHTHERRSMIVGIVSVFFGTGMYAAPLSVMKMVIQTKSVEYMPLFLSLASLANSICWTAYALIRFDVYITVSNYY >Sspon.05G0016790-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:64806611:64812478:-1 gene:Sspon.05G0016790-4D transcript:Sspon.05G0016790-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTTGRLPKRVRVTAGPRALDLRAFPAAGEAPPRGAFRDCVRAFLARAAVPADGAWRVAFRVGDGDGDGAVVAMEVVEEDVARAGAGRIYCEHCTVAGWSRHPVCGRRYHFIIRNEYDVHQCPSCKHGISYDDLEDWDYRQLDNPRHLLHGIVHDNGFGHLVRINGREGGSSLLTGIQLMGFWDRLCKYLRVRKVSLMDVSKKYGTDYRILHAITTGYSWYGQWGFKLNKGSFGITSEEYLKAIDNLSSTPLSHFFPHSRYPRNKLQDTISFYQSLSKQPLTTIRELFLYVLGLATSKSMNMHYGSMHKEHSHTHVQETWSDEEMKRATEIAIKVLRAVEKTRWVAMRTLKAAMYHPIGSPQLVDYCLKTLEAKTIDGMTVAVRCNSETNTLEYRLTDEPIFQSNVSTPTLDHLRRDIKFLHDALLDPHTMHPYKPENCYEHAKRSAMILLDCKQFTKHYDLEQEFLPQNPSMLHMWCQVELLDQVGDPPCIPPELLTLPQTATVADLKVEVTRTFRDIYLMLQSFVANQLVDCGTASESTQLKLLFGANGTVRVQGRCAGGERRVGIYRMERGVDKWTVNCSCGAKDDDGERMLSCDSCHVWQHTRCAGISDFDQVPKRYVCTSCKLLHKHKSTGPRPVYNSGPSKRCKIGI >Sspon.08G0002260-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:5272959:5276029:-1 gene:Sspon.08G0002260-2P transcript:Sspon.08G0002260-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSCIPAGGIRLPDLEMVKAAPPPAPPRPAHSAASSTLSEASNASAASSSATSSSVVASLSLKRPRTPRKRPSQTYNEAAALLASMYPSVFPVARGKGATEAAVPPRLLGLASALADDPSCSDLLPPFPVPGGQAAFLLRDLLPPPPPLTPRSPAAARGCPSPAAVSSVFSEFRDPAPSPATPDDAAAAPDEPGELDFDDDDGFDADSILCGVDEGAAEGIDGIMGKLSMENNGTSVSSVNSNLPRSKMMHPYLRDLMVLGLSFRHDQSIINQALKRHSVDPEWWMCPAIPVKDITPAPPPSVAMVKATDKKKTKKKSLGTIYEEGSPESANGDTGALALPETGYTGALELPETGLGLSLNTDGVLKAWRGRGSVFADGNGPDLPLSSAHVVVKHEDSDLFPKNGTSAVIREGNILKMQRKQKPCTPLPSNKQSRYYRPRVKVSTRTDS >Sspon.06G0001430-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:4609125:4609547:-1 gene:Sspon.06G0001430-1A transcript:Sspon.06G0001430-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVEILDGSTVRSFVEDEGAFNSSVDGRFAALDADHDGLLTYAEMAGELMSLRVLEKHFGVDEATVDPDELAGLYRGLFARFDRDGSGKVDRHEFRSEMREVMLAVANGLGFLPVQMVVEEGSFLKVAVDRELGQLAKAA >Sspon.05G0001140-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:3848768:3858406:1 gene:Sspon.05G0001140-1A transcript:Sspon.05G0001140-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMATAVARVTSLACTPRQAQHRRRRHSLAPPRASGEVEVRVCTNRTCARQGGRDVLAALAGLAPPRVDVGSCGCLGRCGAGPNVAASIAGSAAVFGHVGTAARGAQLLEHLLGPAEFDAALGLTALATREKAEAALENGNADEAEALLTEVIGFNACGGLHLVYRSRSKARLAMGDISGALEDAEEAIRIAPRFPQAHLLRGDALFAMGEYSVAEDAYADALDLDPSIRRSKSFRTPPRTSSLISSISAQMFLADKYSSLLPSLHQHRSKPSRRRSQQQQQQKGEADRFGAALAARLRGLLPLPASPLAALARVADLLALTLADAGSALAAAGEGDAAAVTAHLDAGVALLDACNAIAARLDRLRRRRLLARLALHLLSSTSSSPSGRARARAALADRSDHPAASPSPLAPLPSLPFEQPRGRLSPAARVLAAVDAVSSLAAAAAAAILVGGPTTFPRVSGGGGGGDLPWADPFNAVSGQLAALEGAGEVGAVDEAVRRLASALDAGTDDEAAVRAAAQEVERRTEELAPLLDRLSDAVGGVFRAALGLRNAELGCFVLSGVKLSRAVE >Sspon.04G0017240-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:66769908:66773378:-1 gene:Sspon.04G0017240-2B transcript:Sspon.04G0017240-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MCVQGDSGSGLVLTTDPKPRLRWTVELHERFVDAVTQLGGPDKATPKTIMRVMGVKGLTLYHLKSHLQKFRLGKQPHKEFNEHSVKDAAAAMEMQRNAASSSGMMGRSMNDVHMNEAIRMQMEVQRRLHEQLEVQRHLQMRIEAQGKYMQSILEKAYQTIAAGDVAACPAAGYKSLLGNHQAMLDVCSLKDMGPSMGFPSLQDLHMYGGGGGHLDLQQQMERPIEAFFASCDIGSLAKKRPISPYADGKSPMMWGDDEDGKGIVDQLQMAPPMMDAAGIDVMDSIADVYGDAKPMMSGDSTGSKGGFDVKLERPSPRRPHMGGSPSVIGGGQQTRNLSYGRVQTPDSMLLK >Sspon.07G0023090-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:12623376:12624760:1 gene:Sspon.07G0023090-1B transcript:Sspon.07G0023090-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIVWFWKAINSGDRVDSALKDMAVVMKQQDRSEEAIEAIRSFRHLCSKQAQESLDNLLIDLYKKCGKVEEQIELLKQKLKMIYLGEAFNGKATKKARSHGKKFQVSIQQETSRILGNLGWAYMQQNNFEAAELVYRKAQTIEPDANRACNLGLCLIKQGRHEEARQALEDVRLRRIYGSEDEKVVARAEQLLRELNPLKCVSSPFEVGLSVHEEIMGKLDLVMNEWTPFRSRRLPVFEEIATFRDQMAC >Sspon.08G0006830-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:21528261:21532163:1 gene:Sspon.08G0006830-3C transcript:Sspon.08G0006830-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polyadenylate-binding protein 3 [Source:Projected from Arabidopsis thaliana (AT1G22760) UniProtKB/Swiss-Prot;Acc:O64380] MASPSTTPPSTIPAEAVPVAEGTPAATLLPLGSIASLIAAEAVPVAEGTPAATLPPLGSIGSFSVDETQLHAVFSQVAPLASVRVCRDIVSGVSLGYGYVNFYSRREATRALEALNFTPLSGKYIRVMFSNRDPSLRKSGRANLFVKNLEPNIDSKNLYEIFSSFGTILYHARWPLIQLSKGYGFVQYETEESAQDAINRLNGMLANDREMFVGRHMRRRNREVKFTNVYIKNLPTEFNDDDLRQKFAPFGEITSAVVMRDVNGVSKCFGFVNFEKPECAIEAVKKANGKAINDTMAQKKAERQAELKIKFEQASRDKKVDKPNGINLYLKNIDDSINDEGLKNLFEEFGQVDSCKVMVDAQGRSKGSGFVSFATAEAGHSAINGMNGRIVGKKPLYVGLAQPKEERRAMLMAHFAQRNLAMAASPYAGPQQVYFGHPASGQIPPQGAVFGFPKHFVPGMGPVSPVMMPHNMQRSRYPGQRMGGSGAYRQQQAMIHANANHSIRYMPNARHGAYPAMLPQGFPSAMVSQQHDGSSITHAVASAGPAEQQQILGNKLDALVEQLEHDHAGKVTGMLLEMDKVEILQLLQSPEVLRAKVRETMAVLQRTKGGGSVDPAATTAKAEDTAEPAAAVAAPTVNV >Sspon.03G0025050-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3A:75840113:75841075:1 gene:Sspon.03G0025050-1A transcript:Sspon.03G0025050-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPPTGRRREPPPAARGARQQPPPPGPGRLFFMPPPPPAPPGHHRRPPPADRDRPFSMPPPEPPGRSHSNQPPPSDPPQPSASSSLPPLPAHAYGDYDPAGATDELPRRPPHHAPLPPPHPLRRASSSPCSSALASCLVATAFLLLSAGGAGAALFLLFRPRPPDISVAAVRLPSFSASNGTVAFTFEQTAAVRNPNRSPLAHFDSSLRVAYAGGDLGAVYIPAGLIDGGRTKHVSAVFDVPAIPVAQPTMVVAGAGAGADAASAAQQQSQPAAVIEVHSLLVVKGRVNVLRVLTHRVQAAKLCRVGVSPLDGTVLGFRC >Sspon.03G0000330-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2C:71849601:71851280:1 gene:Sspon.03G0000330-2C transcript:Sspon.03G0000330-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLNKLPLDLDEDFLDAFCLDYVTGNPTFCTQVPAIPEIPQPNDEHVNQRILPSFFEVGHAGLAEAAPAVSEEVLPTVSEIRLSFEQARIEDRNEGSTANPVVADNELNGARIEVAEEEEEVWSTPQMPHNGLSFASLDEAKEYYNSYAKRTGFSIRTNTSRRSTITREMQKVQFVCNKEGFSKKRRVAAQLVDAITCYSDNDEAEEEDSAQEEEDNQGEKRKKLDGCKKRKREKMLYTNCKARMVVKMIGSRWQVIYFLAEHNHDLVVKPSLKKFLRSHRGIPKPEKDFIVLLHGCNLSTGRIMQLMSEFYGSAQLVPYEGKQVSNFRSTIHKTEKFKDMQETLDYFRALKEEDPDFFYKIKLDDNHSVENLFWVDSAARRAYKEAYSDCVSFDATYMTNIYEMPFTPFIGINRHCQTFQLGCAFIRNEKAATYEWLFVTFLEAMDGKAPLNIITNQDAAMRVAICTVFPNTTHRNCRWHIMDKFSGTIGPILAKNEELNEEFVDCLNHTISPEDFETKWAAMVEKHELEGNEHFQHLYHIRQSFVPAYYMHNFFPFL >Sspon.01G0052260-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:20926773:20930212:-1 gene:Sspon.01G0052260-1P transcript:Sspon.01G0052260-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTYKCCIFFTRRFALADTTTPEDVRALFSRYAGGSPYMLADDLRRYLAAWGGADGEVPEQIIDRILQDRSRTPRFGRPALTVDDFLHFLFSEDLNPPLRCSKVHQDMNAPLSHYFIYTGHNSYLTGNQLSSDCSDVPIIKALQIGVRVIELDIWPNSSKDDIDVLHGRTLTAPVSLIKCLRSIKEYAFVASPYPVIITLEDHLTPDLQAKVAKMVLEVFGDILYYPESKHLQEFPSPEALKGRVMLSTKPPKEYLEAKGDTTKEREIESQFKKREREEAAWGVEVPDIQDEMQVADRNDDDLLYHERGADGDDEKKTKHVAAEYKHLITIKAGKPKGPLVDALKNDPDKVRRLSLSEQELAKVAARHGPNIVSFTHRNMLRIYPKGTRFNSSNYNPFLGWVHGAQMVAFNMQGHGRALWLMHGFYKANGCCGYVKKPDFLMQTCPDGKVFDPKADLPVKATLKVKVYMGEGWQKDFKQTHFDTYSPPDFYVKVGIAGVPLDSVMRKTKAVEDSWVPVWEEEFAFSLTVPEIAVLRVEVHEQDVSEDDFGGQTALPVAELRPGIRAVPLFDHKGLKFKSVKLLMSFEFA >Sspon.06G0011400-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:62476995:62479254:-1 gene:Sspon.06G0011400-2P transcript:Sspon.06G0011400-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRVKIGHWGGRGGQQRDVQYDPIQLVRVIVYSEGQAINGMSFTYMDKHGQHHEEGPWGGADEDETPHRDIELSHADLKEISGTCGKVGNMNNIITSLRFVTNKGKTYTFGNSTGTPFHVPMQEGKIIGFFGRAGDYLDALGIYCAA >Sspon.07G0021510-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:3301241:3305866:1 gene:Sspon.07G0021510-1B transcript:Sspon.07G0021510-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARQTLLHLTLLCIFSIAMGADKGSGHIRICCGFPGLTAIDADNRTWNSDRHPNFVPSSLLKGSVSATTTSYQNPGPTTAVPYTNARIFTSNYTYSFPVSPGRMFVRLYFYPGTYGNHARGNAYFVPTLLSNGGGNPDPVPIVAGTGFQTMYRLNVGGQTISPRGDVDFYRSWDDDSPYIYADGYGVSYPKDKNVTITYPPSVPHYTAPADLYASARSMGPDAQINLSYNLTWVLPVDAGFYYILRFHFCEIQYPITKVNQRSFFIYINNQTAQQMDVIAWSGGIGRASYVDYAIPTAVSGPMDLWVALHPDLSTRPEISDAILNGLEVFKLQDYYGNSSINGFKPPLPTPVAEPNDVKSGGSPAVFGGVVGSSAALLIACIGVCILCRRKEKVTKEYSRTKCLITLTQLPSNLCRRFTFKEIQAVTCNFGETFLLGKGGFGNVYHGKIDGGTEVAIKRGNPLSQQGLHEFQTEIGTLGALNEIIDPHLRGEITLECFRKFAETAEQCVAEHSINRPSMGDVLSNLVVALQLQENSTDNGNCAEETSSIAKRQMDSTNPSTDSTMSIAGQGFVFSEKRKSNKKEEAQLGLCHGLRPSPRNGQVRTSLSPEAAAR >Sspon.07G0012380-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:50586596:50591773:1 gene:Sspon.07G0012380-3C transcript:Sspon.07G0012380-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPLGSNYSHGVNFAIAGSTATPGATTFSLDVQVDQFVFFKERCLDLIERGEAAPIEEKAFPDAIYTMDIGHNDINGVLHLPYHTMLEKLPPRLHKNGARKFWIHGTGALGCMPQKLSMPRDDDRDLDEHGCIATINNVCKKFNSLLSEALDELRLTLKSSTIVFVDMFAIKYDLVANHTKYGIEKPLMTCCGHGGPPYNYDPKESCMTSDKYLCKLGEKFISWDGVHFTDAANGIVASKISSTEKNHDHISISFSRKAPPLSQDKISPIATTGTRAILEMMEVTGISYTDLMVFCTRCFHRMTGQDITNSHRRNKNHS >Sspon.01G0033270-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:114083957:114085608:1 gene:Sspon.01G0033270-2D transcript:Sspon.01G0033270-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPAGNSSNNKIKTVVVLVQENRSFDHMLGWMKSLNPDIDGVTGIETNHMDASDPTSRAVRFSDGAEYVDPDPGHSMQAIYEQVYGTPFVDAATTPITPPGVPSPPMTGFAQQAEKEKPGMSGTVMSGFRPDAVPVYRELVREFAVCDRWFASNPASTQPNRLFVHSATSHGARQQRHQGAGGGAPAADHLRRAPRRGVLVRHLLPVPAVDALLPEPPAAQVRRQLPRLRPRLPAPLPGREAAQLRRRGAALLRPEDPPGERRPPFPRRGRGPAVRQGGVRGAAVWPAVGGDAPRRHLRRARRVLRPRAHARRRRRRAQPRRHRQRRAFLLRLRPPRRPRPGAARVAVDRARDGCCTGRRGHTRRRSSSTPPSRPPSGKLFNLRSFLTKRDAWAGTFDCVLTRDTPRTDCPVTLPEPVKLRRTAVAEHAPLSEFQEELVQLAAVLNGDHTKESYPHKLVEGMTVAEAARYCVDAFKAFRDECEKCKKRGEDGSHIPTVKPSSSGKDKDKSKSSFVSKALTCLPC >Sspon.01G0011820-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:38964776:38965772:1 gene:Sspon.01G0011820-2B transcript:Sspon.01G0011820-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MIMTNAQLSTPLLLGQLQRYICQFVVTILLLVFWPTNGLSSLPYMNTFVSFMRSVGAELLSRTKEKDDEEDGEYDMIFDAEGSMHLVKKAVAKTPSASSDSRPTGRGSVVARATAGKHRLEPDSSSIHVDMGSEMTSEDGGKLARGNKSRVRKVLQRLFRVIQSIVVIAALNVPLYMMLLFKDWIDR >Sspon.01G0041270-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:47881984:47886254:1 gene:Sspon.01G0041270-1B transcript:Sspon.01G0041270-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AELISHIRKEVDNGKLPADVASNLEELYYNYRNAVLQNGDPNAYEIMLSNMTALFDRVLLDVQNPFTFPPYHKAVREPFDYYMFGQNYIRPLVDFRNSYVGNISLFHDMEEKLHQGHNVVLMSNHQTEADPAIISLLLEKTNPWISENIVSYLDDLYQKLVPNGTNLYQAPFDSSAVDNMRRLLEHAGVPGHIYPLSLLCYEVMPPPQQVEKEIGEQRVISFHGVGLSVTEEIKYGDITAHAKNADEGRELFTNTLYNSVVNQYNVLKSAIFRDHGAAVSNNVISLSQPWR >Sspon.08G0001230-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:2392041:2396245:1 gene:Sspon.08G0001230-3C transcript:Sspon.08G0001230-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSGGAFLPQLQQGSSSFPRSSSNASLARSGSGGAGRGRGGTRGRKMMRRVCRGVITFIFAIAGLFLGAVTGGLIGLATESGLFRGTGIGAITGALVSIEVVDSSIRLWQARRSGIWSILYVLNVIYSLLTGRLVREKVDPAVQRVVRSQMNAVDSSPFRESPDLFEVEATNGMPRASIDKLPESWITEEYRRDAVGDLSGCSVCLQDFQVGEKVRSLPDCWHVFHVPCIDGWLIKHGSCPLCRRKL >Sspon.03G0027900-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:3270344:3273911:1 gene:Sspon.03G0027900-2D transcript:Sspon.03G0027900-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G13480) TAIR;Acc:AT5G13480] MMQQQQQPPPQHPPPQSGGGGGGEFYRGPPIRQLSASSSTNLPPDYAAHPGPPPQQQHQPPYDAYGDNFGAKRMRKPVQRRTVDYTSSVVRHVQARMWQRDARDRFTLQPTPAAILDMLPSVAYPDNPSTSFAAKFVHSSINKNRCSINRVLWTPTGRRLITGSQSGEFTLWNGQSFNFEMILQAHDLPVRSMVWSHNENWMVTGDDGGAIKYWQSNMNNVKVNKTAHRESVRGLRTDLKFCSCSDDRTVKVWDFARCQEEKSLTGHGWDVKTVDWHPTKSLLVSGGKDYLVKLWDAKSGRELSSFHGHKNIVHCVKWNQNGNWVLTASKDQIIKLYDIRSMKELQSFRGHTKDVT >Sspon.02G0036820-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:31603337:31603588:1 gene:Sspon.02G0036820-2C transcript:Sspon.02G0036820-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSRQQLAALAVLALAFLACAGIASAARPAPASGGGEEAGTTPASYLQMYPAAAVVEKARETVEMLMARLPAGPSPQGPGH >Sspon.01G0024960-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:88751274:88753804:-1 gene:Sspon.01G0024960-1A transcript:Sspon.01G0024960-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAEFCAAAGMELGVGDVMGLQQGIAITAPSPRGSGDLGLLKRAALTQAAAGPYPSPFLDEQKMLRFSKAAHTLPSGLDFGGPSGQAFLLSRTKRPFTPSQWMELEHQALIYKYLNAKAPIPSSLLISISKSFRSSNRVSWRPLYQGYTNADSDPEPGRCRRTDGKKWRCSKEAMADHKYCERHINRNRHRSRKPVENQPKKTTKEVPAAASSLPCAGPQGSLKKAKVNDSKPGTVSCWTDSLNRTMLSREKANKPTEDNSLLLNSTNSQPTLSLLSQLKQQNKPDKLGPTLENESNSDTILKAWGGNQPSHKSISSTQHHDAESLHSVLQNFSLAQNEKMESEKNKYSDSMLVSSTFYSADGPRSTCLTPNMTQVQQDCISSSWEMPQGGPLGEILTNSKNSEDLSKCESRSYG >Sspon.01G0012780-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1A:34564776:34566019:-1 gene:Sspon.01G0012780-1A transcript:Sspon.01G0012780-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQIKLEAALETSDKWQISDASLLRWRKKLKRAAQECDDTLRRCKQRAVAVDDDEEKHQRVAVRRSSSLPTRLARATKSFVSSIVSGRNRTDDADDGWSGGAVRRFEWLADGATEFLRFVELGGTPWPRYTFFDPLIARLLAGEEARYRLVRGSQYYLFCVRPIVLEGRGTEAKLIFFYEDDDAPEKNLCIGSMLRLSESTDIVGVTIKCLQLLVTPHFKRLDAKAESSALEVIDGEEQRGMHTNLSLQQLDETMLPKALQCLYQKTEAMAYQMLWKSKHGAAYLQ >Sspon.07G0016990-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:81609989:81617088:1 gene:Sspon.07G0016990-2P transcript:Sspon.07G0016990-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Suppressor of mec-8 and unc-52 protein homolog 2 [Source:Projected from Arabidopsis thaliana (AT2G26460) UniProtKB/Swiss-Prot;Acc:O48713] TQSNPDPVPSSVPSRPQKPANTRGPAAPAKLPGTAAPPSPAGSSSLLPFPRPEPCRRLLPLRAFPYGLGAARPSSACAHPAAAPSAAAWPLCEAPLSASFPSSTAVAKEKMSSKKNYYKEKMMRRKEEKKVEPETPRYRDRAKERREDQNPDYEPTELGSFHAVAPPGTDLRLADAHKISIEKSKYLGGDLEHTHLVKGLDYALLHKVRSEIEKKPDAEDGKDSKSRATKEDQASVYQWIIKPQSIIKENELFLPGRMSFIYNMEEGITNDIPTTLHRSKADCPVPEEMVTVSVDGSVLDRIAKIMTYLRLGSSGKVLKKKKKERDIKGKNNLASGDYDEAVKPSQTNGSALKHQSDMPPPPAPHPRNNNFNGKEEQSVPIARADDDDIFVGDGVDYSVPNKEMSQSPVAEDMEESPHNNHQKQSYFTEPMYGPVPPSESAQAWQQPNEYDAAQAQMVAAGYQGDWSGYVYPEQPLGYQEQYVQQSTQEYDVLADPSISQDPRFMTQADKDRGLGSVFKRDDQRLNQLREKDARERDPNFISDSYSECYPGYQEYNNEIAGSDDEDDLSKMDMGGRAKGRLHRWDFETEEEWAKYNDQKEAMPKAAFQFGVKMQDGRKTRKQNKDQKLTNDLHKINKILARKKGEKDGADDGGHYDDDLPSAKKQRG >Sspon.08G0005140-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:14864502:14869300:1 gene:Sspon.08G0005140-1P transcript:Sspon.08G0005140-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWLDIRYGIVLLLLLETSLCATDDRLVPGKPLSPGATIVSADGSFALGFFSPTNSTPGKLYIGIWYNDIPRLTVVWVANRETPVTNSSSTFSSPPALSLTKASNLVLSGADGRVLWTTNVAGAGAATRNKNAAAVLLNNGNLVIQSPNGAILWQSFDHPSDTFLPGMKIRINYKTRAGDRLVSWKSPDDPSPGVFSYGGDPDTFLQIFIWNGTRPIFRMGPWTGESVSGGLVRTFTGVIYQAVVSTEEEIYKTFSVSDGAAHTRYVLTDSGALLLQIWNSSSSEWAVLGSSDDPECYRYGYCGPNGYCDSTESPESPPRCNCLDGFEPVGLEDWNSGSFSQGCRRKEELRCGGDRFLALPGMQPPDKFVLVQNRTLQECEAECTKNCSCVAYAYANLSSSRTTTDQTRCLVWAGELIDTCNGGGETLYLRIASLDAAKSNSNTRAKSNAVKIALAAVFLSGILILTGISIAWFKFKGKISYGAKHRKIIFDDMCPSDELEGNHAQDSEFLSVRFEDIVAATRNFSEACKIGQGGFGKVYKDSEQGSKEFRNEVILIAKLQHKNLVRLLGCSVDIDEKVLIYEYLPNGSLDATLFDNSRKMLLDWPIRFNIIKGVARGLLYLHQDSRLTIIHRDLKAANVLLDGQMRPKIADFGMARIFNDSQENANTRRVVGTYGYMAPEYAMEGVFSIKTDVYSFGVLLLEVVTGIRRSSIVAPRAWNMWKEGKARDLADPSIMDTCLLDEVLLCSHVALLCLQENPVDRPLMSSIVYSLENGSTTLPTPNNPGHYGQRSGDMEQIRDENNSMNCLTITTIEGR >Sspon.04G0028500-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:71159857:71161798:1 gene:Sspon.04G0028500-2D transcript:Sspon.04G0028500-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSVYLYGGQVTFWKNNFGQQLLFVSNKATFKPPKAIRGGIQICFPQLGNHGVLEQHGFARNQFWSVDESPPPFPVATSNCHVDLILKSSQEDLKIWPHSYEFRLRVALSPRGDLILTSRIKNISSDSKPFQFTFAYHTYFSVSDISSHSLKHVFAFSEVRVEGLETLDYLDNLQSKNRCTEQGDAVVFESEVDKVYLSAPPKIVIIDHEKKKTFVLRKEGLPDVVVWNPWDKKAKAMPDFGDEEYKSMLCVGAAAIEKPITLKPGEEWIGKQEISAVPSSYSSGQLDPELIRRMHTI >Sspon.08G0015340-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:58556567:58568439:-1 gene:Sspon.08G0015340-3D transcript:Sspon.08G0015340-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPASNTVSTSAAETELGTHVFHVVGYSQQKAIGAHKDKSIVSGHFSVGGHDWEMFLMADIYDKNHPDCIAISLVLLNPVTAGVLVSGTDIRGLGDLSISSQLASFELRLVNQSTGLPFTVHKEALKVFDDDDNNMEAICWIKRSLLESPTYLQNDRLTVECIVTVIKEPQVSKTKSFPRIEVPPSNMAEHFGSLLETDLGADVTFSVGAETFKAHKIVLATRSPVFKAELYGPMKEEGMGPITIKDVQPDVFKALLHFIYTDSLPPLDALEADDHSEMIRHLLVAAERFAIERLKLICQSFLCENLNVQTVATTLALADQHNCDVLKEACIEFITCSNVMDNLLSTQGYKNLKRTCPAVVMDALEKTSKFRKAFAALLPESTDMPPAEESSISDEGIVHDSGTQLPLDVDSTSTEPSAPISHTNNWIIT >Sspon.03G0006410-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:17612988:17614181:1 gene:Sspon.03G0006410-1A transcript:Sspon.03G0006410-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAEDGYCSSDSPRAESPDEPLLPAAGADADAESPRAGAAGLNKRERDLPASPSSPLPPAKRSRRSVEKRVVSVPLAECGDRPRGATGEGPPPSDSWAWRKYGQKPIKGSPYPRGYYRCSSSKGCPARKQVERSRADPTVLLVTYTFDHNHEAPQPKSSGSSCHQQGKPSPRPPAPKPEPVVEQDELGPERELTETEVAEQEQEPEEEREQKMVPSLAGPEAEAEATATVAPAAEEDESFDFGWFDQYPTWHRSALYAPLLPPEEWERELQGEDALFAGLGELPECAVVFGRRRELSLAATAPCS >Sspon.08G0004820-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:14735721:14739104:-1 gene:Sspon.08G0004820-3C transcript:Sspon.08G0004820-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAPRVRSLNIAVPEAEARPVLVPGGNKARSGPANARKPSPKPLRKAAEPAAAATPEKPAAAAPKAEEGAKRNAVGGGGGGAPKGASPVPSPRRTPPGPPSRRSDAQLLHPSLPLSASSCSSDASAESVRVRAFTGKVEKGRSGPTAASKQGKAVGKAAESKPVVVEFAVPVTPEVVEGKRRCAWATPTTDPCYVTFHDEEWGVPVHNDRNQTAQGYLTMIVLQEIFDPAAVSKINEKKLVAPGSTAHSLLSEQKLRAVLENACQILKIVDEFGSFDRYCWGFLNHKPIVSKFRYPRQVPVKSPKADIISKDMMSRGFRGVGPTVIYSFMQAAGLTNDHLVSCFRFEQCNAIPTLCTSDIDRVNMKADPKKDEMTTKIYCEEITTNPEMPRTIDALI >Sspon.01G0004870-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:13229746:13230119:-1 gene:Sspon.01G0004870-1A transcript:Sspon.01G0004870-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDILYSGSAMAISTLKWTHKEDLLLWICSIIQCNANDETLYSRIATISNLFLVLVFSNEWILHDDKELDHESFNMAIRMVANNQDMKKQKYHLMDLKFA >Sspon.04G0001600-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:5419338:5419622:-1 gene:Sspon.04G0001600-1A transcript:Sspon.04G0001600-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSMVRKDEEEGPADRVPHLPWMRYPSTSTPSPAAPSHCSPASTRGALLMLQRCPCDRLNWPLASFGCSSEVTGIFYICFAVRKRVGNYSADCF >Sspon.02G0022230-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:72392109:72398749:-1 gene:Sspon.02G0022230-2B transcript:Sspon.02G0022230-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGLYSQGFSPARTLSPQIRSNPDADSQYLAELLAEYQKLGPFMQVLPVCSRLLNQEIMRVSSMVHDHGFSDLDRRRFRSPSPMSSPIVRPNLHGNGFGPWNGMHQERFGLPPPGTSMDWQGAPPSPGSYIVKKIIRLEVPVDSYPNFNFVGRILGPRGNSLKRVETSTGCRVFIRGKGSIKDTEKEEKLKGKPGYEHLNEPLHILIEAELPANVIDARLRQAQEVMEELLKPVEESQDFYKRQQLRELAMLNSTLREDSPHPGSVSPFSNGGMKRAKPSQ >Sspon.03G0031000-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3C:31917664:31919901:-1 gene:Sspon.03G0031000-2C transcript:Sspon.03G0031000-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRKLLKFPSLIAQHALRGGPKPSPKPHHHRFLHSPSAPALASPSPSPSSAHLLWNRLSGVTGASLLPRTAADVAAASARIAASRWLAAARGAGSLDLFSLQRRRRASWWQFSSSTFLQGSPWAYWMDFAVMLSLWGLRKRAPVVWMLIGANGRIQHLGGVGSSPRYRRSDHGAPPKLQLQICTYSNLEGEIANVFGPAFLLKLYVGGALTGSVFFLLEKDFLAHQKQLCVNLLIE >Sspon.02G0040970-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:73367214:73376529:1 gene:Sspon.02G0040970-1B transcript:Sspon.02G0040970-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQDRAFQQCRFIFSIALPFRAFSLGFDSRGCRWLFSGIGRKIADEGASSFEVEKSKSSDELGLESSNNDDESDSSCTGEDNTKDEDGSDCCKSTRTESLDDIYNLSVNMGHSSLHRGNWNFRKVFECSYSTSRRVDVYNVGIAFASVSNSTSQNDSGVFVLQLLKSYDGQTHLYFKQEHAKPIHEHIILLIEEFVVTMVYYPFVDFGEKNRDMKK >Sspon.03G0018630-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:57595126:57605739:-1 gene:Sspon.03G0018630-1A transcript:Sspon.03G0018630-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPAVTACATPPAARPPLNTVPARRSPPSAARSPSATTARRAAGCCFCAAASSSASSPSTWDWTRWSRHFDEVDQAESYASLLQFQLEEAVENEDFAEAAKLKRDIIEATGNDAVAHVMAELKSAIEEQRYQDASRLTRLAGTSLVGWWVGYAKDTDDSIGRIVRISPGVGRYVAKSYSPRQLVTASSGTPLFENFLVREDDETYTMKVVHLRPTKGTSGASSISSTITESPAKVENESSSETSAISDSITQEANTDTPVKGNEDAEEKEQDVGSSKDSSVEGLKSVLNFFKSRIPEFKVQVINVDVSEETELAANSSEELVQDDVKSTPESSLEEPTTEELEQEEDVPEEDMDEESKSTEVKLFISGVVHNKEDAGAKSYVRVPAEINNMEKDSFELHIPGKDSDRDLSETKAAKQKVADMAAKLASELMPSDVAKALWGTTKSSSKINKEVQELLRLTLSKARVKLTENTIFNRIIMDTNSTDPFNGLYVGAFSPYGPEIVQLRRKFGHWNSTDDVEFFEYVEAVKLTGDLSVPAGQITFRAKIGKGKRLENRGAYPEEFGVPGFKNPRWVDGELLVLSGKSTIPHLGGAELGFLYSVPEQSFLVLFDRLNLPE >Sspon.06G0034060-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6D:37332990:37333607:-1 gene:Sspon.06G0034060-1D transcript:Sspon.06G0034060-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPARGGPGNESINPLSIARDPMWRLQSGSSASAQSPCSRSAVNPSPLHGSLCLHARAAAPSPPETTPRLPQPWQTSTSLATHLAAVRVNALGNRTTSKCHDCLRPRRTTAAEAHAVGVGPWIHRNNCLPLHHDRRASRGSRAAAISWKHVTATARSGELSKAVAGSELSMAAVREAAAMHHEGWMVRYGHRKIGMTFFHTLYFVL >Sspon.01G0014990-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:44087998:44092349:1 gene:Sspon.01G0014990-3D transcript:Sspon.01G0014990-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIVIVMGLSCFFYILGAWQKSGTGRGDSIALRVNQETDCTILPNLHFETHHTISGANPLIMSNKMIEPCHIRYSDYTPCQDQNRAMAFPRENMTYRERHCPVENEKLHCLIPAPKGYVTPFSWPKSRDYVPFANAPYKSLTVEKAVQNWIQYQGDVFKFPGGGTMFPNGANAYLDELASVIPLADGTIRTALDTGCGVASFGAYLMDRNVLTMSFAPRDSHEAQVQFALERGVPAVIGVLGTIKLPYPSRSFDMAHCSRCLIPWESNGAMYMMEVDRVLRPGGYWILSGPPINWKKYYQSWKRSKQDAEEDQHRIENIAEMLCWDKIYEKDDIAIWQKQGNSYSCHQKDGHASKMCKVQDADDVWYKKMESCVTPPVEVAQLKKFPERLSAIPPRILEGQVPGITQEVYEEDNKLWKKHVNTYKRVNKLIGSSRYRNIMDMNAGLGSFAATLHSPRSWVMNVVPSISERNTLGIIYERGLIGIYHDWCEAFSTYPRTYDLIHGNGIFSLYQNKCDAENILLEMDRILRPEGAVILRDSADVLNKVRSMVAGMRWKSKLLDHEDGPHVPEKILISVKEYWVGSEEQNS >Sspon.07G0017810-1P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7B:69319074:69323170:-1 gene:Sspon.07G0017810-1P transcript:Sspon.07G0017810-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAALGSANWLLGQVLNKLSDDLVKAYVSSTELGLNLDKIETEMLYTRGLLDEVQGRDLANKPGLQGLLEKLGKKADEAEDALDELHYFMIQDKLDGTRDATPDLGDSLSAKAQHARHAAHHTSGNWLSCFSCCRSQDNGAAVNGDVHNHSSHVVRLNTSNVHHGNLPFDRVSMSNKIKLLIEELRSNCTPVSDLLNKISSSYLQPHMPASTKRPDTSSQITQKELFGRDAIFEKTIEEIISVTQSGKTLSVLPIVGPGGIGKTTFAQHLVNDTRIKQCFPDINVWICVSTNFDVIRLTKEILSCLPATGNEGNKIANETSTNLDQLQKTIAERLKSKRFLLVLDDIWECSSTDEWEKLLAPFGKVGASGNMIIVTTRFPKIVEMVKKETNPLDLRGLDPDEFWKFFQICAFDLEHWRSDYSLPKDICRLENLRHFVAEKQFHSNVPEVGKMKFLQELKEFHVKKENVEFELGELGKLEELGGELNICGLENVRTKQEAKEAKLMDKRNLVKLGLVWNSKQESTRDDILDSFQPHSNIRGLHIINHGGSVGPSWLCSNIIHMKNLETLHLESISWANLPPIGKFYHLRKLKLKNIGGLSHIGPDFFGGTTEKSFTDLKEIEFCDMPELVESVSGANCHLFSGLEIISCINCPMLTTLLISSWPISSTENNTIWFPSLRDLHIDRCPKLCLPPLPHTSMVSHIHTESLSYDRTELNIWKPSELVFHNLGDVERLKIQDASCFSFMDLQKLHSLRHIEVNRCEETFLRGLDDGVVLHTVQSLDLEQFSLTRKSLSNLFKCFPALSRLDVSASDEDYEEVVLQFPPSSSLRNVRFHGCKNLILPVEEEEGAGFCGLSSLESVAIVNCDKLFSRWSMGGGAAQTQSIIYPLPPCLKELSLWDQQSTLPMALLANLTSITSLVLVNCKDITVDGFNPLITINLEHLAVYNERDGETEPYSVAADVLAAVATTKTMPAGSFQLVSLDVDSISAVLVAPICSRLSATLQRLDFGYDWRMEKFTEEQDEALRLLTSLQDLWFYNCRALQSLPQGLHRLPSLQELHIGGTQKIRSLPKEGLPDSLR >Sspon.02G0006890-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:21919714:21928671:1 gene:Sspon.02G0006890-3C transcript:Sspon.02G0006890-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDSASMAAAIEARFSSRDLIGRGSFGDVYKGEDDIEDIQKVVVSLVLYIEISVLSQCRCPYITDYYGSYLHQTKLWIVMEYMAGGSVADLLQAGPPLDEMSISCILRDLLHAIEYLHSEGKIHRDIKAANILLTESGDVKVADFGVSAQLTKTMSRRKTFVGTPFWMAPEVIQNSEGYNEKADIWSLGITAIEMAKGEPPLADIHPMRVLFMIPRENPPQLDEHFSKPMKEFVSLCLKKNPAERPSAKELLKHRFIKNARKTPKLLERIRTHDEEEDFGTGTIKVNRTKDTAPSLSQGTVRKATVRDFPDRSEGTGTVRVVTRPPQIASTKDGRSDMPQSPKAPIRTTDRETQWKSSWTGSEDSLSQRDTQSERGRVESSTDDNDQSVSGSGTVVLRSPRASQLYSTASNHSAKPPSRFSSYEDMSNSGTVVRTQNEDPETPRSSRSRLGMQEKASNTSLEDSAINLAEAKAALQAGLRKGNAREKPIRHEKGSHEHRSSGVNSQEVQSEDVDTQKGRKLRQLSDGQSVSRASSSVAPPAVSSLLLPSLKEATGDKFDRPAVHAFLDSLMDLEHEIPGSCEVLVGRLLHRLGSSKDSSLQGLQETAMSIFTKKPEPPSEKAGDKKLANATIAAPTVSPLARFLLTRWQNQVSQDLNSV >Sspon.01G0016420-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1A:51987721:51988065:-1 gene:Sspon.01G0016420-1A transcript:Sspon.01G0016420-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VVESVGEGVEDLAPGDHVLPIFNGECGACVYCESDKTNLCGTYRVNPFKSTMTSDDGTRFSVVDRRSGVRQPVYHFLNTSTFAEYTVLDAACAVKVNPKAPLEKMCLLSCGVST >Sspon.07G0006970-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:16278874:16279275:-1 gene:Sspon.07G0006970-2B transcript:Sspon.07G0006970-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DEQEQRQGRVEAAGAAAEPVARGRGGGLVGGDDPPPRRRRGLAEEAVGVVVLHRFSPELVRVVGGEPRGGSRRRRPADDPGGVPPVHDVRDAIAGGPPVPQVPQHRAPRLQRRPRRRPAPRRRRRQGQGRQTRL >Sspon.01G0013500-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:37001429:37002213:-1 gene:Sspon.01G0013500-1A transcript:Sspon.01G0013500-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKLLLPLAVAHKHAHAHGGGGGAERMWARPWRWAKTAFFLAAMLASLLLVCAPPLLVVLLDLALPPALLSATLRAGASGGDGSFATAVVAQARAFDFRSSLVDLPAVSAARALLILCAYVVCGGGGAYLGVVVACAAGSVSYVLAKAAAVLPRRAAPQAGAGGDARAVAGPEAMLLLSLALAAAHLAAAYRTSCRERRRMLVYRIDVEGAVSTIATQPILHPR >Sspon.05G0015250-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:55502271:55502740:-1 gene:Sspon.05G0015250-3D transcript:Sspon.05G0015250-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MYHCQKHVLVAIALMAASILQGVSSKLPEYAVTTAYDVLEQNNLPQGLFPLGIQSYELNAGGGFVVTLPGECNFFVTFAEKEIKFRFDSSVSGTIKSGSIIRLSGAKILVEFALRGFNQVNRAGNLLNFHLENSVIRGLLAA >Sspon.01G0046990-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:93243770:93246530:-1 gene:Sspon.01G0046990-2C transcript:Sspon.01G0046990-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSIELTKEYGYVVLVLVAYAFLNFWMSFQVGKARRKYKVFYPTMYAIESENKDAKLFNCVQRGHQNSLEMMPMFFVMLLLGGLQHPTIAAGLGVLYVVARFFYFKGYSTGVPDNRLKIGGLNFLAVFGLIICTASFGINLVIRETL >Sspon.08G0015340-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8B:56563241:56563597:-1 gene:Sspon.08G0015340-2B transcript:Sspon.08G0015340-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AIVGAGATAAKMPASKTVSTSAAETELGTHVFHVVGYNQQKAIGAHKDKSIVSGHFSVGGHDWEMFLMTDIYNKNHPDCIAISLVLLSTVTAGVLASFELRLVNQSTGLPFTVHKEALK >Sspon.07G0034140-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:16331808:16334292:1 gene:Sspon.07G0034140-2D transcript:Sspon.07G0034140-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQVESSGSEFRTPRGALDVKRKSKKRRKSQPDDGDDVCAICDDGGYVTCCDGGCLRSFHLTEEHGEGSKCPSLGINSEEAKMIIDKKDFICKNCKYKQHQCSACGLLGSSDLSSGAEVFQCKDYNCGYFYHPKCVSKLLHPGDKLRACHFEQYVAAGLKFLCHVHKCSVCHGAENRDDKNMQFAVCRLCPTTYHRKCLPSDIPFEAKEGPNGYIFQRAWDGILRDRILIYCMKHEIVKELGIPRRKLIIFPYAENLCVPNGPESAPKEQDTLAEEELLDHPSSEPSQSLPSAAAQNQCFCSNPMDSFAPKSLFPHPYP >Sspon.06G0016260-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:88526266:88535999:-1 gene:Sspon.06G0016260-1P transcript:Sspon.06G0016260-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLPVEDFPGLKPNCAYMTDDSVEYVNFWKLNRREIGIWSMAEQRTDDRSGSGLVADTVAARDWSRLPEDLLVSVLRALHVADAIRSGAVFASWHAANAAFRRLHLPSPRQHPCLLYASDAVAAGGGAALHCPATGATLRIPFPRAPLARRPLLGSGHGWLITADEASDLHLLNPVTGAQVALPPITALHHVERGTDEQGDPAYLVYENKKRYNYSKLRSEIVTEPTILEVDQAHHYMYYRVVISASPSAGRACVVLLLHMPYSEISFARIGDERWTWVAPAGDGDGKGLPWKDGYVDAMYNDADGLFYVLAGLDASMYSWDLHGTDNRSGSGLIADTVAARDWSSLPEDLLVSVLGALHVADAIRSGAVCASWHAAYAAFRRLRLPSPRQPPCLLYASDAVAAGGGAALHCPATGATLRIPFPRAPLARRPLLGSGHGWLITADEASDLHLLNPVTGAQVALPPITALHHVERGTDEQGDPAYLVYENKKRYNYSKLRSEIVTEPTILEVDQAHHYMYYRVVISASPSAGRACVVLLLHMPYGEISFARIGDERWTWVAPAGDGDGKGLPWKDGYVDAMYNDADGLFYVLAGLDASMYSLDLHGPTPVDSKVLAGLSRTVDSKVLSGLSRSVGQTRYLVQTPAGDILQVWRERNYVDMLTPVVLPPDYVDDGDMCHDPYTKLVTTDVQLYKVDLHGQRLDMIKSLPDCALFLGLNGSMCLPVKDFPGLKPDCAYVTDDFLEYVNMLKYNPREVGIWSMAEQSMSRLVDVSPPVVYPWLTWPSPIWIKPSLF >Sspon.01G0000950-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:2569642:2575591:1 gene:Sspon.01G0000950-3C transcript:Sspon.01G0000950-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MWVTSMPQVWDEEAAAKGGGAAVTPAPAAAMLGSLAGWLSRTVQPQPPPPRVCGTEGGPPVTAPRLRLRDGRHLAYCDSGVPRDQARFKVVFSHGFTGSREDSVRASQEVAEELGVYMVGFDRAGYGQSDPNPNRSVKSAALDVEELADALGLGPKFYVIGISLGCHAVWGALKYIPDRIAGAAMMAPVVNYWWPGFPAELAAEVYAKQEVGDQWALRVSHHAPGILHWWMEQSWLPTSTVVAGTTPLPNARDAEIRRNMQADGTFQQKREQATQQGIHESYYRDMTVMFGKWEFDPMALPEPPCPVHLWQGDEDGLVPVVLQRYLASKLAWVNYHELPGTGHFLSAVPGLGDTVLRTVFGQKQ >Sspon.03G0023780-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:57374597:57376774:-1 gene:Sspon.03G0023780-4D transcript:Sspon.03G0023780-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWPVRVRSPPVLQSKLLCLSLLYLLTTLPPALYVSFTDPGRRGCLRHLPFPSPPKPLFRYPPGYGEHRHALPTPRALCSNPVAFADYKTALEEIHGLCRNTSASPALRYQSGGRGTFAGNFSTDERRSFFSHTDDQVAIPCGFFREFPVPEHDRLDMEKCRGVVVASAIMNDYDKVRQPRGLGSETLRTACFFLFIDDATRRVLARQGVLPARDARGGVHTTVGAWRVVRLGGRRAGGGRLPYEDPAMNGVVAKHLLHRLFPNARFSVWVDAKVQLTVDPALLVHALLVRERVDVAVSRHPFNRHTMEEAIATARWRKCGDVDGVRAQMETYCANGLQPWSPSKLPYPSDVPDTAVIIRRHGVASDLFSCLLFNELEAFSPRDQLAFSYVRDHMSPKVSINMFEVEVFEQIAVEYRHNLKPGGGSGRGKQHRMASSRDIAGSSCERYLLKMWGESAD >Sspon.01G0014680-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:42279769:42283174:1 gene:Sspon.01G0014680-1A transcript:Sspon.01G0014680-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding CSEAGKPDCPKTRKTRGRNSERRTEPEGCSEIDLTTTSSSSPCPPPEWRHPPPQISPLYFLLLVRSVLPSNRLAPCSPLKTLALPQPPSMAADFRTPDRLLPAAAEEPTTPQGHPPNPVLSTPAVPDATHDGLRFWQYMLAGSVAGVVEHTAMFPVDTLKTHMQASTPPCRPALSLGAALRNAVAGEGGALALYRGLPAMALGAGPAHAVYFSVYEFAKSALTDRLGRNNPAAHAASGVVATVASDAVFTPMDTVKQRLQLTSSPYTGVGHCIRTVLRDEGPGAFFVSYRTTVVMNAPYTAVHFATYEAAKRMLGDMAADEESLAVHATAGAAAGALAAAVTTPLDVVKTQLQCQGVCGCERFSSSSIGDVFRTIIKRDGYSGLMRGWKPRMLFHAPAAAICWSTYEASKSFFERFNEERRK >Sspon.01G0019380-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1C:71735173:71735940:1 gene:Sspon.01G0019380-2C transcript:Sspon.01G0019380-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASTTMPLEGRVAMVTGGSRGIGREVSSHLAVLGARVVVNYASNPAKAEELVAELASRGLRAVAVRADVSDPAAVRALFDRAEEAFGSPPHIVVACAGIMDAKYPALADTAVEDFDATFAVNTRGKFLVCREAARRIPPNSGGRIVTFSSSTVAVLPPGYAAYAASNAAVEAMTRILAKEVAAKGITANVVAPGPVNTELFFAGKDEAFLERVKKTIGRIAETTDIAPVVAFLASEASSWVNGQVIRVNGGVA >Sspon.01G0005490-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:14623251:14624763:1 gene:Sspon.01G0005490-1A transcript:Sspon.01G0005490-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DGQLPVPGGGGGDGADPAPGRRPHGARLLGTLGRGRHGGQPGPLRRGRHHHHRTAGRGRRSSLFRRRDREAPQAAPPRRHAPARPRLPPRQLRRGAEGVRVEAAGEAEPRHGQGQGRRRGREAGVQASPPSRQGQRRRRARRRRAQGIIRAVTRQGHAPDGGGAGAGCRPVLGPQRQARRHAVRRRPRRRPGGAPAATRGVGRPPSRPAMEARAAEHRRRV >Sspon.02G0059700-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2D:97928374:97928849:1 gene:Sspon.02G0059700-1D transcript:Sspon.02G0059700-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLLEPCRVGFGSTELAQFLGCSRKCAPALGVAWPLLPDCPRVRSMSRGVRGFALAGSACWPRAHCSTVVSGRVVCAPASLPAASAGPVLCRVCVAACRPRSMPWPSLRGQRVAALPSVHLSRQATVLVSLSLRYGCLALAIEAMRCGSAWFAPASCH >Sspon.02G0013070-1P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6B:12831880:12832962:-1 gene:Sspon.02G0013070-1P transcript:Sspon.02G0013070-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPVLLVVAAALCLCALLFGAGEAAARGAGPVVEASAFRSRATDPNMEVKFDFSPFLIQYKSGRVQRFMGTTFVPASMDSRTGVASRDVVVDHGTGLAVRLYRPSRRAVASGGRGRLPVLVYFHGGAFVVESAFDPVYHNYLNVLTAKAGVIAVSVNYRLAPEHPLPAAYDDSWTALAWVLENARRGGDPWLAKHVDASRLFLAGDSAGGNIAHNLAMRVGQQQQGGAGAGAAARIKGVALLDPYFLGRYVSGGAQRAWGFICAGRYGMDHPYVDPMAALPAEVWRRLPSPRVLMTVSDQDRLGPFQRAYVDALRASGWGGQARLYVTPGEGHCYFLNNLDSPKAAMHMATLAAFINAS >Sspon.03G0007660-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:20602006:20605283:1 gene:Sspon.03G0007660-1A transcript:Sspon.03G0007660-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGALDLHLEFASAQHGQAKLNVEEYAKGSLLSDGNYNTEKINGSNPDDYEKFEKGIMQYGCPHYRRRCRIRAPCCNEIFDCRHCHNETKNSIKIDKMKRHELPRHEVQQVVCSLCGTEQEVQQVCINCGVCMGKYFCGLCKLFDDDVSNRKLDIVSPVSKQQYHCNGCGICRIGGRENFFHCSKCGCCYSTALKNSHACVEGAMHHDCPICFEYLFESTNDVSVLPCGHTIHVKCLKEMEEHCQFACPLCSKSVCDMSKAWERLDMELATLSDSCDDKMVRILCNDCGAISEVQFHLIAHKCQNCKSYNTRQI >Sspon.01G0060570-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:80945375:80947423:-1 gene:Sspon.01G0060570-1D transcript:Sspon.01G0060570-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGAAASSSSGGWRYYAVIWNIICGAPSTRSLPCLPPPRPGTASLSHRPQAVRPSSPKSNPGAFTSAATIAHPSGRGRAIEFRSRMQGAAASSSSGGWRRPRQGPSGWRGLWPHERCETTGRVVQQRENKYLWRCPQLPTASAGHRHAQLQPLEVGRMDEPRARDREAPATTWWKAMFTSRSFSSVGVAA >Sspon.02G0009620-3C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:29960569:29961127:-1 gene:Sspon.02G0009620-3C transcript:Sspon.02G0009620-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDKEDSVPHSVAEKDTEALLLRDVLLNGILAIGTLGHHVDSFCPEACIEEDDLLIMGDEKVIEEEKDDEEPRNDQVKEDVALETEPCEPVLPIFEPAKMHSLSMKEGNFTCFVTEEILIHEVEDGGAANIQERPLLMVEKVEKGRIVARISSMLLGHPLQSIHHA >Sspon.01G0022960-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:83544293:83546787:1 gene:Sspon.01G0022960-1A transcript:Sspon.01G0022960-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTVTVPGSSVPSTPLLKDELDIVIPTIRNLDFLEMWRPFFQPYHLIIVQDGDPTKTIKVPEGFDYELYNRNDINRILGPKASCISFKDSACRCFGYMVSKKKYIYTIDDDCFVAKDPTGKDINALEQHIKNIMSPSTPFFFNTLYDPYREGADFVRGYPFSLREGTHTAVSHGLWLNIPDYDAPTQLVKPKERNERYVDAVMTIPKGTLFPMCGMNLCFDRDLIGPAMYFGLMGDGQPIGRYDDMWAGWCVKVICDHLSLGVKTGLPYIWHSKASNPFVNLRKEYKGIFWQEDIIPFFQNVTIPKDCDTVQKCYLYLSGEVKEKLGKIDPYFVKLADAMVTWIEAWDELNPATPAAENGKAK >Sspon.05G0020580-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:84793203:84799950:1 gene:Sspon.05G0020580-3C transcript:Sspon.05G0020580-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVLKPGMSEQRLWKGTVDMEAAALSAAITGMLKIVGNKLASLVIKEYSSIVGVKKDLQELQHLAQEINCWLEIAGERTIGDALWLKRLKEVAYDMDDAVDEFQLNAEKHDVDAKKIKKIKKRFAEIVKQRTDLTAIFGRDPISHIDKKVVNMQTLPVGDEVVLVGRDQEMQQIISNLVGTNDKNKIKIVSIVGLGGSGKTTLAKLVYNDGKIIEKHFEVRLWVHVSQEFDFEKLIKKLFEAFADKDSLHPSLPYMSKRIQDGLNRKKFLIVLDDVWTESQNQWDKIMGYLKNGAPGSRILLTTRSENVAEAVQSTYHFCLPRLSPDDSWQLFQQSFRMPAKILESDFFKVGKEIVETCCGLPLAIKVLAGSLGDKELIGEWHAMRHNLLDVEGHVIYKQQLIDQWIAHDMISLTPGVDDLVHIGHRYFSSLVHVSFLQDVEEYEGEVICKMHDLVHDLARSILSEEVSTIITPEYGTSSTKGYRYYSLVKQPRSLLPEKIFENARAIYVDGGDNIIFDKALKNSKHLRSIIIVNYVFSKTVPTAIIQVKNLKYLKISLPGCESLPEAISDIWSLQALYLTSTKELLELPKSIGKLIKLRTLSLSCCPKLMSLPSGITTLKNLEYLKLGWCSQWLEFPDGIGNLTKLKALDLYINKAMPPGIGQLTRLEKLNSFCVSFDKKYAQISELASLSRISGKLSICFLRRLTNPCDARQACLKQKENLHELELSWGSLHSRVNAEHEVAVLDGLEPPLGIKRLKINMFGGEEIACWMLKQAGCRVQGLRQFPFLTEMSLHDFPNLKHLDGLVQMPCLEKLNLKNMPVLESISGGPFPSLVELKMKGLHSLGVVWMVTDRTLLGKEGEGCSNHSPHQLGQLQIGSCFTNLDIEDCHKLRVKPYFPFSLKQLKLDHINSNLLVFSSFSLPPSLELRSSCVWELLQHISALESLEIFRCNDLTELPEGTLFPAKDDHIISCNSLSSLPQSIGFLISLRELRIEQCDALVQFPEGLGELQSLCMFKSLCRLMSLQELHIGGCDALCELPECLCELQLHCLHTMKIVSCRGIHSLPDWIKGLVALQRLEIYACPSLEWRCERGTGEDWHLISHIPHLEIEHPANGRTAFSGMENPVFDH >Sspon.01G0011310-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:31346319:31347751:1 gene:Sspon.01G0011310-2C transcript:Sspon.01G0011310-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNTRPVGMASGKTAATSWCGHCGMGLVAPPPGSSGSSVRCAFCHGVTRIEHHHQQRGGAVGDSAPMTMRTLAAAASLPRPPPVSAGLVEIPAGYPRRVSGGGSKRALLVGVSYTGTKYELRGTVNDVKEMRNLLCDRFGFPSAFILELTEKESDPTRVPTRENLLRAMRWLVEGSRGGDSLVFHFSGHGVQKLDMNNDEVDGYNEALCPMDFERSGKILDDEINEIIVRPLGKGVKLHAIVDTCHSGTILDLPYLCRMSRTGYWQWENHSRPSGMTKRPNGGLAISISGCSDDQKSADASQGFSDESSSIGAMTDSFIKAVEAEPGTTYGRLLSAMRTRIRDGQGSRRLPGRLGSFVRWMIPSSGVQ >Sspon.05G0011550-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:33214139:33215064:-1 gene:Sspon.05G0011550-1A transcript:Sspon.05G0011550-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWPPPSTSPSPSLVVRSPRQTVSLIRNRRPHRDWAPSTRSSSFAACDHGPKPSEVYAFVGSITTVIATAVYLAWAYTPEPVLRSVGITYYPSKYWALVVPSFLIVAVVLSMGIYMGLNFVASPPPTSCGTIFDENSRERTTFSPAIEEEMPIEPISDISIDQINNLMFGDR >Sspon.01G0008520-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:24778694:24787097:1 gene:Sspon.01G0008520-2P transcript:Sspon.01G0008520-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIPRACSVCWFFHVLVLVCFLCQILYVGSVVAQTAQLTVDASPQNAQTIPGNMFGIFFEEINHAGAGGLWAELVSNRGFEAGGSNTPSNIDPWSIIGDESNIIVATDRSSCFASNPIALRMEVLCGASGTNACPSGGVGIYNPGYWGMNIERTKVYRVTMYIRSSDSVELIVSLTSSDGLQNLASHTIMGDKEDFAEWTKVEFDLQSNYVNTNSRLQLATTKSGIIWFDQVSLMPSDTYMGHGFRKDLASMLANLKPRFLKFPGGNYVMGNYLINAFRWSETVGPWEERPGHFNDAWAYWTDDGLGFFEFLQLAEDLGACPVWVVNDGASIYQEVSSATIAAFVKDVVNGIEFARGDPETAWGSVRAAMGHPEPFQLYYVSIGNQECSKYYYKDNYVKFYSAIKASYPDIKIISSCDRSAISPVNPADLYDVHVYTSSGDMFSKSRMFDNTARNGPKAIVSEYAVTGSDAGRGTLIAALAEAAFLIGLERNSDMVEMASCAPLFVNNNDQRWNPDAIVFNSWQHYGCPNYWMLHFFKDSSGAALHPSTIQLPNYDQLVTSAITWKNPHDGNTYLKIKVVNFGSKAVNLNISVTGLETDVQTFGSIKTVLTSGWLQDENSFQQPDKVVPAASPITNAGKQMGVVLNSYSLTSFDLLLDSDQTVPSVSASSLHSSV >Sspon.07G0015460-1T-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7D:52815547:52816861:1 gene:Sspon.07G0015460-1T transcript:Sspon.07G0015460-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding FQEIVPLNARNVLGAEDKGPARRWLDLIRRALNHPPSDAASSLSSSSSRSHSSPSDVHLLQKSRVSFADLLAAASCRPSTASEPDDDASEPSTPSTPESSSEEEPVCAEARRHGGRYHYRLAASKQMVGIFLCVWVRGDLLPCIAGVRASSVGRGVMGYMGNKGSVSISLTLGARGGGRTLCFVCTHLASGDKDGDRARRNGDVAEILKRTRFMRPNLPWFAACGASSPVTILEHDKVVWLGDLNYRLLMAGGGGGTRELVERHEWAALLERDQLRAEQKAGRVFAGWEEGRIGFQPTYKYVAGSDAYATMSIADSADGSRSRDRKKRTPAWCDRILWRGEGMEQRWYARSESRFSDHRPVAALFSTRLGGDGD >Sspon.08G0019990-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:16357773:16358027:1 gene:Sspon.08G0019990-1B transcript:Sspon.08G0019990-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MYVLASTPSNQSAPLRIETNFLLLLLNSERQWHLRRCRFEGRFYLFDPFYFFQLGFLKLQQMYH >Sspon.07G0009100-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7C:20928247:20929586:1 gene:Sspon.07G0009100-2C transcript:Sspon.07G0009100-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYSYRHVYGFNNLSSMNNITNMRIGYPRLDAEQQGGGKKKKKGCCGPRRRATTTTTKRGEASFIEGWCKPRHRY >Sspon.02G0016960-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:100789787:100793127:-1 gene:Sspon.02G0016960-4D transcript:Sspon.02G0016960-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heat stress transcriptioon factor, High-temperature stress tolerance, Tolerance to environmental stresse [Source: Projected from Oryza sativa (Os03g0795900)] MNHGNGMLNSVKVESWPSVVAANGQPRPMDVLHDGGPPPFLTKTYDMVDDTTTDAVVSWSATNNSFIVWDPHIFGTVLLPRYFKHNNFSSFVRQLNTYGKLAAPSVAQKSMASERWILTDGNLLMRVSERSEALLKNIRRRKPPHSSPNQQSLGSYLEVGHFGYEEEIDQLKRDKQLLMAEVVKLRQEQQNTKSDLQAMEEKLQDTEQKQQQMMAFMARVMQNPEFMQQLISQREMRKGLEDAISKKRRRHIDQGPEADSMGTGSSLEQGSQAVFEPLEPIESLANGVPSDLESSSVEAKGFEVQQGVSSGGSERLNGRPSAELNDDFWETFCMRGARCRCR >Sspon.03G0009670-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:40975433:40981936:1 gene:Sspon.03G0009670-2C transcript:Sspon.03G0009670-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RLHDERRRGFLGSNAAAAASEKAEAEAEGDIVALKNYLNAQYYGEVGIGTPLQKFTVIFDTGSSNLWVPSSKCYFSIACYFHARYKASQSNTYKKNGKSASIYYGTGAISGYFSQDSVKIGDVIVKKQDFIEATREPGLTFMVAKFDGILGLGFKEISVGNAVPVWYNMVNQGLVDDPVFSFWFNRHADEGQGGEIVFGGIDPSHHKGNHTYVPVTRKGYWQFDMGDVLIGGKSTGFCAAGCAAIADSGTSLLAGPKAIITQINEKIGAAGVVSQECKTVVSQYGEKILDQLLPETQPAKICSSVGLCTFDGTHGVSAGIRSVVDDESGKSNGLFNDAMCNACETAVVWMQSQLAQNQTQDLVLQYINQLCERIPSPMGESSVDCSRLASMPDIAFTIGGRKFVLKPEQYILKVGEGAATQCISGFTAMDIPPPRGPLWILGDVFMGAYHTVFDYGNLKVGFAEAA >Sspon.01G0004500-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:10581947:10586596:1 gene:Sspon.01G0004500-4D transcript:Sspon.01G0004500-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:3-ketoacyl-CoA synthase [Source:Projected from Arabidopsis thaliana (AT2G26250) UniProtKB/TrEMBL;Acc:A0A178VYA3] MAREEQALLSTEIVNRGVEPSGPDAGSPTFSVRVRRRLPDFLQSVNLKYVRLGYHYLISHGVYLATIPVIVLVCGAEVGSLSRDELWRKVWGEATYDLATVLAFLAVLAFTISVYIMSRPRPVYLIDFACYKPADELKVSKAEFIDLARKSGKFDEDSLAFQSRLLAKSGIGDESYMPRCVFEPNANCATMKEGRAEASTAMFAALDELFDKCRVRAKDVGVLVVNCSLFNPTPSLSAMIVNHYKMRGNILSYNLGGMGCSAGVIAIDLARDMLQASGAGLAVVVSTEAVSFTWYPGKRRSMLIPNVFFRAGCAAVLLSNRRRDFHRAKYQLEHVVRTHKGADDRAFRSVYQEEDEQRIKGLSISRDLLEVGGHALKTNITTLGPLVLPFSEQLLFFAGVLFRHLFPSKASTPPPPTTPGDASAAAPYIPDFKRAFEHFCMHAASRDVLDHLQSNLGLRNADLEASRAALHRFGNTSSSSIWYELAYLEAKGRVRRGDRVWQLAFGSGFKCNSAVWRAVRRVRRPARSPWLDCIDQYPSHMDA >Sspon.05G0020390-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5D:81932186:81934411:-1 gene:Sspon.05G0020390-2D transcript:Sspon.05G0020390-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSPPPPPPPPPPSPTTINALGDDLLLDIFLRLPDVTTLARAAFACRAFLRAVRSSPAFRRRFRELRAPPLLALFLTPDMPAIVPAAAGKGSDIAAAFADLLQDSDASEWRSDPGIPYSDGYVDFFNRATDQGACYSPHSQALNIYPKNIRYGEDNDACLEFHTLSPDGEEQRPSRVVCVHRDHAWAWASVAVFSSETMEWQVVLPEVDNGGPLVENDRYITGTVVNGFVCWLHQREGCIFALNTVTFQFSQMELPPLLKGPFSMFQHGHTEDGKFCVVSVLQCMLSVWLWAADDEGVGSFMLHKMFSLRENVSKITELSEEANVGMRPMAVINGFVYLSVYLRHPQLAYFGDLQSPEWFLSFCLETDEMNLLYKESQLLRCIADPYFMVSWPSSLINGKEDSETGVTGNISEDDGHMGTEEASPVLFTALLSFKEKLIDDGNSNFAEIDSFLLDDERNSLLSRIATLESGLAAARNCVLRIGTEPNSMSGMELVTSESELATARDRVLRIGTECDSTEGMMIGE >Sspon.06G0023310-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6B:42403210:42403525:1 gene:Sspon.06G0023310-1B transcript:Sspon.06G0023310-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKKEKRYPEAKRKNYPHLRTSQSVPFPQIRRVQSNPSSTQTARRWAYGNAATVGGQQGVGGLQLGCAGTVADGVDLGGRRSFAPSHRPPRPAAPGLAPTVAEAP >Sspon.01G0047810-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:109178679:109179783:1 gene:Sspon.01G0047810-2D transcript:Sspon.01G0047810-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAACGGTELLAASTKIIGVGRNYIAHANELGNPVPKVRFARLPSSITKRSRDPNFPQSLPSSPRGLWFDLACVWGVLQEPVLFLKPTSSFLHAGVAAAAVEIPEPLESLHHEVELAVVISRRGRDIPEASAMDFVGGYAL >Sspon.06G0000410-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:2194566:2198033:1 gene:Sspon.06G0000410-1A transcript:Sspon.06G0000410-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPHPSPLAPLRASSSSPAARLRFHLPFLCSPYPSPLLSSPSPRPRWPPPIRAHASGETGLGGRRGVFGPDALLSAAELLCLAPPAICSVVCAARLVFLPAGSSAGPPPLLVLQYVLLVGAVAIGSLIRRRQSGRLRPAGGGLTRRVEKVEETVRGMMTAVAVLSRTVEKLGLRFRVLRRTLRDPISETAALAQKNSEATRILSAQEDLLEKEIGAIQKVLYAMQEQQQKQLDLILSIGEASRILDGEQDLLDGDSARSSSASPAPELENKQVETESEAATGGNNKS >Sspon.08G0016090-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:63739221:63740372:-1 gene:Sspon.08G0016090-1A transcript:Sspon.08G0016090-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTGSSGSTQALVAMHRGGGEPETQPHRLLLQRMEPLFRPAPTDRDRRLTKLLVNVTVDRSLWPVHLVLGADATVADLVRAAVAAYVREGRRPPLAAAGGAGADDAVDGFELHLNKYSLESLRLEEKVLDLGSRNFFLCARRSAAAA >Sspon.04G0021690-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:1717639:1724145:1 gene:Sspon.04G0021690-3D transcript:Sspon.04G0021690-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGPRTFPGGLSKWQYKRMHEKLARQKQRGLLRHEKQLYLARLRSEIRASRLPEAPPGEGPTSSRAHIRALADRFRRPGAEDLWNEDDGPLRRAKRPPTGISSGVRHQQQLDSGKSRGGPSWEDWEDLALGQPRPRKEPLDRGKGPTLAAFNPRREYLTVVAPCFNPRPLIARGLTEVGNGRRETPLALLNQERLYSVAVRRFGRKWRPDSSDDDDESTSARKRNLRFGKFGASSEEDSEIDESGDTGATRRRWSSAALRNCDMKKERRVLKSYEEESNDLAGRIRELREEIRNREVLGTERRRYESRGESLLTSKRFDECGVSPLAVKSLIDAGYVHTTVVQEAVLPICLEGKDVLVKAKTGTGKSAAFLLPAIESVLNGMKSNTNQRVSPIFVLVLCPTRELAIQLTAEANVLLKYHEGIGVQSLIGGTRFKLDQRRLESDPCQILVATPGRLLDHIENKSSFSVRLMRLKLLVLDEADHLLDLGFRKDIEKIVDSLPRQRQTFLFSATIPKEVRRVSQLVLKRDHVFVDTVGLGAVETPTKVQQSCLVVPHELHFHMVHHLLREHIDREVDYKVLHLLFFRQPVIVFCTTAMVTEFMYIMLRDLKLNVREIHSRKPQLYRTRISEEFRGSNRLILVTSDVSTRGVNYPDVTLVIQVGVPPDREHYIHRLGRTGREGKSGKGILLLAPWEQYFLNEIGDLPIEKCPAPDIGQEMKQKVDDSIKIVDMSIKEAAYHAWLGYYNSIADVGRDKTMLADLANRFGASIGLEKPPAIYRKTALKMGLKDVPGIRIRK >Sspon.03G0025900-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:1118296:1122096:1 gene:Sspon.03G0025900-1B transcript:Sspon.03G0025900-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKITALLLLKSPGDSSAVVLANASDVSHFGYFQRAAAREFILFVARTVALRTPAGSRQNVQHQGTSLPPPRFVPSPSLLRQLLTPAEYMVHCYNQNGLCAIAFTDAHYPVRSAFSLLNIVLEEYQKTFGESWSTTKTDVTQPWQYLDDALTKYQDPAEADKLLKIQRDLDETKIILHKTIDSVLARGERLDSLVEKSSDLSISSQLPQHTRSGCPCSRSTPRTRLLEPKFCTSVPWCVASQRTANEDLEGAEEVGVRGDISAVVEKGTWDRCYASVAPWDKRDHMFYKQAKKTNSCCTILLVGVETLTKLRKVWPAGLVLFAKAEEYHDYVVELNSQQRNRVARKTPRPIMET >Sspon.02G0007800-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:22698376:22711880:-1 gene:Sspon.02G0007800-1A transcript:Sspon.02G0007800-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MCTLHPAGHISNPSGVDLLAGGGAIYLRVEKNPLVCPTPKHRSKASPISRELRCRYVRVGQRFEGFLDLREKREEERLEKVVNAEKACSSGERCHTIALSKRLRQGRPDPEPEVAPACAETKFALHEVVACGLNRRSRERKREGHRGSQAQMCQEQRNEEDRICGKEREAVGKETRKKKRPWGRRRGRKRDHGKRNYDRAESSWQTTTLAGPSFGASSSSSIWRGQEIAVKGRRCRACDPATGQTCPVEQLARSEESSSAHPPKPARSPQPACRASPPSRSAARATQQHPRTSRPATPGQPSKAASASSALPRPAGARFLSPCDADERDPPSSLTSRSPSPASPIGKQSKRRGDKNTQTAREDSPCPLPVTLRAKPPSRSFTAASGGLISNHTTLQGRGRRGEEKGKAARRSRTAAAARDTGEEGDAATVHLAGERHCTATRLHCRESPLHRHPPTTSPSDQIHAGNGQGRSPIIVCTYTHSCGHDCTPHGLTEPYRLGNATTVATLRACPPWPEHGHGEAVPCWLGTIEARERGARPLAADESAVGKEKASKRRRGAAGCTRCTVSREERGGDGPGPLWTAFKTCGPPARVHREPSSPARARLRIQMDDVAAPASDTWRPSPAQFSLDPAQPRPEPEDLPTEEYDPDYSEDVGDDLPAHNARRPPPLGAPNARRSSNPLPHPRRDPWSMSKIHSPLARSMQVAPWYSRGVGGSPPPWCGTLPTPGGILSHPSSHGALRPPSPAAAAEPSPYWWHWWRWCSIRCRCSPPRPTPTAGINWPRLSFSYVVNCRPPALMSMRAGRAKPRPPMHGGGMGHRRRCGEEAQTALCCCGRGGGQSSGRPRSDRRHTGVEEAGCMTAWQMNGTSSGSRVRITRTGRLWETYEWMKFEQIHNPYSYELERLASSNDSGGGWIKSKEGLIVKTIVVLAKGTSAARIVAAPWPNLMKARRSSPFQRSRASQTKRKAWDEGEGEGELTKLEDAGGGEMKTTHGFRRRTAKFLRTPAKQRFWLGSVLKSGSNLTQAQGRGQPDADTRALLGSGTKKGKREAPGPVMLGSAHCGAAKRAEQACGGGKAQASRRQGQANLATRPKREKGAS >Sspon.01G0030970-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1A:106649999:106655999:-1 gene:Sspon.01G0030970-1A transcript:Sspon.01G0030970-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GHRALRRDGAPGAPSVPRRVPASPPQAPQEILLPNHRHHYNCHYHQPSCRLPASVSVVAAAGGGDTGPGNPSAEPVPAPADAARCGCPDPAAAFLSRPRVLAAAADRHPRRRQRRVRGRRARPAVLGDRRRRGWDPLGAPAEGDGEDDDDLGLWGGGLIEEL >Sspon.08G0004210-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:12253113:12256107:1 gene:Sspon.08G0004210-1P transcript:Sspon.08G0004210-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVGSADSFNSILSKVQDEKLPAVFYYTAVWCGPCRAMAPLVSKMSSQYPKIPVYKVDIDMPTFHFYHKGQKIGEIVGADAKKLEVVMESLHKQQ >Sspon.01G0028080-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:64342475:64344975:-1 gene:Sspon.01G0028080-1T transcript:Sspon.01G0028080-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQMDSYNYASFFHDEAPYYPHVAMPPSPELPFGLIASPGEPEPPFAAPMPTAFQDYTGAAVGAGAGAPFGGGAPGVHGQVVDALGRMGGDGQREQSMAMAEDGEEEQQPQRQQPAGAAAVESSRGFRHMMRERQRREKLSQSYADLHALVASRSRGDKNSIVQAAAIYIHELRGAREQLQRRNEELKARILGHDARQQCVKVQFEVDEPASAIDSMIGALRRLKGMDVRARGIRSNLSGRRLCTEMNVETTIAAGEVEKAVEEALMQEVERKQQLGAGAGSAAAAPGFAGPPASSHVQNVF >Sspon.01G0033830-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:102243069:102247778:1 gene:Sspon.01G0033830-2B transcript:Sspon.01G0033830-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLPS >Sspon.04G0020090-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4C:75853268:75859453:1 gene:Sspon.04G0020090-2C transcript:Sspon.04G0020090-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AP-3 complex subunit mu [Source:Projected from Arabidopsis thaliana (AT1G56590) UniProtKB/Swiss-Prot;Acc:F4I562] MAEEATSLEAPPLHKPSSMREAALDDETRALVVPDTADLPASPPSAVEANFARYFIADPPTVTARQRLNERPDALLRTTGRAGAHSTRAREDKTGRAPRNHRTPQYPRPVRVQDRVRNDDIRDRVGVAPIEEKLIQHRLKWFGHVQRRPPEAPVRSGVLKRADNVKSGRGRPKLTWDESVKRDLKEWNIPKDLAMDRSAWRLAINFLSCVADVLTDYLGDLNEDIIKDNFVIVYQILDEMMDNGFPLTTEPNILKEMIAPPNIVNKMLNVVTGKSSTLGSKLPDAAASFVPWRTTIVKDASNEVYVNIVEELDACVNREGGLVKCEAYGEVQVNCSLPGVPELTMSFANPTIINDVTFHPCVRFRPWESNQVLSFVPPDGQFKLMSYRVKKLKKTPIYVKPQLTSDSGNCRVSVMVGIRNDPGKPIDSITVQFQLPPLIVSADLTANYGTVDILADKTCLWTIGQIPKDKAPALSGNLRLEEGLAQLHTLPTFQVKFKIMGVALSGLQIDKLDVKNTPNAPYKGFRAQTQAGKYEVRS >Sspon.08G0009260-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:39630580:39632592:-1 gene:Sspon.08G0009260-3C transcript:Sspon.08G0009260-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNLLHIPFLLPLLLSVALNLVCFTTVTSEDQFVYSGFSGNNFTLDGTATVTPDGVLELTNHTVHLKGHAFYPAPWRFRKSPGEMVQSFSVTFVFGMVPIYSDECTDGMTFLISPTKDFSGAQHSQYLGLLNKTSDGKASNHIFAVELDSSQNTEFNDIDDNHIGININSLTSFQSRSAAFYDDKNGIFQNLSLVSRKEMQVWVDYNGETAQINVTLAPLSVSKPSKPLLSATYNLSTVLEDPSYIGFSASTGPINSLYCVLGWSLGINSPAPVIDIAKLPKLPDVGPKPLSKVLEIILPIVTATFIILVGTTVILFVRRRMKYAEVQEDWEAEFGPHRFPYKDLFHATDGFKNKNLLGLGGFGKVYKGVASGLFYLHERWEKVVIHRDIKASNVLLDSEMNGRLGDFGLARLYDHGTNPQTTHVVGTMGYIAPELARTGKATPLTDVYAFGIFILEVTCGQRPINSHAEDSSQILIDWVVKHWHEGSLTYTVDSRLQGSYNADEVCLALNLGLMCAHPVCNARPSMRQVIQYLNGEMSLPEMMPTNLSYSVLALMQNEGFDQYTSISGSSGITSSLSSGR >Sspon.04G0008810-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:25732444:25735007:1 gene:Sspon.04G0008810-1A transcript:Sspon.04G0008810-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAREPKKARGRVKWDEENLNDIESNKPEREKITEPKTPYHPMIDEDEGPVSPLRLSEDSVDQSAHADAIKTALAEAVSSGRIFDRNSWDMCDSEEAIKQAKAFEEHRKVHYDEYHKMKELLQKGTMNDDADEDESEVGNRKA >Sspon.02G0018180-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:56556329:56558879:1 gene:Sspon.02G0018180-4D transcript:Sspon.02G0018180-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTNGAPRLLRLPTTATTSLPLLQLLMLLQLLCLTAALNQDGILLLSFKQSLASDPLGSLSGWGYADATPCAWNGVVCSPDSRVVSVVLPNAQLVGPVARELGFIEHLRHLDLSGNALNGTIPPDLLRAPELRVLSLAGNGITGDLPEQVGQLRSLRALNLAGNALSGTVPQNLTLLPNLTAVSLASNFFSGALPGGGFPALQVLDVSANLLNGTLPSDFGGAALRYVNLSSNRIAGAIPPEMASHLPANVTIDLSYNNLTGAIPAVPPFSAQRPTAFEGNAEICGRPLDSLCGFTSSSAVEPPNSTAKSPPAIAAIPRDPTEALPGDATSSAAGASASGEQRGRMRLATIVAIAAGDVTGIAVLFVVVLYVYQVRKRRRRQEVAKETMGVVFKKPEADESPDAVGRSLSCCLRRKAGDDSDDTDQEVTDTSASFAAKEGITDEKSKARVDAASNKKGGDGAVLVTVDGVAELELETLLKASAYILGAAGSSIVYKAVLADGAALAVRRIGSDCAGVRRFSELDAQMRAVAKLRHDNILRLRGFYWGPDEMLIIHDFAVNGNLANLSIKRKPGSLPINLGWSARLRIARGVARGLAYLHDKKWVHGNVKPSNILLDADMEPLLADLGVDRLVRGACGGLMKPSGATLAGRFGSKRSAKSLPDLSPPPSHVGTTTAPSASPVAGAPAADTTAAHYRAPEAVRSPNKASGKWDVYSFGVLLLELVAGRALSSLELCQCAAEEKAQALRVVDPALRGEMEGREEAVASCLRLGAACCAMAPSKRPSIRDALQAMERIPAAAAASSSSSSTSTAAHHH >Sspon.03G0037740-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:448998:449872:-1 gene:Sspon.03G0037740-1C transcript:Sspon.03G0037740-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AS2 [Source:Projected from Arabidopsis thaliana (AT1G65620) UniProtKB/TrEMBL;Acc:A0A178WIA0] MASSVPAPSGSVITVASSSSSAAAAAVCGTGSPCAACKFLRRKCQPDCVFAPYFPPDNPQKFVHVHRVFGASNVTKLLNELHPFQREDAVNSLAYEADMRLRDPVYGCVGVISILQHNLRQLQQDLARAKYELSKYQVMHMMIVDISPISHPAASASTPPTGPQAMAEFIGNAMPNGAHNFINIGHSAALGSLGGSPTVFGQEQFANAQMLSRSYDGEPIARLGINGGYEFGYSTAIGGSGAVSGLGTLGISPFLKSGTAGGDEKPSG >Sspon.07G0020920-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:80997404:80997941:1 gene:Sspon.07G0020920-2B transcript:Sspon.07G0020920-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LSTEDLILRDRQEEQRVGAAAATRYSAEERRERIDKYRSKRNQRNFQKKITYACRKTLADSRPRVKGRFARNGGDYTETEADAAADHHVHAPAAARQSESESESPATAAAPEWWPPVQEEGINLAELCADDDEMLAAYLGVSSI >Sspon.03G0006980-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:24312826:24316114:-1 gene:Sspon.03G0006980-4D transcript:Sspon.03G0006980-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADHKEEPVLESVMDKITEKFHGGDSSSSSDSDDDKKKGSSSASAAEAVKAKIYRLFGRERPVHSVLGGGKPADLVLWRNKKISGGVLAGATAIWLLFEVMEYHLLTLVCHCLILSLAILFLWSNASTFINKSPPNIPEVKIPENVAANVALQLRYEINRGFATLREIGHGRDLKKFLIVIAVFVALYTVPVLYEKYEDKVDAFGEKAMIELKKIVQEKKRTRRISMIMAMELIFVA >Sspon.03G0008650-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:23231800:23235590:1 gene:Sspon.03G0008650-1A transcript:Sspon.03G0008650-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATSNQEPGRCGGAGDGDGDGDDEAKRKEAALASSRLLDPGFKPSKLSQDRLDKFKELHKKRLQITEKPKYKRKPKGSCIRPVLDEQKEATLGA >Sspon.01G0020190-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:80398361:80401868:-1 gene:Sspon.01G0020190-2B transcript:Sspon.01G0020190-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha-galactosidase 1 [Source:Projected from Arabidopsis thaliana (AT5G08380) UniProtKB/Swiss-Prot;Acc:Q9FT97] MAGGGGGSSPARLALLLLVVAAAAVVACGGKVVHVEEAHRRSMLANGLGSAPPMGWNSWNHFQCDGNGEVVIRETGTSSVSSLMRSCRPDSLLSAIDTSTSVRQLTRSTLSEKKLIELDYHTCSSSSLPFSDDCWAEPQRDAKGVDYLKYDNCDNGDLKPLERYPEMSRALMKVGRPIYFSLCEWGDMHPAKWGAAYGNSWRTTNDIADTWDSMIATADQNEVWSEYARPGGWNDPDMLEVGNGGMTNSEYVVHFSLWAISKAPLIIGCDVRHMSQETYDIVANKEVIAVNQDPLGVQGKKVRMEGSSEIWAAPLSEYRTAVVLLNRHAKDEATITAHWDDIGLPAGTPVEARDLWLHETVDATFTDKMSFDVAPHSCRMFVLKPSIHIR >Sspon.02G0020640-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:67198662:67200344:-1 gene:Sspon.02G0020640-1A transcript:Sspon.02G0020640-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGVHYRKQYLCRVSHALPRATYRALGKESFAESLPSANIGARQIQDLLRARRSANIGSRQSQALPRARLSVNKKSRQSLTPTNGTLT >Sspon.04G0013270-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:49876174:49880006:-1 gene:Sspon.04G0013270-2B transcript:Sspon.04G0013270-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYQSGSHSSKIGLILGTVGGILGLLIVGALFLICNARRKSHLREVFVDVAGEDDRRIAFGQLKRFAWRELQIATDNFNERNVLGQGGFGKVYKGVLPDATKIAVKRLTDYDSPGGEAAFLREVELISVAVHRNLLRLIGFCTTQTERLLVYPFMQNLSVACRLRDFKPGEPILDWPSRKRVAIGTARGLEYLHEHCNPKIIHRDVKAANVLLDEDFEPVVGDFGLAKLVDVQKTSVTTQVRGTMGHIAPEYLSTGKSSERTDVFGYGIMLLELVTGQRAIDFSRLEEEDDVLLLDHDRPSMSEVVRMLEGEGLAERWEEWQHVEVTRRQEYERMQRRFDWGEDSVYNQEAIELSAGR >Sspon.06G0036010-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6D:87639304:87641230:1 gene:Sspon.06G0036010-1D transcript:Sspon.06G0036010-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MYREVSQIIGIDKSSADLISMLLLNRNDESNQKMKIVSLVGVGGLGKTTLAKAVYDQFKSLFGYGSFVPVGQDPDVNKVFRDILIDLDKEKYTDLKYTMLDERQLINELHDFLRAKRYERIRTQRLCTNLLILPILCVLETLKILKWRTRKHGIDDGVLNSKVGITVRFCRVHDMVLDLILSKSKEENFVSDDGKLSQSHARRLAPKNRELDHTQVTHVNLPQPQVRSFIVLQCDIAKSALHPRLKLIRVLALEGCTFREGCHNLEHIGNLLHLRYLGIRDTWIPELPEGIGALKFLQTLDLEETGIKELPCSIGMLTKLLCLRAKQISAPDGVIGRLTSLVELQIAGPRDNDNSNRQFVKDLGNLCELRVLKIDTIRVMDEGMQTDFKHSLGNLQKMQHLRLCKLLIGTVDMNVPQVAVMD >Sspon.01G0031270-3D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1D:107420654:107421169:1 gene:Sspon.01G0031270-3D transcript:Sspon.01G0031270-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding TQWYVLPTWTLQEHVFISATGWGCIALQANAASGVAVAEECVSRFQELRGGRAHRFVVFKVDDALQRVVVDKVGARAAGFGDLTASLPADDCRYAVYDHDFTVEDATATGEAPRSKIFFVAWSPEAAGVRSKMVYASSCEGFRKELDGVQVDLQATEPSEMTLDVLNDHAS >Sspon.06G0014340-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:57975315:57976952:1 gene:Sspon.06G0014340-3D transcript:Sspon.06G0014340-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DPDLVRAEAAVHRVAGAPQLQGRRVHLRQVRAGAAPEARPHLRRRLRQEGRRQVVVAVVQGQGQAQEQVPRFRHTQEVFWDRLFTL >Sspon.05G0003030-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:1532816:1543697:-1 gene:Sspon.05G0003030-3C transcript:Sspon.05G0003030-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFSAPSFSLGFDWDDDDDPPAGSDRREQPRGYEAPDPPSFSLGIDDDVVEEPRVRAAFCRKEHARGSTAPDPPSFSLGFDDDVVEEPRMRAGGRRKEHARGYTAPDPPSFSLGFDDEDGDGDMLAADQRCEQARPPVAPGAPSSTGAADDDDKEDGFVLTGGRRPVRVERHRLDPDSLPPLRSETNQFAAPDPPSFSLGFDDDDEDGYCDILAADQRREQARPQVAPGAPSSTGTEDDFVLAGCKRPVRVERDTLETDPPPPAAETNRFKRLRKGPAPAHPAPTPQVLHCEAPSSSRIISDDSLAGGQHHEQSKPQAAPRVLSSLSIEDEDGDFFLAADRQPEPTLPEVTQLKRLRKGPAPPHLAPSLPPLKVPGQPTVEACPVMSENATRGAVGSWEDEIEDWTTDEDRPVRDVPPSVGSCSTSSNSKFSLLNRGVLMTQSATKANRSKFTETPNTSAPTSLEESCTKKLLPKITVSPMRKIYLLDSDTDADDNHNQNKAKTLQQNRKPQGSSTVLKSGAMMNDNWATPALDEFCNEYFKSPKDAGFSHQKEGNTHYKVSQPKNSWHFQQQTSSSGAELDDGPPAMHYLFHPDPKVGNLFRNRLQHFVPIGAGSTRGNEQNRAESLRRKQFSSSAAANDDWVTPGRMSIPTDASKRRVHASGSHSGSGHWFTGDSGRKVYVSKNGQELTGRDAYRQYQKESGRGFGRYKKKGSSGTKRGAAKVKTETAAKRGTSRAKRK >Sspon.02G0009460-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2B:24993862:24995615:1 gene:Sspon.02G0009460-2B transcript:Sspon.02G0009460-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding EHATIIMRQSLLAGTTPDSSTFHSIFRRRQRTAGPGAGRPSITLPPVFYWVTVPSSRPLFRLFSRNARALSLSTISSSHSPQRPCWCGACLSRCGKEIEKEKEKREERERQAGN >Sspon.02G0023540-4D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2D:74214047:74217686:1 gene:Sspon.02G0023540-4D transcript:Sspon.02G0023540-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGPLRQGASSRSGGWEREAAAPDWASLHVDLTQLISARVLATGGFLDYVRFRAVCSHWRAAASSPRGRALLDPRFHPRRWMLFPEGFGRFPGHRALGVYVRFFDLSAAGPIVRVPLPELKHHCVLDSPDGLLLLLRDGDSAVRLLHPFTRDVAEFPDLKCLAHQLYEMDYELTGVDPWYSFASRLQNTFGCLYQARKLCAAVNVTATGAITVMLALHSIGRVAFASAGDAEWTISSWKMNQLDRALSYRGKLYVVNWEDGLTHVLQIDPPQLVPQCDGEDSSPLLALPPPKTTATCSSEEIHLPCLVELDSEIMLVGYNDSSFSHILVLRLADLVLGRTVRVKSIGDHVLFVGARSLCVSPSWLPSIGGNSIVCFHAGENYLAQYHGFVLGRTRFRPGVQRFNGPACQIQCTYSHRSSPRAARVPVYVLPCWPAARLHATARREMSL >Sspon.01G0005860-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:15285539:15288623:-1 gene:Sspon.01G0005860-1A transcript:Sspon.01G0005860-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Integral membrane protein [Source: Projected from Oryza sativa (Os03g0208500)] MASRDLAESLLPGGGGGASTSHDEYEERAYDSDDKVSIAISDSDGEDDGAPASRPPFSWRKLWRFTGPGFLMCIAFLDPGNLEGDLQAGAAAGYQLLWLLMWATVMGALMQLLSARLGVATGKHLAELCREEYPTWATRALWAMTELALVGADIQEVIGSAIAIKILSGAPCRFGVASFVFLFLENYGVRKLEAFFAVLIATMAVSFAVMFGETKPSGKELLIGLVVPKLSSKTIKQAVGIVGCIIMPHNVFLHSALVQSRKIDTNKKSRVQEAVYYYNIESILALIVSFFINICVTTVFAEGFYGSKQADNIGLENAGQYLQEKYGTAFFPILYIWAIGLLASGQSSTITGTYAGQFVMGGFLNLRLKKWLRAMITRSFAIIPTMIVALFFDTEDPTMDILNEALNVLQSIQIPFALIPLITLVSKEQIMGSFVIGPITKVISWIVTVFLMLINGYLILSFYIADVRGALLRSSLCVVLIVYLAFIVYLIVRNTSLYSRLCSSMSK >Sspon.07G0006380-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:11906762:11907430:-1 gene:Sspon.07G0006380-1T transcript:Sspon.07G0006380-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHDSWARQNLFVAGTDTTSTIVEWSLAELIRHPDILRQAQEELDAVVGRGRLVTEADLRHLTFFNAVIKETFRLHPSTPLSLPRMAAEECEVAGYRIPKGCELLVNVWGIARDPALWPDPLEFRPARFLPGGSHSDVDVKGGDFGLIPFGAGRRICAGLSWGLRMVTLTSATLVHAFDWELPGGQTPDKLNMEESFTLLLQRAVPLMAHPVPRLLPSAYEIA >Sspon.05G0010300-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:24299285:24302069:-1 gene:Sspon.05G0010300-2B transcript:Sspon.05G0010300-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVLTRAGARARAKRSSSGFHLRSVLAGHGPFSSEAATTAAPAAAVDDRAVAATGEEDGDDLRSRIFRLGLAKRSATAALEKWSSEGRVAPAAELRRIARDLSRVRRYKHALEVADWMKTHHESDLSESDYGMRIDLITKVFGASAAEDFFEKLPPGAKSLEAYTALLHSYGRSKMTDKAERLFERMKDANLSMDALVYNEMMTLYISVGELDKVEIIAEELKRQNVSPDLFTYNLRVSAAAASMDLEGFKGILDEMSKDPNSKEGWTLYRNLASVYVDASQLVGSGNLLVEAEAKISQREWITYDFLVILHTGLGKQGRIQDIWKSMVMTSQRMTSRNYICVLSSYLMCGQLKDAGEIVDQWQRSKAPEFDISACNRLLDALLGAGLTDTADRFRELMLQKSCILTSRATVRGMMKDIDSEL >Sspon.06G0016260-3C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6C:76589734:76590891:1 gene:Sspon.06G0016260-3C transcript:Sspon.06G0016260-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPATANGSRSGSGSGPIAGDTRDWSRLPEDLLVSVLRALHVADAVRSGAVCTSWNAAYAAFRRFRVPSPKQPPCLLYASDALGPGAAALHCPSTGATLQIPYPRGPLARRPLLGSGHGWLVTADEASDLHLLNPVTGAQVALPPITALHHVESGTDEDGDPAYLVYENLPDYNYSKSRFEVDTEPTILEKDQAHECMYFRVVLSASPSAGRACVVLLLHMPQGEVSFARLGDDRWTWVAPGDDTGLPSRYGYRDAMYSATDGLFYLLRLDASMCSLDLNGPSPVARKILDSLPKSVDPTKYLVQTPAGDILQVWRWRDYVDSQIPAEFPPDFVDDSIGGRDPCLELNTFEMHLYKVDLHGHKVELIRSLPEFALFLGSSGSLCLP >Sspon.02G0013320-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:13714232:13719164:-1 gene:Sspon.02G0013320-2P transcript:Sspon.02G0013320-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLKDGERRGGRRGRARCRGRGRSTRSRSTSSRTRSAGWGGGLGKDFGSMNMDELLRSIWTAEESQAIASASASASASASAAGDGGAALQTQGSLTLPRTLSVKTVDEVWRDFVREGPPGTAAGGAEPQPNRQPTLGEMTLEEFLVRAGVVRDNPAAAAAAAAVPAQPVALRPIQAVNNGASIFFGNFGGANDAGAGAMGFAPVGIGDQAMGNGLMPGVAGMAGGAVTVSPVDTSVVQLDSMGKGNGDLSSPMAPVPYPFEGVIRGRRSGAGVEKVVERRQRRMIKNRESAARSRARKQAYTMELEAEVQKLKEQNAELQKKQEEIMEMQKNQVLEVISNPYAQKKRCLRRTLTGPW >Sspon.07G0022440-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:8077639:8078076:-1 gene:Sspon.07G0022440-1B transcript:Sspon.07G0022440-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEELDEFEVLWPETCHTHEPPPPPPSPPVPVQAAQPSDAPAARSRPVDVPGPKAAHSWRWNGLGPRDGSGDHGDDGGNGSAGDDGGNGSAIVPPHLLLSAGWRRSEEAEPAAWTLRASVGPPCKRARDLRHLRDSVLRMTGFIEG >Sspon.07G0010690-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7C:38497971:38499014:-1 gene:Sspon.07G0010690-2C transcript:Sspon.07G0010690-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGAGVLGLPFAMSQLGWGLGTVAIVMSFVITLYTLWQLVEMHEMVPGKRFDRYHELGQHVFGERLGLWIILPLQIIVMVGTDIVYMVTGGQSLRKFHDLVCQGRGCNDIRLTFWIMIFASPHFVLSQLPNFNSISAVSGAAAVMSLAYSMIAFCTSAIKGAEATAGAIDYGLRATTTSGQAFGMLSALGTVSFAYAAHNVVLEIQATIPSTPEKPSKKPMWRGVVVAYAVVALCYFSVAFAGYYAFGSSVDPNVLITLDKPRWLIAAANLMVVIHVIGGYQVFAMPMFDMIETVLVKKHQFAPGFWLRFVSRSAYVAATMFIGLTFPFFDGLLGFFGGFGFAPTTYF >Sspon.05G0030050-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:86371516:86376138:1 gene:Sspon.05G0030050-1B transcript:Sspon.05G0030050-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVAAGVSDAAIAVRDKLRGKIGQTKVKRYWPGKPPEWADDADEDIDLRTTRVDKAFPKDDDGDVPAKDDRRLRRLAETRAENKEELRADHRRIRQAEIVSTAEEENERQEADVDEEDEEAQEERRRRIRERQLLREQEELLPQEEEEPVEDEESEEESEYETDSEDEQMGMAMVKPVFIPKSQRDTIAERERLEEEERQLEELVKKRLEARKIETRQIVVEEIRKEQHIEKALNEEANIEDVDTDDELNEAEEYEAWKNREIARIKRDREERDARLKEKEEIEKVRNMTEEERREWERKNPKPLRETTKQKWKFMQKYYHKGAFFQEGADDVIQSAGKDDIYSRDFSEPTGEDKMDKSILPKVMQVKHFGRSGRTKWTHLVNEDTTDWNAPWSTNGPLRAKYNAKMAGMNAPIAKPKGSKKLKDWDAK >Sspon.01G0017290-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1A:63282003:63282554:-1 gene:Sspon.01G0017290-1A transcript:Sspon.01G0017290-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSPMAEAGGSGRRRFAVACSVLSRCVRAETAAAHSRPVVQPQAAASPMLLMPGADVVSDETVPTPTPAPAPAPASAKLTIVYGGRVLVFDDVRADRAAEVMRVAARQDMLPGGLAADLQVARKATLQRVMEKRRDRLRTRAPYTPARASPAAPVVPKEQREKNADKWLRLGGFNGDDDGPR >Sspon.06G0000950-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:1798086:1799099:-1 gene:Sspon.06G0000950-2B transcript:Sspon.06G0000950-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCVSSKILAKSGSFQEKVSCSLGHSFQRSSAIEEIILSTSKSNGDQFLALLRRSTSSARKAKEPEAAPAAVAEPVAKIETIDVSELLAGLEEESCTTAEEARERRQSSRDGSPAPWPASDGGAAGRARSFRTVEEFDAMVLTQQQQTQSESGSSSERPTALATEQEEELATATAAPAATTAATAEAELSGGSGSKAAAAGAKRRARARQLGELNVPAAAFDFSISKSGSLRDWLRQGGQIFSPGSYVTPRFGTSPPAERGGAAAGEQQQALFDPELVAQFERAMEQLSEDEGRVLDEILEALELEAAEKNGAATAVGRVRDGQPADVAAAALVEQV >Sspon.02G0023070-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2B:76740466:76742894:1 gene:Sspon.02G0023070-2B transcript:Sspon.02G0023070-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALQASSPSAFRAVPATANASCRRQFQVRAQVAGGGSSSSVGADGGKMMVSKAEPAAAATSSSGPWKIDFSSGEKPATPLLDTINYPLHMKNLSTSELEQLAAELRAEIVHTVSKTGGHLSSSLGVVELSVALHHVFDTPDDKIIWDVGHQAYGHKILTGRRSRMHTIRQTSGLAGFPKRDESAHDAFGVGHSSTSISAALGMAVARDLLGRKNHVISVIGDGAMTAGQAYEAMNNSGYLDANMIVVLNDNKQVSLPTATLDGPSKPVGALSRALTKLQSSTKFRRLREAAKSVTKQIGGPTHEVAAKVDEYARGMISASGSSLFEELGLYYIGPVDGHSVEDLVTIFQKVKSMPAPGPVLIHIVTEKGKGYPPAEAAADRMHGVVKFEPSTGRQAKSKSPTLSYTQYFAESLIREAEADDKVVAIHAAMGGGTGLNYFQKRFPERCFDVGIAEQHAVTFAAGLAAEGLKPFCAIYSSFLQRGYDQVVHDVDLQRLPVRFALDRAGLVGADGPTHCGAFDVTYMACLPNMVVMAPADESELMHMVATAAAIDDRPSCFRFPRGNGVGAVLPPGNKGAPLEVGRGRVLVGGTRVALLGYGTMVQACLKAAEALKEHDVYVTVADARFCKPLDTQLIRELAAEHEVLITAEEGSIGGFGSHVAHYLSLTGLLDGPLKLRSMFLPDRYIDHGAPQDQMEEAGLTPRHIAATVLSLLGRPLEAMQL >Sspon.05G0005250-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:18714965:18717463:-1 gene:Sspon.05G0005250-4D transcript:Sspon.05G0005250-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCTRDGKLAFLTNVREPSSLIGAKTRGQLPVRFLQGSHGPLEYATEIANEADQYNGFNLILADVNSGIMVYISNRPGGDPVIQTVAPGLHVLSNAAIDSPWPKVSVKHQNAHAMRLGQSFKTYLATHNDAEASLKQMVEELMMDTARPDRSMVPDTGVDPEWEYKLSSIFIDTAKEQAKTLAWHSAQYGTRSMVALAAKLEGEIPLEI >Sspon.01G0054100-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:48356872:48361748:1 gene:Sspon.01G0054100-2D transcript:Sspon.01G0054100-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LEHLRKKIEISTEKIRLAKVKEEQAKKAWEVAAQVVKDEEDAKQKLCDDLNHLVQESAASQYARLEELKKRLESLNPSRDSINASGVYTAQHATLNSVPQQPTAQNPADVSGSQNIATEPASMQQRPIPAESEKKRRPSNLARGRGGVMILPKGRGSSGSGWTGAGFDIDSGT >Sspon.06G0006750-3D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6D:23148549:23148819:-1 gene:Sspon.06G0006750-3D transcript:Sspon.06G0006750-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding KDHTEEVKPTKDKKEKKPKKEKKEKSKDKEKEKVGEITDAAKLRAKLEKLDAKIDDLKAKKQEIVARLLELEGTSPASVEAAAQPVTSG >Sspon.08G0002670-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:7752060:7753354:-1 gene:Sspon.08G0002670-1A transcript:Sspon.08G0002670-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGRLSFVVVVIALVVSACLMLPYAVAELRTDYYASTCPNLEVIVRSSVKQSMAQSPISAPAALRLFFHDCAVRGCDASIMIVNSNGDEWRNSDNQSLKPEGFQTILNAKAAVDSDQQCRYKVSCADIMALAARESVYQSGGPYYQVELGRYDGRVSTTTRDGVVLPHANFNLDQLNAFFSGLGFTQAEMIALSGAHTLGAADCPFFQYRIGSDPTMDPGLASQLNGTCSSDPNAFAFLDPSPVGFDNAFYRNLQGGKGLLGSDQVLYSDTRSRGTVDYYSSNQGAFFADFVTAMTKLGRIGVKTPATGGEIRRDCRFPN >Sspon.01G0036850-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:19793214:19795886:-1 gene:Sspon.01G0036850-1B transcript:Sspon.01G0036850-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLENQKQAEVLWPRLVANKLFRKTSGSHAFVADFPATDDDDVFGTEFDDGGCSPDADASRCVKRARPQERNKTLKYKLFASTWNVGGVVPPDDLDLSDWLDGGDDGPYDMYVLGFQEVVPLRARNVLGADKKRVGMRWIELIRATLNLSHSQRQRDGGSSGDGGGKQQKVHPVRDGGRGELARDYRCVVSKQMVGILLTVWVRSDLRRFVRRPSVSCVGCGVMGCLGNKGAVSVRFWLHDTSFCFVCCHLASGGREGDEAHRNADATEILSRTTFPRGYALNLPLPHKILDHDRVILLGDLNYRISLPEAKTRLLVERQDWKTLLENDQLRAEVSRGAGAFQGWSEGAITFSPTYKYYPNSDAYYGCATATHAHGGGGHRNNKRRAPAWCDRILWRGAGLRQTRYGRCESRLSDHRPVRAVFTVEVDAPRNLNSLRSFFMSERFDRAGSRSPGAADQLLRKDDVVNSARFGDTL >Sspon.07G0021040-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:79178981:79179898:1 gene:Sspon.07G0021040-1A transcript:Sspon.07G0021040-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKGGCCLAPRYGAAAAAQQQAGAAWQMGRIMLKFRPIAPKPAAMAPVPAPTPVAGPAAVGAAGRGKRKAVCGGGGGRRGRKPKKAAATPAVVTAAPAPAAAAAAQDAGDCRKHCNKEKSSSSRSSSSSEMTSVDSSPPPGPQQQHQLATLLPLMPVAPPVEDKAAAGAAAEEPAPAPLPSQVAAAPAAGSARPSAPRAMRPAPAAAASLVTVEEVTAMWRDGEAPPSSTACVVGSGADDAAPAFVSDQWGRVTWRNAAFVRAVSADDGDEVETQTPVALGGALPAWGTCAGFTCRVRVRHSSPR >Sspon.01G0023980-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:86050735:86056507:-1 gene:Sspon.01G0023980-1A transcript:Sspon.01G0023980-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding TSPNNIRRKYSWWWDSHICPKNSKWLQENLSDMDSKIKLMIKIIEEDAESFAKRAEMYYRRRPELMTLLEELYRAYRALAERYDHAAGELRQAQRKIAEAFPDQVLMDLDDDLPAETSSIGTDMDNPDMAPYFLSFINASDLKRHAKDDQDYERLHKELASLSQENQDLKDRISSMLEQGNKAECEILRLKESLAQQEAEKEAAVSLCQQSTARLQNLKSEIMHTQEKFNRLKEEMQTEPQPLRKADEHFFLLERANQDLHLELDNLKLLLKQKHDELNEKQAELEKLHISTEEEHLKRMQAEMAQLSLEKQLSLAQDKLRHLALEKQVEVHKIKEIETSKVVLQKELEKILEENQKLNDQSHSSSAVIIRLQDEIISMKNVQRRLEEEIFQHVEEKKTLKHELSHLKEDRSDLDRKHCTIKEQIESMNLNVESLQALAQELRDGNVELKEIVKNHESIELVHIDNLRKLERMSETNAHLEKSLSAATAELEGLRENKVALEESCMHFRSKISTHQSERAVLVAQIEVVSQTMEELLAKNVFLENSLSDANAELESLRLKLKELKESSEALQNQNSMLQSEKRTLVHQVEGITVTLLNLERQYKELGSRHSDLQKEKDLVLDEVIKIQEQIKLERKEHEDCTQSSNSRFDALQKKISLLLEEGRNREVQLGEEELKIVKAQIEIFVLQQCLNDMVEVNSEIAAQLQKNKEICKVQEGKMYSLSQHNQKLTEGIDSVVRVLHLDQKYESLDQMKLEIIVQLILNEISCLLNNISDAQDVKQNELVEKSLVVTLLEHFGQEVADLRSERNVLRQDQQIKNEELLQLQREKEELMKISDEFLEEVEARNHKVDELKAEAKFLVVRLSELQESRRSLQSEITKLLQSNSFLSNELNDSIEKQKMFEHDFSNLVTEAVSKDILSVIFRSLHEERTLQLKSLHNNFGCLQTAGSELYQEIKMMNKRLGDIEIENKYLGKELSRTMSIYGGSIVQTATGKGNPGRRDANLLNSSRKTQQDCHVNMEVEQQEEVGNADFQESNEMLQDEVRKLRSEVEMLRSKEKAVFNIKSCDDEIMKLLANMQMAIMNAALFKEKVLELIITCESFEISAMVQKEVLKEEIIQRNSYVDELKDKLNAVEIENRRLKVDLNGDFTMLGSLQSEVSALEEQTLSLANDRLQTNKLSMEENALSPYLVKTTTQSGGEENALRMVKSMELQKLHGTIKALQKVVTDTGVLLEQERLDFSANLQEAKKQIEMLKLKEILDDDIIEMNYEQMLKDIQLDLIQTSSGRKTSPFGQERKNVAQVDDKMVNSRGTIGPSHGHVADDLRPPQTLTTRATGVTRHGATREWRNKVVERLSSDAQRLSTLQSSIQELKTNAETSEELELESVRYQIREAEGTIMQLIDTNSKLSKKAEEFTSPDGLDAENSDLRSRHQRKILERARKMSEKIGRLEVEMQKVQQALLKYEEEQSSRKTSKALQRRSKVQLVEYLYGRRRDSRKQQRKSPCGCMRAKTIDD >Sspon.01G0025770-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:90234427:90236001:-1 gene:Sspon.01G0025770-1A transcript:Sspon.01G0025770-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAHVLVVPCPVQGHINPMVQFAKRLASKGVVTTLVTTRFIQRTSGVDAHPAMVEAISDGHDEGGFASAAGVGEYLEKQAVAASASLASLIEARASSADAFTCVVYDSYEDWVPPMARRMGLSAVPFSTQSCAVSAVYFHFSQGRLAVPPPPTPAADGGDGGATAARSEAFLGLPKMERSEFPSFVFDHGPYPMIAKQALKQFAHEGKDDWVLFNSFEDLESEVLAGLTNYMKARAIGPCMPLPAPETTGATGRRITYGANLVNPEDACTKWLDAKPQRSVAYVSFGSFASLDAAQTEELACGLLAAGKPFLWVVRATDENQVPRHLLDAATASGAAMVVPWCSQLDVLAYPAEASAVRNAAGTWKDKAREAVAPGGSSDRNLDEFVQFVRAGVTEKCKALVLKGGDVAGSEM >Sspon.02G0023750-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:75095785:75098105:1 gene:Sspon.02G0023750-3D transcript:Sspon.02G0023750-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKVASPLAFRRDVRGPLGRPPPGGCWSGSGLPGVLYWSGGAGGSRLLAARARGRNRFGGGGRGTTKDEPGEENEEEVADVVIVDAGDEEEYASDELSGYRGLVLDLSYRPVNVVCWKRAICLEFIGKADVLEYYDQTVSSPSGSFYIPAVLRVPQLLQVVKRRRVKQSLSRKNILYRDDFTCQYCSSGNNLTIDHVIPISRGGKWEWENLVTACARCNSRKGQKTLEQANMKLRKIPRAPKEYDIMAVPLTKSAFRTLKRNHGLPEVWLQYLSRPSP >Sspon.02G0023640-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:80028012:80033515:-1 gene:Sspon.02G0023640-2B transcript:Sspon.02G0023640-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEESAKKSKLSWSKSLVRKWFNIRPKAQDFHADFDAGHARDGGSGSWRPSCSSSEASTSTAAKKSRTDRSSSKRSAERARRGKNNFDAARLTEVQDYRIFAATWNVGGKSPPRGLNLDEWLHTSPPADIYVLGFQEIVPLNAGNVLGTEDNLPAKKWVSLIRRTLNKNPGASGCGGYHTPSPVLDPVVELDADFEGSARRQENFSFFHRRSFHNLSRSLRMDGDFMFPQPRLDRRFSVCDPVNLGGRPSDFDGNLRCPGSPDEDNIDMEVSDGAQFSPFPHSYSASAPSEQNDDQSNSSRYCLVASKQMVGIFLTVWVRNEIRDDVRNLKVSCVGRGLMGYLGNKGSISISMSLHQTSFCFICCHLTSGEKEGDELRRNSDVLEILRKTRFPRVRGAGDVKSPETILEHDRIIWLGDLNYRIALSYCSAKALVEMHNWKQLLEKDQLRMQQRYGRVFQGWREGRIYFPPTYKYSFNSDRYAGEGRHPKEKRRTPAWCDRILWYGNGLNQLSYVRGESRFSDHRPVYSIFLAEVDIVHQRRRNMGYFGSRIEVEELLPHSQSYREIKFY >Sspon.06G0027840-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:61249967:61250913:1 gene:Sspon.06G0027840-2C transcript:Sspon.06G0027840-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATGSGSGRRQNEEARRRWSLAGKTALVTGGTKGIGLAIVEELASLGARVHTCSRTAGDLDACRRRWADKGLLHAGDDVITTSVCDVSSERDRESLVATVRDLFRGSLHILVNNAGGSLYRPAAATTPDDYARVMATNLDSCFHLSRLAHPLLRRAETDGGAVVVHMSSVAAFVAYPALSAYSVSKGALQPLTRSLAAEWAPHGVRVNCVAPGVIDSTGISGTTLGDAGRARRLAEMEVSRVPMRRFGTPQEVAALVAFLCMPAASYITGQVICIDGGRTVAAK >Sspon.03G0024230-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:97422163:97422472:1 gene:Sspon.03G0024230-1P transcript:Sspon.03G0024230-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKQQQEVYFVFMNFDSVYERHRADRSKEGSATLDAYLSHKHDKLLAKLLQPDSYRKRSSLAIVDGFAVEITEDQ >Sspon.03G0000170-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:368688:370743:-1 gene:Sspon.03G0000170-1A transcript:Sspon.03G0000170-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVTTATAAASSSLLHRRSPAHCRRATLRAAAGHCRHSISRLACRAAEVSGAEPSAPAAEGAGGSGGASWVPVVPLAALPRGERRVIVQDGEEILLLWYKDQVFAIENRSPAEGAYTEGLLNAKLTQDGCIVCPSTDSTFDLRTGEIKEWYPKNPVLRALTPALRKLFTYRVRTDEANIYISISGSDSVGSAEIIFSGKAQPGVTASDVNVEEVRMIVDEDVGGFGFTSENELINGKAAIIGFLLLIDFELLTGKGLLKGTGFLDFIYAVSGAFN >Sspon.06G0021820-3D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6D:23141147:23144537:-1 gene:Sspon.06G0021820-3D transcript:Sspon.06G0021820-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVASPDQFRGQARLPHFAAPLRYDLRLRPDLAACTFTGAAAIAVAVSAPTRFLVLNAAELDDLAPTDVAQFEEDEILVIGFDRELPLGEGVLTMDFTGTLNDQMRGFYRSKYLYNGESRNMAVTQFEAADARRCFPCWDDPAFKAKFKLTLEVPSDLVALSNMPVAKETVSGSAKTVYYEESPLMSTYLVAIVVGIFDYIESSTSEGTKVRVYTQVGKTNQGKFALDVAVKSLDLYKDYFATPYPLPKLDMIAIPDFSAGAMENYGLVTYRDTALLYDELLSSASNKQQVSYLAVESLFPEWNNWTQFLDETTSGLKLDALAESHPIEYFW >Sspon.08G0016720-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:65720720:65725479:1 gene:Sspon.08G0016720-1A transcript:Sspon.08G0016720-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAYWRYAAADPRQQQQPPPPSAGGGVAHPGMGGGAPQMAPAMGQQQQQQQPMKRPRPADFSDVPGAPDMTGYYPRDEERAGYRPARDTEALNASYERFLRTGQIQSFGGGPAGEPIRPAVGGNAGYPVDDRSMMAARGMDSRNIGFGGGMPEPPLPPDASNTLYIEGIPTGCTRREVSHIFRPFVGFREVRLVNKEPKHPGGDPIVLCFVDFAEPTQSAIAMEALQGYKFDEHDRNSPNLRLQFARFTGPRGNSGPGGGRGRR >Sspon.01G0012850-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1A:34690143:34690445:1 gene:Sspon.01G0012850-1A transcript:Sspon.01G0012850-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding FFSRQRPLSLSSITSHWGSELHPASALAPPLDSGKWPNRAKVALLENNLMALEKNDLGQASD >Sspon.01G0017210-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:59140318:59145987:-1 gene:Sspon.01G0017210-1T transcript:Sspon.01G0017210-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding HGPCRQRRRGEPQPQVLKCEYAVRGEIVIHAQRLQQQLQTQPGSLPFDQILFCNIGNPQSLGQQPVTFFREVLALCDHPCLLEKEETKSLFSADAISRAKQILATIPGRATGAYSHSQGIKGLRDAIAAGITSRDGFPANADDIFITGGASPGVHMMMQLLIRNEKDGILCPIPQYPLYSASIALHGGALVPYYLDEKTGWGLEISDLKKQLEDARSKGIDVRALVVINPGNPTGQVLAEDNQYDIVKFCKNEGLVLLADEVYQENIYVDNKKFNSFKKIARSMGYGEDDLPLVSFQSVSKGYYGECGKRGGYMEITGFSAPVREQIYKVASVNLCSNITGQILASLVMNPPKVGDESYASYKAEKDAILQSLARRAKALEDAFNKLEGISCNKAEGAMYLFPQIHLPQKAIEAAKAAKKAPDAFYALRLLESTGIVVVPGSGFGQVPGTWHIRCTILPQEDKIPAVITRFKAFHEAFMAEYRD >Sspon.01G0032360-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:109627592:109632158:-1 gene:Sspon.01G0032360-1A transcript:Sspon.01G0032360-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LDEATAAANGEWPATRHVSPAAASLALLPRLPHPARRLPPVAFSSPDAVALRFCDSEMAASTLASRALRPPHGRHPAAPSPRPRLRARARSATAAAPRARCRAVAADERPADPAFPEGQNRGISGAVERPEADVVVIGSGLGGLCCAGLLARYGQDVLVLESHDRPGGAAHSFDIKGFFDSGPSLFSGFQSRGPQANPLAQVLDALGQSVPCASYDSWMVYVPEGQFLSRIGPTEFLKDLEMFVGVDAVQEWKKLLDAVIPMSAAAMALPPLSIRGDLGILSTAAGRYAPSLLKSFIQMGPQGALGATKLLRPFKEIVDSLGLKNPFVRNWIDLLCFLLAGVKSDGALSAEMVVRAKKAVVSNASMWDTLDLLPPNAVPKSYEDGVKATPQCESFMHLHLGFDAENAREDLGIHHIVVDDWNKGVDGEQNVVLISVPSVLSKDLAPPGKHILHAYTPGTEPFSLWEGLDRKSAEYRRLKEERSEVMWKAVELALGPKFSREKCDVKLVGTPLTHKRFL >Sspon.01G0060250-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:75487662:75488127:1 gene:Sspon.01G0060250-1D transcript:Sspon.01G0060250-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVADNGGGAAILVDRPTASGWETFRLWRINETTFNLRVNGGQFWGVNSTGALVATATTPGQSETFQLVR >Sspon.04G0008190-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:20814151:20816703:-1 gene:Sspon.04G0008190-2B transcript:Sspon.04G0008190-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEMGEALRSCMERLVIAREEREQIIVEAANEISSEKKKVRELQQKLEHANKKVAKLTAENNHLSKAADSKDALIGELRESAAATGNKLADATARLESAQKQAGSLQYEVRMLQKELEVRGQEREYDLKSVNAARRQQAEHLRRIAELEAECQRLRAMVRKRLPGPAAIAKMRDEVDKQPTQTPTSASPRRSRPAMPSSPRSVSVVTPRTPSPRRSSVSDAEGYAFKLRAVEDENRALKQALAKRENELQFMQMKYADEACKLTVAQRQLKELTEENRQLSDANSQSESWASALVSELDKLRSGNQNGGASIMASSEMDLLDDFAEIEKLEMASGDQKRNAQRASPKKADTGPVTQEQNGNAPVLDGRFSNGHPEKVKNIWELVVQKHEASGESVETIIEQISQALDQAAISAKRDDSDALNDRSEIEKAVRNLVEEITSIIRTSPEDNVARSRVLLHNKSELFRRLEHLVQICHDLLEGKCNLGKFVDEVCLILKYIVSQYFSDQDQTDSLNTNAENFDGVKPSSTVTANGTHNTESAKPAATSATQTETGEVPVESVESQIMVNHLQKLDTEPIHVIQAQDDSILPGRKSAFCEIQSSVAEASVEHRAAQEESHLATELNSQHQHSLQNSEILAAADKLAECQETITILSKQLQALKMPKTSGPLDTSSICNPRPSNAASDYKPQSLASILAEEFADAEASMSPTTPKQQVLSKKDEGEVCATPRRSVAQQEENAGADDKDSMQIVVHPVFATAPRQDDVSADPKRKKKRSTSLLGRIMFRKKVEGSS >Sspon.02G0041310-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2B:75190517:75193760:1 gene:Sspon.02G0041310-1B transcript:Sspon.02G0041310-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLGVSCGAGADRFTYAGFSNVSLTLDGTASIKPDGLLQLTNGMGQVKGHAFYPAPLDFQNKSANNTVQSFSATFLFGIITDGSSPSNDGMAFFIAPSTNFSDAFPAQYLGLLNPQNNGDPNNHLFAVELDTVSNVEFEDINDNHVGININSLHSNISFVPQFYDGMSGQLQELSLSSHEPMQVWVHYNGDTNKINVTIAPLGMAKPMYPLTTTTYNLSAVLTETTYIGFSAASSNFGGTQHYVLGWSFRMGGPAPAIDIATLPQLPQIVAKSHSISKQSVSLIAVVITIIFLTVGTIVYLVRRRFSFKDLFQATEGFKDKHLIGRGGFGQVYKGILPTSKTEVAVKRVSHESRQGMREFIAEVVSIGRLRHRNIVQLLGYYRRKRELILVYEYMPNGSLDNYLYTTSDDRPCVGWHERFQFIKGIASGLLYLHESWEQVVIHRDIKSSNVFLDKDMNGRLGDFGLARLYNHGTDPQTTHMAGTFGYIAPELARTGDPTPSSFSSQIPCVRRCFCVRLHFWSAKEDEGVRGMLHIGCANGLSWAMDITLTKAIRPNKPVRLL >Sspon.02G0057470-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2D:58783282:58783469:1 gene:Sspon.02G0057470-1D transcript:Sspon.02G0057470-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GPSIWHIQGQDTSKRRRNSHACCPIHGFGNRIHETMGCSTQRRRAVGYRTRCNFCSVAGHVM >Sspon.06G0000170-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:12050594:12053523:-1 gene:Sspon.06G0000170-2P transcript:Sspon.06G0000170-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLDVITDFRSHRAALFDGIEEGGVRAPAYSSREIHEHENDQALDSLHDRVSVLKRLTGDIHEEVENHNRMLDRMVFETKSSRRMATMVASFIAVFVLIYYLTK >Sspon.06G0018250-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:69464401:69477609:1 gene:Sspon.06G0018250-2C transcript:Sspon.06G0018250-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AIYEGKEIAVKKFHQLVGRLDDKDFDNEVLNLREIQHQNIVRLLGYCYVAEHKYIERKGRPPIRAEHVDRVLCFEYMQGSLDKHISDESCDLDWATSYKIIRGICDGLKHLHTARGKPIFHMDLKPANILLDKNMTAKIGDLGLSKLVASTETHTTEILKGTQGYMPPEYINDRKVSSKFDVFSLGVIIIKMLAGNKAYYSRREMPPEEFIKFVTEKWMEKLQGTCLSQETDILQVKTCVNIALRCVKDERKERPDIKGIVNEMEKLEAQIKKMLLASDQSKNLIGLQRSSDSNFIAVDPALELRFLFEPRKDISCCLQLTNKTSDSIAFNIKTNQTKYYAESSRGIIAPCSKRYVSVTLQAQEEAPPYMQCHDILIVQSVNVSKELTSSDEEITKDFFEKVMMEKVVDVVELPIVYVARDLLRACPRICPSLSHTLFLPSALVPTLSLNHSRAGG >Sspon.07G0017640-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:68113797:68117568:-1 gene:Sspon.07G0017640-2B transcript:Sspon.07G0017640-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIQQEGNRRGGWGTWWVLVAGEGGGGGGAPSRRSETGGANDDWASRGPLLRKSPCKAVKIRWKELQSKASPPSHCRRSSSTTMSMWRAAASRLLLTRRTPSPSPPSAATAAFFLHVRPFSPPPPPRPAQAEPEPEVTPAEARRLVRLVGVEALKRRLRDGRDEVVGYGQLLDACVEAGAARTHAEAEALARALDHAGVVLLFRDKAYLHPEKVVDLVRRAVPLALSPENDPRKEEFEQLQAKKEEIDRLAHKQVRRILWSGLGFFMCQVGLFFRLTFWEFSWDVMEPIAFFTTASGLLVGYAYFLITSRDPTYQDFMERLFLSRQKKLCAAHKFDMERYLELQKHCRCPLEGHRPQGPKLHDL >Sspon.05G0012510-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:31512567:31515707:1 gene:Sspon.05G0012510-3C transcript:Sspon.05G0012510-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRLLPAPPFVSISDVRHLQLPPRGRHRPRLCWRGVEWGSVQTRMISSFVGCRTRRRNVICASLFGVGAPEALVIGVVALLVFGPKGLAEVARNLGKTLRAFQPTIREIQDVSREFRSTLEREIGIDEVSQSTNYRPTTMNNNQPPAADPNVEPEPAPYTSEELMKVTEEQIAASAAAAWNPPQPATSQQQEAAATTPSEDAATSGGSDGPAGPAPAPPALAVSDSDPSQANQLEKAETER >Sspon.04G0011490-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:35592213:35598000:-1 gene:Sspon.04G0011490-1A transcript:Sspon.04G0011490-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLKAARVADVPTLDVVAPGLVVEAGSASASAAAAAAMVKTGAAAAGGGGRFSVIGHRGKGMNALASADPRMQEVRENTVRSFNDAARFPVDYVEFDVQVTKDGCPIIFHDNFIFTQEDGKISQKRVIDINLEDFLQYGPQNEQGKVGKPLLRRLKDGRMVNWNVQSEDALCTLEEAFEKVNPRLGFNVELKFDDSLEYQEEELTRILQAILKVISQHAKDRPILFSSFQPDAAQLMRKLQGTYPVYFLTNGGTELYTDVRRNSLEEAVKLCLASGMQGIVSEARGIFRHPAAVPKIKEANLSLLTYGTLNNVPEAVYMQHLMGVNGVIVDLVPEITDAVSELIALPEPDLEVDNLSNQAVRGATTPNFSQREISFLLRLIPELSISN >Sspon.03G0021120-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:87737977:87739841:1 gene:Sspon.03G0021120-1T transcript:Sspon.03G0021120-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSYAAAAAGSSSRKPNRTSNVASSTARPPVPSPSPAPAPPAVNPSVISDSDPSSYSSSSADEADLTASDSATASVVSAYLSVAGEGADLSKVGIFLSSAARRRSPPCLICFDPIRPSDPVWSCSSSCFAILHLPCIQSWAHQSASGAAVPCPTWGCPKCRFAYPKSETPSSYVCFCSKTVDPAPDPWILPHSCGDVCGRRLDANLDSGCEHTCLLLCHPGPCPPCPAVVPNARCFCGAHREPRRCAHQRYSCGGQCNKRLSCGLHRCPVDCHDGPCPPCAVRGSHKCECGETMEEKLCSQRIFQCKRECGGMLDCGKHRCERGCHGGKCGECPLRGRRTCPCGKKDYPRLECDAEAVTCGSTCEKVLGCGRHRCPERCHRGPCDETCRLVITKACRCGGLKKEVPCYQELTCERKCQRLRNCGRHACKRRCCAGDCPPCSE >Sspon.06G0033460-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6D:15597962:15599306:-1 gene:Sspon.06G0033460-1D transcript:Sspon.06G0033460-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWSWIRLDRVSSPSVGRPSSALIGQGDDTGSADPIDDDGDGSTSWHRWQWGRLHVVAPLAIGTTRGRRQPVVAPCPVPTGMVGDSAAPVGPALRPETESAPSGSDEMEPAPPGSDETELAPSGSGEAESMPLGSDEAPPSRGQARRIDLGVPLHQLSSELLRVNYDVNDRSQCTTE >Sspon.02G0022090-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:74619736:74622985:-1 gene:Sspon.02G0022090-3C transcript:Sspon.02G0022090-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFLFGKRKTPAELLRENKRMLDRSIREIERERQGLQAQEKKLITEIKKTAKEGQMGAVKVMAKDLIRTRHQITKFYQLKSQLQGVSLRVQTLKSTQAMGDAMKGVTKAMAQMNRQLNLPGLQRIMQEFERQNERMEMVSEVMGDAIDDALEGDEEEEETEELVNQVLDEIGIDINQELVGAPSAAVAQPASAGRVAQAESAGNADSGIDADLQARLDNLRRM >Sspon.01G0002800-3C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1C:7774958:7776340:1 gene:Sspon.01G0002800-3C transcript:Sspon.01G0002800-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYRLVPASLHSLPCWLPAALAAIASFRESSIRPDPMQEDEQAQPEEVPEPEPPRCGRHPSQLLTGICSSCLMERLSSVRDQPESEIVEVGAADRGRLRKTLMLLFQLDDSTAGGGGGARPSEGPRSSAEFQSGSGEGGRRGKRKGPGSWLRSIRLPMGALRWRRNGPKEAPSMPPRGVPVVDPSASHDGGAQVERKPSFRRSCEWLASRDRDRDPSRGSLEPPRHSWDGSMVGRAFACSFACLEEPEPPEDGARRSNAEEAVGEARAVAAESRNGGQSDSPDAGGDGRRLSLRGRSSCDTGMEMAVSGVGRRRSNRWSRVWDRSITSPLKEFVRKGEHVLERSLSESRREVRRGKNAEAADISGEIHQPGRNGHLPGRASQGAIRSSQAASNGDAQNFRTDWLKNSKIGRSRSVHYTSPGNLDNGMLRFYLTPMRSSRIANRGRRRSSHLFARGLFGFI >Sspon.08G0008500-1P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8C:30245747:30247878:-1 gene:Sspon.08G0008500-1P transcript:Sspon.08G0008500-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GSTARQLHPAPQTPGRAACARQGPDHELFPAQEAVLHIQTHIVDLGPDKDNIITTRLLVPSSEIACFDGREGSLSDIQRQTSANVQILPREDLPSCALESDELIQIVGEIRAARNALIQVTTKLRSFLYREMSGPIQVGNINVHGAISPVAGSPRGPYQGNDIPMGAYHQASQLPTSWHSKDSGGSASGSFEQGSNINDDIRQSATKRFAVPLVTRSTLEVVIPNSAVASLTMRAGSKLAQISEVRCILNFIEYQKANNHYPPFAF >Sspon.02G0058000-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2D:68866342:68867061:-1 gene:Sspon.02G0058000-1D transcript:Sspon.02G0058000-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADARPPLCRVPRASLGVVEHQSDLPELLLSPRSPSIFSRSPPVPERVRHGRRAELLPSLLPPPSFSPAAHHPLLVRKSGRSHGTAGHPRARQWHAAAAAESLPPPSSPSSLVPPLLCLLFRNRAQRHRPLVAPPRIHPSRCRRPLASSVNGAAMSSAADARDPVSTSHLRPYSRHPRVRVGPLGAARPALAASAAPVGRPSHSPYLLCSPRTEEEEEGLAATIGSFPGVKCLATDSCE >Sspon.01G0018450-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:65243835:65247078:1 gene:Sspon.01G0018450-1T transcript:Sspon.01G0018450-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGGGCVPSKKRQPPAAAPSSSSAAAAVPREPPEEEAANAASPAAAAAGRKLRLYIVFYSMYGHVESLARRAAAGAGAVDGLEAVLRRVPETLPPEVLEKMQAPPKDPAVPVIASAAELQEADGVLFGFPTRYGAMAAQMKAFFDSTGSLWEAQKLAGKPAGFFVSTGTQGGGQETTAWTAITQLAHHGMLFVPIGYTFGSGMFNMDDIRGGSPYGAGVFAGDGSRQPSETELALAEHQGKYMASIVKKLAQHA >Sspon.06G0003980-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:9698068:9698478:1 gene:Sspon.06G0003980-1P transcript:Sspon.06G0003980-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AMADEAAQAPSPSRFTARELAAAEQLIHLSESSCSSYAGALPRGSVASASSTSSPRSVNAPPPSPPAAAGLLAAAAEDDEEEEDEDQQEVGGRRRRNKRYRPIAEIYAATEPKPIGAGARRRKADRPSTDGAPEARK >Sspon.07G0038790-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7D:84585121:84586896:1 gene:Sspon.07G0038790-1D transcript:Sspon.07G0038790-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYSKSPSLRHAHTLLVCIIHIFLSNNSAFSSAQPGNRSEADRQALLCFKSGISNDPRGVLRSWRTDSLSFCSWGGVSCSSSLPVRVVSLDLRSVQLQGRLQSCMGNLTSLVQLDLSGNDISGSIPEEIAALPSLQTLMLAGNKLAGNNLSGPIPDSLTKAPLLRVLNLSMNFLTGEIPVTLFNNSSNLVTLDLQWNALAGPIPSFQKPTSLQFLGLTGNELTGRIPASLGNVSSLNTILLAYNALFGSIPEALGHIPILNILDLSDNILIGNVPYFQKTTSLQFLDLTGNILSGSIPESLGNVSSLNIILVAENNLTGSIPKTLGHIPNLNILDLRYNMLSGNVPAAIYNVSSLIYLNLGNNSLDGQILLNTAHSLPNLMSLIMSGNRFSGMVPVSLGNMSKLQEIDLSSNLLNGSIPSLGSLSNLSRLNLGSNMLQAEDWAFLTSLTNCSRLLMLSLDGNSLEGNLPESVGNLSRNLERLNFRGNQISGNIPDAIGNLVNLTLLAMDHNMLSESIPSSIGNLRNLVVLTLSANRLSGEMPSTIGNLPQLNQLYLDDNLLSGNIPASLGQCK >Sspon.01G0007310-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1C:20057697:20057891:1 gene:Sspon.01G0007310-2C transcript:Sspon.01G0007310-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSAAPALLRRIFCSSTPASSSVLRATFCSSAGFGPSPPSSIFGDGTEVANVPPLTTPKLFIS >Sspon.01G0024360-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:86102188:86109251:1 gene:Sspon.01G0024360-3C transcript:Sspon.01G0024360-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ETKALRTRMQMDVETVQASPELAAHLQTSPVLRCTRTARSLSGKLNHSSVGVYFSFPRIIHVSVSPIVSVTIAHHKKPQVSKYYFKKKTSSSHSRNGNDDANHDSRIQPRSPLSRQSLTFDATPTYHAGAFYEIDHDKLPPKSPIHLKSIRVVKVIECTNLDITVKFPSLQALRSFFSSYPAPGTGPELDESFVMSSNHAARILRRRVAEEELEGEVQQDSFWLIKRPALRLRRTSAGAVTDIAPAAAARSTCGYAGAGSRLLPTHHCDGAGWGMRRRVRYIGRHRDEAPKETSADGYDTESSVREVQQPPATQEVKRSERNCKRKREAEGSSKDKNGNEGKKNNKVQGGSKKSKKSSKKAKKRTVESKDGDPRHGKDRWSAERYAAAEKSLLDIMRSRDARFGAPVMRQVLREEARKHIGDTGLLDHLLKHMAGRVPEGSVHRFRRRHNADGAMEYWLEPAELAEVRRQAGVSDPYWVPPPGWKPGDDVSLVAGDLLVKRQVEELTEEVNDEKYQRAVRANEKLEKQVISLKDMCENVVQMNGELKKEVSSFKEHIADKNDKLEEQITYLSNSFLSFKDQLVLALKMETERQLELAREAVPRTALYVGSGDQMIPRTDGTVIQGGQDRPVMKSSFRVCKPQGTFLWPSMASGMTISGGASSSSPAAATPGPGIPRSTSCPSSAGPGLPRSSRAPVEVVAAAPGLDEHVMFGAFFSTPPSASSTNAAAKLQLSLPSPRSPLQPQKLFGTVTAAASGFSPQKLMHFSGLPRRHVDTSPSSSGACGSSLLEGKRVLFDADAGGISAVGTELALATPSYC >Sspon.08G0004680-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:11323976:11333302:-1 gene:Sspon.08G0004680-4D transcript:Sspon.08G0004680-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLLYPFSACVPPPRALLRRLSPPPPMAAVAPPPPAVRILPSIPPQPPQDEEEEREAGLELELELELERHAEVERQRERARRAQHRRLRQRQVKAETEAWARAAEEYREIEREMLDRRLAPALPYVKSLFVGWFEPLRDAIARDQDVQRRKRVKHVYAKYLLLLPADKVAVIVMHKMMGLLMSSKDGTGSVRVVQAAHCIGEAVEREEEERPSENDPALEKEQAKCRKRVKLGSRLIELLLDSAFVQPPADQTPDSSPDIRPAFKHARHVDIPYLPMLVPPKKWKGYDKGGHLFLPSYIMRTHGVKDQKDAINSVPRKQLRKALDILGSTKWRVNRRVHDVVETIWSRGGGIAGLVDKANIPLPERPESEDPDEMQKWKWSLKKAKKTNRELHAERCDTELKLSDGSCNGLQHYAALGRDYVSLLSHPSSLFLNSCKKYSCTKTVEDMGIGSLQVDRKLVKQTVMTSVYGVTYIGARQQITKRLQEKGLIADDKLLYDVSCYATRVTLDALGQMFQSARGIMAWLGDCAKMIASKNQPVKWTSPVGLPVVQPYKKYKNYMLLEEFQTAFPTLEFPPCPPQESTWMFRSVIGCRDNSWYTPPWSAKVGNGTPVMSIGDRR >Sspon.07G0006880-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7C:13561953:13569062:1 gene:Sspon.07G0006880-2C transcript:Sspon.07G0006880-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At2g27610 [Source:Projected from Arabidopsis thaliana (AT2G27610) UniProtKB/Swiss-Prot;Acc:Q9ZUW3] MLSLSVRTHQLRHWCLAQLRGKDAGALAPEQAPKSQSTLASSVPLENRANLNGATCARQAFDEIPHRDTPDHALFDHARRGSVHQALDHFLDVHSRHGGRVGSGALLGVLKVCGSVPDRVLGKQLHGLCVRCGHDRGDVSVGTSLVDMYMKWHSVVDGRKVFEGMPERNVVTWTSLLTGYIQAGALLDVMELFFRMRAEGVWPNPFTFASVLSVVASQGMVDLGRRVHAQSVKFGCCSTVFVCNSLMNMYAKCGLVEEARVVFCGMETRDMVSWNTLMAGLVLNGRDLEALQLFHDSRSSITMLTQSTYSIVIKLCANLKHLGLARQLHSGVLKRGFHSYGNVMTALMDAYSKAGQLHDALDIFLLMSGSQNVVSWTAMINGCIQNSDIPLAAALFSRMREDGVAPNDVTYSTILTASVASLPPQIHAQVIKTNYECTPIVGTALLASYSKLRSTEEALSIFKMIDQKDVVSWSAMLTCYAQAGDCDGATNIFIKMTMHGLKPNEFTISSVIDACASPTAGVDLGRQFHAISIKHRCHDALCVSSALVSMYARKGSIESAQCVFERQTDRDLVSWNSMLSGYAQHGYSQKALDVFRQMEAEGIEMDGVTFLSVIMGCTHAGLVEEGRQYFGSMVRDYGITPTMQHYACMVDLYSRAGKLDETMSLIEGMPFPASPMVWRTLLGACKVHKNVELGKLAAEKLLSLEPLDSATYVLLSNIYSAAGKWKEKDEVRKLMDTKKVKKEAGCSWIQIKNKVHSFIASDKSHPLSEQIYAKLRAMTAKLKQEGYCPDTSFALHEVAEEQKEAMLVMHSERLALAFGLIATPPGAPLHIFKNLRVCGDCHTVIKMVSEIEDREIVMRDCSRFHHFNSGVCSCDFTSERSTRASPTTHVPVPTNCQKEYPVPSAYHFDVKLVPRFAMREDVKVEEAMENATSWPRRSTLNKPHTSSRKLGTDSLVRTAETHTKVTRHTSSRRP >Sspon.05G0011980-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:34944321:34945669:-1 gene:Sspon.05G0011980-1A transcript:Sspon.05G0011980-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCISSKLLPPGPGGDGRRATVRGRVEHVVSLTSTTYGVLDLHPKHGGAVLAAAAAPAAADEEVEKEEQQPPPPQDKPISREWKRASMRPPPIAVPSADKKPAAGKPESGLEVINAWEIMAGLEEAYAAAAGSPPAKKPSKPGRWSPARVIAMALPSPKRSATRRKNKPGKENSPLQRCSGESQQQGQGQARRRCRHRQARGIVRKPGTAETGGGGGTGMSSSRRSLSPLFDPELLASIERELSEEGAHIKRMVGSEKPKHPKAAPPAIVAEGKCPPGGAEAVVLYTTTLRGIRRTFEECNAVRAAIEAHDVKVIERDVSMDSGYREELRLLLGGREVRVPAVFVRGRHVGGAAEVAKLEEEGKLKALLEGLPRARVWCAGCAGVRFVMCRDCNGSRKVLDAERKGTVKCGECNENGLVRCPICS >Sspon.04G0027720-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4B:61320558:61324766:1 gene:Sspon.04G0027720-1B transcript:Sspon.04G0027720-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding TGEMLVGPAKALFMDEISTGLDSSTTYQIVNSLRQSVHILGGTALIALLQPAPETYELFDDIVLLSEGQIVYQGPRENVLEFFEAIGFKCPERKGVADFLQEVTSRKDQHQYWCRRDEPYRYISVNEFSEAFKAFHVGRKLGTELKEPFDRTRNHPAALTTSKYGISKMELLRACFSREWLLMKRNSFVYIFKVVQLIILGTIAMTVFLRTTMHRRSVEDGVIFLGAMFLGLVTHLFNGFAELAMSIAKLPIFYKQRDLLFYPSWAYALPTWLLKIPISFLECAVWIGMTYYVIGFDPNIERFFRHYLLLVLISQMASGLFRLLAALGREMVVADTFGSFAQLVLLILGGFLIARDNIKKYWIWGYWSSPLMYAQNAIAVNEFLGHSWQKVVDSTHSNDTLGVQILKARGIFVDPNWYWIGVGALLGYIMLFNVLFVLFLDWLGRRGEIAGAETRKRGMALPFTPLSITFDNIKYSVDMPQEMKDKGITEDRLLLLKGVSGAFRPGVLTALMGVSGAGKTTLMDVLAGRKTGGYIEGDISISGYPKKQETFARIAGYCEQNDIHSPHVTVYESLLYSAWLRLPHEVDSEARKMFVEQVMELVELTPLRGALVGLPGVNGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFEAFDELFLMKRGGEEIYVGPLGRNSCHLIDYFEGIEGVKKIKDGYNPATWMLEVTTLAQEDILGINFAEVYRNSDLYRGTRQDLLYALGSMYAAVLFIGIQNGQTVQPIVDVERTVFYREKAAGMYSALPYAFAQTVVYGLIVYSLIGFEWTVEKFFWYMFFMFFTFMYFTFYGMMAVAMTPNSDIAAIVSTAFYAIWNIFAGFLIPRP >Sspon.06G0030120-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6C:41228899:41232029:1 gene:Sspon.06G0030120-1C transcript:Sspon.06G0030120-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQWSRIRQLHRSLWVVSRCPRCFSGCCQREPGISGHDPRIPPPLHTSVAAGPSAAAGLSAPGAGSVSHIAAAPVSSATASPSAGGATAASGHSAAGGTELPTEAAGSSLTGAHLPQGAVSIPPVANDHTMDLVPPPPGTNIVTGKWVYQHKLHPDGTLDRYKARWVLRGFTQRPGIDFGETFSPVVKTATIRTVLSLAVSQNWAVHQLDVKNAFLHGTLEETVYCAQPSRFIDPSKPNHACCLNKSLYGLKQAPRALYNRFALFIRSIGVLEAKSDTSLFVLRRGSNMAYLLLYVDDIILTASSGDLLRSVVSSLTAEFSMKDLGHLHHFLGITVSRSSTGMFRSQRHYILELLERAGMTGCKPCSTPIDTNAKLSADGPPVVDDTDYRALVGALQYLTFTRPDISYALQQI >Sspon.04G0014280-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4C:52395462:52397555:-1 gene:Sspon.04G0014280-2C transcript:Sspon.04G0014280-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMPQPTPQQLQQLQQQLQMKGLKLPPHLLGGNMPAFPAAAPLKDPKSVKFTLPEDNFDDDGSEFDDEFDDDDFDDEDDYDYDDDVYDDPKMMMKPMAMLPPAAGGGDKKGGGGKKGGGGGGNEIPVQIKGNNLGGGQPYNAKGGAPGGGSHLGQGKKGGGVGVGGPMGGMLPQQSMMRPNMLGVAGAGFPGTAQMGGGPIGMPMGHPHMGGIMQQGGGGGGGGGGMPGLGFYQGSANGGGGMHSGAEMLQAAAAAGNPMAQQQYMALMQQQQQQQQMMLQHGNGGAGYPAMGYGYGRPPMHYPMGYPMPPHSHADNYNIFSDENPNSCSLM >Sspon.04G0002250-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4A:6882715:6882997:1 gene:Sspon.04G0002250-1A transcript:Sspon.04G0002250-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding KRTICRVQNGLPGVFYRAPGKGIICRVPDPTAPGKEMHPANQPLPSAGHSAKSSIRQKLSFAECRALGKARPSAKVVDVTVSTCRHPLPSVAP >Sspon.04G0033520-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:59861390:59866192:-1 gene:Sspon.04G0033520-1C transcript:Sspon.04G0033520-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSVPFYMREQLSTSIPLPNPSGSTLASSAASFHSVLLPSFLRNPFSSTIPFRRSTSTSASAKARVSPGTLPARNSALTAKSSHRISRTSTCFSLSLPMLSATNCALSVSLGMCTNSAGGYPVGISAMAPYTPSAIMTATLLNLTVAASAERKYPPEDAPMPTRGRPAS >Sspon.07G0010710-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:32065583:32070072:-1 gene:Sspon.07G0010710-1P transcript:Sspon.07G0010710-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMDSVECLSLPDAAMDVDDVDGHPHHGHHGHLGLPLHPAHLPSSGAGRAFPKVNAGGGGAGPAGAAGAAGAGGGPPATSVHELLECPVCTNSMFPPIHQCQNGHTLCSTCKARVHNRCPTCRQELGDIRCLALEKVAESLELPCKYCSLGCPEIFPYYSKIKHEAQCSFRPYNCPYAGSECAVAGDIPFLVAHLRDDHKVDMHSGCTFNHRYVKSNPREVENATWMLTVFHCFGQYFCLHFEAFQLGMAPVYMAFLRFMGDENEARNYTYSLEVGGNGRKMVWEGTPRSIRDSHRKVRDSHDGLIIQRNMALFFSGGDRKELKLRVTGRIWKEQTNPDGACIPNLCS >Sspon.07G0032630-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7C:53434231:53434974:-1 gene:Sspon.07G0032630-1C transcript:Sspon.07G0032630-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLLQCAKGHVVCSLCSESLKDAVNCHVCRVAMPGGYQRCHAMERVVDSIRMPCPHAPYGCDMRTAYHAQEEHLLECPHAPCRCPDDACGFVGTVATLVTHLKAAHGWPCTAEVRAGESFLVDLLVGFNFLTAVRGSAQYLLLLNMASTPFGHAISAVWISSLTATMNINSSAPATSRNMTCELELCFMQFKHVQLQRGYYQKSRFQVECICPSNGLPDPNDSFQFFVPKCVGGNEALVHVTAVIFI >Sspon.01G0020670-1P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1A:76902947:76903391:-1 gene:Sspon.01G0020670-1P transcript:Sspon.01G0020670-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding NGGYGETSEEFDERLFGSKGTEEGSLYAKLDRVENASRRYGMSSGMGGFAGFGDRSSSGSSMGGFPGFSDRSSSGSAMGGLGVFSNKSSSGSMGFFDSSNDSISEMLGNAARNFQMDDDDDDGDEWEEDDFEFRPDVTYRRGSTYSVR >Sspon.07G0014220-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:56133468:56137913:-1 gene:Sspon.07G0014220-2B transcript:Sspon.07G0014220-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYKGRSTGTSGTSTKSFRDLYNMKYSSYLNDEPMPDATSEKEQGNEYFKQKKFADAIECYSRSIGLSPTAVTFANRAMAYLKLRRQVTLRLYLFKEAEDDCTEALNLDDRYIKAYSRRITARKELGKLKEAMDDAEFAISLDPNNPELRKQYSEIKTLHMEKMAKKVPVPAKRAVSGIDKPADVTSHPPTISQKDTIMEVDPPVSDAMKIRESAGGSSPKVEMLTRSLGQKFQFKILHRAASRYMASTVKSVKTPKTAYDFEVSWRALSDDTAQQIHQFHRKLTRDFKNALSAPFLIDIVKCAASVFRDDAALAVSILENLARVPRFDLIIMCLSSMHKSELRKIWDQVFLAEKASADDQMEALKQMRGRYIPGGWQDSMLTSS >Sspon.02G0040590-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2B:70796199:70796957:-1 gene:Sspon.02G0040590-1B transcript:Sspon.02G0040590-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMARSVAHLFFLLLLVSTSPAVRTIPDTAAGGGPAGGNLQEACNKTLFPKVCLQALKDNPECQAGPVTPRRLAELLVYVSAEVGMTVAAFAHHELNAIKDDVLYRCLDTCSEDIEEAVAHLSALSRDFSDAKFLEVKSWLASTLGGTSTCEDACKDAPVSDIKNACITKSFEFEKLLRVTLDLITEASGSMSAEVALPPSAASGASAPSPYDGSSAAAPAYGAPSPGGGAPAYGASGSPAPTPGEGTASDA >Sspon.01G0029600-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:103855032:103859166:-1 gene:Sspon.01G0029600-1P transcript:Sspon.01G0029600-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAAAASRVAVSAPVFGSDCGARSSGIKGNNNVSFGNKSWVGGTLAWESSSVRPRHANKVLCMSIQQASKSKVSVAPLDLESAKEPPLNTYKPKEPFTATIVSVERLVGPKAPGETCHIIIDHGGNVPYWEGQSYGVIPPGENPKKPGAPQNVRLYSIASTRYGDYFDGRTGSLCVGRAVYYDPETGKEEPSKNGVCSNFLCNSKPGDKIQLTGPSGKIMLLPEEDPNATHIMIATGTGVAPFRGYLRRMLMEDVPNYRFGGLAWLFLGVANSDSLLYDEEFTSYLKQYPDNFRYDKALSREQKNRSGGKMYVQDKIEEYSDEIFKLLDGGAHIYFCGLKGMMPGIQDTLKKVAEQRGESWDQKLFQLKKNKQWHVEVY >Sspon.03G0024170-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:73685669:73688842:1 gene:Sspon.03G0024170-1A transcript:Sspon.03G0024170-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKAISLLRPPQPAREDEAEMMELRKRPRPRRVDPDFVSSPPPLPPRKRARKEAAAQKLVEAAGTPKRQPPRKGGRRAAVGIGCPVAGLHPATCGRQPPLRASTRVLFRPRHPFNWYGYEPDLWTEVAKHLCGFDLLRLSSTCRWFRRLLADDSIWRYAFFRDLNLSDANPRVHRPLFRSWRYLYFAAFGKQSTKSITPPVTRFGSLTDSRSRFTDTYGSHAFSFCQNGEHRSSWRIGSFVLDSPDMVLIGRLPLPKWLPSDPEDARLTIAILGACKLLNVRPGIWITDMHVMRCPLCNRNSCRGKPVDGCSCPSGIYLFVVTEFWWDVDGIGGSGNKQILDARHSELFLEKAYWDETLEYESLGEHFQDEEVAAAFCAVVNAKQFASPSTASTYVRQPSDHSSAVSAQSISHLSVLYTFSTMTRWIAVLNTAWAGRREDPMTRHCASATAAAIHTNLQSNGGLLSTFEAMRDTARDGQIVSVRISQVLF >Sspon.08G0003080-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:5271521:5273663:1 gene:Sspon.08G0003080-2B transcript:Sspon.08G0003080-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAAAAPLLLRPLYHGLLLLLVLSLALGAHGNKPGEHYNLTRENFPPGFVFGTASSAYQVEGNTLKYGRGPCIWDTFLKYPGATPDNATAEVSVDEYNRYMDDVDNMVQVGFDAYRFSISWSRIFPNITPYVVLYHYDLPQVLQDQYNGWLSPRIVPDFTAFADFCFKTYGDRVKFWFTINEPNMVANHGYGDAFFPPARCTGCHFGGNSATEPYIAGHHLLLAHAAAHQAGKIGILLDFVWYEPLTKSIEDEYAAHRARMFTLGWFLHPITYGHYPETMEK >Sspon.07G0004990-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:11208759:11211894:-1 gene:Sspon.07G0004990-2D transcript:Sspon.07G0004990-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPSLVRAGSAPLRRVVSTFSSTRAVSPRVPYAISSGGNLSLPFYAQPSPSRDGSSGLAGGARRGMGFCARAVDVGDEAASSSSAAGSDISAPYLSVRIRCRRHDEEALSEALLCFGASSVTVDDIADAENLDEISITSLYADGEDVDSSVSSAASSAGLNYSPVYEISVGKQCDWVANVQETYEPTEVADGLWVVPKWRTPPDPEATNIIIDPGLAFGMGEHPTTKLCLLFLREVIKGGERVLDYGTGTGVLGIAALKVPHMGATQSTGIDIDPQAITSASENLLLNGLHSKQMPVYLVPTNAQPSCFPNAIDKSEDHHSINNLDLKFSRGTYDVVAANILLNPLLELVEDIVGYAKPGGIIAVSGILEEQVI >Sspon.02G0032350-2D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2D:87035987:87037219:1 gene:Sspon.02G0032350-2D transcript:Sspon.02G0032350-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPASVANCRALQCRISPAAAEDRRIGAPKKMTPSNTHGRRRESMHEEPKGKEPTPTAYPSCIFPVPILTTDTPNTNQFNRARMNYLISSIIIFINPLVNPAVHLYKTPSMLLLAKWRRVPSSPMHAHAPHPMATGDGDDDDQQDGEQQPVVLITGCAKGGIGYEYCQAFAGLGCRVVATDVPDRVPSLAADLADAPSMEALPLDVTSDASVGAAVRAVLARHGRIDVLLNNAGVGCTGPLAELSPAAVSRALDVNFLGQVRTVRAVAPHMAARGTGRVVNVGSVVGTAATPWAAPYCASKAAVHAATDALRLELRPFGVHVVKVVAGAVRSGLGRANAAQLAAGGQEWRMYRDFAAAIEERGRASQTRKSTDAGVFARHVARRVMSARPPREIVYGNMTLLFAALAASPAW >Sspon.02G0043510-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2B:92372416:92372872:-1 gene:Sspon.02G0043510-1B transcript:Sspon.02G0043510-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARARAMAAAAPLSPAAAAAATVLLLLICAIAMPAAEAQVVVMGAYNETCPQAEDVVFKEMTAIVAEKPHLAGTGSILLDSTPGNTAEKDAPLNRGVRGYEVVDAIKAKLDAACPGVVSCANTLALAARDSIRL >Sspon.05G0011690-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:28155906:28159559:1 gene:Sspon.05G0011690-2B transcript:Sspon.05G0011690-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLTTESNNNGGDGGFLANCREQLCSALYRLRCMVVGFVGKLAKIARDDPRRVAHSLKVGLALTLVSVLYYVTPLFNGWGDSVIWAVITVVVVMEFTVAVFLAVGAHMAANLCGENGEPILLSVFVFLVGSAATFSRFIPELKARYDYGVMIFILTFAMVAVSSYRVDELLEFAHERVTTIAVGVAICLFTTVFIFPIWAGEDLHKLAAGSLDKLAEFLEGMESECFRENSPCENLEGKAFLQVHKAVLNSKVREDSLCTFAKWEPIHGKFRFRHPWSQYQKLGTLCRQCASSMEALASCVVVLKKSQYPEANPELCLKIRATCGAMSLHSAKALRGLSLAVRL >Sspon.01G0039360-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:27359542:27372578:-1 gene:Sspon.01G0039360-2C transcript:Sspon.01G0039360-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPRRAPPRGGGAGANGGGGLSYSTLFNLEPLLNFRVPVPEDIARYGNSSSNGSPSSEGQGSLLDQYNGVNDASHGLHRKRKRHLDGASDDDEAEAYSNKITEEHYRAMLSEHVQKYRRSKFKEGAFSSDPPRVATPQIKHKNGGKKTMKHSSDFRDVATLDGIEASHEYNGIECVKTHGGFNKLVASLDSTYLDMGDNIRYLVPEGYDKLASSLNLPVSSDIRVEEHFLKGMLDLRTLAAMLGTDQRFEASNRGGLSEPLPQFESLKERIKVQKFSLQVTEDPFAIPEGAAGRIRRSIISEAGNLQVHYVKVLEKGDTYEIIERSLPKKQIVKKEPSVIVKEESEKTYKLWQSLATKSIPKHHRNFTALMKKRQVDAKRFSDSCQREVKLKVSRSLKLMRCAAIRTRKLARDMLIFWKRVDKEQYELRKKEEREAAEALKREEELREAKRQQQRLNFLLSQTELYSHFMQNKAGGSAPPDEEDVPDEDEEEDPEEAQLKREALRAAQHAVSQQKMKTNAFDSEIASSNSESVLPTDDSSSMDPSKIDLLHPSTMPEKSSVQTPELFKGLNGILADEMGLGKTVQAMAFLSHLAEILVNEEKLLRRVKWQYMVLDEAQAIKSSSSQRWKTLLSFNCRNRLLLTGTPIQNNMAELWALLHFIMPTLFDSHEQFNEWFSKGIEGHAEHGGALNEHQLSRLHAILKPFMLRRVKIDVIAEMTKKKEEIVPCKLSSRQQVFYQAIKNKISLNELLDGSRGNLNDKKLLSLMNIVMQLRKVCNHPELFERNEGSSYFYFADIPNSLLSPPFGELQDVHYAGKRNPIIFEIPKLVYEGIICNTENSGNICGFQNGYLNRLFNIFLPSNIHRSAIPEVNSSDESVLSSGAFGFTRLSNLCPVEASFLATASLFERLVFSVMQWNRNYTDEIMDAFLDSEDPNIQSSQNDSTKVRAVARLLLSPTKAKPSLLRTKIGTGPSDDPYEALVLSHRGRLASNIRLLRSAYAFIPPARAPPINVWCADRNFAYKFTDEMHDPWAKKLFLGFARTSEFNGPRQPVALHPLIQELNTDLPILEPMLQLPYRIFGSSPPMSNFDPAKMLTDSGKLHTLDMLLRRLRAEGHRVLLFAQMTKMLDILEDYMNFRKFKYFRLDGSSAISDRRDMVRDFQNRNDVFVFLLSTRAGGLGINLTAADTVIFYEIDWNPTQDQQAMDRTHRLGQTKEVTVYRLICKDTIEEKILQRAKQKNAVQELVMKGKHVQDDHLMRQEDVVSLLIDDTQIAHKLKEISMQAKDRQKKRRAKGIKVDKEGDLTLEDLDDATATATAEAVDQDKTTSKKKKSSHKKHTNTHDNDNMDKTGEPDVGDHPGSSHTENEQIAEPRPERSKRLMKSITDDKELAAAAVDHEEPANEAENHRAHDYDGTEEAQDGTPA >Sspon.03G0007980-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:26763334:26764761:-1 gene:Sspon.03G0007980-3D transcript:Sspon.03G0007980-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWSETDAALFAAVLGRDAAHHLATTPPHLDGPAASAPELQARLRDLVERGGAWTYGIFWQESRSGAGAGRAVLGWGDGHCRDAGAGAPAPHDDAERSVARKRALLRLHALYGGGDDEGADYALRLDRVTAAEMYFLASMYFSFPEGAGGPGHALARGRHAWATVDPHPRGPGAGGEAAAPGWYVRASLAQSAGLRTVVFLPCKGGVLELGSVVPVRETPETVRAIQTALAVTPPAREECMRIFGKDLSPSGRTPRSGDNWAPQLGAQATASKEAAAARPKAPEPPRSIDFTKPGKPEQQAGGGEERRPRKRGRKPANGREEPLNHVEAERQRREKLNQRFYALRAVVPKISKMDKASLLSDAIAYIQELEDRLRGGGGGGACSAARAESPAVEVKAMQDEVVLRVTTPLYAHPVSRVFHAIRDAQLSVAASDVAVADDAVTHTLVLRSAGPEQLTAETVLAAMSRGMTSATPSP >Sspon.03G0030480-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3B:21127461:21128840:1 gene:Sspon.03G0030480-1B transcript:Sspon.03G0030480-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPAAASPSPAGRLFSIFSSTKPRPRPPKPAPEPAQAPKAPAAQAGAKPDERREFRNLTKILKVIFRERDPDKLVSRFIAESTASERFRDKHRVYEVAVARLASFGRHDAIAAIIDSQKPFIEASNVGFAARLVRLCGRASMPSHAATIFHGLPPKHKSVMTFNALLAAYVDASDFDALATAFQQIPASHPTIVPTVYSYNILISALCQKPELSAALDVITLMQKRGVSPDIISFNILLNGFYNNDSFDDAEKVWEMMKERNVEPDEKSYNAKLRGLVSQGRVEDAVALIERMQKEGPKPDSVSYNELIRGYCKEGRLNEAKKVYDDLVKNECAPNRGTFHTLVPHLLEAGELDRALSCCHEIFSRKCKVQCSLLQGVVTALIAASRMEDARRIVHLGRTNYYPRKGLRMPPHTRKNEGLKMPQPAGEDNDVEGETDSEDSVSCEDGYKEEEESKNAQ >Sspon.02G0046410-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2C:3372604:3372861:-1 gene:Sspon.02G0046410-1C transcript:Sspon.02G0046410-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGTSCSLELAAAVRIAWEMGRSQGVGNARRGFPRGQRSVGSACLPEQGQLGAVRRLRGRKSGGGDGGWGWVPRAKDSGRTHGLA >Sspon.08G0016910-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:60914148:60915238:1 gene:Sspon.08G0016910-1T transcript:Sspon.08G0016910-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFRGHVAMNKSEPAMMLAILAPLPNRKLTLQLRIIVLMTILNQVTQSRFGLRALSQELISAIIM >Sspon.05G0005360-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:18943975:18949734:-1 gene:Sspon.05G0005360-2D transcript:Sspon.05G0005360-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:calcium-dependent protein kinase 29 [Source:Projected from Arabidopsis thaliana (AT1G76040) TAIR;Acc:AT1G76040] MGNCFTKTYKQEIPITTDSPPRTSMPTYRPSYDVPLSSGSGWGRPPRPPRLPEFPSLDTGSMGGRRASTGQIGPILQRPMVDVRTLFNLERKLGTGQFGTTYLCTERATGRKYACKSVSKRKLVRRADIDDMRREITILQHLSGQPNVAEFKGAFEDADHVHVVMELCSGGELFDRITAKGSYSERQAAAVCRDILTVVHVCHFMGSCTGTSSPRTSSSPAPPTTRRSRPSTSASPPYYVAPEVLHRNYGKEIDVWSAGVILYILLCGSPPFWAGEDTIIFVLPDYYIASETEKGIFDAILAGELDLVSSPWPSISESAKDLIRRMLNRDPHRRITAAQALEHPWLKGGAPDRPIDSAVLSRMKQFKAMNKLKQLALKVNLLNKNNCYFAYVIAENLTEDEIKGLKQMFNNMDTDRSGTITVEELKEGLTKLGSKISEAEVQKLMEAVDVDRSGSIDYAEFLTAMVNKHKLEKEEDLILAFQHFDKDNSGYITRDELQQAMAEYGVSDEASIKEVLDEVDKDKNRIN >Sspon.07G0026970-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:38379868:38380920:-1 gene:Sspon.07G0026970-3D transcript:Sspon.07G0026970-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEQQKSFFRQAMIESERVKVSRDKLKGIFRAHGKSLTYSGNSADENVNNDASARKDELFSMKQEKTELQELVDKIRGYFEMSSDLSVEDIAEKIDELVNKVVDLELMISTQTAQINRLCLENNELEKSLQKLEEEKTEQTSDPGELNDKLKQAEEELIRVHNLEASYDAEERIVYTNFTETINSFCDISHMLQSPLIEHQAVSRCMLADEATASTDTEPSSVHGKTSPSEDPEMDETASKAHVDGFPNRPDTSEPSIFHDNCQSSCCHYETKAEKHSHVDKTEDLWCCEFEDKFSTSASVDVGTTENADHNLSADNNNGGPEHVDEMTSNNESSVQPYIVHSHENSTQL >Sspon.04G0018760-4D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4D:76390503:76393314:-1 gene:Sspon.04G0018760-4D transcript:Sspon.04G0018760-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAAARRLFSFHLHARPLAAGVAVATPHRRGKHEAISCKATGKTKPKPKSKAKAGKGGERQQRRALEEHLKRRTRSAAAFDADLYGRRAHEHHVPILLGEVLAAFRWPRPLRSFVDCTLGAAGHSLAVRIHSLALVLHCFCSVAMMEAHPELELYIGMDVDPSALEIGRGHIEAFLAGRERETNGGEEDVLQETLRAYTHVKNFKYIKQVLGSVDESLAVGFSGVDGILIDLGMSSMQVNRSNRGFSVLQDGPLDMRMDPMATLRAEDILNSWPELELGRILREYGEESNWQSVQKQIVKARAMGGLHSTGDLVKLIQRMCSISSGRQGWIKTATRVFQALRIAVNDELRVLEDSLHSCFDCLATGGRLAVISFHSLEDRIVKKTFLELIHGGEADDEEDYKDDLALTDIEDEDEPWFSHRVQGRNGTVLTKRPITPSQEEEKLNQRCRSAKLRVIHKT >Sspon.02G0015990-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:37773601:37779439:1 gene:Sspon.02G0015990-4D transcript:Sspon.02G0015990-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGAGVEPPAPPAEATAAAGLPPRRLRPSRVSAKRSWPPGCGRFPAPPPAPASSAAAGPGVNGVDGASTALGGRADEAAAPAVISPSVQNGCPDKVEEAAVAPATVSPVRQNGALPRQQGSDKVEEVADPAAIPPSDQNCALPQQDENKVEAVAAILPAARSSALPHVLPQSGPERARQDGDGGENGEAQLLRDAGELSSDGQEGNRALQVAVPMVAVLGSCRTVGASSVHNGDKGDGLLVAEEKGRGGSSDVGQEVAVNGDVTEIGNKTGGSELQRKENGIAGRRRKRWMEPSLNPPPKKRAVSAVRKFPPGCGRTAVTTEVSEVLKGSPVHTFSPGCGRATVTTTDTGVLDVSPISTFAPGCGRSPVNATSSGDEGLPSEATPVNNGDALVARPGLGESASPTLALEASNKKLESKKIVDEGHSKAHNRVQVRDDFAGTKQDGDQRNAVPKATPRSVSDGKMKGKLSAHKGKQVAQEVVGDKMKNKLDGSLQRSNLRTPLSNSIDAKTKVKRLDSDKMNVGLLGNAGASAGGKMESKTLSAKKEVACSNMNTKQKKFAPKLKGDDIGKDNLHSSARESKLGKHVATDQIEEPNQIIVQALMAPDNCPWTRGRKSIASASKSLVPRNKLKGKDASSSKPLVPRNKIKGKDATPKDIPTRKVDFSDSINDETMDDNETRGRKSIVSTSKSLVPMNKLDATPNDIPIGKVASFEVSNDETMDDNDDINLEDDDNSRALVAYGEKREICVTVLPSVPSGSHHKQPRDHDIDARSKVRKLLQLFQATYRKLTQVEEQGKRKVGRIDLEAAKALKNDPIYKKIGAVVGNIPGVEVGDEFHFRVELSIVGLHRPFQGGIDDAKVNGVLVALSIVASGGYPDELSSSGELIYTGSGGKAGGNKGRDDQKLARGNLALKNCIKTKSPVRVIHGFKGQSRSEVGHSKGKQTSTFTYDGLYEVLECWQEGPKGEMVFKYKLQRIAGQPELALHVVKATRKSKVREGLCLPDISQGSERIPICVINTIDDMRPAPFKYITKVIYPALYEKEPPKGCNCTNGCSDSISCACAVKNGGEIPFNFNGAIVEARPLIYECGPSCRCPPTCHNRVSQHGIKIPLEIFKTGKTGWGVRSLSSISSGSFICEYTGELLKDEEAEKRQNDEYLFDIGNNYHDEELWEGLKSVVGVGSSTSSCETMEGFTIDAAECGNVGRFINHSCSPNLYAQNVLWDHDDMRMPHVMFFAVENIPPLQELTYHYNYNVGEVYDKNHKEKVLINGVKEDPEGVEGPAEGPAHLLQRRMPDAYSLWVLGSSSRFGRQKGIFSCLGNKCGFWMEVLAADKPAVGGPYKSLLLIS >Sspon.05G0004930-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:9667742:9675010:1 gene:Sspon.05G0004930-2B transcript:Sspon.05G0004930-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNLVNTFLYMVNTYIIVPTADDYAVSLGAAATVCGVIIGSMAVAQVFSSVYFSAWSNKSYFKPLVFSSIMLFLGNMLYALAYDLNSLIVLLIGRLLCGLGSARAVNRRYISDCVPLKMRLQASAGFVSASALGMACGPALAGFLQIKFKIYSLTFNQTTLPGWVMCIAWFIYLLWLWLTFKEPEHFTKTLVNEQPSESGRQGNPNLEEGLAQPLLLGIEQRQEENSEDNDDTEVASESSHEPATSIASAYRLLTPSVKAQLLIYFMLKYAMEILLSESSVVTTYYFSWSTSVVAIFLAILGLTVLPVNAIVGSYITNLFEDRQILLASEVMVLIGIIMSFRFTRHYSIPQYVISALITFVFAEVLEGVNLSLLSRVMSSRLSRGTYNGGLLSTEAGTLARVVADATITAAGYLGTDLLLNVTLLPSLVICIVSIAATLYTYNNLY >Sspon.08G0008800-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:36313966:36316443:-1 gene:Sspon.08G0008800-1P transcript:Sspon.08G0008800-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVPSKHHSPPKCLVILWMLAIANLWIICSSSTQKQVLMPGFSASEMDYIDNDGKFLVSNGSVFGFGFVTISVSDSTSYILAVVHLVTTSIVWSANANSPVSHSDNFVFDEDGNAYLQSGGSTVWTANISGKGATSMQLLDSGNLVVFGKDGSSPLWQSFSHPTDTLLSGQSFVEGMSLVSHSNAQNMTYTLQIKSGDMLLYAGLQMPQPYWSSLQDNRMIIDKNGNNNIYSANLSSGSWSFYDQSGLLHSQLVIAQQQDDANTTLAAVLGDDGLINFYMLQSVNGKSALPITVPQDSCDMPAHCKPYSICNSGTGCQCPSALSSYANCDPGIISPCNSKDKFQLVQLDSGVGYVGARFTSPVPKTNLTGCKNACMGNCSCIAVFFDQSSGNCFLFDQIGSLQQKDGGKSSFASFIKVSSSNRGTGKGGSDNGRHTIVIVVIIVGTLAVIGVLVYVGFCIYRRRSHHTPSHDDAGSSEDDGFLQTISGAPTRYTYRELQDATNNFSDKLGQGGFGSVYLGTLPDGSRIAVKKLEGIGQGKKEFRSEVTIIGSIHHIHLVKLRGFCAEGAHRLLAYEYMAKGSLDRWIFQRNEDSSLLDWDTRFNIALGTAKGLAYLHQDCESKIIHCDIKPENVLLDDNFLAKVSDFGLAKLMTREQSHVFTTLRGTRGYLAPEWITNYAISEKSDVYSYGMVLLEIISGRKSYDPVEGSEKAHFPSYAFKKLEEGDLRDISDSRLKYKDQDNRVEMAIKVALWCIQEDFYQRPSMSKVVQMLEGVCDVPQPPISSHIGYRLYANAFKSSTEEGTSSGMSDYNSDALLSAVRLSGP >Sspon.01G0031270-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:107327024:107327896:-1 gene:Sspon.01G0031270-2B transcript:Sspon.01G0031270-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRHALAVWPVFSFIHAGRPAGSDATRHNGTCSQPGLCRLQEHVFISATGWGCIALQANAASGVAVAEECVSRFQELRGGRAHRFVVFKVDDALQRVVVDKVGARAAGFGDLTASLPADDCRYAVYDHDFTVEDATATGEAPRSKIFFVAWSPEAAGVRSKMVYASSCEGFRKELDGVQVDLQATEPSELTLDVLNDHAS >Sspon.05G0026130-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:31384146:31386333:1 gene:Sspon.05G0026130-1B transcript:Sspon.05G0026130-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding KMASGEQKKKILVARKGRLRQRYDGEYRLVAGCVPYRVGADGQPELLMVSTPNRDDLVFPQGGWEDDEDVHEAACREALEEAGVKGAIQRTALGMWVFRSKSSPVSSGDSPRGACKGYIFALEVAEELEQWPEQDTHGRQQVSPADAHRLCRYDWMREALSALLDRLAEPKPAAAEGLGDHAGVCMVVKAAAATADRAVALC >Sspon.03G0016390-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:82128926:82130114:-1 gene:Sspon.03G0016390-3C transcript:Sspon.03G0016390-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DFEEYLRLQSRTFVQYYRCLSLSLLKKENADEDGNRVIMPPSALDRLTRLNNIQYPMMFQIKNPSTERVTHCGVLEFVADEGFIHMPSWLMAHLGVLENEIVLVRSTSLPKATFIKLQSRTKDFLDVSHPRELLEYNFGKFPCVTAGQTIAVTEGERRYYLDVLEAQPADAVCSLDTDCAVDFAPPLDYVEPPRVVASQQGSSDEPPQPARFTGVAARMDGKPVEQPPTPSPAAAVNAIAPGVPKRKVRFGGPSAAGSGVSKGKEEGGAGKEQEKRFTGTQYSLNS >Sspon.08G0004530-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:13555818:13559432:-1 gene:Sspon.08G0004530-3C transcript:Sspon.08G0004530-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAIPARLHHLLLLVVVVFILLLVPSLTAAQSSAFGGPPPAYARYLVDAAATPAVELYDYIVVGGGTAGCPLAATLAGPGGGRVLLLERGGAPSEFPALATAGGFVRTLAMADPAPESDAPAQGFTSEDGVPNVRARVLGGGTAINAGFYSRAHPEWFRGHAEDAEVTNWDMPLVNASYEWVERLMTFQPAVHGWQAAVRAALLEANVMPWNGFTVDHVTGTKVGATTFDASGRRRSAADLLAFARPSRLRVAVRATVTRIITSPIDLAARRGRSPQPTIAAIGVVYQDRLLDQHQALLRPGGEVILSAGALGSPQLLLLSGIGPATDLSNLGIPVSADIPDVGKHMYDNPRNGISFIPSVPIDHSLIQVVGIPSANGTASYLEAASYIVPLAPELRSSSPFLGSSSPLYVTVATIMEKVPGPLSEGSLWLSSTNPLESPALRFNYLSRPEDLARCILGVRHVAEVLEGRALDGFRSAVGLTNRRGGSVRMDFRIVGTALPVDWRTNDRALANYCQQTVATCVAGKVVDRNFRVIGARAIRVVDASTFSETPGTNPQATILMMGRYVGLKMIDERHSRRP >Sspon.06G0013170-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:56326205:56329722:1 gene:Sspon.06G0013170-3C transcript:Sspon.06G0013170-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLSVEELTSNLSTYKEQLREIKKLIKEKKDDPGISEYIDMEKELQEVITLTEEILATAKQTESAQNAAVLWQGLDDLSHSHKFAVGTRVQAVWSEDGEWYNATVEALTPNGYYVAYDGWGNREEKAAKRKKIHAFKSKARFEQLEFAQNKRQNAWQQFQTKGKSKKVGFFSGRKKESIFKSPEDHRGKVGVTGSGKGLTDFQRREKHLHLKGGSGDAADDEE >Sspon.01G0002380-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:17158279:17164181:-1 gene:Sspon.01G0002380-3C transcript:Sspon.01G0002380-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carotenoid 9,10(9',10')-cleavage dioxygenase 1 [Source:Projected from Arabidopsis thaliana (AT3G63520) UniProtKB/Swiss-Prot;Acc:O65572] MGTEAEHQASLQHDGVLVVPAPRPRKGLASWALDLLESLVVRLGHDKTKPLHWLSGNFAPVVEETPPAPNLTECLNGEFVRVGPNPKFVPVAGYHWFDGDGMIHAMRIKDGKATYVSRYVKTARLKQEEYFGGAKFMKIGDLKGFFGLFMVQMQQLRKKFKVLDFTYGFGTANTALIYHHGKLMALSEADKPYVVKVLEDGDLQTLGLLDYDKRLKHSFTAHPKVDPFTDEMFTFGYSHEPPYCTYRVITKEGAMLDPVPITIPESVMMHDFAITENYSIFMDLPLLFRPKEMVKNGEFIYKFDPTKKARFGILPRYAKDDKVIRWFELPNCFIFHNANAWEEGDEVVLITCRLENPDLDKVNGHQNDKLENFGNELYEMRFNMKTGAASQKQLSVSAVDFPRVNESYTGRKSEVNVIDAKTMSADPVAVVELPNRVPYGFHAFFVTEDQLAQQAEGQ >Sspon.03G0018590-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3B:87147618:87148731:1 gene:Sspon.03G0018590-2B transcript:Sspon.03G0018590-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MESQRVVVVVEDAAAARSALQWAVGNFIRSSDSITLLHVCPPARSRRKRRRLRLGGFQLALAFKDLCNGIAEDSRSSVLGAEHRKQLISRRDVAVAPSIAVVAVRRQRRRKRRPLLLFSVVGLVVDSSRLTTQRIDRMLQAKVEIVVTEGELGETVVATVNQLGATTLVVGLHDKSFLYRAPSQYSRVRSLGCRVLAVRQHATARGGFLNAELTQIETVNLQIENNGRAMGNGQWVGSATKHDILGFLGSDRD >Sspon.02G0024280-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:79533256:79536074:-1 gene:Sspon.02G0024280-3D transcript:Sspon.02G0024280-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVRYLGASGSRKWLLAMDGGERRATSHKALHPFVSRGTNRSPDDELVELLWQDGAVVAHSQALAHHHRHPLVQVGAGNTGASGVTAEAPPLPWLPCSGGALGGDVYSQLWQSIAQADGRVVGGDAAAVACPPAKSGNSGAGSSRTAGEVGSSFCGSNLVAAALHLDDDVGVAAALPMPLDDPAATATGGAAGAGGSTSSGWNSNALTKRSRDEFDEDADLDTVDETPPSSRDRRPASNKRRTRAAEVHNMSERRRRDRINEKMRALQELVPHCNKVKKQILAHSAVLIVTVAQTDKASILDEAIEYLKSLQMQVQIMWMSTGMAPMMIPGAHQLMPPMTMGFNSARMPPPAVQFLSQMQRVPPPFMTNPLPNQMPQILPLPTNAPSVTDQAQRNRMALPRNPFLHPNDNDALTTPPQVPSLFGYGPQMVQVNEIQEILTGTAAPALGTDLPSSNDGTGI >Sspon.05G0021330-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:88355765:88357471:-1 gene:Sspon.05G0021330-3C transcript:Sspon.05G0021330-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SMDCCICSPMAAVYRLPRNAICAPCHEGAKAIIGFLNKADEQQQEEGGHGSVLKSRGSVKTNSPTQGMRDAWEQVKEMRGREAEAHQRAAFLAQGLAMAWKEGLHTDIVFKPGTGPPIPAHKAIQAARSEVFRHMLAADERCKAPAGDAISLPELTHDELALFLAFLYTGALEDGGGDGLPVPEERQLHALLVAADKYDVPFLRRACEARLAAAMDASNVLRTLEVAELSSSAALRERAMDTVVQHAEQVVFSPEYDDFAVRNAGLCVEITRALLAKMK >Sspon.01G0004190-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:11478164:11479135:1 gene:Sspon.01G0004190-1A transcript:Sspon.01G0004190-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRVVVSTLPALHPLLRRGPLLNNKPLLRSRLQPWPFRALSSPASPSAAVKWEAVALEEHLTRCAAAGRAPLRVAVLVSGGVDSSVALRLLHATGHHCTAFYLKIWFQEDFRNFWSECPWDEDLKYAQAVCDKIDVPLEVVHLSDEYWNHVGLS >Sspon.05G0027080-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5B:49155520:49159187:1 gene:Sspon.05G0027080-1B transcript:Sspon.05G0027080-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAPPPHPSLYNPFPSLSSSSSPWAQALPAPSPAASRGLQAPAPGGPVLGAAQATAAGSTPLLAAGHSSPLPQQLQPLPLGAMLPGPPAPGARPPPGHPAAPPGAAPSPAELVHPPLPSSSSSPHVAALLPAAHLPTGLLPAAPAPAAPAAAATTAGGAAAAALTAQPADAVYLLGAGLDAAGLLPAGVQPLSQQHPPPVPGATPLPATAADASPAAGFQAPPRAGPGVLWPDLAHAAALAEGGPPTPVPTTALPAMAGLASGGAPTTWQAIAGAAGAGGPRVANPRVNPTSLCPTPPLAYSFSTTANPDWIADSGATFHTTPDASLLSSLRPPHPSCPSSIMVANGTCIPVSSVGTASSHGSFHISDVLVAPGMVHNLLSIRRFTTDNSCSVEFDPSGLTTFPLCAKSEAFPTLSNLFAWVSTQFGLTIKAVQCDNGREFDNSTSRAFFLSSGVHLRMSCPYTSSQNGKAERMIRTTNDIMRTLLLQASLPARFWAECLHTSTYLLNRLPSTACPAPTPHHALFGTPPRYDHLRVFGCTAPARSPGGPASPQDLPVPDTVEAAPELPPSLPVASLPPVVPDAAVPIAGPRTPTPPPPGRFGLVYQRRREPRPPSPPPGRFGIVYERRRELAPPLSSPAPSSPVLAPPASPRSRADPPVYHPPLLHRDPRHTHPMVTRQASRPQALTAAACEPEISPVPSSVRAALADPHWRRAMDEEYAALLANQTWDLVPRPPGSNIVTGKWIWTHKRRADGSLERYKARWVLRGFTQRPGIDYAETFSPVVKPATVRTVLSLALERSWPVHQLDVKNAFLHGTLTETVYCSQPAGFVDGSRPDFVCRLNKSLYGLKQAPRAWYSRFATFLQTLGFTEAKSDTSLFIYHYGGETAYLLLYVDDIVLTASSESLLHRIIASLQKEFAMKDLGVLHHFLGVTVEPRPSGLFLHQRQFTCDILERAGMTACQSCSTPVDTQGKLSEAGGPMLGPADSTAYRSLAGAL >Sspon.03G0022530-4D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3D:54656527:54658350:-1 gene:Sspon.03G0022530-4D transcript:Sspon.03G0022530-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTGGDGDKPGPALPLEALLALGLDQRTAENALVNNKVTANLAAVIAEAGIKECDKSVGNLLYAVATKYPTNALVHRPVLISYVLSTKIKNPAQLDAALSFLTNTGPDSLDVGKFEEACGVGVVVSIEEIKSTVTDVLEENMEAIREQ >Sspon.02G0002750-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:9357987:9361180:1 gene:Sspon.02G0002750-1A transcript:Sspon.02G0002750-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSDTDDAHDAGEDSPLFRPSGGSSSVPVSQSLIKAASNVCFSLFVLAVLVVTVVAVTYQPPDPWLQSSAAITTSLSRVLPNSTFLVPDDSLLPTGEDFNSSSSASAVPAPARDEDDAAAAVSAASAAAAGNRTCDPDAPLNCSDPRVLAAVKAFNAKAFFRKSIVFLSYETPVPGPKPGQCDVAWRFRNRREKSWRRYRDYRRFSLASGDGCALDIVSVGKFRSGTNAARRPYPKGPKRPRVSPPPVDAEINDTIPVVGSEAEFKKGKYLYYMRGGDHCKSMNQFIWSFLCGLGEAKFLNRTFVMDLNICLSGAHTVDGKDVDGKDFRYYFDFEHLKESVPVVEEGDFLKDWKRWDKKKGPGRITVRKVPSYKVTPMQLKRDKSNIIWRQFNGQEPENYWYRVCEGRAAKVIQRPWYAIWKSKRLMNIVTEIAGRMDWDYDGLHVVRGWKAQNKQMYPNLDSDTSPDALVDKVTKLVKPWRNLYIATNEPFYNYFDKLRSHFHVHLLDDYKELWSNTSEWYNETTTLSQGKPVPFDAYMRVIVDTEVFYRSKAQVETFNNLTRDCKDGINTGWHVRTQWNSSRIVSLAEVKSQLTYPMSGALRFSSNS >Sspon.02G0027400-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:3847995:3848716:-1 gene:Sspon.02G0027400-3C transcript:Sspon.02G0027400-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASLLVAPKPSMPRTVSSIFSARRWGCLAVAATAKRLGTRSESVNVDAPVEHLAPPTTSKTTTTAGGRSGLLAAVAASSCTAALPCHALSAGAVESGPSSSLDTLYRAAAVLGDLDPATARAVAGVAGPVLSAFGFLFILRIVMSWYPRLPVTEFPYVVAYAPTEPFLAVTRRVIPPLGGVDVTPVVWFGLVSFLSEILVGPQGLLVLLSQQR >Sspon.06G0025890-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:74469247:74475525:-1 gene:Sspon.06G0025890-1B transcript:Sspon.06G0025890-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEAKHHPTTSKLPSQSQSSSPSPSAPTANPLQYHHGYGTFPPPFQPTPPVVGAACHDYHASPIGGFGGGQGFVAFPCAVQQQVFVEGVPVREPPLPFCGAGVGWFLLGFFLAAIPWYAGAFLLFFVALDHREKPGLIACTVATLAELLKM >Sspon.02G0037750-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:39992562:39995873:1 gene:Sspon.02G0037750-2C transcript:Sspon.02G0037750-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQIQSFSRNNCVLLAVLCGKYAEKRAPAARSGLEAKRPRPSYPFPELSSAGRLEVHTLFNPTPEQFLEAQRVVQPNFLYIQGQQLEDEKEIGSLVWGDADVSDPQAFSTLISPPFPTIVYLEVPIGEKLAQTVHSKGIPYVIYWRNSFSSYAASHFRHALMSVVQSSVSHTWDAFQLAHASFRLYCVRNNHVQSVKLGPRLLGDAPKINISPPETEIVDEEGSSEVTPAIKIYDEEINMKLLLCGVPC >Sspon.01G0039270-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:33424617:33443776:1 gene:Sspon.01G0039270-1B transcript:Sspon.01G0039270-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVPEDLRCKRSDGKQWRCSAPSMPDKTVCEKHYVQAKKRSASSALRASLRRSSASSPAPAFPFSSSSSAAAAARLRAADEDPPMAVARPLYGRVAGEAVYVAEPVPAPARSGVAYEGLPRGNAAGASTAAGLVGRGPVWLPGGGAAGIRSCHQCRKAGGVIWCTSCDRRGYCAGCISRWYSDIPIDDVRNVCPACRGICNCKVCLQGDNLIKARVQEISVVDKLKYLHCLLVYVLPVLKGIYSDQCFEIGVETRSSGPKTDILRAKITSDEQMCCDFCKVPVFDYHRYCPRCLYDLCLDCCRDIRHSRANVARGEYTEDHVDDKGRDSFNKRARLEPSAESVNDKSLSWPIDINNIDIRSLFPTWRVNNDGSITCGPHEAGGCGSSKLVLRRIFKINWIAKLVKSSEEMVNGCKVHDLEDGCLSCSDGRRLEFTGQLNLGLSKCSNSDGIGRNCVYSPVLEDLKYEGIIHFRKHWINAEPIIIRKAFEPSLSSSWDPLSIWRGIQEIMDEEMDEDVIVKAVDCSNQSEVDIKLKQFIKGYSDGSKGGDGHLLMLKLKEWPRPSVLEAFLLCQRPEFIVNFPLVDFIHPRWGLLNLAAKLPPDALQPEVGMKLLIAYGSHQELGKGDSVTNLMINMSDVVHMLMHATEVRYQCPKRVQSDVSERIANGTSVHANAHTPVQNLNLDMGEQAHKHSISHVEEPKTNSSEGSQAGAVWDVFRRQDLPKLNEYLAVHREEFAARCQAVSSVKYLIYDQTVYLNDYHKKMLKDQYGKDKMTLGFHELLVLTLLSTVQLALNFLSPESLPESVRLAQEIRCLPNGHLAKLKMLEVKKISLYAASSAVREIQRITLDPKFNLDASFEDQNLTRVVSENLARVNKRKVLSGVALLSYRAAKAPRDKEHPY >Sspon.01G0047440-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:87559137:87562993:1 gene:Sspon.01G0047440-3D transcript:Sspon.01G0047440-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MACPAATTARIGARRGPCVWRSEKSASVLVGSASLLPDRPARDTRARAASELQQAPRPVSTTVRTHKVTVHDRQRGVVHEFVVPEDQYILHTAEAQDIKLPFACRHGCCTSCAVRIKSGQIRQPEALGISAELKDQGYALLCVGFPSGDVEVETQDEDEVYWLQFGRYFARGPVERDDYALELAMGDE >Sspon.01G0016770-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:57760335:57761193:-1 gene:Sspon.01G0016770-1A transcript:Sspon.01G0016770-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTRSNGDGHGHGGAGSWYPQRRPHYGYGGGSASFRGCCCCLFLLLTFLALLALAVALVVVLVVKPRKPQFDLNQVSVQYLLVASPTSPAAASPAGVPPAAPGAAYLSLNITLLFTAENPNKVGIRYGATAFDVMYHGVPLGVAAVPGFEQPAHSTRLLQTRVIVDRFNVLQTDAQDLIRDAAINDRVELRITGDVGAKILVLGFSSPKVQVSVDCAIAISPRSQSLKYKQCGVDGLSV >Sspon.01G0003580-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:9117387:9120624:1 gene:Sspon.01G0003580-1P transcript:Sspon.01G0003580-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAAGGSRGGVPRGAALLGVAVALLALSAVAAADFFSPLAPIFSPVINSICSTVACGQGNCTVAPGTLGYRCDCRPGWTQLHVGDSLRFLPCVIPNCSIDSTCFNGSPAPAPALALTPLPAPKNFSLDPCELAYCGAGGTCRKNGSGLSYHCECKEGHSNLLNMTMMPCFQNCSFGADCASIGIHPSSNSPPAPPPPGSESISNQGNVGAPGTKFFQMSMRICVIHFTENSTATAGGGLTGRRFFFLSVSSQK >Sspon.01G0032760-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1A:110233439:110235112:1 gene:Sspon.01G0032760-1A transcript:Sspon.01G0032760-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKQQQQVSAGAEATGRQAASFLLGCVAALTVVLLLQRRPEELTRAPMVQFFGGAGAGARTSSSSSSPAAASSSSSSPPAAGEHAAHLQTTTNDAATKPPPAVDTTAATAGDSRLPPPNSTTHHGREQGAAAEEAEEEEDEFRGLAAAVSRAATADDRTVIVTCVNQAWAAPGSLLDLFLESFRVGDGTAPLLRHVLIVAMDPAAMARCRALHPHCYHYAPLPGVDFASAKFFLSKDYLELVWSKLKLQRRVLQLGYAFLFTDVDVLWLRNPLKHVTAYADMSVSSDVFFGDADNVDNFPNTGFFHVKPNNRTIAMTAAWHEARERFPGKNEQPVFNAIKKGLVADLGLRLQYIDPAFVAGFCSYGKDLGKVCTMHANCCVGLRNKLADLRT >Sspon.02G0010680-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:29082676:29084710:1 gene:Sspon.02G0010680-1A transcript:Sspon.02G0010680-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRAAPKRGGRAPVPAKKKTDKVVNPLFEKRPKQFGIGGALPPKKDLHRFVKWPKVVRIQRQRRILKQRLKVPPALNQFTRTLDKNLATNLFKMLLKYRPEDKAAKKERLLKRAQAENEGKTVEAKKPIVVKYGLNHVTYLIEQNKAQLVVIAHDVDPIELVVWLPALCRKMEVPYCIVKGKARLGSIVHKKTASVLCLTTVKNEDKLEFSKILEAIKANFNDKFDEVRKKWGGGIMGSKSQAKTKAREKLLAKEAAQRMT >Sspon.08G0025560-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8C:10288057:10295259:1 gene:Sspon.08G0025560-1C transcript:Sspon.08G0025560-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSSWDAMEATRQVWSIGSWVEFRINSEKAGSWPPWALALSRRRLSFHLKTHVAVPVPVPVGQSQWCTSTRVVVVLLRRSSRQIDTRASVIEDDDDGPTASATTRTTGEGGRGGGSGSGCRRRSPALAPEANPAIGGGRALTCGRRRNQGQPRELLVAVADALALEADPAMGQPWEVVGLEAAAMQPHTIWGGNKEGGAGTGLVVGVGRGPTAFHVAPPLLSLRLASISAQERQVLRCVFGMGNREEDELCEEDEMREDTSSWALAYDSPCRHVAFMEGASPTAGHPPPQPHTLTVAVAEAPCSCNPLPSKSECGHGIVMAIVGWCRIEGHPNNQSFMSSGSSLGGFGRGGRECATGMPRLGCWAPFGSRVKRRKGGIARLEVLPRGHRHALSGARHPSGGDQLGGQEQQVALRGTPTMSDGTTTGRTVPTWTWQRRGGAEAGSGRGSVHCH >Sspon.02G0005310-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:16731951:16733920:-1 gene:Sspon.02G0005310-1A transcript:Sspon.02G0005310-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIGQGDAKKGSLATSLSFSNCRSSTRIFGRKRVAVSPTPGSRSPHSPVRTLRKQRSVRFHMDDAVNLIESLPQDVLIKILCKVNHSDLRQLLLVSKPVNEATTVARELHFKFSTPSVKSVFRDEETGGDDEDGPGAPKQRRVARSRLRGKNLEGIAVNLSASFESLLSEV >Sspon.06G0010840-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:58742064:58744662:1 gene:Sspon.06G0010840-1A transcript:Sspon.06G0010840-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYTNAIHIIPDAAGPDAWTNAAPSTGGDAAIWATEDDYRQWNADPGYGDRNPSSRAGSEQPPPGKKARGGGGAAAAMAAAGAARAIPGRDLPLRHQLQLRAWHGGAPQAAAQLAGDRGRPRGGHGAAGGAPDPHHDLWKCRRWRRRRRRSQGGRAYKGRHCKKFYTEEGCPYGDACTFLHDEQSKARESVAISLSPTVGGGGYNAAASANGVMVQKPSNWKTRICNKWEMTGYCPFGSKCHFAHGSAELHKYGGGLVDIDGRDIASTPDSKQAGASAKAPAESAAASTAMPPHADVYHLGIQSQRSTIVSQRSGQLQRPIQKWKGPDKISRIYGDWIDEND >Sspon.04G0005810-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:16440078:16445471:-1 gene:Sspon.04G0005810-1A transcript:Sspon.04G0005810-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LRGFEVIDEAKAIVEAHCPRTVSCADIVAFAARDGAYLAGGIDYRVPAGRRDGRVSVKDEVLKDNLPFPDSTVAGLIESFRRKGLSADDMVTLSGAHSIGRSHCSSITDRLYSFQGETGRTDPALHPAYAADLKRRCPPPTDDNTDDRTTVPLDTVTPNTFDNQYFKNVLTHKVPFTSDQTLLDSPWTAGLVAFHAAVGQAWEAKFAKAMVKMGAIEAEKYSPANFPSLRGFEVIDEAKAIVEAHCPRTVSCADIVAFAARDGAYLAGGIDYRVPAGRRDGRVSVKDEVLKDNLPFPDSTVAGLIESFRRKGLSADDMVTLSGAHSIGRSHCSSITDRLYSFQGETGWTDPALHPAYAADLKQRCPPSTDDNTEDGTTVPLDTVTPNTFDNQYFKNVLTHKVPFTSDQTLLDSPWTAGLVAFHAAVGQAWEAKFAKAMVKMGAIE >Sspon.01G0030300-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:109441441:109442949:1 gene:Sspon.01G0030300-2B transcript:Sspon.01G0030300-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GLLPLELSDAPSGRRRPELRRGGRPLQGHLRRAVGGPQEQRQAGQPLFLFGSACLAPAFGALDLRNARVWFSCALCLADGDRLLGGRKDMEGRGDANVRTSQGWGGGKPCGWGQEGRA >Sspon.01G0030980-1P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:105672820:105675696:-1 gene:Sspon.01G0030980-1P transcript:Sspon.01G0030980-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASSPTAAAASPGRGAGDPPMAEAATARTAACPCPVCLEAFKDEAYLDTCFQTTSNISGVQQYWEQKRYLRKKNWLETWLRREIQALTRDENVEAIVYHIHGVMGSFMKRLEKEHTSRTISPEKRREEFRRLVSDAARPFLLGRTERFVTEVELFLVSNLNMEAYNKLRVQRFRESSSHLTREQDVLPHDRSLEEHYLYFVCNDTDCDEM >Sspon.04G0002310-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:7066762:7074807:1 gene:Sspon.04G0002310-1A transcript:Sspon.04G0002310-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGSYDERMLTKGILEKMELENFQLGRTKVFLRAGQIAILDMRRAEVLDNAARHIQGRFRTFITRKEFVKTREASVSVQAYCRGCLARKMYAIRRETAAAVIVQKYVRRWLLRRAHLQACLAALLIQSYIRGFIARRYFSAIREHKAATVIQSTWRRRKVVMLFQNYRQATVTIQCSWRQKLARKELRRLKIAANEAGALREAKNKLEKKMDDLALRLTLERRLRAASEESKSAEILKRDKIIESLSAECAAAKSAAQNEHDKNLLLQKQLDNSLREITMLQSKKIMSAEAEKENSNLKNLVESLSMKNSILENELAVTRKSSDDTMEKLKDVEGKCNHLQQNLDKLQEKLTNLENENHVLRQKAFNMPTINNLSVAPKTLSEESPTPTKYLASLPQTLSASRRSRLPVERHEQNHEILLKCIKENLGYKDGKPVAACIIYKCLLHWRAFESERTAIFDHVIEAINDVLKENEADGRLPYWLSNTSALLCLLQRNLRSNGLFATPSRRSGGTLGKIAQTLRSPSKFIGRSDTLPQVDARYPAILFKQQLTACVEKIFGQLRDNLKKEISPLLNLCIQAPKSTRGQPGKTSKSPGVGAHPASNSNWDNIVNFLDLLMDTLRENYLFNSLLLRRECCTFSNGEYVKAGLSLLEKWITDVTEEFAGTSWHELNYIRQAVGFLVIHQKRKKTLEEIRQDLCPSLSVRQIYRICSMYWDDKYNTQGISTEVVAAMREMVNKDTQNLLSNSFLLDDDLSIPFSTEDLSMAIPSIDYADVDLPESLQHYASVQFLLRQQDPQPAQ >Sspon.03G0010070-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:32281556:32291174:1 gene:Sspon.03G0010070-4D transcript:Sspon.03G0010070-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLRAFRPTSDKVVKIQLHPTHPWLVTADANDRVSVWDWEHRQVIYELKAGGVDERRLVGAKLEKLAEGDDSKGKPTEAIRGGSVKQVSFYDDDVRFWQHWRNCSAAAEAPTAVNQQSSMFSAPAPSTRGRHFVVICCENKVIFLDLVTMRGRDVPKQELDNRSLLCMEFLSRSSSSDAPLVAFGSSDGVIRVLSMLTWKLVWRYTGGHKGAIACLMTYMSAAGEVHLVSGGSDGLLILWSADHIHDSRELVPKISLKAHDGGVVAVELSRVMGSAPQLITIGADKTLAIWDTVTFKEIRRIKPVPKLACHSVASWCHPRAPNLDILTCVKDSHIWAIEHPTYSALTRPLCELSSLVPPQVLAQHKKLRVYCMVAHPLQPHLVATGTNIGIILSEFDPRALPAIAPLPTPTGNKEHSAVYIVERELKLLNFQLSNTANPSLGNAGVASDAGRSRNDSIEQYVATVWPDIPSFAVYKASDWSVVDSGTGKLFAWDTCRDRYALVESALAPRMPLVVKGGSSKKAKEAAAAAAQAAAAAASAASAATVQVRILLDDGTAHVLQRSIDGRSEPVIGLHGGALLGVTYRTSRRISPLTATAISTVQSMPLSGFGGSGSSFASDDPFSSKEGPPQNFQLYRCVFVDAGVAAIDIETKRRKEEMKAREAQSRAVEEHGDLALITVEAPQVTVSEKVSLRPPMLQVVRLASFQHSPSIPPFIVPKQSKFDGDDSVFQKELDDRRYAEVAVAGGGVSVAVTRFPPEQKRPIGPLVVVGVRDGVLWLVDRYMCAHALSLSHPGIRCRCLAAYGDPVSAVKWLEFDLAMQSNDLKRALACLLTMSNSRDVGQETAAADATDVTQILNLAVAKQAKQESLADAVQGIVKFVKEFFDLIDAADATGQSDIAREVLKRLAAAASVKGALHGQMLRGWH >Sspon.05G0007170-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:13990898:13992476:1 gene:Sspon.05G0007170-3C transcript:Sspon.05G0007170-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPAASISPVCCVPFPASAPRRASPSSLRRLPRFAARSSGGGGGTRPEPKPDDNESKAVLDAFFLGKAFAEALTERVESVVGEVFSVVGQWQAEQQKQVQEFQEEVVQRAQKAKERAATEVNDDKGTKSLREPSATIVTTTPMPSSSPPVTPTQTE >Sspon.03G0005570-3P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:21993992:21998935:-1 gene:Sspon.03G0005570-3P transcript:Sspon.03G0005570-3P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGVRADGGGGGGGAGGGGPSSSSTADNSRFDAAQYSFFGKAPMQGAELGGLLDGGVDGDGGGFGGLDDGGYQFSSTGEEIDCMSNLSEIDDLASTFAMLNRSISGTRNPGVIGDRRSISRESSLTADWAQDADFSNWVDQDILDGDESLDSKQWCSQLQSSPHFGESKPLSRTSSYPNQPLQHRSSEPILLHRSTSFTSYPPPGGSPVLPYPAQGLTRHSSIPSPGVGHHMGSPSSSLSGSPYHMPGLSHGLPYGRSMSYTTGDLSMNNVLQNEWSNQAGPLAFDHFNRRPSLLQPQLSLPSSSMSSLLFSQPHQRLPPGQPPLQNYINMQPHLFYHHQSPDVPSPRDKRSRSGRGKHSIRFSQQPSDAGSQNSESSGIKFRSKYMSSEEIESILKMQHSANHSNDPYIDDYYHQACKAKRSVTSQKSNFCPMSIKDFPSKSRSGVDQHSYLQVDANGGISFSAIRRPRPLLEADLPGSGDGFYDHKSSTRPLEKEPMLAARITVEDSLRLLLDVDDIDRFLQSSQPQDSSFQLKRRRQVLLEGLATSLQLVDPFGPNKPGHSGGLAPKDDLIFLRIVSLPKGRKLLARYLRLLVSGSELTRIVCMAVFRHLRSLFGGLSSDSSAAETTIGLAKTVSSCVHHMELSALSACLAAVVCSSQQPPLRPLGSAAGDGASLVIKSVLDRATELLADPHSAANYSRSARSLWQASFDAFFGLLTKYCDSKYESIMQRFAMQGSSSMGGPETTKAVSREMPVELLRASLPHTNEQHRQTLLDFARKSTHVSGFSPNASCGLINSESVPG >Sspon.04G0004680-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:13813021:13814380:-1 gene:Sspon.04G0004680-1A transcript:Sspon.04G0004680-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWSVPTALQKANCYHKEGHDAPCSVGGDVYYMLLFGLAQVVLSQIPDFHEMAGLSIFAAVMSFTYALVGVGLGVAKVIANGVIMGGIGGIPQVSTTQKVWRVSQALGDILFAYPFSLVLLEIEDTLRSPPPETETMKKATRASIGITSLFYLCCGCFGYAAFGDATPGNLLTGFGFYEPYWLIDLANLCIVLHLLGGYQARSLARLQCTTAQPHGRLAVYVYTQPVFAFLDRKFGGGATVVVEAPLLGTRRVDVFRLCIRTAYVAATTALAVWFPYFNQIIGLLGAFTFWPLAVYFPVEMYLTRNKVAPWTNQWLAIHAFSLVCLLICAFASVGSAVGVFGSETS >Sspon.08G0009100-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:34821221:34822113:-1 gene:Sspon.08G0009100-4D transcript:Sspon.08G0009100-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AILTRRNSYSGIKYCDEPAIFAWELMNEPRCVSNSSGPHIQAWIEEMAAYIKSLDTKHLITVGIEGFYGPGRGERLGVNPGDWAASLCSDFIQNSAVKDIDFASVHAYPDSWLPKASMEEKVKYLSVWVDSHLNDSEYVLRKPVLFSEVGYLQHAEANSTVDGDTLLKVVYDKLYNSAKKLQAGGGALIWQLMVEGTQMYHDNFSMVARDRPSTYNLIKEQSCRLQSLYGKEGDPSWQCSLPP >Sspon.05G0033140-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:26582826:26584122:-1 gene:Sspon.05G0033140-1C transcript:Sspon.05G0033140-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVRRFAVAALIAASFLAAAVGAQPMDPKNPFMSDPNVIPVYPPTYVTCYNDTHGQQGSEPKCNVLALQCPRTCRDTCYVHCPSCKLICLCELTGTECYDPRFVGGDGNKFLFHGRRDADFCLLSDANLHINAHFIGKRNANARVARDFTWVQALGIRFGGHRLYLGVRRTATWDDAVDRLAITFDGAPVPLDAVAGASWSPSPTSAAPAPLLSIFRTGPANGVVVRLDGVFRIVANAVPVTEEDSRVHGYGLRPEEDGSLAHLNVAFKFYALSADVHGVLGQTYRPDYVSAAGVDAGARVPVMGGAARYQVSGGIFATDCEVGRFAGDDDGLAGLPVGINEEPTDALCGSGKVTTGLVCKK >Sspon.01G0030610-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:104855703:104874101:1 gene:Sspon.01G0030610-3D transcript:Sspon.01G0030610-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLGLSVVAWYDRGAGEWRVFEDACPHRLAPLSQGRIDGKGRLQCAYHGWCFDDAGACAFVPQAPALGPPVHENRRACVASYPCVVQNNILWFYPRTEAGHRVVLRRKRPPYFAEIDDPSYFTTFGAQICRGGTGKLAQIMYVPTSSDGMVVAFRDWFRKHCNHQVGWGAPQPDHQLPPSSTKDRLLERYWSHVAQCTSCSAALRGMRALEVALQVASVAVDKRRRVESMEPLALHLLLPPPRAGSPSLPAAAITVPSPNSWRPLSGKFLQAPRRPRRGARLRLSPSVLAPREEEEEGAFDWLDQWYPFAPVCDLDPGAPRGKWRVFDDSCPHRLAPLSQGHIDGKGRLQCAYHVWCFDGAGACKFIPQAPALGPPAAGRAWRRTHAWCRTRSCGSTRGRRPGTGTCCGGSGRRTSRRSTTRPSSPPTASGTSVMGKQTVSHASGIMIINASCIAQVRYLGREPDGSFSCPICTQGPDPPTAQTLHFFTVEQDREGGGPIKLKVEEASIDGFLAAMERGHWKFMAPCTFHSSGTSQQPKAGKKKAPRFMLVVFCIPVGPGRSRLIWAFPRNFGVWLDMVVPRWVYGLPHRPEPRAGLGCLHPPCRVSGSNMLELQERKFAAMGLENWQSRCYVPASSDVMVVAFRSWFRKHSKNQVGWASTPQPGRLPPTATKDRLMERYWSHVAQCASCSAALRAMRAAEAALQVASVAVVGFLAVARESTLLASSPARRAVLVSAAVLCFAASRWLASFIQRNFFFQDYSHAYKSPPSLPRVSTALAAGRRRAMDPFFLLQLPRASRPPLPVATAAAPLSCRRLNVSGGARPVPRRLQRRAARLSVSAVATETPRTEEEQPSPSPSPSGKERFDWLDQWYPFAPVCDLDPRAPHGKTVLGLSVVAWYDRGAGEWRVFDDACPHRLAPLSEGRIDDKGRLQCVYHGWCFDGAGACKFIPQAPALGPPVHTSPKACVASYPCVVQNNILWFYPRADPEYKDVLQRKRPPLIPEIDDPEFVTVYGIRDLPYGYDVLVENLLDPAHVPYAHKGIMRGIRKKEDPGRYVPDLTRVSSLMFGIANEVVLPVHTIRWAYSVADNACCLVCCIADRSCLFFFTVEYDKEGGGPAKMKIEQANIQGFVSPQERGYFQFIAPCTTIHCSCLLVVGQDKKKKVPRVMLLFFCIPVSPGRSRVIWAFPRNIGVWLHHITPRWLYHVGQNLILDSDIFLLHVEERKFAAAGLDNWQKACYVPTSSDSMVVAFRNWFRKFSKNQIGWATPQIDQLPPTPTKDQLMERYWSHVAQCTSCSAALKAMKALEVVLQVASVAVVGFLAVAKGTLVTSTVQRAAVVSAAVLCFAASRWLANFIQKNFYFQDYIHAY >Sspon.05G0029920-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:81293313:81296157:-1 gene:Sspon.05G0029920-2C transcript:Sspon.05G0029920-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVHHRHRALQALVLRGNNLSAKPSNTKWPGARVYFSAQLNGLQYSFKPAAYTQLFQATTTTSTNATPTCYAFVNGSFGFPDKIFSLPLAGSMQLMRLESDGHLRLYEMGIPHLELRMSSSFSDDAGFSVTSFFVYAVIWKKCKKDEEPLFDGIPGIPKRFSFHELKVATSNFSIKLGAGGFGSVFKGAIGKETIAVKRLEGVDQGMEEFLAEVKTIGRIHQFNLVRRNIMLAIARGLSYLHEECEEKIAHLDIKPQNILLDNKFNAKLSDFGLSKMINRDQSKVMTRMRGTRGYLAPEWLGSTITEKADIYSFGIVMIEIICGRQNLDESQPEQSIHLISLLQEKAKSGQLFDLVDSSSDDMKSNVEDIMQTMKLAMWCLQVDSSRRPLMSTVAKVLEGAVSMEATPDCIFVPSFESVNTDASGSTSSYVPSESHLSGPR >Sspon.01G0029020-3D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:97669060:97675040:-1 gene:Sspon.01G0029020-3D transcript:Sspon.01G0029020-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GGAEAAAAAAAAAAMERQLASASRPAVEVRTVREVGGSNTWPMLTRTNYGEWAVHMKWKLRARRWWAAVETGNAGEDAEVGVMEALMASTPSEFHEAIGSKNTAKEAWDMLASFRLGSDRAKKAKAQQLRREFDDLRFKPGEAVEDFALRLQSIASQLATYGKPVDDEDVVAKLLRVVPAKYAQLALSIETMLDLSTLSLEDVTGRLRAVEDRASPEKEKPKLLLTEEEWSARMKEKRKTVEGSSRGGGERGGGNSGKQRGKAPAERKKGKKKFTDPNACRKCGEVGHWARECPNRKTEKKEEAHLVRSDDDGDHALLMGVFCAERSGKPRKMEVEQKAAPPVVHHLDEPRAQVHLGVSGDESEQRWYLDSGASNHMTGCRAAFSELDEKHRGNVRFGDGSRVQIRGRGTVLFRCKNGEHRALTEVYYIPELRSSIISLGQLDEHGAEVLIRQGVLHIKDQDGRLLAKVMRSRNRLYLLDLKVEQPVCLAAVSVEEPWLWHGRFGHLSFDALGRMEKMVTGMPHIKHAGELCDSCLAGKQRRLPFPKAARYRAADPLELVHGDLCGPITPATHGGRRYFLLLVDDCSRYMWLQLLTSKNDAADAIKGFKARAEAESGKKLRVLRTDRGGEFTAVEFATYCAEEGVGRHLTAPYSPQQNGVVERRNQTIIGMARSMMKAKKMPATFWGEAVTTAVFILNRAPTKALKGQTPFEAWHGRKPSVAFMRTFGCIGYVKTTKPGLGKLEDRSTKAVLLGYEEGSKAYRLYDPARGKVLVSRDVVFDEAAAWDWNAEELEAEQGHGLGDTFVVERLVVRGHGEAEQAPTTGEAAEGEPAAGEEAEPTAAEVVEPPSPPGAGHYSSPGPMADSPPVLHEQGTPGASMVEYATPPSNLDEFVDAFHEGEEVRFRRVDNVVGNAPVPGLAARLLDNDQALLLMSAEEPATFAVAERDAEWRRAMIEEMKAIEANKTWDLVNPPSGCRPIGLKWVYKVKKDERGAVVKHKARLVARGFVQREGIDFEEVFAPVARMESVRLLLALAAAKDWQVHHLDVKSAFLNGDLAEVLRLRKALYGLRQAPRAWNAKLDATMAELGFARCATEHALYTRRRGKEHLIVGVYVDDLIVTGARAQDIAHFKEQMGAKFRMSDLGALSYYLGIEVKQSADAVKLGQRAYALKLLERAGMAGCKAVATPMEDRIKLSKQSTAAKVDATLYRSIVGGLRWLTHTRPDIAFAVGYVSRFMEDPREDHWTAVKRLLRYVQGTLEMGIIFPKRGGLQLTAFSEAPPKAKDGEPGITAYSDADMAGDVDGRRSTSGVLVFLGASPIAWQSLKQKMVALSTCEAEYVAAATAACQVVWLRRLLGELTGVEAPPPALKVDNQPAIALAKNPVLHDRSKHIDVKFHFIRDCVDGGQMVIEFVDSGRQLADILTKPLGRLRFQELRGLIGMVEVIYDLLERSSGHLELREDPEHGIIVAGLRSIKVHSADRILELLNIGNSRRKTESTEANATSSRSHAV >Sspon.02G0013680-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:29779014:29782526:-1 gene:Sspon.02G0013680-2D transcript:Sspon.02G0013680-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRQGFMDKKEKPEATSCPPPPRLDCIKCFDMLWFCYSPFHQMQNYYRHGEFDNCFGKWGDLVDCLTLKTKRVAEVEEILIAREKAKPHIWTYRTVDEASENWWRMYKHFVMLSRPLSGSALLRPKSDES >Sspon.01G0017670-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:67425393:67427635:1 gene:Sspon.01G0017670-3C transcript:Sspon.01G0017670-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MIAECQGGGGGDFLIKLFGKTIPVPESGDAKDLQQSSSSSWTEQDQDAHALENARGHAQPDSSEPSPQPEVVDAEDPKSSPETHQKPGDAASQREKLKKPDKVLPCPRCNSMDTKFCYFNNYNVNQPRHFCKNCQRYWTAGGAMRNVPVGAGRRKNKNAIAAASHFLHRVRACGGGDTLKTTNGTVLSFGHGGGAPPGPACLDLAEQLSHHLAPVIRNAGNPGPCSEGSSNRDDHKTINDRSSVDEAAVNADVDGSVQHPASMNNGATVWPPYSCAPSPAAYFSSGIAIPIYPAAPGYWGCMVPGAWSLPWPVQQPLSQSQVPGLSSSSPTATSAPSVSSSGAADSHTLWLGKHPRDREGDDGRNGNAHGGNAKVWAPKTIRIDDVDEVARSSIWSLIGIKTDNKQQDADAGGGHKQLGTVFEPKREATKKQAMMTSSPLLHANPVALTRSVAFQEGS >Sspon.07G0010710-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:34840571:34846317:1 gene:Sspon.07G0010710-1A transcript:Sspon.07G0010710-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMDSVECLSLPDAAMDVDDVDGHPHHGHHGHLGLPLHPAHLPSLGAGRAFPKVNAGGGGAGPAGAGAAGAAGGGPPATSVHELLECPVCTNSMFPPIHQCQNGHTLCSTCKARVHNRCPTCRQELGDIRCLALEKVAESLELPCKYCSLGCPEIFPYYSKIKHEAQCSFRPYNCPYAGSECAVAGDIPFLVAHLRDDHKVDMHSGCTFNHRYVKSNPREVENATWMLTVFHCFGQYFCLHFEAFQLGMAPVYMAFLRFMGDENEARNYTYSLEVGGNGRKMVWEGTPRSIRDSHRKVRDSHDGLIIQRNMALFFSGGDRKELKLRVTGRIWKEQTNPDGACIPNLCS >Sspon.07G0024420-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7C:23147850:23148101:1 gene:Sspon.07G0024420-2C transcript:Sspon.07G0024420-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPLHLYGGTDGSWEVKPPALKLQAPAPPEPTPGINKWRDTMERHKWLQKVAVHCDAWLMKISGFATSYMTATERYLITSLIN >Sspon.03G0044890-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3D:5035221:5035415:1 gene:Sspon.03G0044890-1D transcript:Sspon.03G0044890-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding HNIAGSGCAVTVEKEDGNGRAAMRPPMRAAPAIRRTQYPRWRRQQRSGGADSHDEHAVGVQARW >Sspon.08G0022250-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8B:47073747:47075387:1 gene:Sspon.08G0022250-1B transcript:Sspon.08G0022250-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRDDVLIVLVASLTDPLCDSASYRIPLRALNIVCLCRGLPPLPRAKYRALGKDPLCRGPAQGALGKETALGKEASAEGRPKEPLAKKRPSAKRPLPRASLLALGKESLPRAYLMALGEEIFQNIF >Sspon.03G0015150-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:71987897:71991439:-1 gene:Sspon.03G0015150-2B transcript:Sspon.03G0015150-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEWSNSVRPIQWRCHWLELRMRELSSQVSKYDRELALIKKQKESQQAVSKANGTMSESMQIHKGHGNSIMKRRKRKRHEENVDASLYINKHRILSFYHDKQNKGAETDGVLVDDDCGTGMNVSIRYLVKPFIDGSMRGGLDTATLLDSEDYDMICEQRTLQDILLTIDGVQSRVHLLQDRLTKAHSEGENLAFSGGDTHVRVARKRQRTQKHSFSYTKSRHTKPQKKKNLNILLKDDDGQALAGGSALPDRETDAHIIGTGRSSEERSGECNHLRDKAITLDLLLGTGNSITNSDIGDLYKVNTDDILIDNQGANEACEQFYKAKQPSGSSSKDHNISTPAEMKNNSPPVEVKNTCAAVKVESICAPVEVDSTSAPALEQESFLEKSPSRKPVSPGNKQELEPKKRQKKKVSFFTKKQRKEASRTPDAKEKTEGMSSVAKNQRRTPSDVAKEKTESTLSAATGPGGKKRKSGNEPADAKMHRSLNSSLASKEQKTVKPSSAVKKQKTEKSTAAARAPKDENAGSATKKQETESSSSTEKKLETASAPLKLQVEKAVLVVNSRRSQRV >Sspon.02G0035730-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:24600544:24605995:-1 gene:Sspon.02G0035730-2C transcript:Sspon.02G0035730-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Class II aminoacyl-tRNA and biotin synthetases superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G17300) TAIR;Acc:AT4G17300] MAAAAAARLLRLAPRRLQVPKASLLAALSFPLPSTAPLAAASGRRQRFCAAAQASAPAPAAAATGAAGEAVGQFRKRLRVADVKGGEDEGAAWVGKELAVRGWVRTCRAQRTVTFVEVNDGSCLSNMQCVLTPDTEGYDQVLCSAHLAKVAIYTFVRFSYTALVAFDKAIIRFIRHYFNDGCQIDSVTTGASVLVEGVVASSQGGKQKVELKVSKITVIGKSDPTSFPIQKKRASREFLRTVAHLRPRTNTFGAVARVRNALAYATHKFFQDNGFVWVSSPIITASDCEGAGEQFYVTTLLSNSAEGGSLLKDIPSTKDGRVDWSQDFFCKPAFLTVSGQLNGETYASALSDIYTFGPTFRAENSNTARHLAEFWMIEPELAFADLNDDMACATAYLQYVVKYILENCKEDMDFFNTWVEKGIIDRLNDVVEKNFIHLSYTDAVELLLGSKKEFEFPVKWGLDLQSEHERYITEVALGGRPVIIRDYPKEIKAFYMRENDDGKTVAAMDLLVPRVGELIGGSQREERLDYLEARLDEQNLNKESYWWYLDLRRYGSVPHAGFGLGFERLVQFATGIDNIRDAIPFPRVPGSAEF >Sspon.04G0022450-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:10357060:10358780:-1 gene:Sspon.04G0022450-1P transcript:Sspon.04G0022450-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATMSFAAASPLTSTPRGIAAPAPRTAFLSLRLGGVTAMRFAGLAAASQPVERRAAAAVAMAKREQELEEIRAMTTEQLEEEVVDLKGELFLLRLKRSARQEFKNSEFGRMRKRIARMLTVKREREIEQGINKRLSRKLDRKWKQSIVVRPPPSLRENKEE >Sspon.05G0005310-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:9538682:9541004:1 gene:Sspon.05G0005310-3C transcript:Sspon.05G0005310-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lysine histidine transporter-like 7 [Source:Projected from Arabidopsis thaliana (AT4G35180) UniProtKB/Swiss-Prot;Acc:Q84WE9] MDQSKSPSTFPLAAGPGHARRPHQRRVTHQPLCMKHDRTAPVATNQTQVPNRKSNTPTAGARAACSGQRPVTMSSSSKQDAISEVQSAPPTPRPPTPPVVSTPPSQIQSPRAPSGGRSPLHAMASPLRAMASPLRAMATPLASPVRKAVAGVKAVGNITRLADPRDAWLPITESRSGNAYYAAFHNLSSGIGFQALVLPTAFASLGWTWAIICLTLAFGWQLYTLWLLVRLHEPVAGATRYSRYMHLANTVFGVSVNQHAWLPILALLPVLYLSAGISTALIIVGGGSMKSLFSIACGESCLARNLTTVEWYLVFVCAAGLLSQLPNLNSIAGVSLVGATAAVAYCTMIWVVSVGKGRVAAVSYEPVKATNDVDAALGILNGLGIIAFAFRGHNVGTMPSTVKHPSHVPMWKGVKVAYAIIALCLYPIAIGGFWAYGNQIPSGGILSALYKFHSRDVSRLVLGTTTLLVIINCLTTYQIYAMPVYDNMEAGYVHKKNRPCPWWMRSGFRAFFAATNFLIAVALPFLSQLAALLGGISLPVTLAYPCFMWVAIKKPRKGTATWNVNWALGILGMGISVVLIVGNLWGLVQTGLRLNFFKPDDMQ >Sspon.02G0024230-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:82894158:82898552:1 gene:Sspon.02G0024230-1A transcript:Sspon.02G0024230-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADVLRLLYQLPLSCDGRSLGPRAMVIRYLSSDAFAGDDHKLALYFKRCVSKAYRLYDPARGKVLVSRDVVFDEAAAWDWNAEELGAEQGYGLEDTFVVERLVVHGHSEAEQAPMTGEAEAGEPAAGEAAEPAAAEVVEPPSPPGAGHHSSPRPMADSPPVLQEQRTPGASMVEYATPPSNLDEFVDAFHEGEEVRFRRVDNVVGNAPVPGLAARLLDDDQALLLMSAEEPATFAVAERDAEWRRAMIEEMKAIEANKTWDLIDPSSGCQPIGLKWVYKVKKDERGAVVKHKARLVARGFVQRKGIDFEEVFTPVARMESVRLLLALAAAKDWQATTSTSSLPFSMAIWQRKSTKALYGLRQAPRAWNTKLDATMAELGSARCATEHALYTRRRGKEELIVGVYVDDLIVTGARAQDIARFKEEMGAKFRMSDLGALSYYLGIEVKQSADAVKLGQRAYALKLLERAGMAGCKAVATPMEERIKLSRASTAAKMDATLYRSIVGGLRWLTHTRPDIAFAVGYVSRFMEDPREDNWAAVKRLLRYVQGTAELGIVFPKRGGIQLSVFSEAPPKASEDAALTVFSDADMADDVDGRRSTSGVLVFLGSSPIAWQSLKQKMVALSTCEAEYVAAATAACQVVWLRRLLAELTGAQVQPPALKVDNQPAIALAKNPVLHDRSKHIDVKFHFLRDCVDGGQLVIEFVDTRRQLADILTKSLGRLRFQELRGMIGMVEVKPGASDAEGTGRRRQSPRGHPADGARKGLGERAAYLAAGVGGGDADLPGGGSGGADELRTSSRIAAALAPGRVKDK >Sspon.02G0011860-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:30235203:30241076:1 gene:Sspon.02G0011860-2B transcript:Sspon.02G0011860-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATQTGVAASKVLILVGAGMTGSILLRNGRLSDVLGELQEIMKGVNQGTASGPYDIALIQAQIRNLAQEVRDLTLSRPITILNNKSDSGGGLSSYILPAAAIGAMGYCYMWWKGLSLSDVMFVTKRNMANAVQSMSKQLEQVSSALAATKRHLTQRLENLDGKMDEQVEVSKAIRNEVNDVKDDLSQIGFDIETIQQMVAGLEGKIELLENKQDVANTGIWYLCQVAGGLKDGINAKFFQEASEKLKLSHSASPENKPVKGLEFFSESAKEQKVDDSKPIAVAINGENPKKTTAVKGTAVHRSIRFSYGKEGLAL >Sspon.03G0013090-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3A:36528451:36528707:-1 gene:Sspon.03G0013090-1A transcript:Sspon.03G0013090-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AHRGCSGALGGDGERLEWPGHGKARVVVGRRRWAREQAIQRLGCENGELRWSTRYARMRRLYWCSLRGRRRLDSGSSERRSCAAA >Sspon.01G0024810-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:64881694:64885622:-1 gene:Sspon.01G0024810-2B transcript:Sspon.01G0024810-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein CDC73 homolog [Source:Projected from Arabidopsis thaliana (AT3G22590) UniProtKB/Swiss-Prot;Acc:Q9LJ87] MDPLSVLRDYAARNELDKIIFSGDEIHFGSDYTFPASTPTAFASKQSGRPYPLSAAVFLAQHHDLKHTDFIQAARLRRIPPVSLPDRKTFLDFLRFGHNSLPSADPLLPSAFQPQEPHLHPPSPPPEDPAAAEEATTGKQIRALERPFKDRNAILDARGRDFLAVFQAAVRRQDEQRKAGGKDAAPSSRPDSGSAAAALAKPKVLDRALGDGVVPIILVPSASQTLITIYNVKEFLEDGVFVPSEERMRATKGGKPESVTVQKKLIRTERAGGAGGAVSFEVRDKPASLKSDDWGRVVAVFVLGKEWQFKDWPFKDHVEIFNRVIGFYVRFEDDSVEAAKVVKQWNVKIISISKNKRHQDRTAALEVWERLEEFMRART >Sspon.02G0007750-3D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2D:16366137:16368392:1 gene:Sspon.02G0007750-3D transcript:Sspon.02G0007750-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKAAAAAPMRASVCAIPSPVRFEPSPMRASISALPSPIRAEPIPMRASMTSALQPPRRVKLDLTAATRAAAAEKENFLPASPPPARAGFEKENLLPAGADAHDELVALNLAAIAAGTPAGGPLFVRGRLYDAYSARRNERLKRKQGFPYYCEEFAPAASVDAEAMAKDPCVAVELSKRRVAKKAYTASGAESVRRSAPAVDFAAGRGGGLGPRSSLRSSKEMKKASAASGAVSVAIKERRVTPRSSAR >Sspon.05G0029280-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:72489140:72492746:1 gene:Sspon.05G0029280-3D transcript:Sspon.05G0029280-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVLESASTGVMNSVIEKLGALMGEQYEKHRAVRRDVAFLKDELSSMHAVLNKLANMEELDPQTVEWRNQVMGMAFDIEDSIDDFLHQVGEDATSTGDFGFIAKIRQYVNELRLRHHFTKQIQELKSRVIEVSERRKRYKLDEAAASSSSFVTVDPRMAALYTEAGSLVGIEGPVDDIVKLLDKKEGDASASPLGLRVVAIVGFGGLGKTTVANEVYREIRGQYDCEMFVSVSQRPDLLKLLGRIIHKVGIPQLNHIVEVEDLIESIRGYLKDLRVGYVCAQPLKEISDEILQKCGGLPLAIISIGSLLATQPARSREQWKEDQDTAAATSLSMSHMRSFAVFGNTCFIPPISKFKYIRVLNLKDWRTDGHDSIDLTPICKLFQLRYLNVGRKARLPAQISNLQCLETLELNKLDGDVPSDIVHLPCLLHFVVPCGKRLPDGICVMRSLRTLRCFDVGLNSVDNFKGIAELLNVRDLRHCPQQGIMDTLWSSIAKLICCKLRALTFPSFPANLPPPIVGLDRLAISHAEHHLEVLEVSSTLFPQVPSWIGRHCSRLSVLSLTVNILRQDDVDLLVQLPNLLDLKLNIRKCPKERILIDSSRVAFPALKLFTFSCFAPCLFFAAGALPNLHTLTLNHHGRGLENVKVLEGIQHLLNLKEVHVVFTNGYCGGKAMPGMTEADAEATYRDAISMHPGKSGIKILVNLFCLVMPSSAFYYDSDDGAFVESQ >Sspon.02G0033520-2P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2C:8380353:8380532:-1 gene:Sspon.02G0033520-2P transcript:Sspon.02G0033520-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGLTPSYKLSLISAIVLLLGLTSGDVAHGRKKLVSSSDGEPSHKMTVYYHDIFYDGTNT >Sspon.05G0016310-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:5C:61229424:61230362:1 gene:Sspon.05G0016310-2C transcript:Sspon.05G0016310-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSRRCPRQVDVALLAVAFVLASCLAAGPATAKQTKQLTVFWGRNKGEGSLREACDTGLYTTVIISFYSVFGHGRYWRGDLSGHQLSGVGTDIKHCQSRGILVLLSIGGGGHDYSLPSSQSAADVADNLWNAHLGGRRSGVYRPFGDAAVDGIDFYIDNGGADHYDELARCLDGYNRFYRGRKGVRLTASPRCGCPDWRVDRALQTGLFERIHVRFYGDDKCSYKNGGTWGIVEEWDKWTKRYPKTEVYLGLAAAESGVPDGAQGTIAVYLKYLYYDLLPKVQKAHNYGGVMVWDRYSDKKTGYSGVVKGWA >Sspon.01G0012630-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:94719644:94720649:-1 gene:Sspon.01G0012630-1P transcript:Sspon.01G0012630-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MCKNCILGLQWAVIKVPTVPIQLPFFICCPWCNLLSLRILYKGNLTFPRKNYFLLWMVEGMNGERARSHPAPAIHSEQQTPWLSSSSRANGNAGYSNPTRRHLPPPVDTSPANANHANHGVPLLNAERVQASLRKSLSFLVHLSAKFPLVFIFLLIVLYAIPASAAVLLLLTIAGGLGMARSVECPKRFSWIWHGFSKHICAKQLNHFVVLRSG >Sspon.04G0000800-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:2964298:2972462:-1 gene:Sspon.04G0000800-2D transcript:Sspon.04G0000800-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRYSYSCRRRRSAGTGAGCLLASSASFSPGELHGDADEGMDAQPNWRGGAAASRLSYKNATIAVCAFNLLVAALLLHNYFSSWTRIAGGDRLDSAQLRYIWESEELRRAMEPVDLIRRVKEIEQEAYGEHGMATDEDPKQTAAVDLSKRLKDLRAGNDGSSQKAGMEAAAAAPHLLHCGGFGRVAHLPALPGRRRRGQFPRVRAVATEPKPSTSTTSSSRGRTRTRNDLSDTRFGDVSKEIQRVRKQMEQDEQLATLMRGLRGQNLRDEQFAADNVRLRLVEVESADNNEGLPLVYSPEIISAYWGKRPRAVATRVVQLLSVAGGFISHLISDLINKKLKENEVARAIELREIVTSLGPAYIKLGQALSIRPDILSPAAMTELQKLCDKVPSFPDDIAMALLEEELGQPWQAIYSELSPSPSLQVYKGRLKETGELVAVKVQRPFVLETVTIDLFIIRNLGLVLRRFPQVSVDVVGLVDEWAARFFEELDYVNEGENGTYFAEVMKEDLPQVVVPKTYHKYTSRKVLTTQWIEGEKLSQSTEDDVGSLLLDTGFFHADPHPGNMIRTPDGKLAILDFGLVTKLTDDQKYGMIEAIAHLIHRDYDAIVKDFVKLGFIPEGVNLDPILPVLAKVFDQALEGGGAKNINFQELAADLAQITFDYPFRIPPYFALIIRAIGVLEGIALVGDPEFAIVDEAYPYIAQRLLTDESPRLRSALRYTIYGKTGVFDAERFIDVMQAFENFIRAAKSGGGENLKGNMAELADLGGQPSTSLVPVFPMAIAQPEQPVKAVQLLLFYSLRGGTSFGNSFLMREQLIQIAASFGIGNATPVFSMVPVRARALLPTITEEDRVILNNVEKVVKFLTSGTATPTVGG >Sspon.05G0029400-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:78547425:78549006:1 gene:Sspon.05G0029400-1B transcript:Sspon.05G0029400-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVGTSLPKVVPPVYVGIISHLMTDSPAAKDPSCGFCSAVSKTTNPCLPSADNKTLVESRTSTGKHQLRVSFHFAAPPAISSICYDYASTMPPDDENNHEVAVIAAHGDSIVLRTMPRHRHTVVDGRVVPPTFDHFVYRPPSLTLLPGLRFPRKYRSPRFLLDEDTGVLRRGEDDLLVAQIDTRHHDDRPDLADLCLLCLKRAVPIAHEEGEELMGSLTSPSTTIPVGDRFLCWVNYDIGLVVCDMAEEVSPKLRHVPLPTNDLPPLSYCDGIGAAGPCAMRFVSIEPRCCCGRLGRSSCARSRSPFTVTMWTLTLTMDEPMTWVKEGVLDCEELWAMPGYEDLPHVYLQSPIVSLDNPDVICFKVGRRHRGNQNASMIQVDTRRKALLAAVQ >Sspon.02G0010380-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:27449673:27457418:-1 gene:Sspon.02G0010380-1P transcript:Sspon.02G0010380-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKAGGGAQVQEVALRSMPDIVVATPGRIIDHLRNSLSVGLEDLAVVILDEADRLLELGFSAEIQELIRMCPKRRQTMLFSATMTEEIDELIKLSLNKPVRLEADPSLKRPATLTEEVVRIRRARESNQEAVLLALCLKTFKRSVIIFSGTKQSAHRLKIIFGLSGMKAAELHGNLTQAQRLEALELFKKQEVDFLIATDVAARGIDIVGVRTVINFACPRDVKTYLHRVGRTARAGREGYAVTFVTDDDRSLLKAIAKKAGSQLKSRIVAEKPVAECAQLIEQLEGQISIIIREERVEREARKAEMEIAKAENMIAHKDEIYSRPKRTWFATEREKKLLAAAAKESLDQGKSTYGVISAKQAEDLRLKEKKRREHEKNLPRKKRRRLEAQREMLEDEDEDDEEAKENDRGKKPKKGQSLVDAAYRKAKSLKAASKRGPGAGKGKKEKNEGRTKERQSLWMLLTKGKSLKAAANGVLVQAKERKRRMQDTF >Sspon.07G0017530-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:67578866:67581297:1 gene:Sspon.07G0017530-2B transcript:Sspon.07G0017530-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MCKLLVLCSATLTQMDPNAMVAACYALPVLVSVLTVRFFYVLWHSDQPASRPHTTGLRCLIVLGSDILQWSRDMLSSMHLSFPSEGAWFGMVLHFLH >Sspon.05G0012030-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:5B:39949788:39950207:1 gene:Sspon.05G0012030-2B transcript:Sspon.05G0012030-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAYSRAGRHDEVLRLFRSLPFPPTAPLFTPLISSLAASGSKSAALDAFSLLASGLGPTTSAFTALLKSIDDAPSESVYRAFFGTMAAMGCAPDAATYNCLIWMLCDSQRLDEAWGVLDSMLEEGVCPTVRSYTAILHGY >Sspon.01G0037090-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1C:15125396:15127690:-1 gene:Sspon.01G0037090-2C transcript:Sspon.01G0037090-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAYKMVKPTAPVTRRRRSRFSLVKSIKQPWLTMDGVLCPARACVRGLQATEGMDVKEECQRWFMEMKWKKVHRFVVFKIDERSRAVLVDKVGGPGEGYEELVAALPGDDCRYAVFDFDFVTVDNCQKSKIFFIAWSPAASRIRAKILYATSKQGLRRLLDGVHYEVQATDPSEMGFDVIRGRAQ >Sspon.02G0050200-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:51870883:51872270:1 gene:Sspon.02G0050200-1C transcript:Sspon.02G0050200-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DVGMDQTGSGVEVHKKEGHTFDLQAIQQLAETLNVTMEDAEKIYTSKKEIPKAKVAYEYKKGKDLMATKREIKELSPAMRKLHDYYLVDSVKAGCSSFGVCIPKHYIFEKEEEETHWVEYAALFQLFQRRDLDLQIMMMWTMHHYVLIDIKLAESRVEVWDSKRGKLSQFNDLLKVLNDVKENMDGNRMIQFEAHCMEAHTLEQPMANNECGFYVMWAMHQYLGGNMTEGNQMRNELKTQQLLDMEIRRFQDELAVFINDEVMTREGSFSIWQAKNRSSFDV >Sspon.03G0041660-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:44361528:44362070:-1 gene:Sspon.03G0041660-1C transcript:Sspon.03G0041660-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLHHVETLGEDVRARDDDHHQHQLVLRERGRRIKAAVELGLARSSASRGQQWGRALGLGRRALVVPPPEEDTSTGKRQQAPKEAMPPLQGRGDHEDDDEEEEEVVVQEKVALLRQLVPGGEGMAVEGLLEETADYIAALKAQVGVMHALTCLLLSGADLDDALRPELSAAGVLTPEKPM >Sspon.01G0040250-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:39460885:39469076:1 gene:Sspon.01G0040250-1B transcript:Sspon.01G0040250-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MYKKKMAPAPALNPPKASNKNTKHVSFAEPLVSFFGETNEVASEPPPFLILPVSCSINPANIFDPMLHEFLIHAEQGGPVKKATVTVDQELLKARKSGNNMVQDKGADAGADPLRDLLVSSFCSRSVAVQEDINVAPSPAPISPMNTTTFLHMSQSHGLASSSSATIEEGKVAQSLLPDPAPLNTPQPQPKFKSQRAVSTVTKRKSVCLSAKAQSRIGKHTLEIAQDLLVKKLGDLSPVPQAANIPNIDSFSQHFDQPLTQNTMEALQVLVEHGAKKMKKGADPKKQGPATSMEVDLFFHWDPVEINELEEEEAPVSLDYAAVADYDAPSSDNRGAE >Sspon.01G0011710-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1A:31840580:31840994:1 gene:Sspon.01G0011710-1A transcript:Sspon.01G0011710-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AWPTGAVDDGTVCTEAEQSLAVVGGAVCRRLPLGGGGLRAQSQPRVGWGRQAVRRTRKQNAAFDSPKPGVGYPAARRIAGPHPASLPVPMHNGCIDVRDGFPSPSPRLELREKKPLSVPAGLYGALTPCLDFGPRLV >Sspon.04G0001710-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:6604976:6608098:-1 gene:Sspon.04G0001710-2C transcript:Sspon.04G0001710-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitous nuclear protein, Regulation of photoperiodic flowerin [Source: Projected from Oryza sativa (Os02g0793900)] MHAPPPIRRRLTGEVRRFRHRYVPQKQKPSQRRPGRHGQETGASSKATASCTPNSTHPSVSPEPQDNATNKTQRARGRGEKSRARGAATRSRRKEAQLAWSQEAEGEREQPGMEDPVPADPPRIFWKSRRSANGRSLQQEPDKDATEETNEQAQEEPMKTDDATDTTATAEDVQPDPKANLSEKRKALFEPLEPINGKRSAEMLLPPPDFEPASYPKGWLVGKKRKLVNVDVVESMRRIAIQEMNRKDREINGLNEQLEEDSRVLELLQKQLADERKKRAEIEKENSMLHEQVTMLMNMLDENEGFDEDGEAPPPDSFD >Sspon.08G0012810-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:52562483:52569714:-1 gene:Sspon.08G0012810-1T transcript:Sspon.08G0012810-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVSTAAAPEGVLHRRIEFHLARRPHASVAVGGGGFRMETLNPDAAGKAGAGAAVGSSEGEARRPEKADAGGIDPELSVARIYLGRIGAGLENLGNTCYLNSVLQCLTYTEPFAAYLQSGKHKSSCRTSGFCALCALQNHVKTALESTGKIVTPFRIVKNLRCISRTFRNSRQEDAHELMVNLLESMHKCCLPSGVPSESQSAYDKSLVHKIFGGRLRSQVKCMRCSHCSNKFDPFLDLSLDIAKATTLVRALENFTEDELLDGGQKQYQCEHCRQKVVAKKRFTIDKAPNVLTIHLKRFSPFNPREKIDKKVDFQPSLDLKPFVSDSKGTDFKYSLYGVLVHTGWNTQSGHYYCFVRTSSGMWHNLDDNKVRQVREADVLKQKAYMLFYVRNSIGKAVARKENITANVPMKKTPEKISSLNGITQSSVKARNLNGVSPFGDKAHNTIIGYSTILSKTITGHCSKNEVKAEDAPASQNNVLPSRQAPGAQNDGGTLPTKPMQFAVNSQETASSQQPAPFTNTCGEQTVVGKPLQEMEPKAGTGKNTSVVSAIANGAATLPKADKLTSQPQTAPFSEPAPHVNGTAAEFAARSLSKKDSVVSNGVVPGIGCLTSSEKARNFPESVDQANEISKALPMSQNNTAPVIAQADSGVEISSGGIMHVAVAASCNGTTAKKVNLKSKKFVRYPVVNMWLGSRQLLLASLKPGKKAKHKRSRTIRRVVACKDTANVSCLNEQLTSTSATARSETIDSTSSRQKRSHGSARFKDDTQSSKNKKKVDGARVGSGTSAPSGSADIPKSDPSSSVDAKLVVTQPVSIRATDLMEATVPCWDDVDVPNTKVAVRQHSKRKNIGYVLDEWDEEYDKGKTKKVRKSKQDFGGPNPFQEEADYISQRRMKQKSYQGKPWNQPNTIEELRI >Sspon.01G0009290-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:28302486:28304908:1 gene:Sspon.01G0009290-4D transcript:Sspon.01G0009290-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSYSGNRSSSSSSRPITTSFDSYQFDFGTNASRSSGSRPLRDQRPGAATNPPARPATGATWTHQPASAKPSWTHQPSPAAASAATMVGPGSGPTSMVGDIFGRSWSSAAPSSGIGIPQANNPGLFSDLLGSALGSSRAQSNAPLRSAAAPQASRPAGANPNANANANSSPFSMGGMAGALPKTTGAPMGTGGYGVGGRPMKPGGMAATAAAQPTVQQKDPFGSIDPFAAKPGSMNAAKQANSVKPDQGFGAFQGVNSSAAAGFGSFQSADAGFGAFQSTGAAKPSSSTPPPAPASMPTPVAAAVNSSMDPLDNLFASTTGAPTAAAASNGGSGGDMFGEMDGWVDVEAEYGGGDSGGTTTELDGLPPPPSGLTVSAAKAKGMDSYKGGQYADAIKWLSWAVVLIEKSGKDADIVEVLSSRASSYKEVGEYKKAIADCSKVLDQNKENVSVLVQRALLYESTEKYRLGADDLRLVLKIDPTNRLARSTIHRLNNVPGS >Sspon.07G0010580-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7A:34094576:34096269:1 gene:Sspon.07G0010580-1A transcript:Sspon.07G0010580-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LMSLTVDVFRVYRGEHPFVFENALLKVSVRPDTPFLDLRTSPIGLLTDSHSSLPVATFSTAPSDRHGLFHPAKVLSSGQGVSGPHVGMSGAGPAWRTQNGVQHL >Sspon.04G0023490-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4B:13241872:13242225:-1 gene:Sspon.04G0023490-1B transcript:Sspon.04G0023490-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRDEVVGQGLRSVSGIQGTVQKPVDASVRVAQLLLRKMPPLTAKSESLLRSMSHTERRRICASLPAQVWCRQMSSRKVLGQADESPIDTAGTLKIKKAAGLHLQAETMASFSSEN >Sspon.02G0031830-2D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2D:89247386:89248579:1 gene:Sspon.02G0031830-2D transcript:Sspon.02G0031830-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMIAISAARWAVGKALGPVSDGLLESWAASSKLAPNIRALKLQLLYAQGMLDNARGRDVRSPALGQLLLELGNQAYDADDVLDELEYFRLQDELDGTYETTGAEARGLVGGLVLNARHTAGAVISKLNLPSSSCASVVCQHHRKPKLKFDRVAMSKRMVEIVEQLKPICAMVSTILDLELQGTIASTGTTALQGIAFAQSTRTTTPQIIEPKLYGRDKVKMDVIDGITSKYQANNLTVLSIVGPGGLGKTTLTQHIYEEVKSHFHVLVWVCISQNFSASRLAQEIVKQIPKLDNGKENESAEDLIEKRLQCKQFLLVLDDMWTYHEDEWKKLLAPFKKVQTKGNMVIVTTRIPKVAQTVTTIDHPIRLERLNDEECMSLFKACVFGDRGGATTWAS >Sspon.03G0044010-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3C:86029987:86030458:1 gene:Sspon.03G0044010-1C transcript:Sspon.03G0044010-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RFINELESVMPKNKEKPAAGSPLVHWIKPPRGFEKINVNAAISKNSSMASVAAVARDEEGNLLGTSALVLEGCTDAEIAEVVACHEGLALASGLGLQTFRVASDYINVVHSIHGQGFGTHGPIIQEIKSRKDGFTRVEFVHEGGSSNFNAHCVARSY >Sspon.03G0008860-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3A:24017615:24021518:1 gene:Sspon.03G0008860-1A transcript:Sspon.03G0008860-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPPPPPSYFLSFPPLSHSTSWAQIAGAPPVPPPSAPLATTATSGAGAGHALHPLAPPVAGATSGAGAGHAWAPPAATSGVAVVADVVGAVGVVGEAVVVSPRQLAHRGGHHGLLSTTRGQGAFLCGPTTLQGVRLAHRWPCLLVLHLPASPLHRRGLLPPAPRPGLPDGTKRPWHANNFCSVEFDSFGLTVKDLATRRPLLRCDSTGPLYTLRFPASASPSSLLSAAFATTSSTTWHRRLGHPGRDALMQLSHSSDIRCTRAHDEHLCHACQMGRHVRLPFSSSSHAARIFDLVHCDLWTSPVLSISGYKYYLVVVDDFSHYSWTFPLRAKSETFPAFYHFFAWVSTQFGLTIKAVQCDNGREFDNSTSRAFFLSRGVQLRMSCLYTSQNGKAERMIRTTNDILAPRSTLCVFLGYSLDHKGYRCYDLTSRRVLISRHVVFDESVFPFSTTTTPSTSGLDLSSLLPTDAVVEPPLPLFPAGSTAPCPSSPSAGASPDPAPRLSMDGDPSGPAPVIPTDGGPRPSPPAPTACFALPILVYQRRARPAAAPSPPPVAPPSPASPPEPSSSPPGTPGPSPQPHTARVEPPVYHPPLLHRHPRHVHPMVTRHAAGTLQPRALAAMPVDSQVSPVPSSVREALLDPHWRHAMEEEYTALLANRTWDLVPRPPGSNVVTGKWIWTHKRRADGTLERYKACWVLRGFTQRPGVDYDETFSPVVKPATVRTVLSLALTRSWPVHQLDVKNAFLHGLLTEAVYCSQPARFVDSSCLDMVCRLNRSLYGLKQAPRAWNHRFAAFLRSLGFVEAKSDTSLFIFHHGAETAYLLLYVDDVVLTASSESLLRRFITSLQQEFAMKDLRVLHHFLGVTVAPHPAGLLLHQRQYTLDILERARMTDCNPCSTPVDTQGKMSEAEGNPLCLHMHDPREPHLTALKRLLRYLRGTVGYGLLLHRRSSSTEMVVYTDVDWAGCPDTRRSTSCYAIFLGGNLVPWSSKRQQMASRSSAEAEYRAVANGVAEASWLRQLLAELHNPLLRSTLVYCDNVSAVYLSTNPVQHQRTKHMDIDLHFVRDRVAIGEVRVLHVPTTSQFADIFTKGLPSSTFTTFRSSLNIASG >Sspon.04G0018400-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4A:66201848:66202309:-1 gene:Sspon.04G0018400-1A transcript:Sspon.04G0018400-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVC >Sspon.04G0004480-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:13518900:13519694:1 gene:Sspon.04G0004480-3C transcript:Sspon.04G0004480-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear transcription factor Y subunit B-6 [Source:Projected from Arabidopsis thaliana (AT5G47670) UniProtKB/Swiss-Prot;Acc:Q84W66] MDSSFLPAGGAENGSAGGANNGVAQQAPPLIREQDRLMPIANVIRIMRRVLPAHAKISDDAKETIQECVSEYISFITGEANERCQREQRKTITAEDVLWAMSRLGFDDYVEPLSVYLHRYREFEGEARGVGLAPGAPARGGGDHHHHPLKSRGPGSGAAMLPHHHHDMQMHAAMYGGAVPPAPGPPHHGGFLMPHPQGGSHYLPYPYEPTYGGEHAMAAYYRGAAAYAPGNGGSGDGSGSSGGSASHTPQGSGGFEHLHPFAYK >Sspon.02G0011190-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:28743328:28746801:1 gene:Sspon.02G0011190-2B transcript:Sspon.02G0011190-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADRSDGPIGRLPEHVIVEIFIRLPVYEWVQLACVNKHFASIFQGEYMWQTAIARNWPSAGLRKRWPGPIPRGSARRRFQALYVSENLVPSDGEIDELVGHTYLYLKEQLERPTMPPSSILHGTIIDQFIACGNTGEKAHDLASKIWLAVIDSLEENQQTFVLLKRIAQEGEFFLPFPYSRSYKVLWRVFDKLFTDFRDCFSGAEYHDALSTAKSRFQPVPSTWLGH >Sspon.02G0023470-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:80087262:80091205:1 gene:Sspon.02G0023470-1A transcript:Sspon.02G0023470-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDAGDTPAGAPPRRAREEEQAGTPAYGSVVLGGTFDRLHDGHRRLLKYAELIEPVDKRIKGVEDYIKSIKPELIVQVEPIEDPYGPSITDEKLDAIIVSTSFDIAMLLIFSKETLNGGLAVNRKREEKGFPLLKVEVVDLLSGGVEGEKLSSSALRKLEAEQAQQSETRTASLEGSTTSGSRGSDYPSGVRRHLAAVKREFGGSTLQ >Sspon.02G0054170-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2C:110323738:110324358:1 gene:Sspon.02G0054170-1C transcript:Sspon.02G0054170-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VLAAIVEPAPSCAPVGLGPRSPPFFSAHFRLKRVPQPNPRSSLSRKHFSSNEMEMQGALCVDVVVACGDGGGGVVRCTARATLRGCGSVRRSIDRVGVACKLWIGDLACMEAGGRACSPSPGSRTHPREGRGRRRRSRLAMPAREPRLRRGFTGRQVAHLAVPARKLRLAAAAAPSAWTTPARRRRRMWWETGCRRKAHVFIRSRG >Sspon.05G0004000-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:12363819:12366474:-1 gene:Sspon.05G0004000-1P transcript:Sspon.05G0004000-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAAAARLLLPRVAKATATAAALVRGPLDSFSSRFRTLEPPLLRPPALFSRYLSETAFDAQALDTRVPATVITGFLGSGKTTLLNHILTSQHGKRIAVIENEFGEVDIDSSLVASHSTVTEDIVMVNNGCLCCTVRGDLVKMLLKLVKQKGDRFDHIVIETTGLAKPGPVIETFCSDELVSKYVKLDGVVTMVDCKHAMKHLNEVKARWVVNEAVEQVAYADRVILNKVDLVDDAELEALTNKIKFINGMAQMKTAKFGDVDMDFVLGIGGYDLDRIEAEVQLQDSKETDHCHHGDEHGHHHDHVHDSAVTSVSIVSEGLLDLDEVNDWLERLVDEKGEDLYRLKGVISVNESTGRFVFQGVHSMLEGCPAKPWEPDEKRISKLVFIGRNLDEAALRKAFNGCLL >Sspon.01G0017290-4D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1D:59681276:59681821:-1 gene:Sspon.01G0017290-4D transcript:Sspon.01G0017290-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSPMAEAGGSGRRRFAVACSVLSQCVRAETAAAHSRPVVQPQAAASPMLLMPGADVVSDETVPTPTPAPAPASAKLTIVYGGRVLVFDDVRADRAAEVMRVAARQDMPPGGLAADLQVARKATLQRVMEKRRDRLRTRAPDAPARASPAAPAVPKEQREKDADKWLRLGGFNGDDDGPR >Sspon.02G0038410-3D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2D:37158880:37160655:1 gene:Sspon.02G0038410-3D transcript:Sspon.02G0038410-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPAAWERRRTLLVVNLASIMECADEALLPAVYREVGAALHATPAGLGALTLCRSIVQAACYPLAAYAAARHNRAHVIAVGAFLWAAATFFVGVSDTFLQIAISRGLNGIGLALVVPSIQSLVADSTDEATRGSAFGWLQLASSLGSISGGFVGLLLAQTTVLGVAGWRVAFHLVAAISVAVGALNWFLAVDPHFPPTSESVDVPAVGKQPATARQVVAEMIEDAKLVVRIPTFQIFVAQGVSGSFPWSALSFGTMWLELIGFSHGDTAVLMTIFWVASSLGGLLGGKMGDALAVRYPDAGRIVLSQISAGSAVPLAAVLLLGLPADPSAGVAYGVVLFVMGVFISWNGPATNLPIMAEIVPEKSRTSIYALDGTLESVLASFAPPIVGLLAQRVFGYNPDDKGKSVQRDRQNAASLAKALYTSTAIPFIVCTSIYSFLYCSYPRDRDRARMQSLVESELRQMEEQGSSCLEDGNGHRHGGQRAGVTCDSKELRESELDAVRLLADHDH >Sspon.04G0013320-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:49659026:49662101:1 gene:Sspon.04G0013320-1P transcript:Sspon.04G0013320-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVERDAVYVLERDRQLNHQSVEALAPAWWEFFHFELIRKLIDDADMSIFGAIYEFNPPSSEEASVANAPRFVIAFRGTITEKDTISRDLSLDLHLVQNGLHRTSRFNIAMQAVQNVASVFPGSTIWLAGHSLGAGMAILTGRNMVKKGVLLESFLFNPPFVAAPIERIRDERVKHGFRIARSVITAGLTIAMKAKTEGNSQRFVAEESFSILSSWTPYLFVNPGDHICSEYIGYFQHRKNMEDLGAGFIEKLATQNSIGDLFFKALGWESEPLHLLPSADLIVNKMQNNVFFYSNEKCLDNVSPLPDSCSGQHSKSYTHIQW >Sspon.08G0018900-1T-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8C:11327969:11328301:1 gene:Sspon.08G0018900-1T transcript:Sspon.08G0018900-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTSMREVLGMPSSTAGRRELRRRNPYTTLTANFFTAGDDSWACTQVCPIMYDTPDSRSSSSVFTSSPPPPAAPRGSSNRTLLPTAVPASISQDEVLHDSIDGAESASTS >Sspon.02G0058020-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2D:68881141:68886703:-1 gene:Sspon.02G0058020-1D transcript:Sspon.02G0058020-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRQAPSLPSQGGHRKQKHTLVLLILDVGDQVITNHEEKAATALDFYDNLIGTEYARERTINLDILDSPSFELENLDLSFSEEVWNTIKELPSDKAPGPDGFTGRFYICCWTVIKNDLLQLFGEASGLKTNVQKSNVLPTLVARYIYARETKWKGQKAKEVEDNGFKLWYTGTTSGRNGVGILIDRSLKDGVVDVRRQGDRIILVKLVVGDSALNVISAYAPQVGLSEGTKRQFWEDLDSMVSTVPISEKLFIGGDLNGHVGATNVGFERVHGGFGYGSRNQEGEDVLNFALAYDLLIANTLFRKRESHLVTFRSGKHSSQIDFILARREDRRACFDCKVLPGECVVPQHKLVVADFRFRVHVHRDKRAKIARTKWWKLRGEATQTFKERMLDEGPWEEGEDADDMWLKMATCVRKVASEVFGVSRGGKREAKDTWWWNDEVQRAIREKECFKRLHHDKNAANIEGYKIAKRVAKRAVSVAKGQAYDGLYQRLGTKEGEKDIYRMARIRERKTRDINQIKCIKDGTDRLLVKDEEIKDRWREYFDKLFNGENEGPTLELDDSFDDTNRRFVRRIQEAEIGEALKRMKGDEVTMGIQCGISWCMLFADDVVLVDDSRAEVNRKLELWRHTLESKGFRLSRTKTEYMRCDFSATRHEEGDVNLDGQVVAKKDTFRRDRVRNDDIRDRVGVAPIEEKLIQHRLRWFGHVQRRPPEAPVRSGVLKRADNVKSGRGRPKLTWDESVKRDLKEWNISKDLAMDRSAWRLAINNLLPCEVLDFPCKYLGLPLTVKKLTKEQVHFIIDRVADQLPGWKADLMTRAGRVIQVQFVLTGILIYVAMATDLPPWAIKAIDKIRRAFLWKGRKEAKEGQCLIAWPKVCRSRELGGLGIADLKSLGIALKARWPWLKRSVPSKPWANLPIQVSKEVAGLISVAVITEVGNGSNTYFWKDKWLDGKGVQDIAPLIFALVPKRRINKRTVVEALTEEKCVEDIHGEIDVGQRTDWQGGVSLIRNSAFFVTSMRKIFIIFLWAVYSQEIFGSLLSHFGVASLAPQPSDQSFDEWWTKVDLAASGDLRKGLNSLVILGAWSIWRHRNDCVFNGAAPNVNMALALARDEAHCWSLAGAKGISLLTARGAV >Sspon.04G0005860-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:15907517:15909776:1 gene:Sspon.04G0005860-3C transcript:Sspon.04G0005860-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKARVSRTGLLVAATWAYLCAVAASAFDVPTVAFEERFSPLFGDGNLVRSSDDRSVRLLLDRRSGSGFVSSDYYLHGFFSASIKLPKDYTAGVVVAFYLSNGDVYEKTHDELDFEFLGSRWGGQWRVQTNVYGNGSTSHGREERYLLPFDPTLEAHSYSVLWAPTHIIFYIDDTPIREVIRHPDMGGDFPAKPMAVYATIWDGSAWATEGGKYKVNYKYAPFASDFSELAIVGSRADPVLRVPRRHGAHQDLLGLMTADYAVMTPQKRAAMRAFRARQMTYTVCYDAVRYADGPFPECDNSDEERESFSAWGESKTVVMRPRARGRRRGRKAGARGRADVSSS >Sspon.08G0026750-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8C:31620435:31622344:-1 gene:Sspon.08G0026750-1C transcript:Sspon.08G0026750-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVHAGPDQIRRVLIAGEGRDVDLGKMSAVAAGFISVFSAPDLANLPLLYGGMLRLLREAGEEWICEVSWLRRSILGGARAVKAGSVAATGSQVQQLRECVTGTRIDLSLSTYWYFPPKTRRNRSQTL >Sspon.01G0006690-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:17585523:17585768:1 gene:Sspon.01G0006690-1A transcript:Sspon.01G0006690-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWSDSENAAFERALATYDRDTPRRWELVAAAVGGGKTAEDARRHYAYLVNDVGDIESGGYGNPNPNPNGGGARNGNNGNNN >Sspon.05G0032280-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:15915852:15916622:1 gene:Sspon.05G0032280-1C transcript:Sspon.05G0032280-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMASTPSNPTSRLRREAVVRAVAALLRWLQKHPTPAPEPIYLIVTLKSAPVRRFEHQLRLPHSPFPSISLVSDRLPTDLPDDMDLLPSSALRSLPAATRRGLILVDRRLRVPGGSGSGKAAGAKRGVTVPVDLSDPAWAESAREAARCVELRVEGGTCRAVRVGHGAMAQEEAVENVVAAVEAAAACVPRKWRNVRALHVKSPESTALPLYSAPGTGGEDNDGDGVLEAAKPEGTPTKEEQGRTKRRKKSSMGCN >Sspon.07G0009040-3C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7C:20718817:20722649:-1 gene:Sspon.07G0009040-3C transcript:Sspon.07G0009040-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRSKEPSKKKQPKDPLLTPPSKPRGGGFLDEGRPWNRGGAAMSPAPAPASVPSYMRGTSSSDAKAGRRGRPAASVSASASPARWRPAASVSASASPARRRPAVRVLMTKGKVLFAEEAPGSAGLGRATCSSTMKDTKFPDALDLAPGATDAEGPAALRVCPYTYCSLNGHVHAPAVPLRSFLASRRRLIKTQQSMKLKGVSAFRKKSEEKTGGGSGGGGAKIAPLIDEEAVGDFFVEVYGGPRVSSDMSCSDMSLDEMDATVRRMEFVVFDRCGADEDGEKGKDLAVCDDGEPEPRLVLKEKHGAFGDSLSDCSRGHTGSDFVEVLPWLRYHGYEYDDSLDDEILEEQRIREDGVVGAEFSAEQEEEQGTSGRSSDEYKEGAAEGQEENDEENTSNLVRDQEILADQGVACRAEACEELDGRDDDDNILEAPVEQGTDDIYKSKIPDQEVTARAGATLEDGFKEDISSDQEANDDDEDECHAESDGESEVTEEQDLEDQQSMPEDGSEMEISEDTISGDGCKEDFSEEVTSTAVLAGEVSYFNSIDCRDVDINKVVIDNLNQNDCTADDVFEQYDNSADDVPEQDSSPANAQKELGNPTSKNEDAFEETAIVQQINQDSNADTQKELEIMTSILEESGITQQSNPDDDKLEPEVTVCKLKDASEESSACPESNLLNNTEYVTDDAEMGQEITRCKLEDASKETGIDQETLEDDSSTCVIVDDRNNLDINNCKSEDVSKESVTTLEADHSDNSANFSNDVQGTAEDHNSCLIDDAQNDIQIAGCKSEDTCKEFDTMKETDRSDSSANVNADSEETVEDDGSVRVSDDAQNDLKFSKCNLEDASKEPSTVQEADQSVSSANVSADAQNGSELTTSELAIITISNDKENESKLFTCNSEDVFEESIIGQEADHEDSSVYLSYGAQNEYEVTTCHSEGAQVESDVIQEDEDKTAGAEKKLESTACESGGASLKPAMHQEASGDINTTDASKYVHVTEETNQSFNVQMPEEFTDAKEPSIDDICGAFSGMNLKGDVYFDPAESSTCPRNKLIISRRRRTPEEEEYLRGFNPRAPNFLPLELDPDAEKVDLKHQMMDERKNAEEWMIDYALRRALTNLAPARKKKVELLVQAFETVLPHDEDDKKNISPSSRGGPRIISLVSDYTNVDLDAQATNSEVTAILVPVICSKFE >Sspon.05G0036660-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:89336278:89339938:-1 gene:Sspon.05G0036660-1C transcript:Sspon.05G0036660-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSRSNGGEVLLDHEYREEYVKNSRGMRLFACTWLPSKRKTPTPKALVFLCHGYAVECGVTMRGTGERLARAGYAVYGLDYEGHGRSDGLQGYVPDFELLVRDCDDYFTSVVVRSQSQSQSKRFLLGESMGGAVALLLHLRRPEFWSGAVLVAPMCKIADDMRPHPLVVNILKAMTSIIPTWKIVPSNDVIDAAYKTQEKRDEIRGNPYCYKDKPRLKTAYELLKVSLDLEHNLLHQVSLPFLIVHGGADKVTDPSVSELLYRSAVSHDKTLKLYPGMWHALTSGESPDNIHAVFQDIIAWLDHRSSDTDQQELLSEVEQKARHDDQHHHQQHGGNK >Sspon.02G0000570-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2A:2279886:2280387:-1 gene:Sspon.02G0000570-1A transcript:Sspon.02G0000570-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding YVWVNDSTLLVSTVPPSRGDPPMKPLVPFGLRIRSNEQRNIIQMRSTKEMLKDLHEEELFDYYATSQLVLVLLDGTVKPIALRAIYTSLDASPDEKYLMLTSVHRPYSSIVSYKRFLKKVELWTIDGRFVCEVCDLPLAEDIPVAANSVRKGKRSIRWRPDVPFHYI >Sspon.08G0006860-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:21216426:21217159:-1 gene:Sspon.08G0006860-1T transcript:Sspon.08G0006860-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALTAVAFSGLDAFLASAAARDPSAGNDTFAALPAALRRALSARTSLLPSFLLNLSATVPVHVRLAGSSFPASSGRSLPSLVNAAVSSAHFLSSRRPHRLAVSHTLHLDVTGPVAASKLASSAGAAVRAHLDKSPAPFHNNALSGVPYSLVDDLVAEDYRALAGSGPAEAVYIYLLDLGKQPRQYAYTAASSGTDASSPGYSRCLGPSGLVKIGTFGSTWVLVR >Sspon.04G0006530-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:18768852:18771969:-1 gene:Sspon.04G0006530-1A transcript:Sspon.04G0006530-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLKAGGAVHLHLLQCFMPQHQLQESATDQEAKESSAVSVCRRRSSSSTSPPPATMWEYHQAAHAAALQTTSSPASSFPPWSPYAGTTAALLGASSAFATDAGSSPPSMRLPAAGEHAVHGHAWSHNGEQSNITCYKENFLDLLASKNVTQEMFEDVPAGLYASQALSGRFGAGGSDVAPIKYEVTGSSLFFGSTTGMHQGMDMVGCTPRYTYAAADHHQMKEGGNQQQELDAAPAMASFLQQLSSNASAQEDSTNLPGESSIGNYPFWHEELAGSQFFRWLQQINRRIDIARSSSSGSGAASDRKKRPSKERTSTVKKSKQEGSKPSPPKQQVPKVKIGEKITALQQIVSPFGKTDTASVLFETIKYIKFLHEQVQVNQLPWGDHAAAETSKGGDEAEHDLRDRGLCLVPVSWTPEVYRDGTAMDYWTPAYRGCLYR >Sspon.01G0044600-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:83987921:83992171:1 gene:Sspon.01G0044600-1B transcript:Sspon.01G0044600-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Purple acid phosphatase [Source:Projected from Arabidopsis thaliana (AT3G20500) UniProtKB/TrEMBL;Acc:A0A178V816] VVRRRYQLLRKIGPPYLLSCHGQATSAPPPPHDTPARRILLRLRPANRGSGGGEDYVRPPPARCHRKALLSLFPWSKKKESSASDPQQVHISLAGEKHMRITWITDDNSVPSVVDYGTKEGAHFIMLGSYTDYDDSSDQYAWLKADLAKVDRKRTPWLIVLLHVPWYNSNWAHQGEGDSMMASMEPLLYAAHVDMERVYNGRLDPCGAVHITIGDGGNREGLAHRYRNPKPAWSVFREASFGHGELKIVNSTHAHWTWHRNDDEEPVRTDDVWINSLAGSGCILE >Sspon.04G0017660-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:64422066:64422827:-1 gene:Sspon.04G0017660-1A transcript:Sspon.04G0017660-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPRPSPPIRRGRRPTPSSAARRLRPLLFPAASLRDHLPVLSHCLVSGLARNPFVASRLLLASSSLSLPFSLALLAYLPASSLSPFSFNSLIRASSPRLALRLFDQMRSRGVPTDPYTLPLLISACSCSGPTLCQSLHGQGFRLGYNCHLFTQTALMNVYLACGSAVTARRVFEEMPVKDVVAWTSMVSGYVDSGLYLKAVEVFNEMRGADDLVWPNEVTVVSLASACAGLGSLEHAKALHSYVEKDWMEGE >Sspon.03G0022520-3D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3D:54646732:54648461:1 gene:Sspon.03G0022520-3D transcript:Sspon.03G0022520-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDGARHAAGAPRGGPLRRVLALTSGGAPTASAAHRVLHLLLHTSPPPPLPRLVALARWSRAHFRAPLPLRLHALLLARLASDGGLQSLLRSELHALAAGRLHSPASILRALHASSRPLVADMLVLALARASQPLAAYDAFLLAGADYPRYRPSAFSVNALLAALVRADRVDLAERAFRAALRRRVSPDSFTFNIVISGLCKTGQLRKAGDVAKDIRGWGLTPSVVTYNTLIDGYCKRGRAGKMYHVDALLKEMNQAGISPDVVTFNVLINGYCKESNITAAIKRGKVEDGVKLVEEMEELGLACTLSTLNSVLNGFCKKGMMVEAEGWVDGMAQRDMKPNVVTYNTLVDGYRRLGKMKEAAAAKDAMPGKGISPNVETYNCLITGFTTSRDWRSVSGLLDEMKEKGVKADVVTYNVLIGALCCKGEVRKAVKLLDEMVEVGLEPKHMTYNTIINGFCEKGDAKGAHEIRIRMEKHKKRANVVTHNVFLKYFCKMGKMDEANVVLNEMLEKGLVPNRITYEIINSGMIEKGYVPDIRGYAADVSKNLTSS >Sspon.02G0038260-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2B:40717308:40717544:1 gene:Sspon.02G0038260-1B transcript:Sspon.02G0038260-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEWMCGGVVVADADDFSDAFSDSFSDAECRRRASAFKEREVPSGESSRLSSGFLRVEVFLHVYLDRPSRLSAGLPSS >Sspon.06G0009960-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:55890709:55900678:-1 gene:Sspon.06G0009960-2C transcript:Sspon.06G0009960-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATIQDIGLSAAINILGAVVFLLAFAFLRLQPINDRVYFPKWYLKGARESPSHGGTFVRKFVNLDMRSYLKFLSWMPAALKMPEDELISHAGLDSAVYLRIYLVGLKIFAPISVLAFIVLVPVNWTNDTLRFSKVQHSNVDKLSISNIPVGSKRFIAHLAMAYVFTFWTCYVLLHEYGIVAKMRLRFLSSEKRRPDQFTVLVRNIPPDPDESISELVEHFFLVNHPDHYLTHQVVYNANKLAKLVKEKAKMQNWLDYYQLKFERNASKRPTTKTGFLGCFGTKVDAIEYYTSEIERIENEEAEEREKIVKDPKSIVPAAFVSFRSRWGAAVCAQTQQTSNPTVWLTEWAPEPRDVYWDNLSIPFVSLTVRRLIVAVAFFFLNFFYVIPIAFVQSLANLEGIEKAAPFLKPLIEEPTIKSFIQGFLPGIALKIFLILLPSILMFMSKVEGLTSISSLERRSASKYYIFIFFNVFLASIIAGSALEQLKSYIHQSANEIPRTIGEAIPMKATFFITYTMVDGWAGVAGEILRLKPLVIFHLKNFFLVKTEKDREEAMDPGSIGFDSSEPQIQLYFLLGLVYAAVTPFLLPFVLIFFGFAYVVYRHQIINVYNQEYESAAAFWPSVHGRIITALIVSQLLLLGLLSTKGAGQSTPVLLVLPVVTFYFHKYCKNRYEPTFVKCPLQEAMKKDTLERAREPGFDLKGYLMNAYIHPVFKGDEDDEKFSIADEPETEQVLVATKRQSRRNTPVPSKYNGSESPSLPEIVNDQRL >Sspon.04G0036800-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:48958884:48963885:1 gene:Sspon.04G0036800-1D transcript:Sspon.04G0036800-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSCGSLSSWVRRFVACVGGCFGCAQPTPIIAVDEPTKGLRIQGRSVKRRNLSDDFWSSSPHEMENSALQSQHSMSSISTAAQSNDQHASGSSSSPNEFVNQGLLLWHQTRQQWIGKRRRNSQGQQSQEPKISGNATYESLLGSSKPFPQPIPLGNGGFPRDQLGAGRPIRLELVVGPRLCLNRALAASRAQALARPAYAAAPSTDLKLHSLFSTSAADSAASGEANRREVAVSERSAPARRGGRWAWRDLRDFTPFRLVDGLGSALSQVAETLSRPLERLKPSRLLSGKVREDEARYRLRFEVPGLGKDDVRVAVEDGVLVIEGEKREHGEEGDDGEWWSASGYHASLLLPDDARADGITAEVKDGVLYVTVPRTGERKRNVTEVKVQ >Sspon.08G0026120-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:16860532:16861758:1 gene:Sspon.08G0026120-1C transcript:Sspon.08G0026120-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLAVRASLKGWKFVFLGDLMVKNELPSTLKAYRYQQHRWSCGPANLFRKMLMEIVRNKKVTLWKKIHVIYNFFLVRKIIAHIVTFVFYCIVIPATVLVPEVEIPKWGSVYIPTIITLLNAVGTPSMIKSDIYLKINIKTIGWCRSVHLIVFWILFENVMSLHRTKATFIGLLEAGRVNEWVVTEKLGDALRMKMPGKASKKPRIRIGDRLHILELGVAAYLFFCGCYDIAFGNNHYYIFLFLQSIAFFIVGIGYVGTFVPHSF >Sspon.07G0033060-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7C:57193020:57193397:1 gene:Sspon.07G0033060-1C transcript:Sspon.07G0033060-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding KIGRWWPILPGLLAAWRAFAATDRPVAVLGSALTQHPLTPVASAASCTTGKEREGGGSKALPHTRAARESTAVVRRRGGRHHATHIDRAGQPRCAVTTSGDRAQGGSRRRLHACTSFKAPKTSNR >Sspon.02G0037640-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:35109918:35110526:1 gene:Sspon.02G0037640-1B transcript:Sspon.02G0037640-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDDGAKAAATGIKQIVRLRELLHKWHLMALGAKQQQREEDEEGVPEEAVASAIPPFVLRRAETADSVLLSDDESGHSQEPPPDVPRGYCPVYVGPEQRRFVIPTSYLAHPVFRLLLEKAAEEFGFRHQGALAIPCETEAFKYILQCVERHDKGLAAGGDEAANHQTMVLEQEPAMHPA >Sspon.05G0011420-3C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5C:24160556:24161506:1 gene:Sspon.05G0011420-3C transcript:Sspon.05G0011420-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VDLCRFSVENIPLYDSADDEPTSINKDILLSSHGMVTEYSNISTVQSTENPGNAGSNEFAPIIVDKVTASSNGLAPMNVDPLTASSNEFTAMTIEPLNAMPMVAHLAENGSTEYGVQDTHDASQNSVPKAANHVNQTGSQNNSNHSALVPVKPAPKRRGRKPKRVLHKQLDIPDICDRLTSSVACEEILYCEEVKNQAVSEIDALYDEIRPAVEEHERDSQDSVSTSLAEKWIEASCCKYKAEFDLYAAIINNIASTPLRSKDDVAPREQNGLKYLENGS >Sspon.03G0014040-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:90327806:90335299:-1 gene:Sspon.03G0014040-3C transcript:Sspon.03G0014040-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LHLHTAAANCITSHTFPSLPFLSTTTTSPLIPHPPLLFPFLAPPLTSSFNSLSGSLPAERHCHTTTTMLNMMTDLSCGPSEVTEQPAAPTGSGDKQGRGKIEIKRIENTTNRQVTFCKRRNGLLKKAYELSVLCDAEVALIVFSSRGRLYEYANNSVKSTIERYKKANSDTSNSGTVAEVSA >Sspon.02G0041570-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2B:77011712:77014035:-1 gene:Sspon.02G0041570-1B transcript:Sspon.02G0041570-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding YLVIIDDIWHYGEWKSITKSLPNNNVHSRILTTSRVYAITETWRDDFTAFVYQIDHLDYNVLNEMIVKLRKDKDKGFNFNHSIGLNDNDMVAKLLQDRERFDYDHSIVGMCDYMPLAVACMSSAMTKEGERQQQQGLPVETPRGLQNRIEEQVKRSGIQNTPGFEPLVESLELGYSHLPHHMLKTCLLYCSIYPEGHSFRRGDLVRRWIAEGFVSKEEAAKEYFDELVSKGLIREDVNRGLIQKDGDHYKVIEYKMHPMMRNYLRQKLQEDDFITCSCNGVPSSVVSRLCIDYWPSSDAVDPLPGIDWHHIRSLVVFKEAKRVPYGRLQQLRVLAVQPEEYVWGVQPNSRALEDQHLKDICGLLRLRHLLVSGGGEGITEIPTEIARLQYLETLEVTGTKITTLPAEMGDLKQLKTLDVSHNNWLAELPREIGNLQHLETLRFSRANIREQPWEIIGALKKLKTLHMSGNEFAGLPRNIGELQHLKDLDVSSNKGITELPKEIGKLQHLESLDVSRTAITELTPEIGKLKHLKTLNVSGTGITDLPNEIGDLQQLEALYLREVKTIKKLTRDIGKLKALETLDLNLTDVRKIPREIGGTKKLKNLRVDDIEGTVLPFEAGQLSKLVGVPMWVRQAWKKSDRLSLLRREILSFEHYNTGGGGLILGTKHIHIPYWIKDHFNNVKSLHIRICKLEEQDLKILREMPYLENLTLTFEAVPREPIAISRGGFAKLLGLVVDSRVPRLTFQEGAMPSLFGLTFVFQFYSGPPNTDPPLW >Sspon.04G0022550-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:7285922:7292303:-1 gene:Sspon.04G0022550-1B transcript:Sspon.04G0022550-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAMASALPQEQQHQQQARPLHAGGGGANGRHHAYSRKDKSLGLLCSNFVALYNRDDVESIGLDEAAKCLGVERRRIYDIVNVLESVGILVRKAKNRYTWIGFGGVPMALRELKERALREKSGLAPLQTEQQSAATNEKLSQTVDNPSDKPGAPRCRLRSDHRKEKSLGLLTQNFVKLFLTMEVDTISLDEAAKLLLGEGHEETNMRTKVRRLYDIANVLSSLNLIEKIQQGDSRKPAFRWLGRATMPNTENGVTVAVPPPRKTTSNKRAFGTELTNIDIHRSNLDSKIQKKAKLAQSGGDVLTNCKLAVRSQLGQGKQSGFVYGPFHPAGARKHELDGGNKPGQRERAQDWESLSASFRPQYQNQALSDLFAHYVEAWKTWYSEFAQGSNIMQQHFGHSD >Sspon.02G0056680-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2D:30475564:30479798:1 gene:Sspon.02G0056680-1D transcript:Sspon.02G0056680-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPHFGSVRSSRLHPPPVPPGRRTVPPPVVPLLRWGRLDGREGCRNPSRRLEDSRVLALGAVCDLGVGRCEEAQV >Sspon.06G0019450-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:1777064:1778710:1 gene:Sspon.06G0019450-1P transcript:Sspon.06G0019450-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SMGIVKIASREPAAKSGYSCGDEDLTREDRMLLQSFPDPESVGSEQAEVDCELASSRGQMCNIPYELYDLHDLTEVLSLETWNSRLTEDDRLRLAAYLPDMEQQDFITTMKELFSGDAMFFGSPLGSFFLGLSGGLYSPQVSQARELLMMLQRRRHFHFLKWYHDGMVAKFASMSNLVRSSDTSATLGEKVHISHRRVYEKRFPCVSLSSTTPAVTIKDEIATVSSPMKRAKLTDGPLSTHCSTRHNERTHVAKSAEMNSLESQTFHPLSDPRQNCSKLPKGVLKIRTSCASVIDGSEGTHHRHGLVRADQPGTQSSRFCTPPLVFAHDVHSFPENSSHINRINGMSASSQRTPLQWEGTLEPYALMGKIPLGVQMTVPEEHHAVYPSMMLRGFYQPAANRSLAYSSEAYDTRECAHMKDLLKNFGDQNIVVHQSSPDPYARVRDSHQMNGYSSSRNAESMSEMLSLGTRIYPPHNNVSEPLETMRKHHEGMKLEPPPAKPVTEAEESRQFAYTYARRKTHKRSTMAEDTVSPGGLDSMANIKAKAIKL >Sspon.02G0024830-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2B:87364080:87365200:-1 gene:Sspon.02G0024830-2B transcript:Sspon.02G0024830-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRRTPPSKLVDDDAITEVLLRLPPEEPAYLVRASLVCRQWRRVLSDPAFHRLYAQPLLRANYGSRLPLLLVLGGRSPWLVLDCRHGRVLLQRRHVQPEDDDAGALGFLVWDAITGDNHELRWPNNVPMRSAVVLCAAAGCDHSDCRGGSFHVLCAGVGVHTTGWRAYAYVYSSQLRAWGAPVYLDLCGYRYFDEQSLAVVIGDQVYFCRFSLGEEILIYDLRRQCLSLIDVELMTTEEGLLGLASARRSKLYLWSRTANAEVEGVAGWEEYRVIDLQKKISPADNGSSIIKEANVIGFAEGVNAVFVGTDAGTFVIDVKSRRARKVCEAWILHPVVPFMMFYSPGMHGS >Sspon.01G0053340-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:55782428:55784874:-1 gene:Sspon.01G0053340-2D transcript:Sspon.01G0053340-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYYGQQQPPVGVPPPQGYPGKDAYPPPGYPPAGYPPPAQGYPPQGYPPQGYPPQQGYPQQGYPPPYAQQPPPQRPQSSGPSFMEGCLAALCCCCLLEACF >Sspon.06G0009810-7P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6C:43421349:43421879:-1 gene:Sspon.06G0009810-7P transcript:Sspon.06G0009810-7P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVNADDFFKAANLDKPRDTNKVGSNVTLINVMQLPGLNTLGISLARIDYAPLGQNPPHTHPRATEILTVLEGTLYVGFVTSNTDNGNKLFAKVLNKGDVFVFPQGLIHFQFNPIHDKPAVAIAALSSQNPGAITIANAVFGSKPPISDDVLAKAFQVQKGTIDWLQAQFWENNHY >Sspon.01G0048160-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:107215528:107217768:1 gene:Sspon.01G0048160-2D transcript:Sspon.01G0048160-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKTRGMGAGRKLKTHRRNQRWADKAYKKSHLGNEWKKPFAGSSHAKGIVLEKIGIEAKQPNSAIRKCARVQLVKNGKKIAAFVPNDGCLNYIEENDEVLIAGFGRKGHAVGDIPGVRFKVVKVSGVSLLALFKEKKEKPRS >Sspon.07G0018820-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:67977593:67982008:-1 gene:Sspon.07G0018820-1A transcript:Sspon.07G0018820-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAGDGASEARARALLQQHHPFAPSPGEYHHFGAPAAAAEEVVEAVVLRTPVTLFLTRTFLLQLKRKHNNRPGNEAGESNDLMMSPGYANAGSSPVPTPPSGKGLKPSTKPKAMKGQKSCPQTLLSFGSPGNPSTPVGGCRYDSSLGLLTKKFLNLLKGAPGGIVDLNNAAETLEVQKRRIYDITNVLEGIGLIEKKLKNNIRWKGVDDSRPGEVSDDMSILQADIDALTLQERNLDEQISEMRDRLRALTEDENNQKWLYVTEDDIKSLPCFQNQTLIAIKAPHGTTLEVPDPDEVGCKTILVFHTGDPGGMLLVANGSNFPVQVNGYPQRRYRIVLRSTMGPIDVYLVSQFEEMSGMETPPRPTQTISMDPIENPRTPLAANCNKVTGMQSNIQEVPILPSDVPSSSQDISGMMKIVPSELDADTDYWLLSDNTGVSMTNMWETGPEDEWDRIEKFNAEDFLEVGTPQQQDKPADVVDGSSCIS >Sspon.04G0028020-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:63432165:63434373:1 gene:Sspon.04G0028020-1B transcript:Sspon.04G0028020-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RWAAASPLRGRRATTRPPATATRGGSGGCGPATTTAASGTSTTGPPSTSPSSTATSPASSAPTAPPTSSSSRRRPPLPPGHTNLSLNSRPFFLCSVEKNSLRRT >Sspon.07G0007460-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:19654346:19657662:1 gene:Sspon.07G0007460-1A transcript:Sspon.07G0007460-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPGSGDCGHPAPPSPSHRVLPAGPAPPHRARHCRGTTTHLGCDGAPRACPGGGLHRQRRRASGPLRWPPALLPHGAASSRRPAPAAVLHETLALRPGRVAALAGHVGSRGRGCPSPHGHCCRLELAWEVDLVCQFYECVFHFVQELDLVSPKLQLKALKHELPCAAPVFTVNDLELQFLDMEASLNGCSHAMRRGGFEAEEERLSI >Sspon.08G0028820-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8D:17727400:17728908:1 gene:Sspon.08G0028820-1D transcript:Sspon.08G0028820-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSTSVWQNRLGKTKKCGPSVFFFGAVSGAAGFVSHEFLSALLVSRAPPVHAPWAPPGVSLPWEPSLLWEARRDSSHRTGLPAAVDCRSSSFSKDCSPIMRAFKSRARRLLDEESSDDDDSFIFTAAQIVRTFSNRKRRRGGSVPGHVVVYRDREAGHRRMYQDYLAENPTYGPELFHRRFRMRRNLFLRIMNVVEAHEDYFVQKRNAAGVLGLSCFQKVTAALRMLTYGVAADATDEYVRIGESTALESLLVGTGDASGRVEETRPQRCLSASGEGNSTTGPAHERHGRGAAPTRAGELRPLLEAPPSRRPAWERPRHATRRASPVAHMVTGEELPDLPVCAVRGATKGMNEESLKRRAQIREILGWGYWSYEIPRRRRRSVERSKCYSLYFNLQIHSRWIMEYLNPREPR >Sspon.02G0010420-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:6471612:6479872:1 gene:Sspon.02G0010420-2D transcript:Sspon.02G0010420-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAKALGCAVFLLLAALGVGAAAGASAADITRADFPAGFVFGVGSSAYQVEGAVAEDGRKPSIWDTFTHKGYSIDNATGDVAADQYHKYKEDVKLLHELGVDAYRMSIAWPRLIPDGRGAVNPKGLEYYNNLIDELLSYGIQPHVTIYHFDFPQALQDEYKGLLSPRFIEDYTAYADVCFKNFGDRVKYWSTVNEPNIETIGGFDQGILPPRRCSFPFGSGCDEGNSTTEPYIAGHHLLLAHASAVSLYREKYQAQQGGRIGLTLLGLWYEPATQTPDDIAAAARMNDFHIGWFMNPMVYGDYPPVMRKNVGSRLPSFTDEEAKRVKGSFDFVGFNHYVAIYVKADLSRLDEKVRDYMADAAVAYDMPFLKSKNQFPFGLGLAADFTTSTPWALKKMLKHLQVKYKNPAVMIHENGAAGLSDPSGVNTYDDEFRSQFLQDYIEATLQSIRNGSNVQGYFVWSFLDVFEYLFGYRMRFGVCGVEFNSTARTRYQRHSAKWYSSFLRGGELRPVALPEGAYSQ >Sspon.01G0031320-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:107588205:107589608:1 gene:Sspon.01G0031320-1A transcript:Sspon.01G0031320-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKARPPPLPSGGAGGGGGGGGMDAHAHHHHQLPPVPPPGGALMAPRPDMAAVVAASGGGGPTGGGSAIRPGSMTERARLAKIPQPEPGLKCPRCESTNTKFCYFNNYSLSQPRHFCKTCRRYWTRGGALRNVPVGGGCRRNKRTKSSKSNSSSAASASGAGGTSSSTSSTATGGSSAGGASAAIMPPHQGHGQLPFLASLHPLAGGDHYSTGASRLGFPGLSSLDPVDYHQFGASAGGGGGAAIGLEQWRLPQIQQFPFLSGRPDAVPPTMSGIYPFDVEGHGGDGTGFAGHMLGGSKVPGSAGLITQLASVKMEDNPASAAMANSSPREFLGLPGNLQFWGGGSNGGANGNNVGGAGNTGGGGGGGGGGGAVAPGSSWVDLSGFNSSSSGNVL >Sspon.06G0005450-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:18418489:18420853:1 gene:Sspon.06G0005450-1A transcript:Sspon.06G0005450-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSSHNSLSGNIPQSFNRCVNITEINWSENKLSGAIPPEIGNLVNLKRLDLSHNILHGSIPVQISSCSKLYSLDLSFNSLNGSALSTVSNLKFLTQLRLQENRFSGGLPESLFQLEMLIELQLGGNILGGSIPSSLGQLVKLGTALNLSSNGLVGDIPPQFGNLVDLENLDLSFNNLSGGLATLRSLGFLHALNVSYNQFSGPVPDNLLKFLSSTPNSFNGNPGLCISCNTSDSSCMGANVLKPCGGSKKRVVHGPFKIVLIVLGSLFVGAVLVLILCCILLKSRDRKKNTEEAVGNMFEGSSSKLNEIIEAIENFDDKYIIGTGGHGTVYKATLRSGDVYAIKKLVISAHKGSYKSMVRELKTLGKIKHRNLIKLKEFWFRRDNGFILYDFMEKGSLHDVLHVIQPAPTLDWCVRYDIALGTAHGLAYLHDDCRPAIIHRDIKPSNILLDKDMVPHISDFGIAKLMDQPSTASQTTGIVGTIGYMAPELAFSTKSSMESDVYSYGVVLLELLTRRTAVDPSFPNSTDIVGWVSSALSGTDKIEAVCDPALMEEVFGTVEMEEVRKVLSVALRCAAREASQRPSMAAVAKELTGARPATGSGGGRSLSRSNQGRP >Sspon.03G0030270-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:20280827:20292590:-1 gene:Sspon.03G0030270-1B transcript:Sspon.03G0030270-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPGSAVYHVVEAMAPLYTAAVLGYASVRWLKAFSDEQCAGINHFVALYAVPVLIFHMVSTNDPYHMNERLIAADTLQKAVMLLALTAWAFWSHFRRRRDGKASASPIKWVVTNFSVASLPNTIIMGVPLLDGMYGSVSGGLMKQIVVMQFCIWYNVVIFLYEFMAARDGTAKISPAVPAKTADENHDRSMVDENGGSSIHRHAADRSSHHQVVVNIEITEVAAAAAVASTPPTAPVDGAAAAKELMSADDVGDGDANKAATDVPPPTPVQPQVPSVMHVVWMATKKLLQIPNTYASFLGLIWSLMAFKIGFSMPKIVSDSLFIIYTTAVGLSMFASGTFIARQSRFVPCGYTIASLSMLLKFLIGPVIMLLASLAVGMHGTLLHIAVVQAALPLAVTSFVYAEEYKVHADIMSTGFAMAPLYTAAVMGYASASSSAASPIKWVVTNFSVASLPNTIIMGVPLLDGMYGPVSGELMKQIVVMQFCIWYNVVIFLYEFMAARDGSAKISPAIVPVAADDNHDCMVVNENGGGSIHHHAAADRSSHHQQVVVNIEITEVAAAAEVASTPTAPVDGAAAAKELVSADDVGDGDADKAAVDVPPPTPAPVQQVPSVMRVVWMATKKLLRIPNTYASFLGLIWSLMAFKCVISQSCSLMIALICYLFRIGFSLPKIVSDSLFIFYTTAVGLSMFASGTFIARQSRFVPCGYTVASLSMLLKFLIGPVIMLLASLAIGLHGTLLHIAVVQAALPLAVTSFVYAEEYKVHADIMSTGVILGIFTSLPVTIVYYILLGL >Sspon.08G0018540-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:6550534:6556371:1 gene:Sspon.08G0018540-1P transcript:Sspon.08G0018540-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPPPFESAPPPPAAPPADPELQKRIDKLVEYIAKNGPDFEAMIRDKQHDNPDYAFVFGGEGHAYYRYMLWLLPRPPVPAPYPPGSMHMMPPMGPMMRGPPIHQPGYPPFYDQHQQFAAAHGHGDYEAAAQPFKGLSGPLPVDVAAELQDVLNNLNGTKESIKGAKSWFMQRLPFAPALAEALKERVFVLEDSERQLHIIFLVNDILFESLQRRTNIRDLDNEAISFKSVLGSMLARVYNNSQSKDDNQTRVEKILQFWGSKEVYDQETIANFEREMKGGLPYPLVPRHVSPDPSTFSGSVPLRSKWSSEPPEKDKAIHPVSGATQSVPSAQFPANQLPAGVYPPVGQTTFAASLPVQPSLIPSVAPQSTAATNDSNPPPYPLFPPGLIPGMVRKMQIGSGVPYSPLSPLDIPTTIPPSTVPESEILERVSKFFSDIGEVNPSEGPMRQSEPDDYDDYERELPARKGGACIPPPPNLLVTNPETGMRADGSVDSKPGSSGRLGLGASADPNEVGQYDDVYSSYRKQRSTTYHSSITARSSTSKLIVTL >Sspon.03G0020660-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3A:63955747:63960663:1 gene:Sspon.03G0020660-1A transcript:Sspon.03G0020660-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRSPSLLLLLSSLVTVSALSAIEGDEETTLLAFKAAAISNGYNDPLASWNHSATADTTAGHTQPTPRHRTPPRAPPLPARAPGRSPRATTAGPRPARTPPALASRTLSRGPRSATAPPLRCGSWPVPGRATGPRAPPRPGAPPPVLTQAAAGPAGAPRCAAARLPLGRREGEEGRMSRGGEEKERRKKKRKKKGRRGGRRGGRRGGEEEGTAAAPANHWAPGPQHRSTHHRP >Sspon.05G0025850-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:28049608:28061086:-1 gene:Sspon.05G0025850-1B transcript:Sspon.05G0025850-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDREEDEELQMALRMSLQGSPPAQPEPKRSKPPPPAAESPEAEARRKQRELMAAAAEKRLRAAASPAAVSVARSSPQPVVLEPAAAPEASKEQEEEPEQAGVSMEEAKEVEVEEEEKGEELPPDVAENLWAMVFGAGVSKAVLAQWSNQGIRFSSDPETTMGLAYVLKYLLFFSDDLSNPEFSNPLYTLGQRRFYQSSFAAGDDFSSLSDDRKTRALVHAMVEILFLCGTGKRAVKVLRISTFTSRKDAFNTLVANIPLFESRLGAMLFLISALLSRGLEDIQADRDDPSQPLVTAPFGHASQEIVNLLLCGEAVPNVFDGKMDLGGGMSLKGIPNSVEVGFLTLLESLNLCKVGQYLKCPKWPIWVVGSESHYTVLFALNPNVQEENELEERESKIRRAFDAQDQSGGGGFISVEGFQQVLRDTDINFPSDKLEDLCNAGIIVWSEFWQALLQLDKRAGGMKDPTGLMGKKKFTIFHFNGIAKSVLNGNASAGGSCPIQRPRLCKLNVTVPPRWTQDEYLADVVSASTSSSKDDSILSLAPPVQTNQHAPLVDCIRTRWPRAVCSWAGDVPSI >Sspon.01G0008710-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:25385526:25386515:-1 gene:Sspon.01G0008710-3D transcript:Sspon.01G0008710-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEESGAASRYYCHMCSLIIRPELGIEEVKCPHCHTGFVEEMAGDRRGSDGAAIRGRATAGEASVNASDAALEREVSLWAPVLMDFIAASSGRHGLDGGGGGGAGGDLAALARRQYRNIALLQLLNALQEGDADGGRERVVLMSPADARAMLMGQDRRDGDARAAAAALGPGGLTLGDLFLGPGLDLLLEYLAETDPNRQGTPPARKEAVAALPTVRVREAFTCPVCLDEVAGGGEAREMPCTHRFHDQCILPWLEMHSSCPVCRHQLPTEEPAAEAIGSGRGAGVESSGNARGGDGGSGGGRRHWFSWPFGGLFSQRSNGNSSSSSSS >Sspon.01G0039500-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:35164357:35168098:1 gene:Sspon.01G0039500-1B transcript:Sspon.01G0039500-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGGRFFTGFTRLCKGLAVILFLAHILVHLFPSAATYLTLIPARTIPFAWNLVTAGYIEQTIPGVIVSIVGLLLFGKVLEPLWGAKELLNYTPVSGFYGVLSGLLVGIKQILPDQELNLLVLKINAKWIPSIVAFISVAVSFFMKESMSYLPIILFGIYMSWIYLRYFQRRLEVGLKGDPSDEFSFSSFFPGFLRPILDPIASIFHKLFCGRSARPEGTGQTLDGSQFPGSGSIEANRRRERGQRALEQRLAEKLAAVRNAEGTPPPKQQQQREDAEDDASDKV >Sspon.07G0034440-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7C:72529538:72529907:-1 gene:Sspon.07G0034440-1C transcript:Sspon.07G0034440-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SDPEIEAALLEMVCWLDIHGKQELSHLTPGVTYEVVFEVKLTTLADGWNVPVNLLLKLPDGTALQRKENLQEKPREEWLPLKVGEVEAKQELKGPMEISLSEHGGHWKGGLLIKGIRITPKK >Sspon.06G0015610-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:85790935:85793505:1 gene:Sspon.06G0015610-1A transcript:Sspon.06G0015610-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPRREVQRTPKAVPNPSSASLSRLHTPPPAMAASADDVAPSTASAYLDPSYWDARFGKEEHYEWFKDFSHFRHLLAPLLSPSLSVLEVGRGNSRLGEELLREGVAGGITCVDLSPVAVQRMRDRLAAQGTKGVDVVVADMLDLPFEQESFDLVLEKGTMDVLFVDSGDPWDPNPTTVDSVMKMLKCIHRVLKPEGVFVSITFGQPHFRRRFFEAPEFTWSVEYSTFGDGFHYFFYTLKKGKRSLESSSFQNTLPTAPRINMVHEELESEDFIFLTNL >Sspon.01G0037780-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:22003256:22008353:-1 gene:Sspon.01G0037780-1P transcript:Sspon.01G0037780-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSTDHGGAGGRGKKQAGSQLWKKALLHSSLCFVMGFFTGFAPSSVSDWTSAAVAAGRVGSSHVVRALPTGGGGGGGGALNRSLLAHGGEDLLLGDPASPRPLLVVVTTTESTPAASGERAAALTRMAHTLRLVAPPLLWVVVEAAPDVPATARLLRATGLMYRHLTYRDNFTAADAAAGKERHHQRNVALGHIEHHRLAGVVLFAGLGDVFDLGFFDQLREISNSTAPASASTARPPEVDVHGFAFNSSVLWDPERWGRYPTSEPDKSQDSMKFVQQVVLEDLSKVKGIPSECSEVMVWHVDNTAVPSSSSSQPSMHNKRR >Sspon.07G0022510-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:5910326:5914360:1 gene:Sspon.07G0022510-2C transcript:Sspon.07G0022510-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIRELRDGLEVEDDEREEGLGGDGEVVAVVRLRAKRALVGAGARVLFYPTLLYNVLRNRFDGEFRWWDRVDKYVLLGAVPFSSDVPRLKQLGVRGVVTLNEPYETLVPTSLYQAHGINHLEIPTRDYLFAPSLEHICRAVDFIHCNEMQGGSTYVHCKAGRGRSTTIVLCFLIKYRNMTPQGALDQARSVRPRAVKMFSELNGRCLSIQSSNPTCSAVSYEESSELSSMRSSRCLSIQSSNEGTITSDEESSESSFGDPEFDGYVTTEFDSEHFVLPCCRSMLSRPTSPTGCSDAVLITEADLEGYETYADDGKDVVEVQVVVRHKPIMRKLTCFLGSLKLTGNCEPPAGRLTEVRAC >Sspon.01G0027400-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:96021320:96029976:1 gene:Sspon.01G0027400-1A transcript:Sspon.01G0027400-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLSHGVGGSDESVHSTFASRYVRTSLPRHVFRMPEQSIPKEAAYQIINDELMLDGNPRLNLASFVTTWMEPECDKLIQASVNKNYVDMDEYPVTTELQNRCVNIIAHLFNAPLGDSETAVGVGTVGSSEAIMLAGLAFKRRWQNKMKAAGKPCDKPNIVTGANVQVCWEKFARYFEVELKEVKLSDGYYVMDPQKAVDMVDENTICVAAILGSTLNGEFEDVKLLNDLLTKKNAETGWDTPIHVDAASGGFIAPFLYPELEWDFRLPLVKSINVSGHKYGLVYAGIGWCIWRTKEDLPEELIFHINYLGADQPTFTLNFSKGSSQVIAQYYQLIRLGFEGYKNIMENCQENAMVLKQGLEKTGKFNIVSKDNGVPLVAFSLKDSSRHNEFEISDFLRRFGWIVPAYTMPPDAQHVTVLRVVIREDFSRTLAERLVLDIEKVLHELDALPARVPSGDLAALAAAEESEREMEKKRQVISLWKRAVLAKKKTNGVC >Sspon.08G0016890-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:61586336:61599816:-1 gene:Sspon.08G0016890-2B transcript:Sspon.08G0016890-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSGELPKGGSAGPTPAAVRHEGWMVRHGRRKIGRSFFHTRYFVLDNRVLAYYKKQPRDNMIPLKSIIIDGNCRVEDRGLKTHHGQMIYLLCIYNKKEKENQITMGGYNIQDTLAWKRKIELLIDQQQDTTTAKHRKAFASLDFDIDLGGPFSFSDHDSGQVKPEDEDEEEPRPTLLRRTTIGNGLRIFEELVEVEYLARSCSRAMRAVGVVEASCEAIFGLVMSWSGPEIFVMYDIGGATMMEAMLCCFDLPNTRTVALNQDLWRFQDFPSQKPQRETAHSRLREYFSQTDEIHTVPRIPVMHTMVNALSMKKDQNLQEPDSKTKQTDSKHLDMVDEESEDDDDYQVPEADLEEEPTKSDSDAKSSDPIDLSWFSGIIRQDTNEKSRNCWAVPDSKIFKVRSKTFPHDKSKVQAFNLAGNQVPAGKYLMELVAIDWFKDTKRMDHVAADKGMFTFLIPGPSHYSLVLYFVSNSLEKGSLLQRFADGDDDFRNSRLKLIPSVPKGSWIVRQSVGSTPCLLGKAVDCSYLRGPDYLEVDVDIGSSAVANGVLGLVFGVVTTLVVDMAFLIQANTYDELPEQLLGAARLSHIEPSAAVYPDLENIS >Sspon.06G0004810-3C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6C:13399170:13400346:-1 gene:Sspon.06G0004810-3C transcript:Sspon.06G0004810-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding FPRSIPIAQSNPLASLCGAPVPPAASGEPHGSAYFRRQAAGGGAVYEHRREAPDGTRPGGLQAVRCASPLLRPRTTGADDLLAAADALLADKRRRLPSGALDPYAVLGLDSAVPASREPDVVHSQYRRLSFLLNRSHPDCPCSLAFADAARLVADAWAFLSDPLRKASLDSDLDAAAAATNAAAAAKAAAKAAAARVPTAPSREAASAAVTVTAAAAAAATCFAATADCVGHATTKARATAAGCQNAGDAACSAATADRVGHAATKAGRPPRAAKTPPETERNQEGEAVQAPAFWTVCPSCCRLHQYDRSYESQTLLCPAAAGRLLQRQCPRHHPSCQAQTCTTAPGVLPNGIPWWPCIRRTTQFAAAEGARCPRILSNGAILAIAGPKWH >Sspon.05G0012110-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:30006595:30014742:1 gene:Sspon.05G0012110-2B transcript:Sspon.05G0012110-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASCDDDFGLLGDDAHQPATPPPAPPTVQQPAPPPSQQAAHPFSFTVAPAGAAAGAGSFAQVQEDSNHHAERGKPAQHAKRGRERAEEFSSDGGEYCSYINSSGSGGGGKKGRGGGGISSVHDLYRKDREEWTDGAISSLLDAYTDRFEQLNRGHLRGRDWEDVAAVVTDGQGKTTGGKSVEQCKNKIDNLKKRYKVECQRLTSSGGGATSHWPWFKKLEQIVGDSVSPASSKPLAAAEDVKPRQQQQQHGSKRWFIFTSLLDQFGDQLVLANVCHCRYPLSSTGPPSGVGSSRTNPLSNPRWKRVLLKIGGTALRGAAPQNVDPKVIMLIAREVQVACHQGVEVAIVVGGRNIFCGDNWVAATGTDRASTYPIGMMASVMNSVLLQASLEKIGVETRVQTALMMQEVAEPYIRRRAIRHLEKGRVVIFGGIGAGTGNPLFTTDTAAALRASEINADVVLKGIVGDDESGCPPRSNSNASFEHISFRELAARGITKMDMTAVTCCEENNIPVVIFNMLEPGNISRAICGDQIGTLVDQSGRIT >Sspon.07G0031270-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7C:21458372:21459841:-1 gene:Sspon.07G0031270-1C transcript:Sspon.07G0031270-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVDPAPQQAPSSSSAPRIKVLLKPGDLDLKSRREKDIYQQLKGRYFIHTPTLDPLLLLETGMGTEFDLIFRMLEWTNFWDITELGSRLLTIEFLCTLQICEGGIVFRMFKQDIMLSWRELSDHLGFSPRCLLDIDTGLPNFDRNQFWREISRDLSFNQPRTSDMEHPTLRMFHKWLGYNLFFRDDIRKVRIGDLQLLYAAINKTAVSPVMLLVSHWLAIPTLQGPVGCTSLVTRLATNLNLLENSSLEFIDESRTYHGYDTFRQARMLKREIGIMYMLYDNDVKVRLPNPSLGLYAVQNYLIDVAAEPVNQRVPQRVASERITTHQRHDWSGADPGPEEAAHLHYRDYNPRVLRDPWVQSTQPPEPTSEEWPEGQDHQWANPPYTAGRFSADPYGASTSRTQPHFDAGRYSDASYVFTGDYYYDTRAFHNRTDNALLNIQNQQAEQMRRWEAQEKWNQEQAAQIQGLREDTATIENNIASMMSFFNIE >Sspon.02G0036570-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:21254905:21255372:1 gene:Sspon.02G0036570-2C transcript:Sspon.02G0036570-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AGVREEEFDEGDVWDVLQDDNRPAALMATTPPRIRRGSNKSKKVAVSSKDESVAAAGGAGAAGARGKGRSSAPVAIPAGSSRSARRGGNSEEDEEEEEEEDDGGEMLPPHEWLARKMERMGVASPPDQACRPGRSKGRELTKVRDAVLPKTAFSER >Sspon.03G0027610-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:6464192:6464590:1 gene:Sspon.03G0027610-1B transcript:Sspon.03G0027610-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding CCLIELEWLENTPWLHVLVHQESFQPPYAVNQISVASLVAVSLMQIQSLHYHEQLHCCLCA >Sspon.08G0015510-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8A:62082748:62083511:1 gene:Sspon.08G0015510-1A transcript:Sspon.08G0015510-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATEKRSRMARSSNRVPQHSFFPFTVLLPPRLRCLPPPHHYRARVWPIAELLRNPSAAAAASFSIFGFRDELQPWRPPP >Sspon.06G0033190-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6D:9962680:9963070:1 gene:Sspon.06G0033190-1D transcript:Sspon.06G0033190-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLTGCHLPLKKLVQWTVDIAHDLCFLISAPRPKGIGVIRKWSVPPDGWIKCNIDGAFYTDMGQGATGVVLWDHACTFRGGKTQWYRHGLNALSMEATACRDGVVLAGEMNFRRERVETDSQELVKLWKM >Sspon.08G0021970-3D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8D:46241144:46241836:1 gene:Sspon.08G0021970-3D transcript:Sspon.08G0021970-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALIPIKQLDSPCGYLRWKESVLLRVHTLGVARVLFEDRPTGDGDDAASQAAAKKWARDDAVCRGHILATLSDRLLPDYQRFATAADLWRALGRTYHVDTPRVWRDKFDAFEFEEGAEGVLLEQIAHAEALGVAARLPEGLVAYELCGRLPEVVSDAVVMRSGPDNEMTMSLVWDVARRVVASGVGPERLWRTTRVMADEAEGCCSDGPKPEQSTGRRNRGEPGHVAKNSR >Sspon.01G0010910-1P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:37584277:37584846:1 gene:Sspon.01G0010910-1P transcript:Sspon.01G0010910-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCCSASCLNSRHSFRQVLATLLSLKTSGQGARQLLLCIGSEPRRTLHLLICAEPTAVAVANCFPVDATGGSAGVGARSRPRTMSSLLRPLTMAAGCTTRRQTKAEMANSLDHLLALAMALLLLLLLLSWRHATCAACYIAPNKATPGPKAQRDKGGMSKAAYRRF >Sspon.01G0033820-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1A:113735251:113735935:1 gene:Sspon.01G0033820-1A transcript:Sspon.01G0033820-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MCHLLRLSATVMVKAMVNKGTRENFLTLFSIEFSLCCSLQSLVRVPCALTRVLGEQASEAPSTYVPAQVDGQSSFRGSASARLLFVRSGDLDRATAFFPRANDYVDTCSWDRTAYLFPRRA >Sspon.07G0026770-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7B:55258789:55259293:1 gene:Sspon.07G0026770-1B transcript:Sspon.07G0026770-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTQDNYINIKEELESQICVPLDPPIIHAAPKLRRSKTPKSGFTPRRSVRLAAKPRAANATIQAQNVLLQKLGIAVDIDAVDADVVQKFRAAFMAPMSASKQNALQMLFSGDFDPAAMNLDFAGFDAEDR >Sspon.04G0017810-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:64741024:64742193:-1 gene:Sspon.04G0017810-1A transcript:Sspon.04G0017810-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAQQRGMLNIMFQLMITVGILTANMTNYLGSKVPGGWGWRIAVAFGAIPAAVIALGALAIPDTPTSLIERGDTATARKTLLQIRGVGDVREEFDDLSTASEDAKAVESPWRELFFGGKYKPQLTFALLIPFFQQLTGINVIMFYAPVLFKTVGFKQNATLVSSVITGLVNVFSTFVAVVTADKVGRRALFLQGGTQMIISQILVGTFIGLQFGMSGTGDISEQYAMCIVLFVCVYVAGFAWSWGPMGWLIPSEIYPLAVRNAAMSITAAVNMFFTAFIGQIFLTLLCHLRFGLFYFFGAWVLLMTLFIAMLLPETKNVPVEEMAHVWKKHWFWRKFVIDTSNDARSAEMRKRIALEMS >Sspon.02G0036890-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2B:27807982:27812029:-1 gene:Sspon.02G0036890-1B transcript:Sspon.02G0036890-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCEDKRSSAHSLPLLLPSTLRARARALVAQLGDRRLRRPTPLARVLVGSFARTKPLQIWDKEVVNGHIKRPQDEDIQSNVLEIIGTNVQSTFITCPADPSATLGIKLPFLAIIVKNLKKYFTFEIQVLDDKNVRRRFRASNFQSVTRVKPYICTMPLKLDDGWNNIQLNLADLTKRAYGTNYVETLRVQVHANCRLRRIYFSDRLYSEEELPPEFKLYLPIQVSSLNWGLLPSDPLSTTQ >Sspon.06G0014160-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:88899624:88902209:-1 gene:Sspon.06G0014160-3C transcript:Sspon.06G0014160-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTEVITTMIYMVFLVHFAITISPNTQTSWLFSLVYLSLAVVAVTIPFVVTTTRHAHRNAGAAATIPGPRGWPLVGSLLATSGPLMHRRLATLADAHGARRLMSLTLGATPVVISSHPDTAREILSGAAFVDRPPKGAAQELMFYRAIGFAPTSEYWRRLRRIAGAGMLSPHRMAALGGLRCRIADDMVRRVADQMERSGEVAMRALLQRASLESMAGSVLGLEGVVVSEELGEMVREGYELVGMFNLGDHYYKTPWGPLMDLWGVGPMCRGLAARVRGYFGKIIEERRVVGDYHKRDDLLSYMLSLPEEERLEDSDVTAVLWEMIFRGVDVVAILLEWTMARMSLHPDIQSKAQEEMDAAVGLRRPITDSNVPSLRFLHWILKETLRMHPPGPLLSWARLAVQDAQVGKYVVPAGTTAMVNMWAISHDEAIWGDPWVFRPERFAAEEVSVLGSDLRLAPFGSGRRVCPGRMMGLATAQLWLARLLQEYRWMPPPANKPVRLAECLRLSMEMKTPLVCRAVPRRRGGPAAA >Sspon.07G0005070-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:11990875:12000400:-1 gene:Sspon.07G0005070-2B transcript:Sspon.07G0005070-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRTVLSDEEEDEIEADEEDPRSSRRGRDDMDEHDDDDDDEDDEDGQDEFEKDDFIVDDEEEEVEGEEEEQKSDDERRRKKKKKKRESEDFMLDEDDYMLLQDNNITGISRPKPGNKFKRLKKAGRESEMDERSGFSDDDGTGKKRSGKERVEYSLFGDHQDAAPFEEDFEDDQQAGEGEEEVGDDEDEMADFIVEEDEIDGNGQVVRRKKFKKKVPRQAAGVSSSALQEAQDIFGDVDELLALRKQELEREAANSGELRGARLEDEFEPFILAEKYMTTKDEQIKENDVPERIQLSEELTGYPPTDKTMIEEESLWIHSHLTGDGFLSFFGNERMNKDIDQKDIVNVVTMLHVNKFEIPFIAMYRKESCPTLLKSLDSDEGNDEGNEDNKSDARKMKWHKLLWAVQTLDRKWLLLQKRKVALQLYYEKRFDDEKRRIDDVTRQELNSQLYNSIIEALKDAKSEKEVEDVDAKFNLHFPPGEVEEEGQFKRPKRKSLYSICHKAGLWEVANQFGRSAEQLGHHLTLTKIPEAGELESGRHSPEELAANFTCAMFETPQDAAVEIGCEPIVRKHVRGIFMNKAVVSTSPTPEGNTIIDPYHQLSGVKWLREKPLSKFVDAQWLLIQKAEEEKLLKVTVKLPEDAKKKLMSEARENYLSDCVSKSAQLWDEQRKMILDDAFFNFLLPSMEKEARSLLTAKAKHWLHMEYGKQLWSKVTVAPWRKKDADKKDADIDLDDESELRVMACCWGPGKPATTFVMLDSSGELVDVLYAGSISNRSQGVAEQQRKKNDQQRVLKFMTDHQPHVVCVGASNYNCRQLKDDIYEVIFKIVEDHPRDVNPQMENLSVVYGDESVPRLYENSRISSDQLPGQSGIVKRAVALGRYLQNPLAMIATLCGSGKEILSWKLHALEQFLTPDEKYEVVEQVMVDATNQIGFDVNLAASHEWHFSTLQFIAGLGPRKASALQKDLVREGSIFSRKELVKPLGRKVFMNASGFLRVRRSGAAAASAQLIDLLEDTRIHPESYVLAKNLAKDVYVEDAQHEINEMDDDEQEMAIEHVRADPKMLKRLEIEEYIKSIPEEYRKLETLLDIKEELLSGFSDWRNPYTEPSPEQEFWMLSGETEDTISDGRIVQVTVRNIQENKIICTFDSGLKAIVMADNYSDEGFDPESSQLREGDVLTGKIRNVNKNRFMVYLTCKASEMRRRPFSRGDQDPYYHEQDMTSQTVEDKARKQKELAKKHFKPRMIVHPHFQNLTAEEAMQFLSDKEHGEKVIRPSSRGPSFLTLTLKIFDGVYAHKEITESGKDHKDITSLLRLGKTLTIDNETFEDLDEVIDRYVDPLVGHLKSMLSYRKFRKGLKNEVDEMLRAEKAENPMRIVYSFGISHEHPGTFILSYIRSTNPHHEYIGLYPKGFRFRKRDFDNIDRLVSYFQKNIDKPPPDAGPSMRNVAAMVPMKNSAWGSGGGGGDANGGWRGDGSNDRDRPFSGRSGGRFDSRNSSGGRGRGRGRGRGNFGNDDNGGGGWSGGAGGGNSGGWTDNIGSGGSGWGTGGSGGSSWGGGGTGGGSDAAGWGGAGDDSNRGGGGWGSAASGADSAGGGNGAWGAAAGGSNDSGWGSAKKAVPAQDGGSGRGSGGGSGW >Sspon.08G0011280-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:49180604:49182345:1 gene:Sspon.08G0011280-1A transcript:Sspon.08G0011280-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIEDLANDRPTATDSGCDVKAHFVFIPLMFQGHLIPAVDAALLLATHGALASVVVTPSNTGRIRPTVDFARKSGLAVRLVELPLDLAAEGLPDGADDVDKVPPGLWTNYFRALARLREPLERHLRAHAPYPTCVVADFCHPWARELAASLEVPRLSFFSMCAFCLLCQHNVERFNAYDGVADDHELVVVPGLEKRIEVSRAQAPGFFRGIPGFEKFADDVEQVLAEADGIVTNSFVEMEPEYVAGLAEARAMKVWTVGPVSLFHQRTATLASRGNTAAIGADECLRWLDGREPNSVVYVSFGSLAHAQPKQVLELGLGLEASGHPFIWVVKNAEQYGEEVGEFLHELEARVAGRGLLIRGWAPQVLILSHAATGSFVTHCGWNSTMEAVTAGLPVVTWPHFSDQFLNAKLAVEVLGIGVDVGVREPLMYQLEEKEIVVARDVVEKAVRDAMHGGDEGEERRRRARALAAKARTAVEKGGSVI >Sspon.05G0008690-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:25952218:25960781:-1 gene:Sspon.05G0008690-1A transcript:Sspon.05G0008690-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGSTNPFGQSSTNPFGQTSFGTPQGFDQSSTAANNPFAPKPFGSPTTTFGAQTGSSLFATTSTGAFGQQQSTPGFGTTSTGAFAQQQSTPTFGTPSSSPFGSSTPAFGASPTPAFGATSSGSLFGQKPSFGGFGSSPSQSSPFGSTFQQTQPTFGSTTFGASTTPAFGTSTTPAFGTNTPAFGTTTTPAFGATTTPAFGSTSTSLFGASSTPAFGSTAFGTSATGFGTSGTTAFGVSSTTQGFGSSSTPSFGTSASAFSFGSSPSFGQTTVSSGSTPFGATPSPFGAPAPAFGSQTAPAFGQPQFANQAGGTRIKPYAQTPDVDSATSGTQPAAKLDSISAMPEYKDKSHEELRWEDYQRGDKGGPNPSGTPAAAPSFPSSQQNAFAPTSNPFNPPATNPFSTINPFAPKPAPTSTSPFNTSFNNASSSPFTSSTSTTMFGQTGVSTFPASTSPSLFGNINLASGTSSLFGTSVTNNPSPFGTGSSLANTQSAPLFSSSFAQPISSTPAFSSGSLFGSTNPGNLTSQSSMVMQPAPVSNPFGTLPAMPHMSIGNGGSSPSVQYGISSLPVAEKPLQNRALSMAVPRHLSQRRIKLLPRKYNTISDGKVPFFADDEESPTPKADAFFIPRENPRNLVIRPIEQWPSRRETDRQSTLKNATDLNKYEDACTESERGKSAMSPSSRPPAVENDNQHEARAMAQHGNGNVTSVERLLPKLPRADYFTEPSIEELAAKERAEAGYCSWVRGFVVGDMAMAASKTDVRCLDLESIVEFNNREVIVYKDDSKKPPVGEGLNKAAEVTLLNIKCINKKTGEQYCEGARVERYREMLVKKAEEQGAEFVSFDPAKGEWKFRVKHFSAYGLW >Sspon.02G0037190-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:30499889:30500380:1 gene:Sspon.02G0037190-1B transcript:Sspon.02G0037190-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SEPVPTAKSKSASPFPCASALSSPSPSGGRPAARTTDDRASRRDVLLPEQGVLHLHAHRAGAGGRRPRLRLRHLHPRLPQAHQQHPPPGRRRRLLPRLRRPPRPAAVLEGPPPPSPGSRACLAGRFRPARPLSMELDELPPPPPPVKRIGIMGFSLLAAFGFV >Sspon.01G0014300-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:40293351:40296268:1 gene:Sspon.01G0014300-1A transcript:Sspon.01G0014300-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:8-amino-7-oxononanoate synthase [Source:Projected from Arabidopsis thaliana (AT5G04620) UniProtKB/Swiss-Prot;Acc:Q8GW43] MEQWDALVDAALATLEARSLLRATRPIALASPPATPQTFDGPGPWDRAAVEIRLDRDTLHQWLAEGGEASGHEEELDGNLILFSGNDYMGLSSHPAVREALVQAARDYGMGPRGSALICGYTAYHNLVEKSLAELKKKEVPIDVFNFYFVLFYFIFFCICTIISSALDPYCVVVLQDCLLCPTGFSANMAVMTALGSISSLLSRGRKPAEHERIAIFSDALNHASIIDGIRLLEQQQEAVVFVYKHCDTFHLDFLLSSCSMEKKVVVTDRQVITDQVLVFLLMLMTRDCFLFSMDGDFAPFPDLVKLRRKYGFLLVIDDAHGTLVCGENGGGAAELFECEKDIDIGVGTLSKAAGCQGGFITCSTRWKRLIQSRGRSFIFSTALPVPVVASVHAALYVSRKERWRRSVVWRHVQYFASLTKLEITSPIISIVVGSEVAALRAGRHLLRSGFHVTPIRPPTVPPNSCRLRITLSASHSSEDIKRLVDALTPWLPVKHSEGSYAAASKL >Sspon.04G0018470-5P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:5050778:5054355:1 gene:Sspon.04G0018470-5P transcript:Sspon.04G0018470-5P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGMAPEGSQFDAKHFDSKMQELLSTGDTEEFFTSYDEVFESFDDMGLQENLLRGIYAYGFEKPSAIQQRGIVPFCKGLDVIQQAQSGTGKTATFCSGILQQLDYGLVECQALVLAPTRELAQQIEKVMRALGDYLGVKVHACVGGTSVREDQRILASGVHVVVGTPGRVFDMLRRQSLRPDNIKMFVLDEADEMLSRGFKDQIYDIFQLLPAKIQVGVFSATMPPEALEITRKFMNKPVRILVKRDELTLEGIKQFYVNVEKEDWKLDTLCDLYETLAITQSVIFVNTRRKVDWLTDKMRSRDHTVSATHGDMDQNTRDIIMREFRSGSSRVLITTDLLARGIDVQQVSLVINYDLPTQPENYLHRIGRSGRFGRKGVAINFVTRDDERMLFDIQRFYNVVIEELPANVADLL >Sspon.03G0023850-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:96311502:96316308:-1 gene:Sspon.03G0023850-2B transcript:Sspon.03G0023850-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRFWKPGSEKPSTLLVDDEEGGVVFLPSSTSSASSSGFGYASLERQRQRLPVYKYRKAILYLVERHATTIVVGETGSGKSTQIPQYLKEAGWADGGRLIGCTQPRRLAVQTVASRVAEEVGVKLGEEVGYTIRFEDQTNPGMTMIKFLTDGVLIREMMEDPLLTKYSVIMVDEAHERSISTDMLLGLLKKIQRRRPELRLIISSATIEARSMSSFFNIRRKNSLLGSSDDLPSPEPAILSVEGKGYTVEIHYVEEPVSDYLQAAVNTVLLIHEKEPPGDILVFLTGQDDIEAAVKLLNEEIQHLGRHYLGDDILELVREAPDLYLASYLPRGLEVIPEFGALRKGLRMRENE >Sspon.02G0005090-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2B:240132:240527:-1 gene:Sspon.02G0005090-2B transcript:Sspon.02G0005090-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGLPRPIRPLPGAAGPRPGTPRPVGPSPSGRAGPECNWWGAGARQQATAAAAPRRPRSSRAASCARVPTGKGNRELVRRALAPPAARGRGRSGPVLMRRWSFRPAPSRLRNASASSLSSPSPASPRPRPS >Sspon.01G0026700-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:94563051:94569614:-1 gene:Sspon.01G0026700-1A transcript:Sspon.01G0026700-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSDSELVERLQEVLRSSDLNTTTTAALRRRLEEDFGADLSHKKAFIREQVDLFLAEVAAEAEPEEPKEEEPEEAPVPKEEEPEEAPVPKEEEPEAEAEEGEGVEEGVEGEDEEEEEEEEEEDEDGDSSGARKKQRGNDGKKRGGGFTKLCSLSPALQEFVGASELARTEVVKKLWAYIRENNLQDQNNRRKILPDERLRKIFNVNSIDMFQMNKALTKHIWPLNSEGPVSPDRSTPKEKPQKRDRNEGKKPKGGSSGAGSGLLVPLQLSDDLVKFIGTGESMLSRSDVVKKMWDYIKENNLQDPSDRRKIICDEKLKDLLGVETFTGFTVSKLLAPHFTKTK >Sspon.03G0017070-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:74780049:74783629:1 gene:Sspon.03G0017070-2C transcript:Sspon.03G0017070-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLTFTKLFSRLFSKKEMRILMVGLDAAGKTTILYKLKLGEIVTTIPTIGFNVETVEYKNISFTVWDVGGQDKEKGDKAASTGNVAAMSGIAATSPVSSTNVTLLCRSMRPYPRAYETALTSAEVQSARDPFAASVQRRQHALARKLSASAAAPAPSGMHDCAELLGISLAQLRGALAGSTADVDGATTWLSAALTNQSTCRDSLAAVPLSDDPAGSNAVRRQVAAPARSLPAVHRGCAVT >Sspon.04G0019270-3C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4C:74306799:74310137:-1 gene:Sspon.04G0019270-3C transcript:Sspon.04G0019270-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RKAKRKTHGHTARHLLVLQQILLEDAPRPLPAPLLLFNKSRPPPPGSSDSAVVKPSPALPRPPPPLVGQAAPREEDQQARGDAGEAAGADMVLWELTAITAYFLGLRRTYRLALRIQRRLIPPNHPRIRDFVYRRTRDVFNIAVSVHKNIQQRDIEVGRNLGNTILRWLDRMKPSAEIRPPLPGPPNGSSEQYRHVSSASRSTGAQKTASKASPHDSSGKMLFSRLNIWPKSFPVLPTMTQPNRISASSQCRRISYTPFPSVTAKRKSLMEGVFRKDIAQLMAMDLPAPASRAARTPPAPCASCRALLLPRLASPAPRTTLRRTSRLPPGLALRTTKGPTSAPLHRAPCRHQAPRQRSSIGGQQVD >Sspon.02G0017190-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:48849588:48853558:-1 gene:Sspon.02G0017190-1A transcript:Sspon.02G0017190-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding IMQSAAAVGLVRPCAARPLPTYPSPRRGAGACIGGTTQPPLLTPRGLRLSARAGLLPASPLEEEENRRCRAGRHVAAAAGKVAAAEGAGEEAGGGLVKTLQLGALFGLWYLFNIYFNIYNKQVLKVLPYPINITTVQFTVGSAIALFMWITGILKRPKISGAQLLAILPLAIVHTMGNLFTNMSLGKVAVSFTHTIKAMEPFFSVLLSAIFLGQESLDNINLFSIITVMSFFLLAPVTLLTEGVKVSPAVLQSQGLNLKQIYTRSLIAAFCFHAYQQKVSYMILARVSPVTHSVGNCVKRVVVIVTSVLFFRTPVSPINSPGTGIALAGVFLYSQLKRLKPKPKAA >Sspon.08G0015120-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:55306147:55309652:1 gene:Sspon.08G0015120-2B transcript:Sspon.08G0015120-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SRVGYPNYLAPEGSVASYYTSRPSVLPGGADVLRNDVMLQPGAYGFDGPAGVMNPALAGLTGLPSGAMARGSSALEDPSLAGLSVHAPARALGPSPLKEEPDVVGRSSSLGTGASIPDVERHSSLPNFDGPSEDESNILFVDCLPTDCTRREVAHLFRVFPGFKDIRVVHKEPRRSGDKAYVLCFVEFENEKCARGPMKELQGYCFDDRKPDGPCLKIQFARFPFTLPAAQDHRKR >Sspon.03G0007560-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:20372782:20373600:1 gene:Sspon.03G0007560-1A transcript:Sspon.03G0007560-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGIVVVFDFDKTIIDVDSDNWVLDNLGFTELFERLLPTMPWNTLMDTMMGELHAAGKTLGDVAEVLRAAPIDPRVPAAIKAAYALGCDLRVLSDANAFFIETILEHHGIRGCFSQINTNPSHVDADGRLRIGPYHAAPHGCGVGTCPPNMCKGQVLDRILREASAAGTGRKRVIYLGDGRGDYCPSLRLAREDFMMPRRGYPVCDLICEDPARLQAEVHPWADGAELEETLLRLVGRALVEEAALLPPLDCKLESTMPVAAQDAGMPIMPLG >Sspon.07G0012230-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7A:43677536:43677841:1 gene:Sspon.07G0012230-1A transcript:Sspon.07G0012230-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPPSVPGPQPPIPHLQLRLINKPPASPPRFPSFPPEEASATGTIPPLSRGRLRARAKKAGARSPEPRSPPAPTPVGERRFEPTIGRPRRYTPPIRPPAPA >Sspon.06G0018930-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6A:104168819:104169535:1 gene:Sspon.06G0018930-1A transcript:Sspon.06G0018930-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRALSSVGVGLAVVSSLLLLALTAELYYIFVHKRRQRRRAAAISDAASSPSTSSRELLQLFCFKKPPAALASTYAVPEPGATAAVAVSVGGDGGADDDDETVEAQLMRLSSLVGPTRLLFTIKEETREDLESEDGGRRGRSRSLGELLHCAETPPFLTPRASPSPVPTAAVDNSYNPLFESPVASLAGPGVAPAVSPPPKFQFLRDAEEKLYRRALAEEEAMRARRSPRSPAAAAEEDG >Sspon.03G0009340-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:4487952:4495975:1 gene:Sspon.03G0009340-1P transcript:Sspon.03G0009340-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHRNMLWTHQSVNPEWEQGHVQVQPGSSYYAGTVSDSSNLGVQVAVGVPGNTANVGICDLRNHERPHVHNSYPHASATSRFVFPTNMYNPSMSAAAVNIYIPQTQSFGLGNVLPPSLHNQVSTGTIDESSSSVNFGDSAIGFMKRKNAVVAGNHHFLHGFAGSSSSVHAPQNPVRGPWNASSQSNSLPSSAASNLPEYHNSNGWPFLEESSADASNSFSSVAACPELVPHGNYLYPASHISQCNTWVPQAASHGVPQWGYSNAMVNPPGTADMPNGNIQDYHAGHSSIHGPLPHFCQNPLHSMQAPQIQVPHQQFIGNNVVHGLNPSAAGLPLDPRMLALPFNAEHTFGHPMHPPLINQANNGALRILPYQNATVMDHSRIYEAGHVIDEHRDMRLDELVALQEQIGDVSTGLTESYIQENLRSTFHVPGAASISDQFSELSLENDACIICQEEYEAKELIGTLECGHKYHVNCIKQWLMMKNLCPICKTTALSSDRRNG >Sspon.08G0022440-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8B:49228150:49234502:1 gene:Sspon.08G0022440-1B transcript:Sspon.08G0022440-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At3g18110, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G18110) UniProtKB/Swiss-Prot;Acc:Q5G1S8] MSSMAVTSPLPFLSSSSSFTCHLRRAATATATAASSASEDFDYPLADPSVRWPHLRFPHLPAPRFPATVAAAPRVPARPPQEAEDPAATSASAPSLVEPLDARAHRGRVKKLSKLALRRAQDWRARVAGLADAVLALPPGAPVDDVLDGARATPDEVAFVVRAVGESSWRRALDAFEWLARSSAPASRAVAVVLGVLGRARQDSTAEEVFLRFAGEGATVQVFNAMMGVYARSGRFDDARQLLDTMHDRGIDPDLVTFNTLINARSKSGCLAAGVALDLLFEVRQSGLRPDVITYNTLISACSQSSNLEDAVTVFEEMIASECRPDLWTYNAMVSVHGRCGKAQEAERLFGELVEKGFMPDAITYNSLLYAFAKEGNVDKVEHTCEELVKAGFKKNEITYNTMIHMYGKMGRLDLAVGLYDEMRAVGCTPDAVTYTVMIDSLGKMDRIAEAGKVLEDMADAGLKPTLVAFSALICAYAKGGRRADAEKTFDCMIASGVKPDRLAYLVMLDVFARSGETEKMLRLYRTMMNDNYRPDDDMYQVLLVALAKEDKCEEIEEIIQDMELLCQMNLGVISTILIKARVIIIEAYGKIKLWQQAEILVKGLRQASGIDRRIWNALIHAYAESGLYEKARAVFDNMIKTGPLPTVDSVNGMMRALIVDGRLDELYVVVEELQDMDFKISKSTVLLMLDAFAKAGDVFEVMKIYNGMKAAGYLPNMHLYRSMISLLCHDNRFRDVELMIAEMEGAGFKPDLAILNALLNMYTAAGNFDRTTQKLEAREGFTLLNEMGKRGLTPKLQSYKSLLAASAKADLREQADQLFEEMRSKSYQLNRSIYHMMMKIYRNAGNHSKAENLLAVMKEDGIEPTIATMHILMTSYGTGGQPHEAENVLNSLKSSSLEVSTLPYSTVFDAYLKNGDSAALNFVNAVEDLLWAFECRATASRIFQLAVERSIYRDNVFRVAQKDWGADFRKLSAGAALVGLTLWLDHMQVFFLMQSPGAILPPQDASLQGSPESPKSVVLVTGEGEYNMVSLRKTIRAYLLEMGSPFLPCRARSGRFVVKDYSLKMWLKDSPFCMDLELKDAPALPKLNSMKLIEGYFMRAGLVSAFKDIHERLGEVWPKKFSRLALLSEECRAEVIKADIQGRKEKLERMKKKGIVTARKSKTRAQRGKFVREQEQNMKVHTYAVCLGLRLNAFMGSALVRHYDR >Sspon.04G0030080-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4C:78725208:78726476:-1 gene:Sspon.04G0030080-2C transcript:Sspon.04G0030080-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIQTRKRKASTPEAPIPELSDEIVVEILVRLPVKSLLRCRAVCKAWRAIVNDPLFVSAHLRWSATRWEQDPSFLVTPVTRGRVIPREDVKEGGWPTTFSNQIRFYGYQWQWQQSASDSDSDSSNNGHGQQQTARFMHAKDLGAKSNRVGFFAHCDGLVLAPTEKELYLFNPATGDAITLPKSHRNNLRHAPRTCHCVALGLDPRTGKYKVFQAFYRPVDTSSDTYFCDKIPMGMEVFTLDGGDDEAWTETMEDPGFTLGSGEDEAWRETMEDPPCPLLRWQTGVTLKGFIFWFVDTAQYRQPIPRGFACLSLVDEVFDIVHLPESLRARDDFTLDALHGEGELCLMARTSDVSVTIWMMPVDNDDHGRWEPRYSIPLRLPHLCHVMALVAGGSRMLMWSDFILYEYDLATSKLSTVCEMDRM >Sspon.08G0029490-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:38305919:38309211:-1 gene:Sspon.08G0029490-1D transcript:Sspon.08G0029490-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRDGRCSSLEQQTVLLQFGVRDLMVTHSVMQDWMLGNVVYTENKPFHQYSDSNRVCFSSGAYPSPDAYITLKIIKELRWQFFFWRYNAS >Sspon.03G0023000-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:93617036:93620882:-1 gene:Sspon.03G0023000-2B transcript:Sspon.03G0023000-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Regulatory protein NPR1 [Source:Projected from Arabidopsis thaliana (AT1G64280) UniProtKB/Swiss-Prot;Acc:P93002] MEPMDSQLTAFALSDSDSGSVEGAAAADAADAADADLQALRRLSENLAAVFRSPDDFAFLSDARIVVPGAPDLRVHRCVLCARSPFLRDVFARRAAEDKGKDRGKVELRDLLGEEVEVGYEALRLVLDYLYSGRVAALPKAACLCVDEDACAHVGCRPAVAFMAQVLFAASTFDVAELTNLFQRRLLDVLDKVEVDNLPLILSVANLCNKSCVKLLERCLDVVVRSNLDMIALEKALPPDVVKEIVDARLSLGLVSPEDKGFPDIHVRRVHRALDSDDVELVRMLLKEGKTNLDDAYALHYAVEHCDSKITTELLDLALADVNHRNPRGYTVLHIAAMRREPKIIVSLLTKGARPSDLTFDHRKAVQISKRLTKHGDYFRPTEDGKPSPKDRLCIEILEQAERRDPQLGEASVSLATEGDSARGRLLYLENRVALARILFPMEARVAMDIAQVDGTREFTLGSGANLPTEIQRTVDLNDTPFTMKEEHLARMRALSKTVELGKCFFPRCSKVLDKIMDDETEMASLGRDTSTEKKRRFHDLQDLVQKAFSEDKEENNKSARSSSSSSTTSVGAVRPRR >Sspon.03G0012720-3C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3C:52611632:52614622:-1 gene:Sspon.03G0012720-3C transcript:Sspon.03G0012720-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFHHQQELLQAEAAAADRESSMSNLTSSASGGLNAPPAPAPPPPASAGNNKRKRSLPGNPDPEAEVVALSPATLMATNRFVCEICGKGFQRDQNLQLHRRGHNLPWKLKQRGTGKEAQRKKVYVCPESSCVHHDPARALGDLTGIKKHFFRKHGEKKWKCDKCSKKYAVHSDWKAHSKICGTREYKCDCGTIFSRRDSFITHRAFCDALTEESAKAIGLNAMAAPAHHHHPLLFSPPPAAHVMQQQDVALLQEHPHHHQQEVMQPPLPLQQHCNYAMKTEMPTWPPAMAYDHPLLQQPLCNAAAAQSSATSAPPQVPAASAHLSATALLQKAAQMGATIGGAGAGAGAGYTQMAGPATSAPGSAATFGLGLPGLQNAQQQDGG >Sspon.01G0022820-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:85669390:85675539:-1 gene:Sspon.01G0022820-2B transcript:Sspon.01G0022820-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISEEPEGAAGDGGVTKRGSKARGKGAAKGRGRPKRRSDDRPFAAPAMDGVAFGDRVLRERRHAPNVFCERDTDDDDEETATNQFKPQRKKRNEAGKKRGRPRKTKPEHADSKAHFPNSKCHDDRKGDGAETVRGKDSRNGEVLQTAKKRKKRDAGKVSVTKRLKREDEEQKKASSSKHKDCNETNRKGKKMLTGDNALMCHQCQRNDKGRVVWCKSCRNKRFCVPCIQRWCVVLMICILVCQKMNLLNNAHIVARTVIARDAYECEELKRYFPSKFSCLLLINKFPFLTKQPPKKEISEGNQIRYACHVLHLLLPWLRQLRQEQQEEKKLEAKIQGVLMNEVKLEQAECNIDERVYWFVDFHRSCKYCFYDLCLSCCGEIRKGEIPGGEEVKILEPEPSDKAYIFVTKNQHKRCSLRGHNSSLKNVSSNGMGSSEAPKNSLLLWKSESDGSICCPPKELGGCGGSVLDLKCLFPEKMLSDLEERADRIVRSKVFAKAVTKRTDQCPCYDHSGSVRIHDVREAANRKCSSDNHIYCPVATGIKEDDLVHFQMHWTKGEPVIVSDVLQLTSGLSWEPLVMWRALREKKTNGDVEDEHFAVRAIDCLDWNEVEINIHMFFMGYMKGRMHPTVHWPEMLKLKDWPPSSSFDQRLPRHGAEFISALPFPEYTDPRYGPLNLAVKLPDGTLKPDLGPKTYIAYGFHQELGRGDSVTKLHCDMSDAVNILTHTTQVTYEGYQLKKIEKLRKKMKEQDLQELYGVSESGTERDLLSSSTDSRNLTVDETSKISCKDAGQCSDYIDKNNSYAGMHNGAHCITGMSGDHERTGGALWDIFRREDSDKLQDYLRKHATEFRHVNCNPVKQVIHPIHDQTFYLTEEHKRKLKEEYGVEPWTFEQRLGEAVFIPAGCPHQVRNLKQQMFLLLKPKLFLFVQSCIKVAMDFVSPENVDECIKLTGEFRRLPPGHRAKEDKLEIKKIALHALNQVINFLDPFSEGLKSGAVQPKNKKEAEAEEQKKPKRGRQCRKSNDDSTDDEPKKKAGRQRGEKSGDGKSKDDAVDEKPPKRQSNRLKGSGKRR >Sspon.03G0029150-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:40767705:40769625:-1 gene:Sspon.03G0029150-1P transcript:Sspon.03G0029150-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDANTLLLPCADGAVSGAVDFRGRPASRSGTGRWSAAMFVLGVEIAERFAYHGVSANLISYLTGPLGESTAGAAAAINAWSGVATMLPLLMACVADAWLGRYRTIVLASLLFVVSMGMLTVSALPAFHHDGCSSYATRSLACSPSPVQLAIFYVSLYLVALAEAGHKPCAQAFGADQFDQNDPKESVSRSSFFNWWYFGMCSGTAVTTMVSSYIQDNVGWGLGFGIPCLVMVFALLMLLLGTRNYRYYTSTESSPFARLARAFVALVKGSKSSQYDGTLASDDDGHREEVKGVLRLFPIWATCIIYAVIFSQSSTFFTKQAATLDRRIGSTLRVPPAALQTFISVTIMVFIPVYDRAFVPLVRRLTRLSSGITMLQRIGTGLVLALVAMVVAALVEMRRLGVARDAGLVDQPKAALPMSLWWMVPQYVLFGLSDVFAMIGLQEFFYDQVPDALRSLGLAFFLSIFGVGHLFSSFIISAIDGATKKSGPSWFSNNLNRAHLDYFYWLLAGLCAVELAAFVIVSRVYVYKKRVSHDNNDAV >Sspon.02G0007330-3D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2D:15515213:15526453:-1 gene:Sspon.02G0007330-3D transcript:Sspon.02G0007330-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLLQILCQSPIEVYGHGLLIVQGARTVRTPDTCSENLSRHRSGPVRVTPCPYAAIERAQSEAGAAPTCHRRHRCRVAVAVAPPRGSPIPSQNRHASGSPCLKMGMVRFGPALVWVSGLETKEPKDPGVRSTSSQALTTREGCNPVDPSTAAAAAPPPGKYFQLLPCQQTTTTSPRRAPQLVWWWWRLTGAGFSFGDGVPPAAIGGGEEAVAAADRIAEQRRWSQKRRSRNTSPSRTSPLLFQTLLLSLPSLTYPSLSIFPPPSHPDRPPPGEAPLLFRLRATAPDPTPVSPASTGMASPTVASPSRASPTDIPTAAPSPASPPRRLASAPPAVDASGSSSPASAHSGDQLSAPDASVGAARLRANSITAGIAPRFLFSPPLLPWCVSDVARCLCFIVQSPLLASRSEEYRLLFRLPPDEVLVQDFNCALQENILLQGHMYLFLHHICFYSNIFGYETKKTISLQEVTDVRKAKTAAIFPNAIEIVAGTRRVRELSLSKFLHFFGSFLSRDEAYRIIVDGWEQHVSDARLLLERQETKSASSSEENGYVLLEGAKESKQDEDSSPPDRSVDSTAVSSSADGGDSNINISKRFSKVEENGLEDNIIALNPFNLEPLDDAPPSGAKFGTCQEVQKLRLYKNRRLMIQTSQSIGDAPYGDHFIVEGHDLLKQEYDRSKGTSSTTVSGVQPGATTNEENTVEVAVPVVTIIILLTRSPQIQMAPHGFSTGSLGYKQSMEWAQKRLSSLSEEMQLAEAHMEKMRHEFAWLRASGRVGRTQPDRACLATSCPPASTRAAPSYSPFLLSPLRLALSAHTVLALSAPRLALFAAPPALVGKPCLLHLRPSLPSLAPSFLLAPASSMRPREAAAEDASRALPSSPASLPRSGDLQHRRKLDGGCFSASLSPSPPFFASSPCPHPRLPGSPPWWMRRRPWTTTGSSDGDHGSVASGGSGGGSDRIRGGSMGCELAVVGGAGD >Sspon.01G0029710-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:102952750:102955831:-1 gene:Sspon.01G0029710-2P transcript:Sspon.01G0029710-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEASTSASAGATRRAFPPARSGVFYRFTQQNLPAWKPAMTPGCLIAMFLIIGIIFLPFGLLCLQTSNHVAEIIHRYDFDCVPNAYRGNRQGYIKDSSISKNCTLQAKVLKHMRAPIYVYYELENFYQNHRRYVKSRSDKQLRFGAKYTAESCSPVDWDNNGSPIVPCGLIAWSLFNDTYDFTRGSKEIKVNRKNISWKSDREHKFGKDVFPSNFQNGTLIGGGKLDPTVPLSEQEDLIVWMRTAALPKFRKLYGVIEDDLRADETITILIGNNYNTYTFGGKKSIVLSTASWLGGKNDFLGHAYIVTGSLSIFISILFALIHVKYPRPQGDPNRLSWNRKNGNG >Sspon.02G0011690-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:31193559:31196921:-1 gene:Sspon.02G0011690-1A transcript:Sspon.02G0011690-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SLSLEAKEAAEIMASRFWGQGDSESEEEEEEVESEQGSDSDDDGGRGGAGRSSGGNRYLKNQEDDSDESDTGHRVIRSLRDKRNEEMRSIVDQMRNAMKINDWVSLQESFEKLNKHLEKVVRVNESTEVPKMYIKALVLLEDFLAEALANKEAKKKMSSSNAKALNAMKQKLKKNNKQYEEQIQKCREHPESFEDEAADDKDMDDDDDDDADESDAEIEDPDKIAMSESEEERDEDDDDKEGWEQKRSKKDKLMDKQFLKDPSEITWDIVDKKLKEIVASRGKKGTGRIERVEQLTFLTRVAKTPAQKLEILFHVISAQFDVNPSLLGHMPVNVWKKCVNNMLLVLDILQQYPNIVVDTSFEPDEKETQKGADYNGTIHVVGDLVAFLERLDSEFFKTLQCTDPYTKDYVQRLREEPLFLVVAQNVQDYLERVGNLKAAAKVALRRVELVYYKPQEVYDAMRKLAEQPEDTLDDDDAEAGDEHLAIDDNRGPSPFVVIPEVVPRKPTFPESGRTLMDGLMSLIYKYGDERTKARAMLCDIYHHAISDEFSVARDLLLMSHLQDGVQLMDISSQILFNRVMAQLGLCAFRAGLINEAHGCLTEFYSTGRVKELLAQGVQQSRYHEKTPEQERLERRRQMPYHMHINLELLEATHLICAMLIEVPNMAASTYDKRRPMSKTFRRLLEVSERQTFVGPPENVRDHVMAATRALNKGDHQKAFSVVNSLEIWKLLRNRDHVLEMLKLKIKEEALRTYLFSYSSCYESLSLNQLTTMFDLSEQHAHSIVSKMMMHEELHASWDQPTKCIVFHSVDQTRLQGLLFQMADKLSVLVESNERAYEARTGGTLEGVPPRRRGEGQDSSNMGKWQENFVSSQGRQGGGNRFGYSGRGGGSGQSGGHQRDRGNLGSRGGYGGGSRFQDGRGRNQSGSSARGGDGSARMVNLNRVGR >Sspon.03G0011530-4D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3D:35875311:35881445:1 gene:Sspon.03G0011530-4D transcript:Sspon.03G0011530-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RAESAAAAAAAVSKSDAPRLLRLSTPRRRHVLPRLLQPQRLPPPSPRLLGKALAAPPDAAAEIVSGDSISAPCAPPNESLRRGGGGGGGVRGPPPPYRQGPHPHQPQYGYGYGYGYGQMQQPSPPYGFVPYNYGHPQQQPPLPPGPQYGYGAPNPYVHGHLRPQPHGRGAPSAGFRPGAPQLPPRLADYRRRWRFAKQRPPHQAERFKVLSYNILADYLAQEHQFLYERIPSFILDWNWRKDKLLFEFGLWSPDILCLQEVDKFTDLEQEMASRGYNGTWKIRTGDAADGCAIFWRTTRFQLRYEEDIEFNKLGLRDNVAQLCVLESVVPQNVQTDSTSLSTSSNHPQQAKQVVICNIHVLYNPKRGDIKLGQVRTLLDKAYTLSKMWNNAPVILCGDFNSTPKLVENSSPAGREPVLTDTSSESVFDSKSSYSCGNNVPCSGSSKLDEQGLLNCLEDTVKSACAFDADEECKLTDKSNEGSPGGIKTESGEGPDIADAPSVPATVCTVILRSGSCEIIDSSQLLSSDNLAGDVISEELTDQLFTVSQDKPHEKENTIESMLSSEENCATNEPESSHFNGSQNVTDAIHQMSNVKLEGESSTGVEEPVHQPNGAVSDACVDQCSAEVVNSHSVSCRDEPENNAHAFEDDTATDEVTCTEVNSDPSFFEELSGGNVHLLEEEDQLPETSDSSLSSQQDFEGTKDASKEPLVTSYNRKFMGTVDYIW >Sspon.04G0014710-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:61747940:61753393:-1 gene:Sspon.04G0014710-3D transcript:Sspon.04G0014710-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGEGVVDQLSGAAGGEAEAKMETEEEREGPVLYLDLTSYQLHDLSEVEIPPTLEEIDLTANRLSSVXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXNLLEDDAVVPLSSWETIAGLQELVLRDNKLTRIPDAGIFEGLLVFDVSFNEITSLTGLSKVSSKLKELYVSKNEVAKMEELEHFHALEILELGSNRLRVMENLETLTNLQELWLGRNRIRAVNLCGLKLIKKISLQSNRLTSMDGFQECTALEELYLSHNGIQKMEGLSTLQNLRILDVSSNKLTTIENIETLTRLEDLWLNDNQIPSLDGIETALAGSREKLTTIYLERNPCAKTPEYSSTLKKIFPKLEQIDSDIIA >Sspon.02G0040740-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:71754581:71755743:1 gene:Sspon.02G0040740-1B transcript:Sspon.02G0040740-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MERSVRSVSVIWTHVLTVTDHAALACWAWQRQSDPQTPHLTSVSFFLPKPNPKQQSSRHGRRRRDRGRRRRRKRRGGGTSIHITALDGIVNVNSLFTLAAFLGLAWRPSSDGPGLADGADPRTGNPCAAGDRAESDLVSFHVLAFACFLFSSLVALCLKQLVRTYPGHPHRRAGAGAASSSSAAVGVLGRTARINRAALRVGILASAVGSVAGCGFLMMALVNVVQVKLGRLGCGGAGGAAAWAAVVPLVTLVPSAMLIYIGIVFYAFTR >Sspon.08G0017540-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:2091260:2091895:1 gene:Sspon.08G0017540-1T transcript:Sspon.08G0017540-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding HVSPTPPPRRRRSRAPGRVGRIAGGQRLHPQVVPRDAVPISVRAEPGVVRGLPAAAEPAGAGARGAVRERGPRARRVRVRGPPLRRLRLRGFPWRRRKGREEGVGVCGVGGRPRARLPGEPGGQRGPPPRRGAGDGRRRDVPLRDARVQVAPQQRADLVQRRAHRREHLPRRPILPRRRRRHARSHPRQGRRGRAGHQQRARPRQQGRARVL >Sspon.02G0022160-1T-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2B:72009142:72009773:-1 gene:Sspon.02G0022160-1T transcript:Sspon.02G0022160-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVVVGAQFCSPRASLLTLTMNLTRGGTVTDAASGAAVLLMDVPLFLRFFGRFVLVDAAGRPLLSAFSLYREWEAFRGDGHGHNGGELLFTAKKSTIVQVRTHMDIFLASNRAQEVCDFKIKCSSVYEGSADIYLGNSNTVIAQVNNGKSVCSWFL >Sspon.01G0025500-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:90440456:90443135:-1 gene:Sspon.01G0025500-2C transcript:Sspon.01G0025500-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding WRSQGPAILATCRGSGNMVIPPPARAPAITKFLKPYILKMHFTNNFVSAQVIHTPTATVTCSASSQEKLLRPSMESTRDVAAAAKIGKLLGERLLFKGIPAISVSMSRDQKYHGKVKAVIDSLRDAGVKLL >Sspon.04G0034160-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:75372526:75380604:-1 gene:Sspon.04G0034160-2D transcript:Sspon.04G0034160-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSSENKLVCSNSGRSSKGNETSMELVPVPKRPTRHDASRQCKSDSPLKRSPRKARNATLAKTIKNKYHCSPLKQRRASDSVSGKVATGLTVRRRKKRKMQNTDEATRLERRARYFLIKIKLEQNLLDAYSGDGWNGQSCFHVLVQYKVSREKIKPEKELQRARKQIIKCKIAIRDIIRQLGLYTSTGSLDDPAMPPDQSTNPEHDIFKEAMEQIDSEDALDEDWLSEYSGDEDYDPDENEDSGNCMDSGEEIMSDDSNGSGSPLYSPNDDIPDFISADLNDVEGFCHTNLDLGIDAVEDDLAQILTYQRPRRDVDYRRLNEEMFGKIIGNEEQSEDEDWGHERRKKRTRSGGAGDNSVDFSNVISEEKSQKKGRKLFRIPPAAVEVLRKAFAENELPPRDVKENLSRELGISFEKIDKWFKNTRCAALRDRKAEGNSHNTAPSKSSRNKGKAGISGKESTLPTSKPCLQSEISHPTTNEVSTLVQATSWMDAGSCAEVQEATPWVDIGASDYQPFLDVIDEMCGLECRLQRLKENMLSSGIDGKTTGESDMGNQAVVLVPTAELKEKAPHGSFFGHYCP >Sspon.03G0041450-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:31381476:31382225:1 gene:Sspon.03G0041450-2D transcript:Sspon.03G0041450-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRHRNRHRHEDLTGGRRAGVRVRRGGGGGDGGGAMEASRRGRFYHHDGPAASAVPVVDQADCTAQTCRSCVAVTLADAIALGCCPCALVSLLGLAFVKAPLALARRCLRRRRGELRHKTRVRDVDGPAAKAKCRRSSDAGGGRRGHGAMEALDAADAASKAAWWGLEADARGEAAMRAARASNSSSASGRLDAEKVWMEMYRVGHWGFGRLSISVTPPPPVRPGRSPDGDGNNGDGGRKDVDLRCES >Sspon.05G0000820-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:557673:561840:-1 gene:Sspon.05G0000820-2B transcript:Sspon.05G0000820-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MANIDMAKILADLDRGAGDARVPKTKLVCTLGPASRTVPMLEKLLRAGMNVARFNFSHGTHEYHQETLDNLRQAMHNTGILCAVMLDTKGPEIRTGFLKDGKPIKLTKGQEITVTTDYDIKGDENMIAMSYKKLPVDVKPGNVILCADGTISLAVLSCDPDAGTVRCRCENTAMLGERKNCNLPGIVVDLPTLTEKDKEDILGWGVPNDIDMIALSFVRKGSDLVTVRQVLGQHAKRIKLMSKVENQEGVVNFDEILRETDAFMVARGDLGMEIPVEKIFLAQKMMIYKCNIAGKPVVTATQMLESMIKSPRPTRAEATDVANAVLDGTDCVMLSGESAAGAYPEVAVKIMARICIEAESSLDHEAVFKAMIRSAPLPMSPLESLASSAVRTANKAKAALIVVLTRGGTTAKLVAKYRPRVPILSVVVPVLTTDSFDWTISSEGPARHSLIYRGLIPLLAEGSAKATDSESTEVILEAALKSAVQKQLCKPGDAIVALHRIGVASVIKICIVK >Sspon.03G0000670-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:2087533:2088837:1 gene:Sspon.03G0000670-1A transcript:Sspon.03G0000670-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKQPVTPQAGGGDGEPSIGARRADAAPPHPGTGHIRFATVQSDGAGDTARPQVRMATYTPVRRQEPAADEQARVYGQYRGGGGREEPPAPQRTWRWGRGAGQGGAAPPQAASHSAAAGGALPPRGSIPSPGTAASPTSDVVPDSSGGAPAPQLPRRGGLKTTKTIERVSTLPNEPATPSEGEPAPLLPRHGEPNKTIERVSTLEPGGSGSPTPPQQAQPSRTRNQKMLLTDRRKEKSARKPLAFCFTLCCILFWLLVVCIGLAILVVYLLYHPKAPRIHVSTATLNAGYIDELPPPHLGKALNSDLYVLAAIYNPNTKVDVVLRYMQLDLYFQGRLIGTQAVWPPLYQKPGDSALRSVHLVVSEVIMTQEDAEVWKNVTTGGGLVEMHLQGKFYVQLNFGRWLPFRYTVRPICALWLDPPPAGALRRARCSNR >Sspon.05G0012180-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:35745903:35747655:-1 gene:Sspon.05G0012180-1A transcript:Sspon.05G0012180-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LQTVRRFPLLQIHWHCLVSTQRVPNAFAVLALRLPWACRRGTTSHNLAPAVGGSLFLDEYAGCAPAPAGRIGDATTVLSDFPRSELAFAWCNYGFLPRKRPRQEAADQAVAPAAGGHLLEDQRASTPPACTERLLPVPPFVGVRSRAVGSGAASTSGRVANGATTVVLRELLSSWTHHHGVEIDALVALEAERMRAALEEARRRHARALLVAAGRAASGWLRASETDLERALRRNAELEEKARQAGAECQAWMGVARSHEAVAAGLRATLDQLLLQSPCCGAAAAGGGGCEAEDAQSCCFEQAPAAAAHATEEDDGACASRFKSLACKSCGSGEACVLLLPCRHLCLCRVCEAAADACPVCATTKNGSLHVLFS >Sspon.02G0042420-1P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2C:86957127:86957588:1 gene:Sspon.02G0042420-1P transcript:Sspon.02G0042420-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQQAPWSLPSQPAVAVDLALGLAPAGHRHEEDQDDQVTATSVGGKEARLFPCLFCNKKFLKSQALGGHQNAHKKERAAGWIWNPDVYGDRYAAAASPGSSLGAVSVSATEESPARAKLKLEWPDGGAALFTDHALLPAAADRPFIRSPDADGT >Sspon.01G0045050-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:86889704:86891631:1 gene:Sspon.01G0045050-1B transcript:Sspon.01G0045050-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHKDQVTTGNGLFADGHRVCRWPTNKPSANRRLCRWQSKAIGKQISPTAKPSANLSQRQRRNLPTAEPSANLSHRQTPIFADGQTQAIGKLGQVRRRRTPADAVIFADGQAVRPSAKYMAMPTADHRQTIYADGL >Sspon.03G0033570-1P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3B:71018468:71018992:1 gene:Sspon.03G0033570-1P transcript:Sspon.03G0033570-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GSGKSTAIALLERFYDPDFGNIFLDGVDLRNLKVSWLRLQVGLVGQEPVLFNDTIRANIAYGKQGEASEEDIAAAAEAANAHQFISALPDGYNTIVGERGIQLSGGQKQRVAIARAIMKDPRVLLLDEAMSALDAESERVVQQALDTVAIGRTTVVVVHRLSTIKGADIIAVLKN >Sspon.01G0009270-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1B:94333831:94334940:-1 gene:Sspon.01G0009270-2B transcript:Sspon.01G0009270-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRQRQQQQQQFVHHLQVHQQQGTHHQPLPPPPQQQHQNSGGVGSSRAAGGRRCCPLRQSRKGCMKGKGGPDNQQCPYRGVRQRTWGKWVAEIREPNRGTRLWLGTFGSAFEAACAYDNAARKLYGDCAHLNLQLPPPAVAAAAAGGGGPAVVVSSPSPDTVAAGPAAAAAAGGHNRHHQYLQQQQQQQQQAAMAAAPMMMMQYSSSYSADASSSNSGSFSNSYSSSSPVTTAAVAASPTYNYNHHQMFQMTPPPSSCSGVMMAPAVPQAQGCHVNTTTTTTTTTMEMQRHQQMIRELAAAPLHQEPDDFADFMTWLPEAEDFGLQGFQEVPPEVFDEAAGGIWDHTAAAWSTPTMMIDSAAGAAQHQQ >Sspon.08G0027220-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:40727390:40728806:-1 gene:Sspon.08G0027220-2D transcript:Sspon.08G0027220-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGAHEPLHPHKQMKASSSSSKGGNHGYFVPRPVCAWLVCGFVALALLHVLCCTPPGTQEAVLSPLLQYVDDTYNFVSSGPQSCNYTVGRWVYAPGHARRYNATECHVKDSHNCIRNGRPDTGYLDWRWQPAGCRLPAFSARAFLSAVRGKHVAFVGDSMSRNQAQSLVCLLVGAAVPHRVVYRDADPHKFNLWRYAFPTHDVTVSFYWAPFIARATGKARNENDSLPQNMNHVHLDALDDRWVADADTMDVVVLSIAHWPLNGAIYYNNSARIGHHNHQELSPSEEIGYAWPMKVAYRMALDRLSSGGRPRTVVIATLSPGHFEGNTLTTMCPRKEPYKEGEKEPHHQEMELVRLVYEEAEAARARNGEGGGARVEVLDVTKLAVMRPDGHPGLYMHRDPFAHGGPQPWMPSDCLHSCLPGPVDTFNEILQQILRKKR >Sspon.01G0053310-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1C:35106293:35106880:-1 gene:Sspon.01G0053310-1C transcript:Sspon.01G0053310-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARVVCTLVAKGWIGGVLYSRVALDPVMFWKIRVKVDLCRLESYISREVLTGAASQVMSVGSI >Sspon.07G0006820-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:13083242:13089168:-1 gene:Sspon.07G0006820-2C transcript:Sspon.07G0006820-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding CIQCRGAEPKQLAFSAALGVTIGIFPIPGTTVILGAVAVAILGSRCNAVTVMVLNLAATPIDCSLIIPFLRLGETITGSGHFPLTADALKNVLTGHASKDVLLSIVFKVLVNRFGGIPSSPRTPIKA >Sspon.05G0027670-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:5B:55779545:55779772:-1 gene:Sspon.05G0027670-1B transcript:Sspon.05G0027670-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRVTASSADSGGAPAVALGGDGVAEFVRESVAGVFELELAVVGGEVKDEEQHHGRDSSIRVTCPLKLSLPTATAQ >Sspon.05G0001110-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:2682298:2684050:1 gene:Sspon.05G0001110-2D transcript:Sspon.05G0001110-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGARVQLKGWQQAAVAFGSAFGALLDPRRADLIAALGETTGKPAFERVLQRMKNSAEGREVLLERPRVISSQVSHAWDMPQNTFGAAYAQFMGSRNFSPDDRPPVRFMDTDELAYVATRAREVHDFWHVLFGLPTNLIGETALKVIEFEQMFLPMCMLSVVGGSARFSEKQRTLFFQHYFPWAAKAGLKCTDLMSVYYEKHFHEDLEEVRRNWGILPCPSPKKSSFSGIPTGYFEMI >Sspon.08G0022350-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:49603660:49613714:-1 gene:Sspon.08G0022350-2C transcript:Sspon.08G0022350-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGGVGARGSDRAGAARSPTTTTAIQSTIQSIKEVVGGHSDADILDTLRESNMDPNETAQKLLNQDPFHEVKRKRVKKKESAGQKSFADSAAQVEQNSQWMKPHTQKMENDQRRTPNQGQMSGPSREFRVVRDNRFQHGVVNRPELGHKGSANVQMSDRSTVVQSGRNRSPATTSDGQITHQNAMENSHSDTTQGKRDGQGTTQKHAKPYLKNSQNEQHFPGSDPAHGSANVRIAGGTVGPARRHVGVINSTRQFAGRSGSQMHAPSGSSHANIQRGSFTSVGASGRYPAFMSRNILQNQRPDTIFRGRPTGRSFVAQNVNRYHQGPTSNQKVHPVKEWKPKSTKKSPTTDADNNIADAASPSASSCENANASDVNGLSEKLSQANLHEVEHVIIPEHLRVPEYEQTKLRFGSFTSGLDSEQLPASAPQDTEQPEHLQESVQQISEDGSLDAGHDDMDEQARSSQQLSTSTAEISLPPSEDSDRMSGQVENDDGLGLVQSDTPVGAADGDSTQITSTLTPFSAYGHEDPNMHTTNEAQLYGLVEPNVHPQVLASSSQGYPSENPEADNAVQVFRMPEPNVHSQVLPSTSEALNPQIVSNSPVPISSQQQHISQQQAAAQMYPQMHVQHFPNFMPYRQLYSPVYPMPMPNYSPNVPYPSNGNNYLQMPSGGSHLTAGGVKYGVSQYKPVPSGNPSGYGNYTHPAGFTMGSPGVIGAAVGVDDVNRMKYKDNIYASTPQVETSDIWIQPGREMPPLQVPSYYNIPGQATPGAFVPNPANASFNATAQSSHAQFPGLYHPQQPPSIVSPHPMVHQQVPSAIGPNVGVGVAAPGPQVGAYQQPQLGEFSIPSGLYLG >Sspon.07G0025050-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7B:37391753:37392124:1 gene:Sspon.07G0025050-1B transcript:Sspon.07G0025050-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RTPGQPPSRRSSRSSPPTRSPGRPARGLRVAWAALDPDRNLAVHSGGGGEKAIDLRRRQRRRGPRGRVVRRQGRAGLDDAGVLEEGREGGLEEAGGGEIEAISAEARGRDASALTGPPAIGAPS >Sspon.06G0010220-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:55802672:55805515:1 gene:Sspon.06G0010220-1A transcript:Sspon.06G0010220-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSESRRQRRRFVYGQAHGQRCRLQSVLILISAAKHGVPQVYLAAVLEHLATILTLRITQLQYGLTPQSTPFLIRAFDKAPHVLDYDSLI >Sspon.07G0023820-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:19477793:19482536:1 gene:Sspon.07G0023820-1B transcript:Sspon.07G0023820-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to 1-deoxy-D-xylulose-5-phosphate synthase [Source: Projected from Oryza sativa (Os05g0408900)] MALSTTFSVPRGFLAVPAHQDSHFASAAEQLHGHKSLQARPLRPRRRPACVSASLSEREAEYYSQRPPTPLLDTINYPVHMKNLSVKELRQLADELRSDVIFHVSKTGGHLGSSLGVVELTVALHYVFNAPQDRILWDVGHQSYPHKILTGRRDKMPTMRQTNGLAGFTKRAESEYDCFGTGHSSTTISAALGMAVGRDLKGGKNNVVAVIGDGAMTAGQAYEAMNNAGYLDSDMIVILNDNKQVSLPTATLDGPVPPVGALSSALSKLQSSRPLRELREVAKVRPVLAAQTFNGPVRHASLMLRAASGAHKHG >Sspon.01G0009590-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:27220984:27238131:-1 gene:Sspon.01G0009590-1A transcript:Sspon.01G0009590-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVETRRSAAAKRPAAGEEEEEKGAPATPAPAPAEDAAAGPGAGDDVASSQPPKRVKVGCTEADTAKPSVAPAAGAVAGPLPNTAGLQALTGAMDKLEALLRSKEAQSNPAGHKRGTNNDKDLSAKIKRAMDLSEDLSAKIKKTKDKMAGILNKPQAAATSRRQEPWCRLISQYSMRGALLEVFESKVVRVNGKSLDKAAKVTLNGGDEIIFRSPVRHAYIFEQLHQEKSSTSALSSTCSSVQQGQRSHFKDIQDLLSSKGRKVSTFYFGRGPSADPLMLNSCKTMEGRSQFSSEDNISSGRCQLVKEDLINATVDASDISESFDSCPYYLSEHTKCALMSSAYVHLHCKNYFKFTKDISSLSQRVLLSGPTGTDIYQEYLVKALAKYFGARLLTGQASKESESYKKGDRVRYIGSLQSTGIILDGQRFQTHLPQPQILASYCTGRDLGLRELGLVECSLVIEGISAGLNRRRAVQLAAQGAEANGGVQLGRRRGLARREASPSLIFSGGSLTVKWERRESPPDFGSQGEIFLPFEENRSSKVGVRFDKKILGGNNLGGNCEVDHGLFCPVDSLCPDIPGWEVTSKHPFDVIAEFISEEIQQGPLILFLKDTEKICGNNDSYHGLKSKLKHFPAGAFIIGSQIQPDNRKEKANGSSPFLSKFPYSQAILDLALQDIDGGNNNNKETSKAMKHLIKLFPNKVTLEAPQDEMELSRWNQMLNQDIEVLKGNANISKLRSVSIDKIIGFALSHQLKNCTNPDPSLSSVQFALSSESLKHGVDMLESIQSGSKSSTKRKSLKDIATENEFEKRLLADVIPPHEIGVTFEDIGALESVKDTLKELVMLPLQRPELFNRGQLMKPCKGILLFGPPGTGKTMLAKAVATEAGANFINISMSSISSKWLGEGEKFVKAVFSLASKIAPSVIFVDEVDGMLGRRENPGEHEAMRKMKNEFMVNWDGLRTKEKERVLVLAATNRPFDLDEAVVRRLPRRLMVNLPDVSNRRKILSVILAKEDLADDVDLEAIANLTEGYSGSDLKNLCVTAAHRPIREILEKEKKERASAEAENRSLPLSHTSNDVRALRLSDFIHAHEQVCASVSSDSSNMNELVQWNDLYGEGGSRKKTTLSYFM >Sspon.02G0042240-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2B:81744852:81749391:1 gene:Sspon.02G0042240-1B transcript:Sspon.02G0042240-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKVTVRQGVEEMKGKIWCFLATQASVVMRSICALWPFSTLGWPDLSKEDYKRFYPSTVLETGHDILFFWVARMVMMGIEFTGSVPFSYVYLHGLIRDAEGRKMSKTLGNVIDPLDTIKDYGTDALRFTLSLGTAGQFDSEASLQKLPLPECWVVTGLHELIDKVSRSYDKFFFGDAAREIYDFFWGDFADWYIEASKTRLYHSSDKFAAATAQSVLVYVFENILKLLHPFMPFVTEQLWQIRGIRNVRAEYSVEPAKRISASVVATADVLEYVSKEKQVLALLSKLDVQNVNFTESAPGDANQSVHIVADEGLEAYLPLADMVDVSEEVKRLSKRLSKMQSEYDALVARLNSPSVCA >Sspon.04G0009860-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:29239043:29242173:1 gene:Sspon.04G0009860-1A transcript:Sspon.04G0009860-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQDLRRERRAEHPGNIAVDDVNGGRLIFYDFGMMGSISQNIRGGLLEVFYGVYEKDPDKVLKAMVQMGVLVPTGDMTAVRRTAQFFLNSFEERLAAQRKEREMATAELGFKKQLTKEEKFEKRKQRLAAIGEDLLAIAADQPFRFPATFTFVVRAFSVLDGIGKGLDPRFDITEIAKPYAKELLRFNEAGVEDAKKRWERQSRAFYNLFRQPDRIEKLAQIIERLEQGELKLRVRTLESERAFQRVAAVQKTIGYGVAAGSLVNLATMLYFNSIRGPATIAYSLCAFFGLQVLIGLVKVKKLDRQERLITGTA >Sspon.04G0006450-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:17880791:17882433:1 gene:Sspon.04G0006450-3C transcript:Sspon.04G0006450-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAAREAQSGLEWRVTVPEGASVTMEHEAGGPAARACAWLLACLAKAWSGVAGFARKVWRIAADDPRKVVHGLKVGLALVLVSVFYYTRPLYDGVGGAAMWAVMTVVVVFEYTVGGGVYKCFNRGVATASAGVLALGVHWVADRSGELEPVIITGSLFLLAAAATFSRFIPTVKARFDYGVTIFILTYSLVAVSGYRVDQLAALALQRLSTIGIGIFMCLAVSVLICPVWSGAELHHLTTRNMDKLADAVEACVSDYFAEAEEATARQSKSSDGYKCVLNSKASEDAYANLARWEPAHGRFGFRHPYGQYAKVGAAMRACAYCVETLSSCAAAEAHQAPPHVKRLLHDVCTRVGARCARVLREASRSVATMTTSSSRALDFAVADMNTAVQELQGDMRTLPSSMLAVKLLAEETTSLMDAMPVFTVASLLVEISARVEGVVDAVDELATLAGFKQVDDDDDDVDKKGETEMTIK >Sspon.07G0004300-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:9847097:9851032:-1 gene:Sspon.07G0004300-1T transcript:Sspon.07G0004300-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALTTSTNQALLDAQLELWNTTFAYIKSMALKSALDLRIADAIHNHGGSATVPQIVTTVKLHPSKIPCFCRLMRMLAATGILSAKNPSGSCELVYALTPLSSLLVGSQMSLVPITAMLLHPSFVTPFLELGTWFQQELPGPCVFKQTHGQTLWEQAARDASFDALINDGMVSDSHFIMDIVIKECADAFQGISSLVDVGGGLGGAAQTISKAFPDVKCSVLDLDHVVAKAPSGTDVQYIAGDMFESVPPANAMFLKWVLHDWSDEECVKILKNCKKAIPPKEEGGKVIIIDIVVGEESSSLKHKETQALFDLYIMLVNGIERDEQEWKKIFFEAGFSDYKISPVLGARSIILVYP >Sspon.02G0045210-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2B:108564371:108564627:1 gene:Sspon.02G0045210-1B transcript:Sspon.02G0045210-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding QAKGQAGTQHPERPRLEGLLDAALANGRDTITHSSNKVTHSRDTKGQIPPPALGAQGLLGPLISPSSRAPSPLRKPQEGSPGGG >Sspon.02G0017510-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2B:50972759:50973183:-1 gene:Sspon.02G0017510-2B transcript:Sspon.02G0017510-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LKELEKMGPKKGVISQSVKDVVQSLVDDDLVLKDKIGTSVYFWSLPSCAGNQVL >Sspon.02G0013550-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2B:33637722:33639784:-1 gene:Sspon.02G0013550-2B transcript:Sspon.02G0013550-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MCEKETAQEVRMRVRSPRAEQPQTGPAVRRIFLFPSARTRVPRSFLCLSPLSRSDGWCGRRRRPNARKEASEDPDAIELACPLCRGEVKGYTLVEPARKQLNHKRRSCMEDGCSYMGTYRELCKHVRKKHPSANPRAVDPLHAYRWKRLIFRSSLQDMICSTTSEVMRRLFSLMLQFDELVGALREGGDRHGATNDNSLQSASAETTDP >Sspon.05G0013930-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:49891439:49893352:1 gene:Sspon.05G0013930-1A transcript:Sspon.05G0013930-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LREWHASLLASLLNTSTATVLEEARTPEGGQLVYSYQHVVSGFAARLTVPEVDALRKLHWCVDAIPDVNYRLRTTYTPTLLGLNTPQTGMWAAARSMGEGVIVGVIDNGIDPRHASYGDEGMPPPPAKWRGRCEFGGAPCNKKLIGGRSLTPGEHGMHTSSTAVGAFVGDVQMFRTKVGTASGMAPRAHLAFYEVCFEDTCPSTKQLIAIEQGAFMDSVDVISISAGDDTQKPFYQDLTAVGSFSAVTSGVFVSTTAGNQGPYEGTVTNCAPWVLTVAASTMTRRVVSRVRLGNGLVIQGEAGKRYRALKPAPLIYVQGVFENGSLNAVDVRGKIVFCDRSEGATLRGEKVRAAGGVGIIFFNDASEGGVTSFVGNVSIAAARVSQADGEKIMSYINSTTNPTASLHFTGVTLDPSYQPAIAIYSSRGPCNMSNLGVIKPDITGPGTNIIAAVPGGAGGNGSAPTRTFGLMSGTSMAAPHLSGIAAVLKRARPGWSPSAIKSAMMTTADVTHPDGTPITDQITGKPAGHLLMGSGIVNPTKALDPGLLYDLSGLDYIPYICGLGYNDTFVNEIIAQPLRNVSCTTVSKIEGKDLNYPSFLVTLTAAAPVVEVRRTVTNVGEAVSAYTAEVVAPQASPS >Sspon.01G0039920-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:30581116:30583990:1 gene:Sspon.01G0039920-2C transcript:Sspon.01G0039920-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAMDLMRRMPPRSAETALNALLSLLPDHSLDLLSQVDLPLQVCMDKESLKEYILCEYNRDADSYRSPWSNKYDPPLEDGTVPSQEMRNLEIEANEVFSVYRDQYYEGGISSVYIWEDEDNGFIACFLIKKDGQGKRGYMQIGSWDAIHVIQVGPEEEGVAHYCLNSTVMLSLTTDNKQSGTFNLSGSIRRQMSMTLAVADGHLVNMGKMIEEMEGKLRNSLDQVYFGKTREMVCTLRPPPEVLNMRLPDS >Sspon.01G0010140-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1A:28364461:28373119:-1 gene:Sspon.01G0010140-1A transcript:Sspon.01G0010140-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SLQGAVCGQSSCFRI >Sspon.01G0005150-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:13617125:13621410:-1 gene:Sspon.01G0005150-1A transcript:Sspon.01G0005150-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFIESFRVESPHVRYGPTEIESEYRYDTTELVHEGKDGASRWVVRPKSVKYNFRTSTAVPKLGVMLVGWGGNNGSTLTAGVIANREGISWATKDKVQQANYFGSLTQASTIRVGSYNGEEIYAPFKSLLPMVNPDDLVFGGWDISNMNLADSMTRAKVLDIDLQKQLRPYMESMVPLPGIYDPDFIAANQGSRANNVIKGTKKEQVEQIIKDIREFKEKNKVDKIVVLWTANTERYSNVCTGLNDTMENLLASVDKNEAEISPSTLYAIACVMEGVPFINGSPQNTFVPGLIDLAIKNNCLIGGDDFKSGQTKMKSVLVDFLVGAGIKPTSIVSYNHLGNNDGMNLSAPQTFRSKEISKSNVVDDMVSSNAILYEPGEHPDHVVVIKYVPYVGDSKRAMDEYTSEIFMGGKNTIVLHNTCEDSLLAAPIILDLVLLAELSTRIQLKAEGEDKFHSFHPVATILSYLTKAPLVPPGTPVVNALAKQRAMLENIMRACVGLAPENNMILEY >Sspon.05G0031810-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:9955082:9960060:-1 gene:Sspon.05G0031810-1C transcript:Sspon.05G0031810-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKASVALFLAVNLVVFAMASACGGDCPTPTPSTPSTPTPTSASFGKCPRDALKLGVCANVLGLIKAKVGVPPTEPCCPLLEGLVDLEAALCLCTAIKGSILGINLNLPVDLSLILNHCGKTASVALFLAVNLVVFAIARASGGDCPTPTPSTPSTPTPTPVSFGKCPRDALKLGVCANVLGLIKAKVGVPPTEPCCPLLEGLVDLEAALCLCTAIKGSILGINLNLPVDLSLILNHCGKTVPTGFKCL >Sspon.02G0020090-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:67155194:67159563:1 gene:Sspon.02G0020090-3C transcript:Sspon.02G0020090-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVRLSLRPAPGRLERWVGSLPAAGSWGGRPTLPIGSVRSHFIRSNPPPGVVERGARPPRAAMGGDLYALDFDGVLCDSCGESSLSAVKAAKVRWPSLFEQVDAAMEEWIVEQMYTLRPVVETGYENLLLVRLLVEIQIPSARKSSVADGLSIQEILENWLKLKPILMDEWQEDRESLVDLFGRVRDDWIENDFSGWIGANRFYPGTTDALKLSSSEAYIVTTKQSRFAEALLKELAGIDFPSERIYGLGTGPKVEVLQQLQQMPQHQGLKLHFIEDRLVTLKNVIKEPALDKWNLYLVTWGYNTQIEREEAEAIPRIQVIDLPDFSRQLK >Sspon.05G0024140-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:10176095:10177805:1 gene:Sspon.05G0024140-2C transcript:Sspon.05G0024140-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ISMAGTAAATAATVCSMCGDVGFPEKLFRCARCRHRFQCRYCTNYYGDSAPASAGSDMCDWCLSDVAGGKARWSSSSPVGTKQHATAGSQESSSTTTSSGGSGSGSGRGSGGGKASGGDQAELAGRRATTRAAGRRYKLLKDDVGVKQPYTKTVVADRDMLHKPQAPTISP >Sspon.03G0018560-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3A:57414911:57423313:1 gene:Sspon.03G0018560-1A transcript:Sspon.03G0018560-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding NDEDVLGASYRQCIGNMHFANTSKDTFWKSRDMGWKYGIMIDENRQHWKCMYCGLIRYGGGVSRLKRHLAGDLDVKMCPKVPADVVEEIREHLRKKRERRRKRAAQNGGDNVKTKSPSGDADVEKDLLPSDSVLPDGTGTNVLEEFPMLRARDIGWEHAVDLDGNKRRWQCKFCSLCRSGGVTTLKAHLIDDSCPNVPKEISKKVSNFIEEKRATRLLLNNYVFNVDEDFNTQVQGEGTVEYVNEQQPSRNATYVQILDKGAINEKIAAGSKHCGAESSGQPVERCDQPEEQCTMDYGRMDQVTSNKNQILDKNTENSKNTKMLKPCRKSEFNTRKHIIIVDQRHWRCRYCGMDGYGKKFWLRHHLAGAFRQPKCPNVPRQVFAKARRHALTKRRLKKNKSEQQIPSSPHILGQSGEERQNNDPLCGNQSQLSINPREAHNYPAVLRDSAWEHSLIYEKENGNWKCKWCSIEGDHGLTRLKWHLVGWQDHPQCPNIPMDVAEKMKDQMMSKEEQKARSGLFDGNGYCDVLCSSKSSQLDQDHLTATIHDRCSSQAFDQANSELKGCNMLSSTSLLSQESSNPQVHHEDPQVCHEQERKEVATTSEPGCEQGQGMQWQSQNKPMMEEGPHGNGLCGDTNKLEEQRSDFGISDCWRYVLDGLMHLPDVQEDAGIGTCIRDALLYGCAEFGTVADKVEMDHDKTVNANTAKCQNILMDVLRSENFALLCSVLCRTVHQDGERTRYFDFGVIDSRMKNGNYGHEPELFVHDLKLLWEDLKVAGQDIIHLANNLSSLTEDSYEKLAS >Sspon.03G0021170-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3A:65150084:65150335:1 gene:Sspon.03G0021170-1A transcript:Sspon.03G0021170-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAANRARPAMAASVVLCLLLLATGPQKAAGADQGQYLSYDKVLSCKVLGNCEKNQGPEAKRPGKPANEYTRGCSKIFQCRG >Sspon.07G0021610-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:4151624:4154529:1 gene:Sspon.07G0021610-2P transcript:Sspon.07G0021610-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MELGLALRFVAPPPLLPPCLSHRALALPPDFISPCVLQGRRVRASRLKHGAGAVCNAIMAYSGVEEDEMVEEEVEEEEEEAEPAVSTRPRLELIEKPDRSLALLDEYESEELGTSLCANHRSGYVAVLGKPNVGKSTLINQMVGQKLSIVTDKPQTTRHRILGICSEPEYQIILYDTPGVIKKEMHKLDSMMMKNVRSAIGSADCVLVVADACKAPEKIDEMLEEGVGNKDIGLPVLLVLNKKDLIKPGEIAKKLEWYQKFTNVDDVIPISAKFGNGVDDIKEWILSKLPLGPAYYPKDIASEHPERFFVGEIVREKIFVQYRQEIPYSCQVNVVSYKSRPSAKDFIQVEILVEKESQRSIILGKDGKAIKMLATASRLDIEDFLQKKVYLEIEVKVKENWRQDERLLKRYGYGGEIQAL >Sspon.05G0010450-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:20530992:20532621:1 gene:Sspon.05G0010450-2B transcript:Sspon.05G0010450-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTATATAVPEVALQSGDARPMPMVGMGTAQSPVVNEATKNAVLAAIEVGFRHFDTAFMYGTEKPLGEAVAEALRRGLLRSRDELFVTSKLWCTQNHPDLVLPSLRETLKNLQMEYVDLYLIHWPVCIKPGPPKFPNKKEDAVPLDFEGVWRAMEECQRLGLAKAIGVSNFTTKHLDRVLDVATIPPAVNQVELNPVWQQRTLRTYCADRGIHVAAYSSLGGQNWDGQGNAVLDSEVLAEIAKARGKTVAQVALRWIHEQGVTCIVKSYNKERLKQNLEIFDWELTEEDRLKISQIPQRKVVQTSGLFSQDGEFTTVDPAELDILEE >Sspon.01G0020150-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:73448959:73449953:-1 gene:Sspon.01G0020150-4D transcript:Sspon.01G0020150-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTGVRFTVGRSEDATHPDTIRAAISEFIATAIFVFAAEGSVLSLGKMYHDTSTAGGLVAVSLAHALALSVAVAVAVNISGGHVNPAITFGALIGGRISLVRAAFYWVAQLLGAVAATLLLRLATGGARPPGFALASGVGDWHAVLLEAVMTFGLMYAYYATVIDPKRGHVGTIAPLAVGFLLGANVLAGGPFDGAGMNPARVFGPALVGWRWRHHWVYWLGPFLGAGLAGLVYEYLVIPSADAAAPHSTHHQPLAPEDY >Sspon.08G0005910-3C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8C:18412044:18415251:1 gene:Sspon.08G0005910-3C transcript:Sspon.08G0005910-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger protein WIP5 [Source:Projected from Arabidopsis thaliana (AT1G51220) UniProtKB/Swiss-Prot;Acc:Q8W031] MGDPYTNFLRGYYSHFPPSNAPPASSYLHHPPPPPSPPIREALPLLSNLTPASSATNHHHGSDVRDHKDCKHATSCSDNQEAADQAAAGEVTVALHIGLPSPSPSESAADGGESQEPAAAEGRSQLQQQGVDHEVGGGGEEEEGGEEDAAMAVGCASIGIGRLTKGQYWIPTPSQILIGPTQFSCPVCYKTFNRYNNMQMHMWGHGSQYRKGPESLRGTQPTAMLRLPCYCCAAGCRNNIDHPRARPLKDFRTLQTHYRRKHGIKPFMCRKCGKAFAVRGDWRTHEKNCGKLWYCACGSDFKHKRSLKDHIRAFGHGHAACGIDCFDDLDDDDRDPSSEVDHAANNTTASTTTTSAAAANAHHHHNSWKPPPPPPPMSSSSR >Sspon.05G0026860-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:46175585:46189428:1 gene:Sspon.05G0026860-1B transcript:Sspon.05G0026860-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AISGKLNKNTISLLVKTKNDEVTSFPLYVEALKFAFHEDSMIRVAIRTLTLNVYHVGDESVNRFVSRVPLSDYFSDMVQHFQKQCIDLDKLVARSSRNANSSLPMSSVEDAIVQIEDTLYYFSDVMSSGIPDLERFITENILQVLVFRFLLPSLQMQSTDLDLSVTTSIYLLCCILHIFKNKDMSSTVAAALFHQPDGPHDRKQGTPNGYTSEHDNCKFENHCTTASAVKQSNEDEPNSLSSVSWKRLPDNSPPSDCCQGNTPREHLLSYITEGDDSQALGSLCLFATLLQTKELDESMLDALGILPQRKQHKKLLLDKYGLQCHHPRQMTSKAHRYQVLDALVDLFCRSKVSADVRLVGGWLFRQLLPHGEEEFTAFHLRRLKDSHKDCSSKLSEESGGCWCDMLLPIVKEAWRNCKKGDSSVAIAEGVYEMVKVWMDLY >Sspon.06G0027850-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:89585448:89585993:1 gene:Sspon.06G0027850-1B transcript:Sspon.06G0027850-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGDHGSKVDISFAGRFTASAIAACFAEICTIPLDTAKVRLQLQKNVVAAAAGDAAPALPKYRGLLGTAATIAREEGAAALWKGIVPGLHRQCIYGGLRIGLYEPVLSSPPQPTSSSYSLIN >Sspon.03G0007580-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:7948033:7949939:-1 gene:Sspon.03G0007580-4D transcript:Sspon.03G0007580-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding KHHPQRRSTPAGFPPAISRRRYDSPDPVGGHRRRFRGRSRSMALASRLAQLQAKACEATRFVARHGCEYQRSLVEKNKKYVVEPPTIEKCQELSKQLLYTRLASLPGRYEAFWKELDQVKQLWKNRNDLKVEHAGVAALFGIELYAWFCAGEIVGRGFTLTGYHV >Sspon.02G0038190-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:1510658:1512900:1 gene:Sspon.02G0038190-2C transcript:Sspon.02G0038190-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAVELRIGPLDWAMDEEVEDESPGVGDEDGTDEDWVLDMERKGRRKRNRSSPRRRPRPKRRRSAAAVAPQPASPAQSPSESEPCPVTAEHSAEQGFNAAATATAAAVAEGVKEEEDEEDGLRKEEGENVAPSTSGRGGGGRKPRRSCHQCKTVRSPEETMMIRCQRCVKRIYCVRCVTNTYTMMSVDDVREQCPFCRGLCTCTPCLNKDKQLRPESLRKCNSNVSSKREKRSTSAGVKSPQARSAAPCTEATGLSFVTTNGVNNVSAMSAEADTSDVRAEEVDPETKRKYASYLLHYLLPCLTQLNKDQMEEREAEAKIQGLQLSELIVEKAVSWNDERVFCNNCGTSIFDLHRSCSSCPYELCITCCKELRGNCLKINCQEGLIPKDKSRGVDYMHGGDCKPPNNSENDRETGLSSYQSKSIKWEADPGGTIRCPPSELGGCGNHVLELKQIFETDRLSKLEMEALRLRNQKEPSDIISIDIIECSCSTNHASSRKAATRENSTDNYIYCPISDDGKPDGLKHFQKHWVKGEPVIVQGVHNKMKDFCIQKNKMSELSWSLKK >Sspon.01G0029430-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:98564168:98566234:-1 gene:Sspon.01G0029430-2P transcript:Sspon.01G0029430-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMSNASSGMGVAPNIRETFVELQMKKAFRYVIFKIEEKQKQVVVEKTGATTESYDDFLASLPENDCRYALYDFDFVTGENVQKSKIFFIAWSPSTSRIRAKMLYSTSKDRIKHELDGFHYEIQATDPSEVDIEVLRERAH >Sspon.08G0015310-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:58548224:58550314:-1 gene:Sspon.08G0015310-2D transcript:Sspon.08G0015310-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding METFGAAVDSEDDDFLDQQPDQGGDDFMSDDDDFLHQQTGQGEEEEDYFMSDDDDDYWSTQGDDDDFMSDDDDEDEDKEYPFPVHAQPAAASEAAIAALEATEAPADDCCPVCLQNGEAPAPVPAPVPAATPWSRVAPCGHRFHTACVDKWLRVKLSCPVCRCPAAPAAACRRDAVPEPARSRTSSRSSWRWANGGAID >Sspon.04G0013000-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:55824086:55829332:-1 gene:Sspon.04G0013000-3D transcript:Sspon.04G0013000-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYLSTTRFIPVYHRCLFFSASSSPPVVTPRCLVPTLSCHGRDLLDVMPNGGSRPPGTGESRAGPAGAPRGTNGSTVRKDATAQEARTPLWREGSRGEPRTWRKGDRVVLQERAEGESQEKSWRRGLTRSLGSEGPRVGKRWTRDGNGMRAKGSGKVGNSRDEGTGTRNASKKMKRSKDGEHAGKLRVELDMCSKRGDVMGAIALYDSAVEEGIMLGQHHYNVLLYLCSSASLGFVQPAKSGNTSSGIASIGPSQKLGPLPRGNLGGSEGDDASEGHVQDLGKNKASLLPGGSKAQPVSIPVGDDLREYACARGFEIFEKMCSEKERVQMSEAALTAKARMALSMGDSDMAFEIVKQMKDLGLKPKLRSYGPALTAFCNSGNVEKAFEVEAHMLESGITLEEAELETLLRASVVCRRGDKVYYLLHKFRTTVRQVSPSTAELFEAWFRSPTASKVGKRKWDAGAIAKAIENNGGGWHGFGWLGRGKWTVTRSNINKNGVCLACGEKLAIIDLDPKETEEFARFVAKFAIKRERNLNFENFQKWLEKHGPFEAVVDAANVNAVADAIRQRSRKWPLILLHNKHLTGERRKKPGHHKLVEKWKQANSIYATPTGSNDDWYWLYAAIRCKCLIITNDEMRDHTFQILERNFFPKWKERHQVHFSIEDSCVIFQMPPPYSVVIQESDKGHWHIPVSEEGLLEKDRTWLCVTRQNSQAHLEYSKDLVGGQE >Sspon.04G0005860-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:16583480:16585777:1 gene:Sspon.04G0005860-1A transcript:Sspon.04G0005860-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKARVSRTGLLVAATWAYLCAVAASAFDVPTVAFEERFSPLFGDGNLVRSSDDRSVRLLLDRRSGSGFVSSDYYLHGFFSASIKLPKDYTAGVVVAFYVSVPAQSIDAATTFGFDSISEHSSPKAPFLLYYTSVSRPPRFRTVGSCLVTYSHGVSTRIQQPPASHSKLPVLRPCPAPQSTLQGVPHGLLGLCSPERLRRLAPGINLSNGDVYEKTHDELDFEFLGSRWGGQWRVQTNVYGNGSTSHGREERYLLPFDPTLEAHSYSVLWAPTHIIFYIDDTPIREVIRHPDMGGDFPAKPMAVYATIWDGSAWATEGGKYKVNYKYAPFASDFSELAIVGSRADPVLRVPRRHGAHQDLLGLMTADYAVMTPQKRAAMRAFRARQMTYTVCYDAVRYADGPFPECDNSDEERESFSAWGESKTVIMRPRARGRRRGRKAGAGASARGRADVSSS >Sspon.04G0015790-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:67845617:67853295:1 gene:Sspon.04G0015790-3D transcript:Sspon.04G0015790-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Outer envelope protein 80, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G19620) UniProtKB/Swiss-Prot;Acc:Q9C5J8] MGRSRDVRFVSSGVKLPSAPAPPPSPAPALLSAALPFAHIGRAIDAAARRLGSCLPRVPVARADPAAPPPLRRHGKDGGGPEERVLISEVAVRGKDGEPLERAELEAAAAAALRACRPNAALTVREVQEDVHRIVESGLFRSCMPVAVDTRDGIRLVFEVEPNQDFHGLVCEGANMLPSKFLEDAFRDRHGKIINIRHLDKVIKSVNGWYQERGLTGLVSYAEILSGGVLRLQISEAEVNNINIRFLDRKTGEPTVGKTQPETILRQLTTKKGQAYNRAQVKRDVETILTMGIMEDVTIIPQPVGDSNKVDLVMNLVERPSGGFSAGGGISSGITNGPLSGLIGSFAYSHRNVFGRNKKLNLSLERGQIDSIFRLNFTDPWIDGDNKRTSRTVMVQNSRTPGTLVHGGDHPDHGPITIGRVTAGLEYSRPFRPKWSGTLGLIFQHAGAHDDKGNPVIRDFYNCQLTASGHDYDDTLLAKFESIYTDSGDHSSTMFVFNIEQGLPVLPEWLSFNRVTARLRQGYEIGPARLLLSASGGHVEGNFPPHEAFAIGGTNSVRGYEEGAVGSGRSYAVGSGEVSCRLFGPLEGVIFGDYGSDLGSGPKVTQLELVESQVVAMATVLASAWTPHWDLCGLSMPSMISKPADFILVLATEINMYIGHIQIQPCSSEHFGLVETSAMPSIFWRCQSKFCLGQISFSVTYSSFTFVECSSAREIKWTPTGRSSYL >Sspon.08G0023030-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:52629790:52635597:-1 gene:Sspon.08G0023030-1P transcript:Sspon.08G0023030-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPPPVDRQMAEIAAEPDRAAAYARLLHLQRSCADDPSAAADLAAELPSTLLPLLLRDAAADDESVAASALKCLGFALYHPVLVSTISAQMAQLVLDTLVQIIMNTRMKSACNLGVWCFSVQQLEPSIIEDRADPMLIAIVHALDNPFGSLSTTFEAAQAIMKLAAQSPKRMRDLSSLWVPPVYRRLLSADKTERDVAERCLIKLSYLEQKLLSCMVNMLDDPSKKVQTVKSWGWIISLLGPDAVNNRPLLNKLLKVPEQMFSDLNPQVQVATMVSWTKLVDAVFPSQATEIVVQQTVISPLKSIEQASAQVKRIRLIMVPLCRVLSRSRNIALSSSCLSTWHYLLHRLGNLINHLPILEAAFGPILKIVFSFGISDQNKPLWSFCLNLFHDFVSSKNRDREDLCAPVNQNLLAQSCTHIKALLDVQHIKWLPWDISCFHFQLDILGIILKPELFQDMIPEILVIVMDSATEIFRFLLQGIQIELREQYAYEQVNECITNVCTFAKKLLLDHIVKNSVNKCATLLEFGLRFVKVIVDELDHSLLASENIEVCLDIEHIKDNQHAEYSPKVSLPRIRSLSYMEMASPAVYVAALSLSMISQYTGELSHRDAEKLALILASSLDVLESFHTAVSFMYMQIRRPTYNRERLKWLMVWNLYAKQLNRKIISYLETDSALSYHDVLHQFFCYPFLIFLNAENSSESSVSVLQDLEVESTIEIYRSLSTNSCNSKLSSMVFFDGFYKYLVCTIDGNMALFQANLEHLSDKFENATILSALGEIVIGLLQNDQMLIYANQELKETSEDSAVCRQRKLFLNWFKLANRFMRLSSFHFKANPAGQHQVTSRFFSTLSNFVGHLVLKEDILLLFEIIGDQLTEWLALTTILYSEMQQGEIIVHLENLWLKMVECLKRSQLVSDVPIINQKQRLLQAALNHPHHPISVATASVCRAGTHGNAVLHSGCLNSEFDELLMPRRKDLNSSRKTAITSSATDLMAERDGGSVNVSVGLGRKRLKIMKYSTKPKVFNKSTARMGFSPRNMENRVCRKPELILEMLQRKT >Sspon.01G0007370-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:20332181:20332691:1 gene:Sspon.01G0007370-1A transcript:Sspon.01G0007370-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ASAGGFMVAFAGRKYAARSIPIFVSNTSVTVSSFTLVLEFNKGRLQNLHWKKDGCGACSGKSNFVCLGKQTCAIRTQSCKSQGPVDCSIGIQLAFSGTDKHESVLNSWYEVSNLRQYSLYGLYSNLKDTLSGQFNKIF >Sspon.02G0001770-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:6771014:6774200:1 gene:Sspon.02G0001770-4D transcript:Sspon.02G0001770-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVARLRAAAAARLQPALAGCGERRPLGTAAEVATAAGPGAARWELMGAREYYDYRRAIYGDITHKAILVDAAGTLLAPTEPMAQVYRTIGQKYGVDYSEDEILMRYRRAYAQPWGRSRLRYVNDGRPFWQYIVSSSTGCSDLQYFEELYQYYTTEKAWHLCDPDAGRVFEALRRAGVKTAVVSNFDTRLRPLLQALKCDRWFDAVAISAEVAAEKPNPTIFLKACELLGVKPEEAVHIGDDRRNDIWGARDAGCDAWLWGSDVYSFKEIAERIGVEV >Sspon.03G0010130-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:27402411:27406636:-1 gene:Sspon.03G0010130-1A transcript:Sspon.03G0010130-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATSSTTYLAIARKTLNPAPSAGTAATSVSFPATQPPCHLAASADRGRRAVAAKVSSPSVIGTAMPSLDFETSVFKKEKVSLAGHEEYIVRGGRDLFPLLPEAFKGIKQIGVIGWGSQGPAQAQNLRDSLVEAKADVVVKIGLRKGSKSFEEARAAGFTEESGTLGDIWETVSSSDLVLLLISDSAQADNYEKIFSHMKPNSILGLSHGFLLGHLQSLGLDFPKNISVIAVCPKGMGPSVRRLYVQGKEINGAGINASFAVHQDVDGRATDVALGWSVALGSPFTFATTLEQEYRSDIFGERGILLGAVHGMVEALFRRYTEQGMDEDSAYKNTVESITGIISKTISKKGMLEVYNSLTEEGKKQFIEAYSAAYYPCMDILYECYEDVSSGSEIRSVVLAGRRFYEKEGLPAFPMGNIDQTRMWKVGERVRSTRPQGDLGPLHPFTAGVYVALMMAQIEVLRKKGHSYSEIINESLIESVDSLNPFMHARGVAFMVDNCSTTARLGSRKWAPRFDYILTQQAFVTVDKKAPINQDLISNFMSDPVHGAIEVCAQLRPTVDISVTADADFVRPELRQSS >Sspon.05G0002580-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:12334823:12337508:1 gene:Sspon.05G0002580-2D transcript:Sspon.05G0002580-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQTEKAFLKQPKVFLSSKKSGKGKKPGKGGNRFWKSIGLGFKTPREAIEGTYIDKKCPFTGTVSIRGRIIAGTCHSAKMNRTIIVRRNYLHFVKKYQRYEKRHSNIPAHISPCFRVKEGDHVIIGQCRPLSKTVRFNVVKVIPAGSAAAGKKAFTAA >Sspon.04G0010170-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:30124605:30125267:-1 gene:Sspon.04G0010170-3D transcript:Sspon.04G0010170-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLLFIMLLLLLLLTLIRYYSTYGHVARLAEEIKEGADSIDGVEATIWQVAETLPEEALAKMHAPAKSDKHPVISGKQLADADGILFGFPSRFGMMAAQMKALFDSTGGLWQTQALAGKPAGFFFSLGTQGGGQEETALTAVSQLTHHAMVFVPVGSTFGAGMFDMDEVRCCSPYGSGTFAGADGRSRLPSDAELQMAVHQGSYFAAFAKKLKAGAGVVA >Sspon.04G0006410-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:17459296:17468580:-1 gene:Sspon.04G0006410-3C transcript:Sspon.04G0006410-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLEMEAMAASIGVSVPVLRFLLCFAATIPTGLLWRAVPGATGRHLYAGLTGAALSYISFGATSNLLFVVPMTLGYLAMLLFRRHAGLITFLGAFGFLIACHVYYMSGDAWKEGGIDATGALMVLTLKVISCAINYSDGILKEEGLRDAQKKYRLTKLPSLIEYFGYCLCCGSHFAGPVYEMKDYLEWTERKGIWASPTPSPLLPTLRALVQAGICMGLYLYLSPKFPLSQFSEPLYYEWGFWHRLFYQYMSGFTARWKYYFIWSISEASIIISGLGFTGWSESSPPKAKWDRAKNVDVLGVELAGSAVQLPLVWNIQVSTWLRYYVYERLIQKGKKPGFLQLLGTQTVSAIWHGLYPGYIIFFVQSALMINGSRVIYRWQQAVSSSFLRGILAFLNFAYTLLVLNYSCIGFQVLSFEETLASYKSVYYVGTIVPIVCILLGNVIKPARKAKARKTE >Sspon.07G0029270-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:75426002:75427732:-1 gene:Sspon.07G0029270-1B transcript:Sspon.07G0029270-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHGVSTYNMSWKAAGRAFTLSYTVLNIIGCDFDIYRYRFHQDTNAPVRLSTVTCPDAEITEEVARQNCNGTGCYSIRLSSVEAFQLEFVLHSRGALGTHRSSLWESIKVTSAYAGIRWSIVDRPTCDSAKDDRAKYACVSNNSTCYDAYGTQDNFGYICSCDGGYVGNPYVQNGCSRDTGYNPIRQKDNCQQLCGNISVPFPFGLEEGCFARKLFQLNCTNTTSSSLQFDDEHQVTYIHINEGPVDIKYTPSYEQEMSVYVSKEPGLYIGSGVSSSVNWAVANLTCQEAMQNKSGYACGFEGNPYIHSGCQ >Sspon.05G0012450-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:31158847:31165476:1 gene:Sspon.05G0012450-3C transcript:Sspon.05G0012450-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRSPELRWLGSLTRPGRLAPSPLAALASPRRRRRAPSPSQPPSSPSPSDSSTPSTAPASAGVPGAEGLDGPEWKKVSAKRFGIKESMIPAEAWNVLHRLRSRGYDVYLVGGCVRDLIMKKTPKDFDIITTADLRQVKDTFSGSAVIVGRRFPICHVHENNSIVEVSSFYTSARGSSGSQIYNSKSQNCSKNDFVRWKNCQGRDFTINGLMFNPYSEKIYDYLGGIEDIKKAKVRTVIPAGTSFQEDCARILRAIRIAARLGFSFPKETAYYVRTLAYSVARLDKGRILMEMNYMLAYGSAEASLRLLWRFGLLEHLLPFQAAYFSSTRFKRKDKGTNMLLVLFSKLDNFLAPNRPCHNSLWISLLAFHEALARKPCDPLVVATFALAFYLGGDMSLALDIGKSINRQHDTGFRELLEPKVWTDKHLAGEVRSFAALMKRALTEMTDECHVANAMAKIPQAPSSDLVFIPLQAYLKVLKFIESVQYGKKERGYEPKRDGMINYHNLSNGTHAEIRNLFTLVVFDTLYPTDMEDEDGCSS >Sspon.08G0003730-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:10427540:10431199:-1 gene:Sspon.08G0003730-3C transcript:Sspon.08G0003730-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGPPGPSQAIPVSGDAYCNSSAAPADAAGSSSPAVAKLRKLLFRRMLIGVNDGRYFHGLFHCIDKQGNIILQDAVEYRSAARHCSPPTEQRCLGLILIPAACRSSCQVDCSVEEKMSLLSSSSGTPMNLARNL >Sspon.05G0011960-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:5C:26318830:26319297:1 gene:Sspon.05G0011960-2C transcript:Sspon.05G0011960-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ferredoxin [Source:Projected from Arabidopsis thaliana (AT2G27510) UniProtKB/TrEMBL;Acc:A0A178VWS0] MATFTSPVLCNFMTIQQKSAPLLNNSTKRPLHLSFPGTTRSVPTTLPGFRARQDLRVAAVYKVKLIGPEGQESLIDVPEDSYILDAAEEAGVELPYSCRAGACSTCAGKVLEGSVDQSDQSFLDDTQVAAGYALTCVAYPTSDCVIQTHREADLY >Sspon.06G0019930-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:5762568:5766242:-1 gene:Sspon.06G0019930-1B transcript:Sspon.06G0019930-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQSEGEAEAACAAVLTPPLSLEGGLAAELRPANLVQRVLSLFRNVRPGSDLSHLQLPATFNLPKSQLQLYGEGVYCAGEDLLGRCARGADSLERLAAVVAWSISTTRPPIFGFAPYNPVLGETHHVSTSGSSGGLNVLLEQVSHRPPVSALHATDARGEVRLVWCQSPAPRFHGASVEAAVRGARELRLPRHGETYVVGCPNLVIRLLPSPGVEWAGDVRVVCAESGLEAQLSYCRTRRSFLGFGGGDARCVRGRIFRSAAPEETLCEVDGFWDRQVSIKDVATGEVSVLYDAQRAIGDLATPVVQDPKGVSASESAVVWGEVSDAILKKDWETARQAKRRVEDTARRLAKERNDKGEVWTPKHFSLSQNKDGDRECWPLEETVPPAPIVVPSPSSSL >Sspon.01G0011590-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1A:31580773:31583327:1 gene:Sspon.01G0011590-1A transcript:Sspon.01G0011590-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRPFSLLALLSLLLVGISLGSLRSGSAATTTTGTPDGSELWGYVEVRPKAHLFWWYYKSPQRTSTPTKPWPTVLWLQGGPGASGVGFGNFQEIGPLDVNLQPRNSTWLQKADLIFVDNPVGVGYSYVEDDSLLVTTDWQQATDMTTVLKALVKEVPTLQSSPLFLVAESYGGKYAATLGASVARAARAGELNITLGGVALGDSWISPEDFTLIKASSTWIVQLSYTPLLLSVSRLDDNAADEANKMAEAVKEQIAVGNFSDAEGSWNDLLSFIGSRSGDVDVYNFLVGSLDQATAETPAASSPSTVKAMMRYSRYLSGKQGDLPGIMNGVIMEKLKIIPKNLTWQGLNNDVYNALVNDIMKPRIEEIDELLSYGVNVTVYNGQDLDLTRWVHAS >Sspon.01G0018590-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:74847797:74858906:-1 gene:Sspon.01G0018590-2B transcript:Sspon.01G0018590-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVLWCVLAASTVIAGVAGWSPGTATFYGGSDGSGTMGGACGYGNLYSAGYGVNNAALSQTLFNDGASCGQCYAITCDGSGSRTGSQYCKPGNSVTVTATNLCPPNYGLPNGGWCGPGRPHFDMSQPAWETIGIVQGGIIPVLYQQVKCSRSGGVRFNIAGSNYFLLVSIQNLGGSGSVAAASVKGTNTGWIQMSRNWGANWQALSGLTGQALSFAVTSTAGDQERRDPDNEVLAELLLLLLLVDQTHGSAAADVHATERACPLVARRMLFLWSVLAASNVIAGVAGWSPGTATFYGGSDGSWTMGGACGQPVQRRYCKSGNTVTVTATNLCPPNYGLPNGGWCGPGRPHFEPAWENIGVVQGGIIPVLYQQVKCSRSGGVRFNIAGSNYFLLVSIQNLGGSGSVAAASVKGTNTGWIQMSRNWGANWQALSGLTGQALSFAVTSTGGQYIQFLNVAPTWWQFGQTYSSNQ >Sspon.06G0016540-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:74120555:74128078:1 gene:Sspon.06G0016540-2B transcript:Sspon.06G0016540-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPIKVYAHRWSQPCRAVIIFCRVNKIDFEEVTVDLFKSQNLTPEFKKINPMAQVPAIVDGRFKLFESHAILRYLASIFPGVADHWYPADLFIRAKIESILDWHHSNLRLGAGTFVYYTALAPFLGLRPRPEATKHAEKVLMQSLARIESVWLKGDAKFLLGSPQPSIADLSLVCEIMQLEALGDDMRNKFLGGHERILAWIDNVRKATSPHFDEAHVFLFEVKAQMQSKAAASAAAAAKQGGSEASSKLKFASKL >Sspon.03G0022390-1P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3D:54362088:54362564:-1 gene:Sspon.03G0022390-1P transcript:Sspon.03G0022390-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSATSAAAQEPPPSQGMQRAPAPRVRARCGCGCALNLVLFLAVTTSFAGAAYRARHRPRDLAFVATAYYLLALLLCCVAKLELLRADPAAGDAQRRRARLAAWAVSVALSVMFASRVADIMPMLPLKLAVWAVTAVFLALGLYLLLCCHDADRPAEPE >Sspon.03G0004140-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:20571023:20573417:1 gene:Sspon.03G0004140-2B transcript:Sspon.03G0004140-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAAARHVVAVPYPGRGHINPMLVVCRQLAAADAAISVTVVVTEEWHALLAAAGVPDALPDRVRLATIPNVIPSERNRGADFAGFVEAVLVKMVEPVEQLLDRLTLEKKPDAIVADTFLTWGVAAGAARGIPVCSLWTQPATFFLALWHVDRWPTADGDQGEEGLSCESLDQYFPFPALSSVKCSDIKIFRSMVLPMKRCAQVFSNVRKAQCVLFTSFYELETGAINGTRQVVPCPIYTVGPSIPYMPLKGDSDKLHHENYFDWLDAQPRNSVLYVSFGSYVSMPSSQLEEVALGLHESTVRFFWVARDRATTTTLHQISGDKGLVVPWCDQLKVLCHPSIGGFLSHCGWNSTLEAVFAGVPVLAFPVFWDQLVIGHLVADEWKIGINLREQRREDGVVSRAAISDAVTKLMDVSDADSLEMRRRAAELREASHSAIQEGGSSRCSLNNFVRDIMEGRLNVAETL >Sspon.01G0033140-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:112032206:112043458:-1 gene:Sspon.01G0033140-1A transcript:Sspon.01G0033140-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative pentatricopeptide repeat-containing protein At1g03510 [Source:Projected from Arabidopsis thaliana (AT1G03510) UniProtKB/Swiss-Prot;Acc:Q9LR72] MPSPSRHQRLASLTKLLTIHVNAGRHRDALGLFSRMLSAPDLPPLTDPSFAHAFPLALKSATALRVPGVAAAFHALAAKCGLLSSPFLASALIASYGARVVRGAGGASYELTRRLFDELPARNAVVWSAMISVHVRAGDLAAAVWALDHMDVAPTASCFNTVIAVVAESREHPTRAIEVYQHMRRVGVPPSFITLLALVPACTAMGALTSIKEVHGFAVRHGMCARSHVGSSLIEAYGRCGSLAAAQRVFNQVHDRDVVVWSSLVSAYAFHGRAEVAMSLFQHMEDQDDVRPDSIMFLSLLAACAHSGRADDALQYFDVLTRRYGVEACGDHYSCMVDVLGRAGRLHQAYELIRTMPVKVTAKAWGALLAACRKHGDVQLAEVAGRALFEIEPENAGNFVSLANIYSGRGMHEDAERVRREMEQRGVQRLPGSSWMIHHKSKKSGIQFRSVIVGSHQILFRCSGHLSMERIMKFFSGIGVNQTDSEMSVKQALLPADACGPQHWPVPRMVPPTLPCTSVHFSSSSVRASAAPLVTFQVYIPSLLALEVWAVIT >Sspon.02G0020270-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2B:66327682:66328660:-1 gene:Sspon.02G0020270-2B transcript:Sspon.02G0020270-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVVSAAADALVAVFSLTIAVAAPLIDAQSVLPHTLYPAPLLELKRWYAAEFGDYLVAQPPGFFRGLVCLELAFQWPLAVATLYGILTRRRWAATTSLMAGVATLTSMERVEGRGGGATVPARYSAAGTVHAGERQRTGG >Sspon.03G0015140-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:48484621:48485631:-1 gene:Sspon.03G0015140-1A transcript:Sspon.03G0015140-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHPIAEADERSPFGRLTPDEFYARHGVTHSTSSFVNPRGLRIFTQRWVPRGDNGPVLGAVAVVHGFTGESSWMVQLTAVHLAAAGFAVAALDHQGHGFSEGLQGHLPDIEPVLDDCDAAFAPFRADYPPPLPCFLYGESLGGAIALLLHLRNRDLWRDGAVLNGAMCGISPRFKPPWPLEHLLAAAAKVVPTWRVAFTRGNIPERSFKVDWKRALALASPRRTTAPPRAATALELLRVCRDLQQRFEDVRLPLLVVHGAEDTVCDPACVEELYSRAGSSDKTLRVYPEMWHQIIGEPEENVEKVFDEIIDWLKARAATATATATTTAAGAHHGEQ >Sspon.02G0026600-4D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2D:76765550:76765717:1 gene:Sspon.02G0026600-4D transcript:Sspon.02G0026600-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPPAESPDTKTRPRSAASVTHGSPLAPASFACAASHRTAAAPSSSAAGRRCSGAR >Sspon.04G0020480-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:78048669:78050249:-1 gene:Sspon.04G0020480-1T transcript:Sspon.04G0020480-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GHGGRWRTGELAAWDDGAGAGVRLLHGGARARRRRRGEQVRPAGGLGAQGEEHPSLLLREPAHAHLPPLLDLLLHLLRLHLRRRAAGPHHPRQPQPHQGRHRQRRGGLRIWLHLLPSRHGRRLRPAGPALRLRLPHHAVGAHRVLHVAHRRRRGLHRRPLPHRLLPRDVRLLPVLDEHHVQQQDRRHRQRTRRGLGQHGRRRHAAHHAAGVRRHPQVRRHALHGVAARLLRPRAHARRHGRAGAHARAGPPRRQPQDAAEEGQRQQGQVLQGHVVRGHQLPDLDLRPPLRLLHGRRAHHRQRHRRVHVRPLRPRPPRRRHHRRLLRHGQHRGAPHGRHPLRHGRALLGHARSPLEHLDPPDRRRRLLPLARPRQHAPRLHRRHGALLLLRAGRLRRRLRRHPLRLAPLPRHHLRHDRRRRQLRRGAHAAALLHLLHLLHGEGAGVHGHHDHGVHAAGRVRAFPAVGVHVLPGQRHRRRGGLLRLRVERRREEQGTPCRQPQVRREQPIRARQAQRHPGRRCRHAGAR >Sspon.08G0021400-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8B:38427197:38433679:-1 gene:Sspon.08G0021400-1B transcript:Sspon.08G0021400-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSKEDVLVLFLLNGQKIQLIILPVEALVEQFLKLPTCFFILTNSRSMTAIRNLEAAAKKVPGVSYTVVLRGDSTLRGHFPEEADAAVSVLGEMDAWIICPFFLQGGRYTINDVHYVADSDRLIPAGETEFAKDAAFGYKSSNLRQWVEEKTRGRVSEDQVSTISITLLRKQGPTAVCEHLCSLEKGSVCIVNAASDRDMAVFASGMIQAELKGKRFLCRTAASFVSARIGIKPKPPICPNDLGLKRALTGGLIIVGSYVPKTTKQVDELRSQCGQSLRVIEGGITSSDIATKALEAKRAKVMGQALAGVPLWQLGPESRFPGVPYIVFPGNVGNNSALAEVVKSWASPSKSSTKELLLNAEKGGYAVGAFNVYNLEGIEAVVAAAEAEKSPAILQIHPSALKQGGAPLVACCIAAAEQSSVPISVHYDLGISKSDLLQALEAGFDSVMVDGSHLTLRENILYTKSISSLAHAKGLLVEAELGRLSGSEDGLTVEEYEARFTDVSQAEGFIDETSIDALAVCIGNVHGKYPPSGPNLRFDLLKDLRALTLKKGVSLVLHGASGLPHELVKECIDLGVRKFNVNTEVRNSYLESLRKPEKDLIQVMASAKEAMKAV >Sspon.07G0005590-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7A:14351720:14352187:-1 gene:Sspon.07G0005590-1A transcript:Sspon.07G0005590-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGHNQNRHKDGDKTLPLVGSHVKQVVSDARNQREEGLQAGVWGSARLWGRSWAARHIEDVRRDLRLHTIGHNEGKIHHYLDTSKDNCNSRCTGRISKSSSLKKDD >Sspon.01G0039090-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:19532219:19536136:-1 gene:Sspon.01G0039090-3D transcript:Sspon.01G0039090-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVNWELQGCCDRDQRIFIAAVGVSTVVILLLWRTFLLTPFKLITVFLHETSHALACKLTCGDVEGMQVHANEGGVTQTRGGIYWIILPAGYLGSSFWGMVFILASTNLLATRIAAGCFILALFIVLFVAENWFLRWLCLGFIVFIAVVWVIQEFTSFHILKYVILFIGVMNSLFSVYDIYDDLISRRVNTSDAEKFAEICPCPCNGFAWGVIWGFISFIFLCASIYLGLVILS >Sspon.07G0005910-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:13686986:13688327:-1 gene:Sspon.07G0005910-2B transcript:Sspon.07G0005910-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding QDWLKFSSYKERLLGLSFGLLSTRNHDLSYNLTWRTLTDPSHVSSKAIRRQLGHNLLSALKYIYKIDKRDSHLRPTKGYAFQSTSQVGGLWNSKGLRYFRQEFDVRGAVPLGFYNAALNVGVGAGVILPLVGGFMNSTSPVPERFYLGVCSLGGLSSLLGFRLRGVGPTEARRHVLDKSESGSADPGRDYLGGDLAVSAFADLSFDLPLKIFRDAGIHGHAFLTAGNLAKLSEGEYKNFSASEFQRTFRSSAGVGIILPTKLFRVEVNYCHILKQAEHDSSKTGIQFSFSSPMR >Sspon.03G0025990-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:77185159:77186564:1 gene:Sspon.03G0025990-1P transcript:Sspon.03G0025990-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VMGPQLEHSQQNKRTPSSTPEALRRKSCMKVYPLDFDERLFPEVPDLAFEKEMMDTYPDLFENMDVDDFLSCDFAMMNRVEPVEATSSVSVKGVMGKNLDG >Sspon.07G0005650-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:14457869:14459145:-1 gene:Sspon.07G0005650-1P transcript:Sspon.07G0005650-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SMAEIVGSAVANEAVSRLVSSFLSGDKTSQQQESVEDKAERLEMAVLKIRSVVAVSEHVHISHLPLLQWKAKLKRVAQEGDDILHVHKKQQTLRCNRVSDSSTGNSISQSLIQAAKRFVPFCRKEDEELMSDNTLRRFERIADGADSFFRLVESGGHPNKSVFLPPPLTRALLAGDSMEFLIQTKTGSDHIMVWPWPDHPDAKSGSGLQACLTVTREDEVVWQKGFKMSVLFRLSEASNILAIAMSCLELLPPQFDAAEKHSSQYELVEQDVIRKLLPNVTDGFYDDDDRQSVPDGMFWYP >Sspon.01G0060370-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:77745641:77754447:-1 gene:Sspon.01G0060370-1D transcript:Sspon.01G0060370-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQRRARRGSAPAGDAPARFINGTASLNATGAPSVSEFDLRDLVVYHRQLLRSQNCSGSPRSLKRLPLSDVPSTQTFPRLRCRLSKTPTVYLFIPQKMTISRIGNSLRFIRIERLSSQTRHYCLDAVITRSVFVATKTQVDEFTELHNQAFKSMKHLEF >Sspon.02G0020880-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:68295714:68296390:-1 gene:Sspon.02G0020880-2B transcript:Sspon.02G0020880-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding EDGFRVCVVVQEVDPPSGVPAVRADGGGAGHLLVPAGAQHHGQPGGAGDQGEAGGGRAGQPRRGGEVRHARPPQVRPRQEARDHGLHQLLLRRPLPRT >Sspon.08G0019170-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8B:10519062:10522316:-1 gene:Sspon.08G0019170-1B transcript:Sspon.08G0019170-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:WAV6 [Source:Projected from Arabidopsis thaliana (AT5G57090) UniProtKB/TrEMBL;Acc:A0A384LBE9] MFLAYGSVRWWGIFTPDQCSGINRFVAVFAVPLLSFHFISSCDPYAMQYRFLAADSLQKLVILAALAVWHNVLSRYRRGAAASSLDWTITLFSLSTLPNTLVMGIPLLRAMYGDFSGNLMVQIVVLQSVIWYTLMLFLFEYRGAKALISEQFPPDVGASIASFRVDSDVVSLNGREALQADAEVGSDGRVHVVIRRSASASTTGGGGGGYGAARSGVGGYRPYGPSSAMTPRASNLTGVEIYSLQTSREPTPRGSSFNQSDFYAMFNGSKMASPLAQPGAAGARAPGLDEQVANKFASGKGGGDAMAYPAPNPGMMPPPRKKELGGSNSNSNKELHMFVWSSSASPVSEANLRNAVNHAASTDFAAAPPPPMPVDGPPKSGTVTPAKKPDPAANGGDLEIEDGLKSPATGLAAKFPVSGSPYVAPRKKGADAPGLEEAAHPMPPASVMTRLILIMVWRKLIRNPNTYSSLIGLVWSLVSFRFNIQMPSIIKGSISILSDAGLGMAMFSLGLFMALQPKIISCGKRVATFAMAVRFLTGPAVIAATSIAIGLRGVLLHVAIVQAALPQGIVPFVFAKEYNCHPQILSTAVIFGMLIALPITILYYVLLGI >Sspon.08G0012570-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8A:53773740:53774072:-1 gene:Sspon.08G0012570-1A transcript:Sspon.08G0012570-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPERINTDLNDGSYDGYAGDIWSFGLSILEFYLGRFPFGENLGRQGDWAALMVAICYSDPPEPPPTASPEFRGFISCCLQKNPAKRLTAAQLLQHPFVAGPQPQPLAAPP >Sspon.07G0001810-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:4345224:4356524:1 gene:Sspon.07G0001810-1A transcript:Sspon.07G0001810-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable sodium/metabolite cotransporter BASS4, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G56160) UniProtKB/Swiss-Prot;Acc:F4IZC4] MRIVGSHNEPGAPRRPLLARSPPLFGGHARRLLLPLPAFVSDSAMVSYHPSLSLLRPSVLTARASAIGRCPTPNGFVSSPVVSAVPLRLRPLLRAAAGGAASPVGGNGGKRAVPPSALLDFARSNFLPLALISGVILGLLDPTLGCLAHKYSLSKFSTFGIFVMSGLTLRTKELGTAFEAWPAGLYGLGSILLLTPFVSQFIMQVQFFPREFITGLAIFCCMPTTLSSGVILTQLVGGNSALALAMTVSSNLLGIIIVPLSLARYIGTGAGVSLPTEKLLEKPQKVPWIQISRSRSLILSVQVEAFAAAITVGVLIHLALLAFNIAMLHILSRLGKKGDSVFAKKEYTRAVILVSSQKTLPVMITVVEQLGGALGESGLLVIPSSPVMEELLTAKLIS >Sspon.05G0008370-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:27202092:27206991:-1 gene:Sspon.05G0008370-3D transcript:Sspon.05G0008370-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant UBX domain-containing protein 1 [Source:Projected from Arabidopsis thaliana (AT3G27310) UniProtKB/Swiss-Prot;Acc:Q9LK22] MEAEYPHQQAGPSSSTTTLLWPCATRRRKRDEGEGEGGDDLSPTADMDLDAGAQRAADKLKAVSEELGHEIRVFSSENFALQPSKLPSADHEEDDDFYELQPADYYKLISNRMGEHSKMLMTRKMREAELAAQRAKRTKAVMRVRFPDGYILEADFLPSERIHSLVDLLTKVLARPDLPFYLYTVPPKKRILDTSQDFYTAGFVPGANVHFSYDVPEGSLLNADDLKAGPFLREEIQSLDGLSLLLKPASQPDDSRMNSSTLQSGASQSDPVPTTTNKKPGRPKWLKR >Sspon.08G0018610-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:6503077:6503916:-1 gene:Sspon.08G0018610-1B transcript:Sspon.08G0018610-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVTFATPGGREFTVEVWYFATVREMKEAVHAREGIPVASQRLFLAGREGEEDDQELDDARDTAHYGIVQGSRVVLVLPDDAPPSSSPSTSPAPAAAGVRVAVSAPEIIGPRSVALDDVPASDTVARLKELLQDRTDGALPAARTALFLDKAEMEDAKTLADYGPPSDGMDVSAVVRPQVPVESGGGGGGGNGAGARDQQRIEVSVMFGETAVALEVGAMDVVRDLRKEVETLRLPVRDGGYFFVYKQNVMDEDRTLRWHEVKNGDTIEIFNGTVTGGA >Sspon.01G0018850-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1A:70106942:70107344:-1 gene:Sspon.01G0018850-1A transcript:Sspon.01G0018850-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMSGRQTRVRMLGHGVSEAEVFLAADQASNPHGGGGCGFPLHGNRVSRGEGSRPVGWSASPARSMWMDAHGGALSGR >Sspon.02G0021930-1P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2A:92187294:92188301:1 gene:Sspon.02G0021930-1P transcript:Sspon.02G0021930-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MACCLSSSLFRRLAVLQLAVAATLSLTLGGAQAQLQYDYYNETCPGVEDLVREALLAKFADDVTLPASLLRLHFHDCFAAGCDATIMLKSHNGTAQRDADPNATVRGYEAIEDVKAKVEESCPLTVSCADIMAMAARDAVNFTKGPAYQVETGRRDGNVSRKEDAERSLPPADGNVTVLTRFFAAQNLSMKDMTVLSAAHTIGVAHCSSFSQRLYNYTGAGDQDPALDPEFAKNLTAVCKPEHMASVQPLDQASPTTFDTGYFQSVYNHRALLASDAALLEDSFTAPYVTLMATNASYAPTFFQDFSVSMLKMGRIAVRTGTDGEIRATCAIYVD >Sspon.04G0032740-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:31604939:31608733:1 gene:Sspon.04G0032740-1C transcript:Sspon.04G0032740-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGSVGNGLADLGAGAVSMNGAGKALHPAEAAAAETLPMELEPPEALVAAAAEAKREEAASGRREIVMGRNVHTSCFAVKEPDADDEETGEREATMASVLALYRRSLVERTKHHLGTLRLGRSRSGSLHFAFLPLRLLDLLTASVCFHEGYPYNLDFDYGALAQLQHFSINNLGDPFIESNYGVHSRQFEVGVLDWFARLWELEKDEYWGYITNCGTEGNLHGILVGREVFPDGILYASRESHYSVFKAARMYRMDCVKVDTLMSGEIDCADFQRKLLQNRDKPAIINVNIGTTVKGAVDDLDLVIKTLEENGFKDRFYIHCDGALFGLMIPFVKKAPQVTFKKPIGSVSVSGHKFVGCPMPCGVQITRLEHINALSSNVEYLASRDATIMGSRNGHAPIFLWYTLNRKGYRGFQKEVQKCLRNAHYLKDRLKEAGVGAMLNELSSTVVFERPKDEEFVRRWQLACEGNIAHVVVMPSVNIDKLDYFLNELVEKRATWYQDGISQPPALPET >Sspon.02G0053950-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:94532599:94534101:-1 gene:Sspon.02G0053950-2D transcript:Sspon.02G0053950-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPELWWLWVTLAVVSTLWYLTHQHRRRRWGSGHRPPGPRPLPLIGNLLDLRDSPGRLHHTLARLARAHGAPVMRLDLGLVPAVVVSSRDAAREAFAAHDRRIAARPVRDSKRALGLCDRSVLSLPSSAPLWRTLRGVMAAHVLSPRSLAASRAARERKVADLLGYIREQAGTVVDVKEAVYGGVANLVSTAMFSIDVVDMGGAESPPSAGHGLQELLEGLMECMGKPNVSDFFPFLRALDLQGCRRRVAVQLGKVLQVLDDITECRLAEASSSTSRSNKGGDKHGDFLDVLLELESTGKITRDNLTLILFDIFAAGSDTMALTVVWAMAELLRNPGVMARLRAEIRDALGGKDAVEEADAAGLPYLQAVVREAMRLHPAAPVLLPHQAVEDGVEIGGYAVPRGCTVIFNSWAIMRDPAAWERPDEFLPERFLGRELDFRGKQLEFVPFGSGRRLCPGVPMAERVVPLVLASMVHAFEWQLPAGMSADQVDVSDKFTNTS >Sspon.03G0015030-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:68582985:68583900:1 gene:Sspon.03G0015030-2C transcript:Sspon.03G0015030-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GCDASVLLTVNPGGGQTERDAVPNSPSLRGFDVIDAAKAAVEQSCPRTVSCADIVAFAARDSISLTGSVSYQVPAGRRDGRVSNASDTVDLPASTSTAQTLIDKFKAKDLTLEDMVVLSGAHTVGRFFCVSFFQRVWNTSTNPPYAIVDAGLSPSYAALLRALCPSNTTQTTPITTAMDPGTPNVLDNNYYKLLPRGMGLFFSDNQLRVNTQMAALVSSFAANETLWKEKFAAAMVKMGRIQVQTGTCGEVRLNCGVVNPSSYSSSPASTVEM >Sspon.01G0047830-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1B:105976528:105977679:1 gene:Sspon.01G0047830-1B transcript:Sspon.01G0047830-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADNGNAKGGSGAYTINVENFSKRLKVFYDHWKEHKSDLWSSSDAIAIATPPPSDDLRYLKSSALDIWLLGYEFPETIIVFMHKQIHVLCSQKKANLIGTLKKAANEAVGADIVLHVKTKNGDGADLMNDIVQAARNQSKSDKPVVGHIAKEVPEGKLLETWTEKLSGSGVRLADVTNGFSELFAVKDTTEVTCVKKAAYLTSSVLKNFVVPKLEKVIDEEKKVSHSSLMDDTEKAILDPLKVKVKLKPDNVDICYPPVFQSGGKFDLKPGASSNDEYLYYDSASVIICAIGSKYSSYCSNVARTYLIDATPTQSKAYETLLKAHEAAVQQVKPGNQMCAVYQAAVAVIERDAPELLPNLTKSAGTGIGLEFRESGLNLNAKND >Sspon.02G0039210-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:49431285:49433848:1 gene:Sspon.02G0039210-1B transcript:Sspon.02G0039210-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTFHTFLRLLLILTLHLHAIPPGAGAAPRDDDDTLMPGQAFTVGNKLVSSNGKFALGFFQPRAGNMNKPPSSTTSPGWYLGIWFNKIPLVTPVWVANRERPLLEPYLSATQLQISEDGNLLVIVSNNATTTQTQSVVIWSTSTHIAKANNTATCRAILKNTGNLVIESLENPSNNATAMVWLWQSFDNPTNALLPHAKIGWNKVTGLNRVGISWKSQIDPGLGSFRVGLETNATTRNNVTIRRQIYPYKTYWWWSPDTAGGMKIPALRALLHMNPQTSGLVVPEYVDNSEEEYYMYTSPDESSSTFFSIETNGQVKMNVWSHANQSWYSIYVQPVDPCRPYATCGPFTVCTGSSRPPCECMESFSRTSPQDWDLGDRTGGCSRNTPLDCSANTGSSSSTDVFHPIARVTLPYGPQTQSSLHTMTRSECQQACLSNCSCTAYSYQDTKCSVWHGKLFSVNQDDGIEISSEDSIYIRLAAGDFPSLTREVQVLVWCVITAPTSQASVGVAVFSYADLGRATRNFSEKLGAGGFGSVYKGVISGLSTVAVKRLDGVRQGEKQFRAEVSALGLIQHINLVKLVGFCCQGDKRLLVYEHMCNGSLDSHLFQRNDVAAVLDWSTRHQIAIGVARGLSYLHQSCRECIIHCDIKPENILLDESFVPKIADFGLASVIGRDFSRVLTTFKGTMGYLAPEWLSGVPITSQVDVYSFGMVLMEIISGRRNASVVSNTTSMDHVAYFPVHAMSQLHEGDVQSLVDPNLHGDFNLEEAERVCKVACWCIQDNESDRPTMGEVVRVLEGLQDLDIPPMPRLLAAITECSSVAA >Sspon.04G0008560-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:25736011:25736326:-1 gene:Sspon.04G0008560-2D transcript:Sspon.04G0008560-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKYIIGSVAASFAFAYVCGVYVADRKVLGAMEEEALDITAALSAGTTPRTVATNEWWQETDKKFQAWPRTAGPPVAMNPIRRHNFIVKSSE >Sspon.05G0036080-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:5C:83934557:83935908:-1 gene:Sspon.05G0036080-1C transcript:Sspon.05G0036080-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWKHGFVEERHMYKKHGRDRESNLERQVKALFEKMLVEKGLSTMEPQTLMGPLGELAVVGSPPDVPSSQGSNATGTPVDRIRAPTSCKLVVLMGRQNVIIEVATGVAHPPGGTWHNRDIPQDYTRVEVHTVKPEFMTWKIEHPTPEGLVLLGDVMNQFILWHRRDIVLTESSPTPTEVHPLERPVEDEEVYSPTHDHDHHTLDTSPPRTDQGHDDMPHPSPPRTEHGHDDMPRPSPARTEQRHDEMQHPSQQAQPIHEQQVSHEQQLPREQPIREEQVAPGAGDAQVDKDVPEWEARNKIPIKIRPSYVGINDVSSVHKWMAHDQFKTKNQVKEFRAPASEEGTTSKLHKGFKKYPAVDNLKWSNDCPDKYEKGKNFLPNRVIQCLPRGMRKFHDWYLRAQITELEILQAWIPAGTFGALGGQIAVEFKDIQACFHLGRMEMNLIRMWC >Sspon.08G0018500-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:6390477:6392930:1 gene:Sspon.08G0018500-1B transcript:Sspon.08G0018500-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:HSA32 [Source:Projected from Arabidopsis thaliana (AT4G21320) UniProtKB/TrEMBL;Acc:A0A178UV51] MMRGWREEVEVVALSLRGYGNEEDDRPEKPRRYGVTEMRSPFYSFRPANQALQEILDSVSPFVDGLKFSGGCHSLMGKELVREITDLAHKHDIYVSTGDWAEHLLRQGPSSFKQYVEECKALGFDTIELNAGSLNLPEEALLRLVRLIKSSGLRAKPMFSVKFDSSDIPASRDRAFGAYIAPVKEKTSERVEDVDLLIRRAERCLEAGADMIMIDADDVCQRAESLRTDIVAKIVGRLGLDKTMFEASNPNTSEWFVRQYGPRVNLFVDHSDVMNLEHLRGFNMGRSNLASRFASPFFLM >Sspon.05G0014600-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:60470182:60474471:1 gene:Sspon.05G0014600-1P transcript:Sspon.05G0014600-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVGTALPRGVSVSSVWILLLLSSLLLLSPSAASVDFSHCGGCDDADDGALSSAYNILQCQKVGDFLIAAAYFSIPLELLYFATCSDLFPLKWIVLQFGAFIVLCGLTHLITVFTYEPHSFHLVLALTVAKFLTALVSFATAITLLTLIPQLLRVKVRENFLMNKARQLDREVGMMKREEEASWHVRMLTQEIRKSLDRHTILYTTMVELSKVLELQNCAVWMPDETRSEMILTHQLRERDIMDPQNRSIPIDDPDVQEIKATKDAKVLGPDSALGVASRSKLQAGPVAAIRMPMLRVSNFKGGTPEVMQTSYAILILVLPNDASLGWGRRELEIVEVVADQVAVALSHAALLEESQLMREKLAEQHRDLLRAKHEAMRAGEARNSFQTAMYDGMRRPMHSILGLVSMMQQESMNPEQRLVMDAIAKTSSVASTLMNDVMQTSTVNCEHLSLVRRPFNLHSFIKEAVGVVRCLTGCKGVEFEFQVDNSLPERIIGDEKRVFHIVLHMVGTLINRCNAGCISLYVNGHNEVEERHNHDWMLRRANFSGGYACVKFEIRVRKSKDNLLSSSSSQISQGSKPSNSEMGLSFNMCKKIVQMMNGNIWSVSDSKSIGETIMLVLQFQLQPVTPVSGASSDLYRSSAIPNFNGLRVLLADSDDTNRAVTHRLLEKLGCRVLSVASGVQCMSSFAAESSFQLVILDLAMQTMDGFEVALAIRKFSSNSWLPLIVALAARIDDNIRDRCQMSGINGLIQKPVTLAALGDELYRVLQNN >Sspon.04G0008070-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:22965005:22981549:-1 gene:Sspon.04G0008070-1A transcript:Sspon.04G0008070-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFSASGLDEFYVVPAGGVLVRGFYLVYKGYFIFDLAFKEKYWPVYQCLYFEVRCIPKQGEPNWRGNLVHGNSIASLFRYLLVWLRIYMGNNETCKKSHEREKLDIGRREEKVKASYSPELEEGSHQGDTSMKSQLANVIQSTCHRIYSEKHSQSYSPSSPIRSKERHEKRMRNCFSYHDYHQVLKKIEKVCSERLGNQLLHQSKDRKEFNTLIKEEEWKFFKKHACSYRVHYERVIPTASYHRMKLPKLFCSILLKVFRKYMRSQLIKFVRRQINDRNKEKRIRERWIFEATAGYLKKTFDGTSLTCSGFEMEKSECHVHAYSEGEQELKFLDMQSLTTEIEAIASSKELEETVTDKDSDIFQPEPIIENLQSPIETNGGAEDGLSVDATEGLVDSMSSHSNNAPTELSEKVGMQVAVSSPPQNEGGNEERSCSQFVTDKTLVLSKAVAADLGNEPPVRKKQMCMNPDDDALEGSCSGSQRSLPHDLIPMEDHNLTSFDIISLQEEPQAERPSANVNQMEQADVAANKEVSSGAISSFGQVTELHNITATSATLVQPSTQLQLTDLTCQNVAHPCQESGVNTCSISTGLDNHGTLNIQQQSANQTSSMVEHMPENGLQSDPVTNGQQYGPQTCQTVAHQDQPVDRNSFPAQAWLDSPRPSNRQKIILDCEKEVAECKKKFDEKFHNLEMETLQKKKDIAILEDKICKQQMLGETFQSQLANVIQSTCHRIYSEKHSQSYSPSSPIRSKERHEKRMRNCFSYHDYHQVLKKIEKVCSERLGNQLLHQSKDRKEFNTLIKEEEWKFFKKHACSYRVHYERVIPTASYHRMKLPKLFCSILLKVFRKYMRSQLIKFVRRQINDRNKEKRIRERWIFEATAGYLKKTFDGTSLTCSGFEMEKSECHVHAYSEGEQELKFLDMQSLTTEIEAIASSKELEETVTDKDSDIFQPEPIIENLQSPIETNGGAEDGLSVDATEGLVDSMSSHSNNAPTELSEKVGMQVAVSSPPQNEGGNEERSCSQFVTDKTLVLSKAVAADLGNEPPVRKKQMCMNPDDDALEGSCSGSQRNHNLTSFDIISLQEEPQAERPSANVNQMEQADVAANKEVSSGAISSFGQVTEQHNITATSATLVQPSTQLQLNDLTCQNVAHPCQESGVNTCSISIGLDNHGTLNIQQQSANQTSSMVEHMPENGLQSDPVTNGCRQLLMSSNRTSPPVRVTEQQIATSPPVQDQPVDRNSFPAQAWLDSPRSSNVQQQSNNQTTGSTSGQYMLESGPQSDPLTIEMSRLLLMHDLMTKKHISKRQKIILDCEKEVAECKKKFDEKFHNLEMETLQKKKDIAILEDKICKQQMLGETFQVLHKASAGVASGSQRGAPRRTTAEANQPSWQHGLRFPASATMYQSPQPAAQPSTNNFLGLPFMTTPLAVANTLGGSTTNFTHAPSIVMGTGIPYQTHAPSGVMGTGIPYHALPLPPDLHAF >Sspon.03G0036760-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:93837286:93838903:-1 gene:Sspon.03G0036760-1B transcript:Sspon.03G0036760-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SMVILDLLEQGQQHTSPWWIYVLTLAAKGKSKGSNLQKAALYTALFNKIKAFPQMDFIFRHSNK >Sspon.02G0004260-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:12214030:12218530:-1 gene:Sspon.02G0004260-2B transcript:Sspon.02G0004260-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDGGVQPALVTYNVVLHVYAKMAVPWKEVVALVDSMKEGGIELDRYTYNTIISCCRRRGLYREAAQVFDEMKAAGFEPDKVTFNSLLDVYGKARRHEEAIGVLKKMENVGCAPSVVTYNSLISSYVKDGLLEEALELKQEMEFRGMKPDVVTYTTLISGLDRIGKIDAALATYREMVRNGCSPNLCTYNALIKMHGVRGKFTEMMAVFDDLRSVGYVPDVVTWNTLLSVFGQNGLDSEVSGVFKEMKKAGYIPERDTYVSLISSYSRCGLFDQAMEIYKRMIEAGIYPDISTYNAVLSALARGGRWEQAEKLFAEMEDRDCKPDELSYSSLLHAYANAKKLDKMKALSEGIYAERIEPHNWLVKTLVLVNNKVNNLSETEKAFQELRRRRCSLDINVLNAMVSIYGKNKMVKKVEEVLSLIKENSINLSAATYNSLMHMYSRLGDCEKCEAILTEIKSSGMHPDRYSYNTVIYAYGRKGQMKEASRLFSEMKCAGVKPDIVTYNIFIKSYVANLMFEEAIDLVCQIEQLQPKGAPGPGFQILLQCRLSLACPAHCSLLVTTYTGD >Sspon.07G0032320-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7C:47515429:47516454:1 gene:Sspon.07G0032320-1C transcript:Sspon.07G0032320-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAYVAQMWHELGAVNLGAELDAMDLDAKPADIRGAVQVQTRWPPTSRSGALHVDNIK >Sspon.01G0054490-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:56992071:56994078:-1 gene:Sspon.01G0054490-1C transcript:Sspon.01G0054490-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGPTERFHRVSICLDLGGHRCRGLAAAPGTVLLLSGCQASLGTGTVVPAVLLNAAAAGPPCQPFLAFGKGGGTCSYRFPAALSEMLVQSTGTDLYLLPALPRNKWPQGYVKGLKARGGVTVNISWKEGSLHEALLWSSGGQNCLARVHYGDQTTTVSLSLCQVYRLDGDLNSSHRNSFKPATLFC >Sspon.05G0022640-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:3767934:3768356:-1 gene:Sspon.05G0022640-1B transcript:Sspon.05G0022640-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDFKMSGKKSDELEPVDAGDEDDGDDNGDEDGDFGEGEEDISEGEGYDNPKGNENKKKQRGDAEENGEEDEEEPEDQEGGGGDDDDDDDDDDNGDDEDDDNGDDEEDGGEDEEDDDQDEDEEEEDDDEDSLQPPKKRKK >Sspon.01G0045770-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1B:92884569:92884802:1 gene:Sspon.01G0045770-1B transcript:Sspon.01G0045770-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPRSVPSFPRERPLQPSPLLAAPIAEGRAPPPTLTAPTPDPVPRASSSATAATSCCRQPPRLLCDTTVALRHGAT >Sspon.06G0011850-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:64356751:64357733:-1 gene:Sspon.06G0011850-1A transcript:Sspon.06G0011850-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MENSGEDLSSTASHSFSGDGGSASSEESGWTSYIDYFMETQQQQRRKEEVSRRAGDPSTDDAGGGRRCSYTSECSSDTGVGASTWLPVLAEPSVVSRRLSLREGWRRKKVMYDESLEDTATSPISSPKLIKLTRDSDATHLQKKVNSCDEISRSKRKSTCS >Sspon.05G0007830-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:24363749:24366152:-1 gene:Sspon.05G0007830-1A transcript:Sspon.05G0007830-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKYVFRFGNNETRIGDMSCITLEFDVLMESKGGKKSSSSRSMMYEAPLGYSIEDVRPAGGVKKFQSAAYSNCAKKPS >Sspon.04G0017080-4P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:10832682:10840371:-1 gene:Sspon.04G0017080-4P transcript:Sspon.04G0017080-4P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:4-coumarate:coenzyme A ligase, Lignin biosynthesis, Defense against woundin [Source: Projected from Oryza sativa (Os06g0656500)] MAMDTLEFVTRSPTDTAAPPVILCDLSPPTLPPRHGGIITQAPGLPPIPPLLSSGEQRSRAEPRNPDHHLPSGVPHAESIASPAARPMGSVAEDSAATAAASVVFRSKLPDIEIPRHLSLQAYCFERLPEASSRPCLIDGQTGAVHTYADVERLTRTAAAALRGLGVGKGDVVMNLLRNCPEFAFVFLGAARLGAATTTANPFYTPHEIHRQAAAAGAKVIVTEACAVEKVRGFAAERGVPVVAVDGAFEGCLELGALMDAAEPLADDEEVDPDDVVALPYSSGTTGMPKGVMLTHRSLVTSVAQQVDGENPNLYFSSDDVVLCVLPLFHIYSLNSVLLAGLRAGCAIVIMRKFEIGALVELVRAHGVTVAPFVPPIVVEIAKSPRVGAHDLASIRMVMSGAAPMGKDLQDAFMAKIPNAVLGQGYGMTEAGPVLAMCLAFAKEPFEVKSGSCGTVVRNAELKIVDPDTSASLGRNQPGEICIRGEQIMKGYLNDPEATKNTIDKDGWLHTGDIGYVDDDDEIFIVDRLKEIIKYKGFQVPPAELEALLITHPEIKDAAVVSMKDELAGEVPVAFIIRSEGSEISENEIKQFVAKEVVFYKRINRVFFTDSIPKNPSGKILRKDLRARLAAGIPSSDNTQSKS >Sspon.01G0000710-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:1937871:1950181:-1 gene:Sspon.01G0000710-2C transcript:Sspon.01G0000710-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSGMRGLSVFISDIRNCHNKEQERLRVDKELGNIRTRFKNEKGLSPYEKKKYVWKMLYIYMLGYDVDFGHMETVSLISAPKYPEKQVGNIGGKEFSESLAPDVQKLLADRILYIRADRMAQLLDERDLVSNNAEAYWNCLPKCVRILERLARNQDIPQEYTYYGIPSPWLQVKTMRALQYFPTIEDPNARRALFEVLQRILMGTDVVKNVNKNNASHAVLFEALALVMHLDAEKEMMSQCVALLGKFIAVREPNIRYLGLENMTRMLLVTDVQDIIRRHQAQIITSLKDPDISIRRRALDLLYGMCDVTNAKEIVEELLQLIDKAGDFVSDDIWYRVVQFVTNNEDLQSYAAAKAREYLDKPALHETMVK >Sspon.03G0036500-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:90331903:90334954:-1 gene:Sspon.03G0036500-1B transcript:Sspon.03G0036500-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVETERSSTESSAASGLDFEDTALTLTLRLPGSAPASLSSSSSSAFPDADRKRASSDADPDRSSPLAASSDAAPAPKARVVGWPPVRSYRKNALADVAGSSKANQAAKFVKVAVDGAPYLRKVDLQAYAGYDQLLRALQDKFFSHFTIRKFADDERKLVDAVNGTEYVPTYQDKDGDWMLVGDVPWKMFVETCQRLRLMKGSEAVNLAPRAAR >Sspon.02G0020220-2D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2D:61371095:61372114:1 gene:Sspon.02G0020220-2D transcript:Sspon.02G0020220-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDDGSLGIRNWGFYDTVKGNLGLQLMSSVPPDRDTKSLLPNGAFLQHHGHHNAPHQLHIQHSLHSCGPAGGRASGGMPTESQPIHMDFSRNEAWLQQSHHLQRPREQKVLHSRPIRPAGHVGHPGYGGHPAHGGHIVHHHPTGYEMISDAQHTLQMIQPQLETQLQEPPPCKEEEAPPPLVEDHPAVSTGPPVKKRQRGRQQNRQPKSPKPKKPKKAAVPLDDGVPNGHVPRRRGPKKTVGMVINGIELDLANIPTPVCSCTGAPQQCYRWGAGGWQSACCTTSISTYPLPMSTKRRGARISGRKMSQGAFKKVLEKLAGEGYNLANPIDLKTFWAKH >Sspon.02G0006030-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:18513442:18514287:1 gene:Sspon.02G0006030-1A transcript:Sspon.02G0006030-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRGKAIGAGAAKKATSRSSKAGLQFPVGRIARFLKAGKYAERVGAGAPVYLAAVLEYLAAEVLELAGNAARDNKKTRIVPRHIQLAVRNDEELTKLLGGATIASGGVMPNIHQHLLPKKAASSKAS >Sspon.06G0001620-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:3656206:3658399:-1 gene:Sspon.06G0001620-2B transcript:Sspon.06G0001620-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AGL26 [Source:Projected from Arabidopsis thaliana (AT5G26880) UniProtKB/TrEMBL;Acc:A0A178UI04] MEAGLRALGHRYCGGGGGCLGGFAAVRAIRIRRQRRAAAFCSREWQEIASPPGSRSGVPGSLTSPALANVSAVAVGGDGNGPVGSGVEVARARRMLHVVLVSPLIPGNTGSIARTCAASAVGLHLVGPLGYKVDDTKLKRAGLDYWPYVVVKSHDSWDHFCDYFMKQEGDKRLLAFTKRGTQIHSDFSYRPGDWLVFGSETKGLPQQALEDCCREGLGGGTLRIPMVETYVRCLNLSVSVGIALYEAARQLIYEQLQYQPDLPEEAQGLFPAEDIYA >Sspon.07G0000460-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:180341:181156:-1 gene:Sspon.07G0000460-2B transcript:Sspon.07G0000460-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRLVVLRSPSGSMAVVLLLAVAVVLSNVPSSALASSASSSSSSSTTGSSGGGGGDEQEAPAPPSEDSDDKEKEQEQEMDPEKQKQKEKERQMAKEQAAAEEKVAQQELLKFAEEKHIVSPTNGAGWYKGIAREFVDAHNELRARYGVPAMKWDRKLARQARRWSNAMRKDCQLLHSGHEYGQSVFRSHDDWNATAREAVIWWGKEEAIYDKQREKCLDGKSFKECGHFALMVAKRSTKVGCARAECFKGGVFITCNYYASDLKDKDKSN >Sspon.07G0001710-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:2870295:2874196:1 gene:Sspon.07G0001710-3C transcript:Sspon.07G0001710-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At5g39680 [Source:Projected from Arabidopsis thaliana (AT5G39680) UniProtKB/Swiss-Prot;Acc:Q9FK93] MARPCGAALQRHAAVAVLRAAAAAGDLSKGKALHARLITAGHFDVVLHNNLISFYAKCGRVGLARKVFDAMPFRNAVSGNLLMSAYASLGRHKDSLALLRVVDFGLNEYVLSAAVSATANVRSYDMGRQCHGYAVKAGLAEQRYVFNAVLYMYCQCAHMEDATKVFENVSGFDAFAFNSMINGFLDRGLLDGSFGIVTKMTGEVEKWDYVSYVAVLGHCASMKDFVLGIQVHAQALKKRLELNVYVGSALVDMYGKCDHAHDANRAFEVLPEKNVVSWTAVMTAYNQNELYEDALQLFLDMEMEGVQPNEFTYAVALNSCAGLAALRTGNALGACAMKSGHWDHLLVGNGLMNMYSKSGSIEDAHRVFISMPLRDVVSWNLMITGYAHHGLAREAMEAFHSMLSAGVVPSYVTFVGVLSACAQLGLVDEAFYYLNTMMKEVGITPGKEHYTCMVGLLCRVGRLDEAERFIVNNCIGTDVVAWRSLLSSCQVYKNYGLGHQVAEQILQLEPNDIGTYVLLSNMYAKTNRWDGVVKVRKHMRERGVRKPPGVSWIHVGSNVHVFTSEEKVHPQMDQITEKLEELIDQIKAIGYVPNFAVVLHDIEDEQKEDHLMYHSEKLALAFGLIHTPKGATLHIMKNLRICDDCHAAIKLISVVTSRKIVVRDAVRFHCIEGPGKKFCRRAYRGEARKRVPACEND >Sspon.02G0033000-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:3770261:3771755:1 gene:Sspon.02G0033000-1B transcript:Sspon.02G0033000-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPDPLSSLCPGDGIGAGGRLDRLRGAGLVVRHSGSARRRVGIGAPRWPTGHPRLHRSSQHREPARFRELPIYFVLRPPVTLVALTRAARLQSLSRGERPATRMKSPVLPNKIYNELARRELRDPLLSQLTIRVVRI >Sspon.01G0000440-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:846775:850025:1 gene:Sspon.01G0000440-2B transcript:Sspon.01G0000440-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDNVRAKTRSWAGGETSSAAAASRIIAQQWAARRRQQACEQMVLTTLDLDRRDRESELLALARLHAVSMLDASFLHGGDGGRGGRRRARSPERALVSRIAREWAASASTSPREGGSGEREEWLGESERERVRSVRERVRMACQGYHGEEEAPSRLRGRPQARADVVVTRMAVERQRELHGLSEHRAVSAFAHRGRIQSFLRGRFFRSGRPMNDEGSISMIARELGHVRQSHPVSRLREEVRSGTESITNDQSTLVSLSIQTNSTDNEYDSVTPQVVSDDNNHIENATRDYEILTQQSVQNEDSHIENNVANSNDAHQTDFAQEQIDRYEDYSDSGSSEQDNDHSSYAFPAPSNNGVQREAETYGGQQSDSPWSRDISSTEDGHDNTFVHRDEEWLIIDSQEPGPNWQLGRSFPSSRNVNRLRPSNDDVYGIELRELLSRRSVSNLLSSGFRESLDQLIQSYVQRQEHGPHDWNFEGQRPTTGLLNEDHNEIRIDEQNRAERDTAPQSSTMLPDQTLFPQQRQWHIESPHHNWSQQSMHHFEFDWDTIHILRDELTGVQRGMTSMQQMLEACMEMQIELQRSIKQEVSAALNRSLSMQELEADEETLEDGSQWKLARKGTCCICCDNQIDSLLYRCGHMCTCSKCASELLHGVGKCPLCRAPIIEVIRAYCIM >Sspon.04G0033000-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:41183287:41185579:-1 gene:Sspon.04G0033000-1C transcript:Sspon.04G0033000-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LARSPAVRLRSSESPYGPPLLYRSLETPHLPLLSRREGLIRPAIWALRPAPAPGRLLLHRRTAEMLSLQQQHGGAVAGGGDARHHAPQPAVLGGGVDWLAFGARADLEEPARNAPSPALFLLPPAPLDDRAAQPEPKPKPGQLAGAVDEERHLALAHQNYRSGKYREALELGNVVYEKNPRRTDNLLLLGAIYYQIRNYDMCIAKNEEALAIDPHFAECYGNMANAWK >Sspon.06G0017480-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:76872966:76874344:1 gene:Sspon.06G0017480-4D transcript:Sspon.06G0017480-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEANCASIWSEESEMIAHLQSMFWSSSNADSCLSSPNSSTSSCVEPSTLPTTLFLPLDENDCCDKEQCQNTVVVWCFDHQSQAFTPIINGVTNNKRACLMDENKKSKNSKKPRTIALVSRTSSIAPADEINTELVNQSCSWSCSSEDGSIGACEESVVLKQSTSSRGRSRSSKDIQSLYAKRRERINERLRMVQQLIPNGTKVDMSTMLDEAVQYVKFLQLQIKFLSSEDTWMYAPLAYNHMSMDLSPNVAVNQS >Sspon.01G0005620-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:14805236:14809849:-1 gene:Sspon.01G0005620-1A transcript:Sspon.01G0005620-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTETGHLTYVLDGDNLRHGLNRDLSFKAEDRAENIRRVGEVAKLFADAGIICIASLISPYRRDRDACRALLPDSNFIEVFLDLPLKICEARDPKGLYKLARTGKIKGFTGIDDPYEPPVNGEIVITMRDGECPSPKAMAKQVLCYLEENGYLQA >Sspon.04G0016600-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:61091057:61092732:1 gene:Sspon.04G0016600-1P transcript:Sspon.04G0016600-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQLGYSMWFFLAALLFPLVLLKLKKRDNNGLRLPPGPWQLPVIGSLHHLLFRSPLAHRAMADIARQLNNAPLIYLRLGEVPVVVASSPDAARELMKTQDVNFATRPWSPTIKAMKADGEGLVFARYGALWRQLRRISVLELLSARRVQSFRRVREEEVGRLVAAVAASASASESAPGEAVVNVSERIAVLVTDSAVRAMREEFLENLAEALKIAAGFGLGDLFPSSRLARLDLFGAGSETSANTLQWAMSELVRNPRVMQKAQAEVRDHLQGKPTVTEDDLADLKYIKLVIKETLRMHPVVPLLLPRECRESCKVMGYDIPKGTTVFVNVWAISRDPKHWEDAETFRPERFEAGTVDFRGADFEFTPFGAGRRMCPGMAFAQASMELVLAALLYHFDWDLPGGQLPSEVDMTEEMGFTIRRKHDLYLRPVVRVVPPPA >Sspon.08G0019950-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:16264762:16269443:1 gene:Sspon.08G0019950-1B transcript:Sspon.08G0019950-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKAQECEKSSTIQISIYGSQSLLLPEGVRDRTIEVFCVIRLYVGDDYRYHLYINLILLLLTNERSQTLKCSHYVPAVIPENTSLPCVVYCHGNSGCRADANEAAVILLPSNITLFTLDFAGSGLSSGDYVSLGWHEKQDLKCAVSFLRNNKQVSCIGLWGRSMGAVTSLLYGAEDPSIAGMVLDSAFANLYDLMMELVEVYKIRVPKFTVKMAVQYMRRVIQRRAKFDIMDLNVVQFAPKTFIPALFGHASNDMFIQPHHTERIHQAYAGDKNLIKFEGDHNSPRPQFYYDSVSIFFYNVLHPPQFPSTCTNKFDKYYDLGAFKGGAGTNESLLYEIINGLRATGTDAGSSSAATANFTNGVAFLPQWLYLISLSNHFLLIDFVFIVSATKSVVELLTERDKANRQTEECCSYTSSNRESWGRCSSLGGASDGSSSGEQPEIPNHKLKSMTLRALATPLRRVRRKPLPLTIPKEKKNRSLWKRLKKERQEMGENISQRLRLCLQGQARHKRTKSS >Sspon.03G0036500-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:53472389:53474811:-1 gene:Sspon.03G0036500-2D transcript:Sspon.03G0036500-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVETERSSTESSAASGLDFEDTALTLTLRLPGSAPASLSSSSSSAFPDADRKRASSDADPDRSSPLAASSDAAPAPKARVVGWPPVRSYRKNALADVAGSSKASQAAKFVKVAVDGAPYLRKVDLQAYAGYDQLLRALQDKFFSHFTIRKFADDERKLVDAVNGTEYVPTYEDKDGDWMLVGDVPWNTKSCPMRHIPPLVRTGFLKERGNFAVLRNF >Sspon.08G0003240-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:5512615:5513876:1 gene:Sspon.08G0003240-2B transcript:Sspon.08G0003240-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGRYLRKISRRLRKAMSDGKRSSSPMATAADDTARERAESVARAISYCKDTLRRGTSRSRSPSPPPPPSPSLDVWLHDRQEEIIATAAVHCDECSDPRPSPPRPRRAAGWPLGMQTMAKLFKESPCPCSASPSRDSSLAACAVTHGEESPQPHHGHGCRGESSMSSLDEMEFLKTFDGDEEMINHHFITVEI >Sspon.02G0005840-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:18269754:18278381:1 gene:Sspon.02G0005840-1A transcript:Sspon.02G0005840-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRQRARSSEELKAEDFVDSVLNFGGAGGGDEDDEEKDAGGDGQPAAEFKSKNLEAERKRRGKLNRNILELRSVVPNITKMSKESTLSDAIDHIKKLQNQVLELQRQLADSPGEAWEKQGSASCSESFTATENMPYQGQIELVPLGPYKYHLRIFCKKAGVFTKVLEALCSYNAQVTSLNTITFYGYAESVFTIEVKGEQDVVMVELRSLLSNIVEGRLAGGACTAPVMDRAELTTEQVLKRDIPWEHYMSTKLISGTCLQLLRRYDHKPESQRAPLLEEDGPAYVRVFLNILRSISKEETVEYVLALIDEMLATNPKRAALFYDQSLSGEDIYEPFLSCCGKATGLYKKKVILLYFDYSARPKLQNGTLPNGDASNSKSKLTSTHEVLRGLVDWLCSQLRNPTHPSCSIPTSIHCLSTLLREPYVRTLFVQADGIKLLIPLISPASTQQSIQLLYETSSASGFYPFMMLQLIIYPPQGLVEVVKGSTKEKVVRVVVMSFRNLLAKGAFAAQMIDLGLPQIVQNLKAQAWTDEDLLDALNQLEVGLKENLKKLSSFDKYKQQVLLGHLDWSPMHKDPSFWRENINNFEENDFQILRVLMTIIDTSSDTTALAVACYDLSQFLQYHPSGRIVVADLKAKDRVMKLMNHENTEVRKNALLCVQRLFLGAKYASFLQA >Sspon.03G0034480-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:74294239:74295409:1 gene:Sspon.03G0034480-1P transcript:Sspon.03G0034480-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLSAAVLCALVAVQAAVLLATLPSAQAKELEVGYYSKKCKGVENVVKWHVVRALKANRRTGAALVRLLFHDCFVRGCDGSVLLDASSDNPHPEKEARVNIGLAAFDLLEEIKAAVEKRCPGVVSCSDILIYAARDAASVLSNGHVHFAVPAGRLDGFVSKAEEAQAELPDSTHDVQQLIDNFAKKNFSVEELVILTGAHSIGQGHCSSFRGRLSEPSSQINPAYRDLLNYKCSQGSDPPVDNNVRDEDYGVVARFTPGFTSRVRKVPDFLDNSFYHNNLAKIVTFHSDWTLLTHKEAFGHVVEYRDNGTLWDEDFSDSLLKLSKLPMPAGSKGEIRKKCSVVNHRLY >Sspon.07G0003280-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:7945247:7948214:-1 gene:Sspon.07G0003280-1A transcript:Sspon.07G0003280-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGPGLYSEIGKKARDLVHASAMLTSGSAITAASTRKDEAIFNEIQSQLKHNNVTVDVKATSESNLITTITVHELGTPGLKGILCIPFPYQKSAKAELQYLHHHAGVAASVGLNANPVVNLSGVFGTKTIAVGADAAYDTSSGDLTKYNAGLSYTTSDFVAAATLPTTAVGGELSHSFSTNGNTITFGTQHALDPLTTVKARFNNYGMASALIQHEWRPKSLVTLSAEVDTKAIEKSSKVGLSLVLKP >Sspon.03G0021480-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:65725477:65726115:1 gene:Sspon.03G0021480-1A transcript:Sspon.03G0021480-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDGLGCCLLCCACAAEVICNWCTFLVALAAAAVLVAAFGVALPVRATVTDASLTRLDLIISRNRTDAVSVAYNLSLTVQLRNRNWAMRVELAAPLDAELRFAGRRFDGARLADPGRSVPPRGTEEFRVLAASSPGGVALGGDAGAAAELARERAEGVFEVELRIAGEVRYRPVHAGRSSRLEATCPLKMLMPNAPRGTHLVVLQKDVSCY >Sspon.02G0018860-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:60429179:60432407:-1 gene:Sspon.02G0018860-1A transcript:Sspon.02G0018860-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATSCLAPSPRLTLAASSRRQGWHRRRPAVHRCAAAVDGRKTTVQSKAGDALEVCRVVNGMWQVSGASWGRAQPAAAVDAMLRYADGGLTTFDMADICIQALSCPPVHARTHSFSHSCASRCPERQQPSQFSPAGRSKQPKAPNSIRGPWASSAFLIPSRPSPWRARTARTNGSPMSSTAARAVPPPPLPRRLRPARCTAGAAAAETATAGPTRVTTVSNRGDSLAICRVLNGMWQTSGGWGRIDRDAAVDAMLAYADAGLSTFDMADHYGPAEDLYGMFINRVRRERPPEFLEEIKGLTKWVPPPVKMTRSYVEDNINRSRKRMDVAGLDMLQFHWWEYSNPGYLDALKHITDLKEEGKIKTVALTNFDTDRLQIILENGIPVVSNQMVDAWGGWSLFQTLLQTLKKVSLKHGVSIATVAVRYILNQTSVAGSMVGVRLGLSEHIKDTNAIFSLELDEEDMNSITEVSKKGRNLMDIIGDCGDEYRA >Sspon.05G0014550-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:57802487:57803696:1 gene:Sspon.05G0014550-4D transcript:Sspon.05G0014550-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GGDTKPHADRGRLGRHERVWQGRALRLQEKVERRGRRDDQGAVLRHVPHRPALHPERLGHHHVPAGPGARDHGRGDQGGEQRLRLPRRRPRRRGLHRRRLPRLRPLPPVRGELLRQGDAHLQRRLLGRQRHVRRLLPDAGGAQAVRGARPGHAPAGRRGAAAVRGDHRVQPHEAPRHAAVARRQPGRGGTRRTGARRRQVRQGVRPPRHRHQHVAGQGEGGEGAARRRPFRRQHRPEADAGHGEKPRLRHRHRLGQALAGPHLGAAQGEWQARARRRAGPARRAPVVPAHLWEEDGEREHDGRNEGDAGDAGPVRPAQHHLRHRARLHGRDQRGARAPRAQRRPLPLRHRHRRRLKLKAL >Sspon.03G0028670-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:10538801:10539695:-1 gene:Sspon.03G0028670-1B transcript:Sspon.03G0028670-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLYIYHHVTLTPRYVKSRNDKQLLYKDAASTITNCEPEATSEDGGAPIVPCGLIAWSLFNDTYSFSLNKKAVEVNKKNIAWDSDKNKKKGGLIGGAKLNEKIPLSEQEDLIVWMRTAALPTFRKLYGRIESDIMASDEITVVIQNNYNTYSFGGTKALVLSTTSWIGGRNNFIGVAYVAIGGICLFLAMGFVILYVIKPRWPLETLTTCHGTKKTQTIPTD >Sspon.07G0021730-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:4609691:4611267:1 gene:Sspon.07G0021730-3D transcript:Sspon.07G0021730-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VRWDDDVDFRRSNRISPWEIELTSSVSGSHMSAPNAKRLKPCLPPDYLVPNGSGCPDFAESAQFHKVLQGQELLGYRTHDNAAVATSQPCEARNMQYIDERSCSNNVSNSIPGVPRIGVRAPLGNPRFSYHCSGFGESPRFQKVLQGQEVFHPYRGTLVDASLRNSGFHQTDGSHVPIQVSKWHPQLHGCAFRGPQAPAIPSQSSSPPSVLMFQRDNPKMSPFEFGHCHLDKNEDRRAMFGYAGGIGRTEQTMMLQAHHVSGGTGNRDVTIEKFHPTVAVAVGKDGSDNREVSKNSCKIFGISLAEKVPAIKEKDCGDTNYPSPFLSLKQQVPKSLGNSCATAVLRSVDSLRWLMIRYHEGGWIEDADQTCILAVVRALCMSTVSAPCYQHLLLLTTCLYVY >Sspon.04G0006460-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:19249179:19254123:-1 gene:Sspon.04G0006460-1T transcript:Sspon.04G0006460-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRWKPVPRRGWSYAAIWRPDRRDPRLLTVGECHCEDEARKVVEKMVNQVHVVGEGLIGRALISGEYQWISDDIPFSLSQISDADNLGLCQGYTWWQHQFLSGIKTIAVVPMPAFGVAQFGSMQKVSESLEFLDQVKGATFLMESLSWPPSSKDAQKDAFMYNPQFQLDSPSTTEGLVRIKAGPENSRLENAISVDSLKNFAITSNNHSLHCFNGYTSNKSFSGLNPHIVAMPVNSKSISTLKVFQSDSNLRHNNISESAQQFKSAKQPGSSWASAATSFSNLTNLQRIEHGLSCTPNKLRYCLQSEKSSSFLDSHSSIVSTDAEQKSTLFDNDAPFVQSDVIQEVGTAGSTRACELHELPNEIWGETTTGAMKPVIKGVNKNNGFLESTAFDPVMNDWWDDTALLAGNTSHLSATAMNSVSGQASSDPLSVEERGLFSESFFEELLGFDSNVGPVMDSTEPLAGFVSGCHLPRYSLQDSFSVCKAQVPPLILPSSSCTSENVPIGSSKETPMSLQNLSMDDCGSLNTANSKVSQVKKPEGEKVVKKRARPGESTRPRPKDRQQIQERVKELREIVPNSAKCSIDALLDRTIKHMLFLQSVTKYAEKIKQADEPKMISKDSGAVLNDNSNGVVLKDDPSGGCNGGATWAYEVAGQTMVCPIIVEDLAPPGQMLVEMLCEERGFFLEIADTIRGFGLTILKGLMELRDGKIMARFLVEANKNVTRMDIFLSLVQLLQQNSLNRSSDQLTKVMNNGIPSFAEHQQPPVSIPVGLAGR >Sspon.05G0008730-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5A:26045193:26046798:1 gene:Sspon.05G0008730-1A transcript:Sspon.05G0008730-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding METLQALELFAGVVLILVTVLLFRHLRPDAPRTSTSSPPRPRGLPLIGNLHQLGRLPHASLAALAAKHAAPLMLLRLGSVPTLVVSTADAARALFQPNDRALSGRPVQCASTRLSYGLQDITFSAPDSPLWRAARRACLSELLGAPRVRGFRDAREAEAAALIADIADASSTARSPVNLSEKLTSTSNRIVMRVAFGDYGEETTAVLEESQKHFGAFFVSDYVPWLGWVDVLRGLRRGLERYFHELDAFYERLIHDHLSKQAGSKEEDLVDVLLRLHQDPAHRSTFGSRGAVKGILMDIFLAGTETSAAALEWTMTELIKHPDILSKAQSEVRSAVDGKDMVREADLPRLQYLKSVIRESLRLHPPAPLLVPRETTEACTVRGHEIPAGTRVIVNAKAIGTDPDAWGPDAERFVPERHLAEGVDLSDHKPWHDGFALVPFGMGRRSCPGVHFATAVVELLLANLLFCFDWRAPLGEVDVEEKPGLIVSRNNPLVLVPERKCVK >Sspon.01G0055710-2D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1D:81131336:81134635:1 gene:Sspon.01G0055710-2D transcript:Sspon.01G0055710-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRWETLNHMAYKFGSLGKSDAKLALKILSSIVERSGLDRITYIYCMAVPILIQAQMHSQAMSVLRHLAVTGFSCTAIFTSLLRIISRFDSTNHVVFEILVKAYVKERKAVDAAVAVLLMDDCGFKASPVACNTILNALVEEGKSKHVWLFLRESLVRKFPLDVTTCNILLNSLCANGEFRKAEDMLQKMKSCRLSNSVTYNTILHWYVKKGRFKAALCVLEDRERDSIEADLYTYNIMIHKLCKIKRSARAFLLLKRMRKDDLTPDECTYNTLINGFFGEGKINHARYVFNHMLRQTVVPSVATYTTMIDGYCRNRRIDKALSVLSEMQITGVMPSELTYSALLNGYCKVSMLGPALDLMEDLKSRGITVNKTMCTILIDGFCQVGEISKAKQILKSMLEDGIDPDVVTYSALINGMCRTAKMHETEEILSRMQKTGILPNDVLYTTLICYYCKAGYVKEALKHFVDIYRRGLVANPVIHNSLLRAFYREGMITEAEHFRQYMSRMKISFDSVSFNCIIDSYCHRGNIVEAFSVYDDMVRYGHSPNLCTYQNLLRGLCQGGYLVQAKQFMFCLLDIPSAIDEKTFNALLFGICKHGTLDEALDLCEKMVKNNCLPDIHTYTILLSGFCRKGKILPALIMLQMMSEKGVVPDTVAYTCLLNGLINEGQVKAAAYVFQEIICKEGLYADCIAYNSLMNGYLKGGNINTIKRMMSDMYQNEVYPNSASYNILMHGYVKRGQFSKSLYLYKYMVRKGIRPDNVTYRLLILGLSECGLIDIAVKLLEKMVLEGIYPDRLVFDILITAFSEKSKMHNALQLFNCMKWLRMSPSSKTYSAIINGLIRKNYLDQSHEVLREMLQVGLQPNHTHYIALVNAKCRVGEIDRAFRLKEEMKALGIVPAEVAESSIIRGLCRCGKLEDAVIVFSSMMRSGMVPTVATFTTLMHSLCKESNIADALHLKRLMELCRLKVDVVSYNVLITGLCKEKYISDALDLYGEMKSKGLWPNVTTYITLTGAMYSTGRMQNGEELLEDIEERGLIPVYKQFENLERRMEGAIRRLNMIRNCRKEVPFRGVELLPVDPEPMCNAASDCNPTETRQHKGI >Sspon.08G0017430-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:2500234:2502590:-1 gene:Sspon.08G0017430-2D transcript:Sspon.08G0017430-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NADH dehydrogenase [ubiquinone] 1 beta subcomplex subunit 9 [Source:Projected from Arabidopsis thaliana (AT4G34700) UniProtKB/Swiss-Prot;Acc:Q945M1] MASTAGYLARRAAQKERVRLLYRRALKDTLNWAVHRHLFYQDASDLRDKFEANRHVDNLDVIDRLIDDAEAQYRNFQHPDPYIVPWAPGGSKFTRNPPPPQGIEIIYNYGKEDQ >Sspon.02G0029090-3C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:114652706:114656479:-1 gene:Sspon.02G0029090-3C transcript:Sspon.02G0029090-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKGDGPAIGIDLGTTYSCVGVWQHDRVEIIANDQGNRTTPSYVAFTDSERLIGDAAKNQVAMNPINTVFDAKRLIGRRFSDASVQSDIKLWPFKVISGPGEKPMIVVQHKGEEKQFAAEEISSMVLIKMREIAEAYLGSTIKNAVVTVPAYFNDSQRQATKDAGVIAGLNVLRIINEPTAAAIAYGLDKKATSVGEKNVLIFDLGGGTFDVSLLTIEEGIFEVKATAGDTHLGGEDFDNRMVNHFVQEFKRKNKKDITGNPRALRRLRTACERAKRTLSSTAQTTIEIDSLYEGIDFYSTITRARFEELNMDLFRKCMEPVEKCLRDAKMDKSSVHDVVLVGGSTRIPRVQQLLQDFFNGKELCKNINPDEAVAYGAAVQAAILSGEGNEKVQDLLLLDVTPLSLGLETAGGVMTVLIPRNTTIPTKKEQVFSTYSDNQPGVLIQVYEGERTRTRDNNLLGKFELSGIPPAPRGVPQITVCFDIDANGILNVSAEDKTTGQKNKITITNDKGRLSKEEIEKMVQDAEKYKSEDEEHKKKVESKNSLENYAYNMRNTIKDEKIASKLAGDDKKKIEDAIDAAISWLDANQLAEADEFEDKMKELESLCNPIIAKMYQGAGADMGGAGGMDEDAPAGSGGPGPKIEE >Sspon.02G0051860-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:84476619:84480681:-1 gene:Sspon.02G0051860-1C transcript:Sspon.02G0051860-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ELLSAAAAGLHLRLLLICSSPAAARISHEAAPASPPGCASPPAFRSYSPVSVDRPDLGLVRSLPPLCFESLSLSALRRSIRTSPVRPLRVAIRRGYKRFFRRTALEASDFLKDDCLKINCTVGVVVSTIDYSRPHSIHVPDSDIGYDFGSLLDSQEGVDVILNVGGERFHAHKLVLAARSHVFKSQFFDDESDGEKSEVNETDELKEFSIDDMEPKVFKAMLHFIYRDTLVDDNELGASSSDGSIFDTLAAKLLAAADKYHLPRLRLLCESYLCKGISVASVASTLALADRHRAMELKAVCLKFSAENLSDGGARRAGAAFGLELVDEVEVHKVEDAVMCGGTCRPEVRGAPNVGTQRIVGSGGSGRACVMTDVVYQCLGHAS >Sspon.02G0032880-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:2174601:2178248:1 gene:Sspon.02G0032880-1B transcript:Sspon.02G0032880-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSARIDLNNAAGSASASQTLAPAPPKRGRGRPRKNPPPPAHPRPPDPDTPMVGGFAPGDMVWGKKLNHAAWPGLVYSAGGNGTGHEGQLLVSYFGDKAFAWCDAAELRPYEPYFPVAELYDDGGEDFDAAVEASLDEFSRRVEAALASAARPFAPADFLASLHDLAADRMGFTNRVQAAVAKAHLRAFDAFRALPDPPQYTLELGLLPHIPLPLPNPKAATDADTTHASRRGRKRKEELVKDYDSDEDWDPRKRGATDSDSDVDFDRRRGSRGRGGGGGSGVPRGRPRGRPRKTDVKDDDDGIQDKLEYPSAAEMLLQLLSVAADPVNGNYDSAPVIVSFFSKHKDSEAPSVYEDKELLETFGCKKGRKKSIVSLGPATKSEAGDDKDQFMSADGQRGRRKSAGSLYSARKAEDSYWCDIIISDFDDGDSDYEGRKRKRPSQNTNRSANKKMKQEEQPPQGAPSDVIIRSAVVCAHKTIADISKKDY >Sspon.03G0025210-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:60700972:60702968:1 gene:Sspon.03G0025210-4D transcript:Sspon.03G0025210-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSYVQGGEEVPVYESGAENLETTYYEFNGHKHNIRVQVLQKVQEKWAASSVPPPYPAMYSSVFGGIILDPAMMVLPIDDHMVHRGHGVFDTAMILDGALYELDAHLDRFLRSAAAARVGTPPFPRDALRSILIQMTAASGCRRGSIRYWLSSGPGDFLLSSRGCPTPAFYGVVIASEYEQCGRDGVRAVTATVPMKPPQFATMKNVNYLPNVLSIMDAEDRGAFASVWVDEEGYVAEGPMVNVAFVTPDKHLVLPAFDKILGGCTAKRMLALAPRLLESGLLAGVSTRNITAEDAKRSVEMAFVGSGLPVLPVVEWDGKPIGDGKVGPLMQALSDLLWEDMKSGPDRIPVPYKQ >Sspon.01G0033200-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1A:112079511:112082689:-1 gene:Sspon.01G0033200-1A transcript:Sspon.01G0033200-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLVSGKKQFVLSFSHLLRRDSRCSPARDRCVARLRPPRRPSPAAREPLASRVHHLASHVSPPLTARVPETAVPPASVRCVAHRRPRASPWPAGAPARCRPPPTAAPPSPDRRAALPRPRASPLPAAFAPSSPWPRAPLVCRVTAAPHRSSKGRQPLAGVSRVATARLSLPAPGSSPAGAHRPRAPCLRTSPRPPAFVQKRKKMPWKGYSRAITSAPADSALGTVLAVPCRLQRTCRLGYKIVFHSSPKASGAARVELTRGVGGAPRHGARPWWCSGERGRRPSGRGGRLWPSEHTDGLTDPWRTSRDGGGRRRWEAKLSGDALGQARRRCCGEGVTTRASGVNLSRHCDSKGGKTTAGGVLDRKIGSSGLLPSMVAAVLDKGSGAAESGLERTSGRGVRKRFSETSFIGRRREGGASRRAPVPANGGYHTA >Sspon.02G0023590-3C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:83311456:83315860:-1 gene:Sspon.02G0023590-3C transcript:Sspon.02G0023590-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DSPVFSFLNNLSPIEPLKSAYNTNSLHGFQSINITSISSIFTSPHDNVNKEPRLPKSSLGEISENEVCADAADINKPSKSSNAVRLFACTSTVTRETSTVTCSGVVDPPTGPCDLAQPGQFDNGSPDHNTTPCHGVRSDLKQDKTRKLEAAQTVKTTLEKRKCLFSTEIRLMDGSEPVNDNDEVLGCEWSDLISTTSAELLAFDSTMDEHHRGVHLAAKNAESCGYLLSKLTRDGDVSDRAYPSGSGQVYYQDLVMGDDQTENAQIFQDGQETISTEEIQDNIYEANGCIPLDYKVESQQQRGIRRRCLVFEASGFSNSVVKKGTVEDLSVSTCKGKSPVQTQPRGLRGIGLHLNALALTPKGKMACQDPTASALLPSSASEKDVHSKLLSAGENFTPSGGELLEFPMDDCSAGGFPVSDHVSGQSVSPQKKRRKTDNGDDGEACKRCSCKKSKCLKLKILTILQLQLVTREDAIARSQAVSRNTVNAIREVLDAPPTADVRVAKILLAEEMFSAQTAKFLKCETTETVQTSQCELPFVCFKSYHNSTAL >Sspon.01G0000320-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:12565687:12568145:-1 gene:Sspon.01G0000320-3C transcript:Sspon.01G0000320-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPSPKPPTPRGRGHRHRQHPPSSTFTRRLHVARCFPDPPPASATPNPVLSLLSAVPDWADAIQERRVRDRRPVYDHAAWREHRSSRRHLRHLLTSLSSRAILSLAPPVSAFTAFAAAIATYNTLLPAYALTASSLPYQLTAPALALLLVFRTEASYARFDEGRKAWMRVLAGAADLAGIVMHLHTRPGPAADDRALINYILAFPVALKCHIIFDSDVKGDLQGLLAEDDLNVVLASKHRPRCIIEFISQSLQMLDLDEQKRSIMESKLSIFLEGIGVCEQLMGIPIPLSYTRLTSRFLVLWHLTLPVILWEECKWIVVPATFISAASLFCIEEVGVLIEEPFPMLALDALCKQLHDGIKDVMAVQNSVHSRLVAKTTKDHRGSRCENNGWPSSKREAAKID >Sspon.03G0024630-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:98306669:98325279:1 gene:Sspon.03G0024630-2B transcript:Sspon.03G0024630-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVSPFLALVLIAALSLLLLFAIRKPSQNRGDARRLLPSPPGLPVVGHLPFLGSLPHRKLRSMAAWYGPVMLLRLGRVPTVVASSAAAAQEVMKTRDLAYASRPRVRMAERLSYGRDMAFAPYGEHWRQARRVCVLHLLSQRRVHSFRHDREQEAAALVDRVRREGARDDAVNLAALLISYTNGIISRAAFGDDGSSGNYGGKKLTKLFADLEELLGTITVGEFVPWLAWVDTLMGLDAKVTRTYEEMGALLDRVIEDHRQRRRAGGYRKDDDHRDFVDVLLDVNEAAEDTDAGRGVMFDNGSIKAVIRNMFAAGTDTTYTTLVWAMAELINHPHEMRKVQDEIRAAVGGGGGVTEDHLKKLRYLKCVIKETLRLHTPVPLLLPHETIEDTELLGYHVPVRTRVAVNAWAIARDPATWERAEEFVPERFAGDDLTKDYLPGQDFRFVPFGAGRRGCPGVGFAVPTLELALASLLYHFDWELPAGAGSKLEMDEVNGLSRSADMDVSPFVALLLVALLSLFLFATRRKIDSPPRGGDGRRLPPSPPGLPFLGHLPLLGSLPHRRLQAMAASHGPVMLLHMGRVPTVVASSAAAAQEVMKARDLAFASRPRVSMAERLFYGRDMAFAPYGEHWRQARRVSVLHLLSQRRIHSFRRAREQEAAAMVGRVRRAAGGRGDDAVVNLNAVLISYSSGIISRAALGIGDVQSYGLDGTARSGGEGERLTKLFDDFEELLGTVTVGELLPWLAWIDTLMGLHAKAARTSAEMDAFLERVIADHRQRRRAGHRERREGGGDDHRDFVDVLLDVNEAEHDDDARGVLFDNDSIKGIILVRNACIHVLNNYYKSESGLREQNMFAAGTDTTYTTLVWAMAELINHPHEMRKVQDEIRAAVVGRGGDDVTEDHLQDLRYHRRVIKETLRLHAPVPLLLPRETMENTELLGYHVPARTRVVINAWAIARDPAAWERADEFLPERFADDDMKTADYLLGQDFRFVPFGAGRRGCPGVGFAAPAMELALASLLYHFDWELPAGGPPKVEMDELKGLSVRLKTTLHLTAKPWSPQSLPPSPPGFPLLGHLPLLGSLPHRKPRAMARTHGPVMLLRFGRVPIVVASSAAAAQEAMKTRDVAFASRYRGPMVERLHGRDMAFAPYGEHWRQARRVCVLHLLSQRCVLSFRRVREQETAALLDRVRGAAAVNLSHLLVTYSSVVLLRAAPGAQGDAPSAHADAARAARETVEDTELLGYHVPARTRVLINVWAIAWDPATWERAEDFLPERWLADEAAVAADHYTPVAGRHDDDFAFLPLGGGRRGCPGAGFAMASVELVLASLLYH >Sspon.02G0022180-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:72244592:72248589:-1 gene:Sspon.02G0022180-2B transcript:Sspon.02G0022180-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding KMSAGEILRAELSSRTPPFGLRLWVVIGICIWALILFVLGFMCFWSVHRRNKKPGNSKPCDKIPVSQIPDVSKEIAVDEVREQHAAVVHNLHVQESHHALAVQVQEKHYAEKDSGKMLAHLVRSKSSDADNLSQCSSAYQCERAGSSYSGDEGSSGNARRQYAQYATVSASPLVGLPEFSHLGWGHWFTLRDLEHATNRFSKENVIGEGGYGVVYRGRLINGTDVAIKKLLNNRGQAEKEFRVEVEAIGHVRHKNLVRLLGYCVEGIHRMLVYEYVNNGNLEQWLHGAMRQHGVLTWEARMKIVLGIANRLAYLHEAIEPKVVHHDIKSSNILIDEEFNGKLSDFGLAKLLGAGKSHVTTRVMGTFRYVAPEYANTGLLNERSDVYSFGVLLLESVTGRDPVDYGRPANQLHLLISYNMDTVQVHLVEWLKMMVGARRAEEVVDPDMELKPTTRALKRALLVALRCVDPDSEKRPTMGQVVRMLEAEDVPSRKDRRSRRAHSSNADSESKASSSEFEISSDRRELGPSARFQS >Sspon.02G0022240-3C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:75549705:75553125:1 gene:Sspon.02G0022240-3C transcript:Sspon.02G0022240-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPRECHANATAAQGATGCCWYVFAAYIFAAANHANRTGEAFLPRGPAAACSDAFAALLLAGGLVPRSLLAGNDGSCDLTSDDPGKQLAAGARPCQLATIDARAPPVRPDTPGCSECRGAVIATTYEMLASARTKEFVPCGMAATVAVWSRAPPPLERYSDYALCMLQVLENVNSLGTGNLVPSPPPPPAAASATKSPPAAGSASSSSSSKNTVPIAAGSAAAGVAAVVCLGFAAVAVARNRRRRRMTAGGDSESGNDSSDDDDSVASLPPLPREGLYIFTKAELMQATNGYDKKLLLGTGGAGKVYLGHLPSGQRVAIKKIYRSKKVSEFYAEVAVLAKLRHRNLTTLVGYCRGGRGGGDHALVYEYMAGGNLWRALFHGEPPLPWRRRLEVAVDVAEGLAYLHGFREGAVVHRDVKPTNVLLSESGAAKLSDFGVSRIVPEGGTHVSTEVRGTRGYVDPESFSAGTVSEAADVYSFGVVLLELATGMRSVVPTPSGGAESIVQAAHWAVTEAGGASGTATAAEAMVDRRLGPAWDRATVCAVFALACRCVRPYKHERPEIAEVLAQLKAVLADYNARVDGDGDVLLPDAESSEGTAATPEPAGSMPSTSSSSTLNTE >Sspon.03G0011000-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:30000066:30001610:1 gene:Sspon.03G0011000-1A transcript:Sspon.03G0011000-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARINAFSLLVLLLFCYVLSGAAEAAAYNVAGFGAKPDGRTDSSGSFASAWSAACRSREPATVYVPNGHFLLSRAAFTGPCSSRVTLQVDGTLVAPSGYTSGGDDGWIVFDHVDGLTVSGGTVDGRGEALWACKAAGHGGCPSGATSLKVLNSRDVVISGLTSVDSELYHVVIDGCEGVTVQDVQIVAPGSSPNTDGIHVQASSQVTVTRTGIQTGDDCVSVGPGTTNLRVEHVSCGPGHGISIGSLGKESEESGVENVTVTGAAFVGTENGLRIKTWARAKVEGAYVRGVVFEHALMHDVRNPIIIDQTYCPNHGDVGCPHQSSAVKISDVRYTDIQGTSASKVAVKFDCSASNPCSGIGLKDIKLTLDGGKPAEATCQHADGRASGEVEPP >Sspon.01G0055540-2D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1D:79069477:79070883:-1 gene:Sspon.01G0055540-2D transcript:Sspon.01G0055540-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Molybdate transporter 1 [Source:Projected from Arabidopsis thaliana (AT2G25680) UniProtKB/Swiss-Prot;Acc:Q9SL95] MATTVNPDPEAALAGAKEPRGPRSLLARARDNLAFQSVWPELNGAMGDLGTYIPIVLSLALARHLDLGTTLVFTGIYNAVTGLIYGVPMPVQPMKAIAATALSDSSFGVPEIMAAGILTAAFVLFLGVTRLMQLVYWIVPLPVVRGIQLAQGLNFAMAAVKYIRYEQDLGKGKSLGRRPWTGLDGLILAIAAICFILLVNGAGSESSRRREQGTHPESQEEPEEEEERQQGGGGGWRSMVRRAAPAIPSAVMVFVLGVALAVARHPAAVRELRAGPSRMRVVRISREAWKQGFLKGAVPQIPLSVLNSVVAVCKLTRDLFPEKAAWATPTSVSVTMGGMNLVGCWFGAMPCCHGAGGLAGQYKFGGRSGGCVAALGALKLALGLLLGGSMLRVLVEFPVGLLGVLLLFAGVELAVAARDMSSKAEAFVMLLCTAVSLVGSSAALGFLCGMIAHGLLMLRAWAMGVSLT >Sspon.02G0043550-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2B:92813280:92818043:1 gene:Sspon.02G0043550-1B transcript:Sspon.02G0043550-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRPPSSPSFFPLFPPLSSPSWDRSPAPTAAAALPGAEAAAGAAALPAAAAAGLLPAAAAVSSSRPLPPGAASRAAALLLAAAAASSSRPLPPAADPQAAWPPPLPGAGGQPLLQPPGGGLALGATISSAAHLSATPPGAAHAAPLMPLLAAPPGAALSPGGMPLLAAPHSSAATAPPAAPPGAAASSSVPWPANWTAACCRHRATAGCCTFFSRRMADPWPAGWLLPCVRCDRRDRPSLCWCDPLTRAAAFAHPLRLRAPLSRDMGTAGPSFRCRQCPRDCAFPGRPDHLSFLGSGRPWHGPDMARPPSSAAHRRGPPTRAARLWRGPWPGLGTTSVPYAAVVSCGFSSTRAERGWGGRGGRRRRGRGRGGGSGFHDPSWPFQAPGGEPRLPAAAMLATASPGFQPPGWDSAALAHSFSTLAPRTPGAGPQWIADSGATYHTTPDPTILSSVQLPSSYHPSSIMVANGSCLPVTSVGAAGAHGSFRLPDVLVAPSMVHNLLSIRRFTADNSCSVEFDSSGLTVRDLASRRPLLRCDSTGPLYTLRFPASPSSSSPTPLSAAFATTTSSTTWHRRLGHPGREALMQLSRSSDIRCPRTHDEPLCHACQLGRHVRLPFHSSSSQAAHIFDLIHCDLWTSPVISVSGYKFYLVLLDDFSHYSWTFPLRAKSDAFPAILHFFAWVSTQFGLTIKAVQCDNGREFDNSTSRAFFLSHGVQLRMSCPYTSSQNGKAERMIRTTNDTVRTLLFQASLPARFWAEGLHTSTYLLNRLPSTACPAPTPHHALFGTPPRYDHLRVFGCACYPNTAATSSHKLDPRSTLCVFLGYSPDHKGYRCFDLTSRRVLISRHVVFDEYRFAARRAGPRSRIPSGSGPIVLGRGPVLSGWCRPGAFVPRFCPGGSCRSLTSDPVPAPAPAPPSTPDPVPAPAPAPPSRFAAPVRVYQRRPRPPPLALPSPPGTPTPPPQSPPARGAPPVYHPPLLHRHPRHVHPMVTRHAAGTLPPRALEASTGDAVVSPVPSSVRDALLDPHWRRAMEEEYAALLANQTWELVPRPPGSNVVTGKWIWTHKRRADGSLERYKARWVLRGFTQRPGVDYDETFSPVVKPATVRTVLSLALARSWPVHQLDVKNAFLHGLLTETVYCSQPAGFVDTTRPDMVCRLNRSLYGLKQAPRAWNHRFAAFLLTLGFMEAKSDTSLFVYHRGADTAYLLLYVDDIVLTASSEPLLRRIITALQQEFAMKDLGELHHFLGVTVEHRPTGSYFTSGIQQVCLHMHDPREPHLTALKRLLRYLRGTLDYGLLLHRTSSTDLVVYTDADWAGCPDTRRSTSGYAVFLGGNLVSWSSKRQPVVSRSSAEAEYRAVANGVAEAAWLRQLLAELHTPPSRSTLIYCDNVSAVYLSTNPIQHQRTKHVEIDLHFVRDRVAMGEVRVLHVPDDLPVRGHLHQRPPVLDLRRVSLQPQHHQWL >Sspon.04G0006900-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:19816910:19817766:1 gene:Sspon.04G0006900-1A transcript:Sspon.04G0006900-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKLAFGSVGDSFSATSIKAYVSEFIATLLFVFAGVGSAIAYGQLTNDGALDPAGLVAIAIAHALALFVGVSIAANISGGHLNPAVTFGLAVGGHITILTGLFYWVAQLLGASVACLLLKFVTHGKAIPTHGVSGISELEGVVFEIVITFALVYTVYATAADPKKGSLGTIAPIAIGFIVGANILAAGPFSGGSMNPARSFGPAVAAGNFAGNWVYWVGPLIGGGLAGLVYGDVFIGGSYQQVADQDYA >Sspon.02G0008680-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:27707840:27710771:1 gene:Sspon.02G0008680-3C transcript:Sspon.02G0008680-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RMEAPVTGGLDREITSHDDFPGRRRNKGKKKGRKGIAMAASPQQQGQGGPGGGGGWTPEQFWSLLDKADRRFARVRDLPPLGRQEPDAFAKAFRAYTQLWRMQQEHRHRLLDAGLRRWQVGEIAARIAHLYYAQYQRTADTALLSEAFVFYHAVLDRAYFQDADHHSPPPSTSASSLALLLARRSHTVPRLASDIRTLLDDSKKSFQDAEYKEWKHVVQEIARFLRADSPFMNMRPLRYSYAFDPPPDTLRTVPPTVKKRGLVLSDTILCSYYPNQVKFTDLSIDVFRMVQCLEWEPCGSFALNNGYSAHDESGQNQPNLLKDLRDAALPPNPLKTILYRPSVTHFLQVLATKCEELPSNGIMLIYLSATGEMGTSGFCPDTGEKVLSNFNKFDISGTSHTSSKENKEPCLWLGCRETVGSNCIYPGDLIPFTRRPLFLVIDSSTSYAFKAVYSWY >Sspon.04G0003130-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:6231037:6234391:1 gene:Sspon.04G0003130-2B transcript:Sspon.04G0003130-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAMTEPRDPPPPTGCAMFGIYSGMFRRRRSASMTSLHRINGASSDAEAEAPNAAPAPANPAHHRKAVVHHDSSSLVRRPNAMPVPAAQNGSVARAAAPAANDRSRPATKAANGVGVGPRPAVEPAAEYTGMAAELDKMILDHQRVKGTTQLVRATSGNMMLHRNLGNLNAGAGGGGAPARNSVERGAKAANERKAPNGYAFSGMGNIVKEPRPAAGGGELCRALSHRTDPEKLKEMGNEEYRQGHYTEAVALYDQAIMMDARRPAYWSNKAAALAALGRLIEAVGDCKEAVRIDPSYDRAHHRLGGLYLRLGEPDKAIYHLKQSSNESAGADVARAQSVKSRIAKSSDARRLKNWITVLQEAQAAVSDGADCAPQVMALQAEALLRLQRHDDADSLLSSSAAPRFGVDESTKFFGTFGHAYFLIVRAQVDMAAGRFEDAVATAQTAFQLDPSNREVTVVQRRAKAAAAARLRGNDLFKAAKFVEACAAYGEGLDREPGNAVLLCNRAACHAKLGRHEKAVEDCSGALVVRPSYSKARLRRADCNVKLERWEASLRDYQVLIQELPENEDVKKALSEVEAKLKGQRNGGAAARS >Sspon.04G0008430-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:24498193:24504511:-1 gene:Sspon.04G0008430-1A transcript:Sspon.04G0008430-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDPAAEQEMQQLEDRLREVGERLQAPPDDAEDLLNLLIEVEECLLKVEQSPPESTSNALRPATEALVKKELLGHADSNVRLGVASCISEITRITAPDAPYDDDAMKDVFSLIVGAFEHLDDIESPFFGRRTSILDTVAKVRSCVVMLDLECDDLINDMFHHFLRTVSSGHSEAVISCMETIMRLVIEESEDVQPQIASCLLQNVRKEEKESSSPSFELAEKVIDTCREKLKPVFLQSLKGTSLSEYSQIVASVCEEVSDDREDNNADPSGKDTVDDGKLSERTISDELPQESSKAEQDVSRPEQDGTSMNGNTGTAISSGATPPDTGESDDQGPPSTKEKIEKLCNDENKQMLISAESNAAKPKKKAALDSDKSTELKPSDKCEATVHSDADTKKEDLVASAEGTNGAADTSRPADSTPTKPSEASSWSKKKAAGKDQSSGLDLKKVKEAGDSAGKLAKRSAKDEKSSAKKAGEGESSKKTQKNNSKQQKDETLSEDDPAKDLSLKEMISPKSSTKGPGRTKGQSTENSTPKMKQEQETEEPRSRKSKGLDRSLVGARIKVWWPDDKMFYNGVVESFDSVSKRHKVAYDDGDVEVLLLRDEKWEFISEEKGASVASEKPRGRKRKADVKEENTETPRSDAVDSPKKRGRPKGVRSSNGTPSNSATPSTKGKTAGKDAKETPKTGPNLKKELEKSSKGKASVSTETKDELPKDDDKSATKPKEAISKGKDSKDEGKSTEGKARPGRKPKNAGTPAKSDADKEKRKEKEVKAAEIEQEASGNASTGKKRRRKA >Sspon.02G0055690-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2D:11376375:11376643:1 gene:Sspon.02G0055690-1D transcript:Sspon.02G0055690-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RTNANEYNERLERGREEEERRKARGDLQDPAVEGSPEKGKTTRKATTGAGCGERGLEKEPYPQWEDGKTRSPRSGGGDVAGDEHDDNSG >Sspon.04G0024830-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:75512383:75512756:-1 gene:Sspon.04G0024830-2C transcript:Sspon.04G0024830-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GSMRFVGLVSLVALIFLLSFRSLLHQQVRSAAVVFLSPIEKLKLVFGFVEGEGSAVAARSGRHDRNQQHAEQWAEERKRMRWFMTRDYASARRHTPRNNRLD >Sspon.03G0023120-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:70704759:70705407:1 gene:Sspon.03G0023120-1A transcript:Sspon.03G0023120-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DGRPPGAGVVGGRTSTAPSRPPGGLRQRGRHQVRGPLPQRRRVGGRPRGGDRVRPALHRRGLLPRRRPALHRRRRVVPLEAGRQRGPAHRAAPVGRRPRHRAALGQHPRKGRRRAVRQPPHLRGARVGLQQARLRRARHEHLHVGQPLHGAPPRHPARARHVHRPAGHQASGLRGLRQRPMAEPSEICKTL >Sspon.02G0032920-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:2625476:2628961:-1 gene:Sspon.02G0032920-1B transcript:Sspon.02G0032920-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVSSRLQSLLRPLLLCRSRAAGRAFQTLALRSPPPPPPPPPRLPSSFLLRTRRLPPPHPYGAPSRLLFHRPFASVSPAPAPVPGRDHLNSKDQGPPLPPAPLPPPPPEELASEDESYYHEHLLEVAQENQSRVVPVKAFFLCTSIDLRSLQSQNSFNVIPPTSRATNYVVLRYYDVKGDPEGFKSGVIDESHCHYMVVFQYGSIVLFNVSDHEADGYLKIVEKHASGLLPEMRKDDYAVVEKPTLETWMQGGLDYIVLRDLSIDGIRTIGSVLGQSIALDYYIRQVDGMVAEFTDINRGMEKTGTFTMERKKLFQLVGKANSNLADVILKLGLFERSDIAWKNANYAQIWEYLRDEYELTQRFGNLDFKLKFVEHNIRFLQEILQNRKSDFLEWLIIILISVEILISVYNIIQEQM >Sspon.04G0024150-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:20891009:20893685:-1 gene:Sspon.04G0024150-2C transcript:Sspon.04G0024150-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSTGNQSEKQFAVVTGGNRGIGLEICRQLASNGVTVVLTARDEKRGAEAVSTLGLSNVVFHQLEVSDPSSAARLVDFIKQKFGKLDILVNNAAITGTTSNVGDLETFRQELAGMDDLMERIKTINNNITEPYEEAEKCLRTNYHGIKAVTKALLPLLQSSSHGRIVNMSSYYGLLRFFSGDELKEELNNIESLSEQRLDELSVLFLKDFKDGQLEARGWPKEGGFIAYKASKALANAYSRILAKEHPSLCINCVHPGYVETDMNFQVGHLTVEEGARGALMMAMAPKGGVTGAFLNLTEVAPFVLTKTKLQLEAEQGNITTTYICENYSLNAEFVLYIGDKTRLYFSLRLLLIFVLRRLRGCFRLSRAYIRRWLRRGLRSLVLLGLRRLDEVVVAALLLEQLREMDLAVEDSVERGVGGGGQDATAVRALEAAFVVRLAF >Sspon.07G0012430-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7C:49984319:49988982:-1 gene:Sspon.07G0012430-2C transcript:Sspon.07G0012430-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding PRARPTSLLPLLASLPPKTHLQPLQQHLVPPSLPRPSPLLLDLALGGGPEAGTETGAADGDLQQPQPLLQPQPHPQPLLQSLPEGLLAAAATSGLTGPNLNLAAALVAARTAAAEGQARVRAAALVWEPALPGGGGGVQNIRLLVPVVLEPESPSYARWRDLVVLTLRRYALDDHVLVDASVAVQTPSWIRLDSIVLSWILGTISLDLHDLVRTSPDARRAWLALEGQFLGNAEARALRLDASFRTFVQGDLSVGTASPRSEPATGLGSPESPGTSSSGAAPSSPVGADPGPLSPASAPGGSCRLPTPDPAPAPPSRFAAPVRVYQRRPRPPPLVVPSPPGTPTPPPLPPPARGAPPVYHPPLLHRHPRHVHPMVTRHAAGTLPPRALEASTGDAVVSPVPTSVRDALLDPHWRRAMEEEYAALLANQTWELVPRPSGANVVTGKWIWTHKRRADGSLERYKARWVLRGFTQRPGVDYDETFSPVVKPATVRTVLSLALARSWPVHQLDVKNAFLHGLLTETVYCSQPAGFVDTTRPDMVCRLNRSLYGLKQAPRAWNHRFAAFLLTLGFVEAKSDTSLFVYHHGADTAYLLLYVDDIVLTASSEPLLRRIIAALQFAMKDLGELHHFLGVTVEHRPTGLLLHQRQYTRDILERAGMTDCNPCSTPVDTQGKLSEAEGPPVAVPTAYRSLAGALQYLTFTRPDITYAVQQVCLHMHDPREPHLTALKRLLRYLRGTLDYGLLLHRASSTDLVVYTDADWAGCPDTRRSTSGYAVFLGGNLVSWSSKRQPVVSRSSAEAEYRAVANGVAEAAWLRQLLAELHTPPSRSTLIYCDNVSAVYLSTNPIQHQRTKHVEIDLHFVRDRVAMGEVRVLHVPTTSQFADIFTKGLPSSTFAEFRSSLNITGG >Sspon.01G0020160-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1A:74727699:74728127:-1 gene:Sspon.01G0020160-1A transcript:Sspon.01G0020160-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATQLSIVLASLQAFSDSPARYVFHLSEESGWCCCYRFSGSISFWIVFSSDGSEMPALLEASDSISIANAGSVRSTFEVHIKFDMRFVVPFKNYKVSSSRRVSVSSCKLWRVPMLLRAAVVRRVAHPVADDEDSREILART >Sspon.04G0026050-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4B:34719322:34719627:1 gene:Sspon.04G0026050-1B transcript:Sspon.04G0026050-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MIWCLQADPWKDMYAFTRHLADDQGLCRVLLAQHFTKPNRTAARDAYSPRPCTSRRTSTSKLSKRKRENYQRCHGLAFLRAPDVPRANTVHDRASAEHTVG >Sspon.06G0012040-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:52048210:52059144:-1 gene:Sspon.06G0012040-2C transcript:Sspon.06G0012040-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMVETLDVSPDDANGSAAVQTEPSCHEDAAIEDMESFLDEVDEVNDKFHVFGVVTGVIIKEILSDVQQEAASQIALKDAEIASLNQKLQQLGNGSLSLYEGRDKRHDEFYSLRQQLDSISKSLLNSEWGLSGSQHNFEDSENVSKQQDKEKSSRNGVAKTIHPGDSKEEVFGDPKLLDHMDKVTLIAHFNKSMNEMKRQHDSVLYQRTEEIFKLKREILKKDGSNPFHLRNNKELEQTRKKIEEVISKLDVLLLENKRTSVRIKSNAIPGQQDKNHVLDSEIQQLESGASNNEEDHCSIPTHSSHFASREADHELNIIRLESDVEDARIAATLREEIERIIIKEFISEINIELHGYEIEGDMKQEVCSIIQNEAIAKSMSNIDSLLSKYEEKKSHAEEESLHKQKIEKLKLIVDSFTELVSEKEKFISQIGLEAMHTRLESMCRGLDLLRDKVAKQDSYLSEKNREFGVIMGRLEQAQQHVQHNDVTLVELNDRLRTISDSLKDLERQNQALHNIIEEKEKMLTSAVSKDKDMREFMDNIVKSIRDFEIVMMDQQAVVANKVQHNESRFCFLKEQCKHLAKEGNLLRRKALRYKEISETSGSNLQKAELEVDLLGDEVEALTDLLAKIYTALDHYSPVLQHYTGDPSNQNKWKHVLSICQIKDEPS >Sspon.04G0035070-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:1054568:1055091:1 gene:Sspon.04G0035070-1D transcript:Sspon.04G0035070-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SPMLNQCYPIPVFSNPLLQCLELIQVCHQLHLSHMPAPESGPDTSTPMTPS >Sspon.05G0016850-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:65698557:65700030:1 gene:Sspon.05G0016850-3D transcript:Sspon.05G0016850-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSERRETGEGGFVRRQQEMIRQPPPAINGQETEAAAGQQPWPGTTTSSLLYSSRLLLPAGTTTTTATSSSGNSTTTPTAYEMLERYDFPRGILPEGVQRYELRPADGSFEVFLSDCSGSCEFRVGDRYLLRYDRRIAGTAREGSIRALQGVSVKVLFVWLPITEVDRAGDQLSFLVGPLAASFPLENFAESPRCQCGFDCAAAAAAS >Sspon.02G0035940-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:22249451:22253302:-1 gene:Sspon.02G0035940-1B transcript:Sspon.02G0035940-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRRLLPHRSHFSTSSASNSTPTLYSDGTIPFSLLSWGRGASGQLGGGKEERRLYPSPVAHLLLPESDPRLAPTPGRLPSAGETSGVEVGISCGLFHAALLVEGGAWVWGKGDGGRLGLGDESSAFVPRPNPNLRDLRLLALGGIHSAALTTSGDVFTWGYGGFGALGHYVYHRELLPRQVNGPWEGKITHISTSGAHTAAITDSGELYTWGRDEGDGRLGLGSGGGPGEAGSLSVPSKVNALPVPVAAVACGGFFTMALTSDGQLWSWGEKGDLYMWGNARDCQLGVPGLPEVQPLPVKVNFLRDDDEGLGPSRVISVAIGASHAINYLARFRRVIADPEVLIDKLLAYSNSFVYARRIAMVHMHEVDLTSVGEIYQNAEVISLYQKDKVLS >Sspon.08G0007170-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:20600465:20601684:-1 gene:Sspon.08G0007170-2B transcript:Sspon.08G0007170-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MERPAVTVKQEEDDEVVVVLDADGDAGGHWPAGTGAWQAPAGSAVPPFLAKTFELVEDSATDGVISWGAARNSFVVWDPHAFAAGHLPRRFKHGNFSTFLRQLNTYGFRKVSPDRWEFAHADFLAGQRHLLVNIRRRRWGAAGSTASPSSAGAGGGDRDNSELERLRRDREALSRELTRLRREQEAARAQLLDMQRRVRGTERRQQEQCTAFLARAIGNPAFLDGLLARRGRGGAHVEAGRKRRLLDAAAAAPDALAFEELALAAGAEVEAAAPMPAVAAAQISDTANATDMIWYELLGKEQVEMDAEAAPEPWAEMDEKEVEELVQQIDCIGSASP >Sspon.07G0018080-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:65162100:65182325:-1 gene:Sspon.07G0018080-1A transcript:Sspon.07G0018080-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKATPEKRVRFKFTSNPSAGTSAGGGGGDGAAAPASAPRREPPSSPPRSPSPPEPFTYNFGDGGGGDGAAAAPAPAPQRKPSSSLPRSPSPPKPFTYNPSAASSGGGGGGGGAAQKLSYSDPKESDGEKPDEFQTRNGWGSLFISDPLVLSVSSSDPQNPPYYIGRQNCEPLTRLKFLSLHNNWQQLLGGKNTYLRPPKNNCVAKSNYVDRVFVTPKPLEEALRCQPDEFKTENGWGSLFMSDPEVLSKYSRFFSLRHNWQQLLDGKKTYLRRAVESNYADCVFVTPDSLDEALGCQEPLSHLVHGCAGKPIRQQKFKILCEHYLCFRPMRRDGSQFYRAFLFSYLENLGKMQGSQAEVTRLMECVARSRPDEFQTRNGWGSLFISDPLVLSMSSSDPQDPPYYIGRQNCEPLTRQKFLSLHHNWQQLLDGKNTYLRPPKNNCVAKSNYVDRVFVTPKPLEEALRCQEPLSHLVHGAAGKPIMQYKFKILSEYYVCFRPMRRDGSQFYKAFLFSYLENLGKMQGSQAEVTRLMECVARSRVNFRRLEWNNAYFSNPEAFFSSVVSEFEHLVNSVANGLNADELYKISLQEISSSRSEDTMLFVILLTEVHIRTYEAHYNREVSQNQNEKINALLFCKESVRPFGADVILLQMMALSQALGIPLHLAAVDGTMNDGPVQVKCYDIIPRSGPLSSSRRYYLSSATDKLPVLPAGNLFSSGRMPLVIILMTPNATAVLYRKIELRKYS >Sspon.06G0004950-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:14270074:14271234:-1 gene:Sspon.06G0004950-2D transcript:Sspon.06G0004950-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GKSWALISHLHTVAGYASVCAMESPSKVDDEQWLSYWIIYSFITLLEMLAEPLLYWIPVWYPVKLLFVAWLVLPQFKGASFVYEKLVREQLNKYRARYPPKAKGGAAAAAADDDHKVHIAKVGRA >Sspon.01G0020470-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:76230370:76232876:-1 gene:Sspon.01G0020470-1A transcript:Sspon.01G0020470-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSTKVLAAVLLVDLVAFGLAIGAVQSRPSARLETDARQEWTYCVYRTDAATALGGVALALLLVGQAVAAIASCCFCCGVTFVIAEACLLAGLLQSAYHTGYRTVFFQNPPDCETVRRGTFGAGAAFALFTGVLTSAYYYYFSKARIHYHRPEAAIGMTPL >Sspon.02G0058640-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2D:81579214:81579420:1 gene:Sspon.02G0058640-1D transcript:Sspon.02G0058640-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSPEQLAARRRQLPSQLAGEEAARVHAEIHGGRQSHERGGVLGAADVRDAHLHCRPAAAAFPLHGGR >Sspon.08G0005950-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:16053158:16054998:1 gene:Sspon.08G0005950-2B transcript:Sspon.08G0005950-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding STRLRKILESVSSVHDLCSVLGMDFVGTVTKVHPSLDDSVGVQSKSISDETLSKLSKMVIVLQEEKSKRFAKIQALASQLSDLWNLMDAPVEERQPFHHVTCNMSSTLDDVTIPGALALDVLQQAELEVERLDELKASRMKDIAFKKQTELEDIYARAHVAIDSSAARDRIMSIIESSSFEPSELLADMENQILKANKESLSRKDILERVDRWMSACEEESWLEDYSRDDNRYSATRGAHLNLKRAEKARVLVNKIPAIVDTLVAKTRAWEQENGTAFTYDGVPLLAMLDEYKILRQEKEDEKRRMRDQKKMNDQLAAEQEKLFGSKLSPARPLSSSRKVAGARTSGVGGAANGTPVRRLSALQSGGRTASQDGRRDASRPVAPVNYVAIAKEDAASQASSN >Sspon.08G0007600-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:25258728:25261462:-1 gene:Sspon.08G0007600-1A transcript:Sspon.08G0007600-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MANDSLTRGHIIGDVLDPFTGSVPLTVMYDGRPVFEGMEFRASGVSVKPRVEIGGDDFRVAYTLVMVDPDAPNPSNPTLREYLHWMVTDIPASTDDSFGRELIPYENPSPTMGIHRIVLVLYQQLGRGTVFAPQVRQNFNLRNFARRFNLGKPVAAMYFNCQRQTGTGGRRFTED >Sspon.03G0007480-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:25304535:25308846:1 gene:Sspon.03G0007480-1P transcript:Sspon.03G0007480-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGKKPMKELKLSVPAQETPVDKFLTASGTFKDGELRLNQSGLRLISEENGDEDESTKLKVEDVQLSMDDLEMIQVIGKGSGGVVQLVRHKWVGTLYALKGIQMNIQESVRKQIVQELKINQATQSPHIVMCHQSFYHNGVIYLVLEYMDRGSLADIVKQVKTVLEPYLAVLCKQVLEGLLYLHHERHVIHRDIKPSNLLVNRKGEVKITDFGVSAVLASSIGQRDTFVGTYNYMAPERISGSSYDYKSDIWSLGLVILECAIGRFPYIPSEGEGWLSFYELLEAIVDQPPPSAPADQFSPEFCSFISSCIQKDPAQRMSASELLNHPFLKKFEDKDLDLRVLVENLEPPMNIPE >Sspon.02G0030850-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:107473959:107478999:-1 gene:Sspon.02G0030850-2C transcript:Sspon.02G0030850-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMPYGTAAEAEAALGRSMTWAEALWFRYSAAMPDLWLMWHIALVYLVVDALAPLPAMILQQLAPGYALRHKLQPRVAQPSLVSSYLRYIRESTRVALAILGPFPLVYSVVFKLFGVRTGLPLPSVWETAMHLVVFTLVEDYLTYWLHRFLHTKWGYEKIHHVHHEKTAPSGFAAAYSHGADLSLSAVTIFAGPAIVPCHVTTHWLWFAIRIMEASDAHCGYNFPFSLARLIPFVVDAEFHDYHHYAGGKTRTNFGSVFTYCDYIYGTNKSYLHHKRNLAKLKTEQAEHNMKGSSGKED >Sspon.03G0028710-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:8636919:8638488:-1 gene:Sspon.03G0028710-3D transcript:Sspon.03G0028710-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGTSFDLTEHEGSNLSPFLRKLFNEWDDRKMRGLFHHDISSCETKVLPGEHNFVATLIEGRDQKKRPTEFTLNQVLQPFVSEKFNFTKVSPLEVIFRFNDTKKDSAQYFDGVPGTVSASSSAILINVSPIGYCHVLLTPKIQDCLPQRIDQESFLIAMYVAREARNPFFRVGYNSLGGFATINHLHFQAYYLKVQYPVEKATTEKLTSLGNGVSIFHLVDYPVSGFLFEGGASLEDLSDVVSKVCIFLQENNRPFNVLISESGKRVFLLPQCYAEKQLLGKASQEFLDMRINPAIWELSGHLVLKRRKDYDEASEANICRFLVEAALSETEFQELNRCVLDFVTSSLG >Sspon.04G0034880-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4C:79850150:79850740:-1 gene:Sspon.04G0034880-1C transcript:Sspon.04G0034880-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPDRFVWRWTVTGQYAVRSAYRAYFVGWTSMAGAMELWRAAAPPKVKYFFWIALHGRLWTAERRKRHGLQPDATCALCDQLDEATDHLLCSCVFAREVWTRLLLALHSSTAPPQQDSLLLSWWLSSRVALPQALRRSFDSLVLLVSWILWKERNSRTFDRKSRSTTELLQAIFEEADAWIAAGFRDLALLTALVD >Sspon.06G0000660-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:693644:695715:-1 gene:Sspon.06G0000660-3C transcript:Sspon.06G0000660-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVEAAKHNVPGHQATKPAADHAKEDMKAMDGAPELATSKNVLEEQKPSHRRQESSASMLDKGPSSVCSDCGVLDEPPTPQGDSGELKDIKSLDFSGGNQEKNTSQKSSMSESFVSAKASDGANSLRKTSGSAKISDRADFPESGKSSMCRPSASSHISDESSCSSMSSSTTKPHKGSDSRWEAIRVIRSRDGILGLSHFRLLKKLGCGDIGSVYLSELNGTKSYFAMKVMDKGSLANRKKLLRAQTEREILQSLDHPFLPTLYTHFETDKFSCLVMEFCPGGTCTPFDKGSLENTFQSKQPIVKSANPGPDALQRSNQAYCVQPACIEPSCIQPACVAPTTCFGPRFFSSKSKSKKEKKPKPKPEIVNQVSPLPELIAEPTDARSMSFVGTHEYLAPEIIKGEGHGSAVDWWTFGIFLYELLFGKTPFKGSGNRATLFNVVGQPLRFPESPIGLDKRIAGQRPAASSWLQTGTTEIKQHPFFEGVNWALIRCASPPDVPKPVELDRPPKPAPANDKVAPAANQKGPDNFLEFEFF >Sspon.02G0053670-2D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2D:92356771:92357187:1 gene:Sspon.02G0053670-2D transcript:Sspon.02G0053670-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding HGPQRRGSITCVRPGRQPKPPRVDTLASRAPPLHRRFKKGKATSSSRRCLFVWLRGPRREIVDSSRLRVSRGRRPLPLSERGVVRVRAVGRERMRQTYLVGGRSGGYGDVGLRRAERERRRWARRCWSLREARWRCGPK >Sspon.01G0038760-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1C:22308875:22310058:1 gene:Sspon.01G0038760-2C transcript:Sspon.01G0038760-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDSVGVEERREQSETTPHKKARQEVGEAGGVGGGGGKPGEEGGFLSAMASKIGATMSGTNGQSGGEVISATMASDGEEGKRDGNGNGEPGEEGGFLSAMASKIGAAMSGANGGSGDGGGGNASVASGDEDKEADGNGGGGIFRKLLHSSPPAPPQASGAMETEEVKDQGVAGEQAGILSAMATKIGMAMSGANGVDSHGGSGDDAKTSNGEAARGDNGEEKKGEETNGGGILSAVASKIGVAVSGANNGNGNHSANGNGNHSAEDDAKTSTGDDGHGSKGEEKGRDVNGGRIVEQIISNLPSDDQAPDADEASLLIAIIED >Sspon.06G0017410-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:94623524:94624587:1 gene:Sspon.06G0017410-1A transcript:Sspon.06G0017410-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGCVRLSRVVPVSGIKFHPDGDRVENADWIAIFLQHDHTDAVDVKARYKFGVLDYSGESVSTFSQETSVDDTFSSKNPSWGFSKFVKRKDLEESSYLKDDCLKRLKLICEERLCMCIDTSTVPPTLAFTERHGCHGLKNACFQLLKLPSALETVMATESFDHLVTSCPSLIKEMLTGMATCPQCLHTLVPASHVHELQGWAGWGWE >Sspon.01G0013300-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:40020139:40020860:-1 gene:Sspon.01G0013300-2D transcript:Sspon.01G0013300-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VKLALHLKGLSYEYVEEDLMNKSQLLLESNPVHKKVPVLFHRGKPLCESMVIVDYIEEAFPDAGPPLLPSDPYERAVARFWVAFIENKFVAPWCQMFDVTKTREEKAEGLKQILAARATMEGALEEYSKGKPFFGGDSVGCVDIALGGLLVWVRASEVLSGVKLLDAATAPLLSAWAERFAALDAAKAALPDFG >Sspon.02G0046480-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:4067725:4070960:1 gene:Sspon.02G0046480-1C transcript:Sspon.02G0046480-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SRLWCADCYFTTKGWNAQNAGAAAVLVADDKVEPLITMDSPESSGTEHMENITIPSALVTKRFGDDLRKALQNGEMVNVLLDWRESLPHPDERVEYEFWTNSNDECGAKCDMQMNFVRSFRGIAQALEKRGYTQFTPHYITWYCPEAFILSKQCKSQCINHGRYCAPDPEQDFSIGYDGKDVVVQNLIQICVFRVANETRRPWMWWDYVHDFAVRCPMKEKKYTRLDIEKINKCVGDPDADKENPVLKAEQDAQIGHGSRGDVTILPTLVVNNRQYRGKLEKRSVLKAVCSGFEETTEPDVCLREDIETNECLENNGGCWLDKATNVSACKDTFRGRVCECPIVNGVKFIGDGYSHCEASGLGRCQINNGGCWNETRNGKTVSACSMSMNAKRSFSASARIALVRTHGEATSAAVAAAICCKQSSSSLGWGFLWVIFFGLALAGAGAYAVYKYRLRSYMDSEIRAIMAQYMPLESQEMPNQHRSVVPVDHADI >Sspon.06G0010010-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:54265569:54267044:-1 gene:Sspon.06G0010010-1A transcript:Sspon.06G0010010-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGEEILNPGRPTADAVYSYPGGGGGSRTTPEAHDDGVMLLVLGPGASSASTDGPVTYHDVATELLITSARPPATRMSNVTYTDWSTAHAAHVPSGASVAPSQSVVGAYAYPGVVMAMEHSGSSAPAPATRSSESYTDMLMAHLSSDMSPAADLGSERTAAAAAATEPGGGYYYNNSAIALPFAAQVVDEADSDGLVAAQDEVAGAVAEPGRGGGLGGLGLAATSLAMAGLIAGGMDTPVTAIALFGMLIAGVILVTVRVFHA >Sspon.04G0015530-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:58056747:58062215:-1 gene:Sspon.04G0015530-1A transcript:Sspon.04G0015530-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SWR1-complex protein 4 [Source:Projected from Arabidopsis thaliana (AT2G47210) UniProtKB/Swiss-Prot;Acc:Q8VZL6] MDAKDILGLPKTPFSSSQEKKPRPPKEPQRKPDGVSREDWSKEETDQLFELCERFDLRFIVIADRFPTARSVEDLKSRYYAASRTLLIHRARSFEDVSGNPLVKDAYDAAHETERKRALSALLSQTKQQERKDAETLAEAKRIMESRAASKNVDEAVMPPSSDNAMVPVDGVSPSSSTHPSLAHPNTTANTLIPNSLRTLRVYLRTHALDQMVQAVGASAGLRVIKRVDQTLQELGVNLKPKVPTKAVCVEHIELRNELLTLLNLQKQLQTKEAEVSANRESSFTEAPSTPKRSNRDIDQPFIPDTIGFTGERAGKRDHKRKHLQPYSLTVDMN >Sspon.02G0022930-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:70523803:70525435:1 gene:Sspon.02G0022930-3D transcript:Sspon.02G0022930-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASPSLWGGLNSGIVLSLLAIVWTMLWQALQGLQLHHLFGRHSRRLTRRLASILDPDLTVTVAEYDGGRMRRSDAYKEAKAYLERVTREARGGGGGVRHLRAEPDKDPDRVLLSMDDDEEITDEFRGAVVTWRACTAPQREDTGPAYYWRGGAPRAERRSYKLFFAERHRDLVLGEYLTHVRRQGRAVMVKNRQRKLFTNISSDGSWDSDGLWSDSVWSHVVFEHPKTFATLAMDPAKKKEVMDDLDAFRNGKDYYARVGKAWKRGYLLYGPPGTGKSTMIAAMANHLDYDVYDIELTSVRTNTDLRKLFIETTSKSIIVIEDIDCSLDLTGKRKRKEKDKEEADKKDGKEDGKEKEDDKAGGSKVTLSGVLNFIDGLWSACGGERIIVFTTNHVEKLDPALIRRGRMDKHIEMSYCCVESFKFLAKVYLDVDDHPRFDAVAALLREVDMTPADVAENMTPKAPGEDADSCLAALVEALEKAKEDALMAKKKKAEGKEEEAGAADEVDDEEEEQ >Sspon.07G0002250-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:5458073:5458759:1 gene:Sspon.07G0002250-1A transcript:Sspon.07G0002250-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding PGCGGPALPPLVPRASLAAPGPGVGPVVGRRGGPAPRAGEPLRGPPGVLLRRASRPGPGVDAVGAVGHPRGARRRVLALRVAPHHAGPPALHRVRAPPRGAAAGPPPRRAVGEPGRPHPGAAGAGRPPAAPHGGRGGRARAGDGARAGGPRRRGGGRIGGRVRARRGRPRAQGQGRAGKGGRAAAAHREAGGGDTGAGAGGRAARRRRGPGDRLPGVRAQARVGPGLSQ >Sspon.01G0049490-2D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1D:96439550:96442072:1 gene:Sspon.01G0049490-2D transcript:Sspon.01G0049490-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSMLEWKRVPMFIILSILGITSVTSTNAIASQKGLFVPQDNYLISCGASGSVQLDDGRTFRSDPESVSFLSTPVDIKITAKNSPTAASPLSPLYLSARVFSDVSTYSFFVSQPGRHWIRLYFLPIPDKKYNLTSATFSVFTDNMVLLHDFSFIASPPNPILKEYIVVTQGDTLKIIFTPKDSIAFINAIEVVSAPPTLIPNTTNGLPPQEQFDISNNALQVVYRLNMGGALVTAFNDTLGRTWLPDAPFLKLEAAAQAAWVPPRTIKYPDDKVVTPFIAPANIYSTAQQTASTNTSQARFNITWEMEAEPGFKYLIRLHFCDIISKALNSLYFNVYINGMMAVSNLDLSSLTMGLAVAYYQDFTVDSSSIINSTLLVQVGPSTTDSSNTDAILNGLEVMKISNQANSLDGQFSPKTSSQLGKRILTGIGLSVAVIAATLAIVVCCRQNRRPEWQKTDSFQSWFLRLNSTQSSFMSSCSRLSRNRFGSTRTKSGFSSLFASSAYGLGRYFTFAEIQKATKNFEEKDVIGVGGFGKVYLGVLEDGTKLAIKRGNPSSDQGMNEFLTEIQMLSKLRHRHLVSLIGCCDENNEMILVYEFMSNGPLRDHLYGGTNLKPLSWKQRLEISIGAAKGLHYLHTGAAQGIIHRDVKTTNILLDENFVAKVADFGLSKAAPSLEQTHVSTAVKGSFGYLDPEYFRRQQLTEKSDVYSFGVVLFEVLCARPAINPALPRDQVNLAEWALTCYRKGELNKIVDPHIAGQIRPDSLEMFAEAAEKCLADYGVDRPSMGDVLWKLEFALQLQEKGDVVDGTSDGIPMKHFNDSVYDDMEKSSSAGPPVQGR >Sspon.05G0021460-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:90291608:90295417:-1 gene:Sspon.05G0021460-1P transcript:Sspon.05G0021460-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEIRRIKAAACFFLFSLLVSQRLGSCASINEQGRPLLSFTESVADDPAGSSSNWGVSCSDDGKVVALGVIQRRLQSPEAKAHHVDPSSSSSSKSLPRWAIYTVAIGGALVFVAVAAATAYLLFSRRKKDTTVMPWSTGLSGPLSKAFVAGVPSLGRAELQAACEDFINVIGSSSDCTLYKGTLSSGVEIAVVSTSANSAKDWTNRSEEQFKNKISVLSSVNHKNLLNLLGYCTCDEPFTRMMVFEYAPCGSLFEHLHIREAEDLDWPARLRIIMGVAYCLEHMIQLDPPVTPPTLSSSSIYLTEDYAAKISDTEFWKEDGGKDAQMISDKQDDDVVYRFGILLLEVISGRLPFSEDHGLLVLWASSYLDGKRPLREMVDPTVRSAVPEKDLVALRDVVRLCVRSDDREKRPAMGEVVRRLRGVTGLSPEQVTPRDNPLWWAELEIASAVESESG >Sspon.03G0009680-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:26044806:26046193:1 gene:Sspon.03G0009680-1A transcript:Sspon.03G0009680-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIELCHASIPSLSVPAAPGALARLPSLDLARAHERPDEALQPPALRRDDSSGGRRLAEDDLVAVPVGVHPLRQELPEERPRRGAAGARRVVDLGVHRAEHRVALVAAVSGSLRDLPNSATSSGFRSTACASAMWASSQAPRNRSCDGASRLGSHWSGYECTVAKSIPGAWLLAMKSVPTWYPDGFTELRRDGDDEAP >Sspon.03G0010580-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3B:35850083:35850361:-1 gene:Sspon.03G0010580-2B transcript:Sspon.03G0010580-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRTLDLQFPRSLTPRGSSSRVMMTDDNPVTMPLRHRRPSCSRQSLVLLAATVLPARAPCLGRLALAARCRAAGAPCLLAAGLPATANGFY >Sspon.01G0012510-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:40939397:40940271:-1 gene:Sspon.01G0012510-2B transcript:Sspon.01G0012510-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKCAARVPSPRWASRPGLVGSWVSVRPAKCGASGRPVALTVPFILWDMFLNFQGKVKQIVGSTLRDSSNDGMKLVTNFESDKSPAEFAKLYKEDELLGGHVIMLGANPASQAAALEALHAYPGENLLSSCCKNCDCSLGVMGHQLGSQGPQDHVVGF >Sspon.07G0021720-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:3988707:3994011:1 gene:Sspon.07G0021720-1B transcript:Sspon.07G0021720-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSMATKLVNTAEVASSDAQTLVAVKKLEEMVLEMVASYEDCAAMAQAIKAVPGVYQPSDQPTDFKALIEVEVSKIKGASSASQQNHPLFRQFRESVWDMRKAISTMKSLAVKKLEEMVLEMVASYEDCAAMAQAIKAVPGVYQPSDQPTDFKALIEVEVSKIKGASSASQQNHPLFRQFRESVWNVHHAGQPMPGEEQEDIVMTSTQMSILNVTCPLTGKPVIELIDPVRCVDCKHIYEKDPVMQYIRTKKPPQCPIAGCPRVLERGKVICDPLLRIEIEELRSSETGAPNATNIDDLTDLIDDDEE >Sspon.02G0013760-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:30053116:30055790:-1 gene:Sspon.02G0013760-1T transcript:Sspon.02G0013760-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPVKLMHWHPSPGATVNSQILSEACGCAESLGGSKDGRWKTSIFFYRAMTRDGAGGPAGQQHPDLPRELLGVALHERPGLYFSIVRAQRLVLQADAAFPQVMEKLQSYRIRVALNFEGFQYQLGDFCLRIGKCVPNNSETLRGIMMEVEYYPLSSIEKSKAVMEDFFDIWKETVAKKSLPGQFIHVDSSFSDYGLSDQYSFQHTAVQYATCLQQLIAAVTVRG >Sspon.06G0026390-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:78919392:78922548:-1 gene:Sspon.06G0026390-1B transcript:Sspon.06G0026390-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRAFSGLITKWDLMPDSVEDAREFRMQYPRYVKDDITDMLILKPKVSDFSKNNVPHSESFMGLTGISTLLTSTVGKSTNILWHDCPIGQNERQNLLNQKGCVVWITGLSGSGKSTLACALSHELHIRGHLTYVLDGDNLRHGLNRDLSFKAEDRAENIRRVGEVAKLFADAGLICIASLISPYRSDRSACRSLLPKSSFIEVFLNASLEVCEARDPKGLYKLARAGKIKGFTGIDDPYEPPSDCEIVIQCKVGDCPSPKSMADQVVSYLETNGFLHD >Sspon.04G0030370-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:1633827:1636312:-1 gene:Sspon.04G0030370-1P transcript:Sspon.04G0030370-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLLPPAKTLLLSPFPAFSPPPPPPHPLPRVHIRASAGRGGAAENAAASGTTARERRLVKVREERRRREYDREHTYPGWANFNPLNSFIWFELFGEPTDRDVDLLGGVIQAWYVMGRLGAYNSSNLQLANSMMDYDPSYDSEEASSVMPSSFHDISDVEFQDNWARVWVDLGTSDYLGLDVLLNCLTQLSSEHLGIKQVVFGGKKMGDWEEGMTSSDYGYKHFKI >Sspon.04G0035850-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4D:18929873:18930118:1 gene:Sspon.04G0035850-1D transcript:Sspon.04G0035850-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRGGRGGQVKTWVLGARDLGSKAWRQDPWRQAMPRRLPRRPRRRGIDARKYGAMPLYLGAIDNGAKLRVYFLKSFRQRPI >Sspon.07G0002870-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:7170487:7175268:1 gene:Sspon.07G0002870-1A transcript:Sspon.07G0002870-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLQEKTTPTHQGKEKKKGSAKKKERGRKRTSSVLDSTNGTVISEEMPEYNLDEPTMEEKLATLNLINRENGMSDTEKQSLSMAPPSADSVHILLKQALRADDNVALLTCLYNRDEKVITKSISLLTPADVVKLLKFFVLQIQSRGAVLVCLLPWLQTLLNRHMSSIVSQEFSLSLLNSLYQLIDARTSTFKSALQLSTTLDYLFSEIADDEADEEVPPPIIYEDKDTDDEVSEVDAMETDREEAEELGDVTDASENSDGSEIMSD >Sspon.05G0000320-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:1831767:1834209:1 gene:Sspon.05G0000320-1A transcript:Sspon.05G0000320-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSELQQSIDTVNFCSQSGNIYRAHEMEDDGFDMLTRAVNDPAYCLKQSSLSLLEGRAKSTARLKLCHLFYLFPFWVKASKALKRNKCNQIEGFAVAGDMKRALNTLDLMRRSNCVPTVMTYKAFIHGLENTRFFTLKPPCTSGISLFFFVERAVSVLDKMSDAASIAPNEHTYIIIMRGSYVATTTVPNVTVCWARRDDWEATDLMKQIKEDGVPPNIHTFTSYINARCKAGDMQGMKLTGLKPVEAAYQSLLEGNRDGGKHLHKNLECLEMFEKGLTIDLRIAIHWLKWLHKIERMGGALTEALQRIYPPDWNSSENLEALNF >Sspon.04G0000380-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:2430086:2434181:-1 gene:Sspon.04G0000380-1A transcript:Sspon.04G0000380-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPRGYRSNRTSLFDGIEEGGIRATSYSSHEIDEQENDRAIDGLQDRVSILKRGNDMDASRGFLSGTVDKFKMVFETKSSRRMGTLVASFVALFLLVYYLTR >Sspon.01G0020510-4D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:73744993:73750490:-1 gene:Sspon.01G0020510-4D transcript:Sspon.01G0020510-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAGSSNVMLAIHEKKTTSTDLYRPLRLYIASVYSEREAAAADDDLSVVRDLRAAIEQPSLPDPSSLEQRRDALLAYARALSLVEPRFPISPDRAHVHSLTFTWHDAFKGNKKCALPSIHLEKAAVLFNLGAVYSQIALAADRSTDVGIKTACGAFQSAAGAFAWLRESGVAAKAVAAGATTVDITPECAGMLEKLMLAQAQECFFEKVIGGGKPPALCSKVARQVGIFYEEAYAALSAPPLSQHFDKTWVSHVQLKAAQFYVDACYRYSLDLHQKEEIAEEIARLKIGMSALADAKKAAKGVAAQLLDSVNKLEINMKTNLERAMKENDRVYLMRVPAAGSLGALPAASLVKPTSLAEALDASKERLFSSLVPDGSMKALSKYTEMVDDIIRTQAEKLQQASEITRVRLKEMDLPDSILSLEGNITLPLDLKEDVEAVQISGGPAGLESELQQLRDLSRVNQELLVQTEELLQKEANEDAQFRTQFGSRWTRPQSSTLTKNIQDRLNLFASNLKRAADSDSLIERGVKENYPLMSILDKRPIESALPSISRPIMSLDGNEDAIVGALKQSLRQLESLGAQRAGLEDMLKEMKRKCAEKINFCQDDILPKLMAGGGSHDDLFKKELAKYDPICADIADNIVAQEQLLLQIQAQNEQFATVFNLEDYKEQPITTAACSGSLCLSTWGRLKAWYSQPEPRPAYSQPYPPSYGAPAQQPPYGAPHPGQYQPPAHQPPPGHDYGQPAYPGWRGPYYNAPQPQQSAPYPQAPYNAPGAYPPHQSNYYRPQ >Sspon.01G0020820-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:77269084:77270714:1 gene:Sspon.01G0020820-3C transcript:Sspon.01G0020820-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRLLLRRFSTAAEGAAAAASPSPSTSMSPTLRQPLPDDLYRRIMNVGRPSIPLSPVLKQWDQEGHTVKKFVIQAIVKKLVGLRRFAHALELSFWMTDRRHLHLSAGDVAYRLDLISKVHGLEKAVEYFGMVPKQLRKPQCYGSLLKCYVEAKAVDKAEEHFAKMQEMGMKSSFAYTSMMKLYLETGQLERVHAMFQDMEEKGVKPDTFSVESMLAAYIAAEDVEGVGKVLDKANPHEKLVSWHGHALAASLFMKSGMQVRAAMALLEAERRISPKSSRIAYAFLLKTYTDLGMYPEVGRIWSVYKSKVPPFNTMYLSRISALLKMNDIDGAEATLKEWEKVCLRYHDFRLINLMVDAYCRDGLVEKAVALVDDAIKKGRIPYANTWYKLAGGFFKTGQVLKAVDMTRKALESATPPWKPDLTNVLMSLEHFMNQKDVEAAEEMASMLQKLDPLTRDVYHCLLKTYVHAGKPVSDLLERMKKDGLEADEETERILAGECE >Sspon.01G0029360-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:98580202:98584585:1 gene:Sspon.01G0029360-1P transcript:Sspon.01G0029360-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPLIAGLSVAAAALGGRSMIRAWQAFRTQAAMPRVRRFYPGGFQGEMNRREAALILGVRERATLDKIKEAHKRVMVANHPDAGGSHYVASKINEAKDILMGKGKSGKVFKVNTLRSGKTDSRTAYL >Sspon.01G0026670-4D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:89664780:89666163:1 gene:Sspon.01G0026670-4D transcript:Sspon.01G0026670-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSAGAAAGAALGARTARSCDGCMRRRARWHCPADDAFLCQTCDVSVHSANPLARRHHRVRLPSASCSSPPCDPDAPTWLHGLKRRPRTPRSKPGGGKHEATTPNSVALAASTAVPDLEAEESGSGIVGDNDDEHGFQDDDEDLLYRVPVFDPMLAEFYNPVADEGEQKPLAEFYNPVADDGEQKPVCLMPPLVETSLEFAYGGSAEADGLSAGFDVPDMELASFAADMESLLMGVNDGFDDLGFLDEEKPQVNADADLVAMAAPATEREDKKRKRPEMILKLNYEGVIASWVRDGGSPWFHGERPHLDPYELWSDFPAGSRGLFGGAVTAVTGGEREARVSRYREKRRTRLFAKKIRYEVRKVNAEKRPRMKGRFVKRTTLPPLPRPPPPPQQQQQKQLARALPHVGMVLAPPPVANGRFHQF >Sspon.07G0016300-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7C:63437681:63440224:1 gene:Sspon.07G0016300-2C transcript:Sspon.07G0016300-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding PHALAPIHHFSSSSARRSTKKSAAKAPMHSSILAAKAPMDSSREPFYVIRKGDVIGIYKTLSDCQAQVSNSVCDPPVTVYKGYTLRKETEEYLAARGLRNAVYSIDAADARDELFGDLVPCPFQLSCILEFDGACKGNPGKSGAGVIIRRLDGSVIALLREGLGIMTNNAAEYRALILGLNYASKKGFKYIRCQGDSKLVCNQEFNSDADVQANFGAQLA >Sspon.07G0017630-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:68093690:68096919:1 gene:Sspon.07G0017630-1P transcript:Sspon.07G0017630-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRHLHRSLRAIHCLPATGSAACNPAPLHRLWDSHIEDTDIIIDRIRKSTRKLEEGPVGKNLSSAEKRKFLINTLLSLEDSREAVYGTLDAWVAFEQDFPLASLKQALSVLEKEEQWHRIVQVIKWMLSKGRGNTMRTYELLVCALEKDNRAEEAHRFWQKKIGHDLHSVPWRFCRLMLAIYYRNNRLDTLVKLFKELEACGRKPPSKDIVRKVEDAYEMLGLLEEKKALLDKYKDLYNKPSRNDRKKGSKSKKTESDKISADGSRECKMETSENLEDHSFPLDEDSDASAES >Sspon.07G0017710-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:68590756:68592772:1 gene:Sspon.07G0017710-2B transcript:Sspon.07G0017710-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAATACRSLHLFLAVLAVSVNGVWSKSFTITNNCGYTVWPGILSGAGSPSLDTTGFELPPGASQTLPAPAGWSGRLWGRTLCTTDGTTNKFACATGDCGSGAVSCGGGNAAPPATLAEFTLDGSSGMDFYDVSLVDGYNLPLLVAPSGAAPDAGNCAPTGCLVDLNAACPADLRVVVTSSSAAADVNADDVVACKSACLAFGSPQYCCSGEYASPGTCGPSAYSEFFKNACPRAYSYAYDDATSTFTCAGGDTAYTVTFCPSTNSVKSSSPNSGAPGDLPLINGTMAYAGGDQQVGAAAVPSSSSRTVVVLVSAAVLVMLAGGALS >Sspon.03G0031940-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:32133210:32135374:-1 gene:Sspon.03G0031940-1B transcript:Sspon.03G0031940-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LTVRLCRAGHGLQDERLVRTFVAAAYGQPPPPQQQQQQDGGFYPKDAVMAAVEECMRKQADALLHSLDGIGGRLSQLELYCYKLERSIGELRSDVMDYHGESTANFRCIDKNLRQVHKSLQVLQDRQDLAETPKELSELQIAHEAPSQKGEATTGFSMLAPRENDHSTQQAPNHEVTLLPLHQVNGMQSPAAVQVQTSNGFVLQHLVPVTLSTQHDQQQLNQAPVYYVQSPDHAKSTEGKALEPLVQVVQPLIHNPEAMVQVELPQKSSQATELYPQPQNHRLQMPTQQVDSHTWHPQQPMVQQQQYIIQQVSRHIAQQQSSSPQSQSAQATPVFPPFSSPKPASSNTEPITRSMAGQPPYSSSQQQQHEVAHSFYGHGNTILLPVADHNAQHQQPQSVQLHSQGPCPPQPSKPSHCSVASYAVQGNGQTYSSTYKNPSNCPATVVALLPQPPATASMAYHPLGPQVVHSHPFGNMVETASVVGYPRDQVEILPVVTAAQPVMVDKLNAGSNVTSPREWSA >Sspon.08G0009720-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:42570258:42576156:-1 gene:Sspon.08G0009720-1A transcript:Sspon.08G0009720-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPMPPSAARRACLPYVPGRGKKGSAAMTHEGAVRLSAWRARGLAREAGRDVANAPQAQRALARPFPWPPAESRSGDCDEPRFISDELRMMQAFLRAADGVRENTGVLKAYLELIRDLAYDIEDSLEEFMVFIKHKSLVQQLLSFRARHRIAVQIRTLKLRVQEVSQRNTRYNLIKLTPSISSDVTLDMELTRNLTALYVEETQLFGFDKQKEKLMDLIAKPKVPVDMEPGISKSGPRVVSVVGMGGLGKTTLTKKVYDSKELGDIFEIRAWIAVSQSFDPKELLKEMIKQLFGALSLKEFLEEHQGQVLEVKHLMNYLRGGLLERKYLLVLDDVWTPEAWNCMSIAFPENSKDGSCVVLTSRNHKLAELCSPPSQIYQPEILEEKDARSLFLKKTNKSSGDLDKDDRTKGIVEKILNKCGGLPLAIVTNRRPTSQQGYKGVGESPIASLCSSKFKMLRVLDLKNAGFRAEQQDIRNIGLLVHLKYLHFPNMVSDMYSLPRCIGNLQALQTLDIRKSSFSVLPTNTTKLQNLRRLRCSRVPESEYLPSTLHLLNLATHGDRNATAILHMTLSSCWSFSSGIKKLSYLRSLNVGFFYMKGEADVLDMLVSFTSPLPFPSLERLKLKGLLQEMPTWVGKCVSLVKIDLKYCELKELEALTELPNLMQLRLYYKAYSAEKLVFCKHAFPELRILQLTYSAALREVAFEEGTSPNMEKIRIHDCSLTSGINGIKHLPKLKEIYTVRCTLTKQDVLQEEVDKHMNRPVLQMQFCEPANPEEETEVTVEVTESISESGESFAAAVLICP >Sspon.01G0007360-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:114846642:114875436:1 gene:Sspon.01G0007360-2B transcript:Sspon.01G0007360-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHMAKAHVLVLPMPCQGHVTPLMELSHLLIDQGFEVTFINTDVDHALVVAALEASGSAAALGSGIHLASIPDGLADDEDRKDINKLVDAYSRHMPGYLESLLADMEAAGRPRAKWLVGDVNMGWSFEVAKKFGIRVVSFWPAATACLAFMLKIPKLVEDGLIDDKEEIVSETDEGSLGMAKAHVLVLPMPCQGHVTPLMELSHRLVDQGFEVTFINTDVDHALVVAALEASGGGGTAALGGGIHLASIPDGLADDEDRKDINKLVDAYSRHMPSYLEGLLADIEAAGRPRAKWLVGDVNMGWSFEVAKKFGIRVVSFWAAATACLAFMLKIPKLIEEGLISDKGLPVRQEMFQLAPGMPPLHSSQLSWNNAGEPEGQHIIFELVTRNNKLNELAEVVVSNSFHEAEAGAFNLFPSILPIGPLFADPAFRKPVGHFLPEDERCIKWLDAQPDASVVYVAFGSMTIFDARQFEELAEGLELTGRPFLWVVRPDFTPGLSKAWLHEFRDRVGGRGMIVSWCPQQQVLAHRAVACFVSHCGWNSTMEGVRNGVPFLCWPYFCDQYLNRSYIINVWRTGLAVTPDADGIVSKEELRSKVEQVVGDAEIKDRARVFKDAARRCIADGGSSDDNFKKLFPAPAMAAPHVLALPFPAQGHVIPLMQLSHRLVENGIEVTFVNTELNHALVLDAMPADGTGRSLDGIHLVAVPDGLADGDDRKDLGKLVGGMSRHMPGYLEELVGRTEASGGTKISWLIADEAMGWAFEVAKKLGIRTAGFWPGSAAFLDTTLRIPQMIQDGLIDEKGVQLRYYYYLCWPKRQETFQFAPGMPPLHTSQLPWNNAGAPEGQPAIFQLIIRNNEAKDLAEVIVCNSFRDAEPSAFKLYPDAIPIGPLLADRQFQKPVGQFLPEDTGCLEWLHAQADRSVVYVAFGSFTVFNARQFEELALGLELTGRPFLWVVRPDFATGLSKAWLDEFRDRVGGRGIIFQNESYICNVWRTGLAVAPGPDGVVAKEELSCKLERVLGDDGIRERVSALRGAACRSIAEGRACHHATSLVPFQDRSSPPFLMVMAAPRVLALPYPAQGHVTPMMELSHCLVEHGVKVTFVNTELNHGLILGALATGDSELGGVDMASIPDGLGCGEDRKDLARLTDSFSKVMPGELEKLIASINAADEREREKVSWLIADVNMAWAFPVAKKHGLRTAGFCPSSAAMFATRIKIPEMIRDGVLDERGWPKRRGTFLLAPAMPAIDTSEFSWNRAGDAKGQPIIFQLILRNNAATHLAETIVCNSVQELEPRALALFPGVLPVGPLSVSSDKPAGSFWAEDASCAAWLDAQPAGSVVYVAFGSLAAYDAAQLVELAEGLLLTSRPFLWVVRPGSSGEQLLEQLRRRAAPRGRVVSWCLQQSVLAHPAVACFLTHCGWNSTMEAVRSGVPLLCWPYFTDQFLNQSYICDVWGTGLKSARGQGRPWPPAPAAAGHGTGLVGRDVVRDKIEELLRDNEAKARALALRDLARRAVGDGGSSRQNLKRFLNLVRG >Sspon.02G0027720-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:100588968:100593146:-1 gene:Sspon.02G0027720-1A transcript:Sspon.02G0027720-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEEDDLESPLLAVGKEPAPADGARGCTYALVCALLASVTSIIYGYNRGVMSGAQKFIQADLGVTDAQLERLLPRLAGGGWACDRAGRRRTIALSAALFLAGSAVTAAADGYAALMAGQLVAGVACGFGLVVAPVYIAEISPANARGFLSSIPEVHRRQLGILLSYIADFALAGLPTPLNWRLMIGIGAVPPLFLSAAATFAMPETPRWLVLHGHPDEARRVLARTVGDADRRLQEIVTSVHEATKQQAAAGSGSGGAPPSSTSVWREIVLRPTPAVRRVMLAILGLQVFQQACGVAAMVLYAPRVFSHVGITSEHAVLGATVLLGAAKTVAIVVPLFLADRLGRRPMLLTSAGGMAASLLVLGLSVATRASTTATAAPWAAETCVAAAVAYMATFSLGFGPVIWMYGSEILPLRLRAQGTGVGTAVNRVMSAVVGMTFISMYEAVGMAGTFYIFAAVSAAAWVFVYACLPETKGRSLEEMEALFDGGAGAGAAPSPRARRCRDRSRQRVHLCMMDRVHARNMHVMLSGV >Sspon.01G0026140-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:88149811:88154000:-1 gene:Sspon.01G0026140-4D transcript:Sspon.01G0026140-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAALRSSAARRLLRLAPAALSALSAASRPTAGAAPLSRPITALSGGDNPISWKLRRFFSSNEKHLPAISDPEIESAFKDLLAASWNELPDSLVAEAKKAVSKATDDNAGQEALKNVFRAAEACEEFSGTLVTLRMALDDLCGLTGENVGPLPGYIEDAVKAAYNRYMTYLESFGPEENYLRKKVETELGTKMIHLKMRCSGIGSEWGKISLIGTSGISGSYVELRA >Sspon.03G0007260-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:35070989:35071715:1 gene:Sspon.03G0007260-3C transcript:Sspon.03G0007260-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPAGACRRRRAPRPHLPLFVIVLLIFSLPIPRASALRVPLRQAATLVSLSHSLLSRVAATRAARGDAAAAARARRIASLLSSRGAWGLGWDYLRHYAFSSATGCGLSCAAAASRLIAAAAEASRLRSATDAAQWMRRHYGDIRDAAAQLLNGLLLAFSEEGPLREVVIDVKWEVEEGELLKDCLEVGAKDLQGLLVIAKDLFAGVSRTSTPHSEL >Sspon.04G0024080-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:17302832:17304226:-1 gene:Sspon.04G0024080-2D transcript:Sspon.04G0024080-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAPSSSGSEPVIPEVEMNAGADQAASTVRVTVVQASSVFYDTPATLDKAEKLIAEAAGYGSQLVMFPEVFVGGYPHGSTFGLVIGNRTAKGKDDFQKYHASAIDVPGILNDVNVSLFYIIFHDYYHALFFEVQTLPFCNYNMLTIIL >Sspon.02G0036320-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:28499871:28502130:1 gene:Sspon.02G0036320-2C transcript:Sspon.02G0036320-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMQQMQQDPWNAAAVGLLRPTKSAPCSPIKPAAAAMLRTHSDSFHVAHKVPVGDTPYVRAKRVQLVDKDPEKAIALFWSAINAGDRVDSALKDMAIVMKQQNRAEEAIEAIKSLRSRCSDQAQESLDNILLDLYKRCGRLDDQISLLKHKLQLIHQGHAFNGKRTKTARSQGRKFQVTLEQEATRLLGNLGWALMQKENYTEAEGAYRRALLIGPDNNKMCNLGICLMKQGRVLEAKDVLKQVRPAAVDGLRGADSHLKAYERAQEMLRDLETKLVGRPRADQLDTNWLFDALLLGSSSSIWQPQPCIDHLLPSPAPAPAPASAPAPVPAPRDRFADENASVSKKLAALQANMLNVDAQPFYSLRLPPLAAKPHNTLPQQQPQQKPHNTLPQQQQKPAPVHDPLGNLKRTRSGNCMDKAGAVVDKEQSIDENSGRRKSLSAEDRWPELPDHSAFDEALVAAVLGPVLDEEPTAAEGIGHGKLPASCDTSPAVKEKIGKRLRIFQDITQTVNNF >Sspon.02G0045560-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2D:89160583:89169615:1 gene:Sspon.02G0045560-2D transcript:Sspon.02G0045560-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding WWSGL >Sspon.03G0034590-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:71746572:71749926:-1 gene:Sspon.03G0034590-2C transcript:Sspon.03G0034590-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rotamase CYP 4 [Source:Projected from Arabidopsis thaliana (AT3G62030) UniProtKB/TrEMBL;Acc:F4IX26] GAVDLQAKVTTKCFFDVEVGGEPAGRIVIGLFGEVVPKTVDNFRALCTGEKGYGFKGCSFHRIIKDFMIQGGDFQENNGTGGRSIYGECFDDENFTLKHVGPGVLSMANAGPNTNGSQFFICTVKTPWLDNRHVVFGHVLEGMDVVKQLESQETSRSDTPKQPCRIVNCGE >Sspon.01G0029300-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:111793563:111795076:1 gene:Sspon.01G0029300-2B transcript:Sspon.01G0029300-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAGASSAWGPSPALVTALVALLGLGLAAYIVGPQLYWHAAEALTAAAACPACDCNCDARPLLDLPEDCAKHFKGVKSRASGEETEKSFTELLVEELKQREEEATQAQQEADVKLLEAKKLASQYQKEADKCSPGMDTCEEAREKSAVALVQQKKLTSLWEQRARELGWKPENAKAHL >Sspon.07G0004200-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:9541877:9544207:1 gene:Sspon.07G0004200-1P transcript:Sspon.07G0004200-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANGGGYRAEDDYDYLFKVVLIGDSGVGKSNLLSRFTKNEFSLESKSTIGVEFATRSLQVDGKVIKAQIWDTAGQERYRAITSAYYRGAVGALLVYDVTRRATFDSVGRWLRELRDHTDQSIVVMLIGNKSDLRHLVAVSTEDAKEFAEAESMYFMETSALDATNVDNAFSEVLTQIYQIVSKKTVEAPEEGAAGPGKGEKINVKDEVSAMKRVGCCSN >Sspon.02G0031740-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:115876220:115882262:1 gene:Sspon.02G0031740-1A transcript:Sspon.02G0031740-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAQIAPADHVCYVQCNFCNTVLAVSVPGNSMLNIVTVRCGHCTNLLSVNLRALMHSLPEQDQLQENIKVHGINGTLHDQCGHLELGSSSSKFRLPMMYLPQNEHLLQEQSLNNACPPEKRQRVPSAYNRFIKEEIRRIKVNNPDISHREAFSTAAKNWAHYPNIHFGLNSGREGGKKLVDEAVTAAPAPKKIHGFY >Sspon.08G0014980-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:60266063:60269046:1 gene:Sspon.08G0014980-1A transcript:Sspon.08G0014980-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHDIGLSARAAGATSCSSASAAAAAGDGGDESKTRKAHPGFVAAAAAYTRLHSSHRAVVSLLLLLTVAVAAFLCGRARPSVDCAPPRLDTRFLALPDAAAASDFGSLGVPWCRSKTGKTVEWTSKDLLNGLEEFVPIYETRPIKNNMYGMGFDHSFGLWFMARWLKLDLMIESGAFKGHSTWVLRKAMPNTRIISLSPRHPEKYLKKGPAYVDGNCTYLAGKDFVDFGSVDWGKLLRNHGISDPNKVLVFFDDHQSELKRLKQALKAGFRHVIFEDNYDTGTGDHYSLRQICDQSYIRGGGHSCFWDSDEARLRFKRKKFWEKAVEIDDLCGKDDAWWGVKGYMRDNFNHSNKAISHKEHFQNGRLVESVMDLYWELPPVAGPSLTHQTRYDPARAVDPIIEDGRYGLFRKIGLARLDASVFNGYTQMAYVQISGSMLSTDDV >Sspon.07G0014280-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7A:51570017:51571941:1 gene:Sspon.07G0014280-1A transcript:Sspon.07G0014280-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LSPSPTVICGRHFNAAVLCSAPAEGCDHSKCHGGPFRVAFVWSRTSGDLHSFLTSARVYSSETGNWSEPISVLHPNVFVLDRMPCPSTLVGDTLYFRFGFMHALEYQLGAQCLSIILGPPQSVFQSCAISLMSMEDGGLGCMDVEEDESSLRLRLWSRDAASRCDEDAGWTRGRAIELEKLLPDGALPSPRLAYDLRSRVPSIQLLGFVEGTDVIFVGTQALNHPHAVYMVQFNSGRARKHYFYIFGFSVRWVKCIPRGQQQLDVHGWHMRETRLSNHYQPHFAISATTI >Sspon.02G0028630-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:97342565:97347112:-1 gene:Sspon.02G0028630-2B transcript:Sspon.02G0028630-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At2g31400, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G31400) UniProtKB/Swiss-Prot;Acc:Q9SIC9] MPTHFTPSQAHAASHHAAHHHHHHSAAAAAVTATATARLHASAAPPASASAAAAALCPPHLLAAASPAASSSAACPPAHGPIFVGPGAPWAQQPQRAAAAAAALGPEFRRARTTKTISKRTRGGGGAQDRGRVSSAAAGRCVEKLLRVAPEDRRGLGSALTSFRAELLGPEDYCQVLRELGDRDKSALRAFEVFYAALPLVGGGAVDKGKLLTAAIGALGKMGRPDLARRAFDSGIAGGYGNTVFAHSALISAYARSGLATEAMGVLESMKGAGLRPTTVSYNAVIDACGKGGVDLRFTLGYFRQMLQDGLCPDRKTFNSLLAACSRAGHLEDARTVFDEMIHLGSGRDIYTYNTFVDAICKCGNMELAMQVVLDMEANNIKPNVVTYSTLMDGFSKLEKYDEALKLREKMKSLGIQLDRVCYNTLLAIYVKTGKYDEIATVCEEMENLGIEKDTVTYNSLINGYGKQGRLDMVAFLVQDMRAQGVAPSVLTYSTLIDIYSKAGMHGDAFNVYLDFKESGLKADVVLFSSFIDTLAKNGLVECALSLLDEMMKMGIKPNVVTYNTIIDAFGKSKILTEEDPDIGHMGIVGVYGGQIVRATNPVARGGRSATDVRMRRSQELFFILELFQKMVQQGVRPNVVTFSAILNACSRCNSFEDAALLLEQLRLFDNSVYGVAYGLLMGHREAWSQARSLFNQLGRMDSPTSSAFYNALTDVLWHFGQRQGAQQVVLEGVNRHVWENTWGDFCLDLHLMSCGAAQAMVHAWLLNVRSIVFEGRAMPEFLSILTGWGKHSKIAGSSTLRRVIEALLLSIGAPFQVERFNIGRSSMTADLGPVLLFLNCKEKFLTLGWRFVTPLVSDALKASSLFRFAEDAKSIFSSMSSLWFAALSVWQQLKRLQRLEKSGEEQCNVFSAESDLQLWPISDISSSIIGLGDGYFTWGI >Sspon.05G0008180-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:7834913:7838841:-1 gene:Sspon.05G0008180-2P transcript:Sspon.05G0008180-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGGFVDWRGNLVDRQVHGGVKAAWFLHFLTFVINMVNVPILLNLVTYLRGTMHMGVSDSATTVTNFVGATSGFALIGAFLSDSYITRSRTILLFGPLEFLGYGLLALQAYVPSLHPPTCNIEAEPSNCKEAHGWNATLFYTALYISAFGEGCIRACLPSLGADQFDHEDPSESHQQSSFFNWFTFGISFGGFVGLIFIVWLENYKGWDIALGLSAILILLGLLVFAAGLPFYRNQVPEGSPLARILQVLVVAFRNRRFGLPEELEEAHESSAERGSTKVLSQTNSLKFLDKACINHGKEGAWSLCSVTKVEETKAVLRMLPLFVSSMIGYVSNPIIFTFTVQQGGMTNTRLGKIHVSPATLFIIPITFQMVMLAIYDRFMVPFLRRRTGYASGITHLQRIGIGFSSMILASVIAAVVERKRKEAAVQMSLFWLAPQFFLLGVSDVTSFPGLLEFFNSEAPRGMKSIATALFWCEIGLASLLATFLVQAVNSITRRHGHHGGWLEGSSLDSSHLDLFYWVVAVVGLLGFLNYLYWAKKYVYRQDTRFVDEPSVDQDSH >Sspon.01G0046940-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:100616314:100617422:-1 gene:Sspon.01G0046940-1B transcript:Sspon.01G0046940-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDQQALPVPVPVPPNPNPTAPGDPTPPSASAARKLPIKRRSPRPSSSPPSSSSPASSDPLRAPAPGGGGSDQQQPPFKFQRIWSESDELRFLQGLLGCGAQGLVFPRDLNVFYDRFSESMPQPYTRAQLSEKLRRLKNKFRSMSARVAGALTRLASRRTTATLWDPANAATSPFAASAGTSGNKRRRANPRGTPLPPPDASGDSNSHDYNGIGSSAPGLFPDGSNGEDMFYLEQESGHLGDHEGAALVADSRFGVIVQEQPEAVVTLPNGNNGIGNEMNVECKMVVPCSNEHPMANAVLDVFEECLREAKSNGIIIGGNVDGRAEESELAKRWRAQRMDELDVLSRRLRLLVEYAAAAGQ >Sspon.01G0044250-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1C:90147664:90154014:-1 gene:Sspon.01G0044250-2C transcript:Sspon.01G0044250-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCCALARFEMPPDRAEARWRGGRGGDVARWSGGMVESAVDVAVSQRHIYGEKIQHVPAPPMRRQRRTAGGLRPVLLLLPFAVLVSVATFSLHSADRLLPSSDTAQQAASPSLHQQHRLPISTLDVRALDAATPAPPLHAAAARAFRSGGRLLRDVLSSSPSSSAPPAAVGVGGATRCPASITRSGAYLRLRHAGGGGVLLPLPCGLALGSHVTLVGAPRGGRGAAGVAQFSVELRGEGDGDAAPRILHFNPRLSGDWSRRPVIELNTRFRGQWGPALRCDGRPSRPDEETVDGLVTCEEWSGNIGGASKELKRLSLGNRIAGKNNRNWIHWPYPFLEKELFVLTLSTGLEGYHFHVDGKHVTSFPYRVGFVPEDAKIFSVNGQNGRKEVNEDLIKEADFFRDIVIVPFADSYDLVVLKTVAICDYVARVVPAKYVMKCDDDTFVRLDSVMAEVKKIQDGKSFYLGNMNYYHRPLREAKWAVSYEEWPGEEYPPYADGAGYVVSSDIANFVSSEMKNGRLNLFKMEDVSMGMWVGRFNRSGTGNAVAYVHSAGFCQSGCVHGYLTAHYQSPAQMACLWEMLRQGKAWCCNARPSLLDKGVQTCNRTIGLLSATRRDKRRARLLLAWPGRSGRAGADPTNMRSLSWTPRLLDVLSASV >Sspon.04G0018470-3C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4C:71849237:71851208:1 gene:Sspon.04G0018470-3C transcript:Sspon.04G0018470-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLAPEGSQFDDKQYDKKMQELLIEDFFTSYDEVCESFDNMGLQENLLRGIYAYGFEKPSAIQQRGIVPFCKGLDVIQQAQSGTGKTATFCSGILQQLDYGLVECQALVLAPTRELAQQIEKVMRALGDYLGVKVHACVGGTSVREDQRILASGVHVVVGTPGRVFDMLRRQSLRPDNIKMFVLDEADEMLSRGFKDQIYDIFQLLPSKIQVGVFSATMPPEALEITRKFMNKPVRILVKRDELTLEGIKQFYVNVEKEDWKLDTLCDLYETLAITQSVIFVNTRRKVDWLTDKMRSRDHTVSATHGDMDQNTRDIIMREFRSGSSRVLITTDLLARGIDVQQVSLVINYDLPTQPENYLHRIGRSGRFGRKGVAINFVTRDDERMLFDIQRFYNVTIEELPANVADLL >Sspon.01G0030700-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1A:106098514:106108275:-1 gene:Sspon.01G0030700-1A transcript:Sspon.01G0030700-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LEIICGGYDRSADGESPLPSRARRWRLARIRWREAVGTEATSAWTGEKRPKIQSTPTPSGDLGEVARDDADRSSGEIVHGQDQEQIEGIDWDGEKLSKEIDGLRQWMEVLSYDEDYASAHDDELWVQYNEEQQTALNQRLALCRIRAHERVSHLMSPIDIIARLPPLMPTCEFPLLSLCTIAPTASVELEISCQVEFEGLEICPYACNTLADDQQFVQFWEKLSRKTKLIELYITERSCELFLSPDPLVWEPLALGLPLKEFVWDVEADYTYAGFLNKMWELLAKKQVQDGDVHQLVMDIIVENFWKPRTYYEYVTKKMRIAEEIGLIPKQPPHRFVQIFQIACSTSPAVTSDDVEATMKTSRGKMPMAFGDKDEGPQLRDEPMPKNDLALVQDMASTHMTMLGELYGGQGDQQGHPNREEGPKLIRFKSPMWRPKSSSSPPRNSGPVCLELVDRATPVDNQRSRGATIAGFRAELFGSRIELCRDSTKSRVIRTFRKIGIPSSHQLVSELQRWLVWFSFWSSFVFVKTLGNLPVWSLAVVTTPSACGCRALGGRGRWLRAGRPGLSLWPAAAARAEVLAGPGSAIRRYCSSLQRSVPLPPLSRFAPRKAAACCATDAFGEPRAPPQLVLVPLIALRPVLRAVASSPSVSLPWRTSRAAPAALSAAPGFRRRPASTTPHSPSFSQSFSLARLPTRAPLWPLPVASRGTCAAPSLPNHSPRAFSPDSSRRAALVPPFRCSSCFEQGPHRRRADATLSVPEHCHFDLAHVASSARTPSDSTVTAARSVVSSISQNQTRDFQPCNFLSLLAIARWPGGGRRPSWCAFATFGWPAQSPGAARCRVVVRRGSGDCPFEKMRHFKGLNANLYSFATVLDGAA >Sspon.05G0017330-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:72373050:72378195:-1 gene:Sspon.05G0017330-1P transcript:Sspon.05G0017330-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LRIVGADGNAPLPFEEAREFVDSLLLLRGSLPLETFEIRVDGAVVDVRRVRLWVSYAVQCKVQALRLSFHGNEHASFSLRTEDPPLASMHLTKLELRGVMFNGDFLDLSRCPALQDLEIEDCAFVFAGRISSQSLKRLRITRAVFNWSSRTRIHAPNLTTLHLQVSYGKTPVLESMPLLIWARVVILGKFDCCNRSNYGDCGDESCNNCIPNDTSPVLLHALSQAKNLELGARDDTYIFRRDLKCCPTFTRLKSLLLNETCCVPDLHVLARNLEHSPVLEELYLILFCKLLGFNVVMKGRFNPKELPGTISPHLKKVEVKCGAFDERVVQVLKFLSEFNIRLFPSSACVLPAVLDLVYRAMLFLATFPVRAAYS >Sspon.01G0040440-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:33565079:33567068:-1 gene:Sspon.01G0040440-2C transcript:Sspon.01G0040440-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATFTYNQDPQIRKRALEEEVAMLKHQVHREMEAEKECDELKQERDNAVRQVDELRDQNRHAILEFSLQELEQATENFSVVCKVGDTEYGRVSILKKCRHPNTVTIIGICSEASALVYEWFPNGNLEDRIVSSNNSPPLPWCKLTQIIGDVCCTLLFLHANKPSALVHGDLRPCNILIDANYRSKLCNFGMSNLFLAPGAFPSNFTVRLPYIDPEFLTTGELTPQSDIYSLGVIILRLLTGMSPLSIAKKVRDWPYTQAKHLAVLGLSCLEMTREKRPDLLTKVWKVIEPMVTRPLVAYFQSASGGSSAPAHFFFPIRMEIMKDPQVAYDGFTYESEAIRQWLSGGNSRSPMTNLALPNRDIIPKHALRSCIQEYLEFQRQQGQNGDP >Sspon.04G0003890-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4A:11851247:11854998:1 gene:Sspon.04G0003890-1A transcript:Sspon.04G0003890-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MWPEPQPSSSPPSPPRRSRRNKIDQEPLIPVGSLDSASAMFDDSLHPPSSGSSSSFSVSARPPPPPTPAVAVSSVPRPPPRSAPAASPSASPGLPPPPGRASPPPAPPMVAAAVASPPPPPPKPAAASPPPPPPPAKGPPPPPPPRGGPPPPPLPKGPSPPPPPPPGGKKGGPPPPPPKRGASASSSRPPTAPGIPSGASEQQAKLKPLHWDKVNVQSTDHSMVWDKITGGSFNLDEGIIEALFGTAAANRKPKSADTKESAGSLGRSNTPEQIFLLEPRKSHNISIILKSLTVGRDEIIDALRDGHTELSTEVLEKLSRLNISKEEESTILKFSGNPDRLAPTEAFLLRLLLDVPNPIARVNALLFKVNYGAEVAQLKHSLRTLELASQELRTKGLFFKLLEAVLKAGNRMNAGTARGNAQAFNLTALRKLSDVKSTDGSTTLLHFVVEEVVRSEGKRLAINRNHSIRRSGSLARSGHEGGSSAAGFASQGPSREERQNEYMNLGLPIVGGLSTDFANVKRAALVDYDAVVSECAILDSRLSEIKKLLETCSDDGFARGLRGFVKAAEQELKALRREQERVLELVQKTTEYYHAGATKERNAHPLQLFIVVRDFLGMVDQACVDIKRKVQQKKPAPSSSQPNTAAAPTVAAAATTAATASATKEATNGQAAPAQKPPEEADSKRKRVMPRFPNLPAHFMKDSADSDS >Sspon.04G0030600-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:2522665:2526879:1 gene:Sspon.04G0030600-2D transcript:Sspon.04G0030600-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFASQLLDRLPALTAAPAAASVCSLGPCRVPDRSSPPSTGHRRAGRLHSPRIDQPRRRKIRQAMRCLPFLHGDAKEKDPVTKSASVRSMSTTSTERDVRSGSDFTSLNVSDMSAESIRRTQYPSFTDRPSNLRVFSFAELKSATRNFSRSLMVGEGGFGCVYRGGQKEWLTEMNVLGIVDHPNLVKLIGYCADDDERGVQRLLVYEYMPNGSVDDHLSSRSTSTLSWPMRLKVALDSARGLKYLHEEMEFQVIFRDLKTSNILLDENWDAKLSDFGLARHGPAEGLTHVSTAVVGTLGYAAPEYMQTGRLTAKSDIWSYGVLLYELITGRRPIDKNRPKSEQKLLDWVKPYISDVKRFPIIVDPRLEGHYNLKSMTKLSSIANRCLVRMPKSRPKMSEVYDMVQKIVDSIGTGPPQPPLLHYRGSASEPGAKRAKKGSLRRRLREFGFGCRNIVWRGWKPEIAKNL >Sspon.01G0043170-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:68852502:68854848:-1 gene:Sspon.01G0043170-3D transcript:Sspon.01G0043170-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding WMAPVGDVVDISSDEEDLFMDTSLKLPEPLLDPVKLSRFMDHICSVADFPTEEDIDDLMIMGEISAPPVLQKTANPDELVVMGEMPSPPALQQKKASADGGCHEDDDDCVVLDGDPDKAVTVADEGGVGDGSSDELQIVAEKGQIACRDFPHSRHLCSNLPFSTTTHVKHCTMVSNRHFRALMFWGIQYVKHARNVTGTISLMACCILVFRMQCHCFVCDAPAPCKYWGNSTLADDHCHATDKDLKWRNMRQIFKKKLLPASFPGKHQNGVYSTMSPPRQQPMQCHVSVPQSPSISHGSHPSLSIQSPLLNEGSQNQQRHPSVRVSLSVEATVGSPRTGRGTGNAHIAQSTHSHAIFKRAGAVAPVFATRNASQLCSSAPDDLLTQQALSHASQPVQVAPTTNAFTGTSQNNHFQRSFSAPIAPQVQQGQPAGYYEVAINGMHATGPQLARSTSLTTQRTQCLPEPAIIDVGTSSWQDILATVASDLGVEDYNMGIPESQHVTVDSQTMHPTANHGFHLQHEPIAESENFTYPLVHDSSNDTAGGSVQADGPMQTSENLDHLIGQSNPIPNEAHLSDFAGAPADELPIEEEEEEEEEEEEEEEEEAHQPEIPNILFEFNWD >Sspon.01G0000280-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:43469238:43471032:-1 gene:Sspon.01G0000280-3D transcript:Sspon.01G0000280-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MREILHIQGGQCGNQIGAKFWEVVCAEHGIDATGRYGGDSDLQLERVNVYYNEASCGRFVPRAVLMDLEPGTMDSVRSGPYGHIFRPDNFVFGQSGAGNNWAKGHYTEGAELIDSVLDVVRKEAENCDCLQGFQVCHSLGGGTGSGMGTLLISKIREEYPDRMMLTFSVFPSPKVSDTVVEPYNATLSVHQLVENADECMVLDNEALYDICFRTLKLTTPSFGDLNHLISATMSGVTCCLRFPGQLNSDLRKLAVNLIPFPRLHFFMVGFAPLTSRGSQQYRALTVPELTQQMWDAKNMMCAADPRHGRYLTASAMFRGKMSTKEVDEQMLNVQNKNSSYFVEWIPNNVKSTVCDIPPTGLKMASTFIGNSTSIQEMFRRVSEQFTAMFRRKAFLHWYTGEGMDEMEFTEAESNMNDLVSEYQQYQDATADEEGEYEDEEEGDLQD >Sspon.04G0004680-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:14720738:14721606:-1 gene:Sspon.04G0004680-3D transcript:Sspon.04G0004680-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWSVPTALQKANCYHKEGHDAPCSVGGDVYYMVLFGLAQVVLSQIPDFHEMAGLSIFAAVMSFTYALVGVGLGVAKVIANGVIKGGIGGIPQVSTTQKVWRVSQALGDILFAYPFSLVLLEIEDTLRSPPPETETMKKATRASIGITSLFYLCCGCFGYAAFGDATPGNLLTGFGFYEPYWLIDLANLCIVLHLLGGYQARS >Sspon.01G0032140-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:109239924:109256442:1 gene:Sspon.01G0032140-1A transcript:Sspon.01G0032140-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cleavage and polyadenylation specificity factor subunit 2 [Source:Projected from Arabidopsis thaliana (AT5G23880) UniProtKB/Swiss-Prot;Acc:Q9LKF9] MGTSVQVTPLSGAYGEGPLCYLLAVDGFRFLLDCGWTDLCDTSQLQPLAKVAPTVDAVLLSHPDMMHLGALPYAMKHLGLSAPVYATEPVFRLGLLTMYDHFLSRWQVSDFDLFTLDDVDAAFQNVVRLKYSQNYLLNDKGEGIVIAPHVAGHLLGGTVWKITKDGEDVVYAVDFNHRKERHLNGTVLGSFVRPAVLITDAYNALNNQGYRKKQDQDFIDSLIKVLATGGSVLLPVDTAGRVLELLLLLDTYWDERRLQYPIYFLTNVSTSTVDYVKSFLEWMGDQIAKSFESSRANAFLLKKVTLIINKEELEKLGDAPKVVLASMASLEVGFSHDIFVEVANEARNLVLFTEKGQFGTLARMLQVDPPPKAVKVTMSKRIPLVGDELKAYEEEQERIKKEKALKASLVKEEELKASLGSNAKASDPMLVHILVGNTDILIDGFVPPSTSVAPMFPFFENTAEWDDFGEVINPDDYMMKQEEMDNTLMLGPGDGLDGKIDDGSARLLLDSTPSKVISNEMTVQVKCSLVYMDFEGRSDGRSVKSVIAHVAPLKLILVHGSAEATEHLKMHCAKNLDLHVYAPQIEETIDVTSDLCAYKVQLSEKLMSNIISKKLGEHEIAWVDAEVGKEDEKLILLPPSSTPPPHKPVLVGDLKLSDFKQFLENKGWQVEFAGGALRCGEYIMVRKIGDSSQKGSTGSQQIVIEGPLCEDYYKIRELLYLQFYLL >Sspon.02G0009580-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:30005335:30006649:-1 gene:Sspon.02G0009580-2C transcript:Sspon.02G0009580-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARINIDVPHGTARQSLAPQHVTGDTQSPPAGLAIRLRQSQSQITKSNHRHHQAPPRHLRRPASLAMAATASSLSHLLVAPKDRPKTQPNPSHLHSHRITNPLPCRGRRSSLGVSAAASDLLSPAPSLKSRLAAGDTLYGLFLLSFSPTLAELAALAGYDYVVVDMEHGPGGIPEALACLRALDAARTPGVLRLPEASAVWAKKALDLGPAGLMLPAIESPAAAAEAVSHCRYPPRGVRGAAHPVVRASAYGFDDSYISRCEDDTLVICQVETATGIAEIDAIAAVDGVDVVQMGPLDLSASMGYLWDPGNRKVRAALREAERKVLEAKKKKVAAASDGNAAYLGGFAMQNDPPEQLKLRGYHMVAGAVDIAVFQKAALNDVKRFQEAVMEIGEECDEEEGKDEKENDGYW >Sspon.05G0006130-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:20862289:20866877:-1 gene:Sspon.05G0006130-4D transcript:Sspon.05G0006130-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLIKGLVHVAIDAVEDAVRERGHGLGGDDDGEAPRRAAPQRADPDADGEEERDERSRSTWAEVVSEQKGSDPDERRDHRNSGRDKRHERREDEGWKRVDGRNQPQHPAGRQNQHADEAARVQFLQCEGEERWGGGSRRPQQRQQAQGYGDQQQEEGRMNDGGWQTVVEKKHHGRPQQSEAWNGYRKPPSEQKYSEDVGQIHQGLNVEPTREELNSLSKACSRLWELDMNRLVPGKDYRIDCGEGKKVYQKGDMASESLFSCLGDDVLRKPTYSRFCALLDNYNPHQGYKEVVTQQDKHEEVAFIEEIARTAPIKYLHRYLVQKGAVPQDYEDFKRVLTSLWFDLYGRGGTSSCSSAFEHVFVGEIKGRGQGENEFYLEEAKGNVDYQGYIFPRRRGESPDSETQLLTVQFEWYGVLKSVSSTLLGVSPEFEVALYTLCFFVGGEDNRVDIGPYSVNIKCYRLGTTKLDQPFPLQRTDYLVEKKTECISHLPFFSSSFASAYSNTDPSDQAMEKSSQPSKPHTTTEKGYFRSHRFRLYMNEVNGINNGRRSRRSSGGSGSSPHEHEPPPRVSVSGSTPQSSQMKHPPLPRLRCHRHGTSILTRRRALALRRRTHDDDNAVHLRVPRRLPQPLDHRPHRGAVLCVLREAAGGEPGHLRGASHRVPPLEPRVHDRGELLALGRVPPHPLQELLLVPGPVAFDGAPRGEDLVQHHAEAPDVALGGEVPSLHVVRRCVTEGAHDLMMIA >Sspon.05G0032420-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:27895935:27896384:-1 gene:Sspon.05G0032420-2D transcript:Sspon.05G0032420-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLLLLAFLLATAATPAAAVAVDNGTHDGSKTATSPVLCNGEGCEPPAQALPIYGYPPRPPSLPSAPPAPPSAPGSQTPCPPVTVVCCGGGGGAGGQFPQQPNNYGPSAGGYVPYYNASASPPVLLVPVTAGCYVMVIACILLLWTLV >Sspon.05G0027020-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5B:48682613:48694846:1 gene:Sspon.05G0027020-1B transcript:Sspon.05G0027020-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHDAHTEAPTHAVPPSEDATVDDWARDDAEPMSVESGAAPAEVAAADAGADAPHAPSPSEVATQEDAHVVEDDVDETKRHLNVVFIGHVDAGKSTTGGQILFLSGQVDDRTIQKYEKEAKDKSRESWYMAYIMDTNEEERVKGKTVEVGRAHFETEHTRFTILDAPGHKSYVPNMISGASQADIGVLVISARKGEFETGYERGGQTREHVLLAKTLGVAKLVVVINKMDEPTVKWSKERYDEIEAKMVPFLKSSGYNVKKDVQFLPISGLVGTNMKTRMDKSICSWWDGPCLFEVLDRIEVPLRDPKGPVRMPIIDKYKDMGTVVMGKIESGTIREGDSLLVMPNKSHVKVIGLNLDESKVRRAGPAENVRVKLSGVEEEDVMTGFVLSSVANPVGAVSEFIAQLQILELLDNAIFTAGYKAVLHIHSVVEECEIVELIEEIDMKKKKAADPKKKKPKRKPLFVKNGAIVVCRIQVNNLICIEKFSDFPQLGRFTLRTEGKTVAVGKVVDVPPAGSPTF >Sspon.03G0026810-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3B:3880281:3883057:-1 gene:Sspon.03G0026810-1B transcript:Sspon.03G0026810-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RHFEAFGEILDLYMPKEHGSKGHRGIGFITFQSAESVDSIMQESHELDGTTVVVDRATPKDEDVRYPPSRPSQGGYGAYNAYISAATRYAALGAPTLYDHPGSAYGRGYYGSSQAVGKKIFVGRLPQEANTDDLRHYFGRFGRIVDAYIPKDPKRSGHRGFGFVTFADEGVAERVARRSHEILGHEVAIDPAAPLENDSAAGGYIDPMDLYGAYGSMRSFGRFC >Sspon.03G0013460-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3A:38236938:38237240:1 gene:Sspon.03G0013460-1A transcript:Sspon.03G0013460-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GQAAPNPTPVVQKDEGICLEKSRVHVVPGLGQREIVDVAPGRRSMPEMDINMKEVVAVLGVKVMAADMPPFMQLHAFRCAKRSHDSLDKFSSRQLAHDVKK >Sspon.05G0016640-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:63521346:63522384:1 gene:Sspon.05G0016640-2C transcript:Sspon.05G0016640-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GVLEDGEEVAVKMLPFMGNINDLQFENEFEILKRLGHPNIVRLVAFCDEAQEVCTEYNGKLIVCQRIYRALCLEYMPNGSLGKLLSEYLQEGLEFPIFHFDLKPDNILLNEEMMPKISDFGLSRLIGEENSKKTLTPLGTIGYLPPEFINYQVISKEYDIYSLGVIITKTITGITGYSDVADMGAEEFVEHEVLL >Sspon.05G0003420-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:7438392:7439917:-1 gene:Sspon.05G0003420-1P transcript:Sspon.05G0003420-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSAGDKKKTACVTGGNGYIASALIKVLLEKGYAVKTTVRNPDDMAKNSHLKDLQALGSLTVLRGDLDVEGSFDEAVAGCDYAFLVAAPVNVASEDPEKELIEPAVRGTLNVMRSCARAGTVRRVILTSSSASVYIRPELPQQGDDGHVVLDEDSWSDVEYLRAEKPPLWWAYCVSKVLLEKAASRFAEEHGISLVTVCPVVTVGAAPAPVVNTSVPVCLSFLTGNEALLAALKGIEKTSGGVQLVHVDDLCRAELFVAEEAAAAGRYICCSLNTTVVEMARFLARKYPQYGVETNLSTDDDQAPGEAESEPVVCEAGFEFKYKTLDEIYGDVVEYGKALGILPY >Sspon.02G0047950-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:20525659:20526017:1 gene:Sspon.02G0047950-1C transcript:Sspon.02G0047950-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIDTAAAVQTDTPVSADGIPVAASTRAARQRKTMEAKRSSKRLAAKSSTAPVSQRAQQKLMRELDFLNS >Sspon.07G0013810-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7A:49919469:49919906:1 gene:Sspon.07G0013810-1A transcript:Sspon.07G0013810-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHNPDDWCSECDDSHIWLLAACVWMYPNPSACECDERWREAGWPYLRIWTVAPRVKHPWTPVSLWTVVCVCVCVCVHHIIHSSHTALVVKEEAIHRSSMAASATCCTLDDRSGITVANLHRLRHYSTCLFLAHLQPNPSPSHSH >Sspon.03G0002480-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3A:6128827:6131791:-1 gene:Sspon.03G0002480-1A transcript:Sspon.03G0002480-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding IFKLWACIADIYDGTRHYTSIDRRPLALTHPATPAACNPQVPLPTANCHPVPRRRAMASPSPSSPLHPHQHQHPHLPPPNPHHQFQSPPPSMPPPPSAALPKALDLEVTVVAGKHLKNVNWRRGDLRAYVVNERLVLPLPPHLSPHDPSILLSLDVFHSKPSDSPKPLVGSARSPLRDLLYPANPNPSHDPAASALVSLPLLRPSGRPQGKIRIRVSIRERSPPPPEPQYPPPSSSPYYFPPPPPAYSAPPQYGSDQYYHPSGYYSAPAPPPPQYEYTGGPSAPVDYNRQYEQRGRTGGGGSGSGNGRYGVGTGLAVGAVAGALGGLAIDEAVKYKEEQAAERVEEKVVPAGRDDYSEYRGHQAARVPLPLTLIALVFISLLPAPPLQLCLTSASSHLSVSSLPFPPPPPTSLSLSGGRGTEAAGCCRPALIGGRRPRPAQAAARLDGGRSATSPVGAGELRLLVPLPLSCSRVWNLPRARSRSPALPLTGVQSKASVYCGVVRAAPWRLSDA >Sspon.03G0014720-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:46928616:46931830:-1 gene:Sspon.03G0014720-1A transcript:Sspon.03G0014720-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISQISRGTLAILLLLAAAFAAAPAALADGDDVVALTESTFEKEVGQDRGALVEFYAPWCGHCKKLAPEYERLGASFKKAKSVLIAKVDCDEHKSLCSKYGVSGYPTIQWFPKGSLEPKKYEGQRTAEALAEFVNTEGGTNVKLATIPSSVVVLTPETFDSIVLDEAKDVLVEFYAPWCGHCKSLAPTYEKVASVFKLDEGVVIANLDADKHRDLAEKYGVTGFPTLKFFPKGNKAGEDYDGGRDLGDFVKFINEKSGTSRDTKGQLTSEAGRVASLDVLAKEFLGASSDKRKEVLSSMEEAAKLSGPAARHGKVYVTIAKKILEKGNEYTKKET >Sspon.01G0051970-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1C:19085835:19087526:1 gene:Sspon.01G0051970-1C transcript:Sspon.01G0051970-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKGDGPAIGIDLGTTYSCVGVWQHDRVEIIANDQGNRTTPSYVAFTDSERLVGDAAKNQVAMNPINTVFDAKRLIGRRFSDASVQSDVKLWPFKVIPGSGDKPMIGVQFRGEEKQFSAEEISSMILNKMKDTAEAYLGTTIKNAVVTVPAYFNDSQRQATKDAGVISGLNVMRIINEPTAAAIAYGLDKKSSSDGEKNVLIFDLGGGTFDVSLLTIEEGIFEVKATAGDTHLGGEDFDNRLVNHFVQEFKRKNKKDITGNPRALRRLRTACERAKRTLSSTAQTTIEIDSLYEGIDFYTTITRARFEELNMDLFRKCMEPVEKCLRDAKMDKSSVHDVVLVGGSTRIPRVQQLLQDFFNGKELCKSINPDEAVAYGAAVQAAILTGEGNEKVQDLLLLDVSPLSLGLETAGGVMTVLIPRNTTIPTKKEQVFSTYSDNQPGVLIQVYEGERARTKDNNLLGKFELSGIPPAPRGVPQITVCFDMDANGILNVSAEDKTTGQKNKITITNDKGRLSKEEIEKM >Sspon.04G0016970-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:70691359:70704469:1 gene:Sspon.04G0016970-2D transcript:Sspon.04G0016970-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to RAD23 protein, isoform II [Source: Projected from Oryza sativa (Os02g0179300)] MKLTVKTLKGTHFEIRVQPNDTIMAVKKNIEEIQGKDSYPWGQQLLIFNGKVLKDESTLDENKVNEDGFLVVMLSKPSNTPATRQAPLLDAPQQAPQPLAPNTCDNAASNLLSGSNVDTMINQLMEMGGGSWDKDKVQRALRAAYNNPERAVEYLYSFQAVREMVHTNPEILQPMLVELSKQNPQILRLIEENHDEFLQLLNEPFEGGEGDFLDQPEEDEMPHAISVTPEEQEAIGRLESMGFDRARVIEAFFACDRNEELAANYLLEHAGEED >Sspon.02G0027300-3C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2C:123087608:123087913:-1 gene:Sspon.02G0027300-3C transcript:Sspon.02G0027300-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSARPRFCSASSGSLALSVKMLRGLALLDDLEVVLELATDGEQRLAAVQRQELREPADHAGHVPARPRAAVRRGEDDASVRCGRPVPVPRPLLRAAAVRVDQ >Sspon.04G0012500-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:42562524:42571091:-1 gene:Sspon.04G0012500-4D transcript:Sspon.04G0012500-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPLLGSTDLWRPVAAARGGGWATAAALLLLLASHLAVLLVRRRFRLRGGGRIAQPEAAVAPAPASTPSGSASGIEGLVTEDDLRQLVGSLGLGAREPERQGWEHVISKSNDDVSYKAWCDKPAAGPPKYLSITTYERCSTEQLRDFYMDNEYRMEWDNTVTKHEQLQYDENSGVEVGRTIKKFPLLTPREYILAWRVWEANDKSFYCFIKECEHPLAAQQRKFVRVRLLRSGWCIRKIPGRDACQIIVLHHEDNGMNIEMAKLAFSKGIWSYICKMNNALRRYPLHRSTSLSILTMQKLMKKFPQDLEAADASLSASQNTAASVVPSTPTARTSPCKLPGKKSSRQMIASGLLLVGSIVCLSRGRSNLGAQLAMAFFLKKAFKQERESGSSTSRAKTDVTKCRR >Sspon.03G0011620-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:47853691:47861443:-1 gene:Sspon.03G0011620-2C transcript:Sspon.03G0011620-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAAELQKVASMRRDSGGSRSGSSAWWRAPDAFSRSSSRMEEDDEEALRWAALERLPTCDRVRRAILPLGGDGDGHGEAAPQVVDVLGLGPRERRALLERLVRVADEDNERFLLKLKERVERVGIDMPTIEVRFKHLRAEADVRVGTSGLPTVLNSITNKLEEVANALHVRRSRKQAMPILHDVSGIVKPRRMTLLLGPPGSGKTTLLLALAGRLDKDLKVSGKVTYNGHEMDEFVPERTAAYISQHDLHIGEMTVRETLEFSARCQGVGTRFDMLTELSRREKVGNIKPDADIDAFMKAWLYNLINQEYLMTLACSMRGQEANVISDYILKILGLEICADTMVGDEMLRGISGGQRKRVTTGEMLVGPANALFMDEISTGLDSSTTFQIIKSLRQAIHILGGTALISLLQPAPETYDLFDDIILLSDGQIVYQGPRESVLEFFLSIGFKCPERKGVADFLQEVTSRKDQKQYWVWHDKPYRYVSVKEFASAFQSFHVGRAIANELAIPFDKSKNHPAALTTSRYGVSAWELFKANIDREMLLMKRNSFVYIFRTLQLMMVSIMGMTLFFRTKMHRDSVTDGGIYLGALFFAVIMIMFNGLSELALTIIKLPVFFKQRDLRFFPAWAYTIPTWILKIPVSFVEVGGFVFMAYYVIGFDPNVGRALLTIPTLWNARFFKQYLLLLALNQMAASLFRFVGGAARNMIVANVFGSFMLLIFMVLGGYILVRDKVKKWWIWGYWISPLMYAQNAISVNEMLGHSWDKILNSSVSNETLGVQSLKSRGVFPEAKWYWIGLGALVGFVMLFNCLFTLALAYLKPYGKSHPSISEEELKEKYANLNGNAVAEGNLPPGSSHLATVGITSSGSVTVENHSGTMQRGMVLPFAPLSLTFNNIKYFVDMPQEMKTHDVVGDRLELLKCVSGSFRPGVLTALMGVSGAGKTTLMDVLAGRKTSGYIEGNISISGYPKKQETFARVSGYCEQNDIHSPQVTVYESLVFSAWLRLPRDVDSNTRKMFIEEVMELVELKPLRNALVGLPGVNGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFEAFDEGIHGVEKIKDGYNPATWMLEVTTVSQEQILGVDFSDLYKKSELYQRNKALIQELSEPPAGSTDLHFRNQYSQSFFMQCLACLWKQNLSYWRNPPYNAVRLFFTTIIALIFGTIFWDLGGKMGQSQDLFNAMGSMYAAVMFIGVLNATSVQPVVSVERTVFYRERAAGMYSALPYAFGQVTIELPYTLAQATIYGIIVYSMIGFEWTVAKFFWYLFFMYFTFLYFTFYGMMAVGLTPSYHVASIVSSAFYGIWNLFSGFIIPRPKVPIWWKWYCWACPVAWTLYGLVVSQFGDITTPMDNGVPVNVFVEKYFGFKHSWLGVVAAVVVVFAVFFAFLFGFAIMKLNFQRR >Sspon.01G0026840-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:93425379:93429857:-1 gene:Sspon.01G0026840-2C transcript:Sspon.01G0026840-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEGSSGPVVVPRNFRLLEELERGEKGIGDGTVSYGMDDADDIYMRSWTGTIIGPPNVEPSLFPMLGNWEREHTMEDILVSLKREMSTPQNRRLYQPHEGNEDQRVEQKGLSLRCVIM >Sspon.04G0004620-3D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4D:14587274:14588528:-1 gene:Sspon.04G0004620-3D transcript:Sspon.04G0004620-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRAPKPSWLADALLFAAGAVVATVALLAFANPFAQPEAYYDDGAALSSGSAGSSSVGGGAARTFYDDPALAYTVDRPITGWDEKRAGWLRAHPEFAGGVERVLMVSGSQPTPCRAPGGDHTLMRLLKNKADYCRLHGVQLLYNMALLRPSMDRYWAKIPVIRAAMVAHPEAEWVWWVDSDAVFTDMDFRLPLRRYRAHNLVVHGWPSLVFEASSWTSLNAGVFLIRNCQWSLDFMDAWAAMGPTPRTTSTGAPCSKGQPAVAGQGVPGERLLLRGLLGGDRGAARKHDGPVRGMERRPGAAALRRRHAEREHASYAAARDAALAGAGLAETGVHGWRRPFVTHFTGCQPCSGQRNEHYSGDSCDQGMRRALNFADDQVLRAYGFRRAGPLSDD >Sspon.06G0007350-2D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6D:25646612:25647031:-1 gene:Sspon.06G0007350-2D transcript:Sspon.06G0007350-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAKWSRPYAAAKYSSTSGCHRSLGLYVYRPASAAAGGAADGEESESIGGEGRRLRAKGGWGGDVGLGEGRSGGMESTRRWESGRIENRDSCKAWSRVGSRKARASKEANNGFAGFADKGFWTLGRTGEAQSTMVNCFF >Sspon.06G0031320-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:64930030:64933086:-1 gene:Sspon.06G0031320-1C transcript:Sspon.06G0031320-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAASRTPLLLLCLAAASTLAASADEDQVPITLPHCQDKCGNISIPYPFGIKDEKCFLPGFEVVCDHTSDPPRAFLAADNPIPPRMAESRPYMAKDYYYYYNYFINNKASYTQPKPQKINTSVSPVELMEISVSQGQLSIHGGFSFDCRENKTYHTSRIQAVSLPEKTPFHFSQERNMLLVIGRKVEASMRLNKCVTLQVRTAGQCLGKGWCNASIQMDTRASEVEITPGYGTNDTEWRASPCSYGMVVENSWYRFSPHDLDGDSFLQRNQERGVPVVLDFAIQGVGCPADGETVPKDYACVSENSECTDGPILSSGPSHYCTCSMGFHGNPYIARGCQDIDECKRRDLYPCHGRCDNKPGDYDCTCPPGMRGDAKAGPCTDIFPLAAKVTLGIAVECLNLDVDQRPVMTYVAERLLMLQRSRGDRLKKDLPKVDASCTIEKTATSM >Sspon.02G0010320-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2B:27490594:27490838:-1 gene:Sspon.02G0010320-2B transcript:Sspon.02G0010320-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GNGFAVKSDPIKAPPPSIEVPELSFEELKEKTDNFGSKALIGEGSYGRVYYAILDSEKHVAVKKLDASTDPELDNEFLTQV >Sspon.02G0021000-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:68680628:68685393:1 gene:Sspon.02G0021000-2B transcript:Sspon.02G0021000-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDSSERNGHGTPTRVLFCGPYWPASTNYTKEYLQDYPFIQVDEVGLEQVPDVIHNYHICVVKNRRIDSDIIAKATQMKIIMQYGVGLEGVDVNAATEHKIKVARIPGSTTGNAVSCAEMAIYLALGVLRKQKEMDSAVNRKDLGIPVGDTLFGKTVLILGFGAIGVELAKRLRPFGVKILATKRNWSSDTLPSDVGELVDKKGGPEDMYEFAGEANIVITCMTLTNETVGIVDHKFVSSMKKQGSYLVNIARGRLLDYKAVFDHLESGHLAGLGIDVAWMEPFDPEDPVLKFPNVIITPHVAGVTEYSYRTMAKSVGDTALQLHSGQPFTEVEFVN >Sspon.02G0011250-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:28735284:28736321:1 gene:Sspon.02G0011250-1P transcript:Sspon.02G0011250-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAC domain containing protein 38 [Source:Projected from Arabidopsis thaliana (AT2G24430) UniProtKB/TrEMBL;Acc:Q9ZQ25] KAKLGEKEWYFFSLRDRKYPTGVRTNRATNAGYWKTTGKDKEIYTGQLPATPELVGMKKTLVFYKGRAPRGEKTNWVMHEYRLHSKSVPKSNKDEWVVCRVFAKSAGAKKYPSNNAHSRSHHHHHPYALDMVPPLLPTLLQHDPFARHYHHHPHPYMTPADLAELARFARGTPGLHPHIQPHPGTSAAAYMNPAAAAVAAPPSFTLSGSGLNLNLGASPAMPSPPPPPQALHAMSMAMGGQTGNHHQVMAAAADGDGGGLGGCVIVPGADGAFGADAAGGRYQSLDVEQLVERYWPVGYQV >Sspon.05G0000160-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:9639927:9645703:-1 gene:Sspon.05G0000160-2B transcript:Sspon.05G0000160-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AP4M [Source:Projected from Arabidopsis thaliana (AT4G24550) UniProtKB/TrEMBL;Acc:A0A178UX13] MISQFFVLSQRGDHIVFRDYRGEVPKGSAEIFFRKVKFWNDDEAEEAPPVFNVDGVNYIHVKVAGLFFVVTTMVNVSPSLLLELLQRIARVTKDYLGVLNEESLRKNFILVYELLDEVIDFGYPQTTSTEVLKSYIFNEPIMVDAGRLPPLGPAAMFMVQGTKRMPGTAVTKSVVATEPGGKKREEIFVDIIERISVTFSSSGYILTSEIDGTIQMKSYLTGNPEIRLALNEDLSIGRTGSSYDYRSSSGGGTVILDDCNFHESVHLDSFDIDRTLTLIPPDGEFAVMNYRMTQEFKPPFRVTALIEEAGPSRAEVLLKIRADFSANATANTITVQMPVPSYTMRASFELEAGAIVGGCEHTLRAKLTFSQESHGNITKDAGPVNMNFTIPMYNASKLQVRYLQIAKKSKAYNPYRWVRYVTQANSYFWVKKFENKKEN >Sspon.02G0015970-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:46710571:46716051:-1 gene:Sspon.02G0015970-3C transcript:Sspon.02G0015970-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MESEPPQRWAATYTKQVKQKRKAYQDGALLLYPASGRLVLLDDAGDTLESRFLRSSEEISAGAAFSFQAHLVDVGEPEDGPARYTSSSASAAAGSRNARRGGGARARPPSSGRVFPPRVPRTFVNPSKSHGCGDGGEEWTALYTAQLTQKAKKYHDGLVRLVQIGPQVKQIVLLDEDAQVLCSRHLKSGESVESGKKCHFPNYLVDICEAKYQNKEHTSEESMVHTKPMNGKSTSNKMGIGALSKSQKFISPHPQKFHDLENTDSQVTASSGKPETDNAEAVSADQPGSLIEADSDFKEWNALYTTQLTQKAKKYHDGTIRLMQIGSHARQIVLLDEYGEELCSRYIKSVESVESGKRFQMPNYLIDVCEFRNQKNEPGHSSKEALSQTGLRNEETTSDKLSGKSKSPKFVSPFKCQGFICLRNLQIFGRVTGEALQAPIGHRLAQLLILGVNQTTMSIEGLTVFYFLVVIYSNAASPMFLHDLQIFRMVNQDAPGVLTGKRKNSVVDDSNRSFGGRETSGSSHFATHLRSSIQSCLNLETLPRKNSIGDHQWNESSGNNYSTYDDPNIRRPAAFEGQNLAMVDTLASNVSNAKGQKLDSSNQHSGSSSDTVPVMNIVTDTGFQDGRSGTADQTRQEAMPPLFIT >Sspon.07G0032290-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7C:47468676:47469044:-1 gene:Sspon.07G0032290-1C transcript:Sspon.07G0032290-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVIFNSTTIGTVLINVGRMPASTFASCYGTSDESPGKSLEERRKDFTFPGLLQDLIGIMVDAPGTLGRQLSELIGSQVIVNSFGSALAVIRWSPAENVVMVIVDNWSGSNGLGGLVRKGGG >Sspon.07G0007410-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:19505635:19509207:1 gene:Sspon.07G0007410-1A transcript:Sspon.07G0007410-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MIAAVNRVPAGWGEDEMSVLPRHTKVVVTGNNRTKSVLVGLHGVVKKAVGLGGWHWLVLTNGIEVKLQRNALSVIEPPTGNEEDDKFDCENMQWNSSDMASDDAQSPKPQRSRSRQHRGFHRKSLSRSMSCDSHSKTSVSSSSRAHTKVDLSKLELTALWRYWRHFNLDAYPNPSREQLVDAVQRHFTSQVDKTNLTSDSSNLHHYD >Sspon.08G0026160-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:17471041:17472549:1 gene:Sspon.08G0026160-1C transcript:Sspon.08G0026160-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAHRRGPGGSPRATAPGRADRLPCPRPTRKPHHRRGSASFQSGGAGGRRRRSKGLYAASDKHGTNRLSSSTYFVSKAYAVDMLKCYTTIGHVYSREARGLMAKDECHR >Sspon.05G0030640-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:92093849:92096076:1 gene:Sspon.05G0030640-1B transcript:Sspon.05G0030640-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVLPMICSPHRQIADHPMVCARRGTSEGQLRRSNPVTAIPPTSAIPVHVAGTWKWKATSEFTASLRHCLWGSKGQGETTDGSTPTSYSQIRTGAIPEYIPNHD >Sspon.05G0025800-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:27021601:27028089:-1 gene:Sspon.05G0025800-1B transcript:Sspon.05G0025800-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRLAPALLLAAALLLAARPGPAVIAADCPLDLSWPNYGLIASVCSDQNGHSKCCRYINAVLAVSSAMYANTTGTLGVPAQFSDACIANISDTLVAKGILPTAASFCGLGIKIQVSYQCVGMTTILEMLQSPNFSDVTRSCATTLSDDVTCKRCLNSGLSYLRHLVGEQDNVTLNTCRDAAFVAFVSQGNISTIDTAGCFFSVQGLSDLQANISGPAPAGLTVPDISPSPLTVQVPVVPPKHHRSYKLVLFPAIGALVTGVAILLMIVLIFLIRRKNRELKKLEGSNPPDAWSFSCVKKGQEGNSTIFGRFSYKEMKKATRNFSTVLGGENGTVFRGQLNDGSVVAIRRVECSPKQSHHEFCKEMEFLGRLHHRHLVGLKGFCSTRFERIQVYEYMENGSLQDHLHSPSKHLLPWKNRVQIAIDVANALEYLHFYCDPPLYHGDVKPSNVFLDKNYLAKLAGCGLAHHSSSGNTTPSCTPVNVKIQATPGYVDPEYMVTQEVTTKSDVYSYGVLLLELVTGKPVIQDNKSLVEWSRELIGTDYRLHELVDPSVADAFDLDELQVVADVIHWCTHRDGAARPSMKQVLRILYERLDPLSGRFARAVEGEEGYYYCHGGGRVKGKQAGGDLTQFSGDAARSWLPSSSSTSRSHCSRSVLLECHSPEPESSPAHGNGAFLA >Sspon.01G0027530-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:90901044:90905059:1 gene:Sspon.01G0027530-3D transcript:Sspon.01G0027530-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMSLRKRQRSASSSRLAAFCSPPSPPPPSSTSTSPDSLTFPNADVFLRLHLDPSSPDDVDAMPDTDYHLSASSSGTFLDLHVSSASLLRSRYFAALLSDRWPTAASGRLSLAVVAPPSCPRPFDAHIQVLRLLHTLDFAGAIRSPGDALDLLPVALLLLFDACVEACIRFLEAVPWSEDEEARVIDLARLLPADEAADLLARVSPPPSPQVLLPLRGSPSEAMLHGLIHSAIHGHPVHAATKAFVAMLLKDYPSRDCVHKVLDEAFQSRLDTVKELMGKYASPDFRVAVDSDEREAIQRLNLQSAVLNVKHLYWLIERMVDLRVADNAVMLWSDQAALATDLQKLLNDADMWRNMTPGLPMLVTRCTLRLANSVMTGETLVPRQVRVMLIKSWLPVLNVCRDIVQPMHFQKSTNCQELEEAFLQIISTLPVPEAQELLQQCLGFSTRNVDDCPHLVTAFKTWFRRAGRLPLGGEN >Sspon.01G0062070-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1D:100230188:100230499:-1 gene:Sspon.01G0062070-1D transcript:Sspon.01G0062070-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMEPRHACHCQPAVSFRSIQSKGPPGREWMHSRERGVNSAATALVRTARPCRPDTAIKPPGPPRPRPRRGERPPRPGMRRRRSIDDGRVFRSRSPAYGGHMA >Sspon.02G0037510-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:34305755:34307233:-1 gene:Sspon.02G0037510-1T transcript:Sspon.02G0037510-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDATSGSSMHFVMVPWLAVGHILPFAELARRIALQGHRVTLLSTPRNTRRLIDIPPDLDGLVHVVDVPLPRVERLPEDAEATIDLHSFDLLPCLRRAYDAAFSAKLSEILQGPEPSRPDWVLIDYAAYWGPAAAARHGVPCAFVSLFGAAVLGFFGPPEALMGHGEHAKTKLEHLTAVPDYVPFPTTVAYRGHEVRAMFKPGMIPEDGEVSEGHRIAKSIEESQIVGVRSSRELDPEWLQLVGELYQKPVIPLGLFPPPPTQDVAGHEATLQWLDRQAPRSVVYVAFGSEAKLTGAQLQAVALGLEAFGMPFLWAYRAPADSDARDGAAGLPEGFEERVNGWGLVCRGWVPQVRLLAHRSVGGFVTHAGWNSVTEGLARGVRLVLLPLLFDQGLNARHLVEKKMGVEVARDEDDGSFTAEDVAAALRRVMVGDEGQEFGAKAQELAEVVGNDEVNDQCVRDFLRCLSEYGRQQQGIKDSSGRQQQGIKDSSV >Sspon.02G0015320-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:21084919:21086632:1 gene:Sspon.02G0015320-3D transcript:Sspon.02G0015320-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding QMGAERARRWKLLPFRSLSLPPPAASKPKNRPPDVTVPPSPATKDKKGEEEEVPADFLCCPILRTPMADPVILPSGRTYERACVLACAELGLSLGPDGVVAGDRGTPGGGVAAAAAIPNDALRAAVRTWCASSGRAAPVPPSGEEARVAVLRAVAAGTQPPAMSSSNLSCSSEGPPAPGRSASNLSCSSEGASTSSSSSGRSSREMAPVEVQAVVRGKEAAKEEQDEPERVADAEEEVVAKAVEGGDETEVEAAMAALRRATREGAARRRALCGPRLLAALRRVLLSSRHTASAREDAAAALANLSLEPENRVPVVRAGAVPALVEVLASAASPPEAREHAAGALFGLALHEGNRAAIGVLGAVPPLLAVLADRDHAAPRARRDAGMALYHLSFAAVNQSKLARAPGASRTLLSVACDAAEPAPIRRLALMVLCNVAACAEGSAALMDAGAVATASAILSEGQECCVEALYAMSRGSPRFRGLARAAGADRPLMLIAEQASPGVDKEVVQTVLRTMGHDSSDDDHTSVCRNDEGHHGRSSLPHRRRVASWSAPPAATPPSSHQWR >Sspon.07G0022990-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:12120875:12124153:-1 gene:Sspon.07G0022990-1P transcript:Sspon.07G0022990-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding PRIKKIMQADEDVGKIALAVPVLVSKALELFLQDLCDRTYDITIRKGVKTVSSSHLKQCIQTYNVYDFLTEVVSKVPDIGPSDVIADDKLGKRRKAEEDGSEEELKRTRNETESYTSNGRGRGRGRGRGRCGRGAWREVVTTHEQFAENQSSKLASLKVEVADEVPNATEAKVATTPVSNARASLRNIDLNLDPTDEEDEVTVPPQVQLSAPATSSAAATAGPGPSAGPSVPRSKEGAKLKDFLGAWELPDMNKMEMDPVQFALSTNHRLEEDEDYDNED >Sspon.04G0011330-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:34906966:34911074:-1 gene:Sspon.04G0011330-1A transcript:Sspon.04G0011330-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDWVIGALINIVGSVAINFGTNLLKLGHDQREKLSLINNSEGNEKFVPKSVMHFQTWRIGILFFAAGNCLNFMSFAYAAQSLLAALGSIQFVSNIAFAYFVLNKTISVKVMVATTFIVFGNIFLVSFGNHQSPVYTPEQLIAKYSNLVFVLYCMSLVFVVAFNHYLYRSGETIISNSSKDVGTYWRTMLPFSYAVVSGAIGSCSVLFAKSLSNMLRLTMSSRYEFHSWFTYSIVLLFLCTAGFWMARLNEGLSLFDAILIVPMFQIAWTFFSICTGFVYFQEYQVFDTLRIIMFVLGMTFVFIGISLLAPDDSKADTKDGSSTAEDSIIDVDRNGKMQMEETDTDESNSFVTSVKVKVKRVLLKAKSACSMSLGLGEDTISASSVLAMPMVSSRTTGFRGIGNERSKYVPLRTTDWSNL >Sspon.05G0001820-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:1187871:1200495:1 gene:Sspon.05G0001820-2B transcript:Sspon.05G0001820-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAYQHQRRFTGAGDAPPPPPHPSHPSAQWYPAPSHPYPPPHPGHPYPPQHHHQWGPPPDLQHQHHPPPPPPPYAYQPPPPPMAMQQPPPPPGNSWPPHHGAGQHPPPSYPPPPPGQAWTNHSWAQNHGYQGHGNEEDWATKAKAWVAAKSVTGNHQIQQHAVSTSRTEGHHNGYHDQYQRPAGLPTEVTEPLHPPVPQSSNDHVPFPMTGQQRETNHLLGKNGAEDYIADRGPMVSPAKNFGSFPSTYEQEVSYNYSSAPGNGNNMLQYPNSQAPSFPTTSSVQGGFPQAPPSMPVVPLAEQPPFGHERQPVDPSDQPLEFNSRKAPDLAVHTNVNSNIPAAPTLASNHDVAATSTHSWTPSATVGFLPRAPVPAQTAQMDPSLHAAPLFGAASSSSYVPPAAFGVGSVTEVFPTDPNTPFSVAEKSKKRPVPNWLREELLKKKSAPLSASAQYSENLNSMEANDAEQTIGRPDQSDSKSNDSAKSTDDDEDDEDEIEAARMAAINKEIKRVLTEVLLKVTDDLFDEIATKVLNEDDSAESNEPTGVFGSKEPGLGGSRTKTSAKVVLPAKSTNSTDHKDSTGLSSPKGALLGLASYDSDDDDDDDDDADADGKDKMPTSNLSSKANAGAVNTEGDKSTLGKRNGNHNEQNSSLGSAPSGEDLKSNNQNFQRSANAEPEQKHIRDTENGEFRFKAKTHTEPKGAVDEWMRRHIEVDIQNRKTSSGNNAEKHNDLERSHRHLEKSSKEDFVNEVKTDHTKELEYSRAEKYNNDDKYSMYGNIDKRSSFKEGKGSGRAAKHESTREPHSRGNSKHDGAKGDQKDFPKDRERNRDTTDRRGGKGKDEKDDRSRQMTSHNSRSSRSRSPRGRSRTRKENSHVRGSVSSDEPSDSEEAVEIENSGQKEIEDMDLMPRHSSETTEDIFAVQHSYSDKHEGKDWMYLDIRCTLYCILFD >Sspon.08G0011130-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8B:44397255:44398427:-1 gene:Sspon.08G0011130-2B transcript:Sspon.08G0011130-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWARRPLAAALAVALLLGLCRGDVVQFIFGDSLSDVGNNNYLKKSLARAALPWYGIDFGRGMPNGRFCNGRTVADIVGDKMGLPRPPAFLDPSLDADTIFKYGVNYASGGGGILNETSSLFIQRFSLYKQIELFQGTQAFMRDKIGKAAADKFFGEGYYVVAMGANDFINNYLLPVYSDSWTYTGDTFVKYMVSTLEAQLKLLHALGARRLTFFGLGPMGCIPLQRYLTSSGGCQESTNKLARSFNTQAAALMERLSASLPNATFQFGEAYDYFQDIIDRPYMYGFNNSRAPCCALGRIRPTLTCTPLSTLCKDRSKYVFWDEYHPTDRANELIALETLRKLNITVVNNGTSG >Sspon.08G0007420-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:22015657:22017393:-1 gene:Sspon.08G0007420-2B transcript:Sspon.08G0007420-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHALAGTVWTAAAHIITAVIGSGVLSLAWAMAQLGWVAGPVILLLFAAITYYTCCLLSDCYRVGDPATGKRNYTYTEAVESYLGTCKQHLTKTSLLIFGLALAGPTGKTTLYGSQVGVDVDSATHKIWMTFQALGNIAFAYSYTIILIEIQDTLRSPPAENKTMRQASIVGVATTTGFYMLCGCLGYSAFGNAAPGNILLGFYEPYWLVDFANVCIVLHLVGGFQVFLQPLFAAVEADVAARWPCATQEHGGVNVFRLVWRTGFVALITLFAVLLPFFNSILGILGSIAFWPLTVFFPVEMYIRQQQIPRFSGTWLALQTLSFFCFIITIAAGAASVQGVRDSLKTYVPFQTR >Sspon.02G0058570-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2D:79497966:79498873:-1 gene:Sspon.02G0058570-1D transcript:Sspon.02G0058570-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPLFVPKSQGCGRVDPLVLTRRRSPTLTPSHCRPRRPEPSPTASVNTPDLFFFSTRAGLLFFPTTHACARDGAGVAPLRMACLPFFQGQLSPDLERIFSYWDVRRRPNTVRAPICRGHSLIRHHLGLIRRGHNLVRRRFGPSPHCIGVTTSQPRHHPLARRARRSPPEPCKVTHDDDMATNAGAEATHRCPLSGA >Sspon.03G0002700-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:22086343:22089772:1 gene:Sspon.03G0002700-2C transcript:Sspon.03G0002700-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRGKPQANHDGSRPRSRPRDYICSHIRDAEEAITSSDFRVQCSAVKAAAEREVQCTTRHQEMELQARYLCVVAMLLVAGLAGLETAHGAGECGRVPVDQVALKLAPCAAATQNPRAAVPPSCCAQVRAIGRSPKCLCAVMLSDTARKAGVKPAVAMTIPKRCAIANRPVGYKCGPVSYPQCFPTITK >Sspon.04G0012080-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:40284830:40285687:1 gene:Sspon.04G0012080-2C transcript:Sspon.04G0012080-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHDVIVGVAGELASSLGLVEEHSFQDWPCQFRINRYNYTRETVGSSGVQTHTDSGFLTVLQEDERVGGLEVLDPATGDFVPVDPVAGSFLVNIGDVGTAWSNGRLHNVKHRVRCVAPVPRISIAMFLLAPKDDSVSAPESFVDADHPRRYKAFTYGDYRRLRLSTGEHAGEALARMAA >Sspon.03G0000610-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:1948007:1948357:1 gene:Sspon.03G0000610-1A transcript:Sspon.03G0000610-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYYSEPGYCAEEARRPYAEPGFCHGEGGERYAVVRKEYEEVDEVARAGRGHHGHGHGHLGHSGSHHHIHGGHEGSCHGGYVGEHREQYHGHGHGGRLYDACESKRYDSCTGQYYA >Sspon.02G0009850-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:26627950:26631840:-1 gene:Sspon.02G0009850-2B transcript:Sspon.02G0009850-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAVVDAGDAPEPTVRNLLDQESLKWVFVGGKGGVGKTTCSSILSVLLAGVRQSVLVISTDPAHNLSDAFQQRFTKFPTLVRGFTNLYAMEIDPKVENDDLSNEGMEGFLSELTNAIPGVDEAMSFAEMLKLVQTMDYSVVVFDTAPTGHTLRLLQFPATLEKGLEKMMELKNRFGGLLNQASRLFGLGDELNEDAMLGKLEGMKDVIEQVNRQFKDPDLTTFVCVCIPEFLSLYETERLVQELAKFEIDSHNIIINQVIFDEEAVESKLLKARIKMQQKYIDQFHMLYDDFNITKLPLLSEEVCGVQALQNFSQHFLTPYESALKRGTVEELEERITLLKSALQEAEAELDRVRKGKQSA >Sspon.02G0028380-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:102063894:102067551:-1 gene:Sspon.02G0028380-4D transcript:Sspon.02G0028380-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSREAQKLDSAAGDGAVGIGVLSLDLLGQALVAACPKLEAVDLSHCVSAGDREVAAVAAAAGLRELRLDKCLAITDMGLAKVAVGCPRLEKLSLKWCREISDIGIDLLAKKCPELRSLNVSYLKVGNESLRSISSLERLEELAMVCCSCIDDEGLELLSKGSDSLQSVDVSRCDHVTSEGLAALIDGRNFLQKLYAADCLHEIGQRFLSKLATLKETLTVLKLDGLEVSDSLLQAIGESCNKLVEIGLSKCSGVTDEGISSLVAQCSDLRAIDLTCCNLITNNALDSIADNCKMLECLRLESCSLINEKGLKRIATCCPNLKEIDLTDCGVDDAALEHLVKCSELRILKLGLCSSISDKGIAFISSNCGKLVELDLYRCNSITDDGLAALANGCKRIKLLNLCYCNKITDTGLGHLGSLEELTNLELRCLVRITGIGISSVAIGCKSLIELDLKRCYSVDDAGLWALARYALNLRQLTISYCQVTGLGLCHLLSSLRCLQDIKMVHLSWVSIEGFEMALRAACGRLKKLKMLCGLKTVLSPELLQMLQACGCRIRWVNKPLVYKD >Sspon.05G0002700-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:11944312:11945423:-1 gene:Sspon.05G0002700-1P transcript:Sspon.05G0002700-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAREEELKRIDLKVNVSCCDGCRRKVMKAMSLKGVLRTEIQPSHDRVTVVGDVDVKVLVKKLAKVGKIAEALPAYEQGKKQRDEGGRKDGSDRAAPAQAEEKCKGKEDGMGDGDKAAAKVAPSKHEGCKKCAREAAARAMPEGDNGDHGSVKKAPSSKDDAAGGWSGEEGGDADGLFGAKPLAVAPDHHHAALQPQVQQHYHRAEPAMVVPVHVPAYYPPPTAAAAVPYYGYYGMPPPPPPMMMALAPPAQRHPQVRPQPSRFDEDYFNDDNTVGCSVM >Sspon.02G0019980-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:65216030:65232405:1 gene:Sspon.02G0019980-2B transcript:Sspon.02G0019980-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LKLFSRMATLKDDGTVVVDIPTNIEAASLDLPSGDHHNVAFGGEPLDSSDLQHRPPMQIAMLIVGTRGDVQPFIAIGKRLQDYGHRVRLATHANFKDFVMTTGLEFYPLGGDPKILAGYMVKNKGFLPATPSEIPIQRKQIRDIIFSLLPACKDPDIDTGVSFSADAIIANPAAYGHVHVAEALNIPIHIIFTMPWTPTCEFPHPFSHVKQPAGYRLSYQIVDSFVWLGIRDMINDLRKRKLKLRPVTYLSGTHAYSNDIPHAYIWSPYLVPKPKDWGPKIDVVGFCFLDLASNYEPPEPLLRWLGSGDSPIYIGFGSLPIPEPDKLTRIIVQALEITGQRGIINKGWGELGNLEESKEFVYVLDNVPHDWLFLQCKAVVHHGGAGTTAAGLKAG >Sspon.05G0005980-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:19049577:19053375:-1 gene:Sspon.05G0005980-1A transcript:Sspon.05G0005980-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQNAGSFLAVRRLSGGAIHHHRHHSSPGTRVAPSFGYAVCLRAEAHGDGFFAFLFRAPAAEVVGVSTAWLGKGLSCVCAQRRESDARLSFDLSPIQEECLHRLQNRIEVQYDGSNLEHQKALEALWRASFPGTELLGLVSDQWKEMGWQGKDPSTDFRSFIPSSFQHSLSKKNCSLANHSFDIYIFGMCNHMFCWTYRGGGFISLENLLYFSRNYPKSFQELLYKKNGDRALWEYPFAVAGVNITFMLIQMLDLQAAKPTSLIGAKWLEMHASYMDFNVRLSFLICNLSDTFFVPSTFLADQIAELTLQTVIKSTRRQLERELLLEDIQRIEDMPSYRFLTC >Sspon.07G0002560-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:29165708:29170285:1 gene:Sspon.07G0002560-2D transcript:Sspon.07G0002560-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding NSNPGARISVKLVSEAGVGVVASGVVKGHADHVLISGHDGGTGASRWTGIKNAGLPWELGLAETHQTLVANGLRGRAVLQTDGQLKTGRDVAVACLLGAEEFGFSTAPLITLGCIMMRKCHMNTCPVGIATQDPVLREKFAGEPEHVINFFFMLAEELREIMANLGFRTITEMVGRSDMLEVDPEVVKSNEKLENIDLSLILKPASEIRPGVAQYCVEKQDHGLDMALDNKLIDLTRTAIEKQVRVFIETPIQNTNRAVGTMLSHEVTKHYHMNGLPAGTIHVKFTGSAGQSFGAFLCPGITLELEGDSNDYVGKGLSGGKIVVYPPKNSSFIPEDNIVIGNVALYGATKGEAYFNGMAAERFCVRNSGAQAVVEGIGDHGCEYMTGGTVVILGKTGRNFAAGMSGGIAYVYDVDGKFSARCNNELVDLYHVEEEDDIITLKMMIEQHRRNTESVLARDILSDFDNLLPKFVKVYPRDYKRVLENMKAEKAVAKHAKEPKMANGISVTTKESSGAGCPLGNKIPEFNELVHQNRWREALDRLLETNNFPEFTGRVCPAPCEGSCVLGIIENPVSIKSIECAIIDKGFEEGWMVPRPPLQRTGRKVAIVGSGPAGLAAADQLNKMGHFVTVFERADRIGGLMMYGVPNMKTDKIGIVQRRVNLMAEEGVTFVVNANVGSDPLYSIERLRSENDAVILACGSTKPRDLTIPGRELSGVHFAMEFLHANTKSLLDSNLEDGKYISARGKKVVVIGGGDTGTDCIGTSIRHGCTSLVNLELLSKPPSNRAADNPWPQWPRIFRVDYGHQEAATKFGKDPRTYEVLTKRFIGDENGKVKALEVVRVKWEKVDGRFQLKEIEGSEEIIEADLVLLAMGFLGPEATIAEKLGLEKDNRSNFKAQFGDFATSVDGVFAAGDCRRGQSLVVWAITEGRQAAAAVDKYLSRYDQNAAGDITPSGAGLVQPVAA >Sspon.07G0014030-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:50403380:50405829:1 gene:Sspon.07G0014030-4D transcript:Sspon.07G0014030-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAEAEVMHQAPVPVLEVQYHRCVTTKGVEDVVGMSTAAAAAAVAAPGEVGVEVEVEVAVEVPLMGLEQPDATPSVSMDVLQFVPTIRSGSFADIGPRRYMEDEHIRIDDLSAHLGSLLVCPLPSAFYGVFDGHGGPDAAAYMKRHAMRFLFEDSEFPQASQVDEMYLQSVESSVRRAFLQADLALADDLDISRSSGTTALTALVFGRQLLVANTGDCRAVLCRKGVAMEMSRDHRANYVEECERVAASGGYIEDGYLNGVLSVTRALGDWDMKTPDASVSPLIAEPEFRQATLGEDDEFLIMGCDGIWDVMTSQHAVSLVRRGLRQHDDPARCARELVMEAKRLETADNLTVIVVCFASELGSQQQEQPARPRSCKGLSTEALCNLRSWLETDHR >Sspon.01G0059750-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:67478567:67479181:-1 gene:Sspon.01G0059750-1D transcript:Sspon.01G0059750-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARRTCRGVSGHPRLRQGHPRARSNSSGARSPPLAAGFHHDGRNRPAQTYVVFRVFHIKRVSCFRRVRAVGVRVRTRMNG >Sspon.04G0017300-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:62722428:62727292:-1 gene:Sspon.04G0017300-1A transcript:Sspon.04G0017300-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CYP97B3 [Source:Projected from Arabidopsis thaliana (AT4G15110) UniProtKB/TrEMBL;Acc:A0A178V2Z2] MAAATLLPGPATAASPRLGSAASAPSASHGRRRLTFSFRYARVRGPHPPPRSLPAPVAAAHAWPPARCQSTSVDKQQQQPPKPKQRNLLDNASNLLTNFLSGGNLGAMPVAEGAVTDLFGKPLFFSLYDWFLEHGSVYKLAFGPKSFVVVSDPIVARHILRENAFCYDKGVLAEILKPIMGKGLIPADLDTWKQRRKVITPGFHALFIEAMVRTFTKCSERTISKLEELTESEGHEQKSTIVDLEAEFSNLALDIIGLGVFNFDFDSVTKESPVIKAVYGTLFEAEHRSTFYIPYWNLPLTKWIVPRQRKFHSDLKVINNCLDNLIKNAKETRQEADVEKLQQRDYSSLKDASLLRFLVDMRGADVDDRQLRDDLMTMLIAGHETTAAVLTWSVFLLAQSPTKMRKAQAEVDSVLSNGAITVESLKKLEYVTCGYNGAKEGYEVPAGTDIFVSIYNLHRSPYFWDRPNEFEPERFSVPKKDESIEGWSGFDPDRSPGAMYPNEIIADFAFLPFGGGPRKCVGDQFALLESTVALALLLRNFDVELRGSPDEVEMVTGATIHTKNGLWCRLRKRT >Sspon.03G0009840-5P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5C:83924704:83927235:-1 gene:Sspon.03G0009840-5P transcript:Sspon.03G0009840-5P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGAHTVLIPNATPVAIAVATAFGFERVHGGFGYGSRNQEGEDVLNFALAYDLLIANTLFRKRESHLVTFRSGQHLSQIDFILARREDRRACFDCKVLPGECVVPQHKLVVADFRFRVRVHRDKRAKIARTKWWKLRGEAAQTFKERMLDEGPWEEGEDADDMWLEMATCVRKVASEVFGVSRGGKREAKDTWWWNDEVQRAIREKKECFKRLHHDKSAANIESYKIAKRVAKQAVSVAKGQVYDGLYQRLGTKEGEKDIYRMARIRERKTRDINQIKCIKDGTHRLLVKDEEIKDRWREYFDKLFNGENESPTLELDDSFDDTNRSFVRRIQEAEIGEALKRMKGGKAMGPDGIPIEVWRYLGAKAIVWLTKLFNLIFRSNKMPEEWRRSILVPIFKNKGDVQSCTNYRGIKLMSHTMKLWERVIEHRLRRVTHVTQNQFGFMPGRSTMETIFLLRQLMERYREQKKDLHMVFIDLEKAYDKVPRNVMWWALEKHKVPTKYVTLIKDMYRDVVTFVRTCDGDTSDFPIKIGLHQGSALSPYLFALVMDEVTRDIQGGIPWCMLFADDVVLVDDSRAGVNRKLELWRHTLESKGFRLSRTKTEYMRCDFSVTRHEEGDVSLDGQVVAKKDTFQYLGSMLQKDGDIDEDVRHRISAGWLKWRQASGILYDKRVPQKLKGKFYRTTIRPAMLYGAECWPTKRRHVQQLSVAEMRMLRWCCGHTRRDRVRNDDIRDRVGVAPIEEKLIQHRLRWFGHVQRRSPEAPVRSGVLKRADNVKSGRGRPKLTWDESVKRDLKEWNIPKDLAMDRSAWRLAINVPEP >Sspon.05G0032110-2D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:5D:24066580:24070005:1 gene:Sspon.05G0032110-2D transcript:Sspon.05G0032110-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding TV >Sspon.01G0027680-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:96825686:96829858:1 gene:Sspon.01G0027680-1A transcript:Sspon.01G0027680-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MWEDAYIQESPLDSPCPYAYPLSVKIGVRVPGIRQASNSNPSSSPSHVVATLDHCMSHVAAGYLIFISILAGFWGQCLVRKIVHVLKRASVIVFILSSVIFASALTMGVVGTQKSISMINNHEYMGFLDFCE >Sspon.02G0016500-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:48955551:48965196:-1 gene:Sspon.02G0016500-3C transcript:Sspon.02G0016500-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding CPFDIRTKLEIDRSKLLMEHASCDDVHEHVISVAHGETASTSTSHQDMYSDSDEPHQEDRPSTSTQTPSSESSPSISPTAYSSRNLSFPRRDSIYGHGRSPWNSGLWISFEIVLYIAQVVAAIVILVFSRHEHPHAPLFAWIIGYTVACIASLPLIYWRYVHRNRHLDQEPQQPPTTYPTLTPSQSSEGRNHRTSGIVLRLGCIAISCPRLSVLAYHFKTAVDCFFAVWFVVGNVWIFGGRSISSDAQDAPNMYRLCLAFLVLSCVGYAIPFIMCAAICCCFPCLISVLRLQEDLGQNRGATQELIDALPTYKFKPKRNKNWGIDHASSSEHLDEGGILGPGTKKERVVSAEDAVSIFHILLLSYNNILCVCCICLTKYGDDDELRELPCTHFFHVQCIDKWLKINAVCPLCKTEIGGVVRSFFGLPFGRRRVDRMAGRGVASSRFNV >Sspon.05G0021690-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:126273281:126276812:1 gene:Sspon.05G0021690-2C transcript:Sspon.05G0021690-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSNGNGGEVLLDHEYREEYVKNSRGMRLFACTWLPSKRKTPTPKALVFLCHGYAVECGVTMRGTGERLARAGYAVYGLDYEGHGRSDGLQGYVPDFELLVRDCDDYFTSVVVRSQSQSQSKRFLLGESMGGAVALLLHLRRPEFWSGAVLVAPMCKIADDMRPHPLVVNILKAMTSIIPTWKIVPSNDVIDAAYKTQEKRDEIRGNPYCYKDKPRLKTAYELLKVSLDLEQNLLHQVSLPFLIVHGGADKVTDPSVSELLYRSAKSHDKTLKLYPGMWHALTSGESPDNIHAVFQDVIAWLDHRSSNTDQQELLSEVEQKARHDDQHHHQQHGGNK >Sspon.02G0001770-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:31929353:31932209:-1 gene:Sspon.02G0001770-3C transcript:Sspon.02G0001770-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVARLRAAAAARLQPALAGCGERRPLGTAAEVATAGPGAARWELMGAREYYDYRRAIYGDITHKAILVDAAGTLLAPTEPMAQVYRTIGQKYGVDYSEDEILMRYRRAYAQPWGRSRLRYVNDGRPFWQYIVSSSTGCSDLQYFEELYQYYTTEKAWHLCDPDAGRVFEALRRAGVKTAVVSNFDTRLRPLLQALKCDRWFDAVAISAEVAAEKPNPTIFLKACELLGVKPEEAVHIGDDRRNDIWGARDAGCDAWLWGSDVYSFKEIAERIGVE >Sspon.07G0001170-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7A:2636691:2637473:-1 gene:Sspon.07G0001170-1A transcript:Sspon.07G0001170-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAANPPTKPTKASAAPFVVFDAANANKAAKPKAGSGKAHDGDADDAPTPSSHGRRRCRWLRWACCGAAVAGALTAAAVLALSLTVLRVRDPTLSMESVAVKRFSVRFDAAAHPARPLRINITLSGGIVIRNPNYESMRFGASATEIFVDVDGAAEPTPVGVGRAPPGEVPARGASRVAADVDVLVDRVAPAVVAEVLFGGGDVRFDTRTAVDGRVSLLGGLYGRRTVRVAMRCRVALRVSAAAVAVAGSPACVADFGR >Sspon.05G0033900-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:45241770:45245365:-1 gene:Sspon.05G0033900-1C transcript:Sspon.05G0033900-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDDVIWHCIRHNHCSFMAKEKVMTMPRKQTQRDLQRLDKAERAAQVERNLENELKERLTKGVYGDIYNVPFKEFGVVLDMEKGEVAPEEEEEEEGEMEYVEGDDMEEMDDMEDMEDFEGLSDGGTDEDDVLDDPVSKKKGSSSNSNQNAGKRSRKVMTEVEQDEEINTRQRQRM >Sspon.02G0004590-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:14454825:14457880:-1 gene:Sspon.02G0004590-1A transcript:Sspon.02G0004590-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MREIISIHIGQAGIQVGNACWELYCLEHGIQPDGTMPSDTSVGVAHDAFNTFFSETGSGKHVPRAIFVDLEPTVIDEVRTGSYRQLFHPEQLISGKEDAANNFARGHYTVGKEIVDLCLDRVRKLADNCTGLQGFLVFNAVGGGTGSGLGSLLLERLSVDYGKKSKLGFTIYPSPQVSTAVVEPYNSVLSTHSLLEHTDVAVLLDNEAIYDICRRSLDIERPTYTNLNRLISQIISSLTTSLRFDGAINVDVTEFQTNLVPYPRIHFMLSSYAPVISAEKAYHEQLSVPEITNAVFEPSSMMAKCDPRHGKYMACCLMYRGDVVPKDVNAAVATIKTKRTVQFVDWCPTGFKCGINYQPPSVVPGGDLAKVQRAVCMISNNTAVAEVFSRIDHKFDLMYAKRAFVHWYVGEGMEEGEFSEAREDLAALEKDYEEVGAEGADDEGDEGDDY >Sspon.01G0002660-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1A:7198161:7198295:1 gene:Sspon.01G0002660-1A transcript:Sspon.01G0002660-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding IWILCNDCGMTSNVQFHILAHKCPRCSSYNTRQTRGEPAACSRV >Sspon.06G0010860-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:46997321:47003061:1 gene:Sspon.06G0010860-3C transcript:Sspon.06G0010860-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G80770) TAIR;Acc:AT1G80770] MASPSSSRHDAPLPLSAREARRPPSPPQRLQGELDDMNGEQRKQETVGAFQKIPMVMPATDILMSAQRKSRNVPPTKGIANIAKRERNKGAKELDALMKELSVPLRTYTENFPKRRDLHPYERSLIELTFGEGYYEQVLGRVDTLRKRITSVGKQHASVCAKSTTKREAEERLTEGRKKLEEAFQHGKHAIDDLVNVAKALRSMPVVDLHIPTLCLVGSPNVGKSSLVRILSSGKPEVCSYPFTTRGILMGHIVSNHERFQVTDTPGLLTRHDDDRNNIERLTLAVLSYLPIAVLYVHDLSEDCGTSVADQYITYKHIKDRFGDRLWLDVISKCDLLGKKEPISFHDGDDDVAQYRRLGPEGALRVSVQSEIGVKELKERVHELLTSQMARIKASKAEHETLEIGTSVVY >Sspon.01G0002320-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1A:6388906:6389753:-1 gene:Sspon.01G0002320-1A transcript:Sspon.01G0002320-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding WKLMNVLEVGQEKKMAAARRTIDSFVAATVAKRRADKLKEGEGISNSFDLLSSYICHEDSSDANDDVFLRDTTVNLLLAGRDTTGAALSWFFYLISNNPRVEQKLLDELAPIASRGKGADDGADAASGMVTFDANELGSLVYLHAALSECLRLYPSVPFEHKVAAAADVLPSGKELKAGDKVLVFNYSMGRMKGVWGKDCMEFRPERWLNEEGTKLRYEPSYKFISFNAGPRTCLGKETAFVQMKTVAAAVLWNFAVEVVPGHVVEPKLSIILHMKNGLAVK >Sspon.03G0027750-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:5479687:5483759:1 gene:Sspon.03G0027750-3D transcript:Sspon.03G0027750-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPSQAPSNSGTHARARSGQLRRPAVFSLSLFVFAVSSPRSLVLMLPCSVPAGDPLYPELWRACAGPLVTVPRVGDLVFYFPQGHIEQVEASMNQVAGNQMRLYDLPSKLLCRVLNVELKVPSSSRRVFFYPPTVFRCQFRVYRAHFPCGEQFQARCLRVFRAETDTDEVYAQIMLMPEPEQTDVAAEKASSTSAASPRPAVRSFCKTLTASDTSTHGGFSVLRRHADECLPPLDMTQSPPTQELVAKDLHGMEWRFRHIFRGNLGSSHLPWFVPVVGENGELRVGVRRAMRQLSTVPSSVISSQSMHLGVLATAWHAINTKSMFTVYYKPRMRFEGEEAPEQRFTGTIVGCENLDPLWPDSSWRYLKVRWDEPSTIPRPDRVSPWKIEPASSPPVNPLPLSSSRVKRPRQNAPLPSPEASVLTKESAAKIDIDSAQTQHQNSVLQGQEQMTLRNNLTESNDSDSTVQKPMMWSPSPNGKAHTNFQQRPAMDNWMPLGRHETDFKDTRSAFKDGRTASQSFGDTQGFFMQAYDDNHHRLSFNNQFQDQGSAHRFADPYFYMAQQPSLNVESSTRTQTANNDLRFWSDQNTIYGNPGDQQQQGFSFGQNPSSWLNQPFPQVEQPRVVRPHATVAPFDLEKTREGSGFKIFGFQVDTTSPSPVQLSSPLSAIREHVVHVVQTRPSAPVNELQPVQNECLPEGSVSTAGTATENEKSIQQAQQSSKDIQSKSQGASTRSCTKVHKQGVALGRSVDLSKFRDYDELKAELDKMFEFDGELVSANRNWQIVYTDNEGDMMLVGDDPWDIVRKIYIYTKEEVQKMNSKSSAPRKEEPLAAGEGCAATNE >Sspon.02G0032500-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:120814166:120826501:1 gene:Sspon.02G0032500-1A transcript:Sspon.02G0032500-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGFLGALSSLPATKLGSIAIEAALKRANVDPAVVQEVFFGNVLSANLGQAPARQAALGAGIPNTVVCTTVNKVCASGMKATMFAAQSIQLGINDIVVAGGMESMSNAPKYIAEARKGSRFGHDTLVDAMLKDGLWDVYNDCAMGMCAELCADNHALTREDQDAFAIQSNERGIAARDSGAFAWEIVPIEVPVGRGKPPVLIEKDESLDKFDPAKLKKLRPSFKENGGTVTAGNASSISDGAAALVLVSGQKAQELGLQVLARIRGYADAAQAPELFTTTPALAIPKAIANAGLESSHVDFYEINEAFSAVALANQKLLGIPSEKINVHGGAVSLGHPLGCSGARILVTLLGVLREKGGKIGVAGVCNGGGGASALVVELA >Sspon.04G0025710-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:35216207:35221829:1 gene:Sspon.04G0025710-3D transcript:Sspon.04G0025710-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPIRLPEPPGVDGMETPEIFSGTGSGTGGATVVRRAVLIGNGSPGAENQCLGLARALGLADNLTLYRVTRPRGGINEWLHFLPISMHKFIDQVLRQFFSNTRFAIVIQGRKPYRVLNAGSVGLSAVLEADVKKIVTVARDTYEKEGPTLVVACGWDTISYSSLIRKVASDNVFVIQIQHPRSRLDRFDLVVTPRHDYYALTASGQQEIPRLFRRWITPQEPPRSNVVLTVGALHQADSAALRLAAIAWHDELAPLPKPLLIVNIGGPTRNCKYGVDLAKQLITSLYNVLDSCGSVRISFSRRTPWKVADIVFKEFAGHPKVYIWNGEEPNPHMGHLAWADAFVITADSISMLSEACSTGKPVYVVGTEHCKWKFSAFHKTLRERGVVRPFTGLEDISNSWSYPPLNDAIEVATRVREVIAERGWTVGR >Sspon.03G0000120-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:6977436:6980076:-1 gene:Sspon.03G0000120-2B transcript:Sspon.03G0000120-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-conjugating enzyme 27 [Source:Projected from Arabidopsis thaliana (AT5G50870) UniProtKB/TrEMBL;Acc:F4KAG5] MVDISRVQKELTECNRDREVSGVSIALHDGANISHLTGTIAGPADSPYEGGTFVIDIRLPGGYPFEPPKMQFVTKVWHPNISSQNGAICLDILKDQWSPALTLKTALLSLQALLSSPAPDDPQDAVVAQQYLRDYPTFAATARYWTEAFAKSASTGMEEKVQKLVEMGFPEDLVRSTLKSVDGDENMALEKLCS >Sspon.06G0004400-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:10938868:10942832:-1 gene:Sspon.06G0004400-2B transcript:Sspon.06G0004400-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MYHPTRGGVRGGRDQFKWDDVKVDKHRENYLGHSVKAPVGRWQKGKDLFWYTRDKKSDTEDALKEEIRRVKEEEEQAMREALGLAPKRSNRPKGNRLDKHEYAELIKRGSTAEDLGAGHAEAAQVQGLIAMRASRVL >Sspon.03G0042940-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3C:74110341:74117232:1 gene:Sspon.03G0042940-1C transcript:Sspon.03G0042940-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding HRGAQPATGNASTPSPPLHCAAGSPLRRLPFSERRCPCAATINVR >Sspon.06G0006370-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:20768698:20771781:-1 gene:Sspon.06G0006370-2B transcript:Sspon.06G0006370-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAAAAFNRAAFTARPLHRPPRPLLHLAGAEDALAGRSGAPLTRPRCSGSLSVGVGSYGSEHTPVFPRQQSWDPYKLLGVDHDASEEEVRSARNFLLKQYAGYEESEEAIEGAYDKIIMSSYSHRKKSKINLKSKLKKQVEESPSWLKALLGYFEVPSLEIISRRFAFFAFFAGWSIATSAETGPAFQLALSLVSCIYFLNEKMKNLVRASTTGLGVFVGGWILGSFLVPVIPAFIIPPTWSLELLTSLTAYVFMFLGCTFLK >Sspon.04G0018700-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:76237293:76238846:1 gene:Sspon.04G0018700-2D transcript:Sspon.04G0018700-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPAGPPTKAAPAPGSRPAGVAPAVVALAVALAVSSSPGPASEPLCNLPPTLSGEDGGGRQGEANRIRHPKSDRAARCTSKCVSTCVLGGYGAPGVAGPFNIRSLVECSDICNLLKDGEDDQ >Sspon.03G0005960-1T-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3A:16661897:16662136:1 gene:Sspon.03G0005960-1T transcript:Sspon.03G0005960-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRPSLKSKPKGKGGKKGAAADEDATAAATTVRLVKEWTTWTMKKAKVVAHYGFIPLVIVIGMNSEPKPSVFQLLSPV >Sspon.06G0021170-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6B:12833548:12833949:1 gene:Sspon.06G0021170-1B transcript:Sspon.06G0021170-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLSTARSSTDVRRHGTRRAIRGGGLAPLLDAGRLILERSGSAVLVRCRRGGSLVLRPPVLEPRSSMAFKVPLVRVTGDGATHLLPVVDWFLPVVPATVCCVAAGHAGRFSEPSPSTVLMAPHQWMLAFHCY >Sspon.04G0014350-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:59108763:59114516:-1 gene:Sspon.04G0014350-1T transcript:Sspon.04G0014350-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MCTKPLMIYLLLRLHSKDCSSKNWCLMCELEQYASTLRESGGPLSPSRILSNLRNIGCRLGGGTQEDAHEFLRHLVMSMQAACLDGLGGEKHVEPSLQETTLIQQMFGGRLKSKVKCLRCYHESERYENIMDLTLEIHGWVESLQDALTQFTAPEDLDGDNMYKCGRCSAYVKARKQLSVHEVPNILTVVLKRFQSGKYGKINKCVTFPDMLDMVPFVTGSGDNPLFISCMPWLYMWIQKMHHSLGTIYHMSKICRVQVVSANQVMSEGAYMLFYLRSFPRPPRIYIEKGLPVTSVKRHTSKSSKGSKHERKHTELLFSANDQAYGVYDFRPDGEGYTQDQHAELRSRDFHHRDDAFADSVSTDFSEATSSEWSLFTSSDESSFTTESTRDSFSVVDYGDNAGLDPISSIFGPSYAPEHPPGNFVSCTRFSPSNPQTRYFSESTGFVSDSSMPAHSSGIVHRGRYPDRACASSAEPLASAHQRSGYGRYPLSRDGFVQTSGFCQM >Sspon.04G0021520-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:473198:476097:1 gene:Sspon.04G0021520-1B transcript:Sspon.04G0021520-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHFQACMQIQATTSFPSEVKLRCGVVAPTQSKCKAEPLGLWGLGSVALATGARFMAMAQAAPPRRFTVEVGEGKNGGRRLRGGEPSAAHLLDADAHIFASSRSSLHLTLRPLLVPAARAWRPTGKTTHPRPFLSRHSWRHQKRKKSTSPPEVWGGAGGYRKPVGKKTLAPWWWASFQFPILSPFSFSSSCPAAVRLAHHC >Sspon.01G0027760-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:95117796:95119997:-1 gene:Sspon.01G0027760-3C transcript:Sspon.01G0027760-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding TIAKQNLDHPNPGANPTLSLPGSLPTSRHHRRHLLTCEDAARQPANGSLSARRLPSKPPGPSSTNPYPLFANPRLVRRRLALSGAGADQAPRRPASTPAAAGEGPSGSAPAAAATEDPVLVRVADDGVPLEGVIQIEKPGDAGAESKLVSYAKLGLLAGGDVFCLLLFSAIGRFSHGLPVLDAETFKTADPFIAGWLLSAYLLGGFGDDAKGRNGVGNAVITAAKSWALGIPLGLAIRAVTSGHLPPTPFILVTMGSTGVLLTAWRALVSQLLSNQQKQQDDVYRRGSPFELFE >Sspon.01G0001540-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:3369943:3374577:-1 gene:Sspon.01G0001540-1P transcript:Sspon.01G0001540-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASLKLASPKPLASAPSALLGGRRAQARPLPARRLPPPARVAVQPTAAPRIGSFDKVLEALIGGTDFSEEDAETTLRLLLDEKDEARISAFLVLLRAKGETYEEIVGLAKAMLSCCVRVDGLDDAVDIVGTGGDGADTVNISTGSTILAAAAGAKVAKQGSRASSSACGSADVLEALGVNIELGPEGIKRCVNEVGVGFMMSANYHPAMKIVRPVRKKLKIKTVFNILGPLLNPARVPHAVIGVYHENIVTKMAKAAQKFGMKRALVVHSKGLDEISPLGPGYILDVTPGKIENMLFDPLDFGIPRCTLEDLKGGDPAFNAKVLQDVLAGQRGSIADALVLNAAASLLVSGKVKNLHDGVALAQETQRSGKAINTLESWIKVSNSSRD >Sspon.07G0003720-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:9336071:9338145:-1 gene:Sspon.07G0003720-1A transcript:Sspon.07G0003720-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSSSMKRDISETHDTLRFGINAGVKADLAPPHPLQSTIQSCLKILTVSVNLTCTTEW >Sspon.01G0049610-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:113550079:113555568:-1 gene:Sspon.01G0049610-1B transcript:Sspon.01G0049610-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding HGRRRRRQIGGRRRRLAVRWRRRRLHGLLVRFRGARLAGRPASRRGAPGAGSARGSSTAAVAAVHGAAGGAGARSVGDAGASSSSSGDGDGDGDGAAPGNDDDDRKAAPAAGAAGRKPAAAAAAKKGQKRPRQPRFAFMTKSEIDHLEDGYRWRKYGQKAVKNSPFPRSYYRCTNNKCTVKKRVEQSSNDPSVVITTYEGQHCHHIGPFQRGGGGGGAAAARYHSAAAVALGEQMSSSSSSFIPARQLYSLPPLHPQSSPSSETPAATTSFHQHVNDVDELRRASYSSRVSMAQSPSTPSSVPLATISVEKAGLLDDMVPHGVRHGTP >Sspon.01G0038430-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:25850498:25854738:-1 gene:Sspon.01G0038430-1P transcript:Sspon.01G0038430-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDHGSSRHMSASQKELGDEDARVVRVGDAARTNERLDLAGNAVRTAKYSPLTFLPRNLFEQFHRLAYVYFLVIAVLNQLPQLAVFGRGASVMPLAFVLLVTAVKDAYEDWRRHRSDRAENGRLAAVLSPGAGPGGAQFVPTEWKHVRVGDVVRVVSDESLPADMVLLATSEPTGVAYVQTLNLDGESNLKTRYAKQETLSTPPEHLAGAVIRSERPNRNIYGFQANLELEGETRRIPLGPSNIVLRGCELKNTAWAVGVVVYAGRETKAMLNNAGTPKKRSRLETHMNRETLFLSAILVVLCSIVAALSGVWLHTHEEGLELAQFFHKKDYLRRDKDNDYRNYNYYGIAAQIVFIYLMAVIVFQIMIPISLYISMELVRLGQAYFMIRDTRLYDESSNSRFQCRALNINEDLGQIKCIFSDKTGTLTQNKMEFRCASVDGIDYSDIARQRPPGKGDRIWAPKISVNTDPELVKLIRDGADTEQGTQTREFFVALATCNTIVPMIADGPDPKKKVIDYQGESPDEQALVSAAAAYGFVLVERTSGHIVIDVLGEKQRYDVLGLHEFDSDRKRMSVIIGCPDKSVKLFVKGADSSMFGVIDKTVNSDVVQSTEKHLHSYSSLGLRTLVIGMRELSQGEFQEWQMAYEKASTALLGRGNQLRNVAANIETNLRLLGATGIDDKLQDGVPEAIEKLREAGIKVWVLTGDKQETAISIGYSCKLLTRDMTQIVINSRSRDSCRKSLEDAIAMVNKYQSFSTDPQLRVPLALIIDGNSLVYIFDTDWEEKLFEIAIACDVVLCCRVAPLQKAGIVDLIKKRTSDMTLAIGDGANDVSMIQMADVGIGISGQEGRQAVMASDFAMGQFRFLVPLLLVHGHWNYQRMAYMILYNFYRNATFVFVLFWYVLYTGFTLTTAITEWSSVLYSVIYTAVPTIVVAILDKDLSRRTLLKYPQLYGPGQREENYNLRLFIFIMIDSIWQSLACFFIPYLAYRKSVIDGSSLGDLWTLSVVILVNIHLAMDVIRWNWITHVAIWGSIAATWICVMIIDSIPIMPGDGNWVVLGTAACGNRCWMIPHFAAKAFSEYFIPSDIQIAREMEKSQDFHDVTHPEVQMST >Sspon.01G0022290-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:81863914:81870619:1 gene:Sspon.01G0022290-1A transcript:Sspon.01G0022290-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFFLLLHSVLMHVTAALVILVYIPLSVPVKLLVRAFVKPLRKEDLRGKVVLITGASSGIGEYAKEGACLALVARREQALKGVAAAALERGAPDVLVFPGDVSDPEQSRRAVEETVAHFGKLNHLVANAGIWSSCSFDQVTNITAFTKLMDVNFWGSVYPTYHALPHLKASKGKLIVCSSAAGTMATSRMAFYNASKAAQLRFYETLRTEVGSEVGITILTPGYVESEITKGKGIQKTGEVAVDEDARDAQIGVFPVGRVEALCEVALDGIRNGDWYVTWPSMYRPLQLIACLAPEVLDWMSKTMYKVATAAQGSRQPLGQRILEATGAKRLYPPSLLQPRIKTD >Sspon.05G0004460-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:8123332:8140965:-1 gene:Sspon.05G0004460-2B transcript:Sspon.05G0004460-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPKRRAAAAASSKKPQPSQPSQPAKFGILHFFERQTQASQNAKRQKPDPPAPPPPPPPPPEEEPEEVSPEVTKTLAPKCVRFSPGMLIKQSQDDGAAEVVTWKISPVNHRLGTAKSKQFLGMAPHPYSSEKKSSLEAMKKWHSSPLGLSRCTTSGRNSGVLGSALVGCDGVEDTQSPFRTPPSLSYGCNECMLSAKCECQSFRLVTFLFPEQQLSSGVTSEGGLEPLGAGQHKKALLDLLDQVEDAIMEEELPVDPGNKGGQATDKDNTNNSCSPVADGDLTIPSKKTINAPPFNSFLVLEVSEKHKADDSSCDRYPVKILRLLNEHSGKECAVHLCDEWFHSIVGPGDTVNVIGEFSNQGKCIVDHDNNLVIVHPELLISGTRVASSFHCPRRSVLDDRLKSNEYSTSALTGTLLHQVFQAGLLKDAPSRQFLEQQAKEVLLKNIETLYACGANESNMYSTLIEAIPKMLNWFKCFLKGSKCSNVDFGHNEGRKTVGVTEVMDIEEMAWAPRYGLKGVIDASVRSRVESCNGGSYDRIMPLEFKTGKGTSGQTAMEHSAQVILYTLLMTERYLNEDIDLGLLYYLHTDQTLGIKVKRADLIGLIMRRNELATEILKASLSQSFPPMLQAHGGNATTSGLGDLFDNLVNHLTVAHHSFLKHWDRLIDLEARISQVKKKNIFQPHNSNSRSGNSAVPYFVLDIKNGHSIDSSGKSKRYIYNFVQQKMQPETADQLDAQFDSLDISLKGGDPVVLSTQSGRIAVANGSIREISHSHITVSLPRRLRIPDSNSLSEQQDLNTLNLVQLFAQNPQNSQLRKLIVDLEAPRFDSGGLFSQDPALSYIRSLPNLNNDQQRSLHKILGAKDYALILGMPGTGKTYTMVHAVKSLLIRGESILLTSYTNSAIDTLLMKLKTEGVDFIRIGRPEAVHPDVRAHCLSTTEAQSVDAIKARMEQVQVVGVTCLGMYHPLLAHKKFDTCIMDEAGQITLPVSLGPLMLATKFVLVGDHYQLPPLVQSSEARENGMGISLFWRLSEAHPQAISALRCQYRMSSGIMELSNSLIYANRLCCGSLEIANAKLKFSGREPVHLKLKEILNPDRAVIFANTDQIPALEAKEHRTVNNPTEAHIISWIIKELLRRGVAQDAIGIITPYNAQVNIIQQCTDGLVEVHTIDKYQGRDKECIIVSFVRSTANSRASGSSLLGDWHRINVLLTRAKVLSTQSGRIAVANGSIREISHSHITVSLPRRLRIPDSNSLSEQQDLTREIWRIDKDEFSSSFAIMRLNLVQLFAQNPQNSQLRKLIVDLEAPRFDSGGLFSQDPALSYIRSLPNLNNDQQRSLHKILGAKDYALILGMPGTGKTYTMVHAVKSLLIRGESILLTSYTNSAIDTLLMKLKTEGVDFIRIGRPEAVHPDVRAHCLSTTEAQSVDAIKARMEQVQVVGVTCLGMYHPLLAHKKFDTCIMDEAGQITLPVRTNSEYLVSLGPLMLATKFVLVGDHYQLPPLVQSSEARENGMGISLFWRLSEAHPQAISALRCQYRMSSGIMELSNSLIYANRLCCGSLEIANAKLKFSGREPVHLKLKEILNPDRAVIFANTDQIPALEAKEHRTVNNPTEAHIISWIIKELLRRGVAQDAIGIITPYNAQVNIIQQCTDGLVEVHTIDKYQGRDKECIIVSFVRSTANSRASGSSLLGDWHRINVLLTRAK >Sspon.05G0008650-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:27871070:27873534:1 gene:Sspon.05G0008650-2D transcript:Sspon.05G0008650-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDTPRRARPSAAAGSRCEAQFAHASFTRRPSAPTIHRDAVESGSAGSEAAAVSAGRRRRARRSSGEVEAAMVISWCAEPETTADPEFKWRAVKPKAARMATAVPTAALPTPARAAPAPPRAPLRADPRYLPQLHAALIKSGELTASPKSFHSLLEAAAASPTLLPYAVSLVRLGPRPPPSTPCYNVLMRACLHAGHPEDALHLFVEMLGAASACPDQHTVACVLKSCSRMSALDVGRGVQAYAVKRGLMADRFVLSSLIHMYASRRDVATARLLFDAVEENGVVMWNAIIAAYLKNGDWMEVVEMFKGMLEVGVAFDEVTLVSVISACGRIGDSKLGKWVAGYVDEKGLVRNRNLMTALIDMYAKCGELGKARRLFDGMQSRDVVAWSAMISGYTQADQCREALALFCEMQLAEVEPNDVTMVSVLSACAVLGALETGKWVHSYIRRKRLSLTIVLGTALVDFYAKCGCIDDAVEAFESMPVKNSWTWTALIKGMATNGRGREALELFSSMRKASIEPTDVTFIGVLMACSHSCLVEEGRQHFDSMTQDYGIKPRVEHYGCIVDLLGRAGLIDEAYQFIRTMPIEPNAVIWRALLSSCAVHKNVEIGEEALKHIISLNPSHSGDYILLSNIYASVGQWKDAAMIRKEMKDRGIEKTPGCSLIELDGAVFEFFAEDSDHPQLRQIYQKVEEMIDRIKMAGYIPNTADVRLEVDEHEKEVSVSHHSEKLAIAFVLMKLDPGATIRLSKNLRVCTDCHSATKLISKVYNREIVVRDRNRFHHFKDGTCSCNDYW >Sspon.01G0005740-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:13582185:13588310:-1 gene:Sspon.01G0005740-2P transcript:Sspon.01G0005740-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MESYLKENFGGVQPKHSSDEALGRWRKVVGVVKNPKRRFRFTANLEKRNEATAMKRNNHEKLRVAVLVSKAALQFIHSMIPPHLSPECTTTFIVFFNHQSYHHFNSTGLAPSSEYKVPADVKAAGFGICAEELSLIVEGHDVKKLKSHGGVQGLASKLSTSESDGLTTSTDKLATRRDVFGVNKFAEAESRGFLVFVWEALQDMTLMILAACAFVSLIVGIATEGWPKGAHDGLGIVASILLVVFVTASSDYRQSLQFKDLDKEKKKITVQVTRSGYRQKLSIYELLAGDIVHLSIGDQVPADGLFMSGFSLLINESSLTGESEPVAVNAENPFLLSGTKVQDGSCKMLVTTVGMRTQWGKLMATLSEGGDDETPLQVKLNGVATIIGKIGLIFAVVTFAVLTESLFRCKITDGTYLSWTGDDALELLEFFAIAVTIVVVAVPEGLPLAVTLSLAFAMKKMMNDKALVRHLAACETMGSATSICSDKTGTLTTNHMTVVKACICGKIKEVDGASDAKSLSSELPDSVMTILSQSIFNNTGGDVVLNQDGKREILGTPTETAILEFGLSLGGDFSAVRKASTLVKVEPFNSAKKRMGVVIQLPEGALRAHCKGASEIILASCSKYLNEEGDVVPLDEGTIDHLKATINSFANEALRTLCLAYMEVEDGFSVNDQIPTDGYTCIGIVGIKDPVRPGVKESVAICRSAGITVRMVTGDNINTAKAIARECGILTEGGIAIEGPDFRTKSEEELTQLIPKIQVMARSSPLDKHTLVKHLRTKLDEVVAVTGDGTNDAPALHEADIGLAMGIAGTEVAKESADVIILDDNFSTIVTVAKWGRSVYINIQKFVQFQLTVNVVALVVNFSSACLTGSAPLTAVQLLWVNMIMDTLGALALATEPPNNELMKRTPVGRKGNFISNIMWRNILGQAFYQFLVIWYLQTEGKWLFGIKGDNSDLVLNTLIFNCFVLCQVFNEVSSREMERINVFEGILNNNVFIAVLGSTVIFQFIIIQFLGDFANTTPLTLNQWIACIFIGFIGMPIAAIVKMIPVGSS >Sspon.03G0027030-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:4782655:4785955:-1 gene:Sspon.03G0027030-3D transcript:Sspon.03G0027030-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding CMDIQMEVEHYIWVAAQENVLEREQELDACCHHDCGDGDDGRDDHDDAQAVHTNREHSSTTRRSSLRPEHATSPQGFLAVKGNSNFQPETATIHRGHPDPKPSIHQRTPSAKQTQQPPLGDHGRTEPEISQCGGGALTSELVARIRALLAAAPPREGREPEERRQQHGHPEHERRHTLQANHPRRPSASAAAEAAGATGPASSCASACAAARPVRRLLRLAGVLPHPAPRSHASRPAAADERGVRGRPAIAAAEWVGAVGPAAGGGSLSLPRSLLKEEAMEVGEEGERKGEVNRSEVKWNANAAEE >Sspon.04G0006330-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:14072158:14074248:-1 gene:Sspon.04G0006330-2B transcript:Sspon.04G0006330-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVLSSAQAMAVLTVASFFALLCISQQARAANYTFMKDAVHAPRMADYDYIIIGGGTAGCPLAATLSDRSRVLLLERGGSPYEDARVLSMAHFSDVLADTSASSPSQRFVSEDGVINSRPRVLGGGSCINAGFFTRAGAGYVRAAGWDPREVRAAYRWVEDVVAFRPALGPWQAALRRGLLETGVLPDNGFTYDHIPGTKVGGSIFDADGRRHTAADLLRYANQDGIDLYLRARVSRILFRYKGTKPVAEGVVYHDSRGNAHTAYLSPGAASEVILSAGALGSPQQLMLSGIGPADHLRSLGIDVVLDLPGVGQGMSDNPMNAIYVPSPSPVEVSLIQVVGITQFGSYIEGASGANWNSHPSGTQPPPRNLGMFSPQTGQLATVPPKERTPEAIARAVEAMSQVPDAALRGGFILEKVLGPQSTGHLALRNLNPDDNPSVRFNYFAHPDDLRRCVAGISAIERVIRSRAFSRFTYPNFAFPAALNVTADFPVNTLYRRGGGDPRALEQFCRDTVMTIWHYHGGCQVGRVVDRNYKVLGVEASASSTAPPSTPRRAPTRRPPS >Sspon.04G0028400-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4C:67917426:67917830:-1 gene:Sspon.04G0028400-2C transcript:Sspon.04G0028400-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLGGRTCSNDERGHRDGGVCIGGDQRAHPGASRGLVGLFGVATVTVAGVLVTIMYRTFVHKDLFPNDVPIAVAQRPKAKFGKILVHFGASGDGVKDLIFAVSRHGAGGGSDTNRASESPSAVARARGCCRAKP >Sspon.04G0016680-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:67195175:67201746:-1 gene:Sspon.04G0016680-1T transcript:Sspon.04G0016680-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAILPELATQVLIPVAAAVGIAFAVVQWVLVSKVRLTPERRADGRAAKSGPSDYLIEEEEGLNDHNVVVKCAEIQNAISEGATSFLFTEYKYVGLFMGIFAILIFLFLGSVEGFSTKSQPCHYSKGKTCKPALANAIFSTIAFVLGAVTSLVSGFLGMKIATYANARTTLEARKGVGKAFITAFRSGAAMGFLLAASGLLVLYIAINLFGIYYGDDWEGLFEAITGYGLGGSSMALFGRVGGGIYTKAADVGADLVGKVERNIPEDDPRNPAVIADNVGDNVGDIAGMGSDLFGSYAESSCAALVVASISSFGINHEFTPMVYPLLVSSVGIIACLITTLFATDFFEIKVVNEIEPALKKQLIISTVVMTIGIALISWLGLPYTFTIYNFGDQKTVQSWQLFLCVAVGLWAGLVIGFVTEYYTSNAYSPVQDVADSCRTGAATNVIFGLALGYKSVIIPIFAIAFSIFLSFSLAAMYGVAVAALGMLSTIATGLAIDAYGPISDNAGGIAEMAGMSHRIRERTDALDSAGNTTAAIGKGFAIGSAALVSLALFGAFVSRAAISTVDVLTPKVFIGLIVGAMLPYWFSAMTMKSVGSAALKMVEEVRRQFNTIPGLMEGTTKPDYATCVKISTDASIKEMIPPGALVMLTPLIVGILFGVETLSGVLAGALVSGVQIAISASNTGGAWDNAKKYIEAGASEHARTLGPKGSDPHKAAVIGDTIGDPLKDTSGPSLNILIKLMAVESLVFAPFFAAHGGILFKWL >Sspon.03G0011970-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:49276505:49280100:1 gene:Sspon.03G0011970-2C transcript:Sspon.03G0011970-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LARSSNHVPDSREDGSVLVVLVVIIFAILGIVYAFVAATIAVQRILQRHYHILVKKELTKEYVVQDLRGGYTPPKMDPEHEQHLKMLRLMNNKTPHSTVHSGRHFTFTKPKPSPLGSALHAAGEHGPGGGWRIASIRSIRVFGGEDEGTHTALQFAYESLPPQLATPDAPLASACAAWSGAPDDGVDRISSLPDDVLRNIVSRLPAKDAARTGVLAPRWRGLWRSVPLVFVDAHLVPGCRANPLWRPGVEDTLGVTNVVSYVLAAHRGPFRCVQITCCYLDLTTEKVDGWLQLVAGQGRPRTRLYQPTVAARHPSPDHALQLHLAHPPAHRRLEVPQHRRPSAHRHLSPSRGADLAFLLDRSPVLEVLTIIASQTDVRLHLVSHTLRCLQLACLPWGTSLWRMLLAWR >Sspon.07G0002940-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:7269403:7270790:-1 gene:Sspon.07G0002940-1A transcript:Sspon.07G0002940-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSGGRWTRVRMLGRGASGAEVFLAADHDSGELFAVKSAPASSPGAELLRREQGVMAGLRSPHVVPCIGGRAARDGSYQLFLEFAPGGSLADAVARNGGRGLEERAVAAYAADVAAGLAYVHGAGLVHGDVKPRNVVIGGDGRAKLADFGCARSAEAVSGPIGGTPAFMAPEVARGEAQGPPADVWALGCTVIEMATGHAPWRGVDDVLAAVRLIGYTDAVPEVPRWLSADAEDFLGRCLARRPSDRATAAELLVHPFIASASGTSDCRAAQDLDAAAKGRWVSPKSTLDAAFWESDSDDDDHDAVLAQSTAERIGALACPASALPDWDSDEGWIDVLSAPAEASSSDATSAPADEDVPCVDDGRAGSEEEVVRAEGAEPLDIDVDGAHNVGEADASSDHEWHLHNR >Sspon.04G0032570-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:28273404:28273808:-1 gene:Sspon.04G0032570-1C transcript:Sspon.04G0032570-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding EAHRFAVPLAVSPPLPLLSLSPLLFSTLLVIATGRYSSSREAHGRPQARRKCRRLVSVARTARRDTLSRSAGKLSGAATLLQELAAGVRGDRRPAPAVRGARGA >Sspon.05G0015630-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:61119657:61121647:1 gene:Sspon.05G0015630-1A transcript:Sspon.05G0015630-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPWEAMERENHVAERGLVPVSTGDVQGQHDHGGAAKTDGFVRRDQSCRYASSDIPSDLLVKVGGVNFHVHKHPMVTRSSRLARLVDDASALHGPDVVTVLELPDLPGGHGAFELAAKFCYGVVVDITAANVAVLRCAAEYLEMTEEMEEGNLAFRAEAFLTYVVASSWRDSIVVLRACEGLSPWADDLQLVRRCSESVAAKACTSPRAVRWAYAGARTSPRTAGTSTGNSGSPPADWWVDDVCVLRIDQFVRVVTAVQARGMRPDLIGAAITRYASKWLSSAGLVNMEIPASRAGVGVLQMVIAGEADNTNTPTAETASEQRRIVESLISIIPPQKDCVSCSFLLRLLRLAVVLKAAPALVTEVEKHVGVQLDQAALPDILVPSYPYARSEAAYDVDLVQRLVEQFVVQQSGRGKEKQEQQQQHGSKALRVASLIDSYLSEVSRDRNLALGKFQALAESLPESARVCHDGLYRAVDSYLKAHPAVTEHERKRLCRAVDCGKLSREVRTHVAQNERLPLRVVVQVLLSEQAKMAGALGRVGKKEDDVNALRLEVESVNAKYMELQREVELLQGQLEGMLPPSAAAKQQNVSAGWTSGWKKLGRLGRIQVEQPVVTAAPDETRSREPRRRRNS >Sspon.05G0023650-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:16617787:16619401:1 gene:Sspon.05G0023650-2D transcript:Sspon.05G0023650-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNAGEIQLQIAGIRGQEAGGDDHGHRAENGGACRATEAPRPPLSKRLAWWAVVLINIVFILGGQGVATLLGRIYYDQGGNSLWMQTVVQSCGTPLAIPLLLYFRFRTRPSSSSVAATANRPPLVKLAAIYAGLGVLLACDNLMYSYGLLYLPMSTYSIICASQVSFNAVFAYFLNKEKFRVLVLNSVVLLTFSAALVGVNHGSDETGSSIPKGKFPAGFALTLSASALFSLILSLTQLTFDEVLKNDTLRTVLEMQFWSNTAAACVSVAGLFASGEWRTIAGEMEAYKKGEVAYAMTLAWTAVSWQLCTMGLMGLVAAVSSLFTNVISTVGTPLSPVIAVIFLGDRMDGVKLMAMLIGVWGLLSYVYQHYLDDRAAKKIAEKSDEQYQAAKISAE >Sspon.06G0015820-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6A:86535677:86538335:1 gene:Sspon.06G0015820-1A transcript:Sspon.06G0015820-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMVNCGGVAFSIALVFIAAVITKVAWGRKTGDPASSCNNTLPRPPVVITGITSAILLLHTLCTKGFRAMLQEQYTKFGSVFTISFFGVKTTFLVGPEVSAHFYQGLESEISHGNILEFTVPMFGKDVAYGVDIATRTEQNRFYVDALKPAKLRCHVAPMLQEVEKYFAKWGQQGTVDLKQELEQLLMLISARCLLGKEVREKMLDEVFSAFHELTENSLQLTSLLFPYAPTPTTRRRDRARARLSSIFAEIVRSRKSSNRVEEDVLQNLMDSKYKDGRPTTEAEVTGLIIAILFAGKHTSTTTSTWTGARLLSHTECLEAALEEQQQIVKKHGDSIDYDTLLEMSFLHCCIKEALRMHPPAPIFLRKVHKNFTVRTIEGYEYEILRGHTIASPLVINHNIPHIYKDPDVYDPHRFSHGREEDRVGGKFTYNAFSGGRHACAGEAYAYMQVRVIWTHLLRNFELKLVSPFPETDWLKVAPEPRGKVE >Sspon.02G0031700-2D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2D:90159594:90160061:-1 gene:Sspon.02G0031700-2D transcript:Sspon.02G0031700-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVELEAAFAVASVLAVVTVAALLRACSRRAAPAPPLARRRPSSSSSSAAVFVGGVEDVEAGLDDAALRALPKLVYGDEEEDGAVAATKAPPACCAVCLGEYATGDVLRVLPQCAHAFHQRCVDRWLRLHPTCPVCRSPPVTTPVVATAKPLPPPS >Sspon.02G0016280-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:50857845:50859491:1 gene:Sspon.02G0016280-1P transcript:Sspon.02G0016280-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAFVLAVAGAALLLGFLYIVKNRRSRSKLPPSPWSLPLVGHLHLIGRLPHRSLHELHLRYGGDGGLLLLQLGRRRTLVVSTAAAAADLFRNHDLAFSSRPYNAAAHKQTYGSRNISFSPYGDHWRRAKKVAVVHLLSQRRVDAFAPVRQAEAAALVARTRRAAEAGEAVQLKELLYGYTNSVVTRAAAGTAGTTAERLRQLLSHSATLLAGFQADDVLPDAAARVFRWATGLEKKIDDMNAGWDRFLSEIVAEHEKKTRPAGQGQGGDFLDVLLQLREEGGLEGFELTDDDAIKAIAKDMIAAATETTAVTLEWAMAELARNPRVMAKLQDEIVRVAGNSEQQTAIGDAKLNRMVYLRAVVKEVFRLRPPVPLLLPRESMTAAAVQGGRYEIPAKTALLVNAWAIGRDPAAWDAPEEFRPERFLAGSEAKAVDLRGTDYQLLPFGAGRRICPGISFARAALELALASLLRHFDWELPSGMRPADLDMVEAPGLSTPPRVPLVLVPKLKPLA >Sspon.07G0015790-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7A:56172314:56174911:1 gene:Sspon.07G0015790-1A transcript:Sspon.07G0015790-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCWGAKISSDSPSRAAISPSGTTSKFTSRNGAAALSGCSSHASSASMLPTPRSEDEILESANVKAFTFNELRTATRNFRPDSVLGEGGFGSVFKGWIDEKTLAPTRPGTGMVIAVKKLNQEGYQGHKEWLTEVNYLGTLSHPYLVKLVGYCLEDEQRLLVYEFMPRGSLENHLFRRSSYFQPLPWNLRMKIALGAAKGLAYLHSDEAKVIYRDFKTSNVLLDANFNAKLSDFGLAKDGPTGDKSHVSTRVMGTHGYAAPEYLATGHLTTKSDVYSFGVVLLEMLSGRRALDKNRPNGEHNLVEWARPYLRSKRRIFRILDPRLGGQYSLARAQKAAALALQCLSVESRHRPSMDEVVTALEQLQDAKEGGNHHLQKRPSSRSMDNNGVKAAVKGKPAPSVKPV >Sspon.01G0031300-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:116341156:116344129:1 gene:Sspon.01G0031300-2D transcript:Sspon.01G0031300-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSRSNSRSRSRSHSRSRSRSRSPRRRDRLRSERAPRRSRSRSRSRSRSPYRRRERRGYRDLVCKNCRRPGHFAKECPSAPTCNNCNLPGHFAAECTSQTVCWNCKESGHIASECKNEALCHTCNKTGHLARDCPTSGANVKLCNKCFKPGHIAVDCTNERACNNCRQPGHIARECKNDPVCNLCNVSGHVARVCPKTTLASEIQGGPFRDILCRICGQPGHISRNCIATIICDTCGGRGHMSYECPSARIFNRGLRRF >Sspon.01G0017900-4D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1D:63277744:63280113:-1 gene:Sspon.01G0017900-4D transcript:Sspon.01G0017900-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exocyst complex component [Source:Projected from Arabidopsis thaliana (AT3G56640) UniProtKB/TrEMBL;Acc:A0A178V8H8] MTAQTKKRGATENGDGGVGLGLAAFIANNEDVGPIVRHAFESGKPEALLHSLQNIVKMKEVEIEEICRLHYEEFILAVDELRGVLVDADELKGTLSDENLRLQEVASALLLKLDELLEIYSVNSNVGEALATLKICLQVTSLCKICNRDIAEAKLLSALKTLELIEKDYLQNIPLKLLKKVIHKQVPMVKLYIEKKVCSEFNEWLVYIRRTAKEIGQVAIDQTSLTRQKDEGMCARQREAEESSRVGFNVNAYTLDLEHMAEEAMLEFDLAPVYRAHHIHIGLGLGEKFREYYYNNRLMQLNLDLQISTSQPFVESYQPFLAQVAGFFIIEDRVFRTADGLLSESQVDTMLETAISKVTLILEEQFSRIDAANHLLLIKGFVSLFGATLKKYGYRSMSLVEILDKTRDKYLELLLSDCRKKLNYVFSKDSYERMVIKKENEYDTNIAAFQLEPVDGVPNLPYVAPFSSSVPNACRIVRSFIEELVSYLSHSGSTNIYDVVKSYLDKFLIETLNDGFLNLIHGGSLEFPQVVQIAGNIAILEQSCDMFLWHAARLCGVPRCLLEKPHSGLTARAVLKASQNAAYNGLITLVNSKIDEFMLQLTSINWTLEEAPEHANDYMNEVVIYLHDVVSSGQQIVPRDALFKVVSGALSHISDSITTVLLSDRVKRFNTNAVASIDIDLKVLEGFADDTYRMTGFSDLRKETSFRDCLAEIRQLINLLLSNQPESFVNPVTREQNYGALDHKKVAIICDKFKDAPDSLFGSLSSRATVQSARKKSLDVLKRRLKDFS >Sspon.03G0011060-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3A:30053930:30057767:1 gene:Sspon.03G0011060-1A transcript:Sspon.03G0011060-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPTAVFLSAAAPSFSSRRRRSRLPAISASLSPSSSSSSSSEEPLLVRAARGEDGLPRPPAWMMRQAGRYMAEYQALAKRHPSFRERSENTDLIVEITLQPWRAFAPDGVILFSDILTPLPAIGVPFDISDSKGPVIQSPVRSEEQVRELVPIDLDMLQFVGESLKILRNEIVSRIKKECPHVPLVFYINGNGGLLERMKDTGVDVIGLDWTVDMADGRRRLGNGISVQGNVDPAFLFSPLPVLTDEIHRCDPNLANRVLTEGMFPAPYFFTAAGGLEMGPTPALLLQARCLPVPFVCSSPVLLRTLVSLVAALQPGRGRAPCMRRAPAARRIAVCAQPLHRVPSLESALCIGYYGRKVVVDMPFAGSSLLACIHGYD >Sspon.02G0018230-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2B:60028891:60033613:1 gene:Sspon.02G0018230-2B transcript:Sspon.02G0018230-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At5g50280, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G50280) UniProtKB/Swiss-Prot;Acc:Q9FGR7] MALLAQQLPSFSLACPTLRTLHLGCPLKSSPSSKCFLHSHSQFQTWWPRSRPAAYPPHRFIEGQGSQGNDYGDEAVGFWGGPATHATGEDSDDEGGWLGPTRGGASEDEDEGGGEEVGQWDPPVSFFRAQREEPHQEEEEDEDEECEWLDPTSFLRSQDGVSSVCTTTAAMEEILAFAGCPAAAGEQGFAEFMARYNHGKLSEEECVELMWRMSEEGLALGCARLFQWLREKQPVPVSPMAWLTAFVSLGRCDMADVVLEIVARLPLERDFREAVLYNAAISAVAYCRRYDGAWEIFELMEKNNVQPDHRTSAIMLNIMKKSKASAKDAWEFFQRMNRKGVNWSFDVGAALINIFCFDGLKKEALIIQSEMEKRGIASNTSIYETLMKAYCKSNQIEEAEGLFVEMKEKGLQATTTTYNILMDAYSRRLQTEVVESLLLEMQDLGIRPSAGSYNCLISAYGRQKKMSGKAEDAFLRMKRDVNGLHEKVHTIYVDMKREGLKPTLETYTALLDALRRAGDTEMLMETWKTMVDEKARDVIYEFGKIGLKPTVMTYNILMNAYGRGGQHYKLPQLLKEMAALELKPDSITYCTMIYAYARVRDFSRAFYYHKQMVRSGQIPDPRSYRKLLNTLDVKAARKNIKDKSAIQGMIKGKSGLKPRKEKKDEFWKNRKKRSMLNHGHQRKGIL >Sspon.05G0019760-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:84996839:85000296:1 gene:Sspon.05G0019760-1A transcript:Sspon.05G0019760-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQLPAPASRLWEASIRKLQTIRRATTTAGPVPDGADAGAAAALTPSLLHVSSSASSTIYQYHHEDGEDSDTSTDGGNDSDGAGEEEDAAALGEPTQAEQLLPSGDFYQGDLRGDLPHGAGKFLWTDGSMYEGAWRRGRASGRGKFSWTSGATYEGDFAGGYMHGQGTYIGEFGDTFAGLWANNLRHGRGTQAYANGDVYDGHWRDGLQDGHGRYIWRHGHEYIGTWRAGDMHGCGTVIWADGDRYDGAWEDTRPKGQGTFRWADGGMYIGTWCEEAGAVHADGVYYPPSGGPAVPVPREPCDPITALLQELEVCEGKTPSLMPSQKILTWPGVEPVQKKPVWRPPKISPDQGRRSSVSRRSSASLDLDILQAAAEGGESEEARADRSCLRTSSCMRTPPRPGKKQGETISKGHRNYELMLNLQLGIRHAVGRQSAPTTLDLKSSAFDPKEKVWTRFPPEGSKHTPPHQSCDFRWKDYCPLVFRTLRKLFDVDPADYMISICGDEALRELSSPGKSGSFFYLTNDDKYMIKTMKKSEVKVLLRMLPAYYKHVRAFENTLITKFFGLHCVNVKLTGAIQKKVRFVIMGNLFCSSYAIHRRFDLKGSSHGRMTDKPIDQISEHTTLKDLDLNFIFRLPGTWFEEFCRQVDKDCELLEMERIMDYSLLVGIHFKDRCKDNSNGDNGTSHNAEDSEENRKGSLKLGICMPSRVENVVKNPESESPLIGEPTGEFQEVILFFGIIDILQDYDISKKLEHAYKSMQYDPNSISAVDPKQYCKRFRDFIFKAFADD >Sspon.04G0016330-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:66810379:66811434:1 gene:Sspon.04G0016330-3C transcript:Sspon.04G0016330-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAGHAVAPKFGSWDAENIGYTVFFEKVRDNKAPPAAAPAPAAPKPSATGGNGGYDFDPYEHYESLSRKVPSRPPSSHGGRSAAGYDFDPYEHYDSLSSRNVPSRPPSSHGHGQAPAAGYDFDPYEHYENLSARNVHSRPPSSHGHAHAHAPAPQPQPHQRQHPHPGHGYHRRTGSNSSNAASEASSRGSKFSPPRPYQPRYTSSNSNSGTSFPPQPKGGGGGGHGHGAQYHHQGAPRAVSASPSPPRHHHHPPPPPPRRPKPSAVPRFGVWDEQNAAMAAQGFTVQFEKVKRHREEASRTAPAPPPVQPPKLLSPDHAAAAPRARRHGKRKAERSFVSRMYRCLFPRVRE >Sspon.08G0004810-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8A:13835513:13837183:1 gene:Sspon.08G0004810-1A transcript:Sspon.08G0004810-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ERCRSPIPLSVDIPYVDGYPALANPEMNDVSFETPGCKKSTQEHYLSYLSFAPPEVTFGKFQADEQGWVDTIKSVKLGGIVRMNTMDWLGQSQYATQTVYWSSLSSAISEVVFSKNATVRLLVAYWAHFIPNTEKYLKSLLYSNILCSSSTYNHCGGKVEIKYYVVPGYNETGPALSQGGTATGNHYPGFTRVNHGKYAVSDVRANIGTSNLIWDYFYTTAGVSFGTYNPNIVTQLQDIFDAD >Sspon.03G0016920-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:77640218:77643483:1 gene:Sspon.03G0016920-2B transcript:Sspon.03G0016920-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPALAAAATSPASSPLTLDAIPLASRPATGGAAAPRKRSVLLLDHRPPPASPTPPLLSSTAATAAAATAAAAAAAASAPHARRKKTSHPPRPRWQTVLSIAAKNATLLAALLYLGGLAWRWSHPPPPSPPTDRAALEGYAARVDEVEASLARTFRMIQVQLEAVDRKIDAEVGAARGDLLALLEEKRLALEGQLTRLDARAGELGDALDGLKRMEFLRKDEFEKFWDEVKGSLGSGSGSEVDLDHVRALAREIVMREIEKHAADGIGRADYALASGGGRVVRHSEAYLPKRGSLMAWMSGSDVVPKPEKMLQPSFGEPGQCFAMQGSSGFVEIKLKSGIIPEAVTLEHVSKDVAYDRSTAPKDCLVSGWYEETPGETQSGHAAKMALAEFTYNLEKNNVQTFDVSPPDVGVINMIRLDFTSNHGSSQLTCIYRLRVHGHELVSPATAGSQA >Sspon.06G0030850-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:55128640:55133506:-1 gene:Sspon.06G0030850-1C transcript:Sspon.06G0030850-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Replication factor C subunit 2 [Source:Projected from Arabidopsis thaliana (AT1G63160) UniProtKB/Swiss-Prot;Acc:Q9CAM7] MAAAASAAAPASTPAPADADADDIPWAEKYRPTRVADVVGNSDAVARLEVIARDGNMPNLILSGPTGTGKTTSILALARELLGTSYREAVLELSASDDRFLPPGLDVVRNKIKMFAQKKVTLQPGRHKIVPYVPEGLDSIIFTADGDMRQTLNNLQGVRSTTSLTRQEHGEKHA >Sspon.05G0029640-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5B:81526277:81529006:-1 gene:Sspon.05G0029640-1B transcript:Sspon.05G0029640-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding TMQGSALFDFYENFFGMNPFWDMERPIFLSRSIILERLGHFHTSLKKSVFLNLVRPVRDKKRRRRIDVKLSPHAGGSIKIFGDAYPNGVWGELKRGVASRISGNLVALASFNGFFIDYYADKCPAILTSASLVRNLDGTIIEGLKAGIGGPLVDVNGKFVGMNYYDRNMGTPYLRFDLLCGILNYFKTGETKYDKILPNSRLPGREAHIVKDGEKQPPNR >Sspon.07G0023240-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:10944761:10945988:1 gene:Sspon.07G0023240-2C transcript:Sspon.07G0023240-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHQIFSFYTNPPSLALTPQTHQRYSRPKAMFHPSSSPPNYSDLSMHHAVSFSSAVPTAPTEIPREGFFQGNGGLLALPNVAASAPPPYPSSLPSYYIHRNINSHFLPLHLQLSEQLSSNATLCCSSPSASARQLPLPHVPSSPSSSSGDLLEFSTGAMRRVFSTGDLQVMNVAASPPPPPLSGDLHGQDAGGPFTQKVGRYSAEERKEKIERYRTKRNQRNFHKKITYACRKTLADSRPRVQGRFARNAETEAEAVAGHERENSDNNIYEHCHYSDLTTTNSSSSSCCYDIQCRESGKTTTFVDGKWWWEAPVAVPAAANGNHGHHQYQQQLLDFDIDMDMDGEDLWASLAD >Sspon.08G0030300-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:57457131:57460761:1 gene:Sspon.08G0030300-1D transcript:Sspon.08G0030300-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVIICDVLVSWLIQFACPNLGKASHRQRSLSTLHIHHIWQATTPPHLHYILGSGVEANA >Sspon.02G0009130-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:28729883:28732635:-1 gene:Sspon.02G0009130-1T transcript:Sspon.02G0009130-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAAALDAMAGTRWGRWLGLVTAVWVQCISGNNYTFSNYSHALKTLMGLTQLQLNGLSVAKDVGKAFGLLAGLASDRVPTWLLLAVGSLEGFLGYGAQWMVVSETVAPLPYWQMCVFLCLGGNSTTWMNTAVLVTCIRNFRRSRGPVSGLLKGYVGLSTAIFTDTCSALFADDPASFLVMLAVVPAAVCALAMVFLREGPAGGGAAAGDDEDDGRCFAAINSLAVAIALYLLAADLTGIGGNGGVVSAVFVAVLLVLLASPATVPALLAWKSWVKTRKAANADLEEADSLAAVAAPLLLAAKAAARTEVEARAPGERPRLGEEHTIAQALTSLDFWLTFASFLLGVGTGLAVMNNLGQMGVAMGYVDVSLFVSMTSIWGFFGRIASGIISEHFIKTRAIPRPLWNAASQILMAVGYIVMALAMPGSLFIGSVVVGICYGVRLAVTVPTASELFGLKYYGLIYNILILNLPLGSFLFSGLLAGLLYDAQATAVPGGGNTCVGAHCYRLVFLIMALACVVGFGLDVLLCVRTKRVYAKIHESKRLSRSAVAQRVG >Sspon.01G0014160-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:40717266:40718527:-1 gene:Sspon.01G0014160-3C transcript:Sspon.01G0014160-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFSSRSKVLALGALIFSLLVTYGSCARPPVNFTASDFTADPNWEAARATWYGAPTGAGPDDDGYVRVSFVFVMHCMCCAVACWLNARGGACGFKNVNLPPFSAMTSCGNQPLFKDGKGCGSCYQIRCQKHSACSGNPETVIITDMNYYPVAKYHFDLSGTAFGAMAKPGRNDELRHAGIIDIQFKRVPCNYPGQKVTFHVEEGSNAVYFAVLVEFEDGDGDVVQVDLMEASSGNWTPMRESWGSIWRLDANHRLQAPFSLRITNESGRKLVANRVIPANWAANTYYRSIIQY >Sspon.01G0061270-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:92406393:92418002:-1 gene:Sspon.01G0061270-1D transcript:Sspon.01G0061270-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRGSSPTVSTSTPSKKIQIATLIPVSNAQPLAAIPPRPHSYSQSYFAEPVLAALPQPPLLEDKPSKGPIVKLPAGTKLLPPNHNETPVGVKTNKKGEIVCTKYNTGERTYFEGVRAHPSKVKFLPPEEAPKHVLAKAEAPRKRRKTLADLEKLIEAQQSTISSIEATLRLQSRCFLRTHEKVEDIEKDNKKLEKCIHNTRTLHVEAGFKNPPQVGRLPRPRDGHPGGCAMRQSSYMPQRGLQIPHILSDLRVPHEVEENDLVEFGTADDQEYEEIVKEFEEEIFVPEEFQEPPETDTTDLAPAQGNPRIKAVDTVAVIHLPIYNKWEQNPEYEKEKSRVRQEPHVPGADRLPFGLIIAPPCPTTPAPVQCAAGNPLGPNNLLASRSKGTLFGQLNGENVPGIASLEGTQAVIGALRKLLNVLLVGFGHLLRLHLKLLLGLLGYDDWILGLRSCMMQAVTLVQAARPKELQKRLAVTNGGAAERLPGGKRSPAKLGRGEGLTGSGEAVRCCAYHGDEGGTRCNPIELKAKWQQTPGRVCRATTGRVCRSREGKVLSVPRLSVRQSRALDASDASDIDEMTVGVLACGDAWPQQERQTRTRRLSCYSPPSSNQDLSRQSCSYCARGGAEANGGEMREAGRCGWLEAVSARSGGVYRAPPGRRRRHAAATWRGEPDVVGRVCARGRKTARCGAGLGHGGQAGPKEGARARGGKSSRLWPAGQMGGGSPLGAP >Sspon.02G0023540-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2B:79842670:79843950:-1 gene:Sspon.02G0023540-2B transcript:Sspon.02G0023540-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGPLRQGATLRSGGGEREEAAPDWASLHVDLTQLISARVLATGGFLDYVRFRAVCSHWRAAAASPRGRALLDPRFHPRRWMLFPEGFGRFPGHRALGVYVRFFDLSAAGPIVRVPLPELKHHCVLDSPDGLLLLLRDGDSAVRLLHPFTRDVAEFPDLKCLAHQLYEMDYELTGVDPWYSFASRLQNTFGCLYQARKLCAAVNVTATGAITVMLALHSIGRVAFASAGDAEWTISSWKMNQLDRALSYRGKLYVVNWEDGLTHVLQIDPPQLVPQCDGEDSSPLLALPPPKTTATCSSEEIHLPCLVELDSEIMLVGYNDSSFSHILVLRLADLVLGRTVRVKSIGDHVLFVGARSLCVSPSWLPSIGGNSIVCFHAGENYLAQYHLDSGTWSVASDGHLMLSPPPRPCGLIHHIFTCCDRQFW >Sspon.07G0020010-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:66530379:66533552:1 gene:Sspon.07G0020010-2B transcript:Sspon.07G0020010-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASALLLALYLAPVLSLCLSSVGAVVGEQQRLLGEARAPGFAAWLRGVRRRIHQRPELAFQEHRTSELVRAELDAIGVPYTWPVAQTGVVATIVGGGGGTSGPTVALRADMDALPVQEMVDWAYKSQESGKMHACGHDAHTTMLLGAAKLLQDRKGDFKGVVKLVFQPSEEGYGGAYYVLQEGALDDASAIFGLHVDPALPVGVVASRPGPVTATAGRFLATIHGKGGHAAMPHESIDPVVVASTAILGLQQIVAREIDPLHGAVVSITFVKGGEAFNVIPESVTFGGTMRSISDEGLSYLMKRIKESSAHHCTASVDFMKEKMRPYPAVVNNERMYAHAKAVAESLLGEKNVRVAPQVMGAEDFGFYAQRMAGAFFTIGVGNESTMVAVKQPHSPYFVIDEDALPVGAAFHAAVAIDFLKKHASL >Sspon.04G0010740-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:32671055:32672008:1 gene:Sspon.04G0010740-3C transcript:Sspon.04G0010740-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSTTTVEDLPADVLACALRRLDGPSLAAASCATAGLRALAVDPETWRALCLARWPSLAARPDLLLSSSAAVSPQRLFADAFPFPWVAIDPASGRAVNVSSRRPVAVDRHWYTGDTLVRYAVVLGGCKFEATVTCSQETGHVREISLTVEDADGAAVSGEGTLRLLAAAMAGPRKGGAEEQEEAAKRRYEDFVRSKRGRKESKARKEVLVDLCCSAVSAVAVLSFIATVVLR >Sspon.08G0007040-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:22487042:22492024:1 gene:Sspon.08G0007040-3C transcript:Sspon.08G0007040-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPRPRIRAVRRGPLLLFLLVPLIYSVSRLHPWAPEKGVCLPPPTALKRPDRLVLGPAAGQGRPDRLQCQGLRALNKIGLSSEENYSGEHISFVTVFTTYNSVPAGDGNVPSDSVTVGNHSYSKIERSMAILNTFISFIKVSMPRSNVIILTDPGSKISVNQGSATLLPIEGNYSRGNLMLQRIKTYIAFLEQKLVEFDRMEGLNHFVLTDSDIAVVDDLGHILKKYPHCHLALTFRNNKGQPLNSGSRYIKASRMLGDQLALAWVVKSHLPSAFGKFSKHEAFTGEVNGASVLFLPCAVYNWTPPEGAGQFHGIPLDVKIVHFKGSRKRLMLEAWNFYNSTSKLSDMLCLILRILSRQAHSLEAFRVKQGLTLKGLLVLNHGIDSLLLGLIRDVHLGPLVELHVIDLIHYKLGNLLATEEPKALQLEGWLRAYHEHLPKGVLAGQ >Sspon.01G0009220-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:27997914:27999211:-1 gene:Sspon.01G0009220-4D transcript:Sspon.01G0009220-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hypothetical conserved gene [Source: Projected from Oryza sativa (Os03g0339300)] MAAASISVQAIVLLAVAAAASAQLSATFYDSSCPRALGTIRSAVTAAVNRDPRMGASLLRLHFHDCFGCDASVLLADTANFTGEQNSFPNRGSLRGFDVVDSIKAQVEAVCPRTVSCADILAVAARDSVVTLGGPPYTVLLGRRDSTTASLSQANSDLPSPGSSLDSLISGFARKGLTTTDMVALSGAYACSTRLPVHDAQCKHGNPPFTCCTHSLIRTSSHGRAGAVRQLPEPAYGESNLNQSDAAALRVNCPQSGGNGNLAPMDLETPNTFDGAFFRGLLSQRGVLHSDQQLFSGGSTDALVQSYASNAAQFRNDFAAAMVRMGSIGVLTGSQGQIRLSCSSLN >Sspon.01G0005400-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:14551143:14554892:1 gene:Sspon.01G0005400-1A transcript:Sspon.01G0005400-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAPPRRSDVDFADVFGGPPRRSSGNEHRSRRGSPDSSSFGSETRARSGGSDTPVFGARGSSDRRRHPGEEFYKDIFPGSEAASPRRGGAGDWGDVFGGPASPVSTARSRSSFSMRVNRGTDVSVPNSPSRQISNRNDDGTSYAYSVPTSPNASMNNYLAQAAAQQHSKKNPFSWHRYPFLSRFRSQSGEKKSTSNYVSSMDSECEGTPVNLESIMANNKFHFSFYKWAGKGALLVLPATAQEKPADIIGLRSFPQVVVQGIDLIDYEDNMSTATGTSKSQTDHEDSKSGKHSTNSVTKDGAIRLLSEDYMQGTKQSNDHTKNDVSSASLSSKISRSPSERSRSSRVKEKVKGFIKLFSPESSPKRKRALETQGQTSVGKNGSKAELQDKFSLSSLEANEDVVTAQMNSQNAFIAEPFPMREVQERMDKPVLSENSKMDTAMGSNEAASNESVHDDTKGKVDNTIEHEDRHIEDFDGCVVEHFSEDPVLHNDQEKELIKISESKIREWSRGKEGNIRSLLSTLQY >Sspon.06G0021270-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6C:14950470:14950715:-1 gene:Sspon.06G0021270-2C transcript:Sspon.06G0021270-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPACRHLRLAVTVFLAAALLISAAMPAARANLETEHEEEFSYVPGDEHGPEHWGSIKKEWAACGTGRMQSPIDLSHERVSL >Sspon.03G0012240-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:43875095:43877282:1 gene:Sspon.03G0012240-2B transcript:Sspon.03G0012240-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKRKTDAAPRLDEADRTLYSTFCGAANSLSQLYTQAMAQQKLSFQAGERHALEKLYQWILRKHDEEARLTVAEIMSHIQHEIDYGGTDAHASPRVHQHPQIANPFTNSVVPPGTGLYGQSAAGFAPRPSITDQSKNTIFSNALSSPVRRSLQNYHITQGAGNGGRNAEANLAGANRETNSASSNDTSMDMVSNSAGNEFY >Sspon.06G0006580-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:22518740:22521642:-1 gene:Sspon.06G0006580-2C transcript:Sspon.06G0006580-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKQGVVVPVPEAAVAVPPNSAPLFQYPPPRAAPGVAVRKKYLQMGAGAGGARRIAGGWVDSMRASSPTHARAAAALAAGVDEERYAAWMVKHPSALGMFDQVVAASKGKQIVVFLDYDGTLSPIVDDPDAAYMSDTMRRAVRSVAKHFPTAIVSGRCRDKVFEFVKLAELYYAGSHGMDIKGPAKGSRHTKAAKAKGVLFQPASQFLPMIEQVHDSLVEKTKCIPGAKVENNKFCVSVHFRCVDEKSWSTLAAMVKSVLKDYPKLKLTQGRMVFEVRPSIKWDKGKALEFLLESLGFADCTDVLPVYIGDDRTDEDAFKVLRKRGQGVGILVSKHPKDTSASYSLQAPAEASAVMEFLLRLVEWERLSKARPRW >Sspon.01G0005650-3D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:13258517:13267157:1 gene:Sspon.01G0005650-3D transcript:Sspon.01G0005650-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding HPSPQPHPRTGYAHERAALRPLAALLAPPLAYSFPCSSVAPSYSLPLLPSTARGHAPADRQPRCPARPRRHSRPAPPPFALPLSPHGFASPGTNLSKTPTSAAMLGRLFLHHARRRLHSSGTASSVPLSTPTFAIFGANTGVGKTLVSTGLAAALLYSPSPSVSSVAYLKPLQTGYPVDSDARFVFSRAPALLRASASPRATRLIASCRTLFPSPAVEAKAAEPLRESQEKVVVTYGAGAAEQTKVLACCTAYAWREPVSPHLAAEREGMSAGDDEVKGCVAQWLLEEGVGEGGEVWKVLETAGGVASPGASGTLQCDLYRPFRLPAILVGDGRLGGISSTLSAYETLLLRGYDVSAVILEDRELSNDKFLLSYLRNRVHVLTLPQVPEDPSDDLTDWFSESSSTFNFLKDALQSFHSRRIERLNSMQRKSKDLLWWPFTQHNLVPQDSVTVIDSRCGENFSVYKIELARDMGYAAARYGHVMFPENVHEPALRSAEVLLGGVGKGWASRVYYSDNGSTAIEIALKMAFRKFCLDHGIMSDSENSTRNERNIQLKVLALNGSYHGDTLGAMEAQAPSAYTSFLQQPWYSGRGLFLDPPTVYIKSEVYNISLPQSMQHDPQTYGDTCFSSQAEVFCKTRDKTFAADLYSSYIKQKLSEFSLSSSSEHLAALIIEPVIQGAGGMLMIDPLFQRVLVSECRSQKIPVIFDEVFTGFWRLGVESASELLGCLPDIACYAKLMTGGIVPLAATLATEEVFESFEGDSKLTALLHGHSYTAHAMGCTAALKAMQWYRDPSTNSNLDTDHMKLKELWDGTLVKQLSSLPNVKRVVSLGTMCAIELKAEGSDAGYASLYASSLVQQLRKEDDIYIRPLGNVIYLMCGPCTPQDSCTRQLLKVHRRLCELN >Sspon.06G0011920-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:51884225:51884665:-1 gene:Sspon.06G0011920-3C transcript:Sspon.06G0011920-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding QEKEKEALFAAKHAELVSEARDVAREHGVAVTTVAFRPDGTAVADEVVGVGVGLQEQVRAMIQRAIARKVSLMESGELAAHRRELQMLRGIVVGELTQASVVPGQKEEKDGGEVVVAKRSPGQQQEMDGDAGAGAGCNKIIGRVDD >Sspon.06G0010920-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:42759847:42761463:-1 gene:Sspon.06G0010920-3D transcript:Sspon.06G0010920-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMMHTMVVALAHALFLFASAQSPPAPASQPSDDISSCLLSNGVTNFSLPSSPSYTPLLDSSIRNLRFELPSVGKPAAVVLPATKRDLQRAALCARASSLAIRVRSGGHSYEGLSYTTENHVPFVVIDLANLNRVQVQVDPAGAATAWVESGATLGEVYHAVGLSSRTLAFSAGSCATVGMGGHTAGGGFGLLSRKFGLAADNVLDAVLVAPNGSSFTRDTMDDDVFWAIRGGGGGSWGVVYAWKLRLVPVPDNVTVFSVARTGPAELIAGLMHRWQYVEPSLPDEFYLSTLIPARSSSDGNISMSFTGQVLGPKQLAMSVLNQRFPELGLAESELSEVSWLESAVKFAGVSSVASLTNRQPGVGEYAKRKSDYVQAPISMQDTIKIVQYLSTGPEGSSIQLDPYGGAMARIGSTETPFPHRAGYLYSIQYAVTWNASDMDRSDGYVEWLRSFYAFMASYVSKNPRAAYVNYLDLDLGTNDWMNATGGTLVRAKTKVDPGNVFNNAQSIPPLHYWR >Sspon.05G0018200-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:76776363:76783451:-1 gene:Sspon.05G0018200-1A transcript:Sspon.05G0018200-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:O-fucosyltransferase 9 [Source:Projected from Arabidopsis thaliana (AT1G35510) UniProtKB/Swiss-Prot;Acc:Q8H1E6] MSVAAAAASMRGTETVVGAKPAARRLGRVLVGRRRRAAVLLLALAYVAAMLVLAMGVSEGFGSSSVVAGALGRRAQPAPPGSVYRSHLVFERLLPEMRAFAPRPNPLVTSHYKKTGKQWEPCISKGLTHSELPPSNGFLIVEANGGLNQQRISICDAIAVAKILNATLVTPAFHLNSVWRDSSKFGDIFDEDHFIESLRKYVRVVKDLPEDVFLRFNHNISIIPNMRTKAFSPQVTTYNRAVRIAPFSNRLAHSVPVNIQALRCLTNYEALRFSEPIRTLADNMVDRMIKRSFLTGGKYVSVHLRFEEDMVAFSCCKYDGGSKENNAMENARERSWRGKFHRPGRVINPEANRRNGRCPLTPLEVGMMLRGMGFDNTTSLYVASGKIYNAEKYMAPLRQLFPFLQTKQSLVTPEELAQFKGHSSRLAALDYTVCLHSEVFVMTQGSNFPHFLMGHRRYMYGGHAKTIKPDKRKLVQLFDDPNIRWDRFKHHMEDMRRHSEMKGFGLRKPQESMYNLPMPDCMCQQAEGRSRYTARN >Sspon.03G0007830-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:21221478:21222428:-1 gene:Sspon.03G0007830-1A transcript:Sspon.03G0007830-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEQAAPKPEAAATAALELTGFVMSAEEAERKAAAAGVATVQDLLPLLVPSARERARVPISHFQVGAVGLGASGRVYAGVNLEFRGVPLCHSVHAEQFLVANAAAAGESALRAVAVSHMPCGHCRQFLQEIRGAAGIQILVTSDADEGCAPEWRTVASLLLRPFGPHDLLDKNVPLVLEAHDNALGDPVVAAVANGFAPGDLDARLREAAEAAARAAHAPYSQCPSGFAVADGDGRIYAGGCLESAAYNPTLGPVQAAIIAMVAAGGGPAGDVVAAALVEKEQAAVAQEATARIFLDAVAPHASFHVYKYRPSDA >Sspon.06G0000170-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:12106363:12109198:1 gene:Sspon.06G0000170-3D transcript:Sspon.06G0000170-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLDVITDFRSHRAALFDGIEEGGVRAPAFSSREIHEHENDQALDSLHDRLTGDIHEEVENHNRMLDRMGNDMDASRGFLSGTVDKFKMVFETKSSRRMATMVASFIAVFVLIYYLTK >Sspon.07G0023640-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:16195899:16197544:-1 gene:Sspon.07G0023640-2C transcript:Sspon.07G0023640-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGGRRGPRGLPPARSRVLGKLGPSFGFGAGCGFGVGVGLIGGSAGVGSGFPGLSLGFGVGAGCGIGIGFGYGFGKGVAYDENGRYSNIGRSKQRSKGTPSEDQIDILVDELIENAKKLIKATSKEID >Sspon.03G0011620-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:31635095:31642471:-1 gene:Sspon.03G0011620-1A transcript:Sspon.03G0011620-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAAELQKVASMRRDSGGSRPGPSAWWRAPDDAFSRSSSLREEDEEEALRWAAIERLPTFDHVRRAILPLGGDGDGHGCGEAAPQVVDVLGLGPRERRALLERVVHGRDRLAHDRGAVRAPKRGGGPDSPPSSTRSITNKLEDVANALHLRRSRKQAMPILHDVSGIVKPCRMTLLLGPPGSGKTTLLLALAGRLDKDLKVSGKVTYNGHEMDEFVPERTAAYISQHDLHIGEMTVRETLEFSARCQGVGTRFDFAGLACLIHACSMRGQEANVICDYILKILGLEICADTMMGDEMLRGISGGQRKRVTTGEMLVGPANALFMDEISTGLDTSTTFQIIESLRQAIHILGGTALISLLQPAPETYDLFDDIILLSDGQIVYQGPRESVLEFFSSLGFKCPERKGVADFLQEVTSRKDQKQYWVRHDKPYHYVSVKQFASAFPSFHVGRAIANELAIPFDKSKNHPAALTTSRYGVSVWELLKANINREILLMKRNSFVYIFRTLQLMVLSIIVMTLFFRTKMHRDSVTDGGIYLGALFFVVMIIMFNGFSELALTIIKLPVFFKQRDLLFFPAWAYTIPTWILKIPISFVEVGGFVFVAYYVIGFDPNVGRFFKQYLLLLAINQMAASLFRFIGGAARNMIVANVFGSFMLLIFMVLGGFILVRDKVKKWWIWGYWISPLMYAQNAIPVNEMLGHSWDKILNSSMSNETLGVQSLKSRGVFPEAKWYWIGLGALIGFVMLFNCLFTLALAYLKPYGKSHPSISEEELKEKYENLNGNVVAEDSLPLGSSHLETVGITRSGSATVENHSGTTQRGMVLPFAPLSLTFNNIKYFVDMPPEMKTHGLVGDRLELLKGVSGSFRPGVLTALMGISGAGKTTLMDVLAGRKTSGYIEGNISISGYPKKQETFARVSGYCEQNDIHSPQVTVYESLVFSTWLRLPGDVDSNTRKMFIEEVMELVELKPLRNALVGLPGVDGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTIVCTIHQPSIDIFEAFNELFLMKRGGEEIYVGPLGHHSSELIKYFEGIEGVKKIKDGYNPATWMLEVTTISQEQILGVDFSDLRNKALIQELIEPPAGSSDLHFRSQYAQSFFMQCLACLWKQNLSYWRNPSYNAVRLFFTTIIALIFGTIFWDLGGKMGQPQDLFTDMGSMYTAVMFIGILNATSVQPVVSVERTVFYRERAARLYSALPYAFGQVSGSLI >Sspon.02G0052180-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:88666345:88667728:1 gene:Sspon.02G0052180-1C transcript:Sspon.02G0052180-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEARRALPFNPICSDCIDSSWRYINSREDVIKKMYMQMEFDFFTTTSTTQTLYPAAASRKMPRSIGDVPTAATGH >Sspon.08G0003260-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:48480692:48481929:1 gene:Sspon.08G0003260-1P transcript:Sspon.08G0003260-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKAMAGPKLLVVHTSSNKALNGMSPASPMPFHGWSRCMWLVVFLALFTCVSLLAVFSTARDSSGAAYQAAPFTVSGGAGAAEAGLPRYVFDALVHYAAAAGNSSSSMPEPDVRAIASVLRRRAPCNLLVFGLGAETPLWRALNHGGRTVFLDENPYYVAHLEGKHPGLEAYDVAYATAVRELPDLLDAARAARAAECRPVQNLLFSECRLAINDLPNQLYDVACDVILVDGPRGFTEGSPGRMSAIYSAAVMARTKGTETEVMVHDYEREVERACGREFLCDENRVTATSTPSLGHFLVRGAAAVNREAFCGPPAAQKSKSN >Sspon.07G0036640-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7D:39945853:39946208:1 gene:Sspon.07G0036640-1D transcript:Sspon.07G0036640-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIFHYAGSRKSNGKGTEANGGHSGDRTLDRTRSLFDRTRPATGRVRSSRELTGLRPDAGTVASGQFFSASGRWFVLRDSVLTGASGQSRDRRVRS >Sspon.01G0049760-1P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:91970472:91972257:1 gene:Sspon.01G0049760-1P transcript:Sspon.01G0049760-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAMRVESVEEAKQAAVILPAASQEQRRVFPAGMLKLFLGLMLLGVVVGLSAFGVFLARHAGEVAAVAPALFRPCLGAAAAEPEPEEGLERWTRPPARAQHAMTDEELLWLASYAPRARGGSGYPFRRVPKVAFMFLTHGPLPLAPLWERFFQGNEGRYSIYVHTMPLYRARANFTADSVFYRRQIPSKAVQWGQMTMCDAERRLLANALLDISNEWFVLVSESCIPLFDFNTTYEYFQNSSHSFVMSIDDPGRDGRGRYNLNMAPEVELEQWRKGWQWFEADRDLAVAIVKDTVYYPKFKQFCRPGCYADEHYIQTMLKIEAPHKLANRTATWVDWSRGGPNSAHPATFGRGDITEEFLKGVREGETCLYN >Sspon.01G0000210-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:976164:977353:1 gene:Sspon.01G0000210-1P transcript:Sspon.01G0000210-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSLPVPSVQAMVAAAGHAPVPPRYLRPEVATDVVVADDGDAATTIPTIDFQRLLLLDPEESARLHAACQDWGFFQLINHGVPEDVMEAIKGSTQAFFALPAEAKQQFRQEAGQLEGYGQLFVVSEDQKLDWADVLYLNTQPPERRNLRFWPHQPDSFNRTLDTYSAAVKHVAGRLLGAMSRNLGLMDPERLGGGGISLSSMVQSVRMNYYPPCAEAPDKVLGFSPHSDSDLLTLVLQVNQIQGLQIRRPGDGAWLPITPLQGAFVVNVGDVLEIFTNGRYRSVEHRAVVNAHTERLSVAAFHSPSIHATIGPLPELLGDQEAPKYKTLDHQSFITLFFSAKLQGKSFLERMKKKNLSN >Sspon.04G0012450-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:42375725:42414193:-1 gene:Sspon.04G0012450-3D transcript:Sspon.04G0012450-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MERKAVLLKTAVLDHDGLVIMFQNKTLQARILQSKSDNKLFLDIEKGVNRRPLYESHPLSSFSWTRTDSMDMKCKEALNNFELLKEGKSKDDIVYEKAVQILHGTKDEVHDIVQTALKSSDLKGLHAQCLTDIWIGRERFAFVDLSAGPFAWGPSVGGDGVRTELSLPNVAKTVGAVAEVTEEEAEEKLQDTIRERFSSFGEDYHAVDILLAEIDVYELFAFKHCVGRRIQLALCKELDERMHDLKKELEGYNTGDSDEINKKKALDALKRMESWNLFRDTKVEHHSYTVAHDSFLAQLGSMLWGSMRHVIAPSASLRAYHYYEKLSFQLYFVTQEKVRSIKQLPVNVKSIMESLNSVLLHHQKSMFSQYMLSLSEDPSLMMAFSMARRAAAVPLLLVNGTYKSTVSTYLDSAILQHQLQRLNEHSSLKGGHSNHRSTLEVPIFWFIHNEPLLLDKHYQAKALSNMVVVVQSDDDSWESHLQCNGRPILWDLRKPVKAAIAATAEYVSGLLPPHLVYSHAHETAIEGENLKDKKQV >Sspon.07G0028300-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:84397749:84399688:-1 gene:Sspon.07G0028300-2C transcript:Sspon.07G0028300-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFTTTFSFNISLDGKSGGGDGLAFFVAPPDSGIPVSSSGGALGLLNRDPRYWNSSIAGDDTRIIAVEFDTVQNLEYSETNNDHIGIDVNSLISTASTNTTWPNILTSSFTKMATVSYDNVTKLLAAHLRIHDTLYNVSATVDLRRYLPEDVAVGFSAGTGELGELNQILSWYQIILGLGSALRYLHEEWEQCVVHGDIKPSNIMLDESLGLRLGDFGLARLSDHGARWHTTRAVMGTAGYIDPEFVNTHHPSTYSDVYSFGVVLLEIVSGRCPVILLDGGAHFILVKWMWGLYGRNTILDAADERLRAAGNEADDKCMEKVLVVGLWCAHPDQSERPSIAQAMHVLQSEDARLPELTPQMYRTVSEFAVTGRSVGALSVQSSSSTTTTTGGHSKVSSESASSALLRDSK >Sspon.07G0034620-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:76672548:76675458:1 gene:Sspon.07G0034620-1C transcript:Sspon.07G0034620-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAKKTVLVVAALLLVALIALEAAPPASAVTDCKAGCDELRRLGVFNCEKRCEAIAAQAQQGPRDPDTTEGAQNVDGTRGSSLGVGEAPLAVGGARSAGAQPQVRWPNHRVAARRRPSPPHPHPRSAPPPSSPPAPRRRPSPPHLHPRSAPPPPPTCTPLAASPTGGLPHAAAPFILGKRIRGDGADAEPTVAAPTPGFWVLLAWDDFGQLWSFAADLFCAPHPVPAFCS >Sspon.07G0027830-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:63625918:63627402:1 gene:Sspon.07G0027830-2C transcript:Sspon.07G0027830-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMFVTKRGLSDACTAVGNHLDQVSDSVVVTRKHLAGRIDRVDISLDETQQIIEGTRDEVEPHCSIPLFIYLFLIDVGIIHGDLSAFQEDLQSVNLVVRTLYHILMESCSLPPCIYILQESKMGRLESSQDQTVDGINHLCEFTRKMEPSQNANVRQASHIYGLCYSLLWHMQHAACVIRKVSSSSIPAVMDSSSERIVGRASCLPRLALESPISSPVAELPRAETSLQVSPAAEQPETTS >Sspon.08G0006790-4D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8D:18241331:18243391:1 gene:Sspon.08G0006790-4D transcript:Sspon.08G0006790-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RTVLSATIIERKEQVDHRPPRRLTSLTDTWARGTPAAPSARRVSHTRTAHTYPFLHCRSGKSQLSQQAPLPAAVSRSRVVWGAGRNAGMQSLQDKASEWSGVAAADAFAIDDVNIFESLGGTPQPFVDLSTNFYTRVYEDEEEWFREIFAGSKKEDAIHNQYEFLIQRMGGPPLFSQRRGHPALIGRHRPFLVTHRAAERWLHHMQQALDATESIDADSKTKMMNFFRHTAYFLVAGNEMTRQQGHGVACKHATSKPAE >Sspon.02G0042540-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:87872125:87878689:1 gene:Sspon.02G0042540-2C transcript:Sspon.02G0042540-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASQSLTPCLRGNAHAPWCRFVRALLVATAAALVVVVVHADGATTATAAAGGSAAATHDQRRPKIPAIFVFGDSIVDPGNTNNLPLTAAKANFPPYGQDFPGGVATGRFSNGLVPGDLFASKLGIKELLPPFLSPDLQQKDLLTGVAFACGGSGYDPLTSTFANTLSSTDQLKLFHDYKQKLTALVGEKEMTRVISQSVFFTFMGPNDIINNYFLLPLRRHQYDLPSYVDFLVSSAINFTKTLNDMGAKRIGFLGVPPLGCCPSQISLGESPSRQCEPLRNQASQLYNSRVSKEIERLNAERSASGSKIVYFDLYYKLLDLIQNPTSYVFNAAIFIAYQSACPNVIDYIFWDAFHPSEKAYKIVVDKLVQEASKYLLR >Sspon.03G0042170-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3C:51522663:51523738:1 gene:Sspon.03G0042170-1C transcript:Sspon.03G0042170-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGPMEIPVIDLSGLNGGDEERSRTLAELHDACKDWGFFWLGKFFICVLIMRRRRAQVENHGVDAPLMDEVKRFVYGHYEEHLEAKFYASDLAKSLETDDGRPEGEAEEHSDKVDWESTYFIQHRPKTNVADFPEITPACRETLDAYIAQMVSLAERLGECMSLNLGLPARHVADTFAPPFVGTKFAMYPSCPRPELVWGLRAHTDAGGIILLLQDDVVGGLEFLKGGKDWVPVGPTRGGRLFVNIGDQIEVLSGGAYRSIVHRVAAGDQGRRLSVATFYNPGPDAVVAPAAREDADAAAALAYPGPYRFGDYLEYYQGTKFGDKDARFQAVKKLL >Sspon.03G0017970-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:79503074:79511036:1 gene:Sspon.03G0017970-2B transcript:Sspon.03G0017970-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MACAAAHMFVYNATLCACDPGYYLFTNSTGGGNGSSTSCVSLPRGGDGFGDWQVGSVGASKNQSFYFLTPVLSLDVVRRLTQSQAVLLWVALATLLSWFAFCAAVRFAGQDPARHKKLFGARFWVSRLDCIFDNNHYANDQQVLRKRKTELGGMFSVATVILFLGLVTVLLYQAIHRRNAQVHRVKPANAPDLLDFVNDLEFHITTISSMSCAQVVAPSTIAMGTPGFMDFRVLPLSTLLTYSCQNTSEGPSITLKCNGCRIPPRDHYVSWQFVDLPRQPATAVGFQFNLTAKEHGDDKDMSFVSGTLSSDNYIDAKLKTFRGPDSNVLKIQLFPQIYNNLRGLKLLQPLVQDFTQGSTLSDLSSLNASLQNPRDGVINTTLYISYLSDYIVEISNENVLGPVSILASIGGLYAFSVAIFLCLMAQCEGRIKKVRDEDTRMLNILSKQRAQRNWNKVRKFVMYTWGPSNLDPTDRSGKWPEGSVMDSLHGSFHKRRKPIRRGASNGSKPNKPADMVYYFLYHIRQLKLKELGRYRSQAVLGSLDGWREWAQRPKDRNPIRLWDVMDRPSLTVQPVNDISLNDYLAVSATKHATFLPHTAGRYSKKRFRKAQCPIIERLTNSLMMHGRNNGKKVMAVRIIKHTLEIIHLLTDANPIQVVVDAIINSGPREDATRIGSAGVVRRQAVDISPLRRVNQAIYLLTTGARESAFRNIKTIAECLADELINAAKGSSNSYAIKKKDEIERVAKANR >Sspon.05G0039180-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:64549128:64550952:1 gene:Sspon.05G0039180-1D transcript:Sspon.05G0039180-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTTTRVAAGVLLVLSALALVARAEDPYLFFEWKVTYGTKSLLGVPQKVILINGEFPGPRINCSSNNNIVVNVFNQLDQPLLFTWNGMQHRKNSWMDGMPGTQCPILPNTNFTYKWQPKDQIGSFFYFPSRAAGGYGGISVYSRLLIPVPFDQPPPENDHVVLIGDWYTKDHEVLARQLDAGKSVGRPAGVVINGKGGKDLESAPLFTFEAGKTYRLRVCNTGIKASLNFRIQGHHMTLVELEGSHTLQDTYDSLDVHVGHCLSVLVTADQKPGDYYMVASTRFIHDAKTAKAIVRYAGSSAPPPAEMPEPPVGWAWSINQARSIRWNLTSSAARPNPQGSYHYGQINITRTIRVMVSRGHIDGKLRYGFSGVSHRDPETPVKLAEYFNVTDGVFSYNQMGDVPPAVNGPLHVVPNVITAEFRTFIEIVFENPEKSMDSLHLDGYAFFGWSPEARQTYNLLDAVSRHTIQVYPRSWTAIMLTFDNAGMWSVRSNIWERYYLGEQFYISVISPERSLRDEYNMPDNALRCGKVVGLPLPPSYAPAR >Sspon.05G0001790-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:4394668:4396614:-1 gene:Sspon.05G0001790-2D transcript:Sspon.05G0001790-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAGDLTSWQERHAVLVLSKAKELAKIRYDLCPRHMKDKQFWRIYFLLAKSYISPYELRAIQKEKLRRMETENGKSKEVITVEVEMQESKGSSLSQTSEVDLESQV >Sspon.03G0009140-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:38307360:38310413:1 gene:Sspon.03G0009140-2C transcript:Sspon.03G0009140-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKFESYLLKSVRQIGLASALETLCGQAYGAKQYHKLGLDTYRAVVTLLVVCIPLSLLWVFMDKILVLIGQDPLISQGAGRYMIWMIPGLFANAVIQPLTKFLQTQSLIYPLLLSSVATAAIHIPLCYVMVFKTGLGYTGAALTISISYWLNVAMLVGYIVFSSSCKETRARPTIEVFRGVDAFLRLALPSALMMCLEWWSFELLTLMSGLLPNPELQTSVLSICLTSVTLLFTIPFGIGAAGSTRVANELGAGNPDGARSAVRVVLSMAGIDAVIVSGTLLAARRLVGIAYSSEEEVISSVAAIVPLVCITVITDCVQGVLSGVARGCGWQHLGAYVNLGSFYLLGIPMAILLGFVLHMGSRGLWMGIVCGSLSQTTLMSAITFFTDWPKMAEKARERVFSDKPHEPGPLCVTE >Sspon.07G0000510-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:832680:833306:-1 gene:Sspon.07G0000510-2B transcript:Sspon.07G0000510-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHAVASRCSNCGSYGHGSIACRIATADHQRGSEAAAAGLRLFGVQLHAASTSGGGAAAPPAPAPASQLHRSYSVDCCLSLQGGWPLPVSPSPSGLLLSIDECSAQRGGDGGGYLSDDGGRGSAALRERKKGVPWSEEEHRLFLVGLEKLGRGDWRGISRGFVTTRTPTQVASHAQKFFHRQNSAGAAKKSSKRRSSLFDMVKKKAIPI >Sspon.06G0004720-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:13123334:13132572:-1 gene:Sspon.06G0004720-1P transcript:Sspon.06G0004720-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDQARAPLLQPLGEAGGGAATTSKGRAMCVALEWWVESKKLWHIVGPAIFQRIALYGVNVVTQAFIGHLGDLELAAFSIAATVVAGFNFGFLLGMASALETLCGQAFGAKKHHMLGVYLQRSWIVLLIFAAALTPTYIFMEDLLLLIGQTPELSRLAGQMSVWLLPQHFAMAMLLPLTRFLQSQLKNWVTAITAAVALAIHVVVTYVLVQRLEFGIVGAVASADMAWWLVVLGQYVYVVGGGCPLSWKGFTMEAFADFWEFIKLSSASGVMLCLENWYYRLLVLLTGYLKNAEIAVDALSICQTINGWEMMIPFGFLAATGVRVANELGAGSGKGARFAIVVSITTSVVIGLVFWCLILYFDDKIALLFTSSAVVLDAVHHLSVLLAFTILLNSVQPGWPLVQDGKHWSPTYYLIGVPLGILLGWPLQFGVGGIWSGMIGGTAVQTLILAYLTVKCDWDEEARLASTGNPEAKITSDTAMLVPAFNYIWRLTTRFLKILYGTSHSGIAYRQRDHVTIFVIL >Sspon.02G0039290-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:50835501:50847226:1 gene:Sspon.02G0039290-1B transcript:Sspon.02G0039290-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMISDDDDAEPQLNAVESYYFVDSTDENEPVCFSTLPFRFGDTDDLPGYKKRLVLRGTGDPGVKVYNEVVAWRLGLEGKQPQFAVLTAEGRRWVRLIRPLKSYEEMIRTVLITAQMLHFLRRKPHEPEKTLWNHLCKVFNKFDVRPSEDDFRNHRSLMKQFATKDPVLAKSKVGADHIDVKQSFIANDEDIDEMIVEDADNESDEEEDEDLFDSICAICDNGGDILCCDGPCMRSFHSKEGSGEDSYCDTLGYTEAEVDAMKLFLCKNCEYKQHQCFICGVLEPSDGAAAKQLHPNNIDEASELEKKITEGEISFDEIEEEGVIIRAWELSKRILIYCLDHEIDSDIETPARDHIKFPKIEKPLQFVKGANLLPKKKKRTYSETVLEEPPKDTVKMKSKVRMQEREQNKQSTREVSAKSFTENPVDRPVKKKAKLKEKMQLPYVAKDHIVSSPKSVKEQDQELPSLPLSATRKIPLSLFPRVDSETEKRVITILGNKVSSLTIKDVTRNCSLPSTHVYSGRLTDKIPQGKLERSVQAVEAALKKLENGGSVNDAKAVCEPDVLRQLARWHSKLRVYISPFIHGTRYSSFGRHFTKVEKLVEVC >Sspon.02G0008140-1T-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8C:20272605:20274725:-1 gene:Sspon.02G0008140-1T transcript:Sspon.02G0008140-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKEKTHINIVVIGHVDSGKSTTTGHLIYKLGGIDKRVIERFEKEAAEMNKRSFKYAWVLDKLKAERERGITIDIALWKFETTKYYCTVIDAPGHRDFIKNMITGTSQADCAVLIIDSTTGGFEAGISKDGQTREHALLAFTLGVKQMICCCNKMDATTPKYSKARYDEIVKEVSSYLKKVGYNPDKIHFVPISGFEGDNMIERSTNLDWYKGPTLLEALDLINEPKRPSDKPLRLPLQDVYKIGGIGTVPVGRVETGVIKPGMVVTFGPSGLTTEVKSVEMHHEALQEALPGDNVGFNVKNVAVKDLKRGYVASNSKDDPAKEAASFTSQVIIMNHPGQIGNGYAPVLDCHTSHIAVKFAELVTKIDRRSGKELEKEPKFLKNGDAGMVKMVPTKPMVVETFSEYPPLGRFAVRDMRQTVAVGVIKSVEKKDPTGAKVTKAAAKKK >Sspon.02G0042210-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:84722020:84744918:-1 gene:Sspon.02G0042210-2C transcript:Sspon.02G0042210-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTSPPPAEQRQQEEEEVLVPHVPQQELPNGAQPMEVVPAEPAATVENQQIEDPPISRFTWTIENLSRVSTKKLYSEIFVVGGYKWRILIFPRGNNVEFLSMYLDVADSGVLPYGWTRYAQFSLSVVNQIHNKFTIRKETQHQFSARESDWGFTSFMPLGELYNPSRGYLVNDTCIVEAEVAVCKVVDYWSYDSKKETGYVGLKNQETQHQFSARESDWGFTSFMPLGELYNPSRGYLVNDTCIVEAEVAVTLYHIPYFRKLTADISCQAVYHMPTTEKLEDKMKLDVKGCQDVYASFDKYVEVERLEGDNKYHADKHGLQDAKKGVLFIDFPPVLQLQLKRFEYDFMRDTMVKINDRYEFPLQLDLDRDDGKYLSPDADRNVRNLYTLHSVLVHSGGVHGGHYYAFIRPTLSDQWFKFDDERVTKEDAKRALEEQYGGEEELPQTNPGLNNTPFKFTKYSNAYMLVYIRESDKDKIICNVDEKDIAEHLRIRLEKDREEKERRKKEKAEAHLYTIIKIGKDIYFDLVDHDKVPSFRIQKQMTFAQFKEEVAKEFGIPTQFQRFWLWAKRQNHTYRPNRPLSPQDEAHTDLKPLPLPDKTREDIFLFFKLYEPEKEQLRYVGRLFVKASGRPQDILPKLRMLAGFSQDDDIELYEEIKFEPNVMCEYIDNRLLFRSCQLEDGDIICFQKSSKPDSADRYRFADVVHFRSLEKPKEDDFCLEMSKIFTYDQVVEKVSEKLGVDDPSKIRLTSHNCYSQQPKPQPIKYRALSTSDILYYEVLDIPLPELQALKTLKVTYHHATKDEVSVHSIRLPKNSTVGDVLNDIKTKVELSHPNAELRLLEVFYHKIYKVFAPNEKIENINDQYWTLRAEEVPEEEKNLGPFDRLIHVYHFTKDTQNQTLKRFEYDFMRDTMVKINDRYEFPLQLDLDRDCGKYLSPDADRNVRNLYTLHRFKFDDERVTKEDAKRALEEQYGGEEELPQTNPGLNNTPFKFTKYSNAYMLVYIRESDKDKIICNVDEKDIAEHLRIRLEKDREEKERRKKEKAEAHLYTIIKVARDDDLKTQIGKDIYFDLVDHDKVPSFRIQKQMTFAQFKEEVAKEFGIPTQFQRFWLWAKRQNHTYRPNRPLSPQDEAHTVGQLKELVNKAHNAELKLFLEDLKPLPLPDKTREDIFLFFKLYEPEKEQLRYVGRLFVKASGRPQDILPKLRMLAGFSQDDDIELYEEIKFEPNVMCEYIDNRLLFRSCQVVHFRSLEKPKEDDFCLEMSKIFTYDQVVEKVAEKLGVDDPSKIRLTSHNCYSQQPKPQPIKYRGVERLLDMLIHYNQTSDILYYEVLDIPLPELQALKTLKVTYHHATKDEVSVHSIRLPKNSTVGDVLNDIKTKVELSHPNAELRLLEVFYHKIYKVFAPNEKIENINDQYWTLRAEEVPEEEKNLGPFDRLIHVYHFTKDTQNQTQVQNFGEPFFMVIREDEILSSIKERIQKKLKVPDEDFSKWKFAYISLGRPDYFEDSDTVAIKFQRNMYGAWEQYLGLEHPDTAPRKAHTINQNRHSFERPVKIYN >Sspon.07G0004170-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:9455545:9457830:-1 gene:Sspon.07G0004170-3D transcript:Sspon.07G0004170-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSALPQRGRNRVQGGERGGEEVRCCACARGVVRRNEDERRRSTEQASVKELESQAAKKDAVPKEDKH >Sspon.01G0006220-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:16518776:16520038:1 gene:Sspon.01G0006220-2P transcript:Sspon.01G0006220-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding IAVLADDILKNVEYDALRVIFNKFHSVISFKPTMVTILSPEQVAEKESETGGKMGDLDSYEIEGGETKSEILQNLAEFQFSCVLYNGALENACSELGARMSAMDSSSRNAGDMLDRLTLTYNRTRQASITTELIEIISGASALEGK >Sspon.04G0005890-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:16128173:16129333:1 gene:Sspon.04G0005890-3C transcript:Sspon.04G0005890-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVASSSPPATIAGPQPTWVPYEPTRDCSQGLCSMYCPQWCYFIFPPPPPAFDIAGPGDGDDSSGPTFSPLVIAIIGVLASAFLLVSYYTIISKYCGTFSSLRNMLFGPRRGRGRGGDGGGGGGDSRSQEPWGAVPDNNPELILTVDDSSEPVRGVPQSQNQNVVSGYGGDGQVGLAPKDCPGRSEEASGIAEIKEDCALPVRASSSLSDTHREGRMSIADVLQASMEDELMMARESGLLAGSSGRCHGEHSKDGSGGGRSGRAMPDAAKRLPSVGRSCFSSRSGRGKDSILPM >Sspon.03G0008130-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:27041180:27045357:1 gene:Sspon.03G0008130-3D transcript:Sspon.03G0008130-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAEVVVANGSGGADTKATFKEIYSKLKEEMLEDPAFEFTDESLQWIDRMVDYNVLGGKCNRGLSVIDSYKILKGVDVPSKEETFLACTLGWCIEWLQAYFLVLDDIMDNSQTRRGQPCWFRVPQVGLIAVNDGIILRNHISRILQRHFKGKPYYVDLIDLFNEVEFKTASGQLLDLITTHEGEKDLTKYNLTVHRRIVQYKTAYYSFYLPVACALLLAGENLDNFGDVKNILVEMGTYFQVQDDYLDCFGDPEFIGKIGTDIEDYKCSWLVVQALERADENQKSILFENYGKSDPACVAKVKDLYKELKLEEVFHEYERESYNKLIADIEAQPSKAVQTVLKSFLHKIYKRDK >Sspon.01G0033500-1P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1D:114597756:114597885:-1 gene:Sspon.01G0033500-1P transcript:Sspon.01G0033500-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VEIVMGLEEAFQISVDESSAQEIQTVEDAAALIDKLIAEKDA >Sspon.03G0024670-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:75157042:75157484:-1 gene:Sspon.03G0024670-1P transcript:Sspon.03G0024670-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLFAMLFWPIECNTTTINSTTSLDERKLTSMVAPRGSAITLIPIGVLAIVAPTMAAESIAT >Sspon.03G0004510-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:18055385:18056125:1 gene:Sspon.03G0004510-2D transcript:Sspon.03G0004510-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LRFKSRRRLRLHPTTQTPPVVFNPLPRCLLAAETRETSRVEERQGGCFAGCGLHIHPWTSGTAAEFVALDIRGEAESPPNDPQLKLLESSFVAAKEFERDRRADVNSSSTGQCAQSPHVRSPRTSLARLLI >Sspon.06G0006770-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:22593095:22601674:-1 gene:Sspon.06G0006770-2B transcript:Sspon.06G0006770-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLMESPRWRGLARFSRQVHALFLKNLSFQVTKSLELPLADALFTMHKCHFKKRKQPNPPSYSCLLECMVAFMPTHRDDAQRRNARTNAAIAAFPVLLCVLLVTVQHVVDSELERPPFRCGCVGTECGIQYSTPIQALACAVPVPPRWPPLVQVPPTEARALTRLHPRPCKAPEKNCPATVLLTGQNRQLAQGLGSLLFPPVPPQYGLMPAASNSSDYLGLFSTVVPGSSSLPAHFLFIEPGLVPNETLYLLQPQCWSESRNISAPFDGIPIESGYDFLDTSNRRFHVYVWYNSSFSRDNGHRPMTVLRVSRLVNMASTAYLNFFKGENAEMRLEFLKEMPKAAVKMRLDFTTLLDALFFTWTVQLLLPVILTYLVYEKQQRLRLMMKMHGLKDAPYWLISYAYFLALSAAYMMIFIISGSIIVIGYMYVFGTSLLGEALLKIFIEDATFPRHWLVTMELVPGFSMYRGIYELAEYAAAGRNMGKPGMRWVDLNNPVNGMKDVLVLMSIEWIVLLLVAFLLDHKPAWHWQPLFLFGFLSTKHSSPSQKPNKLKRQSRRVHVYTAKPDVSLERKVVRRLLKDMDMRNMIICHNLKKVYPGKNGNPDRHAVKGLSLALRKGQCFGMLGPNGAGKSSFINMMIGLVKPTYGTAYIHGMDLRTDMDEIYTNIGVCPQHDLLWEALTGREHLMFYGRMKNLTGAALTQAVEDSLKSVNLFHSGFGDKSVSKYSGGMKRRLSVAIALIGNPKVVYMDEPSTGLDSRSRNDLWNIIKRAKKDCTIILTTHSMEEAEELCDRIGIFINGNFQCIGTPKELKTRYGGTRILTITTWPEHEEAVELIVSRLSPGYTRIYSVSGTQKFALPRREVGLGCVFGAVEVARRAFPVLGWGVADATLEDVFVRVAKEARAFHVLS >Sspon.06G0030750-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:53096555:53101237:-1 gene:Sspon.06G0030750-1C transcript:Sspon.06G0030750-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELRVRSGFRFRYLCGRALPNHGRRGEKRRFFGRLPRGGFLRLAGIGKRSVFLTLSLMESRSLDDVRLGRRNFLGKPRPDIGKVDDAFVRTLPCIMWLAIYKPKRFSLSWLTNWNSP >Sspon.03G0037070-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3B:96640492:96640722:1 gene:Sspon.03G0037070-1B transcript:Sspon.03G0037070-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCGGALAGAARAATSARLPGLHTPPPPPLLLPPHVPSREPARGQGPRRAAALERPHLLHGRRELPPRLSSSLRSA >Sspon.07G0002400-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:4418360:4422445:1 gene:Sspon.07G0002400-2B transcript:Sspon.07G0002400-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAPSRVVGLGRFARVTGDRPIPPLSRSRIAASSPFDSRRVPLSPALDKPIWNLEVLLSCFNFADPGRLADTRKRLGGFPSSVRGSSSFFSGLLGLGRRENLLRSIDWIPQRLGVQFSASHGGSLIGTTGYFHERAFAISVSNTTTEEQTANGTSEGTIFRRGLSSIYERSSYLLHGFRLVRRLAFSVRDQWNLFSSEVHAKLTRILHRFWTTLQGSCEDIGWLQRTQASLCSVDGTGRFKEILHEISMKNVEHKLPIKLDWKNGMHCLPDTLVYLFIPGLFSNHSPLYFTNTKRFFSKMGLACHIAKIHSEASVENNAWELKQYIEELYWGSGKQVLLLGHSKGGVDAAAALSLYWSELKGKVAGLALVQSPYGGTPVTSDILREGQIADKETRRIMELIGDIRALEDLTYAKRKDFISKHKLPIDELPIISFHTEASTAPTVLASLTRVAQAELLPWLPLPRFFLSASEFIESMLASLKVPVVAPVSAAMAVTALHLRLRYGERSDGLVTRRDAEVPGSVVVRPERRLDHAWMVYSTLKMGSAEADASEMCEALLAMLVEIGKKKFH >Sspon.03G0006030-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:21137937:21140682:1 gene:Sspon.03G0006030-4D transcript:Sspon.03G0006030-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:HD-ZIP IV family of homeobox-leucine zipper protein with lipid-binding START domain-containing protein [Source:Projected from Arabidopsis thaliana (AT1G79840) UniProtKB/TrEMBL;Acc:F4HQC0] MTRAFKESLHPDETQRQQLSEQLGLSASQVKFWFQNRRCQTEVTQERHENSLLKPELEKLREENRVMRELIKKSLRSQGQLLRLENAKLKAEIERLRGALGNNAAAFPTSTGGIQISSRNSLDDVGHDRTKFLELAGRALDELTAMCSSGQPLWVRSVETGRDVLNIDEYARLFRHHDDDSGDRRGVWSVETSRETGVVYCNATKLVGAFVDVNQWKEMFPSMITKAATLEVIHAGENDHRDGIVQLMFAEVQTLTPLVPTRELHFLRHCKKLGTNKWAIVDVSLDNFEPGAQTSSTLCMCLKKSSGCIVEEQSLGRCCKVTQFHERDMDSLTHPWRTNQVTWVEHVKCREAAVPSLYQAVTASGLAFGARRWVAALGLQCERMVFSVATNVPTWGSNGVATLLGRQSVLKLAQRMTSSLCRVIGASRDLAWSKASNHGGTREIRAMCRKSTGEPRGLIASAVLSVWLPVKPAALFGFLADESRRHEWDLMLPGQSVQSYVTVRKGDHRGNRVTAYAVQPSAEELRGKWILQDSSTSPCESTVAYAPIDAAVLQPVVYGDDSSAVAVLPCGFVVMPDGREARPAVTTSRKDKEEGRTAVESAGSLVTLVFQALASSSATDAALPTDAVKAVTRLLFCTLGNIKKALRC >Sspon.07G0011710-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7C:47543459:47546256:1 gene:Sspon.07G0011710-2C transcript:Sspon.07G0011710-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine protein phosphatase 2A 57 kDa regulatory subunit B' kappa isoform [Source:Projected from Arabidopsis thaliana (AT5G25510) UniProtKB/Swiss-Prot;Acc:Q93YV6] MRWVEPPSSSSVRPPDPTPSCKLELPHTASPLKTPKALRFLRPPPPLLSPRSHPWWRPPRLASGSEPLPATAGYFPQRFRFAGPTAARFFAVQDSPLSFRGVGSGWSRWAPTVSCRHVEAVSWQDLAEVPKIRRGRGLAAGEVPVVAWRRQWGGAERFVAGLGGLPRAVRGGDKGGCLPPQAQCLLRGVRLRRRAGRDSPEVERKRQVLVSLVDCVSAAEEPLTEAMLSACVRMFAINLFRVFPPKLRSGASAATDEDEPFFDPSWYHLQVVYELLLRFVMSPVVDVKVARKYMDNSFISRLLDLFDSDDPRERECLKTVLHRIYGKFMGNRPFIRKAVSNIFYRFVFETDHHNGIAELLEVFGSVISGFAKPLKEEHKLFLWKALIPLHKPKTVGVYLPQLTYCITQFIEKEPKLTGTVIRGLLKCWPVTNSQKEMMFLGELEEVLELTEMADFQKCAVPLFRRIAQCLNSSHFQVAERALFLWNNEHLFDLISQNRQVILPIIYPALERNARWHWNQSVLNVTMNVRKMFFDMDERLLLACQTNFQEEEKKRAASEERRRLVWEHLERNAAFHPVTGDIGFAVPPTSAPLVAPTMT >Sspon.03G0017670-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:76257139:76257549:1 gene:Sspon.03G0017670-2C transcript:Sspon.03G0017670-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSSKMMVVAAAALLVLALAMATTAEARNIKTTTAAAAAAEKKADNDAVVQPQTFPPFDRLGGGASPAFGGLPGGSSIPGSSIPAAAPAAASLGSCPAAAACSMPLFGGGSPFSGFGGMPGSPTAGSVPEHANKP >Sspon.03G0019580-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3A:60920277:60921111:1 gene:Sspon.03G0019580-1A transcript:Sspon.03G0019580-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLAPQSLPLTPNQARYWWHWWRWCSCTAARRRLQPRQLESTSPGFPSPMLQMYVSGVSKTVDVGVHEGGQGQAAVIDAWRRRRPLPVVLGGGAGRTVPLWKRRGESSGRPGRDGRHVSVEEAGASHPSSMDSGSKVGGSDASAGNRACTGGARI >Sspon.01G0032420-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:112924161:112925088:-1 gene:Sspon.01G0032420-2D transcript:Sspon.01G0032420-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRLLNAVMDLVVPPASMVMLAFAWPTLSFLRGVEWALKTLTKEDMLGKVVVITGASSAIGEQIAYDYARRNANLVLVARREHRLFGIRDNARQLGAGQVLVIAADVVKEDDCRRLVADTVSYFGQLNHLVNTVSLSHDFSFEEAGDTTATAFPHLMDINFWGNVYPTYAALPYLRRSHGRVVVNASVESWLPMPRMSLYS >Sspon.05G0017860-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:75299004:75301948:1 gene:Sspon.05G0017860-1A transcript:Sspon.05G0017860-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPTAVGQCLLVLIIASCTHVVICSSNGNNTNKLSLLEFKKAISLDPHQALMSWNASTHVCSWEGVLCSVKNPSRITSLNLTNRGLVGQISPSLGNLTFLKVLVLSANSFSGDIPISLGHMNRLQILSLENNMLQGRIPALANCSKLTELWLANNQLTGQIHADLPQSLENLDLTTNNLTGTIPDSVANLTRLQFFSCAINEIEGNIPNEFANLLGLQILRVSINQMSGQFPQAVLNLSNLAELSLAVNNFSGVVPSGIGNSLPDLEAIFLAGNFFHGHIPSSLTNSSKLSIIDIPRNNFTGLVPSSFGKLSKLSRLNLELNNLQAQNKQDWRFMDSLANCTELNAFSVGYNYLTGKVPNSVGNLSSQLQGLYLGGNQLSGDFPSGIANLRNLVTVSLFENKFTSVLPEWLGTLDSLQVVQLSNNFFTGPIPSSFSNLSQLLSLDLESNQLNGQIPPSLGNIQVLQALLISFNNLHGTIPKEIFAIPTIVRISLSFNSLHGPLHADIGNAKQLTYLEISSNNLSGEIPGTLGNCESLEVIELGHNFFSGSIPPLLGNISNLNFLNLSHNNLTGSIPVALSGLQFLEQLDLSFNHLKGEVPTKGIFKNVTALWIDGDQGLCGGPLGLHLLACPTMQSNSAKHKVSVVRKIAIPAAIVLNVLRMVKLRLQQMSTASESPTDEMFKDGMNIAKLAEINLSDNVLQIVDP >Sspon.06G0003880-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:11925344:11927254:1 gene:Sspon.06G0003880-1A transcript:Sspon.06G0003880-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDLKASLARPIQLAEQVIKWADEAQTCRQECQDLKAKLERLSTLLRQAARADLYERPARRILEDTDKALDKAAALLERCCGHGFVRRVFTIIPAGSFKKASYLLDNSLGDLTWILRVSNYAASDEDEEDDHIGLPPIAQNEPILFLIWEQIAVLQYGGLEARADAAASVVSLARDNDRYGRLIIEEDGVPPLLQLIKEGRADAQESAALAIGLLGRDPECVDLMILAGVCTSFVKILKDAPMKVQGMVAWAVSELAANHPKCQDAFLQHNVIRLLVSHLAFETVQEHSKYAVASKMSIHSVLMDKKSNDSSQDPSGSGEKAATATTAAAVAAAKPTVGGAGGTGASSSMSATVPGPSARHVGMAGMRLHNASMSATSTRGREYEDPEIKDYLKAHAARALGTLATGNPAICKNITESRALLCFSILLEKATGDVQYNSAMALLEICRVAEQNAELRRSAFKPTSPSARAVVDQLLRVVEKADYDDLLIPCITCLGCLSRTFRATETRVIGPLVRLLDEREADVSLEAAAALAKFACMDNYLHVDHCKSIIAHGGAKHLVQLVYFGEQVVQTAALVLVCYLAHNVPDSEDLAQAEILTVLDWAWKQGYMSQDPMIESLLPEAKIRMELYQSRVGYY >Sspon.01G0015460-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:46420215:46423453:-1 gene:Sspon.01G0015460-3D transcript:Sspon.01G0015460-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRVSNAKKRQGAKPASAGLTGTNTSTGTAATKRKAEDDRPVRVYADGIFDLFHFGHARALEQAKMLFPNTYLLVGCCNDELTNRYKGKTVMTQEERYESLRHCKWVDEVIPDAPWVLTQEFIDKHQIDYVAHDALPYADTSGAANDVYEFVKKIGKFKETKRTDGVSTSDLIMRILKDYNQYVMRNLARGYSRKDLGVSYVKEKQLQVNMKINKLRETVKAHQEKLQTVAKTAGLNHEEWLANADRWVAGFLEKFEQHCHNMETAIKDRIQEKLGRQLSKGISAGLVQEPVTA >Sspon.01G0007720-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:14727796:14730000:1 gene:Sspon.01G0007720-1P transcript:Sspon.01G0007720-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSATALFLPANPNSTPIPASTLRQPAPASTSGKLHFPLRLPRHVRARTCRPAAFGRGSPVAERREKDHYATLNIRRDATLQEIKAAYRILARKYHPDMNKSPEAEEKFKEISAAYEVLSDQDKRSLYDRFGEEGLSGDYRDGDIGTHGIDPYELFNAFFGGSDKPFGDSMALGDFIIVQKQRAIGHLISESILGVKREINVFRHETCSTCHGTGAKCSNDITECTRCRGQGRLMKTQRTPFGTVSQV >Sspon.04G0009580-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:27548480:27553053:1 gene:Sspon.04G0009580-3C transcript:Sspon.04G0009580-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEDKNSSQDTVQKSGHIVLQNVSYDKDVVEIKLADDIDSDNYGGNFVKDVCVDEGALLHRMTSEEKPLDRRSSPNFSCQMIDADSDIRYGKKDYSTISVHKLNPEVVSPVDFAPQCNNEKQHSSGKEYDLEDRIDTGFIAGNPSEKKISLQELLLLESAEESRHSGIINSESSEKHKCPLHEEAVGQTSKDGDPNVQTSLANTSEYVSCGISSKENASGCPATTPGDHVTATALDVREPQKIDRYNPSLDHRSLEETSVPECYITGITDAASTDSTCSIQNVTGVTTGLDEAETSEPGADTLSTSSSAIQSSEKSNDHSESIFSKAIAGAVDETAVATSSTPNSAEPSDAYGKNQEKHDEIDEEHSIGTDDGASKSSTSTLAQDGSAVEQTVPGSSKSTARVGNENTYEPNFCGPSIMSGPVSMSGHIAYCGSISLRSDSSTTSTRSFAFPVLQRECISSPVRMAKAERRRSSRRHRVWRKGIICCKF >Sspon.05G0016340-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:66445908:66455743:1 gene:Sspon.05G0016340-1A transcript:Sspon.05G0016340-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSKGKKKNPSASPRPSPRTPPSRSSAADSRTGDGERSVDLPSIAAAAAAQFPALVPRGGDGCFAGIVAEVAPRDGSRGGRLGRLWLSEAAMVGAGMRPGCLVSVSSRLLLFMVSLISSSSDQLDAFPLVNLFEECSRFFDLDLDNDLLYGEAGKNFVVATVLSSREVPKNGIKLSWDLACVLGQPLVGPKRSDDIHILRVMKCKNLYLSLVPPNVGSSNGIESESDYHHERSTMVMETPKRGPSTPLHKKESHHFASNSGSSMCLDPTTARSALADEKVNELLHTSAVRWLNGRHLLKGNFVPLSICGKLSLFVVMGAEPDSSSQDVLCEKGNTLSNAEDSTKSGETPVFFLVDGTTKVHLSDSVCPKQLGSDKPGLPSELYEYDDKRNEDSNHAPTLGGLSKESATIKGIISFSLADQIGLPRYKGILLYGPPGTGKTSLASSCAYDAGVNLFTINGPEIISHYYGESEQSLYDVFSSAKQAAPAVIFIDELDAIAPSRKDGSEELSIRMVATLLKLMDEIGPSDRVLLIAATNRPDSIDPALRRPGRLDKEIEIVPFKIFLDTKVGHAFIDGTKFNDFNSTSLSSDMSAIPGQRMDILRRLLIGVHHSLSNEELESVALVTHGFVGADLAALCNEAALSALRHYISLKENSTQQLGHPGCSFDNCSQDTEDPSSLSSSFSRLTMSSDDVACMKGGNIKCSESYDGTDEIPLLVTIKDFDKAKTKVRPSCSKTLMARAAASEAKLNFLAVKGPELFSKWVGDSEKAVRSLFAKARANAPAILFFDEIDGLAVTRGQENDGTSVADRVLSQLLVEMDGLDQSVGVTVIAATNRPDKIDPALLRPGRFDRVLDVQPPNEADRADIFRIHTCSMPCSADMNLNELARLTEGYTGADIKLICREAAVAALDESFDIQEVAMRHFKSAVGRTKPSDVNCIS >Sspon.01G0000760-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:2578596:2581274:1 gene:Sspon.01G0000760-1A transcript:Sspon.01G0000760-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQPAMTPPFSPAAAGQHATPISSRPPPESQQHQVDEVGGGSASAGSSFVVHHDGGGASAGGDRNGPSGGNRWPRQETLALLKIRSEMDAAFREAALKGPLWEQVARKLEAMGYKRSAKKCREKFENVDKYYKRTKDGRTGRGDGKAYRFFSELEALHGASSPHPPVVVSLAPTPVAVAPPATALVGAPTMAPAALHAEPPPPTPPQPRVVAAAPQPAPTTGTGSATATTAAPAGATSAACMITPGDVSFSSGSDGEDTEETGGGGDGGKRKRDDGDGDGSSGSKMMRFFEGLMRQVMERQEEMQRRFIEAIERREQDRMIREEAWRRQEVARLAREQDALAQERAMAASRDAAVVSFIQRVTGQTIPMPMPSSVAPPPAFITALTHTPPPLQPTPVASAAPAPAPAQPPPPPAHQLSPTTPKPHTTMPMTAQLQPHQAPSPSTHPQGNKEIVVRAPPPAESQDTAGSGGGAPSPSRWPKAEVHALIQLRTELETRYQDSGPKGPLWEDISSGMRRLGYNRSAKRCKEKWENINKYFKKVKESNKKRPEDSKTCPYYHQLDALYRSKALASLSSGAAPAAAPPRRISRLLRRPSPCWPRCRCPRRRLTATAT >Sspon.03G0002940-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:7376414:7378855:-1 gene:Sspon.03G0002940-1A transcript:Sspon.03G0002940-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMDAGLHEPCALLPGSKRDGHMPIYPQIAAAAAANGFTAEELESLLFLSPDGIASAAGGVGGSYLNVAPTTVVPPARTNRASPPRDASAAPPSVAQPDDSEAFSDIVLGYINRMLMAEDIDDKFEHYPEHPALVAAEKPFLEILAERPSSSGGSAVESPGGSSVGNSCYSAGSCTCATATAASDAFDAVLTPALGFTSAAFLQPPQLYQDLSPESSVVEAGGAWPYDSMEFYQLQSNLLSQSSSFASSNGSGVTLSDGFESLLSSPGVMPDVGFTDFAVQSQQAMQFCRGLEEASKFLPDESKLVIDLEKPASVTSLLANIKGENRFAEVKTEKADVEAAIHRGKKHFYGDDLDAEEGRCSKHSAPAIDTDHLVREMMDKVLLCNGEMCSKGVKELREALQHDVAKNSHGVHGKGSGHGRGRGKKQPKKKEVVDLETLLVHCAQSVATDDRRGATELLKQIRQHASPNGDGDQRLAHCFANGLEARLAGNGSQIYKSVIMTRFPCTDVLKAYQLYLAACPFKKISHFFANQTIMNAVEKAKKVHIVDYGIYYGFQWPCLIQRLSTRRGGPPRLRITGIDTPHPGFRPAERIEETGRYLKDYAQTFNVPFEFRAIASRFEAVQIEDLHIEKDELLIVNSMFKFKTLMDESVVAESPRNMVLNTIRKMNPHLFIHGIVNGSYNAPFFVSRFREALYHYSAIFDMLETNIPRDNEQRLLIESALFGREAANVISCEGLERMERPETYKQWQVRNQRAGFKQLPINQDIMKRAREKVRCYHKDFIIDEDNRWLLQGWKGRIILALSTWKPDHKSSS >Sspon.05G0011000-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:33721700:33726161:-1 gene:Sspon.05G0011000-3D transcript:Sspon.05G0011000-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAEIPNSSPEATNPAAATGPDLSSSPPLPPRKRRLSPSASPTRSASRSRSPRSRSPRGRRSRSRSRSRSRSPQYLPDGKRRRHNDLNVEACRDFLRDRCTRSDLECRYAHPHNSVSVDQCVRARSRLLLRLDNKVTACADSLRNNCFRGRTCRYYHPPPHIQEQLLRSIGVEDPKLKTQICRDFTRGKCSRSANECRFLHHSSVEDVAIVCQDFLRGQCNRIACRYSHVVAHPVPPMSHVPMPYPEMVYNKNRVEVCRDFLKNMCNRESCRFAHPETHTTAASANVEVCRDFKRGECNRPACRYFHPFTNGYCLFPQLECSAQRRCYRCAAAAAAAANSASATKELLRWLLRR >Sspon.02G0013390-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:38224244:38225567:1 gene:Sspon.02G0013390-3C transcript:Sspon.02G0013390-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heat shock factor (HSF)-type, DNA-binding domain containing protein [Source: Projected from Oryza sativa (Os09g0455200)] MERCGSWDCDAAAAQAAAQKAVPAPFLTKTYQLVDDPATDHIVSWGDDRVSTFVVWRPPEFARDILPNYFKHNNFSSFVRQLNTYGFRKVVPERWEFANEFFRKGEKQLLCEIHRRKTSTSTASPSPPPFFAPPHHFPLFHPGAPHHHHHQFDDGVVAAAAHAGVGLAFPHPHWREPHAAAAPVATRLLALGAPPSPSPLPAADAGGGGNNAGRAATAAVLMEENERLRRSNTALLQELAHMRKLYNDIIYFVQNHVRPVAPSPAAAAFLQGLGLQAARKKPAAAAAPVSAAGLNHSGGSTTSSSSLTIAEEPSPPPQQQADKSGGGGGEAGSSGTARSATMLFGVHLRAAPSGAGGTKRPVSPEEADQPPTSPARKPRLALEGADLSLTVAVRSSAASSPASTS >Sspon.06G0002920-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:9255429:9261958:1 gene:Sspon.06G0002920-1A transcript:Sspon.06G0002920-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYQNPPPGTQFLHPHLLLISFLALSSICNLGILGHHHQMCTLLPLGAMDIHHLRRRRRRRRGLTPHLSTLHRGTRATSTTSSALTTRRHRMESISSNSTTTTMETRVAQAPPGSSKDVWLLSAVAACWRNAAAASEMLDTVR >Sspon.05G0028850-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:5B:72913185:72913757:-1 gene:Sspon.05G0028850-1B transcript:Sspon.05G0028850-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRRCMPLNNVFKASLCRRLIAKTLHAGHHSRRLALDSYGVRLTTMASRNRCFGVRFAPNRAWRTPYTGPILGGKQECAERPGVREKLACAQREMRGRNIAQREEHAGDLEGETTAAVDLRALPREEGEAEEREREREEGEAEGRREEQSSASGEGSRAGHPERGGGKLSSHSCNRGVMRRRNSSGERRR >Sspon.02G0052770-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2C:96308009:96308323:1 gene:Sspon.02G0052770-1C transcript:Sspon.02G0052770-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLRREEEGLTYWIQRTRLRWWPCRCWAALFAEITPRVTSRAAMALSGEGEGEAARERTSPHQAPWTHGGDLACAGSAPAPSSERREREKKSGDGEKSLVAVKG >Sspon.01G0011730-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:31848420:31858879:-1 gene:Sspon.01G0011730-1A transcript:Sspon.01G0011730-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MWHEARRSERKVHDLMDAARRRAQRRAAYLARRRGDPQQALQVSGTRCRVHRDDALYQATEDQQGLIPWNGKQDVLIDRFDGRALLDFIRDSSSRPFRVQEKSEEEEELEEFVNFERYRDLIKHRRRGCRYLFVSDEAGLQHVVQELEAKALLPFSFDKPQSSQPPASKGAYSQVGYSYKGEGNEESEDLDSDDDDEEEEDEDDDKDFSSDDSSDERMESIAKEFGIKRYNWLVYKDKKNKEEEKRQKEIVKGDPSIKKLSRRERRKASQIEREKEREAARSVGRVSYHDPYREQRRSPSYEAYSRGRRSRSRSRSRSPSHSRRHGRGIHAESNYRSKPKAPRIEYITEFGGSDDTSEPKVSGISPPSSPIRIDIPNRSGNSTFNICKYTLDELFSDGAYYISGQILEALHSDPASSLSVEQERTAKLLKPPPALAKLSKGASGGTGKTPQTEKKETPQERLKRIMSKQLNKQIKKDTAAEIAKKREQERQRQEKLAEVGRYRHRSRSRSLSRSPP >Sspon.08G0020490-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:22306572:22307387:1 gene:Sspon.08G0020490-3D transcript:Sspon.08G0020490-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMDPTMARRLWHVVRAVLLMLRKGMPKRKLAMDLHLLVHRGKIAGKALGNLMTAHGHNSHHDKAAAAAEAAAVPPQKFSCGRRGRPLDPSLAVYDPRGTREVEFSCSNTPSYPSLHLIPTGKRRRRNNNNGRRGTHRGANGAEPGWYNYDAADIARVFQILNNNDQLFSGGDDDDTSLDQQPSPLALIPTPSPALWASFGRTPAHVRQLRITDSPFPLRDDADCGQVDLDAEEFIKKFYEQLRTQQSLATATATPDYYGGGYAPPVSGIA >Sspon.02G0013530-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:35945373:35951786:-1 gene:Sspon.02G0013530-1A transcript:Sspon.02G0013530-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTLAAFLVLLLPLLLSAVGAAEAELTRGGFGFKATLRHVDANAGYTEEQLLARAVRRSRARVATLQSLAALAPGDAITAARILVYASDGEYLMEMGIGTPTRYYSAILDTGSDLIWTQCTPCLLCVDQPTPYFDPAQSSTYRSLGCSSPACNALYYPLCYQRVCVYQYFYGDSASTAGVLANETFTFGTNDTRVTLPGISFGCGNLNSGSLANGSGMVGFGRGSLSLVGQLGSPRFSYCLTSFLSPVRSRLYFGAYATLNSTNASTSVPVQSTPFIVNPALPTMYFLNMTGISVGGYLLPIDPAVFAINDTDGTGGTIIDSGTTITYLAEPAYGAVSAAFVSQITLPLLNVTDTSVLDTCFQWPPPPRQSVTLPQLVLHFDGADWELPLQNYMLVDPSTGGLCLAMATSSDGSIIGSFQHQNFNVLYDLENSLLSFVPAPCNLIEHNKNMEQRLVVLVLAMASLYACPAAASAFAGDDVRVALKHVDAGKQLSRPELIRRAMQRSKARAAALSVVRNRASDSARFSGKNNEQQTPPTGVPVRPSGDLEYVVDLAIGTPPQPVSALLDTGSDLIWTQCAPCASCLTQPDPLFAPGESASYEPMRCAGQLCSDILYHGCERADTCTYRYNYGDGMMTMGVYATERFTFTSSDSDRLMTVPLGFGCGSMNVGSLNNGSGIVGFGRNPLSLVSQLSIRRFSYCLTSYASGRKSTLLFGSLSGGVYGDATGPVQTTPLLQSLQNPTFYYVHLTGLSVGARRLRIPESAFALRPDGSGGVIVDSGTALTLLPGAVLTEVVRAFRQQLRLPFANGGNPEDGVCFLVPAAWRRSSSTSQVPVPRMAFHFQGADLDLPRRNYVLDDHRRGRLCLLLADSGDDGSTIGNLVQQDMRVLYDLEAETL >Sspon.03G0014910-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3A:47751577:47754322:-1 gene:Sspon.03G0014910-1A transcript:Sspon.03G0014910-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGGGAPVVVVLMTVAVVLVAVSTPVSGQGDDPAPAAVCARRPVVFAFGDSNTDTGGVAAGLGYYYPLPEGRVFFRRSTGRLCDGRLVIDYLCESLNMSYLSPYLEAVGSDFTGGANFAISGSTTLPRNVPFALHVQVQQFLHLKQRSLDLIAHGGGGTAPVDADGFRNALYLIDIGQNDLSAAFGSGAPYDDIVHQRIPAIVSEIKDAIMTLYYNGAKNFWVHGTGPLGCLPQKLAAPRPDDSDLDDSGCLKTLNDGAYEFNTQLCAACDGLRSQLRGATIVYTDVLLIKYDLIANHTAYGFEEPLMACCGYGGPPYNYNANVSCLGPGFRVCEDGTKFVSWDGVHYTDAANAVVAAKILSGQFSTPNMPFDYFCQA >Sspon.01G0030180-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1A:104835904:104837747:-1 gene:Sspon.01G0030180-1A transcript:Sspon.01G0030180-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding PRETITSGTEWSRVVRLAPDADILNVDLSVWSPASFITESYKQWWQEWKEQLFATSVHTYRHMIDPDYTIPDDAADDPVPSISKSGKPFNLQPISPISVIGYNAPTLAALTFQRTRAKTVTSRSKLATARATPLAAASSLIKTFKSRCWIIIDNSSSTKHHYLQSTRAANGHIGKHTRRSSSTINKCRCPKPTVAETQVKRPTIDEVVEDIPSASSADPSHGPLQAAPSSQAQEIALKQEQDSPNSLFSFAIDISDDDGEEASSSLALGTISAEIYAKLEALLNLLQQDTAQLVNDSDPAKTIFKTIRGQVPADVEETLFPAVHLESRQLQYQRAAHRIADRAAQAQLKEEMLQLKQTADEKHKSISNLQTSGADLKQKILDLSAKRAALLAELEEVETALTHARQEEDQLPDVIKALQQERDVQARKALALKKKLKPVEGVADEDAKELKEADEIRLRAISAIQSLLNL >Sspon.07G0003790-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:8437675:8441098:1 gene:Sspon.07G0003790-2D transcript:Sspon.07G0003790-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKTVVLYPGVAVSHFVPMVQLADVLLEEGYAVVVAFIDPTLKGDIALAAVIDRVAASKPSVVFHMLPRVEDAPTFVHDSKFMVRYMEFVGRYNQHLHDFLFSMPPGSVHAVLVDMMSTEVLDVTSKLDIPAYAFFPSNASALSVSVQASSVRSEGQPSFGELGDAPLNFHGVPTMPAYHLHAEMLEDPGSETFQATTNMLRRIQDANGILVNTSASIEPRAVSALRDPRSFPKMPPVYCVGPLVAGNGGQATEKHECLAWLDEQPEQSVVFLCFGSTGAGNHSKEQVKEIATGLENSSHRFLWVVRAPSHDDAEKPFDPRADPDLDALLPAGFLERTGGRGRVVKLWAPQVDVLHHAATGAFVTHCGWNSVLEGIIAGVPMLCWPLYAEQKMNKVFMVEEYGVGLEMVGWQHGLVKAEEVEAKVRLVMESDEGRLLRARVSKHKEGAAMAWKDGGSSRAAFAQFLAHAGNSWLASLSG >Sspon.02G0018670-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:55913427:55914068:1 gene:Sspon.02G0018670-4D transcript:Sspon.02G0018670-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRFSYTYTTVLVALAVSAPLAALAGDPDILSDYIVPADANLGAITGAFFTYTGLRAARNMNTPVLNFTATKATMAEFPALNGQSVSYVLLMFPAGGSVNPTHTHPRAAELLLVLDGALSVGFVDTAGKLYTQDLAAGDMFVFPKGTVHWQFNQGDKPATALAALGSAAPGLVSLPATLFGASNIDDNVLAKSFKTDVATIQKLKAGLAPPKK >Sspon.05G0013390-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:48071089:48082272:1 gene:Sspon.05G0013390-2B transcript:Sspon.05G0013390-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHYAISHPILLVDERTGLSKMAEEKAAADCGLGGAGAADAAPAGEAAAARVRPVETLLRAAPLGLCVAAMTVMLRDQQSNEYGTVAYSDLGGFKYLVYANGICAAYSLVSAFYTAVPRPATVSRSWVVFLLDQVRVHVPDPGGRCGGGELLYLAYNGDKEVTWSEACGVFGSFCHQARTSVAITFGTVLCFILLSLISSYRVFSAYEAPPSSARQQGRRDRRIPTLKTGLSKMAEEKAAADCGLGGAGAADAAPAGEAAAARVRPVETLLRAAPLGLCVAAMTVMLRDQQSNEYGTVAYSDLGGFKYLVYANGICAAYSLVSAFYTAVPRPATVSRSWVVFLLDQVFTYLILAAGVAGAELLYLAYNGDKEVTWSEACGVFGSFCRQARTSVAITFGTVLCFILLSLISSYRVFSAYEAPPSSVLGSKGVEIAAYPR >Sspon.04G0024010-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4B:16837403:16837706:-1 gene:Sspon.04G0024010-1B transcript:Sspon.04G0024010-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding CVALAAAWWMIFG >Sspon.03G0005860-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3A:16472726:16473052:1 gene:Sspon.03G0005860-1A transcript:Sspon.03G0005860-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKGKLGELVWEHRVQAAVAVAVVAAVAVSISAVGPSLSAVVSFFWPLLLSTAFCLVAVSVLLWISPPPAGDADESGKELIDFVAGCRPDHLAPPDAAPAAAAVPVAA >Sspon.01G0051510-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:19167147:19169650:-1 gene:Sspon.01G0051510-2D transcript:Sspon.01G0051510-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKLCPNLERDDALDTVLEVPIPEEMFSGGGRGGSRGCRFGCTAWMRSHAADRSGAGEPCSMSRGELQLMLGVIGAPLIPLPVYHAKQSPCSVLCEQLKADPIESSSAKYIVQQYIAASGGEWALNKVKSMYAMGKVRMTAAELNSSDADGHGSGGGGTGGNGHRGGKKGGKGCGGEIGGFVLWQKKPELWCLELVVSGCKISAGSDGKVAWRQTPWHQSHASRGPPRPLRRSLQGLDPQLTASLFADSVCIGERSIDGEDCFVLKVEAEASSLRARNSSSVEIIRHTVWGYFSQRTGLLVQLEDSHLLQIKSSGGVGGSVFWETTMESRLGDYRAVDGVNIAHAGRTAVSLVRFGDCQDGNTRTRMEETWDIEEVDFNIWGLSMDCFLPPSDLREGKESQDVAIVKGTDARPPPIRIPAVTVRVGPSQVAAVNMDDSDSLIA >Sspon.02G0015300-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2A:41662229:41662657:1 gene:Sspon.02G0015300-1A transcript:Sspon.02G0015300-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRAWVTAAAALNPQLLAPRSFSPAQSVSPAQRSPSMGLRQRSRRPCPGKFVCRRAKNAGYEDYKFPDPIPEFAEQVSLSPPSPSSYRVGTKTSGAAAVSFLMANILLGSASGDEQVQRAHGVAAGAEERGLLRGPCRGDR >Sspon.02G0036100-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:26941732:26945371:-1 gene:Sspon.02G0036100-2C transcript:Sspon.02G0036100-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPADLPPGFRFHPTDEELVNYYLKRKVHGLSIELDIIPEVDLYKCEPWELAEKSFLPSRDSEWYFFGPRDRKYPNGCRTNRATQAGYWKSTGKDRRINYQNRSIGMKKTLVYYKGRAPQGLRTNWVMHEYRIEESECENTMGIQDSYALCRVFKKNVALGEFQKQKQGECSSSQAEKQEQFTSVGDAGQSSGSNEHGKDNTWMQFIADDLWCNKTKYSG >Sspon.05G0002690-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:11960826:11961702:1 gene:Sspon.05G0002690-1T transcript:Sspon.05G0002690-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYQAPPPGTAAYPPPGTAYPPPGQQAYPPPGEGYPPPAYGAPPPMAAGGYPPPPPPQQQQDSKGGNDGFLKGCLAALCCCCMLDMCF >Sspon.05G0011800-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:34208454:34209369:-1 gene:Sspon.05G0011800-1A transcript:Sspon.05G0011800-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASASTVSGLAGASLATRPAFSTSFTRGSRVSARNPLMTRNLERNGRITCMTFPRDWLRRDLSIIGYGLIGWIGPSSVPAINGNSLTGLFFSSIGEELAHFPTPPPVTSQFWLWLVTWHLGLFIVLTFGQIGFKGRTEDYFEK >Sspon.02G0027340-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:107405942:107410081:1 gene:Sspon.02G0027340-4D transcript:Sspon.02G0027340-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LPSPSHRAGCSHPIPWSPTPPKTLAPSRRPSPLTTRRARSTTPSSPATGSRTPPPPPQPFPPGADAADAAAQAAPPPSPPSSPGAGGGVIEEFNFWRRKPEAAEAVAAIMALAAVIRSSRATTMMELEIELKKASDKLKSWDATSISLSAACDLFMRFVTRTSHLEHEKFDAAKSRLIERGEKFGEISLKARKTIAMLSQDFIYDGCTILVHGYSRVVLEVLKLAASNRKLFRVLCTEGRPDRTGLRMSNELAALGIPVKVLIDSAVAYSVDEVDMVFVGADGVVESGGIINMMGTYQIALVAHSMNKHVYVAAESYKFARLYPLDQKDMTPAHRPIDFGVPVPTGVEVETSARDYTPPQYLTLLQTDLGVLTPSVVSDELIQLYL >Sspon.02G0036630-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2C:21449265:21450155:1 gene:Sspon.02G0036630-2C transcript:Sspon.02G0036630-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding PPPAPPPNPPPAPPPIPPPPPPPIPPPPPPPRPPPPPTPPPAPPPNPPPVPPPSPPPPPPPMLPPPPPPRPPPPPAPPPIPPPAPKPPPLPPPSPPPPPPPMPPPPPPPRPPPPPAPPPMPPPAPKPPPAPPPRPPPPPPPIPPPPPPPRPPPPPAPPPTPPPAPKPPPLPPPPPSPPPPPPPNPPPPPPPSPPPPPTPPPKPPPAPAPPPPPRPPPPPPPRPPPPPPPSPPPPPRPPPTPPPPPKPPPPPPPRPPPTPPPPPKPPPPPSPPPPPRQRPKRQSRPNGPRPKRGPRP >Sspon.04G0020260-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4A:71225204:71226111:-1 gene:Sspon.04G0020260-1A transcript:Sspon.04G0020260-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPGWGPMFQEVQHVVVRKIPCPLRPDAGLRSAGPHLLTRPQRQDDGRAPPRVAPIDALLSSQEPDMVPLSCQSGESWAAVLVANVHNAQVWPTPKPLGPPQPSREDLLDPASMQKALVSTCAALCPCGARSPVVGPDTVPPSFSAFIKSYKLPQQLSVGAYDDPHDGQPDQPTDDGPSTPIHGMDSPPSVKDFIAGLKLPLEMPLIQSPPHLRVSHVRVENLVSRRSDRLAAKSVYRDPNPEKQAKRGFAQQMAAISERPTVSAGDV >Sspon.03G0009180-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:25199505:25216408:1 gene:Sspon.03G0009180-1A transcript:Sspon.03G0009180-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDETPSSSGQSEASSCEPNWWPPDLLEKIESASISRKQDVLGNLRSSSWKASQLLWSTGSYSGLIPNGFYSIIPDKKLKETFPTIPSLDDLQTLEADGLKADIIIVDTERDKKIFMLKQLSAALVKGLSSSPALVIKKIAGLVFDCFKRQNPDASPARGSIEDTHFFGNKGPQLLGQIRHGSCRPRAILFKVLADAVGLESKLVVGLPDDGAVGFVDSYKHMSVVVSLNSMELLVDLMRFPGQLIPFSAKAIFISHISAAGESDSAENDSCDSPLEPNSPLYGLSDKVEAEGIESSSNLSGRSLRNMMLRSRTFSEGKLSTSCSEPNIANAFWRHSQRRGVAEEPRGASSSPEHPLMRARGRSILGGEKQSFQEYTESGAASRSDGLEGTSTSNARRIRRRSISITPEIGDDIVRAVRAMNETLKQNRLQRDHVEGSCSYVTEDQSNANDCPNKDDTSRGIGAIDSDSRNRTGSTQKAVSLPSSPHEYRGQVAPKSDDFISKEKMALAWNKVFQSSPFLNKPLLPFDEWNIDFSEITIGTRVGIGFFGEVFRGIWNGTDVAIKVFLEQDLTTENMEDFCNEIYILSRLRHPNVILFLGACITPPHLSMVTEYMEMGSLYYLIHMSGQKKKLSWRRRLKIIRDICRGLMCIHRKKIVHRDLKSANCLVNKHWTVKICDFGLSRVMIDSPMTDNSSAGTPEWMAPELIRNEPFTEKCDIFSLGVIMWELCTLSRPWEGISPVQVVYAVANEGSRLEIPEGPLGRLIADCWAEPENRPSCQEILTRLLDCEYTVS >Sspon.07G0037750-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:62391078:62401758:1 gene:Sspon.07G0037750-1D transcript:Sspon.07G0037750-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLGLRTKTRKDSAFHVDFNILIQEISPWPPSESLKSLRSVVLFWENGERNSGKTSTVAPSIGSGSAAGKIEFNEFINLQAVFQKEGSSKSGKWQKNLLELNLYEPRRDKLKGQHLGTATLDLAEHAMFHEDTSVPVPLSSKRSFKNNAQPMVYLRIQPLDGDNSSVSSRDALSKEASVDQDSKEFVSATMSEEYTEDTEFASFTDDEEEAAPYMYHSGGTALTGSSRSQESLKGKDIRLAGNEGASSSLDSQHEAISSSMKVRSEEVEKFPIQVQKPNGHPANLSLSSDLPREQNPSLPPHNAFRSGRKMSFAYGMTESNQRQFGERTYSTLTTDRARNMRFSMRVPDVNGSVINKKVDSQKEEVKEVDSQDIAITHENKANADDGLQVQEPIRISNNRNDNKVRELELKIELLEAELREAAAAEIGLYSIIAEHGSSVNKVHTPARRLSRHFVYALKNCSRDKMGSAARNATSGLVLVAKACGYDIARLSFWLSNCVVLRAIVTETSRQSGTVNSISSGDYNSKTTYRKNSASMWESLNRKKGKLLSPEFDNWEDVDTFIAALKKIESWIFSRIKSYGRITVVGIQQQATISTDIWKKAFKEASERLCPVRAAGHECGCLPMLTKLVMEQCIARLDVAMFNAILRESDDEIPTDPMSDPITDPKVLPIPSGKFSFGAGVQLKNAIGSWSRCLTDLFGMDMDDYPEAENGDGENGFGESLKPFYHLNALSDLLMLPKDLCPTFSSSIIKNILDVFVPDEFCPDPIQSSLLEALELEDHLEVNKGIRSIPCSATPILYNAPASGAVLSVIGDPRKSGSAILRKSNTSDDELDELSSPLTFISNTSSNPLAKLKRISNSSTARYRLLHEVWKLDDQ >Sspon.03G0004230-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:13075629:13078639:1 gene:Sspon.03G0004230-1P transcript:Sspon.03G0004230-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGREGGGGVAGGMSDSVLRKVLLSYFYVAVWIFLSFSVIVYNKYILDPKMYNWPFPISLTMVHMGFCSSLAVALVRVLRVVDLPTSPSMTPQFYTSSVVPIGALYAMSLWFSNSAYIYLSVSFIQMLKALMPVAVYSIGVLFKKETFRSSSMLNMLSISFGVAIAAYGEARFDVRGVALQLAAVAFEATRLVLIQILLTSKGISLNPITSLYYVAPCCFCFLVVPWAFVELPRLRAVGTFQPDFFIFGTNSLCAFALNLAVFLLVGKTSALTMNVAGVVKDWLLIAFSWSVIRDTVTPINLFGYGIAFLGVGYYNHVKLQALKAKEAQKKSAQADEEAGSLLQERDGHGDLMQGAKIGVWSTVAFKEVYAGFLHFNFGSEEQMRNFRAQKLGPTKLEIDAHGGKAKTRRS >Sspon.02G0016380-4D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2D:38489947:38490619:1 gene:Sspon.02G0016380-4D transcript:Sspon.02G0016380-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKCHAAAALAVAFAAAAAAAVAADRGLSLVGAAVAPEVEEMSLFRKVANLMWRSDGNSYQHVWPPMEVGWQIVLGSLIGFFGAAFGSVGGVGGGGIF >Sspon.08G0018840-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:8815824:8821723:-1 gene:Sspon.08G0018840-1B transcript:Sspon.08G0018840-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SGAGGQCKEPEACLVTPRIEPDSKKKEKGDVSLSTFRRPTGRVSCCLRNSSAACRADHDLDPPIVGLRYCQCSFGDSMSGQQDRASKSSSSSISSSTQESEEEAPVRSVTIGSLLAQANSSSGHSLGKRLFHLGSIPYTPRVNGKIPNVGNATLDHERLLERLGTYGLAEFQIEGDGNCQFRALADQIFRNPDYHKHVRKAVMKQLKEFRKQYESYVPMEYKVYLKKMKRFANRSEFLIIFTIESDAQSGEWGDHLTLQAAADRFGAKICLLTSFKDTCLIEIVPRDLTPTKELWLSFWCEVHYNSLYGIDDLLTRKAKKKHWLF >Sspon.06G0027740-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:62046989:62048509:-1 gene:Sspon.06G0027740-2C transcript:Sspon.06G0027740-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSATPEDFLAAQGAPYLAAPEPFSPSVFLDLPPTPGRPADDDDPDLVLPFISRMLMEEDIDDKFFYQFPDHPALLTAQQPYAQILSDSSSSSSHAATTTTSSSSGSRTAAGNSTLSPSSNAPASSPDPASWPPYDDQVDLSDLLRSPPYPSPDMGVGLDDFAALLSPAAQGQDDATAGFHFHQQGAEQQGEDTKAEAAATLPAGDADHAALASAFYGAQNGGNMDMLNMAFLKGMEEAKKFLPTTNSLLIDLDDTSGKSLPANRDSKPSNAFAATQVKEEEEEEQVVNAISVFGGSRSTNGRGRKNRHAEEDDLEAETGRSSKLMMPEQEETGANELYNEIMTCDYATFLKRMEDLRIAMDSESEKSARKVSRKGARGKQSLVNEVVDLRTMLIHCAQSVATGDRRSATEVLKQIKQHSSPRGDATQRLAHCFAMGLEARLAGTGSQAYQSLMAQHTSVVDFLKAYSLYMAACCFMKVRHIFSNNAICDAVAGRSKFTIHLCFNSY >Sspon.02G0003720-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:10717312:10725885:-1 gene:Sspon.02G0003720-2B transcript:Sspon.02G0003720-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTPETSREPCPDRILDDVGGAFGMGAVGGSAFHFIKGIYNSPNGMRLYGGAQAVRMNAPRVGGSFAVWGGLFSTFDCAMVYARQKEDPWNSIVAGAATGGFLSMRQGMGAAGRSALMGGILLALIEGAGLMLNRVLANPPLPADDPNLTAAMGGNPFPGLPQAPPVVAPPEAASSSGAGGWFGGLFGRKEEEKKASAGGGKSEILESFDTPSTPIPSFEYKLADATVAYLVLQCGSGLELVMHVSNTITDRTRDGLFDSLFLLHSASTAHIMRSLVYV >Sspon.06G0010420-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:57135773:57150778:1 gene:Sspon.06G0010420-1A transcript:Sspon.06G0010420-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCSSSREVGGGVVLPSAAPAERRQGLRSRSLGMCRGGHDSVHAYTALPFEESVKGAPDPAAAEEALPGEDAAAEEKRRHDAPSMAAAVMANLDDAASSWTARWSAEPAPSSSLPPEPLPALDLDPAVLPGFRQPVQVVPSPSHPALLYPEQENPAPLEACDDDVDMGTPAARDIPEVTDFVRARVVVDEFHEKLEKKAVAAEEVPDVVDRDNVTAPPRHRLTRTGKPVVLYLTSLRSVRRTFEDCRAVRAILRCYRVRLDERDVSMHAAFRSELRDLLGDEGFENGPALPRVFVDGGRHDLGGAEDVGGGVVLPSAAPAERRQGLRSRSLGMCRGGHDSVHAYTALPFEESVKGAPDPAAAEEALPGEDAAAEEKRRHDAPSMAAAVMANLDDAASSWTARWSAEPAPSSSLPPEPLPALDLDPAVLPGFRQPVQVVPSPSHPALLYPEQENPAPLEACDDDVDMGTPAARDIPEFHEKLEKKAVAAEEVPDVVDRDNVTAPPRHRLTRTGKPVVLYLTSLRSVRRTFEDCRAVRAILRCYRVRLDERDVSMHAAFRTELRPPRRRGFENGPALPRVFVDGGRHDLGGAEDVRALHEAGELARALAGCEAARPAAGACAACGEARFVPCETCHGSCKVFVDDERCRVRLPGFFRQCPDCNENGLIRCPSSEIGVPAKRPTRNAKQAKTISDPGLTKPGQTQPQPQPRAAFTGLHVDPTRDGPPDGSRPCSDPTRPPPPPLHSTSATDQN >Sspon.07G0014850-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:59408758:59409462:1 gene:Sspon.07G0014850-3C transcript:Sspon.07G0014850-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKLMEAGLLLNLLKGFLVVLVLHVNMWEEDLHQEQVVVLTVELMVTGQETARLVTGRTNVTAVAKGAI >Sspon.01G0017710-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:66909137:66911521:-1 gene:Sspon.01G0017710-3C transcript:Sspon.01G0017710-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQQQQEVRRVLKVAPPGKGDGESFPTVQAAVDAVPLGNRARVVIRLAPGVYREPVHVAKTKNFITIAGASPEATVVSWDNTATRIKHSQSSRVIGTGTFGCGTFIVEGEDFIAENITFENSAPQGSGQAVAVRVTADRCAFYNCRFLGWQDTLYLHYGKQYLRDCYIEGHCDFIFGNSIALMEHCHIHCKAAGFITAHSRKSTSESTGYVFLRCTITGNGDGGYMFLGRPWGPFGRVVFAYTFMDRCIKPSGWHNWDKSENERTACFYEYRCSGPGSQPSNRVTWCRQLLDVEAEQFLAHTFIDPDVDRPWLLQMMAIRIPASA >Sspon.06G0012570-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:50325401:50328326:-1 gene:Sspon.06G0012570-4D transcript:Sspon.06G0012570-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAQQKIRWGELEEDDGGDLDFLLPPRVVVGPDENGLKKVIEYRFDDDGNKVRVTTTTRVRKLARARLSRSAIERRQWPKFGDALKEDAGSRLTMVSTEEILLERPRAPGSKAEEPAASGDPLAMASKGGAVLMVCRTCGKKGDHWTSKCPYKDLAPPTETFTDRPPTSDGPPAPGGPVKGAYVPPTMRGGADRTGDVMRRRNDENSVRVTNLSEDTREPDLLELFRAFGPVSRVYVAVDQKTGSSRGFGFVNFVHREDAEKAISKLNGYGYDNLILRVEWATPRPNYKTFVNQGKSPRHMIGYQ >Sspon.06G0017480-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:73199241:73200306:-1 gene:Sspon.06G0017480-3C transcript:Sspon.06G0017480-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEANCASIWSEESEMIAHLQSMFWSSSNADSCLSSPNSSTSSCSQAFTPIINGVTSNKRACLMDENRKSKNSKKPRTIALINTELVNQSCSWSCSSEDDSIGACEKSVVLKQSTSLRGRSRSSKDLQSLYAKRRRERINERLRTLQQLIPNGTKVDMSTKLDEAVQYVKFLQLQN >Sspon.01G0004450-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:10434373:10435261:-1 gene:Sspon.01G0004450-3D transcript:Sspon.01G0004450-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRGTGAGYDRHITIFSPEGRLYQVEYAFKAVKSAGVTSIGVRGADSVCVVTQKKVPDKLLDQTSVTHLFPITKFVGLLATGLTGIVLFCFGPHHYLVSSDIMVQSLF >Sspon.06G0002300-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:7363823:7367197:-1 gene:Sspon.06G0002300-1P transcript:Sspon.06G0002300-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLYREGLMSYKQFIQELEDDVSPVEAQSRYEEYKSEYITTQKKAYFDLHKDEDWLRNKYHPTNLENVIERRNELARTTANDFFLQLQSGNLDTGPGLTGSAVNKSGNNSSKNLDAVEGDGKKGKLGKGPDDSYYAAPKAHPVSSEVRRIRIDIEQAQALICKLDSEKGIENNVLSSSDHEKSERDKSHGSMGPIVIIRGSSTVKGLEGAELLDTLVTYLWRIHGVDYYGMSETNEPKGLRHVKADARTYNGASSNGAEWENKLDSFWQDRMQGQDPLEMLKAKEKIDGAATEVLDPYVRKIRDEKYGWKYGCGAKGCTKLFHAAEFVQKHLKLKHADLVVDLTSKAREDIYFENYMNDPKAPGGTPIMQQPAPRGKGRQRPPIESRLRDERGNHRFDRNVDSPTHDGSGENPDDPIYDSFGDPIMHGAFPPDIPAPPVLMPVPGAGPLGPFIPAPPEVAMHMLRDQGAPPPFEPTGGPHPRKAGRVAGPPMRGPSPIFTAPPPHQMHDPRRIRSYQDLDAPEDEVTVMDYRSL >Sspon.05G0022180-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:6426110:6426424:1 gene:Sspon.05G0022180-2D transcript:Sspon.05G0022180-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVHVALLAVPAVAAGFLQAFQFAFLLWPFNLALPLARHLPRACIALRGVASFYDGELRRYAYASAVPVQPRSHRYASLRAVQQRTHGDAVVAHAMVALVDISY >Sspon.07G0015800-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:60362765:60365570:-1 gene:Sspon.07G0015800-2B transcript:Sspon.07G0015800-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAPVAPGSGCLSHPRPRPPWVRLRGARVAAAAAAAAEGPSCLYVGPIETASQEKLEALYDQARDSYYSGQPLIVDDMFDKVELKLRLYGSKSVVKYPRCSLIRQSTYADAEEDQAMFVALSSIWMLLLLFGTSAFLVPSLYTLSIAFGDVFGARHLLYGEKSLGAITRVNDLVLVGLGFVVGYPIASASGLIDKQFGCTKRVFAFVNTDKSVRAPHRAECHVCECPLEYRTKIEKSLSGPRRSWVYGRVYLVKQGHPRKRKWIND >Sspon.02G0043650-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:125092865:125099077:1 gene:Sspon.02G0043650-2C transcript:Sspon.02G0043650-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMLGFAPIREMLDSGVCVSLGTDGAPSNNRMSIVDEMYLASLINKGREAYITGTTNPTALPAETVLKMATVNGAKAVLWDNEIGSLEVGKKEEVISSAVKRANDLLARAGINLPRRMNYV >Sspon.08G0008590-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:32206576:32215289:-1 gene:Sspon.08G0008590-1A transcript:Sspon.08G0008590-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LPTPPSSGVSRLPAQYIQTPENRPTPSPSPVAAALSVPVVDLSSSTAADAVCAACTDWGSFHVVGHGVPGELLDVVREAGLAFFRAPMGDKLRFTCDPARGFAAEGYGSRMLANDDSVLDWRDYFDHHTLPESRRDPAHWPDFVPSYSSGVSRLPAQYIQTPENRPTPSPSPVAAALSVPVVDLSSSTAADAVCAACTDWGSFHVVGHGVPGELLDVVREAGLAFFRAPMGDKLRFTCDPARGFAAEGYGSRMLANDDSVLDWRDYFDHHTLQSPAVIPPTGPTSSPLHIVLCSSGVSRLPAQYIQTPENRPTPSPSPVAAALSVPVVDLSSSTAADAVCAACTDWGSFHVVGHGVPGELLDVVREAGLAFFRAPMGDKLRFTCDPARGFAAEGYGSRMLANDDSVLDWRDYFDHHTLPESRRDPAHWPDFVPSYRRLETPAHDGFPPHAAQLRDGFLTPAAQLPTPPSSGVSRLPAQYIQTPENRPTPSPSPVAAALSVPVVDLSSSTAADAVCAACTDWGSFHVVGHGVPGELLDVVREAGLAFFRAPMGDKLRFTCDPARGFAAEGYGSRMLANDDSVLDWRDYFDHHTLPESRRDPAHWPDFVPSYRDTIAKYSNSMKDLAQKLLCIISENLNLPPSYIQEAVGEVYQNITISYYSPCPQPDLALGLQSHSDMGAITLLIQDDVGGLEVLKDGMRIPVPALRDGILVILADQTEVPKAPFALSSSLLGYEAVTGSLDIFGFEDIKSILIRSNKLRTRRNKEADSYIRYLEYLQIYFSLESISYVLSVTLACVDYSVLDGIEMVRLLIYSMVRYITTTASTSHSCF >Sspon.02G0026710-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:94593238:94593825:1 gene:Sspon.02G0026710-1A transcript:Sspon.02G0026710-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLEGQVQPDRLGRRQDVRVFKYPKGKHTVVQVCEEGFETCNHDDDDDNQLGAWCSGHDVVRLDKPGKMWFICTKRNHCLKGMKLAIDVVDDAPPPPPVITFPFPGTAPPPPPFSWPGSPPPPPFGWPFPETSPPPPPPPPRSAAVRNLVGGAVAAATAAMVAAAL >Sspon.03G0033550-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:55685014:55687409:1 gene:Sspon.03G0033550-2C transcript:Sspon.03G0033550-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA damage-repair/toleration protein DRT102 [Source:Projected from Arabidopsis thaliana (AT3G04880) UniProtKB/Swiss-Prot;Acc:Q05212] MAADRRFKIFAAADGFGQSLKDAVVAHLRAHPAVAEVVDLGVDKYYAAAAAVARHVSSPDSAPDAPEVRGVVVCGTGAGVCIFANKYPRVYATHCASPADAVNTRSINACNVLALSGSATPPDAAAAIADAWLATPFRAPCPASGDVPWPEDIQQFFDSAPDEMAAIPEAEGAPDSACAICCLRSGMEFEPVGIMPGGEMRIVRESPTSAYVRFKAGSVEPAHHHTFGHDLVVIKGKKKVWNLTKRESYDLVDGDFLFTPAGDVHRVKYFEDTEFFIRWDGHWDIFLDEDLDTAHSAIDAEVAAASNSVHKKGIGMISGKQSLGAQPYLVSLIFNCSKMLVRLPSDKVRIARGSLSVIQRCAAADPRCAPIIIVAEGEAHLHLDPAAVAPVAAHALLKEGTWARPSTQAMQSR >Sspon.07G0006750-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:15762217:15764190:1 gene:Sspon.07G0006750-1P transcript:Sspon.07G0006750-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTLHMDPPVPPARRSVSTSCDLHPGETFTGFCAACLRERLQGLEASAAAASAPGRKSTSAIRSLFARPFAAGGSSASGPAEPPDLRRCKSFSCGRGGDALAAAAAAAAAAAGVRADEPQRRSCDVRGRSTLWALFHQDDRDRVRDGTAFGAFPASSSTAAAALAVDVHPPPQAQCIPDDFLDEDIPVVMEPDEIVPVVEEDPVVPMDISGEVEAEGNAAQGGKAIKDHIDLESAQTKKASPKDLKEIAGSFWVAASVFSKKWQKWRRKQKLKKEAAVSKAAAAAMPPPEKPSKPSFLRRSRLRGEAGSELAGGRRSCDTDPRFSLDAARMSIDDAGFSWDEPRASWDGYLFGAGAGIGLGRAPPPLSRLPPILSVLEDTPTDIVERSDGQIPVEDDFDPEPPGGSLQTRDYYLDSSSRRRRSLERSSSVRRPSFEVTDPRPMPSAVNGMGRESPIGGSEFYHFRHAEDLLDRGFSSNSLIEDISASLEAALSGPAKKPRRWRKAWSLWGLIHRRAAGRRSGGPSDIADRSFSEPWPDLRVRGANPKMQRCNSNLSARSSFSSNSGGLGSSRRSYVDANGHVRRREEPHAQAQLERNRSARYSPGRAAADNGMLRFYLTPMRSGSGRPRGGGGLPSKAGPGRPLATQSFARSVLRLY >Sspon.07G0007220-3D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7D:12271498:12274989:1 gene:Sspon.07G0007220-3D transcript:Sspon.07G0007220-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDHGSELGRDGRRRPARSQQLQYRLLSTTTTKNTDASPLKGQILKAVADAGDYHPLTAKGHLLCPEHVSKTLPCDKLRTHRKENYSSSLRQSHCSCKEEPFTHFEEEGQQSHLHNTTSSSSPVGKCADMEGNLYVRHMETQQTDQLNTSNTSSFPHSQHSQKEGISTNNSRDGQIDQLDTSSSSSLPLGRCINLIKQEIRRKNPSLLPAVGEALRPAFPAPLVALAAGPRPLSHEQYPRLRRHSPRLSPLDPNSPRNNLVSEMPGYDEPLPVTCSPMTPDHLTAAITDLARSVAAIQSYLGIPPLQPASWPLSQSAVVSLPPVFP >Sspon.01G0030350-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:103902868:103916769:-1 gene:Sspon.01G0030350-1P transcript:Sspon.01G0030350-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAQLLGEDGRGYELARRLEACGAWREWLGDDSAHAALAQHLTSPATWDAFLYPAASPSPPPRPLLLLQLRVRALLFDKASAALLLPPRGAAPVSLHSINANYLRLHGDDIYYCLEDEQEDNTQHQVYSRTAFSPSRDGSILSQRHNRYEELPDTWYKPYADKFRTWHSKLRSGDKDIPKRTPEGMSDYLKICSIHKRKRAVFMDDPSISPPMLENGPSLHSKNAGEFSNSTDELIPEIRFPSDCVPESAIPKTSGISRANKIEVHGVLDNLPAPVNRNTAMLERFGMVPEYYKTGNKYRGKDGSRVEGKSLSQEQALLMTKKLVARYLANSGFESGTAVSIDVLSEIIIKHICKLGRNLKLLTDSYRKQFSSIELLKMFLQNVGYSNIGPLMEITKMGNRVANYPFIKMHKFFSTKCKFPSCTAASKAVASANASEFDTAATAAASEFDTATAAASAAAAVA >Sspon.03G0007400-3C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3C:5671775:5673562:-1 gene:Sspon.03G0007400-3C transcript:Sspon.03G0007400-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING/FYVE/PHD-type domain containing protein [Source: Projected from Oryza sativa (Os01g0974400)] MGHGLSCSRDGGEEHDLFRAVQLGDLDALLAADPELARRATTIYDRLSLLHVAAANGQLQVLSMLLGVGDDGAGGAHAPARPDVDVLNRKKQTPLMLAAMHGRADCVRRLLEAGANVRFVSWSWMDGLVPVPDPDPVPDDLAQILMFDSVHARTCLHHAAYYGHADCLQAILSAAKTAPVADSWGFARFVNVRDEHGATPLHLAARQGRPQGVHHLLHAGAIVSAPTASYGFPGSTALHLAARRGNLDCVRELLAWGADRLHRDSAGRIAYAVALRRSHRACAALLNPAAAEPMVWPSPLKFISELDPEAKALLEAALMEANREREKQITVNLKGSNLKGSTTTKTDSCSSSADDDGTTAASSSLDDAELCGICLEQACSMEVQDCGHQMCAACTLALCCHSKPNPTTLALQPPACPFCRATITRLLVANNKTSNSSDEAALGGVRSHGSSSFRGLTSAIRSLSLSRIGRGSGRVADSDGICHGQASTPCGVNSLLPAQAIIQSFFEDQGSK >Sspon.01G0026040-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:89282364:89285582:1 gene:Sspon.01G0026040-1P transcript:Sspon.01G0026040-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLASAAHKIPLEVAHTLVEIAEVARYAYHHRPGHPADHDGDPTALPAGADGGGGASEEAARLREENAMLRARLADDLALLRELHGAPCVSKECPPDLYNRLMAAVNNASFLAHLEKLQDESAREHNELSSGNMTEVEVADIPDKMGNGKKGSWVLVACDTAGANLEEISGIDDENYVIINEDDIVDGIATFVARCILEDPKSKSLSPVQLQKAVAKALDSMKARWRWSTFWEAGQIIYILATWGITLAGLYKSRHVLKVAAKGAAASARFVMKAL >Sspon.06G0009410-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:50229112:50234654:1 gene:Sspon.06G0009410-1A transcript:Sspon.06G0009410-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRAELGNQDEEVLMVNPMGQVICGDTRKFAEQKMGFVQAHFNRTQTCHMSSIPSSKSSLQDELSPALTNGKVQIAEYARFLKGSSGERHVLALPAIDDMNPSEQNTSSAQTATGITRKPDQEASYQELTRMIISHGYPLSIVEHEEMRRFAKSLNPTFNMASSIDIEEYSTLLFQKEKADLKERIALLSHRVSLSASVWAPHGAEASVKSEDHKQFITIECF >Sspon.08G0017640-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:3219948:3220286:-1 gene:Sspon.08G0017640-3D transcript:Sspon.08G0017640-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGKAKPKKHTAKEIAAKIDAATTNRGGGKAGQADRLGQDKGGHAKLACPLCRTSAPDIKSMQIHHEARHPKLPFEPEKLVNLHSSAPAAAAAAAEATTSKPKPGIRGSLKK >Sspon.07G0012220-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:49374957:49375522:1 gene:Sspon.07G0012220-1P transcript:Sspon.07G0012220-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLARYDATVLLAAVTALSAAVAFVAALHLYARCLLQRRIALAEGSPRVVVLHRPPDGYVVEVVGVVGGAGGACGQQAAGLDAKALRAAGG >Sspon.01G0044180-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:80862236:80864615:1 gene:Sspon.01G0044180-1B transcript:Sspon.01G0044180-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPHVRPSIFLSLSLPPFLATTVPISFPPLSICHCRLSPTPPSTAPRPTVPKETCSRSRPSLLYLLHQSSIAASSFPHPAHSEIALTAVPMSDGAVRRVKGLLGLSPLGLISRLLRGQRAGTVAPPPLPRLSPLDPNSVHNNLVSDLAMSIPLPSTVPPLSAVIPPVTTAPLPAVTTSAMVSQSLLQMTVGASSSAVGVMTNEQLTAAVLDLGKMVAGIQSYLGLPPLQPASWSLSQSGVASLPPVVPYGMPSYGTTLLPFQDVQPTVQPTLQQIEQAIDITMEPAGKMLSCKVSAAVRLQAAARGLLARRLLQEMRQPMHEATLATVDLSSAERDLAPWDGHQQPRRPAAVFRHEHGVFSARNDLQLCGSGGREVTPILVTGGDALPSATAFRRRPPRGRLRWSLSRLIPGGYTRAPLSFRWAPWDPGGYTRAGPSRGGCPPYLHESKIKSRSLFQVNKISRDVKGLFLGVRFVSSGVIVSVIVKLQLEDELHVQLKLMGRGGQFTYIPTLPLAWRLPQASDVE >Sspon.01G0043920-1P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:70124062:70127889:-1 gene:Sspon.01G0043920-1P transcript:Sspon.01G0043920-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ETSQMPNARFQAAGAIGDAAVREWGILTDDNKRSLIIYCLNYVMEHASSPDGYVQSKVSAVAARLLKRGWVEFSDQEKAAIFFEVEQSIRGIHGPNRQFAAINFLETLVSEFSPSTASAMSLPKEFHEQCEYSLEVQFLKDFYCWAQAAVFNTADKILNSTVTIPDERACSAALRLMFQILSWNFKHTVEHESSDAKINSGLRIDTINLKKFERSLVKEVEFTPTTAQFGAIVRQKHLGGCMCDNGDAQIKHLMLILSAVVLWIEPPDVIAASIRNGGSESEFIDGCHALLSMASLTTGSLFDNLLKSIRPYGTVNLLSALTSEAVKSVLNNQSEEETWGIDSLDILLETWNVILGFKIPV >Sspon.03G0030120-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:19700526:19703040:1 gene:Sspon.03G0030120-1B transcript:Sspon.03G0030120-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MECGAFDNEEEAARTYDLAALKYWGSDSTLNFPSPLLPVKACRKSEWFCLVIREVLMRVLVRRHHHNGRWEARIGYACGKKYLYLGTFGTQEEAARAYDLAALELRGHAAVTNFDISSYTDGQQRAEPAVRKAQPKPALKPKDEPVDEAPPLPKARHPVPTPLLTPKPEPEYELGEPLALPPGPVLRDADDVDHAIAEILPALCMDPADFEARYPARRARALGCPPDDQLRGLALPDSVRFEDDIETLFDAAGGGPGGVHVQLPAAAGAVVPDVSGVDADAAATISSLASARWWR >Sspon.06G0032900-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6D:5544360:5544944:-1 gene:Sspon.06G0032900-1D transcript:Sspon.06G0032900-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAPRQLIRTPCHQRTSCPLRAARPGGRAAPSAVRCPGTGSTPLHHSARHTRQTASNFTVADPQHSPASAAPLMQPHPPPASLYYPSPLLPLCERERCCSCTGTATFQFQSAEPRTAPPATHPPEREAERRAKREPDRPSRAGADTQKPPQKPAISAPKSASHAAPPPSVLRTRDPDETKRNPPHTSPGAPSLI >Sspon.02G0019480-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:63276924:63281014:1 gene:Sspon.02G0019480-1A transcript:Sspon.02G0019480-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MANAMGKEVVDMSTDEESDCVAICPPNGNADHEEAASGSHDEDSSGRQENPHAMDSHMDSNGQEDVSVNPDLLKLIHQQESSLSNSPAMPAVARQQGSSHTVPEPCTVAPERRSSGAGNCAPIPHPTSSGEKLSDRSSSSPRSMAKKSPSVTPRKPLQSDNTSHSQEDDSYSVTSSTVTSARAGKTKKTTVAVAPTFVCANRAEKRGEFYTKLEEKRKALEEEKLQAEARKREEEEEALRQLRKNLVVRAKPMPSFYQEGPPPKVELKKVPPTRAKSPKLTRRKSCSDTPHTPEGGNGSAVCCRLHRHSIGNSKDVSTSTMFSKECFKDWISY >Sspon.06G0031610-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:69625896:69628999:-1 gene:Sspon.06G0031610-1C transcript:Sspon.06G0031610-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding METETAAAALPDDLLAVVLGRLPARSIATSRGVCKAWRDLIDERKLLLRFLLPHSVRGLFINYQDYGRCHFLSRPTATSSLEYEGPAGSTASLISSSENAPTPVLDHCNGLVLFMESDDEVLHVCNPTTRWSARLAPCPAGAALYYTTWRWGRRAFLVFDPFVSPEYTVLLSPAEPAKEQMANDDTCRLMEWPPSAWTTWHEFSSSTRRWEEKVFVREGEAADDKYTYKVIKSPVQVTEYKNGVRSFLGRSEKGVYFAAIASEAFAKLRVWILSESGGHMEWVPKDQSNLKINTWSWSSQNDDFDELKYWILDLELQYWKFDLNDGRKNQDIPLVEQNVCWDSDDDNIVDVSDDEEDVLPYVKFLGFHPYKEVIFLCSNGYAVAFHLNSPKVQYLGTVHPREYHRGIRESFPCGVCKAWRDLIDERQLLLQFLLPHSVRGLFVNYQDYGRSHFLSRPTSTSSPEYDGPRRVDAKPLQLVRCRGSLKWPCPLLGEAWEEGAARVQSSTRWSAHLPPCPDVAACHYTAWDWLRSTFLVFDPSVSPEYKMLLSPAEPEREQMENDDACRRMEWPPCSTSSPRAPGGGRRRCSSGKARRQGQRETCCLTNGAFIMSSPHGDMLRTGRVHSTYTGVVNLCRGIEIDLNIPLFQTSIHSTPPCDGCVLFCRLSLLDDKYKVIKSPIEHDADIRSFLGRSETGVYIAAIAGDGLPRLRVWTLSESSNHMEWMPKDQSNLKINTPSWLLRND >Sspon.01G0049090-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:95706373:95710867:1 gene:Sspon.01G0049090-2C transcript:Sspon.01G0049090-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVFHFLNCAFLTFGPHVVYYSATPLSEYDTIGTSVKAAAVYLGTALVKLVCLATLLKVPENDSFDPYQELMKVFIGFVDVAGLYFALTQLTHRNISQNHKFQAVGLGWAFADSVLHRLAPLWIGARGLEFTWEYIFQGLEANANLVMTLSLAALGSLMWLRKNKPRTLIPIIYACALLLATMPSITSYLRRSLEWQTPKVVGFELFSSLVMAFISWQLFSACQRPM >Sspon.01G0052570-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1C:24339839:24344500:-1 gene:Sspon.01G0052570-1C transcript:Sspon.01G0052570-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGTRLPLLFPTQRASHSLPRSHAATRLPCVFEKLPSRLTASPNPSPAPLALAPPYALRPLPGGWSMHRPHLCAPNAARGSPFASPLTPTPPRASNTATAERPRRVRTTGNGAHLSASSCSCGGEGGRYSGMGPALLNGTNPGRQASRRQPPAPDQVLAGNRPIWPSSQDQAHVAQAEEQDAGLFLAHGCVELQQETWEGVKSPGFPLSASAGSAQLHLDEPHAHAFLGKGAADDKIDSWYLDTGATHHMTGQREFFSNLDSGVKGSVKFGGASAVEIKGVGLYIPALRNSIISAGQLDENGSQVEIKDSVLRIWDRGCHLLAKVNRGSNLLHVLHVQVAHPLCLATRRDDEAWRWHQRFEHLHFEALKQLGKKDMVRGMPHIDHAEQLCDTCVVTKLKRRPFPRQASYRTTKQLELVHGDLCGPVSPATPGGRRYFLLLVNDATRYMWAVLLDSKAAAANAIKRHQVTAEECGRKLRVLRTNNGGEFTAAEFAAYCADEGIQRHYSAPYSPQQNGVRGMPTVYWGEAVMTAVHLLNRSPTSALDGKMSYEAWHGRKPAVSYLSVFGCLSFVKELNHIGKLDDRSTPAVFIGYAEGAKAYSVLDPTTRRVRVARDVVFDEGRSWAWDKAVDDGCIFIDVWVFITSADLFTNSTALAITKSGGARQPIGGGRRSIGSSSDLSSTAADHSSALANLLGVFYLARCDTSARRAGKGEPTTYAEAQGDPAWRAAMEQELKSIEQNRTWELVPLPDGHRPITMKWVFKLKKDELGAVIKHKARLVARGFVQQEGIDYDDAFAPVARMESVCVLLALAAQEGWQVHHMDVKSAFLNGDLKEEVYVRQPPSYAVAGEEGKVYRLCKALYGLRQTPRAWNAKLDATLKKMGFKQSVHEAAAYQQGSRQGGGVQGAYEEGVRMSNLGLLYFYLGIEVRQDASRIALRQTHYAKRILELGGMTGCNPAHTPMEKKLKLSRESTAEEVDPTLYRRLIGSLRYLVHTRPDIAFAVGYMSRFMERPTMEYLQAVKRILRYVVGTLDYGLHYGRGPDTARLVGYCDSDLADDVDTSKSTTRTMFFLGDCLVSWQSLKQK >Sspon.08G0005120-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:13039004:13041833:1 gene:Sspon.08G0005120-4D transcript:Sspon.08G0005120-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Formamidopyrimidine-DNA glycosylase [Source:Projected from Arabidopsis thaliana (AT1G52500) UniProtKB/Swiss-Prot;Acc:O80358] AHCVGRRIARCAVADDAKVVVAAAGRAAFERAMVGRTIVAARRRGKNLWLQLDAPPFPSFQFGMAGAIYIKGIPVTKSVVNSEEEWPSKYSKFFAELDDGLEFSFTDKRRFARVRLFEDPETVPPISELGPDALFEPMSVDNFLDSLGRKKIGIKALLLDQSFISGIGNWIADEVLYQSRIHPLQIASNLPRESCEALHRSIQAVVKYAVEVDADMGRFPKEWLFHHRWGKKPGKVNGKKIEFITAGGRTTAYVPQLQKLIGTQSSKMIATNLERLAKNGDTKDSGTEGEDADILKPKKRAATSRAARGQQNKDTVGASSRKARGNGGGSKKTDADVEPAEPETVVTKSNGEQVLDQPNSNATNKSDQVTRRSSRKVKPHQ >Sspon.08G0008330-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:30847623:30862775:1 gene:Sspon.08G0008330-1A transcript:Sspon.08G0008330-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRVALGSSYFESEVVCEIPSNLSMQGEGLEIKFCRSDRHLVRVESRFNKVAGSLLWKPTVSIWSMDQLELNKTVSTQKPPMSKMLGEGGLQAEEFRPEPSHSQYNTNRGLEVVSQMCSSDSSKLERYGRTVSSSMVLSEDSYAPYAVVYGFHNGDIEVIRFLNLSPAAAKFGGGGIYPHISERLFLGHKGAILCLAAHYMHAHSDSRNFHRALISGSLDCTVRVWDLDAGTLLSVMHHHVGSVKQIILPPPWTYHPWDDCFLSVGEDGLVALVSLQTMRVERMFPGHPGYASLVAWEGVKGYIACLCKNLHSCNDAGSGLYIWDLKTGARERVINGTASRSAFEHFCSGISKNAVTGSILGGTTSASSLLVPIFKDASHLHKNGHDISSVSTNLHNRNTVSVTVNAPTTYDFKGKTPAPDEAHEFHGDNSVYNSGKAVSSHSVHNKKIKCPIKCSCPYPGIASLRFDLTAIMSTQGMTNNNSGRQLKDHLHSEKPKEILQPGTLDSPSRVHEMDSPSRESLEGRLLRFSLCFLHLWDVDCELDKLLVDEMQVCKPEGCHIATGVVGDRGSFTLMFPGKEATLELWKSSAEFCAMRSLSIVSLAQRMITLSRSCTNASSALAAFYTRHFAEKVPDIKPPSLQLLVSFWQHPSEHVRMAARSLFHCAAPRSIPQPLRINKNKVADVPLSSSDNMNNLISAVQSASVSNYGELKADDGNVDKDDSDTANMILWLESFENQEWLSWIGGTSQDAVASNIIVAAALVVWYPSVVKAKLSSLVVSQLIKLVMSMNDRYSSTAAELLAEGMENTWKACLGAEITHFMSDILFQIECLSTAPSSNAINKTAVAVTMREALVGTLLPSLAMADVTGFFGVIESQIWATSSDSPVHVASLKTIIRVVRGAPKSLVPYLDKAISYILHTMDPSNLIMRKACIISSMMALREMARVFPMVALNESMTRLAVGDAIGEIHDATIRVYDIESGPPGLPSLLAGSSNTTATILISVLSFSPDGEGLVAFSENGLMIRWWSLGSAWWERLSRSLTPIQCTKLIYVPPWEGFSPNSARLSIISSILGHDKHGRSEKKTKELGEADNLKLLLHNLDLSYRLHWVGGKTIKLMRHGQ >Sspon.06G0010910-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:59143190:59147646:-1 gene:Sspon.06G0010910-1A transcript:Sspon.06G0010910-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLRRSAAPARQLLHLPRHLAAAAGSAPASSRSFSRFHPRDDSSMFDPPEPPVNWGVSIVPEKKAFVIERFGKYLKTLGSGFHLLIPAVDRIAYVHSLKEETIPIPHQNAITKDNVTIQIDSVIYVKIMDPYLASYGVENPIYAVLQLAQTTMRSELGKITLDKTFEERDALNEKIVSAINEAATDWGLKCIRYEIRDITPPTGIKQAMEMQAEAERRKRAQILESEGKKQAQILESEGKKTAQILESEGAMLDLANRAKGAAEAILAKSEATARGMRLVSDAMTTEGSAKAASLKLAEQYIEAFSNLAQKTNTMLLPGDSASPASFVAQAMKTYEHIHSHNQALKNHPQIEELEESGETSPAAPSSEASTMPPLIEEADSNQTFTLQRPKNK >Sspon.03G0008630-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3A:23208472:23211564:1 gene:Sspon.03G0008630-1A transcript:Sspon.03G0008630-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHLSMACRCHIFVHAQRKQKKVINSSSKLLKYSGSGGTPRSQVGDMESGSIEDPATHLFTYEELEEATNCFNENRELGDGGFGTVYKGYLKDGRVVAVKRLYNNSYRRVEQFQNEAAILSGLRHPNLVMFYGCTSSQSRELLLVYEFVANGTVADHLHGPRAPERALSWPLRLSIAVESAAALTYLHAIEPPVVHRDVKTTNILLDADYHVKVADFGLSRLFPLDVTHVSTAPQGTPGYVDPEYHQCYQLTDKSDVYSFGVVLVELISSKPAVDITRHRNEINLAGMAVSKIQKCQLEELVDLGLGYETDPATKKMMTMVAELAFRCLQQNGEMRPPIKEVLEVLRGIQGECLMEKDGDKNKDGPVSPTTVHAPWDSRATTPNTS >Sspon.02G0020430-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:61934599:61940589:1 gene:Sspon.02G0020430-2D transcript:Sspon.02G0020430-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At3g26782, mitochondrial [Source:Projected from Arabidopsis thaliana (AT3G26782) UniProtKB/Swiss-Prot;Acc:Q9LW32] MAAASIMPPHTNPPPPATARNPTAAVSDLQRHRDAPTPSLRALFLRAVDPSRPSSWSTAVADLLSSGDPVAALAAFAAALRTNPAALRPALPPALRAAAAATSLSAGRQLHLLAFRSGLFPSDAYSASALLNMYHHCSRPLDARRAFDEIPAPNPVIVTAMASGCVRNNLVHTALSIFRSMVASDSAGVVDEAAALVALSASARVPDRGITGGIHALVSKIGLDGHTGLANTMLDAYAKGGGHDLGAARKLFDMMERDVVSWNTMIALYAQNGLSTEALGLYSKMLIVGGGVRCNAVTLSAVLLACAHAGAIQAGKHIHNQVVRMGLEDDVYVGTSVVDMYSKCGKVEMAWKAFQKIKGKNILSWSAMIAGYGMHGYGQEALHVFTDMRKSGLKPNYITFISVLAACSHAGLLNEGRYWYSTMKTEFGIEPGVEHYGCMVDLLGRAGCLDEAYDLIKEMKAKPDAALWGALLSACRIYKNVELAKISAERLFELDATNSGYYVLLSNIYAEAGRWKDVERMRVLVKTRGIEKPPGYSSVELKGKTHLFYVGDKGHPQYKEIYAYLEKLLERIQEAGYVPNTGSVLHDLDVEERESMLRIHSEKLAVAFALMNSVQGSVIHVIKNLRVCTDCHAAIKIITKLTGREIVVRDIKRFHHFKDGLCSSTIPLLSPCPVKYGMPGGDRDVHTSPAKKTRGIAQTERARVRTTHRSETGASVQCFSAERLVKLMFEEAELEKDWVTPSAKKLHGEKRMGCSREKEYLKHVWKGRARAQLQPETYNTEMALQLIIHKQE >Sspon.01G0023100-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1A:83712610:83712804:-1 gene:Sspon.01G0023100-1A transcript:Sspon.01G0023100-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPSFFSCDPTALADQKLTTCMLLLPPAAFDGIRSLTALDCSPPVKWMCSGCTPFFTTFPILNI >Sspon.06G0000190-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:12289711:12291953:-1 gene:Sspon.06G0000190-2C transcript:Sspon.06G0000190-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AGRRQHYRWGGRSSAAGRPPHEPDTPPVRTAGDAARMVWDESKRLWGIGLPIAVGMLSMYAISSITQMFIGHLGNLPLAAASIGLSVFSTFALGFLLGMGSALETLCGQAFGAGQVAMLGVYLQRSWLILVSACVLMTPLFVFAEPLLLLLGQDADVARESARFSIYIIPSIYAMAINFGASKFLQAQSKVTVPAYIGFGALLANVLLNYLFVYVLGWGLPGAAAAYDIAHWVIALGQMAYIVGWCKDGWRGWSAAAFRDIWAFVRLSLESAVMLCLEIWYMSTITVLTGDLEDAQIAVDSLGICMNINGWEGMIFIGLNAAISVRVSNELGSGRPRAAWNAVMVVVSEALLIGIVCMALILIFRDSFSIIFTSDATLQRAVAKIAGLLGLTMVLNSVQPVVSGVAVGGGWQGLVAYINLGCYYIFGLPLGYLLGYKFNFGVGAAQALSRVQKWGGKGTDKVKPLLQ >Sspon.04G0004040-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:12688542:12692452:-1 gene:Sspon.04G0004040-3C transcript:Sspon.04G0004040-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLPPLSHQALFAAVRSADAAAVRALLSDAEASGTSLAALAAAQTDAGETALYVAAEAGSEEVVRLLLPLYDFEAATVRSRLDLDAFHVAAKQGHTGVVKEFLGRWPRLCSVCDSSNTSPLYSAAVKDHLDVVNAILDTDDSCIRIVRKNGKTSLHTAARIGYHRIVKALIERDPGIVPIKDRKGQTALHMAVKGKNTDVVEELLMADVSILNVRDKKGNTALHIATRKWRPQMVQLLLSYETLEVNAINIQNETAMDLADKVPYGESKTEIIEWLTEAGAKNARNVGKIDEASELRRTVSDIKHNVQAQLSENAKTNKRVTGIRKELQKLHREAIQNTINSVTMVAILIASIAFVAIFNLPGQYFQDVNSGGDIGEAHIAKLTGFRLFCLLNATALFISLAVVVVQITLVAWETGAQKQIIKIVNKLMWTACLSTGAAFISLAYVVVGPQHAWMAFTVSAIGGPIMIGTLLFLAYLLLRPRFKFGEDRQRRIKRASGSKSFSWSLHDGFSDLEAFSDHEKRIYAL >Sspon.02G0011900-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:31849953:31850378:1 gene:Sspon.02G0011900-1A transcript:Sspon.02G0011900-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GHIDRRRAVPAVRGRAPPGAVSPPGARGVPRGAGAAVRGGACGAERVAGDQPEHGRVALPGPLRLLQLPAGERGEAGTRAERQDALRRRRRARQGVLGPAQVQRPRGLQPRRRPRLGLARPHRRLLHPRHLQQRIRPQLLLS >Sspon.02G0053900-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:108904739:108907932:1 gene:Sspon.02G0053900-1C transcript:Sspon.02G0053900-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGEGEGRRRGSGRGRPDGVRGEGEERRRGSGRGRAGRSRGEQPIGGEASAPSRIHSNTPSPQPVPHAALPRLIRDSADTARSRLPRRWPELARPSSLPSLDSSCPRRSLQLIRRPRLSRCPQWIRALAGARTNLLHYPALPAADDELLATWLRPSHPLRTTTAVASEDLGSCARQARATWRSTSRWRRGAPPDHDLSRFEMVHLAAATVAALGEEDDEDETRRHAHLRWLLLSVLATFQQWQWAGHGLELLAEPEPTSFLPDFGHGEVPGATGINVYPNPKGASLADITEDHQADLGTTRT >Sspon.03G0023200-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:71119290:71119931:-1 gene:Sspon.03G0023200-1A transcript:Sspon.03G0023200-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMTAAPEPAAVGGGAAPPVASRVWEWEGKVASAVPEATADEAWALLSDFLAFHRWHPRVAACRLASGTPRAPGCVRYCEGTTTAGGEPADWAHETLLEHDAERRFFRYEMNDNNMGFGLFFATFRVVPAAVGCELRWEFECEPVRGTPRDALVARLQAGLDGMAARVRDHVLAARAGVGAAGSDAAAAAPAVVAAGLEAAGELVIVKLDSSIAA >Sspon.05G0033680-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:37373399:37376982:-1 gene:Sspon.05G0033680-1C transcript:Sspon.05G0033680-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVYVYYIFLQSTPWTLARPAGSATQRETRDPLPSPHTDQGHWISNQVATRCWIQAPNDRLGFQSIAAKWSFEKALTRFVMKMLLVMPSRQQIRLVLAAMT >Sspon.01G0048220-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:106158594:106162947:-1 gene:Sspon.01G0048220-1P transcript:Sspon.01G0048220-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPAGANSGASSSRGTPLPLPFPFPAHFPSRGAGPFLSQHHHPPATSEGDDEVEEDEGSMDDDSAEEDDAELSDGGARGSPQRRAFSGPGEQGYGTHGDEWRNWSEVHPRGQQWHQFGLASPGGDDPGTIPRDMRAENGYGVVGRREGGPASGYWDLLRAHLSDPLTDILMDDAMILSCGHSYGSSGMQHVYRMKACGKCGLPITEASIRPNLALRLAVQAFKREEDSAKSLKRRRDRLELGNKRTPERYVVKTSDNAESVKLQYRSLAKVADGNGPSGLVSSTAQSSSWL >Sspon.01G0003340-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:7613408:7616464:-1 gene:Sspon.01G0003340-2B transcript:Sspon.01G0003340-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDGSNTTKKSKLSWSKSLVRKWFNIRSKAHDFHADDVAAIGRTGGGDNEWRGSSFTRREPSTVKKSKTERSSRRSNGHSRRGKIDLDAAEATVTLDYRIFVATWNVGGRSPPNNMSLEDWLHAAPPADIYVLGFQEIVPLNAGNVLGTEDNVPAKRWVSLVRRTLNNLPGTSGNGSFRTPSPAPNPVVEIDDDFEGLSSRQNNATLFHRRSFQAGLSRSLRMEGDILAPQPRLERRYSVCDRAIYGRRPSDYENNYRWGGSSDDENNTGESPSTVYSPMSCGYGNASSLEDSQRRAGQTRYCLVASKQMVGLFLMIWARKDIRDDIRNLKVSCVGRGLMGYLGNKGSISISMSLHQTSFCFVCSHLTSGQKEGDELRRNSDVLEILRKTRFPMVYGQYERSPETILEHDRIIWLGDLNYRIALSYRSVKALVEMRNWKALLEKDQLRIEQRGGRVFVGWNEGNIYFPPTYKYSNNSDKYAGDDMNQKEKRRTPAWCDRILWYGRGLGQLSYVRGESRFSDHRPVYSVFSAEVESINHSRIQKMSCSSSQLDIEELLPYSYGYTDINPYGYTDLNFY >Sspon.01G0059430-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:51876334:51877362:-1 gene:Sspon.01G0059430-1D transcript:Sspon.01G0059430-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LEVLRQLEPWVEEHVLPLLKPVEASWQPSDLLPDPAALGSDGFHAACLELRAAAAGVPDDLLVCLVANAVTEEALPTYPSGLNRLEVVRDATGADATAWARWIRGWSAEENRHGDVLNRYMHLSGRFDMREVAFQERATAIAHGNTARLVGARGAGDAALARICGTVAADEKRHEAAYTRVVGKLFDADPDAAVRAMAYMMRRRIDMPTAFINDGRHSCGDFYIRFIAIAQQAGTYTVSDYRGILEHLIRQWGVEELEAGLTGEGRCARDYLCALPYKIKRMEEKAHDRVVKAQKKPTPIPINWIFDRTIPVILP >Sspon.04G0016260-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:66369416:66375057:-1 gene:Sspon.04G0016260-3C transcript:Sspon.04G0016260-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAVTSTVAARFAFFPPSPPSYGVEQPQPQQPPPAPVAPAAPQPAPAPAKAGEEKGGGGAVVELTGVPRRGNVEARRLRTKRGTEVVAMHVRQAGAKLTLLYSHGNAADLGQMYELFVELSAHLNVNLMGYDYSGYGQSTGKPSEQNTYADIEAVYRCLIETYGAAEDNIILYGQSVGSGPTLDLASRLTRLRAVVLHSPILSGLRVMYPVKHTYWFDIYKGTADEVVDCSHGRSLWELAEVKYEPLWIKGGNHCNLELYPEYIKHLKKFVGAIERSPPPPPIDESTESSGPSGPALTTEPECSAEDSRKSTDFRDKTRPSIDQRHSTDRREKPRGSTDRKDKTRKSVDHPDKPRASVDQSDRPRKSIDRRSHVKVLVMKSVWRHDEVGEAVQYRLLQSDSNLWELKKRKGKEWIVTGKVSVGSRLPVFVFSRRFMVGGRTWTPPQASSAATDDEDVWGNRNRAHFWIAAHGLVSPEHRTLTGGPTRAVARARTPVPGGARLHTPGSGWPARRPLIRARSRTRRACVAAVPLLYPET >Sspon.01G0056970-2D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1D:90571361:90571729:-1 gene:Sspon.01G0056970-2D transcript:Sspon.01G0056970-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNKVAPRTSARHLPLRPHHPSAPGVGTGRPHPVVDLLLLLTHTRHSAPRPRPPAPAIYAYLLAGRVAGGARPPAPELTASLHPPIGPLPSSSSSSSLPCCCCSACFNRHLFIMFFFLDTDC >Sspon.02G0055830-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2D:13383857:13385177:1 gene:Sspon.02G0055830-1D transcript:Sspon.02G0055830-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding YACVRCDKNPCSRRLRNKICYIGHRRFLPSDHVWRTKKFFNGQTEEWEHPEEFTMDELNEQLARVSHVKPGNHPDNKKRKRQEEGQCWKRRSSLWDLPYWSNLKLRHNLDVMHIEKNICEAMLGTFLDIAGKSKDSISARLDLEDMGVRKNLHLKHDGDSYTLPRAPFMMTKDQKLAFCVFIKNVKFPDGYASSLS >Sspon.05G0010510-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:29877561:29883612:1 gene:Sspon.05G0010510-1A transcript:Sspon.05G0010510-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTGRPKTFTDDRAESRVEYPEPIYVSRDEEFEEGKNEMLSEGALKALLHNFMPLLVSSVSPDIRDFAGFHDVDNLFKEGLRLKQALHDQLFQKIPFVRKIQENSEGLLRYDTPDIIKKDKFAWLRDDEFARQALAGINPVNIERLQAFPPMSKLDPAVYGPPESAITEEHIIGQLDGMSVQQALQDNRLYMLDYHDIFLPFLDRINAQDGRKAYGTRTLFFLTAAGTLKPIAIELCLPPMTDGCARAKRVFTPPADATSNWLWQLAKAHVCSNDAGVHQLINHWLRTHACMEPFIISAHRQLSAMHPIFKLLKPHMRYTLKINALARQILINGDGVIESGFTPGRYCMEMSSFAYRELWRLDQEGLPADLIRRGMAVEDPTQPHGLRLLIEDYPYATDGLLLWDAITRWCDAYVAMYYPSDESVQGDTELQSWYREAVQTGHADKRDAPWWPRLSTPDDLASLLTTLLWLTSAQHAALNFGQYPLGGYIPNRPPLMRRLVPAEGDPEYAHLVADPHRFFLSALPSLTQTTTFMTVIDTLSTHSADEQYLGERPDEAWTADPAALAAAREFADEVRRAEEEMERRNADTGRRNRCGAGVLPYELMAPTSGPGITCRGVPNSSGGAKSKQKQMSEDIPLLNCGEVECTSHTNGYECQNIRPIDLGNLQR >Sspon.01G0033160-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:112055667:112058729:1 gene:Sspon.01G0033160-1A transcript:Sspon.01G0033160-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LGGGGGRDMAGKKPTPVKPAAPSTHRNGSAAPGSKSRPKQASEEAAAASAKAQSASKPRKTTKEKPAAAKKKRDKPEPQVGGKRKKQQVSADAATPAKKRKKGGEGPEPKPQKEATPAKKHKPSGKPEKPATPGKKKKPAGKPATPAKKQKPAGKSPMPAKKQKPAGKPATPAKKQQSTGKPATPAKKQQSPGKAATPAKKQQSPGKPATPAKKQRQSPGKAEKATPKKKQQSPGKAEKPTPKKQQSPGKARKPAAAATSPTKKQAKREKPTPTKRKRGDDEPQKEAKSPKRAPADGEAHASTPVKKKRKDQKAAAADMGVCSFPMARVRQLMRVEDATIRASSDAVFLINKASEFFLGKFAEDAYRNAVKDRKKSIIYDNLCKLVLASYQLT >Sspon.05G0013410-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:40050814:40056756:1 gene:Sspon.05G0013410-3C transcript:Sspon.05G0013410-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Metal tolerance protein C4 [Source:Projected from Arabidopsis thaliana (AT1G51610) UniProtKB/Swiss-Prot;Acc:Q8H1G3] MRRPLASAALRLRLRCLSSTSHTRLLSTCPFLLSRRDDDDGREGPSSPLPPLPPSGSAFSPRPLLFSASAAAGLFSLRGGWWRRALPPAASRPPGAVADAAPVRLTISRSYSLRVAKSKKKAHFDDEHSYLVMYILCNVMECDLSHRAVNTALWCNFLVFSLKFGVWISTSSHVMLAELVHSVADFANQALLAYGLSSSRRAPDALHPYGYSKERFVWSLISAVGIFCLGSGATIVHGVQNLWNSQPPENIHWAALVIGGSFLIEGVARLLLPLSPFTFSWGVYSSYNLLEKSCCLLGASLLVAIKAVRKGAEAEGMSIWDYIWRGHDPTSVAVMTEDGAAVTGLAIAAASLVAVQMTGNAMYDPIGSIIVGNLLGMVAIFLIQRNRHALIGRAIDDHDMQQVLEFLKSDPVVDALYDCKSEVIGPGFFRFKAEIDFNGVVLVQNYLERTGRGTWAKQFQEAAMSKDDTELLRVMANYGEDVVEALGYEVDRLESEIQKLVPGIKHVDIEAHNPEGLSLRAEVL >Sspon.02G0001160-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:4151944:4158858:1 gene:Sspon.02G0001160-1A transcript:Sspon.02G0001160-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSHARPLTVAGNLVPCGVGVTCTQVDRIPDTFMSLHAYLDSFKGPLIEEVHDDVFSSLNGYAHANFIEIIRLEKLSDEKSIFCFEVSMPSKDEKSREIYVPKYGDIIVVSSQKPKPVSDLTKNRASYNLGSVLKSGDEEDNDLPPNCCIVRFRSAIHVEVDPETSMPTGPCFAVFLITLEEELRASRGELIATTRTMSDDGTMSAADEEMEAEPEHDPRAKMKAVLSYWESVTKDDRKLSKFDVGFLEHKILSWSIQDVFNKDLLKQQIPLSLPLGGARQASLPSARCSVTRCGVLAWQAPLVCAEAFDCRKQPRAVRHRCDLHASNPSLPKKVHRIPYTFMSLRAYLDSFKGPLIEEVHYDVFSSLNGYAHANFIEIIRLEKLSDEKSIFCFEVSMPSKDEKSREIYVPKQGDIIVVSSQKPKHVSDLTKNRSSYNLGSVLKSGDEEDSDLPPNCCIVRFPSAIHVEVDPETSMPTGPCFAIFLINIKTYDRIWKCLHLGANDHKFAALQGREANTAIVNLVWQYKKQAAEDGTLSFPQLSQCLTRKSVDDLGLEKFNLNDSQLNAVADCVSSAIENRSPSLKLIWGPPGTGKTKTISTILWTMLMKGLRTLTCAPTNTAVLEIASRIVRLVEQSSYGSVCFRNDIVLFGNKEKMKIRHEDDLSMAFLDSRAERLLPCFMPCTGWMHCLRSLIDHLENPITSYRLHVEKILEDEREKESAKQNTCEDGIRKARDVGDNSARASCVSLSEPSAKDGHKPIQGEAPPRYPLRSNPNSKDHLLAPLSVFHKTTHNRREDEDSKGGCHGSGAMEGTFRIPPFEDYFKDYFNKATNKLREYIEIMYNDHPRNPETGHSFQCMLDVLELIEILQKLINYKNNDVWSDEFHDCKIEDDGNPILWSEQLACVRDNTSKKYKFKLARSLCVQELRYLLKNLELPCYYSMRSIQIYLLQRTKCILCTVSSSFRLYNVPLGNSSTDVFSLLTKPEKFKLLDMLIVDEAAQLKECETLIPLQLPGIRQAVFIGDEYQLPALVKSKISDSANFGRSVFERLSLLGHEKHLLNVQYRMHPEISKFPVATFYDGKISDGPNVTSKSYDRMFWASKIFGPYSFINVDVGHETTEKHGQSLKNTVEVAAVERIVQRLFKESVSTRSKLSVGVVSPYNAQVRAIHEKVGKSYNTYDGFSVKVKSVDGFQGAEEDIIIISTVRSNGAGSVGFLTILQRTNVALTRAKHCLWIVGNGTTLSNSRSVWQKIVKDARDRGCYFEASDDKDLSNAVVKAIIELDDAENLVKMDSLHISRPRPQFQ >Sspon.04G0001450-4D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4D:6119097:6119999:1 gene:Sspon.04G0001450-4D transcript:Sspon.04G0001450-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha-1,6-mannosyl-glycoprotein 2-beta-N-acetylglucosaminyltransferase [Source:Projected from Arabidopsis thaliana (AT2G05320) UniProtKB/Swiss-Prot;Acc:Q9FT88] AQRKILLDPAFTPRLPRQSPLSLSLSARNALPPRNTDRFPTLPDGHLKVVLYVHNRPPYLRLVVDSLSRVEGIGEALLIVSHDGYFPEMDRIVQGIDFCQVKQVFAPYSPHLFPDAFPGVSPGDCRSNDKAKEKGCRGDPDQYGNHRAPRIVSLKHHWWWMMNTVWDGMEETRDFDGHILFIEEDHYIFPNAYRNAQLLVDLKPKKCPQCYAINLAPSDVKSKGEGWESLVAEKMGNIGYAFNRTVWRKIHAKAKQFCAFDEYNWDITMWATVYPSFGAPVYSLRGPRRSAAHFGKCGLHQ >Sspon.07G0004060-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:33460589:33463920:1 gene:Sspon.07G0004060-1P transcript:Sspon.07G0004060-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPDKFTHKTNEALASAHDIASEAGHAQITPLHLAAALVADRSGVLRQAIAHASGGNDVAAADSFERVLASALKRLPSQSPPPDTVPASTALVKVIRRAQSAQKARGDSHLAVDQLLVGLLEDPQVSDALKEAGVAAARVKAEVDKLRGGDNRRVESASGDTTFQAFKSYGTAAASSRWRASSTRSSAATKRSAALCNNPVLIGEPGVGKTAVVEGLAQRIVRGDVASNLLDVRLVALDMGALVAGAKYRGEFEERLKAVLKEVEQSDGKVILFIDEIHLVLGAGRTEGSMDAANLFKPMLARGQLRCIGATTLEEYRKYVEKDAAFERRFQQVRVAEPSVPDTVSILRGLKEKYEGHHGVRIQDRALVAAAQLSSRYIMGRHLPDKAIDLVDKACANVRPEEIDNLERKRIQLEVELHALEKEKDKASIARLVEAGEERIGQFEGQTTATAMRYRKKKERIDEIRKLKHRREEMLFSLQEAEPQMDLAQVADIRYDALQEIDTTIAKLEGETGENLMLTETVGPEQIAEVVSRWTGIPVTRLGQNDKKRLVGLADRLHQAVNAVAEAVLRSRAGLGRPQQPTGSFLFLGPTGVGKTELAKALAEQLFDDEKLLVRLDMSEYMEKHSVARLIGAPPGYIGHEEGGQLTEQVRRRPYSVILFDEVEKAHVAVFNTLLQVLDDGRLTDGQGRTVDFRNTVIIMTSNIGAEHLLAGMVGNNSMKAARDLVMLKVRRHFRPKLLNRLDEIVIFDPLSHEQLRMVARLQMKEVAYRLAERGVALAVTDAALVLILSLSYDPVCEHINVNYLSFIDILHYFLGPAYPMDSDSCSSFVVQQVYGARPITRWIEKRVVMELSKMLINEEIDENSTVSIDASPNKDEVDMNGGLVNAQTGQKSDILIQVPNGAINGGAAHTVKKMRLMQDDQDDDDMEEERPGNFAT >Sspon.08G0001320-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:4540626:4543795:1 gene:Sspon.08G0001320-1A transcript:Sspon.08G0001320-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGANLVALLFSSSIVVFNFINYVFFFSSSCGGGYTYLSEPLWWAGMTTMLLGEVANFIAYIFAPAVLVTPLGALSIIVSSVLAHFVLKERLEKLGVLGCVSCIVGSVVVVMHAPEEHMPNSVKEIWNLATQPGESLHPVMRITFSQNVSILGCRSLAGFLAYALTTLLLVGTLVLFFEPRYGQTNILIYLGICSSMGSLTVVSIKAIGVAIKLTLDGVNQAAYPYTWLFLMVAIVCGVSQINYLNKDWAGQSFSSIASELCGLITILSGTILLHTAEEGANNSAALLPWPLDKGSISWCISLSSDNLLKNVEEDYFAALQSSPAPFVRYITTSLGRGKLPQALRLLVVVIQRHELAVLTHRRLVMVIQRHELALLTHRLELRLLLVEHCA >Sspon.07G0023010-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:8722525:8723398:-1 gene:Sspon.07G0023010-2C transcript:Sspon.07G0023010-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLLPLVLLCASSSLLLLTATTNADNLTFYMSADCPDNTNYTRGSAFQANLDAILSSLPAAAAASSSGFAENITGGAAPDQVYGLAQCRGDISAERCRACLVNSAREMASRCPGRKSALLIYEGCLLRYSNASFFGEADMSNPLYMCDLDNATQPQFASSLDGLMRSLAEKAYGSPRLFAAGSVDLADYEKIYGMVQCTRDLGPDDCQGCLANAVSKIQTYTNCSGRQGGRLFNWSCYIRFEVAPFYDAQAAETAMSTGQSISLGSIVLKE >Sspon.02G0026480-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2B:92344361:92345126:-1 gene:Sspon.02G0026480-2B transcript:Sspon.02G0026480-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATYLNAPPAATTTAAGYCHAFSGGSLRLLRPSRPVLHVTCQASSSQQQPSPSRRSACVGLGLSFACAVLLRPRAVAAATDEEPANNGWWLTEFPLPTPKIVNKEINNAETGTRSFIKNGIYMADIGPSFAAHAYRLRSTAFDLLALEDLLGKDASNYVNKYLRLKSTFMYYDFDKLISAADDKPPFVDLANRLFDSFETLQEAVTAKDDDRISGRYAQTKLILQELMAKMA >Sspon.05G0007560-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:25144678:25145270:1 gene:Sspon.05G0007560-4D transcript:Sspon.05G0007560-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTAEENAGDGGGGSTAARVVVVERVVTVEYLEPSMSRELLGKFPDPSAFDFDYSQSGIWSPLNKLPRGDFLIANPKRRARAGGRVKDSATGGGKSRWRRRRLRRDGSFLDLHETGRARLDFSPPAPSPAKEGWRRVLKAAIRKFKARQRRSRQAPLLQTVLPML >Sspon.05G0009030-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:28237403:28240634:-1 gene:Sspon.05G0009030-3D transcript:Sspon.05G0009030-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPLLPPSVSVLLSLHIALLLILCSSQVGDSCSSVSDCGTGLYCGNCPAAGRTKLSCIRDLAIQPTSIVKGLPFNRYSWLVTHNSFSILGEPSRTGVERVTFYNQEDSVTNQLRNGVRGLMLDMYDFNDDVWLCHSLQGQCYNFTAFVPAVDTLKEVEAFLSENPTEIITIFIEDYVQSPMGLSKVFTVADLMKYWYPISEMPTGGKDWPSVTDMVAKNRRLLVFTSDASKEASEGIAYQWSYLLENESGDPGIVPGSCPNRKESQPLNSRSASLFLQNYFPTMPVQNEACKENSGLPQMAQACYAAAGNRIPNFIAVNFYMRSDGGGVFDVQDRINGRTLCGCDTIAACQAGAPMGACKDTGAPNQTTSSSSSVNGNVYSGTIEFKTHSTSAASNTSIRSSFVFLLRLQMTSLLFLSFRL >Sspon.03G0021620-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:84460994:84465935:-1 gene:Sspon.03G0021620-3C transcript:Sspon.03G0021620-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRMHRMQTRKSHSWWWDSHISPKNSKWLAENLEEMDKQVKEMLKLIEDEGDSFAKKAEMYFQRRPLLVNHVENFYRMYRALAERYDNVTGELRKGLALQSQGSGISETDSETQSISPSPEPNMEQNTAKPKRKTRAVGFDVFLGSGGSSDISKKGSDGSSSSSSSDSDSEVDEASEENGNGISYIMDGRITELEDELQEARQQIEALEAKNMHCQCEKLEESLKQVSNEKEDLVAAILASKNEIEDLKGEMASTTKHFEAQLVHRDHEIEKCKQEVEQVSEKYFHENSALESEIERLQEVVKNFERNLTEVTGEKLQLEAQVKELEQVSNSLEDSSAEIRKLQEIIKDLQARLENDSNEKGVLEERAMELEQVRRQLADSRAETWELHVTIKDLKEDLDKALQEKAELQNRMKDVEQATNDLNSSVASLEGKLTATEAQLEQLHVEKAEASLESEKHLSQLLQAISHLKTEIELLSSEKAEVENKVSVLLIDVTTRDEKLKEMDNHLHQLHLEHVKLIEEADIARKDVSGLRSRVCELEEEVEKQKLIISDSAEGKREAIRQLCFSLDHYRHGYQQLRQLLQVHKRPMVMAT >Sspon.04G0022040-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:6785244:6786656:-1 gene:Sspon.04G0022040-2C transcript:Sspon.04G0022040-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTPLLHPVTVLDQFHVTPSPAPAAGQPRALALTFFDLVFWAIPPVQRLFFYDNADLLGVSDFTLSELPRFRNSLAAALHHFYPLAGKLTCELVDEGVAPPEVVFSDGDSVPLTVAVSGDDFRDLAGDHARDTTRIRPLLPALPKHGASRSQQGVLAVQITVFPRAGICIGTTVHHAVADGSSYAHFLSTWAAAHRLGPEYNGAVAIAVPPLFDRGVVRDDAGLREAFLRDHRALGTAGGNERLDDWDLSRRPGVVLATFRFTEKQLRALGRRVESETSARCSPYALACGAAWAGIVHARGSGSGMDGGPAPDAHFGFVTGCKPRATPPVPANYFGNCLGLCRVHAKRGELTAAAASAAIWRAIEGLAEAGSVFRGSRGWVRWVQEYASARAVTVAGSPKLGVYAAADFGGAWGRPRKVEIASVERTGALALAESGRHGDGGIEVGLALPRAEMEAFRKFYVDLLASLG >Sspon.03G0047280-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3D:57827888:57828694:1 gene:Sspon.03G0047280-1D transcript:Sspon.03G0047280-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIFFRATIASSTCRSSSCDPRTARSPSACPCDPSLSPSPHATLAASPGANRIPSLPSPPCLARAQKESHGRWDRVLHSSLAEETATCNSPEIPLASPSPRVRSPREIPLVPPCSRAVILAPAWHPDGGGRTMFWRPPAHLPCTPQGHLPGSEASSPSRFKDASTAAVIAAEENEATAPAKARAGQGPR >Sspon.03G0044140-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:89115941:89116270:1 gene:Sspon.03G0044140-1C transcript:Sspon.03G0044140-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRWLEAEITDNAPPSPPPGFVTINGNEESCGPSFSAAASTVMASVDTRAGAPPPPPVPWPWPELEPQPVLPAPAVDVDVEMGMGGAGEPADEEWLARLLTCGALLEGVL >Sspon.04G0023340-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:16782776:16783887:-1 gene:Sspon.04G0023340-3D transcript:Sspon.04G0023340-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPWYRGSQTRKKAAGVTYTITSIIEAVDSTTLRITELPICCWTQDYEEFLEPLDPHNKNPNKETISVEYRKQSDAEDIYIVTILSEEHIDIAKRQGLPKKFKLTNWTNKYALAWPGWQHSEEVSEMQNEKEVVMGQLLPDPDQAECILLDE >Sspon.05G0005390-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:17384379:17387966:-1 gene:Sspon.05G0005390-1A transcript:Sspon.05G0005390-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGCAALVAGGRLPPPALPRRLRRRRGSSVRAEVSPGGESQQRKVAVAGAGWAGLAAAHHLVKQGYDVTLLAAESGPTEEVGLRGFWHPYRNIFALVDELGISPFTGWNKAAYYSTEGLAFPNLPLIDRLTSIPVIAAVIDFDNTDTVWRKYDAMTAKELFKTYGCSQRLYKEVFEPAIQAALFAPGEQCSAAATLGMLYYYMLSHQESSDFLLCRGEVEEKIFSPWLQSLELKGLKFVKNKVPTSLTTDADTGCISAIVCGDDVYEADAFVSAMGLSSLQSIVKNSPFLRSDREFRNLLHLSTVDVISIKLWFDKKITIPKVANVCSGFDDSSGWAFFDLTSIHDDYYEESTTIVEAEFYNASHLVLVNDEDIVSEASSHLIKCIQDFEGATVIRYSIRRSPNSVINFLPGSYKYTLRGSTSFPNLFIAGDWIVNRHGSFSKEKAYVTGLEAANRVVDYFDTGDFAKIIAVEGDEPHIETLRSLNRRVNELKSQIPFSEFFLQ >Sspon.05G0014400-4P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:47412335:47421292:1 gene:Sspon.05G0014400-4P transcript:Sspon.05G0014400-4P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTAADGPPVEPQSLKKLSLRSLKRSLDLFAPAHALLFTPDAESKRIRTGCKVRAEYGAVKDLPPEQGRGGQGKGSAPPSSTGLALPGYLVDGHGLHGMLLGRTIGSSVVTWDGFDLLHLIQGMNGSALFSADRTIKIWDLASGTLKLTLTVHIEQIHGLAVSQRHTYLFSAGDDKQVKCWDLEQNKVIRSYHGHLNGVYCLALHPTIDILLTGGRDLVCRVWDIRTRAHVSALIGHDNTVCSVFARLTDPRVVTGSHDTAIKFWNLVAGRTMCTLTHHKKSVRAMALHPKEKTFASASADNLKKFSLPR >Sspon.01G0016070-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:49064035:49066005:1 gene:Sspon.01G0016070-1A transcript:Sspon.01G0016070-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VGKSSLVHLLLKGSAVARPAQTIGCAVGVKHITYSSPGSSSNSIKGDAERNFFVELWDVSGHERYKDCRSLFYSQINGVIFVYDLSQRKTKANLNKWAVEVAESGTFSAPLGSGGPGGLPVPYLVIANKVDIAPRDGRRVSSGNLVDVARQWVEKQGLLPSSEELPLADSFPGNSGLLTAAKEARYDKEALIKFFRMLIRRRYFSNELPAPSPWSLTPREDTILPVETVNDDDMFQRKSYSGQSYKYNGVAPLPAQRNLTPPPTLYPQQPMSSSSENYRYHRFSSSSIPDSSGGRTSRANLNI >Sspon.02G0020360-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:61604652:61607868:-1 gene:Sspon.02G0020360-4D transcript:Sspon.02G0020360-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYVSGNPIMTDEEFDQLKLRLKKEGSDIVQEGPRCSLRSRKVYSDLTVDYFKMLLLNVPAAVVALTLFFFLDDLTGFEITLLLELPEPFSFIFTWFAALPLNFG >Sspon.02G0032640-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:113484453:113487806:1 gene:Sspon.02G0032640-1T transcript:Sspon.02G0032640-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPLAHSLHAAVDRRWLLPLAIGSALSLLLLVALTTFPSTSTPSSSSLFVEHKLSPSPPSPAAEASPPRIAFLISGSAGDASALRRVLLALYHPRNRYILHLDAEAPDSDRRSLAADLASHPAIAAAANVRVVDRANLVTYRGPTMVANTLHAAAAFLWGHAGDGGSHWDWFINLSASDYPLVTQDDLIHVFSKLPRDLNFIDHTSDIGWKEFQRAKPVIIDPGLYMKKKADVFWIPQRRSVPTAFKLFTGSAWMALSRPFVEYCIWGWDNLPRTVLMYYSNFISSPEGYFHTVVCNADEFKNTTVNHDLHYISWDNPPKQHPHYLTVEDLDRMVASDAPFARKFHEDDPVLDKIDEILGRGMDMPTPGGWCAGTRENGSDPCSVIGNTSLLEPGRGAAWLQRLIMSLLSEEKFHPRQCNVLVATGSLKYQIQCQ >Sspon.06G0033860-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6D:24945048:24945977:1 gene:Sspon.06G0033860-1D transcript:Sspon.06G0033860-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHVGPESLPPSLETFSFDAGAGQGHDKEAKISHISMAGCVRLVNFRLGGSLPNLEELDLSSTSVKVLDLKDEVVQVPCLQRLILLGCHQLQAILWPKKGVPKLRILSIATKNMRLMQSLLVLGDPEINVNLCFSHPRKDVGQNYDDETMAPHGAGLLVELPMHKSSVPKTHHHTYSDVNYEIDYEGSRTLQFEPLDLHLEIREGISNINVVTIQGIRSVIRFMNRVKSLVLQDNSSITTVIPESIISITEEFEKLDWSSLKWCHVEICPNLNTVFTTNYDTGCFAELETFWGDSPLYLDQRKDSQYRG >Sspon.08G0019780-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:28480746:28484950:-1 gene:Sspon.08G0019780-3D transcript:Sspon.08G0019780-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding CVERRALREGRQVHARTVAAGYRPALYLATRLVIMYARCGALEDARNVLDGMPGRNVVSWTAMISGYSQNERPEEAWELFIMMLRAGCEPNEFTLASVLTSCTGSQGIHQVKQVHAFAVKTNFELHMFVGSSLLDMYAKSENIQEARRVFDLLPARDVVSYTAILSGYTQLGLDEEALDLFRQRYNEGMQCNQVTFTALLNALSGLSSVDYGKQVHGLILRRELPFFMALQNSLIDMYSKCGKLLYSRRVFDTMPERSVVSWNAMLMGYGRHGLAHEVVQLFRSMHDEVKPDSVTLLAVLSGYSHGGLVDEGLDMFDHIVKEQSTLLNIEHYGCVIDLLGRSGQLQKALNLIGKMPFQPTRAIWGSLLGACRVHTNVHVGEFVAQKLLDIEPENAGNYVILSNIYAAAGMWKDVFRVRKLMLKKTVIKEPGRSWMILDKVIHTFHSSERFHPRKEDINAKIKEIYVAIKAAGFVPDLSCVLHDVDDEQKERMLLGHSEKLAITFGLMSTPSGLTIQVMKNLRICVDCHNFAKFISKVYGREISLRDKNRFHLITEGACTCGDYWGTEEDSYLK >Sspon.02G0014420-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2A:38300143:38300631:-1 gene:Sspon.02G0014420-1A transcript:Sspon.02G0014420-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SLGHDRSPSQSQSRNLHRSRILILGRSHNQSRNLRHSQILDRSHFPDRIRSQTLNPGPGPTHALRHTQILGRGLHLHLHLVLDHNLNLNLNPNPRRNQLLTLHRSRRQTQHTILHQSPHLSPHRIPPMNWHRTRNLRPSQILIQTQTLSQSLSHRHHHLCRC >Sspon.07G0023850-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:20063963:20064193:1 gene:Sspon.07G0023850-1B transcript:Sspon.07G0023850-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSLFLTVWAAGAAAAPRRLLVDTDMDTDDLLALLYILKQNRSEFDLKVGAMSPSLYPRATCHSRSASISALIRW >Sspon.03G0019300-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3A:59938934:59939371:1 gene:Sspon.03G0019300-1A transcript:Sspon.03G0019300-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRRHVLTRQVIARHLERDPRDVSAAAARILHPERAPELGRPHRHIIAVRPLAHQRRRHRRCRCAQHRRGHQEHAPVLLGDEEVQVPRRTRQRDPVRLRVAHDGDGPRAHEWRPAKWASSLAGEVDASQAGSRQRQGAQCWDCI >Sspon.01G0003780-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1A:10654022:10659539:-1 gene:Sspon.01G0003780-1A transcript:Sspon.01G0003780-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRWWPAALLGCAVAVAVLAAAVECAVTYDKKAVLIDGQRRILFSGSIHYPRSTPDMWEGLIQKAKDGGLDVIQTYVFWNGHEPTPGNIENEYGPEGKEFGAAGQAYINWAAKMAIGLGTGVPWVMCKEEDAPDPVINACNGFYCDAFSPNKPYKPTMWTEAWSGWFTEFGGTIRQRPVEDLAFAVARFVQKGGSFINYYMYHGGTNFGRTAGGPFITTSYDYDAPIDEYGLVREPKHSHLKELHRAVKLCEQALVSVDPAITTLGTMQEAHVFRSPSGCAAFLANYNSNSYAKVVFNNEQYSLPPWSISILPDCKNVVFNSATVGVQTSQMQMWGDRASSMMWERYDEEVDSLAAAPLLTTTGLLEQLNVTRDSSDYLWYITSVDISPSESFLQGGGKPLSLSVLSAGHALHVFVNGQLQGSAYGTREDRRIKYNGNANLRAGTNKIALLSVACGLPNVGVHYETWNTGVGGPVVLHGLNEGSRDLTWQTWSYQVGLKGEQMNLNSLEGSTSVEWMRGSLIAQNQQPLSWYRAYFETPSGDEPLALDMGSMGKGQIWINGQSIGRYWTAYADGDCKECSYTGTFRAPKCQTGCGQPTQRWYHVPRSWLQPTRNLLVVFEELGGDSSKIALVKRSVSSVCADVSEDHPNIKNWQIESYGEREYHRAKVHLRCAPGQSISAIKFASFGTPMGTCGNFQQGDCHSANSHTVLEKKCIGLQRCVVAISPESFGGDPCPSVTKRVAVEAVCSPTV >Sspon.07G0000530-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:888431:891039:-1 gene:Sspon.07G0000530-2B transcript:Sspon.07G0000530-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVLLKRRRDRSSRDIASSAGVLQITENKSAAANLTKHKQLLDDALDKTTVQYESWMDEETSFWMDRDHTNSTLQYGLLMQNLQELETSLAGKDLKMLENDILAHIQQLGALRSFNASMTLGANLLDTLTHVSSPYDQSDSSLLDHLIIKFDPETPLDEEQEDSEVVVVRSGKSQERKLKRMRASEKGSRKSVKVNPRTPKKSRKASSSQFISEWKSHPGRRRIIVQDKGEDGEGGQEVSYHRWAEAAGMDEAELMSRLQAGYCCRERLLVTTEWLVRCIARSYTGMGTALDDLLQAGKMGVLDGAEKFDTRKGCRFSTYVKYWIRKGMLALLAENSGVTLLPVRTPRSLSEFTMQVQARMESIMRKVKEARRGIRYSQGRNPSDSEIAAAVGVSVANVRLARKCSRRPVSLYSEIGIGQHAKFAEVIPDEAAAAAEAPDEAALFRGQLRERLLLVLGRLPAREGHVLRLRHGLEDGRCRSLEEIGGMYRVSKEWIRKIEKSAMARLREDDDVRRDLHDFVCRF >Sspon.01G0020610-3D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:74016432:74017198:-1 gene:Sspon.01G0020610-3D transcript:Sspon.01G0020610-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AQPRAPEVSAELGHAHLVPEARGRLLEPNPEHGSRRGLLRSSTRPRASSSSSSHRADHLHIPRARAPIHFHSLGRNTSCYSRLRSLGASPHPPPLPPAGRPPAAAAAAAAAAGPWV >Sspon.03G0001230-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3C:14901245:14901901:-1 gene:Sspon.03G0001230-2C transcript:Sspon.03G0001230-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MWIACAGDDDDDGGEGGEGKGKGNGDGGDEDGDEGKGEKRTSPASASSSSGVSGGGAFLSSARRHGVLALVSWGVMVPAGVALARFFKRFDPFWFYAHVVAQGLGFLLGVLAVVAGFRLDDDEGPVATHKAIGVAVLVCACLQVMALLARPAKETKARRYWNWYHHNVGRVAVVLGVANIFYGLSLANERQEWSYVYGIFIGVFAVVYLVLEEWRRRH >Sspon.03G0009530-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:25943145:25948420:1 gene:Sspon.03G0009530-1P transcript:Sspon.03G0009530-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQARARLTPAGRTPATAFLSGSNPRHAHLTFFPKLTSTSLSATAAANAPPPHIVVVGSANADIYVEVDRLPLIGETVAARAGRSLAGGKGANQAACGGRLALGPTYLVARVGDDANGRLLEGALADAGGVRTDRVARAADAPSGHAVVMLMPDGQNSIIIVGGANMEGWAAGIGPEDLELIRKAGVLLLQREIPDWVNAQAAQAAKSEGVPVIMDAGGMDAPVPGELLSLVDIFSPNETELARLTGMPTETFEQISQAAGECHKMGVKEVLVKLGSQGSALFVEGEEPIRQPIIPATEVIDTTGAGDTFTSAFAVALVEGKPKKECIRFAAAAASLCVRVKGAIPSMPDRKSVMKLLDSVKIE >Sspon.04G0016350-4P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:16339448:16348455:1 gene:Sspon.04G0016350-4P transcript:Sspon.04G0016350-4P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPRGGHRRASVPLQHAAALLMLALSCFCCRADPSPPNPKAVPAVIVFGDSTVDTGNNNGIGTVLKSDFPPYGRDMAGGANPTGRFCNGRLPPDFISEALGLPPLVPAYLDPAYGIQDFAQGVCFASAGTGLDNKTAGVLVRHLPNHMDALLNYWSTQLPLIPLWKEVEYFKEYKRRLRRHVGRARARRIVSDALYVVSIGTNDFLENYFLLVTGRFAEFTVGEFEDFLVAQAEWFLGEIHALGARRVTFAGLSPIGCLPLERTLNTLRGGCVEEYNQVARDYNAKVVDMLRRLMAAHPGLKVAYIDVYHNMLDLITNPSTLGLENVEEGCCATGKVEMSYMCNDKSPHTCQDADKYFFWDSFHPTEGEPVLRQEDAGPVLPGAPVMPRNVATN >Sspon.08G0015750-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:60156673:60158757:-1 gene:Sspon.08G0015750-3D transcript:Sspon.08G0015750-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNIQRKPGDWNCKNCQHLNFSRRDYCQRCRDPRPDLQFGDGYNTGGVLTSLDIRPGDWYCSCGYHNFASRSSCFKCGTIVRDFPAGQGAAGGEGDLARGRDSAAVRAGWKAGDWICTRPGCNVHNFASRMECYRCNGPREAGTGK >Sspon.03G0021890-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:89470879:89474766:-1 gene:Sspon.03G0021890-1T transcript:Sspon.03G0021890-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTRDRGAGGGSASRVGDGDVDLGEGWDWGAIPRLLSSACLFLCSGGCFGCCDKTAKQLGELSRNLITHDQITIGEPFWSTTTIEVEPADLRGVSSISTSNWAFEQHGTGSSHNLPGLGNNGLVLWEQTRQEWTEIRSLRPKVKQVREPVLSWNAAYESLLGSNKPFPQPIPLHEMVDFLVDIWEQEGLYD >Sspon.02G0045630-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2D:89284600:89284968:-1 gene:Sspon.02G0045630-2D transcript:Sspon.02G0045630-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MECAWKAAGGTGEIRGDIGDIWGVAGDARPKRRKSAAGDLACRLLATLTHLVVKPWKFERSSL >Sspon.01G0034660-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:4935404:4940156:-1 gene:Sspon.01G0034660-1P transcript:Sspon.01G0034660-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDANGRGENDDARAPLLAGPGRRRNSVASMRGEFVSRLPKKVLDAVDPEHPSHVDFSRSKGLLEGEREYYEKQFATLRSFEEVDSLEESNEINEDEELAEQIQSEFSMKISNYANIILLALKVYATIKSGSIAIAASTLDSLLDLMAGGILWFTHLSMKSINVYKYPIGKLRVQPVGIIIFAAVMATLGFQVFIQAVEKLIVNEAPDKLNQVQLLWLYSIMIFATVVKLALWFYCRTSGNKIVRAYAKDHYFDVVTNVVGLAAAVLGDRFYWWIDPIGAIALAVYTISNWSGTVWENAVSLVGESAPPEMLQKLTYLAIRHNPQIKRVDTVRAYTFGVDIELPEDLPLKEAHAIGESLQIKIEELPEVERAFVHLDFECDHKPEHSILSKLPSSQP >Sspon.03G0000900-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:13879396:13882335:-1 gene:Sspon.03G0000900-2C transcript:Sspon.03G0000900-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MIYPVESSCDLYMVRLLFVSGGGDDIDRFSVHRMDFSKKRWRNVRDLGGRAFFLSEYYFGASCSGGEHGLLPDRVYFVCDRNNTLQVFDVQDGSCEVRKLDEAPHTRHAFCASGDIDMDEVLTGKVVCPTAQGHLLVRDTATLSAFLWNPRDGDKIHLPPLREMDDSVLMHSHCLLSDEPTAPGFVVLLVEPGDACINVHLGDEDGSWVKHDYDIGEPVALEYDDDGEPVYDKNLTCPIAACRGKFYFNSLATELGVLEFCPGPVFSSIEIDNTIAADGSYGLRARLHKPGGVRRRLYMVTLLCRGTARTEIYGAGVHMMDFAERRWRRMFLLSPLYSGASCLGGGDHGSLRQDCVYVVCAREKALVVFDVKERTSETQKLDEVPASSDKAFW >Sspon.01G0014890-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:43729522:43730015:1 gene:Sspon.01G0014890-1P transcript:Sspon.01G0014890-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding EIWDAYTSEEQDMYLNFNLVLSDVSAFLVDGDYHWNETSDETNLLPVIDKCGIALKLQQIQLESPLYPSTRLAIRVPSIGFHFSPARYHRLMEIFKIFQDGASDNSSSDHEHLWDHADFEGSSSLLTWK >Sspon.01G0023610-3D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:82285047:82286698:1 gene:Sspon.01G0023610-3D transcript:Sspon.01G0023610-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable transcription factor KAN4 [Source:Projected from Arabidopsis thaliana (AT5G42630) UniProtKB/Swiss-Prot;Acc:Q9FJV5] MATAAAAPDLSLHIRPPSPPDDARSSGGSNRQANHETDVFFSKQTLCLGLVETTTTTAQEDSQCDIQQQQRLHQPSQIQRFKKSSSAALSGGTTRSGNGGSGGGGKRSSRAPRMRWTTALHAHFVHAVELLGGHERATPKSVLELMNVKDLTLAHVKSHLQANVSNSEGNDGRQIMCCRPCAGDERYGLSAEGL >Sspon.01G0052040-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:19865426:19865965:1 gene:Sspon.01G0052040-1C transcript:Sspon.01G0052040-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVESGDWLISPQSVVVWCGRVVVQESKALTDCLSILACICISVRVLHAMAQKETNGSNGEHISTRPPPTPRPSASPSSSR >Sspon.01G0025150-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1C:89109731:89110226:-1 gene:Sspon.01G0025150-2C transcript:Sspon.01G0025150-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMEIKGTFQVEYLAYDPATWPYFEVVSVTHFEWIRKPEDFLYDSSTDAVDHEIEESEWPPSNIIVE >Sspon.08G0008070-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:29223219:29229448:-1 gene:Sspon.08G0008070-1A transcript:Sspon.08G0008070-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable E3 ubiquitin ligase SUD1 [Source:Projected from Arabidopsis thaliana (AT4G34100) UniProtKB/Swiss-Prot;Acc:F4JKK0] MAEIAERAVAGELPEEPRPPHGEEEEEEEEEEEGDVCRICRNRGDEDHPLRYPCACSGSIKFVHQDCLLQWLDHSNSRQCEVCKHAFSFSPVYAENAPTRLPFQELIVGVGMKACHVFQFILRLAFVLSVWLMIIPFITYWIWRLTFVRSLGEAQRLFLSHISAQLILSDCLHGFLLSAIIVLIFLGATSLRDYIRHLRELGGHDAERDDGGRERHGARAVRRLAGPNNRVPADGNIDELAEAQGIGPGELLRRNAENVAARLERLEAQVEQMLDGLDDADGAEDVPFDELVGMQGPVFHLVENAITVLASNAIFLIVVIFVPFSLGRIVLYYLSWFFSSASTPMLAKMMPFTETAISIANDTLKSALNVVKNFSSDSNNEGVIGHVIEVVTQSLKINATGLSVIQGTGKSSLMKGTTIGSSYLSDLTTLAVGYMFIFFLVFLYIGSLALLRYARGERFTIGRLYGIATILEAIPSLCRQFFAGMKHLMTMVKVAFLLVIELGVFPLMCGWWLDVCTLKMLGTTIAQRVEFFTMSPLASSSIHWLVGIVYMLQISIFVSLLRGVLRNGVLYFLRDPADPNYNPFRDLIDDPVHKHARRVLLSVAVYGSLIVMLVFLPVKLAMRVAPSIFPLDITIFDPFTEIPVDVLLFQICIPFAIEHFKPRATIKSLLHHWFAAVGWALSLTDFLLPKPEENGGQENWNGRAERRDRGHGGREMVAPQVEQRMIQHVAAEDNGRGNANEANDATEESDVDDQGDSEYGFVLRIVLLLVLAWMTLLIFNAGMIVIPISLGRLVFEAVPRLPITHGIKCNGNLHVILLPELMLYDLMFMNNEISCITRLLTPFGTLSDLFSFSIGCYILWSAAAGTRYAIDYIRSRQLGFLVQQICKWCSIVLKSSFLLSIWIFVIPVLIGLLFELLVIVPMRVPIDESPVFLLYQDWALGLIFLKIWTRLVMLDQMAPLVDESWRSKFERVRDDGFSRLRGLWVLHEIIMPIVTKLLTALCVPYVLARGIFPVLGYPLIVNSAVYRFAWLGCLIFSTLFFCGKRFHVWFTNLHNSIRDDRYLIGRRLHNFGEDSHSPEPSESGATIGSDDQDRALVLRDQEEEVGLRMRRNNMRANQQPRLAL >Sspon.02G0031130-1P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5D:65232587:65233877:-1 gene:Sspon.02G0031130-1P transcript:Sspon.02G0031130-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMARLICGGCQTLLMYTRSATTVRCSCCDTVNLVRPVSSIAHVNCGQCQTVLMYPYGAPSVKCAICNFITNVGGVTPVRPLPPALPASSGNSYSIPSTSVPTNQSQNVTVVVENPMTVDDKGKLVSNVVVGVTSGGKK >Sspon.05G0004770-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:14370080:14371656:-1 gene:Sspon.05G0004770-1A transcript:Sspon.05G0004770-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAISKPLLSDLVAQIGKVPSSHIRPVGDRPDLANVDNESGAGIPLIDLTKLNGPERPKVVEAIGRACESDGFFMVTNHGIPAAVVEGMLRVAREFFHLPESERLKCYSDDPKKAIRLSTSFNVRTEKVSNWRDFLRLHCYPLESFVDQWPSNPPSFRQVVGTYATEARALALRLLEAISESLGLERSHMVRAMGRHAQHMAVNYYPPCPQPELTYGLPGHKDPNAITLLLQDGVSGLQVQRGGRWVAVNPAPNALVINIGDQMQALSNDRYKSVLHRVIVNSESERISVPTFYCPSPDAVIAPADALVDDDGHPLAYRPFTYQEYYDEFWNMGLQSASCLDRFRPGGSI >Sspon.01G0020670-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1A:76736006:76736450:1 gene:Sspon.01G0020670-1A transcript:Sspon.01G0020670-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GWWDGETSEEFDERLFGSKGTEEGSLYAKLDRVENASRRYGMSSGMGGFAGFGDRSSSGSSMGGFPGFSDRSSSGSAMGGLGVFSNKSSSGSMGFFDSSNDSISEMLGNAARNFQMDDDDDDGDEWEEDDFEFRPDVTYRRGSTYSVR >Sspon.05G0019790-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:87043499:87047462:1 gene:Sspon.05G0019790-2B transcript:Sspon.05G0019790-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GLDH [Source:Projected from Arabidopsis thaliana (AT3G47930) UniProtKB/TrEMBL;Acc:A0A178VN92] MRHLLLSRFLLRRSGGGGIPTADHHHLPLLRALSSAPSPVSSDAELRKYAGYALLLLGCGAATYYSFPLPADALHKKAVPFRYAPLPEDLHAVSNWSGTHEVHARVLLQPDSLPALEGALAAAHKERRKLRPLGSGLSPNGIALSRAGMVNLALMDKVLDVDAKKKTVTVQAGIRVAELVDALREHGLTLQNFASIREQQVGGFTQVGAHGTGATLPPVDEQVISMKLVTPAKGTIELSREKDPELFYLARCGLGGLGVVAEVTLQCVERHQLVEHTFVSNADEVKKNHKKWLSENKHIKYLWIPYTDTVVVVQCNPPSKWRTPKLGSKYGKDEALQHVRELYRESLKKYRTEAESNDPEIDTLPFTELRDKLLALDPLDKDHVMKINKAEAEYWKKSEGYRMGWSDEILGFDCGGQQWVSENCFPTGTLAKPSMKDLDYIDKLLRLIEKEEIPAPGPIEQRWTARSKSPMSPASSSEEDIFSWVGIIMYLPTSDARQRKEITEEFFNYRSLAQSLWDDYSAYEHWAKIEVPKDKDELAEVQARLRKRFPVDAYNKARMELDPHKVLSNAKLEKLFPVLEPVHQTK >Sspon.05G0022210-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:2769025:2789272:-1 gene:Sspon.05G0022210-1B transcript:Sspon.05G0022210-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHALRIEMSFFCRRRFEVRFISSDLWLWLCVLLLVSRVSNGCSTEERTALLEIRVSLLGTTDSIAGLPLPWWSESAATSAWHDCCSWKGVECSNDTGRVFQLQLSSLGGGQPQNGLCRAGFNSTAFSAFPELQFLDFSMNYATFQSWDGLSKLRHLDLSFNCLNANDSESLGKLFSLEVLHLEFTAMVGTLPASVFENLTDLQELDLSSNKLTGNLPASLFTLPRIQHLNVSQNFFEGSIPMSSNLNHSSSFRTVNISMNNLSGNFSFHWLRNMANLEKIDFSRNVHLAVGVNFPGWKPPFQLKELLLSGCDVDKSIFTEPHFLRTQNHLETLDLSNSSLPGSFPSWLFAQQPALLYLNLGNNLLSGSLDQIIHTQTSLLAISLSLNRISGRLPANISSIFPNATFLDFSGNTISGEIPPDLCNISNMEYLDLSNNNLQGELPSCLFADHPILKTLKVSNNKLGGPILGGKSHLSIGWEIYLDGNNFEGELPRYLTGSFVDGGTMDFHGNKLSGKLDVLRWSLPNLWTLNLGSNSLTGEIDQSICGLSIILLDISNNNISGSLPNCSNPLSLLFLNMSANLLSGDIAPNSFFRNATVTALDLSYNQFTGSIDWVQTLDEVRYLSLGTNKFEGQIPQTTCQLQYVRVIDLSHNRLSGSLPACIGDLPFEGKSSGLSYWNLICERGFQYPGFRYTSCYEQRGFRFGTKWNLYTYRRNFIDFFSGFDFSENMLSGEIPQELGHLSHLKALNLSHNSLDGLIPAALGNMSDVESLDLSHNQLSGAIPSQISRLSSLAVFSVAYNNLSGCVPDVGQLGLFDATSYLGNRDLEEASRGSSECAGRFRAAGRFFAAVAAQRRRGGGRGALRGQCCISMFYISCGCVPEERAALLHVRSSFMEVDSELLPSWGDRQDCCSWERVTCNNDDIARVSGLDLSDMYDGPECWNLNLAAFSHFHELQLLDLSWNHYACLHNFEVLNLERTNMSGPLQNIDFRNLKSLRDLYLASNQLNGSIPTSLFELRHLEYLDLSGNRLQGKIPISSSSSSIPLSLKSLELSENNLKGTFDFFLLRNNTKLDFIDLSGNTDLSIDMNFHELVPSFQLRSLKLSRCNLDRSITEELNFLGTQRNLQILDLSNNRLTGSIPNWIFTNETSLVYLDLANNSLVGSLDSMWQRRQSTLQLVNISMNHLEGRLPTNASLVFPNLSVLDASNNMFSGDLPPRLCNISSMKIMDLSNNKITGEVPACLLSYMWQILKLSNNNLGGSIFGGANFFPGDAIYLASNNFEGELPNNLSGNLEIMDLHDNKLFGKLNASFWNLPSLLALSVAGNSLTGEIHPSICKLTSLQFLDISDNNFAGLIPNCNNSKLNLQFLNTSGNSLSGFPSTLDWIQGLSQVRLLLLGGNRFEGHITPNNLCHLQYLNVIDLSHNKLSGSLPHCIGGISFGHNEDYLPSSVFGFDVLVKGFPAWALAVVGLSSNRTIRFELQGFTFPTKGKLYTYGYGFFNLMFGIDLSANMLSGEIPWELGNLSHVKSLNLSRNIFTGRIPPTLANMSAIESLDLSHNELSGLIPWELTRLWSLEVFSVAHNNLSGCIPDSGQFASFNMDSYQGNTNLKNMTLGNGCSTASGPVAPPALEDAGAKDEDDDPVLYVVTTSSFVMAFWATVAFSFCHSKAEKHQHGLESCTMKAALK >Sspon.03G0024110-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:97109069:97113757:1 gene:Sspon.03G0024110-1T transcript:Sspon.03G0024110-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDTLSDAFAAAVLLSSTDKPDTLPPGRLSPVSPLPSKHPTPSSSSGSSGSVSVSRAPLAPASGLASRRSHSGEIPLPSDALLRGAARPGHRRTGSGPLIFTSGASACSSSATSPLTNALPAGNICPSGRLAKPLPSSCSSAATPPPPPPPRASRHDVLGSGTANYGHGSIVRSRAGAGAATDDDAVLRRAMSAADPEEVKRAGNDQYRKGCFEEALRLYDRALALCPDNAACRGNRAAALIGLRRLGEAVKECEEALRIDPSYGRAHHRLASLHIRLGHIEDALKHLSLATPQPDLLELHKLQTVEKHLGRCLDARKAGDWKSVLRESDAAIAAGADSSALLLAARAEALLRLNLLDEADIAISSASKLDYTSSCSSDTKFCGFLANAYLFYVHAQVDMALGRFDHAVSSIDKARIIDQGNTEVVTMHNKVKSVARARSLGNELFNSGKFSEACLAYGEGLKQHPVNKVLYCNRAACRFKLEQWEKSIEDCNEALKIHPNYTKALLRRAASYGKMERWAEAVKDYEILRKELPGDTEVAEAYFHAQVALKSSRGEEVSNLKFGGEVEAIIGMEQFQMATSLPGVSVIHFMTPSNQQCCKISPFVNTLCTRYPSVNFLKVDVNESPAVARAENVRTIPTFKIYKNGTRVKEMICPSQQLLEYSVRHYGI >Sspon.03G0000050-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:10574944:10577265:-1 gene:Sspon.03G0000050-3C transcript:Sspon.03G0000050-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTLGTASSLLLTGHRHRLLAARTLSVSATSASSSSTHVKMARSALDEVTDTGAFDRSPSTFRSSVSRDGRFPSVVGRYQLYVSYACPWASRCLAFLKLKGLDHAIGVTVSEFARPSIDSSSPCCTESQAVKPIFERTKETDDHLGWVFPATADEEPGAEPDTLNGARSVRELYEIASSNYAGKPTVPVLWDKELKTVVNNESSEIIRMLNTEFNDIAGNPGLDLYPAHLRASIDEVNELVYDAINNGVYKCGFAKKQGPYDEAVARLYEALDKCEEILGKQRYICANQLTEADIRLFVTLIRFDEVYAVHFKCNKKLLREYPNLFNYTKDIYQIPGISSTVNMEHIRKHYYGSHPSINPYGIIPAGPNIDYNAPHDRERFGA >Sspon.05G0011010-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:33752463:33754769:1 gene:Sspon.05G0011010-4D transcript:Sspon.05G0011010-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLVAGGVVHGRLPVRPSRFPLLLGSLPYTSVAVSAAFSGCPCPPIVRRNAPAVVPFAKKKRKGYSEDPPDEEVADDFTDELEEDEEVEEEEDFDIMDDDEGLRTTMTLKMILRAGDGGAGGGISLAGTWWDKEALALAEQVSASFDDDLKIYAFKTAANLTIRVRIEKMSTRYGSPTIDDIEAYTIAYRAKLDDAESTGKIPKNISLEVSSPGVERVIRVPEELERFKERAMYVRYTTTSDESATLQEGDGVLTLISYDMDLRECTWGIADVKINRQQSGKGRPLSKKQREWRLQTPFESL >Sspon.01G0016900-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1A:59621270:59621442:1 gene:Sspon.01G0016900-1A transcript:Sspon.01G0016900-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAKTPYMLASVIVAIYTGMYVISKAAFNQGMNSFVFVFYRQAAASLLLLPIALLLE >Sspon.05G0010180-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:31567300:31571993:-1 gene:Sspon.05G0010180-4D transcript:Sspon.05G0010180-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LEQQRARGRSGGREMPGGASLLNSGGMADSGGGLTVPVVVTCLMAASGGLIFGYDIGISGGVSEMEAFLKKFFPGLLKRTTARGANKDVYCIYNNQALTAFTSSLYAFGMVGTLLASRVTRRLGRQAVMLIGGSLFLAGALVNAAAANLAMLIVGRMLLGLGLGFSGQATPVYLAEVSPPRWRGGFISAFPLFISIGYLVANLINYGTSRIPDWGWRLSLGLAAVPAAVMVVGAAFIPDTPSSLVLRGKHDDARAALQRVRGKGVDIGAEFADILAAAESDRRNEEGAFRRILRREYRPYLVMAVAFPVFLNLTGVAVTAFFSPILFRTVGFESDAALMGAVILGLMNIGGILASGFAMDRYGRKLLFVAMASIIGSQLGNGSKMAKGYAVTVLVVTLVFSASFSWSWGALYWTIPGEIYPVEVRSAGQGAAVALNLGLNFLQAQFFLAMLCCFKYGTFLFYASWLVVMTAFAVAFVPETKGVPLESMAHVFARHWYWG >Sspon.05G0013960-3P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:50931310:50938700:-1 gene:Sspon.05G0013960-3P transcript:Sspon.05G0013960-3P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHDHKVLDALDAAKTQWYHFTAVVIAGMGFFTDAYDLFSISLVTKLLGRIYYFDPSSKTPGSLPPNVSAAVNGVAFCGTLAGQLFFGWLGDKMGRKKVYGMTLMLMVVCCLASGLSFGSTPKGVMATLCFFRFWLGFGIGGDYPLSATIMSEYANKRTRGAFIAAVFAMQGFGNLTGGIVAIVVSAAFKARFDAPAYKDDPAGSTVPQADYAWRIVLMFGAIPALLTYYWRMKMPETARYTALVAKNARQATSDMARVLNVELADEQQKAKAEEALERRREEYGLFSRQFAKRHGLLLGTSLLEHVTVLNLFQKDIYAAVNWLPKADTMNALEEMFKISRAQTLVALCGTIPGYWFTVFFIDIIGRFAIQLGGFFFMTAFMLGLAIPYHHWTTPGHHVGFVVMYAFTFFFANFGPNSTTFVVPAEIFPARLRSTCHGISSAAGKSGAIVGSFGFLYAAQSTDPTKTDAGYPPGIGVRNSLFMLAGCNVIGFLFTFLVPESKGKSLEELSGENEDEEAAPAQMVPLHGGGVIAAWASSRRLRLFSISLVTKLLGRIYYFDPAPRPPVLSRNVSAAVNGVAFCGTLAGQLFFGWLGDKMGRKKVYGMTLMLMVVCCSRPALVRVHAKGVMATLCFFRFWLGFGIGGDYPLSATIMSEYANKDARRGFGNLTGGIVAIVVSAAFKARFDAPAYKDDPGSTADYAWRIVLMFGAIPALLTYYWRMKMPETARYTALVAKNARQATSDMARVLNVELADEQQKAKAEEALERRREEYGLFSRQFAKRHGLHLLGTTVCWFMLDIAFYSQNLFQKDIYAAVNWLPKADTMNALEEMFKISRAQTLVALCGTIPGYWFTVFFIDIIGRFAIQLGGFFFMTAFMLGLAIPYHHWTTPGHHVGFVVMYAFTFFFANFGPNSTTFVVPAEIFPARLRSTCHGISSAAGKSGAIVGSFGFLYAAQSTDPTKTDAGYPPGIGVRNSLFMLAGCNSKGKSLEELSGENEDEEAAPAQSNQQTVPTDSE >Sspon.07G0012470-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:42572100:42573759:1 gene:Sspon.07G0012470-2D transcript:Sspon.07G0012470-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAHTGSRRCNPSTSTARRRTRPPASSAAVSARRPSPTTKPMSKDDDAAEGKARTKACSRRRDMEVRKKMEVLRRLVPTGSGDDEEVDELLLRAAGYIARLQAQVTVMQFMVDFSGSALSDIIDPFLSCSSSHAC >Sspon.03G0006760-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:9813608:9816932:-1 gene:Sspon.03G0006760-1P transcript:Sspon.03G0006760-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPSRARLLLCFVVAVALLVAPGEVAAAGHGKRRHSTHTRRLRPGKSAAAAAKPLPYPVNATRVETIERQFTRWVRFMGGLGHSSYNRALNRAFLPTRTLVVDKNPAAGNFTSIQAAVDSLPLINLARVVIRVNAGTYTEKVNISPMRAFVTVEGAGADKTVVQWGDTADTAGSFGKPMGTFGSATFAVNSMFFVAKNITFKNTAPVPRPGALGKQGVALRISADNAAFVGCNFLGAQDTLYDHLGRHYYRDCYIEGSVDFIFGNALSLYEGCHVHAIARNYGALTAQNRQSLLEDTGFSFVKCRVTGSGALYLGRAWGTFSRVVFAYTYMDNIIIPRGWYNWGDPTREMYVTAPPPPPFSGRRACPLTPADLRACRTVFYGQYKCTGPGANYAGRVEWSRELTDEEAKPFISLDFIDGFEWLRL >Sspon.06G0021040-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:3501804:3502574:1 gene:Sspon.06G0021040-1P transcript:Sspon.06G0021040-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding HVSLAPLARGVPSPHHRVHLHRLVAAAGGNPSSAGAEAPAAAGEQLQARRHDTGGGGRGGAPAAVPCSRSVPRRPGVHGVLRHGGERGELRRVRREREQEGHGGVRVRRARGVEGAAGVQGGEGHQRHGLGVLQPAEADGEGVPDVPVRDPRAGAAQRRARQPGALRRRAAGPDRDRRRRALRRARRRRRPGVLRVRGGGRRRAQPHLSHVRAEQAVRALRHRAQRRVEPRRGHAPTSARVLQDHPARRPAAGHRDL >Sspon.01G0001690-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:4466849:4469316:1 gene:Sspon.01G0001690-4D transcript:Sspon.01G0001690-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPYGKWVQTPERHTQNSQPAKEKVLAPAPGGPPRVVACASPFQFAPPSRLSCLPDRWPPALANQVGGAANQHGQIGCGHAGKPLRRSRAVVWLPPVTGVGPADRSFPVARGTGDNGQIRASGNSSTAGGAFGGNRGVRPVPPEKGVFPLDHLHECDLEKKDYLACLKSTGFQSEKCRQFSKKYLECRMERNLMAKQDMSELGFRNVEEADTSPDMNSQLESPPNEQKENKIAV >Sspon.05G0026300-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:33208140:33209092:1 gene:Sspon.05G0026300-1B transcript:Sspon.05G0026300-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQDETDIYRGVMRVQVWQHWTEKRGSDVVDGDLVGVEGQQHAARQALRCVHVALLCVQSDRARRPTMGQVIAMLSSGDDGAEELPEPSLPGYVVRPTAASGVQLCFGCR >Sspon.05G0026420-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:38219377:38220827:1 gene:Sspon.05G0026420-2C transcript:Sspon.05G0026420-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTRRGACYSCHAAAPAPGEGPEAVHRRKRRRTAAEGSPAAAGAPGVRLAGDMFEELPDDLVVSILRNVAASAGSPADLAGAMLTCKRFRELGQTKVVLARASPRCLAVRANAWSDDAHRFLQRCADAGNLEACYLLGMIRFYCLQGSRGSGAALMAAAAVGGHREALYSLAVIQFNGSGGGKDDRDLRAGAALCARAASLGHVDALRELGHCLQDGYGVRRSVLDGRRLLIQANARELLQAAVTASASVAGAGPGEGADAGDGEASAAPRRHSCLLSDFGCRAASGEPHAANRFLVDWFASRPLGASAAPGGNGNPGTAAASPAEEDAGGALRLCSQALCGRPETRRHEFRRCSVCGVVNYCSRACQALHWKTAHKAECTPTDRWLDVAVGGGAAALPNANANADAA >Sspon.07G0002860-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:7081771:7085676:-1 gene:Sspon.07G0002860-1A transcript:Sspon.07G0002860-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLFSGVLDSSSALASAVLGSVSDRLGFSHVFFGRASPSSMPALACEAAASSSAAAAADACAGAQKPWLFVGLGNPGRKYKGTRHNCKFASSLQLPVGFEMIDAITEAEGISVSSKQFKAIIGK >Sspon.07G0028820-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:69691039:69696295:1 gene:Sspon.07G0028820-1B transcript:Sspon.07G0028820-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSGVGCSYPVTGRKRVKLLATELLDSEPLVCCVPICDDSLGDLPDRCSERHHMASGSGDQTQNTGVFPAMQEYACSTGNNDVVYPQYGIGYSSGQNGAQGAYLQHQHFEGCMYMSGNGQMCGPYPPEQLYEGLSTGFLPQSLAIYAVFGGKTADPVQLIFLKQFLSQWNVGAMTSAPNALMETEKVASHAKMLACLPDCFGSCFLPVSLFQAKNHAGCLRIQKVADKGHILLLNFPIGITIAISKIFQCTSFIYHVDGKFGPFTLVSLMGMWSGEHKEPLEATANDSASLNGLVGDIVDDVSHQLHAGIMKSARRVLIDEIFSSILPDLIVSKKTEKQLAAKLKNQVTKPDSVSNKKDSTIKVKVNTSCTVPKKGNSYNTAPVDCSVAIQFTAVHGKFADILPAVWQTVYYESMKNVWDEILSECVMDYCDVWLQRNCILNLPSTSISVIPDDNMKAQDSHELSPKVFSLGLNDLDATECDMDFPPGFGPCWKSPESSLSPSLLEVNGSAMDGIVQRMLANELYISSKQSLFHYFEEVIAEEITNCLCFGLESSIDQEQIGTPVHAPESPMSAETSMHETLNPIEMTGGDELNIVEMAMTTRTNEELNTVEATRTSPTELTSVETSTAAEMATDKMPTSHVCAVEEHLSMSYARIFEKMDICKTAELDEKFDEVPPGMETGLVPLPLMDKNIYKPSKSMNSIPLISRYITLALCRQKLHENVVREWTSLFSIQLASAWAHDGSSKLKEKTYYRKRKFEKTCQSKSSKKPVEISMDEQLSKPLCQLVDRKIYVKNIQESNKALTSKKVSFVDKPSKKGAKTVANDAHDLNIQQDLTLLSSEVPKRARSSHPTKKHMVANRTPTGNDNVADNSMLTKHVKKKKGRDISSETSQKVKPMISCPESDGCARVSINGWEWRNWVRNATPSERARVRGYRVRTILSASSNNNVWINSQAKVSSARTNRVKLRNLLAATEGAELLKITQMKARKKRLRFQRSKIHEWGLVALELIEAEDFVIEYVGQLIHRRVSDIRESQYEKSGIGSSYLFRLDDDFVVGDADHSSMQYCFGNKKLMYYLKGSMPLSVPNCYTKVITVDGQKKIFIYAKRRIYAGEEITYNYKFPLEEKKIPCHCGSR >Sspon.07G0013820-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7B:55793694:55794036:1 gene:Sspon.07G0013820-2B transcript:Sspon.07G0013820-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SRIRFSSSSSSSSLVTGTIRSPEGCTATRSAADHTYVSSPRSSSTRQAAASVVLSSSTCCSSGLLAVCCVVSRTHTDHDHQIGRLEIQLLLINLLGSTEIVSYTFTLQFKYAK >Sspon.01G0012830-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:38033368:38034458:1 gene:Sspon.01G0012830-2D transcript:Sspon.01G0012830-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAREIAAACVVAALVALCAAALGVAAQPRPAPRPLPSNYHMITPGKYKRDQQTSCDDPKDNKAKCMAKCDKRCPNQCIVLCPGCKTFCMCDFYPGVSCGDPRFTGGDGNNFYFHGKKDQDFCILSDANLHINAHFIGKRNPAMSRDFTWIQALGIRFGADHRLYMGAQKTAKWSNDVDRLELAFDGAPVSVPAETGAVWESAAVPGLTVTRTAAANGVRVQLRGVFDIVANVVPISEEDSRVHNYGVTEDDSLAHFDLGFKFFDLTDDVHGVLGQTYRADYVNQLSVSSKMPVMGGAPNYVSSDIFATDCAVARFGVRRAGISMVRAQDS >Sspon.04G0017840-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:64789259:64801590:1 gene:Sspon.04G0017840-1A transcript:Sspon.04G0017840-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMDSPATSPASEVPFRISFSGHGGHLRLDPTPHTPSPIPDFVLPPAYPAESPSSVKEYLERNYLDPELHLPTAANSGRVWDVDWFALARPPLEPSAPRTTLAPAWVPPFRRGRQKLQSASESQVWDPESVQMEMVDVFDSGTGGIAPRMPGPAKDFVRGSINNRPFRPGGLQDDRAEAAALEKAFPEGARNGDWVRELMSGGPAQVAPPGFRKGLELGQLKEYESHWKCFRDGELVEEQPAPSSNDTMEKYSVQFDDLFKIAWEEDAANKLLQEGVVQQSAEGQGINEIGEQKVDALQDASETITALDAEKQEVDVIRDVPETQTDLDQMLSSEVQAAAGREPGVSGDEKPTQDGMVWALVGGDEDIMTNFYKLVPDMAIEFPFELDKFQKEAIYYLEKGESVFVAAHTSAGKTVVAEYAFALATKHCTRAVYTAPIKTISNQKYRDFSGKFDVGLLTGDVSIRPEATCLIMTTEILRSMLYRGADIIRDIEWVIFDEVHYVNDAERGVVWEEVIIMLPKHINIVLLSATVPNTVEFADWIGRTKQKKIRVTSTNKRPVPLEHCLFYSGEVYKICERDMFLTQGFKEAKDAFKKKNSNKFGVKPGPKSGTPAVRAGTQGKNPDTSNKGRDQKYPKHHNSNSGAATVQQSSSGPKRFETLFWMPLVNNLLKKSLVPVVIFCFSKNRCDKSADSMFGADLTSSSEKSEIRVFCDKAFSRLKGSDRNLPQVVGIQSLLRRGIGVHHAGLLPIVKEVVEMLFCRGVIKVLFSTETFAMGVNAPARTVVFDSLRKFDGKEHRKLLPGEYIQMAGRAGRRGLDNIGTVIIMCRDEIPEESDLKNLIVGKPTRLESQFRLTYTMILHLLRVEELKVEDMLKRSFAEFHAQKNLPEKEKLLLQMLRQPTRTIECIKGEPSIEEYYEMALEAEEHREFITEAIMKLPNSQQFLTPGRLVVVKSDSDDDHLLGVILKNPSTMLKKYVVLVLTGDCSSSILAPEFNKNEKGPGDFQGGQFIALKGKRGVDDEYYSSSVSSRKSSGVITIKLPYKGDASGMGFEVRAIENKEIMSICTSKIKIDQVRLLEDPNKTAYSRTVQQLIKEQPDGTKYPPALDAIKDLKMKDMDLVKSYHAYHRLLQQMSENKCHGCIKLKEHMSLMKEQKVYKDELNKLQFQMSDEALQQMPEFQGRIDVLKVIDYIDSDLVVQLKGRVACEMNSGEELISTECLFENQLDDLEPEEAVAIMSAFVFQQRNASEPSLTPKLAEAKKRLYDTAIKLGKLQADFKVPVDPEEYARDNLKFGLVEVVYEWAKGTPFADICELTDVSEGIIVRTIVRLDETCREFRNAASIMGNSALFKKMEIASNAIKRDIVFAASLQMRLHNER >Sspon.03G0011020-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:34582924:34584808:-1 gene:Sspon.03G0011020-2D transcript:Sspon.03G0011020-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding TMLDHLVGLVKVRVLRGVNLAIRDLRSSDPYVVVRIGKQKLKTRVVRKSTNPEWNEELTLSIEDPAVPVRQEVYDKDTFVDDTMGNAEVDIRPLVEVVKMKLQDVADKTIVKKLVPNRQNCLAEESSIYVAGGKVEQDLVLRLRNVESGEIELHLQWVDLPGSRGV >Sspon.05G0012620-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:5A:39287249:39287409:-1 gene:Sspon.05G0012620-1A transcript:Sspon.05G0012620-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VARALERYSGHSALLEKGQGPSTATRVEKPVKVHETQRECSRARCVADGGAE >Sspon.03G0018770-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:78243401:78246402:1 gene:Sspon.03G0018770-3C transcript:Sspon.03G0018770-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPARGCRGSGLLVLGLALSVSAALLLRGCAGQQAEDGSDAPAAAAATAPMEEKERRALFAAIESFVGKGWNGSGLYPDPCGWSPIQVRPCLPASLLPCAFPFASIYAAVLFDFLARVRGVSCDLFNGLWYPTVMSIGPVLDNSLQCAPDAKFSPQLFDLRRLRTLSFYSCFPASNPTAIPTAGWEKLSGTLETLEFRTNPGLSGGIPSSLGRLASLQSLVLVENNQTGPIPAELGALSRLRRLVLSGNGLSGPIPATLGNNDRRHRHAHDDELLIVDLSRNSLTGSLPSSLGGLTGLLKMDLSNNLLQGSIPPELGGLKSLTLLDLRNNSLTGGLPQFVQGMASLQDLLLSNNPQLGGGLPQSGWETLAANLATLDLSNVGLVGAIPASMAKLTGLRFLALDHNRLTGAVPAELAQLPSIGALYLNGNNLTGPLEFSAVFYQRMGRRFASWDNPGLCYNIAAVDAAHAPSGVVVCKDLQAPSVARDGDGDGEEGGRKPEASSSLVASSGRSAARVGGLWYLVVVQGMAVAVLGLMSLLLL >Sspon.04G0026080-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:42175001:42178682:-1 gene:Sspon.04G0026080-2D transcript:Sspon.04G0026080-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLASLAASGSTDVVTYNTLVAGYYREGRLNDARRLVADMSFAPNSYTNSTLLKGLCNDKEWDDAEKLLSEMIRSGCPQMISRLGSCGSCWSFSASGALEGAHYLATGKLEVLSEQQMVDCDHVCDSSEPDSCDSGCNGGLMTNAFSYLQKAGGLESEKDYPYTGSDDKCKFDKSKIVASVQNFSVVSVDEGQIAANLIKHGPLAIGINAAYMQTYIGGVSCPYICGRTLDHGVLLVGYGAAGFAPIRLKDKPYWIIKNSWGENWGENGYYKICRGSNVRNKCGVDSMVSTVSAVHTSKE >Sspon.03G0027100-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:4510753:4512988:-1 gene:Sspon.03G0027100-1B transcript:Sspon.03G0027100-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAHGVVCSDALSLDVSDPLGVHVRTVTPLRDGDLVATIPRGACLTPRTTGAAAAIEAAELGGCLALAVAVMYERARGANSPWDAYLQLLPDRESVPLVWPADEAERLLAGTELDKILKQDREFLCEDWKECIGPLLLSGELDVDPDDFSLEKYFSAKTLVSSRSFQIDSYHGFGMVPFADLFNHKTDCEHVHFTSASDASDSDGEDDDDQNDASADDKSTIENPTSSSPGYIFTNICITNNEDLEMIIVRDVNEGEEVYNTYGTMGNAALLHRYGFTELDNQYDIVNIDLALVTKWCTSIFSSRHTRARVSLWHNLGYSGCTSQDTEYFEIAYDGEPQFELLILLYIINLKPDVYDKLICVAHDFVSDEEHAIICNVVKFVKATNSNQNFEVNGLEKLPDVKKLLHSESICSALLSLADMRENLYGSSTLEDDEKELQACSILDERKLYHSLVLRVSERRILHRLRKFASSGSKAKKRKHP >Sspon.07G0028380-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:66281884:66286739:-1 gene:Sspon.07G0028380-1B transcript:Sspon.07G0028380-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEEAPLLVPATAVEGCPGCAMERRKASSNGRIPYKELFFVGVTSLASALPITCLFPFLYFMVRDFHIAKTEEDIGFYAGFLAASYMVGRGFSSIFWGVLADRIGRKPVIAFSILVIFNTLFGLSTTYWMAITTRLVLGALNGLLAPIKAYCVEVCQTEHQALGLSIVNTAWALGLIVGPALGGYLSQVPVQKEKKRKEKKRKEKKRKISHFLTAVSFFSQPTEKYPHIFSKDSVFGSVSAFATIVLISCEWLPETIHKHKVPEMDTKIFKALSSEEGYWDPPRKKKSLLQNRPWISTMLPYCFFSLHDTAYSEILSLWAVSDRKYGGLSFSTEDIGQVLAMAGASLLVYQLIIYRWVHKILGAVNSSRCVYTCSCNLSLMTYLSGVKLSFALYSAAMMRSALAITVNTGISLLQNNAVCQEQRGTANGISTTAMSFFKSIAPVGAGALFSWAQKRQDAAFLPGDQVVFMVLNLVQLLGLISTFEPFL >Sspon.07G0018500-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:67032465:67033289:1 gene:Sspon.07G0018500-1A transcript:Sspon.07G0018500-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MANASLQSFLLPQHHSFASTGGSHDSSPSALHKLSTNTGGSISFRLHPNTSRSVTTTATTNSSAPTPVAPAASAAAEEDSSPAPSLDLLGRQLAAGDYRQADETTRALIIDLAGEPARRRGYVFFSEVQFISAEDLRAIDELWKEHSNGKFGYSVQRRLWEKSQRDFTRFFIRVGWMKKLDTEVEQYNYRAFPDEFVWEMKDDTPEGHLPLTNALRGTQLLGNILTHPAFQEENQEDEAAAAAESATTTGQSKDDNKGRERPKFMRDFKPDYSF >Sspon.04G0028120-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:64192481:64194749:1 gene:Sspon.04G0028120-1B transcript:Sspon.04G0028120-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPQSQSSSLQRLQHVEKRIVRVLELAGAVMEELGNSQGPRTDAVGAHCREFMISMKEIQTTLREEIKSACEYRPFEKCDYSARITNEICCKKLEYVIEKLDTMQQNVEQSTDDV >Sspon.06G0019680-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6B:4201050:4206001:1 gene:Sspon.06G0019680-1B transcript:Sspon.06G0019680-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTRTLTRNSPASMATASTTLLLSLSLALLAFAWAASPSPDAEAISRFQEYLRIDTAQPAPDYAAAVAFLRNQASEAGLEARTLELVAGKPLLLLRWPGRRPSLPSILLNSHTDVVPSEPNKWDHPPFSAALDEASGRIYARGSQDMKCVGMQYLEAIRRLRSAGFVPDRTIHVIFVPDEEIGGHDGVELFVSSKEFKDMNVGLVLDEGLASPGEEYRVFYAERSPWWLTIKAKGAPGHGAKLYDGSAMENLMKSVEAIRRFRTSQFDLVKSGEKAEGDVVSVNFAYLKAGTPTPTNCSRFRLGRRYQGGREKTCIPLLPATCFNYLWAGELLSMRRWRGCAGVGTTGRQLLRTCPAAREAATRANRQLYGTGCGKAKTPPVRQRRGRERRWRAGDAGGGDTLEMRVETMLRRRCREKWLAGGCDGLGPSRELPPPCARGGGRAEAVVGDDAGGRRMRMTVSRDRRKDKVAFLEMGGCFIYFFIRGFVMNLQPSEAEVGLDIRMPPCVHTEALERRLIQEWAPSSRNMSFEFKQRGSVLDNFGKPAFTLADSSNPWWPVFEEAVKRAGGKLGKPEVFPASTDARYFRKIGLPAFGFSPMANTPVLLHDHNEFLSKDEYIKGIGIYESIIRALATHNDEARDEESRAEL >Sspon.01G0049740-1P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:113208256:113209320:1 gene:Sspon.01G0049740-1P transcript:Sspon.01G0049740-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQPVTPRRATRSSAADPASPTSPSGSRPKSTPRRQPVPTAAAKEEEGEHERSTVDALLEALPGRRTQATDLLRLLAPAPALPLLLHGGAATGKTRALLLALRHLSPPSSSSASSRLRIPDKPSDFVAALRDALAGLSAQGEAVYLVFDNLEVVRSWDKGGQLLALLLRLYDLLRLPQVVLVYVSGATPDAYYSMTGSVEPNHIYFPDYTVDEVRDILMRGHPNPKLYSSFLSVALKPLFRVTRRVDELVAALEPLFRRYCEPLG >Sspon.07G0011100-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:37448387:37450405:-1 gene:Sspon.07G0011100-1A transcript:Sspon.07G0011100-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Light-regulated zinc finger protein 1 [Source:Projected from Arabidopsis thaliana (AT1G78600) UniProtKB/TrEMBL;Acc:F4IBS4] MKVLCSACEAAEASVLCSADDAALCARCDREVHAANRLAGKHQRLPLLAPGNQSAAAVSPPKCDICQECDAYFFCLEDRALLCRSCDVAVHTANAFVSAHRRFLLTGVQVGQELELDDLSREQPEASPPPPSKSEPAPPPLYSESDFGWAAGAGGATGSLADWSAVEEEFGSPATRLAEAAPRATPKRSPRAPAPAFGAGQGRVAGGVMDWPLGEFFRGVSDFNGGFSFGESGTSKADSGKLGGSAGGSPYYRSSSEDRDANELFGQVPEIQWSVPALPSPPTASGLHWQHGGPDSTAFVPDICSPDGGAVRCFPTADGAAKRQRNR >Sspon.03G0019460-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:82793453:82804019:1 gene:Sspon.03G0019460-2B transcript:Sspon.03G0019460-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDPPALAPPEPEPEATPAPPQRLLRLRCAVQPYEWGQRGAASLVARLADQNPDPARPYAELWMGTHPSGPSTLLVDGALLRDWLARNPDALGPAVAARWGGDLPFLFKVLSVAKALSIQAHPDKKLAEVLHALRPSTYKDDNHKPEMAIAITEFRALCGFAAIEELKDVLRTVPEIKGLIGHEDTGKLMNMKEYDGVSEVKSSLQSAFAKLMTASKDMVSEAVAKLISRLNTDSKIRTLTDKEQL >Sspon.05G0006480-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:11947972:11950912:1 gene:Sspon.05G0006480-3C transcript:Sspon.05G0006480-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPVEADKKRLEGLQGKLRGTGSQLPPRQGAARSLWAGPARRQVWRARNRVVLGDFNPWGREAGNVDAGTSIRRGQVHGAQASRVHTGPHLRSSSGLAARAYSSRPNHSPQSPLSQPFEGGSSLAAMPPHLVLLLFLGLGGLLPLAAWAADEQFVFDGFKGANLSFDGMATVTPDGLLMLTNGTSQLKGHAFYPAPLRFRRAPNSTATAMQSFSTAFVIGIIGAYEDLSSHGMAFVVAKSSNFTSALPGQFMGLVSSATNGNATNHLFAVEFDTILNSEFNDMSGNHVGVDVNGLNSVDADNAGYYDDATGAFRNMSLVSRKAMQVWVDFDGQTMQVNVTMAPLEAAARPKRPLLSTTVNLSSVIDDDTAYVGFSSATGILFCRHYVLGWSFRMNGAAPALNVSALPTLPVTFPKPRSKTLEIVLPIASAVLVFAVAAAVFAFLRRRRMYAEVKEEWEASFGPHRFSYKDLFHATDGFSDKRLLGIGGFGRVYRGVLASKMEVAVKKVAHGSRQGMREFVAEVVSIGRLRHRNLVQLLGYCRRKGELLLVYDYMPNGSLDKYLYDQSKIALGWGQRFRILKGVASGLLYLHEDWEQVVVHRDIKASNVLLDREMNARLGDFGLARLYDHGTDPHTTHVVGTMGYMAPELGHTGRASKASDVFAFGAFMLEVACGRKPVVQDARDNRLVLVDWVVDRWRAGSVTDTVDPRLQGDFDEREASLVLRLGLLCSHPLPGARPGMRQIVQYLDGDAPLPELSPTYQGLNMLSLMQDQGFDPYIMSFPMTSTGTSTISDLSGGR >Sspon.02G0051210-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:73700105:73701761:-1 gene:Sspon.02G0051210-1C transcript:Sspon.02G0051210-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPLFPDPPVLMDELVEQFLRRLPPEDPADLARAALVSKQWHRVVTGAIFRRRYIEFHRSPPLLGYLLNLHDSTRFVSMPSSFRPRPPHADRRDEWELPVLLSTPGPYISNWDAAVVCAVGDGCSHLDCHFKVIIVGISGGRTFSCFYSSEADSWSDRIFVSGGGFCFSKMGSVLVGNTLYFKRGTSYAGEMTIIKYDLPTHQMCTINLQCAVEHGMDGLLIATEDGRLGGVATTINSTLCLLLREQGRLEESRAIQLHGLLPPEALEDKPFVACFADTGAGVIFLRTRVGYFTIDLNSGRSKKVGERGSGLGHIVPYVSFCTPAPGTVSTDEGPVAGVVVSTDDEPGAGVAVSTDEVPGDVAVSTDDGQGDVVVSTDQEPRAGMSSA >Sspon.03G0043150-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3C:76289483:76290103:1 gene:Sspon.03G0043150-1C transcript:Sspon.03G0043150-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARRLAAASCHALRRAVHDKLRAVELQLRACHRSLAGASSSTSPVAALGALLLLCGAAVAFPRAAAFFLPLVASTSLCCAAACLFAAAERGASKEAALEVVLVGGEGKAEAGLLQVIGEANASAYVDGVQVGCFVRRSAKLGVDEDGEEVVFAGTLAPCAAGFGVGALEEELAALRVDRLAEGVWDSYFGGWSRWHHIDAAVSCS >Sspon.03G0025310-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:95085167:95089257:-1 gene:Sspon.03G0025310-3C transcript:Sspon.03G0025310-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSALGTLTGGAAGVSGLLRLRRRAAPAPAPAIAAPSHLPAGTAKCAALPDAAPIVWGRQLRPALLLPAALLQSSQPARKHNLRPAAAAAESAGEAKGFLEKYPALVTGFFFFMWYFLNVIFNILNKKIYNYFPYPYFVSLIHLVVGVAYCLVGWSLGLPKRAPVNANLLKLLFPVAVCHGIGHVTSNVSFAAVAVSFAHTIKALEPFFSAAATQFILGQQVPFSLWLSLAPVVIGVSMASLTELSFNWTGFINAMISNISFTYRSIYSKKAMTDMDSTNVYAYISIIALIVCIPPAIIFEGPQLMSHGFSDAIAKVGLTKFVSDLVLVGLFYHLYNQIATNTLERVAPLTHAVGNVLKRVFVIGFSIVVFGNKISTQTGIGTSIAIAGVALYSYIKAKIEEEKRKKSA >Sspon.01G0030020-1P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1A:104973694:104977517:1 gene:Sspon.01G0030020-1P transcript:Sspon.01G0030020-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAQRLPFESDIATVLLAAGSTVTIQVASKRLSFTLEEFLEQPPCDSRTLLLSIFIPHWGSDDVTFETFRAAPRPFGNAVSYVNSAFLARTSGGHLIEDICLAFGAYGVDHAIRAKKVEDFLKGKSLSSFVILEAIQLLKDTVSPSEDTTHREYRISLAVSFLFNFLSALANSSNAPSNIDTPTESYTNGTTNSSTVDSPEKHVKVDSNDLPIRSRQEMVSSDEYKPVGKPIKKVGAEIQASGEAVYVDDILAPKDCLYGAFIYSTHPHAHVKSINFKSSLASQKVITVITAKDIPSGGENVGSTFLTVLGDEPLFADPIAEFAGQNIGVVIAETQKYANMAAKQAVVEYSTENLQPPILTIEDAIQRNSYFQIPPFLAPKPVGDYNKGMSEADHKILSAEVKLESQYYFYMETQAALAIPDEDNCITIYSSTQMPELTQSLIARCLGIPFHNVRVISRRVGGGFGGKAMKAAHTACACALAAFKLRRPVRMYLDRKTDMIMAGGRHPMKAKYSVGFKSDGKITALHLDLGINAGISADVSPIMPRAIIGALKKYNWGTLEFDSKVCKTNVSSKSAMRAPGDVQGSFIAEAIIEHVASVLALDTNTVRRKNLHDFESLEVFYGESAGEASTYSLVSMFDKLVLSPEYQHRAAMIEHFNSSNKWKKRGISCVPATYEVNLRPTPGKVSIMNDGSIAVEVGGTEIGQGLWTKVKQMTAFGLGQLCPDGGECLLDKIRVIQADTLSLVQGGWTAGSTTSETSCEAASMASVNLSAQAYWTPDPSFTNYLNYGAGTSEVS >Sspon.01G0054470-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:56782158:56827450:1 gene:Sspon.01G0054470-1C transcript:Sspon.01G0054470-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIAAPKITIPTKFRPDDVHETKLLLDLGNLVLRTEEIWDAYTSEEQDMYLNFNLVLSDVSAFLVDGDYHWNETSDETNLLPVIDKCGIALKLQQMVLVTIAVQIMNTCGIMLTSKDRHLFSHGSLRGKQVHQVPTELTNGVHNILALHDSGQILEDTGALILLFDNDEARKIWQSRLQGAIYRASGSAAISSFPGVALPSEAHSFKGSFPDVADTEKLFVAGILDELKICFSCGYESNHKLKKILLAKESSLFEFRAVGGQVELSMKGGNLLIGTILGSLEIEDQFYYPGSPVPRFLARSFINSMQTQQLPSPSRKNSAGPRGTQLKKNDSEENFFEASDDFDEFETPMHQERTISDYFSTQNFLPASVPSLQPPTFNRIPDLIPDTELQTGGFTLDDSGTFDSFVKAQIVIYDQHSPQYNSLDNRVVVTVATLSFFCHRPTVIAIMEFMNAINLANGSDTDKDKNTYPATVEDGTIEESKSDLEPEPTIKRMAEAQILLMNENGDRLATLSQNNLSTDIKIDFCSYNVGDEDYCGYDYSLVGQLSEVRIVYLNRFVQEIISYFMGLVPKSSDAVVKLKDDETNSEKWVSKTDMEGSPALKLDVSFSRPIIVMPVKLIVRDINLVIGMNMVRGETIIQDVEGLSFELRRSLRDLRHQLPAVEAAIKVDVLKAALSNREYEIISECALSNFSETPHPVPTLDDPRYGTSTTPSHVSASSSERSTRDSPLASVQASSAWLLYKSNTREENFLYATLKGFSVFDDREGTKDELRLAIGKSASVRDTSSVDGYDNPNELDSGERRIQKDLGLEPIPSMLILDAIFRKSSSSVSVCVQRPKFLVALDFLLAVIEFFVPSARSLLSNDEDKDLLHMITPVVLNDQIYYQEHSTFSLSPQKPLIVDNERFDHFIYDGKGETSENDHVYLAREDDGPLSTPSKEIAGDTVKNGSANISTEFIMELQAIGPELTFYSTSRNASENLALSTKVIHARTDAFCRNDPPTKGVLALNTNIVRVKRPLSYKLVWQSGSPRTNVFHQNEDSENKISNVDQLCSVWYEPPNSTVVLRDTGEDTFLRAPEGFDLVGQIKKHRGTEGDTFCSTERPVLDVHQRRSYYVVPQNKLGQDIYIRTTENRSSLVTLLPSGDDRSIKVPALRDLLDSHLNGRSVKPYRLMITAILADAEVKDDEGLDTGEYMTAVRLFSENHSISVVQQQSARTCAATGEHSSQNTRKVNWNEMFFFKIEREDSYTLELLVLDAGKGQPVGIYSAPLEQVVQKLPPTSNSDCVKFDLTLGDLMSTKTVEHETVKPSGKIRFAVLVSGRASVQQGDRASPERSKTGYIQISPSKEGPWTSMKLNYAVPAACWRFGNCVIASEATVKEGNRYVSIRSLVSVTNTTNFIVDLRLKGRISQNARSDEQGDGFDKEDQILIGMLEPNSTVPVPLSGLSHPLVSYMLQLRPANHHDHENYSWSDVQERRSQTEFRKEEILDICVSDLYESENLLFCSQVDGTSNSCHGLWFCLSIEAKEIGKDVQMDPIYDWRIIIKSPLCLTYYLPISAHYTVSSSHLDNEDSSCSLGTLSPGEAVKVHNIDPRNPLYLSLVPHGGWELMHEPVLISHPTQAPSKFINLRSSLSGRVVQILLEQSSDNDYLMARLIRIYVPYWISFARLPPLTLRLIDISGRKEKRRLLARSHLERGEKHLYDIKHDELVEGYTIASGLNFKGLGLLSSVGGHGGRFGSVKELSPLGDMDGAVDLSAYDDDGKCMHILLCSKPSSYQAVPTKVIHVRPYITFTNRIGQDLYLKLSVEDEPKVLHAYDWRVSFMYSEGTTDKLQVRLVDTEWCQPLEIVKEDTIVIAMRKQGDTQKFVKAEIRGYEEGSRFLIVFRLGPANGPIRIENRTSSTTISTRQSGLGEDSWIQVKPLTTRKYSWDDPYGQKVIDVSIDKGDDTCVLSVDLENPIGSSTSFREHGLMFSIETSDIKILKFADYLRKEEVYGLPGSELTDHQGPTLKANETEPGAGPLELIVELGVVGISLIDHKPRELLYLYLQKVFVSYMTGYDSGTTSRFKLILGQLQLDNQLPLSTMPVVLATESRPDSNRPVFKANVAVSNVTSNGIQVYPHVYIRVTDQTWRLNIHEPIIWALVDFYNNLRFVTTSNSITVTEVDPEIRIELIDISEIRLKISLETAPNQRPRGVLGIWSPVLSAVGNAFKIQVHLRKVMHRSRFMRKSSIIPAIMNRIKRDLIHNPLHLIFSVDFLGVTKSTLSSLSKGFAELSTDGQFLQLRSKQVWSRRITGVGDGLVQGTEAFAQGLAFGVSGVLRKPVESARQYGVIGIAPGIGRAFVGFIVQPLSGALDFFSLTVDGISASFMRCVNILSNKSVPQRIRDPRAIHRDGILRDYDKVEAAGQMALYLAEASRYFACTDLFREPSKYAWSDYYEDHFILPNQRIALVTNKRVMLLQCMDLDKMDKKPSKILWDVPWEEVLALELAKAGYQRPSHVIIHLKNFRRSENFVRLIKCNIDDERQPQALSLCSSIRKMWRSHQAAMKVIPLKVPSGQHHVYFASDDDNRESHSLSRPLLSSRGTSTDVEQQLINNTVNFQKMWSSEPEIRSRCKLVAKQIADDGRVFSIWRPLCPNGYVSISDVAHVGTHPPHFAAVYKNINGNFALPLGYDLVWRNCAEDYRNPVSIWLPRPPGGYVALGCVAVPSFEEPPLDCAFCVDERLTEDAEYEEQIIWASSDAYPWGCYIYQIQSASLQFMALRVPKEKSELRPKKMLESSFVLRASETPCQDKQTCRA >Sspon.05G0016000-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:64335675:64348581:1 gene:Sspon.05G0016000-1A transcript:Sspon.05G0016000-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMMGTSGIEEEDNPEFLSFRRLFRVHPEDSKSGHIESSQSNNLSEGSPGSESFFKRLFRINEKQSGKPPLPINAVAELRKGSYYASLELVQALCDTSYGLVDIFPIEDRKIALRESLTEINSQIASAEKNGGVCFPMGKGIYRVVHIPEDESVLLNSREKAPYLICIEVLKAEAPSHSKGSSDGHKLSKGGIPLANGDVQLPKPPPWAYPLWSRHETQNYETDRMLKSTSQVIDQAMAQLWETKVKFVNVSFSIEKLGRSRSIALSETGRRLQHDATDSHDPPGDSQTVADQPIEWVKVTLSAVPGVNMEDVDDNEPTRKKDHRRVPSTIAIEEVKAAALKGEAPPGLPLKGVGQSTQNLDPKATDGGDPKPTDALAGELWAVKRERIRRSSVHGKSPGWDLRSIIVKSGDDCRQEHLAVQLVAHFYDIYQEAGLPLWLRPYEVIVTSAYTALIETIPDTASIHSLKSRFPNISSLRDYYVAKYEENSPNFKLAQRNFVESMAGYSILCYLLQVKDRHNGNLLIDEEGHIIHIDFGFILSNSPGGVNFESAPFKLTRELLEVMDSDAEGTPSEFFDYFKVLCIQGFLTCRKHAERIILLVEMLQDSGFPCFKGGPRTIQNLRKRFHLSLTEEQCVSLVLSLISSSMDAWRTRQYDYYQRVLNGIL >Sspon.03G0045140-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3D:10391571:10392916:-1 gene:Sspon.03G0045140-1D transcript:Sspon.03G0045140-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLLQKWTAKSFVHRWTKLGCVLMDPPFIDAIRCSAVQCPFLCPRFIPLLFGSFASHGLLSPRLLATGEPDGGFQRKGAAGLRITAANAPEPPCAPDNLVSSGELREFVDLLLLRGGAPLDSCEFLFDVRNDVDVPHVNLWIRHASDVEFGGSCSVSPGRMIFLDLSGCPGLEDLYINNGDFDHAKRISSKSVKHLSVIDSSFNEEIRTIIDVPSTISLWLENPWDRTPVLGSMPLLAVAFVRFGTDCMSLDECYNSESGDCDDEHCQGCYGLEGDNFNGSAANVAKNNKSVLPNGLSGAKSLVLTDESQKQYLYRL >Sspon.06G0026100-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:75726438:75727311:1 gene:Sspon.06G0026100-1B transcript:Sspon.06G0026100-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GHHGYLQVASSFQPVLRLQTKQSYFWGPRNRCAAEYSDSVYFNKKEALVK >Sspon.02G0008800-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2A:25134206:25134559:1 gene:Sspon.02G0008800-1A transcript:Sspon.02G0008800-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MISTKRTAQLAKKWQRMTVLGRKRLTWRTAAKEVDKCCTSVASKGHCAVYTIDGARFEVPLACLETTAFAELLQMSKEELGFTGSDGRITTTTILMEAGVLALLRRAKQLPRCQGHG >Sspon.02G0015010-3P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:19429312:19430825:-1 gene:Sspon.02G0015010-3P transcript:Sspon.02G0015010-3P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRQPCCDKVGLKKGPWTAEEDQKLVSFILGNGQCCWRAVPKLAGLLRCGKSCRLRWTNYLRPDLKRGLLSDAEEKLVIDLHAQLGNRWSKIASHLPGRTDNEIKNHWNTHIKKKLKKMGIDPLTHKPLCPSSSSPDPQQLQSPPPTAGSPEAQPSPPSPLRKPPEEKTAAAPVTPTPAATARASQQGQLAGDDDEELLLSNSPGFCTDEVPMMHPDEIMVPLVGDQHTPPPLPTSTTTFSPPAAAAAAVSTPTTTTSYSAASAASSSSLSRDEDAALFPLIDMDFPEIVFRMGLDDMVAWWDDSLAQPPLSPSPSLVYEDDYAYQLQRTTMLK >Sspon.05G0002980-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:8908238:8912127:1 gene:Sspon.05G0002980-1A transcript:Sspon.05G0002980-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Signal recognition particle 14 kDa protein [Source:Projected from Arabidopsis thaliana (AT2G43640) UniProtKB/Swiss-Prot;Acc:O04421] MVVLQPDRFLSELTSMYERSTEMGSVWVTMKRSTLKSKAQLQKMEKKGQEVEHRCLVRASDGKKSISTSVSLKEYSKFQASYATVLKAHMHALKKRERKDKKKAADAEKAIETTPKKQKKASSKKSLGSKS >Sspon.06G0017590-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:77645387:77651147:-1 gene:Sspon.06G0017590-2D transcript:Sspon.06G0017590-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPLVLVVLPLGLLFLLSGLIVNTIQAILFVTIRPFSKSFYRRINRFLAELLWLQLVWVVDWWAGVKVQLHADEETYRSMGKEHALIISNHRSDIDWLIGWILAQRSGCLGSTLAVMKKSSKFLPVIGWSMWFAEYLFLERSWAKDEKTLKWGLQRLKDFPRPFWLALFVEGTRFTPAKLLAAQEYAASQGLPAPRNVLIPRTKGFVSAVSIMRDFVPAIYDTTVIVPKDSPQPTMLRILKGQSSVIHVRMKRHAMSEMPKSDEDVSKWCKDIFVAKDALLDKHLATGTFDEEIRPIGRPVKSLLVTLFWSFLLLFGAIKFFKWTQLLSTWRGVAFTAAGMALVTGVMHVFIMFSQAERSSSAKAARNRVKKE >Sspon.01G0004800-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:13063352:13067197:-1 gene:Sspon.01G0004800-1A transcript:Sspon.01G0004800-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MWPPLCRWRPPYALLRVSRVLHVWRRNPCLRVGRLLPLSESSLTSAGNEWCFGCRVIGFNIGLPYAVTDLRQAIASADRAPPRGYPPDGPVLSAVAGPLEPSRAAQDGGRCHHRMCFCGVASPTPLFLLGSLSLLILVLSHSVMAFSSKGDGAAAQLLLPRLQANLGCLWEWCSNSSLRYCGYSTRSPSVPVIDGHGNDAVQTRGSALVSSVHGYIHPNQVYNSWKHLVAKRVWEEGSEGQAEEVGRAQEEEHDARRRTCGVQRLRIGETQSEGLAY >Sspon.05G0010730-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:32883754:32893257:1 gene:Sspon.05G0010730-3D transcript:Sspon.05G0010730-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLREHSSQAGGYDREDGNEAIASGYADLIAYGCWFLSNPDLPRKFGLDAPLSKYNRSTFYTPDPVIGYTDYPFLEPEAQRVGGTKMTSNDDANNVPLLTPYKMGKFHLSHRVVLAPLTRQRSYGNVPQPHATLYYQQRTTEGGLLISEATGVSDTAQGYKDTPGIWTKEQVEAWKPIVDGPNGQAPISCTDKPVKSQHVDKFTPPRRLETDEIPFIINDFRVAARNAIEAGFDGVEIHGAHGYLIDQFLKDHVNDRTDIYGGSLENRCRFAVEIVQAVVDEIGADKVGIRLSPFANYSGAVDSNPEALGLYMANALNNFGVLYCHVVVPRTVKNGENSETPSCIGLMRSAFKGTIIVAGGYSREDGNHAISSGYADLVAYGRLFLSNPDLPRRFEIDATLNKYNSETFYTPDPVIGYTDYPFLPSDANSQFPNPLSANKWFLVSFPKKRVVLAPLTRQRSYGNVPQRHAILYYQQRATKGGLLIAEATGVSDTAQGYKDTPGIWTNEQVEAWKPIVDGVHQKGGIFFCQIWHAGRVSNSSFQPNGQAPISSTDRPLKPQVRANGVDVATFTPPRRLETDEIPLVINDFRVAARNAIEAGFDGVEIHGAHGYLIDQFLKDQVNDRTDKYGGSLENRCRFALEVVQAVVDEIGADKVGIRLSPFASYSEAPDSNPEALGLYMANALDKFGILYCHMVEPRMVKVGEKFETPYSLRPMRDAFKGTFIVAGGYNREDGNDAISGGYADLIAYGRLFLSNPDLPRRLEIDASLNEYNRDTFYIPDPVIGYTDYPFLP >Sspon.04G0026990-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:56358101:56359798:-1 gene:Sspon.04G0026990-2C transcript:Sspon.04G0026990-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AMATETESFVTAPSTPEAAAAVAPMPPTVKPPAQPADRLEIVVERRLHQHGEGESSRMTIFRVPAHVRDASKELYEPRLVSVGPYYRGREALRAMEQHKWRYLRELMGPPPQPAASLGDYVRAVRDVEQEARRCYSEKTSIFDAAAAQSEPSGGEEIEEEQSRRHGDGDPGGPGPDGFAEMLMLDGCFILEFFVKWYKGEPDKLCDVAWGLPLLHSDLLLLENQIPFFVLEALFDVAFPTATKLDLLRLILHRLKFSFYELSTAEVLDQSEIQVQHLLHLFYEAIMPKADETASSVQDSTPPSRQYLVRMRQMSVRLKKAVSTRFVFIRDMPRVPHWMKTTLPATLLRKVGAWFSKLLAMIRRTPPAPAPTLVVPSVTQLREAGVRFEKKESPRHMFDIAFDRNTGVLEMPRMEVDYANKALLVNLVAFEQTRGQQGDASRSRQSSYAALVGALVRTGKDVEHLQKRGIVENLLDGDDDAATKFFQHLGDCSSLNYESHMFAGMFEDLRQFYHSSWRRHKAKFLRDHCSSPWAVLALVVAISAFCFALFKLSTTIFSLAHPYYCHC >Sspon.07G0005220-1P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7A:13489730:13490611:1 gene:Sspon.07G0005220-1P transcript:Sspon.07G0005220-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPKLIALFFAFAMAAAALHPSEAARVQVQQALKPAAAGQAAAEKVADQAAAGGVARPSTPPAGPGIPRWPTSKPPGRHPGPAFPGVGRHHRPAAATDPAALPPPGSSSPPLQGGAGNLGASLTSTSSTPAPPQQTECMTPLAGMLPCTDYLTNITVLTPPGECCDGLKSVIGDAPICLCHGMNGDMNQFLPKPVDPIRMLILPLACGTMLPLQTLFACNCAADNASYARRAADDACYTSFSVTVNGFVEEFRCW >Sspon.07G0006580-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:16977863:16982516:1 gene:Sspon.07G0006580-1A transcript:Sspon.07G0006580-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATADRTRTFMKDVKRVIIKVMELNALGYEVIIVTSGAIGVGKQRLKKRKLVNSSFADLQKQQMELDGKACAAVGQSGLMALYDMLFTQLDVSASQLLVTHSDFENPNFRERLRETVESLLDLKVVPIFNDNDAISTRKALYEDSSGIFWDNDSLASLLAIELKADLLVLLSDVDGLYSGPPSEPQSKIIHTYIKEKHDNEITFGDKSRVGSGGMTAKVMAAFVASNSGTPVVITSGLASQSIVRVLQGDKIGTLFHKDASMWEPSKDVSDREMAVAARECSRRLQNLSLDERKKRLLDVADALEENEDLIRTENEADVAAAQDAGGSPLLS >Sspon.08G0013820-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:55575934:55580638:-1 gene:Sspon.08G0013820-3D transcript:Sspon.08G0013820-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRAIRACKTAAEERAVVRRECAAIRAAISEGDQDYRHRNMAKLMFIHMLGYPTHFGQMECLKLIAAAGFPEKRIGYLGLMLLLDERQEVLMLVTNSLKQDLNHSNQFIVGLALCALGNICSAEMARDLAPEVERLLQNRDPNTKKKAALCSIRIVRKVPDLAENFMSAAASLLKEKHHGVLISAVQLCMELCKASYEALEYLRKNCLEGLVRILRDVSNSSYAPEYDIGGITDPFLHIRVLKLMRILGQGDADCSEYINDILAQVSTKTESNKNAGNAILYECVETIMGIEATSGLRVLAINILGRFLSNRDNNIRYVALNMLMKAIAVDTQAVQRHRATILECDADVSIRKRALELVYLLVNDTNVKPLTKELVDYLEVSDQDFKEDLTAKICSIVEKFSMDKLWYLDQMFRVLSL >Sspon.05G0002440-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:3058225:3061972:1 gene:Sspon.05G0002440-2B transcript:Sspon.05G0002440-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTPSAPPPCPHLAAHRLSSRPLRFLRRCLRVRPLGRPEIRRDPRELPRCSPCAAAPRPPPPASTPASPAPPSSAPPTPPRTPPPPRGPATRSPSTSTAPSSSAPPAGTRSTIPTSTTPSSSPSPPRSSLHLRLPVPVRALRKRRRVDYRAWAPDPAEFALMSSADPTTSASAAAPAGLRGLNNLGNTCFMNSVLQALLHAPPLRNYFLGDRHNRFLCPRRTPVRHRATDADAARVACLACDLDEIYSAAFSGERMPYSPAKFLYSWWQHASNLASYEQQDAHEFFISILDHIHENIKDDQQKSHAQGHGDCCIAHRVFSGILRSDVTCTSCGFTSTTFEPCMDISLDMDAGDNSSFGVANTKPHVRNGERGLAGVNSKVSTLMRCLERFTRSERLDAEQKFFCEHCNERQESLKQMSIRRLPLVSCFHIKRFEHSSVKKVSRKVDHCLQFPFSLDMAPYLSSSILRSRYGNRIFPAEASDADAVSELSSEFEIFAVITHSGKLEAGHYVTYLRLNNHWYKCDDAWVTRVEEHTVRTSQAYMLFYVQKTLYYKACERAATV >Sspon.07G0019580-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:76613109:76614910:-1 gene:Sspon.07G0019580-2B transcript:Sspon.07G0019580-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKGMVLHLLRSVLDQHLPQQWQLLPATPLLLLAILPFLSIFLVATRSRRRQNTTSTSCHLPPRASGLPILGNLHQMGALPHRSLWELARRHGPVVMLRLGTVPAVVVSSADAARDVLKTHDADCCSRPDTPGPRRLSYQHNDVAFSPYSEQWRERRRLLVVEFLSKRRIQATWYAREAEMDKLISKLSSVGRIRRPVFLEDHIFGYMDGIVGTMAFGNIYGTEHFAYKEHFHHVIDEAMVVRSSFSAEDYFPNAVGRLVDRLTGVASLRERVFREFDAFFEMMLDQHLDPARRAKKPGNGCGLIDVLVGLMEEHQGSFRFSRDVVKALLTNTFIGAVDTGAVTIIWAMAELVRKPEVLRKAQEEVRAVVGSKGRVQQADVAKLRYLRAVVMETLRLHPALPLLVPRETMRRITVSGYDVPAKTRVLVNAWAIGRDPASWDDPEEFVPERFEGEEAAAASFFNRAQFEFLPFGAGRRMCPGIDMGVATTEFTLANLLYCFDWELPEGVRTEDMCMEEAGGLTVHKKTPLLLVPTRYNHIGA >Sspon.06G0032530-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:88168657:88171738:1 gene:Sspon.06G0032530-1C transcript:Sspon.06G0032530-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPGRQPLRTKPGSMPIARPPSVLGKRTNDGHHEEKGYKSDESDIWEGFSILKRDGGSANSGNRCTSRLESSHSDAERNAGPDPNGARRALTMCIITTGQSLSLVDGIDFQSFVRSLNPLVRVRRSTLDQDLMTLYGREKDTLHSIISETSGGLSFAVDKWRSKETGDNYNDDIYLCVTACFVDAAWKLQRRIVGFKFMEFPDDVTSVAETIALCFSELKFDKKVIGITLDNDTLNNVEYEASMPDSLKTVLHDKCKLLSDGIALSAVEEYYSDDDEEDDGLVSDDDEEKGMEESDDYDEESNEESDDEDSDNYGSNEE >Sspon.04G0037580-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:74789156:74795552:-1 gene:Sspon.04G0037580-1D transcript:Sspon.04G0037580-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding TPSTRNALTNHRLLGRSASTIPISQYQKPPTFRFSPPPSPAAASNRPIVSVTRSRDMSRTSGKGKLDQVDGGAGAAGAANGQPHHHQPVEGAAGFPGTDVQFVMLRTAMREKIFVYIARKMSTKWLPELAKRLEEILYRKFPNKYDYHNMMKGPIGPHLLFAFKTLLAQNQ >Sspon.02G0035900-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:22151588:22155448:1 gene:Sspon.02G0035900-1B transcript:Sspon.02G0035900-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHAVSSRNGWMIKERRRPGKRLFVSEANNNLRYPHGSKPSLSSPLAAPAAPPDLHQSTSMARLLLFPSQACVDPGRHLLLHPPVSRPRTVRSGPPPAEPRRAGPPPLRWSHHPFLPCRSSKRVGVVFAAENAQEISSQPPGKEERRGGNLLLQFGALPCCTMAWLSTAQVAHSSVGGKLNMVYEVGELFELGIQLSYLLILLGLLGAGTFFVIRQVLVRRELDLSAKELQEQVRSGDASATEYFELGAVMLRRKFYPAAIKYLQQAIDKWDRDEQDLAQVYNALGVSYKRDNKLDKAIQQFQKAVELQPGYVTAWNNLGDAYEQKKDLKLALKAFEEVLLFDPNNKVARPRVDDLRPRVSMYKGVPVKSEKR >Sspon.03G0042340-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:60892114:60892944:1 gene:Sspon.03G0042340-1C transcript:Sspon.03G0042340-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVAFAPRRQQQTPESVVGTAKKSSTIWVVGLLTASTAITVAVCVPPPGLHKKAFFLAISCFFFAGVGGVMMSAVCMATTHLHHRDTTWKEVMYVLLAQLASVVGAAFSASTALGVLGLVAASTAITVAVCVPPPALHKNACFLAISCFFFAGVVGIMSAVCMATTHLRHQGHATWSWRKVMHVLLRAQLVSVVGAAFSITTTLGMLGLAAASTALNLQSMFQPPRGLDESDYYYHLAVSGVFFARVAGVLAAVREGGKNMPCVPFIIAMNLVDQ >Sspon.07G0035490-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:8287166:8290942:1 gene:Sspon.07G0035490-1D transcript:Sspon.07G0035490-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDNKSPLSLSPMGGRDRDRDRELLIPVSGGGSAPGDGVEDGDRASSASAALSSSGREAFHKVVRSWASKKFMTGCVILFPIAITFYITWWFIHFVDGFFSPIYAQLGINIFDQNKQAFKEVVIIRHPRVGEYAFGFITSSVSLQSYSGQEDLYCVYVPTNHLYIGDIFMVNSKDVIRPNLSVREGIEIVVSGGMSMPQILSTLDPQMILGDRTGPSRS >Sspon.03G0020160-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:62531573:62534532:1 gene:Sspon.03G0020160-1A transcript:Sspon.03G0020160-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQACAVWQGEDDPKKKQNLKLILIVALSSTASLILTSLVWIMYRQKRKEKHITFNQKYKSYESNIEEILKGYDSLIPKRYKYSEVKKITGSFKDKLGEGGYGTVFKGNLEDGGTVAVKLLKGSEGNGEEFVNEVVSIRRTSHVNIVNLLGFCLHGPKRALIYEYMANGSLEKYIQSEETKRAIGWEKLREIATGIARGLEYLHRGCNTRIIHFDIKPNNILLDEDFCPKIADFGLAKLCHLKDSAISMAEARGTIGFIAPEVFSRGYGVVSTKSDVYSYGMMLLEMVGGRKNLTEDTENSSQQYFPNWVHDRLVKDLQSHEVTCKTEEIAKLMTLVGLWCIQTTPENRPSMSRVIEMLEKNLDELEIPPKPLLSCPSVPSHFSSELGLFCRDSGETSLSTIFHNPTQKQMI >Sspon.08G0019720-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8B:14490538:14491729:1 gene:Sspon.08G0019720-1B transcript:Sspon.08G0019720-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFRTFYLGAMTYGAETCYLGAAPSLESKDEFLTFRGIIPLLQMGAELTVTGWFLSPIIREMQDSALAYIKGQFSWKKDQEKDLERLDTILTEILTIVDVIEKREIKDGNQRRLLSKLKDAIYSAVDVLDSFQYLVLKSKVDSQSA >Sspon.02G0014380-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:38223022:38225443:-1 gene:Sspon.02G0014380-1A transcript:Sspon.02G0014380-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRMPPALSKADVEPHPHSHAQLDVENTLSAPLMAGTEQDVVEMLPVKRRCDRSGPRGNEEEEKSTKFAGENNQSAVNKCKSDGAAGSSEHGRLFSCSFVREPAHGCSYLAGEARSGRAREKGEAPPDLCPCSTRWWTWALKTAYGLGMRPIVFVAYRQGIAAATLLLASLATRGCTLQPMAVGARGFGLLFAASLATATGQYFYFQGLHLASPSTNLAPGITFAIAAVIGCVTENWACYFAFLWTTGPAQFLTDGLICFVGLVGSIERRNTPRPRPVGAQILTPAFFRPARRLEKVDIRSLRSVAKIVGTAICLAGAAFMAFFKGPGLPGAALLSVTSDSDWVKGGIYLVGNAICVSIWYILQVPVCKSYLDPLSLATWMCFLATLQCAAMALFLEPNYLEIWKLTSFWEFPCILYGGVFASGANFFLQSWCIAVKGPLYSAIFTPLSAVITAILSTLFLHEQLHVGSALGAVTIIVGLYVVLWGKADDTKGGDLQSSDSKQT >Sspon.02G0044070-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:99246000:99250313:-1 gene:Sspon.02G0044070-1B transcript:Sspon.02G0044070-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTLPPTSSPLLGAVRLLAVAALLVVVAGGGGGGCDGAVLKAHFYRRSCPAAEAVVRDIVLARVAADPAALPAKLLRLFFHDCFVRVRYRTKQPSSVRWRLAVRMHGCDASVLIDSTAGNTAEKDAAPNGSLGGFDVIDTVKAVLEAICPGTVSCADIVALAARDAVSFQFGRELWDVQLGRRDGVVSRASEALANLPSPSNNFTTLEANFGRKGLDVKDLVILSGAHTIGVAHCNTFASRLSGFRTGGGGGDPTLNAAYAAQLRARCGPARVASSNNVTAVAMDPGSPAGRFDAHYYVNLKLGRGLFASDAALLSDRRAAGMIHRLTRRGYFLQEFSNAVRKMGRVGVRTGDRGEIRRNCRAVNSDM >Sspon.02G0007660-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:22272482:22275632:1 gene:Sspon.02G0007660-1A transcript:Sspon.02G0007660-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPAGQLLLPLLLLAVAASAAGSWEDGHGSILRLPSSSSPRRFPRSAAVDLIRALNLHPTDASPPLSTAGVEGALAPAGTLVERPIRLASFADAGDAGTSVEDLGHHAGYYRLPNTHDASELPRLLLLRVTSEDPSLVDAATHVALLALVPCRMFYFFFESRGQEDDPVVIWLTGGPGCSSELALFYENGPFNIADNLSLIWNDFGWDKASNLIYVDQPTGTGFSYSSDSRDTRHNEATISNDLYEFLQAFFTEHPKYAKNDFFITGESYAGHYIPAFASRVHQGNKNNEGIHINLKGFAIGNGLTDPAIQYKAYPDYALDMGLITKTQFNRINKIVPTCELAVKLCGTSGTVSCLAAYFVCNTIFSAIRTIIGSKNYYDIRKPCIGSLCYDFNNLEKFLNLKSVRESLGVGDIEFVSCSPTVYEAMLLDWMRNLEVGIPELLESDIKVLIYAGEYDLICNWLGNSRWVNSMEWSGKEAFVSSSEKPFTVDGKEAGVLKSHGPLSFLKVHDAGHMVPMDQPKAALEMLKRWTSGNLSEPSSSSQRLDFTM >Sspon.08G0011840-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8A:50989361:50991164:1 gene:Sspon.08G0011840-1A transcript:Sspon.08G0011840-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALEGVVGIGIAKRPAAMPGRRGERGPTCRGRRGYGGAEHNAMDREEEGHSARHLPRRVARPDDHEKLLGGLLVPGFDERSCLSRYQSAFYRKNLTRSPSAHLIKRLRQHEALQRRCGPGTEAYRAAAARLRSWRRDGTNDGACRYLVLVPYRGLGNRILAVASAFLYAVLTDRVLLLDGNTSMGEIFCEPFPGTSWLLPPHFPISNLQNLTGDVRESYRNLVQNDSAASLASRLPYVFVDLDHSCTYHDKLFFCDDERPFLRRAPWLVMRTDGYFVPALFLNPAHQDELDRMFPRKDSVFYLLAHYLFHPTNKVWGLITRFHSSYLRDSDERLGIQVRVFDGDTPFQHILDQILACTSQEHLLPDVVTQEPPRPSTAGARSKAVLMTGLSSWYYENIRWKYWQLATATGEVVSVYQPSHEEHQLSGYTTHDMKAVAEMYLLGMTDKIVTSGWSTFGYVGHGLGGLTPWIMFRPENHTTPYPPCRRAKSMEPCMHGPPFYDCRAKHGADTGKLVPHVQHCEDMTGGSNLFTP >Sspon.01G0023340-2P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:121840939:121842384:1 gene:Sspon.01G0023340-2P transcript:Sspon.01G0023340-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAADIRLSIAGQTRFALRLTAALSSNTAAPARNTAFSPLSLHVVLSLLAAGAGHATRDQLLTALGGGDEPVAAEILHALSEQMVQLVLADGSGAGGPRVAFADAVFVDASLKLKSAFEDVAVGKYKAETHSVDFREKAAQVAGEVNSWVEKVTSGLIKELLPPGSVDQTTRLVLGNALYFKGAWTEKFDASQTKDGEFHLLDGGSVQASFMSSRKDQYIASYDNFKVLKLPYQRGEDKRQFSMYILLPEARDGLWSLAEKLSSEPEFMEEHVPTRK >Sspon.03G0002320-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:21307096:21308508:1 gene:Sspon.03G0002320-3C transcript:Sspon.03G0002320-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPKLSPVSPVRQDDKPCAPSSSSSSTVLRVQDASAAEAYEQYLRLPELSSLWKARCFPEWAGEGLVKPALQALEVTFRFASLALSDPRGYASRRELARRLESLAAREVELVSALCEGDDRSAPLAELSASGGVLPRERSASEVWQLPGSAAAVVCQVSEASLLPRLAAWDKSETLAAKIMYAIESQMQGCAFTLGLGEPNLAGKPVLEYDRVVRPHELHALKPKPAPEPKSGYRNRELETLFTMYQILESWLRAASQLLTRLNERIEAKNWEAAASDCWILERVWKLLADVEDLHLLMDPDDFLRLKSQLAVRAAPGSDASFCFRSRALLHVANTTRDLKKRVPWVLGVEADPNGGPRVQEAAMKLYHSRRRGEGEQAGKVELLQAFQGVEVAVRGFFFAYRQLVAAVMGTAEASGNRALFVPAEGMDPLAQMFLEPPYYPSLDAAKTFLADYWVQQMAGASAPSRQS >Sspon.01G0005330-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:12668164:12671611:1 gene:Sspon.01G0005330-3D transcript:Sspon.01G0005330-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEATPTTAVSSSGGAAQLPPPGPPAKKKRALPGMPDPDAEVIALSPKTLLATNRFVCEICNKGFQRDQNLQLHRRGHNLPWKLRQRSGKEARKRVYVCPEPSCVHHDPLRALGDLTGIKKHFCRKHGEKKWKCDKCSKKYAVQSDWKAHVKTCGSREYRCDCGTLFSRRDSFITHRAFCDALAEESAKARAEDGGSAAAVVPPPAPPPPAPPAPAPLRQQPPPPAPHHAEQRNEPETNATEPVQFAPPPPPPPPQVPVLSQTSVSAANVSAGSSSSVAGTSQSLLGGMFAPSSMASAPQFPDLVGGVGRPERALPAKPPSLCLATDASSSIFSAPVSAERQQFAPPPPPSPSPSPHMSATALLQKAAQMGATSSSSSFLRGLGLDVSSSSPGASSSGQQQHHQDAMQVSLPDTSLPQWPPRLEPEPAPMLSAGLGLGLPYDSTGAQVCLPELMMGQSSLFSGKPATLDFLGLGMSPTGAPASRGLPAFIQPISGAVGMAGTGAGAADTFGAGRGAQATPWERNPSSSPIL >Sspon.03G0036450-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:89957495:89958571:-1 gene:Sspon.03G0036450-1B transcript:Sspon.03G0036450-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLGPPRARARRLIDGIPLRGPAAAPEDAVAGYARMLARGARPDAYTFPPLLKAVARAAGGSGSAAPARAVHAHVVRLGMGRNAHVASSLVAAYAAGGDGAAGRALVGERDTPVVWNALISGHNRAGRFGESCCSFVDMARAGAAPTPVTYVSVLSACGKGTRDVRLGMQVHGRVVGSGVLPDLRVENALVDMYAECADMDSAWKAFDGMQVRSVVSWTSLLSGLARLGQVDEARDLFDRMPERDTVSWTAMIDAYVRAARFREALEMFREMQYSNVSADEFTMVSVITACAQLGALEMGEWVRVYMSRQGIKMDAFVGNALIDMYSKCGSIERALDVFKGMHHRDKFTWTAIILGLA >Sspon.04G0030510-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4C:1735101:1736495:1 gene:Sspon.04G0030510-1C transcript:Sspon.04G0030510-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATTKVLDRLKVGASPPAPGGVLPLTFFEVPWLFTGPVERVFFYPYAHTAEHFAAHLLPSLVSSLSATLHAFYPMLGRVRPSPDGGGGYEFFCSAGGEDGEAIELTVAESSDDFDELSSDGSRDVARLYALVPRLPPPEADGSFALAAAQVTVFPARGVAVGVSIHHVACDDSSYMHFVKTWATRCQEAIGGADAVPVPPLPPFLDRGVVADPEGLAARTLDEMRQLAANGPPPPAPAGPPPKLVIASFALTRDRIDALKRRVAAKVADGGVTGRVHCSAFTVACALAWACLARVGGGGTERPRAHLLFSVECRRRLAPPIPQEYLGNCLRPCFVEVGAAELLGGDGVAAAAAAIGAAVAGLDGGVLDGAGGWFHKILSLVPERPMSVGGSPRYGVYETDFGLGRPGKVELVSIDKTPGTVSLAEGRDADAQAAGVEIGVVLPEADMARFSSCFADALEELCD >Sspon.03G0035010-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:78135167:78138917:1 gene:Sspon.03G0035010-1B transcript:Sspon.03G0035010-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGGGGGGGGGGVGAGVMVGGGVGPGGGGVGVGGGGDVELVSKTLQFEHKLFYFDLKENPRGRYLKISEKTSATRSTIIVPVDGVPWFLDLFDYYIRTDERDAFSKELRLQTKVFYFDIGENKRGRFLKVSEASVNRNRSTIIVPAGSSGEEGWEAFRNVLLEINNEASRLYVLPNHPNQQHMEPPERLPGLSDDVGAGFIAGHGSQSASGPEVDVDRLVDLPPQEEISGMGMSKVIRADQKRFFFDLGSNNRGHYLRISEVAGADRSSIILPLSGLKQFHEMVGHFVDIMKDRLEGMTGANVRTVEPSQR >Sspon.08G0000040-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:489215:502297:1 gene:Sspon.08G0000040-1A transcript:Sspon.08G0000040-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGTFARNIQHLFSESDFAVVLNTLKAIYSPYETFKARYGQMERAILSAEMAGIDIRGAVPRGVGAQGIELSETNGGSIPQMIVLLEAAVERCISLTGGSEADELVLALDDVMLQYISNLQETLKSLRIVCGLDSDALKKDVGLEKKEVQRLVDVSEEEEWSIVQGALQILTVADCLTSRTSVFEASLRATLARIGTNFSVSGFGSSLDKSPAAAAAENADLPLAGRAALDIAAIRLSELPDKSKKLLTVLEQSKDPRFHALPLTSQRVAAFSDTVNELVYDVLISKVRQRLSEVARLPIWSSVEEQGGLPLPSFSAYPQAYVTSVGEYLLTLPQQLEPLAEGITGNEAGNDEAQFFATEWIFKVAEGATALFMEQLRGIHYITDRGSQQLAADIEYLNNVLSALSMPIPPFLSTFHACVSTPRDQVRDLIKSDGGSQLDLPTAHLVCKGVLNQNPVVVLVFISTLVGWAVKQVTNVIQFSIDIPKGKLSRLVHQGLRLTCHDIFLMMLYLGPEDDMPWEEHIIKKTKADICNVRKQLEVLEERLDEMVQPRLVDALSNRKVDAVQDLRGILIRIDRFKSLEAQYTKIHVKPLKKLWEDFDLKQRSSRLDMEKLGGESINGLSFSSWLPNFYDETLLYLEQEWKWCLTAFPEEYKSLVPKVLTETMSELNSSFVSRVNIATGDVVPETRSVAKGILDVLSGDLPKSTRLQNKHLQALIELHNMTGTFARNIQHLFSESDFAVVLNTLKAIYSPYETFKARYGQMERAILSAEMAGIDIRGAVPRGVGAQGIELSETVRRMEGSIPQMIVLLEAAVERCISLTGGSEADELSLRIVCGLDSDALKKDVGLEKKEVQRLVDVSEEEEWSIVQGALQILTVADCLTSRTSVFEASLRATLARIGTNFSVSGFGSSLDKSPAAAAAENADLPLAGRAALDIAAIRLSELPDKSKKLLTVLEQSKDPRFHALPLTSQRVAAFSDTVNELVYDVLISKVRQRLSEVARLPIWSSVEEQGGLPLPSFSAYPQAYVTSVGEYLLTLPQQLEPLAEGITGNEAGNDEAQFFATEWIFKVAEGATALFMEQLRGIHYITDRGSQQLAADIEYLNNVLSALSMPIPPFLSTFHACVSTPRDQVRDLIKSDGGSQLDLPTAHLVCKIRRITLD >Sspon.02G0027440-3D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2D:106873374:106876444:1 gene:Sspon.02G0027440-3D transcript:Sspon.02G0027440-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AMLTLQD >Sspon.06G0006950-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:23096316:23100061:1 gene:Sspon.06G0006950-2B transcript:Sspon.06G0006950-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome B5-like protein [Source:Projected from Arabidopsis thaliana (AT1G60660) UniProtKB/Swiss-Prot;Acc:O22704] FMLSSNQDLPLWDWDSLAPTSEPIITVFLLGIGIASSTSEPIQLVWDSCAAGGLLQLKPHRHRCSVARSYYVVGCAPVYRRKDSGDDRQTSRNFTKEEISKHNTRKDCWIIIKDQVYDVTPYVEEHPGGDAILNNAGGDSTEGIGGVQNMKVKQYQEKMISEIELIAP >Sspon.05G0022820-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:4066797:4068454:-1 gene:Sspon.05G0022820-1B transcript:Sspon.05G0022820-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LMIITAKLTGEVKPPNRSSPTARVVGASVSSFVMVLLIIFVVLLMIRRHLTSRISGDLTNPVTPTSFPPLFQHSTSVTHSIQAIPAPIVPSVQLSSMKAATKDFQENNIIGRGGFGIVYQAWDCWSQHKIEDLLDSAMEEPEFWLLPALEKCVQIGLLCVQQLHDDRPTMSAVVTMLNSNDSEIYPPKM >Sspon.06G0014290-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:87559670:87562020:-1 gene:Sspon.06G0014290-2C transcript:Sspon.06G0014290-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGESQLHREEAPCGFRLPEIDNILASQRDRRHDDVLVEAEAVAVTATTTKLVRVCDVWQLAESDPVFRYHERRKHRLQDTCLGLALFKLLRRRIEGHAMVEACTDQARDLVRHGILEELGPERAFDVVEQELTFLDEYYQAIIPLALPNPKLFAANFAFSILFILFYCVAVLLVTGNGRMFHVLASLFRGLVALSADMVLQYRCFVHQASFLVAMVLSSSDLIITFLLTLTLFTVETHEFVQYLLSDWHLASVLCSYATKPALRKRPGVRKAVKAALWIKKRSRPVIKVHQFTLLKFHQLHPRRVWVLLSRLLKRRLVGLPDVAVTAEAKKAIVEVLKLQSNVTIYKY >Sspon.03G0015180-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3A:48553824:48554143:1 gene:Sspon.03G0015180-1A transcript:Sspon.03G0015180-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding PAHRQARPGSPPPSTRLSVRHAAVVSAAARTRQRAHPRRLLRVAVEAAMPTSDPVTAAVPMHADKLEGRQNGVTDGSRGACTENEDMKGVDVDGGEDRGVCRSAAV >Sspon.06G0019770-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:4875442:4875801:1 gene:Sspon.06G0019770-1B transcript:Sspon.06G0019770-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYQQEVHTAQAGMSQRASQLHWILLWERRYNDTAHSHIPCLKFVRDYFHYVSIVRAHSYRTFYQSLKGVPYILSGVQKLFLQLFSPLHEISMM >Sspon.03G0001520-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:15229194:15230576:-1 gene:Sspon.03G0001520-2C transcript:Sspon.03G0001520-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSDDILKDYICGLSFVECWNDGRIMSLNLSGMGLQGFFPRGLQFCRSMTGLDLSGNNFTGPLPTDIDQQLPRNPVWHREHDVLNTVNLQHNRFTGRIPETMGDLARLASLNVADNSLSGPIPGSLQRFSAENFAGNDGLCRAPAPLGKCKRRFHVRMHLRLRRVNDASSIGGAVGFVVGFVVAFYFPRWAALGLPS >Sspon.01G0025060-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:88038103:88039789:1 gene:Sspon.01G0025060-2C transcript:Sspon.01G0025060-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEAHRLLLAQQGQKQFTNAAAAAAGWPWTTGDEARCATTARPSHHHQQASFQFQQQASCVGVGLPAAAPVSSAAPVAQYAAGGQVFVGDAAESGVTFGGGAAQQPQEVVAMAMAPRKRKRVVEQGQTPPVLEIGGAADVAAHFHQQLVDVDRLVLQHTAKMWAELTEQRRRHARQVVATVEAAAAKRLRAKEEEIQRMGRLNWALEERVKSLYVEAQVWRDLAQSNEAAANALRGELQQALDAQQARLCGGSAGAGTGADDAESCCCGENDVVAGAGGAEDGEEEAGTSSPPGYRRTCAVCGEGAAEVLLLPCRHLCACAPCAGAARACPACGCAKNGSVCVNFS >Sspon.04G0010070-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:28642043:28643077:-1 gene:Sspon.04G0010070-3C transcript:Sspon.04G0010070-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding HGVVQAFVIVPLPRPRPRPVRRGRARVRPAAPDLQERPHRAAPGGPARGARPRRRHGGPVQGRPPRLLLGPALPAPRGHRRRRQGQAARRRVRPRRRLRGRVRRVARLPPLPQQAGRRLPRARRLRRLPPGARAPAPRGVRRLPRRAQVGAFRRRPLGRRPRGPRPRLRRRGQRRRQRLPLPRHPPRRRRRPGPAGGPPSAAAAQGRRAHPPLVLGLRGRGRGARGPRRARHGRRALVLRVPRDQRRGRPADEPHGARRAGAPHAGVRARHGVRRGGRLPQVARPRVRRGGGRGQGRRPRGRRRLRRRRAAGDHGRGPRLLPLQTRLRQGQGDDGQGGRLHQCPV >Sspon.02G0018410-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:59046762:59050422:-1 gene:Sspon.02G0018410-1A transcript:Sspon.02G0018410-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAKLLYIVVVDDNASSFRYTRSLIHSTLQLMGCKPRHAFEISRRVFDVIRGDHQGHGDTAASARVQRYEVAEATPTTSPRQFQFELYKRRTTVLLPRDLFLDLVLDALALYKYVAPNQRADLMLACRIRERKESITVLLCGTSGCGKSTLSTLLGSRLGITTVVSTDSIRHMMRSFVEEKENPLLWASTYHAGECLDPVAVAEAKATRKAKKRSGVSSSSNIDYEKSGSLSKKVDGKSIGKKQMAIEGYKAQSEMVIDSLDRLITAWEDRKESVVVEGVHLSLNFVMGLMRKHPSIIPFMIYISNEGKHTERFAVRAKYMTLDPTKNKYVKYISNIRTIQEYLCSRADKYLVPKVNNTNVDRSVASIHATVFSCLRRRGNGDLLYDPEANTVAIVNEEYKNQCVANSMSSKGMFKLIQRLGSSRKLMAIINVDGSVSKAWPVESSEGDGKCSSDNSNKKSVGNPIYGPLSIGRAESVNLQFGTFGISAWPTDTGCTSQAGNADEFTNAAEGSSRHVLSSSGSPKKSDGHCKEIKESSAAYGSDEEEEEEADVRPNSGSDEDLSEEDNREIDGEMEGSVNEDCNRSDEEYDDLAMQDSLENGYLTDDGIFHSGLSKSSSGKFFGSNQRSHSMPKKHEESVGVPETARSSSAAAPAGTSSKRHAARKWKRSLSDSFRSRPRSAPELVSVCKGSPPVPVAPDER >Sspon.03G0005140-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:13455208:13456564:-1 gene:Sspon.03G0005140-2B transcript:Sspon.03G0005140-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSPCCEKAHTNKGAWTKEEDQRLIAYIKAHGEGCWRSLPKAAGLLRCGKSCRLRWMNYLRPDLKRGNFTDDDDEIIIKLHALLGNKWSLIAGQLPGRTDNEIKNYWNTHIKRKLLSRGIDPQTHRPLSGGSGGAAAGSGLTTSSTAGFPSPLPAPAPRPARPAIAIPPNVMFARPAAPEDGHSSSGGSTDAPRCPDLNLDLDLDLSVGPPCSPPKTPAAAVTTPTSQQQQQMQRTTICLCYHLGVRSGDACSCKTAASPVGFRFLRPLEEGQYI >Sspon.01G0052240-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1C:20671051:20671218:-1 gene:Sspon.01G0052240-1C transcript:Sspon.01G0052240-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAATSSPVLRGGRRCRCLAEQKLLATAAPSCLAPSPEFSLVREVLGRNVWGLQA >Sspon.08G0013890-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8A:57490345:57491510:1 gene:Sspon.08G0013890-1A transcript:Sspon.08G0013890-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGASDPARRRLHLRPPRRAYGRYLAVAASPDHRVVQGDYDDHQDVDPILWMAVGKRDGGYVVVRHVSYRLLRANGRYYCLWNNAGVSVDDVANYSKMMHWAVEAVPTSPTAPVLPAPTPNNRGVFRGLFLPRAEEPQRTIRVVLVDDHGNFRMLWATFRFCG >Sspon.07G0019440-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:74280711:74281413:1 gene:Sspon.07G0019440-2C transcript:Sspon.07G0019440-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSPCCEKAHTNKGAWTKEEDQRLVAYIKAHGEGCWRSLPKAAGLLRCGKSCRLRWINYLRPDLKRGNFTQEEDELIIKLHQILGNKWSVIAARLPGRTDNEIKNYWNTHIKRKLIARGIDPRTHQPASAAAVAPAPAAAAAPSSHRHHADDKAVVVRSSCGSRDSTSGSVPHQLGLGGGIDLNLSLSPPTSQPSSPAAAN >Sspon.08G0004130-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8A:11991557:11992607:1 gene:Sspon.08G0004130-1A transcript:Sspon.08G0004130-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSSQINLYLCCGPRSRISFLYIVHQRFTPPPLLGVPRSPACSAVQCNLASGMLRLIYPTIPRLLRRLRHVGSAVASAVSDARFSCAVPDDEHSVGADTTLSPDAIMDLARHPPVPRPRAVDEHQQCTNKVIIPHFHMYM >Sspon.01G0041940-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2C:97743229:97743738:1 gene:Sspon.01G0041940-2C transcript:Sspon.01G0041940-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAKAIKAAMATCMPRLVRGGGCRASTARPAASVSLLERIRDVVLRLIMLSAVSRASTQRTSRCGSGKSSSKAPSPGDASRHRESDACVAVAAACRRDDSIRNEAVEDCIEFLKRSSAEGDAAKFSTASAADAKLAEVGGEDKETGVFFILNVEARREVSPSSGCREST >Sspon.08G0011690-3C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8C:48518878:48520766:-1 gene:Sspon.08G0011690-3C transcript:Sspon.08G0011690-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTPALLFLLSGRLGAPVVWIRSTVASVGAQRQTGFDEQTCASRYQSVYYRKNMTRLPSPYLIKRLREQEALQRRCGPGTEPYMRASERLRSGETGAVDDVDGCSYLVLISYRGLGNRMLAITSVFLYALLTNRVLLVDRGYGNTLPDLFCEPFPGTTWALPLDFPLDSQFRQLDEAAPESYGNVVVNRSGSVSGMRFVYLHLDHAASPANRLVYCDNHRESFLSRMQWAIIRTDQYMAPGLFFNPAYQEELDRLFPRKDSVFYLLSRYLLHPTNEVWGMVTRYYNSYLRDADERLGIQIRVLFDGADKPAQHVLDQILACTSREHLLPGVVTTEGAAPQLPPPAGARRSKKAVLVTGLSAWYHDNIREMYWKSATVDGEVVSLFQPSHEEHQQWYHNKHDMKAAAEIYLLSLTDKIVTSAWSTFGYVGYAMGGLRPYLMLMPENQTAPDPPCTRAMSMEPCSHGAPSFECTRKEINTIINTGVLLPHVRNCEDISWGLKLTDPDEEN >Sspon.05G0021540-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:5C:88622539:88622722:-1 gene:Sspon.05G0021540-2C transcript:Sspon.05G0021540-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAARAALLRSVATKMARAPPRLPSALDHHGRLPTSSGGLWLSRFSTSTGGAMPPPANLR >Sspon.06G0015460-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:66719352:66723130:1 gene:Sspon.06G0015460-3D transcript:Sspon.06G0015460-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AMPPFRGRGARRRRKAAAEKKAAAAAMAAAAVVAPPGDWWVAFCRRMLGTLSCIEDAQRFESVFKMPRRAFDYVCNLVKDEMMVRSSSYTFLDGTMLCLEDRVAIALRRLNSGGSLATVGSSVGVNHSTVSLITWRFIEAMEERASHHLRWPDSSDMEKIKSKFEKIHGLPNCCGVVDTTHITMCLSSAEPNCKVWLDQEKNYSMVLQAVVDLDTRFTDIVTGWPGSMKESSILHSSGLFKLCEKGERLNGGKVKVSDGSEIGEYLIGDSGYPLLPWLLTPYQEKDLTESSAEFNSRHSAARTVAPRTLAKFKDTWKFLQGEMWRPDKHKLPRIIHVCCLLHNIIIDLQETSMDEARAWPNDHDANYRQQVCQFADENGIKVRDKLSEHLISR >Sspon.06G0025280-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:82162381:82163412:-1 gene:Sspon.06G0025280-2C transcript:Sspon.06G0025280-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVQAQFGGLAGCLLPYGGGGSGGLADEQLQALLSAAASGNKQAPQQYHHCAAGVASAAQSELTCNGGGLGGVVASRKRGRDVGDLEQYHQHVPSSSAALLPIPGVVVHQKPPAATAGSIASSRMADSAAASTSGRGPQWTSVDAVLAAELRQQGAEVDAVVRAGCERLRAGLERARKRQCEALARAAAAGAARALREKEAELDAARRRAQELEERLRQAAAETQAWCGLARSNEAAASGLRATLDALLLRAGVGAGMAQPAAEVEEGFGESGDADDDAESCCFVEADARSTSPACRACGEGEASVLVLPCRHLCLCKACEPRTDACPVCSGDKNAAIHIAPN >Sspon.08G0013720-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8A:57011042:57012610:-1 gene:Sspon.08G0013720-1A transcript:Sspon.08G0013720-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MELSLTLSSPALQFILLLPLLTLLCLLYLRQDPKKQPRAHGLKVYPLVGTLPHFVKNQRRFLDWSTDVLKCDPSHTLSFKALGLTGGAITANPANVEHILKTNFANYPKGELTVSMIEDFLGHGIFNSDGEQWLWQRKAASYEFSKRSLRNFVVDAVRFEVVERLLPLLDGARRDGRTLDVQDVLERFALDNICRVAFGEDPACLAEEGMAAPESAEFMRAFNDAQSATMARFMSPVKSLWRLKRLLNMEPERRMREAVGTVHGYADRIIRERRARGEAAGLAACGGDDDFLSRFAASGEHSDESLRDVVTNFLLAGRDTTSSALTWFFWLVSTRPDVEEKIVREVRAVRRGSSEQERVGTFSFDELRDMQYLHAAITEAMRLYPPVALDTHSCKEDDFLPDGTFVGKGWLTTYSAFAMGRVEDIWGADCEEFRPERWIGEDGAFRPESPFKYPVFHAGPRMCLGKEMAYIQMKSIVACVLERFSFQFVGGEERPGLVLSLTLRMEGGLPMKVIKRTNSALG >Sspon.02G0050400-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:60356579:60360230:1 gene:Sspon.02G0050400-1C transcript:Sspon.02G0050400-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LVAMASTSVPKLVADKKCDKAIADTTAPLTSQGHSEEPTGKVSKLVPSLPIVIATGDGAASSGVKENNNDMSSSADGSVKLDDSEDAEKSSLRGSVKDSSVSAKCSDRASSLSKASVSAKVSGQPADMIESGKSSLYRASGGSDVSDESTCSSICSSVSKPHKSNDSKWEAIQAVRTKEGSVGLGQFRLLKRLGCGDIGSVYLSELSGTKCYFAMKIMDKASLASRKKLLRAQTEKEILQCLDHPFLPTLYTHFETDKFSCLVMEFCPGGDLHTLRQKQPGKYFPEHICRFYVAEVLLALEYLHMLGIIYRDLKPENVLVREDGHIMLSDFDLSLRCAVSPTLLRSSDPSGDSQKGNPAYCSKKEKKDKKAKGDLANQVRPLPELVAEPTDARSMSFVGTHEYLAPEIIKGEGHGSAVDWWTFGIFLYELLFGKTPFKGSGNRATLFNVVGQPLRFPESPVVSFAARDLIRGLLIKEPQHRLAYKRGATEIKQHPFFEGVNWALIRCATPPDIPKPVEIPRSVASSSQKATSAAEKGSDYLELE >Sspon.04G0008740-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:25370594:25372124:-1 gene:Sspon.04G0008740-1A transcript:Sspon.04G0008740-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGPQSGVAYCSSGDVAAIAVGSALTVDGITAIYNFGDSITDTGNLIREGPTGLLRYIGKLPYGIDLHGPTGRCSNGYLMIDFLAKYLGLPLLNPYLDKAADFTHGVNFAVAGATALDTTTLAERGVTISLTNSSLDVQLAWFKDFLASATNSSKIRRKLASSLVMLEIGGNDFNYAFQQQQTRPSDGGYGLGNVTRIVETLQQAGALVPPVVQSISNAAQELLEMGAVRVVIAGNFPIGCVPVYLAGANVTEPAAYDAGGCLAVLNAFAELYNARLRGAVAALQRAHPRAVVAYADYFAAYARVLREARARGFDPARTRTACCGAGDAAYGLDESRFCGAPGTAVCADQDRYVSWDGVHPTQHAYGDMAELLYRGGLAYPPPIKWPAGQTVPGSNAPLN >Sspon.02G0032940-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2B:3175043:3179421:-1 gene:Sspon.02G0032940-1B transcript:Sspon.02G0032940-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPTLAEVMDMLKSMRTEMSTLKSDMAAMKDKSSSSSGGGDDRRSEGPRDQDFHPKHKKWDFPRYDSTTDPMLFLNKCEAYFRQHRTMAEERVWIASYHLDDVAQLWFTQLQDDDGTPTWPRFKDFLNLRFGPPLRSAPMFELAECRRTGTVEEYANRFQALLPRAGRLEEEQRVQLFTGGLLPPLSNAVRIHNPDTLAAAMSLARQQGRGDGHQRRLTPEEMAERRRQNLCFNCNERYSRGHNRFCKRIFFLDGVEIDDVAGDAAAAAADAGATDAPLFSLHAVAGVAVGTTMQIRVTVGATSLLTLLDTGSTHSFIAEDAARRTGLPIQPRPCMTATVANGEQVTCPGVLRRAPITIDNLGFHVDLFVMPLAGYDIVLGTNWMATLGQLVWDLVAGTVAFQHEGRTVRWEGVPAPSTLGPCATSASDSLLDELLAGFEDVFTEPFGLPPPHGRKHGITLKPGAQPVAIRPYRYPAAHKDELERQCAAMMAQGIVRRSDSAISSPVLLVKKPDGTWRFCVDYRALNALTVKDAFPIPVVDELLDELHGARFFTKLDLRSGYHQVRMRPADIHKTAFRTHDGLYEFLVMPFGLCNAPATFQSLMNDVLRPYLRRFVLVFFDDILIYSETWADHLRHIRVVLSELARHQLFVKRSKCSFGATSVAYLGHVISAAGVAMDPVKVQAIHDWPVPRSARAVRGFLGLAGYYRKFVHDYGTVAAPLTALLKKDGFSWSEEAAAAFDALKAAVTSAPILAMPDFNKQFTVECDASSHGFGAVLVQEGHPIAFFSRAVAPRHRALAAYERELIGLVMAIRHWRPYLWGRRFLVKTDHFSLKYLLDQRLATIPQHHWVGKLLGFDFTVEYKPGKANTVADALSRRDTEETGAVLALSAPRFDFVDRLRQAQVADPALAALQAEVRAGSRGAPWTLVDGMLQFAGRLYIPPTSALVQEIVAAVHEEGHEGVQRTLHRLRRDFHFPNMKWVVQDWLRACLTCQRYKSEHLHPAGLLLPLPVPQGVWTDVALDFVDALPRVRGKSVILTVVDRFSKYCHFIPLAHPYSAESVAQAFFTDIVRLHGVPQSLVSDRDPVFTSTFWRELMRLLGTKLHMTTAFHPQSDGQSEAANRDTPFRIVYGRDPPTIRSYEPGDTRVAAVAKSMEERAEFLADIRYRLEQAQATQKKMYDRKHRAVSYRVDEWVLLRLRQRAATSMLLENTGKLKPRYYGPYKITEVINEVVVRLALPPRARLHDVFHVNLLKKFHGDPPIAPPPLPTLHHGAVTPEPERVIKSRLARGVEQVLVQWKGASAASATWEDRDPFVAKYPGLQLEDELPLNGGRDVMCGKTYTRRRRARDVRRAAERAAQAQQEIEEPVKIDTSG >Sspon.07G0002990-4D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7D:7065443:7066136:1 gene:Sspon.07G0002990-4D transcript:Sspon.07G0002990-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSRIIVASLLLLLLAFAATAEARVVRELIGEDACQQTCNQVRFKKMCQSLTKLPKVTTPRELLLASMRVAAEKAKEAKSRVDVYAARSHGGRPMESILGACSTGYDNVVQTLEETQKIVAKQGTQVDMNTQLSDAVTSAGDCDNAFQDFPEMKDPFLAMQRNVWRLVDNVLNIAVVVK >Sspon.07G0001900-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:4624776:4625637:-1 gene:Sspon.07G0001900-1A transcript:Sspon.07G0001900-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSSSLRALVALIPPALPSARPNGAAAAPAPRARGRPGRGAGVVALAAALPSDAQWLERLPEKKKPLYTHSLPCIEAWLRSLGFTQSREDPAVWVAEKPLWHARLSLDVTDLHIRYLKSGPGNLEKDVERRFSYALSREDIENAILGGP >Sspon.08G0014680-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:54538264:54539126:1 gene:Sspon.08G0014680-2B transcript:Sspon.08G0014680-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VHAHPALPGPLPLLRHGPPGRRRRRRRVARQPAQPGEPPHQWLRLREPSSHRPVAGLRADVLLDVPALRPRRGHRRRGPPPRLRSRRASCQDLPQLPLPTPVAPRWFHPSSLVPAGRWYGRTRDGRGGDLGAPGGVRVQAGAPRRDGVGAVRDMPGPGGDRRGRAAAADVRAPVPRRLHRPVAARARHVPDVPRSRRRRRAGVAGR >Sspon.03G0006460-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:33265199:33266686:-1 gene:Sspon.03G0006460-3C transcript:Sspon.03G0006460-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRATMRWLPPALLLVVAARLATVAVVVVRGEPQVPCYFVFGDSLVDNGNNNDIASLARANYPPYGIDFAGGATGRFSNGLTTVDAISRLLGFDDYIPAYAGASGDQLLTGVNFASAAAGIRDETGQQLGQRISFGGQLQNYQAAVQQLVSILGDEDSAANHLSQCIFTVGMGSNDYLNNYFMPAVYSTSQQYTPAQYADVLIGQYAQQLRTMYSYGARKVALMGVGQVGCSPNELAQHSADGAACVPEINGAIDIFNRKLVALVDQFNALPGAHFTYINVYGIFEDILRAPGSHGLTVTNRGCCGVGRNNGQVTCLPFQTPCANRNEYLFWDAFHPTEAANVLVGRRAYSAAQPSDVHPVDLRTLAQL >Sspon.02G0021460-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:72096862:72099884:-1 gene:Sspon.02G0021460-1A transcript:Sspon.02G0021460-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MACSVSVAVVAPLLLLSVLVSAAAAARAVGDTVQDACSKTQFPKICVDSLAAKPESAKATPRKLAELFVNIAAEKGAGMATFVHGKYNAAKDNAGLFKCYDSCSDDVEEAVAHLNGLVREPTDAKFLELKSWLSSTLGGTSTCEDACKDAPKSGDKDDVVNFSLDFEKLQRVTLDLITEASGSMSAGIALPPSDAGAPSYGAAAPADAPAGAEGPAASAASGPSSGGDAPAYGGSAASGPAAADAPAAAASGPSGAPAPTNQVYCAMAAYRSLALAFVLAAAATAAVPGTLAVDSRSATTKGLQKACNATSSPRICLKVLVRASSESPTASPRRLAELAFRYLEKRGPALLAEARRETAATRNRSMRYCLREFNDNIRVYAKWLHGLAPDRGGDVEFVEAKRRLEALLEAPSNSGISCRGGQFQEKPVIRRIFDYEAMMQVTLDLMNAAARSNPALADPPSSDYG >Sspon.02G0007410-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:21827237:21827994:-1 gene:Sspon.02G0007410-1A transcript:Sspon.02G0007410-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCWPFFADQQINSRFVGAVWRNGLDMKDVCDRGVVESMVREAMESTEIRRSAQALAEQVRRDIAEGGSSATEFQRLLSFIKQLRASPPPCARRHQPTYFVGKGTDHQCVGPYSDAGVDPGFPPVTCVVADGLLPWAIETAEELGVPALAFRTASACSWRAPLPCRRRP >Sspon.04G0029310-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:71753599:71756796:-1 gene:Sspon.04G0029310-1B transcript:Sspon.04G0029310-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGAATACCPGQKGMGEVMNLIGDVRGKVAVMMDDMIDTAATAPSIAASTATATPRWESQG >Sspon.06G0015470-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:85095440:85107902:-1 gene:Sspon.06G0015470-1A transcript:Sspon.06G0015470-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGQSRGGGANEVEREKAEGTKGREPLSWYTHTIARVSWHIGKLRQGTQGLRAVDWVNGATLHVPFMRNVQLGGAAISLSLLFPPLLSKDEKVVAAASLGAINPIADKVIGLLEEMNQLDMEKKSIRISGSKAEIRQQGDPHMVGKVLAKKTVLVEALELSLRQIYCLLKGVECKDLGENQFPFTFLQGLGKRRALEDRPWMFGKDLVIMAEFDGTKTIDDIEFNSISIWVRITKMLQVLMNKATSEDPTKPGDVGEFAGDGFGSKEEVAEAVDDVGKALPLQ >Sspon.02G0032450-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:86703982:86708422:1 gene:Sspon.02G0032450-4D transcript:Sspon.02G0032450-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ALAGVGEALASVVLKEVSRKLGSAIGQQIKARWNLDRDMEDIKNTLGIVQAVLRDAERRSVREEAVNLWLKMLKDAAYDISDMFDEFEDKLSNVKISSSVAKFTMGKKLKKMREKLTNIVAQRTQFAFNLEACSTDHEEIKKRQTTSKINRATIVNCFQLAELRLMLSNLKEKSKIRIIVTTRTEEVARNIGTVTSYKLKPLSDDHCWTLFKHIAFQSGFPFREDKNVLDKIGWDIAKKCKGVPMAVQALGFMLRNKDVDEWKNVRDSDVTKEDTNNSMLLSMHDLIHDLARSVLGDELLFVDGTSSSLILSKLHVTSCQLQPNQWALLGFLPALEV >Sspon.01G0000360-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:1450391:1453777:1 gene:Sspon.01G0000360-1A transcript:Sspon.01G0000360-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPAAGGPPLVAVAARTGEARRAHVVAAVAGRVLSYTGGDKNLLPSIAALRQLLDFSCSYSSLLARITYDFGLIFELQHMKKQFLHKFTEKYGSPANF >Sspon.04G0020890-2T-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4C:79373599:79374666:-1 gene:Sspon.04G0020890-2T transcript:Sspon.04G0020890-2T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKATSLSELGFDTNGASAGFFRPVTDGMDSTPTSHHRRRLTKVSVIGTGNVGMAIAQTILTRDLADEIALVDALPDKLLGEMLDLQHAAAFLPRTRLVSDTDMSVTRGSDLAIVTAGARQIPGETRLNLLQRNVALFRKIVPALAEHSPDAILLIVSNPVDVLTYVAWKLSGFPVSRVIGSGTNLDSSRFRFLLAEHLDVNAQDVNAYMVGEHGDSSVAVWSTLSVAGMPVLKSLQESHSSFGEEALEGIRRAVVDSAYEVIGLKGYTSWAIGYSVANLVSSLLRDQRRIHPVSVLAAGFHGIPDDHEVFLSLPARLGRAGVLGVADMELTDEETRRLRRSAKTLWENTQLLGL >Sspon.01G0013650-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:38346593:38354367:1 gene:Sspon.01G0013650-1P transcript:Sspon.01G0013650-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRRGAHADAAHHLPTAGPGASSAASAGAARRGDGGAQGCRAARATSSSRSSWRSSPPPCYCSPSATATATQPRGGRGGAAAPRGRRSRAMRFSSSSLVLRAFDGESRLEAARSERRRWASLAPVRVALAVGNMNIDAQSLMLATVAKSLVSLGYEVEVLAFTDGKARDVWENICLVNIVNAGTLKSVDWSKYNAVLLSSLEGKREPFRLLPVVWLIHEDTLGQRLRNYAELRDSIPNVIEDWRAHFNACAYVVFPDSYLPFLYSPLDSGNFLVISGSPVDIWAAKRFGSSHSEETIRKQHGIEKDDVVILVVGSYLFFDDLPWDYVTVMRASAPQILDIAKTKNLRVQFIFFCGNGSDAYNSAFQELTSHMDLPDVSIKQFSMTHDIRNLLMFVDVVLYGSLRQEPGFPPLLLRSMSSEIPVVAPNLTVITKYVSDGVHGFLFDSADPSTISSAFIQILGEKKLLDTAYSVALEGKLLSKNMLAHDCIKAHVKLLESVIHFPSYAKLPSSASKVQERTWLWDPFEMKAVLENSLLEDESHTSTKTVDILREFPQSNQTTYADTNDTSYDYPRLSDWYDLSEIEIFEDTERREMEEAMYKNARKSERLKPEGNERDEGELERTGQPVCIYEIYSGEGAWPFLHHGSLYRGVTLVSFGKFTSMYVLSRSSYHFPLSMRCPIILCYLVFIISTTIYKFEIFTLCTWEASSHRLSSSKGGRRPRSDDVDAVTRLSVLDNPYYRDLLCEFGALFAMANRVDNVHKLPWIGFQSWQAAGRKDVVYYWSPMDMDQTSDFWLTCDSLNAGNCRSLFEDAFRTMYGLPENVMALPPMPSDGGHWSTLHSWVMPTPSFLKFIMFSRIFVDSLHSLNVNSTQPASCFLGASEPERRHCYCRILEVLVNVWAYHSGKKMVYLNPFTGDTREQHLLSKRNGMWVKFFDFTLLKSMDEDLAEEADDGMHPGNDPWLWPLTGQVFWPGIADREREEKYIKKLDKKLKNKVKLLERQKSGYKQKPLGQ >Sspon.01G0039890-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:31142311:31145329:1 gene:Sspon.01G0039890-2P transcript:Sspon.01G0039890-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAEAEGTPPPQPAAGEPATTARAQPISAAQFLSWKQRKDAEEAARKAEAAQKRAADIASERCR >Sspon.08G0000420-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:1958614:1960986:1 gene:Sspon.08G0000420-1P transcript:Sspon.08G0000420-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRARQPETVTREAEHVMWAEARARHHGAGLAGTVRKESTQTAPAINTACFSEEGKEISTPLHSTRTHTARPPSFPSFLEMMKQHQQQLLLLSLMFLVAVAAAAVAADPQQVQHQQQQPQMRMGMSSRARSLLHCSVRCGNNWNNEMCNKMCNVCCNKCGCETRHLCSCYDTMVNPHNPHKLKCP >Sspon.03G0024860-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:98958319:98962017:1 gene:Sspon.03G0024860-2B transcript:Sspon.03G0024860-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQCADHSGQLPRLEGIEEEGGPAEKWAPTTVRPPETPTETMEFLARSWSLSAAEISKALRVLSCGKAASDSPPAVATSTTEQRSAPSPRGSDHRQHQYQQRADAGMAAGGEAGGGMSPPISPRANLGDVKLLRAAGRGKTVGAWIKEQREKKRAEARSRNAQAYAATSVAGVAAAVAALVAGAVFSSPAPEQRPAKGSRSGGAGAGSGAKTAAAVASAAALVASHCVEMAQTIGASHDQILAAIQSAVNAQTSGDVMALTAGAATALRGAAMLRARLHKEIQATALPGDGREPERDISPLVFVSRGGELLKRTRQGALHWKLVSVYINSSFQVVLKMQSAHMAGTFIKTKKCVVLDVCSEIPAWAGREVEDGSHGHGRSKRGYFGIRTVERVIEFECRSRHEQHKWVQGITEMLLRRDSINNAACFGQLPRLEGIEEEGGPAEKWAPTTVRPPETPTETMEFLARSWSLSAAEISKALRVLSCGKAASDSPPAVATSTTEQRSAPPPRGSDHRQHQYQQGGRGNGGRWRSRRRDEPAHLSQGEPGRRQAAPGGGEREDRGRVDQGAEEKKRAEARSRNAQAYAATSVAGVAAAVAALVAGAVFSSPAPEQRPAKGSRSGGAGAGSGAKTAAAVASAAALVASHCVEMAQTIGASHDQILAAIQSAVNAQTSGDVMALTAGAATALRGAAMLRARLHKEIQATALPGDGREPERDISPLVFVSRGGELLKRTRQGALHWKLVSVYINSSFQ >Sspon.03G0035580-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3C:80187323:80192481:1 gene:Sspon.03G0035580-2C transcript:Sspon.03G0035580-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Actin-interacting, WD40-repeat protein, Actin turnove [Source: Projected from Oryza sativa (Os01g0125800)] MAQLVETYACSPATERGRGILLGGDPKTDTIAYCTGRSVIIRRLDAPLDAWAYPDHAYPTTVARFSPNGEWVASADASGCVRVWGRYGDRALKAEFRPLSGRVDDLRWSPDGLRIVVSGDGKGKSFVRAFVWDSGSTVGEFDGHSKRVLSCDFKPTRPFRIVTCGEDFLANFYEGPPFKFKHSISKFITVSSDKKGLIYDGKTGEKIGELSTEGGHTGSIYAVSWSPDSKQVLTVSADKSAKVWDIMEDASGKLNRTLACPGIGGVDDMLVGCLWQNDHLVTVSLGGTFNVLSASNPDQEPVTFAGHLKTVSSLVFFPKSSPRTILSTSYDGVIMRWIQGVGFGGRLTRKNNTQIKCFAAVEEELVTSGYDNKVFRIPLNGDQCGDAESVDVKLKNMLYHTARINSLAWSPDSRLVATGSLDTCAIVYEIDKPAASRVTIKGAHLGGVHGLTFLENDTLVTAGEDACIRVWKVVQQ >Sspon.06G0005820-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:19434115:19434612:1 gene:Sspon.06G0005820-1A transcript:Sspon.06G0005820-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSEAKKTKMITLRSSDSEEFEVEEAVAMESQTIRHMIEDDCADNGIPLPNVNSKILAKVIEYCNKHVHAATADTTNASGGGEVDLKSWDAEFVKVDQATLFDLILAANYLNIKGLLDLTCQTVADMMKGKTPEEIREIFHIKNDYTKEEEDEIRRENQWAFE >Sspon.02G0030680-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:112678401:112684685:-1 gene:Sspon.02G0030680-1A transcript:Sspon.02G0030680-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEVGAPNLPMPEPAGSEPDDARLSPLAPAPAPPPPPPPPPPPPAPTPTPTTTPAAEAAAPTASAAVSPPAPAPAPAEANGNSDRKRRRKAEDGDGCKTCSCKKSSYCVCFASGSRCTESCGCEPCENKQPLQVAPRTAPVLPLKPVQTSEAGQDILEQVIRSPMDLIRRKCTCKKSGCLKKYCDCYQGGAGCSINCKCDDCRNPFGRKVGVILDGKSSVAAPILHERNGTEVDSSDDEDDFYMNRQLSPIPPSPVSRESSFQQETLVGVEVQTMNGHLYPKPLTQVRPEPSSWQPSRRPVEEPRGEIWRFSRRPSEDGTSDAMEAHAIALRESKKPEIHGDNRFSIPRCIEVMSAMVELSPVEKSLAPDVFLDANNREIFLSLSVDIRPMWLRRKMKSLMHILVPKRQTWEHVSHGRHQVGKQHQHQPDGVPPCRRRAGLTNQAAE >Sspon.02G0021060-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:72224879:72229881:1 gene:Sspon.02G0021060-2C transcript:Sspon.02G0021060-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:BTB/POZ domain-containing protein At2g13690 [Source:Projected from Arabidopsis thaliana (AT2G13690) UniProtKB/Swiss-Prot;Acc:Q9SKH2] MAAADAAQQGARGHRRRGGAGAGAATRVRPRAWCCSFGGAPDSPDLRPLPSSAASPAAAGPGRKLPPKSPSSAAASFYGSPTSSRLAGLGGLIDPRRILSPGRVSPIDPDAGAVPPAPLPLPLPPPPPPPPPAAVEDAAAVDPAEQPAAVLLASALPSATVAPPPVVAVREEADAAGVLDLRLFLRGRDGRCVLMELDSTVLCGCSDFFAAMAPREDAAGAGAGGKRIEVDGVENLDAFRAAVELMYDPHPMRCLAAAGVSRAMDVLEVCSSIMFSKGIKSCLTYIEAVPWTENEEEKLKNLFARFTFDEAISQDILARLRPHNWKSSDDLTVQLIQSVTSSTCTVARKDMQSLVNGLLSKSSVYQKESSGLNKESLYQICYSCLESLVDLFEEAREPTDYTGQAVAVRGSKPLIERVSRQAENLNWLLEILVNNDIAEEFVELWAKQDRLIRMHEQASAMVRYELSRISAGVFIALGKGKVQCRGDVRSLLFHGWFSTMLLDFGWLQRCPKGLDLRSLEENLGRGLLTLPLRQQQCLFEEWFQFYATKGAECPNLIRAFQVWWRRSFIRSLVEPQAKVFS >Sspon.07G0006550-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:16960526:16962088:1 gene:Sspon.07G0006550-1A transcript:Sspon.07G0006550-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSAALRRAPWAAAATAARGLLGAMSVSRVPPTHRRLPYRAERSALLSSLSAPQPGAAADAHLLRVINYEISCAQKDCKKRDWAKELGEWFPFEIQDKEGTTRIILTRRDQKEQTEVEVFLPSAADPVEHNGEQKDDTKDDDSQARAISGPASRYYIPLVVKIHKGMASWLEISCRSYPDDLAIESLAFGTRDESVNSSNVEAKICNLPEELQQAFYAYLKSRAISSDVTNFLHAYMINKECHEYLSWLRKLKGLIKSSSLVKIVIHTSYGIVDCLSTGKVITYKYSDSVSKI >Sspon.07G0030390-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:6327137:6329140:-1 gene:Sspon.07G0030390-1C transcript:Sspon.07G0030390-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWRGCAWQVVVVAALAVLGAAATVRAAPQVPCYFIFGDSLVDNGNNNFIVSMARANYPPYGIDFAGGPTGRFSNGLTTVDTIACRGKYRTSLPNTLLMDANHAKSSWQSMHAYRVRGHWTSTTHACAIVCFLLGFDDFVPPFSGASSQQLLRGANFASAAAGIREETGQQLNYQSAVQEVISILGDEDSAATHLSRCIFTVGMGSNDYLNNYFMPAFYSTGSRYTPEQYADALAQDYSRLLQVMYRYGARKVALIGVGQLAQRSANGVTCVEQINSAVRMFNQRLVGMVDRFNKLPGAHFTYINIYGIFDDIVRSPRAHGLKVTNAGCCGVGRNNGQMPCANRHEYLFWDAFHPTEAANILVAQRTYSAKLASDVHPIHPSIGSELARGTRDTRKRQDTRPDVPRTRLFCTGKKFSCEEFTGGSGSGRFGGLGRPSPMQQARDASARFNK >Sspon.05G0000660-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:1740172:1745236:1 gene:Sspon.05G0000660-2D transcript:Sspon.05G0000660-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGADLIISVHSRHYVRTVEDWYKEKPTHAVRDRDVTVKLLDGEAYQRLLHPSPSAPRIFSAFCQGQRHALSLSFSARRGSTHPPPPLTTPPLIPHPTSNQTRPRRTLAFSYPPGHVSTSPARAGCCCLHPATAACPLRSRNNKKASRTDRRPSRAHDREAPLGCRSRAGLAPVSHGGIGVGMAGRMVRSCVQTALKAANSVVGLAGMAVILYALWMLRAWYTEVADLHLHQRLPVPCNMSKNYALQYFGVYTVGLVVLLRKNYWSCRFIYTFLGLGIFLCLLTCSGHIAAESANGHCLSCYMAIVFVLIIMEGAITMDVFLNSNWEEDFPPDTSGKFEDFKDFVRSNFEICEWVGLSVVAAQVLSIILGMVLRTLGPDRETDYDSDDDTTVPARLPLLRNQALHGSDYAEPNTSRRSDSWKLRILDKVNN >Sspon.02G0021780-1P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2A:72872622:72872885:-1 gene:Sspon.02G0021780-1P transcript:Sspon.02G0021780-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGDRPARGARVVLCPLPFQGHLSPMLHLAGALHARGLAVTVLHTAFNAPDPARHPGITFVAVPDVIPEAVAATNNGIAKLLALNAA >Sspon.03G0020370-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:82665897:82670252:1 gene:Sspon.03G0020370-1P transcript:Sspon.03G0020370-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDNYDEEGEPTVDFEEREPSPEPQPYEDLDDDLGDAGDWTRGRSPTPVHGDDGGAGSSSKPHKRLLKKGGGGGMPGDDGLDDFGLEDEDADPAADARKRKGSSALRDLARGGAGKEKKEKKRRREDDGRGRDNGMVRDRRGSGGRDSGGREDQDDGEREIQELWDTIAGGDSENYFELNVKGFWKIQPLSTAVVNSRGIAMVSSRVSRLGVSLATTNRAMAYVLQQKSLPRSHEAITHLSHLPIDREGEMEEDTHLDDQEGVRTVDDDNFIDDTGVDPADRYGSDNERHSPGRYAQAEEGEEDDEIERLFKGGKKKKKNDRPRADIGLIVEQFIAEFEVAAEEDANLNRQSKPAINKLMKLPLLIDVLSKKNLQQEFLDHGVLTLLKNWLEPLPDGSMPNMNIRSAVLKLLTDVIMFLSKSDEETTANRKLAKELVDKWSRPIFNKSTRFEDMRRYDEDERAPYRRPQMKKPSSSSSGMESRDDDLDADFSQRKSGQSSSRQHASRPEASPLDFVIRPQSKIDPEQIRARAKQAVQDQRRLKMNKKLQQLKAPKKKNLQASKLSVEGRGMIKYL >Sspon.01G0004470-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:11980104:11983036:1 gene:Sspon.01G0004470-1A transcript:Sspon.01G0004470-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MCMLEISLESASNFVGISAIQISPIACLKPIPWCSKEESLGSGCDLGTHSREELKDTLLLRSIRTADSAIQHHSPHGWRSSSACLTSLDLRGSEQLHDAYRLWAFMSSWTNKRWATKINWVA >Sspon.01G0043910-3D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1D:68784289:68785593:-1 gene:Sspon.01G0043910-3D transcript:Sspon.01G0043910-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASASASASADPCGICLGDISPGQAVFVAECSHTFHHRCISNNVARGNHDCPVCKVTWRDLPATIDPVPPPPRKYADDEETVAPQGVVQAQAVAAGLAADAGEMALKTHCEFPAVAKDAPRDNFAVVVHVRAPDQAGGAVAADEVRAPLDLVTVLDVSGSMKGRKLALLKQAMGFIIDNLGRADRLSVVSFSDEAIRKIRFTRMSSDGKASAQRAVESLVANGLTNISKGLLVASEVLADRRYRNAVTSVILLSDGQDNQSGVGRNHQYLVPPLFRDADNRPGPIHTFGFGTDHDAVAMHTIAEVACGTFAFVENQEVIQDSFAQCVGGLLSVAVQDARLAVTCVHPGVRVREFKSGRYGNIVAEDGRTASVDVGELYADEERRFLLFLDVPRADAAEEVTRLIKLSCTYRDTMTGRVRWMSLAKMPLFRGRSR >Sspon.01G0052710-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:25834020:25834457:1 gene:Sspon.01G0052710-1C transcript:Sspon.01G0052710-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding HGSDGANRGAGRPRRRGHAGPAAASERADISPAPGRPRGRAVAVAGLRGCSAEPDAVPDVRGAPQRADAAGEGLLRGARGRRRRRRRRLPVRAPRRVRRARRPRRHRARARAAHHLPRRRAAAQALRCARHARRRAAWRSRRADGL >Sspon.01G0051490-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:16133669:16138111:-1 gene:Sspon.01G0051490-1C transcript:Sspon.01G0051490-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-galactosidase 8 [Source:Projected from Arabidopsis thaliana (AT2G28470) UniProtKB/Swiss-Prot;Acc:Q9SCV4] MAATARLLPAFAAAAAALLLLLCLAGGARATNVTYDHRALVIDGVRRVLVSGSIHYPRSTPDVRILLPLFISRSLPAPAARSFLCSWQARYVLTLAAGMACRRQMWPGIIQKAKDGGLDVIETYVFWDIHEPYDFEGRKDLAAFVKTVADAGLYVHLRIGPYVCAEWNYGGFPLWVHLIPGIKFRTDNEPFKAEMQRFTSKVVDSMKGAGLYASQGGPIILSQIENEYGNIDSAYGAAGKAYMRWAAGMAVSLDTGVPWVMCQQTDAPDPLFTPNSAAKPKMWTENWSGWFLSFGGAVPYRPVEDLAFAVARFYQRGGTFQNYYMYHGGTNLDRSSGGPFIATSYDYDAPIDEYGLVRQPKWGHLRDVHKAIKLCEPALIATDPSYTSLGPNAEAAVYKTGSVCAAFLANIDGQSDKTVTFNGRMYRLPAWSVSILPDCKNVVLNTAQINSQVTSSEMRYLESSNVASDGSFTTPELAVSGWSYAIEPVGITKDNALTKAGLMEQINTTADASDFLWYSTSITVKGDEPYLNGSQSNLVVNSLGHVLQVYINGKIAGSAQGSASSSLISWQKPIEIVPGKNKIDLLSATVGLSIYNINLPSPSLFVQNYGAFFDLVGAGITGPVKLSGTNGALDLSSAEWTYQVGLRGEDLHLYDPSEASPEWVSANAYPINQPLIWYKTKFTPPAGDDPVAIDCTGMGKGEAWVNGQSIGRYWPTNLAPQSGCANSCNYRGSYNSNKCLKKCGQPSQT >Sspon.07G0026940-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:57751445:57756478:-1 gene:Sspon.07G0026940-1B transcript:Sspon.07G0026940-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SUMO (small ubiquitin-related modifier) E3-ligase, Abiotic stress response, Stress adaptatio [Source: Projected from Oryza sativa (Os05g0125000)] VTTCSREGINKISLSRVDARTFCFGVRIVRRRTVTQVLDLIPKEGEGESFEDALARVRRCLGGGGATDNADSDSDLEVVTESVTVNLRCPNSGSRMRTAGRFKPCVHMGCFDLETFVELNQRSRKWQCPICLKNYSLENLMIDPYFNRITSLLRNCSEDVNELDVKPDGSWRVKGDAASRDLSQWHMPDGTLCDSKEDTNPGVTSVNEFEREGTSDGHRTLKLGIKKNPDGSWQVSNKAGFSTPKMPMISSPTGSYRDGEDASVNQEGGGIQFDTALNQEFDSFAHNFGQTYNTEDRQQQPLHNAADVIVLSDSDEENDPIVCPAPVYANTPTNGESFPFVTDARSGYPERYQEDAGVGTSGLGLLSNTGDFEIINWQMPYTQPEQAFQFFESNTDVGNPFGGPHNSFNIAPEDYSLDCNVGIEDPSAAHDVSICRNSNDVHGSLVDNPLALAGDDPSLQIFLPSQPSTVPLQEELSEHANTPNGVHPDDWRISLTLAAGGGGNEESTSVDGLKSQPKVPPKEAGVEPLLDAASALPSMNNDRCNGSNLNPRRIENIFSHPRQPRSVRPRLCLSLDTDSE >Sspon.04G0018820-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:72703641:72706459:1 gene:Sspon.04G0018820-1P transcript:Sspon.04G0018820-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMEADMDGVLPRFDVDLLLDGHGDGDARGSSDSPCGSASGGPQPQDNKELEAVGGAVKERIARALRIYKDAAGRGCGGGSLVQVWAPARDGDRRVLATRGQPFVLPPPRCHRLFQYRTVSLTHAFPIGGAAVPGERGLPGRVFDAGEPEWTPNVQYYGTGEYARISYALIYDIQATLALPILDPATGSCLAVLELVTTTPRLHFAAEVDSLSKALQAVALRGSEICRRPAPEVCNDDEAAAQLAMSEVAEILNKVGEAHKLPLAQAWARCRRCSTGTEHASLTAAGTPFYLAGADPTLLGFHEACVEHHLRSGRGGLVEEAAAARRPRFCADVTKYSMDAYPLAHHARFCGLAGCLVVCAQLRRGGDASMDMGGDGGWDECVLEFFLPPDCRDGVAQKAAADAVAATIMERFGNGDLKAVVVSGLQDLAFDIVADGKCVLRPDPMTMADAPELELNYHGGDERDSAEEGLHLVSVMGTADTEALKMHHDEPHGGEDPRSQVGKKTTKRKGEKTVSLEELQRYFSGSLKDAAKSLGVCPTTMKRICRQHGISRWPFRKLAKANRSLDKIKRVFESVQGLTQAMVASAPAVAAASQQAPALVAATCRAPALPCLSSALRVASSQGSCQAPPPPLKEAAWRKPLPSGDASAVTVKASYRGNIIRFRVPSSAGVVTVKGEVAKRLGLEVGEFDVKYLDDDNEWVLLSCDADFQECLDVVPAFSGASTPSGSGTTAQLVVRLMVQEVAEIHGSSCGSSE >Sspon.07G0007760-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7A:20937550:20940033:-1 gene:Sspon.07G0007760-1A transcript:Sspon.07G0007760-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding REKFHFCPLKPHISCTFSYLLWQSHMLFSAAQLCF >Sspon.01G0021650-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:79489355:79502898:1 gene:Sspon.01G0021650-1A transcript:Sspon.01G0021650-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRPSTSSSRRSSSPFSAGHRRPPTASSSSSSYFSSGRLIPRSSPSSVNSSFYGGGGGGSTRSTTPSRRSSSVAPAPAPPPALAPVPFPSADELVIEDTSRSGDSISVTIRFRPLSEREFQRGDEISWYPDGDRLVRCEYNPATAYAYDRVFGPSTTTEAVYDVAARPVVKGAMEGINGTVFAYGVTSSGKTHTMHGDQNCPGIIPLAIKDVFGMIQDSPGREFLLRVSYLEIYNEVINDLLDPTGQNLRVREDAQGTYVEGIKEEVVLSPGHALSFIAAGEEHRHVGSNNFNLFSSRSHTIFTLNLIDLAGSESSKTETTGLRRREGSYINKSLLTLGTVIGKLSEGRATHIPYRDSKLTRLLQSSLSGHGHVSLICTITPASSNMEETHNTLKFASRAKRVEIYASRNRIIDEKSLIKKYQKEISSLKQELDQLRRGMIGGASHEEIMNLRQQLEEGQVKMQSRLEEEEEAKAALMSRIQRLTKLILVSTKNNIPALTDGHQRHNSVSEQDKLSTSQDSSTLVQNEGTTKDPLPDSLDEINQLRSGSGEHSSVTGSAADSMQAGFTASDHMDLLIEQIKMLAGEVAFGTSSLKRLIEQSIDDPEGTKDQTITKLTAQCGEKAFELELKSADNRVLQEQLQQKNAEINDLQEKFFRLEQQLSAKVDIFPEQETDCAQQEAIDLKSKLQSKEAEIEKLKFEHLKITEEHCDLINQNHKLSEEAAYAKELASSAAVELKNLAEEVTKLSVLNAKQAKELLVAQEMAHSRVHGRKGRTTSRGRDEVGTWSLDLEDMKMELQARRQREAALEAALAEKEFLEEEYKKKFDEAKKKELSLENDLAGMWVLVAKLKKGALGISDLNVDDRTVNLADITNGTKENKGEKNFALVEKQISDDSVKSLSTEGHRSPEFEPLLVRLKAKIQEMKEKDTDPLSDKDGNSHVCKVCFESATAADNKILDSKE >Sspon.01G0026200-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:116130247:116131654:-1 gene:Sspon.01G0026200-4D transcript:Sspon.01G0026200-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VSQSKLAFLASAEAPPLLSVLPKSSTEQSGLLIIGPEGDFTEEEVHSLKSAGAAPVGLGPCRLRVETATISLLSALMLWSDAKHQ >Sspon.04G0026520-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:54297457:54358463:1 gene:Sspon.04G0026520-2D transcript:Sspon.04G0026520-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQLQCLLQDMPFGSWRESELEPITKIAKGHLVMPVSFSTEVVDLVTKLLVVDENARLGTSGAEAVKKHAWFYGIDWKQIASGTCAVPEEITERVNSCIETLNEDLSASPSVLIKDPDDCTPEWIRIGDLNRYSLAVSKMDIGREEGQ >Sspon.01G0004020-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:9197410:9198227:1 gene:Sspon.01G0004020-4D transcript:Sspon.01G0004020-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLRPELAKPFSARPALPVRHPPTSLSGSGLSLNFSLPPHPGVGAPRRAYPRIEATARRGARTESAKVRNRRLQKKDVTKPRFADSRWLQFNGTATKPRLSVFCSNRQLYAVLADDHNKKILFYGSTLQKSICGDPPCGTMLASPARPGQEAAGRVGEELVRVCNELGISEVSYDRNGFARGDKMMAFEVPVSQHGFLPR >Sspon.05G0009070-3D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5D:28330328:28333950:-1 gene:Sspon.05G0009070-3D transcript:Sspon.05G0009070-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGRRLLLLPAALLHLLLVPLPPPVQARATALIVFGDSTVDAGNNNAIPTAARSNFPPYGRDFPFPPGRATGRFSNGRVATDFYSEALGLGRAFVPAYLDPAYGIRDMAIGVCFASAGSGLDVATSRVFRVIPLWKQLDMFREYKSRLADHLGAAEAHAVVAGAVYAVSIGTNDFIENYFALTTTRFLEFTLAEYTDYLVGLARGFLAELYGLGARKVGFTGLAPMGCVPLERARALGRCAEEYNAAARAFNAALADMVRELGGELPGAEIRVAEVYEFFEDMVRDPGRHGFARADVGCCGTGTYETGYACGAWAAAPAGTGTCPDADRYVFWDAVHPTERASRLVADHLMNTTFGRFV >Sspon.06G0015990-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:87250108:87252113:1 gene:Sspon.06G0015990-1A transcript:Sspon.06G0015990-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GRGACAAAARALPRRRGVVGGRGGAPLLRARAPRGARSAHGRGGARAGGAHPARGEAARRRAAAGVAVQVGWADARREVDPEAPTEHPAARRARRCPGDRRRSRAGAMAAGASRPGGPAAGSGRNAGGVGVDAAPAARGADADAVQGGRVRGVPGRGPGGAAAAGDAAAVLPQVPLRVRPPLARHPPGLPLLPRARAVRRHARQRLALA >Sspon.02G0036800-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:30908945:30911443:1 gene:Sspon.02G0036800-2C transcript:Sspon.02G0036800-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCAPINRDERYDGQGTRGQMSMEVATVAGGRWGDVSVEGQTGAPCPCVYKTTDYVLPTDMEDQARHILRRCRGLPLAISTIGGLLASRPKTSIEWRNLHEHLGAELESDLRNITKVIVSSYDGLPYHLKSIFLYLSIFPENHEIRRTRLLRRWMAEGYIAKNRDMPAEVVGERFYNELINRSMIQGSKVSYGVRADRCRVHSMVLKIILSKFIEENQLLFIEKHSNEIPQSKIRHLVVSRWKGRDEELQRINLSYVRSLTIFGDYPASLVSPKLRLLRVLDLEDTINLKNDDLKHIGELRHLRYLCLRGTDVSKLPSSLQNLRYLETLDIQDTQVTQLPRGIAKLEKLCYLLAGVNFSKDLLRKMESGKDKHKVGLLSCLCCNQGECCKVFNASVRAPEGIEKLQNLHMLGVVNVGNGNGVAARLKKLTNLTNLRRLGVEGLTEKQGHDLCQSIGELRRLQRLEVRSDSLTFLAQMGELQVPAHLVSLRLCGNLSSLPNWIGSLNDLGKVKLLGTQLKHEDIARFQNLRNLTLLGLWENSYIGDSLHFCTGTFPKLKFLDIDGLEKIEKVSIDKGAMPELKKLWMNKCPKLQDNNSGLSGVPDLLNLNELLLKKCGEKENLMKILQRQISAHLNRPRFLSGKSIVPASPSTPHTDS >Sspon.04G0023870-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:19195337:19196317:1 gene:Sspon.04G0023870-2C transcript:Sspon.04G0023870-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AGEASTAPPPPDGDGGELGPVPPLQVHGGRRRGRQSRDDDGAQEAPPPAPPARWLRELRRHPQPRRLVQAHQQAEAEAEAQAGLEAESGTGEEEDGQAEAGDDCAAVAASRPSRPGPGAHRAPGGALVAAGGGDHLPLLVVVSASGPGPTTSAASTGSAHPYHHRHQRQQRVPGRGGDAVPRAQPGARRGALRGLPRRGARPGRRGLAQRGRRQRDDALLPGAAVRRLLLVLLGGCRDDGRRGRRPAAHPDVRRQRRRARQRSARARGRPPRHVPVQGHRRPRGGGGGGSRQGRALRLRPGRQGRRAGGVRSARRAPLLPHHLQAVK >Sspon.02G0051420-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:76693198:76700044:1 gene:Sspon.02G0051420-1C transcript:Sspon.02G0051420-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VKVYWLLPGKQLHDGLRVIESDVDTLVMKQIVSRVKNFMLYLDQYNQIGDNNIWDDVVINLVADLPKVVSPVKVTYVDKNPMEKLLDFYSQLLRKEEDQGVDIEGSDYDDSDYDFEDGDDDLFEDNVDEEVVDGGSGKRKMLGLCLPFGPLAEAARPAQLRLPPAPACARARARPRQRRRLTRGRCSPTAHASPLQRHRAVLHLALSLLFTSPHPRAAVVAAALPRTPRASPPAPRHSTHHRSACISAPRSHTLSPVKQVKAAVASSPSRHDRSSGELPVRVNRPLSAPSSPPFHVHWLCLALAESAHRLSHLAVVGVGRSTMSRAATPPFPSASSLWSSCVSGSWCTGCASVRGVHWYPPHHRRSHRRRACRRRPPWARPTGKWVRLDEKVEGNQTGFWGAISFPSVSVKDRSLLVPLVMLNACLTFSWLNKGYDEDAKSEPKVRPGCRAVPGYCGSSLSRDVYPDSVIGHFKGENLENQLWACARSTTMAAWDRNMEKMKTWVRAWVRWLQQMAPNTWVRAFFRCTTSSRMFMTSGQALSAKIRKKILQNSEWANTCHVSLAGRGVFEVKDRDYQYTVNIYDKHCDCRRWNLTGIPYSHAISCLRHERIPAEDVIPQCYTTETCNVVYGFNVMPCFDKTNWMKMNGPNIETSIYEKKIGRPPKSRRKQPHEIQGKNGPSLSRHGIIIHCSWCHEPNHNSKGCSLKKAGIRPKQVADPVNVSLDHGPLPSSSFIDNNQPTARPLVLTTATKQGRSKRKNQAKKKN >Sspon.04G0038020-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4D:81733746:81733884:-1 gene:Sspon.04G0038020-1D transcript:Sspon.04G0038020-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVIRVAAAVGPRRGAPWRSGWSVASSVFGLGIGPAQNHPSLEVAF >Sspon.04G0032110-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:21546544:21550039:-1 gene:Sspon.04G0032110-1C transcript:Sspon.04G0032110-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MYVGPGPKPSSLNCQHCPGNLIPIPKVPLPITPPDLPLASRGLAQSRPHSAERLLPRKLPPSPLDAASLSLSFSSSFYPVAATETTPPSLSLPRPPASPRSDTAQEHLCCKLITGLKERIILVL >Sspon.02G0021160-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:83227595:83227804:1 gene:Sspon.02G0021160-2D transcript:Sspon.02G0021160-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVVTRAKKRRPKEEEERLANQNNDLPDGVLSDIVSLLPTKDGACTQVLSSRWRHVWRSAPLNLNLNMT >Sspon.02G0057440-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2D:58278703:58279095:1 gene:Sspon.02G0057440-1D transcript:Sspon.02G0057440-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSHSTPFGAPHPASPSVDPYRDQAGFLPLVPCHKCGTVFIGRVSQKPGSKGKRFYKCPLLEHCTTLRNSISITWSPKAFFLALVVRFEFRVPWRSR >Sspon.04G0013450-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:53475270:53482651:-1 gene:Sspon.04G0013450-3D transcript:Sspon.04G0013450-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCVSSKGSKRPPGYVDPNILAKETTFSVNEVEALYELYKKISYSIIKDGLIHKEEFQLALFRNSNKKNLFADRIFDLFDLKRNGVIDFEEFVRSLSIFHPDTPMAEKIAFAFRLYDLRGTGFIEREENYFFLTTVEQIVDQTFKQADMNDDGKIDPDEWKVFASKNPALLKNMTLPYLKDITMAFPSFVLNSGASDEEL >Sspon.02G0007690-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:22354113:22358792:1 gene:Sspon.02G0007690-1A transcript:Sspon.02G0007690-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding IADGEDKAQAIANPKQDEEGSISNQLLYYHHMELDCHIGCAISLCYLQPLASKVMNTAKGPITIEIYKDASAGVVDRFIDFKNNHFKGMPFRHIIKNFVIQGGDFDFDGAAQEWITKAKASGKNDLSPKHEAFMIGTAKNPNNKGFDLFITTAPIPDLNDKLVVFGRVIKGEDIVQEIEEVDTDEHYQPKAAIGIINIMLKQEP >Sspon.01G0021670-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1A:79600864:79602909:-1 gene:Sspon.01G0021670-1A transcript:Sspon.01G0021670-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAIRKQASKLREQVARQQQAVMKQFGGGYGADGVFADEAEAQQHSKLEKLYISTRAAKHFQRDIVRGVEGYIVTGSKQVEIGRQLNSRFSFGNKLCEDGKKYGTENTCTSGSTLSKAALSFAKARSMMEKERGNLLKAFGTQVAEPLRAMVMGAPLEDARHLAQRYDRMRQEAEAQ >Sspon.01G0002980-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:7982730:7986943:-1 gene:Sspon.01G0002980-1A transcript:Sspon.01G0002980-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQGESSSSDPKGKKDYSTAILERKKSPNRLVVDEATNDDNSVVALHPDTMERLQLFRGDTVLLKGKKRKDTICIVLADDTCEEPKVRMNKVVRKNLRVRLGDVVSVHQCPDVKYGKRVHTLPIDDTIEGITGNLFDVFLKPYFLEAYRPLRKGDLFLVRGGMRSVEFKVIETDPPEYCIVAPDTEIFCEGEPVKREDEERLDEVGYDDVGGVRKQMAQIRELVELPLRHPQLFKSIGVKPPKGILLFGPPGSGKTLIARAVANETGAFFFLINGPEIMSKLAGESESNLRKAFEEAEKNAPSIIFIDEIDSIAPKREKTHGEVERRIVSQLLTLMDGLKSRAHVIVMGATNRPNSIDPALRRFGRFDREIDIGVPDEVGRLEVLRIHTKNMKLAENVDLELIAKDTHGYVGADLAALCTEAALQCIREKMDIIDLEDETIDAEILNSMAVTNDHFKTALGTSNPSALRETVVEVPNVSWEDIGGLENVKRELQETVQYPVEHPEKFEKFGMSPSKGVLFYGPPGCGKTLLAKAIANECQANFISVKGPELLTMWFGESEANVREIFDKARQSAPCVLFFDELDSIATQRGSSVGDAGGAADRVLNQLLTEMDGMNAKKTVFIIGATNRPDIIDPALLRPGRLDQLIYIPLPDEQSRLQIFKACLRKSPVAKDVDLNALAKYTQGFSGADITEICQRACKYAIRENIEKDIERERRRKDNPEAMEEDEVDDIAEIKAAHFEESMKFARRSVSDADIRKYQAFAQTLQQSRGFGSEFRFPDQPTAAAGSAGAADPFASAAGAADDDDLYN >Sspon.06G0019350-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:279752:283365:-1 gene:Sspon.06G0019350-3D transcript:Sspon.06G0019350-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDGARVEAAPRLAQWRVDALPCYTYRKSLPFRIGLWNWYLSVERNNKQTCVKLFAENSNSPKNGPSAPIASFVTKLLISLPPNQQTIVHPGIFDKQLKHDGFVWAIDSTVTGRFVIEIEFLDLKVADPSGGEPASIWASRQIKQCSDSTALSSLARMLHEDILTDITINTADGSVRAHRAILATRSPVFRSMFSHDLREKELSTVDISDMSLDACRAFLSYIYGDVRGEEFLANRLALLRAADKYDIDDLREACHESLLEDIDTGNVLERLQTAHLYRLPRLKGGCLRFLVDFRKVYEMHDDLNAFLQTADRDLVAEVFHGVLAAWSGR >Sspon.05G0010100-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:20167712:20173450:1 gene:Sspon.05G0010100-3C transcript:Sspon.05G0010100-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGLLSKLRRLDAYPKVNEDFYSRTLSGGIITLASSVVMLLLFVSELRLYLHAVTETTLRVDTSRGETLRINFDVTFPALQCSIISLDAMDISGQEHLDVKHDIFKQRIDAHGNVIATRQDAVGGMKMEAPLQHHGGRLEHNETYCGSCYGAQESDGQCCNSCEDVREAYRKKGWGVSNPDLLDQVEPSDCKREGFLQSIKDEEGEGCNIYGFIEVNKVAGNFHFAPGKSFQQSNVHVHDLLPFQKDSFNVSHKINRLSFGEYFPGVVNPLDGANWVQHSSYGMYQYFIKVVPTVYTDINEHIILSNQFSVTEHFRSGESGRMQALPGVFFFYDLSPIKVTFTEQHVSFLHFLTNVCAIVGGVFAVSGIIDSFVYHSQRAIKKKMEIGKFN >Sspon.07G0020620-1P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8B:17830670:17832160:1 gene:Sspon.07G0020620-1P transcript:Sspon.07G0020620-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAYGLDVECPHIFDGTHFARWRNWMTCNFKFISPQLWWIVDVGFSFAIDKKNATQAQSKCLHLDSQATNVFYRSMDSSILCEIIDFESAHEIWVFLNEKYGAISNDDEPKKEAHEDVEHDHNKVVVEDCSTSRSSDDKNDHITRSLDKKNDNATSDASNDSTSCTLDGEDDGYESDASTSSSISSHGDTKVSIGGFVVDCDGPNFELLYKLSKALRNEMTKTSKLKNENSFLKTTCEQQKHLLYVTTCSHEELKLAHEELSVAHDNLAQEHALLTNKLSNEKLKTSESSSFGSNDQSHITNPCDVGKKHVSTSCDDLLIPCSSQLNACSTSMSCETNLLKENNELKSEVKNLSNKLERCYNSKVTFEHIMKTQRNLGDKSGLGFKKKLTRGERKQEKRMKRLLQKKLSHSMCYRCHEAGHLANGCPNIEKLKKMKEEERLKYVKCFKCRTWGHLTSMCPTKQLVKQQVKPQPKPLVEQDKHPKSKSRSIMKMLVT >Sspon.01G0002150-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:5924266:5932437:1 gene:Sspon.01G0002150-4D transcript:Sspon.01G0002150-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLTSSLLSRSTTGGSTARGVAAAAAISRPAADAAPSSSSPPARPTPRLRPSPASPFASGLAGRLFGGRRAAARSASSAAAVFERRFASAATRNSYDEILTGLKRPGGGDEFGKYYSLPALSDPRIDRLPYSIRILLESAIRNCDDFQVTGNDVEKILDWEKSAPKLVEIPFKPARVLLQDFTGVPAVVDLACMRDAMSKLGSDPNKINPLVPVDLVIDHSVQVDVARSANAAQANMELEFHRNKERFGFLKWGSSAFRNMLVVPPGSGIVHQVNLEYLARVVFNNGGILYPDSVVGTDSHTTMIDGLGVAGWGVGGIEAEAAMLGQPMSMVLPGVVGFKLSGKLKNGVTATDLVLTVTQMLRKHGVVGKFVEFYGQGMSELSLADRATIANMSPEYGATMGFFPVDGKTLDYLKLTGRSDDTVAMVESYLRANKMFVDHSQVEAERVYSSYLELNLEEVEPCLSGPKRPHDRVTLKNMKSDWLSCLDSDVGFKGFAVPKESQGKVAEFSFHGTPAKIKHGDVVIAAITSCTNTSNPNVMLGAALVAKKACELGLEVKPWIKTSLAPGSGVVKKYLDKSGLQKYLDQLGFHIVGYGCTTCIGNSGELDESVSAAITENDIVAAAVLSGNRNFEGRVHPLTRANYLASPPLVVAYALAGTVNIDFEKEPIGISKDGKEVYFRDVWPSTEEIAEVVKSSVLPDMFKSTYESITKGNPMWNELPVSTSTLYPWDPSSTYIHEPPYFKDMTMTPPGPQPVKDAYCLLNFGDSITTDHISPAGNIHPDSPAAKYLKERGVERKDFNSYGSRRGNDEIMARGTFANIRLVNKFLKGEVGPKTIHVPSGEKLAVFDAAMKYKNEGHDTIILAGAEYGSGSSRDWAAKGPMLQGVKAVIAKSFERIHRSNLAGMGIIPLCFKAGEDADTLGLTGHERYTVHLPTNVSEIKPGQDVTVTTDNGKSFTCTLRFDTEVELAYYDHGGILPYVTRKIAEQ >Sspon.03G0007760-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:20927854:20955784:1 gene:Sspon.03G0007760-1A transcript:Sspon.03G0007760-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LLLTSDPYAAVVVAGLHETTVAHTYVFRNLEALRWEARLLLPLAHAATSLEFHVKDADSFGSDLISVASLPAAAVLAAADAPIVSRSTTSITEKGKLRSWVGPNGQYYRELPCPSCRGRGYTPCKECGIDRSSLDCPMCNGKGIRMCLQCSGECVIWQESVDEQPWEKVRSSSPLKVKEDDEVDKLEININNPKRSKRTYASPSPEVAMKISRSLRSLNAQTGLFTKHMKLIHQDPELRAQRVAAIKRTKGTAAARKRASETQKAFFSDPENRLKRSIAMKARYVHKKFSQQYKATIGADFVTKEVLIEDRLVTLQIWDTAGQERFQSLGVAFYRGADCCVLVYDVNSNRSFDTLNTWHDEFLNQASPSDPKTFPFILLGNKIDVDGGKSRVVSDKKAMEWCASKGNIPYFETSAKEDYNVDNAFLTVAKLALEHERDQDMDSELRRPEHPQSSSASGCHRDAIYMMTI >Sspon.05G0013180-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:42019605:42021465:1 gene:Sspon.05G0013180-1A transcript:Sspon.05G0013180-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding HIRTVHQLDSPVSALCSPRSQKTLDSPVAALLTLAPRLAPFLQARTHPPACAPPPTDLRAAMANPAAPGGMRSFLQAVSTVTEEAPTPLRVVQMEGLAVLKIIKHCEEFAPALVTGQLLGLDVGSVLEVTNCFPFPIREEDDEADADGANYQLEMMRCLREVNVDNNTIGW >Sspon.02G0045800-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:87327690:87330783:1 gene:Sspon.02G0045800-3D transcript:Sspon.02G0045800-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSTLCSPPPLLWFVLFVIFFASGHGGPAAALELDTQAAYLAKMKEQFPGPGMSRWWDFTSPAPDYCRFHGVACDRSGNVTGIDVTSWRLVGRLPPGVCAALPALRELRMAYNDVRGGFPFGVLNCTSLEVLNLSFSGVSGAVPPDLSPLRALRVLDLSNNLFTGAFPTSIANVTSLEVVNLNQNPGFDVWRPAESLFVPLRRIRVLILSTTSMRGGIPAWFGNMTSLTDLELSGNYLTGTIPVSLARLPRLQFLELYYNELEGGVPAELGNLTQLTDIDLSENRLTGAIPESLCALRNLRVLQIYTNRLTGPIPAVLGNSTQLRILSVYRNQLTGEIPADLGRYSDLNVIEVSENQLTGPLPPYACVNGHLQYILVLSNLLTGPIPPAYAECTPLLRFRVSNNHLEGDVPPGIFGLPHASIVDLNYNHFTGPVAATVAGATNLTSLFASNNQMSGVLPPEIAGASGLVKIDLSNNLIGGPIPESVGLLSKLNQLSLQGNRLNGSIPETLAGLKSLNVLNLSDNALSGEIPESLCKLLPNSLDFSNNNLSGPVPLQLIKEGLLESVAGNPALCVAFRLNLTDPALPLCPRPSLRRGLAGDVWVVGVCALVCAVAMLALARRWVLRARRLAEQDGALASSRGSSASYDVKSFHKLTFEQHEILEALIDKNIVGHGGSGTVYKIELSSGELVAVKKLWVSTRRLRPLSSNKQVDWAAAVLTANSSNSDGGGWLGDRELRTEVETLGSIRHKNIVKLYCCYSGADCNLLVYEYMPNGNLWEALHGCYLLLDWPTRHRVALGVAQGLAYLHHDLLFPIVHRDIKSSNILLDADFEPKVADFGIAKVLQARRGGGADRDASTTTIAGTYGYLAPEYAYSSKATTKCDVYSFGVVLMELATGRKPIEPEFGDTRDIVHWVSGKVAAGAGAEADALDKRLAWSPYKEEMVQALRVAVRCTCSMPTLRPTMADVVQMLAEAGPPAGRTTKDTKDDKDDKDHHHAATP >Sspon.01G0014560-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:18680041:18681165:1 gene:Sspon.01G0014560-1P transcript:Sspon.01G0014560-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRRMGALHVVGEPRVSFQQQQPAAVVAKNGHGAVVEEIHGLIRVYKDGHVERLPAIPTVPCTWGSTAPDARGGVVARDVVVDPATGVWARLYAPMTATATSAGGGGSRPPVVVYFHGGGFCVGSAAWSCYHEFLAQLASRAGCAVMSVDYRLAPEHPLPAAFDDGLAAVRWLRHQAAAAASRAGAAACDDLSWWRARCGFDRVFLMGDSAGASIAFHVAARLGQGHLGALSPLTVRGAVLIQPFFGGEARTASEKSMAQPPRSALTLPTSDCYWRLALPAGASRDHPWCNPLSRAAPRLETVPLPAVLVCISETDILRDRNLELCRAMRKAGKCVEQAMYGGVGHAFQVLHNCHLSQPRTQEMLAHIKAFVSA >Sspon.08G0024280-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8D:65154831:65155356:-1 gene:Sspon.08G0024280-2D transcript:Sspon.08G0024280-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVDGAKSPDAVFEDVKAILAQLNTQANQGSSVSSRVQNNPLKRFVDLLCGCFGTQEARN >Sspon.04G0028860-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:68723857:68731173:-1 gene:Sspon.04G0028860-1B transcript:Sspon.04G0028860-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding KMTRLADFVGQRPSKEARDVGAAVKNDEIKQGGEINLLKRSTESVAPEIDDPEIRAVAYSRWQLPRQLLIADCRVPPRLLALTSRTTMLPEDISSGGRLPVRELPDRLRRSRLVRSPRDGEIRPVRPLDPSETSVMLPLALQVMPSQVQQLVLLVRHDAARPPPPSCDSPARNRRREAFSCMMQRVAGDARESSSAISARSKKGMATSWLRVVNTSSDRSQRALR >Sspon.02G0007450-1P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2A:21883102:21883824:1 gene:Sspon.02G0007450-1P transcript:Sspon.02G0007450-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLHHIDSLQIIEDLPVLKELVIQACNELQKISNIPLLEVLIVLGCSRLKDVTEVHLSHVRIVDREIRELPDWVATNASMLQTFTIVGRAELLERLLPNHEDWEIIRHISKVYANLPDESPFFTYTKSSADFHVDQRIGERGNPSALLAAEIPHEALNISLDNSVVRTSRVGVPRVPLRRISTLKRAIRRYLVPYLIMAAILMQVLSYLLQNRTSREIRLVQTLFIFFTTVFLLLLVFLE >Sspon.05G0027200-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:5B:49966974:49967288:-1 gene:Sspon.05G0027200-1B transcript:Sspon.05G0027200-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding QGGLRPVVSFLMKEAPNMRNAVDSLSDDVLADIFLYLPARSLCRYSVSVTSRIASSLTTITIRSFHRLSSTSSMAASRRAIVTSPASSVNDPPCPSCLSSFKKS >Sspon.03G0023750-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:96051983:96055619:-1 gene:Sspon.03G0023750-2B transcript:Sspon.03G0023750-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVAEGSASAAANEVEYQAGVQKLVDLLSKLNPAAKEFVPSAAASPPKKALSADAPVFDYHSIGGGDGATDAAFYVGFGNQHRRRGNGYINQGRRRTNDRVRRAEREDSIRRTVYVSELDHTVVDCRICGDPHSVLRFAFIEFSDEEGARTALNLGGTIFGFVDCRICGDPHSVLRFAFIEFSDEEGARTALNLGGTIFGFYPSKTAILPVNPKFLPRVTQLDVKKFFEELCGEVSRLRLLGDNAEGAIMALNCSGMILGTLPVRHDLPPPTSSISVYLWGI >Sspon.05G0000280-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:1683735:1685234:-1 gene:Sspon.05G0000280-1A transcript:Sspon.05G0000280-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPLLPPLLILLVIAPLFPTAAPKATTLPLYRHLPHVAEAAHHHPLSRLAAASLARASHLKRRGRGRGPSHHSQKGSSGGRPSIPATAALYPHSYGGYAFTASLGTPPQPLPVLLDTGSHLTWVPCTSNYDCRNCSSPFASAVPVFHPKNSSSSRLVGCRNPSCLWVHSAEHLAKCRVPCSRGANCTTPTATASNVCPPYAVVYGSGSTAGLLIADTLRAPGRAVPGFVLGCSLVSVHQPPSGLAGFGRGAPSVPAQLGLSKFSYCLLSRRFDDNAAVSGSLVLGGDNAGAEGMQYVPLVKSAAGDKQPYAVYYYLALSGVTVGGKAVRLPARAFAANAAGSGGAIVDSGTTFTYLDPTVFQPVADAVVAAVGGRYKRSKDVEDGLGLHPCFALPQGAKSMALPELSLHFKGGAVMQLPLENYFVVAGRAPVPGAGAGAAEAICLAVVTDFGGSGAGDEGGGPAIILGSFQQQNYLVEYDLEKERLGFRRQPCASSS >Sspon.03G0015440-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:69901969:69908494:-1 gene:Sspon.03G0015440-3C transcript:Sspon.03G0015440-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAPCCEKVGLKKGRWTKEEDEILAKYIKEHGEGSWRSLPKNAGLLRCGKSCRLRWINYLRADLKRGNITEEEEEMIIKLHATLGNRWSLIAGHLPGRTDNEIKNYWNSHLSRRAADFRDGVVVNVDLSKLPGGGKRRGGRASRGIVAAAKSAAAKENKAKGKKGKDDMGKNNVAAQAEQQQQLKGKEEEEDANVSATPRPQSDCATAAQSEEQAQASASGVTSDGPEEEDTLALSEEMVSALLAAPGSPKPEAGPDVSCMDGDSGPSGDSVGGPGGPSGDVAQELLDLDDNAIMDWDLMGLDISAADDMWDPLLWYYDDETLVPEPEGGGEGQQQQQQDEVMSDLFFLDNL >Sspon.05G0022000-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:4918657:4920141:1 gene:Sspon.05G0022000-1P transcript:Sspon.05G0022000-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGNLRTITYFDYATLKKATRDFNQKNQLGRGGFGPVYLVRFYGETMKHHAYGICTARRLMILFLHGFLFNAVGQGKLDDGRKVAVKQLSVGKSGQGESEFFVEVNMITSIQHRNLVRLVGCCSEGSQRLLVYEFMKNKSLDKILFGKHEIPRCPSPIGEGKTDAWLGCSWTRNCQQFAGGDGSPFLNWRTRHQIIIGIARGLQYLHEESNLRIVHRDIKASNILLDDKFQPKIGDFGLARFFPEDQTYLSTAGELTVKADTYSFGVLVLEIVSSRKNTDLSLPNEMQYLPEHQSKILELVDPKVQADGLDEKEVQQVCQIALLCVQPYPNLRPAMSDVVLMLTMKGDQSVPAPMKPAFLDRKSLKDKNVTSDTAMEMRSASYWMNTPSPMVDKPYDMSCGI >Sspon.04G0008030-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:23888035:23889468:1 gene:Sspon.04G0008030-2D transcript:Sspon.04G0008030-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTSKCFLFMRILILTTMAISVAMNVAIIGALVTKRDCKFAQLMRLPDIFLVLFVLLCFDFSIYLAFNLLWPARTSVDEKICSQVLLENGHDYKVGNKRNPLTDHLLGELEDTIKMVGIGHDPVLASECETTLQEQVMVFDQQDETFDIGETPLSKKSKDHLGQTVGKETPIFEALHVDTTDSVSCHDSLFLSEHECNARNLILPYRQASTSESHSVKNMLAMINYLAVEGFANGEVVRDAVSTALSQQVAELISIRRKEINDTVRFCNEYASRMKSKVQVFFPSHHQFAGILDDFWGNLFDLHGKLTEKGNAIRLDLLIGMDVEEIKSCPCYFDVLPRGNNMCPEHGNCTLPVVVAFRKRIMDSFLTEDPSILKDEEVSYFAMENDQVQYEFPIGMGSPLEELNKMEVLVQKCPSQQVAQQASKTNVYFSYKIRVYFSYNELKGLLLTSFRACILNVSHIKKADWLIDNRGGYDEKL >Sspon.04G0017550-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:63569714:63575189:1 gene:Sspon.04G0017550-1A transcript:Sspon.04G0017550-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MANLAAQLAAVLQACIKRSGGPKPSRAHARAAHARVVAAGLAADTFLLNRLVELYSLSGLPCHALRAFRALPRPNVYSYNAAISAACRAGDLAAARDLLGQMPDRNAVSWNTVIAAVARSGSPGEALEMYQGMLQEGLAPTNFTLASVLSACGAVAALDDGRRCHGLAVKVGLDGNQFVENGLLGMYTKCGSVADAVRLFDGMASPNEVSFTAMMGGLAQSGAVDDALRLFARMSRSGIRVDPVAVSSVLGACAQACTGDYNVARAIRLAQSIHALVVRKGFGSDQHVGNSLIDMYAKGMKMDEAMKVFESMSSVSIVSWNILITGYGQLGCYERALEVLDFMQESGFEPNEVTYSNMLASCTKARDVPSARAMFDKISKPTVTTWNTLLSGYGQEELHQDTIELFRRMQHQNVHPDRTTLAVILSSCSRLGILELGKQVHSASVRLLLHNDMFVASGLIDMYSKCRQVGVAQIIFNMMTERDVVCWNSMISGLAIHSLNEEAFDFFKQMRENGMFPTESSYASMVNSCARLSSIPQGRQIHAQVLKDGYDQNVYVGSSLIDMYAKCGNMDDARLFFNCMIVKNIVAWNEMIHGYAQNGFGEKAVELFEYMLTTKQKPDSVTFIAVLTGCSHSGLIDEAIAFFNSMESNYGITPLVEHYTCLIDALGRAGRFAEVEAVIDKMPYKDDPILWEVLLAACVVHHNAELGEFAAKHLFRLDPKNPSPYVLLSNIYATLGRHGDASAVRALMSSRGVVKGRGYSWVNHKDGARAFMVADDLGSNVGEPTMFSDNEDTSGMTQNCIIRAGIFHIEPRSSPLSSEIAAAKASSDGSMCGN >Sspon.03G0013380-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3B:48693449:48694236:1 gene:Sspon.03G0013380-2B transcript:Sspon.03G0013380-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAGGGAGKLKLLGAWPSPFVNRVRVALHLKGLEYENVEEDLTNKSDLLLASNPVHKKVPVLLHGDRPVSESLVIVEYLDDAFPGAGQALLPADPYERAVARFWAAYVDGKLHGMMVKAILGATEEERAAATADALAAMDTLEGAFAECSGGKEFFAGDAPGYLDVALGGFIGWLRAWDKVGGVKLLDAGRIPRLAAWAERFAALDVAKEVIPDPDHIAEFGKVLKARSAAAAAGN >Sspon.01G0002680-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:7246454:7248714:-1 gene:Sspon.01G0002680-4D transcript:Sspon.01G0002680-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPGGRNGLRDEDDDMAEHAEAFGGASEDEDVPPHLRALANAAQTGDVAALVAALDNHDGSIDVPVEDGDTLLHLACLYGHLPCVQLLLERGASLECKDEEGAIPLHDACAGGFTEMVQYILNFAANKDGCVVRILNTVDSEGDTPLHHAARGEHLDVVKLLLEAGASPKKENTYGQTPADMADQDTEVRTLLTAKQIEASTHMSDN >Sspon.07G0025970-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:41804040:41813168:1 gene:Sspon.07G0025970-2D transcript:Sspon.07G0025970-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSWLRSAVSRAVEAGGRSGVARAVKGYADAVAHHAGQAVADILHDRMGAQNYKSFKKTVARLEEAAVSCHGGERIELLKRWLGALQDVDAEHGGSDLKASEAHDPSGEMDTLKAPMVLFYDADIDGAPMNFRDVFLYSQALEGITLSMILEAPSEEEVSLLLEIFSICLTGGKEVNQEIMSNVQDLAKAFSEYKDEVLVKREELLEYAQSIISGLKRNADILRIDAETLELQRKLDEKQKSRAQTPEDQDKTVEELLLKKKSITPDSLASSSSKAEQRILEHRRQKEDALNFRVKKENEVSASEKELLDEITELEKQRDELEVQLKKVNISLNAAAGRLKQTREERDQFDEANNQIIFSLKKKEDDLSKSIALCNVESNVVKIWISFLEDSWKLQSSYNEQKDKKTCDELEKCVSDFLKSTKHHLSAFKEVLSQLIESIKTYVDNLAVLISRKEEKEHGDDEAFERTNPHKSLEEEYLETEKKIIIAFSIVDHIKKLFYSEQGANSRRDDPEVKSLIEEIEKMRESFESIERPTLSIESEQAKPLPVEGSKLSPSPLQAPSTPKAAHVDSPKSPMKPEQHFDSDAELATLGSELGKEDKEYSGEEISGWEFDELEEDLKS >Sspon.02G0020760-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:67581561:67587291:-1 gene:Sspon.02G0020760-1A transcript:Sspon.02G0020760-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GCGACVVLIAKYNPKTDEVTEFTASSCLTLLYTINFCSVITTEGLGNSHDGFHAVQERMSGFHASQCGFCTPGMCMSIFTSLINADKSKRPEPPKGFSKLKVSEAEKAFSGNMCRCTGYRPIVDACKSFASDVDLEDLGLNIFWKKGDRNPDVSDLPSYTLGGGVCTFPDFLKTEIKSLLDHLNNPCIAPSREGWYHPRSIKEYYKLINSCLFSDSVKVVVGNTSSGVPGYKDQDLYSKYIDIGGIPELSNIVKMESGFEIGAATPISRTIEILEEECESISSPNGSVVFRKLANHMSKVATPFVRNTASIGGNIVLAQKFPFPSDIATILLGAGAIVCLQVVAERRQITLEEFLEQPPIDATTLLLSIFIPHWISDSGTNTSLLFETYRAAPRPLGNAVSYVNCAFLGHASVDEQSDTLVLSNMRLAFGAYGTEHAIRAKKVEEFLTGKALTASVVLGAIKLLRETVVPMEGTSHPEYRVSAAVGFLFSFLSPLSKGIPDPGKSLTSSSADSSDTDDVRNLPLSSRRESFSSDEYKPVGEPIKKYGVELQASGMEAVYVDDIPAPKNCLYGEFIYSTQPLAYVKSIKFKSSLASEKIISFVSAKDIPSGGQNIGSSFTFGDEPLFGYPIAEYAGQALGIVIAETQRYADMAAKQVIIEYDSEDLSPPIITVEQAVEKKLYPKEVGDVSKGMTEADHKIPSTEVKLASEYHFYMETQTALAVPDEDNTLVVYSSSQYPELAQSVIARCLGIPFSNVRVITRRVGGGFGGKAFRSFQVATAAALCAYKLRRPVRMYLNRNTDMVMIGGRHPVKAHYSVGFRSDGKITALHLDLLINAGISPDASPMIPGTIISSVKKYNWGALSFDIKLCKTNNSSKSVMRAPGDTQGSLIADAVIEHVASVLSVDANTVREKNFHTYDTLQLFYPDSAGEASTYTLHSIFDRLASTSSYLDRAESIMKFNSNNKWRKRGISCVPLIFKVEPRPAPGRVSVLNDGSIVVEVGGIEIGQGLWTKVQQMTAFALGKLWPDGGESLLERVRVLQADTLNLIQGGLTAGSTSSESSCAATLQACNMLFDRLKPVLDRLQQQSENASKDNVNLSASAYWVPGQVSSKYLNYGAGISEVEIDLLTGAITLIRGDLVYDCGKSLNPAVDLG >Sspon.07G0009240-2P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7A:25985136:25987165:1 gene:Sspon.07G0009240-2P transcript:Sspon.07G0009240-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKEKSHINIVVIGHVDSGKSTTTGHLIYKLGGIDKRVIERFEKEAAEMNKRSFKYAWVLDKLKAERERGITIDIALWKFETTKYYCTVIDAPGHRDFIKNMITGTSQADCAVLIIDSTTGGFEAGISKDGQTREHALLAFTLGVKQMICCCNKMDATTPKYSKARYDEIVKEVSSYLKKVGYNPDKIAFVPISGFEGDNMIERSTNLDWYKGPTLLEALDQITEPKRPSDKPLRLPLQDVYKIGGIGTVPVGRVETGVIKPGMLVTFGPTGLTTEVKSVEMHHEALQEALPGDNVGFNVKNVAVKDLKRGYVASNSKDDPAKEAASFTSQVIIMNHPGQIGNGYAPVLDCHTSHIAVKFAELITKIDRRSGKELEKEPKFLKNGDAGMVKMIPTKPMVVETFSEYPPLGRFAVRDMRQTVAVGVIKSVEKKDPTGAKVTKAAAKKK >Sspon.03G0043780-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3C:84015698:84016342:-1 gene:Sspon.03G0043780-1C transcript:Sspon.03G0043780-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGYDEPLPVTSSPMTPDQLTAAITDLVRSVAIIQFYLGIPLQSASWPLPQSAVASLPPVFPYGMPGYGTTLLPFQDVQPTLQQIEQALDITTEPTGKMLTCKVSTAVRLQAAARGLLARRLLQQMRQPMHEATLATVDLSLADCDLAPWDDHQQPRRPAAVFKREHGVFPAGNNLQLCGSGGRGVAFLLVSGGYAPYSATGRREDVSAGRYRD >Sspon.01G0041770-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:55039519:55042827:1 gene:Sspon.01G0041770-1B transcript:Sspon.01G0041770-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIGDVTIMQKGKADVISDGQIGILENFFPANSERLCAETGLQFRRKFDAYHGVIDLEKTSENTSLAESLQLCRDWNTSHGTEPIGGKEISINDLVIKSLANPGSAPTPPSLAPTSETPRASLLRP >Sspon.05G0011220-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:34300057:34302695:-1 gene:Sspon.05G0011220-3D transcript:Sspon.05G0011220-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRVLPPALLPLILLLLLPLSARDTVAAGEDFPRDGRVIDLDESNFEAALGAIDFLFVDFYAPWCGHCKRLAPELDEAAPVLAGLSEPIVVAKVNADKYRKLGSKYGVDGFPTLMLFIHGVPIEYTGSRKADQLVRNLKKFVAPDVSILESDSVIKTFVENAGTSFPMFLGFGVNDSLIAEYGRKYKKRAWFAVAKDFSEDIMVAYEFDKVPALVAIHPKYKEQSLFYGPFEETLKMLNDDQRKVVLTILEDDSDENSTQLVKILRSAANANRDLVFGYVGIKQWDEFVETFDVSKSSQLPKLLVWDRDEEYELVDGSERLEEGDQASQISQFLEGYRAGRTTKKKISGPSFMGFLNSLVSLSSLYILIFVIALLVVMVYFAGQDDTPQPRRIHEE >Sspon.07G0021580-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:4139606:4140481:1 gene:Sspon.07G0021580-2D transcript:Sspon.07G0021580-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPARSRSPAIEPPPAVTGLRSSKISFRSRKIVKTPSAKAKPLATTALAPPAPAPPVLPALSTPGELAAALRHLQAADPLLAAVIASTEAPTFAATPSLPAFHSLARSILYQQLATSAADAIYARFLALLPSASAAVTPVAADAVTPAAVLALAAADLRTIGVSGRKASYLHDLAARFAAGELSDSAVAAMDEAALLAELTKVRGVGEWTVHMFMIFSLHRPDVLPCGDLGVRKGVQELYKLKALPNPEEMAALCERWRPYRSVGAWYMWRLMESKGAAAKKKNKGNASS >Sspon.01G0050520-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:4294215:4294781:1 gene:Sspon.01G0050520-1C transcript:Sspon.01G0050520-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPARRLLQTNSAQYPTLPAAEAPSPLAVDSDVVVILAALLCALICVVGLAAVARCARSRAAAPSAARRGLKKKALRALPSLAYEDAVAAADGEAKVLAECAICLSEFAPREEVRVLPQCGHAFHVACIDTWLAAHSSCPSCRRVLVVADAADKRPPQPKRCGKCDAAMDEEASSSSGSGGDMAAGS >Sspon.01G0006500-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:20577342:20577973:1 gene:Sspon.01G0006500-2B transcript:Sspon.01G0006500-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEGAKAMLAKPIQLADQVAQQAGYQCPPDGLHRAAVPRQEARRAPAAGGAGRAVRAPRRARHGRHGAGAPQGRGHGRALLPEPLPPPPLLHAQPGVGAPAHPRLARHRARGHRLAHPHLVPAGRRRRRPAGAPQHRAERARAQHDLGQHRAPPHRRPRRAGRRGCDARLPRPRQLPLRQVHRRGGRRRAPRQAAQGRHRRRGRRPRPRR >Sspon.07G0030930-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7C:14899645:14899935:-1 gene:Sspon.07G0030930-1C transcript:Sspon.07G0030930-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSVLDSTSPTRRSVASPPLPREKNGSRTVVLKRRLPCHKSHRIRAVLQRFVDRPLPPAPYPLAPPLMAVHLHLDLHPLVPPLTAVHLHLDPIL >Sspon.07G0027200-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7C:60327305:60336242:1 gene:Sspon.07G0027200-2C transcript:Sspon.07G0027200-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARICERKTSDINQIKCIKDGIDRLLVKDEEIKDRWREYFDKLFNGENEGPTLELDDSFDDTNRRFVRRIQEAEIGEALKRMKGGKAMGPDGIPIEVWRCLGARAIVWLTKLFNLIFRSNKMSEEWRSILVPIFKNKGDVQSCTNYRGIKLMSHTMKLWERVIEHRLRRVTHVTQNQFGFMPGRSTMEAIFLLRQLMERYKEQKKDLHIVFIDLKKAYDKVPRNVMWWALEKHKVPTKYVTLVKDMYRDVVTFVRTLMDEVTRDIQGDIPWCMLFADDVVLVDDNRAWVNRKLELWRHTLELKGFRLSRTKTEYMRCDFSVTRHEEGDVSLDGQVVAKKDTFRFSRLVEIVSSFWRPLGQNGASEAERRDRVRNDDIRDRVGVAPIEEKLIQHRLRWFGHVQRRPPEAPVRSGVLKRADNVKSGRGRPKLTWDESVKRDLKEWNISKDLAMDRSAWRLAINVPEP >Sspon.05G0000710-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:1905645:1914442:-1 gene:Sspon.05G0000710-2D transcript:Sspon.05G0000710-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LSKVEVMGAEVAASGGGSLPGSLGRKNRYARVDDVLPQEPEGGSGVRVRGGAGSCRRYVFACSVFASLNHILLGYGEKSSTGMDAIGRKWTIGLAAAVFQGGAAIMALAPSFGLLMAGRLLAGIGIGIGIMVAPVYISEISPETLRGSLGSLPEIFISFGILIGYVSNLAFAGLPDNINWRVMLAAGILPSISIAFVLLVIPESPRWLVMQGRAGDARAVLVKVSDSEEEAEERLAEIEEAARASTAFGNGKEAWRELLRPSPVIRRMLVTGLGVQFFQQATGIDALVYYSPTIFRDSGITTESQLLGATVAVGVVKTVFIVIAIVLVDRVGRKPLLYVSTAGMTACLALLAASLSLLKSGALPGGVAVGLAILTVCGFLTFFSVGMGPINMVLSSEIYPLRLRAQAVAVGFALNRMASGAVAMSFLSICRAVTVAGAFAAFAAVSALSVAFVHLCVPETSGKALEEIESLFGGGGVSVAHGNGGPGEVELGDAERLEHKRL >Sspon.01G0010700-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:30340130:30342261:-1 gene:Sspon.01G0010700-2C transcript:Sspon.01G0010700-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQKRWKGCIFFSLAFLLCNASGVVLMASENSPSEFAEIVQSKQKRQARVCGPDPALLSSLAGIGDAEVMVTIPNEQLEHVAEFQEEADLWVAANVARFVPATRITHVLAGDDVLATSSPGSSAYFLVPAMRNLRSALAAAGLGGRVKVSSALSGEALADPAWSGVVAHVLRFLESSGSPLFLKSRPLEEASDAKVDAAYAAMRALGVSRVPVIAADLAAGGGVAVVPYYYYGYGYPGAGGQRRSLATGTFCVALQSADTAALQAGLNWACGPGHADCSAIQPGGACYQQNNLPALASYAYNDYYQKMASTGATCSFNGTATTTTNDPSSGSCVFAGSSTAGGSNSSVPVGASPPTSLSPPTGFTPPVGSSPPSSSEFTPPSGFTPPGGGFGPSFGSPPGSFNGTGSFGPSGTLSPYNGGSRGLSRAGFTALSAAAVAVLLVSMDA >Sspon.05G0038460-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:43279339:43304065:-1 gene:Sspon.05G0038460-1D transcript:Sspon.05G0038460-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPFVLLVVVAALLLMWTPAVVAAPEEHLVTGLPGFHGAFPSKHYSGYVTVDERSERSLFYYLVLSERDPATDPVVIWLNGGPGCSSFDGFVYGNGRQVLLYCRRPFNFEPGSSPGSLPKLQLNPYSWSKVSNIMYLDSPAGVGMSYSLNKSDYITVKLCHLSYTSPGEPDDDADSTYDFNSFVPFAHGMGLISTDMYEIICPREDRQSALGNVSFPEYINRQHLDVKASCCGTFFGAVDNLCQEKIDRVRWELKDLNKYNILAPCYHHPEIQEIEFSNSSLPQSFRRLGETDRPFPVRKRMAGRSWPSALLSRMVTFRCGQALVVGHFPARYSDEVATTWLDDEDVRAAIHAKPKSLIGSWELYTARIDFTHDTGTMLTYHKKLTGLGYRVLIYSGDHDLCIPYPGTEAWVKSIGYQVVDRWRPWYFGDQVAGYTEGYGHNLTFLTIKGAGHAVPEYKPKEALAFYSRWLAGENLYMPAGVLVRFFLMNLTLAVLWGGRWPCRYVTVDERSERSLFYYLVLSERDPATDPVVIWLNGVRDAPASTASSTGTVGKSCSTVVPGSSPGSLPKLQLNPYSWSKVSNIMYLDSPAGVGMSYSLNKSDYITGDLKTAADAHKFLLKWFELYPEFQLNPFYISGESYAGVYIPTITDEVVKGIERGVKPRINFKNLPKSVQGYLIGNPATDVDYDFNSFVPFAHGWASSQLTSSCCGTFFGAVDNLCQEKIDRVRWDVKASCCGTFFGAVDNLCQEKIDRVRWELKDLNKYNILAPCYHHPEIQEIEFSSLPQSFRRLGETDRPFPVRKRMAGRSWPSALLSRMVTFRCGQALVVGHFPARYSDEVATTWLDDEDVRAAIHAKPKSLIGSWELYTARIDFTHDTGTMLTYHKKLTGLGYRVLIYSGDHDLCIPYPGTEAWVKSIGYQVVDRWRPWYFGDQVAGYTEGYGHNLTFLTIKSFVFHMVVATLQGAGHAVPEYKPKEALAFYSRWLAGEKL >Sspon.01G0023420-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:81802565:81813463:1 gene:Sspon.01G0023420-3D transcript:Sspon.01G0023420-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQMTMPSFAVTSPSSHGGTLWALHARHSQRLHKRHVRSQVRAVTQAQLQYQKLGDSDLLISEVTLGTMTFGEQNTEKEAHDMLSYSFDKGVNILDTAEIYPLPFKKETQGRTDLYIGRWMQLKPRDKIILATKVAGYSERFTFLRDHAEVVRVDAANIKESVEKSLKRLSTDYIDLLQIHWPDRYVALFGEFGYNPTKWRPSIPFEEQLKAFQELIDEGKVRYIGVSNETSYGVMEFVHAAKAQGLPKIVSIQNCYSLLVRCCFEADLVEVCHPHNCNIGLLANSPLAGGVLTGKYLDDNTGISKGSRLNIFPGFMARYNASLAQEATNEYIRLAKKHGLTPVQLALGFVRDHPFTTSSIIGATTMDHLTENIDAFTSAPRPLPQEVLDGIDDLFKRYRDPAIL >Sspon.07G0000870-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:1911549:1916508:-1 gene:Sspon.07G0000870-1A transcript:Sspon.07G0000870-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Single-stranded DNA-binding protein, mitochondrial [Source:Projected from Arabidopsis thaliana (AT4G11060) UniProtKB/Swiss-Prot;Acc:Q84J78] MLEFLYVVGDGVLRLMNLLARFVSPILLRSGQLLCTMHQRFTMTRVSSGLLKGLRRVMEQQRISTGRQSRVWSSTVSFSDLDEKGDMEYDDNRPDSKRELRPQSVDPKKGWEFRGVHRAIICGKVGQVPVQKILRNGHTVTVFTVGTGGMFDQRVIGPNDLPKPAQWHRIAVHNDQLGAYAVQKLVKNSAVYVEGDIETRVYNDSVNDQVKNIPEICVRRDGKIQLVKSGDSAANISLDELTSEHKPQSHFPPKFPLTLSMYSWVQSSLRLQQAHLTDSMSIFSAHESKAWTLPIETLASSHRGIAITGRAAVDLGRRSLFAMFPGLVNVSLSIEWKLANCTPGGLTERTRQCKEVPNATASLLREQSDKELS >Sspon.07G0021330-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:2882740:2885567:-1 gene:Sspon.07G0021330-2D transcript:Sspon.07G0021330-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding CDACFVMVYMEVKLFAGLHTGQGSSEGSHGLQDTKEHEIRKGKAALVSTELLREDLVQSAVSFLKHPKVVASSDGQRQSFLENKGLTMDEIDEAFRRLQDINDDPPNPDRPISEPRMAPKPKPWEKQGQGSSAWDLKSPSKETNVLSSEVQHDGTNKAAQSADGSDQGESLLQAEVAAGSESPAIPNNYKQRLLGQNPDEQGHMLS >Sspon.03G0018050-3D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3D:42919165:42920640:-1 gene:Sspon.03G0018050-3D transcript:Sspon.03G0018050-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGGDYHHQPRHCVALSLFILVSATLAFLAYVACSPVPGGAAAGGLYQWWRWQGSASTSSGELIRTHVGDGARSGVVAARTPTSLSHIVFGIGGAARTWGHRRGYVELWWRPGQTRGHVWLDEEPATPWPAATSPPYRVSADASRFGRRASASRMARIVADSFAAVVTAANGTAGEDGGGEVRWFVMGDDDTVFFPDNLVTVLRKYDHEDMYYVGASSESVAQNAVHSYGMAFGGGGFAVSYPAAAELAKAFDDRDGGGCLDRYRDLFGSDERVHACLSELGVPLTREPGFHQLDFRGDAYGFLAAHPVAPLVSLHHLDLIQPISPHGRTSLDAVRSLMDAYRHDPARTLQQTICYHHDGRGHNWSVSVAWGYTAQVYPWAVPAHELEAPLQTFESLRKKTADGLFVFNTRPWRPDSACARPLTFFLSRVRNEPAAAAAATVTEYTRHAIGKPPEKECDMPGFRSAAAVRTVRVLAPKMDPSDWHRVIDH >Sspon.04G0020130-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4A:70820577:70820715:-1 gene:Sspon.04G0020130-1A transcript:Sspon.04G0020130-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding WVTLATTASPRCGATGTAASTTRSSPSTSSSPSGPDSSTSSRYGSR >Sspon.07G0001140-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:1892786:1894437:1 gene:Sspon.07G0001140-3D transcript:Sspon.07G0001140-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGNGCGKAEGDGGKGTDSPPRGMRSKGVKGVWADIGAKRRNILQPHGCPDANVRLVVWALVMPIWKDFSKKKSNGFPLTTAAARVTHTTPKSPNCPRGPAPTPHAHPGSKPEASPVAWPGPGSSRLPCFCFPTSLKAAPRVRPTSRSRHAALGLLPVTSLATPPTPDPMQVLRLLAPRRGTATTITAPAAPEPADSSDAVDAEEYDDEGSFFELDLSVRASSSSAGSASSGSSDDSDDASLMDLDFVISLHRSRSASPSFHFPAPPLPLLKPGSGGLRTLSFAGSTASTRNAALYGGRRSSFALSSAGSARSLRLFMESPASPADADEDPEPQPRRAPSRDVIRRYLTKISTRLRRSVRPRRGGEGRLRKSRSASAAVTTTTTTAPAAAPSRRDDSLAEKQDGIASAIAHCKESLHRASLPLSLPDCGSPLPRLRPTGYDLQMAEPAPDGNGGWMQKKALAGMVQYNSAKRTSTNELGVLCSR >Sspon.04G0014170-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4A:52283027:52284196:1 gene:Sspon.04G0014170-1A transcript:Sspon.04G0014170-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding CSETAEATNNLGGKASAKGASTTTAAKHPLWKRPWWQSIRQATNNLSGKASAKDASTTTAAKHPLEKRPRQQSIRQNGSMAAKHPPETMTIKVAKHPLMRSHHAHISKGHPGRGHTSVAAALPGVTSRPVDHPVATAQPVRLDLVAPARDPDLGVPDLPPHDQKLPAAALGRPWSRPSRRPPKRGYRRHDAQAMAEREVANKGRQTGGPSAAAGPRDYTAGHPTHRQRRKVSRQRARTWEVHKHGDIH >Sspon.05G0003540-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:9072523:9086066:1 gene:Sspon.05G0003540-2P transcript:Sspon.05G0003540-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASYMDLYSNQVIVGPDKVVYFFDTKGGTPISRLILNEIEVLHRLGQDPVNRVWISFTQMLKDVCDNYAMCSAFGLCNMDTASTLFCSCVVGFSPVNPLQWSMRETHGRCRRNVPLECGNGMTTDGFKMVRAVKLPDTDKAMVDMGVTLEQCRVRCLANCSCVAYAAADIRGRGVAMAMSYVRYVDKGQDLYVRLAKSKLVEKKRNVLIILLPVTACLLALMGMFLVRIWRKRKLRGKRRNMDIQKKKMMVGHLDETNTLGDENLDLPFFSFGDIVFATNNFAEDNMLGQGSFEKVYKLIEINILSHDSMEIIRLLVQFNDGEIMQGILGENTEVAIKRLSQGLGQGIDEFRNEVVLIAKLQHRNLVRLLGCCIHGDEKLLIYEYLPNKSLDSFIFDAARKNVLDWPTRFRIIKGISRGVLYLHHDSRLTIVHRDLETSNIIMARIFGGNQQEANTNQVVGTYRPLMSTVVFMLENETTLLSVPKQPLYFSQWYLEAQGTGENTNSSINNMSVSVLEGRTCPSSVCAREQSRRCGKGDHSAILQGMNTPELPVFVRNRSFDGCAVECRSNCSCMHMAYAYANLSSTISGGDSSIEVLAMVWGRTLASLARASTATNLMHQPSLGATGMARLLCLTALIFPIILCLTASAAGASDTLDGGSNITDGATLVSAGGSFTLGFFSPTGVPTKRYLGIWFTASPEAICWVANRETFLSNTSGVLVISSTGSLRLLDGSGHTAWSSNSNTTTNSSAPAVVQLLDSGNLVVREQSSGDVLWQSFDYPSNTLLAGMRLGKNPQTGAEWSLTSWRASNDPATGDCRRVMDTRGLPDTVSWQGNAKKSRSGPWNGLWFSGVPETERVSNSDAYSNQVVVRPNEIAYIFNASTDAPFSRLVLNEVGVLQRLAWDPASQVWNVLAQAPRDLCDDYAMCGAFGLCDMDTTATLFCSCVLGFSPVNPSQWSLRQYGGGCQRNVPLECDDNGTTTDGFMVVWAVKLPDTDNATVDMGATVEQCRARCLANCSCMAYAAADIRGGGDGSGCVMWTNYIVDIRGAAKIVLPVTASLLAATAAGMYLVWICKLRGPRQNNGSGKKVTPGTESTSNELGDEEDLELPFFSFRDIVGATNNFSEANMLGRGGFGKVYKVTTMKFLHNTSVVRNFRHFLNDEIKQGVLPNNREVAIKRLGKGSRQGAEEFRNEVVLIAKLQHRNLVRLLGCCIHGDERLLIYEYLPNKSLDCFIFGSGYMSPEYAMDGVFSVKSDTYSFGVILLEIISGLKITSTQFTSFPSLLAYAWSLWKDGKATDLVDSSIVGTCSPVEALRCIHIGLLCVQDNPNSRPLMSSVVFMLENETTLRLVPKQPMYFSQWYLEAQGTGENTNSSKNGVSFSMLEG >Sspon.03G0022780-3P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:37402060:37403791:-1 gene:Sspon.03G0022780-3P transcript:Sspon.03G0022780-3P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DGQGGALVPHHPGQEGAAGHHQRPAEASAPAGPGSASAGHRQALELRQVVAGLGGGGGRLRGRRLGRRGQRGDRARRGGRVAQVRRVRRDGPRAGAEQARHRRGRRHRRRCRRGGGRGAGGRRRRKTHQQGTRAAARRPRRRRRQDPDGVPGILGEEGVARAQGAREAAGAGARLPRAQAGVRHAAEHAGARPRAGRRARQTRRRRHRAPAPPPPAARPPALLAARAVRGRHAERARRGGVQQQPAAVGERRVLVVRLRPEPQDRGGGPGPPQVALLVLAPGQLPAARRRRGQQRRRGLVRQPRVVVAAAVLPVRRGAAAHRRADLAPVPGLRLVRAREGPPGHGAEHAAVPAAAGLRAGHPDQVRRGLLLAVAQWLPELHVEHTGVGGQVAVSERAEAADRACRLRRRRSAEAGAAQRGGRRGVVPREPERRRGHAARVRRRPGAGGVQLQDGHRWPHGPLAGGCRRRERPPGVLAEEVV >Sspon.02G0000230-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:1044666:1046884:-1 gene:Sspon.02G0000230-1A transcript:Sspon.02G0000230-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATPEEFLGQRDPEPEPFSPSVFLDLPPTPSEEDPAASTDDLALTFISRILMEEDIDEKFLYHYPDHPALLQAQQPFAQILSDAGASSILSPPTAMEAAPAPFRHPPTFHQSADQTNAFQNCGGAGIQCSELLADPEEETTASITSSRTGDREHGALVSTFFSDQNRVNMDMLNQAFLRGMKEAKKFLPIDSSLLVEARGCKLPQVFVPGHARNEDRVDRMLTFQGISNGRGRKNRCNWQDLENAEMSRNSKLMVLELEETDEIIDEIILNEYRLCLNGMLGLDITMDSKDGKFVRKGNWKSALRRQSLNEAVDFHTLLIHCAQAISMDDRWSAAMLLKQIKQHSSPRGDASQRLAHCFADGLEARLAGTGSQVYKSLMSKRTSQVDILKAYQLYLTVCCFKMMAYKFSNMTIANVIAGRTKLHIVDYGMREGIQWPSFLGILSTWEGGPPEVRITGVDLPQPGFRPAAHIEEIGRRLSKCAQQFGIPFKFQGIAAKWEMVSVDDLHIDPDEALVINGLFDFGNLMDEGVDIYSPSPRDMVLNNIRKMRPDVFIFCNINGSHGTPFFVTRFREVLFFFSALFDMLDVTVPRDNDQRLLIERDLFGRFAMNLIACEGSDRVERHETYKQWQVRNHRAGLRQLPLDPDIVKVVRNKVKESYHKDFVIDVDHQWLLEGWKGRIICAMS >Sspon.05G0039860-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:81024917:81054447:1 gene:Sspon.05G0039860-1D transcript:Sspon.05G0039860-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGEVESGAAAPFLETKPQVYFDGCPGCVMDRRKAENPGIPYRLFFHSLWIIYTHTLPSQRVRDLRTTTREEDIGFYAGFVGASYMLGRALTSTAWGMVADRIGRKLAYVVEVCRVEHQAIGLSLVSTAWAIALIIGPAIGGYLAQPTEKYPKLFDANSLFGRFPYLLPCLCVSIFCFITLISCIWLPETLHKHKQNDKEEQEARYSNVRLTSFEESFEQDSSSTKGKNLFKNWPLMSSIIHYCIISFDDSAYSEIFPLWAESDKKFGGLSFSTNDVGQVLVVTGASTLLYQTFIYPQIIKVHGPINASRIAAVNFVYGASFCLSTYDIFIKALVTVYTSSFILQNNAVSQDQRATANGLSTTLTSFSNAISPAGAGFRGHKEGKMLSSFQVFMGTKTPRRFLLSRYGRDDFQDPHFHR >Sspon.03G0019110-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:81534874:81630893:1 gene:Sspon.03G0019110-2B transcript:Sspon.03G0019110-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQEAAPARPAGPTRLVYFDDMGALRYSATVLSVHQEDGGRVAVVLDATVFHPQGGGQPADTGVISAGGARFLVEDVRAKDGVVFHYGRFEGTEQGCGIGFKEGQTVSLEVDAERRSFNSRLHSAGHLLDICVHNVGLFHLQPGKGYHFPDGAFVEYKGVIPADQILVKKNELEREANRLISEGAKVLASVFPYEEAAILCGGSLPSYISKDSTPRIVKFGDNPGGPCGGTHVADISIINSLKAWALTSRSHSPMMALALQWPLQLPLQLQARPPAVTAGHHRRRYRLLPVRRSPPLLRARCCASAAAAADTGKAQAAARRAYPFDEVEPRWQRHWEEHRTFRTPDIGEGLDTSKPKCYILDMFPYPRQGALWPSICTARICALQSFKSTTVFGAGLHVGHPLGYTATDILSRFKRMKGFNVLHPMGWDAFGLPAEQYAIETGTHPKITTERNIGRFRTQAEVPVNWCPALGTVLANEEVVDGVSERGGYPVIRKPMRQWMLRITSYADRLLEDLNDLDWPESIKEMQRNWIGRSKGAELVFSAVDQEGHDLGATLLVYTTRPDTIFGATYGTGAIMAVPAHDSRDHEFAVKYELPIIKVVSPPNGNCDPVESYEDDGIMINSSNSSSGLNINGMLSQDAAKKVIEWVESNGFGKKKVNYKLRDWLFARQRYWGEPFPVSYLDDTNEMVPLSEDELPLTLPELDDFTPTGTGEPPLTKATNWVRTMDPLSGKPARRETSTMPQWAGSCWYYLRFMDPKNCSILVDKAKERYWGPVDIYVGGAEHSVLHLLYARFWHKVLYDMGVVSTKEPFKCLINQGLILGEVEYTAYRDNEGRWVSADSDSSLIDCYQEKVAADKVTKVGDQYVLKDDPNIRLNARAYKMSKSRGNVINPDDVVSEYGADSLRLYEMFMGPLRDSKTWSTGGIEGVHRFLGRTWRLIVGPQLPDGSYNDGTTTTEDEPTLDQLRVLHKCIARVTEEIQETRFNTAISAMMEFVNAAYKWETQPKTVIESFVLLLSPFAPHLAEELWFRLGHPQSLAYEQFPEAKSEYLEESKLVLPVQINGKTRGTILVDKACSEDDVFQIAASDEKLSKYFDGKGIRKRIYVPGRILNMKVLALQDGMREENCQQFYCQVWIGASCRMHSCADVTCHNVPAFRGSSANIELVVFTRSGYFSNVGRGKIRLSSLRGSNRKKLGQCRPEDSALVYNVAGGNKGPVLCDFSSSRSDVCELKGDVRVLPNATIVLRHPWARRQSWKMKPHGRKNDRHALARVTEVTVTSSHHTAGAAPRCTASHTAPAVVFSVGGYAGNMFHDLTDVLVPLFITTRRFGGDVHLLVSDAQPWWLDKFRPLLGGLSRHAVVDMDRGSRGVLCYPHVILGLEFHKEMSVDAARTAGEYSMADFTLLARRSYGLTRDTAIRLGDGNRSSAVRPRLLLISRKSTRAFTNAGAIARAATALGFEVVVGEPARHADLPSFARVVNSCDVLVGVHGAGLANLVFLPAGAVVVQVVPLGGLDAMAAEDFGVPARDAGLRYVHYGISVEESTLARRYPRDHRVLRDPAAVRREGWMALRAAYLPLAIFIQGLETPVILFSVLITEPNQLLIALEVSPISSNAAGIGSLVGAAADTSNSNSTQRLDSGRNAPLEDTRRDETFLGDSGDASSAAKANPAAASGKSEAVPAKDDDAPAAGLLPPVSSEEAANSTQESGGLEDEELQVQDAVAGGSKRSNDSSAAAATITSSSNGSSLAVVHSDPAILPAPAQQIPPATQELKAPADQHVPAVKQADSEAPAREWKPLCDITSNRRIDWCELDGDVRVLGANASVTLVAPPGADDRTFREESWRIKPYPRKADPNAMRVVRVLTVQSVSGEAPACTDRHDVPALVFSDRGYTGNYFHAFTDVILPLFLTARQYSGEVLLLVTDLQAWWVGKFLPVFKSISNYELIDLDKDPRVHCFRHVQVGLTSHDDFSIDPRRAPNGYSMVDFTKFMRATYGLPRGVAAADPTKRPRLLLIARARTRRFVNTEEIVRGAEKLGFEVVVSEGTHEVAPFAELANSCDAIMGVHGAGLTNMVFVPTGGVVIQVVPLGGLEFVAGYFRGPSRDMGLRYLEYRITPEESTLMDQYPRDHPIFTDPNGIKSKGWESLKDAYLDKQDVRLDMKRGKGRGDPRRGRECNVWERPEQYDAEAKKNVAEEAPVTQEKANEKWEKVAGNTSEVREHADDENQEGHLNVGLVAGVLFVLLTCLVVSQQTAISRPNVVSTVAQWFSNTPNKQQFEGPGETVVTAKEQQIADKQMIQGPSETEKANNKVVCSTEERLSDYCEIDGDVRINGKAWSVDIVPSGWSSSERREWKIRPYSRRSASNVDKLNVTQLQDPAVAPPCTVTHHVPGVVFALGGYSGNAFHDHADVLLPLFLASLRYDREVQFLVINRAQPWWLGKYRLALRRLSKYDVVNLDGDAHVRCFPHLTVGLRLHKDFGVVPEWVPGAGERRVSMPDFTRFLREAYALPRGVPTSPARGKPRLMLIQRQRTRRFLNGEEMVRAAEAAGFEVTVTDLVMDAAVDEQARVVNSFDVMVGIHGAGMTNEVFLPPGGVLIQVVPFGKLDLIARIEYGEPAADMGLKYLCYNVTLEESSLLELLGRDHPAIKDPDSIHRKGWAAMFDIYMTKQDVRLDITRFARTLAEAMDHLRSLQRSVQRLIMELEGGYAMVL >Sspon.05G0015160-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:5C:52454131:52454355:-1 gene:Sspon.05G0015160-2C transcript:Sspon.05G0015160-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRALKEGRIAGAGLDVFEDEPSVPPELLAMDNVALTPHVAAWTSESRSDLRDRTVANLDAFFAGKQLLSPVLP >Sspon.07G0012170-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:43462881:43469303:-1 gene:Sspon.07G0012170-1A transcript:Sspon.07G0012170-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQPNPEVPSHRTPLFVQIGKVSDYYQLDDLLTNEEKVLRKKVQGVMEKEIAPIMTEYWEKAEFPFHVIPKLATLGLAGGTTKGYGCPGLSLTAAAISTAEVARVDASCSTFILVHASLVMPTIALCGSEAQKQQYLPSLAQFKTLGCWVPGGWHLNGQKRWIGNSTFADMLIILARNAETKQLNGN >Sspon.08G0029480-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:38075549:38080775:-1 gene:Sspon.08G0029480-1D transcript:Sspon.08G0029480-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTMSEILQNMRILKLQGWELIFLSKIKELRKAEMNWIKKYVYTSSMLMSVFFGAPAFVAMITFGTCIIFGIPLETGKLQGPIHSLPDAISSIIQTKVSLDRICSFLCLEELASDAVTKLPSVVTSPGKNLLRCQLSETSTFVYNKELKPLFVVLLGLIPKLPGEVRTCGTIACVNQSPWIQSGTIEENILFGTQMNRERYRKVLEACSLKMDLDILPLGDQTIIGERGINLSGGQKQRIQIARALYQDADIFLFDDPFSAVDAHTGQHLFKVMRDGKITESGDRAEILESGEELKKLFKSHDDALSMRPSGNFGSSYHPGGNGNTLFIAEDKKDGNNDEGIVQNGQLVQEEEREKGRVGFIVYWEYVTMAYSGALVPLILLSHIIFQVLQIGSNFWMAWAAPISEDVNPPVSSLLMVNVYFALVIVSSLCIFMRSYLLVKAGYQSTVDIRIFELMSYLLFPAIEILGTIILMSQVAWPIFIIFIATIIASLWYQQYYIDAARELQRLTGVCRAPVMQHFTESIAGSNIIRCFQKEREFISSIGHLMDNLSRSSLYNAASIEWLCFRLDILSSFVFSFTLILLVFSPAALINPKTAGLAVTYGLSLNMLQGWAIAILCSLENKMISVERMMQYMNIPSEPPLTISETRPNDQWPTKGEIELRNLHVRYAPQLPFVLKGLTCTLQGGKKTGIVGRTGGGKSTLIQTLFHIVDPCIGQVLIHGTDISTIGLHDLRTRLSIIPQDPVMFEGTLRTNIDPLGEYSDGQIWEALDSCLLGDEVRKNALKLDSTVTENGKNWSAGEIAEYDTPGELLKDSSSRFAKLVSEYRKC >Sspon.05G0032280-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:25816907:25818127:-1 gene:Sspon.05G0032280-2D transcript:Sspon.05G0032280-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVCSLLELMKPHYGGSIAAISARASVAAPRLHLRFVVRLNQAQLTSGPTLQPDTNAHVDTNPNPPALKSHQLPNSRRRRRRQGANDGNGIHPTSRLRREAVVRAVAALLRWLQKHPTPAPEPIYLIVTLKSAPVRRFEHQLRLPHSPFPSISLVSDRLPADLPDDMDLLPSSALRSLPAATRRGLILVDRRLRVPGGSGSGKAAGAKRGVTVPVDLSDPAWAESAREAARCVDLRVEGGTCRAVRVGHGAMAQEEAVENVVAAVEAAAACVPRKWRNVRALHVKSPESTALPLYSAPGTGGEDNDGDGVLEAAKPEGTPTKEEQGRTKRRKKSIMGCN >Sspon.02G0004990-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7B:13959265:13959747:1 gene:Sspon.02G0004990-2B transcript:Sspon.02G0004990-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYGSQPAYFHPYSSWGWFDQEAHVPSYFRPQYIEYAAPRHSERSSSCKDRFDQNRSRAQPKKKVVKQVYRVKYDGRKKESSDLSSTIEKPITLLKNLAIDGKEVGKSSIDIIGAKSEQKKVRVPKVKMICAVQNRNKTDMLNRFTKVARKEVAKLSQRS >Sspon.03G0028700-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3B:10642667:10643301:1 gene:Sspon.03G0028700-1B transcript:Sspon.03G0028700-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPEEGDGAAACPLAGAHARQWLSMPPSSGRAVVLYTGVRAVSVPLADERPCISGHGSGEVEIPEEEVEPGFAIVSAVAICARQRRSVKEIDAVETVAR >Sspon.02G0000640-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:2429378:2434985:-1 gene:Sspon.02G0000640-1A transcript:Sspon.02G0000640-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVPPPPRQLEVRRFAAARAGELRSLYEAVSSRLDDAGGRQQPRSARRRTTGHLPNKRRRRGAGEAGPEDDGGLPARKQSRKVRRRRELAGNPAEGFSVAGDGARRLRTHLWYAKRFSMERRWGFILPVGAQGRGRGSRSVLKRLKNGTTIHDAGYFIPIELDGPEDALLSILRMVLCPSPAEKTPDLKHRQDQVMRGVCSENAMLWDVRSMHSQIVGPVTYMWRPFSRADDRSEIEGDPCTSHSIHDESGNSSRRQLWIWIHPAALDEGISVIRFACERQIQDSGGVVKCCSLEGKVARLEVNGCKAMQSLKKILHPTNSSKINMVSDTSDISAPTDTPLDSLTVPHLSKASVIDNADILQPGAILSMVVHDPREVSVNGTDSSKLASLDKQSKVLEEDVAPNANEASSEVANMLPLMWMHPGKHDIFLSDCRELWDSRQSINPPVSDEVLCKEKHCERMKFFCLDSGNDQGQTTQEKDNFIRSCPVILLKHAGEGMPALGWSIILPLSWVKPFWLFLVSHGAHAIGLRERRWIAAKFRMPCFPYDYPDSKAYASYASKEAAGFDKVAERCPVAKRPPSVPVPPLWHCIMTCFHKDDGILGVLEVDDLVQANMTLPKNSSVHSKSGDAEPSQANVASLQLHVPRTTQMLRQYVEDFDLKYLSSASDMKVDSDQPNLASNDTVKMARFTSELCLTRVLIRAFKEGSFEEGAVVCAPFPSDLSAWKIRSKEEEEECIEKWELQLPQSHVSSYFSWFDPSASSLQLPTDDTAREAFRWPIGFVTSGFIHGSNGQDAVAVAFCEAKLLAVLRRQQWAHENLQSRKICVLVRNARSTAYRRALATIVLEHQESDLEFL >Sspon.04G0019340-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4B:71488896:71491611:-1 gene:Sspon.04G0019340-2B transcript:Sspon.04G0019340-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PDX2 [Source:Projected from Arabidopsis thaliana (AT5G60540) UniProtKB/TrEMBL;Acc:A0A178UA48] MAVVGVLALQGSYNEHMAGTESDFVSLWPLLPTRWQLLVLLISLVCVWVGAALRRIGVRGVEVRKPEQLLGVDSLIIPGGESTTMAKLANYHNLVACKTSLPFVVQFPSLREFVGSGKPVWGTCAGLIFLANKAVGQKTGGQELVGGLDCTVHRNFFGSQLQSFETELSVPKLSEKEGGNETCRGVFIRAPAILEVGPDVEILADCPVPADRPSITISFGEGTEEEVYSKDRVIVAVRQGNILATAFHPELTSDSRWHRFFLDMDKESQAKAFSTLSLSSRDTEDLPKNKPLDLPIFE >Sspon.01G0010670-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:30069586:30072875:1 gene:Sspon.01G0010670-2C transcript:Sspon.01G0010670-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKCKWMPLTILLGVIDEEAAADMPRSSAGATCTAVDDSEQDTKDDEYARLVTRAQHATSDVGTAILSEQPKSRSFIWWMKVLLGCFLLILVGYVFMKWGVPFAFEKVLLPIMQWEASAFGRPVLAVVLVASLALFPVILVPSGPSMWLAGMIFGYGWGFLIIMVGTTIGMVVPYWIGSLFRERLHVWLTKWPQQIALIKLAGEGNWFQQFRVVALFRISPFPYTIFNYAVTVTEIKFNPYLCGSVAGMVPEAFIYIYSGILIRTLADMKYGNYKMTPVEIAYNAISFIIAIVLTVAFTVYAKRALNDIKSSDGISKEKDQGPNGSGARTSHRQERAHARSIELDVV >Sspon.02G0008870-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:19578880:19580118:1 gene:Sspon.02G0008870-3D transcript:Sspon.02G0008870-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVDSPMQTVLLAPGVKDKKLLSFSRHDALKEKDAVTENIRSIIATSNPIQRSAFHVFHINNVLDLFSAWCRGLPDVRPYYAVKCNPEPALLGTLAVLGAGFDCASRAEIEAVLRLGVHPSSIVYANPCKSEPHLEYAAEVGVNLTTYDTAEEVTKVKRCHPKCELLLRLKGPDGSETTCDLGTKYGAHANEVVPLLRTAQRAGINVAGVSFHVGSPVSRVDVYRAAIEAARAVFDEAVSLGMPPMRVLDIGGGFTAGATFDDAAVVINDALMHFFGDLPSVEVIGEPGRYFAETAFTLAARVIGKRTRAEVREYWIDDGLYGSLNNVLMDHKVPRPRPLASPRPGEKTHTSTVFGPTCDSQDEVVTGYRLPEMSVGDWLIFDNMGAYSTGSGSKFNGLDTSEIKIYVTYSR >Sspon.03G0029610-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:17255174:17261144:-1 gene:Sspon.03G0029610-1B transcript:Sspon.03G0029610-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLDPAPPPRPPTEPRRSSRRRFKSAAAAEADMSRARAEVEAEMALDGADRDAPTGNGLQSTAAKEKPRKRKRAAKEKVEERAEAQEPAAADDYVCTEEPDSEEEVEEAAAALEAEEEEEAKAGGGWSVEKVGARKRVALPSTERRADASEDHFVGEPVPDHEARQRWPERYKTKGPDVLAKRCAEDEELKARCHYTAANVDDVIYHLDDDVYVKSGPNEENYIGRITEFFEGLDHGSYFTCQWFFRTTDTVISPKLLKFHDHKHDEKRVFLSEERNDNMIECIVSKVKIIHLNPNMAPQGKAQFTKDWDFYYDMSYSVAYSTFANLPADNDGVSSSEASSISDDAVDSSKETLVSGFQASSDVHTKTASLLDLYSGCGAMSTGLCLGAALSNFKLETVPVKIFSTGNANICNLVQRWAVDMNAYACESLNYNHPCSKVRNEKAEDFLALLKEWDALCKKYIVQKDTILESEVARSLTDDEDEPLLEDTYEVEKLLDICHGDPNSSGKVGLWFKGTVDVICGGPPCQGISGFRSREDPLKDEKNKQLVVFMDIVEYLKPKYVLMENVVDILKFADGFLGKYALSRLVAINYQARLGLMVAGCYGLPQFRMRVFIWGALPSMVGHSSSLLFYLLYYRVWFSKDVLPKFPLPTHDVVKRGVVPNAFEQSVVAYDETEAPQLRKALTLADAISDLPEVGNQQPKDVMDFIVGPKTEFQRYIRLNRKAMMDYSFDGEVDSGEGKLFDHQPLRLNNDDYKRVQQIPLKKGVNFRDLKGVKVGINNTVEWDPDVPRVFLSSGKPLVPDYAMTFVKGKSAKPFGRLWWDEIVPTVVTRAEPHNQVKTKNSFLYLQYEACFFFWRLSVDDLLQIILHPSQARVLTIRENARLQGFPDYYRLFGPIKQKYMQVGNAVAVPVARALGCSLGMAYMGRLDGDGPLFKLPKSFTRTPVVFSGGEDTDMEQVLD >Sspon.01G0060910-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1D:85587911:85588114:1 gene:Sspon.01G0060910-1D transcript:Sspon.01G0060910-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRATPSRTESSSRRALWYISDSVAPPPEYSIAAALGVVGIEEEGKGVSTFFSFPLLLFCFPQNELQI >Sspon.06G0021240-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6C:14778138:14779282:-1 gene:Sspon.06G0021240-2C transcript:Sspon.06G0021240-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPSARAPPQVPGEIVEDILILFPPDHPAHLVRAAIVCKHWSLLIAGRGFRHRYRQRHREPPVLGLVANLADTGGAAHFVATRCAFRPARADRHGYRAHDARHGRVLLNRIPAPQDKEEDSALAVWDPIAGEQRPLPLLLRPQQLRSWNAAVLCAAPDCDHLDCRPGHFLVVFVGIDAKEMFAHCDRATREMSVVHLPYMRSYAPRIVLMAMEDGELGVAQVDLNCTLRLWSLKIGPEQGGDAGLWVISRAIDLKTQLTTHTQLPAHDALSFGVSPCVVVAFAGGADVIFLKTDDGLYSFDLKSDLAVKVYMGSGFYDIIPYVSFYTPGTST >Sspon.01G0015940-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:52989201:52990951:-1 gene:Sspon.01G0015940-2B transcript:Sspon.01G0015940-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIILAINLPIVAAILVVNMFFLYLCWRRPTRGRAPSSYREDAENLDSLLFHISTLRAATCNFAESNRLGEGGFGAVYKGVLLDGQEIAVKRLSQTSDQGIQELKNELVLVAKLQHKNLVRLVGVCLQEHEKLLVYEYMPNRSIDTILFDPEKRKELDWGNRFKLINGIARGLQYLHEDSQLKIIHRDLKASNVLLDFDYTPKISDFGLARLFGRDQSQEVTNRVVWQHWTTGTVEEILDSSLRGDAPGRQILKCVHIGLLCVQSNPDDRPMMSTVNVMLSSSNVSLSAPLKPVFFIPQSGVYSAIDSETHPTASQSIGRSRALSRNEVSVTELEPR >Sspon.04G0028600-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4C:69165619:69166230:-1 gene:Sspon.04G0028600-2C transcript:Sspon.04G0028600-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding QSCFTISASVGALLSRHDAVAAVVGTHACCTTTSYITVLGISFGSLLVILLILCAIRWYLVRRSASRGAAEATATAELEKKQPKGLDADAIAALPEFVFRKEDADGEERELECAVCLGAMADGDAARRLPSCMHVFHRGCVDVWLRERSTCPVCRAEVDVRSSAEGCDEKDQEGCLSRASTSMAWTAQEGPVDEGERDLEAQL >Sspon.01G0025110-3C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1C:88948265:88948625:-1 gene:Sspon.01G0025110-3C transcript:Sspon.01G0025110-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding CPRIPRTHERGFPTRSGGERGLTVRVEERLPALAEALAQGVDRRGRGGVLLLELRHGRHGCRRRRLGIRVHAGVGIYEDPRMERKFQWLRVWYGELPTEAAAGL >Sspon.02G0021110-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2A:69779471:69780541:1 gene:Sspon.02G0021110-1A transcript:Sspon.02G0021110-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHIRLEAALETSDKWQITDASLLSWRLKLKRVAQECDDMLHNCKQRILEDEETEKEVRKSSFPKRIARTTRSFVSSIFRPNKNEMMNSSIARRFEWFADGASEFIRLVELGGTPRRHMPFQPLNQYLLAGKKLHHRIIQENKRHLSLLLVPFTTSEYGIEARLIFIQKDGNVGEDDFLLTLMLQISESSDIIGITMKCIELFAPLFKSTVETIRKELMQLPTKDFSWVPNVDTHQKEQLDNILSLGTQWLRPNPLCCKQHDQHKVGHGSKLGVLGLRDVSLEPVISVNLQCHVSVSGCYKHRALLSEFRNSLQDSPSLKAGIVCSPHGYLEGYLPGDTSPAMAAIYTDEPHFLHT >Sspon.06G0026080-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:75626928:75632741:-1 gene:Sspon.06G0026080-1B transcript:Sspon.06G0026080-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPCYKASNIRPRCKVTVLSIADLEDAPRQRAASEEHLSLVTPLIPGWRSAVQQVRLPPPLPLLALKDGSTFYSMPRGEPVHFAGCENSFATASGSWLVYRRLHCLLLVDPFSGATMTLPAPPRICYHLVRSRIFHTHVHDDGQIHTFAGQCEPDLSIFEADFAQSQWVKVTTLADNQALFLGPCSRAVCLPQGDSPAVCPQWRSAARQVRLPPPLPLLALKAGDSFYSMPRGEPLHFAGCNGFATACGNWLVYRRSCDLLLVDPFSGATMTLPAQSSVRLGNEDEGEDSRDGHDSADDGEDSEGGHDSADDGEDSEDGSVYTDISVKLLTDVKYSDVIKLLVCSPNLIAALFKGRESNRLAVCRPGGSMWSVAGDLSLWITDMAFYQGKLYVVGYHEDLLALDISVDDNTGDPRVAQIGRVINVSHFDDERTMLRMLYLVESCGSFLLVRRRIFHTHVHDDEQIHTFAGQCEPDLSIFEADFARSKWSKVTALADNQALFLGPCSRAVCMPQSDSPGNRVWFLDDYKDFHLWSEYRSRLSSDTSSVANPKPVSPLPMISWKGYLGNA >Sspon.08G0006850-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:39388542:39389702:1 gene:Sspon.08G0006850-1P transcript:Sspon.08G0006850-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLDLSVKEKSAKPPRRHSIQTKPGASPRPTPSGTVTTPVPTRSDSQGRFDTPTSEASMSMRRRKFSTLSSISYWMTQIRLAEAASKHSVSLGFFRLALESECEPLDRMREELKSYVARHGLATELEDPVKDILQVYDIVEDFEKLKISAEPSQQPKKSDKAACAATNVSPNGNLKPRSLNSDATESKEAGKKESIQKVKPDAKVRGSYNRNPVKNTNAKEVVAKSTGKKTKKEAKGQQEVSNGGDSEALSALPNQESVDVVKEITHEDKENMGDIEMAVDVAIAQDI >Sspon.03G0015710-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:50356089:50359826:-1 gene:Sspon.03G0015710-4D transcript:Sspon.03G0015710-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVGVARSSSSLGFQNGTSSSSDADRLPNELGNMSIRDDKDVEDIVVNGNGAEPGHIIVTSIDGRNGQAKQTISYMAERVVGHGSFGTVFQAKCLETGETVAIKKVLQDKRYKNRELQTMRVLDHPNVVALKHCFFSKTEKEELYLNLVLEYVPETAHRVIKHYNKMNQRMPLIYAKLYMYQICRALAYIHNSIGVCHRDIKPQNLLVNPHTHQLKLCDFGSAKVLVKGEPNISYICSRYYRAPELIFGATEYTTAIDVWSAGCVLAELLLGQPLFPGESGVDQLVEIIKVLGTPTREEIKCMNPNYTEFKFPQIKAHPWHKIFHKRMPAEAVDLVSRLLQYSPKLRSTAVSVTSLFEQTRGIGIYCPLEALIHPFFDELRIQTLAYQMAVFFRLSSISNPMMVSKENCIQLRQSLITSEIFIKAKAREVHNVLMAEAAAIWLKA >Sspon.02G0016110-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:42872818:42877233:-1 gene:Sspon.02G0016110-2B transcript:Sspon.02G0016110-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRKGGNRDANGGLAEATASRLRFDSNEEAEEVGMEVEESLNAEGEDEQASAEVIGSEKTSADYYFDSYSHFDVVRTKTYQNVIFQNSFLIKDKVVLDVGAGTGILSLFCAKAGAKHVYAIECSQMADMAKEIVKSNGYSDGKVEEIELPVPKVDVIISEWMGYFLLFENMLNTVLYARDKWLADGGVVLPDKTSLRLTAIEDAEYKEDKIEFWNNVYGFDMSCIKKQAMMEPLVDTVDANQIVTNCQLLKTMDISKMTPGDASFTVPFKLVAERNDYIHALVAYFDVSFTKCHKLMGFSTGPRSKATHWKQTVLYLEDVITICQGETLTGSMTVTPNKNNPRDIDIKLKYSINGHRCQVSRTQFYKMRFTGKECCTRK >Sspon.06G0001250-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:1912608:1939101:-1 gene:Sspon.06G0001250-2C transcript:Sspon.06G0001250-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTKVVVVARKTVLALAAVVATLLLMLPPGGGVSAPAAATTATGLLGSNYYCTRRCGNISIPYPFGIETGCYHATGFNLTCNHSKLFLGDGLQVLDISIPNATVRISVSAKVIIFLDSGILMVNKTWGVALTQSRPYPYFLSDSDNMIQDATGSLELPCYDLACYSFYNIRIHRVIATIRDDGSVHTVERDAYMDYGSVHEKVWVTLGWVIANHSCPTTANVSAPECRSIHSSCQESSPLFTSAAGDVVGCTCQCSDGYQGNPYVPDDGPDACQDIDECSTPERYSCYGECKNTPGSFLCLCPAGYKGNASVPNGCKDINECANPEAHSCYGTCKNILGGFQCQCPNGTYGNPHTKDGCIIIQRKNSFTGSAGRGRSNCTRSCGNISIPYPFGIEPGCHIEGEDGFNLTCDHSHHLPKLFIGDGTGQVLAISVPDATVRVNSSRVVFTAHGTGTWGSGLPRDGPYFLSSLAGTTTCSAPALPYVCPLAADAGGSRSRTSDNACTGVGCCQANIVPISSFYNIKVHKIDDTAASTDYDYDVYIVDGVFSYNPRHYTVGIKSSRPEALPATLDWVIRNSTCSANASAPACLSANSFCRNSTAVGHGGSYRIYATAPRPVRQHQHPAPVGRGVLVLRCYLPGFNVTCRNSNNSSPELFLGDGTVQVLEISIPEATVRVKASFAYFPGSDSNTNSLGAKPIVNGTWSGALGEERRGICLVAGEEPELVVACNVQVFLVGDRKITDRRRRTLSTCATFCDWKDDQEARTPFNADSGMPYCEAVIVTGRSSYEFKALRLSDEATTGRPNSNKSSEALVWILDSDISSSECSDDVFARPWTSLPAASHSFCRNDTDGHGAHNCSCTPGYQGNPYVPDGCKDIDECAHPNHYKCYGDCKNVPGSFQCQCKHGTHGDPFTEGGCSSLTAAAAATEVVTLPGCTSHCGNINIPHPFGVEPGCYLPGLNVTCRNDSSVGHHGSPKLFLGDGTVEVLEISIPNATVRINASFAYFPGSNGSYSKEPITSGT >Sspon.07G0025560-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7B:43521615:43523919:1 gene:Sspon.07G0025560-1B transcript:Sspon.07G0025560-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SSSAPDVVESSRAGQEAEQQPESEPQRKWVALVSAAVLLGNEDERAQKIVDGTDVLLDLNDPPLPSYMVLHPRVAPDPRRNDEPLSAYILAADQSACILLQVVEGNRPDFFLCNTHRSTVTILPPVSSYIQARGHDIRPRLSIGLIADPHHRGHYVVVQLHPTTSDDHPNRLLFYSTAEGRWFIRGLNLQQARMRNPFSETGVLAHDGRLWWLALAYGVFFSDPCTPQFESPQLRFLPLPDDCEMEGDVGFDPRIRTLIDQRRCVRPSEGKLRFVEIRGLSYDELVDVPAANPTVRMWTLDDPEGPDAWTFEYEVAFAEIWENKTYTDAGLLPNEVPHVALVDPNDHYVVYFFQRSKLFGLDMREKNVVACKECLIDRDQLRFPSSRPIVDAWELPPPPQPATLPGDDDSSLDGPVGVGEGHGRVGRELEGMADGTTNI >Sspon.02G0031830-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:116510336:116513647:-1 gene:Sspon.02G0031830-1A transcript:Sspon.02G0031830-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIAISTARWAVGKALGPVSDGLLESWAASSKLAPNIRALKLQLLYAQGMLDNTRGRDVRSPALGQLLLELRNQAYDADDVLDELEYFRLQDELEGTYETTDSDTRGLVGGLVLNARHTAGAVVSKLKLPSCSCASAVCQHHRKPKLKFDRVAMSKRMVEIVEQLKPICAMVSTILDLELQGTIASTGTTALQGIAFTQSTRTTTPQIIEPKLYGRDKVKMDVIDGITSKYQANNLTVLSIVGPGGLGKTILTQHIYEEAKSHFQVLVWVCVSQNFSASRLAQEIVKQIPKLDNENGNESAEDLIEKRLQSKRFLLVLDDMWTDHEDEWKKLLAPFKKVQTKGSMVIVTTRIPKVAQMVTTLGCPIRLERLSDEECMRFFQECVFGDQQIWEGHTDLHGVGSDIVKKLKDVQDKTTFENCKRGLDTLGKRLKAGNLRTLMLFGDHHGSFCKLFGDMFKEAKALRVIFLSGASYDVEVGKLKSIQELRSFEVKREKHGFELNQLGQLLQLQGSLEIHNLEKVEATTEVEETKLVYMHHLNRKKEQKMGVEFKKDALGHELWNVLVFSNLSEIKEFEISGCPLVPLHHLQLLNSLKILKICYCSSVLWLAEGENDSPFEFPVEQLEISDWGATGKELTQLISYFPDLSTLELLRCDNKQAEEQRKQKLQQEDSCPCPSN >Sspon.01G0015630-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:47296640:47300239:-1 gene:Sspon.01G0015630-3D transcript:Sspon.01G0015630-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRWNKLIDLTETVEKLLEGSGGLCAASLVVDDVRRSERIEGAARRGAPRSCRPWWWCPGTPPTSWTRASRSCTARRHSSPRCGARKGKGWFRLYLNYTALEDAADVRCFAEQMAAMYDAVSDDYRNGRGVETRVPFFGSIRGFRYPDPDRRNFSYMDKFVSRLERLGYRDGETLFGAPYDFRYAVAPPGHSSRVGDAFFGRLKRLVERASRVNGGRPVTIVAHSYGGTLAHQFLLRRPLPWRRRFVRRFVPVAAPWGGVVLGMLTLVAGNNLGLPFVDPLALRGEYRSLQSSLWPLPNTNAFGAGQPLVTTRSRTSTAHDVADFLGDIGMGAAIGPYQCRVLPLFRELPSPRVPVACVVGVGVDTPEMLAYPGDDFHVTPRMVMGDGDGLVNLASLIAVDPAWRRPAAYFRMLEVRNVSHTGLFVDDAALAVIISAILHPN >Sspon.02G0023710-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2B:80624872:80625303:1 gene:Sspon.02G0023710-2B transcript:Sspon.02G0023710-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding KLRRLPHIFAKVLELPFAADADVSVEEDAAALRFVAAAVDVDGLFSPAGARAHAVEIHPGVTKVVVRGLSSSGGGDDDGGAAAAFELDRWRFRLPPCTRPAMATATYAQGELVVTVPRAPAPTTAPATAMRRCCPQWHGARPRA >Sspon.03G0000530-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:1589147:1596633:-1 gene:Sspon.03G0000530-1A transcript:Sspon.03G0000530-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQLRTIGRELAMGSQGGWGQSKEFLDLVKSIGEARSKAEEDRIIARELEHLKRRLADPDVPRRKMKELLLRLVYAEMLGHDASFGHIHAVKMTHDESLPLKRTGYLAVALFLDERHDLVILVVNTIQKDLRSDNYLVVCAALTAACRLIGEEAIPAVLPQVVELLAHPKEAVRKKAVMALHRFYQRSPSSVSHLVSNFRKRLCDNDPGVMGATLCPLYDLILEESNSYKDLVVSFVNILKQVAERRLPTSYDYHQMPAPFIQIKLLKILAVLGSGDKQASGHMYTVLGDIFRKGDTASNIGNAILYECICCISSIFPNPKMLEAAAETTSKFLKSDSHNLKYMGIDALGRLIKINPDIAEEHQLAVIDCLEDPDDTLKRKTFELLYKMTKSTNVEVIVDRMIEYMINITDHHYKTEIASRCVELGEQFAPSNQWFIQTMNKVFEHAGDLVNIRVAHNLMRLIAEGFGEEDEGADSQLRSSAVDSYLRIVGEPKLPSSFLQIICWVLGEYGTADGKYSASYIIGKLCDVAEAHLTDDTVKAYAISAILKTIAFEIALGRKIDLLPECQTLVDELSASHSTDLQQRAYELQALLGLDKNAVESVMPADASCEDIEVDRNLSFLNSYVQQALENGVSPYIPESERCGVASVGSYRSQEQQETSAHTLRFEAYEMPKPSLPLATSQTSMSTPTTDLVPVTEPGYYKEDHQTSKSQPPGDAVSGEFGVRLRLDGVQKKWGRPTYSSSTPSSSTSSQQTTNGASHSDGGGSSSQPRESSYGSKRQQGMEVSAEKQRLAASLFGSAAAKADRKAQTFRKTTKDSPSTEKAATTNVSAQPVKEQVIPAAPPPDLLDLGDEPVSSSPPSADPFSQLQGLLGPASAAPVLSGTPATSTSKAPDLMSIFSDDVPTGVTSGSTDPTLGDVNSVSSHKGATAVASKKGPSLQDALQKDATARQVGVTPTGNNPNLFKDLLG >Sspon.05G0024800-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:18165517:18167110:1 gene:Sspon.05G0024800-1B transcript:Sspon.05G0024800-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGLSVIAPPARDSASPQHRRARRAFLVSNYLILGCASGCGFLTLSLRLVPSVDGFLLILLHALTVAAAVAGCAVIAAPDPPRGRCYTVHMSATVVVSILQGAAAVLAFSRTAEFLSDGLRSYVREEDGAVILRMVGGLGVAIFCLEWVALALAFVLRYYVYVDRECGGNPMRRSAKVGGEDGGAGTWPWPFQWHLDTDDLARLG >Sspon.06G0002180-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:5201508:5205299:1 gene:Sspon.06G0002180-3C transcript:Sspon.06G0002180-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCRGRRRTGPWRWPAALRRLSRLAPPAPAAADPVVVRVDASNVARLGAPKPGPRPRQLLSLPPFPAGPDPLPGRKAAPRRVTAVSWVKHYFADVPQEAVQAHFNRRMVFSECSDHEVSADSIRTQNHHLKKIKHNDAMEPGMRIHLPVSVAEGEIKKRYETIPTATLHPNKDEIEYLRRLVIHRDSAILVLNKPPKVPMKGHLPVHNSMDVLAAAALSYGNEEGPKLVHRLDRESSGLILMGRTKESLTRLHWLFTSVNLAKTSSQTWNKACEAYVQKYWALVIGTPKEREGVIDAPISKVLLDDGKAERVILAHPSGIDGAQEAVTEYRVMGPTINGCSWIELRPLTGRKHQLRVHCAEGLGTPIVGDYKYGWFVHQTWKQNPQPDFEPFTGEPYKLRRPEGLEIQKGSVLSKVPLLHLHCREMVIPNIAKFLSSTGEWHKNGAPWAKEKPNLLRFVAPMPPHMKISWNIMSSYLHYATANTVIELVSVSVVPENLVSPMLLPEVDAEQLVVRPPPLPGGGLQQHLGAGVEAALAERLEHDGIRGHDGLGVAVKPVERERQVPREAALEVGVDEAAVRDEVRRDAVAAHVVGAEVEVPEHAHLGEGCGADVEGGEVRPEPGGHHLQERALQGLHLEVGREGEEVQVPEQVAGVAPSEAGVGHERAEPIVLLLGDVRGRHGADDATGDEQPGLLVPAVGRLHLRDGSGGRVGPDEGVPGRGVDAEAKAAHF >Sspon.02G0017270-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:49317541:49321410:1 gene:Sspon.02G0017270-1A transcript:Sspon.02G0017270-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGVEVRPGKPYTHTHQADHGRLRICQATLGNCDAAARTVLQCNVGNKIPIKLCSLNPKLAETCHLEIEFEEVDDVVFSVIGQSSIHLSGYYVRASSRESYGEDIGHSDTDEEHDASEDSYESDFIDDREVPEKYGSDSIDDSDDECSLRRRRKQKGKRLTHEAERRRRLKKHQVDSTDDNDGDTPVTKPVVKRSAKIFDSGDDDTPVTKPVDKRSATSMFDSGSDEDDNVPISVALDKKDNAKVAEETDPRNGQANDVTKKKITDVKKRKHSAIIEDPALSMDTTDANGTSVSKQGAEIKKKSKKKTKKQSGEKDEKQSNIRTLEDGLMVEDLSIGNIDAKVASDGCKVYIKYVGMLKNGKIVQSNVSEKPYKFKLGAGKVIRGWDVGIRGNNLRA >Sspon.01G0011870-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:32264278:32271443:1 gene:Sspon.01G0011870-1A transcript:Sspon.01G0011870-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:3-oxoacyl-[acyl-carrier-protein] synthase II, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G74960) UniProtKB/Swiss-Prot;Acc:Q9C9P4] MAAAVAPPLCTWLVAACLSAACGDGEKEKQRRHRGGGVGGLFGSRLRLGSERRGGARSGMAMSIALHPERTVVESKKPDMKERRVVVTGMGVVTPLGHDPDEFYNNLLRGISGISEIEAFDCSHYPTRIAGEIKSFSTDGWVAPKLAKRMDKFMQYLITAGKKALENAGITEEVMNELEKSRCGVLIGSAMGGMKVFSDAIEALRVSYKKMNPFCVPFATTNMGSAILAMDLGWMGPNYSISTACATSNFCILNAANHIRKGEADLMLCGGSDAPIIPIGLGGFVACRALSQRNSDPAKASRPWDVDRDGFVMGEGSGVLVLEELEHAKQRGAEIYAEFLGGSFTCDAYHMTEPHPEGKGVILCLENALADSGVTKEDINYINAHATSTQMGDLKEFEALNSCFGQNPQAILYE >Sspon.05G0014480-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:53321039:53322455:1 gene:Sspon.05G0014480-3D transcript:Sspon.05G0014480-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMALAHLLLQLSAAGGNGASRNRMVVPAILLILQLLLFTALGVDGAASFSFTNACQHPVWVGALHGANSPPLASSGFYLAPSATSHLDAPSSGAWSGTFWARTGCAVDAATGRFSCATADCGTGDVACQGRGPAPPVSLVEVTLAAPGSGGPDFYDVSLVDGFNVPVRVAPSGGGGDCRPAACAGDVNAMCPADLRVVASSGGGVVACKSACGAYGSARYCCTGQYGTPATCGPTNYSQVFKSVCPLAYSYAYDDASSTFTCSGASTYDVTFCPGS >Sspon.01G0004440-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1A:11951359:11951736:-1 gene:Sspon.01G0004440-1A transcript:Sspon.01G0004440-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AAA >Sspon.07G0016910-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:84719781:84721611:-1 gene:Sspon.07G0016910-1P transcript:Sspon.07G0016910-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAASAAARCSSKGVALLLLLVLLAGTGTSFYSHSCPGVYDAVRSVLQAAIAREQRMGASILRLFFHDCFVQGCDASLLLDDTPSFQGEKMANPNNGSARGFEVIDAIKSAVEKVCPGVVSCADILAIATRDSVVIVGRRDSRTASFSGANNNIPPPTSSLANLTSLFAAQGLSQKDMVALSGLARCTNFRAHVYNETNIDGSFARSRQSVCPRTSGLGDNNLAPLDLQTPTVFENNYYKNLVCKKGLLHSDQELFNGGSTDAQVHSYVSSQSAFFADFVTGMIKMGDITPLTGSKGEIRKNCRRIN >Sspon.02G0058230-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2D:71483358:71484083:-1 gene:Sspon.02G0058230-1D transcript:Sspon.02G0058230-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAATATSSSYGSGSGTVVPQRILRDTEPHGRRPRTATRMETRRRVQDVEAEATSGVREEEEGCRMPPIRLAEAAGSREDDRRVARDRGREAIQRPSPCRRRAARWSGPCPCRAVVCNFQPEHEHGDGPCQHGHEAGRARAVFVPCFPVSGPCRPIGPGLFGHL >Sspon.03G0008030-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:18714746:18715545:1 gene:Sspon.03G0008030-2C transcript:Sspon.03G0008030-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASASLSSRRAFLAVATLVAAAALLVPARHAMAADDKARAPTSAYDSAMPPSPSPVVNNGASPPPSSSPGDNALPPSAYNNATPPLLPLVPPPPPLPFVIVEGTIYCKSCKGKGYNTGIDASPLQGATAMMVCYGRKVVNATGTVTDANGYFLIMFYDMKNFNARTCKMYLLSSPTPQCSKPYYPPNQWIGLSLVRETRTIPPAGLQGIYTPTSVLFYAPAAKGQCPY >Sspon.02G0039490-2P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2C:66102922:66103128:1 gene:Sspon.02G0039490-2P transcript:Sspon.02G0039490-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPEIQNRCPSPTAVLLLLGACLAAVAALGAADYDARASRPPPVPLPVDGGARRVLVANGLGLTPQMG >Sspon.02G0017120-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:48513215:48522125:-1 gene:Sspon.02G0017120-1A transcript:Sspon.02G0017120-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSKLSLLCLVPFLLLAAVAVAGGDTLTTFIVHVQPPEPEENQQTAGDRETWYRSFLPEDGRLVHAYHHVASGFAARLTREELDALSAMPGFVAAVPEEMYELLTTHTPLFLGLDARQRGRPASHGHGGSERGAGVIVCLLDTGISPTHPSFEDDGMPPPPAKWKGRCDFGVPVCNNKLIGARSFMSVPTAGSNSSSPVDDASHGTHTASTATGAVVQGAQVLGQAVGVAAGMAPRAHVAMYKVCNATSCLSSDILAGLDAAVGDGCDVISMSIGGVSKPFYRDTIAVGTFGAVEKGVFVALAAGNRGPNASSVTNEAPWMLTPDVSASAFHPLVYAGASGRPYAELCGNGSLDGVDVRGKIVLCEYGSGPDGNISRILKGAVVRSAGGAGMVLMNGFPQGYSTLADAHVIPASHVDYAAASAIMSYVQCTASPTAKILFGGTILGTSPAPSMAFFSSRGPSLQNPGILKPDITGPGVNVLAAWPPQLQVGPPTTSASAALPGPRGPTFNIISGTSMSTPHLSGIAAFVKSKHPDWSPAAIRSAIMTTADVTDRAGNAIRNEQRVASDLFATGAGHVNPEKAADPGLVYDIAPSDYVGFLCGLYSSQNVSLVARRPVDCSAVTVIPESMLNYPSVSVVVQQTWNWSTPVVVERTVKNVGEEVSSVYYAAVDIFDDDVAVGVFPSELVFTKVNQEQSFKVMVWRRHSNKGAKVVQGAFRWVSDTYTVRSPISISFT >Sspon.04G0033990-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4C:68841879:68843844:-1 gene:Sspon.04G0033990-1C transcript:Sspon.04G0033990-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPNDHRLGLGVLGILVLWFGNVTLPQRGEAIITDLIVVIVFWYNATVASLGTTTFCKVSKPTATSRPTDMAIDEEVISGPRRGCS >Sspon.07G0017240-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:82945193:82947705:1 gene:Sspon.07G0017240-3C transcript:Sspon.07G0017240-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWKAAEKLITHWKILRGDNVMIIRGKDKGETGLIKRVIRSQNRVIVEGKNLVKKHIKQGEGHTGGIFSIEAPLHVSNVQVVDPVTGKPCKVGYKYLEDGTKVRFARGMNASGAVIPRPEILKERRKPRPTSPGPKDTPIELVLEKTYDDKAGIGMPDL >Sspon.04G0034860-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4C:79392080:79392481:-1 gene:Sspon.04G0034860-1C transcript:Sspon.04G0034860-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRALLRYAPRINGHPTCSCFISCPAAPTLWPASPSTVTPRYFFSYSTVPPRCFLPLLLLCSLLCLTARTPPHPRMATTPSIANLPLRPPRKEKRWALARKPFDLASCNGCGTPMQLAKEAPQRYDACSSIYFY >Sspon.05G0013350-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:44981674:44985735:-1 gene:Sspon.05G0013350-1A transcript:Sspon.05G0013350-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding NIFHLLTQREVSPRTKHHAKRIWNKSPKYDPDLIELRFADADAKLDIFSWAESKSLHRWTAKYCPLLPPPRSTIAAAFSPDGKTLASTQEMFEGFEWTSTHSLGDRPIASIAFHGRGEILAVASGHKVNNIESADSPLTLARSSGYSNYPSALLVTNTNSRFCPLPESNVMSPCLLWPAYLRDDGILHVLGNDSSSTIVQQRSSLVQPSTSDTANVQLEQFATPMDVCPGEPTSSNDISDAVTVPTSNGIEMHGAGGQSNSRLQGGSSISNIERFSARDDLQVSSLSSTEPIPSTAGPSGSVLRRAMPLNMLLTGGLDVQMFLRNIGSGQQDHSLFGDSHNWEVPFVQGFLMAQNHTGLHPALVNNNVLVDLSIAGTAATNNLTRESPHMHNFGCPGASSIPLTAGSSRGPNRRYASRSVPGVRSLLLGPQIDEAEAHAASLGAGSEITASMLASGTELPCTVKLRIWRHDIKEPCVPLEPEACRLTISHAVLCRFGDILASRAIRAAHCLTSIQVYRVSDMELVRVLPSAEDEVNVACFHPSPGGGLVYGTKEGKLRILQHNGTDMTNMGVGCFIEENMVEVAIFSL >Sspon.07G0036820-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7D:43238821:43244706:1 gene:Sspon.07G0036820-1D transcript:Sspon.07G0036820-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding NGQASGGVPIQQGGVSAQQGGNEQHSMQQPMVDYSVCQSVVKIAPEYRRIVRDFNAPPYQGKVAPGEIPPGYHYASDFYTLNAIENPGYQNVPQGTQGSGQQSQALAKKLASLDTQYKYSRFNKSHKAVNVGFNFDPVFQEVGSESESEDEVNKVAAVDWAWKHAEYMPWAKSKIVDNEDDKTYSFDITKADKIFDYLLEKGQIKLTGNHKIPLAEELKKRRYCKYYNSSMHTTNDYKVFRELIQKAIEKGRIGLEKKKEEEIEDSDDDVAVAQWIYQVQSATFEKPERYLHLKALYLKGFIDGKPMTKMLVDGGATINLMPYTTFRKLGKKLEDLCSIDMRLTDFSGKASMTKRAIYVELTIGSKSLPTTFFVIDAKGSYSILLGRDWIHANYCIPSTMHQSLIQWIGDTIEVVPGDSSISVAYVSPDEWNFEGVECFSGKIYEGDIIKMGKGFTSADELEAVDIGPGDRPRPTYISAKLDPEYKKELIALLKEYKDCFAWEYYEMPGLDRKLVEHRLPIKPGYRLFKQAPRRLKAEVMEDMKKEITKLYEAKFIRQCRYAEWISSVVPVYKKNGKMRVCINFRDLNKATPMDGYPMPVADMLVDAAAGHKVISFMDGNAGYNQIFMAEEDIHKTTFRCPGALGLYEWVVMTFGLKEIASSPNMIEFIKEHIIYRFGIPQSMTTDQGKMFTSQEFEDFAANTGFKLLNSSPYYDQANGQAEASNQILIKLIKKKIRENPKKWHTILSKTLWSYRMACHGSTKCSPYELVYGHEAVLPWETNISSRRVLHQDELRADDYRNLMMDNLDDLNLHQLNALQNIKAQKFRVAKYYNQKVRENKFTEGELVWKK >Sspon.08G0002560-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:7400843:7403224:1 gene:Sspon.08G0002560-1A transcript:Sspon.08G0002560-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEHLFRDKFTVIRLDPDGKKFDKVTRIEAHSENEMYMQLDVATEVYPMCVGDTFNMAGRKTLADDYQYIMHGKLYKISEDSTKDSNGDSSTKVGDPSCAASFQLDQRLFLLIKKVKFIGFSKG >Sspon.05G0017630-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:73571299:73574097:-1 gene:Sspon.05G0017630-1A transcript:Sspon.05G0017630-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEAVVGVLIGKLGAALAKEAATYGASLLCKEASALKGLFGEIRKAERELESMKAYLRQAEKFKDTDETTGIFIKNIRELSFCIEDVIDEFMYKLEDDKHGGFAAKMNKRIKHLKVWHRLAHKLRDINAELEEVAKTRARYVIPGMQGHAGSSDHHARSTNQNLCFAREDDVVGIEHNATKLKQWLVGDLKEKNYKIATVWGMGGVGKTTLVDHVYKIVKPDFDVAAWVTVSQSYQVEDLLKRIAREFGIVTDATNMEIRALVEIIRKHLEEDYELRRRRLIRHWITSGFIKEKENKTLEQVAEGYLNDLVNRSLLQVVMKNEFGRVKCCRMHDVIRHLALDKAAKECFGKVYEGYGTFMIHGTRRLSINNTNIVPLNQSGATHLRAVYVSTGTVDIELLRSILTFSAFLSILDLQGTKIKMLPNEVFSLFNLRLLSVRNTQIEILPETMGRLQNLEVLDAAKTCLLSLPKDVAKLKKLRYLYASVRVDEGSFWRYGGVHVPRGIIKNLTGLHALQNVKASLETLCDVAALTDLRTFCVDDVTSEHSLILCSAVRNMSNLVSLSITMSDENEALPLEQLSLPETLCKLGLTGQLEKKRMPQILSSWLHLNYLTHLWLMFSKLDENSFPRLMVLHNLCLLYLSKAYGGKQLCFNVRSFPRLRKLRIEGAPQLSQVEIEEGALGSLVELWFLNCPELKRLPRGIEYLTTLDELYLGDVADELIKILRQEGEANECKEELMRISHIRRVRFSATGEDFWQRIVTIEGNAFAG >Sspon.08G0006080-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:16341642:16345190:-1 gene:Sspon.08G0006080-2B transcript:Sspon.08G0006080-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAWFSSGSGPSSSSAASSSQPSLLAEWNSYAAARSAEDAGDGFGIDIEAAVRSANDRVAGTFGVVSKGVKGLPGSFKSTTSSVPSGRSLMYFGLFLASGVFLVFIAFTIFLPVMVIMPQKFAICFTVGCAFIIGSFFALKGPKNQLYHMISKERLPFTVGFVGSMVATIYVSMVLHSYILSVFFSVLQVLALAYYAISYFPGGSAGMKFLSSALLHYFQKSDAILKH >Sspon.03G0004230-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:11610390:11612166:-1 gene:Sspon.03G0004230-4D transcript:Sspon.03G0004230-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGREGGGGVAGGMSDSVLRKVLLSYFYVAVWIFLSFSVIVYNKYILDPKMYNWPFPISLTMVHMGFCSSLAVALVRVLRVVDLPTSPSMTPQFYTSSVVPIGALYAMSLWFSNSAYIYLSVSFIQMLKALMPVAVYSIGVLFKKETFRSSSMLNMLSISFGVAIAAYGEARFDVRGVALQLAAVAFEATRLVLIQILLTSKGISLNPITSLYYVAPCCFCFLVVPWAFVELPRLRAVGTFQPDFFIFGTNSLCAFALNLAVFLLVGKTSALTMNVAGVVKDWLLIAFSWSVIRDTVTPINLFGYGIAFLGVGYYNHVKLQALKAKEAQKKSAQADEEAGSLLQERDGHGDLMQGAKIGVWSTVAFKEVYAGFLHFNFGSEEQMRSIYGLGNMHLLAGELCPVIYLDTFVLQIGSLALLPLLSIA >Sspon.03G0023680-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:56916359:56918092:-1 gene:Sspon.03G0023680-4D transcript:Sspon.03G0023680-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTASSAHRSLLLCLCLCLCLCVALLSTSAAALPSPRDLRFSVEDIAAVEAALPRHSRASSSRTTFFEVDRPLRPPRGSSGPCSTLLLSHSFAFTLTKPPVTAAYSPPPCLVSSASSVSLAVLEWRAECRGAQYDRTFGVWLGGAEILRGSTAEPRPGGVAWSVSKDVTRYAALLAAGNATLAVYLGNLIDDTYNGVYHANLTLHLYFRPRGGAGALSSPPSAADAVVPVSRSLPLNDGLWFVVQNATDVQSARVAVPRNAYRAVLEVYVSSHDADEFWYMNTPEQNGPFREVTVLLDGDVVGAVWPFPVIYTGGINPLIWRPITSIGSFNMPTYDIELTPFLGKLLDDEDHELGFAVTNAQRSWYVDANLHLWLDPKSSKTTGGLIAYDAPKLAGSIVSHSADGIDGEYEATASRNITATGWVSSSRGNVTTTFAQRLSFSNTNVVSDHGSAQAINQTTDALTSVTGGAASVQQQVQQSFPLYIFLGGDGSGTSSQRLMRRVEIGFDETRSRGGGAATSMLRNSQAAAAEVTLRDDAVVGASWRMHQTYAYGASDGGCYLPEERKQRRLRRAFRPS >Sspon.06G0010540-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:46280079:46280597:1 gene:Sspon.06G0010540-2C transcript:Sspon.06G0010540-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AMAAAAASPPPAPAAASLVPQRRATARGAFSRSSHHQPHPHHRRRLLAALPVVVAPGPPKAKRASCACSPTTHPGSYRCALHRGQAAAGGGGAGVPLPASSSSSVVPVSSRLSAPRRASMANPLVRIAAVEGGDHIRRALAALVRPPPSQTRRRAEAFRPTPSRLSAMSSASS >Sspon.05G0030010-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:86054803:86058514:-1 gene:Sspon.05G0030010-1B transcript:Sspon.05G0030010-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidylprolyl isomerase [Source:Projected from Arabidopsis thaliana (AT3G21640) UniProtKB/TrEMBL;Acc:A0A178V6X3] MAVVEEDAAPSSDPAAGSSDNEITVEEASFVHSEPPQDGSAPPVVSSDMEVLHDKVKKQVIKEGHGRKPLKFATCFVHYRAWTQGSSHKFEDTWQEQHPIELVLGKEKKQMAGLGIGVGSMKTGERALLHVGWELGYGKEGSFSFPNVPPMADLVYEVELIGFDDVKEGKARSDMTVEERIAAADRRKIEGNGYFKEQKFEEAMQQYEMAIAYMGDDFMFQLFGKYRDMALAVKNPCHLNMAACLIKLKRFDEAIAQCSIVLSEDESNVKALFRRGKAKSELGQTESAREDFLKAKKYSPEDKEILRELRLLAEQDKALYQKQKELYKGLFGPRPEVKPKKANYLVVFWQWLVSFFLYLIRMFKRKNE >Sspon.04G0003850-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:7103970:7107166:1 gene:Sspon.04G0003850-2B transcript:Sspon.04G0003850-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAAATATSCHRLGVALLLLLLVAAVVGGAEGKAHNYEDALQKSLLYFEAQRSGRLPHSQRVAWRHHSGLTDGLEQGVGYVDLVGGYYDAGDHVKFGLPMAFTVTMLSWSLIEYGGDVADAGELGHALEAVKWGTDYFIKAHTRPDELWAEVLTISCAQVGDGDTDHYCWQRPEDMTTSRQAYKVDRDHPGSDVAGETAAAMAAASIVFRDSNPHYAHLLLHHAQQLFEFADKYRGKYDSSIAEVKSYYASVSGYKDELLWAALWLHRATGRADYLDYVVDNADSFGGTGWAINEFSWDVKYAGVQVLAARLLLRGEHATRHRSTLERYRAKAERYVCACLGRNTEGGADANVERSPGGMLYIRQWNNMQYVTSAAFLLSAYSDYLAEAGAPTVSCAGGETVAAEEVFALARAQVDYVLGTNPRGVSYLVGYGSKYPNRVHHRAASIVPYKHSKEFIGCTQGFDHWFGRRSSNPNVLVGAIVGGPDRRDRFRDNRENYMQTEACTYNTAPMVGMFAKLHRMARQEREQGSTTPVPVTSTAAEVYSVNR >Sspon.07G0010850-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7B:40601876:40604324:-1 gene:Sspon.07G0010850-2B transcript:Sspon.07G0010850-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPLLHILLTLLVFTSLDTSPWSASAAAATDTLAAGQALAFGDKLVSRNGKFALGFFQPTAVISKSRNITSPNWYLGIWFNKIPVFTTVWVANRDTPITNSKLKQTHLKISSDGNNLAIVTQASPETETIVWSTPTPSANRTGASLNTTTTAVLLDSGNLALLELESPSSSNVTLWQSFDYPTDVVLPVPSLAGTRSPVSVARPSHGRASLIQASAHTPLKSTPAGGPQAPQSLIPILKSILELDPRTKGLIDPTYVDNSQEEYYMYTSLNESSLTFVSLDISGQIKMNVWSHAQQSWQAIYAQPVDPCTPYATCGPFTTCNGTSSLSFCDCMPSFSQKSPQDWELDDRIGGCIRNTPLHCTSEKNTTSSTDIFRPIPHVTLPYNSQSVDGVNSQSNCEEACLSSCSCTAYSYNSSRCFVWHGELFSVNQNDGTDNNSEDVLYLRLAADDLPSLTRNKRKPSVGVVTAASIIGTGLLMLMLLLMMWRKNSKWCGTPLYDSQGGVIAFRYTDLSHATKKFSEKLGAGGFGCVFKGVLSGSTTIAVKRLDGARQGEKQFRAEVSSLGLIQHINLVRLIGFCCEGDKRLLVYEHMSNGSLDAHLFQSNAAGINWNTRYQIAIGVARGLSYLHQSCRECIIHCDIKPENILLDASFVPKIADFGMAAFVGRDFSRVLTTFRGTAGYLAPEWLGGVAITSKVDVYSFGLVLLEIISGRRNSPEAYSSNNYHIEYFPVRAISKLHEGDVRSLVDPQLHADFNLEEVERVCKVACWCIQDNELHRPTMGEVVHVLEGQQEIDMPPMP >Sspon.07G0025650-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7C:46524725:46525668:1 gene:Sspon.07G0025650-2C transcript:Sspon.07G0025650-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLNPCPGLLNDPVEHLLRCVELCHWNVMLFSFFHNIHPQQINVTTCLTQVKQRSPHLCRP >Sspon.05G0012900-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:43416015:43416224:1 gene:Sspon.05G0012900-1P transcript:Sspon.05G0012900-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DGGLGPRDRGDGVVRRPHAGAALHAAGPRPGGGVRQHAHQRPRHPRPRRPLLRAHHHLPHRRRHPRLRGL >Sspon.08G0011980-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:53502071:53507318:-1 gene:Sspon.08G0011980-3D transcript:Sspon.08G0011980-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRVDKDMHLEEDEQLARAIQEKRVQDVTERLVTGVFSVAWELFGIRNEFYEGLNMKVEQQVPLLLVERQALNEAMEGEKAGHHHLPETRGLCLSEEQTVSTIVDRFNFSS >Sspon.04G0030360-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4C:460484:470153:1 gene:Sspon.04G0030360-1C transcript:Sspon.04G0030360-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MWPYHASGGETRPPGVLCSDV >Sspon.01G0031320-4D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1D:116301199:116302320:-1 gene:Sspon.01G0031320-4D transcript:Sspon.01G0031320-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAHAHHHHQLPPVPPPGGALAPRPDMAAVVAASGGGGPTGGGSAIRPGSMTERARLAKIPQPEPGLKCPRCESTNTKFCYFNNYSLSQPRHFCKTCRRYWTRGGALRNVPVGGGCRRNKRTKSSKSNSSSAASASGAGGTSSSTSSTATGGSSAGGASAAIMPPHQGHGQLPFLASLHPLAGGDHYSTGASRLGFPGLSSLDPVDYHQFGASAARGGGGGGAAIGLEQWRLPQIQQFPFLSGRPDAVPPTMSGIYPFDVEGHGGDGTGFAGHMLGGSKVPSSAGLITQLASVKMEDNPASAAMANSSPREFLGLPGNLQFWGGGSNGGANGNNVGGAGNTGGGGGGGGGGGGAVAPGSSWVDLSGFNSSSSGN >Sspon.03G0030240-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:20095368:20096201:-1 gene:Sspon.03G0030240-1B transcript:Sspon.03G0030240-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPLIGPATPRTPGGAAPSVPSPLPPASPRPAITLTAPPSSNKRRRRGAAGAARSSLRAIRAVRALFRSLPILAPACRLPGVIPRHGGGPRGHDGGHHIGVASRTTGTLFGHRRARVTLAVQETPGSVPVLLLELAMQTGRFMQEMGAEHLRVALECEKKPPGAGAGIGRTRLLDEPLWTAYVNGRKIGYAVRREPTEGDLTVLQLLRTVSAGAGVLPADVVGGGGSGAPEGQEAGDLAYMRAHFDRVVGSRDSESFYMLNPDGNNGPELSIFFIRI >Sspon.02G0050090-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:48858390:48862908:-1 gene:Sspon.02G0050090-1C transcript:Sspon.02G0050090-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHPASDRSLESSSRDRTRPVTRDRMRHRVCFTSRHQAAQVDANRTRYTILTERAAPDVRSKSRELPARSDASDRRLSSKPCHNFEQAQHTSHNRSSKNHHAPFPPSPRRRAAAKPQAAAAPADACITGKPDAEVIRRNKAITAHMRAGRVPDAERLFAAMPRRSTSTYNAMLAGYAANGRLPLALSFFRSIPRPDSFSYNTLLHALGVSSSLADARALFDEMPVKDSVSYNVMISSHANHGLCGQIEEAQKMFNRMPQRDVVSWNTMVSGYARRGDMAEARRLFDVAPIRDVFTWTAVVSGYAQNGMLKEAKRVFDAMPEKNAVSWNAMMAAYVQRRMMEEAKELFDAMPCRNVASWNTMLTGYAQAGMLDEARAIFGMMPQKDAVSWAAMLAAYSQGGFSEETLQLFKEMGYCGEWVNRSAFACVLSTCADIAALECGMQLHSRLIKAGYGVGCFVGNALLAMYFKCGNMEEAHSAFEEMEERDIVSWNTMIAGYARHGFGKEALEVFDAMRKTSTKPDDITLVGVLSACSHSGLVEKGISYFYSMHRDFGVTAKPEHYTCMIDLLGRAGRLDEAVNLMKDMPFEPDSTMWGALLGASRIHRNSELGRNAAEKIFELEPENAGMYVLLSNIYASSGKWRDVDKMRLMMHERGVKKVPGFSWIEVQNKVHTFSVGDSVHPEREDIYAFLEDLDIRMKKAGYVSATDMVLHDVEEEEKEHMLKYHSEKLAVAYGILKIPPGRPIR >Sspon.03G0022840-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:92179108:92180271:-1 gene:Sspon.03G0022840-2B transcript:Sspon.03G0022840-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMMGEGVSVPPWSHHVPVSGVDVVGGGGDEMTPYLLAALRQYLPCNDAAGADAGDEDAAAAAAMVAGVDGYGCDEFRMYEFKVRRCARARSHDWTECPFAHPGEKARRRDPRKYHYSGTACPDFRKGGCKRGDNCDFAHGVFECWLHPARYRTQPCKDGTACRRRVCFFAHTPDQLRVLPTTQHQQSSPRGAACSPLAESYDGSPLRRQAFESYLTTKSIVSSSPTSTLMSPPKSPPSESPPLSPDGAAAFRRGSWPGVGSPVNDVVATLHQLRLSKANSSPSGGWGGYPASAVAYGSPTAGGFYSLPSTPRATPTTLATASGYMANLEPLDVSFGGDEEPVERVESGRALRAKVFERLSREGAVSGDFTAGIGGPDVGWVSDLIN >Sspon.03G0010810-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:29632837:29636534:-1 gene:Sspon.03G0010810-1P transcript:Sspon.03G0010810-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGNGAGVLQLREVSPWSVLGAAAAVQLLWLAAQVLEWAWWAPRRMDRALRAQGLRGTRYRLLWGDLRENQRLIAAAKTTPVSVDRPHDILPRVAPLLHHAIQEHGKLSFTWFGPTPRVVITDPELAREVLANKCGDFEKSMLSSLSKLLVAGLVILDGEKWAKHRRILNPAFHAEKLKGMLPAFLACCSELVDRWEKQLSASVEPTELDVWPEFQNLSGDVISRPAFGVSHEEGRRIFLLQAEQAERLVQSFRINYIPGFSYGKDDPEGIIEKRQKAVSSGETTKDDLLGLLLESNMNYSDSDGKSSKGITVEEVIGECKVFYFAGMETTGVLLTWTMVLLSMHPEWQDRAREEVLQVFGQNKPDFNGVARLKVVTMVLYEVLRLYPPVVTMNRRTHQPTKLGGVTYPAGVMLTTPVMFLHQDPALWGSDAGEFNPGRFTEGVSKACSDPGAFLGPRVCIGQNFALVEAKLAVTLILQRFAFELSPAYVHAPYTVLTLHPQHGVPFRQLDLCPVLRMQSDHGVRRVGVGRRELKGEVLEDGAECHLGLQQREVLADADPGTPTERKEAAAALQLGRA >Sspon.06G0018390-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:82275702:82278145:1 gene:Sspon.06G0018390-3D transcript:Sspon.06G0018390-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGGGGGGGVCNKRYRRSDGDDGETNGRNKRCGVGPSSGANDDAESGDFYDGGGHADEQSEGDAAASASDTEQRYVVLTESDLRARQEADTAKVAEVLSIPSGFAAVLLRHFKWRVGRVLEEWFTDERRVRAAVGHGDELVPCARSPRAQVCGICFDPYPAGRTRSAACGHYYCDGCWSGYVAAAVGDGPRCLSLRCPDPSCAAPVVRELVDAVAPGAKVRARYARFWLRSYVEESGGRIKWCGGAGCSRSVEFLGDAAATDVFCDYGCRHGFCWGCGEEAHRPVSCGTVRAWLAKNASDSETTNWVVAHTKRCPKCQRPIEKNHGCNHMTCGAPCRHQFCWLCLDPWDNHRGCTRYDYRQRQQMEAAAADEDEARRRHAKASLDRYLHHYERWAGDGKSLQKALADADELERSELERMARMVDVPAMELGFVTEAYRQIADGRRVLRWAHAYAYFLFLDPERDAAKRDLFDDLQSQANRWLECLHSCAELERKELFGGGADGDGESTPVAVEAFRAYKEKVANLTGVTRKFMGNLVKAFKTNLPEVVVTPPAFDFDHIVNGPTMGSQTDGVGGTISSVTRILNWYTQEDITNGGRLSNLSQFAPMNSSFIGEATTSMGPPNIGVITPLQVHMSNMISSGTTSTPSVTFSMSAPGQPIGTQDMVQSTALGSFGPNTSTAWDNSDIAESSSQPNIMGMNRQAGINPLSSAMNVPIGMHPNAHQPPPKYVRIWE >Sspon.03G0039600-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:18352431:18354064:-1 gene:Sspon.03G0039600-1C transcript:Sspon.03G0039600-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPFYFGPDQQLDAAVDDEDYLTSLGLVLSPAPPPAALPGSAFEAYQRRVPALLEHYSLTTLPETHSSWRRGLHGGRRGCPAFPAPADETTTVGVGSSQQAPRSSRFRHIMRERLRRERLRQDSPTSTRFCPPARLQKLVITTTHTNGGKNDIVGAAAGYIRELGARKEWLSARNEALLERAAATRWRGGGTRSSSVGRRGMVVKVRAESQDHSMVIDAFERVLQRLKAMEELQVTAIRSRFSAGGMWMHVGVEGQVSTGEVDRAITNALMELEGNDPRSSKPSFSCQVESGQMG >Sspon.06G0007650-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:34608894:34618831:1 gene:Sspon.06G0007650-1A transcript:Sspon.06G0007650-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQYGLVHISTGDLLRAEVSSGTEIGKKAKEYMDNGKLVPDQVVTDMVVSRLSQLDVQERGWLLDGYPRSFSQAQSLENLKIRPDIFIVLEVPDDVLINRCVGRRLDPVTGKIYHLTNFPPENEEISARLITRSDDTFEKVKSRLDTYKQNSEAILPTYSDLLNQIDGNCLAEVVFKEIDSLLQKICENTSANKLTKTNGESMKEIREYFYNDVVKATKLAIEDKKTRLQIDINIPELNPEMDVYRIGTLMELVRELSLSFADDGKRVKVIILATNAYIIAQPPPPFSFPSNKFT >Sspon.04G0008920-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:60947541:60949432:1 gene:Sspon.04G0008920-1P transcript:Sspon.04G0008920-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQFFKIADAEENLGESEVREAHLAKSLYFIRVGEKEKALEQLKVTEGKTVAVGQKMDLIGFFYMDFDLISKSIDKAKNLFEEGGDWERKNRLKVYEGLYCMATRNFKKAASLFLDSISTFTTYELFPYDTFIFYTVLTSIITLDRVSLKQKVVDAPEILAVIGKVPHLSEFLNSLYNCQYKSFFVAFSGLTEQIKLDRYLQPHFRYYMREVRTVVYSQFLESYKSVTMEAMATAFGVTVDFIDQELSRFIAAGKLHCKIDKVAGVLETNRPDERNAFYQATIKQGDFLLNRIQKLSRVIDL >Sspon.05G0010160-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:17031067:17036855:-1 gene:Sspon.05G0010160-4D transcript:Sspon.05G0010160-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEVLVAVEGGRARDYGGGVTFSVAVTSLMAASCGLIFGYDIGVSGGVTQMDSFLKKFFPENAKRDAYCKYDNQLLTAFTSSMYIAGMLASLVASRVTRRVGRKAVMLKGGVMFLAGSLINAGAVNTAMLIIGRILLGFGVGFTAQAAPLYLAETSPTRWRGGFTTAYHFFLVAGTLVANVANYFTNRIPDWGWRVSLGLAAVPAAVIVTGALFVSDTPSSLVLRGEPDSARASLQRIRGADADVEAEFKDIVRAVEEARRNEEGAFTRLLRGKGYRHYLVMMVAIPAFFDLTGMVVISVFSPVLFRTV >Sspon.08G0017570-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:3236759:3238322:-1 gene:Sspon.08G0017570-3D transcript:Sspon.08G0017570-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRSTAVRAVPLCLWLALGVATLTLPQAHAAEADADLTKITSKVYFDIQIDGKPAGRIVIGLFGKTVPKTAENFRALSTGEKGMGAYGEPLWYKGSTFHRIIPGFMIQGGDFVNHNGTGCDTIYGKDVFPDENFKLNHAQPGTISMANYGKDTNGCQFAITTVEGSKLPKKLDGVHVVFGKVVSGMDVVQKIEAQGQPSGVPKAKVLIADSGELPGSDEL >Sspon.02G0032580-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:86161215:86164453:-1 gene:Sspon.02G0032580-3D transcript:Sspon.02G0032580-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQMPFCWDRRSPAHAPENHVAINGTVVVSCHFGLSLPGKTTTLRLFSSTQMDPNTGKGKLSAEAPLKGGKKTKAKQGAVKTTTMTYQVTFFVDADFGTPGAVVVKNGLRNDQFFLRHVQLDMPEAGRSIHFECNSWVYPYKKTNADRVFFINTSYLPDKTPEALRLLRDEELRSLRGSGRGEPKDWERIYDYDYYNDLGNPDKEDHARPVLGGITSMYPYPRRCRTGRPLYKTGMKHRTRKHMINLDFYIPPDERFSPTKLAEVLTLAVQAVTHFVLPESKALFHGNINSFRSFEQLKGDLYRKPQQPVVDGQVMDKLKTSVPSHKTYKQVSKMVKETPVKFPIPQVIEHEPEAWRSDEEFAREMLAGLNPVVIKRLEVFPPVSRGGKESSITAAHIDRQLQGRTVQKALEEKRLYILDHHDYLMPYLRRINTQQGVCVYASRTLLFLKDDGTLKPLVIELSLPSDGSGDGEISRVFLPASQGTDGHLWWLAKAHVSVNDSGYHQLISHWLFTHATVEPFIIATKRQLSAMHPIHKLLEPHFKDNMQINTLARSILLSAGGILERTMFPGKYAMEMSSAIYSEWRFTDQSLPNELVKRGMASKDPRTTTLHLHVEDYPYAVDGMDVWRAIDGWVRSYCAHFYHSDAAVAADAELQAWWHDVRTVGHGDRQRDPACWLELDTVANLAESLSTLIWIASALHAAVNFGQYGYAGFMPNRPTRCRRFVPLPGSPEMAQLEADPDRFFLDTVPDRFTTTLGLTLIEVLSNHTSDELYLGQRATAAWTDDGEVLQLLDRFRDELRRVEKRVTERNKDLRLKNRKGPAKVPYTLLFPDVGNVGGKEKGITGKGIPNS >Sspon.03G0006050-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:16797227:16797602:-1 gene:Sspon.03G0006050-1A transcript:Sspon.03G0006050-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEGKAVVEDTDMPAKMQAQAMSAASRALDRFDVLDCRSMAAHIKKEFDAIHGPGWQCVVGSSFGCYFTHSKGSFIYFRLESLRFLVFKGAAA >Sspon.03G0025840-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:3728204:3733540:-1 gene:Sspon.03G0025840-2P transcript:Sspon.03G0025840-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHTKGASSDAIRVSTSSAPSTSSHGSAQDDYDSSGDVYAWGEVICDNTVRVGPDTVIRSTGKADFLLPKPLESKLVLDVYHVDCGVKHAALVTKNGEVFTWGEESGGRLGHGSREDSVHPRLVESLAISNVDIVACGEFHTCAVTTAGELYTWGDGTHNIGLLGNGTDVSHWIPKRISGALEGHQVAYVSCGTWHTALVTSRGQLFTFGDGTFGVLGHGNRESFSCPREVESLSGLKTIAVACGVWHTAAVVEVIVTQSSSSMSSGKLFTWGDGDKHRLGHGDKEPRLKPTCVASLIDYDFCRIACGHSLTVGLTTSGQVLSMGNTVYGQLGNPRSDGKLPCLVEDIMGEHVVQVACGSYHVAVLTNKSEVFTWGKGANGRLGHGDIEDRKIPTLVEALRDRGVRHIACGSNFTSAICQHKWVSGAEQSQCASCRQPFGFTRKRHNCHNCGLVHCNACTSHKVLRAALAPNPAKPYRVCDSCFMKLNSAAYSSAVNKKKEAVPRHSGESNHDAKLARAIVPSNLDMIRSLDSKAAKQGKKTDALSFLRTPQMSSLLQLRDIALSGGIDMNKSVPRAVRTSVRSLNSSRAVSPFSRKPSPPRSTTPVPTTHGLSVAKTAADSLAKTNEMLSQEVERLRAQVDNLRHRCELQELELQKSAKKVQEAMTMVSEESAKSKAAKEVIKSLTAQLKDMAERLPPDQGAYDGSEAKQAHVPNGIEMYASIYTGMNGIHQPRNESISAVSTPSLNIGRSLLPNGISNQHKSPGSISENSEVSAHTHRVSGPPEAENPNRRGHCSSDEMLSASSRADDSSNKDARSLFNGEDGYKSRSAVSLPSNQVQAEWIEQYEPGVYITLTTLRDGTRDLKRVRFSRRRFGEHQAESWWNENRDKVYEKYNVRSSERVSSASSIRSAR >Sspon.02G0018360-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:60676678:60677214:-1 gene:Sspon.02G0018360-2B transcript:Sspon.02G0018360-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGRRRCIREVLLAAVVVTAAAAASGGLQVAAVRDDDFFVDGSVYCDTCRAGFETNATTPIAGAKVRLECRHYMSASGAVERSAEGTTDAAGRYRIELVDNRGAEEVCSVVLVSSPVPGCAEKEVGRDRAQVELLTDAGAGLTTTVRRANPLGFLKNQPLPNCGQILSSYGLGSGPSY >Sspon.03G0025850-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:1391764:1392387:-1 gene:Sspon.03G0025850-3D transcript:Sspon.03G0025850-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALACTAARLVHPCMGMVSKHPRTPPPPSSCCLHLHILHHRPVASSHFLRHSVDVSKDDKPLETPTATTTQGESPQQTTAALQEEAEDDDGEPKLDPRRFEEKFAVLNTGIHECRSCGYRYDQAAGDPSYPVPPGLPFAQLPDDWRCPTCGAAQSFFESKSVEIAGFAQNQQFGLGGNSLTSGQKGLLIYGSLLVGFLFFISGYFLQ >Sspon.07G0018630-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7A:67379174:67382671:1 gene:Sspon.07G0018630-1A transcript:Sspon.07G0018630-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLSAAYGATSDDEDAADPPSSAPAATGSASFASPPLKRPRWESHQYLPPTHCFPQQRLLDAAPPLASPSSGRYVSKRERALLASSQAHVESGSPLTPLTRAEFDCAGHLLASAGMDHTVRVWNVWDKGNTTARVLKHHTAAVKDLVDVVEGKVMKVFKEDQAVEVIKFNPSNPDIFLSGGSKGSLRLWDIRCGLVTKEFHRNLGTILDIDFSADGRQFISSTDTTRSNISENTIIVWDVMRQVPLSNQINIT >Sspon.01G0021470-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1A:78760752:78761306:1 gene:Sspon.01G0021470-1A transcript:Sspon.01G0021470-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTELLRSPASMAKVCDELATVIGPRESIEESDIGRLPYLQAVVKETFRLHPPAPLLLPRHAQVDVKIMGFTIPKGSRVFVNVWAMGRDKETWPEPEKFMPERFLGKTVDLRGGDFDLIPFGGGRRICPGMPLAIRMVHLLLASLLNQFTWRLPAEVERDGVDMAENFGLTLTKAVPLCAIATTI >Sspon.01G0023190-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:81324572:81326492:-1 gene:Sspon.01G0023190-4D transcript:Sspon.01G0023190-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMERRHGFFAALREEVARGLSPARARRKSEAADLAAAALRFAGVVGCGEMLAPLMEGPDPEPSDGDGGGCRGAARGRKEGWGHWVRGQFARAPSSAVAGAGASSGALRNDLRMLLGVLGAPLAPVHVCASEPLPHLSVKDTPIETSSAQYILQQYLAASGGHKLLASLRNSYAMGKVRMVATEFETAGRLTKNRNAGRGGEPGRFVLWQMAPEMWYIELVVGGSKVHAGCNGKLVWRHTPWLGAHSAKGPVRPLRRSLQGLDPLMTASMFARARCIGERKVNGEDCFILKLSTDTETLKARSEGHAEIIRHVTFGYFSQRTGLLVHIEDSHLSRIQSAAGGDAVYWETTISSFMEDYRPVDGIMVAHSGRSAVTLFRFGEVAMSHTKTRMEEVWSIEEVAFNVPGLSMDCFIPPTDIKSGSVGETMELTNGERSRAGPPPGYCAKVAALEKAEEDKVAWGGGTILESHN >Sspon.01G0026090-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:21381335:21384259:-1 gene:Sspon.01G0026090-4D transcript:Sspon.01G0026090-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAEVAIEVPPQQPPPPPAAATTREPAVVPRVLQYLYLASAWVACAGVAAATVARRALGDSSPVVWAFLKVSIGALVFPALLILVFAVRVLRAMLAAGFRRSLRTHAREIQIQARKMFGALTWKALQDPIVLAWLASFLFILLLGASVLVFVGLLPMEESRRERIGYVLSDVGVLGAMSMYCFIIIPSFALKLWRNK >Sspon.08G0016980-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:61066232:61067976:-1 gene:Sspon.08G0016980-2B transcript:Sspon.08G0016980-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAKRLHARLLRHGEHRLQPLLLCVLAAGDLRYAALLLDSYPSCPPSAPLHNRLLHALAAARHPLLLPCFARAHRLRLLTPLSFTLLFSSPSSSSSTRFAACAHALLTKSGHAASSGDPYLASESSRVFDELPHSDAAARNALLSAYARGGRVGDAEALFAGMPDRNVISWTAMVSGYAQNGRHEDAVRTFLEMWEGAGVWPNEVTVSSVLPACAAVGALALGKKVERYARGRGMLRNVYVANALVEMYAKCGSIRRAWMVFRGIGTRRDLCSWNSMIMAFAVHGLWREALGLFHKLRMTGAKPDGITFVGVISACTHGGLVDEGKLLFNSMREEFGLKPRIEHYGCMVDLLGRAGLLKEVDSLIASMPMEPDAIIWGALLGACSFHGNLELAEVAVEKLMRLEPQNTANLVILSNIYASRGKWDGVAQVWKLLKEKDHKKSAGYSFIELDGRMHKFLVEDKSHPRYEMVYDTLDSITLTMKLVNLENSEVEIFYGEETEKITDYLL >Sspon.07G0021980-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:5665510:5665992:1 gene:Sspon.07G0021980-1B transcript:Sspon.07G0021980-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding PMESSPAASSSRPRDPLLFGSFDLPAGWGCRKPMAFCRDRDTDDDAPVAAAAHDGGKDSGPRSPARAATATAQQAPVAGEEAQQEAPRRQWNLRERTSWRDYRAEDARQARKLGSTDAGGGSRGFSVALTRQEIDADFVAITGRKAPRRPRKRTKSVQRKI >Sspon.01G0054970-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:72026704:72028236:1 gene:Sspon.01G0054970-1C transcript:Sspon.01G0054970-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPYMDTANKHDAGSSGVLRSSRMCHLVTMSTALWALVFYMHSGMQGGGGMVSKLFNASSSLSRPNLSSSIPVSRRRPPSEQPPASVAPENRSVVAPAADRCAGRYIYMYDLPPRFNDDLVRGCRKLSRWTNMCSYLANCGMGQPLGDEGGVFSARGWFATDQFMLDVISHCRMKRYECLTDDSSLATAVYVPFYAALDAGRYFFNSTSTRDALALDLVDWLVQRPEWRAMAGRDHFMVAGRTSWELERKADVDEEWGNKLLSLPAIRNMTALILETSPWNRSGFGNEMQQSWSSLAIPYPTYFHPETAADVVAWQDKMRKAERKWLFSFAGAPRPGSKKTIRAEIIQQCGASRRCNLFDCGRGASCYWPGGATRVFEGSDFCLEPRGDTLTRRSTFDAILAGCIPVFFHPGSAYTQYTLHFPSDPNKYSVLIMHTDVTRRNVSIEETLSKISPAAVKAMREEVIRLIPRVVYADPRSTRVDFKDAFDVALEAVIDRVAKRRRSAATGREH >Sspon.01G0031180-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:102125196:102134099:-1 gene:Sspon.01G0031180-1P transcript:Sspon.01G0031180-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ICLGVSAMAPHHQAATLIVSPSYPNAIAWSSDNLVAVASGHIVTILNPAALDGPRGLVGLRRSDPFPIGVVKREDLLEPCLLPTSLARETEPCARSISWSQQGFAPNSGCLLAVCTVDGHVKLYRSPIWELCDEWVENTNTGETQVLESTCELQESLYFRGPGQRKRKPPRVDGFIYDGNEVDLDASKDADFSMEPCSKSEKKSLKKTVKPRHEVVAVHERNSTGNIKASLSSNGENKSLPLITAKQYACRAAHLSSLVVSWSPVVSSSDNTSCLLRHWCILAVGSKSGNLSFWKLCKPEYYTIDVGVVISDPMLIGVLQAHKSWVSVITWQVLSAGSSKSSLLLATGCSDGSVKIWLGNIEGLNQCASGEEVATDLSAPVSSISLAIPARSQHEVSLAIGRVSGSLEIWIWNASSNKIEIFSAWHAHDQVVYNGLWMAIVYSLAVSALVFSSK >Sspon.07G0004220-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:6548772:6554386:1 gene:Sspon.07G0004220-3C transcript:Sspon.07G0004220-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSRSYSNLLDLAAGNFAALGPSGGGRRRLGSFGAKRMPRVMTVPGTLSDLDDDDDEQAATSSVASDVPSSAVGERLIVVANQLPVVARRRPDGGRGWVFSWDEDSLLLRLRDGVPDEMEVFFVGSLSADVPPGEQDEVSQTLIDGFRCAPVFLTPELNERFYHHFCKRYLWPLFHYMLPFASPLPPTAEVAASGDGGRFDRSAWEAYVLANKHFFEKVVEVINPEDDYVWVHDYHLMALPTFLRRRFNRLRIGFFLHSPFPSSEIYRTLPVREEVLRTLLNCDLIGFHTFDYARHFLSCCSRMLGLEYQSKRGYIGLEYFGRTVGIKIMPMGIHMGQLQSVLRLPEKEDKVAELRQQFQGKAVLLGVDDTDIFKGINLKLLAFENMLRMHPKWQGRAVLVQIANPPRGKGKELEAIQAEIQESCDRINREFGQTGYNPVVFIDRNVSSAERLAYYTIAECVVVTAVRDGMNLTPYEYIVCRQGIPGSESAPEVSGPKKSMLVVSEFIGCSPSLSGAIRVNPWNVETTAEALNEAISMSEQEKQLRHGKHYRYVSTHDVAYWSKSFVQDLERACKDHFRKPCWGMGLGFGFRVVALDPHFTKLNLNTIIMSYERAKSRVILLDYDGTLVPQTSIDKKPSSEILRIINTLCSDSNNTVFIVSGRSRDSLGSLFASCPKLGIAAEHGYFLRWTRDEGWQSSTHTSDFGWMQMAEPVMNLYTESTDGSYIETKETALVWHHQDADPGFGSTQAKEMLDHLESVLANEPVAVKSGQFIVEVKPQGVSKGLVAEKILTLMKEKGQQADFVLCIGDDRSDEDMFENIADVMKRNVVAPKTPLFACTVGQKPSKARFYLDDTLEVVNMLSLLADASEPDPVIELEDDLATSVSAIDISDEPPQLGDVVRILKKLDNATFSCNLFGDIPYFDHKRKKENYVKELVFVTMIHCS >Sspon.03G0011550-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:47554310:47555497:1 gene:Sspon.03G0011550-2C transcript:Sspon.03G0011550-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMNPADREPGSHGHGHLIETSLLPLKKRAFSAGDPYGPSPSRKKRMADNSVVGAETKDTTGALEAPVPRQRHPVPAAASREPAADLPAPTAASLEPAAEDNALSESDEEKKRLSKRKWLAREESSRSLLTVTPQPPVKKLMHDGIRSFVPLPQQLRDEHRHAATAAAEADDDVPLPLHGSPALSSALKRRLAELGATRPWLVHSKTLHKSDVDENQNRLLVSCKRGSGTEDCPITRCFSPAELERVNKKHAGLAVTALDRDGVPYALTCKFLDSNNGYRFISEWKHFLIRNGMNLDSQRHKVWTRDVEVELWAFRSRQLPFQPQLDAEGKVVTVTTKDEDGKKVTAVQRVCHHLDADGALGLLLLHHENRRRRVKSEEKEEDDDWQGPSSPPSVA >Sspon.02G0034590-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2B:13547697:13549056:-1 gene:Sspon.02G0034590-1B transcript:Sspon.02G0034590-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCSKDIPFSPQNHPTRQYYGPRPIAVGPGLTLLKTKAKGQAPEPPVLRRFVRPLSVSSDPRDVIQASEHCGIIKALDPFAQLLLRSTFPHCNTLPRASTVLSHSHGSNRKKLRHATPYHVRLNGTESNGRSGPHLFAGAALGPRNLLHHDTVSGYGLAVSSTATACHHRDDDCAYAQES >Sspon.01G0021590-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:79305570:79325235:-1 gene:Sspon.01G0021590-1P transcript:Sspon.01G0021590-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRAIRKAAAATRRALSAAARASPAPPRGPLAPGWGATRWLCSGREALSYDVVIVGAGPAGLAAAIRLKQLCRAADADLSVCVLEKGSEVGAHVLSGNVFEPRALDELIPKWRQEDSPIRVPVSSDKFWLLTKNQAWTLPSPFDNKGNYVISLSQLVRWMATKAEDLGVEVYSGFAASEILYDENQIVTGVATNDVGIAKDGTKRETFQPGRITLLAEGCRGSLSEKIIRNHKLRERGQGQHQTYALGIKEVWEIEEGKHKPGSVIHTVGWPLDTKTYGGSFLYHLGDRQLAIGLVVALNYRNPFLSPYDEFQKFKQHPAVRKLLEGGTAIQYGARTLNEGGFQFIDKPVLKGWMCTKGMLAAEATFKSLVEGSSMELYWENLKKSWIWEELHKARNYRPAFEYGFIPGMALSALERSNTNHEHDQPPHLHLRDPTIPERVNVPLYAGQNHAIAQPGFTSTLATSKIQSRTSNGLFQKVEVALVTQIFDGTVLENLKKSWIWEELHKARNYRPAFEYGFIPGMALSALERSNTNHEHDQPPHLHLRDPTIPEQVNVPLYAGPESRYCPARVYEYVKYVSDEKGDPKLQINAQNCLHCKACDIKDPKQNIEWTVPEGGGGPGYTVM >Sspon.06G0005180-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:17277414:17278318:-1 gene:Sspon.06G0005180-1A transcript:Sspon.06G0005180-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGTVEELIRRLLDGKKHKVTGKKVQLTEAEIRHLCVTAKEIFLSQPNLLELEAPINVCGDIHGQFSDLLRLFEYGGLPPTANYLFLGDYVDRGKQSIETIGLLLAYKIRYPDNFFLLRGNHECASINRIYGFYDECKRRFSVRLWKLFTDCFNCLPVAAVIDDKILCMHGGLSPDLDNLNRIREIQRPVDVPDQGLLCDLLWSDPDRDCSGWGDNDRGVSFTFGADKVTEFLNKHDLDLVCRAHQAKPPSYCPAFACSCGCTTSLNA >Sspon.04G0011930-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:39665587:39667346:1 gene:Sspon.04G0011930-3D transcript:Sspon.04G0011930-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHLNALLNLTRSSMHHHNCKNIYMKQDRARDGRDAVARGSALESVESTSGGLNPPLLPVPFGDVGVPGMDVEPELQQSRTMDY >Sspon.02G0023670-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:94711079:94712061:-1 gene:Sspon.02G0023670-1P transcript:Sspon.02G0023670-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPTILFLSLYSLCVYIYKHERVHLQRRIASASKLELCRARKQRKRDIRSVGMTTTTMTRLMLSMAAVAMLLLASPAPVSGQPGPGGVVGATMSCTASLVTSFTPCLNFITNGSASPTDDCCRSLGALTKASAGCACLILTGSVPLGVPVNRTLAVTLPRACNSSSLQLQCRDASSAQSPAPGPVADAPAPSTFMAPLPPATAAAPEPEAPATAPPVEPTATATPPISQVQTKPTVVPSAAWRASSDVPATAGFALLLAIGAALMA >Sspon.02G0058480-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2D:76566527:76572960:1 gene:Sspon.02G0058480-1D transcript:Sspon.02G0058480-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFFLLIQAVLPNGDVVKTGSRARKSAAGYDLARL >Sspon.01G0022940-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:83430857:83434938:-1 gene:Sspon.01G0022940-1A transcript:Sspon.01G0022940-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLLLLVAVLGVVLASSLLLRWNELRYSRRRGLPPGTMGWPLFGETTEFLKQGPSFMKQRRLRYGSLFRTHILGCPTVVCMEPELNRRTLASEGAGFVPGYPQSMLDILGPNNIAAVHGPLHRAMRGAMLALTRPHMIRAALLPKIDAFMRAHLHGWAGRRVDIQEMTKEMALLSALRQIAGISAGPLSDALKAELYTLVLGTFSLPINIPGTNYSKGLQARKKLVAMLRQMIVDRRSSGCAQDDMLDALLSGNEGTRAKLSDDQIIDLLITLIYSGYETVSTTSMMAVKYLSDNPKALEQIRKEHLDIRKAKSPEDALDWNDYKSMTFTKAVIYETLRLATVVNGLLRKTTQDVEMNGYVIPKGWRIYVYTREINYDPFLYPEPMVFNPWRWLETNLESHPHFMLFGGGARMCPGKEVGTVEIATFLHYFVTRYRWEEEGNNTISKFPRVAAPNGLHIRVQDY >Sspon.03G0017390-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:78544956:78551303:1 gene:Sspon.03G0017390-2B transcript:Sspon.03G0017390-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPLSLLKTAQGHPMLVELKNGETYNGHLVNCDTWMNIHLREVICTSKDGDKFWRMPECYIRGNTIKYLRVPDEVIDKVQEETSKSRSDRKPPGVGRGRGRGDVGAKPGGRGIGRGQDDGGRGSGGRGRGGVGAKGGNKGGGRGRG >Sspon.08G0000290-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:1367576:1379324:1 gene:Sspon.08G0000290-1A transcript:Sspon.08G0000290-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLAGSFHRLPLPVLIPPAHRPISPALAASPLSRRRALLLLLSSFGIGPLSCYRGPSFAAMAAAAPPVAKKVPRQLVEHGDVRVDNYYWLRDDCRSDPDVLAHLRGENDYTAAVMSDVKQLEDEIYAEIRGRIKEDDIDAPLRKAQYYYYERTLTGKEYVQHCRRLVPTDAPITVHDVMPTGPDAPDEHIILDENIKAEGHDYYSIGAFKVSPNNKLVAYAEDTKVMTVVPDFQLFDNHIAVYERENGLPKVTVYRLPAIGESIGQLQGGRALDFIDPTYSVDPEESQFHSSVLRFHYSSMRTPPSVYDYDMDSGVSVLKKIHTVLGGFDASNYVTERKWATAADGTQIPMSILYRKDLVKLDGSDPMLLYGYGSYEICIDPSFRGSRFSLVDRGFIYVIAHIRGGGEMGRKWYEDGKLLKKKNTFTDFIDCAEYLIKNKYCSKEKLCINGRSAGGLLMGAVLNMRPDLFRAAVAGVPFVDVVTTMLDPTIPLTTAEWEVSISPGIGVISPLGPRAIVHVLLFADPICSCPGAEHGASTSPPRIQASASHLPLLRSKEWGDPRKEEYYYYMKSYSPVDNVTAQEYPNILVTAGLNDPRVMYSEPAKYVAKLRELKRDDNLLLFKCELGAGHFSKSGRFEKLQEDAFTYAFILKALGMTRKLASL >Sspon.05G0026710-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:38388631:38390103:-1 gene:Sspon.05G0026710-1B transcript:Sspon.05G0026710-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLPPVDGERRQAHVVMIPYPAQGHVTPMLQLAKLLHARGFHVTFVNNEFNHRRHLRARGPNALDGTERFRFAVIDDGLPLSEADATQDVPALCHSTMTTCLPRFKDLVARINAEAEVEGRPAVTCVVGDSTMTFALRAARELGLRCATLWTASACGFIGYYHYRHLVERGIVPLKDEAQLTDGYLDTVVDWIPGAPKDLRLRDLPSFVRTTDPDDIMLNFFIHETAGMSQASAVVINTFDELDATLLDAMANLLPPIYTVGPLPLTVRNNVPADSPVAAIGSNLWKEQEAPLRWLDGHAPRSVVYVNFGSITVMSNEQLLEFAWGLANTGYAFLWNVRPDLVKGGDSAGGLSPEIAAATEGRSMLSTWCPQAAVLEHEAVGVFLTHSGWNSTLESICGGVPMVCWPFFAEQQTNCRYKRTEWGIGMEIGDDVRRGEVEALIREAMEGEKGQEMRRRVTELRDSAVAAARPGGRSMRNVDKLIEEVLLA >Sspon.01G0017270-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:63234568:63237838:-1 gene:Sspon.01G0017270-1A transcript:Sspon.01G0017270-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GMQQKLLLNPTHTQQLFKNSSPHQSFQQDDALTQEGAIRTSRKAFIDHHVPSQHNKGSGNTSHHQISSKPTPIFKPQQVPKHQGHNEEDGLRKTCREQLFDHQSNTCHATEYDNSPFQPPVCIQSSFKSKVLKHAK >Sspon.05G0019940-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:82314273:82316998:1 gene:Sspon.05G0019940-3C transcript:Sspon.05G0019940-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSEDSLKSLSLDYLNLLINGQAFSDVAFSVEGRLVHAHRCVLAARSLFFRKLFCGLDPNHQPPPTPGSSAAGARAPELVIPVSSIRYEVLVLVLQFLYSGQASVAAPKSGPLPGCGARGCWHTRCGAAVDLALDTLAAARSFGVEQLALLVQPAEAAGGHGEGGVGGRRDEGADGVAQVRDAGALGHLLPPGGALGPLRRPPRQAPPHRRGGQDRGDPRQVPRRRLHRRRAALAVPHPPLPPHQRGVVGGRPRPQDPAHEARARRRRHRARQADGDGRRAGPRRRPGRALRRPALWARRRQGAAGARRRRRQLPRRARGEDGAAPGGRDGVPRHGLRAPRPPRRPQRPDARRRHPARRAPQPHLRVPLQGRRAGAHAHRAQQAQALPRARAVRGDGGHARRRRRYRYRRRRQGRRKRRRRELPEGRRRRRQLGEPYHELHAHVPGPGDGGAVAGEARKGSGGGGGGGRGGAR >Sspon.02G0026150-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:90846756:90847373:-1 gene:Sspon.02G0026150-1A transcript:Sspon.02G0026150-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISISSSSVAASNKRLLLVAVVSLALSASPSAVSARRRPVRLRLYMHDIVGGPGQTAVRLVKGPGPENPSMHPGNYFGDTVAVDDLLTEGLAVDSAPVGRAQGTYMTGSMRHPVFVVAVTLLLSAGPYNGSTLVVAGRDDTSLPVRELAVVGGTGALRRAAGHVLWSTARVESALHAVLLLDVHASVPAPSRAAAAVELLVSSA >Sspon.02G0017580-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:55338588:55342309:1 gene:Sspon.02G0017580-2C transcript:Sspon.02G0017580-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PSRP2 [Source:Projected from Arabidopsis thaliana (AT3G52150) UniProtKB/TrEMBL;Acc:A0A178VFQ5] MATAISSLVTPPALHHRRLSPASVSVSVSTPIRISFRAAAVPQAWRRGLALRVSASSAVLEAPEVVAARKLYVGNIPRTVTNDELRDMFAAHGTVERAEVMYDKYTNRSRRFGFVTMSTAEEANAAVEALNGTEVGDRKIKVNVTESFLPNIDRSAPESEPVFVDSQYKVYVGNLAKTVTTEVLKNFFSEKGKILSATVSHIPGTSKSKGYGFVTFSSEEEVEAAVATFNNTLKLQNTLKQQIL >Sspon.05G0018770-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:80184731:80189734:-1 gene:Sspon.05G0018770-1A transcript:Sspon.05G0018770-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding METAHEVAVYIDRFHNLDLFQQGWYRMKISALWEDDGNNRAPISPARVTQYEAIDIGVKSSFGFWKIDDVDNSFYTQPFLVKYSRQDIYLSVMVSFYIPNSEDEGPATSSVILKFELIYIPTLGNGWCVCYCVKLHVHPKFYSPHSSTLMSCFLLHHRTEVQDSSDDTDLIPVHEFRIPHRALLGLHSYCPVHFDALHSALVDLTIHIVYLKAAVTKSSSLKPLEQSFGSKSYDIVKASLISREILLEEVKKISNAIGNTLEDLDHTDLTIGKYETIQQSKSGSPNYNNGQGTPTKCSPQMTVLRDFLEPIQNSMSQAELHRKSIAQMKINTPSVQDMHIYADPSCIPVVRIEQHVMVIPQHGSSKDFLTDASEPACSIVPPLLQGQSLEEKTCGFKSGHILRAVIFVHGFQGHHLDLRLVKNQWLLLDPGAECLLSQINEDRTTGDFKEMGRRLANEVVGFLKRKVDKYSKNGGCKEIKLSFVGHSIGNIILRSALTEPKLQPFLKNLYTYMSISGPHLGYWYSPNSLFNSGLWLMKRLKGMQCMHQLTFSDDHDPQNTFFYKLCELKTLENFKNIILVSSPQDGYVPYHSARIDLCHASSSDNSKRGQVFTEMLNNCLDQIRAPTSETRVFMRCDVIFDQSAQGRNLNTMIGRAAHIEFLENDIYARFIMWSFPELFR >Sspon.04G0014590-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:57185858:57189802:-1 gene:Sspon.04G0014590-2B transcript:Sspon.04G0014590-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTERLHRPMDPPRPAGGRSGGVGAGDSPVRWDDDDDCGGGRVEGLAGLHIFDQEADEPPANNDMASSLDTNCIPIANGLNTETTETYVETEPGKGLNPLHEHTGIWVPVSVPPMTAQAREEWHRGFGCNGGYFPEEEFSWELDEENYEMTMWDVFADMVVAAKDKMVSAATYDFGRRGMSVVSNFFLQEAWKDMAQTLADANAGIANELLETEPTKWLPDSAATSCMLCGVRFHPIMRSRHHCRFCGGVFCNGCSNGRSLMPPKFMTAEPQRVCDVCGVRLESIQPQLMNQISRASQLPTQDVTDLSTLRSWLNFPWAHTMEYEIYKAANSLRSYCKVGGLKPEKAIPDTILRQAKGLAIITVVKVGMMVTYKLGTGLVVARRVDGSWSPPSAISTCGIGYGAQAGGEIADFIIVLRNTDAIRTFSGKAHLSVGAGVSASAGHVGRAAEADFRAGDGGYAACYTYSCSKGAFVGCAFNGSIVSTRDTENARFYGGPVKASDILLGSMARPPAASPLYKALSELFDKIGK >Sspon.03G0041740-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:45682745:45686293:1 gene:Sspon.03G0041740-1C transcript:Sspon.03G0041740-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGALLSSPHTLPSPTSQSRGPLGAGAARVLPPRRRARVLASCSREPAARNRCLEVERRRLLMSGLVSSFTIVLPISEAYAVMETDEDVKMNTQVDEINAYSFLYPVELPGKKVSFKWYFSCLHYDILSNLLCCHSYPVVCVLSGFSWLLMRTRIKLVTYKLLSYYSVPRVESRKPERYSSAAPLSPDARQRIVSERVDMIHNVVISVSKVTTGQRMTESSVLDAHATKLTCTSTYGKLFVGRRRTILAPEPNLFRHNVACTAERDDGSLSTTDCGILSPSPPYRKLCSSLQGSLEILVNGDSFCSSNFFLFR >Sspon.01G0036020-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1B:16907755:16907931:-1 gene:Sspon.01G0036020-1B transcript:Sspon.01G0036020-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDGGLFEVLVVRIGKGYAPSPEQRRGQLWEEMEEVELSLGLSLGGRFGLDRKGDNLPR >Sspon.01G0026350-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:43144613:43149197:1 gene:Sspon.01G0026350-2C transcript:Sspon.01G0026350-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein TORNADO 1 [Source:Projected from Arabidopsis thaliana (AT5G55540) UniProtKB/Swiss-Prot;Acc:Q9FJ57] MGDRMIMITSPSKRDDARDIGDIDLQSYKDVENIAFYQVPANLESVLSMESERSLGVHACMDNSGVNFLHRFLRHLVGYKENYMNLRNILFHGIEWQIQGLQLLCSLLGPGSSVKQVEFQKNVFGTKSAHAFVLLSEMLHQNNTIKAVVFSECRIGSTGATLLASALANNRSVEEFQVWEDSIGSKGAEELSKMIEVNFILKKLIILDNSSITVAPLISAVLARNRRVEVHIWGHSRGTKGGMNSCKIVEFLPETGSMRIYSNINSTGLQRVACALAWNTTVTTLDMSSVPLKSKWTKELRGVLERNRSLKTVKLSKCSLGDKAVVYIAAGLFKNSYLENLTLDGNRFGGVGVEHLLCPLSTFSPLQRQANTTLKVLSFGGERANIGKHGVSAILQMLETNQSLIQLAICYDASLKPNDVVKIFTSLERNATLRSLSLRGCKGVEGEAVLQTIMGTLEVNPWIEEIDLHGTPLHVAGKTDRIYEKLCQNGSLVLPNDFLDLPLSAPTCCRVFLCGQESAGKSTLHNSINQFMNPLKSSRIDVTSTLMNPIVQMEYTSENKTSAFFDGNTKLTMCNLGGQEESFPLHDFMFLVHGGPCFFMIVSSLVLEPANRYPKSIDQIELELIYWLKFLVSNAKRVSQLFLPSVTIVLTHYDKVAHLPEGLQPIAALVQRLREEFHSYAEIYPTVFAVDARSLVSVSRLTHHLRMTIKTILQQVPQVYEVCNDLVRVLHDWRLKNNKAVIKWSEFREICQLNIPALRLRSRRDNVEKVDTRRRTVAKSLHNLGEIIFFEELGVLILDCDWFCRDVLNQLATLKSVRTERSGFVRKQELEKILQEKLCNQIQGSNWRAGVSFQGNDVINLLLKLELCYEQDPGNPDTLLLVPSILEESKEGAQKWHLTMPDCRYVGRRLKCKDIHMFLTSDFFPRLQVRLHNKIMCMGQQQGAVYILEKNLIYTVVNGFHVRVELGRTLDSSIDLIIPTILNMSSSLVFEESIIRPDCVKYLIPHRFLQTQLLPLKRIKQILLSLPAESMYDYEHTWSAIESNKRIILQSGSDHAIELLSDDDFHEVLHRRYYDLQHLATELAVTPDNQQQPEIIPETDVVDPSILGIAKGVEMVLQRLKRVEQGIRDLKEEIASLRYYEYHLVTELHRKMDYMMNYNIQLEERKVPQMFYLVSLDNRSKQLVTRILPGMRSLRVHMLCEFRREMHVVEDQLGCDLIQVDNQTVKSLLPYMSKFMKLLTFALKIGAHFIVGMGEMIPDLSREVVHLLDSSAMYGAAASATSMGALGAAALYGRSRNGENQGGTSNMGEDMKTARQWLVDFLKGQGVLTGMDIAQRFGLWRVRYRDDGHIAWICRKHIAAREEEIFELPL >Sspon.02G0011310-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:30391324:30392750:1 gene:Sspon.02G0011310-1A transcript:Sspon.02G0011310-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRKTRNKIIVWTLALAAAAILVGGTIALVLTAGTWKAQIKKSQEKICNKGWECSGSKYCCNDTITDFFKVYQFENLFAKRNTPVAHAVGFWDYQAFITAAALFEPQGFCTTGGKKMQMMELCAFLGHVGAKTSCGYGVATGGPTAWGLCYNHEMSPDQTYCDKTYTQWPCVEGAEYYGRGAIPVYWNYNYGAAGDGIKVDLLHHPEYLEQNATLAFMAAMWRWMTPIKKNQPSAHEAFVGTWKPTKNDTLSKRLPGFGATMNILYGESICGKGFIDAMNTIISHYQYYLDLMGVGREHSGDNRDCAEQLPFNPSSPTDDQKQQQSGS >Sspon.01G0020670-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:74347893:74350890:1 gene:Sspon.01G0020670-4D transcript:Sspon.01G0020670-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSALRRSLPRRTSLRHSFPPHLPPAAAGAFRRSFQSGDGGDGETLEEFDERLFGSKGTEEGSLYAKLDRVENASRRYGMSSGMGGFAGFGDRSSSGSSMGGFPGFSDRSSSGSAMGGLGVFSNKSSSGSMGFFDSSNDSISQMLGNAARNFQRDDDDDDDDEWEEDDFEFRPDVTYRRGSTYSVRDLDLTRPAAAKNPPRPQFETTTAEVLRKADFRNVRFLSHFLTEAGIIIKRSQTRISAKAQRKIAREIKTARAFGLMPFTTMGRRPFIFGRSAEEHYSEEEYFGFGRQRDGEPVEDNRDEEPNVEAA >Sspon.03G0019520-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:60808578:60809270:-1 gene:Sspon.03G0019520-1P transcript:Sspon.03G0019520-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding PMPAPPRALLCSARCETMDATTATAKRKRPDTDITGDAVAAPVAVDEASDAEVEEFYAILRRIRDASRRLCGAGARPAAPRAPAWRPSFSWEDFAAPAAPPAQAPAQPGPDEPVPALAPAGTERAAAPSLRAGAGGTLGAGARPGVAHAASSVHHLPAPRQGEESGSAGPAASFAVGRWPLACPRPGEEVMSAETMRWSPSSAGGTVASLNHLM >Sspon.03G0020400-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:82881380:82895931:1 gene:Sspon.03G0020400-3C transcript:Sspon.03G0020400-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:E3 ubiquitin-protein ligase PRT6 [Source:Projected from Arabidopsis thaliana (AT5G02310) UniProtKB/Swiss-Prot;Acc:F4KCC2] MAGIDAGEGAAAAPPPSCRRSSKLILYGVPEEQLQGHQEGLVWYTEEHKEQIPDIVRHILSVGTDISEARKASKKDANSSSNGDAYSESLSWLQWLMFKNEPGAMLDDLERSNAGERAVCGSVWGHNDVAYRCRTCENDPTCAICVPCFQNGNHKDHDYSIMYTGGGCCDCGDATAWKREGFCSKHKGAEQIKPLPEELARSVGPVLDVLLQFWKEALCLLEPPHAKGGDSSSCKRIAEELTTSISSMLLEFCTRSENLLSFLSLRIREFPDMLDALIRSDRFLDKKVARKLHEFLLKLISDPAFKYEFAKVFIRYYPITFEEVIKGCNDSLLEGYPLMTTFSVQIFTVPTLTPRLVREVDLLGVLLGCLTDLFLSCIGEDGRLQTNKWGNLYDASIRLLDDTRYVLSHEEVSKHVAYERPDLTRSWIKLLSLVQGMDPQKRVTSIHAEDENEHLSAPFVLGHYLGIVQNLMMRGSFSPPDQHESTDVTVCSTAIKGVESAENQRHAKVGRVSQESSVSNLSSRDSSLCCGLPSPAAWLILQCLKAIESWLWLENDIALRSKLSSLDASSNDSHNFMASLEDPWSSLKGNSSRGIKINEGSQTDCTTDYYEASSSPVQGQGNRMQIDQEGMSPVSNSTGKGKMHDSSNTTDVQLHPEDAVTYTLTDGSILYAHPDSRIEELGILNTREWPHVVFDVSSQETSFHIPLHRMLSLLLRKAMKKCFGEDRHSDVQSNEFFSQILRGCEPYGFASIVMEHPLRVRVFCAQVRAGMWRKNGDAAILSAEWYRSVQWIDQGLESDLFLLQCCAALSSPEFFVRTIQERFGLSSYTDLGLAEQNEFESVLMQEMLTFLIQLVKERRFCGLSTADNLRRELIYKLAIGDATHSQIVKSLPRDLASSDQLQNVLDSLAAYSNPSGMKQGKYVLRKSCWKELDLYHPHWNSRELQIAEERYYRFCKISALNAQLPQWTHVFNPLRSISNIATSKAVLQIVRAVLFYAVCSDASSASRAPDNVLVTGLHLLWLALDICESERQIHAGQYGMDVVQHDDGSWVVLSSAEEAFPILTYSTELVSPVSDKVKKESMLTLLVSLMHKYKEESDATFSGSKYCNIPSLIEILLKKFAKLSKECMVTLRQMAPHIVPSTSDHTSTKESLGSSSDFMEKKAKARQRQAAIMAKMRAEQSKFAESMKSSENEGHDVTMLETDVSSSTGVVSEESLPVCSLCRDSDSKSPLCYLILLQKSRLATFVEMGNPSWENPAQVNKTVSVKREDSTDSSVSGSSTSEELVNDTTVEPSFDIDNMEVDAFLDFSNEQHPLIRYISSFPTGHSNSNADENVSLEAIEADIYSSILNDLFGSSNAHIQDSDQMLPSNTSNITVDTKRTRSPKRSVLGTYVSCLSAKHHHSSLYDVASKSSASVTTRNRFGPVDCDGIHISSCGHAVHQECHDRYLFSLKQRYVRRLGFEGGHIVDPDLGELLCPVCRRFANSILPASPDFSGKTSRMVRPFVQTLTPQVVTPTSDVNRNCLQFPRALSLLESAGKIVGESKFLKAISGKLNETTNPALDPCIRRLAMLYYPRSHSSFSPSKRLNPSLFLWDTLRYSLVSTEIASRGRMSSHSAESKSCLESLRGELNSSSGFILSLLFHAAHSARNLNRLEVLLRFEGIQLLAGSICSCISGYKDILNATKRKGSLPSMVDPASEGGLFPDIQFWKQCADPVLAQDPFSSLMSALFCLPVQFLSSAEFFIPFVHLFYVVCAIQTMSGYDIAREYFVSKYIDPSCHPKDMVRRLTYPYLRRCALLWELLRSSATSPLYDGSNIWEGSHLYLSNSTQDGSSSLAMELNGLRELEDLFQIQSLDLILQDESVHMLALKWSQHFCEDYNPRKYRGTLFSTPAVPFRLMQLPDVYQVLLERCNALIVAQCLMNRHCVCFVANYVRLKTTILLQRSARLAFWPSLYLDAFGEEDHEMQRGKPLYLSQERYTALTYLDLVDVHIVGTVVQLGVDPLR >Sspon.03G0038710-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3C:7185701:7187944:-1 gene:Sspon.03G0038710-1C transcript:Sspon.03G0038710-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGLSNKYIAELDEALRLLAGFNLIDLFPGSRLARALGPGSLRAAREVHVRVHRIVQAIIQDHASKVANNYGEGSGDDDILDVLLRLQRDGGLETVFTTEVVCAVLFDWRVASSLKLFSHLAKLACTPSKMSLFLTFQSSHAAIRNTSMKRQLWSLILSTPTEQPLVPIVSQRKILDELTYLIKLVGSLLADGNIKQLIKTGCLRHLSDGDIIILGVSSNGRKDVLQNTPCPIIFPEKGGRSISQLNLAARLSHLPIAMAFSIPPVPDEKKFQFFHQDVFAAGSETTATTTIWTMSELAKNPCVMRRAQSEVRRVLQGKTRVAEADIQGHLPYLQSVIRETLRLHPPLPLILPRSCAEPITIMGHDVPAGTTVFVNAWAIGRDERWWPDASEFKPERFDGEDDGGGVVDFSGADFRFLPGGGGRRMCPGLTFGLANIEMALASLLYHFDWELPGGADPGELDMDEAYGITARRKTDLALKATPF >Sspon.08G0030230-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8D:56572714:56574377:1 gene:Sspon.08G0030230-1D transcript:Sspon.08G0030230-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTSFATSGVSAATTLPASTVHSELSESSGAPLTLEALAGVVDRMGANIDVINRNMAAIQAALTSLLPPPPPPASQPPPPPTVAITDLARSGAAIQSYLSIPPLQPVSWPLPQSAVASLPPVFPYGMLGYSTTLLPGTTLLPFQDVQPTVQPTLQQIEQAMDITPEPVGKMLNCKVSAAVRLQAAARGLLVRRQLQEMRQPMHEATLATVDLSSAKRDLAPWDGHQQPRRPTVVFRREHGVFSARNDLQLCSSGGRGVAPLLVTGGDALPSATAFHRRPPRGRLRWSLSRLIPGGCTHAPLSFRWAPWDPGGYTRAGSSRGGCPPYLQESKIKSRSLFQVNKISRDVKDLFLGVRFVSSRVIVKLQLEDELHVQVKLEML >Sspon.06G0012290-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6A:65316645:65318282:-1 gene:Sspon.06G0012290-1A transcript:Sspon.06G0012290-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LATVVLAPKGRRAASDAPPEGEGRWSTQEKLIADDWLPVNARRNAKWWYAAFHNVTAMVGAGVLTLPYAMSELGWGVGVTVLVLSWIITVYTLWQMVEMHECVPGKRFDRYHELGQHAFGEKLGLWIVVPQQLVVEVGLNIVYMITGGQSLQKFHDVVCHGRCKSIKLRYFIMIFASVHFVLSQLRDFHSISGVSLAAAVMSVSYSAIAWIASAAHGTSAEAEADYSLRATTTPGKVFGFLGALGDVAFTYAGHNVVLEIQATIPSTPGKPSKKPMWKGVVVAYVIIAACYLPVALVGYWAFGNGVDENILITLNRPRWLIAAANMMVVVHVVGSYQVYAMPVFDMIETVLLPCIMWLIIYKPRRFSLSWFTNW >Sspon.01G0037080-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:20115088:20120578:1 gene:Sspon.01G0037080-1B transcript:Sspon.01G0037080-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLEATMICIDNSEWMRNGDYAPSRFQAQADAVNLICGAKTQSNPENTVGVMTMAGKGVRVLVTPTSDLGKILACMHGLEVGAEANLAAAIQVAQLALKHRQNKRQQQRIIAFIGSPVKYDKKVLETIGKKLKKNNVALDIVDFGESDDEKPEKLEALIAAVNSSDSSHIVHVPPGDNALSDVLLSTPIFTGEEGGSGFAASAAAAAATGASGFEFGVDPNVDPELALALRLSMEEERARQEAIAKKAAEDTSNTENNNASSSNSDSVMAEAEPASTAAVDDDDLLQQALAMSMEGGASGSTAVTDSAMAEAGAVDPDLALALQMSVQDANMSSDTDMSKVFEDRTFVSSILNSLPGVDPNDPSVKDLLASLHSQGEAHKEIEDRSPLAAFTLGFVEFAYPHVTVL >Sspon.08G0010890-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:45353591:45357754:1 gene:Sspon.08G0010890-1P transcript:Sspon.08G0010890-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLCCCSAAAGRGVLLPSLLLGRRHPLLSLRTTTTVSSSSAAATTTSSLSPPQQRQVTVYVDTLLEWNQRMNLTAVTDEAEVMTRHVADSLAMLPPLERAYRGRSTSAGGAIDGVSLIDVGSGAGLPGLILAVARPSWKFTLLESMRKRCTFLEHAVEAMELSNVDVVCDRAEKVGQRLDFRERYDIVAARAVAELKVLAEYCIPLVRIGGLFIAAKGHDPREEVRNAEGAVRKLGGSMLELCNVESMGPHGQRTAVIYVKEHATPKKYPRLPALYQLDYYLSKNNEPSSHILSQEQVLT >Sspon.07G0037160-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:49539151:49542082:-1 gene:Sspon.07G0037160-1D transcript:Sspon.07G0037160-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSAHAEQHEPNAHNHLQQQTYVELYHHGLHHIKSSALLCAVGLGIPGAIHCRGGAATISDLSETVYKLTPVSSVLVGDDKEASGAASLDMSALLRLLARPSTSVSTFFGLEEWFRDGGTTTLFEMALGVPPWSLTKNDAAYNRAMNEAGGGASSIFSGLSSQVDVGGGHGAAAMAIATAFPNIKCSVLDLEQVIIKVPRAGTDHIHSTVQFIAGDMFESIPPTDAVFLKHVLDCWDDDHCVKILQQCKRAIPARDAGGKVIIMNVVVGYGSLDNVVKETQVLFDMYMMLYGGSEREEHEWRKIFSKAGFSDYTITPILGFHSIIEVLCEHVVGHSKNTYPKFGLNPSSIEVYYI >Sspon.06G0000900-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6A:3080916:3084067:1 gene:Sspon.06G0000900-1A transcript:Sspon.06G0000900-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQHDDDLAGVHPEEGDRHGVRMDDSDYEDDELDQSTSKVTEHITAMDVKKGKDIQGISWDMMGTTRDKYRQSRLQRYANFENVPNSGRISEKAWHFQTNYLVELSISLGQVKFYVAILVQECMPSEKGQLYYEFQHNTRSVKSTILHFQKHHGNYYDGFYRTPVSTLAVKHNLLVIGGFHGEIICKFLDRQGVSYCCKSTHDDNGITNSLEIFEKPSGSLHFLASNNDCGLRDFDIERFQICNNFRFAWPVNHTSLSPDGKLAAIVGDNPDGLLIDANSGKLASVCFSQTVHELCGHLDYSFASAWNPDGRTFATGNQDKTCRVWDIRNLSKSVAVLGGNIGAIRSIRYTSDGKFMAMTEPADFIHIFDVESGYSRKQELDFFGEIAGISFSPDTEALFVGVHDRVFSCLLQFNRRRFYSYLDSPV >Sspon.01G0044780-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:66679662:66681770:-1 gene:Sspon.01G0044780-2C transcript:Sspon.01G0044780-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTLPAEARGFLGPHHVVRVLGTLTHGRPLGTEDIVVLASVRGTRLLDREVKRPHMDPFSCGPLLAFDDGAATVQALRVCCCIRVETEEQQGITLYRFNCTWKPEAARHQSKYAWWKVIVASKDRSSTLHY >Sspon.05G0013560-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:49617689:49621570:-1 gene:Sspon.05G0013560-2B transcript:Sspon.05G0013560-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding WCCACMPHTKDRAKKESRAAGPQEHTRILMEGAAATALVRPARKVLILALLLLMAASMAGRASSDGVRYDYRAYTECKPHPEPALYNGGVLRWASKIPDFRTEDEGNYSPAFVLYNMSAATAYSFSCWVKIDGPESAHVKAKILTLENAASQCIGTAIVRNDCWSFLKGGFILNSPSQTSVLYFQTASPNASTISIRSASLQPFSPDQWNQHREDRIQLIRKRFVNVHVSDGNGSRVVGADVAVHQITRDFPLGSAISKSIIGNKAYQEWFNARFNAAVFENELKWYATEPSPGKEDYAAADQLLQFVQSNDVMARGHNIFWEDPQYTPAWVKNLTGSELRAAVAGRIESLLSRYKGDFVHWDVSNEMLHFDFYENRLGGNATAGFFSTAKRADPLATLFLNDFNVVEACDDLSSSADSYVSRLRQLADAGVTFEGIGLEGHFGKPNVPYVRAVLDKLGTLRLPVWLTEIDISAAFDQRTQAAYLEEVLREGFAHPSVDGIMLWTAMGANASCYQMCLTDANFTNLPAGDVVDRLLGEWQTKEVLGATNDRGSFNFSAFLGEYRLSVTYLNRTADATFSLARSDDTKHINIRLPAGP >Sspon.03G0028350-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:9099854:9100635:1 gene:Sspon.03G0028350-1B transcript:Sspon.03G0028350-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDHIPIVLCYSRSKLFVYCTKPLVNITRKVTARHGHTSGSTDNINNGKLDFYYHFIAILGLGVLDLFYFLVCSRYINIRSCLSMLKSPSKVMPKKKKLHRSR >Sspon.05G0014860-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:54671468:54678674:-1 gene:Sspon.05G0014860-2B transcript:Sspon.05G0014860-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein transport protein Sec24-like At3g07100 [Source:Projected from Arabidopsis thaliana (AT3G07100) UniProtKB/Swiss-Prot;Acc:Q9SFU0] MASTYNIHGLKLNNFDLKRFYEFDRNLLGRLRRPKPASLFSLVSSASLLFVFNPRSRRLLFLLRIRLLPLSLPPPPRRPGRRRSAASTPRSRFDAMQPPMGNERPPPPGRPVSAFVPGATVPPPPFAAGGPFARPPQSGATAPPFGAAPPAAMGGFRGPPPSQGPFGAGPPPQGPFTTSAPPSQGPFASAPPSQGPFASAPPSQGPFATAPPSQSPFTSPPPSQVPFAAGPPPTGPFAATPAPFRPPPSSLAQPQSPTGGALPPPPTYARPPQTQGYYTGAPPANPQFPMSRPAFQQPVQTMPPPPMGPAATFGNQAAYAGPPVGGTLQSLVEDFQSLALSSVPGSLDPGVDVKGLPRPLDGDEEPVKLMEAYPLNCHPRYFRLTTHAIPASQSLVSRWHLPLGAVVHPLAESPDGEEVPVINFGSAGVIRCRRCRTYINPYATFADSGRKWRCNLCTLLNDVPGEYFCALDASGRRYDIDQRPELSKGTVEFVAPTEYMVRPPMPPSYFFLIDVSVSAVRSGLLEPQMMVVADLDDVFLPLPDDLLVNLVDSRHVVESFLDSLPNMFHDNVNVESALGPALKAAFMVMSQIGGKLLVFQSTLPSLGIGRLRLRGDDVRAYGTDKEHTLRVPEDPFYKQMAAEFTKNQIAVDIFSFSEKYSDIASLGSLAKYTGGQVYHYPSFQAPTHGDKLKLELNRDLTRETAWESVMRIRCGKGVRFTTYHGHFMLRSTDLLALPAVDSDKAFAMQLSLEETLMTTQTVYFQVALLYTSSSGERRIRVHTAAAPVVTDLSEMYRQADTGAIVSLLGRIAVENSLSDKLDSVRQQLQLKLVRSLKEYRNLYVVQHRIGGRLIFPESLRFLPLYILAICKSLALRGGYADVSLDERCAAGFSMMILPVNRLLNFIYPSLYRVDEVLTMEPNKIDASLKRLPLTFQCLDTGGLYLLDDGFTFLVWLGRMLPPELVNNILGLSLANFPDLSKIQVRECDNELSRNFMKILRTLREKDPSYHQLCRVVRQGEQPREGYLLLSNLVEDQMAGTSSYVDWILQIHRQTQS >Sspon.03G0005120-3D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3D:12317625:12318602:1 gene:Sspon.03G0005120-3D transcript:Sspon.03G0005120-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFYRYAYRGNSFEQTYRCYPPSFVGKPHLEGGDKVIMPQSALVRLASLHIEYPMLFELHKHNDDATQQRVSHCGVLEFVAEEGMIIMPYWMMQNMRLQEGDAVHVKNATLPKGTYAKLQPHTTDFLDISNPKAMLEKTLRNFSCLTTGDSIMVAYNNRQYYIDVVETKPASAVCIIETDCEVDFAPPLDYKEPEKPRQPTVPASSKAPAAEDGNTTVVEDELKFKPFTGSGKRLDGKASKLQASDDVPSTALSAPSGSNKRANQQISAPAASADSNYSRQKTGKLVFGSSASNSKELQKASVKEEEPAKKDEPRFQAFSGKSYSLK >Sspon.01G0025310-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:89703663:89738555:1 gene:Sspon.01G0025310-1A transcript:Sspon.01G0025310-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPMAEGREPTRPGARHDRQRQWRRPWYGVRPRANHGLPERKHLQMGRVLPAGRLQLQEGKGRPEVKGTGRLHAARPGRPKEAVRYPVLLTPTEKQMARDVCNAFRQMVCGFDLLRCDGRSYVCDVNGWSFVKNSYKYYDDAACILRKIFLDAKAPHLSSTIPPTLPWKSEPVQPTEGLTRQGSGIIGTFGQSEELRCVIVVIRHGDRTPKQKVKLKVTEEKLLNLMLKYNGGKPRVETKLKSAVQLQDLLDATRQLVPPTRSGRESDSDADDIEHIEKLRQVKAVLEEGGHFSGIYRKVQLKPLKWIKVPKRNGDGEEERPVEALMILKYGGVLTHAGRKQAEELGRFFRNNIYPGEGTGLLRLHSTYRHDLKIYSSDEGRVQMSAAAFAKGLLDLEGQLTPILVSLVSKDSSMLDGLEDASTEMDEAKARLHEIIISNAKAKNTEGPVEFPWMVDGAGLPANASQLLPKMVKLTKEVTSQVKLLAEGEDERLALTSTFSKYDQAKALGKTTIDVARIAAGLPCGSESFLLMFARWRKLERDLYNERKDRFDITQIPDVYDSCKYDLLHNAHLDLKGLEELFKVAQILADGVIPNEYGINPKQKLKIGSKIARRLLGKILIDLRNTREEAISVAEPKFIEDEAQFLPTKEAEHQQKIQVRNEDGRRSSSMSEKSLDQEDEDDRETKYRLDPKYANVRTPERHVRTRLYFTSESHIHSLMNVLRYCNLDENLQGEESLVCQSALDRLHRTRELDYMSNIVLRMFENTEVPLEDEKRFRIEMTFSRGADLSPLEVMPPRVHIVEHKNQLSLLPSRIT >Sspon.07G0003330-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:8067346:8070946:1 gene:Sspon.07G0003330-1A transcript:Sspon.07G0003330-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFWGLEVKPGSTVKCEPGHGFILHLSQAALGESKKSDNALMYVKVDDQKLAIGTLSIDKYPQIQFDLVFDKEFELSHTSKTTSVFFSGYKVEQPTEEDEMELDSDDEEELDIPVIKENGKADGKQQKSQEKAVAAPSKSSLVSKKSKDDDDSDEDETDDSDEDDTDDSDEGEGLSPEEGDDDDSSDEDDTSDDEEETPTPKKPEAGKKRPAENSLTPLPDKKAKVATPSAQKTGGKKGAVHVATPHPVKGKTPANNDKSKEKSPKSGGSVPCKSCSKTFNSEMALHAHSKAKHGAKLPKTHECSSGVQTKLSYLSKLASAKLSNLKQLDKFTSFALPFVVLGIISTTRGVECIQP >Sspon.05G0015290-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:56427913:56435815:1 gene:Sspon.05G0015290-3D transcript:Sspon.05G0015290-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDVLTPPPQQPELAGEKRKREEGSLDASTEDAPSAPASTGSAEDAAGSGVSRHPMWKTSLCSFFRRRGAGAEGCSHGESCRYAHTEEELRPRPDGTWDPTSDRAKKLRKVAAEAQEEAEEVTVDEQALDKCLVGLPRGWSADRLKSFLQDQASSTSAQFLLEISYATAKKKKGMTVGFVTFQSVEQLTNAVQVLKENPSGGKEIKIVDANRRSHQKAHVEAPVSNTGTATENGSSPTNAEETPAPESDSSSKRSARDAVTPLAHMTYIDQLEHKKQSMAQILKKLTRNARKACPPAIPLPDWVFKSKEIGVDEALMKEEFDKLSAALIQGAATCSPPLPLTTIVVQDHKGISNAAPTDCPLIPLLVPKGDQLDGTAEDKTRIHDHISNLKFSISPTAFFQVVGIEMNESAVSDAHRNALINGIKNCRFVCSKAEDVMGPLLTEYLGSPQQQAAASESNSEINDTSKNEDTIDCTSCDGENIDSSKQRNDNGEGQQPMDTSVDHPTCASDEEVDGVNKVVDCSHDEHNVVSGEQNCGEASLINDKPIETSSDNSLEQGKACQDGSSNPNDNVLAANSCQFKNVVAIVDPPRVGLHPTVIKALRTHPRIRRLVYISCNPESLVANAIELCTPTSEKQEKNKGNRGWRSMSSAGLARQRTKSMPNSEPFIPKRAMAVDLFPHTPHCEMVMLFESGSRLRRHPIHLSAAEVERNLPMPCLH >Sspon.01G0001360-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:4266141:4269665:1 gene:Sspon.01G0001360-1A transcript:Sspon.01G0001360-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHHLTPTPPSPMGNSAAGPSSKPPTPASTPNSRLASAPSSRHSATPTHASAPASAPTPASRTVYSDRFIPSRTGSNLALFDLAPSPSAASSSHEGGPAASSGSAPAASPYCTLLRAALFGPDTPDRVASSATACSSSSSPGPSPVGTPATGNIFRFKTEVRRSAKRALFSGEEEEDALFPGIFTTRGAGPRKVPRSPYKVLDAPALQDDFYLNLVDWSSHNVLAVGLGNCVYLWNACSSKVTKLCDLGVDDNVCSVGWAQRGTHLAVGTNQGKVQIWDATRCKRIRTMESHRMRVGALAWSSSLLSSGSRDKSILHHDIRAQEDYVSKLTGHKSEVCGLKWSYDNRQLASGGNDNRLFVWNPHSVQPVLKYTEHTAAVKAIAWSPHLHGLLASGGGTADRCIRFWNTTTNTHLSCVDTGSQVCNLVWSKNVNELVSTHGYSQNQIIVWRYPTMSKLATLTGHTYRVLYLAISPDGQTIVTGAGDETLRFWNVFPSPKSQSSDSLSCIGGTSFVRSYI >Sspon.03G0025310-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:94873992:94877585:-1 gene:Sspon.03G0025310-1P transcript:Sspon.03G0025310-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSALGTLTGGAAGVSGLLRLRRRAAPAPAPAIAAPSHLPAGTAKCAALPDAAPIVWGRQLRPALLLPAALLQSSQPARKHNLRPAAAAAESAGEAKGFLEKYPALVTGFFFFMWYFLNVIFNILNKKIYNYFPYPYFVSLIHLVVGVAYCLVGWSLGLPKRAPVNANLLKLLFPVAVCHGIGHVTSNVSFAAVAVSFAHTIKALEPFFSAAATQFILGQQVPFSLWLSLAPVVIGVSMASLTELSFNWTGFINAMISNISFTYRSIYSKKAMTDMDSTNVYAYISIIALIVCIPPAIIFEGPQLMSHGFSDAIAKVGLTKFVSDLVLVGLFYHLYNQIATNTLERVAPLTHAVGNVLKRVFVIGFSIVVFGNKISTQTGIGTSIAIAGVALYSYIKAKIEEEKRKKSA >Sspon.08G0000030-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:10379514:10384625:1 gene:Sspon.08G0000030-3D transcript:Sspon.08G0000030-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Conserved oligomeric Golgi complex component-related / COG complex component-like protein [Source:Projected from Arabidopsis thaliana (AT5G51430) UniProtKB/TrEMBL;Acc:Q9FGN0] MVVVDASEFGAEGFDPKRWINAALDAQHPSEPLDRFLADAEERLRSAADDAAAALERDSGDALRRVPLACRDALRLRDDAVALRSHLASVLQSLSLAEGSSAESIAALARIDTVKQRMEAAYTTLQDAAGLAQLSQSVEDVFSSGNLPKAAETLATMRHCLSAVGEVAEFANVRKQLEVLEERLDEMVQPRLVDALSNRKVDAVQDLRGILIRIDRFKSLEAQYTKIHVKPLKKLWEDFDLKQRSSRLDMEKLGGESINGLSFSSWLPNFYDETLLYLEQEWKWCLTAFPEEYKSLVPKVLTETMSELNSSFVSRVNIATGDVVPETRSVAKGILDVLSGDLPKSTRLQNKHLQALIELHNMTGTFARNIQHLFSESDFAVVLNTLKAIYSPYETFKARYGQMERAILSAEMAGIDIRGAVPRGVGAQGIELSETVRRMEGSIPQMIVLLEAAVERCISLTGGSEADELVLALDDVMLQYISNLQETLKSLRIVCGLDSDALKKDVGLEKKEVQRLVDVSEEEEWSIVQGALQILTVADCLTSRTSVFEASLRATLARIGTNFSVSGFGSSLDKSPAAAAAENADLPLAGRAALDIAAIRLSELPDKSKKLLTVLEQSKDPRFHALPLTSQRVAAFSDTVNELVYDVLISKVRQRLSEVARLPIWSSVEEQGGLPLPSFSAYPQAYVTSVGEYLLTLPQQLEPLAEGITGNEAGNDEAQFFATEWIFKVAEGATALFMEQLRGIHYITDRGSQQLAADIEYLNNVLSALSMPIPPFLSTFHACVSTPRDQVRDLIKSDGGSQLDLPTAHLVCKIRRITLDS >Sspon.01G0047330-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:115397586:115399436:-1 gene:Sspon.01G0047330-2D transcript:Sspon.01G0047330-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHVLHSFWRRHRWTALRSLLLVALLHRLHFLTFLAASSPVWLLTAFLLGAILVYSEPNVPLAAASEDEDRHLYKKIRRHKPVTGASGSGTSSDDDNESSVTSMEDHLVVDKAEEEEVVKAAVAWTADDEHSIQSIGSLELERDARLEKLMSRRTTHRNLIDLDVHIPAVMAPRTKNPFDLHHHSSSYYDDGHGAAAAAAPGSAPSSLLGQHTNPFDDIHIQQQRERDRDPDEEDTEDEKKAAMLRRHESFTAGAAPLARPSRFKPYFVADVPEGDAGGRDAGSDNSNSNSNSNSSSPSSSTASDHQTRQEAAVKVEEAPAASTSPCAWDGKGNGMVMAVDVELISDSSDDDMSLQGDDATGGRLNNARDHSDDDEEDSFEVESITQQVAAGKQQMQPPRVTAVVEPEQGHRHQQQHHEDDDKKDVGIVSGSNESTLASVEENEQRERELTEIREHHILGAVPVIDSSGTSDGARVGAAGAAVAAAPTPPATGAAGPPPVAAPPQPAAAAAAPTPRATAAAAPTPPAAAAAAGPPPVATPPQPATAAAAPTPRATAAVASAPLSGKLSSKSKVASKKIDPKKAVFGFFRK >Sspon.04G0027470-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:62201816:62205384:1 gene:Sspon.04G0027470-2C transcript:Sspon.04G0027470-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPREISRSPPPFRRRGSPPPWYASRRNRRDRSRSPYASRRKTRSPSPLWDRSNSPSPRRHRSPPSPRRHKRQRSRSTTSSLENNSRSPSHASEKINPTVKQRMEEEKKRRQKEAEFKLLEEELARRVEEAIRKNVEERLNSEEVKLDVERRIEEGIRKLFDEVDSQLQKEKEAALHEARQKAEQERREREELDRMLEENRRKVEEAQRKVALEQEQKELERYLELERIQKQREEALRRKKIEEEEERANKLRLLGKNRG >Sspon.03G0011370-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:30903293:30905154:-1 gene:Sspon.03G0011370-1A transcript:Sspon.03G0011370-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKYPDPDRPRNDKATILGDTIQMLKDLTTQVNKLKAEYTSLSEEARELTQEKNELRDEKASLKSEVDNLNNQYQQRMRVLYPWAGMEPSVVMGPPPAYPYPVPVPIPSGAVPMHPQLQTYPFFHSQTSRTIPNACIPYMAYTQPCHPPTDQPSNQLNTPVAHSSSHRSNSPAEDCRSKSSTLQQPSCGLRSSDVGDIATDLELKTPGSSCQSHAEIANNDSSSDLKTKKHCIKQINGCTLTESSSSSRCSSSGPPDVSNSAVDE >Sspon.02G0010380-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:23166823:23176854:-1 gene:Sspon.02G0010380-4D transcript:Sspon.02G0010380-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ALELFKKQEVDFLIATDVAARGIDIVGVRTVINFACPRDLSSSCWPHSAGRKRSYAVTFVTDDDRSLLKAIAKKAGSQLKSRIVAEKPVAECAQLIEQLEGQISIIIREERVEREARKAEMEIAKAENMMAHKDEIYSRPKRTWFATEREKKLLAAAAKESLDQGKSTYGVISAKQAEDLRLKEKKRREHEKNLPRKKRRRLEAQREMLEDEDEDDEEAKENDRGKKPKKGQSLVDAAYRKAKSLKAASKRGPGAGKGKKEKNARQHSEKTPSRHEEMRELFQNDMSEWKQGRSSKKNNFTHKKSKSAFKSKARYKRRK >Sspon.01G0009240-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:28041479:28042521:-1 gene:Sspon.01G0009240-3D transcript:Sspon.01G0009240-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSRERKKQAAVLQEKLKILRSITHSHALSNTSIIMDASAYIKELKQKVTVETLGVQGSFLVNVFSDKSCPGLLVSVLEAFDELGLSVLQATASCADSFRLEAVGGENVADNVDEHVVKQAVLQAVRSCSESGSEQDEE >Sspon.08G0000800-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:1282810:1285479:-1 gene:Sspon.08G0000800-3C transcript:Sspon.08G0000800-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MENLRSENGHQGVAMEGVKFAPEMANTNRRALSDIKNIIGGPHQHLAVSKRGLSEKPAAAVNTKDQAGFVGHRPVTRKFAATLSNQPTVAHLPIGSERLKRNADTAFHTPADMESTKMTDDIPLPMLSEMDEMMSSELKEIEMEDIEEAAPDIDSCDAGNSLAVVDYVDEIYRFYRKTEGASCVPTNYMSSQTDINEKMRGILIDWLIEVHYKLELLEETLFLTVNIIDRFLARETVVRKKLQLVGVTAMLLACKYEEVSVPVVEDLILICDRAYTRADILEMERRIVNTLNFNMSVPTPYCFMRRFLKAAQSEKKLELLSFFMIELSLVEYEMLQFCPSMLAAAAIYTAQCTINGFKSWNKCCELHTKYSEEQLMVCSRMMVELHQRAAHGKLTGVHRKYSTFRYGCAAKSEPATFLLDART >Sspon.07G0034930-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:84122825:84128048:-1 gene:Sspon.07G0034930-1C transcript:Sspon.07G0034930-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MWYQQCSMLGDVDPPEDWSLKSRTLVNLGQGRFCIAMCFHTADDSPQVTVFTGVDVVVPCDDNQQREPALRKIKHKSKCLMIRRYLHLVMSEGSGLYSLRHIDVSKLFYPSTAEALDAEARAKMMKKNGINKIGSIRRLPRPSMYYQPFLRVEPCPLSSIGALALLGDGKNKILWSDNIGNTSIYNTDLQSLIATPHLNSPKAPDSVAVSIPGSGGAAAARAMSDDHTDSLFMLDMPPGRSCCLEVLTYDSTEKWCWGGLPPPPFLKDREYKVPSFLTSQWICVSTTTATYSFDTVTHEWNKLGDWAPYDLCTLDNLSTAPGSLPPTLQHIGEEESELPENWSQLVHDLVNLGSGRFCIANKFILNFGEK >Sspon.03G0045670-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3D:22950364:22952121:-1 gene:Sspon.03G0045670-1D transcript:Sspon.03G0045670-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFAAQATRPRQAEDGQEEDNELDEKLHSNQLPDHWPNLPVGKFGLKRGIVDTRTATASTNPFSVW >Sspon.02G0020800-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:67734234:67735330:-1 gene:Sspon.02G0020800-1A transcript:Sspon.02G0020800-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQRGELGRQLPLRGPLKALEADIHHANAMADAVQRNYGGACVQMRLSFSSLAPFFLYFIQWLDCGCCYALPSYLGLFHILICKVYADGDSSVSTYERRASLREFYAIIYPILQQLESSLIERDLKGKGRCKDIVSRRRMEDWKKLSGKDLEREDECGICMEACTKM >Sspon.05G0009630-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:27723584:27724400:-1 gene:Sspon.05G0009630-1A transcript:Sspon.05G0009630-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKAELVVEVKSPADKLWAALRDSTELFPKIFPEQYKSIETVEGDGKSAGTVRLLKYTGAVPMLTFSKEKLEVADDENKVVSYSVVDGELVNFYKNFKITLKVAPAKVAAEGEAGAGAVVSWAMEFDKANDQVPDPDVIKETAAKTFHDLDDYLLKN >Sspon.05G0012410-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:30391668:30394329:-1 gene:Sspon.05G0012410-3C transcript:Sspon.05G0012410-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNAGEASRGVGTGRGSRLPRWTRQEILVLIEGKRVVERSGRGRGRGRVRGGGAEGGASGAELTKWAAVAEYCRRHGVDRGPVQCRKRWSNLAGDYKKIREWERAYAARKEISFWAMRNDARRERRLPGFFDREVYDILEGRGAAGNAVAAALEDVEEGKEEEEAKAVLRGSRGVQGSGLFSSSEDEDDQEDDAATPSPTPTPTPTPAPAAVAVPIPGEQAVPFFLEMARASSLSVHGTSKEKQPEQITEDSPAQCGQKRQRSDDDASGRAATNLQGQLVEILDRSSQMVAAQLEAQNINSRLDREQRKDQVSSLFGVLGKVADALYRIADKL >Sspon.02G0023090-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:78011445:78016603:-1 gene:Sspon.02G0023090-1A transcript:Sspon.02G0023090-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPEGGFMHSHLKGFSQKEDLELLSSGLFLDCALETNKEDLGPRPPDAINCDDHIDPIQKKPNVADVSGVDSIPASSEVLYPEHDLKCMLNKEDSEIPINDGIPIPGQTSLEPTSTFDQDAHHDVHSVAILLNMENGQPSSPPPPVNLESAILKQNANMVPLKEGCAVGSELPPGLQGNFGGNNANMCISALHSVDGGEETTCGFIKHESCYDVQNLTLDKSIQVSNQMNCKLLAHKPGIGCETAIQSCELASALPGTEFHDPVPTISTTGQAEGSDSEISVPNYFDLEALILDLDLIPWDQESDFIQPEVSRFQYPESRKDLIRLEKGSCSYMNRSIMSKGAFAILYGQHMKYYIREPEICHVQRGKEYPMGIFPVVKIEVSLGRETEEVHVDIDLSKEGKANKISRRQAVIKMDNDGSFYINNTGKCSIFVNGKEVPCSKRINIMSDSLIEIRNLKFIFHVNHEAARKRWKFNRHLWLCGNIQHLENLNN >Sspon.01G0018820-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:74313401:74315948:1 gene:Sspon.01G0018820-2B transcript:Sspon.01G0018820-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGRAPSFTAVRAHPRTLFLVVALGPRPATSVATKRGVSVWRWTRTRREQSSELERGYQPNPDSRPERERTQESKPFQSNPPQSKSNRRVSGRARGAEGGGVAGGAWDAPMRKFQDSVKALQADIDHANALASEFLRDYDGSVIQMRMAYSAVAHFLVQWTDCKLAGALGLLKVMLYKVYADGSSALPDWEREASIREFYGVIFPSLLQLPSGITELDDRKQRMLCLKKFRSRDEQLSEVDTERELECGICLEVSRKIVLPDCAHSLCMRCFEDWNEKSKSCPFCRACLEEVKPGSLWMYTDDSDIVDMDTLTRENIRRLFMYINKLPLVVLHVVDLDIYEYRIK >Sspon.01G0019860-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:71661374:71672007:1 gene:Sspon.01G0019860-4D transcript:Sspon.01G0019860-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAATSEDADPPPPPPPAQLPPPRRPHKQLQPRGYQVEVFAAALRGNTIAVLDTGAGKTMVAIMLAREHVLRARAGETPRRIVVFLAPTVHLVHQQFKVIREYTDLDAVECHGASGVGDWNAKRWKEAIGTKEIVVMTPQILLDALRHAFLTMRVMSLLIFDECHRACGNHPYSRIMKEFYIDSEWRPAIFGMTASPVSKKGASTIEDCEAQIAELELVLDAKVYNVEDRNELESFSTGAKIVNKYYDPYLFDLDDLESKLQMMFEEFDGLLVSLQESSPNKFEDTDSILEMSRKSLSRYHGKILYGLNTLGPIVTLEVVKIYNESINTVGDSEDCLFSKASLNLQVSYFKEALFLIDEFLPQGMMHYFTELLLYCYGELMKSESGSAELTKRGYISSKVETLINIFKSFGEYIKRCFEPAVQRFTLDLFRAGKVNLLFTTDVTEEGIDVPNCSCVIRFDLPRTVCSYVQSRGRARRSSSSYVLMIERGNLVQQEHIFRIIRTEYYVKTFALHKQPNTPSLDLPLQEKYMYHVESTGATITAECCVNLIYKYCEKLPKDRYYLPKPCFEVGIKDGSYQCTLTMPPNAAFRSIVGPPSSTCNLAKQLVCLEACKKLHELGELDDHLVPLTEEPMDIDTDITDKKCVSGPGTTKRKELHGTINVHGLSGNWIHESETVILNTYTFDFCCDQEGETYAGFVLLMESVLDDDVAHSEIDLFLIPNKMVHTTITPCGKIQLNKEQLRKGKLFQEFFFNGIFGRLFHGSGTSGLQREFIFRKGHEIRWGSDNMYLLLPLRNSSHIQHDLNIYWEAVESCFGAVEQLRNLYLEDGNLNYENLCSHKRSNKGEDIIHLANKSLHFSAVKDSVVLSLHTGRIYSVLDLILDTTADDSFDEMYNGKVSPFVSFVDYYHQKYGIVIQHPGQPLLLLKQSHNAHNLLFSKMKYQDGSTGNSLLVEKEQIHARVPPELLIHIDVTTDILKSFYLLPSVMHRVQSLMLASQLRSDISYTQRIPSSLILEAITTLRCCETFSLERLELLGDSVLKYVIGCDLFLRYPMKHEGHLSDMRSKAVCNATLHRHGIRRSLQGYIRDTAFDPRRWVAPGQISLRPFPCNCGIKTAFVPLSGVYISDDPSFVVGKPCDRGHRWMCSKTVSDCVEALVGAYYVGGGIAAAIWVMRWFGIDVRCDMELVQKAKSNSNASRMCYLSKLKDIEELEAKLKYNFSVKGLLLEAISHPSLQELGVDYCYQRLEFLGDSVLDLLITRHLYATHTDVDPGELTDLRSALVSNENFAQAVVRNNIHKHLQHGSGILLEQVTEYVRSNLEYHGKENEFLQQATCKAPKVLGDIMESITGAIFIDANFNVDLVWKIVEPLLSPMITPDKLALPPYRELLELCSHLGCFIKSKCTSKGEELIIEMTVQLRDELLIAQGHDRNRKSAKAKAAARILVDLKKRGLSLKQCFSKAKQLDIVSSELQSESTSYSDAMAFHMLSVVLPLKMDKGGPRTALFRLCKSLQWPIPEFEFVEQRFRTPIVLDGVTTTNFNSFVSTITLHIPAATVITLQGERRTDKKSSQDSASLVMLQKLEELK >Sspon.08G0001980-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:4265547:4267097:-1 gene:Sspon.08G0001980-4D transcript:Sspon.08G0001980-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MISHIPFLRKMHRWILPSCGDIRQPRQSSSARHEAPRPSAAPSKLRKVGSEGMLVLAVPKDVEEFLTMSAYGRLKLFTYDELRKATGDFNPGQIVGEGGFGVVYRGVIDGAVRKGYPPTEVAVKVLNPEGLQGDREWLTEVSYLGQYSHPNLVELIGYCCEDDHRLLVYEFMAKGSLEHHLFRRACSLSWTMRVAIALHVARDLAFLHGPDRPIIYRDFKTSNILLDAEFNAKLSDFGLAKEGPMGGETHVSTRVMGTYGYAAPEYIATGHLTVMSDVYGFGVVLLEMLVGRRALEPSRAGARDGSLVDWARPILIRPKKLERILDRRMGEVGPEMGLERVARLAYDCLSQNPKVRPSMARVVTTLEAVLAADDAPTR >Sspon.01G0036570-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:14190205:14194523:1 gene:Sspon.01G0036570-2C transcript:Sspon.01G0036570-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AMDELNKWQSHQDYSEEELAYMYRQQEEEHAGMQQQHHFAERQPEQLQYYTPPTMAPPRSPSPFFPHHHHSSSFPGFGVPALPPRLPFGPVNTEPGQPSSSSNRILSFGGAGQTPSTLNFSSGGDWPEAGVEAVQQMPPERRSRTHWNTQEHVIAERKRREKMQQQFVALATIVPDLTQTDKISLLGSTIEYVKQLEEKVKTLEGQRERRTSEPTVFESKCRISSTDSDASCSSGSAFGAGGFSPTVEASIHGDTVLLKICCLERRGVLVMIISELENQGLSIINTQIGAGFLSTVELITNLTAAL >Sspon.05G0007310-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:14491108:14494779:-1 gene:Sspon.05G0007310-3C transcript:Sspon.05G0007310-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Receptor-like serine/threonine-protein kinase ALE2 [Source:Projected from Arabidopsis thaliana (AT2G20300) UniProtKB/Swiss-Prot;Acc:Q8RWW0] MMRVWHFLSHTELEIFRLCQFVQVLGEGPIIAHPVHRHHRRRHHASPPPSSFSPERQDCSRTTCSAPLTSTPIGSPCGCVYPMQIQLDLGVAPYQLFPRIDELEIEVAAGTFLKQSQVRIMGAGSSLQDPEKTTVTIDLVPLGQKFDRTSALLISNRFLQKKVPINSSVFGDYVATYVHYPGLPSLVPIPGSLGPVSSNEDPFGANIHNRSHHKINSKMVAIIALSAVVFVLTCLAIGIIWRFNGLKHSHATGPISSSSITRKGAMRSSFSGTSSSAASFASTIGTCPSTVKTFTITELEKATENFSFSKIIGEGGYGRVYRGVIDDGVEVAVKLLTRKHHNRDREFIAEVEMLSRLHHRNLVKLIGICVERSTRCLVFELVPNGSVESHLHGSDKIYGPIDFDTRMKIALGAARGLAYLHEDANPHVIHRDFKASNVLLEKDFTPKVADFGLAKEASDGMDHISTQVMGTFGYVAPEYAMTGHLLVKSDVYSYGVVLLELLSGRKPVDMTQPPGSENLVTWARPLLTTREGLQRLVDPSLPAGYDFEKLAKAAAIASMCVHVEASHRPFMGEVVQALKLIHSGGGSDETCSGSFGGGATEESPWNDVSRSSWNDDPPATPGPALPLGYGSDPAGADERRPRSASNAVLDKIESLAMYDWSGPLRTKGRHSFYRLRGSMSEHGRPSDDGSVEGYLM >Sspon.04G0000870-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:63323100:63326197:1 gene:Sspon.04G0000870-3C transcript:Sspon.04G0000870-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VAWITVLNALILPFHLFKFGVHTCRAGEPLSSLVSLLLARRLPHGHSSSLLAVSGREQLSVASREIFVLRSTKICAVCRKLRWTSTLPTTYQGKMFTMKYDNIKCNDMIVRVLICRI >Sspon.01G0002680-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:7425909:7428133:1 gene:Sspon.01G0002680-3C transcript:Sspon.01G0002680-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPGGRNGLRDEDDDMAEHAEAFGGASEDEDVPPHLRALANAAQTGDVAALVAALDNHDGSIDVPVEDGDTLLHLACLYGHLPCVQLLLERGASLECKDEEGAIPLHDACAGGFTEMVQYILNFAANKDGCVVRMLNTVDSEGDTPLHHAARGEHLDVVKLLLEAGASPKKENTYGQTPADMADQDTEVRTLLTAKQIEASTHE >Sspon.03G0032760-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3B:40140302:40141180:-1 gene:Sspon.03G0032760-1B transcript:Sspon.03G0032760-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGFDEPLWDQIVKALADINAQQAHINSWLAAMESRQAAFARQVSAAVRLQAAARGLLTRRRVRRLCDLQLIQPRTPSQFLQAVLRRAKADTAQQIKQRKDIAAQPMLIHQVSAVVRLQAATRGLRARRRLQEMRQKMREAVLAAVRLQAAARGLLARLQAREMRGLQLVPVPRAPLLRHQAAIRHIEGLDLVRCVMEIGRGIATSGGELGVYSASVWGRGCVATHRRTLISVVVLRHRPPRGRLSWSLSRLIPGGYTRVPLSFRWAPWDLGGYTRVVHHAEGVCHIFRSQK >Sspon.03G0013050-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:46259600:46265812:1 gene:Sspon.03G0013050-2B transcript:Sspon.03G0013050-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRGGGKEELGKVMGPLFPRLHVSDAGKGGGPRAPPRNKMALYEQLTVPSNRFSCPAASARAAGASLLPSTSAAQVYGYDRTLFQPFDVPLNEPARSSEKFKGNSINGQSNSTRRESLRMSSQTKSKDVCASKSIAECTSQHRVGNTIKSSGKKVVNDDEFMVPSICSSRFYRYSTQERADKSKPQSATNPHRSPSAVSKSSAKCYSTVNKHLDRINEADMRLMNSPKVKEKEAGQGSKGVEVKEKNSSIQASEKFKDKYAKLCEMRNKVSNINRSDNNSRQPTSVNGKSTEAKNPTATRNPSSCKPCTDVDSSNWNSNLLERRPREGGAKRKREHHNGEQNDDLSDSSVECIPGWELSPDEIVGAIGPKHFWKARRAIQNQQRVFAVQVFELHKLIKVQKLIAASPHLLIEGDPVLGNALMGKRNKLPKGNLKVQTLSITNKDDIQPTLEQPELSKQNTEGNPSHHSRDGLSDNHHDQAAANETFTSNPPAIPVAPDNKQNNWCMNPPQNQWLVPVMSPSEGLVYKPYAGPCPPVANLLTPFYANCTPLRLPSTPYGVPMPHQPQHMVPPGAPAMHMNYFPPFSMPVMNLGTPASAVEQGSHAAASQPHGHMEQQSLISCNMSHPSGIWRFLASRDSEPQASSASSPFDRLQAQGDGSGPVSFFPTASVLNAQPQPSSGGRDQQNHVIRVVPRNAQTASVPNAQPQPSSGGQDQQNHVIRVVPHNAQTASESAARIFRSIQMERKQNDS >Sspon.07G0019740-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:73045361:73045846:-1 gene:Sspon.07G0019740-1A transcript:Sspon.07G0019740-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding NGRVHIPQLPTRAIQRQEGAFPPLRLRVAVARRPRRRCRHGRARARSPEELLGRGAARIRRQRPAAAGWLRPPPPRLGEVRRGGQDGHAVGGLQRGARPGRAAVPSHQGHAVVGDREGVLARQWRRRRLRRRLRDAQARRRPAEEDGPPHDAQAAQEALPRA >Sspon.06G0017370-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:73486098:73489575:1 gene:Sspon.06G0017370-3C transcript:Sspon.06G0017370-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSFKGHVLPGTLFLLVGLWRVWSAAARHAASPSTFRVRAWNPVPSPSPLRLLELYVVAGGAFADMCVEVLYSTHLRVFVDGGEVNPAHLNDLEHGGMLLMFFLFGALALASQLWPRYFPLTDGLLCLIAATAFTAELVLFYFHSTTHMGLEGYYHHLLVVLVALCVAATVLGALLPESFPVDLASGVLIALQGLWFYQTAFTLYGPMLPAGCARDADGHIECRARAAQERAEQLANFQFFGLVFLAFCYVLGCYAVAAARYGHRELAVMHDKHVAAMECHGDAGGDRQEECAI >Sspon.08G0005560-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:17113515:17115434:-1 gene:Sspon.08G0005560-3C transcript:Sspon.08G0005560-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKDAAAAGIAPGSAPALLCFDLKPFLAALTVLTLLAAAWQLRPYHSLLASPFSAACLQPTAGASLPRALAVHAKKASPVSSSATNSTASSSPPPPGPERREFRAVGSAAALFVQMGAYRGGPYTFAVVGLASKPTHVYGKPWFRCEWEPNDASSSPPMRAAKTYHMLPDWGYGRVYTVVVVNCTFPRVPNADNAGGRLILYAHYGPSRSPASRHERIVALEESPGAYDEAAFRGTPPHRYDYLYCGSSLYGNLSAARVREWMAYHARFFGARSHFVFHDAGGVSPAVRAALEPWVRAGRATLQDVRAQAEYDGWYYNQFLVVNDCLHRYRHAAKWTFFFDVDEYIFLPDGRTLEDVLAELEPYTQFTIEQNPMSSKLCVDNPEVDYSNQWGFEKLVFRNSITGVRRDRKYAIQAKNAYATGVHMSENVIGNTTHKTEHLIRYYHYHNTINVLGEVCREFVSIPPKGGLTWSEKTPWYYDDSMKRVADAVREFERETIGDVRL >Sspon.07G0016220-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7A:57822709:57823128:1 gene:Sspon.07G0016220-1A transcript:Sspon.07G0016220-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEKKLTDPVIVSSPEDGAASPVAVTARPEAGAASEDDAGAARTDGAAARGEAGRAAATSPSMESGRPSSPSGGGGASIGVERENGGVGVGAEENGGVGLGVGEMNGLGRRVGEMNDRADGTLERRSTIRKSRRAKGSY >Sspon.03G0041780-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:46422276:46422671:-1 gene:Sspon.03G0041780-1C transcript:Sspon.03G0041780-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPKQHELEGAGGSDANGKAVWDTGSSLYDSYELAAVRRLLDKRLLAAAGVLALRDDGPPPAAGGTRGTSTQVVPVSRVHHRKVTLRALFRAVSTWAARARPRQAPPLACACAGMVHDQSGGAAVEQDLPSH >Sspon.03G0024320-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:74106883:74110363:-1 gene:Sspon.03G0024320-1A transcript:Sspon.03G0024320-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LEHDYSWDHEPQGRRRGFDPSPNLVALFTAAAEFDEFGDAAGFVGKFRKVFGPVRDRLSAIGLQVCWVAVPSASSSEGVKRAVTELGWWFTTADAVALGSALAPPALVWGGVGLAAGEGGRRGEVVLEITDVEGKPLVCKGCDVVVVGSSRLQQASGNAVSRIHVKSVCEVGNWERLMGGDGDVAVVRGFQREGSKGDGEEAVDKEYFPHRILELVLGDEKDRLGVAKPIWQLILVFLSRRKYCAVVSVSDGDGNSVDGVLMPFSMNCALLHFEKNGIGLGLVSAKASKTPGSAASDETKVQSERKKRSRLVGKLLEVTTWSTFCDMLLKHADGSMPVVDLEDLYFSRYGATSKKLRFLKCWMKQVKQSCLSTLSSIHTEGQKRLPSKDDSEARVLVSEEDASAGLVNFSLDEADCQKVDTPVDEADCTEVGIPVDEADCSKVDRSVGEESSMFSSMEDLEAFLGSVPHKIEQGLCSEDGDLGSLAERLVGLFVHAMLVKHGKIAVRYFEHNEAEDVSGAKIACELSNILLRKPKELASKYRESDSVSAAPQQTAKYSTCYKIREHELQILLRMEMIKSELGSAIEEGSKQKMIKDICSLLQFIDINLQGDSFQSDGILEYAEKTIKSRYINSMEDVIKKIYTEMEFDLFDYDDDDEVDYSDSLPSSSNQEDANRRHSHRGSASTSASALQLLQRDARSSRQREDDRHDELMARAQERRDRQRRLSSFTSWVPDLRRVWALKHPGKEPCARVPRSRSCSKRRKRWRAAFTDVVFETPVTAKRHESGSESPPEFDAGEAAVRAAALGSVSKTLFDNEEIETDVSSSST >Sspon.02G0007520-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:24644394:24646121:-1 gene:Sspon.02G0007520-1T transcript:Sspon.02G0007520-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSYANGSTRADDDPPSVEKLKEPAFPLKTMPLHANGWLNDMKISSPTAIRVNIGNNVAFDPIYRAWTKKYPSALNAFEKIVAYGKGKKIALFLDYDGTLSPIVDEPDHAVMSDQMREVVRSAALHLPTAIISGRSCDKVFDFVKLTELYYAGSHGMDIMGPVGKTGSTTDHRSSTNSSKKQNKEMKIFQAASEFLPMIDEVKKKNSGFTTPYTEIKRRVIQVFRLLVDKVRGIDGAKVENNKFCVSVHYRNVNEKDWPLVARCTDDVLKAYPRLRLSHGRKVLEVRPVIDWNKGKALEFLLDSLGLADSDKVLPIYIGDDRTDEDAFKVLREDKRGFGILVSSVPKESHALYSLVDPPE >Sspon.02G0007210-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:15350580:15351828:1 gene:Sspon.02G0007210-3D transcript:Sspon.02G0007210-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase 7 [Source:Projected from Arabidopsis thaliana (AT1G30870) UniProtKB/Swiss-Prot;Acc:Q9SY33] MRTPRAVSFLALSGLLLLLAVSVAATGYGGDGANSPGGNGYAGGHDAAAGSKGYGSGGASPGEKGYAGGGGQEGSYKVPAFQESVAGLDERYYEKSCPKMEEIVGTAVMKAVKADETLAASIIRLFFHDFAVGGVDGSVLIDVPGQSEKYAQASRTLRGFELIEEIKKELEAKCHATVSCADILTAAARDAAASRAVGAPYWSLKYGRKDRKGYFSAGTADRDVPMGGQSVTQLIAFFEKNGLNVQDLVALSGAHTIGRATCGAVRPGLLGRLKAGTLDRQYGDFLQRKCGAGGDAEYVELDGETPTAFDNQYYKNLLHGKGLLDTDQKLLADSRTGGFVRSYADQ >Sspon.01G0011340-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1A:31071591:31072866:-1 gene:Sspon.01G0011340-1A transcript:Sspon.01G0011340-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RPKATAAAAHGGREHTAGPSPAGPLLLIPSSLFSSSHSTTPASAWPAVVAVADGGEEMARRRHAPAAPSASFLSLPLPLYSPRHSLAKGAAAAAIHGDRRRKETAPLRAPSPELALPRWVECSSVEWPRVGALCARDRASARLLFQCAGETALWVFFPLDGGLDGGKEIQEWGGGSANLVTFTTGPHPPIYCTARRGPPATSLGWASPTRTRDQGPTPGRWAKRGGDQTNSEMTQPMAVLITCHSEDHAQLKFLFELEKPDGLLPSF >Sspon.07G0026570-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:54283133:54283447:1 gene:Sspon.07G0026570-1B transcript:Sspon.07G0026570-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRRGARVVHVERVEKIVVINGTPSPKTTTTTVTTSSTTRGGGVHPAPPPAGQHGKEAAVPVRERDINELAEEFIRRNRAAFQRGITGDDHGGLQMP >Sspon.08G0006970-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:21694531:21698278:-1 gene:Sspon.08G0006970-1A transcript:Sspon.08G0006970-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPALLPRSCESEFADIATRSRRPAEGASYESESERGRDVPSSRPRATSQPSLARCSVLNPNLPYIALCRVLIGWRGVVVSRPRAKVGLLLVGNTYILCSRRKPLRLRLRTVPLALLPLQLRLRTVPLALLPLQLRTPAL >Sspon.08G0013540-2D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8D:54978020:54978844:1 gene:Sspon.08G0013540-2D transcript:Sspon.08G0013540-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRSLEAIIADAKEPVVLLTACPPVSCRQPYSAHLFLDKCMFGRHAERDQVLEFLLQAEPPAPVTAANSGPSVLRIIGPALIGKSTLVEHVCNDKMVRGQFSLILLHTAAGSAGLGGLGTMATLRDNCTTKHENNTEDERWLLVIELSGDVDDEAWNSLFYSRGRKCMPRGSKVILTSRSKKIERFETTRAVRLKCLSMEAMWYFFKLSAFGSADPEEHHKLASVARDMAVKARGSSMATNIMAAMVRADLSSRQLWCKVHATTRHYMQKNLVLF >Sspon.08G0019730-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8C:17795705:17796041:1 gene:Sspon.08G0019730-2C transcript:Sspon.08G0019730-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPGSRRWANVRVMSGTILGGVLGFYVMHRVEASYKARRSPALPYSPTPPYARMEERLQRYEAHMLAKAKEAQQVQDEAQREDKAQLLPDS >Sspon.01G0017400-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:60241739:60247089:1 gene:Sspon.01G0017400-2D transcript:Sspon.01G0017400-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MYATLYCYPSRPPADPSSRGAAACHLPSRPWLNLLLPPSSFTSPPLSHPTTWAQIAASPPLQRPTITLPAAAAGGYPAGATALPGAAGQGASLPGAAGQGAALPGAAGQGGEQISPTAGAAHNLEPAPPSPGQQSSLAPAPLSTGCGLQLIFSLLGPGAHLEGRPPPLPLQLMVLQRQQPTRSTRLMPLTPSTRLFLAMLLLLFLETPPLLLLQPVCCFPGAMERSTTHSSPSPPSQPPSLPHVPRPPPVRNGSVRLPFCGNGSGTPPTLWPARSPRRSTSSPRMGGRLFRLDGTPRLHHHGSPSRPGRPPRLAAPLPGRRCPEHPTSRPVVLDPESPSYARWRDLVVLTLRRYALDDHVLDEPTPLIQTPSWLRLDSIVLSWILGTISVDLHDLVRTSTSARQAWLALEGQFLGNAEARALRLDASFRTFVQGDLAVGEFCRKMKTMADSLGDLGWPVEDRILVLNVLRGLSDRYAHLRTWITRQRPFPTFLQVRDDLVMEELTQGLQPGSAITPGSSSSSTALAASSPRQPATPRPSAPPPSSLLGPPPSGPSGGGGAVVAVVDVVGAVGVVGEAVTRPPVTMFTAPPTSGSAPPSWVAVPGSAGWDQAALAQSFSTLGLTPPVGPEWIADSGATYHTTPNSGILSSVHSPSSSQPSSIMVANGSCLPVTSVGTAGAHGSFRLPDVLVAPSMVHNLLSIRRFTADNSCSMEFDSCGLTVKDLATRRPLLRCDSTGPLYTLRFPASASPSSLLSAAFATTSSTTWHRRLGHPGRDALMQLSHSSDIHCTRAHDEQLCHACQMGRHVRLPFSSSSHAARIFDLVHCDLWTSPVLSISGYKYYLVVVDDFSHYSWTFPLRAKSETFPALHHFFAWVSTQFGLTIKAVQCDNGREFDNSTSRAFFLSLGVQLRMSCPYTSSQNGKAERMIRTTNDIVRTLLQASLPAHFWAESLHTSTYLLNRLPSAACPAPTPHHALFGTPPRYDHLRVFGCACYPNTTATAPHKLAPRSTLCVFLGYSPDHKGYRCYDLTSRRVLISRHVVFDESVFPFSTTATPSPSPLDLTSSFPTDAVVEPPLPFVPAGPTAPCPSSPSTGAPPDPAPRSSTESPRPPSPTPPARFARPIRVYQRRARPAAASSPPPPVVPPSPVSPPGTPGPSSPPHTARVANPVYHPPLLHHHPRHVHPMVTRHAAGTLPPPALAAMPAESPVSPVPSSVREALLDPHWRHAMEEEYAALLANRTWDLVPRPPGSNVVTGKWIWTHKRRADGTLERYKARWVLRGFTQRPGVDYDETFSPVVKPATVRTVLSLALTRSWPVHQLDVKNAFLHGLLTETVYCSQPAGFVDSSHPDLVCRLNRSLYGLKQAPRAWNHRFAAFLRSLGFVEAKSDTSLFIFHHGAETAYLLLYVDDIVLTASSELLLRRIITSLQQEFAMKDLGVLHHFLGVTVAPHPAGLLHQRQYTLDILERAGMTDCNPCSTPVDTQGKLSEAEGHPVSDPTAYRSLAGALQYLTFTRPDITYAVQQLCLHMHDPREPHLVALKRLLRYLRGTVDYGLLLHRQSSSTEMSSKRQPVASRSSAEAEYRAVANGVAEASWLRQLLAELHNPLLRSTLVYCDNVSAVYLSTNPVQHQRTKHVEIDLHFVRDRVAIGEVRVLHVPTTSQFADIFTKGLPSTTFAAFRSSLNITRG >Sspon.04G0010850-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:33201049:33202822:-1 gene:Sspon.04G0010850-1A transcript:Sspon.04G0010850-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GVSFGYSGSTGPKYWGSLSPNFTLCSKGIQQSPIDIVKDEAVYIPQLEPLERDYTTTNATIVDNVFNIALRYNDTAETVKVGGIKYKLKQLHWHSPSEHTINSERFAMELHMVHVTEDGNVTVVAILYRHGKPDPFLFQIKEQLAELYAEGCKAEKGDPLPVGVVDMTELKQGADRYYRYVGSLTAPPCTENVVWNILGEVREMTKEQAADLMAPLDGSYRHNSRPLQQLNGRTVQLYDKSLKIRKMM >Sspon.06G0001860-4D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6D:3491347:3492736:1 gene:Sspon.06G0001860-4D transcript:Sspon.06G0001860-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PsbP domain-containing protein 3, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G76450) UniProtKB/Swiss-Prot;Acc:Q9S720] MVDRVEGLDRRDALLGIVLSAAVAPLLAPAGALADEATTGTAAPTLSPCDMYRVLESQEGFTTYEDEANKFTIQVPQGWLVGAGEASGIKSVTAFYPEQAAADSNVSVAITGIGPDFTSLKSFGDVDSFAEGLVNGLDRSWQRPPGLAAKLIDSRAANGLYYLEYTLQNPGERRRHIVSAIGMAFNGWYNRLYTVTGQYIDDDDSEKYRPQIEKAVRSFRLT >Sspon.02G0013490-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:35813989:35819893:1 gene:Sspon.02G0013490-1A transcript:Sspon.02G0013490-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFTFASPTASNPFQTPASSNPFQMPAASNPFQTPAAPNPFQTPAPATSQAPSLSPSPFQFSFQQQQQPPQPQPQQQVAPAAQPQQQKLILYTTDMKPAGYNTKWEELHAESQKALLQIEDKIRDYRDESERLDQCSRLYDSSISNVNFELDASRIAQELGGTTTVMEREKASIQELMTVVNEMMWNTEFAIRSYLMLRPRFTRPGAGVANGGSSNPSSSAPPNQPVVDFYSGVPKRPSIFMQRTVNRFECYLAECCKWICELEQLVENLHQYVESMKTEYLNEQRRIGNGTNPFLEANRREAAKQEAAARRVHPTLHLPTPAQPMAQIAAPATSQPQQSSFPSAATSSSALSTFSTPASAPSSSSLFATPTTPAPSGNLFGASGSAQLTTPFGTASTPTLTSTPAPGFGTSTTSLGGTSLFSTPFGGGATASGSSFGGAS >Sspon.01G0036730-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:19548408:19549433:1 gene:Sspon.01G0036730-1B transcript:Sspon.01G0036730-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSAASILHAFLLTLLLGAAATASSVPDGNGDVNSSLFTNGTGTSPNADATERYICYLCTGRNPLLIRYCPIYWDECHLVCYADDAPAATSAAPVPTTSLGSAAASANPSGLYRNGSYTIVTRLACARIATCLLSCGGGDMADSDRKALGSETSAVPATTAAVQGSLTPRLADFQRCGTQVTAWHRRPGWCCVGMVRSTMDGRVPRVCDAASAYAAMRECFVPAPSKSCLKPPLDHCRGVIARRH >Sspon.01G0001190-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:2600719:2604802:-1 gene:Sspon.01G0001190-2B transcript:Sspon.01G0001190-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAC028 [Source:Projected from Arabidopsis thaliana (AT1G65910) UniProtKB/TrEMBL;Acc:A0A178W7T7] MAPVSLPPGFRFHPTDEELIIYYLKRKINGRQIELEIIPEVDLYKCEPWDLPEKSFLPSKDLEWYFFSPRDRKYPNGSRTNRATKSGYWKATGKDRKVNSHRRAVGMKKTLVYYRGRAPHGSRTDWVMHEYRLDERECETDTGLQDAYALCRVFKKTAPGPKIIEHYGAVHHPIEQPQWMASSVDRSPTLDLSSDVRGDDFESSSFSFPTEAPMDSMHGGFGMQMSAPHEDGKWMQFLSEDAFNATNPFFMNPASSSFSCLPSKVDVALECARLQHRLSLPPLEVEDFPQDVSLDTKTSVLRSNPNEVDILQEFLSVASASQELINGTSSSYAAEMWPGAGTSSTSTHYINELSSLVELGVKAKEEADNFYHMDCIGTSAGFASKQVHVDEPVRLVEIADMEEFKQEEKRQVENLRGVRLHNNDLGEIVVEGNESNPTDCITQYPISDTADNSDTAPIFSQSQPDDFAIGFSDDVNPNASFDLYEKVDVKHGLFVSTVGAPKTFFHHVEPSKKVSFHLNPVASDVSKAIEKFHFPISATTKVSGSSISIFSKLKAIIRDKFLVKKLPSSSYQRSLGSKETAAVSELLQIVSSLLLTPTEVTGPTTMTTDQELVKKAKKVMKPGPGCDGNHAWLVPLSKRSKGISSMFFSGKWAFLTSALAIRTPGCNH >Sspon.06G0010290-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:45319627:45321702:-1 gene:Sspon.06G0010290-3C transcript:Sspon.06G0010290-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGKVTLEAVRKAQRAEGPATVLAIGTATPANCVYQADYPDYYFRVTKSEHLTDLKEKFKRICRKSMIRKRYMHLTEGILQENPNMSSYWAPSLDARQDILIEEIPKLGAAAAEKALKEWGQPRSQITHLVFCTTSGVDMPGADYQLTKLLGLCPSVNRVMMYHQGCFTGGMVLRLAKDLAENNCGARVLIVCSEITVVTFRGPSESHLDSLVGQALFGDGAAAVIVGADPSEPAERPLFQIVSARQTILPDSEGAIEGHLSEVGLTPSISRTGFHS >Sspon.05G0017940-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:75729596:75734348:-1 gene:Sspon.05G0017940-1T transcript:Sspon.05G0017940-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRKASSTSDSRLKWRKRKRNPDASPSKPSTSAAADHSSDSYSADEDAAAVHGAAGAGDDDEAPAASEDPAALGLREAEVLPSAEPISAFPAAKRRVVNRPHPSVLALLEAERSACSGDVPTVAPPALENISHGQLQVLSGVLPDHPSLATDPDKPSLYVCTPPPLMEGHGVPKQFQGRLHVVPKHSDWFSPGTVHRLERQVVPHFFTGKSPGHTPEKYVMLRNKVIAKYLENPSKRLAFAECQGLVGSTAELYDLSRIVRFLDTWGIINYLAAGSVHRGLRMATSLLREEPTGELQLLTAPLKSIDGLILFDRPKCSLPAEDVSSMAASSSNSEAVDFDAAFADLDGKIRERLSENSCSYCLQPLPSLHYRSQKEADTFLCSDCFHDARYITGHSSLDFQRVDGDNDGSENDSDKWTDEETLLLLEGIEKYNDTWDDIAGHVGTKSKAQCIYHFIRLPVEDGLLENVEIPNAPIPFRPQSNGYQHSDSNGSTSGAPVQNIQQGNQLPFINSSNPVMSLVAFLASSIGPRVAASCAHAALSFLTRDDDSRVNSEGMHVDGMGNGANPNFRNHNGASPSISPENVKHAAMFGLSAAAMKSKLFADQEEREVQRLAATVINHQLKRLELKLKQFAEVETLLLKECEQVERVRQRISAERVRMRSALLGPTGSGLPGGSSTMPPNPPGMSPRPVGVPGSMPQTSMPAPYANNMQGHGHPQMPFLHQRPQMLSFGPRLPLAAIQTQPSPQASNIMFNSGMPNSIAPNHHQLLRSSSGNNSSAG >Sspon.05G0023490-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:7504567:7505706:1 gene:Sspon.05G0023490-1B transcript:Sspon.05G0023490-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIAVKLFIDKEKQRVLFAESDKDFIDVLFSFLTLPLGTIVRLLGKQSGLGCLDEVYKSVDSLSIEHFQTKACKTMLLEPLNAAASQCDRLKLKVDNANPRLIFRFQFSPNATCKSCKQYKLRELQRSDNAAAGYDADDGVFIKSGRTLIITDDLQVAPASTCLVFSLLDKFGLNEKADIEEKFLHLDKQKMLSLLKRTLISKEPLTGLYFDTVAKPGDVPVSLDELLSSQQICSRRKKATTQRSLMLIQTADNSTVLYAEVGQDFVDLIFGLLGFPLGSMIKCYYMWPTNGCVDNLYRSVEGSAKECMRQGCGGLRHPHH >Sspon.07G0008350-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:7796106:7796853:-1 gene:Sspon.07G0008350-4D transcript:Sspon.07G0008350-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKCAARVPSPRWARWRVWVGSWVSVRPAKCGASGGRAVVCTAVSFRPCIDIHKGKVKQIVGSTLRDSSNDGMELVTNFESDKSPAEFAKFYKEDELLGGHVIMLGADPASQTAALEALHAYP >Sspon.03G0036810-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3B:94315569:94316352:-1 gene:Sspon.03G0036810-1B transcript:Sspon.03G0036810-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RIVSGPSACDARDGRVLLTRCRGPHYMFEDLVVYDPLHRRHVQIPTIPGDLILSANEPKQDGNNEAMTLDDPFLAPVADDEDEDDDEGFRVMWTALSKTKVVVALVFSSASVQWRCVASFSNPSYAWTNIPKMWSRQYVHSCFCWTHEAANDMLVFDTREMKFSIADLPRKSAGKFKKAVEAGDGRLGLLLLSNHGHSATVDLYSKAWQGITQEPEEWQWQHDSPVPIPGFHLTMLAAVAEGYVLLRGIPAKQLKLWTRTY >Sspon.05G0027040-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:5C:40612423:40613670:1 gene:Sspon.05G0027040-2C transcript:Sspon.05G0027040-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDLAALTDDVLADILLRVPSPRDLARASASCSSFRRVISNPHFLRRFGAVHAPPPLGVFCCYPAWGGGVGGSQFHAALPTHPSAALARALASSADFCFHFLPPAASDWLVRDCRDGRFLLDRVRDGSTAFTEVAICDPLFRRYLLLPPIPNDLAASVENPYVQRGGDGQLQSRSSEIFLVSRSDDSVSEKDTAFTVIWMACCRGKLVAFFYSSESQQWCALSPPEHYALSTRRVMGVRLGQRNHAHGCFYWMVALTHRWLVLDTRKMEFSIVDISPVLTGRAMMFSNQITTLESSDGLTTVVVSDVFRPDRRCILYFYTFLYFSDRWQLLNRITLPEEWGYRFRGIIGAAEGYLFIKLDDPKENLNDQIERHVEYFSLDVKTMQLGSFCRTTLFTVTEAYLYCGLPPSLSLPSI >Sspon.01G0042920-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:69351269:69358489:1 gene:Sspon.01G0042920-1B transcript:Sspon.01G0042920-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVPPGVARHHEHKAVTSPWPRRRRALPGLPKGPEARSFLMDKLNKDPNTACLNYNGLSFCCSGPLLFFCIAIVMAVASLPVATELSVPVVLSAVAELSVAGGSAVSGGVGCGGVVCGIGCIASTMLCLPHHYLYSCHIQIPQKQ >Sspon.05G0009270-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:5A:26820100:26820432:1 gene:Sspon.05G0009270-1A transcript:Sspon.05G0009270-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MWEGVLSLVRQGLRRRPRRRTSARVADESALGEAADAGDVAARGAPVPAPGTQLASAVLAMARGAVRLDGEGGRGAEEAWAAASGWRPARAPDEVGHLMVRESLRYVIYA >Sspon.07G0001820-1P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7A:4405143:4406842:-1 gene:Sspon.07G0001820-1P transcript:Sspon.07G0001820-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKHNNVIPNGHFKKHWQNYVKTWFNQPARKQRRRIARQKKAVKIFPRPTAGPLRPIVQCQTLKYNMKSRAGRGFTLEELKAAGIPKKLAPTIGISVDHRRKNKSLEGLQANVQRLKTYKAKLVIFPRRARKVKAGDSTAEELATATQVQGDYMPITRGEKRSVEVVKVTEEMKSFAAYGKLRLERMNKKHLGTRQKKAAEAEKEEKK >Sspon.06G0012000-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:64598548:64602835:-1 gene:Sspon.06G0012000-1A transcript:Sspon.06G0012000-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GGRRQAMARRVRPSHLVLALAAAYLLLVSLKFRRVLDLAAADLAAGDPAAAAFSSPSSSDHLPSPGSVSSNAATAAASTASPFPVRPFWHRYDRVSLPDLASRNRSALDRMADDAWALGLTAWEEAAAFAGDPWALLAAATSRASDTDKCPSAVSQRARGRVVFLPCGLAAGSSVTVKWIRNDIVDTKESKTTSWLKRFIGRAKKPAMTWPFPFVEERLFVLTIQAGVEGFHIYVGGRHVTSFPYRPGFTLEDATGLFVKGDVDVHSVYATALPMSHPSFSLQQVLEMSEKWRSRPLPKGPVSLFIGILSASNHFAERMAVRKTWMQTPEIKSSKAVARFFVALNSRKEVNVMLKKEAEYFGDIVILPFIDRYELVVLKTIAICEYGVQNLTAANIMKCDDDTFVRVDVVLRHIKLHSDDKPFYMGNLNLLHRPLRTGKWAVTSEEWPEDIYPPYANGPGYVISGDIAKFIVSQHANQSLRLFKMEDVSMGLWVEKFNATKPVQYSHSWNFCQYGCVFNYYTAHYQSPRQMLCLWDKLIHGQADCCNYR >Sspon.08G0020070-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8B:16955215:16958902:-1 gene:Sspon.08G0020070-1B transcript:Sspon.08G0020070-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGMW >Sspon.07G0014890-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:53501248:53502631:1 gene:Sspon.07G0014890-1T transcript:Sspon.07G0014890-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MENPPSFVVTPMAAPVSAEFTKLSFRSLYIRRTGPGSREISMEGKSDLGKRYFSDFPVYDGTGSEAKLVARVQGVSIQAGGTHQIFTIVFETERFKGSTLLTNGVITGGSDEWAIYGGTGVFAMATGVIKRKYLADRNGGNSDELNMEFFCPVVGSSQQPKGSVTKIGLWGGQGGSQQDITVPPKRLQSLTIRSGNAIDSIQFTYTDKAGQKHTAGPWGGFGGTPKTIDLCDAEFVKEVSGTIGTYGNSTFLSSFNLVTSSRTLGPWGIKDGTPFCITAPANSSIVGFYGRSGSLVDAIGVY >Sspon.03G0044120-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:88901066:88903287:-1 gene:Sspon.03G0044120-1C transcript:Sspon.03G0044120-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPPSPAPGQRWAVLCRKACTDPALPPGVCRARGWRAPGLTELFVSPLVDGGNRALVRDPIVLAADPSGLLLLSGSPDGERTAYYLCDAASNTVRQLPDAPGCTGAAGLIVAPGGGSHNDFMVAELVWPASDDDATLRCFSPSPDGGLWSHKSLGAPMRRFAWWTDSVLSHMGMLWWVDLSQGLLACDPFAAEPDLRFVPFPNVRMRVRLLLPGQRRRRHPSSQRCVGLSDGKLRYVVLTTRASVPKIKLWTLADPKAGKWTLDYELSCKNIWDDRNYNRIGLLNRVPTLALVHPIDPQVFFLFERWHLYGFNMRAKTLTDCANLGIDKKEASPTFALAWQLSPLLNIPAGRSPRDNLPSSAFDTIAAAFGNACDRAITDMEFHQFTKFALADLNKCIRKEENRFRVSKRISICYFDDKSDTEEFEYAHINFHATNDSKMILVFAEKGQLLQFLDSVLMQNINKKFSWWPI >Sspon.01G0039280-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1C:27448193:27448900:-1 gene:Sspon.01G0039280-2C transcript:Sspon.01G0039280-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIIELSVTSSIDPQPHPHPHTTHWKLPIEHAACPSGHRNGPAFRATSQHATAPSYCNLMRREQGTGKTSPPESRVQTEDNGSELHSTRSRTRSQAAGSNALTSGPGAPARLVLPPPPRRERRQPEQRRQQHGGAEQHRGRAAPPPAAAAPLLARRFLPRALRAASSSRVRRVPRRFAHHPADPLTVLVLPPARPLRARTRTNEWLGFPPLVALGLALAPPMGFARGGDVEWSGCV >Sspon.03G0026280-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:1610614:1611033:-1 gene:Sspon.03G0026280-1P transcript:Sspon.03G0026280-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLASFGHNGDGMVSASELRLCCMQAATSTGEDASALVASAASATYGDDGLLNEEEEELAGQAEAAGEEEDDDERRWLREAFGMYEMEGAGCITPLSLKLVLARLGAHRDIAECQVIICRFDMDGDGVLSFDEFRTMMTG >Sspon.08G0006230-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:19086706:19092761:1 gene:Sspon.08G0006230-3C transcript:Sspon.08G0006230-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGSGRWQSSAGAPAAADAAEEDTGGVGGPSRRPLRHGLHRASPYGGGPRRWLPKLPVVSMIFPTVPRDHAAADNDQEVHHESLEVIHKRHSTEPNINAAAILPPTSASNMFNLLLEGDRNPSHGNGLADIENIINQRYFSRQVWNFRCLDETLRLIEIMRSRTPDFSVEDQRPSVSTAKGFETSFSTPAKLIEDQPSLRTDIVPSSNVHDIGSSPIEIAKAFMEAQTSAFVHESKRRKFRALSHGVEADNSTSKNSRHGDTYNGQTQFSTPFSIGSKTILEDKHASTSGGMVQPSSSRGEIDVFGSIPREGSAAMKNVAFNLQGSDGKSMTENRAIFGRASGVDNISRGASVSVHPKSSETAFKILKQLDRTIPSPTSKPLGLRQTLANRNTSSVATNRQIKGPDFSIGNGNKQSSINESGSANSETTYGKKVQQPQSSPIAEESSERVQRSGANSDVSEAGTSQQPLKSNLTPTSVAEVLDNKNTSKGFSFTFPIPKAPSSLLEPPPTPTMASPPRTLPITNEDIPTFTFGSPSTANKLVFSFNSTISSLGAGATDPTFKFGSDNKRELAFFIGRIFSQWFAPVAVSLGISPFGL >Sspon.06G0022760-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:33434526:33435348:-1 gene:Sspon.06G0022760-2P transcript:Sspon.06G0022760-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LAECYRSGDPMFGPRNRTYIDAVRATLGDSKERLCGAIQLSNLFGIGIGVSIAASVSMQAIRRAGCFHYRGHEDPCHASTSPYIAIFGVMQIFFSQIPDLDKVWWLSTVSAIMSFSYSTIGICLGVAQIVVHRGPRGSLAGVIGAGAGVTVMQKVWRSLQAFGNIAFAYGFSLILLEIQDTIKSPPPSEAKVMKKATAVSVAVTTVIYLLCGCV >Sspon.05G0028010-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:57597498:57599261:1 gene:Sspon.05G0028010-3D transcript:Sspon.05G0028010-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Flavone synthase II (FNSII), Biosynthesis of tricin O-linked conjugate [Source: Projected from Oryza sativa (Os04g0101400)] MEEQPLSSSPTMVILSLLKNNPEAVLALVAVVTVVALRHLISSWRQQARLPPGPTSLPVIGHLHLLRPPVHRTFQELASRIGPLMHIRLGSTHCVVASTPEVASELIRGHEGSISERPLTAVARQFAYDSAGFAFAPYNTHWRFMKRLCMSELLGPRTVEQLRPIRRAGTVSLLGDLLASSARGETVDLTRHLIRLSNTSIIRMVASTVPGSVTDEAQELVKAVAELVGAFNADDYIAVIRGWDLQGLRRKAARRPPPLRRPAGGHPEAQGGGEGGAKAHDDDGHGSSKLDKKQATHSKDLLDILMDKAEDPAAEVKLTRENIKAFIIDVVTAGSDTSAAMVEWMLAELMNHPETLRKVVEEIDAVIGGDRIASEADLPQLPYLMAAYKETLRLHPAAPIAHRQSTDEMVVRGFTVPPQTAVFINVWAIGRDPAYWEEPLAFRPERFMPGGAAESLEPRGQHFQYMPFGSGRRGCPGMGLALQSVPAVLAALVQCFDWATVDGDGDGGVINNSKIDMSESDGLVCARKKPLLLRPTPRLTPFPAVV >Sspon.03G0022600-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:91432414:91436066:-1 gene:Sspon.03G0022600-2B transcript:Sspon.03G0022600-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATSGASGPNDHDHGHGRLASSLTARYSDWVLEALDELPGSFLLTDPALPGHPIVYASGGLAALTGYAPRDVLGRNARLFQGAATDRAAVAGVREAVRAHRAHQAALLNYRRDGAPHWVLLHLAPVFHARDGTLLHFLAVQVPIAPAREAPCHAPGPLLAACRDEARVREDLPCATTRGRWLEAEEPRVASDREKEMATSTANNIVSALNRYSKLTGLVVAFHIWVHLDMGAKHDFNGLAPDVWLLGAVGAVRVAVRGLSASAMCRNPDGNGGYIVVPG >Sspon.01G0007240-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:32732779:32736880:-1 gene:Sspon.01G0007240-2B transcript:Sspon.01G0007240-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQRHSKNNNDLAFFTYEEKRKLGYGTQRERLGKDSIKPFDACCLCLKPLIDPLCCPKGHTFCKECILECLLAQKKDIKRKLAAHEAQKKQEKEEEEEKLILQKAKELDAFDQQNHGAVPQYHDRSGSQDKNGFHGANSVKVTSFEEEALRNMKAFWLPSATPEATVKVDAPSTDTVCPEGQEKLKLKLLFPISFTEENADQKSKKAVEKSYMCPSCKSTLTNTMSLVAVSTCGHVFCKKCSDKFLVKDKACLECSKPFKERNLVPLEKGGTGFAAHDERLEARDFKHLGEMASLQNLICSVSKQVCAQAPYCFLEFTYFPAVLRSNSLNLGADFQLVVPNCAVTAKLNGNPPSVVAASSKEGSLNDSKVTKRRLALFGAGALATGLLKTSSAFAEEVPKNYKSYVDAKDGYSYLYPSEWRDFDFLGHDSAFKDKNFALQCVRVGFIPTEKTDIRDLGPMDEAIFNLVNNVYAAPNQIPSVYDMQERTVDGKNYWTFEYDLEAPGYGVSAFATVAIGNGRYYTLIVTANERRWSRLRNRLKVVADSFKISDLTA >Sspon.03G0034600-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3B:75507315:75507893:-1 gene:Sspon.03G0034600-1B transcript:Sspon.03G0034600-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding PDRLQWQPRLTAPRWHIVVEACSSCQLRCLSLSLSLSLCRSRTHSHSPNRGHCRHCPCRNQIQTHSRSPFRSLTQTHHSLCLSRSPYRSPTQTHSLSLSLCPYRSPTQTHSLSPYRSPSRNHSQAQSRRSLCRSLTQTTHSLNHSPCRCLTQTCNSRSRPRGPHLFRTKIHSRRLTSYLEARSHFRTADQEC >Sspon.05G0010380-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:21018260:21020293:1 gene:Sspon.05G0010380-2C transcript:Sspon.05G0010380-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPIWVFGYGSLIWNPGFAYDARLVGFVRDYRRVFYQGSTDHRGTPQFPGRTVTLEHQPGATCWGVAYRIKEEDKEVAMEYLEVREKQYDEKVYLDLYTDSSPKVPAVENVMVYFATANKESNQNYLGPAPLEEMARQIYLAQGPSGPNREYVFKLEDALNKLGVVDQHVQELANAVREYSDTELAK >Sspon.01G0056410-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:89296029:89296580:1 gene:Sspon.01G0056410-1C transcript:Sspon.01G0056410-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGYRGPMVMPHQGAYGVPMAVYRPSGQAAVFRAPAPAATQVSFSPAPPAARVTIRAPPPSSTTTSPAAPAPPRQPAPQSASTPASEPVPPASTAPSPAAALAKDVEKKLFVSETALAPPAAAAQQGTAAAGADDASDLDLAPVSKKGLAHPARPGLATVGKKVMIRANHFLVNVADNNLFHYD >Sspon.04G0025520-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:70046833:70048039:1 gene:Sspon.04G0025520-3D transcript:Sspon.04G0025520-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKALRVFDAMVSAGIHPDVVVYCTLVNGYCKVGRIDEGLSLFREILHKGIKPSTSLYNIILHGLIRAGRTVPAKVKFHEMTESGIAMDTYTYSVVLGGLFKNSCSDEAILLFKELQLLLKNEIVRAGTYLSKIDERNFSLEHSTTTLLIDLFSSKGTCREHIIFLPAKYHFLAGASPSVPNVQ >Sspon.02G0009770-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:26260418:26264637:-1 gene:Sspon.02G0009770-2B transcript:Sspon.02G0009770-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RPD1 [Source:Projected from Arabidopsis thaliana (AT4G33495) UniProtKB/TrEMBL;Acc:A0A178V474] MLRRIAALRPPPRAAAPVGAIATPYSSKSTSIPQKQQRVRDHAFDGIMEIQKRVRRFHALYSLLLYAAAPTVPSRAGGSGAVSVPFTRLGALARRQLRLAPLDAGRFLLRHAHAFHLFLHPVHRMLHIRLTPRAAAALGAEADAVAAALPGASVLRLRKLLLLAPPHRRLRLEHIHLLRRDLGLPDDFAESVIKSNPSLFRLTPDGFVEFLPSPTDPPDLTIAAVERARERHYREHRAPGSAEEDARFAFPIRFPPGFKIGKYFRIAVWKWQRLPYASPYADVTGHDLRSLEAKRRMEKRAVAAVHELLSLTVEKRTTLERLALFRDALGVPKKIKEFLLKYQGIFYISTRGNQGKLHTVFLREAYYKGELVEPNAIHAARRKLEELLMISPQRANLDRMFTSMGRGWDELGGGRRGGSELRDEFLGDGGGRKKNADADGAESGDDSAVAEGY >Sspon.05G0018860-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:82802782:82809418:-1 gene:Sspon.05G0018860-2B transcript:Sspon.05G0018860-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAGDGGGGPEEGVGESSSPPRDPAPAASGGSGGGGRGGGLRDICREVFERLVSDGHAAGSELLAQLEAHFNRLPISYKLDVNIDKAEDVLIHHKVLAEAKDPDRRPAFAVRFLRLEEVNVDETTNSDAHEEGADIGEALSTRSKTYTHIHEILFSTKDKPKLLSQLSALLSDIGLNIREAHVFSTTDGYSLDVFVVDGWPIEETDGLHKALEASILRNEGSWSGSESSASERSVPFLAQDCETDIDTRLLKIVKKVASGSCGDMFLGTYSGEEVAVKVLNPENLNQNAWSEFKQEIYMLREVDHPNIVRFIGSCTKPPQFYIITECMSRGSLFDFLHNEHNVLDLPTLLKFALDVCRGMSYLHQKGIIHRDLKSANLLLDKDHVVKVADFGLARFQDGGVINHQPYDNKADVYSFALVLWELMTSKIPYNTMSPLQAAVGVRQGLRPQVPENAHPRLINLMQRCWEAIPSDRPSFAEIIPELEDIQAQAQVLRMPVGD >Sspon.08G0011440-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:49936793:49943595:1 gene:Sspon.08G0011440-1A transcript:Sspon.08G0011440-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQSLMKLFFDNSCQKQVKVVMLGLDAAGKTTILQRLHVGEVLSTVPTIGFNVEKVEYKNVAFTVWDVGGQDKLPPLWRHYLSNSDALAIVKDPLMLSSVLLVLANKQDMKGAMSPSEVGQRLGLYDLKNRTSRAVGACALTGEGLHEGLGWLAATLKDAHTWGTSVRF >Sspon.02G0036090-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2B:22770463:22770628:-1 gene:Sspon.02G0036090-1B transcript:Sspon.02G0036090-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding TFQPVSPTSLRAIGGGAAYKGDIKGYWKRRDYDQLDAAAVQRRPRLLTAKLSGG >Sspon.07G0000130-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:209933:228875:1 gene:Sspon.07G0000130-3D transcript:Sspon.07G0000130-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTCGCCFVWEFILLLPEHYLVGYLVISITIFQDVFDTAVDEDNLEYSENGRWPFQQFVDQLIHDMFDPIWEVRHGSIMALREILTHHGACAGVYFPDLSLPSADLDGKTNFDSLKREHGIDLNEDVRVEHLEPALKRHKKEPNCSESVYMDHGKELVDSDYPKTEGDPSNVPDVLTGEPSSTHVKVEPELGVDDSTDPSKGDSTCKSLQEKLNSISHPSSHIHAPENSKFMKLLKLAKYSYMKNWEFLQDCAIRFLCVLSLDRFGDYVSDQVVAPVRETCAQALGAVLKYMHPSLVCHTFKILLEMQRRQEWEVRHGSLLGIKYLVAVRQDPDDDVRAVAAEALIPAADSLVRLNDQILRPIVMLLWDILLDLDDLSPSTSSVMNLLAEIYSRPEMVPKMLGTAASGEKGEFDLNEATQIAEQEDRLTSIENPYGLATLTPRLWPFMRHSITSVRRSAIRTLEKLLEVGNTRSSAGTTPSKLWPTSILGDALQVVFQNLLLESNDDILQSSERAWKLLLQCPEKDLEYTARLYFSNWVQLATTPFGSALDSTKMFLPVALPRGNRSRAAAKIRSARLDHEYTRMISFGSTGESTSHEKHLDVPSSVSKIIVGADPDKSVTHTRVLTSMALGLFASKLPVSSWQVVLSPLANDLMSLSGVQRQVASMIIVSWFKDLRGRDPVSVGTLLAFLSSLKEWLLDLLTCSDPALPTKDSVLPYSELSRTYTKMRNEANNLLHSIDSCAAFKDYISSLNLNVDVLSVDDAINFASKLLLPSESDLPSESEKIFLNNIESAKQGLLSTSGYLKCVQNNLHVTVSSLVASAVVWMSCLPSKLNPVILPLMAAIKREQEEVLQDKAADALAELIFSCVGRKPGPNDKLTKNLCTLTCTDASETPQAAIINSMQVIEDQNLLSIGKRFSSHRSRGHTMSGGDERSKMEGFISRRGSELAFKHLCEKFGPSLFEKLPKLWDCLTEFLKPVKTEDGIPKDDASIAQLGRSYEDKDPQSLINNIQVVRSISPHLAEPLRPQLLNLLPCILGCVRHPHVAVRLAAARCITSMAKSLTDDVMVLVIENVIPMLSDLSSVCARQGAGILLSLLVQGLAVELVPYAPFLVVPLLKCMSDPDGSVRQTVTHSFAALVPLLPLSRGASLPGGLSERLSSSAEDVLFLEQLLDSTQIDDFKLNIDLSVELRRYQQEGINWLAFLRRFKLHGILCDDMGLGKTLQASAIVASDIAEARARNDDKSLTSLIICPSTLVAHWEYEIEKYIDTSILKPLQYVGSSQDRVTLRSQFDKVNVIITSYDIIRKDIDFLGNIPWNYCVLDEGHIIKNSRSKITSAVKQLKAQHRLILSGTPIQNNVLELWSLFDFLMPGFLGTEKQFQATYGKPLVAAKDSKCSAKDAEAGILAMEALHKQVMPFLLRRTKDEVLSDLPEKIIQDRYCDLSLLQLKLYDKFSSSNAKEEISTIVKANESQESAPQPKATRHALQYLLKLCSHPLLVTGESPPNHLVDLLKEIGVGSGSELHELHHSPKLVALQEILQECGIGSEISSPDASTAVGQHRVLIFAQHKAFLDIIEKDLFQSHMRSVTYLRLDGSVDPEKRFEIVKAFNSDPTIDVLLLTTHVGGLGLNLTSADTLVFMEHDWNPMKDLQAMDRAHRLGQRKVVNVHRLIMRGTLEEKVMSLQRFKVSVANAVINAENSSLKTMNTDQLLDLFTSTPASRKASVLPRGSSDEQSKDSKRKSGGKGLKSILNGLDELWISRKQSRRDDMESLGYVLMYFLRGSLPWQGLKAGNKKQKYEKISERKIATSTEALCRGYPTEFASYFHYCRSLRFEDAPDYQYLKRLFRDLFIREGFQFDYVFDWTILKYQQAQMTTAPPRPMAPAVGQSSGMAPVANNNRHSGTEEGRRSGWSDMDPTRRQAPPPVINAGSLAKQKSPVRPETSTSKDAVFSSSTFLGRSSGSSRRPAVSSSREPSTEAEQARSRTTDASPGAFQRSGAPRWSPQMPDSSDGRRSSSGRRHSSNPKNYESTIRGMQGLNFDGDDRVHY >Sspon.01G0021930-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:80462371:80466987:1 gene:Sspon.01G0021930-1A transcript:Sspon.01G0021930-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMPPSRPWAELQHDLLVAVMNRVGAPDLLSGGASRACSSWRAAARDPLAWRRVDLRDWVALTSGRRAARPGHSSSGVPVHAALFGILKVAAKSAEGRIEAVLLPEFADEDHLLFLAERCPNLQYFSLPSTCMTYDQFCKAIGGLHSLKGMAVDETLINYDVLLHVHQCCPDFVELKVSALYVDEEMASVICNSLPNLKKLEIPSADMSSAAITKFLDCLEELEHLDISGYETSAISSSVLEKASRLKVFLWNSKFELGEFMDCSNCGEHNINPQEPCKCMMEHKVMDWLAGPSQAS >Sspon.02G0013480-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:35805789:35813155:1 gene:Sspon.02G0013480-1A transcript:Sspon.02G0013480-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGRMDLLPRLLLRRHVHRRAKPSPPPPPPNPPRPPQKPEPVSIHGETWHDSYAWMGALSDAAAMRHMDVHMEAEEKYAEACLAACGADRLARKLQLEMASCLASDSCTPPVRWGPWLYYRRADEGKQYPVLCRRSAALHSEFVSYSDPSAGFDFTAGKRIEQKLVDYNKEAERFGGYSYEELSEVSPDHRFIAYTMYDKDKDSFTLMVRDLVTGTLYDKPCADRVSNISWAMDGKALVYIVTNEERRPYSMIGSNKDDILMLEEHDENIFLNIRHTKDFRYITLNVFSDTHSKMLQEKVPTHLSDLHPIDLPLPSDVCQIVSGPNYDYYSSTMRFTISSPVMPDAVVDYNLLNGQWQIVQQQNMLHERTRALYGTSFAANMGRQSSDKADFSNDDFVGCAWNELSEYYACEYYDVPSKDGVLVPLTLVYSRKHKQDGNPGLLHGHGAYGEILDKRWRSELKTLLDRGWVIAYADVRGGGGYGKKWHQDGSRTKKMNSVYDFVSCGEFLLEKGIIQENKLAGWGYSAGGLLVASAINSRPDLFRAAVLKVPFLDVSNTLLHPILPLTAIDYEEFGFPVDHEEFLAIRKYSPYDNIQKDVPYPAVFVTSSFNTRFGVWEAAKWVAKVREFTQYDPERPVILNLTTDVVEESKYLQTKELALETAFLIKMSQS >Sspon.03G0017920-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3A:55380466:55387974:1 gene:Sspon.03G0017920-1A transcript:Sspon.03G0017920-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SCGIVGDLAAGDAVTQLTRGFELQPSLVACGEASPYSLFFVGALCCDWTGVEWRSIHPHLPRSLPSRAVKSGYDGAGGDYIKWMCGAAGGRPGGAMAHLQRGVGSLVRDIGDPCLNPSPVKGVDPSIRAEVWEFLIGCYALSSTSEYRGKLRAARREKYRYLIKQCQSMHTSIGTGELAYAVGSKLMDVRTLPKETEGGEVSTSQQAAHQAPCSLAENSNLIYGSGGTTQPQKRKICSKSAETVGFNEHNDSSRCSGDYDDIGEPRYDSEAFIDFPSLTGTNLFANGDGDSNGVEENHCSFLVPEDRVRPRDERMHSFQINNNIDLIIESNTFSNDLFRPSSSDSAIFQSDAYKQDRWLDDTGYSKEIIDSLRISDAPEADLVDGTKSNGSISDKDRVSEWLWTLHRIVVDVVRTDSHLDFYGESRNMARMSDILAVYAWVDPSTGYCQGMSDLLSPFVVIYEDDADAFWCFEMLLRRMMMWAADFDEEAVRHLEENCLEPLLVDLSNGLSCEMFNDNVLKINVKRCVRLAIKLRKKYLYKMWWSSIDLKSGTSPRSSIIRDCKGPMSSIIVFIDLEGLSGVWPVLGMPTTPNKNEKKEVENCTQYERSNAFFGASADTTAGAAAAATGGVVPDSRTPSSSLVTQRPKSPIHQHRRAQLPRIPQFPFRIDAVSRPPPPAARCQPRLAATRPPHTPAAAARSPLLGASGQPRLDAVAIDGLPHLRAAVETTLTGTGESGEQPDDRSPSSPRQGLLLQPSPTRPFHPNRGRGTHRSALPSELPTPKRVATADGRASVFRGGAPATPRTRPADLASSASHLAPRARQRPLASRRPAPKRGRSAPLQAAA >Sspon.05G0014840-3C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:5C:49115477:49115890:1 gene:Sspon.05G0014840-3C transcript:Sspon.05G0014840-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYHILPENRLRRTRAPASSKAMHPGAGAGAPPASSVHGNVDYDDFHWDDAAEAELQAIEAAYASASAAAKRRRLPDWTSPSPTLSSRPRYSQSPVSGRSTPSWTLTPHTPQGALLLLSAFPFPIPGLAELKNPPAS >Sspon.06G0020660-3P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6D:9931478:9932197:1 gene:Sspon.06G0020660-3P transcript:Sspon.06G0020660-3P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFHLRSASVPSSPRSNDINVDEQLQSLNTTISSSSATMGTVCDGLRKLVEIYNYISELVCLPSSQVPKQRKSVEQELERSLVLLDLCDMMQESFSELKESSLDMQLALKRGDDAAAQTKIQSYIHIAKKTQKQFKNISKKSAAADQESCKLIKMMSEARETAVLMLESSSQLLSKQIVVPSPSKWSLIFKTFQKRRVVCEEEQLQALELDIVDLESGVETLFRILIQSRVSLLNVLCL >Sspon.01G0018510-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:69842007:69842648:1 gene:Sspon.01G0018510-3C transcript:Sspon.01G0018510-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGYKKGHVRVQMVHSHRRLIVRGERPVDGNRWSRFRLELRIPDGCDAKAIHARFDNGVVRVTMPGVLPEPVPVIDSVTGGTGKQQQQEPPPPPPSSPLPKPASTAAAAGDDVQDRKGGGAAEQDGGDRAARGQELDKHRETPRKRVLSAAKDAGGSSGSGDDTGGVGEMTAASPSPRQGYGFLHNRKTATTVLGVVLVLISLGIYVKYSLWP >Sspon.02G0040950-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2B:73316308:73316745:-1 gene:Sspon.02G0040950-1B transcript:Sspon.02G0040950-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNCEFLVPLVSSLVTLVLRVLIADAVSVAGKIVTFARAAVEFLARDDTVVGCSVLDDDYCCPQPHCEPVVLTCGDAAAVTVRLGLSRWTCSSGGSSECRACGAAEVVAELVAGAGWSASERELEEAFHVFDRGEDGFICAAELWA >Sspon.02G0023390-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:78653476:78667376:-1 gene:Sspon.02G0023390-2B transcript:Sspon.02G0023390-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAFNRPLVSVKALEGDMVTDAPGVALPPVFGAPIRPDVVRFAHKLLSCNKRQPYAVSRRAGHQTSAESWGTGRAVSRIPRVPGGGTHRAGQGAFGNMCRGGRMFAPTKIWRKWHHRVNINLRRVAVASALAATAVPALVQARGHRIETVPEMPLVISDSAESIEKTSQALKILKQIGAYADAEKAKDSGSKVVKAFRNLPGVDVANVERLNLLDLAPGGHLGRFVIWTESAFKKLEEVYGSFDAPSQKKKGFVLPRPKMTNADLGRIINSDEVQSVVKPLNKEVKRREKRKNPLKNGCSAAAAMVAFNRPLVSVKALEGDMVTDAPGVALPPVFGAPIRPDVVRFAHKLLSCNKRQPYAVSRRAGHQTSAESWGTGRAVSRIPRVPGGGTHRAGQGAFGNMCRGGRMFAPTKIWRKWHHRVNINLRRVAVASALAATAVPALVQARGHRIETVPEMPLVISDSAESIEKTSQALKILKQIGAYADAEKAKDSVAIRPGKGKMRNRRYINRKGPLIVYGTEGSKVVKAFRNLPGVDVANVERLNLLDLAPGGHLGRFVIWTESAFKKLEEVYGSFDAPSQKKKGFVLPRPKMTNADLGRIINSDEVQSVVKPLNKEVKRREKRKNPLKNMAAVLKLNPYLGTARKMATLAEAARVKARRDKLNSKRTKLSPEEAAKVKAAGKAWYKTMISDSDYTEFENFTKWLGVTQ >Sspon.04G0001340-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:1954893:1956107:1 gene:Sspon.04G0001340-2B transcript:Sspon.04G0001340-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SMEEGEGFLHVLRALRDAARRVGAGEVRGPALQALLALEAGADDLLAGDPDLVALHRLLCRLRALSCSLDLCSGSLSLRARCRRCGARRAVARVAGAVAGEIQAWVDREAIARLVAALRGGRVDAARALLAELEARLLSLSAGGRFDPRLQGALLRHGVFPAVEAGIGDPAVGDGCAAAVLALVRFNKDVFVGPVLMGPAVGALVASASASAAPLRALNGLVDAIRSPLVDELHARGELPRLVALLCAPDPRARIPALGFALRVGYYGRKEVVDALLAEGLVKRLLCLQRSDLGGSLADTDEDEDGCPKAKPDDAKAGGSLLLLACLPTVWRRREGNGDADMDTEERPFVSAVSRFAVQVEVGVVLSPREKRETKLEILRRVREAAVSPAEEATVLAEVLWGATP >Sspon.05G0022710-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:8092210:8097345:-1 gene:Sspon.05G0022710-2D transcript:Sspon.05G0022710-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA repair exonuclease family protein [Source: Projected from Oryza sativa (Os04g0635900)] MEKDEIRRFDSFQAFEEICALADQNKVDFILLGGDLFHENKPSRSTLVKTIEILRRYCLNDQPIKFQVVSDQTVNFPNRFGKVNYEDPNFNVGLPVFTIHGNHDDPAGVDNLSAIDILSACNLVNYFGKMDLGGSGVGQIAVYPVLGMTSVALYGLGNIRDERLNRMFQTPHSVQWMRPGTQDGESVSDWFNILVLHQNRIKTNPKSAINEHFLPRFLDFVVWGHEHECLIDPQEVPGMGFHITQPGSSVVLKDEADVDPNDQASVLEHLDKIVRNLIEKSSQPTASRSEPKLPLVRIKVDYSGFSTINPQHHIDDSEKLRPEELNQQTIEALVAESNLKMEILPVDDLDIALHDFVNKDDKMAFYSCLQRNLEETRNKLSSEADKSKIEEEDIIVKVNECMQERVKERSLRSKDGTRFTSSSQNLGYVLGARSTDVGRKSSGFTRPSKDTADVAKRGASKRGRGRGTSSMKQTTLSFSQSRSTTVIRSEDVSSEEEADANEVVENSEEESAQQVGRKRAAPRGRGRGRGSTAKRGRKTDIASIQSMMSKDDDDSEDEPPKKAPPRVTRNYGAVRKR >Sspon.06G0020710-2P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6D:37281577:37282203:1 gene:Sspon.06G0020710-2P transcript:Sspon.06G0020710-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIIRSTSLPSSLRSEEIDIEEQLQSLKETISSATIETIVDGIKRLGEVYKTIEQTICSPSSQASLCRLQQRTMVEQEVELSLVLLDLCNTMQENFSEIKINIQEMQLAIKRRNDSALQARIQSYISLAKKAHKQFKKISKKPTSVDQDSCRVVKHLAEAREIAISMLESLSYLLSKQIGISSSSKWSLVSKAIKKRRVVCEEIARDR >Sspon.06G0029090-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6C:15382361:15388951:-1 gene:Sspon.06G0029090-1C transcript:Sspon.06G0029090-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQGSPSRHWADEVEDEELATAAASPGLNPFYPAGSEDDYGGCLSLTNSEGSDYSDAGSPPPEGKGKTVAEEGPSHRRRCRRRHHGRKPRGFMAAARQAHPPLVGDPRPRHQVDRRRHSPRHEDGERRHHPPPVTMGNTDTIFLAVGVTSAAAPPGHAPTMRRHTPPWHSRPMPPGLNGKFFNCTGEDHIRANCSHPPRCLNCGCESHRKRDRPFPPMMEAAPGKRRRTLPQEATPRRRPPARRRMNYTDNTTSGRSVSMGRSTSFPHCCAPPTSEQPRHSNEPALHADLEPNGGHRELIVVHRSGELEAAELNLRLSLVTVISGTRPMVSPEMVRAYLASHLGVVEASVRRHEPEDFIVRFSRPEDRETVLCTPVVNAPFSLIWHPWRRTSLATADFFQFRVLIGMRHVPLHAQNANWHKPSWAPHVLRARMELAPPEVTPFDDDREFFMAAWCQHPRLIPEEQAIFIPEPNVLIPGNALALRAGEAVLNRLPGLRYVIQIRIVEYQDRAPPSSDDEGYTGDNHDDYSDDGNFNGYRPGHDLGGRGYDPRHRTYRSAGAGDNAPRLGGCRGTTFMPRCTVLIGSFVFPVDDGPHTRFGPSDMIQRTSSLDAGAVGAHGALLPAKDAEEVESGDGDAIAQLRLMECSCLLKPRKHWSLGMAMPRSRQLWTWRSWMLSLPICTSSSSRATGVPCCPTGLIASALEADFSLLVGAPAPRIAPGPDPIPFEDATTPVTPPGLMFAPQKIANLEDALEDALSPGSRVTPADATKQATVAQHAAVTPTATPSHVPDHTARAPTNLGNGPGHDAGAAFIDNLKLPLEDCLVHTPPARQRRRKPVHSVPRRSDKLALNLPTLLLSQCRNTNGRQWVSCFAAKGCTPMRPSTWCVERFAPPSSHHSPPMSANHILIWNARGLNCRARRSAVRDVVEQRRISIVCLQETKIHNFSVSRNFETTSIDFDYAYLPAIGVAGGAYIAWRRDLWGASAPAVRRFSVTLQFTPLNGSGMPWCLTNVYGPTNHADKDEFMQELRNVRSVIQGPWMLCGDFNMIYQACDKSNGRLHRGLMRRFRQMLDDLQLDELHLLGRLFTWSNHRDSPTMERLDHVFASVDWLEQYNCHHLRCLSSDSDNAPLLLVLNSEPWGHPRFCFDDYWRTLPGFQEAVHTAWNAHVQATDPCRILDQKFRAVAKALKRWHETKVGNIRLQLAAARAIIYELNTAQEARPLAATELQRRRELKQRVLGLASLSRTMARQRARTRQLKEGDACTRYFHLQACHRRRKNFLFAISHDGQMFTEEEAKANIVFTYYNDLIGTRFIRQHRIDLSQLPLPRIDLDDQALPFSAEETAMAVTATPSGRAPGPDGLSGAFYKATWSIIGADVVRAFHALWDMDFRSFHLLNEACMVLLHKTQAPPGLKDYRPISLIHSMGKLFSKTLALRLAPRMHELISHNQSAFIQGRQIHENFRTVQLSCRLLYVQCRPTMLLKIDLAKAFDTVAWPFLLEVLKHAGFPLRWRDWISAMLSTASTKVLVNGRLGERIHHTRGLRQGDPLSPLLFVIVMEVLNALIIEGASGLATNVDKCVITPIRCSEEQVEAVRRVFPCRVQEFPTTYLGARIPTWKGLLTDAGKATLVRTTLSAVPVHISICCCLSTWAIEEIDKRRRAFLWSGSKSEAGGRCKIAWPIVCAPKEHGGLGIPELRILGYTLRLRWEWLRRTKPGSAWALLPSTLERKISCMFSASVFVEIGDGASACFWTDAWLPEGAIRSFAPNLFPAVGRRRLHRSVKYALTGRWWERLMHVELRPSVPDRFVWRWTDDGQYSVRSAYRVFFTGWMTMAGAKELWRAAVPPRVKLFFWLALQGRLWTAERRKRHGLQPGATCALCDQLDETTDYLLCSCVYSREVWARLLAAMGSPTAPPQQTSVLLDWWLRGRASLPQALRRSFDSLVLLVSWSLWKERNSCTFERRSATTGQLIVAILEEAGTWVGAGYSSLALLTALIACGVFCAPPPSHNRVSLSTT >Sspon.01G0046500-3D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1D:91963404:91966079:-1 gene:Sspon.01G0046500-3D transcript:Sspon.01G0046500-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKATLGTRRNGYPRTRGANDARQSSSSSVIACSTRGGGRVHRSRPSGSSSAEEPPRHGRNSAGATAATACRAMYMPTADSPTPSSRNPRNTLSIPVGSTLPCPAACRASFTSSMDATRAC >Sspon.02G0044310-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:104321139:104324476:1 gene:Sspon.02G0044310-3D transcript:Sspon.02G0044310-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMQVHITLGDQEGTAMIVSWVTPSELGNTTVAFGEHPDPEKMGRRAEGTHTRYDYFNYTSGFIHHCTLKNLKHGTKYYYAMGFGHTVRTFSFTTPPKPGPDAPFKFGLIGDLGQTFDSNTTLSHYEANGGDAVLYVGDLSYADNHPLHDNNRWDTWARFVERSVAYQAWIWTAGNHELDFAPELGETTPFKPFTHRYPTPYRAAGSTEPFWYSVKIASAHVIVLASYSAYGKYTPQWTWLQAELQQVDRKTTPWLVVLMHSPWYNSNNYHYMEGETMRVQFERWLVDAKVDLVLAGHVHSYERSHRVSNVAYDIVNGKATPVRNADAPVYVTIGDGGNIEGIADNFTRPQPGYSAFREASFGHATLEIKNRTHAYYSWHRNHDGAKVVADGVWLTNRYWMPTDDDAI >Sspon.02G0045220-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2C:105761339:105761989:-1 gene:Sspon.02G0045220-2C transcript:Sspon.02G0045220-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRHQEHCVVLQQQRSGPSHLASPVHSWVRQKKKREANQRIVLFTYSNSAASGHLYGLGCSKTKRVAYSHSAVHYSSSLRAKSAQAHSDRSCSRWARGLFSRGHDCDETDRSRALRLGSSGRPGLAHIAGYRGVGRRVASGWRTRTQPAPRTSTPICAWLCPCQTRATTRQTRPRKLLLHLPPLPSQVSTSVVLTRKSFLTRIIISCCVARVDDSN >Sspon.01G0021110-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:82461569:82462952:-1 gene:Sspon.01G0021110-2B transcript:Sspon.01G0021110-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLSIAVLTSPLLPTSVPAVRPHPRRLLRLRVRSLAAASSLSPSARSLRLLEWGKVCDAVASFAGTVHGRDATKKQLWEVEDVSYEQSQMLLQETEAAVSLLDNAGGAMDFSGLDTVAIESAIHCVSGGAVIKGLEAMAVASLMLFVESLQVNIKAAIKLDEGSRSRLMTLTETILDAVINKSLVKSIQDTVDDDGSVKDTA >Sspon.07G0037470-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7D:54165184:54167720:1 gene:Sspon.07G0037470-1D transcript:Sspon.07G0037470-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAFSSSSPSRSLRHDIRTVHRAPLSPHCSPTLYPLPPPCPPPSSISTASEHRWSPSSLSTMLTERCAGADRRVWLGGQERGCRWLGLGPAQAQLAADRSRHHPRPLAGQNQLFPDQVLHMLQRDPSATATYSSCWGADDGGGASGPHARSSGTGDVRAARVPHGRAKHRRGGVCRRRGRAQLDEGMTERVVWGEASGMGVELWPDEGVGGMGLTSEAGTGVYQWVSNIMQGNPGDHTVRWRRGQLCGVAEAWLDEQGGRGMATDHRECVYQQLEKELHAKRSMAFPNEACG >Sspon.06G0027350-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6B:84965974:84967670:1 gene:Sspon.06G0027350-1B transcript:Sspon.06G0027350-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATPPPGSTRSYARICPGSRGGSAHICARRRQPQLRPAKAPAAAPSGSTYSCGRVRPDRQRPRWARLVSCAGHTLCLCVPCLHRRLCRTPWRKATAAQLARRGACPPVIRAACLMQPPTRLLVESNKDGSECGTEAMSTGRRAVAQ >Sspon.05G0008770-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:27946959:27950074:-1 gene:Sspon.05G0008770-4D transcript:Sspon.05G0008770-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKSKGPAAAAAAAAAADETGVSSPQGSAHGSEGGGEKEGAFLLGEPTWEDTGGGRWRCAETGHELPEREKEAYARSRACRLALIDHAVARKKPPLNVFKPHPEHKSKLVCKITGDTVNKLEDHIWKHINGKRFLNKLEKLEDQMASGEMADGEPVKSNEVAKKSKSRKKDKKKANVASPSLPREPKPEMDDSDDPDFWVPPVGSRWDDDDGKDRWESSPGKPNSAKNEGGSVGPSSFASRKKKPKKEHLLL >Sspon.04G0017150-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4B:66335256:66340869:1 gene:Sspon.04G0017150-2B transcript:Sspon.04G0017150-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VSAINGLYVALGSPKLPGWSASGGDPCGESWQGVTCTGSSITSIVFNAANLGGQLGSLGNFTSITEMHMQDNKLSGTLNVLQDLPLKDLNDGNPFNTSIAPSTSPSSTPTGSTPTQTPSSSSSPSGSPPSSSAASNSSSGSTARNSNSPSSKKNKSSTLRTVGYVLLAIVLFIVLVLLVIFCLSKYQERQSRRDYSAAQLGRVHQRIEEPKSKQASVQSKHEAQKGSSEVPERKKPREINLAVPVALEKPPEKRKEHVINLERTESEIFAAAPPPPPPPPPPPPPPPTPPPPPPPPPPKLPSPPPVEKLLEVMKIDNANGRIPVDDFLELVARISDIRHPNILELVGYCAEYEQRLLVYNHFSRKTLHDVLHEGEDLDEPLSWNARLQIALHAAKALEYLHDTCEPPVVHQNFEPANVLLDNRCSVRVAECGLAELMASGSVTQLSGRMRALLNYEAPEIHESEPFTHRSDVYSFGVVMLELLTGRKPYDSSRPRAEQHLVRWADSQFHDMESLSKMVDPSIRGECSVILLSRFADIISGCIRKEPEFRPAMSQIVQDLARIVGASGEVSE >Sspon.01G0009000-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:28316718:28319352:1 gene:Sspon.01G0009000-1P transcript:Sspon.01G0009000-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSEITSVTAVCAQGPKLFRGKILLPRGPPDVVPSENVEFDFSDVFGPSAVQTHTEVSILTPDSPAPVAESNDEVYDDPDVIVKRSHSLVGPSSLVSQSLPFSKLTLHKTESSLELSECPSKEKQINQGSLSDDEFDDVKKENEGVGLDDFEVLKLVGQGAFGKVYQVRKKGTSEIYAMKVMRKDKVVEKNHAEYMKAERDILTKVDHPFVVQLRYSFQTKYRLYLVLDFINGGHLFFQLYHQGLFREELARVYTAEIVSAVAHLHANGIMHRDLKPENILLDADGHAMLTDFGVAKEFDENTRSNSMCGTVEYMAPEIVQGRGHDKAADWWSVGILLFEMLTGKPPFIGGNRDKIQQKIVKEKIKLPTYLSSEVHSLLKGLLHKEAGRRLGSGPGGSDEIKNHKWFKSVNWKRLEARQIQPSFRPNVAGKTCIANFDECWTNMPVLDSPVASPVAANSNLWGSVM >Sspon.03G0015870-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:55961936:55965894:-1 gene:Sspon.03G0015870-2P transcript:Sspon.03G0015870-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCFACCGDEDTQVPDTRTQYPGHHPARADAYRPADQPPKGPQPVKMQPIAVPAIPVDEIREVTKGFGDEALIGEGSFGRVYFGVLRNGRSAAVKKLDSNKQPDQEFLAQVSMVSRLKHENVVELLGYCADGTLRVLAYEFATMGSLHDMLHGRKGVKGAQPGPVLSWSQRVKIAVGAAKGLEYLHEKAQPHIIHRDIKSSNVLLFDDDVAKIADFDLSNQAPDMAARLHSTRVLGTFGYHAPEYAMTGQLSSKSDVYSFGVVLLELLTGRKPVDHTLPRGQQSLVTWATPRLSEDKVRQCVDSRLGGDYPPKAVAKFAAVAALCVQYEADFRPNMSIVVKALQPLLNAHARATNQG >Sspon.03G0012690-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3A:35106561:35108522:-1 gene:Sspon.03G0012690-1A transcript:Sspon.03G0012690-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASAKPDTTASPQAPSAAKGAFMRRIFPFLLATNVFIGGEKKKSPSSDLSPFRPSFDLSLVLTYKRDQEKKNAQATAAAAAAAALSSPASTTAKAPDPTPAPVPERVLPPLAEDEQRHLYKWMLEEKRKIKPRNATEKNKINEEKALLKEFIRAESLPRL >Sspon.04G0017770-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:70136915:70143125:-1 gene:Sspon.04G0017770-3C transcript:Sspon.04G0017770-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDRSVEREFPSRERGDRPPPGILGPPRRGPAYKTKLCALWQRGGCSRDTCSFAHGHAELRRFPGSRTSFPPRAGRRDYRGGDFRDRFDRRRSPHGRHSPDRDSRGHRSLHDRRPNSQERESSYSRSPSRKSERRHEKKPDDGETNSSRSLSVFDNNDDRKKETLLSGDDKEDHEIQLKQIRQDMELLRDDKSHLEIILDEKNAEVRKISSRVNDLDLQLRKEKEECHRMTSKMKKFIKAHARFLKAQEELKRSQARFERLGDLLASDILKRGANEEVSSINVDDDPNGSYERSPNAATAKKRSIPYSTSEEAKAVKKRRERDSDTTRPDKYRSEGTIAEFEKPSKGTEPTKSLYLKKKLWEDEKDKIGNFVSSANTDKVKESPVKHVLPSTGMAAHAVDDLFEAVELEDRHDPINASIENDADDETRSPVMPPQPPPVVNTYEQYEADDEEVDVD >Sspon.03G0024520-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3A:74658803:74660598:1 gene:Sspon.03G0024520-1A transcript:Sspon.03G0024520-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVMRSFVSGDRSMRQCNGFCPVGDLAVGSAVPPQCSSRQQQQLLLLVLVPMYMHRVFFLHAAAAIWAGKDGRIDRDRERQRQPLRCTADDDDKRTERIARGRAHMDMGMGMDDTVAVDIASVCRFQFSFSAGRTCMCMRCSSIVHVHGNMAWGDSCRISCCTVRLCPCCMMHPGNVIREKIDQTEQHAAEVTRPDT >Sspon.01G0001430-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:4405142:4406119:1 gene:Sspon.01G0001430-1A transcript:Sspon.01G0001430-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LYLRLRVPMPCPPSSTLAPAPRPAASSRLPRRHARTNAGQAEALMEVAPPPLQQHLSDSFSRGWLMKRGARAPSLERLVADADLGHSFGSSRSFSFIDLDPGELFSMRWTGTATAPPESDFDFGLIPGVGGGGSDPPSPVLVSASRVIHDGRLLPSDPAGLQERGAADPPSAPRSPLFRSAHSTPTSPSPSPSSCSSGRTAGRTRGGGASSWKILLQYLRFLGPLYRKVRFLRRFPAPRRRPRVAPASPARASTSSLEWCHGNADTAVRDAILYCKKSSVRPTSLHRPPNQTKSSTRTARTLTTDHCASSSSPTDTTISAQIFQGQ >Sspon.03G0017610-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:54895630:54900437:1 gene:Sspon.03G0017610-1T transcript:Sspon.03G0017610-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPASHRRRSRLLSWPLLFVAILAVHSLAVYLFTRGFLLTRTELDLHSSRDDLSPQGDVSSGCASWPPASVDRLVIVVLDALRCHVLEKQPWMDKLQVLQKLAADEKTSARIFKALADPPTTSLQRLKALTTGGLPTFIDVGNSFGAPAIVEDNIMHQLAKNGKRVVMMGDDTWIQLYPEHFNKSFPYPSFNVKDLDTVDNGVIEHLLPSLHKNDWDVLIAHFLGVDHAGHIFGVDSTPMIQKLEQYNQILEGVIDTLRSLSKPGGTHENTLLLVMGDHGQTLNGDHGGGTAEEVETSLFAWSPRTPPDAVLSVLDDSSCNVDLHGKEVCVSTMQQLDFAVTISALLGIPFPFGSIGRVNPELYALSTGTWVNQRMGTNACTSQDDLEAWMGRYAEVLCVNCWQVKRYIDQYSATSVIGFPLEDLQHITDLYSRAQENWSASLITTCSSETGSQEKVEGKGSVLLQQIDAYNDFLQSFAKLARSAWTEFDLWSMGVGLLLMILSVIIQASTLVNMNTISQSSDQRSSGSSIIPRFSLAFALVMIRAAMAEGRVANFLLATSCITSVWHSVLKGNISIENLVFLLLNIFTRFGIEVGMSKQLPAPTITKDHPVSVIYKILGVNSCNILLELFPIISLAFVAYIMLKCLSRAICQRFLKYFLLCGTMVSYLSIAFHWASETTLFSHAGTVQEFGRSLAPRIVYAIGGLSLVISAFSRIFGPTVHLKMNKRIIILSAIMLCSWSPTILILLGRQGPFVALICMTGAWCIVKLQQKHQRESELSVADPVSVIQWSLLAVCLFYLTGHWCTFDGLRYGAAFI >Sspon.02G0025640-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:88804608:88805907:-1 gene:Sspon.02G0025640-1A transcript:Sspon.02G0025640-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAADPACVLPPRPRTMKLGSQGLEVSVQGLGCMGMSAAYGERKPEADMVALLRHAIASGVTFLDTSDVYGPHTNEVLIGKALHGAREKVQLATKFGITPDIRGVRGDPAYVRAACESSLRRLGVDCIDLYYQHRIDTTVPVEVTVGELKKLVEEGKIKYIGLSEASASTIRRAHAVHPITAVQLEWSLWTRDAEQDIIPTCRELGIGIPENMEKNALIFERVSQMAARKGCTPSQLALAWVHHQGSDVCPIPGTTKIANLNQNLGALSVKLTPEEMAELESYAAGVQGERYHGTVIKTWRDSETPPLSSWKGN >Sspon.02G0038670-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:43731344:43732347:-1 gene:Sspon.02G0038670-1P transcript:Sspon.02G0038670-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLRQMREEFWDTAPHYGGQKEIWDALRVASESEVSHAQAVVESAGIIVSNADLTLCYDERGAKYELPKYVLSEPTNLIRDS >Sspon.07G0020230-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:75170677:75171114:1 gene:Sspon.07G0020230-1A transcript:Sspon.07G0020230-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLFVVVSSIERGAAPVNRESHPMVNKAGKNVHLTVILSSCPLLMLDQSEIDGFHGSLYQFEVRTLSQFSVEFRRHVVLVLVSLALEWNVSWSLGYATSWKNVASGASGVSNKIVRLKG >Sspon.01G0040500-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1C:33418894:33419355:1 gene:Sspon.01G0040500-2C transcript:Sspon.01G0040500-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHALGKRATHTRPCTPPERKKKTHGLGICPSTALEGSLGGGGRDTAVVAGEMLVGWGSGRRRLGGFGGGCVAVESLARCLVIRGGQGQGRGRCHRRAALWLGEGGVEEAVAGGGGSCQPWVVGARGRVRWWRGASGGRRRGEDGVSKEEDDA >Sspon.01G0032300-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:112039359:112040951:1 gene:Sspon.01G0032300-3D transcript:Sspon.01G0032300-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding TMATATPLLPSQLLGGSVPQQWQMGLLALLPVLLVSYLLTSRSRSRSGKDGGAPRLPPGPAQLPILGNLHLLGPLPHKNLRELARRYGPVMQLRLGTVPTVVVSSAEAAREVLKVHDVDCCSRPASPGPKRLSYDLKNVGFAPYGEYWREMRKLFALELLSMRRVKAACYAREQQMDRLVADLDRAAASKAPVVLNDHVFALTDGIIGTVAFGNIYASKQFAHKERFQHVLDDAMDMMASFSAEDFFPNAAGRLADRLTGFLARRERIFSELDVFFEKVIDQHMDPARPVPDNGGDLVDVLINLCKEHDGTLRFTRDHVKAIVLDTFIGAIDTSSVTILWAMSELMRKPQVLRKAQAEVRAAVGDNKPRVDSEDAAKIPYLKMVVKETLRLHPPATLLVPRETMRDTTICGYDVPANTRVFVNAWAIGRDPASWLAPEEFNPDRFVGSDVDYYGSHFELIPFGAGRRICPGLAMGETNVTFTLANLLYCFDWALPEGMKPEDVSMEETGALTFHRKTPLVVVPTKYQRRAA >Sspon.03G0013850-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:56366606:56378701:-1 gene:Sspon.03G0013850-3C transcript:Sspon.03G0013850-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATDSSAAPFQKIQIQREDTTFDAYVVGKENAPGIVVLQEWWGVDYEIKNHAIHISQLGGGYRALIPDLYRGKVALDVAEAQHLMEGLDWQGAVKDIQASVKWLKSNGSPKVGVTGYCMGGALSIASGVLVPEVDAVVAFYGTPSSELADPSKAKAPIQAHFGEHDSFVGFSDVTAAKSLEEKLKSSGIPYEVHIYPGCSHAFMNTSPEALKRKKGMGLTDENQEAVDLAWSRFSSWMGRFLGSA >Sspon.03G0010370-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:43058749:43061754:1 gene:Sspon.03G0010370-2C transcript:Sspon.03G0010370-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSASLLARRLILSRRFLSSPLSSFSTTTTPNSSTSSPSFNGSDAESDPEHHEHDQPPADQDSQQTSHRPRPPDTTRPLENGLDPGIYKAILVGKVGQEPIQKRLRNGRTVVLFSLGTGGIRNNRRPLDREEPHQYADRCSVQWHRVCVYPERLGTVALKNVKTGFLLSQALNTIVDAL >Sspon.05G0017930-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:72084456:72088893:1 gene:Sspon.05G0017930-1P transcript:Sspon.05G0017930-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPVGADPDPDTSTPPDSPHAAPAPAASPPPLPAAPAVTAATRSPSPTKTPAIATSPAEPTTPAEPSSSSSSPSPTRGATTTTATASASAYGLQAPSATATAPGPSVIQTESKMSRRGLMEQDLSKLDVTQLHPLSPEVISRQATINIGTIGHVAHGKSTVVKAISGVQTVRFKNELERNITIKLGYANAKIYRCEDNRCLRPMCYKAYGSGKEDSPLCDVPGFENCRMKLLRHVSFVDCPGHDILMATMLNGAAIMDGALLLIAANESCPQPQTSEHLAAVEIMRLQHIIILQNKIDLIQESAAMNQHEAIQKFIQGTIAQGAPVVPISAQLKYNIDVICEYIVKKIPIPERNFTSPPNMIVIRSFDVNKPGSEVNDIKGGVAGGSILKGVLRVNQKIEVRPGIVMKDESGRIKCTPIYTRIVSLYAEQNELQFAVPGGLIGVGTTMDPTLTRADRLVGQVLGEVGSLPDVYIELEVNFFLLRRLLGVRTSGTERASRVSKLVKGEILMLNIGSMSTGAKVAAVKNDLAKLQLTAPVCTNKLEKLALSRRIEKHWRLIGWGTIQAGTTLDVPPCPL >Sspon.03G0042920-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3C:74102886:74103527:1 gene:Sspon.03G0042920-1C transcript:Sspon.03G0042920-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MESHPHQSSKSGCGSNRGAMEEEDRLSSLSDDLLHAILRALPLKQAVRTSALSRRWARQWLRALAASRVLDLTDRDFARGQPPARAAATVSRCLRLHAEHGAPLDVLRVALVSPPPSGPGPSDGAFGRDVIGWVAAAVRRGAREVEVDLQHLTPSQDDDAAFLLELPGDLFQARNSLERVALGGLSLRAVRFPTAGLAGLRSLSLSNADVTDEA >Sspon.02G0005490-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:17133920:17136118:1 gene:Sspon.02G0005490-1A transcript:Sspon.02G0005490-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding PMTSPSSHHPDSSSASSTPRAGVGNGNHHHHLHPPPLPPAPAPAPAAPPAQAAHGGPQVRLMCSFGGRILPRPGDRQLRYVGGETRIVSFPRAAASFAALVAALAKAAPALFAPGAPRPSLKYQLPQDDLDSLISVTSDDDVDHLLDELDRIHDLSANVARPPRLRVFLFAPAPDAAFGSVLSGTAGAGDAASTDQWFVDALNAPAPHPIERGRSEASSIISEVPDYLFGLDTASDEPSPGTAAARAKSDAAETETPRHHGDDEDDVPPSARQMSYAAEGASSWPAPPPPYMPQPVYYFPVPPPVHYLDPSAQGGYMPRPLYHIVGGGGSEAPTAGRDLHAAGGVYGVPHHMHAFPPMMYPPPRAVVYNYKSEGMPSLPPADAIPVLLTPNSELLIFWYRVSDIS >Sspon.07G0002600-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:4578148:4580904:-1 gene:Sspon.07G0002600-3C transcript:Sspon.07G0002600-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGMAASVVAALIVLGVASVATAQLETCNGDLPPVLAANYSGLACQPVWNNFVLRYHQDKNNVLRIVLSTMYSTGWVGMGFSRDGLMIGSSAMVGWIGKKGLPHIRQFALRGKSSSKVVVDRGFLVSNDHDHTVVVQQAKIYLAFQLRFSYRLTHQHIIMAFGNSIPVKNKLTRHQDKTSFTFDFTTGRASVDGSFPYGLRRAHGALNVFAWGVLMPIGAILARYFRRMDPLWFYLHVGVQFVGFIIGLAGVVAGVALYNKIQADIPAHRGLGIFVLFLGILQILAFFLRPNADSKYRKYWNWYHHWAGRLVLFFAAVNIVLGIHVGGADNSWKIGYGFNLAVILVAVIALEFMLWTRWSKNSTSTPTY >Sspon.08G0022020-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:47278309:47279999:-1 gene:Sspon.08G0022020-2C transcript:Sspon.08G0022020-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTRKRPRNNNGSTPSSAGRSSGGFTSSSSSAMRRTTSLSDLAPPPDLSGRPQTRAARGDAVAGAGTVWVGTEMMRRHSGDFLPAMETAAFLKACGLCKRRLGPGRDTFIYMGEVAFCSQECRQQQMNLDELMEKKCSTPAGGGGGGSSSDQSGKSSTVAAA >Sspon.08G0005140-4D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8D:13087725:13092527:1 gene:Sspon.08G0005140-4D transcript:Sspon.08G0005140-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWLDIRYGIVLLLLLETSLCATDDRLVPGKPLSPGATIVSADGSFALSFFSPTNSTPGKLYIGIWYNDIPRLTVVWVANRETPVTNSSSTFSSPPALSLTKASNLVLSGADGRVLWTTNVAGAGAAARNKNAAAVLLNNGNLVIQSPNGATLWQSFDHPSDTFLPGMKIRINYKTRAGDRLVSWKSPDDPSPGVFSYGGDPDTFLQIFIWNGTRPIFRMGPWTGESVSGGLVRTFTGVVIYQAVVSTEEEIYMTFSVSDGAAHTRYVLTDSGALLLQIWNSSSSEWAVLGSDDPECYRYGYCGPNGYYDSTESPESPPRCKCLDGFEPVGLEDWNSGSFSQGCRRKEELRCGGDRFLALPGMQPPDKFVLVQNRTLQECEAECTKNCSCVAYAYANLSSSRTTTDQTRCLVWAGELIDTCNGGGETLYLRIASLDAGKISYGAKHRKIIFDDMCPSDELEGNHAQDSEFLSVRFEDIVAATRNFSEACKIGQGGFGKVYKAMLGGHEVAIKRLSKDSEQGSKEFRNEVILIAKLQHRNLVRLLGCSVDIDEKVLIYEYLPNGSLDATLFDSRLTIIHRDLKAANVLLDGQMRPKIADFGMARIFNDSQENANTHRVVGTYGYMAPEYAMEGVFSIKTDVYSFGVLLLEVVTGIRRSSTSSTKGFQNLIVYAWNMWKEGKARDLADPSIMDTCLLDEVLLCSHVALLCLQENPVDRPLMSSIVYSLENGSTTLPTPNNPGHYGQRSGDMEQIRDENNSMNCLTITTIEGR >Sspon.06G0009940-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:53711784:53722040:-1 gene:Sspon.06G0009940-1A transcript:Sspon.06G0009940-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polyadenylation and cleavage factor homolog 4 [Source:Projected from Arabidopsis thaliana (AT4G04885) UniProtKB/Swiss-Prot;Acc:Q0WPF2] MAGAAASPAGGHVVERFRSRLREEAGGGEPGAAAVVRVYAEALRELTFNCKPVITELTIIAGQHAALAARGIADAVCARVAEVPPDQILPSLYLLDSIVKNIGGEYVEHFATRLHSVFVDAYYRVHPNQYTSMRRLFRTWWPVFPSSVLRSIEDDLQFSPSENNRPTTSTNLHQTESLSPRPSHGIHVNPKYLEAQHKFKQANVAHQSAARDTMQTTDVQEDRINGLTSNTSRGWPSMFEKSSLQYADNPDQQEMSRPLAGTIRATSPHLLSTHSSDVNLDGPLVNSRKKLSRSPPLDVFPMNVSPKRALERLPPSHSVLGPDPRKLPDRNGMLRWALNDGAQQPTISMLDEEYRKQSARELIDAYGNCQGRDVDERLPKVQRLDPNGMASRSSARNWLTSEEEEYSWEDMSPTLTDRVRSSLPSFPPGTVRAGFPRANAGLLESDVVRHNFPSQATRSSVDGSPFNLEDRITTASHVDISTRRYPSNFGVQNGALLEYQNSEHTLEHGNIDTMLAPPWQKPTGLPLRIQAPQHPSVLDRIPQPANGEMPVKRLDISGTYNGLNVDIPLVEKHRSSPAPALTEWLPLHHTQSQTLPLIPPDMKHVRSASDSLEISSFVNQGASSSVFVPRHQYDSLDRKMGTSNLAQPPYQHQDLLPSNQQNQGTILGNQAHPHRPTQLHPHPHSHPHHQETFRSFASVSPFQGQGGTATMTPVSVLPTSFPVPPAVPPYGVPSAPGFPMPPLPPGPRPVPLQMGSSSSQVGGPQPFVSGLLSNLMRHGVISLEPPSQSQDCVGVDFNVDLKLRNESVINALYQDLSRQCKTCGLRFKCQEEHRAHMDWHVTKNRNSKNRKQSSRKYFVTAGEWLRAAETVGNDGVPAFVPSDPVPDRKEEKEIAVPADEEQTACALCQEPFEDFYSDETDEWMYRGAVYMNAPDGNIDGLERSQLGPIVHAKCPRKKPRFDHLLQ >Sspon.07G0023860-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:19283425:19283622:-1 gene:Sspon.07G0023860-2D transcript:Sspon.07G0023860-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAITISANAWTDAGHAVNHLYDILYMMGRDDIPVGVGGDGGISYAGDVQRNVGGYLPLIDQVPT >Sspon.07G0006570-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:12280196:12283905:1 gene:Sspon.07G0006570-1T transcript:Sspon.07G0006570-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSTAAAAAAPLHRIACARQRLPQTSLFFLPSRALLNSGRLTVPAPLPVHALRLPPTATRAASHDAATGARSPTSGGQMLVFVPPHPLIKHWVSVLRNEQTPCAIFKSAMAELGRLLIYEASRDWLPTITGEIETPIAVASVEFIDPREPVLVIPILRAGLALAELASSVLPATKTYHLGLRRDEETLQPSIYLNNLPDKIPEGTRVLVIDPMLATGGTMVAAIDLLIERGVTSKQIKVVSAVASPPALQKLNNKFPGLHVYTGIIDPEVNEKGSS >Sspon.01G0038540-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:34059916:34061604:1 gene:Sspon.01G0038540-2D transcript:Sspon.01G0038540-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exonuclease DPD1, chloroplastic/mitochondrial [Source:Projected from Arabidopsis thaliana (AT5G26940) UniProtKB/Swiss-Prot;Acc:Q682U6] MSLSSICCGNFHLLRNSIGDTCSIRWLKYHSRLIYETFLSSRRHQSRPLSTTVLARSTRKGSKQSFSNSRHLHGKSVESSIEVFKQSELEQLKSLHCYNVEEESSGVKTEWPATILVFDIETTGFSRRDDRIIEFAVRDLMGGKNSTFQTLINPEQEVRNSYVHGISNSMLCRPDVPRFGELIPILLQYVWSRQMDGKPVMWVAHNGRSFDVPFLIFEFRRCKVEMPGDWLFVDTLPIARQLVDSNGSKLSSVSLKNLRERYKIPLTGSAHRAMQDVTTLCYVLQKLTFELKLTVPQLLEKSFRASDLPATRPE >Sspon.02G0048840-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:29541807:29545325:-1 gene:Sspon.02G0048840-1C transcript:Sspon.02G0048840-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPLGFFFLLLLLQLGPSSCSNVYIVYMGERNPELHPALVRDSHHGMVAALLGSEQAAKDAILYSYRHGFSGFAAVLTDSQAARLAGELTLLFCSVLSLPCVMCDVDKTPAALLCVLAQFELWYQRFAWGCASVTTGIRTDISTRRLRAMYPGSHFAGIWPESASFRDDGIGEVPRRWKGQCVAGDRFNASNCNRKIIGAKWYIKGYEAEYGKMNTTDIYEFMSARDAVGHGTHTASTAAGNSGPYSETVINSAPWIVTVAAGTIDRTFLTKVTLGNNSTYVGQTLYSGKHPGKSMRIVYAEDIASNNADDTDARSCTAGSLNSTLVKGNVVLCFQTRAQRSASVAVETVKKARGVGVIFAQFLTKDIASSFDIPSVQVDYQVGTAILAYTTSVRNPTVLFGSAKTILGELIGPEVAYFSSRGPSSLSPSVLKPDIAAPGVNILAAWTPAAAISSAIGSVNFKIDSGTSMSCPHISGVVALLKSMHPNWSPAAVKSALVTTANVHDTYGFEIVSEAAPYNQATPFDYGGGHVDPNRAAYPGLVYDMGTSDYVRFLCSMGYNTSAISSMTQQHETCQHTPKTQLNLNLPSITIPELRGRLTVSRTVTNVGSALSKYRARVEAPPGVDVTVSPSLLTFNSTLRRLTFKVTFQAKLKVQGRYNFGSLTWEDGVHTVRIPLVVRTMISKFYVNS >Sspon.07G0008230-3P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:19767922:19769808:1 gene:Sspon.07G0008230-3P transcript:Sspon.07G0008230-3P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ALNLRENSGSLNFMAQFPFYREILYKPDFKNKKIGPPVIFDMDMSPGDFISLIYLLKAPIEVIDLKISFLFYECNFTIMYTAENSVKYGAPRNTDHPELRQPSAFEVWQSIKEQLLPSEKITILTSGPLTNLANIMLSDRNTSSVIEKVYVVGGHIRGENDSKGNVFTVPSNRYAEFNMFLDPLAAKTVLESSLDITLIPLRSQRKAASFKSILHALKHTDHTPESSFVHRLLFLLHELQQKHRLYHHMDIFLGEVLGAVYLVEGFSMRPFIQSMPISVVANSSRSTNGQIVVNKQSANSVKVLVDFSSGKYYSRVGKSLGNKEQSAVVGSFAEQNTIWSRPPEILRN >Sspon.02G0023480-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:73733142:73734731:1 gene:Sspon.02G0023480-1T transcript:Sspon.02G0023480-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKVKNPHVFMDISIGGSSTERITFELFANVVPKTAENFRALCTGERGLGASTQKPLYYKGTNIHRIVKGFVAQGGDFSRGDGRGGESIYGGKFPDENFRLLHDQPGVLSMANSGPDSNGSQFFITFKALPHLDGYFFLFFSHLA >Sspon.04G0002450-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:49231569:49233301:-1 gene:Sspon.04G0002450-2P transcript:Sspon.04G0002450-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIRRRPQPSSLQAAAVSDLAAASTAPQTPLNAARNRGGWLLAAGGEDEDREAKLLHASSADLVLGQKSGVARRLALPLQDDNVVVDCGRSMGGAQHGADDDGRMRFSAYEPAVPVKATEERVTSNGFVQQPAASSAASVVAAVVKQDEGKKQQATSNGTGAKKRRGPAVLLEGSRCSRVNGRGWRCSQPTLVGYSLCEHHLGKGRMRSAAAAARGGGNAGVGRLGRTEHGARIAATAAAVGAGVVAAAAAPPPKAEGPSLPGC >Sspon.02G0010110-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:28103374:28104808:-1 gene:Sspon.02G0010110-1A transcript:Sspon.02G0010110-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTDSSSTHVLLLSYPSQGHINPLLQLGKRLAAHRGVRCTLAVTRFVLGQSGQPSPGAVHVATYSDGCDSGGYSEAGNEQEFLARLESAGSASLDELLRSESAQGRPVHAVVYDSFLLWAPRVARRHGASCAVFFTQACAVNVAYSHARAGRVELPVAAGKGEGKALPELPGLPAGLEPADFPTFLTEPDGGCRAYLDLLLQQCQGFEVADHILVNSFYELETKEAEYMASRWGAKTVGPSVPSAYLDNRLPDDVSYGFHLLAPMREESKAWLDERPPRSVVYVSFGSLATPSSAQMAEAAEGLCSSGKDFLWVVRASETAKIPGGFADKARGRGLVVTWSPQLEVLAHPAVGCFVTHCGWNSTMEALGMGVPMIAMPQWSDQPTNAKYIEDVWRVGVKLRPDDDGCLVRKDEVERCIRRVMEGESSKEYRKNAMGWSEKAKKAMSE >Sspon.02G0059790-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:100791478:100794439:1 gene:Sspon.02G0059790-1D transcript:Sspon.02G0059790-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRASSSWSNVGIRLPSPSNLLRCSRGGHDDGGVKQSLRTPVAEINSKREFSPQQEVEKKAYSQEGCAHVKELQEGGFQTKETYTIGPNAKKLHLAAANAKKSCAAASHSPTVLLQGNVDSTLMDDEGIFVDDEVLVDIELRAEADAQEAARKTQRRDAKLKRAGRL >Sspon.07G0004030-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:9930754:9932767:1 gene:Sspon.07G0004030-1A transcript:Sspon.07G0004030-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear pore complex protein NUP35 [Source:Projected from Arabidopsis thaliana (AT3G16310) UniProtKB/Swiss-Prot;Acc:O04326] MASSSHSLAASRRGRSARQAPFFRDLATPIPSHRGVSRFGSGASPSAAPSATPPPPPIFTLDDRYAAADFSPDPTASDLLPVASSPSPRAAASRSPPWDLSRGKVSLSPPGSPMDGVVEPVRKEVLALPPPASPGAPPPATTAEAQSPVSPAQAPARTEPVANGGEVEREEWVTVFGFSIGDTNLVLREFEKCGVILRHHSGPRDGNWIHILYQRSYDARKALQKNGIQLSSGLIVGVKPIDAVHRQQLEESFARSNQGGFMVSLPSKLFASKSTGASNQLGALPRPYDPKANTNVSRDAGRRATGSVAAPAKSIVTNVMDLIFGI >Sspon.04G0021550-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:576770:588695:-1 gene:Sspon.04G0021550-1B transcript:Sspon.04G0021550-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SacI homology domain-containing protein / WW domain-containing protein [Source:Projected from Arabidopsis thaliana (AT3G59770) UniProtKB/TrEMBL;Acc:F4J9G0] MCMGKLRRNLPQKSESLNLDPDRSPPSARRARSAQRPRDQLRRGKSQMHTSVVVVVLETTEVYIVISLSTRRDTQVVYVDPTTGALRYRGKHGEDVFDSEAAALNYITDGSRVLSKSTTYAKALLGYAVFGSSALLLVATQLSASVPNLPGGGCIYTVAESQWIKIQLQNPQPQGTGEQKNIRELADLDIDGKHFFCETRDVTRPFPSPMTLREPDEEFVWNEWLSKPFKDIGLPGHCVILLQGFAECRNFGGAGQQGGLVALIARRSRLHPGTRYLARGLNACSGTGNEVECEQLVWVPRKGGQHIPFSSYIWRRGTIPIWWGAEIKNAVSVEAEIYVANDPYNGSLQYYQRLGRRYGNKSSEANVSRQKKSGRVPVICVNLLRYGEGKTESVLVDHFKESIRYMNSTGKLGSTWIQLINYDWHATVKLKGQQQTVEGLWRHLKAPTMVIGFSEGNYYDVRQQLSECKGSVICNDDVNGGFCMESIQNGVIRFNCADSLDRTNAASYFGALQVFVEQCSRLNISLDIDAMFGLSSRYSEYDGRNTRSLPPGWEERFDSVTGKSFYIDHNTRTTTWEHPCQEAPQKPWKRFDMTFDQFKGSTMLAPVNHLAELFLLAGDIHATLYTGSKAMHSEILNIFKEETGKFSKFSAVQNVRITVQRRYQNFVNDSSRQKQLEMFLGLRLYKHLPSIPMFPLKVLSRPSGCMLKPVPSITPMTDGGSSLLSFKRKDLIWVCQQGADYVELFIYLGEPCHVCQLLLTVSHGAEDSSYPATVDVRVGSSIDSLKLVVEGACIPQCSNGTNLLIPVTGRIDPEDLAVTGKSARPNVQESSYLPLLYDFEELEGELNFLNRVVALSFHPSAVSRTPITLGEIEVLGVSLPWADMLTNSEHAPEFMEFLNKKSSSVHCDLGSKSFGNSSMPGNDSHGIDGSYTKSSSSVQTGGSENLLDFLTSDFDMSEPHITENTFGNGEQTDFLDDGFDVNPFAPALEVPVPKVNKQVEECGSTQLYLKFFESLSGYNKGKGLDFEKMMKLEIKRLRLGLSAAERDQALLSIGVIPATLDPNRSVDYSYLLKLSSLADNLALLGHTVLEDRVNASIGLEMGSEHAIDFWNISENDESCYGGACEVHALSSSQALATRENQSVFVECFRCERTVCKACCAGKGAFLLLNTYRELKIYGGSQSGGYSALADSFVCKSCCSEIIKRALYVDYVRVLHSLRRKDRSEKAALSAVNQVCQLEYRKASDLSQSIQFGQRQLKQILDGEESLAEFPYANFLQMVETANDSEPLLSLLAPFGTGEYKSYWKAPQDNTSVEFSIVLGGLSDVAGVAIIVSSCGYSTSDCPIVEIWASNKIHREDRTFIGKWDVQDIISSSPQLRGPEKSSRLSEEPRHIKFHFPNPIRCRIISIKMTLPHNGSRSTKFSEEFDLLSLDDSSVYESKPINPQNSFIHAKRIVVFGSSLRKEVGPDTSGGIMRMKSYLDRSPPLGRFRIPVEAERLRDNDLVLEQYPLANSPGIAGFRLDFFSVIRPRVTHSPSSSELDMRKFSLTRMEDRFVNPAILYIQVTVVKESGKLVVEEYRLPEVKANTPLYFDFPDLQQDARCVIFRLLGDVTAFVDDISELENLNLRNLPLASGLSLSNKIKLYYYADTYEMGKIGSLAAV >Sspon.01G0002440-1P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1A:110732497:110734203:-1 gene:Sspon.01G0002440-1P transcript:Sspon.01G0002440-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRVQPLPMRHMPLHGWQPQHQPDEPQVFDDDERVEPPSSGDGGGDQRQAAASSRSGGTLAVTTHTEYSAVARNSSRDNFAVLVHIKAPGMTTDGEAAAGDAPRAPLDLVTVLDVSGSMTGAKLALLKQAMGFVIDNLGPHDRLSVVSFSDRARRVTRLLRMSGDGKATAKSAVESLVARGGTNIAEGLRTAARVLEERRHRNTVSSVILLSDGQDTYTAPRWSRGAGAAPNYEALVPPSFMTPGTRDWSAPVHTFGFGNDHDAAAMHVIAEATAGTFSYIENEAVIQDAFAQCIGGLLTVVVQEAHVAISCGHPGVRIGSIKSGRYESRVDEDGRSASVRVGELYADEERRFLLFLTVPAVEATDGETALIKVSCSYRDTAGGAHVDVTAEDTVVERPEQVVDAERSTEVERERVRVEAAEDIAAARAAAERGAHQEAVGILESRQQAVAQSEAALGGDATMQSLGAELHEMRQLVSNGNSYARSGRAFMLSGMSRHAHQRASANMLNMAGDMNMGAEEASSFATPAMRAMLLRSRRAREESSVEQPPPSKAGKEAESSAVHYQNQK >Sspon.04G0024720-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:25288069:25291047:-1 gene:Sspon.04G0024720-3D transcript:Sspon.04G0024720-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GrpE protein homolog [Source:Projected from Arabidopsis thaliana (AT5G17710) UniProtKB/TrEMBL;Acc:A0A178U8H4] MAALLRTAAALAPPPSSPLSAREPRGRCLRLACSRRAPARPLRAWLLPTPHVFCRDGGRFRRLAATEADEAAQTATQEDSETEVTGDSAADDGAGSTDETPSIIVTALQSYREALINDDEAKAAEIESFLLSIEDEKNSLLNKITALNAELATQRERILRISADFDNFRKRTENEKLNMIENVQGELIESFLPVLDNFERAKMQIKVETEGEEKINNSYQSIYKQFIEILNSLGVEDVETVGKPFDPMFHEAIMREESSEYEEGIILQEFRKGFKLGERLLRPAMVKVSAGPGPEMSAGPGPQTSEDNDPTIVEDSVAPQKVEDVEDDGVDGDAE >Sspon.01G0043820-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1B:77580669:77582549:-1 gene:Sspon.01G0043820-1B transcript:Sspon.01G0043820-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRRSPPPSPSYFSSFPSLPTSSWAQVAAAGTLPAAQTAAQPALPAAAGGWPAMAARPVSPAAGFTSIFPSAVGSSPSFPGLHASPPSAAALTAAAAVEGAAGGSASSPLAAPAVGGHGGDPTAALAAGGVEDVLTERPADAVHLPGAGTDTGNHLPAGAPTFPASTHKRRADGSLERYKARWVLRGFTQRPGVDYDETFSPVVKPATVRTVLSLALARSWPIHQLDVKNAFLHGLLTETVYCSQPAGFVDTTRPDMVCRLNRSLYGLKQAPRAWNHRFATFLMTQGFVEAKSDTSLFVYHHGADTAYLLLYVDDIVLTASSEPLLRRIIAALQQEFAMKDLGELHHFLGVTVEHRPAGLLLHQQQYTRDILERAGMSDCKPCSTPVDTQGKLSETEGPPVADPTAYRSLAGALQYLTFTRPDITYAVQQVCLHMHDPREPHLTALKRLLRYLRGTLDYGLLLHRASSTDLVVYTDADWAGCPDTRRSTSGYAVFLGGNLVSWSSKRQPVVSRSSAEAEYRAVANGVAEAAWLRQLLAELHTPPSRSTLIYCDNVSAVYLSTNPIQHQRTKHVEIDLHFVRDRVAMGEVRVLHVPTTSQFADIFTKGLPSSTFAEFRSSLNITGG >Sspon.01G0031590-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:108736186:108737225:1 gene:Sspon.01G0031590-3D transcript:Sspon.01G0031590-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLMAGWDSPVLGDDGKKVHARRNRSLTKEEVEAFWKQQRRSRMSSEDGGELASPPATATESPFGSLEKPAAVVRVDGFLPGGGSGDDDAADSPSRSRDWWTRSSWAFLNEPPQEEPSGTAQRYTPQFHVARIATGNA >Sspon.02G0028570-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:100741619:100745549:-1 gene:Sspon.02G0028570-3D transcript:Sspon.02G0028570-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPFYLARGASKLVRRITSETSVELKILSDKWRLLLAGLIFQYIHGLAAHGVHYLHRPGPTLQDLGFMILPELGKERGYISETLFTFIFLTFVLWTFHPFILQTKRFYTVLIWRRASQFLRIITFYATQLPGPNYHCHEGSPLARLPPPQNAAEVLLINFPKGVIYGCGDLIFSSHMIFTLVFVITYQKYGSIRFCKTLAWCIAIAQSLLIISSRKHYSVDVVVAWYTVNLVVFFVDKKLTELPDRSVGSTSVLPVSSKDKDIKLKEENTRLLNGNSMDSADRRPRTQMNGKQIENESHVDSETVKT >Sspon.01G0031670-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:106424408:106429310:-1 gene:Sspon.01G0031670-2B transcript:Sspon.01G0031670-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGDEASAALCAPMKATSEGLWQGDNPLHFSLPLIILQVCLVLVLTRGLALALRPLRQPRVIAEIIGGILLGPSALGRNKAFLNHVFPTESLTVLDTLANIGLLLFLFLVGLELDPASLRRTGSRALAIAVAGISLPFALGVGSSLALRAAIAPDAPRGPLIVFMGVALSITAFPVLARILAELKLLTTDLGRMAMSAAAVNDVTAWILLALAIALSGSGSPFVSVYVLLCGVGFVAAATFLVRPVLVYMARLSPAGEPVKESFVCATLGIVLAAGFVTDAIGIHALFGAFVIGVLIPKEGAYAGALTEKMEDLVSSLFLPLYFRAQDQRGHHLGAKSWGFLVLVITTACAGKIGGTVLASLLMRVPPREALTLGLLMNTKGLVELIVLNIGRDRKVLNDEAFAILVLMALTTTFMTTPAVTAVYKPARRGASSYKHRTVERGGAGEADSELRVLACFHASRGIPTLINLVEASRGTRRSKLTMYAMHLVELSERSSAISMVQHARRNGLPFSGRRGRDGGGEVVVAFEAFRRLSAVAVKPMTAISDLGTIHEDIVASAVNKRAALVVLPFHKMLCHDGTMEPVDRAYHHANVRVLQSAPCSVAVLVDRVLGGAAQVSAPDVSYAVLVLFFGGPDDREALAYAARMGEHPGIELTVARFITAAAPKPDAASGDLELELAKDEEALQRYVTRALKSGDGSVRYEEVTAAAEREELTPAIRTLGRGKNLVVAGRSAPAPPLVEKSDCPELGPVGSYLATPEFSTTASVLVVQRYNPRSDPSRERPEVEGDVEEAVVPFPSSSRSAESESESRHST >Sspon.07G0011410-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:39918914:39921917:1 gene:Sspon.07G0011410-1A transcript:Sspon.07G0011410-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAEAAAAAERVVAELREACAAPAARLNEVAAAMEAEMHAGLREEGGSKVKMIISYVDNLPTGGVFYALDLGGTNFRILRVHLAGKTSVSPSESPRRYPSLLTSSLAKYIASEEGHSNVFDDKQRELGFTFSFPVRQTSIASGTLIKWTKAFSIDDAVGEDVVAELQTAMEKQGVDMHVAALINDTVGTLAAGRYNDEDVVIGVILGTGSNAAYVEEASAIPKLEGELPKSGNMVINTEWGNFDSSCLPITEYDQALDEESLNPGEQIFEKLISGMYLGEIVRRVLLKIASQSSIFGNVSHTKLKTRFILRTPDISAMHHDDTPDLRIVAEKLADNLKSWTRPWIQGRWSSTSVTSSARLAAAGIVGILRKIGKAVPGDERRSVVAIDGGLFEHYAEFRKCLEGTLVELLGEEASRSVAVKLTKDGSGLGAALIAAAQSQ >Sspon.03G0023980-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:91512102:91512765:-1 gene:Sspon.03G0023980-3C transcript:Sspon.03G0023980-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MCCCGDGECRPLGWLLGLPFALLAVLVSFVGAIIWIIGLPISCICPCCLCVTVLLEVAVELVKAPLHVMTWFTSKIPC >Sspon.03G0008640-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:30458779:30461742:-1 gene:Sspon.03G0008640-2B transcript:Sspon.03G0008640-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVTSTIAARFAFFPPTPPSYTVVVADAATGRLAIPEISRAPSRRRRRDGGGGSSSASSVAAAEEEDGAEVVRLRTRRGNEIVGVYVRHARASATMLYSHGNAADLGQMYGLFVELSRRLRTVYSSIEQPTECNTYADIEAAYNCLKEKYGVADEDIILYGQSVGSGPTIDLASRLPDLRAVVLHSPILSGLRVLYPVKRTFWFDIYKNIDKIGLVNCPVLVIHGTSDDVVDCSHGKQLWEQCKVKYSPLWLSGGGHCNLELYPDYIKHLKKFVSSVSKKTSKPEPKEITANDGSTSKETEEAYSQKPQEATKCSQISRKSLDSRVGKSKTVDVPDKPRMSSDDIDKFRRRRCLVW >Sspon.03G0023180-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:71058931:71061906:-1 gene:Sspon.03G0023180-1A transcript:Sspon.03G0023180-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMPATQWISVYNARRPMKQRYHYNVADNRLLQHIQKGNEDGLFISSVASSSNLWALIMDAGTGFTSQVYELSNYFLHKEWIMEQWERNFYITALAGANNGSSLVIMSRGTQYAQQSYKVSDTFPFKWINKKWKEGFYVTAMATAGSRWAVVMSRNAGFTSQVVELDFLYPSEGIHMRWDNGYRITATAATWDQAAFILSIPRRKPTDETQETLRTSAFPSQHVKDKWSKNLYLASICYGRSVS >Sspon.01G0046250-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:87213001:87254805:1 gene:Sspon.01G0046250-2D transcript:Sspon.01G0046250-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTASSVKPQKSDRKGSVFAIDVDVLRVSAELADGVEANMHVQSIFTENAKIGVLSEGLSLTLNGARVLKSTRIQISCIPFSTGSLLDAKVEPSSKRDWVVQGLDVHICMPYRLPLRAIEDAVEDMIRALKLVSSAKRSIICPDGKEKSRKVNSGGSKFGSVKFVLRKLTADIEEEPIQGWLDEHYHLMRSKFCELGVRLNYLEEAISGSVDPSNRSSERKVLYNGVEVDIHDTAALQMLQEEIHKQAFRSYYVACQNMVHAEGSGACSEGFQAGFRQSSRRASLLSFSASDLDVTLTRIDGGEIEMVEFIKGLDSVCQEQNIPFSRLYGSDVSVLAGSLVVQLRDYTSPLFSSLSGKCQGRIVLAQQATCFQPQIHQELYIGRWQKVTMLRSASGTTPAMKMYSNLPIYFQKGEISFGVGYEPSFADISYAFQVALQKVNLSNRASCSGPAVQPPKKERSLPWWDDMRYYLHGKIILYFNETKWKFLATTNPYEHVDKLQIVSEYMEIQQTDGCVDVSAKEFKIYISSVESITKNRRLKVPSRVPRPFIYAPLFSLNVVIDWQCESRNPLNHYLHALPVEGEPRKKVYDPFRSTYLSLRWNFSLRPLQSQYGNGPSPPFYGNNSMLCGTMSGSPCKMADDDFPTMNLGAHDLAWVFKWWSLNYSPPHKLRSFSRWRRFGIPRATRSGNLSLDKVLVEFFFRVDATPCCIRHAPLSEDDDPASGLTFKMSNLKYELCYSRGKQQYTFDCKRESLDLVYRGLDLHMPKVYLMRDSNLSKVENVSKVRTTVQQSQGKFVHDRCNMGNFQEKHEDGFLLSSDYITIRRQTRKADPERLMGWQDTGRSHELTYVRSEFEDDSESDHPLSEPSDDDDDFNVVLADNCQRVFVYGLRILWTIQNRDAVWSWVGGISKAFEPPKPSPSRQYAQRKMIEERNAEGSKLAQDSSSSIRVGSPSLQHLDASGSSSSLHSKANHSSDVAVKHDIFDDSDKGGTAQYMVNVITPQFNLHSEEANGRFLLAAASGRVLARSFHSVVHLGKEILEQALGTSSAHILELQPEMTWNKSELSVMLKDVQAHVAPTDVDPGAGLQWLPKVLGSSEKLKRTGALLERVFMPCQMYFRYTRHKGGTADLRVKPLKELRFNSPDITATMTSRQFQVLFDVLRNLILARPPKPRKNSLQYPSDDEDIEEEADEVVPDGVEEVELAKITLEQREREIKLLLDDIRSLTGNGDNGTDHCHSSEKGDCLWMINNGKASLVEGLKRDFANLQKSRISASSALRKALSNASQSHLEKEKNKTTSCAMRISMKISKVVWSMLADGNTFAEAEINDMVYDFDRDYKDIGIAQFTTKYFVVRNCMVNAKCDTLLSAWNTPPGKINMLRVDAKQGAPKNGSYPLELFQVEIYPLRIYLSEAMYKMMWEYFFPEEDDSQRRQEVWRVSTSTGPRRTRRLSSGVDAVTSSSYSVRDHELPGKSATTVSTSTNVSSWQGLLSDNPQVSKFQSIKANMVCGSHQELHRSSSFERNWEESVSESVASNDVVSFMNSSTISSKVDASNSVLENPVTGSEMWRSKTKDSKPAKPGRLSHEEKKLGKSIDEKKTKPRKSMEFHNIKISQVELLVTYEGSRLAINDLRVTNGANFEQGKKFSNHRETLEGSIPENDLNLSDSDVGHHGRHDQLTASWLKRPGEGAGDGFVTSIRGLFNTQRRKAKAFVIRTMRGEGHNNDEYQDEWSESDGEYPFARQLTITKAKKLIRRKFRPRGQKNSGLSLQDSLPSSPRETTPYQSDSSRSSYEDFHEQ >Sspon.06G0021040-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:24487797:24488567:1 gene:Sspon.06G0021040-3D transcript:Sspon.06G0021040-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ATMFPSLLSRVASPLLTTACTSTASSQQQAATPHPQALRLPPQPGNNYKPVATTLVAAAAAGLLLLSPALAPSRADPEFTVYYGTAASAANYGGYGGNASKKDTAEYVYDVPEGWKERLVSKVEKGTNGTDSEFFNPRKRTEKEYLTFLSGIRALAPLSAVLDNLALSDVGLQDQIATADDVRSAERADGAGQVYYEYEVAGAGAHSLISVTCARNKLYAHFVTAPNAEWSRDEAMLRRLHESFKTIQPGAPPPATE >Sspon.08G0019200-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:10876238:10879993:1 gene:Sspon.08G0019200-2D transcript:Sspon.08G0019200-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SDKFLKLQIMGEKETVLKDYPGSLAIISEIEPKDAIMQLLMQETYSRSECSKFIKIIQERVLDLESGDIDAGGFALTSAGKAGRLAIDGYPSFSPHESSPATSSLQMHGCDNSAAVGTIPKLTRTNQSPFINNAKNINPVLKRNYSVREDASGEIRRVRPKINGDSWDLSKFKQVDIIRNYPATNSREELTARNPNASRDGKKLLNDILGDNNLTYPNFISKVETADEILDVRDKPSAVTPQQYDSSFPQAGREQKGFGATTLNQCSNEDLKKGFALKVEPLNAFIPFEQQMMDLSHRKQEHAAFDDSCSLSKLMLKEDIEAAPRAHVKGLRNLPMGIQVQNGSKNRRRRQPNLQKTTPTPTRSPAKGQRRKNNDAIVKSEMDLLEQSKLVLTEQQPEFGDVPVKRPVGRPRKAREGDSASPDNWTRRKDRMG >Sspon.06G0011270-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6A:61494997:61495524:1 gene:Sspon.06G0011270-1A transcript:Sspon.06G0011270-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYVAAAVFAAVSLTALFAGGEACDNVPSMTWTAACKETNKWEQLCQQTLQTAPDTAEVTVYALIATRLAKSSFEETLAELDSMLGAGNLPGAERLAIDNCKEKYSAALSNMTGVVDHMSACDFSLASKECIDAEAGVRSCQEGLQPYQFLPLFAKVSTDHDLTLVAYLLGAIIVG >Sspon.03G0016990-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:74678699:74683208:-1 gene:Sspon.03G0016990-3C transcript:Sspon.03G0016990-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTHGVSESDGSDSFEWDSDGNGEEAASCNAVGASSSAMTSTNTDAPGPSTRVANGNGKAGPSAALVQKYMDMGFAEEIVLKAMKDNGDNGADSLVELLLTYQELGNDLKVDNGFASGCVPQTVDDSDDDDILENWDDEDAGGRSTRAANSFDDSGDEDFLHEISQTDEKVDSLVKMGFPEDEAALAITRCGQGASVSVLVDSIYASQTAGDGYCGNLFDYEDNSYGGRNKGRFMDGNKKKRKTYGGQAQGSRGPLDGSSSEPMPLPHPMVGFNLPDQWTRPVNRSLPSQAMGPPYFYYENVALAPKGVWTTISRFLYDIQPEFVDSKYFCAAARKRGYIHNLPLENRSPLLPIPPKTISEAFPHTRRWWPSWDPRRQFNCLQTCVSSAKLLERIRVALTNSSDPPPPRVQKFEMESAWVGLNKVAPLEPDEMEFLLGFPKDHTRGISRTERYRSLGNSFQVDTVAYHLSVLKDMYPQGMNVLSLFSGIGGAEVALHRLGIRMKTVISVEKSEVNRTILKSWWDQTQTGLLIEISDVQTLTSERIEAYVRRIGGFDLVIGGSPCNNLAGSNRHHRDGLEGEHSSLFYHYVRILDSLKSIMERL >Sspon.07G0027370-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7B:60157537:60161528:1 gene:Sspon.07G0027370-1B transcript:Sspon.07G0027370-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQNPLRKKPWLAPDQCMFCEKTGHMQKDCLGFLKYLNRNGATIHVANSLQGFHMRRILQRGERTIKVANGVQADVEATGDLTLVLLDGFVLRLSDVLYVPSLHRNLISVSKLDDDGLACHFGNGRCKILSNDKCVGLAFRQDKLYLLSVSENINAISVENEKVPSSTNVNRKRKNIQIDSSKLWHCRLGHISRGRIDRLVKAEILPPLELSELDQCIDCIKGKYVKKVKKDAKRSAGNLEIIHTDICGPFPVKSVDGYDSFITFTDDYSRFGYIYPIRERSEALDKFKIFKAEVENQHNKKIKIVRSDRGGEYYGRHTPYGQVPGPFAKFLQENGIVAQYSMPGDPQQNGVAERRNRTLMDMVRSMISYSTLPVSLWMEALKTAIHILNRVPSKSVPKTPYELWTGRVPSLKYLRVWGCPAEAKIFNPNLGKLDPKTVSCHFIGYPDRSKGYRFYCPDRHTKFVETRHAEFLEDDLIRGSRVAQEINLEEKRVYAPTPMLQEQFFVLPIAVAPTVLDTTVPAPVVSPPLPTSNENLEPVLQDPLEQHVEHQEEQQQPQIAPNDQNLRRSERVRRSAISDDYEVYETEEFHMEDDPTTYEQAMRSEHCEKWLEAMRDEIRSMDSNKVWELMEIPKGAKKLVVNGFIKLSMTPKGMLKDFKNSKFIFLVLYVDDILLASNDVTLLMETKKFLSSHFDMKDLGEASFVLGIEIHRDRNRGVLGLSQKAYIEKILKKYSMHKCSPSPAPIVKGDRYGEHQCPKNQFELEQMRSVPYASAVGSLQYAQICTRPDIAFVTGLLGRYQKNPGTEHWKLVKKVLRYLQGTKGFMLSYNKTDSLEIVGFSDSDYAGDERKSTSGYIFTLAGGAISWKSSKQTLVTSSTMYAEFVACYEATGQLVTGKLTAAVTARHRRPFIINRRCPCAASINAKTMADSNSSEPKTDGCLY >Sspon.01G0017470-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:64113986:64118353:1 gene:Sspon.01G0017470-1A transcript:Sspon.01G0017470-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGPDSTVALSTVATQAAGAHFIILLEKEQARDISREMFCLGARIKNGSAIRNDSVPVSDFRAIDDTALHVQIIEISGEQRRDTSSSEQEEQACRFERHLILHQY >Sspon.01G0042040-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:26452077:26462430:1 gene:Sspon.01G0042040-2C transcript:Sspon.01G0042040-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPPIFLLFLVLTAASVVSSTARREAFRRDPGHPHWHHGAFHDVEGSIRADVRRMLHTRAEVPFQVPLEVNVVLIGFNGDGGYRYSVDGHKLEEFLKVGFPLHRPSCFETGEPIDIEHHIMYNVIAAGQPELISLEKSLKEAMQPAGTARDSEYGRELPLFEVEATAVESIFQRLYSFIFDMEPGYPANEMDRPVPAAIFVVNFDKVRMDPRNKEVNLDSLMYGTIGGLTEQELKKQEAEYIYRYRYNGGGATQVWLSSGRFVVIDLSAGPCTYGKIETEEGSVSYRSMPRLSQIIFPRGLAAPSASSTQDIFIGQLGGLISTTIEHVIAPDIRLLVPIIVLQNHNRYNILQEGHNYSIDVQAIEREVKKMVHPGQEVIIISGSHALHQHEKLAVAVSKATRSHSLHETKSDGRFHVRTKTYLDGAILKEEMERSADVLSAGLLEVANPSLSSRFFLKQHWTDGEDDVKDSIKHKPLWESYMPKNKKERRGTGKKKHGNMYRTYGTRVIPVFVLSLADVDAELLMEEESLVWASKDVVIVLEHNNEKIPLSYVSETTRQFALPSLAQRHILAGLASAVGGLSAPYERASRIHERHVVNWLWAAGCHPFGPFSNSSQISQILQDVALRTTIYAQVDAALRKIRDTSEFVQSFASEHLKTPLGELVKGNKNKSTTELWVEKFYKKVTTLPEPFPHDLVEKLEEYLDKLEGQLVDLSSLLYDHRLVDAYQNSTDILQSTIFTQQYVERVLANERDRMKCCSIEYSHPKQSSQAFVYGGILLAGFLVYSLVIFFSSPVR >Sspon.02G0006890-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:20723523:20735460:1 gene:Sspon.02G0006890-1A transcript:Sspon.02G0006890-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDSASMAAAIEARFSSRDLIGRGSFGDVYKGYGWRPHCPTPVRQSPNFPYLLLPRADFELMQGRRRPRLIEPFGSSGIADLGVGDGGGAGSSGFDKELNKEVAIKVIDLEEAEDDIEDIQKVVVSLVLYILQAGPPLDEMSISCILRDLLHAIEYLHSEGKIHRDIKAANILLTESGDVKVADFGVSAQLTKTMSRRKINCLVLALDLPAQIASIEKFKIGDKLDKLVFGNECSLLRVPSQTFVGTPFWMAPEVIQNSEGYNEKADIWSLGITAIEMAKGEPPLADIHPMRVLFMIPRENPPQLDEHFSKPMKEFVSLCLKKNPAERPSAKELLKHRFIKNARKTPKLLERIRERPRFAGKGMDDTQNGQTHDEEEDFGTGTIKVNRTKDTAPSLSQGTVRKATVRDFPDRSEGTGTVRVVTRPPQIASTKDGRSDMPQSPKAPIRTTDRETQWKSSWTGSEDSLYQRDTQSERGRVESSTDDNDQSVSGSGTVVLRSPRASQLYSTASNHSAKPPSRFSSYEDMSNSGTVVRTQNEDPETPRSSRSRLGMQEKASNTSLEDSAINLAEAKAALQAGLRKGNAREKPIRHEKGSHEHRSSGVNSQEVQSEDVDMQKGRKLRQLSDGQSASRASSSVAPPAVSSLLLPSLKEATGDKFDRPAVHAFLDSLMDLEHEIPGSCEVLVGRLLHRLGSSKDSSLQGLQETAMSIFTKKPEPPSEKAGDKKLATMPPLAAPTVSPLARFLLT >Sspon.04G0029050-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4D:75597786:75600006:-1 gene:Sspon.04G0029050-2D transcript:Sspon.04G0029050-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPAASCADPACLLHAWTRGGACAAGAMGNSGAEPAQPCHGRGRQQLRLLQARSGRGERGRSCGQGRAEPARRQWTDAEAARPSRGRGPVGEGGVVRLVSAERSWVRKIQLSARERWVRRRRSEEVGADGGGANDLSSTTSRRPSHSKKPAGHAPHLPSSAAMCNGETKAATAAALPHVALLSSPGMGHVAPLAELARRLHEAHGFTATVLTYASSDSAAQRAFLASLPPAVGAASLPPVPLDDLPAGSAIETLLSVEAQRSVPALTAVLTDLRSRSGNNLVAFVADLFGADTLRAARDAGVPGYLFFPCNLLMLSLMLHLPRLDAELAATVGEFRDMPEPVRLPGCVPVPGADILQPLQDRTSDACRWMVHHGERYRDAAGILVNTFDAVEPGAAAVLRRPEPWRPPVYPVGPITHRATTTADDGDATGCVEWLDAQPERSVLFVSFGSGGALSAAQTRELARGLELSGARFLWVVRSPTDGGGDGNPGESYYDGSKSTDDPLRCLPAGFVERTKATGRVVPSWAPQARVLAHRATMAMLTHCGWNSVLESVVSGVPMVAWPLYAEQRENAVLLCEETRAALRPRARGADGMVLAEDIAEVVREMMHGEMGATVNAKVAELREAAAFGPAACPTRHLLRW >Sspon.05G0030910-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:2121248:2122151:1 gene:Sspon.05G0030910-1C transcript:Sspon.05G0030910-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVKVFGPAMSTNVARVLEVGAEYEVVNIDFQAMEHKSPEHLARNLEKQLIQCVLMCTDPLQPFGQIPAFQDGDVVLFESRAISKYIIRKYKSAEATDLLREGDLKEAAMVDVWTDVEAHQYNPALSPVVYECLIYPAMRGVPTNQTVVDESLEKLRKVLGVYEARLSNHAYLAGDFVSFADLNHFPFTFYFMATPHAPLFDSYPHVKVWWERLVARPFMKKLGATMAAAAAGIKQAERTGKRWRFL >Sspon.08G0024400-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8D:65226415:65230420:-1 gene:Sspon.08G0024400-2D transcript:Sspon.08G0024400-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGSIPPEYWIGSGNLDAESSEKKPGYVIVIGATNRPDAVDQALRRPGRFDREISLGVPDENARKQILKMLTQHLRLEGEFDLFKIARATPGFVGADLKALVDKAGNLAMKRIIDERRVQYRREHDGNSKHDWWRQPWDESEVEGLHITMDDFEEATKMVQPSLRREGFSSVPDVTWDDVGGLDSLRKEFDRCIIRCIKHPEDYEVFGVNMQAGFLLFGPPGCGKTLIAKAVAHEAGANFIHIKGPELLNKYVGESESEVRKIFTRARTNSPCILFFDEVDALTTKRGKEGGWVVERLLNQLLIELDGADQRQGVYVIGATNRIDVIDDAVLRPGRFGKKHYVPLPGADERVSILKAHARSKPVSTDVDLDALARRAECNNLTGADLASLVNEAAMAALEERLEFIENGTSNEF >Sspon.06G0017810-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:78940602:78944554:-1 gene:Sspon.06G0017810-4D transcript:Sspon.06G0017810-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPMAPTAHQAAAREDEPEEKSRRKAIIANGGGGKAVAVRCHPALADYPGRKASIRGVVGELLSMAGSAGDKGSDGGRKKSLISLGVGDASSHACFRRGGEFAAEAVADAARSGAFDCYAPSHGFPAARRAVAEYLSAGARHRTHDADVFLTVGGTGAIAAITTVLGGAPGANILLPRPGFPPYEAACEIAGAEPRFYDLLPRRGWEADLAGVRALADSATAAVVVINPNNPCGVVYSAQHLLQIAETARDLGIPIIADEVYAHMVFGGSKFVPMASLAHIAPVISIGALSKRFMLPGWRLGWLAFCDPNGALKHVRTATEMLLNVTSGPASIVQASVPKILSVEHPEFHRNVVCLLESAADALYRRVNQIEALKCYTKPEGSMFIMVEINTSILYGVANDMDFARELIKEECVLVLPGSIIGLKNWVRIFYGAPINLILEACDRIELFCRRRMLKQKQLKN >Sspon.02G0029920-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:111768868:111784360:1 gene:Sspon.02G0029920-3C transcript:Sspon.02G0029920-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYMKLGSKPDVFQTEGNSIRFVTTELATDIVITVGDVKFYLHKRCLVSKFKTHTQFPLLSKSSRLQTLVASTSEESNDEVDISNIPGGPAAFEICAKFCYGMVVTLNAYNVVAARCAAEYLEMFETVDKGNLVYKIDVFLSASIFRTWKDSIIVLQSTKPLQRWTENLKVVNHCVDAIATRASMDPSEVDWSYTYNRRKLPSENGGDAHSWNGVRKQQAVPRDWWVEDLCDLEVCLYRKVILAIKAKGRTGSDVIGEALRAYACRRLFASLDHAVSNGLDCTKHRAVLEAVADLLPAEKGSVSCGLLLKLLRASCLLESGEAYRGELIKRIGAQLDRASVSDLLMPAEPGDDAMYNVDMVAAILEEFLLQHKINGSDQAKLQEDDDNMDVAADNVITSSKLAAIAKLVDGYLSEIAKDRCLPLEKFISLAESMPPLSRPVHDALYRAIDVYLKEHPGMSKGEKKRLCALMDCRKLTADASAHAVQNERLPLRVVVQVLFFEQVRQSAAACSAAAPASSLVLPRDDGASYGGSSRSATTTATEDEQWGGGAVGGAPTTSASGGDGSSLRSVSQLSANKSGRSGGGGDRKKAKGGAVAPALAPARRVLGKLWSGKASSGENSGSDDTSESPAGSLNLEETKSTPSRITRHSLPSENGGDAHSWNGVRKQQAVPTDWWVENLCDLEVYLYRKVILAIKAKGRTGSNVVGEALRAYACRRLFGSLDHAVSNGLDCTKHRAVLEAVADLLPAEKGSLLRASCLLESGEAYRGELIKRIGAQLDRASVSDLLMPAEPGDDAMYNVDMEHPGMSKGEKKRLCALMDCRKLTVDASAHAVQNERLPLRVVVQVLFFEQVRQSAAACSAAAPARSLVLPRDDGASYGGSSRSATTTATEDEQWGGGAVGGAPTTSASGGDGSSLRSVSQLSANKSGRSGGGGDRKKAKGGAVAPAPAPARRVLGKLWSGKASSGENSGSDDTSESPAGSLNLEETKSTPSRITRHSRCAPSFWCSKHKAHAMR >Sspon.07G0030260-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7C:4693996:4694523:1 gene:Sspon.07G0030260-1C transcript:Sspon.07G0030260-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALVLGVVQFSGIFTTPSHCSKDTGGHGLWWLAAASIRPSPTACPMANATKDSGSTIGSGSGSDSEASECCHSD >Sspon.04G0002280-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:7851477:7858861:-1 gene:Sspon.04G0002280-4D transcript:Sspon.04G0002280-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADVDMAELPQTPRSTAGDDDLSFLGGEGDLAATILARLCGSPREDFQHLCATAAAMAQAVSDQGVPATPVAYFAASAAVLAPLARAGPPAPTATSPERSSPSSPRRCLPSRPPVRARGREVADDVMRVLDFPSTPDSGVRAGLRCLAHLISAGTGRTGRRTDHRSKVRKQSHSCLRDVLLSFQRQPILVPASEAITRSFERFLLLAGGSSSVNTGAAEEGPKGAKEVLYILNALICCLPLMASKPSNTILKYFKPLLDLHQPILTRSLLDILHAVAESPTLQLKSDVLLDLLCSLGLSVSSERKSGDEMASIARLLHVGTKKIYKQNRDICVVKLPLIFTSLGDILSSEFEEARFSSVEAFKGLIDHCIDETLVSQGIVQMKARHQGLKSDPTIIEKICAILEGLLDFRYSDVWDRSFNVISVAFDKLGEFSADLLPEAVRNLADMQNMSDDDFSFRKQLDACLGSAIAAMGPKNVLEILQIQSICDENAWILPIMEKHIVGASLQFFLRDILGMVRAIEKSIPKLLKNDKLFSAKRAGGYVYSLWSLLPSCCNYSCDTSSNFRALQDVLCDTLQNQPDLRGIVCSSIQVLIKQNKEALSVSREEDILAEDEISKSERRAKEHYTQNLAEENLKAIRAFSSKLLEVLCSIFLMSSNDAIGLLQPAISEIASISDKNVVGKFFLDAIRELLDATKAVNTEPVDDSSMEIEADSNKNSMKRALLLDFAASLMPGLAAKSINVLFSYVKPAIKDSDSLIQKRAYKVLSMLLKDAEFVEKNLDALLELMISSLPCQFPSKRYRLECLYHLIVYILKDSSTVRKREVISSFITEILLALKEANKKTRNRAYDLLIEIARACENAGNDERKEGLHQFFGMVAGGLVAGQTPYAISAVVTGLARLTYEFSELIGVAYKLLPQTFLLMQRNNQEIVKANLGFVKALVAKSKADMLHEHLNGVVEGLLSWQSDTKNSFKAKVKSLVEILVKKCGLDAVKAVMPEEHMKLLTNIRKINERKMRKAKSCEDGDAMSMASGATRQSRWNHTQMFSDFGSDDESDGPFSTQHTVTSQSRTESKASTRLSRRRQGDKNLLEKFIDHSTGDPLDLLDQKTVRLALKSAAGKKRSAPDDDDDEFEVDPEGRIIVREEREKRKKKHVSRDDDDADGKSSVRSQSVKKRKTSSSGWAYTGHEYTSKRASGDLKKKDKMEPYAYWPMDRKLLNRRSDRKASARKGMSSVMKMTKRLQGKSASAVLSDKRSGKMKHKKNN >Sspon.08G0010910-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:43957594:43966802:1 gene:Sspon.08G0010910-1P transcript:Sspon.08G0010910-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGAHEPLHPHKQMKTSSSSSKGGNHGYFVPRPVCAWLVCGFVALALLHVLCCTPPGTQEAVLSPLLQYVDDTYNFVSSGPESCNYTEGRWVYAPGHARRYNGSECDVKDSHNCIRNGRPDTGYLDWRWQPAGCRLPSFSARAFLSAVRGKHVAFVGDSMSRNQAQSLVCLLGAAAPHRVVYRDADPHKFNLWRWAFPTHDVTVSFYWAPFIARATGKALNDSLPQNMNHVHLDALDDRWAADADGMDVVVLSIAHWPLNGAIYYNGSARIGHHGHQELSPEEDIGYAWPMKVAYRMALDRLMTTSAGSGTGGGRAAPRTVVIATFSPGHFEGNTLTTMCPRKEPYKEGEKEPRHLDMELVGLVYEEVEAARTRNGGGGAAGGGAGARVEVLDVTKLAVMRPDGHPGLYMHRDPFAHGGPQPWMSSDCVHFCLPGPVDTFNEILQQILRTKRHNKASSKNRGCFLSKPTCAWLACGFLSLALLHLLCCSPAGTQRAALSPLLQYINNTYSFVSTVPGPPVEVEERSCNYAVGNWVWAPGHARRYNATECNVKESHDCIRNGRPDTGYLDWRWQPADGCPLPAFDAAAFLSAVRGKHVAFVGDSMARNQAQSLICLLSAAFPYRLLYRDTGPGPRKHNFWRYAFPDYDVRVSFYWYPFLVRATGKAEDESVPYNHVHLDQPGDRWAADADTIDVAVLAAAHWLLNGAIYYNGSVVIGAHNAPAEMNYTSIGYAWPLRVAYRAAVERLMSTAAGGRPRSVVLATFSPSHFEGKSTSDPTACAKTEPYKEGENDMEWLYREVRDIVYDEANAARARSGNDSATRIEVLDVSKLASMRPDGHPGLYMHDHPFANGKTTEGMYSDCLHSCLPGPVDTFNEILLQILRKRR >Sspon.08G0015080-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8B:55199894:55201084:-1 gene:Sspon.08G0015080-2B transcript:Sspon.08G0015080-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPESLSWSDLPPELLGLVLRRLPSLSDRVRVRAVCHPWRSIAQLQSLPPPLPWLTLPDGTFLSIPDGEIIRMAVPDDARCYGSIDNWLLLMQRDGGCSLMNPFSKATLDLPKLATVWREDWFNALSQFNPLFYKVVVPSPLDTSPESLVAVLILDDGNYSRVCICQPPVATDMTRTSRGGIMEPSTYLDDVVFFNGKLYGVAFCDRLLMFEIGYDLGNKPKISSTECIINSMDSHLRDLPHSLSREKAYTIREYVVECCDRLLRVKRFIHNVCPIFTRPFFEHCLTVGFAVFEADLSTNPGQWRRVNKLGGQALFIGRHCSKSFAVEEFNGIQEDCIYFMCDYARPDPRDPLCDSGVYNIRNEMITPLLSQNAPVLQHNSGQWRPTWIFPADPM >Sspon.02G0025760-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:89341978:89349451:1 gene:Sspon.02G0025760-1A transcript:Sspon.02G0025760-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIPPTTSNEYLLALSSDTDSASSGGSGSGDGSAPQNSVSSGPRPSPRSAMSSSARFTRDAMGTRSERFAYPVMPSPPRTPATPSPASAPVKKRSLFTGLLSSPFSSASLKQPSPSKPYLLALSSDTDSASSGGSGSGDGGAPQRSGGSGLRPSPRSAMSSSARFTRDAMGTRSERFAYPVMPSPSRAPATSSPASAPVKKRSLFTGLLSSPFSRASLKQTSPEQAGGQLVQEDGQPVPGPALHRGPCLRREHARQELRRGR >Sspon.03G0035000-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:77760772:77763086:1 gene:Sspon.03G0035000-1B transcript:Sspon.03G0035000-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRYRIAPARPVCLSAAGATGVHLRPAAPSSGRRSSGAEIGIFTAERYFSAADVGRRDAAMIPAPAPPDHDHDLPRLSVPSVADVASQSGRTVASSEASWNSRSGLLSAGHLAAARQQQQTGSIGAGAAPPRRCMAAIRVTAAGGPRWTLFGRDCPCAGRKAVTVDVASEPRSPATTAHFHAKFSPQCAVEECLESAIFKVKHPSPSPPRAATEEEEPTKVKVTVTPGSRAFPLAADVPFTALSNPDIDRRVLSSGGFTFPALGAARVVSGGGILDEPPRVSLEVFRPIDEDSVMLADPPPAAVPLAGRVGLLPRAQPPAAALVVDEEAMSDASSDLFDLESFAASSSYPTTYRGRGSRRNSADDDLLYVSAAAAAAAEPALRECMYPASEASVVWSVATAEGGAFDAASVANFSSAASACCVDDLRYVVPESPRPGSPPPCPAAPAGRRAAAGSSAAAGARRRSAWGPRRCRWSGRRLTPVTARHGTTTTAALTCRSGRDTPSSSQRRRERDGNRTVRYGYLLIIVGE >Sspon.04G0025910-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:39927927:39938448:-1 gene:Sspon.04G0025910-3D transcript:Sspon.04G0025910-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWGGLFLSMGRPTQEQQKSCLAAAGGFNYDTALHGATHPKSVSTLTSDEAGGETSDKVLTERGFFVNRSRVLIGSGSDAFVHAKSALLSWRHLALGWANVEPDTPVKVGTRFCICYNELIPWVMLPLQIAYVTDGESDRSKMFAFGSGTLQGHLLAGEERFSVQVDEEERVWYEVLSFSKPAHVLATLCYPYVQMRQKHFAQQSGQALLRHVATCSTKQKQPGRKSSGGMGSPKAAKSMVKQRIWRHRLVALFAAVLITAVLAVVVFSGLFAQDPNGELSMVTAGEERFSVQVDEEERVWYEVLSFSRPAHVLAMLCYPYVQMRQKHFAQQSGQALLRHVATCSTKQK >Sspon.05G0022070-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:6070213:6075804:1 gene:Sspon.05G0022070-2D transcript:Sspon.05G0022070-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable sulfate transporter 3.3 [Source:Projected from Arabidopsis thaliana (AT1G23090) UniProtKB/Swiss-Prot;Acc:Q9SXS2] MVGMRGAYGGGGGGGAYNDSKSGRPHGGMAAAAPVTTEQEIAAMAVHKVAPPPARSTASKMKARVKETFFPDDPFRAFKGQPLGTQWLMAVRYLFPILDWVPSYSFSLFKSDLGISYAKLASLPPIIGLYSSFVPPMVYAVLGSSRDLAVGPVSIASLIMGSMLRQAVSPTAEPLLFLQLAFTSTFFAGLVQASLGILRLGFVIDFLSKATLVGFMAGAAIIVALQQLKALLGIVHFTTEMGIVPVMASVFHHTSEWSWQTILMGVCFLVFLLSARHVSIRWPKLFWVSACAPLASVIISTLLVYLFKAQNHGISIIGQLKCGLNRPSWDKLLFDTTYLGLTMKTGLVTGIISLTEGIAVGRTFASIRGYQVDGNKEMMAIGLMNVVGSCTSCYVTTGAFSRSAVNHNAGCKTAMSNVIMALTVMVTLLFLMPLFVYTPNVVLGAIIIAAVIGLIDLPSVYHIWKMDKMDFLVCVCAFAGVIFISVQEGLAIAVGISIFRVLMQITRPKMMVQGNIKGTDIYRDLHHYKEAKEFPAVPAIDTSGIAFLIDIKKSIEKRDLELVLVNPSGEVMEKIQRANEAQNYFRPDCLYLTTAEAVASLSALAKMTKP >Sspon.06G0001430-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:2080360:2080782:1 gene:Sspon.06G0001430-1P transcript:Sspon.06G0001430-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DERGDPRREHGAELRGGRRRLQLLGGRPVRRAGRRPRRPAHLRRDGRGAHELARAGEALRRGRSNRRPRRARRAVPRPFRAVRQGRQRQGGPPRVPVGDEGGDARRGKRARLPARADGRRGRQLPQGRRRQGARTACQSCI >Sspon.03G0013580-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3A:38930446:38935335:-1 gene:Sspon.03G0013580-1A transcript:Sspon.03G0013580-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA replication licensing factor MCM4 [Source:Projected from Arabidopsis thaliana (AT2G16440) UniProtKB/Swiss-Prot;Acc:Q0WVF5] MASNGGGSSPPSASSPDGRPSSPLPVTNSSPSQPTRRSSGRRRRGSASPYASSPSLGGFETPPHPGRRTPSGAGAGAARQQRQNWTGRFPPTPSTPMSTDDVPPSSEAGDDETDGGGGGVDATPVFVWGTNISVQDVNAAILRFLRHFRDPRDAGRVDPVMDEGKYMRAIHRILELEGGESLDVDAHDVFDHDPDLYSKMVRYPLEVLAIFDIVLMDLVARIEPLFEKHIQTRIYNLKSSICLRNLNPSDIEKMVSIKGMIIRCSSVIPELKEAVFRCLVCGFYSEPVMVDRGRVTEPHVCQKEQCKATNSMTLVHNRCRFSDKQIIKLQETPDEIPEGGTPHTVSVLMHDKLVDAGKPGDRVEITGIYRAMSIRVGPTQRTVKSIFKTYIDCLHIKKTDKSRLHVEDTMDIDNSNASKSTEEDFLSDKVEKLKELSKLPDIYDRLTRSLAPNIWELDDVKRGLLCQLFGGNPLKLPSGASFRGDINILLVGDPGTSKSQLLQYMHKLSPRGIYTSGRGSSAVGLTAYVTKDPETGETVLESGALVLSDKGVCCIDEFDKMSDNARSMLHEVMEQQTVSIAKAGIIASLNARTSVLACANPTESRYNPRLSVIDNIHLAPTLLSRFDLIYLILDKADEQTDRRLAKHIVSLHFENPNLEELEVLDLQTLVSYISYARKYIQPQLSDEAAEELTRGYVEMRKRGNSPGSRKKVITATARQIESLIRLSEALARMRFSKVVEVRDVVEAFRLLEVAMQQSATDHATGTIDMDLIMTGISASERQRRENLVAATRNLIVEKMQLGGPSMRMIEVKKHLWIAASFLVFIISRPSSELSIRSDWCAVAGRTEEAELNGNSSARTPRCSWHSDD >Sspon.04G0018710-4D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4D:76256025:76258009:-1 gene:Sspon.04G0018710-4D transcript:Sspon.04G0018710-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLRSAAAFALLLPLLLVIPAASAQEGGDAVSESYASSLASRFDAPPSWAFPNPRLRASYAALQAWKRTAIFSDPSNFTANWAGPNVCAYNGVYCAPLPGSHPGDVVVAGIDLNHADIAGYLPASLPLGVPDLALFHVNSNRFCGVVPTTFAHLHLLHELDLSNNRFVGGFPEVVLSLPALRYLDLRFNDFEGSIPPALFDRPLDAIFLNSNRLRNPIPANLGNSPASVVVLAHNRLGGCISAQHRTDGRHAQRDRAHRRRAHGMRPAAGRPAPEAHRLRRQRQPSAGPGCRAASLTWLPSRSSTSHATASRAPCRRESARSPASGTSPTPTTSSPRARPAPRLPPTAHGTASLARRHSARRRSARPPRNTRSTAARRNAKQPRATPRRPAVATDAVAAAAGAAASRPRRCRRLPEAAESQGTRRHRLLLPLLPTRRRRPAPPPPRTTRHPRAPPPRRRLIRAPPLRHTLRRRPAPPPLPTTRRHLRAHRPPRRRTLAAIERHHPVLPHSTFQGSPTTTPSYPSPPSSAATPSYHSPPSHGSPTTPSYPTPPSSSSTPPYHSPPSGSTTPPVTTTHAPPPPTSADEPDVRYAPPPSSTTPPSHSHGSPSPPSTGSQPPSSGHHPATPSSPPTADHPGYALPPHAPGTGTPSSHPTTPSGTP >Sspon.01G0053980-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:45514676:45517834:1 gene:Sspon.01G0053980-1P transcript:Sspon.01G0053980-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTTAAPGLAVAATDPIRSFLSSVAASVDLAADLRDLAADLTSDPAVSYRSLRAIWCATSPDTRPPLRDLLQGADFVLPSPKPREKSAELKARLEKLREIQERKEYAELVKDVAPPSKDDAPEPFSSYKDQIGFGLHVVVIMFTGYLVGFAMFKALFNNSAVLNAAGGILGLVGGMLVETVLFIIRSSSKELGSVPRSKKAQ >Sspon.06G0033600-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6D:17443835:17446584:1 gene:Sspon.06G0033600-1D transcript:Sspon.06G0033600-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDELMKEYSREEVVQVLKSIGNLKAPGPDGMPALFYKEYWDIVGDRIIEEVLQVLRGGQMPDHWNDTTIVLIPKVKCPENIKDLRPISLCNVLYKLVSKVISNRLKGILHEVVSASQSAFVPGRLITDNILIAYEMTHFLKKRRKGESGYAAIKLDMSKAYDRVEWSFLKKMMLRLGFHASWVDWVMNCVTTVKYRIRVNEAQQLKEILSAYEEGSGQLINADKSAVMFSPNTKDTDRRGVMEALNIKSETMNEKYLGLPVFVGKSKCSVFGYLKERIWKRIQGWKERLLSKAGKEILIKAVAQAIPVFAMGCFDITKEICNQISATIARFWWNNLDKEGSMHWIGWEKLVCSKAEGGLGFRDLHSFNMVMLAKQAWRLLQNPTSLGARLLKAKYYPRTGVLKAEARQGSSYTWRSIGIQTLQEGIIWRVGSGQSINIWADPWVPRETTRKPVTPRGRNMLTKVDELIDPVTAQWDIDLLNQTFWEEDVRMIKSIPVHVDMEDVVGWHYDSKGQFSVKSAYTVHCAAVLRGQRRSQGSSSGGVVNDANFWNKLWNVDCPPKVRHFLWRLSHNTLAMRRVLQRRGMKLDTKCCMCGRMDDDGGHLLLKCKEVKRVWRELNLETARCRLAEAQTAREMMEIILKLKGKEQSTVIMLMYLWWGERNKWREEGRRRTVAELAYIAAYQADLVHKSDQMLVPDFRQLTHWKKPGQGELKLNTDGAFDVLNKDGGSGFVIRNDQGGAICSGAGREDHLLDAFHAELKGCLAGLQEAVKLGVTKITIEVDATLVKGALESDDYRLASIGGIVTELKHIISVDFYMCNISVCKRECNRISHSLAALGCKLPSGCYNT >Sspon.08G0000410-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:1928994:1930782:1 gene:Sspon.08G0000410-1A transcript:Sspon.08G0000410-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPQALQEMPCALEVIDASDTSSATPPLGHDSDWKQAPFLSDTQEVNKFPMETHMEEAP >Sspon.03G0001420-1P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3B:11880179:11883503:-1 gene:Sspon.03G0001420-1P transcript:Sspon.03G0001420-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding QKSESQSRSASGGPACAFAMETRGKRLLPVLLVLIAAAAAPSAMSR >Sspon.03G0001770-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:15602015:15602908:1 gene:Sspon.03G0001770-2C transcript:Sspon.03G0001770-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVHTHVVPELSENDFHVTPHGWDRCAPGSGTPGPARVFPARHGARPARQLEVHCYLSDVPTAPSCVVLLLYITEPKFLYCRVGDTHWITHEYDVGDAGAVGGKFYFLEKGKLGVLEFSPTPEFSYHDYTPPAPPDGSGCCRSRVAASGGELFEARIYHKGFTPEILTVRVYKFDDLAGPTTTLREVADLGDKVLLLSDSNQLLLKSASKYGLKGNRIYFMHNVLEEPDGGLLHVFDLDNQSLDTVRPCQDMTELMCSPFWLLPTDHDSAQEVEN >Sspon.03G0000010-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:10599295:10604585:1 gene:Sspon.03G0000010-3C transcript:Sspon.03G0000010-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding EMAEVKQARLGHRATWEKEKDNWKMTAGSAGDVLEDNPVGRLKVYVYELPTKYNKKMVAKDSRCLSHMFAAEIFMHRFLLSSAIRTLNPEEADWFYPPVYTTCDLTPWGHPLPFKSPRIMRSAIQFISNRWPYWNRTEGADHFFVVPHDFGACFHYQEEKAIERGVLPLLRRATLVQTFGQKDHVCLKEGAITIPPYAPPQKMKTHLVPPGTPRSIFVYFRGLFYDTANDPEGGYYARGARASVWENFKNNPLFDISTDHPPTYYEDMQHAVFCLCPLGWAPWSPRLVEAVVFGCIPVIIADDIVLPFADAIPWEEIGVFVAEDDVPKLDTILTSIPMEVILRKQRLLANPSMKQAMLFPQPAQPGDAFHQILNGLARKLPHGEGVFLKPGQKVLNWTEGPRGDLK >Sspon.08G0019410-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:12011879:12013662:-1 gene:Sspon.08G0019410-1B transcript:Sspon.08G0019410-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGVVGTCRAYVVVSTTHVYVLIGTAHVWQMRSSELIEVSVPMKSLYGHQFITMRMMDKQRQAMAGHVK >Sspon.02G0007660-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:17024634:17028047:-1 gene:Sspon.02G0007660-3D transcript:Sspon.02G0007660-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGASSASPPPPRGGSPLRRRRPDPRAEPPPDRRLPAPLHRRRRGRPRPAGTLVERPIRLASFADAGNAGTSVEDLGHHAGYYRLPNTHDARMFYFFFESRGQEDDPVVIWLTGGPGCSSELALFYENGPFNIADNLSLVWNDFGWDKASNLIYVDQPTGTGFSYSSDSRDTRHNEATISNDLYDFLQAFFTEHPKYAKNDFFITGESYAGHYIPAFASRVHQGNKNNEGIHINLKGFAIGNGLTDPAIQYKAYPDYALDMGLITKTQFNRINKIVPTCELAVKLCGTSGTVSCLAAYFVCNTIFSAIRTIIGSKNYYDIRKPCIGSLCYDFNNLEKFLNLKSVRESLGVGDIEFVSCSPTVYEAMLLDWMRNLEVGIPELLESDIKVLIYAGEYDLICNWLGNSRWVNSMEWSGKEAFVSSSEKPFTVDGKEAGVLKSHGPLSFLKVHDAGHMVPMDQPKAALEMLKRWTSGNLSEPSSSSQRLDFTM >Sspon.07G0020770-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:65250688:65253669:-1 gene:Sspon.07G0020770-4D transcript:Sspon.07G0020770-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALQCLVDWKGRPVNPQRQGGIKATMFIYFLVVMTNIGNIPMLLNVVSYLHGKMHMGIADASTTATNFFGAICVFTFFGAFISDSYVKRFYTILIFAPIEIVGYMLLACQAHFPSLHPPPCDIVNHPSECTAVSGRNLSLLTLGLYVIPIGEGAVRVCAAALGGDQFDGDDPRELRGKASFFNWYAFCISLGGFVGLVFVVWVQNNEGWDLGFVLSALVAVLGTLVLVAGLPFYRHQKPTGSPLTRILQVFVAAFRKRNLSVPEDLVGMHETTSIEALERTSGFKFLDKAAVDDGDARRWSQCTVTQVEEAKIILRMLPVFVSSVLGYLPIPLLLTFTVQQGGTMDTRLGGTHVPPASLFVIPIVFQMLILVVYDRAVVPWLRRATGYAGGITHLQRIGVGFASNVVALAVAAAVEARRRRHGGAAAAEMSVFWLTPQFFLLGVMDVTSFVGLLEFFYSEASAGMKSIGGAVFFCILGIASWLGSFLIRVVNRVTARHGRGTGWLDGASLSAGRLDLFYWLLALFGLVALLLYLLCAWRYTYRHHPSRMQSAMEDHRVSPASKKLDAAL >Sspon.02G0045780-3P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:87673826:87677215:-1 gene:Sspon.02G0045780-3P transcript:Sspon.02G0045780-3P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDQDSVDDWVVLASSSSSSDDDCVIALSSGCTTPSSSATSSDSEPDHATGASSTKFLLAHAAAAADPDDPEGMYALSDAEDTHATPPPSPPLPKPLSGLFHHTLAGDVAYAAFDPVPLPAAAHDHYVGKQLVPDPTFAAFFPHEPVAALASTRGLVCLRGTVSNAYYVANPATFKHERLPPPARDHRAHGEPAVVIAFDLNPRDSDPERGADAECKPFYRHYHVAVAFPIGDGIYAFESFSSRAWKWTMGADVADAHTVEPGSGVGVLGCAFWRTTMGPFLCYEPVSRCSDLVPAPPEVMQWPYWELGEMEGTLCVTCMDERVQAVVVIRLDFARRGTISWALAGHFEGGCLRGRQHVKLLRSQGKAEVVMWDPSSETVVAMDIEGRTTRTIKFIPGSAYYADFIPYVRSLAAVSGAGKRANAERATAATNNGGADFQAMAG >Sspon.02G0010890-3P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:6909802:6915822:-1 gene:Sspon.02G0010890-3P transcript:Sspon.02G0010890-3P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDVMGSAVGPHFSGLRLDSRRLSSSSSLSPSSSPSRSANGDAAPGFASPKADGTRRPFFIGVCGGTASGKTTVCDMIIQQLHDHRVVLVNQDSFYRGLTEEQSEQVQDYNFDHPDAFDTDQLLVCMGKLKSGQSVNIPIYDFKNHRRCSEKFRKVNESDVIILEGILVFHDQRVRDLMDMKIFVDTDADIRLARRIRRDTVERGRDVTSVLEQYVRFVKPAFDAFVLPSKKYADVIIPKGGDNHVAIDLIVQHIHTKLGQHNLCKVYPNVFVVHTTFQIRGMHTLIRDKNIATPDFVFYSDRLIRLVVEHGLGHLPFTEKQVVTPTGIGESMENALRACCKGIKIGKILFHRIGDNGQQ >Sspon.02G0025900-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:84905087:84906620:1 gene:Sspon.02G0025900-4D transcript:Sspon.02G0025900-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GMVSSRKVCVVGAGVSGLVSARELLREGHDVTVMEQSGGVGGQWLYDPRTNGGDPLGMAGVYSGVYASLRPNAPRNSMGFSDFPFYPKNDGTGDARRYPGHGEFLRYIRDFCDAFGLMDVIRLNTKVLHVGLAPPRAADDGVKRWTVRCANANSMHGDCEGEVVTTEQIECLCEDGQVTFADGSCVVADSIISCTGFDYSFPFLDTGGLLTVDDNRVGPLYEHTFPPALAPPLSFVGVPSQRALPSSEEMLRSAEEYHRAREMAGVPRGLSHALFLDLEYCDEFGEKRCGFPRLREWKKELMREAVARLRNDTESFRDDYYDSEPSEHRPSMTRLILSWRTCAQR >Sspon.07G0035020-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:81680401:81686251:1 gene:Sspon.07G0035020-2D transcript:Sspon.07G0035020-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to Histidine kinase [Source: Projected from Oryza sativa (Os01g0923700)] MEKGGAPGLGFLGLDRMRVLLPPLRLPEKLSARMRKVRDSSSWLIIPLWVSLWLLIASLIYLWMSSQAVAKRWESLASMCDERARMLQDQFNVSVNHLQALAILVSTFHHSKTPSAIDQTTFATYAEWTAFERPLTSGVAYYGVKVTHAEREQFERHQGWSIKKMYSSKTKTKKQSQGPGNAEVREPAEEYAPVIFAQDAYKHVISFDLLSGADDRDNVLRARESGKGVLTAPFKLVNNRLGVISTYAVYKYELPPNARPQERIQATIGYLGAIFDIEALVDKLLHQLAGKQSIMVNVYDTTNETPISMYGSNDTGSGLCQVRTLNFGDPTRKHEMHCRFNQSPPWPWMAITTSIGTLVIGLLVGYIIHATAKRIARVEDDFQAMGLLDADIAKSQFLGTVSHEIRTPMNGVLGMLQMLMDTDLDTTQQDYVRTAQACGKTLVFLINEVKIESGKLELEAVSFDLRTVCDDILSLFCGKAQEQGLEVIKDYNHAVTHCSFTVFYFKCTDFILVIPYYDAQREQLAVFVSDQVPQTLIGDPGRIRQIITNLVGNSIKFTEKGHIYLTVHVVEEIMHCLEVDTGTQYANTLSGYPVANRKRSWENFRLFRRELISSEMPFAPFASDSISLIVSVEDTGVGIPLDAQSRVFTPFMQVGPSIARIHGGTGIGLSISKCLVGLMKGEIGFASKPQVGSTFTFSVVLMRAHSSGNENKSSEFKDINALVVDHRPFRAKVTKYHLQRLGVQTELTTDLDHYISKINCGSQIAKLVLIDRETWLKESHSMPLLVTKLRNKDQPCSTKLFILENPKSSVRSNSHIFREYNLNVIMKPLRASALQVSLQRALGGTEKLHFRSGVVGNSTLGSLLHKKRIIIVEDNIICLKVAAGALKKYGAEVTCVDSGKKAITLLKPPHNFDACFMDIQMPGMDGLEATKRIRWMERDINEQIGRGEIPQEFASVRQWRTPILAMTADIIQATHELCLKSEMDGYVSKPFEREKLYWECARIFQTNKSPSSLELSYAANNHDLVELEQDEIVDHVEEKLGEAERKLDEVGMRSMLITMVNKKLTSVTVHSLPCRLCYLNKKVVGISLLGLMLCILVIAAFDLPFNRSLGIRRDMISVDLRKMTGSEQQQQHWYSRISFSSKYQEEVTETQNGTFKPHVPAHMSHNKTTEDLRIALVSVTVLVLGIAVVCVLLWCNRSKRVQQNELLELSGGIMGPRRFQLHELVAATGNFTDENKLGQGGYKIVLGLGSALLYLHRDCEKCIVHGDIKPANVMLDVSYNAKLGDFGLARLVEHGQEPRTTQVIAGTPGYIDPEFIANRWPRTELDVYSFGVVLLEIACGKRPASRLPNGASSLLAWIIHLYDQGMILDAADQRLNGEFDRQQMERVLITGLWCAHRDPIQRPSIEQAMDVLRSADAELPVLRAVHDERHIRAMEEQAYGDLTVEDHPVHAVTT >Sspon.05G0023240-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:2010796:2014489:-1 gene:Sspon.05G0023240-2C transcript:Sspon.05G0023240-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTTPRSRSQASRTTRPWILSGMDFADSRRKPNFTGKIAVAAALTVMCIIVLKQSPSFSGTSVFSRHETGVTHVLVTGGAGYIGSHATLRLLSDKYRVTIVDNLSRGNMGAVRVLQRLFPEPGRLQFIYADLGDAKAVNKIFSENAFDAVMHFAAVAYVGESTMEPLRYYHNITSNTLTVLEAMAAHNVNTLIYSSTCATYGEPDTMPIVETTPQNPINPYGKAKKMAEDIILDFTKSKKQSNMAVMILRYFNVIGSDPEGRLGEAPRPELREHGRISGRSVNEFVKACMKATGASIKVEYLARRPGDYAEVYSDPSKIHRDLNWTAQYTDLGQSLAQAWKWQKAHPNGYGSA >Sspon.04G0023830-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:18869785:18871474:1 gene:Sspon.04G0023830-2C transcript:Sspon.04G0023830-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVCDECKLKFLELKAKRSFRFIVFKINENVQQVVVDRLGEPGESYDAFTACLPADECRYAVFDFDFVTDENCQKSKIFFISWAPDTSRVRSKMLYASSKDRFKRELDGIQVELQATDPSEMSMDIIKSRAL >Sspon.01G0024610-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:85897136:85900244:-1 gene:Sspon.01G0024610-4D transcript:Sspon.01G0024610-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLQLNHIARETSDVVRLAAFYEAVLGFERVPSPTYSGFQVAWLRLPSSPDVALHLIERDPAAAPVAVGPGAEGAPPSQLPRRHHLAFSVADYDGFVTGLKARGTEVFEKTQPDGRTRQVFFFDPDGNGLEVTSAGAGNDKTEASLATESGDNSQYATKCLEMLDSTTRNLAMRHIITISTLENSSVTSTNQQRRTSLRAIVTVPEVDAWSVRLSPAGRQSSAVASWTLSSSSSGMTRMVQSERG >Sspon.08G0005330-3D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8D:13811499:13812617:1 gene:Sspon.08G0005330-3D transcript:Sspon.08G0005330-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MITPMIKYKTISFDGDKKAASQNLKNWMATNELSCNGDLRVKEGLIREGDTASVIGILKKHHACDIVDAPAGVVTTGCQLTRCMFPVFIEGLILIGDEDPDEAVYMV >Sspon.05G0015300-1P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5B:5074059:5076519:1 gene:Sspon.05G0015300-1P transcript:Sspon.05G0015300-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DATAASVVSTEQRPRPHLCIDVPEDHLPTPTPTPTQAGMSITPPSTRRGQQTSSNIPSTPVSSSSSSRLGRPPLRSPSFMLRQTVKSLLPAGSFKSSAVSKLFSATKTKVMARTSSLPLDDALSSSQPPPVQPKPGAAVHICRSKSLPMNMKKLNAKSFKRMDSLGGMYRVVPSTPRAPAVDPDIVPSDSGTTGGGGHDNDGGEDIPEEEAVCRICMVELSEGSDTLIKLECSCKGELALAHRDCAVKWFSIKGTRTCEVCKQDVQNLPVTLLRVQSIQQRDLMNRGGGSAARYDRYRVWHGTPILVIISILAYFCFLEELLVAHDGIAALAISLPFSCILGLFSSLTTTSMVARRYVWIYAAVQFLLVVFFTHLFYRYAVISIILATFAGFGVGMSGNSIVIEILRWRARRVAPPTQQAPRHRRARAPQQQQAPPASDSVQPSSQPSAADMGVGVGVGQHDAMAAAGDVENPAVPQA >Sspon.02G0039830-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:63353183:63366054:-1 gene:Sspon.02G0039830-2C transcript:Sspon.02G0039830-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DEARKALENALGKKAEFDKWGVEIERRRQRGQPGGPAAGGGGWSGGGRWFRRLMGGGFWDAAKQTVFTILGIIGAFFLIANFNVLVAAVINSLLLVLRQIRRILSFIALCVSQGALVEKYGPKSSTLGSSNLAGVPARERAGMSAKERVATSQQRGSAATHRALLRSLLLLPALCPGKDAALLLRARGPRSARHGQGRGSAPPALLRGRASAVRDPAVPLPPAGFQRPFVLLPAPGRGGVRRREPARRRPLAARSRLPPALDSSDTGEESLEPLVIRCETRADNELSPSTPQPLQEGKVIVDGRVVNKAGTQVSDKSVIEIKAEIPKYVMLAVIKVMKTDSTLITLIKPQFEARRSQVGGGGIVRDPLVHKEDPSIRVTARDRDRGKGTCNLRHWLLSDEEHSYLRGTHLSRAGRRLERAVELSSDVAASTDEPPRGRLRKSLLPRLSSLSAPTPRPPDVGGARSLSRMPPQLRRHQRRRLHCCRREVAAEVGPGGGRRVGSRPRSVRVELVTCGRGWVGSAGVGEDGAEVGEDGVAAAFLHAGHRDLRATTGRATDPALAGAFRLPPACRDGQGRPADPAGRTARGALPSSLRHGDEVPPVGRQIRPEAASSSGRRIGRGPAADGRDAGAGRAGRRRGSFRKIFGRGPLFDGRGGGLASGWHLNWTTLEMAHDGLWRTVYRTPVQAVSRCLNKEELIIQNLEAHFPTGKIESLQITGVVAFSSEFQTPNASRATENNKNQSKPGAIWI >Sspon.02G0020460-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:66805396:66808138:1 gene:Sspon.02G0020460-1A transcript:Sspon.02G0020460-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPTDPKQSPPVQAMSRAPDECPDPKRIPSSVFRRSKSKSPTDWSV >Sspon.01G0019200-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:73147326:73150432:-1 gene:Sspon.01G0019200-3C transcript:Sspon.01G0019200-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKYMRKVKVSGEVAIMDVPSAPLGVRTRARALALQRLQKQQAQGEEGAGGEYLELRSRRLEKLPPPAAPMRRKAAAAAAAAKEEVEASYGENMLELEAMERNTRETTPCSLINSEMIGTPRTPGSTTRSSHSSHRRVKAPPVQAVPSSREMNEYFAAEQRRQQQAFIDKYNFDPVNDCPLPGRFEWVKLD >Sspon.04G0011560-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4C:34352340:34352630:1 gene:Sspon.04G0011560-2C transcript:Sspon.04G0011560-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding WCFQEVIQRRVFTDHIQITALVRALRVPLRVEYLFQEAGQDLYIGQDPQDDMPRSTCWPRHHHQVPPDHEVPRVTVLHTQEHYDIIYPYHPDGPVTS >Sspon.06G0035260-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6D:70915013:70915411:-1 gene:Sspon.06G0035260-1D transcript:Sspon.06G0035260-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSERSSHTRARRTQVLRPAPNPATEAASVRAELYACTRCPPASHTTPRARSNRTLAFGTALPCPHVNHGGAPVAAPGLSHPSRARSEPRWRPGSSTRRLRQAAIHQPPVCNVTCATPDLLFKHKDETFTTYN >Sspon.04G0013230-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:59860881:59866886:1 gene:Sspon.04G0013230-3C transcript:Sspon.04G0013230-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHAILAGIPQSLRPAVLIASTCAVLLLATALLLPRAPPPAPLLTTDAHTDADADAVRLDARVTRRNGNEVLWQLPPPSSPLRAALFAAPGCTIRATDFFDASPGCPRCTGLPEERRFTRAALSRGYAVLAVSSRAECWSLDDGGGGDGEDGSELAAVQSIIKWWTTEKYPQLAGLPLVGIGASSGGYFLSALAATVKFSSVAVMIAEGVYGAMAEIPTGYPPALFVHMPKDTERAQLVADSMGRLREKHVDVREIRCDDFAVSAEFLAGRVPGLTRAFADALVDVLLRKGIVDEKGFLKKDGRRTLWKEAAEEAKVLPEGFGLERHVTEELNVAYAYHEFTSLKNTEIFQCLGGSSTIEGHGYHNAILHLLGGKRELLVHNGIEKGTDTISSGVSECIMEILKLLANDQAAGSTKCLANVAKGSNDCNDHVQYNTTFGNIKKADSNKYMLHKGNKVRIYSSEQKNRYSAQTNCMSW >Sspon.08G0010560-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:46825035:46828548:1 gene:Sspon.08G0010560-1A transcript:Sspon.08G0010560-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPSPPAPQSDRAALLKAFDEARTGVRGLVESGVSSVPALFVHPDPYASAPLAPPGVSVPVVDLSLPAPLAAAAAAEAARSWGFFHLVNHHQALGVPEDYLARALAAVRAFNELPAAERAAHYGRDMPGGVSYSSNVDLWRDTIQIAFGPDLPDLAVCRDEVLEWDAHATAVGRAVLGLLSEGLGLGASSLEAASCLEGKVMVCHYYPVCPEPERTMGLVPHSHPGVLTVLAQDAIGGLQVKQSDDDGSSRWVDVKPVPGALVINVGDLLQIMSNDKYKSVDHRVIMNTREEARVSIAIFFNPGKRGDTIFYGPLPELVSSDSPPKFRNFTMSEFLGAFFRRDLASRALVDTSNCLCTSKQKLG >Sspon.07G0001600-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:3076109:3085349:-1 gene:Sspon.07G0001600-4D transcript:Sspon.07G0001600-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At1g15510, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G15510) UniProtKB/Swiss-Prot;Acc:Q9M9E2] MPPLPAKPALNPVLLPLASLALPRALPPIRLHAEKRLRRLSSAAVSAAASTSSSADPSAELRALCSHGQLAQALWLLESSAEPPDEDAYVALFRLCEWRRAVEPGLRACAHADDRHAWFGLRLGNAMLSMLVRFGETWHAWRVFAKMPERDVFSWNVMVGGYGKAGLLEEALDLYHRMMWAGVRPDVYTFPCVLRSCGGVPDWRMGREVHAHVLRFGFGEEVDVLNALMTMYSKCGDVVAARKVFDSMTVMDCISWNAMIAGHFENGECNAGLELFLTMLQDEVQPNLMTITSVTVASGLLSDITFAKEMHGLAVKRGFATDVAFCNSLIQMYATLGMMGQARTVFSRMDARDAMSWTAMISGYEKNGFPDKALEVYALMEVNNVIHDDITIASALAACACLGRLDVGVKLHELAESKGFMSYVVVTNALLEMYAKSKRIDKAIEVFKCMPEKDVVSWSSMIAGFCFNHRNFEALYYFRHMLTDVKPNSVTFIAALAACAATGALRSGKEIHAHVLRCGIAYEGYLPNALIDLYVKCGQTGYAWAQFCAHGAKDVVSWNIMIAGFVAHGHGDTALSFFNQMVKIGECPDEVTFVALLCACSRGGMVSKGWELFHSMTEKYSIVPNLKHYACMVDLLSRVGQLTEAYNFINEMPITPDAAVWGALLNGCRIHRHVELGELAAKYVLELEPNDAGYHVLLCDLYADAGIWDKLARVRKTMREKGLDHDSGCSWVEVKGLVHAFLTDDESHPQIREINTVLEGIYERMKASGCAPVESHSPEDEVLKDDIFCGHSERLAVAFGLINTTPGTSISVTKNQYTWTVSNSTILRMEVVRVEMKDGFSDETSGVQASHIASNLVPLQGPLDMDKMKERLQSVKMT >Sspon.04G0011170-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:33454332:33457424:1 gene:Sspon.04G0011170-3C transcript:Sspon.04G0011170-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to Heat shock transcription factor 31 (Fragment) [Source: Projected from Oryza sativa (Os02g0527300)] MAGHSMSVPPEAYKFLAAPSLAAHFLNPQPPRASSYATMDRARPAAADANDPDAPYAAAMLQEPKLEDEDVPLQQLASPGPFVSLDQLVPPRPLEALLQGPQLPPFLSKTYDLVSEPALDGVISWGAAGNSFVVWDPSIFARDVLPHNFKHNNFSSFVRQLNTYGFRKVHADRWEFAHEDFLRDSKHLLKRIVRRRSSPTKQSSIQPGSSSGESSLDPELHTLRREKDALLEEVARLKQEHRQTIEHMSTLNHRLESAEDRQRQMVSFLAKLLQNPSFVRQLKLHREKKEIDSTRVKRKFLKHVPHSIESGESSSHHAGESDSHFAVSSPMATSVHDDITELQNFLLEDDDVNFGMDSENMGLEGIEALEDIGELIQGFDTQEELELGSGAELLEMPPASGPLGQDPTIGRSKGKNVLCPGLDATSSEADCLSPLPDNMGLLSGTMLGAAGRMMDADDQQMWGVDASAALQSSCSGTSQQAFSSHSSDPYLMDIANKPERFWELDFQALDEADLQLDKCAIDDPALQQQP >Sspon.01G0050430-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:1624834:1626104:1 gene:Sspon.01G0050430-1C transcript:Sspon.01G0050430-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGDELIASGTIMDATRLAAVCAKVDEYAAGGAPMSGNRIAAICAMIDDGATARKHRRNRRRRRLCVDSTRSYKQIGEIGNGSFGAVIKARHRKTGQTVAIKKFRRRDGKKSPGVRRVLRLLPGGHPNLVGLHTVARDPGTKEYSVVMEYVGPSLRHALKEHLRVHGAPFPEADVRRVMTQLLTGAEAMHSRRIIHRDIKTGNVLVNEDGSAVKICDYGLAVCTAAKSVPPYPRAGTVAYMAPEVLMYRSDYDERVDLWSIGCVMAELLSGEVLFKVGDDGAQQIDKIFDLLGAPAEETFETFMSPFMASKVLRRRARQPRPCRDSRLRELFPGDVLSQDGYDVLKGLLTCNPKERLTANTGLQLPWFSGAVGVPASEVGATESSPQTALPIVLVLTSVVWAFLRKMALPLIKLPHQLFLR >Sspon.08G0021360-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:37755609:37759911:-1 gene:Sspon.08G0021360-1P transcript:Sspon.08G0021360-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRSEAQARKASLAESVLAAISELMSSAASAVDAEHENFMDVGSHVHHGALLAMEVQKAQGSPTNTLHVMEYLAANVDLAKDLVTRCSAIAQQLMDDDLLGITEDLDKVIKNISNELNRIPASTFDSSRFAEPAVRDGHLQVVRNRHGYSEGDMPMVVAVERPRRRTLHNSDMPRLVDFLQGMYQESHELGGQTQTFSSLPEVAEYVEPLYDSFFCPLTNMVMVDPVTAESGVTYDRKAIEDYFDKFTDGSEPVICPVTKMAMQSKTLRSDLSLKSTIAEWIARNEATRVRIARTALSMATTEAMVLEAIHELKVLARLRKKNRDQMHKIGITKFLPRLLDHKDAFIRCDSLDLLCLLVEDDAGKEIIAKTRAISRTIKLLSSSSTDERHAAISFLLELSKSELLLENIGSTAGRLILTTMKFNSSSDPIAPRRLEKSWRTWRNVQRISSTWLKVATWILCKDT >Sspon.08G0022370-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:51619218:51619592:1 gene:Sspon.08G0022370-2D transcript:Sspon.08G0022370-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEAAKCECCGFTEECTPAYIAAVRAEYLGRWVCGLCAEAVGDEIRREGSTITTAEALDRHVAFARAPSRRGPPAEDDLVAAVARLLRRCLDLPPASPAAASPAPLQSRKVAAGPGCPDGADA >Sspon.07G0017860-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:64559678:64565005:1 gene:Sspon.07G0017860-2P transcript:Sspon.07G0017860-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAALGAANWLLGQVLNKLSDDLVKAYVSSNELGLNLGEIESKMLYTRGLLDEVQGRDLTSKPGLQGLLERLGKKADEAEDALDELHYFMIQDKLDRTQEATPDLGGGLGAKAQHARHAARHTSGNWLSCFSCCRSKDDAAAAMSLHPDGHVEKLPFNRVEMSNKIKQLIEELHSNCTPVSDLLKIVSGSNPQTHKPAFTRRPDTSSEITQDKLFGRDAIFEKTIGDIISATQSGKTLSVLPIVGPGGIGKTTFTQHLYNDTRIEKHFGVRVWICVSTNFDVLKLTKEIWSCLPPTENETNKITNETTNLDLLQKSISERLKSKRFLIVLDDIWECSSNDEWEKLLAPFKKDETSGNVILVTTRFPKIVEMVKKETNPIDLCGLDPDDFWKFFQICAFGRIQDEHGDQELIGIAKQIADKLKCSPLAAKTVGRLLSKKPFQEHWMKILDNKEWLEENHNNDIIPALKISYDYLPFHLKKCFSCFSLFPDDYKFEKLEIIRFWDSIGLIDYTRKNKKIEDIGSNYLDELLDNGFLIKGDDNYYVMHDLLHDLSHIVSLEECAYIRCSSFEANEIPKTIRYLSIFMHDTHIQNFKEEMRKLKERIDIKNLRSLMIFGEYSRLHLVNILRDTFKEIKSLRVLSIFMNSHNSLPHNFPKLVHLRYLKLMSPGYLEVCLPSTVPRFYHLKFLDLKHWGSDCSLPKDIYRLENLRHFVAKKQFHSNVPEVGKMKFLQELKEFHVKKENVEFELGELGKLEELGGELNICGLENVRTKQEAKEAKLMDKRNLVKLGLVWNSKQESTRDDILDSFQPHSNIRGLHIINHGGSVGPSWLCSNIIHMKNLETLHLESISWANLPPIGKFYHLRKLKLKNIGGLSHIGPDFFGGTTEKSFTDLKEIEFCDMPELVEWVSGANCHLFSGLEIISCINCPRLTVLLISGSHISSTEDNTIWFPSLRDLYIFRCPKLCLPPLPHTLKVSNIETEGLSYYDRTILNIRKPSKLVFQNLGDVKRLTTQDASLLSLMDLQNLHSLRHIEVSKWEETFLRGLDDGVVLHTVQTLELGQFSLTIKSLSNLFKCFPALSRLDVSASDEDYEEVVLQFPPSSSLRNVRFHGCKNLILPVEEEEGAGFCGLSSLESVTIENCEKLFSRWSMGGGAAQTQSIIYPLPPCLKELSLSGQQSTLPMALLANLTSITSLELVNCKDITADGFDPLITINLEDLMVFNWRDGEAEPYSVAADVLAAVARTKTMPAGSFQLVRLTVDSISAVLVAPICTRLSATLQRLYFSYDWRTEKFTEEQDEALQLLTSSHLSEAYRSRLQGSTVLTRHAESQLLHAFHTHGSADAHTPSTLDLSLHQPESAAQRTELNASHD >Sspon.08G0006050-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:19190918:19197155:1 gene:Sspon.08G0006050-1A transcript:Sspon.08G0006050-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAVLGRHDTHKRSFHGSKLEAKMVDAMQQRASHGTSLKSFDSIIMKFPKIDESFRKCKTIFEQFDEDSNGEIDKEELKHCFQKLEISFTEEEICDLFEACDINEDMGMKFNEFIVFLCLVYLLNEPAVSEAKIKMGLGNLEATFETLVDAFVFLDKNKDGYVSKDEMIQAINETTTGERSSGRIAMKRFEEMDWDKNGMVTFKEFLFAFTRWGLMKMRMTMNRDCEGCMGAVLGGHDTYKRSFHGSKLESKMVDGLMLCSRERRMELH >Sspon.03G0022510-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3A:68526887:68527884:-1 gene:Sspon.03G0022510-1A transcript:Sspon.03G0022510-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LEAPRGEGVELGAEERVEAAVGGEAGQEERVEPGRRRGAELEVEDVVGAPPLVSASSVASTIGDWAGLLPCAPGGNITSGLLFLSPVPTFLDIRSHESTEQYSANAYLAALLNCAIWVLYGLVQPQGALLVVSINTIGVLMEFFYVACFLYYSADELRHKVVWMLVGAMLFVAVIFTLVFSLADTPEHKSMGFGLVGIATGIGMYAAPVINLKSVIDTGNVESMSLLLIGASLGNSTVWTVYALIGSDLF >Sspon.04G0012630-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:42710428:42712988:1 gene:Sspon.04G0012630-3D transcript:Sspon.04G0012630-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPTMDDTSLECFWDCLDSEGMQSLYIGADGDTAVSSGGQLHDGYSSAPDAAGSNFSAATTAGVGAEREGRPCNMVTVMERRRRRRLNDRLYALRSVVPNITKMDKASIIKDAIEYVLQLQQLERQLLAEVARLDTAANAHHLVVGGTPSTQDGCAVSPTKKMKRNPSFSSHSRSSSPPVDALEVRVSDAGDKVLVVSVACTHRRDAVAKVCRALDGLRLRVIAANVTAASGTVMHTALVQGEEMHRTEMKEMIETAISQLDDIVGSPLSTASY >Sspon.04G0011380-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:37858910:37860287:-1 gene:Sspon.04G0011380-3D transcript:Sspon.04G0011380-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEDNPIGRLKVFIYDLPSKFNKRIVTKDPRCLHHMFAAEIFMHRFLLSSAVRTLNPEEADWFYTPNFKNNPLFDISTDHPVTYYEDMQRAVFCFPRLVEAVVFGCIPVIIADDIVLPFADAIPWEEIGVFVDEEDVPKLDSILTSIPIENILRKQRLLANPSMKKAMLFPQPAQPRDAFHQILNGLARKLPHMQSVYLQPGEKHLNWTAGPVGDLKPW >Sspon.01G0032010-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:109704817:109709578:-1 gene:Sspon.01G0032010-3D transcript:Sspon.01G0032010-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRVMNSRPIVLIFLLLVLIITSQFEWKQQIGEAEANPTATRRRQQALEREDAVKEKVILAQEKNIQQLNELIQSLQLQLLHCRGSNSTARTTSSQSTSNSGVEGQEMIGD >Sspon.03G0034100-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3B:68087659:68088544:1 gene:Sspon.03G0034100-1B transcript:Sspon.03G0034100-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding PYSCLVGPRRTVPIKRRWGPVAQDARFTAAATTPPSNPSQIEREADGKLHRRSNYLLVTDTAMAAASSSANPIDALPPLRGKRKTIARETQLASALLRHVHEHELPKGTTTMSFDGAAHGSGHMRAPVKGNMEAPYFLRRPSLCFTRSLREKEQGKRNERGGRRGASGVSGGSTHIPGLTATAAAVAVRLR >Sspon.04G0024480-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:19942738:19946484:-1 gene:Sspon.04G0024480-1B transcript:Sspon.04G0024480-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPEHRLKGPLRGSGTSGGAAMPQPKPCGNGTGSSGGISGMGSRGANPLANLSNLTGCHGFQRKEPSTTLTPAATSQPPVARATKYTRPGSSSAPRDPPLRTGQKQKSYHRRFSLLSINSLDSTSTLPDPGGSTCHLGNTALGGAAESAARLAPMESSPRLTVNAGVRLSVETPRKHQAVSLNVETPREHQAAVKGSSGETPRKHQTVTGYKAAQKHCIKEKTGGSSSGGMAQKCCTKERTLPSRQVRHPLGTQRAGTIDRCITTQKQSENNPSISSNCLSLVSNAVPQHCKELAADKKIHLPEEMMKQVLEADLVIKQLNELGLGEDINDEELQCYYEQLPCEHTCVDTSLELGDEQIKKLQVNHVLCRIKYYKMTQERRKNELASVLEYDCYRYRLEEKLKCFVEDETKLKRDHILDHLDKEGLLEYIEKDDTFDWSFQYRTVAALDDYQRLVPRNRGGSEYVHWNDYREYFHKYEIEREYLLFWKELLKKLKWMEDYLNIKWTTLKWDRINKRGESQAIKIATGFPKITVGLAHAAYYECIDYMSTEFHWYRELDGVYFEIWKRVAKLEMSFREALDEVYKSGKFLLREHEMEHALEISDFKMEEEFRYCTEGITEEDTEEKARELIADAITKMLRRPKFYAQYIMKKMEVATAIGVIPQGAP >Sspon.02G0017360-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:49986602:49988461:1 gene:Sspon.02G0017360-1A transcript:Sspon.02G0017360-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDALIKREETKREAMECQVNLQRVQMKYKHEAQLVDDGTTLSGFQQTSSRFESSDDDYADSDDTTTEQPYIRPAVFHPRFPANKLSVIPPLRIKRERELKRRPQQNGWVFKRDPEEPVFLFTRPLDPEKLVAAGIKPPPDPPIENGDASPPFRCRGRIGRGGRIIFDRWNPLLQTPIDQETSYYVPYGHRPPSPEG >Sspon.08G0018370-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8B:5824789:5825859:1 gene:Sspon.08G0018370-1B transcript:Sspon.08G0018370-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPLTAHPLLHSLVHGTAQAPASAVCPRPLAVRSAQGVHPQGRTYSDTLPSRPARKLKGEGCKAEWPTKPHIRPPLHRHGPRVPLRSLGFGRRRSLLSPPQSPFPNLAISISLQGMTLHSQLHGGRALLTADLQGADDSIGHRAGGRPLPWRAQRPTAQRVSACALACSYSHGRTGRLKPKNRHGGTPARRAGALSLYPKGEVATAGGGGSARRREPAAVVAH >Sspon.01G0054780-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:68672892:68674151:1 gene:Sspon.01G0054780-1C transcript:Sspon.01G0054780-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHAFAALLHLSRTGGDAAAKSESHPIIITDGRADAAFAEQRRDWSALLDPLDAGLRDELLKYGDLVQATYDAFDRRHWSPHCGTCVHGLRRLLPALGLAGHGYIATAFLYATSDVDVPRWLGRRLHEDAWDGHANWMGYVAVAGAAEARRAGFRDVVVAWRGTISPDEWLLDMKTQMVPFELHAQEDEGAMVAEVFHSIYTSSNAQSKYGARSAREQVTEELARLVTHFRARGEEVRVTVTGHSLGGALAMLAARDAAAAHPDVHVSAVTFGAPRVGNRAFCDGVTSRGVRALRVVVRHDVVPSVPSVPGAVLDAPVSKPLGKLWELSHHSPAWAYIHVGDELELDVAKSPFLKHGSVPGYHNLEACLHLLDGRESAAAGFRDGARRDVALVNKTSGMLRDELRIPEWWYTPANKGLRRD >Sspon.03G0008620-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:30437283:30455180:1 gene:Sspon.03G0008620-2B transcript:Sspon.03G0008620-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLLLLLLLLPVQLLLAVADAVPDSCAKAICGGQDIHYPFWLNSSTPECVYPGIGIGLICQDNYTLILPIKSHRYKVLSIDYKTHTVLVSDADIADEYAAGCPRLHVNLTIDTVWLRLAPSDSNITFLYSCKKSITLSSAVELSGCGQPQQDGNRSYVLRDGVITGAEAYEFECEEVVVAPVLYVHKKAIVGAPGDPPPGNGSFREVLQGGFELNYDTHNHQCDRCEGSGGWCGYQRNETHAGGVMTFTCFCESGPTSDRCVPRLPVLLLFVFLAVHVHFPASHAIPPPLPTTYDGSICSKSFYCGGHNISYPFYLSNATRETTDYGYNYSCGYTDLKISCQGEGPTATPVISLGGENYAVHNILYDIYTIILVDIDVLVGGNCPAVHHEVSFNEAWLHNTISNDNLTFLFGCDPPRDPVAREFDAFKINCPGSPPGAGPGDSFVLTPDELDSFRYLEQELARNCSKVVTVPVIGDFLIAAASNQSNFTSGGYGYVLKGGFELEWSRNTEDGCHLCEASNGQCAYSHNRVFLGCLCHGGKVGNPYCKPASSNTMALCSLRRHRLPLPFLFAVLFAASHGDPSGDDYNTSICQLQPYTCGGVDIHYPFYLSYETADVLGNNSSCGYPGLAIDCVDDEYPILQLGSSPDDSYNVTGINYITFTISLADLDVLDDDESCPVDHNVTVPPAVWLNLLPEYTVEYLLFFANCSISTIPGQPYINPISCPSSSVGYYSFVIPSDSEVPQQTLSRECKQVIQVPVFQNASLTIDQQWSINGYRVALEQGFQLGWNSSRRSELCIKCEGSNGGCAYSRYGEFVACLCTNGRVSDQECTKACPPSANQNRAPETPESRTELPASPAATMPSLSHQDRGRLLLALLLLAAAVASRGDDDTYAVSACRSRPHLCGGVNISYPFYLASDTKAVPDHDDGESYCGYPGLAVICDGGDNKPVLKLGDDNYTISDIDYANLIVSLADADTAGNIGCPVVDHNVTVPQDVRLSLILHSVDYLFFFVGCSFGPEAEPAPKPPKPPTIKPITCGDMDKPPASMTFVLPRGEVPPGDWSSSCRQIFEVPVLKSSVPSKAVAEDPVWRNDGYGKALRAGFQLGWDRSSGGSKRKTAIIAGVVAGGGAGVAGLAAAIFLFMRKRKQKKVINSSSKLLKYSGSGGTPRSQVGDMESGSIEDPATHLFTYEELEEATNCFNENRELGDGGFGTVYKASGWCWWSSSRRSPPSTSPEHRNEINLAGMAVSKIQKCQLEELVDLGLGYETDPATKKMMTMVAELAFRCLQQNGEMRPPIKEVLEVLRGIQGECLMEKDGDKKNKDGPVSPTTVHAPWDSRTTTPNTSKGLD >Sspon.08G0027050-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:38881948:38882570:1 gene:Sspon.08G0027050-1C transcript:Sspon.08G0027050-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGACGQGQACAARAVVFGGAWGCRPWRPLGARRLRHRVGQHGAGGSRLRRRVGSLGAPPAGQERSDILHQECRRLPCLSLVFSAPSRPPRTPPPTTSWLASSPVTALTAVTEKVVTDDSILGSQDHRSAFPHSFPHDLLNVWLPSAAVVVKENE >Sspon.02G0010290-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:27256705:27260589:1 gene:Sspon.02G0010290-2B transcript:Sspon.02G0010290-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSARLLRRVLQEREAAPQDTDVADDDQPAEEEASPPRSSARNLFDLLDDGNGDGDEEDKEEDETEIIQALSYTEQKHSVQKKANVVPETNKKSKKKKKKSKAEPSSTKSKDAQSLDSILEAIEKNTIQQRSHQSDRAAGTELETNEATHGASFVLAIDPKHLKAENEMRRIFGSKVVDSFENQRNMPSSSRQVRGARRVAHNPRKTLLVSPPSYWLPWDKSISMDLLEMKNGLNYFRYTYDPSVSHVQELFEAAKAANDLNAIAAILGKYPYHPESLLTFAELFKYSGEHQSSADAVEKCLFALECAWHPLFSPLQGNYQLKFIHDTNKPLFTALFSHMKNLDRRGCHRSALEVCKFLLSLDSDDPKGALFCIDYFALRSQQYKWLEQFAEEYQCDNSLWLFPNFSFSLAIARFYLEHDAASEGSDDADKSTSLDLMKQALMLHPMVLRKIVDKAPLKDSSWTQILRNVFFGSAKPGSPSLEHMINIYVERHYIMWRFPELQNLLKAAALLVIESLKQDNREAQDWACVRKEAFLSEKNEYSHLLVSDFSDTTPSLPPEELRPFMVGPGMVHEMPPVEQEAGPERLRAPREVAGRSPAVVFLESLLPWVDYGDNHHDANDDNDDD >Sspon.02G0002540-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:8288426:8290028:1 gene:Sspon.02G0002540-1A transcript:Sspon.02G0002540-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAAVAAVLASWAFNALVHLVWRPHAITRRFRAQGVRGPDYRFFTGSLGEIKRLRGEGAAVTLDVDDHDFIPMVQPHLRKWNALYGRTFVYWTGARPNVCLADVNVVRQVLFDRTGLYPKNLMNPHVSRLLGKGLVLTDGDDWKRHRKVVHPAFNMDKLKMMTVTMSDCARSMMSEWEAQLAKGGEVEVELSSRFEELTADVISHTAFGSSYNEGKRVFLAQRELQYLAFSTFFNVQIPAFRYLPTEKNLRTWKLDRQVRGMLMDIIKTRLANKDTAGYGNDLLGLMLEACAPEHGETPVLSMDEIIDECKTFFFAGHDTTSHLLTWASFLLSTHPEWQDRLREEVRRECGDEVPTGDALNKLKLVNMFLLETLRLYGPVSLIQRKTGSDLDLGGIRVPEGAILTIPIATIHRDKEVWGDDADEFKPERFENGVTRAAKYPNALLSFSSGPRSCIGQNFAMIEAKAVVAMILQRFALELSPKYVHAPMDVITLRPRHGLPMVLKC >Sspon.01G0048020-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:107904657:107908583:1 gene:Sspon.01G0048020-2P transcript:Sspon.01G0048020-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCCCWGARIKDGSLHPGASGVFSKSSGKDGSRLSGCSSRASSASMPPSAKTECEILQSANVKVFTFNNLKAATRNFRPDSVLGEGGFGSVYKGWIDENTLSPCRPGTGIAVAVKKLNHEGLQGHREWLAEVNYLGQFCHPNLVKLIGYCVEDEHRLLVYEFMPRGSLENHLFRRGSYFQPLSWNLRMKVALGAAKGLAYLHSAEAKVIYRDFKTSNILLDTVSESAELCEKSHVSTRVMEHMVTQLLSIFQQLSCILERSFSAIISGVGLKKKVMDVGHLTTKSDIYSFGVVLLEMLLGRRAIDKNRPQGEHNLVEWARPYLTHKRKIFRILDTRLEGQYNLNSAQTIAALALECLSYEAKMRPTMDAVVTILQEIQDSGEAEKHREPKAGTKQAPSAVSASMSSRKPRRRSLAGTKETVGPNPKPLAHSR >Sspon.07G0019070-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:69309049:69309624:1 gene:Sspon.07G0019070-1A transcript:Sspon.07G0019070-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAGHYHYARSHKPRTNNDDNGRRLDDKEGDELEQGLLGELCVRAGVPGDDDKQRKIRVPKGYPIVLVRDDDEGETRVLVRVSDLKEPCMAVLLEMAEEQFGYGQPGVLKVPCDAKRFDHVVSMARKSNQK >Sspon.02G0000820-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:2884296:2885975:-1 gene:Sspon.02G0000820-1A transcript:Sspon.02G0000820-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTSPSASRWSSSTTWPRRAAGAASTALAAAVFAVMDVADVILCFVYAVLDGVLEDSPVRCYCHRSYDDAGSKAVAAEVDDGGHEEVSDTLYAHGRRSAVRDALLGLLRHVVGRRGRAPPDETVAPCKWRSPRWSDCACKSCVAWMGGEGEDGGSGRLHVVKHVRALFLTLVGRFADAAGTTQSETTTTPDAENAIFVHGFTSSSSFWAETVFREASSILDDCRLFAVDLLGFGRSPKPANCMYRVRDHVEAMERSLIEPHGLMSGSGSFHLVSHSMGCIIGLALAAKHPTRVKSITLVAPPYFLPCEQKASQVALSRLAGKKLWPPLLFGSAVMSWYEHVGRTVCFLVCKNHLLWEWLFRLFTGNTDVDFRVRDLTKHTHHSAWHTMHNVICGGAALQDRNLEAVAAAGIPVQVVHGADDQVVPVECSRHLKAKLPRAKLRVMDRRDHSTVVLSRERDFAEELKAFWWSAAS >Sspon.04G0027710-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4B:61310457:61311083:1 gene:Sspon.04G0027710-1B transcript:Sspon.04G0027710-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MERVVAGRRMKKGGAVLSTCSSANSLCSRQFSSISVLQQRFRYSSSSSVYFSFVRMPHRHRGAVAAGHADVAPPPPPALRAAASRNADVYDWVPCRWVLIGTSTTGCHGGGGGMEEDDKEGSAQVRVCGCGHEHPVCRMSRALAHSRPLSLLSSPLSSVPMPLQGTAWPSLANDRPGFLALHPLAPPPRAPSSPGSSRPSAGQLQVTP >Sspon.05G0024690-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:24867170:24870524:1 gene:Sspon.05G0024690-3D transcript:Sspon.05G0024690-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVIKAGGAEPRGDGGAYDARLRQSLRARYAYGFVFFATNLLAWFVRDYGARALRGLHRGFLFHLPSPSEFSSHLRFEGGRILTVLTDYTAPSDVPVCGAGDSKCFQSGGVLRIFFWVMFATTFGTRKLHEARNSWHSGCWILKSLVYAMSIGIPFIIPNIFIQLYGEIARLGAGIFLLLQLISMLHFISWCNKRWMPDPGSNQCGLFGLFLSTISYIASFAGIGVLYVLYVPNSSCAFNIFTITWTTILVTVMMAVSLHSKVNEGLLSSGIMSSYIVFLCWSALHSEPQTGKCHSHMKIANDGDPATIVSFIIAICSIVMATFSTGIDTKSFQFRNDEVQLEEDTPYSYEIFHIVFAMGAMYFAMLFISWELNHPTRKWSIDVGWASTWVKIINEWFAASIYIWRLISPAVLRNQLVNEDFMPHRPTI >Sspon.03G0000540-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:9248396:9249746:-1 gene:Sspon.03G0000540-1P transcript:Sspon.03G0000540-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAQDDCKWRQIPAFGDWNLWDDMPVTQYFQAGTFFFAAPAEKDDEDLFKVPQFPAKPYSYKKVKQNRTSLKISCVVRVKGEKETAVPPARKGGRRRYVNEQQKWKPKGAVDEDLYKISPQLLCKKKLLRNLLGGCLGLSCIA >Sspon.06G0014230-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:57349915:57352943:1 gene:Sspon.06G0014230-4D transcript:Sspon.06G0014230-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABC transporter I family member 11, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G14100) UniProtKB/Swiss-Prot;Acc:Q8LEF6] MLAAAATAVAVPPAASYRFPANTNSFGLIFGRSGSGKTTLLQLLAGLSEPTSGSICIQKYDDTGNPIGLPELLTSQRVGIVFQFPERYFLADTVLEEVTFGWPRQKADLLLKEQLALNLQNAFNSVGLTTISLDEDPQALSGGFKRRLALAIQLVQTPDLLLLDEPLAGLDWKARADVVNLLKDLKKHHTILVVSHDLRELYPLVDYSWRMEMGGSLKEEALPV >Sspon.07G0028010-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:73027563:73032494:1 gene:Sspon.07G0028010-2C transcript:Sspon.07G0028010-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGLAAAAALLLHLHFHLLLLLSPSAAQPGFISLDCGGARDHTDAIGIQWTSDATFVSSGGQAAQLLVQNAQQQLTTVRYFPADNRKYCYTMNVRNRTRYLVRATFLYGNFDNSNVYPKFDISIGASPWSTIVVDDATTPVVEEAIILAAAPTLSVCLSNASTGQPFISTLELRQFNGSLYYTTDETRFFLGLSARINFGAASNDSVRYPDDPFDRIWESDSVKRANYLVDVAPGTERISTTKSIFVGTNEEPPEKVMQTAVVGQDGSLNYRLDLEGFPANAWGVSYFAEIEDLAPNETRKFKLEVPGMPALSKPTVDVEENAQGKYRLYEPGYTNLTLPFVFSFGFRKTNDSSKGPILNALEIYKYVQITMGSQDAWYHDIHRKVGHKRVVIRAYQHHGPGCNVVQKLLQGTLSGKNITGSIPVELTKLSGLVELYVQNNRLSGQVPKALFKRSIILKRKKKSHEESAHRFSLSEIEDATDKFERRIGSGGFGIVYYGKLADGREIAVKLLTNDSYQGIREFLNEVTLLSRIHHRHLVTFLGYSQQDGKNILVYEFMHNGTLKEHLRGADNVKITSWLKRLEIAEDSAKGLWSAGFTIHYLYIEYGEISHHGSDSECEMEKSGIEYLHTGCSPTIIHRDLKSSNILLDKNMRAKVADFGLSKPAVDGSHVSSIVRGTVGYLDPEYYISQQLTEKSDIYSFGVILLELISGHEPISNDNFGLNCRNIVAWARSHIESGNIHAIVDESLDRGYDLQSVWKIAEVAIMCVKPKGAQRPPISEVLKEIQDAIAIERGPQEMQRSTIQQQLLVSNSNRSMGGASSSANNNSGSMAEQNGASFDELLMRPGLR >Sspon.05G0013810-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:49263876:49266718:-1 gene:Sspon.05G0013810-1A transcript:Sspon.05G0013810-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATWRLRRAGAALAASSALAAALAASSASASDPSAAALDTARQRVAQPGAAPPPRDAQRAALAGSTPAEPLDVLVVGGGATGCGVALDAATRGLRVGLVEREDFSSGTSSRSTKLIHGGVRYLEKAVFNLDYGQLKLVFHALKERKQVIENAPHLCHALPCMTPCFNWFEVVYYWFGLKFYDLVAGRRLLHLSRYYSVDESVELFPTLAKNGHDRSLRGTVVYYDGQMNDSRLNVGLACTAAVVGAAVLNYAEVVSLIKDESGERIIGARIR >Sspon.02G0023390-2P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:110587878:110590344:-1 gene:Sspon.02G0023390-2P transcript:Sspon.02G0023390-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNTWEKPTKPSPSAWPNLTCSPPIPSQVKINGPDLTSQTLDLTPQFSYKVDHSLPVSTLSTPPPKPPRRYPSPPPKMAAAARPLVSVRTLEGNMATDSAGVPLADVLHAPIRPDIVRFVHKLLSCNSRQPYAVSRRADHQTSAESWGTGRAVSRIPRVPGGGTHRAGQGAFGNMCRGGHMFAPTKIWRRWHRRVNIHLRRVAIASALSATAVPSLVLARGHRVESVPELSLVVSDSAESIEKTAQSIKMLKQLGAYADAEKAKDSVGIRPGKGKMRNRRYINSKGPLIVYGTEGSKIVKAFCNLPGVDVANVERLNLLDLAPGGHLGRFVIWTESAFKKLDEVYGTFDTPSAKKKGFVLPRPKMANADLSRLINSDEVQSVVKPINKEVKRREPRKNPLKNMDAVLKLNPYLGTARKMAALAEAARVKARKQKLDSKRTKLNPEEASKVKAAGKVWYKTMISDSDYMEFENFTKWLGVTQ >Sspon.03G0005380-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:15355879:15363007:-1 gene:Sspon.03G0005380-1A transcript:Sspon.03G0005380-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCHATYLEEDFFFYLPRKPNRGRGRRARPQQQPTRNPFPSLSHLPLSTPNPFTNSGLGEVLYTLRRKISVSSEGLAAKRRRPKFCYGHTSSRRAKTQLAPAPRVWLVSGTGPPSCPHPRRLAPPMRPPEVAVVAVAALLLVAAAAAAESAAPLPAALRLERALPHKGVALEELRRRDAARHRASRRRLLGAVAGVVDFPVEGSANPYMVGLYFTRVKLGNPAKEFFVQIDTGSDILWVTCSPCSGCPTSSGLNIQLESFSPDSSSTSSRITCSDDRCTAALQTGEAICQTSDSPSSPCGYTFTYGDGSGTSGYYVSDTMFFETVMGNEQTANSSASIVFGCSNSQSGDLTKADRAVDGIFGFGQHQLSVVSQLNSLGVSPKVFSHCLKGSDNGGGILVLGEIVEPGLVYTPLVPSQTSQASYS >Sspon.08G0013410-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8A:56204042:56204733:-1 gene:Sspon.08G0013410-1A transcript:Sspon.08G0013410-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RGLVHEYTLGCTRVKDGILRAMARLLELDDDDGITDQFGDTGSTTYARFNYYPACPRPELVLGIRPHSDVCVLTLLLADEHVGGLQFQRDGTWYCVPAVRDRALLVNVGVSLEIMSNGIFKGPVHRVVTNSEKERMSLAMFYGTDFEKEIEPIAELVDEKRPARYKKIKFRDFVAAHYEYFSKRERVIESLKI >Sspon.08G0004890-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:15031454:15034508:1 gene:Sspon.08G0004890-3C transcript:Sspon.08G0004890-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQHAVLIIFLFFLVCSCQSLVDRLTSVKPLYPGDKLISDDGGMFALGFFNLTTNSTPSLYLGIWYSNIPERTYVWVANRDSPITTPSAKLALTNTSDLVLSDSEGRTVWATDNNVAAAGSITGGSGVLRSTGSFELELQLPNGTGVVAVDQLQGSHRAARRRLERPSGPFRRRVLPQRRPQRLGLQIIIWQGTRRTWRSGVWNGAGASAITRFIYSKIVDDGEVIYAAYNAAGGPTTHWKLDYTGNVRLRVWNVESSSWTVLFEGPGNGGCLHYGACGPFGYCDATGREGGVQECRCLDGFEPEDGFFRDFSRGCRRKQALACAGASGGRSHYFLTLPGMKVPDKFLYVRNRSFEECAAECDRNCSCTAYAYANLSTIVTMSASSDMSRCLLWMGELLDTGKDGDLGENLYLRLATGSPGNNKKKIGMAMEIVLPTMTFLLMLTSCTRRNKEAHERSAHDFWDQNLELSSISFEDLASATNNFHEANMLGKGGFGKVYKGILKDGKEVAIKRLSNGSEQGKEQLRNEVVLIASLQHKNLVSLLGCCLHEDEKLLIYEYLPNKSLDKFLFDPAMKSMLDWPKRFNIIKGIARGILYLHQDSRMMIIHRDLKASNILLDAEMEPKISDFGIARIFGSSEQQASTRRAWNLWKDGMARDFVDTVVVESCSLDEALQCIHIGLLCVQDSPNDRPLMSLVVSMLNNEAMPRPMPKQPLFFAQRYYEALSTRGDSEHSANHVSLSTVEGR >Sspon.06G0022850-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6B:37554648:37556236:-1 gene:Sspon.06G0022850-1B transcript:Sspon.06G0022850-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RHLSPSYYLGRPARLALLVGFVTATFAAWDRLSLVRDYEAEISRLDEEVNQLHNQLRMAGISLDENPNIESIIKKHNLEVDPVNNVRREKVKEAMLHAWNSYVKYAWGQDELQDRKDEGSGDLLSQTPVHADDRDGETTAAAQ >Sspon.01G0005630-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:14812468:14815531:-1 gene:Sspon.01G0005630-1A transcript:Sspon.01G0005630-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGPGLFSDIGKKAKDLLTKDYTYDQKLTISTASASGVGLTSTAVKKGGLYSLDVSSVYKYKNTTVDVKVDTESNISTTLTVLDALPSTKLVTSVKLPDYNSGKVELQYFHENATFATVVGTKPTPVIDLSGTVGAQGVAFGAEAGYDTATGKFTKYTAAIGVTKPDYHAAFILADKGDTIKVSGVYHLDEKQKASAVAELTRRLSTNQNTLTVGGLYTVDPQTAVKARLNNTGTLAALLQHELKPKSILTISGEFDTKALDRPPKFGLALALKP >Sspon.07G0016750-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7A:59738521:59739365:-1 gene:Sspon.07G0016750-1A transcript:Sspon.07G0016750-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVVVAVAVVLLSVSASPPAAAQPWQYCGRSAQYSPNSTYQVNLEAVSAALPRNASSSPALFATAARGAGDDRDDRVFALTLCRGDADAAGCLDCVADAFRYARGSYPLDKEVTVLYDACFLYFSGQDFLATTANVGQISLYNTPQNNASADPLADALFTARVRALLNGTARWAAYGNARRFATARIWNGSVAAPVPTMYALAQCKPDLSPADCWDCLEDLVGKAPLAGGMIGARTAGVRCSYRYENYVFFRGVPMLNIGTPPPPSTQPTAGRRSGASLN >Sspon.05G0016860-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:69855303:69860415:1 gene:Sspon.05G0016860-1A transcript:Sspon.05G0016860-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLGWIHYFIFFRYIFRCSRQSNPAGIGLRLSRHIVEETSKGRIAVIDPMKKRAARSGQGVPLGGIGVVQEVLEEATKAFISRQDGRKYSTVLHPGKPDLPKTADGQPPVTFVIAAQEKEDILISECPYFVISGSSASDEFTAKDMWNYVKEHGSFDHLDPIKTSMCSRPGSSIGAAIAASVKLAPKATQDISFSLAWACPEVKFSSGKTYHRRYTKFYGTDGDAAAALAHDAIL >Sspon.06G0003130-3D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6D:7696011:7697184:-1 gene:Sspon.06G0003130-3D transcript:Sspon.06G0003130-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVKFGEGDNEVGLQRIRDIASQTLLALPPSVGQTEAEVNPKKVEMMGKVQAESRPSGFGVDLADKWPSYESRSSDAQGYAIRGIPNRGNTCYMSAVLQCLFVLGKLQQRMLALDCPKGPLAKVLKELFVEASAAGGVLNPNKVLKCVRKHCAPRFGVGAMEDSHDLLTALRELWNQGEENDNWLSDAPTVMDSIFRFEMAQTLSCKKCGHLSLPVSYPFLDLSMGYPSKGHPTKSAALPQTNESPRSRNREIVVQSFPADVQRNLEKMRTVSASGYSDVIGSDVIVEETHKPLEV >Sspon.02G0018760-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:60281308:60283985:-1 gene:Sspon.02G0018760-1A transcript:Sspon.02G0018760-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPTAEDAAAAAAAPDSWETADIDGPMSRLILSARRVSSSPDLADDQDPPQPPPPPTLQPQGPPSSAAREDLVAQVDQFLREALEKPRERLSVLRMEQEILKFIRDPRRTDYEFNGLPTSYLRLAAHRLAQHYFLQSIAIPDNSLPDGNGSRIILRKTSSECRLPAIRLADIPVNLPQEENISVAKVAIKQRPQKNLHGMNSSSAHSSGENLQKSVEERKEEYNKARARIFNNSNSSNATDGRSAEEVTLPSTLHRSTSLELNSSNRMGQGAEITLERSLTTTSASSRSNRSTIDKEPAVNRNRQNNRVAIFRDRESERKDPDYDRSYDRYMQRFDPGFGFSGGPYTIQPLYAPAVNYNTEFPQLGSAHRSPVAVEQQPRPIAQHMPASWSAAQASNAIGYGPDGVMGPYSSGHTGAPVRSSVFMHASQQYAIPSRPGVPFVHPQESMGPFAQTHQQQPDASLRFARPR >Sspon.03G0012290-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3A:33971813:33972226:1 gene:Sspon.03G0012290-1A transcript:Sspon.03G0012290-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGVDKTCSCCPFGLYLLGAGWVRFAVASSLSGAGDGWDWNPPVRPAGAGLSAAASGGQEDSRWVSRRRPEWRLSRELSVGALSAGKRASFDIGDQVFPDTSHPNRRHPPPTPVKWNGMGRKIMTTLDLVKWARTSS >Sspon.01G0061460-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:93828727:93830554:1 gene:Sspon.01G0061460-1D transcript:Sspon.01G0061460-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEYFNQSLLLSIVAVALLQLLKVALKPKPRLPPGPWKLPVIGSMHHLVNVLPHRALLDMARVHGPLMMLRLGETPLVVASSKETARAVLKTHDTNFATRPKLLAGEIVGYDWADILFSPSGDYWRKLRQLCATEILSPKRVLSFRHIREDEVELRIEEIRVAGPSTPVNLSVMFHSLTNSVVSRAAFGKKRKNSAEFLAAIKAGVGLSSGFNIPDLFPTWTTVLAKVTGMTRSLQNIHRTVDAILEEIIAERKAIRDDKIKSGAENAEENLVDVLVALQEKGGFGFHLSNSRIKAIILDMFAGGTGTSASALEWGMSELMRNPAVMKKLQGQIREAFQGKAVVTEADLQASNLRYLKLVIKEALRLHPPAPLLVPRESIEECELDGYTIPARSRVIINAFAIGRDPRYWDDAEEFKPERFEDGAVDFTGGSYEFLPFGSGRRMCPGFNYGLASMELALVGLLYHFDWSLPEGVEDVDMEEAPGLGVRRRSPLMLCATPFVPACM >Sspon.08G0009300-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:35842161:35852188:-1 gene:Sspon.08G0009300-2B transcript:Sspon.08G0009300-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMGLLPLLAACCSLLLTVAAPARDIASVCASQISDFPHQNSSGLHLTLHHPQSPCSPAPLPSDLPFSTVLTHDDARVAHLASRLAASSTLPRRPTSLRKKAAGGKLDDSLASVPLTPGTSVGVGNYATELGLGTPSTSYAMVVDTGSSLTWLQCSPCVVSCHRQVGPLYDPRASSTYASVPCSASQCDELQAATLNPSACSVRNVCIYQASYGDSSFSVGYLSKDTVSFGSSSYPNFYYGCGQDNEGLFGRSAGLIGLARNKLSLLYQLAPSLGYSFSYCLPTSASTGYLSIGPSNSGQYSYTPMASSSLDASLYFVTLSRMSVGGSPLAVSTSEYSSLPTIIDSGTVITRLPTAVYTALSKAVAAAMAGVQSAPAFSILDTCFEGQASQLRVPAVAMTFAGGASLKLATRNVLIDVDDSTTCLAFAPSDSTAIIGNTQQQTFSVIYDVAQSRIGFAAGGCS >Sspon.05G0004660-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:13896523:13897895:1 gene:Sspon.05G0004660-1A transcript:Sspon.05G0004660-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKESQGSRMMSENIEIDDIDISSICAQPIGSEPSASKMKASRAANYSPQEDIQLCESWGNISTDPITENEQPGKSYWKRIHDNYHANKKFESTRNANSLEHRWGIIYKEVHKFQGYFEEVERRHPSGVSFHEHVRNCAKFTAVPSSKRPRTSNSNPIDVLDQEHNDSGKSQTPDSSLCSAKRPMGRKQAKGKVKKGGEEYKYAGMLERFLIEKEKIREARWQEIKMQERKSSIEERKMVFTDDDDFFEQWWEEEESDDDDDDLVVAMLILADAEERERKKARRGSMPSCQI >Sspon.08G0008380-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8A:31206871:31210101:-1 gene:Sspon.08G0008380-1A transcript:Sspon.08G0008380-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MCVEAKAQQLRQDYEPLAFRNGEVVEDFTLRLQSLVSQLAALGVTIDEEVIAKYLQVVPAKYVQITLSIETVIDLSTLTIEDVTGPLKAVDNQVKATTATTASGKLLLTKEDCKNPKQQAHLTQAEDDEPALMMAQVCTLNDTFEEPEPRAKQRVSLDESCGQVHLGSEGGDAEQRWYLDSRASNHMTGDKEVFAELDGSVVGSVKFGDGSSVDIRGRDTIIFKCQNGEHLALTDVYNISKLHSSIVSIKQLDESWCQVLIDSGVLRIRDHEHFLLAQVKHSKNQIYILDHQPVSRCFGGEAERGAMAVACPFRAPQLRCATEPCAPEDGDGLPVIKHTGELCDCLAGKQRRLSFLEMAKYRAGDFLQLVHTTTCDATPGEQGQSGNGDQDFQARVQARSAKKLRVLRQIEMGSSLRWNSASTTPNAMWLCVEPESMRAAHQHGVAGVDAGDCVERYQWFRSVVVIGGGVMVIIRCRQVQQEQTLAHLVVTMPELFVAIEAKAEPAAFFHLGHRQAPDRVALHRDSGGCSNRGRWRSRLRDSSSAMFAQLQLAGETHGDDERLGVVDLDVEAEWRLEPGREGLDALGLCQRADAGQQGLKPILILGDGADPLARHEFAQRTGTDWWPEAEVQKLGEAALGWGALILLHLDVPHLCASLQVVGRHPDLFFGCNPLLVESSFWNLGGRSPWLSPWSEPRDPEEPAGGCACCSVATCPWRLLMEAIRDWMSLAKSAVVGSAMGDDMEAAEDIDEAGVEGVVAAGFGGGAEVEEECLEADTRLSRVSVLKVAGPRLRSS >Sspon.07G0016150-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:62267721:62268914:-1 gene:Sspon.07G0016150-2B transcript:Sspon.07G0016150-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MELAMATGSSSSLVTGFRCRTGAAAAAFRFSSAGSTTAAAGDRCGWRTPPPVTASGRGARRLTVSAAACKTCKGKGAVECPGCKGTGKNKKNGNIFERWKCFDCQGFGLKSCPTCGKGGLTPEQRGER >Sspon.06G0000990-3C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6C:1477051:1481565:-1 gene:Sspon.06G0000990-3C transcript:Sspon.06G0000990-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GIPPALACFRCGGLQQRQRQNPRARSRHGRCQRGRGAQFSATSRAGANQDAPFPRSPPQQHHRGSPPDGARHGRPRHAPDDVAVGYPRQHPARRRDRGRRRVAGAGRAPARVAPVHLPARLPGPPHAAELPVPARRGLLGPAPPLRDPRRPAHPRRRRPPGRQAVLLARRRPALLRPRRRHGLRAPPPGPGPERARRAPGAPRPPRLPRRRRPLRGRRAPAAHRQRHGHPPLLLLRHRRVGREERALPAPAAPARAAPHARPPRQALVGRLLLGRHHRRPLRRPPRAQLRPAAPPLRAPEQGGLGVLDQFRYVGVSAGNLRFVDTYRRGGAPN >Sspon.07G0028020-2D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8D:3827284:3827446:-1 gene:Sspon.07G0028020-2D transcript:Sspon.07G0028020-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGHRPDDVFGISTCGKAWRRWQNGGAQPMSKVDARGMGANHVGMEAAGELE >Sspon.02G0027640-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2A:100261068:100261242:1 gene:Sspon.02G0027640-1A transcript:Sspon.02G0027640-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GGGCGVGLGLGWGFGNAFGCQYRSSRVQFQGIEFQKKVEGDEARKVVSQELAEKSRP >Sspon.04G0012770-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4B:43094265:43095217:1 gene:Sspon.04G0012770-2B transcript:Sspon.04G0012770-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPARVLGFVSVAVACMLAMAAAGEAATSGTPSTPTGWLKAHATFYGGADASDTMGGACGYGNLYSQGYGTRTAALSTALFNDGASCGQCYKIACDRNRAEPRFCKPGVTVTVTATNFCPPNSALPDGGWCNQQRPHFDMAQPAFEKIGVYSGGIIPVMYKRVPCVKRGGVRFTINGHDYFNLVLVTNVAGAGSIKSMDVKTSNSNSWIPMARNWGANWHSLAYLTGQMLSFRVTDTDGQTIEFTN >Sspon.06G0011880-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:51782236:51786745:1 gene:Sspon.06G0011880-2C transcript:Sspon.06G0011880-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RALSPSPAPADRRLAAATPSASRRHYLRLRAIGRTPFPPPATRWRGPAGGADGGDPPARGLLPSLGEIQKNFCKEKGGLQKEVGMTSETRKQRSKWDTKEGSHEVVEISEDESPDKTVVHRKGGDLHTKPDTYMHQGGAGHEKEQADGFNKDMKDIQPKASSERSQPLRMADERDNNEWSKAAGNQGTDRYADDRRRGDGWGTAPSRGHLLIQMHGGSAAEVHLQEGFGTDHAGIGVVPEAEAEAEVGAEVGV >Sspon.05G0019030-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:81240384:81241033:1 gene:Sspon.05G0019030-1A transcript:Sspon.05G0019030-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGCGNHCGKDCGNHCEEDFWNSCRRLLLILLTLVLLAGVVALIVYLVLRPTHPRFYLQDASLRQLDLTNGSAPLLSTTVQITLGSRLQPAPLYQGHGDVDVWSPVLVGPNVPFAPFLADALSKDIAAGYLVLQVRIDGRGRWKVGSWVSGHYHIFVTCPAYFINAGAGNGGYGGSVGAHGLRFQTATYCRVQV >Sspon.05G0017820-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:71520099:71522443:1 gene:Sspon.05G0017820-3C transcript:Sspon.05G0017820-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKFDKVVAKTSRSPLQSQPLREHNRSGPNIGNDSMDNFTVNRYKEYKQSTKLVSLGLIVLMSMGLANAVRVARYSSADGTGTGQGGGGGYVNGGGSGSGSGTGSGDSGPYGTHASAGGGGGGGGTSQYGGSGYGSGSGSGSGSSTYSQGGYSGYGESSNAGGDGGGGGGGQAGGAWNSNAQGSGSGTGSGSSYANRYWYGSSEAGASANGNGGGTGNSQNGGGGGGSGAGTGYGNAYP >Sspon.02G0016430-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:45343223:45343894:1 gene:Sspon.02G0016430-1A transcript:Sspon.02G0016430-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEKKGLQLLDFWVSPFAQRCRIALAEKGLAYESLPQDLANKGELLQRANPVHKKIPVLLHDGRPPVCESLIILHYLDEAFPGTPPLLPGDPVARAHARFWADYAEKKVFDCGTRLWKPKGPPQAQARTEMVEALRTLDAELGDKAYLAGEAFGFVDIAVVPFATWFLGYGRLAEFSVEEVCPRLLAWAKRCGERDSVAKNLYPPEKVYEFIGYLKDTYGDK >Sspon.01G0022760-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:82740695:82741574:1 gene:Sspon.01G0022760-1A transcript:Sspon.01G0022760-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASALHQTTSFLGQALVSRPATGADAGGRITMRRTVKSAPQSIWYGPDRPKYLGPFSEQTPSYLTGEFPGDYGWDTAGLSADPETFARNRELEVIHSRWAMLGALGCVFPEILAKNGVKFGEAVWFKAGAQIFSEGGLDYLGNPNLVHAQSILAIWAVQVVLMGFVEGYRVGGGPLGEGLDKVYPGGAFDPLGLADDPDTAAELKVKELKNGRLAMFSMFGFFVQAIVTGKGPIENLFDHVADPVANNAWAYATNFVPGN >Sspon.03G0029780-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:24956779:24962310:1 gene:Sspon.03G0029780-2C transcript:Sspon.03G0029780-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLAGRRKSLLAGAAAGAGADAFGAKHAVTSLRKGGRLPVYVASVFFVLCVIVMYGEDIRSLTIEPLTRVPALTVPATTGGGGGGGGGVGGGRQVVVPRRDISASEKPAAALHHSDQEKPKHAVTTTATTTTEPAPVVVEKPPQKEVGTTKSQKKSSKKKAKKSRRQRAAKKTVVPPALGVPETCDLSKGRWVFDNTSYPLYREEECQFLTSQVTCMKNGRRDDTYQKWRWQPKDCSMPRFDAKLFMERLRGKRFMFVGDSLNRNQWESMVCLVQSAVSPGKKYVSWEGQRVVFHAWEFNATVEFYWAPFLVESNSDDPKIHSIQHRIIKADTIGAHAENWRGVDYLVFNTYIWWMNTLDMKVMRPGAKSWEEHDEVVRIEAYRKVLTTWANWVNDNIDPARTSVFFMSMSPLHISPQVWGNPDGIRCAKETMPLLEWHGPLWLGMDWDMFHQAKNVSRRASPRVPITFVDITTMSERRKDGHTSVHTIRQGKVLGPEEQADPGTYADCIHWCLPGVPDIWNLVLYTRILSRPALQFDS >Sspon.08G0002580-2T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:4147450:4148232:1 gene:Sspon.08G0002580-2T transcript:Sspon.08G0002580-2T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSAGAMNTLALAAAVLLLMLHGGGVASAAAGNFYQDVDITWGDGRGKILNNGQLLTLSMDRSSGSGFQSKTQYLYGRFDMQLKLVPGNSAGTVTTFYLSSQGSAHDEIDFEFLGNVSGEPYTVHTNVYSQGKGGREQQFRMWFDPTADFHAYSVLWNPAHIVFYVDGVPIREFRSRNDGAVPFPTSQPMRVYASVWDAEEWATQGGRVRIRRRRLHRAGRRRLRQVQRRVDVAGARQRRAGAAPPGAGQLHDLQLLHR >Sspon.05G0019110-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:81722733:81729138:1 gene:Sspon.05G0019110-1A transcript:Sspon.05G0019110-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding EMEAAVSSSMGAMGPVLRKLDLLLLAPESRLRKRVKDGIGLLKEDLEEVSSALVDLSMLETPSARAKCWMEEARELSYHVEDFVDELMLMRTDAGAKIRAVSRHRVGRVKIALLPAPPRRSTRIAKIAQLRALVWQATERLERYQLEACCSSSSPMHMTMINQHHRAPALYGDAANLVGIEDSRIKLIEMLTGEAEQQLKVVSIVGPAGVSKTTLAKEIFGELRGLFDLRVFVHASRKLDMRRLFGSILSQVQPHRQVPSVAGTVQSLIDSIQEQLRHNRGMIQPMKIDYNDEVLSCTVHHIIFDLINHNSKEEEFVAAIDYSQQITGLSTKAHRLSFRFSSAKYAKQPARITMSQLRSLGFFGFVKCMPPIVEFKHLRVLVLDFWGSHDGHMSLNLSRICILFQLRYLKISGDIMVELPAQMQGLHYLETLEIDARLSAVPLDIVHLPSLLHLGLRAATKLPDGIGHIKSLSTLLYFDLRCNSEDNIRSLGQLTNLRHLHLTCSAVLSSDHLKRKLIPLAFSLGKLGNLKSLTLTPDALRTTILFDISSGISSRSTFLQRLELLPPICFFSRLPARFGELHKLRILKVVVKELQGNDINNIAGLPSLVVFSLYVRTALTGTIIFSTMAFPALKYFKFTCGVTSLAFQAGAMPSLRRLKLCFNAHRGENHGRMLDGVEHLVNLQEVSGRIGALPGGDESDRRAVKLSLEDTIRKHPRCLRFNLQWVDFIEEEYPPLDKLRHRQEKDCAEDTNKQVDSRYVDHSSETDFQAGSRSTSNMPPIRYNKWWDRRKAAKPIEHEYERMRLVPAMPAPAPARASWSSSLAAEQQRSSGLWSSCSLNLTPGEDVSISESARWEVDMLCRSLGLSGPEDFAIPLAAWQAYKSRSNSDLPCPRLVTSPAAAELSDAVQSFAPGEERSELGGAHESGDIDENNEVEHGVVAVQGGLKELRIGERETFEVDMKPNRKIKSWTRGVLLGSGSFGIVYEGISSEGLFFAVKEVSLLDQGSKAQQSILALEQEIALLSQLQHENIVQYYGTDQEESKFYIFIELVTQGSLSSLYQKYELRESHVSAYTRQILNGLVYLHERNVVHRNIRCANILVHANGSVKLADFGLAKQMSNIGLLRSCKRSVYWMAPQVVNPKKMHGPPADIWSLGCTVLEMLT >Sspon.01G0030110-2P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1A:104743269:104743761:-1 gene:Sspon.01G0030110-2P transcript:Sspon.01G0030110-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRKVLLITAATMAVVVVGALLPATASAANYMVGDDSGWDLEVDYDAWARGKKFKVGDTLEFLYSTEEATHNVVMVDAGSYKACTVPSNAPTLTSGDDRVTLGSAGQFFFICGIEGHCQSGMMLAVN >Sspon.06G0013310-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:53366046:53370592:1 gene:Sspon.06G0013310-4D transcript:Sspon.06G0013310-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRTLVQPVGQKRLTNVAVVRLRKHGQRFEIACFPNKVLSWRSRVEKDIDEVLQSHTVYSNVSKGVLAKSKDLTKAFGTDDLTQICIEILEKGELQVSGKEREAQLSSQFRDIATIVMEKTINPETRRPYTITMIERLMHEIHFAVDPNLTSKEQALKVIKKLTEHFPIKRAPLRVRFTAPKPNFPGLMEKIGEWNATVISKDELSTQPSIVCEIEPSLLHSCEERLKDVQGRVEVLSVSAHAESGPSVEQHDSVEVPQAAPVKEHDAVAQISETMQKQSISTESQGSAQGKQQRRCKECDVLVEDKLYREHCKSGWHKHNYTRHKNGLPSLSQEECMVEMELADSKKDLKDYDF >Sspon.04G0002210-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:6848322:6851964:-1 gene:Sspon.04G0002210-1A transcript:Sspon.04G0002210-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHHHKPGLRVRLRITAARRRAWLSAGLRSTCRKPPRRDPSDSVHKVARREIGGGHRRPPRPAAPSSSAFSCPEKFRNFQLQEEYDTYDDEVHFLVQLPFLWTRTKIIEIVAAKDVIFALAQSGLCAAFNRSKSHALQNFSNDSPLFMFLINLLIVLTATNKRICYLNIIPDEVIRSLFYNKNNESLITVSVYESDRFSSLKCRTTPIEYIRRGQLNDGFPLFETESLKYPGFVEFDDVNGKVLTFSAQDSTYKVFDLKNYNFLYSICDKNIQEIKISPGIMLVIYQKTTCHVPLTILSIEDGTPLKTFNQLLHRNRKVDFIEQFNEKLLVKQDKENLQIIDVRNSDLIEVNKTEFMTPSAFIFLYENNLFLTFCNRTVAAWNFRGELVTSFEDHELWHPNCNTNNIYITADQDLIISYCKVSKQSTDCADSEAAEVLSMGSINMSNIFTGKCVAKISPSDPTLTIAPRKRGDNSRSTIRSTVPEALEDITALFYDEDRNEIYTGNSKGLVHVWSN >Sspon.07G0004950-1T-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7A:12578818:12579159:-1 gene:Sspon.07G0004950-1T transcript:Sspon.07G0004950-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RHRGHRQTNCRNRSSQARYHSSWCLSSRCRNENHRLLIQRRNGNRRPLTRCCRNENRRRLMIHCRNENQNRRLIRCWNENQNPSGAAAAARGQAHDLLGADVLVDLLVGGGVI >Sspon.01G0005140-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:11446436:11450296:1 gene:Sspon.01G0005140-2B transcript:Sspon.01G0005140-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRPCHKRRRRPALIKGRGLAISGSSAEVAANALPVRRIPSAIPSPSSSGSDNDHSASRRRHDAQTTTSTFSSTSLSDESVQPPSPHAFDLSKTIIPSQYTSQTSKRNSGTIQQMEMEIKVIPEKARGGGDAKPEAPAIEGVSRGESGPRFADLGGMEAVIEQLMMELVVPLCHPELPRRLGVRPVAGLLLHGPPGCGKTTLAHAIANETGVPFYKISAPEVVSGVSGIFSNSLHHKYGKNSDIRVDKKVLTADLVQCWKIIKNDGNGASEENIRGLFQKAYRTAPSIVFIDEIDAIASKRENLQREMERRIVTQLMTCMDEFHQNIGGGDSDAESSEKKPGYVIVIGATNRPDAVDQALRRPGRFDREISLGIPDEHARKQILKMLTQNLRLEGQFDLFKIARATTGFVGADLKALIDKAGNLAMKRIIDERRTQYSHEHDGNNKPDWWRQPWDADEVESLHITMGDFEEAAKMVQPSLRREGFSSIPDVTWDDVGGLDSLRREFDCCIVRCIRNPEDYEAFGVNLQAGFLLFGPPGCGKTLIAKAVAHEAGANFIHIKGPELLNKYVGESESEVRKVDALTTKRGNEGGWVVERVVTQLLTELEDQRQGVYVIGATNRIDVIDDAVLRPGRFGKKHYVPLPGADERVSILKACARRKPISSGVDLDALARREECSNLTGADLASLHSFV >Sspon.08G0022970-1P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8D:53720599:53724886:-1 gene:Sspon.08G0022970-1P transcript:Sspon.08G0022970-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding YSQRIFCVALLLGFLFPSSPPTPIIPLPTDLLCFSVISVLSSHLVFLLPTSGAPAVRCASCLEERRRQSFPDRGAMCVEEPEDAERFGFGDAGVEEPAKFPLAQMERVCENTTSADFRQKLSNFVPVIRSGDWSDIGGRQYMEDTHVCIPDLAKNFGFPSLDNEVVSFYGVFDGHGGKDAAHFVRDNLPRVIVEDSDFPLQLEKVVRRSFMQIDCQFAETCSLHRATSSGTTALTAMIFGRSLLVANAGDCRAVLSRCGTAIEMSMDHRPCSLSEKLRVESLGGYVDDGYLNGLLGVTRALGDWHLEGMKEVGEPGGPLSADPELKMITLTKDDEFLIIGSDGIWDVFSNQNSVDFARKRLQEHNDVKLCCKEIVEEAIRRGATDNLTAVLVSFHLEAPAQIKVDRPGRVARSISAEGLNSLRILL >Sspon.04G0000140-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:747081:756189:-1 gene:Sspon.04G0000140-2C transcript:Sspon.04G0000140-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLGKLWKVYRTGIENWIVGLLQPVIDNLQKPDYVNRVEIRQFYLGEEPLSVRNVERRTSRRANDLQYQIGIRYAGGARMALALSLKFTKVPVIVPVWVRDFDIDGELWVKLRLIPTEPFLTKLLTEDLPRLFVRPKKIVLDFQQGRAMGPVSGSVASDIIQNVASDLIQEGNKDFVGELSVTLVDARKLSFVLFGKTDPYVAMILGDQVIKSKKNSQTTVIGLPGEPIWNQDFHMLVANPRKQKLTIQVKDSIGLTDITIGTGEVELGSLKDTVPTDKIVTLNGGWGLFGKREAGEVLLRLTYKAYVEDEEDEAMRSEFGAGYASDEDVLDYVGGMSKDSDFVGKERETFMDLLAALLVSEEFQGIVSSEAGSSSREGEQAGSGPESTDRVVTSTSTSAAAADTEASTVSNSSTDTALVWLAAITSVMVLVSSNLGGSGYFNP >Sspon.04G0010070-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:25065752:25066766:1 gene:Sspon.04G0010070-2B transcript:Sspon.04G0010070-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSKPSLSFPFPSPPPTRPTRSCASSARCSGSTRAAASSGPGGPPVEPATTPPRGPVQGRPPRLLLGPALPAPVATARRDKAKLPVVVYVHGGGFVAESAASPGYHLFLNRLAAACPALVVSVDYRLAPEHPLPAGYDDCLAALKWVLSAADPWVAATGTSPASSSPGTAPAATSATTSPSTRTSSASRSSRRPAIRRRRSRAPCSSTPGRGRGARGPRARAMGAGLWFFACPETSGMDDPRMNPMAPAAPGLHTLACERVMVCAAEGDFLRWRGRAYAEAVAAARGAGLGDAGVELLETMGEGHVFYLFKPDCDKAKEMMDKMVAFINAP >Sspon.01G0005870-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:14352186:14355711:1 gene:Sspon.01G0005870-2B transcript:Sspon.01G0005870-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFASRPVVHPLEVAAPADPVQPAPGVLMKDLPGMPGTPGGLGLRVLQLLFAAISLAAMSSTVDFASVSAFSYLIATTILQCVWSLTVAIVDIYALLVKRCLRNRRAVALFAIGDGITWAVSFSGACMAAGVTVLIDTDLDMCYENHCANFQTAVAMEFMCCFSLLPSLLLNLYSIASSRG >Sspon.01G0021210-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:76382721:76386375:1 gene:Sspon.01G0021210-3C transcript:Sspon.01G0021210-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GMAGAMAKDYPASPKAQQLQESKKQRLTYILVVSALCIAFYVLGAWQNTTLPKPIGNSAITRVGCDPTVATAQSSGSVPSFGPGSGEMLDFDAHHRLTINNTDGDGELQQFPACPLNFSEYTPCEDRTRGRRFDRAMLVYRERHCPGKDEQVRCLIPAPPGYRTPFKWPRSRDYAYFNNIPHKELSIEKAVQNWIQVEGDKFKFPGGGTMFPRGADAYIDDIDKLISLSDGKIRTAVDTGCKVASWGAYLLKRNIIAMSFAPRDTHEAQVQFALERGVPAIIGVMGKHRLPYPSRAFDMAHCSRCLIPWHALDGLYLAEVDRILRPGGYWILSGPPINWKTHHAGWERTKEDLKQEQDKIEDVARSLCWNKVVEKRDLSIWQKPKNHLECSNIKKTYKTPHICKSDNPDAARYRQMEACVTPLPEVSNQGEVAGGAVEKWPERAFLVPPRIKRGMIPGLDAKKFDEDKKLWEKRVAYYKRTIPIAENRYRNVMDMNANMGGFAASLVKYPVWVMNVVPVNSDRDTLGAIYERGFIGTYQDWCEAFSTYPRTYDLLHADNLFSIYQDRCDITDILLEMDRILRPEGTAIIRDTVDVLTKVQAITKRMRWESRIMDHEDGPSTQRRSSWQLRRTGLPKQRRRISTQATQLTESLNQAYSTSRRGWHQGFAISA >Sspon.01G0024380-3C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1C:85114269:85117635:1 gene:Sspon.01G0024380-3C transcript:Sspon.01G0024380-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVARMMRWPRPPAARKFRARLVVRRAEGLPPPPPSPVPATAAEPASPEREAAAGAAPPRAVAVAAEVRWKGPRASALGSLRRAAVRRNRTREDAGAAWEEEFESVVTLAAASQREGAAFHPWELAFCVFSVSVSSSLDSLLLSAPVVHTPHNNAANYTDINKGPKNKPSILGTASLNLADYALTAGETIEIILPLSVPGGAPEPAPSLHLTLSMVELRAFQEASDASQRPAATLPLSPSSGDSLPGGKDEVSVIKAGLRKVKILTDLVSTRRPKKTCQDEEGGEDKFCVNSDGAEYPCDTESLDDDLDDIVQEDEFGDSTIRKSFSYGSLQSVNYVGGLVYAHAKIDGEHEDWIYYSNRKSDAGFHVEKVLPSTTETGLLTAKRSILPWRKRKLSLRSLKAKGEPLLKKAYGEEGGDDIDYDRRLLTSSDGSVSEGSRGEDGSANGMVSEFGDDNFVIGNWELKEIISRDGHMKLSSQVFFASIDQRSERAAGESACTALVAVIADWFQANQNMMPIQSQFDSLIREGSLEWRNLCENETYRERFPDKHFDLETVLHAKIRPLTVSPSKSFIGFFQPEGDDDMSGFDFLNGAMSFDNIWDEIARAAEFSSSDSPNLYIVSWNDHFFLLKAEHDAYYIIDSLGERLHEGCSQAYILKFDNNTTIHKVPGEKKPSSPDSSGPLKDSSGSESSSTDQDSGNDTEEDVLVSKGKESCKEYIKSFLAAIPIRELQVDIKKGLMASTPLHHRLQIEFQYTQSSQKRLHQPLSFLPWLHL >Sspon.08G0003890-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8A:11088555:11092363:1 gene:Sspon.08G0003890-1A transcript:Sspon.08G0003890-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ASSRDPPPLRGPRLPRRLWLLLHRVRLLHLGRPLHRHSLSWVPLPLGRAEVGGGVVAVVVAAEGSRGAAGGLTQAPAPGGPQGGTMAFQHPWSGRISMWPYHAPEPTRPPVAMLTGAPPAGPPPGAFPATPWTPPSGTLPGVAGWDQAALARSFSTMGLTPSVGPEWIA >Sspon.01G0007250-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:19739051:19740538:-1 gene:Sspon.01G0007250-1A transcript:Sspon.01G0007250-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nitric oxide synthase-interacting protein homolog [Source:Projected from Arabidopsis thaliana (AT1G61620) UniProtKB/TrEMBL;Acc:A0A178WN49] MPQRHSKNNNDLAFFTYEEKRKLGYGTQRERLGKDSIKPFDACCLCLKPLIDPLCCPKGHTFCKECILECLLAQKKDIKRKLAAHEAQKKQEKEEEEEKLILQKAKELDAFDQQNHGAVPQYHDRSGSQDKNGFHGANSVKVTSFEEEALRNMKAFWLPSATPEATVKVDAPSTDTVCPEGQEKLKLKLLFPISFTEENADQKSKKAVEKSYMCPSCKSTLTNTMSLVAVSTCGHVFCKKCSDKFLVKDKACLECSKPFKERNLVPLEKGGTGFAAHDERLEARDFKHLGSGSGLGLVKPAPKAY >Sspon.03G0026960-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:4857316:4861548:-1 gene:Sspon.03G0026960-3D transcript:Sspon.03G0026960-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLPSLVHCFKLLVLLALARLTMHVPDEDVLSSLGALRLDGHFSFHDVSAMARDFGNQCSFLPAAVLHPGSVSDIATTVRHVFSLGEGSPLTVAARGHGHSLMGQSQAAQGIVVRMESLQGARLQVHGGVSPFVDAPGGELWINVLRETLKHGLAPRSWTDYLHLTVGGTLSNAGVSGQAFRHGPQVSNVNQLEIVTGRGDVVTCSPEDNSDLFYGALGGLGQFGIITRARIALEPAPKMVRWIRVLYSDFESFTEDQEMLIMAENSFDYIEGFVIINRTGILNNWRVSFKPQDPVQASHFQSDGRVLYCLELTKNFNSDDADIMEQEVTVLLSRLRFIQSTLFHTDTMRISISMEFVCFSTFERQYVHHLMLPYFRKRTKGNADD >Sspon.02G0015080-3C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2C:45732636:45732848:1 gene:Sspon.02G0015080-3C transcript:Sspon.02G0015080-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding HTFCKVCGITSFYTPRSNPDGVAVTVACVDPPGTLEHVEYRKADGKNWEEWFKRSDIAGFSEGKAEAAAE >Sspon.03G0047000-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:50876610:50887070:-1 gene:Sspon.03G0047000-1D transcript:Sspon.03G0047000-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEPLLTSLSMENSNSHPCTLLSMDPAGSHPASAESSGGGSAANNGVGVGSGGDRELFIIPRRESAHPGPPDINLPLSADPSPPPPTWSLDTFDILDVTLGTHNYESEVALTLPKLTGNGNGNGSATIGVGARKCAKRGDSIWGAWFFFNHYFKPALVEKPKGKVTRDPSGSISGFDKSDLRLDVFLVQHDMENMYMWVFKERPDNALGKMQLRSFMNGHSKHGEPSFPFSADKGFSRSHRMQRKHYRGLSNPQCLHGIEIVGSPNLSAVPEADLKRWAELTGRELNFSIPSEASDFESWRNLPSTDFELDRPHPPAAKSATHGSHSHKKGLNGSGLNLSTPPSSDDGMDLSPKCAKRRKDFFGHGVEEDCVMANNSCSDREQEVEAHTGEPSWMHEFTGVAKHASGPVTAAKTIYEDEEGYLIMVSMLFSDPRSVKVSWRNTLTHGIVKITCVSTARMPYIKRHDRTFKLTDPFPEHCPPGEFVREIPLATRIPEDAKIEAYYDETGTGLEIMVPKHRVGPEEHEVQVVPWIIPAAMAKALLSSLLPALPRATGGSRSRPLLLPPLRLRRGRRAASACAVRAGLHGLDSVGGPHLQAALERAEAALYTLADAAVAAADAAAGAGAGGDAGEAAAAAQKNGGWFGFISEALEVVLKVLKDGLSAVHVPYAYGFAIILLTIIVKAVTLPLTKQQVESTLAMQNLQPQLKAIQQRYAGNQERIQLETARLYRQAGVNPLAGCFPTLATIPVWIGLYQALSNVANEGLFTEGFFWIPSLGGPTTIAARQSGSGISWLFPFVDGHPPLGWHDTICYLVLPVLLVASQYVSMEIMKPPQSDDPSQKNTLLILKFLPFMIGWFSLSVPSGLSIYWLTNNVLSTAQQVWLRKMGGAKPVVSEGGSGIITAGRAKRSNAQPAGERFRQLKEEENGRKLNKALAAGDSNASSSTYDMEDEELDDETTEEGGPVEEASSTSSDKKLPSYSGKKGKRSKRKRMVQ >Sspon.07G0001170-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:1666607:1667389:-1 gene:Sspon.07G0001170-2B transcript:Sspon.07G0001170-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAANPPTKPTKASAAPFVVFDAASANKAANPKAAGGKAQDGDADDAPTPSSHGRRRCRWLRWACCGAAVAGALTAAAVLALSLTVLRVRDPTLSMESVAVKRFSVRFDAAAHPARPLRINLTLSGDILIRNPNYESMRFGASATEIFVDVDGAAEPTPVGVGRAPPGEVPARGASRVAADVDVLVDRVAPAVVAEVLFGGGDVRLDTRTAVDGRVSLLGGLYGRRTVRVAMRCRVALRVSAAAVGVAGSPACVADFGR >Sspon.01G0026800-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:93589637:93593449:1 gene:Sspon.01G0026800-2C transcript:Sspon.01G0026800-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At4g22760 [Source:Projected from Arabidopsis thaliana (AT4G22760) UniProtKB/Swiss-Prot;Acc:P0C8Q5] MRAPPAAGGFNSPWTIAIRAAADQGRPRRAIALYLASLRSSRRPCPFALAAVLKSVPRLPEHAALPAAASLHAHLLRLGLLSHPYPHAALSHLYSRLLPPHHDHARGLLDDAPAPLHRHSRLVSSNSLLASLLRAGDMTGARAMFEEMPTRDVVSWNSMVAGLAKAGHLDEAIELFDRMPETNAASWNALVSGFMAQGHVALAQELFERMPIRNNVSWITMISGYAKAGDVQAAANLFDRMDNKDLYAWNAMISCYAQNGCAREALGIFNRMLKPHIWVVPNEKTFSSVISACSQLGDLRFGLWVESFMGHVGVDLDDHLRTALVDLYTKSGQMDRAFELFRGLRSRDVVSYSAMIVGCGMHGKLNEAVGLFKEMSKARIDPNAVTFVGLLSAYSHAGLLEEARACFTSMSSKYRINPLMEHYTIMVDILGRCGKLEEAFQLIMQIPVCPHASVWGALLLACRLHNNIELGEVVASKCFELEPEESGYYILLGNIYAQAKKWDKVKGLRKMMAERGLSKTPGSSWKLGESLMTHLSGNPSTASMDNDVLYRSRNFYEGRGGKKMGSHVKMELL >Sspon.07G0013620-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7A:49012182:49018136:-1 gene:Sspon.07G0013620-1A transcript:Sspon.07G0013620-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDRGTGGGGDGGRIQYPPLTSTNYTSWSIRVQAIIEDQGVWEVVEPTGESSDQGAVAVAVTTKKTGKEVWESLKARFVGEERVKEARLQTLKSEFDALRMKEDESIDGYAGNLMSMSVRYANLGGSLDDAALVKKILDTVPERFINVVAGIEQFFDLKKIAFDEAVGRLKAFEERTKRSSGSARTSGGQVLLTQAEWEARHKKMSAESSGSGRGHGGGRGRGRGRGGGSGGRGDQGDGGREGTGKRDKSHIKCFKCHNHGHYANKCPGGEKKKEEEAHYVKKVEYEPAVLLTETVKPEQFKRMLLDNGQVQQKQCLNESEQSLKEEVHLNEVHLDSELMFTGDGQSCGDVWYLDNGASNHMTGDYQKFRDIDSTVGGKVRFGDGSAVEIHDQASDAFAKFKAEAENELGYKIKMVRSDRGGEFLAAVFKDVCESTSIKRQFTAPYSPQQNGVVERRNRTVMEMARAMLKCMSVPGCFWGEAVRHSVYLLNRLPTKVMGYRTPFEGSVEQLVQHGADAHSGTVDGQATESEPASVQGANNDHGDDQGHVDMDDDSDYDELPLRFRNLNEVYEDSVEVEMTYDSEVEALLTIMEEPSSYQEAAGDGNWVGAMESEIQSINKNKTWELVKLPARHKPIGLKWVYKLKKNAEGQIVKHKARLVAKGYVQKQGVDFEEVFAPVARLDTVRLILAIAANRENVYVSQPEGFVQKDKEQMVLKLSKALYGLKQAPRAWNIKLDSSLKNLGFRKCQTEPAVYIRGTGQSALMVGVYVDDLIVTGGQLEEIVNFNKQMTSVFDMSDLGKLSFYLGIEVEQKEDYIAIRQSGYAKKILSQFGMADCNATKCPMDPGTKLDADKQGQRIDATHFRRIIGCLRYLLHTRPDLSFSVGMASRFMEKPTAKHLNVVKQILRYLKGTLNYGLVYTQERKQELLVGYSDSDVGGDVVGRRSTGGMAFYLNDSLVTWNSHKEKTVALSSCEAEFMASTAVAKQALWLRNLLGELTGNQLKAVTLMVDNNSAIALMKTPVFHGKSKHIEIKFHFIRECVERGQIMVKRVGTLEQKADALTKPLAVVKLGVMIHLLGVRDLGEPTATPAAAARRRRQDRADIHLRPARRRCDQELPPAAPPGHGHELRGPHGGPVARPHGGAGARAWRGSEPSDRRQLRGVRGLGIPDGYYGNAIGYPVARAAVGTPLTGSGGSLGLGLGNAVEPVREAKATGTAERVRSVVDRLALARRHGWPPELATMANSLPFKPHAGDDSSQIDYAFWMDWTQDGPEVFGLAFLARRGLDMDGPSGLLVLPPSSSDNRHAGFHRVDFGWGVPRARVRRRRDHRVWGELPCRRSRRRRGGTEEAVAVPIVLPRPAMDRFASELEMTLTCLAAVGSAVPPPRGRL >Sspon.01G0020650-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:77543884:77546296:-1 gene:Sspon.01G0020650-2C transcript:Sspon.01G0020650-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASNIGMMDGAYFVGRNEILAWINTTLQLGLSKVEEAASGAVACQLMDAAHPGAVPMHKVNFDAKNEYDMIQNYKVLQDVFNKLKITKHIEVNKLIKGRPLDNLEFMQWMKRYCDSVNGGFMSNYNASERRESSKGGKDTNRRASVPSHTPAKSSSTGHKAQASHGPKRASGQTASAPQRSAKPPPANSGGPAYDEQITELKLLVDSLEKERDFYFSKLRDIEILCQNPEVEHVSVQAIQNILYTSEEDSQQQPPALSPIMEASEERPKQETAHKRKSILDLDEFGMSSSSRQRLSDISDVQLCGSPLTSFS >Sspon.03G0022880-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:88533956:88549326:-1 gene:Sspon.03G0022880-3C transcript:Sspon.03G0022880-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ARDDGGALVPAPAPAPGQVYLPAWRRAYDRLVKMLREAYRQAEELSVEREHLITEIDFLQSSRREREEIYQVRIQKVYKDQELCKRVADAETAVSLGGKELQIHCYQNLAGIAEDDLEDFKSCISNLAAENTELKVKLKKLESQVETSTENSDHQKSGKDVREEIRKLKKAYKILRSEKDKEISELQAENKFVWNQLKTMEKDYSGTLKSKNVEVKQATEAAQKKDDEIVRLQVEVTNAKERMSILEDELQKLRCLVKGKDLETDKNEDGQPETSRLSKKDTNKANRKSKSTRTSQVTPDISRTSQVTPDRREVKTTTRMRASETNQKRKRSSFKSSLSCVMTILSRFDLTFVINDIRMYDQDK >Sspon.08G0003970-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:12250710:12252844:-1 gene:Sspon.08G0003970-1P transcript:Sspon.08G0003970-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLSSLSLLRHHPPRPLPPTSNRQPPPPHPRLLHLLLPPFTRRRAFVCQPLAAATDDMATAAAAASPPTLLEHMGQVGTAADLTVLVAHIQSACKRIAALVASPGNAELSRSKAGGGTVAAGRDAPKPLDELSNDIILSSLQSSGKVAVLASEENDLPIWISNDGPYVVVTDPLDGSRNIEVSIPTGTIFGIYNRLVELDHLPLEERAQLNSLQSGTRLVAAGYVLYSSATILCISFGAGTHAFTLDWSTGEFILTHPSIQIPPRGQIYSVNDARYFDWPEGLRKYIDTIRQGKGQHPKKYSARYVCSLVADFHRTLIYGGVAMNPRDHLRLVYEANPLSFLAEQAGGRGSDGKNRILTIQPVKLHQRLPLFLGSMDDMLELESYGDVQQK >Sspon.04G0006040-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:16777652:16780317:1 gene:Sspon.04G0006040-1P transcript:Sspon.04G0006040-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLGLGGAGRTGSGGGGWGRSGSGGGRDSPFALGGGGASAAAWTRLVSSGVEDELEAEDARGARAGGLPQGHFLEACFLCRKPLASNRDIFMYRGDIPFCTEECRREQIELDEELERKESTPKKVAPRAASPKDVESPPRPPKARAGSILAG >Sspon.03G0017650-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:76156994:76159482:-1 gene:Sspon.03G0017650-2C transcript:Sspon.03G0017650-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLGKYYCDYCDKQFQDTPAARRRHLQGVQHQRARALWYDSIRHQGQPETLLFPFFPFAVVASSALWLARFVEHRVCHRAWVRSEQHGGASSLLLPDGTLAKGICHHFVRTGACKYGDSCRYFHPKPDGVNPALAAPGPGSGPGPMVQQSDFIGNQPNFVGYQGADRNSSSGNILGGHTSWGNLPPSLQPPPEGGYPPLPSIDWG >Sspon.02G0013610-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:38393824:38395397:1 gene:Sspon.02G0013610-2C transcript:Sspon.02G0013610-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALSAGALAPLAGLSPLPWCSPAALYCRRSPPLLKVSIAKTRPSVHQFAPSSLRKCAAMSEHGRQELGTNEYQLDDDEPLWLAVFRELVVGLKGLVAFLAEQPRQLKHLEWPGFQHTMKTATLTLVLVAVFIVALSTIDAALCYML >Sspon.06G0001420-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:2260180:2261870:-1 gene:Sspon.06G0001420-1P transcript:Sspon.06G0001420-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADYVYGPGRTHLFVPGPVNIPDPVIRAMNRQNEDYRSPAIPALTKILLEDVKKIFKTTTGTPFLIPTTGTGAWESALTNTLSPGDRIVSFLIGQFSLLWIDQQQRLGFDVDVVESDWGHGADLAALERKLRMDTRHTIKAVAIVHNETATGVTNDLAAVRKLLDAYAHPALLLVDGVSSICALDFRMDEWGVDVALTGSQKALSMPTGMGIVCASPKALEASKTAKSVRVFFDWKDYLRFYEMGTYWPYTPSIQLLYGLRTALDLIFEEGLDNVIKRHNRLGTATRFAVEAWGLKNCCQKEECFSDTVTAVVVPPYIDSAEIVKHAWKRYNLSLGLGLNKVAGKVFRIGHLGNLNELQLLGCLSGVEMVLKDVGYPVKLGSGVAAAAAYLSNSTPLIPSRI >Sspon.03G0027910-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:8382244:8383024:1 gene:Sspon.03G0027910-2C transcript:Sspon.03G0027910-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNFVSANGSDVAAAELDDVWEDVSDSPRHAYTLDREWTHRQNQFQKMGYRDGITEGQKDSAQEGFNVGFRQSVNVGYKWGLVRGVASALASLPDSLKEKLVPDVQCRGKLQGLHSSVQEISADDALQMFHESICQSNRPSEGSGSHVTSTSDGATESNRMKSLSKDLVLLLHECSDIKVSEEVA >Sspon.03G0017080-3D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3D:40859938:40861203:-1 gene:Sspon.03G0017080-3D transcript:Sspon.03G0017080-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RPLRVAVVGGGPAGASAAEALASAGARTFLLERSPAGAKPCGGAIPLCMLDEFAIPRDLVDRRVTRMRVVSPSNLAADFSRSLPPGAHIPMLRREVLDSFLRHRAAEAGAELVPGLVTSLSLPAGPADPYLVHYISSSSPEGAGAGAGRGVLEVDAVVGADGANSRVAREVGAGDYTTAIAFQERIRLPDAAMAYYDDLAEMYVGGDVSPDFYGWVFPKCDHVAVGTGTVAAKPEIKRLQSGIRARAGPKIAGGRVVKVEAHPIPEHPRPRRVVGRVALVGDAAGYVTRCSGEGIYFAAKSGRLCGQAMAEEWARTGAVTEAGLRRGYLRRWDDEFLLMFRFLDLLQRVFYGGNAGREALVEMCADEYVQRRTFESYLYKRMVPGEPWGDLRLLWRTVASMVRCGVIGREVERLRRLELQR >Sspon.06G0002810-2T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:7284930:7288727:-1 gene:Sspon.06G0002810-2T transcript:Sspon.06G0002810-2T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTCLSCGEAAVAADPDSGALVCTSCGVIQDAGGAEFVHQSTFNDSGGLDLRVSSLVRNSSDSAYRDQKLAVASAGITSIATRLGLSPARAEEALRMAKSATDGQLATPGSAFLPALAAACTLLVARSHHLPLSLAEAAEAAFCSAPALGDLVSRVAAQLSLPPLPCFDYAAALDRAVQLSPSLNAAAAHKTDAILAQARFLFRCASKWSLTTGRFPLPLVAALLALSAEVNGVTSLSLEDIARDISAGLKTSLRRYKELVDALVHVARHLLPWGADVNAKNLLLNAPVLLRLMEMRSQSDPSEEFLESFAPNIAAIVQAYSKVDDDESKYLQITPVSADDFDFDNLEPEEKEFENQNISEKGLSDAYKNVLNRLAQLQKLGKVGKGADRRKRFKGGLELEPCMDAVNNGWKKDMLLEDVVDIEIGYDAPPPSFTAGLELQKERRARIEAAKQRIDAIRKATAAPAAKANNSESEDAVRNEYVSPPQKLTRKNRGGKKMDDIDHILLSDNMADSSGARKKRRRRGSCDGIDWEDCIIELLLLHGANEAEIEQGQYRRLLELHMIIISEEN >Sspon.03G0019620-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3A:61187538:61190658:1 gene:Sspon.03G0019620-1A transcript:Sspon.03G0019620-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPSPLCAASCSTALSAPPPLLRFRRSSAPVSASVARASPAVSDDLVLRIAEQLEDSVTSTSPLLDPLRSASALSLLSTPWPTRRSSEAFRFTDISYLRSLPISLPSRQPDLTLPSSPFSSHVLFSDGILLSSSGAHVSTLADLPPGRARDRAAAALAASAEFAHKDLFYDFNAVGARDVVVVHVPEGVKVADDPIHIMVLVVAEKAAEVAIVEEHFGAGEEGGCYWANPVTEIIIDEGARVVHSYVQQQSFAAAHTKWTVVKQDTTSKYEFVEVSTGAKLNRHNLHIEQLGPETETELSTLHLTSQNKQIHDLHSRLILNHPRGFSRQLHKCIACGTGNSIFDGNIKVNRYAQQTDAGQETKCLILSPKALVNVKPNLQIIADDVKCTHGAAISGELDPNELFYFQARGINTETATDALLYFFGAHVIKRIPYKPISEKALAQFKELLASSRQTTNEALHS >Sspon.04G0001490-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:2438034:2441022:1 gene:Sspon.04G0001490-2B transcript:Sspon.04G0001490-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASISLEDVRNETVDLVRPTRFLLRSTLSPWIAFETVPVQEVFQHLKCSKQGLSSAEGENRLKIFGPNKLEEKTENKLLKFLGFMWNPLSWVMEAAAIMAIVLANGGGKPPDWQDFVGIVVLLFINSTISFIEENNAGNAAAALMAGLAPKTKLLRDGKWKEEDASILVPGDIISIKLGDIIPADARLLEGDPLKVDQAALTGESLPVNKHPGQGVFSGSTVKQGEIEAVVIATGVHTFFGKAAHLVDSTNNVGHFQLVLTAIGNFCIISIAVGMVIEIIVMYPIQHRAYRDGIDNLLVLLIGGIPIAMPTVLSVTMAIGSHRLSQQGAITKRMTAIEEMAGMDVLCSDKTGTLTLNKLTVDKTLIEVCGKGVDKDMVLLYAARASRVENQDAIDTCIVGMLADPKEARAGIKEVHFLPFNPVEKRTAITYVDGNGDWHRVSKGAPEQIIELCRMSKDAEKKIHALIDGYADRGLRSLGVSYQQVPEKSKESAGEPWQFIGLLPLFDPPRHDSAETIRRALHLGVNVKMITGDQLAIGKETARRLGMGSNMYPSTTLLGDNKTGEMGGLNIDELIEKADGFAGVFPEHKYEIVKRLQDRKHICGMTGDGVNDAPALKKADIGIAVDDATDAARSASDIVLTEPGLSVIVSAVLTSRAIFQRMKNYTIYAVSITIRIVLGFLLVALVWKFDFAPFMVLIIAILNDGTIMTISKDRVKPSPTPDSWKLKEIFATGIVLGTYMALATALFFYLAHDTDFFTKTFGVRSIKENDKELMAALYLQVSIISQALIFVTRSRSWSFVERPGALLVIAFLAAQLVATCIAVYANWEFCKMQGIGWGWGGAIWAFSIVTYFPLDVLKFAIRYALSGKAWSNINNKTAFTNRTDYGRGEREAQWATAQRTLHGLNQATATSDLFGDNQGYRELSELAEQAAKRAEVARLRELHTLKGHVESVVKLKGLDIDTINQSYTV >Sspon.07G0008730-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7A:24075180:24075410:-1 gene:Sspon.07G0008730-1A transcript:Sspon.07G0008730-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVRVGPLARCPVLAWLMAHLTVTSIYRRLITRLNFIHLTSSGLPEGNPQPRDLISWVRKMSSNQATMATLSVRYE >Sspon.03G0006490-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:17752661:17753125:1 gene:Sspon.03G0006490-1A transcript:Sspon.03G0006490-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RWKSSRKPTCCGRTSLPLLTTTTTATATARPTPTATAASTSLVSSNHAPRSSTHHCSPRPCASPRRRRPWGRRARRAGRTRGARSYHGDDDDGAEEAGIVPPHVLAARRRAEDQRRVVASSVCVGHGRTLKGRDLRAVRNAVLHMTGFLSSSDKY >Sspon.05G0020450-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:84363934:84366906:-1 gene:Sspon.05G0020450-3C transcript:Sspon.05G0020450-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:At5g21140 [Source:Projected from Arabidopsis thaliana (AT5G21140) UniProtKB/TrEMBL;Acc:Q84VP9] MAPLSWRHHTLLQALLTRGPLSDRDFRAVFAAISGKNPATHQQLFNDTLLKLNKELAYLQFELRACMNQYDGMVYYGVVNNIADEESKLGTKYSVPQVAYYKGLLEAVLQGAGNDGTITSIDALNVRLDNQVIILDGGSQNSQSRLPSCITDFKLSQKEKTIDELASSCPNEGCNIRIHEYCLKKKFSQRKASRACPGCGTEWPRQEGEVDGDDDANEPGEDGAAPANRSSRKRRKGVKAELVEDCSSRKRRKGVKAELVEDNHNAGPLTAAVPRRARSLRRAKAEAVEAAQE >Sspon.01G0003150-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:8655122:8664860:1 gene:Sspon.01G0003150-1P transcript:Sspon.01G0003150-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPARFGASKVRFTVGSHVWVEDAEVAWIDGLVEEVQGDELIINCTSGKKVTANVSSVYPKDAEAKRCGVEDMTRLAYLNEPGVLQNLKSRYAMNEIYTYTGNILIAVNPFQRLPHLYNNHMMGIYKGAEFGELSPHPFAIADRAYRLMMNYGRSQAILVSGESGAGKTESTKSLMQYLAFMGGKAQSGGRSVQQQVLESNPVLEAFGNAKTVRNNNSSRFGKFVEIQFDKNGKISGAAIRTYLLERSRVCQISDPERNYHCFYMLCAAPPEDRERYKLGDAASFHYLNQSSCIKLDGMDDASEYIITRRAMDIVGISSDEQDAIFRVVAAILHLGNVEFSEGSEADSSVPKDDKSQFHLRTAAELFMCDEKALEESLCKRVLVTRGESIVKNLDARAAALSRDALARIVYSRLFDWLVNKINTSIGQDLSSKLLIGVLDIYGFESFKTNSFEQFCINLTNEKLQQHFNQHVFKMEQEEYTREEIDWSYIQFVDNQEILDLIEKKPGGIIALLDETCMLRNSTHEIFAEKLYQKFKGNPHFSRPKFSRSDFTIHHYAGNVTYQTDLFLDKNIDYAVNEHQTANLTQANLRGANLEAANLKGAKLSGTNLQDANLQRAYLREVDLRDT >Sspon.01G0018990-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1C:71772542:71773224:-1 gene:Sspon.01G0018990-2C transcript:Sspon.01G0018990-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LLQDV >Sspon.01G0061750-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:97113613:97128491:-1 gene:Sspon.01G0061750-1D transcript:Sspon.01G0061750-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSANRGLGFFHIDVEEKADRFKLWTGFDNCGVFTIEEGVMSQEDIIKNLKMLFDPNWCWQLKQLDDSKFLVRFPPEKVVENVVINNVSFFYLQNNEVQASLRVWNGNIEPISSLKEVWVQVRGIPPRWCDWVTLRQIASTLGKLVEVDWQSLFGSYFAMIRIKIKCKDPSKVPKKRLMELGDDIYLLYFKTEGVDQDTDTEEGGDDKGGRGDKGDDDGGENSGEEDFLDEDLGKEDKDHTPQKDETPKNLDNNQKGASSKNAGKKTKETVKENQVVRNLFCYLEDDPEAKGQISETDELTCITLLKAMELEYNGGVDVEELEGEMVDQETLNLPEEWIYNMTQTDGGSSQKTDHEYAEIVKEDIQLGDEIQNDQPQIEKESEVEGPSKEQDQLSPAKAKRKKKVWGPIQPKRRSKRQSQDGVPVFEKAQALKMKYNLEVPKGITYKACHLTSKNLSDLASKIGIQLEESLDKVSSCVDSILEDNDRRKEHFSVGCGFAECSRNTEVVKPYSIVTRSKVAQEVQNVTVGNSSDLVKGVGKQTTGGSLNEEEKDSDILVEEPSTPVAETGVRLKTGKAQKVSNQPSKSAWLNQVDGECNRFMYETALDWKLKKCPEEMEELLVDASDQSVEAKGKWINLLKFGEEWNMCAVSHEEGRQFAKEHGLIFMEASKNTAQNVMEAFVNNVGAIYKKIQDGVLDVTNEVTFNLKPTFALLRKISVQDYAYYEELKDGIQR >Sspon.04G0007970-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4D:23683743:23686774:-1 gene:Sspon.04G0007970-2D transcript:Sspon.04G0007970-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSPPIPLPASAAPPLSSHSGPNHRLPPPPPPPSDAILAPAGPSTSPTIADLASPTLSAGSTSPGTPSSLPPSPTSSRLADSEAAGAVR >Sspon.04G0016990-3C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4C:67962803:67967135:-1 gene:Sspon.04G0016990-3C transcript:Sspon.04G0016990-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGSRALSLSSLCATTLAAAKPPQHPFPFAPAHRALPHRLAAAMSSSSSPTPAAPAASGDAGAPAPAPAPSASNAIDFLTLCYRLKTTKRAGWVKRGVQGPESVADHMYRMGVMALVAADLPGVDRDRCVKMAIVHDIAEAIVGDITPSDGVPKEEKSRREKEALDHMCELLGGGSRAQEIRELWMEYEENASLEAKVVKDFDKIEMILQALEYEKGEFILSKDETLKNSSNQQQHNEPEYLLFILVVDDDLKVHPYLHSTVLKPKEASISCNEVYWHVGNSLSGTLPSAASRASSLVQKAGAGP >Sspon.02G0039390-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:52220170:52221123:1 gene:Sspon.02G0039390-1B transcript:Sspon.02G0039390-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding IMLDKSHSGPRCRRFLNVSTGKCIRTDLPELAEHMLLTLTPEGLLLLLHEHTLVVRLLNPLTRQLTDLPPVTGLLTEEDQQAWRSGCPLEDMLRVVGAGLAGASTVAVCFRWPTALAVSRPGDENWTVADDRDIDSALACAGHFYCAIRSSVMVLDTNDLDQQPPRLRLVATAGSMPFCFFRISHSLHLVDNAGELMLVHRKLGQDTDAQGGDKYKRNYQLFRVDLEAGALVPAKDFRGRAVFMSSRRTISVSVGAFSSVSADTLYLGFDCPEKNWIDRIDGYNVADGSSEPSYHGLQPCSVVDCLSYCIRGNGVHLA >Sspon.05G0035810-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:81077767:81081026:-1 gene:Sspon.05G0035810-1C transcript:Sspon.05G0035810-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRAELATTDHQTPNNGQNASTHQQKQEVTLELRKYILLLASLVATVTYAAGFSPPGDVWQDTSAGHLAGDPIIRDTQHKRYVAFFYCNATAFAASLVVIVIILDDKKKHRIALRTLQAAMVLDLLSLMGAYAAGTCRDTLTTIYSSLLVGFVFFYVVAQVTVASCVTDTGSGGVPEGQVEERQPVPDDALASVAGGNPHHSGPGGVAKERFRKVLMLLATFAVSITYSAGLSMPGGFWDSAGAGHRPGDAILKDRHNTRLAVFFCFNTMAFVASLLIIVVLLDTKPHRHLRLGFIVVTLFSLIGAYNAGSCRRTDTTVYVFSLVPAVLVYILFLYFVADHIHAIWEAMKKLFRVRQGKTEKEKAADKARSLVLLLATLAATITYQAGLEPPGGVWQDNSGDHTAGDPILLTTNPRRYKAFFYCNSVSLVASLVAIVLAQKKLLIKHHVLEAVMILDLFGLIGAYAAGSCRDVNTSIHAMALAGAVLVYVVIHVIFITLDDDTRTRSESDKEKDNELVEKTRKRLLLFAILAATITYQAGLTPPGGFLLQDDQFGHHAGDPVLLYNFPRRYKAFFYCNSVSFMLSIALIILLVNPNLYRPAIRSHALSVCTAVGLFGLMGAYAAGSTQHLKTSVYVFVLIAVVLVCIALLFLTTNTQLAVTSSNNNNEKATEENKREHANRKYLMVLGILVASVTYQAGLEPPGGAWQSSSGGYEAGNPVMHDNRRPRYLAFFYSNSTSFMASIVVILLLLIPPENLIGTGNKERRKKWLVVMNTTIVLDLLGLLGAYAAGSSRRWNTSVYVLLLVIAVLVYMAFHLLLSCIIRRRSQSAQPLTGDSSVKPEANATSLV >Sspon.08G0006560-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:20398173:20399988:-1 gene:Sspon.08G0006560-1A transcript:Sspon.08G0006560-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRVAVVVGGSVAGLACAHAVAGAGWELVVLEKAAAPAAGNGTGAGLGLDPQSMETLSRWIPGWGTDAATLPLAVDLNRATDSETKAVRTLARDEDLNFRAAHWGDLHRRLHEELPPAVTVLWGHQFLSFEAPDDTRGVVATARVLRTGERLRSRGTCSSPRTAPRRPYSGYCAWRGVFDFSGKESCDTMTSIRRAYPELGNCLYFDLAYKTHAVLYELPRSRLNWLWYINGPEPELTGSSVTMEATDAMVARVRDEAERAWCPELARLIRETAAPFVNVIYDADPLPRLSWAGGRVVLVGDAAHPTTPHGLRSTNLSVVDACTLGQCLAKWNSEPTPARALAEYEAVRLPVVAQQVLHARRLGRLKQGLPVDSEAEEGFDVTTAKAEVLQLRQRTMPFFDGAPAGGGLPLVGRTR >Sspon.02G0052450-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:92101025:92101321:1 gene:Sspon.02G0052450-1C transcript:Sspon.02G0052450-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSPWGDGESSAGFFPAGSAEIGLMLARLTPLPTTPRSVTVLPVLPVVAAVDRTEESNPLLEVAIWEEVKDAALGIASVTLDAIVFTISSMAGLGFA >Sspon.05G0028860-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:68248056:68249018:-1 gene:Sspon.05G0028860-1T transcript:Sspon.05G0028860-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKRSRESCEEPAATAGNDDRLGSLPDDALLHVLSLLPSDDAVRTCVLARRCRHLWRFTATLRIARDDDKRWSVWWLHRFVTNFLRLRDSLSPLDVCDIFCRPFVSFRGGHAAQALCFKVAEEWVRRVVSEYRARVLKVWIYTDENMLEPADAPLVSQHLTRLELGEVEIPSGMLDLSGCPALEHLELISCRIQGGRMLFPSVKHLRITHSSFCIIPRISISAPSLVSLQLSENWGFTPMLESMPSLAKAFVRFDDECCDKCPRNYYGDCGDKDCDGCYGNLYDEDDEDNQCVLLGGLSGTTNLELIGLPEVVCLHFSSF >Sspon.03G0042640-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:71162402:71167931:1 gene:Sspon.03G0042640-1C transcript:Sspon.03G0042640-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MITGERQAARIRNLYLKTILRQEIAFFDKYTSTGEVVGRMSGDTVLIQDAMGEKVGKFIQLVVTFLGGFIVAFAQGWLLTLVMMATIPPLVVAGAVMSNVVTKMASLGQAAYAESSVVVEQTIGSIRTVASFTGEKRAVEKYNKSLKNAYKSGVREGLATGLGMGTVMVLLFCGYSLGIWYGAKLILEKGYTGAKVMNVIFAVLTGSLALGQASPSMKAFAGGQAAAYKMFETINRTPEIDSYSTTGRKLDDIRGDIEFRDVYFSYPTRPDEQIFKGFSLTIPSGMTIALVGQSGSGKSTVISLIERFYDPQLGDVLIDGVNLKEFQLSWIRSKIGLVSQEPVLFAASIKENIAYGKDNATDQEIRAAAELANAAKFIDKMPQKQEGLVPLLGFDTSVGEHGTQLSGGQKQRIAIARAILKDPRILLLDEATSALDAESERIVQEALDRVMTNRTTVIVAHRLSTVRNADTIAVIHQGTLVEKGPHNELLRDPEGAYSQLIRLQEANQQNNRKGDANTRPGKQMSINKSASRRSSRDNSSHHSFSVPFGMPLGIDIQDGSSNTLCDEMPQEVPLSRLASLNKPEIPVLILGSIASVISGVIFPIFAILLSNVIKAFYEPPHLLRRDSQFWASMFLVFGAVYFLSLPVSSYLFSIAGCRLIRRIRLMTFEKVVNMEVEWFDHPENSSGAIGARLSADAAKVRGLVGDALQLVVQNSSTLVAGLVIAFVSNWELSLIILALIPLIGLNGWIQMKFIQGFSADAKMMYEEASQVANDAVSSIRTVASFSAEEKVMDLYKKKCEGPLRTGIRTGIISGIGFGVSFFLLFGVFLALAMAAIGVSQSSTLTSDSSKAKSAASSIFAIVDRKSRIDPSEDAGVTVETLRGNIEFQHVSFRYPTRPDVQIFRDLCLTIHAGKAINNVYDYEYNNSIICFQTVALVGESGSGKSTAISLLQRFYDPDVGHILLDGVDIQKFQLRWLRQQMGLVSQEPALFNDTIRANIAYGKDGQATESEIISAAELANAHKFISSALQGYDTMVGEHGAQLSGGQKQRVAIARAIVKDPRILLLDEATSALDAESERIVQDALDRVMVNRTTVIVAHRLSTIQNADLIAVVRNGVIIEKGKHDALINIKDGAYASLVALHSAASS >Sspon.05G0022570-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:3620654:3621823:-1 gene:Sspon.05G0022570-1B transcript:Sspon.05G0022570-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADVDADANNDPERRTSSSSEADHNDASGKTPIPPCPSPARHAAGHALEEPPRRKAPHRRSRPVRMFQSMCRSLPLLNPRCGGQMHPGACRIAPPSSSRPATAPLSDSSSLLSQLIAHSSFGGAASSRHRLTGTLFGYRDGRVSLSLQQNARCQPTLIVELALPTHALLRELGAHAGARIVLEVEKRAEQSGDAGGANGALDGNEAIAAVGEGGGANGFRHSHDDGWVLEELMWTMFCNGKRVGYAVRREPTEEDIAVLETLWAVTMGGGVLPGRSDVDGPDGEMAYMRGSFEHTVGSRDSESLYMVGPPGGDCPELAIFFVRLRMNQSTKRTYYYSAHVALVVSNLSRLVSFVVQPQLVASSEIFIAPSNDYCMTQKNRTRKSLDVHR >Sspon.05G0031560-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:6620158:6624415:-1 gene:Sspon.05G0031560-1C transcript:Sspon.05G0031560-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LFAAPWRDGSPPSSKQPRPCSTRQASALFIDQQAAESLGKSPSASDLAALQATASRSADDLLDVPPPRRPQPSGPPPSLGLRLAAKRPSLPSPGPRRSASAAAVLAVQDHAGGEPTVEVVSEVKPEADRGDCEDGKGVASESGSDEDSDGSRSDDSEDSEEERRREEERRRRRAERLAAMAARAIAEREEAVARLEGEKAGLEKLLAEREKEQAQEVDQVAQLREEVELKKLAQDKYIRKLAKIQKTSAPPVDEIESLRRFKLQEEIIDAEYALICDRIVSLKDKVESLSSEKAALLMRIEAVTRLLDNSTSSLASSSSSRLDIEAGAWQRSHSPKLGDRIRAGQQQLGSAIRQLDSIFSAGHIFLRRNPKAQVWALVYLVCLHLWVLYILTSHPMVSETRPGATFSLETLNKTSN >Sspon.01G0005450-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1A:14582176:14583234:-1 gene:Sspon.01G0005450-1A transcript:Sspon.01G0005450-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeodomain-leucine zipper transcription factor, Regulation of panicle exsertio [Source: Projected from Oryza sativa (Os03g0198600)] MGCEEEERLLFPSFVFPESFAEAATPGSGDSGEQKKARQRRRRKPRPAADGGEAGDEQAKKRRLSDEQARFLELSFRKERKLETPRKVQLASELGLDAKQVAVWFQNRRARHKSKLMEEEFSKLRAAHDAVVLQNCQLETELLKMKDRLAEAEEEKTKLIAAAAAAGGVGAGAAGSSSPSSSSFSTVTHHPAALVGQFGVDPEEAAADLTYMSEYAYNNYMNMMDLAPGYFGGVVYDHFN >Sspon.05G0001050-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:5981719:5986083:-1 gene:Sspon.05G0001050-2C transcript:Sspon.05G0001050-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNELCDLKSVMCAFSGTLRTMVKQEKIMKKAGRKEAVSIKLAGFPGGADGFELVARFCYNNGRILLCPSNLPVLHCAAVFLEMTEEVCACNLLAQAEAFVNGLCYWTWGDVLTAVRSCEPFAAAADASGLLERLISALFSKITATPETPAAAAAAVGTPNQSSSSCSSSPDTVGFGRSSSSATKTPESMRPCAGREWWFDDMTSLSPPTIEKVMRVLGCYGVENKNLILTRFLLHYLRAATRRPPALALCEGGREGAGALAGLADTAVHGVALVGGTAFSCRGLFWVLRIVSAVGLSRECRHKLERLMGLMLDQATLDDLLVSGDDGGVYDVNLVMRLVRVFVASEEEADAPSQRMRKVGRLLDKYLGEISPDHGLKMSKFLAVAEGLPDSARDCYDGVYRALDIYLESHPALSLEERTTLCRCLNYEKLTLEACKDLAKNRRIPPGVAVQALASQQCKLQISEPGPSAAAAKERRADPSACSQSQTPRRRVARRATRSVDLGGGRGGGRGDDDEKELLRLNLQRMQSRVVELERACKEMKGQMSKMAKGKSFSFGAGAASCHQTGSRGLPRLC >Sspon.04G0004660-3D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4D:14641954:14642532:1 gene:Sspon.04G0004660-3D transcript:Sspon.04G0004660-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRLGAVTTVVISSPDVAREFLQKQDAVFANRFVPHAVGDHAKNSVPWLPHSARWRALRKIMATELFAPHRLDALQHLRRQKVEELVGHVRTLALQGSGGRRPRGVRHVPESFLPHDILLRPHQPRRPHRTERIPRGGDGDHGGCRKSQSVGFVPSASLGRSARIAPEIGEAVCTAAPGVRCGDRPEFVRA >Sspon.01G0001390-3C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1C:37672351:37673420:-1 gene:Sspon.01G0001390-3C transcript:Sspon.01G0001390-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAQSQAMLEKMELRQSYRNVWHTDLTNAVAADLPWCCLSLWCGPCVSYMLRRRALYNDMSRYVCCAGYMPCSGKCGESQCPEVFCCFGNSVASTRFLLQDEFNIQTTQCDNCIIAFMFFLQQLACICSLVACIVGNSELSEVAH >Sspon.01G0017660-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1B:69054404:69059657:-1 gene:Sspon.01G0017660-2B transcript:Sspon.01G0017660-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGQQNSGGSTSSRSDGSEYDIVPKSYTSTPRNFPSHRSFLSKPIHPVSFPEHALEAQETQSPVASASSNNPLCSEFKGTGELRFPGPMDYGSGSHGESGNWSAASSMDLTDLSERPEAGQAGPLRPNNVMQKTRCDLCERLLTKRSPWGSRRIVRTGDLPVAGVLPCSHVYHAECLERTTPKGQKHDPPCPVCDKLAGKDTEQWSICRLKNGFPRLRSLGEGPSRVWSCAHAGDCVAGAVQMPRSNSIALLTRSGHKRHASSKGEPSKDWAETSKNSFM >Sspon.04G0037010-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:57974315:57988142:1 gene:Sspon.04G0037010-1D transcript:Sspon.04G0037010-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVGFLLLPWCSSPPSSGETEHQAEAAPTGDEEDDHEDLTRVSIKEGLQPRSKRGEHLSKRKGNYTSARFLIVSRPESDPGVCATAVSFDMFMAWANAQATHRRRARMQTRGRKRKRVVDLSSQDDLDDLVDSENEDVMCDQFYDDDEFKDRSCSDDEMLCEDSSSGGSSVRNFQKSLYQECLKDWKRVNSMSRKLRLALEAESKKKRSAPRSSECFTRQSISKFSTVIFELSEDRKDVIRRYGFGSLLLFDKCFVPKKFSKWLASLVEVKSGDLIVQGKVISLTARSVNEVLGIPVGGIPFPSNYSSGRDYVLSKIGKSSLPQVSFFASKLKAVDLSDEELIICFLVVALHCFLCPNSNIVPSPRYLGVFEDIEHLQDYDWSGFVLRWLLDGVKNFNKGKKDADKNAGTLGGCMFYLAVIYLDHVDFSSRRLPDSFPRIKVWKQNMIRDFSDLDLKSGSVYGLRPLLDFEKICYHKAMTVDEESIPQASDDGDFCAKLEEACGCKVPECLQAAVLNVIEDHCKSCISPIPIDLVSLAALPADFKKLFSKLMNHVYGLKYNSQELVLKVLREFAVYEGSSDHGTPSPDMGNVSANVTVVPEMPDPEVTVEVVDRGSSFPKDPVVTKVGAKAGVDKDISQPYDVLSKTARRVDGVGKFPSVAVPVRGRDDVIPVVEDDEMDSDGEPNNSTNASVPVPIVMQTIPFSDDESPSITPKLGKKLSPVKLLDSSTKSGCSASVPINVSSPEVVVTGSTSLSEKMKSLVKKSEDVYNSNLKKTTSGSISARNSLSKTRGYPWPSPNQFATSSSKSDFKISDSSTGGKVPIHGPRRPVKPNRVISDEFEIERVKFKVNKSQIMNYKAICNLALSRDSGEDAVMSGGVRCTFWSLGESLKPGGKVNNFVMAAWCYHLYSQPNGHPDVSKCHYFFSNIGDQLMKDVESASEDTLTRAFTRSSKTRPLYRSDLIPNFKIWWEKIVNHDMGFQMYRVNYPYVPRQSAQNICDSGVYVMMFLEYWKSPRTSLYNLFKESDVPNLRIKVANDLLFSPKNSGRKDLVTSYKFGEEEDV >Sspon.07G0013410-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:48331507:48331950:1 gene:Sspon.07G0013410-1A transcript:Sspon.07G0013410-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding NARHWVFIFISYNILSLTRPSLAGSATGCCACICGFPRRILRKKCSTGYIPPQH >Sspon.01G0048000-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:108360428:108363655:-1 gene:Sspon.01G0048000-2D transcript:Sspon.01G0048000-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPAPKQEELQPHAVRDQLPSVSYCLTSPPPWRTPFLSSFLPPVLAAAACARCFLDLSPGLTTDAVSLVCNAAEAVLLGFQHYLVMLGTTVIIPTALVPQMGGGNEEKARVVQTLLFVAGINTLIQSFLGTRLPAVMGASYTFVAPTISIILAGRYNGIADPHEVRLLAFLSCPVPVRTEVHLFAAPVVAEIRAHHAGDARRAHCGLHPPNHHGLQWPLAHCRQVSLLLSPPWLLSPLSAAPLVALVGFGLYELGFPSVAKCVEIGLPQILLLVALSQYVPHLVPLLSTAFERFAVIMSIALIWLYAFFLTVGGAYKNAAPKTQFHCRTDRSGLVGGAPWISVPYPFQWGAPTFDAGEAFAMMAASFVALVESTGAFIAVSRYASATPCPPSVMSRGIGWQGVGILLGGLFGTANGTSVSIENAGLLGLTRIGSRRVVQISAGFMIFFSILGMAGVGFLQFCNLNSFRTKFILGFSLFMGLSVPQYFNEYTSVAGFGPFNDMINVVFSSKAFVAGAVAYFLDNTLHRRDGTVRKDRGHHFWDRFRSFKTDPRSEEFYSLPFNLNKFFPSF >Sspon.04G0022050-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:7066738:7068594:-1 gene:Sspon.04G0022050-3D transcript:Sspon.04G0022050-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein TIC 55, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G24820) UniProtKB/Swiss-Prot;Acc:Q9SK50] MTTPLTATAPLASLLLRVSAAAVAVAPYRKSPAAAAAARWAGGGGRRRTRCRAAVVEEAGVLLPKEGEAEEAAAGRYDWREEWYPLYLSNEVPDDAALPLTVFDRQLVLWRDGNGVLRCHEDRCPHRLAKLSEGQIVDGKLECLYHGWQFDGEGKCVKIPQLPEGAKMPRSACARNYEVRDSQGVVWVWMSDANPPDEGKLPWFEPYARAGFTDLSTVHELPYDHSILLENLMDPAHVPISHDRTDWTAKREDAQPLFFDVTERTPRGFAGYWGRTSTPHLRNLLRFEAPCVLTNTLEYTDKDGTEQCFSAHFLCRPAGQGKSMLLVRFGSTLTSPLAKVLPTWYFHQNACKVFEQDMGFLSSQNEVLLREKVPTRELYLNLRSSDTWVAEYRKWMDRVGHGMPYYFGHSTLSPPPVPAVVEQAPAGAVAGISASFPAKGGVGNVHAPNPTNRYFRHVVHCKGCRATVKKYTALTKAFAVLAAAAVAAAVLAATRQWKAVLLAASAVLAAASYACDALVSLITTNFIRTHRRL >Sspon.04G0014510-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4A:54249295:54249882:-1 gene:Sspon.04G0014510-1A transcript:Sspon.04G0014510-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AATVASPAAKRARAPSAPAFPTNKEAPDLPSKTRIFCDILASRADDDVDAALDKADIRGVTTADVEQVLRLSYAHPCAATAFFHWAGNRHLGHEHHSPYSWNLVVDVLGTNRLFARMWDAVDSMHSRRLLSLDTFASVFSSLAASPGCSPLEAFMDMPRYGMTQDTPALNSLLSALSAFAGSRREVLPCCSQRTP >Sspon.06G0009160-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:37935969:37939219:1 gene:Sspon.06G0009160-2D transcript:Sspon.06G0009160-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGETPEPAAPATFLRGEEGASPESARARFERMIRRVQADVCAELEAVEGGTSNGGGALFREDAWTRAGGGGGISRVLQGGRVFEKAAVNVSVVYGVMPPEAYRAARPEAAAAAAGGEKAGPVPFFAAGVSSVVHPVNPFAPTVHFNYRYFETEAPKDAPGAPRQWWFGGGTDLTPSYIIEEDVKHFHSHRGERRGVGGIFFDDLNDYDQETLLRFATERRKDTLFTEEHKAWQQLRRGRYVEFNLVYDRGTTFGLKTGGRIESILVSLPLTARWEYDHKPEVGSEEWKLLDTCINPREWI >Sspon.04G0020750-3D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4D:81286938:81287442:1 gene:Sspon.04G0020750-3D transcript:Sspon.04G0020750-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VAVRLPNNTTTDGFLGLYDKDIAIVTCLGFLGVRPIDLDQKAKPFPGDSLKAAGRAFNSGSLMAMRGSLYQKRPLEHLNSWVSDSQDISKAVLGGPLLGWDNKIVGMSLDIYDLLMQI >Sspon.06G0024060-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:45957114:45961769:-1 gene:Sspon.06G0024060-2D transcript:Sspon.06G0024060-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRDGVPPAGPPPAAGSGGAVAAAGDGPRRCSQCGHHGHNARTCTARPVKLFGVRIGDKPIRKSASMGNIAHLAAEGSGGGSREEGYGSDGERPHKKRGEAWTEEEHKKFLLGLNKLGKGDWRGISRNYVISRTPTQVASHAQKYFNRQTNVHRRKRRSSLFDMVIDDSSDQLPLSRSSSQEVEQQHLDDPQPVAALPAPVVSPATLVPPSVPVEVPISVPPPVQVPVPVSAPVVTSQPMEHDSVASSSSAGEAGAVMPGAVPPIFIQ >Sspon.03G0024850-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:60134831:60135520:1 gene:Sspon.03G0024850-3D transcript:Sspon.03G0024850-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VHGDRQRRRPGEARRQNGRRQDHQAEAAAARPGRRAAREDPPPQPDAGRLPLRHRRPAAARRRRQHDGDDDASAGASSRRRRSRRRILVPAVPSSHGPLFRGDGPPPPGRRALLRRTAAVQQYTDEPAGADDAVAIRARRQGRLVGAQAGAAPTATLLDGGQQKRRRGQRRGARPGAQVVA >Sspon.05G0013520-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:47383114:47400994:1 gene:Sspon.05G0013520-3D transcript:Sspon.05G0013520-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMDSDSSSHSGADYRSFRQITRDRLLLEMLRSTRKSSKSTWKVLIMDKLTVKIMSFSCKMADITEEGVSLVEDLYKRRQPLPSMDAIYFMQPTKENVRIFMSDMSGKNSLYKNSPVQRELVAHIKKDSTVLTRISALSEMNLEYFAIDSQGFITDHDKALEELFSEDAEGSHKYNACLNTMATRIATVFASLREFPRVHYRVARTIDASTLTTLRDLVPTKLAAAVWNSLARYKSTIPEFPQTETCELLIVDRSIDQIAPIIHEWTYDAMCHDLLCMDGNKYVQEVPSKNGSANEKKEVLLEDHDPVWLELRHAHIADANERLHEKMTSFVSKNKAAQLQQARSGGELSTKQLQKMVQALPQYSDQIDKLTLHVEIAGKLNNIIKEQHLKDVGQLEQDLVFGDAGTKELISFLRTRMDVSRENKLRLLMIYASINPEKFFESEKGAKLMQLAGLSADDMIVVNNMRCLRGPDTKKSSVGAFTLKFDLQKKKPGIRKERIGEESTWMLSRFYPILEDLIEKLSKGELPKDEYHCMNDPSPSFRGIPGSTSAQTSPAHQPAQSMRSRRIGGTWARPRNSDDGYSSDSVLKHASSDLRKLGQRLFIFVIGGATRSELRVAHKLSGKLKREIILGSSSLDDPPQFITKLKMLSTEELSLDDLQI >Sspon.04G0000530-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:2130525:2135137:-1 gene:Sspon.04G0000530-2C transcript:Sspon.04G0000530-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHDRLNSPSTSAISLEVMGHRLHIAQDPNSKHLGTTVWDASMVFVKFLEKNSRKGRFCPSKLKGKRVIELGAGCGLAGFGMALLGCDVTTTDQVEVLPLLMRNVERNRSWISQSNSDSDSIGSITVAELDWGNKEHIKAVEPPFDYIIGTDVRLESFLNSASQIVGPTSDHAKHLLQPLMETITALSGPKTKILLGYEIRSTTVHEKMMEMWKSNFIVKTVSRSKMDVKYQHPNIHLYMMDLKAPLIPEVEATDNGNDEEEVASNPGEDEDPGVKSESCSGSSPEAKSGGLDDWEIRRCGAMAARLLKDIRGALAFTGGGNKELKAFTFHRVLREAVSGLGFGGKKAREDGDER >Sspon.05G0012660-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:32356590:32362240:-1 gene:Sspon.05G0012660-3C transcript:Sspon.05G0012660-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSASPCKVIDSHLHVWATPQQAKEEYPYFPGLEPTLRGDDDFLLEGRMHAVAVTLTPEVRLEMAIELIRCFGTSIECMSEAGVDGALIVQPSIHMFDHSLVTSTLKKYPSKFIGCCLANPAEDGSGIKQIEHLIVHEKYRAVRFTRELWPAGQKMTNEVGRSLFSKAGELGAPVAIQTKMGIGPYIQEIEELCRDYPATTVILDHMSFCKPPTNDEEEKALSLFLNLSRFPQVYVKFSAIFRITREAYPYEDTAQLLSRAISHYGARRIMWGSDFPYVVPECGYKGGREAISLVAGKIPVSPSDLEWILGKTISQLFQGAWATP >Sspon.05G0012720-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5B:33720626:33722455:-1 gene:Sspon.05G0012720-2B transcript:Sspon.05G0012720-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMLSMLKVGYTILRSETPATDLVNTFMDWAARRSLVLLAIFLPPYYIYKLTTSAFAAAVPEDVAGKVVLITGASSGIGEQIAYQYAKKGARLALVARRVGSLHDVAERAKDVGSPNVLVVAGDVANPEDCQRFVQATVEHFGRLDHLVNNAGVANVCWFEEVSDVADLKQVLMSELVMTQAVNFWGAVHPTHCALPHLKKSGGKIFVNSSAAAVLAMPRMSFYNASKAAVLNFFETLRMELGDEVGITIATPGWIESEMTKGKHLSKEGTVEVDQDTRDAQVGLFPVVRAARCAEDIVDAICRGRRHLTVPLWYRALFLWRTLAPEVTDLSQRLFYRRTAGGHGNQAKAKRFLEFTGAKGVLQPASLHSSDIKRE >Sspon.08G0006830-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8A:21080472:21082660:1 gene:Sspon.08G0006830-1A transcript:Sspon.08G0006830-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNMRSLRSWVRAPAAACGCLVWSPEFI >Sspon.07G0032420-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7D:41478619:41480377:-1 gene:Sspon.07G0032420-2D transcript:Sspon.07G0032420-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDKPITIISDEEAKEPTTLDEEEDIQALAEPPDWLPDGWIMEVYHTEDGTIIRRKHEWLPKGWVMEIRAGGEKTDKMYKFYVHSITGVRLLSKQDVLLYINEAKVSGCDTNGQCDTSSEDNILAKVDFRPSGLPEGWVKEIVHRKTKKGLIRRDPYYTDPASSYTFRTLKSALSFLETGTIW >Sspon.03G0000830-3C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3C:13776641:13777648:1 gene:Sspon.03G0000830-3C transcript:Sspon.03G0000830-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRQLYTQNPGAFDVFRDEYLLAIAENRILILLDFADGFTNITSHEKLVYMLVMYEALTDAAPSLLLLFSGARKEFISERTQGILTKLAGAMRVMVSGVMAKVQGDCLFPHTPSAAAGGVHPLARDAMTCVELLARHRTTLDLILSDAGADERGSLAGVVLDLIAGLERNLQGRLSVACADAGGSRHIFLANNISFILSRVADADGVASLLGDAWAARRRSRVEQHVASYAASSWGPVVALLDTPVCGRGKPAKILEEFDAAFTRSRDSEVCREVPDPVLRAVLRNAVSDMVVPAYCAFLQRQPKLGKSVRYTADDLAESLSELFEGEATDGRKI >Sspon.06G0000840-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:2932601:2938481:-1 gene:Sspon.06G0000840-1A transcript:Sspon.06G0000840-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable galactinol--sucrose galactosyltransferase 1 [Source:Projected from Arabidopsis thaliana (AT1G55740) UniProtKB/Swiss-Prot;Acc:Q84VX0] MTVGAGIAVQDGSLLALGAEVLREVRPNVLVTPAAGGGLTNGAFLGVRSAPAGSRSVFPVGKLRDQQFMCTFRFKMWWMTQRMGSSGRDIPFETQFLIVEGTDGLQSTGDGTGEQPVVYTVFLPILEGSFRAHTGTGRPFGRPVRDPGVESFEGSHLVFVGAGSDPFDVITNSVKVVERHLQTFSHREKKKSSESFNLPQMPDMLNWFGWCTWDAFYTNVTAQGVKEGLQSFEKGGVSPRFVIIDDGWQSVAMDPVGIACISDNSAKEGHREDDPAKGLAHIVNEIKGKHELKYVYVWHAITGYWGGVRPGVVGMEHYESKMQQPVSSPGVQKNEPCDALDSITTNGMGLVNPERVFSFYNELHSYLASAGIDGVKVDVQNILETLGAGHGGRVLLARKYQQALEASVARNFPDNGIISCMSHNTDNLYSSKRSAVIRASDDFWPRDPASHTIHIASVAYNTVFLGEFMQPDWDMFHSVHPMAEYHAAARAVGGCAIYVSDKPGNHDFNLLKKLVLPDGSILRAKLPGRPTRDCLFSDPARDGKSILKIWNLNEHSGVIGAFNCQGAGWCQVGKKNLIHDEQPGTVTGVIRAQDVGYLAKVADHSWNGDVIVYSHVGGEVVYLPKNASLPVTLRSREYEVFTVVPSEAPAKRRLLRTDRPGRHVQLRVAR >Sspon.02G0014250-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:37925171:37926418:1 gene:Sspon.02G0014250-1A transcript:Sspon.02G0014250-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSWITHHSRCKAVAVPANPWRLSMFSINEFYGIMECLPSFKMCANSNQEQLAKAFLEKKEKKRKTKTGKTIDLLEDFKVQAIIGPKTSMQARFIIGIGNMTNVPILSYSATSPYLSAKQSKYFIRTALDDASQVAAIGSLIEYFSWRQVVLIYEDSEFGRGIIPYLVDALQDIDTHIPYRSIIPSVPTDDQINVELNKLKTMQTRVFVVHMSSNVAARLFVLAHDAEMLVDGYAWIVTDSVGNMFSTLDGNTIH >Sspon.03G0022960-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:55235071:55243448:1 gene:Sspon.03G0022960-3D transcript:Sspon.03G0022960-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AHKRTPDFATRAFSTATDPSTGPRSTRRPKGHATPRPSLVYHPDPNFQTREPACGPPVSAPTHRRKPAPTRLLLSSSSLFSTCIPRPPSRGCSAAETQTGHPHSPPHLLRSRPLRSIHTAGTSPRAAPSRRRAPGLCRRGRVVSPLRRRRRRERGSGVREAPSRARFRPDPTQQYLSSLKARTVLMGPAKGSRTINKTIVKGSEDQQQHDDPSSSSKAKQKKKKISDLDPKWSKDELTNFYEAYRQHGKDWKKISIAVGGKSSDMVRSLYTSHRTFLSLPEREATAMGFIALVTGHHNASARKHGEQQKSIDGPDPHNCHEGKISGFSASFKKQYYGELVRNNRNHAVRRRTPRIPIIAPADRSTIDEATPGIETVINSTKRKYEAANNDCAIVPTNEYSPDRVSGFAEANKAGQDFKKTRIQQTTTEGQTGIVEHETTMASKEGNKLVDSLNNNQILIDSISEDDMLVLDVLNSLVNAPSKMTKLEINIPSGSLGKTDSALSHRREEDHPIIDVSKRGKPVGKSSASKTRKKKHKKDAEVPAEAQNICGNNLVLPETLRVGITDDSFLCIDSGRVGIPEASGDISAEVPNAQTETKPEVRMSGRTRRKSQMHCKTKHMSCNEGSDNLQAKKLLHCFSSQPLRRWCTYEWFYSAVDYPWFSNNEFVHYLDHAKLSHLSKLTRSEWSAIRSSLGKPRRFSNNFLAVEKEKLEDYREQVRKIYAQLSDGLRDSLPADLARPFSIGQQVIVRHPSSRELFDGKVVMMGPDCYKVHFDDPDLGVDIVKDTDCMPVNWLYNRPDNMRRSSLSNNVYSILEMDHIPDLTPSENGDHAVNGATVLEGLKKLRLTSDIQPKAESIVNNERPPYRSTSDGPTKSSRDFYEEIKRFIGIIRSQIFARVPTALGNCNPPVSPM >Sspon.03G0009220-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:30096044:30108512:1 gene:Sspon.03G0009220-3D transcript:Sspon.03G0009220-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LASPPQDFVVYYETRLGIRREPNGDDGDDRDEGPTPRGGSDHAASHHPAAAVRANGGADLAVFEQFERMVRWLICGYVAELLLHWLVMVLENPVIRYFPGFGSQERKVEVRSGAIEDGPPKKPLLPSFESAEMRNLAETLLRDIIRGSPDVKWESIKGLENAKRLLKEAVVMPIKYPKYFTGLLSPWKGILLFGPPGTGKTMLAKAVATECKTTFFNISASSIVSKWRGDSEKLVKVLFELARHHAPSTIFLDEIDAIISQRGEARSEHEASRRLKTELLIQMDGLTKTDELVFVLAATNLPWELDAAMLRRLEKRILVPLPEPDARHAMFEELLPSTPGTMEIPYDVLVEKTEGYSGSDIRLVCKEAAMQPLRRLMSVLERRQEEVPEDGQKPVV >Sspon.03G0032530-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:38247017:38248873:1 gene:Sspon.03G0032530-1B transcript:Sspon.03G0032530-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABCG10 [Source:Projected from Arabidopsis thaliana (AT1G53270) UniProtKB/TrEMBL;Acc:A0A178WBC4] MEATTVMSQGGGGKDDSGGGRRRQRYRIETRALSYVLPPRGARFVPWSASAGGKEEEEERLLLRGVTCEAPPGELVAIVGPSGAGKTTLLSVLAGSADPARVAAGEVLVNGLPMDAARFRRVSGYVPQDDALFPALTVEESLVYSARLRLRLRSGSSGGGGAAEDRARELMAELGLAHVAASRVADVSGGERRRVSIGMDLVHDPAVLLLDEPTSGLDSGSALHIVKMLRDMAAKHDKTVVLTIHQPGFRILELIDRVVLLADGAVRHHGSLDFLESRLIATGHDIPAHVNVLEYAMETIDSLKPDVAVAATAVTTITAAAASANPVPASARRTGYANSPAAEVRILAGRFAKTVLRTPQLFAARMAQSVLAGAFLGSIFLGTTDLQSRLGFFAFNLTYLLSSTTEALPVFLHERRILERETSRGAYRVSSYVASNAAVFLPFLLAAALLYAAPVYWLVGLAREPAAFAYFALVVWLVMLTANSFVACLSALAPNYIVGNSVVAGLIGCFFLFSGYFVASKNIPRYWVFMHYASLFKYPFEALVVNEYGGARGARECLASAGGGAGGICVLDGAGLLRQQGMREGMRWSNLGVMIGFVVGYRLLCFVFLCIRCHRTRR >Sspon.02G0051020-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:64043775:64044347:1 gene:Sspon.02G0051020-2D transcript:Sspon.02G0051020-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTDRSNTIIPLLVLLMTIIFWAAPPVPGEPPSVVPFACKDATTAGGGTFTEAFCLSTLQGSKSSVGAADYTDLALVAVDLTTANATATEAKIDELLASNNISGAAVVEGLQSCRALYGTVVRQYQPECRAAVKNGRYGDGKACLGRTAQAAAACERWFQQRKVASPVATDDAFLAELANLAIALASIAR >Sspon.06G0014730-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:81160760:81168023:1 gene:Sspon.06G0014730-1A transcript:Sspon.06G0014730-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSSGSASRHGRLLISPSLSTPTFSTRSPSPSPSPAASPAPHHHQERRNSTSSPKPLVPFPASSASRPRSSFGGAGPRGPAAAAASASGPAFAHNARLAAALVPAAAFLLDLGGLPVFAVLAIGLAAAYLLDALQLRQGAFFTVWAALLAADVAFFFSASLSSAAAASLPLTVLALLLCAETSFLIGVWASLQFRWIQLENPTIVAALERLLFACVPIAAPALFTWALVSAVGMANASYYFAAFCMVFYWLFSIPRPSSFNSRKQDAPMLDSDGILGPLESCVHSLYVLFVPVLFHAASHHATLFTSWSSVCELLLLFFIPFLFQLVASTRGALWWITRDAHAMDQIRIANGFVALVVVVLCLEVRVVFHSFGRYIHAPPPLNYLLVTVTMLGGALGLAAHAAGKVGDAASSVAFTGLAVLVSGAGAVVIGFPMMFLPLPMISGYYVARFFTKKSLSSYFTFVALASLMVLWFVVHNYWDLNIWLAGMPLKSFTKYVVAAVIMAMAVPGLALLPTKLRFLLELGLIGHTLLLCYIENRLFNYASMYYYGFEDDIIYPNYMRFLPGSVGKQFLKLYSGGMEDLLQMSAKRFLVLVVATGLLFIVMQPPIKLSWVYRSDFITAAHLSDDDISIYGFVASKPTWPSWLLIATVVLTLAAVTSIIPVKYVVELRALYAVAVGITLGIYISVQYFFQAVVLYPLLVATIVSAAVFVVFTHLPSESSTRVLPWVFSFLAADRGMHGPSSRSSAFPPKARLLQQRRAHAAPTFTIKRLAAEAAWMPAIGNVSAVLCFGICLVLNITLTGGSNRAIFFLAPILLLLNQDSDIFAGFGDRQRYFPVTVSISGYLLLTALYRIWEETWPGNGGWALDIGGPGWLFAVKNFALLVLTLPNHILFNRFMWDYVRQTDAKLLLTLPLNLPSIIMTDILTIRVLGLLGAMYSLAQYMISRRIRIAGMRYI >Sspon.05G0019020-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:83233299:83239373:1 gene:Sspon.05G0019020-2B transcript:Sspon.05G0019020-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYPAPNGNPSPAAAAAYYAAAPPAAATANGTAPAAFGVACPYPAPPHHHPPPPPHHHYPYHQPPPPPHYNHHQYAPPPPTCLRRLLAAVVGAFLLLGAATFIVWLLLRPRAPAFSLTSLALSRVAYSRANSSLSASFDAALLAANPNSKLSVAYFSPLASVSFAPSSPLAVASLAPFGQAPGNTTTLAFRLVVEDAYVGPDDAAALKTGDGGAVDVQVRLMAVAVFDRGGWRTRRRVMRVMCDGVKVVFRGKNSTEAAFNGPPRRDPMNGLDAMLTIFHRFRVLAAIFVLFAHLHNQCTSSTEMVVKVMAKIVVHAWWLTGYLGVWDCG >Sspon.01G0005890-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1A:15310852:15312560:1 gene:Sspon.01G0005890-1A transcript:Sspon.01G0005890-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSASELFTARRAARGGRLSDPDPDPDPHADALRDQHGLGGRRQRRGCRPRRQLDAAGDVRQHLHTGAPPPRRRGSYTDQILSYIDNNNIGDSAVRRNRLDRLMFRTNERLPGAVLQAQARVLERLRGISLGSSASRPSILLDEFSVSDVFRIIDFRNRETRQEANRPHSSSFHPSSESDEERPTISSTNSNRSAGLSKAAFLRLQIEIFEASKDDKREASPECSICLDGFYNGDELIKLRCGHRFHSTCLEPWVRKCADCPYCRTNIRSRS >Sspon.02G0049380-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:38397393:38398851:-1 gene:Sspon.02G0049380-1C transcript:Sspon.02G0049380-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEVERLTKLKTSRLKEIVMKRRAELEEICQKAHIEPDVSTAPEQTDALIDSGLIDPSELLANIQSQILKAKEESLSRKDIMDRINKWIAACDEEAWLEEYNQGRLISVLEEYRLSRQQKEEENRRYRDQKKLESILLAEKEAIFGSKPSPKRTSSLSRKTNGYRPNGNTNGLKTPTPRRSSLGSATPELLTPRSYSGHNRYFGDLRRLSTSHLNFGDDSLSTFTSISGSEPESLLDEHHTAGTISDFICIHS >Sspon.01G0058770-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:35296479:35307882:-1 gene:Sspon.01G0058770-1D transcript:Sspon.01G0058770-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MWHEARRSERKVHDLMDAARRRAQRRAAYLARRRGDPQQALQVSGTRCRVHRDDALYQATEDQQGLIPWNGKQDVLIDRFDGRALLDFIRDSSSRPFRVQEKSEEEEELEEFVNFERYRDLIKHRRRGCRYLFVSDDAGLQHVVQELEAKALLPFSFDKPQSSQPPASKGAYSQVGYSYKGEGNEESEDLDSDDDDEEEEDEDDDKDFSSDDSSDERMESIAKEFGIKRYNWLVYKDKKNKEEEKRQKEIVKGDPSIKKLSRRERRKASQIEREKEREAARSVGRVSYHDPYREQRRSPSYEAYSRGRRSRSRSRSRSPSHSRRHGRGIHAESNYRSKPKAPRIEYITEFGGSDDTSEPKVSGISPPSSPIRIDIPNRSGNSTFNICKYTLDKLFSDGAYYISPGGQILEALHSDPASSLSVEQERTAKLLKPPPALAKLSKGASGGTGKTPQTEKKETPQERLKRIMSKQLNKQIKKDTAAEIAKKREQERQRQEKLAEVGRYRHRSRSRSLSRSPPRRRRYSRSRSRSRSPRRYRSRSLSSSRSPSRSPRYRSRSRH >Sspon.02G0020750-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:67303051:67312328:-1 gene:Sspon.02G0020750-2B transcript:Sspon.02G0020750-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VKLASEYHFYMETQTALAVPDEDNTLVVYSSSQYPELAQSVIARCLGIPFSNVRVITRRVGGGFGGKAFRSFQVATAAALCAYKLRRPVRMYLNRNTDMVMIGGRHPVKAHYSVGFKSDGKITALHLDLLINAGISPDASPYIPGYIISSVKKYNWGALSFDIKLCKTNNSSKSVMRAPGNAQGSLIADAVIEHVASVLSVDANSVREKNFHTYGTLQLFYPDSAGEASTYTLHSIFDRLVSTSSYLDRAKSIKEFNSNNKWRKRGISCVPLIFKVEPRAAPGRVSVLNDGSIVVEVGGIEIGQGLWTKVQQMTAFALGKLWPDGGESLLERVRVLQADTLNLIQGGLTAESTSSESSCAATLQACNMLFDRLKPVLDRLQQQSENVSWDTLISQATAFRKRPVMFPSRPLKIMLIYLQVRTGYLAKFLISTLTMELVEIDLLTGAITLIRGDLVYDCGKSLNPAVDLGQIEGSFIQGIGFFVYEEYITNSDGLMISNSTWDYKIPSVDIIPKQFHAEVLNTGYHKNRVLSSKASGEPALVLASSVHCALREAIRAARVEFANSTVSGHSPLEFQMDVPAPMTLVKELCGLDIVEKYLECLSTYELSAGALEYNLVVSILHEKRLDEMTPQVLNKIITHKLCMDIANKTCLATPSIKSLATTCKDKSKNKAWWQEPSLSNADNVSDDSFDDDVILIDLLHEQKRRLKEQPKEIKALKACIVKLKCVDVSSGIGVSPSRTMTSPTARTNNPVAIGRVISRTLERGLGPSRGSLGSLDISMAGAGPFIAKLQPRTQCRVLIAPVVAEKPI >Sspon.02G0025110-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2D:82192979:82195027:-1 gene:Sspon.02G0025110-2D transcript:Sspon.02G0025110-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVWSALVQEAISRVISFGFSRREKASQGHLMERLQMAANELELSLERSAKLPITDVSLFQCRKTIKRAYIEAMEQLDKHKQQAHNCAGKFLRDMESGCSLHQYTFCNPIVRHLLEGKTLEYKLEQGNQLRSFYIWPTFSEERGVEAVLEYSYEDSTMPEKYVELGGSGRRWDEGQVETCCADGPGVVKSGREAWTEGPGGRTEDMQVERGSEDLVVGQSRTVVTRVERRGMRMEYAIRKRVWWFGFKTIGGGFTGLGLRTQAEVPRRNGRHVVASGSSRRGEATDEEARWPSDEDDTGLDHNAFGLTVSRQRGASGKTRNLLESLDRGPSGGNRGASASVC >Sspon.06G0010090-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:55353868:55356873:-1 gene:Sspon.06G0010090-1A transcript:Sspon.06G0010090-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMLDAFFSKGGGGGGFRGAKCKTLLKLSIPRIKLLRNRRELQLRQMRRDIAKLLEAGQEATARIRVEHIIREENMMAAQEILELFCELIAVRLPIIEAQKECPIDLKEAISSICFAAPRCADLPELMQVQMMFATKYGKEFVAAASELMPDCGVNRQIIELLSIRPPPVDAKLKLLKEIAEEHEVDWDPSETETEFLKPHEDLLNGPTYFNGSMLPLPKEKHEETVAASAAEQPDEDYESDTGLDSLDLPEVPKAAIRPPSDAPLTPDIGPHVQGSQSLPHEFSDPTDLEENPTAGGAFNVIQMKSLEHLVSAPSSQSDVPDFPNEKKQFIPFASPPPVFAPSVEKTETVPSPSLSPPVKPTEPEQEIYTKKIDEVTTPPVPPTEYMFTKQSEQAQTNSPPESGANIDLDDVLSAAQTAAESAERAASAARAAANLAQLRIADLKKNSNVYNKYSDSAQKESHHQTEGTQKPVFDHQDSFTNDTQDYVPSHVPQRSPSLEDDPYFSYPNLFSAPKP >Sspon.02G0016770-4D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2D:42649202:42651739:1 gene:Sspon.02G0016770-4D transcript:Sspon.02G0016770-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLRLRLPQYASLTPPPPLREHSALMAKQYYATSSLVVGYALCSSLLSIINKYAVTKFGYPGLLTALQYFTSAAGVWILGKLGFLTHDAFNLETAKKFAPAALVFYLAIFTNTNLLRHANVDTFIVFRSLTPLLVAIADTTFRKQPCPSKFTFLSLVVILGGAVGYVMTDSAFSITAYSWALAYLVTITTEMVYIKHIVTNLGLNTWGFVLYNNVLSLMLSPIFWFLTGEHKSVFAAMESRGEGWFHLDAFIAVALSCVFGLLISFFGFAARRAVSATAFTVTGVVNKFLTVAINVMIWDKHATAYGLVCLLFTIVGGVLYQQSVTVKGNSAAQRELVPKQPKGANDSKEFDEEKQSLVSSAK >Sspon.04G0019310-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:71478213:71478539:-1 gene:Sspon.04G0019310-2B transcript:Sspon.04G0019310-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSISIGTAAPSWAAGASKNKSTGAGVDEAELLRRRNAELEREVEALRLELGAARRRAETAEEAEERLCVQLGDTEVEALELACAYQAQVQALAAELAAARGARAAR >Sspon.04G0022040-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:6868219:6869637:-1 gene:Sspon.04G0022040-3D transcript:Sspon.04G0022040-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTPLLHPVTVLDQFHVTPSPAPAAGQPRALALTFFDLVFWAIPPVQRLFFYDNADLLGVSDFTLSELPRFRNSLAAALHHFYPLAGKLTCELVDEGVAPPEVVFSDGDSVPLTVAVSGDDFRDLAGDHARDTTRIRPLLPALPKHGASRSQQGVLAVQITVFPRAGICIGTTVHHAVADGSSYAHFLSTWAAAHRLGPEYNGAVAIAVPPLFDRGVVRDDAGLREAFLRDHRALGTAGGNERLDDWDLSRRPGVVLATFRFTEKQLRALGRRVESETSARCSPYALACGAAWAGIVHARGSGSGSGMDGGPAPDAHFGFVTGCKPRATPPVPANYFGNCLGLCRVHAKRGELTAAAASAAIWRAIEGLAEAGSVFRGSRGWVRWVQEYASARAVTVAGSPKLGVYAAADFGGAWGRPRKVEIASVERTGALALAESGRHGDGGIEVGLALPRAEMEAFRKFYVDLLASLG >Sspon.03G0016450-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:52168519:52173689:-1 gene:Sspon.03G0016450-1A transcript:Sspon.03G0016450-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGVGEASLLVRGGGKAAVWRERCPGCRQERKVQAIDGIPYADFLYIWIACLCASLPIQSLFPYLYFMIRDLKVAKEEQDIGFYAGFVGATYFLGRTISAVPWGMFADKYGRKPCIVISILSVIVFNTLFGLSTTYWMAIVTRGLLGLLCGILGPIKAYASEVCRKEHQALGISLVTSSRAIALVIGPAIGGFLAQPAEKYPNLFSEESIFGRFPYFLPCFVISLLAAGSCIACIWLPETLHFHDDAKLEAIEELEAQVGRSESTKNLLKNWQLMSAIILYCIFSLHDTAYLEIFSLWAVSSRKFRGLSLTSQDVGTVLAFSGFGVLVYQLAIYPFLAKYFGPIKTFRPAAILSIILLTTYPFMANLHGLELKILINMASVLKNMFAATITIACNILQNTAVTQEQRGVANGISVTLMSMFKAVLMGSEAHKRTVLTRRSDLVPNAKHGVRDWSGADVQAIFLPTKFNEAFINLSCNFSSSKRFQPMVANGT >Sspon.06G0034660-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:52023825:52027745:-1 gene:Sspon.06G0034660-1D transcript:Sspon.06G0034660-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLSAAAAAAAASLTGSTSSAASKTTITVELTPPTVAAAAAASLTGSTSSAASKTTITVELTPPTVAAAAAASLTGSTSSAASKTTITVELTPPTVAAALAAGLVRWIPGLEHCHAQHQLWAHLVWIRVSYQPLPPFDFVDKLLGFTFTFLILYSSPFSGFNSSASRSPSPRSTSRSPSPRSTSRSPSPGAASRTPHRKEPGRRWSGTGAPQSRSGTGSPPSPPGRSGRLDPIGKKTRKNANFRKIRYIAGLTETLEG >Sspon.01G0017190-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:62685485:62689060:-1 gene:Sspon.01G0017190-1A transcript:Sspon.01G0017190-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSPGQLQGWKVSGGDPCGESWQGITCSGSSVTAIKLPSLGLSGNLAYNMNTMDSLVELDMSQNNLGGGQQIQYNLPNKKLERLNLAGNQFSGAVPYSISTMPKLKYLNLNHNQLSGDITDIFSNLPSLTTLDLSSNSLTGNLPQSFTSLSSLKTLYLQNNQLTGSINVLANLPLDDLNVANNRFTGWIPNELKKINSLQTDGNSWSTGPAPPPPPFTAPPQVRNRRKSPGQRSNGSNNSSSGGSSGIGAGAIAGIIISVLVVGVVVAFFLIKRNQRKGAMPEHYEQRQPFNSFPSNEVKNMKPIEEATTVEVESLPSPAAVNLKPPPKIERNQSFDDDDFANKPVAKKSNAAPVKATVYSVADLQMATDSFNMDNLIGEGTFGRVYRAQFSDGKVLAVKKLNSTALPRPSSDDFYELVSNISKLHHPNLSELVGYCMEHGQHLLIYDFHRNGSLHDMLHLSDDYNKPLSWNSRVKIALGSARALEYLHEICSPSIIHKNFKSSNILLDTELNPHISDAGHSSFVPDAEFQASDQGSGYSAPEVDMSGQYTLKSDVYSFGVVMLELLTGRKPFDSSRPRSEQSLVRWATPQLHDIDALDQMVDPALKGLYPAKSLSRFADVVALCVQPEPEFRPPMSEVVQALVRLVQRANMTRRMID >Sspon.01G0031870-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1A:108698487:108698776:-1 gene:Sspon.01G0031870-1A transcript:Sspon.01G0031870-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSRLVSPMEGSPSYVIHRRQAGTGSTSAVEDPSGHLGPKKLVDSFLALVAAGSLEDKEEAS >Sspon.01G0062280-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:102156175:102160095:1 gene:Sspon.01G0062280-1D transcript:Sspon.01G0062280-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPTMKAQHGNGRGSRSPFLTSYALTLAFITFVSVLYFKDFSSTLHRPFLHRPLRPRHHASDSDAASQAGHRAATEQLPFAVGAAPAGCDVGQGEWVYDEAARPWYQEEECPYIQPQLTCQAHGRPDRAYQHWRWQPRGCSLPSFNATLMLEMLRGKRMLFVGDSLNRGQYVSLDYNATIEFYWAPFLAESNSDDAVVHRIADRIVRGTSIEKHAKFWKGADILVFNTYLWWMTGQKMKILQNSFEDKNKDILEMETEEAYGMVLNAVLKWVESNMNPKTSRVFFVTMSPTHTRSKDWGDDTDGNCYNQTTPIKDLSYWGPGTSKGLMRVIGEVFSASKIPVGVVNITQLSEYRKDAHTQIYKKQWNPLTPEQIANPKSYADCTHWCLPGLQDTWNELLYSKLFFP >Sspon.05G0005910-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:18739756:18743692:-1 gene:Sspon.05G0005910-1A transcript:Sspon.05G0005910-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:nudix hydrolase homolog 8 [Source:Projected from Arabidopsis thaliana (AT5G47240) TAIR;Acc:AT5G47240] MESSLLDTAVAAGLCPAAAGRRRAGSTASFLSCSCSSRDCRVSASYSHSISRMLSGVRSAARKKLFRTDPAAADLLGIANWSDTSGGAGGHHQQQHWWTALENNFVLEATDDEYGGVVVDADRLPDDKAAFARSLAASLSYWKSVGKKGVWLKLPVDRAEFVPLAVKEGFKYHHAEEAYLMMTYWIPDDEPNMLPANASHQVGVGGFVINDQMEVLVVQEKYRGSSLDGVWKLPTGFILASEEIYTGASREVKEETGVDTEFVDVVAFRHAHNVAFQKSDLFFICMLRPVSSEIKIDETEIQAAKWMALEEFVKQPFIQEDHMFQKIMDICIQRLRKCYCGLMPHHVVSKFDDRTSTLYYNVAEPEDVNCSAA >Sspon.03G0036220-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3B:88713288:88717871:1 gene:Sspon.03G0036220-1B transcript:Sspon.03G0036220-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding CLLPLPLHPILGPDRRPLLSWRRRATWRTRGRRPGRRLHYQAGGSRTFASSFRSSSSRSRPPTPAGGTSCFSLFAATPSTTTSSLTLRIAVQTPSWLRLDSIVLSWIMGTISLDLHDLVRTSPDARRAWLALEGQFLGNAEARALRLDASFRTFVHGDLSVGEFCRRM >Sspon.07G0017570-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:82338091:82341719:-1 gene:Sspon.07G0017570-3C transcript:Sspon.07G0017570-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:V-type proton ATPase subunit C [Source:Projected from Arabidopsis thaliana (AT1G12840) UniProtKB/Swiss-Prot;Acc:Q9SDS7] MATRYWIVSLPVQTPGATATSLWSRLQDGISRHSFDTPLYRFNVPDLRVGTLDSLLALSDDLVKSNVFVEGVSHKIRRQIEDLERAGGVDSGALTVDGVPVDTYLTRSGLLLPAYCPVQPADRIRLAGLLPQRVCSSKASLSARVCRFVWDEGKYPTMSPLKEIVGSIQSQVAKIEDDMKVRAAEYNNVRSQLSAINRKQSGSLAVRDLSNLVKPEDMISSEHLVTLLAIVPKYSQKDWLSSYESLDTFVVPRSSKQLYEDNEYALYTVTLFAKVVDNFKVRAREKGFQVRDFEYSPEAQESRKQEMEKLLQDQEAMRTSLLQWCYASYSEVFSSWMHFCAVRIFVESILRYGLPPSFLSAVLAPSTKGEKKVRSILEELCGNAHSIYWKVEDDAAVAGLGGESEVHPYVSFTVNFVGV >Sspon.01G0003540-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:7951567:7955177:-1 gene:Sspon.01G0003540-2B transcript:Sspon.01G0003540-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKGGKGLQATKTTAAKSTDKDKDKDKKKAPVSRSFRAGLQFPVGRIHRQLKSHAFTHGRVSATAAVYSAAILEYLTAEVLEVAGNASKDLKVKRITPRHLQLAIRGDEELDTLIKGTIAGGGVIPHIHKSLINKTAKDPSDRSSLGFPTAMAGKGGKGLLAAKSTDKDKDKDKDKKKAPVSRSSRAGLQFLVGRIHRQLKSRVFAHGHVGATAAVYSAAILEYLTAEVLELAGNTSKDLKVKRITPRHLQLAIRGDEELDTLIKGTIAGGGVIPHIHKSLINKTTK >Sspon.05G0020160-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:88228171:88229204:-1 gene:Sspon.05G0020160-1P transcript:Sspon.05G0020160-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVFLALAFPGVSLLVYQLSVYPHTNKILGPIKTSRVAAILCILILFGYPYMTYLSGTGLSIILNIASILKINLAPQDQRGAANGLSVTVMSLFKAIAPAVAGTVFSWAQARQHAFFFPGDQMVFFLLNVIEFLGLILTFEPFMAAPESRA >Sspon.02G0046030-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:86039828:86043224:1 gene:Sspon.02G0046030-2D transcript:Sspon.02G0046030-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPYGDGKGPSLKSQSQNQQPRPGPRLKPAIEMEDLINLLHGSDPVRVELTRLENELQFKEKELGDAQAEIKALRLSDRAREKAVQDLTEELAKVDEKLKLTESLLESKNLEAKKINDEKKAALAAQFAAEATLRRVHAAQKDDDMPPIEAILAPLEAELKLARQEIAKLQDDNRALDRLTKSKEAALLEAERTVQMALAKASLEENKILDRMHRQKVAEVEKLTQTVRELEESVLAGGAAANAVRDYQRKVQEMNEEMKTLDRELARAKVSANRVAVVVANEWKDGNDKVMPVKQWLEERRILQGEMQQLRDKLAIAERAARSEAQLKEKFQLRLKVLEEGLRMSTSRTNVSGTRRQSIGANGFLSKRPSFQMRSSVSTTTTLVNHAKGASKSFDGGCRSLERYKGLVNGNGMNVSTDSSEDKESNNSDEKCNEFASAESEDSVSGVLYDMLQKEVIALRKACHEKDQSLKDKDDAVEMLAKKVDTLTKAMESDAKKSRRELAAMEKELAAVRMEKEQDSRAKRFGSSSGSGSSSQLPPGRTLPRSGSARNM >Sspon.07G0033520-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7C:61477863:61479209:-1 gene:Sspon.07G0033520-1C transcript:Sspon.07G0033520-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNVVTLYHGGSMEEDEFGNVSFVGMQRVPVIFNDRPLFCELFGRARDELHCNSNEDAISIEGGTSLCCPMIPPLMGISPPNGHSPSRGFSLEPDPAPSDPSLPNREVDMEDVVVVHNAQSAPNE >Sspon.06G0021980-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:22400785:22402017:-1 gene:Sspon.06G0021980-2C transcript:Sspon.06G0021980-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGHSGSLQSSSGGDDEFDSRCGADSSPLSALLRPPPSVPGFGGGGGSLIYGLQDLRTPPLSHWCSTTAPLTTGTEASPSPPSLPGHGAAVAASAAADQADAAPSQAAAAPPPRGSRKRARASRRAPTTVLTTDTSNFRAMVQEFTGIPAPPFAAGGATARSRFDHLLFPLRTATAAAGTASPAARLPQYLLRPFAQKVHASSSTPYPPSFASPSTSSPAPANVSIAPASITTPGTTAVASSSDSYHQLTVTAASTSALLGMQQDHSGNNYYHSFHQSSSLGGDGKYAAHPVFDRGIVPPPSSATRLQDPADFLGLTHEVMVVGSQRPHAHLHPRNGGDELSGLVGGASVTGAGGCKATYSSTRPLLERNRRNPSADGSTATTTTAPVSATAGMRTQAGVVDSWVCTSD >Sspon.05G0031020-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:2961557:2970685:-1 gene:Sspon.05G0031020-1C transcript:Sspon.05G0031020-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLLSTPSRTLAPAPAGVRGRAPAQAHLPRGGLGVAVAPRRSRALRVRASVAIEKETPESEPPPTFLREDGQGAGSGSVRARFEAMIRRVQGEVCAALEEADGGGARFVEDVWSRPGGGGGISRVLQDGRVFEKAGVNVSVVYGVMPPDAYRAAKGEAGKNGAAAVDGQKPGPVPFFAAGISSVLHPKNPFAPTLHFNYRYFETDAPKDAPGAPRQWWFGGGTDLTPSYVIEEDVKHFHSVQKQACDKFDPSFHPRFKKWCDDYFYIKHRNERRGLGGIFFDDLNDYDQEMLLNFATECADSVLPAYIPIIEWRKDTPFNEEHKAWQQLRRGRYVEFNLVYDRGTTFGLKTGGRIESILVSLPLTARWEYDHKPEEGTEEWKLLDACINPKDWI >Sspon.08G0002500-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:5474639:5478714:1 gene:Sspon.08G0002500-3C transcript:Sspon.08G0002500-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRNIRQRREYLYSKSLEGPQRALFEKKRRIRAALEEGKPIPTELRNEEHDLRKQIDLEDQERQVPNSIVDNEYATATVREPKILLTTSRNPSAPLTQFVKVISEIVESCRSHDITDLILVHEHRGQPDGLIVSHLPHGPTAYFGLLNVVTRHDIKDRKAMGKMSEAYPHLILDNFSTQIGERTATILKHLFPVPKPDSKRIITFANRDDYISFRHHVYEKPGGPKSIDLKEVGPRFELRLYQIKLGTVEQNEAQSEFVLRPYINTAKKQKTLGA >Sspon.08G0026150-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8D:14685863:14686256:1 gene:Sspon.08G0026150-2D transcript:Sspon.08G0026150-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVVTVCPPWVLGPLLQPTVNGTTMGFVSYLKGDNTDEKMRNIVDVRDVADTLVLTYETPEASGRRYICSAHAMKVSETVGLVSSLYPDLKLHYPRK >Sspon.03G0005360-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:21577566:21581479:1 gene:Sspon.03G0005360-2B transcript:Sspon.03G0005360-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDDRAMANVRVDGAPAEATLGDGELRLRRGAAGGAGAERALSLEREVLGVQVRGKEVVVRAFVAAAAARALSCAGAATAAGGKRCRRDFVLEMADGEGAAVAWGEKLTRCLDSFGRPKRLFVFVNPFGGKKCARKIYDTEIKPLFEAAGVSVTVQETQYQGHAREVASSLDLADYDGVVCVSGDGVLVEKYSVSNAVFAIIKGHKHSLDVCTILQGDTRFFSVLLMTWGLVADIDIESEKYRWMGSARFDFYALVRIMNLRKYCGSIQFVPAPGYEYGEPIKQVKNSIVESLEQNGKSHRSSYPGPSVEFQASDWRFIDGPFIAVWVNNVPWAAEDIMAAPEAKFSDGYMDAVILRHCPKTDLLALLLKMSDGSYVKSPYVTFKVRSFQLSPGQLVENQKGVAL >Sspon.08G0011320-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:47366838:47369272:1 gene:Sspon.08G0011320-3C transcript:Sspon.08G0011320-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPMQLPSDGNSGHTPKKSSESALILIRHGESLWNEKNLFTGCVDVPLTPKGVEEAIEAGKRICNIPIDVIYTSSLIRAQMTAMLAMMQHRRKKIPVIMHNESEQAHRWSQIYSEETRKQSIPVITAWQLNERMYGELQGLNKQETADRFGKEQVHEWRRSYDIPPPNGESLEMCAERAVAYFKDQIVPQLVAGKHVMVAAHGNSLRSIIMHLDKLTSQEVISLELSTGIPMLYIFKEGKFIRRGSPVGPSEASVYAYTRLSSPDREARPI >Sspon.04G0001200-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:4484084:4503643:1 gene:Sspon.04G0001200-1A transcript:Sspon.04G0001200-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G39190) UniProtKB/TrEMBL;Acc:O80962] MATLVRPPVLHVRAVSGGGGGGNKDKVAQRPWWGGNKPVSPRQARQSGGSGARGGAGALDQVLGVLRRDGEFLQAAAGAPLRDVFWLRFLEKQQQQPRPKPKPLRQQQEEEVVVVDESSPAFPPPSYPPGSSTATRAHLNSTPFRAHRLADGHISPGLSCVELMAADFQALKVYAGSAQHSLARRFLGSKGQSKPEHQPKPRPQEQQKEQQQILQAPAFPPPSYPPGLSCMELMMADLEALKLYINYYSAILITPLPQHYGPDLLAQYFVSRPHILASRVIQIYKRAQLTTDATYSSGSSSKGFDDTQHIVGQLLKETFLNLGPTFVKVGQSLSTRPDIIGSEICEALAELHERVPTFPREDAMKIIEGEFECPVSHMFSYVSDEPVAAASFGQVYQGRTVDGALVAIKVQRPDLLPFVLRDIYILRLGLSFIRKIAKRRSNISLYADELGRGFVGELDYNIEAANATKFMEVHSRYPFMLVPKVLKQFTRKRVLTMEWVDGENPKDLLSLSKGISEKNTQASDKQKLEAKSRLLDLVNKGVEASLVQLLETGLLHADPHPGNLRYTPEGRVGFLDFGLLCMMERKHQRAMLASIVHIVNGDWASLVYDLTEMDIVPPKTNLRRVTMDLEDALGEVTFEDGIPEIKFSKVLGKIWSVAFKYHFRMPPYYTLVLRSIASLEGLAVAADGTFKTFQAAYPYVVRKLLSDNSLATRRLLNQERVPMAEDCCVSKIGFSKERQALMVAFALALLMGTAVYFRIWARQSSDPSFTADDREELRRQFEQANLEAMDESAEWRMQYDKEVEKNRQLHDELSKVKASLAGTARRLELLQKDNELQKRQTESLRQQCNCTLH >Sspon.06G0032180-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:79851620:79853445:1 gene:Sspon.06G0032180-1C transcript:Sspon.06G0032180-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ASTSQWKSYRKLPHEQDALLAFKQGITNDSSILLASWRRDQACCGWTGVTCSNQTGLVVELDLNSFLLVGQISPSLLSLGYLEHLDLGKNFLQGPNASEFPEFLCSMKNLRYLDLSYTPFSGRVPPLLSNRSNSEYLDLSFTSFFGRIPHQLGNLTKLRHLDLSWMYNSTYSTDVSWLSHLHMLEYVDMSNITLSTVSDFPVVANMIPTLKHIILMYCSLPSGNQSIASTDAWAYWAGGSLLAAHDIANLNLTKLEELDLRWNYFGHTISSCWFWNVTSIKSLSLDETYLYGSFPDALGRMFLLQHLDFSNNGNAATM >Sspon.03G0024970-3D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4D:47526941:47527168:-1 gene:Sspon.03G0024970-3D transcript:Sspon.03G0024970-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MCHFHEHERAILDACSAYMSGTIVGSSVGSIHKYARDKCFADFHKSLTLYTEHLRTEFAANRRRVLELEREASAVQ >Sspon.02G0050250-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:54290251:54292484:-1 gene:Sspon.02G0050250-1C transcript:Sspon.02G0050250-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSDHPEPPSLAPFEVQADPAPPPAKGGGKMHRLLRSAFKRGDSASASSGDEADQLSRSSSSSASSGRTPSGGRAVRRGGDGDRSSRESFELDGSKNGKLLSALRDAKIGHAYEPFPWEKKMRELLPVPEASCFLSLLLLPKATDGSNTRYNSLEDTLARADAWLLSSQASGVPVVFMNVQTEALLTKISARR >Sspon.06G0002710-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6A:8489713:8490828:1 gene:Sspon.06G0002710-1A transcript:Sspon.06G0002710-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding YYYRIGHELPDGSVVWGKPYSFRAPPSPGQKSLQRIIVFGDMGKAERDGSNEYAAYQPGSLNTTDALISDLDNYDIVFHIGDMPYANGYISQWDQFTAQVAPITARKPYMVASGNHERDWPDTAAFWDVEDSGGECGVPAETYYYYPAENRANFWYKVDYGMFRFCVADSEHDWRVGTPQYDFIEHCLSTVDRKHQPWLIFATHRVLGYSSNAWYAGEGSFEEPEGRENLQKLWQKYRVDIVYFGHVHNYERTCPMYQSQCMTSENSHYSGTMDGTIFVVAGGGGCHLSDFTTAIPKWSIFRDKDYGFVKLTAFNHSSLLFEYKKSSDGKVYDSFTIDRDYRD >Sspon.01G0016010-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:48845759:48846193:1 gene:Sspon.01G0016010-1A transcript:Sspon.01G0016010-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVQGTRRPPQDAGVKVKFIETQFISSDAASFKAVVQRLTGKSPAAASTQPPHQAPAAPHPQRPRPCRPAFVGPGQQQQQAAGWTTDQQAAGYLAMMPAAPKQEPLAAAPRLEDMNELCDFADLFYTTTAASARRDGGASAFPN >Sspon.03G0010810-3C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3C:44739025:44742002:-1 gene:Sspon.03G0010810-3C transcript:Sspon.03G0010810-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AHAASVFCVLRRAGKQMGEVPQLGRFVRAGCLARAAEPHWRRHFSYRVQQQLPRRKKDFPATSRASFACHDEHSEDYDYSRLHVLPTANNRKMRRNNKEVESILRDIIGKRIQAMKQGESTKD >Sspon.01G0021480-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:96891159:96902251:1 gene:Sspon.01G0021480-2C transcript:Sspon.01G0021480-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIKLIQHDDYCYEDSNGPTGTCKLLPLKENIFKEIEKTKLEIDSLEEMQKSDHHEQKLTSPRGLIHKILRRTNSRRSPTAADQQPSPVSPETSNSSVFSKQKDADDAIKDSEKPSTHTIRIEDEKSDLLGYEVYSGKLTLDNKAKNSSSEQSGSGSSSNCFDARLSTEALIWGSNIVKLEDIVSVSYLIDLQNSHLYFSLVSYNSGLRHFTVHACPLEKRSSGLSCFMKPRRTQKDLKFVSTSPHEAFRWVNSFADQQCYINLLPHPMASSKKHSSELIQFDSMFDPYVKCRSPPKILVILNPRSGHGRSSKVFHGKVEPIFKPQLAGFKMEVVKTTHAGHAKSLVSTIDFSTCPDGIVCVGGDGIVNEVLNGLLCRDDQNMAASVPIGIIPAGSDNSLIWTVLGVKDPISAALSIVRGGLTPIDVFSVEWIQSGTMHYGTTVSYFGFVSDVLELSEKYQKHFGPLRYFVAGFLKFLCLPKYIFELEYLPVSDVNGAEHKILVGQEKVDVSDLYDDVVRRSRAECLPRASSLSSIDSIMSTGIMSGAELEVCSPRANNEPSEVVRALDPKSKRLSLGRGSTFKEPEEVLHPHSHGTSTPNWRRSKSKSRTEKARPGLSATNDAKSSKVNADKEDTSSTISDPGPVAVWDSGPKWDDEPKWDNQPNWEPETSIELHSPREDIELGLTKELVPSLDERWTVRKGRYLGVLVCNHSCKTVQSLSSQVVAPKAEYDDNCLDLLLVGGSGRLRLLRFLVLLQFGKHISLPNVEYVKVKSVRLKAGPNTHDGCGIDGELLHVKGKFAVVCCLNNAN >Sspon.01G0024760-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:86711427:86714564:1 gene:Sspon.01G0024760-3C transcript:Sspon.01G0024760-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDDNSSKNKLSWSKTLVRKWFNIKTKAKDFDSDYAADEVGVQWRTSFSDRDACKSKKIRTERLPKRNVERDGRVGNGFDGAYITNTQDYRVFVGTWNVGGRSPSSHLNLEDWLHTSPAADIYVIGFQEIVPLNAGNVLLTEDNGPAKKWVSLVRKTLNNLDQQGSGVYNYHTPSPAPDPIVELNVDFERSSRRQRNSSFFHRRSFQSFNRSSRIDMMDPHSLVDRRFSVCDRISFGSRPSDFDTSMRCGGSSDDENIDEESPSGIFFPPMPCAYGAPLCTDSRYCLVASKQMVGIFLMVWVRNDIREHVKNLKVSCVGRGLMGYLGNKGSISISMSLHQTSFCFVCTHLTSGQKDGDQLRRNADVVEILRKTRFPHVHGAGDKKSPETILDHDRIIWLGDLNYRIALSYRSVKALVEMHNWKQLLEKDQLRIEQRFGRVFAGWKEGRIYFPPTYKYSYNSDRYAGDDMHPNEKRRTPAWCDRILWYGRGLTQLCYVRGESRFSDHRPVYSIFTAEVQIPSQAQFGGITRSASLLGLDELPYPTYPRSYMDINFY >Sspon.02G0026990-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2B:70266322:70267461:-1 gene:Sspon.02G0026990-2B transcript:Sspon.02G0026990-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRSGIKRKEARTAAGSGSGSSGDRITALPLELRAHIASLLSFDETVQLTVLSRPWRHIHLHTPVVKIYLNAFLSFPDIYFDEAQSVRGLLDEDSILAVRVALGRRALEPSSSKVDTLRLVSDIDDRRMRRHAARIVALADARVIRFLSPFVVRDADARNAWSLDLPPAARELEVVTRSHLAPAIAGPGAAALRKLRLDYAVLTEWPRLPCLVSLDLNSVTVEAPFAPGAWCPLLDELDMFCCEIRHARVDIRLPRLRFLDMDSVDVAPRAFAIEAPYGVITIDAPELIEFDMDSAAGGTTDYKSFTLRAPRLRLLCWRNQYAERMVIDVGRPGSVKFGAIRFMWISALQMECFREQMMRMLQGLLPNIAPENLDDVAK >Sspon.07G0026080-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:50541595:50547095:1 gene:Sspon.07G0026080-1B transcript:Sspon.07G0026080-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDFLVSAATGALSPVLDKLAALLGDEYKRFKGVHGEVKFLIWELEAMHAFLLKKSEEENPDAQDKAWMKEVRELSYDIEDSLDDFKVRVDDDSTKPDGFIDKCKKLLQLDKTKARRWIAKAIEKLKGQVMEVSERNARYKQIGETVLNTSKVAVDPRALAIFEDASRLVGIDQPKQEVISFLTGDDGSISSPQPKIVSIVGIGGLGKTTLANRVYVELKDQFQCRAFLSVSRNPDMVMILRTILSQVTGQRYCETEAGSIQQLIMEINEFLQTKRYIIVVDDVWDVETWDTIKYALSSNSCGSVIITTTRIHDVAKACCSLFSDGWVYKLKPLEEQDSKILLENHHLANIGELLQLRYLASRTSITELPEQIGALHLVRLKRLVNLLMGQRLNCFPGGIKNMQALEELNSVEIDDINAASIFVEEIGDLTKMRHLGHDVIFDGDDVIIEVPWCSGSLRNLFLDESHVKGAKLDEIVNNVEGIHSTDKQRLLVSIQKLEAFRVDFTAFDSISIPMLTFEAGSMPKLERLDIDFDADITISVSNGRLDFGLIHLSQLKDILVCPGLHDCQRLLENHHLANIGELLQLRYLGFGGTSITELPEQIGALQYLETLDIRECNIIQLPTALVRLKRLVNLLMGQRLNCFPGGIKNMQALEDIDDINAASIFVEEIGDLTKMRHLVYHFFNSGIIDKESYVHLLKKMVSSFQQLNKLQTLVVQIDYYKKQGCVIFDGDDVIIEVPWCSGSLRKLVSDESHVKGAKLDEVTCQH >Sspon.07G0005130-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7A:13203124:13205590:-1 gene:Sspon.07G0005130-1A transcript:Sspon.07G0005130-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRNHRAAAPPPENRGAARIAGKQKDAAAAGRRRPNPTRPALGDIGNVAPSDVLDGGIKLPEGIHRPITRSFGAQLLKAALSNKNAVAPAQPVAARAVTKPARKLPAKNIPRPEQAPKENRKPTEGAAKDPEGNRKPSEGAASVQKNGRKKLVCTLSTVLSARSKAACGLTEKPKPLIEDIDKFDGDNQLALVDYVEDIYTFYKTAQHESRPIDYMGNQPEITSKMRAILTDWLIESHQRFHLMPETLYLTIYIVDRYLSLQPVPRRELQLVGMAAMLIACKYEEIWAPEVRPKLHSYLAVYSGCSKPENFLSQVNDFIEIADCAFNRHQILVAEKAILNSMQWNLTVPTPYHFLLRFAKAAGSADEQLQHMIYFFGELALMDYGMVTTYPSTVAACAVYAARLTLKKSPLWTETLKHHTGIHERQLMEGARMLLRSHAAAPDANLKTVYEKYAVERFGRVALHPPAGRVALHPPAALCDLA >Sspon.06G0020150-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6B:8169022:8170011:1 gene:Sspon.06G0020150-1B transcript:Sspon.06G0020150-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMAALMAEAWKASEPSMRRVQEAPKKTRSGTMGLKPAAMSAEVGRPLPCGGGVKAVQEEQRVPRRRAHGHPSCGRRLLHTEAVPDLRVVPVRRHRH >Sspon.06G0004960-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:14332538:14337769:1 gene:Sspon.06G0004960-4D transcript:Sspon.06G0004960-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:IAA-alanine resistance protein 1 [Source:Projected from Arabidopsis thaliana (AT1G68100) UniProtKB/Swiss-Prot;Acc:Q9M647] SAMGCSLLVSMASLVCLVLLPVIFCECPRFAHCNLGIICLPFCAGPDCLVCFNSAVQGKPSKAIVDSLAVLQAGAMLGDSFLHQLPHGSGGGHSHSHDHEGHDHAHEHAHAHSLEDLSVGLSNAVGIVLFFIVEKIVRYVEDNSQNGAHSMGHGHHHHNHKRHDSSDKAKLNHQKSDTDGKDIDHTEEEPLVDGATGKISDGHDSKATIEQRSSSKATHGEPANSESDPAPEKASSNEGSSISNSNLVFGYLNLFSDMQHNFTDGMALGSAFLLHGSVGGWSRTLFLLAHELPVKVGDFGILVRSGFTVSKALFFNFLSALVALAGTVQALSLGKDPGHSSLLQGFTAGGFIYIAVAGVLPQMNDQKTTLKSSVAQLISLAMGMLVALGISLV >Sspon.05G0010300-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:31813603:31815923:-1 gene:Sspon.05G0010300-3D transcript:Sspon.05G0010300-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVLTRAGARAKRSGSGFHLRSVLAGHGPFSSEAAVDDRAVAATGEDDGDDLRSRIFQLGLAKRSATAALEKWSSEGRVAPAAELRRIARDLSRVRRYKHALEVADWMKTHHESDLSESDYGMRIDLITKVFGASAAEDFFEKLPPGAKSLEAYTALLHSYGRSKMTDKAERLFERMKDANLSMDALVYNEMMTLYISVGELDKVEIIAEELKRQNVSPDLFTYNLRVSTAAASMDLEGFKGILDEMSKDPNSKEGWTLYRNLASVYVDASQLVGSGNSLVEAEAKISQREWITYDFLVILHTGLGNQGRIKDIWKSMVMTSQRMTSRNYICVLSSYLMCGQLKDAGEIVDQWQRSKAPEFDISACNRLLDALLGAGLTDTADRFRELMLQKSCILTSRATVVE >Sspon.03G0007910-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:21348160:21350297:-1 gene:Sspon.03G0007910-1A transcript:Sspon.03G0007910-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor MYB26 [Source:Projected from Arabidopsis thaliana (AT3G13890) UniProtKB/Swiss-Prot;Acc:Q9SPG3] MGHHSCCNKQKVRRGLWSPEEDEKLIKYITTHGHGCWSSVPRQAGLQRCGKSCRLRWINYLRPDLKRGSFSHQEEALIVELHRVLGNRWAQIAKHLPGRTDNEVKNFWNSTIKKKLISQAVGSLHPDLYYNILDGAGQGLAAAGCAPLNGADSASAQAVVGVTQSPPPMLHNNNPAAWADFGSQPLFLPGHGVHGAGGDLGQYAAVDGEFVKQCGRAADSYPPADGGASQCKPPAADFVPQQEEGATARALIPVFLEPNCAAGDFMPEPAMAPVMDFMEAILAGSSSTSAASASSLDSFSANAGMQSHCWIP >Sspon.03G0025430-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:77449739:77451036:1 gene:Sspon.03G0025430-1A transcript:Sspon.03G0025430-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding STNPENIESFDSILIDISTLRAVTDNFAERNRLGEGGFGTVYKGVLPDGQEIALKRLSHSSGQGIQELKNELVLNLVRLFGVCSQEHEKLLVYEYMPNRSIDTILFVAYILQKFMFADMRFLYLREDSQLKIIHRDLKASNVLLDSAYTPKISGFGLARLSGGDHSREVTNRVVGTYGYMAPEYAMRGYYSLKSDVFSFGVLILEILTGRSSGSFNIDESVDLLGLVWEHWTTGTIVEIIGVNGKRYA >Sspon.08G0029980-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8D:54085970:54086194:1 gene:Sspon.08G0029980-1D transcript:Sspon.08G0029980-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLAHTAPHHPPCSFFCACVVIAGYAGGLAMCMVSDEEVDNDSGFGLVLERREHEGGCIADVEAVDKELLRAVG >Sspon.04G0014260-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:52943338:52944858:-1 gene:Sspon.04G0014260-1A transcript:Sspon.04G0014260-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding YRDKFFVKVYAAAFYVDYSLRLDTEQWKEKIGIESFDGSSVFYSIFKAPVVKSLSIILVRAVDGKTFVNALNDVIARQIKNPNAEEESSLSTLQNTFLGRNLKQGTSIYLTWLEPKRMLISISENQDPRQVDAEIESATVNYALYDGFFGKSPVSPSLRSSTAQLLEALLL >Sspon.05G0033260-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:28361511:28362790:-1 gene:Sspon.05G0033260-1C transcript:Sspon.05G0033260-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GGSLFLDEHTGCVPTSPAAGIGDTPLLSDFPGNELNFGYGFLPRKRARMVQAGGLLEDQRVVLPPTSVMQGLVQLPMTARTVMGSGAAASTSGREIDTLIGIESERMRAVLESAWRRHALALVSAVARAATGRMCAAESELDRALRRNAELEEKARQMGAECQAWMGVARSHEAIATGLRASLDQLLVQSPACKGEGEAEDARSCCFEAPVAADHRAAQAACKCKSCGGGEACVLLLPCRHLCLCRACEAAVDAG >Sspon.02G0046040-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:113632550:113640389:-1 gene:Sspon.02G0046040-1B transcript:Sspon.02G0046040-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LISSGFDSTYRYALELINIISVLLFWYELSVLSLILLNLVLCTLILYLALVGSSWGFLLLLYVFVQISRLIVVGVLYPLLRHFGYGLDWKEAMILVWSGLRGAVALSLSLSVKRTSDAVQPYLKPEVGTMFVFFTGGIVFLTLIFNGSTTQFLLHMLGMDKLSATKLRILKYTRYEMLNKALEAFGELRDDEELGPADWITVKKYITCLNDLDNGPEHPHDIGVQAAYWGMLEEGRITQATANILMRSVDEAMDLVSGQTLCDWKGLKSNVQFPNYYRFLQMSRLPRKLVTYFTVERLESGCYICAAFLRAHRIARRQLHDFLGNSEVARTVIGESNAEGEEARKFLEDVRVRFPQVLRVLKTRQVTYSVLTHLSEYIQNLQKTGLLEEKEMVQLDDALQTDLKKLQRNPPIVKMPRISDLLNTHPLVGALPAAVRDPLLRNTKETVRGQGTALYREGSRPTGIWLVSIGVVKWTSQRLSRRHCLDPILSHGSTLGLYEVLIGKPYICDMTTDSVAHCFFIETEKIEELRHSDPSIEDFLWQESALVLARLLLPRIFEKMGMLEMRVLIAERSTRNIYIKGEDTEVEQNCIGILLQGFLKTENLTLITPPAVLLPWNADLSLFGLESSDYCHTARRYQVEARARIIFFEEAEVHGSASRRLLPQGQGGGHEPARSMSKEHSGLLSWPESFRRSHGSLGLAAEMPGGLSSRALQLSMYGSMVSLSSRQQGHRRQRRHRVQAATTTNQKHSSSYPRMPSKERPLLSVQSEGSNMRRVAALPLRDAAEVAATAQRRRKAMEEDNSSDDSTGEEVIVRVDSPSMLSFRQSAAAPPPQDQ >Sspon.06G0010800-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:42313655:42316594:1 gene:Sspon.06G0010800-3D transcript:Sspon.06G0010800-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSGCSVSSLAARFAFFPPEPATYAVRKDEATGRLVASGVPRDSAMDVLLVNTTRGNKVVAFYFRNPCARLTLLYSHGNAADLGQLYDLFVQLKVNLKINLMGYDYSGYGASTGKPSEENTYADIEAVYQCLETEYGISQEDIILYGQSVGSGPTLHLASRLPRLRGVVLHSAILSGLRVVCHVNFTFCFDIYKNVKKIKKVKCPVLVIH >Sspon.05G0021170-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:86681491:86687761:1 gene:Sspon.05G0021170-3C transcript:Sspon.05G0021170-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAARRCGSRRPERAAVGDNGYVETDPTGRYGRFDELLGKGAMKSVYRGFDEERGVEVAWNQASLADVLRSPDAVQRMYSEVQLLSSLRHDGIIGFHASWVDVPGRSFNFITELFSSGTLRSYRLRYPRVSHRAVRSWARQLLGGLAYLHARDPPVIHRDLKCDNIFVNGHQGQVKIGDLGLAAVLGRRGGAAHSVIGTPEFMAPEMYDEEYDERVDVYAFGMCMLEMLTVEYPYSECSNPAQIYKKVTAGRLPDAFYRVEDDDARRFIGRCLVPAANRPSAAELLLDPFLLDVHGHRHHVAAAAGTVPVPPPPLLAAVAAAGAPPPSTCSSSADDVVSASSSTLDDEDVEHHQEPQHPPPPTRNDMTITGKLNAEEDTIFLKVQIADEASGHARNIYFPFDMASDTAADVAQEMVKELDITDRDASEIAAMIQQEIGRLLPGRAQQQHEYTYAERDDDDDDENNEERPPPFCCYLSSSPASSHGSHCGVGPYASGGFSGPRGSGWSKDHHHWYALSDDDDMSSVHSGKYSPLHYASGADEAEPMPSCCTGSGSSKTRFGGGGGGSSAAVQLARQLQRQCSMSPQHQHAGRPRRREDDDGTSRRRRMTRNRSMVDMRSQLLHRTLVDELNRRLFFNTVGAVENIGFRAPTTTSPSASSSSASAAARGGLDRGIRRSGGGKQPLDDKQQYFML >Sspon.04G0006870-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:20548454:20552867:1 gene:Sspon.04G0006870-3D transcript:Sspon.04G0006870-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRYFAVDELPPHLQRQENAEEVVFHRQDSRLNSVQRTKQHSTTQLLFSSLIPMDMSSSSPVITDPISISPPLLGGLTSNLMPFSVMSGGCSSPSMSASRRKIEEVLVNGLLDAMKSSSPRRKHNIAFGQDNSLDEDPAYSAWLSKCPSALTSFKQIVANAQGRRIAVFLDYDGTLSPIVDDPDKAFMSPVMRAAVRNVAKHFPTAIVSGRSRKKVFEFVKLKELYYAGSHGMDIVTSVAAHSTEKCKEANLFQPACEFLPMIDEVSKSLLEVTREIEGARVENNKFCVSVHYRNVAEKDWKVVAALVNQVLEAFPRLKVTNGRMVLEVRPVIDWDKGKAVEFLLQSLGLNDSEDVIPIYIGDDRTDEDAFKVLRERKCGYGILVSQVPKDTEAFYSLRDPSEVMGFLNSLVRWKKHSL >Sspon.05G0016590-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:63952234:63956665:-1 gene:Sspon.05G0016590-3D transcript:Sspon.05G0016590-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQVCRIHPPVPLRSGLSSKLMAEAVLLAVTKIGSVLGDEVAKAIINKLSEKVHDLKELPRKVEQIRKKLTIMSKVIQQIGTVYLTDELVKSWIGEVRKVAYRVEDVVDKYSYHLLQLEEEGFLKKYFVKGTHYVRVFSEIVDEVAEIEGEIQQVIELKDQWLQPSQLVPHHDQLAEIERQRSQDSFPEFVKDEDLVGIEENRKLLTGWIYSEEQASTVITVSGMGGLGKSTLVTNIYEREKVNFPVHAWIVVSQIYTVESLLRKLLWKIGHMEPPMPRDIDKMDVHDLKEEIKRKLQNRKCLIVLDDVWEQEVYFKIHDVFQTLQESHIIITTRKDHVGAIASFGHHLELQPLCGPDAFELFCRRAFHSKKDHKCPEELQEIAGEIVKRCQGLPLAVVTIGSLLSSRPQINIWNQTYNQLRSELSTNDHVRAILNLSYHDLSGDLRNCFLYCSLFPEDYPMSRETLVRLWVAEGFVLSKEKNTPEEVAEGNLMELIHRNMLEVVDYDELGRVSTCKMHDIMRDLALCVAKEEKFGSANEYGELIQVDKNVRRLSLCGWNVNAAPKVKFPCLRTLVAQGIISFSPDMISSIMSQSSYLTVLELQDSEITEVPTFIGNLFNLGYIGLRRTKVKSLPESIEKLFNLHTLDIKQTQIEKLPRGIVKVKKLRHLLADRFADEKQTEFRYFIGVEAPKGLLNLEELQTLETVQASKDLAEQLKKLMQLRSIWIDNVSAEDCANLFATLSTMPLLSSLLISARDVNETLCLEALVPKFPKLHRLIVRGRWADETLEYPIFRNHGKHLKYLALSWCQLGEDPLGVLAPHVPNLTYLSFNRVNSASTLVLSAGCFPNLKTLVLKKMPNVEQLEVGHGALPCIEGLYIMSLAQLDKVPQGIESLLSLKKLWLLYLHADFRTQWLTNGMHQKMHHVPEIRV >Sspon.01G0062840-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:107655186:107659756:1 gene:Sspon.01G0062840-1D transcript:Sspon.01G0062840-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHTEALYANEVEHNDDENRNTSGDGNEVPDKRKGTVLTHVWNLLEGDRIVVKCNMLGQPIGKEGGLLGQFLGTIARNGGYCPVGAKDWRQVKKNNAETIIQFVQATLKKTLFNPKKKKSVLNKRCPNDIDEDQWKALVKYWKSSERQNLSEKNKISREMKKTTHTAGTKSYARWFEDMRQADPEKKQPHRAMVYHATHRKRGKEINELVRVHSLAPNQNDGFLKHRDERTKETHESEFSDDDSLLLSTTSRTTKKQKGSHGGPRETTTIAHQEVAHDKPTCYKCQTLKQHPVMKAGSMMLLMTSKYPNKAHVAFATLLSADPEATVDGVKTGSQFYKVRINHVIAKDEPLVRPIHRCNNISDVQAKGVSIAWPSMFVGFLRSDCHNACVLNGCVNKSGLGAMQMKVNVSSIPSVC >Sspon.05G0028280-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:65517249:65528370:1 gene:Sspon.05G0028280-1B transcript:Sspon.05G0028280-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWDMVVAGDAAVTPAAVARCATLAREWRTPEAPVYVPHHPRALGGRPSPRARQPRRQAPRPEGALALLMGPGRKLMPSAADQGYLKELIIFMPVIQGGLFRTTLCFCPDADDILGFDVAHQVAPAISVLEIISRSKIEFERNAREQAYERMRIRVT >Sspon.07G0012240-3C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7C:49983244:49984095:1 gene:Sspon.07G0012240-3C transcript:Sspon.07G0012240-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGHHHNNTHASRMDHVNRFQSEPQPFGIWTSSNILTYCLLYISIIVNSSNFTPVMPGPKLFMHSRTDAANGALSSGYGGAPIRPNDIPSSSHTGQSHIQHSDAPGTMLASYSGYPHAGSSSSIYAPHNTHHPPSLSYPHRSEDNFIPSSHMDDRRVALKRRNPISHPMDGVNIGSCYAGSSSNPQFPRYIPAPEPCPPRVTSNMGSSYWSDHPFGSHGGSQRNVRGRHDHNPIHLGHNPGVPSTSSSTHGPQNHTTVIGPRSTAVPQDRAHFSIPPRVTAPG >Sspon.04G0014760-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:55255340:55257999:1 gene:Sspon.04G0014760-1A transcript:Sspon.04G0014760-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RRRQLGKKGGEERYWWLRGGPLLCQAPRAFTLVVSPITPIPHLVLAHKIEGLARTRHCTAPRPRYMPLSCLARSLCRPLPLPDVSGGATVMNSASMGSGSTRHGWLCGSAPRRSPSSAPSSKQRPYLPLPLSESGELPHAVHRQEAKAKASPPLGQWSLSLSLVFLLPEMLNTTRCFSSSRHVILRRSDLVGMRWRPSRSSFLSPSSGGAGSGDGSADKVGLLLHHHHHTGHEDNGDGVPFFLLQLQRVGRPGGGMVAGGLGALAVVGRERLTELEKMAVAELAGVGEKDGGGGGKGEGGRHGHLGAAAAGNGWRKRNSEKKGVGDYGSATGCARLWRCLGRAWARARPPKAFLWARRLSTEAGPRDIIGMWPAATMFALGFLLCPFPALSPSGRNLTALLPELRDLIGSLYRHCRREFSLLASTRRRRWRAAWFVAGGTDGDESFR >Sspon.01G0024200-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1A:87079363:87080866:1 gene:Sspon.01G0024200-1A transcript:Sspon.01G0024200-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSALFSLSLVLLLHLHATPARSVPVAGDAPAPQLPRAELAAIFRVMADLLGDPAWPRLHPRPCTDTPWPGLQCEVAPDDARVLRATRLHFGPDVATPPCGPRARLNAASLRGLPHLRTLSIFGCFGDDHQAARVELPPALFADASSSSLEQIVLKSNPGLRGPIPATLSGLRSLRVLSLSQNGFRGGIPRELGGLAALQQLDLSYNNITGEIPEEIGGMASLTILDLSWNSIDGGVPATLGKLQRLQKADLSYNRLAGRVPPEVGSLRELVFLDLSHNSLAGPLPSSLAGLSRLQYLLLQDNPLGTAVPAVVGSLRRLQVLGLSGCDLTGPIPRGAFAALANLTALSLDRNRLDGPIPASLAALPHLGQLNLSQNRLAGEIALPGEFVARLGRRLDVRGNDELCVGRGLQGSGYLGAPPCVDKRNGDGSPERSGAATAAGDWRRRGYGYAAVGLFDGSACHLFVSCLVLVFQL >Sspon.05G0022180-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:2417984:2418298:1 gene:Sspon.05G0022180-1P transcript:Sspon.05G0022180-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding CRFTWRSSPCRQWRPGSCRRSSSRSCCGPSTWRCRSRATCRGRASRSAGLRPSTTASCGATPTRAPCPCSRGATGTPRSAPCSRGRTGMPSSRTPWSRSSTSPTD >Sspon.05G0012810-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:33268045:33272935:-1 gene:Sspon.05G0012810-3C transcript:Sspon.05G0012810-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTLGDKLRAFSTNRWLVFVAAMWLQSMAGIGYLFGSISPVIKAALGYNQRQVAALGVAKDLGDCVGFLAGSLSAVLPSWAMLLIGSAQNFLGYGWLWLIVTRQAPALPLWMMCVIIYVGTNGETFFNTTALVICIQNFPKSRGPIVGIMKGFAGLSTAILTQLYAVMHTPDHATLVFMVAVGPSLVAIGLMFIIRPVGGHRQVRPSDKNSFLFIYTICLLLASYLVGVMLVQDFMQLSDNMVNFLTVVLLILLVLPIVIPVTLTLSSKTQHPIEEALLSEPSKGETSTSQEKEDQPEVFLSEVEEEKPKDIDSLPPSERRKRIAELQTKLVQAAARGGVRIRRRPRRGENFTLMQALVKADFWLIWWSLLLGSGSGLTVIDNLGQMSQAVGFKDAHIFVSLTSIWNFLGRVGGGYFSEIIVREHTYPRHIALVIAQSLMAVGHFLFAMAWPGTMYIGTFLVGLGYGAHWAIVPAAVSELFGVKHFGAMYNFLTVANPTGSLIFSGLIASNLYDYEAEKQAQHYQITALTSPRLHNMGFLADGPLKCEGAVCFFVSSLIMSAFCVVGAGLSLMVVYRTKRIPVQEKKSAGE >Sspon.01G0006090-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:15792781:15794287:1 gene:Sspon.01G0006090-2B transcript:Sspon.01G0006090-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLSSSVTSSGDTAAPRMYFIPFPTPGHALPMSDLARLFASRGADATLVLTRANAARLGGPVARAAAAGLRIRIIALTLPAEAAGLTGAHESADDLPNRELAGPFAIAVDLLAPLFADLLRRQPADAVVFDGVLPWAATAASELGIPRYAFTGTGCFALSVQRALLLHSPQNGVASDTEPFLVPGLPDAVRLTRSRLAEATLPGAHSREFLNRMFDAERATTGWVVNSFADLEQRYIEHYEKDTGKPVFAVGPVCLVNGDGDDTLERGRGGEADTAAEGARVLRWLDTKLARSVVYVCFGSLTRFPREQVAELGMGLADSGANFVWVVGDKNAPPPLPDIDGAAPGRGLVVAVLRHAAVGAFVTHCGWGAVTEAAAAGVPVLAWPVFAEQFYNEALVVGLADTGVSMGAERGEALGGVVVGREAVAERVRSAMADEALRGRAREVGERARRAVEAGGSSYEAVGALLEDVLRPQRLRQGQDGKTRRDAVI >Sspon.01G0018280-4D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:64774682:64775807:1 gene:Sspon.01G0018280-4D transcript:Sspon.01G0018280-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLASAVRVFLAYCLLLHGCMGSEEAGGVFDQGCHGVSLTRLDEAMAPSRCAARPSPSGPAILPPKPLLVAAPREAGEYPVLLFLHGYLAVNSFYSQLFQHVASHGFIVVGPQLYTVSGPDTTEEINAAAAVIDWLATGLPSALPPGVRADLTKVSVSGHSRGGKVAFALALGHAKAKLALPLAALVAVDPVDGMGVGKQTPPPVLTGRNRSLHVGAPAMVIGTGLGELPRGPLLPPCAPRGVSHAAFYDELDRAAPACHLVARDYGHTDMMDDNTPGARGMLTRTVCRSGGARAPMRRFVAGATVAFLNKWVAGDAAAMDGIRARPDQAPVALSVVEFRDGKAIEDL >Sspon.04G0016710-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4C:67288348:67292380:1 gene:Sspon.04G0016710-2C transcript:Sspon.04G0016710-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKSPRATREMLGVLVAMFDMLYWRTKLSTSHQPIWKPAWLEALNTQKFFVACSFHEHAKKNEKNICCLDCCTSICPHCVAAHRVHRLLQVRRYVYHDVVRLEDLEKLIDCSSVQSYTINSSKVVFLKKRPQNRQFKGSGNICTSCDRSLQEPYFHCSLDCKVINSN >Sspon.01G0008250-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:23102442:23105136:1 gene:Sspon.01G0008250-1A transcript:Sspon.01G0008250-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-conjugating enzyme E2 32 [Source:Projected from Arabidopsis thaliana (AT3G17000) UniProtKB/Swiss-Prot;Acc:Q9LSP7] MAASAKYNRSNPAVKRILQEVKEMQSNPSPDFMALPLEEDIFEWQFAILGPRDSEFEGGIYHGRIQLPSDYPFKPPSFMLLTPSGRFEIQKKICLSISNYHPEHWQPSWSVRTALVALIAFMPTNPGGALGSLDYKKEDRRALAIKSREVPPKFGSPERQKLIDEIHEQMLSKAPPVPQAIPNVPNEESNQLPAPDSSEHADKVDEGDNTSGSMSGSLSGHPVPESESPVAENTGETSAVEVANHHVPEASRRENIPRVPSSLQNPAVAIQKPKHDRLLTLAAFGLTLAIMALVIKKFLKINGMGGFIEG >Sspon.01G0015640-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:41750626:41760757:-1 gene:Sspon.01G0015640-2D transcript:Sspon.01G0015640-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAPRASSFFSVLLVLLFALSVERTAATGVFQVRRKFPRHGGGGDAAEHLAALRRHDVGRHGRLLGAVDLPLGGVGLPTATGLRSLYYTQIEIGSPPKGYYVQVDTGSDILWVNCIRCDGCPTRSGLGIELTQYDPAGSGTTVGCDQEFCVANSPDGVPPACPSTSSPCQFRIAYGDGSSTTGFYVSDSVQYSQVSGNGQTTPSNASITFGCGAQLGGDLGSSSQALDGILGFGQADSSMLSQLAAARKVRKIFAHCLDTVRGGGIFAIGNVVQPKVKTTPLVQNVTHYNVNLQGISVAGATLQLPSSTFDSGDSKGTIIDSGTTLAYLPREVYRTLLTAVFDKYQDLALHNYQDFVCFQFSGSIDDGFPVVTFSFEGDLTLNVYPHDYLFQNGNDLYCMGFLDGGVQTKDGKDMVLLGGSSSIKIEDDKTGSVYTVDAQNISAGWRFQWH >Sspon.02G0019210-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:63397407:63413451:1 gene:Sspon.02G0019210-2B transcript:Sspon.02G0019210-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGPTSRLLLLARRADRRRGLPFLVPRALQAAPATSSPALPPPPCLPASTPVMSYSSAFTSVHGERPSSEYAKIRKKSLETQFGRILGSSSRRIFADRGFGPFLALYRAATISFHVVKLTIWHLWLNDMHKRAEKFRETLIRLGPFYIKLGQALSTRPDILPSAYCQELAKLQDQIPPFPTRIALRTIESQLGSRISDLFADISPEPIAAASLGQVYKAHLHSGELVAVKVQRPGMAPLLTLDALLFHMIGGQMKRFAKARKDLLVAVNEIVRHMFDEIDYNLEGKNAERFATLYSDGSGGINSEGSTSIKVPKVYWNYTCGTILTLEWIDGIKLTDAERINKANLNRKRMIDEGLYCSLRQLLEEGFFHADPHPGNLVATEGGSLAYFDFGMMGDIPRHYRVGLIQMLVHYVNRDSLGLANDFHSLGFVPEGTDLLAVADALRFSFGDGVMNHLYDVMCEFNFSLPPDYALVIRALGSLEGTAKALDPEFKVIESAYPFVIGRLLADPSPDMRKILRELLIRDDGSIRWNRLERLIAAISEQSSESSSAAGADSSEHANGSPEWRSFDMHSVIAATEDLFDFILSRKGWRVRVFLVQDIVKASDAFLQEATFPYIFDKVGTIGDINPERSKMIRRLVNTVQSFRQAISLAPDAWSAMLIRTLLKHESQKFVLDVFLTLASHSSYKIPETFWLCMSRFLNYLDKQDTL >Sspon.07G0014320-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:51856605:51857294:-1 gene:Sspon.07G0014320-1A transcript:Sspon.07G0014320-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHGPIGGWTAKYLAHCSVAQNIFVLIHGDGCAYLPLAAVFSCCCSRAWPAAEGTSGSHAAPAAAVRRQDPGSAVAEVISEILSPKLVPGSPSDTGDVSSLVPVSALMLLFYFVSNWVVPELLMKRLNEPKPEDEAAAAAAAMSSGPADGGDDASPRKIRLKVKKKKNGKATIVKV >Sspon.02G0035550-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:14173851:14176991:1 gene:Sspon.02G0035550-2C transcript:Sspon.02G0035550-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLVVHIKLERLKLARLFSAGCLPVAVGACGFLLLQMSSSSNGHYPANGAKSLLTAIADYLQDQLNIRSVEANIMGEHIHSLELKLTELEKFPERVRVMDNELIRSDSQCWLLMEEVRCKEEELQKAATQIEKLESTALDSQCEIESLKLDLTNLEQRLLDAESFTQHAAEHKAQIDKLLGEHDLQLHEAQKTIDQLVLENKQLKELLPVRAPKQSPSRSGQQVDKTLENGVHAGCESGDVIIDKMAKRNEESELLIEQLKEELRQQKLKAKEDVEDLTQEMAELRYQITGMLEEEYKRRSCIEQAAIQHIQELETQVSKEKTKLSGTLRRLQESHELAHTQAMEIKKLKAALQSCLIARSKDPQAAPDLPVPTTLMISHRTEL >Sspon.01G0046990-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:112846881:112849882:1 gene:Sspon.01G0046990-2P transcript:Sspon.01G0046990-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSIELTKEYGYVVLVLVAYAFLNFWMSFQVGKARRKYKVFYPTMYAIESENKDAKLFNCVQRGHQNSLEMMPMFFVMLLLGGLQHPTIAAGLGVLYVVARFFYFKGYSTGIPDNRLKIGGLNFLAVFGLIICTASFGINLVIRETL >Sspon.03G0018290-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:115558904:115563499:1 gene:Sspon.03G0018290-4D transcript:Sspon.03G0018290-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAEAGHPQQQPPSSLRPGREAAAAAPPPAVLGLQLSALIDHVARVDWSLLDRVPGDRGGSQQVSIEELNHILDEVNAHILPSRNDLTPVTTIAGGSVANTIRGLSAGFGISTGIIGACGDDNQGLLFVNNMSFSGVDLTRLRAKKGHTAQCVCLVDASGNRTMRPCLSTAVKIQANEFRKEDFKGSKWLIVRYAQQNMEQIIEAIRIAKQEGLSVSLDLASFEMVRDSRSKLINLLETGNIDLCFANEDEAREVIGGGLESDPEEALAFLGKYCKWAVVTLASKGCMAKHGKQVVQVPAIGESNAVDTTGAGDLFASGFLYGLVKGLPLEECCKVGACSGGSVTRALGGEVRPENWQWMYKQMHARGLLLPELKN >Sspon.01G0058330-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:25715593:25723312:-1 gene:Sspon.01G0058330-1D transcript:Sspon.01G0058330-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPYRRLSGSTKFYIDSQTLSTNTIESVETSRLFHQPNQPKVVEVMMHNKTVPVVLSRSWRFVHARMAPVDDASIGKRSRPPGQRQTPSRVAVSSSRPAACQRRGPVDSDHRVSALLLCSIRPGPDADGEAGGRWRVAAAAKGGERASHCRGHAGLTRRAENPSATSAEGLAVLPLVPKASPCCRDQAVVSVETGKGHGQPHASGLSSFPAALRPSSPAQPRGPSYAAALLAATAAWPALCPSSFSPVARSTQSSPPFVLHPGSVPGPSSSAHLLYALHLHHARVLAHPKLWRATPRHPPPSFVLPPGGAPGPSRCHGHVARTLPFVLLPDRTEYLAIAALRPPPWEHAGALLVGASALCSPPPPCQSARAPKAPREPRQATRHHPSSSSPVARRALLTDASALHLPDDYAWG >Sspon.05G0027560-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:53724246:53738097:1 gene:Sspon.05G0027560-3D transcript:Sspon.05G0027560-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sterol 3-beta-glucosyltransferase UGT80A2 [Source:Projected from Arabidopsis thaliana (AT3G07020) UniProtKB/Swiss-Prot;Acc:Q9M8Z7] MAAGEESGSGVAGGRGGEEAPAASAPNGDRSGDSPLAAPSSSSADDRGFHRSSTMPGVIKNDEITNETAGPSNLERSRTERRRQNNPADNPAKQLLDERIPIKKKLKMLNRIATVKDDGTVVFDVPSGLEPTIVGGTEDIYTEAAVEEASDGTEIPYRPPMQIVILIVGTRGDVQPFVAIGKRLQDYGHRVRLATHANFKEFVLTAGLEFFPLGGDPKILAEYMVKNKGFLPSGPSEIPIQRKQMKEIIFSLLPACKEPDPDTGIPFKVDAIIANPPAYGHTHVAEALKVPIHIFFTMPWTPTSEFPHPLSRVKQPAGYRLSYQIVDSMIWLGIRDMINEFRKKKLKLRPVTYLSGSQGSGNDIPHGYIWSPHLVPKPKDWGPKIDVVGFCFLDLASNYVPPEPLVKWLEAGDKPIYIGFGSLPVQDPQKMTEIIVKALEITGQRGIINKGWGGLGTLAEPKDFITALMTGFFCNAKQWCIMVVLERQPQCPTTIVPFFGDQPFWGDRVHARGLGPPPIPVDQFGLQKLVDAIKFMMKPEVKEKAVELAKAMESEDGVTGAVRAFLRHLPSKTEEQSLPQSSGFLEFLGPLTNGLRPAVRVILMCTFAVQHRRVHVCMANPFVYIKEAEEA >Sspon.04G0002860-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:89766616:89783064:-1 gene:Sspon.04G0002860-2C transcript:Sspon.04G0002860-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein CHROMATIN REMODELING 25 [Source:Projected from Arabidopsis thaliana (AT3G19210) UniProtKB/Swiss-Prot;Acc:Q0PCS3] MPSRSQYNRRGSDGDEDEIVAVSSDSEESESEANRGAEADDDEYVGESSDAGGGDEAEEAGSSDCGEGGDVDGDDHDGHGRRPLRGLRRGAAAPDKERKSQNVDALVRGNLVVRRQPLIPRILSVSDAAAIARKPFKPPCQNGYSDNNEQLARRLSARKRFVPWGSTQTFAVIHHLPQSPVVASDSSSEKEEPLPTGIEPLILWQRDECEKENCNSASIEVDHLLVRYLRPHQREGVQFMFDCVSGSLSADGISGCILADDMGLGKTLQSITLLYTLLCQGFDDKPMVKRAVIVTPTSLVSNWESEIIKWLKGRVQLLALCESTRADVLSGIESFLKPLSHLQVLIISYETFRMHSSKFERPGSCDLLICDEAHRLKNDQTLTNKALAALPCTRRILLSGTPMQNDLEEFFSMVNFTNPGVLGDASYFRRYYEAPIICGREPTASAEEKKLGSERSAELSAKLIYDTIKSNNSGGSGFDDCLRFFPPELFSGRSGSWTGGGGMWVELSGKMHVLARLLGHLRQKTDDRIVLVSNYTQTLDLFVQLCRERRYPYVRLDGATSINKRQKLVNQFNDLSRDEFVFLLSSKAGGCGLNLVGGNRLVLFDPDWNPANDKQVYQRQMSKEGLQKVIQQEQADNKMQGSALSTEDLRDLFTFHEHV >Sspon.02G0001330-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:1268647:1269851:1 gene:Sspon.02G0001330-3D transcript:Sspon.02G0001330-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ERF transcription factor, Mediation of the transition from spikelet to floret meristem, Determination of panicle branching and spikelet formatio [Source: Projected from Oryza sativa (Os07g0669500)] MSPLPSHLISSTVPALPCPPSFSLPKDTSKLHLNTTPPSLSCDHYYTTLLQNDLKPALKLAHSCSCSSCHDEYPRQWQQQRQQPDHDGLLRASEAGDGVVGAAAARPPAGRGRRRAQEPGRFLGVRRRPWGRYAAEIRDPTTKERHWLGTFDTAQEAALAYDRAALSMKGAQARTNFVYTHAAYNYPPFLAPFHHAQQPSSYAHAPSSVQHQYGGGVGAPHIGSYGHHHYHHQGSAGAAAAGGASSGECSSTMPVPVERADGTLLLDRSGGGHHHHHHHEFLFASADDNSGYLSSVVPESCLRPRSSAAAVEDLRRYSDADAYGMGMGLREDVDDLAQMVAGFWGGAGDADQLCGFPMVASSQGSDGYSPFSFLSH >Sspon.04G0021390-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:75052756:75056477:1 gene:Sspon.04G0021390-1A transcript:Sspon.04G0021390-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding NERRVRPLRGGGRGGGRHLASRCGVRRVGRARLRRCTPQEPALLRGAAVGAAAVQPAGAVAGPLGADGRAGARRGPGGRLLRRRRPREVRPAHGVHGDASLLGHPRVRRRRGGRGGAGARAAGHQVGHRLLHEGAHGAHRAVGAGGGRRLRPLLLAAARGHDHVAAGLQGGRRPPGVRGRRRDRRRHGRRVRRVPRRRGRALRAPASPPRAAAVRVRRHLPGPLRRQRRRGQELLPVLQRVPGRAAVGGALAAPRHRPPRLPPLRSRQRRGVRRHRLGRLRVQLGHQVRRPPGPRIPAAGGGEGAAAAAERGGGGGGGAAAVQGGVLRVLVHEPEPRRREAQRRPHPGGAALHPPLEQPPVRLQRGLPPHRLLGRPLLPRPAPPLRRLWRRRWRASRQVRRRRRRAGVRQVPGRLHPGHEPDAHQLPGRVRRGVPAAGAPPRGVERVVPARPRLHRLPAGVRLVVQRAGGEPARPRRRRRRRAQRRGRLQRPPRRVHADRGLHVQHGAHGRGLLQAHAAGGAVAAAGGDRGAGGGSL >Sspon.08G0022580-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:52101988:52102214:-1 gene:Sspon.08G0022580-2D transcript:Sspon.08G0022580-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSIKNLSASINKYTPSSALALTISATNYGRFGLLYGIGSLSHLIISSDQQHWGEFVTPGLLMGC >Sspon.05G0019730-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:86722449:86723990:-1 gene:Sspon.05G0019730-2B transcript:Sspon.05G0019730-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADEEHQQQHTSRHHGHHFLVVAYGIQSHVNPARALAHRLAHLSDDVVDGGSTTILATLSVHVAAHRRMFPSSPDSSSSSEATSDGVISYVPHSDGFDDGSSPKTPEDWARRRRVCTESLSALLARFAAGGRPVTCVVCTLMVPSAVDVATRHGVPFAVYWIQPATVLAAEYHYFHGYGELVAAHAADPDHELSLPGLHRRRRRPLRIRDLPSYLVDTTGNPLAKSVVEMFRELFQTMDQWRPKVLVNTFDELEATVILEMKKHLDVFAVGPMVAVGAGGGSSNEERIHLYKHADADKKRYMEWLGAQPEKSVVYVSFGSIATSTKQQMEEMVEGLLQCGRPYLLAVRRDGLEDGARHVLENAQSSGGHGMVVDWCNQPEVLSHPAVGCFVSHCGWNSTMEAMAAAVPLVGVPSMFDQPTNAYLVEEEWEIGIRGERNSEGVLAGIELARCVELVMGQGTKAMAIRERVKALKERAQQAADAGGPAERNLQDFVSSVQQVCGCEITEVICGKN >Sspon.05G0025210-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:25220097:25222613:-1 gene:Sspon.05G0025210-3D transcript:Sspon.05G0025210-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPKVVAVAAGEAHTLALTGDGQVYSWGRGPFGRLGTGREDDELVPTAVAPAVSASGTPRPRFVAVAAGAYHSLALDDGQLGYGDQNSLFPCLVEQFQDLGSPETLNDEDQDTCDRTCLKIVKKVWLSIDNRDLSSVKAGGMMSFAIDSLGGLWIWGSCPQQTDAGEFCIASSSIPLPVWDFHGHTVVKVACGNEHVVAAVSVGETYTEGDLVCYAWGNNNHGQLGLGDKESRSRPVLISAFSEGSSWEVYEIACGASHTAVLANKKSSDQIESRCWTFGLGDKGQLGHGTTATICSPQPVDGLPTGSFLISLDCGLFHTTVVSSDGEVWCWGMERGLGLCPDASFSGVDEGDALYPIRVQSPETNGFKFLGPVQIACGAAHTVLVAGDGYRMWAWGRGRSGVLGRGQTADSYIPCVVMWPPLDENFQEIHEDQEGGSTSRVNDRTSTELNQKLSAASEELQFLRSKLTLMERYANILHISIFRKPLDERALPRSLQESSVFDIRKEFENILDAADTDELNRLEIFYRSMLSGVKNKLLKRRVQEMVQQCIISLSAGRQNPQDK >Sspon.02G0010840-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:33722495:33724468:-1 gene:Sspon.02G0010840-3C transcript:Sspon.02G0010840-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTDGCKKDIDQIMATGDGSDNIHSDMHCKEGRCVDPSLADPEQNCDCNVTDTENTSMQRSGAVFTMNETEQSSNQTSHKEPCMDLKSLELESSSLNNQQHDLPIQYNDGHNVDITTKAASAPLSCGDSVSCNATNNVEAQPVGGTEEVVSSSLPSDAQKTLLGAKDNEDAITKNQGRRKRMKMVGKAPLVQDNENKQKEDETKVFRAALRRILISKAPPVLTINLNRFSQDSHGRYKKLKGHVRFKEMLDIQPFMDPRCHACCDLPAYGIAMAWD >Sspon.02G0029370-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:104269609:104272065:-1 gene:Sspon.02G0029370-2B transcript:Sspon.02G0029370-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LINQRADFAFALFSGGFSAPKLIAVSNSVTFTNPKAPVYPRLAQGKSWNEVSFNFFASDLLMTVTWTSGYSIKEAVPFVEWGPKGGDRTLSPAGTLTFGRNSMCGSPARTVGWRDPGYIHTSFLKELWPDALYTYRLGHRLSDGTHIWSKSYSFRASPFPGQESLQRVVLFGDMGKAEIDGSDEYGNYEQASLNTTKQIIKDLENIDIVIHIGDLSYANGYLSQWDQFTEQIEPIASTVPYMIGIGNHERDWPDTGSFYGYNDSGSECGVPTQTMFYVPAENRAKSWYSTDYGMFRFCIANTEEDWRPGTEQYKFIEHCLSSVDRQKQPWLIFLAHRVLGYSSGGWYEIMMGSYGEPMGREGLQDLWQKYKVDLAVFGHIHSYERTCPIYQNRCVQDGSNHYSGRFNATTHVTVGGGGASLSTFRNNVPYWSFFRDSDFGFSKLTAINNSFLLFEYKKSRDGNVYDHFTISRDYRDIMACSIDNCP >Sspon.05G0016160-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:64209256:64223574:1 gene:Sspon.05G0016160-2B transcript:Sspon.05G0016160-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGASHMLLEEPLRLASVLSPAKPKVFPSLTKIVGTLGPKSHSVEVIQECLTAGMSVARFDFSWMDAAYHQETLDNLRKAAQNVKKLCPAVKKGDTLFMGQYLFTGSETTSVWLEVVETSGENVNCLVKNAATLAGPIFTLHVSQVHISLPTLSEYDKHVISTWGSRNNVDIISLSHTRSAEDVRELRAFLQSHALPDTQIYAKIENSEGLDHFDDILKEADGIILSRGDLGIDLPPENVMLDTLGPEIQVHNSTGEPIELKAGNHVIITPNISKALSAEILPIKFGDLAKVISTWGSRNNVDIISLSHTRSAEDVRELRAFLQSHALPDTQIYAKIENSEGLDYFDDILKEADGIILSRGDLGIDLPPENVFMFQKTAIHKCNLEGKPVIITRVVDSMIDNLRPTRAEATDVANAVLDGADGILLGAETLRGLYPVDAVSTVGRICAEVGSAIKVKAAAIVVFTFSGRAARLISKYRPTMPVLAVIFPREGSDPSKWRSYGTTQARQCFAVRGVYPLMGSTDEAETGGLTKEEYGIKLAVSYGRSVGIVKPFDRLIIFEKIGDSSVVKIIECEG >Sspon.01G0044230-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:87932797:87946497:1 gene:Sspon.01G0044230-2D transcript:Sspon.01G0044230-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPERLQEVGSCLTMDKFEKMVRPFAMPPEDFPPAAPPQALGYFSKGAGGVLESTMAHFDILNQGKIFAGPLSWATSTSPGFFPPPLPCRQPLGLPSPSPGAPPPAAMAGQPPPPPTYFHSFPPLSTSTWAQIARPQPVPAAARPTAALLTGAGAPTAAAGAAAGHADAPGVGAEGAVVLPGAVFPGAAAEGAAIFPGTGAGDPDAAAATARAITAGLGMLAPPAAVAASTFPAPPPLPPSNLYAGHPDSALAAALVAARAIAAEGQARVRAAALAWERERDAADALAHQIADVEQRLVLPAYLDVGATSSGSTASLPGRGVQNIRLLVPVVLEPESPSYARWRDLVLLTLRRYALDDHVLVDASVAVQTPSWLRLDSIVLSWIIGTISLDVHDLVRNTADARQAWLALEGQFLGNAKARALQLDASFRTFVQGDLTVSEFCRKMKTMADSLGGLGWPVEDRILVLNVLRGLSERYSHLRTWITRQRPFTTFLQGPRLPRLPLRPHLHRRPLYWVLLLLPGRAGVGGRISMWPFQAPSAAHRPPTATMYTGAPVTPFSSPWATPPGAQPGPVGWDPATLARSFNTMTLAPPADPAWIADSGATYHTTSDPSILSSVHPPSHPSSIMVANSSCLPVTSVGAAHPTDPFASPMPPFMLTSGLRVCTPPPISSTAYLLLPAQLPLLTTLFSVPLLATTTSESSAVRATLTPLPPPPTSSLLARLSMSSSGTPRIIISRHVVFDESVFPYSTTSPPPTTSELDLFSFPTDTVVQPPLVFPAGTSPPGTSPTPYTGPAVPASSAAPAPPPCMGPEPSPSARFAHPVRVYQRRARPALLHPEPVPSAPAARFAYPVRVYQRRERPPSMYPESVPPPLSSPPPGDSSPPATPTPPSPQPQAAQGAPPVYHPPLLHWHPRHVHPMRADGTLERYKARWVLRGLTQRPGVDYDETFSPVVKPATVRTVLSLAFSRSWPVHQLDVKNAFLHGTLTETVYCSQPAGFVDSSRPDMVCRLNKSLYGLKQAPWAWYSRFATYLMTLGFVEAKFRDSSQVKLIVSQQTCAKGHVKKRPMFKSEIGLKSLAVSGYSFLGTKAVTPQLASPLHHGRRRRAPWPPTFSYLRPPSTRMDRRTTFATPCRTRSTSSPALPFTGGHCHRAAAVAAACSGGHSARDCRRPSHVALRVRKGTLELVPP >Sspon.01G0026050-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:99989875:99993875:1 gene:Sspon.01G0026050-2B transcript:Sspon.01G0026050-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ethylene response sensor 1 [Source:Projected from Arabidopsis thaliana (AT2G40940) UniProtKB/Swiss-Prot;Acc:Q38846] MDGCDCIEPLWPTDDLLVKYQYISDFFIALAYFSIPLELIYFVKKSSFFPYRWVLIQFGAFIVLCGATHLINLWTFTTHTKTVAMVMTIAKVSTAVVSCATALMLVHIIPDLLSVKTRELFLKNKAEELDREMGLIRTQEETGRHVRMLTHEIRSTLDRHTILKTTLVELGRTLGLEECALWMPSRSGSSLQLSHTLRHQITVGSVPINLPVVNQVFSSNRAIIIPHTSPLARIRPLSGRYVPPEVAAVRVPLLHLSNFQINDWPELSAKSFAIMVLMLPSDSARKWHVHELELVEVAVALSHAAILEESMRARDLLMEQNVALDLARREAEMAIRARNDFLAVMNHEMRTPMNAIIALSSLLLETELTPEQRLMRLMQTILNISGNAVKFTKEGHITLVASIVKADSLREFRTPEFHPTASDDHFYLKVQVKDTGCGIGPQDLPHVFTKFAHPQSGGNRGFNGSGLGLAICKSMGLISDNSVINSH >Sspon.06G0019220-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:806721:807770:-1 gene:Sspon.06G0019220-1P transcript:Sspon.06G0019220-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor MYB93 [Source:Projected from Arabidopsis thaliana (AT1G34670) UniProtKB/Swiss-Prot;Acc:Q9S9Z2] MGRSPCCDENGLKKGPWTQEEDEKLLHYIQKNGHGSWRTLPRLAGLNRCGKSCRLRWTNYLRPDIKRGKFSQEEEQTILHLHSVLGNKWSAIAMHLPGRTDNEIKNFWNTHLKKRLIQMGFDPMTHRPRTDFFAALPQLIALAALREQLGGGTAVADQSGAGAGVAIVQQAAKLQYLQSLLQSAATTIAAAASSAPSSDTEVAAAAAALGLGAICAPQLTALDSSTATTAPSVDNASGQLLPCTFPAEAPVSSEEDEANNQQQQTGLSSYSGLSRADDVVDVFACHGGNSSLPPLTDLSDAASLLP >Sspon.05G0006770-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:21214664:21216909:1 gene:Sspon.05G0006770-1A transcript:Sspon.05G0006770-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARACNRALRLLPSSITTQLASRGSRARCRNLAVHAQLSTEDDAYMTERLKKVQVTRVRSSRSFVVPLVSIQSLRRTRRRGTGGARQSLVSVGTSCGGGDQWSSDIELTLRQLHLDDLIEDGQRDADVLVHLLVQQIDERFNLTVLSSTRRDQSGLPDLGDTDPSVIYVRPGDEVDLDSVIQETVRLTASAKSSCSETCEKSTVIWQYGGRQKKTTSSQRWSKLLDLKKTLDKSTKNFMHIGGFTLKSDRIACGRDHTPSPQIKPDATA >Sspon.02G0039260-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:50131187:50150459:1 gene:Sspon.02G0039260-1B transcript:Sspon.02G0039260-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGLAVASPLPPAAAAARRRPRASSSNREAVLQFWKYELSQDQYLGGSLRISQSQGNLHRHRSTNLLRPAAAAVSVEQDEVNTYLPKGDMWSVHKFGGTCMGTPQRIQSVADIVLGDSSERKLIIVSAMSKVTDMMYNLVQKAQSRDDSYTIALEEVFEKHMSAAKDLLDREDLARFLSQLHSDVSNLRAMLRAIYIELWSAQMLSYAIKKSGTPCSWMDTREVLVVKPSGSNQVDPDYLESEKRLQKWFSRQPAEIIVATGFIASTAENIPTTLKRDGSDFSAAIIGSLVRARQVTIWTDVDGVFSADPRKVSEAVILSTLSYQEAWEMSYFGANVLHPRTIIPVMKDNIPIVIKNMFNLSAPGTVICKQPANENGDLDACVKSFATIDNLALVNVEGTGMAGVPGTASAIFSTVKDVGANVIMISQASSEHSVCFAVPEKEVAAVSAALHVRFREALAAGRLSKVEVINGCSILAAVGLRMASTPGVSAILFDALAKANINVRAIAQGCSEYNITVVLKQEDCVRALRAAHSRFFLSKTTLAVGIIGPGLIGGTLLNQLKDQAAVLKENMNIDVRVIGITGSSTMLLNDTGIDLTQWKQLLQKEAEPADIDNFVHHLSGNHVFPNKVLVDCTADTSVASHYYDWLKKGIHVITPNKKANSGPLDRYLKLRTLQRASYTHYFYEATVGAGLPIISTLRGLLETGDKILRIEGIFSGTLSYIFNNFEGTKTFSDVVAEAKEAGYTEPDPRDDLSGTDVARKVTILARESGLRLELSDIPVKSLVPETLASCSSADEFMQKLPSFDEDWARQRSDAEAADEVLRYVGVVDTVNKRGQVELRRYKRDHPFAQLSGSDNIIAFTTSRYKEQPLIVRGPGAGAEVTAGGVFCDILRLASYLGAPS >Sspon.05G0003520-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:5A:10514066:10514674:1 gene:Sspon.05G0003520-1A transcript:Sspon.05G0003520-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASERVTGTVKWFSVDKGFGFITPDDGSEDLFVHQSSIKSDGYRSLKEGEAVEYTVGSGNDGRTKAHDVTAPGGGNLAGGERPDGGNGGGRYGGGGGGYGGGGGDRGYGGGGDRGYGGGGDRGYGGGGDRGYGGGGDRGYGGGGGYGGGGGGGGRGCYKCGEEGHMARDCSQGGGGYGGGGGGYGGGGGGYGGGGGGYGGGGG >Sspon.07G0010550-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:23510475:23510977:1 gene:Sspon.07G0010550-3D transcript:Sspon.07G0010550-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDATPGSKKAPPAAAAADAVPSAAQQAVEQSSSSPESGAVVAGVKASGGGEDDDEQVERFYALLDNIRAMRGMVSTGTAAGRKRARDAEPPWRPAFRMEDFELEEVDSDSAGCAGEKRKKREKESSGKRLADDEEEQEEGEVVEAKGHPRRGQPHAGR >Sspon.01G0002870-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1A:7739539:7740174:1 gene:Sspon.01G0002870-1A transcript:Sspon.01G0002870-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTLFYFSSLQICRSLPQFNNPGFQIMKYSNFHIMDPDIRNSTAQMQIEGPKTKITSFPCTRQEKREQIQPRHAPTPQKSNYPPRDRRTREPPAHILPRTPRRPRQIYRNRTRNDTASKHGGNEQARGRNGRGTYSWYAERRNAATKSTLPTKDTNPISAAAAAAAAMLLPGGSRGAGRRRGVGELSGIETAGGFVRGEPHAVLITMRWFV >Sspon.01G0011600-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:51169804:51172647:1 gene:Sspon.01G0011600-2D transcript:Sspon.01G0011600-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADTATAPLLTSHKANPAEVPSASIDDMIEKYIGDTGAMQLLKAVLVALAWAFDAQQVFISVFTDAEPQWHCVGVAGHSSCTPAAASPCAFPLDTWAWDRPAKTSVVSEWALQCAGPALVSLPASSFFAGCLVGGFVLTTLADSVLGRKKMLLVSLVSMSVAGLLTALAPNVWAYAALRFLSGFARSIVGTCTLVLSTEVVGKKWRDTVSVAAFFCYTIGFLSLPALAYALREESWRSMYFWMSVPSQESPRWLLVRGQKSDAIESLRQIAALDCGNTTTDLSMLELELEACITTMHEDDGGSSGGSVFASLQAMWGRPWAIRRLAAIMVAGFGVGMVYFGMPLTVGSLGSNLYLSTAYNALAEVPSSILSGLLIVKTNRRSSVVALAASAGVCSLACVAIPQRAEAARMAAELLSFFATCTAYDLILIYSIELFPTSVRNSAVGLVRQAMVLGGMAAPVLVALGRERSIWSFGVFGLVIGCSGLFAASLPETRGRSMSDTMEDEEMRSQAAVASYTSTNPLAKYADNDPTLD >Sspon.08G0009360-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:41268999:41284483:1 gene:Sspon.08G0009360-1A transcript:Sspon.08G0009360-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding TPNKAKSLTACKALAKSPVPLPPLRPLPCVDFKGGQISQKGLLHSIVPLLNSFLELLAFAGTCRSWRAAFASYSSKSTLCTLLPPLLVQPHISVHAPNLPSRSDDGHELHTCQVLDPANLKSTLRCQIPEETFEDLCFAGSSYGQLICGGGTNCLVVDVFTGAKVLPPQLPFNDDTYFYSGLLTAPLSSNNSHLLVCAASNLYSIQSSLLDWPVGSDSWSELRLSDTRIEQIVEFNGQFIALDGSYNLHTLSLEIATVWWDGMDECPYLRPWLVVCGDMLLMVDHYMTLSFDGAPVNYKAYRLDMSSVPAVWVEVKKLENYSLFIGSDVRSPAFSCTSPGRWSGRSNCLYYGYYYQPWVLHGLGDDADAVWDPENDPDLVFKRNWYIRLQPFWLRDWAGLPDGLLHSIVELLGSFPDLLAFAATCHPWRSAFSSYPSKSTLYALIPPLLLDPNVSFCSSCHFPNARNTSVPKRPCYVIDLASQDTILCSQIPLLSVDYGNNRPPSALHKFAFRGASFGHMIFSSNKICFLFDVFTGIEVSPPLLPADEYSEIRCGATLTGPLASPNSHLIVCTLSFNFFWRVGSDSWSKCSPCNGSLTEFVVFKGQVFGMGSDRSLFMVHLSPQIHLQEIPVSWGGRNSMTKWHLCNPWLVVCDNMLLMVGYQSEFPSIIRDVFEAYRIDTLTDPAKWVKVERLENWAIFISSDRRVQPLSCLNPERWGGRSNCVYCYDYEFGHLVAFELGKPLPEDDATRSDVFIFTSVGSMSVAEAPRTAGLPEEAFAAARRRGTIYWYSRKGQGIAFEQSWVDRMVLWVNAWAQAVADVHDLGGPFDEGTYREYLRWFHGATRVRCFPVPVEAALHEAKITDTFAMEPPAAFHALTDICSDVGNELLSYAQKFEQTPPTVDRLELVAALRRLGQCCLAGVRRASCRQTTPPPPPPPPVVGSGGRSGPSQQRVPVLGTRASCSDYTEGTSSLAHAMSQPDANAIAIAATAAAATDPSLDLTSAPPVVNWPEDGALTRDWVAGLASTLDWCSRHLPADRLPVVLPAALVQRLVLAAAAILHREANLVRVDPRPGQAVVVVGDVHGQLHDVIFLLRDAGFPSEDRIFVFNGDYVDRGAWGLETFLLLLAWKVLLPNCVFLLRGNHESKYCTSVYGFEKEVMTKYKDQGPQVYQTFLRCFADLPLATIIAGSVYTAHGGVFRGTIVVPSKRQKKRKKGHKYKADFTVDVTAMKLGSLDELLKARRTVLDPPYEGSNLIPGDVLWSDPSLEMGLSPNKERGIGLLWGPDITQQSHEGPDARDKRHNLLGMDNGYTIDHEVECGKLITLFSAPDYPQFQASEERHNNRGAYIVLNPPDFATPVFHSFEAVKPRPAAHAFYDYEEVMDSDEELNLEAMDTGTLSL >Sspon.06G0008660-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:45684652:45693620:1 gene:Sspon.06G0008660-1A transcript:Sspon.06G0008660-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSGKDLGREILIVKKETSEPHIMVYDGCTTWETAFIVQAYCSTGLVNDIGSTLRKAHEFIKCSQIRENHLGYKSYYRHASKGSWTLSTADNGCSISDCTAEALKALLLLSKISPDLVGEPIKGESLYDAVDCLLSFVNNDGSFSAYECKRTTPLLEVLNPSESFLNIIVDYPCVECTSSVLQALIMFRDLDHVYRKEEIGNCIERASRFGSWGICFTYGTFFAVKGLSLSGQTYENSDGIRKACSFLLSKQLHTGGWGETYLSSETEEYVEASRPHAVNTAWAMLTLLYGGQVERDPTPLYKAAKELINMQLDSGDFPQQEHVGCFNSSIYFNYGNYRNLYPIWALGEFRRRLLERKKLNQYT >Sspon.07G0010290-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:32803590:32806648:-1 gene:Sspon.07G0010290-1A transcript:Sspon.07G0010290-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding PMGSRPPGVSFKLVLLGVGRVGKTSLVLRYVNNVFSDKQEATVQASYLTKRLVVEGVPITLSIQDTAGQEKFHALGPIYYRDAAAALLVYDITDSDSFLRVTKWVKELKQMASKDIVMAIAANKSDLVRLKNIDTQDAVSYAESIATNLFVTSAKAGTGIDDVFSDIAKRRFC >Sspon.06G0023190-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:39721405:39721686:-1 gene:Sspon.06G0023190-1T transcript:Sspon.06G0023190-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQQLVGRITMEVAPSKLPSMMAKRARLPMNLDTIAEDDKEAAMDSQSPIRARGVEIIVIDTAMHCTDKLAFLAPMAKTECPKIKA >Sspon.07G0021630-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:4207858:4209481:-1 gene:Sspon.07G0021630-2D transcript:Sspon.07G0021630-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAIITEINEKIGAAGVVSQECKTVVSQYGQQILDLLLAETQPAKICSQVGLCTFDGTHGVSAGIRSVVDDEAGKSNGGIKSDPMCSACEMAVVWMQNQLALNKTHELILNYINQLCERLPSPMGESAVDCASLGSMPDIAFTIGGKKFKLKPEQYILKVGEGQVAQCISGFTAMDIPPPRGPLWILGDVFMGVYHTVFDYGKLRVGFAESA >Sspon.01G0017230-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:62818259:62826897:1 gene:Sspon.01G0017230-1A transcript:Sspon.01G0017230-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAALTKVGTGGAAAATSRFAAACGALSQYVKAAEAERKHAHARPAAVPVRPLPLMPGADVSDASPDDGLDDLAQAAPAQMMTIVYGGRVLVLDDVPADKAAGLLRLAAGGAAAAREGAGTEATAGAKRGGQGQVSVAAAAADLLPVARKASLQRFLEKRKVRVAARAEPRFAAACGALSQYVKAAEAERTRARPPVVRPLPLMPGADVDHEQEEPAETAAQQLTIVYGGRALVLDDVTADKAADLLRLAAAAAARGGTEQQPLSCSSVDDLPVARKASLQRFMEKRKGRIAARAEPYRRPGDPRDHLTLAL >Sspon.05G0025240-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:58150347:58153873:1 gene:Sspon.05G0025240-3D transcript:Sspon.05G0025240-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYAYLFKYIIIGDTGVGKSCLLLQFTDKRFQPVHDLTIGVEFGARMITIDNKPIKLQIWDTAGQESFRSITRSYYRGAAGALLVYDITRRETFNHLASWLEDARQHANANMTIMLVGNKCDLSHRRAVSYEEGEQFAKEHGLIFMEASAKTAQNVEEAFVKTAGAIYKKIQDGVFDVSNESYGIKVGYVVPGQSGGAEASSQWKEK >Sspon.02G0004650-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:14778468:14781298:-1 gene:Sspon.02G0004650-1A transcript:Sspon.02G0004650-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGANTAAAVCPCPEYAEVDPTGRYGRFSDVLGKGASKIVYRAFDEYQGMEVAWNQVKLHDFLQSPEDLERLYCEIHLLKTLKHRNIMKFYTSWVDVSRRNINFITEMFTSGTLRQVLSQKLCTRRFVEKCLATASQRLSARELLEDPFLQGDDVAVSLDGGDYHVPSNYIRQPSYLGHTYSNGSMMSNGFSESIDEDALSEDCEDDDMKGQDGIDLFKENEDEPLGNVDITIKGRKSEDGGIFLRLRISDNDGRVRNIYFPFDVEADTALSVATEMVAELDITDHEVTRIADMIDGEVSALVPDWRPGPGIEEAPDTSYCHNCGSNVSSCGSLYAYMSLGRQGCQCAELHGRFEEITFQADGEQCDLQESAGSSDDGGGQTEHYVKSKESTHVNGLVQMGRRDLSSQLCFSSFQEQSCSSNYYENDTNHHTNGFDMKHEVKIAKYKARKMAQLKKAIHPSLDFDNAYGVNRMKPSLNKLQSFHIGKNHSFRVPTSPGKASTDYHSDLNSQVWHSRHPDPGAQRARHCEVNAAGSSPDYMFTARRYYTGAQLPPNLPRTKSVPPLSAVDA >Sspon.07G0007000-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:18415337:18420406:-1 gene:Sspon.07G0007000-1A transcript:Sspon.07G0007000-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase Nek5 [Source:Projected from Arabidopsis thaliana (AT3G44200) UniProtKB/Swiss-Prot;Acc:Q0WPH8] MESRMDQYEIMEQIGRGAFGAAILVNHKIEKKRYVLKKIRLARQTERCRKSAHQEGCYVCIVTGYCEGGDMDELMKKSNGTYFSEEVLLKWFAQLVLAVDYLHSNYVLHRDLKCSNIFLTKDQDIRLGDFGLAKTLKEDDLASSVVGTPNYMCPELLADIPYGFKSDIWSLGIMFYLDMAGLISKINRSSMGPLPACYSVSMKTLIKSMLRKSPEHRPTASEILKNPYLQPYVNQYRPLYDSSNPMRMLEKQLPTSRSSQRSMSDSQSSSISSSDIDSAQSSDRSTSGGTTSTDRKTIDTASIRDVDQVKSDENGATPEDLRGNKDNCSVQFKRQDSLKSIHVDHHPRPENKQPKIIEKIMTTLREESRLREINSPVRGGVKPSSGLSNNNQVEQPLQVSRTNSDMPCNLKSGNILSHDEHVNEVEASPPLKQLSPIIEHSANMKTAGPSTPEPAKQITENGAAASGKTKNKTSPAARRPSPQRQAGAGTPSFPATMTRRAHTKFITERERTPERPSCSPDMKLDALSDPPRSLMISSNPSEGQHMKLDASQANSTNLWELFTVSAKEHSSACSSSTVYCTENMDQPELSEPNSPVCLVSPCTGCSPNTIEEDDKGSIPCSEINTDKNVVTNNGGSSLRSDLEPSFLSSEQEFVSKDVQCSKHEQSNIAFQRGEDKFTVQELLSSAPEVAPPVSSAPEVAHSIPATKGTLLDAPISLQSWKRQVVSHLNPPVDDVIQTIRHSTFCVSDEQPTQGSVQREAQSADVIKLLDVVPEEADARSSSPNTVPQARSSSVTTTPHVSEANVATKALAASDNVKLSAASSGTSNGTKEEASPTKEALDVTSFRQRAEALEGLLELSADLLENQRLEELAIVLKPFGKNKVSPRETAIWLARSFKGMMSDEAGRTSL >Sspon.05G0020400-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:86688605:86693939:-1 gene:Sspon.05G0020400-1A transcript:Sspon.05G0020400-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MIISAFFSHVFGRHAGSTRVDKCKRMGTSKDAAPCAILLCFLFLLCLGCKCLASELEATQTATLTVDASPQLARKIPDTLFGIFFEEINHAGAGGIWAELVSNRGFEAGGLHIPSNIDPWSIIGDDSSIYVETDRTSCFSQNIIALRMEVLCDNCPTGGVGIYNPGFWGMNIEDGKAYNLVMYVKSPETTDLTVSLTSSDGLQNLASATITVSGESNWTKVEQNLVAEGTNRTSRLQITTNKKGVVWFDQVSLMPEDTYKGHGFRTELISMILDLKPRFLRFPGGCFVEGEWLRNAFRWRESIGPWEERPGHFGDVWHYWTDDGLGYYEFLQLAEDLDAEPIWVFNNGVSHNDEVDTAAIAPFVKDVLDSIEFARGSANSTWGSVRAAMGHPEPFPVKYVAIGNEDCGKKFYRGNYLKFYNAIRQAYPDIQMISNCDGSSTPLDHPADLYDFHVYTDSKTLFNMKSTFDRTSRSGPKAFVSEYAVWRGDAGRGSLLASLAEAAFLTGLEKNSDIVYMASYAPLFVNNNDQTWNPDAIVFNSWQHYGTPSYWMQTLFRESSGAMLHPTTISSSYSGSLAASAITWQDSENSFLRWTPGQYQRSGINRHCSHISKINPTKVVPVKSDLSNAAEQMQVTLAPHSFSSFDLALAQSKLVAEM >Sspon.03G0009960-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:26864936:26868928:1 gene:Sspon.03G0009960-1A transcript:Sspon.03G0009960-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTWCNSFNDVRAVENNLATAAAVAAAKKQQQASSHVNLIRTCPSCGHRAQYEQVQAAATIQDLPGLPAGVKFDPTDQELLEHLEGKARLDARKLHPLIDEFIPTIEGENGICYTHPERLPGVGKDGLIRHFFHRPSKAYTTGTRKRRKVHTDEQGGETRWHKTGKTRPVLTNGKLKGYKKILVLYTNYGKQRKPEKTNWVMHQYHLGSDEEEKDGELVVSKVFYQTQPRQCGSGSATAKDAVPLAAASTATDHHHHHDGGNSMLKEAGMVDFYNPAALIGYNQAAAPTNRAAASVHLMPNFEVHTTAGAAAFGP >Sspon.07G0003150-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:7428393:7429317:-1 gene:Sspon.07G0003150-3D transcript:Sspon.07G0003150-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein At4g29660 [Source:Projected from Arabidopsis thaliana (AT4G29660) UniProtKB/Swiss-Prot;Acc:Q94K18] MSSRFWRWYADRQFHKWEKTVLWDMVEPYRPPRSFVPLVGTYVAAFYTGVVGAAVTEQLYKVSQPQRLGSPSPYPFAFAPLPPATGTAAPIRCSVECLPASRRGSPASSSGVEKYWEEHPGEAVPIMPPKFYWGPWRVMNGEVPRFIQPPDEAKA >Sspon.01G0028820-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:100387669:100390700:-1 gene:Sspon.01G0028820-1A transcript:Sspon.01G0028820-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEQRRNEEEHASFAEYQRQRQQALAGEAGLQQALASQQLMLYTVDGRAASYETKWDELHPVSQGQLLQIEDIIREHRDDSEQLDQCRCFDDLSLCNMSFELDANQITQEAVSISTIMNRDKNSIDSLMTVIQEIMWNTEFAIRSYAKLRPRFVYLSAESANSGFSNHSGSSGAESANSGFSNHSGSSGAQTDFHQLLTMTPRFHCYSSATRRPSPFVQQTVARFEDDLGECCKWILELEQLVQMKDGKTFAESLESLSNVMSNVHDYLIHVASKVEHFHQYVETMETHYLNDRRRRGDFSNPFLKANRREAAKQEATDRIIHPMSHLTPPGQPTTLVAAPMIASQLQQTPFPTVATSPISYPIVPLPSVLPPSSTQTSPAPSINPFSSSGPVLQSMPAASLFRTGIPSSATSLFPVPSGGIRHMLYANLYAVWHISESLEVKMVKGKCIHTSFYLAAYTC >Sspon.07G0013560-3C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7C:55340371:55341516:-1 gene:Sspon.07G0013560-3C transcript:Sspon.07G0013560-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHDTASRHHPAVATGQEAEEAPPPQQPAAAHDPGGGGTSSECRFCEMTRQHHPQCARRLPKRIILVRHGESQGNLDMSAYTTTPDYRIPLTALGVEQARAAGRRIHDVVAAAGGNWKVYFYVSPYARTRATLREIGRAFPRDRVIGAREECRVREQDFGNFQVEERMRAVKETRQRFGRFFFRFPEGESAADVFDRVASFLESLWRDIDMGRLDQDPSCETNLVIVSHGLTSRVFLMKWFKWTVAQFERLNNFDNCEFRVMQLGPGGEYSLLVHHTKEELQQWGMSPEMIADQQWRASANRRSWAEECSSFIDTFFEEPNDSSETSSSDDEEPVDKENGKIKLLE >Sspon.03G0025250-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:95259063:95260988:1 gene:Sspon.03G0025250-3C transcript:Sspon.03G0025250-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPVAKLGTLALRTLSKPIASRLKSQAAVHPKFRNFIIAIAQINHRITTKILRRIYGHATNVEIRPLDEQKAVQAATDLIGEAFIFSVAVAALIFEVQRSARSEARKEEARKQELEELKQRGESLAKELEDLKVKLSEIERLAKGRGLTGILNLKGVHGAEGGKAATPA >Sspon.08G0025700-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:11519522:11523569:-1 gene:Sspon.08G0025700-1C transcript:Sspon.08G0025700-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Conserved oligomeric Golgi complex component-related / COG complex component-like protein [Source:Projected from Arabidopsis thaliana (AT5G51430) UniProtKB/TrEMBL;Acc:Q9FGN0] AEGSSAESIAALAQIDTVKQRMEAAYTTLQDAAGLAQLSQSVEDVFSSGNLPKAAETLATMRHCLSAVGEVAEFANVRKQLEVLEERLDEMVQPRLVDALSNRKSLEAQYTKIHVKPLKKLWEDFDLKQRSSRLDMEKLGGESISGLSFSSWLPNFYDETLLYLEQEWKWCLTAFPEEYKSLVPKVLTETMSELNSSFVSRVNIATGDVVPETRSVAKVVLNTLKAIYSPYETFKARYGQMERAILSAEMAGIDIRGAVPRGVGAQGIELSETVRRMEESIPQMIVLLEAAVARCISLTGGSEADELVLALDDVMLQYISNLQETLKSLRIVCGLDSDALKKDVGLEKKEVQRLVDVSEEEEWSIVQGALQILTVADCLTSRTSVFEASLRATLARIGTNFSVSGFGSSLDKSPAAAADENADLPLAGRAALDIAAIRLSELPDKSKKLLTVLEQSKDPRFHALPLTSQRVAAFSDTVNELVYDVLISKVRQRLSEVARLPIWSSVEEQGGLPLPSFSAYPQAYVTSVGEYLLTLPQQLEPLAEVLIIISASMYQVAEGATALFMEQLRGIHYITDRGSQQLAADIEYLNNVLSALSMPIPPFLSTFHACVSTPRDQVRDLIKSDGGSQLDLPTAHLVCKIRRITLD >Sspon.04G0015720-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:58456519:58459517:-1 gene:Sspon.04G0015720-1A transcript:Sspon.04G0015720-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVISGDSPRHSSPSSKLEKKMVEAMQQRALKGTSVKSFNSVIMKFPKIDESLRNCRTIFQQFDEDSNGEIDQLELKHCFQNLGIESTDEEIKDLFQACDIYEHMGMKFNEFIVFLCLVYLLNDPARKRMGLGSLEPTFETLVESFVFLDKNKDGYVSKSEMIQAINETIGGERSSGRIGMKRFEEMDWDKNGTVTFKEFLFAFTRWVGIDNEDDDEDGEEEE >Sspon.06G0015170-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:83333772:83335096:1 gene:Sspon.06G0015170-1A transcript:Sspon.06G0015170-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LMLGDMSTANEVAGESVELSLYCFKVIATATNNFSDSTILGQGGFGTVYQGTLGDKEIAVKRLCTDAARKSLLDWPTRFMIIKGIARGLLYLHQDSRLTVIHRDLKVGNVLLGAEMSPKISDFGLARTFSVNKQQSEYALEGIISIKSDVYSFGVMLLEIVSGSKVSTTGPVTGSPNLIAQAWKLWKDGNIRNLVYPSIVEGSSLGESLRCIHIGLLLVQDNPNARPPMPWVVASLDNEGIELPQPREPAYFARRHYE >Sspon.02G0030490-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:94426232:94430498:-1 gene:Sspon.02G0030490-3D transcript:Sspon.02G0030490-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTRSTSDPRSVWSSSLRPPLDLQPAVLPDDDRAVAELLNAALEGDLSRVKSKALDRFPAQLVKELSEAGKGVDEAVAAAAVGVTGTKRRGPLHMAAANGNAEMCRFLIKTCDVDVDATDSDGATPLIFAIQGLGSTKIVRLLLNNGANLNKADNSGVAPLHIAAERGFYEVAELLLSREAKVDLMCENGGAPIHIAAENGHAKILKLLLQHKADYNAGNPATALVIAAGKGLTDCIKCLLKAGADANISDEDGKLPVQIAACQGWKECVEILFPVTTPLAEYATWSIDGIIQHEKTASSEPQVSDLDDKENAVSDDNNNKDKQPHQSKSCSEKGKALTTVEENGGGIETLMSGLNLGPEDPSDKSSRPLLRRSTRARQPNVRISGPEWAR >Sspon.01G0057190-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:502339:503681:-1 gene:Sspon.01G0057190-1D transcript:Sspon.01G0057190-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFTPLVSARPSRQRLVGSHRARTPQAGNVGETTTGRKACLTCKAGNVAAAARGRGCRARAPIRTGSERVPAARNLRLRQCCTSPPLFHFIRQLSFPWMWMDPSSLALTKLGGNLLGWPYVWLLLLLLEEGAVVEQHADHDPATTYCYERGANAHAFLPIIPNPPSPKEKLQAGAGPLLLTQDAPPIRLHKGEPAATVPFSPNRIHRKRETIKFLHDHEARAGAGEGRRKRERPRKASVRGRNRGFPRARAAAEPRKTAATPTSGTRRGSVHGMFSSYGPSAPEARMELVISWRLAATPLPLPRRAVSIPVPGGCEPAALESTGPKCPPITVDA >Sspon.01G0018190-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:64448889:64452194:1 gene:Sspon.01G0018190-4D transcript:Sspon.01G0018190-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LSWSKSLVRKWFNIRGKSHDFHADAAAVGAGSGRSGGGDDDWRDGSFTRRDSCAAKKSRTERASRRSHERSRRSKIDLDAAEATVMLDYRIFAATWNVGGRAPPGSLSLDDWLRSSPPADIYVLGFQEIVPLNAGNVLGAEDNGPARKWVSLVRRTLNSLPGSGGSGSLQTPSPAPYLVAEMDADFERSTQNNPSFFHRRSFQSGLSRSMRVDGDILAGPGPARLERRYSVNDRVMYGSRPSDYEANCRWGGGQSDDEDDHVGGGESPITVFSPMSHGYGNAQPMEECSGSARGPARYCLVASKQMVGLFLMIWARKEMKNDIRNLKVSCVGRGLMGYLGNKGSISISMVLHQTSFCFVCSHLTSGQKDGDEHRRNSDVMEILRKTRFPRVCGQYERSPETIMEHDRIIWLGDLNYRIALSYRSVKALVEMRNWKALLEKDQLTREQRGGRVFPGWNEGRIYFPPTYKYSNNSDKYAGDDMNQKEKKRTPAWCDRILWYGRGLSQLSYVRGESRFSDHRPVYSMFIAEVESINHSQIQKMSSWSSQLDIEELLPYSYGYTEIDHYGYTDLNFY >Sspon.01G0022140-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1A:81228901:81229417:-1 gene:Sspon.01G0022140-1A transcript:Sspon.01G0022140-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAPSHAHVVPPFIVLCTFLALALLFLYRTKATPSKKTQLHQLPPGPAGLPIIGSMHCVVSKRSVFRWIHGLLKDMNTNILCLRFGAIHVVVVSSPEIAREVLRKNDAVFASRPETVASGLFSFGYKGSVLSPCGEQWKKMKRVLTSEILSTSMEQRLHRRRARRRPTTSS >Sspon.04G0001110-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4C:4515973:4516740:-1 gene:Sspon.04G0001110-2C transcript:Sspon.04G0001110-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding TFACSFQSSSSRSRPRTPASGIWSSSLFAATPSTTTSSSTPRSPSRRRRGSVSTASFSPGSWGRSLWTSTTSSAPLRTCAGHGLPSRASYWATLRPGLYVSTRASAPSSRVTSPSASSAVG >Sspon.02G0001950-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:6503602:6510075:-1 gene:Sspon.02G0001950-1A transcript:Sspon.02G0001950-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPHALPTTSTPASRRVVYVLLAALATAPFLLLLLYGGASPSVLCPASYRAPRRLPYPSVLWSKVPPLPALPSSPHSDLRGSRWIVFTASPQAPRHRPLRAVPGWQLLAVADEATPADWSHPGAVLLTLADQTRLGFRSVAFLPARGPARKVAAYLFAVQRGARVIYDADARNAVLGGNLTAYFDVDLDQRQGGAVLLQYSHADPNRTVVNPFVHFGQPSVWPRGLPLEKAGELDAEEFYTEIFSGGQFMQQGMCNGLPDVDSVFYFTRKSLEMEAFDFRFDADAPKVALPQGTMTPVNSVNTLFHSPAFWGLALPVSVSPMASDVIRGYWAQRILWEIGGYLVVYPPTVHRIDNVRAHPFDEEKDLHVNVGKLIKFLMEWRSSKRTLFERILDLSYAMTEEGFWGEKDLHFMTAWLQDLVAIGYRQPRLMSLEIDRPRATIGHGDKKQFAPKKLPSVHLGVEEIGEVSTEIGNLIKWRKHFGDIVLIVHCTELVDRTALEWRLLYGRIFRAVVILSEKSNSDLAVEFSNLAQAYKHLPKVCARFGGAQGFLFLQDHMVFNYWSLLDADKAKLWITNQVHIHVEHIYVKYRTSEVFDEIKLTSPQVKESWSDVPLHGNKIEWFVNQADMIKKAVSNFPSQYEANYRRNVGENNLIRCSSEIFYIPQRYIGDFSNLVKAIGXXXXXVPPLPALPSSPHSDLRGSRWIVFTASPQAPRHRPLRAVPGWQLLAVADEATPADWSHPGAVLLTLADQTRLGFRSVAFLPARGPARKVAAYLFAVQRGARVIYDADARNAVLGGNLTATSMSIWTSAREAPHADPNRTVVNPFVHFGQPSVWPRGLPLEKAGELDAEEFYTEIFSGGQFMQQGMCNGLPDVDSVFYFTRKSLEMEAFDFRFDADAPKVALPQGTMTPVNSVNTLFHSPAFWGLALPVSVSPMASDVIRGYWAQRILWEIGGYLVVYPPTVHRIDNVRAHPFDEEKDLHVNVGKLIKFLMEWRSSKRTLFERILDLSYAMTEEGFWGEKDLHFMTAWLQDLVAIGYRQPRLMSLEIDRPRATIGHGDKKQFAPKKLPSVHLGVEEIGEVSTEIGNLIKWRKHFGDIVLIVHCTELVDRTALEWRLLYGRIFRAVVILSEKSNSDLAVEFSNLAQAYKHLPKVCARFGGAQGFLFLQDHMVFNYWSLLDADKAKLWITNQVHIHVEHIYVKYRTSEVFDEIKLTSPQVKESWSDVPLHGNKIEWFVNQADMIKKAVSNFPSQYEANYRRNVGENNLIRCSSEIFYIPQRYIGDFSNLVKAIGSLDIHHSFAVPMLFLAMDSPSNFESKALTKLVYRADLPSNTTLSTIYTAEAHAVYPVKVQNEMDFVKLIRAMSSGDPFLMELDDTVTPTLLPSTAVIKRKGKGRAARKAQRPFARPRRCRQPKLQN >Sspon.08G0029350-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:35190843:35192487:1 gene:Sspon.08G0029350-1D transcript:Sspon.08G0029350-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLLKLGGIMMNPQAVCIKITMLTIKIIHLVISMQTKGLAEIRTVKFVRLGVARRGWLAALILDVSVLDMILEPPLKCPDVIHRASSFRSARPLTDSSTAFKPSLAAATLSLVKLQPASGAAMSTAKLSYRGAPPPTAQARNAAGAAALAFVTGPRLLLRWEG >Sspon.02G0025360-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:87997107:87998924:1 gene:Sspon.02G0025360-1A transcript:Sspon.02G0025360-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MERSGGQIAAKRAKPPDGGEDRLSALHDDLLLRILVLLDTTEAARTSVLACRWKRLWRNLPEVRFNPAPDGNRIRDVLDAPDDAPVLRRISVTTKDAAPDSVAAWLPAAARRLVGDLFYRNVFPKSTSDDDEEEEEEEEEEEVEETGERGLVELPCFEKATKIQLKLRYEGLAFPPQGVFDKLTNLSLSRVRFHGPCNLGDAVSEARCPCLQKLSVFESHGLDSLSIHSKSLLKIKLFQLGKSLQELTIIAPNLKKLGVAYSLLDDDQKKPVANISAPQIVSLAWVDSYDPESVHLGNLGQLQLLRTKLFWLYGPQLQREQNQCLRFLQRFKVVRSLNLILINQPDVDDYQYLLGDITVLPQIMFLHLDILNEGHAFGASLFNVLRMCSGLRRLSLEFYTNSDME >Sspon.02G0040490-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:70071267:70071644:1 gene:Sspon.02G0040490-1B transcript:Sspon.02G0040490-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFIGLKRTSAHCGMDGTSTSYSYSSSTRCGSRPCLLSSRIRLLNLFSISHLVYVVGVPHDALIPPLCLRNSLFFFFVLFVGTCKISNGNLATAVPELLRHCQWAFALCMGDPSLYGYLRCVPTK >Sspon.08G0014740-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:54740366:54746380:1 gene:Sspon.08G0014740-1P transcript:Sspon.08G0014740-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPKPEGVDADGAAAAEVGSPRPGYFRQRSMHAAAAADPEAAARRAFDVENPPCSAGGAGGGLRPSESVTKLESLERAERAALAPAVVLRTGFYILVWYAFSTCLTLYNKTLLGDKLGKFPAPLLMNTVHFALQAGLSKLIIFFQSKGPEATVEMGWKDYFIRVVPTALGTALDINLSNASLVFISVTFATMCKSASPIFLLVFAFAFRLENPSIKLLGIIVVISTGVLLTVSKETEFDFWGFIFVTLAAVMSGFRWSMTQILLQKDSYGLKNPITLMSHVTPVMAIATMILSLLLDPWSEFQKNSYFDNPWHVMRSFLLMLIGGSLAFFMVLTEYILISATSAITVTIAGVVKEAVTILVAVFYFHDEFTWLKGFGLFTIMVGVSLFNWYKYEKFKRGQTNEDDVNSPPFTGDVKYIILDDLEYQDEFEED >Sspon.07G0036160-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:23529515:23530165:1 gene:Sspon.07G0036160-1D transcript:Sspon.07G0036160-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGDGKEPRGGKKPARLAPAIDATQQEPAAARAVLETPALSDDNATAAQQVDDGQPVASVDESRGGGGAEEEEEDNPDDDEQVERFYALLANIRAMRGLAPHNMVASSSCGGGGDTDTDTAGDSARKKRLRRSAEPPWRPVFRMEDFEEPPPTAASTSCKMRKRTRAAGHDDHGHGEGSGGSARPPVAVVVSSSSSVPHATVRFQQSDDSRSCSRD >Sspon.04G0003910-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:12265182:12268014:-1 gene:Sspon.04G0003910-3C transcript:Sspon.04G0003910-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGISRDSMHKRRATGGKQKAWRKKRKYELGRQPANTKLSSNKTVRRVRVRGGNVKWRALRLDTGNYSWGSEAVTRKTRILDVVYNASNNELVRTQTLVKSAIVQVDAAPFKQWYLTHYGVDIGRKKKAPAAKKESAEGQEGEAAAEETKKSNHVKRKLEERQKGRELDAHIEEQFGSGRLLACISSRPGQCGRADGYILEGKELEFYMKKLQRKKGKGAAA >Sspon.02G0015890-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:43609536:43610861:1 gene:Sspon.02G0015890-1P transcript:Sspon.02G0015890-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGTLEYLSDLLGGGGSRRRYKKRKQFQTVELRVRMDCDGCEMKVRNALSSMKGVHSVEINRKQYKVTVQGYVEPHKVVKRVQATGKKAEIWPYVPYSLVAHPYAAPAYDKKAPPGYVRRVDAVMPVSSYGGPTAAGPQEERLVTMFSDDNPNACSIM >Sspon.06G0031920-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:74812442:74838157:-1 gene:Sspon.06G0031920-2D transcript:Sspon.06G0031920-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISSSSGQYNSSSPWRAATATLLLALAAGSLLLPALARGSSEHDQQREDDLIRGLPGLPNDDVPFDMYGGYITVDEEAGRVLYYWFQEADQTAVEDPDTAPLLLWLNGGPGCSSIGGGALEELGAFRVHTDGERLLRNEFAWNRAANVLFLESPAGVGFSYSNTSSDLIVGDNRTGHYVPQLSQLVYRNNIGVEKPSINFKGFMVGNGLTNDRTDMIGMFEFWWHHGLISDETLDSGLKICPGSSFIHIEPECQKIWDKAVEEQGNIDGYSIYTPPCDKGTPYARRLRRISRRPLMLPAYDPCTAFYSTKYLNLPQVQTAMHANVSGIIDYPWVLCSDPLYYNWTDTPASMLPIYKELIGAGLKVWVFSGDTDTAVPLSGTRRSLAALGLPVKTSWYPWYIVATEVGGWSMEYEGLTYVTVRGAGHEVPLHPAQSRAIANMSSSGQYSSPWRAATVTLLLALAAGGHLLPALALARGASEEDRIRALPGQPNDGVAFDMYGGYVTVDEQAGRAFYYWLQEADRGAVEDPDTAPLLLWLNGGPGCSSVGYGAMEELGAFRVHTDGQRLLLNEHAWNKVQLSMARRDGNTPLALAAGGHLLPALALARGASEEDRIRALPGQPNDGVAFDMYGGYVTVDEQAGRAFYYWLQEADRGAVEDPDTAPLLLWLNGGPGCSSVGYGAMEELGAFRVHTDGQRLLLNEHAWNKGHYVPQLSQLVYRNNIGVEKPFINLKGFMVGNGLTDDHADMVGMFEFWWHHGLIADETLDTGLKVCPGSSFIHVAPECRKIWDKALKEQGNIDGYSIYTPPCDKGSPYARRLRQSRHPLMMLPAYDPCTAFYSTKYLNLPEVQTAMHANISGSMEYPWVVCSNLLFDNWTDAATSMLPIYRELIEGGLKVWVFSGDTDTVVPLSATRRSLAALGLPVKTSWYPWYMVSTEVGGWTMEYEGLTYVTIRGAGHEVPLHRPEQALFLFKQFLKGEPMPAEASFSFATSRNINFPWKL >Sspon.01G0054060-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:47120859:47121257:-1 gene:Sspon.01G0054060-1C transcript:Sspon.01G0054060-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPKIRQSYNPDTQSMNSPSLENLTLKLRGVHGSLHILIDPCTEVDACAIKVKLVSALIGELEERSFSCEHLDSVDIVCWGVQEHDPVLDNLVELLTENGIEPDEIHISISL >Sspon.06G0011580-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:63279882:63284638:1 gene:Sspon.06G0011580-1T transcript:Sspon.06G0011580-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMKYKPSIMSKAICAIGLEKQWRNLWYVHHIDDDASSGGREGILEIFDRPTDEWFRPLRRLRSRRLNYTLSLPFEHALYRLHLYTDLHISRHFAANTNSSSDVLMRVKEECEKLSNYMMYLMVVYPFMLPVSTASEDLQSALVNWVHGDSGQVRTKLDFLDHYTSSVLCNEPDSGSPFKPDGPFQLEESLKEIKEMWLRLLMYAAGKCRGELHARQLGEGGELITSVWLLMVHHGLGDVATELSLLTSDDPYVTQPGSTVSIGNTNWILRQHGPRYAFQFRAGWVVRRKAECLGVDHDGYGEVTAHRAATLVVDQDLAPPASASVGANDDPASRSIHTDQEIGLVKMGNVL >Sspon.02G0012930-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:37390934:37395319:-1 gene:Sspon.02G0012930-3C transcript:Sspon.02G0012930-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGPGGRRRRAARKRPRRATAEYVMEKDPRKAATPVKASANAGTRGTMNRIQSRRERKLALQQDVDKLKKKLRHEENVHRALERAFTRPLGALPRLPPYLPSQTLALLAEVAVLEEEVVRLEEQVVNFRQGIYQEAIIFSSATNAQLPGGEVPVPAQLMPSNPVLNAEVPATTVNQGPDHPPNARPSPHNGVANGKQTPRKPVNASLPPSQDDRSGAGKENQSCSNTSSTSSRQTPPLQQKTANKSRATPPDRRSRATPAHQTNTAAPDRKRPAADAAAAGSNTDKVTTSHGDGSSSVPNKLSEELLRCLLTIFSRMGSAAGGGQGQGDEDQQAPSPSVSGSSESSGSGSEDAYPQDPYGIQELGARDIGPYKRFHAVDAACFDPNALAGDGDDTPLVRRLQALLRRLSSVDLAGLSHQQKLAFWINIYNSCMMNAFLKQGIPTTPQMLVAMMPKATISVGGRTQSAMSIEHFILRLPYSAKQVQVDREEGAKGDDGVTMARGAFGLEWPEPLVTFALSCGSWSSPAVRVYTAARVEEELEAAKREYLQAAVGVSTPGKLAVPKLLHWYLLDFAKDVDALMDWVCLQLPPELRHKAMRVVEDGRRAGAEPGRIQVLPYEFRFRYLLAS >Sspon.02G0042190-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:76696813:76706133:1 gene:Sspon.02G0042190-2D transcript:Sspon.02G0042190-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQFGTPPPSPAARRAPTFHADPAADATQLLGALLPPRPALHHVLQAHARLAVLGLATARALPQLLAALPRLPLAAAATSHSYPLSLFRCSSCASAFASNHLLRVLPHPLPLRLFPRLPRRNPHSFTFLLASLSNHLDADPAAAGSDASRFTGTHVHALAVKAGAAGDLYVRNALIHFYGVCGDVAAMRKVFDELPLVRDVVTWNAVLAGYVRAGMVGVAREVFDGMPVRDEVSWSTVVGGYVKEGELEVALGVFKNMVVQGVKANEAAIVTALSAAAQLGLLEQGKFVHAVVKRAGMAMSVNLGAALVDMYSKCGSVAAAKEVFDAMPRRDVFAWNSMICGLATHGLGHDAVQLFEKFVSEGFCPTSITFVGVLNACSRTGLVDEGRWYFKLMAEKYGIESEMEHYGCMVDLLSRAGLVQEAVELIEGMHIPPDPVLWGTVLSACKRHGLVDLGISVGNKLIELDPAHDGHYVLLASIYAKAKKWDEVRKVRKLMSSHGTSKSAGWSLMEAHGMVHKFLVGDMDHKDSVQIYNMLCMIDRRLAEAGYVPDVSSVLHDIGDEEKVHAIKVHSERLAIAYGFIVVEAGSPIRIVKNLSVCGDCHEFSKMVTKVFGRAANDPCILMPVKKFLGHLHEAREVEEEFFDTYKSRAAVIFWGISTTD >Sspon.01G0037000-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:14797937:14799286:1 gene:Sspon.01G0037000-2C transcript:Sspon.01G0037000-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLVRRARRAATKAAVSLGGGGSSSSVELAIPAHYRCPISLDLMRDPVTAPTGITYDRESIEAWLDTGRATCPVTHAPLRHEDLVPNHAIRRVIQDWCVANRSRGVERIPTPKIPVTPVQASELLFDLAGSARGRDAAARCAEVVAKIKALARDSERNRRCFASIGTGRVLAAAFESLAAAAADAAPAGRVLEDILAALVCMMPLDEEAARTLGLPTSLGSLVAIAENGSLAGRLNAVLAIREVVSCDGAFTDLSGKVDEIVDALAKIIKSPICPQATKAGMVAIYHLALYDERVAARLAAAGLVPVLVEALVDADKSMSEKALAVLDAVLASEEGRASARAHALAVPMLVKKMFRVSDLATQLSVSAMWRLGKAHSDGEEEEDAVTRCLVEALRVGAFQKLLLLLQVGCRDATKEKATELLKMLNKYKSVGECVDAMDFRGLNKLSS >Sspon.01G0009820-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:1679126:1681939:-1 gene:Sspon.01G0009820-2P transcript:Sspon.01G0009820-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFSSMIQEMKGEIGAISSRGLLRFWSSGAAGRAPAATEPDEALQESCWAQVPPELLRMVLAKVEDEEARWPGRSAVVASAGVCRCWRAVVKEMVRRARAGGIREIHLPHLSQAALADDGKFLLAARRSRRPAGTEYLISLDAKNTSKGTCIGKLRSNFLGTKFIVYDAHPPCAGAVVSKGPSAHMIGSAQVSPGVPAGNYPISHISYEATFGSRLPRKMNCVMDSIPVSAIKEGGTAPMQTEFRSINSNSFASVPFFRKSGRLDSSGVQLATQNEAKMVLKNKSPRWHEPLQCWSLNFHGRVTVASVKNFQLVASGESDPNNQDDDDVILQFGKIGKDLFTMDYRYPISAFQAFAICVSSFDTKITRE >Sspon.05G0013880-1P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5A:50041602:50044069:-1 gene:Sspon.05G0013880-1P transcript:Sspon.05G0013880-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VRRRGLGPHVRTEELRALDATLAGVRGLVASGAKQVPRIFRVPCPEELQLLHHEQPPAAAAATVPVIDLSGDDRAAVVDTVRGAAAEWGFFQVTGHGVPQQVMSAAVAAMRAFHEADGGEGSDKTRLYSREPGKAVKYHCNFDLYQAPVANWRDTLYLRMAPDPPAADELPEICRDALFEYAKQVKDLGDRLFELLSESLGLEPSYLTDIECNQGQIILGHYYPPCPQPEVAIGTSRHSDSGFLTILLQDGVGGLQILHDDQWVDVTPTPGAFIVNIADLLQLISNDKFSSVEHRVVAKNAEPRVSIACFFSTHFHPASTRMYGPIKELLSEENPPLYKETLVREYVARYYSVGLDGKQKTALADFRL >Sspon.05G0023420-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:7315367:7318139:-1 gene:Sspon.05G0023420-1B transcript:Sspon.05G0023420-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ascorbate peroxidase 4 [Source:Projected from Arabidopsis thaliana (AT4G09010) TAIR;Acc:AT4G09010] MAGASFLSTAQLVVRGVAACSSISTPTRRHPHIPFQPRRNRVILGLPAQIGVCCRADGEGSEAAAHEERLQFRRRHFIGTCVGTAIGLEMIDGSTRFSGVATAADLIERRQRSEFQSKIKDTLYIAIKAKPELVPSLLTMALNDAITYDKATKTGGANGSIRLAQSALKRSFLDAAIAKCGGNEEKGRTLYSAYGSNGQWGLFDRTFGRADAQDADPEGRVPEWSKASVQEMKDRFVAVGLGPRQLAVMSAFLGPDQAATEERLIADPDCRPWVEKYQRSRETVSRTDYEVDLITTLTKLSSLGQNINYEAYTYPKQKIDLGKLKL >Sspon.01G0017030-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:63592511:63594483:1 gene:Sspon.01G0017030-2C transcript:Sspon.01G0017030-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLSLRRKQLDVIVRMLHLNQQQLPNGGEGQGEDDAYKILVMDQPCISVLSPVLKLGDLRHHGVTLTLNIDRPRQAVPDAPAVYFVRPTPGNVDRIAADAAAGLYGSFHVNFSTSVRDPCWSASQPPAPPLRLRVRAAWRALLTSTSTSSASRMASSR >Sspon.05G0024970-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:5B:19264936:19265496:1 gene:Sspon.05G0024970-1B transcript:Sspon.05G0024970-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIGTAVGGASIALFAGASCWLGMKAYRDGRFSRGWRRVRVWALGGVTTLEQTLAYDCAMCGHSLDQREEVRTLSCGHVFHLRKGPKCGSNIDDWLRENRMRCPACCKPVHPVLPWKAPPTTAPPLAPVPLPAQSAPSSATSDLEAQEPRRMELEQGPPRRLALDQGRPRYPPSMWFHDALLYGSPS >Sspon.01G0050030-2D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7D:24817735:24818271:-1 gene:Sspon.01G0050030-2D transcript:Sspon.01G0050030-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VEGHWAPHGAVLTACVVGFNVLVILLIFGFFWRFFSGKGVPPSTSDGADDEDEDSLPVASPWASRHRHEHEGHDGQSTPVEDVASSLPMSVYSSAEEGGGKALECAVCIVELRDGDSVRVLPRCGHRFHADCVGAWLRLHATCPLCRGRVVAPAAAAVADASRNAKDDDDVAADDCPV >Sspon.08G0000490-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:400828:403285:1 gene:Sspon.08G0000490-3C transcript:Sspon.08G0000490-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGGGGGNTRELDQTPTWAVASVCGVIVIISILLEKGLHHVGEFFSHRKKKAMVEALEKVKAELMVLGFISLLLVFCQNYIIKICISNHAANTMLPCKLKAVEAAAGHGKEPAEAGGNKGHGKEAAAVPGKKKAAAAAEHLGGVLDWPPPYYAHNARMLGEANMKTKCPEGKVSLISINGLHQLHIFIFFLAVFHVSYSAITMALGRAKIRAWKVWEKEAAGQDYEFSNDPTRFRFTHETSFVRNHMNVLNKFPASFYISNFFRQFFRSVRQADYSALRHSFVNVHLAPGSKFDFQKYIKRSLEDDFKVIVGISPPLWASALIFLFLNVNGWHTMLWISIMPVVIILSVGTKLQGIICRMAIDITERHAVVQGIPLVQVSDSYFWFAKPTFVLFLIHFTLFQNGFQIIYFLWILYEYGMDSCFNDSKKFVFARLCLGVVVQVLCSYVTLPLYALVSQMGSTMKQSIFDEQTSKALKNWRAGAKKKHPTSSKHEHGSGGGSPTAGSSPTKADGDA >Sspon.08G0003490-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:6237800:6241495:-1 gene:Sspon.08G0003490-2B transcript:Sspon.08G0003490-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative beta-glucosidase 41 [Source:Projected from Arabidopsis thaliana (AT5G54570) UniProtKB/Swiss-Prot;Acc:Q9FIU7] MDKERRTLEGFQDKWSSLAQHSVASHASPTEQVQPTEGYAMGVLTLVHILVSFAACAEALRRADFPQGFVFGTASSAYQYEGAVNEGQRGPTIWDTLTRRPGRVIDFSNADIAVDHYHRYKEDVDLIKDIGMDAYRFSISWSRIFPNGTGEPNEEGLNYYNSLIDVLLDKGIQPYVTLFHWDLPQALEDRYGGWLNSQIVDDFVHYASTCFKEFGDRVKHWITFNEPHNFAIDGYDFGIQAPGREGKSSTEPYVVAHNILLAHAGAFHSYKQHFKKLAGHRLPQFSTQDSKLVSGSLDFVGINHYTTLYVRNDRIRIRKLVMNDASTDAAIIPTAYRHGKKIGDTAASGWLHIVPWGMFKLMKHIKEKYGNPPVIITENGMDDANNRFSRLENDLQDDKRIQYHNDYMSNLLDAIRKEGCNVHGYFVWSLLDNWEWNSGYTVRFGLYYIDYNNNLTRIPKASVEWFRQVLAQKTANLEYYRGSTVAIS >Sspon.08G0012980-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8B:64331510:64332188:1 gene:Sspon.08G0012980-2B transcript:Sspon.08G0012980-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHIAEPTGPVVIGCKVLPIFNEHGIVDSAMKKMVHMIDGKKAVARVKELLKRAAQARPHSATVSGKKWKKVLSFHARDSAAATTASKGGRQQQQQQKQQQVASDEISCSSGKLSFKWDVGSCSSSSSVAYSPLSLMSAPAKASEQTLLTPLRKDYCMSRLSSMSQQ >Sspon.02G0058100-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2D:69746837:69748712:1 gene:Sspon.02G0058100-1D transcript:Sspon.02G0058100-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETQHQQEGGDGASPDLHVVVFPWLAFGHMIPFLELSKRLARRGHAVTFVSTPRNAARLGAVPPELSARLRVVALGLPDVEGLPDGAESTADVPPEKVGLLKKAFDGLAAAFADLVAALACADAAGGSGDAVGGVGFSRKPDFIVLDFAQNWIWPIAEEHEIACAIFLILPASIMAFLGPRHENETHPRTTTEDYMVQPPWIPFPTTMAHRRHEAEAIAAVFRQNASGVSDMDRFYEMQRPCCRLIVLRSCPEAEPRLFPLLTDLLAKPVVPSGLLLPDEVADDDDDQSFADVMRWLAEQPPRSVIYVALGSEAPVTADHVRELALGLERSGARFLWAVRRPAGHPSGGALPLLPDGFEARVAGRGVARAGWVPQVRVLAHAAVGAFLTHCGWGSTVESLFRFGLPLVMLPFVADQGLIARAMAAHGVGVEVPRDEHDGSFRADDVAATVRRVMAEEEGRELARNARELQKVVGDRARQEQYVDDLVEYLQRYK >Sspon.07G0020960-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:84155474:84161489:-1 gene:Sspon.07G0020960-4D transcript:Sspon.07G0020960-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VSRLQSEGYYGGVRLLMAICKVFYNHCIQNNISLKAENFTLSYDTDIPRQAGLSGSSAIICAALSCLLDFYDVRHLIKVEMRPNLILNAEKELGIVAGLQDRVAQVYGGLVYMDFSQEHMDKLGHGIYMPLDVNLLPPLYLIYAENPSDSGKVHNTVRQRWLDGDEFIISRMKEVAQLAFDGHKALLQKDYTELARLMNKNFDLRREMFGDDVLGSVNIMMVEVARSVGASSKFTGSGGAVVALCPDGDSQVEHLRKACEAAGFVVQQVSRLQSEGYYGGVRLLMAICKVFYNHCIQNNISLKAENFTLSYDTDIPRQAGLSGSSAIICAALSCLLDFYDVRHLIKVEMRPNLILNAEKELGIVAGLQDRVAQVYGGLVYMDFSQEHMDKLGHGIYMPLDVNLLPPLYLIYAENPSDSGKVHSTVRQRWLDGDEFIISRMKEVAQLAFDGHKALLQKDYTELARLMNKNFDLRREMFGDDVLGSVNIKMVEVARSVGASSKFTGSGGAVVALCPDGDSQVEHLRKACEAAGFVVQQVKVAPSMLTDAELSNLLAS >Sspon.03G0006020-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:24085089:24089899:-1 gene:Sspon.03G0006020-2B transcript:Sspon.03G0006020-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MILLISSRVKEYLPITGLAEFNKLSAKLIFGADSPAIQENRVATVQCLSGTGSLRVGGEFLARHYHERTIYIPQPTWGNHPKVFTLSGLTVRSYRYYDPATRGLDFKGLLEDLSSAPSGSIVLLHACAHNPTGVDPTIDQWEQIRQLMRSKSLLPFFDSAYQGFASGSLDKDAQSVRMFVADGGELLMAQSYAKNMGLYGERVGALSIVCGSADVAVRVESQLKLVIRPMYSNPPLHGASIVATILRDSEMFNEWTLELKAMADRIISMRQQLFDALKSRGTPGDWSHIIKQIGMFTFTGLNSEQVAFMRQEYHIYMTSDGRISMAGLSMRTVPHLADAIHAAVTQLK >Sspon.02G0015500-3P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:21853923:21856258:-1 gene:Sspon.02G0015500-3P transcript:Sspon.02G0015500-3P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSKSYSNLLDMTSGDGFDFRQPFKSLPRVVTSPGIISDPDWDTISDGNSVGSASSTEKKIIVANFLPLNCTRDETGKLSFSLDHDALLMQLKDGFSNETDAVYVGSLKVQVDPSEQDQVAQKLLREYRCIPTFLPSDLQQQFYHGFCKQQLWPLFHYMLPICLDKGELFDRNLFQAYVRANKLFADKVMEAINTDDDCVWVHDYHLMLLPTFLRKRLHRIKIGFFLHSPFPSSEIYRTLPVRDEILKSLLNADLIGFQTYDYARHFLSCCSRLLGLHYESKRGYIGIEYFGRTVSLKILSVGVHVGRLESVLKLPATISKVQEIEQSYKGKILMLGVDDMDIFKGISLKLLGLELLLDRNPKLREKVVLVQIINPARSTGKDVQEAITEAVSVAERINTKYGSSSYKPVVLIDHRIPFYEKIAFYAASDCCIVNAVRDGMNLVPYEYTVCRQGNEEIDKLRGLDKDTHHTSTLIVSEFVGCSPSLSGAFRVNPWSVDDVADALCRATDLTESEKRLRHEKHYRYVSTHDVAYWARSFAQDLERACKDHYSRRCWAIGFGLNFRVIALSPGFRKLSSEHFVSSYNKASRRAIFLDYDGTLVAQSSINKAPSEEVISILNTLCNDPKNVVFIVSGRGRDSLDEWFSPCEKLGVAAEHGYFIRWSKGAAWESSYSSPQQEWKHIAEPIMQVYTETTDGSSIESKESALVWHYLDADHDFGSFQAKELQDHLERVLSNEPVVVKCGHYIVEVKPQ >Sspon.01G0002280-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:6269764:6276391:1 gene:Sspon.01G0002280-4D transcript:Sspon.01G0002280-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGARDEEAAAGAAGHHGSLATEKPQHGAAEYAQDGSVDLRGNPVLRSKRGGWTACSFIVVYELFERMAYYGIASNLIIYLTDKLHQGTVEASNNVTNWSGTVFLTPLLGAHVADAYLGRYWTFVLGSAIYFLMVLLVLSVSLPALKPTPCNAAGVCPKASALQLGVYFGGLYIIAFGNGGTKPNISTIGADQFDDFDPREKMHKLSFFNWWMFTIFLGILFSSTVLVYLQDNVSWSIGYGIPTLGLMVSISIFLAGTRLYRHKVPQGSAFTSMGTVIAAALWKWSVPVPADAKELHELDLEEYTRKRRFRMDSTNAMRFLNKAAVPVNDKDGGSPETTWSLCTVTQVEETKQILKLMPLLVAMFVPCTLIAQTNTLFVKQGTTMDRHMGPHFEIPPASLGAFVTLAMLVSVVVYDRVFVKAVRRYTGNPRGITLLKRMGTGMVLQVVTMAVASVIESRRLAYARSHGLDVTGGQLGLTIFVLLPQFVLMGLADAFLVVGKLEFFYDQAPEGMKSLGTAMSLTAYGIGNILSSFLLSTVTRITRERGNAWVTNNLNASNLDYYYAFLTVLGGVNFVVFLALSTRYRYKAQSTDTIDIVIGLETEKAKLQAEPLG >Sspon.08G0013330-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8D:54432295:54433579:-1 gene:Sspon.08G0013330-2D transcript:Sspon.08G0013330-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRPFAAIAAAAAAAAAIDVVDAAATDHISLPRFASATHRKALLLKCTTQAEMGTHTFEIVGYSLMKGMGIGNFVQSAIFTVGGHSWAIRFYPDGVTDGTRMFASVALVLMDEGAEVRAFYDLFLVNQIKCRSESIMCSESTATMFVARNYFVARERKLELPSNGYIQNDRMNIQCDLTVVRDSELCKTKGGFEIQVPPSDLSEHFSRLLFYKEEADVIFSVRGETFPAHKIVLATRSPVFKAQLYGKMKETKARCVTVEDMQPDVFKYLLNFIYTDVLPVFDDDVNDDDYSEMIKHLLVAADRYAMDRMKLLCASVLVENLRVETVATTLAFADQHNCKSLRDMCIEFMATSDRMRDVVDTQGYASLKRTCPSVIVDILEKTSRCHKNIDRHFITYGE >Sspon.08G0000980-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:3846725:3854442:-1 gene:Sspon.08G0000980-1A transcript:Sspon.08G0000980-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPTTTVPPPARSARSLAVARHVRSASVPCHTHPLLADVDDQLLALRSWTSNPGPKYPLSLAHVRALLCVLDELLRLPLAQAALSRAAGTADSADGLLDGFLVLADAFGTFLAALVALRQHAAELRAAVRRRDGAKLASAARAQRQAGKELDQLAAAVAREAARCARPVATGALLNGAPAEAEVARAVAEAVNDTAAAAAAVFLEVGAVADAAAALASPASASPKKRLPSLSKSKQRTVLGDGEERREGAALEKLQELEQCVRELESESEKVFRSLLQTRVSLLNIHTPTFYSARPLSVAGHVRSASVPCHSHPLLALRSWTSNPGPKYPLSLALLCVLDELLRLPLAQAALSRAAGTADADSDGLLDSSWPTPSAPRGRAPRRRAQARRVQAGLGRAHAAAGREGTRAARRRRRARGRQVRPAAAATATGGGAHAEAEVARAVAEAVNDTAAAVFLEVGAVTDAAAALASRASASPKKSRLPPLSWSKQRTVMRDGEERRESAALEKLQELEQCVRELQSESEKVFRSLVQPGFRCSTFTRQHFSYSLAWCEMNQGSCMQRKHTT >Sspon.07G0026250-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:53663141:53668784:-1 gene:Sspon.07G0026250-2C transcript:Sspon.07G0026250-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTVVDAAPAVVAEEVTEHMLGGKKVTVVFVLGGPGSGKGTQCANIVEHFGFTHLSAGDLLRAEIKSGSENGTMIENMIKEGKIVPSEVTIKLLQEAMIKNENEKFLIDGFPRNEENRAAFENVTKISPAFVLFFDCSEEEMERRLLGRNQGRVDDNIETIKKRFKTFVESSLPVIEHYNSRDKVKKIDAAKPIPEVFEDVKAIFAPYSPKARYELQAYQ >Sspon.05G0021120-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:86414208:86415655:1 gene:Sspon.05G0021120-2C transcript:Sspon.05G0021120-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMTMAIVAAFLVSLPVLYRLLFASAGDKKTSREALPPGSFGLPVVGHTLSLLRALRANTAEDWLRRRAAAYGPVSRLSLFRYRTAFLVGPSANKFLFTSPALTTMNSEAFSRMVGRRTVRDVVGDEHARVRAMMVQFLRLDAIKRHVAGMDAEVRRHLDAHWRGRGSVAVMPSMKTLTFDVMSTVLFGLVGKDAAAVRRELSAEFQQLVQGIWAVPLNLPFTRFSRCLAASRRGRRAVAGVIDERRAKLERGQSSPADDIITHMLSKGLPDEEITDNVMFLMVAAHDTTAALITFLLRHLDGNKDAYAKVLQEQEEIARRKAPGEALSWDDLCRMRYTWAAAMETLRMVPPAFSMLRKALQDVEYGGYVIPKGWQPGHLPGPRPLRPGAVQDPSAIPPYGFVPFGGGARICPGNEFAKVETLVAVHHILAAGCDGSFSRSPMPYPSQGLLIDIEPIHQGNTSR >Sspon.07G0034100-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:66012542:66016097:1 gene:Sspon.07G0034100-2D transcript:Sspon.07G0034100-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAVSTDVQGQEVPNKRVILKRYVTGFPSEDDMQVVTGTTRLAVPPGSAAMVVKNLYVSCDPYMRGRMTKHDRPSYVPDFVVGEALVNFGVCKVVASGHPDFKVGDLVWGMTGWEEYTFVPKPESFFKINHPELPLSYYTGVLGMPGLTAWAGFFDVGKPKKGDYVFVSAASGAVGQLVGQFAKLTGCYVVGSAGSDEKVNLLKSKFGFDEAFNYKKEQDLDAALRRYFPEGIDIYFENVGGRTLEAVLPNMRNHGRIPACGMISQYNLEEPEGVHNLFEIIAKRVRMEGFIVLDYYGQYHKFEQETAGYLKEGKITYVEDIAEGLEKAPAALIGLFTGRNVGKQLVAVARE >Sspon.06G0002480-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:8105060:8106442:1 gene:Sspon.06G0002480-1A transcript:Sspon.06G0002480-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHAGANGCRGLGINYGTVADDLPSASRSVQLLRAAGAGAVKIYDANADILRALAGTGMPVSIMVPNSAIPSLASSRAAAEDWVPANLAPHVPATRVAHLLVGNEVLSNRAIAGSTWRAVVPAMANLHRALRAHGIRKVKLGTTLAMDALSTSYPPSAGAFRDDIAEDVVRPLLRFLNATRSYYFVDAYPYFAWAGNREAISLDYALFQGGASSRYVDQGNGLAYTNLLDQMLDAVVAAMGRLGYGDVKLAVSETGWPNGGDAGEAGANVPNAATYNRNLASRMSKNPGTPARPGAKVPVFLFSLYNEDQKPGAGSERHWGLYYPNGSRVYDVDLTGRRSSYQPLPPADDMDSTPAWCVLAGGVGGGKAVNETAVAAAVAYACQQGSGTCAAIQPGGACHEPDTMDAHASYAFNAYWQQFRKAGGTCFFNGLAVTTSKDPSEYTPSCWIFVYRRCAASFCI >Sspon.08G0005570-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:13841274:13846601:-1 gene:Sspon.08G0005570-2B transcript:Sspon.08G0005570-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DDDTSIPCSSPPPAVVLLSSLIDDTDQPLNSSSFPFPPPSPSYPACEAVQVMVSVASPSPSPMVRSEEDLGPPWLRPLLGTSFFVPCRLHPELSKNECNLFCLGCTGDALCAYCLPAHRDHHVVQIRRSSYHNVIRVSEVGKLIDISHVQTYVINSAKIVFLNGRPQARPGKGVTNTCEICCRSLPDSFRFCSLGCKLGGMQWDPSLTFAIRPKRGQGSGDDGSGSDDSFSPKKPRRMAGFDLGRFERPGIRWSDDEGSRSNNGPFTPGTPPMNRCRPSRRKGIPHRAPFYG >Sspon.01G0048950-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:100898891:100900826:1 gene:Sspon.01G0048950-2D transcript:Sspon.01G0048950-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLKNSAVETFKENNMIFTSEGNFHSKKMREDYVASPNQVDVVQTRCKWIIGDVTEVLDRNTWKLGKILKMLKNNYFVIRLADCIQLKEFHMSSLRIPRGLEAPQSKPFHAADKATGRGKRRPADGALPGTRAAQQMGHRTTYDLGSSGKKRKAAADASRHPRRAAAHPRNAVAASNLNGGMTDSYLQSSSQAIEDAECSVASCSVNDLCRLGNGGNAKRRPAAAGCLPDDAMSACPCTPGDDEEEEPAAGVHGLELEAYRSTMRALYASGPLTWEQEALLTNLRLSLNISNEEHLLQLRRLLSS >Sspon.08G0016400-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8A:64926254:64926745:1 gene:Sspon.08G0016400-1A transcript:Sspon.08G0016400-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPPPRDAEEIGGKSLVVNESIINQSWVGRGERGRLRTLSATCAPLQRRFRRTGGRGRPAYRPRRSAAGERAPAGEAALGLGEERRGTGIAAGLLRLPLALALLVLSLSFSLCFFFFFVGVTGRWWAVGVAGAAGGVQCGLVVRVESEEMVRQCVGTGWPTGQ >Sspon.06G0010040-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:54426032:54427494:-1 gene:Sspon.06G0010040-1A transcript:Sspon.06G0010040-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAELLLPMAVDDTLPGSYVRPESQRPRLAEAVTGARIPVVDLASPDRAAVIAAIGDACRSHGFFQVLNHGIHADLMAAVMAVGRDFFRLPAVEKAKLYSDDPARKIRLSTSFNVRAYFKEVRELGFRLCAAISENAAYMKQTLGDEEQHVAVNLYPEPELTSGGLPAHTDPDALTILLMGQDVAGLQVLHGGGKQWVTVNPLPGALVISIGDQLRALAKRSRGLSTDAAVFLREPGARPRLGENRAAALGQGVLVDPDGIASVHTLLCYSDGLVLPLESIIAAGEEETFMRSSPDAVLLQVRVVESVGAKAGDPFVLGGAGAKATGEDGGRSNGRRGSRDRENPLGILGIMAASLAITASVPAAAGAITPAMAFGYALLMFTGVSVAIASIRGA >Sspon.08G0009390-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8A:41397575:41397809:1 gene:Sspon.08G0009390-1A transcript:Sspon.08G0009390-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMARSVTLVPQRILTDTEPRDDRSPAAATRPTRRKKQRRPGDARGDEATFMVREEADRSVRPIRLTVPAAGCRSRAR >Sspon.06G0007480-3D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6D:26250707:26251464:1 gene:Sspon.06G0007480-3D transcript:Sspon.06G0007480-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VATAAAPLLPVPKGPASAAMAPRVLHPNPRLRTPGASTPPPRQLLPSPAPTAPNRHRL >Sspon.04G0004560-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4B:8978069:8980718:-1 gene:Sspon.04G0004560-2B transcript:Sspon.04G0004560-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAMRKASSQASLADPDDFDLTRLLNHKPRINVERQRSFDDRSLNELSLAGAGAGAGTASRGGWGYYGGGIMESYESMYSPGGGLRSYCGTPASSTRLSFEPHPLIGEAWDALRRSIVSFRGQPIGTIAAVDHSAADEVLNYDQVFVRDFVPSALAFLMNGEPEIVRNFLLKTLLLQGWEKRIDRFKLGEGAMPASFKVLKDPKRGVDKLVADFGESAIGRVAPVDSGFWWIIILRAYTKSTGDMTLAETPMCQKGIRLIMNQCLAEGFDTFPTLLCADGCCMIDRRMGVYGYPIEIQALFFMALRCALLMLKPDAEGKEMMERIVTRLTALSYHMRSYFWLDFQQLNDIYRFKTEEYSHTAVNKFNVNPESIPDWLFDFMPTRGGYFVGNVSPARMDFRWFALGNCVAILASLSTPDQAAAIMDLIEERWEDLVGEMPVKICYPAIEGHEWQIVTGCDPKNTRWSYHNGGSWPVLLWLLTAACIKTGRLKIARRAIELAEARLERDGWPEYYDGKLGRYIGKQARKFQTWSIAGYLVAKMMLEDPSHLGMISLEEEKPTKPVLRRSASWTV >Sspon.08G0026830-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:35179944:35181415:-1 gene:Sspon.08G0026830-1C transcript:Sspon.08G0026830-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTETLRKTRSILHKLKEQISEGTPVIGAGAGTGISAKFEEAGGVDLIVLYNSGRFRMAGRGSLAGLLPFADANAIVLEMANEVLPVVKGVPVLAGVCATDPFRRMEYFLRQLETIGFCGVQNFPTVGLFDGNFRQNLEETGMGYSLEVEMISVAHRMGFLTTPYAFNPDEAAAMAKAGAHIIVAHMGLTTAGSIGAMTAATLDDSVLRVQAIADVALGVNPDIIVLCHGGPISGPQEAEFILKNTKRVHGFYGASSMERLPVEQAITNTVREYKRISLK >Sspon.06G0010460-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:57175475:57183765:1 gene:Sspon.06G0010460-1A transcript:Sspon.06G0010460-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAKWRSLQHRHWYTYTSIVFPKHYLETLALVPAEVSSSNFFVRLNNLISLTSTYSQVVAVKDLASAYVQFLSTTGTPDDAVLTATKLYLEILFLENSLPLHRTLISVLAKCKKFSTVISECFALLCEEYGGSGSKAKKRFMVSRAALSLIGYPKLGFLDEAVERCAEIMALDVVDGLDGVTKDIGEGSRPSPVVMEQCQEAMSCMYYLLQRYPSKFTGLDKASSVFKSAVRTILSVLKSSAFSRDCLVASGVSFCAAIQVFMSTEEISWFISQGLFGICSNHEDRKNQSVLNVFPDFDLCEQIRDLSVLSRLCLLRGILTSIPRTVLNMRQLHSSGPLWTILYDGILPELCKHCENPVDSHFNFHALTVTQICLQQIKTSISSDFTDFSGDYKPFSKDVVNRILGIIWRNLEDPLSQTVKQVHLIFVLLLDIELCIASEDHEHNNKLFLCNIANDLLRLGPRCKGRYVPLASLTKRLGAKSLLILKSNLLSETAYAYIDDDVCCAATTFLKSFLETLRGECWNDDGVELGYDAFRALCLPPFMRGLVSGNSKLRSNLNTYALPALIEVDAESIFTMLGFISIGPSTKETKLDVLKNDQCIAALVSLLKVSRNLALVEGDIDLDPDELSQPEQMDSKGAAVISVKGIKVTVPVNWFALALTHSDESLRIDAAESLFLNPKTSSLPSSLELSLLKEAIPLNMRCSSTAFQMKWTSLFRKFFARVRTALDRQVKQGSWIPSLTSSVKGADSIDTSEATVVKMAEDLFQFMKWLSSFLFNSCYPSGPYERKTIAMELILTLLDVWPICRSEGKIDLYPYNDSIILPDSTISFVGSIIDSWDRLRENSFRILLQFPTPLPGISLSTSINDVIRWAKKLVLSPRVRESDAGALTFRLIFRKYVLELGCILVFSKGSDCLECYTQSMNGDTEVVTSQNPVAQYISSLIQWLCIVVEEGERGLSEACKKSFVHGVLLTLRYTFDEMDWNSELVQSCISEMRCLVEKLLQLIMRVTSVALWVVSSDALCLPYDMDDVIDDGSFLSDIYEEDQPTTASEREEKNARPGSNGKPAEQVVMFHTIVALQVSLLFGTIIRKIPLPGCSHSASSQNGLPDSTEETSMSEEILDVGQLKMMGDHFLQVLLKMKHNGAIDKTRAGFTALCNRLLCSNDSRTIAKGQTVDDLIRRSAGIPAAFIALFLAEPEGTPKKLLPRALEWLIEFAKNSLANFQKDSNQRSGVMKDGLGELLESQSETTISVHSNGNLSKSRDEGVVPTVHVFNVLRAAFNDANLATDTSGFSADATIVAIRAFSSPYWEVRNAACLAYTALVRRMVGFLNVQKRELARRSLTGLEFFHRQVHNFSIFYMIHEHEYPALHPFLSSELRTATEQLADGASSNLESHITKAIHPSLCPILILLSRLKPSPISCGTNDSLDPFLLLHFIQKCATQSNYRIRILASRALTGLVSNERLQYVVSDILDNLPHGNHKAMAHSVQRTDPAVSANMGNGNVLLLSKSFNSIHGLLLQLASLLDNNFRGLTDSSMKDQILGLLLEVLSRCSWLGCTKLCPCPVVITSYLRVLDLMLDVARTGKSRYTEVIQALLLELGSQCLSNTVSTQYAFHDPTCIELKQQATESFLSCVGLSKKNDETNDEDVQLQILGEPTSEMPREDYSLHKVHKEIMIITVLKRILWLTKSIRHGDVENILHQWAGVNLQPALMERLFVEEHPKCLYYNLKIIFSWNMEFPFNNGEDFSTLLSLWDRLVHLNSTMSHAKTREIVLCCMGMCMKLFTKLSKTSEISASYVRINEGNRLSDAMLRVNLFVTLVKNQSEPSESVNARRSAAEAIVASGLLGEANYVASSVSNMYSPSEFDEGRIKEKCMEANVFEFISLYTCKILDLWFVCIQLLEDEDAYLRQKLAKDIQKIIAKGSANTFCDDSTPLQVDRVIELSLDYLTSLFGHWLKYIEFLLRIVLDTGNTLNSRGDLVHQIFDKEIDNHHEEKLLICQICCSNIQKLLHSKCQMEVGAKTKLFLQNWRETFLNQLTSLTGGYLEKEGKNNWIGGIGNHKDVFISVYAVLLGLYALSQSGSLEQLEDNCAIYLQEFSNLEGFITPFLKNPLISNLYALVKLSHERFRSSDKPEDQVGSSGSSFDPYFLIR >Sspon.06G0021450-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6B:15676377:15683291:1 gene:Sspon.06G0021450-1B transcript:Sspon.06G0021450-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AT-rich interactive domain-containing protein 4 [Source:Projected from Arabidopsis thaliana (AT3G43240) UniProtKB/Swiss-Prot;Acc:Q6NQ79] MPQIQNTRRKCTLLAVLCGEFAEKRQTPAPLVPDTKRVRLSYPFPELASSGRLKVHTLINPTLEQFREAQQAVHPVFLYLQGQQQENEKEIGTLVWADTDLSDPQMFVSLITPPFPTMLLICYANAGYLEVPIGEKLAQSLHSKDACLLGALEDGLNALLNIEIRASKLQNRASAPPPLQAENLPHGVVTMRCDISTCSSAHVSFLVSGSAQTCFDDQLLESQIKNEIIEKRQLVRALSDSEDNKPSSYEPLPSMCVACGASTFEVWITLPKWAAQVLKHLAPEISYRSLVALGIAWVNGTPVSSFDRQDADRLLFFCSNQCKDKAIQNVSYAHLSSWSASLTKDRTTGTGSIESKQMSFGAKGVGGDNKMSLSSLKPRLKPATMRPIPHSSKQQMHPFMRFAQSIIHDASQVKPSLPAPPVKDNTVPVIKVTQRKSSSGSPSSSSHVQPSIPLNPLPMKKHECNRLPINICSEEDFLKDVMQFLLQRGHDRLVPQGGLAEFPDAVLNSKRLDLYNLYKEVVAHCCSHVSV >Sspon.02G0031860-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:116570943:116575145:1 gene:Sspon.02G0031860-1A transcript:Sspon.02G0031860-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHIVGYPRMGPKRELKFALESFWDGKTTAEDLEKVATDLRASIWKQMADAGIKYIPSNTFSYYDQVLDTTAMLGAVPERYSWTGGEIGFDTYFSMARGNATVPAMEMTKWFDTNYHFIVPELSPNTKFSYASHKAVNEYKEAKALGVDTVPVLIGPVSYLLLSKPAKGVEKGFPLLSLLSSILPVYKEVIAELKAAGASWIQFDEPTLVLDLDSNKLAAFSAAYAELESALSGLNVLVETYFADVPAESYKTLTSLSGVTAYGFDLVRGTQTLGLVTSAGFPAGKYLFAGVVDGRNIWADDLATSLSTLQSLEAVVGKDKLVVSTSCSLMHTAVDLVNETKLDSEIKSWLAFAAQKVVEVDALAKALAGQKDEAYFAANAAAQASRKSSPRVTNEEVQKAAAALKGSDHRRATTVSARLDAQQKELNLPVLPTTTIGSFPQTVELRRVRREYKAKKISEEEYVSAIKEEISKVVKLQEELDIDVLVHGEPERNDMVEYFGEQLSGFAFTANGWVQSYGSRCVKPPIIYGDVSRPNPMTVFWSKTAQSMTSRPMKGMLTGPVTILNWSFVRNDQPRFETCYQIALAIKKEVEDLEAAGIKVIQIDEAALREGLPLRKAEHAFYLDWAVHSFRITNCEIQDTTQIHTHMCYSNFNDIIHSIIDMDADVITIENSRSDEKLLSVFREGVKYGAGIGPGVYDIHSPRIPSTEEIADRVKKMLAVLDTNILWVNPTAVSRPASTRRSSPP >Sspon.03G0020120-2D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3D:48200506:48201198:1 gene:Sspon.03G0020120-2D transcript:Sspon.03G0020120-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPTLLLVPLLVSSLLQFHDHASADCEPAACGNLTLSYPFWLGSSTNSQPPSPCGHPGFEIWCSDDGRVASLKGLKGTFIHVLGINYTANSLVASHAKAAGNDGVCQTNFNVSSSVALSLFTISPRNRALCFLYNCNGTEPSGPEYANATSNCSAPIYAYLAGAYYWDKPPAIATGGCKYSYMPVLGSDAAVVTAGNYSRFLKDGFVLDWEVATIGPYPYLRLDEKLEAR >Sspon.07G0010320-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7B:29750739:29752311:-1 gene:Sspon.07G0010320-2B transcript:Sspon.07G0010320-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MESFVMPRQKSAKPHNRSEPKAFQGYSVWFLNRAALITAGDVATVDGSVVRPWRICTVQQVEDFKAVLRILPLWSASIVLSIAFGTQINFTVLQALAMDRALGRFTVPAGSMSVVILVSIVVSLVLLDRALLPLWRRLTGHTPTPLQRIGAGHVLAILSLAASAAVERHRMATVRAHGEEVHPAWVSPLSAMWLVLPLALAGAGEALYFPGGVTLYYEEFPPSLKNTSTGMVAVIIALGFYLSTALVDVVRSTTAWLPNNMNASRLENLYWLLTVMAAVNFGYYLFCAKLYKYQNVGK >Sspon.04G0023350-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:12105979:12111539:-1 gene:Sspon.04G0023350-1B transcript:Sspon.04G0023350-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGPLNSSSGHNAAAGGGGKTIEEMYQKKTQLEHILLRPDTYIGSVEKHTQPLWVYEDGAMVNRSVTYVPGLYKIFDEILVNAADNKQRDPKMDALRVEIDVDGCCISVYNNGDGIPVEIHQEEGVYVPEMIFGHLLTSSNYNDNEKKTTGGRNGYGAKLTNIFSTEFVIETADGHRQKKYKQVFSENMGKKSEPQIIKCKQGENWTRVTFKPDLAKFNMTHLEDDVVALMRKRVVDMAGTLGKTVKVELDGQRVPIKSFCDYVDLYMKSANCDRTDKTIYEKNDRWEVCVSQSDGQFQQVSFVNRIATIRGGTHVDYITNQIANHVMAIVNKKNKNANMKLHNVKSHLWVFVNALIDNPAFDSQTKETLTTRQGSFGSKFELSSVFLKKVETSSIIENVLTWANFKLNKELKKTDGSKKSRISGIPKLEDANEAGGKDSEKCTLILTEGDSAKALAMSGIAVVGRDYYGVFPLRGKLLNVREANHKQIMDNAEIQHIKQILGLQHGKQYESAKGLRYGHLMIMTDQATRGQTTKSFYTMPEYEEWRRNLGASASSWTIKYYKGLGTSTAKEGREYFKAITDHKKDFVWEDDQDGNHIELAFSKKRIADRKQWLTNFQPGTYIDQQEKKVKYTINTFMVDGLKPGQRKILFCSFKRNFVKEAKVAQFSGYVSEHSAYHHGEQSLASTIIGMAQNFVGSNNINLMYPGGQFGTRAQGGKDAASPRYIFTKLSHITRSIFPKDDDTLLNYLNEDGQSIEPTWYMPILPMVLVNGSEGIGTGWSTYIPNYNPRDIVANLRRLLNEESTVPMHPWYRGFKGSIEKTVNTKVAGSTYTVTGIIEVVDNTTLRITELPIRRWTQDYKDFLESLCPDKHNKDKVSFIEDVTAQGDNEDIYIELQLSEGNMNIAKEEGLVKKFKLTTTIGTSNMHLFGSDGKIRKYDTPEQILEEFFQLRLDFYVKRKEALLKSIKLDLKKLENKVRFIRCVVDYEIIVNNRKRADLFLELRQKNFDPFPKKKKRAEPAAVGALEDENEESPEAEGAEPSDYEYLLAMSIGTLTLEKIQELNAEKQKLVDAVEELKKTSPKSLWLKDLDAFEKELD >Sspon.05G0000840-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:2145678:2146115:1 gene:Sspon.05G0000840-2D transcript:Sspon.05G0000840-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding CLVLAASPCQCFSRSPRHPAPPCRHCCTRSTSRRPRPRACWSRRARGWRPWLPPGRPTRSRRRSHWRRGRAAAWPHKATTRARRVAAAASTARRRGAARRARSRARAASPRRSATRRRCSAARAARSRRTASVPPSAPSPVSPPAR >Sspon.06G0013570-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:54138189:54148498:1 gene:Sspon.06G0013570-4D transcript:Sspon.06G0013570-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPARPPHVGEDQQNLEEGTGESSPPPRSPRAFNPDLHEVQRKICERLRQTGRHDRTLADPTFSARFARYLERLPRRYLLDLDLKDKVDDVLLHWRILDECADPNKRPVFHARFLKYKTVPMEDDGIGHSQDHEEPCQKLLEELSLERRKTVDRNDSMSISSRTRGDLKTVLLHEIIFSSIDRPKLLSRLTGLLSEVGLNIQEAHVYSTKDGFCLDVFVVDGWKTEETDELIAAIKETLTQKNASPSNSTNSSTSERIVDLQQKVGDCEIDLSMLTRDDKIASGSSADLYRGTYKGHDVAIKCLRSANLSNPSQVEFLQEVLILRQAFTFHFVPTNSRYFLSRGVNHENILQFYGACTKHPNYCIVTEYMPGGNIYDFLHKQNNFLELHKILRFAIDISKGMDYLHQNNIIHRDLKSANLLLGFDQIINHKPYDYKADVFSFAIVLWELATSKVPYDNMTPLQAALGVRQGLRLDIPASVHPRLTKLIRQCWDENPDLRPTFAEIIIELQDILHYIQALKGPSRRSRAKMPKKSEG >Sspon.08G0019890-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:13545235:13548455:-1 gene:Sspon.08G0019890-3D transcript:Sspon.08G0019890-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGRRRGRGGPAAGAVGWLLQLLLLASLCARSRGLNADGVLLMAFKNAVTADPLGALASWTYSDAAPCAWNGVVCNGFPQAAPAVNVTSASADGDGNSAPAPAPVRNATAAGSLGASLAAATVSRVISLVLPNAQLSGTLPPDLGRVEHLRHLDLSGNSLSGGLPATLLNATELRVLSLAGNAISGELPDPGAAAYARGLQELNLSGNALAGRLPAALCRLPSLVVLGLAGNRLAGELPIGGLGTLELVDLSGNGFNGSLPSDFGGARLRLLNVSSNKLAGAVPTELAALVPANATVDLSRNNFTGAIPQAGPFAAQPAAAYEGNPNLCGPPLKQACSIPSSLSNPPNATDSPPAFAAIPKNPTRAPPGAPGQPPSGQDKLRPAAIVAIVVGDIAGVGLLFMLFMYAYHVRKKRRQRREQDPTTPPLQQKSARGIDSGVKTLDIHAGGKEEKASTSTGCCIGRRNDGSDSSEYSASSDGESDDEEDLKKRGGSFIGRSTPQEHGGSKKHSLPPQQAPPAPATLVTVDGDGELEMETLLKASAYILGATGSSIVYKAVLADGTALAVRRIGESGGAEKLKDFEAQVRAVARFRHPNILRLRGFYWGADEKLLIHDYAPNGSLANIAFSRRFGSSSPLHLSLEARLRIARGVARGLAYIHEKKGVHGNLKPSNILLGADMEPWIGDLGLDRLLSGEAASHYRVGASARLFGSKRSMHSTSSLPDLSQMPGPGASPCGSASAAAAAAAAAASSSSAPAPYQAPECLKNLRPTAKWDVYAFGMVLLELLSGRVYSEVELCQWHAGLVAAEEHGRVLRMADPTLRGEADGREDALLACFRLAFACCAMAPGKRPSMRDAVMVLERTAAPSAAASSSGAAVP >Sspon.05G0017170-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:71723176:71731209:-1 gene:Sspon.05G0017170-1A transcript:Sspon.05G0017170-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcriptional corepressor SEUSS [Source:Projected from Arabidopsis thaliana (AT1G43850) UniProtKB/Swiss-Prot;Acc:Q8W234] MLSSQSPFSSLVSPRTQFGGNGLLGGASNVSSLMNRPPFGNGGPMPGPGSMQGGGMQMSTLQQRAGLDGAGDFIGAGGLDPLSFPSSSQVNLGNQMGSDNLQATSQQQQQMNAVQDMQRQQQQVPMSYNQQQLPPQHSQQLQQPQATVKLENGGSMVSIKSEQQMGQPDQNGPAQMMRSASMKLEPQQMQASDDEEFKFSQDGATDFGFISILAAATTTTTTTTASFLTKQIRNCPDLVSMGGPNTIANPQAAAAAQLTLLQQQRILHMQQQHQQQQQQQILKNLPLQRNQLQQQQQQQHQQQQQQQQLLRQQSLNMRTPGKSPPYEPGTCAKRLTHYMYHQQNRPQDNNIEYWRNFVNEYFAPNAKKRWCVSLYGSGRQTTGVFPQDVWHCEICNRKPGRGFETTVEVLPRLCQIKYASGTLEELLYIDMPRESQNTSGQIILDYTKAIQESVFEQLRVVREGHLRIVFNPDLKIASWEFCARRHEELIPRRSIIPQVSNLGAVVQKYQAAAQNSTSLSAQDMQNNCNSRHEELIPRRSIIPQVSNLGAVVQKYQAAAQNSTSLSAQDMQNNCNSFVACARQLAKALEVPLVNDLGYTKRYVRCLQIAEVVNCMKDLIDHSRQTGSGPIDSLHNFPRRTPSGVSTLQPQQQQTEEQQAIPQSSNQSGQNSAPMAGVQPSASANGDVTSNNSLSCAPSTSVPSPSVVGLLQNSMNSRQDHPMSSTNGGPYNGGNVAIPKVNSTSSLQSNPSTSFPSPAPTTSNNSMMPAPQNTNQLSSPTTSSSIPPMQPPATRPQEAEPSDSQSSVQKILQDLMSSQMNGVGHLGNEMKTPNGLTHGVNGVNCLVGNAVTNNSGMGFGAMSGFGHGMRTAMANNQMAMGARMGMNHGAHDLSQLGQLHQQQQQHQQQHDIGNQLLGGFRSGNSFNNIQYDWKPSQ >Sspon.07G0000940-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:7171888:7175738:-1 gene:Sspon.07G0000940-1T transcript:Sspon.07G0000940-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding IEQDIECGGAYIKLMSGYLNQKKFGGDTPYSFMFGPDICGDQKKKLHLILSYQGQNYPIKKDLKCEADKLTHFYTFILRPDATYSILIDNREREFGSMYTDWDILPPRKIKDVNAKKPKDWDDREYIEDPDQVKPEGYDSIPKQIPDPKDKKPESWDDDEDGTWKPRMVPNPEYKGPWKRKKIKNPNYKGKWKTPWIDNPEFEDDPDLYVLKPLQYVGIEVWQVKAGSVFDNILICDDPDYARHVVDETFAANKEAEKEAFEGAEKKRKAREEEEARRAREEGERRRRERDRDRGRDRYRDRYKRHRHYDYHDEL >Sspon.08G0014350-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:56279547:56289486:1 gene:Sspon.08G0014350-2D transcript:Sspon.08G0014350-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Starch synthase, chloroplastic/amyloplastic (Fragment) [Source:Projected from Arabidopsis thaliana (AT5G24300) UniProtKB/TrEMBL;Acc:W8QN76] MATPSAVGPVCLALARAAGPGRGDRARPRRLQRVVRRRCVAELSREGPAPRPLPPALLKPPLVPAFLAPPPEPTGEPASTPPPLPDAGLGDLALEPEGISEGSIDNTVVVASEQDSEIVVGKEQARAKVFVDHPSYHRPGNLYGDKFGAFGDNQGVEPASTYPDLGLPPEWYGALEWVFPEWARRHALDKGEAVNFLKGAVVTADRIVTVSKGYSWEVTTAEGGQGLNELLSSRKSVLNGIVNGIDINDWNPATDKCIPCHYSVDDLSGKAKCKSALQKELGLPIRPEVPLIGFIGRLDYQKGIDLIQLIIPHLMRDDVQFVMLGSGDPELEDWMRSTESNFKDKFRGWVGFSVPVSHRITAGILWRISTLSTLRTAISTYREHKSSWEGLMKRGMSKDFTWDHAAEQYEQIFQWAFIDRPYVM >Sspon.08G0006490-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:20352430:20363935:1 gene:Sspon.08G0006490-1A transcript:Sspon.08G0006490-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKKVAIPLVCHGHSRPVVDLFYSPVTPDGYFLISASKDTNPMLRNGETGDWIGTFQGHKGAVWSCCLDRNALRAASASADFSAKVWDALTGDELHSFEHKHIVRACAFSEDTHLLLTGGMEKTLRVYDMNRPDAAPRELDKSPGSVRTAAWLHSDQTILSSCTDMGGVRLWDVRTGKIVQTLETKASVTSAEVSQDGRFITTADGSSVKFWDANHFGLVKSYDMPCNVESASLEPKSGSKFVAGGEDLWVHVFDFFTGEEIACNKGHHGPVHCVRFAPGGESYASGSEDGTIRIWQLSPANADDNEAANANGKTNAVVNEVTTKIEGFHIPKEGQTEG >Sspon.02G0024370-3C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:84560510:84561237:-1 gene:Sspon.02G0024370-3C transcript:Sspon.02G0024370-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSRQVLLLAAVACLTSLASGTQWMVGDGGGWRAKFNETGWTDGKTFVVGDSLQFVYPKEKHTVIMVGKDAFAACDLSANLQLGNWTSGNDVVQLDKPGKVWFICNKPGHCINGMKLVIDVVDGTVAPSPLPLPLPFPFPFPFPFPGTAPAPSPLFRWPLFPWGSAPAPVSPAAAPPSAAVRNPVDGTVAAVAAAVVVAALAF >Sspon.01G0030450-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:76969106:76972732:1 gene:Sspon.01G0030450-1P transcript:Sspon.01G0030450-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding TMPAPAPRKKRLVRSGLLAAAAGYFAFVLLFELPLLPFLASSSSPAATSSSSSLPFQHPRRRELEAAAAAFTSPFSPARPSKPAFPAAAPGPGSAAPRLPIFSSLLLLPRPNATATPFDTAAANAFAAAKPHLAHLQATPNPASASTSAPASSPPPTCPASISVHREQLPYDGVRVVEIPCGLAVGSHVTVVARPRPARAEYDPKIAERKDGEAAVMVSQFMVELVGTKAVDGEAPPRILHFNPRIRGDYSRKPVIEMNSCYRMQWGQSQRCEGFASRPAEDTVDGQLKCEKWIRDDDNKSEESKMKWWVKRLIGRPKDVHISWPYPFTEGKLFVMTLTAGLEGYHVNVDGRHVASFPYRQGYSLEDATALSLNGDIDIESIFASSLPSSHPSFAPERYLEMSEEWKAPPLPTEPIELFIGILSAASHFAERMAVRKSWMMYTRKSSNIVARFFVAQNGKKEVNAELKREAEFFQDIVIVPFIDSYDLVVLKTVAIAEYQVRVVPAKYVMKCDDDTFVRIDSVLDQVKKVRNDKSVYVGSINYFHRPLRSGKWAVTYQEWPEEVYPNYANGPGYVISSDIARYIVSEFDNQTLQLFKMEDVSMGMWVEKFNKTRRPVEIRHDVRFYQSGCYDGYFTAHYQSPQHMICLWRKLQSGSARCCNVR >Sspon.01G0004970-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1A:13317631:13322035:1 gene:Sspon.01G0004970-1A transcript:Sspon.01G0004970-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding PESPSYARWRDLVLLTLRRYALDDHVLVDTSVAVQTPSWLRLDSIILSWILGTISLDLHDLVRNSPDARRAWLALEGQFLGNAEARALRLDASFRTFVQGDLSVGEFCRRMKGMADSLGDLGWPVEDRILVLNVLRGLSDRYAHLRTWITRQRPFPTFLQVRDDLVMEELTQGIQPGSATSPGSSSSSTALAATPPRPSAPPPSSLLGPPPSGPSEGGGVVAVVVAVVGVAGEVVVVSPRLRLVVRHRLDRGGAPWPSFHNPWLGRISMWPYHAPGGEPRPPVAMFTGAPPLGVSTTPPWATPTGTPPGVAGWDQAALARSFSTMGLTPPVGPEWIADSGATYHTTPDPGILSSVHSPSSSLPSSIMVANGSCLPVMSVGTAGAHGSFRLPDVLVAPSMVHNLLSIRRFTADNSCSVEFDSSGLTVKDLASRRPLLRCDSSGPLYTLRFPAATSSTSPSVLSAAFAASTSSTTWHRRLCHPGRDALMQLSRSSGLPCTRAHDEHLCNACQLGRHTSPVISISGYKYYLVVVDDFSHYSWTFPLRAKSDTFPTLLHFFTWVSTQFGLTIKAVQCDNGREFDNSASRAFFLSHGVQLRMSCPYTSSQNGKAEQMIRTTNDTVRTLLFQASLPARFWTEGLHTSTYLLNRLPSAACPAPTPHHALFGTPPRYDHLRIFGCACYPNTTATSSHKLDSRSTLCIFLGYSLDHKGYRCFDLTSRRVLISRHVVFDESVFPFSSTPTTTPVPDPSSLFPADTVVQPPFPWSPVGTASPRSLPGTCPGSPADPSPLSSGAALTSPFGANPGTSSPDTAPGGPCRSPSPGAAPAPPSRFAVPVRVYQRRPRPPPLAVPSPPGTLTPSPQSPPARDAPPEYAALLANQTWELVPRPPGSNIVTGKWIWTHKRRADGTLERYKARWVLWGFTQRPGVDYDEIFSPVVKPATVRTVLSLALARSWPVHQLDVKNAFLHGLLTETVYCSQPAGFVDPSRPDMVCRLNRSLYGLKQAPRAWNHRFATFLLTLGFVEAKSDTSLFIYHHGANTAYLLLYVDDIVLTASSEPLLRRIIAALQQEFAMKDLGRQYTRDILERAGMTDCNPCSTPVETQGKLSEAEGPPVSDPTAYRSLAGALQYLTFTRPDITYAVQQICLHMHDSREPHLTALKRLLRYLRGTIDYGLLLHRSSSADLVVYTDADWAGCPDTRRSTSGYAVFFGGNLVSWSSKRQPVVSQSSAEAEYRAVANGVGEASWLRQLLAELHTPPSRSTLIHCDNVSAVYLSTNPIQHQRTKHVEIDYTLSMIGWPWARCRSFMFRPPPSSRTSSPKPGTPLRR >Sspon.06G0010690-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:46581510:46585492:1 gene:Sspon.06G0010690-3C transcript:Sspon.06G0010690-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGHCPGGGASASLAPSFNGFRAMIWPATVNCTSRIGISFIPTTKTGPFRAGDRATGGICYASQAVELMPTLCPEIVVRDARLEDCWEVADTHCSSFFPGYKFPLDLVLRIDRYIALLSGFSVPPGCMKTCLVAVNSNSVNNSFTIECGDATGATFQEYNLSRGSIAGILTLDTVADYLPRRGPLKQRRTGIAYIANVAVRKEERRKGIAKMLVQEAEARARSWGCRSMALHCDVNNIAALRLYKNQGFKCIRVPEGAKWPEPKIAKGVQYDFMMKLVPKA >Sspon.01G0013740-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:62356014:62361316:-1 gene:Sspon.01G0013740-2B transcript:Sspon.01G0013740-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGGEGAGSGESPPAASVEAAAGEATLHIRCANGSKFTVQTDLGATVGAFKEVVAGSCDVPAPQQRLIYKGRILKDEQTLESYGVETDHTIHLVRGVAPSAASSAPAAASPLASSTPSSGPAGGLGGLFPGLGDPGNGRPSGIFGSGFPELEQVEQHLSQNPNLMREIMNMPAMQNLMNNPDLIRNMIMSNPQMREIMDRNPDLAHVLNDPSVLHQTLEAARNPEIMREMMRNTDRAMSNIESSPEGFNMLRRMYETVQEPLLNATTMGGEGNTASNPFSALLGNQGSNQPGQGQPATNAPTTGSESTTGTPAPNTNPLPNPWSTNAGSAQGATQSSASGNARTGASGGVGGTGATGGLGGLGSPDLSSLLGGLAGNPRTGAAGGLGGLGSPDLGSMLGGSPDVSLFNQMLQNPAMMQMMQSIMSDPQTMNQLLNFNPNTRNLMESNTQMREMLQNPEFLRQLTSPETLQQLLSFQQSLLGQLGQLQPSQGGNNAGSATGTRGNPSLDTLMSMLSGLGSGGGLGVPNTSNVPPEELYATQLTQLQEMGFIDTAENIQALVATAGNVHAAVERLLGNLGQ >Sspon.02G0037670-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2B:35195627:35198391:1 gene:Sspon.02G0037670-1B transcript:Sspon.02G0037670-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFSLRNTGATYQRCMQHVFGKHIGPTVEAYVDDIMVKSKRINNLVDDLDITFKCLKEKNIRLNPDKCVFGVPRGMLLGFIVSKRGIEANSEKISTITKMSPFRDLKGVQKVTGYLTALSRFISRLGEKALPLYHLWKKSEHFSWTLEAKEALSKLKATLSNPPILVPPAMGEPLLLYVAATTQVVSTAVVIERAKEGHTLPVQRLVYFISEVLSETKLMIDQVMKDSSCHDPKMEAYYKEVRLLEDKFHGLELNHIARRYNEAANELAEAPSTGANVMQTEGSTPPADLEPDWRVPFLDCLVRGELPPDKTEARWIARRVKTFVIYGNDEELYRHSPTGILQRCITEEEDMNLLRDLHSGACVGGGGRIHEVEGDWPPGLGGRPRRGAGAPLSSPFRLPFVSRVFSYLLDYRKVVRSPFVSM >Sspon.01G0015260-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:46188279:46191180:-1 gene:Sspon.01G0015260-3D transcript:Sspon.01G0015260-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding FQPQTTVQPQGYVGTSSRQARKTCKQTSGSRAFPTAPPCSRQTPTTKLSPGGAAGRRSFKATTDLQAQLTHVQEDLKNAREHLAAIENDRAQILEDLAVAKRLADDAHGKLEDSLLAQRRAEEALELERFKSTEREQSAIDLAQRTEDEWRRKYDSVRKRHAEDVASLIATARELEAVRDELAATAQAKDSALNQADELQEIANGNAKKAEALTAEVARLKSRLDTELENKAREAEETIEKLESEASALRAELRKAKEFEEKLAKAEQEVEGLKVDVAYAKRAEADASRSAQEWKSKAASLETRLEAASQLNKRNEESFASLTNSLEDCTSMLQDKQSQLLQLEDKVAALEKEASEYKERFLETSRRLDIATEEACELHAAIDGLRSEHQLLHEAHQQAVGAKKTASAQVGHLTEDKNRLLRELADTREERDKVKKAVEDLAAALREVSSEAREAKERVLAKQAELDDARLQMSELKAAMKSAEERFQLRMGSEAKISKDDDWVSEEAGFVDMLKRSDDGISSIQLEMNRLTESLRAAESEVQELRADKTQLLSKLQEFEVQAMNTEEEAKAESSHLKDLLSSKDKELLALNHELTELRLRKSAALEEASEVSNRGESTDKSKALQAKLEMDNVLESLRAAEGEAKAAKEEKVQLQNKLRLLESKITEANLTSEEAKISSLRLKETLDDKEQQLASIVQENREMRAREAAAHAKIDELALLLAEATARNGGESPEKQPSVLLKLICSPMHHNIIQNPKEDIKHVEVETVRQRVSAVDANSLENSKIIEDDQSKERDEDSESSDDDDVESPGEDALVDQMNGLLIHGPTSSFNQDQRVVQKKKRALLKKFGSLLKKKAHFTKLSNPRVSDSG >Sspon.08G0025240-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:7238075:7248729:1 gene:Sspon.08G0025240-1C transcript:Sspon.08G0025240-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSRAATIRRGAAAAFSTVSEKPETGLYGFDVLRTAKGFRRFVDDAIQRSDELVARVARLPSSPEIVRTMDEISNTVCSVIDSAELCRNTHPDREFVEEADKASMRIYEHLQYLNTNTTLYNAILKAEGESVLLTEEARRAATTLRIDFEKGGIHLPKDKLENANQLNLEIAQLGRKFNENVMNKPGFVDVYPASRIPRNMQRLFKSVSRFNTSKQMNTEKQKGLRIVTESGNLSSALRWISDEEIMGCKSYAEFAIRPNMAASADVVMSFLEDLSNIVKHKAEEEFKIIQNFKRRTCNEKSANLEPWDEDYFIGMMKSSVYDLDASVIAKYFPLSQCLKGLNVLVESLFGATFHQIPMRDGESWHPDVIKLCLHHPDEGDLGFMYLDLYSRKGACGITARLNHGDVETLFHEFGHALHSLLSRTEYQHFSGTRVALDVAETPSNLFEYILCLGLSCLEDICLDETTGDAIPEKLVKALNASRNMFPATELQRQIFYSIMDLTLFGEQASKPMDTISTVADLRRKHTSWKYAEGTHWHTRFTHLITYGAGYYSYLYARCFATTIWQEVCQEDPLSRSAGSAIRDKFLRFGGSKDPSSLLKDFAGDAIIRNSGSGIIPNIGSLCKEIGL >Sspon.02G0009210-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:26241888:26243879:1 gene:Sspon.02G0009210-1A transcript:Sspon.02G0009210-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PIN domain-like family protein [Source:Projected from Arabidopsis thaliana (AT2G34570) UniProtKB/TrEMBL;Acc:Q8L8C2] MRVKKRSKNRKAVKFYATCFGFREPYKVLIDGTFVHHLLTQRLLPADEALRDLLSASRTPALFTSKCINAELRRLGKSHAESFDNAQLLTTTKCEHDKVVSAVNCVMSLIGDKNPEHFFVATQDPSLREKLREIPGVPVIYGLKNSLFIEQPSVQQRKFAQLDEEKRLNMDISEYKKLLKAASEGKTAASENGSDGEQHERPISSLVKNALGVTDKSKFKRNKAKGPNPLSCKKKKPKLPTAIQNQVATADGEVKRKRVRKQKRGKKDNKQLESAN >Sspon.02G0027700-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:101337087:101340008:-1 gene:Sspon.02G0027700-2B transcript:Sspon.02G0027700-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AMLPGPAIPILSQSFLVWQLIFSALLLGRMYSARQIIGCFLVISGVILAVASGANEGQFLSEVKLIWPALMIASSAFQAGASILQEAVFIDGAKRLQGKRPDIFVVNSFGSGFQALFVFLLLPFLSNLRGIKFAELPAYLNGGAECFLNVAESPTDCGGAPFLPLLFIVVNMAFNISLLNLVKMSSALVASLTATSVVPISIYILSLPLPYIPHGAELSTSFIIGAMVLLMGLISVGAVVAAGVVVKTADMLHSDQVAASEDRMGS >Sspon.05G0005820-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:20190651:20191698:-1 gene:Sspon.05G0005820-3D transcript:Sspon.05G0005820-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAGK [Source:Projected from Arabidopsis thaliana (AT3G57560) UniProtKB/TrEMBL;Acc:A0A178V7F4] AMVLTKPHLSNSLLLGPSPPLSSPTLSSNHARPLAAPTCRRSRLRISATSTATPAPSAAAAAAAAATAALSRVDVLSEALPFIQRFKGKTVVVKYAGVRDPRPRAPLLRRPPPRARHGGGPEINSWLLRVGVEPQFRDGLRVTDALTMEVVEMVLVGKVNKNLVSLINIAGGTAVGLCGKDARLITARPSPNAVALGFVGEVSRVDATVLHPIIAAGHIPVIATVAADETGQAYNINADTAAGEIAAAVGAEKLLLLTDVSGILADRNDPGSLVKEIDIAGVRQMVADGKVAGGMIPKVECCVRALAQGVHTASIIDGRVPHSLLLEILTDEGTGTMITG >Sspon.08G0015380-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:61696908:61701789:1 gene:Sspon.08G0015380-1A transcript:Sspon.08G0015380-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable sulfate transporter 3.4 [Source:Projected from Arabidopsis thaliana (AT3G15990) UniProtKB/Swiss-Prot;Acc:Q9LW86] MVVNNKVDSLSYDVEAPPPPAAAAAPPAPAHPAAPVTRQGTASASVLELHKVSVPERRTTAKALRQRLAEVFFPDDPLHQFKNQSSARRLVLALQYFFPIFQWGSTYNPRLLRSDLIAGLTIASLAIPQAHSSFVPPLIYSLLGSSRDLAVGPVSIASLVMGSMLREAVSPDEQPILYLQLAFTATFFAGALQASLGFLRLGFIVDFLSKPTLTGFMGGAAIIVSLQQLKSLLGIVHFTSHMGFVDVMRSVVNRRDESKRNPKLFLVAAGAPLASVIISTILSYIWKSPTISVIGILPRGVNPPSANMLTFSGSYVALAIKTGIMTGILSLTEGIAVGRTFASINNYQVDGNKEMMAIGIMNMAGSCASCYVTTGSFSRSAVSYSAGCKTAMSNIVMAAMVLVTLLFLMPLFHYTPNVILSAIIITAVIGLIDVRGAAKLWKVDKLDFLACVSAFLGVLLVSVQMGLAIAVGISLFKILLQVTRPNLVVEGLVPGTQSYRSVAQYGEAVRVPAFLVVGVESAIYFANSMYLVERVLRFLRDEEERALKSNLPSIRCVVLDMSAVTAIDTSGLDALSELKKVMDKRNIKLVLANPLGSVAERIFNSAVGENFGSDRLFFSVGEAVAAEACKAAQP >Sspon.02G0002560-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:7507561:7507946:1 gene:Sspon.02G0002560-2B transcript:Sspon.02G0002560-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDGTATCIDIVLAIILPPLGVFFKFGCGVEFWICLILTFFGYLPGIIYAVWAITK >Sspon.01G0023690-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:90609851:90617530:-1 gene:Sspon.01G0023690-1P transcript:Sspon.01G0023690-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP binding protein-like [Source:Projected from Arabidopsis thaliana (AT4G19540) UniProtKB/TrEMBL;Acc:O49472] TFEEADTKHDGKIDKEEWRNLVLEATSSVVALPPARESSPVAGDRIDIGTSVCRPPPRRSACFLSSPHDRQASQFNPAQVQPTRRRPARVQPHPRTVHRIPDRARAALDLRSPWRGAAVPAIRPPPSPLPSTTSSPPPLVLGPRLAPAGRWLAGSFVGAVQIHPRPALFCAAAWRCVTDQVRPCQPADGQHRSSQGRWCPLLVGSSTLWSRPNPLPLLHSESVRWYSSAAKGGPSIAGVGDIIAVASGKGGVGKSTTAVNIAVALAKEFKLQVGLLDADIYGPSIPTMMNLHAKPEVSEDMKMIPVENHGVRCMSIGFLVDKDAPIVWRGPMVMFQLQLNLIDSEVMSALEKMTRGVAWGDLDILVVDMPPGTGDAQLSMSQRLRLSGALIVSTPQDIALIDARRGANMFRKVQILGLVENMSCFKCPKCGEKSYIFGEGGAKRTAEEMDMKLLGDVPLEISIRTGSDEGKPIVVSSPNSASAQAYVNVAEKMTQRLNELAEERRMGPEINL >Sspon.08G0007310-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:21388501:21393692:-1 gene:Sspon.08G0007310-2B transcript:Sspon.08G0007310-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LQVLGLWLLVQSLSFIIAGASVTALLVYDDLKATSFPVFMALVIVTNVSGALAALSTLAGTILIEREWVVVISSRHPPAVLTGINSVVRRIDLSCKLLAPVFSGLVISFVSAQASAAALALWNVASVGLEYWLFVSVYNGVPALAESNRLMRTADATEAMLLSSSSSSSENVAPTENALDWRVRMTEQLSIIPCWESWVVYLRQDVALPGVALAFLYFTVLSFGTLMTATLDWKGIPAYVISLARGFSAIVGIGATLLYPVVHSWVSTLRTGLWSIWMQWCCLLVCVASIWAASDVASAWMLMAGVAASRLGLWMFDLAVMQLMQDGVPDHERCVVGGVQNSLQSVFDLLTYVMGIIISDPRDFSELIVLSFFLVTCAAAMYTLHVYRVRKHLFHFDKILAKIS >Sspon.05G0030060-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:86498390:86501897:1 gene:Sspon.05G0030060-1B transcript:Sspon.05G0030060-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSAAASPPPSGKLTQDELKRVAAHRAVEFVEPGMTLGLGTGSTAAHALDRLGDLLRAGALPGVAGVPTSLKTEVHASAVGIPLLPLDAASGARIALSIDGADEVDPDLNLVKGRGGSLLREKMIEGAGERFVVIVDESKLVPRLGCTGAIPVEVIPFGAPHTLGLIRSLFDGLPGFHARLRAVPAAKGDDGSEQPFVTDNGNYIVEMFFEDGIRGDLRDISDRLLRITGVVEHGMFLGMATTVIVANKDGTVAVMGRKKYNRLLWINGVVEHGSMFLDVANRCQQRRQEQLREKKVSSAVPTLGQRRPNWLIFCLHSVESDCEFCDPLITLFRVDFSGRGELSIRQGKNNLGSIIFNIGYLVLH >Sspon.08G0021920-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:11627793:11628293:1 gene:Sspon.08G0021920-2P transcript:Sspon.08G0021920-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GHRRRGHGRGGGGLLRAPGPPVLLLPLARLLLLPPAGRRRRRGGGGDLVAARGGRGAQGARVVGAGGRPAVEDLHPAVRPQRPAHAAAPPLRRPQAQLRRAQLRAQLRRGPRRQPRGRLHRLPRLLRALRRPAGLRQVVHGPRRPRRAAALQPAAAAAPRRSRPDLT >Sspon.03G0007310-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:5863320:5865506:-1 gene:Sspon.03G0007310-2C transcript:Sspon.03G0007310-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFVSAIDSALQEVGRRVEAGLSCGCFSSSIATKQEVQNSGVWQGAYGAAVDSVYTRDAFHGKTFLDYISALGKKPLAGADLLDLATAKAQLRAFNRSRGLRDLPEFMMFEGIEEITEMTRTKEERMHKRSEDGVPSKEKKSRRAGSSRRKGEALPEAGNEDAMDEDGNGGAVDDTLNQGKKSKRMKSSSKKKTDISKHLDGLKTGSVADSRTLDKKTIDDVLSKRKSGRTLRSTSKKEDALEVLKRLAKDGSEELTGKSKDAPVLKENNQRDGAGSAHKKGRITEDGYHRLGDRNAEDLTSPGKRRSGHNENSISKRVSISEYGRKKKKLSELMAEPGRPNSASGGKGKTRGKRLLHDSAEKAEDPDRHSKDILMTRKRKKLNTLGDVSSQLEPLSRKKSTKVGELMSKAAGSSMLQAAPAVKANSAVSQTKPRRAKHRQVNAEDKSPRLVKVNQGNSEAITEESLSCGEMLWQLSVAACGLKQREKIAPTSVNFFTDFRKNSIFSSSDVNEGMPEKATNTESTPSEQPIADHMHDDYWADILINVEEPLSSLKKKKGESKKRANKKAPQVKKPPINSSATAENADEPRSEGNQDTENGEELRNETKLFSANGSQPNAGTKSGEEMENSFLSGLVLHFSRPSAVPSRSDLIKIFSQYGPVNEAKADVANSASSAQVIFKRRMDAEAAFASARKISALGPALVSFRLTDFPASALGNKASHVASKSE >Sspon.02G0019830-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:64423208:64423780:-1 gene:Sspon.02G0019830-1A transcript:Sspon.02G0019830-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAWKDKVADRLARLLADSPVSPSPAQAAVAPSQVRSASLLRTSRAARISIVWVSVDSVVGAGVLGSRRKVGGILEIAVESNFIHSWPKDRFFFLHESRGSISVDCCGLPILWLNLCLILVLWAVGNGTCRLPPG >Sspon.06G0013450-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:70239545:70242789:1 gene:Sspon.06G0013450-1A transcript:Sspon.06G0013450-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SWR1 complex subunit 2 [Source:Projected from Arabidopsis thaliana (AT2G36740) UniProtKB/Swiss-Prot;Acc:F4IP06] MDAGDDEPPVLLDRAARATRGKRITRLLEDEVEQDEAFWSQEALKDEENDDNYVEEQDAGDEFDSDFGEDESEPDDEPEKEERESRLPIKKRLMFPGKTLRKTNVKKKKATPKPEDDAKADKSADKPSSSTQADVPDELEVEKTIRKSTRTSVIVRQAEREAIRAEKEATAKPIKRKKEGEEKRMTQEEMLLEAAETEIMNMRNLERVLAREEEVKKKAVVHKDTYEGPTVRFFSRDDPEKPVCVVTGLPAKYRDPKTGLPYATMEAFKKIRESFLKEEADRKRPNMSNMGELFESITGEHLMPKKRRVEIRPPNIPGGLRHGGRFWCIPALDMVDED >Sspon.03G0037010-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3B:96400471:96401884:-1 gene:Sspon.03G0037010-1B transcript:Sspon.03G0037010-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGERKAPPAKKWEFSMIATTPRQTHSRGPWPGETPFVALARSRRFPLPRAAVGSAGSRRTRFVRCGPKNKPSLPSRRRSRAVPRGPGPQISRARSHLTSDAVRAHCAPGSQVQETERTPSATRAVSLKPRPPAALYIAAAPLCPSSKRPQLTEQKQRKHHPRRPAMGMGTPNSWLFASDNSRYSTRVRLLFMGLSFAIGVLTFLLYLAIWYLCSRRRRRVRGGQRDTGEGDGDQGMSADAIAALPTFAHDAAAPALDCPVCLGQVEAGEKVRRLPKCAHSFHADCVDAWLRAHSTCPMCRAAAATKQQAEPATAVVVVPVSSSSPAEALPPV >Sspon.01G0009320-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:33173578:33183257:-1 gene:Sspon.01G0009320-1P transcript:Sspon.01G0009320-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LLASKPLYPRVVLAGLLRFFGGRRGARVRAPPSIARQVESTALMNVAFAVKQDPALAREVLAAVKADAAGALSGFAVAVMLFVARVRRFNEGAVNVLRDAAVVSRRDYRMSRRCKWLPDCIEEEYARATQCVEKGLLKSVDESIVGREHVVPSIVQVGFLLLEVSDGDQGEEGGLGEGVMSTKEIGINMLKSLFEIHEMARTEIIEQCKFRILSAKPQQSAPVLRLLGCLILSHPFPMLEFIAHLKELLDYFSFMNDKISTGLISCVLPLTKFSRDLKDYIILVVRKAMFKREDMVRIAATNAIIELIIAESRKNEASPFEDSTSQPSSSQQPETHLEFGRGLFQELSGLLRRCLSHQASVKEILYEGLIRIVTSDPAVSDNVLDFLWPHFQNYYSEDAECPLKIGSCFKVEHAKLCIVEPLDCLLSCISRILQIKQHSKCERPRDAYWKCFGFAASQDNEVGRASSSDLFVKALSNIQKYLRISLTDQRGQSQEAGSLSSPSEVAHCHNFAMLEITEVLVDFAASKLEKASDESKEMIEKEILELVNVHSGLEKKMSNGRERIARRRANAGDATDKHTNEPRENSNASLQKLHGKRGKFADSSLYELSVMCVKQCHADNYNNVSQHPSQTTLNQSSNLTSFVLKAFLELSKSLTSQDNRGFRIKLHEDFKKLCQPIMQLIWCFLLDPIQENGGSKRNMTQGKKKIECKKDQLYLALTCLKKFLEPSVSGDHSSDVIDFLISLAPPNIEDMMDAVDLDNNDTTMVEDRSTRNVHMLLNILKTLYARLLIQSLLRESEAVTELILGISRKVHLEQRHLIGKWATDLCRKKTTQSPSIAREMVKLAIHLTPAPDDMILVCDVSAELMKLMTSGDDGDSSDTFHIINCKTKSSLAAVSLQMVESSLTELDWGLGKLKAMLTLGYDSANIDEDQPADEIMLRLALEKALYSRSTSVVQVLSSFAHMSLKDTQAEHFLKLTAKFYKLLTRMSKSQIAPKGYTQSIPSLKFQKLAEVTCKMLTAPLYDFVSQQNQQIPRKANIAKIRRETKCIPDLIYQIEDYEKYLIQLSKLTKVNLLRHAKRSVARDFQIKDKYGEQQEEDPTPDNAAASDNEASKDAGVPNAPVESYADKNASSESEHDEDAGGPNTPGIDLVAGGRNKKTKRTAPKSDDVYLKLLVKLYRFLVRRTQSNFNAVILKRLFMSKTNRPPLSLRRLVNFMEGKENQIAVIVGTVTDDKRVYEVPAMKVAALRFTETARARIANAGGECLTFDQLALRAPLGQNTVLLRGPKNAREAVKHFGPAPGVPHSHTKPYVRSKGRKFEKARGRRNSRGFKV >Sspon.06G0014190-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:60659635:60663060:-1 gene:Sspon.06G0014190-2B transcript:Sspon.06G0014190-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLVDVGERFLKCWEAVAVTTEIQEEILREMGVDPSFGIGCLGKVNVVYENDKDLMIKFYQFVAKEEMAIDEAELEPRELAEKLHAQQIIQEQQLNMLAEMRKYSPESQSVILGTLHKELEEANFDINASILSPEQIQEIVQNTQQKLNPSNDTKITLAKIQQMNSEKA >Sspon.06G0008040-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:36151926:36156879:-1 gene:Sspon.06G0008040-1P transcript:Sspon.06G0008040-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFYSHTILARKSPLGTVWIAAHLERKIKKPQIDGIDIPTYADRAPFESITLPPTLNLDDLNLDDAISLMDTPDNHQKTRDQITLPEGEYVMIELDEDARVEQSGPGPSLHMGPTAILDETSPPFHDGFGANNNPNEAPVDPPIGNSPVNSNIANQTDGALDPPEQMREAPHESPHLKLTESILGNDDPMDLDHDSSPFVQNKAITPPVIDETTSAGRQVPGRSISNLRTPSTFDAFVDDGPLNFDIPLPEFGLQPSPPPVQENEDNRRPKAQVNNRKRKRGMKFDYKIVLSNDCMSKQIDGAELDELICKRRKLPQTSLDTWRFSRTNRKGSFLLEPRLHGMCSNLQETYERNFPRVSGLDAECSNVAGVANDGQDAPPERQLSPNVPGTVELPYHEPAPTSPGNAEAQPEPLPTLKSSGAAGAAPDDDMLPELPRFSPIDVPSPIRENDTPYKTPGGTPPSWLGGTAVSEIPSTDGRLGGTAVSEIPSTDGKYSLPGQSTRDSDNMSFLFPINEDDDQPEIPGLMSTPGGVSSVGTGTTGLGSMSTRTRAVAMFFKDHVPSPSSDEQPGKFSLNKILEGKTRKQAARMFFETTVLKSYDYIDVQQGKEPFGDIEISVKPSLSAAKL >Sspon.02G0026580-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:94666248:94667365:-1 gene:Sspon.02G0026580-2B transcript:Sspon.02G0026580-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSLILLLIAVALPMLLHLLTRANKPRRQAAGKLPPGSLGLLVIGQSLGLLRAMRANTGERWIQDRIHRYGPVSKLSLFGAPTVLLARPAANKFTFFSSPLAMQQPRSVQRILGEKCILEPGGHRRRPQADPQRTGRVPQAGRAEAVRGQDRRRGAAPPQRVLGRPPHRHGDAAHEEANIRHHLPAALRPQAEPAPGRARRQFEHVMDGIWAVPVNLPFTAFSRSIRASARARRLIAGILRETRAKMERAEASRSSDLIACLLSLTNHSGAPLLSGKEIVDNSMVALVAGHDTSSILMTFMVHQLANDPDTLAAMVQEHDDIAKSKGDGEALDWENLAKMKYT >Sspon.05G0002960-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:8882684:8885421:-1 gene:Sspon.05G0002960-1A transcript:Sspon.05G0002960-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exonuclease DPD1, chloroplastic/mitochondrial [Source:Projected from Arabidopsis thaliana (AT5G26940) UniProtKB/Swiss-Prot;Acc:Q682U6] MSSLVLRFNLLRNNIRTTCPVRFLKQHAGFSSGKLLQPKSYEKRHFATNLTGAVSWHKTDPGSCVPSIPPLWLQQTSGHDQPATVLVFDVETTGFLHVSHRIVEFALRDLSGGKNCTFQTLINPERSVSEHAAKINKISTELVCKRGVPRFRDVLPILLAYVRSRQAPGKPVLWIAHNAKQFDVPFLNLEFDRCSAQVPADWLFVDSLLLAKKLKKIDGEKNFVNLEALGKRYGISSKGPSHRAMSDVEALCNILPKITKDLKLTCDGLMNEAMRFSDVRKDVIDVDIR >Sspon.03G0037390-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3B:98208705:98209007:1 gene:Sspon.03G0037390-1B transcript:Sspon.03G0037390-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQLLLGQPKSERMRLQPCRGGSNPAHSRKLRAALHDAAPQAALGLAWLCLWLWLAPWPGSRRWPAGARQSAAAPAACMQAAGEERARLVRRHGRALSSF >Sspon.01G0035860-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:15693390:15695123:1 gene:Sspon.01G0035860-2P transcript:Sspon.01G0035860-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVEALQKAVQELSGQYEKDCMDAHLRIAQLEAENISIMSQQSATDGECEALRGELAAVRADLDAARASVAFVLREVEAMETRAILEREGTKDALARILQLNEAVLSSAVAAIRAEEERSVFFQESTLQLLDSDRNLEVVRRQIEMMERMEMELLAKTVEVEYLRAELKQAKEIYVSPPRDSDATTVLSAAGCSCSNLDGHDQVQVLGRETAVEDTEAEPEFTFQHSPGPGVSFVSDEIFRMDSHAVPSGGSRMEIGISEDLAEHENKQGAAVMVGDTTVAEGNSDAQATRCLVAKISGEDNHANLPRVRFKCIEADSNQEPAESDGALPDFTTCQGNDVLVQDHMDTKANASFVLESSRDDFQSVHSDNKDISIAEPENVASAASQEPRAEPAAAPTTTPREGSSDTCAFATEIVSKDEDEFYTKELEPEPGQGTKQLDGYVLVSKGGDPGADVAVKDKQLDEARTEISDLRFSLEEAVRRAELAEEAKAALERELREEIRRKQTPSRRRATSDSEDGWRPAREGARPTTPARPRPTSSSTSGTASRAPRSARPVGEDMPTPRCLTLGKVLNMKYK >Sspon.05G0016220-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:65892351:65896221:1 gene:Sspon.05G0016220-1A transcript:Sspon.05G0016220-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGSDALQSIVYARGSLRLLDQRKLPLEMDYIDVKNSADGWNAIRDMVVRGAPAIAIAAALALAVEVSDLDFIGTPGEAASFVSKKLEYLVSSRPTAVNLSDAATKLQTLVSKAAETAKDSKAIFQVYIEAAETMLVDDVADNKAIGSHGAVFLQRQLANSKRISVLTHCNTGSLATAGYGTALGVIRALHSEGVLEKAFCTETRPFNQGSRLTAFELVHEKITATLIADSAAAALMKQGHVQAVIVGADRIAANGDTANKIGTYNLAISAKHHNVQFYVAAPVTSIDLSLPSGDEIVIEERSPKELLNSEGGLGKQVAASGISVWNPAFDVTPANLITAIITEKGVITKTDADGSFDIKGFLQPAK >Sspon.05G0007040-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:16030377:16037182:-1 gene:Sspon.05G0007040-2B transcript:Sspon.05G0007040-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Inositol phosphorylceramide glucuronosyltransferase 1 [Source:Projected from Arabidopsis thaliana (AT5G18480) UniProtKB/Swiss-Prot;Acc:Q8GWB7] MRSPALLVAAALVAAVLLAAGVGVAAAVGTEEAYVTLLYGDEFVLGVRVLGKSLRDTGTRRDMVVLVSDGVSEYSRKLLQSDLVAKLTLRIFHQADGWIVNRITLLANPNQVRPKRFWGVYTKLKIFNMTSYKKVVYLDADTIVVKSIEDLFKCGKFCGNLKHSERMNSGVMVVEPSETLFNDMMDKVGQLPSYTGGDQGFLNSYYSDFANSRVYEPDSPLTPEPETQRLSTLYNADVGLYMLANKWMVDEKELRIIHYTLGPLKPWDWFTAWLVKPVETWQDIRQKLEESLPGTGGGRNPHDQLVVKILFILPFCLLLFGYYQSCFQTNKELISIRSLCAFARRARHKYKSEESLPSYSVVGSSSVFGISNQRLSNGHLKLPSYFGAIAVLVCFMSAAFSLAFAFIIIPRQVMPWTGLVLMLEWTFVAFFLLFGSYLRFVYRWGSISANHVGFSNSDSSENHMGPGHQRNMSDCDMDATFYWIGMATIATITVLLPTILGITALFTKLGLMVAGGIVLASFMTYAAEHLAISAFNKGQKDRNASRTRSFCF >Sspon.01G0039360-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:30286366:30296097:-1 gene:Sspon.01G0039360-3D transcript:Sspon.01G0039360-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGTDQRFEASNRGGLSEPLPQFESLKERIKVQKFSLQVTEDPFAIPEGAAGRIRRSIISEAGNLQVHYVKVLEKGDTYEIIERSLPKKQIVKKEPSVIVKEESEKTYKLWQSLATKSIPKHHRNFTALMKKRQVDAKRFSDSCQREVKLKVSRSLKLMRCAAIRTRKLARDMLIFWKRVDKEQYELRKKEEREAAEALKREEELREAKRQQQRLNFLLSQTELYSHFMQNKAGGSAPPDEEDVPDEDEEEDPEEAQLKREALRAAQHAVSQQKMKTNAFDSEIVRLRQTSESVLPTDDSSSMDPSKIDLLHPSTMPEKSSVQTPELFKGVLKEYQLKGLQWLVNCYEQGLNGILADEMGLGKTVQAMAFLSHLAEDKNIWGPFLVVAPASVVNNWAEELIRFCPDLKILPYWGPERMVLRKNINPKRLYRRDASFHILITNYQILVNEEKLLRRVKWQYMVLDEAQAIKSSSSQRWKTLLSFNCRNRLLLTGTPIQNNMAELWALLHFIMPTLFDSHEQFNEWFSKGIEGHAEHGGALNEHQLSRLHAILKPFMLRRVKIDVIAEMTKKKEEIVPCKLSSRQQVCNHPELFERNEGSSYFYFADIPNSLLSPPFGELQDVHYAGKRNPIIFEIPKLVYEGIICNTENSGNICGFQNGYLNRLFNIFLPSNIHCSAIPEVNSSDESVLSSGAFGFTRLSNLCPVEASFLATASLFERLVFSVMQWNRNYTDEIMDAFLDSEDPNIQSSQNDSTKVRAVARLLLSPTKAKPSLLRTKIGTGPSDDPYEALVLSHRGRLASNIRLLRSAYAFIPPARAPPINVWCADRNFAYKFTDEMHDPWAKKLFLGFARTSEFNGPRKPVALHPLIQELNTDLPILEPMLQLPYRIFGSSPPMSNFDPAKMLTDSGKLHTLDMLLRRLRAEGHRVLLFAQMTKMLDILEDYMNFRKFKYFRLDGSSAISDRRDMVRDFQNRNDVFVFLLSTRAGGLGINLTAADTVIFYEIDWNPTQDQQAMDRTHRLGQTKEVTVYRLICKDTIEEKILQRAKQKNAVQELVMKGKHIQDDHLMRQEDVVSLLIDDTQIAHKLKEISMQAKDRQKKRRAKGIKVDKEGDLTLEDLDDATATATATAEASSHKKHTNTHDNDNMDKTGEPDVGGDHPGSSHTENEQIAEPRPKRSKRLMKSITDDKELAAAAVDHEEPANEAENHRAHDYDGTEEAQDGTPA >Sspon.01G0008330-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1A:23207103:23213454:1 gene:Sspon.01G0008330-1A transcript:Sspon.01G0008330-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to Phytochrome B [Source: Projected from Oryza sativa (Os03g0309200)] MAVAYITPSDYLFWFRSHTAKEIKWGGAKHHPEDKDDGQRMHPRSSFKAFLEVVKSRSLPWENAEMDAIHSLQLILRDSFRDAAEGTSNSKAIVNGQVQLGELELRGINELSSVAREMVRLIETATVPIFAVDTDGCINGWNAKIAELTGLSVEEAMGKSLVNDLIFKESEEIVEKLLSRALRGEEDKNVEIKLKTFGSEQSKGAIFVIVNACSSRDYTQNIVGVCFVGQDVTGQKVVMDKFINIQGDYKAIVHNPNPLIPPIFASDENTSCSEWNTAMEKLTGWSRGEVVGKFLIGEVFGNFCRLKGPDALTKFMVVIHNAIGGQDCEKLPFSFFDKNGKYVQALLTANTRSKMDGKSIGAFCFLQIASAEIQQAFEIQRQQEKKCYARMKELAYICQEIKNPLSGIRFTNSLLQMTDLNDDQRQFLETCSACEKQMSKIVKDASLQSIEDGSLVLEKSEFSLGDVMNAVVSQAMLLLRERDLQLIRDIPDEIKDASAYGDQFRIQQVLADFLLSMVRSAPSENGWVEIQVRPNVILENQLPNVNNNRKLNASIGT >Sspon.06G0032380-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6C:84156873:84162352:-1 gene:Sspon.06G0032380-1C transcript:Sspon.06G0032380-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSNAELLRQVEEAQRAADEATAAAQAATAQAQAALARADAATARAEAADQRVIEYENAEHAQLHAQAIAVINIKHMIPLVLEQTSTFYSRWRSLFLTTVTKYALDGLVLTDDDFSTDPHWNRMDCTVRTWLYGTVSPDLIDAVSVGVSPSSRSIWLGLEEQLIGNKETRAIILDAEFRTLVQGDLSITDYCNKMKRMADTLGALGEPVLDRTLVLNVLRGLNNRYSHIAAMIKRARPFPSYSDVRADLLIEEVTMASKASTPTALVVSSSPTRPPAPRPSAGQGGGHGQGSSQGGSGGVHNSGQGSGYGGGRSRRRWRNPTGGSAGRPPVPWAPQHWNPLTGTFAVWPGQHGQHGARLHPPLAPLPPRPQAFIASTPQQQQQFAASTPQQQQQFASPLSAAGSSYYGAPSPASSSWTWDSQALASNFNTMTLTPPPSDNWYMDSGASSHMVSNSDLLTQVHPSTSFTPSNIIVGNGSFLPVTAVGSTSFPLTNSSLHLHNILVSPHIIKNLISVRRFTTDNHCSVEFDPFGLSVKDLATGNVIVRCNSSGELYSFRPPIHHHSFTAVTSTPILWHRRLGHPGHESLSRLAPSLHSTCNKRELETLCHACQLGRHVRLPFASSNSRASANFDLIHCDLWTSPIPSVSGYKYYLIILDDCSHYSWTFPLRLKSDTFSTLSNFFSYVATQFGTTIKAIQCDNGREFDNSTARTFFHAHGTAIRMSCPHTSPQNGRAERIIRSTNNILRSLMFQANLPSSYWVEALHTATYLLNRHPTTTLNFQTPYFALYGIPPTYHHLRVFGCKCYPNLSATSPHKLAPRSTPCVFLGYPSDHKGYRCLDLATNRIIISRHVNFDESSFPFAELPAPLPSSNLDFLSEFDYVYSPVPSPFVAGSGVAGSGGVAAGVTSAAGPSSAHGAGSSGAAPSPARSSGPARSSGTGAAITPSSAAASGAAATGAPAGTIGATAAPLPSGAVPVTPTVNDHVMVTRGKHGFRQPRTVMNLQAISLSPIPKTYRGALADPNWRDAMHEEFTALQANHTWDLVPPPSGINIVTGKWVFRHKLHPDGTLDRYKARWVLRGFTQRPGIDFGETFSPVVKPATIRTVLSLAVSQNWAVHQLDVKNAFLHGTLEEKVYCAQPSGFVDSSKPDYVCRLNKSLYGLKQAPRAWYSRFASFIRSIGFLEAKSDTSLFIMRQGSDMAYLLLYVDDIILTASSGALLTSIVSSLTAEFSMKDLGHLHHFLGMTVSRSSTGMFLSQRHYILELLDRAGMTDCKPCSTPIDTNAKLSAEGSPVADATDYRALAGALQYLTFTRPDISYAVQQICLYMHDPREPHLALIKRVLRYIKGTLDYGLKILRSSHCDLVAYSDADWAGCPDTRRSTSGYAVFFGDNLVSWSSKRQQTVSRSSAEAEYRAVANAVAEISWLRQLLQELHFCPRRSSVVFCDNVSAVYLSTNPVQHQRTKHVEIDLHFVRDKVATGDIRVLHVPSTSQYADVFTKGLPTSIFTEFRSSLNVSPPSSVGVPTAGGSAQAAAVVDELSAGACRDYATRDCLASFRGAASFQGSFEHCCLKLSEDCTCALLEKQAALKIDMPKVCKFDQSELSKGCSATKQREEQLSKMSPCGRLFRRAEPGGCVALQRADRQLQ >Sspon.02G0045760-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2B:111729912:111731500:-1 gene:Sspon.02G0045760-1B transcript:Sspon.02G0045760-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RAGPVAAATTIPANGSSDSRLLGTLDYSVLVSYGTPEQQFPVFLDTSSVGASMLRCKPCTSGSNDCDPAFDTSQSSTLANVLCGSPDCPTNCSGGSSCPLGGIYSVINGTFADDAFHGHRQLQIRLPEHNEKYASFGLVLVGAPHKDVLASAKLFVG >Sspon.01G0030330-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1B:109289211:109290656:1 gene:Sspon.01G0030330-2B transcript:Sspon.01G0030330-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAPAAAGLSMLSGLSSGVVLSLIAVLWTVVWRNLQQLQVQQFFARHLRRHARRLAAMVDPYLSVTIAEYEGGRMKRSDVYEEVKAYLSDATARDVRHLRAEGAKDADKLVLSMSDGEEVEDEFQGAEVFWEAYSKQPQRSDGAAQEERRFYRLYFLERHRSLLLDTYLPRVRQLGRAVMVKNRQRKLFTNIYSSQWSHVAFEHPKTFATLAMDPVEKKRITDDLDMFKNGKDYYARVGKAWKRGYLLYGPPGTGKSAMIGAMANYLDYDIYDIELTSVHSNTDLRKLFIETTSKSIIVIEDIDCSLGITGARKKAAAAEEEDKDKKDGGPSKAGVKKDISSKVTLSGLLNFIDGLWSACGGERIIVFTTNHYQKLDPALIRRGRMDKHIEMSYCGFEAFKFLAKTYLDVDSHPLFDAVGELLREVQMTPADVAENLTPKSLDDGPDSCLEDLVKALEEAKEKKASGGDQQDQQDEEEQ >Sspon.05G0035910-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:82407925:82410121:1 gene:Sspon.05G0035910-1C transcript:Sspon.05G0035910-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRPIPPTENDDDDVSMCAPAPAPAPLSQQEHLVQDLCGCAGHVEAGAIERAGRCLARATGLAAAAGDGGPLWRLAVPWPTPWRAASSGSWSRPSPTRSSTPPTTSTRAASARRAAASSSSARSPGPPSPSPTEPSSTENEKPYQWIKLLHDFHRRPGGPPHLRLTIVHDDGDLLANISQLLTDEAEALDVPFQVHRVVGQSGEARAVVCTLQLHRLLAAAEDPAGTFSAGHRFNPTASAARLQQMASTSCPPSVGACGGRGDDDDSDSSPATPMGFVSPPLSTPQLQMPPALGASCRRRARCRPRWWWSRSRRPATAASRSGSASARRSGTTPRCTQPGSGRRGVPAPQPSGRRWSARCSGRRSGTSCCARARTGASDDRLQRWAARMELGGFRSVPLSYVAMRQGNDVLHRCGLSGCGAAPASREHGGCLLLCWSSWPLYTVSAWRPTDAQVLPVPVPGAAAS >Sspon.05G0019140-3P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:63296413:63301311:1 gene:Sspon.05G0019140-3P transcript:Sspon.05G0019140-3P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARARGGGTSSGWASAAWWARASSSPRARRALYAGPGVVVSYAIAGLCALLSAFCYTEFAVDLPVAGGAFSYLRVTFGELASFLTGANLIMEYVFSNAAVARSFTAYLGTAVGVDAPSQWRITVRGLPGGFNQVDLVAVAVILLITVCICYSTKESSVVNMVLTAVHVAFILFIIGMGFRHGDARNLTRPADPSRSPGGFFPHGAVGVFNGAAMVYLSYIGYDAVSTMAEEVERPARDIPVGVSGSVVLVTVLYCLMAASMSMLLPYDAIDPEAPFSGAFKGRERCAWVSNVIGAGASLGILTSLMVAMLGQARYLCVIGRSGVMPAWLARVNPRTATPVNASAFLGVFTAALALFTELDILLNLVCIGTLFVFYMVANAVVYRRYVGSASEPGGGARWPTLAFLVVFSLSALAFTLAWKLAPPEPRGVRPGLLAACAALAVAAVAVFQALVPQARVPLLWGVPGMPWVPAASVFLNVFLLGSLDRPSYVRFAIFSAAALLVYVLYSVHASYDAEESGRLAVDGGGKVQDEACTV >Sspon.01G0030250-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1B:109444064:109444618:1 gene:Sspon.01G0030250-2B transcript:Sspon.01G0030250-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASVLAAAASSPPLTSRRWGPLHPRATPPPALRLRAPASRLRASAPRDSCAGAAVPRAPCAAAALGGGVKAEATERVAPDWDVLKRVALLALGCCAAAAAVGCGAARAAAEDSIKASGFGLRVAESLRRLGWPDDAVVFALATLPVIELRGAIPVGYWLRLHPVRLTILSVLGFVLFINPLHL >Sspon.04G0001690-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:2886758:2889926:-1 gene:Sspon.04G0001690-2B transcript:Sspon.04G0001690-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRASGAAGAGGRRDRGPDPDYDPALDIERIESSTVRLLDEQKRMVGVVSVSEAVQIADDNDLILAILSLDGDPPVLRLFEERDYKKHRYEQQKKKKIQQKRSVAKRMGLKELKMGYNIDIHDYSVRLKAAKKFLKAGDKAKIIVNLKGRENLYKKEAIELLRRFQNDVGELATEESKSFAERNIYLVLVPNKIAIQKEQDELNKKGTAKEEKDQSDSDEPEEKDQSDSELLEESMEPEAEVSANYHAGTVSVELQFS >Sspon.01G0038010-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:27695522:27699604:-1 gene:Sspon.01G0038010-1P transcript:Sspon.01G0038010-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIKGSLAADIGQLSNLQSLDLSFNKDLGGVLTPTIGNLKQLTTLILAGCSFHGTIPDELGSLPKLSYMALNSNQFSGEIPASLGNLSSLYWFDITDNQLSGPLPVSTSGGMGLDKLIKTKHFHFNKNQLSGPIPDALFSPEMTLIHLLLDGNKFTGNIPDSLGFVSTLEVVRLDRNSLSGQVPLNLNNLTKVNELNLANNQLTGTLPDLTGMDLLNYVDLSNNTFDPSPCPAWFWRLPQLSALIIQSGRLYGTVPTKLFSSPQLNQVILDGNAFNGTLNMGTSISSELSLVSFKDNEFSSLTVTSSYNGTLALAGNPVCERLPNTAYCNVTQRPLSAPYSTSLVKCYSGSCPAGQSLSPQSCLCAYPYQGVMYFRAPFFHDVTNDTAFQALESMLWTKLALTPGSVYLQDPFFNSDAYMQVQVKLFPAGSSGAYFNRSEVMRIGFDLSNQTFKPPKEFGPYYFIASPYPFPESEPSSKSKGVIIGIAVGCGILFVALAGAAAYAFIQRRRAQKAKEELGGPFASWARSEERGGAPRLKGARWFSYEELKRSTNNFAEANELGYGGYGKGYLDPEYYMSQQLTEKSDVYSFGVVMLELIVAKQPIEKGKYIVREAKRLFDADDAEFCGLKDMVDARIMNTNHLAAFGKFVQLALRCVGEVATARPSMSEVVKEIEMMLQSEGLSSASTSASTSATEFDVTKGAPPRHPYNDPLPKKDKDVSTDSFDYSGGYSFQSKVEPK >Sspon.05G0018650-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:82311508:82314050:1 gene:Sspon.05G0018650-2B transcript:Sspon.05G0018650-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVALAGRPDERREAQVVVHTLCCAPRVANAEGGGRRGPPPRLSAAAGAGGEEDEEDGGAAAWRMLSGYEPSREASMMVDALAAVVAGGTASPAPASASSRHGHVSLAEGQWWSDYYDGDLAPPPPPSSNPAPAAHEHGAAGTPGQHSPQTSAAPGSSEQVPSPSSTDSAGTPRRPRYRGVRQRPWGKWAAEIRDPHKAARVWLGTFDTAEAAARAYDAAALGFRGSRAKLNFPESATLPSAPAPPIPPPPPPPPPPQRPEALLESQALAGGGGDYSEYARFLQDPAEPPTRAVRPPPVTVASGSSSSSSLPVLFSFGGGGGDSGGGAVGHHRQRLPQGSSSTSDGGAGYPSPPAATWADSGWWWPAPPRDPSR >Sspon.01G0022530-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1A:82195532:82201164:1 gene:Sspon.01G0022530-1A transcript:Sspon.01G0022530-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDHEGRGDDFEKKADQKLSGWGLFGNKYEEAADLLDRAGNFFKLAKNWSRAATVYKKIADCHLRGDSKHEAASAYVEAANCYKKFSPQDAAQALNNAVNLFLEIGRLNMAARYSKDIGGIYQQEQDLENAAVYLNRAADLFDSEGQSSQANSMTQKIAEIYAQLEKYQKATELFEEIARKSINNNLLKYGVRGILLNAGLCQLCRGDTVAINNSLERYQDIDPTFSGTREYKLLADLAASMDEGDVDKFTDAVKEFDSMTRLDPWKTSLLLKAKNELKKKDEDDLT >Sspon.07G0007090-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:18635300:18638663:1 gene:Sspon.07G0007090-1A transcript:Sspon.07G0007090-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFRSIVRDVRDSFGSLSRRSFEVTIAGLSGLTVHHRGKSQSTVHELRDTDLIIQESRWANLPPELLRDVIRRLEASESTWPNRKHVVSCAAVCRAWREMCREIVLSPEFSGKLTFPVSLKQPGPRDGMIQCFIKRDKSKSTYHLYLCLSTAVLMENGKFLLSAKRNRKTTCTESNFLGTKFMISDTQPPYSGAVVPHAGRTSRRFNSKKVSPKVPTGSYNIAQVTYELNVLGTRGPRRMHCVMHSIPASAVEPGGIVPGQPEQILPRALEESFRSTTSFSKSSIMDRSMDFSSSRDFSSARFSDIAGGAMAGDEEGQNKERPLVLRNKAPRWHEQLQCWCLNFRGRVTIASVKNFQLIAAPSQAPAPAAAGAPTPSQPAPQDQDKIILQFGKVAKDMFTMDYRYPLSAFQAFAICLSSFDTKLACE >Sspon.01G0035670-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:12227746:12228975:1 gene:Sspon.01G0035670-1P transcript:Sspon.01G0035670-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTVTLEKTTTIQSDDAGAVGSPKTTMTSPLLMKKGAKAGAKAKGRCCGHRCELVSYDKLPEFLKHNEFIVDHYRSEWSIKEALLSAFSVHNETINVWTHLIGFFVFLALTVCAATMVPTEYESPHMAATSFTGLSNITGNAMVLRSYSADRAILAMTMKAFRNGSVVETEAAAVLSAGAAGHGRVPRWPFYAYLCGAMFCLLMSSACHLLACHSEHASYVFLRLDYAGITGLIVTSFYPLVYYTFLCHPFYQALYLGFITVSGAAAVAVSLLPVFERPELRWARAGLFACMGMSGLVPILHKMLALLTTGYEMAMGAFYLAGVVVYATRVPERWMPGRFDLAGHSHQLFHVLVIAGAYAHYLAGLVYLGWRDMEGC >Sspon.01G0002410-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:6476955:6479569:1 gene:Sspon.01G0002410-4D transcript:Sspon.01G0002410-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAGHGLRSRTRDLFARPFRKKGYIPLTTYLRTYKIGDYVDVKVNGAVHKGMPHKFYHGRTGRVWNVTKRAIGVEINKQVNGRIIRKRIHVRVEHVQPSRCTEEFRLRKAKNDQLKADAKARGEVISTKRQPVGPKPGFMVEGATLETVTPIPYDVVNDLKGGS >Sspon.01G0024440-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:101866767:101867836:1 gene:Sspon.01G0024440-1P transcript:Sspon.01G0024440-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVMGKEEENQQQLKEEEVQEEARVVVQKEKTADEEAEAALVQKISGLADAIAKLPSLSPSPEVNALFTDLHGGRGAPGPGAADHARGLIRLCADAEALLEAHYSDLLASFDNPLDHLTLFPYFSNYLLLSQLEHGLLARHVPGPPPARVAFVGSGPLPLSSLVLAARHLPAAAFDNYDICGDANDRARRLVRADAALAARMAFRTSDVADVTRDLAGYDVVFLAALVGMAAEEKARVVEHLGRHMAPGAALVVRSAHGARGFLYPVVDPEEIRRGGFDVLAVHHPEGEVINSVIIARKPVPVDAHAVAGVGHAHAHGAVLSRPCLCCEMEARAHQKMEEVAMEQLPS >Sspon.08G0015490-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:62052585:62055423:-1 gene:Sspon.08G0015490-1A transcript:Sspon.08G0015490-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDDTSASNGSCIRIYGPKTFEDDFRIFEKQDEIRPVLAKIERIHDVAKLDNGLCFDLLDPATNILISSVISNSKGAPPPPPPPRVYAGRRRRRGWTEEDDDSSRARADMVQRLFDGLIAFLTCLFPYLPDAEALAYLDAAELDPLVAALLIISRRGMRDDFDLYSPITEAAAEVALRCAAAATKHPDPRWLVQAWKKLLSPAVEALSGPAPPSSELLGDAVLRALDTVAPDVLQLKGSWDLAKGRLAAASSKIYAAPKELPPVRAAMKRMLLATIHGLYMQALGRLPTAELCSRYHRSLLIVGYCYGPLDPVSNIIYPWLPPICCGVFAARSLYGLVSFLCTRYPDLTPDQAVDCLLMTGAYLQAAHSNLSARLSATGEKPLDFSDSSVFHNSPAAEESTRVASVCEAYTAAATAAFHSFPHSIPPAHPEFLAHQEFGAALEVLHARDGSPLSSQDIGLLGKILQSCPSPNSKSHLQHDVAPTEVNKREGRLFELHIICGVNEFVSGPVHIMDGKVGDYNPWAPDKYYHTHINFLAICKGSPYDPPTIFFAECGKDGAATSWCVPVIPQKPKAEQPRCVYCEHRANTIVHPAMESFYGRDELD >Sspon.06G0026950-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:81820566:81822046:-1 gene:Sspon.06G0026950-1B transcript:Sspon.06G0026950-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSEVQVVESSFIVPNEPTPREGLWLSPLDLIVANRGHTPTVYLYSYNNVATANDFFDVAMLKEAMAKALVAFYPLAGRLGVDNEGRAEITCNGEGALFVVARADFTVDDIKDGFKPSPKLRRLFVPRIEPASVILAIQVTFLKCGGVVLGTAIHHIAIDASSAFHFFQTWSAISKYGDRATMELPCHDRTLLRSRSPPTVHPDAQTRFYPKLTFSDPSGPLAYQVFGISKDQVASLKSLCGGTSTFCAMSALVWQCTLIARQLPRDSETCLTFSANARRRVRPPLPNHYFGNAVVRLGITGAVRDIASEALASVAGRIKVAIDRMDDELVRSAVDYCEMAEVDSQPAKGTLLETDLHIISWLGMPMYDADFGWGKPGVMSRAESIRGGYVYLMSDGPADDAASGGLRVLMCMEAANMKELERLIYEKL >Sspon.01G0012950-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:42175655:42176564:1 gene:Sspon.01G0012950-2B transcript:Sspon.01G0012950-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPLECDMAPAASPKKHLSRSRPLPRHCCLMILVLALATTAAVASAQLSSEDYYHAPCPAALHTIRIAVSTAVLLDRRMGASLLRLHFHDCFVQGCDASVLLDDTASFTGEKGAGPNAGSLRGFDVIDNIKTLLELLCPQTVSCADILAVAARDSVAQVSHTVPS >Sspon.05G0016780-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:64792700:64797195:-1 gene:Sspon.05G0016780-4D transcript:Sspon.05G0016780-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MACAADIIRGPLKLAARHHTDVLANNNLCIGLKSSVSYSVAQRWSSNCSSNRSGHLIVRASARWRSNSKASATEMGTASDERIAVLVIGGGGREHALCYALNRSPSCSAVLCAPGNAGIAQSGDATCVSDLDISSSADVISFCRKRGMGMVVVGPEAPLVAGLVNDLVKVGIPTFGPSSEAAALEGSKDFMKKLCDKYNIPTAKYHTFMDAVEAKQYVKQEGAPIVVKADGLAAGKGVVVAMTLDEAFEAIDSMLVEGSFGSAGSRVIIEEFLEGEEASFFALVDGENALPLESAQDHKRVGDGDVGPNTGGMGAYSPAPIVTDELKHIVMESIIIPTVKGMAAEGCKFVGVLYAGLMIEKKSGLPKLIEYNVRFGDPECQVLMMRLESDLAQVLLSACRGGLSNVSLTWSPEMAMVVVMASQGYPGSYKKGTVIKKLDKAEQASPSVKIFHAGTALDENGNLVAVGGRVLGVTAKGKDIEEARARAYNAVDAIDWPEGFFRRDIGWRALRHKKVANYP >Sspon.04G0013860-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:50181729:50182679:1 gene:Sspon.04G0013860-1A transcript:Sspon.04G0013860-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ARAMAEKPPLPPKPKPPLPPKPRPKAAPAARPTMTTPAPGPGSRPAAAPPFKKPTLAPPPQVLPLKPPPAHQYRQQQYPGGARKQPRPPYRQQPPHRRRGGGGGCSCRRACCLATGLALLALCLALAAACLAYLYYRPRAPSFHLQPLSPVRLRLGNSSSSSAVSAMDATVGARVVSWNPNERVAFRYGDGEGRVSLRDGDDGDGDVALGWAPVRGFAHAPRTVATVAFVATARGVVLDEGVAARVRDRYRRRQQGFRVVVDAHVGVRVGALRTGMVPIRLLCDDGVMAPRAAAGSGSDGGTVVGPMSKCQVLLFRV >Sspon.02G0020030-1P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:66977935:66982871:1 gene:Sspon.02G0020030-1P transcript:Sspon.02G0020030-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLAVAALFIVGSHAGSISIYWGQNEAEGSLADTCATGNYKFVNIAFLAAFGNGQPPVFNLAGHCDPTNGGCASQSADIKSCQSRGVKVMLSIGGGAGSYYLNSSADARNVATYLWDAFLGGQSSSTPRPLGDAVLDGVDFDIEGGTPLHWDDLARYLKGYSNSGRRVYLTAAPQCPFPDAWVGGALNTGLFDYVWVQFYNNPPCQYTSGSTSDLADAWKQWLTIPAKRIFLGLPASPQAAGSGFIPADDLKSQVLPLIKSSGKYGGIMLWSKYYDDQDDYSSSVKSDRRAGDGQAEGSAVAAPSGGKQRERRGQATGELWPRCERLWSGRRGRPQAQAAASELWPDGGGKKGLAGSSGRVGDGKKGLTAGVATDGRCSGRRRVLADGSTAGMARDGGWCRAVGWRCYRE >Sspon.07G0037170-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7D:49599048:49600610:1 gene:Sspon.07G0037170-1D transcript:Sspon.07G0037170-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNIKSVSLLSTEIRSSLERTMQVCGYINKLLRHPESNQKIVVPKSNDSWISMAMGYVRQDLDKIKELIGAPVLDKVALVAKIAKSLESWKIPLHGHAVSKEEISEISATLEDLKKNLSDGDAQAAKRSEEEQIMQVHLTTANNSLRSVTRGLASIKDYDARLVGEAEERKRQRLSKVKELESALRLARSDLSKEEEREANIVSSRARHSNMVSRVSEAVTDLANLLAQTSTCWEAMRGSIQDKGISAELWFQLKFVESCQIEGADDE >Sspon.03G0001160-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:9195176:9198708:-1 gene:Sspon.03G0001160-1P transcript:Sspon.03G0001160-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQLFLQVPAECGSVNTIAMEERRYLCRNVESIIKSVTKSAGRYEARLWLCATVSLVHSLSHRGQRDLFLDLLEMKNSRRDVAARLLRMIFDKKPKMVGSILARKGHILEEFFRGNPKRIMQWFGHFAVTGESTHKKGAKALSQFAFVNRDMCWEELEWKGKHGQSPAVVATKPHYFRDLDVLQTVENFLEYVPDFWSSDELANSIKDGEILQIDAEYFVDQFLYLMYEENSKDAWHVVEDFLMDEQFSSLCQHLLIHLDEQRLLDFLKSLGKLINPTLQCKELTFPCCWLEVLLSGHCDHISLDDLILLNCVIAKGRQLWRLMKDEEQHEELGQMEELLKGVNHLTDADHFALMKELVGTELPDALRWIGIQSWVIFCDLSKECKSGDSCESLFSHNKIEFRKADVYSLVQNDGFSISHMPDTDDEDLTGSSHKRRKGNRRRKRHRYESDEDKLDELLELGSSDGKNDVESQRGSWRLSTDGFSASWDIADIPDHLSTYYFTVWVKWACFR >Sspon.04G0002610-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:7946880:7949761:-1 gene:Sspon.04G0002610-1A transcript:Sspon.04G0002610-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVGNVLNDVKPYLAMILLQVGFAGMYIVAVASLKRGMSHFVLVVYRNLFATAVMAPFALWFERKSRPRMTIKIFLKIMGLALLEPVLDQNLYFMGAKLTSAGFASALINILPAVTFVMALVLRMEKVRLRSVHSQAKIVGTVLTVAGAVLMILYHGPVVQFPWSKAQHHHDSSAGGGSQGGAGFLTGIITIIVACVCWSAFFVLQANTLKSYPAELSLSTLICLMGSLMSGSVALVAERRNTQAWVIGFDTRLFTAAYAGIVCSGVAYYVQGIVSRQRGPVFVTAFNPLCMIITAIMGSIILKEEITRGSVIGAAIIVLGLYALIWGKSKDDVNQVADVSASGGSNGATAGELPITLEQPNGNGKHELGSGRGVVFDVETPAANGHY >Sspon.01G0010470-4P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:7974660:7977241:1 gene:Sspon.01G0010470-4P transcript:Sspon.01G0010470-4P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLVERCGEMVVSMESSHAKPTYQLVDDPCTDHIVSWGEDDTTFVVWRPPEFARDLLPNYFKHNNFSSFVRQLNTYGFRKIVADRWEFANEFFRKGAKHLLAEIHRRKSSQPLPTPLPPHQPYHHHHHLHHHHLNPFSPPPPPPTQPPVSYHHFQEEPAATAHGVHAGGNNEGSGAGGDFLAALSEDNRQLRRRNSLLLSELAHMKKLYNDIIYFLQNHVAPVTSPSSAAHASLPTSAGGAVSSCRLMELDPADSPSPPRRPEDDGTVKLFGVALQGKKKKRAHQEDGDDNHEQGSSDV >Sspon.06G0015420-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:84925534:84926514:-1 gene:Sspon.06G0015420-1A transcript:Sspon.06G0015420-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding TSLSPIHCSSSPCLICDLSTTTPPFLLLHYKLPTLHASTAPSPPRFAHSPSESRLQRRPESRHASLSLCAYTTLCPSSTAAMACLSPSPSGRRLSELLEEKQEPFVLDLHLLEKGCSSSRLLDGYDTTALCWPAAAAGNDAAAAVLRRLTSKKNKAAKAKSNKQQQPAGGLLQLLLSKILRGSSRAAAPQRKPAALQFSDSFKLATTAAVAPAPCSDRDRHMELDAVKTAAADAKAPDAAECYDSDCDDDEKQQLSPVSVLDHPFESSPVHGKLLLSPSSKGAAAMDVFRDLLDAAYSPALLAQLFAKSEDLLLRDADEDDDFYYGG >Sspon.07G0006270-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:14571226:14574030:1 gene:Sspon.07G0006270-2B transcript:Sspon.07G0006270-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable mediator of RNA polymerase II transcription subunit 37c [Source:Projected from Arabidopsis thaliana (AT3G12580) UniProtKB/Swiss-Prot;Acc:Q9LHA8] MAKGEGPAIGIDLGTTYSCIIANDQGNRTTPSYVAFTDTERLIGDAAKNQVAMNPTNTRLIGRRFSDPSVQSDMKLWPFKVPMIVVNYKGEEKQFSAEEISSMVLTKMKEIAEAYLGSTIKNAVVTVPAYFNDSQRQATKDAGVISGLNVMRIINEPTAAAIAYGLDKKATSSGEKNVLIFDLGGGTFDVSLLTIEEGIFEVKATAGDTHLGGEDFDNRMVNHFVQEFKRKHKKDISGNPRSLRRLRTACERAKRTLSSTAQTTIEIDSLYEGIDFYTTITRARFEELNMDLFRKCMEPVEKCLRDAKMDKSSVHDVVLVGGSTRIPKVQQLLQDFFNGKELCKSINPDEAVAYGAAVQAAILSGEGNEKVQDLLLLDVTPLSLGLETAGGVMTVLIPRNTTIPTKKEQVFSTYSDNQPGVLIQVYEGERARTKDNNLLGKFELSGIPPAPRGVPQITVCFDIDANGILNVSAEDKTTGQKNKITITNDKGRLSKEEIEKMVQEAEKYKAEDEEHKKKVDAKNSLENYAYNMRNTIKDDKIASKLGADDKKRIEDAIDGAISWLDSNQLAEADEFEDKMKELESICNPIIAKMYQGAGADMGGAAGMDEDAPAGGSSGAGPKIEEGSEMRNASSLFCRSCRLVQVVVSSCCRGRS >Sspon.01G0005810-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:15188001:15193639:1 gene:Sspon.01G0005810-1A transcript:Sspon.01G0005810-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:MAPR4 [Source:Projected from Arabidopsis thaliana (AT4G14965) UniProtKB/TrEMBL;Acc:A0A178UY73] MARGARFLLVLALLAALLAVVFQLYRLRKPRLWTVEELSLYNGTNEGLPILLAILGSVFDVTKGRSHYGPGGGYHHFAGRDASRAFVSGNFTGDGLTDSLQGLSSSEVNSIVDWRKFYFERYIFAGKLIGRYYDSQGNPTKYLKGVEMKAKRGAQLLEKQKSDEAKIPGCNSKWSQQEGGEVWCDMGYPRLVRRPGDIALTGQVSQRCACFQENELDKAGLVVYEGCDYLSKSCKVN >Sspon.03G0001960-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3A:4795596:4796055:-1 gene:Sspon.03G0001960-1A transcript:Sspon.03G0001960-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding WGSCRARTAYRSREDPRYALMRSVASVTWYRSTAEDPEQRARRRGGHGGWSRMAGGRQHGIPREKATTTTTRVAAGAIHGCPVPSASERLLPIQSNPLVAARRVGGRRVSSSDSSRQVGNKVCTARYCNPNMACQGVDSNAGSSSPCSATRAA >Sspon.08G0025340-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8C:8000134:8002157:-1 gene:Sspon.08G0025340-1C transcript:Sspon.08G0025340-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVCSTAAPVVLWRGHGHPRRSLQLGSLRNLILINEPIYESCVDEFVGAFCSHVHLAVLRPHGELHLCVHCVVDERVLFWSPPVLHEAPAVGEAVAAAAVGPEIEIAVVDKDTGEPVQDGVEGEIWPKIGLRVLSAPPIFLLTPKSKTTARVPGRAGTCFVSTGDRGMVKGAERYLYVIGRSADIIALDDNTDSGRRRVYAHYVEMAAFGSAPDRLRGGCVAAFTTLPTPWSPSRTGVVTVVAELQKGSAGGGYHRGLCDGIRAAVWQEEGVKVGLVVLVDGGVVPKTTSGKLRRGAAREMLAAGKVPVVFEARYDDGNGPVAAVRAEEEEMAAKSAASWLAGEGGETGTALEAFGSASRCLRLHTSDQPCTDRHHGTAGEEEEQHGHRSLAAGDDVSGSWSGTTRWPRRLRRALGRCALCACRHQLCAPGALCRRRHPDRLPRLPLTACGSGAWPWPWPGVPQQRRRLALRAVRRRHLDWPCVQCGGGPMALVLPQLDARARRHADRLRHALVEAREAAQPMG >Sspon.05G0003800-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:11341788:11343200:-1 gene:Sspon.05G0003800-1A transcript:Sspon.05G0003800-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDREIAVAGNHHHLIDIAPDASMGAATGATDGGAERVGTGGGGGGNEKKWLRKLTSATVNTAVLRDLISRTPMLWYLGERTGTIFRPCARREPVEALHAVRAVAIGPFHRADSGLPFPDDAKLPFMRYLQDQCGLDVDGYVAALCLERDRLRHEFGADDDSDGAAVEILDNEEKLLEMLLLDSCFVLVVSLMLSKTGTGDAADSVTRAASINKDYFILHMAVAQHADDIKLDMLVLENQVPFGAVKLLAASCPGLKLGSSVEELVLGCFDNMCPKRARRAGDSMAGGGEFHHVLHLFHWSRVPKDKYFVLSTPRTQLKIKKESERLFPCSMELRRSAVWFREASSSCGDLDMWFWRHPASPVAVMSVPCFHVHEYSAAVLHNLLAFEKHFYWAHGACVTAHVARMEGLVRCPQDAGMLRKRGVLASTRRTDTELVALFRELGEETVGARLPDDYGEMLDAVASHRGRRVSG >Sspon.08G0024680-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:1172231:1172491:1 gene:Sspon.08G0024680-1C transcript:Sspon.08G0024680-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMPMVMTTRALAVVAMLATAFAVSALAEQCGSQAGGALCPNCLCCSKWGWCGTTADYCGDGCQSQCSGSCGGTRPTPPLPLPLPP >Sspon.05G0005270-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:11344999:11348121:1 gene:Sspon.05G0005270-1P transcript:Sspon.05G0005270-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARAADENRRPAAGKPAPGVREMGSRRALTDIKNLVGAAPYPCAVAKKPTLQKSRRDEKKTALPSSRPMTRLGRVLAVLAPLTFLAGFDLIWVLLWLFRKFAASLASNQGQPECKPILTEYQPILTDPEPGVDQQKESIGDGTVDIDVELYEPVDDSDSDIDMVFALLICALAQKGMGFGHLCHGSGLLLCLAMQGETENKEMNQDESLMDIDSADSGNPLAATEYVEELYKFYRENEVAKSCVRPDYMSSQQDINSKMRAILIDWLIEVHYKFNLMDETLFLTVNIIDRFLEKEVVRRKKLQLVGVTAMLLACKYEEVSVPVVEDLVLISDRAYTKGQILEMEKLILNTLQFNMSVPTPYVFMKRFLKAADADKQLELVSFFMLELCLVEYQMLNYQPSHLAAAAVYTAQCAINRCPHWTKVCESHSRYTSDQLLECSRMMVDFHQKAGTGKLTGVHRKYSTYKFGCAAKTLPAQFLLESGGTPPGLQVQSS >Sspon.02G0006280-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:18808765:18811468:1 gene:Sspon.02G0006280-1A transcript:Sspon.02G0006280-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGALPCLFLVLVSSSLSLALVPRAAAYTEYSCNGTTGNFTAGSAFATNLARLVAALPGNASSSASLFASAAVGAAPADTAYGLALCRGDVTDPRVCSACLADAFARLRRLCGGDRDATFYADLCTARYSGGDFLARPDDNSPVINALDVNGSTYYGWDARNATSRTLFLSLVGTLFSEMAMYAAYNSSAARMFASAAMYVNPQLPTVYGFVQCTPDLSRAQCWDCFQVLQDQNRRWYDGREGGRILGVRCSFRYEAYHFFNGMPEVRIGLKSDPSSPAPESQGKHARIFPPFDHLEHRKSMVCYYCSFFLCKRCRKQSQGGLNCRSRRINHEKKRKLQLEAQSRNSSATEDALKLWRIEESSSEFTLYDFPELAAATGGFSDENLLGRGGFGPGKLADGAEVAVKRLAAHSGQGLEEFKNEIQLIAKLQHTNLVRLVGCCVQEEEKLLAYEYMPNRSLNFFIFDQQRGPLLDWEKRRRIIEGVAQGLLYLHKHSRVRIIHRDLKASNILLDKDLNPKISDFGMARIFGSNMTEANTNRVVGTYGYMAPEYASEGIFSVKSDVYSFGVLLLEIVSGKRNSGHHHHYGDFVNLLGYAWQLWREGRVFELIDPTLGECGDAVTIVRCVKVALLCVQDSAADRPTMADVTAMLQATGPLPDPKRPPHFSLRVATAASSSSDDDGGSGLRTRTQCTTSCSTNDLTITSIHEGR >Sspon.03G0018940-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:78617434:78620413:1 gene:Sspon.03G0018940-1P transcript:Sspon.03G0018940-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSHKFLLAVLLLLLLNYTSYAATSWEDDDFLKTCSSHRCHKHGPEIRFPFRLPSHPPSCGAPGMQLSCSGHDTILDHPVLGSCKVTAIYYRHRVMNIILPVDSSSHCPLQKLVTRNQSTDVYTPVTHQYPDSVLVGCSREGTNQDGIVGPSSCLSLSNNASQLWYLVLPDTDMSTLPMGCEVVAKGIPIPYTYDKNGPKEQTFFGRSLFSGKANRAINFGEVAFNWHLNNITSACQRCEQEGKHCGFSSNRGQVFCLHHGIVFQPRSHDVCGSHVILIAATTSVATFIALVATALYLSLKKRYNEAIHLKVEMFSKHTAHQNPQVKKIARRFKEKVGQGGFGSVYKGQLPNGVPVAVKMLENSTGEGEDFINEVATIGQIHHANIVRLLGFCSEGTRRALIYEFMPNESLGDIYSCTILILKSS >Sspon.06G0014720-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:65887588:65890320:-1 gene:Sspon.06G0014720-3D transcript:Sspon.06G0014720-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDATTAAAVRRLLLPLRALPPAPRGAAAVSARPRQRSGPRRRVRVAPALASLDRAAVLLDAAAAVAAGGTGYSQASYYTSLGLFVLSVPGLWSLIKRSVKSKIVQKTFVKEEGESMAPKQVAGEILSFFTRNNFTVSDRGEVITFEGTMIPSRGQAALLTFCTCISLGSVGLVLSIAVPEGGNNWFWLMTLSPLAYVSATQLPAIQNESSRTNVVTDPS >Sspon.06G0025620-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:79446429:79452102:-1 gene:Sspon.06G0025620-2C transcript:Sspon.06G0025620-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGGEGKPNTAAAAGGGAKGGGRKRKFLPHGKPVRKGAYPLRPGVQGFFITCDGGRERQATREALSLLDSVSCSPVFRFSSDCSLGVRQISRACQFYENLVDGKGSDEKPKNIPDKPQNKKIKFEDSDSSDDEDEDHSGEEADNGNGNDVEKGETAPSEKQQEVIDTTDTVSKDNEEQAVTVDEPREKKQRVEDPPVSEQSVQKETADEPKESTDKPKESSEKNIDDLIDEDLKEIGDRKKRLFASLESGCNGCIFIQMHKRAGDPGPVEIVQNMMSSAASSRKHMSRFRFGAIAAHLPLSSLILIFKDAFAVLYEARSNTGIDRMKIINAVAKSVPQPHKVDLSNPDKTIVVQIAKTICMIGVVERYKELSKFNLRQLTSSESEK >Sspon.01G0022930-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:85793675:85796837:1 gene:Sspon.01G0022930-2B transcript:Sspon.01G0022930-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIRILYIVAFVFLALLLKLIRGYSTSSRTLRLPPGPWQLPVIGTLHHLLLSRFSDLPHRALHEMSETYGPLMMLRFGSVPTLVVSSAEAAREVMRTHDLTFCDRNLSVTFDVFSCGGNDIAFSPYNAQWRELRKLCMLELFSQRRVLTFRSIREEEVANLLRSISRESADGQQPVNLSEGICRMINDVAARTVVGDRCKYRDEYMHELDEMVRLFGGFNLADLYPSSQLVRRFSAAARDARRCQRNMYRIIQSIIHEREAMPTPERDEDLLGVLLRLQREGGLQFSLTDEIVSTVIWDIFSAGSESSSTVLVWAMSELVKNPQVMHKAQSEVRETFKGQDKINECDLIKLRYLQLVIKETLRLHAPAPLLLPRECRESCQVRGYDVPKGTKVFVNVWAIARDRKLWHDAEEFKPERFESSNIDFRGNDYEFTPFGAGRRICPGITLGLANLELALASLLYHFDWALPNGTKLEELDMAEAFGITLRKKSMLWVKAKPYNNFIPQ >Sspon.06G0010430-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:57154476:57157612:-1 gene:Sspon.06G0010430-1A transcript:Sspon.06G0010430-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSYPPASPRGSGSVPAQRAELYSKMARDLDERGAAFLKGGETSQSLTLSDLFDTAGDGAVVPRLKAADPPVRANVLYMDPKFAAVISSIEAEVEAVKRVAENFCPLQIVLDRVVLTSTGVLLGLWQVESGTDPAEIRSRLREALPRAPQKQLYDPVLLHTSFARILGHPKLPDEQSASSFDHVKFFHELVVQVNEKIRGFQAMISELWYVEEYDVLALALNGKMKVRRLHLDCNHQGN >Sspon.04G0003820-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:12155099:12158666:1 gene:Sspon.04G0003820-3C transcript:Sspon.04G0003820-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTTARREPLKQRVNRCLLKLSDRDTEAMAAAELEAIARALEPDELPAFVSAVSDARPTDKTPLRRHALRALALVAASHPRDAVAPLVPRILAAALRRVRDQDSSVRAALVDTARSAAAASTSASAALRPLTDALLHEQDQCAQLAAALATAAAVEASAVTADLASYLHKLQPRLLKLLRSNAFKAKPALITLIGASAAMAGDAEVTASIPCLRDAIASDDWAARKAAAEALAALALEHTDLLTTYKSSCVTFFEARRFDKVKIVRESMKRMIEAWKEIPGAEEDECSFAPPPASQSQRRSSLTGSASDGRYPAASLGSNSVPSATRRSRLPVSRSSPPDVSPSVTKTNSPSSIRSKKLSPPSYRKVRQANNCDYKVEIAVAPDATPIKVVTEEKLLKGGNVRDRLEARRTLFQASEDRSVKLAGHKAGSRVVLYEGGGNLEEISEVEGGSERFAVHKDESLSEIRTQLLQIENQQSSLLDLLQKFMGKSENGMNSLETRVHGLEMALDEISRDLAFSSGRMSNREPDVKTCCILSPKFWRRHNGGRSSSRFSASDPANNSDESRTSHKWERQKFGLQGGFVTNPLAEPNISSVGKTMVTQEGRKKDTTLQKSSTMLCCSQELHSHLEVDRQGGFLIRQPRLTPTQSRKAPWSPGLLDYAGFGMRTTTVFVIS >Sspon.04G0018420-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4C:71706277:71707105:-1 gene:Sspon.04G0018420-2C transcript:Sspon.04G0018420-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGEWSVGLCDCFGDLHTCCLTFWCPCVTFGRTAEIVDRGSTCCQWLYGCTKRSSMRMQYNLQESPCLDCCVHFWCGPCALCQEYKELEKRGFNMAKGWEGSNKVVGCFQGMTTPPRKQSMCF >Sspon.07G0022210-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7B:6899991:6903034:1 gene:Sspon.07G0022210-1B transcript:Sspon.07G0022210-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVSFSSTQALLNALGAACSVHVVDLDVGFSGRWPPTSSTRVAGAATKASNGERPALVDGRPSVGGLRKSEERIAWNGGALGSPSGYLTGPRWRCNSTRRRPCGSDRGMSGGGCAAPRRARPCRHGSGALAAAWLGLAPRLAEPCMVLSLEPCMVLSATAPGLPLLIGVDNNVVLKLPNSTSSDDRKTRVRGLAYIALLDESGPSDQTDLHPTVFLDPQRRWSIIRETRPDWSRGQGGRPRAQGGRPVSGPLRLPFVPVASGIF >Sspon.08G0002300-3D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8D:5447304:5450055:1 gene:Sspon.08G0002300-3D transcript:Sspon.08G0002300-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADALFVVLRKVALSLGEEALKKIAEEVVEAAPLMTDFEHSMKQIEGELSVLQGFINQVSVQRVSDKAFDAWLDQVRDVAHEVEDIIDEYAYLTAQAVDTSSFFKRKFHQIKNIAAWQKFPSQISQVEARIQRLSEMRNRYGFSVSELDRNNNLQLSSQFCLSDSAYLIDNSEIVGNADEIGKLTQCLLEEKQDRSLIAILGMGGLGKTAIASTVYKNQKIITSFDCHAWVIVSQTYQVEELLREIINQLIIGERASMPSGFMTMSRMRLVEVIQSYLRDKKYFIVLDDVWDKDAWLFLNYAFVRNRCGSKVLITTRRKDVSSLAVDNYVIELKTLKHAESWELFCKKAFHASEDYICPENIRYWANKIVAKCQGLPLAIITIGSILSYRDLKEQEWAFFFNQLSWQLANNPELDWICSVLKLSLNDLPSYLRSCFLYCSLYPEDYKIRRKLICKLWIAEGLVEERGDGTTMEEVAECYLMELTERSLLQVTERNASGRARTFLMHDLVREVTSIIAKSEKFGIAYGGAGKLEGGLLPPIFSEKLIQLKLDWSGLKKDPISSFSGMLNLVDLWLYGAYSGEQLTFCTGWFPKLKSLQLADMEYLNFIEIENGTMMNLHRMELAGLRNLKVVPWGIKYIRTLHKMFLTDMSNEFIERLQGSDNHIVQHIPNVHNFDSSDSQA >Sspon.03G0043000-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:74893937:74898468:-1 gene:Sspon.03G0043000-1C transcript:Sspon.03G0043000-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding IMKEHADKALQYFISVEPSKALSLLQRWIASYQTLFTKVCSGLESLECLPRVGDPLPEQLLLLDDDGDTQPLGLIHGMFQVVAGHPDKQPQSAGGTSGYGNAGLGSLEAGIMGEFIHRAELVLQLSESEAEADSGILLPGTITGTLFHPTFQGYMKGVPRMKSEAPKRPLLDSDKAMVLVHGMEGPLHHKRELHLALISTFGLLSNNHFEEARRGVEDNTLPTMPTARLLL >Sspon.05G0021990-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:4804087:4807837:1 gene:Sspon.05G0021990-3D transcript:Sspon.05G0021990-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQAVVPAMQCQVGVKAAAARARPAEAGGRLWGVRGRDGASGFKVMAVSTGVVPRLEQLLNMDTTPYTDKIIAEYICPQAIFKDPFRGGNNILVICDTYTPQGEPLPTNKRHRAAQIFSDPKVVEQVPWFGIEQEYTLLQKDVNWPLGWPVGGYPGPQGPYYCAVGADKSFGRDISDAHYKACLYAGINVSGTNGEVMPGQWEYQVGPSVGIEAGDHIWISRYILERITEQAGVVLTLDPKPIQGDWNGAGCHTNYSTKTMREDGGFEEIKRAILNLSLRHDLHISAYGEGNERRLTGKHETASIDTFSWGVANRGCSVRVGRDTEAKGKGYLEDRRPASNMDPYIVTGLLAETTILWQPTLEAEVLAAKKLALKV >Sspon.07G0004920-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:11117607:11118390:-1 gene:Sspon.07G0004920-3D transcript:Sspon.07G0004920-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MCACESDGVASSLIDPFAEANAEDSGAGAGTKDYVHVRIQQRNGRKSLTTVQGLKKEFSYNKILKDLKKEFCCNGTVVQDPELGQVIQLQGDQRKNVATFLVQAGIAKKENIKIHGF >Sspon.08G0008280-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:30406205:30420039:1 gene:Sspon.08G0008280-1A transcript:Sspon.08G0008280-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding FGKAVDPEFPGHILLEQFQAQLVSAVRMAISTASGPLLLEAGLELATRVMTSSIIGGDRVALSRLFSLITRPLSDIEGLFYPSFADWVVCKIKVRLLTAHAAVKCNTYQFLRMKENAPDEYQQLVPSLVNSSTLLGKYWIRALKDYVSISFGLHSKINYKPFLDGIQSLLVSSKVQRYLDEVWLLIFQATALDAAPVEFDENKPENLLEQTFISGHCMVKLDRSEFQFLWGLSILVLFHSCQSVKNNSLKINLDSRQDKKFGEFVVHRLDNEKPCDQVLSVLLSLTTEVFFSNNFLSVDICQELLQALTYADCSSAPIIHLFTQIIRFCPDNFFEVEAFVSSALELLSRYLGVILQCRDGSSQNHSKRAESDGECTSPKVVFGAMVGLVGYFYMECDKKISLLENKISDSYKLLTKILLFCLGEATALAKLVPEIVYQSENTTLKECSNKESVSVIDECLKLLFLFHTLAQSKRYQQDATTLLLEALLMIFNLSSDTVSQIPSVAIQIKDIMLSAPPERRLQLQDMVRASVSQGQITVPAAMSAHSEQNIQDSSSKNPGSTAGGSDCVATHGKNENEVDDDWDDDWDAFQSLPASANDGVDSGEISLTTRYNEQTPQESSSHGSSSVDITAGAMEDITCVDKELEEPSDLQFSSTEQQAKHELPGSSHEDCDELERHPSVDCKEQLAHNETADELPQVHEDIDQVIEDSAEIHGIEVDVHDDIVEDESPINSNNLSDITEDESKGWDNTSRVDGKFVKDDSREELS >Sspon.01G0020050-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:77873416:77881061:1 gene:Sspon.01G0020050-2C transcript:Sspon.01G0020050-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGPSSPRRENDGEGSGRRSPAPATEKERPRSFDEKTRTACWRKAAVLAGRHPERWRLDAVGNVVCRRFWGCHGCLCYEYDHIILQTRVNRSKSDKAWVEQAEMRGFSCDIKFTDQELDIIEMAVYGDVIRPGKQCRCRTVAEMLGQVKSMNRMAACELPDKGAS >Sspon.05G0007460-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:17307778:17310174:1 gene:Sspon.05G0007460-2B transcript:Sspon.05G0007460-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLGILGIWLNKVSKLTLAWVANRDSPLADGASSKLKVLDDGNLPGEHHDNNTVTVLQNNGEPLHGIVSNKNSLDLAPGIYSGQPLSNLTNGHGQVLLLWNSFATYWTSGQWNGQYFNNMPQMSAHALFTYDVVSNDQEEYFTYRLKNDTLTTRYVLDVSGQEKDMIWSDISEDWIAFNGHPGAQCDVYAFCGSFTICRVDMIPFCNCTKGLSIRSPVDWEHGDQTEGCHRNIPLKCGGNQSVGGVKDSHFYCLVFICNCFHDYEKERQRLAIAPRNARGVIVTFRHDEFKHATKNFSERLGGGNCGSVFKGVLPNRVVIAVKRLDAFKDNNTSFSWSTRYKIALGVARGLAYLHEECQEYIIHCDIKSQNILFDASFIPKILDFGMAKQALARDFSRALTTTRETIGYLAPEWIGGMPISSKVDVYSYGITSTTYFPVQAITKLLEGNTQCLVDHHIQNSVNLEEVERGCRVAC >Sspon.05G0021980-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:1618975:1621594:1 gene:Sspon.05G0021980-1B transcript:Sspon.05G0021980-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRNGKRRKRKKRGDERAAAGALSSSFDRHVFPVLLAAARTTRQTSSSCSPAALAARLLRRVLSRSPQTLSPLPSSLVALLPLLLSSSCSSVAALSCEVLGAAALRSMETGEMLASDSGVASGLARTLGSRSQQAIEAACNSILDLSASSVGRERLAGSPVLPRTLLSIGLASPAFPEPDVVRKSIFGQTVSDFETFVLTYWEKSPNLCRRKQSSQKDSPVFAALHSAFNLGTAPDPIIESLIKGLVSCPAITSDELDINSFLREVHDSLGDSVKYRQDIRVMRTQDPNDQTSRGCVTEEHFFDDGTVFMDEDAFIEKCKHAFKSGYSIALRGMEFRYEKVAAIASALADLFGQPSVGANIYFSPARSQGLARHYDDHCVLVWQLLGSKKWMIWPNPKPLLPRLYEPFDPLDGTLDENSERVEVLLEGDMMYIPRGYVHEARTDVGGSETNAYADYSLHLTLAIEVEPPFEWEGFAHIALHCWTEKQQLRDSQLVEFEGIVETSLSAIALHVAIRLLSDSDPIFRKACMVAAKFGPSSSCTTTHSEGFSKQPEVNFR >Sspon.02G0028130-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:119221595:119222621:-1 gene:Sspon.02G0028130-3C transcript:Sspon.02G0028130-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAGLGSRPPEPTPTPRPPISSPHRPPRIAGGISGDGAATRCGCRRPPPPPAPAPPPRRRGSPPIAYGSRHAPLRLAGRAAASAPARLRLPPPRFSLSPVPKNLSASSSSHVPVRSLFTGIVEEVGRVRRLGPPLAPSSGGGGEAPGLDLEVETRDLLAGTQLGDSVAVDGTCLTVAAIDPAASTLTFGVAPETLRRTSLGGRAPGDGVNLERALTPSSRMGGHFVQGHVDGTGEIAAFRPDGDSLWVTVRAPPEILRLLVPKGFVAVDGTSLTVVSVDDEGGWFDFMLVRYTQDNIVLPTKKVGDKVNLEADILGKYVEKLLAGRVEAMAK >Sspon.05G0034040-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:47970167:47972227:1 gene:Sspon.05G0034040-1C transcript:Sspon.05G0034040-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARALAAVWPLVKGCITGSVLGITVADWVASVVTMDGASMHPTFDPQQAERALVEKRCLYRYDFSRGDVVVFRSPRDHRELMVKRLIALPGDWIQIPEKQEIRQIPQGHCWVEGDNAALSFDSRSYGPVPMGLLRGRVTHIIWPPQRIGRVDRKMPEGRIMPL >Sspon.07G0030650-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7C:9852107:9852420:-1 gene:Sspon.07G0030650-1C transcript:Sspon.07G0030650-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ARETIDHILAVCPYTRDLWYNILNLIGNRSPQPLKPRSFGGGDCAPCSTASSDWTWTLCSHWSLSKYGRSATPGACFRHSLASMNVLLHIIKAEADPLDRGWS >Sspon.04G0012760-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:44488083:44489876:-1 gene:Sspon.04G0012760-1A transcript:Sspon.04G0012760-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DAGTYSLSSEKSHTAAAAPRPPLQEAGSRPYMPSLSTGSRNPSAKCYVDRFIPDRSAMDMDVAQYLLTEPRKDKENAAAASPSKETYRRLLAEKLLNNRTRILTFRNKPPEPENVSATVAASSHLAKPAKQRRHIPQVAERTLDAPELVDDYYLNLLDWGSNNVLSIALGDTVYLWDASTGSTSELVTIDEDSGPITSVNWAPDGQHIAVGLNSSDVQLWDTSSNRLVRTLRGVHEARVGSLAWNNSILTTGGMDGKIVNNDVRIRNHVVQTYEGHSQEVCGLKWSGSGQQLASGGNDNLLHIWDVSMASSMPSAGRNQWLHRLEDHMAAVKALAWCPFQSNLLATGGGGSDRCIKFWNTHTGACLNSVDTGSQVCALLWNKNERELLSSHGFTQNQLTLWKHPSMVKMAELTGHTSRVLFMAQVPDGCTVASAAADETLRFWNVFGAPEAPKPV >Sspon.05G0011420-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:24017958:24026385:1 gene:Sspon.05G0011420-2P transcript:Sspon.05G0011420-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MYTYKLQNNYEEEECVEAPSYIHIDSNNFSYRKHKRQTEEDIAVCECQYDILDPESPCGDRCLNLLTNTECTPGYCRCGVYCKNQRFQKCQYARTRLVRTEGRGWGLVADENIMAGQFVIEYCGEVISWKEAKRRAQAYETQGLKDAYIIYLNADESIDATRKGNLARFINHSCQPNCETRKWNVLGEVRVGIFAKQNIPFGTELSYDYNFEWYGGVMVRCLCGAASCSGFLGAKSRGFQEATYLWEDDDDRFSVENIPLYDSADDEPTSINKDILLSSHGMVTEYSNISTVQSTENPGNAGSNEFAPIIVDKVTASSNGLAPMNVDPSTASSNEFTAMTIEPLNAMPMVAHLAENGSTEYGVQDTHDASQNSVPKAANHANQTGSQNNSNHSALVPVKPAPKRRGRKPKRVLHKQLDIPDICDRLTSSVACEEILYCEEVKNQAVSEIDALYDEIRPAVEEHERDSQDSVSTSLAEKWIEASCCKYKAEFDLYAAIIKNIASTPLRSKDDVAPREQNGLKYLENGS >Sspon.06G0003440-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:9055851:9057942:-1 gene:Sspon.06G0003440-2C transcript:Sspon.06G0003440-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding KQPKQIHEIKDFLLTARRKDARSVKIKRSKDVVKFKVRCSKYLYTLCVFDAEKANKLKQSLPPGLSVQEV >Sspon.06G0028700-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:10352410:10356954:-1 gene:Sspon.06G0028700-1C transcript:Sspon.06G0028700-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinetochore protein NDC80 homolog [Source:Projected from Arabidopsis thaliana (AT3G54630) UniProtKB/Swiss-Prot;Acc:Q9M1G5] MRRGGGRRLPKPSLAPSTAAEATPALDASVIRNLDSAFSRRDSDAASLCSSRPASTAGAGVGAAPNFSDRPTQVAALRVVNGFLAPAVTLRGPLPAARDIQAALRLLVDRLHLPRNDATFEDDLIQDLRLLGCPYKVTRSALKAPGTPHSWPVVLSALHWLTTLCYAQGDDLDAPGGPFNDLFLYTTQGYSHFLLGDDDAVVVLDEEYICKARMTGEASVATVHALEKDAEELETEVNKLISGPSRRGALESEKEAFIADILKFEAVVDAWKTKINEREHVLGNLEKELEAKVLDTQHAAAEVQDLLKQVDAQPVDVRGMDRMRREMQAIADDIANTEKGKAALEDKVWEVEAKLLTKLEELETLAEQCNQALKKLKPTVLFQYMINSKGSSPAEMLGTGYKTVLKPALVAHAEENKRICLSNLENLMIYGSSSKEMLSLQAKNDEMVARLNSLDREIINDDSRFTSEARQMKDELEKKKNSLISLEKEADEFFKISEKRLQDATLKAEEDTEAVAKDLLELLDSMAEYKEFMGTTIAQRRKELYETADYIAGLFAGTS >Sspon.03G0000170-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:10389921:10392107:-1 gene:Sspon.03G0000170-2C transcript:Sspon.03G0000170-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVTTATAAASSSLLHRRSPAHCRRATLRAAAGHCRHSISRLACRAAEVSGAEPSAPAAEGAGGSGGASWVPVVPLAALPRGERRVIVQDGEEILLLWYKDQVFAIENRSPAEGAYTEGLLNAKLTQDGCIVCPSTDSTFDLRTGEIKEWYPKNPVLRALTPALRKLFTYRVRTDEANIYISISGSDSVGSAEIIFSGKAQPGVTASDVNVEEVRMIVDEDVGGFGFTSENELINGKAAIIGFLLLIDFELLTGKGLLKGTGFLDFIYAVSGAFN >Sspon.05G0002760-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:8219545:8220940:1 gene:Sspon.05G0002760-1A transcript:Sspon.05G0002760-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRRNRRRRRRRLRANLGSGHSESSSPQSSGSSSDRAAQCKTPLPNRQQQVTEKKPDLPRITRDARAVRPFLLGLIRGYYIDAISRLPAAQLRTTLARGLLVGGHCYGPLHPVHNIILNSVWYAAAFPFRADPIDVDVVSTEGISRLSHRSLVGLVGYLCHQCPDLSHDDALWHLALSLAALHGASASARGAVPIGRTGLEAVPFQVAAQAARHPKPSALAHFVTSVLPPVERDALSLLGGRRRLSSHDILRLSAMLQPLPLPDAPLPQPCSRQLSVRIGRIIDEKRRIVRKVYQTLLDIADAALRKFARQTGARYCLHTTYGESTVKVEDGFFDRYFHINFMAWPKRKRSQSQTPVHFFAEAHNPPNRNCSEEDITFCCMLVHTQPSPSHVGLASGLGVKLHILYTS >Sspon.05G0017300-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:72276770:72278369:-1 gene:Sspon.05G0017300-1A transcript:Sspon.05G0017300-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GMVVFLPRTMRLQVLTSPDWFSLPLRRRLIASCPCSASARHLFDRMPPGKKNRNGPVVSSSNGIDALPDGILEHILGFVPAKDAVKTCVLARRWRNLWKSATALSVSCVGNEDPALLKERQKSVDHLLRLRGFMPLEKFEVSFGGMYEDDDTFCLIHWIQHAVKCRIQMLILDNVYRDGFELGNLHLVSQHLTKLELIGITLHNSFCDFSSCPVLEHLEVDNCYWWTVEKISSESLKHLSIKCCEVTGEFHILISTPSLVSLRLDCHLSMAPVLVSMPLLKEAFVRVTHWNAYTGEWGDYSADCDFEDCYSCKGVVGDNDNKYVLLEGLSNAENMALIPESIQFIFDMDLKQCPTFSKLKTLLLDDRWCVAPDFPALTCILKHSPVLEKLTLHLFSQGPKHKVEMLGRYHPIDSSAAVSECLKVVEVKCQVVDVKVQEVLKFLCTFN >Sspon.01G0015090-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:43755951:43760694:-1 gene:Sspon.01G0015090-1P transcript:Sspon.01G0015090-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DGREIRVGDCALFRAVDVPPFIGLIRWIEKKEEGYPKLRVSWLYRPTDVKLNKGIQLNAAPNEIFYSFHQDEASAVSLLHPCKVAFLRKGVELPAGISSFVCWRVYDIDNKCLWWLTDQDYINERQEEVNRLLYRTRLEMRAALQSGGRSPKRLNGPSASQQLKTASDGTQNGGLSKGKKRDRSEQGVDPAKRDRDRLLKVDDSEPGSFNLDDIKSEIAKITEKGGLPNAEAVEKLVHLMQLDRTEQKIDLGGRVILADVIAATESPDCLGRFVQSRGLPVLDSWLQEAHKGKSGDGSSPKEADKPIDELLLALLRALAKLPINLSALQSCSIGKSVNHLRSHKNLEIQKKAKCLVENWKKRVDAEMKSNDVKPLVSGQSVSWSGKTGFQEISNAGTKRGGSSENSPKNPLPTLSSSKVPTDKPGGTDAAAKLNPGVSASSNLQHVQPTNVTTNLKDQPCKSTGGAGCPELPTVKEEKSSSSSQSPNNSQSISSEPSKDARSSTAASGGASKTSGSSSRSHRRANNGIVSGNLKEASVGRSVSLDRSLLQDKSSQTGTASEKGVDMSSDHGNNHRLIVRFPNPGRSPARSASAGSFDDPSVTGGRASSPVVADRHDQTERRVKVKTENSRPHLASDANAESWHSNDIKGATGSEEGDKSPCAILDDDNSRTPDDSVKDAHASRAACSSYVNEKGVSETKVGTSFSPMNALIEIKYSEASHSLQAGDDAAMNLLASVAGEISKSELVSPASSPRSSSVKKLVRESDNTGKVKVESDMGPSHPGQADAKKVAMGKEVKNDACLVAKEEQRQTTPSPELADSKAVVSSAKIEIHEGRANKCNSQHASIDSKGEHDQNFNLCHSANTKVVNMSNMYSSAGENRDACTAHGKVEDGSTDKDGAMESALGSQCSLVSSRNSRLVLAGESSLSAADKQAQGLLKSTNNKQLLSVSDNPGAFDRRDSMAGKLDLMAAEVEKADAVGSSSIVQNEAEKKEHTFSSLTDVPKLVVAAASPVGVANVNKEMKESKDSSSESNSHVKSEGVNSQQSGQSAKQSSKKSSDGVCGKEDGKEDHVLSDEGSSLAAHTKSNATAKLDFDLNEGIPADDGHQSEPTISPVVCSSAIHITGLLPFTSPITSGLQPAPITVAAPAKGPFVPPENLLRAKPEIGWKGSAATSAFRPAEPRKILEMPAATRDIPVSQAAGKQSRPTLGFDLNVADDQALEEDIPQSSAQTTCSESGNTRSRDGSSRSAGIELDLNRADEVADNGQFVPNALHRVEVPLLSTRSLPGVFSNAGKNSSRDFDLNSGPGLDDVGTEPAPKSLPSKNTSSIQFLQQVPVRMNSAAMSNISPWLTTASPGAPVAIQSFLPSREQMYPIEAVPGAQRIIAPTADGGQFGGDPSRPPVISTSAMVFHPPAYQYAGFPFPPSVHLQTPAFSIGPATFNNSASAGSPYFLSPSLVGPAGVLPSQHSRQYAINLAEGSSSSGRDSNRKWESQGLDLNSGPGSIDLEGKDERVPLPVRQNLIPPPHGFAEDQGRIYQMPVVGTKRKEPDGSWDSE >Sspon.01G0036860-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1C:14566950:14570293:1 gene:Sspon.01G0036860-2C transcript:Sspon.01G0036860-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MANGTPAMCLVLAFVAVAALCCAPGAAELPRLEHPPNNNDGSLKLLVVGDWGRKGTHNQSRVAKQMGRVGEKLGIDFVISTGDNFYKNGLKGMHDQAFEESFTDIYTAQSLQKPWYLELVDFFFVDTTPFQLEYWTHPGKHLYDWRGVAPRGKYIANLLKDLGEAMKKSTARWKIVVGHHTMRSVSEHGDTEELLKLLLPVLKVTFQKLPQLVSTFRKSAPVFHERRRFQVMERNLPSKQGQAPVLLRRARVHVPPAEPRPSSFHLLRRFREHTVPVELKQNQTSQALHLSR >Sspon.04G0015010-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:59534708:59537313:1 gene:Sspon.04G0015010-1P transcript:Sspon.04G0015010-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFASPFSVTSSHSSEHEPVARDSSIIVAVDRDKNSQQAAKWAVDKLLTRGSMLQLVHVRVEANKDEGDAEITQLFISYRGYCARKGMHLKELILDGTDISKAIIDYASSNAITDIVVGASTKNTFIRRFRNPDVPTCLMKMAPDYCTVHVIHKAKAIQVKAAKAPAPFTTLPPKQHSQPNIESDASRTSRGDWKKTSHTSSPLASSRNSVDRLSAYAKAPSRDRPLTGARTAPQKDFDDYIDFIAPPRPSVTRSSFSDDIDFGMSMELPSIDFGESLELSSAMSMESLSCSGDVEAEMRRLRLELKQTMEMYNSACKEAVDAKQKAAQMHQMKVEESKKYQELRNAEEEALALVEMEKAKCRAALEAAEAAQKIAELEAQKRLRAEWKAKREAEDRKKATDALNKNDIRYRRYSIDDIEAATHKFDKALKIGEGGYGPVYKAVLDHTNVAIKILRPDASQGRKQFQQE >Sspon.03G0021960-4D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3D:53217046:53217516:1 gene:Sspon.03G0021960-4D transcript:Sspon.03G0021960-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWGWTALAAAAVAYVAVKLMEVLWWRPRRVERHFARQGIRGPRYRFFVGCVREMVALMVAASAKPMPRPYRSHNVLPRVLAFYHHWKKIYGTPTPLGTCSCSRLSHRLVQCFFLFVLTRPTSPLLPARSRLCFSGLSQWRLLLLPPLFGYGGGTE >Sspon.02G0005290-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:18523031:18526686:1 gene:Sspon.02G0005290-3C transcript:Sspon.02G0005290-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKNRNKKNKAKKSGGVTAMDTAEGGPATSTTADAPQPMDTSEGKQASLATAALGSINKKIKKGVHMKRSQNARKMKAIARAVSKNEKSEEKIQKAKSKKTRIQSAKSLYD >Sspon.08G0010400-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:45756961:45758515:1 gene:Sspon.08G0010400-1A transcript:Sspon.08G0010400-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MIILLFVLLALVLFDLHCLPSAPGTRLPPGPWRLPIIGTLHHLMTLENPRLVHRALAVLARRWDAPVMYIRLGELHAVVVSSADAAREVIRENDTNFATRTMTATVRATMGDKVGLVLSPHGAMWRRLRRICTTELLSARRVRSFCSIREDEAAHLALAIAMDARSAIAPGERERQLINVSKLVSRFVSDTVLRAIMGERFRWRDEFMATLAKAMTRGAEFSAGDLFPSSKLLRAINGAVSESKALNAKLFELVDRAIDQRRGRKAGAAAEDGANDALLDVLLRLQEHDDDLDCPLTMATIKAVILLRDTSLQDMFGTGTSTTSTTIQWAMLELMKNPKLMRKAQQEIRHVLGCKSRVTEDDLTNLKYLKLVIKETLRLHPGTCVLFPKASQESCKILGYDVPKGMLMIMNVWAINRDPKYWDDAEVFKPERFEGTTVDFRGTDYQFLPFSGGRRMCPGIMLAHANIELALATLLYHFDWQLPPGVTPDE >Sspon.07G0013270-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:47968184:47969972:-1 gene:Sspon.07G0013270-1A transcript:Sspon.07G0013270-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAAGAPVVALGDDLLREVFIHLPASADLLRAAAACKPFLRAARSAPFLRRFRRRHPSCPRLLGCLLLYPNRRIGKYHFVPISSSSSPSSSSSAAAAADGGDFALSFLPGGGWLGQGAAAWKHLDCRNGRLLLENLGSHELAVADPISRRYVSLPAPPDGRAVGYGLFADDGDSSEFRVVCVSRDAASRELRALVLASGELSWADVAGIACQPNLAAGSRVMQANRSLYWRLEGGERMVAFSTASMELSVLDLPPALRDLRFDAFDRGEEDDANVLHLLTMTGYRLEVWAGTADGDGGMAWRQVEKSLRFHKALTAMIDPSLESYERINPSVQSYRDDVDVIGVAAGLVFFRKWTNIFSIDLETMKLKMLPKADCLGALIYPYTIEYEGKLQHKMFLQR >Sspon.02G0001100-4D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2D:2895344:2895856:1 gene:Sspon.02G0001100-4D transcript:Sspon.02G0001100-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RPPATTRDGLLAAARRRLLSVSTEAAGAGDPAVHSGDAPSDDYMDRPPRFSGAEEATGAGGRVGRHTVGKAAETPGHAEAAAERVPPFAPSGKKPPLAGSEHELADPATPGGSESAARKVREEDREYYRTHKPSPLAEVEFADTRKPITRATDGSATDRYADVPGLMVEDT >Sspon.02G0011540-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:3356640:3359748:1 gene:Sspon.02G0011540-2P transcript:Sspon.02G0011540-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVRIKAVVDKFVMELKEALDADIQDRIMKEREMQSYIAEREREVAEREAAWKAELSRREAEIARQEARLKIERENLEKEKSVLMGTASNQDNQDGALEITVSGEKYRCLRFSKAKK >Sspon.02G0054160-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:110316905:110320149:-1 gene:Sspon.02G0054160-1C transcript:Sspon.02G0054160-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRTSCGCATAAWEGTGPWTVSSGCRDSLKLCPVRGGVASVRETGVRGPASGSGATAAGETEGPSMASVSRQSLGGRGGPARGWEFRGGDLKFFLPRLRSSASSTRSVFPSPPFVSTLPSRTRTSLLPAPPQSPLNRNRCNCNPDSSPSSQLAASEALRARLLATLRPWLAADPAKLRVEPGLFARARAVVRGLQLDVAALNAAAGAGQSPSWPATFDRAAAAEVELAALPWAAPAIDAVVRGVDVALTLSRRRGSSGLRPDYKEWVSKEKKRVLASLDPQVWFDDVTIQVRYLDDSHVFVLRTTDLRFGPEPVFCSTLCRGLVGSLMSSRRKSKLSVECAEFEFLMKENDSVDCSASFSGVSASVRLDNLQLSAFGIHVPKACWEISPKFAPSLMVILDIASQKEHYAVRNGRALWKAAAQKVDNSVMRRRFSLSKAVSCVHMEVSVGVDGP >Sspon.01G0045570-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:85548418:85548897:-1 gene:Sspon.01G0045570-2C transcript:Sspon.01G0045570-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding TMDADETQPLEPSPPRAAVRSRPSSWSSSGSSGGVEYTSLRDVLAEAGPGSGGGSFGDAAGPAAIDFDASNINIRNQLLKHAASAYLQSAIVVPPRDRGCLSRLWRRMLHSGRCRVLLRPCPECCGGAGDPARLCAASVVAGSARRLVAFLSGCVARMWT >Sspon.01G0008500-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:23676551:23681872:-1 gene:Sspon.01G0008500-1A transcript:Sspon.01G0008500-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding HGFRGQSLKNTLKVHSNLHLFEMYRAKRAALSPKVKRRVGKYELGRTIGEGTFAKVRFARNTENHEPVAIKILDKEKVQKHRLVEQVGTAYLISHADTILNSMQIKREICTMKLVRHPNVVRLFEVENLLLDGNGNLKVSDFGLSALTEQVKVDGLLHTTCGTPNYVAPEVNRVLIANKVIEDGGYDGATADVWSCGVILFVLLAGYLPFEDDNIIALYKKVRITISEILEDPWFKKGYKPPVFNEKYQTSLDDVDAAFGDSEDQHVKEETEDQPTSMNAFELISLNQALNLENLFEAKEEYKRETRFTSQCPPKEIITKIEEAAKPLGFDVQKKKYKMRMENPKAGRKGNLNVATEVFQIAPSLHVVELKKAKGDTLEFQKFYRSLSTQLKDVVWKCDGEVDGNITAA >Sspon.02G0040120-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:67288489:67311668:-1 gene:Sspon.02G0040120-2C transcript:Sspon.02G0040120-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to Poly(ADP)-ribose polymerase (EC 2.4.2.30) [Source: Projected from Oryza sativa (Os07g0413700)] MAAPPRAWKAEYAKSGRASCKSCKSAIAKDQLRLGKMVQASQFDGFMPMWNHARCIFSKKNQIKSVDDVEGIDSLRWDDQEKIRNYVGSASVATSSTAAAPDKCTIEIAPSARTSCRRCSEKIAKGSVRLSAKLESQVSKGIPWYHANCFFEVSPSATVEKFSGWDTLSDEDKRTVLDLVKKDVGNNEQTKGSKRKKGENDMDSCKAARLDESTSEGTVRNRGQLVDPCGSNTSSADTQQKLKEQSDTLWKLKDELKSHVSAAELRDMLEANGQDTSGPERHLLDRCGQRTDQSSADGMLFGALGPCPVCDSGLYYYNGQYQCSGNVSEWSKCTYSTTEPVRVKKKWQVPDGTKNDYLMKWFKSQKVKKPERVLPPMSPEKSGSKATQRASLLSSKGLDKLRFSVVGQSKEVANEWIEKLKLAGANFYARVVKAAAIHASEEAVWGKKKDIDCLISCGELDNENAEVRKARRLKIPIVREDYIGECIKKNRMLPFDLYKLENTLESSKGGTVTVKVKGRSAVHESSGLQDTAHILEDGKSIYNTTLNMSDLALGVNSYYILQVIEEDDGSECYVFRKWGRVGSEKIGGQKLEEMSKTDAIKEFKRLFLEKTGNPWEAWERKTNFRKQPGRFYPLDIDYGVNKQAPKQKNISERKSSLAPQLLELMKMLFNVETYRAAMMEFEINMSEMPLGKLSKENIQKGFEALTEIQNLLKDTANQALAVRESLIVAASNRFFTLIPSIHPHIIRDEDNLMIKAKMLEALQDIEIASKLVGFDSDNDESLDDKYMKLHCNITPLARDSEDYKLVEQYLLNTHAPTHKDWSLELEEVFSLDRDGELNKYSRYKNNLHNKMLLWHGSRLTNFVGILSQGLRIAPPEAPVSGYMVRSADGMLFGALGPCPVCDSGLYYYNGQYQCSGNVSEWSKCTYSTTEPVRVKKKWQVPDGTKNDYLMKWFKSQKVKKPERVLPPMSPEKSGSKATQRASLLSSKGLDKLRFSVVGQSKEVALDNENAEVRKARRLKIPIVREDYIGECIKKNRMLPFDLYKLENTLESSKGGTVTVKVKGRSAVHESSGLQDTAHILEDGKSIYNTTLNMSDLALGVNSYYILQVIEEDDGSECYVFRKWGRVGSEKIGGQKLEEMSKTDAIKEFKRLFLEKTGNPWEAWERKTNFRKQPGRFYPLDIDYGVNKQAPKQKNISERKSSLAPQLLELMKMLFNVETYRAAMMEFEINMSEMPLGKLSKENIQKGFEALTEIQNLLKDTANQALAVRESLIVAASNRFFTLIPSIHPHIIRDEDNLMIKAKMLEALQDIEIASKLVGFDSDTDESLDDKYMKLHCNITPLARDSEDYKLVEQYLLNTHAPTHKDWSLELEEVFSLDRDGELNKYSRYKNNLHNKMLLWHGSRLTNFVGILSQGLRIAPPEAPVSGYMFGKGLYFADLVSKSAQYCNVDRNNPVGLMLLSEYMDKPPRGKHSTKGLGKTVPLESEFVKWRDDVVVPCGKPVPSAIRSSELMYNEYIVYNTSQVKMQFLLKVRFHHK >Sspon.03G0013310-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3C:53304099:53304669:-1 gene:Sspon.03G0013310-2C transcript:Sspon.03G0013310-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLGAEVIELSSDEDEEPVPSTTALARHAPSSPPDVKPHLLADVDVKPLLLPPPLHPPGYGALVPVKTEEPVPVPVATLTPPPRALPPPRLCRQFWKSGDYVVARRNPDADVPGGRNRLRINPKFLHSNATSHKWAFGGMGRSPHWLGL >Sspon.02G0024470-1T-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:83927817:83928860:1 gene:Sspon.02G0024470-1T transcript:Sspon.02G0024470-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ETLRVKDDELHQLLQDIRARNSTINETADKLQETAEAAETAASAALSIDEERGFLRQNLNLRESEEKAKLLVEERDRWLTERDSALQEAQMWRSELGKARGNAVILEAAVVRAEEKARVSAADADIRIKEAMSRLESAVKEKEDLLALVDALQSQIQRQETSTIQVCEEGSELCSTASKHMEDDNVGKACVSDTDPIPVTENIVELDDEGVDIPTVGDTEWDNPHSSEVSDVREVTTEPEENSLDIPVDT >Sspon.05G0000150-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:791092:793613:-1 gene:Sspon.05G0000150-2D transcript:Sspon.05G0000150-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLPPGDGDGIVDRDVWLACAVPLSRLPAVGAEVYYFPHGHAEQCPAHLPAPLPAPHLFPCTVTHVTLGADDSTNEVFAKISLSPGPHAASPPDPTSPPLELSYFTKELTQSDANNGGGFSVPRYCADHIFPTLDFHADPPVQNLVMRDTRGNPWHFRHIYRGTPRRHLLTTGWSRFVNAKLLVAGDIVVFMRRTNGDLIVGLRRTPRYPLVFPGANQEPPPRNARARVPPQDVMEAARLAAEGRPFTVTYFPRQAAGEFVVPRTEVEGALATRWDPGTQVRMQVMEAEDTRRTVWADGHVKALHQNIWRALEIDWDDSSPLSLKLSRFVNAWQLQLVAYPPLANTVRICDPIVPLCPGDVSYPLIGSESQAMAMILGSPIPAGMQGARHTGPCAAPSESSAMLTTQLLFPLTNGDLQMPPRTSPSASSEILDPEAASPPNNSGSMRPAELPVQVKSIQLFGATITPHVVQSATNGDAMADDNVEKDV >Sspon.01G0007920-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:22389522:22392303:1 gene:Sspon.01G0007920-3D transcript:Sspon.01G0007920-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGGCCRRQRGPDGTPVGGRRRGCGTVLAVLALAVAAAVAFLESTAGGVSYAGDGWLHECTKWDAEGGRFLASTFFGGGVAEVRAGEAEERVVVADPDAAGRVALGLAIDAPRRRLLLVYAHRPPRFGYAALGAYDLGSWRRLFLTRLDVPGESTFPDDVAADDDGNAYVTDAKGSKIWKVSPDGVLLGVIKNATFVQRPGLRHNLVGLNGIIHHPNGYLLVVHTSGGDLFKVDPKTETVRVVKVRGSLRQGDGLELLSPTRLVVAGMPNRLVESSDDWETASVTGQYVGPIHRIGSSATVKDGDVYINHIVGFGLGKKKTHVLARAVFSPLAAAS >Sspon.08G0018900-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:11327953:11335926:-1 gene:Sspon.08G0018900-2C transcript:Sspon.08G0018900-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDETGLQKATLLLAYQSFGVVYGDLCISPVYVYKNTFSGKLRLHEEDEEILGVLSLVFWSLTLIPLLKYIILVLGADDDGEGGTFALYSLMCRRSRMGLLNNINIGCLSAYNQKEPREELKSSVAIKSFIEKHYSLRVVLLLFVLMGTSMVIGDGVFTPTMSVLSAVSGLRIKFPELHENYTVLFACFILVVLFALQHYGTHRVGFLFAPILLAWLGCIGGIGIYNIFKWNPTVIRALSPYYIYNFFRKAGKDGWSSLGGIVLCITGAEAMFADLGHFSKLSLRLGFTIVVYPCLVLAYMGEAAYLSKHREDLQSSFYKALPDRVFWPVLIIATLATVVGSQAIISATFSIISQSRALGCFPRIKIVHTSSHVHGQIYIPEVNWVLMFLCLAVTVGFRDTEMIGNAYGLAVILVMFATTCLMFLVITIVWNRSVVLAALFTVGFGSMELMYLSACLAKVPHGGWLPLLLSLVTLLAMSTWHYGTKKKEEYELQNKVCLDRFLGLSSGIGLVRVPGVGFVYSSSANGVPPMFAHFVTNFPAFHRVLIFVSLQTLTVPKVSPDERFLVGRVGAPAHRLFRCVVRYGYKEGRRDHFNFENQLLMKVVEFLQRQDAAAAAAEAGDYYSGSRELSVIPAAPAPAHAHQLVDADSAPSILSCSTSSCEIDAGTAVGRRVRFEEPRGAAGGGGEEVKTLLEERESGVSYMIGHTCVQAHESSPAVKKFAVNVVYGFLRRNSRRPAVELGIPNTSLIEVGMTYKV >Sspon.03G0001150-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:3007359:3009920:1 gene:Sspon.03G0001150-1A transcript:Sspon.03G0001150-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKVTAQPQPHRALFVLPSGPLVAFDSVDEFSTTVLTDGLVPSSGGVDIATEGEKHGTCSAPVVQDELQYFTIALDLYFKYNVTEMLSSGGIQVSNGKKYALSDVIDTIKHAFGGSPQIVCKSGSVQELRLCFDKELKPRDCLTTSLTIGSVSKSKHCPRYITLPTYDPLVNISLTKHSHQPTFGVNLQQADAKRVYPGSHVAFTVQQFQNESARTLANVSEVNRTNVFRVVK >Sspon.01G0043040-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:10023152:10031726:-1 gene:Sspon.01G0043040-2C transcript:Sspon.01G0043040-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSPKPAKKSYNYKPIILPRYRSTENLPPNSPVIGMVSPVHQAAALRVQKVYKSFRTRRQLADCAVLVEQRWWKLLDFALLKRNSVSFFDVQKPESALSRWSRARMRAAKYKVFPRMKRLRSLHCNTGLKQLTLDIGMATTSTTTTNIGYTVRANSLSFTGTVHVKVLNLSPNQLKVQSSLKSRFNRLDIGEGKEVNIDDHCPRWKMLQQCIRYLGPKERESYEVVVEDGKMMYKLSNKIVDTSEGPRDAKWIFVLSTTRVLYIGTKSKGTFQHSSFLAGGATSAAGRLVVENGILRAVWPHSGHYRPTEANFREFMNYLKNRNVDLTNVKVLSPSEGEEDEWFRQRGSLSQLKHTESSNPASEEDSKIFKKEDSSKFHPAGAADQDKATAKATPATPPATSHDKTTTSAATSGTPAMKRSSSGSRLQRKRPPRLAVSKSRLGKGSGEQGAGAFGDCLDFCKENLFRSGEGGDGEEEVVVPQEKILHRINSKMSLHSYQLGNQLSFRWTTGAGPRIGCVRDYPPELQFRSLEQVSLSPRGGAGPPKLGTTPQQSPCAPLVPSKPGGLVSPLYGHGGAGTPAPRLQHGAA >Sspon.01G0025950-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:89149393:89152026:-1 gene:Sspon.01G0025950-3D transcript:Sspon.01G0025950-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AMVDLRLQVVATVLGMALAAAAGSSSSGKQFEFQEATVDAIHLAFKNGSLTSTALVRFYLDQIARLNPLLHAVIEVNPDALAQAARADAERSASRGRCAVGLHGVPVLLKDNIATRDRLNTTAGSLALLGSVVRRDAGVVARLRRAGAVILGKANPSEWSNYRPVDSGWSARGGQTQNPYVLSVTPCGSSAGPGVAAAANMAALTLGSETDGSILCPSSLNSVVGIKPELDAEATGAASKYIPHGGYTQFLRPLGLRGKRIGVLNVFFQGYDDMQLVVYEKHLDTIRQQGAFVIKNLDIATNFTDLAEQEILLMAAEFKLSINAYLSDLLYSPVRSLAQVIAFNEAHPVQERLKDFGQPDLIAAEKTNGIGTRERAAIQRLKEISTNGLEKLMKVHQLDAIVAPNSDASSVLAVGGYPGIAVPAGYDRQGVPFAICFGGLRGYEPRLIEIAYAFEQATKVRRPPSFKS >Sspon.03G0019500-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:46835022:46836713:1 gene:Sspon.03G0019500-3D transcript:Sspon.03G0019500-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWGKFVEQAIGILVLIGFWGISSSMMCGSGEEPMTKKPRIKMALRRALGWSEGEVMRPESKPCSRLMRQTAGIFSVGGGLAFWVLCRLHYGPRITVPRSLRWASCGAVSMSVTSALLVRLFSPECEPQNIAAFDKPELKGRIA >Sspon.04G0000710-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4A:3105517:3106137:-1 gene:Sspon.04G0000710-1A transcript:Sspon.04G0000710-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPQEREAVLMAAGRLAAEYLVNRGDLPPNVLENRPPAAIPSLGVGGQPAFGSQYHHQPPVPRTFYSQFQGRPPAPQQHRQPQAWPNPFHFHQGQQRPPAPQRRFPGPRPFQGGRGPFPKRPRQRPFPYGPPGPAPPQTRYAGQAAAPVVAASRNKQDDDNEHSAPAGEAGDSQLTTAQSDVPASGSQQTNDPSSGSLGANASESS >Sspon.02G0012590-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2A:33361628:33361966:1 gene:Sspon.02G0012590-1A transcript:Sspon.02G0012590-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLPQYHTTILWRSGLVSTSHTAGPRHLTTLTIAQQDRSLLRDPPPVCALVPSAPAGTTRASKATVWGASPSRRRRPVSARDPIWPDTARGYYGAGLPADPIIVLPLQEMKH >Sspon.02G0021760-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:72849844:72850543:-1 gene:Sspon.02G0021760-1A transcript:Sspon.02G0021760-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding FNSPDPARQPELTFVPIHETLRDEATSPDSDILTKLLALNAACEAPFRQALASLLLRRGQDVACAVVSGEATFSGWGRPTPTQQTCSPKHRRLDASDSLSSPMLRPSQINCRLHLGRRPAAGDRQFDKQGRSRLDGAGAGDRWQQHSLATGHRGMLSISSL >Sspon.06G0001660-4D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6D:2852489:2857613:-1 gene:Sspon.06G0001660-4D transcript:Sspon.06G0001660-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGMCGSPFCSKEASASCGWEEIFNSSTCTNHILAIGIAALIVIVLAIQLLIRIAKSRQYARQLVALTSPLQLAAVVFNGCLGLIYLGLALWMLGTNFSQHASVYLPHRWLVNLSQGLSLILISFAFSIRTQFLGAAFYRVWSVLLTTFAAFVCCTSVVYMIADKVLGMKACLDVLSLPGALLLLVYGIWHVREDGNGGVESALYKPLNTETYDDMADSQSHVTPFAKARIFSVMTFWWLNPMMKMGYEKPLEDKDMPLLGPSDRAYSQYLMFLENLNRKKQLQAYGNPSVFWTIVYCHKSAILVSGFFALLKVVTLSSGPVILKAFINVSLGKGSFKYEAYTLAAAMFVIKCFESLSQRQWYFRTRRLGLQVRSFLSAAIYKKQQKLSSSSKLKHSSGEIINYVTVDAYRIGEFPYWFHQTWTTSVQLCIALGILYNAVGLAMISSLVVIILTVICNAPLAKLQHKFQSKLMEAQDVRLKAMSESLIHMKVLKLYAWETHFKKVIEGLRETEIKWLSAFQLRKSYNSFLFWTSPVLVSSATFLTCYLLKIPLDASNVFTFVATLRLVQDPIRQIPDVIGVVIQAKVAFTRITKFLDAPELNGQVRKKYCVGNEYPIVMNSCSFSWDENPSKPTLKNINLVVKAGEKVAICGEVGSGKSTLLAAVLGEVPKTEGMIQVCGKIAYVSQNAWIQTGTVQDNILFGSSMDRQRYQETLERCSLVKDLEMLPYGDNTQIGERGVNLSGGQKQRVQLARALYQNADIYLLDDPFSAVDAHTATSLFNEYVMGALSEKTVLLVTHQVDFLPVFDSVLLMSDGKIIRSAPYQDLLAYCQEFQNLVNAHKDTIGVSDLNKVGPHKANEILIKGSIDIRGTLYKESLKPSPADQLIKTEEREMGDTGLKPYILYLRQNKGFFNASLGVLCHIIFLSGQISQNSWMAANVQNPDVSTLKLISVYIAIGISTVFFLLFRSLALVVLGVQTSRSLFSQLLNSLFRAPMSFFDSTPLGRVLSRVSSDLSIVDLDVPFGLMFAAGASLNAYSNLGVLAVVTWQVLFVIVPMMVLALRLQRYYLASAKELMRINGTTKSALANHLGESVAGAITIRAFEEEDRFFEKNLELIDKNAGFIGMALSYGLSLNNSFVFSIQNQCQLSNQIISVERVNQYMDIPSEAAEIIDENRPSPNWPQVGRVDLRDLK >Sspon.01G0047220-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:101580407:101585570:1 gene:Sspon.01G0047220-1P transcript:Sspon.01G0047220-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGNSLMRILSVAAFAVSGYASTDGRSCKPFNPLLGETYEADYPDKGLRFFSEKVSHHPMVVACHCEGTGWRFWADSNLKSKFWGRSIQLDPVTTSIYNLILGKLYCDHYGTMRIQGNREYSCKLKFKEQSIIDRNPHQVQGVVQDRNGRTVATLFGKWDESMHYVMGDCFGKGKGTEQFSEAHLLWKRSKPPKFPTRYNLTRFAITLNELTPGLKEKLPPTDSRLRPDQRCLENGEYERANAEKLRLEQRQRQARKMQESGWKPRWFAKDKDTDTYRYLGGYWESREKSSWEGCPDIFGQVPNDLMITD >Sspon.02G0023840-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2B:80986590:80991051:1 gene:Sspon.02G0023840-2B transcript:Sspon.02G0023840-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGLVERQRVDEALYDDFESEKDREKRLAKEARFQETQAKNAALGKKVKEAPSVRGKGRGEAALFKVTCKDNGRGMPHDDIPNMLGRGEMGPDFSPKMTVKSLTSQQLVRIHQLFRQAKFDDPSGNVFEGHPFIVEAGISIGGKDVKQAGPAK >Sspon.07G0000320-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:443800:444978:-1 gene:Sspon.07G0000320-3D transcript:Sspon.07G0000320-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALALRCPPAATSSRSPFLPSPSPAPAGRLPRRPPASWRCHGYYGDGGFRKSYDHIPKQFREENLKDGLMDNYKNVPQFLYGLSSAQMEMFMNDDN >Sspon.01G0017840-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:72293924:72299072:-1 gene:Sspon.01G0017840-1P transcript:Sspon.01G0017840-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDGPIASVEAEPLLVPRRSTGDSCSAAATESKRQLRLAGPLVLSFILRNAVQMVSVMFVGHLGKLPLAGASLAASLANVTGFSLVAGMAGALDTLCGQAFGARRYALLGVYKQRAMLVLALASLPVVLAWAFAERILLATGQDPDIAAEAGAYARWLIPSLAAFVPLTCHMRFLQTQSIVVPVMASSGVTALAHVLLCYTLVYKVGMGSKGAALAAAVSYSVNLTVLALYVRLSSACRATWTGFSTEAFSFSGLRDYAKLAGPSAMMVCLEWWSFELLVLFSGFLPNPKLETSVFTRVSNELGAGQPEAARLAARVVVCMTLCGGVVLATIMILLRNIWGYAYSSDKDVVTYIARMLPILAVSFFVDGLNGSLSGVIIGCGKQKIGARVNLGAFYLVGIPTGVSLAFVFHLNGMGLWLGIVCGSISKLALLLWITLHIDWENECEDLFVIAQPPNSSSTSTQFVMKLEKEKEVIFSAWRFIERSASVAEAEALACVEGLRWAVEWRVPQVIIELDCARIVVMMRNAAENRSDLSLIIAGAKGLAQQLNCWKISQVKRD >Sspon.04G0005990-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:16467973:16470410:1 gene:Sspon.04G0005990-2C transcript:Sspon.04G0005990-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDRRRQKTVRPWSHDSDAERPRGKGPSKKSGVLDSRWPTRRKSSSSREAQPSRIGSRAGKGMAGDDGSSGSGGGGGGGGGIREDEVHVQIAGSSKPETSSTNETAPQNSHTKHWHWWLMVALNIFFLVAGQTASTLLGRFYYNQGGNSKWMSTFVQTAGFPVLFIALYLFRSKSPSTQTTTSNPETSVAKITLIYIALGLIIAADDLMYSYGLLYLPVSTYSLICASQLAFNAVFSYVLNAQKFTALIFNSVILLTFSAALLGVDENSQGTNGLSHGKYILGFALTLGASATYSLILSLMQVAFEKVIKKETFSVVLNMQIYTALVATIASLIGLFASGEWKTLEGEMHAFSSGRLSYVMTLLWTAVSWQIASVGVVGLIFVVSSLFSNVISTLALPIIPVFAVIFFHDKMDGVKIIAMLMAIWGFVSYGYQLYISDKKARKTSVSVEENS >Sspon.02G0043940-1P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:116783205:116785437:1 gene:Sspon.02G0043940-1P transcript:Sspon.02G0043940-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLCFLNHLEPPVYTDENLTSQNHAAIKLAMFEGDKMVTSGALSEAKVEILVLRGDFSNKCRDNWTQDEFDKHILQGRDGHDLVLGTVWLTNGMAELSQIRFREGSSRKNVIMAARVCKSKKTSGRVQEAIMKPVKVLDRRNKPNEKRHPPRLDDDLYRLEEIARDGAYHKRLQEVQIYKVGGFLKALNEDSNKLRAILKMENQQNAWAKLTEHARECVLEDRQELKQYHSKQGNVVLFFNCVHELVGAAFPQEYVACQRFNTAQKALVNKWKLHAYEKLKDISPDFVMKGNIPEPVSSSTDVAAAPSVLAVGASQQISANQLAPYQVMYLPGTGTAENLPQGEHGTPYQIADCDPIVADPDYLNTRYCQDQGIPLHGQQQVISPWPQNPQAMMGFAYPIELAGMNFDLYQDSGASTSAQAQLMFGPRNPTQPESTSPAAAPPWMSSIAEQDQGPDCSGFLRSVHPNDFQ >Sspon.01G0019950-1P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1A:74162529:74163479:-1 gene:Sspon.01G0019950-1P transcript:Sspon.01G0019950-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFISTKKIAFHSDRPLNFMSPKGGSTRVPYKVLIPTKRIKSASVRENLYNPDEKYIDVVTVDGFDFWFMGFVSYEKSFKYIQHVIPELR >Sspon.03G0039720-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:12925092:12935863:1 gene:Sspon.03G0039720-1P transcript:Sspon.03G0039720-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTKQTARKSTGGKAPRKQLATKAACKSAPATGGVKKPHRFRPGTDALREIRKYQKSTKLLIRKLPFQRLVREIAQDFKTDLRFQSSAVAALQKAAEAYLLRHGADLCVEGMKITALLVLKPSTSGAGSSSSGGESGTEAVALANATGVSHFGYYQCSAAREFIVFVVRTVAQRTQPGQWQPVQHEEYKVHSHNRNGLCAVAFMDDHYPVRSAFSLMNKVLDEYQKAFGDSWKSATADGTQEWAFLTDALTKFQDPAEADKLMKIQRDLDETKIILHKTIESVLARGERLDSLVEKSSDLSAASQIFYKQAKKTNSCCTIL >Sspon.01G0024630-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:7019684:7023882:1 gene:Sspon.01G0024630-2C transcript:Sspon.01G0024630-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSSGGGGPGPGPISAEAESALGEAIRLVFGRWTALQMAVENQWGGRDSRAKADQFGESILFWFCRTKGPRYFEDLVDMMYDKISESFNADFEDNSVEEVAEQLLIIHEECLQNNYSSIEKLRNSHVQGNAVSQSRQVVADDDDDSDSSDDGDDTSMMDDQEAVPEDMAVDRPRPPRPTPDADGWTVVPPRRGGRRQN >Sspon.04G0032010-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:21313939:21317739:1 gene:Sspon.04G0032010-2D transcript:Sspon.04G0032010-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein ORANGE, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G61670) UniProtKB/Swiss-Prot;Acc:Q9FKF4] MLCSGRMLACNGLLPGRLRLPRADAYRLRPPALARRWRVAASAAASGGSSDLPSSSSSPPTPPFGVGDDQAAASPGFCIIEGPETVQDFAKLDLQEIQDNIKSRRNKIFLHMEEIRRLRIQQRIKNVELGISDEESDRELPDFPSFIPFLPPLSAANLKVYYATCFTLIASIMVFGGFLAPILELKLGLGGTSYEDFIRSVHLPMQLSQVDPIVASFSGGAVGVISALMVVEINNVKQQEHKRCKYCLGTGYLACARCSSTGALVLTEPVSTFSDGNQPLSAPKTERCPNCSGSGKVMCPTCLCTGMAMASEHDPRIDPFI >Sspon.05G0002820-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:5848766:5852377:-1 gene:Sspon.05G0002820-2B transcript:Sspon.05G0002820-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable receptor-like protein kinase At1g30570 [Source:Projected from Arabidopsis thaliana (AT1G30570) UniProtKB/Swiss-Prot;Acc:Q9SA72] MSPFVLAVVSIAFLNLRFLQAHGSELLLSCGSNGTVDADGRRWIGDMTPQGNFTLSSPGLAASLVGKSNSDEVFGPLYSSARFFDAATWYTIGVLPGSYCVRLHFFPSTFGNFSANNSVFDVTANDFKLVSKFNVSEEIVWRATVSNSVISAVVKEYFLVVGARGLNIEFDPRPGSFAFVNAIEVMLTPDNLFNDTVSKVGGAGMQLPLGLRDRGVETMYRLNIGGPALKSASDQYLHRPWYTDEAFMFSTNAAQTVSNVSSIMYVSSNDSSIAPIDVYETARIMGNNMVVDKRFNVSWRFYVHPNFDYLVRLHFCELVYDKPGQRIFKIYINNKTAAENYDVYARAGEINKAYHEDFFDNSTQQADSLWLQLGPDSMTSASGTDALLNGLEIFKLSKDSDLSYVLGHIDTGNERGSSKGGKDKGLWEEVGIGSASLVAVTSVVLFSWCYIRKKRKAVKKEAPPGWHPLVLHEAMKSTTDACATSKSSLARNASSIGHRMGRRFGIAEIRAATKNFDDSLIIGTGGFGKVYKGEINEGTTVAIKRANTLCGQGLKEFETEIEMLSKLRHRHLVAMIGYCEEQKEMILVYEYMAKGTLRSHLYGSNLPPLTWKQRIDACIGAARGLHYLHTGADRGIIHRDVKTTNILLDENFVAKIADFGLSKTGPTLDQTHVSTAVRGSFGYLDPEYFRRQQLTQKSDVYSFGVVLFEVACARPVIDPTLPKDQINLAEWAMRWQRQRSLEAIMDPRLDGDFSSESLKKFGEIAEKCLADDGRSRPSMGEVLWHLEYVLQLHEAYKRNGLATGSTQSSTGGRRDQEETGPQLGSAGSPTRSDPAVREGVLCAEPSDEIKIWHAYYGIPFNPPAWSLDGGGDSREIWETT >Sspon.02G0009430-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2B:24974986:24982799:1 gene:Sspon.02G0009430-2B transcript:Sspon.02G0009430-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LRNSSARLRSESGTCALERTPPPPPPPPPHPHYTRFARRRGAMNPESKILLDEMHRLFAEQKAQIAQRFEESDRKFDDRFSASHHKLESRFAAVDDNISKRIAEVDDAFAKRCADSDLNWERRITDSELRQVSLLADAEHRQEALIAPITKAAGELQRWRQESEGAVHDLKLKVDKLTKYWDRSLLDNASASTGVISPVPPSSEQTPAPPSAGTMAARPSGHLVDMNPRVDGVGGKLPQLQDPANGTHALFPSDVSLYHGNAYEIQSRSHHPEHHQSDNGRMPKMNFPIYEGEYTRLWVQQAEDYFDMYDVPPHRWVKVSRMNFRGAAARWIEALAHPDRIPWPDFCKQLHDRFGRDQRDRLVRLMFHIHQLTSVQDYVERFATLFDQLKAYEPNPDLHYYTTRFVDGLRADIRAVVTMQRPVNLDTAYLLALLQEEVTMDAQKSEFHVADRGASAKVHAWPSVHVPRAQGPLVAEKAASIPPTPVTDDKMAALRKFRRARGLCDFCAEKWVRGHKCAPTIPLHAMQEIWDLFQLSAVSDEQPDDSDPTEAATEQLFFAISHEARHGSTGRRTIQFLGTVHNITITVLVDSGSSASFLATSVAEKLPHLQRVPMQAAVKVANGQLLQCTTAILACQFFLGNYAFQHDLRVLPLDSYDLILGMDWLEQFSPMEIHWKAKWLSIPYHGDTICLQGLTSTSDSEMVFQLLPVEVPDSANIVPEKLPPDIVALLNEFSPIFQPPSSLPPKRSCDHAIPLVEGATPVNIRAYRYPPKLKDEIEKQVNTMLEQGLIQPSKSPFSSPVLLVRKKDGSWRFCVDYRYLNALTVKSVYPIPVFEQLVDELGTASWFSILDLHSGYHQIRLQPGEEYKTAFSTHTGHFEFCVVPFGATGAPATFQGAMNSTLAPVLRKCALVFFDDILIYSSSYAEHLAHLHQVLSLLARDQWVVKLKKCRFAQQEIRYLGHILSANGVSTDPDKVSAVQQWPIPANTRELRGFLGLAGFYRKFVRHFAVLAKPLTQLLKKHQLFIWTQDHQKAFVALKQALCTTPVLAIPDFSKPFAIETDACQNGVSAVLLQEGHPLAYVSKPLGPKTQGLSIYEKEYLAILIAVEHWRTYLQLAEFIIFTDQQSLTHLNDQRLNTFWQQKVFSKLLGLRYRIVYKKGTDNSAADALSRRVHTDGVCCAISIATPQWCTEIVEGYKSDSQAQELLVKLASAPTSPSHFSLQAGLIRFKQRVWVGNNTDMQQQLIKAFHSSPLGGHSGIPATTKRLQHFFAWPGLKKHVEQFVHSCPTCQQAKVERVKYPGLLQPLTTPTVAWQVISLDFVEGLPISHGFDCIMVVVDLFSKYAHFVGLKHPFTALSVAKQYMLHVYKLHGLPTAMVSDRDKIFTSRLWQELFHLTGVELRLSSAYHPQSDGQTERVNQCMETFLRCFANAAPNKWYDYLHLAEFWYNTSWHSSLQQTPFFVLYGQSPRQLGLDASSTCSVASLDEWLQQKSVMQHLIQQQLARAQNRMKLQADKHRTERSFEVGTWVYVKFQPYVQTSVAARSSQKLSYRFFGPYMIIATVGSVAYKLQLPDTSQVHPVFHVSQLKAAIPVTHTVSPLPDSLDGLQVPQCILQKRVASSGTDVRLQALVQWSGLPASLATWEDVETLRQRFPRAPAWGQAATHQGGNVSNRDGAPEEAGADSVYAHGQDVEAGPRRSSRARRPNTRVQGPTWALGFSPGSASRLVLLLLVPFPLRRARFVDRLVCVEMINRCFCCVAGDDEPEPAAAPPAGRRTRRTNPSRTPKSRSIEYPWEIYSLKELLQATNNFNDSNKLGEGGFGTVYWGRTSKGVEIAVKRLKAMTAKAEMEFAIEVEILGRVRHKNLLSLRGFYAGGDERLIVYDYMPNHSLLTHLHPQRGAPSSQQRQPLDWARRVSIAIGAAEGLAYLHHEANPHIIHRDIKASNVLLDADFVPKVADFGFAKLIPDGVSHLTTRVKGTLGYLAPEYAMWGKVSESCDVYSFGVLLLELVSARRPLEKLPGGVKREIVQWAAPLVDRRKWERIADPRLAGRFDAQQLRAVVEAAMLCTQSNAESRPAMAEVVEMLRFSGERRTTKEIVPVVAASSELTTPDLQDVTGSSEPLDRRSWKVAKLR >Sspon.03G0044170-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:56398827:56399414:-1 gene:Sspon.03G0044170-2D transcript:Sspon.03G0044170-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPLPQASADPSNPFPTTFVQADTTSFKQVVQILTGTPETAAAAASGGAQPSPPAPQKPAPAPPGPKKPAFKLYERRSSMKSLKMLCPLLPAAASFAAGGSAGGFSPRGFSPRGLEVLSPSMLDFPSLALGSPVTPLPPLPGSEEAAAAEDRAIAEKGFYLHPSPRGNAGPGGDLQPPPRLLPLFPVQSPTGRP >Sspon.02G0020310-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:67996174:68000338:1 gene:Sspon.02G0020310-2C transcript:Sspon.02G0020310-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MECNKEEASRAKDLAVIKLQEADYAGAKRIALKAQKLFPGLENISQLLTVCEVHCCAAVKINGETDWYGILQVETTADDMLLKKQYRKLALLLHPDKNKFVGAEAAFKLIGEAHMILTDKVNRSRHDSKRNSVIPKSAPKKRGRPSKKTDYVAKRANKENTDAGYSTFWTICLTCGTKYQYPYSLLMKVLWCQICSKGFLAYDLSKKPSVGVEASGFGMQHQMFPPNQWTPFANQQHNYQSVPTQQNPLTGHQAPVPNQQQQPRNVSGKQTPDINQQQRSQKFPFSSGPKNVANSHPWGGSGMQQEMCPPSQPTHVSDQKLYYQWAPCQQNPVNGHQTPVTDHQQQSPKVVDKNTSVTTQPQSTKPPFNSGSKNVASSQGATFPNNNATANSNLMAEAGACNREKITRPSFNDENGEDRTKSPVVNSDKVHLVDEQMKGKEVATGSSHPVAINGSQVATKDVLTAASADETSGQHPCIASQQRNNVIVEDGSDGCGKVSEHLPDSPAKKRIRKEHSSYTGGKSDHTTENEVAEANSQHKYSIPSKEKMTNEKEEVISGLNHDLLQGTKRKQQTASSGSGSDAAARSVNNSIPCNVTVSCPDSDFYDFEKNRDADRFTVDQIWAIYDDLDGMPRYYARIKQVYSPNFMLQYTWLEHDPLCDAEKEWSSKELPVACGNFRVGTTLLTEDTKMFSHVVSWTKGRKRNRYEIYPKKGEVWALFRGWDIKWSSDSDDHRHYDYDIVEITSDFATGLGTYVVPLVKIKGFVSLFVRSSNEAPFLIPSGNTLSFSHSIPFHRLAETERKHIPNGALELDTASLPSDLEKVFTPVNLDSSFMSTGDGNTACNVSSTSSCKVPVGKTEQSQDGTGTDVKDEVEKLNQSTKIEQDNGSEASVIDHCGDGWNDSSPPESPTSFCYPDTEFCNFTSLRSFDKFKKGQVWALYCDTDRFPKYYGLIKSVDSEDCRIHIKWLEHCPCEQVEKRLAQDGLSIGCGIFEVSRQSEIYDCTEVFSHSMEVTLTGKGKKYEILPCTGQIPAFRLTNENDNLCGYWELDPASLPEVLLCDVDKAVIC >Sspon.05G0029960-1P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7D:76318356:76322888:1 gene:Sspon.05G0029960-1P transcript:Sspon.05G0029960-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPWQRSSQMALQRMGRLRAALRGKWILWYLNKRGWRGWGGAASKDYSVASTVSEVNVGSTLGTSQNLAPVVSGM >Sspon.02G0007390-3C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2C:24523913:24524467:1 gene:Sspon.02G0007390-3C transcript:Sspon.02G0007390-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMYLPPRSSSAGMRSIRRELQRKRSKPLALTRSVAKKPSASPPPRHGSSDAVQGPCPRPPRQEVPSSTISHSRGSTVTDESPQSRPRSSSRCNPASPPPPLRRPDPSSAGSAFRATPAVPAQLKPGTVVRVRTRTVKLKTGQVLVLCLKATIVSSSTDGGYEVVYDASFPRGDPKSTVHVAPHQ >Sspon.06G0004030-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:79774423:79776810:-1 gene:Sspon.06G0004030-3D transcript:Sspon.06G0004030-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSFNICPQIDPLVTTRVPGVALDMLFLVIIQALAVIFLAKFIHLFLRRYNQPSAVSQILAGVAVGGMGLRNAILHVDVDDVEDMYGGYICAARVVYMFLVGLDLDLAALRNATRRCVALAYATVAASLLVAAIVSTGMYGSMMHSPVKTPELLAATLMLAITNTSSITVARVAGELNLTVTENGRLLVAAAIITNLICVVGDAVLSSTALAKEKSQDLYHTSPQIKKGFLALAVAGVAVWQVRPLVTRINQRNVGQHHVRTRHLVAILFGIWFISNIQQLLGFDGMPTSLALGMAFPREGPAARSVADALVPPVNGFVLPFYFATIGMRLDYNSMSGAIIVPGLLLTLLGLVAKAIGAASASTYLNIPISDALRYSVLLNVKGHVDTMNMKFAKSEGVWAEQALYAMIIGNLISTVIAGPAAAAVLRREKEEYRTRHQAMESLGAEQELRMLVCAHSAHAAPGVLSLVELLVITPQEQPAVPVLHFFEAPRDRSARTPYHQQARGDEAAEGKGGPDPVTQMNMVVDVFSKTTGIFFRQVDVVSLGASRDAAVACRGAEEAHAGLLLLPCYKEQRFDGKMACRLEERWKLNHDVLERAPCTVGLLVDRPYRGSGTSFQTPIGIAPESGRTLVHPCSDRTVTHVIAAVFLGGPDDREAVSFACRLAEHPAIGLTVFRFVKRSTYDTVTSSTSRAAAGGDELDVPFQEGDVDERFLWRFYENYASRSWP >Sspon.07G0013850-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:55957895:55960658:1 gene:Sspon.07G0013850-2B transcript:Sspon.07G0013850-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVSEKVVPLSSVSSSSDLDPLLKDLTEKKLSFRRNVVSLAAELKDVRNKLASQEQLFVRESQTRKVAETKARSMEEEVSKLQKCLQDKDEQLRSSTSSTEQYLHELDDLRTQLSFTQATAEASAASAKSAQMQCLSLLKELNEKDISLKEHELRVNKLGEQLDLLQKDLQARELSQMQLKDEVIRIETDIMDAVAKAGSRSDKDNELLKILSDVSPRNVQNLNNLLNAKDTEIARLREEIRILSAHWTNKTKELESQLEKHRRTDQELKKRVLKLEFCLQESQSQMRKLKRMGEKRDKALKELMDQVAMKQPNSMCHDNKENFWESQGFKFIASMSMLALVILAKR >Sspon.01G0015960-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:48610638:48611075:-1 gene:Sspon.01G0015960-3C transcript:Sspon.01G0015960-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSFKARRSEPQLVSPARPTPCETKPLSDIDDQHLLRYYETVVGFFRNCPAGRTDRPADLKGTFKAALAEALVYYYPVAGRLREEAGGKLVVDCTAEGVVFVEADADVRLQDFGEPLLPPYPCLDQLLCDPGDVKAVIGRPLLFMQ >Sspon.06G0028830-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6C:11731678:11731917:-1 gene:Sspon.06G0028830-1C transcript:Sspon.06G0028830-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSNQRPINTSGGKHWAAVPLTCKAAAMEACTYQQRPDQRAAEKQIAPTIYHPAKTNSSARSNVKTGPRRRDRDRKCMS >Sspon.03G0035350-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3C:77212911:77220895:1 gene:Sspon.03G0035350-2C transcript:Sspon.03G0035350-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VWIQIGEGDCIACASQEDAAAVDYPRPTACSWAGHAGRRSDTGVEEEERDGVPPCRRNYRSVPSRRCPAAARRRRTCRNAGRRCSGRHQPRTQESGSCHLLRPASRLGHRLFVKCEIRVAVVVEPDFGFELDMDDTYHVFDEMPAGNEKNVKILLYYDDTLMLWDCVPEVVQPHPPVRRFFGPAIRMLALLHHRILGWLQLLGQSVLLLVIFHRFQQFMGDHTNSHRNKELEEDFGSISS >Sspon.06G0031030-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:58866674:58871043:1 gene:Sspon.06G0031030-1C transcript:Sspon.06G0031030-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAKHKQRRVYQVWKGKNSSDYYYAVFPSPWIGRVHDSCQCSLLMPQQANMLLPVLTYSIFNNLIILCGGRLIFGRDAKATLISFALIAIPVAVFCVFVARHLIHIFPAYNAGYAILAVTIGLTIYVLLLLFLTSSQDPGIVPRNSHPPVEEFSYDASAPHALQFPRVKEVMVNGMPVKVKYCETCMIYRPPRCSHCSKCDNCVERFDHHCPWVGQCIGERNYRYFFCFVSSAAVLCIYVCAMCGLYIRLLMNRGHYSVGKAIKESPASLAVMAYCFICFWFVGGLTGFHSYLIATNKTTYENIKYKYSYQPNVYDRGCARNCHEVLCTKRKPSKINLRAIVQEEQEVAWPQTSPSNIREDEAPHRPRAKVEDDLEMGLDIHKTGCRTDEHSDEELESGSNGIKYRTPDSETGIPVTRTKTEIFSERKELKSKERSVTENLELEKVFALRKDSPKE >Sspon.03G0044980-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3D:6601274:6607732:1 gene:Sspon.03G0044980-1D transcript:Sspon.03G0044980-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MACSAAAAAAGWLVSPFLDNLSSRLRSYADDLLRYLPLSESASADLERLKDYLLRLHAYASTVERAQRRPPHPTLLAWFNRLQDAAHDADDILDEIDYKRLADALIQPRPDLCSILDTPGQLCSRLVSVCSDHPLKRLPSVLDKLSNACADYAGIAFLVGIDAPESPQRGNRLSRNSSSIIPADDAFFGRQRELHVLVEMLVGGNGSAQLRNQSVPVVAIVGDGGIGKTKLAQMAFNHVNIQEHFDPLMWVCASSHLDDVRFTREILQAATDWKVDYDGIVNFDRLQNLLVSAVAGRRFLLVLDDVWDDNEMSMWENGERWRKLLAPLQNGNQESRIVVTTRMKMVADMLGVRMPMMLGGLGTKENWLLLKKCALGSENSCEHPSLQDIGRKIASNLNGSPLAARVIGGMLSNTRIAREWNNISETDIHGDIVSTLLSSYYHLPQHLQYCFAYCSIFPKNWKFERKKLIRMWIAQGFVQMDNGSMEDAGCLISEVPEAIGYLIHLRYIALPGTIKKLPETVSMLLHLQTLDIPKKCHLDGFPKGMHLLVNLRHLGVDLTYISMIRGIGTLVNLQGSIEFHVKKEHGQSLVELKDMNYHHGLLHIKYLENVQCQEEAFNAALSNKRDLKILKLEWNSASSAFGPIRDAEVLEGLEPNPNLEELHIKRYKGESSPSWWKAKTLSQLKSLYLTNCRRWKLLPSLGQLPLLKVLHLKEMCSVTEIGPKFYGDGPESFRSLKYLEFDDMPNLDCQMLSSLANLDSFVFLKSLIIERCIRVKTDSLPAKLEGMRSLNKLSILHCPGFQTLPSNIPLSLEFLHLTGCHPVLIQGLLEKQGPEWEKIAPFSQIKVLVLLNGSELAEQGSRFDLDFGHETESRNQTFVHAGHPFVPIRFLRSPLVTVAHHRDRALPRLPLCRAFLFTVPPAGLPLHRRHASIAAACFPTTAQPLSPCRSRLHPPDCWCRLHRGHRSPRALHAPYRRGQRSRPPAAIRVLHHDCIGGSRYAALCTPACQLWEAADGAHGQRRPVCVGS >Sspon.06G0026210-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:77640232:77640869:1 gene:Sspon.06G0026210-1B transcript:Sspon.06G0026210-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTNAASAVGISSDGEALTTSAIVAAEAVTGSHVLQIKGYSMTTKEFGNGEHIKSSTFTVGGHRWYIRYYPDGNVLENAGWISIYLCSTIIPMPLWKSKLDSYLVFWTTEANMYRYTPVDDTFSSKNRCWGFSQFVKRKDLEESSYIRDDCLKIRCDVIVSKGFSTEATMQLVVVPPSNMHRHFGSLLSGAVGTDVTFYVAGEMFAAH >Sspon.07G0015070-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:58445159:58449467:-1 gene:Sspon.07G0015070-2B transcript:Sspon.07G0015070-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTLSVLLFWLLLAFSSLHAPVSCSAATPNDDTLAVGQALAVGGKLVSRNGKFALGFFQPGSAITSKYTTTASPGWYLGVWYNMIPVFTTVWVANRDTPIGDPVVNLTELKISADGNLVISTHNATEPIIWATHLINRTQASIANTTAVLLNSGNLAVMETSSNAVPLWQSFDYPTDVFLPGAKFGRNKVTGLNRQFTSNKSLIDPGLGSYNIELDTNGGMLLSSRSPYLVYWSWSGSSLASKLVPLLTMILDMNPQTKGLISAAYVDNNEEEYYTYTLLNESSLVYALLDISGQLKLIVWSQATKSWQTPYAQPAVPCTAYATCGPFTVCNSKADPFCNCMDSFSVKSPRDWEVDDRTGGCIRNTPLDCNRNGNTTVSTDMFLPITRVTLPYNSQRVEDATTGAECTEACLKDCSCTAYSHNSSRCLIWHGELLNVKENDDIDNSSEDVLYLRLSAQDFHGVRKSKRKSIIGAVSAAIVISFGLLMLILLSVIWRNKFKCFGGQLYNSQDIGGGIVAFRYADLGHATKNFLEMLGGGGFGSVFKGVLGDSTPIAVKRLDGARQGEKQFRAEVSSIGMIQHINLVKLIGFCSEGDKRLLVYEHMSNGSLDAHLFQSNATVLSWSTRHQIAIGVARGLSYLHQSCCECIIHCDVKPENILLDASYVPKIADFGMAAIVGRDFSRVLTTFRGTAGYLAPEWLSGVAITPKVDVYSFGMVLLEIISGRRNSPNQVYTSNSNHVDFFPVLAVSKLHEGDVTSLVDPQLNGDFNLEDAKRLCKVACWCIQDDECGRPTMGEVVQVLEGLQELDMPPMPRLLAALTERSDAFSMLIGADLFSSIIQWATGSRL >Sspon.07G0012120-1P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7B:47801934:47807278:-1 gene:Sspon.07G0012120-1P transcript:Sspon.07G0012120-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVGGEKFQLGTVGALSLSVVSSVSIVICNKALMSSLGFNFGVRSDWFLPQFLDLLSTTLTSWHLLVTFCSLHVALWMKFFEHKPFDSRTVMGFGVLNGISIGLLNLSLGFNSVGFYQMTKLAIIPCTVILETLFFRKKFSRSIQMSLSVLLLGVGVATVTDLQLNAVGSILSLLAIITTCIAQIMTNTIQKKFKVSSTQLLYQSCPYQSLTLFLIGPFLDGFLTNQNVFAFNYTSQVVVKESEAAPLISDSLSKVENGGGVDDEPLKMFRSIWYFAHVFGRWSEVFGVSRGGKRETKDTWWWNDEVQRAIREECFKRLHYDKSTANIEGYKIAKRVAKRVVSVAKGQAYDGLYQRLGTKEGEKDIYRMARIRERKTRDINQIKYIKDETDRLLVKDEEIKNRWREYFDKLFNGENEGLTLELDDSFDDTSRRFVRRIQKAEIGEALKRMKGDEVTRDIQADISWCMLFADDVVLVDDSKTGVNRKLELWRHTLESKGFRLSRTKTEYMRCDFSATRHEEGDVSLDGQVVAKKDTFRI >Sspon.02G0003020-1P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2A:10045342:10045644:1 gene:Sspon.02G0003020-1P transcript:Sspon.02G0003020-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDGLGHAILEDDGLEAALEEVLNSEGKHIIKLVLTLAKETISVHAAEERLTLKDTAWVLLIQGEQLPGRISDTAQGILHAPQFTLAAQAILTNQLQLSI >Sspon.03G0042860-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:73697253:73714294:-1 gene:Sspon.03G0042860-1C transcript:Sspon.03G0042860-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSSSKDGLNKSPIPQRLQSRGLMGLAQKGTKYGFVRTTSKSQFTVLMSSSTITMCVNLKYEDDEPVKSKGVGRTVIDKLQDIYLANVNYAYDGEKKLFTMCALQNVKDEFIVVVEDGSSAKGCLLVRQSFHSPSEPVKLGGGVVRCPGYHSSFRPTQSGLSLNIVYDKVIVHYIQLFSTGTDVSTTMIVEPGPVINFILSNQYIKDPRRIDWGKAKRALRNLRIKTTHTNSEFKISSLSEKSCYEQKFPLKQRNGNGSDFVEITVYDYYLKHWDIRLQDSANFPCLDVGKPKRPTYLPIELCHLVSLQRYTKALTTLQRSSLVQSSRKNPSERKSDLSGALQRSNYNSDDMLKKCGISIAPEFAQVDGRVLQAPKALRCADDVANVAHLLKAGDGQDLIARDGRWNFMNRKLIEAKGVDTWAVVNFTTKWNLRDLQDLVRRLINCGGNKGIVDLQIISPPQSIFDERLQRNASAASRVDDMSRLERRLKRSFVNPQLSCCVFFQIRTVTFMRRCLVEYGVVTQCLAPKKLDDQYLTNVLLKINAKLGGLNSLLEIERNQAIPIVSMVPTIIFGMDVSHGAPRSNVPSIAAVVSSLGWPWISRYRASVCTQSPRVEMIDSLFKPQGEDDRGLISELLRDFNHSSGKRKPEQIIIFRDGVSEGQFNQVLNIELAQIIEACKFMNKNDDTWFPKFTVIVAQKNHHTRFFRDDNNTANVPAVAPVYYAHLAAAQVRQFVRFDDASETASSASGGQASSTSGGQAPPVPELPRLHPSVRLQ >Sspon.06G0023580-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6B:46361449:46364289:1 gene:Sspon.06G0023580-1B transcript:Sspon.06G0023580-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKLQREGEGQARGLVPMDQGGGEGQARGLGAEGPKQR >Sspon.01G0021240-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:82583374:82586589:-1 gene:Sspon.01G0021240-2B transcript:Sspon.01G0021240-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRARIVSLLLAFLFARASEPIAAAAAAPAGSRHLTKDERWMNQRLDHFSPTDHRQFKQRYFEFLDYHRAPGGPVFLRICGESACDGIPNDYLAVLAKKFGAAVVTPEHRYYGKSSPFKQLTTENLRFLSSKQALFDLAVFRQYYQESLNARYNRSGADNPWFVIGISYSGALSAWFRLKFPHLTCGSLASSGVVLAVYNYTDFDKQVGESAGPECKAVLQEITELVDEQLRLDSHSVKTLFGAQTLKNDGDFLFFLADAAAIAFQYGNPDALCPQLIKAKKNRKNLVEAYAQFVKDFYIKKMETPPSSYDREYLKETTPDDSGSRLWWFQVCSEVAYFQVAPKNDSVRSARINTKYHLDLCRNVFGEGVYPDVFMTNLYYGGTGIAASKIVFTNGSQDPWRHASKQKSSKDMPSYLMKCRNCGHGTDLRGCPQWPFRTEGDSSNCSSPAAVNTVRERIAKHIDLWLSQCNKPSV >Sspon.03G0040090-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:26074168:26075141:-1 gene:Sspon.03G0040090-1P transcript:Sspon.03G0040090-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SLKEFKTQATTLASLQHPNLCKLIGYYAKEDSNERMLVYERLHHGSLDKLLFGRPDGRFMDWSKRLKVALGAARGLAFLHDEGPFQAMYSEFSTLNIQIDKDFTAKLSGYGCVGFNTEEISNAPASAANLSVETLEKGLLTPKSNVWSFGVVLLELILEGRTLMPILPKKNAILSGGVGLSLLMIVAYL >Sspon.01G0027820-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:99043533:99048219:-1 gene:Sspon.01G0027820-2B transcript:Sspon.01G0027820-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRRKHGPDFNSNDAEQREAKISELKAALGTSSARGEKYCSEACLKRYLEARNWNVAKARKMLEENLKWRAAYRPEDIRWPDVSVEAETGKMYRASFRDREGRTVVVMKPTKQNTSSHEGQIRFLVYTLENAIFSLPEGQEKMVWLIDFTGWTVAHASPIKTSRETANILQNHFPERLAIGFLFNPPKVFEAFYKVIKIFLDPKSIEKVNFVYQKDEESMKVMYKYIDPEVLPVEFGGKNNVVYNHEEYSELMIQDDIKTANFWAVDAKTDHANSAINGTLVPEVAPQPSLLAAKAS >Sspon.01G0033040-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:113902852:113903954:1 gene:Sspon.01G0033040-2D transcript:Sspon.01G0033040-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAWSRRRRAEGKAVALVPTMGFLHEGHLSLISAAVAASTGPVAVVVSIYVNPSQFAPTEDLDTYPSDFAGDLRKLGATGVVAAVFCPPDLYVRGSADRPSAAGAVSCLEDDGGHAHETWIRVERLEKGLCGSSRPVFFRGVATVVAKLFNIVEPDVAVFGKKDYQQWRVICRMVRDLDFAIQIVGSEIVREADGLAMSSRNVNLSHEDREKVCLLLEVAFCFFNEPFFTMLYNHHQIIERQIL >Sspon.01G0038100-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:27275628:27278414:1 gene:Sspon.01G0038100-2D transcript:Sspon.01G0038100-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNEQGSGRRNLSGALHLRPGVVKNEQGSSHFPRRVRRVRKLTEPTRIRLGSWNVGSLTGKLRELIDAAIRRRVNILYVQETKWKGQKAKEVEDTGFKLWYMRTTSGRNGVGILIDRSLKDGVVDVRRQGDRIILVKLVVGDSALNVISAYAPQVGLSESTKRQFWEDLDSMVSTVPISEKLFIGGDLNGHVGATNVVFERVHGGFGYGSRNQEGEDVLNFALAYDLLIANTLFRKRESHLVTFRSGQHSSQIDFILARREDRRACFDCKVLPGECVVPQHKLVVADFRFRERMLDEGPWEEGEDADDMWLKMATCVGKVDSEVFDVSRGGKREAKDTWWWNDEVQRAIREKECFKRLHHDKSAANIEGYKIAKRAAKRAVSVAKGQAYDGLYQRLGTKEGEKDIYRMARIHERKTRDINQIKCIKDGTDRLLVKDEEIKDRWREYFDKLFNGENEGPTLELDDSFDDTNRRFVRRIQETEIGEALKRMKGGKAMGPDGIPIEVWRYLGTIAIVWLTKLFNLIFRSNKMPEEWRSILVPIFKNKGDVQSCTNYRGIKLMSHTMKLWERVIEHRLRRVTYVTQNQFRFMPGRSTMETIFLLRQLMERYREQKKDLHMVFIDLEKAYDKVPRNVMWWALEKHKVPTKYVTLIKDMYRDVVTFVRTCDGDTSDFPIKIGLHQGSALSPYLFALVMDEVTRDIQGGIPWCMLFADDVVLVDDSRAGVNRKLELWRHTLESKGFRLSRTKTEYMRCDFSATRDEEGNVSLDGQVVAKKDTFRYLGSMLQKDGDIDEDVRHRISAGWLKWRQASGVLCDKRVPQKLKGKFYRTAMLYGAECWPTKRRHVQQLSVAEMRMLRWCCGHTRRDRVRNDDIRDMVGVAPIEEKLIQHRLRWFRHVQQRPP >Sspon.08G0009770-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:42782750:42785397:-1 gene:Sspon.08G0009770-1A transcript:Sspon.08G0009770-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDDEQAVAGAGAPCGACRTLRRRCVPGCVFAPYFTAEDFAAVHGVFGASNVSKMLERVELPEQRRVAAATLVEEAKARTRDPTFGRVSYLRILQEVNDKARAQVDEAGRRSPGTLKHAREQDARLLGVRNANEARWRELHPEAGTGTGKHAGNGKGIDVKRPRGPSLSEQTMLMPRATKQRRSSRPPGFPDERSHQQMAVAEAEREKALSMRQAAPAKQHGHHHLAAQHGETEPPRVPEGYGHGQLTAAAEASREEAMKSVQAPAAAPQRLDSAGQHAGTGQPRHAGDAAAGRTPGQGSTSVLLEAMMQLAGAGDKQHQQQHLVAQHAENEHDITQEMTQLQELLDMAELARKQQMMKNDVMTAMGQWDSAAGGAREQDVMTMMQQIPTNTWQHQDPAQQHAGFRDGPQTTGNMSQQFAWTQQYHDPAAAHHASPWQHQMAEAHQPVAASASQVASEQDTLLLLQQHQVAAAELLSAGGARQFDNLAAQYDDTELTLGYGHPDMHQQVASTGQVAGVQDMNSRHAAAAVHAEREMMQLAAGAQQQQQHAQNGLDIALGNGHPDAETQAMLQELAAIVELADERAMIRKQWEQDPDAAWLQEIMSRQAEPRHAQKELDITPGNGHPDGSTQEIQQAQELSAMAEMQRKREMLMRQFVAAERAREQKLQMLMRQFVAAERAREQELITQQAAAAQQQHPVAQQYSGTELDISLGQGHGHPYWHQPTVQETLQERQLPTVQQMLQEQQLPDAVGFGRHQPDTTSVQQVAAYANGEHGSGAGATMAFLSPGSAKDASFLVDQQPQPNGHQMGSSLPLLPTSLGQLHAQVSHQQRTDGGGQDLNSDLAAYLHCESLS >Sspon.07G0022710-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:10013575:10014597:-1 gene:Sspon.07G0022710-1B transcript:Sspon.07G0022710-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MREQLVAAEKARKDSRAALVEAKKRLAAKKKDDHLAATAPVEHDGGKEPASSAPKASAGVDELDNEEKGYVTVVVPRESVNNEDPPVVEEGNKTSDGEEASNVVDDDDDGNNNKKGSPEVEMLRAKLMAKDMEVYELRAKLMVIDTEVDDLRAKVMAKSTDLDELKAALMATNELVDKLTANLLVKDAEIAALEADNADLTKMAEDAAEAAKSTAARALQTEHALRESAAREARLAERLRASEHARDALEADAQRSRVQSEQWRKAAEEAAAVLAGSGGSVDNGAYDKRRRHWSGSACAGGGSDSKAVAKDDDEDGTSGKRKAGGAVRALSDLWKKKAQK >Sspon.07G0019640-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:72504574:72506851:1 gene:Sspon.07G0019640-1P transcript:Sspon.07G0019640-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DAVRSNLGGAKVVFCGVIQYANLVGVAIGYTGISIGAGVTSTQKIWHTLQAFGDIAFAYSFSNILIEIQVTIKAPPPSESKVMQKATRLSVATTTIFYMLCGCMGYAAFGDAAPDNLLTGFGFYEPFWLLDVANVAIVVHLVGAYQVFCQPIFAFVERRAAAAWPDSAFISRELRVGPFALSVFRLTWRSAFVCVTTVVAMLLPFFGNVVGFLGAVSFWPLTVYFPVEMYIKQRRVPRGSTKWICLQTLSISCLLVSIAAAAGSIADVIDALKVLRKEKKVGYLRAAAQLPLKPPPPLLVAPLEAAAASHRSPPRAAPKGTIA >Sspon.01G0013540-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1A:37312569:37313582:1 gene:Sspon.01G0013540-1A transcript:Sspon.01G0013540-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GHRARPSANRFFFKKNKNRAHPAAPTPSPTLTRPRPIPTRRHPRRSGRAAAAPAAPPGRSGAPAIRGPAAAPAPRRAARPAAPPRPPGPATPPRPRHPAAAPPPRAAAAPPPNTAAQPRRPALQRPRRPAPPPEGGQGRGEGKKRRGGGRREGEEEGGRRRREEEEEEGERRRRGEEGEGRRRRGLFTPSTPLSCPRRSSTREYHRHSKEEDP >Sspon.06G0005000-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:16564996:16569766:1 gene:Sspon.06G0005000-1A transcript:Sspon.06G0005000-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTCIACSKHLPGGAPPLREPPEEDDDEDHAIAGGGGESATAPGTRHAVKSLTAQIKDMALKASGAYRHCKPCARSSSPAASRRQQPYYYGAYAESGSDRFHYAYQRAGSSAASTPRLRTGGAISSGDVTPSFSARTVFLAGDEEGDDEEETAAGSSEEDEAKEWVAQVEPGVLITFLSLPRGGNGLKRIRFSREMFNKWQAQRWWTENYEKVMELYNVQKFNSQAAPLPSIPRSENESSKDDNPATAPLNKGQLLDTLHRPLKGSGAIGYSSSDCLQHQPNHLGNVYRQDRYLGHQCCDSVGLASTPKLSSISGAKTETSIDASVRTSSSPEEVDRSGELSASVSNASDQEREWVEEDEPGVYITIRALPGGIRELRRVRFSRERFSEMHARLWWEENRARIHEQYL >Sspon.01G0011020-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:31015437:31018996:-1 gene:Sspon.01G0011020-3C transcript:Sspon.01G0011020-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALASRAAAAAAAVACVLLALAASAAGAGSHSPAPAPAVDCVSQAASLFDCLDYVQTGSTTRRPSAACCGEVKTAVASPVIVGCLCSLAGSKNLGFPIDMKRVLALPGACGASNAAFSKCNISALSPTEAPAPSTGGGSSSGGAAASPPKAAAASSPMTATALVAAVAAPLLAYCYLF >Sspon.01G0055920-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:85273816:85274298:-1 gene:Sspon.01G0055920-1C transcript:Sspon.01G0055920-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RRLNSGSCSSPPESNSSPPDSTSRPRIELPSTESSSSTIQIELIASGSRSLRDELELWRRKRKRGSKSPNGWREPRQTGSSIGPGSGRHPPFGVPSFSLTGARAAPSSSLISTVHDAHSSLSRSSASSSSAPSFLLPTPLASDWSARREAETYPNRDRFF >Sspon.07G0023250-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:14136743:14149669:1 gene:Sspon.07G0023250-1B transcript:Sspon.07G0023250-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLRWHPIQQANIFDETTDKSSEQCNLQNEEYLSTILSENALTSLDNFPVIMSHNFKPRSSISDIPTRHSTITPCIRVRLKKDEDETNLSSYDSAVNVEISSSLHTIEEFLWPKVSIDVNSKKAESPPSGTALESKYVDDDSQERDSTSSQKADSPSEDQINAGSDIILDMQFWRSVHDITFRAANLEANRTAVNPEANDSPRHSSTAISSINENITGFTWQMLPFFSKGLNRYSFHLVSDERNRSFAHGRITNLDDLKAEVFSIPQQEFVSAKLTDKLEQQMHDPLVSKSCCLPLWCTELMSACPFLFSFEARWKYFQLTTFGSLKNHHGHIMDASVNSVAERVSSHSRKKFKVDRDDILVSAAKMMKSHAKSNALLEVEYKEEVGTGLGPTMEFYTLIKVWSRHVEREFPCESGTNDAHVSGFVVAPNGLFPRPWSASADSASFQEVSERFHLLGLVVAKAIKDNRILDIPFSKAFYKLILGQELNIYDIQSFDSELAISLVEFQALACRRKYAESNLTRDCQIISDLTYRGCRIEDLAIEFGLPGYPEYVLSSGSRSDSLNAENLEEYVCHVVDATVFPLKKLQVFSEDELERLLCGEQDTWDFAKLVDHIKFDHGYISSSPPVINLLEIIQEFGSLERRAFLQFITGSPRLPPGGLAALNPKFTVVRKHNSNDADDDLPSVMTCANYLKLPPYSSKEKMREKLLYAITEGQGSFHLS >Sspon.02G0045610-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2B:109936262:109937017:-1 gene:Sspon.02G0045610-1B transcript:Sspon.02G0045610-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRNEKIAHVSSGRPFLTSGYGLTEPQYGEALPATSRHTCWRKEYLTTPFSGEAFFHPRLARILHDYYPKQQAKVEYKCTKWTHPKYESHWSTEAHITSLNEFTSSRVVESIHSSPADRFDMHAGISDAAHQALLVYRGKHYEEMKDDMQKYFPRRLPGRLQSVMADPRTERNEQLKEMALTIQALHQKLEDSQLELAEVRQHYEEALDEIDEWRSRAGLPKINEVEDVSRSSPRKRCYPRCRCSHTMFKK >Sspon.08G0021570-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:21395091:21396566:-1 gene:Sspon.08G0021570-2C transcript:Sspon.08G0021570-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSAAHQLKQLKPLYQHVVNNFVAVLAAPLAVAVVVNAARVGPDELLGRLQALRAVHVFFAVFVAAAAATLYVMLRPRSVYLVDYACFRTRPNCRVPFATFLEHAKLVTFVEGASIDERSVRFMTRLLERSGLGEETCLPPAHHYIPPYRNMEASRAEVELVIFSAIDDLLAKTGISPRAIDILVVNCSLFAPIPSFTDMIIHRYGMRPDIRNVHLSGMGCSAGLISVGLAKNFLQVAPKGAHALVVSTETITPNYYVGKERAMLLPNCLFRMGGAAVLLSTSRARARFRLARVVRTLTGAQDSAYRCVFQEEDGEGHRGINLNKDLMTIAGDALKANITAIGPLVLPASEQLLFALSFIARRVLNRRVKPYLPDFRTAFEHFCIHAGGRAVIDELQRSLGLSDQDVEASRMALHRFGNTSSSSVWYELAYIEAKGRMRRGDRVWMIGFGSGFKCNSAAWECIAPARTAEGPWAESISRYPVDIPEVLKH >Sspon.05G0007680-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:8815454:8824563:-1 gene:Sspon.05G0007680-2C transcript:Sspon.05G0007680-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding HLLYLFDGSHEWFCKVLREVATLSRLQHQHVVRYYQAWIETEYGHHNILNAGGSRTAESSIFSYDEVSLSDAGGGNKQESTYLYIQMEYCPRTLRQDLETYISSFDVDHAWHLFRQIVEGLAHVHSQGILHRDLTPSNIFFDVRNDIKIGDFGLAKFLKLEQLDHDQYLPTEAMGVSMDGTGQVGTYFYTAPEVEQKWPQINEKVDMYSLGVIFFELWHPFATAMERHLVLSDLKQKGDPPLSWESKFPRQAVLLRSLLSPSPSNRPSAVEVLQNELPPRMEDEWLNDVLRMIQTPEDTYVYDRVISTIFNEDRFAKMQGQHDSSKKSTVNIDNSELLDTIIEVAKEVFKRHCAKRFQISPLHTLEWNFTKNRGNTVKILTQGGEMLELCYELRTPFVMSIAANQTSSFKRYEISWVHRRAVGHSTPYRFLQGDFDIIGGTSPIPEAEIIKVALDLGTRFYDSKTLVIRLNHGKLAEAICSWAGVSQDRRQNVAEFLSSTLVQYWPNEADRKSQWSLIRGQLLQDLRLSEEVVEKLHKADQRFCGSADLVLARLRGTLFYDKSACKALDDLSSLLRCLRVWSVEQPITTIDVLMPPSECYYTDLFFQVKYVLMLCSFKICIFLDIALQFIHLNTTQVYSKEGNHGPSFHEKLLAVGGRYDQLVEQAWDKAYKSKPPGAVGVSIALEKFLPNNPSSDLGLRRIEPSISVLVCSRGGGGLLTERMELVAELWKANIKAQFVPQEDPSLQEQYEYASL >Sspon.02G0042310-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2B:82106927:82107850:-1 gene:Sspon.02G0042310-1B transcript:Sspon.02G0042310-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWPTPPFLYAPSPFVAGASAAGAVVMAFLAISEFRGDNLTYSKFWRGRGGQQGQGTQQRGAGALLVSSRLGMLLLYAPALVAALASFAVPGAVVGARAHLLSSAIAVHFLKRVLEVLFVHRYSGSMPLGTSLLIAGCYLFNGGAMIYVQHLSRGLPEPAVDLLYPGVLAFAVGLAGNFYHHYLLSRLRAGGDDDKKGYKIPTGGLFGLVTCPHYLFEILAFFGFAMISQTLYALTVAVGTAAYLAGRSFATRKWYDSKFDEFPSRIKALVPY >Sspon.05G0023760-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:5B:9721470:9721621:1 gene:Sspon.05G0023760-1B transcript:Sspon.05G0023760-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSDIIKEDEKHSNHSPVIMLLCLPYTREGDYIRTNEAVTIADHPTIRHI >Sspon.04G0013590-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:52269858:52270253:1 gene:Sspon.04G0013590-3D transcript:Sspon.04G0013590-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKNKGKGGKNRKRGKNEADDEKRELVFKEDGQEYAQVTRMLGNGRCEALCIDGTKRLCHIRGKMHKKVWIAAGDIVLVGLRDYQDDKADVILKYMNDEARLLKAYGEIPDNVRLNEGVVDEEDAGAQDDYI >Sspon.05G0000590-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:1489802:1494002:-1 gene:Sspon.05G0000590-2D transcript:Sspon.05G0000590-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVASAKGQLVSALAVAAALAAVLLYRAPFSKVPGIISHSILTAFHSLGGEGCSLLPHDHFWIASDRVVTLGRVGPAAVEVKGGLINAIAVGDYRSFVLRRPLLDYGDAVIMPGLIDVHAHLDEPGRAEWEGFSTGTRAAAAGGITTLVDMPLNSFPSTVSEETLKMKLEAAQDKLYVDVGFWGGLVPENAFNPSALESLLNAGVLGLKSFMCPSGINDFPMTNSTHIEEGLVTLAKYKRPLLIHAERIPEAEDDDVQEDELDPRSYMTYLKSRPPSWEEAAIRDLHHAMKDTESGGRSEGAHIHIVHLSDAKTSLELMKDGHIDMLSSDHSPSTPDLKLMEEGNFLRAWGGISSLQFVLPVTWSYGRKYGITLNQLASWWSEKPAKLAGQKNKNISAYLGKQLSGKVDPELPLMIRSSKGIGMHFALIPN >Sspon.03G0028750-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:10921597:10922976:1 gene:Sspon.03G0028750-1B transcript:Sspon.03G0028750-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSAMIQPRCTLHQVRSPGRPEPSRACFAAAATVNEAATSPSTSARAGAGARASAPVASAARRTSTPRSRTASVANMWRQVQGSHDWDGLLQPLHPVVRDEVARYGELVGACYKVLDVDPSSARYMCCNHPKERVLEEAGVAGAGYEVTRYIYATPDVAVAGGPSTSGRGRPSWVGYVAVSTDEMTRRLGRRDVLVSLRGTVTQAEWAANLMSALEPARLDARPDVKVEAGFLNLYTSSPGGGGMGSCRDQLLREVSRVIKSFSVDRPREDMSVTLAGHSMGTSLAMLLGYDLSQLGLNRDASGRRVPVTVFSFGGPRVGNAAFKDRCDELGVKVLRVANVRDPVTMLPGALFNEGTRGFLASWAAGDRYTHVGVELALDFLSLRDLGSVHDLGAYVSSIKAEAGGKVSKSDNAVADSRGATVLAKAMEFVASQRAAAFAWPEAALGIGGVVQSLGLI >Sspon.07G0000390-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:624467:627439:1 gene:Sspon.07G0000390-1T transcript:Sspon.07G0000390-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRWYANVKEVGGGDTTVVLAHGYGANQTLWDKLLPVLSEHHRVILFDWDFTGRGGADEEEEEEATAGRYTFGRFADDLIALMDDKGARGAVVVGHSMSAMAACIASVRRPDLFAHLVLLCASPRYIDSPEEGYVGGFDGMLGAMSSDFGAWVKGFVPNAAGGDPSASPALEQSFLSMHPGVALEVARMIFLGDQRGALDAVAVPCTVVQVAADFAASPAVAEYMQRRMEKAAEVEVVVIDSVGHFPQLVAPQQLLAVLQRVLQRTGGEVVVVAEEEQAAEEAAEVVEADGGR >Sspon.06G0010130-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:55520477:55523786:1 gene:Sspon.06G0010130-1T transcript:Sspon.06G0010130-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPARTNEKEAHGHGGFPFSTLLSHCVIPPRSVCICAAPNPPPAQEPPLRSGEDRPGCEKKKVATVVDPRKQSWILMSHSQEMTSRKPPGLRLLGGAGSLRTYQTLVLVLTFFAYTCFHMTRKITSIVKSALDPQTKVGFSHWGRLHMSKSNALNIGWLPFNTVDGSALLGEIDVAFLAVYSIGMFFAGHIGDRMDLRIFLTIGMIGTAIFTTLFGAGYWLNVHSFYYFLVIQMLSGLFQSIGWPSVVAVVGNWFGKSKRGLIMGIWNAHTSIGNIAGSLLAAFLLKFGWGWSFAVPSLIMALVGLMVYLFLPVNPEVMEIDIDSGEFNREKDTVKEPLLEPGQEVKHKAVGFVEAWRIPGVAPFALCLFFSKLVAYTFLYWLPFYISHTRIGGQYLSDAMAGSLSTIFDVGGVLGGVLAGHISDRLNARAITAASFMYCAIPALFLYRTYGSMSIMWNISLMFITGMFVNGPYALITTAVSADLGTHSSLNGNSRALATVTAIIDGTGSVGAAIGPLLTGYISSKSWSAVFTMLMAAALLAGLLLTKLVCAELKGKTPSNASKGVADAQGTYSDEV >Sspon.08G0018340-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:7326298:7331831:1 gene:Sspon.08G0018340-2C transcript:Sspon.08G0018340-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEQGDGSEAVGADPIPVGWKPSQPHSTVPTVFPRLGNEREMVIGGLDDGAGASARSGAKKQRVDEQGDGSEVVGADPIPVDSISALPDDLQRRIPTHLALKEAIRTGVLPCGWCNLWRSRWDRNSIEVCLRSSDDPQRELGALEQEPTPRLRMDRFSLVAETSWLKSSELRRFIRYAADCRIEDLHVETRKSSKTKLNFHLPLSSRTLVCLSLRRISIFNMYYKGARPFHALEAIRLYSVSIKVGFTKMMELCPSLVTLDLRGCDIHGDPDTPILPAFSNEPMEGPIDEVREEPPQDGFDSLVMVKVMNFNWRPTEVQLMSFLLRKASSLRKLFIVSPNVTPLDLPGVQEADLLLLKVAVANGEGFPQIGDEREMAIDSAGGGGSGAKKQKVDEHDRCEAAGSDAIRADRISTLPDELRLHILTHLPLKDAIHTGALARGWRDLWKRRWAHRASLKVHLGSRDGLWRELDALARELRPCRRLERFSLIVDICNLKSSEFQRFLDYATECGVEDLHVETRKTTAEAKLKFHLPLSSPALTCLSLRRITVSMFYKGARRPFHALE >Sspon.07G0021660-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:4721621:4721887:-1 gene:Sspon.07G0021660-1P transcript:Sspon.07G0021660-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPSPAFFSMRASRGPFGFLSGEPLIGEAKLLLSSLLLPHFLIFCIRLDLLLRKLYLLEELSIEILSKSSLPGEEGIEETLSMSVSP >Sspon.03G0031340-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3B:27112765:27114727:-1 gene:Sspon.03G0031340-1B transcript:Sspon.03G0031340-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding QERFYFGDSVLPCFESGIPLL >Sspon.01G0047800-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:109169034:109173583:1 gene:Sspon.01G0047800-2D transcript:Sspon.01G0047800-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAICTESTKSDHSKGTGAHGGKWHPRLGTLKHVALATRRILIGQPLFPLIGLGWSWFTRLPGNYGLLLYVRMYIKVASEAVALVAAAAALVGARSSSCPPPSRSRSSPPLPMPRSSLSPPLPRPSSPTAAAFDSRSLSSLRFRWQMDACIYIAIVNAMNSSSTQHPLDELAVVAAPVPRLSSSSSMLRSSSPAAAALDSVALVAAAADAALELVTAAATLELTHRRRDRLCRARARRRPCRRRVRLDLTGRPHSLLRAVRFALAIHQESFRGTTAREVSAEVMAVLVRSSSPAAAAAVAALIALASVAGEVFFQEKFDDGWEDRWVKSDWKKDDNTAGEWNHTSGKWNGDADDKGIQTSEDYRFYAISAQYPEFSNKDKTLVLQFSVKHEQKLDCGGGYVKLLGSDVDQKKFGGDTPYSIMFGPDICGYATKKVHAILTKNGKNHLIKKEVPCETDQLTHVYTLIIRPDATYSILIDNDEKQSGSIYDDWDILPPKKIKDPEAKKPEDWDDKEYIPDPEDKKPEGYDDIPKEIPDPDAKKPEDWDDEEDGEWTAPTIPNPEYKGPWKQKKIKNPDYKGKWKAPLIDNPDFKDDPYIYAFDSLKHIGIELWQVKSGTLFDNILITDDPEYAKKFAEETWGKHKDAEKAAFDEAEKKRLEEESASAKDDDDDLDVDEDEDDADDDKADNTATGEAKDSADAEGKVASDAKPAEDSKEAPAEEKKHDEL >Sspon.02G0014030-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:30679053:30679550:-1 gene:Sspon.02G0014030-4D transcript:Sspon.02G0014030-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGGLSKLKCMIKRWHSSSRISRTPSGCSARSHDVGSVDAAGAGGFALENSWRRGVDASSVFAFGGGGGTAGSASFHGVDSVPPGLHPVYVGKSRRRYLIAADLVGHPLFQNLVERSGGTGVGAAGGTVVVGCEVVLFEHLLWMLENADPQPESLDELVEYYAC >Sspon.01G0018250-2D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1D:64537151:64537507:1 gene:Sspon.01G0018250-2D transcript:Sspon.01G0018250-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding PNLVCSVNGWRLVTWSRIISAEVWSTRLIVDVDDIDFTAQSAEGFTYQKMHYHLPAISMDGDDDTIYFKAVHKFSDQTGYLVSVDMRNKALKVSSPLPFPMDRAYRSHPISKIHFGPK >Sspon.08G0013660-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:56922067:56946024:1 gene:Sspon.08G0013660-1A transcript:Sspon.08G0013660-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fanconi-associated nuclease 1 homolog [Source:Projected from Arabidopsis thaliana (AT1G48360) UniProtKB/Swiss-Prot;Acc:Q5XVJ4] MTRRTNVRLTECGIHMDTIRKFDFCVGIYSADMCLTRGTKRKLTQSTLLNFRFSKKVSTEPTANNLNNEIETENMKQIDEDLSSDQTFFAFDSEIGSSKAGSIISSPTCLNGSLGTSETITSYAPSNTVLPNVKDAVNDGAGELPTVATSCSIDECTVMDSSTVVAVDTVIVGRRFHENVELREDAVITFLRDPQNAKDPDAIKVLYAGSECEETLGYLPRDLAKVLAPLVDKHYVECEGFVVGLHEQQFGNVPIQITIQKCKSDNLINDDPNYCQSLWENFVTTVKSENFRRPRSARYQTNFNLMMADVMANHAHVFSDIEKSFLASFKSLSDDGQRLFVRIYTRKGPWFRKSSISYQEILDLEHAVMELKCYIDMLSCTVDPSEYDMKEILDVLSVPEMKEILKELPKDNTSCTRRHELVSTLLSSYHNGTCASLPKRILKWTGTCIRISKMADELLWRIQRLFFLNGDQDLSSFLLVEFGVVKFPDYVCSISHRIFQERSDLLDYEDAIRVAQVMDESLDNNNMDLVTRCIDLSENRLCTMRKQENATTPECLPSFFSRFSASWVYSKILTLGVSVYERDRRYEDAIRILKILLSKVACDRRRGYWALRLSIDLEHMGRANESLSTAEGGAIDPWVRAGSKFALQRRVLRLSKPPRRWKVPSYADYVKRNIREVSIEGRPLNCETGAKNVFYGYDGELCGVEQLALQYYADEGGGWQGTHSEGGIWMTIFGLLMWDVMFSNIQDVFQSKFQTAPLDLETDDFYKSRKDLAESQLKKIQDGMAEEMLISSWELHQGTSCQGVNWVRYSLTDLRAVVACIGGHRLASLLRHLAVDYRSWSSGMPDLLLWRFLDERGGGEAKLVEVKGPRDQLSEQQRAWILVLMDFGFDVEVCKTASHLDPIGSIAGALRCMSTLVL >Sspon.06G0032210-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:66183222:66184735:-1 gene:Sspon.06G0032210-2D transcript:Sspon.06G0032210-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRCLVLAAVSLVLLTLALPARASIPFTEKDLASEESLRALYEQWHSHYMVSRPGMQEQDKARRFNVFKENVRYIHEANKKDRPFRLALNKFADMTTDEFRRTYAGSRTRHHRALSGGRRHGEGSFMYAEAGNLPPAVDWRCWAFSTIAAVEGINKIRTGKLVSLSEQELVDCDDVDNQGCNGGLMDYAFQYIKRNGGITTESNYPYLAEQRSCNKAKERSHDVTIDGYEDVPANNEDALQKAVANQPVAIAIEASGQDFQFYSEFNQNCALMAALLGASSQGVFTGSCGTDLDHGVAAVGYGITRDGTKYWIVKNSWGEDWGERGYIRMQRGISDSQGLCGIAMEPSYPTKTATHGAITIDAHASY >Sspon.02G0051690-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2C:81441574:81441781:1 gene:Sspon.02G0051690-1C transcript:Sspon.02G0051690-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRIQIDNISTAPPFLSIRIQPETWALSMTFFDDAMQAPLRPADKEHLIADVSLRPSTRLVNTQRKEE >Sspon.05G0022400-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:7355575:7359218:-1 gene:Sspon.05G0022400-1P transcript:Sspon.05G0022400-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVASIGIMDGAYFVGRGEILHWINANPPALPRQGRGGGVWGCAVPADGYGSPWSEYDMIQNYKVLQDVFNKLRIGKNIEVNKLVKGRPLDNLEFLQWLKRYCDSVNGGIMNENYSPVERRSKGCKERGSKGSNKLSKLLQANRLPGADSADEGPRVGKVCNTFSEEHYIEQIQKLSEKIADLKVSVDSTEKERDFYFSKLRDIEILCQRPELEHLPMTKAVRKILYAADAKDSPLPEANDIITKSPSLFSSETE >Sspon.02G0034560-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:13221291:13228065:1 gene:Sspon.02G0034560-1P transcript:Sspon.02G0034560-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSPNPSSGDLPAGAGGSPEKPVLADRRVAALAGTGARYKAMSPARLPISREPCLTIPAGFSPGALLESPVLLNNFKVEPSPTTGTLSMAAIINKSTHRDILPSPRDKSAGSGQEDGGSRDFEFKPHLNSQSAAPAVNNQNHHDTPMQNHSSNHASPSSNLMTENKPLCSRESSHTANVSGAPNQPVSIVCPSDNMPAEVGTSEMHQINSSENAAQETQTENVAEKSAEDGYNWRKYGQKHVKGSENPRSYYKCTHPNCEVKKLLERSLDGQITEVVYKGRHNHPKPQPNRRLAAGAVPSSQGEERYDGVAPIEDKPSNIYSNLCNQVHSAGMIDTVPGPASDDDVDAGGGRPYPGDDTNDDDDLDSKRRKMESAGIDAALMGKPNREPRVVVQTVSEVDILDDGYRWRKYGQKVVKGNPNPRSYYKCTHTGCPVRKHVERASHDPKSVITTYEGKHNHEVPASRNASHEMSTAPMKPVVHPINSNMPGLGGMMRACDPRVFTNQYSQAAKSDTISLDLGVGISPNHSDATNQMQSSVPEPMQYQMQHMAPVYGSMGLPGMPVTAVPGNAASSIYGSREEKGNEGFTFKAAPLDRSANLCYSSAGNLVMGP >Sspon.07G0007900-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:15210442:15214808:1 gene:Sspon.07G0007900-3C transcript:Sspon.07G0007900-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAPALRLLLAFLAIGSCIAADNIDLWPMPQSVSHGTQKLYIKKDITMSMVGSTYSDEKSILKDAFQRMVDLITLNHVVDGINPSSSVLTCVNIVVHTSEDELSFGADESYNLTVPTTGDPLYAQIEAQTVFGALQALQTFGQLCYFDFTSRLIELNSAPWIITDRPRFPYRGLLIDTARHYLPVKIIKGVIDAMAYSKLNVLHWHIVDEQSFPIEIPSYPKLWNGSYSYSERYTMSDAIDIVRYAEKRGVNVLAEIDVPGHARSWGVGYPSLWPSESCREPLDVSKNFTFEVIDGILSGEHKLVMFLYQSINSRQSFYRLNNNHMNVSDAYRDFVLRSQKIAISHGYDIINWYPLFDKFSRFQLGEDVAPKVVAAGHRCIVSNQDKWYLDHLDASWEGFYMNEPLKGINDTKQQQLVIGGEVCMWGEEIDTSDIQQTIWPRAAAAAERLWTPIEKLANDTRFVTSRLARFRCLLNQRGVAAAPLAGYGRASPSEPGPC >Sspon.07G0008880-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7B:23014389:23020973:1 gene:Sspon.07G0008880-2B transcript:Sspon.07G0008880-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIPGAGAADAEPCRPRSARYAEKGYPNYAEHDQGLAPRWRKDGTGGRSNKPEARRGRKRGIGDAAAEEVEVAAGKAPPPILQREEAVAQDQGRKRRMTGAAAGVAAAGKAFPLIADNDEEEVEVSATEGGGDERGAAGVCSENPRLRVMKTLRAFSTNYLHFVQISLLTLISPFKGKEKLEGVCQAGIGIEEQRRAESVMQELKACGTLKRKMPVKYGKIKPLAKQHVKRGPSGSTRGRKPKNRNNQQNGGYQEAKRPSKRPDLKALTKAWTEVGDQFYSRAEMVALGIHSHWLRGIDYMGMEYRDKKGCENFMFPLAICIVMSGAYEDDVDNADEIIYTGQGGNNWLGNRRQKAEQTLLHGNLALKLVDYPSGT >Sspon.01G0032550-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:109796418:109802636:1 gene:Sspon.01G0032550-1A transcript:Sspon.01G0032550-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLDPDSSSPAPAPPHRDWFFPPAPPFLPSSRARTPRTPFPSTYRSSNPYSAYSLADRRPPPTPRSRSRSPHPPPEQQQQLTPLPSTPPSAPRRRDPRYAGVRREDVRTAASEQAAPPTSAPVHGRKLAASAIAPRWSGVLSAAVILLCLASLLRRNFSLHDQVYHLQEQLAVATAKLQACIVDSSLDMSSINLLYQDANSTTQNRSLKNLSLLISLSVLYAPLLILKYADLVSKIRSSRDSEEVPINKRFAYRVDIFLSLHPYAKPLVLLVATLLLIALGGLALYGVTDDSLSDCLWLSWTFVADSGNHANAEGFGPKLVSVSISIGGMLVFAMMLGLVTDSISEKFDSLRKGRSEVIEQSHTLILGWSDKLGSLLNQIAIANESLGGGTIVVMAERDKEEMEADIAKMEFDLKGTAVICRSGSPLILADLKKVSVSKARAIIVLAEEGNADQVSFSDQAMLFLKALPYIIAPFLQSDARALRTVLSLTGVKEGLSGHIVVELSDLDNEVLVKLVGGDLVETVVAHDVIGRLMIQCARQPGLAQMAKLDGMRFEDVLISFPDAVPCGIKVASYGGKIILNPDDCYVLQKGDEVIVIAEDDDTYAPAPLPKVKEAVYIDIVHPERKPQKILLCGWRRDIDDMIAVRRGYLPKDFVVPKSPERILFCGWRRDIEDMIMVLDAFLAPGSELWMFNDVPEVDRERKLIDGGLDFSRLDNITLVHREGNAVIRRHLESLPLESFDSILILADESVEDSAIQADSRSLATLLLIRDIQAKRLPYKESLVSHVSRGTFSEGSWIGEMQQASDKSVIISEILDPRTKNLLSMSKISDYVLSNELVSMALAMVAEDRQINNVLEELFAEQGNEMQIRPSDLYLREEEELNFFEVILRARQRKEIVIGYRLEAAERAIINPTDKVSRRRWSPKDVFVVISEKE >Sspon.02G0041720-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:81800484:81801204:-1 gene:Sspon.02G0041720-2C transcript:Sspon.02G0041720-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTFSKMQQEGLMPNIVSYGTVIDGLCRIGRLDDAMSHFCKMIDDGLSPNIVIYTTLIHGFSMHGNWGKAEELFYEMMDSGIRPTVVVFNAMIDKLFKEGKVTEAQKLFDLMPSAGVKPNVVSYNTMIHGYFLAGEVDEVLKVLDDMLLIGLKPDVVALNTLLDGMLSIGLKPNVATCKTLIDSYCEDSRIEDVLTLFREMLKAAKTDTVTEKIILLEGLPSRWNSTEDDQKRKT >Sspon.02G0020330-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2A:66383984:66384349:1 gene:Sspon.02G0020330-1A transcript:Sspon.02G0020330-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLALALLLLLAVAASAGGSHLDLDLDLGFLSSGARGRRECRGGTVGECLAEESALDLGASASAESHRRALYGGGYISYRALRRGNVPCSRRGASYYNCRPGAQANPYHRGCSRITRCRG >Sspon.06G0028630-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:9092543:9093009:1 gene:Sspon.06G0028630-1C transcript:Sspon.06G0028630-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LQPPETRSAVDCRSFQKFADTRAPPRPQKPKSTSRSLVSPSAQVLSRRDESRSCSPPRRPSPNPDSDRPLLLAVEVEGDGTRSLLK >Sspon.01G0018390-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:64997073:65000425:1 gene:Sspon.01G0018390-3D transcript:Sspon.01G0018390-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQLRYIKSLYFGPPPAKLTGFFVVMRQGVMQDLEYAMRTKGGLTTEEEKTLRVYKFLPTGFFVVGSVFGTFVGWFGFDKGCAYFIGKGWAHGIMHDCPAMLLNKEEGRMKMELANIILNKHSDDAYLVEVVKRHFFAEHLFDDLHQDQPLFRWHPRHSYIDSTFVERMKGVEAANSDDVARSISGETNANTTPFGDLMEDSLACILGSPGCNKESNNPPEKTGTVLKRSELQTRRRGRRHHHRHADD >Sspon.03G0024630-1T-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3D:59506160:59507780:1 gene:Sspon.03G0024630-1T transcript:Sspon.03G0024630-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVSPFLALVLIAALSLLLLFAIRKPSQNRGDARRLPPSPPGLPVVGHLPFLGSLPHRKLRSMAAWYGPVMLLRLGRVPTVVASSAAAAQEVMKTRDLAYASRPRVRMAERLSYGRDMAFAPYGEHWRQARRVCVLHLLSQRRVHSFRHDREQEAAALVDRVRREGARDDAVNLAALLISYTNGIISRAAFGDDGSSGNYGGKKLTKLFADLEELLGTITVGEFVPWLAWVDTLMGLDAKVTRTYEEMGALLDRVIEDHRQRRRAGGYRKDDDHRDFVDVLLDVNEAAEDTDAGRGVMFDNGSIKAIIRNMFAAGTDTTYTTLVWAMAELINHPHEMRKVQDEIRAAVGGVTEDHLKKLRYLKCVIKETLRLHTPVPLLLPHETIEDTELLGYHVPARTRVAVNAWAIARDPATWERAEEFLPERFAGDDLTKDYLPGQDFRFVPFGAGRRGCPGVGFAVPTLELALASLLYHFDWELPAGAGSKLEMDEVNGLSVRLKATLYLVAKPWAPQ >Sspon.08G0004110-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:11935425:11940632:-1 gene:Sspon.08G0004110-1P transcript:Sspon.08G0004110-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRAAAATITAATSSLLRFVRHIASSTNEEAAAKAAAATADTGGPTIFDKIIAKEIPSSIVYEDENVLAFRDINPQAPVHVLVIPKVRDGLTGLDKAEPRHAEILGHLLYAAKVVAEKEGVANGYRVVINNGAEGCQAVYHLHLHVLGGRQMKWPPG >Sspon.05G0023100-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:1017636:1021524:-1 gene:Sspon.05G0023100-2C transcript:Sspon.05G0023100-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVAAVSPARWVLSKALGPVADGLLEAWAASTGLGPNVETLKMELLYAQGMLDNVQGREIRSPALKELLLKLQQLAYGADDVLDELDYFRIQDELDGTYHATDVHGIILNTRHTARAVATCKEAEVLGLAHAIRSIPNSPSIQSDQNGGCMSKVASTARRAAHTIGKHHPCCSFPCVRDNAHSDMLDASNMPGNACPSFCCACPSKIQIQEGKRVVQIPKLKFDRVQISNKIKDITEKLRPVSAKVSIILDMELLGSAILKLEQLGSNRTTTQNSAMDRPKSTPDIIEPKLYGRDNQKQIIINGIKHGEYFSDDLVVLPIVGPGGIGKTTFTQHIYKEVKDHFEVTIWLCVSLNFNASRLAQEAEKTIPKVDDDMWTCHEDEWKKLLAPFGRGGQKGNMVIVTTRIPEVADMVKTIDCPIKMDCLEAKDFMHFFEACVFGHQEAWKDHPELRDVGEKIVSNLKGFPLAAKTVSRVLRNQLTLDHWTRVLESKEWELQTNENDIMPALKLSYDYLPFHLQRCFSYCSLFPEDYEFGSDELIHLWMGLDILHSSSQNKRTGDVGLSYLIDLVNNGFFIKNEKDDGTSYYVLHDLLHDLAVKVSSYECITIPSYNARSIQIPTSVRHLSIIIDDKEVENKESFDNFKKELRELDKRLNTENLRTLMLFGSHHGSFAKIFGHLFRKAKALRSIYLSEASYIVEDVLHNFSKFVHLRYLRIKSEYMEDKCLLSALSRLYHLEVIDLQEWRCCSGSELRSFEVGKECKGFELSQLEQLSELGGSLAIGNLEKIQTMKEASEAKLIHKNHLHKLTLEWDVNRLDKDPENEANILEAMKPHINLQDICIRGHGGTNSPKWLGENLSVKNLKSLHLDNVSWEIFPPIGELWMVNEHDCFELTELSFSHSTCCEQQKEAKINWFPRLRELKIKGCPKMLSFPPVPWTRSPCSANIGVGSGLEKLVCEENYKSEYSLHIRMKDALVSELGNMLDFDNLTELKEVRMDTCPPLPLHHFQMLSSLKTLRLYNGSSIVFPLVEGEIHAKYQSSVECITVRGWNASAKELTRLLTYFPKLSELYVWFCEKITGLAVVEKQQLEIRYCPELSLRSNSIDHNRESGRSGGGQGLQ >Sspon.01G0024760-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:97573128:97578104:1 gene:Sspon.01G0024760-1P transcript:Sspon.01G0024760-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDDNSSKNKLSWSKTLVRKWFNIKTKAKDFDSDYAADEVGVQWRTSFSDRDACKSKKIRTERLPKRNVERDGRVGNGFDGAYITNTQDYRVFVGTWNVGGRSPSSHLNLEDWLHTSPAADIYVIGFQEIVPLNAGNVLLTEDNGPAKKWVSLVRKTLNNLDQQGSGVYNYHTPSPAPDPIVELNVDFERSSRRQRNSSFFHRRSFQSFNRSSRIDMMDPHSLVDRRFSVCDRISFGSRPSDFDTSMRCGGSSDDENIDEESPSGIFFPPMPCAYGAPLCTDSRYCLVASKQMVGIFLMVWVRNDIREHVKNLKVSCVGRGLMGYLGNKGSISISMSLHQTSFCFVCTHLTSGQKDGDQLRRNADVVEILRKTRFPHVHGAGDKKSPETILDHDRIIWLGDLNYRIALSYRSVKALVEMHNWKQLLEKDQLRIEQRFGRVFAGWKEGRIYFPPTYKYSYNSDRYAGDDMHPNEKRRTPAWCDRILWYGRGLTQLCYVRGESRFSDHRPVYSIFTAEVQIPSQAQFGGITRSASLLGLDELPYPTYPRSYMDINFY >Sspon.01G0013290-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:36394400:36397945:-1 gene:Sspon.01G0013290-1A transcript:Sspon.01G0013290-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAQDYYSTAVAAAGLALLAMCSYYLLLVSRRGQRGGGGNGKQPKRYAPVVGTVLHQLYHVRRLHDYHTDLFRQRKTFQLLVPAGRRQIYTCDPAGTFNYENMSDLLGDGIFAVDGDKWRQQRKIASYDFSTRALRDFSGAVFKRNAARLAGIVSRNAASGQPMEFQGLALRATMDSIFTIAFGLDLDTLLGGGSGSGEGSRFAAAFDDASEFTLLRYVNAFWKAQRFLGVGPEAKLRRRVKVVDEFVYKCIRDRAQELSDSKATEDAVPDSRQDMLSRFIRTATNETGTVDHKYLRDIILNIVIAGKDTTAGALAWFLYMACKHPEIQEKICQEATKVTSASETATVDEFAQSLTDEALNKMHYLHAALTETLRLCPSVPLGDIVFYVPYAMGRMEYLWGKDAEVFRPERWLDQNGEFQQESPFKFTAFQMKVFAAVLLRFFVFRLRDGEKAT >Sspon.03G0021000-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:64751012:64760576:-1 gene:Sspon.03G0021000-1A transcript:Sspon.03G0021000-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSWLRSAVSKAVEAGGRSGVARTVLGYADAVAHHAGQAVAEGAKIINERMSTQNYKSVKLTVKRLEEVAVSSRGDERVQVLRRWLRPYRRSRLKLEVRVGLPGRMSLQASQTLRKHHWLKFLKLLRKKRICLTGGKEVNNAIVSSIQDLAKSFSNYHDEVLVKREELLQFTQSAISGLKRNADIVRIDAEAVELWKKLDEKEESRVQSIGDPDKGTEKTSTVESFKEALTEVRFCSRMEELLLKKKSINTGDSLEIHSQKACLFVEKLKVLASSLANSSSKAEKRILDHRRQKEEALNFRAKKENEVIAVEKDLTTEISALEKQRDELEAQLKKVNISMNAAVGRLKQTREERDQFHEANNQMIFSLQAKASVVKTWVNFLEDTWKLQSSYNEQKENKTNDELERCTSNFLKLTKRHLSYFKEVLSPSIERICTYVDNLAVLNSREESIEHGGDDEVSKKTSPQKSLEEEYLETEKKIVIAFSIVDRMKKMFYSEQGANSRQDDAEVRTLFSEIEKLREVFESVERPTLDIEVRKAKEPMKDKSGSSHSTKDKSEASHSPVQAPSSPKDVPVDSPKSPVKSEQMLDTDSEVAKLELEFGKVNKDHEEISGWDFDELEEELRADISKSDNPK >Sspon.08G0003640-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:6895324:6899325:1 gene:Sspon.08G0003640-2B transcript:Sspon.08G0003640-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKGKSVVAELAASFSDVRVAPRQNPKPKSFLPSPSFYSFSKKAKPRKLVSLCLGTLGQHLEDIIADISEFAALFPPHIKLAIMSIARRRRLLNDEVLTSLAESSWEILDISGSDVTDAGLATVANVCSNLRQLILVAVKKLLLLECLRLGCPRSEVTARRCLNLLKPKLNTLEGDSWEELDTLDIGGGAESLRWLVWPKIDDNSKETLAAECPRVTVNPQPSLFDLSGSKVPVEALASVPLDHSVVEDIDPKTWAVSAAPRRPVAPPNPNAPPEIPIAERFRLAYVEREARLAPKRAKRERQQRRRAERTT >Sspon.01G0049360-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:97012593:97014384:1 gene:Sspon.01G0049360-2D transcript:Sspon.01G0049360-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEAPAVVVVRAYDDDDARDRAGVEEVERACEVGCMSGGGKMCLFTDLLGDPLCRIRHSPDSLMLVAETATGPNSTEIAGLVRGCVKTVVSGTGTTQGQQAKDDDPIYTKVGYILGLRVSPSHRRKGVGKKLVDRMEEWFRQRGAEYSYMATEQDNEPSVRLFTGRCGYAKFRTPSVLVHPVFRHALKPSRRAAIVRLEPREAELLYRWHFANVEFFPADIDAVLSNDLSLGTFLALPSGSSSRTGPGWEGVEAFLAAPPPSWAVLSVWNCMDAFRLEVRGAPRLMRAAAGATRLVDRAAPWLGIPSIPNLFAPFGLYFLYGLGGAGPDGPRLARALCRNAHNMARDGGCGVVATEVGACEPVRAGVPHWARLGAEDLWCIKRLADGYSTGPLGDWTKAPARHSIFIDPR >Sspon.02G0014980-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:40347040:40351515:1 gene:Sspon.02G0014980-1A transcript:Sspon.02G0014980-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVLEFAAGKARSLAAATDQERRHVHKGDLARIETRRRQEAGHGVSTPKSQGVASPVGPPTPPRRPPEARSGGQADAGSRSHPPGRDIRRVDEEAADEPGAQFLASATDFLHDFSDTESSVSNSMYRSMTPSPAESPTCVVRLDDASDPDATTLTDSDDARELVSAASIAGEREVVNASTHIVDFGDAIWCPPPPEDERDDVESRIFGFDDDNDDAFLEPSCFGDNKIASGCGAFGGPQQGGVQNDLLKHFRALVAQLLKAEGISFSSDDNCKSWLEIVSSLAWQAANYVKPDTKKGGSMDPGDYVKIKCIASGNPTDSNFVRGIVCSKNVRHKRMVSEHRNVKLLILGGALEYQKVSNKLASIGTILEQEKEYLRTVVGKIESRQPNVLLVEKSASSFALELLAKDISLVLNVKRPLLDRISRCTGGQIASSIDNIASARLGQCDLFKVEKVSESLLAEHGEKGSIKTLMFFEGCLKRLGCTVLLRGTCREELKKIKRAMQLAVFAAYHLSLETSFLADEGATLPKIPSISVTGAPDISASPTDDTPDSIRNAEETHPQNSTISQIFEVISASSTLLPCAGVSQGITPECRASKFPVDPLNSQDPSNLCHPNVSCNADLISPCSVSDDFRATCAGTQHGDSVSLQSSIAADVWDTDDKDKLSAGSLSGTDNNQSILVSLSSTCIPKSLACQRSHLLRIKFYGSFDKPLGRYLREDLFDQAYCCPSCKEPSESHVRCYMHQHGSLTISVRRLQSQKLPGEHDGRIWMWHRCMRCKLKDGMPPATHRVIMSDAAWGLSFGKFLELSFSNHMTANRIASCGHSLQRDCLRFYGYGNMVAAFHYGPMITLSVDLPPPVLDFNSHATQDWVKREAVEVFHTMELLHTEVYDVLNNLEKSIITDDDSTKTSIQRQIVEMKDSLNVERNEYEALLLPVIMGSAHSFKSNIDILELNRIRRSLLLDAHTWDCRLCGIESLEAVGHISRTDPFNQGKTQGTNEGRSDLLQIGRKHGGTYEEPCPQHSSESPMKSLLSTKGHVNDKQSVMVETDLPVGLVDGVAGGAGGLDLIFNKFDTCEEGRRLSKYPSKTEPVERLPSLASILADKIDMAWSGFGEIDYNLPHGLTKANENRSLNLLGNPS >Sspon.04G0014770-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:58048083:58051677:-1 gene:Sspon.04G0014770-2B transcript:Sspon.04G0014770-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGAVLVAIAASIGNLLQGWDNATIAGAVLYIKKEFQLQSEPTVEGLIVAMSLIGATIITTFSGPVSDWIGRRPMLILSSVLYFLSSLIMLWSPNVYVLLLARLVDGFGIGLAVTLVPLYISETAPPEIRGLLNTLPQFSGSGGMFLSYCMVFGMSLLPSPDWRIMLGVLAIPSLFFFGLTIFYLPESPRWLVSKGRMAEAKKVLQKLRSKEDVSGELSLLVEGLEVGGDTSIEEYIIGPATEAADDHVTDGDKEQITLYGPEEGQSWIARPSKGPSMLGSVLSLASRHGSMVNQSVPLMDPIVTLFGSVHENMPQAGGSMRSTLFPNFGSMFSVTDQHAKNEQWDEENLHRDDEEYASDGAGGDYEDNLHSPLLSRQTTSVEGKDIVHHGHRGSALSMRRQSLLGEAGEGVSSTDIGGGWQLAWKWSEKEGEDGKKEGGSKESTYTKREFLAQEWAQLFHFLVVAMFLRVASLYMLLL >Sspon.02G0032640-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:121163295:121170920:1 gene:Sspon.02G0032640-1A transcript:Sspon.02G0032640-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPLAHSLHAAVDRRWLLPLAIGSALSLLLLVALTTFPSTSTPSSSSLFVEHKLSPSPPSPAAEASPPRIAFLISGSAGDASALRRVLLALYHPRNRYILHLDAEAPDSDRRSLAADLASHPAIAAAANVRVVDRANLVTYRGPTMVANTLHAAAAFLWGHAGDGGSHWDWFINLSASDYPLVTQDDLIHVFSKLPRDLNFIDHTSDIGWKEFQRAKPVIIDPGLYMKKKADVFWIPQRRSVPTAFKLFTGYFHTVVCNADEFKNTTVNHDLHYISWDNPPKQHPHYLTVEDLDRMVASDAPFARKFHEDDPVLDKIDEILGRGMDMPTPGGWCAGTRDNGSDPCSVIGNTSLLEPGRGAARLQRLITSLLSEEKFHPRQCKSGPQGRTCWSIGEEDDRQQLGSMAQPPERATAALIYAMVARGTVAVAEHTSYTGNFRDIAAQCLHKLPAGNNRFTYTCDGHTFNFLVSDGYAFCVVATESAGRQIPMAFLEMIKEDFNKRYAGGKAATATANSLTRDFGPRLRDQMQYCTDHPEEVSKLSKVKAQVDQVKSIMMENIDKAIDRGIQIDGLVTRTEQLHEGAADFRRDGARLRRKMWYQNMKMKLIVLGIIVALILIIILSICHGMRQVI >Sspon.01G0053480-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1C:36973261:36973857:-1 gene:Sspon.01G0053480-1C transcript:Sspon.01G0053480-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAATAAAAGGGSDEGASALLGLLQRVQSEALRALGPHDFDPKFYVDLPLATDERAADAALAALPRPAPTRAELDDFLSRYFGDPGSDLVPAVPPDFQTEPRGFLPRVHSPEARAWAREVHALWKQLARRVAPDVAARPDRHTLLPLPGGVVVPGSRFREVYYWDSYWVVRYLLQPDPSPLCSITATLGLTAAALLH >Sspon.05G0005900-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:20403191:20405891:-1 gene:Sspon.05G0005900-4D transcript:Sspon.05G0005900-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAQNGAGGGAPVVLNVYDLTPMNNYLYWFGLGIFHSGIEVHGMEYGFGAHEFPTSGVFEVEPKSCPGFIYRRSVWMGTTDLSRAEFRSFIENLAGKYNGNTYHLISKNCNHFTDDVCKNLTRKSNPGWVNRLARVGSFFNCLLPEGIQVSTVRHVPTQPAFSDDDMDSVSSSIIGDSDLEELDQHLLPSTADVHSIDVPPKLAKDLL >Sspon.05G0028060-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5D:40054425:40071675:-1 gene:Sspon.05G0028060-2D transcript:Sspon.05G0028060-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGGVLQHGERVDDSGEVQEDKKLENHARHHGLQLSPTHARIDRSSSTHRRRRRKQIDRQLTKVDPRRRHGKRPLPADEEEEEEELPPPPPKHEQLDQEDVVSQLQGATFSGGGGPSSSSVVVGAAAAGPSPEAYAQYYYSARADHDASAVASALAHVIRASPDQQLPPQQAAAALYAAGASGGQHQQAAAHHHPGGHVAAAAAAEEEQAGRRRHYRGVRQRPWGKWAAEIRDPKKAARVWLGTFDTAEDAAIAYDEAALRFKGTKAKLNFPERVQGRTDLGFLVTRGIPDRHLQHPSAAVTLAAMPPPPHHRGHQTVVPYPDLMQYAQLLQGSGRGAGGDHAEAAAAAAAVQQAQQQLMMMGGGRGVNLPFSFSPSSSSSAAQILDFSTQQLIRPGPGPPSPAAAMSSSSAAAAAAPSTPSSTTTAASSPSGSAW >Sspon.07G0003530-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7B:8093852:8100536:1 gene:Sspon.07G0003530-2B transcript:Sspon.07G0003530-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:WD repeat-containing protein 55 [Source:Projected from Arabidopsis thaliana (AT2G34260) UniProtKB/Swiss-Prot;Acc:O80775] MEALHEELPFDLDFHPSSPLVVTSLITGELCLFRYGPESQPERLFSVKAHKESCRAVRFVDSGKVILSGSADCSVLASDIESGKAIARLEDAHENAINRLVCLTESTIATGDDEGCIKVWDTRERSCCNSFEVHDDYISDMTYVADSNQILATSGDGTLSVNSLRRNKVRSRSEFSEDELLSLVVMKLDEETLVSGSSDGVIRLVGILPNRIIQPLAEHSEYPIEALAFSNDKKYLGSISHDKMLKLWDLEELLNGPQVVNGDEPAEAGSDDSDEDSDDDAMDVDMAATSSKDQGSLECSGACIVEKKKAGGQGTQAAPRR >Sspon.06G0014660-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:84271463:84276446:-1 gene:Sspon.06G0014660-2C transcript:Sspon.06G0014660-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:N-alpha-acetyltransferase MAK3 [Source:Projected from Arabidopsis thaliana (AT2G38130) UniProtKB/Swiss-Prot;Acc:O80438] MSSAASSGAAAGGGSEGSGGAEERGEIAYVSYGGEQHLPLVMSLVDEELSEPYSIFTYRYFVYLWPQLTFLAFDARDGKCVGTVVCKMGEHRGAFRGYIAMLVVLKPYRGRGIATELVTRSIRVMMESGCEEVTLEAEVTNKGALALYGRLGFIRAKRLYRYYLNGVDAFRLKLLFPRPDPGLPPMMIGNDRDDQKMDSPYL >Sspon.03G0012510-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3A:34617547:34618342:1 gene:Sspon.03G0012510-1A transcript:Sspon.03G0012510-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LCKREANHEKFFILWIEENHYKEKGVGIVAEVQEIVMPFLVAVVNPAERNEYDVEQAVHIWHILW >Sspon.01G0030860-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:108425614:108434375:-1 gene:Sspon.01G0030860-2B transcript:Sspon.01G0030860-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSGKLMKLVLMVPLLALLFMSAILEAAGAGNDSSSQSVFSLDRYGARGDGRHDDTHALEMAWKAACASPRPAVVLVPDGRRYLLKLQTLRGPCKSSITLTVKGTLLASPNRADWSDNDRRHWIVFRSVNKLTVNGGGAIDGHGEKWWPHSCKINKALPCKEAPTALSFHYCTNLRVEDLKIVNSQQIHMSVEDCTNVQLARLSITAPGTSPNTDGIHITRSKDVRVTNCKIKTGDDCMSIENGTHNLHVSKVFCGPGHGISIGSLGDDSSRAEVSGITIDSVQLNGTANGARIKTYQGGSGYAKDITFQNMIMYNVKNPIIIDQNYCDKAKPCVEQKSAVQVSNVVFRNIRGTTVTKDAIKMNCSKNVPCQGITLQNINLKMQGDKGNTESTCLCVPVGLELQFELESDARPLLLAFELRRNRFLFLATEKTKHPAEQYFLPPTQQLQYSTTNQALLLDMSFKPSNLRLSRNLRVRVLVWHASNRARPQFVQAASDSSYITHELLKLVLIASLLALLLVLVSGAFEEVARASNGTSSDGTSYLRSSGASGPAAAPRSRSLAMASSHSHQSAFSLDSYGARGDGRHDDTQALEKAWKAACASPWPAVVLVPNGRRYLLKLVILRGPCKSSVTLTVKGTLVASPDRADWSDKDRRHWIVFRRVNKLTVNGGGTIDGNGQKWWPHSCKINKTLPCKEAPKALSFHYCTNLRVDNLKIMNSQQMHMSVEDCTNVLLARLSITAPGTSPNTDGIHITRSKDVRVTNCEIKTGDDCMSIENGTHNLHVSKVVCGPGHGISIGSLGDDNSRAEVSGITIDSVQLHGATNGARIKTYQGGSGYVKDITFQNMGMYGVKNPIIIDQNYCDKAKPCVEQRSAVQVSNVVFKNIRGTTITKHAIKMNCSKNVPCQGITLKNIDLKMKAGKGNTESTCQNAKWRKSGTKVAAVDVSRNTCPVLVNPMSCKVKPGDHHSLCKLLPLLQ >Sspon.06G0021930-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6C:21447760:21448095:-1 gene:Sspon.06G0021930-2C transcript:Sspon.06G0021930-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPTWAPPTRPPRRTRWPPRTPRISTSACVTNSLCSVSVRFHPYLPRLMVVARLVLANLRTTIELDSAEAMHGRGGAREVVQLLGAGAQARNTLGTTATTFAMKLEDREG >Sspon.01G0010140-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1C:28384266:28396154:-1 gene:Sspon.01G0010140-2C transcript:Sspon.01G0010140-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTGNHLPAGAQAFPAPTPPLLSQIYAGSQPYAGRDTSSLAAALVAARAAAAEGQARVRAAALVWEHEREAADALARQIAEAERLLSPASPAGANSSASPGRRVSHTAVLWHDPADPLVAQLHYQAGGVQNIRLLVPVVLEPESPSYARWRDLVVLTLRRYALDDHVLLDASAAVQTPSWLRLDSIVLSWILGTISLDLHDLVRTSPDARRAWLALEGQFLGNAEARALRLDASFRTFVQGDLSVGEFCRRMKGMADSLGDLGWPVEDRILVLNVLRGLSDRYAHLRTWITRQRPFPTFLEVRDDLVMEELTQGLQPVSTTPAGSSSSSTALAATSPRPPTPPRTTAPPPSSLLGPPPSGPSGGGGVAAVAAAAVGVAGVAGAATPKLRFPSRPPVALLTGAPPAGPPPDAFPAAAWTPPGVAGWDQTALARSFSTMGLTPPVGPEWIADSGATYHTTPDPGILSSLHSPSSSLPSSIMVANGSCLPVTSMGTAGAHGSFRLPDVLVASSMVHNLLSIRRFTADNSCSVEFDSSGLTVKDLASRRPLLRCDSTGPLYTLRFPAAHSSSSPSLLSAAFAASTSSTTWHRRLGHPGRDALIQLSRSSGLPCTRAHDEQLISGYKYYLVVVDDFSHYSWTFPLRAKSDTFTTLLHFFTWVSTQFGLTIKAVQCDNGREFDNSTSRAFFLSHGVQLRMSCPYTSSQNGKAERMIRTTNDTVRTLLFQASLPARFWAEGLHTSTYLLNRLPSAACPAPTLTTPSSVPRLGTITSACFGVLVTPTLPPPLLTSWTLAPRSVSSSVTPQITRGTDASTLPPDVFSSRAMWYRFTALRAGHRSRLPCESGPLVLRRGPVSFSWCRPGAFVPRFCPGGSCRLPTPDPVSAPAPAPPSRFAAPVRVYQRRPRPPPLAVPSPPGTPTPPPLSPPARGAPPVYHPPLLHRHPRHVHPMVTRHAAGTLPPRVLEASTGDAVVSPVPSSVRDALLDPHWRRAMEEEYAALLANQTWELVPRPPGANVVTGKWIWTHKRRADGSLERYKARWVLRGFTQRPGVDYDETFSPVVKPATVRTVLSLALARSWPVHQLDVKNAFLHGLLTETVYCSQPAGFVDTTRPDMVCRLNRSLYGLKQAPRAWNHRFATFLLTLGFVEAKSDTSLFVYHHGADTAYLLLYVDDIVLTASSEPLLRRIIAALQQEFAMKDLGELHHFLGVTVEHRPAGLLLHQRQYTRDILERAGMSDCKPCSTPVDTQGKLSEAEGPPVCLHMHDPREPHLTALKRLLRYLRGTLDYGLLLHRASSTDLVVYTDADWAGCPDTRRSTSGYAVFLGGNLVSWSSKRQPVVSRSSAEAEYRAVANGVAEAAWLRQLLAELHTPPSRSTLIYCDNVSAVYLSTNPIQHQRTKHVEIDLHFVRDRVAMGEVRVLHVPTTSQFADIFTKDLPSSTFTEFRSSLNITVMVVTFSDTFNENTPPTDEPAYISSLGSAIYEAMSRGDKNAVWLMQGWLFYSDSAFWKEPQMKALLHSVPIGKMIVLDLFADVKPIWKMSSEFYGVPYIWCMLHNFGGNIEMYGILDSISSGPIDARTSYNSTMIGVGMCMEGIEHNPVVYELMSEMAFHNKKVEVE >Sspon.02G0010820-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:29264299:29265201:-1 gene:Sspon.02G0010820-1A transcript:Sspon.02G0010820-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMKAAVAASTALLLASPLPTGTHQDSSPHPIDGMAPPGGGAPYAHGIPDGGASCAQQQAAGSLWPAAPGCSVPSRSNTLEESITIINHKRNPRQREDTRFFTEVHLLPGKLLLVVAMHPLVDSRANRLSRVEPSAGAAQPHTRWGSSKPRAPLEYPICELPRGRIKNPLQHLVRAPTISNCELNHLRCSKPSTVSGNTEE >Sspon.01G0033530-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:112925967:112941227:-1 gene:Sspon.01G0033530-1A transcript:Sspon.01G0033530-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEVWLSPASRLPSLSNAPPRFRSRPAATTTASLFSPCPRPARGALPVLRVRRRRRLRATEQQGQVQEQDDEVVDSNILPYCNIDRKQKKTLGEMEQEFLQACQAFYFDQKAIMSNEEFDNLKEELMWEGSSVVMLSEDEQKLLEASMAYAAGSPIMSDAEFDELKLKLKTDGSVIVMEGPRCSLRSHKVYSDLNVDYLKMFLLNVPATTVALGLFFFIDELTGFEINVFQLPEPFGFIFTWFAALPLILFLAQLLTKAIVQDFLILKGPCPNCGTENLSFFGTILSVSSGGTTNKVKCANTELEYDSKSRVITLPEASSQVQEQDDEVVDSNILPYCNIDRKQKKTLGEMEQEFLQACQAFYFDQKAIMSNEEFDNLKEELMWEGSSVVMLSEDEQKLLEASMAYAAGSPIMSDAEFDELKLKLKTDGSVIVMEGPRCSLRSHKVYSDLNVDYLKMFLLNVPATTVALGLFFFIDELTGFEINVFQLPEPFGFIFTWFAALPLILFLAQLLTKAIVQDFLILKGPCPNCGTENLSFFGTILSVSSGGTTNKVKCANCSTELEYDSKSRVITLPEASSA >Sspon.03G0004470-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:30041451:30043992:1 gene:Sspon.03G0004470-3C transcript:Sspon.03G0004470-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIVVAAANILSVRNDWPGHGLSNNVTWPFVPLPIRRACRQRQGAPARAALAHARQDRPGVSGQSLRSWTVHPGRILLRADSTFLWERNVSSSVSEPFVAWWLVPWCARAFAGILEGTDNRKGTLGRCMREMHGFVCGPLHCFAPPAAYAMAMVGDRGPAAQPFFAAGCACRRAAANYANLCACPGNMGTTKALACVAWALSLINVVMAADYVVGNPAGGWDGRTDYKSWAAAQTFAPGDSLTFKYNSFHSVMEVTKDAYEACTTIDPIFYDNSGSTTIALTMPGTRYFICGAPGHCLGGMKMVVEVADRPAPTTPTSPPPLPPPPPMTLAPAPRPWSPSPAPGAAPPRCAGHKKHRKRYCPPETLHAPAPAPTVQGVEAFPVAMFAPMSAPSPPPPTSGGPAVLRATWGEATAALVALGWFMLVAL >Sspon.01G0035720-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:13539683:13550687:-1 gene:Sspon.01G0035720-1B transcript:Sspon.01G0035720-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding METAAATARPGAARKRWRSQSPPCEGEGPSELKLARLRFDCDGGAKGAWEHLDLVLSLQSKELSLERKIELAVEFLTTLPNDPSHGHKVHSIQLLRLVSFIGNWVQSILNFPENSKKMLQTFDPALDSRCWAILRVCVEKKPSISISLNLLKSLSRVASHGLGRVDSNSSCADNESIELFEQVFDCMSLLFSSNTRAFFNAGVDLWASCAIEVINLAQKVSANEDDFCPVLQKLANSLLGQFSSFLRFCANPKNIFHAFVDKVSRSIFRLCDAVRAFRVDGPDGVQGSFSVASLSPQECLESLTTLLSSETLMGAIRTSIKSMPQGQSSRCIEELTSDLTETLNWMTDWSLEDDLNKLGEPSIARNSIFCQKAELLGRHLSEIYASVLDSITVTASNSTLVGKSIERLLLLKLLGLRCESCNPMKLLRLFICCHKALINLILKFGKECPEAKQYLAFSEKNGNSYSLVWFLRSVQEIVGSSHKIFDECTDEVNRLMFSLLDKTSELFSTLASVNSSVYLFDLKKQIESSLDGSPIERETSDHNNQTFDIVELSALECVKSMAELLQKTATGIPVTIKDGKCVVKLEDCRNAVCWKRLSCTMSCIGGFLQGLNSALESAFNDHPIASSEDKKMLLQYCSRFSSCIAKFGAFVDICVQVLFMDNKDSASRGLVSVRLPQELDCVNGFLNIEAVMDELTKCESRGVNLSKIQYMENVLLENLLKGECPLISFTLREVYNISAAIVKLHGYLSFPSDVSRQTCSPVEQLSLGTMLGTAFITLQKVADMSSWPHMSCLVWIDGVLRYLEVLRSAFTLPELNIPIELYTLIVNALLRAIGKCILLQRKNATLPTHEIGSSTKTLQLQNASGYAFPKDFIDMQNRLNSLKSRLRLVLGKFVNIASNTHLNAALQVIERALVGVNQCSHSIYEVYTGNPDGNKRVFKRTVPGLVGALFNIVLHLQSPHIFYTQKLPPHCSVFHPDAGAAVLMCVEVITSFVGRHSFQIDASHVSQCLHVPVTLFKGFKHLLAKYCNQSVSHHADHVEYILDRQFSVDIYAACCKLLCTTLRHQQREIGHCVALLEDSVSILLGCLESTDSKMVNMAGYFAWNMEEALKCASFFRRIYEEMRQQRETLGKHAMHFLAGYISMFSGQGPFQTGITREIDEALRPGVYSLIDICEESDFQQLHTYLGEGPCRTTLADLVRDYKLHFQYQGKI >Sspon.07G0018180-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:70015390:70016465:-1 gene:Sspon.07G0018180-2B transcript:Sspon.07G0018180-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding HGSHAACCGYRRRGGGGGARGDLGGRRDLRAGELGHRALPVLRPRPGLRALRGLLQRRQEPQLRRPHHRRQAHRLQLPQERRPRHQRPQRRQRRQHPLQVRRQRPLHHQHLHRLLQGEL >Sspon.03G0006080-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:16837848:16839383:1 gene:Sspon.03G0006080-1A transcript:Sspon.03G0006080-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AAVTPPPQSDPPPKTGRPASSAAAAAAAKRGGGGAGAAGGLLMGKYELGRLLGHGTFAKVYLARHVGTGDNVAIKVLDKEKAVKSGLVPHIKREIAVLRRVRHPNIVHLFEVMATKTKIYFVMELVRGGELFSRVSKGRLREDTARRYFQQLVSAVGFCHARGVFHRDLKPENLLVDDRGNLKVSDFGLSAVADQFRPDGLLHTFCGTPAYVAPEVLGRRGYDGAKADVWSCGVILFVLMAGYLPFHDKNIMAMYKKIYKGEFRCARWFSKDLTSLLTRILDTNPNTRITLPEIMESRWFKKGFKPVNFYIEDDQLHNVIDDEDGLLDMGPAGPVPPPLPPPPPPLPPPKVDGDESGSDSDSSISSCPASVLSGESQRPRGSLPRPASLNAFDIISFSRGFNLSGLFEEKGDEVRFISAEPMSDIITKLEDIAKLKSFKLRRKDWRICLEGTREGVKGPLTIGAEIFELTPPLVMVEVKKKAGDNEEYEDFCNKELKPGMQHLVHHMVRAPS >Sspon.03G0009910-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:26630933:26635823:-1 gene:Sspon.03G0009910-1A transcript:Sspon.03G0009910-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHDEAVVTQNSVKAPSPPKDQPAIYPCLDWSTMQAYYGPGILPPAFFNTGIVPGHVPPPYMWGPQNMPPAAFGKPYAAIYPHAGGFLHPFMPLMVNPLSAEPAKSVNSKDNSLNKKLKEIDGTAVSSGNSEKTSGDYSLEGSSDGNNQKVSGTPKKRSLDDRTTSETCGVSATNDKPGESGRLPTLSNMHIPDATMKPCVSTGSDFKVSGATSIEWPAKDDKESKRERRKQSNRESARRSRLRKQAETEELARKVELLTAENTSLRSEISKLTESSQKLRMENAALMEKLAGGTSDQAQEASADHPQTATAAPPSARVVKNFLSMMEVEGPSRGGGSGGSRRMEHGAPRLRQLLGSGPLAADAVAAS >Sspon.03G0020480-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:82873825:82876157:1 gene:Sspon.03G0020480-3C transcript:Sspon.03G0020480-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVGSALRRLYLSVYNWVVFFGWAQVLYYAVLTLRESGHKAVYAAIEKPLQFAQTAAVMELMKSGSILTEMITAVKFEYYKQNCLVVLGLVRSPVSATLPQIGSRLFLTWGVLWSFPETQSHLLVTTLVISWSITEIIRYSFFGMKEAFGFAPSWLLWLRYSTFMLLYPTGISSEVGLIYIALPYMKASKKYCLRMPNKWNFSYDYFYTSILALLIYVPGSPHMYRYMLSQRKKALS >Sspon.04G0014680-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:65485228:65487400:1 gene:Sspon.04G0014680-3C transcript:Sspon.04G0014680-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKLVERAEAANQCKSVLDSVESIFQNGTPDIEQKLQETVNKSVELLPEAWKHAGSYQEALASYRRALLSPWNLDDECRTRIQKRYASFLLYSNIDWSPPSMAQQVEGCFVPKNNVEEALLLLMIVLRNWYQGKTHWDPSVMEHLTYGLSLCGEPLVLAKQLEEVLPGIYPRTERWATLALCYYVAGQKDIALNFLRKSLNKLENPNDILALLLAAKICSKDHHLASEGVEYARRVITLAESSDSHLKSVGLHFLGTCLGNKSKVVSSDYQRSLLQTETLKSLTESISLNRYNADLIFDMGVEYAEQRNMNAALRCAKEFIEATGGSVSKGWRLLALVLSAQQRFSEAEVATDAALDETTKLDQGSLLRVKAKLKVAQSSPMEAVEAYRALLALVQAQKNSSASCKNAVEGADGSVTEFEIWQGLANLYSSLSYWRDAEICLKKAKALKSYSAATLHAEGYMHQARDQTKDALAAYVNAFSTELEHVPSKVAIGAMLSKQGPRFLPAARCFLSDALRVEPTNRMAWLYLGKVHRSDGRISDAADCFQAAVMLEESDPVEVSAHSHERCM >Sspon.07G0008140-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:22122873:22128374:1 gene:Sspon.07G0008140-1A transcript:Sspon.07G0008140-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQKLDHVPERVTTITISNSQQFYEIQIPLSTGDNDGMASSANTNADGTHDASSSIHEPVVPRHHQNKTIPKKFQQKGAQCSSAATPKRRTPHTSQHEDLLSSPTRCSPMLAASQDNDDDDFEPAIKRPRPKVPAHKDPKSKKSSLPSIQEGDDDGIPGKINCRCTPNHVLDAINKLSEPQKNRVRELGWGKFLEIAIDCVESRNLFLYLLDRVDINSMFLRVPPNIELPINKAAVHAVLGVPAGTEVISKKSSKELSNAKRELMAQLGIATNKITVPRLLEEVAKGNADDLSTKCFFLVIFNRFLFPGSAFDIANTDLQFIMDFQNFGKVDWQQAVIDHIRTSAKEWQSPGAKNTANPTIRSCAPFLLIYYLENLDHPLNDNNHRMVVPRAAVFSKQYISTLTNADRWADKDDRICYGKLRQKNPIGSAYQKVYTMQHTAVPASFQLPQLSDLLRGVLDNVAASSNPHFIQLITTVDRDIRANQKVIHELHHANECLLIKFSEDVKELFANAPTPTITNVQHQTGQQTRPESSTRNLQEPTLQRNDGGTPRSTQTMRLSSLSHTTDFITCSHIYSQISSDLASPSHTETATVQVEQPADATQPIAGPAGARQEETVAFRDEQAAEQEQPLTDPVGDHNVDNLRATTKGVDVVTTGLHIPTMVGEQLGKQPHGSAHLDMGGHHEDSLIRKEHRWAAATASHSTRGPLSSMQPPVTGSQTGASQALPMPIPIQVTPEDLDHEDTTDTPATPPATLSAAEIVTPEVGKTYTHPPTLPEDMIPKLGMFFDTEEHAYEMFRRYAEAEATGFPIKWDRKKHTVRDISCSMSGTWKYYKPEQQRTRNKFTKKTGCKVYMKLKHVSDMEGKNNGKVMIDKIRLDHNHPLSDTPSVTKQMRCHKRKEKQVMDDALAETVTPEVGNRYTHPPTLPEDMIPKVGMFFDTEEHAYEMFRRYAEATGFPIKWDRKKRTVRDISCSMSGTWKYYKPEQQRTRNKFTKKTSCKVYMKLKHVSDMEGNNNGKVMIDKIRLDHNHPLSDTPW >Sspon.07G0002900-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:7199218:7201726:1 gene:Sspon.07G0002900-1A transcript:Sspon.07G0002900-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMQSWRKAYGAIKDTTTVSLANLNSDFKDLDVAIVKATNHVECPPKERHLRKVAAATSIARPRADVAYCIHALARRLAKTRNWIVALKTLVVIHRLLREGDPTFREELLNFTQRGRILQLSNFKDDSSPIAWDCSAWVRTYGLFLEERLECFRVLKYDVEAERLSKQGQGPEKGHSRTRELDSQDLLEQLPALQQLL >Sspon.08G0007020-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:19667506:19668547:-1 gene:Sspon.08G0007020-2B transcript:Sspon.08G0007020-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIPVIKMDQLHGEKRSETLSLLHNACAQWGFFWLENHGVNENLMNKMKDLVNKHYEQDMEKNFYSSEKAKILDYEKASSNVDWECSFIATVFEYAEEVIKLAEQLAAVMSENLGLDKDYIEKAFSKPSVGIKVAKYPRCSHPELVMGLREHTDAGGIILLFQDELVPGLEFLKDGKWIAIPPTEGNRIFVNLGDQIEVMTNGIYKSICHRVLPNKNGSRLSIATFYNPSADAIIYPAPKLTYPSQYRFQDYLNFYSTTKFTDK >Sspon.01G0019320-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:69995719:70001397:-1 gene:Sspon.01G0019320-1T transcript:Sspon.01G0019320-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVREGGEESEKVAAPAMSGGGGRRTTRGHPLLRGGWKRERYTHGMHPAQMEALRAMCGALIPSLPADADAGRGDPPGGKDLERFYLASAADSTIPDEVAELMVTRCIREAVALAWVVLWVLSTRVGTLLLCGRLCVAGGGFPYVRKFADMPPERREAALQRWNRVRWLFPLRIAFAIVKILCHYVFYAMVNENSENPYWKAIGYSVHEPREDPTRTEATPSPSRPLDVGVVETRLLNDNALLRSLVGRGLTVKPAASDANHHTVQCDAVIVGSGCGGGVAAAVLASAGYKVVVVEKGDYFTAEDYSSIEGPSMERLFERGGIFCTSNVTTMIFTGATVGGGSAVNWSASIRTPKEVTQEWAREHGLPVFASPRYVEAMDAVCARLAVTDGCREEGFQNKVVRRGCEALGLCADAVPRNSSDGHFCGSCNFGCPTGDKRGTDTTWLVDAVARGAVILTGCKAEHFVFEKNRSSGRGRGKKCVGLVATCASNGVTKKLRIEAKVSIAACGALMTPPLLRNSGLKNRHIGSNLHLHPVSMAWGYFPENKQDPPLPGKCFEGGIITSMHRVTERTIIETPALGPGAFAAMVPWESGCDMKERMRRYSRTAHAFALVRDRGVGFVDGEGRVRFTPSREDIEELRNGLRRTLRILVAAGAAEVGTHRSDGLRLRCKGLRDEDLEAFLDEVTIDKGPMHSRTDKWALHCSAHQMGSCRMGSSPKDGAVDGRGESWEAEGLYVCDGSLLPTAVGVNPMITIQSIAFCLSKGIAETLAQDKG >Sspon.02G0018760-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:56973799:56977571:-1 gene:Sspon.02G0018760-4D transcript:Sspon.02G0018760-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPTAEDAAAAAAAPDSWETADIDGPMSRLILSARRVSSSPDLADDQDPPQPPPPTLQPQGPPSSAPSAAREDLVAQVDQFLREALEKPRERLSVLRMEQEILKFIRDPRCTDYEFNGLPTSYLRLAAHRLAQHYFLQSIAIPDNSLPDGNGSRIILRKTSSECRLPAIRLADIPVNLPQEENISVAKVAIKQRPQKNLHGMNSSSAHSSGENLQKSVEERKEEYNKARARIFNNSNSSNATDGRSAEEVTLPSTLHRSTSLELNSSNRMGQGAEITLERSLTTTSASSRSNRSKIDKEPAVNRNRQNNRVAIFRDRESERKDPDYDRSYDRYMQRFDPGFGFSGGPYTIQPLYAPAVNYNTEFPQLGSAHRSPVAVEQQPRPIAQHMPASWSAAQASNAIGYGPDGVMGPYSSGHTGAPVRSSVFMHASQQYAIPSRPGVPFVHPQESMGPFAQTHQQQPDASLRFARPR >Sspon.08G0022380-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:48725426:48731654:1 gene:Sspon.08G0022380-1B transcript:Sspon.08G0022380-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSPERATYQLIDRRKVLPSKVTSLNPNAAEFVPSFVKPSLGSTTVPDVTKSDFRGSSGKTILDRSESSKSNNSDDEAHQFWRKQLPDDIIPDFTSFEKVEQGPEELSLAGLSLNAPPFYGTTSSRFSREHELSSPATKGLELEHTNLLYEDNYLGSTNWEQNYIGDLHIANGNQDLHYDSETGVSFSDSFASEYAASSDGLVAPLEYLASQFPGFSAESLAELYYANGCDFNHTIEILTQLEMQVDATPNHTLNLAHSAPNFSTGDFPALPTVEDQNGFNKGNVDVLGMFNGRGSSAMPTGAGDFVSAVRKLASQNSGQWKFKKGPEYGNGVSALSVPKQYSSSTKKSSGNKFQSISSARVAPWLETGDAVVYLYICNPPANMYSESRGEARDFARVRNACFEQTGLLVGNKALAKELSMKGQAYNVQMKAAHEKAREAIYRQRNPVSSQCGGDRLIDLHGLHVNEAIHILKGELTALKSAARAARERMQVMVCVGTGHHTKGSRTARLPIAVEQFLLDEGLQYTQPQEGLLRVMDCELTADSVMHIFGIAWCIRGEDELAII >Sspon.01G0031560-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:108097190:108099293:1 gene:Sspon.01G0031560-1A transcript:Sspon.01G0031560-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tim10/DDP family zinc finger protein [Source:Projected from Arabidopsis thaliana (AT2G29530) UniProtKB/TrEMBL;Acc:F4IKQ3] MAGKGGPTNLEKEQMFGMAEKEMEYRVDLFNRLTQTCFDKCIEKRYKEAELNMGENSCIDRCVSKYWQVTNLVGQMLGNRPQM >Sspon.01G0048980-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:110383594:110385859:1 gene:Sspon.01G0048980-1P transcript:Sspon.01G0048980-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSMPFQLKNGHQHQHHGAAMEGKPPLLPTTQQQPAPTPRVSRLRRLLVRVSASEKFVADGKERDKDEKLLPPPAAGDGDAAGSLGLDRMVLSFMEEATAVERPPRGRCNCFNGSNHEESDEEFDFLPSEHASKPATAGAGDALEALKGLVQSASVAERNLLADASRLADKCGKGCKGKAECRRAVADGLRALGYDASVCKSRWEKAPSYPAGEHEYIDAVVGKEEVRLIVEVDFRSQFELARSTKAYRAALQALPPLFVGTPDRLGQIVAVVAEAARQSLKKKGLHFPPWRKPEYMRAKWLSPHVRCGGGGGDKVVVPGPAVPLSAATPVQAASFSGEFELVFDRKPSRDGAAAEGGGGGVSVGEKITVVVSPWRPTEEASKNQQQLPKAKVVTGLAAVL >Sspon.04G0009680-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:28724261:28725143:1 gene:Sspon.04G0009680-1A transcript:Sspon.04G0009680-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding HVPEGRRGCPPAAGQGHPHQRRRGRLLPGGRRDRGVRGAGAGGARRRLVHRPLRLLRRLQQRLRDVPVPVHHVRADRGDHRPGFHVVRHQRGAVHAHHAAHRLPVRLLLLLPRQDARAVRPPGEPLRRLLRPLLLPVLRALPGVPRAQEARIRHEHRMACEHGEAGTHRRHHAAADAPWDDPL >Sspon.02G0016490-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:48967406:48977454:-1 gene:Sspon.02G0016490-3C transcript:Sspon.02G0016490-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWSAAVFLLLLLLPPPCAPDDRLALDKPLSVGTTVVSDGGGFALGFFSPTTNSTPANNNKLYLGIWYADVPTRTVVWVANRDAPATNTTAPTLSLTNTANLVLSDGGGRVLWTTNVTGVVVPSSSPASTTAVLLDTGNLVIRHPNGSTLWQSFEHPADSFLPGMKLRINYGTRAGERLVSWRAPDDPSPGSFAYAGDPNTALQIFLWNGTRPLMRDGPWTGYSVTSKYQANASVFVYQAVVSTDEEIYLTYTLSDGAARTRFVVTAADQYQLQSWSASSSAWAEPLRCADGDNFLPLPGMKAPDRFVLVANRTSDECRSTCTGNCSCVAYAYANLSTTKKTGDATRCLVWAADLIDTEKQVANVVGTDTLYIRLSNLDAVMQGVRQKSNNALRIALPTVLISSILIITAIFLAWFKFKGKRRNDKGDNNKISHGATSMSDDLAEGSSAQDFELPFVKFEDIEAATHNFSEAYKIGQGGFGKVYKALIGGQEVAIKRLSKDSEQGTVEFRNEVILIAKLQHRNLVRLLGCSVEGGEKILIYEYLPNRSLDAILFDNSRKVLLDWPTRFNIIKGVARGLLYLHQDSRLTIVHRDLKAANVLLDAEMRPKIADFGMARIFNDNQKNANTRRVVGTYGYMAPEYAMEGVFSVKSDVYSFGVLIMELVTGIRRSTFNKIKNFPNLMIYAWNMWKEGKGKDLADPCIIDTCSLDEVLLCSHMALLCAQENPDDRPVMSSVVLALENGSTTLPPPNNPGHYGHGSSDMELTRDRTCNSMNSLTLTDVEGR >Sspon.02G0009480-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:25188147:25193242:1 gene:Sspon.02G0009480-2B transcript:Sspon.02G0009480-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEASPESGAAAVGGASGTAPPRKGKSCKGCLYYSSVLKSRGYNPICVGIPRSIPQVPNYVVDEPKEEATAQGHDLRRFRYGCAGYSMFVDNRDGQGGESEGKTLLPYCRGLEVDSVLRNAVLFECNERTFYLLIVHLLVDSRLVERKPSTAEQAPAHVAKDAAATTRSHQQGQQRPANLSRQEFLERFKRSAGLVASGVAKNLNKTAHYIKENIQDILYPDRRPPK >Sspon.01G0051450-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1C:15947038:15950556:-1 gene:Sspon.01G0051450-1C transcript:Sspon.01G0051450-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQDKRLGIAKLPLSDLEMETVQEVNLQLLSSLDTTKFSWQQQVPGCLTFLLQAILQVVYHQFTNAEAREALELEKQTVEERRKVKSETGAVSGAADAASGMASTVTHVAGTGVVAAGTGVSAAGSGVGMVGTGIGAVGSGIGAFGSGLQKAGKFVGRTVTGPFSSARRSASSVPNVVD >Sspon.05G0002280-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:7036492:7037919:1 gene:Sspon.05G0002280-1A transcript:Sspon.05G0002280-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAPTPGATPHVLVIPFPAQGHALPLLDFVALLAARGLRLTVVTTPANLQLLSPLLAAHPTAVRAATFPFPSHPSLPPGLENTKGCRPGHFPAFVHALAELRRPILAWVKAQPDPVVAVVADFFCGWARPLAREIGAAGIVFSPSGVLGTAVPHSTFRRLVRRPAQCDDDDEFSVSFPAIPGEPSFQWRELLMMYRNYMAGALDEQVVASVRQNFLWNLNDSWGFVFNSFRALEGRYLEQPLEDLGFRRAWAVGPVAPEADAAGTRGGQASVGLADLSAWLDAFPEGWVVYVCFGSQAVLSPAVAAALAEALERSAVPFVWAVGGAVVPDGFEARAAAARRGVVVRGWAPQVALLRHPAVGWFLTHCGWNSTLEAVAAGVPMLAWPLVADQFFDARLLVDEARVAVRACRGGLGFVPVAGELASVLADATGEKGRDVRARAKALAAEAARAVKPGGSSYADLELLVQEIRKLPS >Sspon.01G0005050-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:11630862:11633207:1 gene:Sspon.01G0005050-2B transcript:Sspon.01G0005050-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RABH1e [Source:Projected from Arabidopsis thaliana (AT5G10260) UniProtKB/TrEMBL;Acc:A0A178UPG4] MAVVSALAKYKLVFLGDQAVGKTAIITRFMYDKFDDTYQATIGIDFLSKTMYLEDRTVRLQLWDTAGQERFRSLIPSYIRDSSVAVIVYDVTDSQSFLNTSKWIEEVNTQRGGDVLIFLVGNKTDLIDKRKVSTGEGEAKAQEHGAMFIETSAKAGFNVKPLFRKIAGSLPGLDALSSAKHEDMVDINLRPASGSSASSAAAQLEQKSGGCSC >Sspon.07G0004110-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:10066853:10070720:-1 gene:Sspon.07G0004110-1A transcript:Sspon.07G0004110-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeobox protein HAT3.1 [Source:Projected from Arabidopsis thaliana (AT3G19510) UniProtKB/Swiss-Prot;Acc:Q04996] MEKNTAHCPVEGNGKIENGVSSSQNPENLEHPVLLSTSQPVPNNLGIRKNYKRAANRGKKGSQGLTGQAYTLRSSDNDVRVLHSTSSSKTTPTEHVQTPVQPAAKRRKRSRASNKSSTDEFSQIRKRVRYILNRMNYEQSLIEAYASEGWKNQSLDKIRPEKELERAKSEILRCKLRIREVFQNIDSLLSKGKIDESLFDSEGEISCEDVNLLCHLWFERFTLGNDIILCDGACDRGFHQNCLNPPLRTEDIPMGDEGWLCPACDCKIDCIDLINDLQGSDLSIEDSWEKVFPEAAAMANGSKQDDAFDLPSDDSDDNDFDPNMPEEHVVSKEEGSSEDEEDEDGGSDSDDSDFLTCSDDSEPLMDKKKVDDLGLPSEDSEDDDYDPAGPDSDKDVEKKSSSDESDFSSDSDDFCKEIAKSGGHDEVSSPPLPDAKVGDMEKSTAQAKTASSADDPMETEIDQSVVLPVSRRRQAERLDYKKLYDEAYGEASSDSSDDEEWSGKNAPIKSSEEGEADSPAGKGSSVVHHNNDLTTQSTKKSLHSLHGSVDEKHGDLTSNGSNSTARKGHFGPVVNQKLYEHFKTQQYPSRSVKERLAEELGLTFRQVSRWFETRRHFAKVASSRKGISPDKHSPVNTNSPVTPSMQPKEPEGTVMEESNVSINGDATISKKAVSSKVGSRKKHGKNSPGSDVGGSKVDSAEDQNPGSDLAAEKARQKA >Sspon.07G0038110-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:70006489:70014814:-1 gene:Sspon.07G0038110-1D transcript:Sspon.07G0038110-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRCIKTVPTKRVRLIGRNKTTKAPQQEELNAKQLTLPPLLQAQANSMAQNQQSTPALTPTTTTPGAELLQAQAELWCHMFGFLKPMALQCAIKLGIPNTISRHGGAASLSELCAAIPQTDVLVSPHEVTGHVGNIQRGKGDVAGWEAEGSYLYHLTAVSRLLVDDDGGHPCLSAFMANLATPFHVVASLRLAEWLENDGGEAAETPFMMAHGTSFWGVAGRNAEFAADFYASMQADSRFVAQIIVSECREVFTGVNSLVDVGGGDGTMAKAIAKAFPHVRCSVLDLPQVVGGNGMPGEGEGTVEFIAGDMMVFIPPADAVLLKHIFHDWGDEDSIKILKRCKEAISTREPKGKVIIVDTVIGSASKPIFEEAQLLMDLNMMVLVPGKERDEEKWSKMFMDAGFTKYKISPILEPRSLIEVDFIRSDPDEESLNRHWKAYILWLFGIMFVLRKPCGARGKMEDGTTEILESDQAVCLQDHSLFTVLPSQPEPITACLGLHHTSIKVPLFHTSTLELP >Sspon.03G0043120-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3C:76177513:76178295:1 gene:Sspon.03G0043120-1C transcript:Sspon.03G0043120-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RPILPPARARPRKRRGPDRTARSFSSPACARRALSRPAELACRVAAACRRRQPGGAPVASPARALAPSNRPQRLALSLPPPFRFALSRAHQPQSRLRHRTCHHRRWRSGRPVSPRAAPSCLRLPLPLAQPVHTSAARGKPPIRGNRSPEFRPSSPELSTPWTAPLRPTFFPSSARILFAMIHRCSSTLPLPVPWSEMAGRRPPPPPFRHGRRRPVLRAPLATVCVPLSSQARGGPRGAFGLAGNLAAGELWMPVSPSLSL >Sspon.01G0057720-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:15350690:15352873:1 gene:Sspon.01G0057720-1D transcript:Sspon.01G0057720-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASTMALPATMRSMLRARSNLAPFSARDLQAGGDGCSLLPRRWQSSLPQLDRVDRSDEESGGGEIDWDKLGFGLTPTDYMYVTRCSPEDRGDFPRGELCRYGNIELSPSSGVLNYAQCIDDGDASLLPRRRRVTNFVACLPATATNDCRLLQGLFEGMKAYRRPDRAGYTLFRPEENARRMQHGAERMCMPAPSVEQFVHAVKQTVFANRRWVPPQGKGALYLRPLLMGSGPILGLAPAPEYTFLIYAAPVGNYFKEGLAPINLVVHDEFHRAMPGGTGGVKTIANYGPVLRAQTDAKSKGFTDVLYLDSVHKRYLEEVSSCNVFVVKGGVVATPATRGTILPGITRKSVIELARDRGYKVEERLLSIDYLMGADEVFCTGTAVVVAPVSTVTYQGKKYEFRTGPDTLSQELYTTLTSIQMGLAEDNKGWTVAVE >Sspon.03G0010740-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:2980075:2983127:1 gene:Sspon.03G0010740-1P transcript:Sspon.03G0010740-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LQTSAEADFFTEYGDANRYKIQEVIGKGSYGVVCSAIDLHTRQRVAIKKIHNFFEHVSDAARILREIKLLRLLRHPDIVEIKHIMLPPSRKDFKDIYVVFELMESDLHQVIKANDDLTKEHYQFFLYQLLRALKYIHTANVYHRDLKPKNILANSNCKLKICDFGLARVAFNDTPTTVFWTDYVATRWYRAPELCGSFFSKYTPAIDIWSIGCIFAEVLTGKPLFPGKNVVHQLDLMTDLLGTPSMDTISRVRNEKARRYLSSMRKKDPVPFSQKFPSADPLALKLLEKLLAFDPKDRPTAEEALRDPYFKGLSRVEREPSCQPIRKVEFDFEHKRMSKEEIRELIFREILEYHPQLLNSYINGTERTTFLYPSAVDQFKKQFSLLEESGGNGPSVPTDRKHASLPRTTVVHSNPIPAKEQPLAASSK >Sspon.01G0062820-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:107570303:107570615:-1 gene:Sspon.01G0062820-1D transcript:Sspon.01G0062820-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding METVTRIYLRTKWQENHRHSNDNRNSGYKFKKDVSNHHHESNDCTTWPIQSQRSPVTEYSHLPGEGFSDISRASQKRHKSLSITQDQ >Sspon.01G0022400-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:84822477:84826065:-1 gene:Sspon.01G0022400-2B transcript:Sspon.01G0022400-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRAAQQRRALWRVVGYVYGARRRNSGDLSPRRRSDWHPRPTPLPPEPAGFYNDIGASVDIPLDNKKILCEFVYTIQDLKKKERELMAKEAELNRREQEIKRREEALARAGVLIEPKNWPPFFPIIHVDISNDIPVHLQRVQYVSFASLLGLVICLFWNILCVTAAWITGHDPRIWFLAVIYFITGCPGAYFLWYRPLYRAMRKDSAFSYGWFFLFYFFHIAFCIYAAISPPFFYVGRSLAGIFQSISEIGENAGVGIMYFMGFAMFVLEALLSIWVFQKVYWFFRGKGSEAQMRPDAGSRAPPF >Sspon.06G0007580-3C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6C:27566208:27570309:1 gene:Sspon.06G0007580-3C transcript:Sspon.06G0007580-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNADFGAPKELAGGLQQRRALYQPPLPPCLQGPTVRAEYGDATTTIDPTCAQAVAQAFPHTFGQPLVSFVAPAADAAAVEERHPIRVGVVFSGRQSPGGHNVVWGLHDALKAYNPQSVLYGFVGGTEGLFANKTLEITDDVLASYKNQGGFDLLGRSIDQIRTTKQVNAAMTTCRSLNLDGLVIIGGVTSNSDAAQLAETLIQNNCKTKVVGVPVSLNGDLKNQFVETTVGFDTVCKVNSQLVSNVCLDAISAGKLILGEEVALSKLTLMEIINKICDGVQARAELGKYHGVLVIPEGLIESIPEMYALIQEINILHNNNVPVAEMSSQLSPWAAALFQFLPPFIRRELLLHQESDNSAQLSQIDTEQLLAHLVETEMIKRTALGRISLHMIASGLTGYMATVANLKDPVDKWRCAAAPLTAMMSVKRHLRGPGAIPIGRPSIHPSPIDLKGKAYELLREKASSFLLDDFYRTPGGIQFEGPGSDAKPITLTIENQDYMGDIEILKEYLGKVEIGNPFICFPVRTMVKPGCSREILKAAISSMMSVTDVLTVMSHPLNAELPLYHFN >Sspon.03G0029870-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:25478573:25481960:1 gene:Sspon.03G0029870-2C transcript:Sspon.03G0029870-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMASNRACVLPMLLAVAVLLLLEQIALSSADFPLGGQATVQLPPAPCQPGFAARAVVLDAQGHRQPAFVAAVSAAEAGAGRCTCSLVVLLGGVKVWASDHLEKFVPAALCRLELTEDGQLRLTDGTGKVGWLSGTAGQGVKALHLDRKTGNLVLLDAQNCTRWQSLYDPTDTFLRGQQRRLPVYLIAPTTKVVASSVFYSFELDGDKIAAYVNFGETRYSYWELAPPANWTMASAKLNGSGLRMLDLQGVTVAQITPPVKKPPVSFLALGDDGNLVVYYYDTQYQKFRASYKALGVHEVCSSAGKCKDFSEYADRPPARAGNASSNPCEGAGDKACMVHLRGVTTVLQTESPLTNLTLRECVVQCARKLSCNAALYVKDDAGVVAAAEHGVCSHYTLTAGAREVTDGSRRYSYWVKFPAAAGGGDEDEDDDSSPGKLSTSTILMICGAIDVVCALVFVVLIALYFRRLRKLAAAVDRVVELQEGEPEGTGE >Sspon.08G0013510-2D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8D:54820581:54820870:-1 gene:Sspon.08G0013510-2D transcript:Sspon.08G0013510-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding QHPAHKHVSPLHPCPKSVVFKSPDIIEKNKPDDGDYIPLELDDCNSDSDGNQSALMGTVSFHSTMESNISCEDQVPKPFNGKHTEDDRCCSPVLNQ >Sspon.05G0005420-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:19173386:19177052:-1 gene:Sspon.05G0005420-3D transcript:Sspon.05G0005420-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALFLHFGPNTFTDSEWGTGRADPSVFAPSALDAGQWARVAAQGGFGRVVLTAKHHDGFCLWPSALTDYSVAASPWRGGAGDVVAELAAAARAEGIGLGLYLSPWDRHEPVYGDTIAYNEHYLGQMTELLTRYGDVEEVWLDGAKGDAKKMNYMFDAWFSLIHQLQQRVVIFSDAGPDTRWVGDEAGVAGYTCWSSFNKSSVTIGHTIAEYSRSGDPFGQDWVPAECDVSIRPGWFWHASEKPKNATTLLDIYYKSVGRNCLLILNVPPNSSGLIANEDIQVLQEFTEIRRAIFSQNFAANATVTANSVRGGQDNLQFAPSKVLEDGIYSYWAPQEGQTCWEMLFDLGQSTSFNMLQLQEPIQMGQRVIEFHVAILIDELWQTIVEGTTIGYKRLLLFPVIESRYLKLTIDSARADPLISFFGVFMDPFSSRHSLQNHVKPARANSSEVTMLRMTHASVNKSIAAMRN >Sspon.05G0003770-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:3772352:3774912:1 gene:Sspon.05G0003770-2P transcript:Sspon.05G0003770-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSEAAKVAVPESVLRKQKREEQWAAEKKEKALAEKKKSIESRKLIFTRAKQYAEEYDAQEKELVQLKREARLKGGFYVSPEAKLLFVVRIRGINAMHPKTRKILQLLRLRQIFNGVFLKVNKATINMLRRVEPYVAYGYPNLKSVRELIYKRGYGKLNKQRIPLSNNQVIEEGLGKHNIICIEDLVHEIMTVGPHFKEANNFLWPFKLKAPLGGLKKKRNHYVEGGDAGNRENYINELIKRMN >Sspon.04G0002510-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:7646375:7651808:1 gene:Sspon.04G0002510-1A transcript:Sspon.04G0002510-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MERAGEKRHFFPLTSLQIGDLQSYLAELTIFLCPHTKKFLILLDNRPWLLDQDTKPAHLWQLMVTKSRFSPFANSRTRRKRDETDGKLVFSTSSVSAPHLQNKSSRWYSLIDEAMREKKLQVNKLKDARILNRELHQTLYGFIIFEVDWTDVRGINYLNELQTDTSMVVESKIMKRWEFDSVNQASTLITSWFSGNPSECQLLQDYLNSISSNGDIFYDAQNGFLTPEWDSENLPSDSDDSSHVQIIRESSGFTDSSYTGPYKRRKITKSDDGSSSTEESCTEIGASPTHSSSSCSSCDSDNEKAKPLLEPSTYKDVLICFRFDDHDLPFRLKEVILSDVRLLTLLEYGLPSWVIFLQSYPVFCKIYRPWMCPLARALYVLMSLITVLIGFYDLYKNVPMLKATASRLFGPFFDWIETWEMISRLKYLGTMLFLHNFQQAFTWSLKIVGAAKSVLSVLTKPIAGPILEVFELTMPMWNLCAETVGYLSSVVMVSLETSWSVVIGTVEMIIWPFWFVFSAMISIVNSILYPIIWLLGEILATPFRLVIGLSSFVVELFVDIVSVIRESWSTLSALYQVGSVPRSPVLTSDNSIWGSLWKDLLYQIFRALRSILYGFVAFFSTCNRHRLSIYNHIQVFLRRISHVSPGARYTAYRDGTRKYSSQNHHRRKAKTR >Sspon.01G0026530-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:92592185:92595171:-1 gene:Sspon.01G0026530-1A transcript:Sspon.01G0026530-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKNIQISSNIYKAFQSESQDGNLQQVTGGISYNGYGLDEFVPEKTAAYISQYDLHIPQMTVRETLDFSTRCQCVGSRDEILEEVSKREKMAGIIPGHDIDLQMKIMGLDICADTMVGDAMRRGISGGQKRRLTTAEMIIGPARAFFMDEISNGLDSSTTFRIIKCFQQLANINECTMLISLLQPTPEIFDLFDDLILMAEGKIIYHGPRNEAHIFLRSQVSDVQREKGWLTFCKSLYTAKTVAKGKTKYTSQVLHQKDQRKYWSGTDESYRYVSPHQLSSMFKKYQKMKKLENPSVAQKIKLGNESLSFDNYSLSTLELFKACGARETLLIKRNMPFYAFKTVQLSIVAVITMSVFYRSHMTTDLTHANYYMGALFYSILIIMLNGTPEISMQIARLPSFYKQRRYHFYPSWAYAIPASILKVPFSLSDSLVWICITYYGIGYTWTASRLFYQFLILCLLHQSVTSLYRFIASHAQTHILSFLYHFMFVALLQLFGGFILAKPSMPGWLSWGFWVSPLSYAQISIAINEFLAPRWQKETMQNKAVGNQILTNHGLDYSWDFYWISVGVLLGYTIFFYIAFGLALAYRKRKFTSKNA >Sspon.05G0029880-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:80843779:80892057:1 gene:Sspon.05G0029880-2C transcript:Sspon.05G0029880-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLERTGEERGVCAAAAASAMNREKEHSAYRNMVGMEAAAVSAAISGMLNLVGNKLPQLVIKEYSSIVDVKEDLQELQDLTQEINSRLEAAGDRAMGDAPSLKKLKEAVYEVDDIVDEFQLKAVKHEADGDGGFVSRYLHTKPKSFIFHCKAAKKIKKIKKTFDDLVKQITVLNSVVGHDPVRHINNTAEFHVEKILKKLFDAIPNEKSEGLSLTNMANKISDELSNNRFLLVLDDVWIEDCIKWEQFMVHVKNDSLGMRGSKILLTTRSRKVAEAVESTTPIDLPSLSKDYSWQLFQQSFGNAVKDLDSEFQKVGKEIVNKCSGVPLAIKVIADDDFIFGDASKKAKHMRSITVEDVHTSALTAILQTKNLRYLNISRLKYETLPEVISDIWSLQALHVTFSDLVKLPESIGKLQKLRVVNLSCCFHLTSLLDSIGNCVMISSINLFGCVKIATLPSSISRNKRLRVLRLGGTKIERLPSSITTLENLECLDLQRCSELVELPDGIANLKKLVVLNLQGCGMLLAIPKGVGQVTRLEKLGLYVMGVDENDAQISELENVNKIIGELTILGIAHGVGPDVAHKEWLKQKTNLQSLTLICASNVGANSENQLEGLEPPSGIKNLKIIRYSGQESTQWMVKHIGAEVAGHPCFQLLIKLELSDFPKLERLDGLTELPCLEKLVLKEMTALKSISGGPFPSLLELFMDGMPILGVVWMVTDGRSLADLEGGQLQIGNRLSVVTIQECPKLMVMPYFPLSLKVLCLVNSNVQLLGLPGLGHGCTLPSFSFSCLKELQLKDMPAPAPAESYGSGRRWELVQHLTALESLQIWSCDGLTELPESMRSLASLRTLTISWCSALMLPEWMGELRHLESMHILGTIRSMQPLRALKALHILYSGRVGNLCCLSLQTLVIDRCDSISSLPQSFRLLISLRDLKIIRCRDLHQLSECLGELRSLQMLWIEELPRLKTLPQSFGHLTSLQELEIGRCKALGQLPESLGELRSLRKFEIWGLRALTSVPKSMCCLTSLEQLTIIICPGIKSLAEWITGLTALQTLEISRCPDLERHLLKPAYQQRQTDCSKQKHILKKWYVLSSICIVEPVASEGRVINEDSSTAFLVHHQEFQHLEATERMEESGESSDPDDQASRWVAGESTSTVTSLAVVAGVMSPGEPGCLDSRVGDAGALRVSSGDPGGLTVRRVGDVGIRHAGCLGRWQRRLTLAPSLRRALQPNTEAVVKETDEVRRRKAKAGEKEKQHLLSKIQVLKVEHIQFEDHTVCNDKDAVDSSVLDVLAVKDCLQIKKHFSSYTCLRSCSVCSSICNVEACGQQVSSIVGVEKDLRELQDLAQEINQRLEGDRALYMGDAPTLRKLKQAVYEVEDAVDEFQQKAEKYEADADAGFVSRYLCTKPKSLVFQYKAAKKIKKIKMIFDVFVKQKSILNSVGGNDPVSQIKGTPVNMQTLPFVDEAAVIGRDQEMYHIISKLLEKEDHQGIKIVSIVGLGGSGKTTLAQLASKNAKHLRSITVEYVHTSAPTAILETKNLRYLNISRLKYETLPEVISEIWSLQALHVTSSDLVKLPESIGKLQKLRVVRLSDCWRLTSLPDSIGNCVMISSIDLFCCGQFATLPSSISRNKRLRVLRLGHTKLERLPSSITTLENLECLDLQRCLELVELPEGIGNLKKLVVLNLKACEKLQAIPKGIEQLTRLETLGLFVIGEDDENCAQISELENINKISGELTIQGVAHCMDPDVAHKAWLKQKTNLQSLTLDCANDVGMNSENQLEGSEPPPGIKNLKIVRYSGQESTQWMLKHVGVEVAVLPRFQSLCKLELSEFPNLQRLDRLMELPCLEELVLKEMPGLKSISGGPFPSLSELSMDGMPSLGVVWMVTQWSLADMEGGQLQIGNRLSVLSISKCPKLVVTPYFPSSLKELRLSKSNVQLLGQPGLGQGPSLPSFSFSCLKLLRLHDMAPPVGAASYGSGCRWELLQHLTALEFLEIKSCDGLTKLPESMRSILSLQTLKILSCPALSKLPEWLGELRYLETLDICLCRSLRPAPSMQPLRALKALHIFKSGWVGDLCCPSLQTLVIQLSINDCLNIRSLPQWIKGLTALQILDIYACPDLERRCERRKGKDWHLISHIPHLWIGETDARYRFI >Sspon.02G0011300-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:28821010:28824973:1 gene:Sspon.02G0011300-1P transcript:Sspon.02G0011300-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYQDAPGGGGKLSLASVGFAGPGAGAGGGGYKELLVMALPTDDGLDGAKVAEAIGVRLPDVGGAVRTILESREAREFASGALAGAMSKAILAPLETIRTRMVVGVGSRHIFGSLVEIIEQNGWQGLWAGNTINMLRIIPTQAVELGTFECVKRSMIEAQEKWKENGCPKIQLGNLKIELPLHFLSPVAIAGAAAGIAGTLACHPLEVIKDRLTINREVYPSISLAFSKIYRTDGIGGLYAGLCPTLIGMLPYSTCYFFMYDTIKTSYCRLHKKSSLSRPELLVIGALSGLTASTISFPLEVARKRLMVGALQGKCPPNMIAALSEVIREEGFLGLYRGWGASCLKVMPNSGITWVFYETWKDILLADRDKPRA >Sspon.07G0010160-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:30514868:30518022:-1 gene:Sspon.07G0010160-1A transcript:Sspon.07G0010160-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQVLNKVGSYWFSKRASKEIDSIGDDISSISSSIGGSAKWMVNKIKGKMQKALPDLLKEYDMPAGLFPRDATNYEFNEETKKLTVYIPSACDVGYKDSSVLRFFTCVTGYLEKGKFSDIEGMKTKVLVWTKVTAIKTEGPKVHFTAGVKKTRSRDAYDVVRDGITIDKF >Sspon.03G0010710-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3A:29131359:29132235:-1 gene:Sspon.03G0010710-1A transcript:Sspon.03G0010710-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding EVYVVSRESTENDKLPRDKYPKPLIFHDGRLAFLPTPAAMLAFFLFLPLGVVLSVIRINIGIVLPYKINFALGAVFGVRFRVSGRRAPPPADDDGKQQRRGVLYVCTHRTLVDPIMLSTALQKPVPALTYSLSRLSELIAPIRTVRLTRDRARDAETMSRLLKQGDLAVCPEGTTCREPYLLRFSPLFAELADDMEPVALDAQVTTLYGTTASGHKWLDPVAFFANPAPSYRVEFLGAVPHEWTRAGGRTGVEVANWVQRRLGEALEFECTGLTRRDKYMMLAGNDGVVAK >Sspon.02G0042340-1T-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:85502756:85503930:1 gene:Sspon.02G0042340-1T transcript:Sspon.02G0042340-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMDPEMLRLAQEQMRRMSPDDIARMQQQVPSPARPQAHTDPIPVPRVPDSLRRAMMSNPNLMRMASESMKNMKPEDLRRAAEQLNQTRLEDMRDMTEKIANTTPEEFAAMKAQADAQMSYAISGAKMLKKQQPDLL >Sspon.03G0040920-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:24557974:24561025:1 gene:Sspon.03G0040920-2D transcript:Sspon.03G0040920-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGPFMSAEELVVTLAPVAVYWVYAGIYDLLLARTTVLDKYRLHSRRDEETKNIASRKDVVRGVLLLQAIQVAISVAVLKLEGHGAAAAANADGGLAAAPPPAEPFLVTVARFGVAMLVHDAWQYFMHRLMHSVPYMYRRFHSWHHRVAAPYAYAAQYGHPVDGVLTDTLSGTAAYLASGMSPHAAVAFFVFATVKGVDDHCGVAAPWNPFYAAFRNNSAYHDVHHQRGGGRCNFSQPFFVVWDRLLGTHAPYALRHRDDGGLEVKAFIKDRQMQ >Sspon.01G0006600-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:15655029:15661972:1 gene:Sspon.01G0006600-2P transcript:Sspon.01G0006600-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSFLSKDTHAQTRLNWPWRSQSPLSAQLLVDIPPEIELSDYRRLPSSGSESPSGLLHGEGIKEEHIPDLDIFFERLYEYFCAKGLRCIITKWIIEVLNVLFMVCCIGFFFLFVDWDNLIHLKCGVEALESGEKPCDLMKVIKHDPLVPFTLPKMIIVGSMVIMTAYGLTNFLKFFVQLRSTLNVRQFYYDRLKVNDLEIQTISWPKIIEKVVLLQKSQKLCVVRDLSEHDIIMRIMRKENYLIGMVNKGILSFPIHSCVPGAGPTVGSHEHGRRNYLILPKALEWTLNWCIFQSMFDSKFCVRKEFLTSPDVLKKRLIFVGIAMIILSPCLVIFPLVYVILRHAEEIYNHPSTASSRRWSNLSRWIFREYNEVDHFFRHRMNNGAVHSLNYLKQFPTPLVSIIAKFVSFVSGGLAGALIIIGFVGESILEGHIFGRNLLWYTIVFGTIAAISRKVVADELQVIDPEGAMCLAVHQTHYMPKRWRGKENSELVRREFETLFQYTIIMLLEEMASIFITPYLLIFEVPKRVDDILRFISDFTIYVDGVGDVCSLSLFDFKRHGNRNYGSPFNALKGLRSSQGKMEKSFLSFQSVYPSWEPNADGKHFLSNLQKFKEKQIRQQALAQYQAMEASGFVAGTRGQRDDTFQQLNSDIHGHAEATLPPVYNPSPLGLLDTDQRTHPYILDWYYMCHPPLSDRAEAPQFEHAFPETGVSTSPPARETSEIEEVGEWDYELYERVQSHLGASTSSALFRNTPVKHHGTEDNTNSNWWAHAPAYPSGPEGSFIEPPEFGNRYMTILIPVITVGSTEDLEQSNGRSSSVWRSPQALSKTRYMVDSDIEEGLSLHFADVLQKDEDDRHLVMDHQDPAPAGLPVRIIPRSSDPV >Sspon.01G0023260-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1B:88953261:88953504:-1 gene:Sspon.01G0023260-2B transcript:Sspon.01G0023260-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPRCDSGLGVPPAKRRDVDPVAPTEHPWNLPAWFQLPQCSNDSPTAAVIPREANLPIDTETRRLVARVSQAVVGVTSVD >Sspon.01G0029290-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1B:111798847:111799480:1 gene:Sspon.01G0029290-2B transcript:Sspon.01G0029290-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SSSKTNDDLQTSGTSLANNVEESTNENNTEACVQGEKQHQALDLAENFEECGVSKEDFIHPEKLEDPKCAKSDHMVSMEISISDECSLFQSSEGSVSTSNKIIESMNTTSMEKSPKTEATIHATRKKLLKSNTSEVELPSLAQWFKPPNPKKAFRDEVVTGDRSHSAKSSDDDRPIIGMVAAHWKDKDPENFTSKWWDGNGIPNSTNKYKE >Sspon.02G0014780-6P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6D:18167893:18169183:1 gene:Sspon.02G0014780-6P transcript:Sspon.02G0014780-6P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQRLTYRKRHSYATKSNQTRVVKTPGGKLVYQYTKKRASGPKCPVTGKKIQGIPHLRPAEYKRSRLSRNRRTVNRPYGGVLSGIAVRERIIRAFLVEEQKIVKKVLKIQKTKDKTAAK >Sspon.05G0013980-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:50393125:50398289:1 gene:Sspon.05G0013980-1A transcript:Sspon.05G0013980-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQQRLLLAAAVLTLVGAGSCHAFQIEEATIDTIHAGFNNGSLTSAALVRFYLDRISRLNPLLHAVIERRYSGRGRPCRRCGRGLHGIPVLLKDNVATRDRLNTTAGSLALLGSVVKRDAGVVRRLRHAGAVVLGKANMEEWANFRNLEGTGGWSARGGQGRNPYVLSADPCGSSTGSAIAAAANLAAVTLGTETDGSILCPASLNSVVGIKPTLGLTSRAGVIPISPRQDTVGPICRTMFDAVKVLDAIVGYDVHSHKDSIQFLRTDGLRGKRIGIPNGFFNYPNGTVQQVVFRQHLETMRKHGAVLIENIDIANLSVILDVLSNGEQIALPAEFKLSLNSYLSDLSYTPVRSLAEIIAFNNAHPIEERLKEFGQLIFLVAENTTGIGAPERSAIQELNKLSVNGLEKLMKELKLDAIVTPNDSASSVLAIGGMPGITVPAGYGKLGVPFGICFGGLKGYEPRLIEMAYAFEQGTRVRKTPTFTP >Sspon.02G0014490-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2B:36352010:36353398:-1 gene:Sspon.02G0014490-2B transcript:Sspon.02G0014490-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding QLLCSSVVIGVPLHPITSSHLTVCVHCRFCLRCSGAVGGATVLELRHHGFSSAPASSKDEEVDGLLSTDAARVSSLQRRIDKYRLITSSSSTEVAEVAVTASKAQVPVTSGAKLRTLNYVATVGLGGGEATVIVDTASELTWVQCAPCESCHDQQGPLFDPSSSPSYAAVPCNSSSCDALQLATGSMSGAAACADDQPAACSYTLTYRDGSYSRGVLAHDRLSLAGEVIDGFVFGCGTSNQGPPFGGTSGLMGLGRSQLSLVSQTMDQFGGVFSYCLPLKESDSSGSLVLGDDSSVYRNSTPIVYTSMVSDPLQGPFYFVNLTGITVGGQEVESSGFSTGGGNKAIIDSGTVITSLVPSIYNTVKAEFLSQLAEYPQAPVFSILDTCFNMTGFREVQVPSLKLVFDGGVEVEVDSGGVLYFVSSDSSQVCLAMASLKSEYETNIIGNYQQKNLRVIFDTSGSQ >Sspon.05G0006500-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:20883023:20887296:1 gene:Sspon.05G0006500-1P transcript:Sspon.05G0006500-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGFDQQVKERTKELKHLKTAAMKGIKAAGESCKKAWSKVNGHIYETDTIEDLKIYSPHIDLTDDAIFPHELVTAPFFPKFFFSSSFLFFFSFLLLSFSFSFSFLFLLSFSFFLRLLSSSSLSFLLLRRRRRLSSSESNSDSLSDSALDPEYDDSDSDSDDEPELSLRLRRRRRRSFSFLRRFRRSPESSPELSLSSSSFRRFDLPRWSRSRLPFERSLHSASSDLLPLRAASSSSAPRPSRGDPEAAMASRT >Sspon.07G0016930-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:81426610:81428580:-1 gene:Sspon.07G0016930-4D transcript:Sspon.07G0016930-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSFNGHVLPGTLFLAVGLWRVWSAMARFAADPPAFRGRAWCPLELPRAPRLLELYVVAGGAFLDMCLELGGGVLAGRGGGGVAPESSLIYLEHAGMLLMFFLFGALALLSQKCTRYLPLTDGELCLVAATAFTSEFLLFSYHSATHAGLEGYYHHLLVILMGLCILTTILGALLPASFPVDVAAGTLIALQGLWFYQTALTLYGPMLPDGCDRNANGDQVDCRSRAAEERAEQLANFQLFGAVFLAFVYVLGCYAVAAARYGHPDLVAMHGEHVAALECRGVGGGARAEECVV >Sspon.01G0052430-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1C:22262334:22262507:1 gene:Sspon.01G0052430-1C transcript:Sspon.01G0052430-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGNTDRIIETGRLDKGQYGLPAVAIGVAARVRAAVAAAGRPFLPGLEAKLMPDEME >Sspon.04G0032900-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:37345185:37350582:1 gene:Sspon.04G0032900-1C transcript:Sspon.04G0032900-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGHNDPVLAMAFHLQWLQEIDPCKSITDEDICTTIQNSGGPKGAMFLPEVPFEILVRKQIGRLLDPSLQCAQFIYDELIKMDYINTSHPSFVGGTKVVELAKHEVLPPKTSASLSGRKFNNSMPPITLKPSETEQDATEVAIVKLLIKSYYDIVRKSIEDAIPKAVMHFLVNHTKRDLHNFLIRKLYRENLLDELMRETDEVLIRRQRIQEMLEILKQAHRTLEEFPFEADKIEKGYNLSEHATGLSKIHGVSGDSTNGIYTSSPNC >Sspon.02G0051360-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2C:76436509:76436838:-1 gene:Sspon.02G0051360-1C transcript:Sspon.02G0051360-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMLRWCYGHTRRDRVRNDDIRDRVGVAPIEEKLIQHRLRWFGHVQRRPSKAPVRSGVIKRADNVKSGRSRPKLTWDESIKRDLKEWNISKDLAMDRNAWRVAINVPEP >Sspon.05G0028680-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:71536539:71541315:1 gene:Sspon.05G0028680-1B transcript:Sspon.05G0028680-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRCGRRAALLLAAAAALSRGGDPDTAVHASVSPPQPLRQALPAAAAAERLRSGTRLFSPWLLPSPYQGFPILNTFSSASVSPANHRDQGSDRSSGDSRCCPGCLGRNSIAKAASAVGPAVVNISSMHDMHGWVQEQSIGSGTIIDPDGTILTCAHVVADFQSTKAIVRRKVSVTLQDGREFEGVVLNADRLSDIAVVKIKSMTPLPAARLGSSSRLQPGDWVVALGCPLSLQNTVTAGIVSCVDRKSSDLGLGGLRREYLQTDCAINKARPLGNSGGPLVNLDGEIIGVNVMKYQLTVIKIVENFKKNGRVVRPWLGLKMLDLNPMIIAQLKEKSSTFPDVRKGVLVPMVTPASPAEEAGFRPGDVVVEFGGKPVESIKEVTKITTGDVWSGNLALDTTKDFNGQNDQRVCLFGSNVVRSTSIIDDLLCVGTPLRIRCLVQHPKP >Sspon.01G0012750-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:34463446:34474225:-1 gene:Sspon.01G0012750-1A transcript:Sspon.01G0012750-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein argonaute 7 [Source:Projected from Arabidopsis thaliana (AT1G69440) UniProtKB/Swiss-Prot;Acc:Q9C793] MEGEAVAKNERKVGGGGGGGGGVSNDGGANARRRWKGGGGRHHPIIRAYPALLPLPLHAAHARRNGTVALPLPPPMLVYLHQPPPPLLFPKVPACYGKPNGPPLLRGPPWRSRKPPPPHAVTAALLPLPHDTELIQHKKCFIHENQTSEMKANHLSTHHNSSITMHGVTIATRPDGGGVGGTAIPLYANHFLVCFDPGQKIFHYDVDISPHPSKETARMIKNKLVEENSNILSGALPAFDGRKNLFSPIQFQQDKLEFFVSLPAAASTRFIEAKENAHMIDKQNHKVFRVNLRLVSKLSGEDLNKYLNEGKDGIPLPQEYLHALDVILREGAMENSIPIGRSLYPRSMGEAKEIGGGAVVLRGFFQSLRPTKQGLALNVDLSLTAFHENTGIIAYLQKRCEFMKDLSQVKTRALAVDERREVEKALKNIRVFVCHRETDQRYHVHGLTEETTENLKFRDRSGKDYTVVDYFKEHYNHDIKFRNLPCLQIGKSKPCYVPMELCMVCEGQKFLGKLSDEQTSKMLRMGCQRPSERKGIIKGVVEGAFATRRNSYADQFNLQVSKDMTQLLGRVLLPPKLKLGNGGRIKDLTPDRFDRQWSLMDSHVAEGSKIKSWALISFGGGPEHQSFIPKFINQLSSRCEQLGILLNKKTVVSPLFERIQILNNVGILESKLKKIQEAASGNLQLLICVMERRHRGYADLKRIAETSIGVLTQCCLYSNLSKLSFQFLANLALKINAKLGGCNVALYNSLPCQIPRVFSDKEPVMFMGADVTHPHPLDDSSPSVVAVVASMNWPSANKYISRMRSQTHRKEIIERLDVMTGELLEEFVKEVGKLPSRIIFFRDGVSETLFYKVLTEELQAVRFTCSRYPDYKPAITFVVVQKRQHTRLFHREKNGGSTHFADQNVPPGTVVDTVITHPREFDFYLCSHWGTKGTSRPTHYRVLWDENNFKSDEMQQLIHNLCYTFARCTKPVSLVPPAYYAHLAAYRGRLYLERSDSTATSRTTLYRSTPLQTAPLPKLRDSVKGLIPLFERIQILNNVGILESKLKKIQEAASGNLQLLICRRHRGYADLKRIAETSIGVLTQCCLYSNLSKLSFQFLANLALKINAKLGGCNVALYNSLPCQIPRVFSDKEPVMFMGADVTHPHPLDDSSPSVVAVVASMNWPSANKYISRMRSQTHRKEIIERLDVMTGELLEEFVKEVGKLPSRIIFFRDGVSETLFYKVLTEELQAVRFTCSRYPDYKPAITFVVVQKRQHTRLFHREKNGGSTHFADQNVPPGTVVDTVITHPREFDFYLCSHWGTKGTSRPTHYRVLWDENNFKSDEMQQLIHNL >Sspon.07G0003340-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:7839628:7844239:-1 gene:Sspon.07G0003340-2B transcript:Sspon.07G0003340-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MANSEYEYVKREFEFDRCLPPSNWIVVRIDGCHFHRFSKVHDFEKPNDEKALRLMNACATAVLEKFPDIIFAYGVSDEYSFIFREETEFYHRRESKILSLCVSYFTSVYVMKWKDFFPNKELKEPPYFDARAVCYPNLKTIRDYLAWRQVDCHINNQYNTCFWMLVKSGKSEQEAQLALKGTFAKDKNELLAQQFQINYDDELTMFRKGSSVYREKVETTVKIDDFGDPIKRPRLKVTVAHVDIIGPEFWENHQHILREGKLLHWFVKKFGINHILSPCNWIVVRINACQFDQFSTIHSFDKPNDETALRLMNESASLMMEQYLDIVFAYGFSNEYSFVFHEKSELYQRQESLIVSSCSSYFTSLYMTKWKEFSPHKELMQTPRFEAEALCYPKLKIVCEYLSWRQAECHAGNQYNTCFWMLVKSGKSEKEAHEILKGTLSKDKNELLFQQFQMNYNNEPAMFRKGSCIYRQKVEELAGAEDGDNDTTRERWHVKVDHVDLGPGFWRKHPWIMTNCSQ >Sspon.04G0019830-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4A:70122068:70126229:1 gene:Sspon.04G0019830-1A transcript:Sspon.04G0019830-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTATRPTKKATREEAAEAEGKAAIHKPQGSTRVHTHHPQVPDSQSPPTTTTPPLAAAAASSRPIRPDRPAMDQVSARAVSDRSATAALPLPLSTCRSCGGERALYYEKTEKIGEGTYGVVYKGTNRHTNETIALKKIRLEQEDEGVPSTAIREISLLKEMQHRNIVRLQDVVHNDKCIYLVFEYLDLDLKKHMDSSADFKNHRIVKSYLYQILRGIAYCHSHRVLHRDLKPQNLLLDRRNNILKLADFGLARAFGIPVRTFTHEVVTLWYRAPEILLGARHYSTPVDVWSVGCIFAEMVNQKPLFPGDSEIDELFKIFRILGTPTEETWPGVASLPDYKSTFPKWPSVDLATVVPTLEPAGIDLLSKMLRLDPSKRINARAALEHEYFRDLEHAY >Sspon.03G0006250-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:32414534:32418255:-1 gene:Sspon.03G0006250-3C transcript:Sspon.03G0006250-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKTNGQLHKAHLLEQPTAHRIQSPSPNIYSSNLALCGCGRSPPDSGQKQRRSRQSVNPLGGGGGGGGSPSSPVCGVRGPRPRYPRVWKTRKKIGTISKSQKLVECIKGLSNVKEEVYGALDSFVAWELEFPLIVVKKALKKLEDEKEWKRIIQVIKWMFSKGQGKTMGSYYTLLNALIEDGRIEEAEELFGMVFSRYMEGLPRTFFMRMISFYYSAGAYDKMFEIFADMEELGVRPDGSIIRMLGDVFQKLEMMDKYEKLKRKYPPPKWEYRYIKGKRIRMRVYPDSKTEEATKGDPGTDELEEVESIHLNNELEEAAISGPDMSVLDDVASGDLEYI >Sspon.03G0023240-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3A:71461688:71468606:1 gene:Sspon.03G0023240-1A transcript:Sspon.03G0023240-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GWPSTLPSSFYLHHFPPLPSPWSHVVDSLLSAIADAQLPLHPHSAAAARPAAPGPPAPLESVPLLQASLCRPSPSLPTARRSLSPLARTPGERVVGTTPLLLPPGLLWPKLPFCRLRVLLWCLQELDRHPPGSS >Sspon.04G0005500-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:16307398:16323411:1 gene:Sspon.04G0005500-3D transcript:Sspon.04G0005500-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dual specificity protein kinase YAK1 homolog [Source:Projected from Arabidopsis thaliana (AT5G35980) UniProtKB/Swiss-Prot;Acc:Q8RWH3] MEEPGREGDSPGPPWAPSESSAFRAFAAAVGERAEASPSGPGNGVSARSSNLRAVRKRPFVARLTTDIIQTFEKCNPEFKYSESLNPKRFLTNPAVPVHNDGLDNANSDLILYVNLELVNNKSSRRYVVQEMLGQGTFGQVAKCWDAETNNYVAVKVIKNQPAFYQQAIMEVSLLRLLNEKFDPDDQHHIVRMLDFFLCQNHLCIAFEMLGNNLYELLKRNSLRGLQMKYVRTFSRQILDALIIMKDAGIIHCDLKPENILIASTVKTAAGVKVIDFGSACMEGKTIYSYIQSRYYRSPEVLLGYPYTTAIDMWSFGCIVAELYIGLPLFPGASEYDVLCRMIEIIGKLELHKNLLSRNSIINSGQPPDDLLREAKNTGKFFKHVGSIYPSSEARNGASSAYKILSEDEIEARDSKKPKVGRWYFPRGRLDRLIYTYPWKNLSEENLPETEKADCLALVDFLRGLVEFDPNKRWSPLQASYHPFITGEVFTGPYEPVQETPRIPVGRAAVVDHNPGGGHLLGAGLSPQVGSINRCLRFNNDLQPNMPFSYGSSCGSFGSHGSFNDNAGFSYGSYDFNSVNIYNSPMDPSGFNVRSQLGGSFLGSSPDIRRRPHLSHGGGIRLSPGGPGHMSLGASPSQFTPPNSQMQIPTGPNGKYGASPSRGGHGSSLGKVAAVGQYNRRRNQGYPPMPVPPHEHTSQLIQGHQGDGVSTARFDAYGRGNSTYLHNALPNSSHYSWRSQRGFGSGLPLDPSSSHGSFPPTNYNGFPLHSDASADTLPSTSSVPDPADWDPNYSDESLLQEDRSLSAGLSGLHLRDASGQTNRSSRLAPIQSHDILSSNPSPLNQRSGHLYHASSLGERTHTPGHVTLDGYKHANYYQQSFPSYRGQPFQQYNNMASSYIRPMRAHHNGQPVWTNYSLTEPPPTTMGDGMPWERVQSQVF >Sspon.07G0009120-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:23772595:23775975:1 gene:Sspon.07G0009120-2B transcript:Sspon.07G0009120-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAAARRALLAHLRVPAAHPVAAAGTIPAAQRLLSSTTEETKGSFLDKGEVPDRVLSVVKNFQKVEPSKVTPTAHFQKDLGLDSLDTVEVVMAFEEEFSFEIPDNEAEKIDSIKTAVDFIASHPQAK >Sspon.02G0016350-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:44844707:44845803:1 gene:Sspon.02G0016350-1A transcript:Sspon.02G0016350-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRRVLLFLKPFDILSYLDDRCRVHKDTIDLCQRVLQRKSLDWISVQRNHLSQPIRDVDLVIAVGGDGTLLRASHFLDSSVPILGVNSDPTCTKE >Sspon.07G0001160-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7A:2631708:2632064:1 gene:Sspon.07G0001160-1A transcript:Sspon.07G0001160-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALTPASGSGACTPALKRRGRKARPTAGPRQRSAHPSPAVRKVGTGTEAVAPVWCPPAWRGRHGAGRHCQWPRRPPPSPPRAWPVRHGSPPPLAVAPLTGELPQRPKSALRARSLLLL >Sspon.03G0022070-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:41820018:41825636:-1 gene:Sspon.03G0022070-1P transcript:Sspon.03G0022070-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMPSLLSPRATSTRAVEPTAHSASPEPRLSYSSPGAAAAPSRASDDSCVVNDVDAFARTIAAIRSKPPAAAASGSGSSLASVLSHYAARWLPDAASSPSGRFLLPPESPTAAWLKKRLLLESLVAALPPDDGDGDDKDGGKSDGITCDFLLRLLRAGSTVGADAALLGDLEARAARRLDQASLGAVMIPAFCLGGLARGALAPCAPYASPPTLLDVPLVLRLVRGFLREGRAKAGSGGGAAAARVARLVDAYLAEAALEAGLRPAEFEELARAVPAHARAADDGLYRAVDTYLKAHPRATKEERRSLCRLIDARKLSAEAAAHAVQNDRLPVRCVVQVLFLSSEHGGSGKLIAGHHRLAEWSGAAGGSFRDPQQIIRSPAAAVSLDLPYGAAIAAGGSARCPSKREVAVAAQHHELRRLREDVARLQVQCHALQAQVDRLGSESGRRRRGLFRWGPAFLFGGGPGPSAGATSRVDDSDSGVDRTPLSAGKLQGRGTPTVSRWRRSHS >Sspon.01G0039580-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:31730450:31734655:1 gene:Sspon.01G0039580-3D transcript:Sspon.01G0039580-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEGASSPVTTVHVTGFKKFHGVTENPTEKIVRNLPSFMETKGLPKGLVLGSCTVLEAAGQGALGPLYELLESTVSGRECGMPKDAYHII >Sspon.05G0025880-3D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:5D:35526546:35528616:-1 gene:Sspon.05G0025880-3D transcript:Sspon.05G0025880-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MIYLRLAAKELEGGKGNRSAMIIGVAVGTSIAVLVFILLMVLWRTNGKWSTPIVDNDQGSIGIIAFKYSDLQYATKKFSDMLGTGGFGSVFKGCLSDSIAIAVKRLDGTRQGEQQFRAEVNSIGIIQHINLVKLVGFCCEGDRKLLVYELMPNASLDSHLFQSYGTILDWNIRYQIALGVARALAYLHHGCRDCIIHCDIKPQNILLDSSFIPKVADFGMAKFLGRDFSRVVTTMRGTIGYLAPEWISGTPITPKVDVYSYGMVLLEIVSGKRNSIEHSSSDIEGQGDYFSVQVAHKLLHGDVLSIVDANLHGDMNMEEAERVCKVACWCIQDREFDRPSMIEV >Sspon.06G0013090-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6A:68448325:68448621:1 gene:Sspon.06G0013090-1A transcript:Sspon.06G0013090-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNKDRWPEFDLQGARDQRDELSSSGQQQRRRTATAAAGGDSGGGRRQRQRRQQRRRHSGGIVAAAATAAVGNRASRQAGHIYREEDPPLSRQLAIWC >Sspon.03G0021720-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:88548960:88549871:-1 gene:Sspon.03G0021720-2B transcript:Sspon.03G0021720-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRKPIHADAGANGAEANKEKERKGLWSPEEDERLFNQISYHGVSTWSSVAQLAGKIQFLGTRFHFSYWTIHTVQLINMFLNADECAGLRRSGKSCRLRWMNYLRPDLKKEPISKREEETIIYLQKSLGNRWSTIAARMPGRTDNEIKNYWNSRIRKRLNAAARDSAAEPAAAAAGAKEGSANAAPPPADQPVPIPALFPVFGCQLLELDGAGGGMSSAGSGSGESPQSSTTNSTQQNTGDESEVSVGGGGGDSNMIHFLSFDDLDFYPGDLLVDVPVAMDAWEATVLCELDELALLITANNCI >Sspon.08G0007080-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:22043300:22048027:1 gene:Sspon.08G0007080-1A transcript:Sspon.08G0007080-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPYAAKYIEYDRSFSEDEKKQSEALKPVIWSIHICMSEFEKKHDAEDGKDAKSSRGKKIVALSDEGEFHKMVKRHIMVSMLGASAQTQFRGTRDTMIDNMLSTFHTLVADDPETPLNFRDALSEDVSSVYVEEFGKAFSRKEIFQTAVTDMMMCAIEVDWRDFFPYLSWIPNRSFEERVLTTEARRTAVMQALINQQKKRIARGEIRISYLDFLLAENTLTDEQLLMLVWEALVEAADTTLVTTEWAMYEIAKHPEKQEYLYQEIQKVCGDKTVTEDHLPELPYLNAVFHETLRRHSPVPLVPPRFVHENTNLAGYEVPAGTEMIINLYGCNMNKSDWAEPEEWKPERFLDGRYEAADMYKTMAFGAGRRACAGSRQAMNISCAAIARFVQEFTWRLKEGDEDTADTIQITTNRLYPLHVYLTPRGRK >Sspon.01G0019420-4D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:70146992:70153211:1 gene:Sspon.01G0019420-4D transcript:Sspon.01G0019420-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGRNDSGHSKRPVVLCCVMIVCLCLLFLYFSGSNGQAGTTAFEYGTKFSRSLGWGSDDGEDGSEESIFGTGDADDVKPKSFPVCDDRHSELIPCLDRNLIYQMRLKLDLNLMEHYERHCPPPERRFNCMIPPPHGYKVPIKWPKSRDVVWKANIPHTHLAKEKSDQNWMVEAGEKIKFPGGGTHFHHGADKYISNIANMLNFKDNNINNEGMLRTVLDVGCGVASFGGYLLSSNMIAMSLAPNDVHQNQIQFALERGIPAYLGVLGTKRLPYPSRSFELAHCSRCRIDWLQRDGILLLELDRLLRPGGYFAYSSPEAYAQDEEDLRIWKEMSALVERMCWKIAEKRNQTVIWVKPLNNDCYKRRAHGTKPPLCKSGDDPDSVWGVPMEACITPYPEPNTLFRKRESHLVTFRSGQHSSQIDFILTRREDRRACFDCKVLPGECVVPQHKLVVADFRFRVRVHRDKRAKIARTKWWKLRGEAAQTFKERMLDEGPWEEGEDADDMWLKMATCVRKVASEVFGVSKGGKREAKDTWWWNDEVQRAIREKECFKRLHHDKSAANIEGYKIAKRAAKRAMSVVKGQAYDGLYQRLGTKEGEDIYRMARIRERKTRDINQIKCIKDGTDRLLVKDEEIKDRWREYFDKLFNGENEGPTLELDDSFDDTNRRFVRRIQEAETGEALKRMKGGKAMGPDGIPIEVWRYLGARAIVWLTKLFNLIFRSNKMPEEWRRSILVPIFKNKGDVQSCTNYRGIKLMSHTMKLWERVIEHRLRRVTHVTQNQFGFMPGRSTMEAIFLLRQLMERYREQKKDLHMVFIDLEKAYDKVPRNVMWWALEKHKVPTKYVTLIKDMYRDVVTFVRTCDGDTSDFPIKIGLHQGSALSPYLFALVMDEVTRDIQGGIPWCMLFADDVVLVDDSRAGVNRKLELWRHTLESKGFRLSRTKTEYMRCDFSATRHEEGDVSLDGQVVAKKDTFRYLGSMLQKDGDIDEDVRHRISAGWLKWRQASGVLCDKRVPQKLKGKFYRTAIRPAMLYGAECWPTKRRHVQQLSVAEMRMLRWCCGHTRRDRVRNDDIRDRVGVAPIEEKLIQHRLRWFGHVQRRPPEAPVRSGVLKRADNVKSGRGRPKLTWDESVKRDLKEWNISKDLAMDRSAWRLAINVPEPDGGSGLAPWPARLTTPPPRLADLYVTADTFEKDTEMWQQRVENYWSLLGPKVKPDAIRNIMDMKANFGSFAAALKEKDIWVMNVVPHDGPSTLKIIYDRGLIGSNHDWCEAFSTYPRTYDLLHAWAVFSDLDKRGCSAEDLLLEMDRILRPTGFVIVRDKSTVIEFIKKYLHALHWEAITVVDAEPSPESEENEMILIIRKKLWLPEAGSQHSST >Sspon.04G0001550-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:53460199:53461673:-1 gene:Sspon.04G0001550-3D transcript:Sspon.04G0001550-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLGAAARMEEKGAITGRRDRDQFPVGMRVLAVDDDPVCLKVLETLLRRCQYHVTTTNQAITALKLLRENRDMFDLVISDVHMPDMDGFKLLELVGLEMDLPVIMLSVNGETKSVMKGITHGACDYLLKPVRIEELRNIWQHVVRRKFSKRERSNLDIYKDFNKLPNTDPCHGHNQMIAGASDQSGRISKKRKEMHSDEEDDGEENDFQEGDEPSAAKKPRVVWSVELHRKFVAAVNQLGIDKAVPKRILELMNVEKLTRENVASHL >Sspon.06G0015970-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:77940824:77943136:-1 gene:Sspon.06G0015970-3C transcript:Sspon.06G0015970-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPRQLPFRLHLFPILVAAAAFLATVVVPAAATAQGSYCRDSLAGLKECESFMYGGAEAPSSACCAAYEAAFDADPFCLCYVADGTYGRATGYDVDVAHGLQIPARCGQAQPPIELCNMEGLVLPPYAPQVTPPAQPPAAGAAAPNAQPPSASGSSSLPVAPPTFTSPPPPSSGAPDLLPELVGL >Sspon.01G0044590-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:83982792:83986681:1 gene:Sspon.01G0044590-1B transcript:Sspon.01G0044590-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKRILLSSVHGEIFGQASQSYLRSEKYEWENLILRQSDQATREQPDHVATLHLPLDMPDRRKKCPSFDHVPHCAKGPDRQIHPAGGCRKNYSANQGSGSQNRQYHDDRYPPTKFLDDHFSEIDAARHRSYLSNFQSSSKHIPVHPSHGESCQRDEVAKAHPKRPVNGFRPIHHEQPELSPNEDFRECSDNSRNFRNAYNGKVVKRKFIKQDFLGNTYNGACAGKYDRNNSRKRNADHLGGKKARKNHANKDKSKRLRCSIEQDQRQQVESDKMRNDSREGNVEITKLVCQDGAKGNFNPKKNATAPASSGSTKCDGKNMLSPKSSKTIASSHTPNLSEGSKDMDLESDNDVDGCTERDILQHIPVTHTERNIQLKISDNVSQSEALRRDCLILWRERQLRKNSAAKADNIVKADQRQTAQRSKMSTGRRVRSGGPAASSSSESGNKDDTTLECSDQFSSATSSDKLQKCGEGRANKKLEQIIKFPSNSKCIKRPQNATAEKGLKCSLKFLPEANPLEIAQSKEKEKLVDRRQVSTDHPKVHSSLNGCSDTCKVDQAAVSHCDNSAHQNISQQETNNADSDARREEKPGVKCEKKAGGHGAKLAEQSTGMYTDPILLDKETVASCSRHGTSKVNALRVPDHEIVSTSCDGLILPRGTANMSQKKSINRSSESYRIDFENCLDGNDFRDNQQETTYDNVLRKKQECSLLAETEDELNAKARKEDCQPQARRVEIASNQRITTEDCASDTIHFGAAKQDDQILRPSIPDLNCSPSMICDEDFTAPPEEPVCQVTADSLKPQSISMSLSASLTGPTSKGEQFKQVEEKQCNQAEATNQITREACKDGTSEAATQLQISESNTGPPQLSAVEESSTSMNELKFALYEFVKGFIKPLWENGLLSREVHKIIVKKAVDKVADVWAHSTEINVPRILSDEAENIRTL >Sspon.06G0004050-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6B:10446840:10447775:1 gene:Sspon.06G0004050-2B transcript:Sspon.06G0004050-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAHGKPAMSGRVRSWPWYISARRGESTGSSACLPASEIYRAARTRTDYTWSEETSSWPVAMEQQLRALRQHVLVASSSSSSSAAAMAGTLEGVARVYDEHAESLARSAACADRVEDELEASVALLDACFAARDVLGAMRARAVAAEAAVRRRDGAAADGAARAYARLAKRACADARRQRRRAGSSSMPLPSAEAELDGGYALQEARQLTVAVLERVVVALSRRVPAVGVGGLRRSASVSSWSTCVARAFRKSARVACEDGDTLTASSLSSKDSHDGEAALRAQRDLRALVDTIRQLEDGLELLFRRLVQCR >Sspon.03G0030300-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:11970457:11971143:1 gene:Sspon.03G0030300-1P transcript:Sspon.03G0030300-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDGADIPYQLLFSHPEMPDSFDEFFSSATTTCTHTHSCNPPGPSAAMHTHTCLHTHTQVLGSGGEDNDAREEDSTTPRKPLGNREAVRKYREKKKAHAAFLEEEVKKLRAANQQLLRRLQGHAALEAEVVRLRSLLLDVRGKIDAEVGVFPIQKPCSVGSVACADPALCFNGNSEVGGGWEESSRPAVADCRIDGVGGMSREIDVSEGLHHSVDVVASFVSSDPLAE >Sspon.06G0003460-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6B:8607771:8610057:1 gene:Sspon.06G0003460-2B transcript:Sspon.06G0003460-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:60S ribosomal protein L7-1 [Source:Projected from Arabidopsis thaliana (AT1G80750) UniProtKB/Swiss-Prot;Acc:Q9SAI5] MVPVIWRNSRNPQTPLEQLGRTRVSDQHYYRQSITEFGNREHKTENSQRLEHEPTIPSSRFEAARAPTGPRWIWTWTATTEGYIRLLFPIAVRLPFYDPNRPPLPAGGTQLPTSGPTGIRKPKRRQTKGPVRPIAEPGQMGLAGPTLHRPSPPSVLNTPAGSRSKNPHDSETLAASSSFPAAASSPPPRRRKEKKMGADGEEASRIIVPMAVEGTPGQLAFVEESKLKKRKENVDWAVRNRERKAAKRQRIHDEHKVIVKRPEDFVTAFRNKERDFLRMRTRLKVRKQPPVEALSSKLVFAIRIPGSVDLHPHIRKVLRKLRLTKVLTGVFLKATELTLKRLLVVEPFVTYGFPNLKNVKELIYKKGRGFLDKEPFPLTSNDLIEKALGEHGIICLEDVVHEIATVGPHFREASKFLMPLKLKCPERRLQMKRKPYKDGGDSGNRGDKINELIEKLN >Sspon.02G0004020-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:12428451:12432303:1 gene:Sspon.02G0004020-1A transcript:Sspon.02G0004020-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAATSLLLRHHRNPHLLLLRAAIFSSRALPQQPELSPDPTAGAPDPAPLPPNPSTGSPFYSQNWRNPAAANPSSSLPPTVVAGSHFGAQHRMAAFYDTPDAAGLKETFGKYMAEQRWEDMKHLFDHWVRSLDATTGKPNHPDVDLFNHYLRANLMSGALPHEMLDLADHMREFELEPNTASYNLVLKSMVAGQESEGAEKLIERMLQTGTFPDDESYNLVVGLLIRQNLVDSSLKYLDLILKSGYTLSLTVYTDYIRACMRSGRLDTLASIIEKCKTTDKNKVLCPQWAWCIDIAEAAFEANNSKLALFALEFLARWIARGENVQPPVQLSVNEGLVISALSAAGRTFSTDLLNAAWSLLRKSLRQKRAPTPETYLAKIYAHSSIGQLQRAFDPPYKSVAALNCVILGCANIWDINRAYETFVAIKEKFELTPDIHSYNALLCAFGKLKQTGEACNVFQHILTLGVKPNATTYSLLVDAHLANKDPKAALAIIDEMVDAGFTPSKDTLRKVRRRCSRESDFDSDEKVQSLAKQFNYRMGGENRREMLYSIEYNPVY >Sspon.03G0043660-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:83162476:83168357:1 gene:Sspon.03G0043660-1C transcript:Sspon.03G0043660-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MCAVALACCRWQARSAGRAQAATRNLQGHSVALPQPPLDNTSSRRGALWAVATALFVAMMWYVRKGCFVCGDDVGLAHGGERWQQQQGAETAQPQCVIPDEMLSLEVLGFGFTSVSGVIPDSMGKLGNLVRLGLYDTQVSGLIPTSIGNLSRLTELYAQNANLEGAIPTSLGKLKNLISLALANNRLNSSIPTEIFKLPLLSKYLDLSSNSLSGPLPSEVGSLVNLNHMYLSGNQLSGELPDSIGKCIMLQELSLENNSLEGQIPQSLKNIKDLNCLVSIVALGISCSKKQPRERTPIQVAAIKMHDIRDSYCQFARSLAIELEE >Sspon.04G0007790-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:22025113:22026519:-1 gene:Sspon.04G0007790-1A transcript:Sspon.04G0007790-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALATLLRHGRRTHAHGLRLSRALSTTTPTTTGGAITLSDAKRQLRRERDPDRVVSILEAIDTASISAASTRHALSLAARRLSRSRRFADAEALLSSHLTASNTEAHLAAVLCCYASADLPEKAIDAFRSAAPSLPAPISPLPFNALLSTFIRCRRYRRVPVLFAELSKEFSITPDATSYGILVKAYCMTRDDAKAKQVLDLMREQGISPTTSIYTTLIDNMYKNKMTNEAECLWKEMVESGCEPDVATYNVKAFYYALHGKPEEVKEVMTEMEAAGVKPNTITYNFLMRSYCKSGKLEDAKVLYHSLAEKGCSADASTYKHMLADLSAHGDFDAGLGIFKDSLKRRKVPDFRTMKGLVEGLAKGGRVADAKEVIAEVKKIFPENLMSGWKKVEKELGLDSDSGETPQSEVTSGETVAEVRPAAAEVEALELEGSAAEESAVSEESSDDEASVPEASSSEEVPQGRA >Sspon.02G0004830-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2A:15518644:15519555:-1 gene:Sspon.02G0004830-1A transcript:Sspon.02G0004830-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polygalacturonase-inhibiting protein, Inhibitor of fungal polygalacturonase, Regulation of floral organ numbe [Source: Projected from Oryza sativa (Os07g0568700)] DLHALLSVKQALGNPATLSTWTASSPNCCAWDHLRCNDAGRVNNVFIDAADDVHGQIPSAVGGLTELMSLTLFKLPGLTGSIPTCLTALSKLEFLTISHTSVSGAIPESLARLRSLDSVDLSSNQLTGGIPASFADLPNLRSLDLRHNQLTGTIPAGLVQGQFRSLILSYNQLTGPIPRDDAHDEINTVDLSHNKLTGDPSHLFVAGRPIGKVDLSWNYLDFDLSKLVFPPELTYLDLSHNQIRGTVPPSLERLSTLQKLDLSYNRLCGPLPKGHGVIKHGCKPYAHNQCRKGTPLAGCQDLE >Sspon.08G0013490-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:48998964:49003529:1 gene:Sspon.08G0013490-1P transcript:Sspon.08G0013490-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MESIEKLVPDLASLRGRLCPSYMDEDIFWKIYFRLLESNIIEHSSEEDTQSVPNSVHHNNEIESDSPPHVCEIESVKSNQEGYQSSDGRALPKTRSERSIDQWVFAKSKSEESMDQWSEIPSDVESFREGKRYLSSEELSDVDSANVVVMDKYMDSLLSDRRHLPYASSSVRQDSVRRKPASSTDYSHRPPQPTPPASLSKKESWDVIEDSEFDILDS >Sspon.07G0015790-3D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7D:53684106:53685357:-1 gene:Sspon.07G0015790-3D transcript:Sspon.07G0015790-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding QTEVNYLGTLSHPYLVKLVGYCLEDEQRLLVYEFMPRGSLENHLFRRSSYFQPLPWNLRMKIALGAAKGLAYLHSDEAKVIYRDFKTSNVLLDANFNAKLSDFGLAKDGPTGDKSHVSTRVMGTHGYAAPEYLATGHLTTKSDVYSFGVVLLEMLSGRRALDKNRPNGEHNLVEWARPYLRSKRRIFRILDPRLGGQYSLARAQKAAALALQCLSVESRHRPSMDEVVTALEQLQDAKEGGNHHLQKRPSSRSMDNNGVKAAVKGKPAPSVKPV >Sspon.03G0023510-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:56437943:56438521:-1 gene:Sspon.03G0023510-2D transcript:Sspon.03G0023510-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding NGLPVLLFISPSSSPSHSEEEGRVGGETPGSRSSKQNTSLSTARPPVRRHGPPRRWRPVGAPAGGRGGGGRVPRGAGFGEGRGPERDGLPGAEEQHPGPTQQVLGRRGRVRRGRGGGARAERRRPRRRRHDPADAGAAEADQPVHQLRGAARGPGAVQQARAVLLQQLRVAAGRQPLPPRLLRHHALRPQHEL >Sspon.05G0018060-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:72409411:72414224:-1 gene:Sspon.05G0018060-4D transcript:Sspon.05G0018060-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:MLO-like protein 4 [Source:Projected from Arabidopsis thaliana (AT1G11000) UniProtKB/Swiss-Prot;Acc:O23693] MPPTSLPSASIRINYSGLTTTSPLPNPNNPIAQQKLSEQSRAGSEGGGELSREGRMAAEQGRSLAETPTWSVATVTTLMVAACFLVERSLSRFAKWLRKTKRKAMLAALEKIREAARLIASQKEKPCLPAELMLLGVISLLLSQTAHFISEICVPSSLFTSRFYICSEKDYDDLLRNTDANQTALGKSMFGGQRLHVCSEGHEPFVSYEGLEQLHRFLFILGITHVLYSFVTVVLSMIKIYSWRKWETLAVGHFGHMRLSAYSSMFMVPFAPNLSSSLASKLNKPRMTLFGSFLKLQLVLLVGTELQHVIAQLALEVAEATAPYVGSQLKLRDDLFWFGKPRVLWWLIQFISFQNAFELATFLWSLWELSAQTCFMKHYYMVAIRLISGILVQFWCSYSTLPLNVIISQMGSKFKKSLVSENVRESLHSWCKRVKERSRHGTLTTRSVCSLDTTYETDHETNTVCTLSRTASATSLDDQLTVGTVDDEPSCIEKDV >Sspon.02G0015150-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:41287876:41290527:-1 gene:Sspon.02G0015150-1A transcript:Sspon.02G0015150-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEPVQNASKSATFKGFLVEDHVLGWRTMLYAACVPGVLIVAGMQFAVESPRWLAKVGRFDDARKVVESLWEPSEVEKSMEEIKAVVVNDDSRGSWSDLLVEPHNRVALIGGSLFFLQQFAGINGVLYFSSLTFRDVGITSGALASLYVGITNFGGALVASNLMDKQGRKKLLIGSYLGMAFAMFLIVYGISFPLDEGVAHSLSSLELFCTFLLLHLVLDQLPICNFLVGLYFLELVNKFGVGAVYAGFGGVSLLTALFAYNFIVETKGRSLEEIEMSLSTATPGKQE >Sspon.04G0003770-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:7454196:7455272:1 gene:Sspon.04G0003770-2B transcript:Sspon.04G0003770-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHQHEIPAIMRLSLLLLVAALSARAAAQLPAPAGALKPDFYSQSCPRAERIIAEVMQTKQMANPTTAAGVLRVFFHDCFVSGCDASVLIASTQFQKSEHDAEINHSLPGDAFDAVVRAKLALELECPGVVSCADILALASGVLVTMTGGPRYPIPLGRKDSLSSSPTAPDVELPHSNFTMDRLIQMFGAKGFTVQELVALSGAHTLGFSHCKEFADRLYNFRNKGGKPEPFDPSMNPSYARGLQDVCKDYLKDPTIAAFNDIMTPGKFDNMYFVNLERGLGLLSTDEELWTDPRTKPLVQLYASNPTAFFTDFGRAMEKLSLYGVKTGADGEIRRRCDAYNSGPAIPGAFGGGAMPKM >Sspon.05G0026500-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:35026735:35029183:1 gene:Sspon.05G0026500-2C transcript:Sspon.05G0026500-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKRTKKAGIVGKYGTRYGASLRKQIKKMEVSQHSKYFCEFCGKFAVKRKAVGIWGCKDCGKVKAGGAYTMNTASAVTVRSTIRRLREQTEA >Sspon.06G0007470-1P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6C:26790715:26793735:1 gene:Sspon.06G0007470-1P transcript:Sspon.06G0007470-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARRPHNDDDHGEEDEDGGGDDDHPHRRCPRQIRPAPLAFTASLPLSIQEADVLPSPLKLVFAKQLKLPIFTNNKLVDIANNPIEIQLMDTRTNYMIAPPDTHLGSSSVKLEVLVLDGDFQCDDRDVWTADQFNAEIVKAREGKRPLLVGTLSVPMNNHGVAVVDDVSFTDNSSWIRCRKFRIGVRIMPGGHLGARVKEAVSGSFTVKDHRGELYKKHYPPLLTDNIWRLKNIGKDGPIDKRLESEGIRNVQDFLKLNTIDPEKLKALVGMSDRPWNATLNHAKTCDMGRKCYVFKTVGCDITFNPIGEVLAARIGDQTFALQELHPQQQFHVKQLATQAYQMWDQLDEVANEMTLAANKSFVPRSNSGREPSESQESMISSGSQNAKYLDFTGTATSSAAAMSTNSSSTSDSTAAAPANDAMFWSPSIAPPECDFSWQDSAGCWDQVD >Sspon.03G0033250-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3B:47378991:47380821:-1 gene:Sspon.03G0033250-1B transcript:Sspon.03G0033250-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETSGAMVVAPARVPRAEPGINDLPLDVLPRVLSCLDAREAVQTCVLSKLWRDLWRNARRINASWRAFEIDGGELDDHGRSLFKKFVNRLLMLRNPVSLDEFRLFYCIDGDRLYADSAEANLWIRHALLCEARSVEIFAWQDRLDLNHAVFTSQNLTSLLLYSVILSDGFFRQLQTGCKALECLILQECPIEDIEIASQTLKFLSIGRHCWFNSPNYDLAHQASLSLSLPNLIHLGFFDHLTYRDYSRIPSVKNMESLQTAYIDLLMYNGLPVDDIRQFILGLSGVTKLEFCFGFGQEELMMGMDLQWCPKFNNLKFLSLVNWYLDANFYALIAFLQNSPNLEHLTLSLKLKQHAKVPGALMGWAGNRLFTCEHLKTVEIICSKDDPVVHSLREFLLAGGISD >Sspon.08G0004270-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:10596014:10598678:-1 gene:Sspon.08G0004270-4D transcript:Sspon.08G0004270-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable serine/threonine-protein kinase PBL17 [Source:Projected from Arabidopsis thaliana (AT2G07180) UniProtKB/Swiss-Prot;Acc:Q8H1E3] MGGCFSQEEHRLQSRPAEAAGPDGLRKSKSDSKAIASVLAPPKDVVDLQVEGYGNVNIFTYDEMRAATKNFRPDQILGEGGFGVVYKGVIDENVRAGFPSRQVAVKELNPEGFQGDKEWLAEVNYLGQLSHPNLVELIGYCCEGSHRLLVYEYMACGSLEKHLFRRVCLNMPWSTRMKIALGAARGLEYLHGAERSIIYRDFKTSNILLDA >Sspon.06G0026250-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:77765059:77766153:1 gene:Sspon.06G0026250-1B transcript:Sspon.06G0026250-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCSVVGSGDANSASAIVATAVKGSHVLRIDGYSRTKGLGNDKFIKSEPFDIGGHPWCISYYPDGETKESAGWIEFYLHLNHNYATGAEASYMFSLLDDAGEPVPSYCCSSDGATHTFKNPDKGWGFRKFIQKKALEESNYLKDDCFRVRCDVIVSKEIRTEGTVSKEIHKEGTSHFVSVPPSDMNQHIGRLLSSGVEADVKFHVGDETFAAHRLVLGARSSVFMAELLGPMKERNSRVVRVDDMEPGVFRAMLYFIYTDELPEMDKGDTVAMAQHLLEAADRYDLQRLKLMCEDKLCQCINTSMATTTLVLAEQHGCKGLKDACFKFLKSPGNLKTIMNGDEFQHLTSSCPCLLTELLANIAP >Sspon.04G0017350-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:71828548:71829084:1 gene:Sspon.04G0017350-3D transcript:Sspon.04G0017350-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGTSTAAAALLLPLLVLVLFAAAYTYILHLAGVRADDGAIEFSPPRARRAGPVAVDVRAEEDCPLIRVPSLVDACRSAPGLPACVAQCVAYQYRGGYCDMLPNGRPGDCFCTNCLAATLARLAVSVSDG >Sspon.06G0008940-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6B:38942153:38943038:1 gene:Sspon.06G0008940-2B transcript:Sspon.06G0008940-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MANLVVVNIKRKDAEVASHGFSLFLDPKRIKLQDEAEIPEMMEEDEPLADADAGAAPVVVQPTMLMPPPPLPLQPTQGQEAAVLHDSTVSTESGSRSSEPTLAVEQAAPMAMGIEEDSWQSQPQPQPGKHPHFWSG >Sspon.04G0031870-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:18256007:18258208:-1 gene:Sspon.04G0031870-1C transcript:Sspon.04G0031870-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GKMINLFKIKDQKKGDAANTNGRPAAKKQSPGELRLHKGGYLLPLYIFLADIAELNLPKTTKITFPNGKDDLMNFESTIKPDEGYYMGGKFVFTFQVPPAYPHEPPKVKCKTKVYHPNIDLDGNVCLNILREDWKPVLNINTIVYGLNLLFSQPNDEDPLNHDAAAVLRDDPKKFEKNVQRAMAGGYVGETHFPRCI >Sspon.03G0038880-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3D:3509429:3510950:1 gene:Sspon.03G0038880-2D transcript:Sspon.03G0038880-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRVGRGRVDAVQGPLQGHAGRGQARHCRPRPQLVHGLPVRPVDGRVPARQRLGHCGRVSATDRNGWREILPQLGVGSVAASTMPRPSQKQHSGGCGGSGGRRRRRRHRARPKGSDSGVPADLERLPSSPTVAFSLASSTIASCLHEVDPLAVALCEGTGPPVWVDPMLDELVASLQLADSLVASRSVGASALGRPSTSLVAPLGAQARLVDARSPPPMVHLNFADAEASGVEAEAPLSHVNDMGRLDVEVGDAALAVSEVALVVDLCLLGSEICGSGETSSVMLLAGSSPPAMSAVATGTLSIEESLEERLCLPLQTPLIHGPSRLRRLRTPAPVTSLRHRVEAPSPNVGSDTVHRYKAAFWEPLSNSTHDALQLLLGGEFDLVAMELNMIGLDDEDN >Sspon.03G0043740-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:83744505:83745287:-1 gene:Sspon.03G0043740-1C transcript:Sspon.03G0043740-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGHDLHGHNGGVKGYVSNLVHGGGGGGHGHGYGGHGHGNGHGQGYAHGYPPPAAAGAYPPPHGGYPPAAYPAHYAMAAATTAATAASTRAACSAAASSGSGSE >Sspon.02G0015550-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:40900046:40902071:-1 gene:Sspon.02G0015550-2B transcript:Sspon.02G0015550-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMALSRFTQWLWPGGAAARVAATHEHPSAGLTSSSFPDFPSGFREPDTVTFYTGGGAGGRRARPRRVRNRRRSRGEARVDREYDMVIVPSDGGGCLSGSDSDDSDWSIGWLEPQAPELQTDGDPENCFAVLVPCYRHGRQEQQPRRHEGRFLGAGALTDGGLSDGKNFVEQWLSSLQN >Sspon.07G0021790-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:4351747:4352058:1 gene:Sspon.07G0021790-1B transcript:Sspon.07G0021790-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDETSANVAGDGSRACGSAPGAEEQLGSGACPRSRISCLILYEFPPDLFLSCSRGLGGTDFCVFGSLCKAQFNKLMFIYLVHLSKLNGFFSDPLRSTSMSWVWL >Sspon.05G0019180-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:80422902:80424302:-1 gene:Sspon.05G0019180-3C transcript:Sspon.05G0019180-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMESSGGSGGGSDPLLAPGFRFYPTEEELLTFYLRHRLAGTRPVVEHLIPVVDIYGYHPSELQALAGAANVADTEQWFFFCPRAERELHGGRPVRTTPSGYWKATGSPSYVYSSSPTANNRVIGEKRTMVFYQGRAPTGNKTRWKMNEYKAVADDADDAPAGAPIMLRNEFSVCRVYISTGTLRSFDRRPLNPTGGLAVAAVHCGYQQQQQQLQPLPPPAAVAETTTSHHHQQMLATVVVDDSAADNNGRRHAAAAAEKSQDSSSSGSRGGGGVDGAEDAATAIDWDSLIPPVDELAFGGVDDLTRVIWPHN >Sspon.02G0024290-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:83158469:83162284:-1 gene:Sspon.02G0024290-1A transcript:Sspon.02G0024290-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MITGALQVSGSGLPLLLVRRRRAFRPPHAVASDAAAATAREEGGKVALGGSGVAVTKLGVGAWSWGDTTYWNEFQWDDRKLKAAKGAFDASIDCGITFFDTAEVYGAGISGAINSESLLGRFIKERQQKEQVEVAIATKFAALPWRFGRGSVICALKASLDRLGVSSVELYQLHWPGIWGNEDYLDGLGDAVEQGLVKAVGVSNYSEKRLRDAYERLKKRGIPLASNQVNYSLIYRNPEENGVKAACDELGVTLIAYSPIAQAPTTYQPDQGNRRKLREDFNPVCQGNVVPIPGAKNAEQAREFAGALGWSLTADEVEELRSMARQVKPVIGFPVEKL >Sspon.02G0024770-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:85415289:85418486:-1 gene:Sspon.02G0024770-1A transcript:Sspon.02G0024770-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEREEMKIEKLGKGAIGKKAKHVMFYASTENLENDVKDSRSWQIVVASKGSLISDVLCNSDKLQNGHIHCFESAIVGFFGGVYTSAHHIGGRASLGDVHERSSQQELRDQEVGWAKSAKCCGILR >Sspon.05G0013870-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5B:50507904:50513589:1 gene:Sspon.05G0013870-2B transcript:Sspon.05G0013870-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MWPLVLGRRSACGHHPQMLVRGRVWIGRRRGESRGPRRDVTDRDSGGESSMTDGKARKFPSRMVLAVSTVGAILLIMVCVIFVFKIRRRGKFQQTIGVNSTTKQNIEELLEDYGSLAPKRYKYSQLKEITRYFSEKLGEGGYGMVYKGTLPCGMLVAVKFLHDFTRNGEDFINEVISIRRTSHVNIVTLLGFCLEGSKRALIYEYMPNGSLDKFIYDDNSKTIMGWDKLYEIAVGIARGLEYLHRGCNTRIIHFDIKPHNILLADDFIPKIADFGLAKLCNPKESYLSMAGMRGTIGFIAPEVFARRFGVVSTKSDVYSYGMMLLEMVGGRKNLKESVNNSSEMYFPDFIYSHLAEVGSLHSFDMAGETEEMARKMASIGLWCIQVSPMSRPTMSKVLEMFEKSADQLEIPPRQHFYSAIQWHHKSFPMGLDTDPSLSMIYCR >Sspon.04G0016200-4D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8D:14071171:14073187:-1 gene:Sspon.04G0016200-4D transcript:Sspon.04G0016200-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIGDGSSNGNQQPVHKEIRDETTPLLPVKVEEDEGFHEFNGASFSGAVFNLSTTIVGAGIMALPASIKMLGIIPGILLIIIVALLTEASIDMLVRCSHQGKITSYGWLMGEVFGQWGRIALQGSVIINNVGVLIVYMIIIDSLRYTSALSVALAVVFVVITAGIAIIKLFNGTVAMPKLFPELDGLNSIWNLFTAVPVLVTAYICHYNVHSIDNELEDRTQIKPIVRTSLFLCSSVYITTSFFAYLLFGEGTLDDVLANFDANLGIPFSSVFDDIVRVSYAAHVMLVFPIVFFALRLNLDGLLFPTSRHISRDNKRFAIVTISLLTVIYLAAILIPSIWDAFQFTGATAAVLIGFIFPAMVILRDSYGIATKRDKILAVTMIVLAVLSNSVALYSDAMNIF >Sspon.04G0014500-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:54259465:54263518:1 gene:Sspon.04G0014500-1A transcript:Sspon.04G0014500-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aspartate aminotransferase, mitochondrial [Source:Projected from Arabidopsis thaliana (AT2G30970) UniProtKB/Swiss-Prot;Acc:P46643] HGDALPRGLPRGPAPGTAAAEAAGGEGDGVVALRPRRAGAQGPHPRRHRGFPRRPLARQSQRRRGRLPGRQRPARRAQLRARGRAPDRGQPQQEYLPMGGSIKMIEESLKLAYGEDSGFIKDKRIAAVQALSGTGACRLFADFQKRFLPDSQIYIPTPTWSNHHNIWRDAQVPQKTFTYYHPESRGLDFSGLMNDIKNAPDGSFFLLHACAHNPTGVDPTEEQWREISHQFKVKRHFPFFDMAYQGFACGDPERDAKAIRIFLEDGHQIGCAQSYAKNMGLYGQRAGCLSILCEDEMQAVAVKSQLQQIARPMYSNPPVHGALVVSIILNDPELKSLWLKEVKGMADRIIGMRKALKENLEKLGSPLSWDHITNQIGMFCYSGMTPEQVDRLTNEYHIYMTRNGRISMAGVTTGNVAFLANAIHE >Sspon.08G0003530-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:8804978:8806264:-1 gene:Sspon.08G0003530-2D transcript:Sspon.08G0003530-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVVLIITSSYTASLTSILTVQQLATGITGLDSLISSSLPIGYQTGKFTKKYLMINLNVPESRLVQLDTIQEYADALTRGPKNGGVAAIIDEKPYIDIFLSHYCNFKIVGQQFTREGWGFAFQKDSPLAADMSTAILQLSESGKLQSIHDEWFTKPSCATDDENNLGATRLGLGSFWGLFLICALICLLALVMFFIQVCWQYKQYSNSEDADEPSAAGADGAGKRQRRLSGLGSFKEIVKFVDMKEEEIMKKSMKRRSGEKDNHAAGFSDAQSVASA >Sspon.04G0029800-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4B:74121680:74122882:1 gene:Sspon.04G0029800-1B transcript:Sspon.04G0029800-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTLEERLHRDQLRAAYIQRKLSHSAKKGGRGGAGGDVQQSHAATVPTTLGTSLNTLEYLITVRLGSPGKSQTLLIDTGSDVSWVQCKPCWQCRSQVDPLFDPRSSSTYSPFSCSSAACAQLGQEGNGNGCSSSGQCQYIVRYGDGSVGTTGTYSSDTLALGSNTVVSKFRFGCSHVESGFRDDDQTDGLMGLGGGAQSLVSQTAGTFGGTAFSYCLPPTPSSSGFLTLGAAGTSSGFVKTPMLRSRQVPTFYGVRLEAIRVDGRQLSIPTWVFSAGMIMDSGTVVTRLPPTAYSALSSAFKAEMMKQGYPPAPPSGDFLDTCFDLSGQSSVRIPTVALVFSGGAVVNLDASGIMLDNCLAFATNGDDSSWGIIGNVQQRTFEVQYDVGRGAVGFKAGAC >Sspon.04G0016820-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4A:61458167:61464194:1 gene:Sspon.04G0016820-1A transcript:Sspon.04G0016820-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAILDSSGIKDSRDLHDDRAAFLEAVRSACLATDNPSAPSWRMYDGVFQILRNSSSLELAVASFHLLTELGKQYPRTYVTDSGGHQCLVVVKECWLPFLLGNGAVSSEIGGNMRSSDHLFDPSRFSLLIEAIVEPTNATDDDNGIKAIKNMMLFQYLVNTLEADFVPRHIAYKGITKDHVQIFVKNCMFILLNQYHPEAKDEVEDIVPSERKAKSASDHDFSLNYSSFESERTLVSLRKLFVMVMKLDLIRKEADTLGLTSRADGFRNPIMEIILDELTYNSSYLSPFLLGFVEGKWKLEIILQYFSKYCGKGPVRTRRRSDNSQEDLKLESVLSFFLTATSANAIVKKMGAEIAQLLLTHAYQEYPDVTIRERSSVYCCNVD >Sspon.04G0018100-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:65486998:65489564:-1 gene:Sspon.04G0018100-1A transcript:Sspon.04G0018100-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQQGSIEEFIRERPTEVVVASLEHFELGAITEPWGYTATEFVVTEFKDSKVIPSHLQQSVPSCHDLARPESFESPSRNWRRDVFSCFVQELMGVAKESSSTRETARMCRGIQVERQLEAEDGARGWRTCAGLHSGVQVQPARPGSGPLYSTDHGLAVATVKSRQ >Sspon.01G0061260-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1D:92399163:92399933:-1 gene:Sspon.01G0061260-1D transcript:Sspon.01G0061260-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIGTGTHTNNPLDLARSRVPVPLPLVRSIDRSERTGRAVASRDSRDAPTPWQPAPSTNMLSPALRCMRRAGSRRHLPVPGRALFAHSLSTTHRTIPRTLALNAHSLSHYSAHQLQISMAHSRCHHLLPTAMATACFLLVTFLALCAAPAPAHGARVLTPGGAGAVTKAQQGGTSSNATAADEYLAPHNQARAAVGVAPLRWSADLASAAGKTVAQQQRQGGCAFADMGASPYGANQGWASYRARPAEVVALWVAEG >Sspon.04G0006820-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:19364439:19369208:-1 gene:Sspon.04G0006820-1A transcript:Sspon.04G0006820-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAALFGAQRNGAADLVGGGKTLYWPQEKAKAKALLEPRSVLDCTRSPSPNNSTSTLSSSLGGGPADSTGVAVVSDSSAAAAEATKWGAPGQHGGGGGGGGGKEDWAGGCELPPIPTGLDMGVAGGGESWDAMLGNATAPGQDQTFLNWIIGAAGDLDQPGPPLPVHQQPLLDNVGFGFPAADPLGFTLDPHLGGVASDMSSPGAVSHATNSGGGGNKASSAFGLFSLEFASLQPPPPPPVLFHEGIDRKPPLLGAQPPGLHQYQHQPTPATTFFMPIPSFPDHNQQSPLVQPPPKRHQSIGDDLYLARNRLLPLAAGQGPFPPLNGPAPFQLQPSPPPPHGAMKTTAAVAAQQQLLDELAAAAKAAEAGNSIGAREILARLNHQLPPLGKPFLRSASYLKEALLALAEGHHGGCHLTSPLDVALKLAAYKTFSDHSPVLQFTNFTATQALLDEIVGSTSSCIHVIDFDLGVGGQWASFLQELAHRRGAGGAALPFVKLTAFVSAASHHPLELRLARDNIAQFAADLGIPFEFSAISADMINPAELISASGDEVVAVVLPAGCSARAPPLPAILRLVKQLAPKIVIAIDHGADRADLPFSQHFLNCFQSCMFLLDSLDAAGIDADSAGKIERFLIQPRIEDSVLGRGKVDKPIAWRSAFAAAGFAPVPPSNLAEAQADCLLKRVQVRGFHVEKCGVGLTLYWQRGELVTVSAWRTFALKKVHGFHEWVLRSKCMVFLFIA >Sspon.02G0020610-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:67175127:67176439:-1 gene:Sspon.02G0020610-1A transcript:Sspon.02G0020610-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MACANPLPFFPKMPTAASANPIPAITAAGNDDEDPDLISLLPDCILTTILSLLPLPAAGRTQVLSHRWRRLWPSAPLHLLDSHLPVPASSLSAAVSRILASHRGSAVRFHLLIARPSASDFDSWIRSLTAKNLQELVLRHPSDETLRLPPSFLSFRTLRTAELTNCRLPEDGTGGGEVYFPDLNELTLRLASVPSSAALHGLLVGCPGLASLSLDRVFGCRTLRVRSLSLRSLTVSVSLTRRRVQEGGGAELEHLVVEDAPALERLLAHDINWGPSINVVRAPRLQMLGYMGIGIPELQLGSALFRSMCAVRLAAELRCVRTLALEMAEPQLKPVADFLRCFPCLETLYVTLVLMNFAIVELQSHMVVPRSMEILNHEIDDDRIECLHHHLKKVVLKGYRGRKHEMQLASFLVRHARVLQ >Sspon.06G0009690-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:25131009:25132623:1 gene:Sspon.06G0009690-1P transcript:Sspon.06G0009690-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLRRSKKSVWFNSPVDVEGLKLHDYRAIIRSPMDLGTVKQNLTAGRYPSHEAFAGDVRLTFNNALRYNPPDHHVHKYAGNLLASFEGMYKEAVSWFEQQRQQLEPPMQLDLPPPPPPQLPVSVPVQAPLRMGGGRRPKPKAREPNKREMDEEEKQKLRVEIENLPEEKMLNVLQIVQKRNSDPALTGEEVELDFDELDVETLWELDRFVVNWRKALKKSQRNSMMNGDAAAMNGHAIDVTIVPDDDDMVEVAVNPSVVVEIGESETDIPKKREMEVEDEYVDIGDEMPTVNYQSVEIEPDSPAASSSSGSGSGSSSSSDSDSDSESDGDDASAPH >Sspon.03G0004530-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:18167759:18170421:1 gene:Sspon.03G0004530-1P transcript:Sspon.03G0004530-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAPAAAQGGCGNKHIVLVHGGCLGGWSWFKVATPLRAAGYRVDAPDLAASGVDPRPLREVPTFRDYTQPLLDLLASLPEGHRAVLVGHSLGGVNVALAAETFPDKVAAVVFLCAFMPDCTAPPSHVMEKFVEGKWLDWMDTEMKPQDAEGKLPTSMMLGPRIIREKFFQLCSPEDLTLAASLLRVSSCLWRTWCCQKPTPRKGTGRCRKVYIVCTEDHAIVDKFQRWMLENNPVDEVKEMSADHVVMLSRPDELVRCLTDIADKYA >Sspon.03G0019110-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:79419569:79423316:-1 gene:Sspon.03G0019110-3C transcript:Sspon.03G0019110-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQEAAPTRPAGPTRLVYFDDMGALRYSATVLSVHQEDGGRVAVVLDATVFHPQGGGQPADTGVISAGGARFLVEDVRAKDGVVFHYGRFEGTEQGCGIGFKEGETVSLEVDAERRSFNSRLHSAGHLLDICVHNVGLFHLQPGKGYHFPDGAFVEYKGVIPADQIPVKKNELEREANRLISEGAKVLASVFPYEEAAILCGGSLPSYISKDSTPRIVKFGDNPGGPCGGTHVADISIINSLKVTNIRVKKGLTKVSYSISP >Sspon.03G0014950-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:47996875:48000350:1 gene:Sspon.03G0014950-1A transcript:Sspon.03G0014950-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGAALYYHPAAGKASLEAVAPSSPSLALRPSQSMVLCVSSGSRWWMRRRWEGKASSVSSRATARARARPALFSPVAMDWQECTYVLEDKPDLSRWTLKYEVLGRDVEFSWLARNMTPTKNQKIHWRSLEGLPNRGAVRFFPKSSSSCRVQRCN >Sspon.07G0012590-1T-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7D:43253859:43255093:-1 gene:Sspon.07G0012590-1T transcript:Sspon.07G0012590-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAGEGKSCPRGKGRIRALWRGEIAPAPMYPAARRKSCAWRSQPRGKSCTRWRGKRGERGREEGDGSEHLEAAGTVYPASSVASPVPTGSARQRLCHSFGACFPLFLPCLLFQSSSLLPLFCLSFLCSAVWLIASVMHSALSFLCSFYSALLDVWRRLALRLKRLGVWKGVGRRNSPYRLKNYAWQQNNEHLARDGAVDRTNTTRLVRCRNKADQSREEEREEAMGGWPSVLWWEDWRERSTAWSSRAGRHGSSAASSAATHASMSSRPPAAGACCAGGVCGGDWDGVGSAMPERERERGRERFGSAGASGFAFFFLLIVPVLLVKERLVQTRTNTDTDEEDTWTAEEDTWTAVPTILH >Sspon.05G0030490-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:90816727:90817835:1 gene:Sspon.05G0030490-1B transcript:Sspon.05G0030490-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNILESSNLGGYKEVINEVEHQRALTMDLHDLVLPTLDPHSGQAKLIKQLFEEVFSCSGKIISSLELGDNSEKQAILIKHKRKGGKDNVENHILEENKDRGNKRRKNAKHISSVVTHAPHFDGYQWRKYGQKWISKAKHSRYIQKKGLMLIWLTRSYYRCANSKEQGCPATKTVQQKESDGNGTPRLFNVDYYGQHICNSDGIVHPHVVEAAHDSVATASQNQSSSSMFVNTDAHGVQDESFGSLFMVPDMPEYLTEFTDVEMARAFEITSMNTPMISEDIWA >Sspon.05G0014430-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5A:53574142:53574951:1 gene:Sspon.05G0014430-1A transcript:Sspon.05G0014430-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLPIKQVIVDRKLRVQSYPHFMEKKGFDSYHSTSILGRIYDETDRVISQQCEQIQITTLPCFDEVEATPECTFLWERRYQEYLRKSTQLFELDKEEKNDEFQKLYQSYKHVSILSSY >Sspon.01G0031940-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:108818859:108821819:1 gene:Sspon.01G0031940-2P transcript:Sspon.01G0031940-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to Actin 7 (Actin 2) [Source: Projected from Oryza sativa (Os03g0836000)] MNELKDCLCRSMADGEDIQPLVCDNGTGMVKAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQSKRGILTLKYPIEHGIVNNWDDMEKIWHHTFYNELRVAPEEHPVLLTEAPLNPKANREKMTQIMFETFNAPAMYVAIQAVLSLYASGRTTGKCIVLDSGDGVTHTVPIYEGYALPHAILRLDLAGRDLTDCLMKILTERGYSFTTTAEREIVRDIKEKLAFVALDYEQELETAKSSSSVEKSYELPDGQVITIGAERFRCPEVLFQPSLIGMEAPGIHETTYNSIMKCDVDIRKDLYGNIVLSGGTTMFPGIADRMSKEITALAPSSMKIKVVAPPERKYSVWIGGSILASLSTFQQMWISKEEYDESGPAIVHRKCF >Sspon.02G0017660-1T-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:55908847:55909315:1 gene:Sspon.02G0017660-1T transcript:Sspon.02G0017660-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRMKNTTLALVAWLMLLALFITTAMASLPSEGDLWTRLLRRQLLPAHPTTVCPLKSWKILRPVPVHRS >Sspon.05G0006160-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:20908699:20910940:-1 gene:Sspon.05G0006160-4D transcript:Sspon.05G0006160-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPGGREDGKRRGATATADEDATDADAATSASAASLNDLCATATATAGGAAAGAPAPFPRAAAWAVAALLAVGLGVGALVLAVVHSAALLVVALLLSAAVAAFLLWNAAAAASGRALRRFVDGLQASSLRVAADGQIVKITGFVSCGDISLISSYEKVENCVYTSTLLRKCARWDSVTLNPWNQCSKWKLAHAERFAADFYITDAKSGKRALVKAGHHSMVVPLINENLLVTTSKDTELSSTLKYWLEERNLSSEEAQLIRLEEGYIREGMRLSVIGMLSKKNGDAMILPPPEPLSTGFVLLSCLLPSYFDGIVLRLVDRSYFVPNSGVS >Sspon.02G0059220-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2D:90270493:90271296:1 gene:Sspon.02G0059220-1D transcript:Sspon.02G0059220-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFVPWLGGGRPLCSASRRCPISNRVREIDLFFVAWGKRTAGDCSVAAAPSRQRVRGKLGLWETRRRGTLLQHAARRIDRETGSQHRFTCGCGLWNRCADGSEVRVSTLEPIGDRDFVHAGCGGFHANQAITERPSYAYTADLYFLFLHMPGTAAGQERDERAWHDPSSNQAHPGRHDTDNLGIVYLGLRLSTPGAESKAHYPTHAARAYA >Sspon.07G0010710-2P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7D:32166379:32166924:-1 gene:Sspon.07G0010710-2P transcript:Sspon.07G0010710-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MELVQTGHSSSSCTLVAGGPPPAPAAPAAPAAPAPAGPAPPPPAFTLGNARPAPKDGRWAGWSGRPRWPWWPWCGWPSTSSTSMAASGRERHSTLSISMAAPRPAVPCAHRRWDSEVRAAAEVGLDSFGGALGWVGWGRRRRGAVAAGGRSAALSSRHAVCFAWIGSNAETARDDAVSSRE >Sspon.02G0032290-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:87236889:87238572:1 gene:Sspon.02G0032290-4D transcript:Sspon.02G0032290-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Xylulose kinase 2 [Source:Projected from Arabidopsis thaliana (AT5G49650) UniProtKB/Swiss-Prot;Acc:Q949W8] MGGAGGDCLLRLPDDSLFLGLDCSTQSLKATVLDAGLGIVATDSVHFDSDLPHYGTRGGVLRDQDPDERGRIVSPPLMWAEALDLLLGRLRSRADLRRVAAVSGSAQQHGSVYWAKGAGAALAALDPAAGEGLAPQLAAAGALAAPESPVWMDSSTAAQCREVEAAMGGPLRLARLTGCRAHRRCTGPQIRKMHQTRPLVYEATERVSLVSSFMASLLVGGYACIDETDGAGMNIMDIDTRQLRQDALQAMAPNLEERIGKLAPAHAVAGKIAPYFVQRFQFSSSCLVIQWSGDNPNSLAGLTLSNPGDLAISLGTSDT >Sspon.04G0017530-3P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:69606816:69607430:-1 gene:Sspon.04G0017530-3P transcript:Sspon.04G0017530-3P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASPLALAYDPSPLQDFCVADTVSSVFVNGLVCKDPAKVSASDFAFSGLQNAGDTSNAFGSKVTLVDVRALPGLNSLGVSMARLDIAPGGLNPPHTHPRATEVLTVVQGQMYVGFIATDGTLFAKVLSRGDVFVFPKGLIHFEFNSGASPAVGIAGLSSQNPGLIRAADSLFGATPAITDEVLAKAFRIDAATVQRIKAQFATK >Sspon.05G0015040-3D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:5D:54910534:54910809:-1 gene:Sspon.05G0015040-3D transcript:Sspon.05G0015040-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAWRAAGPRERRRAVLRFAQPMMLLAAGCSIMGTFFLLLSMVDAIQLKFGILSCGIPLAVGATFALSVLVVGGLLFYGSTVAYALTHYLP >Sspon.02G0024910-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:85705096:85708829:-1 gene:Sspon.02G0024910-1A transcript:Sspon.02G0024910-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLCVSSGAAGEAAAPSKDTALVLMPSGEMREYPRPTTAARVLEDASSADGDSEDWFLCDADGMGFEGAVPAVRDAEPLRAGQIYFVLPAAARRRGLRREEVAALAVRASAALSSRASSAPGKRRRGAVVAPLFFAPPSEEDERAAAACKAAAAPPVPVRRPAPRVRSGRRLERFASDLTAIPECETTMSYSVSARPNSDQLNTAEWPPAHFTCFRSRRLSSSSPLSQAAVTDLNVHTR >Sspon.02G0006010-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:18489741:18493898:-1 gene:Sspon.02G0006010-1A transcript:Sspon.02G0006010-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFRAREMYKKVVRRVGGEGKLPAELMKSVKDMLPDSNVVMGRAKRGIFAGRHIRFGNKVSEDGGNKSRRSWKPNVQEKRLFSYIHDRHIRVKVTTHAIRCIDKAGGIDEYLLKTPYSKMDTEMGIVWKAKIEKMYSDLAEMEVGFFPPEEEAKIKEGFDEVRAAKRDFRREARRALAKQRQLEASSAGDDQTSEVTDTKEEIKGGSKSLDVPGQITAGLRLDINWGKVE >Sspon.01G0029130-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:101262946:101267723:-1 gene:Sspon.01G0029130-1A transcript:Sspon.01G0029130-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VYIPTKPSGGTAALRRPLHRSVSRLLRGAGDLCTRRKSWRSMSPTTSAAAAGSWSRTRTNLALCASGKGKQEKPVYDVSWKIPACVKVALEHYNRLNEDVRELVKAVDGYVFLYNGEWVHVNFLAKSKQGTPKAFFAELKIEGMKKISCTMCTEMDPDDPKTAPFRGGCGGCPSRIFHPAAGGHIGAKPGVGGARAEDLGAAPGGACGEFASLFNVDAMASA >Sspon.02G0051650-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:81237922:81241151:-1 gene:Sspon.02G0051650-1C transcript:Sspon.02G0051650-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRATVSTSSTFPCLPWLHGHGHGRASAAYAAVAACLLALVTFLVVAACWWDPRTSQASSWFLSSSSSPPSAAPSSFSSLTSAAGGGGGEHLLATASSYYSDGGGSGGRNSTGKEVHEEVRGQGGDDDGFLSLVNNSSSGYGAPLPPPAPAPAPAALAPAASPVTIKTEHVSHPVLCSVSYTFRRRQYVLGLPGNSDEVIQATPQVQMRSRDVKLERLELGLAKARSAIMEAIRNEDKRSPLADKDYVPMGPVYRNAYAFHRSYLEMEKQLKVYVYEEGEPPVFHDGPCRSIYSTEGRFIHAMEMESRLRTRDPARAHVFFLPFSVVKMVKTIYEPGSHDMAPLKRTVADYVRVLSDKYPFWNRSAGADHFMLSCHDWGPYVSSANAQLFGNSIRVLCNANTSEGFNPAKDVSLPEINLRSDAVERQVGGPSASRRPILAFFAGGNHGPVRPALLAHWGPGSRGRGDGDPDGVRVASPRLAEALYLECVPVVVDDGEYALPFADVLNWDAFAVRVRVADDPRLKEILSAVSPRQYIRMQRRVRMVRRHFMVHGGPPRRYDAFHMILHSVWLRRLNVRITAAQG >Sspon.05G0016420-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:62234267:62235505:1 gene:Sspon.05G0016420-3C transcript:Sspon.05G0016420-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARSSMLVVAITFLLAAPPAFSERRRFRATMTRTEPAINLTRAAHKSHERLSMLAARLDAASGTAQTPLQLDSGGGAYDMTFSIGTPPQQLSALADTGSDLIWAKCGACTRCVPQGSPSYYPNKSSSFSKLPCSSGLCRTLQSKSLPTCSGGGAGGAECDYKYSYGLASDPHHYTQGYLGSETFTLGSDAVAGIGFGCTNMSEGGYGSGSGLVGLGSGPLSLVSQLKVGAFSYCLTSDAAKTSPLLFGSGALTGAGVQSTPLLQTSTYYSVNLKSISIGAATTPGTGSSGIIFDSGTTLTYLAEPAYTLAKAAVLSQTTNLTRVPGTDGYEVCFQTSGAVFPSMVLHFDGGDMDLPTKNYFRPVDDTVSCWIVQKSPSLSIVGNIMQMNYHIRYDVEKSMLSFQPANCDSF >Sspon.07G0011070-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7A:37319639:37319984:1 gene:Sspon.07G0011070-1A transcript:Sspon.07G0011070-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding EYNPILFGVTWWWAGFVRDFVPSALAFLMNGEPDIIKNFLVKTLLLQGWEKKVDRFKLGEGAMPASFKVMHDAKKGVETLHADFGESAIGRVAPVDFLCRFGIESSGPTIQSNLR >Sspon.08G0017150-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8A:66792915:66793812:-1 gene:Sspon.08G0017150-1A transcript:Sspon.08G0017150-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLNAYLPLHLDKKEKAEQHEQGLAGGVGDVDIPGVRCIPRSCLPQPLLDLNKLFTKQFIDNGREIVNADGFLVNTFDALEPAALAALRDGKVVPGFPPVYAIGPLRSQQHNNSAAANVVYVAFGNRSAVSHAQIREIAAGLEASGCRFLWVLKTTKVDRDDSAELTDVLGEGFLERLQQEQHGLVTKAWVDQEALLKHPSVGLYLSHSGWNSVTEAAAAGMPLLAWPRGGDHRVNAMVAVSGGVGVWMEHWSWDGEDWLVTAEEIGKKVKAVMSDAA >Sspon.08G0014510-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8D:56582898:56591066:-1 gene:Sspon.08G0014510-2D transcript:Sspon.08G0014510-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDGAGAEAGSIQTLLTLLADGEEQARQLGEMVADDPWSRAEHYRGAARRLQCTLGKAAAVARAIEAAAPGSSRGTDRSDSPRSADESSGGTVATEVQERQSMCKRRKGLPRWTVKLRVPDASLEATPDDGFSWRKYGQKDILGAKFPRGYYRCTYRTAQACAATKQVQRSDTDLCVFDVTYQGEHTCHQKQRANVAAATAHGGSPPPPAPLEQQQDPSMQLLRMGFKRVLKVETAGLHDHGHDRDRGPASAPAASFSFPFPSVPFAGEATDNPAAAFSSPSSSYFPAPHPVVVDGSCYANVYDYEAQGARIRGPESSELDEVVTRAITTAPATFDYSSLFHHQAELDDPHLPFLPFGGPPHGPYQYPTKGSKRHIYVDHPRLREQSPPCPTCREQSPPRPTPATQVRERLTPLAYFRLARETRKALGCSREPRGPSPRHPFPMMGRTRPYTVNRGMESRVTTRHDPSHSTMTVSTPLQQQG >Sspon.02G0008930-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:23984780:23988562:-1 gene:Sspon.02G0008930-2B transcript:Sspon.02G0008930-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAWWVRAALLAAAVAAYTAAAAVPAEALSLDVHHRYSATVREWAGHRAPPAGTAEYYAALARHDLRRRSLAAGPAPAAGGGGGEVAFADGNDTYRLNELGFLHYAVVALGTPNVTFLVALDTGSDLFWVPCDCINCAPLVSPNYRDLKFDTYSPQKSSTSRKVPCSSNLCDLQSACRSASSSCPYTIEYLSDNTSSTGVLVEDVLYLITEYGQPKIVTAPITFGCGRIQTGSFLGSAAPNGLLGLGMDSISVPSLLASEGVAANSFSMCFGEDGHGRINFGDTGSSDQQETPLNIYKQNPYYNISITGAMVGSKSFNTKFNAIVDSGTSFTALSDPMYTEITSSFNSQVQDKATQLDSSLPFEFCYSIREFYVWAEGYSVDNSSNLPVNPNPSGVPPKPALGPNSYTPEATKGASPNGTQVNVLQPSASFSLKLHCNRNVFVAAALLFLVIL >Sspon.03G0035040-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:78486255:78491874:1 gene:Sspon.03G0035040-1B transcript:Sspon.03G0035040-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPYEAAKGGGADAGTGLEGTGPTSAAQLGFRCVRGGFAALLLPAHSLGFWISDATAWTRRVLTVDDAFAESMRRLGLGEDGEAGEEKLPERPGEADCAYYLRTGACGYGERCRYNHPRDRPAPVNGVGKTTGMVEYPERPGQPLCEYYAKNGTCKFGSNCKFDHPREGGFVPVTLNSSGFPLRLSPMNQVAPAGGQQAVPAGASYGLSHQGPTSAVTYGSHYAQLYSSSGTSSSNIQEYAFPERPGQPECEHYMKTGTCKYGAVCKYHHPQYFSGPKSNCILSPLGLPLRPGSQPCAYYAHHGFCKFGPTCKFDHPMGTPNYSISASSLTDVPVAPYPHSFPVPPMPPYLPSSDLRPQYTLVKDSSANPPAPGTTYGPVGSISKVYAPHTLPIPGFCSCWHASIVMRHSLRALVPLLS >Sspon.05G0005010-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:17644715:17646875:-1 gene:Sspon.05G0005010-2D transcript:Sspon.05G0005010-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SLAC1 [Source:Projected from Arabidopsis thaliana (AT1G12480) UniProtKB/TrEMBL;Acc:A0A178WLC1] MAADPSSSSTGQQTADILAAAPEDSRQMAMSGPLNVRGDRRPPPMQRAFSRQVSLGSGVTVLGMDRGGRNGGGRGQRALPRSGRSLGVLNHSGGLGQAAGDGAARRGGDFSMFRTKSTLSKQNSLLPTRIRESDLDLPTHVEDQSAGRPAEDPLNKSVPAGRYFAALRGPELDEVRDCEDILLPKDEVWPFLLRFPIGCFGVCLGLGSQAILPAMRFLHVTPMINVALWLLAVAVLVATSVTYVLKCVFYFEAIRREYFHPVRVNFFFAPWIAAMFVTIGLPRAYAPERPHPAVWCAFVLPLFALELKIYGQWLSGGKRRLCKVANPSSHLSVVGNFVGAILAARVGWAEAGKLLWAIGVAHYIVVFVTLYQRLPTNEALPKELHPVYSMFIATPSAASLAWAAIYGSFDAVARTFFFMAIFLYLSLVVRINFFRALFPFDFSIVGAADQTDRYLFSLAWWSYTFPMTTASLATVKYAEAVPCFASRALALSLSLMSSTMVSLLFVSTLLHALVWRSLFPNDLAIAITKDRQNGAVKPNGRGKRASKRVHDIKRWAKQAPLSLVSSITKSHSADKEEEERTD >Sspon.02G0028110-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:119383332:119390731:1 gene:Sspon.02G0028110-3C transcript:Sspon.02G0028110-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Golgin candidate 6 [Source:Projected from Arabidopsis thaliana (AT3G27530) UniProtKB/Swiss-Prot;Acc:B0F9L4] MDRSINFRGIAGSAGNIMQGMGKFVFGNEGIESKEDSYVERYLDRISNGTIPDDRRSAMTELQSLVAESRSAQMSFGAMGFPILLNILKEDREDVELVRGALETFVSALTPIETSQGPKTEVQPASVNSDLLSRETENISLLLSLLSEEDFYVRYYTIQLLTALLTNSLKRLQEAILLIPRGITVLMDMLMDREVIRNEALLLLTYLTRDAEEIQKIVVFEGVFEKIFSIIREEGYSDGGVVVQDCMELLNNLIRHSASNQMLLKETMGFDPLISILKIRRGSAFNFTQQKTVNLLGALNTVELLLMGGPSSEAGKDANKNANQTALAQKNILDHLLLLGVESQWAPVALRCMALRCIGNLVLRNPQNLDSLARKQVGEEPHVQPALNAIFSIILRTSIAQEFAAADYVFKCFCEVRNPNGQALLASTIAPHPNQGDAHGPASDMPFGSVLLQALVSSDVNGDMEACCRASSVLSHIIKDNLQSKDRVLQIQLETPTPSLGRTEPVLHRIVTCLSIAASTDGENDQNNQPEEPYIQPVILRLLIIWLVDCSNAVNCLLESAVHLNYIIELASSKRYTACVRGLAAVVLGACILYNASREKGRDAFAVADAISQKIGLTTYFLRFDELRKSLAHPLSEQHHRKELSRSSANSMSDFQEIEEDETNKDDQHPVLSEIFDSQFVNFLSKLEADIRENIMDIFSRTKTATAVLPTELEQKNGEVDGEYIKRLKSFVEKQCNEMQDLLARNAMLAEELVRTGGATTDTSQKPSSGRERVQIEALRQELEGAKRQIEALKAEKSQIEAEANNQRNLSVKLESDLKSLSEAYNSIEQANYRLDAEVKTLRQGGSVPYPDLEAIKAQAKEEAEKDSEAELNDLLVCLGQEQTKVEKLSTKLAELGEDVDTLLQGIGDDTAIPDDDDEDEDEE >Sspon.01G0027160-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1A:95544439:95544639:-1 gene:Sspon.01G0027160-1A transcript:Sspon.01G0027160-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLVTLGGCHLLDGLVVVSVEARKKLVRCSREGFVRDIVLVPREPRRATLIKRDAKGNKWSGWVKC >Sspon.01G0023720-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:82746372:82751240:1 gene:Sspon.01G0023720-4D transcript:Sspon.01G0023720-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKDGGFAKVLLLHVAALSTAGAVAAAAALAWRRLRGGDRRKQLPQAPAMAEMPRLRVAESGRLEYLEKFSHYVARQLGFQDVNECPQLCKLANNYLNNSKTCMEDIYGFFANAKDAECLYALTADSGTKKKWRNMVLEATRKQRFERVTRELKVTRVLSTLVEEMKAIGIGTAASQCTDVMAPVAHSERSPVLLLMGGGMGAGKSTVLKEIKQEALWANAVVVEADAFKETDVIYRAISSMGHHNDMLQTAELVCSVV >Sspon.01G0017870-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:63264901:63269041:1 gene:Sspon.01G0017870-3D transcript:Sspon.01G0017870-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQMQSRSKEQEVHEVARKSNAQTVLKQDTVDDEDSGDTTSSAGESKYPGWPGTSVFRMLIPAQKVGAIIGHKGERVRRLCEETRACIRIIGGHLCAAEQAVIIFGREQPDEPLPPAVDALLRVYQQTINNDSLDVGSDSVIVRRILAPSEQAASLIGEHGVMINSIMEASQTDIHVLDGDLPPVALEEDRVVEIWGLPARVHKALELVASHLRKYLVDRSVIPLFDCHVPMPILHMDMHPCHYIDYPEGPVHPVSPGYHSVSAEDLQQEQWIDSVYLRGRHPMGNLRHADTFEYRWEAPTPFRRYRSVTPPNHAITAYGPEASPPMEAYLSAPMELHSHHNLLNGWHSSPVNSTDSVERIRSLISVYGQQARLQKQTCQSAKLGKHPQFRISLHGSEAHPTRVSPRDSTEQPPSPGISACGREASPCFRMHPPTTVENLLNCRVSACGPEAQLPLHPAPTSSTSRSTAVASQVKKKLQVPIFYAEAVIGPAGERIEYIRRTSRSSILITNSEGAMSIEITGSAATDVLTAEQLIKNFMAEAAAASPGHSFGFIPSYLPAPRSAQADVLASPGTSEVSSLPERRLQMIY >Sspon.01G0028880-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:100490638:100492579:1 gene:Sspon.01G0028880-1A transcript:Sspon.01G0028880-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSSKNVGMNSSVDGDGFNEMSTSNHDEQKESISQKRRITSFLPGAPSPSIAKPRAFDPIPSNIPAAPRAERPGEEERGACAVRAQRCGLRAPGMDNGDRSGAGAGAVGSAGSLGLRVGQAVFSSASLLFMSVGVEFFSYTAFCFLVTIMGLVIPWSCTLAMIDVYSVLVGCPLRVPGVMVIVVVGDCALSIVSFAAACSSAAVIDLLLQFHGSHCSPRFCGRYQLSAMMAFLSWFLMAASAIFNLWFIASRW >Sspon.06G0031620-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:70285275:70290275:-1 gene:Sspon.06G0031620-1C transcript:Sspon.06G0031620-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKMFGFSRRRMKLGRLKGHLHDHFHASRSPSRTTKRFSHPNGEDPLTTSVSGRPDDLAWRCSSDTFDINGRAFESSENWAVLSTEGDKPVPRFDILSLDKLTWDSVAPKVPWGKNVILVGGKSDQPSDKISVWTFNTESELWSHMEAKGDIPVSRSGHTVIRAGPVLILFGGEDAKGKKLHDLHMFDLKSLTWLPLNYKGAGPSPRSNHVAALYDDRILLIFGGQSKSKTLNDIHALDFETGFSMVPLYYRDKIVLVAFGGNKKEPSDKVEVLVVLQNEHCFSWRSAPEVEPLLYDDSPPSSRELADHLNNCAPLYSTSSAARSSLATTAENSSGRKSLPDSLLQNSNLGSSSLRRQFRQEEDCSLAQKLQKPIDDDKYKDADDCSELPSITNQKPRNDTRQSPDVDGKTRRLGRSSSDINNHQDTRITTLTRRNMALEEQLSAALASKDEAEKNLSLVIDSKDDLEKRLAEKDREVEALKEKATGLELAQEESNNLSNTVHADNVRLEREVAFLKAVMDETQKARESPSYMLHFPLLLSVMLPY >Sspon.03G0025440-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:77477507:77480170:-1 gene:Sspon.03G0025440-1A transcript:Sspon.03G0025440-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MESPISRTNLNCISLADPDVQKSVALLKQACLDSGFFYVVDHGISQEFMDEVFAQSKKFFDLPHSEKMKLLRDEKNRGYTPMLDEILDPENQVNGDYKEGYYIGVEVPADDPEANRPFYGPNQWPSKEVLPKWREVMEQYHREALRVAKSVARIIALALDLDVDFFDRPEMLGEPIATLRLLHYEGGQKTGKVSNPAKGVYGAGAHSDYGLITLL >Sspon.02G0033950-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2C:2101961:2102518:-1 gene:Sspon.02G0033950-2C transcript:Sspon.02G0033950-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding TMVTINQITRKMIVDKQEIFPGGPTDYDKFLVISIGTGSAKGAATYTAKEAAEWGILSWLHTKEGYTPIVDMFSYSSAALVDYNVSILFQALRSEKNYLRIQDDSLKGTEATVDVATEENMKKLIGIGETMLASMVSRVDMETGKPVVVPEEGTNADALTRFAKMLSDERKARTSSNQGKPGSAL >Sspon.05G0029720-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:82225277:82228097:1 gene:Sspon.05G0029720-1B transcript:Sspon.05G0029720-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRYHRVEKPRNDTPISQNEIRITTQGRMRNYISYGMSLLEENGHDEISIKAMGRAINKTVMVAELIKRRVGGLHQNTATESVDITDTWEPLEEGLLPLETTRHVSMITVTLSKKPLDTSSPGYQPPIPAEEVKPAFDYDHEESYPTGRGRGRGGGRRGRGRGMSNGPPPPAYGYNDEWEEEGDYYNRGRGRGRSRGRGGRGRGGYYGGGRRGGYGYDYGYGGRGGYYEEEEYYDEPEEYAPPPGRGKPQTDDITC >Sspon.01G0015240-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:44248369:44252321:1 gene:Sspon.01G0015240-1A transcript:Sspon.01G0015240-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MCPGSVESAGAGAAGKSVCVMDAAGPLGHALVDRLLRRGYTVHAATYAVSGDGEREEEEEEAAAALLSHLSSCGGDVDAYRRRLKLFRADPFDYHAIADAVRGCAGVFCMFNTPDDQAQCDESTVETEVRAAHNVLEACAQTDAMERVVFTSSVTAAVWSGAGAGTHGHEEAVADEKSWSDLAFCRKFKLWHALAKTLSEKTAWALAMDRGVDMVAINAGLLTGAPGLRLTAAHPYLKGAPDMYDGGVLVTVDVDFLADAHVAAYESPTAYGRYLCFNNAVCRPEDAVKLAQMLSPAAPHARSPPPPSDELKVIPQRIQNKKLNKLMLEFASGV >Sspon.01G0042220-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:64280016:64285267:-1 gene:Sspon.01G0042220-1B transcript:Sspon.01G0042220-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFLNDALWLPLLQILAVCRSLLLQWGMELRNSLKNSGARVQSSRSDHQRMDGWMPELELIERCSMRNPPPTQFQGPATLLFASETNGLSNDAVLLLLESYANAVRRPRHSKALMAVRRPASTVPAYRCPPLPSITATHAYTNLNTYGCRVRARGGTSGRTAGQTKVTCMKTEAVGGSGAAYAFQRATSCGLPAAGRLNVGLLFFNSFPVSWHRFS >Sspon.03G0004840-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3B:48073657:48074223:-1 gene:Sspon.03G0004840-2B transcript:Sspon.03G0004840-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIIGFVTPIGPLSEENHKSNKNLLPLECEGTMECHTRDNDAVGLDARLESVFCEPTDFQNNIQVYDVELTVLGNYTGRGLPLDFLESKTTGEPIMGYPVDVDVLEDCSSASIHDHLPVVRRIGKKRLRRGHDGRWMKRTPLCFPAMSTAVRAVSTAVQTKRNSSKDPVLEECSLLLALAAKASLLHT >Sspon.04G0016350-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:16114672:16123478:1 gene:Sspon.04G0016350-1P transcript:Sspon.04G0016350-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPRGGHRRASVPLQHAAALLMLALSCFCCRADPSPPNPKAVPAVIVFGDSTVDTGNNNGIGTVLKSDFPPYGRDMAGGANPTGRFCNGRLPPDFISEALGLPPLVPAYLDPAYGIQDFAQGVCFASAGTGLDNKTAGVLEVEYFKEYKRRLRRHVGRARARRIVSDALYVVSIGTNDFLENYFLLVTGRFAEFTVGEFEDFLVAQAEWFLGEIHALGARRVTFAGLSPIGCLPLERTLNTLRGGCVEEYNQVARDYNAKVVDMLRRLMAAHPGLKVAYIDVYHNMLDLITNPSTLGLENVEEGCCATGKVEMSYMCNDKSPHTCQDADKYFFWDSFHPTQKVNQFFAKKTLDQCYQELL >Sspon.05G0021610-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:64093879:64100776:1 gene:Sspon.05G0021610-2B transcript:Sspon.05G0021610-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTPVPAARQCLAPAAVTALDAAVASARRRAHAQTTSLHLIASLLAPTAAPLLRDALARARSAAYSPRLQLKALDLCFAVSLDRLPSTPTSSVSSSATTTTTITSNDHHEPPVANSLMAAIKRSQANQRRNPDTFHFYHQAATTSPNAVKVDLSHLVLAILDDPLVSRVFADAGFRSNDIKLAILRPAPPVPLLARGLPTRARPPPLFLCSFAAADDADVPSPAPALAGAAPGEDNCRRITDILARGRNPMLVGVGAASAAADFANASPYRILPVSHQADLLAVAAAAPATPGSGLIFSIGDLKDLVPDEADLQDAARRVVAEVTRLLEMRRAAGRQTVWVLGWSATYETYLAFLSKFPLVDKDWELQLLPITAVRDAGPAPGLVPPPAPATTVAAFFMESFVPFGGFMCDTYEANSLTANSCPQALRCQQCNDRYEQEVTIIRGSGITAEAHQEGLPSMLQNGSMMGPNNGFDALKVRDDQMVLSTKILNLKKKWNEYCLRIHQGCNRINRDPCQLFPHQIDVRVDRERCANPNQSSQSVALQREVIRPSAVSYPHTNTAAKSISAPSISTQMNADLVLNLQVRKSKSDEPLQDRAVPSQHSNSSNCDNPEDHVSPSSAAPVATDLVLATPRGSSSKDSSNALCKHVEDAEGSIQLMPKKVDDLNLKPSHFSAQPYTCFRSSSNWDQTSPSALHSAASGGASAFGQWQRPSPLAAQSYDLSNHKLLMERLFKAVGRQKEALSAICASIVRCRSTERRRGANKKNDIWFSFYGPDSIAKRRVGVALAELMHDSSENLIYLDLSLHDWGNPNFRGKHATDCISEELRRKRRSVIFLDNVDKADCLVQESLIHAMETGRYKDLHGGRVADLNDSIVVLSTRMIQGCQDVSLGMEEGNVFSEEKVVAARGNQLKIIVEPGTANISGGPGGKVVVSSRHSLRNNQASLYSSSFSKRKLQISYEQEKTAESPSTSKRLHRTSSVPFDLNLPGDEVEVQDGDDDSSSSHGNSSGDPEGSVGNLLRSVDESINFKPFDFGKLCEDILQEFSNTMSKTLGSRCRLEIDTVAMEQVVAAAAWASDSHEKRPVRTWVEQVFARSLEQLKVRCKNLSSCTLRLVACEHETPVKEGGFGLFLPSRIILD >Sspon.05G0027340-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:5B:52033981:52034081:-1 gene:Sspon.05G0027340-1B transcript:Sspon.05G0027340-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GSSPPVLAPAAVLNSSSSSTEHHPRACISWLYH >Sspon.08G0021640-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:41704337:41708230:1 gene:Sspon.08G0021640-1B transcript:Sspon.08G0021640-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTARGLRVPLGRSAARLRSASCVVAPVRLWTPACSFDPMRLCLLPVRFVRCFFDLLHYMMILFFGELALAASAVYAARCMLRKSSLWTETLEYHTSLHEQQLIDSTGSGPSPMGVREVHRNRAPNSRAPQVVTPPRCDRSVLRKY >Sspon.05G0014380-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5C:47010239:47012408:1 gene:Sspon.05G0014380-2C transcript:Sspon.05G0014380-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKAAYPETMSLFLASQRESDQPLVSLPNHMFQQADQLCALKLCGCTFNFSSPPFHCCYNLRFLGLDRCMDQENLAGEEKNSALAMEIFQRLWVLYVCQTNWELAFLEEIQELVATNIREIHIRKGRIWRRSLAWRQLQSLYKIQVIEPSCSQVLPTGLKTLVQDGCAGLEHVGPEGAPPSLESVSLDGGAGNDDNYIAKISRMTLAGCAKLVEFTLLGSLPNLEELDLSRTAVKTVNLKKVHVEKLERIFLMGCKAQGRLHGLTSGREGSSPGCPTAGSVPAVFHTNYDGPYLFEELETFWAAVLLMARSIWSRGRPYDSVDSVSFRKLQAIHLYRCPMLKFVPPLSWNHTLSSLETLHKVWCGDLRQVFPVEAGFLNKIAAEHPNGMLEFPRLKDLYLHHLSSLRQICEAKMFAPKLETVRLRGCWGLKCLPATNKGKHPPSSRRAIRVIIKK >Sspon.02G0021880-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:91068549:91069693:-1 gene:Sspon.02G0021880-1P transcript:Sspon.02G0021880-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTMIMAGVAAVLVVLSSATVMAAAAGLDLDFYSSTCPRVEAIVKEEMTEILKVSPTLAGPLLRLHFHDCFVRGCDGSVLLDSTPTSTAEKDATPNLTLRGFGSVQRVKDKVEQACPGTVSCADILALMARDAVVLANGPSWPVALGRRDGRVSIANETNQLPPPTANFTRLVRMFAAKGLSVKDLVVLSGGHTLGTAHCNLFSDRLYNFTGANNLADVDPALDATYLSRLRSRCRSLADNTTLNEMDPGSFLSFDASYYRLVAKRRGLFHSDAALLTDPTTRAYVQRQATGLFAAEFFRDFADSMVKMSTVDVLTGAQGEIRKKCYLVN >Sspon.06G0016670-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:72585932:72587866:-1 gene:Sspon.06G0016670-2D transcript:Sspon.06G0016670-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGQTEARARPAAATATATSARARPPRASVPAFGGWEVAGGAGAAAPDYSLDFTNIRAARMQQRRKALSWSSFVGNAAVAVETSPGGAGGGDDEEEKRRQWSSSASASDGDDDDRERRRRHQLRRLRSADDRQPIQPGRAAPKGRGKFKGYLFGCVSGQW >Sspon.06G0005710-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:17675188:17678598:1 gene:Sspon.06G0005710-4D transcript:Sspon.06G0005710-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPYHFYFIITDAECLWVLWLYRYNFHLFPRDELQLGMPSYSFSCQSSYLSTMANDGFDFNMCIYDGTLVSSLSRLILGGETYGSRPSMSIDVCSDRQVQLVLEAVNHISDDLVSLVVPHKAGAVRNQQHYVPCIKTIRYQKPFSPTHLQMDIQKLEDEQNFKFRGFREVIDLLSSSQKPIISYNCLNDMTMLHTKFIAPLPPNMHEFMCSLKMVFSNVVDISHLWRQIGPLRKAKNIQAALSYLQRQYFVPIEIEIPQQDGTSSATKNEQNVLRITKLFAKLSNLLKISPECQLQSREQCTGVEEYCNIFYPSCVVDSSDDANFAIESDTTETVSTDNIIFLWGFRGKSVKELKPYLIGLHQAFSEDFEVKLLDKTCSALVFRDSNTATQLLKEINSEGPSLNRFFSEGLKAAGFEVYRKVCRLGLWDSDLAEVLEDVSSELGVATLPECSTSQIYWNRALMLDLK >Sspon.02G0002930-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:9874549:9876846:-1 gene:Sspon.02G0002930-1A transcript:Sspon.02G0002930-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding WPGRASDPPASRWLRPSALLLLVASALLSAPSPAGALRFDVESGHTKCISDEIKVNAMAVGKYHIVGPDPNFPDAQLPESHRISLRV >Sspon.03G0003660-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:16548124:16550083:-1 gene:Sspon.03G0003660-3D transcript:Sspon.03G0003660-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAANVLLLLLCSTFCFLAHRAAGDYGGWQSAHATFYGGGDASGTMGGACGYGNMYSTGYGTNTAALSTALFNDGAACGSCYELRCDNDGQWCLPGTITVTATNFCPPNYGLPSDDGGWCNPPRPHFDMAQPAFLQIAQYRAGIVPVAYRRVPCVKKGGIRFTINGHSYFNLVLVTNVAGAGDVQSVSIKGSSTGWQPMSRNWGQNWQSNSLLDGQSLSFQVTASDGRTVTSNDVAPAGWQFGQTFEGGQF >Sspon.06G0013060-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6B:56724186:56724464:1 gene:Sspon.06G0013060-2B transcript:Sspon.06G0013060-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRWNQRARYLRGGRRRVRRRQITGAQIPPRSPKHGTGVQEGLGKPDGEASSARKDWGGFGGDCDCFLGLVLVTLSAFRRWFADSSACHNSD >Sspon.01G0010930-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:30223382:30233411:-1 gene:Sspon.01G0010930-1A transcript:Sspon.01G0010930-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSLRRALRHPIPNTAASLSTSGLRRLSSHRRTPPLPRPAATGDDEWNDAWETAWLPGDSPASSPAPAAPWESPASASASAVPAISAEVDPDTKAFVADMDERWAERRAASRRGQPQRASRAAEGGEGGAAARKKAQADDYRTRKQRVHAALWVKEIEKMEEARLGGGGGGADDIDRLLDSCSECWNDAWETAWLPGDSPASSPAPAAPWESPASASASAVPAISAEVDPDTKAFVADMDERWAERRAASRRGQPQRASRAAEGGEGGAAARKKAQADDYRTRKQRVHAALWVKEIEKMEEARLGGGGGGADDIDRLLDSCSDIFDSGNTDFGDSKIPSTTEIKTKPDGWETTSRGQDGTYGKSRSEKMTFFSRNLKGGLLLVNSRSTIILPAPNILIASFIKTHIFSRRRPIDGWKYMIEEIGPNARKGKGSVQRLPSVSDPATQPYREETPAITSSQRTGLQAMRPIALGTLPVVVPHGWELPGLHMAHGLQQMPLLGRASVPVVVQHKVVRSLLMVLVPVAAARGIQRLQWWVVALPALMRTLRLSEEEGGLIWQCHGPNLLDFLKPALST >Sspon.02G0020110-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:67266173:67268241:-1 gene:Sspon.02G0020110-2C transcript:Sspon.02G0020110-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGFNKSGSPEAEGSRRAPAGAYYECSFCKRGFTNAQALGGHMNIHRKDRGGGSKTAPPQQDDAGGGGSRTYGGGDVHLGLSLGRKEDVDLELRLGSYPYNSSNPPKTSTKT >Sspon.05G0013980-4D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5D:49207620:49210258:1 gene:Sspon.05G0013980-4D transcript:Sspon.05G0013980-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSAVDWSMSLAIAFAVLVGVKVLDAIVGYDVHDARATKTASRYIPRGGYMQFLRTDGLRGKRIGIPNGFFNYPNGTVQQVVFRQHLETMRKHGAVLIENIDIANLSVILDVLSNGEQIALPAEFKLSLNSYLSDLSYTPVRSLAEIIAFNNAHPIEERLKEFGQLIFLVAENTTGIGAPERSAIQELNKLSVNGLEKLMKELKLDAIVTPNDSASSVLAIGGMPGITVPAGYGKLGVPFGICFGGLKGYEPRLIEMAYAFEQ >Sspon.01G0020540-4D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:74003331:74006613:1 gene:Sspon.01G0020540-4D transcript:Sspon.01G0020540-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRVAGRGGRPLLGGSGGGGKRGGRPSMAVVAALLLACAALLLLLALGALSLPGASDGPGGRGAGLARPRPRSRFRRSTFDSGLEMRGEKGEPWTEVLSWEPRAFVYHNFLSKEECDHLISLAKPHMRKSTVVDSTTGGSKDSSSDVEDGGETVFPSSTTNSSSSPFYNELSECAKGSLSVKPKMGDALLFWSMKPDGSLDPTSLHGGCPVIKGNKWSSTKWMRVHEYKVMHRFRFVDILAHVEGFDFP >Sspon.01G0027050-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1A:95463429:95463991:1 gene:Sspon.01G0027050-1A transcript:Sspon.01G0027050-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKAKKFKTRLKHKNFGNRVKTHELQKLNSEKTQKKSGPRACHGRASHLYARHVRGVDRSLEKSAAAASVVEMKLINVTRIFILLYEVTVASAVALHKAFL >Sspon.02G0007840-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:25477063:25482668:-1 gene:Sspon.02G0007840-3C transcript:Sspon.02G0007840-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endoplasmic reticulum (ER) stress sensor, Transducer of ER stress, ER stress respons [Source: Projected from Oryza sativa (Os07g0471000)] PMRSLRRVLIPLVLLAGLAFRVDDGGAALLPPPPPPPALPATPPRLSLPGGAAPEDDGAAAASRSTEIVAVGARSTEIVAPAGPKKQSLRELLVRPQPARHEPANLVSGEAKAEPSPVLQFYDNGTIQLVDQLSQSPLWEITTGPPLSDHITSTDSGLNYLIYPLMDGNGTELWEVYNGNNQRLPWKLEEFVARSPYIRDSVVTVGSKVSTVFVVNADTGEIIYRHSIPAALNELEGPGIEGAPSKLNARTSDGSEKIIVLVRTDYSLSASDLGKHLFNWTRTSFTANQYAKYNHPDMLDQSPCLRGDIPCIRTEGLPLALPDSDSDSANVIVLKDGTPFISIHGSDALEPVQTPRKLPNTAGKSNIVLDDSQNQTYDGAQSHVISADPKATKNPTRNTYGWLFPLFPIFLVIGYLLSLISASKSCRQFVIQLIKPFTHNKKSVDIRGRSEGTPKRRKTRKKDGLVNSPETLTASDKECSETGGSTEAPMENSALTDALGGRQIGKLYVSNKEIGRGSNGTVVFEGSYDGRQVAVKRLLRSHNDIAEKETQNLIISDRDPNIVRLYGCDHDSDFVYISLERCHCSLADLIQKHSYLSSGESISNNEVSVSIKSKVSNVKGIDVELWMQDGLPSAHLLKLIRDVVAGLVHLHNLGIIHRDLKPQNVLISTEGPIRAKLSDMGISKHLQDDMTSVSHHGTGIGSSGWQAPEQLRHGRQTRAMDLFSLGCLIFYCITKGKHPFGEYYERDTNIVNNRFDLFVVDHIPEAVHLISQLLQPNPEIRPTAVYVMHHPLFWSPEFRLSFLRDTSDRIEKTSETDLINALESIAPMAFGGKWGEKLDAALVTDMGRYRKYNFESIRDLLRYIRNKSGHYRELSDDLQGILGSLPEGFDRYFASRFPKLLIEVYKVLWVHCKDEEAFSKYFNGSSL >Sspon.01G0036720-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:19541196:19546991:-1 gene:Sspon.01G0036720-1B transcript:Sspon.01G0036720-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVEVKASCETLAKALAGFSSYDDGNAIVFGSFGWTHVADEIYLEALAPPVTGSLHLASGPGVTLAFVLLASCEHHSSESCSQHKLCDQRHGRASRGDAHAQDKKVCSTGHFDNCMHRRGVATSLLLVVLNGWDGGALCCGMVAAPLEVGEEGRQRAPRRCSCRGGPGGPVPARFVAVVARQVARRGGLPAADHVNDDPAAVGVHLHDVAVVGVPATAAGGGGERVEGVGSVTQATWQLSFAMGHRLIIRLLRLWHSAQVYWSEPLDAAVDAGNATVEFTPPRRAAMFVATVALFAPAAVAVAKRTAKTTAAETWTTGAEKAIGEGVLAA >Sspon.01G0028940-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:100859750:100870900:1 gene:Sspon.01G0028940-1A transcript:Sspon.01G0028940-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSFGDLGGGGSSSSKAAASFLQLPLPASTSAAQQVFPPPDRQHRHHHSSRIALQQLLADPSAAQQHSHRKDAAAIVQGEMSPPADGDADTIKAKIMSHPQYSALLAAYLDCQKVGAPPDVSDRLSAMAAKLDAQPGPSRWREPTTRADPELDQFMEAYCNMLVKFQEEMARPIQEATEFFKSVERQLQLGSISGNYFSIYGSLQTDSNCEVTGSSEDEQDASCPEEIDPCAEDKELKHQLLRKYGGYLGGLRQEFSKRKKKGKLPKEARQKLLHWWELHYKWPYPSETEKMALAETTGLDQKQINNWFINQRKRHWKPASEDMPFAMMEGGFHVPQGTAALYMDSRPPPFMADGGMYRLGS >Sspon.06G0020110-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:7821236:7822258:1 gene:Sspon.06G0020110-1B transcript:Sspon.06G0020110-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKQAYATSSLVVGYALCSSLLAIINKYAITKFSYPGLLTALQYLTSVVGVWVLGKLGFLCHDAFNLQTAKKFAPAAAVFYLAIFTNTHLLKHANVDTFIVFRSLTPLLVAIADTTFRKQPCPSKLTFLSLVIILGGAVGYVMTDSAFTLTAYSWALAYLITITTEMVYIKHMVTNLGLNTWGFVLYNNLLSLLMAPVFGFLTGEHLSVFRAIESRGQSWFELDSFVAVALSCVFGLLISFFGFAARKAISATAFTVTGVVNKFLTVAINVMIWDKHASMFGLVCLLFTLAGGVLYQQSVTTKGNSSAQREAAAKQGRGDDGAVELDEESQRLVSSPKVV >Sspon.01G0009950-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:27722616:27725155:1 gene:Sspon.01G0009950-2C transcript:Sspon.01G0009950-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVWEEEAVEEEEYGNEMAASESEEDVVVGQMPTVMVPKHINKRALRNKALSVTLDKKALRDFVTGFHKRKKKRRKEAQKILQEKERKKKIQDRKRRKEEKEIALYGRVLSSDNNDGAGLENEDIGDDGEDMENDEPLSEIKTYEDDGTRIIVTTSEITPEDDDIGPKPVGPVATSYINKNPSSFAKKNNSLGVKKKPQKRTFKSKSKAKKGDKKRGAAKGKRKGKGRK >Sspon.07G0001790-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:3107162:3107866:-1 gene:Sspon.07G0001790-2B transcript:Sspon.07G0001790-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLWERSRVVLDDGGDDFTFAAAQPPPRLLSGGGGGGCMGPPLLYPIFGRPRSPPRAAPAPAPEPETATARVPLGRLLLVDRDPPPPPAPADDVVDDEGLDSVPAEMFCPWSPGWSAAAAAPSPARCKKSGSTGSVLRWRPWLVVGRSQSDGKEKFVFLSPAASSSSGRSSGSRNQKGICAVAAAAGGGAHAWSCYVNDNRGGGARRRRSFLPYKQDLVGLFANATVFRRSYLPF >Sspon.05G0006520-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:20590721:20594024:-1 gene:Sspon.05G0006520-1A transcript:Sspon.05G0006520-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MELGGGGDSAARSARLSSDDAAAAAAGVDRLSTLPDDVLVLILLKLSTRAAAQTSVLSHRWRRIWALLPVLCFTFPTEPHRLRDALDAHEVLVRALLVRADGATPESLAVWLPAAARRVSGDLTIFSHGAVQDEEEEQAAQRGAFELPCFEKATSISLYLAFQGLAVPPTGVFTRLTGLRLSGVWFHGPGELGDAVSSPRCPCLQRLTVEDARGLCDLAIHSDSLLLMELRDLHSLSQLTVMAPVLKELTVVLCFCKSPPVANISAPQLANLNWSDEYDPISVHLGKMEHLWMLRTDYYHVYATGTFLHNHSCLSLLQRFEGIKTLFLVLVYPRDIGNYQYMMEDMAVLPDITFLRLMVMANGHAFVASAFHVLRLSTGIRRLMLQLVATVSEAQTVCSSDCICLQRVEWETEELLLNHLKEVEITGWRGTEHEVAFVKNFSIGGQSLKR >Sspon.05G0009420-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:18588698:18589802:1 gene:Sspon.05G0009420-3C transcript:Sspon.05G0009420-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQKIVIKVSMSSEKSRSKAMALVARADGVSSMGITGGGKDRLEVVGVDVDTVCLVTCLRKKLGHADILQVEEVKDKKPEEKPKPKVVELPPYYCPCYYGYYCHYHRH >Sspon.04G0011490-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:35154554:35157906:-1 gene:Sspon.04G0011490-2C transcript:Sspon.04G0011490-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLKAARVADVPTLDVVAPGLVVEAGSASASAAVAMVKTGAAAAGGGGRFSVIGHRGKGMNALASADPRMQEVRENTVRSFNDAARFPVDYVEFDVQVTKDGCPIIFHDNFIFTQEDGKISQKRVTDIILEDFLQYGPQNEQGKAGKPLLRRLKDGRMVNWNVQSEDALCTLEEAFEKVNPRLGFNVELKFDDSLEYQEEELTRILQAILKVISQHAKDRPILFSSFQPDAAQLMRKLQGTYPVYFLTNGGTELYTDVRRNSLEEAVKLCLASGMQGIVSEARGIFRHPAAVPKIKEANLSLLTYGTLNNVPEAVYMQHLMGVNGVIVDLVPEITDAVSELIALPEPDLEVDNLSNQAARGATTPNFSQREISFLLRLIPELDWGFDNAVPTTGGVVT >Sspon.08G0007330-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:21152380:21156120:1 gene:Sspon.08G0007330-3D transcript:Sspon.08G0007330-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVSDPPKQYGITKPLSLLGPVEADLQRTADLEKFLVEAGLYESPDESARREEVLGKLDQIVKDWVKQLTSQRGYTDQMVEEANAVLFTFGSYRLGVCNVKNKPPNEMNQKHRIIKLVHGPGADIDTLCVGPSYVNREEDFFIVLHGILAQTEDVTELQPVPDAHVPVMKFKFHGISIDLLYASVSLLVVPADLDISQGSVLYDVDEATVRSLNGCRVADQIIRLVPNIEESDMHVSFSQLSVTSTISERSNSIRLTEFSHDIKMFEVLGKKKSVYSNVTGFLGGVNWLYWLHVSASSIPMLCQWPNPVMLCSIEEDEVGFPVWDPRKNPRDRCHHMPIITPAYPCMNSSYNVSTSTLRL >Sspon.05G0036760-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:1716859:1718954:-1 gene:Sspon.05G0036760-1D transcript:Sspon.05G0036760-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGPENSQLRKKPRSGSLGDLCWICAGSEAAVYSGLRRCAVGLRRFPLPRPWTLLPASEQTLYGFVVVDYSFHGALLYRKIASAAVSVAARAAGMVDRLSKEREEFAVHSDCLMKEVSLLGQTVSGARKTDHPSARKRLIMEDNIAEESADEHSNEASPDATQVKDTVVCSSGESVKQDAAPAADEKRLRGSRSLAEKSG >Sspon.02G0007150-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2A:21301214:21301981:-1 gene:Sspon.02G0007150-1A transcript:Sspon.02G0007150-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VWRHIQVVLHRDQPAREDRQRDQELLEQAHQQEAAQNGHRPRHAPTPQRPQRLNLLATPTVELMALFGLAAANGGASSIQQQLTAPATPTVDPMALVGLAAANGGASSSQQLAAVHQISTTTSMQYDGAMPMLSIPASTSVLDVTQGIPMSSIFFPVLILNGSFSGAGNAPAGDGLSSTELGHNGASGNSMAAAPPPMAAPPLVVAAQEHNAGASGSGGTWTWIPSPCDEPPASNPFEGLDNLAMDAGDTWWKDFL >Sspon.07G0005250-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:8848015:8851199:1 gene:Sspon.07G0005250-3C transcript:Sspon.07G0005250-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLRVDAPCRFGIGSLLSSPAASLVSVGTVPRAQNNEHASNIGGIGGPNVSNPANPVGSGKQRLRWTSDLHNRFVDAIAQLGGPDRATPKGVLTVMGVPGITIYHVKSHLQKYRLAKYIPESPAEGSKDEKKDSSDSLSNTDSAPGLQINEALKMQMEVQKRLHEQLEVQRQLQLRIEAQGRYLQMIIEEQQKLGASIKASEDQKLSDSPPSLDDYPESMQPSPKKPRIDALSLDSERDRTQPEFESHLIGPWDQEICGKNICGVAFPVEEFKADPGMSKS >Sspon.07G0011430-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:40103790:40104998:1 gene:Sspon.07G0011430-1A transcript:Sspon.07G0011430-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LLFLQGYLDEQFNQLEELQDDSSPNFVEEVVALFFKDSSRLLTNIEQALEKYPQDFYRLDSLVHQFKGSGSSIGALRMKNECSMFRAHCNDRNLEGYRTLDDSSSIPASHSLLEQLHLIPWLTQMPPVTPEDEEGACHSEAEAGDIFSFAEASRSSRTRGEFMEVTRIASMGGSSKGKKRVGSLCSFGRILYTQVLLSYWCAFLLLMGDVSRCLSVCGLCTVWCLAYTLLAPWLSMERRSAFSLL >Sspon.03G0025810-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:1194093:1196508:-1 gene:Sspon.03G0025810-3D transcript:Sspon.03G0025810-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEANGKKEEEEFSTGPLSVLMMSVKNNTQVLINCRNNKKLLGRVRAFDRHCNMVLENVREMWTEVPKTGKGKKKALPVNKDRFISKMFLRGDSVIIVLRNPK >Sspon.07G0003300-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:7695930:7696112:1 gene:Sspon.07G0003300-3D transcript:Sspon.07G0003300-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SAARSDATSHATYPNSSPGTGADSLASISAGSPPEPMSTSSVLPSLRTTTPATKSPGLGS >Sspon.01G0034210-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:2615765:2616370:1 gene:Sspon.01G0034210-2D transcript:Sspon.01G0034210-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMPRAPGAGSLRFLGLLKQPESAGPDAAAPFELDERDVVWPAGGGHHQQDGPALPVPEASAAASRAARRRAHGVPHSFGLSSLLADSGGGGGEGVAVAVPMPARAVAPSAAPRQSAPVRVPAPWPGKAAGGRRAGEDGRGGRRADEDEEDEEEMVPPHVVAARGHARSSSVLEGAGRTLKGRDLRRVRNAVLRQTGFLDL >Sspon.01G0008840-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:3000743:3002161:-1 gene:Sspon.01G0008840-1P transcript:Sspon.01G0008840-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEANQNQGGEEETRLELPPGFRFHPTDEEVVSHYLTHKALNSSFSCVVIADVDLNKIEPWDLPSKAKMGEKEWYFFCHKDRKYPTGLRTNRATASGYWKATGKDKEIFRGHRVLVGMKKTLVFYTGRAPRGGKTPWVMHEYRLEGSLPSNLRRGAKDEWAVCKVFNKDLAAKAGQMAPLHAVGGGMERSDSLAFLDDLVLDNADLPPLIDSPYADAGLIVDYNKSAAGGASSSSFAAAGTNDSGGYQVVKPEPQPQLPAASNNPVGGGSYSYSYSYSYQQQAGEPQQAIRRHCKAEAPATLLMSPSRGGEMAADMFHVDDLLQLDSFMDYSNMWKF >Sspon.04G0007310-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:20481022:20483194:1 gene:Sspon.04G0007310-3C transcript:Sspon.04G0007310-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFVAHHHGSLLEREGKMSALALRSSLWPSEAAAEEVAAGPAACGGADRGVAVFADEFSVEDLLDLEDLCEVDKDCAAELGDAAPAPGPVEEEDKLSSDSHGSSSVVSYELMTLPVPHQMIDLPLPVRAKPLLTSSFAGMPVKAAPMTPRSWSGCPVSWTTPSPSCRRRRSCRAAPLGAAARRPLEGATAGPAMRRSPTPTICALSTEALVPVKAKRSKRSRASVWSLSGGGPPLSESTTSSSSTATTSSCTSSSASFSPLLLLPAADSSSLFLSSSHLLDETTPRPPKKKSKHGKSGGKPKKRGRKPKKHHPRPPQFVGGGGSGSAPTAAQQGDRRCSHCGVQKTPQWRAGPEGAKTLCNACGVRYKSGRLLPEYRPACSPTFVSSIHSNSHRKVLEMRRKKEDVHGPLPLPPVPAALLGLGPAVASF >Sspon.03G0035930-3D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3D:50630751:50633502:1 gene:Sspon.03G0035930-3D transcript:Sspon.03G0035930-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMRVMSLLPAATLIMVAVASWGAHGSASDDARSLLAFKAELAGSSSSVLASWNGTTGVCRWEGVVCSGGGQVVSLSLPDRGLAGALSPAIGNLTFLRTLNLSSNWLRGEIPASIGRLARLQALDLSYNAFSGTLPANLSSCVSLLWLRLSSNQLHGRIPVELGAQLMNLQRLSLANNSLTGAIPGSLGNLSSLDYLDLTDNQLEGPVPHELGSIGGLQTLFLFGNSLSGVLPQSLYNLSSLKNFGVEFNMLSGTIPADIGDRFPGIETLSFSHNRFSGAIPPSVSNLSALVKLGLAGNGFIGHVPPSLGKLQGLTVLDLGDNRLQANDSQGWEFITSLTNCSQLQNLILGNNSFSGEVPGSIANLSTTLETLYLGDNRISGAIPSDIGNLVGLKLLEMANNSISGAIPESIGRLENLVELGLYNTSLSGLIPPSLGNLTQLNRLYAYYGNLEGPIPRSLGNLKNVFVFDLSTNRLNGSIPKEVLKLPQLSWYLDLSYNALSGPLPVEVGSLANLNQLILSGNQLSSSIPDSIGNCISLEQLLLDHNSFEGTIPQSLKNLKGLALLNLTMNKLSGSIPDALASIGNLQQLYLAHNNLSGLIPAVLQNLTLLSKLDLSFNDLQGEVPKGGVFANATSLSIHGNDELCGGAPQLHLAPCSMAAVEKNKRQVSRSLMVTLTSLGALVFLGVVVTFIHLIRKRFRQRKASQLISTVIDEQYERVSYQALSNGTGGFSEANLLGQGSYGAVYRCNLHDQGITTAVKVFNIRQSGSTRSFVAECEALRRVRHRCLIKIITCCSSINYQGEEFKALVFEFMPNGSLNDWLHPVSKVHTLSNTLSLAQRLDIAADIMDALEYLHNQCQPPVIHCDLKPSNILLAEDMSARVGDFGISKILSDDTSKTLLNSISFTGLRGSIGYVA >Sspon.02G0020690-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2B:67302335:67304344:1 gene:Sspon.02G0020690-2B transcript:Sspon.02G0020690-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHQMKHRSFLCFIFSLVFTFSDFAASDDQFVYNGFAGVNLTLDGNALVTPDGLLELTNDTVNLGHAFYPTPLSFNQQLNGTVQSFSVSFVFAILSVHADISADGMAFFVAPTKNLSNTWAQYIGLLNSGNDGNTSNHMFAVELDTTQNDEFKDIDNNNVGININSLTSLQAHHTGYYEDNSGSFSNLTLISGKAMQVWADYDGETTQIEVKLAPVGAAKPVRPLLSAVYNLSLTLKDKSYIGFSATTGAISTRHCVLGWSFAMNGPAPAIDISKLPKLPRLGPKPRSKVLEITLPIATGLFVLAVGLVIVLLVYRRLRYKEVKEDWEVEFGPHRFSFKDLFHATGGFRKKNLLGVGGFGKVYKGVLAKSKVEVAVKRVSHESRQGMKEFIAEVVSIGRLRHRNIVPLLGYCRREGELLLVYDYMSNSSLSKYLYSEGGQPTLSWAQRFHIIKGVAFGLFYLHEKWEKVVIHRDIKPSNILLDSEMNGRIGDFGLSRLYDHGTDPQTTHMVGTMGYLAPELVRSGKASPSTDVFAFGILLLEITCAQRPVKQNAQGAQHTLMDLVLEHWHNGLLTETVDPRLRNDYNFIEACLVLNLGLLCSHPFISARPTMRQVMQYLEGDTPIPELTSTHFSFTMQALTQDKGFESPNMLHPPLTTSIGTFSSLSGGR >Sspon.05G0002950-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:5377306:5383461:-1 gene:Sspon.05G0002950-2B transcript:Sspon.05G0002950-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polyamine oxidase, Seed germinatio [Source: Projected from Oryza sativa (Os04g0623300)] MANNSSYGENARRKPHTPSAIVIGGGFAGLAAADALRNASFQVILLESRDRIGGRVHTDYSFGFPVDLGASWLHGVCEENPLAPIIGRLGLPLYRTSGDDSVLFDHDLESYALYDTNGRQVPQELVEKIGKVFETILEETGKLREGTNEDMSIAKAIAIVMDRNPQLRQEGIAHEVLQWYLCRMEGWFATDADSISLQGWDQEVLLPGGHGLMVRGYRPVINTLAKGLDIRLNHKVVEIVRHRNRVEWQNIFADAAVVAVPLGVLKAKTIKFEPRLPDWKEEAIRELTVGVENKIVLHFGQVFWPNVEFLGVVSSSTYGCSYFLNLHKATGHPVLVYMPAGRLARDIEKMSDEAAAQFAFSQLKKILPNAAEPINYLVSHWGSDENSLGSYTFDGVSKPRDLYEKLRIPVDNLFFAGEATSLKYTGTVHGAFSTGVMAAEECKMRVLERFRELDMLEMCHPAMGEDSPVSVPLLISRL >Sspon.06G0004750-3C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6C:13351821:13352801:1 gene:Sspon.06G0004750-3C transcript:Sspon.06G0004750-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DIC3 [Source:Projected from Arabidopsis thaliana (AT5G09470) UniProtKB/TrEMBL;Acc:A0A178UKG1] MGVKGFVEGGIASIVAGCSTHPLDLIKVRMQLQGEAAAAPQPALRPALAFHAGGHAVALPHHHDIPVPPPRKPGPLAVGAQILRSEGARGLFSGVSATMLRQTLYSTTRMGLYDILKTKWTPPDNNGVLPLHRKIAAGLVAGGVGAAVGNPADVAMVRMQADGRLPLAERRNYAGVGDAIGRMARDEGVRSLWRGSSLTVNRAMIVTASQLATYDQAKEAILARRGPGADGLATHVAASFTAGIVAAAASNPVDVVKTRMMNMKVAPGAPPPYAGAVDCALKTVRSEGPMALYKGFIPTVMRQGPFTVVLFVTLEQVRKVFKGVEF >Sspon.01G0051150-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:11935937:11937040:-1 gene:Sspon.01G0051150-1C transcript:Sspon.01G0051150-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRRIAPPLAVLFVLLLSTAARPSLVQPSNTTITQHSRTAVGGFTPTTVIVLVALISAFVILTLFSIYINRCEPTRAPPPRRPPSRYAPTDHHHAAAAGGSVHPERHAHGAGLDREEAVREETDDEERDEARLVAALTPESVISFGAARPHEFDYRRTQSAMDVPDRHTLRLPEHVMKELAAVRRHRRAASLSGYPDGGAERTPGWLASFWRSVSWQRPSRTDPDAVVDENGVSNSKRVVPITRTPAERPSGSGAATDDKEKPDHDALNQV >Sspon.07G0036760-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7D:42055524:42062674:-1 gene:Sspon.07G0036760-1D transcript:Sspon.07G0036760-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPARPPSVSKDTVEASATAMADEMERVRDLPGDDDDDMGNEELEEIFGRGAVPGGDGAEDPIDLDGDEEDAKEDYLSVVTHYINPDWQLEKRVLGLVLIDCSHNRQNIVDRVAFVLGEYGVLEKVFDVTLDNASSNVSAMRMLRPILSKYLGLQVPVEDPRHLETAVTTMFLHQRCACHIINLIVKEALDYLKPLIEVFRTAISFLNSSNQRIAAYKNYCITSSYRPRKFQLDMDVRWNSTYLMLKTLFPHKDPFTTFIHANYPRGEGGELLLTEEHWVVAEKVFKFLELFYDATVALSGVYYPTSPLMLHYLVKISIHLNKYANDIHLRNVVQPMVDKYNKYWRDIPLLYSFAFILDPRAKMKGFSKVLRKLMNLTNTDYSAYQVGTRARLTDVYNKYEEKYRTASNASELVSYLDYDTVSQLDDLFDILRWWHEHKLTYPVLSVMAKDVLTVPVSTISSESTFSMTGRIIEERRRRLKPEIVEWLTCIKD >Sspon.08G0005490-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:13553171:13555317:1 gene:Sspon.08G0005490-1T transcript:Sspon.08G0005490-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RLRHGPVLLGPPAIGGARPPPSAVADAEAPASHPFLDLLDAGFNAPDDPDAKAEAGAAPRKARTENNSATYASSGNPCLDLFFQVVPDTPPDRVRALLAAAWDRDALTALKLVANLRGVRGTGKSDKDGFYAAALWMHERHPRTLACNIPALAEFGYLKDFPELLYRLIHGPDAKADADKARRAMKVRVAKLAERRSRARENYAAVVAATTIPSKPTLADYFTATLARTKSKSKRSRKAAAVAPVDTEEPDQAMEVEQEPEPEAMEVEQKREAPQEAAAPPQPQEEVAAKKKAKKKVPKAARLAVNALETYYSDRAYRFLFDCVAEFFADLLASDLKQLAPGGKKKKIGLAAKWCPTPGSSFDRTTLLCEAIARRLFPRDSSTDYTDLSEEHYAYSVLHRLRREVLVPLRKVLELPEVYISAQRWSELPYTRVASVAMRRYKSLFKKHDEVRFGKYLEDVEAGKAKIAAGALLPHEIAAAAYRGEADNVSELQWRRMVDDLRKKGSLSNCIAVCDVSGSMNGTPMEVCIALGLLISELSEKPWAGRVITFSEHPEIHMIKGKTLKDKLSFVRTMHWGFNTNFQSVFDRILRTAVDARLAQEKMIRTVFVFSDMEFDQASANRWETDYEAINRKFRDAGYGDVVPQIVFWNLRDSRSTPVTSTQPGVAMVSGFSKNLVKLFLENDGVVNPEAVMAQAIAGKEYQKLAVFD >Sspon.02G0025750-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:89305753:89306311:1 gene:Sspon.02G0025750-1A transcript:Sspon.02G0025750-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCLVPPAKRVWHGIRARLRLGRSTDDGEAADMASMDHGRAGLGRLRKEVRTCEYSDVHIMWEMLSNPSTSGSGSGVRDDKRRPSTAGKGSAATRPARNAAAAAWSRLASYCCAL >Sspon.03G0023130-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:71412590:71415658:-1 gene:Sspon.03G0023130-1A transcript:Sspon.03G0023130-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNLLGVPNTLNVLTAYPLLLAGVPGLVLCICGSGCFGVSLRWEALGWFLFYAGNVAAAFGSAYYHLKPDDDRLIWDRLPDNLADLMVR >Sspon.04G0015700-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:67453767:67456649:-1 gene:Sspon.04G0015700-4D transcript:Sspon.04G0015700-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLKELLQRSENRICADCSARDPKWASANIGVFVCVKCSGVHRSLGTHISKWTDSEVDSMIEVGGNSQANAIYEAFLPEGYQKPHPDCTQEEREKFIRSKYELQEFLKPSLRIISHHASDSGKHASNASHSDGSKSQVGMIEFIGILNVKVIGGTNLAIRDMSSSDPYVVLTLGQQKAQTSVINGNLNPVWNEELKLSVPQQYGPLKLQVFDHDMVSKDDLMGEAEIDLQTMINAAAAFSNPELLGDIQIGRWLKSEDNALVRDSAVVVSGGKVKQGVALKLQHTESGELELEMEWMPLNV >Sspon.06G0022050-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:22674019:22678387:-1 gene:Sspon.06G0022050-1B transcript:Sspon.06G0022050-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAPAPTRGPASFLTQANALLRKNLSFQVPLPPLFPLALCVSRPLKRNLKTNIGITLFPVLLCVILVVLQGVIDRELDKPKYRCGCACVDPGPAAVGDACRRTECGVQHSTLDQVGSCPIPNPTPWPALVQVPRPESRAVRTAGQPFDGLPDPSCRDTGSCPAAVLVTGNNRSLAQSIFSTPSIPCQCHGSKSFHAIQGSDTWPWTTELIESAFIPGNNLYLLQSRCLSNLTQTVSTNAAAIPLQLNIDCVQGLPLWRESASVVNDELFKGYRQNGGGSGGGKTNEFVAGYDFLNTNTNGLEMNIWYNSTYNNNTAYVPISLLRVPRLVNAASNEYIKFLRGSGVEMLLQYVKEMPKVGTKLTFDLSSLLGALFFTWIIELLFPVSARYELFLRKEVILTYLVYEKQQKLKIMMKMHGLKDGPYWLISYVYFFALSAIYMILFVIFGSLIGLDFFRKNDYSLQFVFYFIYINLQISLAFFVASFFSAVKIATVVGYIYVFGSGLLGEFLLRFFVEDTGFPSKVLCMTISLFMYKEGWIVVMEIIPGFSLFRGLYEFGQYASAGNSMGTTGMKWSNLDDSLNGMRGVLIIMVVEWAILLPLAFYVDQVSSLGGGFRKNPFFFLSCFKKRALSLRRYSFGRQGSKVVVEMDNPDAVQEREVVEQLLLEPIANQAILSDNLKKVYHGKDGNPDKLAVRGLSLAIPKGQCFGMLGPNGAGKTSFISMMIGLIPPTSGTAYVHGLDIKTDMDAIYSNMGVCPQHDLLWETLTGREHLLFYGRLKNLKGTELLKAVDDSLKSVNLFHGGVGDKQVGKYSGGMKRRLSVAISLIGDPKVVFMDEPSTGLDPASRNNLWSVVKEAKRNRAIILTSTELSLYSVYCFCRHNNAN >Sspon.02G0018080-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:56458608:56459113:1 gene:Sspon.02G0018080-1A transcript:Sspon.02G0018080-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAALRPAILRRIRLSPTPSLALAAAGAGASHPHALARWLAPRPMSSHDAHLTREEVVDRVLDVLKSHPKVDPSKVTPEAHFEKDLGLDSLDTVEVVMAIEEEFKLEIPDMEADKIDSLPLAIEYVANHPMAG >Sspon.01G0034390-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:1170983:1171553:1 gene:Sspon.01G0034390-2D transcript:Sspon.01G0034390-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAAAAEVAAFVDPNAAYAQIRRQSNKSARFKQEAELDGAAAALLQYSSSHLVELPQLESPSAPLAPANQSQASGADEVVDGADSGRRPGKKARADKVATDWRALDKFVASQLSPAAECGGSLEAAAAAASTVAASNVACSQLDHGEDDDMAALLFLNSDGREEAERWTGLLGPAGRRGRRLWTVCVR >Sspon.01G0033840-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:8613878:8617819:1 gene:Sspon.01G0033840-3C transcript:Sspon.01G0033840-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTNWEADKMLDVYIYDYLVKRNLQATAKAFIAEGKVATDPVAIDAPGGFLFEWWSIFWDIFHSSTAKASSTSNASAAAAAAAAAAFIDHTAKQQQPAGAGTVAPPLTPFTMYINNNKSREHQMRLQLLHQHNPHLHTTRDAPPPASINALNSDVSAVLASKMMQDRIRNPNPIDSDASQHLLDANRIALLKSPPPSHTGPMPMQQQLHHPRNQQLDIKPDVAMPQRTMPADPSSLYAAGMMHPKPALLAAGLNQGGVGSVPLKGWPLTVPGIDQFRSNLGVQKQLVPSSNQFQLLSPQQQLVAQAQTHNDLTRMGSPARSASPNVRSDDPDYLTKMVQMQQSSGHRPMELQQPHQQVTKNLKNTRKRKPTSSGAANSTGTGNTAGPSPPSTPSTHTPGGGVPVASNVNILQKSSMICGVDATGGLASSSNQMDALDSFVDFDENVDSFLSNDDGDGRDMFAAFEKGSSEHNTESLKGLSLSEIGNNRTSNNKVVCCHFSSDGKLLASAGHEKKVFLWNMDNFKMDTKIEDHTNFITDIRFRTNSTQLATSSSDGTVRLWNAAD >Sspon.04G0030880-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:4401364:4402767:-1 gene:Sspon.04G0030880-2D transcript:Sspon.04G0030880-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GAAGPAHCAAAGARRGVPGVAGRVAPPPRVLLPAGLRVPQALRRPQGHHRDGRRRHRAQQAPGPLRVPLPPQGHRQLRHRRAHLLPAQRAAGPGRVPDPRRRAGGDGRLLRRRRGGGARQDGRPRARRRPGRPQRGLLLPGSLPGVQAGPPLRHEGGRDGVQPLRDGLQRGAHRRRPRPERDAHAAAHHHGARRHLRVVRAQLVRRHRQVHDARQLPLPLRRRRGAAHQRPGVPVPRQDGAALPRARKHRRARRRARRRRAPRGRRRPPRRQPQQGAAQGRRARLHREPAAPGPAHPPRPRARALRVPPPPPQAPAGTPQQQQGGGRRQQPQDRLQDRRGPLLPPPRRDGGDRGGEEEPGPHRPRRGAGADDAAPVGEHVGEQPLVRALLHGGEAAAQARGQGAHGHLRLRLQVQQLLLGGDQGPRRRRRLGGLHRRVPAGDTGQPLHGQVRLGQRRPGPGERLHILI >Sspon.01G0018220-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:72772086:72774528:1 gene:Sspon.01G0018220-2B transcript:Sspon.01G0018220-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVQQVIDVPAAADDGARRRPARVPVPPPRSLLSTVGAKLKETLFPDDPFRAVAREPAGRRRALAVLRYLLPCLEWLPSYSFGKLRSDVVSGVTIASLAVPQGISYARLAGLDPVIGLYSSFVPALVYAALGSSRELAVGSTAVISLLFASMLGAAASPAQDPALYASLAFTATFFAGAFQAALGVLRLGFLIDFLSHAAIVGFMGGAATVVALQQLRGFLGLPHFTHATDLPAVMRSVFSQSGHWLWQPFLLGACLFIFLQIMRYISKRRPKLFWISAAAPLASIIVSTLLVYLINGEKYSIQTIGSVKKGINPLSVKSLLLSSPHTWLAARTGIITGIISLAEGSAVARSFAMAKNYHVDGNKEMIAFGAMNMAGSCTSCYLTASPFSRSAVNRDAGCRTAASNAVMALAVSATLLLLTPLFRYTPQAALSAIITSAMLGVIDVRAAARLARVDRVDFCVCLATFLGVVFRSIDVGLVVAVSVLVLRVLLSVARPRTTALGKVPGSTAYRRMDQYAMAQATPGVLVLRVDSPICFANASYLRERISRWIDDHEDQIRARGGESLRCVVLDMGAVTSIDSSGTGMLEDLKRGLDKRCLQIALANPGSEIMRKLDKSKVLQIIGDEWIFLTVADASDYAHRNCKIATTLQTVLSPDEMV >Sspon.08G0021940-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:45979910:45990763:-1 gene:Sspon.08G0021940-2D transcript:Sspon.08G0021940-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFRGWNIIYSRCIDSSLLVKTYPDIGELAFGRKGKIIVAIFLYLELYLVAIDFLILEGDNLEKLFPNANFHAAGLKVGSKQGFVLIFSLLVLPTTWLRSLNMLAYVALGGVMASVILIASVLWVGTFDGVGFHKKGVPVDWSGMPTAMSLYAFCFSGHAVFPMIYTGMRNRKAFPTVLLICFIICTLSYGLTGVIGYLMFGKSLSSQVTLNLPSNHFASNIAIYMTLINPFTKFALLITPIAEAIEDKLHVGKNRTISIFIRTALVVSTTIVALAVPFFAYVVALTGSFLSSTVTMLLPCACYLKISSRTSRTLRLELTRTLDYAYAPFDLSNKDPTATEPISALPLQHQIPSMNGIVRDW >Sspon.05G0016130-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:63947878:63949355:1 gene:Sspon.05G0016130-2B transcript:Sspon.05G0016130-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQASNVVRPSDAELLQAQADLLRVSLSYLTPMSLRCAMELGIPTAIHRHGGAASPADLITALSLPSAKLPFLRRLLRLLAMAGVFTVDKTTAEERYCINPVSYLLVDGIPDEVHINHTSLVLTCTSTRYLEAAIGLADWFKKDVVTPPFEELHGAALFHESMESLDPDYHNMANEALDAHDNFGIEIALREFRDLLIVKAFPHIKCTVLAPPKMIANRPDDGVMINYVEGDMFNFIPSAQTVVLKLVLHHWTDEDCVKILAQCRKSIPSREDGGKVIIGDIVIDYSGPMLETHLLMDIGMMTMTKGRQRDENEFRELFMKAGFSDYKILKEFGARAAFE >Sspon.06G0005140-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6A:17228273:17229347:-1 gene:Sspon.06G0005140-1A transcript:Sspon.06G0005140-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVNGSECAVTRQIELFVVELNVELNATECAEVTGLEFGGDTDLGSGGGRRMERGRDGRHESEQGMRDTGEVRPVSGGRRKRL >Sspon.02G0019540-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2A:63529118:63532012:-1 gene:Sspon.02G0019540-1A transcript:Sspon.02G0019540-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MWPIVPCRVPHFRLPGSFPLPLHGPRPPLRQVNDWPAEMAEAIAISLSTKLAVALSRGAAVGLAPLLGIGSEISAAARDLDLLRAFLRFADSCHGTDALAAAWVKQVRDAAFELEDVADECCYLSGHGRSRDWVNVRAWFALSKRLRKAREKLSRLSAAKEQFGIRPADDGPAPPAVSMTRQILAASSHFVEKEEVVGFSAHETQLLGWLVEDSESEPRRTLVAVLGMGGVGKTTLATRVFKQVATSQFDCAAWVAVSQGFTMDDLLNKILKELHRDAGARGARGPGGSNGDTDYRSLVAAVRGHLGNKRYLVVLDDVWDSHLWDKLRHAFLDDETGSRVVITTRSRDVAKAAATERITVLEPLPRHEAWTLFCDVAFREVSGRTCPKHLTELATNMLERCRGLPLAIVSVGNLLALKDRTEFAWRNVRDSLVWDRSSFDLGIGEAASILSLSIDDLPHHLKKCFLSCSVYPEDLWIKRKILIRKWVAQDFVQDKPGQCTAEDVADHYLDQLVQRSLMQPVARNEFGRAKRCLIHDLIRELIIHRSKEEEGFFQFAKCKKITMDDHDVRIRHLALDRCEDVDSRQCRHVQQVPMLRSFNAFGSELDASFLSRFRLLTVLHLWFVKMNKLPSSVTNLHNLRYLGVRDTLIEEIPKDLGKLQNLQILDAKFSMVERLPSSIAKLKNLRHLIVLKRETSDFLRSYPGTAVGVPDGLENLASLQTLKYVEADKKMVRSLAKLEQMRSLEISVIDASFAADLSSSISRMSFLLRLGVAIKPGVDAVLDLESISRPPLKLQKLALTGRLARGKLPPWICSLTSLVQLRLCSCQIAQDSLVLLAALPRLVNFSLIGAYHDKDMIFTEGSFPTLRKLTLEGLPNLSHIAFQQGCLMDLRDLALGHCTELTETPLGMENLKHIQNMELFGMPSEFVGKLKEQNSDAEYHNPASSDFYQAPRYLRLIRFVERNR >Sspon.03G0038780-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3C:7239340:7243381:-1 gene:Sspon.03G0038780-1C transcript:Sspon.03G0038780-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LRSTFNKSIRDKITQKDHTRDHTPPLRQEPSNSCSIHDTSYLQQVRINPEYEKDAPRTWKSWLSLAKLWYNSSYHTSLSSPSMALYGVEPNVGAAPIIQPDTSKSVADLIENQEIHLQSLKKNLEMAQNRMKSTTASSRKVHQQQRRLPPLHQRQYATIGRYTRKSLRLLRDYIFIVTTIIGGFLVLAARDSPHAVCVRNPFTGSLILLVAPMPIHCSGQMTAAEAPATGDMFAVLERRRHGIDVFKIDASKNVLEQIKSIGNLALFLGDRCLVVDAGRRWCWTKDEVEPKCILIIHG >Sspon.04G0003760-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:45131693:45134398:1 gene:Sspon.04G0003760-3C transcript:Sspon.04G0003760-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSRFGSFKSEKGDSASAAAGAAGGAAQRRDPYEVLGVGRTATDQEIKSAFRRMALKYHPDKNGDDPVASDKFQEVTFSYNILSDPDKRRQYDTSGFDAIESDSQELELDLSSLNTVNTVFAALFSKLGVPIKTTVSATVLEEALNGSVMVSQLQLGNSVQRKVEKQSAHFYSVDITEKQAKMGLICRVHSNDKSKFKLLYFELEENGGLSLALQ >Sspon.01G0056070-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1C:86371029:86371281:-1 gene:Sspon.01G0056070-1C transcript:Sspon.01G0056070-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding HDAYNKILPSQRWPKAKREEAQQLLLGTRPRNEGTVADVGQVTSVTAPARYPTRHSSSPNQGIGPPLRTGAGRNEANPSQSAL >Sspon.02G0012970-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:32525411:32530958:-1 gene:Sspon.02G0012970-2B transcript:Sspon.02G0012970-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRTALLRLAAAASTRASSASAARRRLYPSSDGGSEEHAAATREDDELLQLPHRPEYTDERRLPRRREHRPGHHDAHPRAHMASGVLHRSASLCLRPAAEPAAADSGRGHGAVMVSCSPLAFPHFLGFPIRWDSSLLISGFSGGVPQIGDSRTQRGAALRLGGSNRRELLSVTMASRDHTGLTRQLLDFQHDTMDEVGAEHDPFVDLKARFMDFKHRNYVENFSNYQSLAQQQTPKFMVVACADSRVCPTAVLGFQPGEAFTVRNVANLVPPYEHGGSETSAALEFAVNTLQVENVLVVGHSRCGGIQALMSMKDDSTSGGFIKNWVSIGKSARLSTKAAAGNLSFDMQCKHCEKESINSSLLNLLTYPWIEKRVNEGTLNLHGGYYNFVDCTFEKWTLLYREGLEGGSKYAIKNRSTWS >Sspon.01G0025980-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:100930342:100933877:1 gene:Sspon.01G0025980-2B transcript:Sspon.01G0025980-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATATEKTAEDIRRELQELQRQHREITERLRDPRGLRRGAPGPGPGPGGPRPLRGFVRPPVGAESGDQPPQKRRLLSAVVKVDGAENNEEGTKDADAEGHDAPSGVTEGSDRRGFNNGGFRRDGNLRMQRRVDYNSLPEPAPRELPRNEDPNLVRRNKRMLGQLLVGTLEKFQQEDKKLSNSEAFLRRSETQRKAEQKVREESERLRQQEREQIAEKRKRDMTLRARVAAKAEEKRLELLYIQWTEHHKRLSNFLRTKAEPPIYYMPTKPIIDDPAIVEQNKEMAFEEWKSMRRAELTQFQKQVEEQYLSNVERQLERIQNARNARKASGPANMQEMDKELDTHRAEHGPKTRRVPEEGGNDDDEDAEDMAAEDELMDEVLGINDGINEDPSKPSEEATTEGGEPALEEAQ >Sspon.01G0011520-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:50945644:50947035:-1 gene:Sspon.01G0011520-3D transcript:Sspon.01G0011520-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPTSPASPAPAARPTAAPLPTMADIMAASRAQGLRVCLRTTGPFFRVTATRGEGEEAVELGRAEGGVRPWPGGAVLHLDSMRMTRATLSVPDRPLFGLGMFLGAVAVRHGFDAGCRRAELLAINDTPLYHDKLVRFYTRMGFKAVHEFDGSSISDLGHMLVWGGRGTRMDANIEELLIKWRKRFRPQDEKVDANTDKFC >Sspon.02G0052260-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:89686643:89731786:-1 gene:Sspon.02G0052260-1C transcript:Sspon.02G0052260-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGGLPLVAPVVLLFLPVLLALASWRAAATSAGTAAGDRIGRLPGQPAVDFPMYSGYVVVDEGPGGRALFYWLQEVPPEAQPAPLVLWLNGGPGCSSVAYGASEELGAFRIRPDGATLFLNEDRWNTAANILFLDSPAGVGFSYTNTSSELYTNGDNKTAHDSYTFLVKWFQRFPQYKYRDFYIAGESYGGHYVPQLSQLVYRNNAGVARPIINFKGFMVGNAVINDHTDYAGMFESWWNHGLISDATYGLLRASCGPNDSIIHPSPACNAATDVAAAEQGDIDMYSIYTPLCGQTSATKRSWKPSSLIGRRHYHHVMAGGSYDPCTESHSTVYYNRPEVQRALHANVTGINYPWETCSDLINTNWGDSPRSMLPIYKELIAAGLRIWVFSGDTDAVIPLTSTRYSIDALGLPTTTSWYPWYDKKQDWCPANGGSSTPDQSWSRVTTPDAASQTSRSLSTMTGGLPLAPAVLLLPVLLALAWRATAAGDRIGRLPGQPAVDFPMYSGYVAVDEGVGGRALFYWLQEVPPEAQPAPLVLWLDGGPGCSAVGYGASQELGAFRIRPDGATLFLNEDRWNTAANILFLDAPAGVGFSYTNTSSELYTNGDNKTAHDSYTFLVKWFERFPQYKYRDFYIAGESYGGHYVPQLSQLVYRNNAGVAKPIINFKGFMVGNPVINDHTDYAGAFESWWNHGLISDATYGLLRASCGGLNESIIHPSPACNAATEVAAAEQGNIDMYSIYTPLCGQTSSSATTKRSWKPSSLIGRRYYHHVMAGGSYDPCTVSYSTVYYNRPEVQRALHANLTGINYPWATCSDLISTNWGDSPRSMLPIYKELIAAGLRIWVFSGDTDAVIPLTSTRYSIDALGLPTTTSWYPWYDKKQSLVVAGVGHLWDLLEINGWSRSIHGKAPSEQAVLRTDRSGCAREANICFVSEHIFEVRDIREQRLRRFGPSHGHGSGSQGDIDFLGLSIVVYPHLHGVATTKSQVLQRTSFLVIIEGSGARANGREGVDVDAHMVVVDVLELHVPYGVELNGEDVVAARGGGAIVVRAVEEAQVLTPCRVREILLRRDDEGHTIATQDGGRRDDGEDEGDGEGLHRAIERVLELNQGRVERVAFDIGLVVGQLKDSSSGDHGRAIKDKVGAGTGEAREDKGIVVAGVTKPRKVEAKAEEDEGYKEGGHGKKKKKIHMVHLLQCSSSVHILFLFFFCILLLTSSMEVAFSDARRLPLRLLPDTGGTAANKQEAARIKWERETEGRRSLIGSRPPRCERVCMSCGHCEAVQVPIVPLPQPQQEKASAAAAVTLTAAMFTYRVDGITNYKPLSWKCRCGGTILDP >Sspon.05G0026370-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:5B:34461384:34464431:1 gene:Sspon.05G0026370-1B transcript:Sspon.05G0026370-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAHHPERQRRRLLGDDEPEPPVPEEAMGGSDEQQPPRRQCSRERPCKEADAVAEILGDAEHRHLPLVVPHGGLHHRRDGAEEISDAGHRLQPHCCHEHQPSIPGEGPHPLRKLLH >Sspon.01G0017660-1P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1B:69301398:69306778:-1 gene:Sspon.01G0017660-1P transcript:Sspon.01G0017660-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGQQNSGGSTSSRSDGSEYDIVPKSYTSTPRNFPSRRSFLSKPIHPVSFPEHALEAQETQSPVASASSNNPLCSEFKGTGELRFPGPMDYGSGSHGESGNWSAASSMDLTDLSERPEAGQAGPLRPNNVMQKTRCDLCERLLTKRSPWGSRRIVRTGDLPVAGVLPCSHVYHAECLERTTPKGQKHDPPCPVCDKLAGKDTEQWSICRLKNGFPRLRSLGEGPSRVWSCAHAGDCVAGAVQMPRSNSIALLTRSGHKRHASSKGEPSKDWAETSKNSFM >Sspon.01G0051650-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1C:17138316:17139696:1 gene:Sspon.01G0051650-1C transcript:Sspon.01G0051650-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQHGKHGIRLCSISYRDLGNRILAAASAFLYMVLTDYVLVDLSIEIDKLFCDPFPDTTWLLLWDFPSRVTSTSA >Sspon.04G0010950-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4A:33601295:33601735:-1 gene:Sspon.04G0010950-1A transcript:Sspon.04G0010950-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPRLGEGILPPLQPPPGEGILLPLPSPPGEGILPPLPLPGEGCSWRRGRLASREDDVAGRECGATNGGRRRVVDAVGRGGSPPPENPPDALPSIAGGGAAVAAALEERRWRSANHGGGRAATRLRLRGTTHRGRVGGGDRGLL >Sspon.04G0014800-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4A:55323924:55324302:-1 gene:Sspon.04G0014800-1A transcript:Sspon.04G0014800-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MIEEAAASKQAKRLLLPCATGLVELAASLVNHALANAQQQQQPQQWRGVSRQQLLVASGDGQAGKKTMEGRGRRLGGRFRSAGLETGMEHGTRQRRVLGWSMIHSRGLAEGIYSCWCWWSSPHGDP >Sspon.05G0020540-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:89436943:89448800:-1 gene:Sspon.05G0020540-2B transcript:Sspon.05G0020540-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTTTVGGSHVIDHHHHRDMLGTNPSYYARPGGGCCSNPAEVAQLIARRSPFAADGGDISSSSYASSMDNISKLLTGFMKQQQQSSPSPDAAAADIKPSAAQVNNNHALLSSSSFHHMSAGTGSTPPAATCFNDMMPSPPHAQQAALMGHGGYDDPRQPSPLSPIETWLFEEAAAEQVGDLMDLSEDCCSSVPMIALMLPWKIEQRIHRKEAELGKSLWVAKLDSVHRVIKLDPSELLGTPDNAEYAAQNCSAAEDSFEPLDSAFSDSSNAVTPYTTSAAETLDLYPCTLKKLR >Sspon.01G0038250-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:24427645:24429096:-1 gene:Sspon.01G0038250-2D transcript:Sspon.01G0038250-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHPLLAAVTAAASSSFVSPPRRVPGSPGRRRRPGGFAVRCAPNGGGVTAGDTKSKLKVGSPIVILEAPMMLKTAASVPSLRHNSGQVKAGDVGRIMARKPKDIWVVRLAVGSYLLDGKFFSPLDSDDGDDDEPQTQDG >Sspon.03G0033620-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:52676616:52678079:-1 gene:Sspon.03G0033620-1B transcript:Sspon.03G0033620-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLLNLKTWYQLFVDNFLAIVAVAFVAAALRRAWPVSIDDLAGSLRAVPPVRILTAVILTAGVAQLRRLHRPRDVYLVEYGCFRPKPCFRAPFATCLEHAYYLPYQVDPESVSFSIRLLERSGIGEETCLPNSYHYMPPDRSLEAAREETQDVIFSAVDEVFARTSVRPEEIDVLIVNCSIFTPTPVFVDMVVNRYKLRPDVQSLNLSGMGCGAGLVNIGLARHLLQVAPPGTHVLTVSTEILSSQYYIGSERAMLLPNCLFRMGAAATILSNSPERARFRLGRVVRRMTAARDADYRCIFQEEDDKGILGVRLSKDLTTTAGQALKRNIMAFGPLVLPVSEQLLVALSLLKRKLLSCWGAKARLYRPDFRTAFEHFCIHAGGRGVIDEVQRGLGLSDEDVEASRMTLHRFGNTSSSSVLYELAYIEAKGCMRKGDRVWMISFGSGFNCSSVAWECLKAAIDSDGPWADCIHRYPVQLPEVTLQDI >Sspon.03G0003710-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3A:9645610:9660427:-1 gene:Sspon.03G0003710-1A transcript:Sspon.03G0003710-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFPLRAKSDTFTTLLHFFTWVSTQFGLTIKAVQC >Sspon.05G0002940-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:5396108:5398135:1 gene:Sspon.05G0002940-2B transcript:Sspon.05G0002940-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASALLAGRGGAHHHSWGETRAPLAPIPPNPSPSQPHPRGDGSKSKSKPRAAAESSPAAGYVTFRPSSLGHREARALRDRLAGELGQVRALLSRIDTWQQQGPPPPPAKQQLRGEMRKRCGQILTRLRKDKRSVWFNAPVEVERLGLHDYHAVIKRPMDLGTVKEGLAAGRYASHDDFVADVRLTFTNALRYNPVGHEVHTFAGALLAYFERMYKEALANFEEECRRLEPPRPVAAELPPPLAAEPVEAKVKPRAGNVRMRKPKAREPNKREMSLEEKNMLRIGLESLPEEKMHNVLQIVRKRNNNPEMLGDEIELDIDEMDVETQWELDRFVTNFNKALKKSQRAAMMNGGVADVTSAAVVAEDDTAPASDVPALIDNDDAESEKPVMSTAMAEQVDEYVDIGDEMPTATYQSMEIEKDAEGATGSGGSGSGSSSSSGSESRSSGDSASGAGNAHSLA >Sspon.03G0018690-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:78110511:78112165:-1 gene:Sspon.03G0018690-3C transcript:Sspon.03G0018690-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVPGSASAAAPPPQAGAAPPAQPQQPSGAALTNQKLTTNDALVYLKAVKDKFQDKREKYEEFLEVMRDFKSERIDTNGVIVRVKTLFNGYPELILGFNAFLPKGYAIKLQEEKKPVDFVEAINFVNKIKNRFQHDEQVYKAFLDILNMYRKDNKSIQDVYQEVALLFTEHKDLLEEFQHFLPDTSVAPQAVASRGGLVKREDRGSLVPPANRTLHNDKRDRLSHADRDFSVDRPDVEHDRQRRRLDKEKERKVERDRRDYEREDKDGEHDSRDLEIGQQPHRGAQTWASYCPSPQEDSALVAAPLTRPSPSPETAVRPELRELRPPYVKQVFDNSTGASRSTR >Sspon.07G0014250-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:50867706:50869774:-1 gene:Sspon.07G0014250-3D transcript:Sspon.07G0014250-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLQSFLAAKPAAAGWASGARPAATSSRRARVSACLAAPPPPPTTAASVGPARRELSAASRAVMEDEARHLVGTYKRSRVVFEYGRGCKLYDLDGREYLDMSAGIAVTALGHADPDVCATITEQSGKIVHVSNVFYTTPQVGAPPVLPLPSARARSPCVRRIAPAGLFSPVASPADAARCRTQVELAKRLVKVSFADRAFFASTGTEANEAAIKFSRKFQRVAHPDSDDPPMEFLAFSSSFHGRTMGAVALTSKSQYREPFAPVMPGVTFVDYGDLEAAKKFIQSGRVAAVFVEPVQGEGGIHSATQEFLQGLREACDEAGALLVFDEVQCGFGRTGYLWAHEAYGVEPDIMTLAKPLANGIPIGVVLVKEKVAAAINYGDHGTTFGGGPLACQTAITVFDKIMKPGFLAEVSKKGENFKQLLRTKLSGNPHVKEVRGVGLLVGIELDVPAGPLVDACLDAGVIVLTAGKGNVVRLVPPLIISEKELEHAADVIRDCLPALDVAAA >Sspon.08G0009100-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:35181876:35187160:-1 gene:Sspon.08G0009100-2B transcript:Sspon.08G0009100-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRGTRMHSLLGVLLLLALAYLNWLPGRGPSSAGGPGGFKLPVPWLQPPMSFVGRAGTHFVDAVTGAPIYVNGWNSYWLLSARSPALSAEMLRRGRRMGLTVCRTWAFSDGGPGALQISPGRFSEPVFQMLDYVIYEARRNHVRLILCLVNNLDNFGGKAQYVQWAQAAGANVTNSTDSFFSHPTIKGYYMEYVKAILTRRNSYSGIKYCDEPAIFAWELMNEPRCVSNSSGPHIQAWIEEMAAYIKSLDTKHLITVGIEGFYGPGRGERLGVNPGDWAASLCSDFIQNSAVKDIDFASVHAYPDSWLPKASMEEKVKYLSVWVDSHLNDSEYVLRKPVLFSEVGYLQHAEANSTVDGDTLLKVVYDKLYNSAKKLQAGGGALIWQLMVEGMQMYHDNFSMVAWDRPSTYNLIKEQSCRLQSLYGKEGDPNTNWIAGTNSKGMLLEIKMKIRQMASGHTHLCLHERPLKQMPVARNGSLSPLYS >Sspon.03G0017910-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:42764437:42768137:-1 gene:Sspon.03G0017910-4D transcript:Sspon.03G0017910-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASWSSPSAAAAAASGARFGPFPSRAQRLAPYPSLARGAPASTLVLRPQPHPDGRGHGLLAHTGSSSSSRCRAVAAEVEGLNIADNVTQLIGKTPMVYLNNVVKGSVANVAAKLEIMEPCCSVKDRIGYSMINDAEEKGLITPGKSVLVEATSGNTGIGLAFIAASKGYKLILTMPSSMSMERRVLLRAFGAELVLTDAAKGMKGAVDKATEILNKTPNSYMLQQFDNPANPKVHYVTTGPEIWEDSKGKVDIFIGGIGTGGTISGAGRFLKEKNPGIKVIGIEPSESNILSGGKPGPHKIQGIGAGFVPRNLDSDILDEVIEISSDEAVETAKQLAVQEGLLVGISSGAAAAAAIKVAKRPENAGKLIVVVFPSFGERYLSTVLYQSIREECENMQPEP >Sspon.03G0024070-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:73349753:73353499:1 gene:Sspon.03G0024070-1A transcript:Sspon.03G0024070-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQCADTACGCGQAQVGWSRGGRPAGGPAEAGGYCSDYRVTCLIEEKQVRESDGRRTRESRANRLSPLCTGPVLVPVANGSEEIEAVNLIDVLRRAGANVIVASVEEKLQIVTRRHKFNLIADMMLDEATEMQFDLIVMPGGLQGAQKFASTKKLVDLIKKQAESNKPYGAICASPAHVLQPHGLLKGKKATAFPPMSHLLTDQSACEHRVVVDGNLITSRAPGTATEFALAIVEKLFGRDKADSDRENNNYEYTALGSRIVYEMLNTLY >Sspon.06G0005020-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:16855774:16858222:1 gene:Sspon.06G0005020-1A transcript:Sspon.06G0005020-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVDVEAAMDPPQPASACSSSSIGGDSDECSPPGKEDEGEGEVRSAYARGGEGGGGLAGLEALEEALPIRRSISKFYNGKSKSFACLKEAITFSGSAKDITKAENPYSRKRKNLLAYSIMHGNSHETSAAQVYETAPHKRLASLSRNSLVTLASSSSRSSSSVSIEEHELPEHLHSPRSPDVLYPHHDPALACLTHHLCL >Sspon.07G0001740-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:2859422:2860055:1 gene:Sspon.07G0001740-1P transcript:Sspon.07G0001740-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MERRRSRHALLLLSAVMASLVSGSTAGIYHIVGAGKGWRMPPNRTYYEDWARTRQISIGDKLMFLYRSGVHNIVEVPTRELFDACSMRNITSRYQSGPTIIELTEPGQRFYFCGVGEHCEVGQKLAINVLLVAPPPPDTDEDDDDADSSGASARLLAHAGTALAAACLCLASALLMA >Sspon.02G0038970-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2B:47234858:47235817:-1 gene:Sspon.02G0038970-1B transcript:Sspon.02G0038970-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGRKLEDEELVSYVLTGLDLDFDPVMSAVVARVEPISVSELFTQLVSHEQRLELRNGGNQSSANAVTKGNHNNNNSSRGGRGGGDQNGGGRVGRGDFGRGGGGRSTFQPGVFCQICGKEGHPVHRCFKRFDSNYSGPPQKQASSSTTGYGVDTNWYMDTGASDHITSELEKLTTCEKYHGGDQVHTASGSGMEIKHIGHGVLNSPTSRLHLKNILHVPSASKGLLSVHRIANDNNVFFEFHPKHYCVKDQETRTTLLTGPCKNGLYPVKSSNKRVLGVTKPSASLCHHRLGHPASSVVQRMLNHHKLPFVKESNKA >Sspon.05G0002250-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:6205607:6209667:-1 gene:Sspon.05G0002250-2D transcript:Sspon.05G0002250-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSEGHLFRLFIFIRDWIVVKYLVALLWDMGMNCLELKMSEANTTQLELEKGFSQVSNDNDLSSPATVHKMKAETEDGGSEIESPTPEKRESRSKGVVVSSLARNLLAERYKDRFANQLVEDEGETDDEDYNECVSPSGSRSLFSESIELLEKHKDLLNLFNRMESSIRLLHLRKKMATFNNIATQVEILTKSWMIIFLDYRKLLYSHLAQMKHLFPEAIQIKRILVHDEKSLCMYADMEITLLMDVVECTSPDQSPSMTICEAFYSKLLRFLDAHHKGADIPEAILPEPFNSRPREKLYLEAVHNGHAAEPPLQGAAEEGFSNASHFPQSFQKLMSQKIIADGTEKTQLLSDPAELSSVSAYGTEGSNRNPKKQDEHAPVPVNSEISATPSRHLISCCQESTPKQGTSESPLLSGTPAMQTPKRSLPSPLEKPKATCGQISEPRSASSARRSLNTSLKFEGVSPCYDGMEHTATVKKGIISEDSSSFNKSFEEDSPVFFTDKNKINEVDSVDSQERIASLHTTFDIVCDISRSTKNSLITKQELFHNILANNLEIEEIGEIEEQLHILEDLAPDLISKKVINGEEILYSIEPISDQNSVRARLVEPPSTASHSSDQVMSTIPRF >Sspon.01G0012060-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:23934629:23939282:1 gene:Sspon.01G0012060-2B transcript:Sspon.01G0012060-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAGGDGRWCVVTGGRGFAARHLVTMLLRSGEWRVRVADLAPAITLDREEEEGFLGAALREGQAVYASADLRDKAQVARAFEGAEVVFHMAAPDSSINNFHLHYAVNVEGTKNVIDACIRCKVKRLIYTSSPSVVFDGVHGIFNADESMPYPDKFNDSYSETKADAERLVMRANGREGLLTCCIRPSSIFGPGDKLMVPSLVAAARAGKSKYIIGDGNNYYDFTYVENVAYGHVCAEKTLSSADGAKIAAGKTYFITNMEPIKFWEFMSLILEGLGYERPSVKIPVSVMMPVAHVVEWTYQKFAKYGMKVPQLTPSRIRLLSCNRTFSCSRAKDQLGYEPLVSLKDGLKRTVESYPHLQAQNQRIISKASIFLGNGNRFKAYEKWEDSIDSMVGDACTILLNIGSAKKSSSQKQT >Sspon.02G0012290-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:36411202:36414993:1 gene:Sspon.02G0012290-2C transcript:Sspon.02G0012290-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRDKSRRLSGSGHFRQRLVLATLTSTAVTIVDIRSGDAAPGLRPHELKYRPGVIIGGKGLEHDCGVHRGIGYFLEPLILLGLFARAPISIRLKGITNDTKDPSVDTFRTTLHMLKHFGVPLEGFNLNIDSRGSPPLGGGEVFLRVHNINSTLTAANWVDEGMVKRIRGVSFSTRVSPELESRIIYAARGIFNRFIPDVHIHKDHRSGSAGGRSPGYGVSLVAETTTGCLLSVDVTVSYPSVDEINEESEKPELTSPEDLGVQAASMLLEEVAQGGVVDSTHQGLLFILCALCPPDVSKVRVGQLTPYGIETLRNIRDFLDVKFIIKPDPNSNTVTLKCVGAGVKNLARKIS >Sspon.02G0028670-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:23253268:23255261:1 gene:Sspon.02G0028670-2B transcript:Sspon.02G0028670-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAHPDGSDRVFLSSRDGKIWLASMPDQGSGVTLRADSPFLDITDRVHHDAVLGLVGVAFHPEFTTNGRFFVSYNCDSSTSPVCGDGRCWGSAAGNGSRLCRYQLVVSEFSAKGGTDYSKATHAYPSEVKRIFTLGLPHPHTSYSYQHHGGQILFRPSDSNGYLYLITGNGDLSKNGRSFFGKIIRFYVGGMPGESRRTNDRATPKMDKPEIFATGLNNPSGCSFDSNRPSYLYCADVNEKQYELVYLITRGRNYNGSSKVTVSSIINHGRPADGRMPSIVGGLMYRGPADPLLKGR >Sspon.01G0021050-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:77511682:77518884:1 gene:Sspon.01G0021050-1A transcript:Sspon.01G0021050-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGASEASPPCARCGKPAQLHTQDCFRAAWGSHKSVHPKPGALASQQSPEGWKYCVRKGRGRALELPRFDWTGPLRPYPISKMRVVPDEIEKPDWALDGIPKIEPDSDLQKRVEIKTPELIERMRETCRIAREVLDAAARVIKPGITTDEIDKVVHEETISRGGYPSPLNYHFFPKSCCTKLEDGDIVNVDVTVYYKGVHGDLNETYFVGNVDEASKQLVRCTYECLEKAIAIGNKAVGIMKAGQTFTIEPMINAGKFMYYIALSNPISYGVWNDRLWPDDWTAVTADGKRSAQFEHTLLDQEKAFKNLASITAKDQEKAF >Sspon.01G0001320-1P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1C:37512183:37518428:1 gene:Sspon.01G0001320-1P transcript:Sspon.01G0001320-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MIIEMSQLVLELEVGKLLAELRKRLPSGVVVGKALPLDQQAPLIATLLSLQDALGSRKMPAVEDRGQLAGCCRRVTAVGLEQPHVEDVVKPCALRKLQAVGDGTDAFQNTERTGNCALCLVDRSLQKTIDRYRTYTRENVNNKTVQQDIQVKFSQKFLGENLEECSIEELHNLEVKLAKSLHVIRGKKTQLLEQQIAKLKEKERTLMKDNKELRDKQRNLQPPLEAPPVLNRCLPPWPRTLPAPNNDDMDVETELYIGLPGRERSSNPDSG >Sspon.02G0007450-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:22048356:22052592:-1 gene:Sspon.02G0007450-1A transcript:Sspon.02G0007450-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMIATAALTAASRSVAALLAGEGGGGVPADELRRLERKLDKARGLAVDAEAKEGRDAGARAWLRDLRDALYVLGDSVDDFRRAAARRHQQGRRSKGSELGLQAINQEGQGGSFEFSCGVVLDDDTLGDIQNEKNKLIDVLTERKSPNKVMIIVGDSGIGKTTLARKIHDDHRTRNAFTIVVWVSVFNDLDGIGLLSAIVKAAGGNPSGEENRVQLEAMLAAILKGKRFFMVLDDVRSDQIYENSLEAHLHVCGHGSRILITTRDESISTQMKDAYIYRVKKLTFQDCWSLLCRASCLDESLHGDILRNIGIAIIQKCNKLPMAVKIIGSVLRTKEPTREAWQRVYESEGWSFRELRDHFFVNDKIFTGELKTSINGNSSEGLRHVWIRSNLLRTTVEDIGTVESLKTVILYKNPLGNRSLDKLFKGLKYLQVLDLGGTEIKYIPRTLESLYHLRLLNLSLTRITELPESIECLTNLQFLGLRYCNWLHNLPNGIGKLQYLRYLDLRGTNLYQVLPSLVNLKQLSTLHGFVVNRKSKREDDPTGWPLEDLKSLDALRSLQILRLERVSDPLRVQEAMLEKKSHLKELELCCSNDDRQSEVQEEDAKTLKDVFDCLSPPHCLKSLKIVSYYGKVFPDWLPNLSNLQRLVLTDCKFCEHLPNLGQLTELKFLTITACSKLVTIKQEQTGTDQAFPRLEQLHLRDMPNLESWIGFSSADMPSLVKFRLENCPKLCNLPSGIKNSKVLTTMQLHHIDSLQIIEDLPVLKELVIQACNELQKISNIPLLEVLIVLGCSRLKDVTEVHLSHVRIVDREIRELPDWVATNASMLQTFTIVGRAELLERLLPNHEDWEIIRHISKVYANLPDESPFFTYTKSSADFHVDQRIGERGNPSALLAAEIPHEALNISLDNSVVRTSRVGVPRVPLRRISTLKRAIRRYLVPYLIMAAILMQVLSYLLQNRTSREIRLVQTLFIFFTTVFLLLLVFLE >Sspon.02G0041630-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:77320498:77325024:-1 gene:Sspon.02G0041630-1B transcript:Sspon.02G0041630-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LLIQLQAATAQQQDELPITRPGCPDKCGNISIPFPFGMKPGCFLDGFQVTCNHSFDPPRAFLAFALLDDVIGGSLSITSVSSYSVSKTGKLSTLDHKSSTVVLPVELIDVSVAKNEARAYGAVASVCSTNATGGLERLSATTLAFPAETTGGLLVSLTRNVLVGVGIEVQPYVVRFDTVSVKNKDYDLVSSVASNGSCSGRGCCQASLPEVLPRPLTGFLVDIPSRDLNSNNSLWATNPCSFAMLVESWYNFSTTDLYGDTSDKFPRGVPFVIDFVIRNGTKCPVEGQQPPLGYACVSGNSSCVDVTNGYVCECFNECKHPDFYNCSSDGVCKNRLLGYDCPCKPGMKGDGKTGTCQPVFPLVPKIVVGTIGGFFVVAALIFLILLRKEKKKMKEFYKKNG >Sspon.08G0005590-3D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8D:14355424:14357552:-1 gene:Sspon.08G0005590-3D transcript:Sspon.08G0005590-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RLRHGPRAPRPSRHPRGTPTAVRRRRRRGPRLPPLPRPPRRRLQRADDSDAKAAAAGAEPPRKARTENNSATYASSGNPCLDLFFQVVPDTPPDRVRALLAAAWDRDALTALKLVANLRGVRGTGKSDKDGFYAAALWMHERHPRTLACNIPALAEFGYLKDFPELLYRLIHGPDVRKVAKAKADADKARRAMKPTLADYFTATLARTKSKSKRSRKAAAVAPVDDTEAPDQAMEVEQEPEPEAMEEEAAAKKKKKKTTKKVPKAARLAVNALETYYSDRAYRFLFDCVAEFFADLLASDLKQLAPGGKKKKIGLAAKWCPTPGSSFDRTTLLCEAIARRLFPRDSSTDYTDLSEEHYAYSVLHRLRREVLVPLRKVLELPEKHDEVRFGKYLEDVEAGKAKIAAGALLPHEIAAAAYRGEADNVSELQWRRMVDDLRKKGSLSNCIAVCDVSGSMNGTPMEGDHVQRTSGNPHDQGKNPQGQAELRSNYAVGIQHQLPAVFDRILRTAVDARLAQEKMIRTVFVFSDMEFDQASANRWETDYEAINRKFRDAGYGDVVPQIVFWNLRDSRSTPVTSTQPGVAMVSGFSKNLVKLFLENDGVVNPEAVMAKRSPAR >Sspon.07G0019170-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:74814930:74819555:1 gene:Sspon.07G0019170-2B transcript:Sspon.07G0019170-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:COG0212 [Source:Projected from Arabidopsis thaliana (AT1G76730) UniProtKB/TrEMBL;Acc:A0A178WEK7] MPLLFPATPPLPLCRAARASRRATAAVASAARSSSSSSASFDAAAFEAERLRLDAAARAGMESTAAAAEADPRAWKWAIRKRVWDALEAEGVARDPRPVHHRIPNFDGAPAAADALGRLDIFQNSQCVKVNPDTPQKQVRFLTLSGDKKLLTPQPRLRTGFFSVMDSQMIPVGCIPEACTSVGAAKYGRPIGLDESLKVDLIVIGSVAVDPSSGARLGKGEGFAELEYGMLRYMGAIDDSTMIVTTGIYWEKLSPEKLGQIRILRELKQRIEQETGAILPCGPSEKLPPTAQRRQSSYYRSDSN >Sspon.04G0002060-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8B:2393208:2393663:-1 gene:Sspon.04G0002060-2B transcript:Sspon.04G0002060-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKADDDIFLRLPQLVASLDGMPRDDMYYGATIPCDSMDPFREYMAGMAYALSWDLVQWITTSDVARNHSVGTEDMLTGLWLRIGNKGKNHFNAKPAIHDYLNPVPVDRCEHEFMPSTIGVHRLKSNPRWAEALKYFNFTAGLKPSKFYKID >Sspon.07G0029920-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7B:80717498:80720455:1 gene:Sspon.07G0029920-1B transcript:Sspon.07G0029920-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGRIEIKRIENNTSRQQVTFCMRRNGLLKKAYDELCAVLCYAVVALIVVFSSRGRLYERVRQQQQVTFCMRRNGLLKKAYDELCAVICYAVVALIVVFSSRGCLYERVRQQQVCGRLSCMHIGSLVNA >Sspon.01G0024930-3D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:86522823:86524905:1 gene:Sspon.01G0024930-3D transcript:Sspon.01G0024930-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSARIVLLLATLLCAGAAVASSREDHHDHGGHKAWRCARRCEDRPWHQRARCVEQCREEEREQQQEERGGRHEHEHDRRGDRRGEGSSGDEREREREREQEQGRRSFVFDRRSFRRVVRSEQGSVRALRPFHEASELLRGIRNYRVAVLEANPRSFVVPSHTDAHCICYVVQGEGVVTTIENGERRSYTIKEGHIIVAPAGAITYLANTDGRRKLVIAKILHTISVPGEFQFFGPGGRNPESFLSSFSKSIQRAAYKTSSERLERLFGKRGQDKGIIVRATEEQIRELRRQASEGGHGPHWPLPPFGESHGPYSLLDQRPSIGNQHGQLYEADARSFHDLADHDVSVSFANITAGSMSAPLFNTRSFKIGYVARGEGKAEIVCPHHQSQGGESESERGKGRRRTEEEEGSEEEEAGQGYHTIRARLSPGTAFVVPVGHPFVAVASRDSNLEIVCFEIHAEKNEKVFLAGAENVLKKLDRVAKALSFAAKAEEVDEVLGARREKGFLPGPEQEQEEERGGRHGGLEEREQEEERHGRHGGRGEREQEEEHEGRHGGRGRREEVAETLLRMVTARM >Sspon.07G0027750-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:61459044:61463899:1 gene:Sspon.07G0027750-1B transcript:Sspon.07G0027750-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTCNHISAAVTSPPPLRQWPGGISWRQPSRLQCSAARTRHDDLDNKGDNRLRQTAGIFQPSIWGDFFLGYSNPAAASPQQQIQMQEQVDKLREEVAKMIASSTTTSSRLHLIDALERLCLDHLFEEEISATLAQIETADVNVFVRFKDEEGGFLVDGPKDLLNLYNAAHMRTHGEIVLEEAMLFSQRRLETMIPYMEGSLAHEIKSTLEIPLPRRVRIYESKYYISEYEKDATVHEKMLQLAKLNSNIMQLHHQHELAIITRWWKDIQIESRLPFARDRVVECYLWILGVYYEPCYSRGRIILTMIIAIVTLLDDIYDSYATPEECELLTKCIQSWDSKGAHDLPECMKFALEKILDSYETIENMLHQEEKYRMPYLRYFTKDLVRSFNKEVKMLQEGYIPKSVEEHLKREQLIPHVASTIDSYMKEHNVSIEVAREQIRVLKEESWKDFNNEWLNPAIAYPRQLLERIFNLTRTMEFMYNQEDNFTNYRNLKDLIQLLLAEPFTIPI >Sspon.05G0017880-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:76608989:76615177:-1 gene:Sspon.05G0017880-2B transcript:Sspon.05G0017880-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPHRLRRRRSRRLRGQESGEECSRSSCREDKEREAAQTQSEALVQVSELYSPPVSCIWERLWRDWGRRFCIRLDRQGCFHTYPDVGGPFQSLPETYEAIDRYLEDLRDPKMCAEEDKAIIECLYWPDGTIKRRTRGPVTEKSEMCQMVQALVDKYNEDHNLLEGLAHELKDVLHYNSICEKQKWYYHLNFTTKIKGAGPNECNLDNLFFVEVKCQCYGCTRDGDVGMKHPSSSVGYTAGHLNVGLPSGYYGKWKRDYEDEEDDDKYVKAREAELRQMFKELSLLVHVVKTLKKKAYLSGTEKPFESRLSYL >Sspon.04G0033780-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:65816892:65823071:-1 gene:Sspon.04G0033780-1C transcript:Sspon.04G0033780-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLSPSASGGLQDQPASPEETEEHKCLNSELWHACAGPLVSLPAVGSRVVYFPQGHGEQVAASTNKEMEAQIPNYPSLPPQLICQLHNVTMHADAETDEVYAQMTLQPLSPQELKDPFLPAELGTASKQPTNYFCKTLTASDTSTHGGFSVPRRAAEKVFPPLDFNQQPPAQELIAKDLHGNDWKFRHIFRGRNDNNQLLLGIRRANRPQTVMPSSVLSSDSMHIGLLAAAAHAASTNSRFTIFYNPRASPCEFVIPMAKYVKAVYHTRISVGMRFRMLFETEESSVRRYMGTITGISDLDPVRWPNSHWRSVKANPGFQSLNFSGLGTSPWMQPRLDNSLFGLQSDMYQTIAAAAALQSTTKQVSPSVMQFQQPQNIVDRSALLSSQILQQVQPQFQQIYPQNLNENKIQGHTQPEYLQQQLQRCQSFNEQKPPLHPQQQQQQCVQTPQDQQMQQQKHPHNFHSLPDALSAFSQLSSATHSPSSTLQTVPTFSHQQNFPDTNISSLSPSSGSSMHGMLGQLPSEAASRLPCGAINTPVSVSDPWSSKRVAVESVNPSRPHVVSPQMEQLDMASCNMPQSSALAPLPGRECLVDQDGSSDPQNHLLFGVNIDSQSLLMQGGIPSLQNDNSSDTIPYSTSNFLSPSQNDFPLNQPLHSAGCLDESDTFTGGSSTDGQARHSALELGFFKKAEQWLRQLCEHAGIEKPEHWDGASGFGRVLKTGSQPIALGFRILLLYVSAAPSSFVTCNKAHRSNEALYIDLQRHGPVLFLSCAPWVLI >Sspon.01G0008600-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:24493310:24497744:1 gene:Sspon.01G0008600-2P transcript:Sspon.01G0008600-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Adenylylsulfatase HINT1 [Source:Projected from Arabidopsis thaliana (AT3G56490) UniProtKB/Swiss-Prot;Acc:Q8GUN2] MSSEKEAALAAVPNDSPTIFDKIIKKEIPSTVVYEDEKVLAFRDINPQAPTHILIIPKVKDGLAGLSKAEERHVEILGYLLYVAKVVAKQEGLEDGYRVVINDGPSGCQSVYHIHVHLLGGRQMNWPPG >Sspon.02G0006940-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:20738349:20745785:-1 gene:Sspon.02G0006940-2B transcript:Sspon.02G0006940-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPETRSHASDLSTMSLMSPFPGMDLSKMDAPTLTLLGAAGCVMLTMHFTVQLVSQHLFYWKNPKEQKAILIIVLMAPLYAFSSFVGLLDIQGSKTFFTFLDAVKECYEALVIAKFMALMYSYLNISISKNIVPDEIKGRELHHSFPVSLFLPRNVRLEHKTLKLLKYWTWQFVVVRPVCSILIIALQLLGLYPSWVSWTFSIILNFSVSMALYALVLFYHLFAKELAPHKPLAKFLCIKGIVFFSFWQGFALDILTEAGVIKSHHFWLDVEHIQEAIQNVLIILEMVVFAVIQQYAYHVAPYSGADRAKFEKKNE >Sspon.01G0046700-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:99902832:99911313:-1 gene:Sspon.01G0046700-1B transcript:Sspon.01G0046700-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:HOS1 [Source:Projected from Arabidopsis thaliana (AT2G39810) UniProtKB/TrEMBL;Acc:A0A178W236] NALEQLASIDLIELCKEARIERCRATRDLSSCGRYVHHVLNSCGHASLCAECSQRCDVCPICRSPIPDNGIRVRLRLYYKCLEAGLISKQHDERFQEKEDHGNPVSMDVQRLHSLFDVAVQNNLTSLICHYITDVCLDENAVSSDPLLAFLLDEVVIKDWCKRAVNALIISAHVNDLHQLIENTLKAKQHLEAMIWCIRHRFIQDICSRYTDHTSWSSDVIQRKAYAEERKWPGFSDKGSDINEANQSTLFIEQALQNLGIEQNYRDKEEEIAITYDHSSEALEEACSLLPKISSKETHPKIAQVLLERHKPDMALLVLKCTGRDSFSATENFEKDGISSLSEAVTVVRVRIECGLLTEAFMYHRSYCSKVKEQRSADVTHSEDAFKSSWIYHVEMMMTEFCTICIERNLVDKMIDLPWDSEEEKHLHKSLFESAHEMPMKPNGSLLVVYYLRRYRYLEAYEVDRSLQKFEQKKLENTTEEIASKIRAIAQWRESLIAKCLDMLPEVQREIVKAINSGEQSQFARTAQISSPAHVGKSQNPVMDLSTTFTTVLQNKSSLLSKNNASTDSGGLTRSSRSEFGRKVPSVLQTRASPQGPPTSNMRSTAGGMFPTVGQNVESPFLRGAKDISSRKGESGFKKGIKYADHDPLPMYLNLSSGDTPTKDYRTSLLKT >Sspon.02G0027930-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:101636258:101637431:1 gene:Sspon.02G0027930-1A transcript:Sspon.02G0027930-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RSLARSSKPEPLAEPPLRRRRYAPLASVPPPARLDGTASAVGPSSHHARSLGRRVRPILRPHPSAAGMAASGGDAPELAASFEA >Sspon.03G0013520-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3B:50557407:50559602:1 gene:Sspon.03G0013520-2B transcript:Sspon.03G0013520-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding EPPRPARCRPAPSSVICGRGSSTNTQARTHASKAKQQSQTGGSEPANVFFVACLGGEEARHQPRAQAALLQLQLQVQEVSQAGHQQDAALMATTMASYSSSRAAAAAHLLLVALLLAAGWGWATAANDTQRFRPGDELRRYRRVQALLKRLNKPALRTIQARACTSPPPARRAPSLRALFAMTALTPAFDHPRLRGQRPLADPPARPKGHRRRRRPSNDTTADAGVQLWAASGEACPEGSVPIRRVTEADVLRASSVRRFGRAPAGRVRRDSVSGGHEHAVGYVAGDEHYGAKASINVWAPQVSTASEFSLSQIWVIAGSFGNDLNTIEAGWQVSPQLYGDNAPRFFTYWTTDAYQTTGCYNLLCSGFIQTNSRIAMGAAISPTSAYNAGQFDISLLVWKDPNHGNWWLEFGSGELVGYWPSLLFSHLASHASMVQFGGEVVNTRASGSHTATQMGSGHFAGEGFGRASYFRNLEVVDWDNSLVPLAAGFHVTADHPNCYNIQGGVNAVWGNYFYYGGPGRNVRCT >Sspon.05G0022020-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:5170892:5171647:1 gene:Sspon.05G0022020-2D transcript:Sspon.05G0022020-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKLQRFRGVRQRHWGSWVSEIRHPLLKTRIWLGTYETAEDAARAYDEAARLMSGPAARTNFPLSSSGGGGTLSPTLRAKLEKCCTAPAQEPQHGADVSRTGGAGLDEDSSRGSRQQDDDEEAAVKVDPDADGGEEYIEEMIRELTYYGPVEIQHHPPSASSSAAAACSSSAI >Sspon.04G0033080-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4C:44766837:44769636:-1 gene:Sspon.04G0033080-1C transcript:Sspon.04G0033080-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPRVVAGGTDCCRWEGVACAAADGRVTTLDLAECELQSAGLHPALFELTSLRYLDLSFNSFNESELPAVGFERLTELTYLNVSYTDFIGKIPHGIRHLSKLVSLDFTNWIYLVEADNDYFLPLGEGRWPIVEPDIGSFVANLSNLKELYLGNVDLSSNGAAWCSAFANSTPQLQVLSLPNTHIDAPICESLSSIHSLTKINLNYNKVYGQIPESFADLPSLSVLKLAYNRLEGQFPMRIFQNKNLTVVDVSYNSKVSGLLPNFSSHSIMKELLFSNTNFSGPIPSSIGHLKSLKKLGIAAADFHQEQLPTSIGELRSLTSLQVSGAGIVGEIPSWVANLTSLETLQFSNCGLSGQVPSFVGPIPIPGPQNQLFDCSSNQFSSMPLNFGSHLSSVSLLMAPGNKLSGEIPQSICEATNLMLLDLSNNDLIGSIPSCLMEDMSHLNVLNLKGNQLHGRLPNSLKQDCAFEALDFSDNQIEGQLPRSLAACKDLEVFDIGKNLINDTFPCWMSMLPKLQVLVLKSNRFIGDVGPSILEDQNSCEFGKLRIIDLASNNFSGLLRNKWFTSMGSMMTKDVNETLVMENQYDLLGQTYQFTTAITYKGSDITFSKILRTIVIIDVSNNAFYGSIPESIGDLVLLGGLNMSHNALIGSIPSQLGMLHQLESLDLSSNELSGEIPWELASLDFLSMLNLSYNQLQGRIPESSHFLTFSDLSFLGNIGLCGFQVSKACNNMTPDMVLHQSKKLQLS >Sspon.03G0029420-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:15780261:15782875:-1 gene:Sspon.03G0029420-1B transcript:Sspon.03G0029420-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKSGGQCDACRGDIGLWQAIFTSECAHTFHLRCVSGSATCPICAARWSNTPATPFSFASPPPSSTGLFGQSAAAAADSSSKTSLFGQPWPSTTQNSSFSFSGAQTASPSLSLSVGRSLFGQQAPATPDSAPPATQTLSCAVCHVVMFRGQATVTSECNHTFHLRCISGSVCPVCSARWRDDVSVASSPSPSLHPFSSPPQPPMLRWRDGVSVASSPSPSPYPFSSPPQPPMFQPFTPLPQSFGPRPESADMARPVFNDDEPVEPSPLDGWEVVQEATNNGVLELKTHCEHSAVARDTARDTFAVLVHAKGPPVTAEASARASLDLVTVLDVSGSMGGSKLALLKQAMRFVIDHLGPGDRLSIVSFSYEARRVIRLTRMSDDGKASAKAAVESLAADGGTNIGGGLRVASEVLDGRRHRNTVASVILLNGSQCPPVHTFGFGTDHDAAAMHAIAEVTGGTFSFIENQAVVQDSFAQCIGGLLSVTAQEVRVVMECLHPGVRVRTVKSGRYDSRIDAGGRAASVDVGELYADEERRFLLLLDVPVAAGDGSVTRLIKVSCTYEDAATGRSVDVSCEDAAVQRPVVVTDMEPCVEVARERFRVEVAEDIEAARAAADRGEHAMAAQILDRRQEASAAAGLAGDERCAELVAELRELSARVANRREYEQTGRACLLAGMNSHAQQRASTVQLFGSAAPAFGTQTTGSPFATPAMQSMVESSMKEREQHQSDSATNGGSVFGS >Sspon.04G0014830-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:58247282:58259200:1 gene:Sspon.04G0014830-2B transcript:Sspon.04G0014830-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSVGKGRAALRSSPKRYSGTDPPLSLAGPTLADLQRTAELEKFLVEAGLYEGKEESAKREDVLSKIGQIVKEWVKQLTSKKGYAEQLVEQANAVLFTFGSYRLGVHGPEADIDTLCVGPSYVNREEDFFVTLHGILAEKEEVTELQPVPDAHVPVLKFKFRGTSIDLLYASLSLSVIPADFDISQGSVLCDVDEATVRSLNGCRVADQILRLVPNVENFRTTLRCLKYWAKRRGVYSNITGFLGGVNWALLVARVCQLYPNAVPSMLVSRFFRVFTQWQWPNPVMLCAIENNDLGFSIWDPRKNPRDRNHLMPIITPAYPCMNSSYNVSSSTLRVIMEQFQFGNKICQEIELNKANWNALFEPFHFFEAYRKFLVVDIVAENDDDLRLWKGWIESRLRQLTLKIDRDTKGILQCHPYPYEYSDPTIECAHCAFYMGLSRKEGSKKRGQQFDIRGTVDEFMREIGMYSLWKPGMDLAVTHVHREQVPSYVFEQGYKKPCPTMHANQQEQSDGDVTLSPYLDSQLKRKYDSDGDGHVELCKSVKRASVSPPGVGTPPYGNSVSNVVCDIPVKFVSSVVCSGAQTSPSHDDINLEQAQLTTSPYGSEDTSASGTSCAAVGAVVLADESSKLGNLTSDLEVDTIQTMPLHTSLECVAQKGETKLEGIRSLASSNCAEFVDSSVIAEKIVKEWVKQLTSKKGYAEQLVEQANAVLFTFGSYRLGVHGPEADIDTLCVGPSYVNREEDFFVTLHGILAEKEEVTELQPVPDAHVPVLKFKFRGISIDLLYASLSLSVIPADFDISQGSVLCDVDEATVRSLNGCRVADQILRLVPNVENFRTTLRCLKYWAKRRGVYSNITGFLGGVNWALLVARVCQLYPNAVPSMLVSRFFRVFTQWQWPNPVMLCAIENNDLGFSIWDPRKNPRDRNHLMPIITPAYPCMNSSYNVSSSTLRVIMEQFQFGNKICQEIELNKANWNALFEPFHFFEAYRKFLVVDIVAENDDDLRLWKGWIESRLRQLTLKIDRDTKGILQCHPYPYEYSDPTIECAHCAFYMGLSRKEGSKKRGQQFDIRGTVDEFMREIGMYSLWKPGMDLAVTHVHREQVPSYVFEQGYKKPCPTMHANQQEQSDGDVTLSPYLDSQLKRKYDSDGDGHVELCKSVKRASVSPPGVGTPPYGNSVSNVVCDIPVKFVSSVVCSGAQTSPSHDDINLEQAQLTTSPYGSEDTSASGTSCAAVGAVVLADESSKLGNLTSDLEVDTIQTMPLHTSLECVAQKGETKLEGIRSLASSNCAEFVDSSVIAEKDLIICHQLLAAG >Sspon.06G0000920-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6A:3095696:3096342:-1 gene:Sspon.06G0000920-1A transcript:Sspon.06G0000920-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding YVLDTSVLPHEPDCMRELRLVTDKHEWGFMQSSPDEAQLLRMLLKLTGARNTLEVGVFTGYSLLATALALPDDGKVIAFDVSREYYDIGRPFIEKAGVAHKVDFREGPALEGLDALLADEANHGAFDFAFVDADKPNYVKYHEQLLRLVRVGGSIVYDNTLWAGTVALPPETPMNDLDRRFSAAIRDLNVRLSKDKRVEVCQLAIADGITICRRL >Sspon.03G0038140-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3C:3196522:3198705:-1 gene:Sspon.03G0038140-1C transcript:Sspon.03G0038140-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LRLELLRYMAMLKQEGRAGEEDETRVATTSTSLAAVAPMAAAWPEPHLGSNDGVARLGRRWVRGATARAMNWMEARWWGRNHSGGSVVLLLFAGSVRQGNRGRKRARERGSKRRRLARLLLVQNARQDAITAMLTTASSQAVEVHCYAAKAAVLWGKMETCKP >Sspon.04G0011480-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:788084:791173:1 gene:Sspon.04G0011480-1P transcript:Sspon.04G0011480-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPIQRCVISEHGKALKLQFLSKQFLLASINSFGQLHYQDVSTGEMVANYRTGLGHTDVMRANRYNAVISLGHAGGKVTMWKPTSVKPLVTMLCHHGPVTDVAFDRGGNLMATAGVDRKIKIWDLRKYDVVHSYPVRAQSLDFSQKGLLASSNGSQVEIYRDFGGHDYKLYMKHKIMKGYQVGKVLFRPYEDILGIGHSMGFSSILVPGSGEPNFDTFVDNPMETTKQKREKEVHALLDKLPPETIMLNPNMIATLRAPKKKEKKTKKEIEEEMEDAIEAAKNIEGKKKTKGRSKPSKRAKKKEEDVFKAKRPFLEQSDKIDDDLTRSSG >Sspon.03G0037440-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:98944688:98953848:1 gene:Sspon.03G0037440-1B transcript:Sspon.03G0037440-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding WTASSAASSSSAGRSAAAPSARSTSVSGPIPCSSLPPLPSALLLCLTRRCSCLSLPVSATHVDTYEIVAVKIESSKTKHPQLFYEAKIYNTLQGGSGIANVKWCGVDGEENVLVIDLLGPSLEDLFCYCGRKFTLKTVLMLADQMITRIEFMHSKGYLHRDIKPDNFLMGLGRKANQVYIIDFGLAKRYRDSTTNRHIPYRENKSLTGTARYAKQSRRDDLVSLGYVFLYFLRGSLPWQGLKAATKTQKYDKICEKKLATPIERTYGQILCKSCPVEFSSYFHYCQSLAFEQRPDYAFVKRLFRDLFNSQGYEFDYVFDWTVLKYRQGQKQKV >Sspon.04G0009220-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:30392963:30398074:1 gene:Sspon.04G0009220-1P transcript:Sspon.04G0009220-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chloride channel protein CLC-c [Source:Projected from Arabidopsis thaliana (AT5G49890) UniProtKB/Swiss-Prot;Acc:Q96282] MDGHHSPPAAQPEAHGSFNYDIESTDGPWRGAGAGQDRSSEALLRYDDRYDDDGPREPLLRKRTMNTTSQIAIVGANVCPIESLDYEYALHTNRPSLSPPPWLRWYFTAFLAYGGCNLVLAATAAAICAYIAPAAAGSGIPEVKAYLNGVDAYAILAPSTLFVKIFGSILGVSAGFVLGKEGPMVHTGACIANLLGQGGSRKYHLTCNWLRYFKNDRDRRDLITCGAAAGVAAAFRAPVGGVLFALEEAASWWRSALLWRTFFTTAVVAVVLRGLIELCRSGKKGAPYKILLTIIISIITSACSYGLPWLAPCTPCPADAVEECPTIGRSGNFKNFQCPPGYYNGLASLFFSTNDDAIRNLFSSGTENEFHMSTLFVFFTAIYCLGLVTYGIAVPSGLFIPVILAGATYGRIVGTLLGPISDLDPGLFALLGAASFLGGTMRMTVSVCVILLELTNDLLMLPLVMLVLLISKTIADSFNKGVYDQIVVMKGLPFLEAHAEPYMRHLVAGDVVSGPLISFSGVERVGNIVQALRITGHNGFPVVDEPPLSEAPELVGLVLRSHLLVLLKAKGFMKEKMKTSGSFVLQRFGAFDFAKAGSGKGLKIEDLDFTDEEMDMYVDLHPITNTSPYTVVETMSLAKAAVLFRALGLRHLLVVPKTPGRPPIVGILTRHDFMPEHIHGLFPNIHKSH >Sspon.05G0004350-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:13078951:13079998:1 gene:Sspon.05G0004350-1A transcript:Sspon.05G0004350-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLDLGTAATRYVHQLHHLHPDLQLQHNYAKQPEPSEDDPNGSGGGGNSNNGGPYGEHDGGSSSSGPGAGDAPGGSGGNGEMVARRPRGRPPGSKNKPKPPVIITRESANTLRAHILEVGSGCDVFESVSTYARRRQRGVCVLSGSGVVTNVTLRQPSAPTGAVVTLHGRFEILSLSGSFLPPPAPPGATSLTIFLAGGQGQVVGGNVVGALYAAGPVIVIAASFANVAYERLPLEEEEAQAAPPGLQMQPSGGGADGAGGMGGGPFPPDPSAAGLPFFNLPLNNMAGGGSQLPPGADGHGWAGLRELQEDVKKKAMNGTRVKGSLQK >Sspon.06G0003310-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:8657832:8658649:-1 gene:Sspon.06G0003310-2D transcript:Sspon.06G0003310-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQCLYICCHFIRSYSVSGHLDPSIDRPVRDRHRRSVLRRRPPAMDAEDERRAELKRQVSKLQRRGSSSHQPAEQSSSASTSRRTGMSARHHMMAVQSLGQAAHVLDLQGKRLYHYSSAEAVGQDITRLIIHPDDIPALNTIIVNIFTGKCWRGKFPVKKKSGERFFVVADATPLYDDDGSLMGLICLADDTRTLNELIGTS >Sspon.03G0018670-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:51386880:51391581:-1 gene:Sspon.03G0018670-4D transcript:Sspon.03G0018670-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGMRVMGDEICYPAKDYLSIHKLFSTRADLHRTVYTHAKVKAVELMLVDALIEANDYLGISLHAHDPEEFWKLDDTIIKTIETAPNNELKKAKEIIQRIRRRELYKFCNQYSVPKDKLEHFKNITAQDIKSSEVLLKEEDVAVSNVKIDLTRGKDNPLESIKFFKDFGCDEKFPITDDRVSHLLPAYNEDRIVRVYAKKPELVDVVSEAFENLQMRMYGEKTQVHETPKKKRIRSN >Sspon.05G0019160-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:83994511:83999151:-1 gene:Sspon.05G0019160-2B transcript:Sspon.05G0019160-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPQPNGGKVTPNLAMDAEATRLLNLTVLQRLDPAVEDILITAAHVTLYDFNIDLNQWSRKDVEGSLFVVKRNSQPRFQFIVMNRRNTDNLVEDLLSDFEYELQPPYLLYRNASQEDTECLRQSTSKTKSAFHKKGAASIGSVSNAPLPGRVHPSTESVPSSHVPLIIPSAAPTHQLPPSVASSAPPLPIHDTNAHTSRSTNLVTPDFFAPPPSSSTPLAPPGASVIPTAPPLHPTTASVQRSQYGTPLLQPFPPPTPPPSLNPPHSDRPVVTRDRVKDALQRLVQSDEFIDLVYRELQKSLV >Sspon.05G0006520-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:21858500:21860354:1 gene:Sspon.05G0006520-3D transcript:Sspon.05G0006520-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MELGGGGDSAARSARLSSDDAAAAAAGVDRLSTLPDDVLVLILLKLSTRAAAQTSVLSHRWRRIWALLPVLCFTFPTEPHRLRDALDAHEVLVRALLVRADGATPESLAVWLPAAARRVSGDLTIFSHGAVQDEEEEQAAQRGAFELPCFEKATSISLYLAFQGLAVPPTGVFTRLTGLRLSGVWFHGPGELGDAVSSPRCPCLQRLTVEDARGLCDLAIHSDSLLLMELRDLHSLSQLTVMAPVLKELTVVLCFCKSPPVANISAPQLANLNWSDEYDPISVHLGKMEHLWMLRTDYYHVYATGTFLHNHSCLSLLQRFEGIKTLFLVLVYPRDIGNYQYMMEDMAVLPDITFLRLMVMANGHAFVASAFHVLRLSTGIRRLMLQLVATVSE >Sspon.01G0028880-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:97375913:97377146:1 gene:Sspon.01G0028880-3D transcript:Sspon.01G0028880-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNGDRSGAGAGAVGSAGSLGLRVGQAVFSSASLLFMSVGVEFFSYTAFCFLVTIMGLVIPWSCTLAMIDVYSVLVGCPLRVPGVMVIVVVGDCALSIVSFAAACSSAAVIDLLLQFHGSHCSPRFCGRYQLSAMMAFLSWFLMAASAIFNLWFIASRAHNLVLERVCYFRVQ >Sspon.03G0003350-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:15965660:15966198:-1 gene:Sspon.03G0003350-2D transcript:Sspon.03G0003350-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLTHTHPLFTGSCGYCGYALNLSSSARDTEGIGSKYGKQIKKGVVAFVAVDESRFTLTDEVTCMPYFRSARSWGLFRRRARLLCRKCGGRIGNAYDEEEDAARDSSSSLFDGDGSSDDMRPSSGLGSGRSSIVSSQKNYVIKISALQPSSDDSAAVVSSCCVVVFFQLG >Sspon.06G0020810-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6B:11228822:11229064:1 gene:Sspon.06G0020810-1B transcript:Sspon.06G0020810-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETADMERIFKRFDTNGDGKISLSELTDALRQLGSTSADEVQRMMAEIDTDGDGCIDFNEFITFCNANPGLMKDVAKVF >Sspon.06G0011330-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:61761050:61761799:1 gene:Sspon.06G0011330-1A transcript:Sspon.06G0011330-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEEYNMDEALKAKNVAETKFHARDIRGARKYALKAQNLCPTLEGILQMVSTLEVHLASESKIDGESDWYRILSLGAFADEEEVKKQYRKLALLLHPDKNKSVGAEEAFKLISEAWSVLSDTSRKVVYDEERRNHSVVNVTNGIYTYDKKANKRARKNAATAAAAAAAAAEATTRPVGVDTFWTSCNRCRMQYEYSRIILNHNLLCPNCHHAFLAVETGFPCNRTSSSFSWSTKQQPQQNHNSTKHSYG >Sspon.01G0023910-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:84876408:84877763:-1 gene:Sspon.01G0023910-3C transcript:Sspon.01G0023910-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPQTKAMGVMDKLSYEIFSLLESKFLFGASGAGCLSSGSGPGTPARAFLDGGRVRVLSIDGCGGAGAEDALLAAAALARLEAGLREQAGDPDARVADFFDVAAGAGAGAVLAAMLFLRGPDGRPRYTAQEALAFVAGSVGRKDWCGRRGRPWAKLFRGSRRGGDRMLRRVFGDATLRDTVAPLLVPCYDLATATPFVFSRADAVESDSLDFRLRDVCAATCADRGALADVRSVDGLTAIAAACAGVAAMGNPAAAAVTHVLHNKLEFPLATSVEDILVFSIGTGSSTTASSGLKPNTPVPPTRAPSPRELARATAEGVADMVHESVAMAFGDACGSNYVRIQAGRKSAPLLHAETAAAAAEAMLAQRNVESVLFRGRRLSERTNAEKVDTLVAELVKEQERRRSSPFPNVAIKQVATPRLSSATTASSGTTTARTTSSMPSPASWDSRR >Sspon.08G0011770-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:48390409:48391661:-1 gene:Sspon.08G0011770-3C transcript:Sspon.08G0011770-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LHHRWDPGHVCAGTPEHRVRPAIGPAVRLVEFPLDYAEAGLPEGADNMDNVPVEYAQNYFDAVALLRAPIESYLRAHAPYPTCVVADFCQPWTTVLAANLGVPRLSFFSMCAFCLLCQHNVERFDAYQGVADDNEPVVVPGLEKRFLVTRAQAPGFFRGSPIPWWEEFGDYVERARAEADGVIMNTFEEMEPEYVAGYAAARGMKVWTIGPVSLYHQRAATLAARGNTTDIDADECLRWLDGKEPDSVVNADKYDGAVRAFLDELEARRGARPAHQGVGAAGADPVHAAVGAFVTHCGWNSTMEAITAGLPVVTWPHFTDQFLNQKMAVEVLGIGVSVGITEPLLYQKVEKDILVGQNVVEEAVRSVMGGGRRQRRGGGGPVRW >Sspon.01G0041370-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:44465241:44479975:-1 gene:Sspon.01G0041370-3D transcript:Sspon.01G0041370-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGQQERTMRDLYDRTRHKDLPVPEESAEGCAGGRAEGSEQRELASKMEDKTVVRKRVVSSNNTRVKAESGTCNVCSAPCSSCLHPRIRVEDSNVECASSQTCSTRSEVKNNSLVRSEKGLCSKGENDDEFSATSGHVSYSVTGGNKVVARSSIADDSSEVDMPSKRRRLLNQDTRLSRAEYLDDSNSCVTSGEGKLHVDKKKLSTSASSRDLNAKDYKAMANHSKLRNQCVDESKKGSDGRDMHPSSSGRFSHVDSSVMTKKLLRTQSSISALSRLSPNRQAHEFGKALDNLSHQPCEKTSLLKNNEQARGGQLNPCIAGDNNHGTLAGYSNRHANKDGFSLKDLDNGTLCSTDEIQEHGDIHSNDDVKKNVGGKQDSDQDCSMDISSDRKLNIQHDVMTDCGNSEGLIDVNVCDICGDVGREYLLATCTKCLEGAEHTYCMRVKLEKVPVGEWFCEECQLKEDQKNTRSNSGTVAVNVTEGKNQRTESQNKPKALQIVVPDLDSPQVTHSALTADRCDGKSKRLHLASADTQTRQVKDTTPAAERLVVKNKKSLSVANRNKLQVLTSDLDTRPRSHGTPTSGVYNKSQSSEFLLNRKKLRVSTDMESPLSSEGPRSSPVSCKRHAESTLSPKPRLFKTGSLGKQDVISRENSFKKSSKGSLTSVDNVPVRTTQVVKSSQTLSRSYSLGNMVNAKAPVPSPRGLLSKQLSFNSTNNGPKVKQLVDGMSSKLRPAEHSPRDPRDKEPIKKIVQSGSFKREGSDSIDAGSSKQKQTFHLSQDEKPGVLKPIKENNVTERRASFRYAEKKQSYDLSRSDNGKQDVTVHPKPMEVSGKDAYGVKISDPPVQSQCAKKDRSNDVEDDDLLISVNNVNIAPNEHAEVVPTTFTAMTCESDLQDVPRESTSDDSAPKVVCCEQKLLENTGDDSCKIVEVVQDSGDILSVTPRGLQMAHNLYPPENKLDKSDLKKEAFADQSSALGNPLKDFVIPEQSYIWQGSFEVSGDGSSPEMFDGFQAYLSTCARPKYVKYLPDKIQLAEVPRHSSWPLQFNEVNPTEDNIALFFFAKDVESYERAYGKLLDNMLLGDLSLKANIGGTELLIFPSDKLPERIQRWNGLLFFWGVFYARKESSPLELPTNNCPLEQINGPVIQHDMGSPKALQPLGIDLNECPNDDISDPALSLGSDSEKSGASVDHNILLESKHEDRNLNASEIHHEETAGTRQIILGHPSAAPYGTNLPTAAAKGSTGTAGGNKMEKADQNESLFCFSQQPGAIRSILHETKFKKHGLLPSHCHFSGSKICDNQTKSTPSSDMGSLDSDLTNKRQKTSYGKHSACIFGDEMPPIKCLSKIHPLPAGQDNPFDVLQHCHRGPSDPVSLKKPVPDHTIHVLSSDDEDSPEPSTSLNKASLKADEGSSALLSLSLSMVATKRNLSGSDIVDDEPLSLSLGLPSIVDGSEDHEMKQFLPEKPGINT >Sspon.04G0024150-3P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:21628197:21629299:1 gene:Sspon.04G0024150-3P transcript:Sspon.04G0024150-3P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFTGTQDKCTACDKTVHFIDLLTADGVTYHKTCFKCSHCKGILSMCSYSSMDGVLYCKTHFEQLFKETGSFSKKFTPGNVALRRDLQLTLEGESYHKSCFKCSHGGCILTTSSYAALNGVLYCKIHFAQLFMEKGSYNHMKKKSTSQEVLPDVAADERPPEPAPPQDEKGEDN >Sspon.08G0029130-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:24829232:24832711:-1 gene:Sspon.08G0029130-1D transcript:Sspon.08G0029130-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGGCRRRLPHLEPAPPPDPGPAPLPHLPCHYCLLGPHGNCSRRIPDLCRRPLLGSAPLPHLRPAPMMHLEPTPPHPMPTRPSSPDQALPCRIELLHEEESMGRERRLDRLGCVRVCCVGRRHTSRIAASSPHFNFHTPRKGPDATRKPSIVSSRLSPPRSPGSTNLNKACSESKGSTDDMMTLQPRPVAPTEDHCECCAFYCVVVSCVSLMLFIVLAAAVSVSRACAIAGAVVLLLGLVGGWLAATGNGAAGPAAQAAAAPVVRLVVQQHRCACGLAEAAIAALPTFAYEPPAAKNGDGGDEVKPRGSCVLCAVCLEDVRAGEMVRQLPACRHLFHVDCVDAWLRAHRTCPLCRGQLSLGSGNTKTAAVAESPVDALPPV >Sspon.05G0019070-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:76310163:76315148:1 gene:Sspon.05G0019070-4D transcript:Sspon.05G0019070-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFEYIDRLFRIVRPTKLIYLAVDGVAPRAKMNQQRSRRFKAAKDAKDAVNKQETPRRPLDLQQPYELEEKLLREKFRAEGREVQSRETHEVSDPNVITPGTEFMEKLSKALEYYIRSRLNSDPGWKGIKVILSDSNVPGEGEHKIMSFIRGQRSLDNYDPNTRHCLYGLDADLIMLALASHELHFSILREFLNIWVLREYLELDLKIPNPVVKTDIERLIDDFIFICFLTGNDFIPHIPSVEIHEVHICYLGAIDLLLEVYKQAFNKMGGYIVSTEKLLSYVLMYFYCYQKQLKDKHAAYLKVSRLEKFFHELSLCEEKIFLKRYELREEQNPDGPDFTVTANTLELRRNLKDALRNKQDLIKSGACKHDAIRLGFAGWKSRFYREKFGVEKSNEVGRLKNDMIQKYLEGLCWVLRYYFADVPSWSWYYPFYYAPFASDFKGLSQFKISFTADKPLRPFDQLMAVLPKERHVKYSLSCALPKCYRELIENEESLIQKFYPSDSSHNLNFEYHITELNGIFFLLTDLQIDTHGKRFLWQGIAMLPFIDEKLLTLATKTVEDKLAVHEINRNAVRQDKIFLRNSNTTPNGAAFAQTSDCLSKKLPIDQSTSELGGWLSPVDDDSISCGFFRSPIRDLQDIRNDQTISFFFSNPEPAQIIPRLLDNVKKPEKTVTETEISKRPLWHTYPGSRPPPETIPTMAEPQLMINGFGRGRGRAIAAETVLGSGRGYGRSFHGADTAQSRGSRNDRGGAYTFGSACAGRGQYGGAFQRQQTAWRPVGNSGGRGGSGSEQRRGW >Sspon.08G0014200-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8D:56127120:56128095:-1 gene:Sspon.08G0014200-2D transcript:Sspon.08G0014200-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQFFDGIHVRLRSRVRGTYLYADDDGVGVSLSRRRASLNAAWQVHLVQRGDMHFVLLRSAAYGRYLADSPQMAPSGHRGRRAVQRDYDEPELNAVMWRPICVLTVDDVDAQADAGVVLRHGNNRYLRANGRHRRWHNEVTVDDNDGVRTTMMLWTVEEIPPRIAPPALPPPTMITTPVGCCSHILTLQHHNLGGPGFMSLFRRAEPRAEPLRTIRYVRANNQGNFNQLGWATLQFYGRSVYLLLLRLAYHLDIRDEGNVLGIALCVRAGNYGRLTPLVTDLP >Sspon.02G0042520-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:87649548:87650796:-1 gene:Sspon.02G0042520-2C transcript:Sspon.02G0042520-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARCPQFEHSDRPLKALRAEDHSHISFLSDDILIGIITRLSIHDAVVTGRVSMRLRHLWKNVPSFILTLRHISMKDKGPRHEQADRFAGVVITVLCHHGGVGVNRLVFALPLTCCCHTAELDQVMEFAAVAGTSNLGLLTNNHCSEHAGPPYYFLLVDLLLTRSNDQLGLWCRSRSGIRKSKRKNDEWIGYDPEDRNPNPMRVKERIRCGEEGLLHVFLTRDTPPSAHRGARKGTRAIPSRRGCAHGVKAPPPSSGRLDWPPQQRSVLTQKGRVKGLDG >Sspon.05G0009440-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:18834474:18834740:1 gene:Sspon.05G0009440-3C transcript:Sspon.05G0009440-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LSMASDKCRSKAMVLAAKADGVSKMGITGDARTAGGGGQRHRHRLPRQLPAQEDRPRRHRQGGGGEARGEEIRGEETGGEEARGRAAAL >Sspon.02G0032050-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2B:111324948:111326052:-1 gene:Sspon.02G0032050-2B transcript:Sspon.02G0032050-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEKKPYQRITKKDSGGGADDGNEDDDLVFPGFRFHPTDQELVGFYLKRKVEKKLFSIDIIREIDIYKHDPWDLPNEARHVVQGSGDKDCYFFCLRGRKYRNSIRPNRVTGSGFWKATGIDKPIHDGAGDCVGLKKSLVYYRGSAGRGTKTDWMMHEFRLPSTDLVELHDAEIWTICRIFKRSTSYNRRCQQQQKQEHGSNQSHHHQQQLQYYYDYYRYHQHHQHHYLHSSEAAYAANGHHQPFLLRGFHGSSSSSAEMMTRTASSAFMGAPVPPSTSTSTLGWSDVTCLQDSGSTWDELGRIMEI >Sspon.01G0059660-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:64242977:64248319:-1 gene:Sspon.01G0059660-1D transcript:Sspon.01G0059660-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MYVDLIACGGLLVVHHRRSGHRDAPAQDRQLLPHQSSNFQAAGYSWYINYFPNGCGRLIKLARGYLPLQLVLDGAFTLSVINSAGLLERTTARKRWWFFKYMSKADLKSKFLDLKDDSFTLQCDVVIIDRFRAVKAAPFVDVPPPDLHRHLGELLFSGEASDVTLQAGGETFSAHRCVLAARSQVFKAKLLGSMKEGTSTTTNCAIRIEDIEPRVLQAVLHFMYTDTLPEVSKEEEAAMSQHLLEAADRFSLQRLKLICEENLCGCIDTNSVVTTLVLADQHSCQGLKEKYFEFLKSTGLNALIATDGFNHLSTSCPAEREPDRGGKGKGTRTVNGVVDRHQGRRRGRSGGAGGFMARSSAVATSGEGACGRRQYGVAERNAGGSAGEGWGWERQRRLRPPPGPGHAGAPEAGRHGGPSERERERETERDAPLAGRCAAASPYPPGCAAAPRSTAEERGRDREGGREGKRREEEIFLSVSGGRGVTRTVSGEREGEEGRYLSVDASGSPGERGRRGDMSLYQ >Sspon.03G0039270-2D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3D:7443734:7444624:1 gene:Sspon.03G0039270-2D transcript:Sspon.03G0039270-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polyubiquitin 10 [Source:Projected from Arabidopsis thaliana (AT4G05320) UniProtKB/Swiss-Prot;Acc:Q8H159] MQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKALTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHL >Sspon.07G0020540-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:76623968:76624186:-1 gene:Sspon.07G0020540-2P transcript:Sspon.07G0020540-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFSIVGLPPNHKYRNVVVVEAGEGRIGIITRANLKIPELLHSIWQNEVGNDNEHTTETAISLPSDYHYCFVF >Sspon.08G0023500-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8B:55875850:55877792:1 gene:Sspon.08G0023500-1B transcript:Sspon.08G0023500-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADRGYSTLTKLGFGTLTFNSALAIYNSWGDAGSVAFVLVADAALLLLFLCLHELEQAQGGAGRGRDTKIKAAAWALTTLLMAMFASGVASIVPLAVGVLVFLCLRDVDHQVYGGEGGGGRVNMDGQYCALAKVGFAVLTCNSALDAYHTRRDLGSAAFVFVSYVVLAVLMGLFVRAVARGHTGEARAALEERQEPAVRCLCPRVPLQMSPSCGAPSPPLPLHLFLLVLAPTWVAGAGFGVLTVNSALAIYRASDDAATVAFVAGSYLTLLLLFGALRKYERAPPGSLGTGRRAAWPLTTVPNLSAAVMPSVVVAGGGHRGMDRKVAALTMASLGVMTCDMALAIHQTRGGDMVSATFVLAAYAALLALIYLFIREFAAGRAQGRHPATVSVWALSTLLTEMVAASPVAAGFV >Sspon.08G0014830-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:60078536:60083033:1 gene:Sspon.08G0014830-1A transcript:Sspon.08G0014830-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPASPADSAPDPRPIASAPVPITVPSPRDHTHHHHHHLLDRRDTPRGRAWDWEPERNRRGGAMDGAAAVKQVSGEAGYVLEDVPHVSDYLPDLPTYPNPLQDNPAYSVVKQYFVNPDDTVCQKIVVHKDGPRGNHFRRAGPRQRVYFEPDEVHACIVTCGGLCPGLNTVIREIVCGLSDMYGVTKILGIQGGYRGFYARNTITLTPKSVNDIHKRGGTILGSSRGGHDTVKIVDSIQDRGINQVYVIGGDGTQRGAGVIFEEVRRRGLKVSVAGIPKTIDNDIPVIDKSFGFDTAVEEAQRAINAAHVEAVSAENGIGLVKLMGRHSGFIAHYATLASRDVDCCLIPESPFYLEGEGGLFRYIEKRLKDNGHMVIVVAEGAGQKLIAETMQSIGKDASGNELLLDVGLWLSQKINEYFKKNKMTINLKYIDPTYMIRAIPSNASDNVYCTLLAHSVVHGAMAGYTGFTIGQVNGRHCYIPFYRITEKQNRVSITDRMWARLLSSTNQPSFLCNKVVEEAKKEQERAAQLLDGSPSHRKVDEKVPPASNLGGTK >Sspon.08G0003590-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:6717227:6719078:1 gene:Sspon.08G0003590-2B transcript:Sspon.08G0003590-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDLKHLRDLCHFVRLLASTTSIPPSWCSNSQPYMRCLHRVSFSGVRQEDRGGGGETVPVPSQQRTSSRRGPMSNAAEEYDAAYAATLARGNGNKQQRPAPASSSMDETARTTTPPSSKSPRTPKRSESLKKPIFEGSRSSSSRWFTGKEPVDDDYQDEQANVSVNRPLRPAQKKPAEGAVSDERPPASTDPAPRVRKDPSFTRKPSEKRGSKRFEQDQGNQMAPAPAPPPTTARPMDKTSSSYSAAGRESGGAATTSGGGVAFSSENEAMAHAWEKEKLAKIKKQYNETMQTIAEWEADKKAKARRQKELKDESESERKRAKALEEYNEEMARINKVAAASRLTAEEKRRSAERKARDKAHTIRSTGKLPGRCGCF >Sspon.08G0004250-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:12237536:12238513:-1 gene:Sspon.08G0004250-1P transcript:Sspon.08G0004250-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-prolyl cis-trans isomerase FKBP13, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G45680) UniProtKB/Swiss-Prot;Acc:Q9SCY2] MSPAASTSASPLSRLLLLSLPKPGAARHPSSSSPAPPCQDAAGAGLVLRRREAAAAVLSTAVLSRFVLPAAAGAADGGECPLEVAPSGLAFCDRVVGTGAAAQEGQLIRAHYTGRLEDGTVFDSSYKRGKPLIFRVGVGEVIKGWDQGIVGGEGIPPMLAGGKRTLKLPPALAYGEKGAGCRGWEPTSCVIPPNSTLLFDVEYVGRASG >Sspon.01G0045190-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:87839641:87842663:-1 gene:Sspon.01G0045190-1B transcript:Sspon.01G0045190-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding FFDHGLLDVMSFFHDRNIVSTVNLDCKLELKAIALQARNAEYNPKRFAAVIMRIREPKTTALIFASGKMVCTGAKSEQQSKLAARKFTERLLNSNTCLYFQYARIIQKLGFPAKFKDFKIQNIVGSCDVKFPIRLEGLAYSHGAFSSYEPELFPGLIYRMKQPKIVLLIFVSGKIVLTGAKVREETYTAFENIYPVLTEFRKVQQ >Sspon.01G0017840-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:72221592:72223563:1 gene:Sspon.01G0017840-2B transcript:Sspon.01G0017840-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPLGLGTSASTRVSNELGAGQPEAARLAARVVVCMTLCGGVVLATIMILLRNIWGYAYSSDKDVVTYIARMLPILAVSFFVDGLNGSLSGVIIGCGKQKIGARVNLGAFYLVGIPTGVSLAFVFHLNGMGLWLGIVCGSISKLALLLWITLHIDWENECEDLFVIAQPPNSSSTSTQFVMKLEKEKEVIFSAWRFIERSASVAEAEALACVEGLRWAVEWRVPQVIIELDCARIVVMMRNAAENRSDLSLIIAGAKGLAQQLNCWKISQVKR >Sspon.02G0003030-3C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2C:32512313:32512960:1 gene:Sspon.02G0003030-3C transcript:Sspon.02G0003030-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRKPLTALLKSATRPGHLLQLHALMLKCSHFPHHAFPTARLLASPLAPLPYALSLFAAVPRPTLFHHTAVLRALSSFPSAASLSASLSVLTSARTRLPELDEFAFQPLLALCAKIPNDAEAASVGKQVHTLVLRYGFLDIVSLRNVLCHFYCSSANMADARRMFEEMPKKDAVSWNTVIGGYIMLRDVGTALQMFTAMRWSGVDVNVTAVITLI >Sspon.01G0025370-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:87767922:87768284:-1 gene:Sspon.01G0025370-4D transcript:Sspon.01G0025370-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMAASLCTSTMVVVVRVATARATTPASVVVVPRLVVSRFIVVPTASATAILVSATPTTILVPAASATTSSTAIRIAPPAATTTTTTTTLVLVRPPLGELLVFLFLILHISIKCLLLCLG >Sspon.02G0032090-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2B:110174003:110175296:1 gene:Sspon.02G0032090-2B transcript:Sspon.02G0032090-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MIHGHAGNETCEKLGTIGTTANLLVYLTTVYGMTGASAATLLNLWSGTVSMAPLLGAFLSDSYLGRYTTIAFASIASFLGMIILTLTSAVPSLHPAAHPKPTAGPSTLQMAVLLASFALLAVGAGGIRPCNLAFGADQFDPRTSAGRRGINSFFNCNVNWTVGLAVPATLMGLSCVLFFMGTRLYVRVRPEGSPFTSFVQVLVAAARKRRLPAPAPADLYDPPHRSSLVSKIAYTDQFLCLDKAAVRTPDDGDDVDNNPINLWRLCTLQQVEEVKCLARLLPVWSSGIVYYIVLTNLGSYNRLTKREGGITQLQRIGVGIVLSIVTMLVAAAVERHRRRVGDSTSCFLLVPQQMLAGLSEGFAML >Sspon.01G0013370-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:44636270:44639917:-1 gene:Sspon.01G0013370-2B transcript:Sspon.01G0013370-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGAAARSALASAGRAANEAVSFVVFLLLDALEVLLCVVYKVADYVVEGAWRPCYCSSRSAASAATGKIVVSERGGSKVVSMLSATRLHLEDISDTLYTRPSVLACAAASASRSASESSSQPRRAPAGVTVHSAIVQMLRGKVGGGVGDGSKHRPYPSPRWSDCHCANCNPADTDRLFVHVEAPPQGTPARSPVMSSRHHHLRKHLTHTLHASIAASTEEDVLFIHGFISSSGFWTETVLPHVSPAARSRRRLFAVDLLGFGRSPKPADSLYTLREHVEMIERSVIERHGVRSFHIVAHSLGSILALALAVRHPAAVRSLTLVAPPYFPVPRGEIGTRYVLRTVAPRRVWPPIAFGASVACWYEHLSRTVSIVLCKHHRLWELAFRVFTLYRVRTYLMDGFFCHTHIASWHTLHNIICGSAGKIDRCLEVVRDQLTCDVTVYHGSDDELLPVQCSYAVKSKIPRAQVKVIDGKDHVTIVVGRQKDLARELEEIWDRKR >Sspon.05G0032160-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:24382646:24389529:-1 gene:Sspon.05G0032160-2D transcript:Sspon.05G0032160-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPMEQPEPEIAGHYYALQVGSYFLTGYYNVLTNQPHLANQFYTDNSSVVRLDCETGRWSFGETVEVINDMMMSMNVSKVEVKTANFLESWGGAITLLVTGLVQLKGYPVRKRFAQNIVLAPKKDGYFIFSDIFKLICDEYDDQYPVADYNCADNMPQVDASYTIAETGSDYLDGEPEAQETVAPAENHVQHQDPLQYKAGNVIYDETHPEEHIPSFPSSTDMKRESPLAPPHPPSSPTLEEPVEEAPKTYASVLRRNVKATMATAETQQAQQLAPQAQSAPVHEKSNLDNHRAVSTPEDEEEFLSVYVGNLSPSTSVFDLEKVFQAFGRIKPDGVAIRSRKEAGVFFGFVEFEDMSGIQNALTASPIELNGRFVHVEERRPNCGFPRGRRGRGRADFSRDQAGGRYDGEYATRSKGTGHQKKSGRQYDSYY >Sspon.07G0022260-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7B:6939734:6940204:1 gene:Sspon.07G0022260-1B transcript:Sspon.07G0022260-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGSGRPSTQTRSCWTAQRQPKTIAPPFLDKKIHSSTYSLLSARLLPPALDHGPSQARRATTSEARPLAGGPARRGTRSLPSHDAQLSACQARRAAASPSRAVTASLPCRAAATGDPSSQAAGSGELYTPTSLHSPEQQGDVALKAHIASVGFNCF >Sspon.06G0010900-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:47214680:47218686:1 gene:Sspon.06G0010900-3C transcript:Sspon.06G0010900-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKESIQVDQYLPDDAAKERDRLLQRRGRDNDHRRSEVYALSLDTKAVEKLASHVGDRDWGTFTATRWIRRRTWHPLLSGTAWKTREHETAWTTHDMPRAKRGRLVSHIRSSINMASGPRNKGWLSIRTNISKQPHRPNDDGTPLTDEIILFIFIGFLEIADLVRCAATCRRWRHLVSGDASFICRTSQQLCFIDKFIPSLIIGFFHKHDATTLSFVPMASASRRFPVLQKPSLSLNMDIDDGLLSSSRIVASRNGLLVIEVQRGKHSRTLKLCVCNPMSGEVHELPALRGKDGLGHYACTVLTAVDYQNKNNNPQPPLSSHYHLLMVYSRLHYTAFRSYSSKDGIWRPEGKVTGAQLGKNQIQLMRNGVIACGGQVAYWITKDLVFGLHLNTLEATMTRLPWSGGNTFIDTDNILLGMTPKGRLCAIQFSRHWYLSTHGKWAPTNEICVITYDNNGSTDNSMLQMSNSLWKTKINIQVDQALKVDALATEVKLQWFCEKSGVVFFTTSYYGKLRSEVYALNLGTRMIEKVASNTKDNDLWNNFYGYEMDHASYLTSLLSQKA >Sspon.08G0003850-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:9918885:9919277:-1 gene:Sspon.08G0003850-2C transcript:Sspon.08G0003850-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding TYGHGTAWSARLPARAARAAGGRRRRPWAPGRRRSPAAPPRRRPRAHAVGVGRALRRCRLRFRPEERRRRRQGRHFFQRRRARAPRIRRVACRRVPRCPRARGAPPVPAGRAGWSRRGQRCHRLLLCPPVE >Sspon.01G0005160-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:12118135:12119321:-1 gene:Sspon.01G0005160-1P transcript:Sspon.01G0005160-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNKSKQLEAVKFIQALNIAHKYPLLPIMRSYIDHAAVAGKMIRIRGDDLATQNAANAKERTLLGTLQKFIKEQKLEELPIFEEANKRMAHLDQQSAERKRTAAAAAVAAQKVSKNIEEQEKKIQELMQPAKRPRPENVVQSSLGQNVYSAGTSTQQFKPQQSILKVGVSNPYQAALTQNVLPAITKISQLVAGSHRPVGIQNQALAVPPQYGSGSLANYYGVASTGPYRSNTLAPGPGALNGPSAQASSRSKLYSGDPLAAVSRSSDKKGSSYKYSLSSMSTYDHK >Sspon.07G0007360-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:19435369:19436960:-1 gene:Sspon.07G0007360-1A transcript:Sspon.07G0007360-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRGGCPATSTRARGGWWTSSKPRGCPRSWPWSGSATAASASWRRWRVTPTTASARGSASTGARWTRPWAIVSRRPSCSCAATATRTAPWRWCRSWRGAREAPGRRCTPEGATGSRTGRSRWRRTPTPSTRSTRCGGGCTTTCSPDQTV >Sspon.02G0017180-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:48756036:48758579:-1 gene:Sspon.02G0017180-1A transcript:Sspon.02G0017180-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VIGVPVTLSGDLRNQFVETTVGFDTVCKVNSQLISNVCLDAISAGKVILGEEVAFSKLTLKEITNKICDGVEARAEQGKYHGVLVIPEGLIESIPEMYALIQEINNLHSNNVSEADIPSQLSPWAAALFKFLPSFIRRELILHQESDNSAQLSQIDTEQLLAHLVEAEMNKRTKEGKYKGRKFSSVCHFFGSQARGSLPSNFDCNYSYAMMSVRRHLRGPGAVPIGRPVIHPSPIDLKAESYAVLREKASSFLLDDFYRTPGGIQFEGPGAGTKPITLTIEEQDYLGDIEILQAYLDKVRTILKPGCSREILKASISSIASVNDVLKFMSAPLNTELPLYHFN >Sspon.05G0010810-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:22120527:22127373:-1 gene:Sspon.05G0010810-3C transcript:Sspon.05G0010810-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPAAVTRHPSPTVLASGPRYCRRGHPPSKVGFRSLAPRLKVNALFGWPKGDTTTRQPIPPAESYTLSGSASEVGAKPREVSISVASSIMDIPAADWDACACDPDDPENFNPFLTYAFLSSLEESGSAVKETGWLPFHVVARDENGHIIGVVPLYLKSHSRGEFVFDQSWAEAYYNYGLEYYPKLQSCVPFTPVTGQRILLRNTSYRDQVFDALVKGLMSLTTKMNVSSLHITFPSQGEFSKLKDSGLLQRIGLQYHWRNRNYKSFDEFLMDLKQPKRKNIRQERKKIPAQNLQMKRLRGDEIKSSHWDAFYKFYRNTTDNHWGRPYLTRDFFHLLGEKMGENVMLIVAEKDDKVVAGALNLIGGDTLFGRLWGCLPDAYFPNLHFEACYYQAIEAAIELNLSKVEAGAQGEHKIQRGYLPVTTYSCHYFSNPGFAAAIGNFLTHETAQVKRAIKVLHDSGPYKEDILKEFAAQQGIDL >Sspon.01G0004060-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:11274198:11274834:1 gene:Sspon.01G0004060-1A transcript:Sspon.01G0004060-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to DNA-binding protein-like [Source: Projected from Oryza sativa (Os03g0171300)] MSSRRSRSRQSGSSRITEEQISDLVSKLQDLLPEARLQSNARVPSARVLQETCNYIRSLHQEVDDLSERLSELLATSDMSSAQAAVIRSLL >Sspon.01G0000670-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:2310448:2311429:-1 gene:Sspon.01G0000670-1A transcript:Sspon.01G0000670-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNMIRSVRRRFTVGHLATVTDPATLRLAAAELLATAIFVFAAEGATLTLARAGRMHGHDKGVVGGLVGVALAHALALAAAVACAANTSGGHVNPAVTFGALLAGRICLVRSLVYWAAQLLGAVAAALVLRLATGGMHLPEYALAGGVSGWQAAVLEAAMAFGLMHAYFATVMDHHPRRVRAGAVVAAPLAVGLLAGANVLACGALEGAVMNPARAFGPAVVGSRRWGNHWVYWVGPMVGAGLSGVLYEHLVAGPAGGE >Sspon.07G0013430-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:47667091:47682979:-1 gene:Sspon.07G0013430-2D transcript:Sspon.07G0013430-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCIRLYANMHIARYAAATESHPLPVRTNPVPRLPVGVPHRTLTSRHTPRAVDTGCPCTAGRQVSPRPSGLPPVTGRATRLRSPPPERNPPWAPRASEHGRRLGRPPSLARCSRLWSSAGFPRSRGQPPKTLSCLRGIHLRTPQFSAGFCCRTGLILRAPTRLLLLGAIDCRREFHQAPARISSFIHGRMRGVRRSARGESSRKAAADRDREVERFTLCAKMSHLTRATSEPCRRARGAAPALRKRPFSAFELVSAREAGRAGGAGFSAADRAYVGGQHIPTKGPWGVDDVDSEAYVSQFSADGSLLIAGFRGSRIRVYDAEKGWKIHKDISCRMVHWTVSDIALSPDQRFLEIHEGLDLTGGDEDEDFGIFSVKFSKDAIDCRREFHQASARISSFIHGRMRGVRRSARGESSRKAAADRDREVERFTLCAKMSHLTRATSEPCRRARGAAPALRKRPFSAFELVSAREAGRAGGAGFSAADRAYVGGQHIPTKGPWGVDDVDSEAYVSQFSADGSLLIAGFRGSRIRVYDAEKGWKIHKDISCRMVHWTVSDIALSPDQRFLAYASLSPTVHIVNVQSAGKESHANITEIHEGLDLTGGDEDEDFGIFSVKFSKDGKEIVVGNNERSIYVYDLATNKVSARIRAHKVSGKTVKRLSWHGSIIRDCTWHPYYPTLVSSSWDGYVARWEASGDDDDPSVLVHGEKRATRYFRRYANPFTDPFM >Sspon.07G0032710-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7C:53864114:53865324:-1 gene:Sspon.07G0032710-1C transcript:Sspon.07G0032710-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPAPSMNAMVDSITNAVESVIHRSSHSTAEHAQASSATASTRALAGVGCSGHHRSPLAATPRLMHCLHLAHPVLASVSRGKVPFDGNRSPEHGRAHGELRSVDSPSPLHLPPSFHAHEHRTASRLLPGPIGDHPRRCSAMHGDGQPPELHFTG >Sspon.06G0030770-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:53858155:53868828:-1 gene:Sspon.06G0030770-1C transcript:Sspon.06G0030770-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAAVVSSSRPPPLLQPTSNATFVSFPRRPLPATTLALSARSQLSLVPAANPKYHNAKVDAGDEDVDGEELLRRFNWQVSRAGVMEEIRRRRRHEDARDKRKRKARSAARRFRRRRVAFLQLSLVPAANPKYHNAKVDAGDEDVDGEELLRRFNWQVSRAGVMEEIRRRRRHEDARDKRKRKARSAARRFRRRRFKGPYPFSDDQGAKEQTTDDEKNDNWELPSGELPSY >Sspon.02G0047640-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:18489473:18489766:-1 gene:Sspon.02G0047640-1C transcript:Sspon.02G0047640-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGGGEVGLLARGGVSGARLTLRKGWMGAAGSGVGVGRKKVLLTRGATVSATGMRTLARARPGG >Sspon.08G0003850-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:10167052:10167276:1 gene:Sspon.08G0003850-3D transcript:Sspon.08G0003850-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLWGWAALAAASASASGQKNDDDGRPDTSSSAAVHALLAFVVWLLGVSLLVALVHVERRRFPRAARVGFARGTS >Sspon.07G0024950-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:33638578:33640706:-1 gene:Sspon.07G0024950-1B transcript:Sspon.07G0024950-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGGGEVAPRWTNHRIGGDVYAVGEFRATWLNRMHGVYLRLSLTSSKEHIKQLLYNLEILALSSEDTQEENVWLDTINYTIVGNLRFVIVKHFIEPTLYDKVKFVYSNNSYSQRILADMFDLDKLEFAFGGRNTASLDITKYSERMRRRDQIRGACKDASGTISPSGQRIQSTT >Sspon.03G0010160-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:34132331:34138387:1 gene:Sspon.03G0010160-2B transcript:Sspon.03G0010160-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAGRVKAAMGFQRSPKSPAPEAAPSPSGTPNRAPPPSGSASTATGSAPSKASALARSFGAYFPRSSAQVRPAARAPPQVAELLRAIEQLQERESRLRVELLEQKILKETVAIVPFLEAELAAKRTELQRCRDTADRLEAENARLCAELDAAALEVTSRKQRIVELEKEMAELVRKQQEAAAADADDCSSSASAPNNEHLESSSAAPNHGASLAQLGAERPYIPAPPPRPPAPFKPNKSYFSASSHPSPATSSSPPSPSSSTSTSSSSPAHSRSSSDTAAAPRPRVVVELSKLPPIPPPPPPCPPPPPPPPPPSRSKRFSPSSSARVNGSAAAAPPPPPPPPPARRPPFGAAAPPGASSSGQSDVRRVPEVVEFYHSLMRRESKRDGSAAAGEPANGGGVATTRDMIGEIENRSAHLLAIKSDVERQGDFIRFLIKEVEGAAFVDIEDVVSFVKWLDDELSRLVDERAVLKHFEWPEHKADALREAAFGYCDLKKLEGEAASFRDDDARQPCAAALKKMQALFEKLEHGVYNLARVRDAATSRYTRFQIPWEWMKQDTGIVSQIKLQSVKLAMKYLKRVSSELEVIKGGPEEEELMLQGVRFAFRVHQFAGGFDVDTMRAFQELKEKASMCRIQRQKQHRHLPQHRLVARA >Sspon.01G0018070-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1A:67523862:67527358:1 gene:Sspon.01G0018070-1A transcript:Sspon.01G0018070-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMIPSIAIVARDADASAAAAQGASDFAAAHFDKIVSINLQQLHHSWDLPLLPQEILCYILRECECKQKQQGAVDEGETEERKQALDDRAKTYDEIWEKIDRINIHDKIDQVNIKIGQVQSKKAEETKRLKATTTGIHLLDKPLGVLHRALQMLSVSNTTTGRSVEEIMQETARMLKKHMENVVPEPPILLDETQYQDILRKVFLDSKSPQAQETSNNTTATATTLGEDHIMEILNNHKLPEGHSVSVEQAKGLLQGGYNHNSAINAAAIEETMEKVKEISWLIEISLLIKGIVDKIKVHLESKKTLIIITDDSDYMISQWEGIRNALSLLTSSSGSAAVIVITKNNQKAKEFCSTTRVPITYSLVGLYHDIVLKITSHRENGGGSINSQIFHDILDRCDPDEFCMRMFAHALYANPSRTHEELRRLHQDLLVSKNSTATATATNATNAKIIFKFSYRDLPREHKTCLLYLSIFPQGYNIKRSALIERWAIEGLITKEDWPTVVRHAQRCFEALIDRWLVMPVDLSAAGKAKSCMVGGLVHEFITRMASKQHILDARLSQLRARHFSTFSGLRLRASDSIDTVVQKLPKYLHKLRLLKLLDLEGCNGLDKKHLKDICSTILHLKYLSLRGTDVADLPSEINNLHELEILDIRQTKVPENATRGIVLIKLRRLLAGQTDPSTLRSAVQIPHKINKMENMEVLSNVKAYSRDGAELKEIRKLGQLRKLGVVIDNKKSHLKHLLWAISDLKECLQSLSITVDETRSEVTDPDQKLLPYELYNHLIQPPKVLQSLSIDGCTEIVRLLTLFGRGSDELAKVTLSRTSLKKKNLIHITMLPKLRCVRLRHDAYTESSLTIDKEEFPHLKNFHVESLHKTELINFENGAAPELEKIVLFRTEIKHLCGVGALPKLKELELKGNKLLVSLPDEDGTAASGELVTEISTLTFKKEEFQHLKYFLVEGPSKQTDIKFEGGAPELEKIVLSDANIKSLVGVSDLAKLREINLKGNTALLSLFATANQVAKVTLSDTFLKQHDLQILAKKPKLCWLELLDNSYDESQLTFSKDEFPKLKVLIVDCSKISNISFAEVSACNLEKIRWSFTMLESLSGIGNLPELKELEFHGGSVPLHVRKDIHAHNKKLIHIKPQPPDK >Sspon.04G0021270-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:80221711:80223341:1 gene:Sspon.04G0021270-4D transcript:Sspon.04G0021270-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHIIEPPCSGRKGVTIEALDKRLFLLGGCSCVHDAMDEVYCYDASSNRWSAAAPMPTARCYFVSASLNEKLYITGGYGLTDKSPNSWDIYDPATDSWCAHKNPMLTPDIVKFVALDEELVTIHRAAWNRMYFAGIYDPLDRTWRGTENEIALCCSSPTVVVDGTLYMLEQSMGTKLMRWQKDTKEWATLGRLSDKVTRPPCALVAIGKKIHVIGRGLSIVTVDVDTAARVDGFLVTTSVGPLVEEDLTPERCMVITI >Sspon.06G0030720-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:47866816:47869569:1 gene:Sspon.06G0030720-2D transcript:Sspon.06G0030720-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding QPSPPEDDDDEAQADGPSQDGAPGAVKKKKKKNKSKKKKDPLQQTDPPSIPVDELFPSGEFPEGEIQQYKDDNLWRTTSEEKRELERLQKPMYNSVRRAAEVHRQVRKYMRSIIKPGMLMVDLCETLENMVRKLIKENGLQAGIAFPTGCSLNWVAAHWTPNAGDKTVLQYDDVMKLDFGTHIDGYIVDCAFTVAFNPMFDPLLQATRDATNTGIKEAGIDARLGDVGAAIQEVMESYEVEINGKVFQVKSVRNLNGHSIGPYQIHAGKSVPIVKGGEQTKMEEGEFYAIETFGSTGKGFVREDLECSHYMKNFDVGHVPLRLAKAKQLLGTINNNFGTLAFCRRYLDRLGETKYLMALKNLCDNGIIQPYPPLCDVRGSYVSQFEHTILLRPTCKEVISRGDDY >Sspon.02G0029130-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:105701125:105702770:-1 gene:Sspon.02G0029130-1T transcript:Sspon.02G0029130-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial import inner membrane translocase subunit TIM9 [Source:Projected from Arabidopsis thaliana (AT3G46560) UniProtKB/Swiss-Prot;Acc:Q9XGX9] MDKSMLGDLDSLPEEDKMRMAAMVDQLQIRDSLRMYNSLVERCFTDCVDTFRRKTLDKQEESCVRRCAEKFLKHSMRVGMRFAELNQGVATPD >Sspon.08G0022730-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:52671652:52674012:1 gene:Sspon.08G0022730-3D transcript:Sspon.08G0022730-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTHAEAGVSLPVPNVQALAETYNRSDEQIPGRYIRDEEGAEEVIVDHDISSAIPIIDVNKLLDPQSSKEECGKLGSACKHWGFFQLKPQVINHGVPNEVICNFRNDMTEFFKQPLEAKKAYSMIPGNLQGYGQHFVVFENQKLDWADLFSLVLRPIESRDMKFWPSQPPSFRNSVDRYSSEAAKLVSCLLKFLAMDMGVEPESFLEIFRGQPQSMRMTYYPPCKQASKVVGLSPHTDRMGLTLLLQANDVQGLQIRKDGKWVAINALDGAFIVNVGDTLEILSNGRYKSIEHRAMVHPARERMSAALFHAVCPDATVGPLPELVKNDGEARYSSISFVDFVKRFFASKLDGTSNLESLKS >Sspon.01G0007050-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1A:19124893:19125687:-1 gene:Sspon.01G0007050-1A transcript:Sspon.01G0007050-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTGRLLLLCAARRVLGLACGTATTRTPLATAATLAAAIVVGGFGAASTPGARVGCRWGGAWRARRGGGSKRSGRRLLPFLQGEFLEDEVITSLQEGGEGLAVTKKGPDVAKAFVEAANHVEDEGAVSYDLAKGSEIICHLLEAPAVISDREVTLNKVAKLRLQVDGTCLPIAKELGLDGEPGVPGDGALDRDDLGEIVGESPDDPGLDHAVHPSPIGGDGIWRGEADVILERKLAKDEQELVAPATEVVEVDVEDDGDESKCC >Sspon.04G0009860-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:29253185:29262344:-1 gene:Sspon.04G0009860-1T transcript:Sspon.04G0009860-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVASAAAAAASLVASSSLSIPDHLRLRRFRLRPRPPPPPLPCFRRRSRGACLVRAVLEDRAPPPAEEDAKRYGLNGNGSGLGYDDAAVQAYLGSNGNGDGSASGDGAAVTQKPAAPASSVAVVPVPVPPAEDERRRKERVEEIGREDAWFKQSSGEVSVAPGGRWNRFKTYSTVQRTLEIWGFVFTFIFKAWLNNQKFTYRGGMTEEKKIMRRKVLAKWLKESLLRLGPTFIKIGQQFSTRVDILPQEYVDQLSELQDQVPPFPSETAVKIVEEELGASVNEIFDRFDFEPIAAASLGSSGTSEWPRGCDQSATAWSEGAVIAEYLQKVDPKSDGAKRDWVAIYDECASVLYQEIDYTKEAFNAEKFAENFKKLEYVKVPEIYWEYTTPQVLTMEYVPGIKINRIKQLDKLGVDRKRLGRYAVESYLEQILSHGFFHADPHPGNIAVDDVNGGRLIFYDFGMMGSISQNIRGGLLEVFYGVYEKDPDKVLKAMVQMGVLVPTGDMTAVRRTAQFFLNSFEERLAAQRKEREMATAELGFKKQLTKEEKFEKRKQRLAAIGEDLLAIAADQPFRFPATFTFVVRAFSVLDGIGKGLDPRFDITEIAKPYAKELLRFNEAGVEDAKKRWERQSRAFYNLFRQPDRIEKLAQIIERLEQGELKLRVRTLESERAFQRVAAVQKTIGYGVAAGSLVNLATMLYFNSIRGPATIAYSLCAFFGLQVLIGLVKVKKLDRQERLITGTA >Sspon.07G0000930-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7B:10998242:11002254:-1 gene:Sspon.07G0000930-2B transcript:Sspon.07G0000930-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:At1g09850 [Source:Projected from Arabidopsis thaliana (AT1G09850) UniProtKB/TrEMBL;Acc:Q0WVJ5] MPSVLHLLLLLPLLLAASAAAAATAGASGDPPAYEALFDAWCAEHGKAYATPEERVARLAVFADNAAFVAAHNARANAAGGGAPPSYTLALNAFADLTHEEFRAARLGRIAAGAAALRSPAAPVYRGLDGGLGAVPDALDWRESGAVTKVKDQGSCGACWSFSATGAMEGINKIKTGSLVSLSEQELIDCDRSYNSGCGGGLMDYAYKFVVKNGGIDTEEDYPYREADGTCNKNKLKKRVVTIDGYSDVPSNKEDLLLQAVAQQPVSVGICGSARAFQLYSQGIFDGPCPTSLDHAVLIVGYGSEGGKDYWIAQVQPNAVCLHTALKDLPVVARGVSWAFAFPGAVANWTMQFAARIKNPAALMTILFAIQIVGCVSRRKRQRKRKRKRRGRGVDWVRKCLVRVTVGEPIHPLEIFTVLLHPPATPREIAGHLQAERVMFLVSTSPDD >Sspon.06G0021260-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6C:14921400:14953703:1 gene:Sspon.06G0021260-2C transcript:Sspon.06G0021260-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVARRTECVTHASTPALAPTPSPTPERKKKAARVLVRRSPASETTSSPPTIHVIDRLGLHAPPEVGVSITPTMTDIESSGELGISKLGMSKQRPKGI >Sspon.04G0013230-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:57635055:57636125:1 gene:Sspon.04G0013230-2B transcript:Sspon.04G0013230-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHAILAGIPQSLRPAVLIASTCAVLLLATALLLPRAPPPAPLLTTDADADADAVRLDARVTRRNGNEVLWQLPPPSTPLRAALFAAPGCTIRATDFFDASPGCPRCTGLPEERRFTRAALSRGYAVLAVSSRAECWSLDDGGGGDGEDGSELAAVQSIIKWWTTEKYPQLAGLPLVGIGASSGGYFLSALAARVKFSSVAVMIAEGVYGAMAEIPTGYPPALFVHMPKDTERAQLVADSMGRLREKHVDVREIRCDDFAVSAEFLAGRVPGLTRAFADALVDVLLRKGFVDEKGFLKKDGRRTLWKEAAEEAKVLPEGFGLERHVTEELNVAYAYHEFTSLKNTEIFQWFESHMNH >Sspon.02G0016950-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:110513921:110516143:-1 gene:Sspon.02G0016950-2B transcript:Sspon.02G0016950-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGFRKADPDLEAGGSSLLYPGMTESPELRWAFVRKIYVILAVQLAMTAAVSGFVVKVPAVSNFFVSSNAGIALYIFLIILPFIVLCPLRYYHQKHPVNLLLLGLFTVAISFAVGMTCAFTSGKIILEAAILTAVVVVSLTAYTFWAAKRGHDFNFLGPFLFAAIMVLMVFSLIQIFFPLGKISVMIYGGLASLIFCGYIIYDTDNIIKRYTYDEYIWAAVSLYLDVINLFLALLQLLRAADS >Sspon.01G0024570-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:87786052:87787761:-1 gene:Sspon.01G0024570-1A transcript:Sspon.01G0024570-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTRGRARTAPAVPSVCFLLLLLTSSSLLAPTSQGSQGGSILLGAASSSSGKGRGNSCEELPSISGGEARCAYLRAHSPCSPAGYVDYLRLFYCAFAGAPAAACAALALWLVVLFYLLGDTASEYFCASLEGLSAALRLPPAVAGVTLLSLGNGAPDVFASVVSFASGDGGGVGLSSALGGALFVSTVVAGVVALAVGGARGGVAVEWRGFVRDLCFLLLALCYLLAVLINREITVWVAVSFVSLYVGYVVLVWTSHCCAEKGKPDAASLSAPLLLDDDDDDGVVPSLPSHSKTTEAPGTATSRVRAMLHWLTGALCMPLYLPRRLTIPDIAGHRWSRPYAVASAALAPVLLAFTWTSSQRHNPMSSHSLAVLVGGAVLGLLLASLAAATTDANSPPRGRRRRVPWLAAGFVMSVLWAYTLARELVALLVSIGYVVGIKPSVLGVTVLAWGDSLGDLVSNVAMAVHGGAGGAQTALSGCYAGPLFNTVVGLGLSLALAAGAQHPAPFVVPADAAAYEAVGFLAAALAWALFVVPVRGMRIDRVYGVGLIAIYLCFFAVRVCETLGLWS >Sspon.07G0029760-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:78692454:78696275:1 gene:Sspon.07G0029760-1B transcript:Sspon.07G0029760-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRILVSVGAVVEDRLDLLFALMEGKDITRLIAAGREQLTYACSRAAAVVVTTPAAAATDAEEAAKKEEEEDVGIVRHRTHAVAISVALPSRAAAALARHTCDPAVPPRSNWLCHDRFITRWAAQAMRQYFFLTGGATSLIWSSPSAFCFVMGTGKTLLKTSTTLMESKRVMTAFGASLVGR >Sspon.05G0028920-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:68861664:68862623:1 gene:Sspon.05G0028920-2D transcript:Sspon.05G0028920-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRRPEFSFPVGSSPARGGLPPRPPANPTAAPRYGDDEEVRWLQASLQASPDPGYSYRSGGSGSGSGTPSPQLWASRPEHHHHQLHRQYPASAGSSPSRAQAIAGYRREMLDLVRGLPESAYELSLRDIVEHHPSPPRAPLAPPSSAGLLTSRAVPAAQEKDEPAGAGKDAADAEGGGNRQRGSKGKKQGKKQRTMRKQRSRGLERSVSLDTGLLIKLFLPLSIGGKKKKVSPKPDDDKKKKKSDKKQGTKKKEALATAAAPAAQQQEEWWTKSEFSEAGSSSRTSSTGSSNSNSSAASVRNVVNGGANPRAPARSWSR >Sspon.07G0019750-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:69929220:69931759:-1 gene:Sspon.07G0019750-2D transcript:Sspon.07G0019750-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLGELRTSFPDLAVGLPTLTEGQTNSSGDLSSEGELQVTCFTEDLHDVILHFQIVRFPKQIYVWVGCNTTKFGHLYAAATTRPDNRVSVTSVVGGTSDNTGSSMARRLGRCGEEAGGEAE >Sspon.02G0042760-2D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2D:81220499:81222505:-1 gene:Sspon.02G0042760-2D transcript:Sspon.02G0042760-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATNATSVVATESREGRKVGPPWELLVKGIWTFYLGASITNKRFFHGLFRISPSENHGPFTIICSKIMFAPFVLICAKIWFKFYSFRKARKSFALGRNPGLVFGYTKELQQVRSQHGGATTAGEDALFSPLLVMGEDSRQVKKQPWGYVFSDTWTMVIDRTGLVTLDRVWKLESVDPTSKDLCLSFALFKLLRCQFARYDIANAVGSTGHKFFWRLLLKDGEHNRVFRVIADELSFVHDYYNSSLPTSYAKCWLPVLSILISLLSIGYCVVAAYFIVVFAGLVHKHGRSQIHCEFWCSKIQAVSQLHNKEFGNLYFDVLPEFLLLVLVLVAEVRDITSYICSNWTKVALICHHVKCASLQEQQTKYQWFGLLLRCRCKIVNNWDEKIGQCSVLVLHPTTRTNFIGLLSRVFHHLPDEKMKVNMPAAVKACIMDSLRSTASNTVCQVGNVRTFLQRSQAGTAFLWACNGKMSTSDMILTWHIATCIFEVRHPYWDDIEQGSSPISIQHKSAATHLSRYCAYLMTWSPELLPDEVAWTKSLYEDVKEDTERVLNVRAATGPPLMPKAEYHDLVQLLREKSNHLVVKNGVRLGKQLVELVEGEETAWAILAGFWVEMILYITPSNNLKGHKKAIARGGELITLLWALLFHAGISSRPGEAASTAGSGIGV >Sspon.04G0033800-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4C:65914716:65914931:1 gene:Sspon.04G0033800-1C transcript:Sspon.04G0033800-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATPPQNNLLPAPAQELKIIVYERNVVREVRRSELIFVPFCLLEAQGIFEEPIRYLAQCTLYSDKLSPIG >Sspon.02G0019260-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:58454749:58458640:1 gene:Sspon.02G0019260-4D transcript:Sspon.02G0019260-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAQRALLDELMGTARNLTEEEKKGHKELKWDDPDVCGPYMVRFCPHDLFVNTKSNIGPCSRIHDLKLKESFEKSPRHDTYMQRFEAELAQQCEKLVSHRLLLQNFRVVHLPLFILLQVMDLDRKIRRGRERLAHDSAVPMPIPGKIAEQLSVREEQVKKLLEQIEELGEAGKVDEAEALMRRVDILNAEKTALANQADNKVAMLEKKMELCETCGSFLVADDALERTQSHVTGKQHIGYGMIRDFLAEYKAAKEKTKEEERLAREQKAEERRKQRGKEYDSGGRDRDTRRERSGERDYDRDLQYERSRGRDRPYVYRERGSEHRSNPYRNGRDSERGGHRYRSGDMTNDRGRMRSRSRSPARHGYGRSRSPDH >Sspon.04G0007310-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:13664977:13667273:-1 gene:Sspon.04G0007310-2P transcript:Sspon.04G0007310-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHQTLIPSSFSPSVSAASSSPFLHASNAATTTAPAPGGSCTPAFPRGSSAMPSYAHHHSSPLDDGRMDALKCSNSVPPEEDAAAAGALVEKDGFSVEDLLDLEEFGEPDKDGAEHEDGAPPVAAAVQEKSKADSQPLSVVTYELPPPPEMVGLPSHDVEELEWVSRIMDDSLSELPPQPQPPAAVVASLAGRPPLAQQRRPPLAHDGAYRAVAPPPPPGPLRTPTICALSTEAMIPVKAKRSKRLRGPGWSRSGASFLSDSASSSSTATTSSCSSSGSFSPFLRLDSPPFGGLELGEGFYSHLLPRPPPSKKSKHGAKGNKHKPKKRGRKPKHLPPHHPSAAGAAASQQPGPSDRRCSHCGVQKTPQWRAGPEGAKTLCNACGVRYKSGRLLPEYRPACSPTFVSSIHSNSHRKVLEMRRKKEGGMVTTAAPAVASF >Sspon.05G0004410-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:8061384:8061722:-1 gene:Sspon.05G0004410-2B transcript:Sspon.05G0004410-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MCDVSMFGYGSHLPSSLILNMKCSTQLMASPGASAPAEFTQMEAARQSLIAISQSIPEIEAPVIRPPNGGGGIDENGHEDVAEQRYRAKLISISNQSPDARPTLCPPKNGAA >Sspon.07G0004580-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:7237307:7243774:-1 gene:Sspon.07G0004580-3C transcript:Sspon.07G0004580-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VQKQANFMGQWVAIVWQGYMGRRIDIGWVSGMKQQETDSWQPFSSSTLPVQNAGGESEADPWCKKTSAEDDSDPWGKKTDGDSDPWGKEAVSAADGDSNPWGKETVPSASGNSDPWGKKVVSSADGDSDPWGKKATSSAVEVWNTSATQKEGSSGNAWDKQAGVGGCDAAGSSWDRAVVNKESEKSDNWGEACRVMDMGTGADTDPWGSKVKAVDMEGPNSWEKATVPPDSKLEDVSQGWGRPKGNSTEDQTKDNVSKDVDNNRAWGSSLPVTEDGNWDKSKENTSDGAGGWDASAANWNKSSVVANAQDEGWGKGNWSSAKSEETNNEIGNWNKAGASDQAGDSNWDKPKSFGGDGWNKGDDQNSSWNRPGNFGGGRGFGRGRGRGRGQESGDFNGGNDQGSWKNSWGGDNAERPSWRSDNQVDKEAGDSGGYRGRGRGGRGQYGGRGRGRDNGWRNDDRSDSVFGREQNSGDGPKWGGGDEQGDPWASKVSSTEGEGLQNDTWANKIGSNEGKEQENDPWASKMSSTVGADDKDGSWSTTAKGTPSKEKADDPWNSKGGNDDNKKSDTWGAGSSGGNQESSWCKPNFSLGDQEPTWNNPRFGDDDNGNNRGGFGRGNRGRGRGRNFGDGGGGSSWNGGNRNDESGGGRSGEPWNRRDFDGGRGRGRGRFGRGDRNQDNFGSGDGGSWGSGRGDGGSWGSGRGDGGSWGSGRGNGGRGGYRNWNDNNERKPFGQGGGWSQSSDWNANKAFSNSKPSWEAQNTSGDDQAGKSDTNNSWSQNRSLSILGQPSGSKSKPSWEAQNTSGGDDQAGKSDANNSWSQNRSSPSILGQPSGGANKSSAWGAPSGGAGGGSSWGKSNEDNWNSSGGSAKEKPSWCGGSEVPPKKEVDPWGKGGQGSGSQGGGGGSSWDKAADDAWNGNKGTDTGGGGW >Sspon.02G0003520-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:11783814:11784877:-1 gene:Sspon.02G0003520-2C transcript:Sspon.02G0003520-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding METTSMPAAADASSSSPLDLRHAPLLLFDDHGEGKATDGGGMFLLYSIPSKQEGERDEGSPILDHAAGLGPHGGPWRRRDFPVGPVHRRKDQPAPDREGFLGGDDGLKSKRCLLSCKSLVHHHRPSRSCLVLVVDLADTVLWYCRLGVGDGEDVDRQWLKHEYGTVDGMSHLGWSLTSVGDEFLMDLVWTDRVVTLEFPPEPQFTVIPVEAVDRDRWPWPPHNGTSKYAWVESQGCLFCVRFCYSDHSLGNRSIVSVEVSKLDLSARAWAKVGTLDGRAFLLVHPQFGASLDPHEAGSGLKGDCIYYCMPDDDALHVYDIGRGTTSLRNPGPYIGDHCSTKVLMPTTLLN >Sspon.02G0014340-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:38122365:38123871:-1 gene:Sspon.02G0014340-1A transcript:Sspon.02G0014340-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCWISHWRRTMEEEYAALLANQTWELVPRPPGSNVVTGKWIWTHKRRADGTLERYKARWVLRGFTQRPGVDYDETFSPVVKPATIRTMLSLVLAQSWPVHQLDVKNAFLHGLLTETVYCSQPAGFVDSSRPDMVCRLNRSLYGLKQAPRAWNHRFAAFLLTLGFVEAKSDTSLFIYHHGADTAYLLLYVDDIVLTASSEPLLRRIIAALQQEFAMKDLVLTFTRPDITYAVQQVCLHMHDPREPHLTALKRLLRYLRGTLDYGLLLHRASSADLVVYTDADWAGCPDTRRSTSGYAVFLGDNLVSWSSKRQPVVSRSSAEAEYRAVANGMAEASWLRQLLAELHTTPSRSTLIYCDNVSAVYLSTNPIQHQRTKHVEIDLHFVLDRVAMGEVRVLHIPTTSQFADIFTKGLPPSTFTEFRSSLNITSG >Sspon.02G0027310-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:98969827:98974650:-1 gene:Sspon.02G0027310-1A transcript:Sspon.02G0027310-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding YLDENKQLILAILENQNLGKLAECAQYQSQLQKNLLYLAAIADAQPQTAVSRPQMAPPGALPGVGQYMSQVPMFPPRTPLTPQQMQEQQLQQQQAQLLNFSGLMVARPGMVNGVPQSIQVQQAQPPPAVNKHDAGGVASEPSGTENHRSTGGDNDGGSD >Sspon.02G0016550-1T-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2D:40003749:40005809:1 gene:Sspon.02G0016550-1T transcript:Sspon.02G0016550-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDATRCLLAFLLLLLQAIAGASSDTKHLIAARFALRDPTGALAGWAAATNRSSPCHWAHVSCANNSTAAVAGVNLYNLTLGGAFPAALCSLRSLEQLDLSANQLMGPLPACVAALPALIHLNLAGNNFSGQVPPSWGAGFRSLAVLNLVQNMLSGEFPAFLANLTGLQQLQLAYNPFAPSPLPEKLFDLAGLRVLFIANCSLNGTIPSSVGKLKNLVNLDLSSNNLSGEIPSSIGNLSSLEQIELFSNQLSGTIPVGLGGLEKLHSLDFSMNRLTGEIPEDMFTAPRLASVHVYQNNLSGPLPVTLGTAAPSLSDLRIFSNQFSGPLPPEFGKNCPIGFLDMSDNRLSGPIPATLCALGKLNQLMLLDNEFEGPIPVELGQCWTLVRVRLQSNRLSGPVPPKFWGLPNVYLLELRENALSGTVDRAIAGAKNLSTLLLQDNRFTGTLPAELGTLDSLQEFKASNNGFTGPIPRSIAKLSLLYNLDLSNNSLSGEIPGDIGKLKKLAQLDLSDNHLTGNVPPELGEIVEINTLDLSNNELSGQLPVQLGNLKLARFNMSYNKLSGPLPSFFNGLQYQDSFLGNPGLCYGFCQSNDDSDARRGKIIKTVVPIIGVGGFILLIGIAWFGYKCRMYKMSAAELDDGKSSWVLTSFHKVDFSERAIVNSLDESNVIQAVQARCTRWLLASR >Sspon.08G0003860-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:10993158:10995518:-1 gene:Sspon.08G0003860-1A transcript:Sspon.08G0003860-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAARASSRRSPALLRGFHLLCPRERKRIESRAEHALGTADFRPGEERPAAMQWCWSLPWARAHRPAPQLERRRLVPALETSGMYRMAQVTAHHYHYQREKAEF >Sspon.04G0036240-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:25849025:25850108:1 gene:Sspon.04G0036240-1D transcript:Sspon.04G0036240-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVLETLAGVGPVAPPQEGTGVAALCAVPRRAEARECGRSARGGAGGGATPQDGAPLALWRSGRRCGKAIECSGATGSRPLAGGREVLEQKTGAVQNSPPDKTAPEPSPWSPVKRKAQDVGPFDCTKFSKNIRTSGT >Sspon.07G0016330-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:62376036:62379705:-1 gene:Sspon.07G0016330-2B transcript:Sspon.07G0016330-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLPPPPAFLPFLLLLSLLLLLPASTISTTQTNATLPSPPLFPPPPPPAPRPPHRHHHTASPRARLTPPPPPSLPPPPPYPTPTPVAVPPAQAPPPTPPPAPPVTTSPTPKYPSSSATPSTGDPYPFTNNPFFPTANSPPPPTPAAETQPSGDGGLPTFPANISTLVAPTPRSSGSRRFPVLQALLLSLLSLCLLLLSALLSIHLVRRLRHRGGARSGAAASSASAAHRRAASNHDDEDDGDEEGRSLKPPPMPTSSTNPSTEFLYLGTLATPPPSGPQHPGTSSNLRPGSPELRPLPPLPRVGPPSGEFASRSSASDPSTVPPAAAADASSSSLSPSSPSASSPTLGSSPVHFRPPSIPQPRGRAPNPSPPKRRPSPPKGAAEPVAAHAWNPFVPLPPRPAVASSDDGDSDQMMDLRKLRPLHSDKLKPGSLHMKDEVIQLYLNNSAAVAAPREVCLLGAHRCHGIGMIVGALGVSKEQLRDALLEGNAHGLGVEALRMLTQMVLSNEEELKLKYFKDDSLTRLCPVDAFLKATLDVPFAFKRVDAMLYIASFYLEINQLRMSYATLEGACQEMRSSRLFHKVLEAVVNFGNFMNTNSGSPCSKGLEPNTVLKIADVKGADGKAALMQFLVQEIVKPEGYNVMEDGSGTCKMNASILQYDAECRKHGLEVVTKLAAELSNTKKAASIDITRLSQSVSELGMGLGKVHDVVRLNSVVTSAESARRFHNSMSTFLRHAEEEILKLQSQESICLSSVKEMAEWFIGGESGNDEAHMFRIFAGVREFVAMLDRICKEAGEVNSNNWVGATTASWMAAPMGMTP >Sspon.04G0022870-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4B:9233514:9235724:-1 gene:Sspon.04G0022870-1B transcript:Sspon.04G0022870-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKTGIITHSCGLQLALGRHSALATGSTPAPLHTSADCVQRSHAATASASPGARVLSPPMSTLGTTPRGSQLPRCGSATTTSIPATPCGTSHHAVDLDRRRRRAAGVVQRGRVPQGRRGWGGEGARATVPVGEMGWPWWPQPWRAPGRLVAWLANGGGDSRRRPLGKEIFAESLTEDPRQRLTAVSLVGPLPRALFAESRALGKGRLCRELTFAEDLTLGKEQICRRPFFAESPALGKEIFAKSASLPRARLSAKSSLPSARVFALGKDNPSRQRLCFP >Sspon.06G0002620-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:6711370:6712596:1 gene:Sspon.06G0002620-3C transcript:Sspon.06G0002620-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAILKRHSSTDTDSAMSTAAAGGEASAAVAASLSDDMLAEIILRLPVDSVARSKCVSKAWCATVSDGYLRRRLPLQLSVVYFPDDADSASARGKTRPRFACADSAAGDSGVGLLRDRDLGFFPFLDVSVVCDACNGLLLLRAAGTRRFYVVDPVTRRWAALPSPSRDPRLSMLAFDPSSGSGYHVINFTGRWRDRGGEVEVFSSETRAWSLRDAEFGVPAASLSGSVHFHASAVYVLASDPDCVVRMDVTAGLECAVAELPEPADGGGDGRLAHSSGKLHYVATDGALLLKVWVLDGESPALRWRLKHAVKLGDVVELEGGCGGRGGEARFLALHPEKDALYVWSAGKLQLLEYDLTRKEVTGAWAFGKGEKNRVVKTWLVPSSMYLSDCLPLADDAHVQEQCQGLNC >Sspon.05G0006970-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:15446429:15448632:1 gene:Sspon.05G0006970-2B transcript:Sspon.05G0006970-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVLLSWHDRTAARGSWNGTNREGKGADEGEPKLETNSGNYCWEAIAAGGRWLPLPHISSRQQQLWSLDLRRGIYGFTGAPGPPLFLLASPRPSHWSVLSWAAHHLKKQTALHHHQHQGATTKHTATPHDPTHSTGRRGLDRPELNCLLRPSGVPACCGVRADIRSDLGWVLGAIGTQLVQMADDPNMNFGAFSQSLCNQHVVSFQTSVATSGSGGMPTYLDCSTGMDASVGMLTTPSVVVSTGSSNMPADSGQSLKYGGPLAADWTQLELEILRDGMEKYVHEQGIMKYIKIAASLPNKTVRDVAMRCQWVG >Sspon.02G0000360-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:2714285:2716995:-1 gene:Sspon.02G0000360-2P transcript:Sspon.02G0000360-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCCFPCLGGAKKKEKQPPEKPQIRPASVVDAEKPKQGPSSSLTNQDSFDFNKESANKGIVLSNGSGHHQIAAQTFTFRDLAAATSNFRADCLLGEGGFGRVYRGYLDSVSQVVAIKQLDRNGLQGNREFLVEVLMLSLLHHPNLVSLIGYCADGDQRLLVYEYMPLGSLEDHLHDPSPDKARLDWNTRMKIAAGAAKGLEYLHDKASPPVIYRDLKCSNILLGEGYHPKLSDFGLAKLGPIGDKTHVSTRVMGTYGYCAPEYAMTGQLTLKSDIYSFGVVLLEIITGQRAIDNTRAGGEQNLVAWARPLFKDRRKFPLMADPALEGQYPPRGLYQALAVAAMCVQEQPSMRPLIGDVVTALTYLASQTYDQEAHANSRLVAPGTPPRTRTRNRSHGTADQRGSG >Sspon.07G0011420-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:45508801:45511641:1 gene:Sspon.07G0011420-2B transcript:Sspon.07G0011420-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGVGDAYGEDRATEEQLITPWAFSVASGYTLLRDPRHNKGLAFSEAERDAHYLRGLLPPALASQELQEKKLMHNLRQYEQPLHRYIAMMDLQERNERLFYKLLIDNVEELLPVVYTPTVGEACQKYGSIYRRPQGLYISLKDKGKILEVLKNWPERSIQVIVVTDGERILGLGDLGCQGMGIPVGKLSLYTALGGVRPSACLPITIDVGTNNETLLNDEFYIGLRQKRATGELLEEFMTAVKQNYGEKVLTQFEDFANHNAFDLLEKYRESHLVFNDDIQGTASVVLAGLLAALKVVGGTLADHTYLFLGAGEAGTGIAELIALEMSKQTGSRIEECRPKIWLMDSKGLIVASRTDSLQAFKKPWAHEHEPVATLLEAVQSLKPTVLIGTSGKGGTFTQDVVEAMGALNEKPVIFALSNPTSHSECTAEQAYTWTQGRAVFASGSPFPTVELDGKVLVPGQSNNAYIFPGFGLGVVISGAIRVRDDMLLAASEALAEQVTEEHFAKGLIFPPFTNIRAISARIAAKVAAKAYELGLASRLPRPDDLVKYAESCMYTPAYRSYR >Sspon.03G0024180-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:73710866:73711396:1 gene:Sspon.03G0024180-1A transcript:Sspon.03G0024180-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNMLPCLVQQAGTAGALAPAVNPKRRLISLKLLMKAIHKMKKRPRPGKAAAKIDSKSSSFLASAAAAVEDGKGGEVLDEARSKAASRTNNPKGAVLRSRLHGRSSGGLVKKGKGVVRVKVVLTKEEAARLLSLTVGGQKHTAAQIVAEMRKMEARRAAANAAWRPALASIPEESS >Sspon.08G0021930-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8B:44386795:44387343:1 gene:Sspon.08G0021930-1B transcript:Sspon.08G0021930-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDHSDSLASPQASALISDMWANAHQTPRFSAKVSGSVPCCCVLVHRKLVSCATSIGFQWPTEYSASRMGTVRAPRACLRPRLSLPEFHKTTRPQRTALYSLAQQASTATQGRGRRKCQERRRGIRLLVVGGFAAAGRNVAVVAARPHRDRPATNSMGGRTELFLPQCWMLPRRVSRASATG >Sspon.02G0001870-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:6369331:6372195:-1 gene:Sspon.02G0001870-1T transcript:Sspon.02G0001870-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SLALLPPISTNQPYTTPEHSQNVYQQVPQPYNVPSNPYSEAYPQPPTGAYGYNDAYQPQPANMFVPPSTPVNSQQQPGPSPVPVPQHTVKTFTPANPAGLKNPGQYQQPNTLGSQLYTGAANQQYSSGPSAPYPSGPPTTFHQPVSPVQYQPAAPPVSSFGPSAPVPGTVPNQMFPHPAASNSTSRFMPSNNQSFAPRPGLSPAQPSSPTQVQAQPAPPAPPPTVQTADTTKVSAELRPVIGTLTRLFDETSKALGGSQATQAKKREIEDNSRKIGALFSKLNSGDISPNVSSKLIQLCSAIDASDFATAMHLQVLLTTSDWDECNFWLAALKRMIKTRQNFRIQFCTHFFCCLPEMIALAVQRVCQHSGVILLLCSRLLILPRRFRGKGVYFVVVIRACRTD >Sspon.08G0022670-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:52608931:52614396:-1 gene:Sspon.08G0022670-3D transcript:Sspon.08G0022670-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGSTNPFGQSSTSPFGQTSFGTPQGFGQSSTAANNPFATKPFGSPMTTFGAQTGSSLFATTSTGAFGQQQSTPAFGTTSTGAFGQQQSTPTFGTPSSSPFGSSTPAFGASPTPAFGATSSGSLFGQKPSFGGFGSSPSQSNPFGSTFQQTQSTFGSSTFGSSTTPAFGTTTMPAFGTTTPAFGTTTTPAFSALTTPAFGSTSTSLFGASSTPAFGSTAFGTSSTGFGTSGTTAFGVSSTTPGFGSSSTPSFGTSASTFSFGSSPSFGQTTVSSGSTPFGTTPSPFGAPAPAFGSQTAAPAFGQPQFANQAGGTRIKPYSQTPDVDSATSGTQPAAKLDSISAMPEYKDKSHEELRWEDYQRGDKGGPNTSGTPAVAPSFPSSTPQNAFVSTSNSNPFNQPATNPFSTNNLFAPKPASTITSPFSTASFNNVSVASPSPFTSSSSTTMFGQTGVSTFPASNSPSLFGNTNPAPSTSPLFGTSMTNNSSPFGSGSSLANTQSAPLFSSAFAQQTSSTPAFSSGSLFGSTNPGGLFSSSGPSLFPTSTFQPSPPAQTSNIFSFQPAIQPAASTGGFPGFSNTMNQALMGQPTPSQSSMVMQPAPVSNPFGTLPAMPQMSIGNGGSSPSVQYGISSLPVAEKPLPSRSLSMAVPRHLSQRRIKLLPRKYNPISDSKRGKSAMSPSIRPPAVENDNQHEARAMVQHGHGNVTSVERLLPKLPRADYFTEPSVEEIAAKERAEAGYCGRVRDFVVGRHGYGSIKFLGETDVRCLDLESIVEFNNREVIVYKDDNKKPPVGEGLNKAAEVTLLNIKCVNKKTGEQYCKGARVERYREMLVKKAEEQGAEFVSFDAAKGEWKFRVKHFSAYGL >Sspon.08G0001810-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:3830204:3833345:-1 gene:Sspon.08G0001810-3C transcript:Sspon.08G0001810-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box protein SKIP31 [Source:Projected from Arabidopsis thaliana (AT5G45360) UniProtKB/Swiss-Prot;Acc:Q9FHK0] MADVQGDKEAGLEDDDFPDDGPLDPEEPGEGGEPRSGGDEEEEDDVDGLASFLESEILSGSSDQDPIDQEQRQQEEDGDAAKNKRKQGSGPDGDGCSGSCSEGEQTKRARREEMRRQAKGKAVAPQIDTGMFSNIPPELFLQIFKFLSSEDLISCALVCRFMNAAASDETLWRRLYCMRWGLASNAKFRECAWKNLYIQRDREDMVEFVRNTPTEFKEYYIQMQAAKRSQVPRPSEVNDDKVMLDKTVADQVSSWKNSRGLTDEAVKGHSCSGNTCSYTQIGDAYICEKTGRVHVCDDACREFVLDQSSGLLLCTISGHCFERWLCPDDEWDADDTFQDMQQAVVADEAEPFMGSGRYARAIMLGYNCTDEKELEDALGLC >Sspon.08G0003750-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:9697043:9699797:-1 gene:Sspon.08G0003750-3D transcript:Sspon.08G0003750-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAISMLGVSEAFALGQNLGIKATTLTDIFNCSSARCWSSDTYNPVPGVMEGVPSSRNYSGGFTSKLMVQIDTNAKDLDLAMASASGVGFKCPMGSEALEIYRKLCEDGCELKDFSCAFRHHYAGKDEDVVSGDRDMTFTFVKGLTKDLREIKGRETKRLLTPPHPRFRPAPSALNLQPTLIAHVGGRRGKLDESRVREIVTDAVVIERKFICDTLPVAQNPEDLQQV >Sspon.01G0011670-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:38997476:39001354:-1 gene:Sspon.01G0011670-2B transcript:Sspon.01G0011670-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VTALNKFYTTMNSPSQLTNWVPQNGDPCGQSWLGVTCSGSRVTTIDVSNNNLGGSDIPYNLPPNLERLNLGHNQLSDINVMFDQLTNLTTLDFSYNSFSGNLPESFDSLTSLSTLYLQDNQFTGTIDVLTDLPLTDLNVANNQFSGSIPDKLKTISNLQTSGNSFSNSPASATAPPSYNPPSRPSPSRTPSHSNNNNSPSRESDTNNGGSGGKSSKVGGAAVAGIVISLVVVSALVAFFLIKRKSVRRQQGCDPEKNEHLSPLASRKIKQLRPIRTVSLSPTTKELKKNVSMNLKPPSKIDLHKSFDENDPTNKPAAEKVNVSSIRATAYTVADLQVATKSFSADNLVSEGRFGRVYRAQLCDQKILAVKKINFSAIPGHPSDFFIELVGNIAKLNHPNLSELDGYCSEHGQCLLAYEFYKNGSLYDLLHLSDGYSKPLSWNNRVKIALGSARALEYLHETCSPSIIHKNFKSSNIMLDDDLNPHISDCGFADLIPNQELQESDDNSGYRAPEVTMSGQYSQKSDVYSFGVVMLELLTGRKAFDSSRARSQQSLVRWASPQLHDIDSLDQMVDPTLEGLYHAKSLSRFADAIALCVQPEPEFRPPMSEVVQSLVRLVQRASMGTALSSEWNSCLFDESGDHTL >Sspon.04G0005310-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:11745134:11751228:-1 gene:Sspon.04G0005310-2B transcript:Sspon.04G0005310-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:U-box domain-containing protein 5 [Source:Projected from Arabidopsis thaliana (AT4G36550) UniProtKB/Swiss-Prot;Acc:O23225] MFFRGQDRRSLRASIVSRVLESTLRLWIHCFDRFIKQVRGDLHEKCHHQDWQSSLWMANGNNEVMGISQRKSCPKVHSSMCSELTLMLDKVSSILPSIEAARPGCKAGIQELCNLYNIVDKGRFIIQHCIDCSKLYLAITGETIVARCERIRDSLRQSLFHIQNMVPPALANQLTSPKAILIERRAIKKLLDKVSGNDRKKEGVLKFFLYLIKKYGKSISQDSGEQNENLQSESQSLTLSTPSCDASAPGKCYTPTDFQIYEDHSNVSGSATPPPEFCCPISTKLMHDPVIITSGQTYEREYIERWFNEGYDTCPRTQMKLENFSMIPNTCMRDLICNWCKEHGFTVSDFIPPSENAYGYLPEQLHGYSMSSLHNVSVPLIAGKANSFVIDHSNTSVALSDASYVSNASHARDMEDSKDISQFSWNADYQKYLSFHNFNQEMFLNFFHELSMLPLELQDKSIKDLKNVLDYESEVSYAMVSNGFVEAFLEFLRNDTGSYSVQAQEAGFRFFLAFLKNSRAKVQAMNEELFHLITSFLDSDLKIEALLTLHELIKHLSCPRSHVMVSAVSPPLFKILASEDTEGLELALKIICELSCDADIRSSLVSMGIISKLVPIFTEGNFVECCLKILRNLSDMEEAVVQITRTDRCLASVAEYLDTGSPTEREHAVVILLAICSCSAEDCLLVMKEGVIPALVDLSVNGTEEAKSCSTKLLHLLRDMRRSDQFTNSCSQEVAATGTVEDAPNNSVHKQPVSKSSRFFQRKLNIFSKPRSLTLF >Sspon.02G0047840-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2C:19785855:19786676:1 gene:Sspon.02G0047840-1C transcript:Sspon.02G0047840-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSISCHSPPWSARRRAAVGSMQLPQRQRVVYSYAAAAAVARALCGSSAARPCLVQCPNGPVRAGDYVLGRCSAGGARRPRPGHDQRRVRWQARGAVGEDPVHALVARGAPARSRPAEAAAAFYKTFARDGCTMVVPGMDVCMNKQHHSTGSSPNNLLVQMKNACRRHGARIAGENASLVMTHTSSSLRIRRNILTTVLMMPGHFTCAWAPCSSRRSSSRTRTTPRPTRRAGEQLPPPRPGTGEQPHPPLLQYRALGRLAATAAHKGGQNTG >Sspon.04G0001630-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:5453045:5458156:1 gene:Sspon.04G0001630-1A transcript:Sspon.04G0001630-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRVKLKAAAEGSFGGGPLGAGGCGRASEPSCRRGASIAAVAKRSGNGIERDGRDVEIGNAATLMKALHNFTEEELLDGGEKHYNCQQCKEKVVAKKRFLIDKAPFVLAIHLKRFSPFNPLQKIDKKVCQVREQDVLKQKAYILFYVRERVRSSVIRKGNGASSLSEKELFSEKIACMNGIIRNALVEKTLDFSLITKVDTKLQMQNLDKGQPSDISAVSQDQCSNEHSNIEDVKASMSQNNAPVQKVPCTLPDDADALSTKEEQITQCVQREIISPGQPEVCIPDMKTQKLNPDNDQPSNINTTISQDQCSNEHGSTEVTKDLTSQNNELVQKARCTDPDGTATLYSKPEQITPANQMERTSIGQPDACIPCDASSDQKAYEKPLQDVPLESDGALLCNGVDGLLGANEQANEPRTYAFCKPTPDSDATTIAQAIPTEVTAVSNGTISGNKDSTSNDKAKGLVVKELSVKNIDDKVKAEQQNNSLDTGHVNLEKKICSEDATHVASSKDCVQVMCSENSVQVVGKNPCHGSLHKNMKIKSKKHVKHPVVNLCFGSKQLLASLKQRKKRKHKRTRRQCTSSADTESIADDQQTSTSETVLTSGISHKSHRRKHCPNSASSEDSVQIYGKKQNLGHSCAVELTMDKKGSDDATLAGAELASLCPRYMSNPDSGKCGGRDEKGSWHFNLLTRGLRVPRWDDDDKATELQCSNFTSIGYVLDKWDEEYDRGKRKKVRKSMRDFSGPNPFQEVENNRSRQRRRLQADQAMSGHQPLRI >Sspon.02G0049480-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:39269260:39273919:1 gene:Sspon.02G0049480-1C transcript:Sspon.02G0049480-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNQLEDDESSLLELPFEFEEDIFEDYGNTSNYPIQVRPRAKTNPFEPHEESMAIEHIQSLSAIMSYEWLREAELSPEVTRITFPSTILLCQVRGSARRVHYNPCVGINIISEELARTLYPDTSLAPSQRFLQGPSGFILESYGVLRTVPVRIKNSGICLDFHIFDIPEIPLLIGRPIMRLLQEQPLRDECHESFQILKKALISALIIQPPDWNLPFEIMCDASDFAVGAALGQTKDKMHYAISYASKTLSGPQLNYATTEKELLAVVFAVDKFRSYLVGAKVIVYTDHAALKYLLTKKDAKPRLIRWILLLQEFDIEIRDRKGVENSVADHLSRLHFKETHELPINDYPRDDTLLKISDSDPWNCEYILVVVDYVSKWVEAMPCRTCDSKHAKRMFHEVIFPRFGIPQMVISDGGSHFIDKVFRKYLANHGVRHNIATAYHPQTSGQAKTSNKQIKNILKKTIDEMGKGWKDKLPDALWAYRTTYKTPIGMSPYQLVYGKTCHLPVEVEFKAHWAIKKWNMHGSPPSWILRIEKRRPEMQDIGLKDYVNI >Sspon.08G0002840-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:8020680:8023987:-1 gene:Sspon.08G0002840-1A transcript:Sspon.08G0002840-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTVTCNACNAGFDEEEQQRLHYRSEWHRYNLKRKVAGVPGVTEALFLARQAALAEGSKPASTPMLYSCALCGKEYRSSKAHEQHLNSRSHLLKASQEPNASLAGITIVKPLPERVPRKAPSAVEEDEDDDEEEEWVEVDPSEMELADESTSNMQEDEQSAKSDDDMADLEELDISSCFMCDLKHDTIEDCMVHMHKKHGFFIPDSEYLKDPSGLLTYVGLKVKRDFICLYCNDRCQPFFSLEAVRKHMDAKGHCKLRYGDGGDDEDADLEDFYDYSSSYVDAEGKQLIAVDDSNNNIELGTGGSELVITNKSEKGTRVRTLGSREFIRYYRQKPRPSVARDRALALSLASSYKSMGLVTVQSKEQMVRLKVLRAMNRTGVETMRNKIGMKSNVIRNLPKNCPY >Sspon.01G0023060-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:83683005:83688843:1 gene:Sspon.01G0023060-1A transcript:Sspon.01G0023060-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEFDDYWARAYRGDSGVPHSDPQRFVSTWTGAFALGAAACVHHHASALASHLKSLPTRAAEPWDAVPNAEWHPQGKNLLTDQDMSKLVPLK >Sspon.01G0037180-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:20514377:20515261:1 gene:Sspon.01G0037180-1B transcript:Sspon.01G0037180-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DMEIQARQLLVAVFGIVIAIGFANTVQGETATPVVVGLAKCSDCARRNMNAEAAFTGLQVAVKCKNSKGEYESTGVGQVDKSGAFSVPLAADVVGEDGELKAECFAQLHSASSAPCPGQEPSKIVAAPPGGHDGTEKTFVALGGKVYRSSPECASAFLCHPFFHSIIHHLHHVGIHTPVVIPHLPDHGHGHSVPPVTNKPPAVGVPEHKPAPAPAPAPVPEHKPPSTPVPVPEHKPTPPSTTPVYSPPKPTPIYHPPAQRDIVTGP >Sspon.07G0001730-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:2877950:2881927:1 gene:Sspon.07G0001730-2B transcript:Sspon.07G0001730-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGTTAAEVGGAGDGAAGDVQIKGAKENGQPAAVAVALPPPQPSGSEALEPATPLLRDDWSEHFSLFTSLGGFGGSSDGARGLTSVGLSNSESRPDSVTQRGLDHDAEERVEELTLKNCINTDVQPEVSAGGSTSCGDRPTVIKGMWGNFTRMAWKTSDLASRESAALSYGDIPNLRAGDASSRENLATSLANNIISRNNNASGNEAPMSRVGYVNNEFMTPFRSQQILLSPWSNQDNALKVSSFSNRILDQMRSKTVAPPSAVLGSPLKSKSKGKGVAYQGAREEIQGQANARPRDPLDKIPTIPTSIHDSMARVDPMLFSTGGNVSKSHSEGTSMRELIKPGRQTMTKFEKMHLFKQILDLVDKCHAQGFTLQHLRPSYFTTLSSNQVKYIGSYTTQDLPTSIKQEVAREDLVIRKRAFGHRIDHQDSNGHGNLMLKYQKVGEQVSVAIRRPANTFWTDQICDNQNEDVDPGVSRQENFSYTARERFKFVEPYGSNTSGAQHVSSSGTQQPAFELRNLEESWYKSPEELSQFKGTFPSNIYSLGVLLFELFCCSETWEVHCAAMSNLRQRILPPNFLSESPKEAGFCLWLLHPDPCSRPKAKEILGCDLINEGRDLSLLDQAPVSISEDDTESSLLFNFLSQLKEEKDMLAAKLSAELGSLETDITEVERRYSARMRLSLEDTDVLPSSGALSGASVSAVQGALLSGLLPASCKSSVYEERVMRNLVQLENAYYSMRSSVDTCETNAIKRPDNEALRVRENFHQLHSDSDAKGEKTDRLGCFFDGLCKYARHSRFEVRGILKNADILNSPNVICSLSFDRDEEYFAAAGVSKKIKIFEFDALLNDRVDIHYPLVEMPSKSKLSCVSWNNYIKNYLASTDYDGTVQ >Sspon.05G0025610-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:25101138:25103488:-1 gene:Sspon.05G0025610-1B transcript:Sspon.05G0025610-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTTVPSTTTVAAAAESLHSRVTSTRADASPKQSATPTAPPQLQPPGISRCMLKTESES >Sspon.03G0005560-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:19769277:19769756:-1 gene:Sspon.03G0005560-2D transcript:Sspon.03G0005560-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMGGGGHGMAMAPPPSPPGAHGGMRMRYTHMTFFWGKDSEILFAGWPGARGGMYALALVAVFALAFLLEFLGSRGLDARLHKAGDGRRVAAGAARAAVHALRVGMAYLLMLALMSFNGGVLLVAVAGHAAGFLAFRAGLFGDRRAQVEDTGKEQLACC >Sspon.04G0009360-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:26761613:26764237:-1 gene:Sspon.04G0009360-2C transcript:Sspon.04G0009360-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding PMRAPETIGPALARFGASRSLLAGAHLHSHLLKSGLLAVFSNHLLSFYSRCRLPSAARAVFDEIPDPCHVSWSSLVTAYSNNGMPRDALWAFRSMRGRGVLCNEFALPIVLKCAPDVRFGAQVHALAVATRLVQDVFVANALVAMYGGFGMVDEARRMFDESGGERNAVSWNGMISAYVKNDRCEDAVGVFREMVWSGERPNEFGFSCVVNACTGSRDLEAGRQVHGMVVRTGYDKDVFTANALVDMYSKLGDIEMAAVVFEKMPVVDVVSWNAFISGCVTHWHDHRALELLLQMKSLGVVPNVFTLSSVLKACAGAGAFNLGRQIHGFMIKADADSDEFVAVGLVDMYSKDGFLDDARKVFDFMPRRDLILWNALISGCSHGGRHGEVLSLFHRMRKEGLDLDVNRTTLAAVLKSTASLEAICHTRQVHALAEKIGLLSDSHVINGLIDSYWKCDRLDYAIKVFEESCSDDIISSTSMMTALSQCDHGEDAIKLFVQMLRKGLEPDSFVLSSLLNACASLSAYEQGKQVHAHLIKRQFTSDVFAGNALVYTYAKCGSIEDADMAFSRLLERGVVSWSAMIGGLAQHGHGKRALELFHRMLDEGVAPNNITLTSVLSACNHAGLVDDAKKYFESMKDTFGIDRTEEHYACMIDILGRAGKLEDAMELVNNMPFQANAAVWGALLGASRVHRDPELGRMAAEKLFTLEPEKSGTHVLLANTYASAGMWGEMAKVRKLMKDSNVKKEPAMSWVEIKDKVHTFIVGDKSHPRTREIYGKLAELGDLMNKAGYVPDVEVDLHDVDRSEKELLLSHHSERLAVAFALICTPSGAPIRVKKNLRICRDCHVAFKFISKIVSREIIIRDINRFHHFTDGTCS >Sspon.01G0017360-3C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1C:65354385:65357657:1 gene:Sspon.01G0017360-3C transcript:Sspon.01G0017360-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Subtilisin-like protease SBT1.8 [Source:Projected from Arabidopsis thaliana (AT2G05920) UniProtKB/Swiss-Prot;Acc:Q9ZUF6] WHAAHLESLSIDPARHLLYSYSAAAHGFAAALLPGQLPLLRGSPEVLQVVPDEPAIGNLEAATHDVVIGVLDTGVWPESPSFAGGNLPPPPARWKGVCEAGVDFPASLCGRKLVGARSFSRGLRAANGGAIGVGKRTFRSARDRDGHGTHTASTAAGAVVANASLLGYATGTARGMAPGARVAAYKVCWPEGCLGSDILAGIDAAVADGVGVLSLSLGGGSAPYFRDTVAVGAFGAAAAGVFVSCSAGNSGPSGATVSNSAPWVATVGAGTLDRDFPAYVTLPTGARLAGVSLYAGPSPSPRPAMLPLLYGGGSDSASKLCLSGTLDPAAVRGKIVLCDRGVNARVEKGAVVKAAGGAGMILANTAASGEELVADSHLLPAVAVGRMVGDKIREYAARGGGRPMAMLSFGGTVLGVRPSPVVAAFSSRGPNTVVPEILKPDMIGPGVNILAAWTGVAGPTGLAKDGRRTLFNIISGTSMSCPHISGVAALMKAAHPDWSPAAIKSALMTTAYTVDNTNSSLRDAADGSHANAFAYGAGHVDPQKALSPGLVYDISTNDYAAFLCSLNYSAPHIQVITKTSNISCPKKFRPGDLNYPSFSVVFNQKSKRVQRFRRELTNVGPATSVYNVKVISPESVAVTVAPAKLTFKKAGQKLRYHVTFASKAGQGHAKPDFGWISWVNDEHVVRSPV >Sspon.01G0049070-3D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1D:97302414:97303949:1 gene:Sspon.01G0049070-3D transcript:Sspon.01G0049070-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Allene oxide synthase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G42650) UniProtKB/Swiss-Prot;Acc:Q96242] MATATYLSFPAAPSSCARLRRQTTRATASATDRPREVVSPKRRLPLRKVPGDYGPPVLGAIRDRFEYFYGPGGRDGFFTSRVRAHGSTVVRLNMPPGPFVARDPRVVALLDAASFPVLFDTSLVDKTDLFTGTFMPSTDLTGGYRVLSYLDPSEPNHGPLKTLLFYLLSHRRQHVIPKFREVYGELFGVMENELARVGKADFGHHKDAAAFSFLCQALLGRDPAESALQGDGPKLITKWVLFQLSPLLSLGLPKHVEDSLLHSFRLPPALVKKDYDRLADFFRDAARSVIDEGERLGIAREEAVHNILFAMCFNSFGGMKILFPSLVKWLGRAGARTHGRLATEVRDAVRAHGGEVTMKALAEMPLVKSAVYEALRIEPPVAMQYGRAKRDMVVESHDYGFEVREGEMLFGYQPMATKDPRVFARAEEYVPDRFLGEDGAHLLRHVVWSNGPETASPTLQDKQCAGKDFVVLIARLLVAEFFLRYDSFDVQVGASALGSSVTITSLKKATF >Sspon.02G0052870-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:97827448:97832974:1 gene:Sspon.02G0052870-1C transcript:Sspon.02G0052870-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLASVTRTLAQGGRWLDPGFQEERRAYLDCSFPSFGDSFPHWALEGIEQVATRLPVRPDYVGGHHKPRSLNSVYPNLIENTEEEGWMFNAVDCLLSYMNKDGSVGTFENQRTYSWLEVYVEGLRTNAVQTAWAMLALIYAGQ >Sspon.01G0057480-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:8109528:8113329:-1 gene:Sspon.01G0057480-1D transcript:Sspon.01G0057480-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFPDIAPHVYYFAAPTSEGIQGLPFVPHPASPQAVLIDPFRKGLLEQIEYYFSDANLCKDLYLRQRMDDQGWVPLSLIAGFPQLAAYGIIVGLNLSIGEGAVDQVQKITNNIQFILETVMLSNVVEVQFRSVGLEGATYHANMPGMHGEALLTRSATSVGLGYHASTFGGLQSNESGPLFGP >Sspon.02G0012170-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:26246027:26249404:-1 gene:Sspon.02G0012170-2D transcript:Sspon.02G0012170-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRSRAAAPRLAFLVPLACALLLVSPCHCVNEQGQALLRWKDTLRPASGALASWRAADANPCRWTGVSCNARGDVVRLSITSVDLQGPLPANLQPLAASLKTLELSGTNLTGAIPKEMGGYGELTTLDLSKNQLTGAIPAELCRLAKLESLALNSNSLRGAIPDDIGNLTSLAYLTLYDNELSGPIPASIGNLKKLQVLRAGGNQGMKGPLPPEIGGCNDLTMLGLAETGVSGSLPETIGQLKKIQTIAIYTTLLSGRIPESIGNCTELTSLYLYQNSLSGPIPAQLGKLKKLQTLLLWQNQLVGAIPSELGQCKELTLIDLSLNSLTGSIPASLGGLPNLQQLQLSTNQLTGTIPPELSNCTSLTDIEVDNNLLSGEISIDFPRLSNLTLFYAWKNRLTGGVPASLAEAPSLQAVDLSYNNLTGPIPKALFGLQNLTKLLLLNNELSGLIPPEIGNCTSLYRLRLNGNRLSGTIPAEIGNLKNLNFLDMSENHLVGPVPAAISGCASLEFLDLHSNALSGALPDTLPRSLQLIDVSDNQLAGPLSSSIGSMPELTKLYMGNNRLTGGIPPELGSCEKLQLLDLGGNAFSGGIPSELGMLPSLEISLNLSSNSLSGEIPSQFAGLDKLGSLDLSHNELSGTLEPLAALQNLVTLNISYNAFSGELPNTPFFQKLPLSDLAGNRHLVVGDGSDESSRRGAISSLKIAMSVLAAVSALLLVAATYMLARTQRRGGGRIIHGEGSWEVTLYQKLDITMDDVLRGLTSANMIGTGSSGAVYKVDTPNGYTLAVKKMWSSDEATSAAFRSEIAALGSIRHRNIVRLLGWAANGGTRLLFYGYLPNGSLSGLLHGGHAGKGSPADEFFFQYASMQRISEKSDVYSFGVVVLEILTGRHPLDPTLLGGAHLVQWVREHVQAKRDAAELLDARLRARAAEADVHEMRQVLSVATLCVSHRADDRPEMKDVVALLKEIRRPAAADDAKRPSPTGAAATPAPVSPVSAHSRGQSSSCSFAVSEFSA >Sspon.04G0019610-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4C:74480753:74481401:-1 gene:Sspon.04G0019610-2C transcript:Sspon.04G0019610-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGEGEGSESVWCSGLASMVLVIAAHVASDHQGRVPSDARPPEKSQHLFSFFRSLAT >Sspon.03G0020580-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:83043274:83046336:-1 gene:Sspon.03G0020580-3C transcript:Sspon.03G0020580-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLYYMTMNEDKMAALPLATAEACDANAALIMNGDLRALQPIFQIYGRRQIFAGPVVTLKIFEDNVLLREFLEEKGHGRVLVVDAGGSTRCAFLGGNLAQLAQNNGWAGVVVNGCIRDVDEINGCDVGVRALSSHPIKSNKKGVGEKHAPVTFAGTRICDGEWLYADSDGILISRSELTV >Sspon.06G0008950-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:47964378:47969254:-1 gene:Sspon.06G0008950-1A transcript:Sspon.06G0008950-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VNPPTLPPAPIGTPRGQGQGHQNQTASERHTSPLSSPSPPSGLPPPSPLLPPKTRAVTRTSGWNLAASRGRSRAEPWFRREIRARLPPPLAASIPKHYLDYTSALKMMMGLLAFESHQGLWNSGYYSQLFGIGGVLITLTILMLSTGYFGGIGAPYFWPYLGQVPKKRERKRPVRVYMDGCFDLMHYGHANALRQAKLLGDQLVVGVVSDEEIVANKGPPVLSMEERLTLVSGLKWVDEVIPNAPYEITEEFMNTLFNKYNIDYIIHGDDPCLLPDGTDAYALAKKVGRYKQIKRTEGVSSTDIVGRILLTFKQKDADTDLNVAIAEKAGEKSNDEVKSQLSHFLPTSRRIMQFSNGQAPSPGARVVYVDGAFDLFHAGHVEFLRSARQLGDFLLVGIYDDQSIRDRRGCRPIMHLHERTLSVLACRYVDEVIIGAPWEVSKDMITTFNISLVVHGTVAEGNSAGEIDPYAVPKSVGIFQTIRSPKSITTLSVATRIVDNHEAYKKRNLKKKASEDRYYTQKKFVSGD >Sspon.05G0003510-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:10490346:10497447:-1 gene:Sspon.05G0003510-1A transcript:Sspon.05G0003510-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPVKAIVFSQWTGMLDLLQLSLNRNDIQFRRLDGSMCLNLREQQVNEFKTDPKVRVMLMSLKAGNLGLNMVAACHVIMLDPWWNPYAEDQAVDRAHRIGQTRPVTVSRFTVKDTVEDRILALQEKKRKMVESAFGEDGSRGTATKLTVEDLRYLFMV >Sspon.01G0030270-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:101645281:101651111:1 gene:Sspon.01G0030270-3D transcript:Sspon.01G0030270-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cactin [Source:Projected from Arabidopsis thaliana (AT1G03910) UniProtKB/Swiss-Prot;Acc:F4I2J8] MPKRDRDSEGRRRSSSSRRRRSPSPSDSDAASGSSGSPRRSRGRHRRRSHRRRDTPSSSDASGSGSEDSGSESGRRGRSGGRRRRDVTEEQIIEYMAKKAQKKAEKVAKKLKANAVSGYSNDSNPFGDPNLTEKFVWRKKIERDVSQGQKVDISVKSEKKKQQERMAEIEKVKKRREERAIEKAQHEEEMALLARERARAEFQDWEKKEEEFHFDQSKVRSEIRLREGRTKPIDVLLKNLNFSDEFDIELNEPYLVFKGLTVKEMEELRDDIKMHLDLDRESQTNVKYWEALMVVCEWELGEARKRDALDRARVRGEEPPPEVLAEERGLHASIEGDVKSLLDGKTSTELEDMQSQIESQMRSGTAKVVEYWEAILKRLHIYKAKACLREIHASILRKHLHRLEHPGAVEQDVESEKEDDNKLEDEMHEDEDDKRYSPEPIPQHTENQFEEEDGSFSPQLMHGNEDEDAIDPEEDKAELDRKREAVVLEHQRKVQEAMKAKSRVPDEMEMKAIKTMGAMEEGDAVFGVGAEVNLDSQVYWWHDKYRPRKPKYFNRVHTGYEWNKYNQTHYDHDNPPPKIVQGYKFNIFYPDLVDKSKAPTYSIEKDGSTGETCLIRFHAGPPYEDIAVKYLKGQGKTGSIRLLHHDTSMHLQCKDPMPNESTLFGCTD >Sspon.08G0020040-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8B:16921225:16923076:1 gene:Sspon.08G0020040-1B transcript:Sspon.08G0020040-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSLTPAATADPVRLALLPSFRNARTGTRARFLARFRGALGAATAKHGRGRRKSSALWTGSSSSGVWRQVKPHAACCSNHLVHRRGSTYAGTHVSGMRWSNALRAAGDAHTIIVLAIRCLCVRAACEFVRSCLVSLLSWSRLKTPAGRMHLHAGALLAIDGVCSARTYGRRTTEEPPAAAVRYDCARDDFPLGHSSVVFFQVVVVGSRPPTATTTTPLAARKRAVIY >Sspon.03G0010020-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:31956581:31957783:1 gene:Sspon.03G0010020-4D transcript:Sspon.03G0010020-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVLEMVRRLETHMAVQLQQQQGGGGAGGGADQRCRALVSTMRASIDRAVHMAVSCCAGGRAGAGQAPESPPSGGDGSPRSGGSDQAGEFRGRGNAAGQCKKRKTLPKWSTQVRVITVQDVSPLDDGLSWRKYGQKDILGAKYPRSYFRCTHRHTQSCQASKQVQRTDGDPLLFDVVYHGAHTCAQGAAAHPSNQPAVQEQTTSPGLEAGPTVLPFSLRPASNKPAGADDAATSSRFVTAGCTVTKSPFVVSPATTPESQLQQQQRLRGGGGSGVTMAGVRNMPDVELASTTNSPMAMEEMDFMFPLDAADFLELGNPASYF >Sspon.02G0032610-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:121204181:121208414:1 gene:Sspon.02G0032610-1P transcript:Sspon.02G0032610-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMLLRRLLLSLSFSLLLPASLAFPLCTDARAPVLLNTTLKFCASPSGSGSGNSSCCDATADATLSKQFDAMAISDAACAAVVKSILCAKCSPYSADLFNAGPKIRTIPFLCNSTASATSAQSKETTTQDYCKLVWDTCKDVSITNSPFQPPLQGTAPPPSSPSKLTDAWQSQSDFCSSFGGSPDDQSVCFSGSTVSFNATQPSPSPKGICLERIDNGSYLNMAPHPDGSNRIFLGNQPGKIWLATVPEQGSGGTLQFEEASPFVDLTDQVYFDSAFGLMGMAFHPNFATNGRFFASYNCDRTKSPSCTGRCSCNSDVGCDPSKLGTENGAQPCQYQVVVSEYSAKGSSANVSEATSADPSEVRRIFTMGLPYTSQHGGQVLFGPTDGYLYLMMGDGGGKGDPFNFAQNKKSLLGKIMRLDVDSTPRASEISNKSLWGNYSIPKDNPFADDSELEPEIWALGLRNPWRCSFDSERPSYFYCGDVGQDEYEEVDLISKGGNYGWRALEGPLVYHPQWAPGGNTSLSSINAILPIMGYSHSDVNKNIGSASIMGGYVYRGSTDPCLYGRYLYADLYASAMWTGTETPESSGNYTSTLIPFSCSKDSPIPCDTAAGSPLPSLGYIYSFGEDNNKDIYVLASKGVYRVVRPSLCSYTCPTERPETDNGAAPPGPSSKASMTGLNNQMGMGMLLLSVIMFLVL >Sspon.01G0000680-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:2345594:2350633:-1 gene:Sspon.01G0000680-1A transcript:Sspon.01G0000680-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKQQQHGMAMALLVVALLLAAAAETASAASCNAGQLAVCAAAITSGAKPSAACCSNLKAQQGCFCQFAKNPTYGRYINSPNARKTVASCGHGMAMALVVVALLLAAAAETASAATCNAGQLAVCAGAIVSGAKPSAACCSNLKAQQGCFCEYAKNPAYARYINSPNARKAVTSCG >Sspon.03G0040930-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3C:34902421:34904913:-1 gene:Sspon.03G0040930-1C transcript:Sspon.03G0040930-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTLLLQASLPARFWAECLHTSTYLLNRLSSTACPAPTLHHALFGTPPRYDHLRVFGCACYPNTAATAPHKLPPGRPSVSFSDTLRITRVTDALTLPLAVPSSLAMSCLMSRHSLTPPPLPPHLLLTLRRLPFFSTDPVILPPFSLYPAGTAPARSPSGPTSPLPASHQDFPLVPDTAEAASELPPSLPVASLPPVVLDAAVPIAGPSAPTPPPPGRFSLVYQRRWEPSPPSPPPGRFGIVYQRRREPAPPLPPASLPSPPAPSSPVRAPPALPRSRAEPPVYHPPLLHRDPRHTHLMVTRQASRPQALTVGACETGISPVPSSVRAALADPHWRRAMEEEYAALLANQTWDLVPRPPGSNVVTGKWIWTHKRRADGSLERYKARWVLRGFTQRPGIDYDETFSPVVKPATVRTVLSLALERSWPVHQLDVKNAFLHGTLTETVYCSQPAGFVDSSRPDLVCRLNKSLYGLKQTPRAWYSRFATFLQTLGFTEAKSDTSLFIYHYGGETAYLLLYVDDIVLTASSESLLHRIIASLQKEFAMKDLGVLHHFLGVTVEPRPSGLFLHQRQFTCDILERAGMTDCKSCSTPVDTQGKLSEAGGPMLGPADSTAYWSLAGALQYLTFTRPDITYVVQQLCLYMHPPREPHLAAMKRLLRFLRGTLGYGLLLGRSSTTELVVYTDADWASCPDTRRSTSGYAVFLDGNLVSWSSKCQPVVSRSSAEVEYRAVANGVAEAAWLRQLLAELHRPLARSTLVYCDNVSAVYLSTNPVQHQMTKHVEIDLHFVRDRVAIGDIRVLHVPTTSQFADIFTKGLPSSTFSEFRSSLNVSSG >Sspon.02G0031760-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:89381025:89383030:-1 gene:Sspon.02G0031760-2D transcript:Sspon.02G0031760-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQSIRALCESLSSLLNHAESSSRELADAVSQRPIHLDMARTSFLHKLEYRAEAAAADLQHIESIALGTVSFEELLGHCGEALNVYARHASALQSHLASFGYEPAVEDGNVGKVEDLGDGCLSVSRSVLRSGKRRFDDDNDAIFEGSLKDLGFSDACLATLSSEGANYGVSPKKLYKNPESTDYGEKIMNEAEIMTPQNERNGQGNSFKEVIRASKEEYEQLPSYMKSLASWEELQEGISKLNSYFGGDKAQGSVALNQGHVGEIGLGRKGRAWLLMLLRLNQLTMETVDGSTFYTLCKNNL >Sspon.04G0032280-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:23937032:23939489:1 gene:Sspon.04G0032280-1C transcript:Sspon.04G0032280-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:H/ACA ribonucleoprotein complex subunit 3-like protein [Source:Projected from Arabidopsis thaliana (AT2G20490) UniProtKB/Swiss-Prot;Acc:Q93XX8] MYLQYYINEKGDKVYTTKDAESWLSNYEQLASRFSPDDKYSRQRFLLKKRFGLLPTQRPAPKY >Sspon.03G0026480-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:8068208:8069345:-1 gene:Sspon.03G0026480-2C transcript:Sspon.03G0026480-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAERLPGGAAKNTKLPPLPGISIPSDISSMATESVGSPSSSGEQIINGHNGLLASNGPSSVRNKTSHPEVGKNGSRPPDAESCHDAEWVEQDEPGVYITLTALTGGARDLKRVRFSRKRFSETQAEQWWQENRARVYQQYNVRVVDKSTASVDNDIAAN >Sspon.03G0022860-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:88277437:88283331:-1 gene:Sspon.03G0022860-3C transcript:Sspon.03G0022860-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MYPSPSLLSLSFAPLVLLLLRLALRAARRRNKNHPSPTTTPPHACALPPGAAYEPDMNRGHSSSSKLINEKLEEHRISTAKHCPHCGEKIDSKPDWVGLPAGVKFDPTDQELIEHLEAKVKDEGSRSHPLIDEFIPTIDGEDGICYTHPEKLPGVTRDGLSKHFFHRPSKAYTTGTRKRRKIQTECDVHKGETRWHKTGKTRPVMVNGRQKGCKKILVLYTNFGKHRKPEKTNWVMHQYHLGDLEEEKEGELVVCKIFYQTQPRQCSWSSDRGAAATAMVATTSAAQEQHRRDSGSGSCSSRDHEVSATSFPAGYTVTTAVEMQQHMKQSADHFSFAPFRKTFDQEVGIGGDQVPSNQLGRSEPHHAGQGQQPHGPVLATTTAVPATAFLISRPSDPVSTIVPPAMQHAAVVLDHDQFHVPAILLHHHDKFQPQHQQQQPQQKLDRRSAGLEELIMGCTKLQLLTPKRQNGHTRTGHLTTKIIMDSSNRTGK >Sspon.07G0018300-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:71068259:71078734:1 gene:Sspon.07G0018300-2B transcript:Sspon.07G0018300-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLGAPAELAVAGLATAAAALLLLLLCAASLRCSGAALVAAHGRLWAGGVSIAAAAAAEADAAVDVDKRPVSAPATASAGSGGDEEEECDLFDGEWVWAGGGGYPLYDSRDCPFLDVGFRCAENGRPDASYTKWRWQPSRCHLPSAVHNKSSIYEVNGSPITKHMGFLIFNFRDYNCTVEYYRSPFIVLQGRPPAGAPEIVRYTIRVDAMDWMSDRGKWKDADVLIFNTGHWWNNEKTIRGGGDWKTGGSCHLETLPDVTPVKSLDEWADLLQPVDDFLGSNLGSKLVGLDLLNVTRMTAQRKDGHLSVYLSPSGPVARYKQDCSHWCLPGVPDTWNELLYALSSIYEVNGSPITKHMGFLIFNFRDYNCTVEYYRSPFIVLQGRPPAGAPEIVRYTIRVDAMDWMSDRGKWKDADVLIFNTGHWWNNEKTIRGGGDWKTGGSCHLETLPDVTPVKSLDEWADLLQPVDDFLGSNLGSKLVGLDLLNVTRMTAQRKDGHLSVYLSPSGPVARYKQDCSHWCLPGVPDTWNELLYALVMRRHKKMGQNTSLAGTRTLNTEEGDEAAYRPAASCLHMLKLGSRIGNYMEKSNTENRTKQAKNHDE >Sspon.04G0004750-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4B:9236371:9237621:-1 gene:Sspon.04G0004750-2B transcript:Sspon.04G0004750-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LHHPRSPCSPVPLPADVPFSAVLTHDHARIASLAARLAKTPSSRPTTLRGSSSSSSHDDGESLASVPLGPGTSVGVGNYVTRMGLGTPAKQYVMVVDTGSSLTWLQCSPCVVSCHRQSGPVFNPKSSSSYASVSCSAPQCSDLTTATLNPAACSTSNVCIYQASYGDSSFSVGYLSKDTVSFGSTSVPNFYYGCGQDNEGLFGQSAGLIGLARNKLSLLYQLAPSMGSSFSYCLPTSSSSSGYLSIGSYNPGQYSYTPMASSSLDDSLYFIKMTGITVAGKPLSVSSSAYSSLPTIIDSGTVITRLPTGVYSALSKAVAGAMKGTPRASAFSILDTCFQGQASRLRVPQVSMAFAGGAALKLAATNLLVDVDSATTCLAFAPARSAAIIGNTQQQTFSVVYDVKNSKIGFAAAGCS >Sspon.07G0028660-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:68086053:68087528:-1 gene:Sspon.07G0028660-1B transcript:Sspon.07G0028660-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLVVLTGDVLVGLGSRYEHVEDDAAAFGDQGEQGDAATEGVQLPEAVGLQANKLPDGLFYGCTGRTSVPDHEQRQRACGSWHYPMHGGSGTATQRQEIGSRTRRVMEERRWWQRRSSTWTASNGGRATSIATMARVHGSCGVEARTMARMTARHRTRARTAEQVDGAASHEGEDGGAGRRRGVARGRGEDGGTA >Sspon.08G0012400-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:51152107:51155347:1 gene:Sspon.08G0012400-3C transcript:Sspon.08G0012400-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPRISRLGARLLRESRAETRAGNLLSSQGILYQGHVNQHSTPVVTPTVSSLRNVLLSTATSGEQDESSQPKDKISVTFVNKDGSEKTISVPVGMSMLEAAHENDIELEGTNIKEMCTSLPDVNYYNKLEDPADEENDMLDLAFGLTETSRLGCQVIAKPELDGMRLALPVATRNFAVDGYVPKPH >Sspon.07G0004430-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:9803050:9808762:-1 gene:Sspon.07G0004430-3D transcript:Sspon.07G0004430-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVTKFIKCVTVGDGAVGKTCMLICYTSNKFPTVMLISPPRPSRPGPYNHEILLDSDYIPTVFDNFSANVSVDGNIVNLGLWDTAGQEDYSRLRPLSYRGADVFVLAFSLISRASYENVLKKWMPELRRFAPNVPVVLVGTKLDLRDHRAYLADHPGASTITTAQGEELRKQIGAAAYIECSSKTQQMSYVDDEKTIDDNMTPISATEHKTKEKYKPSGKMAAAPPS >Sspon.03G0032940-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3C:49783070:49783762:1 gene:Sspon.03G0032940-2C transcript:Sspon.03G0032940-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGYKSLGVVLAVAALLAAAASAVDDEHMYHWRCFKSCTGTCHDEDAAAVDDVVAAKDGHHSAGGSNVSAVSGGGKCKDRCLSECFEDLPAQCYHQCVVTNCLCFPPFSKEKTVCLKSCCEKCFHHGPPAPGPGPKPPAPKPRPPSPGPPKPPSPGPPKPKPPPRPPAPKPPKPPTPKAPPPPKKPCPCPPGSDE >Sspon.03G0022800-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:92660126:92664809:-1 gene:Sspon.03G0022800-1P transcript:Sspon.03G0022800-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVGVAPAPVAAPEKKKLLDLKDPFPAAANGSAKASPAGKWAMKKKLVGGDAGYVLEDVPHLTDYMPHLPTYPESPPRQPGVFSRQYFVNPDDTVTQKIVVHKTSARGTHFRRAGPRQRVYFQSDEVKAAIVTCGGLCPGLNTVIRELVCGLYDMYGVTSVVGIEGGYKGFYSKNTVPLTPKSVNDIHKRGGTVLGTSRGGHDTAKIVDCLQDRGINQVYIIGGDGTQKGASVIYEEVRRRGLKCSVVGIPKTIDNDIAVIDKSFGFDTAVEEAQRAINAAHVEAESAENGIGVVKLMGRNSGFIAMYATLASRDVDCCLIPESPFYLEGKGGLLEFIEKRLKDNGHMVIVVAEGAGQDLIAKSMNFVDTQDASGNKLLLDVGLWLSQKIKDHFKKKPSFPITLKYIDPTYMIRAVRSNASDNVYCTLLAHSALHGAMAGYTGFTVAPVNGRHAYIPFYRITEKQNKVVITDRMWARVLCSTNQPCFLSHEDVENMKHDDDEHHLHNTQLLEGESSPVKDASKCNGAV >Sspon.03G0002160-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:5183378:5197291:-1 gene:Sspon.03G0002160-1A transcript:Sspon.03G0002160-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:UGT80B1 [Source:Projected from Arabidopsis thaliana (AT1G43620) UniProtKB/TrEMBL;Acc:A0A384L9N2] MGINGEEWEETIVGGGVRRRKGGAEAAGLGASSSFAEGMGEFVLRSMDARFSGSVDDDELFVSSRQPAFGHSKSSTAASGTFKGQEDVFVRSYSDRLLKCDLTLDMLSENEKIKITERLVKIQNDGTVEVDVTRSALVASELSEIDAFGYVPRDIEKVTPGITKSVPKLKIAILVVGTRGDVQPFIALAKRLQEFGHYVRLATHVNFRTFVKSAGIDFYPLGDMTKNKGFFLAAPAEIAVQRKQLKEIIFSLLPACTEPDLDTGTPFRAQAIIANPPAYGHMHIAEALGAPLHIFFTFPWTPTNEFPHPLARMPQSATYRLSYLILDLIVWWGSRGFINDFRKKLNSPPIAYFSTYHGSISHLPTGYMWSPQLMPKPKDWGPLVDVVGYCFLNLGTKYQSPPELSQWLQQGPKPIYIGFGSMPLDDEKKVTAIILDALRETGQRGIISRGWGALGSFSEVPVDVFILEDCPHDWLFPQCAAVVHHGGAGTTAAGLIAGCPTTVVPFFGDQFFWGERVHARGVGPAPIPIAELTVEALSNAIRFMLDPEVKSRTMELAIAIGNEDGVAAAVDAFHRHLPSELPLSPPAHVEEERIDFFQWFSRALEKCQIKPKYCSPNLTVRPLKRFTKNKKSSLTFRGSYNRMARTPMCTASD >Sspon.06G0024500-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:55375714:55377303:-1 gene:Sspon.06G0024500-1B transcript:Sspon.06G0024500-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPRSRLLSLCAVLLLLLAPGLAAAFNYADALTKSIIYFEGQRSGKLPPGNRMPWRGDSGLTDGAQHNVMPNAPTSSSLARIVHARTTDAVDISGRRPLQVDLVGGYYDAGDNMKFGLPMAFTTTMLAWSVADFGKYMGSNLPHARAAVRWGADYLLKAATSTPGTLYVQVGDPGQDHKCWERPEDMDTPRAVYAVTASTPGSDVAAETAAALAASAVAFRRADPGYAARLLRAALVSFELADRHRGSYSEGTLSAAVCPFYCSYSGYEDELLWAAAWLHRASGNASFMAYVRANGAQDGAGNDDYSFSWDDKRIGTKVLLARGVLRRDRRRNVPGLQLYKAHSDSYICSLVPGAAGFQAGQYTPGGLIYREGGSNMQYVTTTTFLMLAYAKYLRSAGATAACGGGEVAPAQLVALAKRQVDYILGKNPAGTSFMVGFGDRYPRRLHHRGASLPSVRAHPARIGCDQGFAYLHSAAPDANLLVGAVVGGPDARDGFIDDRDSYGQTEPATYINAPLVGVLAYFAGTAKY >Sspon.05G0006010-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:10913585:10914365:1 gene:Sspon.05G0006010-2C transcript:Sspon.05G0006010-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQARVALALCALLLVPGVAWRAEAVSYNVGNSAGWDPSADLPSWADGKTFYVGDVLVFQYSSYHTLDEVDEAGFNNCSAANALLSRSDGNTTVPLAAPGDRYFICGNQLHCLGGMKLHVLVNQSAGGAPASAPPQSPPQTGSGASLGPTTDDEGIPFLVLGGSHRATVGPLLVTWLFVAAALLV >Sspon.06G0008280-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:43441139:43445466:1 gene:Sspon.06G0008280-1P transcript:Sspon.06G0008280-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAGIHPFHQQWPPAAAAPPPPGAAASVAVPPPPPVPGAPGTAAADEVRTIFITGLPADVKERELHNLLRWLPGFEASQINFKGDQPMGFALFSTAHQAVAAKAALQDMVFDTETKVALHTEMAKKNLFVKRGVGIDANTVDQSKRLRTGGDYTHSPYAPPFHPPPPAVSMWGTPGYITAPPPYNPYAAYPVPPVAMTSPSPVTGPTAYAPVQNTKDNPPCNTLFIGNLGDTVVEEELRSLFSVQPGYKQMKVLRQDRNTVCFIEFEDVNAATSVHQTLQGAVIPSSGRGGMRIQFSKNPFGRRKDSAGGLASVLNGAPAN >Sspon.02G0027790-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:100840395:100843150:-1 gene:Sspon.02G0027790-2B transcript:Sspon.02G0027790-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding NEETGVPSGGEQRQCRGGCCEAAQGAVVAGGGREAGGVHAAKWTGLLERRGPERRAAEVRQELPPPVDQLPPARPQARRLFAAGGGAHRQPPRHPRKQWSQIAARLPGRTDNEIKNFWNSTIKKRLKNSSAASSPAATDCASPEPNNKVVAGSCPDLAGLDQHGGHHHHAMTTTAAVAAASRSYGGLVPFPDQLRGVVADASPGGFFHGHAAPAFKHQVAALHHGGYYGSSTPPHHHGMMAMEGGGCFMRGEGMFGVVPPLLEPMSAAAQEQEQGQALMASSGNNPKNNSSNNTTETTTTTLSNNESNITDNTTKDNVNTISQVNTGSNVAAVFWEGAHQQYMGRNVMHGEWDLEELMKDVSSLPFLDFQ >Sspon.01G0002290-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:5440027:5443528:-1 gene:Sspon.01G0002290-2B transcript:Sspon.01G0002290-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLQHHHRSRSASSFARSSETTAADLDARSLGSTATATAAAAETVECPFGNVDGLSRAELREAAYEVFFMSCRAAGGRGGGGGGGLNYYPSGGDGGGGDGGSPTIGAGPRGGTGMNVVSSRVKRALGLKARRSSQPTVRSSMNSSSAPGSPGRMRSVRDQAPGSPGKSRRPMTSAEIMRQQMRVPEQSDARLRKTLTRTLVGQVGKKADTIILPLELLRQLKLADFADSGEHHQWQRRQLKLLEAGLIHHPSLPLDRLNAPVLRFREIMQAADARPIDTGKASDTMRALCDAVLALAWRSAPGTGPPGEACHWADGYPLNVILYVSLLQAIFDLKEETVVLDEVDELLELMRRTWTTLGIDKMIHNVCFAWVLFQQYVATGQIEPDLAGAALTVLGDVAADARQEDRDPVYARVLSSVLGAIHDWSEKRLLDYHEWYGKSVAATGAGAMVSALSLALSTSKIIAESVPGMGTALADSEHEGDGIGSFAGNRVDHYVRCSMRNVFTKVSNTLENELGQGNSMIIQRDDDPSEIVARLAQDTEQLAQFELENFCPVLKRWHPFPGAAAVVTLHSCYGVVLKQYVAKATCLTNELVHVLHAAGRLEKALVPMMVEDVADSDDGGRSLVREVVPYEVESLVARFLRTWIEERLRIARECLLRAKDTESWIPKSKGEPYARSAVELMKLAKATVDEFFGIPVSATDDMVQNVADGLGAIFQEYITFLASCGTKQSYIPSLPPLTRCNQDSKIIRLWKRAATPCRDPGTSPRGRVHHSQSASLSGGNNPRQSTSRGTQRLYIRLNTLHYLLSQIQALDKSLSFFSHGGSTSPAVANRHLAPSSSHFDRARAAAQSAIVHVAEVAAYRLIFLDSHHSFYGGLYVGGVADARIRPALRALKQNLSLLVSILVDRAQPVAVREVMKASFQGFLIVLLAGGSDRSFTMEDHAMIEEDFRSLKRAFCTRGEGLVSEDVVEAEARAAEGVVALMAQTAEQLVEEFGIAAYECTEAVSERQRLPMPPTTRRWSRSDPNTILRVVCHRDDEVANHFLKRTFQLPKR >Sspon.08G0002450-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:5455349:5457422:1 gene:Sspon.08G0002450-2C transcript:Sspon.08G0002450-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFTEKGSPVTGGGFSENGKFSYGYASSPGKRSSMEDFHETRVDGVDGETVGLFGVFDGHGGARAAEFVKQNLFTNLIKHPKFFSDTKSAIAETYTHTDSELLKADTSHHRDAGSTASTAILVGDRLLVANVGDSRAVICRGGDAVAVSRDHKPDQTDERQRIEEAGGYVMWAGTWRVGGVLAVSRAFGDKLLKQYVVADPEIKEEVVDNSLEFLILASDGLWDVVTNEEAVAMVKPILDSEQAAKTLLAEASQRGSADNITCVVVRFLEQHNGLGRATNEQAS >Sspon.02G0001710-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:5591622:5592131:-1 gene:Sspon.02G0001710-1A transcript:Sspon.02G0001710-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SMPWHGIDTNIVQHTQYCAQYCVNLSVSTEQNFLGVAIIISPIAQFRQFKQQGEWGAGRLHNHNKVCEANPHTAEGSTASLPRPPGPTPRLGTSRPPPIPSGMNEAGRFSMTSSMRPQSRASSGSMKRSLSMHCSILSMGWRVCFW >Sspon.07G0011130-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:43588524:43589252:-1 gene:Sspon.07G0011130-2B transcript:Sspon.07G0011130-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GSGDDPGAAAHGAWSGAASVARRAGRVPHAAARPVPEAHHDGRAPPPRPRQARRADAARPPRAPPVEPPPPPRPPPHQLRRRVVVVVLHARLVLRPPPGGGVQLHHHAVVPAGALLPLQGPRRRRPGARRAVRRPRRGGRGARVRDAQRRGGRRGRHPRGRAVAHGGHRDAVAHGGVDPGPQPRRCPPAPRHRLAVPGRARGRVLQRARGRRGRRLHPQVLRAAQAATDRRHAGRLPAPPRLA >Sspon.02G0009030-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:20414682:20415272:-1 gene:Sspon.02G0009030-3D transcript:Sspon.02G0009030-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGKKARPREEELVEAALAAAAAALLVSGVKRLVAPAVPVAPWWWPASLSVPSPALFLLLNVIIASIVVASVQPRRRGAAASAGAIDDEREALAARGDAARRAKRTRRRKKRTAAEAAVADGCCMALVVTDEPIETAAAAAVMKEDVAADEDAAGNAEEVNKRAEEFISAFRHHLRVDSFSSGTRRSNARTAPCS >Sspon.03G0008530-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:30221191:30232045:-1 gene:Sspon.03G0008530-2B transcript:Sspon.03G0008530-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMTRRRLFFLVAVAFLIHGLGTPRGCSAAPTATSCSAAVTCGKVNITYPFHLLSNGGASAAFCGYPGLGLDCMDGNTTVLRLSTNNNTVLTINYPARTMFVVDSGIARAGYDCPSVAHNVTVAPGSGVQFTTSDASLTFLVGCAGGGGGDGAPPSACLDGSAPIGCGLSGVAGDGERSYVFRGATATATAALPGGSECARSCRGMVTVPVYAALFDVPSLSNLSTSYARVLKNGFELSWDRSFDEQCDPCESSGGWCSYNRTSTASGGGGGPLAFSCICPDGRSTPSDCGQGGCGVVYKGSLPDGRLVAVKMLKELNGDDEQFMNERKPCATFNSTMTNKLSLSACLLWAMSALAAAASDDVKIAVYWGQDASEGSLRDTCSTGLYAYVNIAFVSTFGDGRAPILNLADHCDPSSGGCASLATDIASCQSAGIKVLLSIGGGELGGYNLSSQSDAQGVAAYLWDNFLGGNGTGTGAPRPLGDAVLDGIDFDIEAPSRYYDDLARDLTSLYRGDARGRTYMLTAAPQCPFPDESLAAALGTGLFDHVWVQFYNNPPCQYARGDVGGLRSAWQQWTAGLPSATVFLGLPASPDAADGGFVDADTLTSQVLPAVEGAPNYGGIMLWSRSYDKDTGFSVKLQGILQNRTSDKKRRIYITVGAAVAGVLLLFLLICIYFLCHKKNRGTSPPEERSGAPPAPKIELPQPKQKPQRLKRYTDSEIERMTKSYTHKLGHGSNGDVYRGNLRDGRQVVVKVLKNSMGDDKEFMSEVASISRIFHVNVVPLLGVCLHGLTRALVYEYMPNGSLENYAFSNDDSVEENYSQRLYWEKLFDIAIGVARGLEYLHDMGNDNVVHLNVKPRNILLDQELRPKISDVGVANLCFGREGKRTGDPRGRDGYDAPEVVSGKFGSVSSKSDVYSYGVIVLEMVRAKRSISVGADTNSKYFAQWLYEHLDQFCNSLSDICSETRDLVRRMIIVGLWQLGPWDLSLTQHADVGDAFPANALAHGLSCITSQGARAEKSPAAPLARMPATSTAARKSTPGLIVPTIRRILTGLRVDYWEATKVVRGFCRRLVVLWWIRQQ >Sspon.07G0028720-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:68416054:68419260:1 gene:Sspon.07G0028720-1B transcript:Sspon.07G0028720-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGDRRDLKRKLQATASARRGILARKKEATASPSQGFGILKKRKEAMAAQDLLELEDVPGGLDTWMDRWLEMKAKMEEEEARPAKRKRVHKQRFPKALIDHLVACPFRNTEDLTPLQLSKRSHKYRQLHALSKFVDGKMRDYEQALIDQYNAQGYAEDEPQVNIRQMTPRSCDSSPPTSWLPHESRQADTTAASSESSAGHVCTKRKGSMVDSRGRDGTSISSGLKLEEIGGGISNPVVQLASCCLCGILVANSVAPTKRYVLINAKEKYEIMPFRIF >Sspon.04G0010050-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:28601847:28610847:-1 gene:Sspon.04G0010050-3C transcript:Sspon.04G0010050-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLIPRPRATSSLLSFRLHPSRALFDTRGGPLPPPGSSPNQPPAAAAMQMAAAVAPTDAPASAAAAAPHPHPHAHAAAAAAAAAAASPHPHGPPHPHHHMPQPRWVVIPYPPPHHPMVAAPPPPPPQFVVNIKVIRNRHSGVSEGYGFVEFYSHVSAEKALHNFSGHVMPNTDRAFKLNWASYSMGEKRTELSSDHSIFVGDLAVDVTDEMLLDLFSNKYRSVKGAKVIIDANTGCSRGYGFVRFGDDNDKTHAMTEMNGVYCSTRPIRVGPATPRRSQGDSGSSPPRQSDVDSTNRTVYVGGLDPNVSEDELRKAFAKYDLASVKIPFGKQCGFVQFVNRADAEEALQGLNGSTIGKQAVRLSWGRSPASKQSRGDSGHRRNGNGNGMYYGTPFYGGYGYASPVPHPNMYAAAYGAYPYYGNQQLVS >Sspon.03G0009040-3P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:5410537:5416693:1 gene:Sspon.03G0009040-3P transcript:Sspon.03G0009040-3P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding QSSGKSSVLESVVGKDFLPRGSGIVTRRPLVLQLHRIDGDREYAEFMHLPRKRFTDFAAVRKEIADETDRETGRSKQISSVPIHLSIFSPHVVNLTLVDLPGLTKVAVEGQPESIVQTLKTWFAHILKSQIVSFWLFPQPIRTLLLLMQSRFHGKLIPKKCTVVDSGERTFGVLTKIDLMDKGTDAVDILEGRSYRLQTPWVGVVNRSQQDINKNVDMIAARRREREYFATTPEYKHMASRMGSEYLGKMLSKHLEQVIKSRIPGLQSLITKTIAELETELNRLGKPIANDAGGSCTRSWKYAVCLTVSTKSIWTERAGGEKVYHVFDNQFPVAIKRLQFDKQLSMENVRKLITEADGYQPHLIAPEQGYRRLIESCLISIRGPAEAAVDAVHAILKDLVRKAINETHELKQFPTLRVEVGNAAFESLDRMRDESKKNTLKLVDMECSYLTVDFFRKLPQDVEKGGNPSHSIFDRYNDSYLRRIGQTVLSYVNMVCSTLRNSIPKSIVYCQVREAKRSLLDHFFTELGAREMKQLSKLLDEDPAVMERRTNLAKRLELYRSAQSEIDAVAWSK >Sspon.08G0016180-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:60927095:60930696:-1 gene:Sspon.08G0016180-3D transcript:Sspon.08G0016180-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLDLDGNKDTSEKLVPAVAESASALTLQETDVSSLVETDVSSLVESFNTTSLEESIIGPGTVPHNTTGSSLRSSPFSCQKFVAAYAESSSPERDVSSSKELLDATLPEEMIIAIPGILPHKTVDGSSSFFPFSYEKLVEGIAESASVQTSQEPDVSSLGAFLDSTSSEEFITASPQAEPCDQKLVAAAVESASMSSKGSTTASPQSVLDNITDGSPPSASSLGSKILTRSRRNWYQVFYIRMDRGGSFCMYPNLGGPFQSIDEADVAIDRYLDELRHRAGCKELAKLSYVERRIHNCKYYLDGTPKRGPNKPKENEKLYLLQALLHKYNEDHNLFGNHAHELEALVGQQWICENHRWYYHFNFTTKQNEGNDNASIRNMFFAEVSHMQGENTLEVNCCCMIKQDENGHCYGCRNNGSPNMKHPDNIGAYTGGHLDGYLPFGLEEASSDDEEAEEERLRAKFK >Sspon.01G0044350-3D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1D:74550171:74550395:1 gene:Sspon.01G0044350-3D transcript:Sspon.01G0044350-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGYAMWVATGVASAFFASLERCSCIHLHTAEDDGDYDDDEDLEEANRSFSRPPQAIPEYYYDRSGSSASFATAK >Sspon.07G0014500-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7A:52423321:52423782:1 gene:Sspon.07G0014500-1A transcript:Sspon.07G0014500-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLAASMHVKWYCELAGVVKVGLSKGMPNCPKHGGVAYSLSSEHHLPTQNPTKVAGMRHGVHLMHNTIRSTSINCASPDKEGKWHMQQETNLLHPAKCLGCVGGKESCSNGCRGKEVEELHSAQHSTAQHREIRRWLTRIVHSITGCGSAIY >Sspon.07G0024600-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7B:25854649:25855029:1 gene:Sspon.07G0024600-1B transcript:Sspon.07G0024600-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGARDMEELWNVTRAFRFLKVHPIIPTTVLLDPGFIPPTDLILYTNLDGPKASPTWLEAIISKRSKCFLPALPHFSLDAFAMFHRLTKYSLHFLNEYSTIPDTGTARIPLNNLLNNSKELVVITSY >Sspon.07G0021590-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:3408381:3408681:-1 gene:Sspon.07G0021590-1B transcript:Sspon.07G0021590-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASRSSSPNSMSKWSPKENKMFEQALAYYGEGTPNRWDKVSSAMGGIKSAEEVRCHYEDLDCDVKMIDTRHRDSGPEVKSMTLRKQTGNK >Sspon.01G0036450-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:15110760:15117865:-1 gene:Sspon.01G0036450-2D transcript:Sspon.01G0036450-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSPAIRRPHLLNRRDREEESPSPPPAAPAHSPSPRGFVVPDRPATGTPAPWNSSSLLARISTSKRTDRAGDSDQIRPVHVAEFPQVVRNAQASLLQKNFSGKNMLAGGIDKETSLAWMICGNELFIWSYLASVAKDCIVFDIPSSLIGYNDVKPVLGIQWTVCIMRWHNSGASTRNSGEMLHRKSSTGVILCNKRTQAVAYWPDIYAEFNRSLVLSSGYGEVSACDAASDCLRFNSLIAAAVPGCIHECIAIASEPAGALWLFQCSPEGIRQRKVHADTLGDGGADHSQKSNGGRSLVWLPSNVSSEGSDRKFFLLTNNEVQCWSISFLYDINVQKLGSHGIVGTDGDVGIKKDIAGQKNIWLLDMQIDEHGKEFSILVATLCKDRVSGSNYTQYSLLTMLYKPNQKFSSEDNLKTGGKPSGSVIILSGDGTATVAMYWRGSTRLYQFDLPWDAGKVLDASIIPSAEDRDEGAWVVLTEKAGVWAIPEKAVLVGGVEPPERSLSRKGSCNETIAEEKRRSQASVLVRVSSEAWSAGERQRPALTGIAQQSVVDEESEMLLNRLFHDFIISSAVNEALQKLRAAGAFEKEGEMNIFVRTSKSIVNTLAKHWTTTREAEFLASTIVSSLVEKQQKHEKFLQFLVLSKCHEELASKQRAAMLTVMEHGEKLSGMAHLRELQNALIQQRSSTHLSPQSKTQGIGALWNLIQLVGEKARRNTVLLMDRDNAEVFYSRVSDIEDLFYCLSHELQYIISREEHPSVQMQRALELANACITLVQAASHYRKDHKEWYPSPEGLITWNSQPVVRSGIWSLASLIMELLGESGAADMSMKSSLWSQLEGLTDILLEAYIGLLTAQFERGQEHGVLVQEYCERRDELLRSLYNLAKQIVEVKYQSKDGKDNPDLKESIFRKAISPILATAKRHEGYQTLWQICYDLDDSGLLRSLMHDSVGPHGGFSFFVFKELVNRGDYSKLLRLGEEFQEELASFLKERSDLLWLHEICLNQFSSASETLHTYALRGSPDGDASFTTSRKPLSFVERRRLLYLSKIAATAGKDIGYEVKVARIEADMRILKLQEEIVQHDPEYAQVKYTNTLLGPSELIEMCLRRDRELSLKAFEVFALTSSSFRSSNRGLLEACWMNATDQDDWVKLSEASTLEGWSDEVIEESLQATVLFKASRLCYSPDAVVYDGTFEDVLPVKKEDVHLRGLESKCLSVEEVLMQHKDFPDAGKLMMTAVIMGKELTFTATEPVEMD >Sspon.03G0015820-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3A:50361221:50364526:-1 gene:Sspon.03G0015820-1A transcript:Sspon.03G0015820-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELVLENVENEEPSFDSGTLGDCGVQMQQTMESMDLDGNLTQERVHSPPVGHISNDFDVDEFEQEEEEAAEEEMIGDDVSTDSDDSGNEEGGPHAMRAPVEAMPSGGAPAMCTPVRGMPSGVPLEVLHGMQSQGTLATGYPDDGVEYEGWSRVREAQQYVAPPSYTSAELTQLREAGLPFTGWIPCSWKLNARKRRSDGKWKVTSVEQPHRCQTNEGKRYHPQLTARYLARRILGLVDKDNDVSVSFLQETIVTFVGYEVTYGKAWRAKQIALAIRWGSWEEAYNRVPRILCAMEHFNPGMRSFIYTGGLYLQNPLRHILYRVFWYFDQCKHAFQYCRPVVLVDGTFLTGKYRGTLMMAAAVDPENQIVPMAFALAEGENNDSWSWFMRLLRVHVLGPSRTICLISDRHIGILNAAGEHIDGHPPLVHRWCMRHFAANFWRRQRKKEVADKLKELCNKRTEREFNETMVELEKMLNQAGKAWLDQQMENKAKWALAYDEGGFRYGIMTTNSSESFNRVFKGVRSLPVSGAWKMGKAAHEYLKEAEELAKQQVAEAYGRDMLVFCVRARGGTNLGGERFGGRTYRVDLDKVECSCNIPQIMHAPCSHMITSCWLRGFDHTVTPYMSPLYLRANTLKVWEKSFEPYLDESQWPPYYGEDYAPYPDLKKVGKGRRKKKRLKGDMDNMKGYNADMYGGGDFDEERAQNLCSICKNPGQNARFHRRARQEMVQGCKMEARAPHPRFSLIEADYDKDHRAQALSEQQRPLRALRVRTHHTHSWDERYATYIRRAGFLEIVRVYNSTLPTLDPALLTAFVDSLAGHPVTGVVDESNWVDLVEQFCGRRPSNAEVKGTKKTSGVSTSWNDNVSEWTNK >Sspon.01G0056650-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1C:91237376:91237970:1 gene:Sspon.01G0056650-1C transcript:Sspon.01G0056650-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDKPITMISDVEAKEPTNLDEEEDIEALAKPPDWLPDGWIMEVYRAEDGTIIRRQHEWLPKGWVMEIRAGGEKTDKM >Sspon.03G0023550-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:95427515:95427865:-1 gene:Sspon.03G0023550-2B transcript:Sspon.03G0023550-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSSSLRRTAAAFVVVELLVLASLLPQLQAARTTPPTDAQGPVQQQREEEVRAATTTTTSSSSSTPASPEFVQERPPPLALLLLPPPPPPPTTTEEASAPRSRMLGSVPSPGVGH >Sspon.05G0000840-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:2934693:2935130:1 gene:Sspon.05G0000840-1A transcript:Sspon.05G0000840-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSCCLAVSVLLAIAATSSATLPALLHEEHLEEATATGLLVAEGARVAALAPTWAANKVSAPQPLEARPSGGMATQGDDQSSSGGSSGEHGKEEGGSKEGEKQGKSCLTKEECHKKKMLCGKGCTLSAHSKCAAKCTKSCVPTC >Sspon.05G0010970-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:25994049:25996403:-1 gene:Sspon.05G0010970-2B transcript:Sspon.05G0010970-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSQGGFGTGSPKSFRYPRGGDFDLESGSPRKGRKPKNPHLETSIVMRVRYFYEAHPVAVALILLSFGLSVLVLLSVYETRFRAMRSVGAWSSDGGEYPFPKLRNLVMVAGHSIYTSSRCGETESENSWFLEPYQKHPGQAATFLAHIKEGVGIAARDEGALLLFSGGETRRDAGPRSEAQSYWAIAESKGWFGNDESVRSRALTEEHARDSFENLLFSVCRFRELTGRYPQNITVVSYDFKEERFAQLHRTALGFPEGRFFFTGTPATPSAREAALKGEAAVRSQFLEDPYGCLGSLHVKRLKRDPFHRTIPYPDGCPELKGLFSYCGPVPYSGHLPWTE >Sspon.05G0008670-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:19945512:19946842:-1 gene:Sspon.05G0008670-2B transcript:Sspon.05G0008670-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCTPPQPLHSSRRPLRAAPAPRCSKSNDAQPSPSSPPSTAAAAAGVRRLVLSPEGRAKLDPRPDRDFYAFPRLVTHVDDGFIATLTDLYRDRLRAGWDVLDLMSSWVSHLPPEVQFRRVVGHGPNAQELAKNPRLDYFFVKDLNKDQQLELEGGTFDAVLCTVSVQYLQSPEKVFAEMFRVLKPGGVCIVSFSNRMFYEKVISAWRDGTAYSRVQLVTQYFQCVEGFTQPEVVRKLPSAGGSSPSSPLDAVMRLFGVAGSDPFYAVISYRNFKPM >Sspon.01G0005030-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:11779591:11782632:1 gene:Sspon.01G0005030-2D transcript:Sspon.01G0005030-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASARDSFPMSVIPCPSASVTPSPTGSSILPKAPQWQALRIPTHGHGGHRRRRGHTREQPLPTIVHSRFAPLGLLVLLGKHEFRDLDWRGHRAVALRRDRHEVIVGIAATPVRLFTFSEHSSYRWKTRNGRRTIEKLSLSHSFT >Sspon.04G0024750-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:21514644:21532672:1 gene:Sspon.04G0024750-1B transcript:Sspon.04G0024750-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAATTTSAAAAQNPNPLNLNIKLPPWLSGLRCPFSFLCSPPPPPPPPPPPPPLPPLEPAVLRPRRLPSLRVTTEYDSEEGVFVNKVSCKLAGDLAKLRLSFQSDPQGQWQGGGEEGDPLQQLFRAPFVGLITKRFSVLYDVEARNALLRGDCSLPGGAVQLRASHDVKAQQGEVSVITSLGDPLYKMELSSLVPYSGLPRATFHFPIGQVSVEERRNEDDEKVLSVYGIGKSDFLDGVLTAQYNENDLNLRYCYKDKELTLVPSVSLPSNAVSIGFKRRFGPSDKLSYHYSFDTDDWNAVYKHTVGTNFKVKAGYDSEVRVGWASLWVGEEGGKAKTAPMKTKLQVMLQVPQDNLRNPVFLFNNPNPLNLNIKLPPWLSGLRCPFSFLCSPPPPPPPPPPPPPLPPLEPAVLRPRRLPSLRVTTEYDSEEGVFVNKVSCKLAGDLAKLRLSFQSDPQGQWQGGGEEGDPLQQLFRAPFVGLITKRFSVLYDVEARNALLRGDCSLPGGAVQLRASHDVKAQQGEVSVITSLGDPLYKMELSSLVPYSGLPRATFHFPIGQVSVEERRNEDDEKVLSVYGIGKSDFLDGVLTAQYNENDLNLRYCYKDKELTLVPSVSLPSNAVSIGFKRRFGPSDKLSYHYSFDTDDWNAVYKHTVGTNFKVKAGYDSEVRVGWASLWVGEEGGKAKTAPMKTKLQVMLQVPQDNLRNPVFLFNVKKRWDL >Sspon.06G0010760-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:42146596:42148250:1 gene:Sspon.06G0010760-2D transcript:Sspon.06G0010760-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTDYYNVLKVNRNATEEDLKKSYRRLAMKWHPDKNPGDVKKEAEAKFKKISEAYEVLSDPQKRAIYDQYGEEGLKASADGGGSTSMNGAAKQRFNPRNAEDVFAEFFGSSKPFENMGRAKSMRFQTEGAGTFGGFGGNENKFRSYNDSVGTSSSQARKPPPVETKLPCTLEELYAGSTRKMKISRNVVKPNGQLGTESEILTIDIKPGWKKGTKITFPDKGNEQSNQLPADLVLVIDEKPHDLYTRESNDLLVHRKIDLVDALAGTTVNLKTLDGRDLVIKVTDVVTPGYELVIAKEGMPIVKENGRRGNLRIKFDVNFPKRLSSEQRHSIRKVLGGQPQQ >Sspon.07G0001840-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:3630232:3630603:1 gene:Sspon.07G0001840-4D transcript:Sspon.07G0001840-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSTTAAPLFPTGGTQPLQQQAPSLGGSGGSGGGSYTPVFVVLGVIAALLVISCLVGQVCTKKHLRPRPRRDRVAYYDDDGMEGGFGPPHHSGIAKMEAPAPAASSVETRAAAAAVQQTAAA >Sspon.04G0020500-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4C:78391544:78392863:-1 gene:Sspon.04G0020500-2C transcript:Sspon.04G0020500-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLGFVELTLLDLFVRRCRNTKTLLGGRLKLKGKALDVKEGGVNKKKKKKHQLEESSQIEHNELQKGGNSDLPTDPNNELVEADKMGDEEGNPHPDYDHLTPAERRYMEQKQKIDMQKMAKVANKSHRDRIQDFNQYLANLSEHYDIPK >Sspon.01G0021630-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:96854637:96857107:-1 gene:Sspon.01G0021630-3C transcript:Sspon.01G0021630-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWCEQLQGEAATRPHRPSLKHRHVPPAPPPNVRGHRRRRRPPPSPMPKPHYAASSPPHPPPQQPPLLPLALVPPRQCPLAAALLALLSVLLATALWLLLVLSPSQGAPPTAASEHSALSDARAAAAAAGPGAASPLSLGHIVFGIAGSAHLWPRRREYVRLWWDPAAMRGNVWLDAGAPAAPGPSAPWEGSLPPIRVSEDTSRFRYTNPTGHPSGLRIARIAAEAVRLVGGGAGARWLVLVDDDTVLCADNLVAVLSKYDWREMVYVGAPSESHSANTYFSHSMAFGGGGVALSFPLAAALAQTLDVCIERYPKLYGSDDRLHACITELGVPLSREYGFHQWDIRGNAHGLLASHPIAPFISIHHVELVDPIYPGLNSLESLELFTKAMKMEPMSFLQRSICYDQSQRLTFAISLGYVVEVYPNVLLPPDLERSQRTYIAYNRMSQRNEFDFDTRDVQKSLCKKPILFFLKDVWKDGNITRGSYARSSARDDLKRKVFCFRSPPLPDIDEIQVSSSPLSKRWHLAPRRLCSALKGSIDGTLFMFVRQCG >Sspon.08G0022550-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:52048566:52063375:1 gene:Sspon.08G0022550-3D transcript:Sspon.08G0022550-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAREKPLQMAAESENGNCNAWAARDPSGVLSPYKFNRRAVQNSDVALKIIYCGVCYADVGWTRNMLNDSKYPLVPGHEIAGVVTQVGADVKGFKVGDHVDGTVTMGGYSTHIVVHERYCFKIPNGYPLAKAAPLLCAGITVYTPMMRHNMNQPGKSLGVIGLGGLGHMAVKFGKAFGLKVTVLSTSESKRDEAINLLGADNFVISSDTQQMEVGGVMTLVGFPSEIKMHPASLLLGARTLSGSVVGGTKDIQEMVEFCAANKIYPEIEIIKIDYINEALARLVNRDVKYRFVIDIENSFNSVQMAAESENGNCDAWAARDPSGVLSPYSFNRRHEIAGVVIQVGADVKGFKVGDHVGVGTYVNSCRDCEHCNSSLENHCPKGVYTFNGIDTDGTVTKGVTPLTLYCFEIPDGYPLAKAAPLLCAGITVYTPMMRHNMNQPGKSLGVIGLGGLGHMAVKFGKAFGLKVTVLSTSESKRHEAISLLGADNFVISSDTQQMEVGGVMAIVGFPSEIKMHPASLNLGARTLSGSVTGGTKDIQEMVNFCAANKIYPEIEIIKIDYINEALTRLVNRDVKYRFVIDIENSFNAFTTLVKTLRVK >Sspon.02G0006350-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:18770683:18773489:-1 gene:Sspon.02G0006350-2B transcript:Sspon.02G0006350-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AMRNQTDGAMSTFGNYQQQNMHILYDVRKEMLSFAPATAAAYRPTSQAAGRCRLHLISPPPQPAGVYRAPAEAAAAAAPSASVKDRRGSLVLGSARGQGRQGLTERREAGLQA >Sspon.02G0020790-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:67703394:67705001:1 gene:Sspon.02G0020790-1A transcript:Sspon.02G0020790-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLCPSAKRRRSEDLAADEPQPRATEATEAANLGPTTHTKEPPPPSEAAAAGGGGSGGGGGEGEGDDVDRISGLPDAVLGEIVSLLSTKEAGRTQILASRWRHVWLASPLVLDGTDLYTKPQAYNHDAEKFIAANQALASVVSLILSAHPGPGRRFCVPPHFLQDLPATVDTWLSSPALVNLQELDFWEGKDMIYRYMQPSPLAPPPASTFRFSATLRVATFGKCELLDNSVEGIHFPHLKQLGLEDVSISEGSLHTIISSCPVLECLLLKGIFGVGSLQISSNSVRSIGVGADHLRDNLQFLQEVIIVDAPCLERFFYLGAYKPTSLTVISAPKLETIGRVNDGWFGHPRLGFGTTSIQGFKVVRLTTALSNVKTLAVTSYHINLDTVIELMKCFPCLEKLYLYIKSCISGKINRNQWRLRNHNISGEMNRWRRKHRDFIKSSDIHLKKIVMT >Sspon.02G0026260-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:91193616:91196334:-1 gene:Sspon.02G0026260-1A transcript:Sspon.02G0026260-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQESSDVAVKCATKAATSDEEGAAVAAAVAPPGPAAASATATPFKFNVHAPEFVPMSPAAASPIASPMSAPAGGYYSPFMQMQPGLAPADWSFFHDHEPVFFMPDFAHAKFGAATATATAAGAAGSNSAQAKGTAATTDVAQKIVKQVEYQFSDINLVANEFLLKIMNKDTEGYVPLSVIASWKKIKSLGATNQMLVKALRTSTKLNVSDDGKKVRRRQPFTEKHKEELQNLPEDSSRNSLEKIFGVVGSVKNIKICHPQEPSTARASKSDTLVSNKMHALVEYETSQQAEKAVEKLNDERNWRKGLRVRTVLRRSPKSVMRLKRADFDHFVGSDDDSPHSSQMSSDSPTADYSSLAAAAAAEAAAAHAHAHVQQQHQEDQQQQNGGSKQKGWARGRGTTKLHITAPQSPQSAPAGMAGHFDPSSPRTSSSSSQKQCPSSPGSRQQVSAAASASSQKCPFSPRHQPHPPQGPRMPDGTRGFTMGRGKPAAAAPTSPAAAAARATPAPVL >Sspon.07G0007620-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7B:17981020:17984094:-1 gene:Sspon.07G0007620-2B transcript:Sspon.07G0007620-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSGRPNGELDAWFRSLMVTSSSEKGQAGSGGPVPTLSGWKDLPMELLVRIISTVGDDRMVIVASGVCTGWRDALGWGVTNLSLTWCKQSMNNLMISLAHKFTKLQVLTLRQNKPQLEDSAVEAVANYCHDLRELDLSRSFGLSDRSLYALAHGCPRLTRLNISGCSNFSDTALIYLTCRCKNLKCLNLCGCVKAATDRALQVIAQNCGQLQSLNLGWCDDVTDKGVTSLASGCPDLRAVDLCGCVLITDESVVALANGCPHLRSLGLYYCQNITDRAMYSLANSRVKSKRGRWDAVKDGLANLNISQCTALTPPAVQAVCDSFPALHTCAERHSLIISGCLSLTSVHCACALHPHRAGRALMANHAY >Sspon.07G0009280-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:22873968:22876758:1 gene:Sspon.07G0009280-4D transcript:Sspon.07G0009280-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ELKTKHAPIYDPALARTLAEYTSAVYTADLTQLFTWTCERCCDLTEGFEVIELIVDVKNCLQAYVGFARDMNAVIVVFRGTQENSIQNWIEDLFWKQLDLDYPGMPEAKVHSGFYSAYHNTTMRDGVVRGIKSTRELCGDVPIMVTGHSMGGAMASFCALDLVVNLGFKDVTLMTFGQPRIGNAIFASNFKRYLPNAIRVTNEHDIVPHLPPYYHYFPQKTYHHFPREVWIHNVGLGSLIIYSIEEICDDSGEDPLVAGL >Sspon.06G0002220-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:5527821:5533395:1 gene:Sspon.06G0002220-3C transcript:Sspon.06G0002220-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGISRPLVAVVLALLIAVAGATAEEPVVAADSVAGAVEAAAALKAEEAAEAAALRAELGQLRAKISALESDIAERSQVLKNKDDAIANLEKAIEEKSKAITSMQGEIASLQAKGSVAAEEQANKANAKAVELEKQIDKLKKDIEAQSSQKAALESRANDAEKKVEELNQKLNTIQKESDEQKRKIKKTERALKVAEEELMRLQLEATAKAKQLTEVHGAWLPPWLAAQYAHYVEVVSGHWNEHGKPAVHNVLHKKWIPVKEKLVVLKKNAEPYVQKVSTRSVEFYESSRDAVTPHVVKVKEFAHPYYQEVKKFSKPYIDQIAEITKPHVEKVRTTLKPYTKRAVRAYGSFLESATTYHRQAQASIMDYLHQHDITKSFATKELVWFLASALLALPVFIIYRLLVETFCTKKNKRSRGGNGNHGHKRHKRRHADK >Sspon.02G0040330-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2B:67519497:67521524:1 gene:Sspon.02G0040330-1B transcript:Sspon.02G0040330-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RCFPALGNRVKRALSGIVSPSEIPCAGGAAPIATFPRHHHLPAQQENLSRPAPIPAPSPSFSPRPNPRPFSILFSPPPSAVIRPPEPKLGQRREGASPSPSILPRTPQSPPHSPPPSTSFSPRRRSPLGLRREGAAASHHGSAARRGISATRFRQGREGASWTEETISISRFVADFFLGCAACYACCVASLIGCFDWHLCLVWKAAGMEGIECLGDTVEKIVKVQQDRKVELEKVTEAQIQISNANLKAAKEKKEAKMFEVYNTLLQKDTNNMSEGWKSGTDKSSPVLFKE >Sspon.05G0016410-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:67050487:67052892:1 gene:Sspon.05G0016410-1A transcript:Sspon.05G0016410-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPRRLRLHLHAAFFLFLLPVFFLLLATRGPGAAASALSTFALAKADATTIVCALLPSAASPLLVDLNCTAAGGDHARQETYPSSHPFAALAGGEDFLCAVGPSGERAGDVDMRWWDLSADGGSRSKRVYMGPPLQALSSGGYRVCGVLDSGELHCWRWRGLNISRDLRFVAAAVGDGFVCGILRGTPASIRCFGNDTAAPEAVAGAPADGSFDVVAACGRRACALSTTGALTCWGRDPPRVDAAATGGYAALALGEVGVCGLRTNSTIGCFGDGVAHPPAGLARAQFLDVRAHGRAFCGVLMANYSLVCWGGHEFNATNRLVFGRVMPGPCVPMSSCQCGVLPGSANLCDEGRCVCVDCAFELNVARPNASVLPGKGGRSRRTMWIAIAAAAGAFLVFFAALQLALFLWCRRRRKHRRGNEQDTSGGAQQSLMPPRLGSSRSRGPGSVVEHFTLDMLHAATDGFSDDSRIGTGSFGSVYRGTLPDGREVAIKRAEESAKASISAAARPARRRDRETAFNSELTALARANHKNIVCLLGCCADSGERVLVYEFMANGTLHDQLHSRIPMAAASATPKNVVEFAVPHILADDVARVLDPRLPAPTLEEAEALAYVGYLAADCVGPVGCDRPSMTEVVDALERALVACGAAPLSRTGTGRRPVLSRSGTDQFDLTDTD >Sspon.01G0021420-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1A:78675114:78678420:-1 gene:Sspon.01G0021420-1A transcript:Sspon.01G0021420-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSLFPWLAWLLVSLAGIYLLGYLVHGHRRRGLPPGPHPLPIIGSLHLLGNQPHRSLARLAKVHGPLMSLRLGSVTTVVASSPAAAREILQRHDAVFSNRSVPDAPGAHAKNSSVWLPNAPRWRALRKIMGAELFAPHRLDALQHLRREKVQELVDHVGRLARGGEAVNVGRVAFTTSLNLVSRTIFSRDLTSLDDDGGSREVQEVVTDIMEAVGSPNVSDFFPALAPADLQGWRRRLAALFARLHRIFDEEIDGRLRGREAGEPKKNDFLDLLLDAAEDDDNTAGLDRDTLRSLFTDLFSAGSDTSSSTVEWAMTELLQSPASMAKVCDELATVIGPRESIEESDIGRLPYLQAVVKETFRLHPPAPLLLPRHAQVDVKIIGYTIPKGSRVFINVWAMGRDKETWPEPEKFVPERFLGKTVDLRGGDFDLIPFGGGRRICPGMPLAIRMVHLLLASLLNQFTWRLPAEVERDGVSNYNRPANR >Sspon.05G0008850-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5A:26218951:26219458:-1 gene:Sspon.05G0008850-1A transcript:Sspon.05G0008850-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARPNRKPRPAIPIPLNAPHLSDFPRRRQVGFTLLAFITPLPAIVVVEAGDRRGGDAGGGAQGRPRPSPTGTSLRRRRSGSSPSRAAAFRTQQQHQLPVPMDPPGSGTTAPFLRSLP >Sspon.03G0017700-3C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3C:76323972:76324334:-1 gene:Sspon.03G0017700-3C transcript:Sspon.03G0017700-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSMDVDAEKCTLTVVGTVDPVCIAQKLKKKCFAVNIISVEDDKPPPPPPDPCKEVCEKKCDKITCCKECKDECKETCERQCKAWLESGSCCSCWPRCAVTSYPYSGCSGGGGWPWPYGC >Sspon.02G0048000-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2C:20556777:20556992:1 gene:Sspon.02G0048000-1C transcript:Sspon.02G0048000-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPQVSERVLPGRPLQLREHVNAMRCHRLLRSAAASRGEGAPHARMDGWMDFDWAAAGAWWALDCGRREP >Sspon.02G0026050-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:92042676:92047527:1 gene:Sspon.02G0026050-2B transcript:Sspon.02G0026050-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKPLDYEELNENVKKVRYAVRGELYLRASELQKEGKKIIFTNVGNPHALGQKPLTFGRQVVALCQAPFLLDDPHVGLMFPSDAIARAKHYLAMTPGGLGAYSDSRGIPGIRKEVADFIHKRDGYPTDPELIYLTDGASKGVMQILNTIIRNERDGILVPVPQYPLYSASISLYGGSLVPYYLEEEANWSLDFVNIRQTVAEARSKGITEAFGIDHLTTNRLLGIPKLAADVAFLDFFQVMFDMGPPLSRELQLVSFHTVSKGNWGECGQRGGYFEMTNLPPKTVDEIYKVASISLSPNVPGQIFMGVMINPPKPGDVSYPKFTAESKYVHESLRRRARMMTDGFNSCRNVVCNFTEGAMYSFPQIRLPPRAIEAAKRAGKAPDVFYCLKLLEATGISTVPGSGFGQKEGVFHLRTTILPAEEDFPAIMSSFKKFNDSFMEQYEGYSRM >Sspon.01G0055250-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1C:76747573:76747797:1 gene:Sspon.01G0055250-1C transcript:Sspon.01G0055250-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPRPLLGIAEPPAAAPGTAAGPAGASRPGAGGRPDRSVAGAEVILAGFAAAVMVTIFCYIRVTRKNSSSGGGG >Sspon.04G0034370-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4C:73598433:73599358:-1 gene:Sspon.04G0034370-1C transcript:Sspon.04G0034370-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAPYGPAHYRYRPNRPACSLRFLISLKNIFPLCSPIACFIAPCGHPLAPIPITAVAISSSVPPSYNMLHHSSVLPWPSLRACAPIMRHTTHSASPPRPSLTAQPSTAAPPHSTSPLRPSTIASHSALVCRGHSVYHATTTRCHQVKVVAGRDIVSPRLAKDITTGRSMLQEYVSSVSEVCCVEWDSQSHTAHIRS >Sspon.03G0022940-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:92428384:92431124:1 gene:Sspon.03G0022940-2B transcript:Sspon.03G0022940-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASGDPLDALPAAVLADVLGRVADAGDIATCRLASRALLAASYLCPRVRLCAADCARRRRDGGGGSPAFRATTANLASLLGPHLRSLSLDTADGQGSPDDAMWVEEGEFDEADDLHLTSGQAVAAWATTSAGTVLRELEIADYWPQACWRKAEALPLISHYCQNLVKLGLKNAWLSVEGLKKMPNLTHLTLEFIRLDDEDLNQLNECFPCLHTLNLIGVGGLKDPKIHLLHLKACRWEASNVPRSLVVHAPDLVFLELKCIRPDTLILDTPSLSTLKLTIDKLGATVRVNGLLRLTNLRIESLDFSSLFPVFIDNRGIRTLELELPECASQYELLEAMNPDYLLRMLASISEVKLAPRFSCELKLCLALCKGSQFGSCLKKLLIHVPQPGSCSHLLPLFDICAPLCEVTVLFHAESADAVRQGAISICMQNFPDIRWQW >Sspon.07G0001860-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7A:4501647:4501878:1 gene:Sspon.07G0001860-1A transcript:Sspon.07G0001860-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding PHTVENTEFGDIDNNHVGVDINSLSSLNSSKAGFHDDSNGRFTNLQLRGSGPIQAWVEYDGNTTRIDVTIAPRTRC >Sspon.01G0024830-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:97362573:97366506:-1 gene:Sspon.01G0024830-2B transcript:Sspon.01G0024830-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRVSQLALRRLLSPPSATAAARRAAPVAAEAVSGGGGVLLPRGGVAGVAANGWSGSGPGLRLARRLCTYDERDILPRNCWLVGYQFFPYMGTILSLLGLNYLRRGITTSAIYLAFACQGSLVQRMGASRLARFAVDDQRRMKVVEMGGAQELLNVLEGAKDDKTRKETLKALAALSKSEEAAGFLDKAGAYAIVSSTPNSGEYAEIQTYKTSLLTAFDQLKS >Sspon.03G0038330-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:5001582:5004328:-1 gene:Sspon.03G0038330-2P transcript:Sspon.03G0038330-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADEIDTRPASAGSRGRPAHATEDDDREEGEIADDAPAPALPATHPLEHSWTFWFDNPQGKSKQAAWGSSIRPIHTFSTVEDFWGLYNNIHHPSKLAMGADFHCFKNKIEPKWEDPICANGGKWTISCGRGKSDTLWLHTLLAMIGEQFDCGDEICGAVVSVRGKQERIAIWTKNAANEAAQISIGKQWKEFLDYKDSIGFIVHDDAKKADKGPRNRYTV >Sspon.01G0038300-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1B:29530397:29531311:-1 gene:Sspon.01G0038300-1B transcript:Sspon.01G0038300-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRQPPPPSPTYFQAFPLLSSPSWAAMASSRPLPPGAPPRDAGPPPLSGAGGQPLLQPTGGASALGVRQPLLHPSSGAVADPTAYRSLAGALQYLTFTRPDITYAVQQVCLHMHDPREPHLTALKRLLRYLRGTLDYGLLLHRASSTDLVVYTDADWAGCPDTRRSTSGYAVFLGGNLVSWSSKRQPVVSRSSAEAEYRAVANGVAEAAWLRQLLAELHTPPSRSTLIYCDNVSAVYLSTNPIQHQRTKHVEIDLHFVRDRVAMGEVRVLHVPTTSQFADIFTKGLPSSTFAEFRSSLNITGG >Sspon.03G0046960-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:50708611:50711860:-1 gene:Sspon.03G0046960-1D transcript:Sspon.03G0046960-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPCSVSLLLLSFSTLVAAHANDEAALLAFKAAAISSGYNGPLASWNRSTTGGYCSWEGVRCRGTHRRVVALSLPSHGLTGVLSPAVGNLSSVRILNLSSNGFSGNIPASLGRLRHLHTIDLSRNAFSGPLPANLSSCTSLIEMVLDFNKLSGNIPSELGDKLKQLEVLRLRNNSFTGRIPASLANLTSLTILDLAFNLLQGTIPTSIGVLKDLSFLGLAFNNLSGEPPISLYNLSSLEMLQIQWNMLSGSIPTDIGRRFPSMRILHLFTNQFTGTIPASLSNLTSLQVLDLAQNMLTGYVPRTIGRLRALQKLYLYNNMLQANDGEGWEFITSLSNCSQLQQLAIHNNADFTGQLPSSIVNLSTTLQTLRLDNTSIWGSIPSAIDNLVALESLGIFNTSISGEIPDSIGKLANLTQLGLFNTNLSGQIPSSVGNLTKLAILEAYHANLEGPIPPSIGKSKSIIYLDLSMNRLSGSIPREIFKQPLLSFVYLDLSYNSLSGPLPSEVGSLVNLNTLVLSGNQLSGEIPKSVEKCTVLQELRLDSNLLNGSIPQYLNKGLVTLNLSMNELSGTIPTAIGSISGLEQLCLAHNNFSGPIPAVLQNLTSLSKLDLSFNDLQGEVPEEGIFRNHVNLSIIGNNKLCGGVPQLHLVPCKTDSVKKSIRGKLKYLKIALPATFALLLLAIIVALKCLVHVISLGVSCSRKQPRERTLIQDAVNEMHAIRDSYLKFARSLVAVAEHGARTILQ >Sspon.02G0054810-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2D:103811419:103813462:-1 gene:Sspon.02G0054810-2D transcript:Sspon.02G0054810-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASWFADLFTDERVKTLSDQVSTLRDKVWDSERKSAQLLHEKGKLEKQLEETNKAAQVLAGEKEEVERSLKGENDKLRLEVSAAEEKYSQSQAEVMKLKMELDALAEEKEAATKAFDAKKAEMILELEDLKRRMEEIQANKDLVDTENVKLRSEVLAIEQKHRLSEAEVERLKVELSTAVEVKEEAAKAFEAEKLEIMKELEELKWKAEEIQTSKDLVIGENDKLRSEVVTTEQKLSRSEKEVERLKMELDALAAVKEAATVEFDAKKIEIMKELEDLNEKVEEIKASKDLVMGENDKLRSDVLTAELKYRQFEEEIERLKMELGAQLESKEAAAKAFNAEKAQIMKELEDLKREVEEIQAFKDFVIGENDKLRLEVLIMQQKHSLYEVEAKEFQMELDELADAKEEAAKAFDVEKAEITKELVDLRRKVEEIQASKELVMVENDKLRSDVLAIEQKHSLFETEIEKLKMELVALTKAKEEAAMVFDAEKTKIMKELEDLKREAERSQANLELAEEAVHNKDALVNNLTAELQELDETMSQLQAAYDRLDAKHSRLTDEKNSIQKAMDNKRAEVALMKSKIEVLQNENAEKDEEIGKLNAALEKKKKRGIRAIFSSAIRCLPSCVSK >Sspon.02G0028610-3C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2C:125930161:125930400:-1 gene:Sspon.02G0028610-3C transcript:Sspon.02G0028610-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPLVARSGDGAFRRNSRLLRLPPSGPVAFSSEVNSSLLGPLLDDADGDGGETLLSRCSAAGPPPSGLGRLAALLRGFFM >Sspon.01G0006420-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:16976277:16979027:-1 gene:Sspon.01G0006420-1A transcript:Sspon.01G0006420-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRCFPYPPPGYVRNPVAVAEAESTAKSVQLLQEITVVRKLQKEREKAEKKKEKRSNRKAPQEGETSKHSKHSHKKRKLEDVIKAGQDPKRESRESVEQLEKSGLSEEHGAPCFVQTIPILFILTCHFTGNILRIKIKSNQESQSALLEKPRLLEQPLVQQMGSGSSLLGKQNSIHHKVNVRSTSAQQRINGDSQAVQKCLITETPSQTMQRVVPQPAAKVTHPVDPLVSVKAPVGRSDLPLKFLGSVPSPARVMGRFEPPPVKLMSQRVQHPASMVSQKVDPQLSKVLQKETGSAVCLPEAPQPPVLQKPKDLPVLMQQEPITSLTKEEPCFSGRNAEAVQVQDTTLSRSDRKKIRKAEKKEKKFRDLFVTWNPLLIENEGSDVGDQDWLFSGTRNSDASMAQCKATDGVEPIHPMVQQQPCLQPRATFLPDLHIYQLPYVVPF >Sspon.05G0020760-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:68364470:68367302:-1 gene:Sspon.05G0020760-2P transcript:Sspon.05G0020760-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSSLLLFPSSSSAAAAPASFTAATHATATAPSLLPPLLPQQSQHHFLQVLRHHLGQQQEHSAAAAMVRKRPAPDVDLPPPRRHVTGDLSDVTAGAAAAGAGAPPPLPPSSASAQLPALPTQLHQLPPAYQFQAHAHHHQAAEVDVPAAHPHAAAAAPSTTAWVDGIIRDIIGSSGGAGVVSVAQLIHNVREIIHPCNPGLASLLELRLRSLLAPADPAPLHPPAPHVAAALPPAPPPLPLHSADKQCPQQHEQEPNNPPAPSQSPKAPTAEETAAAAAAAAKERKEEQRRRQRDEEGLHLLTLLLQCAEAVNADNLDDAHRWLLEIAELATPFGTSTQRVAAYFAEAMSARLVSSCLGIYAPLPPGNPLAARLHGGRVAAAFQVFNGISPFVKFSHFTANQAIQEAFEREDRVHIIDLDIMQGLQWPGLFHILASRPGGPPRVRLTGLGASMDALQATGKRLSDFADTLGLPFEFCAVAEKAGNVDPDKLGVTRREAVAVHWLHHSLYDVTGSDSNTLWLIQRLAPKVVTMVEQDLSQSGSFLARFVEAIHYYSALFDSLDASYGEDSPERHVVEQQLLSREIRNVLAVGGPARTGDVKFVGSWREKLAQSGFRAASLAGGAAAQASLLLGMFPSDGYTLVEENGALKLGWKDLCLLTASAWRPIHQA >Sspon.08G0012170-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:49844354:49845710:-1 gene:Sspon.08G0012170-2P transcript:Sspon.08G0012170-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRACCAKEGVKRGAWTAKEDDILAAYVKAHGEGKWREVPQKAGLRRCGKSCRLRWLNYLRPNIKRGNISYDEEDLIIRLHKLLGNRWSLIAGRLPGRTDNEIKNYWNSTLGRRAGTGAVGSSRVVVIAPDTGSHATPAASGSCETGQKQGAAAPRADPDSAGSAAATATAAAVWAPKAVRCTGGLLFFHRDTPAPHAGDETTTPVAGGGGGEAGSSPDDCSSSAASVSPLVGSQQDEPCFSGGGGDWMDDVRALASFLESDEEWLRCQTTAEHERLA >Sspon.05G0007730-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:24090253:24095545:1 gene:Sspon.05G0007730-1A transcript:Sspon.05G0007730-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLAKRPYGIRFAASSFSVGSGTAVTEDFSSQQTDNLDFRTSVLSTMASGGFVDWRGNLVDRQVHGGVKAAWFLHFLTFVINMVNVPILLNLVTYLRGTMHMGVSDSATTVTNFVGATSGFALIGAFLSDSYITRSRTILLFGPLEFLGYGLLALQAYVPSLHPPTCNIEAEPSNCKEAHGWNATLFYTALYISAFGEGCIRACLPSLGADQFDHEDPSESHQQSSFFNWFTFGISFGGFVGLIFIVWLENYKGWDIALGLSAILILLGLLVFAAGLPFYRNQVPEGSPLARILQVLVVAFRNRRFGLPEELEEAHESSAERGSTKVLSQTNSLKFLDKACINHGKEGAWSLCSVTKVEETKAVLRMLPLFVSSMIGYVSNPIIFTFTVQQGGMTNTRLGKIHVSPATLFIIPITFQMVMLAIYDRFMVPFLRRRTGYASGITHLQRIGIGFSSMILASVIAAVVERKRKEAAVQMSLFWLAPQFFLLGVSDVTSFPGLLEFFNSEAPRGMKSIATALFWCEIGLASLLATFLVQAVNSITRRHGHHGGWLEGSSLDSSHLDLFYWVVAVVGLLGFLNYLYWAKKYVYRQDTRIVDEPSVDQDSH >Sspon.01G0021400-1P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1A:78762006:78762788:1 gene:Sspon.01G0021400-1P transcript:Sspon.01G0021400-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLFPWFAWLLVALVGAYLLVLAHDRRRGLPPGPHPLPVIGSLHLLGDQPHRSFARLAKIHGPLMSLRLGAVTTVVASSPAVAREFMQRHDAVLSNRTIPDALGDHAKNSMIWLPNNPRWRALRKIMATELFAPHRLDALQHLRRGKVQELVDHVGRLARGGQAVNVGRVAFVTSLNLLSRTMFSRDLTSLDDDGGASREFQEVVTDIMEAVGSPNVSDFFPPLAAADLQGWRRRLAKLFAQQHRVFDEEIDGRLRSREA >Sspon.01G0043940-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1C:73843565:73847195:1 gene:Sspon.01G0043940-2C transcript:Sspon.01G0043940-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DGGFTYNHRFLLILDSALFAYCTAIDFTCRGFLSRGVGRAMGNSCVTGASPALLGKTRDPAFKWKFYGFSALLDRGLLQPILPFFAVVDMVASYHFDIKKKRSEKKYLIPLEELLKSSDFLVDDSCVFGVRILKAQVSSQNKPIVIPKKPITVQNVFLQKKGFIKGTYTWTMNNFLDMKVPVRSPAFEVGGHKWYINMYPLGDQYSTNSLSLFLHLHDLNKIPLEIGIVIELTLFILDQKHEEHYTVTGSAP >Sspon.04G0026350-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:55872334:55878095:-1 gene:Sspon.04G0026350-2D transcript:Sspon.04G0026350-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQGRNQQHPQQLSSPPVLAAASETKAPAVASQQPKPAAPVMPVPRQWPIAFNPLKPSTEVKSVTPKKKKHCTVNAFKNFNTVMAATVQIVETLLGMRMPETKQLRQFVNVIRLLFNLKSKMAQIHSMFERKAFQDNSGAVPPVPKHHKGCHCKKSGCLKKYCECYQANVFCSKNCRCMHCKNSEGNEDTETSIQRDHASDRNHIQQAANVAFNGTVGSSGYICSLSRKRSHEDALGVRIKSEGSMPETRYQQDNHADVSLLAPAPCSTGFDRHNAANSKSNNPIYSLETSHNEIDLIAEEKGFQSNNRLSNGNCIQQDFKEASSMDILSKGCSHQPNINEMGSHWSETLNVSRSASPTTQALMCDEQNITFGNEPASPTTQALMCEEQNTTFGNEPASPTTQALMCEEQNTICGNDYRSSFPLVSRDQDTSEINAVQENLVLTGLRQYLCAIIRRGGTNEQKSSLEAAMELDAGQHHGAPPAFSAIEAEENFPSSSTIETPRTNQQPTPNGGSKD >Sspon.01G0009310-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:28327882:28333125:1 gene:Sspon.01G0009310-1P transcript:Sspon.01G0009310-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LLCITFDEDINRGKFGYDPSPAYSASFVRPWSTTVDPTWRAYCYSSSESFIISPETLWEDLKPAVSYLQPEELNFVHDALKLAYEAHSGQKRRSGEPFIIHPVEELDWESIAAGLLHDTVEDTDVVTFERIENEFGPTVRRIVEGETKVSKLGKLQCKSEGSSKQDLKADDLRQMFLAMTEEVRVIIVKLADRLHNMRTLTHMPQHKQYAIAMETLQVFAPLAKLLGMYHIKSELEYLSFMYVNPTGFAELRKRVEDLYKAHEQELEEANRILRQKIVEDQFLDLVSVETEVRSVYKELYSIYKTTLKSKSSINEVNQVAQLRIIIKPKSCNGVGPLCTAQQVKDYIATPKPNGYQSLHTTVIPFLNESMFHLEVQIRTEDMDLIAERGIAAHYSGRGVVSGPVRPGISSGRNAKGKVICLNNTGFALRIGWLNAIREWQEEFVGNMSSREFVDTITRDLLGSRVFVFTPKGEIKNLPEGATVVDYAYLIHTEIGNKMIAAKVNGNL >Sspon.07G0005930-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:13578155:13583252:-1 gene:Sspon.07G0005930-2B transcript:Sspon.07G0005930-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTCRRRVLGVWFFFWFLLTFEQCASLNHEGAALLRFKAGIDADPYGALLDWDEESLKPCSWFGVECSDDGLVMALILHNNSFYGIIPREIGDLQDLKMLDLGYNNFSGPIPSELQNILSLEFLFLKGNSLSGCSPGGVQQLTRICEPENQVPIPTTRIATINIRRLLVSKRKDFEMINITDPIRSPNDMGFPWNSPAAPSPSEPIPPPPPSPAPPSQENKNKSSATIYASIGAVIVFLVAASSALCFFYYCRKKTSTVVPLSANSSSRQLQTTTMEGITLFRQSELETACEGFSNIIGTLPGCTLYKGTLPCGAEIAVVSTTVAYAGGWSAIAEAHYMNKVGALSKVNHKNLLNLVGYCEDEEPFIRMMVFEYASNGSLFERLHVKEAEHLNWQSRLRIAMGVLYCLDYMHQQNTHVTLRNLNSSCIYLTEDDAAKVADISYGVAKKEEDEYDAHDEYSTVYKFALLLLETISGRRPYSDDDGLLVLWAHRYLTGGSPVMGMVDPTLNSVPEEHVSALSELIRLCLSEDRRQRPTMAEVTKRMQEITGMTQDQAIPRNSALWWAELEIITS >Sspon.04G0007050-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:20202465:20208961:1 gene:Sspon.04G0007050-1A transcript:Sspon.04G0007050-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRWIPLEANPDVMNQFIWGLGAPEGDVQFCDVYGLDDELLAMVPQPVLAVLFLYPLTSLDEEEKEESSGSATSTAGGKELSKKVYFTKQTVGNACGTVGVIHAIGNATSQIKLVEGSYFEKFYKQTADMDPVQRATFLEEDDEMEDAHSVAASAGDTDANVDVNEHFVCFSCVDGELYELDGRKSQPTSHGPSSPETLLQDAAKVIKARIAENPNSMNFNVMALSKK >Sspon.01G0051890-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:18497121:18497544:-1 gene:Sspon.01G0051890-1C transcript:Sspon.01G0051890-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVSISGCITSSSPTPSTTTTTTTGAITSASAGGGPGDADRAPLLARYLFVSGVPSCQAKRSSEATGKLLFSGGFWCPDL >Sspon.01G0011670-3C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1C:74195579:74199465:-1 gene:Sspon.01G0011670-3C transcript:Sspon.01G0011670-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding YSVTALNKFYTTMNSPSQLTNWVPQNGDPCGQSWLGVTCSGSRVTTIDVSNNNLGGSDIPYNLPPNLERLNLGHNQLSDINVMFDQLTNLTTLDFSYNSFSGNLPESFDSLTSLSTLYLQDNQFTGTIDVLTDLPLTDLNVANNQFSGSIPDKLKTISNLQTSGNSFSNSPASATAPPSYNPPSRPSPSRTPSHSNNNNSPSRESDTNNGGSGGKSSKVGGAAVAGIVISLVVVSALVAFFLIKRKSVRRQQGCDPEKNEHLSPLASRKIKQLRPIRTVSLSPTTKELKKNVSMNLKPPSKIDLHKSFDENDPTNKPAAEKVNVSSIRATAYTVADLQVATKSFSADNLVSEGRFGRVYRAQLCDQKILAVKKINFSAIPGHPSDFFIELVGNIAKLNHPNLSELDGYCSEHGQCLLAYEFYKNGSLYDLLHLSDGYSKPLSWNNRVKIALGSARALEYLHETCSPSIIHKNFKSSNIMLDDDLNPHISDCGFADLIPNQELQESDDNSGYRAPEVTMSGQYSQKSDVYSFGVVMLELLTGRKAFDSSRARSQQSLVRWASPQLHDIDSLDQMVDPTLEGLYHAKSLSRFADAIALCVQPEPEFRPPMSEVVQSLVRLVQRASMGTALSSEWNSCLFDESGDHTL >Sspon.05G0008960-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:26303191:26307227:1 gene:Sspon.05G0008960-1A transcript:Sspon.05G0008960-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVRPGCFIKGVAQPQITQHRRCLMADEVKYGDTLKRFNASVNGSHFDHDLPALRLKIASAFKFSPDAEFTLTYTDDDGDDVMLDDDNDIRDAAVNQKLNPLRIIVQLKGSNVGAAQTKQHTTNFNSPRSTSLEDQLAQVKSAIDEALKFVPEQIPAVFAKLSHDLRSRAALSAPSLAELLDRFAKLIARSSNMQPSGGSEVGSQKLGNSKGKLESAPMTVSASEPSDTQNSGTPENGLKSVLLENPTAKIDQVALCPSVEDSLVFTSLGGMKSELKRSADNGIKIKSDARSKGKSVISSAPPASTTSHGAPTQRPIPVPSMRESKLMYGTNPTYTSCGSNGTANGGLRSLFPPPAVFHPRSPVFPPYNPIFGANGKTSGDMLSTFSPPPNIYPFEYTPSSVGTRFPNPYPIGSSHDRMASLHCNVPNPEEKSFGSSYRGLGANYGCIPQGEQHRWVQCDGCGVTPIVGPRYKSNFLFILTCFLADSKEDYDLCGPCFSHIGNEAEYTRMDSPASRCNIKILERVPAAKTNSLFIKDVTVPDGTPMAPSHPFTKIWRIRNNGSTRWPYGTQLVWVGGDHLASPSSVRLAILVNGRINPCEETDVTVDFLAPARPGRYISYWRLALPSGQRFGQQIWVHIKVEQPIQSSGGKQAAAMNLNQLPEANSTKLKPFTIDLETNSVSSEPFYGRSGIPEANNTKLKPFILDLETNSVPSEPLRCPLSFRETMKLEESKPAPGDMSSVPTIVEPVQNPVTDVSAKSLLASIPDSVPASEAFPQPNPVPMLPVSSSAPVVDHVSMPAPAATVAPVPAAPLPEQIINHLEEKLMTELEGLGFMQADLNKQILRQNNYDLEQSVGFGDAEMNKEVVDNSDEEGFIVADLVTKTANDH >Sspon.03G0025510-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:77953532:77958986:1 gene:Sspon.03G0025510-1A transcript:Sspon.03G0025510-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWPPLEVAAPAPAAGRRLPHAPEVRPLLQGVAPSMAWLGPPPPPAAARAVRPGPAPLLRPSTRPLPPGPICLLLLLSTMPFLLPPFLQQSQQPRVWAPDLVSSSPVLAATQMPKSLLDGSRVQQTLSENLSGYSRIITITKLLSTL >Sspon.01G0056400-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:89178660:89183966:1 gene:Sspon.01G0056400-1C transcript:Sspon.01G0056400-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLPGIAGLEYAAATRKLVGENVANVRTDVMKDQLATFRPQLEEFTCTHKLTDTSRVQCRRPLSPDWHTSKKNHELQLPNPNPRIGTGSIDTD >Sspon.04G0019270-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4B:71784094:71790533:1 gene:Sspon.04G0019270-2B transcript:Sspon.04G0019270-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDENRSEINKKRRHVDLDNNMVAHSTDGPVPLSSIAGTAGVDDTDTKEDIITIYESGPINRLVTCQKERKRDRDRARNAAMSPEQRVLLNKRRRELYATKRSAKMLEMTPKETAQSTDVTQETPVPPPNNCTDYDEDVIFEEDEEEDEAYFFAGQEEEETDVKHTLDTDEQENNEPDVPDPYDKVYTNVPSESHMLPSVPNCKRCNAKKFDERKAKRKTHGHTAQHLPVLQQILLEDAPRPLLLFNKSRPPPPCSSDPAAAVVKPSPALPRPPPPLVGQAAPREEDQQARGDTGEAAGADMVLWELTAITAYFLGLRRTYRLALRIQRRLIPPNHPRIRDFVYRRTRDVFNIAVSVHKNIQQRDIEVGRNLGNAILRWLDRMKPSAEIRPRLPGPPNGSSEQYRHVSSASRSAGAQKTASKASPHDSSGKMLFSRLNIRPKSFPVLPTMTQPNRISASSQCRRISYTPFPSVTAKRKSLMEGVFRKDIAQLVA >Sspon.01G0014940-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:27328773:27330613:1 gene:Sspon.01G0014940-2B transcript:Sspon.01G0014940-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKGTNALDVLEGRAYRLQHPWVGIVNRSQADINRNVDMIIARRKEQEFFDSSPEYSHLASRMGSEYLAKLLSQHLEAAIRSRIPSITSLINKTIDELESEMDHLGRPIASDAGAQLYLILELCRAFDKIFKEHLDGGRPGGDRIYGVFDNQLPSALRKLPFDRHLSVQNVKRVVSQADGYQPHLIAPEQGYRRLIESALNYFRGPAEASVDAVRNLLPVLIVHSVLKELVRISIGETQELKRFPTLQTELAAACYKALERFREDGRKTTLRLVDMESAYLTVEFFRKLPQEVDKTGTGNPRTANPPAPGNPRTANPPAPLDDRYSDAQFRRIASNVSSYIGMVSETLKNTIPKSVVHCQVREAKRSLLNDFYTQVGGKDAKQLAVLLDEDPALMERRLQCFKRLELYKSARDEID >Sspon.04G0015220-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:63229295:63230330:1 gene:Sspon.04G0015220-3C transcript:Sspon.04G0015220-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding KMNDLFTSASFKKYADLKHQVVLDDLESGGGGTEAEAAACLDRFFEDVEGVKEDLRGLEALHRRLQSAHEESKTAHDARAVKALRARMDADVDQVLRRAKAVKARLEALDRANAASRRLPGCGPGSSTDRTRTSVVTGLGKKLKDLMDDFQGLRTRMAAEYKETVARRYYTVTGEEAAEGTVEALIASGQSETFLQKAIQEQAAGRGQVLDTVSEIQERHDAVVELERSLRELHQVFLDMAALVEAQGHQLNDIESHVARASSFVLRGAVELEAAREYRRSGRKWACVAVVAGVVLVAVVVLPVLVNLHLLSLRR >Sspon.05G0004400-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:13159239:13162714:-1 gene:Sspon.05G0004400-1A transcript:Sspon.05G0004400-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLQAIAGFMLVKLAEIRKEVQCPICLGIIRKTRTVMECLHRFCRDCIDKSMRLGNNECPACRTHCASRRSLRDDPKYDVLIAALYPDIDKYEEEEFAFSEQERIRNKKIQEAIEETFRRQSDAIGKKRSVAKATATAFARKYRRTRGRVRTITPDIAPTGSAEEDREEENAKETTREQSSADDHSPDLRQKRCRKRSRPQGSPAGTIGSIDHSFEENDELVGGKEILATSPLRGEMLAWGKNGTRSQNRHGSVGSNGRIGRSGRIAKLVDHLRTADEMDKELYLILLPVDGQTIPNLEKPYISCRPTLSIQHLVQFVALQLSWKVEELEMYIRMDRHCVSVGSKLSSTGEAKPRPFDGLERLREDKLLSELRPSFASSNGNM >Sspon.08G0019330-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8B:11227706:11228010:-1 gene:Sspon.08G0019330-1B transcript:Sspon.08G0019330-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding CGAVGEGHDAAEDGRTVGEGGVARRSGAGIGLRDKWGNFSDGRWKRHRGVVERVNFSRPKKEDTRSDETDDYVQAFALFNDIITSMHCICLHIKPTLLSA >Sspon.01G0039890-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:33022056:33025307:1 gene:Sspon.01G0039890-3D transcript:Sspon.01G0039890-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAEAEGTPPPQPAAGEPATTARAQPISAAQFLSWKQRKDAEEAARKAEAAQKRAADIASGAVQMNGRELFQHEPGVFDNNIY >Sspon.01G0023820-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:85509010:85511098:-1 gene:Sspon.01G0023820-1A transcript:Sspon.01G0023820-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIAVVAPVAAAYSCSSSSLAAPPRAGAAAAARAASRAHVAAAGMSSRASSFVGGGGGGGLAAVAASVAARPRRGAGSGGGGALGCKCLFGLGVPELAVIAGVAALVFGPKQLPEIGRSIGKTVKSFQQAAKEFESELKKEPGEGDDQPPPAAPTAVSGGEEKKGLEASSSKEST >Sspon.06G0005950-3C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6C:18587719:18588125:-1 gene:Sspon.06G0005950-3C transcript:Sspon.06G0005950-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AHDERSRDYTLSDPNAQLQAAAQAPVLSSPGVVWQNTASAPAAPFYASTAASTPVGQVPAWNPNMQAGGFASASTAYPNQPLMANSMPHYPAIGSSSGAPPVLFQASQQMPQYGMPPGAPPHAPPAGQPMYFPK >Sspon.08G0000150-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:919933:924910:1 gene:Sspon.08G0000150-1A transcript:Sspon.08G0000150-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTWFRAASGAARLALRRSLASRASPAATRCGRGFHSTALRPRSAAPVPRAVPLSRLSDSFLDGTSSVYLEELQRAWEADPSSVDESWDNFFRNFVAQASPSAGVSGQTIQESMQLLLLVRAYQVNGHMMAKLDPLGLDDRAVPEDLHLGLYGFTDADLDREFFLGVWRMSGFLSENRPVLTLREILSKLQQAYCGPIGYEYMHIPDRDKCNWLREKIETAKLKEYNKDRRLVMLDRLIWSTQFENFLATKWATAKRFGLEGGETLIPGMKEMFDRAADLGVENIVIGMPHRGRLNVLGNVVRKPLSQIFSEFTGGTRPVEGEDGLYTGTGDVKYHLGTSYDRPTRGGNRIHLSLVANPSHLEAVDPVVIGKTRAKQFYSNDADRTKNMGILIHGDGSFAGQGVVYETLHLSALPNYTTGGTIHIVVNNQVAFTTDPRAGRSSQYCTDVAKALNVPIFHVNGDDLEAVVRVCELAAEWRQTFHSDVVVDLICYRRFGHNEIDEPSFTQPKMYQVIKNHPSSLKLYEQKLLGTGEVSKEDVQRIHDKVNRILNEEFAKSKDYVPNKRDWLSAYWTGFKSPEQISRVRNTGVKPEILKRVGQAITTLPENFKPHRAVKKIFDLRAAMIESGEGIDWAVAEALAFATLIVEGNHVRLSGQDVERGTFSHRHAVLHDQETGAKYCPLDHVAMNQNEELFTVSNSSLSEFAVLGFELGYSMENPNSLVLWEAQFGDFANGAQVMFDQFLSSGEAKWLRQTGLVVLLPHGYDGQGPEHSSARLERFLQMSDDNPFVIPEMEPTLRKQIQECNWQVVNVTTPANYFHLLRRQIHREFRKPLIVTAPKNLLRHKDCKSNLSEFDDVEGHLGFDKQGTRFKRLIKDRNDHKQVEEGINRLILCSGKVYYELDEERKKSERGDVAICRVEQLCPFPYDLVQRELKRYPNAEIVWCQEEPMNMGAYSYICPRLFTAMKALGRGSFEDIKYVGRAPSAATATGFLSVHVQEQSELVKKALQPEPIKFP >Sspon.06G0005410-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:15913202:15916168:1 gene:Sspon.06G0005410-2B transcript:Sspon.06G0005410-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:cyclase associated protein 1 [Source:Projected from Arabidopsis thaliana (AT4G34490) TAIR;Acc:AT4G34490] MGEALIGRLEAAVSRLEALSGGARGGLSNTTASAQDPAIVAFDDLVASALGRVSAAAGKIGADVAEVTGLVEKAFLVGKDLLVRTNQTQKPTMESVTTFMGPLNETILEANALAEGTRSIYANHLKAAAGSLAALAWIGYTGKGCGMPLPIAHVEESWQMAEFYSNKVLVEYKNKDPNHVEWVKALKELYLPDLRDYVKRYYPLGPVWQPPGSANNKAPSVPSAPSTSLCISSSSSSQPKSGMSAVFAEISSGKPVTQGLRKVTDDMKSKNRTDKTGVVAAEGKETRNAPSFSSTKGPAKLELQMGRKWVVEHHVGNKSLTIEDCDTKQSVYVYGCKDCVLQVKGKVNNITIDKCTKVGVLFKGVVAACEIVNCNSAEVQCEGSVPTISIDNTSGCQLYLSKESLETSITTAKSSEINALVPDANTDGDWAEHSLPQQYIHAFKDGQFTTSPVSHSGA >Sspon.01G0019430-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:72786331:72804776:1 gene:Sspon.01G0019430-1A transcript:Sspon.01G0019430-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAQATATVKEALAALYHHPDAAIRTAADRWLQEFQHTLDAWQVADSLLHDESSNLETLIFCSQTLRSKVLLKKFNKGPQKVRTQICIAIAALAVHVPVEDWGAGGIVNWLSDEMKAHPEFIPGFLELLIVLPQETSSYKIAARPERRRQFEIDLCSSANVAIDLLTACMAIDQLKEQVLEGRTTIVFDDLLGAGLEVGVTSELIHSTVSHGSGTIAEQMPLIQILVPHIMGLKEQLKDPSKDEEDVKAIARLYADMGESYVDLIATGSDDSIQIVNALLEVTSHLEFDISSMTFNFWHRLKRNLIRRDSYVSYGSEVAIEAEKNRRLQIFRPKFETLVSLVSFRVEYPEDYHTFSEEDRRDFRHVRYAVSDVLLDATEVLGGDSTLKLLSTKLAQFLGYFGILCSLL >Sspon.04G0007250-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:20261551:20264337:-1 gene:Sspon.04G0007250-3C transcript:Sspon.04G0007250-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLQPAGPSANFEELPAGGSGSGAGAGAAASIIQDDDMQADFGASGVGASGSGGHHRWPREETLALIRIRTEMDADFRNAPSKRRSGRTSPELTVRPCWGAFCFCRKLAGLGYQRSAKKCKEKFENVDKYYKRTKDARAGRQDGKSYRFFSQLEALHAAAPPPHPSGMSMTTTVQTGPHQPMAVPWTAGPTALGPPAGAGLPDLSFSSMSGSESDSDSDSDSDDYDDSDAGEQGLGRGDCDREMMAIFEGMMKQVTDKQDAMQRVFLETLERWEAERTAREEAWRRQEVARMNREREQLARERAAAASRDAALIAFLQRVGGGQGQPVRLPPHSAGAGVVPAPPISDYTPSSPRRHDAAATSLQQLVPAPLKAVETLAWAGGEGSGPTSSSRWPKEEVEALIQMRNEKDEQYQDAGAKGPLWEDIAAGMRRIGYNRSAKRCKEKWENINKYYKKVKESNKRRPEDSKTCPYFHQLDAMYSKKHRGGGRGSRTAPGANMATAVTVAAVAVAATVQDNPSQRELEGKSSNDVDNRKNDEQGNVHTSPGNGDTAPTTTPPGDGAKGKTAEDNVNETNVQHQQQFSADETDSDDDINMARDYTVYTEEGNDEDKMKNKMGVQKPD >Sspon.05G0029780-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:83264045:83265545:-1 gene:Sspon.05G0029780-1B transcript:Sspon.05G0029780-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKDCGNHADDDFRQSCRRLLLILLTLALLAGVIALIIYLVLRPTHPRFYLQDASLRQLDLTNGTAPLLSTTAQVTLASRNPNARVGIFYDRLDVSASYKYQQITLGSRLQPAPLYQGHGDVDVWSPVLAGPNVPFAPFLADALRKDIAAGYLILQVRIDGRVRWKVGSWVSGHYHIFVTCPAYFINAGAGSGYGGTVGAHGLRFQTATYCRVEAEILRKK >Sspon.07G0005680-3C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7C:9863625:9866819:-1 gene:Sspon.07G0005680-3C transcript:Sspon.07G0005680-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPSRLLLLLPVLVLLAASAGAAAAATVSDDVLALVVLKSGLSDPADRLAPWSEDADRACAWPGVSCDPRTGRVAALDLPAASLAGRLPRSALLRLDALVSLALPGNRLSGTLPDALPPRLRALDLSGNAISGGIPASLASCDSLVSLNLSRNRLTGPVPDGIWSLPSLRSVDLSGNLLSGSLPGGFPRSSSLRVVDLSRNLLEGEIPADVGEAGLLKSLDLGHNLFTGGLPESLRGLSGLSFLGAGGNGLSGELQAWIGEMAALERLDLSGNHFVGTIPDAISGCKNLVEVDLSRNALTGELPWWVFGLPLQRVSVAGNALSGWVKVPGDAASTLEALDLSANAFTGAIPPEITTLARLQSLNLSSNSMSGQLPASIGMMLVLEVLDVSANKFDGVVPPEIGGAVALRQLLMGSNSLAGGIPVQIGTCKSLIALDLSHNKLAGSIPISMGNLASLQTVDLSDNLLNGTLPTELSKLDSLRFFNVSHNSLSGSLPNSRFFDNIPYSFISDNDGLCSSQKNSSCNGVMPKPIVFNPNSSSDPWSDVAPSSPSNRHQKKMILSISTLIAIVGGAVILIGVVTITVLNCRARATASRSALPTALSDDYHSQSAESPENEAKSGKLVMFGRGSSDFSADGHALLNKDCELGRGGFGTVYRAVLRDGQPVAIKKLTVSSMVKSEDDFKQHVKLLGKVRHHNIVTLKGFYWTSSLQLLIYEFMPAGSLHQHLHECSYDSSLSWMERFDIIIGVARALVHLHRYGIIHYNLKSSNVLLDTNGEPRVGDYGLVNLLPVLDQYVLSSKIQSALGYMAPEFTCRTVKVTEKCDIYSFGVLVLEILTGRRPVEYLEDDVVVLSDLVRGAVDDDRLEDCMDPRLSGDEFSMEEATLIIKLGLVCASQVPSQRPDMAEVVSMLEMVRSPQGTPEDDLV >Sspon.05G0036650-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:73009957:73013461:-1 gene:Sspon.05G0036650-1P transcript:Sspon.05G0036650-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMCKDEGMVEKEAIVSSPVIKEEARSPKQAQDPQQSSGSGERKPRPQLPEALKCPRCNSNNTKFCYYNNYSTTQPRYFCKACRRYWTHGGALRNVPIGGRCHKNKRASGSFSGSSTSSSSSAAYAPLSPGTNTSSSKMSINTQLMMVPNTTMAAPMTMGLFPNVLPTLMSTGGGGNFDFTMENQQVSLPFTPMYLSNQASMPVLATGGSGTTPSFLEMLRRGLLHGSSSYDAGLTMNGMDMPFPLPSYGAMHGHGLSGSTTNDVRQLVGTQQGVNTDGGFAGLAGVQGEEDEEEGDNKAMVKSGKNNNGGSLLDRYWIKPNNNNNNNNNNNNSANNKGQQGYRKIISNFPRNLVIAVSR >Sspon.02G0026210-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:96492559:96499785:1 gene:Sspon.02G0026210-3C transcript:Sspon.02G0026210-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGESAPAEEPPVACQAAVEAIPRGAGMSLGFALHPCTIFLSMGVDMRMNLSLFLTIYFSSVLFIIAFHIIFIGLWYIGLVARMAGTRPGIWTILQNCTVISIACCVFYSHCGNRAVHKSKSFGSSSDPNLLAFLKNENGSTWISNFLRMNQLKDEICSSWFAPVGSASDYPILAKWVIYGELVCSGSCAGPSDEISPLYSLWATFVGLYIANFVVERSTGWALTHPSTDLEDEKLKRHMKPDFLDMGRPFYNFNYTKTSADLFKTAFDLMVSVTLFVGRFDMRMMQAAMKGPTDNTPNDDLLYDYFNEREDLWFDFAADTGDGGNSSYTVARLLAQPSIRTVIGGSMHTLPRGNLLIIGGDLAYPNPSSFTYERRFFRPFEYALQPPPWYSDEHIALDKPELPPGVSKMTEYDGPQCFIIPGNHDWFDGLHTFMRYICHKSWLGGWFLPQKKSYFALHLPKGWWIFGLDLSLHGDVDVYQFKFFADVCRNKVGENDSVIVVTHEPNWLLDWYWNETTGKNVSHLIQEYLKGRCKLRMAGDLHHFMRHSATRSEKTNFVQHLLVNGCGGAFLHPTHVFRNFERFSGTTYECKAAYPSYDESSGFSDQLKKLLCTIPPIIALGNILKFRKKNWQFDIIGGFIYFILVFSMFPQCNLVHILNEETWSGRLKSFSGTIWSALLYIFEHSYVSSVGSLTLLMASYSFVPSKLSRRRRAIIGGLHVLAHLTAALLLMLLLELGIEICIRNHLLATSGYHTLYEWYRSMESEHFPDPTGLRARLEQWTLGLYPACIKYLMSAFDVPEVMAVTRINICKNGMLSLSRSVLIMYYTSVFIYFWIFSTPVVSLIFGSYLYICINWFHIHFDEAFSSLRIANYKSFTRFRIKKDGDLEIFTLAVDKVPKGWKLDPRWESEGRGPHQLSHDRKHPSKWRSASSPDPVRSVRVVDHFTIERTRTPDTEPSS >Sspon.04G0010910-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:29353450:29354854:-1 gene:Sspon.04G0010910-2B transcript:Sspon.04G0010910-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRLPRLAFVFLLALVYRQLQAPPPKIPGTPGGPPVTSPRIRLEDGRHLAYHESGVPREEAKYKIIFMHGFDSCRYDALRVSPELAQELGIYLLSFDRPGYGESDPHPGRTEKSVALDIEQLADALELGPRFYLTGFSMGGEIMWSCLKYIPHRLSGVAILGPVGNFWWSGFPANVTLDAWNVQVAQDKWAVRVAHHAPWLTYWWNTQKLFPASSVIAFNPAIMSRADMALIPSLAYRTHAYQARQQGEHESLHRDMMAGFGKWSWSPLELEDPFPGGEGKVHLWHGAEDLIVPVGLSRYIAQSLPWVRYHELPTAGHLFPMAEGMADVIVKSLLLGDE >Sspon.01G0040230-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1B:39313415:39313639:1 gene:Sspon.01G0040230-1B transcript:Sspon.01G0040230-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MWERLPSHWAVRPLGLHCMYLLGRGDAIAWSYRGVVVWELRGRRGAVSVSPSGPHGHLGKVSGGQSWRPRGVSG >Sspon.04G0037030-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:60024341:60031823:1 gene:Sspon.04G0037030-1D transcript:Sspon.04G0037030-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLRLAACHVFLLLAAAAAAAAAESNSSANCTTLRDGEIIRTAFSQVANFPLPLPGRRACRPVRRLHFPSRNLTGAVNWAALGNLTSLLTVDLSNNSLHGHVDGSSFWRAPLLRAVDVSRNHLEGVLRFDYPSTRLATLNVSSNRFTSVDSVAGLSGVVALDVSRNAIGTVPAGLRSLTLVRVLNLSGNHMTGMFPVDLPPLGGLQVLDISHNNFSGAVDAATVLKFGNSSFVQAGNALRVIEDIAPAPSSNGGNRHKRAVTIALKKKKDKDRKTAVWEDDEVVVGAVKVAAAAPVVVLERPLMELTLADLAAATSGFGRESQLADTGGCSGAAYRNQKLVLYEYMEKGDLHRWLHELPVGSMDTEDISIDTMEAIEDRKPAGDWPTRYRIILGIARGLAFLHQGWAGAAGSGSGRRPIVHGRLVPTNILLGDDMEPRISDFLHAGSSSDETSTPASDVYRFGTLVFEVVTGQARWSDASTTSWARGVIRNRKGLNLVDDRLRDETAGTEAEKEMAECLQVGFLCTAGAPEKRPTMQQVVGLLKDVRPPASAGG >Sspon.08G0012780-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8A:54549032:54549361:-1 gene:Sspon.08G0012780-1A transcript:Sspon.08G0012780-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGGRRRKMTGAGDHLESLPSVWKASGLDPSFMNFMADGPEMRRRSPCYCYGYLSSLLGVELKLTTASLRLQLGVSLPLQPCLHFTPPPAPGSLVALWREQATRASRS >Sspon.03G0043490-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3C:81423302:81423463:1 gene:Sspon.03G0043490-1C transcript:Sspon.03G0043490-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSRSHGSCAAPLGETAAAAAPRSWTLSAFHGPTRPIGLPVADRSCRCLRAI >Sspon.02G0026040-4D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2D:85173027:85182150:-1 gene:Sspon.02G0026040-4D transcript:Sspon.02G0026040-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SRFR1 [Source:Projected from Arabidopsis thaliana (AT4G37460) UniProtKB/TrEMBL;Acc:A0A178V335] MGQQGPAPMGKRMRGKGSDCRRRGGEVERRPWPPGRRRQPPGGGAAAGQRRKERKLTLGSDYHVGLTLSSLGEYKRAEDEHLIALKYDESFLDCWAHLAQLYLDLAYPEKLLNCLEKAIQVDSRSAIKELSIALTYEGSSIECLYLRASCHHAIGEYKAAIKDYDDVLDLELDSMDKFVLQCLSFYQKEMALYIASKANLEFSQFNIDDDVDPLFKVYRQPPLRISLRNGRLNKQDFKFTKHQTTLLLAADSIGKKIQYNCRGFLPNERQYRMAGLAAIEIAQKVSKAWRFLRNPKNIAKLVRKRDKLNMSQNRGGYCSTSTLAGSPTSSPNEDRVSSGISLSWQDVYNIAVKWRQISEPCDPVVWVNKLSEEFNSGFGSHTPMLLGQAKVVRYYPYYQRVLETAKTIMLDLKYVNNAEDRAIFLTDIEKLKKIEIASSCSDLYHVVGETYWVATRCDSMAFRGRRLEGTRITTQNMGKTGFDFAIRTPCTPSRWEEYDEEMSAAWEAICEAYCNDTNPTRDPGMLDAVKDAILRMTYYWYNFMPLSRGSAVVGYVVLLGLFLAANMDITASIPPDVQVDWEAILSPDPDTFVDDIKPWLYPSTKISRCLKDYTDVSIAFSTTGSVVAALTSVDP >Sspon.06G0020280-3D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3D:12216657:12218078:-1 gene:Sspon.06G0020280-3D transcript:Sspon.06G0020280-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSDTRLLARTRYKALDRYKARWVLRGFTQRPGVDYDETFSPVVKPATVRTVLTLAHSRDWPIHQLDVKNAFLHGVLSETVYCSQPTGFTDSTLPNHVCKLNKSLYGLKQAPRAWYSRFAAYLVTLGFSEARSDTSLFIYRRGADTVYLLLYVDDIILTASSQQLLSRVIDALKKEFAMKDLGPLHHFLGMSVQRRGDAIFLSQQQYALDILARHGMTDCKPCSTPVDTCAKASADGGPAVADPTNYRSLAGALQYLTFTRPDIAYAVQQICLHMHDPRESHFAAAKRILRYLRGTLDYGLVIPRSSPTQLIVYTDADWAGCPDTRRSTSGYAVFLGGSLVSWSSKRQHTVSRSSAEAEYRAVANGVAEVSWLQQLLQELHHPLHSACLVYCDNVSAVYLSTNPVQHQRTKHVEIDLHFVRERVAVGAVRVLHVPTTSQFADVFTKGLPSSVFTDFRSSLNVRPADVPTAGGC >Sspon.06G0024540-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6C:55623483:55623851:1 gene:Sspon.06G0024540-2C transcript:Sspon.06G0024540-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLLDLHHRQHHGSMPGHTARKPPPIARVAAGGRGPGAGGASTSTTYLGAEAAAVLACVTATLLLLPLLLPPLPPPPPLVLLVPVAIFAVLLLLFLVPSDARAAVAVAAPATSPSSSSSSYL >Sspon.01G0003040-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:8153859:8156791:1 gene:Sspon.01G0003040-1A transcript:Sspon.01G0003040-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:4-coumarate--CoA ligase-like 7 [Source:Projected from Arabidopsis thaliana (AT4G05160) UniProtKB/Swiss-Prot;Acc:Q9M0X9] MAMASKEAPAAGYGEDGVYRSMRPAVSIPSDPTLSLNDLIFRHADACPSALALVDADTGSALTFAALRSAALTAAAALSSRAGVRPGDVVLLLAPNCVLYPVCFLAVTALGAVATTANPLYTTREIAKQAADARAGLIVTVSDLLPKVAELRLPVILLDGNGDAAASVPPSGANVTLYSDLVDGVQETEYRRPPTKRSDTAALLYSSGTTGVSKGVVMTHGNFIAAHAMLASDQDARGEGQNVLLCFLPMFHIFGLSVVTLGQLQRGNAVVVMPRFDMDSAMAAVERHRVTYLCCVPPVMIALAKHGSAGRYDLRSLKFIISGAAPLGKDVMEAVAKDFPSAKIIQGYGMTEFCGIISLENPEMGKAHKSFGSTGTLVTQVEAKIVDVETLKHLPPNQLGEICVRGPSIMQGYLNNAEATKSTIKQGWLHTGDLGYFDEEGHLFVVDRLKELIKYKGFQIAPAELEGLLLSHPEILDAAVIPFPDAEAGEIPVAYIVRSLTSSLSEADVKNFIGKQVMLTRNLDLVELFSLVNFP >Sspon.03G0036260-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:85403130:85407778:-1 gene:Sspon.03G0036260-2C transcript:Sspon.03G0036260-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLRALWQASFNATKRALVWSSDDLIPPTERYIFNFNSKDELKRWHLYSDSEYGGVFSGNLSLDMSEDSTWRIRRYGFCGMRSKKFDGFIDLDAYDTIAMKIKGDGRCYISTIYTENWVNSPGQEEDNSWQAFVYIPQDRWQVLKIPLDRYLPTWRGNVIEAKLEMNPARIVGMSLSVNAEGGVPGAKTGPGDFRLEVDWIKALRML >Sspon.01G0022950-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1A:83493642:83497951:1 gene:Sspon.01G0022950-1A transcript:Sspon.01G0022950-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATE1 [Source:Projected from Arabidopsis thaliana (AT1G13690) UniProtKB/TrEMBL;Acc:A0A178WKP3] SEQRKPTPQPDTSRLPVPAPAYLAAGDMNQPVQKNTLYVGGLAEEVDEKILHAAFVPFGEVKDVKTPLDQSTQKHRSFGFVTFLEREDAAAAMDNMDGAELFGRVLTVNYAFPERIKGGEQGWAAQPIWADADTWFERQQQEEEMQRLQAEHRAAMQAAEKLHREKLAAEREGEKEEETADPMAAAEAQAVKQSS >Sspon.02G0015750-3C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:43654931:43655922:-1 gene:Sspon.02G0015750-3C transcript:Sspon.02G0015750-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding QMAVTAALNGVGLALQIPAIFAFVADSVDGASRGMAFGWLAVAGRPAPDGPTGRVVTPATVKPVRQELQEFAREAKAVMRIPSFQVIIAQGLTGSFPWSALLFTAMWLELVGFSHGETAALMTLFKVATSLGSLFGGKMGDALARRFKNSGRIVLSQISSGSAIPLSGVLLLALPNDPPSTVKHGAALFILGIMASWNSTATNSPILAEIVPPRAMTTVFALDRTFEAVLASFAPPVVGLLAERLYGYKLASSTTGDGVDEPTAVDVEMERHNATSLARAIYTSIA >Sspon.06G0006380-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:21782872:21783138:-1 gene:Sspon.06G0006380-2D transcript:Sspon.06G0006380-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LIRSMVVMGQLGRLVDGIKSRLRAGAGNKQRGGATRKVTAAAGYDKVEKTESMRVEIRSRQARKLIAKNLDAADSIARAGRTRTNKRFF >Sspon.05G0031510-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:5510161:5512718:1 gene:Sspon.05G0031510-2D transcript:Sspon.05G0031510-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALALATTPLAHLAVAPPPISGSQSSLLLLPRRASPAPVSLSLPLRSRLIAAVATKEEPELGGGGSGGDDGAGGSGGGSDPRGGGKEGEGDGDGEEEKMGQGLSMSQKLTLAYAALVGAGGVMGYMKSGSQKSLAAGGISALVLYFVHTQLPVRPVFASSIGLGISAALLSVMGSRFKKSRKIFPAGVVSLVSLVMVGGYFHGILRSSHA >Sspon.02G0058420-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2D:74983218:74984047:-1 gene:Sspon.02G0058420-1D transcript:Sspon.02G0058420-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVRAYCTHRQDRLRRSPYFLASRASPRLLRHYPASCAHAGPPSPLPPSLSASRNHHRATLYLSASHTHAWHLSSYNRRLWQRNISLLLGIMEAHRRVEFTKGSTLVALVGGGPMTTIARRGREAAAARLGMSTAKRRDSEAAARYALADD >Sspon.01G0035950-1P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:16067203:16072073:-1 gene:Sspon.01G0035950-1P transcript:Sspon.01G0035950-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEISNPEGRKYPHADRKEAYLRERWMLTSAEAWERPSATAASHWSLAVLRCDHWLRTLRCAADLHSLSDDEDDGDGEAATGWGGRVGVAADVSIDSEGFCVALSVGLPPGSTSIRLKLAKAARLELLELGLGGTEGEGRSRESEPPGAALAAGIGALGAQCAGAQGRGDEAVGGGPSTEGDWGGLRTTGRRRFRPSALPGLPLPADARARDERVLASAALAALRCSPSAVPPLGHRRAPCAAANLHTSVKLRIDEPPFIQAASENYLRQPPAVVARPAYMCVDVEGARAGYRASADRDRAGRNTEQAQDMVRHGPPREAA >Sspon.05G0030150-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5C:82790245:82791741:1 gene:Sspon.05G0030150-2C transcript:Sspon.05G0030150-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSHLSISAAAVIVIVSVVLLLNSHVGSCSCYKRIFGFGDGTMDTGNFVHMLGKAPSRLKELPYGKTFFKNATGRMSDGRVLIDFYDARKHFLGDSDLVLMGEIGGNDYYAYFNA >Sspon.01G0046010-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:95966834:95967376:-1 gene:Sspon.01G0046010-1B transcript:Sspon.01G0046010-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRKAKPAAQATAAVAAATGVQYWLLKTEPGEWSWSDQARAPGGVAPWDGVRNRQAMNNLRAMWPGDRCLFYHSGAGAASRRVVGVVEVVRPWYEGDGEGKEAAAGGAVDVRAVGEFRNPVPLGDIKKAAGEVEEMKGFALLRQARLSVMPVPARIWDWICDAGGGFVQDGEVEDEEEEV >Sspon.07G0008150-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:22311115:22311396:-1 gene:Sspon.07G0008150-1P transcript:Sspon.07G0008150-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MCPEHCSWPRHGSPGPGIGSGTNRKLTAYALSFSPFIQNTSYTTQPGIYFLSEHLCTFKVQLFTSGRAHGRVSVGARSAVAGESLASCELRAF >Sspon.04G0027960-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:67464682:67464984:1 gene:Sspon.04G0027960-2D transcript:Sspon.04G0027960-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTAAAAAAASATGSSGPPVMKRPTMRQATRNRGRHQSTKSSAESRSTSHCHTPQHGHRYSGWSRRRKQARAELEPDEEARTPRRGKKKKRRKGGTSEQL >Sspon.07G0023790-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7B:19435703:19436542:1 gene:Sspon.07G0023790-1B transcript:Sspon.07G0023790-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPKDNAPSKRPRKSRTKSSKSVNPSQPQVGRHPIVDKSPTPGPNLQPGSTVHPNSDPGISEHPDSIVLGNVESNNGVKEISINYIDSGESYDRKTTVVDMYFSATIIEIFLNDPDPKTMAECKKCSDWAQWKEAIQAEIASLIRRGVFTSAIPTPSKVFLVGFKWVFIWKQNENNEVVRYKARLVAQGFTQRPDIDYNETYSPIMSGITFRYLISLAVQNHLSMQLMDVVTTYLYGSLDSDIYMKVFDGIQILNPNANRNMYCVKLQKSLYGLKQSG >Sspon.03G0023330-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:94626012:94626656:-1 gene:Sspon.03G0023330-2B transcript:Sspon.03G0023330-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding CSRRRGRTGSNPGAGAGSEEGGGAAAVVKAEDDLDGGAAARHVRPGPGAVQGQQEGRGRRRCHQGRAAAAAVDRGAATAAAGGGGAGAVRVLRHAGGVHAPVRAPRPGPLLRALGVRAVRGGGQRRGREGRRQRRRHPHGGGRAGGAHGRVRTVQPRGARQPRAHADGGHAGDPQEAVQVQQPPGPRRPRRRARQELQLHPRHHQGLQLTFGWGA >Sspon.01G0039860-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1B:37290846:37291134:1 gene:Sspon.01G0039860-1B transcript:Sspon.01G0039860-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AAVRGRGQRFSKASGRSCVLSLPCLSGRRGRRSRMQR >Sspon.02G0007770-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:25383298:25386807:1 gene:Sspon.02G0007770-3C transcript:Sspon.02G0007770-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRVASLSPSLSGDEVDVEEEEEDEGVDGYRKGGYHAVRPGDQFAAGRYVAQRKLGWGNFSTVWLAFDVQSQRYVALKIQKSAPDFAQAALHEIEFLSEITKRDPSNCKCIIQLVDHFKHAGPNGQHICLVFELLGDSLLKLVQYNRYKGIGLNRVKQICKSILVGLDYLHNELGIIHSDLKLENVLLVSTIDPSKDPIRSGLKPNLERPEGNPNGEAGLNAIEKKLKMRARRVLAKLAEKRKSAVESSRSERSLDGIDLTCKIVDFGNACWADKQFTDFIQTRQYRAPEIILGAGYSFSVDMWSFACIAFELATGEMLFTPKEGHGYSEDEDHLALMMELLGKMPKKIATMGTRSKEYFDRHGDLKRIRRLKLSSIERVLVDKYKICESDAREFASFLCPLLDFAPEKRPTALDCLKHPWLRYNEDKTCGPLNNNDAKNIDLAQSTGSITSGDCTNIDLTSKKGGPTGTCDKTADAKYNTRSITSNASINTDVQPNTGSIANRLAKNVDVNPNIGSITNRDAKTSDMKPHIGSVTISDSKSSNAKLDTGNITDRNAKTVNIKPSSDNISSRDDKSSNVDTTTSSVVNKDVKRSIRSVVNSYIKNFDAQCNTGSPATSDAQNSSDMKPSTRIVSSADNAKCMDIKPISASAKSNDTINANVKSNTGIVANSDAKNTDAQTNIGSVDSSDDYSIDSKPNIGRVAASIQRLESSMSKVQIGKY >Sspon.02G0034490-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:8204119:8208830:-1 gene:Sspon.02G0034490-1P transcript:Sspon.02G0034490-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWTSTGDPYANVGEAGLTFDSAESAKAFAEKHGWNYVVRKRHTPLLKISELGLHPMYFAKEETDQF >Sspon.02G0000510-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2A:2118799:2119035:-1 gene:Sspon.02G0000510-1A transcript:Sspon.02G0000510-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVPPPESLIFEPFIETDEIIISNKLENGSARHLVWKGENDWLEITVGVVGKRGEMHSLNPSITVKESGDILSLEEKFQ >Sspon.06G0010700-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:58218854:58221053:1 gene:Sspon.06G0010700-1A transcript:Sspon.06G0010700-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLQEEFEEYAEKAKTLPENTSNVNKLVLYGLYKQATVGIVNTDRPGIFYQKDRAKWDAWKAVQGKSKEEAMSDYITKVKQLQEEAATS >Sspon.03G0020550-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3A:63894880:63896275:1 gene:Sspon.03G0020550-1A transcript:Sspon.03G0020550-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDRLTDASEVLKVLKSTSADNADDLSAALRRFAVHMDEDIILTVLQKQRSNWQVALAFFNWAATLPGYAHGSRAYTKMLDILGRMKKVRHMRQLFDEIPEERRGEVVTNKMFAVLLNRYAGAHKVQEAIEVFYLRKDYGFELDLVGFQILLMSLCRYKHVEEAEALFREKKDEFPHVTKSWNIILNGWCVKGSWRDALRIWNDIIASKVERDLFTYGTFINALAKNGRISAAVKLFKSMWEKGINPDVAICNCIIDQLCFKKRIPEALEIFGEMNDRRMEQTGCELDSDTYNLILNLYINWKYEKGVQQVWDEMERRGSGPDQRSFTIMVHGLHSQGKLDEALQYYTTMKSRGMIPEPRTKILVKAMHMKKDGAATEDDSTSMAGKHLKLDPRSRLFNVHR >Sspon.01G0028330-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:76159250:76160507:1 gene:Sspon.01G0028330-1P transcript:Sspon.01G0028330-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPFHGIVKEEFDFDFDFDFTGASAGDAAASSWAVALPELPRPMEGLGEVGPTPFLTKTYDVVDDPNTDTVVSWGFAGNSFVVWDADAFATVILPRYFKHSNFSSFVRQLNTYGFRKVDPDRWEFANEGFQRGQRELLKTIKRRRPPSSPSSAQQGQAPSACLEVGQFGLDGEVHRLQRDKGILLAEVVKLRQEQQATRAQMQAMEERIATAEQKQQQMTVFLARAMKNPSFIRMLVDRQGLGGRRRELEDALSKKRRRPIEYHLPRDGESSGGTATEAAVSDYISGLPVGVNGVAEADDDESKREGSGVGGGEDTESFWVELLSLGLEEKHREGSGGGGEEGSGADVDNDVDDDVDVLVQSIYHLNPNPNPNPGSPSG >Sspon.01G0040600-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:38246090:38248728:1 gene:Sspon.01G0040600-3D transcript:Sspon.01G0040600-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VDAFTDEPFKGNSAAVCLLEDDAGVAGEHLDERWMQAVAAEFNTPHHRVPEAVFLLLLYTLDLTLLEKSYLTLLIVQSELCGHGTLAAAHYLISSGLVECDTIEFVAKSGCLTAKRIVGSKDASNLYSPAQYTCSKFFVELDFPVIPVVKCNSAEVFSLLDTLNGASFINELQAVSAFSDLVVIPFVEVSSCDEVENVCPNIAELVQCPGRGVAITGPAREGSSYDFVTRFFSPKYGINEDPVCASVHCSLAPYWGLKLGKHNMTAFMASPRSGTLCLQWDEETQRVRIRGEAITVM >Sspon.02G0019010-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:64035531:64039511:-1 gene:Sspon.02G0019010-3C transcript:Sspon.02G0019010-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAILPRTVRKAAEAALSLHSVPPRPACHFARYLGGVSSACSNPGTASVEFLPWHNGGGILHRAASVDPTAVVEPGAVVHSGAVLGREVVVGSGAVVGPSVSIGQSTRIGYNAVLSNCSVGDFCIIHNGASIGQDGFGFFVDEAGQVKKKPQNCAQTSPVINCSWRETMIGDHTKIDNLVQIGHNVVIGKCCMICGQVGIAGSATLGDYVTLGGRVAIRDHVSIVSKVRLAANSSVTKDIQEPGDYGGFPAAAEVAESMSMEVT >Sspon.06G0011170-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:48009014:48009805:1 gene:Sspon.06G0011170-3C transcript:Sspon.06G0011170-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVNPSNNPAMFDDDDDVPLSFKRTSNSLKSARPTPSKQEGSSGGAARPAPSKQEGSSGGAGNPVRSHKPVAPNPQKNGVTGASRPVHMKPPSSSPNHRPSGSGQPNSSAQHSSKGNSIVDKSKLKRPLVKDERSDDSDDEVPIGLRRKAEEKKLKRVDTGVQKADDSDDDDKPLSLKINSSKMSSNSASKPVPQRTVAPKVEQPDEDSDDDKPLASRLPNNAGPKSGGDVSEDSEDEKPLAARFSKLLESGNLKTASSSKG >Sspon.04G0015150-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:65539425:65544202:1 gene:Sspon.04G0015150-4D transcript:Sspon.04G0015150-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RRAPLFVLEKFSKLLETSLRPLLRPNPRSRSPRPAPLRMDHPWRFPAGAGTGADLCPVCCARHFPFCPPPPLPPHPFPYDLQPPPPPPPLDPFPYDFHPPPPPPPPLPPMWGPPLPGPHDPRPYELHGREGPHKRMRVGEAPPFDPYDFVPPPPPGRASVEGDRLLGLIRDHGRNPLPGCPPDGGFGYGGGRFYPRQGGDFADFDQKLPPPMPIEDRYNGFGQVFVPEEGPHRKYFDGANHHFHQFHPEALPGAPLHPPLPRYPEAANPHGSHAWHLEAGAVPLPAERPFPSNQDYRAAPPRPTANSSLFPVLSGSPTTEIIPRLLVPCLKFIRCQTQTVMMDTSTMRCLYAFSTYGPGFIYRPQSEQNLIDGRPTSAHYSLENSKVTIINACDLFKPPLRGSRPDHIVIILRGLPGSGKSYLAKALRDLEVENGANAPRIHSMDDYFMIEVEKKVEDTEGSKSSSASKGRRQLTKKVIEYCYEPEMEETYRSSMLKAFSKTLDEGNFTFVIVDDRNLRVADFAQFWATAKKSGYEVYLLEAPYKDPTGCAARNVHGFTLDEIKKMAADWEEAPPLYLQLDIHSLFHDDNLRGHSIQ >Sspon.06G0003280-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:10369212:10373634:-1 gene:Sspon.06G0003280-1A transcript:Sspon.06G0003280-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSGDPPGSADEKKAPKSEGSSDERQGVPPAGFSNPFDFASMQSLLNDPSIKEMADQIAKDPAFNRMAEQLQKSAHSTGEQGMPPLDPQQYMETMQKVMENPQFMTMAERLGNALMQDPAMSSMLETFSSPSHKEQLEERMSRIKEDPAMKSILDELENGGPSAMMKYWNDPDTLQKIGEAMGASFPFGAGSSAEPSATEETEEEGGDDDESIVHHTASVGDEEGLKKALDGGADKDEEDSEGRRALHFACGYGELKCAQILLEAGAAVDALDKNKNTPLHYAAGYGRKECVDLLLQHGAAVTLQNLDGKTPIDVAKLNNQDEVLKLLEKDAFL >Sspon.05G0011280-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:32252418:32253778:-1 gene:Sspon.05G0011280-1A transcript:Sspon.05G0011280-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LLKIGPAHSPSYWICDGIIKGDGIRDISDTLHQEIHWEIDSSQVGRVLEKLMEDPKALFFLVEDNSPLLKERPYRWICATSKNLLIQEDMKAILPRASSLFVALEKSDNPQGFPSGFLKHCSNLGVLILSHCAFGFVSPPFLWCLRLRFLGLDHCTYDNTSEGENNNTNWTWLQNLWVLDLRYTEWDDILSEEKMDNMNNLRELNIEGFMCWQLATRLHGKLPYLRSLRIIKPMHKANTSIDSSSSLFVDKTTELEILDLSGNRDMINLSISSSMARSLQTLILDGCDGLENVVVHDGLPSSLRSSEQRHTSDADTSAVKTSKISLRGCTQLENLFVSGLPNLEELDVSGSAIKVLDFETMVINVLGLKRLILLGCEHLRAIKWATLDSVEQLELLCVDCGHTTMESTWTLPSIRNLSVCSCMVLWQMRGS >Sspon.05G0011050-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:23088255:23090393:-1 gene:Sspon.05G0011050-2C transcript:Sspon.05G0011050-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At3g26540 [Source:Projected from Arabidopsis thaliana (AT3G26540) UniProtKB/Swiss-Prot;Acc:Q9LRV2] MAAASAAASTVSAISNHVAAGHLFAAIDALPPCSASSLLPHSLYASLLRLATSRRSLAAARRVASHLASSSSSSPSTSISFTPTFLFNRAIESLAACGSLTDAREMFDAMPRRDGGSWNAIISASSRAGHPAEAFSLFADMKSLGIRPKDVTLASVLACCAECLDLRGAQQLHGHIAKRDFQSNVILGTALVDVYGKCLLLTDARRAFDGILQPNDISWNVIIRRYLLAGMGDMAVHMFFRMVWAGVRPLVYTVTHAMLACRDNGALKEGRCIHAFVLRHGYEHHVHVRSSVVDMYAKCGDIDAAQRLFNLAPKKDVVMSTSVVSGLAACGRIAGAKRVFDGMEQHTLVSWNAMLTGYVKSMDLTGALDLFQQMRHETKELDVVTLGSVLNACTGLLDLGKGEELHALALKCGLFGYPFLMNALVRLYSKCGCLRNAERLLLFEMGSERERFSWNSLISGYERHSMSEAALHALREMQSEAKPSQSTFSSALAACANIFLLNHGKQIHAYMIRNGYDIDDILRSALVDMYSKCRLFDYSSRIFELGLSNDVILWNSMIFACAYNSKGDYGLELFDEMRKQRIRPDSVTFLGALVSCICEGHVGLGRSYFTLMTDEYSIVPRIEHYECMIELLGKHGYMVELEDFVDHMPFEPTTAMWLRIFDCCREYGNRKLGERAAQRINDSKPLTPVIFVESTPDYECSGSDDVEPMSFC >Sspon.07G0013390-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:34299896:34301643:1 gene:Sspon.07G0013390-3C transcript:Sspon.07G0013390-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSAHDKVTDSGAFDQSPSTFRSFVSRDSSARFAAAPGRYHLYVSYSCPLACRCLAYLKLKGLDHAIGFTSVKPIFERTKETDDHMGWVFPAAGDEEPGAEPDPINGAKSIRELYEIASANYAGKPSVPVLWDKQLKTIVNNEISDIIRMLNNEFNEFAENPGLDLYPAHLQASIDEINELVYEAINIGVYKCGFAKQQGPYDEAVTKLYEALDRCEDILSRQRFLCGNQLTEADVCLFTTLIRFDEVYSVCFKCNKKLIREYPNLFNYTKDIYQISGISSTVNMEHIRKSYYGGHSPINPYGIIP >Sspon.08G0026800-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:34078722:34081245:-1 gene:Sspon.08G0026800-1C transcript:Sspon.08G0026800-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPLRSAAAAPCSVAGLLLHRFSSCSSSSVYSVRHHASPAVSSQPTTCSTTTWSPFPHYSSPRIRGFAAWASAPGQAGSADSPATKALEAKIKEQLEADTVTVVDTSGDGRHVCRLVTLAADREVDGQLGLPGGGWLAGLNGGAAAGWQRRRGGSVAGGSGAAGRGCGLGIGCWSGVAEEGKRGGDAEEERGGRRMERRRGRREELRD >Sspon.05G0012390-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5B:31598759:31603287:-1 gene:Sspon.05G0012390-2B transcript:Sspon.05G0012390-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLRRVFFLLSSPSPRAPLAKPLLLLRPPKTLAPTARQLVAMSAPAPSAGGAGAMSRDAFRAAVTNTLERRLFFVPSFKIYGGVAGLYDYGPPGCAVKANVLAFWRQHFVLEEGMLEVDCPCVTPEVVLKASGHVDKFTDLMVKDEKTGNCYRADHLLKDFCKDKLEKDHTLSPEMVEEYNRVLAILDDLSAEQLGAKIKEYGIVAPDTKNPLSDPYPFNLMFQTSIGPSGLSPGYMRPETAQGIFVNFKDLYYYNGNRLPFAAAQIGLLRVREFTLAEIEHFVDPEDKSHPKFGDVSDLEFLMFPREDQMAGRSATKLKLRNAVSEGTVNNETLGYFIGRVYLFLTQLGIDKDRLRFRQHLPNEMAHYAADCWDAEIECSYGWIECVGIADRSAYDLRAHSDKSGEKLEAHEKFAEPREVEKLVITPSKKELGLAFKGNQKMVLESLEAMTETEALDMKAVLESKGEVEFKVCTLGKDVTIKKN >Sspon.02G0059150-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:89661642:89666061:1 gene:Sspon.02G0059150-1D transcript:Sspon.02G0059150-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSGGSVSRTLSSIEDELDDEHSCCLDFGSASTRPGEAQARARSASAPPGLQSTSISPRPAESTPPRRPRAVPLSHHHRPGLATAMAAATTANPSRPLILSPRRPPIPTLFDLEAPSLSERRPPPFHGGRFHKGWPGSTSWWQIHTVAAEIHEVSCPATLAPGEAEAVAAWLRCAQSADVCSGAGVLPRKLQPATCKGTASHYRSHPWTIPAPVEVLCWCLGLLTVRALWAVATALFVAMMWYVHKSCFVCGDDVGLAHGGERWHEAARQRRACMQGIPHHQGNRRHKVVKGGQVFPSPPSQGCQGRPAQ >Sspon.01G0012460-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:33410200:33414252:1 gene:Sspon.01G0012460-3C transcript:Sspon.01G0012460-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTRMVKAFFSQEATEFGGQMGCMATMFQIFDRRRLLTGRRHGHTLPVSSTQAPVQSTANPNITVEKSFSKSKSVTENSTHSTESSRASSASSSCSSLSSLNSGKPAQQKLPCINEKPVVGRSRTMRSSRSFKFSDTEVKSKQPKTEFRDVVKDSINQGSRVLAIKTKMMEQRDRLHKDSPRPLLISKSTDETYVIAIDRSNVPPAYVNESSRRPRLSCDDRQLMLQAEAQDSKMPSSKLRDLPRLSLDSRVESVKSSLHLKNFGYARTDDSLIDNLKYQESPSHRRASGVIAKLMGLEETLDAPGSARSHRPVHHTQNGHLSHTSRSICHDLSPLQRKIQPTILKVKPSPRIVPETAPWKQQKTSTTRYYAKEGSSSTSINDNIERRLSNLTSPECNKDLRAIRILGELHAKRSDYRARSLTTQKAAAGQTNSAQDFQSPVVIMKPARGIMKQNASVATLAGTKVHRKLRHEERPFTTKTENSDRTKTHSHNQRACSRREEDVGSTSSPKPPRILSPKLVQKSDCGRRRINQLTVPLMSPTSKEVSPRGKLRPRASQSNSICGHDKAMIPESKISLSKQVDTSIINYSNTLNVNTSSIHQSNTTSTSNYEETRILCTDKNIHTLENIRSPVSVLDATFYQDVMSPSLRSISNSFKNVAALTLHDCWNSISLPDTPTLKKSSESNHIIPQNMKALIQKLELLQLLSDEAPRTNDNSLILTANKDRHYIYEILSASGLLHSELNSRMMPCLFQQPSYPINPGLFLILEQAKPTAGKLHRKLIFDIANELIAKKIYSGISVRQPLQFIQCKKSSGWHLFKELCSEIEMLRSEASTIRLSEEEDEESKLAKNAVREMGKWKSFGSELQGMVLDIERSIFKNLIDEVISGEGMGKV >Sspon.03G0013650-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3B:51213597:51214889:-1 gene:Sspon.03G0013650-2B transcript:Sspon.03G0013650-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GNDAPPLPTAPLTETRFILVNDSDGNHPHGEMEGLVPRYFKMDFPHYNGKDDPLSWLDRCKQFFTAQQTNRGAPQGLAGLFPFEQRHVPWVRGHGTPSWASIRSNALGELRHLRQTGSVNKCTSPATWSTPSASTACRLPTAAAMGGRLRSTVPRPGPIGSPAGVDNNQCSAPRHPPAHPPEMAELRHQGLCFNYDEKFVRGHRYARLFFVDHKDADPDDTTTPLEDDKPVEPQVSLYCWLRYRWRTPCACGCTSSMKPLSMPNFIWSNEAMDFIKGLSRVNNKTVILTVLDHLLKAAHFIPSVTPTRLSRLPAPSSTRSSVSTACRRPSSVTATQSSPATYGELF >Sspon.03G0003160-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:8036956:8038062:1 gene:Sspon.03G0003160-1A transcript:Sspon.03G0003160-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDDASSSPASYIRLVQHLIEKCICYKLNKEECMETLEKHAKIMPVITSTVWKELEKENREFFETYKKDRGGGGPNPNQEAATTGHHPSRVQQGCGSQASTTTLQKNPPGEQEQRVVENKENTHEQEQRVVEKENRKNPSASKSSGDDDNENSRSIN >Sspon.03G0010740-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:34057403:34064784:-1 gene:Sspon.03G0010740-4D transcript:Sspon.03G0010740-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQHDQRKKSSVEAEFFTEYGDASRYKIQEVIGKGSYGVVCSAIDVHTGEKVAIKKIHDIFEHISDAARILREIKLLRLLRHPDIVEIKHIMLPPSRRDFKDIYVVFELMESDLHQVIKANDDLTKEHYQFFLYQLLRALKYIHTANVYHRDLKPKNILANSNCKLKICDFGLARVAFNDTPTTIFWTDYVATRWYRAPELCGSFFSKVRNEKARRYLSSMRKKDTISFSQKFPNADPLALDLLQRLLAFDPKDRPTAEEALAHPYFKGLAKVEREPSCQPITKMEFEFERRRVTKEDIRELIFREILEYHPQLLKDFINGTERTTFLYPSAVDQFRKQFAHLEENSGNGPVIPMERKHTSLPRSTIVHSAPIPVKEQPRIGPSRERPSSDESYRNPRETEKYSGNLLRTSQAPQRVPTARPGRVVGPVMPYQNGDTKDPYDTRRLAMNSGYPPQQQIPQTFGYYQTHGKPACSEPSQAERYTLHQQAYACANRTAVSDVALDMRAPPFHHLSAGQKGDSSDRLTAETNLYTRSLNGIAATAAGVAATTHRKVGVVPFGMSSMY >Sspon.01G0050610-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:5358700:5360973:1 gene:Sspon.01G0050610-1C transcript:Sspon.01G0050610-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MCRCAEAIARTVLAFLDAVILGCLRSCFGARRRHGSGRRDALVHRDRAAVAEVIWDDEEGLVRDGRVHEDLADGGGIDEELRREASYLKLCGTISETPAELRNEQSYENNLENANECDKKPTSGPPADCKLLFEANSSKGCEERHSLRSELNTEDAGHHHGVEFVPHSASSEKRLFQNIQHKPLDSGGSPFPTPLVLRDDMQTPGTAYASHRGTSISGKRVRTRKQFIYPVLRSIENRLRQTELIEDSSPLASSLKGRNLEADSIKDPTQISSTSVVKSGLSETPSYSAPDADASDEVKEALSPDELLDGKGLPKSNSDEKNDALSLSRWLQSSSADAENQGDVKCSAGDQSYDNCSFVTEKPVFMASDLNLDTDNPTPRLPKAWDGNGIPNTTTRYKEDQRVNWHTTPFEQRLLKVLSDEDRCPPRKVVRGSCSMSRTKLSSGAE >Sspon.06G0016080-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:77515053:77520296:1 gene:Sspon.06G0016080-2P transcript:Sspon.06G0016080-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKLFFSESACKETKLHSAPHSWLPLERGKLSKFSGHAAASSSIDSLMKMPEPAVLPHFKPADYVDILAQIHDELESCPPDEKSCLYLLQFQVFRGLGEAKLSRRSLQSAWEKASTIHEKLIFGAWLKYEKKGEEAIADLLSSCGKCSQEFRLLDFVSQVFTGSHVMSYDDDESDEFRGSAVVHFRIRDDMIACDRRKLAALSTPLYAMLNGGFRESYLEVIDMSRNGISPIGMRAISKFSLSGRLPYLSADAILEMLDFANKFCCKGLKDACERKLASFVSSRQDAIDFMECALELGCSILAASCLQVFLNELPECLNDEQVVRIFSSANKAQRLTMVGNASFSLYCLLSEVSMSTNPTSDVTVSFLEKLVESASDSRQKQLALHQLACTRFLRKDYPESERLFNAAFSAGHLYSLAGLARLASLRGNKHFALKLLDSVMSSRWPLGWMYQERALYLEGDNKIENLNKATELDPTLTYPYMFRAASLMKRQSVEAALMEINRILGFKLVLECLELRFCCYLALEDYRAALCDVQAILTLAPDYRMIGGRVAAKQLRMLVLENVEQWTAADCWMQLYDRWSSVDDIGSLSVIYQMLESDNAKGVLYFRQSLLLLRLNCPEAAMRSLQLAREHAASDHERLVYEGWILYDTGHCEEGLQKAEASIAIQRSFEAFFLKAYALADSSLDPSTSATVVSLLEDALRCPSDRLRKGQALNNLGSVYVDCGKLDLAAECYINALKIGHTRAHQGLARVHFLRNNRAGAYDEMTKLIEKARNNASAYEKRSEYCDRELTKTDLQMVTKLDPLRVYPYRYRAAVLMDNHKEKEAIAELTKAIAFKADLNLLHLRAAFHEHIGDISSALRDCRAALSVDPNHQEMLELHHRVNSQEP >Sspon.02G0010590-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:33189400:33199982:1 gene:Sspon.02G0010590-3C transcript:Sspon.02G0010590-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPATGALAPVAAPGARRPLLIFRAAPRPQVPTTTRWRCRASAAAHGAPTPSSSAAARSRRGVKEYVEAAREMARQKDGGPPRWFSRIECGGGDGGRVPGAPTLLYLPGIDGIGLGLIRHHERLAKMFELWFLHIPVEDRTSFEGLVEYVERTVKSESSRAPDRPVYLVGESVGACIALAVAARNPDIDLVLILVNPGQAAINYGTSFHRSQLQTLSAFLDLVPEPFHLTTPQLLTFLTGNFMKMPSTFVGRGFSLEEAGQTLSEIRSNLLDSLMILVDVLTKESIVCKLKMLKTASSFVNSRLHAVKAQTLVLASGNDELLPSSQEAERLRGALEKCRTRLFRDNGHKILLETDFDLATTIKGAGYYRRTRKTDFVSDYLPPTPDEFQQAINHDRILKLVTDPVMLSTLPDGKIVRGLAGLPREGPAVLIGYHMLLGFELGPMVTGILSSTGVHIRGLAHPFMFDKSTEQLMPDSAHFDLHRIMGAVPVTGANFYKLLADKEFVLLYPGGAREALHRKVMGEEYKLFWPEQPEFVRMASRFGATIIPFGVVGEDDICHLLLDYNDLQKVPFYDILDNALNRDGLKLRTDSVGDVKDQRMHPLVLAPKVPGRILKLVTDPVMLSTLPDSKIVRGLAGLPREGPAVLIGYHMLLGFELGPMVTGILSSTGVHIRGLAHPFMFDKSTEQLMPDSAHFDLHRIMGAVPVTGANFYKLLADKEFVLLYPGGAREALHRKGEEYKLFWPEQPEFVRMASRFGAAIIPFGVVGEDDICHLLLDYDDLQKVPFYDILDNALNRDGLKLRTDSVGDVKDQRMHPLVLAPKVPGRFYFLFGKPIETRGREKGLRDREEAQRLYLQVKSEVESCINYLKEKREEDPYRSILPRLLYQAVHGPNAEIPTFEP >Sspon.06G0013950-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:55830991:55834049:-1 gene:Sspon.06G0013950-1P transcript:Sspon.06G0013950-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVFRLAGDMTHLLSVVVLLLKIHTIKSCAGISLKTQELYALVFAARYLDLFVHFVSLYNTVMKLVFLASSFSIVWYMKRHKIVRRTYDKDQDTFRHYVLVLPCLLLALLINEKFTFREVMWAFSIYLEAVAIFPQLVLLQRTRNIDNLTGQYVFFLGLGGRNSANSVLEEQCEARAASLRLLLLSKIE >Sspon.02G0017970-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:55812548:55815003:-1 gene:Sspon.02G0017970-1A transcript:Sspon.02G0017970-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PHD finger protein MALE STERILITY 1 [Source:Projected from Arabidopsis thaliana (AT5G22260) UniProtKB/Swiss-Prot;Acc:Q9FMS5] MAANKTTMVISLGSSRRRKRGEMLFRFESFCQPGYPAPLAGGGAFRDNVRALLGLAHLEAGGAHGETKCWSFQLELHRHPPTVVRLFVVEEVVDASPQRQCHLCRHVGWGRHLICSKRFHFVLPKRELSVEADGLHYGINHGPEKPSKGTATSRGHLLHGVVHLNGFGHLVALHGFEGGSEFVAGHQIMDLWDRICSSLNVRKVSLVDTARKGHMELRLLHGVAYGDTWFGRWGYRFGRPSYGVALPSYQQSLHALQSVPLCVLVPHLSCFSQDLPVVVTKYQAISGHKLLNLGDLLRFMLELRTRLPATSVTAMDYRGIMSEASCRWSAKRVDMAARAVVDALRRTEPPARWVTRQEVRDAARAYIGDTGLLDFVLKSLGNHIVGNYVVRRAMNPVTKVLEYCLEDVSSVLPAVGGGVPSNGGGGKMRVRFQLTRAQLMRDLTHLYRHVLKEPSQALTTGAFGAIPVAARMVLDTKHFVKDYHEGFAPINSVGVGHVHMNLCCTLLVRNGSPELVAPYEMVTLPAHATVGELKWEVQRLFREMYLGLRTFTAESVAGVGVSQDACPVLGLIDVGSAVVIEGAVVEQQQQLADESVHAGNEAAAVSEGGGDSERVVDCACGADDDDGERMACCDICEAWQHTRCAGIKDTDDAPHVFVCNRCDNDVLSFPPLSC >Sspon.06G0014800-3C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6C:83525528:83526851:1 gene:Sspon.06G0014800-3C transcript:Sspon.06G0014800-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSSAHLVTVLNDIHHRQRADGPAAMLGIGTANPTSCVLQDQFADWYFHITKSDHLAELKTKMKKICERSGIKKRYFYHTEEIINGHPELIDRALPSLTTRLGVIADAAPKLAAEAASRAIADWGRPAADITHLVVATNSGANEPGADLRLAVLLGLRPTVRRTLLYLHGCSAGLAALRVAKDIAENNCDARVLVACAQAKILMFRPPDDAHLDRTIAMTLFGDGASAVVVGADPASSTMERPIFHMVSASQTTLPGTDQAVVMNLRENGLVDSHLSVEVPTLVRSSINKCLADTLAPLGLLNASDGGWNGLFWALHPGGRAILDSYEAALGLEPGKLAASRHVLSEYGNMMGAAIIFVLDEIRRRRRHCHDDGKDCQWGVMSGLGPGLTIETIVLHATGRQDEN >Sspon.04G0034030-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4C:69585565:69586251:1 gene:Sspon.04G0034030-1C transcript:Sspon.04G0034030-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MERACDDDELVDRCDGCGGVLEACDDESFVIYDCFHALHAGCAHGRDACPRCHTPRVHDDDDDQPEQIEAAPASTPDNKDEDSALASDGMGNDDRPRGGETGEPRPSRCDGDGDGDFIKPGDGECHASMSGSECNHASRLGCFSRRVAELEDRLEEKSAALTETTNVLEMELRRAQSRLCRLEKMYDKLEEFMRLRNGKRAREIEDAEEEAAERRKERFKVQVSPRPH >Sspon.07G0015170-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:54261940:54264897:-1 gene:Sspon.07G0015170-1A transcript:Sspon.07G0015170-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVDLTPKQPRKAYGGDGGAYYEWSPADLPMLGVASIGAAKLSLAAGGLALPSYSDSAKVAYVLQGTGTCGIVLPEATKEKVVAVKEGDALALPFGVLTWWHNAPTASSDLIILFLGDTSKGHKQGQFTNFQLTGSTGIFTGFSTEFVSRAWDLPESDAAKLVSSQPASGIVKTSATLPAGSAKDREGMALNCLEAPLDVDIPGGGRVVVLNTANLPLVKDVGLGADLVRIDAHSMCSPGFSCDSAYQVTYIVRGSGRVQVVGPDGKRVLETRAEGGLLFIVPRFHVVSKIADASGMEWFSIITTPNPIFSHLAGKTSVWKAISAEVLQASFNTTPEMEQLFRSKRLDSEIFFAPPSSN >Sspon.04G0014900-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:64057727:64073851:-1 gene:Sspon.04G0014900-4D transcript:Sspon.04G0014900-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRMKHLLRKLHLAGGPAGGGGAAPDHHRPRHRRSGHPTPPPLPPGAAAVVVAAGAPEPPQPAVTPAAAAVSVAPAAAEEPRGLGAEAATTRLEEDYQVRLALAISASDHAGLVDADSVQIRAAELISLGAATGCGPHDRSRAAEALSARYWNHSVVNYDEHLPDGFYDVCGAQLHPGFQAKFPSLDYLRAVPLGRDVAFLAILVDREHDPALKRLEDRAAQIAAQTRARHGGAASAELAQKIVGLIVNAMGGLVEDADGMNREWSIKSRELSLQLNSVVLPLGSLRVGLSRHRSLLFKVLADRVNLPCKLVKGICYTGTDEGAVNLVKDSNNSQLSSDAIEESVAELCLALEQINGGYENKNTIGGCSSGHSSNLALTSSHLGDLSQTEFKQNVVSEKKNEGDISEHVKVDDVSKYIVPEVVDPQFAQNLHDLLLESGTLLPSDLLSDQNSHNIHEKESTGWLLISQTTQNLPNAFVAKDSSSPDEDAQHPVENTEEVIRDLDLHGHTASAISNEDQRAAEGSSVNMSGSSNGNLDKLSWSSAKTISSVIDDVAEYEIPWEDLDIGERIGLGSYGEVYHADWNGTKIVGLIVNAMGGLVEDADGMNREWSIKSRELSLQLNSVVLPLGSLRVGLSRHRSLLFKVLADRVNLPCKLVKGICYTGTDEGAVNLVKDSNNSQLSSDAIEESVAELCLALEQINGGYENKNTIGGCSSGHSSNLALTSSHLGDLSQTEFKQNVVSEKKNEGDISEHVKVDDVSKYIVPEVVDPQFAQNLHDLLLESGTLLPSDLLSDQNSHNIHEKESTGWLLISQTTQNLPNAFVAKDSSSPDEDAQHPVENTEEVIRDLDLHGHTASAISNEDQRAAEGSSVNMSGSSNGNLDKLSWSSAKTISSVIDDVAEYEIPWEDLDIGERIGLGSYGEVYHADWNGTEVAVKKFLDQDLSGVSLEQFKCEVRIMSRLRHPNVVLFLGYVTQPPNLSILTEYLPRGSLYRLLHRQNSRIDEVRRLKMALDVAKGMNYLHSSHPTIVHRDLKSPNLLVDKNWVVKVSDFGMSRLKHHTFLSSKSTAGTPEWMAPEVLRNEPSNEKCDVYSFGVILWELATMRVPWSGLNPMQVVGAVGFQNRRLDIPKDVDPRDPSKRPAFSQLLFPLKQLQRLVVTESC >Sspon.06G0001910-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:204498:206279:1 gene:Sspon.06G0001910-3C transcript:Sspon.06G0001910-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKYKDQGPQVYRKFLRCFEDLPLATIIAGSVYTAHGGVFRGTIVVPSKRSKKGKKGHKFKADFTVDPTIMKLGSLDELLKARRTVLDPPWEGSNLIPGDVLWSDPSLQMGLSPNKERGIGLLWGPDITQQFLRTNHLKLIIRSHEGPDARDKRHDLLGMDNGYTIDHEVECGKLITLFSAPDYPQFQASEERHNNRGAYIVLNPPDFATPVFHSFEAVKPRPAAHPFYDFEEVIDSDEELNLDAMDSGTSSLS >Sspon.05G0030270-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:84257641:84259413:1 gene:Sspon.05G0030270-2C transcript:Sspon.05G0030270-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTQQPHASQRDVVPSDLPDRDGTAGACFPGRGLCCPDDPLDLVLQLFPADRAPPSSLAALGIGGAAGEPPRRVKQEPESPQPLAESAYGGSGGGWDSWGLSSFVLEGGLCGLEEIDVDMFFADDAPDGGNGGEASEGNLLCDLTEAKPKPPAHMSAAAAGPAPVHTRGSLGGAVVPNHALPLPAPMPAGAFPFQAYDACRAFDGAVSNDALPPAPAAAPMPAGGAPPVFVTNNSTPPVFVTNNSTPPLPALIMPAAPAPMPPAAGLHACSALPGISSNGAPAPPPLPVPMPAAPAPMPPAAGLHACGALRGIVSNGAPAPPPPLMAPTMPARALHPNAVLAGVYSSTNAPAHAPPSATPSSSPPSRTSSGSGRCPSSSTTSEPEFLQPHRAWVVPRKQRSAPSVNRRKRPWLLDFPLHALPPVALPPPANPGAGGDDDDAKNRYALVPVVPPPGNTGGDDIAGGGGIRRRRPAPRQRNRQAQRVCSHCHSPETPQWRAGPDGPGTLCNACGIRYAANRLLPEYRPSTAPSFRSGQHSNRHRKVMKLREQQMKAATEEKPSEQQPMEDDRTEKYDDGFMGVCAYISAAGL >Sspon.03G0002360-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:5941276:5943147:-1 gene:Sspon.03G0002360-1A transcript:Sspon.03G0002360-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQDTSNATTKFDVVMATSAIYTDRINTNTCLDEYHMSGNQPVEEMRFGSAHPFELPSEGMVADSEEESMPSSPDTSSTSNYDVPGYMEQNLQHIYNSYDALVDKAGPVVLSPAFMICDKTLCLEPHLTFSLDGIKIEYLDLDSLEDEKLVALQWDLSNIISISCK >Sspon.04G0012340-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:40592142:40596567:1 gene:Sspon.04G0012340-1A transcript:Sspon.04G0012340-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAPRASSFFSVLLVLLFALSVERTAATGVFQVRRKFPRHGGGGDVAEHLAALRRHDVGRHGRLLGAVDLPLGGVGLPTATGLYYTQIEIGSPPKGYYVQVDTGSDILWVNCIRCDGCPTRSGLGIELTQYDPAGSGTTVGCDQEFCVANSPDGVPPACPSTSSPCQFRIAYGDGSSTTGFYVSDSVQYNQVSGNGQTTPSNASITFGCGAQLGGDLGSSSQALDGILGFGQADSSMLSQLAAARKVRKIFAHCLDTVRGGGIFAIGNVVQPKVKTTPLVQNVLCLLLVRLFDKFSGLPCHGLSAATLLRPVHTSLYMQELIPSIYLTHYNVNLQGISVAGATLQLPSSTFDSGDSKGTIIDSGTTLAYLPREVYRTLLTAVFDKYQDLALHNYQDFVCFQFSGSIDDGFPVVTFSFEGDLTLNVYPHDYLFQNGNDLYCMGFLDGGVQTKDGKDMVLLGDLVLSNKLVVYDLEKQVIGWTDYNCSSSIKIEDDKTGSVYTVDAQNISAGWRFQWH >Sspon.03G0004500-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:30021513:30022785:1 gene:Sspon.03G0004500-3C transcript:Sspon.03G0004500-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRLPLLLVVVVAAVASCRPGRADPAMSVLPGLPVAGLAVGFYNESCPQVEDLVLAEMRCLVGKDKTIGPALLRFMFHDCLVRGCDASIMLISRNKTGERDAIPSYGLRGYEEIEHIKAKVEDACPLTVSCADIIVMAARDAVHLSNGPRYAVETGRRDGKVSADCDANNDLPPPSSKIVDLKTYFSFKGLGWKDLVVLSGSHTIGRAQCSTFASDRLYNYSGHVGQDPSLNKTYAAQLREQCEPGVADDATMVEMDPGSPYTFDLSYYRDVRSNRGLFSSDQALLDDPWTRAYVERMAAASASPDEFFADYAAAMTNMGRIQVLTGDNGEIRKLCAAHVD >Sspon.07G0007290-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:14652863:14653318:-1 gene:Sspon.07G0007290-2D transcript:Sspon.07G0007290-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding NPQTRITPYKSPLPPSTSNRTGVIDLRSISSDGLTPGSTGSGIKMFFFFVGGVEQGAGRVLKEAAGRCLRCGGATDLVETEKVLKLFFVPVWRWPGKDPAYLCRDCGLLAPGSLGAESGPSLLLPREARCGACSRAFDSQFRFCPFCGSSL >Sspon.04G0011220-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:30208566:30209776:-1 gene:Sspon.04G0011220-2B transcript:Sspon.04G0011220-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVHAQYLSHAFPHDHRGAIRPALDNATSASAFLGEPRGGHPLAAAVGGGNTVLSDLTCNNSSSYDNNGCFLPRKRARVGDVAGAGLIMDLQGQRALLPPVPAPVPVPLPAGDVQSRLLCSAAASTSGRPTASVAPVSQGLLSHLYRHGVEIDALVRIENERLRAGLQEARRRHVRTAVSAVERAAARRLRAAEAELERALVRNAELDARLRQTEAEGQAWQDIARCHEGVAAGLRATLDNLVQTQSPCAGAGADGDAEDAQSCCFEQEQEHGAEASGRRRTRACRWCGEAEACVLLLPCRHLCLCRGCEAGVGACPVCAATKNASLHVLLH >Sspon.06G0023200-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:41805261:41809716:1 gene:Sspon.06G0023200-1B transcript:Sspon.06G0023200-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MYEQLLEQRGFQSGHANNQASSTEFLVHILTGTKTAFISDCGAENTLKPGDVIQCRECGYRILYKKRTRRIVQYEAR >Sspon.02G0007810-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:22721329:22730844:-1 gene:Sspon.02G0007810-1A transcript:Sspon.02G0007810-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DELCRMDTKYRVVINHASAINLLQLRMLLAGYPTDIPAQALQVLETVLGDVLFNERNDIERVPIGTNDHTLGVEAWKGLYQSIRSTQDDGLSLIADVSSSVFVQPLLLIDFVQKTLKIDIVDRNLTEPEYDKLLKALRGVKIEVTHRGNKRRRYRITGLSVKPTNDLSFESLSGATKTVIDHFRERYSLDLKYKSLPCIDVGSEQKPIYLPIEVCKIVPRQCYQKKLEGSQVSTLRKSASIQPEPEQSSHQVVDRKRTEHANELGIEFDDNLTTTVDARVLLPPNLKYHDSGSQKTWFPMNGYWNMKDKKVINGAKISNWACVNFCEDLSKKVIEEFCFKLAEMSRITGVCCRRSNVFTKSNQILASMAIKINTKAGGRNSVFDDVRESLLVVSNKPTIIFGAHVSHPSAKDGSAAPSIASVVASQDWHEVSKYIGVVHAQGHCEEIISGHEDIVKDLLHAFEKESNKKPQQLIFYRNGISNCQFKQVVEKEIPAIEKAWKALYDNEKPQITFILVQKKHRLRLFPMDNKGARRPVQYLVLRDDNNFKGDELKALTNNLCYTYASGTQSVSIVPPAYYAQKLTQRARVCLAQGSDTASASSSGSAAAPAPGGGSKQLPVIKDELKRQQGKIEFLVLLLSLILCCNGGNDNSSRDGRTKKYEYLYKSEDFNLDNPDEVFEAEGPYIYVDVLSSSKECEWLSGRVVVVVAEASQRHQECTRAHPEVSRCPR >Sspon.06G0020200-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6C:8736516:8737368:1 gene:Sspon.06G0020200-2C transcript:Sspon.06G0020200-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SCTGQFGLCLLHDVSNGARDNSVERLAVVVAWSISTTRPTIFGFAPYNPVLGETQHVSTSGCGLNVRPRGAGLTPAARVTALHATDAHGEVRLVWCQSPTPVVPRRQRGGRGAGRTGASASPACRDVSLKDVAIGEVFVLYDVQRAIGDLATPVASSEELRARLPHSLHCAASGCRRAPPPRRHRAASDLQRARAPHVHRLTICRRRPRPPHIVRVRAHVGSRAAGPCRRQPTPPPQISMDCASRFFKGEDDSIDCACGRKAQQVRVSQGQGYFRLLRNKLTR >Sspon.04G0020270-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:71301968:71305277:-1 gene:Sspon.04G0020270-1A transcript:Sspon.04G0020270-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRSSRRAGEQQPRASAQPRSRIGPAAAACSRQGRSSKQHPGERVGNRAGEQTLSIIEANQSAPQIHFAIHHDTLPTMRSFIDQAEWRSHEECVAQLLPVLKATVYDAEDLPEDFRYYELKVETEGSATSVELDPKSFDDVIQDDFDVKRLTKEVIQQFSKKAPAKDNLNSLQAGLVDSLNKRRFLIVLDDMWTENGDLWKTFYAPFKHVLQGSIMLVTTRSQKVVNIVHMMDRFLLEGLKDDVFSDVL >Sspon.03G0036360-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3B:89481832:89482896:1 gene:Sspon.03G0036360-1B transcript:Sspon.03G0036360-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSAIVAPPLDLSLSGSTVLRATSPAFLSIRFGMTVSRSTVWEASTTFPAHPPPHAARLRRKAPPNCMHPSNPWRFCLRHCEAPTPK >Sspon.06G0033420-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:14780555:14781688:-1 gene:Sspon.06G0033420-1D transcript:Sspon.06G0033420-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLRLGLTTAVVVSSRDAAREAFPRHNWGLSSRAVPDVLRTAGNYERSMIWLPASDPRWKALRGVAAAHVLSPRSLAAARGVRERKARGLVGYFRRRAGQEVDVGQAVFGGVLNLVSSALFSVDVVDDVGAESARGLRELVEEIVDGIAKPNVSDLFPFLRPLDLQGWRRWTARRLHSLFQILDGLVDRRLSDDGASTPAASSEGTQGGDFLDALLQLLSAGKITRDNVMAIMFDVFVGGSDTTAITVEWAMAELLRNPSAMAKVQEEIQGSLGSKESVEEHDALSLPYLQAVVKEAMRLHAVSPLFLRRATEEGVEIGGYAVPKGCTVIFLAWSYSLLGRSCETRRRGRGRTSSSRSGSWTGGGDGLQREGVTDYL >Sspon.05G0010270-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:29353595:29355836:-1 gene:Sspon.05G0010270-1A transcript:Sspon.05G0010270-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYCCKIVQFSNGLEGRASQMSSVQLQVVSKNWKQTKENESTDFLSISELLSQRKLCNINIYACIGKMVLGSSLTSPLKANLSIIDKLSFKEHNDTVRDLVAPGCKLCGSPLYHKNLHGENTFALDCPNNPKYLHVPGQIYKPFLVKLSTACVFIFLVKYIFVKLSYHHTQIYVYDQSGQVPLLVRNRAAETLFANIIADDVSECHKSHTLSETYESCNLSTSGMIDCSGNKETAKRRKAEQKPNFYLIWLILIKCLLSQGNNSPFCFQISVNPEKNVEDGRFELVYLTMPIP >Sspon.08G0003640-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:7060788:7064795:1 gene:Sspon.08G0003640-1P transcript:Sspon.08G0003640-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKGKSVVAELAASFSDVRVAPRQNPSPRASYHSFSKKAKPRKLVSLCLGTLGQHLEDIIADISEFAALFPPHIKLAIMSIARRRRLLNDEVLTPLIESSWEILDISGSDVTDAGLVTVANVCSNLRAVDISRCEKITTAGVSEIVCHCPSLEILRCGGCPRSEVTARRCLNLLKPKLNTLEGDSWEELDTLDIGGGAESLRWLVWPKIDDNSKETLAAKCPRVTVNPQPSLFDLSGSKVPVEALASVPLDHSVVEDIDPKTWAVSAAPRRPVAPPNPNAPPEIPIAERFRLAYVEREARLAPKRAKRERQQRRRAERNYMMNDIDARSIALAAQASRNLRKS >Sspon.01G0000930-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:3108895:3113458:-1 gene:Sspon.01G0000930-1A transcript:Sspon.01G0000930-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPETGGRCLGDLGQRQLLKGWPDLWLVGDKPGGLRARSTAANEAAPHSPPSPPPEPDQTLALPDALLLRVLACLPEPHLTGSGSLVCRRWTRLAGRLRRRLAVRDWAFVAHRLPYRFPDLADLDLFPASIAAPTAAAATHASPLLTCGAVSLTLDASADPPLGACRFIDDDALDRGLAAVAASLPNLRRLSATAASESAGLMAIAGGCPTLQELELHRCTDLALRPVSAFAHLQILRIVAACPALYGTAEGGGVTDIGLTILAHGCKRLVKLELQGCEGSYDGIAAVGRCCAMLEELTIVDHRMDGGWLAALAFCGNLKTLRLQSCSRIDDDPGPAEHLGACLTLESLQLHRCQLRDRSALHALFLVCEGAREIQVQNCWGLEDDMRVKFLLLEGCSLLTTRGLESVITSWSDLQNLEVVTCNKIKDEEITPALSELFSNLKELKWRPDSKSLLAASLVGTGMGKKGRVFFKRILPAHQRIKGRCSSVQQ >Sspon.01G0022930-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:80364818:80369765:-1 gene:Sspon.01G0022930-4D transcript:Sspon.01G0022930-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIKIPFVVAFVFLALLLKLIRNYSTSSRTKPPALRLPPGPWQLPLIGSLHHLLLSRFSDLPHRALREMSGTYGPLMMLRFGSVPTLVVSSAEAAREVMRTHDLAFCNRHLGVTLETISCGGKDIICSPYNAHWRELRKLCMLEIFSQRRVLSFRPVREAEVARLLRSISNECGGGQQPVNLTEGICRMINDVAARTVVGNRCEYRDEYIHELDEVVRLAGGFNLADLYPSSQLVRRFSAAARDARRCQRNMYRIIQSIIQEREAMSTPVRDEEDLLGVLLRLQKEGGLQFALTNEIVSTVIFAQSEVRETFQGQDKITESDLVKLRYVQLVIKETLRLHAPVPLLLPRECRESCQIMGYDVPKGTKVFVNAWAIARDRRLWRDGEEFRPERFEGSSIDFRGNDFEFTPFGAGRRICPGITLGLANLELALASLLYHFDWDLPDGAKLEELDMAEAFGITLKKKSMLWLKAKPYNNFIPN >Sspon.07G0013270-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:54259886:54261654:-1 gene:Sspon.07G0013270-2C transcript:Sspon.07G0013270-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LGDDLLREVFIHLPASADLLRAAAACKPFLRAARSAPFLRRFRRRHPSCPRLLGCLLLYPNRRIGKYHFVPISSSSSPSSSSSAAAAADGGDFALSFLPGGGWLGQGAAAWKHLDCRNGRLLLENLGSHELAVADPISRRYVSLPAPPAGRAVGYGLFADDGDSSEFRVVCVSRDAASRELRALVLASGELSWADVAGIACQPNLAAGSRVMQANRSLYWRLEGGERMVAFSTASMELSVLDLPPALRDLRFDAFDRGEEDDANVLHLLTMTGYRLEVWAGTADGDDGMAWRQVEKSLRFHKALTAMIDPSLESYERINPSVQSYRDDVDVIGVAAGLVFFRKWTNIFSIDLETMKLKMLPKVDCLGALIYPYTIEYEGNLQHKMFLQR >Sspon.01G0020880-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:74574304:74576428:-1 gene:Sspon.01G0020880-3D transcript:Sspon.01G0020880-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Membrane steroid-binding protein 2 [Source:Projected from Arabidopsis thaliana (AT3G48890) UniProtKB/Swiss-Prot;Acc:Q9M2Z4] MEVRPVLLVDAAVSELWAAAKGAPPLAVLAGVAAAVAVYKAGSSLLAPRRPPPRRLETQTAPPPPVPEPVQVGEITEEELLQYDGSDPEKPLLMAIKGQIYDVSQSRMFYGPGGAYALFAGKDASRALAKMSFEPQDLNGDICGLTPMELGSLNDWEYKFTSKYVKVGTIRRAAPAEEDYGSVSPEIREEVTVPVVEAELESEPEPHDGDAP >Sspon.04G0002090-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:6460860:6461494:1 gene:Sspon.04G0002090-1A transcript:Sspon.04G0002090-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding NMDMEVIDLEHMLAAVSSRSSPPVFRLQPNRVSLFLLPLLVHLALGLFLGVGDLYHDILDPHSPSWRSSRASRLSSSWIPATASSFLDSWVSDTFDSLGYIVCNPVTEQWVAVPSTGWTPTPPEEIVDKREKVVRTFLVFDPSVSSHFDLVQFCQDEMAEDVEGVHIYSSGTGLWS >Sspon.01G0044020-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1B:79206212:79206658:-1 gene:Sspon.01G0044020-1B transcript:Sspon.01G0044020-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKITAMAAAVAVIALVLLPVGGRGEERPTAAHPHGLPFESPLALSPAAYDFFHPSSARTRRAHPGVAPAPAVAPRGQQQQLRESAVRGTSSADVAKADQEEGTAVAPVETHRRHNHTVTGVFVGAAAAALVAIGVAYAVARRRVVAAR >Sspon.02G0012210-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:32286733:32288241:-1 gene:Sspon.02G0012210-1P transcript:Sspon.02G0012210-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRSALRRGGAAARQVALAGEASPRDFLRMRVAERERARRRRRDPGRDEFFVPTPESLAWLDSVSLPMVLTAAAVALFTKLLMMEHEATDQERRERKIKNSHPDQGKVRMLTREEWEEVQEVRPRTPFESKLARPHARIRTGEPVRLEDVKDWATDVIADSFTRAEETTKRK >Sspon.01G0063100-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:111225316:111226648:1 gene:Sspon.01G0063100-1D transcript:Sspon.01G0063100-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNGGLLRRRALGSMLHRYLGSMLQKDGDIDEDVRHRISAGWLKWRQASGVLCDKRVPQKLKGKFYRTAIRPAMLYGAECWPTKRQHVQQLSVAEMRMLRWCCGHTRRDRVRNDDIRDRVGVAPIEEKLIQHRLRWFGHVQWRPSEAPVRSGVLKRADNVKSGRGRPKLTWDESVKRDLKEWNISKDLAMDRSAWRLAINVPEP >Sspon.07G0033840-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:60312726:60315229:1 gene:Sspon.07G0033840-2D transcript:Sspon.07G0033840-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIPNLLRFLKPFIEPVHIKKYAGKRVGIDAYSWLHKGAYSCSMELCMDPKCAAARRYISYFMHHINLLRHYKVTPVVVFDGGSMPCKAATDNERQRKRELSLNMAKEKLEQGNTAAAIDFFRKAVHITPLMAYRLVQILRSENVEFVVAPYEADAQLAYLTTIDADQGGISAVIIFKMDRFGNGEEFTMERTLKTEKDGLSFQDFDKKLFT >Sspon.07G0012040-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:49293863:49294375:-1 gene:Sspon.07G0012040-3C transcript:Sspon.07G0012040-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSLRCCLACVLPCGALDLIRIVHLNGRVEEYGRPVAAGEVLAANPNHGVAVRRILIVSPDSELERGKIYFLIPASSVPPEKKPQQQKSVTAGSHGDQLVVKKASAAVHGKTTAQPKSNGRRELGDALSQKRSSSSHRRRRGGGCRSAVWKPHLECIVEDA >Sspon.02G0006830-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:21602395:21603486:-1 gene:Sspon.02G0006830-2C transcript:Sspon.02G0006830-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal RNA small subunit methyltransferase, mitochondrial [Source:Projected from Arabidopsis thaliana (AT5G66360) UniProtKB/Swiss-Prot;Acc:Q9FK02] KRRGQHLLTNPRVLDAIVRRAAIRPGDAVLEVGPGTGNLTARLLASPAASVAAVEVDPRMATAVAARARDLGLAHKLTVTTGDAMKVDFPEFDVCVSNIPYAISSPLTAKLLFGSYRFRTATLLVQREFALRLVGAPGHGEHNHLATNLRLVADVSLLMDVSKAEFVPVPGVDSTLVEIRMKEVRPTEVEPGISLDEWLEFTRVCFGQHQLQQQQQQKKKKKKEKNLGTIFKQKEMVMELFRLSQIDEERIGRGAPHDEYDADDRENDEHPCNGDGGFSKEEFVVFKERIAGTLQSARLNNERPSMLSNDDMMRLLRLFIKRGVRFL >Sspon.05G0019010-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:83224082:83229458:1 gene:Sspon.05G0019010-2B transcript:Sspon.05G0019010-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGALPQAMDALSRRATMLRDSLRRSQGNTDGMVAILGSFDHRLSALEAAMRPTQVRTHAIRTAHENIDRTIKAADGILSQFDLARRAEATILRGPHEDLESYLEAVDVLKGINFKSSEGVLNHVNNLLAKSTLKIEEEFKQLMGTYSKPIEPDRLFDCLPKSLRPTKGDHETDGGGRSDHPSKGLETAVYRTPTLIPPRILPLMNDIAQQLVQAGNQQTCYKIYRDSRASALEVSLRKLGVEKLTKDDVQRMQWEALEAKIGNWIHFMRIAVKLLLAGERKICDQIFDGVNFNKGHCFAELTANSIITLFSFGDAVAKSKRSPEKLFVLLDMYEVMRELQPEIEEIFEGKPCTEMREAASSLTKRLAQTAQETFSDFEEAVEKDASKTIVQDGTVHPLTSYVINYVKFLFDYQSTLKLLFQEFDSGTEAESQLAAVTTRIMQALQNNLDGKSKQYKDPALTYLFLMNNIHYMVRSVRRSEAKDILGDDWIQRHRRIVQQNANQYKRVAWAKTLSVQGVGSSGDLTSSGVSRATIKERNQYPSNVSCLVRFKSFNTQFEELHAKQSQWIVPDQELRESLRLAVAEVLLPAYRSFIKRFGNLVENNKNPQKYVRYSPEAVDQLLGQFFEGQQWAEQKR >Sspon.03G0013570-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:50906607:50908424:1 gene:Sspon.03G0013570-1T transcript:Sspon.03G0013570-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGREAAAARPKLRRGLWSPEEDEKLYNHIIRSGVGCWSSVPKLAGLERCGKSCRLRWINYLRPDLKRGSFSQQEEDLIISLHKILGNRWSQIASQLPGRTDNEIKNFWNSCIKKKLRQRGIDPATHKLLNNDDVVAADDNAVAPHRHQDDKQLASSTDDHCFAAMDAASSDDPLAPHSPTVSFDPLSVTNVPTMQQGSYGTAHSFGRSDNHLCDYGGVDVVSDAATTYSAYTGGDSSSNSNGTWTCGGNVVGGEPMPPHMDMFGRGAEVAYQFDPAKYSPWQHQQQHPAAARLHGHNVSGGGSAGFPIRRDLPDSCFDLARSALEDEFSVDFL >Sspon.01G0051320-1P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7C:47431175:47431407:-1 gene:Sspon.01G0051320-1P transcript:Sspon.01G0051320-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding YYGPGSACSCAPSRAASTTTPPTRWATWWGSRSTSGASTAGGGSGCAPAAPRATPCSPTTRPTSRPAAPAATPATAA >Sspon.02G0021680-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:72530446:72535396:-1 gene:Sspon.02G0021680-1A transcript:Sspon.02G0021680-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVFTLLPPLVAVLLIPTVRAGDEAALLTFKAQVVIGGSSGTLASWNSSTSFCSWEGVTCSHRRPTQVSALNLQGSGLKGALRPAIGNLTYLQTLNLSANELYGEIPASLGHLRRLETLDLSNNLFSGEFPANLSSCISMTIMVLDGNKLGGHIPAELGAMTSLEAISLRNNSFAGPIPASLANLSHLQYLSLSNNQLDGSIPPGLGSIQSMWQLHLYNNNLSGLLPLSLYNLSSLISFQVGGNMLHGSIPTDIGNRFPSMQILSLSSNQFTGIIPSSVSNLSHLTTLNLAQNRLSGHVPATLGRVRALQNLLLTENKLEANDKEGWEFINSLSNCSQLQWLILSDNSFGGYLPGSVVNLSVTLQKLYLDGNRISGSIPADIGNLVGLNILLTVNNSMSGVIPDSIGKLENLVDLGLYGNALSGLIPPSIGNLTKLTRFLAFYNNLEGPIPESLGKLKNLFILDLSTNYYLNGSIPKAILKLPSLSWYLDLSYNSLSGPLPSEVGTMTNLNELILSGNKLSGQIPSSIGNCIVLVKLLLDKNSFEGSIPQSLSNLKGLSLFSLFGCSGRSTNKGIRVLIGLSCSKQQPRERAPIRDVVVEMHALKADNVQQISPQIIITLKSRSLLQPSNAESLNSSDQYEQLRILPAIVPTAHMEKGGKTPRIRRVRYMSLT >Sspon.02G0047450-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:16722326:16724417:1 gene:Sspon.02G0047450-1C transcript:Sspon.02G0047450-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRELGAGGDPDLVSSLGACVVRRAASAELAGTEVGTSPRSSSSASAFRKPYSSSKLRQTTGSSVLAAWGKAREDEPIKNKSLDGEDGFMDLLKAINSNWNDLKKLQQKLEQQQKKKQQQKKQQQTQKQPEDYLQGLIAIEWQGQFAFVPHAEKASIYAQLKTAKSSSSIEKSYELPDVITIGVERFRCPEVFFQPSLIRMEAPGIHETTYNSIMKCDVDIREDLCGNI >Sspon.08G0016630-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:60648040:60652201:-1 gene:Sspon.08G0016630-2B transcript:Sspon.08G0016630-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAMENGGGTMRRLAATEWVMCSTCSGFVFRKRRTAEVVEVVKEVEKMRDLMKAVLEHGPLQEIEPAEATKEQWKVLQRRRRIMMETKKCPTCSGSPPTSSREEGSELMAVLPSQGGMERKKIATEDEAVVADARSEQKLKQSREEGKRSSSRLKEKMERKKIATEDEAMVADARSEQKLKQSREEGKRSSSRLKEKKKAKSEDKDGSGSKKKKAKRSSEMEGSGSKEVKMKGSGLKEAAKIEDIIKSDSVDRKTKIEVFRFEKAFESLMNQPPVKSQSKEEAKMEDSESAVGNSKTKMVTKRLDKKSIDIFKNQPPPKPLISNSLYVNQLLDVVTYHDEVQRVFLEYLEYHSSIKGYAEVQEEVTNDEGDDHKLV >Sspon.07G0017550-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:63155355:63157073:1 gene:Sspon.07G0017550-1A transcript:Sspon.07G0017550-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ANGTAARSKEAAKVVHYRECQRNHAASIGGHAVDGCREFMASGANGTAVALMCAACGCHRSFHRREVQHGADTDLQARPGTASCE >Sspon.06G0021580-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:18275629:18277586:1 gene:Sspon.06G0021580-3D transcript:Sspon.06G0021580-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribonuclease 1 [Source:Projected from Arabidopsis thaliana (AT2G02990) UniProtKB/Swiss-Prot;Acc:P42813] MAAARLSLALLLVVLAVAGGRAAAQDYDFFYLVLQWPGSYCDTKQSCCYPKSGKPAADFGIHGLWPNRDDGSYPQNCSPDNAFNPSKVSDLLSSLRAKWPTLACPSGDGLKFWGHEWEKHGTCAANVFDEHGYFQAALRLRDQLGVLAALTSAGVNPDGGYYTLSQIKDAISQGTGFEPYVECNRDEAGNSQLYQLYFCVDAAGDSFVECPVLPSGRPCGNRIEFPAF >Sspon.04G0023070-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:10254884:10257850:-1 gene:Sspon.04G0023070-1B transcript:Sspon.04G0023070-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protease Do-like 7 [Source:Projected from Arabidopsis thaliana (AT3G03380) UniProtKB/Swiss-Prot;Acc:Q8RY22] PALRRGDSVYLVGLSRSLQATSRKSIITNPCTAVNIGSADCPRYRAINMEVIELDTDFGSTFSGILTDEQGRVQALWASFSTQLKYGCSSSEDHQFVRGIPIYAISQVLEKIISGTQGPFRLINGIKRPMPFVRLLEVELYPTLLSKARSYGLSDNWVQALAKKDPVRRQVLRVKGCLAGSKAENLLEQGDMILAINKEPITCFLDIEKACQELDQSIGSDGVLNMTIFRQGKEIDLIVGTDVRDGNGTTRMDPHSAVRALGFLPEEGHGVYVARWCHGSPVHRYGLYALQWIIEVNGQPTTDLETFIQVVKGLEDGEFVRVRTVHLNGKPRVLTLKQDLHYWPTWELSFEPETATWRRRIIKALQSTKA >Sspon.06G0006150-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:19647523:19652047:-1 gene:Sspon.06G0006150-3C transcript:Sspon.06G0006150-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA repair protein RAD4 [Source:Projected from Arabidopsis thaliana (AT5G16630) UniProtKB/Swiss-Prot;Acc:Q8W489] LGNARRKGRPKTESKDNSSVETCSAGSCEKNNLEEQTESLDNNDAAEMDWQEGHVEHIEYSDELRETITVEFNDVPSSTTKKGVRRPTAEEKELAELVHKVHLLCLIARGRVVDKACNDTLIQASVLSLVPYHLLWSLADVPNLKAVNLRSLVSWFHRTFCVTAQSTNRGSFESNLAFTIQDHVGTAEELSLKLSAMQVCALSVALFRALNLTARFVTNLDVAGLKPDTKVKGTFSQDASRLCTRALPCSSTFAADNVISSPALLKDNSQGSVSMNQQRGDLGKSKQTSACKRSLSKTLSSIKSDHESSCASTSKDNSASDQFPSSNNAEVPKRKGDVEFELQLEMALSATAAETQNNKLATHMSQSTVSFQDSSPPLKKLRQNAEALSSTSAIWSRSAGAPLYWAEVYCGGQASTGRWVHVDVVNDLIDAERKVEASSAVCKKPLRYVVAFAGNGAKDVTRRRTRTITYMLSRGGFTRTKFFIPKVVTRPKRTFNAQSVQSSGNEDGLKPTLELYGEWQLEPLQLPHAVNGVVPKNERGQVDVWSEKCLPPGTVHLRLPRLFQVAKRLGIDYAPAMVGFDYRSGRCLPVFDGIVVCTNSKVLSWRHMQKKRSGEAEERKQEEAQALSRWYQLLCSVVTRQRLKDSYKTPSHGL >Sspon.02G0013720-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:36537323:36542293:1 gene:Sspon.02G0013720-1A transcript:Sspon.02G0013720-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospholipid:diacylglycerol acyltransferase 1 [Source:Projected from Arabidopsis thaliana (AT5G13640) UniProtKB/Swiss-Prot;Acc:Q9FNA9] MPRKPLCWVEHMSLDNETGLDKPGIRVRPVTGLVAADYFVPGYFVWAVLIANLARIGYEEKTMYMAAYDWRLSFQNTEVRDQTLSRIKTNIELMVATNGGNRVVVIPHSMGVLYFLHFMKWVEAPPPMGGGGGPNWCEKHIKAVMNIGGPFLGVPKAVAGLFSSEAKDVAVARAIAPDVLDSDFLGLQTLRHLMRMTRTWDSTMSMIPKGGDTIWGNLDWSPEDGLECKAKKHKTNDTDDSKDTNGENIEVQPEPINYGRLVSFGKDVAEASSSEIEQIEFRDAVKGNNIAHSNTSCREIWTEYHELGWGGIKAVADYKVYTASSVIDLLHFVAPRMMQRGNVHFSYGIADNLDDPKYQHYKYWSNPLETKLPNAPDMEIFSMYGVGIPTERAYVYKVAPQAECNIPFRIDTSAEGGEENSCLKGGVYLADGDETVPVLSAGYMCAKGWRGKTRFNPAGSKTYVREYSHSPPSTLLEGRGTQSGAHVDIMGNFALIEDIIRIAAGATGEEIGGDQVYSDIFKWSEKIKLKL >Sspon.03G0038670-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3D:2236861:2239877:-1 gene:Sspon.03G0038670-2D transcript:Sspon.03G0038670-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRNRDVVWEHGDNLAPGWRCKYCHVTRAGGGCTRFKQHLAGYGADTLHCSRVPPDVRAYFRRDLDRAKKTTADRARQRLAEEKAAAEGNHPLGDDEYEAQMREALNQSRAEYEYEQRVQRRGGNYHRGGGSAGGGGSQGGNPIDRLFRRAGSRRETPVEVEDYHVAAGGRRGMQQQRIDTGSWTQKGKNAKEAIGKAWGKFFHYVGIPGRNADNPYFVTWFHKSIDASGKSQDANYLYREIRKVVEEIGAEHIVHVVTDNGANYKKACTSLKEEYGHIVWTPCLAHTINLMLKDIGNRTDHAGETQFTHATQDRDHGQPTSQRRAYAPTDYDTPQYSSSSYSDTSEPTYRYPIPDMTMQTQTRWVYEWEDPSFYNMLVQEWETTAAWTGQTWQDYKADLLRHQDEPAVPLRSCLASAFVMMPPLMNRRVVVSKPCHGLAQVMMNRCRVFGERTKLFNPVTRAHRIFFMDEDVDRSLDMSSGSSSIGLGYDQSRQEHVLVLLAADTECKVWRLRDDDGIGRARTVPAPPITPRFLPSASTVPPVYIDGRIHWMCCSPRAILAFCVRAGAFQVVPAPPGPGTGGGDDTSGTGVEFLVELRRLLCVVQLCPSSETVTIWSTAAGDGWDDGAWSRDYVIQLGRWPEFSPKTVELVVPMAIEPTDGRILLDTGSSLGYYDPVRRTLET >Sspon.03G0028190-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:12241253:12242745:-1 gene:Sspon.03G0028190-2C transcript:Sspon.03G0028190-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSREQQMPPPPRRFIAIPFSSGCRSQSSVDVVDTARHGKKPRQQGAETGAVPGGASAAGGKGESLVARLLRGFKNLSQIFAVYDEDDDEEEEGEMVIGLPTDVKHVAHIGWDGSTSTTTSLRSWNRAAPPAPASSSSAAAAPPQPQEQYPLPLPALSMRQFELAMVAQAATTTSGGGAAHRRHS >Sspon.08G0002450-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:5505178:5506460:1 gene:Sspon.08G0002450-3D transcript:Sspon.08G0002450-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding HGGARAAEFVKQNLFTNLIKHPKFFSDTKSAIAETYTHTDSELLKADTSHHRDAGSTASTAILVGDRLLVANVGDSRAVICRGGDAVAVSRDHKPDQTDERQRIEEAGGFVMWAGTWRVGGVLAVSRAFGDKLLKQYVVADPEIKEEVVDSSLQFLILASDGLWDVVTNEEAVAMVKPILDSEQAAKTLLAEASQRGSADNITCVVVRFLEQHNGLGRATNEQAS >Sspon.05G0036170-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:82795440:82799610:1 gene:Sspon.05G0036170-2D transcript:Sspon.05G0036170-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRASSLLPWLLIILPLPLAMRAAAVDTTVGFTEVPLTEAQFPVQRPYDVPLHERFEFRGGVRRMWVYCTDKPHTPTSHTKPRTEIGIKQNYSSGVWQFEGYGYVPSGTTGVSVMQVFGATPPHATTLMLHVYGGVLRYYDQKLVEDCIYDRWFKLNVVHDVDAAELAVFVDGKPRLRVAGRGGHVHTFKFGVYTQTRPSHRMESRWRDVKDDGTTHMVHVSSRKWWG >Sspon.01G0025740-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:88071882:88074003:-1 gene:Sspon.01G0025740-4D transcript:Sspon.01G0025740-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVEEEVGRLKEEIQRLGQQQPDGSYKVKFGVLFNDDRCANIFEALVGTLRAAKKRKVLTYDGELLLQGVHDNVEITLLPPPAVAAA >Sspon.02G0047740-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:12028596:12030224:-1 gene:Sspon.02G0047740-2D transcript:Sspon.02G0047740-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to Chitin-inducible gibberellin-responsive protein [Source: Projected from Oryza sativa (Os07g0545800)] DLHQLLKYRLTGDNVFYEFPTENNLANTPWPASPLKSEFSSSPYTPLSSQLECDNLSALSNTPDNQSSTETISAQPISPLEVDSSYRQAAILRENTQVRPDPLYATSRHNMQHALREIETVLMAPDAEDAATSTKHEFEEHKPAQLMRQRSRTWSHESRQPSPGVVRTQFASGYPTASYEFRPEKRLRELREDPQSMVKQLLTKCAEALSEERIEEFLNLVQQARGVVSITGEPIQRLGAYLLEGLVARHANSGTNIYRALKCREPESNELLSYMKILYNICPYFKFGYMAANGAIAEALRNEDKIHIIDFQIAQGTQWITLIQALAARPGGPPHVRITGIDDPVSEYARGEGLDLVGKMLKSMSEEFKIPLEFTPLPGIYATQVTKEMLDIRPGEALAVNFTLQLHHTPDESVDVNNPRDGLLRMVKGLSPKVTTLVEQESHTNTTPFLMRFTETLDYYSAMFESIDTNLPRENKERINVEQHCLAKDIVNIIACEGKDRVERHELLGKWRSRLTMAGFRPYPLSSYVNSVIRKLLACYSDK >Sspon.01G0027740-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:95074559:95079613:-1 gene:Sspon.01G0027740-3C transcript:Sspon.01G0027740-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGEGGDEKKQRRRRRRRLWAACLPRPGCFTVSAADEDPSAPGAGEGESKPKPTHLVVTVNGIVGSAENWRFAAKHFIKKHPEDVVVHCSGCNSAGRTFDGVDVMGRRLAEEVTSVVDSRPELRKISFVAHSLGGLIARYAIALLYESEAQKDSHEKCENHAVDYSSNQRSIGGKIAGLEPINFITFATPHLGTRSHKQIPLLRGSNKLEKMAYRLSWIAGKSGKHLFLKDIEDEKPPLLLQMVTDYGGLHFMTSSIRRQHELPKPQSFINNDRYPHIVYVEGPKVVDVDFSDAMIYQAKTTMEMEEVMLKGLNRLSWERVDVSFKKSRQRIFAHSTIHVKTYFLNSDGADVIFHMIDHFIY >Sspon.04G0007870-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:22227694:22251585:-1 gene:Sspon.04G0007870-1A transcript:Sspon.04G0007870-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GSLDEAYAGDLSFADSLQAFGAGLDDPVSVAIGGPVMSKFTTAFRELGTYKELLRSQDCRRRLDRAAVAYDQAREKFVSVRKGTRAEVVTELEEVHALANIEAKKKYEFLESISAVMDAHLRYFKQGYELLSQMEPFIHQQDKLAKRIQEFRTEEEIANVRMASNVDTSTSGDGIHVVGLQSYKTIEALMQSTANGEVQVIKQGYLFKRPQNTRGEWKRRFFVLDSHGTLYYYGNKGKSQGVSSQQTAGEGTGVFGRFRFLNQRASSQGEDSLSCHRIDLRTSTIKIDAEENDLRFCFRIISPVKTYTLQAESGADQKDWIQKITGVIASLLNSPFPQQLSYGNLAAESNRSASSADSLSIEDNKSSEGHDDIFNLLRNIPGNDSCAECRSPDPDWASLNLGILICIECSGAHRNLGVHISKVRSLRLDVKVWEPVIMDLFHALGNDYANSIWEALLPKEDQGHFIKMIYAFSIKERFH >Sspon.04G0010090-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:25159736:25166281:-1 gene:Sspon.04G0010090-2B transcript:Sspon.04G0010090-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVADSAFHRLVGGRRRHSDIIERRFDSAKVDAYRIADFEEGVPPAELIVWPTRGRSRRRHHNLPRRANPPAAAAALRLAVAAARHGAQKGFPRLLPPLSILSSLAVFTVARFFFGVWSPDPCSPGLDPASLRSDPSPAGLTARHLPAARGSSGPAPVTPVEDLAGLGAWPNGAPGRGAPRLGGRLAQRRARPWRVEHPLPLLLGSAGGGEARDAGGGEADATALGPALELAGSWGNGHGQRHHRGPARRAAAVLWSKAPSGERQGRPLAPAPLARSGGPAVDGSHYCWHLGDRGKRRCRQQVIPTALCELSRAQRVGLCRRGMVLPRVTVVDPCTTVRATTTPYGAVYLLGGVDSSGVGGGGVDGVMTLLRAPLWRDRYQLSPRPDALSCPSLAPLLRRRSPWWCQKIKCRVVFPPLPSFPFKGSSPWMALDDGTMSSSVQWKVVVSDHPGLACSSPLLVPILLHGVWIGVATRSGGGRNGHGENGEYVVRWRRKSTFCWRLSARKASEPVRVNTPMSPCETPSRSIRSDHPFLSTRPSSPWRRGCDSSGVTGCGADVGVVKTGSAVTNLVTRALTPTSCLYVLRDGAHQPLIGLSIPDQDVIKGREFKCAFVSAWPGTKTGPAWIFPHSARPGSEPGVLPSGTKSGEATAQPRAAQEATSRRGTATTATATATVNASPPTSTLPAAFSPVKRRVLPLSYSSLGFLLQRWQDPASSRWQPLAGRGGGAIATNLVSWSSSGAMAKPVCRNRPLVPTHEAMQGCCPCWTEA >Sspon.07G0005610-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7A:14364472:14364771:1 gene:Sspon.07G0005610-1A transcript:Sspon.07G0005610-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLWEKAVSTKPKVQNVKQVVSDARNQREEGLQAGVWGSARLWGRSWAARHTEDVRRDLRLHTIGHKEGKIHHNLDTSKDNCNSRCTGRISKSSSLKKDD >Sspon.07G0019030-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:72776867:72783206:-1 gene:Sspon.07G0019030-4D transcript:Sspon.07G0019030-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCLVGGGVQDSPRAAAKRVSPASWRPDTAAGEAEAEPGAGGAAAAKGRRVFFRPPDVMETVHEVAIYIHRFHNLDLFQQGWYQMKISAMWEEGGNKTPSFACQGPSDVGADDALGIWRIDDIDNSFHTQPFRIKSELQASSVTSSAAVHEFRIPRRALLGLHSYCPVHFDAFHSVLVDLTLHIVYLKAGAIKSSLKVPDQGLGPTSYNIVKALLTSRKMLLEELNKISDAIGKTVEDLDAADLNLGKYESFNASKSGLPNSSKVFPATGKGVGQLAGILHDFLERPNDMVNGTDDSMLYTLPQEELFELLNKTKILDYLHDAWAIDRKAEWSIWTVHSKIEMPHRYLRSMTDDSSHRHSLLRGSVSRKFHDDPVQNSASRAELHRKSIAQMKINTQSVQDMHIYADPSRVPVVLIEQHVMVVPQHSSSKDLASNASEQKDTIVLPKLQGESLGHHLDLRLVRNQWLLLDPGADCLMSEANEDKTSGDFKEMGSRLAGEVVAFLKKKTDKLSRYGGCKELKLSFVGHSIGNIIIRSALAELALQPYLKNLYTYMSISGPHLGYWYSSNSLFNSGLWLLKKLKGAQCIHQLTFSDDQDPQNTYFYKLCKLKTLENFKNIILLSSPQDGYVPYHSARIELCPAASSDTSKKGEVFTEMLNNCLDQIRAPSSDTRTFMRCDVNFDQSNQGRSLNTMIGRAAHIEFLETDLYAKFIMWSFPDLF >Sspon.01G0045090-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1C:82243504:82247241:1 gene:Sspon.01G0045090-2C transcript:Sspon.01G0045090-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKSTVRLLNRSVSFASRTSQDAADGLSDESDGESSTKKKSAPRRGRKKATAETSGGEGDENQIITGQTAAEETKVVKRRGRKKAAVAASSEEKDKAKEPKKRGRRKLKTVEESSDDDGGHRSKDLMLYNEGEDQTQLLNSVNVLESKIESVLHEDIGDVDDLIPLVCCFGPAKYSFIPSGRPANRLIDHEIHDRMKDMFWSPDKFVRAPGGSSSNVALALAAIGGRVAFMGKLGDDDYGQSLLYHLNINGVQTRAVCMDPSAPTAVSLMKVRTEGSLKTNCVKPCAEDCFLQSDINPAVLKEAKMFYYNSSALLEPTTESSLLKAIDVSKKFGGTIFFDLNLPLPLWSSSKETKLLIKEAWEAADIIEVTKQELEFLCGIKPSEKFDTNDNDKSKFTHYSPEVIMKLWHNNLKVLFVTNGTSKIHYYTEKHNGWVRGTEDAPITPFTSDMSQSGDAIVAALMRMLIINPHLVTDKVYLHKAVKHAIKCGVIDQWMLARERGFLPKERADPTSEHYELRSITEKEYRTLPDAMQSENSSTSELAYVE >Sspon.03G0025930-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:1242156:1261341:-1 gene:Sspon.03G0025930-1B transcript:Sspon.03G0025930-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRIQDWASLDADLVELIGWRVLAGDLQDYVRFRAACSHWSASTVRPHILDPRFHPRRWMMLPEGHGLYPGHPDLRGFVRFINLSTSAVVRIHLPLLDDHVILDSVDGLLLLHRDHDTVVRLLHPFTGEIVDLPPLVSLLPQETRRYYTERLKRSLVMNPCASVSVSSRGTVTVMLALRFLHRVAYATGGDQQWTLSDWKLQSFLAPMSSNGKIYMLQYPCKSHKIHIYRIDPPCTTAPDGFPHSPPCTTTPDGFPHSPPCTTTPDGFPHSPLLMKIAECPLDRFSIIFGLVECGSEILVVAYKDESRIDLAVYRLADLVIGRLDAPVASGRGRCSSIMSGAGGAIIMSGGAVISRSCRAASSAAEQAVYLLMRCIGLVCSKMPVATVQRPETNESL >Sspon.01G0027140-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:95593426:95595966:-1 gene:Sspon.01G0027140-1P transcript:Sspon.01G0027140-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAEDIQPLVCDNGTGMVKVLGDCWGPNNIDFWQAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQSKRGILTLKYPIEHGIVSNWDDMEKIWHHTFYNELRVAPEEHPVLLTEAPLNPKANREKMTQIMFETFNTPAMYVAIQAVLSLYASGRTTGIVLDSGDGVSHTVPIYEGYALPHAILRLDLAGRDLTDYLMKILTERGYSFTTTAEREIVRDMKEKLAYIALDYDQEMETAKTSSSVEKSYELPDGQVITIGAERFRCPEVLFQPSFIGMEAAGIHETTYNSIMKCDVDIRKDLYGNIVLSGGTTMFPGIADRMSKEITALAPSSMKIKVVAPPERKYSVWIGGSILASLSTFQQMWIAKAEYDESGPSIVHRKCF >Sspon.02G0022750-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2A:76808880:76809683:-1 gene:Sspon.02G0022750-1A transcript:Sspon.02G0022750-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKDLVHFNNIRIFNSRLPDPATGTIYCFSDRKGKGASYLFGQNASKGEHKVLRRIDKITSYGHIHQLIEVFTLNNRGHAFWREKHAPPQRVASDKWTDVVIDGVAYLLSWDAFYELTFSRRVNGEDMIITFDLETEEWGTILGPNISSLGNAFQVLPGWLTLADLNGSLAVVCLYGLVPSMDIWISTDIAKGDWVKWYSITFEQYDMLCYVHPLSVLDDQRVVIYIEDKELLQSYNPRTNTFTNVVELEHCSSISLYTGNLLNLNC >Sspon.05G0002840-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:11085387:11086660:-1 gene:Sspon.05G0002840-4D transcript:Sspon.05G0002840-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VMIRLWIEALLAITKEGSSSQAARKSAPTTGGVKKPHRYRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSHAVLALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA >Sspon.05G0003790-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:11311264:11312517:1 gene:Sspon.05G0003790-1A transcript:Sspon.05G0003790-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVALLCSSKLRCSMLLVGVALMVGMHLSAASLDDDIEIRWGASHTYFFMDGDTESLALSMDDEQGGSCFRSKEMFLYGTISMEIKLVEGNSAGVVATAYTISEGPWSYHDEIDLEFLGNLTGEPITLHTNIFVNGVGGREQQFYLPFDPTADYHTYTIEWNPKYILIRVDGKAIRAFKNYEEYGVAFPTWQQQRVYGSLWDADEWATQGGRIKTDWSEAPFVAYYRNYTFTWCQPSPGVSWCGAEPRDSTRFDLDQKTLGELWWASQQYRIYDYCSDHKRFNESDFPKECSLQRQG >Sspon.05G0035750-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:80033811:80035001:-1 gene:Sspon.05G0035750-1C transcript:Sspon.05G0035750-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKGGLASIFSSSRHSKPGTATSPNAPSPPWPWPSCATKPQTASFRGQGGRDDDRQPCTTAAAGRRRFDEAAAAPGGGRLRPPRGAAAPGDEMYKTVNSVYFDDADSCRFFFDDDGGGDAADDVLDDGTFSTTTASEEWSEAVIRSLGRTSTDRFFFDAGPAPAAASNSILAASPSPSPGRTLAPPPPPPVEAPPRLLQAALAPSAAGAMLSESDDGASEAETETEVPSTSSLVEESVAVALDSEDPFGDFRASMHEMVAAHGLRDWPALHEMLLWYLRINGKHNHALIVGAFVDLLVGLATSSSSSSSASPSATTTATTTATMTTTTTACCCSSSSSSSSTSSGGHGTTNTTTAATTATMDEQCGISAGASCSSAASSDLEEEAAAGERSSCDGH >Sspon.01G0046940-1P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1B:100866024:100866779:-1 gene:Sspon.01G0046940-1P transcript:Sspon.01G0046940-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDQQALPVPVPVPPNPNPTAPGDPTPPSASAARKLPIKRRSPRPSSSPPSSSSPASSDPLRAPAPGGGGSDQQQPPFKFQRIWSESDELRFLQGLLGCGAQGLVFPRDLNVFYDRFSESMPQPYTRAQLSEKLRRLKNKFRSMSARVAGALTRLASRRTTATCSTSAPGCGTPPTPPRRPSRPAPELPGTSAAAPTRGAHRSLPQMRLGIATPMTTMGLAPLLPACFRMVPMARICFTLSKKAGTSAIMK >Sspon.05G0035850-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:81554514:81559791:1 gene:Sspon.05G0035850-1C transcript:Sspon.05G0035850-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCISSPTTLSERIQTSAKYYTHRDYAHTFHSKKEGQHFLDTGEVKGKGLLQKKLADDPSGHSSEKMSVNRQFIMCRFKAFNQKNHSEEPYADYATPLSKVNVKERSMASAVASSARGEQPEP >Sspon.05G0031690-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:7723665:7741714:1 gene:Sspon.05G0031690-1C transcript:Sspon.05G0031690-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPEEKLRCTKEPFIEDVGTRRIKSIRFSVLSGSEIRKSAEVQVWNNRIYGHDMKPVPNGLLDPRMVMHRSELLFSHGIPNKRDKCSTCHGEFSDCPGHFGYLKLALPVFNVGFFNCILDVLKCICKSCSRVLLMEKDRREFLKKMRNPRADALQKSATMKKVRDKCKLSCCPRCDYRNGVVKKGRAGLIVQGFGWTYRRTEECDSAEVQVWNNRIYGHDMKPVPNGLELHRSLLFSHGIPNKRDKCSTCHGEFSDCPGHFGYLKLALPVFNVGFFNCILDVLKCICKSCSRVLLMEKDRREFLKKMRNPRADALQKSATMKKVRDKCKLSCCPRCDYRNGVVKKGRAGLIVQGFGWTYRRTEECVAKQERKVATNSVRVLDPATVLSLFRRMTDEDCELLNLGDRPEKLIVTEIAVPPVPIRPSVVVGNSRTSNEDSITVILKSIVNTNSILKETLHTGGPFTKCFDCWQYLQLQVVEYVNSDAPCLPDSQHRGLVQRLKGKTGRFRGNLSGKRTEYTGRTVISPDPNLKITEVAVPVLMARVLTYPERVSNYNIEKLRQCIRNGPFKYPGANFVTQPDGTKQSLKYGDRRITARDLKCGCIVERHLEDGDVVLFNRQPSLHRMSIMCHRARIMPWRTLRFNESVCNPYNADFDGDEMNLHVPQTEEARTEALMLMGVQNNLCTPKNGEILVASTQDFLTSSFLVTRKDTFYDRSSFTLLCSYLGDAMENIDLPTPALIKPVELWTGKQLFSVLVRPNAHTRKKFIQKRREKRGGEETMCGRETMCPNDGYVYFRNSELLSGQVGKATLGNGNKDGLYSVLLRDYNSHAAASCMNRLAKFSARFIGNHGFSIGVDDVQPGEHLNRQKKKEIDGGYKKCHDLISLFAKGALALHPGCNAAQTLEHNITGVLNEIRSIAGNVCMDTLHWRNSPLIMSQCGSKGSPINISQMVACVGQQSVGGRRAPDGFINRTLPHFPINSKTPAAKGFVANSFYTGLTATEFFFHTMGGREGLVDTAVKTAETGYMSRRLMKGLEDLSVFYDQTVRNASGGIVQFLYGDDGMDPAKMEGKDGMPLNLDQLFMKVMATCPQRGSDTLSPEAIKQMLENKLLQHDTSSDGGCSEEFKKNLTEFLDKRIELMKCTRRALHLHEDHVEKKDSCVEESVAAIISGISAKQLQVFLDTCLSRYQSKKIEAGASIGAIGAQSIGEPGTQMTLKTFHFAGVASMNVTLGVPRIKEIINAAKKISTPIITAQLLSRKDVLSARIVKGSMEKAVLGEVAAAIQIVLKSSQPNLVVKLDMQLIETLHMGISADSVQLSILNHPKIKLKSEHVRVIDRAKLRIYPAGTDKRKLQLELHNLKSILPKVIVKGIPTVERVVIDEVKVNNETERYQLTNLLAVMGTPGVDASKTKSNHIMETNQRLGIEAARRSIIDEIQYTMKSHGMNIDRRHMMLLADLMTYKGEILGITRYGIAKMKSSVLMLASFEKTSEHLFNASYSGREDQIEGVSECIIMGIPMQLGTGILKVRQRLDHVPEFKYQPDPILSLRANNALFGCVGRCA >Sspon.03G0015300-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3A:48805704:48809071:1 gene:Sspon.03G0015300-1A transcript:Sspon.03G0015300-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHQLADKERYDSRVRLRLDAAVVWLEFLDVEYPSLEPLLDDLLPKKSPMIVVKCQNHLNLVVVNNVPLFFNIRDGPYMPTLRLLHQYPDIMKKFQVDRGAIKFVLSGANIMCPGLTSPGGALDDEVEEETPVAIMAEGKQHALAIGYTKMSAKDIWNGSNE >Sspon.06G0020500-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6D:9417332:9417887:-1 gene:Sspon.06G0020500-2D transcript:Sspon.06G0020500-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRAAGAAAVLQWTSIRTPHAEGEEILEAREALGDLGDQLRQIVRGGSIGYGAARHGVSGRRRAAPPPATRPTMFGGELTLGVGDGLST >Sspon.04G0010290-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:31362551:31365240:1 gene:Sspon.04G0010290-4D transcript:Sspon.04G0010290-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ACR3 [Source:Projected from Arabidopsis thaliana (AT1G76990) UniProtKB/TrEMBL;Acc:A0A178W888] MCSCLVKKYAKLRLKEESSESGRIDSPTPKMLPYFDPDYENFNQRINPPRVCIDNTTCTDCTLVKVDSMNKNGILLEVLQVLSDLDLHIFKAYITSDGGWFMDVFHVVDKQGQKITDDKTIKYIEEALGPESNLLRPKGSHSHGRSVGLHSIGDHTAIELKGPDRTGLLSEIFAVLADLQCNVLAAEVWTHRMRVACVVYVNDVATGQAIDDPCRVSTIENRLRHVLRGYGGGGGNDDGSGAHANFTDASSTPHHLDRRLHQLMHADVDAVHGDGNDGAGAGAPVAAGSEGDRPEVTVEHCEEKSYSVVNVKCKDRSKLLFDIVCTLTDMEYVVFHAAVSSEANYGIQELYIRRKDGKTLLKDEAEKVVRCLEAAISRRVSEGFTLEVCGRDRVGLLSDVTRVLREHGLTVSRADVTTAGGQATNVFYVRDPSGQPVDMKTVEGLRGQFGQTAMLNVKNVSVPAAVARATEPRSGGMVRSSFFSFGSLFAKLRA >Sspon.05G0022340-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:7242003:7245411:1 gene:Sspon.05G0022340-2D transcript:Sspon.05G0022340-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPASSSPAGVVCLVLLLILAGASAAHGSFQARRVLSERSCVYDELTVLLVSLPKTADSSSAGCLILHGGSDLFHGSTIRTVNYVFGQGNLTVDHLRNFAGSLAAAKNITIDQIFLPADVQQKIDIVEEKLNSSANIFSSRMQENSRKIKRVLNHMCNGAVPCGGSVVADTCVAMGDWVAHPQAHTALDDILPCVDVATANESLYRSQEVTAQLVALVNNVVVNISNRDFPPGLRPLYFNQSGPLMPVLCNPFNPDMSPRRCAPGEVDFDSAALEWKRFECQTTGPPGSEVCATPGRVTPAAYGQMTAATSVSKGLYEFSPFLVQLQDCSFVRETFSSISNNNCPGLEQYSRDVYIGLVVISAGVMLSVVFWMVHTRHRRRRAMCKH >Sspon.01G0006040-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:15543455:15544847:1 gene:Sspon.01G0006040-2B transcript:Sspon.01G0006040-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSSGEYDAAYAATIAAAAFAIAAREEKLATQKKSVPIEEVPPALSPVKRGESTKKPAGGNKIARWFSGKEPLEHDDDGPVNVSVRRPLKPAPGMPEDMPADHKVAPKILETSLSAKKGSGSSSKSADRKGSKKFEQEQAVQKAPSAVRPATSYHSRRNGEDTSGVTGIGGTGSKADEWEKAKLARVREEYEKMMETIAEWETEKKVKARRQKEQKETELDRKRAKALEEYNQEMTRISKIAGGARSMAEERKYNDENKIKEKARKIRSTGKPPRTCACF >Sspon.05G0018260-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:78157090:78160678:1 gene:Sspon.05G0018260-2B transcript:Sspon.05G0018260-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRVSSHVSLASEAEINLDLSRLIIDRPQRFTLERKRSFDEQSWSELSHSHSHRNNDGFDSVLQSPAFPSGGFDSPFSIGTHFGGGGPHPLVNEAWEALRKSVVYFREQPVGTIAAVDHASEEVLNYDQVFVRDFVPSALAFLMNNETDIVKNFLLKTLHLQSSEKMVDRFKLGAGAMPASFKVDRNKNRNTETLVADFGESAIGRVAPVDSGFWWIILLRAYTKYTGDVSLSESPDCQKCMRLILNLCLSEGFDTFPTLLCTDGCSMIDRRMGIYGYPIEIQALFYMALRCALQMLKPEGEGKDFIEKIGQRLHALTYHMRNYFWLDFHQLNNIYRYKTEEYSHTAVNKFNVIPDSIPDWVFDFMPCRGGYFLGNVSPAMMDFRWFALGNCIAIVSSLATPEQSVAIMDLIEEKWDELVGEMPLKICYPALENHEWRIITGCDPKNTRWSYHNGGSWPVLLWLLTAACIKTGRPQMAKRAIELAESRLLKDGWPEYYDGKLGRFVGKQARKFQTWSIAGYLVARMMLEDPSTLMMISMEEDRPVKPTMRRSASWNA >Sspon.03G0005020-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:14315994:14326525:-1 gene:Sspon.03G0005020-1A transcript:Sspon.03G0005020-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASPGTAEPLESSTAEHPEAVQPPPLPIDEAELPSPLLGAAVAHEAIYPKKSASPSPLPANLAVEVSPEVEASSSSLSPSPSPSPSPQIVEASPGAPQPLSPPRTAPAAAARASTDLSITEAVAMASQEAARPSPSPESTDAHLYNASAPPTPPMEIWLGVLLPQQQPRPPSPEMVVPCDYSEPAQPSPLCHPDGCTGASPDAPVDEVVAGTPGKAAGLSPGENLEFAKSPQTHFPAESTYVCSNAASKVSAVASEEGTQPVMESIDGEGPLEIGAQRSLQEPEETTTSFRMEAEPCSPEMAPPGFEDCKSRWLPLSHPNPLVESTHTVVQVTATNAMGTTPDAATGSLSSPGLLPPLKRGEEGQTLPRSCSPTTEAAPCSPDMPPPGFENCKSSWLPLPTLQPIAETTYVLHDVAPTKAMAVGFMEKACSVLASERTDVEIDSERNLLPQLESGTGSSLQGPLPRSPSPMMQATPCSPDTAPPGFENLKFTQLQPPYLSLVQTAHVLQNSADNEAMSVISKEAPQPLPAFDATDLDIDAKPVLAPPSESKPGKSLPPEPPLLPSHVAQHTTCSLGMVLLGSENIESSQLLPPALVLPLDQTPDILADAGTKKTVTMEDVCHPLPVAGVAEEAMGFVLPPVTENGCEGQSPHLEPQASSPALDAATTSLEMAPRSFENSESSQLIAPCLAETIDPSTHAPAIMPMVVKSEKTNLPLSPLQATGTDMESAILRQSPSKSEERSLPQPEQHPSSPSVKNTTCSPEVAPPEHQVGKSENPI >Sspon.08G0003720-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:10432812:10438747:1 gene:Sspon.08G0003720-3C transcript:Sspon.08G0003720-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:30-kDa cleavage and polyadenylation specificity factor 30 [Source:Projected from Arabidopsis thaliana (AT1G30460) UniProtKB/Swiss-Prot;Acc:A9LNK9] MDDGDGGLSFDFEGGLDSVPAAGGGGPVPSSTDPGAGGGGGGDGPGMHGRGRGRGSYRQTVCRHWLRGLCMKGEACGFLHQFDKARMPVCRFFRDFGECREPDCAYKHSYDDVKECNMYKMGFCPNGPNCRYKHIKLPGPPPSVEEVLQKILQMRSFNRYGQNRNNNYNQQGERPQHPQGSGMPNQNSAENATAAAPPAGGQQAQTLNQQPPQQQQKPNTNDQAQGVSNGHQATRIATPLPQGPSRYFIVKSCNRENLEISVQQGIWATQRSNEAKLNEAFESLRILNVMLFKGCAKMTSRIGGYIGGGNWKSAHGTAHYGRNFSMQWLKLCELSFQKTHHLRNPYNDNLPVKISRDCQELEPFIGEQLASLLYLEPDSELTAMLIAAEAKREEEKAKGVSADEAADNQDIVLFDDNEEDEEEESEEEEEGNGQESQGRGRGRGMMWPPQMPMMRGPMMAGRGFPPNMMGDGFGFGGGFGMPDPFGMPRGFPPFVGPRFPGDFARGPMPGMGFPGRPPQPFPLGLDMMMGPGRGPLMGGMGMGGPGRPNRPMGMAPFMPPPPPNNRAAKREQRRPGGDRGDRFETASSDQGSKGHDNTGNSGADGARAQSGDRYNRSALRDDDSESDEEAAPRRSRKR >Sspon.01G0048870-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:110500514:110503254:1 gene:Sspon.01G0048870-1B transcript:Sspon.01G0048870-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding EPVWTAVMAEMGRRTAGKEAAECRMAAEAEWWCQCHCAAMGRRLSQVSQTLWPCCLLGWASPATAPRPIVNPPPSLVLAFASRPAVPPFPCGLRPLPAALAKASPASQIRRAPGRARGRSLSRPERHSVLPLLLASVAASMALKVVSRIRFARRHLLSGRLTYCSCPDSPSVRASADRASSFEFDSAIRVLKDNLRPESLALVLDSTSDSSLALRIFRWVSHQRYNIHTVDTYSCMISRLTAVENRDEMDSLLSEMVRLKVPALEQALSKLVECLSSKNRLDEALLVIQHASSVKLKLSFSACNGVLHGMVKQGRGLRLCMLAYTEIVKAGILPDVETLNLLIEALCESGRLDLALIQLDRMSKKRCTPNSHTFEVLITALCSHSRAGEAVKLFDKMLQLRCSPDSSFHAQVMPLFCKFSKVKEAIMLYQMMKEDSIQLILQLYSALIRCLCENQLSDDATIVFKEMITSGHAPMASTYVDMINCYCTNAKFHNAVIFLEENDVTETEPYNVLLTWLCRNDRLQDSVSYLEKLRSRGFVDCESWNIVITQFCDEGNIRRASELIGRMVVSSFAPDETTYSAVISCYCRLGLYIDALSMFRRGWVPDSRTHRVLVGSSGLVEARELVETYQTVDSDNVTNILLEGLD >Sspon.02G0022840-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:77087778:77093187:1 gene:Sspon.02G0022840-1A transcript:Sspon.02G0022840-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLHCFWWAQVFRPLLNITAEFTAEELEAALITPNEILDDVHMPLLKSIPPVTRMAMGRGTWVTVLCRKLKDWWHWVAEGDLPIIASHGTEIETYKTLEPAIRLVILKAICDIRVEQEDIRNFIDSSLKHGHDLSTFRKERIGGDSLGISYWYEDDEILGHRLYREIRRVEQVKKEPGKRSRGKGGSSAISVVSYQWETVASTFDEFDDVAEKLFSSRNRTEVSLGKKLKIEYLPEIEKIHKKKERLLKKQQREALLLDSYLTSDGLTTGRSLRDRKPVTYTFDDYDRSINEAIKITKKREENSAEPVAPTANRRVLPPRSEAPSNGKLNGPSPTTDSYDGNSSKSDDYQDSDGEQENEALDRSNRRRKRSQRYTQDFVEAVSDIDPNFDSDDDIMGEAVYDEEYLRSRKQHKASSASEEDEEFRLEEDAEDDDEEEEYSLSSSEDLEEPQPQRHKKLETRGRRGTKLRSVDEIQTGLRRSKRSSRPRINYRQYDFSDSDTSLERQGNLMHQILMLAPMPRMIWSSRHRVKSKRKKRMIVLMKKMVIM >Sspon.04G0019330-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:71485560:71487923:-1 gene:Sspon.04G0019330-2B transcript:Sspon.04G0019330-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVARVLRGSMFLMSPAAGAKAAGAKAAGAKAAGAAAAKKKTKAASTKPRAPSGITKPMPVSDEFSRFAGGAPEVARSQAIKLVWAHIKAHGLQDPAKKREINCDATLKSLFGGRDRVGMFEIMKLLSPHFLKN >Sspon.06G0031270-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:88370524:88371352:-1 gene:Sspon.06G0031270-2D transcript:Sspon.06G0031270-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LQPGLHIQVRRQLPTFFPGGIHSSSSQGMCAQDNNVKRTTENPVAIAAPASVFGGKNLGAPGNVEEDHEEATPLSEDAMNIYEHLIGVHAAYFRACNVPYMTTSRSLPQLVVQEQNTHRAHDAQVSNHGDNLVEADTSTTVVQQQRVPYAGSSHVQQHYGASRLNNTIPSIHQEPMVAPVTNPSLRLMHIKGQVATSCADANGSCFVQQAIEVATPQKIVMVYEEIMPCVRTLAVDVFGNHAVQK >Sspon.01G0012280-6P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8C:11447601:11449433:-1 gene:Sspon.01G0012280-6P transcript:Sspon.01G0012280-6P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLWERVIKHHLRRVTSVTQNQFGFMPGRSTMEAIFLLRQLMERYREQKKDLHMVFIDLEKAYDKVPRNIMWWALEKHKVPTKYVTLIKDMYRDVVTFVRTCDGDTSDFSIKIGLHQGLALSPYLFALVMDEVTRDIQGDITWCMLFADDVVLVDDTRAEVNKKLELWRHTLESKGFRLSRTKTEYMRCDFSATRHEEGDVSLDGQVVAKKDTFRYLRSMLQKDGDIDEDVRHRISAGWLKWRQVSGVLCDKRVPQKLKSGEPGHRPRMAGTWLRHCICYGARVEKRGASRRRWDWEKRVEGSGVGVTVPARYSTARVLFMQEGNSGTTVPTVLFA >Sspon.08G0015650-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:62718520:62725041:-1 gene:Sspon.08G0015650-1A transcript:Sspon.08G0015650-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWSLLLRVTDKTLLLLLVVAVTLSLEHGVPVHGFLAASSDCQSSCGNISIPYPFGIGAACSWEPSLNVSCVVDGQGQEAAYLRVGDTLFKLLEIDVSQGEVRVESPISSSCRNGSKLEPLFILVPPFTVSSKNKLTAIGCATVAGIGSQSQDGYTSACGSFCNQDSMGNITECAGIGCCQTSIPSPGNLRSLNASFIVTADNLHISTPQKSSSPCSYAFVADANWFKFHPLYVTSTKFGEMYGSGSDRGVPLVLDWVVGNETCEEAVKNNMYAYGYATRVSSYACLSDNSFCLNASIGLGYRCKCLAGFEGNPYLDRGCQDIDECASPNFCNGTCANVIGSYRCTPAVHQHQGIGLIIGIGVGSAAGFMILVVIAIFITQRFKHRRAMKLKQKYFEQNRGQLLQQLVSQRTDIAERMIITVDELAKATNNFDPARELGGGGHGTVYKGILSDLHVVAIKKSKITVQREIDEFINKVAILSQINHRNVVKILGCCLETEVPLLVYEFISNGTLYHHLHVGSPGSLSWRNRLRIASEIASAIAYLHSSLSIPIIHRDIKSSNILLDDTLTSKVSDFGASRYIPLDRTGLTTVVQGTIGYLDPVYFYEGRLTDKSDVYSFGVIIVELLTRKKPFSYFSSNGEGLIAHFSKLHGEGNLIEILDPQVIDEGGQEVQAVAALASSCIRLKTEERPTMRQVNRALEGLLSPENHAGAHNMLAEEFDENGQTMMGYPSPDEGQSMGESTRWYSLEQESLMAVDSRKTIS >Sspon.06G0013110-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:68585634:68588503:1 gene:Sspon.06G0013110-1A transcript:Sspon.06G0013110-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MWGGDSNAKQMLKSRGGTGGGLPTAGDEESDYFPPTPRKDYWWSTGLLKLVTATVIFMGGVVLGLSVSGSVARYYYNASHAELFFPATTYGCDPRDRDCGMGLAFRAFVHPPRLAHSMTDDELFWRASLVPKAEEFPFQRVPKVAFLFMARGPIPFAPLWDKFFRGHQGLYSVYVHTVPDYKLNVSKNSAFYGRQIPSEEVSWGSITLVDAEKRLLANALLDFSNERFVLLSESCIPVFNFPTVYEYLINSAHSFVESYNIDTPQSAGRYNRRMAPHIMADQWRKGSEWFELNRELAVQIVADYKYYSIFRKHCRPSCYPDEHYIPTYLHLFHGPLNANRTITWVDWSRGGPHPASYGAADITEEFIQAIRNNGTQCFYNSKPTSVCYLFARKFAPNALGRLMNMTSTVLDF >Sspon.06G0013500-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:58736561:58740865:1 gene:Sspon.06G0013500-2B transcript:Sspon.06G0013500-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPARPRPRPRLLLFFSAALLFFLLCAAHPAAAAQVGDACSSSGAGCGSGLHCSACGVGGDSICTRASPVDPATHGTGLPFNNYSWLTTHNSYALAGAASATGSALITETNQEDTVTAQLKNGVRGLMLDTYDFDNDVWLCHSFQGKCYNFTAFQPAINVFKEIQTFLDANPSEVVTIFLEDYTATGSLPKVFNASGLMKYWFPVSKMPKSGGNWPLLKDMISQNQRLLVFTSKKSKEASEGIAYEWNYVVENQYGNDGMVAGKCPNRAESPAMDSKSQSLVLMNFFTTSPSQTGACGNNSAPLVSMVKTCHDASGNRWPNYIAVDFYMRSDGGGAPLATDIANGHMVCGCDNIAYCKANSTFGTCVIPPPPPPSPPKAPTPGSRGSTAGGDASAGMARSHHLLSQWSFFLGLPSLLLLLLS >Sspon.08G0011090-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:48484174:48485046:-1 gene:Sspon.08G0011090-1A transcript:Sspon.08G0011090-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGSSEHGETSKAAPLGRGVSKGVSVLDLILRFIAIIGTLASAIAMGTTNETLPFFTQFIRFKAQYSDLPTLTFFVVANSVVCAYLILSLPLSIVHIIRSRAKYSRLLLIFLDAAMLALVTAGASAAAAIVYLAHKGNVRANWLAICQQFDSFCERISGSLIGSFGAMVMLILLILLSAIALARR >Sspon.02G0030920-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2D:92504522:92505311:-1 gene:Sspon.02G0030920-2D transcript:Sspon.02G0030920-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPEPERVVDAAAFIRDAPFEVHVALLGRLSPKWILRMRLVCKAWRDMLNHWAAFSHRLQPPQPLLCFDWYVINPATRHWVSLPTLTPWNVIGFYEHYLSGEYRVLCSSRRIEGGASTWWYHVVPVSRPPVQWRSIGRPISPDAPVDHGPRESQGYHVLVFDIWSEEFSWKRPPPVGDEDMRLLEFPNGDLGLSVSRKNRATLELWRLEDYQNEVWVLEHRIQLALQQMPVLQDE >Sspon.05G0028240-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:60574790:60607045:1 gene:Sspon.05G0028240-2C transcript:Sspon.05G0028240-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding TVAAYYKDVTVFTMHDLVHDFSIESDKTVCHGGASSSSAKFKSVQVCGKIGIYDDDVFSSAKDLQVLDNLRGSSSILALPDSIGEMEGLMYLDLSESLNSLTNLEYLNLSHCLDIGALSENLGSLLKLRNLNISHSSYVCSDDYWWPNNAEVLFALTKLECLNFSSTWPQSMIIRNLPEALIRIKFVELYGCHTLNKSKRNLLNKSVAVLPDFVVHSDSHDSGSNLFLLEDVNRTDLVISRLENVKSVQEAQSIKLAGKDRMLKLKLNWTKEVERSVDDMELLGELVPPTALKLTELHQSSLLPTDPVPNPRQGAPGPGAGPGPRVLSSNHDTSMEPEDVTFQFLREITNDFSEERKIGEGPFGVVYKGVTRNGQDVAVKKLKLCDTNLNDKQFQTELNNLRKLEHQNIVQILGYCYEIERIPFDIPGRSKVYLEKTHRALCFEYLDNGSLQKHLSDELNGLDWHTRFKIIKGTCEGLNYIHEELEAPIYHLDLKPDNILLAKDMVPKIADFGLSRIFDKEPKRTTPNPYGTHGYQPPEYFDRGEISGKFDIFSLGVIMIRIVSGSKGYPKCLDMPSDKFIDQKRCHKTAFGMTMDIKEIKRTNESKDITCQHQNTNLMIGPRCSELDVVDEREKSTDVIEELIVGRTEEKMKIMDILHGVMSEKIVILPIYGIGGIGKTTFARLIYNDQKFKHYSQVWVDVSLRFNLNKILESIISQLSGKDSQANERQIIHSCLTNLLASKKIFVVLDDLWEDDQFKLQELKNKLYHDTSKIIVLVTTRSERVAERMCANLQSYKILPLTNDMCWDIIKQRSGFEDRDDKQQLMGIGLEIAQKCSGVPLAAQSLGYTLRSMNFDQWMEVKGSGIWNEPISKEVTLPNHALASLKLSYSYMDPCLKPCFTYCAIFP >Sspon.01G0027280-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:94176439:94192454:-1 gene:Sspon.01G0027280-2C transcript:Sspon.01G0027280-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAWGKKLINDPKDVVTQFIEGLVETYPGLQYLDGFPEVKVVLRSDVEVGTYDKVAVICGGGSGHEPAHGGFVGQGMLTAAVSGDVFTSPPVNSILAAIRAVTGPKGCLLVVTNYTGDRLNFGLAAEEAKSEGYKVEVAHMVIVGDDCALSPTQGIAGRRGLAGTILVNKVAGAAADAGLPLEEVAEQARHASKSVGTMGVALSGCTLPGQGTSDRLGPHQMELGLGIHGEPGAAVIELQPVDAVVTRVLKQILSSETQYVPITRGDRVILLTNGLGATPIMELMIATRKTVRELRLEYDIATDRVYTGSFMTSLDMQGFSISLMKSDTTILKCLDASTKAPCWPAGTDGDWQKHAKIAVPAPPSCAMKSDKMLQRSRELTKQGCILEASIEAGAKEIVRIKDSLNEWDSKVGDGDCGTTGAIAILEDMKKCYPMNDAAETVNEIGATVRRVMGGTTGILYDILCKAAYASLKGTKTVEAKQWAHALQSSIGAISKYGGALEGYRTMLDALIPASKILRERLEAGDDPLDAFIVSSEAALTGAESTRHMQAQAGRSSYIAADKLASAPDPGAMAAAAWYRAAALSLKNMSCHSEP >Sspon.02G0003690-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:11749073:11750143:-1 gene:Sspon.02G0003690-1A transcript:Sspon.02G0003690-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAITETAARKLLHGGAAGTIAQGVADVGGISFGLREMMTGFFADILACLFAALAGAAHLLVLPLEVLWQSLVTVVTAAASAVASGLDGLWQHVTGFFAHIFAAIAGAAHLFVLPLETLWQWLATSMADAAGAIASGLDGLWQHVAGFFAHIFAAIAGAAQMLVLSLEMLWQWLATSMADAAGAISSGLDGLWQLVAGFLPGAWAAVAGAAHQIPQKLAELWRWIQAAAPVALPYVLGVAAVVLVVALVWFCWSPLFAASVGVGQALVTAACYFVKGLLYVGAAVPCMQCLNQCAVVTMKAPGAAGMLISRAAFVAAPALYFVILRAAPPIVTATVFCSKTVAVLVAAPVAALFSAR >Sspon.01G0060740-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:82192256:82194000:1 gene:Sspon.01G0060740-1D transcript:Sspon.01G0060740-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTAKPNPGEPAPLLCSAYVEPDDIVTPPFPVRPYLFPCSWAQGITPSVASQEEEAREGPHVNIDPKKDFDDDEEAFSEE >Sspon.02G0008640-1P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2A:24996998:24997426:1 gene:Sspon.02G0008640-1P transcript:Sspon.02G0008640-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MISAKRLVQMARKWQRIAAFARKRVMPIPAKESEGSCSTSTSVAGRGHCIVYSADGLRFEVLLAYLGTVVFGELLMLSEEEFGFESECGKITLPCDATMMEYVMCLLRRDASEEVVRAFLSSMARPCHTVSGVAPWNQRLAVC >Sspon.04G0002580-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:8997170:8999389:1 gene:Sspon.04G0002580-4D transcript:Sspon.04G0002580-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIHFISEKMVRSARARRHVARQLKSTHPIPSYRWKAKAMKKSNRKQASPALQKMDWEDANCSVCMEYPHNAVLILCSSHDKGCRPYMCGTSYRHSNCLDQFKKAYTKGALLEEVPANSIGTNLDSAPLTAEKTESIDLACPLCRGKVKGWTVVEPARSYLNGKRRTCMQDGCSFVGTYKELRKHVKLEHPLAKPREVDPVLEQKWRLLEIERERQDALSTITATMGRAVVLGDYVLDLEDGVDLEDVDSDADVDDGRGTENTRRMLLFIMRQVAQHHQNQRLQNVTGASDNAEDNYVVSSGANGTTPYSYPLEGEDENDMVVAGGRSTDVLRPERRRRRRRRNRGRLFLGAN >Sspon.05G0024470-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:15704328:15708381:1 gene:Sspon.05G0024470-1B transcript:Sspon.05G0024470-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSNGLIDTYAKCGSFDDANKLFEIMGTSRDVFSWSSLIVGYAQFGYAKEALDLFARMRNLGVKPNHVTFVGVLTACSRVGLVDEGCYYYSIMEPEYGIVPTREHCSCVLDLLARAGRLSEAAKTHNDVEMGKRAAEGVLNIDPSHSAAYVLLCNIYASSGNWNEFARLKKDMRTSGVQKSPGKSWIKLKGELKVFIVEDRSHPESDEMYTMLELIGLEMVIFVNCQRKSRFTRIAPPNLDKDTI >Sspon.03G0027510-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:5959392:5962708:-1 gene:Sspon.03G0027510-1B transcript:Sspon.03G0027510-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGSSTKAVELKPHSDVAEEDPARRGGPGTDEADANEKAPRRSRRVASLDVFRGLTVALMILVDDAGGEWPVIGHAPWNGCNLADFVMPFFLFIVGMAIPLSLKRIPDRGRAVRRVVIRTLKLLFWGILLQGGYSHAPDELTYGVDMKHLRWGGILQRIALAYLVVAVLEIVTKDAKIQDQSSSGFSIFRMYFSQWIVACCILVIYLALVYGIYVPDWEFRVRNVDSPNYGKVLTVTCGTRGVLDPPCNAVGYIDRKVLGINHMYQKPAWRRHRACTDDSPHEGPFRNDALAWCVAPFEPEGILSSLSAVLSTIIGVHYGHVLVHMKSHTDRLRQWVTMCIALLVLGIILHFSHAIPLNKQLYTFSYICVTAGAAGVVFSVLYFLVDILSLRYVFAPLQWIGMNAMLVYVMAAEGIFEGFLNGWYYEGTNNTLVYWVRKHVFVKVWHSTRVGILLYVLFAKILFWAFISGVLHRARLYWKL >Sspon.03G0013350-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3B:48602499:48612525:1 gene:Sspon.03G0013350-2B transcript:Sspon.03G0013350-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGMADSLGNLGWPVEDRILVLNVLRGLSDRYAHLRTWITRQRPFPTFLQVRDDLVMEELTQGIQPGSATTLGPRLPRRPWLLLRHVRLPTSSVCSAVVVSSWSSLRAERRWGVVAVVDTRTRGQGASPCGPSTLPVATLVHRRPCSPVLHLPASSYVTVGSTLRHPTWGCRLGPGGPGSLVQHYGLTPVGPEWIADSGATYHTTPDPGILSSVHSPSSSLPSSIMVANGSCLPVTSVGTAGAHRSFRLPDVLIAPSMVHNLLSIRRFTADNSCSVEFDSSGLTVKDLASRCPLLRCDSTGPLYTLRFPAATSSSSPSVLSAAFAASASSTTWHRRLGHPGRDALMQLSRSSGLPCTRAHDEHLCHACQLGRHVRLPFHSSTSHAARIFDLVHCDLWTSPVISISGYKYYLVVVDDFSHYSWTFPLRAKSDTFPTLLHFFTWVSTQFGLTIKAIQCDNGREFDNSTSRAFFLSHGVQLHMSCPYTSSQNGKAERMIRTTNDTVRTLLFQASLPARFWAEGLHSSTYLLNRLPSAACPAPTPHHALFGTPPQYDHLRVFGCACYPNTVATSSHKLDPRFTLCVFLGYSPNHKGYRCFDLTSRRDLISRHVVFDESVFPFSSTPTTTPVPDPSSLFPVDTVVQPPFPWSPAGTASPRYLPGTCPGSPAGLGPSSSGAAPISPFGADPGTSSPDTAPGGPCRSPSPGAAPAPPSRFAASVRVYQRRPPPLAVPSPPGTPTPPPQSPPARDAPPVYHPPLLHRHPRHVHPMVTRHAAGTLTPRALAASTGDAPVSPVPSSVHDALLDPHWRRAMEEYAALLANQTWELVPRPPGSNVATGKWIWTHKRRADGTLERYKARWVLRGFTQRPGVDYDETFSPVVKPATLDVKNAFLHGLLTETVYCSQPAGFVDSSRPDMVCRLNRSLYGLKKAPRAWNHRFAAFLLTLGFVEAKSDTSLFIYHHRADTAYLLLYVDDIVLTVSSEPLLQYLTFTRPDITYAVQQICLHIHDPREPHLTALKHLLRYLRGTIDYGLLLHRSSSADLIVYTDADWAGCPDTRRSTSDYAVFLGGNLVSWSCKRQPVVSRSSAEAEYRAVANGCDNVSAVYLSTNPIQHQRTKHVEIDLHFVRDRVAMGEVWVLHVPTTSQFADIFTKGLPSSTFTEFRSSLNITSG >Sspon.07G0014150-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:56605063:56609911:-1 gene:Sspon.07G0014150-2B transcript:Sspon.07G0014150-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARRPGAKPRGGGAGRRSAWLAADGSKRWGEAFFLLYTPFWLTLCLGVVVPFKLYERFTELEYLILGLVSTVPAFIIPLFLVGKADSVRNLKDRYWVKANIWIIIFSYVGNYFWTHYFFTVLGASYTFPSWRMNNVPHTTFLLTHACFLFYHMASNMTLRRLRHSTAHLPQSIRWLFEGAWILALSYFIAYLETLAIANFPYYEFVDRDIMYKVGSLFYAIYFIVSFPMFSRIDEKDEKWNLSRVAVDSLGAAMLVTIILDLWRIFLGPIVPIPESKQCGGQRGLAWFQVQNEST >Sspon.07G0018200-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:65523645:65525690:-1 gene:Sspon.07G0018200-1A transcript:Sspon.07G0018200-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSNLETWYRSFLPPSSMSASRPHTPFIYTYREAIIGFAVNLTKVEVEYITKRDGVLNVYEDYLIPLLTTHTPEFLGLRSNGEGAWNSIGMGEGTIIGLLDTGIDMSHPSFHDDGMKPPPAKWRGSCDFGDAKCNKKLIGGRSFSRGHVPPVDNVGHGTHTASTAAGQFVEGASVLGNGNGTAAGMAPHAHLAMYRVCSEWGCWNSDIVAGLDAAITDGVDILSISLGGRGRSRPFHQELLAIGTFSAMRKGIFVSCSAGNSGPSSGTLSNEEPWVLTVGASTMDRQMKAIVKLGDGRSFVGESAYQPSNLVSLPLAYKLDSRNVKGKIVACDLDGSGSSGIRIGKTVREAGGAGMIVFGKQVSGHNTFSEPHVLPASYVNPIDAAVIREYAKNSSNKPRASIVYEGTSLGTTPAPVVAFFSSRGPSTASPGVLKPDIIGPGVNVVAAWPFKVGPPTSAHYAKFNSISGTSMSAPHLSGIAAIIKSVHPDWSPTAIKSAIMTTAYTVDGNKKPILDEKFSPAGHFSIGAGHVNPSRAINPGLIYDTNEEQYILYLCGLGYTDSEVETVTHQKDACRKGRKITEAELNYPSIAVNAKLGKLVVNRTVTNVGEASSTYNVEIDMPKGVTASISPNKLEFTKAKEVKTFVVSLSWDANKIKHAEGSFTWVFRKQVVRSPIVIF >Sspon.01G0001990-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1A:5869084:5869663:-1 gene:Sspon.01G0001990-1A transcript:Sspon.01G0001990-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding TFKHGDKTIVDSRAICRYLCTQFPDDGYKKLYGMGSLERASIEQWLQAEAQSFDAPSSELVFHLAFAPHLKDVRPDEARVAENEKKLHNMLGVYDDILSKNEYLAGDDFTLADLSHLPNSHYIVNSSDRGRKLFTARKHVARWYDKISTRDSWRQVIKMQREHPGAFE >Sspon.02G0059890-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2D:102740362:102743563:1 gene:Sspon.02G0059890-1D transcript:Sspon.02G0059890-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DLNELLDLYEKGEKFYLYTGRGPSSEALHLGHLIPFMFTKYLQEAFKVPLVIQLTDDEKFLWKNLTIQERIFGFNLEDHIGKVSFPPVQAVPSFPSSFPHLFAGKDQLRCLIPCAIDQDPYFRMTRDVAPRIGYQKPSLIESRFFPALQGENTKMSASDANSAIYVTDSAKEIKTKVNKYAFSGGQDSIELHRKLGANLDVDVPIKYLNFFLEDDDELAHIKKEYKEGRMLTGEVKQRLIAVLSEIVARHQRARAQVTEEMVDAFMAVRPLPNMFG >Sspon.07G0015670-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7A:55884102:55886706:1 gene:Sspon.07G0015670-1A transcript:Sspon.07G0015670-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVDRVLNLLRGPTGSLAAARAARRGLPQTRRLHFRQAPAGTRRASVSCRSSSTSSSGGEGRRLTYKDAGVDVDAGNELVRRIARMTPGIGGFSGLFALGDDYLAASADGVGTKLKLAFETGIHDTVGIDLVAMCVNDIITSGARPLFFLDYYATSKLDVDVAEKVIKGIKVGCQQSGCPEYKNQKKLSAFALLGGETAEMPGFYAEGEYDLSGFAVGIVEKDKVIDGNNIVKGDVLIGLPSSGVHSNGFSLVRRVLEKSGLSLSDQLPRNDGITTTVGEALMAPTVIYVKQVLEIISKGGVKGLAHITGGGFTENIPRVFPSGLGAKIFTGSWEAGNIDDAEMWRTFNMGIGMVLVVGRESADRIIEDTRGANPAYRIGEVILGEGVQYV >Sspon.04G0016680-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4B:64856453:64858195:1 gene:Sspon.04G0016680-2B transcript:Sspon.04G0016680-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAILPELATQVLIPVAAAVGIAFAVVQWVLVSKVRLTPERRADGGAAKSGPSDYLIEEEEGLNDHNVVVKCAEIQNAISEAPVAYVML >Sspon.05G0010340-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:29536804:29541729:1 gene:Sspon.05G0010340-1A transcript:Sspon.05G0010340-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSASRSGRRRTGSVALGDLLRREASAERAALGGGERDRPSVAAGQACRAKKGEDFALLKPACERRPGAPSTSFSAFARAPPAGAGVWSRSLSQLFDGHNGSAAAVYAKEHLLGNVLGCVPTDLSRDEWLTALPRALVAGFVKTDKDFQTKAHSSGTTVTLAIIDGSVVTVASVGDSRCVLEAESSIYYLSADHRFDANEEEVGRVTECGGEVGRLNVVGGAEVALCLFTHPKIGPLRCWPGGLCLSRSIGDQDVGEFIIPVPYVKQIKLSNAGGRLIISSDGVWDALTADMAFRCARGLPPEAAAEQIVKEAVESKGLRDDTTCIVIDIIPPEKPKCTIESPKTPGKGLVLLKKFFLRKTASDSLSLTDTDNYPEPDLVEEVFEDGCPSLSRRLNSEYPVRDMFKLFACAICQIDLESGQGISIHEGLTKPGKLRPWDGPFLCHSCQEKKEAMEGKRHSRDSSSRNSGSSE >Sspon.05G0001260-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:4216454:4218902:-1 gene:Sspon.05G0001260-1A transcript:Sspon.05G0001260-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGISRAIRACAAASRRTLAAASGAALPKEAAAGSAVRAAATGRRGRDQEDGRRVQWVFLGCPGVGKGTYASRLSQLLSVPHIATGDLVRDALASPGPFSKKLAEIVNHGKLVSDEIIINLLSRRLEEGEEKGELGFILDGFPRTIRQAEILEGVTDIDLVINLKLREEALLAKCLGRRMCSQCGGNFNVASIDIEGENGGARMYMPPLLPPPQCESKLITRADDTEEVVKERLRVYHDLTEPVEEFYRARGKLLEFNLPGGIPESWPKLLQALNIEDPDNKRSAAA >Sspon.02G0031850-2D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2D:89250558:89252462:1 gene:Sspon.02G0031850-2D transcript:Sspon.02G0031850-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHHLNRLLLDWDENRPNRDPKKEQDVLEFLKPHNNLQEVCIVGHGGHTYPTWLCTNHSLKNLECLCLKGVAWNSLPPLLGELLMVGEEHPSIACQIFQNLKRLELVNIATLKKWSADSPFSKLEVLTVKHCSELTELPLPHMFPILQQIYISNCEELVSVPPIPWRSSLSKAELSRVGKSIQNLNYRKNEQKMSVKFKKDALDHELWNVLVFSNLSEIKEFEISGCPPVPLHHVQLLKFLKILKISHCSSVLWPTEGENDDQFEILVEQLEISYWGATAKELVQLISYFPNLSTLELRRCDNKQAGGAEEIEAAEGGQLPLPLQLKELLQNQSSLRSLAIWACPMLLSSSSLPSFCCPFPTSLQSLDLSGVMDGLLTLAPLTNLTKLVLDDCGGLRSEDLWHLLAHGRLKELCISGAHNLFDVPEPSRMCGQDLPQHSSRLQALETDGEAGGAVAAPIGGHFSSSLTELVLDENNDMDHFTKEQSEALQMLTSLQVLRIRWCSRLQSLPEGLSALPNLRRLIMCGCDSFRSLPKDGLPSSLAELYIWGCGAIRSLPKGTLPSSLTELQISRCSALQSLPKGSLPSSLKTLLIRFCPAIRSLHEGSLPSSLQMLDVTGSNKKLQRQCRKLQGTIPI >Sspon.07G0036470-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:36626563:36629043:1 gene:Sspon.07G0036470-1D transcript:Sspon.07G0036470-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKETEAPAARVVRVGVLFGIVVVADGVVASLLRMPRMRLPLQMVVLNLAGRDDSARPMRDIRCGGRAGTVSTPERGYRGTLSKCCDNMLDRGEDDVGWFMHARRCACVRGRDVHIGIIGRGVRARGGSQAGTKLALGRSKASSDQRGGGNQRGSGRVRGFQP >Sspon.07G0020360-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:75856980:75860323:1 gene:Sspon.07G0020360-1A transcript:Sspon.07G0020360-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAVTVAGHFKDKIIFITGSTGFLGKILLEKIDTEASTRCEEGLPPGLESSKILELSKEIDIIVNGAATTNFYERYDVALASNVLGTKYVCKFANKCPNLKMLLHVSTAYVARELQGLLLEKPFQISEMIKQGCHLDIDAELKLVDSIKADLMHSSGNSEQLEKKTMKKLGLKRARHFGWANTYVFTKAMGEMLLEHFTGDLPVVVVRPSMVTSIYHDPLPGWIEGTRTIDTIIAAYAKQTIPRFIGHGDVILDVIPGDMVVNAMVAAMAIHWNEKGQVVIHVTSSLQNPLSTATTLDIMYRYFSTNPQMGKNGRVIKAKRLLLTHKFECFQTYMFLKYKLPLEVRPLRHQELILQIYCGCKTYVLWCITLTMCPRSDKQMLHLVNPLLGGSFSRYYNKLNRSYKYFILLAKLYAPYGFFNARFDDTNLSMLQTSTSGDP >Sspon.04G0020960-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:73340625:73342034:1 gene:Sspon.04G0020960-1A transcript:Sspon.04G0020960-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQAEATAVESAILWRVQSILESLLPGELGAWLQRAGLAGAIGQLKCEVERMETVVNGVKGRAVGNKPLARCLARAKELMYDADDVVDELDYCRLQHQVEGALSVKLAPAIEPEDMVGDGATDGSEQANASASTAGISDNNCRKNRSKERDYFHITPAVNGEPARAKCIDCGTQVVFSHGTSVLRKHRNSASCKKKRAATEETPNSCSAGDSLQNRAAVSTNDSEGRKTARIEGNVATVTPPWDNAKFSKRIHEITHELGRVRVSVIDYLKDSVAISDQYQSNTSSTRVRTSGSVPRHVYGRFAEKDYIMSVMIEAAEWDSITILPIAGILGVGKTALAKLVYNDPIVES >Sspon.01G0048930-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:110570383:110571136:-1 gene:Sspon.01G0048930-1B transcript:Sspon.01G0048930-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MYPGAARLLFGLIIAPPFPATTAPVQCVAGNLLGPNNLPASRSKGTLSGQLNKCSGACLARRYWDDGRALRKLFTGLLFGFCWLRLRHFELLLCVLGNYCRNHLLRSCMMQKYECNMHSACRSDMR >Sspon.08G0025100-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:6078377:6079834:1 gene:Sspon.08G0025100-1C transcript:Sspon.08G0025100-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLRLLPPPRRAAAPLLTPTVPHLARLLLAQAPNTPPLLLALLPSCPALLTPLLSHLLLSHSPPLPALSLYRRVLALRHFSVPESSLPVLLRLLARSRRHAHLSFPLLESLPSTHPHLLSTPALAVLLSTALSASAPGASFDAAVTCFDSAARVWARAGRAFGAAELNALLRAFCARGRVAEARALFHRYCDAYPPDTRTFNTLLLGFKEAGHAHALDLFYHDAVLRGFVPDAVSYCVRMDAYCKKGRFLDALELLNEMRNKGDCKPTLQVFTTLIYGAGIVRSAARARHLFDEMEKWGVTPDRGAHNALMGAYVRARDLPSAMAVMSDMERKGIGLDDVSYNTMLCGFQRVGDLEGIWKVYSKMVSSGFMPRTRTTMLLMKVFCENGRPDLGLELWDYLMGKGCVPHRHALDILVTGLCCRGVVSEAYRCFREIIEMGMAPTDRAFRVLEGFLRQTREYGKVEEIRQMMKAVQLEGHQIEEEAA >Sspon.01G0037670-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:24545381:24546724:1 gene:Sspon.01G0037670-1B transcript:Sspon.01G0037670-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MWAERVVGERRMRQIQRFARNAKLTVVCLLLTVVVLRGTVGAGRFGTPQQDLIELRQHFVSHPHRALAEHHDARSRASSTTTTTSSSSGRRDDEPDPPPRSLRDPPYTLGPKISDWDEQRAAWHRRHPETPPFLNDIKPRVLLVTGSSPKPCENPVGDHYLLKSIKNKMDYCRVHGIEVFYNMALLDAEMAGFWAKLPLLRALLLAHPEVEFIWWMDSDAMFTDMAFELPWERYGPYNLIMHGWDEMVYDDKNWIGLNTGSFLLRNCQWSLDMLDTWAPMGPKGPVRIEAGKVLTKSLKDRPVFEADDQSAMVYILATQREKWGDKVYLENGYYLHGYWGILVDRYEEMLENYKPGLGDHRWPLVTHFVGCKPCGKFGDYPVERCLKNMDRAFNFGDNQILQMYGFTHKSLASRRVKRIRNETSNPLETKDELGLLHPEFKAVKTST >Sspon.04G0011940-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:39870778:39881147:-1 gene:Sspon.04G0011940-4D transcript:Sspon.04G0011940-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGRGNPQRSLARLAERYGPLMSLRLGVVPAVVVSSADAAREILQKHNAELADRPVLDAWHAHGHRSNSVISLPPHVRWRALRKLCATELFAPSRLKALQPLRQHKVEELVRYVSERAALGEPVAVREPLFTASMNIVSRTMFSVDLDSAGFRDTVQEAAVLAAKPNVSDFFPAIAAADLQGVRRRMEPLVAHSHQVLDEVFAQRLLEREAGEPPKNDMLDAAGTIMCDTLINASQDMLVAGSDTSSTTVEWAMASLLENPEVMEKVKRELTRVVGTRAEVQESDIAQLPYLQAVVKEVLRLYPVVAMTYYRQRPRNADVWPDPHKFMPERFMDDGNNITADFSSKDCKLIPFGGGRRICLGMPLAYRTVHLILASLLHHFDWTLPEEARQNVRAISVAGTIMCDTLINASQDMLVAGSDTSSTTVEWAMASLLENPEVMEKVKRELTRVVGTRAEVQESDIAQLPYLQAVVKEVLRLYPVVAMTYYRAEATVGVQGYTIPKGATIILNIWAVHRNADVWPDPHKFMPERFMDDGNNITADFSSKDCKLIPFGGGRRICLGMPLAYRTVHLILASLLHHFDWTLPEEARQNGYKPDYEG >Sspon.06G0017560-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:95382413:95384901:-1 gene:Sspon.06G0017560-1A transcript:Sspon.06G0017560-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MENMRKTFWHAGGLGRIIIGRDERRTYAKFRTTSRRLADRKTAKFQKNITRRGSVPETTVKKGNDYPVGPVVLGFFVFVVIGSYNQDGNQRRDGLRAAPHPIPSLIVG >Sspon.04G0012350-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:41608665:41613599:1 gene:Sspon.04G0012350-3D transcript:Sspon.04G0012350-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSEPPPTPFKDECLAARIPAATLSKKQQKKICRKAEKAEMLARRQRHQHQQQQLTHGSDDLFEANYGDIPLEEIQSKAISGRSWTQVCDLDAVAVGRSVLVRAAIQAIREVSNKMVFFVLRQSMSTVQCVLVSSADSGTSTQMVRFTASLSLESIVQVEGVVCLPKDPVKACTQKVPPFPRWQFIRGHLLSSGTALILHQKKGIRVDWCFVVPLQVEIQVRKIYCVNRATLALPINFEDLARSENEIEKVEHDGQQQHIRVGQDTRLNYRTIDLRIPSNQAIFRIECQVENKFREFLLSKGFVGIHTPKLIAGSSEGGAAVFNLLYNGQPACLAQSPQLHKQMAICGGFERVFEVGPVFRAENSHTHRHLSEFLGLDAEMEIKEHYFEVCDIVDGLFLSIFEHLNENCKEELEAINRQYPFEPLKVKHSCLFYGSHHDASGFLAQYLDQTLKLTYDEGIQMLKDAGTEIDPMGDLNTDAEKKLGQLVKEKYGTDFFILHQYPLAVRPFYTMPCYNNPAYSNSFDVFIRGEEIISGAQRIHIPELLVKRAVQCGVDLWRASSWGFWGGPGESCDAVLRPEKHQEDFALPT >Sspon.02G0045470-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2B:109533206:109533599:1 gene:Sspon.02G0045470-1B transcript:Sspon.02G0045470-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VIRPSGRTPYNLSRLLVCASPAQPLLVSPARSAHRVPLLRDGPRPQPRCPRSRRAAPPMFPPPASDACPRPESRLPSRLRSIRVPLTLPRSMPPTSSTPMTSTPLRSIELRADIKLRVDEPPFVQAASKCY >Sspon.03G0000050-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:126690:129676:-1 gene:Sspon.03G0000050-1A transcript:Sspon.03G0000050-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTLGTASSLLLTGHRHRLLAARTLSVSVSVSFSASSSSTHVKMARSALDEVTDTGAFDRSPSTFRSSVSRDGRFPPVAGRYHLYVSYACPWASRCLAFLKLKGLDHAIGVTAVKPIFERTKETDDHLGWVFSATADEEPGAEPDPLNAARSVRELYEIASSNYAGKPTVPVLWDKQLKTLVNNESSEIIRMLNTEFNDIAGNPGLDLYPAHLRASIDEVNELVYDAINNGAVARLYEALDKCEEILGKQRYICGNQLTEADIRLFVTLIRFDEVYAVHFKCNKKLLREYPNLFNYTKDIYQIPGISSTVNMEHIRKHYYGSHPSINPYGIIPAGPNIDYNAPHDRERFGA >Sspon.02G0053790-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:108167302:108167844:1 gene:Sspon.02G0053790-1C transcript:Sspon.02G0053790-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLNPEQISEFREAFAFFDKDGDGCITVEELATVMGSLQGHRPSEDELGEMIRDADADGNGTIDFAEFLALMARKTASSSAGAGGGGDGDYDPDEELREAFKVFDKDQNGYISATELRHVMINLGEKLTDEEVEQMIREADLDGDGQVNYDEFVRMMMLSDGAGAGGNAGAGAGAGHRQ >Sspon.07G0013760-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:55532704:55533453:-1 gene:Sspon.07G0013760-2B transcript:Sspon.07G0013760-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAAWWWQRWDSLFPSRVHGDDDNDCKSRVASIVTEKLYGDMFKHKDDTACPAHGFYNYTSFLRAAARFPAFGGDGDAATRKREVAAFLGQISHETTGGWSTAPDGPYAWGLCFKEEISPPINYCDNTSTQWPCVAGKSYHGRGPIQLSWNFNYGPAGQALGFDGLGNPEVVAADPEVAFKTALWFWMTPRAPKPSCHDVMVGRYCPSDADVAANRTAGFGLTTNIINGGIECGKTGVPQVDDRIGFFR >Sspon.05G0018970-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:78949544:78953836:-1 gene:Sspon.05G0018970-3C transcript:Sspon.05G0018970-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFGVDTRPAAAASGGGSGSGVAGTTGEGALSFLSRGLREDLRLIRARAGELETFLSAPVPEPELFARLRRAYSSSASSARTRLDLSAFGKAFEADAWRGARAARWRWEEEAEEWEPVRMVKARLRELERRRQAQSPSDMLHKLKLSLKSMTFAPEASEDVPPLDLGELLAYFVKQSVPLFDQLGIKRDVCDKLVESLCSKRKDHPAYRFLSASEPSSLRNDNVGDELDLRIASVLQSTGHHYEGGFWSDGPKSDISGKRHVSIVTTASLPWMTGTAVNPLFRAAYLAKSSKQDVTLVVPWLCKSDQELVYPNSMTFNSPQEQETYMRNWLEERVGFKTDFKISFYPGKFQKERRSIIPAGDTSQFIPSKEADIAILEEPEHLNWYHHGKRWTDKFNHVVGVVHTNYLEYIKREKNGAIQAFFVKHINNLVARAYCHKILRLSGATQDLPKSMICNVHGVNPKFLEVGERIAAERESGQQSFSKGAYFLGKMVWAKGYRELIDLFAKHKSDLEGFKLDIYGNGEDSHEVQSAARKLNLNLNFHKGRDHADDSLHGYKVFINPSISDVLCTATAEALAMGKFVVCADHPSNDFFQSFPNCLTYKTSEDFVARVKEAMTRDPQPLTAEQRYDLSWEAATQRFMEHSELDKVLNCDSSECASESGKSVNTRMRRSASAPNMSDVVDGGLAFVHYCFTGSELLRLSTGAVPGTRDYNKQHSLDLHLPPPQVQNPVYGW >Sspon.03G0006280-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:32749411:32755914:-1 gene:Sspon.03G0006280-3C transcript:Sspon.03G0006280-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIDLNMVDGEGQERRPPPAVSRELWHACAGPVVALPRRGSLVVYLPQGHLAAAGGGDVAADLPPHVVCRVADVELCADAATDEVYARLALVAEGEDYNQLRPSQELVAKDLHGAKWKFRHIYRGQPRRHLLTTGWSSFVNKKKLVSGDAVLFLRGDDGELRLGVRRAIQLKNEALFEDFSSDSTKQHTLSAVADSLKHRSVFHISYNPRATASEYIIPYHKFLKSLNHPVCIGARINFQYHNEDVSERRSGMVVRISEIDPMKWPGSKWRSLLVRWEDGAECNGQDRVSPWEIEIAGGSVSVAHSLSASSSKRTKLCAQGNLDVPTMYVTGNGCTDSVETGKLPRVLQGQELMGFRTRHVPCAPQTAEAAKLQSSDARFLSNARGCTLSGPTSRLAVHNSGFTYQSVGFNESIGFSEVLQGQEISRAVPMFQGMMSEACSLKGGYGLRSYMHTPVAVNGLSATTQECCLTLSTPPGAQVPSLYPDNIFNRTVVPQLGLANKFGGGGTNGQQSGPFDRPREIWTRPQHETPDQMNLDQFETRRASAPGDAAKLGSGGGEVRKTSCRLFGFSLTEKILPTDDDGVKEVSYEPECQNPRMLDLFGYNCSTPSAALPALCAAPIGM >Sspon.08G0001750-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:5422274:5426574:-1 gene:Sspon.08G0001750-1A transcript:Sspon.08G0001750-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDACSLVRRHLPRPLQLPLVAPPIPSRRVASAVVRCCCSAAAADHHQERPWEWYDRAIQSHAGSDLARSLGLLADMQASGARPSAGAYARLIRALSRAGRALEAEALLLEMRRLGPRPDAAHYNALLEGLLAAARLRLADRLLLQMADDGVARNRRTYTLLLGAYARAGRLEDSWWVLGEMRRRGIRLDTAGYSMLVRLYRDNGMWKKATDLVMEMQEVGVELDVKIYSGLIDTFGKYGQLADARRVFDKMRAEGVKPDISTWNALIRWHCRVGNMKRALRFFTSMQEEGMYPDPKIFVMIISRLGEQGKWDEIKKLFDGMKNRGFKESGAVYAVLVDIYGQYGHFRDARECIAALRAENTQLSPRVFCVLANAYAQQGLCEQTVNILQLMEEEGFEPNLVMLNLLINAFGTAGRHLEALAVFQHIKDSGMSPDVVTYTTLMKTFTRAKKFEKVSEVYREMERAGCTPDRKAREMLHDATVVLEQRGFGDQRQVDTGSQRLG >Sspon.03G0030460-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:20998719:21001436:1 gene:Sspon.03G0030460-1B transcript:Sspon.03G0030460-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFVASIVDTVFRPLKDYFARTVGYDFDLNDIQRIIGDRLGVSWENRTPKERAGVLYRVLSKMNFVLLLDDVWEPLNFRMIGIPVPKHNSKSKIVLTTRIEDVCDRMDVRRKLKMDCLPWEPSWELFREKVGDHLMSASPEIRHQAQALAMKCGGLPLALITVGRAMASKRTAKEWKHAITVLKIAPWQLLGMEFDVLEPLKKSYDNLPSDKLRLCLLYCSLFPEEFSISKDWIIGYCIGEGFIDDLYTEMDEIYNKGHDLLGDLKIASLLEKGEDEDHIKMHPMVRAMALWIASDFGTKETKWLVRAGVGLKEAPGAEKWNDAERISFMRNNILELYERPNCPLLKTLMLQGNPGLDKICDGFFQYMPSLRVLDLSHTSISELPSGISSLVELQYLDLYNTNIRSLPRELGSLSTLRFLLLSHMPLETIPGGVICSLTMLQVLYMDLSYGDWKVGSSGNGVDFQELENLRRLKALDITIQSVEALERLSRSYRLAGSTRNLLIKTCSSLTKIELPSSNLWKNMTNLKRVWIVSCSNLAEVIIDSSKEAVNSNALPRSILQARAELVDEEQPILPTLHDIILQGLHKVKIIYRGGCVRNLASLFIWYCHGLEELITVSEEQDMAGGGQGSAAFRVITPFPNLKELYLHGLAKFRRLSSSTCTLHFPALESLKIIECPNLKKLKLSAGGLNVIQCTREWWDVLEWDDEEVKASYDPLYRPLH >Sspon.07G0009820-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:25296549:25302484:-1 gene:Sspon.07G0009820-2D transcript:Sspon.07G0009820-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPNEAANITTPSEPESVGGSEMSSEDTTAARPLLSSSSPSPSAASAAPVLESIEELDRRYAPYARRDAYGPMGLGPVSAAEAARQAFAAVVLVPLRVVAGVLVLVVYYLVCRVCTLRVEEEREGGEGDGYARLDGWRRAGVVRCGRALARAMLFVFGFYWIREYDCRFPNAEDDDVDQSKEIERPGAIVSNHVSYVDILYHMSASFPSFVAKRSVARLPLVGLISKCLGCIFVQRESKTSDFKGVSGAVTERIQRAHQQKNAPMMLLFPEGTTTNGDYLLPFKTGAFLAKAPVKPVILRYPYKRFNPAWESMSGARHVFLLLCQFVNYLEVVHLPVYYPSEQEKDDPKLYANNVRKLMAVEGNLILSDLGLAEKRVYHDALNGRSMMAELFFVDRQS >Sspon.01G0005230-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:12228215:12229260:-1 gene:Sspon.01G0005230-2B transcript:Sspon.01G0005230-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPKRADVIESWQFPQQQGVHPNTSEPAMEFMASKSYIPKRADFAELVLQGPEPPSLWLGFLVDFLSHAAITGFMGGAAIVIGLQQLKD >Sspon.05G0027780-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:56561164:56567814:1 gene:Sspon.05G0027780-1B transcript:Sspon.05G0027780-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPKPSPPPPPRPAAPSRRRGAATKRKERAASAAPSGSSSPKRQARDCGPVDPPSLPPPQPRSRQPARKPRRKPARKKSTQRSVKPPRAQEVEGPPPPPPPLPPPRPSLEKEIEAVLSRGAGVHVVPTFAGWFSWKEIHPIEKQMLATFFDGKSERRTPEIYLGIRNLIMNKFHFNPEVHLESKDLCELSIGEMDARLAILEFLAHWGLVNFHPFPPVTQERKLVESKSSAEIEDEISLVEKLFQFETVHSYLVPVSKKAEAISPVQFTSLLSEPTLAEDAIGAAESSVEYHCNSCSVDCSRKRYHCRTQVDFDFCSECYNEEKFDEGMSKADFILMESAEVPGSGGSNWTDQETLLLLEALEIFKGKEWGEIAEHVATKTKEQCMLYFLQMPISEPFLDGEDFNETPQKITEQDSEIGFSDVPDEMDVDGNAEGKVSTDEKAYKKANSTSSETRTKLADQNVSEKEDTRDAGGDDPVASIDDESNKSSLMDPAHKKNSANADVSGEHTSNFVIDVLRSTFEAVDHFLGQEDLGSFAEAGNPVMALAAFLASLVEHDDDAVSSCRSSLRAISEIYPALQLATEHCFILPDPPSDLKDPTSTFSACTGSECQEGADTTQNVNDTDKDSCEREENNLGLEKENAAFTSQKEHLELSDTKERGPEAEAKSNSTKDSDNPIAKVDSSVASDKMRDGCNANAISCSATSNSATEPSSIPSQEASAASTKDTTNPEQVEGDKPSSEELAADVSPSQGKIEPKKIEHAPAASSSVQQRECKQAGNGNTE >Sspon.01G0031790-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:109165515:109167871:1 gene:Sspon.01G0031790-3D transcript:Sspon.01G0031790-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAGDEKAAAAAGAGAAGAEGEGAVDSKDLQQQSKALDKLTDHVEDRQLDSSRVQSAMAALASSKEADWNAMRLREKELAAVKINPTDVEIIANELELDKKIAERTLREHKGDAVAAVRFLLH >Sspon.05G0009530-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:27416358:27417021:-1 gene:Sspon.05G0009530-1A transcript:Sspon.05G0009530-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKQKIVIRLGVPDAKNRSKAMQLASKSVGVNSVAITGDAKDRLEVVGESIDIACLINHLRKKICGADILLVEEVKDKKEEEEEEKKKKKKEEEEKKKKAEEELNKLCTYPPPCTGYYGRPLPTVFCEDQPGACHIL >Sspon.08G0006700-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:18198698:18202359:1 gene:Sspon.08G0006700-3D transcript:Sspon.08G0006700-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARRGRGDGANGVVRPRPRDRGVGGGGSMAGRVAVLAFCVAGIWSAYIYQGVLQETLSTKRFGPEARRFEHLAFLNFAQNVICFVWSFIMIKLWSSGSSSDGRAPLWKYWGVSITNTIGPTMGIEALKYISYPAQVLAKSSKMIPVMLMGTLLYGVKYTLPEYFCTFLVAGGVSSFALLKTSSKTIKKLANPNAPLGYTLCFLNLAFDGYTNSTQDLIKSRYPKTNPWDIMLGMNLWGTIYNAVIMFVAPLLFSNWPYANGFEALRFCQENPEVAWDIFLFCLCGAVGQNFIFLTISRFGSLTNTTITTTRKFMSIVVSSVISGNPLSLKQWGSVVMVFLGLSIQIYLKWKRKKGRDHKE >Sspon.03G0006610-1P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3A:13394060:13395668:1 gene:Sspon.03G0006610-1P transcript:Sspon.03G0006610-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAASAEYGYYGGGAGPRERKTAGCGDHFVVDDLLALPYDDDEEGGGEAAAGDGEAPPYLQPVDAHGVVGLVKEERGGLGNFSVDSSTVTALDSCSNSFSGLADGDFPGEFCEPYDQLAELEWLSNYMGEGEETFAAEDLEKLKLISGGFSPALPPAPVAPAAAASAAAAAAASAAQPGMFLPEAPVPAKARSKRSRAAPGNWSSRLLVLPPTPASPPSPASMAAISPAESGISAPQAFPAKKPSKKKDAAPAAPPSSVSAAAQPGGVSAASAEGRRCLHCETDRTPQWRTGPMGPKTLCNACGVRYKSGRLVPEYRPAASPTFVMSKHSNSHRKVLELRRQKEVVQQPHPHVIAGGGGPAGGLMRMQSAMLFEGPSAPPIVAAGDDFLIHHHLGTADYRQLI >Sspon.08G0015230-3D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8D:57771372:57771758:1 gene:Sspon.08G0015230-3D transcript:Sspon.08G0015230-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADRNLAPTWVTTARFGVLTLNSGLAIYSSWGDLVSILFVSGSYTTLLLLFCCLRDYERARRRVRPLTTLLTVAFAASRGTWRWSCLRPSQHSHIKRVHHLRPSIRIRQPRPKFTGSFSTLSVKISRK >Sspon.02G0015340-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:39884971:39887583:-1 gene:Sspon.02G0015340-2B transcript:Sspon.02G0015340-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDDAYLLCAEDAAAAFVFGASGSTLCAAAGDGGDDDDDDGCCSAVVEEESAASIAELIGGESQYSPRPDYPDRLRSRSIDPAVRAESVAWILKVQEYYGFVPLTAYLAVNYMDRFLSLHRLPQEDGWAMQLLAVTCLSLAAKMEETLVEGTSRYDFDPGTVGRMELIVLTALNWRLRSVTPFTFIDFFACKVDPGGRHTRCLIARATQVILAAMH >Sspon.03G0003550-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:9207775:9214353:-1 gene:Sspon.03G0003550-1A transcript:Sspon.03G0003550-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MYEAVRDLLPDLDPVFSDPYSAALRAEVSAVCNTLGSSIKGIFMELENLIRRDPARVAVPGGGIHPITRYVMNYLRAACGSRQTLEEVMEGDLGAVGTAAIAVDPDRPTSSLAVHIAWIMDGSTTRFHILDEQWEIHYSQVSLGFCLVMNGEADDEQSSGVGVEYQRGAWAKVISVLQTGGPGVGSITVKSMLQKMQMFNSYLEDICTVQSDWVIADEQLRADVKSAIVDSVMPAYRGLIGDHLLKLPETCSSNTPQRMFRHASNIYLKEWPTLVIGMEEILQALDDLISQFLSLDRSLWSSSDDADAFLEAVDELTSTIHGLENTSADHVLLESFDLLLERCSMRLKDEFQQLVGTSGFSHDHGDHNFKRSQNEDDNHTFVAQPVRNFDIIVDALPEGVVTEANRIARRMIAAGFGDTCVETYASARRNFIDESIARLGVNAHLEELCKSTSWEELETQIMRWIPAIRVVFHILIPSERRLCNCIFEEFTSYTNLAFATTCKPFLQLLSFAKVIAAAGHNPESLFRIVDMYDALTDILPVLDKAFAHEVAALRECLGLSIKGIFVALEKLIRCDPCESSPPDGGLHPITRYVMNYLMAACVSRHTLEEVMLLEFGCVETCPIDPDRPTSSLAIRFAWIVDVLIGNLESKSRIYGHAPLGCVFLINNGIYIIKKVNGCELKILLGLDRVISAKVQQWVLEYRRATWGRAIAILETDRRSDSSLSIMLEKLNHFHNFVEAICQVQSRWVLVDKQQAVDLSIMVEELVIPVYRDTIDMLKATEAVGVSYVRPEDVKSRIQRLFKAMANCDVWSSNPEPADAKFTPVTMSLFDSLVSRNGGENCLSCSFSLRRSGISRPLENLPVGFFPGKSLP >Sspon.01G0055090-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:15761744:15766520:-1 gene:Sspon.01G0055090-1P transcript:Sspon.01G0055090-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEERLEAAAADEYTQDGTVDLHGHPVLRSKRGGWKACGFVVVYEVFERMAYYGISSNLVLYLTTNLHQGVVPSANNVTNWVGTIWMTPIIGAYVADAHLGRYRTFVIASVIYLCGMILLTLAVSLPALRPPKCGAGTADPNCVQQATTGQLGVFFLSLYILAVGTGGTKPNISTIGADQFDDTHPRERSHKLSFFNWWMFSIFFGTLFANTVLVYIQDNVGWTVGYALPTLGLAVSIAIFTAGTPFYRHKPTSESPFAKMARVIVAAARKLAVSVPLDPRDLHELDDEYYAKKKTVPLPHTRNLNVLSKAAVKTGTGGEEDPWSLSTVTQVEETKQMLKMLPVLAITFVPSAMMAQINTLFVKQGTTLDRHIGPSFEIPPASLQGFVTISMLVAVVLYDRVFMPFARRITGNPRGISLLQRMSVGLVIHIIIMGIASVTERHRLAVAHEHGLYDSKNTTIPLTIFVLLPQFVLMGVADAFLEVAKIEFFYDQAPEGMKSLGTSYAMTSLGIGNFLSSALLSTVSHITERHGRTGWILNNLNASRLDKYYAFFAILNCANLVAFFFVCRFYVYNAEVSRVVDAGSTKQKREVAMQAPASVGAVEATL >Sspon.03G0023290-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3A:71549210:71549455:1 gene:Sspon.03G0023290-1A transcript:Sspon.03G0023290-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLFGRPSIWMNSAPVARSLCSVCVLRVAPAHGTDPALPRATPTRTRTSACGTDLALPRTAPDRTRASHCGCTPSACRGPC >Sspon.01G0032300-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6C:66151866:66153452:1 gene:Sspon.01G0032300-2C transcript:Sspon.01G0032300-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATATPLLPSQLLGGSVPQQWQMGLLALLPVLLVSYLLTSRSRTRSGKDGGAPRLPPGPAQLPILGNLHLLGPLPHKNLRELARRYGPVMQLRLGTVPTVVVSSAEAAREVLKVHDVDCCSRPASPGPKRLSYDLKNVGFAPYGEYWREMRKLFALELLSMRRVKAACYAREQQMDRLVADLDRAAASKAPVVLNDHVFALTDGIIGTVAFGNIYASKQFAHKERFQHVLDDAMDMMASFSAEDFFPNAAGRLADRLTGFLARRERIFSELDVFFEKVIDQHMDPARPVPDNGGDLVDVLINLCKEHDGTLRFTRDHVKAIVLDTFIGAIDTSSVTILWAMSELMRKPQVLRKAQAEVRAAVGDNKPRVDSEDAAKIPYLKMVVKETLRLHPPATLLVPRETMRDTTICGYDVPANTRVFVNAWAIGRDPASWPAPEEFNPDRFVGSDVDYYGSHFELIPFGAGRRICPGLAMGETNVTFTLANLLYCFDWALPEGMKPEDVSMEETGALTFHRKTPLVVVPTKYQRRA >Sspon.02G0031330-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2C:106052576:106052797:1 gene:Sspon.02G0031330-2C transcript:Sspon.02G0031330-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSTALHREGSGWPCSPRVASDNRGMLCSSTHSPLLGIRRNNYRSSPRTWTMDAIAGEAVGSGLAPWTTANVE >Sspon.02G0024710-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:86969909:86972678:1 gene:Sspon.02G0024710-2B transcript:Sspon.02G0024710-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRREGERQPSPWPSGTAAVEADSGAFPSAIILFALVGATATTAAVSLLLCSPCPASPFLRSLTPAALRHPDASDNYAGRSAGSTLSLVGPNRMCSGKTYLVVDQTSVVKPGNETFYANQRTNFRAMPREATSYTMSHHYSVLGLDRSRSEPFSDAEIKNAFRRKAMEYHPDQNQNNKEVAEAKFKEVMDSYEAIKLERRNRSC >Sspon.08G0017110-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:66727470:66730654:1 gene:Sspon.08G0017110-1A transcript:Sspon.08G0017110-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTALVAVAVGGGISLGLVASCSLRTTGDSRRLGRRLLLLRRGGAEGERTRTRNCPIFRCANEVDVVTEDDSVDDNATDDEEDLEAAADDTIDADVDSEYELESSLPEDVEWIKQQPLPYPLDALEPYISKEMVQQHWGVHQQIHVDRLNGMIGGSEWEGMSLGQMMLASFNEGREEPHPPFFHAAQVWNHDFYWRSMKPSGGGKPPGRLLKFINRDFGSYEGMIRQFMDAALTQFGSGWVWLSYKGSGLPYVKSRSPIPSDNYGRLVISKTPNAINPLVWGHSPLLAIDVWEHAYYLDYEDRRADYVSAILEKLVSWDVVESRLTKAVVRAVERDESLRRRILRKQHLAQANGQSKARPRTRQGRPTGRQGDQEVARSSPVEA >Sspon.02G0005940-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2A:18389587:18389853:1 gene:Sspon.02G0005940-1A transcript:Sspon.02G0005940-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding QITRDPSRNRRKHDPAPCARLAPNPVVLVFALTLPPTSTTRELPSLPLSTSAIVSHRFGGRRPHRLIPSPSHPALHRCVVAIAPQTPW >Sspon.07G0001840-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:4454660:4455031:1 gene:Sspon.07G0001840-1A transcript:Sspon.07G0001840-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSTTAAPLFPTGGTQPLQQQAPSLGGSGGSGGGSYTPVFVVLGVIAALLVISCLVGQVCTKKHLRPRPRRDRVAYYDDDGMEGGFGPPHHSGIAKMEAPAPAVSSVETRAAAAAVQQTAAA >Sspon.06G0006280-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:21799437:21804006:-1 gene:Sspon.06G0006280-1A transcript:Sspon.06G0006280-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Methylcrotonoyl-CoA carboxylase beta chain, mitochondrial [Source:Projected from Arabidopsis thaliana (AT4G34030) UniProtKB/Swiss-Prot;Acc:Q9LDD8] MLSRLAAARRQLLLPPRGAPAVAYRSSSSAAAAAVHGVAPAPASASVLPDTLDRGSDAYQRNAAAVGGLLSDLRARVSQVLRGGGAEAVRRNAARGKLLPRDRIDRLLDPGASFLELSQLAGSDVYDEALPSAGIITGIGPVHGRLCMFVANDPTTKGGTYYPLTVKKHLRAQEIASECKLPCIYLVDSGGANLPRQADVFPDRDNFGRIFYNQAKMSSDGIPQIALVLGSCTAGGAYIPAMADESVIVKGNGTIFLAGPPLVKAATGEEISAEDLGGASVHCKLGRNIVKNLHLAAKGTNIQSSTCGYQEPLYDVEELRSIAPADLKQSFDIRSVIARIVDGSEFDEFKKLYGTTLVTGFARICGQPVGIIGNNGILFTESALKGSHFIELCAQRHIPLIFLQNITGFMVWYSICSSNYFFELFPFISHYTHSRQLFIVLRANQNYYLHRLIDYAKVGSKSEASGIAKAGAKMVMAVSCAKVPKITIIVGGSFGAGNYGMCGRAYSPNFLFLWPTARISVMGGIQAAGVLAQIEKNNKKRQGVEWSKDEEEAFKAKVVEAYDREGSPYYSTARLWDDGVIDPADTRRVLSLCLSASAKPVPEDTKYGVFRM >Sspon.05G0016940-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:65793934:65798768:-1 gene:Sspon.05G0016940-2C transcript:Sspon.05G0016940-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VFHISFAFSHRRVVARARWRRRVSEPCREQSQQHEQWRQLSALPFCSTCLLQIMVYKRGLAAEVRELRERELHPGAAGGVRLRPGHRRRWRRRVEGAGVPQRRRDIQAADGARARLPEPVAAAGRLAGAVHGRRQRRRRRQGVGVGPAGGVILHQGARRRPEHHPPQVRRRLLLLHQPAARPARAAPGSGRLRAAPGHGRRHARGRGGRAAQGDRRGPAARRRLRRRRPQPAAAVRLGRREARRRRRLLRRHLRRAAGPGRRLAAALHRQPPQQQARHGHRQAQEDRAGHRPCRRRLG >Sspon.07G0014290-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:51030722:51032656:1 gene:Sspon.07G0014290-4D transcript:Sspon.07G0014290-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLASSSTFALDFLRRLLCAHSAGGSADDRPGSAAAARHTCCTPETEEPPRSPCIVARLMGLDAMPPEAPAPHAQPQAQRTPPLRRSRSASSAEGSPGPWEWDRDTQQQPRVVRASASLRERPAYLRQESDEFLLLSFSPEDQDPDPDRERDVREELDFLLAAAEATRRGGRVRSDAAESKQRRNGRCRRLRFADDEADSEAQSAGRVLLLRRRTPAAECDAHHLSPVSVLEAHDESSTTTTTTSSSLEEVEHAEPSSATSDEPQTILEQRNSRRKLHPDFFQLDNLSPPRSSCHVSRCSDRERRNRRVVNKDEVITPEVSGIWQPICRLVEEDLKNMDWPARDGTNVVAEIEHGILEHLICELMDELLRGMSETVQHPLPLRSISKKQLGGKNVQTRRAVGCY >Sspon.08G0007050-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:21926613:21930682:-1 gene:Sspon.08G0007050-1A transcript:Sspon.08G0007050-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSQAPALAGEALRQKRILSSKLYLEVPSSKAPVVYSPAYDISFLGLEKLHPFDSAKWGRICRYLTREGYLDKKRMVEPLEACKEDLLVCIVLMNPLHGLLNQVHTEAYLNSLKSSFRVSSIVEVPPVSLVPNWIVHKKLLYPFRKQVGGSILSAKLAIERGWAINVGGGFHHCSADEGGGFCAYADISLCIQFAFVRLNISSVLILDLDAHQGNGHEKDFANDGAYFNFLWILVLYICQFDTSLSLTGRVYILDMYNAGIYPFDFTAKQYIDQKVELVSGTKTDEYLEQLDKALEVCSSRFQPQLIVYNAGTDILDGDPLGRLKVSPEGVVTRDEKVFRFAKDQNIPLLMLTSGEILLTQSYESANAVFLCTMPIYAALSGGYMKTSARVIADSIINLSNKNLIGLDSQLG >Sspon.08G0026340-1P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8C:20398505:20400322:-1 gene:Sspon.08G0026340-1P transcript:Sspon.08G0026340-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GKTTYVKRHITGEFEKRYEPTIGVEVRPLDFTTSHGKIRFYCWDTAGQEKFGGLRDGYYINGQCAIIMFDVTSRITYKNVPTWHRDISRVCENIPVVLCGNKVDVKNRQVKAKAVTYHRKKNLQYYEISAKSNYNFEKPFLYLARKLAGNMDVKFVEELALVPADVTIDVAAQQQIDKEIELAAAIPLPDEDNDNMD >Sspon.07G0001730-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:4042539:4050363:1 gene:Sspon.07G0001730-1A transcript:Sspon.07G0001730-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGTTAAEVGGAGDGAAGDVQIKGAKENGQPAAVALPPPQPSGSEALEPATPLLRDDWSEHFSLFTSLGGFGGSSDGARGLTSVGLSNSESRPDSVTQRGLDHDAEERVEELTVKNCINTDVQPEVSAGGSTSCGDRPTVIKGMWGNFTRMAWRTSDLASRESDALNYGDIPNLRAGDASSRENLATSLANNIISRNNNASGNEAPMSRVGYVNNEFMTPFRSQQILLSPWSNQDNALKVSSFSNRILDQMRNKTVAPPSAVLGSPLKSKSKGKGVAYQGAREEIQGQANARPRDPLDKIPTIPTSIHDSMARVDPMLFSTGGNVSKSHSEGTSMRELIKPGRQTMTKFEKMHLFKQILDLVDKCHAQGFTLQHLRPSYFTTLSSNQVKYIGSYTTQDLPTSIKQEVAREDLVIRKRAFGHRIDHQDSNGHGNLMLKYQKVGEQVSVAIRRPANTFWTDQICDNQNEDVDPGVSRQENFSYTARERFKFVEPYGSNTSGAQHVSSSGTQQPAFELRNLEESWYKSPEELSQFKGTFPSNIYSLGVLLFELFCCSETWEVHCAAMSNLRQRILPPNFLSESPKEAGFCLWLLHPDPCSRPKAKEILGCDLINEGRDLSLLDQAPVSISEDDTESSLLFNFLSQLKEEKDMLAAKLSAELGSLETDITEVERRYSARMRLSLEDTDVLRSSGALSGASVSAVQGALLSGLLPASSSVDTCETNAIKRPDNEALRVRENFHQLHSDSDAKGEKTDRLGCFFDGLCKYARHSRFEVRGILKNADILNSPNVICSLSFDRDEEYFAAAGVSKKIKIFEFDALLNDRVDIHYPLVEMPSKSKLSCVSWNNYIKNYLASTDYDGTVQLWDASSGQGFTQFTEHRKRAWSVSFSEVDPTQLASGSDDCCVKRNCIDTIRNVANVCCVQFSPYSSRMLAFGSADYKIYCYDLRNTRIPWCTISGHGKAVSYVRFLDPETLVSASTDNTLKIWDLNRTNCSGLSTDSCSLTLNGHTNEKNFVGLSVHDGYITCGSETNEVFSYYKTFPMPITSHRFGSIDPITGQVTNEDNQQFVSSVCWRGKSNMVVAANSSGSIKVLELV >Sspon.03G0025470-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:77762087:77763007:-1 gene:Sspon.03G0025470-1A transcript:Sspon.03G0025470-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRTPPLVPLLSPSRHRVSSHRSLLPGQFPAISFVSSPTLTATTMHSAPLSPVSGRSTGGAAGAQLLPSTRRSLAPLKPQSLPGKTQGHRDGVATSAELAEASPEDLENIREIKRVLELLKKNRDMTFGEVKLTIMIEDPRDIERKRTLGIEDPDEITRDDLADALAE >Sspon.01G0021330-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:78820704:78828558:1 gene:Sspon.01G0021330-3C transcript:Sspon.01G0021330-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Methionine gamma-lyase [Source:Projected from Arabidopsis thaliana (AT1G64660) UniProtKB/Swiss-Prot;Acc:Q9SGU9] MSRLLDSQPPSKRRHLRRRSIRVRVRPCTGTIRGGKRRTRVAPQSNSGVRARLRTAAPASTRGSPRKQRRPRAPQHGRTGSPQQQRVSDVRVCLPKPAVAFACGSLAPHSAGATFSRNDAGTGTLSGTNSPLGDGDEEKTYPDGEFLAPRGRGWGCNLRRGIPRHRASALRPPEGDAHPIHGAVVTAHARLSCSSRNHPPVALFLRRVTQMRACGGTRKRTITKAGRIGLERHDHDTSPLLRRDAGRDMFGRRRSSARLCLVHPRRAYADELARPSQTLYPDDKSGQSRGRCSILLRVAFRRAAAGPFGFRSLIGSRPDSSKLSGAAVSSTHQEGLRGLLKSQHTVDGLGVDDVVVQVIAVRRRHLPLVTEKKTYASSPDRGMGPIHTPLHPPSVAPRPRPAAHSPTEDPGIAYKLLTTVCSLPRTALKLNPFPSPISCSSATHAPHAPIPMAQTMAATSELVTLKRPFGGNDGFGDGGSNGTVADEKPKARRREADPAAALASARHEFGEHGGVNMSIEASATFTVMEPDTMRRLFAGELGPDRGDMYIYSRHFNPTVLALGRQMAALEGTEAAYCTASGMSAISAVLMQLVGAGGHVVASRCLYGGTHALLARFLPRTSGVRATFVDADDEAAVRAAVVPGETRVVYVETMSNPTLAVADIPMLARVAHEAGAKLVVDNTFTPVVVSPARLGADVVVHSVSKFISGGADIIAGKDVLLASDPPRVCAPVKLGPQKLVVAVLAPWSHGISGRGWAALGDILHGKNHDNTNVTLDHVALNQCGGDDDDESSQLARVSCRRNVWASRPGRRGLRMADPYILAADRRRLTLTLPRTNGMPPRPDRACFVAWPGLHHALPRAWGPSEGHEIEAKPLVNAMMDLQDGALMLLGPTMNAKVAFELSERLPHLPLRIQEHSRRALAFATRMQRLGLRVLYPGLPDHPHHARLAAMGNPGYGSGGMLCLDMGTEERANRLMHHLQNTTQFGLMAVSLGYYETLMSCSGSSTSSEMPPEDRARAGISPGLVRMSVGYNGTLEQRWAQFERALALMQPPTHHLNLKGAADRDGPDAAGNNHRKH >Sspon.08G0009890-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:43155122:43155880:1 gene:Sspon.08G0009890-1A transcript:Sspon.08G0009890-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear transcription factor Y subunit B-9 [Source:Projected from Arabidopsis thaliana (AT1G21970) UniProtKB/Swiss-Prot;Acc:Q9SFD8] MNPQSPKTSAPCTLPVEPAAAVVPNEAEATDEAPPPVANTESAMIIREQDRLMPVANVSRIMRQVLPPYAKISDDAKEVIQECVSEFISFVTGEANERCHTERRKTVTSEDIVWAMNRLGFDDYVAPVGTFLQRMRESEGGEERGPGRGSRRGSSVVALTPLNGVGCRHPHQGLSPAGYAVRPVPRPVPASGAAPHFGSQYQTMHGGDRPVVLCYGGGAAFVQAGGSQHVGFHADEASSSNENPPAGRAGSH >Sspon.08G0010740-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:47206285:47221717:1 gene:Sspon.08G0010740-1A transcript:Sspon.08G0010740-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGPLGSKRRVAEGGTETEPEEEEYEVEEVRDHIASSRGSRLALFGSDLRLGRFRPRRRSRRRPLAGEGAVEGFFHDLVIHPDNKWYRLWSKFILVWAVYSSFFTPLEFGFFRGLPRNLFFLDIAGQIAFLIDIVVKFFVAYRDPDTYRIVYNPTAIALRYCKSSFIFDLLGCFPWDIIYKACGSKEEVRYLLWIRLTRVTKITEFFWRLEKDIRINYLFTRIVKLIVVELYCTHTAACIFYYLATTLPESKEGYTWIGIGGLQVFTFQEIDLTTRYTTSLYFAIVTMATVGYGDIHAVNIREMIFIMIYVSFDMILGAYLIGNMTALIVKGSRTERFRDKMKEVIRYMNRNKLGKEIREQIKGHLRLQYESSYTEASVLQDIPISIRAKISQTLYKPYIESIPLFKGCSAEFIQQIVIRLQEEFFLPGEVILEQGSAVDQLYFVCHGALEGVGIGEDGQEETLLMLEPENSFGEISILCNIPQPYTVRVSLPALRLDKQSFTNILEIYFVDGRRILSNLSESEYGGRVKQLESDITFHIGKQEAELTLRVNSAAFYGDLNQLKNLIRAGADPKNTDYDGRSPLHLAASRGYEDVVQFLINEGVDIDLTDQFGNTPLLEAVKQGHERVAALLFAKGAKLNLKNAGSHLCTAVAKGDSDFIRRTLAYGADPNCRDYDHRTPLHIAAAEGLYLIAKMLVEAGASVFATDRWGTTPLDEARKCGGRTLGALLEEARANELAMFPERGEEIGDKMHPRRCSVFPYHPWRAATGEERRMEGVVLWIPHTIESLVASAQEKLDLPGPASRLRLLCEDGARVLEVDMVNDGQKLYLVGGEDDDQKDGQGPLGSKRRVAEGGTETEPEEEEYEVEEVRDHIASSRGSRLALFGSDLRLGRFRPRRRSRRRPLAGEGAVEGFFHDLVIHPDNKWYRLWSKFILVWAVYSSFFTPLEFGFFRGLPRNLFFLDIAGQIAFLIDIVVKFFVAYRDPDTYRIVYNPTAIALRYCKSSFIFDLLGCFPWDIIYKACGSKEEVRYLLWIRLTRVTKITEFFWRLEKDIRINYLFTRIVKLIVVELYCTHTAACIFYYLATTLPESKEGYTWIGSLQLGDYKFSHFRRSILPRAIQHHYTLPLSPWQLLVMVTFMLSTFREMIFIMIYVSFDMILGAYLIGNMTALIVKGSRTERFRDKMKEVIRYMNRNKLGKEIREQIKGHLRLQYESSYTEASVLQDIPISIRAKISQTLYKPYIESIPLFKGCSAEFIQQIVIRLQEEFFLPGEVILEQGSAVDQLYFVCHGALEGVGIGEDGQEETLLMLEPENSFGEISILCNIPQPYTVRVSLPALRLDKQSFTNILEIYFVDGRRILSNLSESEFGGRVKQLESDITFHIGKQEAELTLRVNSAAFYGDLNQLKNLIRAGADPKNTDYDGRSPLHLAASRGYEDVVQFLINEGVDIDLTDQFGNTPLLEAVKQGHERVAALLFAKGAKLNLKNAGSHLCTAVAKGDSDFIRRTLAYGADPNCRDYDHRTPLHIAAAEGLYLIAKMLVEAGASVFATDRWGTTPLDEARKCGGRTLGALLEEARANELAMFPERGEEIGDKMHPRRCSVFPYHPWRAATGEERRMEGVVLWIPHTIESLVASAQEKLDLPGPASRLRLLCEDGARVLEVDMVNDGQKLYLVGGEDDDQKDGQ >Sspon.02G0053660-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2C:107430303:107430842:1 gene:Sspon.02G0053660-1C transcript:Sspon.02G0053660-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding STDPRSRVGPTRRLADAYSAVGGALLAAARSALAALHPRAALVAALGLDSVEKEEAAPRTELVDHGEAALPGSNLVDPYQETALLLGSILVDQDGEAALLGANLVKQDREMAPLGSNLVDLYQETAPPLGYIRVKAEGPSSDLETGRVTGTRCSEIVEEEEKDGTTALIGSDWEKNIGR >Sspon.02G0029450-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:107001847:107002712:-1 gene:Sspon.02G0029450-1A transcript:Sspon.02G0029450-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding NAELATANADLSFVIVALVGGNRPAISSEEVWAHLCAWLDRANQGPSTPPHHRWFHDATVDGHDPGGADGIVLDMPDRTYRVESTPDPMELEAQLAARSSDVPPVSQALNPPNCSSFGDVQGSAPTTFAHPQPDVDDATVAARPLVATTLAAKSKFREQKPEAQVRKVMMKHLGVEVETQLPDEVSFEEFQTAFKLPLSPSTREAMLVLFPGKKQRASTAIRDE >Sspon.03G0010610-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:35857089:35860507:-1 gene:Sspon.03G0010610-1P transcript:Sspon.03G0010610-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHSSDEDTEISDSEIDDYEGKIYARLMSGDLKVKNNGENYSCLFCSSKKKNNYSKSSLVQHASGVSAAPNRKAKEKAAHRALFKYLKNDLAKSPEPRPHVIPVEPQPLQNRDEKFVWPWMGILVNVPTEWKDGRQIGESGNRLKEQLSHFCPLKVIPLWTFRGHTGNAIVEFGKDWNGFRNARTFESHFAAGGYGKKDWTGKKNQGSELYGWLARAEDYNSPGIIADHLRKNGDLKSVNDLAKEGARKTDRLVANLANQIEVKNRYLQELESKYSETTASLEKMMGQREQLLQSYNKEISKMQQLARRHSQKIIDENQKLRSELEAKMNDLDVRSKQLDELAAKSDYDRRNLEQEKQKNAIKSSHLKLATLEQQKADENVLKLVEEQKREKHAALKKILMLEQQLDAKQKLELEIQQLKGKLKVMEHMPGDEDSESKNKINELSEALQEKIDELDGMESLNQTLVIKESKSNIELQEARKELENGLLDLSGGQAHIGIKRMGELDLKAFSKACQKEHTEDTEVTAAFLCSKWEAEIKNPDWHPFRVVTVDGKEMEIIEDDAKLRALKEEHGEEIYALVTKALLEINEYKSKGSYPVGELWNFKENRKVTLKEAVQFVLRQWRTNRRKR >Sspon.08G0012930-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8B:63746228:63747717:1 gene:Sspon.08G0012930-2B transcript:Sspon.08G0012930-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAYGLDVECPHIFDGTHFARWRNWMTCNFKFISPQLWWIVDVGFSFAIDKKNATQAQSKCLHLDSQATNVFYRSMDSSILCEIIDFESAHEIWVFLNEKYGAISNDDEPKKEAHEDVEHDHNKVVVEDCSTSRSSDDKNDHITRSLDKKNDNATSDASNDSTSCTLDGEDDGYESDAQQAHQYHHMVTLRYAMFFTIKCCSTSMSCETNLLKENNELKSEVKNLSNKLERCYNSKVTFEHIMKTQRNLGDKSGLGFKKKLTRGERKQEKRMKRLLQKKLSHSMCYRCHEAGHLANGCPNIEKLKKMKEEERLKYVKCFKCRTWGHLTSMCPTKQLVKQQVKPQPKPLVEQDKTPKSKSRSTMEMMVT >Sspon.05G0004810-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:14850983:14852557:1 gene:Sspon.05G0004810-1A transcript:Sspon.05G0004810-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALQHASPASCTLTTGRHGRSSAAVLRRPPTDSSSRRLRVHAQKPAAGAETTATSSSSRSENAVLKAAWYGSELLGIAASLFRPAPSSSSPEGDAAGGGDVEGGAAAGAALDRAGVVDAVKADFARSYFVTGNLTLRAYEEDCEFADPAGSFRGLRRFKRNCTNFGSLLEKSNMKLTKWEDLEDKSIGHWRFSCVMSFPWRPILSATGFTEYYFDAESGKVCRHVENWNVPKMALLRQIFRPSRWVWEKR >Sspon.01G0050140-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:120360717:120360959:-1 gene:Sspon.01G0050140-1B transcript:Sspon.01G0050140-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLGSAQGAVDSLLGRLTSALADEASLLSGVRADVHFIKDEMESMNGFLLHVVEATGGSGEEDHGVRAWMKQVAEVAYAS >Sspon.02G0014210-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:35856117:35856482:1 gene:Sspon.02G0014210-2B transcript:Sspon.02G0014210-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTTKTLVQFSFKLDIACFISPSPAEGWILHLYGTRVSTCPRASMRSGMNLVLESSSSMIGMTSLQLYFWTSEAIASAWQESFHAVLMKYGVWLDDRDGLFAEKEMIGTDVLFPSSARNLA >Sspon.05G0025230-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:22174363:22175868:-1 gene:Sspon.05G0025230-1B transcript:Sspon.05G0025230-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSRAYQFQLQAAEAAAPVVHAAEGGEPEVTPKAPLLKIQAAQGTADEPAPRQGSGLAPTTMGTIGNAEATATKCPECPKWFASEKAMFGHLRKHPERGYKGATRPTATASAAAAAAVAGDKKPTKKAPRKETGVPAINMAAAAAATKALGEAELSTKWPASAKRGRTPVAPMPQATSCSEEEEAAMILLELASSSRTSSETQQQQSVEPVRAPDAVSGHQIQMSVVEEPMLLDHPTEAEQQIVQLENALELSAESQTPAVKQVTDLVITTEAVLIVVPANNKPIFPSLDSGAGDKKVTKKQRRVTNPEQMAASTPPPPEGAVVRTPPARRIPSPASDKKHTCQTCGKSFPTHQALGGHMASHVKGAKTTSARHDDLAAAQAMHNILARRDQQSAGNCNGNGTIPASAGALQERQDVQPPPAQAPTQQTAPTPQTAAPHVCDECHLIFPSGQALGGHKRKHWFPEKQQATKAAPAPAPAPRDFDLNEVPKEGQGENNNQPS >Sspon.06G0001770-3D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6D:3109929:3111836:1 gene:Sspon.06G0001770-3D transcript:Sspon.06G0001770-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VQRPIEQVADAEAMLDLANALVSSSKVEKRDGPTPSEFITALISKFGAKASPLVDSDGSFSWSSLGCAVSGLFMTAAGCQTMNGPMGLGLAVKERRHTVRRQSGHLGSEPAVPDELAPDQDKRNDTDENIAVMFNLLRSHSNRNVKLEHLILNRQSFAQTVENIFALSFLVKDGRAEIYVADNGDHFVAPRNTPSARSIASREVVNSQFVFRFDTEDWRIMKGMVNPGEDLMPHRSSYHGGEYKKTTPSCSAPDSKLASDSEHPEEGGFEKENPEEFTEDEEAMELDLVKCCSGDGGLKKRKRQHVAR >Sspon.02G0055550-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:7609009:7624593:-1 gene:Sspon.02G0055550-1D transcript:Sspon.02G0055550-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEASARERKRPREGDASEDGARMREVWKRLLEAAAGKPQYTYLPIADTLKVPGDRVCLFAVVAEIGAAVRSRGTGLKDLELQLRSLKSGSTFDLVCKLHHLICLKDHLYPGRFFNITCKQDKFGIWKGVFLDSSGVRLLSHEDGSVVDRLKMYDSRNANKVHRQPMASFPSNVAGLPHDNLLSVLSDEYEKEGYSTLMESLTHDEVTHKVKTLVRVVAFYPCRARELHLLSTDNHCIRLTLEDPTARINAPAQVSTFITTEKNGGKKVKFFGGSLTAEVIIKKMNKLLGVPEPEDSEEVAPLTRNPPWIWCILMSYYREKNDPWGSRSAVDPWPYAFVQSVNYSIENTGGTVAFCTSLPAQVEFFGGFLTEEVIIEKMKKLLGVPEPEDSEEVAPLTRNPPWIWCILMSYYREKNDPWGSRSAMDPWPYAFVQSVNYSIENTGGTVAFCTSLGSKKTFAKWTKIEFHLRSNQNNTNSRLQLTTSKSGVVWLDQVSVMPVDTYMGHGFRKDLASMLANLKPQFLKFPGGNYAMGNYLRNAFRWSETVGPWKERPGHFNDAWGYWTDDGLGFFEFLQLAEDLGASPVWVVNDGASLNEEVSTKTIASLVKDVVNGIEFARGGPKTTWGSVRVAMGHPEPFKLDYVSIGNQECWMLYYRGNYQKFYSAIKSAYPDIYIISSCDRPTISPSNPADLYDVHVYTSSSNMFSKASMFDSTPRGAPKAIVSEYAVTGNDAGKGTLVAALAEAAFLIGLERNSDVVEMASCAPLFVNDNDRRWNPDAIVFNSWQHYGCPNYWMLHFFKESSGATLHPTAIQISNYDQLVASAITWQNSKDRSTYLRIKVVNFGNQAVDLNISVAQLPTSIKKSGSKQTVLTSSSPLDENSFQQPEK >Sspon.06G0004640-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:14838017:14840840:-1 gene:Sspon.06G0004640-1A transcript:Sspon.06G0004640-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGKCPHRKVKKRRLSHKTARRGKFLLKADDAVYDELVKLADQGKDAEGKELPVDEDLPGMGQFYCLHCDRYFASESVKDDHYRSKRHKKRVKVMSGPAPHTQLDAELAAGMGKPDNGLKLMSM >Sspon.01G0023920-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1A:85909520:85910551:-1 gene:Sspon.01G0023920-1A transcript:Sspon.01G0023920-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGSSPSPVAGYSVGGDGSHSASAIVAGAVTGSHVLKIVSYSRTKEVPNGQRIDSCHFHLGGHTWFVAYHPNGCAADSLDFISLFLAIHGAVPGKAAKAQVTISLLDQDGKPVPSYTKVTGFVDFAEKGSWGYQKFIERKALEKSEHLRDDSFTVRFDVTVMRDIQAVGTPSVVVPPSDMHRHYGDLLRSKEGADVKFRVCGKTFSAHRLVLSTRSPVFKAELFGPMKESTTTKAIRIEDMEPEVFDALLTFIYTDTLPETKEGEECAMAHHLLVAADRYNLERLKLICEDKLCKYIDTGSAATILALAEKHNCHGLKDACFAFLSSAKNLDAVMETDGFDYLT >Sspon.03G0023030-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:93879465:93882162:-1 gene:Sspon.03G0023030-1T transcript:Sspon.03G0023030-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEKPAPVRVLYCGVCGLPAEYCEFGPDFERCKPWLRAHAPGVYPADLVAASSSSGGGDKDVDKVGERLQGVGISDGSTSAAGDASASKPDEVKRLPGGKLKKKEKQEVVIEKIVRNKRKCVTVVKGLELFGVKLSDASKKLGKKFATGASVVKGPTEKEQIDVQGDISYDIVEFITDTWPDVPESAIFFIEDGRKVPAA >Sspon.05G0013510-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5B:49127889:49132987:1 gene:Sspon.05G0013510-2B transcript:Sspon.05G0013510-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLHLLPPSTPTSHPISSFLGAGALWRGFSRRRAAVGAPAAAGLTAMAFLSRRTTDGGPSWRPSLPPPHPSTTPAPSPALFFISVRPGCYCRPGGRRCTPGTAGALGAVALSSAPGADVETAEGAVVAALPSSSSALPSSSASLPDGGSSRTPCCNLWHDPSTAIHRHRCCSRHRSGAGLPLAAALVAARAAAAEGQARVRAAALVWERERDAADALARQIAEAELLLTSPAGPDAGATSASPGRRVSHTAVLWHDPVVSSRPGRPPIARWRDLVLLTLRRYALDDHVLVEASVSVQTPSWFRLDSIVLSWILGTISLDLHDLVRTSPDARRAWLALEGQFLGNAEARALRLDASFRTFVQGDLSVGEFCRRMKGMADSLGDLGWPVEDRILVLNVLRGLSDRFAHLRTWITRQRPFPTFLEVRDDLVMEELTQGPQPVSATSPGASSAALAATPPRPSAPPPSSLLGPPPSGPSGGGGVVALVVAVEGAAGVAGAVTTRLRHRLDHTGGHHGLRSRTRGPVASPCGPTTLLEPTLVHLWPCSPELLLLLRRGFHPPGLHRGSPAGIRRPWLARSAPWADTSADNSCSVEFDSSGLTVKDLATRRPLLRCDSTGPLYTLRFPAAPSSSSPSVLSAAFVASTSSLPGTGASAILDSDTFTTLLHFFTWVSTQFGLTIKAVQCDNGREFDNSTSRDFFLSHGVQLRMSCPYTSSQNGKAERMIRTTNDTVRTLLFQASLPARFWAEGLHTATYLLNRLPSAACPAPTPHHALFGNSPRYDHLRVFGCACYPNTAATSSHKLDPRSTLCVFLGYSPDHKGYRCFDLTSRRVLISRHVVFDESVFPFSSTTTPPVPDPPSLFPTDPVVQPPVSWSPAGTSSPRDGPPPGPGSPAGPGPSVSDAAPSSPAGADPGPSSPASAPGGSCRLPTPDPVPAPAPAPPSRFAAPVRVYQRRPRPPPLAPPSPPGTPTPPPLSPPARGAPPVYHPPLLHRHPRHVHPMVTRHAAGTLPPRVLEASTGDAVVSPVPSSVRDALLDPHWRRAMEEEYAALLANQTWELVPRPPGANVVTGKWIWTHKRRADGSLERYKARWVLRGFTQRPGVDYDETFSPVVKPATVRTVLSLALARSWPVHQLDVKNAFLHGLLTETVYCSQPAGFVDTTRPDMVCRLNRSLYGLKQAPRAWNHRFAAFLLTLGFMEAKSDTSLFVYHHGADTAYLLLYVDDIVLTASSEPLLRRIITALRQEFAMKDLGELHHFLGVTVEHRPTGLLLHQRQYTRDILERAGMTDCNPCSTPVDTQGKLSEAEGPPVADPTAYRSLAGALQYLTFTRPDITYAVQQVCLHMHDPREPHLTALKRLLRYLRGTLDYGLLLHRNSSTDLTVYTDADWAGCPDTRRSTSGYAVFLGGNLVSWSSKRQPVVSRSSAEAEYRAVANGVAEAAWLRQLLAELHTPPSRSTLIYCDNVSAVYLSTNPIQHQRTKHVEIDLHFVRDRVAMGEVRVLHVPTTSQFADIFTKGLPSSTFAEFRSSLNITGG >Sspon.03G0039540-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:11284875:11288445:1 gene:Sspon.03G0039540-2D transcript:Sspon.03G0039540-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVNGRPLKRARARVEATDFARFPAAGDCGAAGTFREAVRGFLAKHARLLPLPSIFSPAAAAAPPHLLIWRVSLRVGEAGEEESGGRVELNVLEEDVLRSRSVYCDQCRVVGWSGHPVCVKRYHFIIENDSISGRQRTCCLRCGTPMAAGESRCALCNFDKDGEEVEECAYLHLDDSSHLLHAVVHANGYGHLLRVNGREGGSRFLTGRDIMSLWDRLCKVLHVRKVTVMDISKKHGMDYRLLHAVTAGHPWYGQWGYKFGAGSFALTSDTYQNAVDMLSSINLALYYSHRSPIRTALQNTIALYWALSNRQLVTLRDLFRFIMHLLHQGQKMSKPSTDKHKELTSNELCAWTKEDLHRAEGAMLKVLQVVQTGQWVSWRALRGAASKAVDSQELLDYSLRELGGKQLDNGLSVAVRCNAETSAIEYRLESSSLRSPANAATFGPSVEQLLHDLRFLYDALLNPDSMLSSQPEWLVHQLTAQQQRLLIASSSSNIMMSMRCGLLQTHTCSV >Sspon.07G0006450-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7C:12095903:12096467:-1 gene:Sspon.07G0006450-2C transcript:Sspon.07G0006450-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MCPRHWLWRGKGDRREAHTALKQDLHGETEQRLWEATRAAHLPCSWSWWWPFAGQSSARSWIDFASLIQGGAAAESQDELCKECDCPLAGGCVCYDTFASCPSFCSGCWCSKKKAGCQCVNYVEKKWCAAPPPTVQRKPVIDALY >Sspon.01G0021220-3D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:74842349:74846924:-1 gene:Sspon.01G0021220-3D transcript:Sspon.01G0021220-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQCYARNVHGVDEEDRGGVGATTITVSAGFRVGSEDAASGGGGGGGGGRRSARPSPAGTPRGGRAGAGATPARSSAAGSPWAGSPFGLPDGIAPSPANSASTPRRFFRRPFPPPSPAKHIKESLARRLGRRSPASASQVPSPPVEVPIPEHGAGGAGEVERELDKSFGYDRHFAAKYELGREVGRGHFGHTCLVRARKGDMRGQVLAVKVISKAKVFCDGIGIGISPQDDNAISIEDVRREVKILKALSGHSNLVKFYDACEDALNVYIIMELCEGGELLDRILSRGGRYNEGDAKIIVEQILKVVAFCHLQGVVHRDLKPEALSRAITEDELIYIRAQYNLLEPDSGDGRICIDNFRTALLQNCTDAMKESRTLEILNALEPLAYRRMDFEEFCAATISPYQLEAVPRWEEIASTAFEYFEQEGNRAITIEELAQEMNLSAAAYSIVRDWIRPSDGKLSFLGYTKFLHGLTMRSGNARRHH >Sspon.01G0060010-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:72415627:72417334:-1 gene:Sspon.01G0060010-1D transcript:Sspon.01G0060010-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AMAARRLLLLLAVVAASLLAADARPCHTFLVAFPADPNPNPNPSRGDGAVHHHLGVPHVATVITVFRIRRPDPPPRSPAPRRGRGRRAPGARQGILVVVVGLLFGVACGALTAASVYLVWSMVAGAAAASPYDELYDEEEEASDTESPRKVGYVVIQELRCHHGMVRQFAIP >Sspon.03G0039750-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:20549053:20554096:1 gene:Sspon.03G0039750-1C transcript:Sspon.03G0039750-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MESRMDQYEVMEQIGRGAFGAAILVNHKTEKKKYVLKKIRLARQTERCRKSAHQERKWKLQMALIARLQHPYIVEFKEAWVEKGCYVCIVTGYCEGGDMAELMKKANGTYFPEEKLLKWFTQLALAVDYLHSNFVLHRDLKCSNIFLTKEHDIRLGDFGLAKTLKADDLASSVVGTPNYMCPELLADIPYGFKSDIWSLGCCMYEMAAHRPAFKAFFHLISQPFRILLCGVINFKTIEVEDMAGLISKINRSSIGPLPTCYSSSMKTLIKSMLRKSPEHRPTVSYNAIDTSLAAAILASEIIKNPYLQPYVNQYRPFADILHPGRSPEKPVTNSQSSQRSMSGSQCSSISGTGSDMDSIQSSEINTSGLASSSNNTIDTEGVEATDLQSHKDTACPELERQDSSKSVQDNHHPRHENKQPKIIKKILTTLREESKFRGSNSPVRASRVKLNSPSNRERSSDDSKQSDISSSSRSSEVMSHESANASCEPMKRGHASPPLKHLSPIVEHSPKVKIKVDELLHPHPARQVGEAVDAAAGKVKNRTPPIFIRCTIIPARRQGVASPSRQHTGVKRAHNKVMTEHPRSPCRPTHSPSNALVELPSVPIVPPSHLEDLQRKTGNSRAKSAPPRALATKEDSSACSSSTIGYAENTNQFEPSEPDSPTYLVSSCKCLIPDTIIQNHDIKSKAISEVNTTNCEKKITSNGAIVLSAAMVQSSDITEQEFICKDGMPSSQVGQCSDTVTVPSDEDKFTVQELLSSVPDLSSFVPTTAMNTEPGRGSTPTQSSEKPSGSHLNPPPVEDIIHVISHSSFRVNGEQAVKETGSESIDVDKRLNMVREEIGVRSIEPNLIPSGHIDSATVNPNVAEANTTFQKPASTDVTKLPTIPEGNFSTLETNNGFKQEAAPAKEILDVTSFRQRAEALEGLLELSAELLEHSRLEELAIVLKPFGKAKVSPRETAIWLAKSFKGMMNDEASRSS >Sspon.06G0005240-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:15945212:15946185:-1 gene:Sspon.06G0005240-4D transcript:Sspon.06G0005240-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LDQLWDETVAGPRPDSGLVRLRKYSSFSPSSSSSSSSSFQAPAPAAAAAAPAPAVTRSITIARPPSLSVDASPRAESYSSSVPSSPASTLDSPSATGGLARTDGWRRFRRKTKVSDGPEPAVGPRSPTVYDWFVLGPRSVSFLVFL >Sspon.01G0005050-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:11828194:11830383:1 gene:Sspon.01G0005050-4D transcript:Sspon.01G0005050-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RABH1e [Source:Projected from Arabidopsis thaliana (AT5G10260) UniProtKB/TrEMBL;Acc:A0A178UPG4] MAVVSALAKYKLVFLGDQAVGKTAIITRFMYDKFDDTYQATIGIDFLSKTMYLEDRTVRLQLWDTAGQERFRSLIPSYIRDSSVAVIVYDVTDSQSFLNTSKWIEEVNTQRGGDVLIFLVGNKTDLIDKRKVSTGEGEAKAQEHGAMFIETSAKAGFNVKPLFRKIAGSLPGLDALSSAKHEDMVDINLRPASGSSASSAAAQLEQKSGGCSC >Sspon.07G0030900-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7C:14854857:14855941:-1 gene:Sspon.07G0030900-1C transcript:Sspon.07G0030900-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVACQICSGTTSANEDSLTTVSPSTYATQHCGGKIIRQTFSGRGRQSRCLMSPDSILASHTCPPAVQRAALGGDPVVHLRLGVEGKRDAAAAEAAEEVAEEVELQEPVQERGGDGERREDVTRPRHQRRRAEKQLARRLAFAGAGDGAGDRRLHQFWVGGCRARDCELVGIDNSTRKGRHSSPIEEVDLAAALRLGSDGQTRDDVSRFFAERTRKPVRDCGTIEELRRESRRAREELRWVPGSVDGGEDEAESGGLAWLELVRQEREDLGRACWPNGNR >Sspon.01G0039390-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:30418647:30421580:-1 gene:Sspon.01G0039390-3D transcript:Sspon.01G0039390-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTQFAMVEELASLIKDNLHSKHLILSTEESLIAALQQLHCRDGDGDREEEDDAVDTIELQPAGAYHRLLLHRLAEIYGFAHESVGEGEDRHLVLQRCPETAIPSVLVSDMLWKFDCSDDLTSVMLTRNDTDFQKPQKADVVQEAVSVKNSHLKDNTDLKPLKQPAVLPAASLKEREAAYRAARERIFSGDDAKGNDRSHMKCRQVPVVAQRMIAHALGQKVQNTTETVASTESRGKQLSNGKNIPTHSRNNFCPVTPDNREAVRNGKPNSAGSNSYQTPSNQRCHTTNTRAVTAESLKKEQTGAAKRMFAHALGLSAARGSYGALPKPK >Sspon.07G0014030-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7A:50831589:50832174:-1 gene:Sspon.07G0014030-1A transcript:Sspon.07G0014030-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VFDGHGGPDAAAYMKRHAMRFLFEDSEFPQASQVDETFSLLRALFAELSSRRILLWPRVLSVTRALGDWDMKTPDASVSPLIAEPEFRQATLGEDDEFLIMGCDGIWDVMTSQHAVSLVRRGLRQHDDPARCARELVMEAKRLETADNLTVIVVCFASELGSQQQEQPTRPRSCKGLSTEALCNLRSWLETDHR >Sspon.03G0042870-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:39909667:39912392:-1 gene:Sspon.03G0042870-2D transcript:Sspon.03G0042870-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding NGSSGGGGGGGGCDHRRRGAVGAGGGGMPVPARRACPGPGARRLRGLAVAEARLRPAPPPPGQEVQRAPPRAASGLGADVPPPRRVRGVPGRVRGAVRRPHPPPPRGPVRAVRPRHRAVGGGGRGPRRRHGRGRAVRGEVPGGGVRGERREVRSRGARARGVPRRGDARRRVPVGGGDAREGRARRRVGQLRHGDRLRPRGRRRRHLHCRPQRASPGDQGDLERGDGAVPVPAGVGHRQSGAAHVRRRVRRHVQARPPPPRRGALHHEAHNPGLPRRRRRHLRQDQDRRDPGAPRRRQERPRERRRVRGREATPLRRHRLRHRLPEHRHAVAQERGRADWRRRDGGAELSGALEGGERAVLRRDGAEGHLRQLRGRGAHRRRHQQAAAPPAGAGAGQRLL >Sspon.02G0001500-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2A:5141648:5142403:-1 gene:Sspon.02G0001500-1A transcript:Sspon.02G0001500-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELPLHYSYYLLLILIPLLAANPLLGLHCSSRRRRSGAAPLPPPSPWALPVIGHLHHLALAGALPHRAMLDLARRLGPLMLLRLGELHIVVASSADAAREVMRTHNLAFATRPVSHTARALLGDGSLGLVFAPYGDGWRQLRRICTAELLSARRVRSFRAVREDEVRRLLRSVAAAPAPVDLRWCRRTRPTRPCAPSSAASSGTGTRSCACWSAASGTCPRRAYRTSSRPRDARQPDAAAPETRAPVART >Sspon.08G0011150-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:46318805:46320958:-1 gene:Sspon.08G0011150-3C transcript:Sspon.08G0011150-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLTTTSRLPICRAQDASKQAPVQKRAPPAAKVAPPSSSLSDTTGFSRRRLLQSAGLGLGLGLAVRDPARARAETAPPALAPEDVTSNRMSYSRFLDYLDAGAVRKVDFFENGTVAVVELDDPALTASRVHRVRVQLPGPPAELVRKLRDRGVDFAAHPVEPNLGLMFLDLLLNLGFPLLFIASLIWRSVTMNNPGAGGGGPSLPFGLGKSKAKFQMEPNTGITFDDVAGVDEAKQDFQEIVQFLKSPEKFTAVGARIPKGVLLVGPPGTGKTLLAKAIAGEAGVPFFSLSGSEFIEMFVGVGASRVRDLFNKAKANAPCLVFIDEIDAVGRQRGTGIGGGNDEREQTLNQLLTEMDGFSGDSGVIVIAATNRPEILDAALLRPGRFDRQVSVGLPDVRGREEILRVHSSNKKLDPDVSLSVVAMRTPGFSGADLANLMNEAAILAGRRGKDRISIKEIDDSIDRIVAGLEGTTMTDGKSKLLAAYHEIGHAVCAQVLLLLIDLPSSLICSKLMTLTPGHDAVQKVTLIPRGQARGLTWFLPGEDPTLMSKQQIFARIVGGLGGRAAEEVIFGEAEVTTGAAGDLQQVTQVARQMVTTFGMSEIGPWALMEPAAQSGDVVLRMLARNSMSEKLAADIDRAVKHIVDQAYEVAKEHVRRNRAAIDQLVDVLMEKETLTGDEFRAILSEHVDIGKEQRETAARTELVTA >Sspon.03G0007770-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:35937598:35938796:1 gene:Sspon.03G0007770-3C transcript:Sspon.03G0007770-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYRQPCSGGSATSSSYFGSRPAAPFPFGTAEQLDVFECLSDEGGGAVPAAVPGASAAAAAAGEGPARRTDRIAFRVRSDDEEVLDDGYKWRKYGKKSVKNSPNPRNYYRCSTEGCNVKKRVERDKDDPRYVVTMYEGVHNHVSPGTIYYATQDAASGRFFVAGMHHPGH >Sspon.05G0004200-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:7597870:7599792:1 gene:Sspon.05G0004200-2B transcript:Sspon.05G0004200-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGPYVSQLCHMLDTIWAGLPGQEVVYQWVEWLHNSSMSYLWIDGNMTLGPDIVNHNADNHAISRTNSLESVIPLMLNYSSKKRYQAFLEAIHMCMICLNQTKGSNFIRLPCQHLFCVKCMETLCRLHVKEGSVFQLVCPGTKCKDSIPPREAEYERRDRLLLQKTLDSMSNVVYCPNCVIGCMEDDDNNAQCPKCSFIFCSFCKGPCHPGKKCLTPEEKIQLRKFILCSRMSQHAMILLKNVQVSGRVTEKEVAQELFNIRQLYKDVRLCPKCVASSSALPAARPSVVISISGRPFGHLVSLFLSETQGKKSSRDQDCKLFAARDIAQWERQMADIQPERLMRNAARPIGSTVRCPKCRAQNFKEDEKYIFCWACVTDYCMFCRRKVQNRKAGHSSQVYASVSFVQQKHTLVFQIRT >Sspon.08G0009210-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:35626168:35627364:-1 gene:Sspon.08G0009210-2B transcript:Sspon.08G0009210-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRLSILLQRSTVSSSAAAPAPSADPFPVISYPPVAPPAEAPAVTIPDSTGTSLDTVEFVVTVGFGAPAQNYTLSIDTGSDVSWIQCLPCSGHCYKQHDPVFDPTKSATYSAVPCGHPHCAAAGGKCSNGTCLYKVDYGDGSSTAGVLSHETLSLTSTRALPGFAFGCGQTIVGDFGGVDGLIGLGRGALSLSSQAAATFGATFSYCLPSSDTTHGYLTIGSTTPASKDVQYTAMIQKKDHPSLYFVELVSIDIGGFTLPVPPTVFTKDGTLLDSGTIVTYLPPEAYIALRDRFKFTMTHYKPAPAYDPFDTCYDFTGQSGLFLPGVSFKFSDGSVFDVSAFGIMIFPDDTAPATGCLAFVPRPSSMPFNIIGNTQQRDTEVIYDVAAEKIGFALGSC >Sspon.02G0052710-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:95572922:95573489:1 gene:Sspon.02G0052710-1C transcript:Sspon.02G0052710-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATDALQYPAALQCAVPAHCRAAGYCSASVAVALLNSLPLDSNSPHNNLVSEMPGYDEPLPVTSSPMTPDQLTAAITDLARSVAVIQSYLGIPPLQPASWLLPQSAVASLPPVFPYGMPGYDMTLLPFPDCYVGCCDQVGRVEGSDGDGRATVPVVLFTT >Sspon.01G0039640-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1B:36066343:36066915:1 gene:Sspon.01G0039640-1B transcript:Sspon.01G0039640-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTRSVSVADRALRGVADLIKLLPSGTVFLFQFLSPLVTNNGHCATFNKVLSGTLVALCGAFCAFSSFTDSYVGSDGRVYYGVVTRRGLRTFTPDPDAAGRDLSAYRLRAGDFVHAALSLLVFATIALLDADTVACLYPALELSERTMMAVLPPVVGGVAGYVFMVFPNNRHGVGYQPTTATEDFQHKY >Sspon.01G0055350-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:73917191:73920069:1 gene:Sspon.01G0055350-2D transcript:Sspon.01G0055350-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSTKVLAAVLLVDLVAFGLAIGAVQSRPSARLETDARQEWTYCVYRTDAATALGGVALALLLVGQAVAAIASRCFCCGSALRPGGARACALVLFLSSWTLTYLLVLHRVTFVIAEACLLAGLLQSAYHTGYRTVFFQNPPDCETVRRGTFGAGAAFALFTGVLTSAYYYYYFSKARIHYHRPEAAIGMTP >Sspon.03G0038220-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:3697949:3705949:1 gene:Sspon.03G0038220-1C transcript:Sspon.03G0038220-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDDDPAAAGLTVPEAFIRRVKLSVASNEEIKLMAHPVENPIQITHCSQLQDNPSLGLPLQDGSRCESCGATQLDKCEGHFGFIKLPEPIYHPSHIAELGKILNLVCLCCLRLKKPKKGTGKESRFTSCSYCQDLPPLCVSQVKKSNGARSLELKVPLKQEVADGFWSFHTSGTSHRRPLHPKEVQDIMKKITEETRARLAASGYNLQDGFVMDNMSVPPNCLHISNMLDENTEMCPPETPKGLLRKVLRTIEQIKSLNISHLNFEARELGADDLQVAVADYMNMGGAAKVSQHVTFTRQPAPKQWHKKMKALFLKFKLHVRAVITGDPYIGLDVVGVPDEIARRMSVQECVTNYNIAQLQDMMDKGLCLTYTDLNTNTYDLDGKKGNKKRIMLRVGETVDRRVLDGDLVFLNRPPSTDMHSVQALYVHVHDDHTIKINPLICGPLGADFDGNCVHIFFPRSVPARVEAAELFTVEKQLLNSHNAKLNFQIKNDYLLALKTMCDRRYSREKANQIAMFSSGMIPLCNRRTIHDRWTIPQILQTTNALRILPSHPDTVGASVTAIISSTLSEKGPREAIKLINLLQPLLMESLLMDGFSISLKDLDGRSAMQKANQSISLEIDEFSKSTVDFIANSSALGLLIDPKNDSALKKLVEQIGFLGHQLQSTDRLYSSNLVEDCYNFLEKCSGSTKCYDPPKGHYFVTSSFYNGLNPYEELLHSISVREKIERSSSKGLAEPGNLFKNMMAMLRDVTVCYDGTMRTSYSNSIVQFDSTNVSSSVTPGDPIGILAATAFANAAYKAVLDPNQKNQTSWDSMKEVLLTDACSKIGTIDQKAILYLNKCFCGLEFCTEIAAHRVQSCLKRIKLEYCATEVSIKYQQEATQAAQCLVGAIELDGNNYGKYSSNMPKNINKHVTKNRQLMQILKTTKIISSEYCLCGQDIGDERALQVSCLQCFIHASTTTVQSESNVIQMMTNTIFPILLDTIIKGDPRVQEAKLIWVQPELTRWVKNSSAEQKGELALEIAVEKIAAAESGGTWGVVMDACVPVMDLIDTTRSAPCNIQEVQKVFGISCVFDRVVQHLSKAVGMVTKAVLMEHLITVASSMTCTGSLHGFNRSGSKATFQSLKVQVPFTEATLSRPMQCFRKSAEKVDSDQLASVVSTCSWGNHAAIGTGSAFKIHWNNENQSASNEILREYNLYDFLEAVGTVGATEQKTDAPHGLCLYDVDQLPEDEVQEDEVVCFGGTSPISWTDKPKGDSLLHDFMGRAGMCSTVQEHQGLQNKIKWNSVANWKNDKPMGPPRTAFAESTSTRGQNRRQFTGQVYARKQPKHSWSQAATHQNNKLSWCRENVAGAHDFANAESSSLGGWNRKNSGFGREVAVEACGNQRDHTVEAVTAGTREPRITTMLDQEGFTPVEQQIYTQIEPIMKNVKRIIRESRDGMKLSQDDEMFVVNEILMYHPEKEKKMAGQGNYIMTTVRSSNSYLPSLGEKPQKESRQAANSSSSSSNSRLHLRLCCGLGVDLAAFKVALQDGKPRGLEGTVAERGKARAVQHPWPDATGQADEPLLGDDLPHGAEHGAAALGADPGHEARLDDVERGGERSGDCSREGAAEDALPGREALLGAPLERERLEVLEGGVAEHARGEVA >Sspon.04G0011270-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:34752433:34753445:1 gene:Sspon.04G0011270-1A transcript:Sspon.04G0011270-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGIAQPSEGSRAAPMACVVGPASWVGGGIPGQALPGLPAGTAGLSPAIKWAPRPGYCNQRNQNRSMASNYVDTTGEEGRFHGPHSHSHSTSTTPTGSAAAASSPRNMRRSFSSASSGSHGHGGGGKCVCAPPTHAGSFKCRLHRTNSQGHAHPSPPVSPAGGASSAAPAQGVPSSASSRTVEAQ >Sspon.03G0009030-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:31401689:31403778:1 gene:Sspon.03G0009030-2B transcript:Sspon.03G0009030-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LNELEKDARPKWVRTAVNVDDHIIVPEVDPAATSADPDKALEDYVSSLSTRPMDHSRPLWEVHVLDFPTAEAAAAVALRVHHSVGDGVSMLSLFMACTRSAVDPGALPSLPPARRRAGPVYAVRRRPLSLSSAAGALDAMAALAVWLLSFLVLAWHTVVDVACFFATAVSLLGDAPTVLKGKEGTEFEPRRFVNRTLSLDDIKYVKNAMSCTVNDVLLGITSSALSRYYFRRTGEQVLKWNAFGSAMFDGFIRLTEQLTPKPKSSGESDSKSITVRSAVLVNLRATPGIHALASMMESSKDNGARWGNKLGYMLIPFHLAKHDDPIEYVRKATKVARRKKSSMESVFTFWSGDMVLKLFGIKVRWISFPISIRRHRHRRRRCRCRDRRRTERSCRAAAALCYGMFTHTTLSFSNMVGPTEQVLFYGNPIVYIAPGTYGHPHALTVHYQSYMNSVKLVLSVDEAQFPDSHQLLDDFAESLRLIRKAASGKPAGETQDAASS >Sspon.03G0011250-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:38098936:38106520:1 gene:Sspon.03G0011250-2B transcript:Sspon.03G0011250-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNPFNPYYRGAFAGGDSAPPPPPRYPDFEVDLIASRYTGTEPPYPSSAGVGAFDSHLGARRSAEDCRIRPFRGGFLVNELSNEGPEDKKNGSNDIRTGKSLWSRMLKILILSDQGNQIVLRCKFKSKCKFNHPKKMVNALATGTDSESLIADSAIFPVRPSEPICSFYVKTGKCKFGANCKFNHPKPKDTKTPPLIAKETIYTATTDAAVHIGAADDSVPAKKHVPTAPAEAHNAKGLPIRPGEVDCSFYMKTGSCKYGSICRFNHPDRSVVDIAFMAPLVQATLPSPAPIVPAVVLNPAANFLQSFDFHASHVPVEPVPMIYPQRPGEIVCDFYMKTGSCKYSQKCKFHHPIDRLAPHSNENGDPQQPVTLGLPRREALRPVPST >Sspon.07G0036860-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:43603213:43605655:-1 gene:Sspon.07G0036860-1D transcript:Sspon.07G0036860-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SMQCELNFFHSGGGSLCRRHNQTSEFQVGCTDLSNGLPSLDACFYFIVPYFLLEDNDCNLGQLRRTRPNIRSNVIDS >Sspon.07G0000190-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:360302:365971:1 gene:Sspon.07G0000190-3D transcript:Sspon.07G0000190-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAHGKPKPAGPPPPPPPPPPPPEARKGLMRRMLPFLLAANLFVGAYVLVRAYKKDSGKKDSTTDPATASAATAGKPAEPVSVPRKELPPIPEDDQRKLYKWMLEEKRKIKPRNAAEKKKLDEEKALLKDHSTTSHHPSVLASPVTSLRESMVMMLYNADRELISKSEMKTKAIVESGTIDALFTLDTGGKIILQVQFLLNDDDRKRIQEMRNSAMKRKQQELRGDGNELNFPDSPLSKRLIEKISNIQSKGAERSKLRKSLSLDDLQERAVLSGINVDPRMKRASRDLLLQRGVRETLSLEDPSCSKKGNSIPESKSSSSVKKMISTFEGTTPQAIVSETDVSSTPTESGSTQAGKTVIPFDDHKASNYRSGETVSSQHIKTSEPVQTGMPKTTESKRSRRSETGVSPTPTESGSTQAGITVIPFDDHKASNYRSGETISSQHIKTSEHVQTGMPKTTESRRSRSSETGLSPTPTDSGSTPQGKTFIPFDDHKASNYRSGETISSQHIKTSEPVQTGMPKTTESRRSRSYETGVSPTPTDSGSTPQGKTVIPFDDHKASNYRSGETISSQHIKTSEPVQTGMPKTTESRRSRSSETGVSPTPTDSGSTPQGKTVIPFDDHKASNYRSGETISSQYIKTSEPVQTGMPKTTESRRSRSSETGVSPTPTDSGSTQQGKTVIPFDDHKASNYRSGKTVSSQHIKTSAPIQTGMPKTTESKRSRSSETGVSPTPTDSGSTQAGKTVIPFDDDHKASNYRSGKTVSSHHIKTSAPVQIGIPKTTESRRSHRSGSRDGASKQNLRENELIRTKRRPQAKHQRSIGPSYSAEQMHSRDYVERPLNYLVATSSTWIHPHICVTSASKQLKDLLERELLNSFAHIQHTGKSQHVQEDIQEVWK >Sspon.05G0028800-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:5B:72869819:72869989:-1 gene:Sspon.05G0028800-1B transcript:Sspon.05G0028800-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDKWPPPVSDHTERGGEARLLGSAELGRGGADRPTRSQARRKSRPLKRAVGPPGGR >Sspon.01G0009910-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1A:27963061:27963615:1 gene:Sspon.01G0009910-1A transcript:Sspon.01G0009910-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPLLLPTTAAPLLPLLLLRRGGPSRRAREAASSSYDGGVPSPLGAAALHPSGVAALSPPSLSLRRRGRGGQIRWRGGRIQAPPCPRRWIRERARRRWCPSSTPAQRPFPHGGASPPSAPETWRPDPVAWSLDPASPRRRSFSSPRTRSAAVTAPMAVAAACSGCRATLRSRPWIWAFFVFFI >Sspon.04G0031340-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:11439138:11441708:1 gene:Sspon.04G0031340-2D transcript:Sspon.04G0031340-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGGPPRKRNFKIEAFKNRVELDPKYAERTWKVLEHAIHEIYNHNASGLSFEELYRSAYNMVLHKYGEKLYDGLQNTMTWRLKEISKSIEAAQGGLFLEELNAKWMDHNKALQMIRDILMYMDRTYVPTSHRTPVHELGERMGEVINRGLMRSITKMLMDLGPAVYQDDFEKPFLDVSASFYSVESQEFIECCDCGNYLKKAERRLNEEMERVSHYLDAGSEAKITSVVEKEMIANHMHRLVHMENSGLVNMLVDDRYEDLGRMYSLFRRVPDGLSTIRDVMTSYLRETGKQLVTDPESLKDPVEFVQRLLNEKDKHDKIISVAFGNDKTFQNALNSSFEYFINLNNRSPEFISLYVDDKLRKGLKGATEEDVEVILDKVMMLFRYLQEKDVFEKYYKQHLAKRLLSGKTVSDDAERSMIVKLKTECGYQFTSKLEGMFTDMKTSQDTMQDFYAKKSEELGDGPTLDVHILTTGSWPTQPSPPCNLPTEILTVCEKFRAYYLGTHNGRRLTWQTNMGTADIKATFGKGQKHELNVSTYQMCVLMLFNNADGLTYKDIERDTEIPASDLKRCLQSLACVKGKNVLRKEPMSKDISEDDTFYFNDKFTSKLVKVKIGTVVAQKESEPEKQETRQRVEEDRKPQIEAAIVRIMKSRRVLDHNSIVAE >Sspon.04G0014370-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:53624568:53634745:1 gene:Sspon.04G0014370-1A transcript:Sspon.04G0014370-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Meiotic recombination protein DMC1 homolog [Source:Projected from Arabidopsis thaliana (AT3G22880) UniProtKB/Swiss-Prot;Acc:Q39009] MVAVQAAATAEAPIRVEAKVAVAPVAEAEVEVEVEEVKGDAAAVAGETEDYKSDLRKLEELMSKLNPRAQEFVPSSRRTAPVAVPAAAAKPAAGGGGVLNADAPVFVSAAEYYGAAGGRLEIGGGGGGGGRDSSSDGSSNGGGGGGHPLNRRVTEQKLAEVFSNCGQVVDCRICGDPHSVLRFAFIEFADDAGARAALTLGGTMLGYYPVRVLPSKTAILPVNPKFLPRTEDEKEMVSRTVYCTNIDKKVTEDDVKGFFQQACGKVSRLRLLGDYVHSTCIAFVEFAEAESAIMALNFSGMVLGLLPIRQSQRHMAPSKHADEGGQLQLMDADRVEDEEECFESIDKLISQGINAGDVKKLQDAGIYTCNGLMMHTKKNLTGIKGLSEAKVDKICEAAEKLLNQGFMTGTDLLLKRKSVVQITTGSQALDELLGGGIETLCITEAFGEFRRPERIVPIAERFGMDANAVLDNIIYARAYTYEHQYNLLLGLAAKMAEEPFRLLIVDSVIALFRVDFSGRGELAERQQKLAQMLSRLTKIAEEFNVAVYITNQVIADPGGGMFITDPKKPA >Sspon.05G0009480-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:18871362:18875950:1 gene:Sspon.05G0009480-3C transcript:Sspon.05G0009480-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAPATERKRPREGDAVTSTAAAAGDPQYTYLSIADALKVPGVKVCIFAVVSEIGTAVRSRGTDFTLTLRIVDQSRTAGISATFFADDTALLPRVKSTGDVISLHNVMITMHGEFFVTFNKKFSSFALFESKVFAECSPYQSSLKYRGSIDDKELLTQQRTWLAYNPLGLKDLELQLRSLKSDSTFDLVCKVVHVHEDNGKWIFYVWDGTDTPAAEFQAILDAEADQSPPLFEGPPLPREVLCTMPCVGTVLRIYSNRFIKEVLHMQNGIYWARFCNITCKQEFGIWKGILLPTSRVRLLSHEDGSVVDRLKMYDSRNTNKVHRQPMTGFPSNVTGVDEKGGYSTLMESLTHDEVTHKLKTLVRVVGAYPCQPSVVHLLLSTGTYTMRLTLEDPTARIHAFVHKDEMVKFFGGFLTAEAVIKKMNKLLGNPEQEDSEEGAPLTRNPPWIWCCLKSYYKDKNDIWGSRTYQIYATEIRD >Sspon.08G0023870-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:59542910:59549082:-1 gene:Sspon.08G0023870-1B transcript:Sspon.08G0023870-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARAAAVSAAAAVLLIAAAVSASPAAGGGGGDGDGDGQALMAVKAGFGNAANALADWDGGRDHCAWRGVACDAASFAVVGLNLSNLNLGGEISPAIGLLKSLQFVDLKLNKLTGQIPDEIGDCVSLKYLYVPRSSKDLSGNLLYGDIPFSISKLKQLEDLILKNNQLTGPIPSTLSQIPNLKTLDLAQNKLTGDIPRLIYWNENKFSGDNISVILILRGLRGNSLTGTLSPDMCQLTGLCLILLTLVAFHTCSDVRGNNLTGTIPEGIGNCTSFEILYVGSGDSVGCYDAHLPLYLACRDISYNQISGEIPYNIGYLQVATLSLQGNRLIGKIPEVIGLMQALAVLDLSENELVGPIPPILGNLSYTGKLLVSSTILSTIRFSYLHGNKLTGRIPPELGNMSKLSYLQLNDNELVGTIPDELGKLTELFELNLANNNLEGHIPANISSCSALNKFNVYGNRLNGSIPAGFQKLESLTYLNLSSNNFKGQIPSELGHIVNLDTLILNNNNLVGEIPAQLANCFSLITLYKFVIQQLYWTCPIGKKLLKVPNGKEPISKCSFEGNPMLHVYCQDSSCGHSHGTKVNISRTAVACIILGFIILLCIMLLAIYKTNQPQPPVKGSDKPVQGPPKLVVLQMDMATHTYEDIMRLTENLSEKYIIGYGASSTVYKCDLKGSKAIAVKRLYSQYNHSLREFETELETIGSIRHRNLVSLHGFSLSPHGNLLFYDYMENGSLWDLLHGPSKKVKLDWDTRLKIAVGAAQGLAYLHHDCNPRIIHRDVKSSNILLDENFEAHLSDFGIAKCVPAAKSHASTYVLGTIGYIDPEYARTSRLNEKSDVYSFGIVLLELLTGKKAVDNESNLHQLILSKADDNTVMEAVDSEVSVTCTDMNLVRKAFQLALLCTKRHPVDRPTMHEVARVLLSLLPAPPAAKPPATKAAAGDYTRFLATAADMKHGGLSDDIGDNSSSDEQWFVRFGEVISKHTLS >Sspon.07G0024330-1T-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7B:23663767:23664204:1 gene:Sspon.07G0024330-1T transcript:Sspon.07G0024330-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKADGEATTSKLKIGDTSDFSRFWPEPEKSFDLGYTTTCGALPTLENCSRTNVRDVGDVFCGQDAWPSAFHSSNDTTTFVCGMPSSPVFRRLRSCAVCPLLPFCSRCVRVRYALLLPFCRRLLSCAVCLLLPFCRRGGGILVVR >Sspon.02G0034130-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:10568414:10568815:1 gene:Sspon.02G0034130-1B transcript:Sspon.02G0034130-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VLLLQLYSLPGKYTLQNFLSSQPYLHESRHRHAMKRARGSGGRFLNTKQLQEQSQQCQASSGSMCSKIIGNSITSQSGPTCTPSSDTAGASTASQDHSCLPSVGFRPTTNFSQQGGGGSKLVVNGMQQRVSTI >Sspon.07G0011180-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:35879348:35886265:1 gene:Sspon.07G0011180-3D transcript:Sspon.07G0011180-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADALLLPRRFLAPSSAASGASSSASSSPPCCWVLSSPGSPRRARLAAAHPQPRPRRFSRHKTYAAEPESGEQPKWWEKNAGPNMIDIHSTVELLDALRDAGDRLVIVEFYGTWCGSCRALFPRLCRTALENPDILFLKVNFDENKPMCKRLNVKVLPFFHFYRGADGLLVAFSCSLAKIQKLKDAIAMHNTARCSIGPPVGVGDVELLDSASPQEKPAEASPR >Sspon.07G0001040-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:2316008:2321451:-1 gene:Sspon.07G0001040-1P transcript:Sspon.07G0001040-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMHRRHLHLAIDVRGDLPCRLPTFQFSWSRVFPETIDATSADQSPPLSALTRAATARRRRSAVPLLSRSKQGASSSRRRPSPRFVLRIGLESPGAGAVSTPAAAQVNKVLSASMRVNLGLLLPLMDKYAAPTWAILISGFFMLLSVSLSMYLIFEHLSAYNNPEEQKFVLGVILMVPCYAIESYVSLVNPDTSVYCGILRDGYEAFAMYCFGRYITACLGGEDRTIAFLKREGGEDSGEPLLHHVSEKGVIHHHFPINYILKPWRLGVRFYQIIKFGIFQYVIIKTLTASLSLILQPFGVYCDGEFKWGCGYPYFAVVLNFSQYWALYCLVEWYTATKDELAHIKPLAKFLAFKSIVFLTWWQGVIIAIMYSLGLVRSPLAQSLELKTSIQDFIICIEMGIASVVHLYVFPAKPYELLGKQYSPTNISVLGDYAASDPVDPDEVKDISRPTKVRLPQLEPDEIVATNIKESVRDFVIGSGEYVIKDFKFTVNQAVRPVEKRFDKMKKNIKFSRQSQDDNWVSASTPERTIRGIDDPLISGSASDSGIVGKGKRHRRDPSSAAAVDSWEGTEQAPDGFVIRGRRWEIKKS >Sspon.02G0043320-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2B:91065509:91066511:-1 gene:Sspon.02G0043320-1B transcript:Sspon.02G0043320-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LSASAASPPPRPHRRRTRAHQPRPAPAEAARPPPSASDPAIDPRSGYCASTKTFHSLRTPEPPLPSPDLPLSFPAYALSFLPSTLPTPKAPSRPALVDAGTGESVPFRTFLSRLRALAAALRSRVSLAPGDVAFVLAPAGVHVPVLYYALMSVGAVVSPANPSLTAAEVSRLLALSNPSVAFAVAGTRGNLLIDLLGSTTSSTNGETGTKEQTVMYYESGVWGTVNLVTRACGPHSLYIAQRVGGPPTMERLGAPDQGAIQGE >Sspon.08G0028550-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8D:13062145:13063569:-1 gene:Sspon.08G0028550-1D transcript:Sspon.08G0028550-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRLDAPVTQTGHAERTVPTHTTHRPDALHATTGRDSASVRSESRTFRVLVEDLVCEDKGNEDVRRRLKTLEEVLIVSQPLPHGEAFDVLELHMGGRLGHRQRNDRSSTDSVLDCRPNQCPRTEEALLSEPHWSMHEVG >Sspon.05G0002660-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:11989182:11996021:-1 gene:Sspon.05G0002660-1P transcript:Sspon.05G0002660-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Control of lateral leaf growth, panicle numbe [Source: Projected from Oryza sativa (Os04g0615000)] MQPHLQTNTAVDTSQGRNSIAAVRLISDPPLVWSSRCACAFTAEAHRREQQRGRWTEEVAGCSAALQQGCKAGGFCPPGVAINSGASVDRGSTAEAARPPAIAPVKLGSLFSKMRPSDDRVQLSGFAQSDESTLDVEGHCYHQQSFPCSPSMQPIVSGCTHTENSAAYFLWPTSNLQHCAAEGRANYFANLSKGLLPKSGKLPKGQQANSLLDLMTIRAFHSKILRCFSLGTAVGFRIRKGVLTDIPAILCFVARKVHKKWLNPTQCLPAIVEGPGGIWCDVDVVEFSYYGAPAQTPKEQMFTELVDKLCGSDECIGSGSQVASQDTFGTLGAIVKRRTGNKQIGFLTNRHVAVDLDYPNQKMYHPLPPNLGPGVYLGAVERATSFITDDVWYGIYAGTNPETFVRADGAFIPFAHDFDISTVTTTVRGVGDIGDVKFIDLQCPLNSLIGRQVCKIGRSSGHTTGTVMAYALEYNDEKGISFFTDLLVVGENRQTFDLEGDSGSLIILTGQDSEKPRPIGIIWGGTANRGRLKLRCDHGPENWTSGVDLGRLLDRLELDLIITSEGLKDAVQQQRLALVAAANSAVGESSTAAVPVPEEKVEEIFEPLGIKIEQLPRHDVSASGTEGEEAAVINVEERQFISNFVGMSPVRDDQDAPRQIANLNNPSEEELAMSLHLGDREPKRLRTDTESDLDLEK >Sspon.01G0000880-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:2452439:2454184:-1 gene:Sspon.01G0000880-3C transcript:Sspon.01G0000880-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNLQTPLPPTSSPAARRGTGRRRQRRKPSSTREPEAEHGQPDALARILRTEAAVSGVSRKAAAARQQSTNLWPRAVLEALDSAVAACRWDSALEIFELLRKQHWYEPRSQTYARLLMMLGKCRQPGPAAALFKAMLSERLRPTADVYTALVGAYGYSGLLEEALAAVEQMKGAADCKPDGYTFSVLIDCCAKSRRFDLIPAVLDEMSYLGIECNSVIHNAIIDGYGKAAMFEEMESALSAMLESGSNVPDIYTINSVIGAYGNHGRTDEMEKRYSEFQLMGVEPDTKTFNIMIKSYGKAGMYDKMMSIFRYMKKRFFSPTAVTLNTVIECFGRAGNIEKMEYYFRLMKNQGVKPNPITYCSLVNGYSKAGLLDKVPGIIRQTENTNVVLDTPFFNCVISAYAKSGDIKIMEEMLQLMKEKKCKPDKITYATMVQAYIAHGMDEAARLLEMEAERFDKRSLGPVSEVDGK >Sspon.02G0032590-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:113386663:113391918:1 gene:Sspon.02G0032590-2B transcript:Sspon.02G0032590-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPWPRTRWMALAASAIIQCCAGSSYCFGVYSAALKASQRYDQSALDAVAFFKDVGANAGVLSGLLAAWAPGGRRRPWIVLLAGALLCAAGYIPIWLAVAGVAPAPLPLMCLYMLLAAQAQTFFNTADVVSAVDNFPDRRGTGFLGLSGAILVQIYHTLHIDPSSFILMLAVLPTAVTCQLMYFVDVHKPHERHNKKFLDAFSLIAVTVAGYLMILIICGQIFSISSAVQSICFVVLLILVMSPIAVALKARTPHEESISEQRTGLLREEVAEDSENATSSTALGGSDQDLSAGKENLNVLQAMCKLNFWLLFLSMACGMGSGLATVNNISQIGGSLGYTTKETSTLVSLWSIWNFSGRFGAGFISDHFLRQRGVGRPFFIGVTLLIMSAGHAIISSGLPASLYIGSVLIGMCYGCQWALMPSITSEIFGLNHFGTIFNMVAVASPVGSYILSVRIVGYIYDIESPPDEHSCVGRQCFALSFMIMAGVCMFGSAVAFVLFIRTRKFYRRVIYASFRLLLCLCFLLRLGISQRLSGRRLLGLLQSLHETLQACVGVPFLLLGHGHQLRYLPEQLVLLDVQVVLGEHLEGPEAAVGHLDVPVHPASPDERRVQLLLVVGGEDDDALAAARRPQSVDEGHLGGVVLVGIRRQFGLVVTVCLGLLLRLLVLALAGEVDGAVDVLNDDDGLAGCLDEELPQLSVVLDRRELEVVDVVVEEVGHGGDHGGLAGARGAIEQVPSLPRLADLGVVVLSFPERLELVKDLLLLDGIHGERVERGRVLEWDVAPDVLATVGVPAAAGVVGEEAALAVADGDGAAFLEDVGQVPVEDEVAVAPVEDDPVEAALFLAGEPADDLGPGELLAGVPPDGGPGEGVGDLVVVVDGDLKVLAVLAELSPELARAACAGVG >Sspon.06G0008170-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:42843956:42845813:1 gene:Sspon.06G0008170-1A transcript:Sspon.06G0008170-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHVNVVYQLLQASLAQRLLLLVPLLLMLLHFASRSRRGSNEKQKRPKHIPPPSPPALPIIGHLHLVGDLPHVSLRSLAAKHGGLMLLRFGTVPNLVVSSSRAARLIMQTHDHAFASRPASKISNTLLYGSSDIAFSPYGDHWRQLRRLVTTHLFTVKKVNSYRLSRQEEVRLVIKKIQEAAAASKEVDISEMMNTFANDIVCRAVSGKFFRAEGRNKLFRELIEMTTILFGGFRLEDNFPGLANVLGLLNRWFVSNKADEAHKTWDDLLETIVSDHERQRRSEHGHGGGGAVDQEESDFIDVLLSVQQEYGITRDHIKAILLDMFSAGTETSSLVLELAMAELMRHPQLMSKLQAEVRKNTPNGQEMVEQDNLASMPYLRAVVKETLRLHPPAPLLLPHLSMVDSDVDGYRIPSGTRVIINAWAISRDPESWESAEEFVPERFMDAASAAAIDLRGNDFQFVPFGAGRRICPGLNFGLATVEIMLANLVYCFDWGLPVGMKEEDIDMTEVFGLSVHRKEKLILLPERHACT >Sspon.06G0011710-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:64047755:64058907:1 gene:Sspon.06G0011710-1A transcript:Sspon.06G0011710-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Organelle RRM domain-containing protein 2, mitochondrial [Source:Projected from Arabidopsis thaliana (AT5G54580) UniProtKB/Swiss-Prot;Acc:Q9FIU6] MAAAVARSGFRRMFSVSAFAPPKAPAPRPQADPSPNLFVSGLSKRTTTEGLRDAFAKFGEVVHARVVTDRVSGFSKGFGFVRYATTEEATKGIEGMDGKSLGTKIPAAMAAAVARSGFRRMFSVSAFAPPKAPAPRPQADPSPNLFVSGLSKRTTTEGLRDAFAKFGEVVHARVVTDRVSGFSKGFGFVRYATTEEATKGIEGMDGKFLDGWVIFAEYARPRAPPEQAETNSQPQQAWGAPSSSWGAQ >Sspon.03G0039250-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3C:12074337:12075064:1 gene:Sspon.03G0039250-1C transcript:Sspon.03G0039250-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRNTQGPPDSQDAPWAHSSCPRLTPFSTSLPVRVYPGSKCATSFAVERLMVHALEQVLSLLLYLWHLLCLEFLWVGPGLLLLHCY >Sspon.01G0000510-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:1035769:1038010:-1 gene:Sspon.01G0000510-2B transcript:Sspon.01G0000510-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding KTLTRRCSLGLLASRLPPPYLYLLPAELRKSPPVPHSTRRRRRSKMNVEKLKKMAGAVRTGGKGSMRRKKKAVHKTTTTDDKRLQSTLKRIGVNTIPGIEEVNIFKDDVVIQFQNPKVQASIPANTWVVSGVPQTKSEWIIYFSTCACLIIAQSSGDASPEAWGAAEPTSRLDAKSSVLPEDNHPA >Sspon.07G0016510-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:62480488:62488705:1 gene:Sspon.07G0016510-2B transcript:Sspon.07G0016510-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Jasmonate O-methyltransferase [Source:Projected from Arabidopsis thaliana (AT1G19640) UniProtKB/Swiss-Prot;Acc:Q9AR07] MLQDEAVVVARPRPEAAAAAAVDVAVRRMNSSSDGKMSYANNSDFQRVIASVTKKARQELAAALYRARGRPDSMAIADLGCATGPNALLMVSDAVEAVLAESHQPPPQLHVFLNDLPANDFNAVFRLLPSSPLAATGCCLVSAWPGSFYERVFPEASLDYVVSSSSLHFLSKAPTMRMEHPNRGRVYVSDSGPAAVLDAYRSQFHADFLAFLSCRAAEMRPRGLLLLTFVARRTARPTAHDCYLWDLLANALMDMAAAGLVDEDQVHAFNAPYYSPCPDDLAKVIAKEGSFTVRTMQLFDTTRRCLLLQAQADDDELPRWLAMETASTVRAVVEPMLRTQFGWDAMDGVFCRYSLLLEAYYRSNTSRNKDDLTNVFLVLEKKQH >Sspon.01G0055770-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1C:83106811:83107401:1 gene:Sspon.01G0055770-1C transcript:Sspon.01G0055770-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MYTSMLGERNRARETTRGQRSREGRELTLGTWGSRRGRAASRRSRAGRAGPAAASQGRAGDEDEQDRRVEDRDEKGRSRTDGRSSSGSGGWTSSAARRTGGGDENGTRESFLCLLSFPRSEPRARLAAPSAREIFARRAAGPNFRGLERLRAASTPPCAASAPPTSASAPPSAAQRLAPRLPPRPGEGARLAPSRA >Sspon.04G0027040-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:55148584:55149360:-1 gene:Sspon.04G0027040-1B transcript:Sspon.04G0027040-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding CRPTVPPAPRRTRWKLLPLPLPPAATGCATRWCSSASPPPPPSSSSRWPSASAAPLPTGTQPPPWPRTAQDPPLPTAKAGDLAGTSD >Sspon.02G0031230-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2B:107741862:107742100:1 gene:Sspon.02G0031230-2B transcript:Sspon.02G0031230-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MELELGLGVSPALAKGTVMHMQTCTHAGDGEGHELVLELGVRTAKGGELDNLKASMQPEDVQEEDLYQGCPLPTGSAET >Sspon.01G0021400-2P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1A:78758003:78759210:1 gene:Sspon.01G0021400-2P transcript:Sspon.01G0021400-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMGGALFPWLAWLLVSLAGIYLLGHLVHGRRRRGLPPGPHPLPIIGSLHLLGNQPHRSLARLAKVHGPLMSLRLGSVTTVVASSPAAAREILQRHDAVFSNRSVPDAPGAHAKNSSVWLPNAPRWRALRKIMGAELFAPHRLDALQHLRREKVQELVDHVGRLARGGEAVNVGRVAFTTSLNLVSRTIFSRDLTSLDDDGGSREFQEVVTDIMEAVGSPNVSDFFPALAPADLQGWRRRLAALFARLHRIFDEEIDGRLRGREAGESKKNDFLDLLLDAAEDDDNTAGLDRDTLRSLFTTREILTFRKILERRDLLSNP >Sspon.03G0012140-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:33624016:33626993:-1 gene:Sspon.03G0012140-1A transcript:Sspon.03G0012140-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAPAPPLRPLSKPQPILHVAKALVPSPRQAQPQARGRGRAQPVMCASSKRLDDALSAGFVRLLNAGHAQDSDSASGTDGRYDPKPGDFAVGVVISGTEARLDVAVGADRLATLLAKELLPLDRDSADPPTQQALPGPGSIGVVAGPTVGDEKEARKQKRGSRTLVAPGTVVFAEVLGRTLSGRPLLSARRLFRRLAWHRARQILQLDEPIEGLRAFLPKFELVDRISSFMDLKNKVGCSIRVCIARLDEETNDLIISQKKAWEMTYLKEGTLLQGTVRKIFPYGAQVRIVGTNRSDILKIDDEVKVIVIKSNVPDKIALSIADLESAPGLFLSDREKVFSEAEEMAKRYREQLPVLSQNPTLDDGFPGEMLPFDDEAKLYANWQWFKFLHHN >Sspon.04G0030670-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:2120453:2121918:1 gene:Sspon.04G0030670-1C transcript:Sspon.04G0030670-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MACVNSTFQSCSIARGAKISTRASRVSSVGKGSPTFQCRASTFLDATSLRLELDENPEAIISRVWPENVSLLSYDDLRAYLESQETAHVEDDQHVALLGETMSTPVLVATADQTLEEVECHFEAVSGLPVSKTKVSEVMTSPAITLSSDKTVMDAAVLMLKMKIHRLPVVDQDEQVIGIVTRADVLRVLEGLLKV >Sspon.07G0005050-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:11961055:11966036:-1 gene:Sspon.07G0005050-2B transcript:Sspon.07G0005050-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDVERGLRLAVTALVADATTDVSDADATRGFRSIHGVSDGTFTYRHKKRRAVGFLIAVLRDDGVRWWCEDDACCCRGDDEDGCAMGAEGAIGVNYGMIANNLPAPEQVISMYKAKNISYVRLFHPDTTVLNALRGSGIGVVLGTLNEDLPRLASDSSYAASWVATNVQPFAGAVQFRYINAGNEVIPGDTAAQVLPAMQNLESALRSAGVTGVPVTTAVATSVLGTSYPPSQGAFSEAAAPVMAPIVSYLSSRGAPLLVNVYPYFAYSGSGGQAGVQGLELVVSETGWPSGGGGAGATVENAAAYNNNVVRHVGGGTPRRPGKAVETYLFAMFNENGKAEGVEQHFGLFQPDMSEVYH >Sspon.07G0012590-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:50980595:50982297:1 gene:Sspon.07G0012590-2B transcript:Sspon.07G0012590-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPTPSQSPAQTPPAQQASAAGGREDMLACVAALEAALLPCLPARELQAVDRSLQSSHQIDVERHARDFMEAAKKLQSYFISLQREDQPTAEAMLRKEITTMEEELKTKSELIAKHKKLIEGWRKELKEQLGKHITELERV >Sspon.06G0019840-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6B:5194632:5195763:1 gene:Sspon.06G0019840-1B transcript:Sspon.06G0019840-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHARPRPRRVVSADAVFLRAREARSLSRRDIFPPPPLSVVATPRYLPWPPPYSAYKRPPGSPLLRHGCHQARSSELPLPRPAREHGAPAFTATKPNAAAAPCLPSCGAKDDAVEATALAVPPKATALEPWTALPPPSRRSRVRRKHALRQTRPPEPCRQDAADAEAAAPTSRDENRRRRPLPFSSGSRAPPRSLAAGAPRRCRTAELRSISIPLPLPCSLALSCSPFSFPAALLLPQPSCAATLGLLRAAGRHGRTPEPRSVFLSLASVLSRLEANADQMCRMTNRAAKGIQCRAHGHKATSRQPTGDRHLTLWAPCGSCSTHPLSTSVGVVQVNYRRTGS >Sspon.08G0002570-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:7473764:7474763:1 gene:Sspon.08G0002570-1T transcript:Sspon.08G0002570-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRRVSLLLGVLLAYSAAFAVSAANFNQEFDITWGDGRGKILDNGQLLTLTLDRTSGSGFQSRHEYLFGKIDMQLKLVPGNSAGTVTAYYLSSQGSTHDEIDFEFLGNVSGEPYTLHTNVFTQGQGQREQQFRLWFDPTKDFHTYSILWNPKHVIFMVDEMPIRDFRNLESKGVAFPKNQPMRLYSSLWNADDWATQGGRVKTDWSHAPFSASYRGFRADACVAVAGGKTRCGAAAAVGTEGAGAAAGDWYNQELDLTLQQRMRWVQRKYMIYNYCTDPKRYSQGLPAECSMQ >Sspon.08G0021260-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:35513453:35514621:-1 gene:Sspon.08G0021260-1B transcript:Sspon.08G0021260-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKSCVALLLPLVLLLLAGSSLAVAQLEVDYYSKTCPDVEALVREEMEQIISAAPSLAGPLLRLHFHDCFVRGCDASVLLDSTDVNTAEKDARPNKSLRGFGSVERVKAKLEAACPNTVSCADVLTLMARDAVVLARGPAWPVALGRRDGRVSSATEAAGQLPPAYGDIPLLTKIFASKGLDMKDLVVLSGGHTLGTAHCPSYAGRLYNFSSAYSSDPSLDSEYADRLRTRCKSLDDKAMLSEMDPGSYKTFDTSYYRHVAKRRGLFQSDAALLTDATTREYVQRIASGKLDDVFFKDFSESMIKMGNVGVLTGVDGEIRKKCYVAN >Sspon.01G0024550-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:87749853:87753727:1 gene:Sspon.01G0024550-1A transcript:Sspon.01G0024550-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAPSVRTFSPSSSSSAGARPCLLLAGPASRRARALAAPPPPRAVASSRAPLVVTSPPPPEASGTPAPAHAKVDRSGRFCSPRAARELALMISYASCLEGTDVVRLFDRRISARREPGFVFDKACLLSYNHMSFGGGPLEVGTEEEAEKLTSQNEKDSANEEDVLSAPPKLVYNNFVLRLSRELLLAVASGWDKHVDIIDKIIPQAWKDEPVARILESAFYILLWQR >Sspon.07G0000700-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:956920:962343:-1 gene:Sspon.07G0000700-3C transcript:Sspon.07G0000700-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SPKIKTEWKNNVDIKRTANTNGQFHLTETPTTDVKRKRGRSSRRVDYGRTYVVRPKGRHLATIVWLHGLGDNGASWSQLLDSLPLPNIKWICPTAASRPVAAFGGFPCTAWFDVEDTSIDGRDDIEGLDASAAHIANLLSSEPSDVKLGIGGFSMGAAVALHSAACYAHGKFASGIPYPITLNAVISLSGWLPCSRSNFDILSIDHLCHKLDMTLRGKMESSHIAVRRAASLPILLGHGRVDEVVVYRNGERSAEILRNSGFSFLTFNPYNGLGHYTIPEEMDDLCKWLSSSLGLSRSR >Sspon.01G0036440-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:15375330:15377887:1 gene:Sspon.01G0036440-2P transcript:Sspon.01G0036440-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQEDVHLLDDADLALGLSLGSRLSMEARLPSSRPLEPSLTLSMPDDATGSGGGGAAHSVSSLSVAGVKRERVDDAEGERASSTAAAAARAISAGAEDDDDGSTRKKLRLTKEQSALLEDRFKEHSTLNPKQKVALAKQLNLRPRQVEVWFQNRRARTKLKQTEVDCELLKRCCESLTEENRRLQRELQELRALKFAQVHPQAPPSSAAGVPAPPFYMQMQLPAATLSLCPSCERLAGPAAAAKAEPDRPKAATHHFFNPFTHSAAC >Sspon.07G0028180-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7B:64660477:64662656:-1 gene:Sspon.07G0028180-1B transcript:Sspon.07G0028180-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGSVDDAVLDLAMEPEQDARALWVSIEALFQANKESRAVVLEQEFHNLFQGDLSVDAYAQQMKRTADALRESATNMLRVKELRLGTEGKAASASALAASTTSSCTSPSCQSTPSASTNRGAVARARVARARAAVVATAAVAMAAVGANSSKVVAIAAVAATSKAAVLAGVSRPGPGFAITLGLLRGLPSTAAVGCATCTSPQAHTAFAPPQFSTAGGSPAYGPPGGWDSAGLIAALNQMAIQGGSAPWVMDSGATSHMSSNDGILLSHLPSPPSSIMVGNGQSIPIHSRGTSVIQLADRPFHLDNVLVAPQLTRNLLSVRQLTRDNNCSIEFDASGFSVKDLQTKTVLLRCNSNGDLYTVPHRMPPRCHVAVVSPELWHSRLGHPAHAIVNTLNKLSAIQCNKAARHICHACQLGKHARLPFTSSVSSISAPFELVHCDVWTSPVLSIFGYKFYLVLVDDYTHYCWMFPLHHKSEVHEHIVQFVACAHTQFSSPMRCFQADNGTEFINNATAIFLASRGILLRTSCPYTSAQNGKAERMLRTLNNTVCTLLFHAAMPPSYWVEALSTAVFLVNRRPSSSIHNGIPYHLLHRKMPDYSILRVFGCLCYPNLSATTPHKLSPCSAACVFLGYPPSQKGYRCLDLSTRKIIISRHVVFDETHFPFAASKLKASRPQGLVSAIDDNALLP >Sspon.01G0058110-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:21576061:21576925:1 gene:Sspon.01G0058110-1D transcript:Sspon.01G0058110-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATQEEAASLSIQLEPSLVDRPAITEVMASANSWTLEIASPVAAPRLFRAAVLDWHTLAPKLASHVVASAHPVEGDGGVGSIRQFNFTSVMPFTFTKERLDFLDLDKCECKQTLIEGGGIGVGIETATSHIKVEPAAGGGSVAKVETTFKPLPGVEVKDEITKAKESLTAIFKGAEAYLVANPDAYN >Sspon.05G0007800-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:24338091:24340892:1 gene:Sspon.05G0007800-1A transcript:Sspon.05G0007800-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGLGGAVVCSMLVLSALLALAASQSPSPRVAATGGAGREAVVPIACGASSEAVAASNPGRETVVSATRGAGWEAVTVSEAGSNEVSASGDTTGVKSVTSTCNLASSKATLSTCNGAGAKAIPSSNSTPTMSSTLGQLSPSFYAQSCPGVELAVRDVVKSASTLDPSIPGKLLRLVFHDCFVEGCDASVLIQGNGTERTDPANLSLGGFNVIDAAKRLLEVVCPATVSCSDIIVLAARDAVVFTGGPAVPVALGRRDGLVSLASNVRRNIIDTGFSVDAMAASFTAKGLSLDDLVTLSGGHTIGSAHCNTFRERFQVANGSMTPIDGSMNADYANELIQACSAANGAVSAGTAVDCDSGSASVFDNRYFANLLDGRGLLRTDAVLVQNSTTRAKVAEFAQSQDGFFASWASSYARLTGLGVKTGADGEIRRTCSSVNG >Sspon.02G0038980-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2B:47239191:47240873:1 gene:Sspon.02G0038980-1B transcript:Sspon.02G0038980-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding TTKKCKILEQELIAKSNENDALMEELVALKKSKECKGIEQELKALRKSFDELEASPHSTLLELIKEKDLKLEKFMKEAKEEQVIVTCDIGLTCDIIDDSLFVGPTNASCSSSSSTTTNSISTTSDSSLVVENETLKREVDDLTRALGNAYGGDARLLKCLGSQRFSLNKEGLGYTPKKGKAAFATPKPLCEEQCGIDSITFGDNSKGDVKALGRIAISNDHSISNVLLVEKLNFNLLSVAQLCDLGFKCIFGVDDVEIVSVDGSNLIFKGFRYENLYLVDFNASDTQLSTCLLTKSSMGWLWHRRLGHVGMKQLNKLVKHDLVRGLKDVTFEKDKLCSACQAGKQVGNTHPLKSIMSTSKAFELLHMDLFGPTTYTSIGGNKYGFVIVDDFTRYTWVFFLVDKSDVFDIFKKFIKR >Sspon.05G0018490-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:72044443:72050359:1 gene:Sspon.05G0018490-1P transcript:Sspon.05G0018490-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFDFSAASLFNWGTGTSSRWPQGQSQSPGASSISKYDYSQETGAARSLNGKLAAGVNLNNFNPEHGQSLEQHVSSNVRDEGIKETLESLNYKLSAALSTIRAKEDLVKQHAKVTEEAVAGWEQAEAEVTTLKGLLEASCQKNASLQDQVSHLDEALKECVRQLRLAREEQEDKIREIVSKSLVPQSENPELQKHIAELKKRLEVTRLEASSSMLLQHDLQERLQATERENLDLKAKLEATEKENIDLKAKLLVQSKDLKILMLERDLSNRAAETASKQHLESVKKIARVEAECRRLQHLTRKTTLINNSRSTQNNCCMESLTDSQSDHGEHMVGVDNDLQNSDSWASALIAELDQFKNGKDGSKNIVNNPVEIDIMDDFLEMERLAALPESDGTSSNFEMETDSDKAVTRSSSLKVETEELQNKVADLQEKFEAIASEKRELEMALMQVRNQLDISCDALVAAKNRLVEMQMQLESANDSKLSALEDVECLDSERKALELQLESKSVEVEELLMAVASLEENAEQKELESQLELMSAQATELRLTVASLEERVQAERYLSVQQKENAKAMLNAKEELETQLCSANTEMGKLRDIVKALENEVKMEKALREELTAQLQTKVEAAVDAVKESLEAQLCSANTEAGKLRDVVKALEDEVEKEKALRDELAVNIEVKTKAARTAEALKESLEAQLSSANAEIQKLEEITKQLQSELEKEKTLHEEFSAQLEMKIEAQRARSMESAKESLEEQLQLVNSEAAKLRDMVTALEHDVEKEKAFSAELQMQLEALEAVKKVLESEAESAHQDAKILRQKVESLEAKLKEQMSLTDEFTANVETLQSDRMAMEHKLKTADRELIKLTNKVSLLHREIEQERLLSEEYEQKCQKLEAQLSRDSRDAKLWRLANSNGDLKVKKEKELAHAAGKLAECQKTIASLGRQIKSLTDLDSVVLEPERLESSRDMPLPLDFRIDDAEFAMFTDDLYDFDLPNNNTSFSPLPSIQPSSPPSEMSTQ >Sspon.01G0004070-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:11325317:11325565:-1 gene:Sspon.01G0004070-1A transcript:Sspon.01G0004070-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADVALLVTEQFEKNLKRGAGGDNGSGSGGERRNFGAVAKVWSSWVESASAAASGVRVSLAVQLLEPKTGLALAAADGLFSA >Sspon.03G0015680-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3A:49898614:49900764:-1 gene:Sspon.03G0015680-1A transcript:Sspon.03G0015680-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative pentatricopeptide repeat-containing protein At1g56570 [Source:Projected from Arabidopsis thaliana (AT1G56570) UniProtKB/Swiss-Prot;Acc:Q9FXA9] MPNTLKPMSQKHATTLIKSLCARGAVRHARALFDEMPDRDVVAWTAMLSGYASSGRHREALDLFRTMAAAGVIPNEFTLSSVLTACRAAGGGSAASIHALALRRGVDHTPYVVNALINAYGSRRGGDGLVDARWLFDALGEGRTAASWTSMIAGYARWGQENTGLQLFQNMIQDDVELSPFTCSIAIHACSLVSNLYFGQQIHVLSIRKALGVNLAVANSLVDLYSTCASILDARRLFDEMPERNLVTWNTIIAGYSRRDPLMAMQLLVNMDIEPNCLTLTSITSACAGLSALRCGQQVHGAVLRRNYGDDLRVSNALVDMYSKCGNILNAKKVFNMMACKDILSWTSMIDGYGMNGYVNEAIKLFNSMVHAGVHPDHVVFLGLISACSHAGLVDEGWNLFRSMLFEYNIQPNKEIYGCVINLLARAGRLREAFILIDTMPLTPDESIWGALLGACKMHRNLELGRLAARKIIEINPDAVKTYILFANICAADSKWSEYAVTRMLLRGTGSSKEVGMSWIELTDKMYSFSTADSSSPQVSLADE >Sspon.06G0016930-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:76371675:76378710:-1 gene:Sspon.06G0016930-2B transcript:Sspon.06G0016930-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAPVVGAVLSAVTKSVVEVVVKLVDKRCELWKDFPSDIDFIKREMLMITGAEEDQLAGKGDPSAVKTLSMAHMRDLAYDIEDCLDRILRYAESEGQISLLHRLKAVSLNAGRPPFASEVKQLKERLKAAHQRNVDYSVNDSERAMDSSSTSTYPVDTPMARLKPVGIDKPKQELLELLHGIEGHPEHLKVISIVGFGGSGKSTLAMALYDCPDVIRQFPCRAWVVASQHRGNTKGFLTALLEKLRPPGDPSRSNVHQLQDDITNYLNTKRYLIVFDDIEEEQWDCIKSTLPEKTRSRIIVTTRIQALAEVCCNHGNNGYVYNMRSLDEKHSKELLQAVLKRDLPGLEQSSTAIVNKCDGHPLALVSVANYLLREKQFTETDCNNFWRHLSSHMAQEYAFKNLQQVLMSNYRSLPGRPVDLRTCLLYVCVFPNGHPIRRSNLLRRWLAEGYVRDPDPCEALLVADKSLEELMDRNIIRPVDPSKKAKVKTCRAHGIMHEFMLQMSMSAKFITSLRDAQRRNYRHLFLDERSRVSNMHKGGRRARDEKLRAHSLTIFGSAGDAFVDFADCEFLRVLDLEECKDLNDGQMDGVPKLWHLKYLSLGATISRLPSKIERLHSLETLDMRKARIDTLPLEILKLPHLAHLLGRFKLGKRDWKMSELGKFLPKESNLQTLAGFLTDGNPGFPMLMVRMKKLRKVTIWCNDDDNDSKSLAELSHGVKKFVEDELDTSIGARSLSLHLRNSSENILHSLENSFGYLSSLKLHGALRGLTRFAASLCGLTELCLSSTNDLMSNDISNLRKLIHLEYLKLVKVTLGGFIIRRRDFPRLLRLSLVQSPTLPTIEEGALRNLISLQLLNEDLGDLSGIEIRRHEHLQEVALDSEINEEAKRHWENAAKNHPKRPRVLFLERVDPGETGSMVKYVAPKRPAPETGYSAMQEEGLVPDAVQQISVEEHCSALKDASVAVPAMASSDLPSAMNNDSSSDVPQEDDDRIWRIGSLVESVG >Sspon.07G0025910-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:49125863:49127443:-1 gene:Sspon.07G0025910-1B transcript:Sspon.07G0025910-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPLSVLTLLVKKGPCEGRTLQRRAGAVALRVGRVAKGNDLSVRDAGASQRHLSVEFPPPPAARWAVTDLGSSNGTLLNGTPLVPTVPAPLSDGDLIKIGESTVIAVCISIDADPGPGPATTRRSARSAAAVAAAAEEEEQRPAVSRRDGGRKAGAAEAPEAGNGVEEEAARPTRRGGRKKAVEPAGVEMEKEEEEAAIPRRGRSRKAAAAVVLPPQPQNPRSARAAARRGEAVGSQNDEGKVVRTGRGRGRITRASARNGTGGTPEEEEGEVAVARDREGSAAEGRGDEEEDMVETTNRTSNASEVVLAPGRGRAKRSRRGRGRATRASTRKAEDAIVENDENEQEERDMADGRERGGSPLRVLVVNDGSGEDKVATEDMLDGTSKASMEDEKMVDVEEDVTLTEWAIEGWVDAQHAPADNGDVEAEEMKNFSKGGETEVDQELREKVLPESKLDGVGEVEENDKREAIGASGEKGHVGERTGRHRLENMTLGKWFVQIEKYLLAKNKEAAEKAIAEVQEKHRRF >Sspon.07G0031970-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7C:39878174:39883232:1 gene:Sspon.07G0031970-1C transcript:Sspon.07G0031970-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRRSPPPSPSYFHSFPSLPTPSWARIAAAGGLPAAAGGTAATPPAAAGGLHAATGGLTVLPAQPAYPGAAALPHLHHAVGLALESRPSPVHSAPPSAAALTAAPAVEGAAGGSGSSSLTAPAVGGHGGAPAAALPAGGVEDGGVDVLSERPADAVHLPGAGMDTGNHLPAGAQTFPAPPLLSQIYAGSQPYAGRDTSSLAAALVAARAAAAEGQARVRAAALVWEHEREAADALARQIAEAERLLSPTSPAGANSSASPASLPGRRVQNIRLLVPVVLEPESPSYARWRDLVVLTLRRYALDDHVLLDASAAVQTPSWLRLDSIVLSWILGTISLDLHDLVRTSPDARRAWLALEGQFLGNAEARALRLDASFRTFVQGDLSVGEFCRRMKGMADSLGDLGWPVEDRILVLNVLRGLSDRYAHLRTWITRQRPFPTFLEVRDDLVMEELTQGLQPVSTTPAGSSSSSTALAATSPRPPTPPRTTAPPPSSLLGPPPSGPSGGGGVAAVADAAVGVAGHPWAGRISMWPYHTTGAESRPPVALLTGAPPAGTPPGAFPAAAWTPPGVAGWDQTALARSFSTMGLTPPVGLEWIADSGATYHTTPDPGILSSLHSPSSSLPSSIMVANGSCLPVTSVGTAGAHGSFRLPDVLVAPSMVHNLLSIRRFTADNSCSVEFDSSGLTVKDLASRRPLLRCDSTGPLYTLRFPAAHSSSSPSLLSAAFAASPSSTTWHRRLGHPGRDALIQLSRSSGLPCTRAHDEQLCHACQLGRHVRLPFSSSSSHAARIFDLVHCDLWTSPVISISGYKYYLVVVDDFSHYSWTFPLRAKSDTFTTLLHFFAWVSTQFGLTIKAVQCDNSREFDNSTSRAFFLSHGVQLRMSCPYTSSQNGKAERMIRTTNNTVRTLLFQASLPARFWAEGLHTSTYLLNRLPSAACPAPTPHHALFGTPPRYDHLRVFGCACYPNTAATSSHKLDPRSTLCVFLGYSPDHKGYRCFDLASRRVLISRHVVFDESVFPFSGTSTPPVPDPPTLFPTDPVVQPPFLWSPAGTASPRSAPATGPGSPASPGPSSSGAAPSPSVGADPGPSSPASAPGGSCRLPTPDPVSAPAPAPPSRFAAPVRVYQRRPWPPPLVVPSPPGTPTPPPLSPPARGTPPVYHPPLLHRHPRHVHPMVTRHAAGTLPPRVLEASTDDAVVSPEYAALLANQTWELVPRPPGANVVTGKWIWTHKRRADGSLERYKARWVLRGFTQRPSVDYDETFSPVVKPATVRTVLSLALARSWPVHQLDVKNAFLHGLLTETVYCSQPAGFVDTTRPDMVCRLNRSLYGLKQAPRAWNHRFATFLLTLGFVEAKSDTSLFVYHHGADTAYLLLYVDDIVLTASSEPLLRRIIASLQQEFAMKDLGELHHFLGVTVEHRPAGLLLHQRQYTRDILERAGMSDCKPCSTPVDTQGKLSEVEGHPVADPTAYRSLAGALQYLTFTRPDITYAVQQVCLHMHDPREPHLTALKRLLRYLRGTLDYGLLLHRASSTDLVVYTDADWAGCPDTRRSTSGRPPLTGPGARILAVHLRYAVFLGGNLVSWSSKRQPVVSRSSAEAEYRAVANGVAEAAWLRQLLAELHTPPSGAH >Sspon.04G0008760-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:26344546:26347467:-1 gene:Sspon.04G0008760-3D transcript:Sspon.04G0008760-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding HTMAKYNVVQKNKRQWKQDRKRAAHGEPGTGKLKQRTAPVSMSGKRKRKLERRLVQEQKEAAMIKALENNMGDVDMVSVTESSEAAKGKSQVKFSVKKNSRIQIKRLKAAGRKKAKNAKQPAKEKVDAMV >Sspon.02G0032380-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:86899080:86901646:1 gene:Sspon.02G0032380-4D transcript:Sspon.02G0032380-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIGMPQGAAATAVDGNGKDGGGGTNPSTARPAAALPLKLLRPLLLVAVLGTGFLAVLVLLLGGPAYSSMLPRLPAAPDALLPASAGKAPLERWARAPASAWHNMTDEELLWAASWRPSVRRYPYRRTPKVAFMFLTRGPLPLAPLWDRFFAGAGDAGLFSVYVHATPGYRPDFPPASPFYRRLVPSQVAEWGKVSMLDAERRLLANALLDPANERFVLLSESCVPLYGFPAVYSYLTRSRASFVGAFDDPGPHGRGRYRDGLFPEVRREQFRKGAQWFELDRALAVDVVADDRYYPKFREHCRAPCYVDEHYLPTALSIEAPALIANRSVTWVDWSRGGAHPATFGEKDVDEEFLKRLTAAPGKRQGNCTYNGQPAEVCFLFARKFAPSTLRPLLRLAPKLLGYGSESESSPK >Sspon.07G0016680-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:59483896:59485944:-1 gene:Sspon.07G0016680-1A transcript:Sspon.07G0016680-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEHIEATGKAPPGNVAGNNTWVGAPQRPPDLRLTPGRHVQLTVPLEQLIDRLVKENKVVAFIKGSRSAPQCGFSQRVVGILEAHGADFVTVDVLDEEHNHGLRETLKTYSNWPTFPQIFIGGELVGGCDIISSMAEKGELTALFQK >Sspon.01G0015500-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:117147353:117150563:1 gene:Sspon.01G0015500-2B transcript:Sspon.01G0015500-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LPPEQSSSVPFGRYGGRECQCHGVPLRGGGSCCCSARQEAMRSLSSLGPLAAAAKGALLSLKPCASLAPHAPMWRAESDAAGGGAGAGDVMGLLLRERIVFLGNEIEDFLADAVVSQLLLLDAIDPDSDIRLFVNSPGGSLSATMAIYDVMQLVRADVSTIGMGIAGSTASIILGGGTKGKRFAMPNTRIMIHQPVGGASGQALDVEVQAKEILTNKRNVIRIVSGFTGRTPEQVEKDIDRDRYMGPLEAVDYGLIDGVIDGDSIIPLEPVPERVKPKYNYEELYKDPQKFLTPDVPDDEIY >Sspon.02G0057340-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2D:57118763:57119294:1 gene:Sspon.02G0057340-1D transcript:Sspon.02G0057340-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MYAEQQKHKIPSPTTPQTNLLFIRTCYSPASCVEEYACGKDFLSRSRGHCVEGEASRAASAALRDGLGAGAEGRGWPERGGPPPVLLFLVGVGRRCRGHQ >Sspon.05G0032040-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:23010839:23013244:-1 gene:Sspon.05G0032040-2D transcript:Sspon.05G0032040-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At3g53360, mitochondrial [Source:Projected from Arabidopsis thaliana (AT3G53360) UniProtKB/Swiss-Prot;Acc:Q9LFI1] MQNPSGTILQLYHAGRLSAALRAFESLPSSPASASAPDFLSPAAYAALVAACSRLRSLPQGRLVHHHLLASSARDPYLAHNTILSNHLITMYGRCAAPDSARVVFDGMLDRNPVSWAAVIAAHAQNSRCADAMGLFSSMLRSGTMPDQFALGSVVRACAELGDLGLGRQVHAQAIKSENGSDLIVQNALVTMYSKCGSVGDGFTLFERIRDKDLISWGSIIAGLAQQGCEMDALQIFREMIAEGMHHPNEFHFGSVFRACSVVNCLEYGKQIHGLSVKYMLDRDSYAGCSLSDMYARCNKLDSARKVFYRIESPDLVSWNSLINAFSAEGLHSEAMVLFSEMRDSGLRPDGITVMALLCACVGCDALHQGRSIHSYLVKLGLGGDVMVCNSLLSMYARCLDFPSAMDVFHETHDRDVVTWNSILTACVQHQHLEDVFKLFSLLHRSMPSLDRISLNNVLSASAELGYFEMVKQVHAYAFKVGLVGDAMLSNGLIDTYAKCGSLDDANKLFEIMGTSRDVFSWSSLIVGYAQFGYAKEALDLFARMRNLGVKPNHVTFVGVLTACSRVGLVDEGCYYYSIMEPEYGIVPTREHCSCVLDLLARAGRLSEAAKFVDQMPFEPDIIMWKTLLAASRTHNDVEMGKRAAEGVLNIDPSHSAAYVLLCNIYASSGNWNEFARLKKDMRTSGVQKSPGKSWIKLKGELKVFIVEDRSHPESDEMYTMLELIGLEMVKAGYIPELSCHSCKYVSSDHTYYDLLSEEMVESGNQGSLELHHQISI >Sspon.07G0009630-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7A:27457008:27457454:-1 gene:Sspon.07G0009630-1A transcript:Sspon.07G0009630-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GTAIAKPTAYEVLGDYDFPPGILPKGVVSYTLDNATGAFTATLDGSSSCEFSIQGSYTLRYKTEISGTIATDHLTDLEGVSVKVLLFWLNIVEVTRSGDNLEFSIGIVSADFGVDNFLESPTCGCGFDCDDLLMLQKQPGAATAKLRLR >Sspon.07G0025710-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:46663599:46667083:1 gene:Sspon.07G0025710-1B transcript:Sspon.07G0025710-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQRPPLQLSSPPVTFQIRSHSCKAIQLIYQRCPTFLSDRASSEAEGLKSRSQHGKGKASKKIVPTEYIIDGSALISFI >Sspon.04G0014080-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4A:51908179:51911281:1 gene:Sspon.04G0014080-1A transcript:Sspon.04G0014080-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKARARVFDPRGPFLKKWNKIFVISCLVSVSVDSLFFYAPAIDGDNSCLYLDDNLQKIASILRSLTDAFYLLRVIYQFRTGFAAPSSSGAFGRGVLVDDMLAIAKRYLSTYFLIDILSILPLPQTYLQSASGHIEEMRVIRRDTEQWMAYRLLPEHIKQRILRHDQYRWQETQGMDEEGLLINLPKDLRRDIKRHLCLSLLMRVPMFENMDDQLLDAMCDRVKPMLYTEGSCIIREGDPVNEMFFIMRGRLESMTTDGGRTGFFNSNVLQGGDFCGEELLTWALDPASGSNLPSSTRTVKTLSELEGFALRAHHLKFVANQYRRLHSKQLRHTFRFYSQQWRTWAACFIQAAWHRYCRRKMEDSLHEKERMFQAAIVTDASSSCSLGAALYAAHFASNM >Sspon.03G0005220-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:47367004:47369719:-1 gene:Sspon.03G0005220-3C transcript:Sspon.03G0005220-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKMKELDGASPAKIFIGGLSKDTSMSTFKGHFGKYGDIIDAVIMKDRYTQKPRGFGFITFADPAVVDRVIEDEHVINGKLVEIKRTIPKGAASLKDFKTKKIFVGGLPSALKEDEFKEFFSKFGKVVEHEIIRDHTTNRPRGFGFIVFDAEKAVDDLLAKKGNMIDLNGSQVEIKKAEPKKPSNQPPRSLDSEPRGRPYADSYDGFGSSYNYGGSFGPYRSPGSFGARPGGYNSAYGPGDYGSGYATYGGALVGYRGEPSLYSSRYGSTYGGSFGGGYGGGIYAGGLAGAYGRDAGGYGGSSYGPSYDSSGANTGAGFGTGGLYGARTGYGSTGGSGAAGRYHPYGR >Sspon.01G0015690-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:46853251:46854065:-1 gene:Sspon.01G0015690-3C transcript:Sspon.01G0015690-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRGSYAEADEGFLPTAAAISTGGNDSLFSFTGGGKSEQLMSFSTSREPKQKESNGGGTTAAGTPLTTTMEGSSKGGRPRASSGVHEHVVAERKRREKMNHQFAALASIIPDITKTDKVSLLGSTIDYVHHLRGRLKALQEERQSSTGSTAESLPMDARCCVGSLDDDLDGGVTAMSPKIEADVRGTTVLLRVVCREKKGVLIMLLKELEKHGLSTINTNVLLLDGSSLNITITAQ >Sspon.05G0018690-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:82161383:82162396:1 gene:Sspon.05G0018690-2B transcript:Sspon.05G0018690-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEEKHHHLFHHHKKDEEQPTGEYGYSETVATEVVTTGEDEYERYKKEEKEHKHKQHLGEAGAIAAGAFALYEKHEAKKDPEHAHRHKITEEVAAATAVGAGGYAFHEHHEKKKDHKDAEEASGEKKHHHLFG >Sspon.02G0004140-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:11963362:11968054:1 gene:Sspon.02G0004140-2B transcript:Sspon.02G0004140-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCVASKNAVSVTPAADSSGGLRDRSQPRAQESAAPVPLPVPVSSQRIASSAARRSEKVKDEAEEPGKAVVAVPAVARSFRLRSLRKSLEGEQVAAGWPPWLSAVAGEAIQGWIPLKADSFEKLEKVGQGTYSSVFRARDLETGKIVALKKVRFDNFEPESVRFMAREIQILRRLDHPNVMKLEGLITSRLSCSLYLVFEYMEHDLAGLCSSPDIKFTEAQLKCYMNQLLSGLEHCHSRRVVHRDIKGANLLVNNEGVLKIADFGLANFFDPSKNHPLTSRVVTLWYRPPELLLGSTHYDAAVDLWSVGCVFAEMYRGKPILQGRTEVEQLHKIFKLCGSPADDYWKKSKLPHATIFKPHHPYPSTLGDVFKGLPENALSLLETLLSVEPYKRGTASGALSSEFFRTKPYACEPSSLPKYAPNKEMDAKLREDALRRKASSRGHRTEASKKSSRLSRAAREPIAVPKHITSSTEETKTNVNATKDGTIQDRTKQNGDARLFADIQPVSAAQVKESARHVKNESREEIPFSGLLSVSSSSGFAWAKRPQEDRSFARSRTRSSSRGQFPALADQDCKTQAKENVGLRELPSRDIHVSISRVNSKVRDQEPHDAAKRAVLKKWSQLEHPDSFDSCDTYHSQNFSNAMFLGGTLSSKNSFKGDHDQEEKVEYSGPLLSQSHKVDELLQKNERHIRQVVRTSWFRRGRKVDK >Sspon.08G0018380-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:7552225:7553374:-1 gene:Sspon.08G0018380-2C transcript:Sspon.08G0018380-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MWSVQPKIEADFLDPTLLLDETHYQEGYKNGYNDGLASGKEEGRQVGLKTGFQVGEELGFIQGCLDVWTSAILIDQNAFSARVRKNIEQLAALVSSYPLSDPENEQIQDVMEKIRLKFRVITASLGVKLEYEGHPTSSKQDVEDL >Sspon.01G0037350-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:21648854:21651888:-1 gene:Sspon.01G0037350-2C transcript:Sspon.01G0037350-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGIGRPRATTASLAPADLVLKAACERCGAASELYLTSCRHTTLCSDCGKALALARGRCTVCNAPVTKLIREFDVRVDTSAEKEKTHSIGRFTTGLPPFSKERSAENRWTQRKDVPQGRQLTGNMRDKHYSKRPWILEDETGEHQYQGQTEDPPATYYSLTLKGNDMVAVQVGSWYNFSKIAQYKQLTLEEAEEKMNRRRSSASGYERWMMKAAANGAAAFSSGVKRLDDVNIGATNGIHPKKGDRNENGNQSDKVDVEEGGAARKNRLGLTMKGMDEDDGEGGKDIDFDLDDEIEKGDDWEHEETFTDDDEAVDVDIEERPDLADPEAAPPEIKQDDNENELGGSDNLSKSGQELKKLLRRAAGENESDVDDKSTDEDDLPSPELAPKQLVPKSEPVDSNPAKPTPSAHAQSATPSSKSTQKRKSGVVMQILLMETRTLVVKDEKLSSLEPISKPSLSERRESSPITEEEVRAVLRAIEPTTCQDLVLRFKARLITQEDKKTFSDIVRKISHKNCHGK >Sspon.07G0036150-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:23517979:23520371:-1 gene:Sspon.07G0036150-1D transcript:Sspon.07G0036150-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLAICSSRNVGSGEEGHRREEAAAAVTSARLGIGSGGGRSTAPPLQASDKAYYLELSQKFGSEKANALSAYAVMKSGGGTGPGAQIDAGRGDLGGQGAPPSPPATSTLRKEATKRQKPEEHYPRKEIKDRKVEGLGEIAQTEFVAAIMN >Sspon.07G0024300-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:21603451:21612949:1 gene:Sspon.07G0024300-2D transcript:Sspon.07G0024300-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNGLSPCLCPGRAAHGEAARVVVWGGSARMADERRSITAGDVTAELPDQHLVCAGDSFFIGLPVPALSPCERLVAGRTYFVLPAARFSCSQALTAATLAKLSPSSSAAKVPLAGGAASPFEYVTGSDGAPLIRILPEFIEKVITSCGGGGKCGAAEQLCSTPELRKHYMQLVGARAERPWSPGLETISEAEKRRRMPSPVRLKLSDQTDQVIRKAYFHGMTTPLNHKIAG >Sspon.01G0043090-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:71360078:71362637:1 gene:Sspon.01G0043090-1B transcript:Sspon.01G0043090-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCIGGVTVSPYGTLLATSPQSDSTGERSKSDTTHASHETAELVVHRPNMPTSLSLKRVRVEFVQSSEMPRFKMFQDIQLVDIGYSWSITGCKLVAVVSGSETERFNMLLQQGCDYTIRDGRFQVKLHIEFRNIQSNYECRLNRLTIVEPWNIPIQFPVYSNHLTSIHEVMHCPSKTYIVFIQTLQKLWCPRVKLRDHVQGCVERLSSWIRVVVMSCKVLAAHAIKLQNAALDNTVVLASMLRVNNKHRCLETSDYMTLAFNPPHRAANKLQGEYIKYEIYTYIRQ >Sspon.06G0011360-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:44747770:44750619:1 gene:Sspon.06G0011360-4D transcript:Sspon.06G0011360-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHHRPSSAAAAAGSSLPLSPTDGFLRGMKDGMDGMIKYVANEPSVGLYFVQQHARASMPLLLDVKGELAEKTHEVTLHTEDMEDSICAVRSMADFGLPLADDMIKDINRSLQIMSKTQPKRGLIQNPSWGFQSRKSSGTWDELGTTNGSSSRNYFSSMFNTAKQKASSLRWPQPDFTTKDDGSENSASSAAPDSSQAGGQGASTPDTEKDEIPISSRLSDGTTAMNCSLPATGVSETVEDYNKFKEEQELKLQEWLRDSEEAVGNKD >Sspon.04G0030850-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4C:2742677:2746854:1 gene:Sspon.04G0030850-1C transcript:Sspon.04G0030850-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSRKLQAQYALVAPWAVRSTYRFVTSGSGERDLLAFAVLPVLLLRLLYSQLWITVSRHQTARSRHRIVDKSLDFDQVDRERNWDDQILLTALLFYVVNAAVPAAQSVPWWNSKGLVMAALLHAGPVEFLYYWLHRALHHHFLYARYHSHHHASIVTEPITSVIHPFAEEVVYFTLFAIPLLTMVGTGTASVAVANGYLIYIDFMNYLGHCNFELVPKLLFDVFPPLKYLVYTPSFHSLHHTQFRTNYSLFMPLYDHLYGTADKSSDDLYERALQCREEAPDVVHLTHLTTPASLLRLRLGFASLAAAPAPLASRYYTSLLAAPARPLAALVAAFLGRTTAFRSEANRLHKLNLETWVVPTYTSQYQSKQGLYAVGRLVEKAVADAEASGARVLTLGLLNQANELNKNGELYVIRKPDLRTKIVDGTSLAAAAVLHMIPRGTADVLLLGDAGGKMAAVLASALCQREIQVQMVDKDLYESLKQELRPETHGHLVLLSGWGHCSSKVWLVGDKLTGEEQRRAQAGVHFVPYSQFPPDAVRGDCAYHSTPALLVPDAFENLHACENWLPRRVMSAWRAAGIVHALQGWDHHECGARVTGVDKAWRAALAHGFRPYDRHGAGAK >Sspon.04G0016330-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:60170608:60171872:1 gene:Sspon.04G0016330-1A transcript:Sspon.04G0016330-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AMAAMHENLLLSAIATAPYYNTAAVAAQEAAKQAGHAVAPKFGSWDAENIGYTVFFEKVRDNKAPPAAAPAPAAPKPSATGGNGGYDFDPYEHYESLSRKVPSRPPSSHGGQPAAGYDFDPYEHYDSLSSRNVPSRPPSSHGHGHGHAPAAGYDFDPYEHYENLSARNVHSRPPSSHGHAHAHAPAPQPQPHHRQHPHPGHGYHRRTGSNSSNAASEASSRGSKFSPPRPYQPRYTSSNSNSGTSFPPQPKGGGGHGHGAQYHHQGAPRAASTSPSPPRHHHHPPPPPPRRPKPSAVPRFGVWDEQNAAMAAQGFTVQFEKVKRHREEASRTAPAPPPVQPPKLLSPDHAAAAPRARRHGKRKAERSFVSRMYRCLFPRVRE >Sspon.06G0031650-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6C:70616959:70618547:1 gene:Sspon.06G0031650-1C transcript:Sspon.06G0031650-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIPKYSKKDVALTADSVTAMVEIEATSSTAVREGLDLVAVVDVSGSMRGHKIESVKKALQFVIMKLTPVDRLSIVTFESSAKRLTPLRSMTQAAQSDLKTIVGRLVADGGTDIKAGLDMGLAVLGGRVLTVSRTANIFLMSDGKPEGKSSGDPRQVDPGEVSVYTFGFGQGTDHKLLTDIAKKSPGGTYSTVPDGTNLSAPFAQLLGGLLTVVAQDVQLTLTPKTADGDLDTMEVAAGTDYTQTTDANGVITIKFGTLFSGETRKVAVNFTLKESSDTEAYNATLAVARHSYAAEETRQPAQNIQRLRTPDPSPPGIAGSEEERSVQAEEVRRQHADMISKASELADGGKLDRARDKIMDAQNALEDIMLDDGDRMVKALRAELLRLLEYMESQKLYNKLGHPYALATIISHGRQRAAGRGDEEVISLYVTPRMIAYLEQAKKFEENPQLPVPTADKDVEQELAANPLAAISAPLAFYLENAIQALQAIQKIISATTA >Sspon.02G0037010-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:28795502:28798295:1 gene:Sspon.02G0037010-1B transcript:Sspon.02G0037010-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFLAPFAGCKICCEAEELQPSVQEIAERESCRFVALLLLPRTCSVWNDGGEPVSGARSDGGKGAVRAAEDPGDAAVLRGHAEPAHGVHDGRHRHLHPGELRAARDGDVPARGCFKLGWRGRQWWRAGAPGGAGEPVAKKKRGRPRKYGPDGSISLALVPASMAAAPAPAPALPAPGASGPFSPNDPKAPNTALSASPDGAKKRGRPKGSTNKKHVPALGPAGAGFTPHLIFVKAGEDVSAKDHVVLSARDTRGLHPFSKWRHISNVTLRQSATSVWNGDIR >Sspon.01G0040480-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:41108657:41112177:1 gene:Sspon.01G0040480-1B transcript:Sspon.01G0040480-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGVEPETPPGSSSRSGGSTPVGGKPPRHHLTSIRHCASSARIAAASAEFGLDSGTLSLISPTADIRPGFLPVFRSGSFADIGPKSYMEDEHVCVDNLVEHLGLRGPGIPAPGAFYGVFDGHGGSDAACFVRKNILKFITEDCHFPNSIEKAIRSAFVKADHAIADSQTLLVANAGDCRAVLGKRGRAVELSRDHKPSCTVERLRIENLGGTVFDGYLNGQLAVARAIGDWHMKGSKGSACPLTPEPEFREVRLTEEDEFLIIGCDGLWDVMSSQFAVSMVRKELMEHNDPQRCSRELVQEALRRDCCDNLTVVVVCFSADPPPQIEVPRFRVRRSISMEGLHTLKGALDSNV >Sspon.07G0015470-4D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7D:52945003:52945254:-1 gene:Sspon.07G0015470-4D transcript:Sspon.07G0015470-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VLELAGNAARDNKKNRIIPRHVLLAIRNDEELGKLLAGVTIAHGGVLPNIHSVLLPKKAAERAEKAATATKSPKKAAAKSAKK >Sspon.08G0029600-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8D:44126274:44132807:-1 gene:Sspon.08G0029600-1D transcript:Sspon.08G0029600-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSKEDVLVLFLLNGQKIQLIILPVEALVEQFLKLPTCFFILTNSRSMTADKAMLLVQTICRNLEAAAKKVPGVSYTVVLRGDSTLRGHFPEEADAAVSVLGEMDAWIICPFFLQGGRYTINDVHYVADSDRLIPAGETEFAKDAAFGYKSSNLRQWVEEKTRGRVSEDQVSTISITLLRKQGPTAVCEHLCSLEKGSVCIVNAASDRDMAVFASGMIQAELKGKRFLCRTAASFVSARIGIKPKPPICPNDLGLKRALTGGLIIVGSYVPKTTKQVDELRSQCGQSLRVIEGGITSSDIATKALEAKRAKVMGQALAGVPLWQLGPESRFPGVPYIVFPGNVGNNSALAEVVKSWASPSKSSTKELLLNAEKGGYAVGAFNVYNLEGIEAVVAAAEAEKSPAILQIHPSALKQGGAPLVACCIAAAEQSSVPISVHYDHGISKSDLLQALEAGFDSVMVDGSHLTLRENILYTKSISSLAHAKGLLVEAELGRLSGSEDGLTVEEYEARFTDVAQAEGFIDETSIDALAVCIGNVHGKYPPSGPNLRFDLLKDLRALTLKKGVSLVLHGASGLPHELVKECIDLGVRKFNVNTEVRNSYLESLRKPEKDLIQVMASAKEAMKAVVAEKLRLFGSSGKA >Sspon.02G0054430-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:98537264:98537497:-1 gene:Sspon.02G0054430-2D transcript:Sspon.02G0054430-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMYMEDKSKMLFKKGSRRSTAAAEGSPAAGLKVGRASRAPAAGRSVPGRLAGLVKEQRARFYIMRRCVTMLVCWRD >Sspon.05G0024420-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:14952060:14953288:-1 gene:Sspon.05G0024420-1B transcript:Sspon.05G0024420-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial intermembrane space import and assembly protein 40 homolog [Source:Projected from Arabidopsis thaliana (AT5G23395) UniProtKB/Swiss-Prot;Acc:Q8GYJ4] MSFDEDDTEESIDVKVQKALDCPCVADLKNGPCGGQFVDAFSCFLRSTEEEKGSDCVIPFIALQDCIKANPEAFSKEILEEEENDEEAEKSNLKVRAPSWSRESKP >Sspon.06G0006560-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6A:23457627:23460837:1 gene:Sspon.06G0006560-1A transcript:Sspon.06G0006560-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTLEMVSESEEANPQVKEWISQLRELSYDVEDCIEIFMHRLGRVDTCDGFISKIINKVITLKTHYHIGVQICFLDLSIFPEDHVIGRSCLIRRWIAEGFIAQQQGQHLEDTAENYFSELMNRNMIEPVGTDYSGRPRACRVHDIMLDLIISLSVKENFVTIMADHKLTPSTNKIRRLSLQGNYAEQSCWLGMNSLSQVRSLSVFGDVRKIPSLLDFQVLRVLDIQNCSSLEDRDIENIGSLIHLRYLSLYNSNIGNIPIQIGKLKHLQTLDLRATRIKKLPATIAQLHQLVRLCVPNGVELPNGIGNMAALEELSVLDASKNSPEVVQELGYLTKLKVLGIKWCADSAINDAGNFKKSLISSFCNLGERNLHSLKIETTERCSMDFLFDSLCPDPCHMQKFSNSPIFSRLPKWISNLSVLTNLVIFIEEVGGGDVYVLKDLPALRCLQIFTTEYLQESLIIRAGGFKCLEDFHFRPSMYLKKKGMMSLIFEAGAMPRLNRLWFRFAVHDTLSAYGARFDFGISLLSSLKCLWVSINCRGATVWEVEVAKATITNAAARLPNHPRHEIHTFGEEEMVENEEQEEDSHAAGQRDSATT >Sspon.03G0041870-2D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3D:36204060:36204326:-1 gene:Sspon.03G0041870-2D transcript:Sspon.03G0041870-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPATFLYGFQKLIPVLASRYLQKTPIWWRWYCWLCPVAWTLYGLVVSQFGDVMTLMDDKRPVKVFVEDYFDFKYSWLGWVAAVVVAFA >Sspon.07G0019170-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:72302434:72315081:-1 gene:Sspon.07G0019170-3D transcript:Sspon.07G0019170-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:COG0212 [Source:Projected from Arabidopsis thaliana (AT1G76730) UniProtKB/TrEMBL;Acc:A0A178WEK7] MPLLFPATPPLPLCRAARASRRATAAVASAARSSSSSSASFDAAAFEAERLRLDAAARAGMESAAAAAEADPRAWKWAIRKRVWDALEAEGVARDPRPVHHRIPNFDGAPAAADALGRLDIFQNSQCVKVNPDTPQKQVRFLTLSGDKKLLTPQPRLRTGFFSVMDSQMIPVGCIPEACTSVGAAKYGRPIGLDESLKVDLIVIGSVAVDPNSGARLGKGEGFAELEYGMLRYMGAIDDSTMIVTTGIYWEKLSPEKLGQIRILRELKQRIEQETGAILPCGPSEKLPPTAQRRQSCPSAHHFTVKKVLNVRKSMLLVCKEDRQVIRHQNGRYELHPVDHHPFEVIGDYTSAAFNDLGHQFFVDREIKKPIFNHASPISHRVVSQLPLLTPKTEVSHIMDSGLGSYKAYEMNGRFPPRKKTSLKKTNVVKGQWTPEEDRINTFYLWNLKCMQKDIWNDEEDMVLIQAHKEVGNKWAEIAKRLPGRTENSIKNHWNATKRRQFARRRSRSSSKGPKSGTLLQNYIKGLGIGASNKNVVAAPLPEPTPSPFSSPETPGAKPAKTGEIKLENILDSSQGILLPSMDEYNCSESQSCEDLLAPICDGFSVEMCDGLFDVNEGAFQVCTVDDDDDIDMNYIFNHTDHAIKVDLEIDMEMMMMWDDDALGCAEPAGPAAHVETVHVKEEMDLIEMVAATQNCGEAENH >Sspon.08G0006390-4D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8D:17104034:17104549:1 gene:Sspon.08G0006390-4D transcript:Sspon.08G0006390-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQATGPHNSRTIAPGTTYASLLEELSEKDPQAFICHFYNVYFAHTAGGRMIGKKVS >Sspon.01G0008560-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:29234434:29238364:1 gene:Sspon.01G0008560-2B transcript:Sspon.01G0008560-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLIVLWLSIWALCSAASAGAGSDMEALLEFGRGIRQDPSRRQAVPWNPTSALDSDGCPVDWHGVQCSGGQILSIAFDGIGLVGNASLSVLARMPMLRNLSLSDNKLEGSLPGELGSMASLQLLDLSSNRFSGPIPSELTKLAGLGYLNLSSNGFRGALPLGFRNLRKLKYLDLHGNGFTGKLDDVFAQLQSPIHVDLSCNQFSGSLASISDNSSVVSTLQYLNVSHNVLSGTLFDSAPMPLFDSLEVFDASFNMLSGSIPQFSFVISLKVLRLQNNNFSGSIPEAFFRETSMVLTELDLSCNQLTGPIRRVTSTNLKYLNLSHNSLQGTLPITFGSCSVVDLSGNMLYGNLSVARTWGNYLQMVDLSSNRLTGSWPNETTQFLRLTSLRISNNLLSGELPIVLGTYPELISIDLSLNELHGPLPGSLFTAVKLTFLNLSGNSFAGTLPLRNSDTKNSTSIDLSIFPVQTSNLSYVDLSSNFLNGSLPMGIGDLSALTLLNLRQNNFTGQIPRAITKLKNLLFIDLSSNNFNGSIPDGLPDDLVEFNVSYNNLSGSVPSNLLKFPDSSFHPGNELLVLPRSESPNGSDKSDEGRHGLKRGILYALIICVVVFVTGIIVLLLVHWKINSWKSSDKGTGQGKQHVTQGQSAQSQRSAEASTNEMQDVTLGSSPSAEYGAVPLPGKERQHEAQDVPIDAAYFNEPAGSSSALKDSTKSSMPSLSSSPPDAHSQHHHSILRVHSPDKLVGDLHLFDNSVVFTAEDLSRAPAEIIGRSCHGTSYKATLDNGYMLTVKWLKEGFAKSKKEFSREIKKLGSVQHPNLVPLRGYYWGPKEHERIMISDYVDATSLSTYLSEFDERNLPPLSVGQRLNIAIDIARCLDYLHNERVIPHGNIKSSNVLIQNSTPSALVTDYSLHRLMTPIGMAEQVLNAGALGYSPPEFSSTSKPCPSLKSDVYAFGVILLELLTGKIAGEIICMNDGVVDLTDWVRMLALEERVSECYDRHITDVESLEGTPNALDGMLRIAIRCI >Sspon.01G0004270-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:11639400:11644939:-1 gene:Sspon.01G0004270-1A transcript:Sspon.01G0004270-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVLSALQFEKHAGCESNNQNGHILLPNGKSLYQLFHDLRHVPAEALAAKFWEFAGVPMTVPAAEASSASWEPNGVQIDGVTAEPPWTPAPAARGDVEMLTEDEQEKATLFLLDLNLSSRGSAAEEMAYSLGDCSNESASDSSISNFNWGASKRRSVRQFRPQGGTETSTTTFSGSPDKGNSGLSTGTSKKKKGIEATQNAGGPLSLSIMDGVKSGSCVPTAVTPNYSKHDSTDLGLISLSSPVTSAQGPPPNCSIDSKYKELKMRNLSPKAGERDTTLHPLIFKEGGLPDNTLLTYKLKNGEVRRQGYKKGIVIVCNCCNEEPLKNMLAWEKGDNRCGKEPSTTSGPIVPLKRTLQERVVQTESCYFCGYGHTEFGKINPNTIVFCNQCERPCHVKCYNSRVVKKKVPLEILKEFVCFRFLCCQECQSLRARLEGVEKCEEIAFLRRIRSNICWRLSSRADASRDVKLYMSQAIHIFKDAFVESTDAHSDVFSDMVYGTHVVSAAILKVRVEQVAELVLIATRSECRKKGYFRLLLKSIEANLRACNVSLLTAPVDPEMAQIWSEKLGFTILSAEEKKSMLESHPLVMFKDLVLVQKPL >Sspon.05G0039610-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:73994729:73998377:1 gene:Sspon.05G0039610-1D transcript:Sspon.05G0039610-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCPPMDATMTSVAASAKKMSRGAEKKKPSRSSSSSSSSWIARSMGFYYPSSTSSKDRAQPAPAAATDSKNGNGDKQSNGSSTGEKKRSISSIGRSMTCAGSSICSTKESSVMSRDHDRGAGRSASSRSLRAPDVDVVDAVYAAAISATSSFNSETTAVTTVTSSSSPLSSALSSPLSSVGSSFRGVQIRKLSGCYECHSVFDPRSFGAAAAFPCTDCDEVFAKAESLELHRATRHAVSELGPEDTSRNIVEIIFQSSWLRKQTPVCRIERILKVQSSDRTVKRFEQYKESIKERASSDQGQGKKNPRCVADGNELLRFHCTTFTCSLGLAGSTALCQAPASAQCNLCSIIRDGFRVDGDGKIATMATSGRAHDMAQVLSDSDKRAMLVCRVVAGRVKKASDEKSSEDDCDFDSVSPSTEGAYSDLDELFVFNPRAILPCFVCSAHQKTCSNIKKIGVLKRKYP >Sspon.01G0028540-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1A:99589948:99590718:1 gene:Sspon.01G0028540-1A transcript:Sspon.01G0028540-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding NKILAAVSRRDAFLRRLIDAERRRTVDGVCSEKKSVIAVLLTLQKLEPEVYTDTMITAFCSNLFGAGTETTSTTVEWAMSLLLNNPGTLEKARAEIDAAVGRSRLINVGDLPRLGYLRCIIAETLRLYPGAPLLLPHESSADCKVGGYDVPRGTALLVNVYAIRRDPAVWEEPGRFVPERFEGGKAEGLFVAPFGMGRRKCPGEALALQTVGVPDPVLPLEQSRRRGG >Sspon.08G0015670-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:62825295:62826338:1 gene:Sspon.08G0015670-1A transcript:Sspon.08G0015670-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGQAHQAPFALLHVILVIISFRAGGVSSTRTLTITNHCGHTVWPGILSSAGSPALETTGFALEPGQSRSLPAPHGWSGRLWGRTHCSADASGRFACVTGNCGSGRLDCAGHGAKPPATLAEFTFDGHEGLDFYDVSLVDGYNLPMLVEPHAPHGGGGANCLLTGCVMDLNAACPAELRVGDGGAAVACKSACEAFGTAEHCCHEDHGNPNACWPTAYSQFFKKSCPRAYSYAYDDATSTFTCAGGGTSYAITFCPSTTSVKSVGTDPQVREAVGGGRVASSSWRTMPSIIESCLVLLGVAMAALSHVF >Sspon.04G0015220-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:61009789:61010789:1 gene:Sspon.04G0015220-2B transcript:Sspon.04G0015220-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DERPLHVGLVQEVRGPEASGGAGRPGVRRRHRGGGGRVPGPVLRGRGGREGGPARAGGAAPAAAVGARGEQDRARRPRRQGPPRPHGRRRRPGAPPCQGREGQARGARSRQRRQPQAPRLRGWLLHRPHPHLRRHRARQEAQGPHGRLPGPADAHGGGVQGDGGAAVLHGDGGGGGGRHGGGADRVGAERDVPAEGDPGAGGGARAGAGHGVGDPRAARRGGGAGAQPEGAAPGVPGHGGAGGGAGPPAERHREPRGRASSFVLRGAVELEAAGVPEERRKWACVAVVAGVVLVAVVVLPVLVNLHLLSL >Sspon.03G0022760-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:91938459:91940672:-1 gene:Sspon.03G0022760-2B transcript:Sspon.03G0022760-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWRGRLGAGDAGDSGLELSLGLPAYFAKPSSGLDAAGEESGEASAFALQAAKGSNGSKARARTAAAAPVVGWPPVRSFRRNLASSSSRPSPQSSSGHHRHHKVQDCGGAMDGAHKGGLFVKINMDGVPIGRKVDLTAYGGYADLSAAVGKLFRGLLAAQRDPAATAGGEEAEEVEEPVIGGDYTLVYEDDEGDRVLVGDVPWEMFVATAKRLRVLKSSDVPASSLRAGGSRKRAAADC >Sspon.02G0028050-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:103226627:103229226:1 gene:Sspon.02G0028050-3D transcript:Sspon.02G0028050-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAEERGPRRSRVAFVLVDGIGDVSVPSLGGRTPLEAACAPRLDALAAAGVTGLMDPVEPGLACGSDTAHLSLLGYDPRVYYRGRGAFESMGAGLAMAPGDIAFKSNFATLDESTGVIVSRRADRHFEEEGPILCAALDGMKLTLFPEYEVRVRYATEHRCGVVVKGPKLSGNISGTDPLKDNRLHLKAEPLDDSEEAKNTAAVVNELSKEITRILVSHPINAKRAAEGKNIANVVLLRGCGIRIEVPAFETKHGLAPCMVAPTKIIAGLGLSLGIDILEAPGATGDYRTLLTSKAKAIAKALSAPMDTPPRVFVPGEDEYKAGRENGYDFGFLHIKAIDDAGHDKAVKLKVRGLEAVDRAIGQLARLLWEAEKAGHYQYFLCVTGDHSTPVEYGDHSFEPVPFAICRLRDYAAAIGEDNVINTQLDDFPLPSVKSGEDLLDDIESLERKPDQLKAFSGDAVCEFNEVATARGCLGRFPGSEMMGIIKKFIKAKND >Sspon.05G0025930-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:28920706:28926405:-1 gene:Sspon.05G0025930-1B transcript:Sspon.05G0025930-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEGARPAAAARQIVKSLQRWGRLSLERPPFGAALGDYHQLPRPSPSPTAAAAAAAAVTLGGRGEVFDEGIVVRMPSCFESRIGCMSAESTGLSMASSGFIQGVGSPRMTPISGNTARKYKSKSEYTKAGPQTPTLNAGKYKFVDLVLCLCVVGSPGNPPTPSGSCRYDSSLALLTKKFINLLKEAEDGILDLNSTAETNWSDRKEVEEQNLLETDFENLNLQEQALDEHISKIREKLKGLTEDENNQGWLFLTEDDIKGLPCFQNQTVFAIKAPHGSSLEVPNPDVMTGNSLQRRYRIVIRSTTGAIDLYLVSETEEKMEGELDDAAAPAGHTNVGKHGSIKRPRTKRAWQMSREEVVLKAQKTQKTPDLNPPCHSDVDSPPFLILPIQLATTGVSATVGGNLIGDAALCAA >Sspon.02G0010650-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:33322734:33329760:1 gene:Sspon.02G0010650-3C transcript:Sspon.02G0010650-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGPPSRGGGGADAAGEQPRVYQVWRGSNEFFLQGRFIFGPDVRSLFLTIFLILAPVVVFCIFVARHLINDFPDHWGVSVMVIVIVFTIYDLTLLLLASGRDPGIVPRNTHPPEPEAIDMINDAGNGQTPQQLRLPRTKDVIVNGVIVKVKYCDTCMLYRPPRCSHCSICNNCVERFDHHCPWVGQCIGLRNYRFFYMFVFSTTLLCLYVFGFCWVYIVKIRDAEQSSIWKAMLKTPASIVLIIYCFICVWFVGGLSVFHFYLMSTNQTTYENFRYRYDRRANPYNRGIVNNFMEIFCTAVPPSKNNFRARVPAEQGLQQSRAPARGFMSPNMGKPVGELELGRKPISWDEPRSAADIRDLEVGLGGLLDEKEGRVAHASPDLSREALPELVEGRAGVHSRRSSWGHRSGTSESMDSVAVQMGTGDSHWGSISSVHGTTSGAH >Sspon.07G0027770-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7B:61572925:61573146:1 gene:Sspon.07G0027770-1B transcript:Sspon.07G0027770-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTATAAAPECGGSAKTSWPEVVGLSVEEAKKVILKDKPDADIVVLPVGTIVTTDFVPNRVRIFVDTVAQTPH >Sspon.01G0051900-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:525019:527818:1 gene:Sspon.01G0051900-2D transcript:Sspon.01G0051900-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCAGVEGNAEINPSFSAPNSSGTGSKNSSKNATDTSTFGTKTSGSSSSVPPTPRTETEILESSNVRKFTFSELKGSTRNFRPDSLLGEGGFGSVFKGWMDERTLAPVKPGTGMIVAVKKLKLDSFQGHREWLAEVNYLGQLSHPNLVKLVGYCLEDEQRLLVYEYMPRGSLEHHLFRRSSNFQPLPWNLRMKVALEAARGLAFLHGDQAKVIYRDFKTSNILLDSEYNAKLSDFGLAKDGPSGDKSHVSTRVMGTQGYAAPEYLATGHLTAKSDVYSYGVVLLELLSGQRALDKNRPPGQHNLVEWARPYITNKRRVIHVLDSRLGSQYSLPAAQKMAALALQCLSMDARCRPGMDQAVTVLEELQEAKGSVKRLAVASSL >Sspon.03G0031980-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:32711101:32713946:1 gene:Sspon.03G0031980-1B transcript:Sspon.03G0031980-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAERRLARVAAHLVPSFPVPHATVPPLAPSPTAASSSSSSSPAGDSYRRVHGDVASEPPEWRAATDELGKEFVDIIYEKAVGEGIAKITINRPDRRNAFRPLTVKELMRAFNDARDDSSIGVIILTGKGTKAFCSGGDQALRDSDGYVDFDSFGRLNVLDLQVQIRRLPKPVIAMVAGYAVGGGHILHMVCDITIAADNAIFGQTGPKVGSFDAGYGSSIMSRLVGPKRAREMWFLSRFYTADEADKMGLVNTVVPLAELEQETVKWCRQILRNSPMAIRVLKSALNAADDGHAGLQELGGNATLIFYGTEEAKEGKNAYMERRRPDFSKFPRKP >Sspon.06G0006810-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6A:25340263:25344074:-1 gene:Sspon.06G0006810-1A transcript:Sspon.06G0006810-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLVFWHWFFLFFMLVSTSQGMSSDGLALLALSKSLILPSSIRANWSASANPCTWNGVGCNGRNRVISLNLSSSEVSGFIGPEIGRLKYLQILDLSANNISGLIPPELGNCSMLEQLDLSQNLLSGSIPASMGNLKKLSALSLYYNSLNGTIPEELFKNQFLEQVYLNDNQLSGLIPFSVGEMTSLKSLLLYENMLSGVLPSSIGNCTKLEELYLMDNQLSGSLPETLSEMKGLRVFDATSNSFTGEIPFSFEKNCKLEIFILSFNYIKGEIPSWLENCRSLQHLGFVNNSLSGKIPNSLGLLSNLTYLLLSQNSLSGPIPPEIGNCRLLQWLELDANQLEGTVPEEFASLRNLSKLFLFENRLMGEFPENIWTIQTLESVLIYSNRFTGKLPSVLAELKYLVNITLFDNFFTGGIPQELGVNSPLVQIDFTNNSFVGGIPPNICSGKALRILDLGFNHLNGSIPSNVVDCPSLERVIVKNNNLDGSIPQFKNCANLSYMDLSHNSLSGNIPQSFSRCVNITEINWSENKLSGAIPPEIGNLVNLKRLDLSHNILHGSIPVQISSCSKLYSLDLSFNSLNGSALSTVSNLKFLTQLRLQENRFSGGLPESLSQLEMLIELQLGGNILGGSIPSSLGQLVKLGTALNLSSNGLVGDIPPQLDRKKNTEEAVSNMFEGSSSKLNEIIEATENFDDKYIIGAGGHGTVYKATLRSGDVYAIKKLVISAHKGSYKSMVRELKTLGKIKHRNLIKLKEFWFRRDNGFILYDFMEKGSLHDVLHVIQPAPTLDWCVRYDIALGTAHGLAYLHDDCHPAIIHRDIKPSNILLDKDMVPHISDFGIAKLMDQPSTASQTTGIVGTIGYMAPELAFSTKSSMESDVYSYGVVLLELLTRRTAVDPSFPNSTDIVGWVSSALSGTDKIEAVCDPALMEEVFGTVEMEEVRKVLSVALRCAAREASQRPSMAAVAKELTGARPATGSGGGRSLSRSNQGRPGWQSYRSAF >Sspon.04G0036370-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:28769707:28774207:1 gene:Sspon.04G0036370-1D transcript:Sspon.04G0036370-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AMQASLHGIWKQNKLLCQIDARGGDKLEAATAYLARNRLGGRGKRSKKANQTRMGGGGKRKQERDSGLVCELDEDGHGKASWVRMGMAIVSSMASAAPAPLMERLGLERRDGVDGMGLSLLVPDDTDAPALCSYDSQQVPRRRSE >Sspon.04G0020400-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:74338973:74343358:1 gene:Sspon.04G0020400-2B transcript:Sspon.04G0020400-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPVAGTAGYRGPAEVRARAPGKIILAGEHAVVHGSAAVAAAIDLYTRSSLLLRPTGEGGGADSGAVELYLRDSGLTFSWPCSRLRGALGEEISANPGAPAPCSPDQLATIARLLEDQEIPEAKIWLSAGLSAFLFLYTSILGCRPGKAVVTSDLPMGAGLGSSAAFCVSMSGALLTAAGAVSVGAHRGAEGWEVLEKCDLELVNQWAFQGEKIIHGKPSGIDNSVSTFGKMIKFKKGELTNLESRNPVKMLITDTRVGRNTKALVAGVSERASRHPDAMASVFHAVNSISEELSSIVELAAEDEIAITSKEDKLAELMEMNQVGSHPYVRPLHRRIRGINATVPHFNTSDLAVGWSTPMRLPGHSAKARPKAFGEFTVASNVQGAELLDENISSGGEDLDDDNSDAFVGSDDETDLPSAPLGTEENMESLSDANKHDANNEDVKEEDEASEEDVKTDDELDYDSDGDTGISGKDEELKESINDQDEDSNEKDKSKGSSCKVNMRNLSDYIGELNAADATLEL >Sspon.06G0028020-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6C:1208382:1208691:1 gene:Sspon.06G0028020-1C transcript:Sspon.06G0028020-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding YCIVCLCLGVSTLSITTHWPSALDYHSDFTHLSGCSEPTNEPPSESPGLLAPTTCRVATMIAAKNGAVIIVNAIMTAGQKARGPGNFVNSYQIFFLKIITFV >Sspon.04G0002540-4P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:50524763:50528378:-1 gene:Sspon.04G0002540-4P transcript:Sspon.04G0002540-4P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AMKLEVMPMPKQRVLEAEQREEAMEMSGLDLWKHEKPPRICPLPPPLPPSPAACDEATLVPPLNFAMVDDGIFRSGFPDTSNFRFLKTLNLRSIRYLCPEPYPETNMEFLEKNGIRLHQFGIEGRQEPFVNIPDDKIREALKVVSDPRNQPLLIHCKRGQHRTGCVVGCLRKLQKWCLSSVFDEYHRFAAAKARITDQRFMELFDISSLKHLTPSHC >Sspon.08G0004360-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8A:12545665:12548894:1 gene:Sspon.08G0004360-1A transcript:Sspon.08G0004360-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRADRINWQIIVVYGPQGDAAKLEFLQELKDVPLPDHNRRLFLGDYNLIYQAADKNNSNLNRRLMASFKAAIDQLHLKEIKLNGRRFTWSNEQDNPTLTRIDRLFCTPEWELIFPACFLHSLPSLMSDHTPLLLQGELHHHRNASFRFENFWTKMDGFHELVQEVWNKPVHSALPLKRLHIKMARVAKAIKRWQEEKIGDTKLQLAIVKEVLLQLELAQESRALTNQELELWRRLKARCVGLAAIEKSRIRQRSRLTYIRCGDANTKFFHIRANARRRKNYIHCLHTDRGIVMAHEEKHKVVGDYFKNHIGSTVPRNTTFNWQALGYSAHDLTELEAPFSQDEIEYTIKSMPSDKAPGLDGFTGVFFKACWHIIKDDIMHAMHSLFAMNAQGFELMNSANIILLPKKADALRVNDFRPISLIHSIAKIFSKMLANRLAPHLNSLVSSCQSAFIKKRSIHDNFLYVQGAVRKLHKLKLPTLFMKLDIHKAFDTVNWGYLLEILQALGFGPRWREWVSILFRTASSSAILNGQQGTSFNHARGVRQGDPLSPMLFILAMDPLQHLFDMATRQGIITPLPLAAVKWRTSMYADDAAIFINPIKEDVDAIKTILEAFGNLSGLHINLQKSLVHPIRCEDVDLDMVLSPFTGEEDANGGHCLVNWPPVTRPKDLGGLGVPDLERFGRALHLRWLWQEWTEDSKPWLGMDLPCNDIDRLLFNSSTTITLGDGAKARFWHNNWLDGEAPRYLAPNLFRLATRKNRTVNQELRNNNWIRSLRGRITSATHVEEFVSLWIRIQDVHLLQGVPDSITWKWTPDGTYSTRSAYRMQFCGSFSTFRSDQIWKAHAENKCKVFTWILIHGKVLTADNLQRRGWPHQDHCALCNGPLETGLHLCLHCPFAMAVWNQILTWEHFEDILSQLQQAPSQIISWWKDAASRVPRAERRRFNGLVIYIFWNLWKERNRRIFNNATETVLQVAARIKEDIDLRKRAFS >Sspon.08G0010340-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:45360824:45371213:1 gene:Sspon.08G0010340-1A transcript:Sspon.08G0010340-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRGAMSSTAAPRARLSPPAPASHPPRKRGRSPSSAPGSTASSWRASAENTVPLDHRRRWHNPERAPGRVWQRFRAPQPALPSSRLWVCSEDASTSSSSGDACTIMSYNILADYNAQNHPDLYLDVPWDALRWDSRRRLIIREIRHWDPDLVCLQEVDRFQDIAAGMKSRGYEVCDHQRRTGDTRDGCAMFWKSKRLHLLEEDSIDFSEFNLRNNVAQICVFELNGTHKFILGNIHVLFNPKRGDIKLGQIRMLLEKANALAEKWDKIPIVLAGDFNSTPDSAIYKFLSTMKTYPDISHSLLKYQWTDEEVRNATGCSNVVVAEHPLKLSSSYAMLKEIGSDHLPIVAEFAFPESVDDDSYEEDESEQDDESEKEIISGDSVHNEFLDAFVRVRHRILLCTESSTTLSRIKYGQLCEMQDCNEVHAGTDSGNGGDG >Sspon.02G0020790-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:70451256:70455423:-1 gene:Sspon.02G0020790-3C transcript:Sspon.02G0020790-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding EMELLCPSAKRRRSEDLAADEPQPRATEATEAANLGPTTHTKEPPPPSEAAAGGGGGGGSGGGGEDDDVDRISGLPDAVLGEIVSLLSTKEAGRTQILASRWRHVWLASPLVLDGTDLYTKPQAYNHDAEKFIAANQALASVVSLILSAHPGPGRRFCVPPHFLQDRPATVDTWLSSPALVNLQELDFWEGKDMIYRYMQPSPLAPPPASTFRFSATLRIATFGKCELLDNSVEGIHFPHLKQLGLEDVSISEGSLHTIISSCPVLECLLLKGIFGVGSLQISSNSVRSIGVGADHLRDNLQFLQEVIIVHAPCLERFFYLGAYKPTSLTVISVPKLETIGRVNDGWFGHPRLVFGTTSIQGFKVVRLTTALSNVKTLAVTSYHINLDQGETLDH >Sspon.08G0016950-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:61016032:61019085:1 gene:Sspon.08G0016950-2B transcript:Sspon.08G0016950-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCGSKNRQHAPGRLARSSSSGGERMRWSARLGGDPVAGLGRPRGLLKLGAPGPATVNNPSNPSQPAACRRAVLLCRPPVPVLVVTSTTTPAMTRRGTLPPALLLLLLAAALAATTTTVGAETPPTPSSFTPKDSFLIDCGGTAPVTADGKSYKTDAQANHLLSANDAIRVAADDKADVPSPLYATARVFKEEAVYSFPLTVPGWHFIRIYLFPIKGGDVDLASATFSVVTDDNVLLHSFTPENKPVMKEYVINATENHLALKFQPLKGSAAFVNAIEVVNAPDELITDSALAVATLGEITGLVHDAYQVLYRINVGGPAIGPANDTLGRRWETDAAYVQTKEAVKDVSVPTSTIKFPDGTSRLVAPTLVYASAAKMADADVGSPNFNLTWKVDVDPSFSYLVRLFFADIVSKATNDLYFDVYISGRKAVSGLDLSTVTGGELAAPYYKDFVVNSSSLEGADGKGKLSVQVGPMGQDTGRIDALLNGMEVLKMSNSVGSLDGEFGVDGRKADDGSGGRKAVAAVGFAMMFGAFAGLGAMVVKWYKRPQDWERRESFSSWLLPIHTGQSFTASGKGGYGSHKSGNTFSSTMGLGRFFSFAEIQTATGNWDEKNIIGVGGFGNVYVGEIDDGTKVAVKRGSAESEQGINEFNTEIQMLSKLRHRHLVSLIGYCDENQEMILVYEYMHNGVFRDHIYGNEGVTPLPWKQRLEICIGAARGLHYLHTGTAQGIIHRDVKTTNILLDDNFVAKVSDFGLSKDGPGMNQLHVSTAVKGSFGYLDPEYFRCQQLTDKSDVYSFGVVLLEALCARPPIDPQLPREQVSLAEWGMQWKRKGLIEKIMDPKLAGTVNPESLAKFAETAEKCLAEFGSDRISMGDVLWNLEYALQLQDANPPEGAQQDGEEGAASEGGGGGAVVPAASASGGGVPDASTTAAGELFQQLADMKGR >Sspon.06G0016810-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:91392125:91394716:1 gene:Sspon.06G0016810-1P transcript:Sspon.06G0016810-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding EREPKQGGARKPSQLVDTSTKATSEQVAAKLEESEMEKELCAEAEDPSEFITHRAKDFVSSMKDIEARFMRAAEAGNEVSRMLETKKIRLDICKMPGSPGKLPTARFVSALRVCCNRDVILNQETAQHVSKVVTWKRSVSSLSSSSKSALMTSIIKDDVDDSNSDFVEEFAMVSGSHSSTLDRLHAWERKLYDEIKASENVRKAYDEKCNLLRRQFARGLNAQLIDKTRAVVKDLHSRVSVVIQAVDAISKRIEKIRDEELQPQLVELIQGLIRMWKLMLECHHKQFITITLAYHVKNSTPLHQGEHRRQAAMHLWNEMDSFSSSFRNWVTAHKSYVEALNAWLQKCLLQPPQDRRRRKRKVSFPPRQAVSPPIFVLCRDWLAMTESLPADEVCKSLKDVMQLLRDSFEHQDEQNKPRSESQECGMLENNSELEAVKSGSVASAEGLQSRLTAVLDRLTKFSEASLKCYEELKQNYELACDDYKR >Sspon.02G0004470-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:14130313:14131494:1 gene:Sspon.02G0004470-1A transcript:Sspon.02G0004470-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor, Brassinosteroid (BR)-regulated growth response, Feedback inhibition of BR biosynthesi [Source: Projected from Oryza sativa (Os07g0580500)] MTSGAAAAAAAGALGRTPTWKERENNKRRERRRRAIAAKIFTGLRALGNYKLPKHCDNNEVLKALCREAGWVVEDDGTTYRKGCKPPPGMMSPCSSSQLLSAPSSSFPSPVPSYHASPASSSFPSPTRLDHSSGSNHHNHNPGPAAAAAASSLLPFLRGLPNLPPLRVSSSAPVTPPLSSPTAASRPPTKHPDTIPECDESDVCSTVDSGRWISFQVGAATTAPASPTYNLVNPAGGASASNSMELDGMAAADIGGRGGGPAEFEFDKGRVTPWEGERIHEVAAEELELTLGVGAK >Sspon.03G0021870-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:85675761:85676795:-1 gene:Sspon.03G0021870-2C transcript:Sspon.03G0021870-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding CDAMSSSSVQTFPKQEADAEGESNPGLYQHFVNLVSSLPSSKGLSNNKFYRHDQGWHCSVVPIVGAMVADACFNARPSDVIVATLPKSGTTWMKSLLYATVHRREHPVDDGSAGHPLNSWGPHELIKFFEYQLYTKDKVPDLDRLPDPRLFATHVPFVSLPRSVVATPGCKIVYVCRDPKDHLISQWDFANKFMVKDGLEPLPLEAAAELFCAGLSPFGPYWDHVLGYWRAHGADPKKVLFFKYEEMQRDPAAHVRRLAEFVGLPFSSGEEDGGVVDAVVALCSFERMKGMAATKGGRTELSFGVVSNSSFFRQGVVGDWVNHLSPEMARRIDAITADKFKGSGL >Sspon.07G0030410-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:10171995:10173665:1 gene:Sspon.07G0030410-2D transcript:Sspon.07G0030410-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAILNRADTTTTTANGGSGTTTPAAPVAIAMSSLPHHPPLDADEFRRQGRLIVDFIADYYAHIDEYPVRPDVAPGFLARQLPETAPARPEPDALAAALRDVRDLILPGVTHWQSPRHFAHFAATASNVGALGEALAAGLNVNPFTWAASPAATELEVVVTDWLGKALHLPESLLFCGGGGGTLLGTSCEAMLCTIVAARDRKLAEVGEERMGDLVVYFSDQTHFSFQKAARIAGIRRGNCREIPTSRETGFALSPKALAAAVRADEAAGRVPLFLCATVGTTPTAAVDPLRELCAAVAGRGVWVHVDAAYAGAACVCPELRHAVAGAEAVDSFSTNPHKWLLANMDCCALWVRRPAALTAALGTDHHVILKDPSAAQAQQGAVVDYKDWQVALSRRFRALKLWLVLRCHGVEGLRGLVRAHVRMAAAFEAMVRADARFEVPVPRQFALVCFRLRAAAAVVVGQKRARDVDDEATVAAGNELNRRLLEAVNATGRVYMSSAVVGGMYILRCAIGNSLTEERHVREAWSVVQEQATAILAAATTRSNGLPTPQPLSLG >Sspon.07G0010880-3D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7D:33665379:33667752:-1 gene:Sspon.07G0010880-3D transcript:Sspon.07G0010880-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding TPPPPARENGGGSVRFTHKPADKVVFRSQPIPGGQPPRTGSRVARAASRVLSRDKRYDSFKTFSGKLERQLTHLAGAPEVHEEEEEDEVVAITSRTTSMPKVERFFAALEGPELDKLKSSEELVLPSDKTWPFLLRFPVSAFGMCLGVSSQAILWKTIASSSPTMFLHVTHKVNLVLWCISVVLMSAITTVYALKIAFFFEAVRREYYHPIRVNFFFAPWIACLFLAIGVPQSVATEPLPQWLWYALMAPVLILELKIYGQWMSGGQRRLSKVANPSNHLVLFVTLYQRLPTNETLPKELHPVFFLFVAAPSVASMALAKITGEFGYGPRVAYFIAMFLYASLAVRIKFFWGFRFSLAWWAYTFPMTGAAIASIRYSTEVDNAFTKALCVALSAVAVLTVTALFVTTLVHALVLRKLFPNDICIAITDHKMKPIVELHESQGEDGSTNSNDIEAGAGDKQ >Sspon.04G0008500-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:25571447:25573634:-1 gene:Sspon.04G0008500-3D transcript:Sspon.04G0008500-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MACSTGLYFSASIPPLPASCSSGSSRFLTIERVSSAPSLSRWTIQYKQLGHTLYRRSHVLAFANADLNWFFQMNQAPQGKRSSGENVVMVDPLEAKRLAAKQMQEIRAKEKLKRRRQAEAINGALAMIGLTAGLVVEAQTGKGILGQLAGYLTAVSSLFGQ >Sspon.06G0008620-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:36860018:36860949:1 gene:Sspon.06G0008620-2B transcript:Sspon.06G0008620-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAAVASAESWLYEKFNTDGTVRANYDASGQQVAMLNLDRSSGAGFNSKEQYLFGEFTIQMKLIPGNSAGTVSCFYLSSGDGDGHDEIDMEFMGNSSGQPVVLNTNFDLWFDPAADYHTYTITWNPTNIIFKVDGNVIRCFKRYADLPYPSSKPMTLHATLWDGSYWATEKGKVPIDWSGAPFVVSFRGYSADACISGGACAAGSDAWMNKQPDSTEWGTVKWAESNYMRYNYCDDGWRFPQGLPAECSRS >Sspon.01G0004230-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:10728029:10731035:-1 gene:Sspon.01G0004230-2C transcript:Sspon.01G0004230-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASASRALLLSRAFQAGRRAPTLLRPLAASASLLPAGAGASAPGAVVRCFATQPATSSLRDSSPNWSNRPPKETILLEGCDFEHWLVVMEPPPGDPSNPDMLRDEIIDSYIKTLAQVVGSEEEARQKIYSVSTRHYFAFGALVPEELSYKLKEVPKVRWVLPDSYLHVKTKDYGGEPFINGEALPYDPKCHEEWVRNNARANNRIRRNDRPRNDRSRNFERRENMQNYQNRSTNFERRENMQNYQNRDGPPAQGFNGPPAPPCQNQMPPHHGQGNMPPSPPPPHAGGGQPNYQPQMPNPQAGYYQQGGAPGYQGGNQGYQGGPPGYPGGKPAPPYQGGNPNAPPYPGGGNSGYPGGGPGYQGQ >Sspon.01G0032030-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:109595664:109611029:1 gene:Sspon.01G0032030-3D transcript:Sspon.01G0032030-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALRAWRASSNLIGFAASRAAASRPLGLRIRCCSAAAATTNPPPAQPQDRRRRSASSSASTSTSDRDSIRAIRLKKVEELRAKGHEPYAYKWDRTHTTKELQERYAHLVNGQVCEDVSVSVAGRIVARRAFGKLVFMTVRDDAGTMQLYCEKDSLTEDQFEQLKAFIDIGDILGASGSIKKTEKGELSVYVKNFEILTKSLLPLPDKYHGLTDVDKRYRQRYVDMIANPKVADVFRTRAKVVSEIRKTMESFGFIEVETPVLQGAAGGAEARPFITYHNSLQRDLYLRIATELHLKRMLVFETFVESTLVQPTFVLDYPVEISPLAKPHRSHVGLTERFELFICGREIGNAFSELTDPIDQRNRFENQIKQHNAKRAAMAKEVKSTEGEGDDDYSYEVSLDEDFLTSLEYGMPPASGMGLGIDRLVMLLTNSSSIRDVIAFPVLKIQQ >Sspon.03G0007550-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:8386255:8390074:1 gene:Sspon.03G0007550-1P transcript:Sspon.03G0007550-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAGQPHAHEAGGGAANHSNHHLAVHWPPPLPVEVVPAYPPPESEDDETWVWTQIKAEARRDADAEPALASFLYATVLSHPSLPRSLSFHLANKLCSSTLLSTLLYDLFLATLTAHPSLRAAVVADLLAARSRDPACVGFSHCLLNYKGFLAIQAHRVAHVLWEQQRRPLALALQSRVADVFAVDIHPAAVVGKGILLDHATGVVIGETAVVGDNVSILHHVTLGGTGKAVGDRHPKIGDGVLIGAGATILGNVKIGAGAKIGAGSVVLIDVPARSTAVGNPARLIGGKKKAEGENDEDMPGESMDHTSFIPTVWCLLRFAAGQHAKVLKVEILVTASCRADFSSCGSHF >Sspon.05G0010410-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:29629774:29630931:-1 gene:Sspon.05G0010410-1A transcript:Sspon.05G0010410-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLVARATGVKAAVKAAAGGGDLQVPVHAGNGEFLMDLAIGTPALSYAAIVDTGSDLVWTQCKPCVECFKQSTPVFDPSSSSTYATLPCSSSFCGDLPTSTCTSSNKCGYTYTYGDASSTQGVLASETFTLGKEKLPGVAFGCGDTNEGDGFTQGGGLVGLGRGPLSLVSQLGLDKFSYCLTSLDDGDGKSPLLLGGSAAAISESAATAPVQSTPLVKNPSQPSFYYVSLTGLTVGSTRITLPASAFAIQDDGTGGVIVDSGTSITYLELQGYRALRKAFVAQMSLPTVDGSEIGLDLCFQGPAKGVDQVQVPKLVLHFDGGADLDLPAENYMVLDSASGALCLTVAPSRGLSIIGNFQQQNFQFVYDVAGDTLSFAPVQCNKL >Sspon.03G0001700-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:20754945:20760390:1 gene:Sspon.03G0001700-2P transcript:Sspon.03G0001700-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAMGLKRSAAAAGAGAAAAAQTVTLSAPAVRDAVRAAVREAEATAAKATAPPAARVPATATAEIARDGVLCLEEVDGRRWSYVVDAAGAAVKAKGRASVGLAFKAVPLQSPLPPVEEIMSFIRSYVVPEGFPESVTPSYVPYMTWRAMKHFFGGAMGVFTTRTLLNSVGVSQSRAASGAVAINWILKDGAGRVGKMLFARQGKKFDYDLKQLRFSGDLLMELGAGIELATAAFPQLFLPMACIANVVKNVAAVTSTSTRTPIYKAYAKGENIGDVTAKGESVGNIADLLGTGMSILISKSNPSLVTSFAVLSCGYLLSSYHEVRSVVLNTLNRARFTVAVDSFIRTGYVPSLKDGNSQETIFNPPWRHEPVAIGSRFGEAFQEPASFIAIKPLFEDERYIVTYNPTKDKVYALLKDQAKPDDILKAAFHAHVLLHFINASHANLNARKRMNSNRSYQYNPLNMDFVPHIEESCKIVMSSYGVFKKKAREQGWIMSESLLNPGRARLCGVSFRTVHNNKKMQAYHKQHHRPPCAALAISFSQSGHTHAALLHGVRASVLDIGLQERS >Sspon.02G0015290-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:36011036:36013325:1 gene:Sspon.02G0015290-4D transcript:Sspon.02G0015290-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding HGPARLPLLPPLPPPLRAAAAAPSPPAQPRLPRRARRSRESAFGGGCSFEEVQIIQEQCETGLQDWECSHFCCCTCLQLRACCVGNKHKM >Sspon.04G0016180-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:386232:387713:-1 gene:Sspon.04G0016180-2B transcript:Sspon.04G0016180-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSHCRHFGRLQWPTAALQLLCRPSLSAAQLRQVDAYLLISFSHLLADRFLPNQLLRSLLPAHPLGALRLFPRLRRILPDFRPNNYTFSFLLKAAADSSAPPSLGPDGAHAIVPSLHALAVVLAWDAHAYVANGLIHAYATHGVLPSARRLFGDAVASRAADVCSWTSLLTACAKAGQVDEARALFDGMPRRNDVAWSAMLSAYVAAGSFADAVRLFEDMLRSGVRPNRAAVVGVLAACGALGALDQGRWVHALLVGAHGGGATAMDGVVATALVDMYAKCGSLDTARQVFAAAPWGQRDVFAYTAMISGLSDHGRCEEAIELFGQMQAEGVRPNEVTFICVLTACGRAGLVGRAKEVFRSMAAVHGMEPGVEHYGCLVDVLGRAGLLAEAMEAVRSMPMKPDSYVLGALLNACAAHGDVEGGEQVVRWLAELGLDHSGVHVQLSNMYAGWSKWEEVLKVRRNMEDRKVPGCSMLEVDGVACEFVAPATRGTL >Sspon.08G0003730-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:7517056:7517433:1 gene:Sspon.08G0003730-2B transcript:Sspon.08G0003730-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGGPPGPSQAIPVSGDAYCNSSAAPADAAGSSSPAVAKLRKLLFRRMLIGVNDGRYFHGLFHCIDKQGNIILQDAVEYRSAARHCSPPTEQRCLGLILIPAACRSSCQVDCSVEEKMSLLCLE >Sspon.08G0008170-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:26836983:26861471:-1 gene:Sspon.08G0008170-1T transcript:Sspon.08G0008170-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSLLLCLLFLSAAVAAASGAVVNPRRSLAQTAKSGDMASLAAGSPMVAGLMNERLKALTTSFAQQMGREFHYCIKNMDREWNTAFNFSSDPAFLTTCMKETNVHTCHLAGLCIVKMLFHGSPPPGDLPQRVCTAAEMKFYFESFLEGNGRKNYVRPNKNCNLTSWIDGCEPGWSCSAGKDQEVNLKDAVNIPSRTIDCRGCCAGFFCPHGLTCMIPCPLGAYCPESTLNKTTGVCDPYHYQPPAGKPNHTCGGADRWADVVSTDDVFCPPGYYCPSTVQKLDCSSGFYCRKGSTLETKCFHKGSCKPNSTNQDITIFGALLVGALSLVLLIIYNFSGQLLMNREKKQAKSREAAARHARETAVARERWKTAKDVAKKHAAGLQSSLSRTFSRKKSLRTHESSKGGLGGGGLPSTDDQPTNEAGGGKKDSSLTDMVRSIEDNPEKGEGISVQIGGGAGEKKKAKGKHAHTQSQIFKYAYGQIEKEKAMEQESQNLTFSGVISMATDEDIKKRPTVEIAFKDLTLTLKGSKKKLLRSVTGKLMAGRVAAVMGPSGAGKTTFLSAIAGKATGCETTGMILINGKTEPIRAYKRIIGFVPQDDIVHGNLTVQENLWFNARCRLSADMSKADKVLVVERVIESLGLQAVRDSLVGTVEQRGISGGQRKRVNVGLEMVMEPSVLILDEPTSGLDSASSLLLLRALRREALEGVNISMVVHQPSYTLYRMFDDLILLAKGGMTVYHGPVKKVEEYFSGLGIVVPDRVNPPDYYIDILEGIVKPNSKEPVNVKDLPIRWMLHNGYEVPRDMLQSSSDSESSFRGGGDHAKGGDAGQSIAGEVWGNVRDIVGQKKDEYDYNKTSENLSNRRTPGILRQYKYYLGRCGKQRLREARIQGVDYLILGLAGICLGTLAKVSDETFGALGYTYTVIAVSLLCKIGALRSFSLEKIHYWRERASGMSSLAYFLSKDTIDHFNTIIKPIVYLSMFYFFNNPRSSIWENYVVLLALVYCVTGIGYTFAIFFQPSSAQLWSALLPVVLTLIATQQKDTFFANLCYTKWALEAFVIANAQKYSGVWLITRCGSLLNSGYDINDRILCIVVLVANGVIFRCVAFFCMGSGRLYGGSGGI >Sspon.01G0041490-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:49971001:49975629:1 gene:Sspon.01G0041490-1B transcript:Sspon.01G0041490-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMNGKALGKCGSNINSLKRKRASPAAYDADVFRTSELHQHPVNDSVVRFHVDQDRKAKIVCHFNKQVLQSYKNFMSSAPPKRILFRKGAVWKDIPEKIVKLAQADFRAKKTITETGYQNHLFLLDFAHMTFIDTKTGLQRPIAWIDENGKRYFPESFMQDQKIFIKKDFGNGNHEYISVEPNGTLEMNDQLGTSESSAESSNFDSSTEDVSSPKRARAEKNSIVKNYCDMGEAIGENEPCTLLTTACNLLPHQANLGEVSRAQRTIEAVEKLLLQGMGSVIESKDVIGIFRTPLLDDHKQVRYHIHQKQVQVTGFHRGNANVRYAWLPCSKSTVHEMMLNGVLQVHKPPIKCAAYGEGTLLTPANRSDACVKYSDVDENGIVHMMLCRVIMGNVEIVHPGSNQHRPSSDYFDSGVDDLKNPQHYIVWDMNMNRHIYSEFVVIVKLPSKTKDSLVSQEDCQNSSDLSLVLNSSSPDCISEGMNLEAPPALGGGCAAPMLGDSIEKAPSSPWMPFSMLFAAISTKVSPENMDMVISCYEEFKSKKISRGELVKKLRHVVGDRVLISTIMRLQDKLPPVERREAPDASAAKMVVKP >Sspon.02G0019450-2D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2D:72208608:72209135:1 gene:Sspon.02G0019450-2D transcript:Sspon.02G0019450-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGILTTPCPRAPLLRALPSPSARAFPRTLAFPARPLPRGLRLTPPHAAAEASASAAATALGGLLASPLSTLEAALRGLNLAPLRAPVAAAMSAAVRWLGVYREVLLVGVLLSWFPNIPWDRQPFSALRDLCDPFLALCREVMPPVFGRKLDLSPLVAFMAIDIIIMILRPQPRM >Sspon.05G0008040-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5A:24780097:24780785:-1 gene:Sspon.05G0008040-1A transcript:Sspon.05G0008040-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVPVSFLRALRSPAPGPGGLPPPVLLTSGLAADAALLAHFARNLASARSRLPAHADAFRAVVHLRPRCAHPFNALISTFTLAGDPSTAFRASPRGPLSPPPRTCGSTGTHSPPFSRRARRSRGSARVGRRTRSRRRLGFLGRLPVRNALITLYGACRDARRAFEEMAEQDVLLGGRFAEALRVFAEMDVAPNEVTLVTVLRACGRLGR >Sspon.03G0026530-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:3058490:3063027:1 gene:Sspon.03G0026530-1B transcript:Sspon.03G0026530-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSPPVKLIGHFASPFVHRAAAALRLKGVPYEFIQEDLENKSVLLLRYNPVHKKVPVLLHGDRAVCESLVIVEYVDEAFDGPPLLPSDPAGRAAARFWAHFMDTKCRTPLVLSFLTEGEQQEGFMKETKENLALLQAQLDGKRFFGGDSVGYLDIALSGMSHWMAVFEEMTGVSLLADDDFPALRRWAKEYTSNEAVKQCLPSIEHLKAHFSATAKKDKVIGFFDSPFSHRAEAALCLKGVPYELILEKDLRNKSELLLQHNPVHKKVPVLLHGNRRAVCESLVIVEYVDEAFQGPPLLPADPAGRAAARFWAHFIDDKVTVNPIRLTSQVSSGSFEVVNRDRSNGVHVPGRRQCSKAFWLAMWTEGEVQRGFVKEIKENLKLLEGQVKGKRFFGGDAVGYLDIAASAFAHWLPVCEEVAGVTLVTAEEYPDLCQWAREYTSHDAVKQCLPDREELLARFSARKDSFVATARLMAPAPAPEK >Sspon.07G0018890-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:68466515:68482435:-1 gene:Sspon.07G0018890-1A transcript:Sspon.07G0018890-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LQYCIHNPTYNETRSSHRSWRPPPPTALSAGFVQVELTKSNFKVQSPYNVPKNKPYSYDNVTGEGTFLVYAGDNVTNVTSPRTEVRLAGHDYSSGVWQFEGYGYVPTGTSGASVMQIHNEQGAAHATVLMLHVYNGTLRYYSGEAVEDRIYDRWFRLNVVHDVGASTVSVYIDGAHRRDEVPSSIMAASSFHRALGGGEAARTKLVLLVLSSVVVALASSASAIDERNLTAGFVQVELTESNFKVQRPYDVPENQRYSYDNVTGVRTFLVYAGDKPFNTVTGTLPRTEVRLAGHDYSSGVWQFEGYGYVPTGTSGASVMQIHNEQGAAHATVLMLHVYNGTLRYYSGEGVEDRIYDRWFRLNVVHDVGASTVAVYVDGRRKFGASVIPSKSYYFKFGVYMQHHDVSPLMESRWRNITVYMKSTTSSATTYPQRAGRAHATVLMLHVYNGTLRYYSGEGVEDHIYDRWFRLNVVHDVGASTVAVYVDGRRKFGASVIPSKSYYFKFGVYMQHHDVSPLMESRWRNITVYTKSTTSSADVSPLM >Sspon.05G0005590-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:17986962:17988348:1 gene:Sspon.05G0005590-1T transcript:Sspon.05G0005590-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MESIAVVAVPFPAQGHLNQLLHLSLLLAARGLRVHYAAPAEHVRQARARVHGWGDAAARGVDTSPRFPNPDPAADSPFPSHLMLLWEAFTASAPAALAALLGEISASHRRVVVLYDLMNAFAAEEAARLPNGEGYTLHCTAVSSILGRMEGGSDLLRERGLEYLPIVPYVTEEFLEFAGKRARAAQTIPSSAGIVMNSCHALEGEFIDFVAEKLAAGGKKVFSIGPLNPLLDSSAHEQGATRHECLGWLDRQPPASVLYVSFGSTSSLRGEQIAELAAALRGSNQRFIWVLRDADRGNIFADNGESRHARFLSEFTKETEGTGLVITGWAPQLEILAHGATAAFMSHCGWNSTVESMSHGKPILAWPMHSDQPWDAELVCKYLKAGFLVRPCEKHTEVIPAATIQAVIERMMVSDDGLPVRQRATAIGEAVRASAAGGSSRKDLENFITHIIR >Sspon.05G0005960-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:18983514:18986019:1 gene:Sspon.05G0005960-1A transcript:Sspon.05G0005960-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPAVAAASPGLSHLPRYHHLGRRFHLRRHRLLPATPARPSCGATRARRLLLAGAFASGSGEGPSGQDVDYSVGATNSGSAYLGLFVRLLGLDNDARDREHAVCTLYQYSLGGRKSIDEIMQFPGCIVLIISLLKSESTPACEAAAGLLRNVTSVHIYRKMAGESGAMEEIISLLCKSTITPEILEQCLCTIWNFSIDENWRYKILRSDVLMKIVSYLDEEDIKVKEAAGGIISNLALSPSNHGALVEAGVIPKLVRLLQTKEDDYKIIRKEAKSTLIQLASDDCYHSLIIEEGLVRVPLVGSAAYKAFKPLPHSWPSFPDGSEIQRSSRPSKYGATELLLGLSVNENDTKPDEAKINAMIGRSNQQFLARVGAIELDDQGKEESGSEVNNLYTILPWVDGVARLVLILGLEDVSAIKKAARAMGDASINEHMRTSFKEAGAIKPLLQLLKHKDVHVMMLDYESSS >Sspon.01G0024550-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:86318462:86322506:-1 gene:Sspon.01G0024550-3C transcript:Sspon.01G0024550-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNDGPRWRDLGPTVVPASKPTQRPYPLAIPLLPCPLHFELNRWLAARLRSSAPSAGGYAPGEMEAAPSVRTFSPSSSSSAGARPCLLLTGPASRRARALAAPPPPPPCAVASSRAPLVVTSPPPPEASGTPAPAHAKVDRSGRFCSPRAARELALMISYASCLEGTDVVRLFDRRISARREPGFVFDKACLLSYNHMSFGGGPLEVGTEEEAEKLTSQNEKDSANEEDVLSAPPKLVYNNFVLRLSRELLVAVASGWDKHVDIIDKIIPQTWKDEPVARILELCILHIAMAEMTSKGTPHKVVINEAVDLAKRFCDGGAPRVINGCLRTFVKDHVDVAGTRQGAESKS >Sspon.02G0010780-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:23801387:23805773:-1 gene:Sspon.02G0010780-3D transcript:Sspon.02G0010780-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:TITAN-like protein [Source:Projected from Arabidopsis thaliana (AT4G24900) UniProtKB/Swiss-Prot;Acc:F4JRR5] MPPKPAKPPPAAEFEYCELCRHHHDHGRRHRYVTKHRRNLDAALTSFRSKLSDLRRAFLRGSPSSQPPRPRLWCPFCSTDLVVLDSRSAGKNAIYHLASSEHLKGVKDFLRKHGGGMDQVDSLRISEDVLAKLESLSTGTKKGTEGLIGPSLKQIKDIQNEYTCDSLDSFAQNNISSFSNTASYVVMPLQSPTNGAYDPISTACHGASSSGSAPYSAPYGTVGLPITPWGSAETHKQQGALSTNLFHSSGPETKGHQSTVLVNHARPSISCSNHVSSKANVHTGAPPPWLKANEHDPKNLPLRSCALPSRKGKSRKLNPKRVGAAWAERRRAEMELEKQGEIVPATSDSSWLPNFGSVWQSGTRKESRKDFEKSHKLHDTKSNHDLSLEIKPYISKRMRVGADKASDKAEELGSHLQQ >Sspon.01G0027770-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:97107324:97108529:1 gene:Sspon.01G0027770-1A transcript:Sspon.01G0027770-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPAVVPVEDGGAGEAAGEEVTYYSCHVAWLAWWNHARCVLASTFLPCPPAPTTKPAIVRGTLFVPSAGDRRVRLFLQEHGPATDQPAVDREHFLVLDLPAGLGGADIAAAGRIVLEYQRQWAPNAASPGGALLDSPKWLVYCKGTRVGYAARRERPSDAEGWLLEKLRAVTAGAGRLPGGGVEYLRGRFERIVASSDAESFHLTEWRGVSGGGFDGGLSIFFHRFSYFFLID >Sspon.01G0051830-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:482926:485130:-1 gene:Sspon.01G0051830-2D transcript:Sspon.01G0051830-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLDNTYGELSGMFCGGLSYDGYADHSSASDYFRFTDPLPAVVPQMAAEACSNPSSTVSRANTETDNPEDWEFISDESLNYISRMLMEEDIDEKVSMYQAESAALRAAAKPFYDILGHKFPPSPDHQPIPWSMDSPSESSSSICTQSVASTVTSSSIGGTVDSNWRYDVGRSEQLEAYRGLCGQSSQRLVGTSTDASNAVDVLEDPLITNGQIPEYLFESLPTWDFRRGIEEAQKFLPDSNTLVIDLEAGGLARPQEARKDVSLNAKKADVLKAKKNRQSEDLDLMEGRNFKQSAFCSDEPDWIEMFDDLLRQTEKKATDLRELMRNEASKNSQVTQTKGPSGPRPRGRKPTKKDVVDLRTILIHCAQAVAADDRRTANELLKQIRHHSKPNGDGSQRLAHCFADGLEARLAGTGSQLYRMLIAKRTTASDMLKAYHLYLAACPFKRLSHFLSNQTILSMIKNASKVHIIDFGIYFGFQWPCLIRRLSKREGGPPVLRITGIDVPQPGFRPSERIEETGRRLAEYAAKFKVPFKYQGIASKWETIRVEDLKVGKDEVVIVNCLYRFRNLIDETVAVDSPRNRVLNTIRQVNPAIFIHGIVNGSYSVPFFITRFREALFHFSALFDMLETTVPRDDPQRALIEREMFGREALNVIACEGSDRVERPETYKQWQVRNLRAGFVQSPLNQEIVMKAKDKVKDIYHKDFVIDEDSGWLLQGWKGRIIYAISTWKPKKN >Sspon.07G0024540-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7B:25777598:25786351:1 gene:Sspon.07G0024540-1B transcript:Sspon.07G0024540-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLKFRHFARCCIGGSERAPASAPVPAVSLPDNDDLLREILLRLPPLPSSLPRASLVCKRWRRLVADPFFLRRFREHHHGTPPPLLGYFFSDPGGPVFVPTMAPPDCIPPERFSLPRQPGAAGERLFFLGCRHGLALLINRRRLHAVVWDPVAGCRATVAYPPEFTTDNGAHCCRGTVLMSTAAATADGVGDGQLRPFKVILIRTDDVHDGRIRVSMCVYESKTGKWGHTISTVIIPLSVSNLPSVLIGNTLCGFLRWPNGILEFDLETHSLGIIKTPKSLCPIDRSFFQVVRTQDGELGLAILYKLTMELWKRKASSPDGAVGWVLKKTIQLDNLLPIPRMNMVDSNLSSARILGFDEDNNVIHVSTFTSGAFAIRLDSMKFTELFNVYRIGSYQSCYPYTGFYTAGVPRLSAA >Sspon.03G0036080-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:51882885:51887748:-1 gene:Sspon.03G0036080-2D transcript:Sspon.03G0036080-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSIASQLKAIKSALGAAPEPARRPVTRPSVLFDAKEAADIDLRAILPIALSGLEHLTSIDERFARYSNTLFRETSLEVNREQLTPKENDKLNKSISSYLRLLAGYLYLPSALKTLEYLIRRYLVHVYNSDELLLSTLPYHDTHAFVRIVQLVNLGNSKWAFLGGVKSSGAPPPRSVLVQQCIRDKAVLETLCSYVTPTKEFSHSRTVVCFCTAVIVECLGAVPKLDTDAVQRVLGFVFDSLNPAVTRDQEYKAGALMIVGVLGTRATLAPKLVQNLIFFVARTAQHDASESVDLPWLRVSVMALISLVQSQSVHDFPKKPLMILKDIRDFSGILSVLSSEYNIERFIRLYVESLIDYSISDGSCHTHLIETIETLPVKNFIESIVCKVLRNCVKVSQATGNPDINHTELWAKKIFSAIERKYPLELRDAIRKFLENSEINSSGGDSMSEVFGLVFDESKNLPTEISDSNIWFSLDHPKAAVRQSALSKVAISGIFNNSTLNPQLSSDDDLLAYVSNSEFVQKFINMQDAILRSLYDDDLSVVQAALSIEGLAVVANPDSLLKAYDGVLTKCIKIINKGGSKSSKASDIAVSCLEKLVTEYQSHHMEHAKDIATLVFRLLIALELAKKIQWEFYTSSSLVYDGVNFDKMKNMSADSIASINMKNIKAFTETFLADPNKHVEWLANSESGSRFSKTLFLLIVLQSLVSTEELMMVAITILF >Sspon.08G0005540-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:16829381:16832847:1 gene:Sspon.08G0005540-1A transcript:Sspon.08G0005540-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFLGLSLVLLGGLRCCSCSQVYVVYMGKGPQGDSDRRHDILRLHHQMLTAVHDGRSITDSFSFLGCPYPSSFQCCYIQYLEWMLPLHSSEKAQASHVYTYSNGFQGFAAKLNKRQAMELAEMPGVVSVFPNTKRRLCTTHSWDFMGLSTNAEGDVPGLSTNNQENVIVGFIDTGIWPESPSFSDHGMPPVPKRWRGQCQSGEANSPSNFTCNRKIIGGRYYLNGYQTEESGSSKNAIKFISPRDSSGHGSHTASIAAGRFVRNMNYEGLGTGGGRGGAPMARIAAYKACWDSGCYDVDILAAFDDAIRDGVDIISVSLGPDYPQGDYLSDAISIGSFHATNNGILVVSSAGNAGRQGSATNLAPWMLTVAAGTTDRLFSSYIRLTNGSFIMGESLSTYHMKTSVRTISASEVNAGYFTPYQSSLCLDSSLNRTKAKGKILICRRNEGSSESRLSMSMVVKEAGAVGMILIDEMEDHVANHFAVPGVTVGKAMGDKIISYIKSTRHASTMIMPAKTILGLRDAPRVAAFSSRGPSSLTPEILKPDIAAPGLNILAAWSPAKNDMHFNILSGTSMACPHVTGIAALVKSVYPSWSPSAIKSAIMTTATVLNNKRKTIARDPNGRTAATPFDFGSGFMDPIKALNPGIIFDAQPEDYKSFLCATSRDDHSLHLITGDNSSCTHRASSSATALNYPSITIPYLKQSYSVTRTMTNVGNPRSTYHAVVSSPRGISVRVTPEVINFENYGEKRTFTVSLHVDVPPRRYVFGSLSWHGNGTDARLTMPLVVKVQTSDKA >Sspon.02G0003810-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:12033012:12035654:-1 gene:Sspon.02G0003810-1A transcript:Sspon.02G0003810-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRDFLGGFGRDGGQAPAGGAAAGAGGESDEIELSLGLSLGGCFGADPSQDGKLRLQRSSSIASICSLPAATSCGAQAQDAAPATAPPPDQLLRTSSLPAEYMEDRLRRRAMQSQRRLEAKRKRLERRNSMNSGRPVPAAGGGAAGRDEGLEHTVPSGFQLRRTVAALTTAGSPTPSRPQQGLAERRAEASSSAAAPTSSDGVSVGQSSSLVPKEATTTGGRPSSDGGAACHEQQPPPAPLRTLRSLTMRTASTGDLRNTMAEDMPMVSYKAAEGPSGGGRKTDGFLYKYRKGEEVRIVCVCHGSFLTPAEFVKHAGGGEVTNPLRHIVVNPQQSVFL >Sspon.01G0043860-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1B:77688805:77689953:1 gene:Sspon.01G0043860-1B transcript:Sspon.01G0043860-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPPAAPGATSTRKRRRRTWDIGPRYWASLPEDLIRAVAWRVLACGDLLDYVHLRAVCTSWRSGADSPRCRGLADRRFHPRRCMMFPEGHRIYPGPTDLGRCTRFLHLDTGARVPLLLLLWDPNPNHGAGRLLHPFTGDVAELPPLGTLLPHLGPQLHGCPPQYRIRQLARVVSASVSFDAAGAMTVMLALPGVGRAAFATPLDQQWTLSSWEHEMTFPLSFQGKLYVLHTPLGEEDVHHVLQIDPPVQQDGAGGGRALPLPELIATVPKDKLPNPLGLVECGSEILVLGNYIDLSGTQIFVYKLADLVLQRFVPIKSLGGNTLFMEQRNISVSSKILHTVKGDNVVYFTGSRIVQYHLGSDSLSTAVDNCSLFGRAPGPS >Sspon.01G0027900-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:97517958:97519904:-1 gene:Sspon.01G0027900-1A transcript:Sspon.01G0027900-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASRFLLTVLVVGSACAAAAPRALAARELAGEEEAVAAAAMASRHEKWMAEHGRVYKDEAEKARRLEIFRANAEFIDSFNAAGKHSHRLATNRFADLTDEEFRAARTGFRPRPAAAAAAGSGGGRFRYENFSLVDAAQSVDWRAMGAVTGVKDQGECGCCWAFSAVAAVEGLNKIRTGRLVSLSEQELVDCDVDGEDQGCEGGLMDDAFQFIQRRGGLASESGYPYQGEDGSCRSSAASARVASIRGHEDVPRNNEAALAAAVANQPVSVAINGEDYAFRFYDSGVLGGECGTDLNHAITAVGYGTAADGSRYWLMKNSWGASWGEGGYVRIRRGVRGEGVCGLAKLPSYPV >Sspon.04G0015540-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:19839193:19848073:1 gene:Sspon.04G0015540-1P transcript:Sspon.04G0015540-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGAAAHAVGPRGGASASASQPHAAAAAAAAGTGAGGCEPVRKAGPVTMEHVLLALHETEAEREARIRDMFAFFDTAGRGQLDYAQIEAGLAALQVPAECKYARELLRACDRDRDGRVGYDDFRRYMDDKELELYRIFQAIDVEHNGCILPEELWDALVKAGIEINDEELARFVEHVDKDNNGIITFEEWRDFLLLYPNEATIVNIYHHWERVCLVDIGEHAAIPEGISKHVNASKYLIAGGIAGAASRTATAPLDRLKVIMQVQTTRTTVMHAIKDIWTKGGMLGFFRGNGLNVVKVAPESAIRFYAYEMLKEYIMKSKGENKSEVGASERLVAGGLAGAVAQTAIYPIDLVKTRLQTYSGEGGKVPRIGQLSRDILVHEGPRAFYRGLVPSLLGIVPYAGIDLAVYETLKDVSKTYILKDSDPGPLVQLGCGTVSGALGATCVYPLQVIRTRLQAQQANSESAYRGMSDVFWRTLQHEGVSGFYKGILPNLLKVVPAASITYILMTPSTPT >Sspon.02G0010620-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:23492579:23501235:1 gene:Sspon.02G0010620-4D transcript:Sspon.02G0010620-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGAARRQLGSGPMLGQVLRRLRPAAAAAEVARGYSAAAKEMTVRDALNSALDEEMSADPSVFLMGEEVGEYQGAYKISKGLLDKYGPDRVLDTPITEAGFTGIGVGAAYHGLRPIIEFMTFNFSMQAIDHIINSAAKSNYMSAGQISVPIVFRGPNGAAAGVGAQHSQCYAAWYAHVPGLKVLTPYSSEDARGLLKAAIRDPDPVIFLENELLYGESFPVSAEVLDSSFCLPIGKAKIEREGKDVTITAFSKMVGYALQAAEILSKEGISAEVINLRSIRPLDRAAINASVRKTNRLVTVEEGFPQHGIGAEICMSVVEESFEYLDAPVERIAGADVPMPYAANLERMAVPQVDDIVRAAKRACYRAVPMAAAA >Sspon.06G0028440-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6D:5495226:5498438:1 gene:Sspon.06G0028440-2D transcript:Sspon.06G0028440-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRAAALQSALTKERRDIRTQEQRGMVDAIPKDLNRSWEDPMSSGGRYLMQELVGTGLSAQSVPEWKVTYGKAGTYGQKSRLSIQEQRQSLPIFRLKKELINAVNDNQVLVVIGETGSGKTTQVTQYLAEAGYTTKGKIACTQPRRVAAESIAKRVAEEVGCRVGEEVGYSIRFDDCTGPETVIKYMTDGMLLREILVDGDLSSYSVVMLDEAHERTIYTDILFSLLKQLIKRRSDLKLIVTSATLDAEKFSVEILHTKQPESDYMDAALITVLQIHLTEPEGDILLFLTGQEEIDHACERLHERMKAFGGDIPELIICPVYSALPTEVQSKIFEPAPPGKRKVVVATNIAEASITIDGIYYVVDPGFAKLNVYNPKLGLDSLVITRSLRHQLSKEQGVLDVQVQAMGINDLLSFDFMDPPASQALISAMEQLYSLGALDEEGLLTRLGRKMAEFPQEPPLSKMLLASVDLGCSDEILTIIAMIQTGNIFYRPREKQAQADRKRSNFFQPEGDHLTLLTVYEAWKAKGFSGPWCVENFIQVNSLRRAQDVRKQLLEIMDKFKLNVISAGNNSTKIGKALAAGFFFHAARKDPSGGYRTLADHQQVYIHPSSALFHQQPQWVIYHEIVMTTKEYMREVTAVDPKWLVELAPRFYKSVDPMKISKRKRQERIEPLYDRYNEPNSWRLSKRRW >Sspon.01G0023730-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:85293712:85295131:1 gene:Sspon.01G0023730-1A transcript:Sspon.01G0023730-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKQYSPVLSFCLIVLVVAAMVCAMYTSSAEAGRSGYNSYQPDDRLCLYSGKETIILLRYKKMLR >Sspon.03G0016550-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:52357209:52363306:1 gene:Sspon.03G0016550-1A transcript:Sspon.03G0016550-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:COP9 signalosome complex subunit 2 [Source:Projected from Arabidopsis thaliana (AT2G26990) UniProtKB/Swiss-Prot;Acc:Q8W207] MGSDADMEDYGFEYSDEEPEEQDVDIENQYYNSKGLVETDPEGALAGFDQVVSMEPEKGEWGFKALKQTVKLYYKLGKYKEMMDAYREMLTYIKSAVTRNYSEKCINNIMDFVSGSASQNFTLLQEFYQTTLKALEEAKNERLWFKTNLKLCKIWFDMGEYGRMSKILKELHKSCQREDGSDDQKKGTQLLEVYAIEIQMYTETKNNKKLKELYQRALSIKSAIPHPRIMGIIRECGGKMHMAERQWAEAATDFFEAFKNYDEAGNPRRIQCLKYLVLANMLMESEVNPFDGQEAKPYKNDPEILAMTNLIASYQKNDIMEFEKILKSNRRTIMDDPFIRNYIEDLLKNIRTQVLLKLIKPYTRIRIPFISQELNFPEKDVEQLLVSLILDNRIQGHIDQVNKLLERGERSKGMRKYNAIDKWNTQLKSIYQTLSNRVG >Sspon.06G0024810-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:58198825:58199556:-1 gene:Sspon.06G0024810-1B transcript:Sspon.06G0024810-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GRAAPRHQAGGGARRRRRRRGRVHLPHAAAAAPGCRRARQGLPPPGTASVRGVGVLVAASVAAVVVVPDPPQLLRGRLRRVAVARPGPARPPAPQRWVLPRAQRLRRPRRRRRLLRGRRGRGGGEDGQPVGGPERRRRGGPWRGPALRAVPRRVAPPVRGSRQRRRGGEDGGQGQGPPRRWCRRRGARRVQELPATAPGAGGHDALTQEDVRGAQGQEPRAQGRAEHRLCICSICLFLQQERMS >Sspon.07G0020110-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:74842347:74843522:-1 gene:Sspon.07G0020110-1A transcript:Sspon.07G0020110-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATASLKSSLLLPSPISDFSGAAVSISVSAQKRRSSWQPRGVRVQVSAAADSKNILVMGGTRFIGVFLSRILVKEGHQVTLFTRGKAPITQQLPGESDAEYAEFSSKVQHLKGDRQDFEFVKSSLAAKGYDVVYDIN >Sspon.01G0000550-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:1066796:1082911:-1 gene:Sspon.01G0000550-2B transcript:Sspon.01G0000550-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFMSCSAAVSNGIAPRFLVCACARGVLALGSHRSSRRARRRHAAVFAKTRRRRRRPSEWQRPWWRTFFADWNEDEESLAGFREDDELLEAIGADQELSENDKFDEWRRKAEAIVELREAQQDAMNAEARSWEDWTSGGGASANGGGDGDWGGEASLLDEITDDPAEIVWDKGVIQAFRDTVDDDYEDMLFEDRVFMYASTNSTVLHGTLHNNRYVEKVPLAAELLDVRRSQKLHMVKDLNMEKARYRMEVEIGKSPPLSDEEVWFELREKAIELRDDWRLENREAFANIWSDMVYGIVLFLLLCFNQSKVAMLKFTGYKLLNNISDTGKAFLIILISDILLGVYAYMRDDAPYRLTDCALLISSNGIALRFPIGGVCARRVLALGSHRSRRPRPRRHAAVFANRRRRQRLTKWQRPWWKTFFSDWNDDEESLAGFREDDELLEEIAADQELSENEKFQTWRRKAEAIVELREAQQDAMNAEQPSWQDWISGGGGGDWGGEASLDKLRDDWRLENRAAFANIWSDMVYGIVLFLLMCFNQSKVAMLKFTGYKLLNNISDSGKAFLIIIVSDILLGYHSESGWHTMVEVVLEHYGLESDEAAVTFFVCLVPVALDVYIKFWIATAASMLRWYLASNGIALRFPIGGVCARRVLALGSHRSRRPRPRRHAAVFANRRRRQRLTKWQRPWWKTFFSDWNDDEESLAGFREDDELLEEIAADQELSENEKFQTWRRKAEAIVELREAQQDAMNAEQPSWQDWISGGGGGDWGGEASLVDQITDDPAEIVWDKGVIEVLRNTVDEDYEDMLFEDRVFMYASTNSNVLHGTLPNNRYVQKVPLAAELLDVRRSQKLQMVKALNIEKARYRLEVEIGKSPPLSDEEVWDELREKAIELRDDWRLENRAAFANIWSDMVYGIVLFLLMCFNQSKVAMLKFTGYKLLNNISDSGKAFLIIIVSDILLGYHSESGWHTLVEVILEHYGLEADEAAVTFFVCLFPVALDVYIKFWVYKYLPRLSPSVGNVLDEIKRH >Sspon.06G0018060-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:97637910:97640530:-1 gene:Sspon.06G0018060-1A transcript:Sspon.06G0018060-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGGTHSGGERWSLAGATALVTGGSKGIGHAIVEELAAFGVRVHTCSRSVADLEACRRRWSEKGLHVTVSACDLAVRADREVLMDTVKDVNNAAQLFLKLVAQCTAEDFSRCMSTNLLSCFHLCQLAHRLLLNASLASGGSVVNVSSIGSLLSYHDITLYGTAKVCFFWPNEGNVRN >Sspon.02G0018040-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:68615264:68616710:-1 gene:Sspon.02G0018040-3D transcript:Sspon.02G0018040-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGDPACVLPPRPRTMKLGSQGLEVSAQGLGCMGMSMAYGERKPEADMVALLRHAIAAGVTFLDTSDVYGPHTNEVLIGKALHLHGAREKVQVATKFGITPDVRAVRGDPAYVRAACEGSLRRLGVDCIDLYYQHRIDTKVPVEVTMGELKKLVEEGKIKYIGLSEASASTIRRAHAVHPITAVQLEWSLWTRDAEQDIIPTCRELGIGIVAYSPLGRGFFSSGAKLLTELPDDDFRKNLPRFQPENMEKNALIFERVSQIAARKGCTSSQLALAWVHHQGSDVCPIPGTTKIANFNQNLGALSVKLTPDEMAELESYAAMDGVQGDRYHSTFLNTWRDSETPPLSSWKGN >Sspon.02G0040310-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2B:67507880:67508833:1 gene:Sspon.02G0040310-1B transcript:Sspon.02G0040310-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNTASKLKEMNLKEDDFLIHLIFASLPKEYDTLIVNYNMQPERWGIERLISMCAQEEERIKSSQGEFAHFVKDNKRKNFNGKNSKPQGKPKWDKTSSSNSQGKKPQDSENQHNNSYGGAKKDQCKHCFKKGHYKRDCPDFLKSLLKRGDEFITFVDESLYLSYAKSTWWVDSGATTLVANSLQGLSGTRTLQRGERTIKVANRVQAYVEAIGDLSLELNNGFVLRLKEVLYVPSLRRNLISVSKLDDNGINCHFGNGKCKILVNNECVGLAFRQDKLYLLSLDENANNVCDENMNDSPSANVTKKWKRIDDASLKL >Sspon.05G0025500-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:5020081:5033520:-1 gene:Sspon.05G0025500-2C transcript:Sspon.05G0025500-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAANPRPSPDTGGTSYGSFANLKITAEKLVKEQASVKTDLEMAHVKLRRATEQINLLEGKLQQAVNENAKLKVKQTEDSKLWQGLDSKLSSTKTLCDQLTETLQQLASQTEQAEEDKKFFEEMLGKNSKDLDEFNCLLRDLSTKLEDAEQKIISGRQEMLQIKQEKEEMDQSYKERLYSNDTTIKEKDSLIKQLEGSVEENKSRLICLDSHLQCMEQELKLKEDVCISLKGNLASSESEKNSLELMNKGYILETEKLCQDNKDLKELLSSFMVKVNELDKEHASVSSHVSRLISSFERFNEMAQKEKMLMTRSSKDKFEHLQSQYVDLTSENNALKTEIEELKSRLRELQRTQEIVMVQHVEECQVAEDKIRRLESEAEVSASNINQLEKIASELQGRIQKLLEDSTLAENHKQELLQKILKLESDNQELLGQVQSIMEEKSNNAESLQGEITKRDQQVNTLENQINQLRSVLDEKEQLYRCSVEREKTLEDQKLQPFESNAQVEASLSATECQLIEAKKQYDLMLEGEKIELSKHLEELSLKNDQAINEIRKKYELEKIEITNAEKEKAEKLIREIENKCNEKMSQNKHDSERIQQDNELKESILQAHHKEELQRIQSQAENELRERLSLLRKEHELQIKSLRMHHEEECQRMQEELELQKSKVEEKQRALLQLQWKVMGESQQVDQEVNSKKVLSTHQEYSVSSIKRRDPYGRKEHEVQLASPETKRKDVNLPGILESPISNMLRKVDKVSQDIPKHRKVTHHEYEVETANGRITKRRKTRSTVMFGEPNTQKSSHNTADNDVTKIKKVPTGSRAHPANLGELFSE >Sspon.01G0025440-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:100102239:100104396:1 gene:Sspon.01G0025440-2B transcript:Sspon.01G0025440-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding NGRESEGGAVLAHGRAAGRGGVRAVRLRRQQQRRLHVGAGEPVPVHGLHQRQRHVGAQRVVLLAAQERGAVRAAVPVRRTRQRRRLVLPRRRHHRPVPRARPPRGLQRPDPTRQPVQRVVGRRIEGNAFPAIWWCVAPGAGGSCARARRGRGLRRGSWPVAVRRLYVPRRGDGAARPVLLAAQVRGTVEAAVPLRRARRRPDQRAQSPCCVQRPDSQRERVQRF >Sspon.03G0014120-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3B:65756053:65756721:-1 gene:Sspon.03G0014120-2B transcript:Sspon.03G0014120-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFRVLEVTLVSANDLKRVSLFSRPRIYAVASISGFDLRMPCHSTQADQTNGCNPAWNAVAHFPIPAAADTRGLALHVRLRAQRVYLGDRDIGEVFVPIDDLLAGADKGGDPRPVSYQVRRPHSGRAHGVLYFCYKFTDVPAAAACVPEPEGKQGQYAKYVQDSENTTDKTMVPPTVYPPQQAVSSAYPPPQYGSPYAAYPPQPYAYAAPPPYGYNAATPQPA >Sspon.08G0013340-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:54346620:54348863:-1 gene:Sspon.08G0013340-2D transcript:Sspon.08G0013340-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding METLASAMRRENRRSKAPSSSSVATALASGRVPLVMAFLSCLAWLYVAGRLWQDAQTRAILSGLLEKSSGSVPKVLSVEDKLRNLGCKAIGSKIVEAEMDLTKAKSEGYLWGNRTAAVDSDKKQQLLAVIGVYTGFGSRLKRNVFRGSWMPRGDALKKLEEKGVVIRFVIGRSANRGDSLDRNIDDENRQTKDFLILESHEEAAEELPSKAKFFFSAAVETWDAEFYVKVEDNINLDLAGLIEMLEGRRGSQGLYMGCMKSGVVISEEGQQWYEPDWWKFGDSKT >Sspon.04G0020670-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4A:72542058:72543069:1 gene:Sspon.04G0020670-1A transcript:Sspon.04G0020670-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLAHARSRKNPKAGATGRLPPGPPALRCSNFDLAPLLCDLHAHYGHLHHGGNTFADRTLQVDSSNLFTVGGRNVGTSPYGVYWCLVRHNLIGEALQPAHIALFAPARQSACDGLVNSLLRNRQSASSGAKDNNAVTLRPFLRRAMFELLVYMCFMHTSPYTSNKYGGGTCPRGQKNYVAAAPVLLSFTTFLVIAFFLMLTKRLFRSQWEANIAVRRRQEEVLVPLIHAIATCRNNGDAGPPRFGSHQAQNKQQREAYL >Sspon.06G0013410-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:70145380:70149768:1 gene:Sspon.06G0013410-1A transcript:Sspon.06G0013410-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATALSSSLRAPAFSLGLAAAPVATVVALPAAKPARGARLRAQATYNVKLITPDGEVELQVPDDVYILDQAEEEGIDLPFSCRAGSCSSCAGKVVSGTVDQSDQSFLDDAQIEGGWVLTCAAYPTSDVVIETHKEEDLVPDDVYILDQAEEEGIELPYSCRAGSCSSCAGKVVAGSVDQSDQSFLDDDQIAAGWVLTCAAYPTSDYTQPLLAAPAPAGTTTMATVLSSLRAPAFPSSLQVAPAPAPATVALPATKAAMARGARLRAQATYKVKLVTPGGEVELQVPDDVYILDQAEEEGIELPYSCRAGSCSTCAGKVVAGSVDQSDQSFLDDDQIAAGWVLTCAAYPTSD >Sspon.08G0017480-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:1868176:1875989:-1 gene:Sspon.08G0017480-1B transcript:Sspon.08G0017480-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSHQQQIAPPSRGSVNGFPHQKLDREGSGRHESKINLVRKWHQTRHGSHSRDRLIYVLTQLIGHHVDVHVKNGSIISGIFHATNSDKDFGVVLKMAQVIKDGSARGQRYADDVVKKPETMIIPARELVQVFAKDVALGGDELPKGPGHDKRKDLMIDSAISRSHYLEERELERWAPDEGDSECIELEKYDRKGNRSWDQFETNAALFGVKSTFNEEIYTTKLERGPHMRELEKHASRIAREIEGEDTKDIHLAEERGLFLGDDLDHDEEIKYSAVRRDTDNSKYKPPFAKIPSSTCHVDSFNRTDEESSSHIFDDTDASATIQTNSVSQPTSDYLSDRPLSNDENRLDRKLSRESNENMDNRKLQPENNLSDGARPLISEGLDGRPSSSHAYEPSSSGQEFKSRETPDLKVSVKHPSATEPVTSSQRPGSSTSSTSERIAANSAASAPGLSPSSSIGSLTSEKSTLNPNAKEFKLNPNAKSFTPTASLRPPHPTSSDASYYYPNNMQAAPLGPGLPVGMGFPPAYGAQPVMYNTQPGASPQGYMHPAGPQYGQQMMMGGQTRPVYYYAPEMQQYRGRNF >Sspon.06G0029430-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:22807673:22808557:-1 gene:Sspon.06G0029430-1P transcript:Sspon.06G0029430-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DGGCYKSPRAQRGSDASDGVLHARRRRPIPSGHAAAAAGAASPSPGGRSPRPRPRGPAVPGDDPPEPGADAVHPGPAPPAAEPGPGPAGAAHEACAPRAAAGAGAAAAAAAEAVPRRAAAPLGQVGGGDPSPPEPHPPVARHLRHRRGGGAGVRPGGVPPPRGRGAAQLPRQRGVQGAARPRRRRQAPVHLRHHRRRVVVVQGRQGQEQGHANQRARPGGSEQQLLRRRLRVRVRVRVGRRDVLVLGDAGGGGAAGGSGHGAAGLQRGAVGRGRELRAPQVPVLRDRLGRAALQL >Sspon.01G0002860-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:7804068:7806751:-1 gene:Sspon.01G0002860-3C transcript:Sspon.01G0002860-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLLEAGEVARRWSLLRHRTHRKRRATFNEFGTDGGPAAKALQPKFNVFVKNISAHLGVAVPHIELKHVIAATIGLKGLGSLLFILSSSLGAYLLLFYLALITPIIHDFYNYDMEKAEFAQLFGKFTQDVALIGALLFFLGMKNSIPKRQGKKKAPRRRRTKAL >Sspon.06G0015850-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:70990507:70994747:1 gene:Sspon.06G0015850-2B transcript:Sspon.06G0015850-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEHQRRRRRRRCGSGEDRISGLPDALLHEILVRLRSADAAARTSVLSRRWRHVWAHLPELSLVAPRAAAPASFIDTVDAGLGGYLAPTLDHLGVSHRTDQQGRDLRIPAGRIAPWLHFAAEHVVGELNLFLQVPQTYSVSLPEVVGEEAALELPVCERAKRIELFLQYACTHWLRPQASGLFRALTFLKINGYVHMNGSDLTAFVSTQCPCLRDLDLSIKLIVIFDVFIHSNSLPTLVLRVVETRRLEVLAPKLEEITVIIQPIEAHISAPKLVKVAWYRDYDPHLHRFVDVGCTLRLLETSYGALFLTKRFDEVDELDLSIFIPQGVEGYGSFLNETNKLPKCKSFRIDLTWDHHALVPSMSHLLKRCNGTKKISIKMFESHKIDSSTLSSLEEVEISDFTDSLEDMELVEFLSSNAVILKRLVINYMMFPDHPITKEVKRYYCPNKISLSAVMGHSLFP >Sspon.04G0028080-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4B:63723230:63723843:1 gene:Sspon.04G0028080-1B transcript:Sspon.04G0028080-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding NIAPKSKTIIWCDIRSGRLAVYDVRSREPASPEIVSGSPALITVITAPTYPTFLNSLPSCLLIPKSCIPRLPPPSALPSPRVFQQENASSSSSPSRPASSSTTSADPSSRSQFPPAVRSSGQRREAADEARVVPSSHPHRGPQSQQGDPRSQRQSPPYRSRSIPNESVITANLLSACTPASCSLLPHAHIPHCQHADFIAVSV >Sspon.08G0019490-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8B:12298300:12298593:-1 gene:Sspon.08G0019490-1B transcript:Sspon.08G0019490-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAVGELLASVALKTVFGKLAHLAFNATWNEIALQLNFSKDLKSIKDNLSFVQSFLEDAERQSPRLEGIRHTLKKLKAAAYDLEDMLLLFESWTTPTR >Sspon.08G0007280-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:23226027:23236711:-1 gene:Sspon.08G0007280-1A transcript:Sspon.08G0007280-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQMGEGGQLTNSKEIHGSEYSFGAHDHPSSGVFEVEPKKCPGFIYRCTVFIGRTTLNPLEFREFIQRMASEYHGDTYHLISKNCNHFTDDLSTRLTGKSIPCWVNRLARLGAFCNCLLPESMRLESTETKHLADCRFSDGSNTTSNDNFDEDDLEDKHLLPTSSVGEDTIVKE >Sspon.02G0058750-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2D:82320574:82320684:1 gene:Sspon.02G0058750-1D transcript:Sspon.02G0058750-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ASIRPPLLILIYPNDLAEVDWLPATEKRFCPPPKNV >Sspon.03G0000940-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:8405371:8409053:-1 gene:Sspon.03G0000940-4D transcript:Sspon.03G0000940-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSQPFGCGLWPLHALVRGDGSTWTSANYCFQCIAYFAVLTNLVNYLKDRLHEGSKAAANGVTNWLGTSSITPLVAAFLADAFLGRYWTIALFMVISVVVRTYVRCTSSTITCSAARRRVAKQAHPPGLVAVLLFCFRHVRTQAYVVLTVSAVVALESAAFYAGLYLLALGGALQPVLSSFGADQFDEEERGRQSSFFNWFYLSINVGSLVGGTVLVWVQSSVGWGLGYGIPALFSVLAVAVFLAGTTTYRRHQPPAGSPLTRVAQVIVAAVRKCGVQVPEDASTLHECEDVDGMSAIQGSRRLAHTHQFRSRDIINRKLYVIVNNFPVIRSSFTRNLELFVDISISSYRRFRRPHDAPNYTACRFLDKAAVETAADKAARPSSAWRLCTVTQVEELKCVLRLLPVWASGIIFAAAYTQMTTTFILQGDTLDPYVGGFRVPAAVLSVFDTLSVMLWVPLYDRVVVPLARRATGHERGFTQLARMGVGLVVLTLAMLAAGALEVARRGVIARHGMYDTNTGDGRYLPLSIFWQVPQYVVVGASEVFTFIGQMEFFYDQAPDAMRSLCSGLSMTSFALGNYVSSALVTVVARATARGGRDGWIPDDINRAHLDYFFWLLAMLCVGNFGAYLLIARWYTYKKTVD >Sspon.02G0020990-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:68262822:68265181:1 gene:Sspon.02G0020990-1A transcript:Sspon.02G0020990-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRPASWEQGGDEYDYLFKVVLIGDSGVGKSNLLSRFTKNTFALDSKSTIGVEFATRTIQVDNKTIKAQIWDTAGQERYRAITSAYHRGAVGALLVYDVTKVMTFENVKRWLKELRDHADSNIVVMLIGNKIDLRHLRSVAVEDAASFAESEGLFFIETSALDATNVEKAFQTVLAEIYRIISKKPLSSEESGSGSGNLREGQSIQVSATNSNALTSRCCSS >Sspon.07G0008720-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:22504590:22508558:1 gene:Sspon.07G0008720-2B transcript:Sspon.07G0008720-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLSCRDLPARLPTLPRRASATTHTQLSFLCGPAAPLRWRSSLTTTNTLRLPLAATKDDAGVASLVGRLEHDVANAPYRDDVLEEEEEEEEEEEDNDDDHQLRTRWREIHGCDDWAGLLDPMDPLLRSELIRYGELAQACYDAFDYDPSSRYCGSCKYPRRELFSRLGMADAARGYAVSRYLFATSNIRFPNFFPQSRAGARIWSQSANWIGYVAVSTDEESARLGRRDIAIAWRGTVTRLEWVSDLMDFLRPVAEEGIPCDDPEVKVLAGFVDLYTDRDPGCRFCKYSAREQVLTEVRRLVARYAAAGEDVSITVTGHSLGSALAMLSAYDIAETRANVAGGEQGAAVAAPVCVYSFGGPRVGNAAFKRRFESELGVKALRVVNVHDNVTRMPGILLNEGAPEVVRRVAEGLLRLPWCYAHVGVELALDHKRSPFLKDTLDPACYHDLEAHLHLIDGGRDPALVNKACDFLKDHHGVPPCWRQDHNKGMVRGRDGRWVQPDRHGCHLDDHDHDDDGHHHHHHHNKHHHHHRHGQDMQSHHRPKHDEP >Sspon.02G0046490-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:27915366:27922445:1 gene:Sspon.02G0046490-1P transcript:Sspon.02G0046490-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGGRGKLAAAADAAPAAVPAGGVAVAGAAADEVVRRVRPTEASERRRAEVVDYARRLVGSALGCEVFAFGSVPLKTYLPDGDIDLTVLGNTSYDSTLVNDVSCILESEEQNSDAEFVVKDLERIDAEVRLIKCTIGNIIVDISFNQTGGICALCFLELVDRKVGKNHLFKRSIILIKAWCYYESRLLGAHHGLISTYALEVLILYIFNLFHKSLHSPLEVLYRFLEYFSKFDWDNYCISLNGPVALSSLPNLIGGLPFNIFIGRLSLKFILLAVEATVTHTSDLLFDKEFLKSSMDKATVPPKNSDSCYMRFRPKHLNIVDPLKEHNNLGRSVNRASFNRIRTAFLYGARKLGHILMLPSEVIPDEIYGFFKNTLERNGIGVRPDICSNFAFHPSFGTVEAILEDISSMKISDGEDENLTSCHLSKSLGDKNLYVGTNGPTHLSRCSPGVHNSVLSTDLSTRSSHFVHNAPKLHSSFCQDDSHADSERCYLDHALEQVSHSTAKAFCIDDKTSIQSQVSVSNPQLLSTSAASNASELATKQKNWCATSVGKQHFPPSPSSLPDLSGDLDSQFRCLRQVQYHLEYLFDGFLQSVQEASSADKFHKDPFHSPACSIFLGRDAASPRLQLLSPAQSNGRDSSPVSCSQSTECVSQHSQNESPWDMAYHQSISLPSGTDVPPNGVLPSSSCADSEVSSVSWFHGSEDSAMMHGNGVHTYFTKSCYTHRERLTSSRENGKILPNQSVTYRSNQKSAPGARFVSRKEQAALDSRTKETIIGQALKIHGYIQSDRKIVEKLSCHTQKEFVRNDNEARQLPKYNQDVCLNKNFLQNRYHDTDMESTRAPRATNQMPKYQPFNIQNTTESDRASLSKNLPRKHSSGTWKEYEILDRPTKQGPICGPLKLENRRHVWDCTKKTSAGKQNCNNRKDCLSFVRGAVPCSHAASTPNGLEKEVKSNKLVDNGSLLRPILPELLLSCHDINSQETPPSSNAQSYFPAVNGRPLDTIEFGSLGPFALPLSSLKSNGATNTQTTSKVFTDTSPFVLQRSRAAASENRPPGLCKVGDEDEFPPLRAGIR >Sspon.02G0010730-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:28073138:28074005:1 gene:Sspon.02G0010730-2B transcript:Sspon.02G0010730-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to Peroxidase 55 precursor (EC 1.11.1.7) (Atperox P55) (ATP20a) [Source: Projected from Oryza sativa (Os09g0507500)] GCDASVIIASRDNDAEKDAPDNVSLAGDGFDTVVRAKAEVEKKCPGVVSCADILAIAARDVVTMLGRLDGLVSKAGNVAGKLPGPNMRVKDLAAMFAKHNLSTLDMVALSGAHTVGFAHCTRFTDRLYHHGVGDGGNGASVDPSYNPAYARQLMEACPPDVGADIAVDMDPITPTAFDNAYYANLAGGLGLFTSDQALYSDGASRPAVRDFAKNQRRFFEAFKDAMVKLGSVGVKNGRHGEIRRDCTAFN >Sspon.01G0032810-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:104880840:104882626:-1 gene:Sspon.01G0032810-2B transcript:Sspon.01G0032810-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATMEVEAAAATVLAAPLLSSSAILKLLLFVVTVSYLARALNRTRKTTTKCSGATCASPGVGNPPLPPGPVPWPVIGNLPEMLLNKPAFRWIHQMMSEMGTDIACVKLGGVHVVSITCPEIAREVLRKQDANFISRPLTFASETFSGGYRNAVLSPYGDQWKKMRRVLTSEIICPSRHAWLHDKRVDEADNLTRYVYNLATAAASSGAVVDVRYVARHYCGNVIRRLMFNRRYFGEPQPDGGPGPMEVLHMDAVFTSLGLLYAFCVSDYLPWLRGLDLDGHEKIVKEANETVNRLHDTVIDDRWRQWKSGERQEMEDFLDVLITLKDAQGNPLLTIEEVKAQSQDITFAAVDNPSNAVEWALAEMVNNPEVMAKVVEELDRVVGRERLVQESDIPKLNYVKACIREAFRLHPVAPFNVPHVALADTTIAGYRVPKGSHVILSRTGLGRNPRVWDEPLRFYPDRHLAATSDVALTENDLRFISFSTGRRGCIAASLGTAMSIMLFGRLLQGFTWSKPAGVESVDLSESKNDTFMASPLVLHAEPRLPAHLYPAISI >Sspon.08G0004030-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:11858467:11864948:1 gene:Sspon.08G0004030-1A transcript:Sspon.08G0004030-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPPSPSTPTYVTLQEWWLATAEGDDQKIAVAGRFERDKELHDYSPAPIAKRHMPLLLETEDGTILCIDGDLNTSHTYRNGYSTKVPIECLFGQLFKFEEYTCDGDIATNENAAASSEAATGDQRTPVVTLEVHGCHKETQHMSLTEKAAVDEELPTPVYLDMQANGTLILNEDAAASNDDNERCTATSKEGNDMELGLVTGSPSRERGHDDIATDVSLAPAVECANNAVSELVHSTPATGTYRKKTPVASLKSQGSWRENQHIASNKKMKLIDLCFEKQPVDWPQKQISPQEKCQSATRFPVTRNLVSYVPPQYQPIITETNSLIERDIDDLVEAMTADFKVKCKGIYKNRLDEMTVKLMNLTHQGPCSTCNATTKASISGVVPPSKGVNQKLIKGRKERLNEHLPDNRDYQPNMTKRKPSAHNRSSQNVKKMSCELLVHE >Sspon.04G0006430-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:15863914:15867426:-1 gene:Sspon.04G0006430-1P transcript:Sspon.04G0006430-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGATATKLHLSSAAAAARRPSLLHLAAVAVLCSVSYLLGIWHHGGFSAGPAAGGGVSSSVSIATAVSCATPALTTTSSSPPAGPLDFAAHHTAEGMEAEAALRQRSYEACPAKYSEYTPCEDVERSLRFPRDRLVYRERHCPADGERLRCLVPAPKGYRNPFPWPASRDVAWFANVPHKELSVEKAVQNWIRVDGDRFRFPGGGTMFPRGAGAYIDDIGKLIPLHDGSIRTALDTGCGVASWGAYLLSRNILAMSFAPRDSHEAQVQFALERGVPAMIGVLASNRLTYPARSFDMAHCSRCLIPWQLYDGLYLIEVDRILRPGGYWILSGPPINWKKHWKGWDRTKEDLGAEQKAIESVAKSLCWKKIKEEGDIAIWQKPTNHIHCKAIHKVIKFPPFCSNQNPDAAWYDKMEACITPLPEVSDLKEVAGGALKKWPERLTAVPPRIASDSIEGVTAEMFVEDTVLWKKRVGHYKSVIAQLGQKGRYRNLLDMNAKFGGFAAALVNDPLWVMNMVPTVGNSTTLGIIYERGLIGSYQDWCEGMSTYPRTYDLIHADSVFTLYNGRCEAENILLEMDRILRPEGTVIIRDDVDLLVKIKSITDGMRWNSQIVDHEDGPLVREKLLLVVKTYWTLEDNK >Sspon.02G0032490-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:112962706:112966638:1 gene:Sspon.02G0032490-2B transcript:Sspon.02G0032490-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKIEARRRKRKGRSSSRRQGVPVPSGLRAALAATAFVLLLCLCSSSTPAAMALTPDGEALLELKLAFNATVQRLTSWRPSDPNPCGWEGISCSVPDLRVQSINLPYMQLGGIISPSIGRLDKLQRLALHQNSLHGPIPAEIKNCTELRAIYLRANYLQGGIPSEIGELVHLTILFVGNLELCGLSIQKACRGTLGFPAVLPHSDPLSSAGKKKSIGGNYVKMDKQTVPDGAKLVTYQWNLPYSSSEIIRRLELLDEEDVVGCGGFGTVYKMVMDDGTSFAVKRIDLSRESRDRTFEKELEILGSIRHINLVNLRGYCRLPTAKLLIYDFVELGSLDCYLHEYLQNGHATEKSDVYSFGVLLLELVTGKRPTDSCFIKKGLNIVGWLNTLTGEHRLEDIIDEQCGDVEVEAVEAILDIAAMCTDADPGQRPSMSAVLKMLEEEILSPCMSELCYEQHLEL >Sspon.02G0014940-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:34762707:34764917:1 gene:Sspon.02G0014940-4D transcript:Sspon.02G0014940-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFVTLMVLASPVLILLLRAAWITLSCYFLTPLRIRRIMARQGVHGPPPRPLIGNLRDVSALVAQATADDMPALSHDIVGRLMPHYVLWSRTYGESEMARMYQRPPPHCTVDRVPLRRWNVLVTLVFVRACAAGKLFVYWYGSEPRLCLTDAAQIKEFLSSKYAANATGKSWLQRQGTRHFIGRGLLMANGAHWSHQRHVVAPAFMPDKLKGRVGHMVECTKQTIRALQEAASAPSPGGGQRREVEIGGYMTRLTGDIISRTEFDTSYDTGKLIFRLLEDLQRLTASSSRHLWIPGSQYFPSKYRREIRRLNGELEAVLTESIGRSRAIADEGRTTSAYGRGLLAMLLSEMEKKKQDGAAAGQQAEQQFSYDLQLVIDECKTFFFAGHDTSALLLTWALMLLATHPEWQDRARAEVARVCGDDPPSYDDLSKLTVLQMIIHETLRLYPPATLLPRMVFEDIRLTGGLHLPRGLSVWIPVLAIHHDESIWGPDAHEFRPERFAAGRRPAFLPF >Sspon.03G0017280-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3A:54168098:54171949:-1 gene:Sspon.03G0017280-1A transcript:Sspon.03G0017280-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGVLASSIVHGVLAKIGSSIWAELALLRSFRADMRAMERDFTTVREVLSDAEARGGSGDAGVRDWLRRLRDVAHDIDDLLDECRTDLCVSERRKSTACGPSTNPCFLRSFAMARRLKSLRRRLESIAAGRDRLRLNPGIQPPGHPSAPPRRETISKIDESKTVGRAGDKEKLMRLVLDAASDEDVSVIPIVGFGGLGKTTLAQLVFNDRRANDEVFDPRIWVSMSGDSSLRTLVQPIVSATKEKCDLDNLDAVSSFLSRTFTGMKYLLVLDDVWSENQEEWERLRLLPKDGKRGSKIIVTTRSRKVAMMVRTVAPFVLEGLSDDDCWEVFRYKAFEEGEENLHPKLVKVGKEIVHKCGGVPLAAKALGSMLRFNKNEHSWVAVKDSEIWQMEKEETILPSLKLSYDQMAPSVKQCFAYCSVFPRSHEIDRDKLIQQWVALGFIEPTKYRSESVFDRADDCFEHLLWMSFLQEVEEHDLSKKELEEDGNVKYMIHELVHDLAQSVARDEVQTITSNQVNGHTEGCCYVSLADDMGAPEVIQSMFRRVRGFHSWGYNLDIKLVLQSRCLRVLNLGGSPITELPQMVGKLKHLRYLDVSSSPIETLPNSISSLHNLHTLYLSNCSNLCILPMSICNLQNLETLNLSACGLQKLPDSIGNLQNLGNLNMSFCNFLETLPNSIGKLQNLRTLNLKGCGKLQSLPDDICSLQNLQFFNLSQCGILQELPRNIGNLLNLYHLNLSQCNDLKSIPDSICRIRRLHTLNMSHCSSLSEIPVSIGDLKELQFLILSHHSSSLSLPISTGHLPNLQTLDLSWNIGLEELPESIGNLHNLKILILFQCWSLSRLPDSISNLVMLESLNLVGCEQLTKLPDGIISISNLKHLRNDQCSALERLPHGFGQWTKLETLSLLTVGDKNSSIAELEHLNVLTGQLRIECQSPMKDPSTDAMRANLRKKKKLSSLTLSWTRSCSIEELISAEAFLEVLMPPENLEVFEIDGYLGTKFSSWMMNSMELLLPNLVSISFSNIHHCSCLPHLGHFPHLQSLQLRHITGVHSMDSEMPVKINQGTLYRSLKELHFEDMPNLEIWLTSPVTDHKDKEPDLFKFPVLKTVTVTECPMLTPQPCLPDAIADLSVSGSSSMLSVGRIAVPPSSLLRRLWIKNCHVSSNEWRLLRHRPKLEDLVIEYCERLHILPEAIRSLTNLRRLKILNCRELKALPEWLGELATLESLEIRCCPKLVSLPKGLQGLTALEELTITGCSPDLNERCTKATGRDWFKICHVPSIIVS >Sspon.05G0007170-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:24197886:24202363:1 gene:Sspon.05G0007170-4D transcript:Sspon.05G0007170-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDHYHRYKVFGSSLHKVKSHSKSALQEDIEMMHSMGLDSYRFSLSWSRILPKGRFGGVNPAGVKFYNSLINGLLGKGIQPFVTINHYDIPEELEERYGSWLSPKIQEDFTYFAELCFKMFGDRVKHWVTFNEPNLMVKLAYFVGGFPPSHCSEPFGKCDSGNSTTEPYIAAHNMILAHAKAVNIYRKNYKAKQGGSIGITLHMRWYEPLRNIKEDHLAVSRALSFDTAWFLDPLFFGDYPHQMRQILGPNLPKFTEGEKQLLRNKIDFIGINHYDTFYVKDCIYSLCDLDLYTVEALVSESAERNGIPIGKPTPANSYVVPSSMEKLVMYLEQRYKSIPLYITENGYAQIGNSSTTAEELINDTERSSYIRDYLTYLSFAIRKGADVRGYFVWSLMDTFEWNSGYTVKYGLCHVDFKSLKRTPKLSAKWYSKFIKGYEQIEMASEESPKHMMSSITKELIGELTWKECSSGARTVWRSTWNSCTCFCCSACHCPTTLNTSPTTDSTRSVSASAKAFPRKKASRTALLSLSSARRHQQEHRIKPKLESDCHSAPHPTSERLPGFGSGRVPPPPPLLRAANLGSLLREEGDARRGAEAGKGTQQTGEMDAAGGAMVLAFSSSSGVCFRGGE >Sspon.08G0009750-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:37334109:37335074:1 gene:Sspon.08G0009750-3D transcript:Sspon.08G0009750-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKQIGVVVLSCFITITALAFRPCAGWSDAGATWYGPANGAGTDGGACGYQGAVDQPPFSSMITAGSDSIYQDGKGCGTCYQVKCTGHASCSGSPVTVVLTDLCPGACQSEPVHFDLSGTAFGAMAKPGQADQLRNAGRLPVQYTRVPCNWNGVDVAFRVDAGSNANYLAMAIEYESGDGDLGAVELQMQSGA >Sspon.01G0034540-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:5042877:5047807:-1 gene:Sspon.01G0034540-2C transcript:Sspon.01G0034540-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYQFSADETARTDLGMPGRVFVGRVPEWTPDVRYFSTEEYPRVRHAQYFDIRGSVALPIFEPRSRACLGVVELVMTTEKVNYNAEIQNICSALKEVDLRSSDVSSDPRAKVTDTSYRAIIPEIVDVLRTVCETHKLPLAQTWIPCICQAKRGSRHTDEKLKDCVSTVDEACYVRDLKVKGFHEACSEHHLFRGEGVVGRAFGMNEPCFSEDITTSSKIQYPLSHHAKLFSLRAAVAIRLRSIATGSLDYVLEFFLPVDCIQIEQQRAMLNSLSITIQQTCYTLRVVSLKELVDEGSIETSALTPPEYAKTMHENLDEVCSGIDVPARTASLKTSEEVSSWIASLVCAQNKGVKEMDGDLPFGFSKQEDEGFSVTAGWHTEPVIGPECSIFSGFKQHEDYKVKEVTCLRDPSSSNLEKTVEKRRIKMEKTVSLEELRKHFAGSLKEAAKNLGVCPTTLKRICRQHGINRWPSRKIKKVGHSLKKLQMVIDSVHGNEGTVQLSSLYENFTKTTCSERELQGDATYPLSEEKGLLEPSVPDRHCEGRFTSHTSGSNSLSPSCSQSSNSSHGCSSGSKSQQHGSASQLAVKKEVFMEENQSSTLLKAASHAELQMFPEERPVTLPRSHSQMLLSEQKPVENTTGMQMSKPDSLKIKAMYGEERCIFRLQPSWGFEKLKEEILKRFGIAQEMHVDLKYLDDESEWVLLTCDADLLECIDVYKSSSTQTVRILVHSNGQAKGVEQRAPGVMTGQQYKLTPVEMQFCWFKVKLVFHVLASTLQKGCGFLVS >Sspon.07G0009240-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7A:25811878:25813855:-1 gene:Sspon.07G0009240-1A transcript:Sspon.07G0009240-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKEKSHINIVVIGHVDSGKSTTTGHLIYKLGGIDKRVIERFEKEAAEMNKRSFKYAWVLDKLKAERERGITIDIALWKFETTKYYCTVIDAPGHRDFIKNMITGTSQADCAVLIIDSTTGGFEAGISKDGQTREHALLAFTLGVKQMICCCNKMDATTPKYSKARYDEIVKEVSSYLKKVGYNPDKIAFVPISGFEGDNMIERSTNLDWYKGPTLLEALDQITEPKRPSDKPLRLPLQDVYKIGGIGTVPVGRVETGVIKPGMVVTFGPTGLTTEVKSVEMHHEALQEALPGDNVGFNVKNVAVKDLKRGYVASNSKDDPAKEAASFTSQVIIMNHPGQIGNGYAPVLDCHTSHIAVKFAELITKIDRRSGKELEKEPKFLKNGDAGMVKMIPTKPMVVETFSEYPPLGRFAVRDMRQTVAVGVIKS >Sspon.05G0032750-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:21657466:21658890:1 gene:Sspon.05G0032750-1C transcript:Sspon.05G0032750-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DDSYVDSYISTIGVDFKIRTIEMEGKTIKLQIWDTAGQERFRTITSSYYRGAHGIIVNKLLNTCISMNTSWLPKCPQIIYDVTDMESFNNVKQWLSEIDRYANDSVCKLLVGNKCDLAESRTVDTSVAQAYAEEIGIPFLETSAKESINVEEAFLAMSAAIKKSKAGSQAALERKPSNIVQMKGQPIQQEQQK >Sspon.06G0012250-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:48458006:48460521:-1 gene:Sspon.06G0012250-4D transcript:Sspon.06G0012250-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPIVSAAAAAAAPPPGAPSFSYLAVFSNCPLVAAVLAFAIAQSIKVLTTWYKENRWDAKQLVGSGGMPSSHSATVTALAVAVGLQEGFASSLFATAAVFASVVLNQIVYELPSEHPLAETRPLRELLGHTPQQVFAGGVLGFAVATFTAMIAGLGS >Sspon.08G0027420-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:48258453:48260294:-1 gene:Sspon.08G0027420-1C transcript:Sspon.08G0027420-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPRFDFAASVLLCSEDSTTIFDLEEEEREGILCVLRPSPRHANAPSGALSIDFPLQSESCIEAFLGREEGHLPMEGYAERLLLQQPGGSDLVAIRNYAIDWIWKVHDYYKLGPLTVVLSVNYMDRFLSVYHNAVVDAEYVFEPSTIHRMEILVLNTLSWRMQAVTPCSFIDYYLHKFSDGDVVSEIILSRAVELILSTSKVAEFLVFRPSEIAASIALVALGKHDSSVLESVATCRKELRKERVLGCYEMVQDKIVMGDIVIKSDGSSVFPKQHSSTGVLAVVACESQQSEDTSAGAT >Sspon.01G0061760-1P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:97228518:97237954:1 gene:Sspon.01G0061760-1P transcript:Sspon.01G0061760-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine protein kinase, Abscisic acid (ABA)-activated protein kinase, Hyperosmotic stress response, ABA signal transductio [Source: Projected from Oryza sativa (Os03g0764800)] MILAMGQIDENVQREIINHRSLKHPNIIRFKEVILTPTHLAIVMEYASGGELFERICKNVRFSEDEARYFFQQLISGQVCHRDLKLENTLLDGSDAPRLKICDFGYSKSSVLHSQPKSTVGTPAYIAPEVLLKKEYDGKIADVWSCGVTLYVMVVGAYPFEDPEEPKNFRKTIQRILNVQYAIPDNVNISPECRHLISRIFVGDPAMRITIPEIRNHSWFLKNLPADLMDDDSMSNQYEEPDQPMQTMDQIMQILTEATIPPACSRSINVLADGLDMDDDMDDLDSDSDLDVDSSGEIVYAM >Sspon.01G0056900-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:93829707:93839525:-1 gene:Sspon.01G0056900-1C transcript:Sspon.01G0056900-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYAQLVIGPAGSGKSTYCSSLYDHCQTVGRTIHIVNLDPAAEHFDYPVDMDIRELISLDDVMEEIGLGPNGGLIYCMEHLEDNLDDWFDEQLENYLDDDYLVFDCPGQIELFTHVPVLRNFVEHLKRKNFNVCAVYLLDSQFVSDVTKYISGCMASLSAMIQLELPHINILSKMDLVSNKKEVEEYLDPNAQVLLSQLNRQMAPRFGKLNKCLAELVDDYSMVNFIPLDLRKESSIQYVLSYIDTCIQYGEDADVKVRDFEPIEDEE >Sspon.07G0027170-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7B:58920878:58921222:1 gene:Sspon.07G0027170-1B transcript:Sspon.07G0027170-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSSLCVYIHFLLRTVTRCGSKQQPATSSRKKSKANDGRRNWRRSSLALPSTTQLLVLVAPPPATAYASSCAVRLTNGRVALPCTTDVRPTPPGLLADQQIAIGPPSPPRRSS >Sspon.08G0011200-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:44724274:44729420:-1 gene:Sspon.08G0011200-2B transcript:Sspon.08G0011200-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKKPNGVAKATATSADAAARPSKANPSTPGSVKGTKFKKLKVKAKANREKPVVTAAAVGEVALEGASTGDGDASASAVLPQPSNVAEASPVVQTQPSNVAAEASPVVQTQPSNVADASPVVQTPKSTTFAEASAVAQTQKPATDAEGSVPAPMPATAEASASSPKPKPKPKPKPAHANADAAAAISASKGKGEGKGADNSGGDGRMKSRRRRSRSGKGKEVVEDGGSKGKEKGKKSVGKKEERGDRKVAGFIFMCNAKTKKECYQNRLFGMPSGKIEMVKKIRPGVKLFLYDFDLKLLYGVYKAASHGGLNLVHEAFNGKFPAQVKFKIEKDCRPLPESSLKQAIKENYSARSKFDPELTARQVQRLLLLFKPVSAPQSVPNNHLEERRHYEERRKPYHHFEERLPIEEARQQRFDEERRPAVRHVPLEDPYRAPRFAPVQGDHHRYYQPPALAPEPRHIPLVLEPRYVPLALDHHHGPTVPELRHVPAAYYRTLAPSGDSYYRSVENLVPERYADRTVTDVTTRDPIIRDHTALPGEAYARADRLDDLYQTRGAHVEELYRPGEIAAHADRVGITTRADRVEELYHSDRLVNRHSAYLTAGYETNPAYAETSIRPVSARDLHLWS >Sspon.08G0000710-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:4882835:4887177:-1 gene:Sspon.08G0000710-2P transcript:Sspon.08G0000710-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWEGLGSQWASIWRLELISSVASSDTLHGITRHWRLWPATRLYYLLVITGMCCITDAKVASLANGKDSSCQQDGSPQGRKRRRYSGPDLPEDIWRHIHFLMLLQDAARAACVSHAFLSSWRCRPDITISWKTLGLDKNFHGKGEIAKDFNSIVDQILKNRSGIGLKTLKIDFCDYKADTYSYLNNWLEIAITPELEELSLDLFPRKEKYSFPCSLLSNGRGNSIQHLKLVWCAFSNTVRLDCLKNLTSLHLRDVHITGNELGCLFSSSSALERLELHGCHRIVCLEIPCHLQHLRYLGVFVCERLKVIESKAPNISSFRLSEIQGKFSLGESSLKLKDMMLSMNCTISFARAKLPFIVPNLKSLSLASDYEVPNSPLVSKTFLHLKYLSITLSEGAFSPYYDCFSAVSFLDAAPSLETLLLGVTQLRMKHEPFVGEPSPQNQIMGTRHSNLKSVKITGFCSAKSLVELTCYILEYATSLDCLTLDTTWGFFPRCSDHEISKCPPLTKNIIRDSENALLVIRAWIEGKVPPSVKFNVLAHCSKCHNADED >Sspon.06G0003910-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:10378727:10380853:-1 gene:Sspon.06G0003910-3C transcript:Sspon.06G0003910-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARYDRAITVFSPDGHLFQVEYALEAVRKGNAAVGVRGVDTVVLGVEKKSTPKLQDSRSVRKIASLDTHIALACAGLKADARVLINRARVECQSHRLTVEDPVTVEYITRYIAGLQQKYTQSGGVRPFGLSTLIVGFDPYTQKPALYQTDPSGTFSAWKANATGRNSNSMREFLEKNYKETSGKETIKLAIRALLEVVESGGKNIEIAMMTHKDGLRELEEAEIDEYVAEIEAEKAAAEAAKKGAPKEN >Sspon.07G0011440-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7A:40160100:40163160:1 gene:Sspon.07G0011440-1A transcript:Sspon.07G0011440-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKEASKNSQKGQSKAPAQQKLLGSRQLKKDVVDLRTLLIHCAQAVAADDRLLATGTGSQLFHKMLAKRISKDDVLKVYNFDLAVCPFRRVSYAFANQTIMEASVGQSRVHIIDFGVYSCLQWPSLIQRFGEQGVAPRIRITTIEVPQPGFSPLENIERAGKLLADYANMYKVPFQYQGLYSRYEDIQIEDLNIEEDEVLIINCMYQMKNLGDETVAMNSARDRVLKIMRRMNPKVFILGILNGSYSSPFFVTRFKELLFHYSSIFDMLDTNAPRDNEGRKLLEGRLLGRQILNIVACEGADRIERPETYQPWQARCLKAGFKQLPLEPAIMKTVLRMKKEFYHEDFVADEDNGWLLQGWKGEPADGTSSPHESLISSNTAWWSPCCAALCPCPHATLPDWMFVAASAMALPCAPHQVPSLGLMPLHADWRSLGLGPQAAAPGGSASLLLAVAAGVARSSLRRAGGRGGHG >Sspon.01G0036310-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:15016445:15021305:1 gene:Sspon.01G0036310-3D transcript:Sspon.01G0036310-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGSAAALLLLRLLLLLHAAAAGNGGCARSCGALTVQYPFGFSPGCEIPLGCDDQANGTAWVGGARELGLLVSNVTARAVVLVLPQDCSRGLNASIQALFSKSYAPGSQNALVVSKCSPASPSHTSNCSDGDRYLDRSSRCNATTEPIRCVLPPSISSSASVHRFFNRTEMQTLAAECTGLVSAASYWEAPAPAPPALLLGVMELEWWVGGTCRCSRDATCTPVTTPIAGQQAFRCECREGYDGDGFADGAGCRRASKCNPSKYLSGDCGKTIQIALLVAGIMFGAMVTGVGCLAYQLLKRRSASIRTKRSTKRFLSEASCAVPLYSYREIERATGGFSEEKRLGTGAYGTVYAGRLSDDRQLAVDRIGRGCVDDIVDPYLDPHRDGWTLSSIHKVAELAFRCLAFHSEMRPSMTEVADELEQIQRSGWAPSADDAAFMSTSSSISSSVASTSGTDRSWGAGRSRTERAVVNASLVVQETAAKGAVDSPVSVQERWFSERSSPSSNSLLGNNCSLH >Sspon.02G0027840-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:101277303:101278872:1 gene:Sspon.02G0027840-1A transcript:Sspon.02G0027840-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAARHLAAAGGRLFSAASSASASARGGHAGGPAGSGDTLGKRLLKLIYPKRSAVVVLRRWAEEGRTVQKYELNRVVRELRKYGRFKHALEVTYDAQPFHRRRFGSSPARHLLDICEWMRTQPEMRLLPGDHAVHLDLVAKVRGLPSAVKFFEDMPERAKGPSTCNALLHAYVQHGAREKAEAMMAEMARVGYLTCALPFNHMMSLYMASGELERVPEMIKELRRYTIPDLVTYNIWLTYCSKKNSVKSAEKVFGLMKDDRVVPDWMTFSLVGSIYINAGRHVEGRNALVEMEKRASRKERTAYSSLLTLYASLSDRGNLDRVWNKMRETFRKFSDTEYKCMLTSLTRFDDIAAAESIYREWESASGTRDSRIPNTILSYYIKNGMIEKAESFLGHIVEKGVKPSNKTDKVLECLKKALSSLEKWEPNHELATAIFSQIEKTGDIEATEKLLVMFRDAGYVTTEMYNSVLRTYAEAELMPLIVDERMEQDKVAMDVETRRLL >Sspon.03G0010910-4D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3D:34113494:34120599:-1 gene:Sspon.03G0010910-4D transcript:Sspon.03G0010910-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRSAWRLVINVPEPNHQFATTAIVVIWSKINELDPDHTHTCGQRLLRLLSTRRSHPPSPNPPLLSSLSGWLPAQTCSGTCRLPLAHSERHSAMWRAGSSAARRRQRLSQARRRTGNGANASARQDWEFVVGHYPLGPVGLLGLCSFPSSFFFVTFCVLVSRTRPPPDAGAAASSLGRKGGRDRDTGGSTPAVPIQVPSSSDLESILSPDPIYSDVQLKEINYNAAAMDESTEFLHLILSGNDEGYNTTTELQVWDVLDFYVSENFSALQFDSLMGFTNEVSTSYNDCMNLVDMVERPVARLSLDDTPKPSNSDDAVPADNVTMDPDETSLYLQTKPTDSETESSSAAGDVETEYLDQKLLSRCLPDLMDVDSPNCLLKTPVRTKHVTLVLDLDETLVHSTLDHCDNADFTLEVFFNMKNHTVYVRKRPYLKMFLEKVAQMFEVVIFTASQRIYAEQLIDKLDPDGKYISRRIYRESCIFSDGCYTKDLTILGIDLAKVAIVDNTPQVFQLQVDNGIPIKSWFDDPSDQELIDLLPFLESLVDSEDVRPIISKTFHDKLEQN >Sspon.03G0047270-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:57545978:57554714:-1 gene:Sspon.03G0047270-1D transcript:Sspon.03G0047270-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LIEPHTAPSRSQQPTPIQSSPVAGRSPCSAPEEARSQGPTCSGSPHHLRRANGDSPAAPPRLPLVPLLLFLLAAAAYGRLISDGAPSAPLVSVIRLSGSPPAAGAAAEEKCEQSYGFLPCTTTVLGNLFLVLTYGFFMYKAATYLSTGSELLLEIMGPGLVGGLLLPILGALPDALLVLVSGLSGSKKEAQSQVLIGMGLLAGSTVFLLTLLWGTCVVVGKCDIGPNREAVDVTDTKGFSLTGTGITTDVQTSYAARIMGLSVIPFIIAQFPKMLKTHHGQRLAMLLALIVSFLLVLSYCLYQVFQPWIQRRKLAYAKHKHVISGILRHAQMQSLGRLLNDDGTPNEDVIRKLFRKIDMDESGTLSRAELHALIVGINFEKVDFDRTDAVDKVMADFDTSRNDIVEEEEFVQGMKIWLNEAKRHVPVGGAFSSKFIDEYHERTRQEHNQLIDRSDEAVESVENPGWCITKAVALLLLGAAIAAAFADPLVDAVHNFSNATHIPEAVSAIIFASRKKQRTCSLTFSEVYGGVTMNNTLCLGVFLALIYFRNLTWDFSSEVLIILLVCVVMALFTSFRTTFPLWTCLVAYMLYPFSLVIVYILDYVFGWLTGSSSEHGLIGTPGGKEPRTHLVLVHHTTSAAPMATRRQHRRGFPLVPLLLFLLAAAAYGRLISDGAPSAPLVSVIRLSGSPPAAGAAAEEKCEQSYGFLPCTTTVLGNLFLVLTYGFFMYKAATYLSTGSELLLEIMGPGLVGGLLLPILGALPDALLVLVSGLSGSKKEAQSQVLIGMGLLAGSTVFLLTLLWGTCVVVGKCDIGPNREAVDVTDTKGFSLTGTGITTDVQTSYAARIMGLSVIPFIIAQFPKMLKTHHGQRLAMLLALIVSFLLVLSYCLYQVFQPWIQRRKLAYAKHKHVISGILRHAQMQSLGRLLNDDGTPNEDVIRKLFRKIDMDKSGTLSRAELHALIVGINFEKVDFDRTDAVDKVMADFDTSRNDIVEEEEFVQGMKIWLNEAKRHVPVGGAFSSKFIDEYHERTRQEHNQLIDRSDEAVESVENPGWCITKAVALLLLGAAIAAAFADPLVDAVHNFSNATHIPSFFISFIALPLATNSSEAVSAIIFASRKKQRTCSLTFSEVYGGVTMNNTLCLGVFLALIYFRNLTWDFSSEVLIILLVCVVMALFTSFRTTFPLWTCLVAYMLYPFSLVIVYILDYVFGWS >Sspon.03G0007860-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:21309569:21310266:-1 gene:Sspon.03G0007860-1P transcript:Sspon.03G0007860-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGADALAVSSSPWSLVRGYFSPATLFLLLNMVIGTIALTSRSHRRLRHDDDDGHVHGQPHHQYALAPPPAPLARTSSVMERLRSLGLYRFRSVQHHHLSAATHDATAAAAHSSSVRKQDQAQYTRSQSEPAKSKRPPPARKNNDNKEDHAVVKKLERAPPQAQGQVRRAPRAPALRGLVVREEEDAAAVSVDARADDFINKFRQQLQLQRLNSLLNYKEMLNRGL >Sspon.04G0013530-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:73641374:73644659:-1 gene:Sspon.04G0013530-2D transcript:Sspon.04G0013530-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFVCVPQQPQIILLKEGTDTSQGRAQVVSNINACTAVGDTVRTTLGPRGMDKLIHDDKGGVTISNDGATIMRLLDIVHPAAKILVDIAKSQDSEVGDGTTTVVLLASEFLKEAKPYIEDGVHPHSLIRSYRTAGNMAIQRVKELAVSIEGKSLEEKKTLLAKCAATTLSSKLIGGEKEFFASMVVDAVLAIGNDDRLNLIGIKKVPGGTMRDSFLVNGVAFKKTFSYAGFEQQPKKFLKPKILLLNIELELKSEKENAEIRLSDPLQYQSIVDAEWNIIYDKLDKCVKSGAKIVLSRLAIGDLATQYFADRDIFCAGRVTEEDLQRVAAATGGTVQTSVNNVIDEVLGSCEVFEEKQVGNERFNIFSGCPSGQTATIVLRGGADQFIEEAERSLHDAIMIVRRALKNSTVVPGGGAIDMEISKYLRQHARTIAGKSQFFVNSFAKALE >Sspon.01G0004460-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:10510108:10516563:-1 gene:Sspon.01G0004460-2B transcript:Sspon.01G0004460-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIEERKISMIIKSTALNPNAEEFVPSSLRSVNDSSKRSDATMVVSGPSKESSTDKPESILQSNSDEEAHQYWQQQLPDDITPDFKVLGQDESTGPDSLSLAGLSINDGIGTSIFSPNQTLSMQHRASPFIRDKLNTRPKINLSGPTYMDERSQATILSPTAGSMSPNAAPWVKTMRNGGHYNTSRRDASHYNGDSSIGASLHNLTDVYHGSRRSLSSTMDIMSQLESKVDGRLSQNLRSLSFGNSSPPSPASYAQNGLGNYSNESFGLPNSPYRSHSAILADDIVSPSAGREHISLDVPRGRYKMTSLPVPGLGSSRGSQLLGGSYNGNHDMISTNTLQNMAGIQTGPAWLESDAAASAYLESKDEVHDFASLRHAVLEQDRQAFLMGNNPLTKDLTLKELYSIQTRFQMPELQGLIQEQNPPIDLCGLHVSEAIHVLNYELNNRRKIVRSTGRRLQAMIISSARTPARLTATVEQYLMEHGLQYTQVQPGLIRVLL >Sspon.01G0005090-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:11991440:11994282:-1 gene:Sspon.01G0005090-3D transcript:Sspon.01G0005090-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGGAGARRPPVGRVEASPERGRPTAYALSARPAPARPMRKVQIIYYLCRNGQLEHPHFMELAQHPHQPLRLKDRFQHLRVTDRSPTKPPLALPHMSHKQYADSYRDDAVEDREDDELGYSYHHRRTASSGRLVAARADKPAVSARTTNRSRPVELPVEETSPPSSTSSDKPPATAPQQQQQPGRRASDMLQEPEPSRPGSMLLQLIACGSTAPAFAGGGGSGKCRAEPRRSCGLVSRLSARGGADEDEDEEEAAAAGGDLGRGFGHLAVQDKEYFSGSIVEGAGGRGTPLPASSLKRSNSYNEE >Sspon.02G0022420-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:68727034:68729566:1 gene:Sspon.02G0022420-2D transcript:Sspon.02G0022420-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MELPSISMFLDLSNNMLEGPLPLEVGSLVLLEQLWIYGNKLSGEIPDTIGNCRVMEILYMNGLSVLNLMDNKLNGSILGNLATLTNLQELYLGHNNLSGTIPEILGNSTSLVRLDLSYNNLQGEVPKGGVFKNLTGLSIVSNNALCGGIPQLHLTKCPSFSARKNNKGIPKYLRITIPTIGSLLLLLFLVWAGYHHRKSKTVPKKDLPPQFAEIELPIVPYNDIMKGTNGFSEANVLGKGRYGTVYKGTLENQAIVVAVKVFNLQQSGSYKSFQAECEALRRVRHRCLLKIITCCSSINHQGQDFRALVFEFMANGSLDRWIHSNLEGQNGQGALNLSQRLEIAVDIVDALDYLHNGCQPSIIHCDLKPSNILLNQDMRARVGDFGIARVLDEATSKHPVNSSGTIGIRGSIGYIAPEYGEGLAVSTSGDVFSFGITLIEMFTGKSPTDDMFRDGISLHYYAKAALPDNVMEIADSNIWLHDGVNNSNDTTHITRIWECLSAVIQLGVICSKQLPTERLSINDAAAEMHAIRDKYIFTQ >Sspon.03G0017310-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:54271564:54279450:1 gene:Sspon.03G0017310-1A transcript:Sspon.03G0017310-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIDEAVRGCSDRRLRTKYGNAVYVVQRAFALYPFEEVAFSFNGGKDSTVLLHLIRAGYYLYKKDSGDVAQMNAVKNCPLRTIYFESPCAFPEINSFTYETVSTYGLPLETIHSDFKSGLEGLLKEKPTKAIFIGTRIGDPNAVGQEQFSPSSPGWPPFMRVNPILDWSYRYTSIGSIHDTVPNALLSDSSTEKSFRPAYMLTDGRLERAGRTKKTNPKVEMNSVASNGMNYTEGGQTISRAASIIVVGDEILFGTTKDNLGAALCKKLHAIGWRVSHVAVVRNEIDSVAEEVERCKSTDDMVFIFGGLGPLHSDVSLAGVAKAFGVRLAPDEEFEDYLSQLMGNNYTGDRNEMALLPEGITELLHHKTLPLPLIKCRNVIALAATNMDELETEWDCLLDTQESGLMPAKPFVSKHLSTTLSDVQIAPVLAKLCLEFSDVYIGCHRISRAGPLVVNLTGKDNHRVDAAAEKLTSSFEGQFSQVDSCK >Sspon.08G0000560-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:323903:329098:-1 gene:Sspon.08G0000560-3D transcript:Sspon.08G0000560-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSFTEKPLSEGQHISGRAQDNNRGRRHRTAPHADPPARSTHSPAPDLAGPVALAAGDTHPPPPSARGAMEAAFDAYFRAADLDRDGRISGQEAVAFFKGSGLPQPVLAQIWTYADRNRSGFLGREDFFNSLKLVTVAQSGRELTPDIVKSALFGPAAAKIPAPRINVSTAAPQTNSVASLPNATQAPRPVQQSPAPGPVQQNPAIRGTQGLPGALPNPQVRPPQPPNANTVPPAHGQGVASRPPMGSGPTGLNHTSSTTPSLATDWFGGKRGASPLGATSQAPTRGISPQANLSSAGISAQNSTPVPGYNSHTPGATTPVNANSTNLNVMSSQPSVNDSKALVPLGNGLSSNSTFGADPFSATSQPKQGSPLPPPKPMQAGPVQGISSLSSHTSQLPHSQPAPRQQQFNATPSAPGPVSSNIPSGQIPSNPSQSQAPWPKITQVDVRKYMIVFIKVDRDRDGKITGEEARNLFLSWRLPRDILRKVWDLSDQDKDGMLSFKEFCIAVYLMERHREHRPLPDTVTDAIWAEGTALPSTGQFAENPSAPAQASAGHAGRTMQGPHHGMLPSSMKPPSRRPLPLDADDTVKAEQQKPKVPVLEEHLVGQLSKEEQDTLDAKFKEASDADKKVQELEKEILDSREKTEFYRTKMQELILYKSRCENRFNEVSESMSADKRE >Sspon.01G0060520-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:80738920:80739202:1 gene:Sspon.01G0060520-1D transcript:Sspon.01G0060520-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDRLHQNEHLKLNNPLKRNNEKTQSRGKIPIEQQWPVLEIEKSEPDKNGGITVPSRQEFDRIPTVINNDDNNR >Sspon.06G0001790-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:5811488:5812006:-1 gene:Sspon.06G0001790-1A transcript:Sspon.06G0001790-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATDAILETIKPRRSEDEQLPVTTPGAAGTKAGGSSGGIGLRRRMSSFSVHVRPPPSLSLSSSVAAFRRARSMPSVKALAAAGALRRWWEWGLGWVTAPFAPRGLELDDDEARPLGDGCRCAGGGWRHVLVRLRAGARRLLGRDGRPLKAAAPQDFGYDSVSYAQNFDDGEA >Sspon.03G0035380-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3C:77487248:77491708:1 gene:Sspon.03G0035380-2C transcript:Sspon.03G0035380-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAITGGVVSSEPCSLHKAALFLIRFTKSATSHLPSSDCYTYLRTVTDATIQLGRFRNELGARHQQGAANFDAHDYEDPVEGGRRHQDQESEGGMAVAADGSHRDSAAGAELDLASGKNKGKKKKKKNENPPEDRAVARADSHIPLHQKLPLRRGGRRSIRIRKSSSIAAEQRVARTERERKKKKHGQEEGHTEVVKEEKTIADGDLDIEKKKKKKRGRGDVYDNALEQENQEMEKSRILIIGGTGHIGKHIVTASVRLGHPTAVLTRDSAPSDPAKAQLIKSFADSGAALIKGDVLDHGSLVKAVKSADIVISAVGPRQVGEQTRIIAAIKEAGNVKRFVPSEFGSDVDRLHTVDPAASLYAVKANLRRLIEAEGIPHTYISCNCFAETYLPSIGDVTAIGAGPPATKITVLGDGTAKAVFVVENDIAAYTMRAVEDPRTLNKILYVRPPANVVSHNELISMWEKKTGRTLQKEQVPEEDILKWIKEAAFPLNILLSLGLSIFVRGEQANFDIDPAVGVEATQLYPDVTYTTVNEYLNRFI >Sspon.01G0059230-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:43793099:43796988:-1 gene:Sspon.01G0059230-1D transcript:Sspon.01G0059230-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEDQVAFLLQKYLGNYVRGLSKEALKISVWRGDVELTNMQLKPEALNSLKLPVRVKAGFLGSVKLKVPWSRLGQEPVLVYLDRIFILAEPATQVEGCSEDAVQEAKRTRVREMEMKLLERQQQLKSELNSSWLGSFIGTVIGNIKLSIGNIHIRYEDVESNPGHPFAAGLVLSKLSAVTVDDFGKETFATGGDLDRVKKSVELESLAVYFDSDSSPWIVDKPWEDLLPSEWSQVFEFQEQDGSRSASKKHAYILQPVSGKAKYTKIQLTEAKKTGEALQNAAVDLDDVTLSLSKDGYRDMLKMADNFSTFNQRLRYAHLRPSLPVKSDPRAWWKYAYKVVTQEM >Sspon.02G0012670-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:37006662:37008081:1 gene:Sspon.02G0012670-2C transcript:Sspon.02G0012670-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGDRSEVQRLYDACGAVFSPRRGGGLPTLTQIRWLQDILDGMKAVDVGIDAGAGDGERSSSSSSDDERSPRGRRFLSARAFTRITYVHIHECDDFSVRAPVFLLQKMKMACLSDGFGPAPRPGGAGVLQIGVFCFPAGATLPLHDHPHMVVLSKLLYGAMRVSSYDWVTAPCSGPRKGGLAKVVAVDELREAPCKASVLFPRSGGNIHTLTAVTPCALLDVLAP >Sspon.05G0008020-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:26985391:26988733:1 gene:Sspon.05G0008020-3D transcript:Sspon.05G0008020-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:At5g43050 [Source:Projected from Arabidopsis thaliana (AT5G43050) UniProtKB/TrEMBL;Acc:Q9FMH9] MKATEVEVVSRAEEEEAAAGIEAPAMEQTPIFGPCPLVPALTGQIQQRAGTDRGRQGKKVCGRAAEGVWCKYLNVNYGLYAEARFLSQSYRNFARKSSYKYLRIRAVQGNDGRRRLVDIIRIIPELSRDYFRSRSRRALFGGISLLGGFYVAQTISLSFGALGVNDVLAAVVCVLLTEYVTKFYYSRPKVTFPIALLNNFKMGFTYGLFIDAFKLAS >Sspon.05G0006790-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:21275438:21278086:1 gene:Sspon.05G0006790-1P transcript:Sspon.05G0006790-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSADLMFGGITIVCGVVGTLAGGFILDKIGSTIPNAFKSLYGFIPSFVVGELSVFATQAPVNFVCLHTVKPHLRPLAMAVSTVSIHIFGDVPSSPLVGLLQDKINNWRATALILTSILFAAAVFWFIGIFVPSVDRFNEESEDGLTVAERSNLRPLLDENDEPRTSD >Sspon.05G0024710-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:15010275:15010973:1 gene:Sspon.05G0024710-2C transcript:Sspon.05G0024710-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MANRASPRSADDPVVGLAGRRHQHHPVAGHALVALGAVHIVRIAEQHQRGSDDGASVGLEASGRPFLWALRPPLGFDAKGVFRPEWLPAGFEERTANTGLLVRGWAPQVRILSHPSTGAFLSHYGWNSVLESLSRGVPLIGWPLGAEQFFNAKLAVEWGVCVEVARGNLESSAVESGAVAEAMRAVMGETAKGDEMRRKAAAIARALEAAWEAPGGSAAESLEGFLRCVETS >Sspon.06G0027210-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:83809064:83813376:-1 gene:Sspon.06G0027210-1B transcript:Sspon.06G0027210-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSYELLEPASTAMTTGRFYSNKLSTCSGRFALNYNVFQEFLKRGILGGLYKVCAVARGDGVVDVVDLDYELAPPDMHTAHPVGRSAAALSNPR >Sspon.05G0009790-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:19475196:19478814:1 gene:Sspon.05G0009790-3C transcript:Sspon.05G0009790-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAELSREENVYMAKLAEQAERYEEMVEFMEKVAKTVDSEELTVEERNLLSVAYKNVIGARRASWRIISSIEQKEEGRGNEDRVTLIKDYRGKIETELTKICDGILKLLESHLVPSSTAPESKVFYLKMKGDYYRYLAEFKTGAERKDAAENTMVAYKAAQDIALAELAPTHPIRLGLALNFSVFYYEILNSPDRACSLAKQAFDEAISELDTLSEESYKDSTLIMQLLRDNLTLWTSDISEDPAEEIREAPKRDSSEGQ >Sspon.08G0010760-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:39445570:39447556:-1 gene:Sspon.08G0010760-4D transcript:Sspon.08G0010760-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRWVRPEVYPLFAAMGVAVGICGFQLFRNITGNPEVRVNKAGRAAGVLENHEEGRRYAMHGLRSFVHDKTPEIMPAINKFFTEPKATK >Sspon.08G0003130-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:6817390:6822390:1 gene:Sspon.08G0003130-2C transcript:Sspon.08G0003130-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPLDNSSGLWTVLGQASNVVQLVGVDALGLVSMVVQAALAARRHRDACRRLAHHVELVGGLLRELELAELMRREATRRPLEQLSAALRRCYALVTACQDRGGYLHRLFWGTWMADELRAAEQEIDMFIRLVPLIALVDSTHERPVKATERVPVVAASCSNLQTRTRHIRKVHLREQKIMDVEELVKLCTRTQERYPGFKNYDFFQIVDLTQMFSEKRIVGSGGFGTVYQGELSDGTIVAIKRFEHGIGFNSELQLLCLHHINIIKLLGWCVHGQERILVYEFMPNRSLDRIIFGMNNRSLYETNFLFKHSADKTKGALLNWYKRFGIIKGLADGLVYMHKHSHLWMVHGDLKPNNILLDHDMSPKIADFGSARTLSSDVAEEQTSRVVGTSGYIAPEYASRGLYSVKTDVFGFGVLALVIISGRNNTVLEQQGDTVGNLVRDAWQLWNDGRLHELVDPMLPDGYELNEIVRCAQVALLCAQEDSVDRPTMSDVVALLNFESLSLLPDPKQPSELIKGGATSDKVDSLKQEILTVDNTWLFYPDILHATQVLKLGKKKKIGCQDLACGGWFSANTMAIWIQEEELNGRDENRRTLEGARWAGTGISTIE >Sspon.08G0022730-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:51917033:51918591:1 gene:Sspon.08G0022730-2C transcript:Sspon.08G0022730-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTHVEAGGSLPVPNVQALAETYNRSDEQIPGRYIRDEEGAEEVIVDHDISSAIPTIDVNKLLDPQSSKEECGKLGSACKHWGFFQLKPQVINHGVPNEVICNFRNDMTEFFKQPLEAKKAYSMIPGNLQGYGQHFVVSENQKLDWADLFSLVLRPIESRDMRFWPSQPPSFRNSVDRYSSEAVKLVSCLLKFLAMDMGVEPESFLEIFRGQPQSMRMTYYPPCKQASKVVGLSPHTDRMGLTLLLQANDVQGLQIRKDGKWVAINALDGAFIVNVGDTLEILSNGRYKSIEHRAMVHPTRERMSAALFHAVCPDATVGPLPELVKNDGEARYSSISFVDFIKRFFASKLDGTSNLESLKS >Sspon.07G0034220-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7C:71251426:71251734:1 gene:Sspon.07G0034220-1C transcript:Sspon.07G0034220-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNETDAIMWVPHLKHFVLHDQWQDANRYLSGFLPLDTRRRTSVKAMVLSRVCTAFSTLANIVGGREDDLSKQYLDQKKNHLPRPNKAPLHHTQRPASQGLD >Sspon.05G0017010-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:71574779:71578243:-1 gene:Sspon.05G0017010-2B transcript:Sspon.05G0017010-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GMEVDRRKNLKIGSKGKEVQSKNPRREEPLASSEVDMDEPEAAESGDMIVDGDNGSSKESPLPPMDSKNSKGCAAKKRRSVSADFVGELDLDLGNGEESEAQQERKLSRQDRVELCRSFQHAVSSHDWESAEGMVGMADAQGLNDVLCVAVDAIWFLSDKDELLAIVGLIRRIVSEGAKDFTRAALRTSFLASCVSACRGRSTSLADAVSFMGHRLHERLQESQGDEVLKAEASAKVHRFTEWALKCIGLHSRVRENRGKGNHDTVIEVQLQLSAFKTFLDLADNELTGKDFTEAFDAACFPLTLFSTTFDQGWASGISAAAVQGLLELLVEGGADNVNQCFLEAARYGSTELVRILLQIAQRNSLDIDVDLALGFATHYGKIETMGCLVEEGNAVGFLGPLMRAAERGCLQVVEWFVNHGCREMELCLALTAATSSSQIAVAAHLLPLVPQHVLAPLSIEIIKAAGERSTGSLHGVDFLLRSDFLNDAAATYAVADSIARCTDEAVDAKLRSFMNEHWSEAAFSAGFESAQQHFVNFMRILERGESPIRLGDLPLELVIAMAYLPLYKECTNSSGRLLPQRLRGQLVEAASRLEDRQVERDSQSRELLAILEHHIPRFMTQT >Sspon.08G0004470-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:13336377:13338271:-1 gene:Sspon.08G0004470-2C transcript:Sspon.08G0004470-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein trichome birefringence-like 5 [Source:Projected from Arabidopsis thaliana (AT5G20590) UniProtKB/Swiss-Prot;Acc:F4K5K4] MPSAPRLPWRLVVPLAAAFASVPFILPLTLPLLLRSSTSPRALSLNRLTWLPSPTIRTAPTVSPPLAPSPSPPSAPSPPVIQMSPSSPPPPPQSPSPPSSAPPSTLMIQAPHSPPPPPPRIQAPHSPPSPSIQPPHPPPPPRALPHSPFPPPPASTAADEKAGETDTGKCDVYDGEWVQDEEARPLYPPGTCPYVDEAYACAANGRPDSRYTRWRWAPRHCRLPRFNATDFLERLRGKRLLLVGDSMNRNQFESMLCVLREALPDKTRMFETHGYRISKGRGYFVFKFADYGCTVEFVRSHFLVREGVRFNRQGNSNPILQIDRIDKTANRWRKADVLVFNTGHWWTHGKTARGYARLSLSLSRIRNDVHRQNYYKEGDTLYPRFDSTEAYRRALETWARWIDRNMDPARSVVFYRGYSTAHFRGGDWDSGGSCNGETEPTFRGAIIDSYPLKMRIVQEAIGRMRFPVRLLNVTKLTNFRRDGHPSVYGKAGDKKVSKRKQDCSHWCLPGVPDAWNELIYASL >Sspon.03G0009840-4P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3C:37617672:37618876:-1 gene:Sspon.03G0009840-4P transcript:Sspon.03G0009840-4P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEVTRDIQGGIPWCMLFADDVVLVDDSRAGVNRKLELWRQTLESKGFRLSRTKTEYMRCDFSATRHEEGDVSLDGQVVAKKDTFRYLGSMLQKDGDIDEDVRHRISTGWLKWRQASGVLCDKRVPQKLKGKFYRTAIRPAMLYGAECWPTKRRHVQQLSVAEMRMLRWCCGHTRRDRVRNDDIRDRVGVAPIEKKLIQHRLRWFGHVQRRPPEAPVRSGVLKRADNAKSGRGRPKLTWDESVKRDLKEWNISKDLAMDRSAWRLAINVPEP >Sspon.01G0050990-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:10605752:10610201:1 gene:Sspon.01G0050990-1C transcript:Sspon.01G0050990-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPYHQPTSLEEVRTLWIGDLQYWTDENYLYSCFAHTGEVQSVKIIRNKVTSLPEGYGFIEFVSHEAAEKILQTYNGTQMPGTEHTFRLNWASFSSGERRPDLGSDHSIFVGDLAPDVTDYLLQETFRVNYPSVRGAKVVTDTNTGRSKGYGFVKFADENEKNRAMTEMNGVYCSTRPMRISAAIPKKSTGSQLQYSAAKAVYPATAYAMPQLQAVLPDSDPTNTTIFIGNLDPNVTEDELRQICVQFGELIYVKIPVGKGCGFVQYASRASAEEAVQRLHGTMIGQQAVRLSWGRSPASKQDPSAVWSQQADPNQWASTYYGYGYDAYGYAQDPSYAYGAYAGYSQYPQQVEGGVDAASVAGSHPSMEQKEEPYDPMNIPDVDKLNASYMAVHGRAMLGRSLWLKTNPLPQPT >Sspon.04G0013390-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4A:48104555:48105509:1 gene:Sspon.04G0013390-1A transcript:Sspon.04G0013390-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNYRYFRCFPGTSGLHQNYWLETKTSGSSLLRTTMGATFYYRYFRWGPRPNLDSKPPHLRPGLNAVLRPPPFLASNQSV >Sspon.04G0012190-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:40580122:40581674:-1 gene:Sspon.04G0012190-1T transcript:Sspon.04G0012190-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPEIFDTWCNILKRVPNSALWLLRFPAAGETRVRAHAAARGVRSDQIIFTDVAMKNEHIRRSALADLFLDTPLCNAHTTGTDILWAGLPMITLPLEKMATRVAGSLCLATGLGEMIVSSMKEYEDRAVDLALNPVKLQALTNKLKEVRMTCPLFDTARWVRNLERAYYKMWNLYCSSRHPEPFKVLEDDNEFPFDR >Sspon.07G0023590-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:17999189:18003888:1 gene:Sspon.07G0023590-1B transcript:Sspon.07G0023590-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGEMSSARTTQEAGRGRVSNVQDAHHRCTRQQLAHGAWSMEIPAGPPVSGACEWASPARGRSAKPEYHIPVFSNSELGQQLQEQQPSWPALTMYMLIEKPTRSEARGTS >Sspon.01G0034570-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:5259093:5263283:-1 gene:Sspon.01G0034570-3D transcript:Sspon.01G0034570-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQQLAENPNLMREIMNMPLMQNLMNSPELIRSIIMNNPQMRELIDRNPDLAHVLNDPSIMRQTFEAVRNPELMREMMRNTDRAMSNIESSPEGFNMLRRMYETVQEPFLNATTMGSEGDRNSNPFAALLGNQGSNQARDSAANGTTTASDPTSGSPAPNTNPLPNPWGPNTGSAQGAARSPPASNTRSTTAGGLGGLGSADLGSMLGANGGGSDATFLTQVLQNPTMMQMMQNFMSNPQSMNQSSDTPLMQLLNMNPNVRNMMESNTQMREMIQNPEFLRQLTSPETLQQLISFQQSLMSQLGQQQAGQERTQSGSGAGNVNLNTLMNMFSGLGAGGGLGVPNAPNVPPEELYATQLAQLQEMGFFDTQENIRALAATAGNVHAAVMS >Sspon.04G0019890-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:75158884:75165551:1 gene:Sspon.04G0019890-3C transcript:Sspon.04G0019890-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:rRNA adenine N(6)-methyltransferase [Source:Projected from Arabidopsis thaliana (AT1G01860) UniProtKB/TrEMBL;Acc:A0A178WMV6] MLNSKVNEELVAAAGVEEGDVVLEIGPGTGSLTAALLQAGATVFAVEKDKHMATLIKDRFGSTEQLKVIEEDITKFHIRSHFLPFMKEKYHATKKLAKVVSNLPFNVSTEVVKLLLPMGDVFSVVVLMLQDETAVRLADTSIQIPEYRPINVNGAVISFKLKNAEEYPPVSSPKSFFSMVNSAFMGKRKMLRKSLQHLCSSSEIEAALDNIDLPVTARPSDLILDDFVRLHNHLTKVPSAKLPQHRMRPIFCGNLDYDVRISEVERLFGKYGRVERVDLKT >Sspon.01G0021280-1T-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1B:83143352:83144743:-1 gene:Sspon.01G0021280-1T transcript:Sspon.01G0021280-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VVWLTNSPSEIEPLLRRLFERYGPVVALRIGARLSVYVADRRIAHEALVDRGAALADRPALASVRLLGENDNSITRASYGPVWRLLRRNLVAETLHPSRVKLFAPARAWVRRVLVEKLGEPGPDAAPPRVVETFQYAMFCLLVLMCFGERLDEPAVRAIASAQREGLIYRSKNMQVFAFFPAVTKHLFRARLDKARALRLRVKELFLPLINARREYKKRAGEPKGETTFEHSYVDTLLDIKLREDGDRPLTDDEICILCSEFLDAGTDTTSTGLQWIMAELVKNPAIQEKLYNEVKAATEDLKEGVSEEDVHKMPYLKAVILEALRKHPPGHFVLPHKAAEDMEIGGYLIPKGTTVNFMVAEMGRDEQEWKNPMQFSPERFLPGGDGEGVDVTGTKGIRMMPFGVGRRICAGLGIAMLHLEYFVANMVLEYEWKEVPGNEVDFAEKNEFTVVMKKPLRPRLVPR >Sspon.02G0029710-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:108265686:108268465:1 gene:Sspon.02G0029710-1A transcript:Sspon.02G0029710-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFFVSGHPWPSALAFAGSPAGHYHAVRSRRLALASPCAFLVQRPRATVQLRNRPPVKPLRCGRATTATAVARPWCANHARAAGVSRPCFSSTPARSILTAGAPWRPRSAQPKAVAARRCRWADVLRRRRGPCLAHSSASWSRLSSHLILVACVVRPAGQASPRPQSLWPATELCLAPVVCSTLCVIVAAHSWHQSSTSSWRSCSTSWFRPTPKLRLSVAPSRARHTPRVKRSEPARCPSL >Sspon.01G0062680-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:106517756:106518445:-1 gene:Sspon.01G0062680-1D transcript:Sspon.01G0062680-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding HVGADAARGAGPPRPRLRHLVGVIFPDHARAPASAARRQRGHGREQGCMLRVAGGPRAPPVRPERRRRRGCGSSSSSSAAESEPASLRAVRVVVVAGRRGGRDGEPAPGRRRRRRHGVLQFLLRRRERGGGHCGGVRRVRGDGGGGGPPGAQGGDGAGAARGLLRVRPRRRRLRGRRGALERAAPPRDAATRRRRGAGGLLRQDDRGARRRRRRPDQLPRVQGHDGARGV >Sspon.02G0044260-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:100314571:100321054:1 gene:Sspon.02G0044260-1B transcript:Sspon.02G0044260-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFHVACPITWRVCDCELGFGARKGGGGGAAAAVWAGAAAALEGFLADPWLLRPAGAVDAADAATVQVEVPPLEPDPEDGEDEARRAAAQRGAAAAEDLARRFESGAYGSPEAEGDEDEWDREDQGNAAVKVYRDSEVIPMVCCDVCEKWVHIECDGISEEKYQQFQADQNLQYTCAACRGECSQIRDTEDAIRELWKRRDVVDHELMVTLRAAAALPSPEDVSPPYPNSDDEKLGAYVLKNESRNTLKFSLKSNTSKPPSDTPEQEKIVFKSPGSNKKSSKKKGGQGNKTDDGHDEIFLERRHDVKLSNSRLGDQSMDGNHDRSPFKNDDNAYISSSTRSSEKNLKSPSKKAVPNNADMIPKVKIKGSKVSSLHYKDGEENTPKNDTGKATKLVIHLGSRHKTRSGSPKSELSNSQREQDLGSIHGGKIDVTSQLKSSRSEVKERSVMKLLVRETGAQQRNSLLGDLGTSKKHATGKRSNALISGMENANETGSRNRSFAQKQSHSSQVDENQGTADSPDNLKPSLLKLKFKRPHFEQLNTQASQPEEPTSWVSQQEEQLNVAKGQRSKRKRPSMEKADGLDGTTPAKRHQQSTDDEVMDANWILRKLGKDAIGKRIEVHLTSDGKWHQGMVSNVIGGTLCIQLDNGRSENVELGKQAIRLIASRSKGGKR >Sspon.05G0014020-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:50624810:50626565:-1 gene:Sspon.05G0014020-1P transcript:Sspon.05G0014020-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding QMVRRQLRVLSTLDVARTQLYHFMAIVIAGMGFFTDAYDFFSISLVIDLISYQYYDGQMDSGVKAAISGIALCGAVPGQLVFGWLGDKMGRKRIYGVTLVLMVVTSLASGLSFSKRQGKNVVTVLCFFRFWLGVSIGGDYPLSATIMSEYANKRRRGAFIAQGFGNLAAGIVGMVSAAFMNSRKSNADYVWRIVLMFGAVPATLTYYWRMKMPETARYTALVAKDAKKVASDMSTVLNMHIVPEDEAVDELARHDQYGLFSVEFLRRHGVHLLGTSACWLALDITFYSLNLFMKDFFESVGLLPKLQHGDEPFGRMIHITAVHTILTLCFSLPGYFFSVAFVDRIGRVRIQLLGFAMMSAFMLGLAIPRYDYWRRHKYGFAAMYGLTSFFANFGPNTTTFIIPAEIFPARLRSTCHGISGAFGKIGAIIGVFAFVYTGKHNHNRGMLFALVVCNLVGLGFTLLLPESKGKALEEITGEMEEPPQPQQEAAAVAAAEYVHVVPV >Sspon.02G0012850-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:34247917:34248747:1 gene:Sspon.02G0012850-1A transcript:Sspon.02G0012850-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKGVKVFGMWASPMVIRVEWALRLKGVEYEYVDEDLANKSADLLRYNPVTKKVPVLVHDGKPIAESTIIVEYIDEVWKGGYPIMPADPYERAQARFWARFAEEKDPVSDRIDVCRLLQCNAALYPIFTATGDAQRKAVQEAQQCLKTLETALDGKKFFGGDAVGYLDIVVGWYAHWLPVVEEVIGASVVTDEELPLMKAWFDRFLAVDVVKAALPDRDRLLAANKARREQLLSA >Sspon.03G0027920-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:3209330:3219233:-1 gene:Sspon.03G0027920-2D transcript:Sspon.03G0027920-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTAKVLDPAFQGAGQKVGTEIWRIEDFKPVALPKSDYGKFYCGDSYIVLQTTCTKGGAYLYDIHFWIGKDSSQDEAGTAAIKTVELDAILGGRAIQHRELQGYESDKFLSYFKPCIIPLEGGFASGFKKPEEEKFETRLYICRGKRAIRVKEVPFARSSLNHDDVFVLDTENKIYQFNGANSNIQERAKALEVIQHLKEKYHGGVCDVAIVDDGKLQAESDSGEFWVLFGGFAPIGKKTVSDDDVVLETTAPKLYSINDGQLKLEETALTKAVLENTKCFLLDCGAEIYVWVGRVTQMEDRKSATKAVEEFLINQKRPKTTRVTQVIQGYESHAFKSKFESWPVGNAAGSPGAEEGRGKVAALLKQQGVDVKGAAKSTTPVNEEVPPLLEGGGKLEVWCVDGNAKTALPKEDIGKFYSGDCYIILYTYHSGDKKEEYYLSYWIGKDSLVDDQMSASQITNTVWNSLKGRPVLGRIYQGKEPPQFVALFQPMVILKGGIGSGYKKLIEEKGATGETYTTEGIALIRVSGTSIHNNKTLQVDAVATSLSSTECFVLQSGNAMFTWFGNSSTYEQQQWAAKIAEFLKVTEIFNFSQDDLLTEDMMILDTHGEVFIWIGQCVESKEKQKAFDIGQKYVEHANSIEDLSPYVPLYKVMEGNEPCFFKTYFSWDNTKSLGAPRSSGNGGPTQRASALAALSSAFNPSSQQRLSNERPKSTGDGPTQRASALAALSNAFNASLKPNKTPPPSRSGQGSQRAAAVAALSSVLTAEQSGSSENLRAKASSTADKTDVDRVVITPAGPSGPSSPQSEAGESNVFHQEKDAAVDGAPSGTDGAVAEAPLEETTENVGEATFSYDRLISKSTDPVRGIDYKRREV >Sspon.08G0006650-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:18077750:18079621:1 gene:Sspon.08G0006650-3D transcript:Sspon.08G0006650-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GHLHGDQAVRGARAAVRRHAVGDAAPGLGRPVPDAPRPRRVHAHLLLRRRREDAASAGAGRGSSRGRRRTGADEEGGDHHQEVPGRGGARRAGGRAGALLPVRGSDRGGRAGAPRRAVLCRGRLLRGGRRQLHPRRRQLPGAAAAPRQGAARAVPDAGALARRAAQQPRHDTGDDLHLRRLRGGPAHQPRGGGRHGRGAVPERRRRPRPRAAGAPREAHLGPGPVPRPGHQARPAPGAAGAGAGVHRVRFPHCLPRQAQVAVRGVHGRQDLLGLRHRHRQAVAVPDARHRRRQRRRRRRQALLLRQRPPRAEAGAGLLRQRHLPRQGAGAGGEGGRLVGGRARRHGPGGEAADGRGVPELGAGPDRRARPVPDDLRLRVRVRVGLEQARVRRRGLRVRRAHVRRAAGELRPHRVGHRHEGARAARRHAASGQLRHQGARRRLRPQDARGPRLI >Sspon.04G0002280-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:6997968:7005841:1 gene:Sspon.04G0002280-1A transcript:Sspon.04G0002280-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADVDMAELPQTPRSTAGDDDLSFLGGEGDLAATILARLGGSLREDFQHLCATAAAMAQAVSDQGVPATPVAYFAASAAVLAPLARAGAAGADRHVAGALLAFLSAALPALPAALVRARGREVADDVMRVLDFPSTPDSGVRAGLRCLAHLISAGDRSNWEAVEPLYAVILRLSTDHRSKVRKQSHSCLRDVLLSFQRQPILVPASEAITRSFERFLLLAGGSSSVNTGAAEEGPKGAKEVLYILNALICCLPLMASKPSNTILKYFKPLFASTNFDKESVGHSARFAESPTLQLKSDCYSTFCARWGLSSERKSGDEMASIARLLHVGTKKIYKQNRDICVVKLPLIFTSLGDILSSEFEEARFSSVEAFKGNCSDEGQTSRFEIRSHNHREDMCYPRRLARFRYSDVWDRSFHVISVAFDKLGEFSADLLPEAVRNLADMQNMSDDDFSFRKQLDACLGSAIAAMGPKNVLEILQIQSICDENAWILPIMEKHIVGASLQFFLRDILGMVRAIEKSIPKLLKNDKLFSAKRAGGYVYSLWSLLPSCCNYSCDTSSNFRALQDVLCDTLQNQPDLRGIVCSSIQVLIKQNKEALSVSREEDILAEDEISKSERRAKEHYTQNLAEENLKAIRAFSSKLLEVLCSIFLMSSNDAIGLLQPAISEIASISDKNVVGKFFLDAIRELLDATKAVNTEPVDDSSMEIEADSNKNSMKRALLLDFAASLMPGLAAKSINVLFSYVKPAIKDSDSLIQKRAYKVLSMLLKDAEFVEKNLDALLELMISSLPCQFPSKRYRLECLYHLIVYILKDSSTIRKREVISSFITEILLALKEANKKTRNRAYDLLIEIARACENAGNDERKEGLHQFFGMVAGGLVAGQTSYVISAVVTGLARLTYEFSELIGVAYKLLPQTFLLMQRNNREIVKANLGFVKALVAKSKADMLHEHLNGVVEGLLSWQSDTKNSFKAKVKSLVEILVKKCGLDAVKAVMPEEHMKLLTNIRKINERKMRKAKSSEDGDAMSMASGATRQSRWNHTQMFSDFGSDDESDGPFSTQHTVTSRSRTESKASTRLSRRRQGDKNLLEKFIDHSTGDPLDLLDQKTVRLALKSAAGKKRSAPDDDDDEFEVDPEGRIIVREEREKRKKKHVSRDDDDADGKSSVRSQSVKKRKTSSSGWAYTGHEYTSKRASGDLKKKDKMEPYAYWPMDRKLLNRRSDRKASARKGMSSVMKMTKRLQGKSASAVLSDKRSGKMKHKKNN >Sspon.02G0018940-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:78647839:78656455:1 gene:Sspon.02G0018940-2C transcript:Sspon.02G0018940-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTCIMSASKQNKRQTNSEQCRPGKKTKLDSSHCLVSLKPHIGLKWDQYLRKVVPEKEQVGILWSDMAPFMESQKHCSGLADVTYVPPETFSLKSLRGVLSYEVWSTSLTEAERKFLIQFLPSEPDAEEIEKIITLEILSSAGKSSSLCYGDIHPDALLDKEKHIKKDEKAYHVNLLNYHSNMVETLKNWRKRWLSCGDTENLFRDNPGNQMQGVMQLKATKSGMPMKVAQRIDVSKFMSYIKVSRTQLNHIKRLKQSGDGIQAKHVSRVIGGLDKSHVKPYGALLEDEQRRLREHWLKMSCNDLPASFEVLRERKVLMEKSRKLLGLELEQKNVSVLRKADRLTYKTKGLGQPGASENDGTPVFQNDQVECSPQSILQGGHDQSTLQDQDDEQSVYHVHCMNVEDHDLMVVRGIDITSQSEQNSEWPDQNRNGVSYVDKGIYCCANNPDKQSEVLMDIKLCKDGLVDNPGCKNMQLEDLDGVSYKGPSVHAYEQDKDLESIGHAVVNHNCHHSQNISSEMSHSKMTTVIDQDETENVMIPSCSSSHLPKSSVEQMHVEDFLDLNDQATKVEKVRWQLAGPLQSHYCPLENITNNGSGDLQIKQPYLSSGRHNSSVYLNSVISSQQQPQLASSAFPVDNPASVIEPFSNLQSNGQLETAKDIGAVSYPFRHANSMKQSTALHCLVNKRLAQSAPFPRLLQEQHQLIDQSDNSLYAQLHKDYYTDVSFPTKVNLPISEQHSYAASDSMDHRYNWFPQGSQSHINNDNLLGLQSGNCLPQALPSGSSTDGTLFSAISQYKQPSVNMGHGESSSRQLIEPRNQFGPPQNFLSRSQDTNPTFPDMYGFTQNMASGTSSQVAPVGSLDSSHWTNFIQQNPGMPSDFTNRPFRGPWTR >Sspon.04G0008490-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:25235594:25236099:-1 gene:Sspon.04G0008490-1P transcript:Sspon.04G0008490-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVENGTIDLCVFYLLHFSVDERSGDQRDGGGHRQGEPDPVGGGLDAVHLLRVPENPRGDAGGGGLGGGEEGQRRPPLPGHPGEPRLRRLRRLLAPAGPSPTACMMDDLVLKNHCSI >Sspon.04G0014460-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4A:54163358:54163914:-1 gene:Sspon.04G0014460-1A transcript:Sspon.04G0014460-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ERADVDGAARPPDTRTASQSAANSNLPSPSSSAATLVSAFASKGLDSRDLVALSGAHTIGAARCATFRSRIYNDTNINAGFATKRKQICGPQAGGTDGNLAPMDAMSSVKFDNGYFRNLVSQFGLLHSDQELFGAGVVDSVTARYARNGAAFSTDFVTAVVKMGNISPLTGSSGEIRANCRKPN >Sspon.02G0015710-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2B:41289898:41290173:1 gene:Sspon.02G0015710-2B transcript:Sspon.02G0015710-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MECADEALLPAVYREVGATLHASPAGLGALSLCRSIVQAACYPLAAYAAARHNRAHVIAVGAFLWAAATFLVGVSDTFLQVHVRWQQLFHA >Sspon.02G0016460-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:45451478:45458158:-1 gene:Sspon.02G0016460-1T transcript:Sspon.02G0016460-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQVHAPHVVMLTSPGVGHVAPVAELAARLAAYHGFTSTIVTYTNLSSPTNSCVLASLPPGVSTTALPEVPIDDLPADAHIVTRILIVVQRTLPHLRALLRSLLDSPAGITVFLTDLLCPAALAVAQDLGVPRYVFYPSSVMSLSMLLHAPELARTTTCEFCDLPDPVIIPGCLPLHGADLVEPLQDRANPVYDLLVDLCLDYLRGDGFIVHTLDAMEHETLEALKDLSDKGVYPPAYAVGPFLRPYTDKSAEHHCMRWLDGQPDGSVLYVCFGSGGTLSSTQTAELAAGLEASGQRFLWVVRLPSDKDSCGSYFGPGDHVDDPLSYLPEGFTERTRGTGLVVPQWAPQVEILEHRAVGGFLSHCGWNSSLETVSSGVPVLAWPLFAEQRMNAVKLEHVGLALRVSAREDGVVPREEVAAVTRELMVGEKGAMARKKARQLQAEASKASVPGGPAHQALAAVVDMWKKPGTI >Sspon.07G0004970-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7A:12599233:12599553:1 gene:Sspon.07G0004970-1A transcript:Sspon.07G0004970-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRGTRAPCALALALLLCVAVHFQGASCLAGGGGGGGARGGSFGSGGGGRAGGGGGAEERGGGSGSGSGPGGIGGARTANAAGASNVNGGGRQRRGWRERAWRMDGC >Sspon.02G0044430-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2B:101388299:101390673:-1 gene:Sspon.02G0044430-1B transcript:Sspon.02G0044430-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSPVTTAAASAAVVALAVANRVLYKLALVPLKAYPFFLAQLTTFGYVAVYFSILYASGANEGQFLSEVKLIWPALMIASSAFQAGASILKEAVFIDGAKRLKVEASFLHVAFICSLGKRPDIFVVNSFGSGFQVLCQWVD >Sspon.01G0013080-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:35687880:35695438:-1 gene:Sspon.01G0013080-1A transcript:Sspon.01G0013080-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALLPETAPRLLTPETLRTAAKQSQGIHLVPLSLRRAIKRYLRDQDKAHMNRKVLLLSASFDRAKGTGAELAAAATRGALLDDPNAPSGAEQRAARWKVRSAYGDTGLRYREDETVAYVASRMPAIYSACHRVLREVRRRLPEFAPAKVLDFGAGPSSALWAMRAVWPKSIERVNLVEPSKEMQRAGQSFLDNLKGLPLIHSYDSIQELNRKIEKHERGHDLVVSSYALGEIPSLSDRITIVRQLWDLTSDVLVLLEPGTPQGAKIISQMRSYILWMEKRKCRKIEKSSSRPSSSMKSIVAQEASLKNGSFVVAPRLERTSSQRAYKRSKGVPLRGFEDEKFCYVALRRGKRPEEAWPLDGLNFETLKERHAKRKPEDLIIDYDDQFPSEEDEEVPVDGGDSLVPYASDEHELSLFHDSEEAEEEDQTIRADLGGGWGRIIYSPIRRGRQVQMDVCRSTKRDASEGTFERVVVTRSNNPTLHFQARRSERATLRATARGAMAGAENYTCTRSNS >Sspon.02G0003780-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:11036209:11053115:-1 gene:Sspon.02G0003780-2B transcript:Sspon.02G0003780-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGGEHTSLLVKADASCHGEETQSLSEANLGPQLKSKCSDWRAPAIILGLECLESMAFNGIATNLVVYLRSVLHGGIASSASTVSLWYGTSFFVPMLGAAIADTCLGNYKTILISLIMYLIGMVLITVATFLPSTSILCDVSSCLSSNGTQTVIFFAGLYLTAVGCGGVRSALLPFGANQFNNENNLVDIKRRRNFFSSFYICVIFGVITSGTIIVWVQENVSWAIGYGVATTCIGLALIGFLVGTPIFQQDEPCGSPVKSIFQVIVASFRNMSLEVPADSSLLYEVRSNHIQRTILAHSDDFRFLDKAAVISDPSLVYGGCRSSWSLCTVTEVEELKILIRLLPIWVTGIFFAAAISQMHTTFIQQGAVLNTKIGSLSIPPASLYSFEVICVTLWVLSVNKVLVPATRTYFANGAELTQLQRIGIGRFLMIFAMAMAALLETKRLQSVQEGELLSIVWQLPQYFVIAGAECFAVITQLEFFHGQAPDSMKSILTAFALLTTALGNYLSSAIITLIAGVTRVWHSPGWIPDDLNKGHLDYYYWCLTALSLVNFVVYLYFASKYKLKKLLRRLFPSPATSATPAPPSPFLRHLSTASTPTPTPAASLASSLASALTALSTTPPPATTPDAYFSLHFSDVRPTNALLAEALALSPPATSRAAADLFRFLVRRRSLHPSDGALAPVVRHLARRRDFPAVRALIQEFPIALGPATLDAYLQQLARAGRPTDAVKVFDELPEQLRNREALTLLVSALSAEGFPSHAERAAKKVANEIFPDDNICTLLVSGYANAGKLDHALRLIGETRRGGFQPGLDAYNAVLDCVCRLCRKKDPLRMPMEAEKFLVDMEANGIPRDAGTFRVLITNFCKIRKTEDAMNLFRRMGEWGCSPDADTYLVLIRSLYQAARTSEGDEMMTWMRSAGFGDKLDRKAYYGFIKILCGIERVEHAVKVFRMMKGYGHAPGVKSYSLLIEKLARHNLGDRSNALFREAVARGVPVAQGEYNIDKRYVKAKKEKKVKKRLTLPEKMRLKSKRLYKLRMSFVKKPKRRMIRVWLERMIMGMDTTRSRVDGLVTPVMFGLECLESMAFNGIATNLVVYLRSVLHGGIASSASTVSLWYGTSFFVPMLGAAIADTCLGNYKTILISLIMYLIGMVLITVATFLPSTSILCDVSSCLSSNGTQTVIFFAGLYLTAVGCGGVRSALLPFGANQFNNENNLVDIKRRRNFFSSFYICVIFGVITSGTIIVWVQENVSWAIGYGVATTCIGLALIGFLVGTPIFQQDEPCGSPVKSIFQVIVASFRNMSLEVPADSSLLYEVRSNHIQRTILAHSDDFRFLDKAAVISDPSLVYGGCRSSWSLCTVTEVEELKILIRLLPIWVTGIFFAAAISQMHTTFIQQGAVLNTKIGSLSIPPASLYSFEVICVTLWVLSVNKVLVPATRTYFANGAELTQLQRIGIGRFLMIFAMAMAALLETKRLQSVQEGELLSIVWQLPQYFVIAGAECFAVITQLEFFHGQAPDSMKSILTAFALLTTALGNYLSSAIITLIAGVTRVWHSPGWIPDDLNKGHLDYYYWCLTALSLVNFVVYLYFASKYKLKKVVIC >Sspon.02G0038620-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:47432193:47435291:-1 gene:Sspon.02G0038620-2C transcript:Sspon.02G0038620-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARQQWRRHAVATLGVACAAVVAVAAADGPMRNSTRPGNDGKAYQHVWPPMEFGWRVVVGSLIGFFGAACGSVGGVGGGGIFVPMLALIIGFDPKSSTAISKCMIMGGSVSTVYYNLKLKHPSLDMPLIDYDLALLMQPMLMLGVSIGVIFNVIFPNWLITALLITIFLVTSTKAYLKGFETWKKETIKKREDARRQEQICQEPEHATTIPIEADAPANKAKTPTDEATSVLKNIYWKEFGLLAFVWIAFLGLQVTKNYVASCSVWYWVLNSLQIDMPSLQWGKDMIPVAVGVTVYEAYGLRTGKRVLSSKGSQQQSTLRIRQLLVYCLFGILAGLIGGLLGMGGGFIMGPLFLELGIAPQVSSATATFTMMFSSSMSVVEYYLLHRFPVPYAAYFTGVAFVAAITGQHCVRKLIAWLGRASLIIFILASMIFVSALTLGGVGISNIVHRMERHQYMGFESLCKV >Sspon.05G0019560-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:85663155:85668340:1 gene:Sspon.05G0019560-2B transcript:Sspon.05G0019560-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDAALQQQRNGIKLLVRPTGTRARSTSLGDTQPAATWGDSAPCLLRPSVQEATSTSCAPCAVVRPPPRARACLPPPDSDKRRCLPDWGPPRPAETTEPTDRQGRLRLLSCARTYVRPTPDRHGVDASSPWLLLLLVVLHGRARTTVVRHVAVIVAAVALAIAPTTAATTSLVSDAVGRRHVRRRGAAEKGPVDAGEEGVALDGGGADGGAEPAGGVLGEERGDEVPGGGRHGGVPGKLERARHDVGQRHLVVVALEGRDPRSSKTKTPSVHQSTELPCPSPDTISGARYSCVPTNDIDRRSTGSATNSSSSPLLLPPLLMPPFDDGFLAVRQRRRRRPRPPPRPGKSWKQEAAGTMQEEMAQDVEVAGRAARESGSEMTCAGVGAVGSHFRERSKSVSMMWPSARTRTFSGLRSRYTMSIMWMYSSAATTSAANGWSTASRISRSVRARPSLLRPSSSLRSITLAATTIGCCCGACGGCFSSALPAAAAGGLTSARYTLPMSPAPRRRRKRMSERATAPRRGICVVDDDRAAEVAGVVGVGVRREGGGGSERWWWSGPDEEVEQDAVAVETVEADRERERSEEEPKLRLCSSSAAMSAPVPLAASPS >Sspon.01G0008680-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:24233501:24248653:-1 gene:Sspon.01G0008680-1A transcript:Sspon.01G0008680-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLELAQLVSKHESLTLSTDNPPVADHPEWIRYTTILCPKDEPLAFDKIYHYENSRLLKLCPTMKLPLNQVPSALFSKLTCLRALDLSYTELDLLPDSVGFCIHLRYLNLRNTLIKTLPETVCNLFNLQTLDLRDCYWLMDLPAGMSRLVNLRHLSLHIDWDRVTAFRSMPSGIDRLQALQTLSRFIVVSRDGGRCNINELKNLKIRGELCLLNLEAATNDGVMEANLRGKEYLRELMLKWSEDTCKDEQQQGIENSETVIEALCPHTSLKHLRIENYPGRKFPSCFENLSALESLEIISCPRLTQFSVQMMQSLRNLRIRQCADLAVLPRGLCNLESLHCLETDGAPNLRISAVDILPRNISRLAVSGCDALERWCLEEGAERVQQIPDVEEADKLRRTKERIRAVLEDAEQRRFVDHDSALAPGAQGAAFDVDALLDRLGTITAVSRLAAAEQSRKRKRLWPCVELGPRQRWELDDKISQINERLDEINRGRKRYRLQAGDGRRTEAQPIQRPRFLESAAHRDERPIGRDEEKEQIVRALVSDSAEMEVISIWGTAGIGKTTLAQSVFKDPEVQNFFTDKIWVWLPDRCDVRKATKMIIEAVTSKKCELLSLDILQQRLHDYLHKKHFLLVIDNLWAEGFQFWEFLRPSLTGGVDGSKVLITTQHKRVSRMSSTILNIHLERLEDEECWQILKLYAFLGWSSRDQHDLESIGRRIAANCQGSPLAAKSLGVLLSDTRGDKEQWESILGEMQILEDDKNTNNILPSLQINYQHLSYHLKQCFAFCSILPPGVEFEKDELVRLWIADGLVKSNGRKRIEMEAGRCFDELLWRSFFETSHNFPNQKFRVSSLMLELAQLVSKHESLTLSTDNPPVADHPEWIRYTTILCPKDEPLAFDKIYHYENSRLLKLCPTMKLPLNQVPSALFSKLTCLRALDLSYTELDLLPDSVGFCIHLRYLNLRNTLIKILPETVCNLFNLQTLDLRDCYWLMDLPAGMSRLVNLRHLSLHIDWDRVTAFRSMPSGIDRLQALQTLSRFIVVSRDGGRCNINELKNLKIRGELCLLNLEAATNDGVMEANLRGKEYLRELMLKWSEDTCKDEQQQGIENSETVIEALCPHTSLKHLRIENYPGRKFPSCFENLSALESLEIISCPRLTQFSVQMMQSLRNLSIRQCADLAVLPRGLCNLESLHCLETDGAPNLRISAVDILPRNISRLAVSGCDALERWCLEEGAERVQQIPDVTNSRRISGSNEMQGSPSNQAKGEQLKDIENTSES >Sspon.02G0059160-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:89700114:89702088:-1 gene:Sspon.02G0059160-1D transcript:Sspon.02G0059160-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCCAASDLTSSAAAGAAVASASSSPPAGMRHGARGRTSGLAVGRLLPRLGAAKLRRCPRARLPMASPAPGGPRFCHFHPIISYSRLTLPSSDSLFPKHAPSSATIKVPKKKKMTQSYYFASNIDLNATVTSVLDTVSSKIFSAVYGYHQRGAFTPTRKNIPYLHTADDM >Sspon.07G0006500-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7A:16870292:16875437:1 gene:Sspon.07G0006500-1A transcript:Sspon.07G0006500-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVSRKLKGGLLGSFHLA >Sspon.07G0012150-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:41430533:41432488:-1 gene:Sspon.07G0012150-3D transcript:Sspon.07G0012150-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEGRKNGVPALGWWLMLVGSLRLASVWFGFFDIWALRVAVFSQTEMTDVHGRTFGVWTLLTCTLCFLCALNLENRPLYLATFLSFIYALGHFLTEYLIYHTMAAANLSTVGFFA >Sspon.06G0000230-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:1167076:1170735:-1 gene:Sspon.06G0000230-1A transcript:Sspon.06G0000230-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEREHWLLCALIAVPLLYYLTRILRPRSGSSSTRPRLPPGPRPLPLVGNLLDLRGGKLHHALARLARVHGPVMRLKIGLATAVVISSRDAAREAFTRHDRGLAACAVPDMARAAGFSEQSMIWLPASDPRWKALRGVVAAHVFSPRSLAAARGVRERKARDLVGYFRRRYGSGQEVVDDVGQAVYGGVLNLVSSALFSVDVVDDVGAKSARGLRELVEEIVDGIAKPNVSDLVPFLAPLDLQGWRRWTARRFRNVFGILDGVIDRRLSESDGDASSPASSSEGTHGGDFLDALLELLSAGKITRDNVTTIMFDVFAAGTDTIAITVEWALAELLRNPSAMAKVREEIEASLGSKESVEEHDAVSLSYLQAVVKEAMRLHPVAPIFLPHQATEDGVEIGGYAVPKGCTVIFLAWSIMRDPAAWERPDEFIPERFLDREEEMGFRGKEFEFIPFGAGRRQCPGLPMAERVVPLMLASLLHAFEWRLPDATQMESELWLLWATLAVSLLYYLTSLRSRSGNARLPPGPRRFPVVGNLLDLRGGNLHHKLACLARVHGPVMRLRLGLTTAMVISSRDAAREAFTLHDRRLAARAIPDATRALRFHERSMVWLPSSDPRWKNLRGIVATHVFSPRSLEAARGVRERKVGDL >Sspon.04G0030200-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4B:76052461:76052595:1 gene:Sspon.04G0030200-1B transcript:Sspon.04G0030200-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFEDGIRTTCATSAIACFVVVICSRQRSVRWNMNKESRGKVWW >Sspon.04G0027210-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4B:56810000:56811864:1 gene:Sspon.04G0027210-1B transcript:Sspon.04G0027210-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQRRDEDVQSGGGAGAGGGKEGVWERVVAERGGRVQELLVAFGRRWGEDKDAMSVARNILKNPKLLPGGGATELTVSATLKQKSSLVEGVEKWPYEAAALAFEAIPRTLAQNCGLNVIRIMTQLQGKHANGENAWVGIDGRSGDIVDMKERKIWDSYSVKAQTFKTAIEAACMLLRIDDIVSGIKKKQAPGASAPKQPQIETEGDADNEQMIPE >Sspon.08G0006260-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:19583091:19594167:1 gene:Sspon.08G0006260-1A transcript:Sspon.08G0006260-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SOS2 [Source:Projected from Arabidopsis thaliana (AT5G35410) UniProtKB/TrEMBL;Acc:A0A384KKJ4] MAGAAGRKKRVGRYEVGRTIGQGTFAKVKFAVDADTGAAVAMKVLDKETIFTHRMLHQIKREISIMKIVRHPNIVGLNEVLAGKTKIYIILELARHGKLRENEARKYFQQLIDAIDYCHRKGVYHRDLKPENLLLDSRGNLKVSDFGLSTLSQNGVGLLHTTCGTPNYVAPEITAAQYSCPYWFSPRARSLIQRILDPNPRTRITIEEIRADPWFEKNYVAIRRGEDENVSLDDVQAVFDNIEDKYVSEEVTHKDGGPLMMNAFEMITLSQGLDLSALFDRQQEFVKRQTRFVSRKPAKTIVATIEVVAESMGLKVHSQNYKLRLEGAALNRMSQFAVVLEVFEVAPSLFMVDVRKVAGDTLEYHRFYKNLCSKLDSIIWRPTEVSAKSMLLRTTTC >Sspon.02G0018400-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:59016741:59018389:1 gene:Sspon.02G0018400-1A transcript:Sspon.02G0018400-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAPCCDKASVKKGPWSPEEDRKLKEYIHKNGTGGNWIALPHKAGLKRCGKSCRLRWLNYLRPNIKHGDFSDDEDRIICNLFATIGSRWSIIAAQLPGRTDNDIKNYWNTKLKKKLMHGLQAPYYTTHNNHSTAVSAAAAAVASPEAAPIMSLQHLSSPHDYSHLYSGGGSFGPNNSTTSLLSAAASSRGLLLNGEQQVLRTSPCLDSRGDHDIYFDEPCATTISSSVVHGFGAETIIFGGFQLQEDHHHKAEALLLASGASNQMDEEYNFAASCCYDEKAPPLLSLTGGAGGTSSSFFYGSTYSEETATTTRA >Sspon.05G0013190-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:36916781:36922653:1 gene:Sspon.05G0013190-2B transcript:Sspon.05G0013190-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eukaryotic translation initiation factor 3 subunit H [Source:Projected from Arabidopsis thaliana (AT1G10840) UniProtKB/Swiss-Prot;Acc:Q9C5Z2] MRSFLQAVSTVTEEAPTPLRVVQMEGLAVLKIIKHCEEFAPALVTGQLLGLDVGSVLEVTNCFPFPIREEDDEADADGANYQLEMMRCLREVNVDNNTIGWYQSCLLGSFQTVELIETFMNYQESIRRCVCIVYDPSRSSQGVLALKALKLTDSFMDLYRNNGLTGEKLREKKLSWVDIFEEIPIKVSNSALVSAFMKELEPESPVTQCDFDRLKLSTAPFMERNLEFLIGCMDDLSSEQNKFQYYYRNLSRQQSQQQAWLQKRRQENMARKAAGEEPLPEEDPSNPIFKPIPEPSRLEGYLVTNQISSYCNHINGVAGQNFNRLYLMKALHED >Sspon.06G0027630-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:87795487:87796017:-1 gene:Sspon.06G0027630-1B transcript:Sspon.06G0027630-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding WNALVTISMNRHHASTTTTSSSLCPAAPPHPPPPAASPRRQQQQQARRSRRRVAPPRVLPLPGKQASSSFDCRTCGREFPTFQALGGHRTSHLRGPATTKKKARSSKAVLVHACSACGLGFSTGQALGGHMRRHRGGPNDVYALDLARAVVLHVRPRLMASLQLLDLFV >Sspon.03G0025760-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:1166921:1168994:1 gene:Sspon.03G0025760-3D transcript:Sspon.03G0025760-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRLAGLAFMFSTSILLQILACALYNNWWPMLAALMYVLVPMPCLFFGGGSTHFLTSREGGGWMNAAKFLTGASAMGSLAIPAILRHAGLIETGAMFIEFTSFFILVCTVLCFHRATLDEDW >Sspon.06G0011170-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:47939551:47943382:-1 gene:Sspon.06G0011170-2P transcript:Sspon.06G0011170-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GSTELNSLLFSKTMKVPPGSGGGKKWTTLEHNGVIFPPPYKPHGVKMLYNGQPVDLTPEQEEVATMFAVMKGTEYASKRTFVDNFFTDRRKILGKDHIIKKFKLCDFTPIYEWHLRENEKKKQMTAEVKNFRVEPPGLFRGHGEHPKMGKLKRRIQPSDITINIGEGAPVPECPIPGESWKKAKHDNTATWLAFWNDPISQKDFEYVFLAASSSLKGQSDKEKYEKSRKLKGHIQRIRENYTKDFENKDVTRRQIAVATYLIDKLALRAGNEKDNDEADTIGCCMLKVDNVMYIPPNQIQFDFLGKDSINTLEVEELVYNAIEGFLAGKKAGEDLFDKLDTTRLNAHLNDLMPGLTAEVFRKYNASITLDRILHEEIDGTLLEKIAFYQRANKEVAIICNHQRSVSKSHESQMTRLNEKIDELKSQMEELKADLSKAKKWKLLGYDKEGKQKRNLAPEAIEEKIAMLEGKIEKVEMDKKIKEDLKTVALGMSKINYLDPRITIAWCKRHEVPFEKIFNKSLIAKFKWAMDVDPEFRF >Sspon.06G0017360-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:94100067:94103289:-1 gene:Sspon.06G0017360-1A transcript:Sspon.06G0017360-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MELVMGALTSIISKLGGLLVGEYNLRKEVKGEIRFLQSELESMQSTLELLSNKPPHELHIQDKIWARDLMELSYDIEDTIDRFMSTMRLEQVRSVIVFPSAVNIMPALGSFRVSGFDQRNVGGLDAWVASPHLCTLGTAQSCWFSTLPSWMNPGLLPHLSAVSIAVREIQQEDLNILGRFKALRYLNLEVNHENLGIHGAFIFGSGFFPDLVRCELGFVGPVVFQQGAMPRLTSLWFSPFSVLVDREIDGSSDCLDLGLGNLPLIQEVVVNLRSEGASEEEVEEVKATLRHAAEMHPNNPTLRIR >Sspon.02G0022470-3C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:76681104:76682070:1 gene:Sspon.02G0022470-3C transcript:Sspon.02G0022470-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding TGPNPLREITIPFPQQIPRSPVSPATASAMASASATGDGENKPHAHLGQPLLAPPQPPQQPYYAYPAASYVQPSPPLPPPPTLVFVPVPAPCSPVLVRLRRLRPRRAPCLRRLCTRTLPLLLFLALLAGAAFLLYPPAPAARVADLRVDSFRVQPPVLDLGLALRLRVRNPGFLLPLRYRSVSAAVSYRGHLLGSAKAWPGSGELAARDEVYADAQVWVDAGRVLDDVIELIGDVAAGSVPLEIVSEVVGSIEVFRFHIPVKVIRGPIQLFWA >Sspon.03G0046730-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3D:44479856:44481049:1 gene:Sspon.03G0046730-1D transcript:Sspon.03G0046730-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPSIPASGLQKKTIDPLCAYSLQSKTDSLSVLAPHLPASGLQKRRACLTANTATWQTARQGDVTPGGTVVCLSAQSAALDMPKQIINQQITGLNIITGPAVAMHGLCHCLVRGRRTMTIGQQREPTVPYRMSQVNDQERGGQWVGASAAPTTTGKVVLAPTNPKDKVLALDQGHAVEHDRQGPRVLSGVRAGEQGDGEAIKHSLGPTQLHAVQLVPYNPDFLDESRIWMESRNVGNGFRCVRMVNSMYLNFDALHGVCDNTDVVLWKWCKGDNQRWNLEDPALLLNLTSIQSIETWREFRSEGTRSRTAKERRIATELCG >Sspon.04G0028940-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:75121732:75124019:1 gene:Sspon.04G0028940-3D transcript:Sspon.04G0028940-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleus-encoded chloroplast protein, Chloroplast development, Biogenesis of chloroplast ATP synthas [Source: Projected from Oryza sativa (Os02g0152900)] MLPPNRSCCKCEFAQAASAQRRADSTARGPRVMPTMAAPAVSAPSSLRISHQGMIGGGQGWGGRCRYGSRPQRAIRGATVSARASMNITCCANQTQTAQRKSYSGPTSPPSGSVKEKVKPRLDDGGVGFPPFRFGGGGGGGGGGGSSSSGGFILFVIVLLLDYLKEFERNLQNGPRRGSDYDDGFAPQ >Sspon.02G0017380-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:50185907:50186887:1 gene:Sspon.02G0017380-1A transcript:Sspon.02G0017380-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RDGLTRFIESRGGRHCLYRVGYRNVIDKGVQLNADGVETHLMMETTGHGALRENYFLDDGAYMVVKIIIEMVRMKLAGVDGGVGSLIGDLEEPAESVLLRMDVLGEPKHAKERATQAVEAFKKYIQEDKLSGWVLDDCGDCSVAEGCLEDSNDRPIDVDAYMYRAKLYDENQQRAIGMVHLRQSVHNPNIALNMQSYVPGGCKSMAKDLHERFLLASGLNEFVDISEVEKFVK >Sspon.04G0010430-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:27114376:27118520:-1 gene:Sspon.04G0010430-2B transcript:Sspon.04G0010430-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVCSAGIAGDKSPTELSFRAMGFVVEQDFRAFSAASNNKTAPVEEAVDPNQVSDQSFRLSEKVSPPSTSGKTRRSVSKEPHLTHAESWKAKAGKPRRSTSGKAGPSKANIGIALGRKSTSGLGKAVEVLDNLGSSMSSLSPGGGFVAGPTTKGNKISILAFEVANTIVKGMSLMQSLSKESLNYLKDMVLLSEGVQRLVSSDMDDLMRIAAADKRQELSVFSQEVIRFGNRCKDPQWHNLDRYFSKLGSEITPQPELKETAKADMQQLMTLVRHTGDLYHELHALDRFEQDYRRKLEEEKRSVTFERGDTVQIIRQELKSQRKHVHNLKKKSLWSKSLDDVMEKLVDIVQFLHVEIRDTFGPCDGESNGSQESRQTLGSAGLSLHYANIISQIDNIVSRSSVPPQSTRDALYQGLPPNVKSALRTRLLTSTESEEAPITKIRSSMEKTLQWIVPVANNTARAHHGFGWVGEWANTGNDPSRKQAGQPDALKIETLYHADKEKADACILDLVVWLHILISYSRPPNNRSPSRSPVRSPVHLGVAPAVPAAAASSRGAAAGLTREDREMLQDAYTRRRSAGTTGKSKSEELSTAAAGARGHRLALSRNDRLSKSGSHCPSSREREHGGGRVFPLATGRSAASSSPVVVVGFDIDRIRGALDVMDRVDVQKQP >Sspon.04G0004310-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:13569324:13572915:1 gene:Sspon.04G0004310-1P transcript:Sspon.04G0004310-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPPPAGEQQQPQLHGVVIITFPPSDQPSKGKTITAFTYTDDAPPPPPEPVMGYPSATQVRRRPRRVLSTRRVAAAALVLGALAIAAYYCFYSDVAVQFLGMEQEEAQKGRNETRSFLLPLYPKARQGRALREFGDVKLAARRVDDGGRKARNKMEVAKAAAAGNNSTALLPIKGNVFPDGQYYTSIFVGNPPRPYFLDVDTGSDLTWIQCDAPCTNCAKGPHPLYKPTKEKIVPPRDLLCQELQGNQNYCETCKQPKLSMGVLARDDMHLIATNGGREKLDFVFGCAYDQQGQLLSSPAKTDGILGLSSAAISLPSQLASHGIISNIFGHCITREQVVEDICFWEMIMYLDGE >Sspon.06G0005580-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:17570805:17573749:1 gene:Sspon.06G0005580-3C transcript:Sspon.06G0005580-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKIVHRRRKDRADYSSDTSSQTSEGSKPTCRPNKPISSPVPVLHRSSSPSPRQGFLSAASSASRSCQSPSRMRPSAPCCQSKCASLVAQSVVEHPVFNYIVDARKGKKSAGQIENIHQLRLLSSRYLQWRFVNAHSEETLSHKNSVENILYNVWKNILTLRDAITITRTNVRHLQQEVKLYDILTEQIGYLEQWPVLEEECNDTLVEAAEALKATTLRLPVTSGAHADGIAVRNAISSAVDVMQALSSSIYCVQSKVEDRTSLVSELSVMARQEEVALDQCRELLATAAKLQVQEASLRTLLMQLRQRPVG >Sspon.01G0046680-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:99845505:99847159:1 gene:Sspon.01G0046680-1B transcript:Sspon.01G0046680-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding KRIPGLHQDTNISSVSITDVWEPIEEGLVPYQAPVYVEQPRQQQAPPPQRFTRRPPGQQFQQLEYDGMAVTIHTDCGISVDYLASDNCCSVIDSYARGRGRGRGRGRGRGWGRGGYGGYGGYVNNQGGYNQGGGYYDNQGGYGGYDNQGGYGGGYGYNHGRYGNYQENGGYNRGRGGGMRGRGNWGYRGGYEGGRGGGYEGGRGGGYEGGRGGGYEGGRGGGYEGGRGGGYEGGRGGGYEGGRGGGYEGGRGGGYEGGRGGGYEGGRGGGAPGGRGYGGRGRGRMGGRGRGN >Sspon.01G0060290-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:76574597:76575580:-1 gene:Sspon.01G0060290-1D transcript:Sspon.01G0060290-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding YSTTLLLETCWNLFQSVISLSQQLEYFREYKARLQLAKGESAANDIIAEAVYIFSIGTNDFIVNYFTVPLRQAQYTPPEYVAYLVGLAEAAIRDAYGLGARKMEFTGLAPFGCIPAARTLNRDEPGECNEEYNRMAARFNTGLQEVVRKLDGELAGARVVFAETYSVVSDIVANPSDYGFENVEQGCCGTGLIETSVMCGLDEPFTCQDADKYVFFDSVHPSEQTYRILADHILNTALRVFL >Sspon.05G0008250-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:19278391:19281615:1 gene:Sspon.05G0008250-2B transcript:Sspon.05G0008250-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MENGGRTKRRLPVVEMVMCSTCSGFVLRSKRKPNPDEEVVEVVAEAEKMRDMLRAVLLHGPLQKMEPAEATRKQRQILQRRRRILMETKRCPACSGSPLEPCPTRFRSLLEEKPRPDEEDKAMMMRTLDPRPDRRARGEEVSEFMALLRSEDGKEIATEDATSSEHKLKRSREEGKRSSSGSKEKKNAKGDDKEGSGSSKKKAKRSNEVEGSGSKEAEMEGSGSIDEVLIEGIVESIGGCMKAKMQVGRVLKKVIEILDKTPVKIEREEPMKEDDIELAGGDRKTKMVTQRLDKSCVDLLMNRPRPKPIPYDKYLTNQCAIDAITAMGKVDRLFHGYLQYQSIIKGYAEYQLEVTDGEGREEGKVSRWVSLSVLQLDTLICFWSDPKLLI >Sspon.02G0023700-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:74943794:74947335:1 gene:Sspon.02G0023700-4D transcript:Sspon.02G0023700-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPAAAAAKGKDGEDGPVTGDMVSAGFAELERQQQLLATCTRLYQQLADHFGSLERGLAARSEQLRARRRAFDARTHRALDSLHRREASIDDSVSRALDHLHSISASAASSKAAAAAPTPTPSDSAEEEGLAESLRALCLRMDSAAFLGFVVARRKEADALRAEMPPALKLCVDPAKFVMDAVADVFPVDRREVPRNPADLAWACVLILEAAVPALADPDPGIGAARPLVPRAARERARGIAREWKEAVEKKGGVEGAKPPDAHAFLQHVATFAVAEREDRPLYRRIVVSFSWRRQMPRLALTLGLEEEMADIIEELIAKRQQLDAVNFAYEAGLQEKFPPVPLLKSYLEDSKKTSTAASDNSSTSSGQSGSNANKKEQSALRAVIKCVEDRKLEAEFPLEDLRKQLEELEKAKTEKKKAASSATSGGSSGPATKRIRASNGGPMPPAKAGRLTNNACVSSFPAPTTFTQSPSHASYATTSPSHTSYATTSPSHTAYATTSPSHASYATASPSHPSYATASPSHPSYATPSPYPYDRPVGHGLYCNRSPPVIREPYVYPAEEVASVNVGIPMPYSTPPMSYPAPYGGYGNGMAAYTNGMAPAFHQAYYR >Sspon.05G0025650-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:22821980:22827744:-1 gene:Sspon.05G0025650-2C transcript:Sspon.05G0025650-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATASSYSEPTRSGSTIVAPRSYQVITIDGYSRSLNAQQPGCSRPTFNSHPFRAGGRTWHVIYRPMGSSWRPENTDSIALYLVLDDVVVAGDEAAVFAQATFSLLDQRSREPVYTSTTTNVFSAARRVWGYERFIKRRDLEQSSGILKDDRFAIRVQVHLKKEAPFVAVPPPDIRRHIGDLLMSGSKEEAATDVEFLVGGETFAAHRLVLGARSPVFRAELFGPMKEGAAAVIQIDDMEPQVFGGLLAFVYTDAWPEIKQEDDESIMAQHLLAAADRYGLQRLKLMCEDRLRKRIDAGSVASVLALAEQHQCPGLKKACFDFLGVSSAGFLEAIETQEFEYLARSCPTIVKELISGLLARDLERETLGELCSREATPVIRFFPKAMCGNTDFMSFFLALVDVVDEVVAAKATFSLLDQDHKPVPSGSRTTSTVDFSKF >Sspon.01G0062450-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:104375861:104418906:-1 gene:Sspon.01G0062450-1D transcript:Sspon.01G0062450-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRALARAASLLRRAAGSAPTTAALHHPPPGVGPCLAKNLQGFFFNGYSTLLAPPSEVLIPPELLSSRTVWTPDRELGQYEDLVARVTNFHNEDKGFMVLDGDVFDVPIRKDIVHRVVRWQLAKRQQGTHSTKTISEVSGTGRKPYKQKGTGRARHGTLRGPQFRGGATMHGPKPRSHAIKLQKKVRRLGLKIALSARTAEGKSSPGAGGCLSRMLLRCPAAAVPPKSSRLPRSLRPLVHIPAKAGPEPLPQISIGSHCFRLLVFEDLEVPSHKTKNIVQYIRQMDDTKKVLLVDGCDIDKKLKLATQNLHYVNVLPSIGLNVYSILQHDTLVMTRAAINRIVERMHTPINR >Sspon.04G0015290-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:63378558:63382287:-1 gene:Sspon.04G0015290-3C transcript:Sspon.04G0015290-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NIG [Source:Projected from Arabidopsis thaliana (AT4G13350) UniProtKB/TrEMBL;Acc:A0A178V2M7] MASRVKEDERHEKIIRGLLKLPANKRCINCNNLMTNLSSFPFFLFNVCLDGVNYWAHQSPIEICRREFTHRVKSVSMAKFTAQEVTALQEGGNERAREVFFKEWDPQRNGYPDSSNADKLRNFIKHVYVERRYTGERSTDRPPKAKDDKDEYSENRRSDGNWGGSRSPPNGSYSDRRSYSGRSDDRNSRYSYGDRSPGYDQNDYKKSPRYFEVVDDRSGKTTPVQRFEDRRFSEPRKPDSGSPDFQKEADGSSPVVRPVRDILGDNAPQLRVGEPSKPATEPPKPSVVRPLDPPSQTISSASSIGSSEGTSEQTKVATAVSLIDFNADPEPASTAPPQPTPMPQQPPVNAAAPQPVLEQGKSAPSVSGGDWASFDAFGQQQTPQTSSSVNPLESALAQLSFSEAPSAPNASAYPASLDPTLKANDGGHSSVLDHSHSLFDAPFGISGNQLYAPEPFFPKKIVFTGFLPQASTVMSGQGSSVQQSPLAAPTAGLPSQATANPQGTSGIQGAASSTDSKFSGRKELP >Sspon.04G0036910-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4D:57063617:57064030:1 gene:Sspon.04G0036910-1D transcript:Sspon.04G0036910-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWPRATGARRHSCRPRGRKWCAGRFRWRGKVRVGSREAQGSGESEGEEYRTMAKRWRWFLPANKDPTTAAAEKEEKKRRPVRLIYSGKGDEEVAGDFATVAKWLTGPPRARRRATSTATPATVRRERRKNRARQIL >Sspon.08G0002220-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:3640508:3641948:1 gene:Sspon.08G0002220-1P transcript:Sspon.08G0002220-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTRSVSVGAIVLRTGGAILHALSAASAAHLHAHALKLGLLPSYLHLCSALLKTYAVSGRIAAARQLFDETPRRDVPLWNALVSAYARSGHPRDAIAAASAMARDVEGFRPNRVSITSLLSSVLGRELHGYATRNVPVLDLPVLNALVNMYGKMVFAGMRVGMRSAVSWTSMINACCENGRPAEALEVFEQMRIARVKVDEVTLLAVISACTKLDCTSGLGDWVEACAVESGFLQNTRVANALIHMHGKMGRVKRSCGIFDSMGAVKTVVSWTAMVQALAMNGRGVAALVRFTQMLREGFLPDEVVFLSVINACGHSRLVSEGHRLFKSMIEEYHITPWMEHYGSMVDLLCRAGSLDEAFEFVLAMPVTPDPVIWRVLAGACRDHGNASLARRVMDHVIGMEPDHEGNYVLASNLYAADEDWKRVIDVRLDMGARKVTSRAAAAAMSSVEVNGE >Sspon.04G0011280-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:34753717:34755709:1 gene:Sspon.04G0011280-1A transcript:Sspon.04G0011280-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLSTGELDPDLGDVKRSCSSSWTSGWGSGDAPRDLVGEGVVGEDERAQVLELEQRRRDPTGEVVLVEVDGAERGCEGHGKVAGEEVSGEGQEA >Sspon.07G0000710-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:1594522:1598701:1 gene:Sspon.07G0000710-1A transcript:Sspon.07G0000710-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEADRDRMRLRAAALTLHDADGGARDKPDRKADVFADLGSPVSPLRLRPAATPSSSSSSAGSAKSPAPGNAAAGKGGRGSHSGELAPDSTNRPCPPGHRRSGSGPLIFSGASSSAGSVGGGAGGGGTGSTASSPLTNALPTGNICPFGRVAGAAAAATQPPRARPVVLGSGTGHYGHGSIMRGGGCGSGGGATPARTSVDAARCSTATIPRGPRRAALRRRPPAVEITRAGNEWYKKGRHAEALRHYDRAVALCPDSAACRGNRAAALIGLGRLAEAFRECEEAVRLDPASGRAHGRLACLCLRLGMIEKARTHFTLAGSVNQSDPAEWQKLHEVEIHQGRCMDARKIGDWKSALREADAAIAIGVDSSRLLLALRSEALLRLHKLEEADSTITSLLKLDNAPLPSMPTKVSGMSADSYVHIVQAQVNMAFGRFDSAVALAEKAKLIDRVNSEIEVVLNNVRLVAMARAQGNDLFKAGKFAEASVAYGEGLKYEPSNPVLYCNRAACWSKLGRWAKAVEDCNEALRVQPNYTKALLRRAASYAKLERWADCVRDYEVLRKDLPGDTEVAESLFHAQVALKTARGEEVSNMKFGGGVEEITSLEQLQHAIYSPGVSVLYFMATTNKQCAQITPSVDSLCSECPALNFLKVNVDESPLLARAENVRVVPSFKIYKDGTRVKEMICPSLQVLRYSVRHYAVSSS >Sspon.02G0014780-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:19630540:19632643:1 gene:Sspon.02G0014780-2P transcript:Sspon.02G0014780-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQRLTYRKRHSYATKSNQTRVVKTPGGKLVYQYTKKRASGPKCPVTGKKIQGIPHLRPAEYKRSRLSRNRRTVNRPYGGVLSGIAVRERIIRAFLVEEQKIVKKVLKIQKTKDKTAAK >Sspon.03G0014710-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:66510310:66512342:1 gene:Sspon.03G0014710-2C transcript:Sspon.03G0014710-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVSIGSVLGALLAVLVSTLLIRVLFLLVWKPYAVSRWFRGQGVGGPSYRFFVGSLPEIKQMKAAGSKIVLDVGSHDFIPIVQPQYRKWVAEYGKTFLYWFGAVPTICVAEVDLVKQVLAERTGLFPKDYLNANMEALLGKGLVLTNGEDWKRHRKVVHPAFNLDKLKVCLTSDQAYLIHCIYKYNIQQATNHEAEIELSSEFSELTSDVIAHTAFGSSYKEGKEVFVAQKELQELAFSASLDIPAPGRLRKLKLPTSKSSRRVEKLDQKVRSMLMAIIEGRLAEKDTNGYGNDLLGLMLEARALEQEGHQMLTTQEIVDECKTFFFAGQDTTSHLLTWTMFLLSRYPEWQHKLREEVLRECGNAVPNPDMVTKLKLVNMVLLESLRLYSPVVLIRRGTGSDIQLGSLRVPKGTMLSIPIALLHRDKDVWGQDADQFNPARFEHGVSKALPTTRMP >Sspon.06G0015790-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:78917089:78919529:-1 gene:Sspon.06G0015790-2C transcript:Sspon.06G0015790-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMTNGIVWFTIALVFITVVISKATRGGIMFDPKCNPPHPPTVKGVSFIRVLHTLLSKGLQAMIHDQYTKLGSVFTISFFQFKVTFLIGPEVSAHFYQGLDSEISHGNAIEFTVPMLGKEDYFAKWGEQGMVDLKQELDKLLMLISGRCLLGKEVREKMFDEFFTLFHELTDNGMCLTSVLFPYAPTPANRRRDRARAKLSEMLTEIVRSRKRYDNVESDVLQNLIDSKYGDGRSTTEAEVIGLVISLLFAGKHTSTITSTNDGNEYEIHRGHTIVSPALFNSNLPHIYKDPDVYDPDRFGPGREEDRVGGKFSYTSFGAGRHACLGESYAYLQIKVIWSYLLRNFELKLESPFPEPNWTKLVPEPKGRV >Sspon.05G0033670-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5C:36173994:36178285:-1 gene:Sspon.05G0033670-1C transcript:Sspon.05G0033670-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eukaryotic translation initiation factor 3 subunit H [Source:Projected from Arabidopsis thaliana (AT1G10840) UniProtKB/Swiss-Prot;Acc:Q9C5Z2] MRMLRWCCGHTRRDRVRNDDIRDRVGVAPIDEKLIQHRLRWFGHVQRRPPEAPVRSGVLKRADNVKSGRGRPKLTWDESVKRDLKEWNISKDLAMDRSAWRLAINAVLKIIKHCEEFAPALVTGQLLGLDVGSVLEVTNCFPFPIREEDDEADADGANYQLEMMRCLREVNVDNNTIGWYQSCLLGSFQTVELIETFMNYQESIRRCVCIVYDPSRSSQGVLALKALKLTDSFMDLYRNNGLTGEKLREKKLSWVDIFEEIPIKVSNSALVSAFMKELEPELPVTQCDFDRLKLSTAPFMERNLEFLIGCMDDLSSEQNKFQYYYRNLSRQQSQQQAWLQKRRQENMARKAAGEEPLPEEDPSNPIFKPIPEPSRLEGYLVTNQISSYCNHINGYRFLTFTRLYRVPKLLHDSILGKHPLDVGIF >Sspon.08G0007200-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:23018243:23020990:-1 gene:Sspon.08G0007200-3C transcript:Sspon.08G0007200-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VITCAEFHPSHCNTLAYSSSKGSIRLIDLRQSALCDNHSKIFEEHEAPGSRSFFTEIIASISDIKFSRNGRYILSRDYMTLKLCDLYENDSIFDKFECCLSGDGLRVATGSYGNIFRVFGCTPGSMEATTLEASRNPMRRQIANPARPTRTLASFTRGVRRGGENQGVDANGNSFDFSTKLLHLAWHPTENSIACAAANSLPRALQLQPEKMVQDIVVSSTRWGQKLLMSTRSPIAVLPDCG >Sspon.03G0044820-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3D:4172603:4172776:-1 gene:Sspon.03G0044820-1D transcript:Sspon.03G0044820-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQFSSTLLVHIWGSMQAFVTKVLLIRAYVLVDAISSAKAAVLTTPNGSMSSSTRRRA >Sspon.02G0003710-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:11818567:11821445:-1 gene:Sspon.02G0003710-1A transcript:Sspon.02G0003710-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-arabinopyranose mutase, Pollen wall morphogenesi [Source: Projected from Oryza sativa (Os07g0604800)] MASGSHATTPLLKDELDIVIPTIRNLDFLEMWRPFFQPYHLIIVQDGDPSKTIRVPEGFDYELYNRNDINRMLGPKASCISFKDSACRCFGYMVSKKKYIYTIDDDCFVAKDPSGKDINALEQHIKNLLSPSTPFFFNTLYDPYRVGADFVRGYPFSLREGVPTAVSHGLWLNIPDYDAPTQLVKPLERNTRYVDAILTIPKDSLFPMCGMNLAFDRELIGPAMYFGLMGDGQPIGRYDDMWAGWCTKVITDHLGLGVKTGLPYIWHSKASNPFVNLKKEYNGIFWQEELIPFFQSVSLPKDATTVQKCYLELAKQVRAKLGKVDGYFNKLADSMVTWIEAWDELNPPKGGAPTANGPPKK >Sspon.05G0015670-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:56239063:56242103:1 gene:Sspon.05G0015670-2B transcript:Sspon.05G0015670-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP sulfurylase 2 [Source:Projected from Arabidopsis thaliana (AT1G19920) UniProtKB/Swiss-Prot;Acc:Q43870] MATTHQLTPPGLHHPSASASASSAARVRATASLAHPLLLSRRLRLAAPHSPSPSPSQRHGRRGAMPVRSSLIDPDGGALVELVAPPDRVPALRAEAEALPRVRLAPVDLQWAHVLAEGWASPLRGFMREHEYLQSLHFNCVRLPDGGLVNMSLPIVLAIGDADKEQIGGKPDVALQGPDGVVVAILRGVEIYPHNKEERIARTFGTTAPGLPYVDEAIAPAGNWLIGGDLEVLEPIKYNDGLDHYRLSPRQLRNEFDKRGADAVFAFQLRNPVHNGHALLMNDTRRRLLEMGYKNPILLLHPLGGFTKADDVPLPVRMEQHSKVLEDGVLDPETTIVSIFPSPMHYAGPTEVQWHAKARINAGANFYIVGRDPAGMGHPTEKRDLYNPDHGKKS >Sspon.03G0026760-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:4251779:4258013:-1 gene:Sspon.03G0026760-3D transcript:Sspon.03G0026760-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAAWGAGAAAATPPGLFFADPAVAAAAAATATAGLLQADAAAFPPVHGHGAGFFFPVAPPPLGIDAAPPPAAIAAGLGVPPPAAIAAGLGVPQPWQPYPTCLGPARKCGLRKLIYLVEGDPNRAPQRVKTACFTTEILDGFDVQRTTGFADTQKRYIDLTHSVIAYYDANFSIVGKTSHVCPTFDEFKRKCCERKKKTVTWQYLKKVSELVPKVTEEAALAVVELYPTPFLLAKAYLILDGDILAQEKMLKNKNEMVNAGASRNIFHFVWGDGGNTLDPVPN >Sspon.02G0030720-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:112763742:112768664:-1 gene:Sspon.02G0030720-1A transcript:Sspon.02G0030720-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPKEEVNREMSDRLNEPKIYYPSRDDREAIMLTRSDIKCLDPEVFLSSHVINFYIKYIEKEWRFLSVAEPAWPCLLSDIRKETVQFIKEAPARFTADKLDMFSHDWFKPEEASGLRQRIREILLEEFESARLHDAISKADAYVGCDSIKDGELEADNSKMVVEVGDAAKSKKGISVTESDEASGEFGDTDKTNKCIKVLASEEANMESGYPIKSMEDIVDVAVVNKGPTSSSNKCNEKNAGAVSEAASCSNSVIKDKKGTGKTDSGRSKAEKEGKPIVTASPERFKGTEEVIGSTPIPDAVSDSSVKIRSERNGE >Sspon.02G0022120-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:67278382:67280409:1 gene:Sspon.02G0022120-4D transcript:Sspon.02G0022120-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPYYGGPAPAAAAAAPAPVAVVSSQFCAPYVVPLTVTKKAISLSDGDFTVTDANGAVVLRVKGVIFSVRHRRVLLDAAGQPILSMQEKVFSMHHRWEVFRGDSSNASDLLFTAKKSSIIQLKTEMDIFLASNTAQQVCDFKIKGSYFDRSCAFYLGDSNNMIAQMNRQFTVSNVLLGKDTFGVTVFPHIDYVFIAALVVILDEIHREQSSGGARTEILGIPID >Sspon.07G0027320-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7B:59538666:59539073:-1 gene:Sspon.07G0027320-1B transcript:Sspon.07G0027320-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWVSGCASSSSDPLASRASSAAKCSSAVLRQVLVQADALAEYFGNCMHPCFVEATTAELLSGEITDGVAAAASMIGSAIRERWSRACWKGRTGGWAGLQSVRCFLTNPCLWAGPQARRVPQCPSRRALNMLCLA >Sspon.08G0027040-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8C:38759969:38760662:-1 gene:Sspon.08G0027040-1C transcript:Sspon.08G0027040-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVKFLVLLSVVLASLIVIQDVAYARELTEANEAEGKNVKQGGAPGLKDEKWGGGYNGGYGYGGGYGGGYGQPGYGGGYGGGYGQPGYGGGYGTGYGGGYHHGHGEGYGPGYGGGYGPRYGGGYGYGHGGPGYGYGGGYGGGYGGGYGGGYGSGGGYGGGRGYGGGYG >Sspon.05G0019140-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:77258312:77262860:-1 gene:Sspon.05G0019140-4D transcript:Sspon.05G0019140-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKAALVAESTDDGGSSFSGLRAYGRALAQTPRRLARRACAATSPGEEMSRVRARSGPRMARALRWWDLVGLGLGGMVGAGVFVTTGRAARLYAGPGVVVSYAIAGLCALLSAFCYTEFAVDMPVAGGAFSYLRVTFGEFAAFLTGANLIMEYVFSNAAVARSFTAYLGTAVGVDAPSKWRIPVPGLPEGFNQVDLVAVGVILLLSVCICYSTKESSVVNLVLTGVHVAFILFIIVMGFAHGDARNLSRPADPAHNPGGFFPHGAAGVFNGAAAVYLSYIGYDAVSTMAEEVERPDRDIPAGVSGSVVLVTALYCLMAASMSMLLPYDAIDPEAPFSGAFKGRDGMAWVSNVIGAGASLGILTSLMVAMLGQARYLCVIGRSGVVPAWLARVDPRTATPVNASAFLGLLTAALALFTELDVLLNLVSIGTLFVFYMVANAVVYRRYVGDPCPGSGQQQRRAWPTLAFIGAFSLIALCFTLLWQFAPGGAAKFGLLSATAAAAVAAVAAFQALVPQAREPELWGLPGMPWVPAASVFLNVFLLGSLDRPSYARFGFFSAAAVLVYVLYSVHASYDAEEGAAADGGGGDKLQDQGCEV >Sspon.07G0020490-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:79806433:79808129:-1 gene:Sspon.07G0020490-2B transcript:Sspon.07G0020490-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bbx23 [Source:Projected from Arabidopsis thaliana (AT4G10240) UniProtKB/TrEMBL;Acc:A0A178UTH9] MKIGCDACERAEAAVLCCADEAALCRSCDAAVHSANKLAGRHHRVALLPSSTAHPSPSPIVDGSGGGGHPACDICQEKTGYFFCLEDRALLCRPCDVAVHAAGAHVSSHRRFLITGVRVGDVESPSHHVPGSDGVSPSASSGNGSSSAPCCSSGGNRTTTTMPDKARPSSSVHATAATTEGSGGQGQQWLWSEFLADDVGVAMEQCCHAELSEPSSSGLTRS >Sspon.01G0051470-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1C:16075234:16075689:1 gene:Sspon.01G0051470-1C transcript:Sspon.01G0051470-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DLPLSDLPPVGNAHLPPSGERAACPRVPCHGRWGGGDTASNKRENRQGRGGPLGCRSCHRSRGGGGLLLRLPSVSMGECDGGRGRGRWKIVIGVFPFPYAVIGLSFWGVSRSRRGSRGLAVAEGGTKERSDGLSHQTIVLLLFFLVVGDIE >Sspon.01G0060360-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:77732294:77737138:-1 gene:Sspon.01G0060360-1D transcript:Sspon.01G0060360-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQRPDALLIAPDTTVPASGWNPSFHLADSQSTKPISLIPAAADAFLDDSALVDRSSEIKNHIIQIKDIHFSNMINKVSNRLILLADSPKALMMAENQVMEPTDQESHLGEPIATAAVESAQPPLGTAKPTPTVSETAKPAQWSAKPSGEAAVALPEVESARPAQEAAKPTLFPLEVKSLRLEQKLIDSIEQGESAENPHLEHVCQDEKKGEDAHKANGEKKLQKLSAEKLREEGFAWVPKGSIQAHKDDAQWPFHGWGLDFISQIHPPSSKRHRFVLVATDYFTKWTKIIPLKNMTHKEVIEFITEHIIHRFGIPQTLTTDQGTSFMSKKVRDFAELYKIKLLKSSPYYAQANGQAESINKTLIKFIKKKIEENPLRWHEVLSEALWAQRISNMVLQKLLLLS >Sspon.08G0025530-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8C:10245137:10245363:1 gene:Sspon.08G0025530-1C transcript:Sspon.08G0025530-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MERRPEKIIPLQRHHLRLDVAVRKTESSCRHAKEDADAVVVSLIFNRAVMDKMNPPCPLAVARKEEEINPQCQET >Sspon.04G0036880-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4D:52848110:52848487:-1 gene:Sspon.04G0036880-1D transcript:Sspon.04G0036880-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVEARERRGTVRAGSSSEREGKPLGATGRDPRRGGRGSRRVLLGGILAREGEKAVGCCLAGSYRGARGCRWGRCRHIPATGEIHTVEGRDTVEGAAAVEDPHGEGGEATRVLGGSSDAEDLRAE >Sspon.07G0028470-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:80207566:80208231:1 gene:Sspon.07G0028470-2D transcript:Sspon.07G0028470-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMAAATHMVGSAHSSSLSPPPWHPVVLVPNYQQQHQVLHDLDLATPSPSPSPTTPAATASPPRHNHLCPAASRHAGKPRRPRPSRKLPTTYISADAASFRRMVHQVTGADDVLLPGPGPAAPEPELLFRPAPPSRGALTTTTMLTLLPTLDTSAFLLGAARRGGDDSGRAPPPARPPAASSCHGSSVVVVDPGAALKAEARAGGGGFPSLESWDEDDALF >Sspon.05G0019110-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5C:80103612:80115523:1 gene:Sspon.05G0019110-2C transcript:Sspon.05G0019110-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VVSISGYKYYLVILDDCTHYLWTFPLRLKSDTFSTLAHFIAHASTQFGTRVKAVQCDNGKEFDNSSTRHFFLTQGIHLRMSCPYTSPQNGKAECIIRSINNVVRSLLFQASMPPSYWVEALSMATILLNILPTKTLEFSTPHLVLFGKPPTYDHLRVFGCKCYPNMSTTAPHKLAPRSVQCVFLGYSAHHKGYRCLDLSSNRVIIPRHVIFDETAFPFAERRGPSTPADLKFLEDDTTNAVPAPIGPLHKYLSVGTPPGASDATSAPTSPSAAVPGAPLESLEDIDDPPTPALYIPPTLRASGTPTAPRAAVRSPSSAPCAATELTDAPGGASPCLLYVPPALRARSTSSVPSTGFPAPPQAPRGPPPGFPPLQPACDFTYHYSRRPRPPPAAPAPAVPAAPAAAAPATPAPASAAAALLPKGAVVVPPVANQHPMGTCSKSGFQMPAAYLVPLSPVPKTFHSTLADPNWRAAMEEEHGALLQNHTWDLVPRSPWANVVTRKWIFKHKLQSDGSLERYKAPSLPNLLRRIISTLQREFAMKDLGELHHFLGIHVQQSGDGLLLSQQQYMLDILDRAGMAECKSCSTPVDTNPKVYLHMHDPREPHLAALKRILRYVRGTLHLGLLLRPSTSTDLVVYTDAVWAVSRSSAEAEYRAVANGVAEATWLRQLLLELHAPLQRATLVYCDNISAVYMTSNPVQHQRTKHIEIDLHFVRDDRNGKKGYRVGMRGKLKGILGDGVVAVKRLCGQHETVHGELTQHMVTGHKDRPNIKSVLGQAAPQAVDFERLAENDGYTDQSVRYPLLKQSSSDLFKMEPDDLKKFDSFSRWMNNELPEVADLDIKYSSDAFWSTTETMNVADGSSIPINEQLDAFVVSPSLSQDQLFSIIDVSPSWAYNGTKTKVLITGTFLAKKEDLKNCRWSCMFGDAEVSAEVLVDGSLRCYTPVHRSGRVPFYVTCSNRVACSEAREFEFRDSETHYMDTSDQHTTGINEMHLHIRLDKLLSLEPEDYEKYVLSNGNKSEKELSTVRDPNLEKRVKEKLYYWLIHKIQDDGKGPNVLGKEGQGAIHLVAALGYDWAIEPIVAAGVNINFRDIRGWTALHWAASCGRERTVDVLIANGAASGALTDPTQQYSYGRTPADLASENGHKGIAGFLAESALKSHLSALTLKESQVDVEEICGLTAAEDFAEPSPSQLACVNSQEESLKDSLGAVRKSTQAAARIFQAFRVESFHRKKVIEYGDDDCGLSDELTLSLVSLRNPKPGHGDLHSAAVRIQNKFRGWKGRKEFMIIRQKIVKIQVRKKYRKVEEVILRRRKRRGLRGFQPEKQLEGPSWQIQLAKAEAEAEDEYDLLKYGRKQADRRLERAVARLRSMD >Sspon.01G0062090-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:100528086:100533731:-1 gene:Sspon.01G0062090-1D transcript:Sspon.01G0062090-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHAVVSAAEGSIHTLLGKLGTILIQQAALVGGVRGQLQYLKDELESMMAFLQDLSEKDEHRRQVKIWMKPVREVAYDVEDCIDEFKHHIGNHSNNYSRGSASFFHRITQLLRTIRVRHQIARQIQELKTRAACISDRNSRKTCVMELPTQIGNLQKLETLDIRQTSIKHLPPGITNLARLASLLGGRRSYNHDGLWPISKFWGLTVPKNLGNLVSLTTLAQIEITDFTSRYISELGKLSQLRKLGVMMFVDDHNSWASLIHALEKLSSSLCSLLLWLPDGAINFDSLDSLSKTPLFMQSVNFRGKLGKLPKWFPLLSNLTELTIRATELSVEVDLKVLARLPCLLYLRLHHSAFTGTEFTVSASEFPCLKLLVIQVAVFETLKVKFEEGALPKLDKLELSLFEEASIQELSGIKFLPSLKEVAVCTCPGTPGNSMVDTVEDAMRSLMVEAEENLNKPTVTLKVKQWITEESRYCNVDLTN >Sspon.05G0013540-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:47696761:47703128:1 gene:Sspon.05G0013540-1A transcript:Sspon.05G0013540-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RARNQIRRACSGSPSGRRGSDLRRCFSPPGRLVAAGGPRPRSSGQRRLAWLRWLEAALGRVPAITNLRLIEEMTSLSITVMSLNLQEGEQPSESPNSWEKRRDICVSVITSYSPTILCTQQGLRWQLDYLQQCLPGYEQFGISRKGSQDNTDEYCTIFYEKEKVELTEGGTFWLSESPSVPGSISWGANAPCIATWAISCNAMLFLLFIVLLFS >Sspon.02G0044440-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:121422091:121427163:1 gene:Sspon.02G0044440-2C transcript:Sspon.02G0044440-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTETTATFVVLFLHAKAGGGPADLDTGLGGCSPGPHKGGLLSFGPAPFSAPDSRASSDEPTTVPAPPSSVAAPPPTPRTRPADLASSASHLAPVRPAGRLPPPQRRSRRWLEANRICPWLPAPAACRLPGRSAPSTLVATGQQRPSRPGRQAPGARPPNGQALPPLPGCPLLLLRSEPDNSEHRTVFVTVGTTCFDALVMAVDSPEVKKALLQKGYSNLLIQMGRGTYVPSKVSGDATLQVDHFTFSPSIANNMRTASLVISHAGSGSIFETLRLGKPLIVVVNEDLMDNHQSELAEELAERKHLFCARPQTLGETIRAMDLETLVPYEPGDAKPVVTLINRFIGFPVD >Sspon.03G0014970-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:68224643:68236338:1 gene:Sspon.03G0014970-3C transcript:Sspon.03G0014970-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hydroxyproline O-galactosyltransferase GALT3 [Source:Projected from Arabidopsis thaliana (AT3G06440) UniProtKB/Swiss-Prot;Acc:Q9ASW1] MRKCSGVLFILTLAVVLFLLSPSPSPTPPPITAPNGPIADILPALPGLSDLYPPAPNSTAQLSWGLLRPLLCRSDALPGTAAGVLEAAEAWRNLTLAVAAAAASEEEGRPQGPRCSSSVGGDLRAGRARLPCGLAEGAAVTVVGNSWTPEEGWGEWERCPPVGDVGSSNSSLQRSPVDGLVHCNEKVGERIVQENNNTVVNVTGNQPEDWQSPKGHGQLSGGFSIVEGEPFTVILWAGVEGFHMTVNGRHETSFAYRERLEPWLVAEVKVSGDLELLSFLANGLPVSEDIDMASVAVLKAPPLPKKRTFLLVGVFSTGNNFKRRMALRRTWMQYEAVRSGNVLVRFFTGLTKIVPAKYIMKTDDDAFVRIDEVISSLKKSNSDGLLYGLISFQSSPHRDKDSKWFISRKEWPFDMYPPWAHGPGYIISRDIAKFVVRGHQELTLQLFKLEDVAMGIWIQQYKNSGQQVNIVTDDRFYNEGCDADYVLAHYQTPRLMMCLWEKLKTEYQAIC >Sspon.04G0025910-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4B:33120877:33121082:1 gene:Sspon.04G0025910-1B transcript:Sspon.04G0025910-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding QAGEERFSVQVDEEERVWYEVLSFSKPAHVLATLCYPYVQMRQKHFAQQSGQALLRHVATCSTKQKQ >Sspon.03G0000420-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:1300040:1318333:-1 gene:Sspon.03G0000420-1A transcript:Sspon.03G0000420-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMGLGFRMSKEAAGSHAVSFFLGAALPTALLFFLASDRLGEGLSSISHRWGNGPAGDDDANERDDHAQVMFKGLAELLPKVAMDARTVIITSVNDAWAQPGSLLDLYLDSFKNGEDTAHLLDHLLVVALDPHGFDRCKAVHPHCYLLNATSVDMTSAKPFMSPDYLELVWTKLVFQQRDCDMVWFRNPFRHFPVYADMSCSSDDFKPSRAPLDNPLNTGLYYMKSTNRTIEMMKYWRAARERFPGQHDQAVFVNIRHELVGKLQVKIEPLDTVYYGGFCEYHDDPEKICTVHADCCVGLDTKVHDLKDFASDWKNYTSLTPEARQKDTPKGSMAEHKGLAKLLPEIATDDRTVIITSVNEAFARPNSLLGLFRESFWAGEGTKHFLDHVLVVAVARNPFRHISVFRGHGDVERRRQHSLDDNWRRTGPWRCCGSGGLRGGGSRRNHEQAIFNEIKHELAAALGVRIQFLHTARFAGFCRIFHSDMGAACTMHANCCFGLANKLHDLREVGFAGLAELLPRVAMEDRTVILTLVNEAWAQPGSLLDIYRESFKNGEDIEHFLNHVLVIAVDAGGFGRCKAVHPHCYLLEVNKSTANLSSANRFMTKEFLELDADMIWLRNPFRHISIYADMSLSTDYFRDAFAPLNNTLNTGLYYMRSTNRSIEMLRYWRAARARFPGGSEQGVFNEIKHELITKLEARIEAVETVYFSGFCEYHPDLNRACTMHANCCIGLANKVLDLKDAAADWTNYTRLTPEERKKGDSFKWTPPARCWKTIGWNV >Sspon.04G0012560-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:44963058:44974083:-1 gene:Sspon.04G0012560-2C transcript:Sspon.04G0012560-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSTPPPPQNFLPLPSPVAGQRTRINLGEIKLKLVKRVGPERAKKYFEHLQRFLSLKLSKTLFDKFCLTILGHDNIQLHNHLICSILHNACEASGPPTVSTPESIGVAKNSNHVLSPTVPVSDIGDVLHHHVKDHYPRSRNAHVLQENGAVHLSKLKRFPQPQQSELVEQLSKRSRVENAYSNLSDSTNSNCPGVSGREYVEAVAQHARGPITAPLGVAFCSGHISPFGGNLRTMTLPSSASSDDSICCYDLGQLCDTSSLRQRMGRIAETKGLDGVSLECANSLNNGVDFFLKQLIGSCMELVSARSQHDRINHMALKQQLSQKLINGVQLQNQVHGRSATTCPQIRSVSLQDFKALSETNPQLLGVNASLATEDPVLPRRVVALSLPKKLTPDGQALLAFKAAVLQDPTGVLANWDATATAPCAWNGVACSSPRSDDQQPDPAVGPTHVRRQPAGGGGAFTSMDASPTQLGLREDAATPMDASSSSSSSHGGGGAAAAVPPGVVPHRRAAQPPPYLFPAPPQPGCCPTAPPTAGAGQGRAVAAGGPGATAFTHLGPGAFQAPPPPWPRGARAIGMGGKAATAALLLAPGAASSLLLCNMKRRFVTMAPSVPHICSHSQPDMAVSNLPTKAITLFFLSWIGLLLPFSVASLLLPSSFLHFCANSTVFVGCIIFSSLVLAFSCAISSCSITASLNSVPLAASFNAVSQSTCFSLASSLASFDSVSLAASFSLLSCTDIFLQPSFINSFLRFRFINCFFRDVYITVSNISPVTCAQVFIVLSIMFLLQNVPLVLLILVFLRTRGCLAIEIHRFQINRVREPFMQVLLYGRGAYALCLIKFHQQLNILFLDFWCLFLSRRHTCPLDRDIILGSHSFLCYSARSTSGRCSLSFSSSKRSCEDAAAFSVPGLVERLLLFCMVDGDFAALVISLDEVFGAARAGGQTDVAPGFSAFLLIVFVCLAPAMDEAECKAELDVDRDEVMRALNDDAGRQVLDEIEAGDGDNLRSGEFHLREKANNCGILTAKRKLRMNRKEVAWFKMQQPREHCNHTDPNYAAILPRKRHTSADLRAVHTDSESGSISAIMVSNGIEKE >Sspon.02G0038380-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2C:43859300:43860940:-1 gene:Sspon.02G0038380-2C transcript:Sspon.02G0038380-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSAGRLLSAAAPRSPRPLFLLRGLCSASPAGQPDPRLHPAPDPDPDPDPDPRLVGALCRVLSDFRGPRHDLRAALNGFAPRLTPAAAVAVLRRCRNLPVPSLRFFLFAAALPGFTHLPESLLILAGSLAGARLFPLLRSLLSDLPRPALSRDLFPLLFRAYARAGLPDDAIRAFSSMEGFGFLPTAADLHSLLFTLSHNGLVEHAESFFRESPLQFDVSAKTYTILISGWAVVAKPEKAQKLFDEMIERGVQPDVPAYNALIDALCRGGDVALAQEQLKDMQRSRGLAPDAATYGPFLRSACASKDARSALRVLDRMRARSLTPNVFTYNAVIRLLCELGEVDEAYNILNEMAAYGEKPDVWSYNTLLNTHCKLKEVNKALRLISRMDEGSCLPNRHSYNMILKMLIAIGRVDRAIEVWDGMEKRGFHPGAATYAVVIHGLSCKKGRAEEACSYFLRMVDDGIPPYQATCQVLRDRLLRLGLRDDLEMLTDRMRRSTSCTIRDLASIMCSKRTEETINLKCDHEFSGLDLAESQWREKWKIGDTS >Sspon.03G0003370-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:15917777:15921740:-1 gene:Sspon.03G0003370-4D transcript:Sspon.03G0003370-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLGPLGAHISPLSCSTYRAPLLQSRRLSPSPTAPASAAAASCAPRSLCFLRRRSSRFAAERTRRPTMAAAISLEAGGGLAHDLGSAAVTAGVALALLKFFEELAKRGVFEQKLSRKLVHISVGLVFLLFWPIFSSGWYAPFLAALAPGVNIIRMLLLGLGLMKNEAMVKSISRSGDYRELLKGPLYYATTVTFATSVLWRTSPVAIALICNLCAGDGIADVVGRRLGKEKLPYNPNKSYAGSIAMAVAGFLASVGYMHYFHTFGFIEETWYMALGFLMVSVAATLVESHPISTELDDNLTVPLTSFLVVDPGMLYRR >Sspon.05G0001020-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:2439133:2441906:1 gene:Sspon.05G0001020-2D transcript:Sspon.05G0001020-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRRAQEEEEDDDEAEESVERVFEGREVPGWREQLTARALAVSALLGAMFSVIVMKLNLTTGIIPSLNVSAGLLGFFLLTSWTKLLAQAGFTGVMPFTRQENTVVQTCVVACSGIAFSGGFGSYMFAMSERISEQSGETWDPHNIKNPGLGWMIGFLFIVSFLGLFSVVPLRKIMIIDYKLIYPSGTATAHLINSFHTPQGAKLAKRQVKTLGKFFAGSFAWGFFQWFYTAGEGCGFMSFPTLGLEAYRQNSVSVVTELLLPAPEVLLRLLGTYVGVGMICPYLVNTSVLLGGVVSWGIMWPLIEQKKGDWYPADLKPSSLRGIVGYRVFVSIALILGDGLYNFLKVMTRTVTALVVQVRGMMSEPTLPISGGESLPAAEETFDDRRRTELFLKDQIPNTLALSAYLVVAVVSIATVPHIFHQLRWYHVAASYVIAPVLAFCNAYGCGLTDWSLATTYGKLAIFTVGAWAAASDPDGSGSGGIIAGLAACGVMIGIVSTASDLTQDFKTGYMTLASPRSMFVSQVIGTAMGCVVAPSVFWLFYNAFRDIGLPGSEYPSPNALVYRNMAILGVQGLGSLPRHCLDLCIAFFAAAIAINLARDLAGARAAAYIPLPMAMAIPFYLGPYFGIDMCIGSLVRLVWDRLDPARAKAFAPPVASGLICGDGIWTVPQSVLALAGVKPPICMKFLSRTANARVDAFLHS >Sspon.01G0002180-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:5776124:5778257:1 gene:Sspon.01G0002180-4D transcript:Sspon.01G0002180-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRMVATMASSLLLRPRAVLPPHPSSSSRRPFPAPRAQLQANIQHKPGLTARSDGERRQPAGTRLYSLAPYPLLLAALLPGAEPVTAVFAPFVELVKTWDLPGWLVHWGHPGNMAVVLFAMGGYGTYLGFRIKLSDDLEEKAKAKDLHPKLLAGMFFFFALGATGGITALLTSDKPIFESPHAVTGVIDLALLTIQSILPKLFEGNPGLRTTHGLLGSGIMTLFLIHAALGLQLGISF >Sspon.03G0021360-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:51922086:51926264:1 gene:Sspon.03G0021360-3D transcript:Sspon.03G0021360-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription initiation factor TFIID subunit 15b [Source:Projected from Arabidopsis thaliana (AT5G58470) UniProtKB/Swiss-Prot;Acc:Q94KD0] MEVAAREEDMEVVAVEAAEAVAAEGSVAEDEVAVVVEVAEAVAGAGVDAKATGFALTQGLNAISVEHLAQVEVAVVGGGYNRSGGGGGGYNNRGSGDYGSGGGGGFDRDGGDNNSGGRGGGGGGRGGYNRSGGSDRGFDDHRGGRGGSYGGRDQENQRGSEGGYNAGGYGQAPPQGPPSYGGPVGDYAAPPSSYGGNNAYGSDSAVPPPNSYSGGPGSYPPSYGAPPPHQYGGAPGGQGGLPPTYDGGYGGRSMPGGGGSGGASPPYHGGGGGGGGGGGYTGSSDPEPAGKVKQCDENCDETCDNARIYISNLPPDVTVEELQELFGGIGQVGRIKQKRGYKDQWPWNIKIYTDDSGKAKGDACLAYEDPCAAHSAGGFYNNYDMRGYKISVVMAEKSAPRAAPSYGHGGGRGGGYGGGGRRDNYRDGGGHGPNRNQGGGSRSRPY >Sspon.05G0014760-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:48928870:48932232:-1 gene:Sspon.05G0014760-3C transcript:Sspon.05G0014760-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-directed RNA polymerase, RBP11-like protein [Source:Projected from Arabidopsis thaliana (AT3G52090) UniProtKB/TrEMBL;Acc:F4J5R0] MNAPDRYERFVVPEGTKKVSYERDTKIVNAASFTIEREDHTIGNIVRMQLHRDPNVLFAGYKLPHPLQYKIIVRIHTTSQSSPTQAYTQAINDLDKELEYLKQALEDEKNRFEMARP >Sspon.02G0038860-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:46172358:46176374:-1 gene:Sspon.02G0038860-1B transcript:Sspon.02G0038860-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELATGAVSSLLGVIRNEAHLLGRVGSDVQFIKEEMESMNSFLAHLARTSPPGGEHDEQVRTWMTQVRVLAHDCNNCLDLYLYRGNRDIHRAKGGVWSCLWWAPWFVQKMVAQHQAATQLRELKDRARDRSSSSTKMPSIAVVAPDTADAGAIAQEALAAAAHHFRSKSKNDLVLDTKEARQFYALPLIHWEILCYILWLLKPDEDKKGDSIAWRARMEKYGIASGIRRKISEMDLGGKVEEIRGMIGVVMTKVKQEAEGLIEQLEPLGVLRHALQQLLIKAPGDIQQRMDATVADTGEHNKPPPDETTKQHNKLSEGAKEELTSYNIKETAKKLKEFMEKDNKGEGGIFLGPPLYETILKEVFPASKQKHNQGKQQDRQIPGTTTDNNNTATTSSNASAGESQIKEIVHKYTREIIHDLLEDTVKKLAAEKPTQDQTSDDATEETQEKIRGIWRMIEDQMLTKGIVDRIDKELRGRTTMIIIKDDNNWLPDWNKISNDLSMLSDLVKGAVIVTTTKKTQQAKEYCSEQVDPISYSLAGLYHDWVLHHTNQPVNEDRAKRSQVLRGILEKCEPHEFCMKIFAHALYANPKRSIDELQKLENTLVPEKTLGANAKKMFKFSYSNLPKEYKSCLLYLAIFSKGHTIRRSTLVGRWVAEGLIAKEDWPTSVRRAERCFDLLVDRWLVHPVHFRAAGKVKSCMVVDPVHAFITKIAKKQHVVETRLSHHLARHFSIFNDIRLRGSDRINKFLENLCVSSRFLLLKVLDLEGCRCFSENLHYLQEICRKISLLKYLGLRDTDITHLPIEINRLHELEVLDIRETNVLPRATKRVILLKLRRLLYSACHKTPIADSTHDDTKTSPSVRIPVKIGKMVHMETLSNVHASDSGEELKDIGQLSQLRKLGVVIKGTDAHLSNLLQAISDLHECLRSLSITLTIAATGSSNAEFPPKIFDKLVSKPKDLESLTIDIVNGQSHKIIALLLSGGDNNRLTKVTLSNTLLNQEALKVLDALPMLLCVRLRDKAYMGSSSLTLKKNEFKKLNSFIVEGSNMDGIEFEAEAVPELKKMVLSSYYIKKLSGVENLPELEELELSNSKKLLSSFAKAKRIASRVTLRDDVLEESQLEILATKTNIHCLVLLGSSRDTAELTFREDSFPDLNFVTVHCPGITGIHFCNGSAPKLKKIIWSITHVRSFSGIENLPKLKEIEIKGEEVPHEVEEAIKPHKNRINFRHNKPEKKEATGNKDEDGHAGRVPCFWKRWR >Sspon.05G0028940-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:69239332:69243447:-1 gene:Sspon.05G0028940-2D transcript:Sspon.05G0028940-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDRPLISQHLTRLELSGLWFECINLNLDGCPVLEHLEINECYLAGVRKIMSPSLKRLVITECDSNKGHLSFKISAPCLVSLWLEVGKFRAALLESMPELVEAHVRIDSYWWRKMGWVLEVYFQKGSEVVPNIFPLEGSGTEWLLVELEYDIVLEGCPDATAKSTLTTQCLKIVKNSMAQCVETARSFAPIFGIQNATGRL >Sspon.08G0004770-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:14488408:14492530:-1 gene:Sspon.08G0004770-2C transcript:Sspon.08G0004770-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DVPNLKKRGGGTRSWIRVEAATASVQTLEIDKATMMRRCELPARDLRLLDPLFVYPSTVLGRERAIVVNLEQIRCVITADEVLLLNSLDSYVLQYAAELQRRLLQRADGDELPFEFRALELALEAACSFLDAQVAAELEIEAYPLLDELTSKISTLNLERVRRLKSRLVALTRRVQKVRDEIEQLMDDDGDMAEMYLTEKKMRMESSVFGDQSLLGYNSAGAAGTSVSAPVSPVSSPTESRKLEKTFSLCRSRHDSTKGSDNTTTEHIQELEMLLEAYFVVIDSTLNKLTSLKEYIDDTEDFINIQLDNVRNQLIQFELLLTTATFVVAIFGVVAGIFGMNFETSVFEIENAFQWVLVITSVVGIFIFCSFLWFF >Sspon.06G0018350-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:98704663:98706910:-1 gene:Sspon.06G0018350-1A transcript:Sspon.06G0018350-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVGQVATVAQLVGVDAFSLITMIAEAAQTVRRNRAVCQQLARRVEMIGGLLRRLEDTQLMQQPETRTPVEELEETLRRAYLLVRSCQRRGYVYRCFMAARHADELREVQGEIGFYLQLFPLVSYVDATLNWVRHLNNKRASHDTSSFQEECKVPGPQALMPAQPIQSLSLQQVRKDD >Sspon.03G0018790-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3B:87144904:87145113:-1 gene:Sspon.03G0018790-2B transcript:Sspon.03G0018790-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIGIRSFLSAALVFSLLLLSCSARVRVRRPRRMDTGVICAASVRSWTTRRNLPTTHAIRARHAHALTS >Sspon.01G0061280-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1D:92439661:92440089:-1 gene:Sspon.01G0061280-1D transcript:Sspon.01G0061280-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding QYPSNLMMQPGPRYMPPQSGQMMSPQSLMAARSSMMYAHPSMSPLQQQQAAHGQLGMASGGGGGTTSGFSILHGEASMGGAAGAGAGNSMMNAGMFSGFGRSGSGAKEGSTSLSVDVRGGTSSGAQSGDGEYLKAGTEEEGS >Sspon.05G0027410-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:52630720:52643192:1 gene:Sspon.05G0027410-1B transcript:Sspon.05G0027410-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQSSSSEVPQARVRYVQAAAASAGVQFDEEMRWLCRVREALEHASAESLEAFPKVFDIPRALRDTKPDAYSSQHFAVGPYHQSCIDLKDMERYKLAAAKRAERLFAGDHKIPDLPRVGFSRSPDIGTGTRCWLRALSLDFNAAFDAGAALSDVASDTAFSFSYSACLSCDDHLRFGFSMCMFMASRNQGSAPRALVVEDIKVDCVILMHMLHKLNCEATAVQNGKEAVDLFVEGKTFDIVFLDKDMPIMSGPEAVAKIRAMGASEVKIVGVSADFGGLEAFMQAGADVFVPKPVKLETLEAMLE >Sspon.07G0000090-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:335417:338874:-1 gene:Sspon.07G0000090-1A transcript:Sspon.07G0000090-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ER lumen protein-retaining receptor A [Source:Projected from Arabidopsis thaliana (AT1G29330) UniProtKB/Swiss-Prot;Acc:P35402] MNAFRFLGDMTHLFSVLVLLLKIYATKSCSGVSRKTQELYMLVFVARYLDLFTDFVSLYNSVMKVVFIASSAAIVWCMRRHPQVRRTYDREQDTFRHAVLVAASLLLALMFHERFTFREICWAFSIYLEAVAILPQLVLLQRSRNVDNLTGQYVFFLGAYRAFYILNWIYRYFTEGYHSRWIPWLAGLVQTALYADFFYYYFLSWKNNVKLELPA >Sspon.06G0022740-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:36083084:36086308:1 gene:Sspon.06G0022740-1P transcript:Sspon.06G0022740-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MISPDTIRTAIGVIGNGTALVLFLSPVPTFIRIWKKGSVEQYSPIPYVATLLNCMMWVLYGLPAVHPHSMLVITINGTGMAIQLTYVTLFLLFSAGAVRRKVVLLLAAEVAFVGAVAALVLSLAHTHERRSMVVGILCVLFGTGMYAAPLSVMKMVIQTKSVEYMPLFLSLASLIPNGLGVLFAVAQLVLYAIYFKSTQEIIEARKRKADQVAMTEVVVDGGSKTNNHAGAGCY >Sspon.01G0010640-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:31913892:31916778:1 gene:Sspon.01G0010640-3D transcript:Sspon.01G0010640-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFPVPPLLLAALLVVAATRLWDYVVVRLVWRPYSITKGFREQGIHGPSYSFFKGCNEEIRSMKEKTVGLMLDIGDHKYLPRIAPHYLKWRAQYGEPFLYWYGPQARICIFDYELARQILSSKSGHFVKNDAHPTLLALVGKGLGFMEGADWVRHRRVINPVFTIDKLKIMTKTMLDFAQNMASELEAEASGNENGETKVDINKHFSDLTVDNIAYAIFGNSYKLANRREWMLERKLKSLLKQIIQPRLASGEYGNDLLGVMLDSCTEAKQGGKQVDGLSLSMEEIIHECKLFFFAGHENTALLLTWSVYLLSVYPEWQERLRKEMTMVLFETLRLYSPALFMQRKTLADMTVGSTKLPKGTAIVIPIPIMHRDKEAWGDDADEFNPMRFENGITGAAKVPHGLLAFSMGPRNCIGQNFSMLEAKSTQALMLQKFSFALSPDYVHAPVDLFTLKP >Sspon.04G0008880-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:22742288:22749110:-1 gene:Sspon.04G0008880-2B transcript:Sspon.04G0008880-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RTEAAGARRRVGGYKSGGGKGKPVAQRSAIPPSIHPSLQIPPPLFPSLRKSQSQSSPRQRLPPTPLRAAPLHSLSGCCDPSVLRSWVIAASPEGKKKTVQFIMSGGSSESSPLSGRNSFSSPLSSFKDLELSSESGCLSIIVLGASGDLAKKKTFPALFHLFQQGFLQSGEVHIFGYARSNLSDDGLRERIRGYLKGASDEHVSQFLQLVKYVSGSYDSGEGFESLNKAISEYETSKNNESRSYRRLFYLALPPSVYPSVCKMIRSVIVEKPFGKDLDSAEELSAELGQLFEEEQLYRIDHYLGKELVQNLLVLRFANRLFLPLWNRDNIANVQIVFKEDFGTDGRGGYFDQYGIIRDIIQNHLLQVFCLVAMEKPVSLKPEHIRDEKVKVLQSVEPIKHEEVVIGQYDGYKDDPTVPDDSNTPTYASVVLRVHNERWEGVPFILKAGKALNSRKAEIRVQFKDAPGDIFRCKKQGRNEFVIRLQPSEAMYMKLTVKKPGLEMATEQSELDLSYGLRYNDVKIPEAYERLILDTIRGDQQHFVRRDELRAAWEIFTPLLHDIDGGKLKSVPYKPGTRGPQEADELSKRMGYVQTHGYIWVAPTLSKV >Sspon.01G0014650-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:16928348:16931914:1 gene:Sspon.01G0014650-3C transcript:Sspon.01G0014650-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRIPSVSLRGGADEDSAAASPPPDPAALSRWVRAFCVIRFDLERGQLVEACFPPDALTAPGGGLDRLVAFSSFPDSMSHHLPRHRSSVHDSLFSFRVPDPSSPRRAFLYGFVFNRQRQDERLPRGGEQKSVVILSHAPYSSLFRLLLQILGPLCFDVGPSALAMVASHVAVWPAPAPGRPMELPIGSAALRVHLPPAADDPGPPPALLPANPSVPYGLFHDADLFAAFRGLLLHLWTLWELMVVGEPVLVVAPSPAQCSEAVAGLVSLVAPLLYSVDFRPYFTIHDPDFARLNALAEGEVFPPMVLGVTNLFFLKSLKSIPNVVSVGSPNPNSTRVLPVGGQSPGNGMNGTPGKLKLEKLAINKFSPTSLLNSIKLRREGPLSLMTEHKEALWSMYSPTTKPDTSVLNRLIDAGVSPRIEESMSVVNNEILRRHFLELTTNFLAPFGPYLRTTTPSEGSSPFVDPPLLPPFHADEFVNGLAARGPGKFLSKRMRSNWLDLYRRFLEGPNFMPWFRQRRAAAEQEQQRLWRQARMNVDIEKLMSNMSELERIDSFNAVERYLLREMENPGKGSADSIGACQKLKVDLQAAFNVLPKDMQQLLLSNPKRAVLLQGSQEKALGANGIVIQTSL >Sspon.02G0001150-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:4542896:4545069:-1 gene:Sspon.02G0001150-3C transcript:Sspon.02G0001150-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GIDLVAGGRNKKTKRTAPKSDDVYLKLLVKLYRFLVRRTKSNFNAVILKRLFMSKTNRPPLSMRRLVKFMEGKGDQIAVIVGTVTDDKRINEVPAMKVCALRFTETARARIINAGGECLTFDQLALRAPLGQNTVLLRGPKNAREAVKHFGPAPGVPHSHTKPYVRSKGRKFEKARGRRNSRGFKV >Sspon.08G0019820-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8B:15360615:15360767:-1 gene:Sspon.08G0019820-1B transcript:Sspon.08G0019820-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MWDSRVILCQVLLPTEPHPRQGGVPPGAAFATGSSPGRVCNGELAEQPMRW >Sspon.05G0001820-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:5848214:5853873:-1 gene:Sspon.05G0001820-1A transcript:Sspon.05G0001820-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding NGAEDYIADRGPMVSPAKNFGSFPSTYEQEVSYNYSSAPGNGNNMLQYPNSQAPSFPTTSSVQGGFPQAPPSMPVVPLAEQPPFGHERQPVDPSDQPLEFNSRKAPDLAVHTNVNSNIPAAPTLASNHDVAATSTHSWTPSATVGFLPRAPVPAQTAQMDPSLHAAPLFGAASSSSYVPPAAFGVGSVTEVFPTDPNTPFSVAEKSKKRPVPNWLREELLKKKSAPLSASAQYSENLNSMEANDAEQTIGRPDQSDSKSNDSAKSTDDDEDDEDEIEAARMAAINKEIKRVLTEVLLKVTDDLFDEIATKVLNEDDSAECDKSTLGKRNGNHNEQNSSLGSAPSGEDLKSNNQNFQRSANAEPEQKHIRDTENGEFRFKAKTHTEPKGAVDEWMRRHIEVDIQNRKTSSGNNAEKHNDLERSHRHLEKSSKEDFVNEVKTDHTKELEYSRAEKYNNDDKYSMYGNIDKRSSFKEGKGSGRAAKHESTREPHSRGNSKHDGAKGDQKDFPKDRERNRDTTDRRGGKGKDEKDDRSRQMTSHNSRSSRSRSPRGRSRTRKENSHEEAVEIENSGQKEIEDMDLMPRHSSETTEDIFAVQHSYSDKHEGKDWMTVNRDLVHVFYLSMGSTE >Sspon.05G0023430-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:7319392:7321527:-1 gene:Sspon.05G0023430-1B transcript:Sspon.05G0023430-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAARALVAARPARPLLPSRRLPSSSSIRPPRQRGGVGSVRCMARRPDSAYSPLRSGQGGDRAPTEMAPLFPGCDYEHWLIVMDKPGGEGATKQQMIDCYIQTLAQVVGSEEEAKKKIYNVSCERYFGFGCEIDEETSNKLEGLPGVLFVLPDSYVDAENKDYGAELFVNGEIVQRSPERQRRVEPVPQRAQDRPRYSDRTRYVKRRENQSYQR >Sspon.02G0048690-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:27742337:27745503:-1 gene:Sspon.02G0048690-1C transcript:Sspon.02G0048690-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLPSIFSESREICRYIGEKYEHQGYPFLFGKDALERASVEQWLHNEEHAFNPPSRALFCHLAFPLGEEEDDDIDIHTRKLEEVLEVYEQRLSDSEFLVGNKFTLADLVHLPNSHYIKTSNKFLYLYDSRKNVRRWWDAISDRDSWKKVLMYMERVEEQNKQEELKKQQQQQEDPRTSADSIRLDPRKQIRTEPRTILVPPTDTVSSSSIVPQTKKPLPSDTSPDELLISSTHEIVDSELVSTPDGHISEAAKIRQDQATVHEDVHDANLSAHDVAKDTFKEAKVAESTPSSAKPMYSQQPAPTPRHAEVEDTWDKGMKGREAVPDRQQRLEKWAGTAGEQVSDRWKASAPSRQAAAEDVRGATGDTIQSPDVLDTSKKSRGAYEEAKGPGSVKEEKTSSIYQKKPLVAQDSQEQAQTIPAGEKADGSIRKHQQASDAPTTFVEKLDASEPARVKTHGDFSAEQPSRKDTTDDQKVAPPLLTGELTSQVQPPSEPSYDAALHRDLASKPSTIYQWQLSSAPLHDVTSSSGDEVAKSTVDEKPAPMSQQKSDEQRAEPSVPIEAEISDVQHASPSFPGASMDDRATINDKFSMQSIIDQRVGEPTQMQTSSPDAHPTSEPTKRGTPEGHEIGHLELVSTPGGQISEAKKARDDPARADIQDGHSAEEQYKKYTVDDQKVASSLSSKEPTSQVQPTSEPLQRAVPDGDLPSKSFTIDQWQRTAAPLHGVITDSGDDEAVKSSNNDQKTRPMSQEATPSSQSVNQMAKQSGEQRVEPPVPIVAEASDVGHAAPSLPEAARADGATSSDKFTEQSNIDERVGKPKQMQAPITAARPDSAPTLRRTPDSHEAGGLVSAPEGQRLEGAKATRDPATIREDVYDASLSTHDAAIDEKTATDHASGDEVVTGSSHDHQTRRPASIQVQPTVETPHDSDSFQYVHTGDSEKAELAKPTVTDQEATVPAAGLTSADPQRADIVPAGVAHSEQKFTRSDKGSARAAQHPSPVEPIKAESNVSAANYTNAPQM >Sspon.03G0021970-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:66952558:66953203:-1 gene:Sspon.03G0021970-1A transcript:Sspon.03G0021970-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKDPEPDGRGAAERANVPGKLPEKQKAAPHTDRPSCTLRSCRTLYNPTTTTTFPTQQGTHPNQSTSTRRLPELQMAVSSGMVISVRPPAARPCACAASAAAGGARARADGGGAKWWAPLLGWSGQPDYIDARPAPEEEPETRQRASARRFGVLTEDKARRLRMQMMETESFHDAMYHSAIASRLASAAPAPDNKR >Sspon.05G0010180-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:29137984:29142429:1 gene:Sspon.05G0010180-1A transcript:Sspon.05G0010180-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGGASLLNSGGMADSGGGLTVPVVVTCLMAASGGLIFGYDIGISGGVSEMEAFLKKFFPGLLKRTTARGANKDVYCIYNNQALTAFTSSLYAFGMVGTLLASRVTRRLGRQAVMLIGGSLFLAGALVNAAAANLAMLIVGRMLLGLGLGFSGQATPVYLAEVSPPRWRGGFISAFPLFISIGYLVANLINYGTSRIPDWGWRLSLGLAAVPAAVMVVGAAFIPDTPSSLVLRGKHDDARAALQRVRGKGVDIGAEFADILAAAESDRRNEEGAFRRILRREYRPYLVMAVAFPVFLNLTGVAVTAFFSPILFRTVGFESDAALMGAVILGLMNIGGILASGFAMDRYGRKLLFVAMASIIGSQLGNGSKMAKGYAVTVLVVTLVFSASFSWSWGALYWTIPGEIYPVEVRSAGQGAAVALNLGLNFLQAQFFLAMLCCFKYGTFLFYASWLVVMTAFAVAFVPETKGVPLESMAHVFARHWYWGRFVKDHQKFGEEST >Sspon.04G0006730-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:15212388:15214019:1 gene:Sspon.04G0006730-2B transcript:Sspon.04G0006730-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVGTWAVVVAAAAAYMAWFWRLSRGLSGPRVWPVLGSLPGLVQHAEDMHEWIVGNLRRTGGTYQTCIFAVPGVARRGGLVTVTCDPRNLEHVLKARFDNYPKGPFWHAVFRDLLGDGIFNSDGETWVAQRKTAALEFTTRTLRTAMSRWVSRSIHLRLLPILDEAASEGTHIDLQDLLLRLTFDNICGLAFGKDPETLAPGLPENAFATAFDRATEATLNRFIFPECLWRCKKWLGLGMETTLARSVAHVDQYLATVIKTRKLELAGNGKCDTTPAAHDDLLSRFMRKGSYSDESLQHVALNFILAGRDTSSVALSWFFWLVSTHPDVERKIVRELCAALAVSRGSHDPALWLASPFTFEELDRLVYLKAALSETLRLYPSVPEDSKHVVADDYLPDGTFVPAGSSVTYSIYSAGRMKTVWGEDCLEFRPERWLSADGTRFEPHDSYRFVAFNAGPRICLGKDLAYLQMKNIAGSVLLRHRLAVAQGHRVEQKMSLTLFMKHGLRMEVHPRDLALRVEDPSRPCAKIRAAEETLGIQCVDKRT >Sspon.02G0024290-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:84979770:84983381:-1 gene:Sspon.02G0024290-2B transcript:Sspon.02G0024290-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MITGALQVSGSGLPLLLVRRRRAFRPPHAVASDAAAATAREEGGKVALGGSGVAVTKLGVGAWSWGDTTYWNEFQWDDRKLKAAKGAFDASIDCGITFFDTAEVYGAGEQVEVAIATKFAALPWRFGRGSVICALKASLDRLGVSSVELYQLHWPGIWGNEDYLDGLGDAVEQGLVKAVGVSNYSEKRLRDAYERLKKRGIPLASDQVNYSLIYRNPEENGVKAACDELGVTLIAYSPIAQGALTGKYTPENPPKGPRGRIYTPEFLTKVVLNWLVCQGNVVPIPGAKNAEQAREFAGALGWSLTADEVEELRSMARQVKPVIGFPVEKL >Sspon.06G0007670-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:34629484:34631394:1 gene:Sspon.06G0007670-1T transcript:Sspon.06G0007670-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVLIAPQNAVGNCIIDDMRAMTDAAGDRPVILVNPRLKDQTMGRDIRLKYAASFETCYSFRLLYYAGSFYPIMGALRMSYPNKYEIYRRVDELNGKENYILIAEFTNTPTPDDITRAFTGRKM >Sspon.02G0050430-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:61497193:61499850:-1 gene:Sspon.02G0050430-1C transcript:Sspon.02G0050430-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLEAKDERERRQYGYRHVDENRESAMARAEEIQSSLPAEHPSFVKHMLRSHVVKGFWLGPEEISISFASLYLTSVFVFQGLPKHFCDKHLPNRDVAIVLEDENGEDHHTTYLGYKQGLSAGWRGFAIDHDIKVGDVVVFELVKSTKFKARYTFLGLFHLLTTNPVYIVRANGFTTADIDPNLQNLEAGKNGEEQSCEDVITEEDTEDTTTNNREVPPSDGIGISDSEIDFDDVTSFSNVNVILDCLATDCEFHDRLRRTYYELCCSQKSLLHKHLLKQLHPTLVAGVIIETVSIADGIRACKAEASSREDFLVWKKTLESFELLGMNVAFLLNRVNSLLGVASRSRESYAEWQHKYEELKLERARAGDKMKALELQLSTVKDVLQKVDSEMEELQSSFKKSDEALQELASAP >Sspon.03G0037660-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:61072159:61072776:-1 gene:Sspon.03G0037660-3D transcript:Sspon.03G0037660-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATASAMTGYQQLPRRPASCSNSKQNRASKSKPPVVIAHECPSAMRAHVVEVPAGRDVLSCVSAFARRGRRGALVLGAAGHVTDVVLREPALVLRGTMEILSLAGCFFPFPGPGSAAATGTAVFLAGPRGSVLGGGVALGGLVAAGPVVVMVATFVAAALDRLPLAKGEEAAGKAQQQGCDLHGHHRACGWAAVCRKQQQLGANS >Sspon.03G0006130-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:21357674:21358297:1 gene:Sspon.03G0006130-3D transcript:Sspon.03G0006130-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DGDHQVLHRVHPAVRGAGRGADRALQRTRGGDQRGPRAGRGDHEGVPQARPPEAAVHVPGRRRRRRHRRAEARHPAAQCRAAEGQDLLPHAGHGHHTGARAREARREATAAAAAARRPNRGGGCFGGEEAAPEERPYRRTGRRSGRRLLQRDQGSGRAGAGGGREGAAAGQREVPVGDHEGKGLHGEGPAAGARRRVAAAPGEHHRG >Sspon.02G0021890-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2B:92391874:92393291:1 gene:Sspon.02G0021890-2B transcript:Sspon.02G0021890-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding QDDEKQETHFHTIVNCITESLKTQIIGRSYDEVAMSLALFMNFADYGENYDQEEAEAAAGKASRGDASKKRKEITDAAVQISAAYDWAELADNGKLKEMTVAELKSYLTAHGLPVSGKKEVLVSRILTHLGM >Sspon.01G0012820-3C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1C:34145668:34146273:1 gene:Sspon.01G0012820-3C transcript:Sspon.01G0012820-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAITHDDYVSLCLMALAAAGGGGQAGLTTQYALNTAAWTATAQESELRFRCSVCGKAFASHQALGGHKASHRKPTLVQAHASSSAGGAASSSVTTTSAGGSSGQGRHRCTVCHRSFATGQALGGHKRCHNWDGLSVSLTASSAPSGSGSTVKGFDLNLVPPAPAMAANAATRWGEEEEVQSPLPVKKRRLAGPSLDLSLTI >Sspon.02G0001210-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:4235058:4236491:-1 gene:Sspon.02G0001210-1A transcript:Sspon.02G0001210-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SMACTTLSLLGHLLITVLLVLTRGIITTGAVIAPPPTPLPLPWLHAPSPTPRPPGLGAPARTPLPPSWLGAPSPPHVPPRLGAPPRSPILPSPQVGAPPPTPPVRPPQLGAPLPTPVPPTPRLGAPSRGPILPPARAPILPPRRKGAPPSTPPLPTPQLGKPLPCPPPTRAPVLPPRQKGAPPSSPALPTPQLGKTLPCSPPARAPVLPPRRKGAPPSTPPLPTPQLGKPLPCPPPARAPVLPPRWKGIPPSTPPLPTPQLGKPLPCPPPARAPVLPPRRKGAPPSTPPLPTPQLGKPLPCPPPARAPVLPPRRKGAPPSTPPLPTPRLGAPLPSPLLPRPRAPVPPPRRKGIPPSTPPIPAPRLGVPLPSPLVQPPRTPILPPPRKGAAPSSPPLPPPWLGAPFPSPLFPPLN >Sspon.07G0024840-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:30612408:30619415:-1 gene:Sspon.07G0024840-1B transcript:Sspon.07G0024840-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLRTRGFPMAMRHHAFSLPWTTLESREGNWRREDDNNLAREEVERTARGLAVPASWGAFPAVGDATVGTRETAQFGFGFGGGSRDGGRYLSRMFNWNDEQPQVGDAIWAEFNESDDHIVPYPKGAEDSTTRKNNEEEAASIAGITEHSAGVQTGLQGMEKQTANQTSAHFSATRIDMESWPDLPSLNPTLDRNYSDDNIASTYLDFSAEPSLQKVTGKATGQLDGESEVFGNDQEEKSNSFLDCDWGNIGDFDDFDRLFSNSDSIFGNEIVANNSDFLSASSNLMDNAVQSIPIPQLPLNKQPSCDRGPSLLLTNEISSGVTKQENKVANANAKSGEEAEIKIHLTCEYSGKPNQFLQEVEVQKRPVRSHRKPEERGKSKISSSTSGLSQSKVENPSTNLQAPMQPVQTPQYALFQDSKKIGQVQHANQFMFPGYGYPAYPFPTIPLVSNIQAEGYQTKPTGTSYRTLEDPPKQSSSIEMSQDIPSRPVTMTPQEKIEKLRRRQQMQALIAIQQQQQQFGQEGSDSETMVSQAYSPRNKNPDSLGSSIIIDENANKLDTKTRLCIRDSLLRLAYSAAERQIDGDRSSTNKTKKDEDEASENDASTRRTRSPTKEAETTTNPIDRIVAHLLFHRRCSKVATATKEEIISSTPLILEPERKVPLGTPRVPSEDQRDEQEIRRLLTRAMIALAIGGGAYWYER >Sspon.02G0048130-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:22034215:22036975:-1 gene:Sspon.02G0048130-1C transcript:Sspon.02G0048130-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RPPARERPPASAPRLRTRRLPPGPGPAARALLRARGTCPRSSLSARCPLACARPPARPPARERTPASAPRLRARRLPPGPGPAVLSLPQSLDLGSIRAGPWGATSSTKWLPLLLPSVCTSLLASSMAHMDPSPGALLELEQDPALAELVRDWDFSPGKKFEAEVFHEFSSSVHHPSSSPNGSFFLLVVFRRFLFRLTEDSVAMALHCCLGGTPAGFHVTFLKDRHFRFSVASKQVGLLVRALKRITSEHFDIYFHMWRDGGANWELEWQRWEKEEEDSWTTRISRKAKRKMATKKVSFHRKLVQDSPMHKSRPRELSSVIKIGDIFCPFTSSPRTAFGRHSVSWERNWNSEPSSSHSHEAKTLDVSVHGVFQNLKRDLCINNHSAQVAISQPPKQLIDGHPPQCERFNFSPNPATRFCFKCLSPEHLVKECRGEIRCIYCFNYGHRARFCAKRRSDLKCKWAVKPVKAPSSSDSSEEDRGEENPIQWSLNPDCGAQPPGLSQNLTTRIPSAKHTQIADQLMGQLIVGNPNQNIMEEDQGSTANAASPSIAIVSQPGQMIEREITADNQIVKQGPPMVGRTDIVHNQVEINQTQAAAATSPMLTGMFSSLLKNQIDKVQRETITKFIVDGLKYLNSPQGNSSDAEIMRHIAIPNSIIQVDLAALGIRSLNININIHPQQGALNAASSLKCTQIIQTADNYQLPPNLQASLTGSQIKTTPTTRVYYRKKFKGKERASDKQTVTVVSENVDNIFAELQDDFVPTNSLHNTDKSKGKETMTKKGKCITPTSTLKLRRSPRFIKMNNGYRPATFSLKTVGTKNKTKHKRIQPQADLLGNILLCPTSQASEFPGLSTVTKFNDMDAIFPEIPIVEIQKMAIETCCISPSE >Sspon.01G0042810-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1C:64218332:64218893:-1 gene:Sspon.01G0042810-2C transcript:Sspon.01G0042810-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKKSCFGRAACAPHQDQSKIRGEASQATKHRQSSSSGPNAPPKKKTWKKYLMFLSKFQNKMKHKKPDAKAPSSFKQSSLKRSSSAMLEECVNLVRVIRRTAADCFVSAVAGGDEEDDLPCYMQLDHVSYGVKREAYGPIYLVT >Sspon.06G0015740-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:79100277:79102901:1 gene:Sspon.06G0015740-2C transcript:Sspon.06G0015740-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGAFHSLLPLKRKRKSKRGRRRSHPKENHLAASAGDPSFHLKSSTSSSSRDIGCLVEPLGNLFLSATPRGNLRDAGLGALRPLPDDLLLDVLGLLPARDLAALSAASKALYVVASHDPLWRALVLDELGGAFDFAGSWRATYIAAASRGGQAYLIPPRALRIKGFYSDYLFQSWLCANMEMRHEWLARDNIERRRGMSVEQFIAEVEEPNRPVLLEGCIDTWPALQKWSRDYLLEISAGKEFAVGPVSMPLDRYFRYADNVQEERPLYLFDAKFAEKVPEMGRDYEVPEYFREDLFSVLGKERPDYRWVIIGPAGSGSSFHVDPNSTSAWNAIIKGAKKWVLFPPEVVPPGVHPSADGAEVTSPVSIMEWFMNFYGACKAWEKRPIECVCRAGEVVFVPNGWWHLVINLEESIAITQNYVSRRNLLSVLDFLKKPNASELVSGTKDRVNLHDKFRGAIEAAHPGMIKQLELEAQQKAAARKKKVSFWDSAVDAKSGGFKFSF >Sspon.03G0019200-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:79463362:79468311:-1 gene:Sspon.03G0019200-3C transcript:Sspon.03G0019200-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFRAAANAVAVFAAAVLAVAAGASGDSLADLGGAAREIESAPEVKNLGPWAKGLLKGMPAAAAGPAAMAPVAKYPLVLAEDRTRRPDVLRHLKMYEGGWNVTNKHYWASKFHEEATQTVDFVVNQSDFTIQTLRNVTEYLSFAQTITVAALYLPSDVQSQIDNLKGDLNKAADTISLKTAENYKRIRKVLHIIAAKDTCEAMDQWAQHPQAETALSNILPCVDESTTNRTLYQSKEVVVRLVGIVNRAISALSNRRPHHKHPGQFMPYLCSPYDSNLNDRPCKHREVTFENATTAWLNYTCMAQDTDLCSGNKTLTYDIYSQLVLAANVSYALYHYAPFLLNLQDCKFVRATFSAIASQYCPPLEHDLGLVSAGLALIASGFVLYLIWMLFADRPQRREEVSDLASGSRITPVDSSSPS >Sspon.06G0024820-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:57849321:57854727:1 gene:Sspon.06G0024820-2C transcript:Sspon.06G0024820-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding QGVPGWACLINRKPKSASGPTDLIFWKPTDLARARVTRARRLHPSTTTHALALAFAFASPPGSRPLHLLGSRNRSPPAASLPPSLRSVAAKQRIGFRPSSVSPAKQRIGGSSIQGSKMGMTPEVMYGQNVFVPATANPYQYGYAEVGSPMEWYNHPSSLGYDGQDIYYPAEGMQCVYYAAPDNGSMHPTYSPYPSDPSFVPDGSFMPQEYVDPANSTCQIAPTSYYIPAVLPYAQDSVPGSTTTLHSSNVAFLPGIPGYAATSANAAFPLIAPVTTKSDIVMHPPVQSTIVPSKQFQDHAKPPKVQLHNSVPQKQELPDRCMVPAKLPHASQVSAHLSGNNCLGCAAGSDLQKWAAAEKFQPSSKSSGHLNGTGQKAHSLVDSEKPSNQSSAIIVKSYTSRLPACNPDGTIIIRTDQYNRDDLRTDYTYAKFFVIKSIGEADVHKSIKYGVWSSSSNGNSKLDSAFRDADRISRRHSTKCPVFLFFSVNGSGHFCGMAEMVGPVDFHKDMDFWCQDKWTGCFPVRWHIIKDIQNCSLQHITLQNNENKPVTHSRDTQEIPYLPGISMIEIFKNIKARFCLFDDFMRYEAEEAQKKTHRRCKLSYNAPDFVPVSQRTKDASDTQQTKSSSVLVDRTSEIQNVAEKLHDAKVIKPQEPCVSENQANEAEKENGVQESHCSGNQSQEDAAKAVTNHPPTSSLKAGGEGKQQYWKKVENPKQHADSGAAQGSLKAPEKRLNGVSGSASAVPEGGEEQRVTAKLGSLKIGSKAVEADRKTNTVGVEKRLNGVSGSASAVAEVDEEQRVAAKLGSLRIGSKAVEADRKIGTVGVVTIGSMPVRVDSCDV >Sspon.03G0008180-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:27477594:27480078:-1 gene:Sspon.03G0008180-4D transcript:Sspon.03G0008180-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLYDISCFAAGLAGNVFALALFLSPVPTFKRVLKAKSTEQFDGLPYLLSLLNCCICLWYGLPWVSHGGRALVATVNGAGALFQLAYISLFIFYADSRTTRVCNSIALLAVLDFNQLPLAVSDTSKTGPCSPFPPQLKITGLLVLVVFAFALIAHASIALFDQPVRQLFVGSVSMASLVSMFASPLAVMGLVIRTECVEFMPFYLSVSTFLMSASFAMYGLLLRDFFIYFPNGLGVILGAMQLVLYAYYSRRWKNSDSSAPLLA >Sspon.01G0060850-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:85028548:85035849:1 gene:Sspon.01G0060850-1D transcript:Sspon.01G0060850-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSAVARSAYAPVIAVLNSSSSSLRRSFCSDPSIGSEVVDLIPAGDVIINIFGSPVALVNNGYFNHHKAPWEASFARPHASVTPVEILAGDLYGHVGEADERHPAGHSSITGPGATFPGLLAPMSSLPSGSTSTSTTPTGRLPTIRLARSFAVSPSSTAWTTMKPSTQMLNWPQSASSTASPPHGHSTTSSASLSFAPPTGYSSRNASMLSVLACSSVIPPRCLWTPSPSSQLQTMVPQSPTRPSIVASRGVLQYLALTRPDLAYVVQQSSKRQTTVSHSSVEANYCAITHAIAECCWLRQLLQELHLPVPSSTVVFCDNGIGANIKDLHCSQREILVDCLSGSNGKEGIAKAANLLCSAYFNGDTHGDDKRKPTFTEADMSSALKAVVDNLRADLQIVDVASIHRFDRLEEKRKTEAEICKVTGPPFPLTIHLPHHQRKLSPGPALLRALSKYTKDLCQDKIFESIKQKCTEPAEPDHMVVIVGTKKGSE >Sspon.07G0017470-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7A:62767393:62767580:-1 gene:Sspon.07G0017470-1A transcript:Sspon.07G0017470-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RPWRRDGSGPGELRRPVPASHHLLASASAPHHLPLCATMADAAAAAPDLVVAAPDPLDPAAA >Sspon.01G0048490-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:109424092:109426564:1 gene:Sspon.01G0048490-1B transcript:Sspon.01G0048490-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGILQATSSTRVSNLRTPVGKDQEPLTTLGEGSNNLQLRAQSPPLLQAVYRAGKHGRVTRNPQQLEDQVPLECNSQSLGGATVPHQSPTATGRYGRRPTATVAFHGLRAWSGTPARRREQSSSVVATVFYKYIEFTVTFSVFATAATVAPPLAATAIGPSPATPTAPLAPPQPRASCGPLAFTVFAPELPYHRFPCSPPATDRHPRLPVARPLQPLPVATESSTATAVSH >Sspon.04G0001060-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:4195633:4197973:1 gene:Sspon.04G0001060-1A transcript:Sspon.04G0001060-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding KTCAICLTTMKPGQGHALFTAECSHTFHFHCISANVKHGSSSCPVCRIKWKELPFRGPLPAELPQGNVRINPVNGYQNGGHMNILRPLPRARSSGRLHHLASFLPDTDPSTFNDDEPLDLLCEDDTQQGCLRTVEIKTYPEFTEVPENSSERNFTVLIHLKAPLAQHLQSSSNLGDGNGLSTTRAPVDLITVLDVSGSMAGTKLALLKRAMGFVIQNLGSSDRLSVIAFSSSARRLFPLRRMTESGRQQSLLAVNSLTSNGGTNIAEGLRKGSKVIEERQAKNPVCSIILLSDGQDTYTVSPTAGVHKGAPEYCALLPSTNGNQQVPVHVFGFGADHDSVSLHSISQTSGGTFSFIETEAAIQDAFAQCIGGLLSVVAQGLHVKVESLHSDVHFGSIRSGSYSSRVSDDKRNGSIDVGDLYAEEERDFLVSVNVPPGYGETALLKVGCVYKDPLMKETVNMADVQVKISRPAFVSVQSVSIEVDRQKNRLHAAEVMAEARFSAERGDLTNAVSLLEDCRRMIMGSASGQSGDRLCQALDAELKEMQDRMANRQRYEASGRAYVLSGLSSHSWQRATARGDSTDSESLIQAYQTSSMVDMLLRSQTMSRSSTPRPTPQMRHAKSFPARPQPRVYICGLFEEGWILNPDPDPRKVCFFNLEMEK >Sspon.01G0028770-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:95622517:95623395:-1 gene:Sspon.01G0028770-3C transcript:Sspon.01G0028770-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding CHVFHGASPRLIPEKQSEEEAVALMAPVAATTTTTSSSATVMSGDEVAHVPQGWAKKKRSRRQRSEEENLALCLLMLSRGGNHRVQAAPPLVPSAAPVAAEFRCSVCGKSFSSYQALGGHKTSHRVKLPTPPAAAAAVVPAAVPLVEVEASAPLTVIPPPPVEVVVREPATSSTAASSDGAAASSRVHRCSICHKEFPTGQALGGHKRKHYDGGVGSSAASTDVLATAAAAAAETSEVGSSGNGSSAARAFDLNLPAVPEFVFRCGKGGKMWEEDEEVQSPLAFKKPRLLMTA >Sspon.01G0048120-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:107285518:107290482:-1 gene:Sspon.01G0048120-1B transcript:Sspon.01G0048120-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RQVACLPPVGFEGLPGMPLCHLLLRCVRAKPCVSPQPRAETPSSPSVHAAITAADVDRASPPRLQLNQLAHRDLGDGVLCPPSRHGCRRGLYAAVECRLACLVETLQLIACSGKPKTLASPSCERPTAHWEWRDGESSVYPRGQGLDWRKDYSPPLVRHLDPLATLHVASPPRVVMQDTNLGGGPVEIDAPKVKVHKGKGTTTITLDFDDSDDELFDEEAEKARASRLTESTIDVNTGEKVACQLEKGVSREFFPNPSSQKETTIDIRKIKDVAQEPATPAEALETIPGGVDPELYKRCMEYCQAQGVNLDTFKFVGIGLDKLRIMLKKSELKLSKYVDDAFAVPDAEAQLARKSSLELADASVTMVYKQLEKEIAADEKKQKEHDKREKENARDDDVGDHPF >Sspon.04G0016620-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:70042111:70044335:1 gene:Sspon.04G0016620-4D transcript:Sspon.04G0016620-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQASHYLYLCLFLALLLPLLLLRLLTRGRHGNSGVRLPPGPWRLPVIGSLHHLVGKPLVHRAFADLARHLDAPLMYLRLGEVPVVVATSRDAAREVLRTNDVTFATRPWSPTIRIMMQEGLGLVFAPYGDLWRQLRKICILELLSARRVQSFRHVREDEVARLVAAVAATPPGEPVNVSRRIAVLVADSAVRAMIGDRFSRRDEFLVSLEEGLKLVSGFNLGDLFPSSPFVNFLSGTAQRAHANHRKNFELMECAIKQHEERRALAAANSAAVQEEEEDLVDVLLRIQKEGGLDMPLTMGMIKAVILDLFSAGSETSATTLEWAMAELMRNPDVMKKAQAELRDNLNGKPKVTEDDLVQMKYLKLIIKETLRLHPAAPLLLPREARESCKILGYDVPKGTTVFVNAWAIGRDPKYWDDAEEFKPERFECGTIDFKGMDFEYIPFGAGRRICPGMVFAQSNIELALAALLYHFDWKLKEGMKPSELDMIEDIGITVRKKNDLLLHPIIRILGYDVTKGTMVFMNVWAIRKDPKYPNVLKSLSQSNLI >Sspon.01G0038740-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1C:22443709:22444215:1 gene:Sspon.01G0038740-2C transcript:Sspon.01G0038740-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQPPSMTFSRLSLAVLTYLALLAASTATHRAPLTVFAPSSSPSVRGLPALAERLEGAEAQQCWEALVEIKSCTGEIIILFIKGEAFLGPGCCRAIRVIEQSCWATDSMLSIIGFTPQEGDMLKGYCDAGDDDNAGRGGQSGSPPPRGAAAARESFAAVAGRKSSMHR >Sspon.05G0006570-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:14526789:14528235:-1 gene:Sspon.05G0006570-2B transcript:Sspon.05G0006570-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQGTLEVLLVGAKGLENTDYLCNMDPYALLQCRSHEQKSSVAPGKGCEPEWNETFVFTVSDGATELFIKLLDSDGGTDDDFVGEATIPLEAVYTEGCIPPTVYSVVKDEEYRGEIKVGLTFTPEELGVVLNLNLTTACLLKCPFYEQDSRDQDF >Sspon.06G0026530-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:79519241:79519630:1 gene:Sspon.06G0026530-1B transcript:Sspon.06G0026530-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MESEMDAIASLLTTYAGGAVHRPPAACLAPRPRERYALVKADVDDVPIVQLGITLCDEHGNIPLAATADVHGGRPSELAWEVTFSDFDDARRPESVAFLRSQGIDIDIDQARAHGVSSVAFAAKLAAAP >Sspon.06G0032430-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:64384065:64388164:-1 gene:Sspon.06G0032430-2D transcript:Sspon.06G0032430-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNYPDITHVVTCAARRAIPNQELSYPCAARRDKCGLWSHRPSPSGERWIRSSSCRRQDRGNRYPAVSPQIDPIHHRGLARLRRPGCVHGGEFRLQQLAFAVGLFIGAPPETIKVTNVPLSATAENMKEFFSFSGEIEYVAYVTFKEFYGADTALLLSGSSMCGQRRIYRGGWPPLDFHPASATVCGDVPVNIAPVEDYELPPEAYSHTEARSPAAPGTPTGEAVKKAEEVVSTMLARGFVLSKDALRRAQSFDGRHQQLLSTATARVASLDRRLGLSDKFSLGTAAARGAARGVDERFQVTERARGAFSVAGEVVAGSPYASRGAAWVSAAVGAVARAASDVGAMTMEKVVRAEVEGTAADGEGAEAEAEAEAGQGHAARVDVHDKQGVAAQHGDHENKVM >Sspon.01G0025770-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:90230870:90232311:-1 gene:Sspon.01G0025770-1T transcript:Sspon.01G0025770-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHVLVVPFPAQGHINPMAQFAKRLASKGVATTLVLAHFIARTSVVDAHPAAVAASASLASLIEARASSADAFTCVVYDSYVDRVPPVARLMDLPAVPFSTQSCAASAVYYHFSQGRLAVPPPPSPATDGGDGGAADARSEAFLGLPEMEKLEFPSSVFDHGPYLRIAKQALKQFAHEGKDDWVLFNSFEDLEGQVLAGLTNYMNARAIGPCVSLPAGETAGATGRRITYDTKPHRSVAYVSFGSFASLDAAQTEELARGLLAAGKPFLWVVRATDKHLVPRHLLDEATASGAAMVVP >Sspon.07G0005990-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:13860815:13862955:1 gene:Sspon.07G0005990-2B transcript:Sspon.07G0005990-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRYKQRTPEHFPPVHFYGKNMGADCDKHDACVQWVKSANAWDVFLRWMASMRPESAKRHLVLQRPAAAVVVQNKGAEQERLLL >Sspon.07G0038580-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7D:82610058:82611386:-1 gene:Sspon.07G0038580-1D transcript:Sspon.07G0038580-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASRTGAAVDHREFIYDGFSGDNLNLTMDGQASVVDGLLRLTSGLPYSKGHAFYPYPLNFTDVPNGSSLSSFSTTFIFSIMGPSTDLSSPGLAFVLCSSTNLSSAYPDQFLGLLNPSNNGNATNHLLAIELDTVINTEFRDIDSNHIGIDLNSLISVASHTAGYYDSDGVFRNLSLVSREPMQVWVDYDGRQTMLNVTMAPCCPSSKPSKPLLSVVYDLSSVLPTELVFAGFSFANDLLIGSKHYVLGWSFKLNEEAAALNYSLLSLNTTTPQLPTKIHPRPRSNKTILCAILLPVLGIVIVVSAALLMVHMKRRSKSRTAQLEWEREYGPPSFTYRDLLAATGGFKDKMLLGKGGFGSVFRGVLHHSKQTVGIKQVSPESKQGMKEFMAEILILGKLLYDAIKMCSYLKQAQKEFIVGKISFGSYHVLLISATLLDGSKH >Sspon.01G0021890-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:79501116:79503019:-1 gene:Sspon.01G0021890-3C transcript:Sspon.01G0021890-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPAAVGAGAGQGKEYPGGLTLYVLLTCAVAATGGLIVGYDIGISGGVTSMDAFLHKFFPSVYRKEQTARGGGSSSQYCKFDSQLLTAFTSSLYLAALVASFFVASVARSVGRKWSMFGGGVSFLAGATLNAAAQDVVMLIVGRILLGIGVSFAALCIPIYLSEMAPHRLRGTLNIGFQLMITVGIFSANLVNYGAAKIEGGWGWRLSLGLAAVPAAVITVGSLFLPDTPSSLIRRGYHEQARQVLSRIRGADVDVGDEYGDLVAAASEASGAVDVRRPWRDILGRRSYRPHLTMAVLVPFFQQFTGINVIMFYAPVLFKTIGLGGDASLMSAVITGLVNIVATFVSIATVDGLGRRKLFFQGGCQMLVCQIVIGTLIGVEFGASGDGDGAMPKNSAATVVAFICIYVAGFAWSWGPLGILVPSEIFPLEIRPAGQGISVAVSMLCNFAVAQSFLPMLCHLKFGLFYFFAGWVLVMTLFVAVFLPETKGVPVEKMGVVWRTHWFWGRLVADMDGGAGNCDSAFHKGNDIA >Sspon.07G0013900-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:50097717:50102823:-1 gene:Sspon.07G0013900-1A transcript:Sspon.07G0013900-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSRGGGARGLLGAYELGRTLGEGNFGKVKQARHRGSGAQFAVKILDRARVVSQRVDDQIRREIATLKLLAHPNVVRLHEIAASKTKIYMVLELVNGGELFDRIASKGKLPEQEARRFFQQLIDGVSYCHEKGVYHRDLKVPNNDGLLHTTCGSPNYIAPEVLQNRGYDGSLSDIWSCGVILYIMLVGYLPFDDRNIVVLYQKIFKGDTQIPEWLSPGVQNLLRRILEPNPMKRITMAEIKAHEWFQKDYVPALPYDNDDEDSELYIAHQVKEKISEAPEDKTTHQINAFQLIGMASSLDLSGFFEEEDVSLRKIRFTSTHPPEDLFDKIENSASEMGFHVHRGHSKLKLTRNCDGSVNQKNPVSFLVWTEVFELSPSLYVVELKKSHGDPALYRQFCERICSDLGVLKMDQIFGTRPIADDLASLDKRSATPLVAL >Sspon.01G0041740-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1B:54299287:54299721:1 gene:Sspon.01G0041740-1B transcript:Sspon.01G0041740-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDAARALTTSSSSSSSPDDANGGAPWLWKLFADQLCRPLFISICLHGDVPVLPSFACLPVDLQMTILCRLHVAEDVARLECASKELRCLVADHDAVLWKAKYESIRSLNSRLEQPVEFRPPPILTTKEPPYFTDEDMALMSWKE >Sspon.03G0013210-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:46742733:46755569:1 gene:Sspon.03G0013210-2B transcript:Sspon.03G0013210-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sec1/munc18-like (SM) proteins superfamily [Source:Projected from Arabidopsis thaliana (AT3G54860) UniProtKB/TrEMBL;Acc:F4JE40] MAQIPNLDNAPLNLAALREQSQKDLLGILKNIRGKKCLVIDPKLAGTLSLIVQTSLLKEYGAELRILSSEPLQTECPKIIYLVRSQLSFMKFIASQIKNDEPKGLQREYFLYFVPRRTVACEKILEEEKVHQKLTFGEYPLYLVPLDDDVLSFELDHSLQFAFGVIPNVRAKGVASTKAAELLNNMQLEDPVNMDDMGIPEINTVILLDREVDMVTPMCSQLTYEGLLDEMLQINNGSVEVDASIIGAQQDGKKVKVPLNSSYSIGILVIIKILMLISVTPSYQNDKLYKEIRDLNFEVVVQVLRQKATSIQQDYAEVKSTNTQSVSELKDFVRRLHSLPEIARHVHLAQHLQSFTGKPSFHARLDIEQTILEVQNFEICFEYIEEMIHKQEAIENVLRLLVLLSLTNAGLPKKNFDYLRREILHSYGFEHMHLLYNLEKAGLLKRQGGLTINSSPEVHPGLGAQQSIDRVGHRSLVLVVFIGGVTFAEIAALRFLSAQEGMGYDFLVATTKVVNGNTILRPIIANSKEGMM >Sspon.02G0019880-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:64890383:64891263:-1 gene:Sspon.02G0019880-2B transcript:Sspon.02G0019880-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTNMASATSRFMLAAGVPTAGSGSGISGRVSFASAPNRLGGRLVVRADPEAPAEPAEGEGAVATKPKAEKPPPIGPKRGAKVKILRRESYWYNGIGNVVTVDQDPNTRYPVVVRFNKVNYAGVSTNNYALDEIQEVK >Sspon.02G0015480-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:42218370:42220073:1 gene:Sspon.02G0015480-1A transcript:Sspon.02G0015480-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSAPAPASTLSCGGAHDAQAQLPHVVIFPFIAKGHTISLTDLTHLFRRRQLATVTFLTTPGNAAFVRAALAGADGVAIVELPFAADNVTDPGAPPRPECVEALDSLSSFPAFVESVSQLRPRFEEALAALWPPASAVVADAFLYWAHAAAAAHDVPTLAFFGTNVFAHVVREVVLRDNPASVLTRGTTPDDAVFTVPEFPDVQLALADIPFPFNDPATTGPIREMDAKIGYAIANSHGLIVNTFDAMEGRYIQHWNRHVGPRAWPVGPFCLARTAPEAPWHWHGDVAKPAWMRWLDEKAAAGRAVLYVALGTMVAVPGSELREVAEGLDRAGLDFIWAVRPVDVDLGVAQSIVGYAIANSHVLTVDTFDDMEGRYIQHWNRHVGPRAWPVSPLCLARTMWPMGAEQPLNAKLVVDELGVGIRVPPKSEAVSGMARSEQIARVTYDLITEETGVEVARKMAALAAKAREAVAEAGSSWRAVEELISVFSKRSAQ >Sspon.04G0020090-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:78828945:78833258:1 gene:Sspon.04G0020090-1P transcript:Sspon.04G0020090-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEKQMAAHRVDRAICAWFWDYVAAHAAGDPSKVVVSPTHYLFQIYRDGVTFLACTQVEMAPLMAVEFLSRVADVLTDYLGDLNEDIIKDNFVIVYQILDEMMDNGFPLTTEPNILKEMIAPPNIVNKMLNVVTGKSSTLGSKLPDAAASFVPWRTTIVKDASNEVYVNIVEELDACVNREGVLVKCEAYGEVQVNCSLPGVPELTMSFANPTIINDVTFHPCVRFRPWESNQVLSFVPPDGQFKLMSYRVKKLKKTPIYVKPQLTSDSGNCRVSVMVGIRNDPGKPIDSITVQFQLPPLIVSADLTANYGTVDILADKTCLWTIGQIPKDKAPALSGNLRLEEGLAQLHTLPTFQVKFKIMGVALSGLQIDKLDVKNTPNAPYKGFRAQTQAGKYEQQSGQCHDISIASNGLVQKD >Sspon.03G0004710-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:12130817:12132464:1 gene:Sspon.03G0004710-3C transcript:Sspon.03G0004710-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSPLRTVHLRSSSSSSPADTVAIAVDGDSGVDLARVGLALGLDPASVRPNGYFLSRGPDHVCSAVTWRALLAFFAKRGLPTGADAAAPVVVHGKPAAPTAQSSDPTTPPCPKRKSGVEVERCPKKSKPQENKSALPKRRHDVLSDEIILGLKRRLRLDDPIPAKKIKQVECGSEIQQPVKFSCGFVNANGMRLRDEETQQPVQFSCGFVNANGKRLRDEEMITSLSCKRVR >Sspon.04G0001170-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:5418550:5440158:-1 gene:Sspon.04G0001170-2D transcript:Sspon.04G0001170-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glucose:glycoprotein glucosyltransferases;transferases, transferring hexosyl groups;transferases, transferring glycosyl groups [Source:Projected from Arabidopsis thaliana (AT1G71220) TAIR;Acc:AT1G71220] MDMVHGELSLADQFVRLKLPQSAAHKILSAPPPAESNSFRVDFRSSHVLYLNNLEEDDMYRRWRSNIQELLMPVFPGQMRYIRKNLFHSVYVLDPASACGAETIDTILSLYQDGVPIRFGIIMYSSRFINVIEESDGTLTNNGEDTSILITRLFLYIKETYSTQLAFQFLSNIHKSRNGEDDYNEEPVEAHQVEGAFVDSLLSNAKSHPQDVLLKLQKENVYKQEAEESSRFVHKLGLYKLQCCLLMNGFVHEASEEATMNAMNDELPRIQEQVYYGHIQSHTDVLEKFLSENSYKRYNPSITGKSAEKKFVSLFASYHQDSSVFNDMKYLQSPGTTDDAKPVTHLLAIDFSSKVGTKLLSEAIRYLMDGSDRARVGLLLYVRTGGSSPILLLKDIFDRTISSFSYKEKVLVFLHGLLKFYEAQPLPASSVVDDWTKNMMEKVYTLAAETALPVDDYKAWFKSFSADTVLKGIDKLSDFLFGQLGLVFGSNAVITNGRVFIMNEGESFLANDLGLLESIEYDLRTKYIFEIIEEVEFAGVDPDDLTSQFYSDIAMLISSSMSVRERTSERAHFEILHAEHSAIKLNNANSSIHIDAVIDPLSPIGQKLAPLLRILWKQIQPSMRIVLNPISSLADLPLKNFYRFVLPSMDDFSSTDHSVHGPKAFFANMPLSKTLTMNIDVPEPWLVEPVIAIHDLDNILLENLGDVRTLQAVFELEALLLTGHCMEKDRDPPRGLQFILGTKQRPHLVDTLVMANLGYWQMKVSPGVWYLQLAPGRSADLYELPPKLIAIDSLRGKLMHIEVQKKKGKEHEELLNAADDYHFQEKTDNKGWNNNLLKWASSLISGDASSKNKADKITDHKDARQGETINIFSVASGHLYERFLKIMILSVLKKTQRPVKFWFIKNYLSPQFKDVIPHMAREYGFEYELITYKWPTWLHKQKEKQRIIWAYKILFLDVIFPLSLRKVIFVDADQIVRADMGELYDMNLKGRPLAYTPFCDNNKDMDGYRFWKQGFWKDHLRGRPYHISALYVVDLAKFRQTASGDTLRVFYEQLSKDPNSLSNLDQDLPNYAQHTVPIFSLPQEWLWCESWCGNATKARAKTIDLCNNPMTKEPKLQGARRIVPEWTGLDSEARQFTARILGDDVESPGAIPPPSETPKAEDKGTDQDVKDEL >Sspon.04G0012370-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:42813188:42818303:-1 gene:Sspon.04G0012370-3C transcript:Sspon.04G0012370-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEAAAGRRPGTNRRRPSGSGREHQRLVALAVAARVAMVTTRSAGPAVAGGDGAGAAGTGAGAAGGSGRCMEDFFDCLLGLLGALGMTWAAARPQRQPRPPLPRGVGAGPAPTDTRRFAAELRATPGRIAGNGACAVASLYTLQGKKGVNQDAMIFWENFCSRDDTIFCGVFDGHGPYGHLVAKRVRDLLPLKLGADLGMEDGRVTSTGNIKLNAHDVASPEHIERGGTAISSEAQQNGEYPEIFPALRTSFLKAFHVMDRDLKLHKNIDCFFSGTTAVAVIKQGRNLIIGNLGDSRAVLGTRNENNQLVAVQLTVDLKPNIPSEAQRIRQRRGRIFALPEEPEVARVWLPKYNSPGLAMARAFGDFCLKDHGVISMPDVSYHHITEKDEFVVLATDGVWDVLSNDEVVSTVSQATSQTSAARFLVESAHRAWRTRFPTSKIDDCAVVCLFLNTNEASESSSSLANNLADAVEVSSAQRSRTIQVSTGASIDVTALVTDGNEVSVVETVTKPVTLMDLPKDG >Sspon.07G0009550-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:23954064:23958791:-1 gene:Sspon.07G0009550-4D transcript:Sspon.07G0009550-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEETARRRALHFSAKPLGTMTRRNGCTIYVGNLPGDIREREVDDLFYKYGRIVEIDLKIPPRPPGFAFVEFEDPRDAEDAIYGRDGYNFDGHRLRVELAHGGRGPSSFDRSSSYSSAGQRGASKRSDYRVMVTGLPSSASWQDLKDHMRRAGDVCFTDVYREAGATIGIADYTNYEDMKHAITIQIPSCFSPA >Sspon.05G0004990-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:9810519:9811808:-1 gene:Sspon.05G0004990-2B transcript:Sspon.05G0004990-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASHHLILLVLCLTAAAASAHNITAILDGRSEYTLYNSYLSETKVCDEINSRSSVTVLVLTNGAMSSLVANLSLADIKNALRLLTLLDYFDEKKLHSIGSSSQLTTSLYQTTGQAAGDMGHVNITDLRGGKVAFASAAPGAKFQSTYTKRVADFPSNLSVLEVSDPITFPGLFGAPSASSANLTDLLEKAGCKQFARLIVSSGVVKTYQAAMDKALTLFAPNDDAFKAKDLPDLSKLTSADLVALLQYHALPQYAPKASLKVASGRISTLASTGAGKYDLTVASSGDEVSLDTGVDKSRVASTVLDAPPTVILTVDSVLLPHVIFGGAPSPAPAPGPAADVPASAPAPEGSAAAPAPKAAGKKKKKKAKSPSHSPPAPPADSPDMSPADEPADDAADKVESKKNGAAAAAVSFAALVASVTLAVALLL >Sspon.07G0034700-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7D:76331415:76336090:-1 gene:Sspon.07G0034700-2D transcript:Sspon.07G0034700-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEPTSGLDARAAAVVMRAVKNVADTGRTVVCTIHQPSIEIFEAFDEAIPGVPKIKDNYNPSTWMLEVTSTSLEAQLGVDFAQVYRDSSMYKNDQQGLFNIMGCMFATTIFAGINNCQSVMPFISIERSVMYRERFAGMYSPWAYSFAQVAMEIPYVLVQTVLFMLIAYPMIGYAWEAKKFFWLLYTMFCTLLYYIYLGMMMVSLTPNVQVASILTSLFYNIQDLMSGYIVPAPQIPKWWLWLYYMSPMSWTLNVLFTTQFGYEDHKKIEAFGETKSITTFLRDYFGFRRELLPLAAVVLAAFPIFFATLFGYNISKLNFQRR >Sspon.08G0006530-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8A:20380713:20381009:-1 gene:Sspon.08G0006530-1A transcript:Sspon.08G0006530-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASWDLHPFVKIKKIKCEEKKMPENGATEYIRPGVQAGHISHGLLRHVPASSTRLRQSVPADRGGRARHELLLLGHALPPMHEGEGQWANHQRTGHGR >Sspon.03G0021450-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:65670423:65679544:1 gene:Sspon.03G0021450-1A transcript:Sspon.03G0021450-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSETESAAVAAAPNPAAAAEPKPRRLRGHKKGAVTCCVASSARPGVVASSGEDGCLCWFDLRTKDVLLTMEAANKPISSICFKPGNEDCVYISAGNEILSFDVRMIAVSSKGFLAAADDSGDVK >Sspon.06G0010710-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:58223553:58226184:-1 gene:Sspon.06G0010710-1A transcript:Sspon.06G0010710-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATLSSVAAPSLPKHGSIIRAQQQAPPSIISASTKTAFHGVSLVDRRWAAGHRRGGGGRRRLLQVNARTAAKNIEVEVDKPLGLALGQKPGGGVSVESGGNAARAGLKAGDQVLYTSSFFGDELWPADKLGFTKTAIQAKPDSVYFVVSRGAEVDVKRLPKRPAPPRATHICLDCGFIYFLPKPFEEQPDEYGCPQCNAPKKRFAKYDAATGKAIGGALPPIAVIISFVIGIAGVGALLVYGLQ >Sspon.05G0019370-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:84635092:84637600:-1 gene:Sspon.05G0019370-2B transcript:Sspon.05G0019370-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWPWLLPFGLFFVASVAQAAVVEHTFNVGNLSISQLCQPARIITAVNGQLPGPTLEAREGDMVVVHLVNESPYNMTIHWHGVFQRGTPWADGPAMVTQCPVKPGANYTYRFNVTDQEGTLWWHAHISFLRATVYGALVIRPRGGAGAYPFSPKPHREETVILGEWWNANVYDLQQLVFLTGDTTRMPPADAYTINGKPGDLYNCSAANQTYKFQVRSNETYLLRIINAALNTPMFFKVANHTFTVVGADAAYTTPYETDVVVIAPGQTVDALMVAGAAVGRYYMAASPYDSAIPQGPLFSMTNGTAIVEYAGSAGEEQPQLPPRTEYNDTDTAFRFLSNLTALVLPGKPTVPLSVDTRMFVTVGLGNGDCQPKQTLCNTTGTRPPIFSASMNNASFLLPDAVSMLQAHYNKSAGVYTRDFPDRPPVIFDYTADDNDTLKYTTKSTKVRTLRYNETVEMVLQNTRLIAKESHPMHLHGFNFFVLAQGFGNYDEAAAAPGFNLVNPQERNTVAVPTGGWAVIRFVANNPGMWFMHCHFDAHLDLGLAMVFEVQDGPTAETSVPPPPLDLPQC >Sspon.04G0013100-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:45568287:45582303:1 gene:Sspon.04G0013100-1A transcript:Sspon.04G0013100-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MWSETAMLVIDMQKEFVDPAMGSPALLPGGDAIIPAVTEAVAVARERGIFIVWVVREHDPTGRDVELFRRHFYSKGNGPAVKGSKGAELADGFVIKDGEYKLVKGRFSSFFATNLDSVLKTSGIKNLVVTGVQTPNCIRQTVFDAVALDYEKVTVIIDATAAANPEIHLASVTQFFTSTDTYKVVREHDPTGRDVELFRRHFYSKGNGPAVKGSKGAELADGFVIKDGEYKLVKGRFSSFFATNLDSVLKTSGIKNLVVTGVQTPNCIRQTVFDAVALDYEKVTVIIDATAAANPEIHLGE >Sspon.03G0027730-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:5495097:5495499:-1 gene:Sspon.03G0027730-3D transcript:Sspon.03G0027730-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPPPPPPIRREAWEGCSVLLDINDGDRLAFFRLTPGATVKIGNKTCSLQPLVRRPFGSLFRLDADGLVPCAAGDATSR >Sspon.03G0043560-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3C:82000069:82001624:-1 gene:Sspon.03G0043560-1C transcript:Sspon.03G0043560-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VGHVAAIERCWHKSNGRWQRLSVVRSPPKFAQLPPPNGLVACGSVGGLWLRRSSQEDCVRLRRRFVRGIVLTPQGSRRATLVERVIELPHLMRNHLPTVTVVRRNSKEDDFVARLAQTVGYGSGGLDRGTEWGRTWWQDELGNGGARRMNSSSSSLRRRWRWADGKRRKWCSGAK >Sspon.02G0027350-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:99056370:99069516:1 gene:Sspon.02G0027350-1A transcript:Sspon.02G0027350-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGPKRSQLRVRLRVTARRRGADGDGAGGAAGPGGRKRRMEAPVLNSAAKLQRREIGGRQLAARGGGPAAAVPERFRNMQLQVRSGTANEEFDTYDDNAHLFVKLHPDEVIRSLFYNKNNDSLITVSVYASDNFSTLKCRTTPIEYIRRNQLDAGFPLFESESLKWPGFVEFDDVNGKVLTYSAQDGIYKVFDLKNYSFLYSIPDENVQEIKISPGIMLLIYDRTPSYVPLKILSIEDGKPLKFFKHLLHRNKKIDFIEQFNEKLLVKQEDENFQILDVRSSELIEVSVSKFMTPSAFIFLYENNLFLTFRNRTVAVWNFRGELVTSFEDHLLWHHDCSTNNIYITSDQDLIISYCKSEAVAEDGTVIPVGSINMSEIMTGKCIAKITANDPALSVAPRRNGRNKLWSTVPEALEDVTALFYDEDRNEIYTGNSHGLVHDELDMYDDNTDLFVELPYLKRRSKIVEIVLDAGLPLFESESLKWPGFVEFDDVNGKVLTCSATDGIYKVFDLKNYSFLYSIPDENIQDIKMSKGTILLIYDRAMSHVPLKILSIEDGKPLKSLRVRLRVTARRRGADGDGAGGAAGPGGRKRRMEAPVLNSAAKLQRREIGGRQLAARGGGPAAAVPERFRNMQLQEEFDTYDDNAHLFVKLHPDEVIRSLFYNKNNDSLITVSVYASDNFSTLKCRTTPIEYIRRNQLDAGFPLFESESLKWPGFVEFDDVNGKVLTYSAQDGIYKVFDLKNYSFLYSIPDENVQEIKISPGIMLLIYDRTPSYVPLKILSIEDGKPLKFFKHLLHRNKKIDFIEQFNEKLLVKQEDENFQILDVRSSELIEVSVSKFMTPSAFIFLYENNLFLTFRNRTVAVWNFRGELVTSFEDHLLWHHDCSTNNIYITSDQDLIISYCKSEAVAEDGTVIPVGSINMSEIMTGKCIAKITANDPALSVAPRRNGRNKLWSTVPEALEDVTALFYDEDRNEIYTGNSHGLVHFLA >Sspon.04G0007230-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:20704145:20714621:1 gene:Sspon.04G0007230-1A transcript:Sspon.04G0007230-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPMAVAVAAPTPSPFVASTRRFAPRLRSLLPAAAMSSAAVSASAPSPSSGTGEEAQAAPLPHSTLEIAGARRGLLSGFASLRAPYRAFPVLASNRHVETIFAALTRSLPAVKLRRECLRAPDDGAIALDWVSGDDRALPMDAPVLILLPGLTGGSDDTYVRHMLLRARSKGWRVVVFNSRGCADSPVTTPKFYSASFTGDLRQVIGHILGRYPQSNVYAAGWSLGANILVRYLGEETDKCPLSGAVSLCNPFNLVIADEDFHKGFNNVYDRALARALTTIFKKHALIFEGLEGEYDIPKAANARTVRDFDEGLTRVSFGFKSVDDYYSNSSSSDSIKNVCIPLLCIQADNDPIAPSRGIPRDDIKANKNCLLIVTPQGGHLGWVAGDEAPFGCPWTDPIVMEYLEYLENEKDSSTKNNISYEQQGVSEASVPHLTVHGQR >Sspon.08G0011360-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:49559453:49564752:1 gene:Sspon.08G0011360-1A transcript:Sspon.08G0011360-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLKVPATVPPVADDCEQLRKAFQGWGTNEALIISILGHRDAAQRRAIRRAYAEAHGEELLRSITDEISGDFERAVILWTLDPAERDAVLANEAARKWQPGNRVLVEIACTRTSAQIFAVRQAYHERFKRSLEEDIAAHVTGDFRKLLVPLVSTYRYDGPEVNTRLAHSEAKLLHEKIHHKAYSDDEIIRILTTRSKPQLLATFNHYNDAFGHRINKDLKADPKDEYLKTLRAIIRCFSCPDRYFEKVARQAIAGLGTDENALTRVITTRAEVDLKLIKEAYQKRNSVPLERAVAGDTAGDYESMLLALLGQ >Sspon.02G0012030-3C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:35679928:35681448:1 gene:Sspon.02G0012030-3C transcript:Sspon.02G0012030-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MESAMFPGCCLAAVLALAALAAAAASGEGSETTTSWHVVSVKSLLPNTVCTATKGPAAPSSSALTVMHRHGPCSRLQSRGGGAPSHTEILRRDQDRVDAIRHKVTAVTAAPNPNKGVSLLADWGKSLGTNNYVTSLRLGTPATDLVVELDTGSDQSWVQCKPCADCYEQHDPVFDPAKSSTYSAIPCGARECQELGSSSRNCSSDRKKCPYEVAYDDDSYTVGDLASDTLTLSLSPADTVRGFLFGCGHSNAGTFGEVDGLLGLGRGKASLPSQVAARYAAGFSYCLPSSPSAAGYLSFGGAAAVPANARFTEMVIGQDPSSYYLNLTGILVAGRAIKVPPSVFATAAGTIIDSGTAFSRLPPRAYAALRSAFRSAMGRYRYKRAPSSTIFDTCYDFTGHETVQIPSVALVFDDGATVHLHPSGVLYTWNDVSQTCLAFVPNHDEADLGILGNTQQRTLAVIYD >Sspon.04G0030500-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4C:1723947:1725514:1 gene:Sspon.04G0030500-1C transcript:Sspon.04G0030500-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASDCLPARLLLVVMLSSPGVSIVVCSAPEAAAVTAAWQKTRESNAMPSKRTDNETSFALHCKQTELKHRWMDDEAIRECMGVVIRLDRLINVSRRGADRSSGQRQRRASWEENDDEVASGVERAAWRPFGAKCFVYAGSTYVQFTMCTYRAPCHQHTSYGLVL >Sspon.07G0000710-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:1043412:1046529:1 gene:Sspon.07G0000710-3D transcript:Sspon.07G0000710-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGDRDRMRLRAAALTLHDADGGARDKPDRKADVFADLGSPVSPLRLRPAATPSSSSSSAGSAKSPAPGNAAAGKGGRGSHSGELAPDSTNWPCPPGHRRSGSGPLIFSGASSSAGSVGGGAGGGGSGSTASSPLTNALPTGNICRSGSVAGAAAAATQPPRARPVVLGSGTGHYGHGSIMRGGGCGSGGGATPARTSVDAAPLLHSNNSSRSPARCPAPPATSGGLQEITRAGNEWYKKGRHAEALRHYDRAVALCPDSAACRGNRAAALIGLGRLAEAFRECEEAVRLDPASGRAHGRLAGLCLRLGMIEKARTHFTLAGSVNQSDPAEWQKLHEVEIHQGRCMDARKIGDWKSALREADAAIAIGADSSRLLLALRSEALLRLHKLEEADSTITSLLKLDNAPLPSIPTKVSGMSADSYVHIVQAQVNMAFGRFDSAVALAEKAKLIDRVNSEIEVILNNVRLVAMARAQGNDLFKAGKFAEASVAYGEGLKYEPSNPVLYCNRAACWSKLGRWAKAVEDCNEALRVQPNYTKALLRRAASYAKLERWADCVRDYEVLRKDLPGDTEVAESLFHAQVALKTARGEEVSNMKFGGGVEEITSLEQLQDAIYSPGVSVLYFMATTNKQCAQITPSVDSLCSECPAVNFLKVNVDESPLLARAENVRVVPSFKIYKDGTRVKEMICPSLQ >Sspon.02G0010120-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2B:26929129:26929413:1 gene:Sspon.02G0010120-2B transcript:Sspon.02G0010120-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MACWNTLHLLVPGGATTFQILEAFKTQLRLPFFMEIVITMCWSIWTVRNDVVFRGMSALVQPCKSIFRTEFALVILRAKTALHPAIDLWLEAYV >Sspon.03G0029090-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:11945762:11948288:1 gene:Sspon.03G0029090-2D transcript:Sspon.03G0029090-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMLPLPTAGAVAASPVTAAGVAAPLRPRPLRLIATPAITASSSPSTSTSAISNASPAGHSRKHLSGREGSPSKPTKPRVFFLDVNPLCFRGSQRSLGAFARWLALFFAHVSLRDPVVAVLDGEGGNEYRRRLLPSYKAHRARGVGTGADTRVVDVLRQCNVPVVRVDGYEADDVVATLTDQVLQKGYRVVIASPDKDFKQLISDDVQLVMPIPEIGRWDVNVQFDDNWLSMRNTSNDTNVLADFILKFNGEGRS >Sspon.06G0025850-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:73076856:73090938:1 gene:Sspon.06G0025850-1B transcript:Sspon.06G0025850-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPAADSRSGSGLVTDTVSACDWSRLPEDMLVSVLGALHVADAIHSGAVCASWHAAYVSFRRLRLPSPRQPPCLLYASDAVAPGAAALHCPSTGATLRIPYPRGPLARRPLLGSGHGWLVTADEASDLHLLNPVTGAQVALPPITALHHVERGADEQGDPAYLVYENLSVYSFSKHRCEVNTKPTILEMDDAYECMYFRVVLSAGPSAGRGCVVLLLHMPLGEVSFARLGDDRWTWVAPGDDDDDTGLPSRYGYRDAMYSAADGLFYLLGLDASMCSLDLNGPSPVARKILDSVPKSVDASKYLVQTPAGDILQVWRSREEVDSEIPAAYPPDYVVDDTIAGQDPCLELNTIEMQLYKVDIHGHRVELIKSLPEYALFLGYNGSLCIPVKDFPGLKPNCAYITDDSMEYINFWKHNRREIGIWSLAEQSMSGSGSGPIAGDTRDWSRLPEDLLVSVLRALHVADAVRSGAVCTSWNAAYAAFRRFRVPSPKQPPCLLYASDALGPGAAALHCPSTGATLRIPYPRGPLARRPLLGSGHGWLVTADEASDLHLLNPVTGDQVALPPITALHHVERGADEDGDPAYLVYEILPEYNYSERRFVVDTEPTILPVDRAHEFMYYRVVLSASPSAGRACVVLLLHMPRGEVSFARLGDDRWTWVAPGDDDTGLPSRYGYHGAVYSAAHGLFYLLRLDASMYSLDLNGPSPVAPKVLNYLPNSVNPTKYLVETPAGDILQVWRKKKYIHSLTPVYFPPDYVDDGERGMDPCLELNTTEMQLYKIDLHGQRAELIKSLPEYALFLGFNGSICLPVKDFPGLKPNCVYMTDDSIEYVNYNKFNRREIGIWSMAEHSMSKLIDVMFDKQEGIRYQKYSQR >Sspon.04G0029010-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:69657099:69658013:1 gene:Sspon.04G0029010-1B transcript:Sspon.04G0029010-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLDLPAADYVVVGRHVAATVLLQSDKVEGLGVAASFMSEQSLLYLGLLGEYSRATRKRHKVPFHCMHQEPIWFPCSEVSFAGAQSRLGFLGGSAATPDLGLCHI >Sspon.03G0033100-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:45272585:45282432:1 gene:Sspon.03G0033100-1B transcript:Sspon.03G0033100-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding IMQFLETQGFLDYLERCNSAEENAHNLLDKLQDATGRGQNPLIIFPSQVADPEIITIANPEIEGSEPDNRHCYKRFPANARTEEQEEKRKSILALASGASKQVPSSPSIRVNGGPKAESLSPRERAAERERMVLDIKVKLQSLRACNSRARGQSGGGAGSARDGSGGARVREGAREDRGREFLRARAVARSRTRAYGRAGSQAARGLWLRLLRLGATEDPLSSFEYGTILALIESDAEGIGEVALLNASESIFI >Sspon.06G0008500-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:44824521:44826651:-1 gene:Sspon.06G0008500-1A transcript:Sspon.06G0008500-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRTVSGLLVTKGGSILVFREESPRHKAAACCTRLGCSSKLFPNKDRKTHRASMETSALQRSQVLRKSNRMSPQGSISYDRSTCRNTASAFSETDNIPRRKENPGCDLLARLKERVNASRKRSMGGLGSPNISSANTSSSSRSISRSICRPASRMRKDVGRGAEAMRMHKARESSGSSREDVLTRNSNQDPSDRFLSRSLLRRRSRLQQGPISSFEDTLDDSSEYWHFDMDDSEEEEYSSGVEVAKMACKHYYHTTCIQQWLQQKNWCPI >Sspon.06G0008640-1T-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6A:45560218:45561155:1 gene:Sspon.06G0008640-1T transcript:Sspon.06G0008640-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRSLAVLAVALALLQAASAKSWLGAKFTTDGTVREGYDASGQKVVMLSLDQHSGAAGFNSKEQFLYGEFSIEMKLIRGNSAGTVSCFYLSSGDGDGHDEIDMEFMGNSSGQPVVLNTNVWANGDGKKEHQFDLWFDPSADYHTYTIIWNPENVLFKVDNLFIRSFKRYADLPYPSSKPMTLHATLWDGSYWATEKGKVPIDWSNAPFVVSYRSYSANACVSGGACRAGSDGWMHRQLDSAEWGTVRWAEKNFMRYNYCQDGWRFPQGLPAECNRN >Sspon.02G0042680-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:85634698:85640683:1 gene:Sspon.02G0042680-1B transcript:Sspon.02G0042680-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRYLAGRIGVPAIRRASGSRLAQPAGTPRCLSSSSHQEAKQMWQQAHVYRSNRPGRAGGRGSNIAWWQQQ >Sspon.03G0001840-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:26161663:26165684:1 gene:Sspon.03G0001840-2D transcript:Sspon.03G0001840-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVWVNGGVSANVNNQHVVETELVKRYCRKIDEILGLLKLVLDEVLPQITLDDRKILVLEELDATINDAIKLVGSWDLMMSKIYFVIPLSLPFTTVIHIRQLIAYTMLSYLICCIILANYYMKVMQVESLITKMQNYVLEVCQVVNSEKIKQFQCEKIMGVIKEASMDIVRKFMPKSETLTNIQVSLSLSTNQELLMEAVALAKIRTRVNAEDSSELDGINHISELVNHMLEKHVEEKQMHSINGVPIPTDFCCPLSLELMSDPVIVASGQTYERVFIRKWLDLGYNVCPKTRQTLGHNNLIPNYTVKQLIENWSEINGVVLPDPVKLLSLSFSVSLKPINDRTSDKSPSSENSPRTNKFGSPDHMISSDDSCHPNLLHENSDSDDKSPRLHLPKTQMILKLILRTTKANKLICNATIDGSEALKQSRKDGFHASDVEQHLQSNGISSDIGTSASSSSNHLEVVEKNKGEQISNNNIASETTRNGPMATCSKPNWLPRLGGVRSRNQLVWQQQSDKVVPMESRSDFASADNEVRKLIEDLKNECTNLQRAAIGELLVLSRHNMESRIAIANCGAIPFLVNLLYSADPSMQENAVTVPLNLSLDDNNKIAIASADAIKPLIHVLETGNPEARANSAATLFSLSVNEDNKAKIGRSGAVKPLVDLLQDGSAQGKKDAATALFNLSIFHENKARIVEAGAVKHLVELMDPAAGMVDKAVAVLAILATVQEGRNGIAQAGGIPVLVEVVELGSARAKEHAAAALLQLCTNNSRFCSLVLQEGAMPPLVALSQSGTARAREKAQVLLSYFRNQCQVGKVVSTTVFN >Sspon.07G0019440-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:71133314:71134198:1 gene:Sspon.07G0019440-3D transcript:Sspon.07G0019440-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSPCCEKTHTNKGAWTKEEDQRLVAYIKAHGEGCWRSLPKAAGLLWCGKSCRLRWINYLRPDLKRGNFTKEEDELIIRFHGLLGNKWSLIAGRLPGRTDNEIKNYWNTHIKRKLLARGIDPKTHRPLGVSTSTAAASSHRQDQDHLLSARSSCSPETSGDDDSTSAPHDGGGIDLNLSISPPRQPPSPSPPPLPTRQEAEATSGGVILRDANSSEITTTPKESGTSSSSERKTICLCLNRL >Sspon.03G0015500-1P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3A:49424949:49425858:1 gene:Sspon.03G0015500-1P transcript:Sspon.03G0015500-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MANLFLKQAKQYVATRPVYPPELFDFIASKTPRRDMAWDVGTGNGQAAASLATLYKAVVGTDTSAQQLAYATRLPNTRYVHTPPDLPLEGIHATVAAPASVDLITVAQAFHWLDLPRFYAQARSVLRPDHGVLAAWCYTEPRIDSAVDAVFWRLYHGSEKYWALNRRMVDDEYRSADFPFDPVEGETHTGPFEFSTQRRMDLDDYLMYITSWSAYQTAKDKGVELLDEATVQEFTAAWGGDVKEVKTV >Sspon.07G0000520-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7A:1187447:1189247:-1 gene:Sspon.07G0000520-1A transcript:Sspon.07G0000520-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAASSSKPETSPLLNSLPKELPAEFLKDITDGFSPARQLGKGAFGTVYRGILKDGTEIAVKRIGSGSPVTPDKQFQNEVGNLMAVRHDNIVKLVGYCYEMKKTVVEHNGRYILADTVESLLCYEYMKMRSLDNHIFAPSTLDWATRFKIIKGICQGLHFLHKGMDQPMVHMDLKPDNILLDENMAPKIADFGLSRLFGAEQTRMHTLNVVGAHGYMAPEYLYRGEISTQLDIYSLGLLIIEITTGERNPRSKDDMSARNLVENVRQNWTIEHIVSKYSSLDANSLQQLKVCIEIGLECVEIDRKKRPSIEHIVNKLDERL >Sspon.07G0034320-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7C:72192688:72193620:1 gene:Sspon.07G0034320-1C transcript:Sspon.07G0034320-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GPGCSSLGYGAMEEIGPFRANSDSKTLSINKHAWNSVANVIFLESPAGVGFSYSNTSSDYERSGDEKTADDAYLFLINWLERFPEYKGRPFYISGESYAGHYAPQLAVTILLLNAYNNNKTIINLQVGNPFLDNYWNSKGLIDYFWSHGVMSDEVFENVSRNCGYSNNNLDMDTASSSCADAWHAFDKGEIDPYNIYAP >Sspon.05G0018540-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:79484326:79486559:1 gene:Sspon.05G0018540-2B transcript:Sspon.05G0018540-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVFSGDETAPFFGFLGAAAALVFSCMGAAYGTAKSGVGVASMGVMRPELVMKSIVPVVMAGVLGIYGLIIAVIISTGINPKAKPYYLFDGYAHLSSGLACGLAGLAAGMAIGIVGDAGVRANAQQPKLFVGMILILIFAEALALYGLIVGIILSSRAGQSRAD >Sspon.03G0009540-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3A:25956769:25957032:1 gene:Sspon.03G0009540-1A transcript:Sspon.03G0009540-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQGRHTSIPTDLEDHGRFSGGSAEEWGKLEEGGIAGRNVQSPFFLRGSSYGTGVSPIHCELGTTSSHFLCGPHIRHDPGSSHCGCS >Sspon.07G0020380-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:75915862:75918375:1 gene:Sspon.07G0020380-1A transcript:Sspon.07G0020380-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MERFGVLGTRLGLDGVVGGGGGELPPGFRFHPTDEELITYYLLRKAVDGSFCGRAIAEIDLNKCEPWELPDKAKMGEREWYFYSLRDRKYPTGLRTNRATLAGYWKATGKDREIRSARSGALVGMKKTLVFYRGRAPKGQKTHWVMHEYRLEGTYAYHFLHSSTRDEWVIARVFQKPGEVPPARKHHRLGGLSSAGGGESCFSDSTSASIGGGGGGASASSAPRPLPLTVTDASSLSLFASAAAANAADGDSSSYCGGAANNANNGNNLVTGRELVPCFSTSTTTGAGGLDAAALGIGQPYNAAVPLPLAFEPPPPTPAFFPNLRSSLQLQVQQDNNLELPLFLSAAGGLSAATLGMGSMGGGALHHWPLAGMEVKVEGRSAPPQMAVGPGQLDGAFGWGY >Sspon.01G0034990-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1B:6596493:6597155:1 gene:Sspon.01G0034990-1B transcript:Sspon.01G0034990-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPNSTTFSDDVWAELRLADARDVPHIHRLIHQMAEFELLTDLFAATEELLISTLFPSPTPPPFTSFTALILDLSPSSMVPDSSSTIASRRLDLSASPLADPEAAAFASPRGGGRVTAGFVICFPNYSTFLSKPGLYVEDIFVRAPWRRRGLGRMMLSAVAGRAAELGMGRVEWCVLDWNKNAIDFYEGMGADVLPQWRICRLAGAALDKYKGSQEEAA >Sspon.07G0005720-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:10001518:10002909:-1 gene:Sspon.07G0005720-3C transcript:Sspon.07G0005720-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ALIQKGPFVDIRRALEILERVACSIKFNEPILLVGETGSGKITTVQNLAAWLKQPLTVVNLSQQSDISDLLGGFKPTDARSICFPLYMEFKDLFCRSFSAKDHERILWHCAECVMKKNWIKLLHTLARCVERAQKLIEGISKSSSGSKRKRPLPAHVVSDWDTFSSKLNIACSQIGSATGMSFQFVEGAFISALRKGHWILLDEVNLAPPETLQRIGAVLDGERGTLCLAEGGDVDYVERHPCFRIFACMNPATDAGKRELPYTFRNRFTEYFVDDPYG >Sspon.07G0023020-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:12388696:12389297:-1 gene:Sspon.07G0023020-1B transcript:Sspon.07G0023020-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding WRPQRWPSPPPCAPPQGVAGGRSERRRRRAGGCGRPWPRRRASRSRRARRRSRSPRGPSPARTSRRPGRARVAPRGDRRRPGRSRSTRTCSRPRSQPSPRCARLLETPFSFSLPAESLLTKTKPLHRSAPSSSTPTSGRCSGSSAPTAPCTRRSWASSSSPASRPPYVSQICAAHSSHCSACFVAD >Sspon.05G0024580-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:16636773:16642391:1 gene:Sspon.05G0024580-1B transcript:Sspon.05G0024580-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAPSHSLTLRPVATTVIEGGYLEGNKGLSNWDIFTHKQGTVEDGTNGDTADDHYHRYMEDIELIHSLGVNSYRFSIAWARILPKGRFGNVNPDGVAFYNAIIDALLQRGIEPFVTISHYDIPYELEKRYGGWLSPEIRRDFGYLADVCFRMFGDRVKFWITFNEPNIFAKLSYIYGRYPPGHCSRPFGNCTSGNSSTEPYIAGHNMVLSHANVVSIYKKKYQGKQGGHIGITVLSRWFLDPIILGDYPSAMRKILGPNLPEFTSKQKKVLQASKLDFIGLNHYSTSYLKDCISLSPCELDPFDGDAQISTSTERDGILIGERTGSPYLNTVPYGMEKVVLPKQAIATCLPRILLMTQEESIIFNQGYLTFLASAIRKGADVRGYFVWSLLDNFEWNSGYTQRFGLYHVDYKTQKQTL >Sspon.01G0053060-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:30040044:30040992:-1 gene:Sspon.01G0053060-1C transcript:Sspon.01G0053060-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDSKKIVLKVDVVGDGCKAKAMSTVAKFQGVKSVAVDGEGTLTVVGEVDVVRVAKALRKARFEARILSVGPEKQPDNKKPDEAAKKPAAGEEAKKQPPPPPPCCAGCSACRPPLVPVPAHAYPFPGAVVCYEEQAAGNGCVIL >Sspon.08G0022880-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:51645707:51646583:1 gene:Sspon.08G0022880-1B transcript:Sspon.08G0022880-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSAGSTPTVRAARQQQRGAGEAESASSPVFLNVYDVTPANGYARWLGLGVYHSGVQGTFFHGVEYAYGAHDGASSGIFEVVPRRCPGYTFRESVLVGTTELSRAEVRALMSDLAAEFPGDAYNLVSRNCNHFCDAACRRLVAGRARIPRWVNRLAKIGVVFTCVIPGNGRAVVRRTPSSASAAGKGGGGVRSRSTRQEASPAPPRPRTFFRSLSVGRRKNLTMPRPLSASPPPPLPLADASTSTSSGST >Sspon.08G0018890-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:8992185:8992610:1 gene:Sspon.08G0018890-1B transcript:Sspon.08G0018890-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding HEAPAPASLPRGRSRRLRRRRVPATPAGHRGGVRQRQGGRALRRAAAGRRRARAGGPRARLRRRGRRARGAVRRARRAPRPPGLRRAAPPRRAGVRVRPPRRAPHPLPRRRLPRPPRPALLLRLRLRRRGPPRRRRGALLLL >Sspon.02G0007280-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:15418133:15420402:-1 gene:Sspon.02G0007280-3D transcript:Sspon.02G0007280-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSCVKGAGRSNPGLDLTSNATPPGEQTTFKWIIDGFSSLLDKDQGWTYSNVFEIMGVKWYLKLNPKYKKIIGKEEYVSLRLELPQASVKLDTIVEASFKLMIYDQSNGKHKEQLVNHSFQTASMSSGISCMLPLKTLNKQSSGFLVNNSCIFGVEFIKVATIKANTTLETLFVRKMNVFNEAKVYTWKIEDFFALKNQSYSPEFEIGGYTWSITMYPSCDSNHLSLFLKMKKTNDVPKHSGNLVEFTLSIKDQENSKDKKLPGRCQFSNQYPCWGWNKFISLEDFKDTSKGYLIKGKCCVEAEVAINGSSKTEHSQ >Sspon.08G0003460-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:5982175:5982465:-1 gene:Sspon.08G0003460-2B transcript:Sspon.08G0003460-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MCNGRECGRERAFRASLHEHDARPGPGPDAAAAAAAASACRRDAKAEAETVATLRAKGRARTVAGEEREREWEWRQREAEIERTEKLMHLLLWGPN >Sspon.05G0035180-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:69609681:69613251:-1 gene:Sspon.05G0035180-2D transcript:Sspon.05G0035180-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MENMGPRSPEMGKKALDLTGDRELRVREERENFGCQFSSKYQGRRGELSIILAKKKLGLDWANHLGVILASSMDDFTFPTFHEGGQLLPSAAFRHQLGGLPFPWFLSAAGEEEEEEEEKMDMLWEDFNEELASVPPLCPLSPVINKGALAMEAAGWLGDDGEMIVVDLEKHGKHPRPQPQDGRVVRRRKWSLRLMLRLLKKLFLVKKSRNPRTAPAPISSDLI >Sspon.07G0007910-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:21280019:21281961:1 gene:Sspon.07G0007910-1A transcript:Sspon.07G0007910-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probably inactive leucine-rich repeat receptor-like protein kinase At5g48380 [Source:Projected from Arabidopsis thaliana (AT5G48380) UniProtKB/Swiss-Prot;Acc:Q9ASS4] SMTDHLALGLGALLLLLLSTSCFSSELDVQCLRDVQKSVIDPNGILKSSWIFDNGTAGFICKFTGVECWHPDENRVLTLRLSNLGLQGPFPKGLKNCTSMTGLDLSSNNFTGAIPSDIEQQVPMLTSLDLSYNGFSGVIPTLIYNISYLNTLNLQHNQLSGEIPGQFSALSRLQVFNVADNRLSGTIPSSLRNFSASNFAGNEGLCGPPLGDCQASAKSKSTAAIIGAVIGVVVVIIIVVIVVFFCLRRIPAKKKAKDDDDNKWAKDAIDKSLVGKGADSELMQFLKVACSCTLATPKERPTMFEVYQLVRAIGERYHFTADDDLVLPPLSTDGDGVTLDELIV >Sspon.02G0015770-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:43009031:43010364:-1 gene:Sspon.02G0015770-1A transcript:Sspon.02G0015770-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGNSGSLQSSSGGGDDEFDSRGGGGVDSSPLSALLRPSPSPSLAAFSLHGSYFGLQEFTSAPPQAAAGGYLVIGVVPRRLGAVLLLGAAMGGAPAPAAQPPRGSRKRTRASRRAPTTVLTTDTSNFRAMVQEFTGIPSPPFGAGVGVGGPAASLRTRFDHIFPPPSSSLRSAAADATASLPPTTTSSSSSSTPSSSNIGVANANAATGTAATAAAAPSNPVAPTAAGDTFQQLTSSALLRLQQDPSSYLSFQNLLDSQPSSQSIFGAAAGGFGQAASRLHDPAPSPSDFLASGSLGGLTHGGLLAGSEGLHLHHSRSDDVHGHGGDELSGVVAAGASSGSCKLNYSSHAGAATSSSAGAASADKPPDGGAGGADGRPGRGEGLDPWICTS >Sspon.01G0023560-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1A:84815043:84815681:-1 gene:Sspon.01G0023560-1A transcript:Sspon.01G0023560-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSYDEPPQVTSSPISLVPSSPSSPTSAYRRCNQPAGCCRNRPWFLCHRFSPTGYQVTARRCCRSRTCSQRCTQHCSRSSSERTSQYSQCSFTKCRLWCGCRLLRAVSEHGGGCRRCARRCRRRSWWRYGYRLQHVDSWRDGRRGRCVGCSWCLCPVPLCFATRLRFATWRAPISSVVSWRSGVASPPRVANSLSTAPAFGDAGVWPPIGRP >Sspon.01G0045900-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:95089157:95090554:1 gene:Sspon.01G0045900-1B transcript:Sspon.01G0045900-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable xyloglucan galactosyltransferase GT19 [Source:Projected from Arabidopsis thaliana (AT4G22580) UniProtKB/Swiss-Prot;Acc:Q9SUW1] MAARLLVLMLLLCSVVIIAASARKKKNTSLPLPVPDGPDPCAGRRIHIRRLPASFNTHLLLYCATAFPLADQDSKSVPACASVANHGLGPRTHNGTRSWYRTDARLLEPFFHRRLLEHDCLVPRPAQGDAVFVPYYAALDALPYVLHPDLLNSSALHGLPLARFLARHQPRVLARRHGHDHFFLLAGTAWDYSQPHDADPRWYGTTSLLRLPELANFTVLTLESRAWPWQEHAIPHPTSFHPSSLPRLRSWIARARRSRRTALMLYAGGVSRPSRPNIRGAILAECANRTSAVCTVVDCSAAACGLNPVAYMRPMLKANFCLQPPGDSPSRRSTFDAIVAGCIPVFFEHAAARAHYGWHLPRGRYDQFSVTIPKESVVMGDVRIADVLAAVPEDKVARMRERVLEMAPRVVYRRHGSAADLREATKDAVDLAVEGVLRRIRRRVSALEDGQPDAIYELEDDDDDD >Sspon.01G0037380-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:21277718:21281624:-1 gene:Sspon.01G0037380-1B transcript:Sspon.01G0037380-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl hydrolases family 31 protein [Source:Projected from Arabidopsis thaliana (AT5G63840) TAIR;Acc:AT5G63840] MDPPPPAAAPPPAPRRGFPAARAWKKDEFRNCNQTPFCKRARTRAPHSLDAPLSLAAGSLAVSPDGSISAELSHQSRPRPLVLRLSALPPHALRLQIDEDYSTATPPHRRFHVPDTAAGVSTVALSSDLDVVVKHDPFELTVRRAGSGDPVLSFNSHGLFDFEPMRESKPEDETWEEHFRSHTDKRPRGPQSITFDVSFYGADFVYGLPEHGSTSLALRPTRGPGVEESEPYRLFNLDVFEYLHESPFGLYGSIPFMIGHGGQASSGFFWLNAAEMQIDVLAPGWDGATAQENGRIDTLWMAEAGVVDAFFFVGSEPKDVIKQYISVTGTPSMPQQFATAYHQCRWNYRDEADVDGVDAGFDEHDIPYDVLWLDIEHTDGKRYFTWDRSAFPNPEEMQRKIADKGRKMVTIVDPHIKRDSSFHLHQEATDKGYYVKDANGNDYDGWCWPGSSSYPDMLNPEIREWWADKFSYENYKGSTPTLYIWNDMNEPSVFNGPEVTMPRDAMHYGDVEHRELHNAYGYYFHMATADGLLKRGEGKDRPFVLSRAFFAGSQRYGAVWTGDNSADWDHLKSSIPMVLSLGLTGLPFTGADVGGFFGNPEPDLLVRWYQVGAFYPFFRGHAHHDTKRREPWLFGEQRTAIIREAIHMRYSLLPYFYTLFREASVNGIPVMRPLWLEFPDDKETYNNGEAFMVGPSLLAQGIYEEMPIFMQGQKSVSVYLPGKESWYDLRNGSPYKGSVTHKLQVLEDSIPSFQRAGTIVPRKDRFRRSSTQMVNDPYTLVIALNSSGAAEGELYVDDGKSYDYQQGAFIHRRFVFADNKLTSFNIAPDNLGKKFTSDCVIERIIVLGLRSRAKKAIIEPGNQEVEIESGPISLRSGSSPVAPTIRRPNVRIADNWTIRIA >Sspon.04G0006570-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:18882243:18884835:-1 gene:Sspon.04G0006570-1A transcript:Sspon.04G0006570-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFCPVCGMLLQIDPGTGSHRLRLFCPVCPYVCPIKNKIVKKARLVKKEVEPIFSTADEMKSAPKTAVTCQRCNHGEAYFKQMQIRSADEPMTTIYRCCKEECQHEWRED >Sspon.05G0008680-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:25930338:25932001:-1 gene:Sspon.05G0008680-1A transcript:Sspon.05G0008680-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLLPRLCMATGTGTGDGDREGNGNSSKLSTAVSSSSSTVSTSSAAAAAVSEASSSSTSLPSLPSLSSATSASLAASFAHVTTLLPLSASSAAVVAAAAGDCLHGGLVVVARPACVALHDLSTLEATSTSDAADAAAAAGSVKCVAHLHGGGGAAAAAVTGHQDGRLRLWRVSSRSPARLRLAAALPTVSDRLRRFPVPSNHVTVRRHHRRLWIEHADAVSGVAASADGRLLFSVSWDKTLKVWALPSLRCLQSLPAHDDAVNAVAVAPDGTVYTASADKRVRVWAPGRPDNKPPPPSSRRGARGGSKKHQQQQPGVYHLVATLSRHTAAVNALAVGCAGQALYSGGNDRCVLVWEREDSASHMVAVGALRGHRRAVLSVACAPGGLVVSGSADQTVRAWRRAADGRGYGCVAVIDGHDTAVRSVAAAPVSIQKRSREGGVDGGDEEEWKVCSASFDGEVRVWSLRVSVYYLS >Sspon.01G0023840-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1A:85536984:85538205:1 gene:Sspon.01G0023840-1A transcript:Sspon.01G0023840-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIITAENNVDISSQFGKKKVKLVCVERIAPAVFAAVPRARARSRTVASGLADHAWVADISGARTVQVFLQYLDLWERVCAVHVSPGVPDAVIWRWSSYHKYSAPSAYGAMFIGSIKPFGVDLIWNTRAPPRVRFFFWLALRRRCWTANRGMRHGLQDNDDCIFCLQASETLDHIVLGCVFSRQVWHLLLSRIGLADVVAHGDVDLFVWWTWARRRAPRHCRKGFDSLIMLTCWSLWKERNARTFNNEITLALGLCLKILEEATLWLRAGFSCLSSLLSLL >Sspon.03G0023570-4D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3D:110306:112911:1 gene:Sspon.03G0023570-4D transcript:Sspon.03G0023570-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSHLLSLLLAVTVAATVDVHAQGIPFPTPASTNLSTSWTISLKADGHGTQSFDYMDSTSVSVFLLQSIQSPPQEGLCFAACFYCIAPCQAFLFGVCIVYVDGGGFLSWPNAGSPQVVWSANRDRLVRENAILSFTAGGDLQLRNTAGGLVWSTGTSGQSVAGMTVTKSGNLVLFNRKNAAVWQSFDHPTDCLLPGQPLVEGMKLTPNASGTNWTTSNQLYLTVLSDDSMINTTAGEMEYVRLESDGHLKLYRYKGIEGWPMVQDILQGQVDSCAYPTVCGAYGICVSDQCTCPTDGTATYFKQIDDRRINLGCVPLTPISCASMQDHRLLALSNVSYFNYIDTRAALALPQMTDEESCKKACLQNCSCKAAFFQYGGNDTSQGSCYLPTQVFSLQVNQWQETHYSSSAYLKVQVTRSPPPIPGPSNPNGTVSRSTPTRKGRIGAGAIVGSTLAGVISLLAAIIITLAVLRRRCQSRDDEDDFREVPGMTTRFTFEQLKVATEQFSKMIGKGGFGSVYEGQVGEQRVAVKQLDRAGQGRREFLAEVETIGNIHHINLVTLIGFCAEKSHRLLVYEYMSQGSLDRWIYSQDASMSLDWHARCRIITDIAKGLAYLHEECRQRIAHLDIKPQNILLDDNFSAKLSDFGLSKMIDRDKSQVITRMRGTPRYLAPEWLTSQITEKVDIYSFGVVVMEIISGRKNLDYSQPQESVHLISILQEKARNDQLEDLIDMHSDEMQIHKEEVIQIMKLAMWCLQIDYNKRPQMSVVVKVLEGTVNVETNIEFNFVAMVPNNLANDRKLASSAPLLASHLSGPR >Sspon.08G0006380-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:19939900:19940608:1 gene:Sspon.08G0006380-1A transcript:Sspon.08G0006380-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATDAFRPLTDTCHPHCSPPLPTVTPREFAHLSTMAPAWLSVVPSAAVSLPDGTPASRFRYEPLASTPNRLPVWMTGVSAAAQRRVVAAAAAAATETAPAGRGDEEMRAAAMRLHSRDQAARDGKKEAQAPMEPPIAKSHVAGDVAKPPGRTPP >Sspon.01G0011160-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:5B:31336430:31336711:1 gene:Sspon.01G0011160-2B transcript:Sspon.01G0011160-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSALMDDLAIPDDLTADYGAEDGGGSVDMSSMYSGGGGGGGSANASSGSGGGGWCDASEFSAYGASSAASHGVYFEEGYVHSPLFSPMPAVDDA >Sspon.02G0038660-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:43773388:43774465:-1 gene:Sspon.02G0038660-1B transcript:Sspon.02G0038660-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDGRRPDGSYGPEYGPVPRELEYALYHQLPSRSRRGSPWPLHHGDYPWRLPEQRFTRRPFERSSSWYSSKDSSVCLLNLSFRCIKFWTLELDTIITRSIWPTDVVLRHPLQPYTPFQIHHVHDSSSSGATLNPRQRREDTGLTDEEFREAMDQLRKQEYRPPDPQKKQQGGRGILQTRSATPPSTTEEEKACTVCLETFLPGEQVAITPCNHMFHQGCIVPWVKGHGNCPVCRFALCERRNPADAANEDGGMDLELLAMVRAMEEAFSRFRLFSDSTPHYH >Sspon.07G0036090-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:22125064:22134348:-1 gene:Sspon.07G0036090-1D transcript:Sspon.07G0036090-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AMAELGGMLASAILKVVYQKISSAIEDQIKLQQYFGDDLEGMKMTLESVAALLSDAERRSIDEEAVRLWLKRLKDAMYSIDDMIDEFEAGTKPSASQLVAMIPCLTIGPKMKLANKMKTMRANLEEITKQHRDFSFIAGGGSNIEQMTDTRETSSVIEEALIVGRTKEKQKIVASLSENRTEELTILPIYGFGGMGKTTLAKLVFSDAQFNEYSRVWVYVSHSFDLKKIGNSIISQLSNGDSHITEKQLINRRLQELLAGKKILVVLDDMWRDNDNECQVEDVRAMLRVGEDSRLVVLVTTRDEGLAEELCTIEPHKLAPLTNDMCWTIIKQRSAFESKDGKKQLEQIGRDIARKCGGVALAAQSLGYMLRSMSYDEWKSLRDSDIWDVSASSGGTLSAQHKVLASLRLSYNSMQPYLKLCFGYCATFPKGHDIAKGSLIHQWISLGFIEPSSILSTRQLSEKYVRQLLGLSFLQVSMSPQIARLHNEDGVTFTMHDLVHDLAQSIMVHEVLDATKGCSSGATTYCRYVLLNDCSKPLGLSTTSPDKIRALRFLDCCRIELRGEAFSSAKALRVLDLRECSIMKLPDSIGQLKQLRYLNAPRISNQNLPSSITKLSKLMYLNLSGSMMSSLPESIGEIRGLMHLDISDCVLIKTLPESFVNLKKLVNLDLLNCCQLRGVSKALVGLTSIQYLNLSLRADPENILPLEGMPQVICDLAELRYLGLSWTMHSIFGHNASHETLSFIDRICTLSNLEHLDLSCNHSIGNVPESIGNLRKLHTLNLLNCARLARLPECIVRMDSLKILNVRGCSELDNSTLPPSKNFALLPHFVVHADDGESSSNIALLRRANPNELHISSLDNVKSAEEVQAIKLVEKQGLYDLNLEWTRDARRSVEDVEVLGELVPPSTLCTFNMKGYSSVSFPAWFMGIKLYLPHLMHIELWDFCKCIILPPLGQLRNLQELTLGGMDNVTAIEQGFCGGAGAFPRLKKFCLRSMENLEVWNTMHYGNGSAKEFMFPNLVELSVCGCPKLRLKPCPPQAKRWEIDNSDSVLSSWGEGEACASSSAVLTEMTLTVKSSKVPLDHWRLLHHLPAITELSIICCVDLACNYPNIIRGLSSIRSLWLKDNAQPELPKWLGSLIYLRELLITEWTGLSELLESMRHLASLQALSLYGCPCIATLPEWLGDLSALKELVISDCRGIKSLPDSILKLNKLEELNVYDCPELVDRISPSDNVSACKAVSSTNKNI >Sspon.01G0047860-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:109369182:109369811:-1 gene:Sspon.01G0047860-2D transcript:Sspon.01G0047860-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MYPAKPTATAASEPVTGIPISSPIHGAGAGGVAASQWSSGLCACFDDCGLCCLTCWCPCITFGRIAEIVDRGATSCGAAGAIYTVLACFTGCQWIYSCTYRSKMRAQLGLPDVGCCDCCVHFCCEPCALCQQYKELKARGFDPELGWDVNAQKAAANAAAGMYPPAAQGMGR >Sspon.06G0022190-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3C:38646870:38647248:-1 gene:Sspon.06G0022190-2C transcript:Sspon.06G0022190-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding TGIKSLTYDEEKSTLTVVGDVDVVVIVEHLRKAKHPAEVVSVTDEKKEAEEKKKKEEEEKKKKEEEEKKKKSCCPPPSCTPCPPPRCPPPCPPPPCPPPCPYPYPYPKPCYIPIEDEYPGPCTIV >Sspon.05G0039070-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:60907175:60907769:1 gene:Sspon.05G0039070-1D transcript:Sspon.05G0039070-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVATATTHLRFYMHDMVTASAGNPATVVPVARGTTPLPGAPTTRFGDMYVIDDPLTEGPSVTSPAVGRAQGFYPFAAQHELAVMHCLNIVFTAGKHNGSYLVVQARDAILNKVRELPVIGGAGRFRGATGYGLLKVHLPPMWVLVY >Sspon.05G0002850-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:12833508:12835388:-1 gene:Sspon.05G0002850-1P transcript:Sspon.05G0002850-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAEDPASLERRYIERQKNARIETANRAIGAVALEVDKLADQVTSIEKSISRGNKVAEVQITTLIELLMRHAVKLESIPAAGGDSSSQKNIQAKRVQKCVETLDVLKVSNARLQAVVVTTKWETFDAAATTQTQWELFD >Sspon.04G0036160-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:24384608:24385135:1 gene:Sspon.04G0036160-1D transcript:Sspon.04G0036160-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SPWPASRASTSPTPRRRRPGPPRPLLDPTFNLTVRIKEPRRWSTACVPRGTTAVVSYRRVRLASGPDENATEVGNVMAWGTAVPARRELGRGEADVDVKLMGPARYCTTCYQLASPPCTGRQQQARKQLRTPQDSS >Sspon.03G0031380-2P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8B:10572693:10573718:1 gene:Sspon.03G0031380-2P transcript:Sspon.03G0031380-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGARRLNLVPWLLAVVVLALFAPPCAAAQVKTTDTRWSFHLPLPSGISGAESLAFDGKGEGPYAGVSDGRVLKWGGSAVGWTTFAHSANYRKIPLCTAGAVPSVETESMCGRPLGLQFHFKTGDLYIADAYLGLMRVGPGGGEAEVLATGADGVPFNFVNGLDVNQATGDVYFTDSSSTYPRRFNTEIMMNADATGRLLKYDARTKSVTVLKAGLPYPNGVAVSRDGAQVVVAHTVPCQAFRYFLRGARAGQYELMADLPGYPDNVRRDGKGGYWVALNQEKQRLDAAPATAPAKHLVGVRLDAHGVEVEELTAVKGVTLSDVAERRGKLWLGSVELEYIGL >Sspon.07G0008030-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7B:19191813:19193590:1 gene:Sspon.07G0008030-2B transcript:Sspon.07G0008030-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTTAANDFCDRLASVGFTTNLISYLTLQLHLPLVQASNIITNYNGTANLTPLIGGLIADSFAGRFWTITFGSIIYQLGMVCLTLSAALPSLHPPPCAKHAADCQRASSYQISVLYLSLLCASIGTGGTRPCTMAFGADQLELNAHRHRGAKPKWSFFNLYFFAVELAKLAAVTAVVYIQDNVGWGWGIGVPTVAMVAAVITFVSGYSLYIRMPPGGSPLVRLAQVATAAYRKRKAVVPDPSLLYEDKELDAGISTTGRLLHTDQLKFFDKAAIVTDGDVLPSGQPKLWRLSTVHRVEELKSIIRMLPICAAGIILVTSASHNHSFAIQQARTMDRDITPHFKIPPASMLIFTNLGMLLTLAFYDRVLVRVLRRFTGRPNGITHLQRTGVGLTIAMLSNVVAAVVERRRKSVAAASGLLDAPKATLPMSVFWLVPQYAIHGIANAFMDVGRMEFLYDQAPESMRSTATALYWLTFSIGSYLGTLLVTIVHDKTRRSGQWLPDNLNRGKLDNYYWLVVALEVVNLVYFFVCVKYYTFKPLEMVSEEEAVELDHHGNGTDDAKKQGASFK >Sspon.02G0031500-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:90979672:90983898:-1 gene:Sspon.02G0031500-3D transcript:Sspon.02G0031500-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQVESAAASEGQPPPPQDAWVVEFRSLLPRWESLRDSSKVIIPISISRVNQFDAARLDVEMSAMLKEQLLKVFSLVKPGLLFQHEPELDAFLEFLIWRFSIWVDKPTPGNALMNLRYRDERAAPITGKEVRTGLEGPELSVSQKIFYCINFVGGQYIWPRLQSFSAFRRWGDSEQRPLARRAWGLVQNAEVLYRAASLFNLLLFLHGGRYKTIVERILKARLVYGSPNMNRAVSFEYMNRQLVWNEFSEMLLLLLPLLNSSLVKKFLLPFSKDKSAGIAITAYAHVVPLQAPIDAHAVTRRWLQFEDKDQVSLPVGLEKYS >Sspon.06G0001590-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:3471793:3472305:1 gene:Sspon.06G0001590-2B transcript:Sspon.06G0001590-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger A20 and AN1 domain-containing stress-associated protein 5 [Source:Projected from Arabidopsis thaliana (AT3G12630) UniProtKB/Swiss-Prot;Acc:Q9LHJ8] MAQRDKKVEEPTELRAPELTLCANSCGFPGNPATNNLCQACFQAATASSAGSVSPPSPSSSSPPVFQFDDQQQAWPSAPAVFADRPAEQTPPPSRPARASTSTSSSSVNRCQSCRKRVGLTGFRCRCGELFCGAHRYSDRHDCCFDYKAVGRDAIARENPVVRAAKIVRF >Sspon.02G0030050-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:111274685:111279885:-1 gene:Sspon.02G0030050-2C transcript:Sspon.02G0030050-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytoplasmic tRNA 2-thiolation protein 2 [Source:Projected from Arabidopsis thaliana (AT4G35910) UniProtKB/Swiss-Prot;Acc:O65628] MAAAASACGGAGCGPQCSSAGGEWDAPADSMGRLSISSAAAAAATKCGKCDGGGAAVAVAGGVGMCTDCFRAHLFGKFKLAVTSNAMVRPTDAVLLAFSGGPASRVALQFIHEMQSKAIQSWETSNSQALPAFSLGVAFVDESVLSLSPGHEIDTAIKDIKLIVSSLSPGDKQLHVAPLEDVISSGSDDKAARLKELVGVINDETGRDDFIRCLRMLSLQKIALENGYTKIMLGTCASGIACHVLSATVKGQGYALPADVQYVDTRWEVPVVLPLHDCLAQELSLLCELDSLKTQQLLDRPCSGINGLVASFVSRLREENPSREHTILRTAQKLKPFSFNKFSANGYHDFLPSRLRPKFQNIDANESTFSEILCMICGSPFSESELQNLENTKHKAHIDLYTAHCCQSCHFQILPAATDMYGHFFSLLPKFWTEKVDTASASHSSVRIEGSSEEPRPKPKGKQRIQ >Sspon.01G0001970-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:5726335:5727414:-1 gene:Sspon.01G0001970-2C transcript:Sspon.01G0001970-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVKVFGSPTSAEVARVLMCLFEKEVEFQLIRVDAYRGTKRMPQYLKLQPHGEALTFEDENLTLSDSRGILRHVSHKYAKQGNPDLIGTGALERASIEQWLQTEAQSFDSPSAEMVYSLAILPPTLPRQQNDNNGTGTGSGFNARDVAVGSNADASSGKRGVAGSQQPAASQSQVSPQKEEEMLKLFEQRKKDLEKLLDIYEQRLEEAKYLAGDNFTIADLSHLPNADRLVSDPRSRRMFESRKNVSRWWHDVSSRDTWQYVKSLQRPPSTSTDASAKNGQQQQHLPGSTDGHGVKSH >Sspon.07G0025700-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:47123630:47125068:1 gene:Sspon.07G0025700-2C transcript:Sspon.07G0025700-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRRVAADDGSGAGVRRPRLFAVPRLLVGLGPPRCGAAPDCDLPTAARSPTSPLDLRAPFAALGGSLLRSPRSPRTRSWDSHRLGLGGLVDDDALAEPAAGASRNRLLGPAQVRQPFKLPQRLATQPRDCAHASTELGNVGTAAGAVASRKPVLCSRSYGDVKSGPEITVPGAAPPCASSHPADLGKFPAPGSLPASIGGPRRYVGSVSATEVEQSEDYTCIIAHGPNPKTTRIFGDCILEPCTLGDGVDAMEVKEGAESYWLVKCFDDGEPGEEFLSSCVSCKKKLDGNDSCVYRGEKAFCSRNCRDQEVLTEEEENITAVSSLSSAGSSSSFNDDIFVAEMVVLAAPVDVHYT >Sspon.04G0029990-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4C:79101698:79102972:1 gene:Sspon.04G0029990-2C transcript:Sspon.04G0029990-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAEPPPPSGMGFFGMLSFRRSATAVASFDPAQDDELLALDALQSHVADRLNALSAHAIPGAPLLSLPFLSKLLDAVVSSDAAFRDVLALTPVAAAIARPPADRLAADLLDRAVKTLDVLNAASLTLASLRAAHRAALAAASCLLLAPSLHTAHLARARRAIARLFPPDDASANAKASPSSRTMSALSFSVSKNWSAGRHMNAMTAHLAPPPQSSPAAAAAGAGCGLGLALYTMSSVLVFAMWALVGAVPCQDRASAASNPPVAPPKQAQWAAPMSALQERIADEWRRKEKKGSCSGSAPTAGLLAEMQAVERAARELNSVLEEIAEEEEEEDDEERRRQGIVEEERARDVTERAEELAAACRALEDGLAPLERQVRVVFHRVVSCRAEVVRCMDLSARAGTANAAAAAASASGVPPQHQHSF >Sspon.01G0023150-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:89359947:89361392:-1 gene:Sspon.01G0023150-2B transcript:Sspon.01G0023150-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKICCIGAGYVGGPTMAVIALKCPAIEVVVVDISEPRIAGWNSERLPIYEPGLDDVVRQCRGRNLFFSTEVHRHVGDADIVFVSVNTPTKTCGLGAGKAADLTYWESAARMIADVSRSDKIVVEKSTVPVKTAEAIEKILVHNSRGVRYQILSNPEFLAEGTAVQDLFAPDRVLIGGRETPEGRAAVAKLRDVYAQWVPPDRIITTNLWSAELSKLAANAFLAQRISSVNAISALCEATGADVTEVAHSVGRDARIGPRFLSASVGFGGSCFQKDILNLVYICECYGLPEVAAYWREVIRINDHQKSRFVNRVVSSMFNTVAGKKIAVLGFAFKKDTGDTRETPAIDVCNGLLGDKAVISIYDPQVTGEQVSRDLAMNKFDWDHPRHLQPLSATDLAKQVAVAPDAYEAARDAHAVCILTEWDEFRTLDYKRMFDAMHKPAFIFDGRNVVDPAKLREIGFVVYAIGKPLDDWLKDMPAVA >Sspon.02G0058250-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2D:71487512:71487949:1 gene:Sspon.02G0058250-1D transcript:Sspon.02G0058250-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVEQYVHLRLSLDGHGAASAKHARRCEYAITSGANARKTEACDRHDASILRPDFGARPALHADPCCVWNSACLPWAVGRRAARRGPSTTGAGGHVEPRRAAARTREPGPVFNACGQIDVSLLPGPERSRDEFSSMRWMRTREYH >Sspon.03G0015890-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:71025955:71030438:-1 gene:Sspon.03G0015890-3C transcript:Sspon.03G0015890-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNGEPAGAECTRASLLGRYEIGRTLGEGNFGKVKYARHIGTGGHFAIKILDRSKILSLRIDDQIRREIGTLKLLKHPNVVRLHEVAASKTKIYMVLEFVNGGELFDKIAIKGKLSDFEGRRLFQQLIDGVSYCHDKGVYHRDLKPENVLVDRKGNIKISDFGLSALPQHLGNDGLLHTTCGSPNYIAPEVLQNRGYDGSLSDIWSCGVILYVMLVGYLPFDDRNLVVLYQKIFKGDCQIPKWLSPAAQDLLKRILEPNPMKRINITGIREHEWFKKDYVPAVPYDDDEDVLPGSVLSIKEQIDEPAQEKCTHINAFQLIGMASSLDLSGLFEEEDAAQRKIRFTSTHPPKDLFDKIESIVVEMGFEVHRGPSKLKVLKNSKCSKNSRNPSSFLVCTEVFELGPSLYVVELRKSHGDSTLYRQLCERLSDELGACKTEQIMRTESLSDDLSSLDGEAFPLSEF >Sspon.01G0015260-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:44326187:44328798:1 gene:Sspon.01G0015260-1A transcript:Sspon.01G0015260-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVSPRAARTSELQAQLTLVQEDLKNAREHLASIENDRAQILEDLAVAKRLAADAHGKLEDSLLAQRRAEEALELERFKSTEREQSAIDLAQRTEDDVRKRHAEDVASLIATARELEAVRDELAATTQAKDSALNQADELQEIANRNAKKAEVMAAEVARLNKEAAEMIEKLESEASALRAELRKAKEFEEKLAKAEQAVEGLKVDVAYAKRAEADASRKAASLETRLEAASQLNKRNEESFASLTNSLEDCTSMLRTSNKVAALEKEASEYKERFLETSRRLDVATEEVCELHAAIDGLRSEHQLLHEAHQQAVGAEKTASAQVGHLTEDKNRLLRELADTREERDKVKKAVEDLAAALREVSSEAREAKERVLAKQAELDDARLQMSELKAAFQLRMGSEAKISKDDDWVSKEAGFVDMLKRSDDGISSIQLEMNRLTESLRTAESQVQELRADKTQLLSKLQEFEVQAMNTEEEAKAESSHLKDLLSFKDKELLALNHELTELRLRERSTSEKASEVSKVLGEMTARKAEEESTDKSKALQAKLEMDNVLESLRAAEGEAKAAKEEKAARVQDHRGQPDLGGGKDHSLRLKETLDDKEQQLASIVQENREMRAREAAAHAKIDELALLLAEATVRNGGESPEKQPSVLLKLICSPMHHNVRDDDNNGEIIQNPKEDIKHVEVETVRQVQHEQESGVSAVDANSLENCKIIEDDLSKERDEDSESSDDDDVESPGEDALVDQMNGLLIHGPTSSFNRDQRVVQKKKRALLKKFGSLLKKKAHFTKLSNPRVSDSG >Sspon.01G0031610-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:108141671:108144456:-1 gene:Sspon.01G0031610-1A transcript:Sspon.01G0031610-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-specific phospholipase C1 [Source:Projected from Arabidopsis thaliana (AT1G07230) UniProtKB/Swiss-Prot;Acc:Q8L7Y9] MGAAARRVPGTRLLVALLLLALVVSGHCLDAHHRGLKRRRRKHEIHSPVKTVVVVVMENRSFDHILGWLRRTRPDIDGLTGRESNRLNASDPSSPEIFVTDEAGYVDSDPGHGFEDIREQIFGSADTSAVPPPMSGFAQNARGMGLGMPQNVMSGFKPDAVPVYATLADEFAVFDRWFASVPTSTQPNRLFVHSATSHGLTFNARKDLIHGFPQKTIFDSLEENGLSFGIYYQNIPATLFYQSLRRLKHLVKFHQYSLKFKLHAKLGKLPNYVVIEQRYFDCEMFPANDDHPSHDVARGQRFVKEVYETLRASPQWNETALIITYDEHGGFYDHVPTPVIGVPQPDGIVGPDPYYFKFERLGVRVPTFLISPWIEKGTVIHEPNGPQETSQYEHSSIPATVKKLFNLHSNFLTKRDAWAGTFENYFKIRKTPRTDCPEKLPEVTKSLRPFGPKEDSSLSEFQVELIQLASQLNGDHVLNTYPDIGRTMTVGKANRYAEDAVARFLEAGRIALRAGANESALVTMRPALTSRASMSSGLSSEL >Sspon.08G0017090-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:63147727:63150361:1 gene:Sspon.08G0017090-1P transcript:Sspon.08G0017090-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding TSASLRLTRAPRPRRCALAPCPRLARAPCPPLHPAPLDPLFSLRMDRTREHTPSSLHRRLPIPSSIVPHPPAPGPCLLVGVGFGGDRWRASTTNIGYACGCPWLGREDLGHDRVSGVPDGNGRWTDDEGASDVAVQGARMRGAADDGGRARRGRPTSAWWGEEGLRMSGHPLRRPQMGHILVSQPTGPISPTSESTRPSPFFNWAVGPVGPLVSAPRGKQWAPAISLRFTRRGGGFSLPRRARSPFRSTRAFYRHFLGAKLAYPSRHASPPPINSPTISLSSAHPATLAVTEIQRVTDLPESDRPTTERKEIAMVVALGPGRFYGSGLPRPRFFPGDRVDPPASVTDPLLEWAREAHWSMGSIGVKRLRLQGRIEGSIDKLRRRARRDAKAKARSAGHMPASLAALGSDDDASDGDSEDEEEVAARERAMKRELVDDDEDSDGSDESEEEEDEPLAAIVTAAKKRRARKLSDEFDRIAVEQQLEKEKAGAATPARASPKRKAAAPAATTRASPKRKASASAAGAPARASPKRKAAATAAAPSSTTGARRTSPRNKH >Sspon.03G0027230-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:5156198:5161352:1 gene:Sspon.03G0027230-1B transcript:Sspon.03G0027230-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGRRGLLTAALLLCFLAVCSARELTIKQNPSTTIYNSTLAQILVEYAAAAYVGFASDINAVVVVFRGTQENSIQNWIEDLLWKQLDLDYPGMPEAMVHRGFYAAYHNTTLRDGVVSGIQKTREAYGDIPIMITGHSMGGAMASFCALDLVVNYGLDGVKLMTFGQPRIGNAAFASYFKTYLPQAIRVTHAHDIVPHLPPYFAFFPQKTYHHFPREVWVHNVGLGTLVYSAEKICDDSGEDPTCCRSVIGNSVRDHIYYLGVSMHAEDWSSCRIVMDYSRLQYQMDLKDLGGIESLPHIFDQLCLVHRQASGVVTLEDFGGLHPLVLQTAEEPGVQGCLNCRSSYRKFSSLLDCPFPSSLHPSLVQDLVYQVAFTLLILDTKDVGRDLNQETEELTLVPLREHLLQFAVCELTDRLENIICLSDKLHVTIFNSIVNHLDKVA >Sspon.07G0001730-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:2850037:2858942:1 gene:Sspon.07G0001730-3C transcript:Sspon.07G0001730-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGTTAAEVGGAGDARAVAVALPPPQPSGSEALEPATPLLRDDWSEHFSLFTSLGGFGGSSDGARGLTSVGLSNSESRPDSVTQRGLDHDAEERVEELTLKNCINTDVQPEVSAGGSTSCGDRPTVIKGMWGNFTRMAWKTSDLASRESAALSYGDIPNLRAGDASSRENLATSLANNIISRNNNASGNEAPMSRVGYVNNEFMTPFRSQQILLSPWSNQDNALKVSSFSNRILDQMRSKTVAPPSAVLGSPLKSKSKGKGVAYQGAREEIQGQANARPRDPLDKIPTIPTSIHDSMARVDPMLFSTGGNVSKSHSEGTSMRELIKPGRQTMTKFEKMHLFKQILDLVDKCHAQGFTLQHLRPSYFTTLSSNQVKYIGSYTTQDLPTSIKQEVAREDLVIRKRAFGHRIDHQDSNGHGNLMLKYQKVGEQVSVAIRRPANTFWTDQICDNQNEDVDPGVSRQENFSYTARERFKFVEPYGSNTSGAQHVSSSGTQQPAFELRNLEESWYKSPEELSQFKGTFPSNIYSLGVLLFELFCCSETWEVHCAAMSNLRQRILPPNFLSESPKEAGFCLWLLHPDPCSRPKAKEILGCDLINEGRDLSLLDQAPVSISEDDTESSLLFNFLSQLKEEKDMLAAKLSAELGSLETDITEVERRYSARMRLSLEDTDVLRSSGALSGASVSAVQGALLSGLLPASCKSSVYEERVMRNLVQLENAYYSMRSSVDTCETNAIKRPDNEALRVRENFHQLHSDSDAKGEKTDRLGCFFDGLCKYARHSRFEVRGILKNADILNSPNVICSLSFDRDEEYFAAAGVSKKIKIFEFDALLNDRVDIHYPLVEMPSKSKLSCVSWNNYIKNYLASTDYDGTVQLWDASSGQGFTQFTEHRKRAWSVSFSEVDPTQLASGSDDCCVKRNCIDTIRNVANVCCVQFSPYSSRMLAFGSADYKIYCYDLRNTRIPWCTISGHGKAVSYVRFLDPETLVSASTDNTLKIWDLNRTNCSGLSTDSCSLTLNGHTNEKVFSYYKTFPMPITSHRFGSIDPITGQVTNEDNQQFVSSVCWRGKSNMVVAANSSGSIKVLELLPTRT >Sspon.07G0020780-2D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7D:64940044:64940577:1 gene:Sspon.07G0020780-2D transcript:Sspon.07G0020780-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDPHPPLPPPRPPVEVVGTARDAELSLSAALSREEVLCRRRRRLVQLCSLYRAQYWVLADELPARHGEYWWEHGASPALDDEPPHALPPPLLPPKENGVSAGAPENGVVVGPLENCAVSPPVSAAGGRAGCAASNCEAKAMPLSPYCFNHILLDPKQQLYQPCAFPTKKRYASWVH >Sspon.06G0012630-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:66703138:66704758:1 gene:Sspon.06G0012630-1A transcript:Sspon.06G0012630-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWLDEYEKLVIRMNTPRVVIDNAVCPTATLVQVSCLRRRQSVDSAKKRGLLLEAVQVLVDLDLSINKAYISSDGRWFMDVFHVTDRLGRKLTDDSVITFIQQSLGTWNEPARPAALEGLTALELTGADRTGLLSEVFAVLADMECSVVDARAWTHRGRLACVVFLRGEELAAGADDDRVTRILARLGHLLRCDGEAPGAVAAVPAAGVAHADRRLHQLMAADLDRATSFPELSPAVSVQSWAERGYSVVTVLCRDRPKLLFDVVCTLHDMDYVVFHGTVDTAGDRARQEFYIRRADGSPIRSEAERERLSQCLQAAIERRSLEGVRLELCTPDRPGLLSEVTRTFRENGLLVAQAEVSTKGDLASNVFYVTDAAGKAADQSAIDAVRERVGTDRLVVSEEPRPPQAFPKAGPGDRDHVGLGLVYLGNLVKRNLYNLGLIKSC >Sspon.01G0012990-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:34898118:34906617:-1 gene:Sspon.01G0012990-3C transcript:Sspon.01G0012990-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPASRRHQDEGAAPETTQRVFHFGKGRSDGNKAMKDLLGGKGANLAEMASIGLSVPPGFTVSTEACRQYQEGGGRAMPPGLWDEVVAALRVLERAMDSGLGDPCRPLLLSVRSGAAVSMPGMMDTVLNLGLNDQVVAGLAQRSGCRFAYDSYRRFLDMFGNVVMGIPHALFEEKLEAMKVAKGLRNDTELDVKDLEELVDQYKNVYVVAKGENCPSDPKKQLHLAILAVFDSWDSARAKKYRSINRITGLKGTAVNVQCMVFGNMGIRSGTGVLFTRNPSTGEKKLYGEFLMNAQGEDVVAGIRTPQELDAMKECMPEPYAELVANCKILESHYKEMMDIEFTVQENRLWMLQCRTGKRTGKGAVKIAVDMVNEGLIDHRSAIKMVEPRHLDQLLHPQFEDTLSYEDKVIATGLPASPGAAVGQIVFTAVDAETWHSQGKSVVLVRTETSPEDVGGMHAAAGILTARGGMTSHAAVVARGWGKCCVAGCSSIHVNDSEKVLVVGDQVLCEGDWLSLNGSTGDVILGKLPLSPPSLSADLEIFLSWVDEVKQIKVMVNADTPADALTGRKNGAQGIGLCRTEHMFFSSDKRIKAMRQMIMADSAEQRQKALDLLLPYQRVDFEGIFRAMAGLPVTIRLLDPPLHEFLPEGNIEDIVHMLSCHTNSTHEEILARIEKISEVNPMLGFRGCRLGISYPELTAMQACAIFEAAIAVNDQGFQVFPEIMVPLVGTPQELEQQMSVIHQVAHKVFANSETTIRYKIGSMIEVPRAALIADEIAELAEFFSFGTNDLTQMTFGYSRDDVSKFLPTYISQGILQHDPFEVFDQKGVGELVKIATARGRRSRPDLEVGICGEHGGEPSSVAFFAKAGLDYVSCSPFRVPIASRMGQVRNEV >Sspon.01G0007040-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:19112311:19116976:1 gene:Sspon.01G0007040-1A transcript:Sspon.01G0007040-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:p-loop containing nucleoside triphosphate hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G04730) UniProtKB/TrEMBL;Acc:F4I5S3] MEMDMEMPDPDELEWMESHGLVPEEEEDAYFDDPDEGFVLPPGDSDQPCDPQPQDSAALRANEASGGLKRPPPPPPPEQDEEEERSKRRNVEQEDSEDEDWLRYSPPPAPEIVVAEKTISRFASEIQGDSVPVTAPNGERVYAKLAMEGLVGRGISGTRQGAHFYNPNSNHKGLLSESFHSLTRRAEQEALAKALQESTDSIDRVACSVTPLVTEKLWVEKYAPNSFTELLSDEHTNREVLLWLKQWDSSVFGSHIRATGDDVLSALRRHSSTIQKNASNRNFFSKSKGGPVASQDDTPLNAQSSNPEGLGGSFSKKSSVDNTPEQKVLLLCGPPGLGKTTLAHVAARHCGYHVVEINASDDRSASSIETKILDVVQMNSIMSDSKPKCLVIDEIDGALGDGKGAVEVILKMINAEKNNNFARGTNGEETQVRKASRKSHRTAKLLRPVICICNDLYAPALRQLRQVAKVHVFVQPTISRVVNRLKYICKNEGFKTSSIALSALAEYTECDIRSCLNTLQFLNKKRVALNITAFDSQVIGQKDKSKSILDVWKQGFITQS >Sspon.02G0002160-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:7026098:7029427:1 gene:Sspon.02G0002160-1A transcript:Sspon.02G0002160-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVPLATMMEEGQEGWGGRREESRLALGVVWKVAPESAIQSGLTGGVPAVVLKEEATVAHRDLGGNPGLATPGPPAPVAPAAAAMVLAAPGRCPSCDGGGDGGGWGRGLLRRSDRGGAGEGGVGRRSAGHGLLADGGSHQEGRRLSRSPFLSRSALSG >Sspon.02G0024210-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:82882987:82897536:-1 gene:Sspon.02G0024210-1A transcript:Sspon.02G0024210-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:F20D23.9 protein [Source:Projected from Arabidopsis thaliana (AT1G17210) UniProtKB/TrEMBL;Acc:Q9SHI0] SAGASSPPAQTNVASIDWLGSDQVSKVGSSHIAPPTSQPALSTNANGAAADCFQSSCRPWERGDLLRRLATFKPSTWASKPKAASSLACARRGWVNIDMDKIECESCGAHLIFTALTSWSPAEVASAGEAFAEQLDASHQNDCPWRGNSCADSLVQFHLTPSALVGGFKDRCDGLLQFTSLPVIALSAIESMKLTRSVQIDRVLSQSVTILSGELGYRTDSTTGIDIGQQDETCCYSQAQKLISVCGWEPRWLPNVQDWEENSTRSARNAGSAEPDGQFHSQIPEHHQNSYSASVKKDKGKGKMRVKDSGCSMRSPLLDCSLCGATVRIWDFRSVPRPSHFSLNNIDMPDTGRKPVLIRGISATSGINGLVAEGAERENVEGRGEAGIDEGKSLSNAQVDLNLTMAGGLPSNYSALPPMPGHLNYGGMGRDLIIGQPTGSELGGHAASFESRGPSSRKRNLEEGGSTADKPINRLQPADSIEGTVIDRDCDEVDDAAQDSGARSKRLRGFNLFDINRPSSSGAGPSRNLSLDLDIDVNKFDTSNAEGPSALRNPFPKDSMRASSVIAMDTVHGAEENSMESVEYHPCDVDDVNKPSSALRSGVMSDTLDLNYSNQAQQSSFVQPAAETESNAREIGGSSMNGGEEVLNAETTPAFARDQLSLGVSGGSVGMGASHEAEIHGTDVSEHKTGSVVGDADPIPELTETMGHTGESAPGPALMDEFVPEEVGREDPNGDSQDMASRLAVRADSGSKICGSTKADSVESGEKMSHENSAHPSLSCNARVYSGIDASKEEVTGIMLTNDDYDPGNGLGATNGENDYETDLPDFDPIRHHNNYCPWVNGNVAAACCINTGSSTALSGWQLTVDAIETLQSLGQAQNQTMQSDSAASLYKANDDHVPPSRKLLKRANHSKS >Sspon.07G0028800-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:80661094:80663672:1 gene:Sspon.07G0028800-2C transcript:Sspon.07G0028800-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLALAGLRWATSPVINKLLADASDYLCMDMARELQKLEATVLPQFELVIQAAEKSPHRGKLEAWLWWLKEAFYDAEDLLDEQEYNLLKRKAKSGKDPSMSEGETSSMTSTILKPLRAAKSRARNLLPENRNLINKMKELKDILLEAKELRELLGLLPGNTVGLSAVPATIVPPATVTSFPTSKVFGRDSDRDHIVDFLLGKTTTAEASSAKYSGLAIVGVGGMGKSTLAQYVYNDKRIEECFDVRMWICISRKLDVHRHTREIIESAKKGECPSVDNLDTLQWKLRDILQQSQKFLLVLDDVWFETSDSETEWEQLLAPLVSKQSGSRVLVTSRREMLPAAVCCQQVVRLENMDDTEFLALFKHHAFSGVEIEDQLLRTKLEHTAEEIAKRLGQCPLAAKVLGSRLSRKKDIVEWKAALKLKDLSEPFTSLLWSYEKLDPRLQRCFLYCSLFPKAHRYEPNELVHFWVAEGFVDSCNLSSRTLEDVGRDYFNDMVSGSFFQQLGSGSYIMHDILHDLAESLSREDCFRLEDDNVTEIPCTVRHLSVSVESMQRHKQIIYKLHHLRTVICTDALMDNASVIFDQMLWNLKKLRVLYLSFYNSSKLPESVGELKHLRYLDLSRTSVSELPRSLCTLHHLQLLWLNHMVERLLTNFAIKLRHLRWYKTQIPNIGKLTSLQHMNIFSVQKKQGYELRQLKDMNQTGGDLTIYNLENVTGKDEALQSKLYQKNRLKMLILGWSCKNGTDAEDILHLDILEGLRPPPQLSHLKIQGYKSGTYPRWLLERSYFENLERFALNNCTMLEGLPDTELLQHCSSLDLWHVPNLKTLSCLPANLTDLSISGCPLLTFVTKKSGRAT >Sspon.03G0038740-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3C:7205688:7210750:-1 gene:Sspon.03G0038740-1C transcript:Sspon.03G0038740-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ATIRSPFPILRSSPPRRRAGAPLPRGWFTSPPLPPEATVQRSPSTVARCPRWERRAGRISRGIALDFEDFMACLHGVDDGSMDEALFEFFKKQKIKELKSKLSVSMLMDIKNQKGVCVGDFDSALNDFGFKSRLQSAFGCSMNDKLLGEICYTFDKYCTSIPMKQEEDYENMIFKILKLVVDDHHLSTPIHPSKRKVDLTNIPAPAIANHHMKKLFCPQNENNVAGRVLFQSAEKHGDNTSAAAKNFEANASFVKFPNPKFDNQNSKSFINLEPDEENLIHKAQGSTPIPNANKTDGKGRNNDMNQGSCNLSFVSEGFYNKLFATGVGGAESPEKSSKFHVTPQEKKNYNVVCLLANSRFSKSVVVDIGGCTLKYFSLGDSMAPGHEIVFMSEYKYYSYVERCFIGAGSVLPLPLCQMLLFPVLHGDHWFLFVVDLSNKLFLFLDSLFSKTDEFSCYTRKKLIVSFVHAWSLFVGPDPGFNKFRIAYPHVPKQRNKVDCGVFLIKFMETWKFGGNLHEQFSQEDIPNIRIQIVNDLLMSEHNKADWDVVRNYDGSGTFTGTRK >Sspon.06G0006160-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6A:20994509:20996572:-1 gene:Sspon.06G0006160-1A transcript:Sspon.06G0006160-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GAPAAADRRCPRGGPVRGGRAARRLAAWARGAGPGGGPLGAAGAAERAGQWAPPAVEHHGAVAVRMARRRVQQPDPGRAPTRRRAAAAREAAGRDDTARHGREPHRAAGAVAPAQRHHGWYPGRYRQLRPADRGEPHQQPVHGRRAGGLLLARGAQEGWPLAEPPHRRRVAGFQQAQAAGHAVPRQQRLRRRASAGALPSQPLALQRVVQRAAHWPRAGVACHDAPRARSKARRSATGLSSPRAPTPRPRRLRLPGGGKKKPLSRWAIVGIIAGAAFVLLLIVGLVACLRRRQAAAAGRPADAAAANVHEATAPITVTLARTDRDAVKQSHAPPLAPVMISEAKKLVFLGKAPERPYDLETLLRASAEVLSKGQHGTTYRATLDGGEPVLAVKRLREVHLSENEFRNQATALGALHHDNLTRLRAYFYSKEEKLLVYDFVGAGSLSALLHDGGAEGRAQLDFTARTRIALAAARGVAFIHQGGAKSSHGNIKSSNVVVTATR >Sspon.03G0031250-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:23820109:23830573:-1 gene:Sspon.03G0031250-2D transcript:Sspon.03G0031250-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:LysM domain receptor-like kinase 3 [Source:Projected from Arabidopsis thaliana (AT1G51940) UniProtKB/Swiss-Prot;Acc:F4IB81] LLNSVRHCPLPVCHPPCPCPTTTSPPRGQNPRQPPMPPHRLLLPLLLLLLPLGLGVSGAAAAGGNATSAPLACSELSRVCTAFLAFPAAGAGAANATLLESMFDAAPGDLTADAAASPGYAFVRKNCSCLPSRTYLANTTYTIPSSATASSPNATAADVAAAAYAGLAVPPPGGAAQRPPRPGAVVALHLLCGCSSGPWNYLLSYVGVEGDTVESLSSRFGTSMDAIEAANAMAGPDPIAAGKVYYIPLNSVPGQAYITLPAPPAPAPAPTDYTLSETPDHHSTKFSYGWVIGSMGVALALIAIAVLAFVLWKFFGYNPQDPNNQGKSPDRHKFQLLKSGSFCYGSGRYLCCQFGNAKPTRADGGDHHINVPKGVAADVFDREKPIVFTYEEILTSTDSFSDANLLGHGTYGSVYYGVLRDQEVAIKRMMATKTKEFIVEMKVLCKVHHASLVELIGYAASKDELFLVYEYSQNGSLKSHLHDPESKGCSSLSWIFRVQIALDAARGLEYIHEHTKDHYVHRDIKSSNILLDGSFRAKISDFGLAKLVVKSSDAEASVTKVVGTFGYLAPEYLRDGLATTKSDVYAFGVVLFELISGKEAITRAEGMGASSNSERRSLASVMLTALRKCPNSTYMGNLKDCIDHNLRDLYPHDCAYK >Sspon.07G0028610-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7B:67861595:67862494:1 gene:Sspon.07G0028610-1B transcript:Sspon.07G0028610-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTWSQQSSRQFIYTDSLHDGCSDGQNLAITHLLVAMDRFGVERLRLLCETFVAGEQGLPYLDRDCLTIKCVLMVVIESRTVENKMNSVIIPLSSLHQDFGRC >Sspon.06G0003000-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:8048731:8050314:-1 gene:Sspon.06G0003000-3C transcript:Sspon.06G0003000-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSPLMEPLLVPKDHAPAVAATPGEHGGAAADESSTVVDTTSGPARALADAEEKTNDDGDELSASVQRRLDERSAVEKEDEEIGDDAEADDMAARMGRRLAVLPGKAHESEPFTIFRVAGPMRDRNRHLYDPQMISIGPFHRGAGRHLAAMEAHKWRYLRDILARPGGATLATYARAARAMEARARRRYAEPVALPAAEFAEMLLLDGCFVVEFFLKGQESAEDALIDATWAMQNVYNDILLLENQLPFFVLERFYDLATGGLGRDHFVTNVLVNYLTVDMSSGPRDPESTRPPDGEIHHLLHLYYHWFLPPEDRLGSGSGTSKSEDEAMEELMSQSVDERTPWRLPPASELQDSGVTFRAKKSPRSMVDVTFDRRGGTLEIPAVESYTNHVIFANLLAYEQSRGRWELQRLVSYVLLMASVVDSRRDVEALQRAGVFVGGDEETASFYAHLGELCPPPQFVNNCYAGLFRDVREYCGRSWNRHRAVLVHDYFSNPWTSMSAAAAVFLLVLTVVQTVYTVLPYYSPN >Sspon.01G0049840-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:116198278:116203854:-1 gene:Sspon.01G0049840-1B transcript:Sspon.01G0049840-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding PMETKPRQGSTHVDAQKLHLGDAGLEKNPLHPSRSKGERNILTRGRELAPLLLATRASRSAGDSAAATAPDRDSTARSAGEEPPPLALDIGFGYTAAAAAGFLPASRETVVALGPQPLTLESLGAKVDMIANALTSMQRAWAGLLRAPAPPAFYAPPPPPPPPVPTAPIPTGAGVPLHLMQWPASPSPLPAWLQPSVPASALIYSMARACFMIFVVFHGSVQQALQQ >Sspon.02G0045270-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2B:108647386:108649576:1 gene:Sspon.02G0045270-1B transcript:Sspon.02G0045270-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding PSLYGFVLVLLRRISPGRTDRSRRRGGRPSGCSRSPRQLGPYLYYLRSWTHLGALASPAGSSPCWRLAKSIDPLQAPELTSGEISSSPSSIPFFRQRFDRSSILNHHHHNQIKSICSQFHRQMSRDGGRASSATGDERGGMEEVAVAAGMERAACKRPRGALDGGGSAAAWRASRVARAAAGGKDRHSKVVTARGLRDRRVRLSVPTAIQFYDIQDRLGVDQPSKAIEWLIRSAAAAIDVLPSLDCSFALPTAAGATSPPGAADDAEVSTSDTCKSSVLSLANAPPDNAAAQQASHAYNGNAGGGGGGAFAELILHCSANDSKPVEQQQPTLAYYAAQPPSAAHAASAMSFETMPPHFSFIQEQPHPAAAFDRGTLQSNAAVAAPLWPPSQQACLLQSFAASPADATGLPFFLGGGAAASPVVPDNSEPRLQLWNFKQERKT >Sspon.05G0024840-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:18444433:18445842:1 gene:Sspon.05G0024840-1B transcript:Sspon.05G0024840-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding QMGLSPSKRVDAALRRAPLFAAACDAAFDRCLADAQRHFPGVRRYQLADAAAHLHSELSASVPLVRRWVPSPPPRARVDAALRAAGLEDGAELSRLQFREFAVELFREAVLAGAADLLRAPAAAAGVVGIGMVARVAPPLVGRVVAVCAAGVATQKQNRAAVQR >Sspon.08G0000460-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:361173:364080:1 gene:Sspon.08G0000460-3C transcript:Sspon.08G0000460-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGSYTSSISLHDVSVDDGQSALDNSSRASSPFDILTLQDVIPIETARSRFLDLVVDCFICEHVIEMVECPGSDCSQVDGKSNKRKQQEVRYEGDPRVALPLMYIANLYETLVSDVNVRLAALIGFHEKTIGLALEASGGLYRKLTQRFPKRGHCSFKRRELATSHATRTKFPELVVQEEKRVRFVVINGLAIVERPENMRMEDAEWFKRLTGRSEVAICSRDYKFYSPRHKIRRSPQAVFDIPDTSALAEDENSSLVCSSGFRSPNEIQNQHQSTSKRPIEHLENQPFLHLFHQAEDDSMQQVQHCTQFPPIHQCTITPHLSDNPQHQQQAYLSQHISCLQVGQGHLGGRMHIVPTSPAKFCDECGSPYLRATSKFCSECGTKRLGM >Sspon.02G0032610-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:113465895:113472646:1 gene:Sspon.02G0032610-2B transcript:Sspon.02G0032610-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMLLRRLLLSLSFSLLLPASLAFPLCTDARAPVLLNTTLKFCTSPSGSGNSSCCDATADAALSKQFDAMAISDAACAAVVKSILCAKCSPYSADLFNAGPKIRTIPFLCNSTASATSAQSKETTTQDYCKLVWDTCKDHNELSLPTSLARHRTASSSPSKLTDAWQSQSDFCSSFGGSPDDQSVCFSGSTVSFNATQPSPSPKGICLERIDNGSYLNMAPHPDGSNRIFLGSQSGKIWLATVPEQGSGGTLQFEEASPFVDLTDQVHFDSAFGLMGMAFHPNFATNGRFFASYNCDKTKSPSCTGRCSCNSDVGCDPSKLGTENGAQPCQYQVVVSEYSAKGSSANVSEATSADPSEVRRIFTMGLPYTSQHGGQVLFGPTDGYLYLMMGDGGGKGDPFNFAQNKKSLLGKIMRLDVDSTPRASEISNKSLWGNYSIPKDNPFADDSELEPEIWALGLRNPWRCSFDSERPSYFYCGDVGQDEYEEVDLISKGGNYGWRALEGPLVYHPQWAPGGNTSLSSINAILPIMGYSHSDVNKNIGSASIMGGYVYRGSTDPCLYGRYLYADLYASAMWTGTETPESSGNYTSTLIPFSCSKDSPIPCDTAAGSPLPSLGYIYSFGEDNNKDIYVLASKGVYRVVRPSLCSYTCPTERPETDNGAAPPGPSSKASMTGLNNQMGMGMLLLSVIMFWVLDDTCASPRAQVTYALMPVAYWRCFLRHAYRVDARLCILNKAKEIPSEEELPSQLLDYLQTCCKKRDAKRQN >Sspon.06G0025660-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6B:70753895:70754964:1 gene:Sspon.06G0025660-1B transcript:Sspon.06G0025660-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSAPATVHEMRRAQRADGPAAVLGIGTANPPTCIAQDDYPDYYFRVTNSEHLTDLKAKLSRICNNKKSGIRQRYLHLNEELLAANPGFIDPTRPSLDERVEIASAAVPELAAKAAAKAIAEWGRPATDITHLIFSTYSGARAPSADRRLASLLGLRPTVSRTILNLHGCYGGGRSLQLAKELAENNRGARMAFASQTTIPETEDAISMQYSKCGMEYHLSSQVPRLLRCNVERCLVDAFRTLGVSAVWNDLFWAIHPGGRAILDNIEEVLGLEDGKLAASRHVLSEFGNMSGTTVIFVLDELRRRRAAAAKQGGDAPEWGVMMAFGPGITVETM >Sspon.06G0011020-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:46885757:46889119:1 gene:Sspon.06G0011020-2B transcript:Sspon.06G0011020-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Meiotic recombination protein SPO11-2 [Source:Projected from Arabidopsis thaliana (AT1G63990) UniProtKB/Swiss-Prot;Acc:Q9M4A1] MAEANVAAASLFGADSRLCSADILAPPEASAFSASPTSSSAPFVVVRARIEVAVLNFLAALASPSSPAISVLPLISRSSANCSLRSGLLNDVSSVYLSHTFCKRSLMHNPKAFVRVWKVMEMCYKILGEGKLVHQRELFYKLLSDSPKYFSCQRHVNQAIQDVVSLLRCTRQSLGVMASSRGALIGRLVLHEPDEEKIDCSILGASGHAIAGDLNLLSKLNLSSDARYIIVVEKDAIFQRLAEDRLYNQLPCILITAKGYPDIATRFILHRLSQTFPDMPIFALVDWNPAGLAIMCTYKYGSISMGLESYRYACNVKWLGMRGDDLQLIPESAFQVLKPRDLQIAKSLLSSKFLQESHRAELTLMVERGKRADIEALYSHGFDYLGKYIARKIVQGDYI >Sspon.01G0054370-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:54923086:54931092:-1 gene:Sspon.01G0054370-1C transcript:Sspon.01G0054370-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ELDEGKTMCKVAAKTAPVRRIEKAVDPDVARLLDESDVSHVGSEDEGLEEDFVIIANRAEGGVGEEDIEEMEEWNGVLSDVEEEEFDFEEDEPQPRVRRLLDEQFDLLALEEYGDSDDDDRVVKDGEYELPKEEVVVLVSESSDESEVWDCETIVSTFSNLDNHPGKIETPGIPRKRLPRVFPGETTTTNDIIKLHGKEKLPVEYLPQRRRNGEKEKKVKPVEASVTDKFKKGAEKETKEEKKARKAAVKEERRQAREAKKELKGLYKSETQKAQKVAAVTGPSSIRLM >Sspon.07G0012500-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:44380166:44387283:1 gene:Sspon.07G0012500-1A transcript:Sspon.07G0012500-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPREIITIQVGQCGNQIGMEFWKQLCLEHGIGKDGLLEDFATQGGDRKDVFFYQADDQHFIPRSLLIDLEPRVINGIQNSEYRNLYNHENIFVAEHGGGAGNNWASGYHQGEQVVDDIMDMVDREADGSDSLEGFVLCHSIAGGTGSGMGSYLLETLNDRYSKKLVQTYSVFPNQMETSDVVVQPYNSLLTLKRLTLNADCVVVLDNTALNRIAVERLHLSNPTFAQTNSLVSTVMSASTTTLRYPGYMNNDLVGLLASLIPTPRCHFLMTGYTPLTVERQVNMIRKTTVLDVMRRLLQTKNIMVSSYARTKEASQAKYISILNIIQGEVDPTQVHESLQRIRERKLVNFIDWAPASIQDNDLSEFDESREIIESLVDEYKACESPDYIKWGMEVKMDFWLQLFGRMVSMKLRGGPKASVAA >Sspon.07G0025970-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:49570670:49574775:-1 gene:Sspon.07G0025970-1B transcript:Sspon.07G0025970-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSWLRSAVSRAVEAGGRSGVARAVKGYADAVAHHAGQAVADILHDRMGAQNYKSFKKTVARLEEAAVSCHGGERIELLKRWLGALQDVDAEHGGSDLKASEAHDPSGEMDTLKAPMVLFYDADIDGAPMNFRDVFLYSQALEGITLSMILEAPSEEEVSLLLEIFSICLTGGKEVNQEIMSNVQDLAKAFSEYKDEVLVKREELLEYAQSIISGLKRNADILRIDAETLELQRKLDEKQKSRAQTPEDQDKTSDKIAVANIEVFKEALSELRLCSRVEELLLKKKSITPGDSLETHSQKACLYFIFRCFRFDLRSLELLWSVILAINHGKL >Sspon.07G0029650-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:77291195:77309563:-1 gene:Sspon.07G0029650-1B transcript:Sspon.07G0029650-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLVATCDPKLLLRPSQTPQSRTTGLFPRTSPPPPPPPPPLPQMQVIRPIQVRLFGYPNVGTSATPMHRLSSPCRFPLSFMASATTRWWPPALEQRASPLMVSPNPPVSRVFRLPCGPRNMATISRVKDDESDKPNRLLPISSANVINLPLDNIEKRGAILGVIAGDDTSDKDACWETSEVIYVKHETCGEIVKHTVTEEDGRSTTEDHKGKIGVSDEEVSDDEGYTVNNALVNIRDLKQNHGSLINMAGPKRGVHMMDLALIEYDMRIKTGEQEKDDLYLIDGASMIGLAGLWNYPFTMRIPGDCGAVNLTLARLDDAVEATIEVLISEVQNSFSLFLGCLTSGLNKEIQLFDGAVAKSCGLRRSVVAVVNDSSIDLKFKLGSLLSSSDQHCCSFNTKTHGHDTQEIKTDFALISVKVTWSTLPDGTRGYYECEYELEGNTRSGQEDSIKSHLKYGECREYSSKSSFFLTDFSPGITSDTEHFQVTTMIGEDLSQVAVGHPVLLPSARNRDVSQYDEIFSVAYSRDASGDEMEWNIGTSTDEAE >Sspon.04G0032490-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:27649947:27650267:-1 gene:Sspon.04G0032490-1C transcript:Sspon.04G0032490-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLTMMASFAAVAVAAPSRRGSFAVVRAAKADRFQQEPASARLAAAAEEAAEGRRAVMLAAAAAAVAAIGGAGAAMADPKKGSPEAKKKYAPICVTMPTAKVCHN >Sspon.03G0036800-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:89262216:89267296:1 gene:Sspon.03G0036800-2C transcript:Sspon.03G0036800-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPQTIALAGLILLNFVLAVAALCLHVLGRRRPRQQVPPPPPQPLEAAAAGEAEEEEGGGEERPQNQRRRRRARRKRQQQEQEEEGAAAAAEGGGHGADGDRDAAAKAAGKAALLPRRPEFPLASVAGPLQRRINARYDDLARASEAQCLTIEQVNEFVNCLIDARNELLQRYENVQRSFKIKKAMLSNHRNYRSSYERLFEQVRRLETERDNLKKDAAIYNYIQERLQKSAAYKMIMELSAMEMEAQEISFEELLAKEKEDTAFWQRNGKLRSFSSK >Sspon.05G0014890-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5D:53694415:53698248:1 gene:Sspon.05G0014890-2D transcript:Sspon.05G0014890-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHGDLTAGEELQPSTLDHIQPFSTWELKVVKVLDIVRRRALTEFNTKQIPVHTRFCDFNIAFFDHDKESEIKHGTPFHKIHPSRHRLLDGSVNVISIKVAKSDVPINIYGTVLARDRNDYRCVYLFKRARDNPQLMTKRNRMLALTGPYRALGAAGTMYFEFNLKIKGKEETDDQDFCKGLLERNAFRSTLAQPCTYSLESCLSTKSRPSTVDMVCMPVRFALEASLEVNVLNGQSFFTGKISAWTSGNDQNKTILDDETKIVLYDSKVPGTEIKLESGGSISLSRRIVSVPLNGDLVLKISVWENCKHKHRELVLGHDVEDHTCKLGPYELQNSSPRAWAILETSLPHKLGRAWAILRLRCRTSREACHPLESGRGVPNFTTAENLGFL >Sspon.03G0001080-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:2844230:2848158:1 gene:Sspon.03G0001080-1A transcript:Sspon.03G0001080-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MWEEAGDADDIFASPGGADAVADDEEVARVCAAVESTPEDEIASTLADMIVDFNEPLLAAVLLAAEQCSCKKLISLFNYAAKNNPAAKSLSNLEILVSKVADSDEIDKTDAYLLWDSIKEIGSVPGSVSTPLLNEMIAIFWKLEKSKAALEVFSKFHEFGCTPDSDSYYLVIEAARKKFMFRSACEICEKMIGSACFPNGEKVGRILTYLCEGKKVKTAHSLYLAAKEKKIQIPKSALDFLVGASARNDETIDTALELLEEYQGESLKHAGKSFATVVHALCRVNKMEDANNLLTRMVQLEEYQGGSLKNAGKTFATVIYGLCRKKKLEDAKTLLMRMVDVGPAPGNAVFNFVITALSKQGEMEDAKGLMRVMESQGISPDIYTYSVLMSGYTKGGMIDEAHGLLREAKKIHPKLNRVAYHILIRGYCKMEDFEKAIECLMEMRKDGLLPNVDEYDKLIQSLCLKAMDWRRAEKLLEEMEDSGLCLRGISRSLIAAVKELEGEEMQSKASQEAYCHYHTKSQSVECIIEH >Sspon.05G0029960-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:78728399:78735470:1 gene:Sspon.05G0029960-2C transcript:Sspon.05G0029960-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGDGGGAASKDYSVASTVSEVNVGSTLGTSQDLAPVVSGMKMEVDGGSVLEREGNAQEQGCAVAAVASEVKTEEGDGGVVNQGTTAPAGGIQVKEEVGECLVGRYVGQSAPGHARILIGKVASYDSTAGVYSVVFEDGHTEELGLPQLREFLMSDDNGTLGMKVSCRKRKLDLLVSSGSVSEVKEPASTRQRADGCEMPARPDELQHSASGSDMSEDVESSSNSSDFTREETSEPFPPVQAVELPPSSGDIPVPEESISSLFSVYNFLRSFSVELFLSPFGLDDFVASINCSMQNNLLDAVHVSLLRALRRHLESKSAEGSRQASDCLKYLDWTLLDALTWPNFLLEYLYVMRSIKNLGGKSFGRSLLVAEYYKLPVTMKLRVLQILCDDVNESEELKTELENRIGYSEEMEYEIECSVFQEAASRGVSTRAAKEAYKKTNDFQNLENAPNVTNPETAVAVLSQDGNSDDCRICGMDGTLVCCDGCPWAYHSRCIGQNKAFLPQGDWFCPECVVNKLGPTSSRIERGARGAQMIETDSDAESYARYYNQYDVAKVLQRLAVSDAYVDICRQIKDYWKHLLGIIHSERSATGKEVGVDHTPRSSMLSSIPVKAGDGSVWTTLKDGGDRETAVLPQTNVQQKFVANQSAVCSAERLEEQKCKSSLGAVTEKNAEVCKQTVSAQNNIQNAHRNGAFGPSVVSSVSHQNGSIVTGVSTIAQAQPAQSIFHPDSSTVSATAGLFCPSSQGKHHLQLFPERSGNMSGGKAAKLSSFKPQAYMNLYNHGNIAASAAANLAVITSDEGKVSASQLTAKPRKKMAADNALQLKAFSSAATQFLWPSTEKKLMEVPRDRCGWCIACRSSASGTKKACFLNMATTNAAKCSARILSAMRVIKNSDSHFPSIVAYLANMEESLRGLLVGSLQDMQQKKRWHQQLQEASNCRTVIPLLLELESNIRGVAFSASWLKPIDDWPVESPGPSMGASRPAQYQKRGVGGRRGRRRSLASESGTATDEDNSWTWWTGGNISKRTLQRGALLHSTIRKAARQGILLNAAVVKKRNLMLTSYNTNTSYFRPQQKSNGQQPVTAPRIVFKVSSSHSGEPAPKVEAQLVAKVKAQPFAKVEAQPTMNVKTQPVVNVKTQPFAKVEAQPIMNEKAQPLAKVEAQPLAKVATQSITSVQALPKTKAKKSKSEKEKKSKKVQAITYFGLVWKKNKSDKDDGSEFRANDVILKSKDSIDWFHGDALQLDEERIGELVAYRCCRCRRRAIPHCPHSDGYTKPEPELSEQTVATSSQSTMLSSEETFALADQDPLLASYGIVEPIGDDTMDIDLSMNMASFGPGSNQKLSIRRAQAKSTEYLDQAGGPVNEIILGNGNINFSHTNGISFSEADSVDASELLGWDFSQGVAYATPPDFTANHQSNDTSCGSFGMDEYEPQTYFSFTELLEADDTQLDNAFGMSAGMQGDSNGTGSFVQQGVGFDELSFMVEDGASNMNFPTDDTTPDEVACNKCMNTQPPPDLKCAVCDLHIHRQCSPWDQ >Sspon.08G0007730-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:25925231:25928169:1 gene:Sspon.08G0007730-1A transcript:Sspon.08G0007730-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:O-fucosyltransferase 8 [Source:Projected from Arabidopsis thaliana (AT1G29200) UniProtKB/Swiss-Prot;Acc:F4HZX7] MEGASSSAAGAREGGRDQHRQAAAGKQQQQHATRAAGVGISVSVTSWHLRVFAAVVGFMGCVLLAASLAMSALHQVQFRNAAISRNFRGLQELKQNIVRREQVEQIMHGRLLQMATSALTKVPLMITQPTFCNSTLEMKICIFTFYLLMLQVSLFSAVQNGSEPEDFALWEEPYKQARKWKPCAAKHSLADEEPDEINNGFILVSANGGLNQQRVAVCNAVVVAALLNATLVLPRFLYSSVWKDTSQFGDIYQEDYFVNYMKNDVRIVKELPARLQSLDLEAIGSQITDMEISKEAEPSEFVKSILPILQQNGVVHFLGFGNRLGFDSVPVHLQRLRCRCNFHALKFVPELQQAGSLLVQRLRQVGAMQTEMDKQLFGNNMLDPAFAENHAAGTPNRYLALHTRFEEDMVAYSLCEFGGGEEERRELQAYRETHFPALALRLRNTYVRHCWALACCQDAVRCRSALSELTPSLVACRSTVSPEEQRSLGRCPLTPEEAGLVLSALGYDRRTFIYVAGSQIYGGAPRLRPLTRLYPNLVTKEDILTADELAPFKNFSSRLAALDFIACASADVFAVTDSGSQLSSLVSGYRIYHGRGRAPTLHPNRKRYAQVLSEEGSIAWGGFQRRVRQMVDEYKRVSPRPRGRSVYRQPRTPGCMCRAAGDGSVDF >Sspon.06G0030810-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:50122529:50126407:-1 gene:Sspon.06G0030810-2D transcript:Sspon.06G0030810-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLEALVAHIQGLSGSPDEVAHLHSLLKQADGDSLRAHAAALVTFLAHLSPETHSLGYLYLLEACATSGSNLSDFGGGDFLVTIAGFLTACSADQIRLAPDKCACCLGSPDRFQPQCAVPCHDWFCSGCNLTNFDLVVWCCCVVLNVCRVLKDQVMQLNMPIRGIAPLRAAVRKIQSSPEQLTPVHADYLLLCLLAKQYKAGLSVLEDDIFEVDQPKDLFLYCYYGGMIYIGLKKFPKALELLHNAVTAPMSMLNAIAVEAYKKYVLVSLIVNGQIYVELSTSYSNGRYTDLEAFVQANSATFQSDNNLGLVKQVLSSMYKRNIQRLTQTYLTLSLEDIARSVQLETPRDAEMHVLRMIEDGEIHATINQKDGMVSFHEDPEQYKSVEMVEHIDSSIQRLTALSKKLASIDENMSCDPAYLMKTGRDRGRFDGRFDYDDYDSVPHKFF >Sspon.05G0019280-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:82580137:82583233:-1 gene:Sspon.05G0019280-1A transcript:Sspon.05G0019280-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AFAASPSLSALASSSSAAAATATATATTSSSTPSSNAFHEPRPLHLSLKPVSRLPKPHALSCSAPHIPRAAAGDGSGAGNRGDDSGGNGGNDGGGGGEDDDDYEEAEFGPLLGFDEVLRLAAARGVALPGDMMEAAKDAGIREVLLLRYFDLQVAPWPLGAMIRAFSMLRNRMLADPSFLFKVGTEVVIDSCCATFAEVQKRGKDFWAEFELYAADLLVGVAVDIALVGLLAPYVRIGKPSASTGLFGRFSRMAGSLPSRCFEAERPGCRFTIQQRVGTYFYKVVLYGSVGFVCGIIGQGIANMIMTAKRYVKKSDEDIPVPPLVKSAALWGMFLAVSSNTRYQIINGLERVVEASPVARRVPPVAMAFTVGVRFANNIYGGMQFVDWARWSGVQ >Sspon.02G0004680-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:17805838:17812755:-1 gene:Sspon.02G0004680-3C transcript:Sspon.02G0004680-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:EMB2654 [Source:Projected from Arabidopsis thaliana (AT2G41720) UniProtKB/TrEMBL;Acc:A0A178VXZ9] EITISGSLKHAVHVFRWMKNQENYCARNDIYGMMIRLHARHNQVDQARGLFFEMQEWRCKPDTDTYNSLIHAHARAGQWRWAINIMDDMQRAAIPPSRTTYNNVINACGAAGNWKKALELCKKMTENGVGPDLITHNIVLSAFKNGAQYSKAIAYFEIMKSSKVAPDTCTMNIVIHCLVKVGLYGEAIELFNSMRERRTICPPDVVTYTSIMYSYSVCGQAENCKAVFDMMVAEGVRPNIVSFNALLGAYASHGMHTEALETFKLLKQNGLRPDIVSYTSLLNAYGRSAQPEKAREVFNEMRKNACKPNKVSYNALIDAYGSAGMLKEAISLLHEMEQDGIQPDVISISTLLTACGRCRQLTKIGIILAAAKSRGIQLNTVAYNSGIGSYLSLGDYKKALELYTSMRAGYVKPDAVTYNISLADLVNWEDMMDLNIHLTKEVYSSVICSYVKQASVAGIISLGKLTEAASTFNSMKESGCFPDVLTYTTMIKAYSDDGSWRRAWDLFKEMESNDIQPDAIVCSSLMEALSKGGQPERVLQLMEFMKQKQIQLNQKAYFEIISSCTMLRDWKTASQIIEHLDSSLSSISCGTLNHILNFLGKCGRTESMMKLFYKMVTSCSTVGLSTYTILLRNLLVVGKWRKYVEVLQWMEDAGICPTLYMYQSVLPYIWRDNSMDYVTLMQEKISMTLPNLIIVCMKSMLLFFDLIISFKYFYIYLHSLLPLLNL >Sspon.02G0029410-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:98220954:98221313:1 gene:Sspon.02G0029410-2D transcript:Sspon.02G0029410-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFVPGALLELIAEARLNPARLPVALISFGVVLSAAALALIIFKAPGGIFLRLHGSTPAYLYYGILGAVVIFGLAVASFGYWVVPRDVDGWHAAGKTVLWVSLMTLVLVFALGGLAFLK >Sspon.08G0020200-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:18470425:18474461:1 gene:Sspon.08G0020200-1B transcript:Sspon.08G0020200-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSPLATSRRPSISPHTAGPGVLAGAGGGEANLTVTEAVRSVQLLKIDGYSATSTMVGSAEFIKPRSRWNIDGHEWEVHFYPDHCQFLEDEDVIPPFDDFVEWVALKLILVSEPQRDKLRVNLSCRLVCPSQRVGPSKEKSVSHVFDRRSKCSPEVLLMLKHDVPSSGYLVNDSLTVECTITVLGADDEEQPLPVPPPSDMHKHLGELLQSQEGADVTFHVSGESFAAHKAILAARSPVFKAEFFGGMEERSSDSVEIKDMEAAVFRSMLHFIYTDMAPELDGDLEPQEAATMAQHLLAAADSIGIATAATTLALAEQHHCSLLKAKCLEFVTKSPETLDAVLATDGYAHLVASCPLGGGAWKEDLSWMMLPLLQKIDCSIGVQLSGAAAAPATPVPAQGLHLEFGTLVLPPPVRRVAFPDSRAAAAFGDWERQRAPVHFFDMKPPAITKITETARSVQLLQIDGYSATESMSQKDYIASTWNVDGYEWELIIHSKPRTVDARVNFAGRLVDPSGRLEPSEESSMCHVFYHRRDQTKALLLEKRHEIAPGYLENDSLTLPEVVFPVTKEEVPPPTPSSDLHKHLGELLEGQKGADVTFLLAPGGERFPAHKSVLATRSRVFMAEFFGGMEERTSRVVEVQDVEPVAFEAMLRFVYTDVAPPELDDDDEPVASVTMAQHLLAAADRYGLDRLKVICEAKLAGCVDVGTAATTLALAEQHGCALLKAKCVDFVTASPETLDAVLAIEGYAHLAASCPLVLAELLKSARGRKN >Sspon.06G0003710-4D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6D:10054788:10055791:1 gene:Sspon.06G0003710-4D transcript:Sspon.06G0003710-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VHYLREMRIAHFVVKEPMVIGHECAGVIEEVGAGVTHLSVGDRVALEPGVSCWRCRHCKGGRYNLCTDMKFFATPPVHGSLANQVVHPGDLCFKLPDGVTLEEGAMCEPLSVGVHACRRAGVGPETGVLVVGAGPIGLVTLLAARAFGAPRVVIVDVDDHRLSVATSLGADATVKVSTRDEDLDAEVECIREAMGSDVDVSVDCAGFSKTMSTALEATRPGGKVCLVGMGHNEMTLPMTSAAAREVDVVGVFRYKDTWPLCIDFLRSGKVDVKPLITHRFGFSQRDVEEAFEVSARGRDAIK >Sspon.01G0004430-3D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:10437896:10441312:-1 gene:Sspon.01G0004430-3D transcript:Sspon.01G0004430-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFTGSVPVRRLTATAMAEATERRKRAAVVVLGDIGRSPRMQYHSLSLANQASMEVDIVANGGSDPHLSLRENPSIHIHEMKTVQLTGISKISGALALLLKAAVQFILLVWFLCFKIPRPDVFVVQNPPSVPTLAAVKLASWLREEVVEDMSTTVFTSKIDGEVYLKPNRPALVVSSTSWTPDEDFSILLEAALMYDRRVAAALGEDDSMDEGQLWIDIKNGKQFVYPRLLFIITGKGPDRKKYEDQIKRLKLRRVALRTMWLASEDYPLLLGSADLGVSLHTSSSGLDLPMKMLFKGFPEECNALKSLKEGAMKSASSSKWPTEWEANALPL >Sspon.01G0032830-1P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2B:49524:50440:1 gene:Sspon.01G0032830-1P transcript:Sspon.01G0032830-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GSDEAVRCDGVVERDSVRGGAGGGRRRVPDRTHRFRHRRAQEPRPPRPQQSRAICKYVARKNKPDLLREGNL >Sspon.06G0015290-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:35681313:35683706:-1 gene:Sspon.06G0015290-4D transcript:Sspon.06G0015290-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAMAVIRLARPAAMRPPSASAASPSLRPRPPARLLRRLRVVRCRAGGREGRGRRRGRPRRPSRSSPGSSGAAGWRRGPAAAGANKEAEEGAPEAGAKRRVAAAEFERGAAADGQRERSMALNSEGLEGLVPRAKLLLSLGSTFFLAFGPLILVTVSLFAGLYVYFGPSFVHDASKTPVSVPPYIDPYELLEDERLSRPSPDVF >Sspon.06G0004860-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6A:15557325:15560688:-1 gene:Sspon.06G0004860-1A transcript:Sspon.06G0004860-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGDGFDDLWSSQPSASGPACAGLDLNSQAPAAEEFPGLRLYRDFLQGDDVEVLPRRGRGSGLPPYRPPRARAGDAWATPAPQHAGQLLFGGSSSAAAGRGGGNGGGYTGRSSSGVGGGVRQCANSAVAAAGWRPQRSNTASLGSSGQGIPLPRAPRAPRAPRSGVRGQASGSGAPFNIDDEAMEDDVEELASSGGPPHIPLNSSSYNPDAPYSQSYVSCESYQHKKPYLKKLLTSPPANEDLLDELFRGYTVDGTTAFVPGDDYCDNEGQDARTEDEEEEELAETPTMKKTKNPMVKIVKDIASTFKESVAANTKQIQKRANKKAAFSIKRCQELAFECGVEKTIDAVYAMSKMFETEYQREFFCGQLTPELRLGYFKKWCRDNNLE >Sspon.07G0031650-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7C:25366722:25367408:-1 gene:Sspon.07G0031650-1C transcript:Sspon.07G0031650-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAAQYIGRFKPNEPHEDGDQELSDAKSRVGDTSMENSVMGWTTKLHIMVPKADVFFHDVLTRTLDTYYYDWEATLEYRCKEYSHPMMDSYWETELWVKTIDPTTREPKVESKFITRVSRATVETSMEDVAYNVFVFYHGLRSDKTLKGALRHFPYFLHDKGVWTVPLADDSSLTLQATVGLVRELALHGVDLKDELLKEKELKEQAYKEIDDLRAELGRHKIYEKLN >Sspon.08G0010340-1P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8D:41637565:41639517:1 gene:Sspon.08G0010340-1P transcript:Sspon.08G0010340-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMGRRDGGGCRAIPARELNDAGALGEGDVGDAEDGEDAAH >Sspon.07G0013350-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:48126913:48137454:1 gene:Sspon.07G0013350-1A transcript:Sspon.07G0013350-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:UVH6 [Source:Projected from Arabidopsis thaliana (AT1G03190) UniProtKB/TrEMBL;Acc:A0A178W4P7] MRFDLDGLPVHFPYAAIYPEQHAYMGELKRALDARGHALLEMPTGTGKTAALISLITSYSLANPSRPLRLIYCTRTVHEMEKTLAELRLLFAHLPPAASRSLLALGLSSRKNLCVHPQASAAAARDSVDTACRRLTASWVREKAASDPDSTPLCEFYETFDRAAAAGDLASFMPPGVYTLADLRALGRERRVCPYFLARQMVKYANVVVYSYQYLLDPKVASIVSREMQKECVVVFDEAHNIDNVCIEALSVSIRKQTLEGAERNLRRISQEIDRFKATDANRLRAEYNRLVDGLAQRGNLPISDAWLANPALPDDILKEAVPGNIRRAEHFLAVLRRLVRFLDGRLDTENVENEMPVSFVASIHSQAGIDQKMLRFCYDRLHSLMMTLEITDTDEFMHIQTICDFATLIGTYTRGFSIIIEPYDERMPDIRDPVIQLSCHDASLAIRPVFDRFETVVITSGTLSPIDLYPRLLNFNPVISRSFTMSLTRDCICPMVLTRGSDQLPVSTKFDMRSDPGVVRNYGRLLLEMASAVPDGIVCFFVSYSYMDGIVNSWHEMGILQDIMQHKLVFIETPDVVETTLALDNYRKACDCGRGAIFFSVARGKVAEGIDFDRHYGRLVIMFGVPFQYTLSRILLARLEYLRETFQIKEGDFLTFDALRQAAQCVGRVIRSKADYGMMIFADKRYSRHDKRSKLPGWILSHLHDAHLNLSTDMALHIAREFLRRMAQPYDKTGSGGKKTLLTEEDLQNMAQDGMEM >Sspon.01G0007380-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:87449476:87453821:-1 gene:Sspon.01G0007380-3D transcript:Sspon.01G0007380-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDTDVDTEMREAAAAAAPAGDDDGEGDTEEEEEEEDEVDDDDEEEEEEEEELPAAAPAPAEEQPAPAPISALPGNPNQLTLVFQGEVYVFESVTPEKVQAVLLLLGRGELPPGLASMVLPNQNENKGYDDILRRTDIPAKRVASLIRFREKRKERNFDKKIRYAVRKEVALRMQRRKGQFAGRASLEGESPAPGFDPGSQGSGLDFASRESKSVLCQNCGTSEKMTPAMRRGPAGPRTLCNACGLMWANKGTLRSCPRAKVESPVVAIEQGISDNKALVTPNNDNVAASNGEAASAAEIGVPKAP >Sspon.03G0026380-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3C:1796723:1798485:-1 gene:Sspon.03G0026380-2C transcript:Sspon.03G0026380-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAASSSSEGPVAVSTCVSLGEAAAADCGVCAICLDRIQLQETALLKGCDHAYCVTCILRWASYKQNPLCPQCKHPFEFLSVHRSLDGCLHDYLFEESVCLLLRAAWFEPLIVEAHEEALEEEEFFHQQYQYDDNEDDLDEESYYMSRSPSIRIGNRRWGDNGYIRGGRKEARPVNTDASAGPSRTPKKKEKASSSSASVSGSGSGSVSKDVAGRRAKRAQKREAADRAAAEKHLKHLQRLGLRKAPEPEVPAEVGPQVNE >Sspon.07G0019510-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:73736163:73740357:1 gene:Sspon.07G0019510-3C transcript:Sspon.07G0019510-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVERVCGIKREGRRSGTGMVASGAYFSVDRMEEGESGYDEKPSEPNRGPLPRLPPALFHSDKEEEEEIRERDRPELARSLSGMAPAAHNVVNNMHSVAPMEVSDDDGRPRRTGTFWTASAHIITAVIGSGVLSLAWAIAQLGWVAGPTAMLLFAFVTYYTATLLTECYRTGDPETGKRNYTYMDAVRSNLGGAKVVFCGVIQYANLVGVAIGYTIASSISMKAVRRAGCFHTHGHADPCKSSSTPYMILFGVIPDFDQIWWLSIVAAVMSFTYSSIGLSLGIAQTISHGGFKGSLTGVSIGAGVTSTQKIWHTLQAFGDIAFAYSFSNILIEIQDTVKAPPPSESKRGDDNDILHAVRVHGAPDNLLTGFGFYEPFWLLDIANIAIVVHLVGAYQVFCQPIFAFVERRAASAWPDSAFISRELRVGPFALSVFRLTWRSAFVCVTTVVAMLLPFFGDVVGLLGAVSFWPLTVYFPVEMYIKQRRWICLQTLSVSCLLVSIAAAAGSIADVIDALKVYRPFSG >Sspon.08G0018720-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:7838731:7842694:1 gene:Sspon.08G0018720-1B transcript:Sspon.08G0018720-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWPPPPSTAHFFFLLLLSLLAAAQAGYRVVSVSGAGGQLSARLELVGAGHKAELGPDVQRLSLTARQTDSRLHVRITDADHPRWEVPQSVIPREAPRHITLESSTGASPSPHSRVLSAATSDLTFALQASPFRFTVSRRSSGDVLFDTSAALVFKDRYLELTTALPADGRASLYGLGEQTKRTFRLQRNDTFTLWNADIAASNVDLNLYGSHPFYLDLRPSAGAAHGVLLLNSNGMDLEYGGSYLTYKVIGGVLDFYFFAGPAPLDVVDQYTQLIGRPAPMPYWSFGFHQCRYGYKNLTDLEGVVAGYAKARIPLEVMWTDIDYMDAFKDFTLDPVNFPAGPMRQFVDRLHRNGQKYVVIIDPGINVNETYGTFVRGMQQDVFLKRNGTNYLGKVWPGNVYFPDFFNPRATEFWAREIALFRQMLPVDGLWIDMNEISSFVDPAPLNALDDPPYRINNSGVRRPINNKTTPASAVHYGGVREYDAHNLFGFLEARATHGALLADTGRRPFVLSRSTFVGSGQYTAHWTGDNAATWDDLRYSINTMLSFGLFGIPMVGADICGFGGNTTEELCSRWIQLGAFYPFARDHSAIGTIRRELYLWELVARSAKKALGLRYRLLPYMYTLMHEAHTTGAPIARPLFFSYPKDVATYGVDRQFLLGRGVLVSPVLEPGATTVDAYFPAGRWFSLYDYTLAVASATGKRVTLPAPADTVNVHVAGGNILPLQLPALTTSRARQTVFHLLVALAEDGSASGELFLDDGESPEMAGPRGEWSLVRFSCAAGLDGVTVRSHVVRNAYGPSWKLVIGKVMFLGLHSPAPPREFAVYVNGVKTANSMGGALGYQRSGALGAAQVEGLSLAVGKEFELK >Sspon.04G0008930-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:26319687:26321497:-1 gene:Sspon.04G0008930-1P transcript:Sspon.04G0008930-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTRSSSSPSRGAGDGKKRLEALAQDRVAEAADAVASAACAGEVVRAIHAVASLLFPVDSEAVAGTVEEPFRSQIVEAVTLSNDERESWRCAFYHGPAFPTMSKILLGNVALKWLPKINVSARKEIYDSFFVKGPPTEVIQALVPALSEKEVSKEDHRTFFSNLE >Sspon.06G0019270-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6B:1111465:1112962:-1 gene:Sspon.06G0019270-1B transcript:Sspon.06G0019270-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LHPPAHTLPPPPPPPQQQVRIPGVAVPAPGIPPAQNNLPPPPQPPAAPAPPPPQHHQIQQGDGINRPGGNFAGGPIVVGNGGPAGGGDGPGGTTLFVGELHWWTTDADLESELSKYGPVKEVRFFDEKASGKSKGYCQVDFYDPGAAAACKEGMNGHAFNGRPCIVAFATPNSVRRMGEAQVKNQQAMAAQTSNMQPKGGGVGPGAGGGAGGGGGNWGRGGGGMGNRGPVGNMRNRMGPAGGGRGIMGNGGMVAPPPPMMPPGGMLGQGFDPAGYGAMGRMGGGFGGFPGGPGAMPFPGLMQPFPPVVAPHVNPAFFGRGGMGAGGMWPDPNMGAWGGEEQSSYGDDAASDQQYGEGGSHGKERPPEREWSGAPERRREREKDAPPAQEWPERRHRDERDMDRERNRDYDRDRERDRDRERDRDRDRERDRDRERERERERERHRDDRDRYGDYHRHRDRDSERNEDWDRGGLLGYAA >Sspon.08G0028430-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:11449058:11451030:1 gene:Sspon.08G0028430-1D transcript:Sspon.08G0028430-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding YCAIFQHSALLDLDNDTAFFGVFDGHGGKVVAKFCAKYLHREVLHSEAYAAGDLGAAVHRAYFRMDEMMRGQRGWRELQALGDKINQFTGIIEGLIWSPKASDSNDRHDDWAFEEGPHSDFTGPNCGSTACVALAYNLSRDHKPELEAERERIQSAGGYIQMGRVNGTLNLSRAIGDMEFKQNKFLSPDKQILTANPDVNIIELCDDDEFIVLACDGICNNRILWAIRDCMSSQRWLISFVSI >Sspon.01G0021280-1P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1A:78239975:78241486:1 gene:Sspon.01G0021280-1P transcript:Sspon.01G0021280-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDATRLFLGALLLLLPAALLLLLRARGRRRLPPGPPSLPLLGSVVWLTNSPSEIEPLLRRLFERYGPVVALRIGARLSVFVADRRIAHEALVDRGAALADRPALASVRLLGENDNSITRASYGPVWRLLRRNLVAETLHPSRVKLFAPARAWVRRLLLEKLGEPGPDAAPPRVVETFQYAMFCLLVLMCFGERLDEPAVRAIASAQREGLIYRSKNMQVFAFFPAVAKHLFRARLDKARELRRRVKELFLPLINARREYKKRAGEPKGETTFEHSYVDTLLDIKLHEDGDRPLTDDEICILCSEFLDAGTDTTSTGLQWIMAELVKNPAIQEKLYNEVKAATEDVKEGVSEEDVHKMPYLKAVILEALRKHPPGHFVLPHKAAEDMEIGGYLIPKGTTVNFMVAEMGRDEQEWKNPMQFSPERFLPGGDGEGVDVTGTKGIRMMPFGVGRRICAGLGIAMLHLEYFVANMVLEYEWKEVPGNEVDFAEKNEFTVVMKKPLRPRL >Sspon.05G0015130-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:57033405:57036969:-1 gene:Sspon.05G0015130-2B transcript:Sspon.05G0015130-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPPAAGADAKPLVLLSQPSDSGLTPVMEGQFRFVLAADADAATAAEARVLFVPGLRPVTADLIRRLPALELVSTISVGLDHVDLDACRRRGITVTNAGATFSVDSADYAVGLVIAVLRRVAAADAHLRRGRWAMDGDYPLATKVSGKRVGIVGLGSIGSLVARRLAAFGCPVAYHSRTRKPAPCPYTFFPTVRALAADSDVLVLACALTEETRRVVDREVMEALGAGGVLVNVGRGGLVDEPELVRCLREGVIGGAGLDVFENEPDVPAELLDVDNVVLSSHKAVATAESIRGVLDAVSGNLEAFFAGRPLLSPVSL >Sspon.04G0030710-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:2820280:2821279:-1 gene:Sspon.04G0030710-1P transcript:Sspon.04G0030710-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPGCQERCGNLSIPYPFGIGPGCFRSDFEVRCDNDAGTAYLGGEGSSIWAFDIFLLQGEARVHKHLTWDCYNDTGITGFARSPMDLASYYQISHTKNKFTAIGCDTIAFIQGENANSYTSGCMSFCSSNASVDTSGQCTGMGCCQTSIPANLTYFNTTFSTRRSTSVLEFNPCSYAFVIETQQFRFDVSDLAGHHFADKYSDGVLLVLNWVVGEESCDEAKKNMSSYACLSKNSECIPSVNGPGYLCNCSSGYGGNPYLEEGCQDIDECAFPEQYLCLGQCTNTIGSYSCTCPKGTRSTDA >Sspon.01G0041220-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:41286554:41288663:1 gene:Sspon.01G0041220-2C transcript:Sspon.01G0041220-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMEKKSWKATRDVAGAFSMDLELVGEEEDDDAVPAIPPPQTPLEPMEYLSRSWSVSASEISKILVGGGGKKSSAVAAASRLPEVTIPEQSVLATTSSSIVPLPRHQQQHRDARRSSMSSGHHHHHQSIGKWFQVHHRETYRAKQSGKEKQRADKAHVHAMVSVARVAAAVAAVAAATTTISDTQAATKMATAMASATELLASHCVEAAQHAGARHDQVAAAVQAAVGVRSPGDLMTLTAAAATALRGAATLKQRVQRETRSTASVLPYEKGNSWSPDIWCKEGELLKRTRKGGLHKIRVSIYINKRSQVILKLKSKHIGGALSKNNKSVVYGVYSELPTWAEPGTDCTEETCCFGLSTAQGLVEFECEGNASKQKWVDDVQNLLRQAALHDQVGNKLGLVKLS >Sspon.01G0022980-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1A:83551236:83554354:1 gene:Sspon.01G0022980-1A transcript:Sspon.01G0022980-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLRPMWPMYGTISSYPSRQRPPILLLQPLQGAIPAARCPSLEAPPPAPGAMAGGPVAAAPPAMGAAAPGAAAALGAVAARAFFPRWLQPPSRYKYYLVVVDDFSHYSWTFPLRAKSEAFPTLSHFFAWVSTQFGLAIKAVQCDNGREFDNSTSRAFFLSSGVHLRMSCPYTSSQNGKAERMIRTTNDIMRTLLLQASLPARLWAECLHTSTYLLNRLPSTACPALTPHHALFGTPPRYDHLRVFGCACYPNTAATAPHKLAPRSTLCVFLGYSPDHKGYRCFDLTSCRVLISRHVVFDESAFPYSAHSPPTPPADPAEASFFPTDTAIPPPFSPYPVGTAPARSPGGPASPLPDSPQDLPVPDTVEAAPELPPSLPVASLPPVVPDAAVPIAGPSTPTPAPPGRFGLVYQRRREPRPPSPPPGRFGIVYERRREPPPPLSSPAPSSPVPAPPASPRSRADPPVYHPPLLHRDPRHTHPMVTRQASRPQALTAAACEPAISPVPSSVRAALADPHWRRAMDEEYAALLANQTWDLVPRPPGSNIVTGKWIWTHKRRADGSLERYKARWVLRDFTQRPGIDYAETFSPVVKPATVRTVLSLALERSWLVHQLDVKNAFLHGTLTETVYCSQPAGFVDASRPDFVCQLNKSLYGLKQAPRAWYSRFATFLQTLGFTEAKSDTSLFVYHYGGETAYLLLYVDDIVLTASSESLLHRIIASLQKEFAMKDLGVLHHFLGVTVEPRPSGLFLHQRQFTCDILERAGMTACQSCSTPVDTQGKLSEAGGPMLGPADSTAYRSLAGALQYLTFTRPDITYAVQQLCLYMHAPREPHLAAMKRLLRYLRGTLGYGLLLGRSSTAELVVYTDADWAGCPDTRRSTSGYAVFLGGNLVSWSSKRQPVVSRSSAEAESTLVYCDNVSAVYLSTNPVQHQRTKHVEIDLHFVRDRVAIGDVRVLHVPTTSQFADIFTKGLPSSTFSEFRSSLNVSSG >Sspon.06G0026020-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:75060574:75062008:1 gene:Sspon.06G0026020-1B transcript:Sspon.06G0026020-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKQTEARARPAAATATSERARPPRASVPAFGGWEVADGAGAAAPDYSLDFTNIRAARMQQRRKALSWSSFVGNAAVAVETTPVGAGGGDDEEEKRCQWSSSASASDGDDDDRERRRRHQLRRLRSADDRQPIQPGRAAPKGRGKFKGYLFGCVSGQW >Sspon.05G0019130-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:77224954:77226079:1 gene:Sspon.05G0019130-4D transcript:Sspon.05G0019130-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMNHLSQEHPQAWPWGVAMYTNLHYHHHYEKEHLFEKPLTPSDVGKLNRLVIPKQHAERYFPISGDSGEKGLILSFEDEAGKPWRFRYSYWTSSQSYVLTKGWSRYVKEKQLDAGDVVHFERMRGFGMGDRLFISYRRRGESATVAAAPPPSAVRVVAPAQSTGGEQQPWSPMCYSTSGSYPTSPANSHAYRHSVDHDHSNMQHAGESQSDRDNRSCSAASAPSSRRLRLFGVNLDCGPAPELEPDTPTAMYGYMHQSPYTSNNWSALKIHQNLTRVVHNNMMRRFKTMLAESKQPK >Sspon.03G0002270-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:20794533:20797277:-1 gene:Sspon.03G0002270-2C transcript:Sspon.03G0002270-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MCCGSSRESARRPWLGCAFGSSWHTPPRGPTCSRARDRYNGRAAASSPSESASTLAGPPWGSNPNPRLQVTSVGEGTLLLLLEAPSTGRSPGSSKQPSREGRARAKRKQHGLRRRGADWSAGALAAARAEWSAGVVAPGPGGLRVARGRSDGVWEDAGCGNGWVGTPPASPLPPPPPVDAPDPDHSAGCAVLPLHWGVLQPPQSSQQPLGFPSPRPHSNGTASPAPPAAEPVQRIL >Sspon.05G0037260-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:11097884:11109781:-1 gene:Sspon.05G0037260-1D transcript:Sspon.05G0037260-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTAAAGGRRRACAPGVASSRRSASVTVPRKTLGASCSLHPDLLLNQALPQPRSSHGTQLTNHQPYDNKADVYNLALVLCDLMTSKIPDNTMSPLEAAVGVKLNSALSKAERAERGPNHNGSFQNRLHHPHPAHPFRGKAHPTLPAVAASPGPSPQPRHRSVPAFPSTNHSLASTLSRIAARKSAPTTGGVKKPHRYRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSHAVLALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPRDIQLARRIRGERA >Sspon.05G0038660-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5D:52152025:52157018:1 gene:Sspon.05G0038660-1D transcript:Sspon.05G0038660-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTAAAGAEGGAEAAAAAAAAAAMERQLASASRPAVEVRTVREVGGSNTWPMLTRTNYGEWAVHMKWKLRARRWWAAVETGNAGEDAEVGVMEALMASTPSEFHEAIGSKNTAKEAWDMLASFRLGSDRAKKAKAQQLRREFDDLRFKPGEAVEDFALRLQSIASQLATYGKPVDDEDVVAKLLRVVPAKYAQLALSIETMLDLSTLSLEDVTGRLRAVEDRASPEKEKPKLLLTEEEWSARMKEKRKTVEGSSRGGGERGGGNSGKQRGKAPAERKKGKKKFTDPNACRKCGEVGHWARECPNRKTEKKEEAHLVRSDDDGDHALLMGVFCAERSGKPRKMEVEQKAAPPVVHHLDEPRAQVHLGVSGDESEQRWYLDSGASNHMTGCRAAFSELDEKHRGNVRFGDGSRVQIRGRGTVLFRCKNGEHRALTEVYYIPELRSSIISLGQLDEHGAEVLIRQGVLHIKDQDGRLLAKVMRSRNRLYLLDLKVEQPVCLAAVSVEEPWLWHGRFGHLSFDALGRMEKMVTGMPHIKHAGELCDSCLAGKQRRLPFPKAARYRAADPLELVHGDLCGPITPATHGGRRYFLLLVDDCSRYMWLQLLTSKNDAADAIKGFKARAEAESGKKLRVLRTDRGGEFTAVEFATYCAEEGVGRHLTAPYSPQQNGVVERRNQTIVGMARSMMKAKKMPATFWGEAVTTAVFILNRAPTKALKGQTPFEAWHGRKPSVAFMRTFGCIGYVKTTKPGLGKLEDRSTKAVLLGYEEGSKAYRLYDPARGKVLVSRDVVFDEAAAWDWNAEELEAEQGHGLGDTFVVERLVVRGHGEAEQAPTTGEAAEGEPAAGEEAEPTAAEGTPGASMVEYATPPSNLDEFVDAFHEGEEVRFRRVDNVVGNAPVPGLAARLLDNDQALLLMSAEEPATFAVAERDAEWRRAMIEEMKAIEANKTWDLVDPPSGCRPIGLKWVYKVKKDERGAVVKHKARLVARGFVQREGIDFEEVFAPVARMESVRLLLALAAAKDWQVHHLDVKSAFLNGDLAEVVYVKQAPGFVVKGAEHKVLRLRKALYGLRQAPRAWNAKLDATMAELGFARCATEHALYTRRRGKEHLIVGVYVDDLIVTGARAQDIAHFKEQMGAKFRMSDLGALSYYLGIEVKQSADAVKLGQRAYALKLLERAGMAGCKAVATPMEERIKLSKQSTAAKVDATLYRSIVGGLRWLTHTRPDIAFAVGYVSRFMEDPREDHWTAVKRLLRYVQGTLEMGIIFPKRGGLQLTAFSEAPPKAKDGEPGITAYSDADMAGDVDGRRSTSGVLVFLGASPIAWQSLKQKMVALSTCEAEYVAAATAACQVDNQPAIALAKNPVLHDRSKHIDVKFHFLRDCVDGGQMVIEFVDSGRQLADILTKPLGRLRFQELRGLIGMVELRAQLFFYLGAREERREGVPVLLTWELSRGANNSYAADSYQQYDDGIFGDHNKLSKFGKGNNDIFGCPQAI >Sspon.05G0024690-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:17393739:17397172:1 gene:Sspon.05G0024690-1B transcript:Sspon.05G0024690-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVIKAGGAEPRGDGGAYDARRQQSLRARYAYGFVFFATNLLAWFVRDYGARALRGLHRGFLFHLPSPSEFSSHLRFEGGRILTVLTDYIAPSDVPVCGAGDSKCFQSGGVLRIFFWVMFATTFGTRKLHEARNSWHSGCWILKSLVYAMSIGIPFIIPNIFIQLYGEIARLGAGIFLLLQLISMLHFISWCNKRWMPDPGSNQCGLFGLFLSTISYIASFAGIGVLYVLYVPNSSCAFNIFTITWTTILVTVMMAVSLHSKVNEGLLSSGIMSSYIVFLCWSALHSEPQTGKCHSHMKIANDGDPATIVSFIIAICSIVMATFSTGIDTKSFQFRNDEVQLEEDTPYSYEIFHVVFAMGAMYFAMLFISWELNHPTRKWSIDVGWASTWVKIINEWFAASIYIWRLISPAVLRNQLVNEEFMPHRPTI >Sspon.01G0018420-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:73479061:73487521:1 gene:Sspon.01G0018420-2B transcript:Sspon.01G0018420-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRFSGNPNPVGFRLAEAERFGPSAGDQISPCGVRLVFFRDGVGLERRSRQGEYADEATMIPKNTSKAAEDGVEEVMPTASAFLGDSSMKYPEESEWDDEFGNELYVSDLVPSQPACQAVDDSENKVDEDSHFIQHCPTNGDARYDVKRMKPPTGIPKSMLMQTPDGSYALPSGAGAVLKPNEAAFEKEIEGLPTTRSVGDLPPELHCPLCKEVMKDAVLTSIRDYIINKSMCVCGATSILADDLLPNKTLRETISRILEAPPTSSTENVGSMVQVQDMESALPVQPKLRSPAVSAASKEEAKASTPVEESPDAESLNGAKATNIDASSSDKKATTVPDIAEGTMDSKKAKEDKPVETTQWQKILKKSCLQGNKLTLASVKKKKKKKPRVPGNAEEQWKNFQDFGAENFSGMPLGPTGAFNPYWGGGVPLPMDYMGAPFPGPMPYMGYPPGPFDPFGGGVLPQDPFMPPGYMMPGVPRDLSELAVNTMGLNMVPPVVSREEFDPRKPEHRRRREMDRFNERERERDRSRERERERGHSRERERGRSLERERERERERERERGRSLERERERVREERSREGDRERQRARDRDSRREARASPGAINDSTSMRRKDRLSCLIRFQQERSRSQPDRSERGPPPPPSSPDRQSRRSSSSGKKRSSSDRYDDTQLPPPPPPASRHEAEPAKAPAASSKSKASVFSRISFPGDGANPSDAKRSRRASDKPPAHSSSSKKSAAAEGGGDGRGHRHYHHQNHREAAAEAEEEKRRPAAEYYGGADEEEESEEEEQHFKRRPSSSSRREREQEAQEEQPRHSRRSRDHKRR >Sspon.01G0022960-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:82093802:82096516:1 gene:Sspon.01G0022960-3C transcript:Sspon.01G0022960-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTVTVPGSSVPSTPLLKDELDIVIPTIRNLDFLEMWRPFFQPYHLIIVQDGDPTKTIKVPEGFDYELYNRNDINRILGPKASCISFKDSACRCFGYMVSKKKYIYTIDDDCFVAKDPTGKDINALEQHIKNIMSPSTPFFFNTLYDPYREGADFVRGYPFSLREGTHTAVSHGLWLNIPDYDAPTQLVKPKERNERYVDAVMTIPKGTLFPMCGMNLCFDRDLIGPAMYFGLMGDGQPIGRYDDMWAGWCVKVICDHLSLGVKTGLPYIWHSKASNPFVNLRKEYKGIFWQEDIIPFFQNVTIPKDCDTVQKCYLYLSGEVKEKLGKIDPYFVKLADAMVTWIEAWDELNPATPAAENGKAK >Sspon.06G0022260-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:26392396:26401349:-1 gene:Sspon.06G0022260-1B transcript:Sspon.06G0022260-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGSRHMAYSPSPSTGPHSPHLPLADHEKNPHSSVVPSAGASMFHPPSRSWLNPQGSSSGFIVKKTMRMDIPVEKYPNFNFVGRLLGPRGNSLKRVEANTDCRVLIRGRGSIKDAAKEEVMRGKPGYEHLNEPLHLVIEAELPAEIVDIRLMQAQEILDNMLKPVDESMDFFKKQQLRELAMLNGTLRDDSSQKSGSVSPFHNMGMKRAKTRG >Sspon.02G0014280-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:37942057:37943321:1 gene:Sspon.02G0014280-1A transcript:Sspon.02G0014280-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVQVDEMARRRGGNSRTTARSRRQRHISGPAAAVTQQRGRAAEQRGQLRSAAPWPALAGRAGQSGAGQRGDGASCSGPRDSSQQRPVRKPATARPRTALAKPSPRARPSRAWPRRAQGTVTTYREPDNPKLHTSTTFKASTTTKLARMSPSHLDHTKEGPAQRGAAVARVRTVPVWCQEVWQRRQHGWRRQAPPSPWRRASIRWQLVLFLHLPELHPALSAMVAVKRPSSSPPPGAGRAEKRAQWRGGDGVATGGRGREVADR >Sspon.01G0003060-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:6855863:6858884:1 gene:Sspon.01G0003060-2P transcript:Sspon.01G0003060-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKRRARRPLSAITCAPRCRGIFFLTRLAHHSHGRFSRALHLPSPLAGVQDAPLVPSPRQGQSPLPARIALAPPVACSGGEGRSGGPLRALPMGPALGSHHWWVPEDRVTLFTSDGLIQIGGSLVPRRVSASEKRQRKMKSAQKLRRFQESSYMDPNQSLCLGALFNIAATNGLDMGRRLCIFGFCRSIEMLSDVVEDTVLEHGGEVVTAEKASNDGLQEKLTMSVAVPLLWGVPPASETLHVAVRSGGGIVDKIYWQWDLF >Sspon.02G0002220-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2B:6224549:6226051:1 gene:Sspon.02G0002220-2B transcript:Sspon.02G0002220-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRSGSGWFETLLNSHPNISSNGEIFNRVDRRENISSILQTLDKLYNLDWLTSAAKNECTAAFGLKWMLNQGILEKPEDIVGYLNKKGVSVIFLFRRNTLRRLISVLANDYDKDAKQLNGTHKSHVHSIEEAEILAKFKPHLDPSTLITNIRNIEKAIRDCLDHFKSTRHMILYYEDIISNSNALSQVQEFLRVPVRRLMSRQVKIHTRPLPDLVKNWEEVSSKLNGTEFARFLDGSDYVK >Sspon.02G0007270-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:15414803:15417127:1 gene:Sspon.02G0007270-3D transcript:Sspon.02G0007270-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASASKEEVIGKLNVRVVRGNNLAIADPLTHTSDPYVVLQYGAQKVKTSVQKKNPNPVWNEVLQLTVTNPTKPVHLEVFDEDKFTADDSMGVAEINITDIYDAAKLDLKHATDGTRIKTIYPVGVNYLGGESHVQWKDGKVVQDLILKLKKVESGLIVVQLEWVHVPGVKLGARTSVDPAKGCLRLA >Sspon.04G0012100-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:40492524:40493262:-1 gene:Sspon.04G0012100-2C transcript:Sspon.04G0012100-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRHLFLFISMLAMACCCAIASDPSLLQDFCVADKTSQVRVNGFACKDAKDVVAEHFFFSGLHMAGNTSNKQGSAVTAANVAQIPGLNTVGISMVRIDYAPKGQNPPHTHPRATEILVVLEGSLFVGFVTSNPNNTLISKVLNKGDLFVFPKGLVHFQYNYGMDNAVALAALSSQNPGVITIANSVFGSDPSISDDILAKAFQVNQNT >Sspon.07G0002550-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:5138204:5140336:-1 gene:Sspon.07G0002550-2B transcript:Sspon.07G0002550-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MWKQFLSKLPRKSSASGHSGQCSNGTGIQRTSSCGSIPPGRPASAIRRMSSAVFPSSVVAGIEPLVSFKDVPNSEKQNLFVSKLSLCCVVFDFSDPNKSSVEKDIKRQALLDLIEFVESTNARFSEAAIAACSRMCAINLFRAFPPNCRSGSSGGGEGDEDEPMFDPAWCHLQLVYELLLKFIGSSSLDAKIGKKHFDHSFIVKLLNLLDSEDPRERDCLKTILHRVYGKFMVHRPFIRKAVSNIFYQFVFETDRHNGIAELLEVFGSVISGFALPLKEEHKIFLWRVLVPLHKPKSVGVYLQQLTYCVTQFIEKDPKLASSVIIGLLRYWPITNCQKEVMFLSEIEEILESTSQAEFQKCMVPLFRRIAHCITSSHFQVAERALFVWNNDHIISLIAQNRQVIMPLVVPALEHNIQNHWNQAVLNLTVNVKKMFSEMDDDLSLSCLAKYKEEEEKRVSIEAKRKLTWEKLEAAAAFQPVTGHTAVLVGHQPSANMIATLI >Sspon.04G0029650-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:75807265:75809868:1 gene:Sspon.04G0029650-2C transcript:Sspon.04G0029650-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Basic helix-loop-helix (bHLH) transcription factor, Tapetum development and degeneratio [Source: Projected from Oryza sativa (Os02g0120500)] MGGGGDPCVAAAGDGAGASVEAALRPLVGVDAWDYCIYWRLSPDQRFLEMTGFCCSSEFEAQLSALGDLPPSIQLDSSSAGMHAETIVSNQPIWQSSRVSELQTSYSSEPGSGGGPRTRLLVPVSGGLVELFAARYMAEEEQMAELVMAQCGVPGGGEGGEGGAGGVHAWPPGFAWDGAADASRGMYGGAVPPSLGLFDAAGSVAADPFQAVVQQAPGAGGGVVDDAGWQYAAAAAAGNELAAVQQETQPQPQPRGADSGSEGSDLQVDPEDDGDGDGDGDAQGRGGSGGKGGGKRQQCKNLVAERRRRKKLNERLYKLRSLVPNISKVSTLPSARRRHHPWNALLSCFSDVIDGVVQMDRASILGDAIDYIVGLQNQVKALQDGLEDPADGAGAGAPDVLLDHPPPASLVGLENDDSPRTSHQQPLAGSKRARAAAQAAEEEKGHDMEPQVEVRQVDVNEYFLQMLCERKPGRFVHIMDSIAAMGLEVTNVNVTSHETLVLNVFRAARRDSEVAVQADRVRDSLLEVTREPYGVWSSAAPPVGVGMSGGGIVDVKLDGVDVKLDGIIDGQAAPGVAVAVAEDHYGGYNHLLQYLA >Sspon.07G0003210-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:7307806:7310437:-1 gene:Sspon.07G0003210-2B transcript:Sspon.07G0003210-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DSILVDLADAITKERISAFRFDFSGNGESDGEFQYGSYRKEAADLRSVVLHFSEQKYDIIALIGHSKGGNAVLLYASKYHDVPIIVNISGRFALERGIEGRLGKNFMRRINEDGYIDVKNRKGEVEYRVARASLEDRLSTDTLFSSRAISKDCGVLTIHGAKDEIVPVEDALQFAANIRNHELRIIAEANHRYTGHEKELASLVLDFLRSQGTSRLRPKL >Sspon.02G0037360-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:28647536:28649476:-1 gene:Sspon.02G0037360-2D transcript:Sspon.02G0037360-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPIPDPFGPVSTMVPSSNISVQKTLYEVLSVSEDATYDEIRAAYKSAALNTHPDKARATLESSVPSSEQQEFSSVQKAWEILRHPTSRADYDKQLQSSRQNIEIIASEIEVRDMIIESTADTLELLYPCRCEDNVSAFDMCQIVPAVTGKSFVQDNEVASHVVTYGSFSNCLPLTAKKLYRILR >Sspon.06G0021900-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6B:20976608:20978576:1 gene:Sspon.06G0021900-1B transcript:Sspon.06G0021900-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative DEAD-box ATP-dependent RNA helicase 29 [Source:Projected from Arabidopsis thaliana (AT1G77030) UniProtKB/Swiss-Prot;Acc:O49289] MARLTPAKSKSTRKPKPSSSPSMGAAAEPDAMSPRLPKREKSAGGGGGSKKSKSGGSKKAKSGGFESMGLCEEVYRGVRHKGYRVPTPIQRKTMPLILAGVDVAAMARTGSGKTAAFLVPMLQRLRRRDPSAGVRALILSPTRDLAMQTLKFCNQLGKFTDLRTSIIVGGDSMESQFEDLSECPDIIIATPGRLMHHLNDVKDMTLRSVEYVVFDEADSLFSMGFAKHLHDILKKLSDTRQTLLFSATLPSALADFAKAGLRDPHIVRLDLDKKISPDLRLVFFTLRQEEKLAALLYLVRERISSEEQTMIFVSTKHHVEFLNILFREEGLEPSLSYGAMDQEARMIHISKFRARKTMVLIVTDVAARGLDIPLLDNVVNWDFPAKPKLFVHRVGRVARQGRSGTAYTFVTSEDMPYLLDLHLFLSRPLRPAPTEEEILKDMDGINMKIDEAIANGESVYGRFPQTVLDLASDGLKEVISGCTELIALEKPCANAFRLYLKTRAMPSKESIKRVKDLPREGLHPIFRDVLRSDELSALAFSERLKSFR >Sspon.01G0006490-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:17199891:17202422:1 gene:Sspon.01G0006490-3D transcript:Sspon.01G0006490-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARCPAHVALCSLALLLLLPAARSATFTMTNNCGYTVWPGLLSGAGTAPLSTTGFALAHGASATVDAPASWSGRMWARTLCAADAATGKFTCATGDCGSGSVQCNGGGAAPPATLAEFTLDGSGGLDFFDVSLVDGYNIPMLIVPQGAGGGGGGNGSADSSKCMATGCLVDLNGACPADLRVMAASTTSGAGGGAVACRSACEAFGTPQYCCSGAYGNPNTCRPSTYSQFFKNACPRAYSYAYDDSTSTFTCATGISYAITFCPSTTSGKYSGENPQAAGVQPTNGSMVYLGGEQLATGAASVTAARASQLLAAAAAALAAVALL >Sspon.06G0032650-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:54988356:54992527:-1 gene:Sspon.06G0032650-2D transcript:Sspon.06G0032650-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVEAPTQEVKLFGRWSFEDVQAGRWYFEDVKVNDISLADYLAVNPTKHAIYLPHTAGRYSAKRFRKAQCPIVERLTNSLMMHGHNNGKKVMAVRIVKHAMEIIHLLTDANPIQIIVDAIINSGPREDATRIGSAGVVRRQAVDISPLRRVNQAIYLLTTGARESAFRNIKTIAECLADELINAAKGSSNSYAIKKKDEIERVAKANR >Sspon.02G0039000-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2B:47272408:47272686:-1 gene:Sspon.02G0039000-1B transcript:Sspon.02G0039000-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding IKLSKEWDLLEQTSKMGNVIPLYEVVCISICFRAMRSSDTGNSRRCSSFGGIRDHEVLVPSQG >Sspon.04G0013060-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4A:45314053:45314287:1 gene:Sspon.04G0013060-1A transcript:Sspon.04G0013060-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to Rf2 protein [Source: Projected from Oryza sativa (Os02g0274000)] GKVQGPKLDDGSGGFPPFRFGKGGGGGGGGGGGSNYFGGFLLFSCVLLLDYLKEFEKYLLAQRHRAGDDGGNGLLQA >Sspon.05G0005020-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:9436627:9437593:1 gene:Sspon.05G0005020-2B transcript:Sspon.05G0005020-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPALAKPASRPAKTAAAPKPKPAAAKPKAAAAGASHPPYFEMIKEAISALKERTGSSSHAIAKYMEDKHGASLPANFKKMLSIQLRGFAAKGKLVKVKASYKLSDAAKKASPKPKAKPAAAKTAAPKPAKDAAKPKKKTAAAAKPKKAAAGTKRKAPEKKKVVAKPKKSPAAKAKAKPKTVKSPAAKKARKVSA >Sspon.05G0027420-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:45560015:45562025:1 gene:Sspon.05G0027420-2C transcript:Sspon.05G0027420-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQSSSSSSEVPQARARYVQAAAASAGVQFDEEMRWLCRVREALEHASAESLGAFPKVFDVPRALRDTKPDAYAPQHFALGPYHRSRPDLKDMERYKLAAAKRAERLFAGDHKIPDLVYRFLTLQGEIRGPYHRILELSNETLAWMMAVDTCFLLDFLLGRYQQQDAAATDTVVSSATNWIDATLRDAMMLENQLPLVLFARNLELRYGSEQAAADVLRAVLDRFIKDVSPIKTYASSAVPDFTKQAHLLELLYHFLVPPTAVFDDNSGQDIPPPALEHDQADDGGDLEKQIPAEYDKVKRACLQVSRMRFVKENLISRPKNLSGRLIRKMPPALSGLLPVVGKMIASVDMEARLKDVNMGTNVVDSPLAQEIKIPSVTQLAGCGVRFLPSPEGIAGVAFDEKTATLSLPVIVLDSNTEVVLRNLMAYEAVAVRGPLVLARYTELMNGIVDTAKDVKILQQSGVVVNRMKNKAEAASMWNGMCRATRVSRVPRLDAVIKAVNEHRDRTASARAQKLLKKYVFGSWKILTLLASVSLLLMTALQTFCSTYPCQSTWFGHMLPSS >Sspon.07G0006910-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:13651102:13653984:1 gene:Sspon.07G0006910-1P transcript:Sspon.07G0006910-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATQLVFSNASSCQCPLGISPINVRKTLLGESASFQRKKWFSGDSYRFSRLECSANSRRAGPRRTKDTLYDLHPEISLLYGEDNGAATVSSKEQGIDTAAERLVDTSPSYCYNEPKIKVIGVGGGGSNAVNRMIESSMKGVEFWIVNTDFQAMRMSPIEPENRLQIGQELTRGLGAGGNPEIGMNAAKESQELVEQAVAGADMVFVTAGMGGGTGTGGAPIIAGIAKSMGILTVGIVTTPFSFEGRRRALQAQEGIASLRSNVDTLIVIPNDKLLTAVSPNTPVTEAFNLADDILRQGVRGISDIITVPGLVNVDFADVRSVMSDAGSSLMGIGTATGKTRARDAALNAIQSPLLDIGIERATGIVWNITGGNDLTLTEVNAAAEVIYDLVDPGANLIFGSVIDPSYTGQVSITLIATGFKRQEESESRSSQAGGDSNRGGSGWFSPTSQEEGHALQIPEFLQRKGRSGFPR >Sspon.08G0023480-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8B:55870336:55871561:-1 gene:Sspon.08G0023480-1B transcript:Sspon.08G0023480-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGIRVVIRVIVQYSGGAYCPPTRRDFHRHGLLTKLGFAALTGNSALAIYRSRDDPRAVAFVAGAYGGIALLFHFLRRFERGEGDGDGGRTRAAVWVLTTLLTAMFASRVAPLMPPTVGLFVYLMAAGTAGTGFWALFLHRIVT >Sspon.01G0048240-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:106138677:106141004:-1 gene:Sspon.01G0048240-2D transcript:Sspon.01G0048240-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFKRRNGGRNKHGRGHVKYIRCSNCAKCCPKDKAIKRFLVRNIVEQAAVRDVQEACVHDGYVLPKLYAKVHHCVSCAIHAHIVRVRSRENRRNREPPQRFRRRDDGPRPGQGAPRPGGAAPAAAPAVAPRT >Sspon.05G0017460-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:74303984:74307937:1 gene:Sspon.05G0017460-2B transcript:Sspon.05G0017460-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFRSSKACWISLLLALAAVALPARAEEPAAEGAAEAVLTLDVDTFDEAVAKHPFMVVEFYAPWCGHCKKLAPEYENAAKALSKHDPPIVLAKVDANEEKNRPLATKYEIQGFPTLKIFRNLGKNIQEYKGPREADGIVDYLKKQVGPASKELKSPEDVATHFDDKKIYIVGVFTEFSGPEFTNFMEVAEKLRSDYDFGHTLHANHLPRGDAAVERPLVRLLKPFDELVVDSKDFDVAALEKFIDASSTPRVVTFDKNPDNHPYLMKFFQSTAPKAMLFLNFSTGPFDSFKSVYSAAAEEFQNKEIKFLIGDIEASQGAFQVGSHFPFYMMLFNFIPKPSMLTVKLLKWQYFGLKEDQTPLILIQDGDSKKFLKDHIEADQIISWLKEYFDGKLTPFKKSEPIPEVNNEPVKVVVADNIHDFVFKSGKNVLIEFYAPWCGHCKKLAPVLEEAATTLLSDEEVVIAKMDATANDVPSEFEVQGYPTMYFVTPSGKVTAYDSGRTADDIVDFIKKSKETAGAAQATTTSEKAADAAEKAEPVKDEL >Sspon.01G0027080-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1A:95478651:95479583:1 gene:Sspon.01G0027080-1A transcript:Sspon.01G0027080-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ATRRHANSASAAGRHGTGAALCPCSLFWPRVRDWMGGRGPRRSRHSLPQNHLPARLPQNQQRERPCAHAIRCDGTAVGSAWAVRRSGTSLPAVGRVGRCGLSTEILRVHSAFLVSCVCGEEIARVTSRSRFFDNSCLHKSPQSSPQVATVVGIKIVVAWQPSPLTSSWHDGDWRRGSAETDRPTRNESCFSRLPFVSLVGSHASSVSLLEAYLDLKHYCTGARSRLACMENEKEGFPAIALSEVS >Sspon.04G0001770-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:6509975:6511294:1 gene:Sspon.04G0001770-3C transcript:Sspon.04G0001770-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVLEEDLFPSTPGKVKIERAGAMNRQLHRCFASTSTMFLWALFLVAMTASYLSFQSFVDTSSKYFAASWGGLHWERQIRASAAPRRPPGSAAGAGMSVLVTGAAGFVGTHCSLALRKRGDGVVGVDNFNAYYDPSLKKARKALLASHGVFVVEGDINDGRLLAKLFDVVPFTHVLHLAAQAGVRYAMENPASYVHSNIAGLVTLLEACKDADPQPAIVWASSSSVYGLNDKVPFSERDRTDQPASLYAATKKAGEEITHTYNHIYGLSITGLRFFTVYGPWGRPDMAYFSFTRNILQGKPITVYRGKDHVDLARDFTYIDDIVKGCLGSLDTAGKSTGTGGKKRGPAPYRIFNLGNTSPVTVPNLVSILEKHLRVKAKKHVVEMPGNGDVPFTHANISLAREQLGYKPTTNLDVGLKKFVKWYLSYYGYTRGSKNSRQ >Sspon.01G0035130-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:8430277:8431855:1 gene:Sspon.01G0035130-1B transcript:Sspon.01G0035130-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTAAAAKTPTKPLGPAAAAARTPTKPQAPAAAKTPCPSRARSSHTSENSNPNIPGTPPPPQPTPSKPVLKSPAAAGARSATAKKKPSTPAPAAPLPERERRFLVAKKGARRRRNVGTMGGGGGGGEIDFDKVREAAREALRASQGEFFRKEVAASTATVEEQLGQEEEEEEEGKPVAKEDVKGGAFEERQEEETDAELEGSSKVELGQQNIGWRAQGQEKRKPGISSESLRSSWNKKLKVTSQHPFKLRTEQRGKFKEQQFVQKVQEMLVEEEKKRIHIAQGLPWTTDEPE >Sspon.05G0016890-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:65918610:65919603:1 gene:Sspon.05G0016890-2D transcript:Sspon.05G0016890-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSPMHARKAKLKTHLISAKAKLKHTVTPRRVVLLAAAATSAFLLLFTLRTLHSAASSSTPPQQECASKVPASVAEALVHYATSNETPRQTEAEAGAAARVLARRAPCGLLVFGLGPDSALWAALNHGGRTLFLEADADRIASARAAHPAGIDLQAHTVAFQQEATMTTLSDEDLLALRNSSDCAASPPKPLTPDHLEQSPCALAPRGLPAAFYEAEWDVIVVNAPVPGAIYTAGVAARARRPGTGETDVLVHGVDGAAEESFARAFLCEGYMKEESGRLRHFSIPSHRDKDAMPFCP >Sspon.02G0011680-3C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2C:35076653:35077084:-1 gene:Sspon.02G0011680-3C transcript:Sspon.02G0011680-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQKLDDLLVEMSSLQQQYVKCDSYISDEREMNEIAGSKKIGDGEGSRCCVCTKLEVAATPQKAKVAPVDLLLFFSLKEEDLFTEEYAPIVQDLRGTDDARSDIVDRSSLSFMDHEERRMSDLSDFCWSVVSSVDNQINGDNQV >Sspon.02G0034460-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:7899100:7903917:1 gene:Sspon.02G0034460-3D transcript:Sspon.02G0034460-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPSASAPLLRSHPHCGSLFPSSPRHRPAAASLLEFPPRRPASSRRFPYTVVRSSSTASPSAPVEEAPAAAVPTGDAEEERVVLPTNESSETLLRIRHTCAHVMAMAVQKLFPNSKVTIGPWIENGFYYDFDMEPLTDKDLKKIKKEMDRIIRQNLPLVREEVSREEAQKRIEALNEPYKLEILESIKEEPITIYHIGEEWWDLCAGPHVESTGKINRKAVELESVAGAYWRGHEKNQMLQRIYGTAWENEDQLKAYIHFKEEAKRRDHRRLGQDLDLFSIQDDAGGGLVFWHPKGAIIRHILEDSWKQIHLQHGYDLLYTPHVAKGDLWKISGHIDFYKENMYNQMDVEDEMYQLRPMNCPYHILVYKRKLHSYRDFPIRVAELGTVYRYELSGSLHGLFRVRGFTQDDAHIFCLEDQIKDEIRGVLDLTEQILGQFGFRYYEINLSTRPEKSVGTDDIWEKATIALKNALDDKGWEYKVDEGGGAFYGPKIDLKIEDALGRKWQCGFQLPERFDITYVDSNSEKKRPIMIHRAILGSLERFFGVLIEHYAGDFPLWLAPTQARILPVTDNELQYCNEVASELKSRGLRVEVCHGERLPKLIRNAETQKVPLMAVVGPKEVQARTLTIRSRHNGEIGTMAVDEFITRLQLAVADKSSL >Sspon.03G0009950-4D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3D:31903885:31905629:-1 gene:Sspon.03G0009950-4D transcript:Sspon.03G0009950-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEGGSNLAVLDALDTARTQMYHMKAIVIAGMGFFTDAYDLFCISTVSKLLGRIYYPDDNLYIDKPKPGTLPVATNNLVTGVALVGTLMGQLVFGYFGDKLGRKRVYGITLVLMAACAIGSGLSFGKTRSAVIGTLCFFRFWLGFGIGGDYPLSATIMSEYSNKKTRGAFMPRYAGGGLHVARRAYARRVPGAGHVLLADEDARDGEYTALIEGNAKQAANDMQKVMDVEIQAEQDKLARYKAANDYPLLSREFAQRHGLHLIGTATTWFLLDIAFYSQNLTQKDIFPAIKLTSPAGDVNALKEVFEISKAMFLVALLGTFPGYWVTVALIDKMGRYLIQLIGFFMMSVFMLLMGIMYDDLKNKYTTLFALFYALTFFFANFGPNSTTFVLPAELFPTRVRSTCHAISAASGKAGAIVAAFGVQNLTLKGDVRSIKKALIILAVTNILGFFFTFLVPETMGRSLEEISGEDGNAGNGPGVPPGAATGVADVSRDDKIPVSSTEWQSSMHA >Sspon.03G0039740-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:13245825:13249577:-1 gene:Sspon.03G0039740-2D transcript:Sspon.03G0039740-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAENFQLQSRHGKSRVRVSRVWRRPAVAGGDVIVEWNVAVSIVSDCLPSYTSSDNSAIVATDSIKNTVYVKAKECTEVVSMEEFAVILGRHFTSLYPQVSEATVTIVERPWERVAVDGKPHSHGFKVGVEKHSTEVIVKKSGSLLINSGIQGYSLLKTTQSGFEGFVTDRYRLLPDTRERIVATEVTAWWRYPFEHVSQLPSKPFCFTQRYQDVKKVLAETFFGPADVGVYSPSVQNTLYLMAKEVLTRFPDISSIQLRMPNLHFLPVNLGSKETPLVKFADDVYLPTDEPHGTIEATLSRPMSKL >Sspon.03G0046980-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:50720068:50723315:1 gene:Sspon.03G0046980-1D transcript:Sspon.03G0046980-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPCSVSLLLLSFSTLVAAHANDEAALLAFKAAAISSGYNGPLASWNRSTTGGYCSWEGVRCRGTHRRVVALSLPSHGLTGVLSPAVGNLSSVRILNLSSNGFSGNIPASLGRLRHLHTIDLSRNAFSGPLPANLSSCTSLIEMVLDFNKLSGNIPSELGDKLKQLEVLRLRNNSFTGRIPASLANLTSLTILDLAFNLLQGTIPTSIGVLKDLSFLGLAFNNLSGEPPISLYNLSSLEMLQIQWNMLSGSIPTDIGRRFPSMRILHLFTNQFTGTIPASLSNLTSLQVLDLAQNMLTGYVPRTIGRLRALQKLYLYNNMLQANDGEGWEFITSLSNCSQLQQLAIHNNADFTGQLPSSIVNLSTTLQTLRLDNTSIWGSIPSAIDNLVALESLGIFNTSISGEIPDSIGKLANLTQLGLFNTNLSGQIPSSVGNLTKLAILEAYHANLEGPIPPSIGKSKSIIYLDLSMNRLSGSIPREIFKQPLLSFVYLDLSYNSLSGPLPSEVGSLVNLNTLVLSGNQLSGEIPKSVEKCTVLQELRLDSNLLNGSIPQYLNKGLVTLNLSMNELSGTIPTAIGSISGLEQLCLAHNNFSGPIPAVLQNLTSLSKLDLSFNDLQGEVPEEGIFRNHVNLSIIGNNKLCGGVPQLHLVPCKTDSVKKSIRGKLKYLKIALPATFALLLLAIIVALKCLVHVISLGVSCSRKQPRERTLIQDAVNEMHAIRDSYLKFARSLVAVAEHGARTILQ >Sspon.01G0027100-1P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1A:95587302:95588123:-1 gene:Sspon.01G0027100-1P transcript:Sspon.01G0027100-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RRIAEVKEKERRRTLEEILYALVVQKFVEAGVSLVPALSHSIDSSGRVDQWTETVEGKLQHLHSSEAYEMIENHLALILGQRQGDATIAAISKLRVGQVYAASVMYGYFLKRVDQRFQLEKTMKSLPWGSEEEDSALNQVMTTDSMPSAQASSSHPEMGSWTTPDFNTGGPSQSIKPSRLRSYVMSFDSDTLQRYATVRSKEAFGIIEKHTEALFGKPEIVITPEGTVDSSKDEHIRISFAGLRRLILEAVTFGSFLWDVESFVDSRYHFVTN >Sspon.01G0055970-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1C:85537873:85541763:1 gene:Sspon.01G0055970-1C transcript:Sspon.01G0055970-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCCSSVVVPTFGALGLMMITCVMRASWAVTFAFPFSPLPHMPLVCLILFIATCGLLLFSACLAISTTWWWSMISPIIPGLFPCVPSPRRSPLSVTSLLGYPLSSASPSRLSSATMVASLPARFWAECLHTSTYLLNRLPSTACPAPTPHHALFGTPPRYDHLRVFGCACYPNTAATAHHKLAPRSTLCVFLGYSPDHKGYRCFDLTSRRVLISRHVVFDESAFPYSTTSTPAPPADPAEASFFPIDPV >Sspon.03G0008300-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:29550556:29552851:1 gene:Sspon.03G0008300-2B transcript:Sspon.03G0008300-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSARWNLLSDVLSTWKMADEEQAPSSRKRVAGTQINKDNPEPDDDGPEQEMGTFKKATEEVMATRRIVKICPTDSSAQTSAPVPEAQPSDVKADEGSNGSGKHTLSVPDKNAGSGVNTDSAATTEAPPQPVETSDKAEDTKDESGGDKVVVGEPNESSSMPSEVEGKTKEGDAEEKERADEAGNNDKISKDDTEKKDGGESETKDGFSDEQRDADKISKDDTEKKDGNESEQKDDDNKGHTSSATPLFSFKNLSSGQNAFTGLTGTGFSSTSFSFGSASKDGSSSGPLFGLKADGSSFPSFNLGSANNGSSATALATSVEAPKKFAMTEGPVETGEENEKAVFTADSALYEYLDGGWKERGKGELKLNVPVSGGESGERARLVMRTKGNYRLVLNASLYNDMSLKDMDKKGVTFACMNSIGESPSSLATFALKFKDTATREEFKDAVESHKTSKAPDVQLKTPENSPKAEV >Sspon.03G0027660-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:38270379:38273558:-1 gene:Sspon.03G0027660-3D transcript:Sspon.03G0027660-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMELFALFDPVHGAKKLQQQNFSSEEIDMLEQNFLSYFFRVMEKSNFNIVNDDEVELAHSGQYLLNLPIKVDESKLDNKLLLKYFKEHHHENLPDFSDKYVIFRRGIGLDRTSNFFFMEKVDMIIARAWRRFLEKTRLQKLFSRKKNSKPKIDSKKNDDLASEIEDKELYVERIRLETMDLSFRNLFGKVTIQEPTFEEVLRKSPKGQDDRAIHVKHFKNIPMADMELVLPEKKNPSLTPMDWVQFIVSVVIGLVTLVSSLEMPKADFWVVIAILSALAGYCAKIYFSFQQNMATYQNLITQSMYDKQLDSGKGTLLHLCDDVIQQEVKEVIIAYYILMENGKATSDDLDLQCEELIQEEFGLQCNFEVMDAVQKLERLGIITRDSIGRICCVPLKRANEIIGATTEE >Sspon.04G0018670-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:3312231:3316259:-1 gene:Sspon.04G0018670-2B transcript:Sspon.04G0018670-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding PLQSTTYSDLEAVLSPGVVPLVPTPNCRGVEGQSGCRWEIEVAPLPHTPLNAAPTGSSGDLDGPLCACAVGGVRVLVREASPNLAVPSWVGREESTGRQGIMSETGHTVQVTNLSSRVSESDLHEFFSFSGPIEHIELIRSEEYGATAYVTFKERFALETAVLLSPVCITYWGQPEETFNFWDRPTWEVEEEIEYRNYQTCQYNTTPQEVFTVAQDIMKTMLAKGYVLSKDALAKARAFDESHGLTAAAAARAAELSKRIGLTDRVNAGVGAMRSVDETYRVTETTKTVATATGRTAAKVANGIVTSSYFSAGAMMLSDALTRAAKAAADLAAHGRQS >Sspon.02G0000410-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:1765665:1768470:1 gene:Sspon.02G0000410-1A transcript:Sspon.02G0000410-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTTYKCCLIFKRRFHTRDAPPPEDVRALFSLHAGGGPHMGADGLRRYLDAHHQDQDQELDDAEVERLLEQIRLQQQQGRARLPRLARPLLTLDDFHRYLFSHDLNPPLRHHAQVHHDMTQPLSHYFIYTGHNSYLTGNQLSSDCSDVPIIKALQRGVRVIELDMWPNSTKDDINILHGRTLTTPVPLIKCLISIKEYAFVASPYPVIITLEDHLPSELQDKVAKMVLQVFGDILYYPPDSNRLKEFPSPEQLKGRVLLSTKPPKEYLEAKADDAMKEDDADLHLGKGANDDAAWGKEVPDFQTEIQSAKKHDDDAPGHQRDDDDDVDDEEEEQKMQPHIAPQYKHLITIRAGKPKGSLADALKSDPEKVRRLSLSEQQLAKVAEEHATEIVRFTQRNILRIYPKGTRVTSSNYNPFIGWVHGAQMVAFNMQGYGRALWLMHGFYKANGGCGYVKKPDFLMQTEPQVFDPRKAQPVKKTLKVKVYMGDGWRMDFKQTHFDQYSPPDFYTRVGIAGVPADSVMKKTKAIEDNWMPVWEEEFSFPLTVPEIALLRVEVHEYDMSEKDDFGGQTVLPVSELRPGIRAVALFDRKGNKYNNVKLLMRFEFA >Sspon.08G0022730-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:50633039:50634835:-1 gene:Sspon.08G0022730-1P transcript:Sspon.08G0022730-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTHAEAGGSLPVPNVQALAETYNRSDEQIPGRYIRDEEGAEEVIVDHDISSAIPIIDVNKLLDPQSSKEECGKLGSACKHWGFFQVINHGVPNEVICNFRNDMTEFFKQPLEAKKAYSMIPGNLQGYGQHFVVSENQKLDWADLFSLVLRPIESRDMKFWPSQPPSFRNSVDRYSSEAAKLVSCLLKFLAMNMGVEPESFLEIFRGQPQSMRMTYYPPCKQASKVVGLSPHTDRMGLTLLLQANDVQGLQIRKDGKWVAINAIDGAFIVNVGDTLEILSNGRYKSIEHRAMVHPARERMSAALFHAVCPDATVGPLPELVKNDGEARYSSISFVDFVKRFFASKLDGTSNLESLKS >Sspon.08G0016200-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:58672656:58674912:-1 gene:Sspon.08G0016200-2B transcript:Sspon.08G0016200-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to 40S ribosomal protein S20 [Source: Projected from Oryza sativa (Os06g0134000)] MAAADVAYAPPMKSGKAGFEAGLMDPQHRIRITLSSRSVKNLEKVCGDLVKGAKGKNLKIKGPVRMPTKVLHITTRKSPCGEGTNTWDRFEMRIHKRVIDLISTPDVVKQITSITIEPGVEVEVTISDA >Sspon.02G0023790-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:81201160:81202749:-1 gene:Sspon.02G0023790-1A transcript:Sspon.02G0023790-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFVQRVRCWEYRQQPAIVRLTRPTRPDKARRLGYKAKQGYVVYRVRVRRGGRKRPVPKGIVYGKPKHQGITQLKFQRNKRSVAEERAGRKLGGLRVLNSYWVNEDSTYKYFEIILVDVAHTAIKTDPRINWLCNPVHKHRELRGLTSAGKKFRGLRGKGHTHHKNRPSRRATWKRNQTLSLRRYR >Sspon.08G0007170-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:22400604:22401925:1 gene:Sspon.08G0007170-1A transcript:Sspon.08G0007170-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MERPAVTVKQEEDDEVVVVLDADGDAGGHWPAGAGAAPAPEPWQAPAGSAVPPFLAKTFELVEDSATDGVISWGAARNSFVVWDQHAFAAGHLSRRFKHGNFSTFLRQLNTYGFRKVSPDRWEFAHADFLAGQRHLLVNIRRRRGGAAGSTASPSSAGAGGGDRDNSELERLRRDREALSRELTRLRREQEAARAQLLDMQRRVRGTERRQQEQCTTFLARAIGNPAFLDGLLARRGRGGAHVEAGRKRRRLLDAAAAAPDALAFEELALAAGAEVEAAAPMPAVASAQISDTANATDMIWYELLGKEQVEMDAEVEELGAAPAATEAVEPWAEMDEKEVEEHVAAAAATEAAPEPWAEMDEKEVEELVQQIDCIGSPSP >Sspon.02G0026600-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2B:82110631:82112193:-1 gene:Sspon.02G0026600-2B transcript:Sspon.02G0026600-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGVRALMRRKQVDSERTRAAGSAHQLRKELSVTQLVAIDPSARQLGLALHPTACSRDASNQPVPAVPEVSSGKPTMSSSAAAPEPHVVEDCRGVLQLMSDGTVRRSAEPAAALFPVDIPEDDCGVEWKDVTWDAQHDLNTRLYRPQHLGAANDARIPVVAYFHGGGFCIGSGRWPNFHAWCLRLCSDLPAVVLSFDYRLAPEHRLPAAQEDGARAMSWLRSAADADPWLADAADFARVFVAGDSAGGNIAHHVAAGLGKSGLAPAVRIRGALLLAPAFAGEARTRAELECPRDAFLTTEMCDRYARLALPEGADRDDPVLSPAGPRAPGLEAVEMAPVLVVAGGRDVMRDRNAQYARRMKEEWGKEVEYVEVAGADHGFFQTDPWSERADELVRIVRRFVVEHMDSE >Sspon.06G0018080-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:79674316:79675627:-1 gene:Sspon.06G0018080-3D transcript:Sspon.06G0018080-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAARRERWSLAGATALVTGGSKGIGHAIVEELAAFGARVHTCSRNTAELDECRRRWVEEKGLQVTVSVCDVAVRADREALMDTIKDVFAGKLDILVNNAAQVIVKPAVECTAEDYSRLMATNLESCFHLSQLAHPLLRKSAVAGGMNQLTRSLAAEWAQDKIRVNCIAPGMVMTDMVVKNVRT >Sspon.04G0008170-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:24288635:24294121:1 gene:Sspon.04G0008170-4D transcript:Sspon.04G0008170-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:E3 ubiquitin-protein ligase PRT1 [Source:Projected from Arabidopsis thaliana (AT3G24800) UniProtKB/Swiss-Prot;Acc:Q8LBL5] LGCFRPKSPSRRVPPSLPDASGFPCPFPPLAALRRNRFHPFLRVARRIEEGGRMASDGHPPEPGKKEEVASTAAGPGANDLDDPQFMCCVCLDLLYKPVVLSCGHMSCFWCVHKAMQIFRESHCAVCRQPYIHFPSICQLLHHLLLKLETADYKRREKEVLEEEMRIETYSPQIIEFLNYKNNVGSDGENRNEDSKARPPQEVSMNGSILDEHSKKIKLEDVSCPLCTEMLYQPAVLNCGHVYCVSCLSSLNEETLKCHVCGSLHPGDFPNVCLDLDHFLEEYFPAEYESRGQKVQFKKDQCNREASSSGTSGRKGSTRALHDEDLLNIHIGVGCDSCGVYPIRGKRYKCQDCTELIGFDLCEACYKSSSKLPGRFNQRHTPDHRMEVDNSALLQGILRHHGIPEEGPEGLMMEEAVVAPGALLQILIDVQGMEEGNDEGPGEAAIEEPVGAPGAMLDIVIHDQEMEGNEEDQAL >Sspon.01G0033460-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:112724121:112725775:-1 gene:Sspon.01G0033460-1A transcript:Sspon.01G0033460-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MILAVLFANSEGNILIERFHGVPAEERLHWRSFLVKLGSENLKGSKNEELHVASHKSVSIVYTTIGDVCLYIVGKDEYDELALAEVIFAITSAVKDVCGKPPTERLFLDKYGRICLCLDEIVWQGLLENTEKDRVRRLIRLRPPVEP >Sspon.02G0004790-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:14822277:14825808:-1 gene:Sspon.02G0004790-2B transcript:Sspon.02G0004790-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger AN1 and C2H2 domain-containing stress-associated protein, Stress response, Regulation of the expression of stress-associated gene [Source: Projected from Oryza sativa (Os07g0569700)] MGTPEFPDLGKHCSVGDCNQIDFLPFTCDRCDHVFCLHHRSYTSHQCPNANMKDVTVLICPLCAKGVRLNPSEDPNITWDTHVNTDCDPSNYQKVTKKKKCPVPGCRETLTFSNTIRCKDCTKEHCLKHRFGPDHKCPGPRKVDSGFPFVSMLRRSQKAETRSNSSSNNGSSWWSSSLVNAATNFKSSAEAGMQKLSTVTSQAFQKAKDGMSPNSSSSSGDLVEQCVHCPARFSTVGTLIEHVEKSHQMNSQPSHGRVTIDVCPKCSKGFRDPVLLVEHVEREHGGTSRVLYEN >Sspon.02G0025700-2P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2B:90702944:90704195:1 gene:Sspon.02G0025700-2P transcript:Sspon.02G0025700-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTGGCFIFYLPSLYRGAPRCPPGAHVVVITSPLASLTPAASLQLDPIPPPEKHKTIDELMSACSNNDVRLSAAALLAALLATAVTVCSAQQLRRNYYASVCPNVESIVRDAVARKYRETFITVGATVHLFFHDCFVEGCDASVVVASTPNATAEKDHPINLSLAGDGFDTVIRAKAAVDAVPRCRNRVSCADILAMATRDAIALAGGPSYAVELGRLDGLSSTASSVDGKLAPPSFDLDQLTALFARNGLSRADMVALSAGHTVGFAHCGTFAGRIRGAAAPDPMLNRSLAEKLAAWCPDGVDPRVAVTMDVVTPRVFDNQYFRNLQAGMGLLASDQLLYTDPRSRPIVDTLARSSVAFERAFVEAITKMGRIGVKTGAQGNIR >Sspon.04G0013740-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:54680774:54689475:-1 gene:Sspon.04G0013740-3C transcript:Sspon.04G0013740-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLVLALGDLHIPHRAPDLPAKFKSMLVPGKIQHIICTGNLCIKEVHDYLKSLCPDLHITRGEYDEDARYPETKTLTIGQFKLGLCHGHQVVPWGDLDSLAMLQRQLDVDILVTGHTHQFKAYKHEGGVVINPGSATGAYSSITYDVNPSFVLMDIDGLRVVVYVYELIDGEVKVDKIDFKKTATMHA >Sspon.01G0004360-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:11230219:11237383:-1 gene:Sspon.01G0004360-1P transcript:Sspon.01G0004360-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPAAASASPDDRIRTYEEFARVHAYLLAAAGIPPSLHQKLYRKLADEVFDGGEVFAVEPCEDGRQRRLVLAADESLGKESDVFLVDHAWSFRLPDALKQLREVPGLAERMAALMCVDLDRRIETEEADEQDGDDSGILEHVLQVVEKERARVQERGSDSAAWLELEELGIDDDMLVALDLSAKFPNLVALNLWGNKLQDPEKVMQEIRKCAKLKALWLNENPVLGKSIDKAVLDGLSGLEIYNSHFTSKAGEWALGFCADIVGADNPCSSMESTLLGSIEIIDLSDRCIHKLPEVFSPSNLPSLSKLNIRGNPLDQISSDDLLKLFGGFTQLQELEVDIPGPLGNSAISILESLPNLSLLNGVDSSSIIESGKHIVDSALEPRLPEWSPEEPLAERVIGAMWLYLMTYRLADEEKIDETPVWYVMDELGSAMRHSDNANFRIAPFFYTILWPTHDVHTGEECTRDFLFGIGEDKQRSARLTAWRYQEQLQSNSICSSTKIEETPSTKSIRPSDGRALRVYTDIPHVEEFLTRPEFVLTTDPKEADIIWVSMQVDSEVKKAVGLTDQQYTNQFPFEACLVMKHHLAETIHKAWGSPEWLQPTYNLETHLSPLIGDYFVRKRDGMDNLWIMKPWNMARTIDTTVTGDLSAIIRLMETGPKICQKYIERPALFQGRKFDLRYIVLVRSIHPLEIFLSDVFWVRLANNQYTLEKTSLFEYETHFTVMNYIGRMKHMNTPEFVKEFEKEHQVKWLDIHESIRSMIRCVFESAAAVHPEMQNPFSRAMYGVDVTYCPDCGRACKYDTQALVGSQDTIRGRDFFNTVFGCLFLDEQTNVSPL >Sspon.03G0011840-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:32177515:32187247:-1 gene:Sspon.03G0011840-1A transcript:Sspon.03G0011840-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEADASAGGSGAGGDPQRLKRIAAAAYDYENDARWAGYWSNVLVPPHLASRPDVVDHFKRKFYQRYIDPALVVEPMSSMTSTQSSRPAARSSATPSGENVRSRESGSSTRSTGASQQPSAERTANSLRLDGRTIHFSINAWVLVVASLGILPVLPNHISSKAYRLSLLGTICSSAYSLYSTYGKPRAWNMPAIQSWLQSIIVAKDFVHLMFSLMMFTSNVHFKIALLPVLCWALDHVARFLRHNFTHSSLYRKYLEDPCLWVETNNTTLSLLCSNAEITLGFLMIISLFSSRRNIIQSFMYFHLLKLMYHSPVTSGYHQSVWARIGRAVNPYIYHYVPFLNTPISAVQRWWLR >Sspon.02G0042430-3D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2D:77875762:77875947:1 gene:Sspon.02G0042430-3D transcript:Sspon.02G0042430-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LDMWTWTGHHAPATVRGGADHECGDGLIDVDVINWTRGMLARAEAPKKATTEEEPDLELTL >Sspon.02G0042550-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2B:84817724:84818648:1 gene:Sspon.02G0042550-1B transcript:Sspon.02G0042550-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAADLSAADRKQGKDDVDAAGRLTYEIFSLLESKFLFGCDIPAGLFPTAAGHPRPRRRTGKVCVLSIDGGARAADGSWRARAARRGAGVSSRVCRRRHGAGGLQGLGHLVFARRRGPSSAAATFGRALGDLTLRDTVRPVLVPCYDLSTRAPFLFSRADAAESPRTTSGCGTCAPPRALGRVVEVASVDGATRIRAVGGAALGTPRRPPSRTSSTTGASSPLPQRRRPPRHVHSARGRGSRGRRAPEVASIAAEGVST >Sspon.04G0037510-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4D:73247322:73247772:1 gene:Sspon.04G0037510-1D transcript:Sspon.04G0037510-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSPELPRCDTELSLGHPAPPPCRVTGELKQKQAPATATRCCCGGRTDTELQAMAVLRVARRAAAEEGASGLSMRRSMEWFLQRRREARRQHGVAAESTAASPSSSSFSCLTDCSRT >Sspon.01G0044060-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:80073021:80073607:1 gene:Sspon.01G0044060-1B transcript:Sspon.01G0044060-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DGVGGPLGVDGGGGGRGAGEAGGGAPGPLRPDQGRARAPRRRPRLRRGSSVPARLAARRRRRRHRRRRYHSGCFVVPACCCSFVHGARVHSRVVDPEEKDAREPGGGEAETAEHAAGRDEGRGRLGHRARPAVPREDPRRQAELACRLDSL >Sspon.08G0009950-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:37773878:37777642:-1 gene:Sspon.08G0009950-4D transcript:Sspon.08G0009950-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQAIESHRAGAEVIAGDAACRKKSVELLEELGLPKGLLPMEDIQEFGYNRETGFMWLVQGKKKVEHTFKKIKQTVSYASEVTAFAEKGKLRKITGVKTKELMLWLSVVEVYVPEALPDKVTFKTGTGLSDTFDAPAFALGEVQNFLGPSSITFAPILGPTRFLDLTPPPFDSQHETEARGPVETIESHRAGADVITGDAACRKKSIELLEELGLPKGLLPMEDIQEFGYNGETGFMRLVQGKKKVEHTFKKIKQTVSYASEVTAFAEKSKLRKIRREDQGTNALAQRRR >Sspon.01G0003630-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:63555946:63563162:-1 gene:Sspon.01G0003630-4D transcript:Sspon.01G0003630-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRVIPLLLLLAVVAFLAPRLSSKLPASLLLLKEKLGLSPAAVAVACWAAAAAAWAYAVSRPRPVYLVDLSGYVAGAPHEASRAKTIAHFGRCGRFSDESMAFQKRMLERSGLGERTHFPASLIRVPVDMCLRTAREESHAVIFGVVDDLLRRTRVAPSEVGVLIFNSSLLSPTPSFTSLIVNRYGMRHDVVSHNLSGMGCSAGIIAIDLAKRLLQVHRDTYALVVSTENITLNAYMGNNRPMLVTNTLFRVGGAAILLSNRGADRRRAKYQLIHTVRTHRGAHDQSFGCVTQEEDDAGCVGVSLSKELMVVAGEALRTNITTLGPLVLPMSEQLRFLATVVLNRVFRANVRAYLPDFKLAFDHFCIHAGGRGVLDELERSLKLSAWHMEPSRMTLCRFGNTSSSSLWYELAYCEANGRIRKGDRVWQIAFGSGFKCNSAVWKALRTVDGGEEGNPWTPEIDVLPIHVPKVSPIDETTYRFPDGATYKVSLG >Sspon.02G0024500-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2B:85910396:85911259:-1 gene:Sspon.02G0024500-2B transcript:Sspon.02G0024500-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAVPSPCFGNVTMTIGSWSEANKKLGNGRYVKSDPVSAGGHSWRIAFYPKGRLVGSTTASMSLSLVLDDDATTATANEDIHVMFRFMMHEAWNSSTVVVMSSMVAAAFSRRRNAHGFERFVSHDDFEKTALFKSDRFTIRCQLTVVDPVSVSPFGQMDQSLVLLQAPPPHISPDPSVVLLSASALPPSPPPPKPSILGARSPSVATPLPSASPAPSVAMSSAPAPSVRPEPPVSGARGPSASVPPSGQPVVRAKVPLLLSGLNMNADLGRLLETKDGADVELEVRGK >Sspon.05G0014320-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:52855038:52860220:-1 gene:Sspon.05G0014320-2B transcript:Sspon.05G0014320-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding METKRVAIVGAGVSGLAACKHLLERGCRPVVFEADDAVVGGVWARVPTDSTALQTPRPMYQYSDFPWPDDVTEVFPDHRQVMSYLDAYARRFGVMDCVRFGRRVLGMEYQGVAEDDVAAWEEWSGNGEAFGFGSGGAGEWRLKVADADGRVETHQADFVILCIGRFSGVPNTPTFPPGKGPESFDGQVIHSMDYAKMGTKKTKEMIKGKCVTVVGYLKSAIDIAAECAEVNGTDYPCTMVVRTKHWIIADYFAWGVHISLLYLNRFAELLIHKPGEGFLLWLLATLLAPLRWLFSKFAESYYSIPMKKYDMVPDHSLFQALATCLVAIEPKGFYKRLEEGSIVLKKSKTFTFCKEGVIVEGEELSPIKSDIVIYGTGFRGDQKIKDMFTSEYFRNIAVGSTCTTVPLYRECIHPKIPQLAVLGYSESIANLYTSELRAKWLAHFMDGGFRLPNVTAMQKDILEWEKCMKRYAGRYFRRSCIGLLHIWYNDQLCRDMGCDPRRKKGFLDELFGIYGPGDYADLHPKKD >Sspon.04G0000830-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:13309073:13311565:1 gene:Sspon.04G0000830-2B transcript:Sspon.04G0000830-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYPLNIQSQLFLMYFLSLLKSLDSMIQLKGTYLNDTKALLELYKASLVRITENEGILENIGSWSGKLLKQQLCSNRVSRSVSLQEVEYAVKSPFYSATLEPLKHKRNIECFDIAGIRMQKSAYLACDATENILALATKDFHLSQSIYQQELQYIERFCVVGDTDRWAKKAGLDRLKFARAMSLNVFVFMASNVFPSELYDASIAWIQNSILTAVVDDFFECGGSPKELRNFITLIEKWWKTSGDMCTRGPSSALKTSRTYSGLFIYDTNNQIAAIGAKVQNRSVVDHIAKVWVNLLKAFKIEAEWTTKGHVPTMEEYMSIAEVSIALGAVVVPSLYLLGPKLSDDMGSAVQEHAHTHLSIGIRLINDIRTYKKETSEGYVNSVLLLAVRDDAVMSPTSIEAAKREIQILIADSQRELLRLVLNDGSVIPRPCRDIFWNTYKIGH >Sspon.03G0014410-3C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3C:65076511:65077049:1 gene:Sspon.03G0014410-3C transcript:Sspon.03G0014410-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDSVLFNDLGPSKPPSASFYDDMFDSYFNRAAEAPEPSPKASSSPSMPPPVFDKPVFDDDPDMADPFDAIPLFGGGGSGEGEDFLGRVGSAAKPERRESEAVGFDEDLLPGLGGSTNSMEEPVREVERDPQPVGFDDDLIPGFDGSMKPAPQMEPETVAFDDGVIPDFGGGTSHPYSA >Sspon.02G0020100-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:65661761:65665393:1 gene:Sspon.02G0020100-1A transcript:Sspon.02G0020100-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADDNEVTGVSPVADEWDMLSLTSSIYASPLFRRGFDPINLPGYGDVINIQEGTQTGLVMSDGFVFPPSEHENLPIEPEHENLPIEPEHDESNTNSDGKESSCAGNNDDDWCHVSPEEIDGISNENLSDNSDLPAASEATVPDSHPTEINAEREKDHTTCKADLPCERWWKRKTTYLFHHIKGVTTVCSVVAAGAVVGFVVMGQRWQQDHWHLHQFKFSVSGESMSRVIGAFSRLKDGLPGSEQLRSLLPTRVLPQQPLSA >Sspon.05G0038540-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5D:48446332:48449583:1 gene:Sspon.05G0038540-1D transcript:Sspon.05G0038540-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPPQSSGGWREGKRGGNGSSSICLHCREEKLVDGGKRGGGVGSFPHAASWLAARASPTNPAPHELLSSCRQHTSSKFRITFETNCWSIGLTIKAVQCDNGREFDNSTSRAFFLSHGVQLRMSCPYTSSQNGKAERMIRTTNNTVRTLLFQAPLPARFWAEGLHTSTYLLNRLPSAACPAPTPHHALFGTPPRYDHLRVFGCACYPNTAATSSHKLDPRSTLCVFLGYSPDHKGYRCFDLTSRRVLISRHVVFDESVFPFSSTTTPPVTDPPSLFPTDPVVQPPFSWSPAGTASPRSEPATGPGSPASSGPSSSGAAPSSPVGADPGPSSPASAPGGSCRLPTPDPVSAPAPAPPSRFAAPVRVYQRRPRPPPLVVPSPPGTPTPPPLSPPARGAPPVYHPPLLHRHPRHVHPMVTRHAAGTLPPRALEASTGDAVVSPVPSSVRDALLDPHWRRAMEEEYAALLANQTWELVPRPPGANRPGVDYDETFSPVVKPATVRTVLSLALARSWPVHQLDVKNAFLHGLLTETVYCSQPAGFVDTTRPDMVCRLNRSLYGLKQAPRAWNHRFAAFLLTLGFVEAKSDTSLFVYHHGADTAYLLLYVDDIVLTASSEPLLRRIIAALQQEFAMKDLGELHHFLGRAGMSDCKPCSTPVDTQGKLSEAEVPPVADPTAYRSLAGALQYLTFTRPDITYAVQQVCLHMHDPREPHLTALKRLLRYLRGTLDYGLLLHRASSTDLVVYTDADWAECPDTRRSTSGYAVFLGGNLVSWSSKRQPVVSRSSAEAEYRAVANGVAEAAWLRQLLAELHTPPSRSTLIYCDNVSAVYLSTNPIQHQRTKHVEIDLHFVRDRVAMGEVRVLHVPTTSQFADIFTKGLPSSTFAEFRSSLNITGG >Sspon.05G0011950-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5C:26306906:26307798:1 gene:Sspon.05G0011950-2C transcript:Sspon.05G0011950-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MYARRLEAAGHAPVAATIGEAPFPAWRRLAIALPPPPRPLIFFHIPLARALYRAPYVLEARQEHSNVEALPETEEVVDQQAKACDAEGALAAAAVTEGNEATLQEQHDGKAAPVPDHQQEVSAPEHAAAEAPAAPAPEEHGDEKKDHQAVAKEEVEETAAASAAADQEESTRERLKRHRREMAGRVWVPEMWGQEKLLKDWVDCAVFDRPMVPTGLLTARRALIAECCTTRRPADRTSSPSSSTTSSSPPLRVRNGCS >Sspon.05G0016000-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:59129309:59137065:-1 gene:Sspon.05G0016000-3C transcript:Sspon.05G0016000-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVSGGGRRVDGGGGGGGGRAGHGAASRAAEPELRAGGVAAGDPLHRRRGPPVGSSGWLVRFFDSAFFCEWIAVSYLYKHDHAGVRDYLCNRMYTLPLPGLEAYLFQVCYMLVHKPSPSLDRFVIDTCAKSLRIALKVHWLLAAVLELEDADDLDGIDKVQEQCQVAATVQGEWPPLVRPAPPSPAASPRGNPMLSRIRSSKQRLLSLASSPSLGLSPPAGGGVNVAEDAMGTGGKQPATPSSEDNKLLKRLSIGPKMRDALLFRRSGEKDEEQDRDGFFKRLLRDSRDKEEEDGDREGFFKRLLKDSRDKDNEEEEGDRDGFFRRLLRDSKEEDMELTPSSDGLLKRLFRDKEDRQGEDDEKEGFFRRMFKDKNEERRDSIHARHGDEERVGKSVEDDDREGFFRKIFKDKNEERKDEGHQKPDEREKDKRDGFFRQLFKEKNDEKKEGSTPSKKEEDDKGHKNADDDNFFRRLFKDKNEEKKGITHDRNEDDKCEEGDKENFFRKLFKDKHEERRTEGPDKNDDDGKGTSGIEEEDNPEFLSFRRLFRVHPEDSKSGHIESSQSNNLSEGSPGSESFFKRLFRINEKQSGKPPLPINAVAELRKGSYYASLELVQALCDTSYGLVDIFPIEDRKIALRESLTEINSQIASAEKNGGVCFPMGKGIYRVVHIPEDESVLLNSREKAPYLICVEVLKAEAPSHSKGSSDGHKLSKGGIPLANGDVQLPKPPPWAYPLWSRHETQNYETDRMLKSTSQVIDQAMAQLWETKVKFVNVSFSIEKLGRSRSIALSETGRRLRHDATDSHDPRGDLQTVADQPIEWVKVTLSAVRGVNMEDVDDNEPTRKKDHRRVPSTIAIEEVKAAALKGEAPPGLPLKGVGQSTQNLDPKATDGGDPKPTDALAGELWAVKRERIRRSSVHGKSPGWDLRSIIVKSGDDCRQEHLAVQLVAHFYDIYQEAGLPLWLRPYEVIVTSAYTALIETIPDTASIHSLKSRFPNISSLRDYYVAKYEENSPNFKLAQRNFVESMAGYSILCYLLQVKDRHNGNLLIDEEGHIIHIDFGFILSNSPGGVNFESAPFKLTRELLEVMDSDAEGTPSEFFDYFKVLCIQGFLTCRKHAERIILLVTYFICVA >Sspon.08G0006680-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8B:18392811:18393509:-1 gene:Sspon.08G0006680-2B transcript:Sspon.08G0006680-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ATILVNSCEELEVGALAAIAPHDVLPIGPVLPTGDETSMFKQEDARYMEWLHSKPASSVVYVSFGSLATMAREQVEELLGGLEESSRPYLLVVRKDNRAMLAEAEVDQLGERAKNGMVVEWCDQARVLSHPAVGCFVTHCGWNSVLESVASGVPMVGVPKVSEQSTNARLVEREWRVGVRAQADGGGVLRAAELRRCVEDVMGDGTAAAEVRRMAGDWKRVVAEAMGKGGSSY >Sspon.04G0012370-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:41932654:41937529:1 gene:Sspon.04G0012370-4D transcript:Sspon.04G0012370-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEAAAGRRPGTNRRRPSGSGREHQRLVALAVAARVAMVTTRSAGPAVAGGDGAGAAGTGAGAAGGSGRCMEDFFDCLLGLLGALGMTWAVARPQRQPRPPLPRGVGAGPAPTDTRRFAAELRATPGRIAGNGACAVASLYTLQGKKGVNQDAMIFWENFCSRDDTIFCGVFDGHGPYGHLVAKRVRDLLPLKLGADLGMEDGIVTSTGNIKLNAHDVASPEHIERGGTAISSEAQQNGEYPEIFPTLRTSFLKAFHVMDRDLKLHKNIDCFFSGTTAVAVIKQGRNLIIGNLGDSRAVLGTRDENNQLVAVQLTVDLKPNIPSEAQRIRQRRGRIFALPEEPEVARVWLPKYNSPGLAMARAFGDFCLKDHGVISMPDVSYHHITEKDEFVVLATDGVWDVLSNDEVVSTVSRATSQASAARFLVESAHRAWRTRFPTSKIDDCAVVCLFLNTNEASESSSSLANNLADAVEVSSAQRSRTIQVSTGASIDVTALVTDGNEVSVVETVTKPVTLMDLPKDG >Sspon.02G0016490-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:40355272:40359098:1 gene:Sspon.02G0016490-4D transcript:Sspon.02G0016490-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWSAAVFLLLLLLPPPCAPDDRLALDKPLSVGTTIVSDGGGFALGFFSPTNSTSTPGNNKLYLGIWYADVPTRTVVWVANRDAPATNTTASGSPTLSLTNTTNLVLSDGGGRVLWTTNVTGVVVPSSSPASTTAVLLDTGNLVIRHPNGSTLWQSFEHPADSFLPGMKLRINYGTRAGERLVSWRAPDDPSPGSFAYAGDPSTALQIFLWNGTRPLMRDGPWTGYSVTSKYQANASVFVYQAVVSTDEEIYLTYTLSDGAARTRFVVTAAGHYCDNTMAAPTCRCLDGFEPADSDEWTRGVFLQGCRRQEPLRCADGDNFLPLPGMESPDRFVLIANRTSDECRAACTGNCSCVAYAYANLSTTKKTGDVTRCLVWAGDLIDTEKSVGNAVGTDTLYIRLSNLDAVMQGKSNNALRIALPTVLISSILIITAIFLAWFKFKGKRRNDKGDNNKISHGATSMSDDLAEGSSAQDFELPFVKFEDIEAATHNFSEAYKIGQGGFGKVYKALIGGQEVAIKRLSKDSEQGTVEFRNEVILIAKLQHRNLVRLLGCSVEGGEKILIYEYLPNRSLDAILFDNSRKVLLDWPTRFNIIKGVARGLLYLHQDSRLTIVHRDLKAANVLLDAEMRPKIADFGMARIFNDNQKNANTRRVVGTYGYMAPEYAMEGVFSVKSDVYSFGVLIMELVTGIRRSTFNKIENFPNLMIYAWNMWKEGKGKDLADPCIIDTCSLDESYGTLVCPRNPDDRPVMSSVVLALENGSTTLPPPNNPGHYGHGSSDMELTRVELIELAS >Sspon.03G0005810-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:23700612:23704046:1 gene:Sspon.03G0005810-2B transcript:Sspon.03G0005810-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKLGTKAAAASPAAAHRGGLARGPQGTSRVAFGPAASRTKGLRAANNSVTPMAKEQRVDRSDIFELDSIRQVLIRLEDSIIFGLLERAQYCYNADTYDSKAFHMDGFEGSLVEYMVRETEKLHAQVGRYNSPDEYPFFPEDLPEPRLPPIQYPKVLHPVADSININKEIWKMYFDELLPRLVKEGSDGNAGSSAVCDTTCLQALSKRIHYGMFVAEAKFQESPEAYMPAIIAQDRDQLMHLLTYETVERAIEQRVEAKAKIFGQEVKIGAEDNSNPPVYKMRPSLVAELYSYRIMPLTKEVQIAYLLRRLD >Sspon.04G0027010-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:54643368:54648354:-1 gene:Sspon.04G0027010-1B transcript:Sspon.04G0027010-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MERTRVAPERLSLRSNSAKFHDTGHLGHFGKDDNLICRSLKSISRPKARRYVIVKVHNKDVDEGCTSKDDEIISGPFQRKEGNQLRALESYFSKLYPTQQLYSLPQKKHKSGPSSSNEVDVIIADEDANFNNRVGSLQVQIERGNTGKATDGITICTIGLHKN >Sspon.01G0009440-3P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:2645289:2647080:1 gene:Sspon.01G0009440-3P transcript:Sspon.01G0009440-3P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGVVAAKGGAAAGKKKGSVAFTIDCTKPVEDKIMEIASLEKFLQERIKVAGGKAGSLGDSVTISREKTKVTVTSDGPFSKRYLKYLTKKYLKKHNVRDWLRVIAANKDRSVYELRYFNIAENEGEEED >Sspon.02G0032580-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:121079422:121082859:1 gene:Sspon.02G0032580-1A transcript:Sspon.02G0032580-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQMPFCWDRRSPAHAPENHVAINGTVVVSCHFGLSLPGKTTTLRLFSSTQMDPNTRKGKLSEEAPLKGGKKTKAKQGAVKTTTMTYQVTFFVDADFGTPGAVAVKNGLRNDQFFLRHVQLDMSEAGRSIHFECNSWVYPYKKTNADRVFFINTSYLPDKTPEALRLLRDEELRSLRGSGRGEPKDWERIYDYDYYNDLGNPDKEDHARPVLGGITSMYPYPRRCRTGRPLYKTGMKHRTRKHMINLDFYIPPDERFSPTKLAEVLTLAVQAVTHFVLPESKALFHGNINSFRSFEQLKGDLYRKPQQPVVDGQVMDKLKTSVPSHKTYKQVSKMVKETPVKFPIPQVIEHEPEAWRSDEEFAREMLAGLNPVVIKRLEVFPPVSRGGKESSITAAHIERQLQGRTVQKALEEKRLYILDHHDYLMPYLQRINTQQGVCVYASRTLLFLKDDGTLKPLVIELSLPSDGADDGEISRVFLPASQGTDGHLWWLAKAHVSVNDSGYHQLISHWLFTHATVEPFIIATKRQLSAMHPIHKLLEPHFKDNMQINTLARSILLSAGGILERTMFPGKYAMEMSSAIYSEWRFTDQSLPNELVKRGMASKDPRTTTLHLHVEDYPYAVDGMDVWRAIDGWVRSYCAHFYHSDAAVAADAELQAWWHDVRTVGHGDRQRDPACWLELDTVANLAESLSTLIWIASALHAAVNFGQYGYAGFMPNRPTRCRRFVPLPGSPEMAQLEADPDRFFLDTVPDRFTTTLGLTLIEVLSNHTSDELYLGQRATAAWTDDGEVLQLLDRFRDELRRVEKRVTERNRDLRLKNRKGPAKVPYTLLFPDVGNVGGKEKGITGKGIPNSVSI >Sspon.04G0012810-1T-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4A:44675935:44677495:1 gene:Sspon.04G0012810-1T transcript:Sspon.04G0012810-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ELDIMKEKFAKLLLGEDMSGTGKGVASALALSNAVTNLAASVFGEHRKLEPMAPDTKERWKREVGWLLSVTDHIVEFVPTRQTAENGTTMEIMSTAQRRDLAMNIPALRKLDAMLIGYMDNFVDQTEFWYEKGGDNKRDDDKWWMPTVKVPSEGLSDVTRKWLQYQKECVNQVLKAAMAINAQKGKTSLGDAIYRSITEDTFDPLEFLAGMDLSTEHKVLDLKNRIEASTVIWKRKMQTKDSKSSWSSIVSFEKREQFEERAETILHLLKIQFPGTPQSQLDISKIQYNRDVGYALLESYSRVLESLAYSVMSRIEDVLSADAAAQNLTATEAARRMMESAELPAARKLDAKEELEKLNEAR >Sspon.01G0055890-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:84962052:84963998:-1 gene:Sspon.01G0055890-1C transcript:Sspon.01G0055890-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSKRWTSASGTELPGRSSLSPPPRSRQSARVPGAQSPDGTATTTSPVAVSIARSLWPSSSTGNKSGKSKAPPAPSPLSPAPPPPLSTSVATLADHLVRDDAPRALSRQRSCTELPRFADADAEARKIGKPHAFGRSMRFLPSTRPAGVTLTPGRVAPSDLRRLANAAVSLDAAADVASSGSECSDASRGSTTTTPRTAASKPHSPLLPRTSSVRLLGSSNTQWALSPGRRSSSPPLKATTTLPTVPESKGKKSLISLGWGHIFHRRKHAAEDASIAAVTATLLSSPVPSRSSAGGGEAGYHMRMAHCRLLQWRFANAKADAVRERKMASVELDLMGTWASVSEMRGKVARKRVQLEKEKQKIKLNTVLSFRFNSALVFLLLMRWWINTNLQMKDLESWGQLETRHDSALASTVGCTRAAVCRLPLTNGAKVSLAPLATILHQALELTSTAKAMTRSFSPMAQDTALLISKLVRVAREEQAMLQECVELLSQVSALQVEEQSLRTHLVQSASLSAVIVK >Sspon.07G0005000-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:12716755:12717381:1 gene:Sspon.07G0005000-1A transcript:Sspon.07G0005000-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQMEWDMRGGGGHGGAARPLPLSHQALAAPGGHFGGLDVTHSSPWHVVYLPPRLHHQAVVAPPMPPLVAVAGDVVASSLPSTALELRTGPKALPFDLNEPPSLLFGSRSP >Sspon.07G0011030-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:34661578:34664986:-1 gene:Sspon.07G0011030-4D transcript:Sspon.07G0011030-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GMADAKQQPQQAAATTGVWKTVKPFVNGGASGMLATCVIQPIDMVQVRIQLGEGSAGQVTRNMLANEGVRSFYQGLSAGLLRQATYTTARLGSFRVLTNKAVEKNEGKPLPLIQKAFIGLTAGAIGACVGSPADLALIRMQADSTLPAAQRRNYKNAFHALYRITADEGVLALWKGAGPTVVRAMALNMGMLASYDQSVELFRDKLGAGEISTVAGASAISGFFASACSLPFDYVKTQIQKMQPDANGKYPYTGSLDCAAKTFKSGGPFKFYTGFPVYCVRIAPHVQMTWIFLNQIQKLEKKIGI >Sspon.08G0028180-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:6751296:6754923:-1 gene:Sspon.08G0028180-1D transcript:Sspon.08G0028180-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALPLRASAPAALAAASGISRPFHGAINVHQPGRRGPSSAPVKLQAHTRDSFRSHCEKRADRLNEPDPEPENHDPDKAATRQNYPLVEELLNFQQALLAAMGVRVPPDMFLEEKERISEYRMKLSRSADGRNSDERVVAKIVCSRAQEALVLASKVMDIAHDGPGTIEFSQHTTNQMVRTYATILYNVAGDAYHKKIGTETTLSFLGALRGLGAICHILVQDTVTKLKDGHLKNKIIHHMDTYSQEFYKKVNNLEDEVARITEQKLQQIVIMMLQYGLDHARVYIFQLIEGRKLALKYLTSKVSWSTMIWTLVDIGAELVMVISMVLLDWLTYVDNLSLVAPPLISSDGCLGSGNKLKLSKFKRATTSDM >Sspon.05G0022760-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:3927965:3968649:1 gene:Sspon.05G0022760-1P transcript:Sspon.05G0022760-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAKASWMVAMSVGAVEALKDQAGLCRWNYALRSLHRAAKANAPSFAQAKKLAPAPAERRRADKAEEGMRTDMASAAKASWMVAMSVGAVEALKDQAGLCRWNYALRSLHRAAKANAPSFAQAKKLAPAPAERRRADKAEEGMRTDMAAAAKASWMVAMSVGAVEALKDQAGLCRWNYALRSIHQAAKANAPSFAQAKKLASTEKRRADKAEEGMRTVMYLSCWAKAPWMVAMSVGAVEALKDQAGLCRWNYALRSIHRAAKANAPSFAHAKKLAPADKAEEGMRTEMAGAAKASWMVAMSVGAVEALKDQTGLCRWNYALRSDMAGTAKASSMVAMSVGAVEALKDQAGLCRWNYALRSLHRTAKANAPSFAQAKKLAPAPAERRRADKAEEGMRTIIVGAVEALKDQAGLCRWNYALRSIHRGAKANAPSFAQAKKLAPAEKRRADKAEEGMRTMAAAAKASWMVAMSVGAVEALKDQAGLRRWNYALRSIHRAAKSNAPSFVQAKKMAPAEKRRADKAEEGMRTMAAAAKASWMVAMSVGAVEALKDQAGLCRWNYALRSVHRAAKANVRSSLVQAKKLAPAERKRTDKAEEGMRTMASGSGKAKASSWAAAMSVGTVEALKDQAGLCRWNYAFRQAAQRARRPAGASGSGGPGSSASSGCAAAAVAARRKAKQQEEELRTVMYLSNWGPNN >Sspon.02G0048720-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2C:27772339:27772665:1 gene:Sspon.02G0048720-1C transcript:Sspon.02G0048720-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRSREKRMEGSLRGGRGRNSLDPQKIYLPRRNPPPSSFSPVAGCRQSKGREAGEEGERTARSLRRRALSRCHGGRGSTEEGGRGREARFAGSSCRRARGGTRKGAAG >Sspon.01G0008460-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:23562053:23566709:-1 gene:Sspon.01G0008460-1A transcript:Sspon.01G0008460-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLPSNSSDRSDRSDKPMDQKVLRRLAQNREAARKSRLRKKAYVQQLESSKLKLASLEQELQKARQQGIFISSSGDQTHAMSGNGAMTFDLEYSRWHEEQNKQINELRTAVNAHASDSDLRLIVDGIMAHYDEIFRLKGIAAKADVFHILSGMWKTPAERCFLWLGGFRSSELLKLLVNQLEPLTEQQLMGLSNLQQSSQQAEDALSQGMEALQQSLAETLAGSLGPSGSSGNVANYMGQMAMAMGKLGTLENFLRQADNLRQQTLHQMQRILTIRQAARALLAIHDYFSRLRALSSLWLARPRETKKASGEMYGFTRVQRLPEIVLRGAPYD >Sspon.06G0003200-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:8594802:8608226:1 gene:Sspon.06G0003200-3C transcript:Sspon.06G0003200-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQCPNCRKIEKGRWLYASGHRLSADIDMGGWVTSDNYDITSELPFGFQWCPFSGFTQLASVFEEREAEPTCKHFDLNFNANTSQWKQFGGTIKRLPQVRLEGPMDFPLLEL >Sspon.06G0008730-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:46344078:46346435:-1 gene:Sspon.06G0008730-1A transcript:Sspon.06G0008730-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRFPSHQLSNGLYVSGRPEQPKEKAPVICSSAMPYTGGDIKKSGELGKMFDLHRKSGPLGNQPSRNTSFGGAANNSGPVSNAVGRSNYSGSISSAVPGTGGSSRTKSNSGPLNKHGEPTKRSSGPQSAGVTPMARQNSGPLPPVLPTTGLITSGPITSGQLNSSSAQRKVSGPLDSSVSMKMRTTSFAHNPAVTNLNVGDGYSIKGSIPTTIIWLVALLFLVGFVAGGFILAAIHNPILLIVVVVIFGFVAALVTWNICWGTKGVIGFISRYPDADLRTAKDGEYVKVTGVVTCGNLPLESSFQRVPRCVYTSTCLYEYRGWDSKAANTTHRRFTWGLRSMEVSLVEIQACHGRMADSCNAALFTLIISHVCFLPVQYWQRHAVDFYISDFQSGLRALVRTGSGARVTPYVDESVVIDINPDNKDMLPEFLRWLRGRNLSSDDRIMRLKEGYIKEGSTVSVMGVVQRNENVLMIVPPAEPISTGCQWAKCILPASLEGLVLRCEDTSDLDVIPV >Sspon.02G0027940-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:101638092:101642078:1 gene:Sspon.02G0027940-1A transcript:Sspon.02G0027940-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:VIN3-like protein 1 [Source:Projected from Arabidopsis thaliana (AT3G24440) UniProtKB/Swiss-Prot;Acc:Q9LHF5] MALSGDPFRSAVITNVCNAASAPEENRLADDSNTISENAQESLSSLPEQESNDASVNTEKKEPGISKCKLVEEIPKTVTVKRCKNIDSKKVSSNNNNNPSFTGSPALKKQPTKGDHLFQLCENGMSQDTKPPSTRICINSACKAVFNSDNAFCKRCSCCICHGFDDNKDPSLWLVCSSETGDQDCCGSSCHIECALKHRKAGCIELGQSIQLDGNYCCAACGKVIGILGFWKRQLVVAKDARRVDNLCSRIYLSHRLLDGTTRFKELHQIVEDAKAKLESEVGPLDGTSSKMARGIVGRLPVAADVQKLCSLAIEKADEWLSSNIQSGTKQNDTLPSACRFKFEDITASSLVLVLKEAVSSQYHNIKGYKLWYWNSREAPYTGEPAVFPKDQRRILISNLQPCTEYSFRIISFTEDGELGHSESKIFTKSVEIIHKNTEHGAEGCSSSAKRAGKRQNVRSLGFQVRQLGNVFRKAQTEENGYPSALCKDEIEDSCDQSDSVIPDKDQVPRGASRKLDLNETSVPDLNAEVVMPTECCQNENGCSSGKNAFTKSNGCGDSETCAEGHVGDAPAMESRSQSRKQASDLEQETCVDDSNLVARAARLFAPKLGQLDDNYEYCVRIIRCLECSGLIEKDFRMKFLTWFSLRSTEQERRVVITFIRTLIDEPSSLAAQLLDSFEDIVTSKRLRTGFCTKLWH >Sspon.02G0010990-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:24092665:24094315:-1 gene:Sspon.02G0010990-4D transcript:Sspon.02G0010990-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPSGTMRAVQYDRYGGGAQGLKHVEVPIPSPKKGEVLIRMEATSINVVDCRFKNGIARPFLPRRFPFISGFDLAGEVVALGAGVSNFKPGDKVIAINFPNGGGLAEYAVASASRTVARPPEVSAVEGVCVPMAAVTALLALQRAGVRLDPAHASTTKPKNVLVTAASGAVGHFAVQLAKMGGQNVTATCGERNLGLVRSLGADEALDYKTPDGAKLRSPSGREYDAVVHCATGFPWSVFRPVLAAKGTVVDITPGFVSGVTAILQVVTFSKKRLVPLFATPKKEDMELLLGMLKQGRIKTVIDSRHPLGSAHEGWAKSISGHATGKVVIEIGAAQPE >Sspon.08G0004650-1P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8A:13473052:13474035:-1 gene:Sspon.08G0004650-1P transcript:Sspon.08G0004650-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHHSVATVPMAATAAMLYARFAASSTRPGLRRLFALTPVLALLLVLPFLIQLYSVRGLTAFFLVWLGEFKLLLLAFGHGPLDPRIRPLPFVFTAALPVKLRQVSEDAAITKTKTILLSSGIKFAITVALFHHLHYSKERTHPYVAFVLYGAITYCILDSVLPCLAAMGMALGMELEPQFNKPYLSASLQDFWGRRWNLMASAVLRPSVYIPVRARLGAPAGVLATFLVSGLMHEVVVYYFTFRAPTGQLTAFFLLHGVCVCAERWCARRCSTRPPRVVATPLVVAFLAGTACWLFLPAIFGDGMDDLCLAETAALASSFRDVSARFF >Sspon.07G0010110-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7A:30236968:30238414:1 gene:Sspon.07G0010110-1A transcript:Sspon.07G0010110-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSSHATTAGAATAAVGTFSQSARSSSRRLPASSVSPRPPPPPRSLRLDHAAAPPLSPAAIVPAPDGLLAAAIEHLEREPASVAADEAPLAALSPRELQLVLVYFAQEGRDAYCALEVFDWLRRANRVDGETMELMAAIACGWIERLVGAGGDVADVAALLGEMDCVGLRPGFSLVEKAVALYWDRGERELAVEFVRDVLRRGGLGAGAGGEHSSANGDSERGGPVGYLAWKMMAGKLDAAAAPVLAGWPPPPLGWLACRRQRPWARLARRQRGDGTAGDARAPASGRPTSSSGGWPSMAGAEIAVGKSRWRRVAR >Sspon.06G0018410-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:82442114:82445322:-1 gene:Sspon.06G0018410-2B transcript:Sspon.06G0018410-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:stachyose synthase [Source:Projected from Arabidopsis thaliana (AT4G01970) TAIR;Acc:AT4G01970] APPNESKPTPKVAPTAAAATGTMTAAKAASPSSSPPPCLFTLRGGELTVGGGTGKAAAVALLTGVPGNVTLTPFAEAFDPTTTTKASSSDAPRELVSHAAANASRGAFLGFTLPSPASRAPCRVGSMPGPRRFLSVFRFKTWWSTAWPGRQGRDLQMETQWVLLEVPELAGAGAGYVFVLPLVQGSFRSAIFPGEDDGVVVCAESGSAAVTGTDFRRVVYVHAGDDPYRVMQEAYLAARVHLGTFRLIQEKALPPMADRFGWCTWDAFYLTVDPAGVWQGVSEFANAGVPPRFLIIDDGWQSVNRDDDPPQEDARGLVLGGDQMTARLYRFDECARFRGYREGALIRRPPELFYDKSMPKGIVRKAAEIENAGKAKKKAAQGGATDLSCFDDKIAQLRRELDQLLVQRDAMLAKLGDDDGDGDVGLKAFLKDMRRRFPGLDDVYVWQALCGGWGGVRPGATHLDARVVPARPSPGLAGTMEDLAVDRIIEGGIGLVRPDQAADLYESMHSYLAGAGVTGVKVDVVHTLEYVCEEHGGRVDLAKAYYDGLSRSVAKNFAGTGIIASMQQCNDFFFLGTRQVAMGRAGDDFWFEDPNGDPMGVFWLQGAHMVNCAYNSLWMGQFIRPDWDMFQSDHACAAFHAASRAICGGPVYVSDSLGGHDFALLRRLVFPDGTVPRCLHYALPTRDCLFKNPLFDQQTVLKIWNLNKVGGVIGAFNCQGAGWDPMEHRVRGYSHCYKPVSGDVRPADVEWGHREDTSAMAKAASYAVYRCQTEELLLMTPDSEPIQFTLQPSSFELFTFAPATTIGGGATKARFAPIGLVDLLNCGGTIVDVEHGSGGEVRIKVKGGGRLLVYSDDAPRKSLVDGCEAGFEWENGGKLMVDVTWKQEKDG >Sspon.01G0015360-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:47841565:47844379:-1 gene:Sspon.01G0015360-2B transcript:Sspon.01G0015360-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:TOM1-like protein 1 [Source:Projected from Arabidopsis thaliana (AT5G16880) UniProtKB/Swiss-Prot;Acc:Q9LFL3] MSDNLMDKVNALGERLKISGAEVSRKMSVGVSNMSFKMKEFFQGQNMADKIVDEATLETMDAPDWATNLEICDMVNTDRVNSVEVIRAVKRRIMLKNPRVQYLSLVLLETIVKNCDKAFSEIAAERVLDEMVKLIDDPQTIVNNRNKALVLIEAWGESGDDLRYLPVYEETYKSLRSRGIRFPGRDDESLAPIFTPPRSVPVAEPYSEVAQEGYQEIPDESFAPARTAPAVQVNEAFEVARNSVELLSTVLSCSPQKEVLQDELATTLVQQCQQCQYTIQRIIETTGDNEAQLFEALSIHEELQKVLSKYEKLKEPVCVELEPEPAMIPVTVEPEESPRTVSKEDAHVRKPGGSGDQSGRDDLLQDLDDMIFGKKGATSSHQDTTPRKDKDDFISF >Sspon.01G0024970-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:97269890:97271433:-1 gene:Sspon.01G0024970-2B transcript:Sspon.01G0024970-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAARRLSELLQEQQEPFLVEAARARRPRRGRGASGAAAAGGGLTGCCPAAACRRLLRLCNHGFKKRRAGGGTGGGSGGVASGLRSALSKVLCSRAMRRVLRWDDIGGGGCFSGASACGSREFRRLRRRSLGDSGECDPRAMVFPGDDGEEEERMGWKPDMDVDSSRQLSPVSVLDLHSDDDESPVHSHWGDEKPSTSGSSPPSESFLGPASPCFSYNLHDKFCEMEMDDEGEEETVRNGSRSIEEQISSWEKIAGDISRIPAMMELDLSRSARQWREPKPEVREIGARIETLIFDDIRRETVCDMLASHCRLAAAAAATSC >Sspon.05G0037010-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:7535706:7538031:-1 gene:Sspon.05G0037010-1D transcript:Sspon.05G0037010-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding TMPPLPPAPRPRDTTPPARGRADSSSSQQPSARGRLTASPPRDAAPWLRLWLGPEPDPSARPRVRFQIAHDAMIGWLMALTVVVLHCCSAPPEPQKPNSIPLWHRYARWHKAPSFSSPRLVLSRVSRLPSPSLPSPPWSPPPFRFAASGVLRADRGHFMKSPWGSGCIS >Sspon.05G0018870-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:80508661:80512479:-1 gene:Sspon.05G0018870-1A transcript:Sspon.05G0018870-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding METISYPCSPPLFFPTHEESNYSLWSPQLTLHENAAIPADPSPDVREDCEFFDTIAIDSSDSHDQHAFDVDVSTHCDERFLRQESANLAAIQDELMEENSLSDLLLTGADAVEAGDSSLALAVLSRLHGLLAGTCENAATSSFGRLAYHFAQGLQSRISGACSPCYPPDPLQSGIMSAHQMIQELSPYVKFAHFTANQAILDATVSDTDIHVIDFNLGEGIQWPSLMSDLARLGGKSLHLTAIITDAGYSDDTHQAAARRLSEFAESLNLPFRYNSLCVHHEEDLDDFSRNCGGSVVVSCDTTNLCYRSGSKLQILLLGCVRKLQPKSVVVIEEELVRIGKEACLSQASFVEFFFEALHHFTTVFESLSSCFSSGNNRACLRLVEKDMVGPKIQDFVGQYGAVTPEAAAGAPKALEGFTSCELSACNIAQARMLVGLFNRSFGVAHEKGGLQLCWNFGHLKSPGSISRSIWLLVPKEGLFIMEALISAVAGELVSRVRALVQEHMFSHGVHPEDLLERNVPVGFTNVSFVGAQSQGCLVYDLREAGPGQEELPLPTSREVLTGVKVPAEDKFDVLVWKSRIPPYCSYIATYERQKVPKRRIGKKNRLALREISST >Sspon.06G0007280-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:23711401:23720276:-1 gene:Sspon.06G0007280-2B transcript:Sspon.06G0007280-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEGEDCSSGAEMDALVRRLRLHRPPPSPYDPEPAAAPVPATAGDGELFRPRRAAVLVCLFQGDGGELRVILTKRSSSLSTHSGEVSLPGGKTEEGDADDAATALRESKEEIGLDPNLITVVASLEHFLSKHLLVVVPVVGILLDRQAFKPALNIAEVDEIFDVPLEMFLKPNQTGKSCGDRLSHRAQASPIPAPAPAAMEEEVGPGADMEALVRRLRLHRPAPSPYEPSDTVAPAPGAGELFRPRRAAVLVCLFRGAAGELRVILTKRSSSLSTHSGEVALPGGKAEEGDADDAATALRESKEEIGLDPALVTVVTSLEHFLSKHLLVVVPIIGILSDINAFKPVLNAAEVDKIFDVPLEMFLKDENRTSNDLEWMGQEFTIHHFSYAKGNEKYMIWGLTAGILIHAASIVYQRPPDFAEKRAQFNLPKYSKECHSMPRA >Sspon.01G0011060-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:37240134:37240501:-1 gene:Sspon.01G0011060-2B transcript:Sspon.01G0011060-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRGLVASAPAPAALRHGPPLPITCHYDSRRSRAFPVSQTVDGFERAASKQGPSPGPATSMPAQKCGPAANSTTARIGNEEEPTDCLPGQIRLDAET >Sspon.03G0031580-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:29110703:29113125:-1 gene:Sspon.03G0031580-1B transcript:Sspon.03G0031580-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLLHCSDKLPFLDVETILHMKEGLGETSYAQNSSLQRGMDTLKSLITNAATDVYISQMPERFTVADLGCSSGPNALCLVEDIVGSIGRVCSRSSSQPPLEFSVLLNDLPTNDFNTIFFSLPEFTDRLKAAAKTDEWGRPMVFLSGVPGSFYGRLFPRNSVHFICSCSSLHWLSQVPPGLFDDMDTPINKGKMYISSTSPVAVPLAYLRQFQRDFSLFLRSRAAEVVPGGRMVLAMLGRQTEGYFDRRTTFLWELLSESFAALVSQGLEEVRREGSFRLDHVQTYEINLSSSGDAKEDGRTVSMAIRAIQESMLSHHFGADIVDALFHRYTELVTESMEREDVKSVQIGVVLT >Sspon.04G0017200-2P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8C:13029819:13034558:1 gene:Sspon.04G0017200-2P transcript:Sspon.04G0017200-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEPAAAAAAGRLLLAAVLVLAACTVPVAAQAKSEADVLNEFRAALRGPDGGPPGELNQWATARGAPCDVNATNWPRVKQCINGRVVVLQLEGLRLQGAAPDLALLAPLQGLRSLSLSNNNLTGAFPDVSALPALRFFFLYQNRLAGEIPDGAFAALRGLRKLNLAGNAFSGPIPSSIASSGHLLSVDLSNNNFSGPIPEGLQKLGANVQLQGNKLLCGDLVGTPCPSPSKSSGSSSGGMNILINIAIVVVAIGAVLAVAGVIAAVQARRNESRNAEGTEALGGSPDAAKVTTAPAVKIEKGGMDQHGGVVTPAAGKRGGRRDDHGKLVFIQEGRARFELEDLLRASAEVLGSGNFGASYKATLLDGPSLVVKRFKDMNGVGREDFSEHMRRLGQLVHPNLLPVIAYLYKKEEKLLVTDYMVNGSLAHVLHGGARSSLPPLDWPKRLKIIKGVARGLAHLYEELPMLMVPHGHLKSSNVLLDATCEPLLSDYALAPVVTPQHAAQVMVAYKSPECAAQGGRPGRKSDVWSLGILILEVLTGKFPANYLRQGRAGTDLAGWVNSVVREEWTGEVFDNDMRGTRSGEGQMVKLLQVGLGCCEPD >Sspon.05G0017690-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:70755286:70782371:1 gene:Sspon.05G0017690-3C transcript:Sspon.05G0017690-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSASYWVKTECASVYAPTRSGHTIAGARKELTVNPTSKVAVSIITSTKVNALSPTVATVPTGTPGCNTTCGDVRVPYPFGFGPSRCYWPGFNLTCDTSHNPPRLLLHDSSTDTLQVVHISLRNSTVHVVHHGSNTGWMEDIDDDSYYRVADFRLPDIGEPYVLSTRNEFIVLSGWDVRATLYGEYRNGSSSNNNSNNGDRIVISQAVCNPVGVRGAGGPPVPTPTHRGYCTGHDGCCHAPIPAGSTPKRVELEPLNENHGNHHYGNWPWYLALAFISEVGLTDQWHKIVLHDDYSYKMSSPIVLQWSVKQGLSAPAANNSGKCPGDVLSNLCKSEHSDCRQENGGFTCHCTKGYDGNPYVANGCQDIDECSDPALHKSCLGGYCNNLPGHYECRCLQGMHGNAYEHGGCVANKAPTGESHWILINYAMSVKNDYVPSKTKGLGEAGMTVKIKEDNIDPTVQAHRGEVHPGIEYGDCQFAQKLRKNKSSPPPLPASYSSRLPPHRRRRVHFPPPADDAVLQLRPRRARRGRRAATFAGASVFLSRNLVAPEVFDAVHDALRLNGAEVLLCADPSRTGPLDYHVISSSSHERFADLRAKGCNLLGPQCILSCAKERRFLPKQSYTCCLAMDGVKTLCSGFEKSEKVRIEELVTAMGGHLLPKHSMDVNFVIVKDVMANKYKVRPQCILSCAKERRFLPKQSYTCCLAMDGVKTLCSGFEKSEKVRIEELVTAMGGHLLPKHSMDVNFVIVKDVMANKYKWALNTLKKPIVTMKWLEQCWIEHRVVPHEPYRILPFTGLNICVTKVDPDERKELEKMVVQNGDKYIVAQKWGNIHIVNPRWVEQSVARKACQDENSYLVCQSSSAFGGSKSSLKEQQNPEISSTSASFQPVPATSIDDSVSVSQYLPASFSDAARISSTDIVAGPSVQETNEMQVDSHVAEGSKPENDDLYLSNCRISLVGFEEKELLRLVMMIRNGGGSRHILLSEKLTHIIIGTPSDDEKKEVRHLAAWGVINIVKVKWLEDCNRAKKEVKVSPMHIATELLLKGFSQVSMENSADTRETKVAKSSGGIFHVPTVNDLHDKHHEKDVSSERKPKRGKHENSISKTRSAARTAKSSQQNGMINVSEYQPQYQVTSTTNSGSSRSNIFKGRTFSFSNSFSHDKRPEVVDWVRDGGGVMVDDNDIQSTVVDFIIECHGQNSLPCDFSHSTVVSTQWIRSCLEENFLQDVGSHPIFSPLRCRIPFPGFESFHFCISQYGEKERQLLKNLCFLLGAKFTEKAYKRVTHLICKFASGPKYEVYTKRGTPTITVEWLYECVKQDKLLPLDHFQPKPLTSQDQDAHACTVSQYSTQATRFDSSELLSGCQVTTNNATHKSAGAGISAVNEETTMPAVSRKRRISVPGKVNDTCGNIGRSEKHLDNSSVPDVADAIEVLSSKIQDVQSPRSIFEPDNSVIQEQKDTHSFGISRSWLNL >Sspon.07G0020100-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:74796005:74797027:-1 gene:Sspon.07G0020100-1A transcript:Sspon.07G0020100-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHYERLGKIGEGTSGIVYKARDRRTGETVAIKRLRAGAGNGNGDGDAFDETFRREVRCLEACRGHPCLVELRAAHRDPAGGGAFLVMEYAGRSLAEAMRQQDSRGERRPFPEPEARRVMRRLLEGVAAMHARGLLHRDLKPDNVLLDGRGGVKICDFGLSRAADAGTGAAPYTSGVATLWYRAPELILGSGDYDAGVDTWALGCVMAELLSGGAPLFPGRSEMDQLNRVFDTLGMQDMASWPGFARLPRAGSPLCHRGRPPSRLRELFPVLSAAGFDVLAGLLACRPDRRLTTADVLRCPWFADAAAAAPEALPDQPRASCCAAGFAAGVAGVAEGIVA >Sspon.01G0015350-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:47893890:47895332:-1 gene:Sspon.01G0015350-2B transcript:Sspon.01G0015350-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VVRAQNLRKKDLLGKSDPYVKLKMSDDKLPSKKTTVKRSNLNPEWNEDFKFVVTDPENQAFEVNVGKHEKMGMNMVLLKDLPPEETKVTTLNLLKTMDPNDVQNEKSRGQLTLELTYKPFKEEDEEIEDPEGTNVIEKAPDGTPAGGGLLYVIVHEAKDLEGKHHTNPYAKIIFKGEEKKTKVIKKNRDPRWEDEFQFVCEEPPVNDKLHVEVLSKAPKKGLIYGKETLGYIDVSLADVISNKRINEKYHLIDSKNGQIQIELQWRTS >Sspon.07G0034030-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7C:68140555:68142349:-1 gene:Sspon.07G0034030-1C transcript:Sspon.07G0034030-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Deoxyribodipyrimidine photo-lyase [Source:Projected from Arabidopsis thaliana (AT1G12370) UniProtKB/Swiss-Prot;Acc:Q9SB00] MPGPVVYWMLRDQRLADNWALLHAAELAAASAPAAPLAIAFTLFPRPFLLGAHLCQLGFLLRGLRRLAADAHARGLPFFLLEGGPAEVPALVRRLGASALVADFSPLRPVREALDAVVQELLRDAADMAVHQVDAHNVVPVWAASGKLEYSAKTFRSKVSKVMDEYLVEYPELPGWAPWCMEQPKGVDWDALINSIFSEAENVPEIDWCEPGEAAAMEVLLGNKDGFLTKRIKSYHIGRNDPTKPHALSCLSPYLHFGHISAQRCALEAKRCRHLSPKDSVGIA >Sspon.06G0010530-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:41588536:41596700:1 gene:Sspon.06G0010530-4D transcript:Sspon.06G0010530-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKQPDAQGAEKVAEEKQLDEKELERKLKKDQKAKEKEEKKLKAKQKEAARLQAQATSDGTKKTEKKQRKKAVEDENPQDFIDPDTPNGRKKLLAPQMAKQYSPSAVERSWYAWWESSGYFGADPASTKPPFVIVLPPPNVTGALHIGHALTVAIEDAMIRWRRMSGYNALWVPGVDHAGIATQVVVEKKLMRERKLTRHDIGRENFVSEVLKWKDQYGGTILNQLRRLGASLDWSREAFTMDEQRSKAVTEAFVRLHKEGLIYRDYRLVNWDCTLRTAISDIEVDHIDLREETMLKVPGYANPVQFGVLISFAYPLEEGLGEIVVATTRIETMLGDTAIAVHPEDERYKHLHGRYAVHPFNGRKLKIICDAELVDPTFGTGAVKITPAHDPNDFEVGKRHNLEFINIFTDDGKINSNGGAQFDGMPRFAARVAVIEALKEKGLYKDSKKNEMSLGVCSRTNDVVEPMIKPQWFVNCSTMAKAGLDAVRSKKIEIIPQQYEQDWYRWLENIRDWCVSRQLWWGHRVPAWYVTLEDDLDKNLGSNNDRWIVARNESDANLEAQKKYPGTKLRLDQDPDVLDTWFSSGLFPLTVLGWPSDTADLRAFYPTSVLETGLDILFFWVARMVMMGMQLGGDVPFQKVYLHPMIRDAHGRKMSKSLGNVVDPLEVINGMSLDGLLKRLEEGNLDPNELNIARDGKKKDFPDGIAECGTDALRFALISYTSQSDRINLDIKRVVGYRQWCNKLWNAIRFAMGKLGDHYIPPATVDVSLMPPICKWILSVLNKAVGKTVTSLEAYKLSDATSAIYSWWQYQLCDVFIEAIKPYFFNDSQEFESARAASRDTLWICLDTGLRLLHPFMPYVTEELWQRLPQPKDSCRKDSIMISEYPSLVEEWSNGGLENEMDIVLDAVNKIRSLKPPTDSNERRPAFALCRGQEIAATIQCYQSLIVFLSSISHLKILTENDETPADCATAVVNKDLSVYLQLQGALNADAEREKLRKKKDEIQKLQNALAQKMDASGYREKAPQSVQEEDMRKLTALLEQLEVISEAEKKLDAN >Sspon.07G0002690-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:11673848:11677851:-1 gene:Sspon.07G0002690-1P transcript:Sspon.07G0002690-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDFVGSRYDKQEDFQVLLSKKDPREPPQHKHYLWMAHWTKASSSAEPQNNNGNNPLEDINKGTITKNGETLPYEFMKSKVAERLMVGVSRGSASMQHAGQFNSSMWGIARHVSNELGPKNSEQVDESFEKSMKKDAVNLRTRAVVSETYSIHKLSELPLDFQNLGSSDDPSPDWSHFPMFEINRKIDSILNRKRRSAALGPAPLNLNVSASHVMALSSQEYMMHSHQIADENMDTCKPAEGFASRIEDPAGLNSDPSGPKLKGQLLDTMSCSCSKDDNNSADCPIDEQHTSHYFANSKHELPSVSNKKKFKFAGNNHNRIVASAAHNLKTRRSAVHKQQSAAEAMFCAPVLGSEFQNEPITISTIGKKDGENFYEMYKSHGKAVSCSLLPYEHHHHLKTQRTESAGNPKVCMLPDQTANKLTEKSNGELLTYGPKSKEMYTGSCNQRGSYLFEKLTIPSKSRSAYPKDSASSGKSSGFGVCMYGTNIGSRLFGAQNQSSAKTDTLHSDTLIGSKSSAGIASLPAQKDYGCPDEAKSEQLAAPSKRGDSGYSKEDGTHNVNEGHDVSSKATIGSKQSCMPGTGITNLDLILSQMSRMRNQISSGIIQPPIGAEPSDRWLKRLQLDISDPDMPGSKRPKVGDSPPLRQRDRSFDMALPCKGTDTGTIDRVKEDQRLDEGKNLQEKQERTPILEKSLNSWIGRWCQGGTPVYHEDPGQGRQATKPGRSSEELEGQFPSIAAMAMMGRAMNKLRPCEHQKKGPFVVWKTD >Sspon.02G0040390-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:68461488:68463063:-1 gene:Sspon.02G0040390-1B transcript:Sspon.02G0040390-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MILAKLGLGTHLKKVMPNVPGTCSKTTAISFMHLLASQELVILPVTDKLEGLRHGSPGLSGAVLGNCSDMLSLSSSVMRCILLCRGILGIINMVNMEGKKESLELPVFAFDDLTYAGNWDECTYKSWQFRESEHIILQGLKELPQPFGNLQELKYLNLSGSHRVDLDVECLCFPDSFKDLANRLDSLRWWKKNQIHPSAAQSSVWNELILNNIDTQISYPFCPQAASLDSHRCYEQSIIDMLLSDEADNSSDQIVTSACIVGESGMGKTELVHRIYNNRMILDAFDLRIWLHMCDKKRLLGKIVELTTCASCGDASISVLEEIVIEELTSKRLLLVLEILKSRANISGLLNVCAKGSTVIVTTKSKEVANQIGASKPFT >Sspon.07G0018560-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7A:67206257:67207245:-1 gene:Sspon.07G0018560-1A transcript:Sspon.07G0018560-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMVPTYGRQGIYDPFLVPADGFDNDDVLYLLDMNGGMVDPPPLQAAPAGQSAIAAGPSVDSNLLEWPPPTLDYINDSAVDNSAPTHNAALLADDPTTASGAQDVGAAAGTSATAPRPPPPSSSAVHQNASLDCTGCQVLREVVHCNVSGTGTTCGSSIYLTDSAQQRAGGGYIVLQGTRSQRSRMPCAPAWSALATSTTTVKQWH >Sspon.08G0000160-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:925267:928445:-1 gene:Sspon.08G0000160-1A transcript:Sspon.08G0000160-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRRSREGGRSRSLSLGWPACCLGFLLKLLAFLQAFAAVSALLYAAWMLSRWARHHQLHLSDLLSPGLWFPSLVMAAGLFYCLLLLAGYLAAELNTGCCLCFYTIPAMAMMLLEAALAAHLALNQHWIQDLPEDRTGELHNLLSFIHNNLDLCKWAALAIFATQALSLLLAMILRAMLSARTVDYDSDEDFVVIRRPLLVAQAPAPYLPTTVDTRGARPDLWSSAMRHKYGLNTSDYTYNTLDANAAPSQ >Sspon.01G0048090-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:107238382:107240231:1 gene:Sspon.01G0048090-1B transcript:Sspon.01G0048090-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDPMWRLASETTSRSVDDDSSETGLYVYLSLSHDGTDDRPSATLAVRPPPPAYRASSSVYRPGRAVYRARDARSVYRSVSSVNRSVSSVYRPVPRLTAQHLAGYGADTLHCARVPPDVRAYFRRDLDRAKKMTAGGSSYVGLHFTGETQFTHATQDRDHGQPTSQRRAYAPTDYDTLQYSSSSYSDTSQPTYSYPIPDMTMQTQTRWVYEWEDLSFYNMLVQEWETTAAWTGQTWQDYKADLLRQQGISLMSTAEYEMSCQQGVFPYILRS >Sspon.05G0027890-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:57705152:57707827:-1 gene:Sspon.05G0027890-1B transcript:Sspon.05G0027890-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G25280) TAIR;Acc:AT4G25280] MWRRRVGALLLQSPLASSSTAASSCQRRRHHLLPSEEPLALNRLARLFTSQAGSDGGDTQKPFIAFVLGGPGSGKGTQCTKIASDFGFSHLSAGDLLRHEIASGSEEGELILDIIKEGRIVPSEITVELIRKAMETKNAKRVLIDGFPRCEENRIAFEKIVGTEPDIVIFFDCPEDEMVKRLLGRNQGRVDDNIETIKKRLKVFQSLNIPVVEYYSSRGKVHKINATGTADEIFEAVCRLFSSL >Sspon.04G0013480-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:53227839:53243392:1 gene:Sspon.04G0013480-3D transcript:Sspon.04G0013480-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CLP protease regulatory subunit CLPX3, mitochondrial [Source:Projected from Arabidopsis thaliana (AT1G33360) UniProtKB/Swiss-Prot;Acc:Q66GN9] MSAAVRSRAAVAALSAARRIHAGLVAEQKQQGGNNPGALRRPWTLFRRQQQQQQEQSHVPRAVAPVLDAVADHRAGGSDGGEPPEIWRQPGEAPVAPAGAGAVGRIDVVRVAAPGGEGFDGKDGAGESVGWGGSNLGRRFPTPKEICRGLDKFVIGQQRAKKVLSVAVYNHYKRIYCESVTSRSASDCSESDSCTSDTDMVELEKSNILVMGPTGSGKTLLAKTLARFVNVPFVIADATTLTQAGYVGDDVESILYKLLAAESINLSRDVSGEGVQQALLKMLEGTIDTKNILFICGGAFVDLEKTISERRHDSSIGFQAQVRSNMRSGGVINAEITSSLLESVESGDLIAYGLIPEFVGRFPILVSLSSLSEDQLVEVLTEPKNALGRQYTKLFEMNEVKLHFTEEALRLIAKRAIAKNTGARGLRSILESILTEAMYEIPETRTGKDKIDAVVVDEESVGSANQHGIGAKILCGERALDLYLAKHNNKESTGQHQERANGESEIDTEAPSRVASM >Sspon.03G0020580-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:86524953:86527547:-1 gene:Sspon.03G0020580-1P transcript:Sspon.03G0020580-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLYYMTMNEDKMAALPLATAEACDANAALIMNGDLRALQPIFQIYGRRQIFAGPVVTLKIFEDNVLLREFLEEKGHGRVLVVDAGGSTRCAFLGGNLAQLAQNNGWAGVVVNGCIRDVDEINGCDVGVRALSSHPIKSNKKGVGEKHAPVTFAGTRICDGEWLYADSDGILISRSELTV >Sspon.08G0008890-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:34451046:34451522:-1 gene:Sspon.08G0008890-2B transcript:Sspon.08G0008890-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDLKYSSYTRLGLRRWRRPARGFRLSPTRISVRRLRAKLWTLLGLLGRCVRNLRLLTRGRVAAAAAGSSSPPAAATGSRRFLVGGQKRALAGGKGRQAAGDGGSSSNNGSKPPCMRSNSFYARAVAECLEFIKGSNAPPASPSPLAAHGTPRRGSRC >Sspon.06G0016000-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:69531469:69533395:1 gene:Sspon.06G0016000-4D transcript:Sspon.06G0016000-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DERRGGSGEDRISGLPDELLHNILVRLRSAQAAGRTSVLSRRWRHVWAHLPELRLVAPRAAAAAAASFLDTVDGALAGYLAPTLGHLSISLEQRDVSITAGRVAPWLQFAAEHRAGEIHLRVPLNPRRLFEPEEVGDEAVLELPACEGAKQIQLNLTHEWRLRLQASSLFTVLTSMKIMHGRMEGSELTSLVCSQCPCLRDLTLFLELIAGSDVWMHSSSLRSLALVVKNMWRLEVVAPKLEELTLNYEPVEAHISAPKLVKVAWNADVDIQFADVGRSLQLLEATNYAVPFLTKQFDEVDELKLNIYIPRGVAGYGSFLNETNKLPKCKSFKICLARGNHALVPSVLQLLKNCSSTKKFSVTLEVSGNRASCPAPCPCCLDNIDSVALSSLEEVEINYFTYSHENMELVEFLSSNAAILKRLVIISVPPLTKEVCEKIRIMCH >Sspon.07G0017600-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:79454641:79462740:1 gene:Sspon.07G0017600-4D transcript:Sspon.07G0017600-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRTRSMTAVLRSRNPIKTKAAPPKRKAATSSEELKRMAASAVDVDKILRLKKEAIEAIETKCFPLTAKAKLAAATAKAKLAAAGQTQQFGAEKEKVPEKKKKVVTYLVYQGYIDHMKAGLFHGVDEIPEDKLAKESQLFRDGYRAKVLLAEKLRACQQVLLDQYDDAVDVVPEVRWVSEDKYKNLRVVVEIDEAVDAEKAAENELQIVGDRGLQMMTYYDFQVVCKELFYRELPEMDDTLAAKLLPGCTTIDERGNMGAARH >Sspon.07G0020970-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:84160188:84164768:1 gene:Sspon.07G0020970-3D transcript:Sspon.07G0020970-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHLRIPIWAQRNHCASAAIQEEFALRRNFQKDPICLVSSTEKEPRTENMGGGKDNHHADKGCYPPIGYPPVHSTGSYAAAPPVAYPYPYPYAHGSICPPPLGSYPYPSPMYSSHTRYPPSGYPSYTQSVYPSMGGYPGASLYATQHHGHGSNMGATLVGGAAVAAAAAYGVHHLTHGHHPYGHHLGHFGKFKRHHHGHYGKFKHGKFGKHIGLGGKHGLLGWKHHHHGFFGGKYKRWK >Sspon.06G0007940-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:40875823:40879517:1 gene:Sspon.06G0007940-1A transcript:Sspon.06G0007940-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSAWAVALAALCIVALAPPATGFYLPGVAPNDFEKKDPLPVKVNKLTSIKTQLPYSYYSLPFCKPDTIVDSAENLGEVLRGDRIENSPYTFEMREPQMCQIVCKISVGEKEAKLLKEKIEDEYRVNMILDNLPLVVPIQRVDQEGAYFYQHGFHVGAKGKYSGSKDEKYFIHNHLSFTVKYHRDEQRDVSRIVAFEVKPYSVKHEYEGQWNDKKTHLTTCDPHAQRIITSSESPQEVEVGKDIIFTYDVDFKESDIKWASRWDSYLLMTDDQIHWFSIVNSLMIVLFLSGMVAMIMLRTLYRDISKYNQLETQEEAQEETGWKLVHGDVFRPPSNSDWLCVYVGTGVQFFGMLLVTMVFAVLGFLSPSNRGGLMTAMLLLWVFMGLLAGYSSSRLYKLFKGSEWKNIALRTAFTFPGSVFAIFFFLNALIWGQKSSGAVPFTTMFALVLLWFGISVPLVFVGSFLGFKKPTIEDPVKTNKIPRQIPEQAWYMNPIFSILIGGILPFGAVFIELFFILTSIWLHQFYYIFGFLFLVFLILIVTCAEISIVLCYFQLCSEDYLWWWRSYLTSGSSALYLFLYATFYFFTKLEITKFVSAVLYFGYMLIASYAFFALTGTIGFYACFLFTRLIYSSVKIE >Sspon.01G0047370-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:102928100:102929711:1 gene:Sspon.01G0047370-1B transcript:Sspon.01G0047370-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFRVSDASEYLAITGWGIDDVKLAKKAWVFVGQQCKKFDITPVNYEFEVHAMSSEKLPFILPASLLLYAKLIAPHDKNSSHVRELVRGVIEGETRVLAASMTMEQIFQGTKSFKQAVFENVQLELNQFGLYIYNANVKQLVDEYFSYLGQKTQQGAANQAKVDVAEARMLGAVGAKAREGTTLQKAAEVDAQTKVFRVRQEAIGIKEQAKVEAEVKVFENEREAVVAAAKADLATKKAAWDRQTKVAEVEASKAVAIREAELQMEVEQKNALRLTEKLKAEQLSKATVQYDMQVQDSNAALYSRQKSAEAKLYEQQKAAEARKAQADAQFFEQKLAEDAKLYAKQKEAESLATVGKAKADYVAAMLQALGGNYHALRDYLMIDGGLYQEMARINAGAVSGMQPKISIWTGADGAGAGGDVGASSGGGAAMQQVAGVYKMLPPLLSTVHEQTGMLPPAWMGALPKDEAN >Sspon.08G0028000-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:4822071:4823719:1 gene:Sspon.08G0028000-1D transcript:Sspon.08G0028000-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPFLHLVDESRLTAVKDSSDDPSKIYGSPEDNSNALKSLSEIELSESQSRECIVSTIMTNIANMLDAELHNVRSQLLSDFTPDDMCPTSTQFFEVHVDNPSSGSHETGHHQEGLLIDLGNDHDAFGEASESTEASASSVPASDLLSIDQLLETVGAEPAPQAGVVSADIGFKDMTSHCEALTIGKQQKMSAFMSFQQSVQAAGLPSSQPNQMELNLFQDPQLPQTGAHSTNPFADDSLQGYPQYMNGSNGDNAQP >Sspon.07G0025990-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:42132008:42135883:1 gene:Sspon.07G0025990-3D transcript:Sspon.07G0025990-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVLRFVPGGVRPPPLPTFAAPVSAAPAPSPHATAAAAASPAPGFHSPLLGLWPRRGGGGQNALGAAAATEAAAGVEEARDHRLRRRRAVDASEDGRGGGDGGNWVLQILRVQSSSAAAAAPSPPPSPSSDEGPGSGEGDGSSSSQRCVGRCGAGPDSEEGCSVADDAEELDRAAFSRLLRKVSLAEAKLYSRMSGLCNFAYMVPRIKPRYLQKYNMTFVTSSVEERAQLPNPCNQEDQNPNDGKNANIGTPSRHSNEQESIYGATSEHERMHENQSGQGINPLAAYRIAASAASYMQSRAMEVLPFGSQNEGRRDRTIQAIVNAQTEGLTMDEASFVATTNSMTSMVAAKEETKQAVADDLNSSRSCPCEWFICDENQNSTRYFVIQGSETIASWQANLLFEPIKFEGLDVLVHRGIYEAAKGIYRQMLPYVKSHLKSHGESARLRFTGHSLGGSLALLVNLMFLIRVAPAASLLPVITFGSPSVMCGGDYLLQKLGLPKNHVQSITLHRDIVPRAFSCHYPDHIASILKLVNGNFRSHPCLTNQKLLYAPMGEVFILQPDEKLSPHHHLLPAGSGLYLIGGQTVDSDTSSTVLRSALSAFFNSPHPLEILRDAGAYGPKGTVYRDHDVHSYLRSIRAVLRKEMRAEKERRRRLLRWPIEVYGALATIDRRHVLRQLRRHAHLLVVFLLPAKLLLLGVLSVIRSN >Sspon.07G0020870-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:69342553:69343584:1 gene:Sspon.07G0020870-2D transcript:Sspon.07G0020870-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKGGCCLAPRYGAAAAAQQQAGAAWQMGRIMLKFRPIAPKPAAMVPVPAPTPVAGPAAVGAAGRGKRKAVCGGGGDRRGRKPKKAAATPAVVTAAPAPAAAAAQDAGDCRKHCNKEKSSSSRSSSSSEMTSVDSSPPPGPQQQHQLATLLPLMPVAPPVEDKAAAGAAAEEPAPAPLPSQAAAAPAAGSARPFAPRAMRPAPAAAASLVTVEEVTAMWRDGEAPPSSTACVVGSGADDAAPAFVSDQWGRVTWRNAAFVRAVSADGGDEVETQTPVALGGALPAWGTCAGFTCRVRVRHSSPRVGSSSSVVAPCDVWRLDAGGSYLWRLDLQAALTLGGRL >Sspon.05G0019570-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:78513074:78516442:1 gene:Sspon.05G0019570-3D transcript:Sspon.05G0019570-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFGVSPSMALALAGFCFSLIFIAFVCSRLACALLRRHRRRARSRLAAALPRYAADYAFAVQVQVHHPAVGGGGGLGAAAVAALPTRAFAARPRVSGASDADSQCVICLAEYEEGDVLRVLPHCGHDFHMACIDLWLEQNSTCPVCRVSLLDNPDSEHTAPPPPPLSVVVISPPSSPESSASDPCRCLFAGTGHSSRASEAPRHGMEPDQENQVASSPSVDGGANSNSMPLSEVNPTPENNSQTARKQQLGPCK >Sspon.03G0008730-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:28619111:28622614:-1 gene:Sspon.03G0008730-4D transcript:Sspon.03G0008730-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIWSVYSLYSHLSNSGDSIVGFIFSCLVPTSIIFLVLQKPWKGRPLPNSQVVPTVVNGGILAFYFVLWGKGLLACGPLVALLAEYAGAVLGVLSAALYGRKIGGLAAMLVAYYLLSNGWSTRTHSPLYSFGSEPVEKARQTIGVKEMVVPITAGILSALRRVLARRVSLKNQLKRRLHAITIASATCFLFPFAMWDTILGSASDSIVKLQLPSWAYLSTVLFGMVLIFYVDNVAEEKLHLVFSSPRHLMVSTGCIIVLEIVYQMDFSLLGFLVCSVILGFGIFEATSLDRSKKSPLETHELSNGVEIAVEVNTK >Sspon.01G0005080-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:13470181:13474970:-1 gene:Sspon.01G0005080-1P transcript:Sspon.01G0005080-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDKKCCICKTVCPFVFVTKAMGEYTRVVTDFSVFPSGVNEGKAGDFWYHEDTQAYFDDADHYRMIRAMCRLSCSVCDNAEDQVALAAQAKRRSKFRSIDQLKGHLFHQHRLHMCNLCLEGRKVFICEQKLYSRSQLAQHMKTGDSEVDGSEVERNGFAGHPLCEFCKSSFYGDNELYMHMSREHYSCHICQRQHPGQYDYFRNYDDLELHFRKDHFLCEDETCLAKKFVRHNAMEHGGRMSRSQRNAALQIPTSFIYRRNEQEQRRGRGRGRNALHDGSDSHISSSGQNGRATADGHAGRLDNVSGSFQSLSIGSSSGGAEVGQGRTGRVLEQLSFPPLLDPDIPDNSVDSFHDETSFPSLSEQQSRYALALNQSARGAARLGDESLFPPLPGSSNNRGAASAQQGLQSLAKSTLAARLQRSKGPVKVLNTARPRPSENLEVLSSSTQTWPTPDQGLLSGSSQLRPGTQPTREIGSVPAVSSNIVWNPVATNKMKHSVSTPNLVSGGSSAQASSSSAYGSNRSQDPHQGNQTLPVAEDVRAANKSLVERMRSALGMDEDRYSAFKEIAGEYRQGIIDTSEYLSYVEQFGLSHLVPEMARLLPDPQKQRELADAYYTNTRFKSLQENGGGTSSQEGNRKKKGKGKAPVTESSAANDVKGALADNILDTVRRLQSNHQAQEGEAEVLSKDGYRPSKGVQPAAGPSSNLDSSSGAKDNTGKGGGNKQPKKSKFLRARLGDNSLATLDLNRPSASPERPERESQGPETGLPVRGAWKNGGGQKLFLSNGRK >Sspon.08G0024910-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:3775136:3776881:1 gene:Sspon.08G0024910-1C transcript:Sspon.08G0024910-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPGPLDYGCSPAAAAAAGCAGWAWRPRPRPHGGGRAARVSPKCSHSASSSAAAAGAVHSEHRRRGALLRPVEQPGTGYASELEARIEKVIFACRFMTFLGIGGLLLGSVPCFLKYYLHGGGKLILMLLEAIEMFLIGTVTFVLGIGLYEFFISTIDSSYGSNLFGLFSLPDRPKWLEIKSLNDLKTKLGHVIVLVLLVGIFEKSKRVTITSCADLLCFAGTIFLSSVCLYLLSKLHTTK >Sspon.03G0020960-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:64967076:64969470:1 gene:Sspon.03G0020960-1P transcript:Sspon.03G0020960-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DEELATEVAWVVVYLSALSEKATSLIVLRGLGNLVAGDGYMVDSVLIVGNSVTDQALSSLIKCLKSDNRILKKEASWAMSNIAAGSFEHKKLIFASEATPLLMHLLRTAQFDIRREAAYTLGNLCVVPAGSGNPPNIIVEHLVAIVDGGALPGFINLVRSADIESARLGLQFLELVMRGYPNSQGPKLVEAEDGIEAMERFQFHENEAEAEAVVLLSSLARISSSTSLAGGETVASHSAKSASTSAASSWRPSRFIPRAITLYTYSSTSRPPFLTAASYTFHAARPLALATSARSSLVSLYTSSSPSTNPPPRAFSSRNASTSASTAASASASPEGEAGADETKVA >Sspon.05G0038410-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:41716243:41718585:-1 gene:Sspon.05G0038410-1D transcript:Sspon.05G0038410-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEERRRELLLLCNPDPKAINILLLQCVGGGNSQARQQDRVQEERLMAWFNRIHTTTIFHDSEISMEAKEWFGCTVLLRVPPTASQDQINSIMIHHAIVNIHGVNVDQQNILQCNSDYLVWGSSKEQATEILRTPEIDIGGAKIVTYEQRPFLIHTVPKGWCRDFWQAGGGGQEGGGGQQLAFAVRYATEAKSKDVETRKRQTLKKVVPDAMTTCPSSV >Sspon.05G0001070-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:2464174:2472952:-1 gene:Sspon.05G0001070-1P transcript:Sspon.05G0001070-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALAVTDEVALPIRAVGDLAAAAEVSREEVAVITQCAALGELATFAPRLALSSFSSSSYARSSAMKDEFVRACGKLPFEDASVGAVLAVIKNVESLREQLVAEISRVLKAGGRVLVQSPAPSSSQKPNADIERKLLMGGFAEVQSSAANSQDRFSFGANLNHLWVKAKKASWSVGSSFPLKKATKATRALPKIQIDDDSDLIDEDSLLTEEDLKKPQLPVVGDCEVGAAKKACKNCTCGRAEAEEKVGKLELTAEQINNPQSACGSCGLGDAFRCGTCPYRGLPPFKPGEKGGTILSKSGQVGLLP >Sspon.04G0003920-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4A:12042002:12044509:-1 gene:Sspon.04G0003920-1A transcript:Sspon.04G0003920-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MANKSIREFSAPTTDNIRTGPAGEIDRNFELKPGLINMVQANQFCGKPHEDASAHLQHFLEICSTFTMAEVPRDAILLRLFPFSLLGRAKQWFYATKEKNTTWALCSTNFLAKFFPMGKTNALRGKITSFQQQHDESVPEAWERFQDYILECPHHGMESWLLMQTFYHGLINSARETMDAAAGGAFLSLTIPQATALVEKMASNQGWNEERTQTRKRGGGMHQLKEVDMLSAKLDLLMKKLDDRAGEKKEVMHVYDSHMTCEECGGTGHSGNHCPELLEDVNYINNNNNNYYNRPQQNQGWNQQRPNYSGNYQGNNSFNNNNNYPPLRELVSNQGKLMDNLSKKLASNDKILENINNRMDNFSTAIKNQISFNKMIESQLNQIAAAVPATNPGIPSQPEGLESANLVDMFDAGDYWSNPIVEVSTDRLPVKRGDPGRPVIPISIGMRDFPEALCDFGSSVNIMPRVLYEKLFSQPLLETTMCLQLADRTLSFPRGILKNICVRVGSSYAPADFVVIETGSDERAPVILGRPFLNTAGAVIYASAAKISFYIKGRKETFSFKNKTAQIPEQPQYEPRKRTNRRNKSKKQVWTETAKMVTAVHKGQDRQLKSPFLPKKDDPGMPSIYCSINGSHFYKTLCDTGSGVNIMAKVTYELLFGTMPLNPTYIQLQMADQTFRQVEGTVTDVPVKIDDHFVHTDFQVIDMGEDEYDPPIILGRPFLSTVKAIIYIGTGEVHMHFPSEKVRLYFTDPNYVFEESKQVRTRRRRRNHNQKQQVIKDGWADYEGEVVRSEDIPLNQHCPEETKAPRQVWKEKTVVHEEEAPPEPPTTPSTKSQDD >Sspon.07G0036200-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7D:27953749:27957875:-1 gene:Sspon.07G0036200-1D transcript:Sspon.07G0036200-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MANLPSYMQYFPSEIQKSAACSTFPCCKKETQIWIHRRSKKSNEKQTLAKNQAHMMEKIHQFYEPRKKVNEISDEDFFEEMGFGSEDSALELTQLSEITTNIYCQQHGLARDLEENIESYYNFDSNIVNHNYTQESASRKDLEFNPESFYRFQSNIENVRNANENYEDILSSQMTGSYMDMLNDPFPLENHMYISTSRISEHEPAQDHPKGDFWMVFNNMVQQHGATEVESVLVPDFGSEENMVQQQPQEEQGREGEQNAKGEQHVEATDEQDDEELRAIQAENESIMAAERLSQEEASRHVPRLGMKFKTQKEAYKFYNDYAFIVGFSLLKSHTYKCKDKKDPNYDQVTRATLKCNMSGGGKRDDSSAASGVQSARKNKKKISGTPPTPNLVANKQKTSTLVPTQCPAELVVTLQNGEWTITRLNLEHNHAFAGKNQKNKLFSQIRMTEMEKQLIETFNKVNLPDRKIMAILSYIRGDVTPYNKKHISNEKTKLNMATSDNDMQQVYDWFSKKNYRAWDNCLFGCAFIMDETTETFEWLFQTMLICMQGKHPKTIITDQDLAMKAAIRKILPETIHRNCFFDIVKKAQEKGGRVFSIEKNKKLHDDLFDILRNSLTEIEFEHMYKKLPQTYDVSGFKYLGEMWFNRKKFVPCYFKEHFFSFINSTARSEGTNALFKLDVGPRYNIMRFMNEFQRISDTTEKNQEEQDFQTRSRPYLSTAYEFERQAARLYNRKIFFKFQKEITLATKYVAQELQKDKVYAVVKSEYHRQFEFRTRRYIVIVDLPNKLYNCLCCKFQKDGIICGNIIKVLTNLNITQLDDKYFVERWRNKERKQLKRHNVVP >Sspon.01G0050480-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:3115453:3119587:-1 gene:Sspon.01G0050480-1C transcript:Sspon.01G0050480-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding QVWRRLGLEEERKILLNKSSGPEVIETILAFNEESKLTIILLLWCWWHARNKVNQGERSCVIEEICNSVYYHLTCMQKLKLSAPTPTRHQQERWFPPPDETGVGDVSSETIKVNFWLQGLEHFRDFLISKIACDFVYCDVSKCPRNCNAAADCLSDYGASLSDVQFKLWIDQAPNFVIPLE >Sspon.08G0020700-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8B:25423992:25424297:-1 gene:Sspon.08G0020700-1B transcript:Sspon.08G0020700-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGMAGWDRPRRHRASGRLPHSPPLQLLFYRIDGQRMENRQRPHEIRSSVVDFLEAWVEAGVSRDDDPIPSAAREASFCKFSQTQTAQRAPLYVPLAFCEP >Sspon.08G0001480-2D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8D:2579448:2579753:1 gene:Sspon.08G0001480-2D transcript:Sspon.08G0001480-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SLKLESTSMGLLARRFLNLIVPGCSPGVKSLRRIDLRRQPLFYQVGYGDGSEPAGGGQATIARSSALEMETIRFAGPSFTFRALNNEWKMDCFPLADYSESK >Sspon.08G0014010-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:57773451:57777870:1 gene:Sspon.08G0014010-1A transcript:Sspon.08G0014010-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEAAVDDLIRRLLEARGGRTPRNAQVTDAEIRRLCAAAKDVFLQQPNLLELEAPIKICGDVHGQYSDLLRLFEYGGYPPDANYLFLGDYVDRGKQSIETICLLLAYKLKYPENFFLLRGNHECASINRIYGFFDECKRRFNVRIWKIFTECFNCLPVAALIDDKIFCMHGGLSPELKNMDQIRNISRPVDVPDVGLLCDLLWSDPEKELDGWGENDRGVSYTFGADIVAEFLQKHDLDLICRAHQVVEDGYEFFANRQLVTIFSAPNYCGEFDNAGALMSIDDSLVCSFQILKPSEKKGKAGTSNMSKPGTPPRKIKIS >Sspon.04G0023610-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:14043689:14046361:1 gene:Sspon.04G0023610-1B transcript:Sspon.04G0023610-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G74900) TAIR;Acc:AT1G74900] MPPPPPPPPPTSAAPVPPASPNKPIPSPRQIAALVLNHPSSTLTAASVRSLSASLLAAGPASALPIPAPVANAVIKLLWHHAPRALLFFHALLRLPERSRDLSPCTVDLALDLAARLRHPRQLTSSILALFPRHGLAFTPRTFPILFERFAVSHRRPDLAVRLFLSLHRSHGVAQDLPLFNSLLDALVKSRHAGKAASLVRALERRFPPDAVTYNTLADGWCRVKDTSRALDILRQMVESGIAPTKATYNIILKGFFRSGQLQHAWDFFLQMKKRGSNDENCKPDVVSYTTVLHELGVAGQLDKARKVFDEMSIEGCTPSIATYNALIQVTCKKGNVEDAVAVFDDMIKKGYVPNVVTYTVLIRGLCHAGKIDRAMKLLDRMKREGCEPDVQTHNVLIRYSFEEGEIEKALDLFETMSKGEECLPNQDTYNIIISAMFVRKRAEDMAVAARMVVEMVDRGYLPRRFMFNRVLNGLMLTGNQELSRELLRMQEKYTRLRREIRFLQKIKIKMHHQENLIYGDG >Sspon.06G0014920-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:62170816:62179868:-1 gene:Sspon.06G0014920-3D transcript:Sspon.06G0014920-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPPRPPLPTPPPSSRPLHLLVVVPVLCFAAILTPAPAAVAAAATGNTGGAGGEGGVIRTRTAAVARSAMAWPSKCKWLPLPNSGRCPSYTLDTKQFVSAKDVLKKEPVSDVIALKESMKYFDADFFNDSKLREMEDGAKEFNVPAFRENRKLVASENGGLHNPSVLLFKSSWSSDSMTSETRSFDYPRASSVHRPTNDEDIAFMSVIELGELIRTKQITSCELTDIFLRRLKRYNPVLESVVTYTEDLAYKQAKEADDLLAQGKYLGPLHGIPYGLKDIIAVPHYKTTWGSRTFKNQVIDSEAYLYKRLKSAGAVLVAKLVTGSLAYDDIWFGGRTRNPWNIEEFSTGSSAGPAASTSAGMVPFAIGSETAGSITYPAARCGVTALRPTFGTVARTDVMSISESLDKLGPFCRSAVDCAIVLDAIRGKDAGDPSSREVALGDPFHVDITKLTVGYLDDAEMEREGHDDDYEAQDQWPVELRRARLIPAVDYIQAQRARGKLIREIKDSFTVDAFIGNVTDWERVCLGNLVGMPVVVVPTGLKSIQDPPKGGTKRRTTVTTGIYAPPDHDHIALALAMAYQSVTNHNKQRPPIDDLGPNDGIRM >Sspon.08G0017320-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8B:1316562:1317933:1 gene:Sspon.08G0017320-1B transcript:Sspon.08G0017320-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTARRRLDGESETATTSPIASAARPLHHHPLVGLHVHGYGDGPPALVGGRLGSARDSLPPPYAPNTSPSRFIHPSSPSSPSAPPPFPLLVVAALPGLDWPSPAAAGGCALDCPASARPPARRRARSSNGRRGPGFLQPGHVPVPGARHRPAQQLLHGQLLRRDPQGHGAPRLHPHPHLQPAVHDLSHTHTCVHVHTKIVAASPGDGADTAESPSENNTATGNSNAASKKRPSGNRAAVRKYREKKKAHTASLEEEVVHLRALNQQLMKKLQSHATLEAEVARLRCLLVDIRGRIEGEIGAFPYQRPPAAVKNVDLMSSVDQGSFLGGAAAQVTNACDFRCNDQMYCNPGMQGAISGQVLGQGACDVASIQCMGSNKSGSTKLPVCGGMDTVPAVCLPNVEKE >Sspon.01G0023280-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:82676192:82678529:-1 gene:Sspon.01G0023280-3C transcript:Sspon.01G0023280-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCNGCRVLRKGCSDACVLRPSIEWILGAKPQANATVFVAKFFGRAGLVASLAAVPLQHRPALFRSLLYEACGRTINPLSGAIGLMWTGNWDLCQAAAAAVLRGDSLRALSTIPAAFTDRDMDGLYGDVGLGLATASSLSSPENSSSAPSKKRKNVVNGGIAAGVPAGCQQQRPPVMVQLQSCDLDLCLTPALSPLAGGLVRGCGGGASDEYSATTTCEDQQPATGDLAEVRTPALLNLFN >Sspon.05G0007210-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:24237613:24237936:1 gene:Sspon.05G0007210-3D transcript:Sspon.05G0007210-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSEKLEAARVALGKRKERERELQAAQAQPLPVPAKAEPWKPAPAPARAAAAGGGNKLLAGHLAHEFLAHGTLLGGASSRAAEPRLRLRRGPSRTRKGGTRRCRGC >Sspon.08G0009030-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:39719445:39725384:-1 gene:Sspon.08G0009030-1A transcript:Sspon.08G0009030-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:E3 ubiquitin-protein ligase makorin [Source:Projected from Arabidopsis thaliana (AT3G08505) UniProtKB/Swiss-Prot;Acc:Q6IDS6] MSTKKVLCKFFMHGACLKGEYCEFSHNWSDQANNVCTFYQKGACSYGSRCRYDHVKVSRNSTVPPPPPTSSAARRVTSTSLQLLSSSQPHTGHQTDSSNQRHQISVDVLAHSASKPAWRNDFQHDIVSDDGIDWSSNRNLLNQMSLKPADLPICSFAAAGNCPYGEGCPQMHGDLCATCGKMCLHPYRPDEREEHTKFCEKNHKRLEALKLSQEIECSVCLDRVLSKPTAAERKFGLLSECDHPFCIACIRNWRSNSPASGMDVNSALRACPICRKLSYYVIPSVLWYFSKEEKEEITESYKSKLKSIDCKYFDFGTGSCPFGTSCFYRHAYRDGRLEEVVLRHLDADDGSTVIAKDISINVREE >Sspon.02G0010070-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:28063485:28064928:1 gene:Sspon.02G0010070-1A transcript:Sspon.02G0010070-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQFGKRLAYHGLRPTLAVTRFILATCAPDAAALQDLGSAGAVRLAAVSDGFDRGGFGECGDVTAYLSRFEAAGSETLGELLRDEAERGRPVRAVVYDAFLPWAQGVARRHGAAAAAFFTQPCAVNVAYGHVWRRRLRVPVDGGAVLRLPGLPALEPDGLPWFFKVGTGPYPAYFELVIRQFQGLEQADDVLVNSFYELEPELTSAPCIAWLDAHPPRSVVYASFGSLSDLDPLEMREIAHGLLDAGRPFLWVVRASETHKLPAGFEVEAEGACGLVVSWCPQLE >Sspon.01G0010660-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:32049813:32055734:1 gene:Sspon.01G0010660-4D transcript:Sspon.01G0010660-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein phosphatase 2C 32 [Source:Projected from Arabidopsis thaliana (AT2G46920) UniProtKB/Swiss-Prot;Acc:Q8RWN7] MGNSTSRVVGCFAPPDKAGGGVDLDFLEPLDEGLGHSFCYVRPGAVADSPAITPSNSERYTLDSSVMDSETRSGSFRHEPAADDLAAGLQRPCRSFGETTFRTISGASVSANASSARTGNLTVSLAGDVQEPAAAFESTASFAAVPLQPVPRGSGPLNTFLSGPLERGFASGPLDKGSGFMSGPLDKGAFMSGPIDAGSRSNFSAPLSYGRRKARLGLLVHRISRPMKTALSRTFSRSSQNPGWVQKFLSHPMAQLPWARDAKSRSEGSQNGLEPGIPEPEYNVTRNLQWAHGKAGEDRVHVVLSEEQGWLFIGIYDGFSGPDAPDFLMSNLYKAIDKELEGLLWVYEDSSDRCDHVSNLEEGESAAASVDAPHDDSGQFQSDNGKQEQLGNFGKQNVSPGKGGDESALQVQPNCTSCEEKDLATQDSSSEKLGRDEIVEEMAGADLGNDLQSRESHNLNIGLSGTDLSTSCSCATETSAYCDQHAKFLKENRKSKRLFELLEMELLEDYNKRLSKASPEGRKIPSLDVAQAGTAEGSSRNAAEISRCSLAATGECFDDSEDLGSSRHADSVLGTDVKECTGCSISTSSSGHKQVTRRFVLGSKLRKMYKKQKMLQKKFFPWNYDWHRDQPHVDETAIKSSEVSRRCKSGPVEHDAVLRAMSRALETTEEAYMEIVEKELDRHPELALMGSCVLVMLMKDQDVYVMNLGDSRAILAQDNDQYNSSSFSKGDLRHRNRSRESLVRVELDRISEESPMHNPNSHLNSNTKAKELSICRLKMRVVQLSTDHSTSIEEVVSHVLWFMENVPDGDPAQYLVAELLCRAAKKNGMNFHELLDIPQGDRRKYHDDVSVM >Sspon.04G0029900-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4B:74770855:74770996:1 gene:Sspon.04G0029900-1B transcript:Sspon.04G0029900-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPPAKRKRKLARLCDIVSSALLHHLVSTNNSAPHVGIALLLSCNP >Sspon.08G0025780-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8C:12831087:12831287:1 gene:Sspon.08G0025780-1C transcript:Sspon.08G0025780-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VMVSWHAGVDPEMIGGRGTSGQEYRTRLVTIFRLWILTGVNRTLAGRASGRIVVRLVSMLKKLGHG >Sspon.06G0012240-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:52933463:52937005:-1 gene:Sspon.06G0012240-3C transcript:Sspon.06G0012240-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASATATATATAAAAVPAFALTSLVASAVRRRRGAVVVAADAAAAAGSAEFGDDENPYELLGIRLLDSFDHMKMAYKKKRKDAEETGDDEFLAKLDKAYDTVMMQQLQYRKKGVTYGSVQVSKDIKYADNQPMVPWGPRFSRSTVKDMRINMAISAAFVVWIAIMGNADWKPLQFLCFAFFYRILQKLRATEPAITPIFNEYGEVEGRGIRMAKRVVRALGLIFGCVFTASLGYTAAINLIELSMQYTPRIVYYYQEGN >Sspon.02G0011010-3P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:28513033:28514854:1 gene:Sspon.02G0011010-3P transcript:Sspon.02G0011010-3P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPLLTQKIVKKRVKQFKRPHLDRYKCLKPSWRRPKGIDSRVRRKFKGCTLMPNIGYGSDKKTRHYLPNKFKKFVVHNVSELELLMMHNRTYCAEIGHNVSTRKRKEIVERAAQLDIVVTNRLARLRSQEDE >Sspon.08G0009340-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:41144692:41162774:-1 gene:Sspon.08G0009340-1A transcript:Sspon.08G0009340-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASGDRPVNGAGDHLLRSLLGNLVSYYPIDQYKPFNCQPIGNIIEPWGCSKAHQDREAEMLNFPPKWHDPSHDELSFANELLQFHFQSALEDLLTICQTKVHSETGDEKEHLKITLLRIHSALHGVMSCLPEIRPSYTDGRLLEVESTVFIAGSAGSTVGSSEMREKAAEFVHIACRYLLKERTDDSILLALVVRVIDALVNYVCRVGSLEYQEWSSHVQAWKLESASIMEPPCNFIVPFHAQGKKRPRWALVDKANLHSTWRCSQSSYHRYRMNADVSPSVLMINLMNDLLDLSLHNYETVRSYAGRSLTKLLKRWPSLISNCVLTLAGNLRDPKAPEHVVLGSCSILISQTVLRHLTTDSVSLSSFIMGILESSHHESLKCQKAITELFVMYNIRFSGISRSFFKNLENKADKPGFLSLISQINALGFETNGLHWRYNLMANRVLLLLILASRSESGVYSQMLAKIAGHFLRNLKSQLPHSRMLAISALNTLLQGSLHKASAQDLKQSVGHPEDCNIPSTEEILNEIIREEGFMNETLNSLSHVHIISDNDGSSKASYGASSFQSGSDKAITYFYFDFSASWPRTPSWISLVSGHTFYSNFARIFKRLIQQCGMPVISSLQTTLEEFLISKERSRQCVAAEAMAGMLHSDIIGNLEGENNWLMVQLHKIMLAPSVESAPEWAACIRYAVTGKERAGTCAPVLRQKVLECLCSPLPHSMATSVLAKRYSFLSVALIEISPPKMSPAEKQYHVKILDELLDSMNHSSAQVREAIGIAMCVTTSNMRLSGSFGTACSPQDLCGDVSMIEQTGNEYWSERLTDGANELAVSIQNSTQSKQLESTSDSAEDSLDDREQAAAKRMETVLILCLSPSFKVPYPHASFLAYIFPFSFPLQIFHFIIASLKSGRSSVLLDIIIGLVYPILSLQETSNKDLSLLAKSAFELLKWRILHRPFLETAITSILSSVSDPNWRTRSALLSYLRTFTYRHTFILSGSEKSQIWQTIENLLVDNQVREHAAGVLAGLMKGIDEDLSKDFRERSYAQAQRIFVARRRNLKSSVATIHGAVLALTASVLSVPYDMPSWLPSHVTLLARFIREPSPIRSTVTKAVLRDTSSSS >Sspon.04G0030930-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:3346914:3365524:1 gene:Sspon.04G0030930-1C transcript:Sspon.04G0030930-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQKKSRGRGGGGGAAASGDGHEDLARPPPLQAVLLADSFTLKFRPITLERPKVLLPLVHMPMIEYTLTWLESAGVEEAFVFCCAHSHQVKEYLEKAGWAGKSGSGSMAVTAVESHDAISAGDALRVIYDRGVDCYIDICSPEVLSLFTDNFDYQHLRRHFVKGLLVDDIMGYKIYTHELRSGYAARIDNFRSYDTVSKDVIQRWTYPMVPDVISSRDCSESRLHRQGVYKASDVTLSPSAQIGANSVVGSATSIGDHCKVLNSVIGEGCKIGKNVLINGSFIWDNVIIEDGCKVSNSLVCDGVHLRAGAIVEPGCVLSFKVEVGKNVVVPAHSKVSLLPQPSNEDSDEELEYADTNSGITDSPPFSSMRSNGDQSTVPLEEDESGTSETGTCGVVGYIWTSVDTGIVEEWRQSIAPIPKEKLEELRHAVSDDDGSEDESNNPTLPDKDDSSDSVVEDDDHISKFEKEVLLPLVHMPMIEYTLTWLESAGVEEAFVFCCAHSHQVKEYLEKAGWAGKSGSGSMAVTAVESHDAISAGDALRVIYDCGVDCYIDICSPEVLSLFTDNFDYQHLRRHFVKGLLVDDIMGYKIYTHELRSGYAARIDNFRSYDTVSKDVIQRWTYPMVPDVISSRDCSESRLHRQGVYKASDVTLSPSAQIGANSVVGSATSIGDHCKVLNSVIGEGCKIGKNVLINGSFIWDNVIIEDGCKVSNSLVCDGVHLRAGAMLNLVAYCHLRLKLERMLLCLPTQKFHYFLSLQMKIAMKNLSMLTPTQELQIVHTGTCGVVGYIWTSVDTGIVEEWRQSIAPIPKEKLEELRHAVSDDDGSEDESNNPTLPDKDDSSDSVVEDDDHISKFEKEVEETFQRALGGGVNRDNLILEINGLRLAYSLQHADCAGAVFYSVMKSALVAAQSTNGGDTSGEGRPRAAPLQPEGRSSWLVLGIVNEDDERQGNSIPVSDQAKEKGYRAEQQQRWWWGGRFPERRRGGSGGGSAPGSALAARAGPRSRGQSGGGGEGAADPRLEKADGRRPAPSSRRCLARRTGRAGRDGCGSLRSAPLASSSVASPPVPMAAAAGGREPVPGVAAGGGDGAPAGRGRWPPSRTRRPPAASTHRRTAPSAAPAPVGLSVGVTENRDEADPTPAFPTTAAADTSGSYRSDAVRGLFFPTFPSFSDTLLKTTADALGKWKDLLRNYTKTVDEEILPCLYDTEVVSEDAILRWAEEKEHADESDKVFVKQSEAFIKWLKEAEEEDDEEE >Sspon.02G0025820-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:89648704:89650140:-1 gene:Sspon.02G0025820-1A transcript:Sspon.02G0025820-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding TSCLHMQMYFKLDESYEGNADAVARKAYRKRVTDMYHEARVIPWWCEKSPEAWGQIVDRWL >Sspon.02G0024300-3C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2C:88088376:88088750:1 gene:Sspon.02G0024300-3C transcript:Sspon.02G0024300-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAASALFATAALVMALMLAGSSTCHAARYLADSTSAAAPAAVPAVPTTAALPPLAAVTVPPIPTATLPPMPAAPTVPNAALPPMPAVPTVPKATLPPMPAVPTVPAVPAVPKVTLPPMPAVPK >Sspon.02G0059250-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2D:90329105:90334123:1 gene:Sspon.02G0059250-1D transcript:Sspon.02G0059250-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGRDSASVRSESRRLTGRAGPASDRKRRLQTLAHAPVCSAVLTRRVRSHRDRVRVHRDVVRFRHRRHRSSAARRCHRRAISGHANRTLSSASASRTSWTTGVHRFRTQTSAPPAPVLTSGDRPPSPPPHVARPLQPLPATSKSTNVITVLNAGRPPRESERARGSEREGGRRKMTGGAALSERERERQPRTGASGPFGWAGPEGKKGSGPFPLSKKLKSSSKFPEKSDRRWVPDQTLESSSLSQSSCQSKASPDIIDDTHDNGVCNDAVGITFDEEELEDSDTEEDEHAHENTRHQSRNLTEVQRQEIYAALLERSNRGKLRRNATKIVSEMFQVSLYQVRSLWRRVKQCRAQGIPVDVRSRKKNCGRKRRHIELSNILNVPLHKRRTLRSLGKSIGVPKSTIHDRFKEGLLRRHSSTLKPLLREENKKDQLRWCMSMLDPQTLPHDPKFRDMKNIIHMDEKWYDTTSRYDKYYMLSGEDDPHRIVQNKNSIGKIMFLTAVGRPFYDAEGNCIFDGKIGMWPLVRKVQNK >Sspon.02G0033860-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:9463272:9464938:-1 gene:Sspon.02G0033860-1B transcript:Sspon.02G0033860-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding HAMPINLHETVRKVGDGHTLTVLNVQRKAGSHLHSQSPADDASTLHLGNGCCHVCKSHIYHPILPWINGDGSMNGTVYEGLSRRIIGYAMQYPGILQTCRTLLGKLTVDKHLYVRVSDEPVPAAPIMLQSLLRQGRYKNPSKSGRQYFANPMSTSM >Sspon.03G0031990-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:32976143:32976946:1 gene:Sspon.03G0031990-1B transcript:Sspon.03G0031990-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDTGQAGPAENLPEPLSPAVEAEVVEDEADGDDEFSFPVPPVAADSCIVPVYPIFGRPPSPPPRGEVVEEPGTATVRVPLGRLLLEEREFRAREQDGRSASARRAWQEDDDASGGGGAGDEDLEGVPPESYCLWAPGGGGQSSAPASPRRCRKSGSTGSVLRWRRISDRLVGRSHSDGKEKFVFLTAAAAVPEPQPPRRSIKEGEEGGGGINKDGDAGSVAHQLRYYGRGGVGGSGSRRRSYLPYKQELVGLFANVSGLRRSYHPF >Sspon.01G0031860-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1A:108685443:108685772:1 gene:Sspon.01G0031860-1A transcript:Sspon.01G0031860-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPTQEAGQPVVGPWAVGLWPWRRQVGDSLSDWSERRLPQRSGRPRRQPCRQVFDPPSPSPPRYTRCPGLRRCLRRRQARYAPPFSSSFPLCETEQTNPNLGYLFPDLI >Sspon.01G0029230-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:102157437:102165393:-1 gene:Sspon.01G0029230-1P transcript:Sspon.01G0029230-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEDDETGDRENADPNRCSTADAPLSPENTEDKPDLDTKSTLSIQLLQSKPGENKEPGSHDIHFNSANTPGNSEERNNTGKKRDVFRPSVFDRITGHRDQWFNEDTRLNSDSHRNQRREMEKEHSDMNKFERHCDDNKQYLDSHLSPKERWVRSPSYVRNYDQRRDNNWNSRWGPSSKDSENWRERSTDSDRKDDAPCEEVFSHSTAHGKEKDGSNHEKVNERDSNVSRSWNSRYFASRGAGGGGTSDHLSPDPQKLSASFGHSSYGQESDSPNSTSSHRRFTSVTSRVNSRSSRPFHLGVLSDRPGGPSRNSVRYSRIKLFEIYRTTDIRNFVMPIDDIDNDEISSLWQEDPMDPLALIAPNAEEVVILKGIEKGDITDSLAKACKDGSDDKSNPDVVALEQTKLSGREDQTGSSEDFSGEMTIGIRGILGAAHLSEHLKSEKSPPKGSESIGGGIHGSCAEFGHQRNVLDQGTKVGEMVGVDENVNPENLSLYYKDPKGCTQGPFPGYDIIGWFEAGFFGIDLLVRVASAPCDSPFLLLGDVMPHLRAKVRVPPGFSNTKPRSMTEASHLVSAYLGTSDYGSINKNGCVTEAENYFLESPVSSKTQNPRAETNVVTGGMNECSHSTFGNHFVSGDEKVNRINHLEVQKGLLERENTFQIDADVISVAESQKEDSVQATSHSTFFPQMVGPSNEALQPQNINLLSMLLPTEKGQAPAANSGLSLWSNNADSGNLQAVMCGIDLAQEVLNMRQNLHNFQQIGIDVQQHYSLTQNQPTLACLNSQITQPEKFLSEISHDPQLLNNNQQQYLPSELHLQPLMPGVPQPQYSLLNNMLQLRQQEQQQLQKQQQHMSQALPHDCSMQQLYDPSHGTRHTSLSSSDCMKLCLQRTQQILELAQKLPGHGMHDLQLPNHANVKLRDMGIIGLSESWAPALALPLPHEMMGHAAWKECSASLTQGSAVVDAPSRKESIVDLPSEKTLSSGSNEYSKVTVFEAKGFPQSCQGLAKSESVASHISNEVHEMEISSTHPHSWKPAPGVRTKSLLEIQAEEQLETQREIALENTEVTTTAASALSIPWGGLAETSGLQFGDETKPMRDKKNVNISKSKRSKLHDLLAGEELVKSNDKDAVIINSADDTSFPPLAPSVIQSDAHLSSHSTPNSEEDLFWELCEHAKQDKPGLQSPPSRARASMVNTRSAALDIQKKGKKGKKLSTSALGFKVESTRIMMGEIVHADE >Sspon.02G0050040-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:48027630:48029100:-1 gene:Sspon.02G0050040-1C transcript:Sspon.02G0050040-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVVDGNGGSGRLVVTELGHIKELVTQLEVHLGGSSPDFCKHLASQISSITERSISLLITTSSLHGARRKCSADASPLSDASDAPFVKATKKRKTMDKKRHEVRVSLAGGGTNHPADDGHSWRKYGQKEILGAKHPRAYYRCTHRHSQGCAATKQVQRTDEDPTFFDVVYLGDHTCVQMAVAAAAMGQAAADAQAQEHNDSPGARSLLQSLSSSLTVKTEELAVEPEQLLLGWDAPAPFCFSSTPATANGCHVPEHSPFSAPSMSDNWGVSPATSDFNRVVSFPPFEVALYRSSSCGDDVQFGFEEVMSVLDRPDGFLDDLDIDVSSFFA >Sspon.08G0011130-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8A:48563537:48564709:-1 gene:Sspon.08G0011130-1A transcript:Sspon.08G0011130-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWARRPLAAALAVALLLGLCRGDVVQFIFGDSLSDVGNNNYLKKSLARAALPWYGIDFGRGMPNGRFCNGRTVADIVGDKMGLPRPPAFLDPSLDADTIFKYGVNYASGGGGILNETSSLFIQRFSLYKQIELFQGTQAFMRDKIGKAAADKFFGEGYYVVAMGANDFINNYLLPVYSDSWTYTGDTFVKYMVSTLEAQLKLLHALGARRLTFFGLGPMGCIPLQRYLTSSGGCQESTNKLARSFNTQAAALMERLSASLPNATFQFGEAYDYFQDIIDRPYMYGFNNSRAPCCALGRIRPTLTCTPLSTLCKDRSKYVFWDEYHPTDRANELIALETLRKLNITVVNNGTSG >Sspon.02G0013160-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:28893921:28894974:1 gene:Sspon.02G0013160-2D transcript:Sspon.02G0013160-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MYNPEHHQHQHQPAPPFMAPRMSFSSDFAVEPPPPAAARGGAPAPGDADFEFSVGSHPMMAADQLFSKGRLLPLREAPHQAGASGRPVTLRDELRADERHGRVPRAPNIRWKELLGLKKAPKKQAAATADAAAGTSTDAHMDLGGQ >Sspon.05G0024240-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:20930371:20946635:-1 gene:Sspon.05G0024240-3D transcript:Sspon.05G0024240-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Long-chain base (LCB) kinase 1 [Source:Projected from Arabidopsis thaliana (AT5G23450) UniProtKB/TrEMBL;Acc:B3H501] MQTLLLAEMDGTELENPTSRSSSQKSSRRSSSRRSQKSAGQHSLTSTQEKRSKSKSLRQKHLVIDDKEAKKGKNHAHKIDVADERSNFLGYEVYAGKLIFDKKNRSASDNNQLPSNGKADAIDARLTSKALIWGSSVLLLEDVVSVSYNSGVKYFTLHAYPTKKSLFGKTRRVQKDFCFIASTLDEAILWVTCFAEQSISVNLLPRPGASSINQDSENPLSFQMEVVKTTHAGHAKSLVSTFDFSACPDGIVCVGGDGIVNEVLNGLLIRSDRAEAVSIPVGIIPAGSDNSLVWTVLGIRDPISASLLIVKGGFTALDILAVEWIQSGQLHFGSTVSYYGFLSDVLELSEKYQKKFGPLRYFVAGILKFLCLPSYFYELEYLPVSKEMAVNGKGTDQDKTYLSNVYTDVHSRSKREGIPRASSLSSIDSIMTPSRMSLGDVDTSGSTVASTEPSDYVRGLDPKAKRFSLGRSNIVSEPEEVLHPQPHHGSFWPRTRSKARAERNSVGVTSTNDTQLSWAAPSMHDKEDISSTISDPGPIWDCEPKWDTGPKWDSELTWEPDHPIELPGPQEDTEMGAPMELVPNLDEKWVIRKGHFLGVLVCNHSCKTVQSLSSQVVAPKATHDDNSLDLLLVGGKGRWKLLRFFILLQFGRHLSLPYVEYVKVRSVKLKPGANTHNGCGIDGELCRVKGQVLCSLVPEQCRLIGRQCRQSI >Sspon.07G0038180-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7D:72734002:72734325:1 gene:Sspon.07G0038180-1D transcript:Sspon.07G0038180-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSPPSPPLHHSLTLNSRHPSTLSTFSSKSGQARPPNKLPAWLSTDTISKQLKFDTPPPPHQPPSSTVASGTPAGRCLAILSNSRREAPSSPLSPEATGKTQTPNP >Sspon.07G0027290-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7B:59452435:59455528:1 gene:Sspon.07G0027290-1B transcript:Sspon.07G0027290-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VGTTKHSQPVARAPWQELMEGPPGPAGRRPPPVHHRLRRARAGHLRRVQPGEAVAARRRVLARARRPAGRLVRVRRGRLRSHQVRVCHVGAAPAQRVPVPAAASAGGRRVVQGLQL >Sspon.08G0006350-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8C:19420298:19420717:1 gene:Sspon.08G0006350-2C transcript:Sspon.08G0006350-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVVKVEEVAKVDENPALVGENPGLDDEAEAGYVSPDDEDGDDEDDETDSDGDYEIPSGGGVPELKKGPWTPDEDKRLKSYVEAHGEGNWNKVQRNAGLNRCGKSCRLRWANHLRPDLKKGPFDAEEVDKIIRFHIMWGN >Sspon.01G0046080-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:96420592:96436805:-1 gene:Sspon.01G0046080-1P transcript:Sspon.01G0046080-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SNDDALTSWDIEAMRHASEGIGKDLMCMLYLFCAIYGHLLLVLDDIEFYEKQVPFTLEQQRKIASALNTFVYNSFIQNSGSYSKPLVDVAVRCLNLLYERDSRHKFCPISLWLAPARNGRIPIAAAARSHEAAFGTFPGNNSSGIPPRSSMFREFIESDKASRRVTGEISGPGPGSIEIVIRRGHIIEDGYRQLNCLRSKLKSCIHVSFVSECGLPEAGLDYGGLSKEFLTDLSKTAFSPEYGLFSQTSASDTSLIPSNSAKLLDNGIDMIEFLGRVVGKALYEGILLDYSFSPVFVQKLLGRYNFLDELSTLDPELYRNLMQLKHYDGDVEDLFLDFTVIEELGGKRIVHELRPGGKNISVTNENKLHYVHAMADFKLNRQILPFANAFYRGLSDLISPYWLSLFNANEFNQLLSGGLRDFDVDDLRNNTKYTGGYTESSRTVKLFWEVIKGFKPTERCLLLKFVTSCSRAPLLGFKYLQPSFTIHKVPCDVTLWASIGGQDVDRLPSASTCYNTLKLPTYKRSSTLRSKLLYAISSNTGSC >Sspon.01G0039630-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1B:36064922:36065216:-1 gene:Sspon.01G0039630-1B transcript:Sspon.01G0039630-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding CPDGRHVRTQLQRVILHRIPRQLESASHPTSVPPRPHAAPAPHPALQHPIPRPRPSPGPTWVLVTCPTCGCVPRRRLPAISARTVTHGGVPSSRSRAV >Sspon.01G0054150-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:48136120:48143792:1 gene:Sspon.01G0054150-1C transcript:Sspon.01G0054150-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAALLVPDEAYMPLPIWVMVCRPPGRVLRTRPPISLCKLSKNPIGNVPSWMCPSSSPFIDTRHTGSPGYCLLLEAGKLSVHVNAINDIAALALFIKEIFGHDWRVDQLRLIKARQLWQNGGGMQHCRCGALLTLHADCRGRSSREIYSYTKDIGAQRRKEERMAQASSSFSVVLLFLALVFVVEVSAGSANGELYKPAGAEGSVPIEQCPDKCDYRCSATAYKKPCLFFCNYCCQKCLCVPSGTYGNKEECPCYDNLKTKEGGPKCP >Sspon.06G0000970-3C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6C:1526725:1529724:1 gene:Sspon.06G0000970-3C transcript:Sspon.06G0000970-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDDRKAPSFLDVPKDIPIATAKPLTIRTSAAASGCGGGGSDRSCPISPAISITPHLYSPSPPSSAFVSALQSPYISPRVLDPPPPPEPEPQPRQETKAAGAGASVTTTAAPSPTSCSNGSDIDAPSASRTPPSERYDSSGIDAAKISDGGGGAGALPPRVSFSFPVPRVSFTRGSVASPSSNAKLRSCDVYIAYHGNGGLSRFCKWLKSELELQGIASFVADRAKYSDSQSHEIADRIICSVAFGVVVVTMSSFLNPFSLEEIRFFAQKKNLVPILFDTEPAEIAGLFDGKLEDKEGKEAFEGLMRCHEFKLEANESNSRSCVSRTVTLLRSKLGRKNIAEKESEASEGLPFPRNRHFVGREKELSEIEGMLFGSTVDIQEVDCPRASSTNERSSGVSDGFADEDSDTARKSNARYISLEMRKCKEPTLEAWIDPVIELSSGKSRSLQKQRSRHRRSRLRCNSKGYSGANVICINGSSGIGKTELALEFAYRYSQRYKMVLWIGGEARYLRQNILNVSMNLGLDISAEAEKERGRIRSFEEQEFDAFQRVKRELFRDVPYLLIIDNLESERDWWEGKDLHDFIPRNTGATHVIVTTRLPRVMNLEPMQLPQLSYIDAMALIQGKRKKDYPPEETEVLRKFDERLGRLSFGLWVVGSLLSELMIAPSTLFEAVDRISLSENLFPIGANDDGFCRNNSFLIKVLVFCFALMDRAKGGSLTSRMVIAGSWLAPAPVSSTLLAATASKLPMKGSGMHLFGESLKTAFLCGTHCFLAPNGRKAEVESALLLVNLGLARKANRHPGCWIQFHPITQLFGKIRGGLAPTTAAVNGVMRAGNPSVYSDHLWASAFLVFGFKSEPPAVQLKAVDMVLFIKKTALPLAIDSFMSFSRCGSALELLKVCTNVLEEVEKSYASRMQDWNRGSLCWRKKLQPNHRVDEFVWQEVTLLKATLLETRAKLLLRGGLFDTGEELCRTCISIRTVMLGHGHAQTLAAQETLAKLV >Sspon.01G0030450-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:77960055:77963012:1 gene:Sspon.01G0030450-3D transcript:Sspon.01G0030450-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGSRLTVVARPRAARAEVAGARDGAAAPVMVSQFMLDLLGTKAVQGEEPPRILHFNPRIRGDFSGRPVIELNTCYRMQWAQPQRCEGWASRPDDDTVDGELKCDKWIRDGNNETQESRMKWWLNRLIGRPNVNWPYPFAEGKQFVLVITAGLEGYHVNVDGRHVTSFPYRTGYNLEDATRLSLKGDIDVDSILAGSLPTSPPTSATKSYLEMSEQWKASPMPTEPVELFIGILSSANHFAERMAVRKSWMISTRRSSDVVARFFVALNGRNEVNEELKKEADYFGDIVIVPFMDSYDLVVLKTIAIVEYGVRVVPAKHIMKCDDDTFVRIESVLDQVNKVQRGKSIYVGNINYYHRPLRSGKWSVTYEEWPEEVYPPYANGPGYVISPDIAQYILSEFDNKTLRLFKMEDVSMGMWVEKFNTTRQPVEYLHDVRFYQPGCFDVASWERSMLQRKMTMDSTVISIMENRLIIEIQNEDEFEALGRSLMVYVYVIF >Sspon.05G0018550-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:79487165:79491282:-1 gene:Sspon.05G0018550-2B transcript:Sspon.05G0018550-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPYFLGFILPFVASLLLTKRKSEKKRGVPANVGGEPGCAIRNHRFERPIETRWEGITTLAELFEQSCKQFACMPLFGTRKLIAREMVVAADGRSFEKLHLGNYEWKSYADAFKTVCNFASGLLRIGHLKDERVAIFSDTRAEWQIALQTEVTTVVCGRKELKKLIDISGQLDTVKHVIYINEEGVSAEVSLAQNCTSWTVKSFEEVESIGLQRPVEENLPLPSDTAVIMYTSGSTGMPKGVMMSHQNVLAVVSSVMTIVPGLGKKDVYLAYLPLAHILELAAEAIITGVGASIGYGSPLTLTDTSNKIKKGTQGDASVLKPTLMTAVPAILDRVRDGVRKNVDAKGGLAKRLFDIAYSRRLAAVNGSWLGAWGLEKLLWDMLVFQKVRAILGGRIRFILAGGAPLSGDTQRFINICLGDILNCVVTLIASVAHFVSQIWIQTFKPIVSLYLQAPISQGYGLTETCAGGTFSEYDETSVGRVGPPLPCSYIKLVDWAEGGYLTTDVPMPRGEIVIGGPNVTKGYFKNEAKTNEVYKVDERGMRWFYSGDIGRLHPDGCLEIIDRKKDIVKLQHGEYVSLGKVEAALSVCSYVDQIMIHADPFHNYCVALIVAAQSELKNWASKQGMTYSDFSDLCQKQGTVKEVLQSLVKAAKQARLEKFEIPAKIKLIPEPWTPESGLVTAALKLKREVIKKAYEMDLAQLYS >Sspon.02G0022480-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:76648481:76653626:1 gene:Sspon.02G0022480-3C transcript:Sspon.02G0022480-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKSGALDLASGLGGKIDKEEVKSAVDEYVPGSAQFPLRLRDHLLEDFLRYEKYHGYYGGKEEARKSNYTDMVNKYYDLATSFYEYGWGESFHFAHRWNGESLRESIKRHEHFLALQLGLKPGMKVKALEYVGLAPQGSERVSNFLEKAAEGLVEGGKKEIFTPMYFFLVRKPLSE >Sspon.04G0002100-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:6471262:6475307:1 gene:Sspon.04G0002100-1A transcript:Sspon.04G0002100-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MCNHLGNDTLLGNGVVGILAETCNMWERRAPLTPSHCARLLLGGGKNGARVNRIIVQPSTKRIHHDAQYEDVGCEISENLSECGLIIGIKQPKMILPDRAYAFFSHTHKAQKENMPLLDKILEERVSLFDYELIVGDDGKRSLAFGKFAGRAGLIDFLHGLGQRYLSLGYSTPFLSLGQSHMYPSLAAAKAAVIAVAEEIATFGLPSGICPIVFVFTGVGNVSQGAQEIFKLLPHTFVDAEKLPEISQARNLSKQSQSTKRVFQLYGCVVTSRDMVSHKDPTRQFDKADYYAHPEHYAPVFHEKIAPYASVIVNCMYWEKRFPPLLSTDQLQQLMETGCPLVGVCDITCDIGGSIEFVNKSTSIERPFFRYDPSNNSYHDDMEGAGVICLAVDILPTEFSKE >Sspon.04G0021680-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:846108:849077:-1 gene:Sspon.04G0021680-1B transcript:Sspon.04G0021680-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LLPSLAISQSQQISGDGGEEEEERKRVLVVGGSGYLGQHLLAALAASSTADRLDVAFTHHSPTPPQPLLDALPSVRAFRADLCSGDGFEAISASFGQPHVVVNCAAMSVPRACEMDPPAAMATNVPSSLVNWLLSFGNDDSLLIHLSTDQVYEGVKSFYKEEDETMPVNMYGKSKVAAEKLIIEKCSNYAILRSSIIYGPQTISPVAKSLPIQWMDGVLSQGQQVEFFNDEFRCPVYVKDMVDVVLSLTKTWLSVAFHCTMVTDIKVHAADGKKVQVLLNVGGPDRVSRLQMAESVAIVRGYNPSIIKSVSASSIFVGTSSKHDVQTASLDNLA >Sspon.03G0004530-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:18062448:18065115:1 gene:Sspon.03G0004530-4D transcript:Sspon.03G0004530-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAPAAAQGGCGNKHIVLVHGGCLGGWSWFKVATPLRAAGYRVDAPDLAASGVDPRPLREVPTFRDYTQPLLDLLASLPEGHRAVLVGHSLGGVNVALAAETFPDKVAAVVFLCAFMPDCTAPPSHVMEKFVEGKWLDWMDTEMKPQDAEGKLPTSMMLGPRIIREKFFQLCSPEDLTLAASLLRVSSMFVEDLVLQKPYTKERYGSVRKVYIVCTEDHAIVDKFQRWMLENNPVDEVKEMSADHVVMLSRPDELVRCLTDIADKYA >Sspon.06G0015670-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:67719054:67726090:-1 gene:Sspon.06G0015670-4D transcript:Sspon.06G0015670-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding PMYPSAVLLLLVAATAATSQSQALRLPPAAAVRHGTGAACMPSERDALLAFKRGITGDPAGRLASWHEGDQDCCRWSGVWCSNRTGHVVGLRLGNDYDVYTDDPWLLLNNTALSGQISPSLLSLHHLESRSQRKQPFRASRSSARVLGPFQEPEIPNLSSMPLFGRVPPQLGNLSNLPTTRDDFLFPWGRLEYLYSTDISWLSNLPLMYLNMDSVILNGTVDWAYDVNMIPSLKVLRLSKCWLTSANQSLPHLNLTDLVELSLSGNTFDHPVASCWFWNLTSLKHLDLAATYLYGQIPDALGGMMFLQALDFGDGYGDIDIMTANMTNLCKLESIDFTARDFDGDIRDLFKRLPQCYPSKLKELYLSFNNFSGVLPNWIGRWTTLRILDLCVNHLNGPVPSEHSALNNLEYVDLSDNNLDGVITQQHFAGLKRLKLIDLSSNSLKIALDPEWLPPFRLERAYFVSCQMGPLFPTWLLSQSLESLDLSRNNLYGEIPQSLSNITYLGFMDLSHNNLTGRIPSGTQLDTLYAQNPLIYDGNVGLCGYPLHKNCTDDNREPKHGDQERDEHKSYILNVVQFLLCSTGQAKEMIAVNMTPQRSGGKNPQAPQESNHQDTHPPPPEKTIGPVPERPPRGRRRRGLHPGRVNGPRLHGLPSPPPAAGTPTRGGGLAEVVREVEDAELLHPLHVLPDPRGPLPLVLPARVGFRRRRQRGHRGGARRLGGGRGRGRVRGGGNGGGHGSPGTAGSEGRGLGLGDFSGAGRAAGFI >Sspon.02G0043230-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2C:94235369:94236598:1 gene:Sspon.02G0043230-2C transcript:Sspon.02G0043230-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQQLGMLRDAMYQGNYILDTSRCQSQGVEDTKDPVVSHSMSLCNVNSLRGISSSNRKAEILEQLQYAVDNLSSMILNVKELVGFLMSYPRLYHQPYSMHLLLSNCMFGWQMEAHLVISFLLNTEHHGSEELEVLPIVGPCSVGKSTLVSHVYKDERVRDHFSEILLLRGHDFTNYDLLTLSKRYAVQHEKNVSNTNKDRRLLVVELDGDVHEDAWNKLFSSYKQRVPRGSKIIVTSRSNEVVKFGTTPPLHLKYLSHKAYWYFFKTLTFGSMDPKMQPRFAHVAMEIARMLSVCFICANYNASLLRNNFDIHFWFKVLTFLRQLNHENSSKYGGHPYVLIGQNKPVQFWRMATPSEDVVPYAQYQRSSHEEVPDITIQDVLYGNIKLHGKLEVLSWRSQIPPYYSYVFS >Sspon.04G0015530-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:67026191:67033120:-1 gene:Sspon.04G0015530-4D transcript:Sspon.04G0015530-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SWR1-complex protein 4 [Source:Projected from Arabidopsis thaliana (AT2G47210) UniProtKB/Swiss-Prot;Acc:Q8VZL6] MDAKDILGLPKTPFSSSQEKKSRPPKEPQRKPDGVSREVYALTGGVGMAPLMPTIEASHLKRRPAAEKEKVRVVNGIQPTGDYQFAKYNKKADVVKYTDEEYEKYLVDPDWSKEETDQLFELCERFDLRFIVIADRFPTARSVEDLKSRYYSASRTLLIHRARSFEDVSGNPLVKDAYDAAHETERKRALSALLSQTKQQERKDAETLAEAKRIMESRAASKNVDEAVMPPSSDNAMVPVDGVSPSSSTHPSLAHPNTTANTLIPNSLRTVNLKPKVPTKAVCVEHIELRNELLTLLNLQKQLQTKEAEVSANRESSFTEAPSTPKRSNRDIDQPFIPDTIGFTGERAGKRDHKRKPYSLTVEMN >Sspon.03G0006570-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:9353447:9356186:1 gene:Sspon.03G0006570-2P transcript:Sspon.03G0006570-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCKPAIRRRSPDVDPSVLFDPFQPTWPDPFRRGSHNYSANHCRLATMVVGEVAAAGGNEMSLSSMVLGFYEEAEREMWPEDAAATTAGDGSDDDDEGSSPSGGRVETVAFWREQRSLLHAALTKTSSAESRIQADTEEAVRQMRATPGGVCSCATRAAPAAAAAGGCRGCALRFVAGRLRDAGYNSAICRSKWSRTPEIPSGNNREHSYVDVVVPTRSGKAVRVVVEPSFRAEFEMARGGAEYRALVAALPDLFVGRSEKLRAVVRVMCDAARQCARESGMHMAPWRKHRYMEAKWLGTPERAAPGGSGTGAGAAAAAAVDVGSPEKQLRFRASMLTLDFGGRTAVEVV >Sspon.03G0024870-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:60188016:60193098:1 gene:Sspon.03G0024870-1T transcript:Sspon.03G0024870-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MISVECKHYEIGIVVTKMGSVCRNKLASFVMEGAQGSSNVAKHNKRKSPVQRWRPVSTEAVPQKDDITETSNSGSKKIIEDCLASSENLASDVTANVVVEVTTNDASSSKNNLSFGYSSTKVVIEDNMEVSGFNKDLSGSNISGTYSSSIEVDAPLIRFVKGKGGSTQKQIEEDTGVKIIFPSSREGTSVGKSAESIRKASQMIANVLEEAVQSRQLEYSHFISLPLALHPDLVDKLNHFQSSILGEEDSDKDESRSEGSIDEMDDDRKQADGSSVCIKLQVQEEESTEAKMGSKGYQSDFGIDKSIFIKPKTFHLTVLMLKLWSKDRIAKASDVLQSVSTQVNEALENRPISIQLRDAKDKQASLSVRCLAAVSQSGFQNPDASG >Sspon.08G0002370-1P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8D:5325471:5325923:-1 gene:Sspon.08G0002370-1P transcript:Sspon.08G0002370-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GDNIFGGSATDAAESLLRAISPAIEYKVPWAAILGNHDQESTMTREELMTFMSLMDYSVSQVNPPGFLVHGFGNYHIGIHGPFGSELVNTSLLNLYFLDSGDREVVNGIKTYGWIKESQLAWLRATSLELQVLLTITDNHITTSEVVIVI >Sspon.02G0026170-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2B:92259018:92259491:-1 gene:Sspon.02G0026170-2B transcript:Sspon.02G0026170-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LVVGTLIAAKFGTSGTGDIARGYAAVVVVFICAYVAGFAWSWGPLGWLVPSEIFPLEIRPAGQSINVSVNMFFTFCIAQAFLTMLCHFKFGLFYFFAGWVVIMTVFIALFLPETKNVPIEEMVLVWKSHWFWKKFIADEDVHVGGNHLQMAKNGRDTK >Sspon.02G0039170-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:44030442:44032770:-1 gene:Sspon.02G0039170-2D transcript:Sspon.02G0039170-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GANQPRRCHLPTVLATILAAALLLFFTRFSPTEAAAVDKGRNRSSLLGEPRQWATGKDEAEIIAEAEARAAAGGEGLLTGEDGREFASLDSLLQWAIGMADPDKLREKAAELERLSAEELLKRQMEIKVKMEKLKVPSDAELMKIAIADLNNSSVSLEDCHRALQELLLLVEPIDNANGKYKLGGLLLLIRELSNADEGIRTTSAWVLGKASQNNFLVQNQVLGYGALERLVKMGYSSSAPEAAKALYAISSLIRDNEHGQELFLSENGYAMLQVELSTTKTNVRLQKKVVSLLAYIADFQLNTGKSQAPSLSNHLFIKSVVEMISSVPDLDLQEKVHLAVRSLLQLTSADATDLQKFSGLDDSLNTLRVQLDELTSHEEQREYALEVEILRREVHIVFQQKINQVL >Sspon.08G0018430-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:7637185:7639572:-1 gene:Sspon.08G0018430-1T transcript:Sspon.08G0018430-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVAILGSAGCFASDTITANSAISGSRTVVSRDGNFELGFFRPAGDSNTASSSAGSNHYYVGIWYKKAVSLCTPVWVANRATPVSDPASSQFAVAADGNLVLTNEAGELVWSSNVVISGSSSNGTVAVILDSGNLVLRRDYGEVLWQSAEHPTDTWLPGARLGMDKITGHVQALTSWRSSSDPAPGMYSLGIDPHGSSQFFLSWNRTVNFWSSGEWTGSMFAGVPEMASHDKYNFEFVNTSNASYFDYSLQDPTVISRLVVDVSGQARQIMWLPSADEWMVIWAEPHKLCDVYAICGAFGICDEKSEPLCSCPAGFRPSSVEDWELGDHSHGCRRNNPLHCHNSSVRDKDGGDAFLLAPGISLPSNSSPAAAGASPSSAEDCRSACLRSCDCNAYSYGSRCALWYGDLLGLSAMDTASTDDLYLRLSAMDVPSNGRRKRTVVVFVSVASAASILACLSVIVTVLVKMFRRRQRNIRFMQAAAEGGSLVAFKYNDMRRATKNFSEKLGGGSFGSVYKGTLSGVGAAVAVKKLEGVLCVGDKQFRNEVRTIGMIQHVNLVRLRGFSSHGSERLLVYDHMPNGSLDRALFAAAPALSWRARFQIALGAARGLLYLHEGCRDCIIHCDIKPENILLDVDLVPKVADFGMAKLLGRDFSRVLTTVRGTIGYLAPEWISGVPITTKADVYSYGMVLLEIISGRRNARCWATTEQGASLSEYFPLVAARKVSEEAALVALLDERLQGDADPRELERACRDDEAHRPTMEQVVQALEGVVAVDVPPIPTSLQAFTD >Sspon.04G0020530-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:78731428:78733364:-1 gene:Sspon.04G0020530-2C transcript:Sspon.04G0020530-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRYMRHGLRLPRRRVPLLPTKPGCCHQEPQKLEHCCYAGSRVGRSVAAGHRVLDGRRRAHRRVLDGRRRARHRVLDGRRRALGSRRRSLGRLRHLLGSLGTRTDGVSKHGHAVTHLLCGEERDTSRSYLLNGAGGAVLLQLRAVGRGSGGVAHGAGGGAGGALDALRRALHSTPG >Sspon.01G0006880-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:16950060:16954160:1 gene:Sspon.01G0006880-2C transcript:Sspon.01G0006880-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPPHAAQDPAPSPSPSGGSGSGSGSSRRRLRRLDRRNASKNIGYNAPNYCQYPPSPQPASAPGSGPASLAASVACSLDLVNSFRIGGSGDGGGDLRFLCESLGLSGPDDFAIPLADWEAHKAVRSSPSSARPNHDTPQRDSPLCRVGAEEPAQAADADPALPAATGRDGPIEAPERPARLDPPLESTCPDARRAAGEGGIKGVRPPPVLKPPPSMALPAVCGVGSTWDILRSFAPDEKEDAPASRSGRRFGHRDAGEKDDDEDGAVLLMLDDLGLEESSEGFTGTSSLSTTNDDETSSTTTESMFYISPNGRFRRRIRSWSRGVLLGSGSFGTVFEGISDEGVFFAVKEVNLFDQGSNAKQCIFQLEQEIALLSQFEHENIVQYYGTDKEDSKLYIFLELVTQGSLASLYQKYRLRDTHVSAYTRQILNGLTYLHERNIVHRDIKCANILVHANGSVKLADFGLAKEITKFNAVKSCKGTVYWMAPEVVNPKKTYGPAADIWSLGCTVLEMLTRQIPYPDLEWTQALYRIGKGESPAIPNSLSKDARDFISQCVKSNPEDRPSASKLLEHPFVNKSIRSVRSMRTTSSRSNSST >Sspon.06G0022440-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:30373750:30377392:1 gene:Sspon.06G0022440-1T transcript:Sspon.06G0022440-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPPPGAGLLTMSAAARRTSAATKPSSPASAARLPSSLPPSAPPLPPPKRPKKQLPRARTASPVVLRRSLRTRGIPPGESSACADANTSRATPPSPTKPRTTRFSSSLASALRDATAAEPSPSLESGICAADGFDASRELVLRPVDVRKVVPGRILSVRILPLSDRTVVVAGNAHGHVGFWDVDRLVEEDEDGDGVDGVFEYFPHRGSVGGIVMHPGTPQKIYSSSYHGEICFMDVEKENFNMIHLCDYPIFSLCQAPNSPSSLYFAEGNELKLFDERTGKVPTTWSLHDHRINSIDFRPENPYIFATSSTDRTVCIWDMRRMKKKGPESLKVLEYNKAIQSAYFSPSGNMLATTSLDDTVRIFNMDNFDDSCILKHNNRTGRWLSTFKAIWSWNDSNLFVGNMKRAIDVISVGRSQKSLTASYMAFLESEHMTAIPCRFTLHPCKVGHLAGASSSGKVFLWTRA >Sspon.03G0009470-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:25800290:25803881:-1 gene:Sspon.03G0009470-1A transcript:Sspon.03G0009470-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTRSQTAPKPLKTVAMAPRPSSPKRKPRPKPAGKGDPRAPKKPPTAFFYFMEDFRKVYKQEKPSVKSMQEIGKACGKKWNTMAFEEKVKYYDIATEKRAEFEKAMIEYNKKKESGEMSEESDYDELIS >Sspon.03G0030920-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:23581826:23582494:-1 gene:Sspon.03G0030920-1P transcript:Sspon.03G0030920-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSIEVSKPSKRLSPKRSASSSSSSFKLSLPGLFCGQCKATAVSSPPDSPTAATGARSLSSSSASASASSSSAGTSHGGRDRMAELREIFRHFDRDMDGRISGAELREFFASMGDDGGSLALGLKEDGGGDHLMTLGFDDFVRIVESKGGEEEEREDLRRAFEAFEAVKGSGRITPRGLQRVLSQLGDEPSVAECEAMIRAYDDDGDGELDFHDFHRMMSQD >Sspon.02G0036290-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:28101043:28103976:1 gene:Sspon.02G0036290-2C transcript:Sspon.02G0036290-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALFLKPKHHLSATGRIVFLRHLCEGAPSPPAQTDTEPSPPPLTRDDTKLLDALHAALLDHRRANPTAELPVSPPFDPLPSLSSTISDLLPSPPPPHLPLHLLRRLLALRRGVPLREAVAFFHHIAPSLPADSLPDLYAFMIDLLAKHHHFPLARHLLDEMRERAVPISSRLILALIRRYVRAKMPSEAADLFRHMEEYGAGAPEPATLASLLAALSKKRLASEAQALFDSCRTVFTPDVVLYTAVVHAWCRAGRLDEAEHVFAEMQQSGIMPNVYTYTAVIDAMYRAGQVPRAQELLCQMIDSGCPPNTATFNAIMRAHVKAGRSEQVLQVHNQMQQLRCEPDIITYNFLIEMHCGKGQGNLDAALKVLDKMTAKKCIPDCHTFNPMFRLILVLGNIGAARKLYEKMRELQCKPNVMTYNCLLRLFNQEKSMDMVLRMKKEMDAQGIEPNMHTYAALIEAFCGRGNWKRAHTTLKEMIEEKSFKPSKQVRDMVLVLLRKAGQLKKHEELVELMVDRASSVKNL >Sspon.05G0018570-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:74379706:74384753:1 gene:Sspon.05G0018570-2D transcript:Sspon.05G0018570-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAVLAVLASYIQHMLVNMAKEEVHILLGVTREIDKMGVKLGDLKNFLTDADRRNITDLSVKVWVGELRDAMYDATNILDLCQLKAMEQGPSRDMGCFNPLLFCMRTPLHAHDIGNRIKNLNKRLDDIKARSTSFNFINLGSYVDDGRKKASFGSSTRETSGALDGSGLVGEKIEEDTKNLVAMLTKEYQTHQEYNRIMVFAVVGVGGIGKTTLAQKIFNNDIIQQDFTKKIWLSVNQDFNEIEMLRRAISEAGGYHHIAGNTKALLERALMEALKGHRTLLIMDDVWDYRAWEGVLRTPFLNAALAHGSRVLITTRHDTVARGMMAEEPYHRINKLDPGDAWLLLKKQVVRSVNDEPQLEMLKDIGMGIIEKCDGLPLAVKVIGGLLRQKNMRPVDWENVLKDSLWSVSQMPEELSNSIYLSYDDLGPGLKHCFLHYSLLPKDTIFLVDDIVGMWISEGFLHGTSRDLEEIGKEYYDELIQRNLIEPNKRYIDHVVCNMHDVVRSFAQYVAGNEALVGYNSEIGIMVNKLNSQKLIRLSLENKGSESNDLEWCSLQAYTSLRTLILVGHVKIKPGDSLGPFSSLRTLHLESINNFDLLVESLYQLKHLRYLSIEKSNASSLPENIGKLKFLQYISLFRCQSLVKLPSSIGMLQHLRFLKLSKTSIKSIPEGFRDLTSLRKLHGFPTHMDGDRCSLQDLGPLCQLTELGIRLMENASSSSFATEVRLSVKACLRELALSCTSRLGDDGRLEKDKEGDSEKEQLQIEEVFNELCPPSSLENLHIHGYFGQRLPRWMMSTESMPLERLRILTMDDLACCTELPDGLCQLPWLELLQIDRAPAIRRLGQEFVCCKCSRVAAGFSRLLKLNFIGMVEWEEWEWEEQVQAMPVLEYLQLKRCKLSHVPRGLAFHTKNLRELHVNDVDCLKSLDHFSHVVLLEAFRNTDLERISFLPSLKNLVICNCPRMKVLKGVPALQTLLLEDYGMETLPRYLKDVNPRHLELHCTLSLLTSIAARKSSPEWDKLKHIQHVKAYANGNGRKWYVMYTRDPFHFETNISRATIRQGKINPECSI >Sspon.03G0008160-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:774161:779463:-1 gene:Sspon.03G0008160-2C transcript:Sspon.03G0008160-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AHRPPNLPNLKRRISEIVRVLSNFSKLRQKDVPRKDYINQLKTDIMSYYGYNDFLVEALTEMFPAVELIELLEAFEKRPPECLRTNILKKQGASSFLPVMALAPQEKERIVDMAAAPGGKTTYIGALMKNTGIIYANEFNEKRLHGLLGNIHRMGVTNTIVCNYDGKETIWKDLQIKTSKDIEDIRNCAFVQKQLLLAAIDLVDANSKTGGYIVYSTCSIMIPENEAVIDYALKKRNVKLVPCGLDFGRPGFIRYREHRFHTSLEKTRRFYPHVNNMDGFFVAKLKKLSNTIPVTSESSKASEGAAEKADGEDENTISNEQETAVPGKDEKTVQMKNHKKTKVTNKRTSITKETGVHKPESNRPGKHLKKKDSDTKEIDGPESTETNGDRKEEHREQTKQTSYKRKFAFNNSKKSGPESNSGVKEKKQVSDNKLKRKFKLRREWEAEKKAAKRKV >Sspon.04G0020740-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4A:72881642:72885934:-1 gene:Sspon.04G0020740-1A transcript:Sspon.04G0020740-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GASSSVIEEKVGVLLLNLGGPETLDDVQPFLFNLFADPDIIRLPRLFRFLQRPLAKLISTFRAPKSKEGYASIGGGSPLRKITDEQANALKIALEKKKLNANIYVGMRYWYPFTEEAIDQIKKDKITKLVVLPLYPQYSISTSGSSIRVLQNIVKEDSYFSGLPISIIESWYQRDGYVKSMADLIEKELSAFSNPEEVMIFFSAHGVPLTYVEDAGDPYRDQMEDCIALIMGELRSRGILNSHTLAYQSRVGPVQWLKPYTDEVLVELGQKGVKSLLAVPVSFVSEHIETLEEIDMEYKELALESGIKNWGRVPALGCTSTFISDLADAVVEALPSASALATRKPEDTDSSMDLMHYLTKMFFGSILAFVLLLSPRLVSAFRNTML >Sspon.03G0023170-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3A:71044721:71045527:-1 gene:Sspon.03G0023170-1A transcript:Sspon.03G0023170-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AEEPISEDNDVQLEVQIPGQQIPCRQQNEERTTASVVADLMDVDGEEVEGSPRGWIWISADGLQNHQSPSSTVYSSVSNRERDEAGYLEYQMPNDPARDLTLSPVADITMRVQQMDGLSGRLGVATPPSLPTGNALSASPQMLALGELEFDRRFLIFVYLADKKIEEVLDDVNYIRYLKSLPMDCFESEIWNKFGKKSVPAADRR >Sspon.04G0004790-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:9388114:9389673:-1 gene:Sspon.04G0004790-2B transcript:Sspon.04G0004790-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPVVRRALLLSLLCAGALGFLPCSHGAAVAPGYVTVSAASFRPSSTCRAPDPVVPQQRNATAAVLRLTHKHGPCAPSRASSLATPSVADTLRADQRRAEYILRRVSGRGAPQLWDSKAEAAATVPANWGFDIGTLNYVVTVSLGTPGVAQTLEVDTGSDLSWVQCKPCAAPACYSQKDPLFDPAQSSSYAAVPCGGAVCEGLGIYASACSAAQCGYVVSYGDGSSTTGVYSSDTLTLSPNDAVRGFFFGCGHAQSGLFTGNDGLLGLGREQTSLVEQTAGTYGGVFSYCLPTRPSTTGYLTLGGPSGAASPGFSTTQLLSSPNAPTYYVVMLTGISVGGQQLSVPASVFAGGTVVDTGTVITRLPPTAYAALRSAFRSGMASYGYPSAPSTGILDTCYNFSGYGAVTLPNVALTFSSGATVTLGADGILSFGCLAFAASGSDGGMAILGNVQQRSFEVRIDGTSVGFKPSSC >Sspon.03G0016670-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3B:76637532:76638356:-1 gene:Sspon.03G0016670-2B transcript:Sspon.03G0016670-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKSREEHARSAAPKAADELAASRRDQQVHEATTPGGILSSVQESARTVMGAVRGTFSGRGGGARDDDAATAADKATAAVKRAKEYAVETKEGARLALAGDAVARKGETDESAWQQGQDVRRRAAEKAQEEARRVHEPSEEEKGRAATENVYGMATGEMAGTFAEKMVMPTDVVERKRAEAAGGGDREAAAASPAPGIGDDDDREPAEEEDVMLRVKAADQMTGQAFNDVGKMGEEGTGMPRRR >Sspon.02G0035890-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:22088924:22099378:1 gene:Sspon.02G0035890-1B transcript:Sspon.02G0035890-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSTHLSGGDLSSASAMGGHSDRVFRALAVASLYILIRRWRVGGVGLSERPAPAEIAAAAALCTSVAWLYVLPALGLRRSTHRRLESNFPVAARGNCKGSHGHKSILEVISAEVPSVPFHKKTIRRKIP >Sspon.02G0015410-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:42017739:42023025:-1 gene:Sspon.02G0015410-1P transcript:Sspon.02G0015410-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPPPKADELQPFPPKEQLPGVAFCITSPPPWPEAILLGFQHFIVMLGTTVIIPSALVPQMGGGNEEKARVVQTILFVAGINTLFQTFFGTRLPVVMGGSYIFVGPTISIILAGRYSNEANPHEKFLRTMRGTQGALLIASTIQIILGFSGLWRNVVKLLSPLAAVPLVSLVGFGLYELGFPGVAKCVEIGLPEVFLLVVFSQYLSQVLDFGKSVFSRFSVLFTVSIVWLYAYILTIGGAYKNSPPKTQVHCRVDRSGLISGAPWISVPYPFQWGAPTFDAGEAFAMMMTSFIALVESTGAFIGASRYASATMIPPSIISRGVGWQGIGLLLDSFFGTATGTSVSVENIGLIALTRIGSRRVVQISAGFMIFFSVLGKFGALFASIPLPIFAGMYCLFFAYVGGVGLSLLQFCNLNSFRTKFIMGFAFFMGLSVPQYFNEYTAVASYGPVHTGARWFNDMINVPFTSKPFVAGLVAYILDNTLQIKESAVRKDRGNHWWEKFRSFKKDARSQEFYSLPFNLNKFFPSV >Sspon.06G0013240-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:56623793:56626789:1 gene:Sspon.06G0013240-3C transcript:Sspon.06G0013240-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Adenylate kinase 2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G47840) UniProtKB/Swiss-Prot;Acc:Q9FIJ7] RPPAPTPPVRAAGRRSPRATKVVVAALADPLKVMISGAPASGKGTQCELIKTKFGLVHISAGDLLRAEIAAGSDNGKAAKEFMEKGQLVPDEIVVNVGHVFFWVFHLYMHSKLLLVLIKKNGLGFCQMVKDRLLQPDAQENGWLLDGYPRSYSQAMALETLEIRPDIFILLDVPDELLVERVVGRRLDPETGKIYHLKYSPPENEEIASRLTQRFDDTEEKVKLRLQTYYQNIDSLLSTYEDIIVKVQGNVAVPDVFAEIEKLLSSSLEKKTEMVSSS >Sspon.01G0023120-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:83775699:83777060:1 gene:Sspon.01G0023120-1P transcript:Sspon.01G0023120-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAAAAAAVPGDAPAGTTVLDSLGEDITRIVYPVSACMLLVVLLVSLLSSPSSPSPLSASIAAATGGFPGGGDDDIPTALITALTFVVAVTAATFLLALLFYLRCTPCLRAYLGFSALAVLLVLGGQVALLLLSRLRFPLDAVSFALLLPNAAGALALAALAPASVPIALHQAALVAVAVLTAFWFTLLPEWTTWALLVAMAIYDLAAVLLPGGPLRVLLELAIQRNEEIPALVYEARPVDPRHGRNWRLWREGRQPGADLDASSTVEVIGEVLGRNLDTNTGNSSSSHDNEAAGIVSNSRPRVTLVAAFSSSDSTVAQAGEVSALPEHRVAVAEMSVPLIQPRPQRSGEEAVEDEDGIGLSSSGAIKLGLGDFIFYSVLVGRAAMYDYMTVYACYLAIIAGLGITLLLLAFFRKALPALPVSITLGVVFYVLTRTLLEEFVVQCSTNLLMF >Sspon.06G0016950-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:92352012:92356941:1 gene:Sspon.06G0016950-1A transcript:Sspon.06G0016950-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSTRATSLSTSKKIEEKQEQWKGSSVGMQHMRVCLDQISHFLQTGLRLIAPVPLGLVVRSSNFWPIAWCRVSLANGLGYRFFVPAGGYGHRVRRNLDKTTEGRKADNKHT >Sspon.07G0007500-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:17469016:17472287:-1 gene:Sspon.07G0007500-2B transcript:Sspon.07G0007500-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARHTPHTMKHELLKAVSTGDAGLLEQVLGLQSSATVEEGEESCLKGITAEGSSALHIAASCGYLELVKMVCAQDISLIKARNNLCDTPLICAARAGHVDVANYLIECAIDAQEDLRARNLDGATAMHEAVRNGHVLILQRLMSKDSGLAAVEDERCVSPLYLAVASNRADMVKVLIGESSNSVTRVSYSGPDGQTALHAAVYISREIGESLQCWDPTLARVVDDYGRTALHYATLAKNIGQVKHLLANSSLAYIRDKEGLYPVHIASIVGNVNIICKLMEICLNYDELLDNKRRNILHCAVEHGRIQVVWHICRNPKSARMMNARDGEGNTPLHLAVKKGHTLIFSLLMMDTMVNLDIMNNEGLTPLDVAFSTIHSDYTFSSFTNTSIITCLTLCEASGSPCHQARNLTDKWCLEEKKESSSFANVSQSILYISIFIVVGSLTAAFTPPGGYIAVGKDANKPVFGGRTGFWIFIVANSMSFYLSTTAIFLFVFARLTRHRRFYLILSGALVFGAVLSMVVAFAT >Sspon.05G0002330-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:6374770:6375024:1 gene:Sspon.05G0002330-1P transcript:Sspon.05G0002330-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFHLLAFVAVRGLMQVFNLSAPLNLRLPLARHLPEAFAVLYGVLASHAAWLNDALARGAAWRHSGSRGGVDEYVRYAMLSISD >Sspon.04G0012760-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4B:59615299:59617104:-1 gene:Sspon.04G0012760-2B transcript:Sspon.04G0012760-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGTYSLSSEKSHTAAAAPRPPLQEAGSRPYMPSLSTGSRNPSAKCYGDRFIPDRSAMDMDVAQYLLTEPRKDKENAAAASPSKEMYRRLLAEKLLNNRTRILAFRNKPPEPENVSATVAASSHLAKPAKQRRHIPQSAERTLDAPELVDDYYLNLLDWGSNNVLSIALGDMVYLWDASTGSTSELVTIDEDSGPITSVNWAPDGQHIAVGLNSSDVQLWDTSSNRLIVLSLQLRTLRGVHEARVGSLAWNNSILTTGSMDGKIVNNDVRIRNHVVQTYEGHSQEVCGLKWSGSGQQLASGGNDNLLHIWDVSMASSMPSAGHNQWLHRLEDHMAAVKTLAWCPFQSNLLATGGGGSDRCIKFWNTHTGACLNSVDTGSQVCALLWNKNERELLSSHGFTQNQLTLWKYPSMVKMAELTGHTSRVLFMAQSPDGCTVASAAADETLRFWNVFGAPEAPKPVKASHTGMFNSFNHIR >Sspon.08G0013240-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:55986393:55988271:-1 gene:Sspon.08G0013240-1T transcript:Sspon.08G0013240-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTILASETMDIPEGVTVTVAAKLVTVEGPRGKLTRNFKHLNLDFQLQEGGRKLKVDAWFGTRRTMAAIRTAISHVQNLIKGVTKGYRYKMRFVYAHFPINASITNSNTAIEIRNFLGEKKVRKVDMLEGVTILRSEKVKDELILDGNDIELVSRSAALINQINFSNPISNDRVLSHWLCLDEMPCQEEGYQKVLGRYLCERQGCDRRGAVSDDEVAKMPGDDPEVLHLPAMLLLSFGLQFSETEFCTWLGCVMEHAIVSYILSSLFKNMNLVSHSQR >Sspon.01G0044190-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:78272245:78274340:1 gene:Sspon.01G0044190-2C transcript:Sspon.01G0044190-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:1-acyl-sn-glycerol-3-phosphate acyltransferase 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G30580) UniProtKB/Swiss-Prot;Acc:Q8GXU8] TTPAPRFYPFVDRLSSEDTISPSHVRHVRATSPPRPRRRPYSVANEITGPSLFFFPRCGSGAWQEAAGGWFRGPTMGTLIRPGPAASPLPIASSSSSSAHVAAVGGGGGGGGARSLRHRVSVAVFRSPSTQVLATRWRRRRGLVVRSDAVAGGAAAAAAGDSTRLLSGLQVRGVCFYLVTAVAAIFLFVAMIVVHPLVLLFDRYRRRAQHYIAKIWAKLTISMFYKLEVEGMENLPPNSSPGVYVANHQSFLDIYTLLTLGRCFKFISKTSIFMFPIIGWAMYLLGVIPLRRMDSRSQLDCLKRCVDLVGKGASVFFFPEGTRSRDGKLSVFK >Sspon.04G0015640-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:58317517:58319854:1 gene:Sspon.04G0015640-1A transcript:Sspon.04G0015640-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding TMMPMALQAPVVVVVVILAALLCSLSLAAAAAAAGGKVSSYVVYLGQHAHGAALGTHGAEELQALERGAAEAHYDLLAGVFGGSKEKAREAIFYSYTKHINGFAANLDAAAAAEIAEQPGVISVFPNRGRKLHTTRSWQFLGLAGPGGVPRGAVWRKAKFGADTIIGNFDKGVWPESQSFRDDGLGPVPSHWKGACDKGQDDKFHCN >Sspon.08G0006770-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8A:20978664:20979954:-1 gene:Sspon.08G0006770-1A transcript:Sspon.08G0006770-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARALLAAAAAAAAAAMLGVRGLRSSYTTERWQHHKSMCNASIFLVSMESILRKPPASSATNEERVATLIATRRGVLVVQEFIAGWNSIAGPVFMSSYVLLLGVGFPD >Sspon.04G0000060-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:56716721:56719186:1 gene:Sspon.04G0000060-2B transcript:Sspon.04G0000060-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPASPNLVHPSRVRVLHPGGGHMPGPVVYWMLRDQRLADNWALLHAAELAAASAPAAPLAIAFTLFPRPFLLGAHLRQLGFLLRGLRRLAADAHARGLPFFLLEGGPAEVPALVRRLGASALVADFSPLRPVREALDAVVQELLRDAADMAVHQVDAHNVVPVWAASGKLEYSAKTFRSKVSKVMDEYLVEYPEMPGWAPWCMEQPKGVDWDALINSIFSEAENVPEIDWCEPGEAAAMEVLLGNKDGFLTKRIKSYHIGRNDPTKPHALSCLSPYLHFGHISAQRCALEAKRCRHLSPKSVDAFLEELIIRRELADNFCYYQPHYDSLAGAWEWARKTLMDHAGDKREHIYTREQLENAKTSDPLWNASQLEMVHHGKMHGFMRMYWAKKILEWTSGPEEALSVAIYLNDK >Sspon.02G0029680-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:108147451:108153926:-1 gene:Sspon.02G0029680-1A transcript:Sspon.02G0029680-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSFAWMFTDTKPNGKDLLIGILVPKLSSRTIRQAVGVVGCVIMPHNVFLHSALVQSRKIDQNKEYQVREALRYYSIESTIALAVSFMINLFVTTVFAKGFYGSKEAGNIGLENAGQYLQEKFGGGFFPILYIWGIGLLAAGQSSTITGTYAGQFIMGGFLNLRLKKWVRALITRSFAIAPTIIVALFFDTSDSALDVLNEWLNVLQSIQIPFALIPLITLVSKEQVMGVFKIGPNTQAVTWTVATLLITINGYLLMDFFSSEIRGPLSGSLLCVAVLIYASFVLYLILRGTELSEKIVKAIHNISIDKEDVGNGRIESNEFHFHRRKTSVKKQALMLKLKTGPTVARPAQQPYAEQSNAIEHLILRGTELSDKIVKAFRNIIIEEEDVGNGRTGSNWHGTVWHCVQPHRAQGQSCRESSRHMAVSNGWRMDRGRLELGNMQVRTMMKTVLIEVKMRIGPS >Sspon.01G0003620-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:7661473:7663677:-1 gene:Sspon.01G0003620-1T transcript:Sspon.01G0003620-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATEKKKKEYEYAIIARGQDPPNKLSTSKRRPKLFWISAAAPLTSIILGSGLVYLTHAENHGIQVIGYLKKGLNPPSVTSLQFSPPYMMLALKTGIITGVIALAEGIAVGRSFAMFKNYNIDGNKEMIAIGTMNILGSFTSCYLTTGPFSRSAVNYNAGCKTAMSNVVMSLAVMVTLLFLTPLFHYTPLVVLSAIIISAMLGLIDYQAAIHLWQVDKVDFCVCVGAYLGVVFGSVEVGLVVAVSISLLRVLLFIARPRTTVLGNIPNSMVYRRMDQYAAAQTVPGVLVLRVDAPIYFANASYLRERISRWIDDEEERTKGKGEMGVQYVVLDMGAVGSIDTSGTSMLDELKKSLDRRGVQIVLTNPGSEIMKKLDSSKVLEQIGHEWVFPTVGEAVASCGYVLHSHKPAGVVKDSAAAHESMV >Sspon.02G0020680-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:67354005:67356021:1 gene:Sspon.02G0020680-1P transcript:Sspon.02G0020680-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNTKPAVSMLLQLLFLSLNLLAAFTTGDSQQFVYSGFSNNDLVVDGATTITSNGLLELTNGTDQQIGHAFYPTPLRFTRSPNGTVQSFSTSFVFAILSVYTDLSAHGMAFIVAPSQNFSGALPGQFLGLTDIQNNGNTSNHFFTVELDTIQNKEFSDINANHAGANVNGLTSLNSSSAGYYADEDGKFRNLSLISREAMQVWMDYDDTVSSITVTMAPLKVAQPKKPLFTTTYNLTSVVTDVAYIGFSSATGTINTRHYVLGWSFNMNGPAPAIDISRLPKLPRVGPKPRSKVLQIVLPLASARSSSLWYAELREDWEVEFGPHRFSYKDLFYATEGFKDKHLLGIGGFGREWEKVVIHRDIKPSNVLLDSDMNARLGDFGLARLYDHDTDPQTTHVVGTIGYLAPELGHTSKATPLTDVFSFGMFLLEITCAKRPISEDSQDSQCMLVDWVLDRWISGSLLETVDSRLQGNYNTAEACLALKLGLLCSHPFSNSRPTTRQIMQYLDGEMPLPEMTPTDMSFHMMAIMQNEGFDDYVTGSTASIGTTSVVSSGR >Sspon.01G0014180-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:40465287:40466465:-1 gene:Sspon.01G0014180-2P transcript:Sspon.01G0014180-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAFSFKAFAVAALLSVAVTYGARAQQQPDLHNATESQDRSLLSYSSGWLQAKATWYGAPTGAGPDDNGGACGFKGTNQYPFSSMTSCGNEPIFKDGKGCGSCYQIRCLKSNHPACSGVPQTVIITDMNYYPVAKYHFDLSGTAFGAMASSGLNDKLRHAGIIDMQFRRVPCNFPGLTITFRVQHGSNPMYLAVLIEHENQDGDVVQADIMQNGSGYWEPMHESWGSIWRIDPNRPLRGPFSIRITNESGKQLVAKDIIPANYVADVDYRSYVQYTN >Sspon.05G0015380-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:53314272:53319056:1 gene:Sspon.05G0015380-3C transcript:Sspon.05G0015380-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDTDPGPCKLRVAGIPPHFRRSPPHSNSTALMFFLWSAYLLADSTAIYTLGHLSVDSRSDEHQLVAFWAPFLLLHLGGPDNITAYALEDNALWLRHLQTLAVQVLGAAYVIYEYEYIASSGALLLLASMSMFVAGLLKYGERIWALKCGNISSIRSSISIRKVKTDPYQLLALGTSEEDLLLGAHSQFDICKGVFADIIMLPNPSVRSQSKPRSVISYLGEDLYKLVEMELSLMYDFLYTKAAVIHTWYGFCIHFISLFGTATTFLLFQLSINSSGNRYSKVDVAISYVLLVGALVLEIISVCRAVLSTWTCSLLHRRGRGWEWPLHIVTSLGQRVHPASRRLWSGSIGQYNLFHLCTRNTNEIGSRLAMKLGLEDWWNKMHFSGTFPHANLSLSIQDIKKLVLQALRSKEQALQHQDTDLNSRGSFILKRMEAYKDFARWSVNIDFDESILVWHIATEVYIRKSKTKHAKELLEATEVLSNYLMFLLVVKPNMLPGAARHNIHLTVCEQLEGQCQMRFGDKDNPVAPSPVSWNPYYILKELFHHDGPSCSRIPRREKLAEVAWSFSQFALGSVRAPNPHGDSIRDNANMYAILLTNELLSIELQWQDQRDTLELILGVWVEMSLYAADHCSQESHARQLSNGCEFITIVSLLAHHFKYYSGVFRGTDNLGEDKPASENNPKSRIMCSVESYVTDRH >Sspon.08G0012220-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:52228784:52229961:-1 gene:Sspon.08G0012220-1A transcript:Sspon.08G0012220-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLSGHGGGRRLFTASQWQELEHQALIFKYMASGAPVPHDLVLPLRLATGVDTAPSLAFPPQHSPSLAYWGCYGAGAPFGRKAEDPEPGRCRRTDGKKWRCSREAHGESKYCEKHIHRGKSRSRKPVEVTSSATSPAAAAYRPSALSISPPRAADAPPPSLGHSQQHLRHGASSAAARAPAQATAGGALQLHLDASLHAASPPPSYHRYAHSHAHYTTPTPSLFPGGGGGYGYDYGQSKELREAELRRRHFHTLGADLSLDKPLPLAATGSDAAAAEKPLRRFFDEWPRESGDTRPSWAGAEDATQLSISIPAASPSDLAASAAARYHNGEPASERLHFYWFLAYNQFADK >Sspon.04G0006230-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:15130547:15132394:-1 gene:Sspon.04G0006230-1P transcript:Sspon.04G0006230-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Scarecrow-like protein 8 [Source:Projected from Arabidopsis thaliana (AT5G52510) UniProtKB/Swiss-Prot;Acc:Q9FYR7] MEPGAPWRDPRQGYAYGVGSAMQMQMQQRADAAAGGGVLKRSLGELERWQHHQQQQELYLRTVRQRTAAAVDIAALLGGTPGARSLVLPGSSFGGGLASPSSTLSSLTTASRAAVPLMQPQLQRQVPLMTSSPQTQAFGLSRAPPLPQPAASSELFILQELEKQLLDDDEPVAAMSGTGSAVTNSEWEETIQQLSSIAAAPSPGLPAAATPNNNNVGMTRSPSNSSSSTASSSASCSPPTLGAASRQLLSEAAVAIAEGYHDAAATHLAALKRAANQHGDAEQRLIAMMVDALSSRIGRAASAPAQHLAELCSDDQRAGSQLLQDISPCFRVALHAASVAIVEAVGDHRAIHVVDFDVSLSQHAALIQYLADRRVQGRSLKVTAVTDPSSPFSQSQTASLPTVVERLKKLAERAGVEYRFNVVSCRAAELDASRLCCEPGEAVAVNLAFALSHVPDESVSPANPRDELLRRVRALGPQVVTLVEQELNTNTAPLAARFTDACAHYGAILESLDATLGRETAEKARAAEAALAKKAANAVGRDGPDRLERCEVFGKWRARFGMAGFRPVSLGSGIAEQLVGARVGPVPPGFTVKAENGVLRLCWMGRVVTVASAWR >Sspon.04G0003600-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:45879633:45882355:1 gene:Sspon.04G0003600-2P transcript:Sspon.04G0003600-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to Auxin transport protein REH1 [Source: Projected from Oryza sativa (Os06g0232300)] MITGTDFYHVMTAMVPLYVAMILAYGSVRWWRIFTPDQCSGINRFVALFAVPLLSFHFISTNNPYTMNLRFIAADTLQKLIVLALLTAWSYLSRRGCLEWTITLFSLSTLPNTLVMGIPLLKGMYGDFSGSLMVQIVVLQCIIWYTMMLFMFEYRGARILITEQFPDTAGAIASIVVDPDVVSLDGRNDAIETEAEVKEDGKIHVTVRRSNASRSDIYSRRSMGFSGTTPRPSNLTNAEIYSLQSSRNPTPRGSSFNHTDFYSMVGRSSNFGAGDAFGLRTGATPRPSNYEEDAQGGKAANKYGGQYPAPNPAMAAQPMPAKGLKKAAANGQAKGEDGKDLHMFVWSSSASPVSDVFGNGAAEYNDAAALKEVRMAVASPRKVAADGRKERGEDFTERDDFSFGNRGAAERDAEAGDEKAAAVQGNAGGVAAMPPTSVMTRLILIMVWRKLIRNPNTYSSLIGLIWSLVCFRWNFEMPAIILKSISILSDAGLGMAMFSLGLFMALQPRIIACGNKVATFAMAVRFLTGPAVMAAASLAVGLRGTLLHVAIVQAALPQGIVPFVFAKEYGVHPDILSTAVIFGMLIALPITLVYYILMGL >Sspon.02G0043050-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2B:88714668:88714965:-1 gene:Sspon.02G0043050-1B transcript:Sspon.02G0043050-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VTTGNAAFAEGFRLCRGLNIGHSAKALFAEGRPKEPSAKTGPRQRGLCRGPALGKETALGKQKIFAEGLPIGPRQRVFADGLPYGPRQRDFSKYILRH >Sspon.02G0031340-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:108396410:108402848:-1 gene:Sspon.02G0031340-2B transcript:Sspon.02G0031340-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLSPPKMSEPSPESDGEQRCLNSELWHACAGPLVSLPVVGSRVVYFPQGHSEQVAASTNKEVDAQIPNYPNLPPQLICQLHNVTMHADAETEEVYAQMTLQPLNPEEQKEPFLPIELGAGSKQPTNYFCKTLTASDTSTHGGFSVPRRAAEKVFPPLDFSQQPPVQELVARDLHDNEWKFRHIFRGQPKRHLLTTGWSVFVSAKRLVAGDSIIFICFVKASEVADMARPFVFLFTRNDNNQLLLGIRRANRPQTVMPSSVLSSDSMHIGLLAAAAHAAATNSRFTIFYNPRASPSEFVIPLAKYVKAVYHTRVSVGMRFRMLFETEESSVRRYMGTITGISDLDSERWPNSHWRSVKVGWDESTAGDKQPRVSLWEIEPLTTFPMYPTAFPLRLKRPWASGLPMFNGGRSDEFARYSSLMWLRDGNRGVQSLNFQGLGASPWLQPRIDYPLLGLKPDTYQQMAAAALEEIRVGDHLKQTSSLLPVQQTQNLNGGLDPLYGNPVLQQMQFQSQQSPLQAVQQGYGQNASDSGFLQNQLQQLQLQKQHEPPPQQQQQTQVLQQQSHQEMRQHLSASCHDIANVASGVSESGSACQSQSSLLSGSSFYQQNIFDGNNGPDLHLHNSFHNFSSQESSNLLNLPRSGQLMASEGWPSKRLAVESLASHELQPVQLKLEKVNHQSNVSHVSGTLPPLSTRDGSSAQACGTNVQSHLLSSSFAIHDGLSTVRSGGVGNGTDATTIASLRYGDMNLLPENSIATSSCLGESVTFNSLDDVCGVNPSQGGTFVKVYKSGSLGRSLDITRFSSYYELRSELERLFGLEGQLEDPVRSGWQLVFVDRENDILLVGDDPWQEFVNSVWCIKILSPQDVQQMVRGGGDLLSTPGARMLQSSVCDDYSAGHDMQNLTGSIAPVVTIRASNIRSNKHHISHNLQQRPDVNALTLQSSNSSYAEAELWS >Sspon.01G0054400-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1C:55108875:55110544:-1 gene:Sspon.01G0054400-1C transcript:Sspon.01G0054400-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAGAYTIHQSLTAEAAAVLKLALALARRRGHAQVTPLHVAFALLTGPAAAACAQPLAAFYSPASPAAPYGLLKRACLRSHPAPGAASSHGAPQHPFQCRALELCFNVALNRLPASGPHSPPSSTAPFTSPLIQPSPTLSNALVAALKRAQSNQRRGCVELQQPPPPANAPPPQQQPLLAIKVELEQLVMSILDDPSVSRVMREAGFSSATIKSNLEEESALMPPPSSSSPPPPPPPIPPHFFIDPSISSGSKGGDRFGLWPAQFLAAPGPSACNDDVRAVLEVMVRKQGRRSNPVVVGDSVSMAEAVAGELLRRLERGDVPEELAGAHLLKLQLSYVHVRLMSRADVNARASELRRSVDAVQLQRGGGLVVYVGDLRWALDEEPNDNHAVSSYSPVEHMVAELGRLLDDLRASRGRAWLVATASYQTYMRWQQRRRRPLESAWALQAVVVPTGSGTGTGLSLNSLHSSSSSSSFLPRTSKRTSWSGQRASCKLPREAASTCYTTPSS >Sspon.03G0020120-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:62364469:62365278:-1 gene:Sspon.03G0020120-1T transcript:Sspon.03G0020120-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding APMRPIDLAACPAPCLLASPIRLLLPAVATPGHPGFEVWCSDDARVASLMSSYIHVLGINYTTNSLVASHARVAGADGVCQTTFNVSSSIALSLFTIISPRNRALCFLYGCNGTEPSGPEYANATSNCSASIYAYLGGAYYWDRTGSDRDERMHDCMYTYMPVLGSEAAVMTAANYRRLLKDGFVLDWEMDGVGDCRTCNASGGQCRYDSYTEELRCLCPAGGRLAAGSTCAGESHAPICPPYTYVPPSTVVSVCGRLGGRCGLGRCCAV >Sspon.07G0002740-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:5029099:5032847:-1 gene:Sspon.07G0002740-3C transcript:Sspon.07G0002740-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGASDHHATAKPASPATASPTPTPAPANRTRLHDFAFPTLSWGSHRLLRCSKDGGPASPPPHPQTPSPDKEKQPHQHQHPQGSSPGAASASQPPRPWNLRTRRSATVAPLASRSDAAGKAPAAGGQAQQQLPPLASPPPVAAAVPRKRAFSAALTRDEIAEDFAAIRGTRPPRRPKKRPRAVQRQLDVRISSTASLASITFWSAARIFGPRCSILSGFAAIDRLQMLYPGLSLADVNLDSYKIDEVPCSIAIYEAVFEVSIYEAVTAFTQCKAEQDEVALAPK >Sspon.08G0005700-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:17735292:17737620:-1 gene:Sspon.08G0005700-1A transcript:Sspon.08G0005700-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPATPASGAAATAMRPYLRTHGKQVARLHLFDWVVLLLLVAMYAVLGMVQPFHRFVAEDMMASLRYPMKGNTVPSWAVPIVAIVVPMIFIVGIYIKRRNVYDLHHAILGLLFSVLITAILTVAIKDAVGRPRPDFFWRCFPDGVPKYNNITGGVICHGQRSVIEEGHKSFPSGHSSACFAGLGFLSWYLAGKIKAFDRGGHVAKLCIVLLPLLLATMVAVSRVSDYWHHWQDVFAGGVLGVWPHAYFEHIHQTEGERNIQSTTNANLHHQSPSLHLSGSNEIRTTSHALDSMEEGSRDQ >Sspon.03G0007320-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6B:32074996:32077532:1 gene:Sspon.03G0007320-2B transcript:Sspon.03G0007320-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Stromal cell-derived factor 2-like protein [Source:Projected from Arabidopsis thaliana (AT2G25110) UniProtKB/Swiss-Prot;Acc:Q93ZE8] PESPALKRAFQKLQCPVPCLLETTTAAAVAPSATQPLQGLSRRRAAFADQQPNRSEAGATTSLASALARERIRRRGAGPEEQEALPFWQRTWFLALLLAMAAASFALALLLYLGLDLPEAAPAQSYAADPDTVVEITYGSVIKLMHERTKFRLHSHDVPYGSGSGQQSVTSFPNVDDANSYWIVRPQPDSSAKQGDPITHGTTIRLQHMRTRKWLHSHLHASPITGNLEVSCFGGENESDTGDYWRLEIEGSGKAWRQDQRIRLRHVDTGGYLHSHDRKYTRIAGGQQEVCGVGDKRPDNLWLAAEGVYLPVIQRK >Sspon.02G0023190-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2A:78630308:78630479:-1 gene:Sspon.02G0023190-1A transcript:Sspon.02G0023190-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding WSSPSCHPSPYSRAAPFRSRPGGRRGGRPSPAAAAAPRTRPLWPPGGGSPPSSPPQQ >Sspon.03G0030950-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:23717317:23720578:-1 gene:Sspon.03G0030950-1B transcript:Sspon.03G0030950-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLRACCRLRRFLAPPPGQPLARGFNPATAHVLPFSRLFSSASAAAAIAPHDARDSGLGGSAYWAWIRAATESAPAPSPPQEEEDEGPARYIPVKAYFLSTSIDLKSMQAEHGNDIVPPSTRSLNYIALRYSEFPPEIMNIGVKDNRFCYRYVVVFQYGSAVLFNIADHEAEYYLDIIRKHASGWLPEMRKDDVIIRLGLFDRSEIAWKNANYAQILEYLREEYELNQRFGSLDFKLKFVEHNIHFLQEVLQNRRSDLLEWGVIILLIIEIAISLYEIVKDSMIS >Sspon.07G0024620-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7C:25333575:25346110:-1 gene:Sspon.07G0024620-2C transcript:Sspon.07G0024620-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKRQIYYGNPTSKEHNLEHLDTEFSQTEIEAVIESLPNSHAIGPDGFNGLFIKKSWDIIKADLLQYVVNKAWQMGILKHPLSDTFGGDFPIVQYADDTLLILPGDARTLFNLRGLLRSFSDSSELHVNFEKSFLPSPPSPPASPTPPPPTDTAISSHLLLQVSGAFGDLSLSLPPTPAPGAAPPASTRRCGSGSDAGCFGVFRSVRRGMENGGIEEADDALPVPAPPNGQRYRPVGSSDRAVIQMTSMETGSSSATIDAVVTPQPPRNLKPDANLTIDPSMREGSPDDHDTSSGSQGDSKLELFGFDSLVNILGLKSMTGEQIQAPSSPRDGEDVAITIGRPKGGGPYYLIGRALGPEVGVSIGLCFFLGNAVAGSIVSLICALETYLTIFRYVLGAVETFLDAVPSAGLFQSRQAGAAATHGRPATSSSSPTFLSPISLLGAFHPAAAAPLGGLPAAAAPLGGHPAAAAHWAATQPPPPPWAASQPAPSTQPPPLPCASAPFSFPPLSHPTSWAPFAAAPPRPTLFPAATAGYSSAAAAAALPGQGAALPSAAGQGAAHPGAFGQVAEPAKPPAGAAQLPGAPAGAAQLPGAHLPWMPGAGAGAHLPWMRPAAPLLSAAGWGAPGGSALTLTVDVAPAAAAHPVHPADAADAVDPALPGDATAAAAAADLLLTGGDGTASNPLQPISTLAAALASARVAAAASQERVRAAALLWQRERDAADTTMAASAGAAGGGVLIGSPIRAPLSTPRPMRVYFLLFVLHTLPVPHPSWSPMALAFLSPLWALPVPTAPFISLMFLWLPFDPSGLTVRDLASRCRLLRCNSTGPLYTLRFPASVSPPSPSSAAFAATSSTTTWHRRLGHPGRDALTQLSRSSDIRCSRAHDDHLCHACQLGRHVRLPFQSSSSHTTRVFDLIHCDLWTSPVLSMSGYKYYLVVVDDFSHYSWTFPLRAKSEAFPTLSHFFAWVSTQFGLTIKAVQCDNGREFDNSTSRAFFLSHGVQLRMSCPYTSSQNGKAERMIRTTNDIMRTLLLQASLPARFWAECLHTSTYLLNRLPSTACPAPTPHHALFGTPPRYDHLRVFGCACYPNTASTAPHKLAPRSTLCVFLGYSPDHKGYRCFDLASRRVLISRHVVFDESAFPYSTTSPPPPPADPAEASFFPTDSAIPPPFSFYPAGTTPAHSPGGPASPLPDSHQDPPVPDTVEAAPELPPSLPVASLPPVVPDAAVPIAEPTTPTPPPPGRFGLVYQRRREPRPPSPPPGRFGIVYERRREPAPPISPPAPSSPVHAPPASQRPRADPPVYHPPLLHRDPRHTHPMVTRQASRPQALTAAACEPGISPVPSSVRAALADPHWRRAMDEEYAALLANQTWDLVPRPPGSNIVTGKWIWTHKRRADGSLERYKARWVLRGFTQRPGIDYAETFSPVVKPATVRTVLSLALERSWSVHQLDVKNAFLHGTLTETVYCSQPAGFVDATRPDFVCRLNKSLYRLKQAPRAWYSRFATFLQTLGFTEAKSDTSLFIYHYGGDTAYLLLYVDDIVLTASSESLLHRIIASLQQEFAMKDLGVLHHFLGVTVEPRPSGLFLHQRQFTCDILERAGMTACQSCSTPVDTQGKLSEAGGPMLGPADSTAYRSLAGALQYLTFTRPDITYAVQQLCLYMHAPREPHLAAMKRLLRYLRGTLSYGLLLGRSSTAELVVYTDADWAGCPDTRRSTSGYAVFLGGNLVSWSSKRQPVVSRSSAEAEYRAVAEAAWLRQLLAELHRPLARSTLVYCDNVSAVYLSTNPVQHQRTKHVEIDLHFVRDRVAIGDVRVLHVRTTSQFADIFTKGLPSSTFSEFRSSLNVSSG >Sspon.06G0003350-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6B:8467204:8469210:-1 gene:Sspon.06G0003350-2B transcript:Sspon.06G0003350-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGCYSAFACSRKLRGRISFVLPVTERDRDDRSSDGGASSATPSPSPSPRKNDADADAGPLVVRTTAAEFARRYVLGRELGRGEFGVTRRCRDAATGEALACKTIRRHRRPRGGHGAGVVRRPGAGAGNAAGPHGPAGAPPAEAGRQDAAAAAHAADVQREVAIMRRMSSRGGEAVVRLREACCEDAGGGAVHLVMELCEGGELFDRIVARGHYSERAAAGVFGTIVDVVRLCHSNGVIHRDLKPENFLFANKSEDSPLKVIDFGLSVFFSPGARFTEVVGSAYYMAPEVLKRNYGPEVDIWSAGVILYILLCGVPPFWGDNDEKIAQAVLRGVIDFNREPWPRVSANAKDLIRRMLDPNPSTRLTARQVLEHPWLKNADTAPNVSLGEAVRARLQQFSAMNKFKKKALGVVARNLPVEELDKYVQMFHLMDKDHNGNLTLEELMEGLHINGQPVPESEIRMLLEAADTDGNGTLDCDEFVTVSLHLKKMSNDEYLASAFRYFDKDGSGFIEPEELREELGPNDQAILDIIRDVDTDQDGRISYQEFELMMKAGTDWRNGSRQYSRANFSSLSRKLCKDMS >Sspon.07G0018220-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:70292904:70298788:-1 gene:Sspon.07G0018220-2B transcript:Sspon.07G0018220-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MCTLCAVQRWSRRVATMLPWLVVPLIVIWAATQLLLPAAYRFEVTSPRLACVSVLLLTLFWYEILLPRLSLWRARRSARLREERRAHALELHKLRKTATRRCRNCSNPYKDQNPGGGKFMCSYCGHVSKRPEKPRRAGPRRRKGRQRRRDWQGWRGKCWRRRRKQREEMAKLVEERRKLRDEKAEAEERSKSATPVGEKDARKEAEKRRQERKKKEDKGSSKSNSDCEDIDRRLGREGDRKRDFDRKSDLDRREGYKPHYIDSNNHSNKTGESRSKYFGRMTGGFLSSSRGFGSGSFFGRSAQAPAPQASKVSRPVVPATDQGNAIKRDVQHAATQATGKSATAGETRNAWTNFNRPVSPNVQPHSTGLKKSWHQLFSRSASVSPCPDVATSAHDMIRKPEPNGAQINNAHTFLSQYPPLDYKPSSSQSMQFPGFPPLNGAPPSNPLPHFPAGHMPFYDDVESTLLEEPEQFEDPCYDPDAIALLGPVSESLDNFPQDLDCGFLSSDVIKESHGRPSPIESPLSRSRTAEEKPIKPPHSSVARGPGGSILPETSSEQGTWQMWSTPLVQESLGLQGPQSQWLRQNTNQFNHSANLFSSGGGAISSLGTGLNDSDPWLQKAPFQQFPPDTPSLFLSHEMPGKLHNDLVFGSPNKSACEHPFRPPGSLWPKEELALNGAQEGGGGHILSPSGAHVGSGVGLFSSTSPDVQSLWSFNETFNEKESIE >Sspon.02G0004730-3C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:17740890:17743245:1 gene:Sspon.02G0004730-3C transcript:Sspon.02G0004730-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSPNGKFILVAMLDNSLSSLPLQPSSENLQGRNLPSAAAARAAASLSQMYTRKRPRTAAAAAAAATPDIIDDEDDDHEAQVQPDASNAEVGMEVEETRMLDKLCNFATGKFLKVYSGHVNRQYCIQSAFSVTNGKYIVSGSEDNCVYIWDLQGRNILQKLEGHTDTVISVSCHPTENKIVSGGLDNDRTVRLWVQDS >Sspon.02G0033630-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:7945977:7949579:-1 gene:Sspon.02G0033630-1B transcript:Sspon.02G0033630-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEEVGAAGRQQTFSTLMPLTITADTSAVSAGAPGNLTVTLMAIAIAEVHWKSYCRTLSLLAFQSFGVVYGDLSTSPLYVYRNSLSGRLNGYLDETTIFGLFSLVFWTFTLIPLLKYVIIVLSEDDNGEGGTFALYSLLCRHAKFSLLPNQQAADEELSTYYHPGTDRAAASSPFKRFLEKHRKLRTCLLLFVLFGAGMVIGDGVLTPTISVLSAISGLQDPATGGLADERSSSEGVALVMLIEHQADGWIVFITCVVLVGLFALQHRGTHKVAFLFAPVVVLWLLSIGIIGLYNIIHWNPRIFVALSPHYIVKFFNRTGKDGWISLGGVLLAITGTEAMFADLGHFSATSIRLAFVGVIYPCLVLQYMGQAAFLSKNIPAVHNSFYRSIPSPLFWPVFVIATLAAIVGSQAIISATFSIVKQCLALGCFPRVKVVHTSRWIYGQIYIPEINWIMMVLCLAATLGFRDITVIGNAYG >Sspon.04G0019070-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4A:67910465:67911842:1 gene:Sspon.04G0019070-1A transcript:Sspon.04G0019070-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRWRSEVDVLLINVARSACSMRGSYEQKPSVFGEPSISDLQLASFKGLLASFLSSPHARPPYLAKGIELFRKGKLEIGTKLAEFCSHALLALDALVHPRALSLERTVPSQGKTVFGAGTYQISRFGDQPQAMEVEDMYDDWLTSNNDEPPVVPVNDSAAGVSTDVMLVEDGKQLNPITEDPKVDPPRITDAAQDAPTSTKSDVKMVDAAADETANPDTVEENPSISNVSASPECTTNFDSRKHVVFPEQVSPHENKSPAAVHPPSSSMVGTSGEPSATPGVGSSHHQRPEARSTSFAELFGSESGVESESEDSLPDIVDGDPDSD >Sspon.02G0023170-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:78609305:78613911:-1 gene:Sspon.02G0023170-1A transcript:Sspon.02G0023170-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQHSASSEGMGPFTVDNFPRFSSDHFEQTDTVYRYPGIHLRGPSPLSLFCAFKDYPVGVTKGRHWFGSLYQLYDESEISLNNAQTIDCSEHCHCKATDLLQLIDIKIAGYRHTQPGHAKIFGFFAARDKIEPLRNYVYRRGIGNYEAVSVKQKTGMARLSLTSPARGICISSHALFEFELCIRTEDPSEDEPKGDILIKGCTEISNMFGTESFVKTGRLYGEKCALDVKFAVLNIAVQATVDVEILRAPACGFDLKLYAKTSGFSDVIRLFEGVTEAGHRFSSVVAVVLRRHLDLRITGSLIDNSHLSNEDWTCRFNAWYHGTVIKRVPDPHL >Sspon.02G0024530-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:84537993:84546436:-1 gene:Sspon.02G0024530-1A transcript:Sspon.02G0024530-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLLLLLRWLLLAATAAAVAQAQTQPLASRTDLAALYSLRASLGLRALDWPLKADPCARWAGVDCLAGRVVGVTVAGLRRTRLGATAPAPPRVALEGLRNLTALARFNASGFALPGEIPSWFGAGLPPPLSVLDLTSAALTGHPGALLSVAGLRVLDLSRNNLTGALPNVSISVSRGAGDAANASVFNVSGNSLYGVAADAIGALRRRFQVVDVSSNYLDGAWNGSDTAVLATTNCFYGVPGQRSRVDCEDFYRKQGVKLVDAPAPSPPTPSPSSETNKKQRISKNVLIGVLVAAGTLMALFFIALLLCLVKRTSRGTRGGRGVEPNEEGTRSSRRRDSSVNPVMSLPPAVPPGADDGPKNAPTPAISGEFTYEQLVLATGGFGDDKLIKHGHSGDIYHGVLENGSHVIVKKVGAKGANKHASELDFYTKYSHERIVPLLAHLSNDDEEFLAYKYMPKGDLTNALHKKPVDTTDGLPSLDWITRLKIATGVAEAMCFLHDECSPPLVHRDIQSSSVLLDDKYEVRLGSMSDICIQQSPPATCSYDVLCFGKVLLELVTGNIGISGSNDADSEEWLSNTLNHINSGDRASIAGIMDPLLLVDEDHLEEVWAVAIIAKTCLSAKPSRRPTARYVLRALESPLRVVRQGSSRSNSARQQLRSSSSRSSWQSVFQGNNGRVQSLDAAATSASATALDRRHSLRSHGSGGEASFSFKRAVPTDTASEPVAAVLDEDAVVSLDKHTSGPPATCSYDVLCFGKVLLELVTGNIGISGSNDADSEEWLSNTLNHINSGDRASIAGIMDPLLLVDEDHLEEVWAVAIIAKTCLSAKPSRRPTARYVLRALESPLRVVRQGSSRSNSARQQLRSSSSRSSWQSMFQGNNGRVQSLDAATASASTMALDRRHSLRSHGSGGEASFSFKRAVPTDTASEPVAAVLDEDAVVV >Sspon.01G0055310-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1C:77262285:77267270:1 gene:Sspon.01G0055310-1C transcript:Sspon.01G0055310-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRLFKEQKVQIDEKFAESDRKLEARFADTARQFDTRLQEMDTAVTTRFSDFDETISKRLGESDLNLERRITDSELRQSEIITEVDKRHDARVDALTKAAGALQAWRQDSEGVVDELKLKVDKLTKYWDRSFVGNTSATPGVISASPGTSEQTAAPTSAENPAAWPSGHHVFSTTRVDGIGGNSTPFHSPANGMDWFPPVVSTMMHAVTPEDPVHSFVPGPTHHTDNGRLPKLTFPFYEGETTRLWISQAEDYFEMYDVPPRRWVKVSRMHFKGIAARWIEAINHPDRIPWPEFCKLLHDRFGRDQHDRLSRQMFHIHQTTTVLDYVERFSTLFDQLKAYQTQPDLHYYTNRFVDGLRHDIRMVVALQRPSDLDTAYTLALLQEEMADSSKKGEFHAYDRGASFRTTRPAGQFQRPLPAATHVGDKPVVRPGAPVSEDKLNTLRSYRRARGLCEVCAEKWVRGHKCAPTIPLQAMQEVWDLFQLEAMSEGSDVEQDSPGDSSEQIFLALSSDAMRGSRGRQTIQFQGEVHGQSVTVLIDSGSSASFLAASIADQLPQLARTSLKASIKVANGQLMQCTEAVLDCSFSLGGHGFHHDLRILPLDSYDLILGIDWLAKYSPMEVHWQAKWLSIPYNGATIVLQGLAADSDTELVVQLLAFNVNDSEAAVQQFPADIPEDIAALLAEFPSVFEVPHSLPPKRACDHAIPLISGASPVNIRAYRYPPNLKDEIERQVNTMLEQGLIQPSKSPFSSPVLLVRKKDGSWRLCVDYRYLNAMTVKSVYPIPVFDQLVDELGAASWFSVLDLHSGYHQIRLQPGEEYKTAFSTHAGHFEFCVVPFGATGAPATFQGAMNVTLAPVLRRCAIVFFDDILVFSSSYSEHVQHLRQVLGLLAKDQWVLKLKKCKFAQQEIHYLGHILSARGVQTDPDKIAAVKDWPAPLNVRELRGFLGLAGFYRKFVRHFAILARPLTQLLKKNQLFVWTAEHQSAFAALKDALCTAPVLGIPNFAKPFGIETDACQTGVGAVLIQDGHPLAYVSKPLGPKTQGLSIYEKEYLAILIAVEQWRSYLQVSEFIIFTDQKSLTHLNDQRLNTIWQQRVFSKLLGLRYRIVYKKGSDNTAADALSRRIHELGVCCAISAATPQWCTQVVQGYATDPQAQQLLAKLATAPAGCGPFVLVDGLIRHNNRIWVGNNAPVQQQILKAFHSSPLGGHSGIPATTKRIQEFFAWPNLRKHIDSFVRSCPICQQAKVEHVKYPGLLQPLETPTSAWKVISIDFVEGLPNSHGFDCIFVVVDLFSKYSHFVALKHPFTALTVAKQYMLNIYKLHGLPHAIVSDRDKIFTSMLWKELFRLAGVELRMSSAYHPQSDGQTERVNQCMETFLRCFANAVPSKWQDWLHLAEFWYNTTWHSALKQSPFFVLYGHHPRQLGIEAASACTVSSLADWLSQKALVQTLIQHQLARAKNRMKMQADKNRTERSFDVGTWVYLKLQPYIQSSVAARSNQKLSYRFFGPYLITEKIGSVAYKLQLPASSSVHPIFHVSQLKAAVPVTHSAEPLPDSFDGLQVPVKILQKRVAKTSTAVRLQVLTQWSGSPPSMATWEDMEHLRQRFPRAPAWGQAGSEQGGNVSSTMTTASSIEEDGNNVRGPRRSGRERRPSTRAHGPEWA >Sspon.08G0018560-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8B:6436792:6437244:1 gene:Sspon.08G0018560-1B transcript:Sspon.08G0018560-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLWNCATASLQLNIARPDASPKDAGRCSGVALRQPAPALGSGLKCQNRKQQHRIKVGKVHEEVVWEVRTSRQLTFKEFELCIGRSSILHELMKLLTTATSSSTPISKPQRKPKEGGCMASGTWRPLLCMGVAAPSKGRRKFSASILPPGH >Sspon.07G0020840-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7A:78176844:78180342:-1 gene:Sspon.07G0020840-1A transcript:Sspon.07G0020840-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHGFVQDNRTGQKV >Sspon.07G0005850-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:13041603:13045408:1 gene:Sspon.07G0005850-3D transcript:Sspon.07G0005850-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRLASSRRLLQAALAPAPARANSSLSAAAVSVAAPAPENGAAAVPKMPAFDYTPPPYDGPRTEEIFRKRAQFLSPSLFHFYDRPLNIVDGKMQYLFDEDGRRYLDAFGGIATVCCGHCHPDVVEAIVNQAKRIQHSTVLYLNHAIADFAEALASKMPGDLKVVFFTNSGTEANELALMIARLYTGCNDIISLRNGYHGNAAGTMGATAQSNWKFNVVQTGVHHALNPDPYRGAFGSDGEKYARDVQEIIEFGTTGRVGGFISEAIQGVGGIVELAPGYLPVAYNMVRKAGGLCIADEVQAGVARTGSHFWGFEGHGVIPDIVTMAKGIGNGIPIGAVVTTPEIAQVLTRRSYFNTFGGNPVSTAGGHAVLKVLEKEKLQENAFVVGSYLKEQLNKLKEKHEIIGDVRGKGFLLGVELVTDREKKTPAKVEISHVMNHMKDMGVLVGKGGFYGNVFRITPPLCFTKDDSDFFIEVMDIALSKL >Sspon.03G0035060-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3B:78520050:78520649:1 gene:Sspon.03G0035060-1B transcript:Sspon.03G0035060-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VTASNHEHSRTCEFAAQLSSRAAAVAAAHDVDVCMSGRRPMQSCGCPIRAPLGAGRAHAGSWPCRTARPTGAARTPSCRARRGPPGCHIPHETVGTREGRLPRASGGCSCSPPPAAAPREGSAAGRWGRERWPPAPRPNRRDSEGRGEDGRAMSPGLVAGTSRRKSTARGRRRERTRLERSAPSKPSVVKRTGREDASL >Sspon.07G0018870-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:68314432:68315461:-1 gene:Sspon.07G0018870-1A transcript:Sspon.07G0018870-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADCFPPESDDLHRRWLPREIFADIGIVDPEAAVTEPTPEAAAAAAVEELAAQLAGILGGGSKVWPLATPPPPAPPAATAAPRHGAKVCGLEGSVVSCGGTNGGGAGGAVSWPFMPYQQLQWQVGSSLVHHGGVLDYPVLPPALPCHVPPPANLRGGTGVFLPRVEAYRYAPATAK >Sspon.07G0025490-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7B:42756388:42756720:-1 gene:Sspon.07G0025490-1B transcript:Sspon.07G0025490-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:MED32 [Source:Projected from Arabidopsis thaliana (AT1G11760) UniProtKB/TrEMBL;Acc:A0A178WG85] MEATVDHLSAAYDDFMAAAAAVVEARAQSGGEKKTAATDAALEAFKQRWELFRVACDHAEELVESIRQRIGSECLVDEATGSASAGSVAAPGIKPISAVRLEQMSKAVRWL >Sspon.03G0020330-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:63202071:63208625:1 gene:Sspon.03G0020330-1A transcript:Sspon.03G0020330-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRIREWDKTTPRALSSPPLSPPPLPAMSSLPPSQAAAAAYGCCHRLLLASTTVPARSGCVGRARRPTLALRCLPITGHRLSSRKVACQAMTETESEGDGDKEEKEEFGDDASSPSVDSVAEANGPAESDSSIDNKKDETTNAELLSSSDTVQNIDGDATSTNDIQENVEIVEVASGSPLPGMKQQLDDSVRIPKATIDILKDQVFGFDTFFVTSQEPYEGGVLFKGNLRGKPAKSYEKITNRLQNKFGDEYKLFLLINPEDEKPVAVVIPKQTLQPETTAVPEWFAAAAFGLVTIFTLLLRNVPILQDNLLSTFDNLELLKDGLSGALVTGLIIGVHEIGHILAARESRIKLGVPYFVPSWQIGSFGAITRIVNIVCNREDLLKLAAAGPLAGFSFGFVLLLLGFILPPSDGLGLVIDPTVFHESFLVGGLAKLLLGDVLKEGTQLSINPLVLWAWAGLLINAINSIPAGELDGGRIALAIWGRKISSRVSSLTIGLLGIAALFNDVAFYWVVLIFFLQRGPIAPLSEEITDPENNYIGIGVAILLFGLLVWRRIREKPGISDGFHGLDLTVGELPLEGVGAAVFAGEEPLGIVEPGLEIEDDLTGAAKLPCWAGGAEGRLVGVDALDVGLLCAGMEGLDDGVVDLVAGIDDLEVGVDDLLAGADALPEGAEVLLAGPTALLEGVVDLADGSVDLEVGVDDLGAEAPVLAGTVVREVGVEGLEPLELAVNAARPVGVEGLDELVVSPPDDDGRRVVATEFKLFDDAVLNG >Sspon.04G0020860-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:73095195:73100444:-1 gene:Sspon.04G0020860-1A transcript:Sspon.04G0020860-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding METRDTTAPLPYSYTPLPAADAASAEVTGTGHRGSGRSRRRSLCAAALVLSAALLLAVAALAGVGRRPTTAVGETAGVGVVPGVGTPQATSTRSSSRGPDAGVSEKTSGAWSGVVDDGGRLRADGGGNAFPWSNAMLQWQRTGFHFQPQRNWMNDPNGPVYYKGWYHLFYQYNPDGAIWGNKIAWGHAVSRDLIHWRHLPLAMLPDQWYDTNGVWTGSATTLPDGRLAMLYTGSTNASVQVQCLAVPADDADPLLTNWTKYEGNPVLYPPPGIGPKDFRDPTTAWFDPSDNTWRIVIGSKDDDHAGIAVVYRTRDFVHFELLPDLLHRVAGTGMWECIDFYPVATRGKASGNGVDMSDALGGDVVHVMKASMDDDRHDYYALGRYDAAANAWTPLDAEKDVGTGLRYDWGKFYASKTFYDPAKRRRVLWGWVGETDSERADVSKGWASLQGIPRTVLLDTKTGSNLLQWPVEEVETLRTNSTDLSGITIDYGSAFPLNLRRATQLDIEAEFELDRRAVMSLNEADVGYNCSTSGGAAARGALGPFGLLVLADKHLHEQTAVYFYVAKGLDGSLTTHFCQDESRSSSANDIVKRVVGSAVPVLEDETTLSLRVLVDHSIVESFAQGGRSTATSRVYPTKAIYANAGVFLFNNATAARVLEDETTLSLRVLVDHSIVESFAQGGRSTATSRVYPTEAIYANAGVFLFNNATATRVTAKKLVVHEMDSSYNHDYMVTDI >Sspon.07G0020860-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:78221842:78223615:1 gene:Sspon.07G0020860-1A transcript:Sspon.07G0020860-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLRAVIVGASGTPYHDGLFFFDLQLPPSFPATPLLVGTPEGQRNELPYCENTYLLNLRTMLHLLHRPPVGFLAFVKHHFRCHGQRIIRSCEASYMEGCLVGTLDGEGRLTDSSREQPSSTGFRVALHNVLPRLVEALVDIGAKGCEQHRTPPSTSELTTH >Sspon.06G0009480-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:39109140:39113772:-1 gene:Sspon.06G0009480-2D transcript:Sspon.06G0009480-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPKVAKRWVPVNRPGEASSSSRGHSGGGGGGGKVNPAADRLSALPDGLLHHVMSFMKAWDAARTCVLSRRWRDLWASAPCVDVRVGRYRDPPQDFAKFVYRLLLAREALAPIDTLRLRSPGEEDEDFDNSDVKMWIRHAIRRNARVIQLTGHPNPNLLAELDPMDLVSRHLKILKLTYTKVSDSFTRQLSSRCPCLEELELKNCLVEGREFTSVSLKRLTMVKCTFANFFSVDAPNLMFVQCIAPESWVPVFKNFGVLVTGSVMLDDFLLSKEFKKEFKKYQEDDDEYPRTSDDDDDNNCAFALKYVPDSDDSDQILSDDEFLDDFYDEHYLPDDIKDKYDYGSDINSDSDTYEYSEIASGYEDKQFGNRDSRLDRTRGSKDHGCSAKHIINDYKKFGGQNVLNSLSNAQYLELLGHSGEVIMRRESLNCPTFSNLKTLALGEWCISTAADFDILLLLLQHSPSLEKLYLQLEMNCDIQKALRRGIEPKGGSFACKHLSMVKIRCTKDDPRVHMLAQLFRSNGLPFEKIYVRRSGNLRNLKLERSITLDELREYES >Sspon.03G0008620-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:23213262:23214166:1 gene:Sspon.03G0008620-1P transcript:Sspon.03G0008620-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLSHQDRGRLLLALLLLAAAVASRGDDDTYTVSACRSRPYLCGGLPVLPCHRHHHAVPDHDGESYCGYPGLAVICDGGDNKPVLKLGDDNYTISDIDYANLIVSLADADTAGNTGCPVVDHNVTVPQDVRLSLILHSVDYLFFFVNCSFGPEAEPAPKPPKPPTIKPITCGDMDKPPASMTFVLPRSEVPPGDWSSACRQIFEVPVLKSSVPSKAVAEDPVWRNDGYGKALRAGFQLGWDRISGGPCGQCEQSSGKCGYSPAGEFLGCLCADGRVHDGGCSKISVDSSALSWPGT >Sspon.02G0034150-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2B:10576795:10582520:-1 gene:Sspon.02G0034150-1B transcript:Sspon.02G0034150-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPCSRGEIRRLGGLGWTLLEWSVEQPRALRLFWREEMVEYSTAATTPFPFALASCAGGGPRVGGWAVGGSGGVVGARGNVTSGGVSAAHGWVRGWVGALSVSAARGGVGSRVAGEGRRSGDASAGCFAASPLRGKTLLSLLRGLVTLLATASVLYSVDQVHRAIARILRVGMVTPETCLALPLPRVAGVTAGRNAEFEAKATGPRGQSDLGHDTGHTATSRWASAAFHAEFAAQPFAGNRPATFWWHST >Sspon.05G0010960-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:31405382:31409725:-1 gene:Sspon.05G0010960-1A transcript:Sspon.05G0010960-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAHKRAFLDFLDQDVGKGVYMQAVRDMVQNKRHRLIIGMDDLRNHNLDLARRVIRSPGEYMQPASDAVSEVAKNLDPKFLKEGERVMVGFSGPFGFHRVTPRDLMSSFIGTMVCVEGIVTKCSLVRPKVVKSVHFCPVTRAFLSREYRDITSFVGLPTGSVYPTRDDNGNLLVTEYGMCEYKDHQTLSMQEVPENSAPGQLPRTVDVIVEDDLVDCCKPGDRVSIVGVYKALPGKSKGSVSGVFRTVLIANNVSLLNKEANAPVYTREDLKRMKEISRRNDTFDLLGNSLAPSIYGHLWIKKAVVLLMLGGVEKNLKNGTHLRGDINMMMVGDPSVAKSQLLRAVMNIAPLAISTTGRGSSGVGLTAAVTSDQETGERRLEAGAMVLADRGVVCIDEFDKMNDQDRVAIHEVMEQQTVTIAKAGIHASLNARCSVIAAANPIYGTYDRSLTPTKNIGLPDSLLSRFDLLFIVLDQMDPEIDRQISEHVARMHRYCTDDGGARSLDKEGYAEADDGDANAAIFVKYDRMLHGQDRRRGKKAKQDRLTVKFLKKYIHYAKNLIQPKLTDEASDHIATSYAELRDSSANAKSGGGTLPITARTLETIIRLSTAHAKMKLRHEVLKSDVEAALQVLNFAIYHKELTEMEEREQREMEMKQQADHDAGATGGTVDGHGSSRNDPMDVDVGNTSSDQDVPAERIQAFEAILGQHVLANHVDQMSIDEIEQTINRESTAPYTRSQVEFILERMQDANRVMIRDGIVRII >Sspon.03G0021760-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:88914589:88915515:1 gene:Sspon.03G0021760-2B transcript:Sspon.03G0021760-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAYAPMASQVMKSSLVHSRPRGLSGAALTRRPRFTVKAIQPEKATYQVVQPINGDPFIGSLETPVTSSPLVAWYLSNLPAYRTAVSPLLRGIEVGLAHGYLLVGPFALTGPLRNTPVHGQAGALGAAGLVAILSVCLTMYGVASFNEGAPSTAPTLTLTGRKKEADKLQTADGWSKFTGGFFFGGISGVLWAYFLLYVLDLPYYFK >Sspon.05G0039210-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:65462769:65468024:1 gene:Sspon.05G0039210-1D transcript:Sspon.05G0039210-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALDARVDCVEVDVSRSSDGVLFALHDRDLQKMSGNSTAKVGHWTTDEIKALSTRVQNEEVPKAEDALAMISQSVRQVVLDVKLRRTNCKNCLVWAKSDDLGRDIIKLSKDVIVGYIVMVDKSTNRRTELVRIEGAKVAGVYHPLIHEKVMKVMRRHDRRVFAWTVDDSSSMKKMLYEHVDAIVTSNPSLLQQLMQETRTECMEDDQIIEKIVTII >Sspon.07G0010590-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7A:34096646:34097176:-1 gene:Sspon.07G0010590-1A transcript:Sspon.07G0010590-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGAGGETTKKALRATASDGDGASVPPVEQQQKQQPVSLPAAGVTGSNAADGSASAAVQSCSEEDGQDDEQVERFYALLANIRALRGLYSAETGPAASGRGSRKRAREAEAPWTPAFRLEDFEEEVNQGASGGAARRPAVARARVAAADDGQEEDGVAEVARGGNKLGRRVTARG >Sspon.05G0035580-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:74217972:74236259:1 gene:Sspon.05G0035580-2D transcript:Sspon.05G0035580-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAVLAVLASYIQHMLVNMAKEEVHILLGVTREIDKMGVKLGDLKNFLTDADRRNITDLSVKVWVGELRDAMYDATNILDLCQLKAMEQGPSRDMGCFNPLLFCMRTPLHAHDIGNRIKNLNKRLDDIKARSTSFNFINLGSYVDDGRKKASFGSSTRETSGALDGSGLVGEKIEEDTKNLVAMLTKEYQTHQEYNRIMVFAVVGVGGIGKTTLAQKIFNNDIIQQDFTKKIWLSVNQDFNEIEMLRRAISEAGGYHHIAGNTKALLERALMEALKGHRTLLIMDDVWDYRAWEGVLRTPFLNAALAHGSRVLITTRHDTVVRSVNDEPQLEMLKDIGMGIIEKCDGLPLAVKVIGGLLRQKNMRPVDWENVLKDSLWSVSQMPEELSNSIYLSYDDLGPGLKHCFLHYSLLPKDTIFLVDDIVGMWISEGFLHGTSRDLEEIGKEYYDELIQRNLIEPNKRYIDHVVCNMHDVVRSFAQYVAGNEALVGYNSEIGIMVNKLNSQKLIRSESNDLEWCSLQAYTSLRTLILVGHVKIKPGDSLGPFSSLRTLHLESINNFDLLVESLYQLKHLRYLSIEKSNASSLPENIGKLKFLQYISLFRCQSLVKLPSSIGMLQHLRFLKLSKTSIKSIPEGFRDLTSLRKLHGFPTHMDGDRCSLQDLGPLCQLTELGIRLMENASSSSFATEVRLSVKACLRELALSCTSRLGDDGRLEKDKEGDSEKEQLQIEEVHILLGVTREIDKMGVKLGDLKNFLTDADRRNITDLSVKVWVGELRDAMYDATNILDLCQLKAMEQGPSRDMGCFNPLLFCMRTPLHAHDIGNRIKNLNKRLDDIKARSTSFNFINLGSYVDDGRKKASFGSSTRETSGALDGSGLVGEKIEEDTKNLVAMLTKEYQTHQEYNRIMVFAVVGVGGIGKTTLAQKIFNNDIIQQDFTKKIWLSVNQDFNEIEMLRRAISEAGGYHHIAGNTKALLERALMEALKGHRTLLIMDDVWDYRAWEGVLRTPFLNAALAHGSRVLITTRHDTVARGMMAEEPYHRINKLDLGMHGCFSRNRSVNDEPQLEMLKDIGMGIIEKCDGLPLAVKVIGGLLRQKNMRPVDWENVLKDSLWSVSQMPEELSNSIYLSYDDLGPGLKHCFLHYSLLPKDTIFLVDDIVGMWISEGFLHGTSRDLEEIGKEYYDELIQRNLIEPNKRYIDHVVCNMHDVVRSFAQYVAGNEALVGYNSEIGIMVNKLNSQKLIRLSLENKGSESNDLEWCSLQAYTSLRTLILVGHVKIKPGDSLGPFSSLRTLHLESINNFDLLVESLYQLKHLRYLSIEKSNASSLPENIGKLKFLQYISLFRCQSLVKLPSSIGMLQHLRFLKLSKTSIKSIPEGFRDLTSLRKLHGFPTHMDGDRCSLQDLGPLCQLTELGIRLMENASSSSFATEVRLSVKACLRELALSCTSRLGDDGRLEKDKEGDSEKEQLQIEEVFNELCPPSSLENLHIHGYFGQRLPRWMMSTESMPLERLRILTMDDLACCTELPDGLCQLPWLELLQIDRAPAIRRLGQEFVCCKCSRVAAGFSRLLKLNFIGMVEWEENTDLERISFLPSLKNLVICNCPRMKVLKGVPALQTLLLEDYGMETLPRYLKDVNPRHLELHCTLSLLTSIAARKSSPEWDKLKHIQHVKAYANGNGRKWYVMYTRDPFHFETNISRATIRQVNQN >Sspon.03G0018490-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:85442388:85444976:-1 gene:Sspon.03G0018490-2C transcript:Sspon.03G0018490-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAEKRRAASTASAAAETEMQARSTDHRRALYFAAFLVLADAALVALIIAFVPYTKIDWDAYMSQVDAFLEGERDYTKIEGDTGPLVYPAGFLYVYSAIKFLTGGHVFPAQILFGVLYIVNLSLVLLFYVKTEVLPWWALGLLCLSKRVHSIFVLRLFNDCFAMTLLHAAMVLIIYHKWYLGLVVFSGAVSVKMNVLLFAPSLLLLMVKAMSIKGVFFALLGAAVVQVLLGMPFLLPHPVEYISRAFNLGRVFIHFWSVNFKFVPEKVFVSKELAIALLILHLTTLMIFAHYKWLKHEGGLFGFLHSRFKDAKSIKQLVSSQPRPSSLSIERVLNHNSQTNHIIPIYAFLLN >Sspon.07G0000280-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:275730:280936:-1 gene:Sspon.07G0000280-2C transcript:Sspon.07G0000280-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SIIRAYGRVDPDGSRYLLGDNTGILHLLVLTHERERVTGLKIEYLGETSIASSISYLDNGVVYVGSRFGDSQLVKLNLQADASGSFVEILERYVNLGPIVDFCVVDLDRQGQGQVVTCSGAFKDGSLRVVRNGIGINEQASVELQGIKGLWSLKSSFNDPYDMYLVVSFISETRFLAMNMEDELEETEIEGFDAQTQTLFCQNAINDLLIQVTAHSVRLVSCTSRELVDQWNAPAGFSVNVASANASQVLLATGGGHLVYLEIRDAKLVEVKHAQLEHEISCLDLNPIGENPQYSSLAAVGMWTDISVRIFSLPDLELIRKENLGGEIVPRSVLLCTLEGVSYLLCALGDGNLFSFLLNASTGELTDRKKVTLGTQPISLRTFSSKGTTHVFASSDRPTVIYSSNKKLLYSNVNLKEVNHMCPFNTAAFPDSLAIAKEGELSIGTIDDIQKLHIRTIPLNEQARRICHQEQSRTLAFCSFKCNQSVEESETHLIRLIDHQTFESLCVYPLDQYEYGCSIISCSFADDNNVYYCVGTAYVIPEENEPTKGRILVFAVEDGSLQLIVEKETKGAVYSLNAFNGKLLAAINQKIQLYKWMSREDGSHELQSECGHHGHILALYTQTRGDFIVVGDLMKSISLLVYKHEESAIEERARDYNANWMTAVEMLDDEVYVGAENGYNLFTVRKNSDAATDDERARLEVVGEYHLGEFVNRFRHGSLVMRLPDSEIGQIPTVIFGTINGVIGIIASLPHDQYVFLEKLQSTLVKYIKGVGNLSHEQWRSFHNDKKTAEARNFLDGDLIESFLDLSRSKMEEVSKAMGVPVEELSKRVEELTRLH >Sspon.05G0025500-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:31510880:31519717:1 gene:Sspon.05G0025500-3D transcript:Sspon.05G0025500-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAANPRPSPDTGGTSYGSFANLKITAEKLVKEQASVKTDLEMAHVKLRRATEQINLLEGKLQQAVNENAKLKVKQTEDSKLWQGLDSKLSSTKTLCDQLTETLQQLASQTEQAEEDKKFFEEMLGKNSKDLDEFNCLLRDLSTKLEDAEQKIISGRQEMLQIKQEKEEMDQSYKERLYSNDTTIKEKDSLIKQLEGSVEENKSRLICLDSHLQCMEQELKLKEDVCISLKGNLASSESEKNSLELMNKGYILETEKLCQDNKDLKELLSSFMVKVNELDKEHASVSSHVSRLISSFERFNEMAQKEKMLMTRSSKDKFEHLQSQYVDLTSENNALKTEIEELKSRLRELQRTQEIVMVQHVEECQVAEDKIRRLESEAEVSASNINQLEKIASELQGRIQKLLEDSTLAENHKQELLQKILKLESDNQELLGQVQSIMEEKSNNAESLQGEITKRDQQVNTLENQINQLRSVLDEKEQLYRCSVEREKTLEDQKLQVEASLSATECQLIEAKKQYDLMLEGEKIELSKHLEELSLKNDQAINEIRKKYELEKIEITNAEKEKAEKLIREIENKCNEKMSQNKHDSERIQQDNELKESILQAHHKEELQRIQSQAENELRERLSLLRKEHELQIKSLRMHHEEECQRMQEELELQKSKVEEKQRALLQLQWKVMGESQQVDQEVNSKKEYSVSSIKRRDPYGRKEHEVQLASPETKRKDVNLPGILESPISNMLRKVDKVSQDIPKHRKVTHHEYEVETANGRITKRRKTRSTVMFGEPNTQKSSHNTADNDVTKIKKV >Sspon.08G0004050-1P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8A:12005876:12007075:-1 gene:Sspon.08G0004050-1P transcript:Sspon.08G0004050-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHDGKNLTVTEAVRSVQLLKIDGYCATATMKASEFIKSRWNIDGHEWEVRLYPDYCYLYDDDDYSEWVALKLILVSEPQRDKLRVNLSCRLVCPSQHLDPSEEESVPHVFDSDSKCSPEVLLILKHELPSSGYLVNDSLTVECTVTVLRDLDTADKEQPLPVPPPSDLHQHLGELLQSQEGADVTFHVSGESFAAHKALLAARSPVFKAKFFGGMLETSSDSVVIEDMEPVVFKSMLHFIYTDMAPELDGDQEPQAAATMAQHLLAAADRYALNRLKLICECKLSGGISIDTAATTLALAEQHHCSLLKAKCVEFVTKSPETLDAVLATDGYAHLVTSCPLVLAELLRAARGRKI >Sspon.02G0031590-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:121401729:121405409:1 gene:Sspon.02G0031590-1P transcript:Sspon.02G0031590-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIRRAPPPRAASGGVEPRFRQVGFVTSAEPARGPVAPAAASPTASDGLSPVMIPPPLIPVPAPASESLMPSSPPPASSCLLEVVSDLDDYADDDDIDVSWARPPPPALLEPNKRDLTETKNEHAPTSVSQKPKLSKAERRAIQEAQRAAKAAAKEAGLKPTAMVSDASTKISKQPKTAKTSLKKDVNQVNPPVASDKKTSERLPDKDRKKDVPQPRMQFDDAHRVVKAKKRSVVSQSEARNRVELFRHLPQYAHGTQLPDLESKFLQPDLMHPSVYKVGLQYLSGDISGGNARCIAMLLAFREAINDYSTPAEKILNRDLTAKISSYVSFLIECRPLSISMGNAIRFLKNRITKLPLALSESEAKVSLQSDIDRFINEKIIVADKVIVSHAVTKIRDDDVLLTFGSASVVEMIFDHAHELGKKFRVVVVDSRPNHEGQRLLRQLVAKGISCTYTHINAVSYIMHEVTRVFLGASSVLSNGTVYSRVGTASVAMVAHAFGVPVLVCCEAYKFHERVQLDSICFNELGDPDAISRVPRDESLSHLKNWAENENLHLLNLKYDITPSDYVSMLITDYGM >Sspon.06G0003040-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6A:9608519:9609619:1 gene:Sspon.06G0003040-1A transcript:Sspon.06G0003040-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRPCDIGPGDVKTTTDAISNLDEDIAKMESRTTPNQEGKDDEDIATLDTPTLWSSPSCNSSSTQLPQKVSPDIINNSSTATLTIIAPGDVGTTMEAIGNGSYGLHQGGEPRRHS >Sspon.03G0043140-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3C:76182594:76182947:1 gene:Sspon.03G0043140-1C transcript:Sspon.03G0043140-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGPAGISDFWCQLRDALLCADVVQGATARRTGSPDPAPPLLAMANIASPERPGHGLRPPPIHPPHRSAASAYVCSLLIRILGA >Sspon.08G0012620-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:52484194:52491329:1 gene:Sspon.08G0012620-4D transcript:Sspon.08G0012620-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLSAGVLERNKGYYNTSQGRPDGSGGGYTYSSRGGNTGGRWDTRSSGSSDRDGELPDRDSQTQAGRGGNQYRRNWQNTEHDGLLGSGGFPRPSGYAGQLSSKDHGNAPQLNRTSERYQPPRPYKAAPFSRKDIDSMNDETFGSSELSNEDRAEEERKRRASFELMRKEQHKAMQGNKNGPDILKENPSDDIISQLQTSTAKANAKTKNEKLDGSAVSLYLEDTTKPSSVLLAPAARPLVPPGFATAFADKKLQPQSSNIAHEPKLEGDQSATEFASESKEKGIPNNVPIMGPKHTLPAGGVTSSAELPSSILKGSEDWEADVMDKYSIGKDGKSKIIDPVRKGDSVSILEQFFGNVLSKSGSNLPTYVENQPLKTDDDMIASSVPESSKFARWFLDEDLKPAEDLSSKSLLSMIVKNENPGPIHAPISDAAVQNLSPRAPIDKLDSTSKLISFTSPTPADGILEQYIHSDIPEAVPVMMTCEDLEQTMLAQVSNSSSTQINATKEQLTVMDEPVAMQKVPVDNHASQHLLSLLQKGTDNKGAPSLGFQRGSTDEPHSVGANLMANGGISGKLELEALFGAAFMNELHSKDAPVSIRGSTTGGPTEFAETGKTLLSSSHEGYYPVEQTIHFNNTKNAAVPREPGIEHSAVPGLNQGSAIFDKKGMEIHLPEEDNLFTMSDSLPGQNSDTLASVGSGRVEGLLPEKAVDDLSYRFQSLVPGNAEHIQVLGPDALGSHPRDQRYQVDSQNLYHLLQGRPPMMAPRPMMDHIVNRKQPAPFDMPQSIHHDSHRSFPSNVNPMQHNLHGPGVPHLDPNAHIMLQHMSMPGRFPPEGLPRGVPPSQPVHHMAGYRPEMGNVNNFHMHPRQPNYGEFGLMMPGPSGPEVRGNHPEAFERLMQMEMSARSKQQQVHHPAMAAGRVPSGMYGHELDAKLRYR >Sspon.01G0052060-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:54545585:54549854:-1 gene:Sspon.01G0052060-1P transcript:Sspon.01G0052060-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ELFSELGDLKRYSINYDRSGRSKGTAEVLFARRSDAVAAVKKYNNVQLDGKPMKIELVGTNTPTAAAALPVSNGGHARNAARSAPRGAAPAGMPQRRPHQRGGRRGGGSGGGRRGKEHSKPKSAEELDADLEKCPHSELTVDLAVTSLIEAYHGANPASRSAIDSLEIAVLCVHDAASARPCKNPISQTAKWRTRAAHGEGNHNPTSPICCSRFAACRQR >Sspon.08G0022370-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:51628876:51629247:1 gene:Sspon.08G0022370-1P transcript:Sspon.08G0022370-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEAAKCECCGFTEECTPAYIAAVRAEYLGRWVCGLCAEAVGDEIRREGSTITTAEALDRHVAFARAPSRRGPPAEDDLVAAVARLLRRCLDLRPRLRGASPAPPQSRKVAAGPGCPDGADA >Sspon.04G0018320-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4B:69432662:69433642:1 gene:Sspon.04G0018320-2B transcript:Sspon.04G0018320-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSRSLPRSASELADPPSPFSSNPAHHPVSVPNTPGLSSCSSFGRMLSPPPDTPTKQQQGGNKPKPTPAAAAAAYYASLWSPRRLMQRAARAFRRSRSRGRVRTVKDLAEERASVLNASSKVSEAAAVPPPAGAEVASGNGACGCAVGSVQDGPQQQQRHDDYHHVIVPEKIIREDAPPVVAEEKQTAAAASTEEEVEVESPKKGSAPASEAIVAAAAVEDDVVADKFVTVVKEAIKKHEAEQDEKKEAMRRFQGSRVKTAMEARPESEQPRRREVARSNDVIEEARTKLLEKRQCSRVKALVGAFETVMDTKKDAAGVGAGKPQH >Sspon.06G0003880-4D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6D:9398277:9400187:1 gene:Sspon.06G0003880-4D transcript:Sspon.06G0003880-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDLKASLARPIQLAEQVIKWADEAQTCRQECQDLKAKLERLSTLLRQAARADLYERPARRILEDTDKALDKAAALLERCCGHGFVRRVFTIIPAGSFKKASYLLDNSLGDLTWILRVSNYAASDEDEEDDHIGLPPIAQNEPILFLIWEQIAVLQYGGLEARADAAASVVSLARDNDRYGRLIIEEDGVPPLLRLIKEGRADAQESAALAIGLLGRDPECVDLMILAGVCTSFVKILKDAPMKVQGMVAWAVSELAANHPKCQDAFLQHNVIRLLVSHLAFETVQEHSKYAVASKMSIHSVLMDKKSNDSSQDPSGSGEKAATATTAAAVAAAKPTVGGAGGTGASSSMSATVPGPSARPVGMAGMRLHNASMSATSTRGREYEDPEIKDYLKAHAARALGTLATGNPAICKNITESRALLCFSILLEKATGDVQYNSAMALLEICRVAEQNAELRRSAFKPTSPSARAVVDQLLRVVEKADYDDLLIPCITCLGCLSRTFRATETRVIGPLVRLLDEREADVSLEAAAALAKFACMDNYLHVDHCKSIIAHGGAKHLVQLVYFGEQVVQTAALVLVCYLAHNVPDSEDLAQAEILTVLDWAWKQGYMSQDPMIESLLPEAKIRMELYQSRVGYY >Sspon.04G0025820-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:38016425:38024352:1 gene:Sspon.04G0025820-3D transcript:Sspon.04G0025820-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSQVMDPRRHLSQFNNPAVAASSFSEEQLCLPTERQVGFWKQESLHHIGSKSVASSPIEKPQPIGTKTVARIDPQPYKLRDQKTAFSLEHKTFGQERHVNLPPSLWRADQDPNLQSDSSLFPDGRRTNPNEAYNENGLFSSSLSEIFDRKLGLRSKDVLLRQPVEKVDPTHVDDEPFELTEEIEAQIIGNILPDDDDLLSGVLDVGYTAHANNGDDVDDDIFYTGGGMELETDENKKNTETNGGANDGLGSLNGTMNGEHPYGEHPSRTLFVRNINSNHYGEISNLYTACKHRGFVMISYYDIRSAWNAMRALQNKPLRRRKLDIHYSIPKDNPSEKDINQGMLVVFNVDPSVTNNDIHQIFSDYGEIKEIRDAPQKGHHKIIEFYDVRAAEGAVRALNRSDLAGKKIKLETGRLSAARRLTQHMSKELGQEEFGVCKLGSPSTHSPPLASLAMTSSSRENGSIHGLHSGLLTSMSPFREASFPGLSSTIPQSLSSPIGIASAATHSNQASLGELSHSLSRMNGHMNYGFQGMGALHPHSLPEVHNGANNGTPYNLNTMAPVGVNSNLRTAEAVDSRHLHKVGSGNLSGHSFDHAGEGGNYGIFKKWKRSCPWSPVNVE >Sspon.01G0007770-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:21452828:21455344:-1 gene:Sspon.01G0007770-1A transcript:Sspon.01G0007770-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFMQQSAAFKILRTRLKTVPFSENLKRTSSANPYSQILQVTEDGNRNQDTQNYSAINFPFLLQQFENMQLQHRNHLKDQLQSRKSASALTLSQPQLGLAEQLAAVTTVAADSHFSWNRSWHPEYIF >Sspon.07G0005160-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:11901211:11902554:1 gene:Sspon.07G0005160-3D transcript:Sspon.07G0005160-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRLLAILFLCSSLLATVANADDPFFSSSQAANLDALLASLPAAAAASTGFATNTTGTAPDQAYGLAQCRADVNASDCRACLDGSTQDMARVCPGQKSATLIYDACQLRHSNASFFGTVDASVVVWLVNPQNASQQEQFTVQLGALMGNVTGRAAYASPRMFAVGSAAVTPFVNVYGMAQCTRDLAGDDCNRCLAGAVSFIPSCCDGRQGARIVYPSCSIRFEVYPFYNLQAADAAMSPAPAPSPGGGSVNGSDQSGLGKNGESMVSRSNHTVRTTLLVAIPVAVALLLLVAVFLFLCRRNREAHKH >Sspon.02G0015040-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:39300804:39302724:-1 gene:Sspon.02G0015040-1P transcript:Sspon.02G0015040-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIASSPPLPPLMLSLAVVLLLALCARGGEARPPPPLHGVRPLAFDEGYTQIFGSANLALLRDGRRVRLTLDESTGAGFASQDVFLHGFFSAAIKLPADYAAGVVVAFYLSNGDVYEKTHDELDFEFLGNVRGREWRVQTNVYGNGSTHAGREERYDLPFDPTDDFHHYSILWTSDRIIFYVDETPIREVVRTAAMGAAFPSKPMSLYATIWDGSAWATQGGRYRVNYKYAPFVAEFADLAIQGCAVDPTDHHSSSAAASCGAGLRPLAAVSAEQRAAMAAFRRAHTSYSYCHDRRRYPVALSECGAAGAGLLPGRMFGPDGMKQHRRHRAAPRGHRAAQDDVM >Sspon.05G0011160-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:26492044:26495550:1 gene:Sspon.05G0011160-2B transcript:Sspon.05G0011160-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDIEDVLGPAGLSGGGAPPGLRLPLAAVAVKPKRRSSRVAQAPPQPEDRIPGTQSDSEYMSGQLSAFGYAITEEPEGADLWLINTCTVKNPSQSAMTTLISKCKNANKPLVVAGCVPQGSQGLKELEGISIIGVQQIDRVVEVVEETLKGHEVRLLSRKTLPSLDLPKVRKNKFIEILPINVGCLGACTYCKTKHARGHLGSYTIDSLVDRVKTVVSEGVREIWLSSEDTGAYGRDISTNLPNLLNAIVAELPVDQSTMLRIGMTNPPFILEHLKEIAAVLRHPCVYSFLHVPVQSGSDAVLTAMNREYTLGEFRKVVDTLCELVPGMQIATDIICGFPGETDQDFSETVNLVKEYQFPQVHISQFYPRPGMEGKVERIWITEIATDGVHLVGHTKGYIQVLVIAPDSLLGTSANVKITSVGRWSVFGDVIEGSVAVGEAPKQTSAKLQKEHGQNQVEEAGCCATGSCGTCACSGEAQQCGPERCEDTSHAPETCGDVTRQGALQPTTLVRRSAEGTTKGSDSSAAHLLGKEQQVKVVTRRGLNIDTILWCGLAVSLAVTIALLVILTSKISSTSSQ >Sspon.07G0015350-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:60585553:60588435:1 gene:Sspon.07G0015350-3C transcript:Sspon.07G0015350-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIMLNDWSLYENEGRDFDRSILGHASTARTRGPFCVQDLRHDKMHGLVRFSDIGTTKSKRWRELHGEHSWKGLLEPLDMDLRNSWRPPLTSDGFNDEAHSPHAGLCRYGYDDLLTKSGVAAASLYKVTKFIYAWEKKKPTWIGYVAVATDEGVAALGRRDVVVAWRGSATATEWFKDILFLPTKPWPALGLTESKSLDPSARVHSGFLSLYTATIDTAVADSTFAVNKTSARDQVLEEVRRLVELYKDEETSITVVGHSLGAALSILNAVDLVGNGVNNNAPAANDDDSSGAGRPPCPVTAIVFACPHVGNDAFRHAFASFKDLNVLHVKNRQDAVPFLMDWLHDLGVTLHIDTARSDYIKEPNLVTAHNLECYLHGVAGEVGSDGGKLKLVVDRDVALVNKYADALKDEYHVPASWWVTQNKSMVKNDQGKWELKDFEHY >Sspon.06G0021760-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6B:19680132:19680778:1 gene:Sspon.06G0021760-1B transcript:Sspon.06G0021760-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHDQGQGGVFRCLLSKQMVGLFVSVWTRSSLRRHLLHPAVLASASSAGSATRRRSNWHDGVLTPSQGAVSVRFLLHGTSFCFVCCHLASGGEEGDPLRRNADATDILSRTSFVRSGGAPAAPDELPKKILGH >Sspon.02G0014960-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:44984143:44985059:-1 gene:Sspon.02G0014960-3C transcript:Sspon.02G0014960-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNALAGRRRAAKVMTVDGATFRYKTPAAAGAALRGHPGHQLLESEEVRRLGVRARPLDPDAPLKPGKLYFLVQIPRGAAGAGADEDPRAPRKTWSGALHVGARERLESLMLSRRTVSDVASIVPCSAARLAASAASASSPRPFSKPPPPSSVEVGVDGAVRLRMRLPKAEVARLMKESKDPAEAAERIMQLCVARDQGQGVGTTPPPPRPCPPVLPPASALLSGRNPTPPGCLKKELPQ >Sspon.02G0003790-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2A:11939460:11940140:1 gene:Sspon.02G0003790-1A transcript:Sspon.02G0003790-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRRQLLRRLFPSPATSATPAPPSPFLRHLSTASTPTPTPAASLASSLASALTALSTTPPPATTPDAYFSLHFSDVRPTNALLAEALALSPPATSRAAADLFRFLVRRRSLHPSDGALAPVVRHLARRRDFPAVRALIQEFPTALGPATLDAYLQQLARAGRPTDAVKVFDELPEQLRNREALTLLVSALSAEGFPSHAERAAKKVANEIFPDDNICTLLVSGYANA >Sspon.04G0000410-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4C:1742553:1745028:1 gene:Sspon.04G0000410-2C transcript:Sspon.04G0000410-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRRAASVLLRTRLRGPVTSPARKALINPLLAPPRRHFSPRPLTPPPVPGTATAAAAVAEAAEEAFEAARSTNDMLAAFSRLEAAVPANDKRLALACLKLGQHLEASGSADASRVLALALRCLGILEASPNAASPASASDAVSLAMALHLAGSASFDLSRFHDALSFLSRALRLLAPLLPDGGVAFGAGEEPGGFDVRPVAHAVRLQLANVKTALGRREEALADMRACLDLKESILPPGSRELGVAYRDLAEAYATLLDFKQALPFCQKALELHESTLGKNSVEVAHDRRLLGVIYTGLEQHEQALEQNEISQKVMKSWGAAGPDLLHAEIDAANIKIALGKFDEAVIVLKNVAKQVEKDSEMRALVFISMAKALANQEKVGDTKRCLEIACDILEKKEFATADKVAEMYIELSSLYEMVNEFDKAISLLKRSLGMLERIPQAQHLEGNVAARIGWLLLLTGKVTEAVPYLEDAVERMKDSFGSKHYGVGYPLAMEFQKRVVDSWRNHGADARDELKEAMRLYNQIKAKALACLSPGGSANALPEPQDQETDSDTAKAVQR >Sspon.06G0020940-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6B:11740185:11741859:-1 gene:Sspon.06G0020940-1B transcript:Sspon.06G0020940-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFWPLAKTIPFAKIVKTGNSSRAQNANGPVYRKSELRKDGTMEAILISQFLLSQWQLAKQKFPRHLKRTANFKKRVEY >Sspon.04G0018230-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:71363149:71363677:1 gene:Sspon.04G0018230-3C transcript:Sspon.04G0018230-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RLSTTVKMVNKGVLKDHLILVANSMTCTGSLIGFNIAGYKATFRSLKVQTPMKCFEKAAEKCDSDSLGCVVSSSSWGKHAAVGTGSSFQILWNENQARLLVSPKALLLVDMI >Sspon.04G0009980-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:28464431:28465063:-1 gene:Sspon.04G0009980-2C transcript:Sspon.04G0009980-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSPGSSDDGASAAAAVCCMCGDQGLPGELFQCAICLQRLQHRYCSNQYPRMAAYSTCNWCLRDKEVGGGSPSPAAAAKALTARAKQRASSSRLNGSRGYHDDKDRPCGGGSGGCSGSAFPAEPQKPVKKIKKSHERAARRPAAAPAAAKGVEVQTGGARTKSPFRAKARVPRYKLLTE >Sspon.01G0033390-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:114386066:114391832:1 gene:Sspon.01G0033390-2D transcript:Sspon.01G0033390-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MANGLPNPPCAVLTADAARSLVDSVDAFLFDCDGVIWKGDKLIEGVPEAMELLRKMGKKLVFVTNNSRKSRRQYSKKFRSLGLEVTEEEIFTSSFAAAMYLKLNNFSPEKKVYVVGEDGILEELKLAGFECFGGPEDGKKSIKLEADFYFEHDKSVGAVIVGLDQYFNYYKMQYARICISENPGCLFIATNRDPTGHMTSAQEWPGAGTMVAAVSCSVQKEPIVVGKPSGFLMDFLLKSFNLETSRMCMVGDRLDTDILFGQNTGCKTLLVLSGCTTLPELQDASNNIHPDVYTNSVYDLVGLLH >Sspon.01G0022730-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1A:82727597:82728781:-1 gene:Sspon.01G0022730-1A transcript:Sspon.01G0022730-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLGLQANLAGQGNCELQAQQRKVDARSFGSEQHSGPEDVHPGHPGLHRRRRETEPSLSRSPPKLPAIPLQMEATKTPTVPVTYLQPPAIPLQMEATKIPNLKL >Sspon.06G0014090-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:74064710:74070795:1 gene:Sspon.06G0014090-1A transcript:Sspon.06G0014090-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA replication licensing factor MCM2 [Source:Projected from Arabidopsis thaliana (AT1G44900) UniProtKB/Swiss-Prot;Acc:Q9LPD9] HDPSASSSSRACGAARSDLFLLRLRGALVVQDDSENNAPSTPGSPGFSTDRLPPNTTSRGATDPSSYSDDDGEAEVDPHVLPDDDDPAAHDYRRMDEHDQYESVGLDDSLEDERNLDEIMADRRAAEAELDARDVRTGAAADRKLPRMLHDQDTDEDMNFRRPKRHRASFRPPSGPRTPRSDDDGDGATPSSPGRSQRGMYSGGDVPMTDQTDDDPYEDEFDEEDEMNMYRVQGTLREWVTRDEVRRFIAKKFKEFLLTYVNPKNDQGEIEYVRLINEMVLANKCSLEIDYKQFIYIHPNIAIWLADAPQSVLEVMEEVAKNVVFDLHKNYRNIHQKIYVRITNLPVYDQIRNIRQIHLNTMIRIGGVVTRRSGVFPQLQQVKYDCSKCGTILGPFFQNSYTEVKVGSCPECQSKGPFTTIYRNYQKLTLQESPGIVPAGRLPRYKEVIILNDLIDCARPGEEIEVTGIYTNNFDLSLNTKNGFPVFATVVEANYVAKKQDLFSAYKLTDEDKAEIEKLSKDPRIGERIVKSIAPSIYGHEDIKTAIALAMFGGQEKNVKGKHRLRGDINVLLLGDPGTAKSQFLKYVEKTGHRAVYTTGKGASAVGLTAAVHKDPVTREWTLEGGALVLADRGICLIDEFDKMNDQDRVSIHEAMEQQSISISKAGIVTSLQARCSVIAAANPIGGRYDSSKTFTQNVELTDPIISRFDVLCVVKDIVDPFTDEMLARFVVDSHARSQPKGANLEDRVSTDVDDDPLAAARQADPDVLSQDMLKKYITYAKLNVFPKIHDADLDKISHVYAELRRESSHGQGVPIAVRHIESIIRMSEAHARMHLRSYVSQEDVDMAIRVLLDSFISTQKFESTSKNFRKYMTFKKDYNELLLLLLRTLVKDALHFEEIMSGSTSRLTHVEVKVEDLRNKAQEYEIYDLKPFFSSAHFRDNSFVLDEGRGIIRHPLAEYN >Sspon.04G0019970-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4B:72999143:73010634:-1 gene:Sspon.04G0019970-2B transcript:Sspon.04G0019970-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RWWMDLGLVQEIPVVRDQVLKWYMWSMTALQGCSFSRYRVEIPKIISLVYVVDDIFDLVGTLDELSLFTEAVKLWNTDAADSLPSCMRPCYKALCTITNEIADMAEKKHGLNPVNHLWKAWVVLFDAFMVEAKWLATDKVPTAEDYLRNGVITSGLPLTFVHIFIMLGCDQSIETLIDHMPSVISCPAKILRLWDDMGSAKDEAQEGFDGSYRDFYLMENPRCGPSDAEAHMRSLITREWEELNRECLCRRTFSTYLTQACLNITRMISVMYSYSKEHRLLVLEDYARMLTTKSWDEEHVKEEDKGAIDFVLFAVVPSSSTHPRHRAAPTRQGHRWLPRKMSLAMGVLAGFCARLRSPATALPIPMRLPSSPSAGQPRAATSSSKLVLSRPGRHLPELELTHHGRTSSTSWSPTMAAACPSRSSPAMATACSSRSSPAMAATSPSTALAVPLLRRGPRRAATTAGVSAGDGAGLARHGNTVSAEYPVDADVKTEETALMWVHSVRSFWRNQLNLDIEGIFLPGIDPVPHTRQPNSRQPGLDPGYPTRSPNPNTRSSVFTLQPTKHQPTSGPAVSEAPPRPHLAARRPEARAPHLAQAASSGLVEFGGWAAAAAHSGAGAAPSKPRAAAWWSSDLARALGGETTIIKSSSSSTTAARTEQSSNMGDTEETVAHQAVEVNENEVVNVENDQQDQQQQSKDGEEVGDEEGKKRKPMPPRSNVWEHFSKIKLDNGEERVKCKYCGKQLRCDTKLNVGDGLREFDRSIARVQATVKYVRSGPSRLVKFKKCAELAKVQTKAFFNLDVCTRWNSTYLMLQTAQEYEKAFESYVKMATMVMFGDEIGEKLWATVNTYFRALFEEYRELYAPSPSDRVPAETQETPEFCSGLMSSIIAQQMSNKGSVNATVKSELDKYLLEDNEDLSKSFDILKWWKNNATRFPIMSRMARDLLAIPISTVASESAFSSGGRTLDDFRTSLTPSMVERLVCTNDWLRGNNYINVEEDTEALAKLEEEIGALAICSKDSTTSTAS >Sspon.03G0001780-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:15767618:15770066:-1 gene:Sspon.03G0001780-2P transcript:Sspon.03G0001780-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPAGTGVVAYNAAISRCARAGLYARALALFREMRGRGLRADEYTLPPLLNSAALMRGPPPAAVAALHALLLRAGLALHLHVANALVDAYTRLPRAGGAAAAAGAARAVFDEMPRRDVVTWTSLLTGLARAGAHAAAVRAYHGMVAAGVQPDEFAVAAALSSCAGSTMLDVGRSVHAAAVRLGLNPFRSVGNSLVSMYAKTGALRDARAVFDAMPARCTITWTALIVGYAQNGRGRQSLEVYANMVRSGCRPDYVTFIGLLFACSHAGLVDAGQAHFRSMLADYGIAPGPDHYACMVDLLGRAGRLDEAMDLLNRSSTELDATVWKSLLGACRVHRNAELAERAAEMVWRLDPTDAVPYVMLSNLYSRARRWGDVARIRALMKARGVTKEPGCSWVGVNGVTHLFHVEDRGHPRAAEIYRKVGEMTERIRVEGYVPDTAWALQDEAPEGKQRGLAYHSERLAVAFGLLAVPAAAPIRVFKNLRVCGDCHTAIKMVAKVYGREIILRDANCFHHMKGGECSCGDYWNPLELPLILYRQDDNIIKSQQSYVDTSLSTPDYDILMK >Sspon.02G0039560-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2B:55321639:55321944:-1 gene:Sspon.02G0039560-1B transcript:Sspon.02G0039560-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGGGFRGRCRVGAVLLFSAWVALAALSRLLRPVPNGCVMTYMYPTYIPIAATPRNISSDRYGLFLYHEGWKQIDFAKHIRGLRGVPVLFIPGNGGSYKQV >Sspon.07G0026860-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:56088000:56093128:-1 gene:Sspon.07G0026860-2C transcript:Sspon.07G0026860-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSRGGARGLLGAYELGRTLGEGNFGKVKQARHRGSGAQFAVKILDRARVVSQRVDDQIRREIATLKLLAHPNVVRLHEIAASKTKIYMVLELVNGGELFDRIASKGKLPEQEARRLFQQLIDGVSYCHEKGVYHRDLKIFKGDTQIPEWLSPGVQNLLRRILEPNPMKRITMAEIKAHEWFQEDYVPALPYDNDDEDSELYIAHQVKEKISEAPEDKTTHQINAFQLIGMASSLDLSGFFEEEVFELSPSLYVVELKKSHGDPALYRQ >Sspon.01G0015710-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:46052099:46060497:1 gene:Sspon.01G0015710-1A transcript:Sspon.01G0015710-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSSPSSAAPARVSARKRAAKAEEIHQNQEEEEVAATSSAKRRRKAAASSEKKPKQGKSPKAGRKKKGEAEAEEPVEDDVCADEPDEEELAIGEEEAEAEAEEQAMQDEAAAAAAGSPGKKRVGRRTAAAVGDHEPEFVGEPVPAAEARSSWPKRYERSTAAKRPDEEEEVKARCHYRSAKVDNVVYSLGDDVYVKAGENEADYIGRITEFFEGIDQCHYFTCRWFFRAEDTVINSLVSINVDGHKHDPRRVFLSKEKNDNALDCIISKIKIVYVNPNRWAVDLNSFACQSLKYNHPQTEVRNEKADDFLALLKEWSVLCDKYVHQDMGSDLAGSENEEDGSSPLDKDEFVVEKLIGICYGGSGRDNGLYFKVHWEGYGPEEDTWEPIDNLRNRNEPLKDEKNKQMVTFMDIVAYLKPKYVLMENVVDILKFADGYLGRYALSCLVAMNYQARLGMMVAGCYGLPQFRMRVFLWGALSSMVLPKYPLPTYDVVVRGGAPNAFLQCIVAYDETQKPSLKKALLLGDAISDLPEASVENHQPNDVMEYGGSPKTEFQRYIRLGRKGMLDWSFGGEAGPDEGKLLDHQPLRLNSDDYERVQQIPVKKGANFRDLKGVKVGANNVVEWDLEIKRVYLSSGKPLVPDYAMSFIKGKSSKPFGRLWWDETVPTVVTRAEPHNQIILHPTQARVLTVRENARLQGFPDYYRLFGPIKEKYIQVGNAVAVPVARALGYCLGQAYLGESEGSHPLYELPPSFTRTVAQAGASSVATPAGEVVEQ >Sspon.03G0029320-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:15064026:15066230:1 gene:Sspon.03G0029320-1B transcript:Sspon.03G0029320-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAPPPSQPDAAAAPPSSTLASAPSPAPPSNPPTSAAAAAPASDSAITTPNPNPATAANPTQTLEAPGPVPAAARPRMRPPYTHLAAPITMSSSSSAATAAASSASVPAASSAAPPMPRGGVVLGVPAPRPAQTPAGYTGFVPPPPLAHQFGSMHRGPDQPPPSSSQFRQPSPGIQNVGMAGSINTSQMRPGAISGPQQPRPGLPSSTTPIPSGSQMPGSQRAPSQSLMRPMTVSSPSPSPASQQTPQSSSSTFRPQQRPQVSQPRPQQSAPVPPPQQNIILTQQHQKQQQLSSSHQNQQSTAPKNQPQLSQQPAARTPISMTPKPDSPAIPNVAVLQSVDAAATDANASETGTRLITKRSIHELVAQVCF >Sspon.01G0027810-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:93029278:93030488:1 gene:Sspon.01G0027810-3D transcript:Sspon.01G0027810-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding EIFSSWTHKTEHFQKIQRKTGIPYKSMLFFDDEDRNIDPVSNLGVTSVLVENGVNLDMFKLGLSNFATNYAASSRKEDK >Sspon.02G0045450-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2B:109498211:109498416:-1 gene:Sspon.02G0045450-1B transcript:Sspon.02G0045450-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LGLIKDKKNSVGILLSPDPYHGHLPPFSLPSRLCNSSGAGARISFSVNALHLLPFGTPQLLTPYRST >Sspon.01G0009710-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:1037661:1039845:1 gene:Sspon.01G0009710-3D transcript:Sspon.01G0009710-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bidirectional sugar transporter SWEET [Source:Projected from Arabidopsis thaliana (AT2G39060) UniProtKB/TrEMBL;Acc:A0A178VR23] MITVGHPVVFAVGILGNILSFLVTLAPVPTFYRVYKKKSTESFQSVPYVVALLSAMLWLYYALLSIDVLLLSINTIACVVESVYLAIYLTYAPKPAMAFTVKLLCIMNMGLFGAMVAFLQFYVDGQRRVSIAGGVGAAFALAVFVAPLTIIRQVIRTKSVEFMPFWLSFFLTISAVVWFFYGLLMKDFFVAMPNVLGLLFGLAQMALYFVYRNRNPKKNGAVSEMQQQAAAVQADADAEKEQQLRQAHADADADGEAVAVRTDDERPKNVVVDIMLPPPALMLPAERAPPLPLPPPPAMVMMTAHQTAVEVV >Sspon.01G0025350-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1C:89906841:89910744:1 gene:Sspon.01G0025350-2C transcript:Sspon.01G0025350-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding QEVHFLPFNPTNKRTALTYIDSDGKMYRVSKGAPEQILNLAYNKSEIERRVHAVIDKFAERGLRSLAVAYQEVPDGRKESPGGPWHFVALMPLFDPPRHDSAETIQRALNLGVNVKMITGDQLAIGKETGRRLGMGTNMYPSSALLGQNKDESIAALPVDDLIEKADGFAGVFPEHKYEIVKRLQARKHICGMTGDGVNDAPALKKADIGIAVADATDAARSASDIVLTEPGLSVIISTVLTSRAIFQRMKNYTIYAVSITIRIVLGFMLLALIWEFDFPPFMVLIIAILNDGTIMTISKDRVKPSPLPDSWKLAEIFTTGIVLGGYLAVMTVIFFWAAYKTNFFPRIFHVESLEKTAQDDYQKLASAVYLQVSTISQALIFVTRSRSWSFVERPGFLLVFAFLVAQLIATLIAVYANWGFTSIKGIGWGWAGVVWLYNLVFYFPLDILKFLIRYALSGKAWDLVIEQRIAFTRKKNFGKEERALKWAHAQRTLHGLQPPDAKLFPERVNELNQMAEEAKRRAEIARLRELHTLKGHVESVVKLKGLDIDTIQ >Sspon.02G0001670-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:5484475:5489305:-1 gene:Sspon.02G0001670-1A transcript:Sspon.02G0001670-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWRIKMLLFGSFTEDETKLFQGQPLKSPTKSVSKECERTEIQFGTLNFSVLNLEKISISSVVLPAKSANGETSAIAKENACGNEKKAAGSSLPNGGPVLANGCPPVNVPANNGVFENVKKTETVVPPVVPVKSISNPTPQMTLEVHKDGIEPTQSRKLDKEREITGNGSPIVDTPIVAAPAEEAVTSLNKKASQNMPLLPHGLRNTGNICFLNATLQALLSCSPFVHLLQDLRNRSIPKEDAQEFLSFAMDRMHDELLKLNGNGSNSKEGMVVSSVDDDAWETVGRKNKSAIVRTQSFVPSELSAIFGGQLQSVVKAAARKSFKIHALSKIMILHLKRFSYGNHGSTKVYKPLHFPKELVLSRDLLSSPSTEGRNYELVATITHHGRDPYRGHYTAHAKHANGQWLRFDDDAVVPVGENDVLHDQAYVLFYKQV >Sspon.08G0019560-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8C:16409268:16410260:-1 gene:Sspon.08G0019560-2C transcript:Sspon.08G0019560-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGGGSIVELARMAAALARTEWAARVAARRPVRSYRGVQQRKNRYYSMIWNIHTKRLVWLGSYRTPEEAAYAWDAVARVTRGHWAKPNFPEPDWARAAPAREEVSTELVLALPMPAAAPKRREPAAAVAAQVSFDNWLLSASAAAEEPQRQQGAPAVVLPHQPQGTAPALPPFTQFFHLSGPATAAAAANGGAAVVNPSNPGACVLTPQNVQMPPTNPASTALSSTEPIVLASQFLPAAAPTHYRELPVSQAGVSDVAVVGDNFTHDGASTSAAPPPVPRLTPNDLLLQICLVAAARVGRSKEAEAASGAEGLPAIYCDYLMRFLRVLDM >Sspon.08G0016320-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:43441781:43445119:1 gene:Sspon.08G0016320-2B transcript:Sspon.08G0016320-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHIATCVWQEKAAGGMGGAAVGGGEWGAARARAVAALGVAGCALNCAVSFVVFSALDVLDVVLCLVYKLVDYAVDAEWKSCYCAAAASGSAGPRRVQATAAAPAAGPKVVRLSSASASAKLQLEDVSDTLYVRPSLLADGTRTYIHAAPALTVSPAIAELIRGKMDRPRRPPRQAPCWSDCDCKVCHAWSGSPASSSHLYVHVQAPPAASEAPVETEDVVFIHGFISSSVFWTETVFPAFSPSARSRYRMFAVDLLGFGRSPKPAESLYTLREHVEMIERSVLRRYRLGSFHVVAHSLGSVLALALAVRHPAAVKSLTLLAPPYFPVPESVSAAGAGAAAQYVMRKVAPRRVWPPIAFGGSMACWYEHVSRTICLTICRQHRVWDRLFRIFTRNRVRTFLIEAFMCHTHNAAWHTLHNIICGSAARMDAYLDVVAHQLSCKVALFHGRDDELLPVDCTLAAGARVPRARVTVYDRKDHITIVVGQEKLFAAELEAIWRAD >Sspon.01G0014310-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:40297175:40298876:-1 gene:Sspon.01G0014310-1P transcript:Sspon.01G0014310-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGSPVLTAPTVGSFPLNPLASPWIPLQQSPPPDDYRSLFITFSRGYPINREDIMEFFNSVYGPCVETVMIEKVAPGQLPVYGRMVLRSAAMIPVVLNGRQTAKFLIKGRHLWARIYVPSSRLSYA >Sspon.03G0016190-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:38074237:38078103:1 gene:Sspon.03G0016190-2D transcript:Sspon.03G0016190-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rotamase CYP 4 [Source:Projected from Arabidopsis thaliana (AT3G62030) UniProtKB/TrEMBL;Acc:F4IX26] MACMPAVSAPSVLAPAPASTRIHLFCSTETRRGALSLRPAALSRAEAQRPPRRPWRRRRPRRCGRAVDLQAKVTTKCFFDVEVGGEPAGRIVIGLFGEVVPKTVDNFRALCTGEKGYGYKGCSFHRIIKDFMIQGGDFQENNGTGGRSIYGECFDDENFTLKHVGPGVLSMANAGPNTNGSQFFICTVKTPWLDNRHVVFGHVLEGMDVVKQLESQETSRSDTPKQPCRIVNCGEVPLDS >Sspon.02G0057730-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2D:63800340:63800449:-1 gene:Sspon.02G0057730-1D transcript:Sspon.02G0057730-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AALALYRGGIYSNGPEQERDRGGIYSNGPEEERDI >Sspon.08G0020350-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8B:20487593:20488429:-1 gene:Sspon.08G0020350-1B transcript:Sspon.08G0020350-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEEAIPALLSAVHGWFTPAVLFVVLNIVIGTIAVTSKAAAAASETGGDEEGVAAAGGGGWEQPHRRLARVPSMAFERLRSFNGRFAAAAPEPEPAEAGVVDLGYEQQQPAAAETKEGPVVVVERAVEPEREREPEPEPEPEHAVHMERSRSEAAADAELPRLPARLHKSASDKSAFAHFGAEEVEETVRAVEARRPATTRESRGRRRFPVAEPEPEPEPASESEEEEEGISGGEVDARADDFINRFRHQLKLQRIDSFIRHRETVRRGQTQAPARGV >Sspon.02G0018800-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:11709717:11715180:1 gene:Sspon.02G0018800-1P transcript:Sspon.02G0018800-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVTGTELFSLLRDKYGEGFDLFMQEKVVPLAGDITKEGLGLEPATFDDLAKEMDVIVNIAATTNFYQRYDVSLNVNVMGVKHLYQFAKQCANLKMFMHVSTAYVSGDSTELILEKPIKSGEALREGMRLDIDDELRLVSDVKNELATTPTTNGDDARAEERKAMKELGLQRARHFGWSNTYVFTKAMGEMLLGQLRGDDDDMPVVIVRPSIITSVRADPVPGWMQGTRTIDTLIIGYAKQNLSCFLADLRMVMDVIPGDMVVNAMLAAVVAHSGERGGSAGAPAAMTIYQPTSSLRNPVTYAVLYRSGRRHFEEHPRVKDNGEVIPNKKMRFFTTIPRFRLFMILSYKLPLEMLHMSNLLLCGLFSQFYKDSNRKYKLVMHLVDVYGPFAFFKGCFDDTNMERLRSTMVMNTPEDDMFSFDPKTIDWEDYFYRIHIPGVLKSISSDYLEFSKT >Sspon.02G0043320-3D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2D:84757942:84760661:-1 gene:Sspon.02G0043320-3D transcript:Sspon.02G0043320-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding HSLRTPEPPPLPPPELPLSFPAFALSFLPSSLPVPTVPSRPALVDAGTGESVPFRTFLSRLRALAAALRSRVGLAPGDVAFVLAPAGVHVPVLYYALMSVGAVVSPANPSLTAAEVSRLLALSNPSVAFAVAGTRGKLPPGLRTVLLDSPTFLSFLHEPEDGDAEVVVRQSDPAAVLYSSGTTGRAKAVVLTHRNLIASNVTRAPAATETLMLTVPLFHIYGFTFCLRAALAAHTLVLHTARGRFDAAAVLAAMGRFGVTRLALAPPALLAIVRAAEEDAAARVATLKTVNCGGAPVAADLIARFSRLFPGVSVAQGYGLTETAAGFCRAVGEEESARVGSVGRLSWGAEVKIVHPETGAALLPGVPGELWVRGPFVMKGYLAEEDSTSEILDSEGWLRTGDLCYIDKDGFVYIVDRLKELIKYKGYQVPPAELESLLQTHPDIVDAAVVPYPDDEAGELPVAFVVRRPGSHLHESHIKEFVASQVVHYKIIHHVFLVDSIPKNAAGKILRKDLAKLALRRISSKL >Sspon.07G0013790-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:55763714:55767415:-1 gene:Sspon.07G0013790-2B transcript:Sspon.07G0013790-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRRRNHHHLLLPATACLWALSCALLLLRASSASPHAHGLLRVGLSKRGLDRALQAAKVARQEDSLRRLGASAGSTSTSGGDDVPLVDYLNTQYYGEVGLGTPPQNFTVIFDTGSSNLWVPSSKCYLSIACYLHPRYKSAKSSTYKKDGETCKITYGSGSIAGFFSYDDVLVGDLTVKSQKFIETTRESSITFIIGKFDGILGLGYPDISVGKAPPIWQSMQEQNLLAEDVFSFWLNRNPDAESGGELVFGGVDPDHFKGNHTYVPVSTKGYWQFNMGDLLIDGQSTGFCAKGCAAIVDSGTSLLGGPTTIIAQVNEAIGAAGIISQECKEVVSQYGEMILELLVAQTSPERVCSQVGLCLFDGAQSVSEGIESVVGKENLGSDVMCSACEMAVVWIENQLRENKTKELILQYANQLCERLPSPSGESTVSCEDISTMPNLAFTIANKTFTLTPEQYIVKLEQGGQTVCISGFMAYDVPPPRGPLWILGDVFMGAYHTVFDFGNDRIGFAESA >Sspon.03G0023050-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:89210292:89213330:1 gene:Sspon.03G0023050-3C transcript:Sspon.03G0023050-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RAP domain-containing protein, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G31890) UniProtKB/Swiss-Prot;Acc:Q8VZE7] MEAAIPLGVALPRGSTGICSFSVLLKSSTKPSLSCFGRVPRKLKLFPSRAVSEDRADATPQWQLDFLGASAVTPDTPEDEDEEDLLPAEATDWCVRARRSALRSIEERGLAPSLQRMRNREAFVQTLSRFSAAPSNWSKEVSLNRSIVQAQTANEVLDLTAEVITAVAKGLSPSPLTPLNIATALHRIARNMEAVSMMQTHRLAFARQRDMSMLVGLAMVALPECLPQGVSNIAWALSKIGGDLLYLPEMDRIADVAMAKVQDFNAQNVANVAGAFASMRQSAPGLFLALALRAAQLLQTFKEQELAQFLWGCASLNECPHPLLDALDTAFQNDTSFQCHVSDLKSSAHQSSAQELSGGEGGSTSSARTLNFSRDQVGNIAWSYAVIGQMDRPFFSHMWKTLSQFEEQRISDQYREDMMFASQVYLANQSLKLEYRNLGLCLRSDLEEKITKAGKSKRFNQKTTSSFQKEVGRLLYSTGHEWVREYTIDGYTVDAVLVDEKLAFEIDGPTHFSRNLGTPLGHTAFKRRYIAASGWKLVSLSLQEWEDLQGEFEQLEYLRRILDIEAE >Sspon.06G0002290-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:5796783:5799827:1 gene:Sspon.06G0002290-2B transcript:Sspon.06G0002290-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALAGAASSSTLPVRHPPSRVAAQSLALRPSRCGPLRAAGAGGGSGKDDAPAANRSPVLKLKSDSSQNGVLPITADKSQKASSTSVSTDSSGSRAGLFRTPISGGVQSATFAHGLPPPALAVRNLMEQARFAHLCSVMSGMHHRRTGYPFGSLVDFANDSMGHPIFSLSPLAIHTRNLLADPRCTLVVQVPGWSGLSNARVTIFGDVYPLPAEQQEWAHKQYVAKHQQWASQQWGNFYYYRMHNISDIYFIGGFGTVAWIDVKEYETIQPDKIAVDGGDLQSLKELNAIFSKPLREVLSSEGEVDDAALISVDSKGIDIRVRQGAQFNIQRLAFDVPHKVETLEEAKKALHKIIKDKQQIK >Sspon.01G0000840-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:2834388:2834981:-1 gene:Sspon.01G0000840-1A transcript:Sspon.01G0000840-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPRLYPTGSGSDDRRDAAYPGSERRLYNPYQDLNLPYRQLYDLPTSPEFLFQEEAVAQRRSWGENLTYYTGVGYLGGAVAGAALGLRDAARGAEPGEPAKIRANRVLNSCGSSGRRVGNTLGVIGLMYAGIESAMVAARDRDDWINSVVAGLGTGALFRAANGPRSAVVAGAVGGVLAGAAAAAKQVGKRYVPAL >Sspon.02G0004970-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2A:15732650:15732878:1 gene:Sspon.02G0004970-1A transcript:Sspon.02G0004970-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFSVSMTLGPTPTSSLPPSLPTSPPALHNCDSRREVRARRSPPRLAAGRQPPSPAMTDRKLLRSSELHATEGIRV >Sspon.05G0010610-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:32503179:32504462:-1 gene:Sspon.05G0010610-3D transcript:Sspon.05G0010610-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKSIPVVSKIFCSGTPTMLMIRRRPTVVNGGGFVVTDLSHNIVFVVDGCGILGSKGELMVKDGEGEPILFISKKMGMKELIGGKDFYHVEVQSGYDQAFIIGGWQFLTTYMENPPDADRMN >Sspon.05G0000610-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:2386339:2388603:-1 gene:Sspon.05G0000610-1A transcript:Sspon.05G0000610-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFLDDERIMPVIWHQSLLAFVERYKNELEKKDKEKLVRLLDHQKHYLVTPEIRRELRGSCNRGEKDTNLQTLSPISVITKPIEEDKWDVPQVPMEED >Sspon.07G0017900-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:64776147:64779883:1 gene:Sspon.07G0017900-1A transcript:Sspon.07G0017900-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding EMEASLGAANWLLGQVLNKLSDDLVKAYVSSTELGLNLVEIRKEMLYTKGLLEAAQGRDCAGNSGLKGLLEDLSKKADEAEDALDELHYFMIQDKLDGTREASPELGDGLSAKAQHARHAARHTSGNWLSCFSCCRSQDDVAAAAKMSVDHGVHVGKLPFDRVAMSNKIKQLIEELHSNCTPVSDLLKIVSGSNPQQHMPGFAKRPDTSSEITQKLFGRDAVFENTIEEIISVTQTGKTLSVLPIVGPGGIGKTTFTQHLYNHKRIKEHFIIRAWICVSTNFDVLKLTKEILSCLPATEDVGNKTENETTNLDKLQKTVAERLKSKRFLIVLDDIWECSSNDEWEKLLAPLKRDETSGNLILVTTRFPKIVEMVTKETRPIDLRGLDPDEFWKFFQICAFGRIQDEHDDQELIGIARQIADKLKCSPLAAKTVDLNQWESGFSLPKDISCLENLRHFIAVKEFHSNVPEVGKMKFLQELKKFDVKKESVGFEIGELGKLGELGGELNIFGLENVRTKQEAKEAKLMAKRNLVKLGLVWDKEQESTGDDILDSIQPHSNIRRLCIVNHGGVVGPSWLCSNNLYMKNLETLHLESISWANLPPIGQMYHLRKLKLKNIVGISQIGPDFFGGTTEKSFTHLKEVEFHDMQELVEWVGGANCHLFSRLERIRLNIQKPSKLVFHNLGDVEWLTVQDASCFSFMDLQKLHSLRHIQDASCFSFMALLANLTSLTSLELFDCKDVTVDGFNPLITINLERLTVYNRRDGEAEPYSVAADLLAAVERTKTMPAGSFQLVSLDVDSISAVLVAPICSRLSATLQRLDFSSDWRTEKFREEQDGALQLLTSLQILSFYNCKALQSLPQDLHRLPSLQELQISRTHKIRSLPKEGLPDSLRLLHIQNCGPEIYEEC >Sspon.02G0056660-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2D:30309473:30310644:1 gene:Sspon.02G0056660-1D transcript:Sspon.02G0056660-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSKQNSCGRPRLPQSLRPSFLPLPAPPLALRSRCGLRLCSAEPSARRPERKQSEARAAVGGAAPEQREQHDWEWRWPGKKMKAGEPGVSTSASSAGQNEVDVNGNLSTAEILALDDDDPEAKEVAGLTGKRKKRCTSAVWQYFTKRVVEVEVDGKKYEQLWGYCNFPKCKQRYRAESTNGTNAFQSHLRTSHSIVKGQMQLKAEKDREKDITNVQPF >Sspon.06G0027680-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:88221567:88225970:1 gene:Sspon.06G0027680-1B transcript:Sspon.06G0027680-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHGSAKKVKLIGGLLITKYHSEHERKAVLNMPGLPPELDNRLYLSYQNLSPQLKQCFLYCSLLPNGEKIIQQLRELKLDGLEKVQDSRMAEKAMISSKRHLGTQLPDSLCCLPSLEFLDIIDAPAIKRIGPQFQVSSSLAAGVSAAISAPFPKLGEQFLDGLLQWEEWEWNDCEEHRNVETTIAMPCLEKLQINNCMLSYIPPGLASSKRHTLRELNQYELTYLTYVDNFPSVVELDMFGCPELKRIS >Sspon.08G0018810-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:8607238:8607602:-1 gene:Sspon.08G0018810-1B transcript:Sspon.08G0018810-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPHSRRNLSAAADAAVLLLLVIQILASTSAAPSKECADTCLGERSDNIGGECDDFPFRCYCLTNC >Sspon.06G0004400-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:13925821:13929338:1 gene:Sspon.06G0004400-1A transcript:Sspon.06G0004400-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MYHPTRGGVRGGRDQFKWDDVKVDKHRENYLGHSVKAPVGRWQKGKDLFWYTRDKKSDTEDALKEEIRRVKEEEEQAMREALGLAPKRSNRPKGNRLDKHEYAELIKRGSTAEDLGAGHAEAAQVQGLGLYKAHRDEGESSSLNLDPPQMEPEQTDLPPAPKQEDSEDDRKGKRRREHDERRGEKERKRDKHDDGKERRRDKHERRHDSEDRSKRHRKDKQKRRHDSDSG >Sspon.06G0003770-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:9914818:9915993:-1 gene:Sspon.06G0003770-3C transcript:Sspon.06G0003770-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEAAAAVPKEEVWEVRPGGMLVQKRSPDAEPLPGGAPVPTIRVKVKFNGVYHEIYINSQASFGELKKLLSEKTGLHPDDQKVVYKDKERDSKAFLDMAGVKDRSKMLMLEDPAAKAKRLLEERRTSKADRAAKAIARVALDVDKLATKVSALEAIVSKGGKVVDADVVTLTEALMTELVKLDSIAAVDGEVKVARRAQEKRVQKYVETLDVVRAKNKAPAAAKASSNNNKARPPHLPPRPPPAAAQNQHQHQQRRQFQPPAPTTATAPVPQTQTASWETFDLLSSVPSTSAAPVTSMAPATTTTPSPRF >Sspon.05G0014200-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:5A:51404657:51405133:-1 gene:Sspon.05G0014200-1A transcript:Sspon.05G0014200-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MWKDRVKGTTEASRMRWSYRAKSQTTSPYLVALSTRLARLVAVPNCTKVMVTPPHGVKVRDDDEGYGKADNEEPPTPSSVASTSLHHGSTPATSCAINSVGAPTTLCAAISFRPLPSLCTTIASWWGISPMIHSPRPTTHGGLDMRERGSKGLSVGVG >Sspon.02G0018090-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:56466243:56469833:1 gene:Sspon.02G0018090-1A transcript:Sspon.02G0018090-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLAFGKLFSRLFAKKEMRILMVGLDAAGKTTILYKLKLGEIVTTIPTIGFNVETVEYKNISFTVWDVGGQDKNTQGLIFVVDSNDRDRVVEARDELHRMLNEDELRDAVLLVFANKQDLPNAMNAAEITDKLGLHSLRQRHWYIQSTCATTGEGLYEGLDWLSSNIASKL >Sspon.04G0003370-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4B:6749893:6750714:-1 gene:Sspon.04G0003370-2B transcript:Sspon.04G0003370-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RLLSALRAAVPLPSASLHRICLSTTASATPSAGFFAEDYLVASCGLTLAQARKASKYINHLSSPVRPDAVRAFLISIGLTEADVVAAVVSYPILLCYKVDETLTPRVARLREIGLSPPQISRLVTVAPEIVASPVKMSRLAFYISFLGSYDRVHSALKNCYYLLRQDLQTVVRPNIAFLRQCGLTDYDIGRHCLLRSRILLAEPQRVKEIAVRAEELGVSRNSVAFKHALVTVYSLNAGRLNAKLSFLKNVIGYSEAELGNLVCKAPTILAHSE >Sspon.01G0020070-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:80347980:80357930:1 gene:Sspon.01G0020070-2B transcript:Sspon.01G0020070-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein TIC110, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G06950) UniProtKB/Swiss-Prot;Acc:Q8LPR9] MELALASAKPSPRAAAGASPPPLLFSPLKPFPLLRFPPRPRRPAAARLRLRLRLRAAAGETTSPGEQVFGARRELTGIQPLVEALPPAARTAAELAVAAAAVAAGYGIGLRAGGESRAVAVAGRRVAGAAAVNAVVPEVAAVGLHNYVAGHDDPTNLDNGEVEAIAKKYGVSTQDEAFKAELCDLYARYVYSVLPPGDEDLKGTEVQAIIKFKRALGLDDVDAANMHMEIGRRIYRERLETSDRDADMEQRRASAFQKLIYVSNLVFGDASAFLLPWKRLFGVTESQIDIAMRENAKSLYSVQLKSIGRGLDIDTLIDVRRAQLAYKLSDEIAAEMFREHVKKLVQENISSALDILKSRIPDSLTQAVEEVNIVIKFNSLLTTLSKHPEADRFARGLGPISLAGEHDHDRRADDLKILYKAYATEVLSDGIVDDEKLSPLNELRNIFGLGKRETEGILSDVKAHIYRKTLAKSFNTELASVPSKAAFLQILCEKLQFDPELASKMHEEIYRQKLQQFVADGELSKEEVEALMAFQVRLCIPQETVDAAHTEICGQLFEKVVKEAIASVDGYNADRREAVRKAAQSLNLKPEAAMAIFSKAVRKLFLSYIQRAKEAGNRIETAKELKKLISFNIVVVSELLADIKGEISPVAETEASSAASESEGEDDEHEWESLDTLRKTRPDKELKEKLRKSSQKEITLKDDIPLRDRAELYETYLMFCITGETTNVSFGTAISTKKDDSEFLMLKQLGDILGLTRKETQDVHIKFAEKAFVQQAEVILADGKLTEAKADQLAKIQKQVGLPTENAQKIIKGITTTKLSSAIEASVARGQIGIQQVRGLREANFQLDNLIAEPQRESIYRKTVEEIFSSGTGDFDEEEMYVKIPADLIISSEKAKSIVQDIAKVRLENSLVQAIALLRQKKRDDVVSSLNDMLACDAAVPASQPLSWPTPGELDDLYAIYLKSIPRPEKLSRLQYLLGISNEKANKIRDAASEGSLPIAAEEKEELAF >Sspon.03G0005840-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3A:16417991:16423828:1 gene:Sspon.03G0005840-1A transcript:Sspon.03G0005840-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTKQTARKSTGRAPRHQLAPRTRQRHTFLGEFGMPTLLWRVLSYVGYPDGMEPRYFWSNERLGEGLLVTVEAVVRPRGDGSEWTGWRFESTGRTPEEAAERAAFGILRDIMDRFPQELAAAIVGVFPRGNPSTDSWQQARGRSLEISAAEAQNSDNPAMSAMFAVMRAFDGVEGSLRRVSSALGQARDDRRQLQRDHDAEIERLTAEMAQLTRQRDQATQRTVVFEGDLNPYDLPGAAEMDLDVDEDPEEPVAPAEEHDDAAVGGNANVSDLDSDHDETVFRPIPGKPTQHGSMQQNMEAALRSIADNTRRGINQGGHEANQYSSFKDFMDTRPPIFKEAAEPLDAEEWINTMEDKFRVLRMTEVLKTEYAAHQLQGPAGIWWKHHHTTFLPTPISLGGSSLTPSVEHTFHQGPSDQPPGLSIACPGARFRPPQRKNQNAQPPQRNQKPFKMALPQAKTGQGSSSGTVAQVKGPCFNCNQPGHFAKYCPYPKKQQTQYQARVHHTTVDDIPEGEPVTAENEEEHAEHLRIVLTRLREHRLYAKFSKCEFWLRTVPFLGHVLSENGISVDPSKVQEVMDWKSPTSVHEVRSFLGLAGYYRRFIPDFSKIAKPMTSLLQKDHKFVWTEECEAAFCTLRKLLTTAPVLAQPDIEKPFDVFCDASKNGLGCVLMQNGRVIAYASRQLRKHEVNYPTHDLELAAVVHALKIWRHYLLGNVCNIFTDHKSLKYIFTQSELNMRQRRWLELIKDYNLNVHYHPGKANVVADALSRKSHAIDVQPLFEEGFNLLHPAVLHNIQISCTLESQIIDGLLQPLSVPDWKWDDISMDFITGLPTTQKGHDSIWVIVDRLTKSAHFLPVKTSFRPPQYAEKYIAEIVRLHGIPKTIVSDRGSQFTSHFWEHLHQGLGTSLIRSTAYHPQTDGQTERVNAVLEDMLRACVLSSRGSWESWLPLAEFAYNNSYQESIKMAPFEALYGRKCRTPLNWVEPGERRFYGIDFVDEAEKKVRVIQQNMQAAQSRQKSYADKRRRPLDFQVGDYVYLKVTPMKKKRFGIKKKLSARYIGPYQILEKKGPVAYKLQLPEEMSSIFPVFHVSQLKKCLRVPEERIQPQGIRIKADLEYREQPVRVLDTKDRVTRNKVVTTYKVQWSHHDESDASWETGEYLQTAYKDFYDKWFVT >Sspon.01G0020840-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:77140675:77143022:1 gene:Sspon.01G0020840-2C transcript:Sspon.01G0020840-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMASTASATAAAVAFGAAAKPRGPSSALCARVAAGGRRRSGVVVRCDAGVEAQVQAVAKAASIAALEQFKISADRYMKERSSIAVIGLSVHTAPVEMREKLAVAEELWPRAIQELTSLNHIEEAAVLSTCNRMEIYVVALSWNRGIREVVDWMSKKSGIPASELREHLFMLRDSDATRHLFEVSAGLDSLVLGEGQILAQVKQVVRSGQNSGGLGKNIDRMMQEGGCGQPFSGKGGCHPRGDERYRDCVQASLRDV >Sspon.05G0014550-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:48769515:48770683:1 gene:Sspon.05G0014550-3C transcript:Sspon.05G0014550-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding HGGDTKPHADRGRLGRHERVWQGRALRLQEKGERRGRRDDQGAVLRHVPHRPALHPERLGHHHVPAGPGARDHGRGDQGGEQRLRLRRRRPRRRGLHRRLLPRLRPLPPVRGELLRQGDAHLQRRLLGRQRHVRRLLPDAGGAQAVRGARPGHAPAGRRGAAAVRGDHRVQPHEAPRHAAVARRQPGRGGLGGLGHVAVKFAKAFGLRVTVISTSPAKEREARERLGADHFVVSTDQKQMQAMARSLDYVIDTVSAKHSLGPILELLKVNGKLVLVAAPDQPVELPSFPLIFGKRTVSGSMTGGMKETQEMLDLCGQHNITCDIELVSTDGINEALTRLARNDVRYRFVIDIAGDSNSKL >Sspon.08G0002370-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:5118450:5123446:-1 gene:Sspon.08G0002370-3C transcript:Sspon.08G0002370-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable inactive purple acid phosphatase 28 [Source:Projected from Arabidopsis thaliana (AT5G57140) UniProtKB/Swiss-Prot;Acc:Q9LU72] MASSHLAALVSLLLPCLLALLLLRLAAVLDPDPDAAVPRVKAAAPLPLRFRHDGAFKILQVADMHFGNGAATRCRDVGPDGGGALCSDLNTTRFLRRVIEAERPDLIAFTGDNIFGGSATDAAESLLRAISPAIEYKVPWAAILGNHDQESTMTREELMTFMSLMDYSVSQVNPPGFLVHGFGNYHIGIHGPFGSELVNTSLLNLYFLDSGDREVVNGIKTYGWIKESQLAWLRATSLELQVRGLWYSGFKGQYQEGVACSSVNSGVLGTLISMGDVKAVFLGHDHLNDFCGNLNGIWFCYGGGFGYHAYGRPHWPRRARIINSKLKKGQWLWMEVESIQTWKLLDDEKLSKIDEQE >Sspon.08G0007810-3D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8D:24027439:24029415:-1 gene:Sspon.08G0007810-3D transcript:Sspon.08G0007810-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cationic amino acid transporter 8, vacuolar [Source:Projected from Arabidopsis thaliana (AT1G17120) UniProtKB/Swiss-Prot;Acc:Q9SHH0] MTSAAAAGEPAARRRYWRWSKADFFPEPSFQSWRAYGGALLSTGPRLRDRVTSRSSEAVEAGTLLAQSENPLRRCLSWVDLAFLGFGSVVGSGVFVLTGQEARFDAGPAIPLAYAAAGFSALLSSFCYAELATEIPSAGGSFSYLRVELGDLAAFIAAGNILLEAVVGAAGLGRSWTSYLAALIGRDSDALRIHVPALADGFNLLDPIAVVVLCTTSALAVSGARLTSTVNSIASVVGIAIIAFVLGAGFSHFEPANLAPSFFPFGAAGVFRAAAVVYWSYTGFDMVATMAEETKNPGRDVPLGLISSIPPEVSILDPPLAITLVYCAMSLALVGMQRYSDIDANAAYSVAFAAAGMKWARYIVALGALKGMTSGLLVGALGQARYTTQIARTHMIPPYFALVHPKTGTPIYATIAVTLGAACVALFSSLDVLASVSSISTLFIFALVAVALLVRRYYVAGTTSPAQARTFLAFLALIVLSSIGLSVYYNSGYAARWPGYVVFGALWAAGTAGLALFAKQQRAPKVYGAPLMPWLPAMSVATNLFLMGSLGSLAYMRFGICTAAMLVYYVLFGVHATYDIVHSEGQTTSAADAATDGVEQRKIMPV >Sspon.06G0033500-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:16531499:16532305:-1 gene:Sspon.06G0033500-1D transcript:Sspon.06G0033500-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VAFINDQPTEDEALRTNLEIHKDAEDPQNYRCNEKTAEQNEQPPKILKNMLAALIPCLRLQRN >Sspon.05G0013580-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:49341824:49349178:-1 gene:Sspon.05G0013580-2B transcript:Sspon.05G0013580-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Receptor homology region, transmembrane domain- and RING domain-containing protein 1 [Source:Projected from Arabidopsis thaliana (AT5G66160) UniProtKB/Swiss-Prot;Acc:Q9M622] MSPRVVLLFLAAALRPCAALVRLHSATFSFTFLDAPARFGPRVGGDGICGSLRAAEPAEACEPIKARGGRRGAGRKAFVLIARGNCSFEEKVRAAQQAGFDAAVVYDDEEKASLYSMVGESEGIHIPAIFVSKMAGETLKKFARGEDDECCINSSMDETAGTVLVMSFVSLVVIISVLASFLFARNCRLLRHGVDNHPTFVKKHVVEKLPCSAYCAPCSSEDNFQEACAICLEDYNNGDMLRHLPCKHEFHKTCIDSWLTKWGTFCPICKLEVTSGE >Sspon.02G0033580-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:8737014:8739422:1 gene:Sspon.02G0033580-2C transcript:Sspon.02G0033580-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGGWAWATETAYQYQPKLAISGSPAHEKRTKQAMTAQSIQRTAFTFLRQLSLKKIIKKNFYASRPASVCVASSPTLPAAVVDAMGRGRNRKPRNFATFRLCPRPGAADASDRVFVRVDDNPYSVPGFADDEAPSSSTAVGGDGGEPTPSSSTSTDDGAAALPEHVRREILELGLPDDGYDYLAHLRELRPSLSSTGGGGSSAVFLPSRRPARFGPRVDVKAYDFTCTRLGSGEVEVVAARRTEETIDLDVARLLDGSDSPAVESGDEDLEEDFVTLANEPDEEQENEEENVAGGEEKALQLPHEHFDSLALEDADSGDDCHVRDAKHEVSQEVTNELKSTHSENFDAEKKCRAPAPQYVAHGILESKEQIDVSSNAISKCVGYAEIYEVSGEEQVIIVTDNFVSQATMKEHVIIVTDNFVS >Sspon.04G0030950-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:4895319:4900352:1 gene:Sspon.04G0030950-2D transcript:Sspon.04G0030950-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKFSREYEASIIPEWKATFVDYKGLKKLVKRIKIARRDAAPLLLAGREAAGAGGGGRSSDGGGSNASYGFSVLDPVGDDDGDSDSLESDSGELVRATDKHEQEFLEKADEELEKVNKFYAAQEADMLARGDALIEQLRILTDVKRILADHAAAPRRGRARLARTASSPPSVNGSNSGRHLLSSPFVVASPQSMSDGSVELQQARVAEGAAVAEEVMAALERNGVSFVGGGLGKARKDGSGKQLMGRAALLQLPATVRIDIPPTSPGRAALKVWEELVNVLRKDGADPAAAFVHRKKVQHAEKNIRDAFLALYRGLELLKKFSSLNVKAFTKILKKFVKVSEQQRKTDLFSEKVKRSPFSSSDKAFRGQRQEGGHEVPQATAAQEHPHDHLPLLAHVAGIFSSTGNTAYMEIVYHVFRQFLNPTLQALGCTYNFTSKSNIADGLCFCSMFALISLHVFLYGCNLFMWKSTRINHNFIFDFSSSTALTHRDAFLMSASIMCTVVAALVINLFLRNAGPTYANALPGALLLLSAGVLFCPFNIFYRSTRYCFMRVMRNIILSPFYKVLMADFFMADQLTSQIPLLRHLEFTGCYFMAGTFTTHAYGSCTSSSQYKNLAYVLSFLPYYWRAMQCLRRYLEEGHDLNQLANAGKYVSAMVAAAVRFKYAATPTPFWMWMVIISSTGATIYQLYWDFVMDWGFLNPKSKNFWLRDQLILKNKSVYYASMMLNLVLRLAWAESVMKLHLGMVESRLLDFSLASLEIIRRGHWNFYRLENEHLTNAGKFRAVKTVPLPFRELETD >Sspon.02G0023330-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:71804092:71807921:1 gene:Sspon.02G0023330-4D transcript:Sspon.02G0023330-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDKVGRIFVGGLSWDTTERTLERTFGQYGKVIEAQVVVERETGRSRGFGFVTFSEPRAVDAAIRGMHNGELDGRNISVNKAQPRNSDDGYGYGGGGGGYSSGARGGYRSGGDVVPAASDDCFKCGRPGHWARECPYSDGSGRTGRYSPGSRYGGGTGGRGDRFGGSDRFARYDDDRYDGGRYVDSRDTYIGAGRDRYASDRYAPAADRYSGDRYSGADRYASSGFARERSYERDGGRSNGGYYRDDPRGTGGYGRGGSRVGGGGGGGGGPARFGGSYRDRPAPYERPSRGGAARAYDDRRH >Sspon.01G0049300-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:95537041:95542501:1 gene:Sspon.01G0049300-2P transcript:Sspon.01G0049300-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Meiotic recombination protein SPO11-1 [Source:Projected from Arabidopsis thaliana (AT3G13170) UniProtKB/Swiss-Prot;Acc:Q9M4A2] MFTKVCHIPGRALVRDCSACSYDVPVGTDVLSLLHKDYHTSRLSMNTSKSTYVLLRVLLVVQQLLQQNKHCSKRDIYYMYPSIFVEVAVVDRAINDICILFKCSRHNLNVVPVVKGLVMGWIRFVEGEKKVYCITNVNAAFSIPVDIEAIKDVVSVAHYILVVEKETVFQRLANDKFCERNRCIVITVSSNSHPVLSILIMFLSSFMILHCQLREEATQIFQQEGMCSLFIKFLLHISQPLSQFYILFFHPRFLRYLVEQLHLPAYCLVDSDPYGFDILATYKFGSLQLAHDANLLRVPDIRWLGVFTSDFEEYCLPDCCLLHLSPEDVPYFLVVCQMPTCEIMFSAAQIGGKLKVFLLDAIYTGKPQNGGQSWKQCCKRISCLKIHGCYPPGEDPFGQHFLPSLGVELSKVQEELSHDDSTRGYPKYCKNAPQFGREAFIPITQGSDRGTDTVKGINKAQLLNLPQKYSPSSNNHRQKQSNSVEF >Sspon.02G0018220-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:60369420:60369907:-1 gene:Sspon.02G0018220-2B transcript:Sspon.02G0018220-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTNTTTASQQKPAEETVTKTVQTVEVRSSAGQRDEEGVLKPVKVVHEIPAKEAKDNSGVKQD >Sspon.06G0028870-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6C:11778201:11778775:-1 gene:Sspon.06G0028870-1C transcript:Sspon.06G0028870-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVESEAKQHNALHTRRESFWTDGLSELNSSVFRFQTVKAQLTALQSPESRAFLSKKKPPAAAMERRQQQEAARRTLPRRGQIKARIFASLFRCVVPEAPACKEGGGKNKDGSSNRRRVSPGG >Sspon.08G0011800-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8B:47095277:47096887:1 gene:Sspon.08G0011800-2B transcript:Sspon.08G0011800-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSAGATKGERDLPEAEKRRPTGLGTVTAVFLLSLPLLILFFLFGDRAVASIAGDSLVWQRAGVQSTCSFRSLGYTHLMHGNGPALTVPFVCIAGSGNASSSPVNAHHDLLLGGLLSPDFDAATCLSRYEASKRWKTPPPFPVSPYLVQKLRQYESNHRRCGPGTANYREAMAQLMSGRNGDRAECRYVVWFPIQGLGNRMLSVVSTFLYALLTGRVLLVHEPPEVEGLFCEPFPGTSWILPPDFPYKDGFWVGSNDSYLRMLENNVVRYDGGGGGGDASALPPYVYFHLEQIQLRLQNHTFCEEDHRVLDRFNWMVLRSDSYFAVALFLMPMYRAELDLMFPAKASVFHHLGRYLLHPGNRAWGIVERFYDGYLAGADERLGIQVRIAPFLPLTFEIMYEQITRCTREHDLLPQVTDTSEPGARPSNGTAAKVKAVLVVSLKPEYYDKLHSVYYTNATATGEVVTVYQPSHDQDQHTEARAHNERALAEIFLLSYSDRLVTTGFSTFGYVAHSLAGQRPWLLTLPDRTTQRAAVAC >Sspon.02G0032090-3D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2D:88882860:88884865:-1 gene:Sspon.02G0032090-3D transcript:Sspon.02G0032090-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAADDQRPQLEMPQHDKVSTFAAAEEMATTTTTTKTKQLSDESDAGEPEENYRGWKSMPYVIGNETCEKLGTIGTTANLLVYLTTVYGMTGASATTLLNLWSGTVSMAPLLGAFLSDSYLGRYTTIAFASIASFLGMIILTLTSAVPSLHPAAHPKPTAGPSTLQMAVLLASFALLAVGAGGIRPCNLAFGADQFDPRTPAGRRGINSFFNWYYFTFTIAMMISATVIIYLQSNVNWALGLAVPATLMGLSCVLFFMGTRLYVRVRPEGSPFTSFVQVLVAAARKRRLPAPAPADLYDPPHRSSLVSKIAYTDQFLCLDKAAVRTPDDGDDVDNNPINPWRLCTLQQVEEVKCLARLLPVWSSGIVYYIVLTNLGNYNVLQAMQTDRHVGRGGFQIPAGSFVVFNMLALTLWLPFYDGVLVPAMQRLTKREGGITQLQRIGVGIVLSIVTMLVAAAVERHRRRVGDSTSCFLLVPQQMLAGLSEGFAIIGQVDFYYKQFPENMRSVAGALLSLGFAIASYASGLMVSVVHRTTGGRDGRPDWLAQDLNQGRVDLYYLLIAAMAAVNLVYYIVCARWYRFKKSAAADADVELEGKAAAPP >Sspon.03G0034790-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3B:77020514:77026888:-1 gene:Sspon.03G0034790-1B transcript:Sspon.03G0034790-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIQGSYLNFKTLPLVWINQRPNVLPGDINRPRRCRLPGRDPNLPLPPNRSPSPRRGIHSARGPPLPPRPTMSTTAASRRSSSSATAKRPAIAEGAGGPKASAAQAKKRVALGNITNVAARGGRAAVGGSLGNVAPPTTTAVCTTLRLYPSSRDREAELMGGPAGRSPGMRRDVRHCGLDPSAFQTGVSLPSLPADHAAALEFGVNKKGKDTQARGFGLSKAVAGGGGLSQTSPESPAPRPRVHPSDTVYWLIEILDSGHGQKARPSMSFLKGSSLKLNSAVPLKKPSFASARSVSSIRGTAVKSASIKPAPPVSRHGSAIQKHNVLPPKVPTIADVPSRAPALVSCTGLVSPGLSGDFVSIDETMSTCDSMKSPDFEYIDNQDSSLLASLQRRTNEHLRILEDRDVEENKWKKNAIAPMKIDRICDVDNEHEDPQLCATLASDIYMHLREAETKKRPSTDFMETIQKDINPSMRAILIDWLVEVAEEYRLVPDTLYLTVNYIDRYLSGDLLVLRKRVTRYCYINSTLAHYTQYKPSELSDCVKALHRLCSVGSGTNLPAIREKYSQHKDPADHRMLDVARNFRHGRGGATARTPELELKASTVTEARYCARTRPNLSAPSLTRGGRSPPLLDATTHLPPRRASSARRPGSPSPLAVASALRPPADLAAMPPPASASPHRRLPTPQLPSGRGGAARPVGQAPNRPAVAVHVGVRRPAGQQANSSS >Sspon.08G0022470-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:49247598:49249089:1 gene:Sspon.08G0022470-1B transcript:Sspon.08G0022470-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSTAEEKKTAAEIVAALDLQRHPDGGFYLETFRDPSIVLPTSALPPRYKVDRAVSSAIYFLLPAGEIARLHRIPCAETWHYYLGEPLTVFEVHDDGQIKMTVVGPDLRQGQRPQYTVPPNIWFGAFLTCDIESFTEDGSVFVKTPGRDPELRYSFVGVTCAPAFQFEDNELATREDIKALAPKAEAFINYL >Sspon.01G0031750-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:105884156:105893988:-1 gene:Sspon.01G0031750-2B transcript:Sspon.01G0031750-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLPGSAPAEAAELVPTLSSLEPVYGAGAQLDEARLRFARLGDRFHAVYGARPALFSRSPGRVNLIGEHIDYEGYSVLPMAIRQDMIVAIRRADGGQVRVANVDDKYPLCVYPADPDKEIDIKNHKWGHYFMCGYKGVYEYCRSKGIDLGKPVALDVVVDGTVPQGSGLSSSAAFVCSATIAIMGILEKNFPKAISIMAKPGFAELIDFNPIHATDVQLPPGGTFVIAHCLAESKKAETAATNYNNRVVECRLAAIVLAIKLGMDTKKAVSSVTTLSDVEGLCKLLHEDPYTAEEIEKITGESLTSVFQSSQTSLDVIKAAKHYKLFQRATHVYSEARRVYAFRDTVSSKLSEEDKLKKLGDLMNESHYSCSVLYECSCPELEELVKVCRDNGALGARLTGAGWGGCAVALVKEPIEMYYKSRIDRGVIQQGDLGLYVFASKPSSGAAILTL >Sspon.07G0005330-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:12458752:12464060:-1 gene:Sspon.07G0005330-2B transcript:Sspon.07G0005330-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGASEASPPCARCGEPAQLHTQDCFRAAWSSHKSVHPKPGALASQLSPEGWKYCVRKGRGRALELPRFDWTGPLRPYPISKMRVVPDEIEKPDWALDGIPKIEPDSDLQKRVEIKTPELIERMRETCRIAREVLDAAARVIKPGITTDEIDRVVHEETIARGGYPSPLNYHFFPKSCCTSRRLKVLFTLLSLVLRSVNEVICHGIPDARKLEDGDIVNVDVTVYYKGVHGDLNETYFVGNVDEASKQLVRCTFECLEKAIAIVKPGVRFREVGEVINRHASMSGLSVVKSICGHGIGELFHCAPNIPHYSRNKAVGIMKAGQTFTIEPMINAGKFMYYIALSNPISYDAVQKCFQTPERVWNDRLWPDDWTAVTADGKRSAQFEHTLLVTETGCEVLTARLPSSPDVFPCLKKP >Sspon.06G0014310-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:87796589:87797543:1 gene:Sspon.06G0014310-3C transcript:Sspon.06G0014310-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSEFDERKRSCRKRLDGHNRRRRKQQHDLMNLGGFFPYHQVNQFEVYQRTIPTVGQNSDAMHLVGRQQPFSISFARTPNQFSFPQGGGSLLHAARPGLLAEGSSHTGSSTCNNAPSGTLGPECALSLLSSSLHCPSAAGNPTTSQPQVASSLSRIAAVSQAATTAMTTAFAAGVGHHVFVPDAMFEDPSQALPFSWQ >Sspon.03G0001170-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:9207445:9213593:1 gene:Sspon.03G0001170-1P transcript:Sspon.03G0001170-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding METLMVDRVHSSLRLFMHRNAVFLCERLCAQFPSETNVQLLATCYLHNNQPYAAYHILKGKKLPESRYLFATSCFRMNLLREAEETLCPVNEPNMECGGIIAAKSKWSNRTLPPWSDLQVHRQNFSCS >Sspon.06G0008490-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:33543148:33547687:1 gene:Sspon.06G0008490-4D transcript:Sspon.06G0008490-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPAPAGAASAAASPSPFAELVKGPSGLEKIVLRGARNCCAEAIFKPPKAIRGGIPVCFPQFGTHGNLEQHGFARNRFWTIDNNPPPLPVNPAIKAFVDLILKPSEEDLKIWPHSEVRVEGLETMDYLDNLKAKERFTEQGDAIVFESEVDKVYLAAPSKIAIIDHEKKRTFVVTKEGLPDAVVWNPWDKKAKAMQDFGDAEYKSMLCVEPAAVERPITLKPGEEWKGRLVLSAVPSSYCSGQLDPLKVLQG >Sspon.06G0001040-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:1030451:1033620:1 gene:Sspon.06G0001040-4D transcript:Sspon.06G0001040-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPSDAAAAVSAPAPTTAAASSSGGFTFKLHPLVIVNVSDHHTRVKAQAACSGDSSSSSSGAGAGAGQPPRVFGCVIGVQRGRTVEIFNSFELVLDPVSGTLDRAFLEKKQELYKKVFPDFYVLGWYSTGSDVHDTDMQIHKALMDVNESPVYLLLNPTINLSQKDLPVTIYESELHVIDGSPQLIFVRSNYTIETVEAERISVDHVAHLKPSDGGSAATQLAAHLTGIHSAIKMLNSRVRVIHQYLVAMQKGDIPVDNSLLRQVSSLVRRLPTMESQKFQDDFLMEYNDTLLMTYLAMFTNCSSTMNELVEKINTSYERPTTRRGGRGAFM >Sspon.07G0030140-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:2295704:2296116:1 gene:Sspon.07G0030140-1C transcript:Sspon.07G0030140-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTCRSTFGDLLAALTPVSVTAVNDHSKGTTSYGFGLRVEDLRQASYQRADPNYVMLTPSKEHLAPGVLREYGKRPMFQTQIDSGNYDHLPTELKPLGRIF >Sspon.03G0012250-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:43899364:43901781:-1 gene:Sspon.03G0012250-2B transcript:Sspon.03G0012250-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLAVEGGGFFSSSASGYSHGLALLLLGRKAEEKPVKVSPWNQYRLVDRETEQVYHLPSAAKDQAPGKCAPFVCFGCTANGLEVASPPKAASSSAPGGIGTSQEEASCSANKTLTTSGSISGSERRGCLKSNSKRDSLEHRIVVSEGEEPRESVEEVQTLRSSVERRKVQWTDTCGKELFEIREFETSDEGLSDDDAENEGFRKCECVIQ >Sspon.06G0000930-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:1760656:1761219:-1 gene:Sspon.06G0000930-2B transcript:Sspon.06G0000930-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to Caffeoyl-CoA 3-O-methyltransferase (Fragment) [Source: Projected from Oryza sativa (Os08g0498400)] GLMSSPPDSAQLLAMLLKLTGARNTIEVGVFTGYSVLATALAIPADGRVVAIDVSREYFDLGLPVLSRAGVAHKVDFREGPASEHLDALLADDANHGAFDFAFVDADKESYGGYHERLLRLVRVGGVLAYDNTLWGGSVALPDDAPLTDADREVRDQIRTFNAVVAADARVEAVQLPVADGITLCRRI >Sspon.04G0008960-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:26701791:26712265:1 gene:Sspon.04G0008960-3D transcript:Sspon.04G0008960-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIGISALILGLWPVHVIWTYYCIIRTKMVGPVVKLLLLIAVTVVLVLWLIIGVVGSILAGLAYGFLAPVMATFDAVGEGKEKTLVHCFLDGTWSTITGSCTVVRDVKDMLVHSYFSIMDEVRLHAPPDGKPYEIRLLQIPGAIFAAACGLVVDAIMFTLIALYKFPVMLCKGWKRLIEDLVGREGPFLETACVPFAGLAILLWPFAVIGAFLASVICSVPFGAYAAVVVYQESSLFLGLSYVISSVSIFDEYTNDVLDMAPGSCFPRYKWFILYQNVVLELFKYRKDETSSHGGSLSRPASSKDKHDGKKAPQRVTSFKSSFDEFNPFKLLDHLFLECKHRGKDLVAEGVITPKDIEGTKAGKASTGVLNVGLPAYVILKALLRSAKANSDGLILNDGSEITSDNRPKSKIFEWFFDPLMVIKDQIKAENFTEEEEAYLEKQVLLISDPKRIKENLIRLPPLSERKQAEIEAFARRLQGITKSISRYPTAKRRFDALVKALSEELARTMGGNQSANGSQIRKMRSGIVRMLSQRSLGKATGIRGDDREAQLTSDAFTS >Sspon.02G0045390-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:90340990:90344949:1 gene:Sspon.02G0045390-3D transcript:Sspon.02G0045390-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGGGKKEKGEGLGRALIRQRNKAAAAAKERGEALAFGRRRAMPLESVIDVSDIDAVLQRAAEADQLAEAASVSSDSDLVMDLDATGETEEERRRLRKEQEALHASSLRVPRRPPWHSQMTVEELDANERRAFLVWRRNLARLMINLLCRLEENDKLVLTPFEKNIDIWRQLWRVLERSDLLVMVVDARDPLFYRCPDLEAYAKEIDEHKRTMLLVNKADLLPLNIRKRWADYFKAHDILYVFWSAKAATATLEGKKLSGYSEEESDLDTKIYGRDELLMKLQAEAESIVAQRRTSTSVDDQEASSSDSVSSVSKHVVVGFVGYPNVGKSSTINALVGEKRTGVTHTPGKTKHFQTLIISEELTLCDCPGLVFPSFSSSRHEMVACGVLPIDRMTKHREAIQVVADRVPRDILEQIYKITLPKPKPYEPQSRPATAAELLRAYCASRGHVSHAGLPDETRAARQILKDYIDGKIPHYELPPGVTDSDGS >Sspon.05G0019370-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:83233828:83236682:-1 gene:Sspon.05G0019370-1A transcript:Sspon.05G0019370-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RTMAWPWLLPFGLFFVASVAQAAVVEHTFNVGNLSISQLCQPARIITAVNGQLPGPTLEAREGDMVVVHLVNESPYNMTIHWHGVFQRGTPWADGPAMVTQCPVKPGANYTYRFNVTDQEGTLWWHAHISFLRATVYGALVIRPRGGAGAYPFSPKPHREETVILGEWWNANVYDLQQLVFLTGDTTRMPPADAYTINGKPGDLYNCSAANQTYKFQVRSNETYLLRIINAALNTPMFFKVANHTFTVVGADAAYTTPYETDVVVIAPGQTVDALMVAGAAVGRYYMAASPYDSAIPQGPLFSMTNGTAIVEYAGSAGEEQPQLPPRTEYNDTDTAFRFLSNLTALVLPGKPTVPLSVDTRMFVTVGLGNGDCQPKQTLCNTTGTRPPIFSASMNNASFLLPDAVSMLQAHYNKSAGVYTRDFPDRPPVIFDYTADDNDTLKYTTKSTKVRTLRYNETVEMVLQNTRLIAKESHPMHLHGFNFFVLAQGFGNYDEAAAAPGFNLVNPQERNTVAVPTGGWAVIRFVANNPGMWFMHCHFDAHLDLGLAMVFEVQDGPTAETSVPPPPLDLPQC >Sspon.05G0030340-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:84368227:84369683:1 gene:Sspon.05G0030340-2C transcript:Sspon.05G0030340-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPASASACVRAGPPPPRRALSTSTTGTATAAAFLLRRHQRLPPLHLHGRGSSDLRCRRRLLTARGERPPPDEEEDDHEEQAAGGGSFDAAVALFNRGEYHACHDVVEELWYGAEDPARTLLHGVLQCAVGFHHLFNQNHRGAMMELGEGLCKLRKLQLDGDGGGPFSRFRDEVAAVLQFLYRTQKELAACTDEMCLTMDGSASSYQLLGNFAAGQQLYRLELEAEEDGACSSILFSVPKGDGASQGAHHPQRVKLPTLRATEQHLAALQCAYEYT >Sspon.01G0035070-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:8821183:8824344:1 gene:Sspon.01G0035070-2C transcript:Sspon.01G0035070-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVLEGLMSALAEKLRSLLGREYALQSGVRGDIDFLQSELQRIHAFLVKDRAREVQELAYDVEDAVDEFTHRVGPAPPGIPAKVVHLVSTFMARRQIAEQASALRARALEVSERHRRYDEYDPLPCSPVAARSVCWDHHLVGVEGPRDEIIAKLVDAGAKDASGWRRVASIVGFAGVGKTTLAMAVYRGLEGRFPCRAFVTVSRPFDIKRVLRDILQQVIATGSSPMVDTDLVSQLVGKVRENLQGKRYLIVIDDLWETSAWDNLSSVLPENNMDSIIITTTRNESVANTCRSSYHLGNFVYSVASMNDSDSKALFFADGFDLSGLDMSHARSLTIYGNIGSINSISEFRFLRMLDFECCEGVDNRHLKNIGHLFLLKYLSLKSTWISELPMQIGDLKCLETLDLTQTNIRVLPKEVTRLQKLVHLLAGVAEQPRGVGNMMSLQTLCIRAARSREAMEELLRLINLRKLDLSYVHPNYERLDTRLPLVISKLGKCKLQSLHLSLLGDFMGLFLELQSSLSAPPDTLQSLKIKGGYGFLRVPKWISSLTHLADLELTVAAMDERDLEILTELPRLIRFRLTVKEPSAQVCKGLSDPGIEFLKEAFKNAVFI >Sspon.07G0007470-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:20043368:20045627:-1 gene:Sspon.07G0007470-2P transcript:Sspon.07G0007470-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSAGDRFLRQLSASNGDEGVCGGLQQEYGGAGAGRRGSRRWSKKRAAAARSYGAGAGGSGYGVKQQAEAASAARKRVMVVVDETSGSKHAMMWALTHVANKGDFLTLLHVLPHSGSGRGEEASSLANSLGTLCKASRPEVEVEALVIQGPKLGTVLSQVKKLEASVLEFVEQCINQAECLTLAVRKQSKGVGGYLVSTRWQKNFWLLA >Sspon.06G0030270-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:44459657:44460679:1 gene:Sspon.06G0030270-1C transcript:Sspon.06G0030270-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIKGRRGPGSCGQMKKKAAWTWSLYHARGTNYDGAGEGLVSSRGFSSSGFALLLSFVGVLAGASLIFVGVRIADDPTAPAGHPGLLDAGLRFLRRNLALVVLLLASAAASATSAVAADREAAPVLCLCAFSLLLIGLCVLNVGVLLLGEQEH >Sspon.06G0003570-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6A:10819678:10825302:1 gene:Sspon.06G0003570-1A transcript:Sspon.06G0003570-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESLILPWVQGLVGKAADALVQRVTSMWGVENYRRKLELQLVYVRSLLADAEEKAEAKSEAGRAVKAWMKKLKAVAYKADDVLDDFRYEALRREAQAGESTSRKVLYFSRDRLVFHHKASRDLKDILDEIDELVVEMNTFGLLQRAEVPQVLYRQTYSAMDESMEIFGRDDDKEVVVNKLLNQQNQQYYVQVLPIIGMGGLGKTTLAKMVYNDPRVQNHFDLKMWYCVSDNFEATAIVRSVIKLATDGWCDLTNNIELLRRKLQEVIGQKRFLLVLDDVWNEEQSKWEDDLKPLLCSANGGSGSMIVVTSRSQQVASIMGTLAPHELKCLGEDDSWTLFSNKAFSNGLQEQQEFFTVGRRIVNKCKGLPLALKAMGVFPKDYVIEKDMLTQLWLANGFFHEEGATKNLLAQKAEFVFNELVWRSFLEDVKERQVFYSGRLNDCAKLQYLPEGMAVLRNLEHLYLLGCGSLKRMPPKLSLLHNLRTLTTFIVDTEDGCGIEELKDLRQLGNRLELYNLCKVKTGSESNIHDKQNLSELLLHWGRNLSYDRASEEVSNAEQVLESLAPHGELKVLELHRYVGSEISQWMRDPRMFRCLKKLHISCCKRCKELPMVWLSSSLEELFLSSMDSMTTLCKNVDAEDVGYNNHLQIFPKLNKMEFWRLPELERWAENSAGEPIRSVVFPLLKVLIINDCPKLTSLPECPFLTRLDCCTSWLEGVAPMCMPLGFWPSLVHLGVGVLADVVMPLQNQQGQSQSPLDTLRSLKIHGDDAFVSIFNQSTLQLGLKNCFPFLERLEFDSCSNIVCWPVEELRCLPCLRSLYFLGCNKLEGNGSSYEEILPLPQLETLQIASCDSLLEIPMLPPSLEEMGINMCGSLLALPSNLGSLVKLTNLYLFRCDGLKALPNGTDGLTSLEQLTILECPGIEKFPHVLLQRLPALKYLHIEGCPDLQRRCREGGEYFDSVSPIPRKTILSVESELQKPTGVQCAVCFLNPYSDMRLLEIPKLPASLEEMKISYGISLVALPLNLGSLAKLRMLGMWGCDGRAAAERTREEVGIRTGKRWRRPGMAGRMCAGARSRGCGDGRRPQAAPDPASAQGEAEMVMPVVERGEGRSGGNSGGRGSSGPSMA >Sspon.07G0006850-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7C:13543482:13545089:-1 gene:Sspon.07G0006850-2C transcript:Sspon.07G0006850-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAYATTLYVAAQEALASSLQPQVVAAVFFTSAACTVALAALLALLRLRPPWWCACAVCEAYVTASWTAEFDNLCDWYAHLLRRAPGRTVHVHVLGNVVTANPPTVDHMLRGRFDNYPKGAPFSAILADFLGRGIFNVDGDSWLFQRKLAAAELASPALRCFAAGVVASELRCRLIPLLHSAAGGDGDGDSGERLLDLQDVFRRFAFDCICRISFGLDPGCLELSLPMSAFADAFDTASMLSARRATAPMHVFWKLKRLLNVGEERELRDAIRLVDTLAAEVIRQRRKLGTAASGDDLLSRFMGSINDDKYLRDIVVSFMLAGRDTVASALTAFFLLLSDHPDVATAIRDEVSRVAGDRGDGHAGAADSASSEKLKDMHYVHAALHECMRLFPPVQFDSKFAAGDDMLPDGTFVARGTRVTYHAYAMGRMESVWGPDCAEFRPDRWLHDGRFVPESPYRYPVFQGGVRVCIGRELAIMEMKSVIVSVVQSFDIEAVGRSSHRPKFAPGLTATFAGGVPVRVRRRARASELRPPPS >Sspon.03G0035760-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:80752054:80753549:1 gene:Sspon.03G0035760-2C transcript:Sspon.03G0035760-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNIMDSEVVQVVELSFVVPNEPTPREGLWLSPLDLIIANRGHTPTVYLYSSSNVATADDFFDVARLKEAMAKALVDFYPLAGRLGVDDDGRAEITCNGEGALFVVARADFSVDDIKDGFKPSPELRRLFVPRIEPASVILAIQVTFLKCGGVVLGTALHHAAIDASSAFHFFQTWSAISKHGDRATVELPFHDRTLLRARSPPNVHPDALSRFYPKLTFSDPSGPLAFEVFAISKDQVASLKSLCGGTSTFCAMSALVWQCALIARRLPPDSETCLTFPANARHRVRPPLPNRYFGNALVRLGVTGEVRDIAAEALASVAGRIKDAIDRMDDELVRSAVDYCEMAEIDSRPVKGTLLETDLQITSWLGMPMYDADFGWGKPGVMSRAESIRGGFVYLMSDGVADDAGSSGVRMLMCMEAANMKELERLIYEKL >Sspon.02G0029480-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:107163495:107165567:-1 gene:Sspon.02G0029480-1A transcript:Sspon.02G0029480-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding KQLQLKALAEEQLAVVKGLEKV >Sspon.02G0020980-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:68604379:68607363:-1 gene:Sspon.02G0020980-2B transcript:Sspon.02G0020980-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGQGTKHRILMVSDFFFPNFGGVESHIYYLSQCLLKLGHKVVVMTHAYGNRSGVRYVTNGLKVYYVPWRPFLMQNTLPTLFLTFPIVRTIIIREKISVVHGHQAFSTLCHEALMHARTMGYKVVFTDHSLYGFADAGSIHMNKVLQFTLADIDQAICVSHTSKENTVLRSGISPEKVFMVPNAVDTAMFTPSPKRLSCDEIVIVVISRLVYRKGADLLVEVIPDVCRLFPKVRFIVGGDGPKRVRLEEMREKFSLQDRVEMLGAVPHAQVRSVLISGHIFLNSSLTEAFCIAILEAASCGLLTVSTRVGGVPEVLPDDMVVLAEPAPGDMVRAVKKAIDMLPGIDPQIMHLRMKKLYSWDDVAKRTEIVYDRAMQFPTTNLLDRLPRYLTCGSWAGKLFCLVMIINYLLWRLLEFLQPVEGIEEVPDIGPLHAHLGSKNDFCEAPEK >Sspon.03G0026110-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:2361204:2366741:-1 gene:Sspon.03G0026110-3D transcript:Sspon.03G0026110-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGAGAGGPGQFGDTTFTKVFVGGLAWETHKEGMRAYFQQFGDILEAVVITDKNTGRSKGYGFVTFREPEAALRACIDPYPVIDGRRANCNLAYLGVNKSKTALLPPYLQPYAHVYGGGSNMRATMKSFQTAGAGGASLMSFVPAADHGIQQGIPTYSLYAGYSPYFSDYGYPLSYYQPYAGLQQEAQLQQYAILGGGGAAAATAPAGLTMAAAANPSTLYPYFQYAPVSAVAAGGYSMVQYPQLYQYAAAAAVGATTGATTLAAVAGGLQQYGGAVAFTPNSIAQAGMTMSLTSPTLAAPTAQQYQYGRLVPSPLAAAAPDQKPSLA >Sspon.08G0024390-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8B:63609590:63610718:-1 gene:Sspon.08G0024390-1B transcript:Sspon.08G0024390-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VYPHGSIDTLPFATMGSGSLAAMSVFESKFKEGLTREEGIELVSDAIRAGIFNDLGSGSNVDVCVITKGKTEYLRNHQLPNPRTYASSKGFSFTKGQTEVLSTKITPLKQKAEVAEGGDAMEE >Sspon.04G0009900-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4A:29525566:29533696:1 gene:Sspon.04G0009900-1A transcript:Sspon.04G0009900-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQIDTFIGNSLRSLSIRDRGDSLLALARGGRRRLSESVNNQQEPQDLVGTDDGQQNPWRGVLNSNADQSVGRRLEDVLHEVAGDVVRLMVHPDGHALVEALVQYLTDVDMARVLKILDAASPAQIVAVARSHQGSNILQTLIGRIAGNPRHAEFFTRTLARVGERGVLSLMEDMDGSRLIMRCLDTFSAPHNQFITVAMAMSLHRVCRDRHGCHVMNKCIDMAGVDAQMWSSLVHAVCWDGFALAEHAYGYTSDV >Sspon.04G0030680-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:2120930:2126428:-1 gene:Sspon.04G0030680-1C transcript:Sspon.04G0030680-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein LUTEIN DEFICIENT 5, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G31800) UniProtKB/Swiss-Prot;Acc:Q93VK5] MSLHHCRAATMPATGLSSAGAAPFRLPASGCAHQGHLRLPPPAAAACRRRLLLRCAASSGGGDGAGGSGGSDPALEEQRRRQAELAARIASGEFTVQGPGSAAAPAPLISLRWIAPLVGRLSKLGPPGELAAALLTRVAGAGAARGGPEIPQALGSINAVVGQAFFMPLYDLFLTYGGIFRLNFGPKSFLIVSDPAIAKHILRDNSKAYSKGILAEILEFVMGKGLIPADGEIWRVRRRAIVPALHQKYVTAMIGLFGEASHRLCEKLDKAAADGEDVEMESLFSRLTLDVIGKAVFNYDFDSLSYDNGIVEAVYVTLREAEMRSTSPIPTWEIPIWKDISPRQKKVNEALKLINSTLDELIAICKRLVEQEDLQFHEEYMNEQDPSILHFLLASGDDVSSKQLRDDLMTMLIAGHETSAAVLTWTFYLLSKYPKVMAKLQDEVDSVLGDGLPTIEDVKKLKYTTRVINESLRLYPQPPVLIRRSLEDDMLGGYPIGRGEDIFISVWNLHHCPKHWDDAEVFNPERWPLDGPNPNEINQNFSYLPFGGGPRKCVGDMFATFENVVATAMLVKRFDFQMAPGAPPVDMTTGATIHTTEGLKMTVTRRTRAPVIPNLEMKIISDSQEPTLSTPSMVVSAASVASGEDQGGVS >Sspon.05G0015140-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:57037121:57038306:1 gene:Sspon.05G0015140-2B transcript:Sspon.05G0015140-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMTVGTRSDGEHAALFVPTARCHANTQSYRSSRADGSAATGAAKPGLLLLRRTDATFTAALRARFRVLDFYASGAPLRAFLTAAAAEPDPPRAALVVAGGAILIDAAFLDAVPSLGCVVTTGAGVDHVDLAQCARRGVVVAGAGEIFSVDVADHAVGLLIGVLRRAAAADRYVRAGLWPAQGDYPLTTKRVGIIGLGSIGSQIAKRLQAFGCAISYHSRAPKASVPYRYFPDVHALAADSDALIVACALNDATRRIVGRRVLDALGPDGVLVNIARGGNVDEQELVAALQEGRIAGAGLDVFQNEPHVPPELRDMDNVVLTAHEAAFTEESRADLQELMIGNLEAFFSGKPLLTPVLLP >Sspon.06G0024470-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:55196425:55200008:1 gene:Sspon.06G0024470-1P transcript:Sspon.06G0024470-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GIRTIIVREKISVVHGHQAFSTLCHEALVHARTMGYKVVFTDHSLYGFADAGSIHMNKVLQFTLADIDQAICVSHTSKENTVLRSGISPEQVRFIVGGDGPKRVRLEEMREKFSLQDRVEMLGAVPHAQVLSILISGHIFLNSSLTEAFCIAILEAASCGLLKVSTRVGGVPQVLPDDMIVLAEPAPGDQD >Sspon.07G0027970-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:83088225:83094765:-1 gene:Sspon.07G0027970-2D transcript:Sspon.07G0027970-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPRKRGVDAGEVQDLHNKAPRAAATAPAQDKNKEVAEMAGRAPEIDEDLHSRQLAVYGRETMKRLFGSNVLVSGLQGLGAEIAKNLVLAGVKSVTLHDDGKVELWDLSSNFFLSEKDVGQNRAQACVPKLQELNNAVIISTITGDLTKEQLSNFQAVVFTDISIEKAIEFDDYCHSHQPPIAFIKSEVRGLFGNVFCDFGPEFTVLDVDGEEPHTGIVASISNDNPALVSCVDDERLEFQDGDLVVFSEVHGMTELNDGKPRKIKNARPYSFTLEEDTTSYGTYVRGGIVTQVKPPKVLKFKTLKEAIKEPGEFLMSDFSKFDRPPLLHLAFQALDKFRTELTRFPIAGSGDDAQKLIDLAISINETLGDSKLEEIDKKLLQHFASGSRAVLNPMSAMFGGIVVLLLDSVESLPVEPLEPSDLKPESSRYDAQISVFGAKLQKKLEQSKIFMVGSGALGCEFLKNLALMGISCSQNGKLTVTDDDVIEKSNLSRQFLFRDWNIGQPKSTVAATAAMAINPKLHVEALQNRASPETENVFNDAFWESLDAVVNALDNVTARMYIDSRCVYFQKPLLESGTLGAKCNTQMVIPHLTENYGASRDPPEKQAPMCTVHSFPHNIDHCLTWARSEFEGLLEKTPTEVNAFLSNPGGYATAARTAGDAQARDQLERVIECLETDKCETFQDCITWARLKFEDYFSNRVKQLTFTFPEDAMTSSGAPFWSAPKRFPRPLEFSSSDSSHLNFLLAASILRAETFGIPIPDWAKNPKQLAEAVDKVIVPDFQPKQGVKIETDEKATSLSSASVDDAAVIEELIAKLEAISKTLPPGFRMNPIQFEKDDDTNFHMDLIAGFANMRARNYSIPEVDKLKAKFIAGRIIPAIATSTAMATGLVCLELYKVLAGGHKVEDYRNTFANLAIPLFSMAEPVPPKTIKHQDMSWTVWDRWTVTGNITLRELLEWLKEKGLNAYSISCGTSLLYNSMFPRHKDRLDKKVVDVAREVAKVEVPSYRRHLDVVVACEDDDDNDVDIPLVSIYFR >Sspon.05G0005160-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:10816309:10819480:-1 gene:Sspon.05G0005160-2B transcript:Sspon.05G0005160-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGGSGRNGAVVRRYIRSKEPRMRWSADLHRSFLQAIDCLGGQHKATPKLILQFMGVKELTISHVKSHLQVSFSSSSIPSHLRQRLFVFVFPLEQPRAGAQINSKASDSSVCFSDEQMYRAARLGAGRRGPGMQAQLLQRRYSCTGDEQGPKEEFLCPPPLKRARIGYESTQGNHGVSDARTTAAAGGLYCIDDYMQAMASMGRRIKEEGLIRWQRRDADAAATTAAAPSNLQAMGCSVQESDPFKIRRPEARHLGSALIQQDASNKEDSNGSPLFSSFSIAAKDEPSEQCSLSLSLGLDPRCARAMAAASSPSGESSCILTASPARRSSSDCSGHSGCFVGPGVSLELSLSICGS >Sspon.05G0026050-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:30700327:30701439:1 gene:Sspon.05G0026050-1B transcript:Sspon.05G0026050-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SAMAVQARHFSHDLAPAAGGSLFLDEYAGCVPVPARIGDTTAVLSELAWCSYGFLPRKRPRLEAAADQAAAPVAGGGFLEDQRLGTPPACTERLQPVPPFVDVRSRAVGSGAASTSGRVANGATTVVSRELLSSWTHQHGVEIDALVTDQAERMRAALEEARRRHARALLAAVGRAASGRLRASETDLERALRRNVELEEKARQAGAECQAWMGVARSHEAVAAGLRATLDQLLLQSPCCGAAAAGGASGGEAEDAQSCCFEAPAAAAHATEDGGACAPRSTKLACKSCGGGEACVLLLPCRHLCLCRVCEAAVDACPVCATTKNGSLHVLF >Sspon.04G0014070-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:51882842:51906635:1 gene:Sspon.04G0014070-1A transcript:Sspon.04G0014070-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRNAKISWNGKQNSRKLHGEEVGGKVEDLISYCLHCWPVLLWSYKEAMAGREERYVRVNSERGHNIFGLLKDRTAGAFSFLGNSSHSEALNKSGPEEKKSKTRVLDPQGPFLQRWNKIFVISCLFAVFVDPLFLYIPVIDGGNNCLYLDKKLETVASILRFFTDIFYLLHMLFQFRTGFIAPSSRVFGRGVLVKDTFAIAKRYISTLFLVDLLAVLPLPQVFVLVVLPTLQGPEVMKAKNVLLYVPRLLRIIPLYLQITRSAGILTETAWAGAAFNLIIYMLASHGFGALWYILSIQREDTCWRQACINQTGCELTSLYCGYHPLTNNSFLQSACPTNSTSNPNPDPKFGIFLPALQNVSQSTSFFEKLFYCFWWGLQNLSSLGQNMKTSTNTLENLFAVFVSTSGLVLFALLIGNVQTYLQSASVRIEEMRVKRRDTEQWMAHRLLPENLKDRIMRHEQYRWQETRGVDEEGLLKNLPKDLRREIKRHLCLSLLMKVPMFENMDEQLLDAMCDRLKPMLYTEGSCIIREGDPVNEMLFIMRGTLESTTTNGGQTGFFNSNVLKGGDFCGEELLTWALDPTSASNLPGSTRTVKTLSEVEAFALRADDLKFVATQFRRLHSKQLQHTFRFYSQQWRTWAACFIQAAWHRYCRKKLEEALYEKEKRLQAAIVSDGTTSLSLGAALYASRFAGNMMRILRRNATRKARLQERVPARLLQKPAEPNFFAEDS >Sspon.06G0026700-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:70879093:70889777:1 gene:Sspon.06G0026700-2C transcript:Sspon.06G0026700-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding KMPSTFYKSRDSERKKMVAASMSRAERWNLAGATALVTGGSKGIRHAIVEELAGFGARVHTCSRNAAELEECRRRWEEKGLQVTVSVCDVSVRGDREDLMDTVTATFDGKLDILVNNAGQSLFKQTAECTSEDYARIMATNLESCFHLSQLAHPLLLAAGDGGGSVVNISSIAGFIGLPALAVYSMTKGAMNQLTRSLAAEWAGDGIRVNCIAPGGIRTDISSQKTIDPELVKKEMARLPMGRIGEPEEVASMVAFLCMPAASYMTGQSSIYGTGPRHEVEEFWLRKHKEIELNVHWSALLKLSNEGTKR >Sspon.08G0007200-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:20684432:20687460:-1 gene:Sspon.08G0007200-2B transcript:Sspon.08G0007200-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLKLCDLYENDSIFDKFECCLSGDGLRVATGSYGYFFHPLLTACVILQKSCLLTLPFPGVFNCSNIFRVFGCTPGSMEATTLEASRNPMRRQIANPARPTRTLASFTRGVRRGGENQGVDANGNSFDFSTKLLHLAWHPTENSIACAAANSLYMYYA >Sspon.05G0005170-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:16362912:16364805:-1 gene:Sspon.05G0005170-1A transcript:Sspon.05G0005170-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQPPDAEMQGYRRCRPPRRAPRPRRGGGGRPPQVVVVERRRCARGAHPGPGPPELPPARPPRHGERRELLPAGRGDGDRRLHAATAAARAPRAHGRAPGGEEYLAKYEKAVALMKELPDDDPRSFAQQWRVHCAYCDGAFDQVGFPDLEIQIHNCWLFFPWHRFYLYFHERILGKLIGDDKFALPFWNWDAPGGMSLPAIYANKSSPLIWMDTHVSTLRQMISGAKKKELFFGLPYHQGDQPDPGAGTVESVPHGPVHFWSGDPRQPNAEDMGNFYSAARDPIFFAHHGNIDRMWAVWNGLRPGNTNFTDPDWLDASFLFYDEEARLVRVRVRDCLDTAALGYTYQDRGRHGGGGGAVRGSVALTPHLVRPGKGGGSVKTAARFGICDLLDDIGADGDKTIVVSLVP >Sspon.05G0001230-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:2938082:2940733:-1 gene:Sspon.05G0001230-2D transcript:Sspon.05G0001230-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLSRTAAAAVRSAVRSAPLTGRVLGAPLPSLASPSAARSARILRRSAAAASAGLETLMPLHSAVAAARLRSCIAVDSTCWSSLSQGLNKRI >Sspon.01G0044550-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:78424965:78425380:1 gene:Sspon.01G0044550-2D transcript:Sspon.01G0044550-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQWPQYKQDEELRSLRQKLADLRWSLMPEEGKARTVIFYRRRRQRQQQGHLRLHHLKGPSQPPKQKKKKTSGNKQPAKKKKTPVKKKLKKAASAPEARVVRSLKSWLGVQ >Sspon.05G0023130-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:1141115:1142807:-1 gene:Sspon.05G0023130-2C transcript:Sspon.05G0023130-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDARSVTGPPQLPTPPAPLPAGCFCLPKTSSTSLTKSFTAVHDFRVTDYSLLEGMGFGRYVSSSTFSVGGREWAVRFYPDGATAGLLGDVSAFLYYYSRDRDASGVRARFTLNLLEKDGKMPPVTNPYMKHTFSPASDNWGFTKFMEKSRLQQGSPYLDRDCLTIRCVLTVLIDSRTVANENSVVVPPCPLVMKEILDKVSCIWSVNLAMFTLPLYTYLD >Sspon.02G0003590-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:11396642:11400192:-1 gene:Sspon.02G0003590-1A transcript:Sspon.02G0003590-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTRKSKTTSAWRSFLGGCLGGGGEGRSAGDRQRKVRPGGGGGGRLSFTDLSGAADQDLSVSLVGSNLHVFSVAELREATRGFVSGNFLGEGGFGPVYKGFVDDGVKKGLKPQAIAVKLWDPEGAQGHKEWLAEVIFLGQLRHPNLVKLVGYCCEDEHRLLVYEYMEHGSLENHLFKQIPAVLPWSTRLNIAVGAAKGLAFLHDAEKPVIYRDFKASNILLDSDYKAKLSDFGLAKDGPEGDDTHVSTRVMGTHGYAAPEYIMTGHLTAKSDVYSFGVVLLEILTGRRSVDKTRPSREQNLVDYARPCLKDPLRLVRIMDPAMEGQYSARAAQSAAMVAYRCLSSSPKNRPDMSAVVQALEPLLDLNDDVPVGPVGPVGPVVLFVAPAEERAPRKDVRRRRPMSPKASPRKRPGAGPKEEFWVWHLPAEQKA >Sspon.04G0025230-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:25513349:25520205:-1 gene:Sspon.04G0025230-1B transcript:Sspon.04G0025230-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRYPEELHDSEQFGNRVRELKKQWLFIEELRHKSTGVGRREDGSVVMNDKWWEDMLCPLDKQEWLDLKDGWPEYIDEMDRIFAGVTVTGETAYVPGASRHLNFISSGEEEETADFGTPQGHVGPPSSGTPGSSGSKRTTSSWQSTGTSPGKKARNTAVRNMNTNMARFNSSYDHRTEVIENIYAHKNQSVQMEVSTKKEAEKKREHEQKQVVESATELGVHKMEPKLWTGVPDGPVDNEGDDDDWTPPYSGEEWVNDKLNDETACYDLFRMSIFLWICGAPQSVRQAKDRFERDIELRESTNDQRQRVKDYLLMEEEFVAAQERLRPQEDKAEEDRSKVDDLRGTPMSVGSLEEIIDESHAIVSSSIGPEY >Sspon.01G0030640-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:106070768:106072055:1 gene:Sspon.01G0030640-1A transcript:Sspon.01G0030640-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMSRGASMDAISLDDWELLLPDHRSSFHMGSECSNGSHGVVGGKDQLLLGAELVVIDMDHFGPTSSHPPAYDYCILDEEADKKPLLLLPSEDAYGGDPTADYKDIDVVAAEPEREELVSEVTEILVYEAEEQEEMIKSVKEVDQDDDDVLVEAAAPDGVSQCAREEEEEEGVSKTGFGVGSLRVNGVGALCSFGVAAATFCILLLGGKQQQQLHKRQGHKIQLQMYADDERIQQVVQQASRLNQTMSSVMGGASSARASISFGGYYQGF >Sspon.01G0011810-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1B:38969381:38969939:1 gene:Sspon.01G0011810-2B transcript:Sspon.01G0011810-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNIEVHSLTTSAEIPKSIPILRPARSHRIRPHSGSCSSLGQSVKPYPLDCRRRLVALQRQPSSTCHPVTFAMAHAAAAAVPLRRPLLFLKDARLLSSLAAPLPGLRHPRALRPAGRPLPSDAEDTDDDPDAGAVSFKKSRNELKREARRAVKWGMDLANFSPPQIKRILSAASLEREVFDALMLVK >Sspon.01G0004930-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1A:13292594:13294344:1 gene:Sspon.01G0004930-1A transcript:Sspon.01G0004930-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGGSGRATRAPRSKERLASVRARLRAARRSCERGHRDTHGGACVRRRHASHATKRNERRGALVASSTLSAKVAWKKERRASQSGSPTSLSRTSTTFCISLPSPESCPCGDQMPDGATTAGTARKRETAR >Sspon.01G0005420-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:12857708:12860700:1 gene:Sspon.01G0005420-2B transcript:Sspon.01G0005420-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLRTKRPEWKSLMPLQLSRLSRKSAMRFFLFPKVQSAGQSPDDTPVYLNVYDLTPMNGYIYWAGLGIFHSGIEVHGVEYAFGAHDFPTSGVFEVEPRQCPGFRFRKSIFLGTTCLDPIQVRQFMELQSVNYNGDTYHLITKNCNHFCKDMCYKLTGNKIPKWVNRLARIGAICNCLLPESLKISPVGHDPNSQSEDSEKRRLRNPFSCFSSISSHRQLPSSSPFPPSPVKEGLSHCSSRKPSTASLKNR >Sspon.01G0010050-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:27034088:27034643:1 gene:Sspon.01G0010050-2C transcript:Sspon.01G0010050-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLDKLWDDTVAGPRPDTGLGRLRKQPVRPAAVKINACGFVDRVDPAEDAAVFVPPSPASGSEETPVKVTRSIMIKRPAGYPSSPRSAASTPPASPLGTTPPISPFAGAGECPARDSAAPFACVHFFR >Sspon.07G0010770-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:39466216:39478831:1 gene:Sspon.07G0010770-2B transcript:Sspon.07G0010770-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRGEFQTSLVQQMIWSGTGSGGGNTATASNDIMSSFKLCHEDQETSPNLPSLSSPSMLFSQQFLHTGTSSGQLGHTNGGAAGSLPTLHDGGSGQEHHMPESWSQMLLGGLVGGDHERYSPTTAALLSKGIENWGDHAATASACMVGGGIKEEGSAMPQPPYNFYGSHSHLAGGDHEMPAPGGASKSQLSQMLLASSPRSCITTSLGSNMLDFSNSAPTTELKNHHHNSDNSSECNSTATGSANKKPRVQASSSVQSTLKVRKERLGDRITALHQIVSPFGKGSIGERNPAGLFPEYPGQLLNHNNNTGAQQPAVQPDEQQDVNDETKKDLRSRGLCLVPVSCTSHFGGDNAADYWAPAPLGGILR >Sspon.03G0036200-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3B:88694451:88695158:-1 gene:Sspon.03G0036200-1B transcript:Sspon.03G0036200-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:LOB domain-containing protein 25 [Source:Projected from Arabidopsis thaliana (AT3G27650) UniProtKB/Swiss-Prot;Acc:Q8L8Q3] MASPSSTSNNSALSPVAASGTTTPGAGAPCAACKFLRRKCLPGCVFAPYFPPEEPQKFANVHKVFGASNVTKLLNELLPHQREDAVSSLAYEAEARVKDPVYGCVGAISVLQRQVHRLQKELDAAHAELLRYACGDVAAGIPTALPVVSAAPRLSTAMTTTSPGQFAAAAATAHAAVSMYGSSRRLGPVLDGVAAPPPPPPAGGCYFMRNHSNVISSPPGADVAPVLPYASVANWA >Sspon.01G0010140-3D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1D:73023392:73023629:1 gene:Sspon.01G0010140-3D transcript:Sspon.01G0010140-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding EALAAAAPPPGSLPPAAHAPLAASSGGGGRRPIRVGGAPWGGGQPKGVSGGAGSGGRGAPPPAAPFPRGQLQLPDRLQ >Sspon.02G0006890-4D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2D:14892519:14899317:-1 gene:Sspon.02G0006890-4D transcript:Sspon.02G0006890-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGSVADLLQAGPPLDEMSISCILRDLLHAIEYLHSEGKIHRDIKAANILLTESGDVKVADFGVSAQLTKTMSRRKINCLVLALDLPAQIASIEKFKIGDKLDKLVFGNECSLLRTFVGTPFWMAPEVIQNSEGYNEKADIWSLGITAIEMAKGEPPLADIHPMRVLFMIPRENPPQLDEHFSKPMKEFVSLCLKKNPAERPSAKELLKHRFIKNARKTPKLLERIRKSMDDTQNGQTHDEEEDFGTGTIKVNRTKDTAPSLSQGTVRKATVRDFPDRSEGTGTVRVVTRPPQIASTKDGRSDMPQSPKAPIRTTDRETQWKSSWTGSEDSLYQRDTQSERGRVESSTDDINNLTVYVRQDKDRSEQQQLTRLTFVRTQNEDPETPRSSRSRLGMQEKASNTSLEDSAINLAEAKAALQAGLRKGNAREKPIRYEKGSHEHRSSGVNSQEVQSEDVDTQKGRKLRQLSDGQSASRASSSVAPPAVSSLLLPSLKEATGDKFDRPAVHAFLDSLMDLEHEIPGSCEVLVGRLLHRLGSSKDSSLQGLQETAMSIFTKKPEPPSEKAGDKKLATMPPLAAPTVAKPSFARS >Sspon.02G0015680-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:36941199:36943969:-1 gene:Sspon.02G0015680-3D transcript:Sspon.02G0015680-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAATRSLHSFLALLLLLPAAAAAASSSYETKSIDPGLVVMKLPEPVSGPESLAFDGRGGGPYSGVSDGRILRWQGRLRGWTEFAYNSKHRSVAVCAPDKKLVVPESLCGRPLGLQFHRQSGDLYIADAYLGLLRVAARGGLAEALATEAGGEPFNFLNGLDVDQRTGDVYFTDSSTTYRRSDYMLVVALGDETGRLLRYDRRSRRVAVLQAGLSYPNGVVVSADATHVVVAHTALCELRRYWVRGARAGTSETFAELPGYPDNVRADGRGGYWVALSTGGGGAAATAPTVAVRVSAQGNVTEALDGFSFVSVSEVAERGGALWVGSVDTPYAGELKRRTS >Sspon.02G0003380-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:10994547:10995918:1 gene:Sspon.02G0003380-1A transcript:Sspon.02G0003380-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGGAILSDLYSPVRRKVTADDLWTESGCNKSGKNWKRKSSWEFGEDDNDDFEADFEGFEDGDSEEDVGSRKRRTQYRGIRRRPWGKWAAEIRDPCKGVRVWLGTYSTAEEAARAYDMAAWRIRGKKAKVNFPDAITHPEKRHRGRVTRPGKKITSQEVLKLDGTSVDHVISAGSSTDDATVVKLELPESASLPPMSSAWLDAFELNQVNELSYLEAEGKRVAEEIVGVTDMVFGNGEARTADDFGYYEPFPNFMQVPYIEGNSYENIDALFNGDAVQEGVNIGCLWSFDDVPMDHGVY >Sspon.08G0002240-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:5255395:5258403:-1 gene:Sspon.08G0002240-3D transcript:Sspon.08G0002240-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVSVQKRWRKACGAIKDSATVGIAKVNSGGGRERKDLDVAVVKATTHVEQPPKERHVAAIFAATSSSRPLTDVSYCVHALAKRLSKTRNWVVALKTLIVIHRTLREGDAAFREELLGYRRNGHALRMSNFKDDSSPQAWDCSAWVRTYALYLEERLECFRVLRYDIESERLRPAEGDPKGQSRTRTLGQDDLLEQLPALQQLLFRLVGCQPEGAAFGNYLIQYALALVLKESFKIYCALNDGIINLVDLFFDMTKLDAIKALDIYRRTGNLAKSLSDFYELCRGLELARSFQFPILREPPPSFLGTMEEYIREAPRTAPLPNETIEYRQLDFVIRYEKEQTPKLTFEAFEEPVAEEAPPEHEEPAQFADDDSDDEPETPTATADLLGLHEVNPAAAALEESNALALAIVVPATRLQSITGSPGWELALVTAQPSSSNQLAESKLAGGFDRLLLESLYEDAARRQQHSTMDASYGNGHASDQQKDPFAMSNGVAPPTGVQMSMMARQQQVMFGMPQQFQPQYGTAASQFNPFGDAYSVALSSQGAPLHGTGGSLI >Sspon.01G0051600-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:16800683:16802725:1 gene:Sspon.01G0051600-1C transcript:Sspon.01G0051600-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLFGKSTSKQTAKLKSLVKLAVSRLAVARRPRLGRRSIARGDVGQLLSIGHLDRALVRAEQVIEEDNMLEALDVIELYCKILIEQAAQLEKPKECSEEIKEAAAGLMFASARCGELPELLDARAILADKFGRDFARAAKEGAHGVVDPTLVRKLSGERASLEQKRRLAKEIAAENDILLEFPKSPVEIHQDGRTTSQINSQRASEQAKNAPARIFVEESAVKTDRREVCAVIALQDHHRSSILAGERNSVNGKVNPSLAQLSLDEKVLRESKKYPDARMAAEAAFASAKFAAMAARAAVELSRSESHGKGSRAGGYDYDKEPSVQTSAATEQGTAPPWWRLHKSPSPSPSPSWSDRSTATSVGSDAAHKGKEVVFDQSDEELEDKVTQDLVRPPSQRRPSYRRTASTVGTGVGPWHGDAGSTGAPGNNTPPHARPQHRRHATEFAAGNAHAHALAGGQRGQYVAPPYRRTSTASTGRDSDAARDDGGAYESSSYVHPPYSRMVSALERSNEHIARHEEVRRMGTDARALQERVYGAAPGQGHGPLNPERAISVRTRR >Sspon.02G0012450-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:32829087:32830328:1 gene:Sspon.02G0012450-1A transcript:Sspon.02G0012450-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MWSSLAAPPPPAMAHRLFCATRALLLPAPAPTPTSASSAAAAEAAASLLPLLPCKRRKKLLRKLNSPRVAPIEPEAARRVPALDAVLDRDTAFRFLHRARSFLASLPPPHRIPLSEAGKLYRELGFPRGRKVSRSATRHPLLFHLPVVDSVPHLALTPFMCSLLEEERRIHDDLLPSRVRAVRKLLMLTAHRRVPLAKLHHCRAVLGLPDDFRDRVHDFPDDFRVVVDPRDGRHILELARWDPALAVSALERDFVVDERRVRRTFRFAVPHRRLMPLDAEDADRLDMATTFPLVSPYTNGALLKPWTPEAEKYRVGVVHEFLSLTLEKRAMIHHIVEFKEEFGLTRHMYESLQKQNRAFYLAGTEMNWALLLRAAYDENGVLKEKDPLVLFNEKLQRYACMSKMDSRENMIDAA >Sspon.06G0004890-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:14955371:14956395:-1 gene:Sspon.06G0004890-1P transcript:Sspon.06G0004890-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPACRHLRLAVTVFLAAALLISGRHAGCQSEFRNRARGGVQLRPRRRARAGALGQHQEGVGGVRHGANAVAHRPLPRARLAGALPRLPPPLVPPRRGLHRQPRPRHHGEVRGRRRELGDQRHGVPPEAAALALARRAHRGRPQARHGAAPGARERRDEGRGDRHPLRGRPPRGRVPPPPGALHPADRRRAGPGGARRRGGPAARARQCQRLLPLHGLAHRAAVHRGGHLDHRQERFAPSPSTSWSFSGKPCT >Sspon.02G0043000-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2B:88232224:88233399:-1 gene:Sspon.02G0043000-1B transcript:Sspon.02G0043000-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MISSVVVQETVGQILSGLVHRYEGKENSDPSDNLERLEMAHIKLEAALQTSSKWQVTDSSLLRWRKKLKRAAQECDDTLYKYKKIILEEEQMEQELRNSSFPKRIAHATKSFIFSAFGKNNYESSRSVVRRFEWFADSASEFLRFIELGGTPWRHMSIDSFVKHLFAGKELQHKIVRGNEYPLFLLWLEPFFMEEHGIEACLVFIKKDRNTLEDEFFFCLILQLSESTDIVGIAVKCLQLFPPHFQPTVDTIKKELIQLPTQDFSWVPYVDLWHRKHWDNLLNFSTQWFRPNPLCCKQDDQHKLQHISNLGTVDASLDSIIEVNLQCQISLSESEYNKQRTSLSECKYSLRDCQKLKAGLLFTPHGSSENMLPADRSSTIAPIFRRGQHCE >Sspon.04G0005350-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:15512104:15520561:1 gene:Sspon.04G0005350-1A transcript:Sspon.04G0005350-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSREAARTRSRVLVVGATGRLGGNIVSGQPRRGPPHLRARPAPPLRTPRLPLARAARSRRHHSAPDYSSLLEAVRQVDVVICAVPTKQVLEQKPLIRAIKEAGCVKRFIPAEFGADPTKVQICDMDYGFYEKKVEIRDSIESEGIPHTYICCNFFMRYLLPSLVQPGLDAPPRDEIKIFGEGNTKGVFVKENDVAKFTICTIEDPRTLNKTLYLRPPGNVCSMNELADLWETKIKKSVKRLYVTEEQLLKEIHDAPFPLKMDLIFIYSAFVKGDHTYFEFDLSIEGTQLYPHVNYTTVNEYLDTLSNSGHNRHSRNDASTKHKSGYEPSDTETEWHESPWNDGALTSERSRLPKDRGRNDQVGTRRQNISPNHTRDYHAEKTSNLRYSRTPPRVAEQRRHPSPFAAVKNESRKKSSRTPPRFRSSMESFSRSSIKARFSRNRSISTPKLRPQEKEHPARAPAFPGSNPVSTHPERDVADNIEEDSHAENCSQEINELIANGKLPNSRYNEYAFTSTESIPTGDIFFSRDCRAPLEKTSTKHNNIDQSFTSDSNAHAKNDGTVTQSNLGQPSQFVSARTGVSRTTTKSSYASGRHYQISSTTTLSSPCSNGRLSGESGKFSEITGKLVGGVMKFTSSKVQNDAWLPCMTGKACRKSRTSDNKKNDESESGFIQKALVVEKIRLLWADKYRPRNLNGFTCHREQVQQLKQSVSHYLKSCNGQVVDILTYISKKESFDLPTSFATTIANQSRKNLREAILALEACKANNYPFVDGQAIPLGWEEVLEELAAEILDDPAPKRLFLARGKLQKLLVEFVPPKLILQKLVELFLKGIQTGVKREDKRLPVGASALLKLEEFVAKFMSIHRKSLSHEPKIPKVAP >Sspon.07G0001220-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:2049141:2050124:-1 gene:Sspon.07G0001220-3D transcript:Sspon.07G0001220-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VEPPLRSPLHRQPARHRLQRGAVPGRHPHQPVRRRRAPPRRAAVLLRPRPDPPGATLLPDRRELRGQVHPRGGGAHPGRQPGAAGGAAREPPRRGHRQRPHSPGGAGGHARGLGLLPGPRERAAEAGAGGAAVRGGVADAGGAVGRGVGRAGEGAVAATEPDGARHAVRLREAAGLRDGRRGDVPEPRRGQVRAGRARGRGVGGVQRRGGRGDARRRDAQRGAAGGVAAAAHPRAAVPGRPRPPGRRRVHGGVARRGALGRPARVPGRPARRVADRWRGTRGLRAAVGLALARGGVWRRAPGAGGQRPRSAGDDRGMGAGDGAVGPR >Sspon.07G0016660-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:72867178:72870746:1 gene:Sspon.07G0016660-2C transcript:Sspon.07G0016660-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTILTTMRIIGHGSFSDNATLNSVVGHLLHSFILVPYHGWRISHRTHHQNHGHIHRDESWHPITEGLYRQLEPRTKKLRFTVPFPLLAFPLYRSPGKTGSHFLPSSELFTPKEKGDVMISTTCWCIMLASLLAMACAFGPVQVLKMYGLPYLVFVMWLDLVTYLHHHGHQERLPWYRGEEWSYLRGGLTTVDRDYGWINNIHHDIGTHVIHHLFPQIPHYHLVEATKAAKPVLGRYYREPQKSGPLPLHLLGVLLRSLRVDHFVSDHGDVVYYQTDHDLNTTAAHGWAAQNHHKQK >Sspon.07G0017070-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:61523765:61528566:1 gene:Sspon.07G0017070-1A transcript:Sspon.07G0017070-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHLVHLGPVLYQCAAHVSEGSIGKTNDSLSEIKRLSSIVDGPLQRLSQIMADSLARRLLLSCEGLTGSLIHPSDYFEQSSIQSARYNFASLSPYLNTGFATINRAILESMEVEKVIFYQDYIHTYELLMTIVFQVVRIIDLSCSASHPRQWLKLLHGFHGRPGGPPEVRLTVVHDDNDFLANMKALLSKEADMLKIPFQFNDVNGRLETLDFSNLRDVLGIKYGEAIAVSCSLQMHRLLVVDDNVSCSAIDQLQKMANAAQLKQMASSVYSPASILNYPRTPSPLLLARFLNAIYTLKPNIMLVMEQDANHNALLFSDRFVEALNYYAALFDSFNAMAAANSQWANERTQVERMILGEEIKNILLCEGVNRHERHERLSQWEMYMDASGFHHVPLSFDAIREGELKLLSFGLNGCQYHVESDSLLLGWSSTRFRSLSCLDMEMFQEDMLSSATSSPASSLYTPSPHGHGGLGSSWVQELSHDQQGLRLINLLYQCAAEVAAGAFDRANFYLEQITQLASLDAPHTLQRLAAVFADALARKLLNLVPGLSRALLSTGGNSAEAHLVPAARRHLFDVLPFMKLAYLTTNHAILEAMEGERFVHVVDLSGPAANPVQWIALFHAFRGRRGGPPHLRVTAVHDGREFLANMAAVLAKEAEAFDIPFQFSAVEARLEELDTDALRHVLCVRSGEALAVSVVGQLHRLLAADDAGGRNSRHVPGSSCLTPVQIIARSSPSSFGELLEREINTRLQLSPDSSAVLSMSPQSSSLSPAQQQQQREKAKLGSFLRAVRALSPKIMVVAEPEANHNAATFLERFEEALNYYASLFDCLERASAAQAHRCAGERARAERLVLGEEIRGVVAREGAERKERHERLAQWARRMEAAGMERVGLSYAGMMEARKLLQSCGWGGGDYAYQVAHDARGEAFFFCWHRKPLYSVSAWRPAAPSCRHT >Sspon.06G0008980-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:37568327:37577778:-1 gene:Sspon.06G0008980-3D transcript:Sspon.06G0008980-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQGANFPGFIGAVGGNDNGANFGGNFCDMAFYQKLGEGSNMSVDSINSMQTSMHGGSIASSVCSNSDSRTGMLGHPGLKGPVIVGSYSVGHSIFRPGRVSHALSEDALAHALMDNKFPTETLKDYEEWTIDLGNLHMGMAFAQGAFGKLYRGTYNGMDVAIKLLERPEADPEKAQLLEQQFVQEVMMLATLRHPNIVKFIGACRKPLVWCIVTEYAKGGSLKNFLSKRQNRSVPLKLAVKQALDVARGMAYVHGLGFIHRDLKSDNLLISGDKSIKIADFGVARIEVKTEGMTPETGTYRWMAPEMIQHRPYNQKVDVYSFAIVLWELVTGNLPFANMTAVQAAFAVVNKGVRPAIPHDCLPALGEIMTRCWDADPEVRPPFTEIVRMLEQVEMEVLTTVRKARFDLFCKATACVTVANAFRLAAAAALPEDRRETNQLLSSTGPVLRTLRLGIHQLLRIGAGRLLLTSVLLQGFLPLLIEVEIFQDCCLSLMALEDCKHLEALFQLHLFIVIVLKNNVPQKVVRHILNYVPLHRELATPLILLPVINTSVEIDRSNHLSHTTELATSINL >Sspon.08G0013760-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:55484415:55485348:1 gene:Sspon.08G0013760-3D transcript:Sspon.08G0013760-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSITKAAVPVAAHGGYDRRRELLQAFDDTKAGVKGLVDSGTKSIPAIFHHPPDSLAAITSSTTAGEAAATIPVIDLAAAQREEVVAQGAVEAKRPYYTRDTTRKMRFYSNLDLFQSPAASWRDTIFLDMEPEPPQPEELPEALRGVMFEYVDAVRKLAVWLFQLLSESLGLASDRLAEMGCGESLKVACNYYPPCPEPHLTVGNTKHTDPTFLTVLLQDAVGGLQVLLDHGGGGRGWVNVPPVPGALIINIGDLLQASTSLNYC >Sspon.03G0030690-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3B:22034836:22035101:-1 gene:Sspon.03G0030690-1B transcript:Sspon.03G0030690-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding PREPPPQLRLAVVAVSRRGRRYSSTLGFTGVDLGYQHSSAQPSGSRPPVPLIPPRDPTPAAAQSAAASVALLPYRASGVEIHADADLE >Sspon.06G0001550-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:107741:109269:-1 gene:Sspon.06G0001550-1P transcript:Sspon.06G0001550-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding EMAERKGGAARKEEVVTREYTINLHKRLHGSTFKKKAPNAIKEIRKFAQKAMGTTDIRIDVKLNKHIWSSGIRSVPRRVRVRIARKRNDEEDAKEELYSLVTVAEIPARA >Sspon.08G0027300-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8C:45084146:45084655:-1 gene:Sspon.08G0027300-1C transcript:Sspon.08G0027300-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAAGSALLRSVATKTRGMTICPRASPLRHPLLLGGRRPGPTSSRQPFSSSSSAPRASTPPPINDKPSPAWTPAAVSVLSCLGSPTYRIFLMPSLEY >Sspon.01G0015450-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:41216500:41218554:-1 gene:Sspon.01G0015450-3D transcript:Sspon.01G0015450-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LMMVPHILQLVGFNFEAKIGKPLQEECLRGVLPVQNMLEGATIRTQGTSDPARNRDSNELVGSPVEEISIATVVSGNTAMYSTQIYQKDCNDVIPKLASRKVDAAELESMLQGNKVDAFFSLEIYDYQQNAGIRLVAKRLDVHF >Sspon.02G0037430-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2B:33290134:33291714:-1 gene:Sspon.02G0037430-1B transcript:Sspon.02G0037430-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKCLFSTTPEVGNQTEIKRKRTEVTVVTGRCTGRSQCLTGRVRSASSVCAVFSRLIGREARPVTGDRTRPVVQGAYWTPTRRGHCSVRSVLQRVRSLVRFARLSVDRRVRSVTGPARPVVTSASGRCDRRVRSVLRETSRYAIGASGPRDQRVRSARFRLFKFLTAIFEGPSRPFNRPMRLRRARMRGSSLSTQDRRSSGLAATTSAMSARERPMLESRARTVSGSKPGWRR >Sspon.02G0023280-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2B:77423828:77423994:1 gene:Sspon.02G0023280-2B transcript:Sspon.02G0023280-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding EVGKNYKIHSIPTFYFIRHGETLESFASTNPDKLEDTIKKYYAVGTPASASASA >Sspon.08G0023780-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8D:60355274:60357413:1 gene:Sspon.08G0023780-2D transcript:Sspon.08G0023780-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ERYREQKKDLYMVFIDLEKAYDKVPRNVMWWALEKHKVPTKYVTLIKDMYRDVVTFVRTCDGDTSDFSIKIGLHQRSALSPYLFALVMDEVTRDIQGDIPWCMLFADDVVLVDDSRAGVNSKLELWRHTLESKGFRLSRTKTEYMRCDFSATRHEEGDVSLDGQVVAKKDTFRYLGFMLQKDRDIDEDVRHTRRDRVRNDDIRDRVGVAPIEEKLIQHRLRWFGHVQRRPPEAPVRSGVLKQADNVKSGRGRPKLTWDESVKRDLKEWNISKDLAMDRSAWRLAINVPEPRDRPTCPRTSCRTPSPVPLPAPPATAMIHPPAAATVEVFYLDVAYVKVAIHICYKRVGSGRRRSLRSPWARGKRSGRDGGGSV >Sspon.06G0029810-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:35214825:35222283:1 gene:Sspon.06G0029810-1C transcript:Sspon.06G0029810-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RTFDSNGYFDTPLIAAAYINALRPLCLPDSQAPSHPSGASPARIWSFVVWLLTSSSLLLCVSVVLAAAAAAEARDFVVGGANDAWKVPAQPDALAKWASANRFQVPRRVAAVATSSSLFPVQRSGEGSKTFAAQAKDSSFLLSCFKFDGAADSVLEVSRDDYNRCSTASPLAVHKATAGAATVPLPRSGPYYFVGGAPGSCQKGERLLLVVMSEKHGRGLLRGLAPAPEPAAESPFAASFVGGPAAAPAPATGAAGRTAAAGNTGGALLVGAAAVLGAMLVGCVTVGTGAYLPRRVGDELPVLPRRQLPKLTANPSDRADYRRHRRHHHQLAADPLDRADHPPPPPTHTEHAVFRSRWRICTDCPATVPAAVVDRRLVKKGNQAIAQVKLTWVDYTVVKTRLIFSGGEVSHLARVTCTASRDEAGELKMVLASPAPSFIVSTASAHIAGARRGQGCARSTLEDCL >Sspon.07G0029620-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7B:77232692:77234727:1 gene:Sspon.07G0029620-1B transcript:Sspon.07G0029620-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGRRNPHNIIVNAVWYAAADDGDGVRAVLATDGINRICHRSLQGLVASLRHYCPSVSAADALYHLMDANADLASAVALANGTSKSSALRVMAPRNLVAFRLAAEAARHPNPTAFAHFASALPTVNAKNSLLQYLLINYVLSPGNIDHLTTVLAPELPDEPPQPPLKLRPQLLDWISSQRQRFRDTGKRVLDVVNMASQHYTLQTGEELVLHSVCGASLVSEEGMNNCFYHINYLASRKYSGSALGIPVVLFTEATVLAQDEICINLCVIVDPAKEIASCYACEANKKRIVHPTYDDYHGCRGFEEDEVDSSSNFPELLDVDYIFFDADRDRDVVDDLDGRIGDDGICSPD >Sspon.03G0008530-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3A:23030031:23030939:1 gene:Sspon.03G0008530-1A transcript:Sspon.03G0008530-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMAFVLLLFLAYLMHHKRTYGSFVFWRKRSYISPRVEAFLQRYGSLHPKVYSYMEVKRMTKSFEHQLGQGGCGVVYKGSLPNGRLVAVKMLKELKGDDEQFMNEVASISTTSHVNIVTLLGFCVQCSKRALVYDYMTNGSLERFIFSKHLENNNSLSWGKLFEIAVGIARGLEYLHRGCKTRIVHFDIKPHNILLDENFCPKISDFGLAKLSVQKDSTISIGVARGTVGYIAPEVFSRQFGVVSSKSDVYSYGMMILQMVGCTRTIDNNTNCESNDELYFPLWIYENLDRYCLDASEMSTSD >Sspon.05G0018980-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:81178180:81180373:1 gene:Sspon.05G0018980-1A transcript:Sspon.05G0018980-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNMEQQVEHSHLPIRGLSLHVAQAGKGELGIVVFLHGFPEIWYSWRHQMLAVAAAGYRAVAPDWRGYGLSDQPPEPEAASYDDLVEDLLAILDALSIPKAFLVAKDFGAMPAYEFALRHPSRTCGVMCLGIPFLNGGSSSTSLPEGFYILRWREPGRAEADFGRYDVKRVVRTIYVLFSRSEIPIAKEDQEIMDLADLSTPLPEWFTEDDLAVYASLYEKSGFRYPMEMPYRSLHKRKPIEDPKFQVPVFVVMGEKDYVFKFPGVESVLKDGIMEKSAPDLKITYIPEGSHFVQEQFPDKVNDLLVSFLKDHPVSA >Sspon.07G0030890-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7C:14845181:14849472:1 gene:Sspon.07G0030890-1C transcript:Sspon.07G0030890-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFGSVKLRSAKQLWKASAPPKVWARLAVTLSLPQPAMGEPMAVDQWLGVRKLIPKDLRRGFDALFLLVSWLIWKERNSRVFDRFATMPAWFLPKIREEADVWVAAGFRGIAPLAANWPHNSSIGQRRGRSSLARARACGGRAELPRTRARGGQAELACARARGGGAGAALHAHPWRLGRSSPLRAPAAAGRSSPAPMRTRGGRGGAPRRVRSLRPSRARPRPRPRPRWTRRSWPVHAPATAGRSSPAPTPASAVDGAELHGARSRRPSRARPRPRPRWTRRSWPYVRARGGRADRRSSPAPTPASAADRAELPGVRARGGRAKLDTPVPRRRGLADATKGRLALHLRLREVPGLRAPQGAGKRVQHVVVTLPRAACASVAPRRHSSSSSSDFARSSTPVEVVVDADEHPVVLLRHNHVHPVLPGLPAHPLQPRRAAPRGDTHGPACSTNATALRRPPRSGLALQDASAVVAPWRRGPRGFARRCPACPSVAMAGASGTRSWSYRTMTSQRRMP >Sspon.01G0030800-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:105242675:105244088:1 gene:Sspon.01G0030800-2D transcript:Sspon.01G0030800-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RbcX2 [Source:Projected from Arabidopsis thaliana (AT5G19855) UniProtKB/TrEMBL;Acc:A0A178UCQ2] MAGVQVTPAAVGVAGLRGSGVGSTTGLFAGDWRRKARRPACSVWALRQQSRSSSRSKGGGLGIVCNLAGQYEDSFDDVQRQLMNYFTYKAVRTVLTQLYELNPPSYRWFYNFVAVNKPTDGKHFLRALSKERQELAERVMITRLHLYGKWIKKCDHANTYEKISDENLTLMRERLMETVIWPTDDTNTEKIG >Sspon.03G0024500-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:74628950:74630276:-1 gene:Sspon.03G0024500-1A transcript:Sspon.03G0024500-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHAAVAPVTYRQPAAHGQARAAAATTRPRLQTFRHLGSWRLLSSAPDTTTAVYGGGAKPRPPPQDQPANAAARRVTLATLRGKHRRGEPISMVTTYDYSSAVHVDSAGVDVVLVGDSAAMVAHGHDNTLPISLDLMLHHCRAVVRGAPRPLVVGDLPFGSYESSPAQAVESAVRLMKEGGVDAVKMEGGTASRVSAARAIVDAGIAVMGHVGLTPQAISVLGGFRAQGKTVNSALKVVEAALGLQDAGCFAIVLECVPAPVAAAATAALQIPTIGIGAGPLCSGQVLVYHDLLGMFQSPDHSKITPKFCKQFGDVGAVIGKALAEYRQQVEAGSFPGPDHTPYKISSVDVDIFADALRNIGLDGAAAAAGAGKTEKIVGDGKPQEGDNINNGILSSAGAAV >Sspon.01G0020960-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1B:82103104:82103436:-1 gene:Sspon.01G0020960-2B transcript:Sspon.01G0020960-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHQPRARYPPGYGSGGEGGAGRGGGGGNGGGGGNHNYYGRNPQPQQQHHYHQQQQQHSQRNSSHQQQWLRRDQAPAVAGAASGNAAAKTAPQLDAVDSRYCFCLSAHLLW >Sspon.03G0037640-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:100091371:100092951:1 gene:Sspon.03G0037640-1B transcript:Sspon.03G0037640-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCSSPPPPLEHHHDAGRTRAPALDLLDEYWFFSNTLVTNNSAKKKPPHLPRSPSTSTSTGTGTGEKASASAGPPSRLGGRRLLRTPSLPMSRVGMTMELPLPNNKDDDDHLVHQDGAGAAASGSANRQPQRRQLQVVAADEVDDDDLNWSSIYEGVLRTRMAEGGGRSALRRAPSMPVPSSATATDHHHHHHGDGGRQQTKSTPSMPRLLRHSHSTLGRQAPATATKHQAEQRMPSAVAQCSKKQTSGFQLQDKKWKSSSDLESIEVQGFRDLGFVFEQEELRESLADVLPGLKQQTKTKTKSQSSSTSTSPRRPYLSEAWQQVRRPALARVQSAAEMKDQLRVWAQAVACNVRQEC >Sspon.02G0031240-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:114354321:114359636:-1 gene:Sspon.02G0031240-1A transcript:Sspon.02G0031240-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAGSLSTPALLLLSSAHALPRSIDSTPPQSLFCREPSKRPSRAQQKRAKHQHQQKRATPPPAPKLGFPSILPPPPPTHRREIHPASAGVGGPQPPAKDGAFLRLPRGFLVVSCLPPYLKAAASRELLSFPANSAELLSCFRRLSPLLGALGLERLGGSRFLRPSCCFGSRGGVFFEFGWGGDGALAAPGLSIFRLDFGVPCGSQSMADLGLWKQAWMWVLSQKHILAWAHTAACGSRERLAFLVDRHWPAVSRACATSSRLALAALRQWRGCMARGVLAVASLGPAAVFIILWSFFVCMTSPACALYALLSLGAAAAVVHYMGYTPGLFIVGLFGILIMWMYGYFWITGMLLVAGGCMCSLKHARYVIPVLTSYAIYSVAVRVGWLGVFLTLNLSFLTNDLLNKLAQGYEGSTEESQFQDMKDSDPVMDEFYRSCEFPPVPDSEPETVSSAKPYCSAPIQDVLHVQKEEPPSKVVKSDSSSLDEIKRIMDGSNHYEVLGVPRNRTIDQKTLKKEYHRMVLSDFTKKNSYDEQLRKEESLKMTPRSRVVSQQSGVEFLPDESRRIQCTKCGNFHIWICTKRSKTRARFCQGCGQFHQAKDGDGWVETSFETRFSTSIKMEIPRAFVCAESKIFDVSEWATCQGMECKPNTHGPTFMVNMVGTDRMPQRSYSSRYPFSLDAEMIPEDEFELWLQQALASGVFADSPKRRKSWSPFKLPQKGIKSWRRSS >Sspon.03G0025570-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:146270:148915:-1 gene:Sspon.03G0025570-1B transcript:Sspon.03G0025570-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGRSEIKRIENPTQRQSSFYKRRDGLFKKARELSVLCDVDLLLLLFSTSGKLYHYLSPTVPSVKDLVERYEAATHTKVWTDIRQERRAELEKAEQMCELMEKELRYMTVDDGEQYTVPSLELLEHNLEAAVHKVRSEKDRKIGGEINYLENIIRGRHEERYGLCDKLAHSQASNNDGEGESAPPSSGLELKLGTIQSLCGLLNFDSDQLFQYT >Sspon.02G0020770-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:70386093:70387443:1 gene:Sspon.02G0020770-3C transcript:Sspon.02G0020770-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVPRPKSKKPRVAAECGAGVGGHDEPPDCLAGGPDLISDLPDAILSTIISLLPTDDGARTRGLSTRWRRLWRSSPLNLCDGDIRRSSGDITAIVSRVLSSHRGPVRRLSLGWPRYPIVYPDLDSWLRSPELGNLQELELWHGFTRPYPMPPAAFLLSSSLCALALSGGDGPFCHDGDYLKFPADDVDRLHFPNLKQLTIKCIIIAESPLHTLLNKCPVLESLVLSQNVGFGHLQISSPTLRSFGVSDNRIKLWDPERLKEVIIEDAPLLQKFFIRVQHYSERKGLSVRISVAPKLQFLGSLTHDITTLELETAILKETISVNSTAVVRSVKVLVVHMSPPSIDDVIGLMKCLPCLQKLYVKVSLKGRSKR >Sspon.01G0033290-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:112266359:112271740:-1 gene:Sspon.01G0033290-1A transcript:Sspon.01G0033290-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGGVVAHAAAAAAAASTVTAAVAPPVPAHAAVVGNGGGAAAAAPPPPFLMKTYEMVDDPATDDVVSWGPGNNSFIVWNTPEFARDLLPKYFKHSNFSSFVRQLNTYGFRKVDPDRWEFANEGFLRGQKHLLKTINRRKPSLQGNSQPQQPQLQNAPVPSCVEVGKFGLEEEIERLKRDKNVLMQELVRLRQQQQTTDHQLQTLGKRLQGMESRQQQMMSFLAKAMQSPGFLAQFVQQNENSRRRIVAANKKRRLPKQDGGLDSESAAASLDGQIIKYQPLINEAAKAMLRKILKLDSSHRFESMGNSDNNNFLLENYMPAAQAFESSSSTRNSGVTLAEVPANSGLPYVSASSGLSAICSPSVAPEIQRPVVLDNKSSNQVPNMSAAPPVSKPITAGSSDISIPEFLDLADLVNEDSVNIPGGAFEMPGPEFPLPEGDDSVPIETDETMYNNDETQSLPGIIDSFWEQFLVGSPLSTDNDEVDSGGLDTRGAPQENGWSKVGNIANLTEQMGLLSSTNHRDSGNGL >Sspon.04G0021520-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:740271:743670:-1 gene:Sspon.04G0021520-2C transcript:Sspon.04G0021520-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAGGYEEESHPLRISSMPTPTSLLPQEVDAVLRHGGFADSSGATQKEEALPISALIYFSVFVGNTWSAMKSEKCRQKEHEENIKRVVRRLKERNPKRDGLVCTARKPWVVVGMRNVDYKRARHFQVDLSAFRNILEIDKERMVAKVEPLVSMGQITKATCPMNLSLAVAPEFDDLTVGGLINSYGISGGSHIYGLFSDTVVSVEVVLADGQVVRATKDNEHSDLFYGMPWSQGTIGLLVSAEIKLIPVKEYMRLTYTPVRGTLKEIAEAYADSFVPRDGDPAKVPDFVEGMVYSSSEGVMMTGVYASEEEAKKKGNRINRVGWWFKPWFYQYAETALKKGEFVEYIPTREYYHRHTRSLYWEGKLIIPFGTSSGSGSCLAG >Sspon.01G0000240-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:1724831:1728240:-1 gene:Sspon.01G0000240-3D transcript:Sspon.01G0000240-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRARDLHPLCCLPADGGSPPPPSVAGLLYKWTNIGKGWRPRWFAIRRDVLTYSKIPRRSSASAAAATWIIGPAQYGQDRPVGLVHLKISSFRESKSDDRRFYIITPTKTLQLRTDSANERVTWIEALVSARAESSPNGGLLSNQNDASFSTERLRNRMHEEGLGEEIIKDCEEIVHSEFSQYHTQMKQRCEEYVSFISSLPQQLEVLNADDSANMIELHSHLMKPEYSSSGQGKCSESSNTESSDDVGQQELDELLDDDDYHFYDTRQSFSDSAATSCLKMKGSNSGKDTCLYGDKFVKLKAGMGNSECLSPFFKRRTKLPDPVEKEKGVSLWSTIKDNVGRDLTRICLLVYFNEPLSSLQKCFEDLEYSYLLDRAYECGRKDIHNKN >Sspon.04G0005750-4D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4D:16828079:16828579:-1 gene:Sspon.04G0005750-4D transcript:Sspon.04G0005750-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPKLDPSQVVEVFVRVTGGEVGAASSLAPKIGPLGLSPKKIGEDIAKETAKDWKGLRVTVKLTVQNRQAKVSVVPSAAALVIKALKEPERDRKKVKNIKHSGNISLDDVVEIAKTMRPRSMAKEMAGTVKEILGTCVSVGCTVDGKDPKDLQQEIDDGEVEIPSA >Sspon.05G0001810-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:5833028:5837189:1 gene:Sspon.05G0001810-1A transcript:Sspon.05G0001810-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RRP4 [Source:Projected from Arabidopsis thaliana (AT1G03360) UniProtKB/TrEMBL;Acc:A0A178W3N1] MKDRHLSLNQTQRVRLEAALHELQSLAPAAASAAAVTVADTIPVNQEDNILKGHGTSDQDGEVVATLCGVVERVNKLVYVRTLRARYKPEVGDIIVGRVIEIAPKRWRLEINFSQDAVLMLSSMNLPDGIQAEVRGFQHDGSLHLQARSEKYGKYNVDFILGCNGFIWVGEHVVVGEKTKTTGQQRFSTEAENFTPLETRKHICRLANAVRVLSALGFTLTIELIIETMEASSSSNVEINDMLGAEFYVQTAETEAKRRADLLRKKNGR >Sspon.01G0010960-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:30285838:30288604:-1 gene:Sspon.01G0010960-1A transcript:Sspon.01G0010960-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MCWPRGPFEDRTVRLSSTVAQWRHGELTATRPSRHPITKWAQRSDRVFLTIELPDAKDVKLNLKPEGHFNFSAKGSDDLPYEFDLELFDAVSVEESKAAVAPRTICYLIKKTESKWWPRLLKKEGKPPVFLKVDWDKWQDEDDEDVGFNDFGDMDFSKLDMGGADDDDIEDDEDDVVESADK >Sspon.04G0021230-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4C:74147046:74147381:1 gene:Sspon.04G0021230-2C transcript:Sspon.04G0021230-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ENTHFEPRSITCKEIKAIGVQTDKTSGNEHLECNKVLVERISSNLRAMWGMSPNSLSRRNLISKIIASCSEEILSLLQCTRLSDNCEPSSEASSSMNEAISQVYDMFIKVS >Sspon.01G0054790-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:68722531:68741751:1 gene:Sspon.01G0054790-1C transcript:Sspon.01G0054790-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVIPTCRRIASDDRVPSVSASAIVGGSASGYHVLGIRGYSSIKIAFPNGKHFDSLPFRVAGHTWFIRYFPNGDSPETADHISLHLHLKDQLERGDELMVQFIISFMDQVRHNRHRPATRGGCRSFRRCDSLPETIEQDNGGATMAQHLLEAADRYDLQRLKLICEDRLCQQIDVSTVATTLALAEQHHCQALKEACFEFLKSPKTLDEVSATVGFQHLVKTSADPHKPPRRPSPPRWPPGRTSLRSTATPSPKSLPCGAHIKSSNFLAAGYSWYINYFPKGCGRLIKLARGYLSLQLVLDGAVAATAGAVMAQFTLSVINSAGLLERTTARKSPVHVGERQGGGSSTSTVIASATSGYHVLKIEGYSFTKSVPNGKHIQSRTFRVAGHSWFIKYFPNGDRKEAADYVSFYLVLMDRVTNALMVHLSFSFIDQVDYQKSSYVCGLQASCFLTSPTSFGRMKFIKRDDLERLERLKDDCFTVRCDIIVVGKIQAVHAAVVPSSAPAALVVPPHDWPQHFRALLESGQGADVRFLVEGRTFAAHRWRCVLAAWSPHRNRWLKEACFEFLSTSKTLNVGAATDGFQNLAKTFPFILFDLIAKLEARLMATRAPRDSPPASTLGPAPSALAATPGTSPTFPTGTPRGMPSSFVLPRPRGPPANGTRARTVLHRPARPRRQSGAVPHQARPVTLLEKSRYLNLKDDSFCVCCEVNVVTGFHAEDAASAAAKAAVAAYVFRNLLHFIYTDTLPTKFETQEETLIMAQHLLVAADRHIDVDTVSTTLALAEQHQCQGLKEACFQFLKKSPGSLNAVMATDGFDHLVNSCPNIIKELMSRL >Sspon.08G0002670-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:34263389:34264702:-1 gene:Sspon.08G0002670-4D transcript:Sspon.08G0002670-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLWLVLSVAVVVSAALLPPSAVAQLRTDYYASTCPNLEAIVRGSVRQSMAQSQIAAPAALRLFFHDCAVMGCDASIMIVNSNGDDEWRNTDNQSLKPDGFQAILSAKAAVDSNQQCQYKVSCADIMALAAREAVYLSGGPYYQVELGRFDGRVSTRDSVRLPGVNFTLDQLNAFFSGLGFSQAEMIALLGAHTLGAADCPFFQYRIGSDPSMDQGLASQLRGTCGSNPSGGFAFLDPTPGSFDSAFYRNLQGGRGLLGTDQVLYSDQRSRGAVNNYASNQGAFFADFVAAITKLGRIGAKTAATGEIRRDCRFPN >Sspon.07G0012980-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:47012669:47015925:1 gene:Sspon.07G0012980-1A transcript:Sspon.07G0012980-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MYKNQLQELAQRSCFNLPSYSCIREGPDHAPRFKATVNFNGEMFESPVFCSTLRQAEHAAAEVALNELSKRGPSSTLAAKVLDETGIYKNLLQETAHRAGLKLPIYTTIRSGPGHTPVFTCTVELAGKTFTGNPGKTKKQAQKNAAMAAWSELKQLPRVGEPSSSSCLADQDDEEQEQVIVTRTLVSLNQANGGKVPHQKEKQQSNNRPSSRRSYPKPNTSFYRPYMQNQAYPSVPPDQAMYHLWHQMQTTQPTPRFPVVPAMGNTRFPPPAAILPMYPPPRGQFSNPANQDALGLLPCFPEAAPALPQYFSPYPVSYVPRSSLPVTVHKIHEKRQDHTETVELPNAVVFSPCTSPEEEHNTLTVPSSTTQSSSHKLEPNEDKQTLQAGLKQPHEQQLMPSSSCVSPSVAAQNSVQRKHYASSIQHDEPIHRRNAPHTNPPALLDLWSSRSQALPRFGSAPPVNSPSSVFQQRPPWLAAPVTVRTAVPVCSARPNVANSSTGTAPARPAAQNCSAPATAEPEFHTHNGDRDRNSTAAASSEFNKLHI >Sspon.05G0000760-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:2047396:2048900:-1 gene:Sspon.05G0000760-2D transcript:Sspon.05G0000760-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWPPPSTSPSPSPSLVVRSPRQTVSLIRNRRPHRDWAPSSRSPSFAARDHGPKPSEVYGFVGSITTVIATAVYLAWAYTPEPVLRSLGITYYPSKYWALAVPSFVIVAVVLSMGIYMGLNFVATPPPTSFSTIFDENSRERTTFSSAIEEERPIEPISDISIDQINNLMFGDR >Sspon.02G0039780-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2B:61066407:61066979:1 gene:Sspon.02G0039780-1B transcript:Sspon.02G0039780-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCPKTESGEVAVACPPDDSLVEIFSRLPAKPLFRCKCVSKNWCGLIVDRLRCKKFPQALKGFFAGDGVESYGGFICPERPVPLVDPSFSFLTKLPEIKKIVLLDSCNGLVLFGHRRVSDKYSSLGYIVRNPATKEWVSVPSSDWTPFPFINSDDEMNQDDDADTYLIFDPAISPHFQLIQFQALFIYRV >Sspon.02G0014820-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:39730039:39732445:1 gene:Sspon.02G0014820-1A transcript:Sspon.02G0014820-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKDSKPKDAKGKDAKGKGKQAAGSSGGDDGGGKGGKGKGGKSADGLGTCTYVKARHVLCEKQGKINEAYKKLQDGWLDNGDKVPPAEFAKVAQEYSECPSGKKGGDLGWFPRGKMAGPFQEVAFNTPVGAVSAPFKSTHGYHFILCEGRKN >Sspon.07G0002830-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:6276035:6278675:-1 gene:Sspon.07G0002830-2B transcript:Sspon.07G0002830-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTGSARALALALAVLLACSDIAAVTAQETERIEGSAGDVLEDNPVGRLKVYVYDLPSKYNKKLLKKDPRCLNHMFAAEIFMHRFLLSSAVRTFNPEEADWFYTPVYTTCDLTPKGLPLPFKSPRMMRSAIQLIATNWPYWNRSEGADHFFVTPHDFGACFHYQEEKAIGRGILPLLQRATLVQTFGQKNHVCLKDGSITIPPYAPPQKMQTHLIPADTPRSIFVYFRGLFYDTGNDPEGGYYARGARASVWENFKNNPLFDISTDHPPTYYEDMQRSVFCLCPLGWAPWSPRLVEAVVFGCIPVIIADDIVLPFADAIPWEEIGVFVAEEDVPQLDSILTSIPTDVVLRKQRLLANPSMKQAMLFPQPAQPGDAFHQILNGLARKLPHGSNVFLKPGERVLNWTAGPPGDLKPW >Sspon.06G0019610-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6B:3388922:3391496:-1 gene:Sspon.06G0019610-1B transcript:Sspon.06G0019610-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAEEAEPTTEAASTESPLEEPVMSEVIRTTGEAGAADSALDTWKVMEIVAALCEQNAQQCTVIGALAERVDALECAVRRVEDAERRRRWGKKLKEGKGSNNSRCMLQLFRLGVAKVDIDVAYVASCVGCWSAATDDHRKWAKGTSGNDNVVANRRRLDSREGVRGAVTEKAGCCVFLAYGSMAKMEAADAGAAGMGCRGRSRGPNGNGRGGSGGVGHGSCVWTRASF >Sspon.01G0027790-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:97162686:97165777:1 gene:Sspon.01G0027790-1A transcript:Sspon.01G0027790-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLAAEPDDAHEEGENQQLLTTTKGGPGLEGLVVGSYCHDVLIRGGRVVGETLGGAAAFVSNVLDAASPQDAALNETAPFVVVAKVGHDFVYASAPAPARHPPLLCASPTTSFHAQFSETAASAHAPDRELRRVRACDPIYPADLPDRRFAYGLAVGVAGEVLPDTLERMIRLCRAVLVDAQALIRAFDGDGAVGHVALDDTPYARLLPRVAFVKASSEEAPYVGVETARRWCCVIVTEGRDGCRLYWDGGEARVAPFPAVQVDPTGAGDSFLAGFAAGLLWGLSATDAALLGNFFGAAAVSQVGVPTFHPKMLQAVKEILEEKTTKRSSPCLNGTSFTLEKSNMHNELHAALQEAAMLNSEQQKADKANGNGGDICSP >Sspon.03G0036550-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:86451216:86453101:-1 gene:Sspon.03G0036550-2C transcript:Sspon.03G0036550-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSNTAGKPLSAAAAVSWEFHATGPRNLSTPGWRDLIRSSWRDPNYRRIAMSCFVQAAYLLELDRQESRTGEAALAPNWWKPFKYKLVRPLIDSRDGSIYGALLEWDQLSALADLIVVKPQGAPKVVLALRGTVLKQLTVVRDLEDDLRLFALESLRGSVRFTGALEVLKSAIHKHGSANVCVAGHSLGAGFALQVGKALAKDGTFVECHLFNPPSVSLGTGLRKIQEKASSVLKRYISRSGSSSSSNVSPGEELQAASQVEVGEEELNKEVKRWVPNLYINSCDYICCFYADRSGVATITTEKHSGVHSKLYVIAKGPNKFLEAHGLQQWWSDDSELHLAVHDSKLMYRHLKSLYV >Sspon.03G0007190-3C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3C:35042670:35044725:-1 gene:Sspon.03G0007190-3C transcript:Sspon.03G0007190-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSRSYTNLVDLANGNLSALDYGGGGEGGGRGGGGVGVGGGGGGRPPRARQMQRTMTTPGTLVELDEDQAGSVASDVPSSFASDRLIVVANTLPVRGERGPDGRGWSFSWDEDSLLFHLRDGLPEDMEVLYVGSLRADVPAVEQDEVAQALLDRFRCVPAFLPKDLCDRFYHGFCKQTLWPLFHYMLPFSPDHGGRFDRSQWEAYVLANKLFSQRVIEVLNPEDDYVWIHDYHLLALPSFLRRRFNRLRIGFFLHSPFPSSELYRSLPVRDEILKSLLNCDLIGFHTFDYARHFLSCCSRMLGIEYQSKRGYIGLDYFGRTVGIKIMPVGINMVQLQSLLQQPDLERQVAELRNQFNRKTVLLGVDDMDIFKGIDLKILAFEQMLKTHPKWQGRAVLVQIANPKGGSGKDLEGLQTEIEDSCRRINEQFGRSGYSPVVLVNRTLSSVERMAYYTIAECVVVTAVRDGMNLTPYEYIVCRQGIPGLDGSADDRPWGKSMLVVSEFIGCSPSLSGAIRVNPWNLESTAEAMNESIALSDNEKQLRHEKHYRYVSSHDVAYWSKSYIHDFERSCRDHFRRRCWGVGLGFGFRVVALDRNFKKLTVDSIVADYKKSKSRIILLDYDGTLVPQTTMNKTPSETVVNMMNTLCADKKNVVFIVSGRGRDSLEKWFYPCPELGIAAEHGYFM >Sspon.08G0007750-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:23558816:23560040:1 gene:Sspon.08G0007750-3D transcript:Sspon.08G0007750-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARASSVIRCLLTVAAVLSPLLAGGAAAGGGLSTSFYSKKCPNVQSIVRQGMASAVAAEKRMGASILRMFFHDCFVNGCDASILLDDTATFTGEKNAGPNANSVRGYEVIDAIKTQVEASCNATVSCADILALAARDAVNLVHLTHPPSNTMQLGGPTWTVYLGRRDARTASQSDANANLPGPGSSLATLVTMFGNKGLSARDMTALSGAHTIGQARCTTFRDRIYSDANINATFASLRQQTCPQASDGGAGDAALAPIDIQSPEAFDNAYYQNLMARQGLFHSDQELFSGGSQDALVKKYSGNAAMFAADFARAMVRMGAISPLTGAQGEVRLDCRKVN >Sspon.01G0000820-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:1693398:1695472:-1 gene:Sspon.01G0000820-2B transcript:Sspon.01G0000820-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKSMLGDLDGLPEEDKMRMAAMIDQLQIRDSLRMYNSLVERCFTDCVDTFRRKTLDKQEESCVRRCAEKFLKHSMRVGMRFAELNQGVATPD >Sspon.01G0005260-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:12542935:12543870:-1 gene:Sspon.01G0005260-2B transcript:Sspon.01G0005260-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAACVDKWHPTHAGRLSGAIYRFLPDGATDRAAVTAAPASESGGSDDVWDELRAEAQADADSEPLLRKFYGDLVLSRPSLEAALAAHLSAKLCVPGVLPQDALRDLLAAALAAHPDAGRAARADLRAARDRDAACERMVHCFLYYKGFLALQAHRAAHGLWAEGRSAAALLLQSRASEVFGVDIHPGARIGSGILFDHATGIVIGETAVVGNDVSILHGVTLGGTGKESGDRHPKVGDGVLIGAGANVLGNVRIGAGAKIGAGAVVLRDVPEGTTAVGNPAKAVGKKAAPQRRPEEQPGVTMEQGWSDYVI >Sspon.05G0012280-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:36963664:36967280:-1 gene:Sspon.05G0012280-1A transcript:Sspon.05G0012280-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSLEVDNPGGGGGESANRLSLKRHDSLFGDAEKVSGGKYHGSEGSWARTLHLAFQSVGIIYGDIGTSPLYVYSSTFPDGIKYNDDLLGVLSLIIYTLIVIPMLKYVFIVLYANDNGDGGTFALYSLISRYAKIRLIPNQQAEDAMVSNYSIEAPNSQLRRAQWFKQKLESSKAAKIVLFTLTILGTSMVMGDGTLTPAISVLSAVSGIKEKAPNLTQTEVVWISVAILFLLFSVQRFGTDKVGYTFAPVISVWFLLIAGIGLYNLVIHDIGVLRAFNPWYIVQYFKRNGKEGWVSLGGIILCVTGTEGMFADLGHFNIRAVQISFNGILFPSVTLCYIGQAAYLRKFPENVGDTFYRSIPAPLFWPTFIIAILAAIIASQAMLSGAFAILSKALSLGCLPRVQVIHTSKKYEGQVYIPEVNFLMGLASIIVTIAFRTTTSIGNAYGICVVTTFSITTHLMTVVMLVIWKKHIVYVLLFYVVFGFTELIYLSSILSKFIQGGYLPFCFALVLMTLMATWHYVHVKRYWYELDHIVPTDQMTTLLEKNDVRRIPGVGLLYTELVQGIPPVFPRLIKKIPSVHSIFLFMSIKHLPIPRVVPAERFLFRQVGPREQRMFRCVARYGYSDALEEPKEFAAFLVDRLKMFIQEEIAFAQNEAAENEDEAAAADQQAPARPRPSTSSVVHSEEAIQSRVSTHSGRITFHANQTAEEEKQLIDGEVERGVVYLMGEANVSAGPKSSVLKKIVVNYIYTFLRKNLTEGHKALAIPKDQLLKVGITYEI >Sspon.04G0021300-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4A:74760199:74761572:-1 gene:Sspon.04G0021300-1A transcript:Sspon.04G0021300-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNPSSPASSSSSAAAQEHRLQEEETQTLHHHHHQEVAAGIVIPFPPSNSEADQAHDDKDAELSPPRCEWEFRLAATVPTTALPGASDAIGSVDFDPTGRLLATGGIARKIRMYDVASMLLLDSAGSGGGAVPGPAACICVPAKLSSVRWRPGGVASASVVGCGDYDGVVTEYDVERGVASWERDEHAGRRVWSLDYAPGAAMAASGSDDRTAHVWDPRAPSGGWATARFGGAVLCVEFDPSGAPHLAVGSADRRAVVYYVRALGRGAVARMDGHARAVTYVRWAGPGPARRVVTSAADGTHRLWEWGGASAAGAEVVEAGAAREVRSYSGHASARSFVGMGVWRGAGLVASGSESNHVFVYDLRWAKPIWVHPFFASHGHGGAHGPVTTGLGQVDTGGAGGGFVSAVAWRQGSHNDDADDLDGGALVAGGSDGVLKVFTCRRRREAAGDDGQALLL >Sspon.06G0002590-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:8279933:8280687:1 gene:Sspon.06G0002590-1A transcript:Sspon.06G0002590-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDAGETRALRLAATARKWLEDVSVDREGHVHPAVASGGQALAALATAGARVSLAEPGRIVCSLRLRAPLTVRCLACRRERASWMLSEPGSDLVLFLFSRARVRMQDAEGRWHAGAIATAADNVCAAAVFTVLGADVLTVQYGLSYFSSAHHDEEVEMDGRVGGRKGKLVAVTVEVRKKESGELVAICRQWMAPIGTTKSNTSINSKL >Sspon.01G0000030-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:46703565:46710528:1 gene:Sspon.01G0000030-2P transcript:Sspon.01G0000030-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISMSSKVAAVAALFVVLAMYADAASPNGGWQAAKATWYGAPNGAGPDDNGGACGFKNTNKYPYMSMTSCGNEPLFKDGKGCGACYQVVEYSTNKLLVNLDTVTTQTWSVNLIIRCLNSTHDACSGRAKRVIITDMNYYPVSKYHFDLSGTAFGAMAKTGLNDKLRHAGILDIQFRRVPCNYKGLNVNFRVQVGSNPNYFAVLVQYAGKDGAVVQLDLMETDKATGKPTGVWTPMRVSWGAVWRLDTKRPLQPPFSLRVRNGSGKTLVASNVIPADWKPLTDYPSSACNGGTIGFMTFLKMAVAISIKVAALVALVSVFATHGARAQPSYNASSARRELYYSSTTGGSWQPAKATWYGRPNGAGPDNNGGGCGYSGTNLYPFNSMTSCGDKNVFRDGKGCGSCYQIKCVSRNNPACSGVPQTVIITDVNYDTSLGPNRFDLSGTAFGAMAKPGLNGKLRDAGALSIQYRSDGAVVQVDLKEANSRIWKPLYESWGAVWRIDPGYPLKPPLSLRVRSDGGKTLVAYDVIPVNWRGNAD >Sspon.06G0001860-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6A:6001559:6002880:1 gene:Sspon.06G0001860-1A transcript:Sspon.06G0001860-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PsbP domain-containing protein 3, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G76450) UniProtKB/Swiss-Prot;Acc:Q9S720] MAAVTSTASLCPAAAGGLSSSSSFTRKPSSRRLQQGASTVCHCRPARYTPHLSFHSSFARTSLVGTIQLHRHAWLTGWKGWTGGTPCCCIVLSAAVGPLLAPAGALADEATTGTAAYALALRHMYRVLGCVPSSCVYMLIASVWSNGKNAQEGFTTYEDEANKFTIQVPQGWLVGAGEASGIKSVTAFYPEQAAADSNVSVAITGIGPDFTSLKSFGDVDSFAEGLVNGLDRSWQRPPGLAAKLIDSRAANGLYYLEYTLQNPGERRRHIVSAIGMAFNGWYNRLYTVTGQ >Sspon.03G0024660-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:74915866:74922556:1 gene:Sspon.03G0024660-1A transcript:Sspon.03G0024660-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSMVDRATSDHLIGPDWAKNMEICDICNRDPGQSKDVVKALKKRIGHKNPKVQLLALTLLETVIKNCGDILHMHVAERDILHEMVKIVKKKSDPRVKEKVLVLIDTWQEAFGGPRARYPQYYAAYHELVRAGAEFPKRLEKPAPLFNGQSQAARNMRSPDQQDEAESSAANDFPALSMSEIQNARGIMDVLAEMLNALDPGNREGLRQEVIVELVDQCRTYKQRVVQLVNSTTDEELMSQGLALNDDLQRVLAKHDAIAAGIAVRVEKKPKSLQALVETEDSANQDSKKEQGLIDIEEPTSQDAAKEPNQSTSDQSPFEQLALPAPPVSNGAATSAPKSDLGIDLLSWDDTPAPATALNSLALVPVTDPLADSTSSNQNALAIVDTFSQNSTANSNAQPADPFGLNPSSAIPGSQPYNTPTQQPLQSQQPPQQAALYPNGGAVNPGTSYDQASQFNNVSSGWNGQAANPAVSPPEQALNYDDQSGNLPPPPWEAQPAASNEVPNGQLGGMQPLPGPAGQIGSMQQPLPPQVNHMGVPQSQPMYSNQPGVGLPQQAMQPGQVAATQMQLGFGNQQFGSLPPTSMMGMQFGGMQPPQMYGGSQPVMMYPQQMPVAQFGAMPQQQPMYGGRLAGYMQHPAVAAAHYYNNQGTAGMYGYPGANGLSQSMYGLSVQDNSSMGMSSSYQTTTVPSAGQPMKPTKPEDKLFGDLLSIAKTKKAS >Sspon.07G0007480-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:15430175:15435269:-1 gene:Sspon.07G0007480-2C transcript:Sspon.07G0007480-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MERRAHSHCHTLLLYEGTNPEAYVEALSLNFLDEPYKIISSLEVVLAMACTNLRGASGVVHLTGEQQPPIAIDYQGPSIVGHLSCHPIFHRPPDLCDHRGRWHAQQGCQHSEQRCGGNVYWHFYDH >Sspon.01G0015680-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:47536969:47548313:-1 gene:Sspon.01G0015680-4D transcript:Sspon.01G0015680-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVHGEVSDDEAAAAAVTVVGETVVPSSPPPASAAGQQPQPLVVGYALTKKKVKSFLQPKLLALARKKGIQFVSIDETCPLSEQGPFDIILHKLTSKEWQQVLEDYREEHPEVTVLDPPNAIQHLHNRQSMLQEVADLNLSNGYGEVCAPRQLVIMKDPSSIPDAVAKAGLTLPLVAKPLVADGTSKSHELSLAYVEASLPLLDPPLVLQEFVNHGGILFKVYIVGETIQVVRRFSLPDVNTYDLGNNDGIFRFPRVSCATNNAEDADVDPCIAELPPKPLLEKLGRELRRRLGLRLFNIDMIREHGRKDRYYVIDINYFPGYGKMPGYEHIFTDFLLSLVQSKYKRHLSGS >Sspon.03G0029220-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:14229590:14234470:-1 gene:Sspon.03G0029220-1B transcript:Sspon.03G0029220-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTKQTARKSTGGKAARKQLATKAVRKFAPATGGVKKPHRFRPGTVALREIRKYQKSTELLIRKLPFQRLVHEIAQDFKTDLRFQSSAVAVLQEAAEAYLIGLFEDTNLCAIHAKRVTIMPKDIQLARCIRSGVRPNPTDEERGACFVFLLGCDLRPSIHPAVSAQPRGPVRADHCVEGMKITALLVLKPSTSGAGSSSSGGESGPEAVVLANATDVSHFGYFQRSAAREFIVFVARTVAQRTQPGQRQSVQHEGNLLRSDRLSDPTRRSGIHWWFDGRFISEYKVHSHNRNGLCAVAFMDDHYPVLDEYQKAFGDSWKSATADGTQEWAFLTDALTKFQDPAEADKLMKIQRDLDETKIILHKTIESVLARGERLDSLVEKSSDLSAASQMFYKQAKKTNSCCTIL >Sspon.06G0005360-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6C:16955768:16956292:1 gene:Sspon.06G0005360-2C transcript:Sspon.06G0005360-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding QEKQRGARILQGDHRTGRSHSGSRRGCGRGASGSGLTVPPSHCEEGGDVLIFCRVVVRNRRLARKTKVEVARCAVALGFGEVIEGRDLVIWMMPEVQGKHRVDGRRNKIVSRRTNRRGGSGRHIDTGWGARAGRRRTGMGGSLTGCSCGVGGAGRSQRTPAAGGREWGWRRRQI >Sspon.05G0023250-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5B:6419979:6422202:-1 gene:Sspon.05G0023250-1B transcript:Sspon.05G0023250-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRCPGRVQPIRAAALRGGHGRVTAPPTSAPAKRRRAPALGGQGEAIGAYNSTREGRRERCPWQWPGSALWAWRDEKLQIEACPGTVLGGGRKAKGGKVGTARSVFTLEERGNGIAWCDRWVEPRQDAAAMRAQGRAAGPVVAAQRAREQQRLRVAGSRCCGQSGQGTTTPRPNQYGLGRPTRGGVRARGAARPGNAEYNDVVTAHTQGKPAEDMLRTDFKATQKRLNIPSKSQCSPAYLSSQSLLVDIRGPRRKDKRSSCSSALTRLALPPRCPLAPPLLLHRNAPVPERGGHTPGGCGRGARRGVRAHGHGLPRGRSARACRAGPARHPAARLRCGAALPAHGAVADLARRATEAVRRTALGASARAPTGLLRWLGFSGIVLYAFYVASDVAARNEAAAEAASLDTIKDFLLFLMFLAGVWAVYLSMIRERGGPPPRPAAAAAAEEDPDYG >Sspon.02G0030390-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2C:109396293:109397123:-1 gene:Sspon.02G0030390-2C transcript:Sspon.02G0030390-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRYGEVAHFSHPQHRLRLEHSETPFRCDGCREVGIGARFRCPYPGCDHDLHRQCALPLSPPPPPLRHPFYPRCAFVFLARAPGGPGARYCNACGRDVAGYVYHCRACGFDLHPCCAALPHALDAGGGVRLYLYPDSRAAGVPPCHRCGHRGRSWSYRSQCRCYSLHVACVMDMLVESWHGVGRHKGAAGGGGGVNVYDGGMVVPGSGGYRVPAIRGAAKSSHASRGGGGYSYWGRKKGKVKRCCEIAGFAAQVVISAVLGDPTALIAGVIGSLIAR >Sspon.07G0031740-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:27715151:27716230:1 gene:Sspon.07G0031740-1C transcript:Sspon.07G0031740-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DEELLGQALDLNDKLQILLEKHDSIASGSPLSADVIDVMSEVPSGMALNLGANVPPQAAVSTTIVPTNVLNDEDEENEDDEFSMLARRNSKFQSTNTPSTSSSTIHDEISSSTASVPTTSPSIESNTLSLHDPPAPVRTSPEEQVISDLLALTISSNLSASYTPVIPEPGLNQGSSPTSGHPRAISATYVAPWAQPQSQTTGSQQLTPTQAQLSYSSFAYPPPPWASQDNTELNPFVASSSSQHQSTLSTPIMPPNLRPLQQSHSFGVPLRSASLDSPINGNLKQPLSAGARRPSYVPSNKFFDDLFERNSDGSLKVGSTIGGGTTSPYKA >Sspon.07G0014750-2P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7A:52933792:52937157:1 gene:Sspon.07G0014750-2P transcript:Sspon.07G0014750-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRGLREIKDCWKGRAGYSLDERLRMLDTLKAQKQQQQQQQQRKALDSARRAGSETDSESRGSGEQVLARLKHDKSGQKKAGKLVKEKSKGLLRVGTPKGVDEEYMGVLATILWWHSLNFLVKIIMCTGMIQARIEGSITGALLPKPVKKKELATSYDGNLYGNNYSDNHTASPYYYGRNPSANQGVTLAAAYDPPYFDTRRNARYSERDWVQGGKGVHANKAEMGDEMNWPSGTHSGNLDDWQMGQSAGDYRSRKDQAGYGLKVKSYKSIEQQVNDARVGSDPRSKISQAKMAGKSSAQLDRTGQKHSRSNTVYAQSEETESDSSEKFEDSGEVHYLERKTERHHSGYHRPAHGAKKSNKLAKVVKMNYPTADADLEPSQSKGFKGKVSETGYLRDVDVMMTEQISDDMKPPAASGERKRKGVANLDMHVYDNSDLHEVDENANDSSRLAENERLASRSGHAVQDSNGDYGGTERVSSSSKKAKGRVEVPSLDEHGEHLSSSGPKVVENIGGSKKKSKKKPESSTTDAVVIAEPAATVPENNVVTAEPEKPEKVEKPKKKYVPITPTIHTGFSFSVVHLLTAVKKAMVTPAEGTPATAKQPDGEEGKKWLNSEECSKTPHQEQSTTDQAEQVLEGANTSAAEHEGANATAAEQTVPINAPALTVQEI >Sspon.05G0024740-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:17503528:17508573:1 gene:Sspon.05G0024740-1B transcript:Sspon.05G0024740-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPTCCSSSTPHEVAEVAANALGSATFPKVARLKVRIEVSSFKPVEAARKEMHLGLGFATEAKEGIHDDYKKKFCTKPEGDLFLEASSKGADMFNRSKLQKKRALDSDDVGSSGTSTRSKAISTGARSRGRGRGRGPSTGRYRTMLHEVDEEMPPGTQTHQHNPPSTTGQAVISRFTLTRRTALCALSISAVPSPTVPSRMQRRVGPVLVLRRRVLLCDGVGRLAGAVGFAAARHVVVDGARLGFVEELGALLVRALVGRPLDAVAVDVEPPFLDQVLEVAVGEPAERVGHLAVQEVVRQVELLHLAQARERRRDGAGEAVGAGVDDRGLLEQAELVGEAAAEAVVEEEHLEERAGVAGDGLGYLPREPVVGEGEVVHGRLPDVVREAAGELVVVDEDGLHLREPAEDAGGELPVEAVEPDVDEGGVARPSGTWRRELVVAQVQLVEVLQAGERRHGAAEVVGVGVEQRQVREAVDEVLQGVRPQHVAVQVDGRDGPVRHVGRPLAEEALVAAAQIRAAPAPGDVHRVARHRALELLDHEETKKQRRATALRAARAGPGPAISLILITGSVGGRRREKPRGTWLLRLSRSRAERATRFRAASPAKSPRESDSAGGSEARPRRRVRVGEWHGPQDVRYINARTGQRRQGGDLLHACINHFALAGQEGSVVGTCKGQE >Sspon.02G0046230-1P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:10677840:10680323:1 gene:Sspon.02G0046230-1P transcript:Sspon.02G0046230-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVEQVQECDQMMQSTAITCLDQSDMIQLEYLTLPQSSRLSKPPLKKPPPYLEQLLRYDQRGESSNYRKNMRYYNSMFAFTSMGGHIDHEINRQGGGPYVFRLKLGLSPVQWFFTIFLIAICFHAKPCTCSSSSDQDRRARYTWNTTFQQMNQGLLFHRDNESVTLQPGSLGYYMGNSSQLGFDLTDPGWFVIPKWFDPWKTSSGNDRIDLQEASFSIVFTLSVVSTTITPLLFDILPRLEPSPTDAGGFRPFSYEPVKIEALDSTTFHSGRTIRVTTEFQLPEEDDPNPARYSVCINYDHDAHNLSVYLVHDADAGTDVPTAAATRQLDAGDTLTPDALLFAISSSMGQLLQLHTWNFTIEVPVTEQSQGPNTATIVSSVVGSAAATAAIAAVVYFYLNSKYRRWKKDLDKLAKTMQSLPGVPMQVDFADIKRATNNFHETMRLGQGGFGTVYRCKLPAPKKGELIEVAVKKFTRADNRGYEDFLAEVSIINRLRHKNIVPLVGWSYNKGEPILIYEYMPNGSLDQHLFRRSSDEQRQATCMSQWGTRYSLVKDIATGLQYVHHEYEPMVLHRDIKASNIMVDFTFQGRLGDFGLACVLANGKDSYTDYGAPGTLGFRAPEYVYNGKATRKTDIFAFGVLVLEIVTGKRAVGKDVQFGHVTDWVWKLHAEGNLLAAVDVVLTTTSEFDADEAIRLLQLGMACSSPNPSDRPSMADAVQIISKSVPAPDIPLSKPPLVWPPEGWGDPSSTSDYSTSSTSNFNTTSTFMVEMTAGTEHIS >Sspon.06G0014220-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:88711173:88714716:1 gene:Sspon.06G0014220-2C transcript:Sspon.06G0014220-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPSSPLELATMPLEVAPGRSPSLLSPRPCPCRATRKRTRPSRLLRRYRSSSPLAVSPRPLPLELATRSSVCLCTGRSTAALRPSPPSTAPGDQEVLEKRLQIKRLSLKKVTNFHLFLQSTLLRTTSQTLKKS >Sspon.05G0020710-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:83337720:83343816:1 gene:Sspon.05G0020710-3D transcript:Sspon.05G0020710-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LMELGDGSERAAKRQGLSSSDAAASAAAGEDRLSALPDDVLVLILLKLTTRAAAQTRVLSHRWRRIWALLPVLRFTSPPEPHRLRDALDRHKVLLRDLLVGADGATAESLAVWLPAAARRVSGGLTLKTYGPVPVEGAGDDDGVEEEAAEGGAFELPCFEQATKISFFLGFQGLAVPLTGVFARLTRLHLSRVWFHGSGELGDAVSSLRCPCLQRLTIEYARGLRDLAIHSESMLRMELRGLCALSRLTVVAPALEELTMESCFRKSEPVANISAPLLTRLEWSDEYDPSSVHIGKMAHLQWLRTRFYLVYGNGGFLHNQSCLSLLQRCQGIKSLFLPLMYLQLVFSSKDTMCLGVVLRVIRRSEG >Sspon.05G0032480-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:18044939:18046768:1 gene:Sspon.05G0032480-1C transcript:Sspon.05G0032480-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAIVVLLREWQNMGLDQPQVAEKFAGCDLYVTCFDEANKMSLPLQEALKDDTRIEYHQKHLLALLSAISSIYRDGANVKAYFAWSLLDNFKWVNGYMVRFGLNYVDYNNGLKRYPKNSAHWFKEFLQK >Sspon.01G0061350-1P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:93331042:93333870:1 gene:Sspon.01G0061350-1P transcript:Sspon.01G0061350-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGRDPWGGPLEISNADSATDDDRSRDLDRAALMRQLDETQQSWLLAGPGDQAGKKKKKYVDLGCVVVDRKIFMWTVGTILGLGVFIGFIMMIVKLVPHKRPPPPPPDQYTQALHKALMFFNAQRSGPLPKHNGVSWRGNSCMKDGLSDSTVRRSLVGGYYDAGDAIKFNYPMAWSMTMLSWSVIEYRAKYEAIGELDHIKELIKWGTDYILKTFNSSADTIDRIVAQVGVGDTSKGSSQPNDHYCWMRPEDIDYKRPVTECHSCSDLASEMAAALAAASIVFKDSKTYSDKLVKGAKALYKFGRLQRGRYSPNGSDQAIFYNSTSYWDEFVWGGAWMYFATGNNSYLTVATAPGMAKHAGAYWFGSPNYGVFTWDDKLPGAQVLLSRLRLFLSPGYPYEEILRTFHNQTDNVIDYLEAADTPGWYCGPNFYTTEVLRKFARSQLDYILGKNPLKMSYVVGFGNKYPKRLITEVHQYLIMASTLISISDIKTGRFGIDKNTIFSAIPPMFPTPPPPPSAWKP >Sspon.06G0009080-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:48818740:48819450:1 gene:Sspon.06G0009080-1A transcript:Sspon.06G0009080-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVLASPSGEEMSGVITKFAVTSMVMWMAPVAIMYGFYYQVFPGVSQMSSSAQTLASGFLAVISVNLVIGFYIFMAMKETPHQEPQPDPTFLANAKASINQPTSSQVSDDSNGKGKVE >Sspon.03G0001750-3D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3D:10512691:10516811:1 gene:Sspon.03G0001750-3D transcript:Sspon.03G0001750-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPNQEQTVDANSPEQTAQDGAVYHLPWKWAHSIPMPESGCVLVATEELDGNGTFERTVILLLRLGSRDAYDGPFGVILNRPLYTKMKHVNPSLGEQATLFGDCSLLFGGPVDMSIFLMKTTEGRRIKGFEEVVPGICFGFRTDLEKAGALMKNGTVNPEDLKFYVGYSAWEHDQLLSEIDAGYWV >Sspon.03G0018800-4D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3D:44141520:44142425:-1 gene:Sspon.03G0018800-4D transcript:Sspon.03G0018800-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cell division cycle protein 123 homolog [Source:Projected from Arabidopsis thaliana (AT4G05440) UniProtKB/TrEMBL;Acc:Q9M0V1] IHEWYPAFRRHSIPTVIIPLPAAFLRYLAGQRAYPDPDADADADEEPLPFLLPAVTSGRQAFAPIHAHHPDPDSLLNSDIFFGSSDEDVFDPDAEHPLRPELPELEAAIDGAIAELGGAALPKLNWSAPKDATFMSADGRTRCTCFAEVAMLLRSSDCVAHDLSSARQSCEDFVRPEGARRNAQKLSTGAKEGARPNADETGSSEGSHEGTNEAPSNSSKIGGEGGKTDDEDCDVEAATEEERNETTWVDDGFQYYLALRKWYPGLRPESEFRCFVRERKLVAVSQRDPSAYYPSLPGWSSE >Sspon.07G0008010-1P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7A:21890555:21897068:1 gene:Sspon.07G0008010-1P transcript:Sspon.07G0008010-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GGAGTWSDGKLMTRIGRNTDGVQAVTIRFNARVDDLIVEDGQVKGIVVSDVELQPGSASQKLAFDAVVLAVGHSARDTYSMLWQHNVDMSPKSFAVGLRIEHPQELINSIQAKEHAARGHGNRVKSGWTLRSALWERGKGSVLRGLQRGPRGNGGERDRLEVVPTSTNPSELCINGMSFSRRASKWANSALVVTVSSHDFKSFQSHGPLAGVEFQSPPLLHRAPLGRGRSPPGAPPPFRCPILLIRLPSSSPHSRSGSPQRHRWAAAASSPGSSLAIPGCRRQHLPDLRRMDADGSCPVSCPRGGGQAWPHAPTLHCHGGSQIWRVTAVGRICPSRPLAHRPSTSRVLLRHRHRLGHWLPRHAIGRAYRLCIAHPSMAAFPIGSLLTPSPSTTRIFSEQQGYYCVASSGRSTAARVSALPLHLHHRHLCIDDRAAQSLLRYPSAQGLRPADWVFIIELPLLWPSLSHAPGCTPRHNHVVSVTVVGAFSLVRLATPTRAFVLDALLGLANPACTSALRRLDCIDFGIDPPVPTPSASIASSPFFYVHDAPDNVDFGIAASYDDCLNASPSSPWRPLCVCSSIDTAI >Sspon.06G0014500-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:91528446:91533219:-1 gene:Sspon.06G0014500-1P transcript:Sspon.06G0014500-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSVVLRKILSPLIQITVSLSASTNTQAQNIFPLYVLLARPTSNISLEGHSLIYRFSRVCMLTNFSEFGNKGKTEATFIIPGVKNLSTSRACNLNIIFISCGQVGQIIGEDSYSGNDVERSSLQKLEGKCSWGKISTNVLASSLEKCVTLSTGDAVDLASTVTMNPSFLEPKFLEQDSCLTFCSHKVDATGSYQLQVCISAEEAGARELLLSPYYCNYSYDNVPPSLLPEIIRLRAGNVLFNYKYYNNTMQKTE >Sspon.03G0024050-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3A:73287898:73288357:-1 gene:Sspon.03G0024050-1A transcript:Sspon.03G0024050-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATMFLGAILFGSLAMQAQCRPHELLDASVGSVDTTIANSTSPLDVEIKNDELLMVCLKYECDKFQPNGTCFCCEVSRVANDCYLTHHECVVHCHRPNST >Sspon.07G0020040-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7A:74553700:74553998:1 gene:Sspon.07G0020040-1A transcript:Sspon.07G0020040-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRASALKGALVAGICVALVVSWVGEPAQGSPSCALHRCISECPSKCDKQAASSCEGAQAADEPKCRFYCGWACKANCPNGTTCDCDSSCDSQCKSFR >Sspon.07G0005470-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7C:9221116:9224183:1 gene:Sspon.07G0005470-2C transcript:Sspon.07G0005470-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding EWTDTNGSPSDKVIGSQAELGKFNEDISIHPAPPIQEATALESSMLPNITNIDLKDNFEQGLPGDENGTFCQVQFGSYCHWSVEHKEVMKDSTVKRLKDQLFVARAYYPSILKLDGMEKLSREMKQNIQELEHMLSEAISDDDLSKLHGVNMAKMDQTISAAKSCAIECTNVEKKLKQLLDMTEDEALFHARQSAYLYSLGVQTLPKSLHCLSMRLTVDYFKSKADIEHSGAEKLENPAFQHYIIFSSNLLASSMTVNSTVINSEESANMVFHLVTDAQNFYAFKNWFIRNAYKGATVNVLNFEYFQLKNLVNGKVEQLSTSEEFRITSHSNAPTLNTFLRTEYISMFGHSLFVLPEFFSSLKRVIVLEDDTIVQRDLSLLWNLDLKGKVIGAVQFCRVRFGQLRAYLHDFPYNSSSCIWMSGVTVIDLDKWREHDVTGIHHRIQNKMQHESEASWRAATLPAGLLVFQDLIHPIEGQWVQFGLGHDYGLTHGAIKKAAILHYNGNMKPWLELGIRRYRKYWKRYLPRDDPFMIDCNVNP >Sspon.03G0008550-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:30216000:30219440:1 gene:Sspon.03G0008550-1P transcript:Sspon.03G0008550-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLQFTSKQAAEDMALAAYNRALKLSGPGLQVMGVGFTGSLASSRPKHGDHRFYVSTWTHSCLRTSHVTLSKGLRSREEEDKVSSYFLLKAIADTCRVSATIRSDIHEPEIPEESMEEFDEDQDLQQIINGQVCMKVYNFAALVENNFSRKIILPGSFNPLHDGHLRLLEVASSMCDDGLPFFEISAINADKPPLSIAEIKGRVEQFRKAGKNVIISNQPYFYKKAELFPGSAFIIGADTAARLVNPKYYGGDYNRMLEILLECKSTGTTFLVGGRKIEGNFKVLEDLDIPVELRDMFISIPEEKFRGLL >Sspon.01G0024250-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1A:87100745:87104801:1 gene:Sspon.01G0024250-1A transcript:Sspon.01G0024250-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHQLHMPPSHWLLPPPLHAPTTMAPSPCTYDRRPLHVGELHLLHASATPMRCRSLTPSHSGELCPLHTSETRRHRFQPKPSLTVQSFKPMDRVGPPKQSFYLPMVYRPGPQPISARLFLPLLSRSAPPASFSPPPPLPFLHQSTIANHAQPNPAPSLPINAIRHHCRGLCAPKIPNPRSSQWPPHSRCALRPRPPLPVPASDPPPVRLSLSAYHICSDFLAPYNHEVLLFEALREALIEEMKLDPTVCVFGEDVGHYGGSYKVTKGLADMFGDLRVLDTPIAENSFTGMGVGAGMKGLRPVVEGMNMGFLLLAYNQISNNCGMLHYTSGGQFKIPVVIRGPGGVGRQLGAEHSQRLESYFQSIPGLQMVACSTPYNAKGLMKAAIRSENPVVLFEHVLLYNLKEKIPDEEYVLCLEEAEMVRPGEHVTILTYSRMRYHVMQAAKTLVNKGYDPEVIDIRSLKPFDLHTIGNSIKKTHRVLIVEECMRTGGIGASLRSAIIDNFWDYLDAPIMCLSSQDVPTPYAATLEDATVVQPAQIVAAVEQICQ >Sspon.04G0027790-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4B:61497493:61501730:1 gene:Sspon.04G0027790-1B transcript:Sspon.04G0027790-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVAMDISKPTPAASGDEAAAAAKGRSGAGGEGLRQYYLQHIHDLQLQIRQKTHNLNRLEAQRNDLNSRVRMLREELQLLQEPGSYVGEVVKVMGKSKVLVKVHPEGKYVVDLDKSIDITKITPSTRVALRNDSYMLHLILPSKVDPLVNLMKVEKVPDSTYDMIGGLDQQIKEIKEVIELPIKHPELFESLGIAQPKGVLLYGPPGTGKTLLARAVAHHTDCTFIRVSGSELVQKYIGEGSRMVRELFVMAREHAPSIIFMDEIDSIGSARMESGTGNGDSEVQRTMLELLNQLDGFEASNKIKVLMATNRIDILDQALLRPGRIDRKIEFPNPNEDSRFDILKIHSRKMNLMRGIDLKKIAEKMNGASGAELKAVCTEAGMFALRERRVHVTQEDFEMAVAKVMKKDTEKNMSLRKLWK >Sspon.05G0012840-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:5A:40476187:40478436:1 gene:Sspon.05G0012840-1A transcript:Sspon.05G0012840-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDKLDVLMLFRKIIEFVMSDHCSIMSTDLLHELLMEEITDEKFLLILDDADIEDQQFWNSILEVLNAGAKGSVVIMATKSYAVAATRDVTYVHFLNPLSEENKLMIFQQYSGVGTDIQNNPDLVMVAKRFISRFGSNPLNLKAIGGLLCHADTISLEKDMFEESVVPLQLCHDALPVHLKRCLALCSLFPEGYIFDKHHMVLLWISHGCVRPVEGCEPEDVGTEYFNELLCRSFFQYSPSHDYKDNKFVMHDLIYNVVASFSRDKYFKSEDPMCSIPENVLHCSLISSQFQTVELMHRTEQLKDLQTFLALQPEWKPNSISLPTLNLVGLDDFFLKFTSLETLDLSHTETEELPRSIAGLRNLRYLSVNNTNARALPSELCSLSNLQTLEAKHCRFLTELPRDMRKLVKLRHLDLTKELGYVHLPHGIGELTEIQTLPVFHVSGDSSHCSISELGNLQSLRGCLWLSGLGSVKTGSKAQEAILKDKHRLNDLTLQWHDDGINIDDEYEDQDDAENVADEQVLDSLQPHASLQVLTIRGYEGSRFPAWMQGSSSSLPNLVTLTLDSCYNCTEFPAIAHLPSLKSLSVRKMYDVQRLSSSTDTHGTGSAAAKFPSLELLNLWEMYGLEELFEEESEGDCPRLRKICISRCPDLKRLPRARSLTELVLHCGQQLPDISELSSLVSLKIEGFHGVRSFGLPAAAALRRIEIRSCKELESVDGLSTALTIVRRIKIAGCPKLVLPGTSSLQTT >Sspon.01G0057930-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:18146582:18148312:1 gene:Sspon.01G0057930-1D transcript:Sspon.01G0057930-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding METRLKSFGAKFEEASAVHSGPWTDKRGPKVWAVRCASAGGQWPAIVRRDQGNPRRVTRVLTLTLRDCMQCNFCVSTFPAGHSSMRACGGQPATPATLSSFHSSSQVPSCKEQPAACGLQQQVSIFLPQFTHLNEALVPVLSPTALRVWPGTGRG >Sspon.03G0000800-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:2345347:2347016:-1 gene:Sspon.03G0000800-1A transcript:Sspon.03G0000800-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:30S ribosomal protein S31, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G38140) UniProtKB/Swiss-Prot;Acc:O80439] MVLLAIQGLAMSTAAAALPSHNHGAVSSSVSTSSYALAASAAFPRVRATVAVGAVTSAAVTPVLDVYCGRGDKKTKRGKRFNHSYGNARPRNKKKGTGPSRLFAPPAPPRKDQFDDGEIISIEIDEDILE >Sspon.01G0038160-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:27833652:27836304:1 gene:Sspon.01G0038160-2D transcript:Sspon.01G0038160-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVSRDDELVIKSPNDQRSYRLLRLANGLCALLVHDPEIYADGYPPQASKPREDEEMEEVDEEDEDGDDDSEEYSDEEGEDDDGEEDEEEDEGESKRRKVKGGAEPIVKKSTCFLWGVLNFQMKTRFSQFFVSPLVKAEAMDREILAVDSEFNQVLQSDSCRLYQLQSHTCSQRH >Sspon.07G0001550-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:2314069:2314575:1 gene:Sspon.07G0001550-2B transcript:Sspon.07G0001550-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GGGGVPDTVHAGRARLLAAPQVPPPGGGRRHQAPLAVAAAGLALRRRRAHAAACARAAGGPAARAGAGAGRVRGRHAGAGQARVGACAARRRRGALDQARAAPQAGTAPGARQRQAHRVRAAAHLRDLQVHRRVQGAHHHASLLRRAPAAQGARPRRGDPVHASARHVI >Sspon.07G0023680-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7C:16363076:16363530:-1 gene:Sspon.07G0023680-2C transcript:Sspon.07G0023680-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DLTLQEWRRAALSVCLAAPVTCGYGRWCVPWWWGSREWNRWIVVVDGNGKEAVLLSCLGVGVGRGVMISKQVDGDGAAHVCLKLSTLSSSLPSGRPHMCVERSLIATTTIESPARVRPQLSRQKWRQPPGVCPSAPVIARLGSRRGYMVG >Sspon.07G0013850-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:50077156:50081410:1 gene:Sspon.07G0013850-4D transcript:Sspon.07G0013850-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVSEKVVPSSSVSSSSDLDPLLKDLTEKKLSFRRNVVSLAAELKDVRNKLASQEQLFVRESQTRKQVAETKARSMEEEVSKLQKCLQDKDEQLRSSTSSTEQYLHELDDLRIQLSFTQATAEASAASAKSAQMQCLSLLKELNEKDISLKEHELRVNKLGEQLDLLQKDLQARELSQMQLKDEVIRIETDIMDAVAKAGSRSDKDNELLKILSDVSPRNVQNLNNLLNAKDTEIARLREEIRILSAHWTNKTKELESQLEKHRRTDQELKKRVLKLEFCLQESQSQMRKLKRMGEKRDKALKELMDQVAMKQPNSMCHDKENFWESQGFKFIASMSMLALVILAKR >Sspon.01G0034810-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1B:5503052:5503301:-1 gene:Sspon.01G0034810-1B transcript:Sspon.01G0034810-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNFILEANPCRSLRSDVQCRRRLLDCQPQQHTDPERRPSTPDKLATGQRNYSDVQRTIGRPPNMNRPASIAKPRSGMSGDSE >Sspon.03G0037550-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3B:99470598:99470915:1 gene:Sspon.03G0037550-1B transcript:Sspon.03G0037550-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVTSTLRVSEKRRPSCKDMPSVTEAESWSSWVWVWEETDDGDIATASMGKQDGSLPCCQDRFVLPAPGAAKPSPQPCWGTKNPPRKQGAFEGGGDDEAPIPWVGG >Sspon.08G0015820-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:57715500:57716410:-1 gene:Sspon.08G0015820-2B transcript:Sspon.08G0015820-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPQSLDLGLSLGLGVAAFQPSFCHPAGNAVVAAAAEREASPAAAEERERRCSPAGSPVSSGSGSGKRAAAERSAGSGDEDDEGAARKKLRLSKDQAAVLEDCFKTHHTLTPKQKVALASSLGLRPRQVEVWFQNRRARTKLKQTEVDCEYLKRWCEQLAEENRRLGKEVAELRALSAAPALAAPLTTLTMCLSCRRVASSSPSSNTSPNIPAAHAHAAAVASAGVAANGGMASPAAATLPAHRQFFCGFRDAGAAAVAVYGASSGLAKAVRAAR >Sspon.02G0055110-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2C:126051714:126051974:1 gene:Sspon.02G0055110-1C transcript:Sspon.02G0055110-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHHLKTCSSVLLLLLPLADARWLEHPPRQADRRSRWRRHGPSWLVGVVGTAVFAGKFRRGLSFLQLEELNKEHAKHKHPMDAWLLTR >Sspon.06G0034510-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:46629791:46631331:-1 gene:Sspon.06G0034510-1D transcript:Sspon.06G0034510-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding PPAPRVYIKPGILAIFSKLPGQPTAILSDPADIEMATSGPVPLVSQVQRKPQTFTPSPWGDFFLQHIPCTPSKLLSMKERAQRKKEEVRQIILETVASSNLIRKLELVDTLQQIGVDYHYKEDINDLLCSVYEDKDGGSDNLYITSLRFYLLRKHGYGVSSELAKEVKCTLETPRFRRVKRVEARRYISVYEKKDETILEFAKLDYNISQAIYCDELNELTIWWKDFQSHIDLSFTRDRMVELHFWMLRVIYEPYYSYSRIMMTKFFIFALLFDDLYDNHSTTEESNIFTTAMERLHLFA >Sspon.05G0036610-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:89001369:89003842:-1 gene:Sspon.05G0036610-1C transcript:Sspon.05G0036610-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAQAASWLPATPSRVTPLYIGWGIDGSVWISSEMKALNDECEHFEIFPPGHLYSSNTKTGGGFSRWYNPPWYDEAIIPSVPYNRWRSGRPSKRKTVCFVSSQAVVKRLMTDVPFGVLLSGGLDSSLVAAVAVRHLAGTEAAKRWGTKLHSFCVGLEGSPDLKAAREVADYLGTLHHEFHFTVQDGIDAIEDVIYHTETYDVTTIRASTPMFLMSRKIKSLGVKMVISGEGSDELFGGYLYFHKAPNKEEFHRETCRKSQQGDIGLGLEARVPFLDKEFINQAMSIDPECKMVRPDLGRIEKWVVRKAFDDEEQPFLPKHILYRQKEQFSDGVGYSWIDGLKAHAASNVTDKMLSNAKFIFPHNTPTTKEAYYYRMIFERFFPQKSAILTVPGGPSVACSTAKAIEWDAQWSANLDPSGRAALGVHLAAYEHEHPHEQDPKHVPDTIAAGGSKKPRTIRVAAAPPPPGVAIEG >Sspon.02G0018040-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:76324280:76325809:-1 gene:Sspon.02G0018040-2C transcript:Sspon.02G0018040-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGDPACVLPPRPRTMKLGSQGLEVSAQGLGCMGMSMAYGERKPEADMVALLRHAIAAGVTFLDTSDVYGPHTNEVLIGKALHLHGAREKVQVATKFGVTPDVRAVRGDPAYVRAACEGSLRRLGVDCVDLYYQHRIDTKVPVEVTMGELKKLVEEGKIKYIGLSEASASTNRRAHAVHPITAVQLEWSLWTRDAEQDIIPTCRELGIGIVAYSPLGRGFFSSGAKLLTELPDDDFRKNLPRFQPENMEKNALIFERVSQMAARKGCTSSQLALAWVHHQGSDVCPIPGTTKITNFNQNLGALSVKLTPDEMAELESYAAMDGVQGDRYHSTFLNTWRDSETPPLSSWKGN >Sspon.04G0022910-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:9531041:9535717:-1 gene:Sspon.04G0022910-1B transcript:Sspon.04G0022910-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGFVGVVVSDPSLQGQFTQVELRSLKAKFVSLKRDSGHVTTKNLPGLMKKLRGLNEVVSEEEIAAFLSESYPDSDQEIEFESFLRVHTSRRFSELFNYNVDWNGLVFSICSDGTSESGAVLLQEYLNLQARVSAKQGGAGGGGGGKSSFLKSSTTTLLHNLNQAEKSSYVAHINTYLREDPFLKKYLPIDPSGNQLFDLIRDGVLLCKLINVAVPGTIDERAINKKRVLNPWERNENHTLCLNSAKAIGCTVVNIGTQDLVEGRIQLLADLNLKKTPQLVELFDDSKDIDEVLSLSPEKMLLRWMNHHLKKAGYKKTVNNFSSDVKDGEAYAYLLKALAPEHSPETTLDTKDPDERAKLVLEQAEKLDCKRYLTPKDITEGSANLNLAFVAQIFQHRNGLTSDTKQVTLTQTATRDDVLLSREERAFRMWINSLGVESYVNNVFEDVRNGWVLLEVLDKVSPGSVNWKLATKPPIKLPFRKLENCNQVVKIGKQLKFSLVNLAGNDIVQGNKKLIVDEDKKLNATYIISIARKIGCSVFLLPEDIVEVNQKMILTLTASIMYWSLQKQPQPQSEMPEQSEPSSMTSDAASDIASEDGASTTAPSESEEVNSLSDSISNLTMDDATSNAPSAENGNGVAGS >Sspon.04G0003900-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:11918603:11924738:1 gene:Sspon.04G0003900-1A transcript:Sspon.04G0003900-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGKYSGARTKRRWRGLAAAAWVLIAVVGAAVMHWTQRRQSMDRAEERLISMCEERARMLQEQFGVTVNHVHAIAILIATFNYEKSPPAIDADTFAKYTARTSFERTLLNGVAYAQRVFHHEREMFESQQGWIMNTMQREPAPPRDEYAPVIFFQDTVSYLARIDMMSGEEDQENILRARTTGKAVLTNPFRLLGSNHLGVVLTFAVYRPDLPADASVEQRVEATIGYLGGGFDVESLVENLLSKLAGNQDIVVNVYDVTNASEPMALYGPSILDEQVPSHVSMLDFGDPFRRHEMRCRYRQKPPIPWSAITNPLGLFVIWMLLGYSIAAAYSRYDKVTEDCRKMEELKTQAEAADVAKSQFLATVSHEIRTPMNGVLGTYAFILILLNLQFHGPGNLKFLPSGVGMLDMLLGTDLTMTQKDYAQTAQMCGRALITLINDVLDRAKIEAGKLELEAVPFDLRSLMDDVISLFSSKSREKCIELAVFVCDDVPKLVLGDPWRFRQILTNLVGNAVKFTERGHVFVRVCLAENSNMEANQVLHGTMNGKDGKVESTTNGAFNTLSGFEAADRRNSWQYFKLLLSNKESLLDDLEGENSNQSDSDHVTLAISIEDTGVGIPLQAQDRVFTPFMQADSSTSRNYGGTGIGLSISKCLAELMGGQISFTSRPFVGSTFTFSATLKRSYKDISVDSSRSLSEALPTAFKGMKAILIDGRPVRSAVTRYHLKRLGIIVQVVNNMSAVATMLFIESDFWRPETDVQLLNHLREHKNGLLSDAPKVVLLVTSEADKDNYGSIFDIVMCKPIRASTIASSIQQLLKVEMPERKENQNRPSFLRSLLVGKNILVVDDNKVNLRVAAAALKKYGANVSCVESGKDAISLLQPPHSFDACFMDVQMPEMDGFEATKQIRQMEMKANEERKNKLALSEGSTFVEYHLPVLAMTADVIQATYEECIKSGMDGYVSKPFDEEQLYQAVSRLVVGTTDSAV >Sspon.08G0030580-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8D:63007936:63009629:1 gene:Sspon.08G0030580-1D transcript:Sspon.08G0030580-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRQPTADGYELDLTTLGGGDSGGVITVGKVWELNENDDIFASPSEVQRLKRLCLSFALFKLLRRKFERLPAVTDKEAEDCRSLIFRGLLHHSRNAGGNSAAAAEEVLQVMNDEVVFLSEYYHSVVPVVLASPFFLFVNYFLVLAVVGALCVMTVILCGNGDAVYAFTSISDDNYTFRAGIGKIAICLVLKAKNSPEAFFSIVDLSITVLLFVIYFYEEIWEFFVFLLSNWFMVSLVCSYMAKPHWRDSPWIRYAFHRIIWLRSMLNHGSLSFRQFSVLHHRWPLGLPFFSTTTYLTPLSNGKAALQSNNFLFDKLSWACRSDSVSEVFLTWHIATCILEVQSGQQRGDEAVAQRTAVRLSKYCAYLVAFHPELLPDSPEKTERVVDDMKAELGGIFWCWEYYLFPQSARAKKIMDAATSTGSDQVNGVVRNGAKLGRLLVGVADAWKVLADVWTELIVFVAPSSDEERVKGHQDVLVQGGEFITVLWALTTHIGVSHGANKLPVKTLEDLMGESMRNAPHIAPEISIM >Sspon.01G0021780-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:79121695:79147415:1 gene:Sspon.01G0021780-2C transcript:Sspon.01G0021780-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein virilizer homolog [Source:Projected from Arabidopsis thaliana (AT3G05680) UniProtKB/Swiss-Prot;Acc:F4J8G7] MGRPEPVVLFAQTILHSQLDEYVDEQKDDPDVKAIVTNHLVLRGTYRSLTLVIYGNTAEDLGQFNIELGLDHSLVNVVSSPSEGKLEDLPPALHSSKLSFEESLSSFKPLSFHATDVDLSIEAKKVLHLALKMYQMSDVENLIPNLRSAVLSAISKYVTASTNHILYTSSQDSANAFTTSDFDSQEIKKILAEAGNELSEIWKNVHAVTDSNLFNDNGFTIGVDEDLPTTKILIELFNQCFPYYKNFSLLDLQCRSQSKWLVLSLSLVLLLCSSKESCFYFVDTGGMEQIINLLCWKTPKSAATTLLLLGIVEHATRNGFGCEAFLGWWPQTEHSSIPVGSSDGYCSLLKLLLEKERHDIASLATYVLQRLRFYEILSKYESAVVKVISNLQDDKLSTDGVPFLISASVELAEMLKLIICCGPIEDLSPVATARRIFKSEHLEGLLSYKATIDLITSSKYSFLQYDTDPYLLSLIQERSFFPLSAALLSSPILHLASGPAAEILMGIASSIESIILSLLFCRSGLSFLLSQPEATELIVLSLQDAENMNKAECITLRQAFVLLLKGFFCRPKEVGMITELHLKVASAANRIPSVPPNSDELLWVLWELCAISRSDSGRQALLALGYFPEAISVLLRSLSSYKDLDSVMAKNGGSPLGLAIFHSAAEILEVLVADSTASSLKSWIGFAVDLHKALHSSSPGSNRKDAPTRLLEWIDAGVVYQRNGARGLLRYSAILASGGDAHLSSGNVLVSDSMDVENVVADSNSSSDGQVIDNLLGKLVADKYFDGVALCSTSVVQLTTAFRILAFISDDKAVASSLFEEGAITVIYIVLRNCKSMLERLSNSYDYLVDEGAELSSTTELLLDRTHEQAIVDLMIPSLVLLINLLHILRETKEQYRNKKLLSSLLQLHREVSPRLAACAADLSFMFPTFAIGFGVVCHLITSALACWPLYNWAPGLFHCLLENIEATNASVPLGPKAAFSLLCLLGDLFPDEGIWLWKVELPSLSAIRSLSTGTVLGPQVEKEVNWYLHPEHVAILLVRLMPQLDRLARIIDNFATSALMVIQDMLRVFIVRVASEKIECAVVLLRPIFIWLDDKVDKTSLSEREIFKLGGHLPHEKCNPLFDQFLKQGEC >Sspon.01G0033500-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:111217204:111219144:1 gene:Sspon.01G0033500-2D transcript:Sspon.01G0033500-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPVSAVAAGSATVTYSPALRVQAKQETVEKVCEIVKNQLALPEDTTVIGETKFVDIGADSLDTVEIVMGLEEAFQISVDESSAQEIQTVEDAAALIDKLIAEKDA >Sspon.06G0007580-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:30765872:30770434:1 gene:Sspon.06G0007580-1A transcript:Sspon.06G0007580-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNADFGAPKELAGGLQQRRALYQPPLPPCLQGPTVRAEYGDATTTIDPTCAQAVAQAFPHTFGQPLVSFVAPAADAAAVEERHPIRVGVVFSGRQSPGGHNVVWGLHDALKAYNPQSVLYGFVGGTEGLFANKTLEITDDVLASYKNQGGFDLLGRSIDQIRTTKQVNAAMTTCCSLNLDGLVIIGGVTSNSDAAQLAETLIQNNCKTKVVGVPVSLNGDLKNQFVETTVGFDTVCKVNSQLVSNVCLDAISAGKYYYFVRLMGRKASHVAFECALQSHPNMLILGEEVALSKLTLMEIISKICDGVQARAELGKYHGVLVIPEGLIESIPEMYALIQEINILHNNNVPVAEMSSQLSPWAAALFQFLPPFIRRELLLHQESDNSAQLSQIDTEQLLAHLVETEMIKRTALGRISLHMIASGLTGYMATVANLKDPVDKWRCAAAPLTAMMSVKRHLRGPGAIPIGRPSIHPSPIDLKGKAYELLREKASSFLLDDFYRTPGGIQFEGPGSDAKPITLTIENQDYMGDIEILKEYLGKVRTMVKPGCSREILKAAISSMVSVTDVLTVMSHPLNAELPLYHFK >Sspon.04G0012880-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:55656212:55660422:-1 gene:Sspon.04G0012880-2D transcript:Sspon.04G0012880-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSAPVNVCQFRRTQQAEGPAAVLAIGTANPPHSVTQDEFPDYFFRISKSDHLTDLKPSLEARIEMTATEVPKLAACAAANAIAEWGRPATDITHIVFSTYSGARTPSADLRLASLLGLRPSVCRTTLSLHGCSGGVRALHLAKDIAENNHGARVLVVCSEISLISYRGPTDGCVDSVLGPGLFGDGAGAVILGAGPVAGTERPLFETVCATQATIPMTEDAITTQFARGGMDYHIGKQVPTIVEQTIKQCLLDVTGSLGIDVVTWNDLFWAVHPGGRAILDSVEAALRLETEKLAASRHVLSEYGNMSSATVVFVLKELHRRLTAKDDGAEETAEWGAMIAFGPGITVEIMVLRATPNLKEN >Sspon.03G0031710-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:30318890:30326213:1 gene:Sspon.03G0031710-1B transcript:Sspon.03G0031710-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLLLAVADTIPDSCSKAKCAGHDIHYPFWLNNSSAPADCGYHGIDLVCQGNTTLILPVKTHIVLVRSSQHPTSMSNSHLIFSLPWLLANHVSPPVLILFVFLTVYVHSTHGDPLPSTYDISMCSESFWCGDVEIRYSFYLANAMEATANHSGNYSCGYTDLSISCKLANLESWTPTIHLGGDNYTIESTSYGCDHHTVSLVDSDMLLGGDNCPFQRDVAALHSTSSNDNLTFFFGCYPRGLVPPEFEAFEIKCAGFESPPDVGLGGDSFVLMTDELDRYLVQELATNYSKVVTVPIIGDVLRAAASNLSNFTSGGYGDVLKRGFDVSSARSKGHYAYNPNREFLGCLCVGGKAGNPDCKHIASSKPLNLLKGLFPPLPSTYDVSMCSKSFWCSGVEIRYPFYLANATEATADHSGNFSCGYTDLSISCKLEGQTWTPTIRLGDDDYTVESISYNNDNYDDGTILLVDSDVLLEGGGCPAVSHDVFFDEIWLHDIGFFNGNLTFFFGCYPRPRDLSELNAYKIKCEEFKSPPGAGAGDSFVFTPDEFDKFRYLEQELAANCSKVVTVPVRSDVLTAASNNQSNFTSGGYGDVLKRGFELGWSRLYSQRADQCQQCEESYGQCAYSQHREFLGCLCHGGKAGNPDCKPAPASRSKGRKGIIAECFVLNSLVMYIVASTSSLLFLCLVIFTFFLACKYGWLPHKSKDEPRIESFLQKNGNLHPKRYTYADVKRMTKSFAVKLGQGGFGAVYRGNLYDGRQVAIKMLKDTKGDGEEFMNEVASISRTSHVNVVTLLGFCLQGSKRALIYEYMPHGSLERYAFNSNMNSENSLSWEKLFDIAIGTARGLEYLHRGCNTRIVHFDIKPHNILLDQDFCPKISDFGLAKLCLNKESAISIVGARGTIGYIAPEVYSKQFGTVSSKSDVYSYGMMVLEMVGARDKNTSADSESSSQYFPQWIYEHLDDYCIGVSEIDGETTELVRKIIVVGLWCIQLIPTDRPTMTRVVEMLEGSTSNLELPPKVLLSYQA >Sspon.02G0006800-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:20475198:20480650:-1 gene:Sspon.02G0006800-1A transcript:Sspon.02G0006800-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRSRGGSGSGSRLLFPFLLLLHLLCLHSAGPSVVVSAAGSGEPYVGVTIGTQVTNLLSPSDLASFLRAQRITRVRLYDADPRLLSVLAASGVRAIVGVPNDELLALGSSPTTAASWVARRVVPFAGVNSSTPNVISAIAVGDEVPTALPSALPVLLPAINSLAAALAAANLSSIPVSTPLPFSLVLDPFPPSQAFFNQSLAKSFVAPLLAHLANTSAPLMLNLYPYYSLMQSKGVIPVDNALFRPLPPSQEMVDPNTLLHYTNVFDAMLDAVRVAVRNLNATGGPSVPILVTETGWPSYGDRRAEPYATRDNADAYNSNLIKHVLEDKPGTPMAPGAGAQSSAYIYELFNEDLRPGPVSEANWGLFYGNGTPVYLLHVSGADGFLGNDTTDRTFCVAADDADEKAVQAAMDWACGPGRADCTAIQPGQACYQPDDVRSHASFAFDAYYQSQGRAAGSCYFQGAGMVTTVDPSHDSCLFPGSKLLSNSTGSGSTNTSTTPTSDAEASAIWRLRTGRDKGFLLFLRLVLSIAVVIVVDSNFWT >Sspon.08G0005240-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:13336890:13341260:-1 gene:Sspon.08G0005240-4D transcript:Sspon.08G0005240-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGQSSDSNPTDAFEEYMLLEKDPDLYRMVFSGPSQISPWIDPSVLNLKHRIGRGPFGDVWIATHHQRTEDYDRYHEVAVKMLHPIKEDQLQFFSGRFDEIFSKCQGLGNVCFLHGISTQNGRICIAMKFYEGSIGDKMARLKGGKLPLSEALRYGADLARGVLDLHTREILILNLKPCNFLIDENDRAVLGDFGIPSLLFGLSLPNPDLIQRLGTPNYMAPEQWQPNIRGPISYETDSWGFACSILEMLSGIQPWRGKSADEIYQLVVLKKEKPIFPYSLPPDIENVLSGCFEYDFRKRPLMKDILHAFESAKDADHDNIGWDNSENVMVDRPAMANRINWLHFKDKLQVGDKVRSRKLKNSCSPETMEIPDGTIVGIEDDGERDPYILVRVHGLHDPLKVRCSTVERVTYGFAAGDWVRLREEDKKRSQVGILHSIDRDGAVTVGLIGMDTLWKGNYTDLQMAQSYCVGQFVCLKANISSPRFEWQRKRGGGLATGRISQIHPNGCLAVKFPGKFNLGEVCSCLADPSEVEVVSFDKCEGIVKKYEHLEDFHWAVRPLFIAIGFFTALKLGVFVGKSIARPRSRKVASISDQSGDYEQQQVHNNANGVWLPPPVANMLFGDVVGTSG >Sspon.01G0000230-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:95206397:95208328:1 gene:Sspon.01G0000230-2P transcript:Sspon.01G0000230-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADHDESLPQTGAEGKMTTTTTMKDAWDYKGRPAVRASSGGWSSAAMILVVELNERLTTLGVAVNLVTYLMDTMHLGGAASANAVTNFLGASFMLCLLGGFVADTYLGRYLTIAIFTAVQAVGMCVLTVSTAAPGLRPPACADPTGPNHSSSCVQPRGTQLGVLYLGLYLTALGTGGLKSSVSGFGSDQFDESDGGERRSMARFFSWFFFFISIGSLLAVTVLVYVQDHLGRRWGYGACVAAILVGLLLFLAGTPRYRFKKLVGSPLTQIAVVTAAAWRKRAMPLPSDPAMLYDVDVKGKHKMPRTKQCRFLERAAIVEASASGSGTGTGNGKKKWAACTLTDVEEVKQVVRMLPTWATTIPFWTVYAQMTTFSVSQAQAMDRRLGSSFEIPAGSLTVFFVGSILLTVPVYDRLVVPLARRLTANPQGLSPLQRISVGLLLSVLAMVAAALTERARRTASLAGATPSVFLLVPQFFLVGAGEAFTYVGQLDFFLRECPRGMKTMSTGLFLSTLSLGFFFSTAIVSAVHAVTTSAAGGRRPWLTDDLDQGSLHKFYWLLAAISAVNLLAFVAAARGYVYKEKRLAEAGIHLVAHDDDVLVLVHASTAAE >Sspon.07G0003890-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:8618443:8622150:-1 gene:Sspon.07G0003890-2B transcript:Sspon.07G0003890-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRAAGNHLRSLKHHGASRFASTSVVKQSSGGLFSWLLGGKSSQLPPLDVPLPGITIPSPLPDFVEPSKTKVTTLPNGVKIASETSSSPAASVGLYIDCGSIYETPASSGVSHLLERMAFKSTVNRTHLRLVREVEAIGGNVSASASREQMSYTYDALKSYTPEMVEVLIDSVRNPAFLDWEVKEQLQNIKSEIADVSANPQGLLLEALHSAGYSGALAKPLMASASAVDRLDVSILEEFVAEHYTAPRMVLAASGVEHDALVSVVEPLLSDLPSVKRPEEPKSVYVGGDYRCQADSPSTHIALAFEVPGGWNQEKTAMVVTVLQMLMGGGGSFSAGGPGKGMHSRLYLRVLTNFQQLESFSAFNSVYNNSGLFGIYAVTSPDFSSKAVDLAAGELLEIATPGKVTQEQLDRAKEATKSAVLMNLESRSIASEDIGRQVLTYGERKPIEYFLKTVEEITLNDILSTAKKMMSSPLTMASWGDVIHVPSYESVSRKFHSK >Sspon.02G0003940-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:11507466:11513339:1 gene:Sspon.02G0003940-2B transcript:Sspon.02G0003940-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosome biogenesis protein WDR12 homolog [Source:Projected from Arabidopsis thaliana (AT5G15550) UniProtKB/TrEMBL;Acc:A0A178UL95] MDEDPSRQVRVRFVTKLPPPLRAPPAAIAVPADLTRMGLSEIVNSLLAAAEPDHQAQPFDFIVDGELVRLPLQEFLLAKGISAERVLELEYVKAVAPRKQEEPCPHDDWVSAVDGSNPSFILSGCYDGFARLWKDAAVCTQILEGHSDAITSARFINKGSETEGSLHVVTGSKDRSLRLYKCDTSVSMDYPKRVGAYKILRGHTASVKSISADPSGDMLCSGSWDNTIKLWAVEGSEDDDAVPLKKRRMNSDSSGPEESQLEGSATSTLLGHTQGVTAVTWPEQKTIYSASWDHSVRQWDAQTVKETWNMFCGKALNCLDCGGEGSSLIAAGGSDPVLRVWDPRKPGTLAPVFQFSSHSGWITACKWHPSSCFHLVSSSFDGKVMLWDLRTAWPLASVDSHEDKVLCADWWKGNSVISGGADSKLCISSGIQIYWPKARAWSLSERLCLNSEPQRQYQSLPQFFSCVRQSPLTAKALPQRRQRKGLSPCLRL >Sspon.07G0029890-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7B:80441055:80441546:-1 gene:Sspon.07G0029890-1B transcript:Sspon.07G0029890-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEARRRVEFTGVELAGGAELTTPVEKAAPGPHALEGRGRLLAPRDSHPRLPLTRGMGLRRRACLPRTACPTCTRASGATVSSHARGPRSCRRLACAPVAEHGKHSGCRRCSPQERRRAASWQPDARSAWSPEGGRQIPAVVALGNGDALPCARWMSLPLRLHR >Sspon.07G0022120-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:6647751:6651730:-1 gene:Sspon.07G0022120-1B transcript:Sspon.07G0022120-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVERRRRGAEESELAAAAMQSATLNNPFGGAQLRAANEDSLISGRWSPCHDDLRSPSLLLIPHSSASSHLSSAASSPSLPPLSLLLRKPRAARLRGETTAMAMADKGGEAAELPPDIHGWAATDVLVQSKASTHGQAPPDSGSVGVQVIARHGGGGGCGGGGGRKRMPWSLKQQASREGGNEAVRSACDEQARAGGAPRRRPCRFPFPWRGPRAGGAVGPGRMRRALRATRAAGDWLRALAAGRPAWTRCFRWMHVAAAASWGAGRSGSVLACGTHPVAAQRSPLGTRRGRPCCTNTAPYDAAATMPWNTGRDIPWPTRTSPNKKENK >Sspon.07G0015240-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7B:58737431:58738703:-1 gene:Sspon.07G0015240-2B transcript:Sspon.07G0015240-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GGAKKVIISAPSKDAPMFVVGVNEKEYKSDINIVSNASCTTNCLAPLAKVINDKFGIVEGLMTTVHAITATQKTVDGPSSKDWRGGRAASFNIIPSSTGAAKAVGKVLPALNGKLTGMAFRVPTVDVSVVDLTVRLEKSATYDEIKAAIKAEAEGTLKDILGYVDEDLVSTDFLGDNRSSIFDAKAGIALNGNFVKLVSWYDNEWGY >Sspon.02G0009020-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:24148499:24151314:1 gene:Sspon.02G0009020-2B transcript:Sspon.02G0009020-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLTGSALSFARPVKAISIKSAYFSGLRKDNVAFRLQPLPQRFAAKKETVDEVCKIVKKQLALADGTEVCGSSKFQELGADSLDTVEIVMGLEEAFKITVEESSAQSITTVEDAANLIDELVAAEAAKAK >Sspon.05G0002900-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:8663368:8667455:1 gene:Sspon.05G0002900-1A transcript:Sspon.05G0002900-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTPLLLAHTSTGDQRHRGKLRDLARDVSDALKIDGSNLPVTSNLIQSTRLTSSFLLERKVYGRDAEVKSILELIARSRSNITVTVLPVVGMGGIGKTTLAQLVYNHPEVGSYFQNKIWVCVSDNFDVRRVTREILDRVSKTRLPETDNLDGLQKDLVKHIESRRFLIVLDDVWDDMKQDSWEKLLAPLKCNKATGNMILVTTRKVSVAEMTQTVEPVKLSALEGYALWELFISCAFGDEKYEEHPSLCTIGKRITKKLRGNPLAIKTVGALLRKNISIDNWTNILNNEEWKSLQDMGGVMPALKLSYDYLPDSLQQCFRYCCLFPKNHLFDAVKLVRMWISQGFVHGNHTGKKLEDIGNGYLADLVNSGFFQQVGRGRNYSNHFVMHDLMHDLAWEVSRTDFATIDGTKCKEILPTTRHLSIFTGFSTHYDAKFSCDSLEKNLLQLTSVRKLRSLILIGGYHLSFFTFFQHMFKEAENLRLLQVSATDAHFDCFISSLVNCTHIRYVEMDCIGSPKGVLPQALTNFFHLEVLDVDPYVGPTLPSDTSNLVSLQHLVGAGEVLSTIANIGNVTALQELPVFKVQKASGFDIRQLKFMNQLVQLGIYQIENVRSKQEASEARLIDKGHLEELCLLWDSDSTSLETSTETTTEVLEVLKPHQNLKCLQISGYSGSVSPSWLEKFWFLRKLKLINICHVQEVRIPCLEELVLSGLPRLEKCMATCTRELKFYLRVLIIENCHELKVFTPFEIQNLCSSEKMVSLVLFSSLVYYNLDFFLSIGFSYVEAERNKWLSGLRVLKIHGCPRLMLLHPLPPAENTQVSVQALLTYPAIERMKSNDLSVMSSKELRVLNAKVLQFQNLTDVTSLYIEHCPNLVFLSSEGLRQLLNLRKMAIVSCGVLVSSCIVPNAVSESWKATDHPAFPRIKHLRIESCGSIAGRWLTEMLPHMQSLEELDIEDCPQMKSISIHHPRQEAESGSLACQAVLPTSLAQDEFLLHIPLNVLSTLEKFRIQRCPEMQLCSSSREGFRGFTSLTELIITGCPMLLSSANERFSVPSAPYLCIEFLPKRLQPYFPENRSSLRFLSVRESPDLQSLRLHCCTALQELQIQSCRQVAALEGMQYLSSLRVLSIDMNPQLSASWARWCQLSRHATGKRSSLSASSVAWKT >Sspon.02G0034550-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:13177005:13179399:-1 gene:Sspon.02G0034550-1B transcript:Sspon.02G0034550-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDRVDGEEAFEEVDPTGRFGRYADVLGLGSVKKVYRGFDQEEGIEVAWNRVRLRALADRDPGMVDRLHAEVRLLRSLSHDHIIGFHKVWLDRDAGVLNFITEVCTSGSLREYRHRHRHVSVKALKKWARQILEGLNHLHTHDPCIIHRDLNCSNVFINGNNGQVKIGDLGLAAIVDKTHVAHTILGTPEFMAPELYTETYTESVDIYSYGMCVLEMVTREVPYAECGSVVHIFHNVTRGVPPAALKRLKDPELRGFIERCIGQPRNRPSAAELLQDPFFNGIRGGDDDDALADACSVIAGTPVPRPRSYVDDLAGLRLD >Sspon.03G0023940-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:91532491:91535934:1 gene:Sspon.03G0023940-3C transcript:Sspon.03G0023940-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPVRRLPLLPLPRGPAARRLLSAAASAAAAAASPLPWPGLHAWRRAPPSDLRTWGPNGPCASGVDEPADDAGAGSSLAEMGALVLSTADPLAKARLTHTAFSRWAAGLPVGQATAPDHPARPDKPLVVTQKEITTHKEMGVPLNAYMLHNLAHVELNAIDLAWDTVVRFAPLRDTLGDGFFADFARVADDESRHFRWYSQRLAELGFSYGDMPVHNLLWRECAKSSSDVSARLAVIPLVQEARGLDAGPRLVQRLFGFGDHRSADIVARVAEEELAHVSVGLYWFLKVCQMMGREPGDTFKDLIKEYNVVLKGPFNYPARDEAGIPREWYDEKFKQEAAQKLSEEQQEHLQ >Sspon.02G0014190-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:35757072:35770440:-1 gene:Sspon.02G0014190-2B transcript:Sspon.02G0014190-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At5g10690 [Source:Projected from Arabidopsis thaliana (AT5G10690) UniProtKB/Swiss-Prot;Acc:Q8VYD6] MEEVEATRRRVRGGGLLNTIVMNAVLEACVRCGDVDRALRLFEEMRGPTGCGVDGVSYGILLKGLGVARRIDEAFEILESIEKDSSIGSPRLSPHLICGFLNALIEAGDMRRANALVARFRKGYIKSNFPLGALTVKDEILRQGLKPDRLTYNTIISACVKSAEIDKAIRFLEDMKGLGNNRDLYSVLNIVVEMKSSLISLDRTAYTAMIDALLACGSIDGALCIFGDIIKHAGKNKDLRPKPHLYLSIMRAFATRGDVDMVMRLNKRMWPDSVGSISRAAKEEAHELLMEAAINNNQIDLARGLLRQIVSEKECFSWTSRVGLVAVKVESLSGFTNSLLTPHIFPQVIILNDPVEKYMVPFQESQPLPADLILRKAVMRFLKDRAVPLVDDWGGCVGIVHRDDCTKLDAPLLSMSRGPPLCVPTSTTVEQVIDLLLREKSEMVVVVKSGNMYEGSYTSSSRPLGVFSLAILWSFTGDYDSSESDIPDTGISRATKHKQDA >Sspon.08G0025640-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:11057533:11060326:1 gene:Sspon.08G0025640-1C transcript:Sspon.08G0025640-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMELRQHACLVRTYGAAQSWLFGTYTWTTTGGTVDQSNTKTTRTAVPLPKEKTEVQVDPCNAERIAAGNYRVPQSQSYCQTSTASAMADLEKGIETEAAIGASDAQQIPVMARGRRKGASDFRSECRPSGY >Sspon.01G0003450-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:10347754:10347945:-1 gene:Sspon.01G0003450-1P transcript:Sspon.01G0003450-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAVLVAVVLMQCCNVMVAARPLLMEAPAVATADGGWLGMIMQVLQGPGGNPGGWQAPGHQP >Sspon.03G0027860-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:7011989:7014987:1 gene:Sspon.03G0027860-1B transcript:Sspon.03G0027860-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMQQQQQPPPQHPPPQSGGGGGGEFYRGPPIRQLSASSSTNLPPDYAAHPGPPPQQQHQPPYDAYGDNFGAKRMRKPVQRRTVDYTSSVVRHVQARMWQRDARDRFTLQPTPAAILDKPDYQAHDLPVRSMVWSHNENWMVTGDDGGAIKYWQSNMNNVKVNKTAHRESVRGLSFSRTDLKFCSCSDDRTVKVWDFARCQEEKSLTARPRLGC >Sspon.01G0001040-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:1910621:1913115:1 gene:Sspon.01G0001040-2B transcript:Sspon.01G0001040-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNLNCRRNWFAVRLAVAALGHDPIREWILTQGKATQIKGIRSIGGGCINSAQRYDTDAGPFFVKTNSRIGPEMFEGEALGLKAMYETKSIRVPLPYKVGSLPTGGSFSIMEFIEFGPSRGDQSVLGRKLAEMHKSAKSDKGYGFHVDNTIGSTPQINTWTADWIEFYSKHRLGYQLELASRRYGDSAILEKGQRLIKNIRPLFDGAVIEPCLLHGDLWSGNISSDSNGDPVILDPACYSIYKLQTIYKDMITGSSTADGHNEAEFGMSWCAGFGGDFYNAYFQVMPKQPGFEKRRDLYLLYHYLNHYNLFGSGYRSSAMSIIEDYLYVLAA >Sspon.04G0027410-3D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4D:63681621:63687778:1 gene:Sspon.04G0027410-3D transcript:Sspon.04G0027410-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASASPPRRRHSHRDDVSPRRRKRRASPSRASPPDSDLRGRRRDAKPSEVKENGHAKPGRDEDGDNRPPRRDRVSDGEDGVERRRMRDRVSDDEKEEGRRRRRARDADDEPDDRRGKRDRERERDSRRHRRRSPSSESGSSPDDRRHRRRRRDEGSRRRDDRRRREDDGDERRRSPVKREPTPPLPPPPPLLPEMIPGRTGGIYIPPFRMAQMMRDAEDKSSPEYQRLTWDALKKSINGLVNKVNASNIKNIVPELFAENLVRGRGLFCQSCIKSQMASPGFTDVLAALVAVVNTKFPEIGRLLLVRVVLQLKRAYKRNDKPQLLAATKFIAHLVNQVVAHELVALELLTVLLENPTDDSVEVAVGFVKECGAMLQDLSPQGLHAIFERFRGILHEGEIDKRVQFLIEGLFAIRKAKFQGFPAIRPELDLVEQEDQFTHEISLEDDLDPETNLNVFWANPNFVEDEKAYENLKRSILGAESSEDEEGSDAASDDDEDEEESDEEDEEQMEIRDRTETNLVNLRRTIYLTIMSSVDFEEAGHKLMKIKLEPGQEELSEHLGIRLLNERLNDPNMQGSFESIFPKDHPKNTRFSINFFTSIGLGGITESLREYLKNMPRLIMQQQKPESLESESSGSESGSESSSAGSSSESESESSSDESDRRRSKKRRKRT >Sspon.07G0001180-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:2652832:2660174:-1 gene:Sspon.07G0001180-1A transcript:Sspon.07G0001180-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTAAGAPRAQTLRDLAEEGKKRAVLLLVFAFGLAFLMSLTSSSVWFNLPCATALIVLFRYISLDYDLRRKSTTSTDHASHSLVKTKSTEVKKVLHQTEKDGKLDWRSKVNSPPVEAAFEQFTRHLITEWVTDLWYSRVTPDKEGPEELITIVNTVLGEISVRARNVNLIDLLIRDLVDLVCNNLELYHFCQAKIGREKFVNLPSERRDAELKMILLAENKLHPALFSAGAEYKVLKSLADGLISITVKPQDLQCTFFRCTARELLACAVLRPVVNLANPRFINERIESLALSHTNKLEKGVAESLEDATTVKHREPHMPSIDEFSALTDHSSPGVELVRFHQGQSKTASDIQPSKSTNPYSLKLESSHASLISSSHPLESTSLASSSRVASDNGFSLHTKSNNRATADGHGRERAQPLGISSERTHQALALEHLEDMWTKGKNYKSENAKHITKVSVGSASLGSTSVQQSVPCSTSICQNPSNSERQAASAQLEDQHLVRHSTAPTYPNGIPKSISAEMAEHAGPEDFGVESESSYATEDDEFNNVTGLDSPVTRVWESKSKGNATLSHIHHPLESPGFHRAKKNRSHVGKLKMSRTSSGRKRSRSNAQKPPIWQEVERSSFSVGDGMDILNTSANDSKTDELDEDPEVESMARMFSSSNASSLSLPLSDSSYASNYRGANVLQDSYLKLRCEVVGANIVKSGSGMFAVYSISVTDANGNNWSIKRRFRHFEELHRRLKEYAQYNLHLPPKHFLSSGLEVPVVRERCKLLDIYLKNLLQIPVVSSCIEVWDFLSVDSQTYIFADSLSVIQTLSVSLDERSNGKNIKALNSSGALNGNLISGGQSLHGHIDDTVHKDSDIAGDGLRFRKGNVNKNLGHTTDSVRDTTANHYQDNSGSDPEQNDHSFSIDAVNPKKLRSSETNDTSQISESDGFSVSPNDWMAPNLSVPLFHLVDVVFQLQDGGWIRRQAFWVAKQILQLGMGDTFDDWLVDKIQLLRKGRIIAFAVKRVEQILWPDGIFMTKHPKRKTSPPPGAQSDVMGNYLSDEQRIEAAHRANFVRELIIDKAPSPLVSLVGRKDYERCAQDIYFFLQSPVCLKHLAFELLELLVLSAFPELDGTVRKWHEDKQQFCAQ >Sspon.05G0038890-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:55865262:55866380:-1 gene:Sspon.05G0038890-1D transcript:Sspon.05G0038890-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding STVTSCSGFATEKRRREAMASPTQEQETTGSGGDEDSARRPLLALSPRYHLHAVAGTNAATAASARVLLTVELPAVTADIIDALPALELVVALSVGVDHIGLAVCRHRGVRVTNAGDAYSSDAADLRRWSRRRGAPLRRRRRRVPAHGEYPLAAKRVGIVGLGSIGSLVARRLAAFGCPVAYHSRTRKPAPCPYTFFPTVRALAADSDVLVLACALTEETRRVVDREVMEALGAGGVLVNVGRGGLVDEPELVRCLREGVIGGAGLDVFENEPDVPAELLDVDNVVLSSHKAVATAESIRGVLDAVSGNLEAFFAGRPLLSPVSL >Sspon.08G0014550-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:59379763:59381958:1 gene:Sspon.08G0014550-1A transcript:Sspon.08G0014550-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RSGRRMATGAPAAVALVALVWSSLALAAAAQNYNAIFNFGDSITDTGNLCTNGRPSSITFTQPPYGETYFGTPTCRCCDGRVIRSHAGSKFGLPFLPPSKSTTADFKKGANMAITGATAMDAPFFRSLGLSDKIWNNGPISFQLQWFQQVSSGRSSADCKSYLGNSLFVFGEFGGNDYNAMLFGNYNADQASTYTPQIVSTIANGIEKLIAMGATDIVVPGVLPIGCFPIYLTIYGTSNSGDYDSLGCLKKFNDLSTNHNNQLQTQISSLQAKYKSARIMYADFYSAVYDMVKTLCLAGFSTVFQTCCGSGGGKYNYQNSARCGMSGASACSNPAAHLSWDGIHLTEAAYKQVTDGWLNGPYCRPA >Sspon.04G0014360-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:53589862:53617611:1 gene:Sspon.04G0014360-1A transcript:Sspon.04G0014360-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MCTKPLMIYLLLRLHSKDCSSKNWCLMCELEQYASTLRESGGPLSPSRILSNLRNIGCRLGGGTQEDAHEFLRHLVMSMQAACLDGLGGEKHVEPSLQETTLIQQMFGGRLKSKVKCLRCYHESERYENIMDLTLEIHGWVESLQDALTQFTAPEDLDGDNMYKCGRCSAYVKARKQLSVHEVPNILTVVLKRFQSGKYGKINKCVTFPDMLDMVPFVTGSGDNPPLYFLYAVVVHVDTENASFSGHYISYVKDMQGTWLRIDDSEVQVVSANQVMSEGAYMLFYLRSFPRPPRIYIEKGLPVTSVKRHTSKSSKGSKHERKQTELLFSANDQAYGVYDFRPDGEGYTQDQHAELRSRDFHHRDDAFADSVSTDFSEATSSEWSLFTSSDESSFTTESTRDSFSVVDYGDNAGLDPISSIFGPSYAPEHPPGNFVSCTRFSPSNPQTRYFSESTGFVSDSSMPAHSSGIVHRGRYPDRACASSANRLLRRISGVGTVGIPLVEMVLFKHLGFAKCNRLTFHFIVVAGAWQLRREPCIACGAVLGHVSKVTEQKLAEVFSNCGQVVDCRICGDPHSVLRFAFIEFADDAGARAALTLGGTMLGYYPVRVLPSKTAILPVNPKFLPRTEDEKEMVSRTVYCTNIDKKVTEDDVKGFFQQACGKVSRLRLLGDYVHSTCIAFVEFAEAESAIMALNFSGMVLGLLPIRVSPSKTPVRPRSPRVMSN >Sspon.07G0012770-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7A:46312615:46314952:-1 gene:Sspon.07G0012770-1A transcript:Sspon.07G0012770-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRLGRAHLVDGAMATAVLARRMSSALVGSGEGEGEEVLGLDGEDGNATAATCFAGIHGGRRSTREKGWAPAKEKNTGKPGARLLARRPVGFAGGRRPAGGGTPGGGIPFLRVPSRSRPPDCVVQEEGEKPGQRCGEEDEGLGSDRVHAPPDVRVRAAVFNVTVGDADALECGVCFLALRPPIFQCQVGHVVCSACRDKLVSTGNGNCHVCRVATRGYRRCHAMERLVDCIRVPCPYSAHGCDATPPYHGRESHRQVCLHAPCHCPGESCGCIGSTAALLEHFASAHNWPCTTKGRAGETLRIRLRIGFNFLLLADHDHCNGEQAAATCSVPCLLFLLNVTQERLGHAISVLCIHPHANRVDHPPLMICKLAFAHSGDESLCRMHCQSSEFKVVCTDLSSGLPSPDGCFQFIAPNAVVGEEDKDYIV >Sspon.07G0009150-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:23994661:23995563:1 gene:Sspon.07G0009150-2B transcript:Sspon.07G0009150-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ERQQPAAGEHGGEHVQVERHLGDASVRARPGGTPGRGRHGHQRHGGRAQRRAVQHRRQPGRGGLLGPQQHPGVPVRVLPLRVRGQRGGGGRGAEPGARHGERARGAGGGGAGPHQGDDVGVAGHPGRVQPAVGGAVHGGGAGVHGPRAGVPGPDRVAADGQHLPVPGVGLQPERHGHELRALHVLGHRGPGRRLRVPEPLRHHRRRLLRGHGQERRLRRAAGRVGERVAVRRRRAGDAGEREGVQPEPHQPRRPGNAAPPGRHRDVPLLHVQREPEGERRGAELGALLPKHAARLPHQLLT >Sspon.05G0002480-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:2784011:2789858:1 gene:Sspon.05G0002480-1P transcript:Sspon.05G0002480-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNVTAAAPAGCSPCVVTPARSSRGRASLSRVARAYAERRLVAENISLPNKHKEELETRIRNQLRRPQLPPSSYDTAWVSMVPMRGSHQTPCFPQCVEWILQNQQDDGSWGVNQSDSSISKDVLLSTLACVLALRRWNVGRENMTAFHWEEFLCCYGRARHCSNRFQHHFSGLLSLAIDMGLEFPIRQTDVRGILHLREMELKRQVVDSSSGRKAYMAYIAEGLGNMLDWDEVMKFQRKNGSLFSSPSTTAVTLIHKYNDQALQYLNLLVSEFGSAVPAMYPLKIHCQLSMVDALEKMGISQHFVSDIESILDMAYRMNGYDVSSDELSHVAGPSNFHDSLHGYLNDTKSLLELYKASKVSLSENDLILDGIGSWSGNLLKDKLCSSRVQKDLIFGEMEYAVKFPFYATLERLEHKRNIEHFDAWGPLMLTTKSSSFCINQEFVALAVEDFSSSQYVYQDELQHLDSWVKENKLDQLQFARQKLKYCYLSAAATIFSSELSDARISWAKNGVLTTVVDDFFDVGGSKEELENLIALVEKWHAHHAVEFYSEQVKIVFSAIYTTVNHLGAMASAAQGRGVTNHLVEIWLDLLRSMMVETEWQRSQHVPTVEEYMTNAVVSFALGPIVLPALYFVGQEVLEQAVKDEEYDKLFRLMSTCGRLLNDCQSFEREGNQGKLNSVSLLVLHSSGSMSIEAAKKAMQKSIDVSRRELLRLVLRKESPVPRPCKELFWKMCKIVHLFYSQTDGFSSPKEMVSAVNAVINEPLGVQNIHEESEDL >Sspon.04G0014830-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:62276665:62282845:1 gene:Sspon.04G0014830-4D transcript:Sspon.04G0014830-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSVGKVRAALRSSPKRYSGTDPPLSLAGPTLADLQRTAELEKFLVEAGLYEGKEESAKREDVLSEIGQIVKEWVKQLTSKKGYAEQLVEQANAVLFTFGSYRLGVHGPEADIDTLCVGPSYVNREEDFFVTLHGILAEKEEVTELQPVPDAHVPVLKFKFRGISIDLLYASLSLSVIPADFDISQGSVLCDVDEATVRSLNGCRVADQILRLVPNVENFRTTLRCLKYWAKRRGVYSNITGFLGGVNWALLVARVCQLYPNAVPSMLVSRFFRVFTQWQWPNPVMLCAIENNDLGFSIWDPRKNPRDRNHLMPIITPAYPCMNSSYNVSSSTLRVIMEQFQFGNKICQEIELNKANWNALFEPFHFFEAYRKFLVVDIVAENDDDLRLWKGWIESRLRQLTLKIDRDTKGILQCHPYPCEYSDPTIECAHCAFYMGLSRKEGSKKRGQQFDIRGTVDEFMREIGMYSLWKPGMDLAVTHVHREQVPSYVFEQGYKKPCPTMHANQQEQSDGDVTLSPYLDSQLKRKYDSDGDGHVELCKSVKRASVSPPGVGTPPYGNSVSNVVCDIPVKFVSSVVCSGAQTSPSHDDINLEQAQLTTSPYGSEDTSASGTSCAAVGAVVLADESSKLGNLTSDLEVDTIQTMPLHTSLECVAQKGETKLEGIRSLASSNCAEFVDSSVIAEKVHLGIGGDEVN >Sspon.01G0000610-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:2182223:2184614:1 gene:Sspon.01G0000610-1A transcript:Sspon.01G0000610-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVKQVLVRPRYDDDDGSSCSSSAGRCDDEAADQSPSPPPMSSCGRYLLHRVCRFDTLAGVAIKYGVEVADVKRANGLTTDLQMFAHKTLRVPLHGRHAPCPPSSSPSHADRAAREWTARRPPKIAASLDPFLKPPRSTVSPSMSLLQGYYGLTPTPKGNLTNEGTEMATYAKGHHRKARSLSSNFSLENGDGAREMDDAEKPIRRRQKTDGELTAREDNGGSLLARSGQGLALRPKCGSRPDMNGSQQDLLATWVPSYGDGLHTVKKSSSTPEFQDSDSISIASVWLKSKWNLKPDAFTLTLPLPLLDGFSKPLFDSIPKPLLDNIPNSIAAWRNKAAKD >Sspon.01G0041510-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:50076841:50083314:-1 gene:Sspon.01G0041510-1B transcript:Sspon.01G0041510-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIYVKDQKLLQVLIENRKRSAPYAGAFLVKDEEGIDPNIVTGSDSEKSIDDLKGKDLLKRLHEVGTLVQITSIQGDQVVLFGHRRLRITEMVEEDPLTVKVDHLKENPYNKDDDVMKATSFEVISTLREVLRTSSLWKDHVQTYQQHIGDFNYQRLADFGAAISGANKLHCQEVLEELDQAIAKAIEEKISGDQRRYLLNEQLKAIKKELGLETDDKTALSAKFKERIELKKDKCPPHVLQVIEEELTKLQLLEASSSEFIDENFDVHHAQKILDEDHYGLSDVKERILEFIAVGKLRGTSQGKIICLSGPPGVGKTSIGRSIARALNRQFYRFSVGGPSRTYVGAMPGKMVQCLKSVGTANPLVLIDEIDKLGKGHSGDPASALLELLDPEQNVNFLDHYLDVPIDLSKVLFVCTANVIEMIPNPLLDRMEIIAIAGYITDEKMHISRDYLEKNTRQACGIKPQQVEVTDAALLALIENYCREAGVRNLQKQIEKIYRKIALQLVRQGVSNEPDQESLSVTVSEESSSGDSTTAKDEILKDPAVEDASVANNVTNPASEEANEVNLTTEALKEDSTSKGNKDTDGAAEDAADKAIGKVVVDSSNLGDFVGKPVFQAERIYEQTPVGVVMGLAWTAMGGSTLYIET >Sspon.07G0001410-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:3311146:3312415:1 gene:Sspon.07G0001410-1A transcript:Sspon.07G0001410-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LRTIIRHCTSSHHQHQQQHRHHQSIAAAAPDTMAAASTNFSLFFPLHTNKAEFAHVAMAADGFDDDSHSSVTTSPSSPSSSSTGSVDCTLSLGTPSSRRAAAETAKQRAACLASCGTVSWDVAATADQSYCCCCCQGGSRPSPAAEAMNKGAAGHGQQDPMLVDRRCANCGTSSTPLWRNGPRGPKSLCNACGIRFKKEERRAAATATAATAAAAMDQGGCGYFAQRAQYGAAAAAAAGRAAPMPYGGCEGPAFPCGGDIVTDAEAVPPQFLAWRLDVVAPAQAAAFAAVWPERTTLF >Sspon.01G0044000-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1B:79013806:79014669:1 gene:Sspon.01G0044000-1B transcript:Sspon.01G0044000-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTLLWRVLHDVGYPEGQEPVYSWSESQLAEDGLAVVEITVSALGDAPEWDGWHLEFEGRTPVEGAEGAAFRVIRDIMSMFPNELAAALAGTFPRDDPHDAIWVQPQGSALVRGPSEGRASDNHAMSAMYAAIRAYQGLESTYWTLTGLRGDDRLEARKQKKKQARAIVSLQEQLADMSLQRDRALERGESAIQRVQTLTQANRNADRMMGQLVQERNEAWNERDLLRQRVEELEEYNANLQEEFHALYNGVGPYAPPDAAHMDIDDDAATDGSDGDASDLDDGPKE >Sspon.03G0012850-3C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3C:52633687:52634833:-1 gene:Sspon.03G0012850-3C transcript:Sspon.03G0012850-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDALAPPSVAPTPSPLAAAAVLTRRRSHLDSASFRTLSRLFSHCLHINSSPRDGTAPPEPEPAVADPVGGDADDSPGTPNGADFDRQKDLEIETADAGGPSLNGAVYQPAAANPTFDLGEAEALQLEGADAVVVVESTSCMTRAEVEESAAPLVENTLCKTSAEVESAVGAGLAAVEDVSWKSVESLLETKVDESVEIAVGDDEGRLLLEAMMTDFTGLIDDVDAGLISAQSCTVSGGDLQNSKASGDSKQLDGGIEGGEPLRNCDHRQNDGGGFEEGEIEGEFQDLGSEESGDSEHGDEDGEDEKLEGNSISRGSGPDKTCDHGTRFGNLHSTPEIGNDHLILNRDAIVRGDAQIPVTRAQAVTYDDLVDWNETPLPDNE >Sspon.03G0018670-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:80466121:80474987:1 gene:Sspon.03G0018670-2B transcript:Sspon.03G0018670-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEYCPSPQEDSALVAAPLTRPSPSPETAVRPSYGSCDRRYVKQVFDNLHGSISLDPLALQFVDTEEFQRLRDLKQLGLTYLVYPGAVHTRFEHSLGVYSLAGEAINNLKTYQGEELGIDHVDMQTVKLAGLLHDIGHGPFSHLFEHEVLPRVDPGSSWSHEDMSVLLLDSIVDKHAIDIENDYLQMVKDMITASSKHASTTSANEKHFLYDIVANGRNGIDVDKFDYIGRDCRACGLGCNFQYWRLMEGMRVMGDEICYPAKDYLSIHKLFSTRADLHRTVYTHAKVKAVELMLVDALIEANDYLGISLHAHDPEEFWKLDDTIIKTIETAPNNELKKAKEIIQRIRRRELYKFCNQYSVPKDKLEHFKNITAQDIKSSEVLLKEEDVAVSNVKIDLTRGKDNPLESIKFFKDFGCDEKFPITDDRVSHLLPAYNEDRIVRVYAKKPELVDVVSEAFENLQMRMYGEKTQVHETPKKKRIRSN >Sspon.08G0015420-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:61789611:61792400:-1 gene:Sspon.08G0015420-1A transcript:Sspon.08G0015420-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQSKVLGFQPVLLRYAMVVEVGSYSFRRQDLVGNLGSIRNSNCPEEGKEPPTGYACVSANSYCMAANKWSRMSARCVSKIPSFTVSAIVVMALACLFTMQLLRKRHKKEKDEYFRQNGGLKLYDEMRSRKVDTIRILTEKEIKGAADNYNEDKVLGCGGHGMVYRGTLDDHTEVAIKKSKVINDDCREEFVNEIIILSQINHRIIVRLLGCCLDVDVPMLVYEFVSNGTLSDFHHGSGHRPPIPLDLRLKIATESTEALAYLHSSTSRTILHGVKSANILLDDEHNAKVADFGASALKSMDESEFIMFVQRTLGYLDPESFISHYLTDKSDVYSFGVVLLELMTRKRAIYADDFNEKKSLTYNFLLMFR >Sspon.05G0014030-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:50740232:50740659:-1 gene:Sspon.05G0014030-1A transcript:Sspon.05G0014030-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MCIFGYQQHKPSTNNQLSGMKPTTVALLILMNMMCTIGYFGHASFLGTKAQETTLLANSYGSNEGTSFTTGINPGRKLMARSWDSRDICCKMSSSPC >Sspon.08G0017470-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:3091373:3096987:1 gene:Sspon.08G0017470-2C transcript:Sspon.08G0017470-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAWPSSGQLRLRLAWAWPATTPSPSYLTWAVAEGAKAGMGCAVGDGCGGTPDGAGGLAVRCGVGRGAWDGSGCSAATLEKATTRSLALEKGAALSFFLLEKRTDERPTGADGKLGALPPPAAAAAATALPFWPFPSPVPSVNDLGIESSGLIEFIAVHRQGQLELEVGIVVGHGVRQSQQFVGFINHYPSRPWGDKCFTCRLLPPPPFVREMCSWYKYTPEITAYGVVGGGSHVCISVQGVGTYCLDTADHTWSQVGKWTLPFHGKVEYVPELKLWFGLSGDSRHLAAADLSDVMDSQQPQLVGGPWKELEPPGECEWKECKDPQFVSLGSGRFCIARFFQEAAGSAGDQRHAAAEQSYMAACRDILSNPVEETVATCPRNGRPSPDTMVTAVPCCPSFSVELCWTSRLGRGRAESPRLLNTGLVVFFLEVTAFTSHHGMGSKIVKADTGIVPTSILVVTILSHESEFLPLGERASCESECGRGPPVEGASCERSVEPASYETDLTCGFEVGCPLGECGITLPEFFPMGARERTHRVVETPPRSFARLIWEWFVAVEPPSLGPSRLLSCDPHAHPSERRRRGGNAVLPPFRRLLAGEFEWEPVESSMFAVERIWGPLSLPPSLRGGIQAVQRLREFQFVDRTRGSLLDSNRTLALATVAVSSG >Sspon.07G0016720-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:85720682:85723278:-1 gene:Sspon.07G0016720-2P transcript:Sspon.07G0016720-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFAGWYLKIAAVGASIGAAMELFMIHTGFYDKVVVLESEKRAWESSPEAQAMREALNPWRKHDEQQKKYITAKLTMLDANPRNVMQAHRKKVVE >Sspon.08G0009370-3D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8D:64376758:64379726:1 gene:Sspon.08G0009370-3D transcript:Sspon.08G0009370-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKLRDWAGLPDGLLHSIIELLGSFPDLLAFAATCHPWRSAFSSYPSKSSLYALIPPLLLDPNVSFCSSRHFPNAKNTSVPKRPCYVTDLASQDTILCSQIPLLSVDYGNNRPPSALHKFAFRGASFGHMIFSSNKICFLFDVFTGIEVSPPLLPADEYSEIRCGATLTGPLASPNSHLIVCTLSFNFFWRVGSDSWSKCSPRNGSLTEFVVFKGQVFGMGSDRSLFMVHLSPQIHLQEIPVSWGGRNSMTKWHLCNPWLVVCDDMLLMVGCQSEFPGITQDVFEAYRIDTSTDPAKWVKVERLENWAIFISSDRRVQPLSCLNPERCGGRSNCVYCYDYEFGHLVAFEVGKPLPEDDATSQITRPSSSPEVWARGAQPSPVKASLLHTEASVAVESVGSQSRKLQGLPEEALAAARRRATIYWYASARGPPVSLIRSLLVRHLYHSPPPPPLFCADPLIP >Sspon.08G0014670-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:56780434:56790494:-1 gene:Sspon.08G0014670-3D transcript:Sspon.08G0014670-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:IQ-domain 10 [Source:Projected from Arabidopsis thaliana (AT3G15050) UniProtKB/TrEMBL;Acc:Q9LKA0] MGSGDWFKTIISKKKSKRAKSKHAKARKTLRCLRGVKRLCVVGQANPVKKQTAATLSYIQSWNKLQSEIRNRRAFMVTEGRNRKKKQENQMKLEAKLHNLQVEWNGGSDTMDEILGRIQQREEAAVKRERAMAYAFNHQWRARSATSLGNFSYEVSKGGWGWSWMDRWIAARPWEPRSLVHPENPKKAQAKKENSSTTPSALKLQGSITLSNNINDRKTPKKKPLPSPSPTDQKKPSPSPSSDQKKPVPKEQRAKAAGTPPKPKAKDTKGSQEKKPQPKVAPSVSA >Sspon.01G0036180-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:13097531:13100420:-1 gene:Sspon.01G0036180-2C transcript:Sspon.01G0036180-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIDKVDAKEREKIEAVRKLLRKQAPLSAKQAQYCNDACVERFLRSRGESVKKAAKHLRTVLSWRETVGADHIMADEFSAELADGVAFVSGHDDDGRPVVVFRIKQDYPKFHSQKSFVRLLVFTLEVAVACMSRFVDQFVLLFDASFFRSASAFLNLLMGTLKIVADYYPGRLHRAFVIDPPSLFSVLWKGVRPFVELAPATAVVCSLDFEDSLEDASFTAYPRTASLRYEPAVSAAAVVGKAGVGSASSRFSVTPTDNPIKPWYLSTIPTSVGSRSVQPVAGRRVPALRALLLLRLARHAALDCRHAAAVPTRRGRAAPDAVLRRQGPHKTPPPPPVQQFPRTPRPSFLQSPSMLFAFRKDGQASRVERERESFLPYLRFYRRPYDEISYRAKMRPPLGGLISIVGEKFKQKPVQQPLRRHAGLHHQQHQHHHYQQQQRI >Sspon.04G0012950-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:36687444:36691900:-1 gene:Sspon.04G0012950-3C transcript:Sspon.04G0012950-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMGDAKPSVLIPMPSRDRDRDRDRDLLVPTAAVATHASPSARTVADSDDDESKPSSASAAAAAAQTGREAFHKVVHSWASKKFMTGCVILFPIAVTFYVTWWFFRFVDGFFSPIYAHLGIKIFGLGFVTSISFIFVVGVFMSSWLGASILGLGEWFIKRMPFVRHIYDASKQISAAISPDQNKHAFKEVVIIRHPRIGEYAFGFITSEVLLQGYSSEEQMYCVYVPTNHLYIGDIFLVSSSDVIRPNMSVREGIEIVVSVGTTMPQ >Sspon.07G0000970-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:10421528:10426082:-1 gene:Sspon.07G0000970-2D transcript:Sspon.07G0000970-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALTPEGIFFLLAFCVSSVVSSSSAGSQSCRSFTSMFTLGDSYIDTGNFVIMAAPVIPVWIDKPPYGITFFGRPTGRTGDGRAIIDFMAEAFDIPFLPASLANSSDVSRGVNFAVGGATAIDVSFFERNKLVPFKLLNSSLDVQLGWLEDLRPSLCNATERSCGECFSKSLFFVGEFGVNDYTFTWMANKTKSEVMAFVPKVVRTIASAVERLIVRDGAAHVVVPGNPPIGCSPSLLTLLRTSPSAAEYDRIGCLRGVNDVARHHNALLHAAVDGLRAKHPKATIIFADFYTPIRRILENPSQFGVVNDVLKACCGTGGAYNWNASAVCGMPGGAACANPSVYVNWDGVHFTEAVYRYIAEGWLYGPYADPPILKATRPCLPYNYDVICSKTGSLIQKQEQDGYEHHTRLPDDYPKIALAIQDGSTARVFDGSG >Sspon.01G0049690-1P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:96183245:96184701:1 gene:Sspon.01G0049690-1P transcript:Sspon.01G0049690-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFAAPKPHVMVLPFPAQGHVIPLMELSHRLVDYGFKIDFINTEFNHDRIFKSMQNKEAIPEGLHMLSIPDGMDPDDDHTDIGKMVGGLSAAMLSRLEEMIRSKKIKWVIADVSMSWALELTNTVGVRIALFSTYSASVFALRMKLPKLIEDGVIDESGNVKVHEMIQLMPPIDSTEIPWVSLGSTPERRRVNIQNVIRSNRLIVLAEAIICNTFREIEPEALALLPNALPIGPLVVPMSKPTGHFLSEDLTCLTWLDKQAPSSVIYVAFGSSTVFDTTRFHELANGLVLSGWPFVWVVRPNFTKEIDEDWFNQFKQSVNGKGLIVTWAPQQRVLSHPSVACFMTHCGWNSTMEAVLHGVPFLCCPYFADQFCNQSYVCHVWKTGLKLCSNEQGVVTREEVKEKVVQLLRDEDIKARAVMWKNMACASIREGGSSHANLLKLVNLLREE >Sspon.06G0027340-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6B:84824377:84827688:-1 gene:Sspon.06G0027340-1B transcript:Sspon.06G0027340-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DQMEQKEKWALAYDEGGFRYGIMTTNSSESFNHVFIEVRSLPVMEEAHPSRWHPLYPLLDYNYDKEHRAAHMEYGPMDEQLPLLKSRTHTRQLKWDERYAPYIRRAGFLELVRVVREGLPPLNPALLSAAVDRWRPETLEDVKAILCLHIGGSCVTGIVDNDGWRQKVYEFCGHYPEDNEETKKHKKTSGVTSQWLIGRFDNLDKHAGPGTVETYAWVWLWHFLGTFLFPDVSGNVVSWIFLDILRQPWENIALFSWGSAVLAWTYRQLCAACRHGSGYPSLGSCSYLLQIWIWYRWPIRRPRMAGLPSGAEQVTGNAERKYREYTDLIDILTHMQVYWQPYEDPQLQGHLSPKCWQENDEFWSDVPLVFFHVVEMHYPSGFAGSLANVRACHHLFTPQARSCTGRRTRGELPADSKDDIVADEYEEVTMHETQPQRAPFRDTCCMDTPWEPPANPPRSRSTASPSLRTPAGSSDLVTGSTFGARTPPHRPDKAPVEVEEDEDDDSESSNEDEAPSFGIHQHRHPTQQGPSHLGPFQQSCTLLLLRCIMEDDKKTPRVNQRGGSRSSQSSMTEPSERISHHFRLPKAMKAHEDLLESLSSLVSNATSADLFGVFVWVADLF >Sspon.02G0027790-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:100985252:100987815:-1 gene:Sspon.02G0027790-1A transcript:Sspon.02G0027790-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKPECPAAANSGNAGVAAVKLRKGLWSPEEDERLVAYMLRSGQGSWSDVARNAGLQRCGKSCRLRWINYLRPDLKRGAFSPQEEELIVSLHAILGNRWSQIAARLPGRTDNEIKNFWNSTIKKRLKNSSAASSPAATDCASPEPNNNKVVAGSCPDLAGLDQHGGHHHHAMTTTGLWMVDSSSSCTSSTSSMHQRQPPLPTATMAAAVAAASRSYGGLVPFPDQLRGVVADASPGGFFHGHAAPAFKHQVAALHHGGYYGSSTPPHHHGMMAMEGGGCFMRGEGMFGVVPPLLEPMSAAAQEQEQGQALMASSGNNPKNNSSNNTTETTTTTLSNNESNITDTTTTKDNINTISQVNSGSNVAAVFWEGAHQQYMGRNVMHGEWDLEELMKDVSSLPFLDFQVE >Sspon.05G0002060-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:1568798:1571668:-1 gene:Sspon.05G0002060-2B transcript:Sspon.05G0002060-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSSPAPPQEGAAAPSSWAEAASSAALRHYRSLPKKGKPQGRESTVLAAFLLSALQDPHSLTVLSMGTGTKCLGASMLSARGDLVHDAHAEVIARRALLRLVYSEIGRGAPPEWLVASVDGGRWRLRDGHCLHLYITQLPCGVMPVPLSQSELPREQLDSVNGDISFVQRKPGRGDTTLSMSCFDKITRWSLVGIQGALLSHILEPLYLSTITIGQLPDGAPEGFSIENNIKKALNARLSSLSSKLPHSFKPQKPKVFEAPVPPTEFQQISGDVPPLTCGVTFVIYLLLGTRSVGINPEQLVGNKERLQRRHTCPPPSHCSASKRVLPSVNFFHRNSDAKLLRGLCVDCCPRRRLLEAFMSLEHPLVGQLKCEELSYRALKDTAHDYRHTLELLRKAPFFGCWRAKPTFVDSFAVPR >Sspon.03G0003630-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:9408011:9412930:1 gene:Sspon.03G0003630-1A transcript:Sspon.03G0003630-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MESRSIRIRHLSCSALLFDTMDGRRTILMGRYEIGKQLGQGTFAKVFYARNLTTSQAVAIKMINKDKVMKVGLMEQIKREISIMRLVKHPNVLQLFEVMASKSKIYFVLEYAKGGELFNKIAKGGKLSEDAARKYFHQLISAVDYCHSRGVYHRDLKPENLLLDENENLKVSDFGLSALAESKRQDGLLHTTCGTPAYVAPEVLSRKGYDGAKADIWSCGVILFVLVAGYLPFHDTNLIEMYRKISRAEFRCPRIFSTELKDLLYKILDPDPSTRISISRIKRSAWYRKPVEVHAKKNEAETSENTCTGEGTTSGSTECSTSEGNQGPLSLPNLNAFDIISLSTGFNLSGFFEDKYGRREERFTTRQPATTVFTKLKELSKRLKLKIKKKENGILKLAAPKEGKKGVLELDAEIFEVAPSLLLVELKKTNGDTMEYQKLVKEDIRPALKDIVWVWQDDQHQHSQPPHSLLSPPQPQDELQPSLPQQEGQDLLEAPLPSLPLDQLKSPTAPEQAEQLPQQEQSALHLPRPAGVEAELHPVSVGHMSMAAEMEQPLRESMSMDIEEEPRIGNGSIVENAASDL >Sspon.08G0015980-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:60457309:60460401:-1 gene:Sspon.08G0015980-3D transcript:Sspon.08G0015980-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIRPCRPCKGPNKRLKDVEISFPIVYGTISFWLGKKASEYNSHKWTVYVCSANNEDLSVIVKRVVFQLHPSFQNPTRVVEQPPFELSESGWGEFEIAITLYFHSDVCDKRLDLFHQLKLYPEEEAGPQSTKKPVVVETYDEIVFPEPTEAFFQRVQNHPAANVPRLPPGITLPPPGAGSYSQAEKAVNHDRRNAPAIESFFCPWTAV >Sspon.03G0002110-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3D:12724283:12727443:-1 gene:Sspon.03G0002110-2D transcript:Sspon.03G0002110-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MCFELADQQRGGGGGAGWPAKRLAGAGGGQDEGASAGMAMAAAGPGEVMSEHYQAQELSTMVSALTQVVAGAPWAAAAAAASAGAPRGSAPEQQPMHGGYAHEMGSYHGAPSPELAGSELSSDTQSAGAAAMEEHHSSAAAQEGPETPRRRYRGVRQRPWGKWAAEIRDPHKAARVWLGTFETAEAAARAYDEAALRFRGSRAKLNFPEDARLHPASTTAGATAAASSAPLAAAAASTSRPAVYPGGVQGASDYDILRYQMLLQTSTGSQGTLLPFYGGGMSNPYGGGGAAMTNPYGGAGGGSTSGFLGSYYSFPPSSVSVATVPSSTSSASGYYYSSPQSEASAAVADWNWESTLAWPDSSQYPPPPHT >Sspon.06G0031330-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:64977859:64985890:-1 gene:Sspon.06G0031330-1C transcript:Sspon.06G0031330-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRALRVRNCLSSLSLLSRRRLGRAPSRAPHPPPREARAPARAARHARRKGPVRVAPDAAALGPQQPRLGRLARRRESPGAASPARVPPVELDTACLSSAGAPLLLSYPVRPCSSPSRRHAGQTGRPRPAARWDGLRFLHFLSFRLPATAAHRLPLRDAARLMARRVLFAGAGGWRKSRSGVEFGSGGSSSRPLIRGWKPYSSSKLRQTTGSSVLAAWGKAREDEPIKNKSLDGEDGFMDLLKAINSNWNDLKKLQQKLEQQQKKKQQQKKQQQTQKQPEDYLQGLIAIEWQGQFAFVPHAEKASIYAQLKTAKSSSSIEKSYELPDVITIGVERFRCPEVFFQPSLIRMEAPGIHETTYNSIMKCDVDIREDLCGNIASYPSHLPVADVDIQGRVR >Sspon.08G0024570-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:64636498:64638267:-1 gene:Sspon.08G0024570-1B transcript:Sspon.08G0024570-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLLAFLLGSRPESYTLSRSVCLLRLTLTPYCVIVLCAVATTIGTVVAFLLVPMRSLGPDNWKIAAALMSVSPSVLAAGLAADNIICALYFTSIFAIDHPVGDDAAGGDSDSDKQHHHHQLPLPPVVHSAVAMAAAFAICKAGKLATTVLGVPGGSLPCITAIVVTLATLFPSHLGDLAPSAEPMAVILMQVFFAVVGANGSIGNVINTSPSIFAFASVQIAVHPWPSNANVGGPTTACGMATAKGWTSLVVPGILAGIFGIAIATFMGIAFGLLPKRATAQLNQSVQPLVVFSRMALPLQSHGRCAACPHGHPGWSPPYVPVCAAA >Sspon.03G0018660-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:57755902:57757944:1 gene:Sspon.03G0018660-1A transcript:Sspon.03G0018660-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTLSSGVLLKLLDGMKSGAAKPVGEHRTAVLQVTDIVPAELDEKDLFPKHGKFYVKVSDASHSIYATLPLAQADLVLSNKLHLGQFVHVDRLDPASPVPVIVGARPLPGRHPLVVGAPDPAGRAKPAEPRRGSWGPEQNAAIKPTTLNFDAVRMTPVKERPALSTPVKDRAGAATPARERGTAATPGRERGVAASPAMSSASVRKSSSVLPRLTRSKSFVADRDQQQHPRISKSPFPTEKSSVSCTASRAMRRVTKEQEPSSPPSDDELGSSATSTKKRPATAARVPVPGKLSLLGKDAIEQREQAQKVALEALRNASATDNVARIYKLFLEVSKTARPEVPTTCFESFLNFHQEAVQAVTDIEAIQAATSMAAAVASDEQQHEDAPPVLQEIAQNRAVVRRRGGLWGVSKSVSFAPGTLNPKQDDGGGKAIRSSSASRKCLAMDKIGEDGGDEKRSSCSAPSSATTAAHSPLGSSLKLAKQIQAEAGIWFMDFLEAALETGLKKSKASAMGDGRKQSSCCCLQSVMLRVINWVEMEQNGGGGDNSGRKTAHPRAATVARKLRIKAKNPS >Sspon.01G0039030-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:20347681:20353000:1 gene:Sspon.01G0039030-2C transcript:Sspon.01G0039030-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGGQDEGKRRDGSAASGPDTAAPAFPAWARTPSECLAELGVSADRGLSSEEAAARLQRYGPNELERHAPPSVWKLVLEQFDDTLVRILLLAAVVSFVLALYDGAEGGEVGITAFVEPLVIFLILIVNAVVGVWQESNAEKALEALKEIQSEHATVKRDGRWSHGLPARDLVPGDIVELRVGDKVPADMRVLQLISSTLRVEQGSLTGETSSVNKTSHKIELEDTDIQGKECMVFAGTTVVNGSAVCVVTGTGMATEIGKIHAQIQEASQEEDDTPLKKKLNEFGEALTAIIGVICALVWLINLKYFLSWEYVDGWPRNFKFSFEKCTYYFEIAVALAVAAIPEGLPAVITTCLALGTRKMAQKNALVRKLPSVETLGCTTVICSDKTGTLTTNQMSAVKLVAIGRWPDTLRSFKVDGTTYDPTDGKIHDWPSLSMDENLQMIAKIAAVCNDASIAHSEHQYVATGMPTEAALKVLVEKMGLPGGYTPSLDSSDLLRCCQWWNNAAKRVATLEFDRTRKSMGVIVKVNSGKNLLLVKGAVENLLERCTHIQLLDGSVVLLDDGAKALILSTLRDMSASALRCLGFAYKDELAEFATYDGEEHAAHKYLLDPSYYSSIESNMIFCGFVGLRDPPREEVHRAIEDCRAAGIRVMVITGDNKETAEAICREIGVFSPDEDISSKSFTGKEFMALSDKKKLLRQQGGLLFSRAEPKHKQEIVRLLKEDGEVVAMTGDGVNDAPALKLADIGVAMGITGTEVLLIALLLIFLGLFGCENKRLRLLQPLILLSHVLLEGCLTRCPINLCKVAKEASDMVLADDNFSTIVAAVGEGRSIYNNMKAFIRYMISSNIGEVASIFLTSALGIPEGLIPVQLLWVNLVTDGPPATALGFNPPDKDIMKKPPRRSDDSLITPWILFRYMVIGLYVGVATVGVFIIWYTHGSFLGIDLASDGHTLVSYSQLSNWGQCSSWEGFKVSPFTAGARTFSFDANPCDYFQGGKIKATTLSLSVLVAIEMFNSLNALSEDGSLLSMPPWVNPWLLLAMSVSFGLHFLILYVPFLAQVFGIVPLSLNEWLLVIAVAFPVVLIDEVLKFVGRCLTARARKQSGKRKAE >Sspon.03G0019790-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3A:61725297:61725782:1 gene:Sspon.03G0019790-1A transcript:Sspon.03G0019790-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLVRLMDTLALDSWVRNPFSIFGTAVAADAWLASDTSAFANTYIESRDTAGAYVFSAALPPGVKKEEVTVEVDEGNVLVITGERSVSREERVGDRWHHVERCCASFLGRFHLPDDAAVDGVRAAMDAGMLTVTVPKVGAPAAAIAAAAAAAGEKPNEATAP >Sspon.05G0034340-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:54230278:54238962:-1 gene:Sspon.05G0034340-1C transcript:Sspon.05G0034340-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLLLPFFLLLLPWPYVQAQQNISLGSSLTPQGPSSFWLSPSGDFAFGFRSMSIEGNASTYLLAVWFSKISDKTVVWYAKTSGEQSPIQLTSGGTLSLLDPTNTEVWTPQSVGAAAYASMLDSGNFVLAAADGSIQWGTFNDPADTILPTQVLTAPKTLRSRIIATDYSNGRFLLDLQDTGVKLYSVAVPSGNQYDYYWSILGNTTKIVFDPMGRIYIALDNGTQINITYGAAGSLADSYQRATLDPDGVFRQYVYPKVSDLRSQAWSMVSMQPSNICGAQTTVGSGTCGFNSYCLTDGTKGPDYLQYSFFDEERKYKGCKPDFQPQSCDLDEEASKMQFQFRTMHQVNWPLSDYEKYNPITEDQCRQLCLIDCFCAVVVYNDQDSACYKKKLPLSNGNMAGDVHATVLVKVPKNSNAQSYPIESSKWNKDKKYWILGSSLLLGISVLVILVLISVLLFGTNYTVTRKMVPSLESSSNLGLPLKAFTYAELEKATRGFQEVLGTGASGIVYKGQLEDELGTCIAVKKIDKLEQESEKEFSVELQAIGQTHHKNLVKLLGFCSEGKERLLVYEFMSNGSLNRFVFGDVNLQWNLRSIGITAKVDVYSYGVILLELISRRRNVELEAAEDKQILTYWASDCYRCGRVDLLVEGDAEAIFNLKVVERFVAVALWCLQEDPTIRPTILKVTQMLDGAAEIPTPIDPSSFHLLALSIRRLCIRFRRIKGNTSFYLLTVWFNKISDKTVAWYAKTTGPDPMQALAELILKKQSVGLNSPPPHIQARSATECRYKFCPVHASSSTPLGCSCSRTPSAQRYGIPKLWVHPTLPCSILELCTGCCRWLYQMGELPIPYKYHPTSTGAHPWNDLRSRILPTNSNGQFLLDCKALVCFLYTVDVPSGHKYDLYWSMELKTTIWFCEITSGGISSIADYYRRATLDPDGIFRQYRYPKKASNQSNQAWSVVDFKPPNICEAQLTNVGSETLSDRLFPCLAVFHDEGNTCWKKKMPLSNSYMGDGVQRTVYLKVPRNTSYPRAQLAATANNKKAILGATIGGIIGIVLVIIAATLYVQRTRMYQEIDEAFDFEKVDLVQFFKGN >Sspon.02G0022830-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:104141445:104148585:1 gene:Sspon.02G0022830-2D transcript:Sspon.02G0022830-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MWELASVLNFLHVFRPLLNIAVEFTAEELEDAIITPNGTLDDVHIPLLKSIPPVTRMAMGRATWIAVLCKKLKHWWHWVAEGDLPIVASHGAETELYKALEPGIRLVILKAICDIRGEKELEEIHMESHTGEHTIVFFVIWGISTQLLHSRYEDDPILGHRLYREIRRVERVKESTKKYKGKGVSTVPVISYHWEAVACNFDEFKIAAEKLFSSRNRTEVSLGKKLTFNYLPEIEKIHKKKEKLLKKQQREALLLDSYLMVNGLTSGRSRRERKRVTYTFGNPKAQVNNAVEIGPSPLCKGFYGQTPAKSYYKAKKGEGKADTLHRSYRQRKRSQRYTKDYVEAVSDIDLDFDSDDDIMGEAVYDEEYLRRRKWHKASLSENDDEFQLEQVANDGDDEVDHSLSANEGTVELQWYKRSPLLTSQGTKLKSIDEIQIGIRRSKRTTRPRINYQQLDTFGANTEFGKSEKCNASDPDAGSDALNDMELSTSQDREDEDDEVNKAQKQCIEKSIVPVSESRSIRRKFLDLNELVPIAGFDDASVLVKDEHMNNSREKCSAAH >Sspon.01G0026810-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:94827034:94827860:1 gene:Sspon.01G0026810-1A transcript:Sspon.01G0026810-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding KQWAALKWSDARYVSSSRGSRRRQQQRRTVESSRSEPGSARAAPSRVGRVSPGTARGTPDRWQRPSPLSLRSASSRPLSSPPPVRCRCAPDSHSPPPHAPRHAEGERKRQPAAEAASAAQAWPLPLPVATARPRAPPASPPSVPTLPPLLSSALLLSARSAPICR >Sspon.05G0015690-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:28171419:28172736:-1 gene:Sspon.05G0015690-1P transcript:Sspon.05G0015690-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCYFVFGNSPILFVSHVCLQMGILVITVDLECCRCRAKITKVLDCLKEEFCIEKVEFEDKKEKKVVVVRGKFDAEKLCKKVWCKAGKVVKEIVIAEVWPMPPPPKPCTPCKPKPEKSKPKPEDPKPEKKCCVCEHCEPKPEKCCVCDHCKPKPKPEEKPKPEEKPKPAPPKTEYKLVPYPYPYPVPNPVMCQSWPWQCPPHQQCQCCQKQPPPPPPPRPPCSYSSHANCGCGQTPPAWPPQPPVWPPPWAGCNVVTDENSCSV >Sspon.06G0017860-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:71968899:71972940:-1 gene:Sspon.06G0017860-3C transcript:Sspon.06G0017860-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinesin-like protein KIN-14U [Source:Projected from Arabidopsis thaliana (AT5G27950) UniProtKB/Swiss-Prot;Acc:Q8W0Y9] MEKEAMPAGHMMVPLQSLSLELPDGEIVVGYSDTSALQEEISTLRSRQRHLDRRRREALDKLIDLKALSMCSLTRITIRRHGATEDVSKLWLIDLGGSERLLKTGASGLTMDEGKAINLSLSALGDVIAALRRKRSHVPYRNSKLTQILSDSLGDGSKVLMVVHISLSEDDVGETVCSLNFAKRARSIESNREIPVDLKMLKQKRLTELDKEICNAEEELKYLNEQIRRAEISLEEKKKLTSSVCQALSDEKGSPRSTLVVGHIDVTESPHATEKAKSRLSHGSAPHFMSSTMCSRQRHSAGSHFVSKPRLTKSVNRYPAEQSGSQSFSYSSCKNAAKARSVAFSSSVPKMKCLPVKSDQINISNNSIDSTAASAPRRRESFGSRPVQRAPLHQHRRRMSSLT >Sspon.01G0051300-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:14349218:14352399:-1 gene:Sspon.01G0051300-1C transcript:Sspon.01G0051300-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHSKAHENIQLPALQEGSACIGMMMLVLSATFPLISTDPHVWPAWTYSVSSQHIIFFFGLYMVAIGYGGQNPCVASFGADQFDDTDDEERTKKSSFFNWHHFAINAGALISGTIIVWVQDHEGWLWGFAIPALFLIFGVGSFILGSSVYRFQKPGGSPFARVYQVIVAATRNFDKVLPSDCSLLYETPGQGSAIEGSRKLVHTTGFEFFDKAAIVTSVGCESVGRLNAWRVCTVTQVEEFKILIRMLPIWATMVLFATVLAQMSSTFIEQGMVMDRHVGSLEIPAASFQSVDIITVLALVPIYEKILVPVFRKFTGMAKGITPLQRCGTGLFLSTLSMVSAALVEGNRLQTVQDRGLVGQNVAAPMSILWQGPQYFLIGAGEVFSLIGLSEFFYEESPDAMRSLCLAFSLANISAGHYLNSFIIHHFSCSCVHGQRRQPRVDT >Sspon.03G0000760-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:13624404:13625389:-1 gene:Sspon.03G0000760-3C transcript:Sspon.03G0000760-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKIPSPRRLFRSRSRSTTASVGSADICAMVAEHEKIEWEVRPGGMLVQKRRSPEEDAAAVEYILVRVSTGWQWHDVSIDATATFGDLKVMLSLLTGLWPREQRLLYRGKERDDCEHLHMVGVQDKDKVLLLEDPAVKERKLRSTTLAQLMGVPCHSFIQV >Sspon.03G0026530-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:3523575:3532703:-1 gene:Sspon.03G0026530-3D transcript:Sspon.03G0026530-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SSRNMSPPVKIIGHFASTYTYRVEAALRLKGVPYELIEEDLSNKSELLLANNPVHKKVPVLLHGDRAICESYVDEAFDGPPLLPADPYDRAMARFWADFEPEQLLKPFWLAHWTEGDGQKAQVEEAKPNLALLEAQLNGKRFFGGDTVGNVDLAACVLGPWLSAVEEVTGVVVLDENEYPALHRWSKEYNSYVALKQCAPDRDQLVAFYTEKKDCNSSFAAKAFKISTSEQLGTLRNLPKINILSQFIFSAYN >Sspon.03G0003040-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:7679975:7684862:1 gene:Sspon.03G0003040-1A transcript:Sspon.03G0003040-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTQAKSGLFVGINKGHVVTKRELPLRPSDRKGKATKRVTFVRSLIREVAGFAPYEKRITELLKVGKDKRALKLAKRKLGTHKRAKKKREEMANVVRKMRSGGGGTCF >Sspon.05G0030650-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5B:92112644:92114186:-1 gene:Sspon.05G0030650-1B transcript:Sspon.05G0030650-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEDDLPYHVRVTADGDLETLDPATGELFALSYNVVSKPFLKYFYFTADGRKSPDVEIPVDVPTMMHDFAVTENHAIIPDQQIVFKLQEKVLGGSPVVYDRNKIARFGVLPKRATDASRLQWVEVPDCFCFHLWNAWEDDATGEILVIGSCMTPADAVFNESAAGEESFRSVLSEIRLDPRTGKSSRRAVLSDADQVNLEAGMVNRQLLGRKTPSRGPRCRASPRWTSRPAPSRSSSTATYGGDPCFVPRPDDPAGAAEDDGYVLCYLLDEGRGASEMLVVNARDMRAEAA >Sspon.03G0037720-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3C:413182:413913:-1 gene:Sspon.03G0037720-1C transcript:Sspon.03G0037720-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMTDSKHVSMQRGYVRQKKHAAMCNANANANAMQLREGQRETPPGTVYYHAKWQGVACASCSPGPRDVRPPRGTTGTGYGGSAVDVIPATRRAACRLPRRAARSASGPARHALFDTPRRWSRPRACCRAAIMRAVCGAIPQRFGRRDRCAAGCSSAVVFTGQSRQIRHRLAILVQPASHQSGNITWVCSAAWFTGGSLLFGRRHD >Sspon.04G0003640-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4A:11220012:11222839:1 gene:Sspon.04G0003640-1A transcript:Sspon.04G0003640-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRDQREGERREVGVQTVRVEELDLVTVTQWWCSVTGGGLPVVGSTLLDRSRVRTVGGGSGSEPRSLCPGPHLLFMVLYDGGPPAVYGLGTPDQGAGQGPSWPLGQLVEINSNRGISSSPSLSRRIKQTESEIVRMFHPPVRQSEEATATIVPRYTHSVRVLDERFIRILKIFKWGPDAEKALDFLMLRVDHWLVREVMKTDIGVNVKMQFFRWAAKRRNYEHDTSTYMALIRCLEVVEQYGEMWKMIQEMVRNPICVVAPTELSEVVRMLGNAKMVSKAIAIFYQIKTRKCQPTAQAYNSMIIMLMHEGQYEKVHELYNEMSTEGHCFPDTMTYSALISAFCNLGRRDSAIQLLNEMKENRMQPTTKIYTMLIALFFKLDDVHGALSLFEEMRHLYCRPDVFTYTELIRGLGKAGRIDEAYHFFYEMQREGCRPDTVVMNNMINFLGKAGRLDDAMKLFQEMGTLRCIPSVVTYNIIIKALFESKSRASEVPSWFERMKESGISPSSFTYSILIDGFCKTNRMEKAMMLLEEMDEKGFPPCPAAYCSLIDALGKAKRYDLACELFQELKENCGSSSARVYAVMIKHLGKAGRLDDAINMFDEMNKLGCAPDVYAYNALMSGLARTGMLDEALSTMRRMQEHGCIPDINSYNIILNGLAKTGGPHRAMEMLSNMKQST >Sspon.02G0000690-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:2983593:2986916:1 gene:Sspon.02G0000690-2C transcript:Sspon.02G0000690-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRFFFFGSPAANPGDGNEQPISDNKTSRHKKTDEGKDSSGSCSTRLSRSRSRRQKRSKEEPANPKQLRRSMSFSSPATNSCADERCFSFSGDVPCSLYDESDAPHHAKHVVPNMWSPEGNPFLREYAIKTPKPHSAMENDSPRSKCCSCSAGHSPVSSPIAIQCRSTRLSNLLNKNEVLDRYVDRGHEDAMVNEKQKQGAPVTSMVSNLGRPPRPQSTVPSIPKSMKETTESYPDVDLKDGFLWRLAQEGTGDTHKMTTMCSAFRRHISMSEASERESSTSVEDIYEDLQDVRLPNVVCPSTCPTSVEDETDDMLLQRAKEVESRFIIPCGDEYEFSMLRDKPLTSNDMFQLIQQLTEDRKQLAHELSSEIKARVTERFAAKEQYKQTNKELETRTRRLEKEKSEVQTTLEREMDRRSDDWSIRLSRFQSEEERLHERVRELAEQNVSFQREVTFLEANKAEASMKAASLETQNSKLNDDLEKLRNEHEKLHSSSVDLQARFAEVVEERDHIREYLKAKEGENKALHKVIARLQTACNEQERTITGLRQGCIDELDRKFVECTTSDKTRKLQMELIRLTGVEQKLRGEIRSCDLEVESLRQENIALLNRLQGAGNGASFSSIRLDQELQARVDNLQMQGLSLLDKISQLCAKLMDLMKHKRHEYLIGNDALTFSDYTFEYQSIKGGIEGLKRSLKAINSILSEKQNAKEKSGEIAAEGSPSKDETDDFGLKLKEEAMLNRVLKEAVLSKELDIE >Sspon.07G0009640-3C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7C:24221543:24224467:-1 gene:Sspon.07G0009640-3C transcript:Sspon.07G0009640-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLIAAMASSPEGTWAPISRATGGRRALIYSFIESGTKMTRLNNPAHVMPLLEIVCTHHTSSQVIVDLLDLAKKIQKTPIVVGNCTGFAVNRMFFPYAQAACFLVDHGLDVYHTDHVITQFGMPMGPFRLADLVGFGVVIATRKQYYQNYPERCYQSMLLQILVEDNRTGESSRKGFYVYDDKCKASRDPDLGKYIEKSRNMAGVMQDPKLMKLTDNDIVEMIFFPVVNEACRVLDEGIALKASDLDVASIMGMGFPSYRGGVMFWADSLGAKYVYDRLDAWSKDYGEFFRPCEYLAVRARQGASLAAKVDGVKSRL >Sspon.03G0011540-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:47289078:47291698:1 gene:Sspon.03G0011540-3C transcript:Sspon.03G0011540-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPPFLPVSLLSRGTQPALLSLCCHTTQAATGTDPPAIRFADSNLQTFPPSEARGKIAGAYRPPTDADDTFSSKGGGAGSGGRGGSAGSDDAAQGGWFRMFSVAAYKPYFDVDTSDVVERIWESVFPFRGTFTEKTSENPDLYGPFWTCTTLIFVAASIATFVTYLSHKWHKKEWNYDINLVTWSAGLFYGYVTFVPLLLYVILKYFSAPAGLVQLWCLYGYSLFIFIPASLLSIVPIEIFRWVIAGVAGFMSATFVAVNLRAHIVNSGERWFLIVAGIFLLQLGLAVLLKLYFFTITV >Sspon.05G0030770-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:685348:687480:-1 gene:Sspon.05G0030770-1C transcript:Sspon.05G0030770-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGADGVKTACVTGGNGYIASALVKMLLEKGYAVKTTVRNPDDQEKNSHLKRLQALGPLEVLRADLDEEGSFDEAVAGCHYAFLVAAPVNLASENPEEELIRPAVRCTLNVMTSCVKAGTVKCVILTSSAATVVPSKSLPLPGDDGHVLDEETWPDIEYLVAHKPATWGYCVSKALLEKEACRFAREHGISLVTVCPAVTVGAAPASKVHISVPASLSLLSALGVLKGAEMLFGGVPMVDVDDLCRAEIFVAEKETSTGRYICCGLNTTVVQLARFLAHKYLQYGVETNLSGKLLEEPRVCLSSGKLVKEGFEFKYKTLDSMYDDVVECGKALGILPS >Sspon.06G0036220-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6D:90975985:90976769:1 gene:Sspon.06G0036220-1D transcript:Sspon.06G0036220-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNNKQTMPIEEIDGADRNGSQELTEQEIDEGNNADINSLYTPQLGMEFKTKDDAQHFFNFYAYLASFETVVVHVFRTASKKRNNEVTKVTIKCNKYGKQEQPKTVKQQEVEVDKDIGKKKGPKRQTNVVVKIDYQCVMVVKEENGVWRIIRLDLDHNHELQPGHRDKQFSGHKYMMDMEKALIRTLNDNTIPTRKMISVLSYLRGGPTALPVKKDVSNFRTKRNKEVRGSDMTKVLDSFRIRKADDPSFFYKFELDKENK >Sspon.08G0028250-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8D:7566268:7566951:1 gene:Sspon.08G0028250-1D transcript:Sspon.08G0028250-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGHGRPPPPPFFLPFPPLPAQVAAVHPAAAARCVPPHARPDPTPARCQQPVEAPPPPLPAARPPGAAGPSLRSPGAGVDARQQPVEAPPPPLPAVRPPGAAGSSRRLPGAGEQPVEALPPPLPAARPPGTAGSSPGAGADASPSFCAGALPPAPANLQRPSSSAAPPPAPLLLLRCPSSCAARTGCCCCTSAPPPAQPALGTRRGTSALRATLCRCVGATDPRSRR >Sspon.05G0025430-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:23441368:23445407:1 gene:Sspon.05G0025430-1B transcript:Sspon.05G0025430-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAESCVPRPLFGGAISTTFPARFQDVSNIREVPDHQEVHFDPSHDESLVFELLDLKGEVDDAGSALWFLRDIANEQDAGDNLVVEHSGALELAALRLGEAPVVAATAVGQMLYLANIRLKNAATDVLITAYEPLLINPLSESTVAVAAGPVIPAEQAGCLPMSEIFKLAVMNFNVHDWNLFNGGP >Sspon.04G0025370-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:29880283:29890794:-1 gene:Sspon.04G0025370-2C transcript:Sspon.04G0025370-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAPKPGGGAAAAARAAAAGPRTVLITGVSRGLGRALALELARRGHAVVGCGRSAEHLRSLEAEITSPSRHFLTVADVRSDSSVAELAKAAVEKKQVPDIIVNNAGTINKNNKTWNVPAEDFDMVVDTNIKGTANVLRHFVPLMIEKRHGIIINLSSGWGRSAAAEVAPYCASKWAIEGLTRSLAKELPPGLAAIALSPGVVNTDMLTSCFGNSAALYQTTETCN >Sspon.08G0009800-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:42077621:42082122:1 gene:Sspon.08G0009800-3C transcript:Sspon.08G0009800-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARVLLGSAISKAASAAADEASLLLGVQTEIWWHKVADAQWKAGNNKKEAIYMKIDFTIREFMNMQAQNRVHRIKEKSMTLGKNTLEHAKTTLMSEVISSISQKKINM >Sspon.03G0007110-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:19328599:19329620:-1 gene:Sspon.03G0007110-1A transcript:Sspon.03G0007110-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding HGQRVQGPPSASRRRLRRRLRRRRRQPAAAGAGAGGRHRAVRGEDVPHGERPGHGRRRALGRRQPAAAGAGAGGRHRTVRGEDVPHGERPGHGRRRALGRRQQHLPRPRPGHLLRLPAAFLLQTPQLRQLRPAAQHLGVQEGGHGPVGVRARVVPPGPGAPAAAGRAQEEEGGRRRLPGAAVRGGGGDARDHPGRAAPAGGAARDGGGAAGHGPPPARRREPPGPDDGVPRQARGRTGRRAARYARQEGGADCGQRVAAGDRGGAGQKASDRGRSGRRGRGRGPGAEPSGRRAVPVLCSRPSVLL >Sspon.03G0016540-2T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:52333520:52335100:1 gene:Sspon.03G0016540-2T transcript:Sspon.03G0016540-2T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSAMELSLLNPAATHRHRGGLAAAGLPLAPRRSVVRFRVSASAAAAAPPKSSGPKKRGKTEIQETLLTPRFYTTDFDEMERLFNAEINKQLNQAEFDALLQEFKTDYNQTHFVRNPEFKAAADKMEGPLRQIFVEFLERSCTAEFSGFLLYKELGRRLKKTNPVVAEIFSLMSRDEARHAGFLNKGLSDFNLALDLGFLTKARKYTFFKPKFIFYATYLSEKIGYWRYITIFRHLKANPEYQVYPIFKYFENWCQDENRHGDFFSALLKAQPQFLNDWKAKLWSRFFCLSVYVTMYLNDCQRTAFYEGIGLNTKEFDMHVIIE >Sspon.01G0030650-2D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1D:104958318:104958911:-1 gene:Sspon.01G0030650-2D transcript:Sspon.01G0030650-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQGHCTAASLCFVCTYPPSHRQSHFASIAASQLDPLLHGRQSKNTGKSNAFLLHVISCCWLDASGRVGLHLPSAYYSLPVHACPANRAAVLLHVASPFGRRSSPECLLGQQLAGQQAGRPVSAFPGRLPFPSHPRHYSYHRRVTVTSVCAPARARTPCLVSSVAMDEGRGRNWGCTPCACNASLRLSGRVQQQRDD >Sspon.07G0012590-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:43254041:43256562:1 gene:Sspon.07G0012590-3D transcript:Sspon.07G0012590-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPTPSQSPPQTPPAQQAPAAGGRDDMLACVAALEAALLPCLPARELQAVDRSLQSSHQIDVERHARDFMEAAKKLQSYFISLQREDQPTAEAMLRKEITTMEEELKTKSELIAKHKKLIEGWRKELKEQLGKHITELERV >Sspon.03G0000210-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:550890:559572:-1 gene:Sspon.03G0000210-1A transcript:Sspon.03G0000210-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beclin-1-like protein [Source:Projected from Arabidopsis thaliana (AT3G61710) UniProtKB/Swiss-Prot;Acc:Q9M367] MKPPAGGSGKGGAVDPSLPRFRCQECRRALVVVGVESYADRLPAHAAPGNHASSVQGSVMGASKMDNSYVVLSRQNKSQGPRIPPRPPSAAAAHTDPSQSTRAIEGSYIVLPPPAASIYKTPASEGGGAQLTAPGVNSSSPSQGNNSGFHSSVTVLKRAFEIASSQTQVEQPLCLECMRVLSDKMDKEIEDVNADIKSYEACLQRLEQEPYNILSEADFQKEKQKEEEKKLKAAIEEAEKQYSEVSSEMKDLEIKSKQFDELEERLPPVSVIFILLYEKHHTFQEERDAVFAKIEVSQVHLELLKRTNVLNDAFYISHDGVIGTINNFRLGRLSNVEVEWDEINAAWGQAALLLHTMAQYRIKIHPMGSYPRVTDIHNNTYELFGPVNLFWSTRFDKAMTWFLTCLQEFAEFAISLDKENNVPPEKSLKLPYKIDGDKVGSHTIVLSFNKNENWTKALKYMLCNLKWVLYWFIGNTSFAPPSGSLHTQSLKNKS >Sspon.01G0019350-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1A:72507166:72508971:1 gene:Sspon.01G0019350-1A transcript:Sspon.01G0019350-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAP4 [Source:Projected from Arabidopsis thaliana (AT1G03900) UniProtKB/TrEMBL;Acc:A0A178WMR3] MASSLAEDEEAFEHTLLVVREVSVYKIPPRTTSGGYKCGEWLQSDKIWSGRLRVVSCGDRCEIRLEDPATGELFAACFVLPGQRESAVETVLDSSRYFVLRIEDGRGKHAFVGLGFNERNEAFDFNVALSDHEKYVKREQEKETAGAGGEETGGGEIDIHPAVNRRLKEGETIRITVKNKPSTGSGMLSAAGLSGGTTAKPKTSMLLAPPPGAAGKLRSPLPPPPNDPAAARMNSGHTAEIRAPKEPTKRNNDPFSDLSAIK >Sspon.08G0011390-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:49744364:49749695:1 gene:Sspon.08G0011390-1A transcript:Sspon.08G0011390-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPAPATATTARAHALLFLYPCSGHINPTHHFATLLHSAGVLVTFVNTEHNHARLMELARVAMAMTTTTWRRMAASAARSFQLQQQQAVPAVRTRARGRSLPVGPQLWRPRHAMERRAHAMLFPFPCSGHINPTLKLAELLHSRGVYVTFVNTEHNHERLRRRTGGGLRGREGFRFEAVPDGLSEEDRVAPDRTVRLYLSLRRSCGPPLVDLARRLGERDDGVPPVTCVVLSGLVSFALDAAEELGVPAFVLWGTSACGFVGTLRLRELRQRGYTPLKGPFQFLRYLTNGYLDTPIDWIAGMPPVRLGDISSFVRTLDPQCFALRVESGEANSCARARGLILNTFEDLESDVLDALRGEFPRVYTIGPLAAAMHRRAQQGQQCHGHGSAGLSLWEEDSKCISWLDAQADGSVLYVSFGSLAVLSLEQLAELAWGLAASNRPFLWAVRPGLVVGDRGADALPEDFLAETRGRCFIAEWCAQEQVLRHRAVGGFLTHSGWNSTTESIWSGVPMLCWPGFADQYINCRYACEEWGIGLRLDETLRREQVTAHVEELMGGDTDRAREMRRGAAEWKAAAEAATAPGGSSYESLDRLVEELRLGVGEADAEAELDRHGHAAAGPVIG >Sspon.06G0003580-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:10836577:10837589:1 gene:Sspon.06G0003580-1A transcript:Sspon.06G0003580-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFLAATGIVTLDVPAPGEGGDEAAAAGVYRLTPVSRLLVDDDVGGNMCASLSPFVLMQVNKYHVMPSLHLSAWFKGDDDDGQLMPAETPFKMAYGMAIWDAMGRDPQFNEIFNAGLASDSQFVLDSVITTCVDDVFGGITSLVDVGGGTGTAARAISKAFPHVACSVLDLPTVISGIRPPSGDTVEYIAGDMMNSIPPADAVLLKYVLHDWNNQDCIKILTQCKKAICSRQETVGKVIIIDVVVGSPSKIMFEAQVAIDLLMMVATGGKERDEHEWHKIFMEAGFKHYKIRPAVGFLSVIELYP >Sspon.02G0017410-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:50238002:50242949:-1 gene:Sspon.02G0017410-1A transcript:Sspon.02G0017410-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGASDLDRQIEQLKRCEPLTEAEVKALCLKAMEILVEESNVQRVDAPVTICGDIHGQFYDMKELFKVGGDCPKTNYLFLGDFVDRGFYSVETFLLLLALKVRYPDRITLIRGNHESRQITQVYGFYDECLRKYGSVNIRVIDRKQEVPHDGAMCDLLWSDPEDAVDGWGLSPRGAGFLFGGNVVSSFNHSNNIDYICRAHQLVMEGYKWMFDNKIVTVWSAPNYCYRCGNVAAILELDENLNKQFRVFEAAPH >Sspon.03G0003650-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:18882336:18883466:1 gene:Sspon.03G0003650-2B transcript:Sspon.03G0003650-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription repressor OFP2 [Source:Projected from Arabidopsis thaliana (AT2G30400) UniProtKB/Swiss-Prot;Acc:O04351] GRHKFRLSDMIPNAWFFKLRDMRARGAAAASPRVATLRPPPSTPRQAAPWLPHRQSHYYTPRAGDLVQLGSPSPLHPRASDTRFPPLQLSPPRRSSRRLRHHRRRSFKLAAAPPSASSSSGVASSPASTAACRCGRRRPELVVVEAPDTPPCRRDIFVGYSSDDDNYDDEYVKKPTVAAVRAHDKLDGGKVITSATDIIIDLRTKRRPDKTLPPIMTKSPAKREPDGCQLEDKHIDVLAHATRRASPVPVPEQGKLKPRRSVSSSARRLKTRANTPRLASSKKCRSPTTTTTTVRSPARTKPPPPLAESFAVVKSSRDPRRDFRESMEEMIAENGIRTAADLEDLLACYLSLNAAEYHDLIVEVFEHIWVTLSDVKV >Sspon.05G0006030-4D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4D:21289988:21292363:1 gene:Sspon.05G0006030-4D transcript:Sspon.05G0006030-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAECEELVESGGAEDMPRVVAALASILERVADRNDAAAAAELSAVVAPASAFRATTKPGISVRAYMARIARFAGCSPACYVVAYVYLDRLLRRGRRLALAVDSYSVHRLLITAVLAAVKFMDDICYNNAYFAKVGGISLVEMNYLEVDFLFGVGFDLNVSPETFGDYCAVLQSELLCAEAEAPPAPLRLQYCCLSEDDAAGAGCSAQQQLAA >Sspon.08G0029990-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8D:54086849:54087512:-1 gene:Sspon.08G0029990-1D transcript:Sspon.08G0029990-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSRTMTTTLMETTWSATHEMATTETGTESGLH >Sspon.02G0052130-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:88185386:88191903:-1 gene:Sspon.02G0052130-1C transcript:Sspon.02G0052130-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VQNPDRISPLPDDILINIPSLMTVCEAAVTNVLSTRWRHIWESVDHLILDMHTFGMQVPPNSDNHGNLDLELGSYKVCARSK >Sspon.02G0030030-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:111298639:111300620:1 gene:Sspon.02G0030030-2C transcript:Sspon.02G0030030-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRAGARPDAFTLPLLNRAAALLPGRGLAVGAAHSVGLRAGLGGDAYFCNTLLQAYARRGALARARQLFDEMRSRDVVSWTSLVSAYAGARDAQAVSRLVSTMRADGCEPSAATLAVLLRACMAERDAAAGGQLHCYAVKSGWSGDLVVLNSILTHLSRITGVDVAVRLFEQSPRSDAVSWNIIISEYSSEGRVSKVVDMYERMRREEVCPSCETLTSVVAAFAKCRHLWQGQKLHSFALKSGLIDTILVASFVDFYAKCGELPSSVQLFEEFRGKSNCIWSAMLAAFIHHGQFLDAIHLFGRMMDSSLVPVHGYIIRNDYAAESQSCALETSIVKLYARCGEIHLAERCFSSILHKDIVSWSSMIETYTIHGDGRKALALFRQMLEEGARPNGVTFLSLLSACGHSGLVSEARELFDCMTRKFGIAPELGHYTCMVDVLGRSGNLEEAVQVISDMTLNF >Sspon.02G0018130-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2A:57684712:57685116:1 gene:Sspon.02G0018130-1A transcript:Sspon.02G0018130-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRGREECAGGSEDQLRLRARAQRVARKWRGGFVTGGCGRIAGSHRIRGNPPAWEVYSFFDSFFTARKVPFIIRCTINKLLTILSINHFLTNPTGPALADPSHGLGSVDVEGAAAYIGALQMSPQQSHTATRNV >Sspon.07G0003000-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:7059233:7061944:-1 gene:Sspon.07G0003000-1P transcript:Sspon.07G0003000-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGAVLLLLAAAFAAALLPDAAESRILLTLHDFGAVGDGVADDTKAFADAWTAACTAADNVILNVPAGGTFQIWPLTLAGPCRSEIKLLISGDIVAPESPSDWGHGQRSQWLHFHKVEDLKITGGGIIDGRGQQWWAQSSLMRAQPAPKAVHFEDCQGISVKGITLQNSQSYHLTFTRSSDVEANYLRVTSPEDSIDTKGIHLVDSFNVHVMDNLISTGVNNSVDYVEKIKVDTLFISNAENGVRVRTTKSGGGGFARKVKFESIVMRNVTNPIIVDQGNSDHLPASSEAVAPVHTLIKLLSLSVFTATMMGSIRVSSVSELTRWKSCTAQAAATAVQVEKINYIDITGTSASKHAVTFSCSDAKPCRHLSLVNVNLSRVDGNKASSYCRKAFGRSIGTVIPESCLSKEDFVQHDILVIGHEYTFRAYRTSSVGFDGQIIA >Sspon.04G0005370-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:11589343:11591801:-1 gene:Sspon.04G0005370-2B transcript:Sspon.04G0005370-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPAAAISGSSSHLVVSSPRLRQPLTLPPRTARPIAAAAASPVARRGVAVAAVSSPAVSAAAGKDAKQAPKDFLHISDFDKDTIMKILNQAIEVKAVIKSGDRSFQPFKGKSMAMIFAKPSMRTRVSFETGFFLLGGHAIYLGPDDIQMGKREETRDVARVLSGYNDIIMARVFAHQDILDLAKYAPVPVINGLTDYNHPCQIMADALTMLEHIGRIESTKVVYVGDGNNIVHSWLLLAAVLPFHFVCACPKGFEPDAKTVEIARSAGISKIEITNDPREAVKGADVVYTDVWPAWAKRKKL >Sspon.05G0003120-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:10653797:10655178:-1 gene:Sspon.05G0003120-3D transcript:Sspon.05G0003120-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIESTSSSRCVTGKVTATHDFEVTNFSVLDGMGMDKFVSSSTFSAGGCDWRIDLYPDGIEANKRAYMYLVSGTAGVSVAFSLSLLLVLGNKDQTFESARDDWGWSTFIEKSSLQELLHLNGGRFTNHHQLYLARYALSMEYDCLR >Sspon.02G0016240-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2A:44449534:44450070:-1 gene:Sspon.02G0016240-1A transcript:Sspon.02G0016240-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFDDDHDLKALLQVRVSDEDGALLDALNRRAAVAAAATAARGEPSPSFFEGFVLQGIRVESIRLGHLLCSFMVPARLTTGGNHIAPGAVVALVDEIGSAASVSDGHHLKVSIDMSVNFVDLTAAVLGDALRITARALGHKGAYSGTHVLVANAATGQVVAEGRHSLFGKMKIRSNI >Sspon.06G0018090-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:80896200:80900708:1 gene:Sspon.06G0018090-1P transcript:Sspon.06G0018090-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWLGRTATLLLLLMLAVLLASASSPAVAAAAAREEEEGCKLLTPFFANAVIRLKHGDGGYSYNHTLAHILVEYASAVYTSDLTSLFLWTCQRCKGHTKGFEVIEIIVDVENCLQAFVGVAPDPRSIIIAFRGTQQHSVANWIEDLFWKQLDVTYPGMPDAMVHHGFYTAYYNTTMRHEILKSIKWARKTYGNLPINVVGHSMGGALASFCALDLSVKFGSKEVELMTFGQPRIGNPAFAVYFGEQVPRTIRVTHQNDIVPHLPPYYYYLGEWTYHHFAREVWLHESIDGNVVTRNETVCDDSGEDPTCSRSVYGMSVADHLEYYGVTLHADSRGTCQFVIGAANSVYSYVREVDGSIILSRYPEEPETLESIDFVCHEIHPCTVCICFSRSKNAAPCKPSLMYAVQHCAKANACISDKIMRPVN >Sspon.01G0044630-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:84134353:84135674:-1 gene:Sspon.01G0044630-1B transcript:Sspon.01G0044630-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding CGGWLRVAGVRGICEHRLLLRHWDPLGCVLGFYFDLGAMVEQARMRLNKWDDKKKHLLAED >Sspon.04G0016040-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:68330608:68333229:1 gene:Sspon.04G0016040-2D transcript:Sspon.04G0016040-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGQGPRTDSAAREAVGTAGGKAKPLEKLEAVYRTFLRRSTKKREQEPDNTADDDLPKRSFGTNLKRDENRNQQAGNSHLGRQRALQRIDANRPLSVYKEERPLPNQGLDGVRNKENNTSWRTLATQADRNKENSMMPTKWTSHKIPQKLGARGAVQSTRTSSIEVLVEEDTAQEPARLVPKSPKPSVLKLRQATSKSLKKFQKDLGA >Sspon.07G0008910-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7A:24539694:24540257:-1 gene:Sspon.07G0008910-1A transcript:Sspon.07G0008910-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFIKDIPPLKGDNYTEWKKKIDLAFVLAEVDWVVTTPYPTEPVAPVRETNESDADWQKKERDHTPIQMAYDLQKQNWVNANKKCVAIIKNMIESTIIGSIQEYDTVTEYLERIKSQFYDSSKTYATQLIKQLTTMTERYSRGGNGTGIREHILKLNHLNNKLKPMNLALKEEFLVHVIFASLLKRV >Sspon.02G0010200-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:28282147:28283115:-1 gene:Sspon.02G0010200-1A transcript:Sspon.02G0010200-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MIIGDMLYLIHVKGLAEHASPNARSQQRLAFVDLEKRCCSVFTFLDHTSVFLSTVKSYRSVGKQSEEELMRCGILFGIL >Sspon.02G0039160-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2B:49141717:49146031:1 gene:Sspon.02G0039160-1B transcript:Sspon.02G0039160-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVLAAVDISYRRLLPCPYKAHGFGDSRTDAFLFLPADPAPLHRVRPLLSIVCVALSLHVRQWPAPLHHVRRRLPPWPTAAPSPTAASSSPTPAVPSPTPAAPSRTPASSSPHSGGFFSHAGILLPLPRQLKGSKQYLEGVKVTARRSQSGLPTYVLTSDGHHFRRTSSLLTRADSEPTRWPGVMTPDSHRDFRRHSHHGANALSAASSRTGSSQRSQIRRFRAFYVVWLGGKPADGGAGAGGRGCCGQGELGRARHAHGAVRRRTRQSCGASWGRAARRRASGLGRGRTGGVRVGRGRKRTRGLSS >Sspon.03G0004130-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:28682886:28684569:1 gene:Sspon.03G0004130-3C transcript:Sspon.03G0004130-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASGKTRGCGCHIVAVPFPGRGHVNAMTNLSCLLAARGAAVTFVITEEWLGLIRSSSAAAAAEPAGIRIRTIPNVIPSEHGRAADHSGFLDAVATEMEAPFERLLDGLEGPPPAALVADAYVPWVVGVGNRRGVPVWSLFPMSAAFFYAYYHFDRLPAWLTDYEHAPDSGETIANSDQRLGQYIAGLASSSIRLSDLEPLIHDKRKMKHILAAISSARNAQSLLFTTMYELEASVIDSLRSVLSCPVYPIGPCVPYMTLEDQHTMSNGQVASQRDYFTWLDSQPVNSVLYVSLGSFVSVSASQLEEIALGLVASEVRFLWILREQSPQLQELFTGINNGMILPWCEQLKVLCHRSVGGFLTHCGMNSTLEAVFAGVPMLALPLFFDQPIDGRLIVEEWKVGLNFRDWASKDGLIGREDIARAVKKLMSSDETETKALRKRALELKEASRRAVDKGGSSYCNLSSLMET >Sspon.03G0012360-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:50683400:50684348:1 gene:Sspon.03G0012360-3C transcript:Sspon.03G0012360-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIQAPAAADNVDPVYEWLDDGASYLLLLNLPGEVIRDYTCFSWATAELTLKMHGASCSFYLLSSPSWLNQKMEGFKKEDFRVHVDSEARLTVIGHRKPTPGGDGKALRFHKTFQLPNTANPDTITGRFDSNVLTLTVPKLPAVAGDKKPAADQEDKAAKVGQERTEVERTSLSARSKEEDEMKAKPMAPPPPQSSEKARGDHEQQDHQDDKARADHREKVAREAARRVEAARARVAEAKAKAERERQCEHWKERAMEEGMKLAEAVSNKKEVIATAVAAFTLGVFVSSRLFSRN >Sspon.02G0032490-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:99359862:99363668:1 gene:Sspon.02G0032490-3C transcript:Sspon.02G0032490-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AMDKIEARRRKRKGRSSSRRQGGPVPPVPAGLRAALAATAFVLLCLCFSTPAAMALTPAGEALLELKLAFNATVQRLTSWRPSDPNPCGWEGISCSVPDLRVQSRNLPYMQLGGIISPSIGRLDKLQSRALHQNSLHGPIPAEIKNCTELRASYLRANYLQGGIPSEIGELVHLTIRFVGNLELCGLSIQKACRGTLGFPAVLPHSDPLSSTGRKKSIGGNYVKMDKQTVPVGAKLVTYQWNLPYSSSEIIRRLELLDEEDVVGCGGFGTVYKMVMDDGTSFAVKRIDLSRESRDRTFEKELEILGSIRHINLVNLRGYCRLPIAKLLIYDFVELGSLDCYLPEYLQNGHATEKSDVYSFGVLLLELVTGKRPTDSCFIKKGLNIVGQLNTLTGEHRLEDIIDEQCGDVEVEAVEAILDIAAMCTDADPGQRPSMSAVLKMLEEEILSPCMSELCYEQHLEL >Sspon.02G0001730-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:5701043:5702769:-1 gene:Sspon.02G0001730-1A transcript:Sspon.02G0001730-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVEPAQPNPAAAAATTAEQAQDLIDAARYDDLEDVVALFSAGVSLDSTDSQGRTALHMASANGHLAVVEYLIQNGANVNATNLEKNTPLHWACLNGHIEVIKALISAGASVSALNSHEKTPMDEAVTKGKMDVIDAIGAAVAQAELNGVTVS >Sspon.04G0012220-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4A:39557837:39558762:1 gene:Sspon.04G0012220-1A transcript:Sspon.04G0012220-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEAQPICIGIGLCIYHCQMRVVLVKTWDQGLPDLEEAPPNCIIIGRSLLRLRSELGREAAERHRRPLAALLVLGARACEAAACSCRSRGHRAGSWESRRGWSSSRSSLDCFLDSPVCCQQLPAPPLGLADISHPPGTSRQWEG >Sspon.03G0030900-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:31063111:31065740:1 gene:Sspon.03G0030900-1T transcript:Sspon.03G0030900-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAVLMAGAYPMVGKLLPPRKNARKAVVETASGAKVRLHPHSCNFNLSFNKSSGKPLLIYDEITRGDGGMYIKNSSVVGSYPLLLIATEMVVAPPDDGSDEEEEDSSEDEAEENTSVQQKEEIMSSPDSTVSVVVDRWLRFDATALDVAQIYCLRERLASAILFKVKHPQDVLPPPLGASMYAIACILSYDGLPALVPLNDLSANGGSGQNLAEASRFSQGRRAGYIPPSGFLMSLLADKTHPGGSSALTRPSRAPVGRFDRSQRPFRNSGPGSSASRSFKRQRDAAR >Sspon.01G0025710-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:90026131:90026854:-1 gene:Sspon.01G0025710-3C transcript:Sspon.01G0025710-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAEATCDGSDARIRLMCSHGGRFLPCGPDGALRYVGGETRVLVVPRAATFPDLTARLHRLADKGVQDVIVSVTCDEELAHMHDEYDRLRATRPSATFRIFVTTTTHAGSSGGVVCRRRSAAGLPPLPLQMRRAQSEQALAVRAHQQQAKQAMRRVQSTQEFAGAIRLQPSFHHRCNQQCCSSYQRRNVTAGVRTALHVQESSSSLDASGEGKRASLCY >Sspon.05G0024430-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:12586385:12590004:-1 gene:Sspon.05G0024430-1P transcript:Sspon.05G0024430-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPQTTAAGGGGEEAVEEASTLRHRHNAAKNGGEEDGVASSQSQLLAGEGEGNAALSVERAFAEQAVPSWREQLTVRAFVVSFFLAVMFSIIVMKLGLTTGIIPSLNVSAGLLGFFFVRLWTAAIERVGLLKQPFTRQENTVIQTCVVAAYDIAFSGMHAASSSVLLAMQSSSSGFGTYLFGMSETIAKQAAEADNPQNVKNPHIGWMIGFLFLASFIGLFALVPLRKVMIVDYKLTYPSGTATAYLINGFHTPEGAKLAKKQVKTLGKFFLYSFLWGFFQWFYTGGDNCGFQNFPTLGLQAYKNRFYFDFSPTYVGVGMICPHIVNVSVLLGGILSWGIMWPLIRNKKGSWYPASLSESSLHGLQGYRVFISIAIILGDGLYNFVKVLIRTTTAFISMMKKNSTLPVSNNGSPITEAVSFDDERRTELFLKDQIPRSVAYGGYVAVAAISIGTLPQVFPQLKWYYILVAYIFAPVLAFCNAYGTGLTDWSLASTYGKLAIFIFGAWAGASNGGVLVGLAACGVMMSIVSTAADLMQDFKTGYLTLASPRSMFISQVIGTAMGCVIAPCVFWLFYKAFSDIGVSGSEYPAPYAIVYRNMAILGVDGFSSLPKNCLTLCYIFFAAAIVVNLIRDLAPKKVARFIPLPMAMAIPFYIGSYFAIDMFVGTVILFAWQMINRAKADAFGPAVASG >Sspon.02G0019170-3D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2D:58257312:58257659:-1 gene:Sspon.02G0019170-3D transcript:Sspon.02G0019170-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHNTPLRIQMAGGSGGATTEQLIFRAQKSTNHLKALLAKLLPPAAGGGYGAGEECSGGDVDALLSDITDSLSQAITSLRIPTVGQPAAASTAAKRSPADAGSRRSAAPRRTSQRAR >Sspon.03G0021100-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:51624284:51631696:-1 gene:Sspon.03G0021100-1P transcript:Sspon.03G0021100-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVTVVLAAILALSYCCAASVATTVTAAAESDRIDRLPGQPTVNFSMYSGYVTVDAAAGRALFYWLMEASGVPADSAPLVLWLNGGPGCSSVGYGAMEELGAFRVNPDGSTLSLNPYAWNKGPASMPLFPQVKLVIYSMFVMVAMDGWIGLLAVANVLFLDSPAGVGYSYTNTTNDLYAAGDNKTAHDSYAFLINWLERFPQYKYRDFYIVGESYAGHYVPQLSQVVYQNNKGIENPTLNFKGFMVGNAVTDDYHDYMGTFEYWWTHGLISDETYVKLWSTCKYDAAEHPSEECQKIYEVAYDEQGNIDFYSLYTPTCKKTSLLKRRQIRGRMVVHDNLHSTPLNICSDPVYEYWEDSPRSMLPIYCELISAGLRIWVFSGDTDSVVPLTATRYSIDALSLPTITKWYPWYYDEEVGGWCQVYEGLTLVTVRGAGHEVPLHRPRQGLKLFEHFLRDEPMPKPVESVQSY >Sspon.04G0020130-1P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4A:70897522:70902564:-1 gene:Sspon.04G0020130-1P transcript:Sspon.04G0020130-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYIGHHGVATLRRYSTAASTTRSSPSTSSSPSGPDSSTSSRYGSHYTDRFHVSTDIAFLGFLYSPHLDTAPPDGFTLLMDCFSSFIRSYLSPSDIMRNQPHLLIIGTGFAFGFLVKSPR >Sspon.08G0007210-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:23082444:23090703:1 gene:Sspon.08G0007210-2C transcript:Sspon.08G0007210-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding KQAAAGASWREEEGVVVGETGGGRRGRGRGSERRGHASLTAEPVRSAAAAAGMGDSGGSVVSVDVERISFGGKEHHIQTNHGSVSVAIYGDHDKPALITYPDIALNHMSCFQGLLFCPEAASLLLHNFCIYHISPPGHELGAAPILPSTPVASVDDLADQIADVLDFFGMDSVMCLGVTAGAYILTLFATKYRERVLGLILVSPLCKAPSWSEWFYNKVMSNLLYYYGMCNVVKDILLQRYFGKVTKWLRLCHVM >Sspon.04G0013900-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:57180275:57184189:-1 gene:Sspon.04G0013900-1P transcript:Sspon.04G0013900-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAVGAANWLLGKVLSKLSEDLVAGYVASRELGLNYDKITDELNHTLGLLHAAQGRDVSTNPGLQRLLDGLCKKADEAEDALDELHYFMIQDELDGTREATPEHGDGLGAQSLHAGHAARNTAGKWLSCFSCCRSQDAAAAVSSDTHNTSEAKSDDKLPFDRVAMSNKIKQLLEDMRSKCSLISDLLKINPSSSLQLSMPASTKRPDTSSEITQEKLFGRDAIFGKTKNQMINAAQNGEILSVLPIVGPGGIGKTTFTQHLYNDTRLEKHFRVKVWICVSTNFDVFNLSKEILSCLLATDNEGNKIANETANLDLLQKSIAERIKSKRFLIVLDDIWECNSIHEWEKLLAPFKKGETTGNMVLATTRFPKIADMVTKAIDPIDLHGLDPDEFWRFFQVCAFGEIQEYHHDKEDLIDIGKQIASKLKCSPLAAKTVGRLLSKKPCHSHWVEVLEKKEWLNQKHDDDIMPALKISYDYLPFHLKKCFSYCALYPEDYKFQSLEIGRFWVSIGITDSGDQNDAIEGIGSKYLEELLDNGFLMEGDENCYVMHDLMHELAQMVSSKECALISCSSFRADNIPSSIRHLSILMQNKCIENFGGEIDKLRRRIDIGNLRSLMIFGEYRRASLVNILKDTFKEIKGLRVLFIFMNSLDSLPHNFSKLIHLRYLKLKSPHYSKVCLPSSVSRFYHLKFLDLKDWRSSHDLPKGISHLVNLCHFLSNVEFHSNVPEVGKMKLLQELRRFQVKKESVGFELRELGQLEKIGGGLDIYGLENVRTREEANEAKLMAKRNLTELALVWSGEQPSVEDDILDGLKPHSNLTALSIVNHGGVTGPTWLCSNTHLKNLETLYLEGVSWSALPPFGLMHHLGTLELENIVGIRQFGPDFIGGITEKSFTQLKKVKFADMPELVEWVGGANTDLFSRLEKIRCTNCPKLIALPFSGFPNLCDLYTYACPELCLPPLPHTSKLSSLHTDYFYYYNVSSNLFIKEMPCELALHNLGEVERLTIGDASLISFADLQKLHPLRSIEVGRCDERFLRALDNGIVLQSVQSLRLEKFRVTRKSLASLFRCFPSLSGLNLKASDEDHDEEEVILQFPPSSSLRHVRLDGCHNLILPVQDGCGFCGLLSLESVSIVNCGKLFSGWSTAGADCSSINPFPPCVKDLQFWSEPSTLSMALLSNLTSLTRLALVNCKNVTVDGFNPLITCKLEHLSVYNWKEDGETEPYSISVAGDLLAEVSRTKTMPAGSFQLVSLEVDCISAVFVAPICTCLSATLRTLWFICDWRAESFTEEQCQALPAPHLPRSPRH >Sspon.03G0003640-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:16516231:16517608:-1 gene:Sspon.03G0003640-1P transcript:Sspon.03G0003640-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMRALPLTPSKSSSSFRAHQIRPSSGSPRSVRAYAKGNEEEGNKQSLFGSITEALDFSQVRSEKDAELLYEAREFTKGGGRMTREQYGALRRKIGGTYQDFFKSYVDVDGQYVEEGWVDKTCKICKKDTRGSQDRLTN >Sspon.03G0008360-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:27898508:27904170:-1 gene:Sspon.03G0008360-1P transcript:Sspon.03G0008360-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTAKIPDDWLKHLPAPEVSCWTVAGERQSTRLRSLYLEAVLRQDIAFFDVEMTTAEATSRMSTDTVLIQDALGEKVVSFNGEKKAIAMYNNHIKKAYKATLMEGIVTEGQSAAQRLFEIINRKPNIDITDTSGIVLEDIEGDVELKDVFFRYPARPEQLILDGLCLQVPNGTTMAIVGESGSGKSTVISLVERFYDPQAGEVLVDGVNIKSLQLQWLRGKISLVSQEPLLFMTSIKDNITYGKADATIEEIKRAAELANAATFIEKLPDAYETMVGQRGSQLSGGQKQRIAIARAILKNPKILLLDEATSALDVESERVVQEALNRIMVGRTTLIVAHRLSTIRSADCIAVVHQGKVVERGVHDKLIKDPDGAYSQLIRLQQAHAKERHEVPNTDVSGSIYKSRSLSLEQSIDRDSPRNKGHHSSTKSTGLSEELNKQVSIDRQEHQESSDSKAPKKAPIGRLFKLNKPEAPVLLLAAIAAFVHGLMFPSFSVMMSGGIRSFYYPPHQLRKDSRFWALMCLLFAVIALISIQLEYFLFGVAGGKLIQRVRSLSFQSIVHQEVAWFDDPSNSSGALGARLYIDALNIRRLVGDNLAILVQCIVTLVAGFSIAFASDWKLTLIVICVLPVMGSQNYIQVKFLKGFSEDAKVYFALIFTAFGISQTSAMATDSTKAQESTTSILAIIDRRSKINSTSDEGVILEKVDGNIDFSHVSFKYPSRPDVQVLSDFTLAIPARKTVALVGESGSGKSTIIALLERFYDPDSGTISLDGTELKKLKLSWLRDQMGLVSQEPVLFNDTIHANIAYGKQGEVREAEIVAAAKAANAHEFISSLPQGYSTIVGERGTQLSGGQKQRVAIARAILKDPRILLLDEATSALDAEAERIVQGALDQVMVSRTTFVVAHRLSTIKGADMIVVTKDGKVAEKGKHGYLVGKGGVYASLVELHSKSA >Sspon.03G0014500-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:46025879:46030803:-1 gene:Sspon.03G0014500-1A transcript:Sspon.03G0014500-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRQPLYPPQELDVLPDDETPSMVSDEDPEVPNESDQDKAARLRRNKAKHVRKQRAEDCQEAMHYYHAALKNYKDEVAQKQLEDEADALKDRVGAFTEGILIMACPVLAAVALKKVDLRSQGDAAVRGSVSPFAAVCLLLCSLAFVVLDMASALKAWLPHQLMFRVSKLLVHLCAIFQMLLAYMILLLIAMKFEASMVVLVVFGPFIVYCCYLSVVRSTEQSERAVVECDKNLEPSLEFSAAVTSLLFLGLEGLALEGQTAGVGRDLDPRLVAPLCLTFVFCVMASAVMLLAAVPPIDYNEAEQCSNMCAFLHASCGALTLFFTAVVLTIVVLLYRENGVAVAVVPCLGLFLLYAICLCVPGGKEPVDDDQVTPPASLEMTKVTFTAFLAISLPSFRGSLSGYTHAFIICTAMSVLFGLSWRFLTHFKQRAAVWTAKVACVLTYGCLGAAAFPFVFMAMQALADADEECHIPCNPGPFAQLQLFIACFNKRARETTSYALSSVNC >Sspon.02G0021180-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:70671609:70680484:-1 gene:Sspon.02G0021180-1A transcript:Sspon.02G0021180-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPHPSGQVPGHLAFCRRAPPQQQHSGLGEPCAGPGSGSGSGRDTDDVERRNNIKDWVLMPCFEKAAEIKLDLGFLGVIVPCAGMFAQLTVLVLERVWFYGPCALIDMVSSQRCPCLQIYKTHGLSDLVINSESLLELELSNLDSLWELTVETALLKKLTVVNFFVCSSDLTVSTISAPQLVFLYWRDSSGQCSVELGPMPHLQSIGELSNFVHGVQEPNRVSEHNSACMKLLQPFKAIESLALSLVYTLYDVLAANNSGHAFNPIFDIFLKCVPKIDPAKQKLFLELIVSLGPISLALRVTADPTKLGNYHYLMEDMKVLPNPANQYLIVHANGHSFGASSFHVLRMCLGIKKAGVGTIRRHKLREVSFVKRLLNWAIALKKMTVTLSSSTNESNAKELFDSLTKPGVSHIVAVDMEEKTWRKILRPPGPTMSIHGDGDQGFGAPLLLNDFHAMATGERRGVPSCISCQANQGVEHIYLTVYSPSEENVLMLDGCDGLREK >Sspon.08G0014790-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:59969893:59981523:-1 gene:Sspon.08G0014790-1A transcript:Sspon.08G0014790-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquinone biosynthesis O-methyltransferase, mitochondrial [Source:Projected from Arabidopsis thaliana (AT2G30920) UniProtKB/Swiss-Prot;Acc:O49354] MLRRAFLSSASPLHGRARIQALLPQWSSYASVASSSAPPGAPPPPPSPPPPSPPRGPSRSSGGGPTVSSLNPAEVAKFASIAETWWDSSGPFKPLHVMNPTRLSFIRSTLCRHFRRDSNSSKPLEGLKPLARMGATVTAIDAVDKNIKIASIHAELVKEKRLFDAVISLEVIEHVANPLEFCESLSALTVPNGATVVSTINRSMRAYATAIVAAEYILRWLPRGTHEWSKLVTPEELVLMLQRASVSVEEMAGFVYNPLSGEWSLSDDISVNYIAFGVKKSEASSTNGREANQQELK >Sspon.01G0020970-3C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1C:77019540:77019869:1 gene:Sspon.01G0020970-3C transcript:Sspon.01G0020970-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPALFNGTNHVCVRAGTAGD >Sspon.05G0005370-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:18942954:18946429:1 gene:Sspon.05G0005370-3D transcript:Sspon.05G0005370-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGCAALVAGGRLPPPALPRRLRRRRGSSVRAEVSPGGESQQRKVAVAGAGWAGLAAAHHLVKQGYDVTLLAAESGPTEEVGLRGFGHPYRNIFALVDELGISPFTGWNKAAYYSTEGLAVEFPIFHNQPRLPPPFGVFAYPEFPNLPLIDRLTSIPVIAAVIDFDNTDTVWRKYDAMTAKELFKTYGCSQRLYKEVFEPAIQAALFAPGEQCSAAATLGMLYYYMLSHQESSDFLLCRGEVEEKNFSPWLQSLELKGLKFVKNKVPTSLTTDADTGCISAIVCGDDVYEADAFVSAMGLSSLQSIVKNSPFLRSDREFRNLLHLSTVDVISIKLWFDKKISQSQFQRLPMYVLVLMIRLAGHSLTLPQYMMIIMKNQQQLWRLSLIMLSIWYL >Sspon.08G0010890-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:47884876:47886572:-1 gene:Sspon.08G0010890-1A transcript:Sspon.08G0010890-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding EYCIPLVRIGGLFIAAKGHDPDEEVRNAEGAVRKLGGSMLELCNVESMGPHGQRTAVIYVKEHATTKKYPRLPALYQLDYYLSKNNEPSSHILSQEQVLT >Sspon.04G0009890-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:29380295:29380543:-1 gene:Sspon.04G0009890-1A transcript:Sspon.04G0009890-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMMGGDFVEAYVLKNAYKEKLRRMEAAEEKKSRQGSAEKEAASGGGRGGGGLFGLLKKKVHPKAAASPVETTSAEEESSS >Sspon.07G0010040-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:25844871:25852681:1 gene:Sspon.07G0010040-4D transcript:Sspon.07G0010040-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chlorophyll synthase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G51820) UniProtKB/Swiss-Prot;Acc:Q38833] MAAAHLLAASAAASSSTTAFHPPLRLRSPLHPPHLRFNRTGRRPFPVVRAAETDAKDAKANAKAPEKAPAADGSSFNQLLGIKGAKQESDIWKIRLQLTKPVTWPPLVWGVLCGAAASGNFHWTVEDVAKSIVCMIMSGPCLTGYTQTLNDWYDRDIDAINEPYRPIPSGAISENEVITQIWVLLLGGLGLGALLDVWAGHDFPIVFYLAVGGSLLSYIYSAPPLKLKQNGWIGNFALGASYISLPWWAGQALFGTLTPDIVVLTTLYSIAGLGIAIVNDFKSIEGDRILGLQSLPVAFGMETAKWICVGAIDITQLSVAGKAS >Sspon.01G0034750-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:5446153:5451651:-1 gene:Sspon.01G0034750-1B transcript:Sspon.01G0034750-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGARDEEAAAGAAGHHGSLATEKPQYAQDGSVDLRGNPVLRSKRGGWTACSFIVVYELFERMAYYGIASNLIIYLTDKLHQGTVEASNNVTNWSGTVFLTPLLGAHVADAYLGRYWTFVLGSAIYFLGMVLLVLSVSLPALKPTPCNAAGVCPKASALQLGVYFGGLYIIAFGNGGTKPNISTIGADQFDDFDPREKMHKLSFFNWWMFTIFLGILFSSTVLVYLQDNVSWSIGYGIPTLGLMVSISIFLAGTRLYRHKVPQGSAFTSMGTVIAAALWKWSVPVPADAKELHELDLEEYTRKRRFRMDSTNAMRFLNKAAVPVNDKDGGSPETTWSLCTVTQVEETKQILKLMPLLVAMFVPCTLIAQTNTLFVKQGTTMDRHMGPHFEIPPASLGAFVTLAMLVSVVVYDRVFVKAVRRYTGNPRGITLLKRMGTGMVLQVVTMAVASVIESRRLAYARSHGLDVTGGQLGLTIFVLLPQFVLMGLADAFLVVGKLEFFYDQAPEGMKSLGTAMSLTAYGIGNILSSFLLSTVTRITRERGNAWVTNNLNASNLDYYYAFLTVLGGVNFVVFLALSTRYRYKAQSTDTIDIVIGLETEKAKLQAEPLG >Sspon.03G0021690-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:84528862:84541931:-1 gene:Sspon.03G0021690-2C transcript:Sspon.03G0021690-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFGMVSLLDAFFRRAFTSAGLRPGSAAVDADTTIHFWAHPSLLQPQPSSAASDQPPQPRPVVVLIHGFGPDPTWQWAAQAGPLSRHFDLLVPALLFFGASATRAPARSDAFQAAALAALLTGGHVPGLGAGRTVHLVGANYGGLVAYHLARELEQQQGQQGGGVRVGKVALCDADACWGAEDDRALATRSGAEDVAELLAPGDTRAVRRRWMMSAYRPFKHIPECFLRDLFRKHFADNREEKMALIKGITAREGFELTPLPQEVLIIWGEFDQIYLVEKAHKMREKLGEKVTVKVIPGTGHLPQQQDIKLFNRVLLDFLLQPSSTSNGSAAANNGFRRGVPARRGSATVDADDDTTIHYWAHPSLLRPPSDSDSEQQPPVVVLIHGFGPDPTWQWAAQAGPLSRHFHLVVPTLLFFGASATRAPARSDAFQAAALAALLTGQHLPGLGAGRTVHVVGTSYGGLVAYHLARELEQGQGGVRVGKVALCDSDACKGADDDRALAARSGVADVVELLAPADTRALRRLMAVCAHRPKYFADKREEKIALIKGITTGEGFELAPLPQEVLIIWGEFDQIFPVDKAHKEARGEGDGEAMGFGVVSLLDAVFRRALTSAGLRPGSAVVDADADTTVHFWAHRSLLLPPPPPNSAEQKQRPVVVLVHGFGPGPTWQWAAQVGPLSRHFDLVVPTLLFFGASRTRAPARSEASQAAAVAALLTGHHLPGLGVGGRPVHVVGASYGGIVAYHLARALQHQQRGGGVALGKVVLCDSDVTKGPEDDRALAARGGVEEVTELMVPADTKMMRRLTALSFHRPPMYLPECIARDLLRKSLEGQRQEKIELIKGMTTAEGSQLNPLPQEMLIIWGEFDQIFPLEKAYKVKEKLGEKATVKVIPNSGHLPSQEEPKLFNHVLLEFLLQPSISNGSATTVAEK >Sspon.08G0002900-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8A:8112134:8113621:1 gene:Sspon.08G0002900-1A transcript:Sspon.08G0002900-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIQEVINSYATDAKAQDLLKKLAISSPYSQGYSLDKGLIGQQDKVWIGHNSALQNKLIAACHSSAIGGHSGIATTYYKLKKHFSWKGMRQEVENYIKQCSICQHSKHSHNHPLGLLQPIPIPENYTPVYDSLPVTTNLEAAVAIPEEIIDRRLVKKGNSAIPQVKLRWSGLPSIATTWEDYYVLKERFPKALACGQATTQAGGGVMPHAMSEA >Sspon.06G0005840-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:18257580:18261369:-1 gene:Sspon.06G0005840-3D transcript:Sspon.06G0005840-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRPNARGEPKVQTLALAPPSPAAPTKMGAQRRQPSSRRRLVGALTLLAASTLALLLLASRPVPPTYGVIIDAGSTGSRVHVIAYRATAGLPQLDWARTASMKATPGLSSFAADPRGAGLSLAPLVEFARRRVPRESWGQTEVRLMATAGLRLLDSALAESVLQSCREVLRGSGFQFQDEWATVISGITLKYCVGAEEGIYAWVAANYALGTLGGGPNDTTGIIELGGASVQVTLVTGEPVPLEFSHVLKFGDVSYNLYSHSFLHLGLNVAYESLHDLLGSPGLSLMATHLISQAKYKDPCTPRGFARIAGSIKLPVSILEPKVEYRPFAHAVGNFSECRSAALTLLQKGNEGCTYHECRLGAAFVPELEGKFLATENFYHTSKFFGLDSKSFLSDLMAAGEKFCHGDWSEIKKKYSSFDDGQLLLFCFSSAYIIALLHDTLKMPLDHKRIDVVNQIHGVPVDWALGAFIVQTTVNRTDTVHPKVEKATSEDDLRYGERRAHHNKGQPMSRTHQRWEWVDFLQRSTIDHVCRFS >Sspon.01G0008730-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:29860150:29860880:-1 gene:Sspon.01G0008730-2B transcript:Sspon.01G0008730-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHAWPLRILCQSGQDLSLTVRDGAAVLARADYKDQRQVVRPPLLLPQFQFRVRSVSAAVCWVQSFRNTGRVTDDEGHLAFALVNWATGKALRHYRGSGRELVGLVGHRPDSVDVALLWTQSDDLGEGFHGLRSVSDVGVVLDAANAVPDAGGAHDGTPILAFPWNGGPNQKWKMVPFY >Sspon.04G0011090-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:33987928:33990810:-1 gene:Sspon.04G0011090-1A transcript:Sspon.04G0011090-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGGEGEGKAAPAPAVRVLVVDDSPIDRKVVELLLRNHKGGAAPFHVTAVDSGKKAMEHLRLMGQESLDSSPAHANALSSPNPIPVVVMSSENEPQRISRCLTAGAEDFILKPLKTKDVQRLRNCSNAARPPKDDAGADAHQCESFSSSRKLRSDQNQMAKPGNRSQLTGLTMVLNASSIEVSHYLQFLFKFVLLAYAVLCLSELLHRWSNNVSVLSLWSA >Sspon.02G0003570-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:11727097:11727564:-1 gene:Sspon.02G0003570-3C transcript:Sspon.02G0003570-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MERGAEEEEEEEVACECCGFTEECTAPYIAGVRARYGGRWICGLCGDAVGEELGRASPPISPAEALDRHACVCRGASAPPSPAGSPDDLIAALRLLLRRRLGSPPPPAPRKARSTPSSPRRDAAPGGISVVAAVAKGSAGSSLARTGSCFAALVE >Sspon.01G0011900-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:122663545:122672291:1 gene:Sspon.01G0011900-2B transcript:Sspon.01G0011900-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSDYDGGDDGGRRRETRWCAVTGGRGFMARYLVAALLRSGEWRVRVTDLTPTLVLGPGETDEILSDALRDGPFEGVDVVFHTAAADPSKNILQLHYKVNVIGTKNVIDACKICKVKRLIHTSSSAVVFDGVHGLFGVNESLPYPEKFPDAYAQTKAEAEKLVIKANGINDLLTCCLRPGSIFGSGDIMIPTLDRYGWTHITIGDGKNWDDFVYVENVVHGHLCADKTLSTIEGQEQVEEKYAYFITNMEPMNMWTSHILFKKNLDTKGVHSMLSMFGP >Sspon.06G0012750-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:66993422:67000333:1 gene:Sspon.06G0012750-1A transcript:Sspon.06G0012750-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVEAAAAAAAAVDHAAPPRHEEEEEAPGAGAGAADAAGEREMRDLEDLLSKLNPMAEEFVPPSLASPVAVAVAGAGPGLLTPAPLSPAAYGFYPAANAGFAVASPAGHRGVVGFPAVADAAHAGRGRKKGGAGGGFGGHGHPGRRRTNSRTSMAQRDEVIRRTVYVSDIDHQVRSIPSSHFGSDDEREMCARTIYCTNIDKKVTQADLKLFFESICGEVFRLRLLGDYHHSTRIAFVEFVMAESATAALNCSGVILGSLPIRVSPSKTPVRPRAPRQLMH >Sspon.06G0027060-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:82564134:82564358:-1 gene:Sspon.06G0027060-1B transcript:Sspon.06G0027060-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVPSRERERAPAARDRCSRSWPAMGNQFVEQLQREVVRPEPLPRELQLLATCFAHVRPCLGYTALNQLAVLTS >Sspon.03G0018170-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:47877759:47879089:1 gene:Sspon.03G0018170-4D transcript:Sspon.03G0018170-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHCYLRQPISRAEAMPERRSRFWQMDAPPPPRAEVICPQPRRATRIPFAVETVNKASPKANGAFPLYRSDSTADILDLILSKNDSDGDSSSQVGFLCGSPPVRTNNPVIHDPQFGIRVPSFSPLGSSYGKAPTLRVEVGSPSCGVSSSPKVRIE >Sspon.05G0011480-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:35042800:35045932:1 gene:Sspon.05G0011480-3D transcript:Sspon.05G0011480-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSAVQAALSDKSYSALAPLCDELLLQAASKGAITDEWPYSVHLLAHLYVNDLNSARFFWKSLPQEVKDTRPELAAIWRIGQCLWNRDYAGVNTAAQGFEWGPDLADFITAFLESYRQRIFKLLTSAYSTISMADVAHFMGMSEEDATNYAVQNGWSLDAATRMLTVKKQKAQTNQKLDASKLQRLTECVFHLEH >Sspon.01G0030480-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:75683600:75692235:1 gene:Sspon.01G0030480-2P transcript:Sspon.01G0030480-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exocyst complex component EXO84A [Source:Projected from Arabidopsis thaliana (AT1G10385) UniProtKB/Swiss-Prot;Acc:F4I4B6] MASAKSSRSRPAGHSGVFPVGSAAAVGSGGGGGGDGGVQLADKLKIFKTDNFDPDAYVQSKCQTMNEKEIRHLCSYLQDLKKASAEEMRRSVYANYAAFIRTSKEISDLEGELLSIRNLLNTQAALIHGLSEGVQIDSLTSGPEGSAEDDISNVEDQEPSEIQKWSADFPDMLDVLLAERRVDEALDALDEAERVAVDAKRKQTLTAAEVSALKRSVSDNRQRLADQLAEAACQSSTRGIELRAAASALKRLGDGPRAHSLLLSAHNQRLQCNMQTIHPSSTSYGGAYTAALAQQVFSVIAQALSDSVDVFGDESCYASELVTWATKQVMSFALLVKRHVLSSCAAAGGLRAAAECVQISLGHCSLLEARGLSVSSVLLKQFKPSLEQALDANLRRIDESTAALAAADNWILTYPTTGIRPLTRSSAANLALQPKLSSSAHRFNSMVQDFFEDVAPLLSLQLGGSTMDGITQIFNSYVNLLVSALPGSMDDEANLDGLGHKIVRMAETEEQQLALLANASLLAEELLPRAAMKLSSINQSMDDLRKRGTDKQNRVPEQREWKRKLQRMVDRLRDSFCRQHALELIFTDEGDTHLSAEMYISMDNTVEEPEWVPSPIFQELYAKLNRMASIAAEMFVGRERFATLLMMRLTETVILWLSEDQAFWEEIEQGAKPLGPLGLQQFYLDMQFVIIFGQGRFLSRHVHQVILDVIDRAMAAFSATGMNPDSVLPGDDWFMDVSQEVVSMISGRGRAANGDREVNSPTASVSAHSMSSFKSHGSS >Sspon.01G0007370-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:93060250:93065455:-1 gene:Sspon.01G0007370-2B transcript:Sspon.01G0007370-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding PPTSTAVTHELSSEVVTGATAWSVRLPPKRPRKISPPTAMAAADATRTLLAALSLAVAVCCLAELAAANTNGVYEPCSDARIQRGDGFTFGVVFAGYNTFFAVNTQLSPCDRRLNLAASGQLALFRPKVDEISLLTINTTTGFNPASAGGFMVAFAGRKYAARSIPIFVSNTSVTVSSFTLVLEFNKGRLQNLHWKKDGCGACSGKSNFVCLGKQTCAIRTQSCKSQGPVDCSIGIQLAFSGTDKHESVLNSWYEVSNLRQYSLYGLYSNLKDTLSGQFNKIF >Sspon.07G0019130-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:74560234:74580870:1 gene:Sspon.07G0019130-2B transcript:Sspon.07G0019130-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATSGASEYSIDRKLSALVEQARPSAVAMRAAAEAVDAVAELVKRVPQQQATPEAARGFVRDLGLEGEKLSFTFRPPEVVRLAGSHAAGAVARPDVAADLLVRLPKECFHEKDFLNHRYHAKRCLYLCVIEKNLRCSKFICKVSWSTFQDEARKPVLHVYPATEIADLPGFYIRIIPTADSLFNVSKLNVSTRNNVRAYTKDGVNLPTPKYNCSILEDMFLEENADFMSSTFANWKALQEALVLVKVWAKGLVIQSMKKRTITKEDIATCLKTFDVAVFDISGHVNLAFRMTKSAFLELQDEAACALSCLDKCRDGGLEELFMTKVDFGAKFDSCLRVNLKGNSKVTALSYCVDDESWRILEKDVQSLLQRGLTDRTKMIRVLWRSTPSEWKIVEGFSEFGSSPLLVGMMVSSLEKSFRLVDIGPNPENRVEAVKFRKFWGEKAELRRFKDGNIAESTVWECQSWEKHTIIKRIADYVLMKHLSLQKDDLIHVVDQLDFCLLVDGQDPVSSSGALLETFDTISKQLRLLDDIPLKISTVQPLDSAFRHTSLEGSGNWPLDPVAMEKTKVAFLLKIGESLEDRGMFVSASEDEVNVLTSGYSFLLKIFHERGLALQKPVGDDKTQSALSEDKMLFQRSQHSGMINGLHGRYQVYGPVVRLAKRWISAHLFSSFISEEAVELVVAYIFLKPFPFHAPSSRVAGFLRFLRLLSSFDWTFSPMVIDINNDFNLKDEKEINDNFMLSRKSYEQNPHDIEPAMFLATSYDKASEAWTKQSPSKSVLKRIAAYAKSSAELLTNLILHGQSGEYTWECLFRTPMSNYDAVILLHREKLCCPHQVLFPAETPDGKLVVWGKPSKDFRPYMPLNKGAVKGLHDARDKLLVNFDPTTYFLRDLKCAFSKTFKLWYGSIGGDAVGLTWENPKKRGRGEADEIVPEPTSILKEVGDVGKGLVRGVYLVKAPKLQ >Sspon.04G0016560-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:64489492:64491376:-1 gene:Sspon.04G0016560-2B transcript:Sspon.04G0016560-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding IDSLSVAAFDYLISAHVVFFKTPDIIPVEGLKLDDIEAGIYMLHCLPLRLVGAEGAPTRCILIKNVSAETIGGDLQLDVNKCRNQNQYQALKEETRLQKPIILAF >Sspon.07G0007400-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7A:19487127:19492197:1 gene:Sspon.07G0007400-1A transcript:Sspon.07G0007400-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding TTDNIRTGPAGEIDRNFELKPGLINMVQANQFCGKPHEDASAHLQHFLEICSTFTMAEVPRDAILLRLFPFSLLGRAKQWFYATKEKNTTWALCSTNFLAKFFPMGKTNALRGKITSFQQQHDESVPEAWERFQDYILECPHHGMESWLLMQTFYHGLINSARETMDAAAGGAFLSLTIPQATALVEKMASNQGWNEERTQTRKRGGGMHQLKEVDMLSAKLDLLMKKLDDRAGEKKEVMHVYDSHMTCEECGGTGHSGNHCPELLEDVNYINNNNNYYNRPQQNQGWNQQRPNYSAAAVPATNPGIPSQPEGLESANLVDMFDAGDYWSNPIVEVSTDRLPVKRGDPGRPVIPISIGMRDFPEALCDFGSSVNIMPRLADRTLSFPRGILKNICVRVGSSYAPADFVVIETGSDERAPVILGRPFLNTAGAVIYASAAKISFYIKGRKETFSFKNKTAQIPEQPQYEPRKRTNRRNKSKKQVWTETAKMVTAVHKGQDRQLKSPFLPKKDDPGMPSIYCSINGSHFYKTLCDTGSGVNIMAKVTYELLFGTMPLNPTYIQLQMADQTFRQVEGTVTDVPVKIDDHFVHTDFQVIDMGEDEYDPPIILGRPFLSTVKAIIYIGTGEVHMHFPSEKVRTRRRRRNHNQKQQVIKDGWADYEGEVVRSEDIPLNQHCPEETKAPRQHVYRQNSKAFQQLLSLSLLRDEQEKSPEATRTNGRRNGARHRAPALVLRAPALAPEPIRLRLEDYAPPT >Sspon.01G0055890-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:79689061:79691012:-1 gene:Sspon.01G0055890-2D transcript:Sspon.01G0055890-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSKRWTSASGTELPGRSSLLPASALSPVCQSARRSVSRLELATTTSPVAVSIARSLWPSSSTGNKSGKSKAPPAPSPLSPAPPPPLSTSVATLADHLVRDDAPRALSRQRSCTELPRFADADAEARKIGKPSGKGGAGSHAFGRSMRFLPSTRPAGVTLTPGRVAPSDLRRLANAAVSLDAAADVASSGSECSDASRGSTTTTPRTAASKPHSPLLPRTSSVRLLGSSNTQWALSPGRRSSSPPLKATTTLPTVPESKGKKSLISLGWGHIFHRRKHAAEDASIAAVTATLLSSPVPSRSSAGGGEAGYHMRMAHCRLLQWRFANAKADAVRERKMASVELDLMGTWASVSEMRGKVARKRVQLEKEKQKIKLNTVLSFPMKDLESWGQLETRHDSALASTVGCTRAAVCRLPLTNGAKVSLAPLATILHQALELTSTAKAMTRSFSPMAQDTALLISKLVRVAREEQAMLQECVELLSQVSALQVEEQSLRTHLVQSASLSAVIVK >Sspon.06G0015600-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:85786829:85788901:1 gene:Sspon.06G0015600-1A transcript:Sspon.06G0015600-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLHDLTAMLLLLFSVLSGVLAPLRVQAQQPYGSQIADCTNSHNSSGLLGYFCAAAGAPSCPTFLTFTARGAYSSLATIGALLGADPATLLAPPNNEQAAADDAPLPAGTRVLVPATCACTATPGGRFYQRNATYVAVDGDTLLIIANNTLQGLTSCQALEAQALRGAPPQSLLAGQSLVPVPLRCACPTAAQAAAGARFLVTYLVDVSDELAAVAARFGVDAQTVADANQLQPPFTIFPYTTLLIPVAAQPNVSRIQTPPPAPPPPPVVAPPAQGKKSGNRVGVYIGVAVAVVAVAAIASAGAFLALRARRRRAGAVLAAGGVVAKKEGKAGNDTAATSSGFGEFSLSTSEALSSISVTDIKSSLKVYTYAELKAATDDFSPDRHIGGSVYRAAFNGDAAAVEVVDRNVSTEVELMRKINHLNLIHLIGLCHHRGRWYLVTEYAEHGALRDRLLAAARGAAAPLTWAQRVQIALDVAEGLRYLHEYARPAWVHMDVSSGSVLLTGDGPRAKLRGFGAARAITGATIGAGEQEALFTMTSRIAGTRGYIAPEYLEHGVVSPKADVYSFGVVLLELVTGRDGEELVGDGVGDPFVSLRELAEEFDGEGDAVMQRLEELVDPALPAGSCPQDAVVMVVRLVERCVRQDPARRPTTGEVAQRLLKLSGVSVLSWRNSPESPRSSGSEKGLMY >Sspon.07G0006630-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:17168726:17178207:1 gene:Sspon.07G0006630-1A transcript:Sspon.07G0006630-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKKKSAPAAAGAAAPPPANGYFNTVFSASPAGSANDAKQSDLYTMLNKQSSRGQNGSSIAACDAFSIEPLTQKTTLPMEAKKKSAPAAAGAAAPPPANGYFSTVFSASPAGSANDAKQSDLYTMLNKQSSRGQNGSSIPDGKTHGCPTYMDAKHAYPNESSESPYFGSSVHYGGREFYSSTLQKQPANEPHTNYKEDNPDGSATRGDWWQGSLYY >Sspon.02G0039090-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2B:48735060:48735491:1 gene:Sspon.02G0039090-1B transcript:Sspon.02G0039090-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MERFTDEQDEALQLLTSLQHLEFAYCEKLQSLPAALRRLTSLKTLEIDSCPSIRLRLLPKDGLPNSLQNLTISFNSAIRTLPKDGLPNSLQELHIIDCPSIRALPKAGLPTSLKLIDVSNHRNSKELKRQCRNLIGSIPIVRA >Sspon.03G0016530-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3A:52311668:52312637:-1 gene:Sspon.03G0016530-1A transcript:Sspon.03G0016530-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTLATAATAAWTREEDKAFENAVAAAAAPPADGPPDDGWFTELVASVPARTAEEVRRHYEALVEDVAAIEAGRIPLPRYAGEESSAATPEGSGAAASAPKDGGGGGSSGHRREERKSGGGGVDAGKSCSKAEQERRKGVPWTEEEHRLVAKISTLFLLGLDKFGKGDWRSISRNFVISRTPTQVASHAQKYFIRLNSMNRDRRRSSIHDITSVTAGDVAAAGAPITGGPAAAGAMPMGPAGMKHHHPAPPMGMYGHAPMGHPVAGHMVAPAAVGTPVMFPPGHHSPYVVP >Sspon.03G0000240-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:10792540:10793094:1 gene:Sspon.03G0000240-3C transcript:Sspon.03G0000240-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKIRAINKYSKRRRQLQLPTLSVYIAATFVLCLLLTSPAWFPRLCSALGFFFLTTLPDLVTAFLLSPKCLFVVGNLIVAFLIGESRLAPRRDDGQPSLVNEIHEEHVKKNTAMATKATTAAAVAVAAADHSAFVGAAVVEEEAVEVKEEEGEEEELHKRVEDFIARVKKQRKLELKSFFDVDR >Sspon.05G0030320-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:84324930:84328940:1 gene:Sspon.05G0030320-2C transcript:Sspon.05G0030320-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMASALRLSLLAPRAVSISASTGRAARHLLSRRLALRLPPPPPRPAALSAASAVRPREAARVQAASFCSGPAPAAGPTGGGAKGWRVFLAWYLVALDKNPIATKAVTSAVLTLAGDLICQLLIDRVPELDLRRTFVFTFLGLALVGPTLHVWYLYLSKLVTISGASGAIARLILDQFIFAPIFIGVFMSLLVTLEGKPSIVVPKLKQGLYISIMLERVILIRVDDDMVV >Sspon.03G0034910-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:74013181:74013477:-1 gene:Sspon.03G0034910-2C transcript:Sspon.03G0034910-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSCPNPSPASATVSLDGGTPFKFDSGYYTRVQQNQGTLASDAALAQNAAAAQMVADLTNPIKFYAAFSMSMKKMGRVDVLTGTNGQIRKQCRQVNTS >Sspon.02G0030520-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:111284631:111286899:-1 gene:Sspon.02G0030520-1A transcript:Sspon.02G0030520-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHFAAAMKSPLAVAAPAAAAGDAKSPLFCPKPRRPVAPLRCHQSGGFSEAGMDLLDLLLSKGEESGLSAASPQPPLFCGSPPRRASNPVVHDSRFGMDCPPVPMPMPGLPVVAAAPVAVQRPTPRPSVAAPSMSPRGAAGCARARFAFQPAAVRVEGFDCLDRSRGGRGHGITAMA >Sspon.06G0035250-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6D:70410299:70414020:1 gene:Sspon.06G0035250-1D transcript:Sspon.06G0035250-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHSVLPRISSFTYKRIKGMIAADTVHRKDAKSTPEFGKSKAAGPMFVAVDDFNQRRLNRSSNNGMECINVLLSIMEPFVDGFRYQFERQSSHNGDGCITSRLMDTDGLEQDCPDIRVKRRDKAAVVAGCFDIENPCIRNQFVGSHLKYDLYNCRMMINWDASYFMLHAVFDTAMKHVNAEVSEFEVRRVEGGMYQAAAGVSFCPTRFIGDPSTTKKAAKAKLMYRVLKYMDEVLHRRIMDLNHVLKEYMEDHIDQFEQRVELLWQCGDRLVRLADTFTAEFVRKTVELKWKISADANAAGVVTIMDSCAEDLERAASNLGNCMNDFINQKVPPLM >Sspon.01G0002790-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:6267923:6273010:-1 gene:Sspon.01G0002790-2B transcript:Sspon.01G0002790-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:EMB1691 [Source:Projected from Arabidopsis thaliana (AT4G09980) UniProtKB/TrEMBL;Acc:A0A178V0G2] MGPQSRDMLHDKPGWTPHRNSGPNRKAPSRGEQNDYSQNFVDTGMRPQNFIRELDLTSVAEDYPKLRELIQRKDEIVAKSASPPMYYKCDLREHVLSPEFFGTKFDVILVDPPWEEYTHRAPGITDHIEYWTAEEIMNLKIEAIADTPSFVFLWVGDGVGLEQGRQCLKKWGFRRCEDVCWVKTNKKNAAPSLRHDSHTLLQHSKEHCLMGIKGTVRRSTDGHVIHANIDTDIIISEEPTDGSTKKPDDMYRIIEHFALGRRRLELFGEDHNIRPGWLTLGKNLSYSNFNKEAYIRNFADKDGKVWQGGGGRNPPPEAPHLVVTTPEIESLRPKSPPAKN >Sspon.08G0017220-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:386527:388677:-1 gene:Sspon.08G0017220-2D transcript:Sspon.08G0017220-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MCIAAWIWQAHPVHQLLLILNRDEFHCRPTKAVGWWGEGSKKILGGRDVLGGGTWMGCTKDGRLAFLTNVLEPDAMPGARTRGDLPLRFLQDNPVCTVQSNKSPFEVATEVAEEAHEYNGFNLILADLTTNIMVYVSNRPKGQPATIQLVSPGLHVLSNARLDSPWQKAICLGKNFRELLREHGDDEIEVKDIVERLMTDTTKADKDRLPNTGCDPNWEHGLSSIFIEVQTDQGLYGTRSTAVLSVNYDGEASLYEKYLESGIWKDHTVNYQIE >Sspon.03G0010410-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:28345589:28346836:1 gene:Sspon.03G0010410-1A transcript:Sspon.03G0010410-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWSKRMKIAIGAARGLTYLHEDCHPRIIHRDIKSANILLDDAFEAKVADFGLAKLTNDSLTHISTRARLLLVDALETDDFREVADPALECRFSKTEMRRMVEAAAACVRHSAAKRPRMVQVWRSLDVDECSSDLTNGVKLGQSMAYDSGRYSADIELFRRMAFANDLSTAELGVLDEDDHQHSSKAGSSSRRHK >Sspon.02G0054090-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:95379293:95382143:1 gene:Sspon.02G0054090-2D transcript:Sspon.02G0054090-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCYFPRRGTMLWVLFLLQFMFHMAGACFVEERVALMRIRSSLMEADSEALETWGRSDDCCTWERVTCNNSTRVSGLDLNDMYAQYYGGPDWNLNLTIISSFRELQLLDLSWSYACLQNFDGLEELTELRYLNLSRNNLIGDNVLESLGRLSSLEALNLVASGMSGALQNIDFKNLKNLRELRLGHNQLNGSIPACLFEHPHLEYLDLSGNLLQGHIPISLSSNISLSLKTIKLAGNNLNGKFDFFWLWNYAMLKEVDLSWNTELAIDVKFLTSVTPFQLQALMLSGCNLHNSIIAGPNLFFTQRHMQFLDLSHNNMTGSLPNWMFSNETALIYLGLANNSLVGSLDLMWQEQSNLQMINISMNFFRGQLPTDISSVFPNLTVLDASYNNISGHLPSSLCNISNLEFVDLSNNKLTGEVPPCLFTDSSLMLEFLKLSNNNLGGPILGGAKYICDVNEIYLDSNYFEGAMPNNLRAVGYVSIMDFHDNKLSGELDVSFWNIPYLQFLSVASNNLNGQIYPTICNMTGLHYLDISDNDFEGSIPNCSSKLPLYFLNMSSNTLSGIPSYFFNSSSVMALDLSYNKFKGSLDWIQHLSQIKFLQLGANMFEGQISTDLCHLRYLNIIDLSHNRLSGSVPSCIGGISFGYQTNDDYDFWFMFDDFSFENNLDRDDPRFLYAADYFRQGFTFSTKGNVYTYSRGFFNLMSGIDLSANMLSGEIPWEIGNLSHVKSLNLSHNFFTGQIAATIANMSAVESLDLSHNELSGPIPWQLTQMSSLEVFSVAYNNLTGCIPNLGQFSSFSGDSYLGNTNLHNLSEGNKCSLTTGPMEVGDVDDASGDLVLYIISAASFVLAFWATVAFVFCHSLRQCVVLQL >Sspon.01G0028770-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1A:100279318:100280115:-1 gene:Sspon.01G0028770-1A transcript:Sspon.01G0028770-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSMELLHAVIPEKQSVEEAVALMAPAATTTTTSSSATAMSGDEVAHVPQGWAKKKRSRRQRSEEENLALCLLMLSRGGNHRVQAAPPLVPSAAPVAAEFRCSVCGKSFSSYQALGGHKTSHRVKLPTPPAAAVVPAAVPLVEVVIPPPPVEVVVREPATSSTAASSDGAAASSRVHRCSICHKEFPTGQALGGHKRKHYDGGVGSSAASTDVLATAAAAAAETSEVGSSGNGSSAARAFDLNLPAVPEFVFRCGKGGKMWEEDEE >Sspon.02G0023930-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:85701005:85708820:-1 gene:Sspon.02G0023930-2C transcript:Sspon.02G0023930-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to cDNA clone:J023038F18, full insert sequence [Source: Projected from Oryza sativa (Os07g0160100)] MSAQFASEHACYVNCNYCNTILVVNVPNSCSHNIVTVKCGHCTMVLSMDLSPFHQQARTVPDNQIVQNRGFQYNNFGSYEQTSSRNLRMPSMYPVSNNQPQVPSIRPPEKRQRVPSAYNRFIKEEIQRIKMSNPEISHREAFSAAAKNWAHLPRLHFGLSVADGGGGGGSN >Sspon.03G0002850-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:7166568:7168164:1 gene:Sspon.03G0002850-1P transcript:Sspon.03G0002850-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGVLEVHLVDAKGLSGNDFLGKLDPYVIVQYRSQERKSSVARDQGRNPCWNEVFKFQINSAAANAQHKLILRIMDHDNFSSDDFLGEATIDVTDIVSLGAERGTYHLNAAKHNVVLADKTYQGEIKVGITFTATQVQEDGGAIGGWRHSSFNQ >Sspon.04G0024310-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:21716241:21720039:1 gene:Sspon.04G0024310-1T transcript:Sspon.04G0024310-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to 61 kDa protein homolog [Source: Projected from Oryza sativa (Os02g0633400)] MDAAQASDDKSNFAIDGVEVSTVRLVGRMLNKVERVTDVQFTLDDGTGKIDVNRWENESSDAKEMADVNNGNYVIVNGGLKGFQGKRNVVAYSVRRVANFNEIPHHFLHCIQVHLDHTRPKAQINASMATPGHTNQVWLSNNQAAMFSASGNAAGNDVSKLVMSVFHDPAAIDREHGLHIKDVAACLKLPEDVVRAVIQEHIDQGNIYNTIDDNHFKSALNG >Sspon.02G0005850-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2A:18313046:18314020:1 gene:Sspon.02G0005850-1A transcript:Sspon.02G0005850-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTEGSDGGVDRISSLPDDLLLRILVHVADTVAAARTSVLSHRWLHLWVHLPELCFRYEYGRDHISARARAHESVDAALAAHARTTVTLLEVALPRWAPQAPVAVDGGGEKAAPWLLQFASQRVAGELRLSLRHDRLSRHSGEIVVPPCERATAITLDVDMLPLRFHLLAAAATFAALAMLRILKARVHSREVEDAVSSRCCPRLKELVLKYVALHDEPPVLSIFSDSLERLEIVGLATVTRLKVIAPKLEILLAPRFRISGAHIVAPKLLEVCWYGAYDPISDCIVKAGRHLQRLKIGPSSLATNLMLRFDTVHELQLTFEIRE >Sspon.01G0002380-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:6447625:6453556:-1 gene:Sspon.01G0002380-4D transcript:Sspon.01G0002380-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carotenoid 9,10(9',10')-cleavage dioxygenase 1 [Source:Projected from Arabidopsis thaliana (AT3G63520) UniProtKB/Swiss-Prot;Acc:O65572] MGTEAEHQASLQHDGVLVVPAPRPRKGLASWALDLLESLVVRLGHDKTKPLHWLSGNFAPVVEETPPAPNLTVRGHLPECLNGEFVRVGPNPKFVPVAGYHWMIHAMRIKDGKATYVSRYVKTARLKQEEYFGGAKFMKIGDLKGFFGLFMVQMQQLRKKFKVLDFTYGFGTDVVKVLEDGDLQTLGLLDYDKRLKHSFTAHPKVDPFTDEMFTFGYSHEPPYCTYRVITKEGAMLDPVPITIPESVMMHDFAITENYSIFMDLPLLFRPKEMVKNGEFIYKFDPTKKARFGILPRYAKDDKVIRWFELPNCFIFHNANAWEEGDEVVLITCRLENPDLDKVNGHQNDKLENFGNELYEMRFNMKTGAASQKQLSVSAVDFPRVNESYTGRKSEVNVIDAKTMSADPVAVVELPNRVPYGFHAFFVTEDQLAQQAEGQ >Sspon.01G0020840-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:74565099:74568069:-1 gene:Sspon.01G0020840-3D transcript:Sspon.01G0020840-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMASTASATAAAVAFGAAAKPRGPSSALCARVAAGGGRRRSGVVVRCDAGVEAQVQAVAKAASIAALEQFKISADRYMKERSSIAVIGLSVHTAPVEMREKLAVAEELWPRAIQELTSLNHIEEAAVLSTCNRMEIYVVALSWNRGIREVVDWMSKKSGIPASELREHLFMLRDSDATRHLFEVSAGLDSLVLGEGQILAQVKQVVRSGQNSGGLGKNIDRMFKDAITAGKRVRSETNISSGAVSVSSAAVELALMKLPKSEALSARMLLIGAGKMGKLVIKHLIAKGCKKVVVVNRSVERVDAIREEMKDIEIVYRPLSEMYEAAAEADVVFTSTASETPLFTKEHAEALPTISDAMGGVRLFIDISVPRNVSACVSETGSTRVYNVDDLKEVVEANKEDRLRKAMEAQTIITEELKRFEAWRDSLETVPTIKKLRSYADRIRASELEKCLQKIGEDVLTKKMRRAIEELSTGIVNKLLHGPLQHLRCDGSNSRTLDETLENMHALNRMFSLDTEKAIIEQKIKAKVEKTQN >Sspon.04G0013970-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:55391378:55395795:1 gene:Sspon.04G0013970-3C transcript:Sspon.04G0013970-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEEDSSGTAVQRPPPPQQIRVVRCPKCDKLLPELLNYSVYVCGGCGATLQAKKNSGSDASSDTEHVKYLEVLESLPDKKGAASETSCAVREANTNKIEARSEERFVPNRMVAAAHSRSGFSFDDNQTTCTPSCTMKLPLRDDSREVREAKYRRIRHEEKGEAKQPARVRDRSPMPVVNSIPSNAYPVEGQSECHMKPGFIYANCEKGHLSDRNSDCPSTRVSGLEKDRTELLRMLDELRDQVQRSCEIADKSSVNASTNRMVDPASSYNPHERRLRYGSPQLQRNGSQRSPSLNGQAPVVPPAYPSVSVQQDLHGYGESVAHMGAPSYPAAPYPWRNFDNYFHGQYDPDPLISYHHDGFYHQPACSCLHCYHREFLPVHGAPLGFNHRRAPYLMNNPSLYPVEGPVVFGAQNYNSRGMNGMMRHNHMRATLSKKPAQTCEPIVNGAPFTICYNCYEVLQLPKNSLLLGKDEYKLRCGSCSHAIVVRLDGSRLDVSAPTPVSHLSPASKNCSNNGQGSNGQNVDERLLPSYSSHCSQEKDLPSNSSEADKMQCISSSVSISEDENSPTRSNSQKNSSGSRDLPPDAEVVIRVPSLPLRDHFGYSPSERVVDGSGKGSRSTRSEHEKGVLTESFQPNRVKDVPVASVLDPSDDEYDDPEYSQDPSDGAQYVDHPRATKSSDSFFSSLIKKSFKINGGIGNGRAKVFINGYPISDRAVRKAEKIAGPIYPGEYWYDYRAGFWGVMGQPCLGMIPPYIAEFNYPMPKNCAGGNTGVFINGRELHQKDLDLLVGRGLPDSPDRSYRVEISGKVSDEVSGEELYCLGKLAPTVEKMKRGFGMRAPRVIQ >Sspon.08G0011070-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8A:48473771:48476556:1 gene:Sspon.08G0011070-1A transcript:Sspon.08G0011070-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVPPLPTAAAEEERRSVATGPCLPRLLSGVLSGALTGIFAVAGGLTGAFTGALAGRASDSGVLRGAGLGAFAGAVLSIEVLEASRAYWRADRSSPQSTSSMGDFIEQLLHARFVQDQYEPSAYMAYRWQVGIADNDDLFDVLEDVLSEGLSQDTLKKLPHHVVTDEKPESIGENVSCAICLQRFRNIRRDL >Sspon.02G0002180-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:6902759:6905351:-1 gene:Sspon.02G0002180-3C transcript:Sspon.02G0002180-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NADH dehydrogenase [ubiquinone] flavoprotein 1, mitochondrial [Source:Projected from Arabidopsis thaliana (AT5G08530) UniProtKB/TrEMBL;Acc:A0A178UJ45] MSVRFSCELRAAIEYFHSLSRAQPARSLNGAGRSFSTQAATTSSTPQPPPPPPPPEKTHFGGLKDEDRIFTNLYGLHDPFLKGAMKRGDWYRTKDLVIKGADWIVNEMKKSGLRGRGGAGFPSGLKWSFMPKVSDGRPSYLVVNADESEPGTCKDREIMRHDPHKLLEGCLIAGVGMRASAAYIYIRGEYVNERLNLEKARQEAYAAGLLGKNACGSGYDFDVHIHFGAGAYICGEETALLESLEGKQGKPRLKPPFPANAGLYGCPTTVTNVETVAVSPTILRRGPEWFASFGRKNNSGTKLFCISGHVNKPCTVEEEMSIPLKELLERHCGGVRGGWDNLLAVIPGGSSVPLLPKHICDDVLMDYDALKAVTSGLGTAAVIVMDKSTDVVDAIARLSYFYKHESCGQCTPCREGTPWLWMIMERLKVGNAKLEEIDMLQEVTKQIEGHTICALGDAAAWPVQGLIRHFRPELERRIRERAERELLAASA >Sspon.06G0005010-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:15096248:15097669:-1 gene:Sspon.06G0005010-2D transcript:Sspon.06G0005010-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGTMEELGGPPSLWGGLCRRQERAGRTSTPENKLRACRASSLQESGVASPLKIRRRLHCPWRWPTSSPAAATGHQPSRLEYVYHFILDAYKIVNADQHIDQRPQRETATMPQT >Sspon.05G0003090-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:10673222:10677984:1 gene:Sspon.05G0003090-3D transcript:Sspon.05G0003090-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDANVREDLAPLPAQPGTNQVSDLAEQKKYTCSDLAELNKNIEGHDVATPGRQRRWPDAAAVMWIRKLDHNLVDEPMDEEANQLKNMYREKKICAETEIESSNCKLLIRQITYLYVSKPNDAPEARQLLTRLRRGTTVATSTVTCWEGPSDPYELVTKWDFNVDSQPENNTKVDEDDYLRNSAPQNEHSVFNTRR >Sspon.01G0024310-1P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1C:24592725:24592923:1 gene:Sspon.01G0024310-1P transcript:Sspon.01G0024310-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSAAAVIPRLRFATRPRLRRPSVRTYWPRPLSSSHVTPAAAAGVLEPPDLTRLANAARISLSPQE >Sspon.02G0007740-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:21855413:21856505:1 gene:Sspon.02G0007740-2B transcript:Sspon.02G0007740-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAARWSPLALLLVLAAATATATTTAAEHEHPSESDSDPTTSPTPWPERFHAVLFTNLTNYSDASTGPPLRITDLYYDWPRRRNLNLVRHQLSADPLYDVEWNNGTTFYFDSSACRVERFPVGVLPPWWLSGGGAEYLGRRVAGGIDCHVWGKAGFIFYYEEARTGRPVRWDFVDVTGIQQYVMSFEPGVELEDAQWQAPAYCFPDDDNDEDEDEEAKGNDNAASSSGEEAGDGLEAASRLLRKLAGAAAMSWDV >Sspon.01G0024810-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:88250136:88265651:1 gene:Sspon.01G0024810-1A transcript:Sspon.01G0024810-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding QPNQVTELNRGRSIPSIQPARAYRQNPNPGGTHSIAGGDGSPLRAARLRRPQRAGQDHLLRRRDPLRLRLHLPGLHPHRLRLQAVRPPYPLSAAVFLAQHHDLKHTDFIQAARLRRIPPVSLPDRKTFLDFLRFGHNSLPSADPLLPSAFQPQEPHLHPPSPPPEDPAAAEEATTGKQIRALERPFKDRNAILDARGRDFLAVFQAAVRRQDDQRKAGVKDAAPSSRPDSGSAAAALAKPKVLDRALGDGVVPIILVPSASQTLITIYNVKEFLEDGVFVPSEERMRATKGGKPESVTVQKKLIRTERAGGAGGAVSFEVRDKPASLKSDDWGRVVAVFVLGKEWQFKDWPFKDHVEIFNRVIGFYVRFEDDSVEAAKVVKQWNVKIISHASAGRSHEVGSSWPSADPSCWTGPDTIAAHRHGACDWNMARIWAQHSVGGDVELPMSFHFSQDKRVAFSSDFGAPPPPALAAAEDPAAAEEATTGKQIRALERPFKDRNAILDARGRDFLAVFQAAVRRQDEQRKAGGKDAAPSSRPDSGSAAAALAKPKVLDRALGDGVVPIILVPSASQTLITIYNVKEFLEDGVFVPSEERMRATKGGKPESVTVQKKLIRTERAGGAGGAVSFEVRDKPASLKSDDWGRVVAVFVLGKEWQFKDWPFKDHVEIFNRVIGFYVRFEDDSVEAAKVVKQWNVKIISISKNKRHQDRTAALEVWERLEEFMRART >Sspon.01G0027950-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:110831819:110832226:1 gene:Sspon.01G0027950-2D transcript:Sspon.01G0027950-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPSSVDAATGPETVVQAAAPAPAPKDSADPCHAHSKDFLDCLNSHEIKLGKCMHHLGSLHQCRQRANDDVMEPSKVQEGATALL >Sspon.05G0024600-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:16697952:16701929:1 gene:Sspon.05G0024600-1T transcript:Sspon.05G0024600-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ROW1 [Source:Projected from Arabidopsis thaliana (AT1G04020) UniProtKB/TrEMBL;Acc:A0A384KH31] MENLRRLLNPLVLNLQKMELELTCPVCLKLLTSPTTLPCYHTSCSKCALTPTSKGYSCAICKSGYCSQDLRPASHLESIVSIHRSLSSTVNTMIEQRDAQINIPVAKTAFHGTPESGNRSGVVEKSDQMKSYNPVASKLVYNQSTGPAFGNTDGVQTKDPAFENKAGAAAVAPTALVQKGHSGSQSSDGPGDLDCDSNDPEGELITSRSPLETALKRETNVMDDHTRELKRQKSNDQVQRQTTMASAWKCEFCHSSQVTECTGPLSHYLNGEPIEADQAWKSSVQHVHEKCVEWAPQAFFTGDIANNLEPELARASKIKCSVCGLKGAALGCLVKSCRKSFHVPCAYNIKGCKWDQEILDQFECHTGITVTNTWSSDVTHVIANTDERGACARTLKVLMAILAGKWVLNVNWLKACIEARKPIPEEPYEIRCDVHGSVDGPRSGRLRAMQQAPSLFAGLTFYFSGHFMPGYKANLEELIAAAGGSILEKTELSTTSLILYSMEPPQGNNTDTLETINKRIAEAQELAATVGCKAIPHTWLLDSIASCTVELNV >Sspon.02G0028930-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:105144473:105148304:-1 gene:Sspon.02G0028930-1A transcript:Sspon.02G0028930-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VCLVNIGLGTPFISGLELRPLSATMYPEAMVNQSLFLLSLSRPSARFDFNRYQFNPDYSVPPFRYPDDSYDRLWQRYGRNAAWTNINTTKEVDVSNATSSFDKPSEILQNAATPVNGTTQMYISWSSDPSLEDAHVNSTYLLFLYFAELLRVPSNGLRQFDILVDNATGNDGTSQGFTPKYLSTEAVKRTVQGPGQHSVSLVATPAATLPPILNAFEIYSVKPMTEMATNGVDAKAMMTIRERYALQKNWKGDPCAPQAFAWDGLNCSYPSSGPAQIIALNLSSSGLTGTVNSSFGDLKSLQQLDLSNNSLSGPVPDFLAQMSSLSFLDLSSNILSGSVPAALLQKKQNGSLVLRIDNNVNLCDNGPSTCEPKKKKRNKTLIIATVVPIAVATLLFVAGLLILHRMRNRQDTWMANNSRFISPQASSHIFENRKFIYKELKLMTANFKEEIGRGGFGSVFLGYLQNGSPVAVKMRSKTSSQGDKEFLAEAQHLSRVHHKNLVSLIGYCKDKKHMALVYEYMQGGNLEDCLRGEASAATPLTWHQRLKIALNSAQGLEYLHKSCQPPLIHRDVKTKNILLSAELALKCKEQPSRERPAMTNIVAELKESLELEVSYAMGYYSSVPSSARNPSATSIDLHSEAQASDHPKQYAELELELVGVASETHACPTPR >Sspon.08G0000370-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:1600919:1614454:-1 gene:Sspon.08G0000370-1A transcript:Sspon.08G0000370-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGISHPLSDEYDALRGAVLSPQTTPPSSPLAHRHLLEHEVTRMDTLAGIAIKYGVEISDIKRANSLVTDSQMFAHKTLLIPLPGMPMPSSVKLNGSGQRTKRYSSPPSSFLLVAIVLLSGGGGLTSRTAAARRHHHHHTDDDYEAVFERQEADRVEALPGQPSEVGFRHFSGYVTVNQTHGRALFYWFLEATHDVHKKPVVLWLNGGPGCSSLGTGAIQELGPFLIQNPETNRHELRLNPESWNREANLLFLESPAGVGFSYTNTTSDLQEFGDELTAHDAYTFLVEWFKRFPQFKHHDFYITGESYAGRHYVPQLAEKILEMNKKVHKSRHIYLRGFMIGNAAIDDASDDRGMVEYAWDHAVISDELHDAIATFCDFSRNDAGSDFSSDAAASQQSDACDQAMDAFYEAFDDIDIYSLYTPVCTNSSSSRRGGGAAAMPKNMRRRRRYVYGDYDPCLDQYAISYLNRGDVQRALHANVTGSIPYSWAPCSDALFQQWQDSPASTLPAIRRLADAGLRVWVYSGDTDARVPVTSTRYALRKLGLASVEPWREWFTSDQVGGYTVAYDGLTFVTVRGAGHMVPTVKPVQASQLLAHFLAGKDLPRTPPAIVTEDLFTTRRRPDLARQSRVAMGISHPLSDEYDALRGAVLSPQTTPPSSPLAHRHLLEHEVTRMDTLAGIAIKYGVEPSSSSPSCSSLAAAALRLGRRRRGGTITTTPTTTTRRCSSGRRLTVWRPAGAAVGGGVPALLGYVTVNQTHGRALFYWFLEATHDVHKKPVVLWLNGGPGCSSLGTGAIQELGPFLIQNPETNRHELRLNPESWNREANLLFLESPAGVGFSYTNTTSDLQEFGDELTAHDAYTFLVEWFKRFPQFKHHDFYITGESYAGHYVPQLAEKILEMNKKVHKSRHIYLRGFMIGNAAIDDASDDRGMVEYAWDHAVISDELHDAIATFCDFSRNDAGSDFSSDAAASQQSDACDQAMDAFYEAFDDIDIYSLYTPVCTNSSSSRRGGGAAAMPKNMRRRRRYVYGDYDPCLDQYAISYLNRGDVQRALHANVTGSIPYSWAPCSDALFQQWQDSPASTLPAIRRLADAGLRVWVYSGDTDARVPVTSTRYALRKLGLASVEPWREWFTSDQVGGYTVAYDGLTFVTVRGAGHMVPTVKPVQASQLLAHFLAGKDLPRTPPAIVTE >Sspon.03G0012590-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:34833578:34834215:-1 gene:Sspon.03G0012590-1A transcript:Sspon.03G0012590-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SLSCPCGPRPASTRPSPIIDTRPRSPAAAGCSRRRTRSPRPPRVPPVPTGAASTPVPHTRAGTRRVHVAFLPSLPRPSPLQPFCRPRTALLATCLARYCTTHFGGGAATLLPEFLPSSRVTNRALPARRVVWRFAGLDSDPRVPASGPARGSGAGFGDGSEQIWRHMRSRRRRGSGSGSDAVLLRRT >Sspon.01G0033170-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1A:112059956:112072326:-1 gene:Sspon.01G0033170-1A transcript:Sspon.01G0033170-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPYGYEPNLSATPILSTNIPTAVQDLITAQEEQLQALKQHPQVAKNRMKIMVDCKRTDQQFTVGNQVLLKLQPYTESSVASSLFPKLAFKYFGPYTVLERIGSVAYGSQIHLVFHILQLKPFTPDYTLVYSVLPKLTDLQAIETGPIQILEHRLVQKGGGAIPQVRVQWSDLPASATTWEDYYMLKERFPTALLGDKQVLWTGEMSQRLLRHKPQKAQGSSEGWRGQSYRRDLAIPFLDHGGSGKRRARRGHGKEEIDDDTCRYYGKTGHWARECRKKKRDEAQAPANLTQAEERPSLLMDVVTLAEGAVYGAHRVEHVFLNERMIVADIPLARHHRGRRSRPSNRTQRRGQERCPSPAVRCRLVRWVCGHLRHCHSVRWVCSHLHHLSHLAVRSGALQEVEEWLHLHQPWPELQRLCLLRPTTERKDPAKELDFALDLEWKRAGPVARSSIFSCGHPTPTPNAFFFPSSPAAAAPAPLRSSLSHGGHRDGAKASDVVGGVNQSRLQWLAMTAHLVVKVTKYIAVGEYGEYDRVDMAEQQHDLWFDRNSEYTLAQFHEDLATRIMWGPSQTLSVWVVDQDTGSEWKIRRDEHLQQMVKDRWNERKTFIAVDVVSKENPDDDGLATQLVDEEQVYEAMGFKEAEAQEATRNEVPIPVIIGEMEANMNEAAVNVDDTIDAEPMYEWDRDNPDMSVGTCYPNMDELRLAVRQHAIKKEFELDTKHSHKERFKAEIELRSPGSVVEIDTITDEDGKVRFSRFFCAFKASIDGFVNGCRPYISVDSTALSGTWNGHMPAALALDGHNWMFPVAFGLFDSEIKDNWVWFMKQLKKSIGPMKKLAIYTDACKGLESAVKIVFPQAEVRECFRHLMENMKKYYSGDVYGKNMWPAARAYSAHKFKYFFDKVLAASPDVQKWLTEHHPFLWARSKFCDDIKCDYINNNLAESWNAWIKEHKDLPAHMMADAIREKIMLLFAKRRKISTASPGILPAVIHQLNAASRGLGHLNISSGHPNQAEVTEVYKDEKVKRHVVYLPQKMKRTKKSNAQVGRKKANKGAGGDEAVTPRTKRAMVREAAAKARREAEEAELKAAAAREAAQAAAREEIEVTRNELSAIHAQEDVLDMMPLEVHVQETTTARRTLFPEDELQVELVKKMTLRRKQLAKKERTQSVPHATQHSNM >Sspon.02G0040090-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:65012572:65014746:1 gene:Sspon.02G0040090-1B transcript:Sspon.02G0040090-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLTGPPPRRYEEKYGVLSTKSPHNFQKNKKRVCASRKPETGWKWIAPCAYAGGLLDRVLALTLSSCPILALRRLRLTVILPPAPPTLLLLLSSSSFGSADLSISPSFVRSTAQTSSHSRTPITSTCRGTSSTPAATTMSSGKRSFFAPKKKAANPFDSDSDDDKPQRSSGPRRGPPPCLPRTNNSGGLLDLRRRRRRQGRALRFIDQPPLPERLPRRRRPREPVVQELEGYAAYKAEETTRRTQGCVRIAEEMRDTASKTLVTVHQQGQQIHRTHMMAVDIDQDLSRDAMMYADDSFIRKGSHLEQRQKLGLADHPPRSNARQFRSEPSSALEKVEMEKAKQDDALSDLSDILTELKGMAVDMGSEIER >Sspon.01G0022950-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:80661258:80665293:1 gene:Sspon.01G0022950-4D transcript:Sspon.01G0022950-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATE1 [Source:Projected from Arabidopsis thaliana (AT1G13690) UniProtKB/TrEMBL;Acc:A0A178WKP3] MNQPVQKNTLYVARARALPCPISHLIGRARHLLDEIPQQEYSWSWVVQRTNSPSAWTNPGFLDCLDGAGGLAEEVDEKILHAAFVPFGEVKDVKTPLDQSTQKHRSFGFVTFLEREDAAAAMDNMDGAELFGRVLTVNYAFPERIKGGEQGWAAQPIWADADTWFERQQQEEEMQRLQAEHRAAMQAAEKLHREKLAAEREGEKEEETADPMAAAEAQAVKQ >Sspon.07G0032660-2D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7D:46146307:46146876:1 gene:Sspon.07G0032660-2D transcript:Sspon.07G0032660-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:TSPO [Source:Projected from Arabidopsis thaliana (AT2G47770) UniProtKB/TrEMBL;Acc:A0A178W1Q1] MAAAAHEGITHRVATSRDDGGGASDSTAAAAVSGPIKKPGGGGRASSSRRGLRSLAAAVSLSAALTALSFFAASHSSPSPKAATASTVAVVRAGSVASEAVLALAAWMAWAEGGVHARPAATLLPYAAQLGAALAWAPLVLGHGATRAGLACCAAMAASAVACERGFGAVNPVAGDLAKPAVAWAVILAV >Sspon.05G0029360-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:78067450:78069370:-1 gene:Sspon.05G0029360-1B transcript:Sspon.05G0029360-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRARGEVVLAVLLAAAALLLSSMDSRSDVRMLEIGDGDVELLPRDGAAGPESLVFAGDTGPYTGVSDGRVLRWVADERRWAEHSSSVPDLLDSCRGSQDPGREHECGRPLGLKFNHDTGELYVADAYYGLRVVSPDDDKVSRPVAPQWWQGTGRTFSFANGVEVHVHPDTGAVYFTETSTRFKRREFLSIVISGDTTGRLLRYDPKSGGEVEVLVDGLAFPNGLAMTRDGTHLLLTETTTGRILRYWLRPAKAAALEEVARGCRGFWVGLHAKRGKIAEWCISYPWLRRLVLSLPTRHVQRASWLVNRLGRQVIAVRLNEEDGKVMEMVSVHGDLQKVFRSVSELEERNGSLWIGSVMSPFLG >Sspon.04G0028300-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:70205327:70209534:1 gene:Sspon.04G0028300-2D transcript:Sspon.04G0028300-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:At2g26000 [Source:Projected from Arabidopsis thaliana (AT2G26000) UniProtKB/TrEMBL;Acc:O80996] MATGGKPAAPQPPPAAPSSSDRALESVHFSSGNPRIEETRGVVVLHPDPPAASSWSHLPVGRKPRVCVLAVPNHMTYADFCRFCGAFVPHTLEMRIVRTDGAEDQYSVLINFDTQSSTDSFYKHFNGKQFSSLEGDVCHVRFVEDVHYTQLIEHAQTSVTNLAEQPTCPNDLTKILEVFSQQSATILSTARAYQNGQTPHARYKGGHAIEHWKETQHCYSLELETQKVWDYAGDNYVHRLIQSKTDGKLVEYNCHGDHTAESTCSLCSGDTAMNEALLNSKFEAIVEEYNDLVTFQLEKQRNYYESLLLEVKEETEREISAATEKAMSIKLQKLEAKFDKFREEKRFLDEVNGNLVKNQEMWIETIRKAQAREQAALRLKDEKIEKLQEELRGLIAHFECQSAIAQAQDSFSSDIPGDMILPIASESSSSGGDPIGGTRNGKQN >Sspon.06G0005250-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6A:17607952:17609073:-1 gene:Sspon.06G0005250-1A transcript:Sspon.06G0005250-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMSCPAQTGFAPAANMVQGYAAVAQAAVAAALAAPEVVDLVGAAVEGDAVEGAPAGAATAGGQQAPMRWNNNTSGFVLRRMAQIVSDGSRTDKTYKDKDVNAVAKALREYSGVVVSPTQVYNHLRKWKQKWAKVAKLKDLSGATFDDDCHAIMLEQDHYLGHCKDHPKDAEFLNTPIKFYLEMESIFGSTLATGRFALGSSAPLGVNNADSVAAKLEGQDFSCPAFEAKPTFDLGEGSKATPPVSTNAGVKRKRNFSEEEMLMMTNMTDAVNNVANAMLKTGAAHVDPDLYLAVMEMPEFSTEALIVAYTHLLENKAVATGFVNMSTPHRSVWLRTYLSKNYNM >Sspon.03G0006790-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:33771393:33780251:1 gene:Sspon.03G0006790-3C transcript:Sspon.03G0006790-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFHSSLSKSHPLPLLAAASSPRRTLVAGLLRAAYLSKHPASSTAPRPTTTARASARAATSPGESRFGLGGRVSFSTAPDGPALAGGGRALPWLAAETANSAPVARTSAGRSSSWESSAEKFFSRDDQYARREVSGVRMKDKVAIKDDDENEAIDNPEWGRIKGRYQRREVSGNRMPNKVAIREQEDDDENEPIDNPKWGRIKDRYQRLVGRDGGSRGERFRRERFDKLDVRHWNKQENWGRKTGKEAGESSVPKMVGQGVYGVGPVLAALTARRREFYALYIQESMDLSGNNKKRKDKKAVEKVLRMAEEIGLKVIETSKHDLNMVVDNRPHQGVVLDASPLEMVNIKELDPVRVEGGKAPVWIALDEIMDPQNLGAIIRSAYYFGAQGVVLCAKNSAPLSGVVSKASAGSLELIELLSCRNMMQFLSSSAENGWRVLGGTIANKAVPLSEVEIGVPTILVMGSEGTGLRPLVERSCTHLVRIPGNGNVFVEGADADAGEEGDSSSSNQDLRSFLAVESLNVSVAAGVLLYHLAAKDACPGSDKPSVTLIKLNKSTRQEIKNTANKRLGLEGLCGKQPSERVGIISRCIPSSLDTAAVVVPSSMRPGGPSLSSSFLSSSSLPKPVFLDLPAPAGASLPFHCFPSGIRSSSRGRGSLALESPMEWFLVS >Sspon.02G0026600-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:77000936:77001934:1 gene:Sspon.02G0026600-2P transcript:Sspon.02G0026600-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLAAAAPEPHVVEDCRGVLQLMSDGTVWRSAEPAAALFPVDIPEDDCGVEWKDVTWDAQHDLNTRLYRPRHLGAANDARIPVVAYFHGGGFCIGSGRWPNFHAWCLRLCSELPAVVLSFDYRLAPEHRLPAAQEDGARAMSWLRSAADADPWLADAADFARVFVAGDSAGGNIAHHVAAGLGKSGLAPAVRIRGALLLAPAFAGEARTRAELECPRDAFLTTEMCDRYARLALPEGADRDDPVLSPAGPRAPGLEAVEMAPVLVVAGGRDVMRDRNAQYARRMKEEWGKEVEYVEVAGADHGFFQTDPWSERADELVRIVRRFVVEHMDSE >Sspon.02G0031440-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2B:108569154:108569965:1 gene:Sspon.02G0031440-2B transcript:Sspon.02G0031440-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding NIVRLLGCCLEVDVLMLVYECISNGTLFEFLHVNQSRPPIPLDLRLKIAAQSAEALAYIHSATSRTILHGDVKSLNILLDEEYNAKVSDFGASALKSMDKNDFIMLIQGTLGYIDPESFVSHHLSDKSDVYRFGVVLLELMTRKKALYIDASNEKRALSHTFIQMFHQNELRDILDSEIVHDEIMIVLQKLAELVMHCLSPKEDERPTMKEVAERLQMLRRLEMQQVTKTPPIQAHHYYEEQSVYVPSYETEYQSMETTKLELEVDITR >Sspon.03G0031430-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:27844108:27845957:-1 gene:Sspon.03G0031430-1B transcript:Sspon.03G0031430-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LESAEERRPRRAAGLGVVALCSSLLLNVLFLAHYSFLSPSQLLGDGGSCGLSWALQAAKEAEALAAADCSGHGKVFLDGVVGEDGRPGCECNRCFDGPDCSIRTPICTADANSGDPLVLEPYWKRHAAASAVLVPGWHRLSYATTDGLFESVELENRIRPLHRAVGNAVVDDKRLVFGAGSTQLINALSPDAAAAASPPARVVATEPYYPNTDSDVRRPRVQMGRNHGCMDQRVTKLQRRRQVRRVRHVAEQPDALLRTAVLRGSAVTADHAYYWPHFTHIAAPADEDVMLFTMSKPSGHAGSRLGDEKVAKRAYEYVQHSIMGASWDTQLRMLGIVRVMLANLHGKEDIFGFGHDVMRSRWRRLSAVVSRSHRISLQRIMPQYCTYFNRVREPLPAYAWVKCEREEDDDCYEALLKARIITRSGAGYDASSRYMRVSLLKSDDDFEVLMERVTDLANADNYDDDALLLPRVPDKL >Sspon.08G0002910-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8A:8114507:8117545:-1 gene:Sspon.08G0002910-1A transcript:Sspon.08G0002910-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAKFMGYAEVLSNFNACREFFSWTTSGIIKAIYYQQNTPQLPDEANTTAERQIKDEIEQLMDGVWKLKTTMPKMLDLIDRVEWQSHKEHAASLLPDIKDAVYDAEDLLDEFEYYALKLKIESSKNSGQDNLSDTFVEFFNSFRSNGYIRKVNRIQAKLDHVHRQSMDMRLDQAPLKFDKSVRPETCAFIDEPKIFGREEELKQLVKTLIVPARKRGRADSRSTMVKLHVLPIVGMGGVGKTTIAQQICNDANVKQHFGENIIWTCVSDDFDIKRLTKEILTHFSREGTSSDSLDILLKKLDFVIKSKRFLVVLDDMCDDILKQDGAGWRKLFKHLENGAEGSRVLVTTRSSEVANLVCTVNPYELNGLQGGVFWEFFKICAFGSTSSCSNRESLECIGKKILPKLKGSPLAAKTIGRLLRMDLSTTHWENIAKSELWQLEQTETDILPALRLSYMYLPQKLKRCFSICAMYPKDHIFEKGFLADVWIAQGYVVGPQEASLCFDALANRSFFQKASQQDHNKYVIHDLMHDTAQLVAKDECFIIKDLGGPGKLPSNVRHLSIFPQGILQELQATVIWSTKKLRSYVCHGSSSISESLGNSNHLRYIGLRQHFTSQETRKLAFPQLVCHLHHLKIIDCGGCVIERFPPGFSNAISLQKIKSRNFTYDRDQSDKLCLEWPGDGTNREEAELMFNQMEALPHWNLQHLHVRGYGSESFPSWLRPHLLPRLRSLEFHSCGSIKSIPFFGNAAGSDNHNSIEELIIRSCDQINWQGSMVLPTSLRKLILSKSGYFMDHLVSSFRDLTSLNDLQIDDCESLTAIPLNVWGSNLPSLEELHISYCPRLTSIGVSGANSSSNGFRGFSSLSKIHIRSCGTLLSLEEFLIPEYLPVVKTIFVESCEELTSLSVDRLDGLQKLSILDCPRLNPQRVMTFPSSLKELLLRTCRRIESININNSQLGSSPALEKLSISSCPVLRSIGGATAVTKIKKVEISDCPELKEIRQPLSRWYVQSQGF >Sspon.07G0006560-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:14300773:14304245:1 gene:Sspon.07G0006560-4D transcript:Sspon.07G0006560-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEGYANLPTSHLLGSVPAVTQDDRKPSPPAAQDAAATSRLQEFPPAPGGNGGGYRPPGGPADGDVENQADWKGYFNVASYAPYFNVDTDVVVDRLISSIYPMDGFYRKIDANPDMYGPLWITTTLVFMLAAFGNYATYLMQNKKDLDIWNFDVGYFSWAASVMYGYAIIVPAVFFFLFQYFGSRPSLVRFWCMWGYSLFVFIPASVLLLIPVEFLRWVIIALAGGASSWFIALNLKECTQGADLMVLMASASVLQFALALFIKVFFFA >Sspon.01G0026050-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:89191365:89195971:1 gene:Sspon.01G0026050-4D transcript:Sspon.01G0026050-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGCDCIEPLWPTDDLLVKYQYISDFFIALAYFSIPLELIYFVKKSSFFPYRWVLIQFGAFIVLCGATHLINLWTFTTHTKTVAMVMTIAKVSTAVVSCATALMLVHIIPDLLSVKTRELFLKNKAEELDREMGLIRTQEETGRHVRMLTHEIRSTLDRHTILKTTLVELGRTLGLEECALWMPSRSGSSLQLSHTLRHQITVGSVPINLPVVNQVFSSNRAIIIPHTSPLARIRPLSGRYVPPEVAAVRVPLLHLSNFQINDWPELSAKSFAIMVLMLPSDSARKWHVHELELVEVVADQVAVALSHAAILEESMRARDLLMEQNVALDLARREAEMAIRARNDFLAVMNHEMRTPMNAIIALSSLLLETELTPEQRLMVETVLKSSNLLATLINDVKDTGCGIGPQDLPHVFTKFAHPQSGGNRGFNGSGLGLAICKRFVSLMGGHIWIDSEGTGRGCTATFVVKLGVCDNTNTYQQQLIPLVWPSSADSDLSAPKVLPDGRGSTSLKSRYQRSV >Sspon.06G0022720-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:34958247:34961927:-1 gene:Sspon.06G0022720-1P transcript:Sspon.06G0022720-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MACRGFFECLLKLLNLVVMAVGLAMVGYGAYLLVMWLQVAPLPPPAPPSPSPAAVPPGGDLVRLGRPLLLLVDASLSDADGTVERLSSAWFIFAFIGVGAILFITSIFGCAGARNGCCLSIYSFLIILFILVELGAGGFIFFNHSWKEVIPVDKTGNFDMMYSFLKENWRIAKWVALGAVVFEALLFTVAIIVQSGNQADYDSDDEYIGARSGIRQPLVNQQAAAADPRVPNLDYRPIRNDAWSQRMREKYGVDSFDPNRFQQATISPGEQRNRCTIL >Sspon.08G0005370-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:14184231:14184416:1 gene:Sspon.08G0005370-3D transcript:Sspon.08G0005370-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGASLSCFGSGGGYWADDEQPRRSPRKVRPSDEDGLWYVAERDVDMKASAYIARFHATASS >Sspon.01G0042040-3D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:22593831:22595910:1 gene:Sspon.01G0042040-3D transcript:Sspon.01G0042040-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MERSADVLSAGLLEVANPSLSSRFFLKQHWTDGEDDVKDSIKHKPLWESYMPKNKKERRGTGKKKHGNLYRTYGTRVIPVFVLSLADVDAELLMEEESLVWASKDVVIVLEHNNEKIPLSYVSETTRQFALPSLAQRHILAGLASAVGGLSAPYERASRIHDRHVVNWLWAAGCHPFGPFSNSSQISQILQDVALFVQSFASEHLKTPLGELVKGMWNVF >Sspon.05G0032700-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:32046405:32047074:1 gene:Sspon.05G0032700-2D transcript:Sspon.05G0032700-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLFSGRSKQEHKIGGGSKCHAVAGTTRHSKGGGKCRALCCGASRLSVSSSASCSSADAAPEPLPLPPPQTTQPRGLSRLAHGMVQARLQSMIDAAAEAGGRPPPVARRQDELAAERHRHGLPRGAREQAAATRRTTCVVLLAEDRRTHDPREEFRRSIAEVIAAKRMAEPAELRALLNCYVSVNAREHRAAILQAFHEVCSALFSCKQLG >Sspon.06G0018210-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:81219460:81223235:-1 gene:Sspon.06G0018210-2B transcript:Sspon.06G0018210-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Magnesium/proton exchanger [Source:Projected from Arabidopsis thaliana (AT2G47600) UniProtKB/Swiss-Prot;Acc:O22252] MSNIAMVRAAVPPPSSSCDSDTYLLFHGETLLSSGVRASLYTVALAYCFIGLSAITARFFKSMEQIMKHSREVVVSVDPHTKAPVVKHEKVWNYAVADIALLAFGTSFPQISLATIDAIRNLGQLTAGGLGPGTLVGSAAFDLFPIHAVCVVMPRAGSKKKISDLGVWLVELFWSFWAYIWLYVILEVWTPKVITLWEALLTVLQYGLLLLHAYAQDKRWPFVSIPFMRGERPEDWVPPEDVPVDYHNCDDINETLPISADRNDGIVDVFSAHSYHNAEYSRVPEKDMEGSSTMDQVVKNTQEDMSWLSIWWQQFVDASMLESPESRKMDSVFLRSTTIFWNLIIAPWKFLFAFVPPYHIAHGWIAFMCSLIFISGIAYGVTKLTDQISCVTGVSPYVIAFTALAAGTSWPDLVASKIAAERQVTADSAIANITCSNSVNIYVGIGVPWLIDTVYNFFVYQEPLYIDNAAGLSFSLLVFFATSFGCITVLVLRRIILGAELGGPRLWAWVTSVYFMILWVVFVVFSSLRVSG >Sspon.05G0003290-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:9895976:9899241:1 gene:Sspon.05G0003290-1A transcript:Sspon.05G0003290-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHIANFLTSTPQDGIREMDDQQAAVSGGSSFSSGFSSSSFDSFGDDASFITSELMCDDNDEEDESLQDTACSSAAGQKMATMANFDMKQMAPMDAKEFNMPLLMAKYLEAMGSKQQATGVDQQAINSFSNNEKALYESNELRKKGLCLYRAATADDVEQPKPNFFASSIKEERFTYILLLVNLATD >Sspon.02G0034970-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:15501017:15501361:1 gene:Sspon.02G0034970-1B transcript:Sspon.02G0034970-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSSSSSSALVVLMVVLAAAVACSATRPVPAQQQAVSAAEDVKRPDTLQEGTVLIPGLGRYEIGSGYIPDIGGLDHSIPAATHGQFMPGADDTWVPNPGFEVPNPFLPGAATP >Sspon.04G0021610-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:51219098:51221221:-1 gene:Sspon.04G0021610-3D transcript:Sspon.04G0021610-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFAVLLNRYAGAHKVQEAIEVFYLRKDYGFELDLVGFQILLMSLCRYKHEAEALFREKKDEFPHVTKSWNIILNGWCVKGSWRDALRIWNDIIASKVERDLFTYGTFINALAKNGRISAAVKLFKSMWEKGINPDVAICNCIIDQLCFKKRIPEALEIFGEMNDRLCQADVATYNTLIKYLCKIKRMEKVYELLDEMEAKGCSPNNRTYSYILKTTEKPKDVIALMQRMEQTGCELDSDTYNLILNLYINWKYEKGVQQVWDEMERRGSGPDQRSFTIMVHGLHSHGKLDEALQYYTTMKSRGMIPEPRTKILVKAMHMKKDGAATEDDSTSMAGKHLKLDGRSRLFNVHRYLLLDTMKATAKSTGIEGRIVNLSSVAHHHTYPKGIDFDNLNDEKIYNDKMAHGQSKLANLLHAKVCDKKLPCGVHRCKVNCHDGECLPCLVRVEQKCRCGSLGQMVECYKVSM >Sspon.02G0028430-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:98112980:98116825:1 gene:Sspon.02G0028430-2B transcript:Sspon.02G0028430-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cellulose synthase-like protein D5 [Source:Projected from Arabidopsis thaliana (AT1G02730) UniProtKB/Swiss-Prot;Acc:Q9SRW9] MSRRLSLPAGSQVTVTVSPTRGGKAESPGDGVIKRSGGGIGLTSPAPRHSLGGAGSSTVATLQLSPVRRSGGGGSRYASLDGGAVGDSSEFVHYTVHIPPTPERTVAASAESIDAPAPTAYDEDGGAAEVRPPQRSYISGTIFTGGLNQATRGHVLNTSAASSAAAAAASANMSCKMRGCDMPAFLASGAGGGPCDCGFMICRECYADCVAAAGNCPGCKEPYSAGSDTDDDDGEDDEAVSSSEERDQLPLTSMAKRFSIMHSMKIPSNNGGGGGKPAEFDHARWLFETKGTYGYGNALLAQGRARRRWRRRLYWVLRSRRTSAPAAAGLSPGRPALTLLVALLRCRLLIAIRLVALGFFLTWRIRHPNPEAVWLWALSVTCEVWFAFSWLLDSLPKLCPIHRAADLDVLAERFELPTARNPKGHSDLPGIDVFVSTADPEKEPPLVTANTILSILAADYPVEKLACYLSDDGGALLTFEALAETASFARTWVPFCRKHGVEPRSPEAYFGQKRDFLRNKVRVDFVRERRKVKREYDEFKVRVNSLPEAIRRRSDAYNAGEELRARRRQQEEAMAAGTLPGALPEATAVVKATWMSDGSQWPGTWLTSAPDHSRGDHAGIIQAMLAPPTSEAVLGAEPAESGGLIDTTGVDIRLPMLVYVSREKRPGYDHNKKAGAMNALVRTSAIMSNGPFILNLDCDHYVHNSAALREGMCFMLDRGGDRICYVQFPQRFEGIDPNDRYANHNLVFFDVAMRAMDGLQGPMYVGTGCVFRRTALYGFSPPRATEHHGWLGRKKIKLFLRKPTMGKKTDRENTSDREMMLPPIEDDAFQQLDDIESSALLPRRFGSSATFVASIPVAEYQGRLLQDTPGAHQGRPAGALAVPREPLDAATVAEAISVISCFYEDKTEWGRRIGWIYGSVTEDVVTGYRMHNRGWRSVYCVTRRDAFRGTAPINLTDRLHQVLRWATGSVEIFFSRNNALFASPRMKFLQRVAYFNVGMYPFTSVFLLVYCVLPAVSLFSGKFIVQSLNATFLALLLIITITLCLLALLEIKWSGITLHEWWRNEQFWVIGGTSAHPAAVLQGLLKVIAGVDISFTLTSKPGGAGDDGDEDAFAELYEVRWSFLMVPPVTIMMVNAVAVAVASARTLYSEFPQWSKLLGGAFFSFWVLCHLYPFAKGLLGRRGRVPTIVFVWSGLISMTISLLWVYISPPAGARELIGGGGFSF >Sspon.01G0005980-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:14778015:14781325:1 gene:Sspon.01G0005980-1P transcript:Sspon.01G0005980-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKHGWQLPYHPLQVVAIAVFSALGFAFYVFFVPFVGTKPFQIVAMAIYTPLITCVVVLYIWCAATNPGDPGIFDSTKNLKLDKHEKHSYVNSDQGINHGGRPLSETFGTADNNEKLSSMLKRKDSPSWPRFSGILSLVCLPFSCLCKRCLHSDSQPSEQKMCEGGMFFCSLCEAEVLKNSKHCRVCDKCVDGFDHHCRLVMQWLWGALVLILCIVKRGEFSRQVVTKLGSSFSTVAFVIVVATCTILAMVATVPLVQLLCFHILLVKKGISTYDYIIALREQEDQQEVPGHQSPQMSIISSVTGFSTASSFGPLHRGSWCTPPRLFLEDQ >Sspon.03G0025870-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:3609907:3610455:1 gene:Sspon.03G0025870-2C transcript:Sspon.03G0025870-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MCMGTPAGAAPRSAGDEATLHFIQFALLAAVLGSAAKAAAAFHARASWRPQGLAAAAALGTVAWAATALALGLACKEMRVAAAAARGWQMRALEAITAVLAVTQLAYVLMLHCAAAAADSDVDGDVDVDSDAYTAVGDQCEPGCSTATEGDDDDDCQHAQHQQQHGRHHHHRQGGGPTCSVM >Sspon.05G0010100-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:23828713:23834597:1 gene:Sspon.05G0010100-2B transcript:Sspon.05G0010100-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MIHDEDNFANSALQRKLKYSSEEGTGSASPPDSASSDSEIPSAMDGLLSKLRRLDAYPKVNEDFYSRTLSGGIITLASSVVMLLLFVSELRLYLHAVTETTLRVDTSRGETLRINFDVTFPALQCSIISLDAMDISGQEHLDVKHDIFKQRIDAHGNVIATRQDAVGGMKMEAPLQHHGGRLEHNETYCGSCYGAQESDGQCCNSCEDVREAYRKKGWGVSNPDLLDQCKREGFLQSIKDEEGEGCNIYGFIEVNKVAGNFHFAPGKSFQQSNVHVHDLLPFQKDSFNVSHKINRLSFGEYFPGVVNPLDGANWMQHSSYGMYQYFIKVISFFLFSFCGQTAKHHAADAHIGINCDFKHSLRCCNSLPQFSVTEHFRSGESGRMQALPGVFFFYDLSPIKVTFTEQHVSFLHFLTNVCAIVGGVFTVSGIIDSFVYHSQRAIKKKMEIGKFN >Sspon.04G0037650-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4D:75749054:75749419:1 gene:Sspon.04G0037650-1D transcript:Sspon.04G0037650-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DQIDPDDLRQATGHDCISDWARRNVGASHLEKRKMQMVPPKGHGKRHRGKGKAVTSDTETEDEQYQSQDGGDDDSSDDDSANDGASGSDGGGAGGTDGGGGGSGDATIGAGGSSYVGLHFT >Sspon.03G0021640-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:52278202:52278492:-1 gene:Sspon.03G0021640-3D transcript:Sspon.03G0021640-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding IPMASGPDQRLFLVGDEQESELRDPIVKAMAAEKEFDYLDEREDEEDERREREEAEQEAAEALRREEERLRQEGEEQRRREEAERLEKA >Sspon.04G0011860-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:39264409:39265035:-1 gene:Sspon.04G0011860-2D transcript:Sspon.04G0011860-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKASRYLKQLFSAIVAAVKARSTAVGTKASSLRTRLIVLGIMRNKKLLLSAIQSKIHAIMGGSSHSSSGNAVGASHHGGEGERLFLASSGARKAALLQSLPSFAVEQEARAVVLLSSLPSFALERDAAGADEEKDMVEAAAAGEKHLATVDDAAVAAPAGSAAEGEFRLEDEIDRVADVFIRRFHEQMKLQKLESFKRFCEMLERGA >Sspon.01G0007960-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:62609399:62610799:-1 gene:Sspon.01G0007960-2B transcript:Sspon.01G0007960-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRSLLFVNFVCLAVLFVFAGNIISFMTYLAPLPTFYRIYKSKSTQGFQSVPYVVALFSAMLWIYYALLKSNEFLLITINSAGCVIETLYIVMYLLYAPKKAKLFTAKILLLLNVGVFGLILLLTLLLSAGQHRVVVLGWVCVAFSVSVFVAPLSIIFMPFSLSLSLTVSAVVWFLYGLLIKDKYVALPNVLGFSFGVVQMGLYALYRNATPRMPAKEVADDDAKEATMSVDDSTLKVPGDMW >Sspon.08G0015050-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:60325628:60328471:-1 gene:Sspon.08G0015050-1A transcript:Sspon.08G0015050-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding EKSALCNPTGQLPTKPTILFPEAGPLSLVPPQIGPNLRQDATRNRRRRGHAGGAAVAGGRSEGEGQAAKVGGGLLDNAREILLAIALPRDDTNVHKPQGEAQSSSWPDLQPELLGLVLMRLPSLTDRVRLRAVCLPWRSNALLQLLPPPLPWLTLLDGTFLAIPDGKIIRMLGSDDARCCGSANDWLFFVHHDGGCSLMNPFSKATRNLPKLDIKWFNASSSYNSYFTKLAVPSPMESSPGSLVAALILGSGRTILIFQQSIGTYLAIESNLGRFNHLSDIAFFNGKLYGVDLHCELSIFEITYGLDSEPTISSVKCITRPTKRLALLQPLPHGKLYMQMRYLVECCGRLLMVIRMVEWDCALSHGPSQGDRTVAFEVFEADWSTKPGQWRCVSKLGGQALFVGKHCSKSFPAGGCTGIQEDCIHFMCDYCPAGLAVDPLRDSGVYNMRNGIITPLLAETAITPQHSGGQGRPTWIFPRDAIKLRVKKSLGRSTTLRCISHKTTQLL >Sspon.06G0001960-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:6359461:6361147:-1 gene:Sspon.06G0001960-1A transcript:Sspon.06G0001960-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTAKGKVCVTGASGFIASWLVKRLLESGYHVLGTVRDPGNDKKVGHLWGLEGAKERLQLVRADLLEEGSFDDAVMACDGVFHTASPVITKSDSKACQTPILTTALSLETEGFSSCMLYAASESLATEIYRCHFLQEEMLDSAINGTLNVLRSCKKNPSLKRVVLTSSSATVRIKDETDLPPNVLLDETSWSSIEYCESLQIWYAVAKILAEKAAWEFAKEHRIDLVTVLPTFVIGPNLSPELSPTASDVLGLFQGRFVACKVYERVTNETHAAAVVAAGETEKFTVYGRMGYVHIDDVARCHILVYESAGAHGRYICNAAVLDCGDLVALLARRFPAYPIPRSLPSVYGEQSYGFDTSKARALGLAELKGVEEMFDDAVDSLRGHGHLPAADDASQH >Sspon.06G0006440-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:21458970:21461864:-1 gene:Sspon.06G0006440-3C transcript:Sspon.06G0006440-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPRHLFLLLPLRLLFLLPLLAAAKPVLEDGYTVTTFADLNPLPASGPHPYAILPRPRAGDLLLLDSAGSALYTLSLSSSPGEPRRLAGGKRGSGFDDGDAAFDRPRSVAVDAADNVYVADQRHGAVRKVAPSGYTTTIAGGLSSGPGHRDGLAQNATFSADFELVYVPKICALLVADRGNRMVRQINLKPEDCAHEKQSGLGTTSVSVIAILCALLGSIIGFLVHHFYPVNEVSINHFFSRIQKQFLRTQRKATLISFCDIKSAVASSMAYTHLLRLIRLGCGYITVVFPSVRLQQEVPLRPSRLRPELRKTSTAPSIGLNNKAPLPPTGQLGDLISFAGDAGDKEGSGNANSQEGKVPSYEGDLMGLLYIPPGSVKKIDHMIETNLSGFSSHVNRRRLTVSGFSVSRRVHGDN >Sspon.02G0010890-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:6695116:6702647:1 gene:Sspon.02G0010890-3C transcript:Sspon.02G0010890-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDVMGSAVGPHFSGLRLDSRRLSSSSSLSPSSSPSRSGNGDAAPGFASPKADGTRRPFVIGVCGGTASGKTTVCDMIIQQLHDHRVVLVNQDSFYRGLTEEQSDHVQDYNFDHPDAFDTDRLLECMGKLKSGQSVNIPIYDFKNHRRCSEKFRKVNESDVIILEGILVFHDQRVRDLMDMKIFVDTDADIRLARRIRRDTVERGRDVTSVLEQVVEHGLGHLPFTEKQVVTPTGSVYMGVDFCKKLCGVSIIRSGESMENALRACCKGIKIGKILFHRIGDNGQQLIYHKLPMDIAERHVLLLDPVLGTGNSANQAIELLMRKGVPEKRIIFLTLISAPEGIHCVCKRFPDLKIVTSEIDSGLNDEYRVIPGLGEYGDRYFGTD >Sspon.08G0021170-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:33736128:33741575:-1 gene:Sspon.08G0021170-3D transcript:Sspon.08G0021170-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPDARQDAGGGVAALGVSCFDIKSFVASLALLTLVMALWQLHPYQPLLSASRPSSTCPLLPRPPISASSRAAATVASFASANSSANAASTKTASSAVPAVTTTKPAASVLPAAQPRDPNKREFRSYGSAAALFVQMGAYRGGPRTFAVIGLASKPAHVYGTPYFKCEWVPNQDPSSPALPRAVRTKAYKMLPDWGYGRIYTVVVVNCTFPTNPNADNRGGKLLIHAYYSTASRRYERFVALEEARGSYDESRFRPPSPYEYLYCGSSLYGNLSAARMREWLAYHAHFFGPASHFVLHDAGGVSPEVRAVLDPWVRAGRVTIQDIQAQAEYDGYYYNQFLVVNDCLHRYRHAANWTFFFDVDEYIYLPDGRTLQEVLGQLEPYTQFTIEQNPMSSKLCVEDPTMEYSRKWGFEKLVFRNSITGVRRDRKYAIKARNAYSTGVHMSQNVIGRTTHKTESLIRYYHYHNSINVMGEPCREFVPKPTNGSKVMFEGIPYVYDDNMKRVAGEIKHFEEETLGTILEHEVSYKTMDLLLWTNSAMVKQPLASGYDSS >Sspon.04G0000600-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:22144101:22144778:1 gene:Sspon.04G0000600-3D transcript:Sspon.04G0000600-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGMEKLEWIRKHTTEPYNKAKECLITNYHGTKIVTEALLPLLQCSAHGRVVNVSSHFGLLRFFSGEELKTELNNIDNLSEERLDELSELFLKDFKNGQLEHYGWPTEGGYPAYKVSKALANAYSRIIAKKHPTLCVNCVHPGYVSTDINFHTGDLTVEEGARGALILAFIPKGGMTGAYLNCTEVASFV >Sspon.01G0044170-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:80860265:80861245:1 gene:Sspon.01G0044170-1B transcript:Sspon.01G0044170-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSVVPDLCHCRGRVAGFWDGALAILIKDCCKARQQRPSSYARRRLRPHVSRAWWRRFLSCVRRRSWRMAAAAIAGESRKLAPRRDGRGKMKRAESSSSLAPCYDASTAPKQQGR >Sspon.02G0013280-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2A:35529095:35530330:1 gene:Sspon.02G0013280-1A transcript:Sspon.02G0013280-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDVDHRMAGLAPSVAHTAALRRLSTRAAAGPSSASSSPRHGLHSFHAVAAGVLAHLRDAAGVAVLPGLSDAELARAEAEFGFTFPPDLRAVLALGVPSGPGFPDWRGRGRAGLRAAFDLPAAAASLQVARGALWPRCWGRRPADPDRALRLARAAIRRAPLLVPLFDRCYLPCCPCLAGNPVFFVADDRVLCCGLDLLHFFTRDSSFQPTAMDHIVSSSPLASPLLSAGATTSRSSCTRRSLDAVQAPRWIEFWSDAASDRRRRDSSSSEASTASSSSSSSSSSGCPSPPRRSTPRWVDNYLDELGSMLKKGGWRDREVDEMVEVTASGFFDGEEAGAPAPDSEAILDALVLKADRCSDSLRRAGWTSEDVSDALGLDFRRGKERSRPAVRIPPEIAAKVQRLAQALARP >Sspon.03G0036120-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3C:84158571:84158886:-1 gene:Sspon.03G0036120-2C transcript:Sspon.03G0036120-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNSTRGQYTRPTLRHTFCTLISTTTTIWCPPPTAPLGLHPNCSTTCGDVSMPYPFGISPGCYMPGFKLTCNTSFHPPGCFSTGMAPC >Sspon.03G0012050-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3B:43437992:43439773:-1 gene:Sspon.03G0012050-2B transcript:Sspon.03G0012050-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNTTLLLLLLITPHLLATPASAAFASRGLSASDAAHIRRRQLLQYHGGGDDGGDVVVDPSYAFPNPRLRDAYVALQAWRRAILSDPYNVTGSWTGPDVCAYAGVYCAPSPQDPDLTVVASVDLNHADLAGHLPEALGRLADLAVLHLNSNRFCGLVPRSLHRLHALHELDLSNNRFVGGFPDVVLRLPSLRYLDLRFNDFEGPVPSELFDRPLDAIFINSNRFRFQIPDNVGNSPASVLVLANNDFGGCLPASVANMSDTLNEIILMNTGLKSCVPPELGALTGLTVLDLSFNKLMGAIPDELASLHSVEQLDLGHNRLVGDVPEGICRLPHLQNFTYSYNFITGEPPACLHVKTLDDRRNCIPYRPDQRSPEQCSFFKNHHVNCDAFKCKKFVLPSPPLPPPSPPPPSPPPPSPSPPPPSPPPPSPPPPSPSPPPPSPPPPSPPPPSPPPPSPPPPSPSPPPPSPPPPSPPPPSPSPPPPYYEVSPEERYLSPPPPAYTEVPPPPYYEVSPEDRYLSPPPPAHHESPPPPAPVHHEPPPPPYYEVSPEDRYLSPPPPAPASLPKYDYSSPPPPRALPKLPVYDYSSPPPPAA >Sspon.02G0001480-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:6495760:6498441:-1 gene:Sspon.02G0001480-2C transcript:Sspon.02G0001480-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSTVKLAVLALLLAAAAHHGLLPLPTARCYWLNPEIYDAGGLSRRAFPEGFVFGTAASAYQVEGMAKRGGRGPSIWDAFIEVPGTIPNNATADVTVDEYHRYKAQEDVNIMKNMGFDAYRFSISWSRIFPNGTGKVNQEGVDYYNRLIDYMLQQVSIYILFQETFRFLIKLFIYPPHPPLKKSGITPYANLYHYDLPLALHEQYLGWLSPKIVEAFADYAEFCFQTFGDRVKNWFTFNEPRCVAALGYDNGLHAPGRCSECAAGGDSTTEPYLAAHHLILSHAAAVRRYRDKYQLYQKGRIGILLDFAWYKPFSDSNADQAAAQRARDFHLGWFLDPIINGRYPYSMQEIVKDRLPLFSDEESRMVKGSIDYVGINHYTSFYMKDPGTWNLTPVSYQDDWHVGFVYERNGVPIGAHANSYWLYIVPWGINRAVNYVKETYENPTMILAENGMDQAGDVSITQGVHDTVRIRYYRDYITELKKAIDGGARVIGRTLRGHCLTTLSGGLGTLRDAEEVPQGLSFLVQAYALQEEELEFQTRGPMAS >Sspon.08G0004170-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8A:12032332:12033350:-1 gene:Sspon.08G0004170-1A transcript:Sspon.08G0004170-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHTAVNLTEAARSEHLFKINGFTATKQTPRSFSPSRKCAVGGHDWQIQFCANRSAGPPNHPSDGCAGWVMFRLRLMSKAAGGGVAASFACRLVGPNQPGPGDSPDQISSASFHIYDFHDVYLVRRSKLEGWQCRYLKDDSILVQSAITVLLGELKNAVASDAGPPLSVPSSDLHTQFGELLRSQKGADDVTFHVSGESLYGHTKEASASAPCVEVKDMEAEVFRAMFRFIYTDTATELERGGWHATAMAQHLLEAADRYGLERLKRMCEDKVSTDISVGNVATTLALAEQHGCAKLKANCIEFILAVPENLFALAATE >Sspon.04G0014990-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4A:56124735:56127011:1 gene:Sspon.04G0014990-1A transcript:Sspon.04G0014990-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNWPTAAFGLSNRAGRKARRPGSGLRPKADLAQWGSRGDATPVSKAPRQRDSGLSAVAGHGGARTWSARGWRTREDTQEEREGPGKPFPRGVSTGCRRVRRSNVRVIVGDGGPPAEMAVAWAARALGAGRGRRCSASGKNGEGREERSRVALKTGKARALGGHGRHPAGVAGMRSPRGAARLTRSDASARAGGRRRGRVGLSRGERAGPRERHGRAKRRLGRLWRAGRKGGGGPLTRAPLDKKGAGRYRKLRIKGCLEGGSGDKGKKAAKEAANEVDKAAAEEEAMQAGKPKRQLAENVDHAENVVTNKANILATNPERLTRSRLAVILGEGSSTLLNRLSPNKQATTSPKLRKKATNSPKKGIAKKLVPRKPKTN >Sspon.03G0010900-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3C:45979609:45980280:-1 gene:Sspon.03G0010900-2C transcript:Sspon.03G0010900-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor bHLH144 [Source:Projected from Arabidopsis thaliana (AT1G29950) UniProtKB/Swiss-Prot;Acc:Q9ASX9] MQRDSTSLAGNSSFAYGYEADNCMANGLLGVQCNHSVPVSPALAVPSGITSPHIRSPLDAFELQPSKVCPRNFIIFDHTDEKGCIMYHPALVNNLNPANIDLLQCHGEMVSRSSGQDNGNVEEQSSSFKEDTEEIDALLSSDYDSDEDDVVSTGRTPDPLESGPFESSSLPRFKTTSQVSGTSSVCYGSMENVTHEKIRNVVTVLRGIIPDGDQLDTSSVLEKA >Sspon.07G0012580-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:44738848:44741689:-1 gene:Sspon.07G0012580-1A transcript:Sspon.07G0012580-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to 60S ribosomal protein L18 [Source: Projected from Oryza sativa (Os05g0155100)] MGIDLVAGGRNKKTKRTAPKSDDVYLKLLVKLYRFLVRRTKSNFNAVILKRLFMSKTNRPPISLRRLVKFMEGKEENIAVIVGTVTDDKRIQEVPAMKVTALRFTETARARIVNAGGECLTFDQLALRAPLGENTVLLRGPKNAREAVRHFGKAPGVPHSHTKPYVRSKGRKFEKARGRRNSRGFKV >Sspon.07G0013800-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7A:49905922:49908114:1 gene:Sspon.07G0013800-1A transcript:Sspon.07G0013800-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFQPQRWGLATAGRPAPSWHRHSSRPTSEAAAGRQDEKPTLTDDVLLRLLSDGVTGGRPRPPTHILQSAVPTPPFPHSGKGDIKDPEHPYSLEQLIVVTEDSIALNDESNHVRVNFTPTVEHCNMATIIGLCIRVKLVRSLPPRYKYYSPGLQIADGYFSVLAKIPKCEMQRPVRFILYVMAVHS >Sspon.05G0015000-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:55402089:55404280:-1 gene:Sspon.05G0015000-2B transcript:Sspon.05G0015000-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRERAELLKGQVRHLFNASLEALDVADLVTYVDTLERLGLDNHFREVIGAALNRIRAEEPESHGLHIVALRFRLLRQHGIWVSAGISVKCRWWRDLYDTVKLKYARDRMVEIYFWTCGMLHEEEYSLARMFFAKTFGMVSLMDDTFDVHATLEECHKLKEAMQ >Sspon.03G0025680-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:466053:466983:-1 gene:Sspon.03G0025680-1B transcript:Sspon.03G0025680-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MWMWCSRKRMRMRSNNDLLLAIVILLAGACASAGPAAGEGYMGGGPWQSAHATLYGGSDASGTMGGACGYGNLYSAGYGVETGALSTPLFNNGLTCGACFQIKCSSSSSGGGGGCHPGSPSVVITATNFCPPDYALPSDAGGWCNPPRHHFDLSMPAFLRIADYRAGIVPVTYRRVACRKSGGIRFSVNGFRYFNLVLISNVGGAGDVVRAAVKASHTEWLPLARNWGQNWQCSSILVGGALSFRVTTSDRRTLTSWNVAGPAWRFGQTFTAGKNFRIAAPPLGGPI >Sspon.04G0037380-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4D:69715954:69718024:1 gene:Sspon.04G0037380-1D transcript:Sspon.04G0037380-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AKKNSGSDASSDTEHVKYLEVLESLPDKKGAASETSCAVREANTNKIEARSEERFVPNRMVAAAHSQSGFSFDDNQTTCTPSCTMKLEPALRDDSREVREAKYRRIRLEEKGEAKQPARVRDRSPMPVVNSIPSNAYPVEGPSECHMKPGFIYANCEKGHLSDRNSDCPSTRVSGLEKDRTELLRMLDELRDQVQRSCEIADKSSVNASTNRMVDPASSYNPHERLSRLRYGSPQLQRNGSQRSPSLNGQAPVFLLPILQYLYSKISMDTGNQLHTWELLATQLPHIHGETSIIISMALPKNSLLLGKDEYKLRCGSCSHAIVVRLDGSRLDVSAPTPVSHLSPASKNCSNNGQGSNGQNVDERLLPSYSFSVGSHCSQEKDLPSNSSEADKMQCISSSVSISEDENSPTRSNSQKNSSSSRDLPPDAEVVIRVPSLPLRDHFGYSPSERVVDGSGKGSRSTRSEHEKGVLTESFKPNKVKDVPVASVLDPSDDEYDDPEYSQDPSDGAQYVDHPRATKSSDSFFSSLIKKSFKINGGIGNGRAKVFINGYPISDRAVRKAEKIAGPIYPGEYWY >Sspon.01G0002220-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:6117469:6117807:-1 gene:Sspon.01G0002220-3D transcript:Sspon.01G0002220-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAWTRKATASAKALASCVPGAIRDDDGKPQRIPRGYLPLVLVRDDGEGGSESETRVLVRVRDLEEPCMAALLEMAEQQFGYGQQGVLKVPCDAQRFDHVVNMARKSKVAST >Sspon.02G0016100-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:44171435:44173121:-1 gene:Sspon.02G0016100-1A transcript:Sspon.02G0016100-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GRVSTSDVFGVKDSDLVPGKYEGGLKLWEGSLDLVKALNSDIKEDKLSLEGKHVLELGCGHGLPGIFAGLKGADLIHFQDFNAEVLRCLTIPNVKVNLFKDSPEGTCTSRIVGFFAGDWSEMDKLLLWGDAEQGKTASGDTEGKMCNGYDIILMAETVYALSSLPNLYRLIKKCLRYPGGVVYMAGKKHYFGVGGGTRQFLRLVEKDEEEN >Sspon.03G0013390-3C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3C:54743626:54748443:-1 gene:Sspon.03G0013390-3C transcript:Sspon.03G0013390-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAGQSQGSVASSTRRRQEADLAATEKRERAAADAVAAAARASRLAAARAEVEAATAADAVHAAAAEVEVLRGSNSSSIIADDNADADLELLEREASRGRAAQWTAAHAHERGSSPNRRRHAGGTPEGGAHGGGAPGGGAHGGGGWVEGERGLHRQRGSLPVRYDDVDYDQDRRALDALIVAVPPEMQFSLTSKRTAKEAWDAIAAARIGSDHTYKSTLQALRKEWENLAFNPGEDVDDFALRLNTLLQKLVQFGDDTYGEERAVEKIFRCVPEKYKQMARSIESLLDLSTMTIEALGRLKVVDSDEPQRLSGPVTIGGKLLLTREQWAAGQGDRKKGEPSSTTGGRKRSKPRKDAQAGARGRAERDDRGGAQGGAAGKQKPARDDPCYNCGKLGHWAKDCRQPRRGQAHVAQAEEQPALFIAHASIELPPAAPAATALLHLDEPKAHALLDDSSGNDKTDRWCLDTGVTHHMTGRREFFSELDSGVRGTVKFGDASAVEIKGVGSVVFVAKTGEHKLLTGVYYIPALKNSIISLGQLDENGSPRRDDDAWRWHERFGHLNFEAMRQLGSKEMVWGMPHVDHVEQFCDTCEKLELVHGDLCGPVTPATPGGRRYFLLLVDDVSRYMWAVLLDAKAAAADAIKRIQAAAKAECGRKLRVLRTDNGGEFTAAEFVAYCADEGIHRHFSAPYTPQQNGVVERRNQTVVATARALLKQRGMPAIYWGEAVMTAVHLLNRSPTKTLDGKTPYEAWHGRKPAVSHLRVFGYLAFVKELNHVGKIDDRSLPGVFIGYAVGAKAYRVLDPATRRVRITRDVVFDEGRSWTWGKMVDDGSTPTTSDFVVDYVHFKEAGGASSTSSPSSPTPPPRSPPPSASPSPPPPPALATLAHDEQRTVEFATPLSNDEDRIDAYHGGEPLRYRTVDNLLGEQPVPGLAQHDFEVELHLAQDDGEPRSFAEVERDAAWRAAMQMEMDAVERNKTWELADLPVSHHTISLKWVFKLKKNETGEVIKHKARLVARGFVQQEGIDFDDAFAPIARMESVRLLALAAQEGWRVHHMDVKSAFLNGDLKEEVYVHQPPGFAILGKEGKMLRLCKALYGLRQAPRAWNAKLHSTLKVMGFEQSPHEAAIYRRGSGGKALLVGVYVDDLVITGTKEVEIEAFKEKMRATFQMSDLGPLSFYLGIEVHQDNSGTSLRQTAYAKRIVELGGLTGYNPAHTPMEERLRLSRESTEEVDATQYRRIVGSLRYLVHTRPDLAFAVGYVSRFMQRPTAEYQQAVKWILRYVAGTSDYGLHYSSCPSAAHFIEYNDSDHAGDIDTSKSTSGTLFFLGKCPISWQSVKQHVVALSSCEAEYIAATTAATQALCKHIRVRYHFIRSCLEEGSVRANYINTQDQLADLLTKSLERVKFQELRARIGMAQIPHKEPHKT >Sspon.05G0032200-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:15371749:15376655:1 gene:Sspon.05G0032200-1C transcript:Sspon.05G0032200-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding FSSRSSVLGDRLATSSYRYRCRHTRFPRQQGVPTSKDPIKSKERGTPFPRHFRPSDFPRSRACLPGWVLGAGAAGREHRWPRRSPALESLLSVNSSEMRSISDRIPGSSLRVPASPSRFSLSSSPPSRNEPLNLSLEHVVKLTNNFTPALMIGEGYFGKVYRAALRDGRVVAIKRAKKENFVSLRAEFSNEVALLKNIEHKNLVQLLGYIDKANERILITEYVSNGTLREHLDGQHGLILGFNQRLEIAIDVAHGLTYLHLYAEKPIIHRDVKSSNILLTEGFMAKVADFGFARTGPTEPGQSQIQTDVRGTAGYVDPEYLRTNNLTIKSDVFSYGILLLEILSGRRPIEARRGPTERITVRWAFNKYQRGNVRDILDPMLTEAVNEDILNKIFDVAFQCVAPTREDRPSMKEVVERLWKIRRDYTKIQRIAELTL >Sspon.07G0028640-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7C:82230416:82231288:-1 gene:Sspon.07G0028640-2C transcript:Sspon.07G0028640-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHIIVGFLSEMQRQLNGQDLGYFNILKEDYFLAIAKQSIRKLLRAADSTCIQGAPPIDPVYMDTYTAPKPDLSKMVNLVMMYQALNYSMPTILALLSGQTKESILAEGEGLIHRLSDMFVKLSVEQNDLVIARRLDISDTGVHPFTRHIMDHVQMLVQHKSTVCLMLKGDLEAFHELVAQLISSLESMLDRNSKGLHLPGQQQMFLLNNLHFVLQEAKIEKDLGRILGEGWLLQRHDQFDMFIAGYVDISWTPVISCVLRRTRVRETLWPPAHQLFDKFTSLFETTYRVH >Sspon.05G0018550-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:76184390:76188673:-1 gene:Sspon.05G0018550-3C transcript:Sspon.05G0018550-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPYFLGFILPFVASLLLTKRKSEKKRGVPANVGGEPGCAIRNHRFERPIETRWEGITTLAELFEQSCKQFACMPLFGTRKLIAREMVVAADGRSFEKLHLGNYEWKSYADAFKTVCNFASGLLRIGHLKDERVAIFSDTRAEWQIALQTEVTTVVCGRKELKKLIDISGQLDTVKHVIYINEEGVSAEVSLAQNCTSWTVKSFEEVESIGLQRPVEENLPLPSDTAVIMYTSGSTGMPKGVMMSHQNVLAVVSSVMTIVPGLGKKDVYLAYLPLAHILELAAEAIITGVGASIGYGSPLTLTDTSNKIKKGTQGDASVLKPTLMTAVPAILDRVRDGVRKNVDAKGGLAKRLFDIAYSRRLAAVNGSWLGAWGLEKLLWDMLVFQKVRAILGGRIRFILAGGAPLSGDTQRFINICLGDILNCVVTLIASVAHFVSQIWIQTFKPIVSLYLQAPISQGYGLTETCAGGTFSEYDETSVGRVGPPLPCSYIKLVDWAEGGYLTTDVPMPRGEIVIGGPNVTKGYFKNEAKTNEVYKVDERGMWWFYSGDIGRLHPDGCLEIIDRKKDIVKLQHGEYVSLGKVEAALSVCSYVDQIMIHADPFHNYCVALIVAAQSELKNWASKQGMTYSDFSDLCQKQGTVKEVLQSLVKAAKQARLEKFEIPAKIKLIPEPWTPESGLVTAALKLKREVIKKAYEMDLAQLYS >Sspon.02G0016410-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:39142489:39142845:1 gene:Sspon.02G0016410-1P transcript:Sspon.02G0016410-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MYHKNTVLGAADRRLDGEFDHQQMRRVLVAGLWCTHHDQSQRPLIAQAMDLLRRQDAELPILAEMRSPATASVRSLEEIAYGDLDLSAEDSGLEDSSADTAYHTSEVSTLPARMLAEE >Sspon.01G0007940-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:31474250:31474870:-1 gene:Sspon.01G0007940-2P transcript:Sspon.01G0007940-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LATPRGQGAAASPRPHETAELDAAEAAFVAAGESAEQRNRFLVLRLYEALNARDARRAQELLAPDLEWWFHGPPTRQHMMRLLTGADKNRGGDGGGGGGFVFSPRSVDAFGSTVIAEGADETRQLYWVHAWTVGPDGVITQLREYFNTDLTVTLLSGAASSAKKADIAGAPPKQQDAASASSSSSSSPTAAAGPKCLWQSRRADSAH >Sspon.06G0012710-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:50636249:50639505:1 gene:Sspon.06G0012710-3D transcript:Sspon.06G0012710-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKPGEASAAPAPASAPPPMAAAAVAVPVTAAAPEATVSFQQPPAERGSSSAVLVPPLATAVAGGGGAMALGPVMMKVPKKRGRPRKYGPDGSLIRPLNATPISASAPMPTSVAPGQYTPASADVTMKVISFSQQGPRAICILSANGVISNVTLRQPDSSGGTLTYEGRFELLSLSGSFMPTENSGTRSRSGGMSVSLASPDGRVVGGGVAGLLVAASPVQIVVGSFLPSYQMEQKNKKPRVDVAPATVPQTPPAVPISSTDTHSSEQGQQSSAAQRGMTSGGAYSVDQSWASPAQQPMAEVSRTPSSGDLKMTASGS >Sspon.05G0007160-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:13834751:13844329:1 gene:Sspon.05G0007160-3C transcript:Sspon.05G0007160-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGVAARSRKEMAAGVVIVLSLLLAPELLPRASAVDRSEFPEDFLFGTSTSAYQIEGGYLEGGKGLSNWDVFAHKRGTIKDGSNGDTADDHYHRHMEDINLMHSLGVNAYRFSIAWARILPRGRFGEVNPDGVAFYNAIIDALLQKGMQPFVTIYHYDIPHELDKRYGGWLSPEIQKDFGYFADVCFKMFGDRVKFWTTFNEPNLFTKLSYMYGRYAPGRCSKPFGNCAFGNSSAEPYIAGHNIILSHAKAARIYRKKYQGKQGGHIGITVCSRWYEPFQNSSADISAVERVLSFNGPWFLDPIIHGDYPLEMYKILGSSLPEFTSKQKKKLQATKLDFIGLNHYTTSYLKDCIFSQCELDPFEGEAQVLTSAERDGVLIGKRTGSPMIYTVPYGMEKLVMYYKERYNNTPIYITENGYPQASNGGMIAKDFTNDTGRVDYLQGYLTFLASAIRKGADVRGYFVWSLLDNFEWNSGYTQRFGLYHVDYKTKKRTPKLSAKWYRGYLEGNKGLSNWDIFTHRQGKVEDGTNGDTADDHYHRYMEDIELIHSLGVNSYRFSIAWARILPKGRFGHVNLHGVAFYNALIDALLQRGIEPFVTISHFDIPYELDKRYGGWLSPEIRRDFGYLADVCFRMFGDRVKFWITFNEPNMFTKLGYIYGRFPPGHCSRPFGNCTFGNSSTEPYIAGHNMVLSHANVVNIYKKKYQGKQGGRIGITVQSRWYEPFRNTPTDILAVFGPHNSWRLPSAMRKILGPNLPEFTLKQKKILQASKLDFIGLNHYSTSYLKDCISLSSPCELDPFDGDAKISTSAERDGILIGERTGSPYINTVPYGIEKVVMYFKTRYNNTPIYVTENGYSQASNSSMSAKDFTNDTGRVNYLQGYLTSLASAIRKGADVRGYFVWSLLDNFEWNSGYTQRFGLYYVDYNTQKRTPKLSSRWYREFLKGSPLRTRPRNGNSHYCSRKISDM >Sspon.02G0024570-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:84705259:84706593:-1 gene:Sspon.02G0024570-1A transcript:Sspon.02G0024570-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRPRRSSIKYIENNNSRSISFSKRRDGLFKMAANLFTLTGARIAIVLEAENGKMSGFSAPSFGPIMDSFLSGEEGGAPEGPDEQEKDMIAKLQKEIMQLEESKAMREQRAAESLARFKAIRESSRVGKLIYSNVDDLCVDELNELLRGLARIGQEIRALLAPPPWVEIGGSLRGPPPLRLFSPPHSQVQQPPRRLPWVSSQSQPSLPVLRSSCTLPESIRPQVSVMSPPVQPSSLQAISRMLLRHTQLSQRPASLMVRPQAPVMMRLPNEAQQYNYQILGVDITGNTSGHFSQTSMLSSLPPPPPPLPTSALQIPSQRSVEVEVPFQALNLNPDVPSQSHANPHSILENDDEVSHFFGGIGGTTPTHSFTGNEQPSLIPCGAIGGHHAPGCHNVPCPSGSNGETYEWLSKTLLESSSEGGSSSDDDGAGDSLGNMDWFGDDN >Sspon.01G0010390-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:29017025:29019539:1 gene:Sspon.01G0010390-1A transcript:Sspon.01G0010390-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/arginine-rich SC35-like splicing factor SCL28 [Source:Projected from Arabidopsis thaliana (AT5G18810) UniProtKB/Swiss-Prot;Acc:Q1PDV2] MAGYRSRSPSQSYSPRRRYSRSPPRRKRYDDPRDRYRWAGAGGGGDRRGYGRPSAQSGLLIRNISLTARPEDIRVPFEQFGPIKDVYLPRNFHTRELRGFGFVKFRYPEDAAVAKQEMNHQVIGGREISIVYAEENRKTPQEMRMRTRTSGRYMEGRYTRRSLSRSPRSRSHSYSPPPSPVRRAFRFELNFFQIN >Sspon.02G0021830-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:66312529:66314838:-1 gene:Sspon.02G0021830-2D transcript:Sspon.02G0021830-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SVFEAERPGYKFSVQQRIGTYFFKGILYGSVGFFCGLVGQGIANLIMTAKRSVKKSEDDVPVPPLLKTSALWGAFLAVSSNTRYQIINGLERLVEASPVAKRVPAASLAFTVGVRFANNAGWL >Sspon.01G0040900-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:43888183:43888839:-1 gene:Sspon.01G0040900-1B transcript:Sspon.01G0040900-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RRDLFLSLLKLNPVHKKVPVLIHNGKPICESLVIVQYVDELFAGRSILPTDPYERATARFWAAYIEDKVCPAWIGILRAQAEEERAEKVKETLAAIEHVEVAFAKCSNGNAFFGGDSIGYLDIVLGSFLFWFEALRRMYGLEIINASKTPLLAAWAERFGGSAEAKVVVPEADKAVQYANKLHAAAAVKLVSSE >Sspon.04G0020340-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:74186079:74188971:-1 gene:Sspon.04G0020340-1P transcript:Sspon.04G0020340-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGNGYVWIATDWLSAYLDANSSVPAETINGMQGVLTVRPHTPNSKMKSNLVSRWSSLSKKYNHSDLRISAYGFYVYDSVWTVARALDAFFDDSGRISFTNDSRLRDETGGTLHLEAMSIFDMGNKLLDKIRNVNFTGVSGPVQFNAQFELIHPAYDIISIIGNGMRTIGFWSNYTRLLSTVLPEDLYLKPTNTSLANEQLYDVIWPGQTAQKPRGWAFPSNAKELKIGVPNRFSFKEFVSQDNATGSMKGYCIDVFTQALSLLPYPVTYRFIPFGNGTKNPDYDELVQMVEDNVRENTMSTLGRGVLIIWLFVVLIIQSSYTASLTSILTVQQLETSIRGIDDLKDSDYPIGFQVGSFAEEYMVKELNISRSRLKALGSPEEYAENLKLGPKKGGVMAIVDERSYVELFLSTYCKIAVAGSDFTSTGWGFNSLYQAFPRDSPLQVDLSTAILTLSENGELQRIHDKWLKTGDCSTENTEFVDSNQLRLESFTGLFLICGAACVLALLIYFGITLRQYLRHEQPGSAISVDAGSSTSKRSLRKFISFVDDRQPPPKKKRTMSLSRSSMPTTPMSNRPGTEIDIES >Sspon.04G0010480-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:31715954:31721301:-1 gene:Sspon.04G0010480-1A transcript:Sspon.04G0010480-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAPAAGKGKRKRHLSEDDVYILLHRYAPGTILTALQEVAQHAEGRRIDWRAVVGKSATGITSAREYQMLWRHFAYHHDLEDSVDAGDQPLGDDSDLELELEPNPIPTKEALSEVSALAKALISSREQASGHRINLDPPVLYTQNEKIVRVPSEKQLAQSHRITNVTGAVANSKQSSHIGPSPGHLDPNGASKKRKKPKAWSKEEDADLAAGVQKYGEGNWEDILHKCNFDSTRTLDQLSQRWALKRPGGSTKPASTKHASVGSEERSAALKAFSLAVGPMRRSGAYQQGIQHKSTAFAPKMLEVRSAATPSPAPALALPVPVPVAMPLRVAAQVQPPLHQGQQAPAQAAQPKSSNASNKTRKKQTAQPNPTIGPSSIQAAAIAAGGRLATASTAAINQSGPLAGARSLETKKALSTTLAPVPCEEDDSELCAITIDDLFPEDAKQPEVVDAKQPGVVNAKQPETVDTKAKQPETTGPKAKQPENADPKAMQQETMDPKSKQPDTLEVEMKVEIVDPKDMLLEFDQYVASQGGHSNTDDLNKSECTNSASQAQGLVGSQKPQNLISADGKGNPVTVVEKGKPATAGVAATGKKTKIPVSHSAAGTPRGIVDTVNANAPNKTLVRRAATPVPAGCQAPPLKHAVNTKGSQMTISNATVVSSGVAASSQTSVAAKGASSANPPSSSSQAKPNSVAVNGANRVVNPPSSSQASAAVNDANRAAIALSSSQAGATVNGANKAANPSPPSSQASTAVNGAANKGNPPAAGRQ >Sspon.03G0000040-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:118653:124262:-1 gene:Sspon.03G0000040-1A transcript:Sspon.03G0000040-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAGGGAAGEGEWLKVAQLRAMVEAQDPRAKEVDNLTLRRFLRARDHDVDKASAMFLKFLKWRREAAPGGSVPEEQVRRELAQDKVCMGGVDRAGRPFLVAFPARHFSAGRDMAEFKSTIPRGQEKFLCIVDLKGWGYSNCDIRAYIAAIEIMQNYYPERLGKALMIHVPYIFMKAWKMIYPFIDTNTRDKFVFVEDKSLQETLRREIDENQLPEFLGGKMDVIPLKDYGVQQHPQAV >Sspon.06G0002670-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:8478128:8479918:1 gene:Sspon.06G0002670-1A transcript:Sspon.06G0002670-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHVSPEDAGQERVMAAAKQILKSLAVSKNAADDMMHFLSTFDPRLHPLSSPETGEEAASGADDDQEELEEEIAAAEEVILRGNSSSPSSGGMIADYLYAVDDAIAASGRSARAAAAVHAAMPRLEEEARSLLSSSSSLRRLSLSSDDLGDATPDASPRHDGTLSPTAAASVGAVAARMLRAGYGPELAQVYVATRRDALAESIALLGVEAVAIEEVLRMEWSALDQRMRRWSHAVRAVVRTFLADERRLCDEVFASDEDLGNECFADVARGCVLQLLAFADAVAVSPRATEKLYRTLGMYEALADVRPELEALFPDDDAREFFAGEVSSTVQQLGSTVRHTIEEFSHAIHGEASRKPVHGGEIHPMTRYVLNYCSLLADCRGTLDAVLGDAGLDDTATTAADGAAASTPSARCIRELLTLLLRNIDDKSRLYDDAGLQNIFLMNNLYYVVQKVRESAPLRELVGDDWLRRHRGQIRQYETGYLRASWTAVLSQLRRDDGASARPPAGHRAPSGPSAKSFNAAFQELYRTQTAWKVADAQLREELRIAVSERLIPAYRAFLGQGSRHPARHVKCSLEDLEDYMLDFFEGAQKFVRW >Sspon.03G0009650-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:32790968:32799747:1 gene:Sspon.03G0009650-2B transcript:Sspon.03G0009650-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGGGGGGGARGPSGPVPASARKLVQGLKEIVNRPDAEIYAALRECDMDPDEAVSRLLSQDTFQEVKSKRDKKKEVKETPEPRSRGASNSSRSSRGGVDRAGRSSSVQSGSSGTDYMASRSSILGPAVPATNAMQKPTVPSLPTNKDVVPNGSVGAPQSSSGFQHNWFGVPGQMSMADIVKMGRPQVRSSGKPMAAADTSYAGQTPSLSSSLNQNSKQSASTALPTTFDQGFPALPDPIPHTVNSSHGSAGNNHTHENDWFPQDELPSEAQSTGIEASGDQSLSVASLDQSMLVADAAYSQENSHAEENNSTAVKATLSSERHLEIVEEDNHFNDGLLQNSSAYQAQVHSYVDNEVGISNVDAESAAANFQHLSLQNEDIAATKSAEDNPAVILPDHLQAANADCAHLSFGSFESGAFSGLLSSKVPKGSLEDDDVHIPDESPSVNRIDVRNQDYYDDDALNSSANEDVETRIGTNMDNIDGPSVSESDELRQGAIEVPGLQYDLPSVSSHAYSNTTQPSTMEDPQGNTHAQPLSHFSGLLQANALPNNLLGSNLTPLREFDFSQLLQTQSATKYNPSVAPNNLPAISMQETLKPGGFPNTQSTQHVPSTSIPSGLPLPQQLPVYSQPTLPLGPFTSLVGYPYLPQNYYLPSAAFQQAYSSNGPFHQSAAPAVPGAGMKYSMPQYKSSPPASSLPQPSSLSGYGGFGNANNITGNFSLNQGAPSAPTTLGFDEALGTQFKDPNHYAALQQSDNSAIWLHGGAGSRTVSAVPPGNFYGFQGQSQQGGFRQTHQPSQYGGLGYPSFYQSQASLPQEHPQNPTEGGLNNPQGVPSQPSHQLWQHSY >Sspon.05G0001770-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:1403370:1408752:1 gene:Sspon.05G0001770-2B transcript:Sspon.05G0001770-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKQRRSRASSSSDAAVPSGHVAVSVQGAAPRRFLVRVAHLSNPAFLELLRQAEEEYGFLAAAGPIALPCDEDRFLDVLHCVSSSASGRSCVLAAARRGRGDARPLLLGMAVEKLYPAVYRSSSIVTVHCPAADVWCTHGHAKQRHSPRRHSAIAIYSSYIYMATPSLPIPQPRLQLKAKQKPEPPRSKEARHRPLELSRPPSMCKVVITIPSLVRLHRTLRRWRSRAAEAASSSPSSSVAVPAGHVAVSVQGTAPSSGPRRFVVRVAHLSHPAFLELLRQAEEEYGFPAAPGPIALPCDEDHFLDVLHRVSSSASSCCCCGPVAARRGRGDARPLLQGMAVEKLV >Sspon.04G0014860-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4A:55692328:55693890:-1 gene:Sspon.04G0014860-1A transcript:Sspon.04G0014860-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADCVTRVWALAIATAACIGLPSALVYAIVRIAAARRYGAVFALGLVLVFWVTVSAAYYPRVCADLVPWLRFQRRARGRGRGHGQGEPSLLVPQRSWFVTVDVFPHPRQAPAAAVARGGARVRADDVVSSPSPYPYEHQRVPLSQRYGDRGGGMFPWDRASTSHGDRASTSRMMMAALPREPPAARGRARVGTDDGDDVPRPPCEEQSDGEPSKYCAICLADVDTEEMAKRLPLCLHVFHRHCIDQWLQGHSTCPICRCRQRAQGEPSPMVPQRSSFVTNDVFPRQAPAAAARGGARVRADDVSSPSPYPYEHQRVPLAQRYGDRGGRMMGAFPWEAPPTRGVARAGGADHDVPPPPPPALYPSYPCEQRRVPLAQASTSRMMMAALPREPPAVRGKARASADDDDDVPRPPCEQQNDCGPSKYCAICLAGVDDEETAKRLPLCLHVFHRHCIDQWLQGHVECPQVQPPSSLALARVLTGGEGRVFSEGRRTRRRVGDTSCVMKYATYEGKKIILQVPA >Sspon.02G0017760-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:19674216:19676065:-1 gene:Sspon.02G0017760-1P transcript:Sspon.02G0017760-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQMLTMITTVDDLNYPEKTETYYIVNAPYVFSACWKVVKPLLQERTKKKIQVLYGSGRDELLKVMDHESLPHFCKREGSGSSRDSLDGVDCYSYDHPFHQQLYSYMRQQYLNQDSLGPRKQGSVHVDVPSPGLEEVKIAETIKAEVQNLRGSNGLTHSFNSIQIEGP >Sspon.01G0038460-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1C:26209204:26209380:-1 gene:Sspon.01G0038460-2C transcript:Sspon.01G0038460-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDIDSSCSTPFASAPSSPGRPHAIGGYFFSAPASPIHHLLLSASCRPPRPPAPVAGV >Sspon.01G0007360-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1A:20298855:20300573:-1 gene:Sspon.01G0007360-1A transcript:Sspon.01G0007360-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKAHVLVLPMPCQGHVTPLMELSHRLVDQGFEVTFINTDVDHALVVAALEASGGGGTAALGGGIHLASIPDGLADDEDRKDINKLVDAYSRHMPSYLEGLLADIEAAGRPRAKWLVGDVNMGWSFEVAKKFGIRVVSFWAAATACLAFMLKIPKLIEEGLISDKGLPVRQEMFQLAPGMPPLHSSQLSWNNAGEPEGQHIIFELVTRNNKLNELAEMVVSNSFHEAEAGAFNLFPSILPIGPLFADPAFRKPVGHFLPEDERCIKWLDAQPNASVVYVAFGSMTIFDARQFEELAEGLELTGRPFLWVVRPDFTPGLSKAWLHEFRDRVGGRGMIVSWCPQQQVLAHRAVACFVSHCGWNSTMEGVRNGVPFLCWPYFCDQYLNRSYIINVWRTGLAVTPDADGIVSKEELRSKVEQVVGDAEIKDRARVFKDAARRCIADGGSSDDNFKKLVNLLSE >Sspon.03G0006420-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:33202800:33205140:1 gene:Sspon.03G0006420-3C transcript:Sspon.03G0006420-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding PMPSSTIRSISITVSDDDGAAAAPTRRPRAVRRKAAARSLGQRAVRLVARWWPVLLLLPAVALLLFEASRLRASSPGPAPPVSSLGRLDPTTHLVHGVRAACLKLLSPKSLANLAFPEGTRLGSVVNRITYKSDDNDYDTYHSEANSTYLLQHAEATRFNLFTGFQTLAEREDSFKQVNETVSVHCGFYSDNGGFKISEEDRRYMRACKVVVSTCAFGGGDDLYQPIGMDNSSIGQVCYVAFWDEVTLSTQEAEGKIIGDDGMIGRWRIIVVRSLPFVDQRLNGKIPQMLTHRLFPEARYSIWVDSKYQFRRDPIGVLEALLWRTNSTFAISEHGARSNIYDEGKAIVQKHKATPEEVEVQLTQYRQDGMPDTKRLHGLTALAEASVIVRELTPAPNHFMCAWFNEVVRFTSRDQLSFPYVLWRLNMHGMSMFPVCTRRDLVNSLGHTRKVKPLTQTNPESS >Sspon.04G0036570-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4D:34957494:34957931:-1 gene:Sspon.04G0036570-1D transcript:Sspon.04G0036570-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPSKPCIVAASALVLLLELSSVVMATVVTTCKAAAAIDKSVNYDFCVLELSKHHESPDADTWGLAKVATGVGAANAEKAVADIKAKLDQPGMNGRTRSALGQCQKLYHDVDFAFLRAHDTINNRNYVAGKEQLGLAVSLAHQCDD >Sspon.03G0023310-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:71588864:71592169:1 gene:Sspon.03G0023310-1A transcript:Sspon.03G0023310-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTVLLMKVQWGFAFFDVANNIFDMPVNMIWAHFTTPQATHRVVYFLDLIKFGDKSAVWCSDGSGESFADLVKEYSSRAKRFIVEDEKIRRLIKSNAICALGFKENALPFLISEFTYKITISPRCPLPLCKVFKVLMKKYQIEIPTEAVDRDFLTYAGTLQRVEELRVCRCTEMRKACAKLVPGISGMIKDNFRYVEVMAKILTPWLWSTTAGEPWFTPDEMQKIVAKDAAAGEAWKKVEDDHELAAMIKDNLRDYRGFSKSEDFFLQKLRSYEAKAKRGQKRSHTEMAQQEAVEARLLKGGRERIVLMSLKKNEVLPTTQPFAGSNS >Sspon.02G0011010-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:29660868:29662289:-1 gene:Sspon.02G0011010-1A transcript:Sspon.02G0011010-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPLLTQKIVKKRVKQFKRPHLDRYKCLKPSWRRPKGIDSRVRRKFKGCTLMPNIGYGSDKKTRHYLPNKFKKFVVHNVSELELLMMHNRTYCAEIAHNVSTKKRKEIVERAAQLDIVVTNKLARLRSQEDE >Sspon.03G0013300-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:48595982:48620736:-1 gene:Sspon.03G0013300-2B transcript:Sspon.03G0013300-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLGAEVIELSSDEDEEPVPSTTALARHAPSSPPDVKPHLLADVDVKPLLLPPPLHPPGYGALVPVKTEEPVPVPVATLTPPPRALPPPRLCRQFWKSGDYVVARRNPDADVPGGRNRLRINPKFLHSNATSHKWAFGAIAELLDNAIDEVNSGATFVCVNKFKNPRDGNPSLLVQDDGGGMDPEALRRCMSFGFSDKQSDAFIGQYGNGFKTSTMRLGADVIVFTQNQNNWVPTRSIGLLSYTFLMETGCDDVLVPTVSRLSVRSNNNLYVQMLRHDQKLFSSNLAILLKWSPFASEAELLKQFDDMGDHGTKIIDILITGAHKKVKTNNPEKIASQNYVSTRLRYSLRAYASILYLHVPDTFRIILRGRDVEPHNIVNDLMYHECVLYKPQIAGLTEPFWKVANNSYGKGRGVVGILEANFIKPTHDKQDFEKSLKFYFFIILSNQNFDFLLLENLSDRPHVLQSSVITTIGFVKGAPDIDVQGFNPFWKVANNSYGKGRGVVGILEANFIKPTHDKQDFEKSVLYQRLEIRLKEMTYEYWDLHCHRVGYDNKKLPKATRAINRANHMNGGSSPISAPPRLLAADIPTSSCGIPRLSASAAREKINSLDSLSKSPMGLKRKFNPFSAMAGSADQDGLDHVDKVDVSQRKRFNEYKTLTLENDKLRNECLQYEESEKQLVLKEQKLRSEIAEAEKKYQELLVELRSLDVKKEK >Sspon.07G0007170-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:18905547:18908688:-1 gene:Sspon.07G0007170-1A transcript:Sspon.07G0007170-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MYHQHQGPSELFTTRTSFPMEQHLFLRGGNAQGDSGLVLSTDAKPRLKWTPELHQRFVDAVNQLGGAEKATPKTVMRLMGIPGLTLYHLKSHLQKYRLSKNLQAQANVSTSKNAIGCTSVADRVPGTSAATMSSTNVVPQAEKTIQIGEALQMQIEVQRQLNEQLEVQRHLQLRIEAQGKYLQAVLEQAQETLGKQNLGPANLEDAKIKISELVSQVSTECFSNAITDVKGSSSVHRLEPRQIQFVESSTNSYLSVAEGFIKEHRLQHHGVLKAYDDSSLFCRKRSHEHEAQFALNRSLSERRMAHLQNEAGYSKAEFGYESDTEMAHEYTAPQKNDGGSTTSSASGSKVDAEKLYLEEQNCARQAVEYPRESKLVDFEHPCSGKKLDLNTHNVDDTDQAYRHFDLNGFSWS >Sspon.07G0007090-4P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:12960924:12964342:1 gene:Sspon.07G0007090-4P transcript:Sspon.07G0007090-4P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding PARRSPFSRGGREAQPDRRAELGQLVAAVSFAYALSIAVVISKEMSFRSIVRDVRDGFGSLSRRSFEVTLASIYGLTGHHKGKTQSSSHVGDDSPSIIRESCWANLPPELIRDIIRRLEADESTWPARKHVVCFAAVCRTWREMCKEIVLSPEFCGKLTFPVSLKQPGPRDGNTMIQCFIKRNKSKSTYHLYLCLSNVVTSESGKFLLSAKRHRKTTCTEYTISMDSGNISRSSRTYIGKIRSNFLGTRFLIYDTQTPYNGAVVPPAGKTSRRFNSTKVSPKLPSVSYNIAQVSYELNVLGTRGPRRMRCIMHSIPASSVEPGGIVPGQPEQIVPRALEDSFRSTASFSQSFRSTTSLSKSIMDSSMDFNSARFSDIAGSSARFTGIPGSSARFTGIPGSSARFSDIAGGRLDNEEESETKERPLVLRNKPPRWHEQLQCWCLNFRGRVTIASVKNFQLIAATTPPPAGAPTPSQPAPSDPDKVILQFGKVARDMFTMDYRYPLSAFQAFAICLSSFDTKLACE >Sspon.05G0019810-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:85062631:85075710:-1 gene:Sspon.05G0019810-1A transcript:Sspon.05G0019810-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPKRKASARGRPRRNTRSTYATAPNPQGDPEVQDEEPMTAEVQLTLTPTPEQLLHQLQGELQRAQQERDRLAAAAAANQRAALAAQQLVETARSSWWSLRKIGDCSSPPTDDSCEEVLIPPRGVQRDIPVECPRLVGTLASFHKAYRHESTTILSPKQGKFQTRIAAVDADHGRYITPSGCSDLCEYVLLQPAGDARDQHLVLHCHRVSAETMQTRVHDPDALKNCPHGVCVYLHTVATFPHGCQSVTVLSSATIFSECTTTRRIGDPDAFILKSPQLSTDVLVGALLSKENMPQSPTSRRGETELVDVDPNRPAAPAPAAPPPPPPRMEDACFLWELRKYVLLQATLAASVTYSAGLSPPGGVWPDNDDSSSAGARLAGDPVLQVTYARRYETFFYFNATAFVASIVTINLLLMQSLSRHRGWLRALQAAMILDQLGLMGAYAAGSCRELAMSAYVVALVALVSSYVCAHVLLFALWALRRRGAGADDATPDEERGTVERSRKYLLIFATLVATVTYQAGLSTPGGFLSDSQDNDHLAGDPILRSRHPDRFMGFFYFNTTAFVASLVVIMLLMSRTVTHHGFRSCALWVCTGAALIGLTGAFAVGSSRSVKTSIYVVALVVAVLSYIGLQVLVFFCKPVENWIKSQDPRVRAVHDPQETAEADQLLQKSRMYLLLLGILAASVTYQAGLNPPGGFWQANAADGVHHYLAGDPVLHNTYPRRYLVFFYCNATAFVASLVILILLLSNIFSTQGIKYCALQVSMIMDLLGLIGAYAAGSCRQVSKSVYVSILVIPVFLYVGIHVMVFMLEVFPNIATWRKTVRNKLKESVPRWLKKLFELPPEEDENMKWKLEKRRKLLLLLAILAASLTYQAGLSPPGGFWQEKKTGHVVGNPVLNDNYRRRYMAFFYCNATAFVSSLAIIMLLVNRKLSARGIQSHALSVCVTLDLVGLMGAFVVGSSRKRLLSFFDVTEGEAGFILPHTTVNNGGPRDLWYENDCHLRAAMNPPGGLWDDGQTVQAGDPVLRSSHPNRYKAFFYCNATSFMASLVIMTARSSWWSLRKIGDCSSPPTDDSCEEVLIPPRGVQRDIPVECPRLVGTLASFHKAYRHESTTILSPKQGKFQTRIAAVDADHGRYTTPSGCSDLCEYVLLQPAGDARDQHLVLHCHRVSAETMQTRVHDPHALKNLPVSHRPQLSYYLLGMYHHAADRRSRRTSFLNHRSFPLTYSSELYFPKKTCRKTELVDVDPNRPAAPAPAAPPPPPPRMEDACFLWELRKYVLLQATLAASVTYSAGLSPPGGVWPDNDDSSSAGARLAGDPVLQVTYARRYETFFYFNATAFVASIVTINLLLMQSLSRHRGWLRALQAAMILDQLGLMGAYAAGSCRELAMSAYVVALVALVSSYVCAHVLLSRYDATPDEECGTVERSRKYLLIFAPSWAEHARRVPVGQPGQRPPRRGPHLRVTTRTASWVLLLQHHGVRGISGGHHAAHEPDVTHHGFRSCALWVCTGAALIGLTGAFAVGSSRSVKTSIYVVALVVAVLSYIGLQVLVFFCKPVENWVHNIQDTLQRYLRLDQIESQDPRVRAVHDPQETAEADQLLQKSRMYLLLLGILAASVTYQAGLNPPGGFWQAKAADGVHHYLAGDPVLHNTYPRRYLVFFYCNATAFVASLVILILLLSNIFSTQGIKYCALQVSMIMDLLGLIGAYAAGSCRQVSKSVYVSILVIPVFLYVGIHVMVFMLEVFPNIATWRKTVRNKLKESVPRWLKKLFELPPEEDENMKWKLEKRRKLLLLLAILAASLTYQAGLKKTGHVVGNPVLNDNYRRRYMAFFYCNATAFVSSLAIIMLLVNRKLSARGIQSHALSVCVTLDLVGLMGAFVVGSSRKVSTSIYVLILAFAVLVCITLQVVLVVSESVEGLLQRLLSFFDVTEGEAGFILPHTAVNNGGPRDLWYEKLPKYLLLLAALAATVTYGAAMNPPGGLWDDGPTGHVAGDPVLRSSHPNRYKAFFYCNATSFMASLVIMVLLLIKRVCREKQAILALHTAMILNLFGLMGAYAAGSCRRVRTSAYILALVIGVSVYIVVLVIVSIGVAKRLKGVMDELVERVIWCFSVEDL >Sspon.03G0040710-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:20756256:20759544:1 gene:Sspon.03G0040710-2D transcript:Sspon.03G0040710-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLRACCRLRRFLAPPPPQAPPPGQPLARGFNPATAHVLPFSRLFSSASAAAAIAPHDARDSGLGGSAYWAWIRAATESAPAPSPPQEEEDEGPARYIPVKAYFLSTSIDLKGMQAEHGNDIVPPSTRSLNYIALRYSEFPPEIMNIGVKDNRFCYRYVVVFQYGSAVLFNIADHEAEYYLDIIRKHASGWLPEMRKDDYAVVEKPSLTTWMKGGLDYIVLKSLDTDGIRIISSVLGQSIALDHYIRQVDDMVEEFTEINRVMEKTGNFTMQRKKLFQLVGKANSNLADVIIRLGLFDRSEIAWKNANYAQILEYLREEYELNQRFGSLDFKLKFVEL >Sspon.02G0017830-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:28113071:28115858:-1 gene:Sspon.02G0017830-3C transcript:Sspon.02G0017830-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCAASRLSGGGGGGDGGDPVAVCRDRKRLIKAAVERRFALAGAHAAYAAALRSVADALDVFVARHTAPAPILITLPTPSSSPPGSPKPAQVQVQGLPSPATPPPPQQEEEGEEEAPASPAAAEDGGGGVQATEMGCPYYYPSPATPPPPPPPAASAVGGWDFFNPFYGTEEVAPAISDEEMRAVREREGIPELEEAEEEEEGAKAVETKPPKAEASLGVLTPQEEAKDVCEMAANNGGLEVAVAPQGRELLAALKEVEELFAAAAEAGKEVSGMLEAATRVPELKENSSKIIHAITWHRSPSSVSSSYRSELGASSNSLSWTDKSETKSDIFEDYGGMKSGSHSQTLGRLYAWEKKLYEEVKAIDQIRQTYEKKCVQLRNQDAKGSELRCAEKTRTTVRDLYTRIWVSLRAAESISDRIQKLRDEELQPQLVELLQGFTKSWKIMVDSHETQRQIMFEVNSFTCPAYGKFCNDAQRHATLKLEVELRNWRSCFIIYFNAQKAYIEALDGWLSKFILTDTIRYSRGISSIAPDRAGAPL >Sspon.01G0037910-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:26854316:26860140:1 gene:Sspon.01G0037910-1B transcript:Sspon.01G0037910-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNLVGANLALTVMQVDRRYRRYREQMRAVAGSFEAVAGEQAAAAYTRLASRTISKHFRSLRDGVAAQMQAVRRALGEKGGDDVPATGMAKGETMPRLRVIDQCLRQHRAYQAGVLESQPWRPQRGLPERAVSILRAWLFEHFLHPYPSDVDKHILARQTGLSRNQVSNWFINARVRLWKPMVEEMYSEEMKDPQEGGSGACSNVNNSVNTSSYASELGQQQLGHGGASGVDGGERKPTRAQLLVHDAGSLASVVSIGSSSRDQQHISSINFGMMDHLDFDAYNDDPAAAGGPAGGFGAGGSGGVSLTLGLQQHADDPHGGVNIAFAGAAPSASHEFLFIAGGGGEQQMVAGGAVHPSHGHGHHGQFSAGMQGDGVASSHYHRGRLSAATGFQLLHDLAG >Sspon.05G0005270-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:16694637:16697750:-1 gene:Sspon.05G0005270-1A transcript:Sspon.05G0005270-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARAADENRRPAAGKPAPGVREMGSRRALTDIKNLVGAAPYPCAVAKKPTLQKSRRDEKKTALPSSRPMTRLGRVLAVLAPLTFLAGFDLIWVLLWLFRKFAASLASNQGQPECKPILTEYQPILTDPEPGVDQQKESIGDGTVDIDVELYEPVDDSDSDIDMVFALLICALAQKGMGFGHLCHGSGLLLCLAMQGETENKEMNQDESLMDIDSADSGNPLAATEYVEELYKFYRENEVAKSCVRPDYMSSQQDINSKMRAILIDWLIEVHYKFNLMDETLFLTVNIIDRFLEKEVVRRKKLQLVGVTAMLLACKYEEVSVPVVEDLVLISDRAYTKGQILEMEKLILNTLQFNMSVPTPYVFMKRFLKAADADKQLELVSFFMLELCLVEYQMLNYQPSHLAAAAVYTAQCAINRCPHWTKVCESHSRYTSDQLLECSRMMVDFHQKAGTGKLTGVHRKYSTYKFGCAAKTLPAQFLLESGGTPPPSGAI >Sspon.02G0031550-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2B:108621567:108623717:-1 gene:Sspon.02G0031550-2B transcript:Sspon.02G0031550-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Origin recognition complex subunit 3, Lateral root developmen [Source: Projected from Oryza sativa (Os10g0402200)] MSAPPCDTLPTAANNIQPFLVLHKAAASSVPSSRAKRQIQTSQPSSPNPKSVNRRHQPAGEECEDERDLEIYEQLRVEAFHRTWSKIQSTIDEVLRAINLKLFDQVLQWAKESFASVRAIAKLHHTEVQQPYPLLTDVICRRIPTAFILTKNAEFVDDITTFHDLAGHMKSIGCHVVKLSAAELSAKHGVGGCFRSLLRQLLSDVPDVADVSALASWYCEAENFDHPIIVIIDDLEQCSGDVLGELVMMLSEWVFKIPVFFVMGIATTLDAPKKLLSSEALQRLEPCKLTLGSPSDRLNALVEAVLVKPCAGFCISHEVALFLRNYFFRHDGTITSFITALRLACSKHFSMEPLSFLCIGMLEEDSEEFWHDKFESLPQAIRKYAFDLPSSKKANNSNNSCNMVEGLSRLLKLQKDWSSVLLCLYEAGRNDKVQLLDIFCEAVNPDLRTGNAPDSYLFASKETCENLLGVKSGSAKGFIAQVMNTIRYLPTEILLHVLEVWSIHLKGMSEINDRVKELQSTTIGADSVRAKRRSTANTGNGTVLLNEKAAALLQDVIRKYLVPVECLPFHEIICFKNVDILQSALIGNPRRMIQLDLLKSQSHLKCSCCSRSGAAVSGSLHDTSIMCNLAQEYGDVINLHDWYTAFEGIIKSTNSKAKRKSYSSPSKKKSKPTPPEAEGMIQARFCRAVTEMQITGLVRMPSKRRPDLVQRITFGP >Sspon.05G0007500-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:17342022:17345729:-1 gene:Sspon.05G0007500-2B transcript:Sspon.05G0007500-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRGRVYDQKKAMINLFDLSAGMSSTNVLTDRARTDGSPACRNKQVVKRTVDSAKVYANDKVGASNWSSSRNKSNASPLNVALEKEMSKELESKKKSPSVVARLMGLEEDLPGQEPALHSAKRNVKKRHLNGNLVETNNLHQHQEQYHSSMTTCDKPIGPKETVEFKDVYEVSEEPLRTYHLQDQTFPREMSSRSKRDIRMEIVRQKFMEAKRLATNEKLLHSKEFQEAVEVLSSNRDLFLKFLEEPNSTFSKQLPGLHRSPSPPQTKHITVLKPTKFVESECRREIRTHRINEENEHVMRRTHRRSHSAEVTLSQPTRIVVLKPSPGKPSRTMARLTPQATPARLTEQIGFYGGLEDDNYPPDGLHRRDESLLSSVYSNGYGGDESSFSRSEVDYIDEEDGNLSDSEIVSPVSRHSWDHFKRYNSPYSGSTFSRTSRSPESSVIREAKKRLSERWASVAYNEINQEKMQLPRSSSTLGEMLSLRGVKKEVGGMDSVSSGRPCDAENEMTLQATCITALRENEGDGQSSPKNLARSKSVPVSSSMFDNIAPNAPSSNCEGCETPNLATRSDKAKSSFKGIVSSFFFPKSKRQSKEKIILSSSSDGKVEVTCFGSMKPQGGHNIGAMPFCEDKDDSSATQTICSSKDIVSIEVPISSACPSEHLDGLRSGGLNGSCDKPSPTSVLDVSFEDSNINGSESSRSIPCSNERIALRSDAIESVTRSLSWEDMSSPSPLLDLTNLTPLSSVDNDELECVAFVQKIVSSAGLGDLQLGMVFTGWYLPDCPLDPALCDKLLDRKEEAAKSRERRSNQKLLFDYVNMALVEIGHDTLLCAYPWRQGQARSMAWKETLSQSLVEEVPQHMRDWLYGLGKFAVNENDDAGTILERIMQQEVEGRGWVKSMRWEVDEITEQIAGNMLEELVEEAADDLGICSPSPEMPMTIPNL >Sspon.02G0023520-4D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2D:73892483:73892968:-1 gene:Sspon.02G0023520-4D transcript:Sspon.02G0023520-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMSSVAFATAGARRAGAFPASSALAPRRRALVVRAQSGDVETTSPGLWDALAFSGPAPERINGRLAMVGFVSALAVEASRGGGLLSQAGSGSGLAWFAATAAVLSVASLVPLLKGDSAEARSGAVMSANAELWNGRFAMLGLVALALTEYHTGAPFINA >Sspon.01G0046410-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1C:87147264:87148280:-1 gene:Sspon.01G0046410-2C transcript:Sspon.01G0046410-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHFDTEYLQFEIDENEFERTRQLYERLLDRTKHLKVWISYAEFEASAGLGCEDSEGEEKKNEDGYQEQQMERVQKCRAIFERAFDYFRTIAPELKEERAMLLEEWLNKEVSFGDLGDVSLVQKKAPRKVKRKRPIPTEDGSTIAYEEYIDYIFPDEVALAPNLKILEAAYKWKKQKTGDDDE >Sspon.05G0037860-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:25837100:25838951:-1 gene:Sspon.05G0037860-1D transcript:Sspon.05G0037860-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLAGQFVNEMTSPRALLLLLLLLPLVLFLLLVRYARATTLLLVAKRTRDDHQTASRVSRRRPRRCPSSDTCTSSSALSRTSPSGASPGRTARTSCCSASAPCRCSSCRRAAPPRPCCARTTRVRVAAALSSREIVLYSPSDVGFAPHGDCWRRARKLITTHLLSVRRVQSFRHAREEEVSMVVMARIAEAAAVGAAVDVGELLDRLVHERPSSFRSEEGQNQLFREFVRDTTKLLGGFNVEDFFPFLAHFGVLSKLVRDKSERLRRRWDELLDRLIEDHESKYEAPALAANLNLQDVFVGGIDIDSSSSLLEFTMAELMRKPRVMNKLRAEVTRSSTSKGHEIVSEDNLKDDMAYLRAVTKESLRIYNVTPLLAPYLSTDSCSIDGYTIPAGVQVLINSWAIGRDARYWGEDAEEFVPERFMDGGSAVHVSFKGGDFEFLPFGSGRRMCAGMNFPMATVELMLANLVHRFDWGLPSGKESRDILCR >Sspon.02G0016510-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:48944363:48950284:-1 gene:Sspon.02G0016510-3C transcript:Sspon.02G0016510-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAKEAGPSSRAVLVTGGAGYIGSHAVLQLLTAGFRVVVLDSLANSSELVIRRLRSLAGADNAKNLAFHKVDIRDKDGLEKVFASTRFDAVIHFAGLKAVGESVQKPLLYYDHNVVGTINLLEVMAAHGCKKLVFSSSAAVYGSPKNSPCTEDFPLTPHNPYGRTKLMAEEICRDIYHSDPEWNIILLRYFNPVGAHPSGYLGEDPCGTPNNLMPFVQQIAVGRRPSLTIFGNNYATKDGTGVRDYIHVVDLAEGHLFALRKLFESSSNTGCEAYNLGTGKGTSVLEIVNAFEKASGKKIPLVMGQRRPGDAEILFSSPAKAERELHWKAKYGITEMCRDLWNWASKSPYGYAASESPKLN >Sspon.01G0053620-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:40047324:40047791:-1 gene:Sspon.01G0053620-1C transcript:Sspon.01G0053620-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQGDRFIPDRSAMDMEMAHYLLAEPRKDKEKAVLCHHPRRPTEGCSLRSCSTTGHGSSPSGTSHLNLRTSRQPLQLPPTTPSWPSSGDIFPRYMHLPMFFVFITWMAISDAARNTHQSLLMTDYYLNLLDSGSLLMTDYYLNLLD >Sspon.06G0000450-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6A:2233134:2240649:-1 gene:Sspon.06G0000450-1A transcript:Sspon.06G0000450-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQCPRPVGVQTFTAALRADAIGTAGGGQLAWVMATGSAHTNCATVCPGGGQCFPDVTGGVFWEEVVLHWGDDNRGMTPAFWADFLRLTQGGTLTNNASKNDCENANTHAHGDDHLVMLARLRRRWSLSGREGLGSSLPPAELARRDSGVFEGLKRLFFTTPKDGKETEANGGHSGDRTLDRTRSLFDRTRPVSVQRLRVSQCFDRTHSASGHCRPDASGRSGSLLDSNRTLALWRPPSRPFTSVRSETLANNTELNWPDAPAASGHFDHRVRGLGQFNDITRTGVSRQKALKAVRWTLVVYTSFAEYTANTAWLVMRPPNVAFDYLEVFAFANNGDPINGVREQWRVPATVAEIVMISIGLHRHLLRLVGACVAFGKHFIVFGFYASFGDHHDKAAIVLLDKHLASEHISLRPLSEKSIVFGFGILLLVLVSDGNGECLSIPIPVRRKKSLFPSPSELTGALSPPSPSPRGGKSPSGIPIPAYTLKILRSDAKEKSIVVVTSQVLIRSSNAYLLQETTACLGDARIAAWGCRALLPLGSHTWMLLCCRWEAKRGCTTVVGRSRDAPMGHLVDCSSARCVLASAHSPIGPSWSELFDRPAAAQLANARSPVGGRPAVEDERGTSPTPSFPRDEGNLNLEVYSRGGWTPLNQTPSKGDQSSIPFPPPN >Sspon.01G0022670-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:75699587:75700454:1 gene:Sspon.01G0022670-3C transcript:Sspon.01G0022670-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Inositol-phosphate phosphatase [Source:Projected from Arabidopsis thaliana (AT3G02870) UniProtKB/Swiss-Prot;Acc:Q9M8S8] MSEEQFLAVAVEAAKSAGEVIRKGFYQTKNVEHKGQVDLVTETDKACEDLVFNHLRKHFPDHKFIGEETSAALGATADLTDDPTWIVDPLDGTTNFVHGFPFVCVSIGLTIGKIPTVGVVFNPIMNE >Sspon.01G0041580-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:43680429:43684635:1 gene:Sspon.01G0041580-2C transcript:Sspon.01G0041580-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCWAPFPIARRTGRIYGFFFTSPSLVSLDGKREKETKLARRYATTRPRPRPRLAARRLSGRRGSMPPRTTASSRAPAFFAPHASSPFHPLATHPHPAIAAFPHAGFSHLADHPLLARAVHALAIRRALPLSAFHRNTLLAFYFRRGSSPAAALHLFDEMPHRTSSSWYTAVSGCVRCGLESTAFTLLRVMRERDVPLSGFALASLVTACERRGWQEGAACGAAIHALTHRAGLMGNVYIGTALLHLYGSETVLGDAPAERRFLDSAHGGIVVKRVDGRGIGSLSSYEEGRNSLCGSLEDEAAGLQVTAHVVVSGLLTHVSVANSLITMFGNLRRVQDAEKLFDQMEEDDLSDVVRASPPSTASHSCPNHLCPWVMDSWCPHHLSEEEELLLSALQLQRQLGHGNGGMQLMLESTEGKMRSHTGHGYGSSASCPGNSVKVVFIPALMATPVVCERPSRSSEVEGMLVITYTAT >Sspon.06G0010330-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:56965044:56965601:-1 gene:Sspon.06G0010330-1P transcript:Sspon.06G0010330-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AMALSPSSAATSPLPRQLLRYVSSQLVAALNRPAPTISLPRAPRGVDAAAAAAAAASPRLQAAGRDAPSPKGRPGAPRQGSGGHVHAAPAVATIARVAMPMRGPTPPGHPAQGSGGKVHAAPAAPAASMLMRGPAPPGPPAQGSGGKVHAVSLAMTARVLLQGPPPPGSPAEGAGGRGGNIHAVAS >Sspon.03G0004430-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:20590677:20594514:1 gene:Sspon.03G0004430-2B transcript:Sspon.03G0004430-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRAASRFLQRRLLSSGGKVLSEEEKAAENVYIKKMEQEKLEKLAHKGPSSGEQASSTTGSAASDVKAGASPTESASASVSSDKNRNYAVLAGTIAALSGLGWYLLSKPKKSEEVVD >Sspon.01G0015200-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:45387414:45389884:1 gene:Sspon.01G0015200-3D transcript:Sspon.01G0015200-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLFAAASHASLLPIAPLDRPAGGRLARGTLRTHTSGLRLRRPPGATGRGRGRGRGRGGIPDEWGERSPPGAPEAPAQPDPPIDVDEWGRDDDSADGNSRPIVADEWGEPGAPEPEPPSAADPPSPAADDEWEEEPEAPAPAPAPAEEEEEDEQAVKREELKRCLVDTVYGSDLGFRASSEVRGEVVELVTQLEAANPTTAPVETPDLLDGNWILIYTAYSELLPILAAGATPLVKVKQISQEIDSKSMTIVNASTLTTPFASFSFSATASFEVQSPSRIEVQFKEGSFQPPVISSSVDLPQQVDIFGQKISLGPVQQALNPLQQAFASIAGSISGQPPLKVPIPSNNRARSWLLTTYLDKDFRISRGDGGLFILVKEGSPLLDQL >Sspon.04G0013250-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4A:47188791:47194586:-1 gene:Sspon.04G0013250-1A transcript:Sspon.04G0013250-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ESSKTNHPQLLYEAKLYNALQGGTGIANIKWCGIDGDENILVIDLLGPSLEDLFVYCGRRFSLKTVLMLADQMITRIEFMHSKGYLHRDIKPDNFLMGLGRKANQCFGTLGTCSCDDLKPCKNPHFLHPIVDFVKNFELEFFAPSADDAHLAPEPNLNPEFVAIPAEELVAHCNRHRRTTDFADMADDARVCRIMWTRIQLPRQLLGPAGAPPVEDAHLAPEPSLNPEFEAMPVKELGAHLNRHCCTITWPRIQLPRQLSGLARAPPVDDAHLAPEPSLNPKFEAMSAAELVTHLNRRHRRTAYFIDAALGFAACERRLAEVEELVRLPRQLSGPAGAPPVDDAHLAPEPSLNPEFEAMPAEELVAHLNRHRHTAYFVDAALGFAACERRLAEAEELELVTLLNCHRCTADLADAVLVFAVRKHRLAEAEELICAGSEHMDMLRDEIRACEAQIHQAANTEEVLRTEICALQQPRAADAKGARGMALESVGAASRSRLIVVASSPHKTSMQVYVIDFGLAKRYRDSTTNRHIPYR >Sspon.02G0025710-3D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2D:84243475:84244818:1 gene:Sspon.02G0025710-3D transcript:Sspon.02G0025710-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADDDNVAAKRRRRRSTGTDGISALPEHLQHEVFSRVGDVKALFRLAATCRGWLRRFTDRAFLRELCSGSQGSGSGHRARLLGVVVQDKWFNSGDKAMVQARMTTMAAPPQRASSSAKAPVFLPALGSPLGPAGRALTSFVGGGDDDGTFDYAEPLAARRGIVLLWLAPRTPEEMDGCHLFGVCNPVTGDRHVLPPLECSRRLVGCTLVGYAIATATDDSSGNGRPARWTFSQLLVITQDGDLTRGDVDAAYLHSYSAATRRWSAHTRCLDRRVFSLVGATSAVVHRGAAHWLCVDDGRLPNKRAARGEGDEHHLYRFSVDVATARVSLTRLPVRVGGKQLLFVNSDGKLAVASVFPMHVTVWTPPGGAGDDEDDVSTPAAAAAWPRTSFRIAPQQHHHDRWWNFNHGSLSLLALFGGSGVFILDLNTEVMEKVFILDFDNKAKRYVA >Sspon.02G0019320-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:62805299:62807444:1 gene:Sspon.02G0019320-1A transcript:Sspon.02G0019320-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MINDSHVATWDSDDSSSDDEDDNKSKKKGHASIAIQEKVSLFDTPSCFMAKAAKPIVVAPTNPSCSASTSSSSSSDGFTCDSTLIVENENLKKEVKELNHTLAKAYGGEDRLLMCLGSQRASLYKEGLGYNPKKGKAAFAPHKTRFVKNNGSYCKSCKQVGHIEQHCMNKKSNANVSSIKFDSFYILTKGTNGVHAKFIGAPWMGSKKKAIWVNYKAGGRHWVLDSGCTQHMT >Sspon.03G0040950-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3C:35035743:35038403:-1 gene:Sspon.03G0040950-1C transcript:Sspon.03G0040950-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDPFLRRTLQYSPGTGTLPLHNFGQENAYIGIAEHVFDILQSLVGHVAVGSFRFPKKIEGSKRKIRLSARWILPNGREKSRERSGGEKSNTHRAPTAKKTDRKAEQGESHRIHTAFSSLPPLPPSLSRRSQIQYTLPSHPMAPLLSKPLLADTGTKFHAASTPVSCSGSPQRYAIAGLAGAGRRDRDWRRRTRGRTNLRVKAVAAESRSSEGGIAEDYYAVLGVMPDATPKQIKKAYYNCMKSCHPDLSGNDPDMTNFCMFINEVYTVGLMLAGMGGSVDVFRMASSRWEKRQAKVLEKVRRRMSQDDSSKGGSWSDIWGAPTRYEKNAEEEAKERAKRAAAAARRWREYSRKGADKPPTFKLPEAVPNKE >Sspon.04G0029450-1P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4B:72303669:72304046:-1 gene:Sspon.04G0029450-1P transcript:Sspon.04G0029450-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFSTALLPRPGLSLLRAPPVFRVTASSSGSGSKKKSKKPKSGSKGKDTKALEPPAPVVRRAPAGSASIFQQQQEAASKSGGAGGKGPTEEELRQRQANENAFLLAWLGLGIIILVEGIALAASG >Sspon.03G0025180-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:94847627:94849586:1 gene:Sspon.03G0025180-2C transcript:Sspon.03G0025180-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding FRNYDPSLRSQEKAVEYTRALNAAKLEKIFAKPFVGAMNGHIDAVSCMAKNPSHLKAIFSGSMDGDIRLWDIAARKTVCQFPGHQGAVRGLTTSTDGDLLVSCGVDCTVRLWKVPMLKMVDTSDPTGDNS >Sspon.01G0013930-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:38470342:38471604:1 gene:Sspon.01G0013930-1A transcript:Sspon.01G0013930-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAWENGGGGATTAEIFEEQAAAGEEETTEKVFVAVPEKHKSGKSLLAWTLRHVGAVAGASVVVAHVHAPAQMIPMMGSKFHASKLRPEQVSAYRQYEREKVEKHLDEYIHQCSKMKVKCEKLVIENDDVAKGIAEIVSLHGVSKLIMGAAADKHFS >Sspon.05G0028930-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:74034043:74035023:-1 gene:Sspon.05G0028930-1B transcript:Sspon.05G0028930-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNNREYPEGIRNGEGWHHNKAYKSNEGENDWIVSIVGLGGSGKTTLAKQICQDDKIKHHFKSTIFWVHVSEEFDMHQEISSLVN >Sspon.06G0025450-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6B:68528024:68530852:-1 gene:Sspon.06G0025450-1B transcript:Sspon.06G0025450-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAILMVLVFCLSALLPGAASSSLIPIATKANGSQSDLDALLAFKGELADPTGILARSWTTNVSFCRWLGVSCSRHHQQRITALSLPDVVLQGVLSPHLGNLSFLSMLNLPSTSLTGSIPAELGMLRRLKALHLSQNGLTGPIPSAIGNLTRLEELALGYNSQSGDIPPGLLKNMHSLEIFSLTKNDLTGHIPPCLFNNTQSLRQISLRNNSLSGPVPHNLGSLPKLELLYLAYNNLSGIVPPTIYNKSRMQELYLSHNNFAGLIPKNQSFSLPLLEYFDLSENHFVGPIPSGLAACKNLETFILRDNYFVDVVPTWLAQLPRLTALSLSRNNIVGSIPAVLSNITHLTVLDMGTNQLTGPIPSFLGNFSKLSLLFLYKNNFSGSVPPTLGNIPALNKLTVGFNNLDGNLNFLSSLSNCRKLQVVDLSYNSFRGGLPDHIGNLSTDLFWFTANYNMLNGRFPPSLSNLSHLQVLELSSNLFTGDIPNSIIEMQELIYINLSSNDLSGPIPSKIGMLKSLQHFDLQGNKFFGSIPDSIGNLSVLEEIRLSSNHLNSTIPASFFHLDKLLTLDLSNNFLVGPLPSDFGGLKQVYFIDLSCNFFHGTIPESFGEIIMLNFLNLSHNSFDGQFPRSFQKLTSLAHLDLSFNNISGTIPMFLANFTALTSLNLSFNKLEGKIPEGGIFSNITSISLIGNAGLCGPPHLGFSPCLEDSHSKKRDLLIILLPAVTAAFVSILLCVYLTIRWKAKSKIDPGNAVRQILVTYHEIISATDNFSDNNLLGTGSLAKVFKCQLNNGLVVAIKVLDMRLEQAIRSFDAECHVLRMARHRNLIRILSTCSNLDFKALVLQYMPNGSLDKLLHSEGTSSSMGFLKRLEIMLDVSMAMEYLHHQHFQVVLHCDLKPSNVLFDSDMVAHVADFGIAKLLLGDDSSMVTASMPGTLGYMAP >Sspon.07G0011800-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:39656492:39661793:1 gene:Sspon.07G0011800-3D transcript:Sspon.07G0011800-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSPPPIRHPGALLGSTPVPRASAHRRLGLPASRGGGPSARLRRPLHDQGAPLGERKEPSTIAVLMSGPNKAPFSGVAEDLKGRAACYKHDWTHGFRSGLRILAPTLYIFFASAVPVIAFGEQLSKDTGVPRRLFTPLPWEPKSLQHWTVAKDLFSVPPAHIFLAIVPAAMVAGLYFFDHSVASQMAQQKEFNLKNPSAYHYDILILSLTRFSTFVASVVMLLSEIIPLLIVSENCSRNHLDNTYSVSAHKDLKELKDAIIPEGNGAGTVPEVFDPEKHVDAYLPVRVNEQRVSNLLQSLLIAGCIGITPLIQRIPTSVLWGYFAYMSIDSLPGNQFWERIQVLFITPQRRYKVLEGAHASFMESVPFNTICAFTLFQLIYLLIVFGMTWIPVAGILFPLLFFFLIVIRQHLIPKYFDSSHLRELDAAEYEELEGFTPDPSVCEDESVRSRDAQPGYASEILEEFTTHRGELKRRNSSFRDGRLLQLNSVKMTRELSRAPSRRELSRAPSRIPRIMEQ >Sspon.03G0020770-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:64301840:64310581:1 gene:Sspon.03G0020770-1A transcript:Sspon.03G0020770-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTTATAAPSMKLLIDRKAQREVVDFLFSLLALPVATAVKLYVLFAMLGPCAVAMAVTAKNNAKNDDNSDAVRLA >Sspon.06G0002720-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:7149009:7153836:1 gene:Sspon.06G0002720-2C transcript:Sspon.06G0002720-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEAKPAAASGVAGEMEVEAYRRLFPVAFLERHLGESVRIDARRLREARPTTVALGAVSSAHGSALVRLGDTVIRPTFLPFSLLCFPSCLSRALQLFDELSLQAMLASVKLEVMSPPLSTRRRIRRFVFPLSLLLPIAFGFYSFVDVDVFVFCVAVEFHMPPICSPLVRPGRSAEVAPVISKALEDVLMSSGMLNLKDLCLITGKASWLAYLDIYCLNADGSLFDAALISAVAAFTHLEIPLVSVGDDGRLFTVGGNDGKNKFELVNREKRKLTLGDIPLSLTCALHKDSILADPTSEEESIIETYVTVVVDSSDRLVSLQKVGGAVTSMATIK >Sspon.04G0021050-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4A:73788583:73789164:1 gene:Sspon.04G0021050-1A transcript:Sspon.04G0021050-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPCVSVPFFFSSLITITIHLLQQNHPSAADAASAMATTNTKFGSSPLTDVVTDTCERCSQGNPQVNYTLCVSSLSSDPESRQADLHELAMISAKLVRSGVVAMEAKMAELSRKERPWSPRRSCLEACMGVYHNSLYDLDASITAIQERRYADAKTSMSATIDAPVTCEDEFKEQGLEPPMKAESKHLFQQA >Sspon.06G0021780-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6B:19696501:19697743:1 gene:Sspon.06G0021780-1B transcript:Sspon.06G0021780-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHDQGQGGVFRCLLSKQMVGLFVSVWTRSSLRRHLLHPAVLASASSAGSATRRRSNWHDGVLTPSQGAVSVRFLLHGTSFCFVCCHLASGGEEGDPLRRNADATDILSRTSFVRSGGAPAAPDELPKKILGHDRVVLFGDLNYQIAMDDDEVARQLVRARKWSMLLENDELLLELSSGRQFDGWHEGHVAFAPTYKYRRNSDQFYWCADGGATGREKQHRAPAWCDRVLWRGKGMKQVRYERCGGYRLSDHRPVRAVFHAVYELAEGAD >Sspon.06G0016420-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:73610324:73611341:-1 gene:Sspon.06G0016420-1P transcript:Sspon.06G0016420-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPSSCCAATASTSAALRRASVKSAPWSAGASRSSFRRRRRERELSVRATAAAPPPPVDYADTGAGAGADYVASLKIKLLSAVSGLNRGLAASQEDLDRADAAARELESAAGGPVDLNRDLDKLQGRWRLLYSSAFSSRTLGGSRPGPPTGRLLPITLGQVFQRIDVVSRDLDNIVELELGAPWPLPPLEATATLAHKFEIVGTSGIKITFEKTTVKTKGSLSQLPPLEVPRIPDNLRPPSSNTGSGEFEVTYLDDDTRVTRGDRGELRVFVIA >Sspon.01G0038730-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:31620423:31620764:-1 gene:Sspon.01G0038730-1B transcript:Sspon.01G0038730-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGEDGRDWWVWVGTEGATTGALLCSALPAQVGLLGGAGCWGGSTARDVATRRKWGGTFAARTLGFASHLNKGLLSVFPVHLRPTAPTGSPTNKVKILLWLSICTDILPPAM >Sspon.03G0035620-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3B:82901679:82903773:-1 gene:Sspon.03G0035620-1B transcript:Sspon.03G0035620-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVKRVIASDLASLLLSHLHLRCARPLVFLFTSPTFPFPSQPPTRLAVSPPSAHLLSSEDKGKQKEESKRVLRRPAPQHLHIWMDDVYGRIEVFPQYFIPSKEAMETPDGLSTKAFKANEYGLLVYTQRWSQLPGEPPILDDADVDDWLPRFVVLQGQCLYYYLKSTDLSPQESTLLCDVVEVGQLPNFVPEDEKTRYAFYLLTRQGLKFECSSTSEIQVDSWVRALSSDCKLRDGAGDDKTKTTSSQGEAGS >Sspon.03G0005070-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:11577099:11585796:-1 gene:Sspon.03G0005070-4D transcript:Sspon.03G0005070-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitotic spindle checkpoint protein MAD1 [Source:Projected from Arabidopsis thaliana (AT5G49880) UniProtKB/Swiss-Prot;Acc:Q9LTY1] MILRTPPQRKRRAGSSAGDDADLALLKSVGGASATCRSPVSDRRLVLYDRPTALVPADAPGEPFDDMVCTYHCRQMVKSEFMVALDTAEKQVQEYQTKLVALEEQLSKSEDERMQFLDKLNYVEQELAATKGRESALQERLLKELSEYQERYRGQVMKINELEAQLNKEIGSRISAESSVSSAKELIKDLEGNLHRLSESSEREKKTLKKELSYVKEDLSLSASTYNAELEKTRLRAENYESEAKLLNEQLTNLKKQLDECFREKNEMELKLLNSSALLNQHASTDDQKLIKLLQEELRNYEKEVHEAKRLKSSHTNVELLKEKLLEEQGRRERAELELSKLQEIDAKAHKLELELASCTALLSNIPDVSSYADIPQKIADLQKQALTNLNEVGEVTSRLKELEVALEFADLSKQRAEGEATLAKERAESATREVKRLELMLAAISEERDKLRKEHPTESDQSGMEKTIRELESIIHELKELISHKDTELNIMNERLSLETRKVKSLEREGDQLRSQVALLESKLGHGDYSASSTKVLRMVNTLGVDNEAKQTIEALQAELKKTKERLQAVEELKGQADAGTVVDANIAEKLAQLKNQIATLEKREERYKAVFAERISVFRKACCSLFGYKIVMNDQQQSNGIPVTRFILQSVYAQSDDEKLEFDYESGSTNIVVNDYTSQHEIAQQVDIFIRRMNSIPAFTANLTMESFNKRSIC >Sspon.06G0003700-1P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6A:11362888:11364900:-1 gene:Sspon.06G0003700-1P transcript:Sspon.06G0003700-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAAASADGDHLLCLPGCVPVRAKRATAAAAATVTTTARASRHNFVRATASGLMAGASFTNHESLPPLPDAYAEFAAAFPQYAQGGARADAIRGEEYQHLDRHVCLDYTGINLFSHAQMNSALPSTSSAPPASASAAAWQPPFFDIAYKSTSLRTQVQQCGDAAAAAAGGGIGAAVTRRIMASLKIPDDEYAMVCTANRTTAFRLLAESYSFQPGKQLLPVYDYESEAVAAMADSARRRGADVTSASFAWPSMRIHGTDLRKRLARGRRRGGGRGLFVFPLASRMTGARYPYLWMSAAHEQGWHVALDACALGTKDLDTFGLSLIRPDFIVCNFFKVFGENPSGFAGLFVKKSSLAALERSVIARSIGIVSIVPARRWSLHDGYSTELEHSRSFPKLAADPAALDDVDVETTSSFSGPLSSTAITRSRTLQSDAAENSDAHAPEIREVDDSTAENGFFSEEPRAENGHETEEQLAKEEEHGSVMEVECRGLDHADALGLIAIGNRLRCISNWLVVALQKLRHPHADNGHQLVKLYGPRVKFDRGPSLAFNVFDWKGERVSPLLVQKLADRHNISLTCGFLCNIWFSDKYEAERGVVLEHRIAGDSVAVGAGGKKRKDAGGDVGILVVNAALGFLSNFEDAYRLWAFVAKFLDADFVEKERWRYTALNQKT >Sspon.03G0009010-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:24590711:24593007:1 gene:Sspon.03G0009010-1T transcript:Sspon.03G0009010-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDPFEAAVEEQDSPPESPAPAEEEPGSAGPADDPEDYDGGPRGAPPRQPSAPASHAAAAAAAAKAKGRVQREQQEDDDDEEDQMEVDLEKLPSSTGDPDKLAKMNAILSQFTEQQMNRYESFRRSGFQKSNMKRLLVGITGSQKISIPTSIVVSGIAKMFVARVVMTERKDSGPIRPCHIREAYRRLKLEGKIPRRSVPRLFR >Sspon.01G0052600-2D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1D:85606250:85606555:1 gene:Sspon.01G0052600-2D transcript:Sspon.01G0052600-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGIIHKIEETLHMGGDHKKEDEHKKEEHKKAEEHHKKDGEHKEGIVEKIKDKITGEHGEKSGEHKDKDHKEKKDKKKKKEKKHGEGHDHDGHSSSSSDSD >Sspon.02G0015280-3C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:43268458:43273870:-1 gene:Sspon.02G0015280-3C transcript:Sspon.02G0015280-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRDDAGGGTGFHDLFDSVRRSISFRPTAAAAAAAPEAPAGPFGAGAGGIGVRISSCLRKSRGMGLLGLITKSPSPPRRMLPAVPDESGGGSGGGGADDGGGGGGEENPPIRWRKGELIGAGAFGQVYLGMNLDSGELLAVKQVLIGRSNATREKAQAHIKELEEEVKLLKNLSHPNIVRYLGTVREEDTLNILLEFVPGGSIQSLLGKLGSFPEPGANILVDNKGCIKLADFGASKQVAKLVALLFHVGTTKSHPPIPEHLSPEAKDFLLKCLQKEPELRSTAPDLLKHPFVTGELGDLQPLNHAAHKDSFNEIPAHDMPNGLGLNHSSNWSTMNSNKSSKIKPLWEGSCDDDDMCEFADKDDYAAVGSSYNPMSEPFGNWENKFDTSPEQNSHQPMEFGELAKHAESSITENDFTFPVEGSYEDDDVLTESKIKAFLEEKALDLKKLQTPLYEEFYNTVHGGSCQGADQTSKGKLPISPKLPPRGKSPPSKTRGGACDTLNNTAPESCSKQFPRSSVVESSRILREIASPQLNEFADKLHLDAQDSPRPVRDLIGSISVNSISFTERQRKWKEELDQELEREREDTTADSNYTMPEIGSNSSTACSALPFAVLAKLRLQNHLVQVADLR >Sspon.02G0033780-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:9852487:9855884:-1 gene:Sspon.02G0033780-2C transcript:Sspon.02G0033780-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MELRDRLTELKRKTAIDKTKVEQASSDLKAKSALLNLAFVTLKKRRADAVAMHTNAMKIAQMNLVIKEGEKKDDYSGPYDSICGARLPRGLDPHSIPSEELSASLGFHAQIYGSGVPTGAHDSPRGTVYKKNKSSLSKVYPLFIPRKNVCTGGEETSLTESGSSNFGVDSVDSVKKPSFDSKRSNSFSFSGASSHSMERHQYLQRGISLLKTSVTAITTYYYNSLGLEVPSNLSTFEAFAKLLHMLSSSKALRTALESNIASRSEKQAQQLNRSMWKASSAISSDSSFMDSMHTAVMPSTLDNLLLNSNESFLYTGKLVKHGGVPDSIFDGWDLVEREVLPPPPSQVEDVAQWERAMYAGTKKK >Sspon.04G0013910-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:57021743:57025406:1 gene:Sspon.04G0013910-3D transcript:Sspon.04G0013910-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plastid division protein PDV1 [Source:Projected from Arabidopsis thaliana (AT5G53280) UniProtKB/Swiss-Prot;Acc:Q9FK13] MWWDAAEAEAALERIWDLHDRLSDAILAASRAHLLLPAPPAPPVPSAPFAKGTHRVGGGGGRNGCVFVKSGGGGGGDALAAAAEAVAEARSLHAIRSALEDVEDHLEFLHTVQSQQRAERDAAIARLEQSRLVLAMRLAEHQGKKYRVIDEALAFVGEVSDKSQFISPEDEAHNQMVKENFWSERKSYKGIKVLSLTVKLKRNNLSWTEADVEKPNGIKS >Sspon.07G0033720-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:63105267:63123278:-1 gene:Sspon.07G0033720-1C transcript:Sspon.07G0033720-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLQLLQLTDRGRGLLASRRRTLAVVSSAVLAGGALAYARSSQSRRRRRPEANRGGEGGALAANGDGAGGGLAAARQKSSGLKSLHFLAAILLKKIGPRGTRYLLGLVLTAVLRTAVGHRLARVQDIAHADYFENMVYYKISHVDHRISNPEQRIASDIPKFSSELSELVQDDLAAVAEGLIYTWRLCSYASPKYVFWIMAYVLVAGGVIRNFSPAFGKLKSTEQQLEGDYRQLHSRLRTHAESVAFYGGENREASHYAAALVQHLNLVRHENWWFGMIQDFFLKYFGATVAVVLIIEPFFSGNLRPDSSTLGRAEMLSNLRYHTSVIISLFQSLGILSISTRRLNILSGYADRIHELLDVSRELSGVRDRSLNHNSPGNYVSEASHIEFSDVKVVTPAGNVLVDDLTLRVETGSNLLITDLNKEIFYVPQRPYTAVGTLREQLIYPLTADQEIEPLTYDGMVDLLKNVDLEYLLERYPLDKEVNWGDELSLGEQQRLGMARLFYHKPKFAILDECTSAVTTDMEERFCKKVRAMGTSCITISHRPALVAFHDIVLSLDGEGGWNVQHRRDDSSFSTEESDFSSSETDRKSDALTVQRAFMSRAKVPRLFDKQGGQLLAVAVLVFSRTWISDRIASLNGTTVKFVLEQDKAAFIRLIGVSILQSGANSFVAPSLRTLTAKLALGWRIRMTNHLLRYYLKRNAFYKVFNMSGKSIDADQRLTLDVDKLTTDLAGLVTGMVKPLVDILWFTWRMKLLSGRRGVAILYAYMLLGLGFLRAISPDFGRLSGQEQELEGIFRFMHSRLRTHAESIAFFGGGSREKAMVEAKFVKLINHSKILLRKQWLYGIVDDFVTKQLPHNVTWGLSLLYALEHKGDRSLTSTQGELAHALRFLASVVSQSFIAFGDILELHKKFLELSGGINRIFELEEFTRFAQRTSEEIISFHEVDIVTPSQKLLARKLSCNVVQGKSLLLTGPNGSGKSSIFRVLRDLWPTFSGRVTKPSEGMFHVPQRPYTSLGTLRDQIIYPLSREEAKIKVLSLHRSGNNSSASDLLDNHLGTILENVRLLYLLEREGWDSTPNWEDVLSLGEQQRLGMARLFFHHPKFGILDECTNATSVDVEEHLYRLATSMGITVITSSQRPALIPFHALELKLIDGEGNWELCAIQQ >Sspon.07G0001250-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:1717253:1722398:-1 gene:Sspon.07G0001250-2B transcript:Sspon.07G0001250-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVFGPVTPGQVSFLLGLFPVLIAWIYSEILEYRKSLSHGKTNLDNGTIKEDDKSVLLEGGQLKSPSTKFRNLSTKANLLRFITMDESFLLENRSVLRAMAEFGVVLSYNRDLFLFLYILLIIASALTSLKKHHEKSAFSGKSILYLNRHQTEEWKGWMQVLFLMYHYFAASEIYNAIRVFIACYVWMTGFGNFSYYYIKKDFSIARFAQMMWRLNFFVAFCCIVLDNDLMLYYICPMHTLFTLMVYGSLGLFNKYNEIPSIMAIKIACCFLSVILIWEIPGLCMTLSLSVMLRSSGPTLIILYSGYKDPSPSKAHLPLLHEWHFRSGLDRYIWIVGMIYAYFHPNVSISDMDLYYPDHPLRLYLRDVERWMEKLEESETKVRLSIKGTIVTLSLTAGFLWYEYIYKLDKVTYNKYHPYTSWIPITVYICLRNCTQQLRSASLALFAWLGKITLETYISQIHIWLRSNIPNGQPKWLLCFIPDYPMLNFMLTTAIYLLLSYRVFEITGVLKVAFIPSRDNSRLYQNFIAGIAISVCLYLCSLILLKIPVDVQLWPRFPFAVVEIVGDARRSCSFEHMGKLGN >Sspon.07G0029800-3D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7D:66405755:66409818:-1 gene:Sspon.07G0029800-3D transcript:Sspon.07G0029800-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALYKLGARRLGIL >Sspon.06G0012090-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:64840622:64844628:1 gene:Sspon.06G0012090-1A transcript:Sspon.06G0012090-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RHREQKNARFITRATLSSFTGEVKNIFALAQEETQRLAFKAGISQVFQIIDGRVGRGSRESPVTIRSSDASLETRSSKTSAETKNKIKGKNNPCLIGEPGVGKTAVVEGLAQLIAMRDVPETMQGKKVISVDMGCLLAGTKYRGEFEERLKNLLEEIKQCGNIILFLDEVHTLVGAGAAVEGAIDAANILKPALARGELQCIGATTTDEYMKHIEKDPALERRFRQVKVPEPTVDEATEILKGLRERYETHHKVQYADEALSAAAELSHKYISDRFLPDKAIDLIDEAGSLVRLRHAQPKLPKELEDFEADIKKIMKEKCDAIRSENFKRAKELRDRELELKSQMTTLIGNDEVSPGMSAVPVVTKEDIRHIVSLWTGVPVHEVSTDETTKLLRMEEALHGRIIGQHEAVTAVSRAIRRARVGLSDPRRPIASFVFAGPTGVGKSELAKALAAYYYGSEDAMVRLDMSELMEKHAVAKLLGAPPGYVGHGEGGQLTEAVRRRPHTLVLLDEVEKAHPEVMNVLLQVLDDGRLTDGMGRTVDFSNTLIIMTSNIGGGSGDGSSSKEVVEEEMKRYFRPEFLNRLDETIVFRPLTRLDAKEIAAVVVSDVAARVREMGMELRVTERFMDLVVEEGFDPCYGARTLRRAVVRLLEDTFVDKVLDGEIKAGDAVIVDADAAGNVVVLGRDSC >Sspon.01G0053290-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:34976719:34983375:-1 gene:Sspon.01G0053290-1C transcript:Sspon.01G0053290-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSLLYSSRPLVPAWRPTRKVIGTPIIDQQPTTMFCECGTGSFKHVDKDPDDLGCTGGSPKDRERRHGGKVNPYAERGLDRFSVVLSELETRRAKILRRVGSDTGLVLVRFVQSSNGDWTPVVVKLPEQPPPSKKAAATKKPTRAAAASTATPLWRPPAPASPGPPDPTSPREREGASSATAKVVVATSKTKVPARKASFSWGRRMRRPSRYWPSVIVLTLVSLAVFGRVFAICLASIWWYVLPTLGSGEDARRGPAGMRMSMEKKKVVSPPLPHAKKGSISGVYEVVRLTECASETCSYGANDMRRRRSIVARGGDEYTILRTTSSRARIRLRVRSSSFLSGALRFLAGLFVRLAGLFFAVARSATTATMDSAAASSSALGLVFAATLPMAMAMVVLAASSTLPFAASMQMQFLAVAGFQRVATKLLLRAVCVCRASHRILALDNAAPRAVEAPAPPDVEVPAPAMPAVEAPAPSIDEVVAAPVVPAYVPMEPMSPAPAPPRYWCDFCKEYTLMPHTLEYAYSLPTPTLASSTPVATVNDVSPLDPWFLNTQAAPALDAVKVDDEIDVVAAPGVGNLFNLGDIFNTAARTGISPYFFLDRAGSSAPPPARAGSSAPPPAVTPPTAAPPPATCAEAAARMKEKALLAAPPSPTEGTATTPPTLRRLLDRCPGIRRGTWSPAALGLPSAEVLNMAVGGNGFYSPDEGDGSVVEGDGEMFCGGGGFSDGNGDGCLP >Sspon.03G0017460-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:74336156:74338826:-1 gene:Sspon.03G0017460-3C transcript:Sspon.03G0017460-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATVEGVRHRTVEANGVRLHVAEAGPEEGGAPVVLLLHGFPDLWYGWRHQMAALAARGYRAVAPDLRGYGDSDSPPDASSYTTFHVVGDLVALISDLGQPQVFVVGHDWGATVAWQLCLLRPDLVRALVNLSVVYHPRRPEMSPLQAIRAVCGEDYYMCRFQEPGVAEAEFALYDIKSVFKKTFGMRKPAALILPKDMSFFDSLDSDGTCPPWLSEEDISYYAEKFAKTGFTGGFNYYRCIDRSWELSAPWTGAQIKVPSKFIVGDLDITYNAPGVADYIHKGGFKASVPNLEDVVVMEGVSHFINQEKPNEVSDHICEFFSKF >Sspon.01G0035700-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:13216010:13218972:1 gene:Sspon.01G0035700-2D transcript:Sspon.01G0035700-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTREPHEASRRDSHGRRPHSSSRSRRDDPSPRRRRDDRRRESDRSHYRSRDEESAEVADHDQKRNRDTAQGDDPPNAESKSASDVKNGPSTRHERSPRGTKRFSESREAWRPRSFFQHNERGSAGQGGRHYDRQASDCGRQREHLSDRDKQKTEEHSLQGKVELDQQKKDGDSAWKHDGFFQLEEEVPLAKKRPPFQEMKIQESAATVTEPDSRSRKLDQPGLTSAMSEERRNYHARGFGNHRPFLRPDDRGFRRGFPDHRSDGQRNGYDSRGRFPGRGGMDRDRFNNPYGGRSNVEQASGDQEEKWKHDLYDQTNMSPPPKTEEEQIAKVEALLAL >Sspon.02G0014860-2P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6B:18080373:18080924:1 gene:Sspon.02G0014860-2P transcript:Sspon.02G0014860-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MWEGGGIHGSHHEALLLQAAGSGAADYGHGGGPASLLPWLGPSAAPGFSYMAPHHAHHQPGPLGAAEAAASPFGFGGGGGGYSDGGVGQFGVFGPETPLPLPPHGLLAAGGSSGGTALLPHGPRMVSGLLGTLQAELGRMTAKEIMDAKALAASRSHSEAERRRRQRINSHLARLRSLLPNTTK >Sspon.04G0017260-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:10086260:10090159:-1 gene:Sspon.04G0017260-1P transcript:Sspon.04G0017260-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPPGARPGGTPGCPNSKFTQQELPACKPILTPKWVVSVFFIVGVIFVPIGVVSLLAARDVVEIIDRYDEACVPGNMTDNKLAYIQNETISKECIRNLTVTKFMKQPIFVYYELDNFYQNHRRYVKSRNDAQLRDASKANQTSACEPEKTTANGQPIVPCGLIAWSLFNDTYNFSRGNENLTVDKKDISWKSDREHKFGKDVYPSNFQNGALKGGATLNPKIPLSEQEDLIVWMRTAALPTFRKLYGRIYFDLKENDTITVRLNNNYNTYSFGGKKKLVLSTATWLGGKNDFLGFAYLIVGGLCIFLAFAFTLLYFVKPRKLGDHNYLSWNRPPAGR >Sspon.03G0019760-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:61662439:61665393:1 gene:Sspon.03G0019760-1A transcript:Sspon.03G0019760-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein CLMP1 [Source:Projected from Arabidopsis thaliana (AT1G62390) UniProtKB/Swiss-Prot;Acc:O48802] PMGKSGAKKKKPAAAAAKPPPASAELKVTPPSSSLPAANGGAVPHQAVDAGVLLRRAHELKEEGNRLFQSRDYAGALRQYELALRLAPRGHPDRAVFHSNRAACLLQLRPVDHEAVAQECSLALQAEPRFPRALLRRARALEALGRHELALADALALLALDPDHRDAIDLSYRLRARVNGSSAASASSAPEPTSRPSPAALGASAVVAGLGHSLPARPFPKKQPPAAPTATLQPNLAVMSKSNPSPLPKLVPFSNSPPSSAKPLAADSSEKAMTLPVASLLPATVERLINKKVVTRWRPLKLVYDHDIRLGQVPEKCGFRTLREFVAKRFPSSKAVLIKYKDADGDLVTITSTEELRLAESFIDKAGHEVVENGREGDNKLPVLRLHLVEVSPEQEPTLPLEEKLEEDEELLVSGEDSTLNTSAVVTNAEVMKQDVENGVAEQSMETGKKDCGHAECKEAEIDDWLLQFAELFRNQVGIDADAHLDLHELGMELCSEALEETVTSEEAQALFEMAASKFQEVAALALFNWGNVHMCEARKRIPLDESAPKEVMAAQLRTAYHWVKERYALAGHKYEEALKIKPDFYEGLLALGQQHFETAKLHWSFALADKADLSTWDSLETFKLFDSAEHNMRAATEMWEKVEEQRMAELKEPGAGEKDDVLRKRQHGAGGQPELTPEEAAEQAAVMRQQIHLFWGNMLFERSQVEFKLSVGDWKTNLDASVERFKLAGASESDISTVLKNHFSNAASECEEKKVMTSGTEISQTNDNVEDRQVSNKVTCHVQPPLNFFFPLSKLMDI >Sspon.02G0010000-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:27124525:27130845:1 gene:Sspon.02G0010000-2B transcript:Sspon.02G0010000-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLVPGVLVKLLQHMNTDVKVAGEHRSSLLQVVSIVPALAGSDLFTNQGFYLKVSDSSHATYVSLPEEQHDLILSDKIQLGQFIHVDRLEAATPVPILRGVRPVPGRHACVGPPEDLVVTSSSNFHGSKKAQPSNGMKDASILSLEKETSKLEKINASRKPTGAENKKPMLIKSNSSLSRQALNGIGDKKEAAKSKVKPAVTRSTPSSPTSVYSLPASFNRFSNDLKQRNKVKGAEKASSSRLSLLEKAASVLKATSAGRKSSAGNSISSSVLSIGSGPKALRRSWEGNTDIKGKGNSESKTSKPDRKSDNKIPMTPRRKSPVDEKVPHKDDSQKAARKSTASAPSDDADKAVKKHIPTVKRTSGVLGNSNVTNLVKIPPNSKKLTDISTSWTSLPPSLAKLGKELLKYRESAQIAAVEAMQEASAAESLLRCLSSSYAEVSSTAEEQNPQPAVEQFLTLHAALSRAMVITDTLTKSATSAALPDCSAASDAGTVGSATDEEAAAITAERRRRATSWVSAALATDLSAFGIYNLKPAPATVSSSLAVVVVDETAKPAAAAATATKSSPSPKSRLSPAKGKPRTGTAAAAAALTTTPAPPEWERGGGAEERGELAKRLGEESRGWFLGFVERFLDADVATSAPWDRERAARMLPQLKRVNDWLGEIGKRSETPSLPPADADGEATTTSTAPVPANGGCGVPEETIERLRKKIYEYLLTNVDSAAAMLGGGGGGGAAVPTNGKKG >Sspon.08G0002150-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:4961204:4968273:-1 gene:Sspon.08G0002150-3C transcript:Sspon.08G0002150-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-sugar pyrophosphorylase [Source:Projected from Arabidopsis thaliana (AT5G52560) UniProtKB/Swiss-Prot;Acc:Q9C5I1] MASGADAAAAGVAALGISGAGEWAAACPPLRRNLHLLAPDEVELAKMLLNEGQIHLFEHWPEPGVRRLNSSYPGGLVSYIQNAKKLLADSKAGKNPYDGFTPSVPSGEVLNFGDDNFVSLEAAGIKEARNAAFVLVAGGLGERLGYKGIKVALPRETTTGKCFLQHYIESILALQEASCKMVDDGCQTKIPFVIMTSDDTNALTIKLLESNSYFGMEPSQVKLLKQEKVACLADNDARLALDPSDKYKIQTKPHGHGDVHSLLYSSGLLEQWKSEGRKWVLFFQDTNGLLFNVCNTIGIRCQCHQGYNVNSLAVPRKAKEAIGGITKLTHVDGRTMVINVEYNQLDPLLRATGHPDGDANCETGYSPYPGNINQLILELGPYIEELKKTHGAISEFVNPKYTDSTKTAFKSSTRLECMMQDYPKTLPPSAKVGFTVMDTWLAYAPVKNNPEDAAKVPKGNPYHSATSGEMAIYKANSLILRKVIPLAYSFGKGTLANGHGVVLKLFCVPAQRAPFPPSFQAGAQIADPVVDTFNGQEVEVWPRITWSPRWGLTFKSVKEKVHDNSSVSQRSALVINGQNVFLEGLSLDGTLIVNAVDEAEVKLTGHVQNKGWTIQHVDYKDTSEKEEIRIRGFKFEKVEQLE >Sspon.05G0029160-1T-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2D:90307105:90307701:1 gene:Sspon.05G0029160-1T transcript:Sspon.05G0029160-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MANTKLISLGLIVLMSIGLANAVRVARYSSADGTGTGGGGGGGYVNGGGSGSGSGTGSGDSGPYGAHASAGGGGAGGGASQYGGSGYGSGSGSGSGSGSSTYSQGVYSEYGPYGGESSNAGGAGGGGGGGQAGGAWNSNAQGSGSGTGSGSSYANRYWDGSSDAGASANGNGGGTGNSQNGGGGGGSGAGTGYGNAYP >Sspon.03G0030750-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:22324227:22327646:-1 gene:Sspon.03G0030750-1B transcript:Sspon.03G0030750-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAPEERRWLRAPEERRWRREPEEEEEAADWAWSWGAGTDGQLGNGGFDDHHLPRPLLLPTRCRGRVSFVAGGGAHAIALTSDGEVFAWGRGTHGQLGHGNLDNIPHPKLVKFLENHTITCVSAGWNHSGFAADSGQLFMCGDGSFGQLGTGDNLSRNFPFEVAYFNARHIEKLAFGMRHSLVLLKDDTVLFFSDNSVYGFGSTRRGQVGKCVSRNQKTYNVPRLIDDFPNCKIVNIYANGDHSAALDESGHLYIWGRALIGEDDDDQPRRILPSSSVSQVALGWHHALVLSAGELYTLGVYRHQKCDLPGLGNVSGQQSNTRATSSSRDHSSSLSMLETVPFIDGEQVVQIASGTEHSALVTDKGTIFTWGWGEHGQLGLGDTSDHVAPQRVDIGDNGQRTSGSLAVYCGSGFTIAVKSPVVDV >Sspon.07G0031950-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7C:39741096:39747007:1 gene:Sspon.07G0031950-1C transcript:Sspon.07G0031950-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GDVDVICGGPPCQGISGYNRNREFDAPFNCERNKQIIVFMDVMQFLKPKYIYMENVLDILKFADATLARYALSRLVAMHYQAKLGIMAAGCYGLPQFRMRVFLKLPPFPLPTHEAIVKNGCPLAFERNLVGWSDSMPMQLEKPIVLEDALSDLPEVENGEKREEMLYVKGPQTEFQRYIRSFNSGRAPPPPQPAMAEPPPPSFLNLPPLSHPTSWPPFPPSSSFLSRPLGPLDAATIPGAAGPGVAAGHPLAPPAAGAPSGAGAGHAWAPPGAGAGPTWAPPAATPLAAAAWPPLPASQACAASPGAAATTSGAVPFSPIGRPASAPSGTAAAAAWPPLPASQFCTASPGAWPPLPASQLCAASPGAAATAASSGAGSHLSTSSSWAPQPAPPALGLGPAAPPPAPLTTIGGLLLPAPGHPQLPAVGAAANGASLGPGWTPSAYAPIFPTAWAQLAHAFAAGSAPAAATAPTDAAAWPATLFPTAAPRPSAPPPSLLLGSPPPGPSGGGGAVADAVVADEDRPPPCSLPLLPGFTRQHWHTPSALWTRELLSAHSGSPIRVPPTTPLLTTLYSLLFNLLLPLTPRPSWSQMGHASLSRPWVPPALMAPFVFLMFLWHLLWFTTFFLFVGLQLTIPVPWSLTLPVSLSRIWLPGVLCFGVTARGPFTPFASRHLLRLLRRPLCQLLSPPLLPPPLGTGASAIQLGRHVRLPFHSSSSRTAHVFDLIHCDLWTSPVISVSGYKFYLVLLDDFSHYSWTFPLRAKSDAFPAILHFFAWVSTQFGLTIKAVQCDNGREFDNSASRDFFLSHGVQLRMSCPYTSSQNGKAERMIRTTTETMRTLLLQASLPARFWAESLHTSTYLLNRLPSAACPAPTPHHALFGTPPRYDHLRVFGCACYPNTTATAPHKLAPRSTLCVFLGYSPDHKGYRCYDLTSRRVLISRHVVFDESVFPFSSTPTPTSTPDLDLFSLFPTDAVVEPPFRLSTTGTAPPCPSPGPCPRSPPACDNPCPGLAGSPHGPATVTTPDVGPGPSHLAPPARFAQPTLVYQRRAPPGSAPPVLVYQRRARPEPPPTSPPVAPSSSGCPLPPPASSPPGTPTPPPRSPEARVTTPVYHPPLLHRHPRHIHPMVTRHAAGTLPPPALAATPVDSQASPVPSSVREALLDPHWRRAMEEEYAALLANRTWDLVPRPPGSNVVTGKWIWTHKRRADGTLERYKARWVLRGFTQRPGVDYDETFSPVVKPATVRTVLSLALTRSWPVHQLDVKNAFLHGLLTETVYCSQPAGFVDSSCPDMVCRLNRSLYGLKQAPRAWNHRFAAFLRSLGFVEAKSDTSLFIFHHGAETAYLLLYVDDIVLTASSELLLRRIITSLQQEFAMKDLGVLHHFLGVTVAPHPAGLLLHQRQYTLDILERAGMTDCNPCSTPVDTQGKMSEAEGNPVSDPTAYRSLAGALQYLTFTRPDITYAVQQLCLHMHDPREPHLTALKRLLRYLRGTVDYGLLLHRQSSSTEMVVYTDADWAGCPDTRRSTSGYAVFLGGNLVSWSSKRQQVASRSSAEAEYRAVANGVAEASWLRQLLAELHNPLLRSTLVYCDNVSAVYLSTNPVQHQRTKHVEIDLHFVRDRVAIGEVRVLHVPTTSQFADIFTKGLPSTTFAAFRSSLNITSG >Sspon.06G0005820-4D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6D:17845798:17846181:-1 gene:Sspon.06G0005820-4D transcript:Sspon.06G0005820-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MIEDDCADNGIPLPNVNSKILAKVIEYCNKHVHAAAADTTNASGGGEVDLKSWDAEFVKVDQATLFDLILAANYLNIKGLLDLTCQTVADMMKGKTPEEIREIFHIKNDYTKEEEDEIRRENRWAFE >Sspon.03G0029200-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:14023910:14026382:1 gene:Sspon.03G0029200-1B transcript:Sspon.03G0029200-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQPQQRVYQAWKGDNYISLIRLQPHLFKWITGVSVALIVIPVAVFCVFVAHHLRHQFHAYDAGYAILVIAIVFTIYVLLLLFTAAARDPGIVPRASHPPEEDIHYDNLSLTDTPGMLQFPRVKEVIVNGMPVKVKYCETCMVFRPPRCSHCSICNNCVERFDHHCPWVGQCIGKRNYRYFFLFVVSSSLLCIYVFAISALYIKFLMDGDYPTVWKALKHSPASLALMMYCFISLWFVGGLTGFHTYLVSTNQWFIYLSTL >Sspon.02G0005540-3C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:18842240:18845313:1 gene:Sspon.02G0005540-3C transcript:Sspon.02G0005540-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPAPAADGAVYAANGGLTDPLLVSANGHGNTPRKAAHGAKGKYWVAADKAERRAAKEAGGEEGRALLFRKYKVKGALLHPYRLLIIIRLIAVLVFFAWRIRHNKSDIMWFWTMSIVGDVWFGFSWLLNQLPKFNPIKTIPDLAALKRHFGFPDGTSRLPGIDVFVTTADPIDEPILYTMNCVLSILAVDYPVDRLACYLSDDSGALVLYEALVEVGKFAPVWVPFCRKYSIEPRAPESYFEHVAPPQAGRVTQEFLNDYRRVQMEYDEFKVRLDNLPDAIRKRSDVYNTMRAAEGDQKATWMSNGTQWPGTWIDPTENHRKGHHAPIAKVVLQHPSRGQHHESNLSIGTTEERLPMLVYVSREKNPNYDHNKKAGALNAQLRASALLSNAQLIINFDCDHYINNSQALSSAVCFMLDQRDGDNTAFVQFPQRFDNVDPTDRYGNHNRVFFDGTMLALNGLQGPSYLGTGCMFRRLALYGIDPPHCRAENITAEASRFGNSTIFLDSVSKALKNDRSITPPPIDNTFLAELERVVTCSYDKGTDWGKGVGYIYDIATEDIVTGFRIHGQGWRSMYCTMEHDAFCGVAPINLTERLHQIVRWSGGSLEMFFSHNNPFIGGHRIQPLQRVSYLNMTVYPVTSVFILIYALSPVMWLIPDEVYIQRPFTRYVVYLLVVIVMIHMIGWLEIKWAGVTWLDYWRNEQFFMIGSTSAYPMAVLHMAVNLLTKKGIHFRVTSKQTAADDNDKFADLYDFRWVPMLIPTMAVLICNVGAIGVALGKTVVYIGTWTAAKKMHAALGLLFNIWIMFLLYPFALAIMGRWAKRPIILVVLLPIVFALVALLY >Sspon.06G0031240-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:63098932:63102822:-1 gene:Sspon.06G0031240-1C transcript:Sspon.06G0031240-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSCFNGGAGWPEPVVRVQDVSDTCGDTIPERYVKPPSERPCLSPASGGVGGAGGPNIPVVDLSMLDVDATSRAVAAACREWGFFQAVNHGVRRELLRSARAAWRGFFRQPAEVRERYANSPATYEGYGSRLGTAKGGPLDWGDYYFLHLLPPSLKSHEKWPSLPSSLRGTTEEYGEEVLQLCRRVMRLLSSGLGLEAGRLQAAFGREGGEGACLRVNFYPRCPQPELTLGVAAHSDPGGMTMLLVDDHVKGLQVRSPDGQWIIVDPVPDAFIVNVGDQIQVLSNAAYKSVEHRVTVSAAADRLSMAFFYNPRSDLPIAPMAELVGPGRPALYPEMTFDEYRVFIRQRGLAGKAQLQSLQANQAVAAAGGGCSAAVVSSTCS >Sspon.08G0000750-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:1112071:1119545:1 gene:Sspon.08G0000750-2B transcript:Sspon.08G0000750-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AHVCREEDAVNRGNTLYVTGLSSRVTERDVKDYFSKHGKVVGCHVVLEPHTRVSRGFAFVTMDTVEEAERCIKYLNDSVMEGRNITVEKVVGCHVVLEPHTRVSRGFAFVTMDTVEEAERCIKYLNDSVMEGRNITVEKKILCKLCPAIKMLSSPIPTLAHTARSVDSPKGYHAPQDSQQLSIAIFQLNLSIHLQLPHQLINGHCQLISQACVVPCAIATLDAC >Sspon.04G0004390-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:13061182:13064644:1 gene:Sspon.04G0004390-1A transcript:Sspon.04G0004390-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVVLAASSLVLVLLLLFCSCGPASGLLSPKGVNYEVQALMMIKNYLKDPHGVLKNWDQDSVDPCSWTMVTCSPENLVTGLEAPSQNLSGILSPSIGNLTNLETVLLQNNNINGLIPAEIGKLRKLKTLDLSSNHLSGEIPSSVGHLESLQYLRLNNNTLSGAFPSSSANLSHLIFLDLSYNNLSGPIPGSLTRTFKLLLFILTKISTSTETYLPSNFELPGIKIFTRFSCSIVGNPLICAATMEQDCYGSLPMPMSYGLNNTQGTLVPAKAKSHKVAIAFGTTTGFISLVFLAVGLLFWWRCRRNRKTLFNIDDQHIENVNLGNMKRFQFRELQAATENFSSKNILGKGGFGIVYRGQLPDGSLVAVKRLKDGNAAGGEAQFQTEVEMISLAVHRNLLRLYGFCMTASERLLVYPYMSNGSVALRLKGKPPLDWITRKRIALGAARGLLYLHEQCDPKIIHRDVKAANILLDDCCEAIVGDFGLAKLLDHRESHVTTAVRGTVGHIAPEYLSTGQSSEKTDVFGFGILLLELITGQTALEFGKSSNQKGAMLDWVKKMHQEKQLDILVDKGLGSKYDRIELEEMVQVALLCTQFLPGHRPKMSEVVRMLEGDGLAERWEASQHTESHKFKVPEFSFSRCHSDLTDDSSLLVQAVELSGPR >Sspon.07G0024620-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7B:26623227:26627537:-1 gene:Sspon.07G0024620-1B transcript:Sspon.07G0024620-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAPPPPPSLSSYLPSWAQVLAAGASPPGAAGDLPAAATDPGARAALPPAPPGARAAMPPASPGARAALPPAGVPHPAAPPGEPQPGAAFLPAGASFPPGVALTAGARAPPPGAPPACAGDHGDAVAVLPLPTDAPLPAAGSAPALFSAQLLPGVESMAAGGPEGAAAGDAPAVAAAGLGPLLLSGDAALPAVPLPEPAGGSLAATLVAARAEAVERQARVRAAALVWEREREAADALARQVAAAMPFPAPQSPPPGRCTSAALAGPSAAAGAGGPDTLAYSFSTTAGLRAVSPDWIADSGATFHTTPDASLLSSLRPPHPSCPSSIMVANGTCIPVSSVGTASSHGSFHISDVLVAPGMVHNLLSIRRFTTDNSCSVEFDPSGLTVRDLASRVPLLRCNSTGPLYALRFPTSVSPSSPFSASVSPSSPSSAAFATTPSPTTWHRRLGHPGRDALMQLSRSSDIRCSRPHDDHLCHACQLGHHVRLPFQSSSSHATRVFDLIHCDLWTSPVLSMSGYKYYLVVVDDFSHYSWNFPLRAKSEAFPTLSHLFTWVSTQFGLTIKAVQCDNGREFDNSTSRAFFLSSDVHLRMSCPYTSSQNGKAERMIRTTNDIMRTLLLQASLPARFWAECLHTSTYLLNRLPSTACPAPTPHHALFGTPPRYDHLRVFGCACYPNTAATAPHKLAPRSTLCVFLGYSPDHKGYRCFDLTSRRVLISRHVVFDESAFPYSTSPPTPPANPAKASFFPTDPVILPPFSLYPAGIAPAHSPGGPASPLPDSHQDLPPVPDTAEAAPELPPSLPVASLPPVVPDAAVPIAGPSAPTPPPLGRFRIVYQHRREPSPPSPPPGHFGIVYERRREPAPPLSSPAPSSPVHAPPASPRSRADPPVYHPPLLHRDPRHTHPIVTREAARPQALTAAACEPGISPVPSSVRAALADPHWRRAMDEEYAALLANQTWDLVPRPPGSNIVTGKWIWTHKRRADGSLERYKARWVLRGFTQRPGIDYAETFSPVVKPATVRTVLSLALERSWPVHQLDVKNAFLHGTLTETVYCNQPAGFVDSSRPDFVYRLNKSLYGLKQAPRAWYSRFATFLQTLGFTEAKSDTSLFIYHYGGETAYLLLYVDDIVLTASSESLLRRIIASLQKEFAMKDLGVLHHFLGVTVEPRPSGLFLHQRQFTCDILERAGMTACQSCSTPVDTQGKLSEAGGPMLGPADSTTYRSLAGALQYLTFTRPDITYAVQQLCLYMHAPREPHLAAMKRLLRYLRGTLGYGLLLSRSSTAELVVYTDADWAGCPDTRRSTSGYAVFLGGNLVSWSSKRQPVVSRSSAEAEYRAVANGVAEAAWLRQLLAELHRPLARSTLVYCDNVSAVYLSTNPVQHQRTKHVEIDLHFVRDRVAIGDVRVLHVPTTSQFADIFTKGLPSSTFSEFRSSLNVSSG >Sspon.04G0014940-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:55983980:55985028:-1 gene:Sspon.04G0014940-1A transcript:Sspon.04G0014940-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGLKVPEMALRVCVVPLALASLWEMATNAQADDTYGEVKFSDLSGFSYLVGVNAVTAAYAIASVLLSSFKPLARYDWVILVMDQASAYLLVTSASAAAELLQLARRGDREVSWGEVCSYFGRFCGKATVSLALHAAALACFVALALVSAFRVFSSRCHPPPDADADADADGQPKHAQEEEQGRYH >Sspon.08G0016440-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8A:64958690:64961424:1 gene:Sspon.08G0016440-1A transcript:Sspon.08G0016440-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLKATSSYADVPTVARFRAAGRCGKWNCSSGTHGGSRDTFNCRPTVTYLHQPCLVLSVAVHCVAKGQSRISTQGHLVRVEVLVLFSTFIWILVQLFGSSRRQYSHAFFRFFVWAVYTLFTVLGPYTIGSLQDGPFRDQTFVLWATILLFSQVSADSLSVYSIHDIEQRKRVLVQHVLQIILVLWLILNCKGQNRSYTATIWIIWIQSVILAYRNAGTLNNASKKGGLLKQSKVVADYMMVEHEQIAQDDVTPNPETMQGYRYIFYREEEVASLLPTAPEYRVLLKEVTEKCTTIDSTWQWIESQSDYTMETVETFKDVALSFTLFKLLKRRLCGYRIGEAGLTKTLQFVLHGLISEEGNYDRAFGVVETELTFLYDFLYTRTRTLKYIMYNVKNEAETSWQRALGQYSLLLNFDYHPWNLLPLLSLGLVDATREGQKAGEKIRLRKSVIVRVLSGFKESNGQLQDGQSALGRNQLGSQFSWACTLPTHIHKILVWHIGTTIAVDGHQDPLTGGDVDNYHVAKSLSDYCAYLVAFVPDMLPGHGYDNQRIFDAVVKEARECLTGCDTMSSRCEKLMTMDLPSNRRCNILELGGRLGRELRDVVPEARRWKVLADFWADFILFLAPSSNVDIHTEMLAAGGEFMTHLWALLTHAGILERPSNADGVGGNHGNPAHDSPV >Sspon.03G0006920-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:18824424:18828369:1 gene:Sspon.03G0006920-1A transcript:Sspon.03G0006920-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPSGGIIIDWRQTMPPDSPAVELEVVGSPSPSVTPCPAAAARGDADADSDHGGGTAEFSHLNDQQLQVRISRCEGMATQGILQRTPDGGEKMQARVLRMKKELERRRARQWKVRKMWTLRNSFGHTGGRFAESDDETMDSTASKYYPNSSCTTSTKTYTQVKGAAYKERSSLIHAKCAYAKNGGQISKESLSPQLKTCAHLPKSRTINELENSTVDKRINATFGSNR >Sspon.03G0037830-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:33692325:33692760:1 gene:Sspon.03G0037830-2P transcript:Sspon.03G0037830-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQMSASYYGAAELDRATHVHRLVKGDDIEVHLDHRHMGLGGDDSWSPCVHEQYLLPPTRYAFSLRLCPVLPASSCHDIYRSQLPS >Sspon.01G0022370-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:81960057:81976273:1 gene:Sspon.01G0022370-1A transcript:Sspon.01G0022370-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVDFWNADKWRDMVDGAEVLVMTPQILLDNLRHSFFRLRDIPLLIFDECHRATGNSPYACILKEFYHPQLNSRPSDPIPTIFGMTASLINKGLARVKYSEKISELENLMNAKVYTVASESALLQYIPFATTKIVEYDDYIKTSEFYSHTIRCLNKLETKHLETLKEKLHCSLLENSEKRIKKLNAMFLYCISDLGVWLAAKAAEILQSNKEICVSFWGEKLDEKVEGFIRNYVSDVYSDLSEIILKMTKRGIQRHIGEDFAEDLQDGLLTSKVYFLIKSLWGIAGWLLPCSYLDVIMFHALLLCMHMQDLRCIVFVDRVITSIVLEALLSTINQMSGWSVRYMASGRIGGLQHQSRNKHAEIVDSFRSGKVHLIIATAILEEGLDVPSCNLIIRFDQAATVCSFIQSRGRARMQNSDYVLLIRRHVFQFCRGDANALSKTEKFLESGQIMREESQRLESTCCQPLPNTLCNKEFYRVQSTGAIVTLNSSVALIYLFCSKLPSDEYFKPVPRFNINKALGTCTLHLPMSSPVQTIYAEGEFSMIKKVVCLKACRELHAVGALTDSLLPESSVPCEDEPDIAPDPESNNMDMRHCHPCKDTGIVQTEDGPFCRCMLRNSIVRTPHNGMFYAVSGFRLDLNANSLMPGSSLSYKTHFKEKHGLDLTCEDQPLLKACKLVEVRNFLDKCNYKKEKERSSSKNVVERSSKNVVELPPELCIVVMSPMSAVTLRSFMFIPSIMYRIQCMLLSMNLKMQLGPSMQQFDIPALKVRFYCNFLIYAQYNVATKSACCALDILEALTAKNCQEEFSQESLETLGDSFLKYVTTQHFFGKYRHQHEGMLTKMKKNLISNAALCQLACSNNLVCLFLPILHLLCLLLCFQGYIRSEAFNPKTWIVPGVGYDICGTSLRKLKSKRIADSVEALIGAYLSAAGEQAAYIFLKSLGIDIEFHKMPVERTITIKPEEFINVKSLELLLDYSFNVPSLLMEALTHGSYQIAGTTACYQLHALMQRLEFLGDAVLDHIFTDYFYHQYPECTPELLTDLRSASVNNSCYAHAAVKAGLHKHVLHSSSALHKRMADYLDKFEQSFSGPSHGWAAGISLPKVHLLETLFTPVAKWIICLFAYMQVLGDVVESIAGAIYIDAKHDKVIVWRSMKRLLEPLVTPETLENDPVKELQEFCDRKAYTMEYTVTRENGVSSVVAEVRTEGTTYKATRTGFSKLDAKKLAASSVLHDMK >Sspon.02G0053440-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:105232095:105235464:-1 gene:Sspon.02G0053440-1C transcript:Sspon.02G0053440-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRNSGTDKHPPAAAATTTTGHDEIDPTAADMYSATVFRPIRTSRAHSASLCHASPAMHPPRYSRVTHLVSLAPRPRSLCAPPAPLAARSGRAPDWAAVGLAVPAVHATTMRWAGRAAPRLGWPGWLPLLAEPPAHCHLPSLPLPHSRSMTGRRAARWPKGRVVRRGRSRDKDVIGDAGAVGAFLYAGTGQGVAVRLNGSSVRTSAAGCPGDSCPVFYLVGHQRPVVLPSVGCPILLLARFLIWLRKQFNTYILISSVFSRQFERKMG >Sspon.03G0010210-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:34234806:34252035:-1 gene:Sspon.03G0010210-2B transcript:Sspon.03G0010210-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPSLMRSTSQLLRRRSYSSASGQPERKVAILGAAGGIGQPLSLLMKLNPLVSSLSLYDIAGTPGVAADVSHINSPALVKGFMGEEQLGEALEGSDVVIIPAGVPRKPGMTRDDLFNINAGIVKNLSAAIAKYCPNALVNMISNPVNSTVPIAAEVFKKAGTYDEKKLFGVTTLDVVRAKTFYAGKANLPVTDVNVPVVGGHAGITILPLFSQATPATNSLSDEDIKALTKRTQDGGTEVVEAKAGKGSATLSMACRGDVSHINSPPGTVALLVTRSATRFSGVCVVLTELVFWGEGLHGRGTAWGSARGLRRGNHPGWRAEEARHDQDDLFNINAGIVKNLSAAIAKYCPNALVNMISNPVNSTVPIAAEVFKKAGTYDEKKLFGVTTLDVVRAKTFYAGKANLPVTDVNVPVVGGHAGITILPLFSQATPATNSLSDEDIKALTKRTQDGGTEGLNGVPDIVECSFVQSTVTELPFFASKVRLGKNGVEEVLGLGELSDFEKEGLEKLKSELKSSIEKGIKFANEN >Sspon.01G0001710-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:4924865:4931170:-1 gene:Sspon.01G0001710-1A transcript:Sspon.01G0001710-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding KENDEKDRSAVIKIFQDMLEVVTRDIFDDQLSILESSHGGSYQKHDDTTAWDKEYQLFQPYGAIKFPLQVTTTDAWLEKERVGLEEELKESEESEESEELKEELRLWASYRGQTLARTVRGMMYYKKALNLEAFLDMAKREDLMEGYKAAESVNDEQWKIQQRSLFAQCEAVADMKFTYVVSCQQYGNDKRAALANAQDILQLMRNYSSLRVAYIDEVEDRVGDKKMETAYYSTLVKVALTKDSESADPIQNLDQVIYRIKLPGPAILGEGKPENQNHAIIFTRGEGLQTIDMNQDNYLEEALKMRNLLQEFLTEHGVRRPSILGVREHIFTGRVRFHYGHPDVFDRLFHLTRGGVSKASKSINLSEDIFAGYNSTLRGGNVTHHEYVQVGKGRDVGLNQISKFEAKVANGNGEQTLSRDIYRLGHRFDFFRMLSCYFTTVGFYFSTLVYLISWVVIVAALLVMKIAQACKPLARYVGLWGSVRALARAYEIIMGSVHNQSFVIKNHIYRCHHRALLDGTRAPGCCSNDVVACERPDSSAVRQRVM >Sspon.03G0001370-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:3417841:3420856:1 gene:Sspon.03G0001370-1A transcript:Sspon.03G0001370-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGNIGKIPVIGDLTGSNKNAHLKGNVVLMRKTVLGFDVTSMASAVIDGVGEFLGRGVTCQLISSTVVDPNNGNRGKVGAEASLEQWLLQPPPLLSGENQFRVTFDWEVEKHGVPGAIIVKNNHASEFFLKTITLDDVPGHGTIVFVANSWIYPQYKYRYSRVFFSNDTYLPSQMPAALKPYRDDELRNLRGDDQQGPYQEHDRVYRYDVYNDLGNPDGGNPRPVLGGSKDHPYPRRGRTGRKPTQTDPSSESRLTLTDGDVYVPRDERFGHIKNSDFYGYTIKAFADGLVPILQGYFLGLEFNSFKDILQLYEGGIKLPDIPALEEFRKQFPLQMVKDLMPAGGDYVLKLPMPPNHQRYEDKKAWMSDEEFARETLAGVNPLIIRRLTVSGLWRRAYTPWAVLLDFSVSATKFVTLLSFVLDDHQEFPPKSTLDPSKYGDQTSTITEAHIAGSLEGLTVQQALDSNRLYILDHHDHYMPFLIEVNSLDDNFIYATRTLLFLRGDATLGPVAIEMSLPELRDGITAAKSTVYTPVPATAGAEAWVWRLAKAYVNVNDYCWHQGISHWLNTHAVMEPFVIATNRQLSVTHPVHRLLLPHYRDTMNINALARQKLINAGGIFEMTVFPRKYAIEISSKVYGSWNFAEQALPDDLIKRGMAVPDPSSPYKVRLLIEDYPYASDGLAVWHAIEQWVTEYLAIYYPNDGVLQSDVELQAWWKEAREVGHADLKDEPWWPKMQTVAELVKACTTIIWIASALHAAVNFGQYPYC >Sspon.02G0003740-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:10993304:10997154:-1 gene:Sspon.02G0003740-2B transcript:Sspon.02G0003740-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPQPAHLPVRFASSVALLLVVAFSSLMRSSDAYDPLDPNGNITIKWDVIQWTSDGYVAVVSLYNYQQYRHIQAPGWKLGWVWAKKEIIWAMTGGQATEQGDCSKFKTNIPHCCRKDPEVVDLLPGTPYNMQIANCCKGGVLTSWAQDPNNAVASFQVSVGQAGTTNRTVKVPKKFTLKAPGPGYTCGTAKLVKPTKFISQDGRRSTQAHMTWNVTCTYSQFLAQRSPTCCVSLSSFYNDTIVNCPSCSCGCQNNSTAPGSCVEGNSPYLASVVNDPNKNSLAPLVQCTSHMCPIRVQWHVKVNYKEYWRVKITVTNFNYRMNYSQWNLVAQHPNFDNLTTIFSFNYKPLNPYGVINDTAMLWGIKYYNDLLMTAGPDGNVQSELLFRKEPSTFTFQKGWAFPRRVYFNGDNCVMPPPDAYPWLPNASPRLSASLLLPVVAAWTAFAILLMTHA >Sspon.02G0000460-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:2402793:2408367:1 gene:Sspon.02G0000460-3C transcript:Sspon.02G0000460-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCLRFLRRGFLAMLPSKRSAGADGSESKRAKLGESPSAAAAAGVGNGTRNGAAPEIDEDLHSRQLAVYGRETMRRLFAADVLVSGLNGLGAEIAKNLVLAGVKSVTIHDVKMVEMWDLSGNFFLSEQDVGKNRALACVSKLQELNNAVLVSALTEELTTEHLSKFQAVVFTDISLEKAYEFDDYCHNHHPPISFIKAEVCGLFGSVFCDFGPEFTVIDVDGEDPHTGIIASISNDSPAMVSCVDDERLEFQDGDLVVFSEVQGMAELNDGKPRKVKNARPFSFSIEEDTSSYGVYTKGGIVTQVKEPKVLRFKALRDAMRDPGNFLLSDFSKFERSPVLHLAFQALDKFKKEHGRYPTTGYEQDAQTFLKFAADINEASVGGPKLENIDEKLLRHFASGSRAVLNPMAAMFGGIVGQEVVKACSGKFHPLYQFFYFDSVESLPTYQLDPQDLKPSNSRYDAQISVFGSKLQKKMLDANIFIVGSGALGCEFLKNLALMGVSCSSKGKLTITDDDVIEKSNLSRQFLFRDWNIGQAKSTVAAAAAIAINPSLQIDALQNRASPDTENVFHDTFWDGLDIVINALDNVNARMYMDMRCLYFQKPLLESGTLGAKCNTQMVIPHLTENYGASRDPPEKQAPMCTVHSFPHNIDHCLTWARSEFEGLLEKTPNEVNSFLSNPAQYAAAMRKAGDAQARELLERVSECLDKERCNTFEDCITWARLRFEDYFSNRVKQLTFTFPEDAATSTGTPFWSAPKRFPRPLQFSATDSSHINFIMAASILRAESFGIAIPDWAKNTSKLADAVNKVAVPKFEPKKGVNIVTDEKATNLSSASVDDVAVINGLLTKLEEYAKGLPPGFQMKPIQFEKDDDTNFHMDLIAGLANMRARNYSIPEVDKLKAKFIAGRIIPAIATSTAMATGLVCLELYKVIAGEHPIEDYRNTFANLALPLFSMAEPVPAKVMKHQDLSWTVWDRWTIKGNLTIAELLQWFSDKGLSAYSMSCGTSLLYNSMFARHKERLQKKVVDVAREVAKVEVPEYRKHIDVVVACEDDDGNDIDIPLVSEDDDSDPDLLS >Sspon.05G0024500-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:15706053:15709371:-1 gene:Sspon.05G0024500-1B transcript:Sspon.05G0024500-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAGFQLGVIGSLALSVASSVAIVICNKALISTLGFPFATTLTSWHLMVTFCTLHVAQRLHFFEPKAIDGQTVILFGLLNGTSIGLLNLSLGFNSIGFYQMTKLAIIPFTVLLETIFLKKRFSESIKLSLLVLLLGVGIASVTDLKLNLLGSVLSGLAIATTCVGQIVSYIILTNTIQKRLKVSSTQLLYQSAPYQAAILFATGPFVDQFLTNRSVFAHKYSAPVLGFIVLSCLIAVSVNFSTFLVIGTTSPVTYQVLGHLKTCLVLSFGYTLLHDPFTLRNILGILIAIFGMALYSYFSVREGKKKSANDALPVSQMPDKEAEPLLATKDSNDTKKANGLSHDC >Sspon.03G0004830-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:13611381:13614243:-1 gene:Sspon.03G0004830-1A transcript:Sspon.03G0004830-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADYEQEQEMEVEALQAILMDDIKEIDPSESGIATTARCFQILLSPQDDDFDESAYVPVQLALIFAHTEKYPDEPPLLNVKSVRGIKPHDLTSLKEKLEQEATENLGMAMVYTLVSSAKEWLSEKYGQNGGDEEPEETEAEEEEVIIPHGEAVTVESFLAWRERFEAELALQRAKLMPDSALTAPKEKKLTGRQYFESGRHAVKGASTVADEDEEEEEDIEFDDDFEDDEEDMLEHYLAEQSGKSTA >Sspon.06G0003300-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:8636961:8638390:-1 gene:Sspon.06G0003300-4D transcript:Sspon.06G0003300-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDETKSSKAATVVLYPGAGGVGHVTPMAELAKAFITHGYPVTMVLIDPSGSTATSAVARRLAASYPCISVHVLPPVPPSHSDAASKITNALLLLPRRYNGALERFLRTTPVPVRCLVLDAFCVDAIDVATRLGVPAYTFVATCASALAALIQVPALLAGRKTGLKELGDAPLQFLGVPPVPASHLVRSLLERPDNERCRAMADVWARCTATGGVLVNTFESLERPAVQALRDPRCVPGRALPPVYCVGPLLNGEDGGAERHECLAWLDARPERSVVFLCFGSKAAHSAEQLREIAVGLDRSGHRFIWVIRSPTGNDEDREGFLPEGFLQRTEGRGLVVRSWAPQADILQHPSTGAFVTHCGWNSTLEAVAAGVPMLCWPMHAEQRMNKVFVTAAHGMAVGIEVEVASATGLVGASEVEAKVRLVMESAEGEGLRARAAAWKEEARAATAEGGAARATFARFLSDMESEQANSA >Sspon.02G0053250-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:88246979:88247848:-1 gene:Sspon.02G0053250-2D transcript:Sspon.02G0053250-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding TWTVDVPAGTQSGRVWGRTGGSCQTGDCGGALSCTLSGQPPMTLAEFTIGGSQDFYDISVIDGYNLPCSSPSTGVTLNCGGSSCPDAYCFPADNTKTHACNGNSNTRSPSAMITDRYEAAASSIPSANRRLCAMAKQAIGKQISPTAKPSANLSQRQRRNLPTAEPSANLSHRQTPIFADGQTQAIGKLGQARRRRTPADAVIFADGQAVRPSAKYMAMPTADHRQTIYADGL >Sspon.05G0032790-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:21913343:21915852:-1 gene:Sspon.05G0032790-1C transcript:Sspon.05G0032790-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein FAR1-RELATED SEQUENCE 11 [Source:Projected from Arabidopsis thaliana (AT1G10240) UniProtKB/Swiss-Prot;Acc:Q9SY66] MTPADAVPASLLECDARGADDSPDETRLSLETAVPSAEAGEGDPDAAPYVGQRFPTHDAAYEFYSGFARRCGFSIRRHRTEGKDGVDKGLTRRYFVCHRAGSAPAKPLAGAPRPQRNRSSSRCGCQAYMRIGKAWTPEWRVMAFSNHHNHALLGQSKVRLLPAYRFISGADRDRILMFAKSGITVQQMMRIMELEKCIEPGSLPFTEKDVRNLIQSRKVEHEDDERVDLLRMCKNFKEEDPNFKCEFTKDANNHVENIAWTYVDSVQSYELFGDAVVLDTTPRLSSLDMLLGIWVGLNNYGRLFFFACVLLREENQISFAWALQVFLNFMNRKSPLTILTDQNVCLKEAIEKELPSTKHVLCKWLIAARFPSWFGANLGERLISSPAVSKSTNAFIRRFLSAQTHLANFVEQVSICCQIPNQAGEQEMMQQNLQNIRLKTASPIEGHAAAVFTPYAFSKLQDELVASAQYASFHLEGSIFLVRHHTKEAGGCSVTWNQREELISCCCQMFKSGVGILCRHALRVLTALNYFQIPDLYLPVRWHRTQPPPSNSLNGAPHHPQGVASERVAALQSMVSALVSEATKSHERMDLATQEVYLQLSRIKQQPVSMHVSGES >Sspon.03G0016570-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:52455995:52461552:-1 gene:Sspon.03G0016570-1A transcript:Sspon.03G0016570-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASERPLLVAATPGASTPDDAPSPHPPAASLLPPAQSEPPLRADRLAFSVEVPDPFRPPYRRGDGPADDPSTSSQQREREGGDDESRGVIVGEPSPESAGNAIRTAKYSFLTFLPRNLFEQFRRLSYVYFLAITVLNQLPQVAVFGRGASVLPLAFVLFVTAVKDAYEDFRRHRSDRQENNRIASVLALGTTGEFQPKRWKHIRVGDVVRVASNETLPADMVLLATSDPTGVAHVQTVNLDGETNLKTRYAKQETQVRFSQNAGVGGILHCERPNRNIYGFQANLEIDGKRVSLGPSNIVLRGCELKNTTWAIGVVVYAGKETKVMLNSSGAPSKRSRLETQLNRETVILSFMLIGMCTTASVLAGIWLLNHRRELEFTQFFREKDYTTGKNYNYYGVGMQIFITFLMAVIVYQVIIPISLYISMELVRLGQAYFMGADKDLYDESSRSKFQCRALNINEDLGQIRYVFSDKTGTLTENKMVFQCASIRGVDYSSGKDTGGYSVVVGDHLWTPKMAVKTDPQLVKLLRDSGSNEEQKLVLELFLALAACNTIVPLVLDTRDSKQKLIDYQGESPDEQALAYAAASYGIVLVERTSGYIVIDVLGDRQRFDILGLHEFDSDRKRMSVIVGCPDKTVKLYVKGADSSIFGITNNSSELDIVRATEAHLHKYSSLGLRTLVVAMRELSRSEFEEWQLAYENASTSVLGRGNLLRSVAANIERNVHILGATGIEDKLQDGVPEAIESLRQADIKVWILTGDKQETAISIGYSCKLLTNDMTQIVINNNSKESCQRSLVEALTTTKKLRAASSIDTQGPLLASETSTVTLALIVDGNSLVYILETELQDELFKLATECSVVLCCRVAPLQKAGIVALIKNRTDDMTLAIGDGANDVSMIQMADVGIGISGQEGRQAVMASDFSMGQFRFLVPLLLVHGHWNYQRMAYMILYNFYKNAMFVLVLFWYVLYTAFTLTTAITEWSSLLYTVLYTSLPTIVVGILDKDLNKAILLAYPKLYGSGQRDDKYNVNLFVLNMLEALWQSLAVFYLPYFAYRRSTIDMSSLGDLWALAPVIVVNMQLAMDIIRWNWIIHAFVWGTIAATTVCLFVIDSIWVLPGYGAIFHIMGTGLFWFLLLIIVVTAMVPHFVFKAFTEHFRPSDIQIAREMEKFANVNQ >Sspon.02G0050870-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:68460635:68464018:1 gene:Sspon.02G0050870-1C transcript:Sspon.02G0050870-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLLAGCRKKQQQSSKSLVTSVPDFDGYQWRKYGQKQIEGAMYPRCTRSAEQGCAAKRTVQRNDDGVDAATTAAAPEYTVVYVAEHTCTANDSLEAPVILETTTVVVPAANTTSTTTKGPRDDYHHTYTDSIVPTTSAGSCSTTTAASTSITTGTESPAISGDDITCWSSTSGASSSDYNYADDYYCGGLFAAAHGSGWTTGPMDASLSSLQEMEDLTGPIRSPLDSGRANAERKEGPDGAL >Sspon.02G0007500-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:21915523:21919054:-1 gene:Sspon.02G0007500-1A transcript:Sspon.02G0007500-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGLLLLWAWAAALWLAVSAVAHAHPGGGGVGEQPLSRIAVERVVLAVNDAAHVKASPLVLGHKYQFANFKNDGYNNTGKGYLKLQLINQREDFSFALFSGGLSKMTVTWTSGYDITEAVPFVEWGEKGGRRLLAPAGTLTFDRNSMLGHRLMNGTRIWSKSYSFKASPYPGQNSLQRVVIFGDMGKAEADGSNEFNDFQPGSLNTTYQIIRDLENIDMVVHIGDICYANGYLSQWDQFTAQIEPIASTVPYMIGSGNHERDWPGTGSFYGNRDSGGECGVPAQTVFYTPAENRAKFWYATDYGMFRFCIANTEEDWRPGTEQYRFIEQCLSSVDRQKQPWLIFLAHRVLGYSSCTYYESEGTFEEPMGREALQELWQKYKVDLAFYGHVHSYERTCPVYQSQCIVDGSDHYSGPFQATTHVVVGGAGASVFDSEFTTSKIQWSHFTDFDHGFVKLTALNHSSLLFEYKKSRDGNVYDHFTISRDYRDILACSIDNCPRTTLAS >Sspon.06G0014840-3D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6D:61779688:61785491:-1 gene:Sspon.06G0014840-3D transcript:Sspon.06G0014840-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFVTAEWWTLSINPSEMLLCSALCCFLLHRLIQTSDFRVALATEYELLILLSIYPCPHHSTEDIDESSAEVLCSAKSYAKANPLLIQVPVLGTAAKRFWRLSDKASRITRKLALILRSHHAVGKHLAAPLQVSNVWIGSAGGGSVVVKLRGVSFTAKGFGVDRVRDDYRHLSRVLQAILRVSSGGDVAIARVQEDAVRPAAAQQEREEPLARVRRRHRGCWNRASPSETASPPPPGVRSPASRRPPTPRTDRRHLVMAETDAERLAREACETREREAEAARRRQAEADRAAALDAYEAKYAAVHAAAIAVLNIKVLVPLVLDRTNDNYNWWRALFLTVLGKYALTDHVLSDVVNVDRPAWVQMDYTVLTWIYGTIHADLQQATMNRKPNARGAWVYLENEFLGQRESRALLLSAEFRTAKQGAASITDFCRRLETMAATLSDYGDPIGDRTTLSDYGDPIGDRTMVFTLLRGLNGKFRPMVSNLKIRQPFPTFEEARTLLLLEEIDINDLAAGEAAGASDPPPSSTTTALAAAPRAPGNHGQGGGHGQGRPAVFAAPGQGAYGHGGQTPGGQGGGQGGQNGQRNGRRRGGRGRNQQQHGSNQQQQGGGNFPMAHVYNPWAGHQQYMPQQQPYSIAPPPGFGYGGPLPPQQQQQWTPMQGASWDPSALVHNFNTMTLTPPPSGEWYADSGAGAHMVNNAATYLLNRHPTKTLDGQTPFFALHGTQPSYTHLRVFGCACYPNLSSTAPHKLSPRSSLCVFLGYSSDHKGYRCLDLHSNRIIVSRHVVFDETLFPFSEMSSSPQDPNTLAFLDDADDFSSPIGPRVVVAGTRLPSGVDTTPGTPGASPSAAPSGSQGAPGQLGAPDIGSQGDQGSLAPGHDGPIPAPAGAAAPVDITSQVAASGAGRTTGRTTATAPEAIAPVTNAHGMRTRGKDGFRQPVDRLNLTTTVAAMSPVPTSVRTALSDPSWRHAMQDKFDALLANDTWTLVPRPPGVNLVTGKWVFRHKFKADCSLDRYKARWVLRGFTQRPGVDYDETFSPVVKPATIRMVLTLALSRSWPIHQLDVKNAFLHGTLNETVYCVQPTGFVDSTKPNYVCRLNKSLYGLKQAPRAWHSRFASHITSLGFAEAKSDTSLFIYCRGADMAFLLLYVDDIVLTASSPSFLHRIIAALRQEFSMTDMGPLHHFLGVSVQRRGDSLFLSQRQYMLEILERAGMSNCKASSTPVDTHSKLPADGVSVSDPSQYRSLAGALQYLTFTRPDIAYAVQQVCLYMHDPREPHLSALKRILRYLQGTLDLGLHLHRTSPADLTVYTDADWAGCPDTRKSTSGYAVFLGDNLISWSSKRQPTVSRSSAEAEYRAVANGVAEACWLRQLLLELHCPLRRATVVYCDNVSAVYLSTNPVQHQRTKHIEIDLHFVRERVALGEVRVLHVPTTSQYADIFTKEETLYCEWPELLMAMVKMLHLEGELEATDIENKFG >Sspon.07G0030950-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7C:15029768:15032673:1 gene:Sspon.07G0030950-1C transcript:Sspon.07G0030950-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPAPPNAAAGDLFAANLTGALLAVASSAFIGVSFIVKKKGLRRAAAAGARAGVGGYGYLLEPLWWVGMVTMLIGEIANFVAYMFAPAVLVTPLGALSIIVSLSLLCGLCIGDLTDLDTSLRTPLWPDQHSGVCGNLLGDRIFDDLYAPLSPIYWHIQGNGDTGGKLKEDGLLSGDFITVVRQDYFV >Sspon.01G0036830-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:16061569:16065628:-1 gene:Sspon.01G0036830-2D transcript:Sspon.01G0036830-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPPRGQPATAAAPAAAAATSPQLPAASHPLPRAFLATSSPRATAAAPAAPLFTGRPLNPNPPGHGSSVPHGILYPVLRSASTSNSAAAAVTTQLRRVPPMAVGYPRTHAVAVPIAQQQQPLVRELPRSFAAVPRALVAGVAARPEQPPRGVPIASQPKVCEIFFVSCEWKVNPVPPVAPSNEQSNPKDSIKFHYREKSREEPTVVVINDRKVNLLDSESGSLYALCRSWVRNGIPHESQPSFGNGEPLLPRPLPASVVDSRISERDNNDAAGEDSDEEPQKNANGEYNANDLLKQHVAKGSESSD >Sspon.03G0021200-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:87837743:87842478:-1 gene:Sspon.03G0021200-3C transcript:Sspon.03G0021200-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTAIGSVPGSSDAAASAPRDATLGRHLARRLAEVGARDVFTVPGDFNLTLLDELEAEEGVRLVGCCNELNAAYAADGYARARGGGVGACAVTFTVGGLSAINGVAGAFSENLPVVCIVGGPNSNDYGSNRILHHTIGLSDFTQELRCFQTVTCYQAVVNNLEDAHEQIDTAISTAIKESKPVYISISCNLPSIPHPTFSRHPVPFFLSPRLSNQMNLEVAVEAAVAFLNKAVKPVLVGGPKMRVTAQEVSTMLRWGQNTIIFLINNGGYTIEVEIHDGPYNVIKNWNYTGLVEAFHNGEGKCYTAKVRTEEELKEALKAALGPKKDCLCFIEVIVHKDDTSKELLEWGSRVSAANSRPPNPQ >Sspon.08G0002790-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8A:7990564:7992369:-1 gene:Sspon.08G0002790-1A transcript:Sspon.08G0002790-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQSRQLGRVAVEYGTWPFLSFNLVQAVGPSTRDKQLCTFGAEWGGTLAAVGVGGPCRQATATQRAPRTQQLAACSGWWLVAGAQIKYFQLAEVKTCMTEAPAEIQDSNILQQACFPSPLHLHASSR >Sspon.03G0000290-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3A:672533:674942:-1 gene:Sspon.03G0000290-1A transcript:Sspon.03G0000290-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MECNRDDAVRSKDIAEAKFRENDIAGAKKFALKAKALFEPLEGIDQMIVALDVHVRAQTKIAGENDWYGILEVPPMADEEAIKKKYKKLAFQTHPDKNSSVCAKAAFNLISDAWNVLSDNAKRMVHDHRRRMHALAVHQNNFKATARSTSNSSMSSVNRFCDRQKKVAPHLAHGATETFWTLCLSCLMNFQYSREYLNHQLKCLKCHAVFVATEVRPPPSSVQFYPSELMPMATNNNIGGNTVPGMATPGVQAGVSQGIRIAIQQCSNLQHVHKVLDIRSNKHVTVLEKRRQLELVFPQMKKHIPEKFCSTLQGNMHMQSLLSDEQMQQQGSMKLQRENAPMLASKEPGSRQPPALMAMDANQCAQQKESLAQPLKHQVPEVRIVYTRRNRKQHKKELGDEVTGANPATEHHMPGKYGCLNQDPSHDEGSDKMPIPDADLYSVPDADFNSFGDHSESSFQNDQVWAMYDEEDGMPRYYALIRKVISTRPFKVRLAHLKANDCNEFGASNWISYGYSKICGEFKVDVSKHTDQVNIFSHKVNCDKGPGGIIRIFPKKGDIWALYQNWSPDWDQFTPDDMIYKYELVEVLDSYNPAKGISVMPIVKVPGFVSVFKPFHNATKSWRIPREEMMRFSHQVPFHVLTGEEAHNAPKGCYELDPGSTPQELLH >Sspon.01G0001840-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:32118738:32120303:-1 gene:Sspon.01G0001840-2B transcript:Sspon.01G0001840-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKALILVGGFGTRLRPLTLSVPKPLVDFGNKPMILHQHGAHINVCAFVGRSDLTGDAGDAQLSQGLREQAWHQDHLLSGDRAPRDRWTAGPGPRQARGRFRRPFLRAQQRRDQRVPVRGAHRVPQGPRWRGHDHGDQGGRAVQVRRGGHGGGDRQGGAVRGEAQGVRGQQDQRRHLPAEPVRAGPHRAEADVHREGGVPAHRGGRGPLRHGAPGVLDGHRAAEGLHHGPAPLPGLAAEEGARQARVGRARPGQRAGARDRGHRGGLPHRARRRRRAGVRGGGRGAAVPLHRHARRAREAARLRLQQHYRLALHRRQVGARGEHDHPRGGRARLRRDLQQRRRRAPAQGDQVQHPQARD >Sspon.06G0031070-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:54447657:54450342:-1 gene:Sspon.06G0031070-2D transcript:Sspon.06G0031070-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vesicle-associated membrane protein 714 [Source:Projected from Arabidopsis thaliana (AT5G22360) UniProtKB/Swiss-Prot;Acc:Q9FMR5] MAIVYALVARGTVVLAEFAAVSGNAGAVARRILEKLPPDAESRLCFAQDRYIFHVLRSDAAGITFLCMANDTFGRRIPFLYLQDIQMRFMKNYGRVAHSALAYAMNDEFSRVLHQQMEFFSSNPSADTLNRLRGEIHTVMVDNIEKILDRGDRISLLVDKTSTMQDSAFHFRKQSKRLRRALWMKNAKLLKGASAPKKKHVKQRMAMGSRGV >Sspon.03G0001020-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:14213054:14216432:-1 gene:Sspon.03G0001020-3C transcript:Sspon.03G0001020-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRRCCCNCQVDESDQHENGHIKVTANNIDGVTKGLKDSATGKVEPHNTAPPIDIPVLSLDELIEKTDDFGSTALIGEGSYGRVYYAILDNGTKMAVKKLDSTENESTTEFLTQVSLVSRLKHENFVDMLGYCMERNLRIVAYEFATMGSLHDVLHGRKGVQGAQPGPALDWMQRVQIAVGAAKGLEYLHEKVQPSIVHRDIRSSNILLFEDFKAKIADFNLSNQAPDMAARLHSTRVLGTFGYHAPEYAMTGQLTQKSDVYSFGVVLLELLTGRKPVDHTMPRGQQSLLDATPRLTEDKVKQCVDPRLMGEYPAKGLAKLAAVAALCVQYEAEFRPNMGIVVKALSPLLITKQTPPPVVDS >Sspon.01G0021070-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:77569893:77574288:-1 gene:Sspon.01G0021070-1A transcript:Sspon.01G0021070-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:YABBY family transcription factor, Leaf developmen [Source: Projected from Oryza sativa (Os10g0508300)] MSSSSSSSRCLRGHGVPAVPQLPPPLLVENLPPLHQLTPVAAAAAPASEQLCYVHCHFCDTVLVVSVPTSSLFKTVTVRCGHCSSLLTVNMRGLLFPGTPANAATAAAPPPAAVTSTTTTITTAPAPATSVNNGQFHFPQSLNLAPNPHHQSLLLDEISSAANPSLQLLEQHGLGGLIPSGRNAAAPPPPPPLAAGKGTKEPSPRTNPVINRPPEKRQRVPSAYNRFIKDEIQRIKAGNPDISHREAFSAAAKNVGALSTHPLWTHAGSPGAQEDKPATSGSPDQKGRASKGRALRGGSQHGGCSIL >Sspon.03G0037330-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:92901704:92906808:-1 gene:Sspon.03G0037330-2C transcript:Sspon.03G0037330-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKGRPKPTPVKAAAEVIFDPLASGARKPRRPGAPSASKERHSFMGSSLSDMYREPVLEKSADTSDEEPEIDIMRLLKDVEIIGAPSFKGRKQIENRRVVELGGKEKLLGIFRKRDKNTKTQKTRPEDRVLRATEGRFKNGILDVKHLLAPPKPSGRDAPEPKMRKGKHKGKGKQKGGRRKRR >Sspon.08G0005200-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8A:15443826:15445258:1 gene:Sspon.08G0005200-1A transcript:Sspon.08G0005200-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLPVHLLLLLPLLAVISFLWLSRGALCRRGSGERLPPSPWALPVIGHLHHLAGALPHRAMRDLAARHGPVMLLRLGGLPVVVASSADAAREVMKARDIEFATRPVTRMVRLAIPEGAEGIVFAPYGDGWRQTRKICTVELLSARRVQSFRPIREEEVGRLLHAVASAAAPRPRAVNLSELLAVYAAESSVRAIIGSRIKDRDTFLALLERGLKLFANMSLPDLYPSSRLAMLVSRMPGRMKQHRQEANAFMDAMVQEHGESRAADDGDKEDLLDVLLRIQREGDQQFPLTTDNIKTVIGDMFAGGSETGATTLQWIMAELMRNPGVMKKAQDEVRQALAVAGRQRVTEDDLSNLHYMHLVIKEGLRLHPPLPLLLPRECRSSCQVLGFDVPAGTTVFVNTWAIARDPSYWDKPEEFVPERFEDSSVDFKGTDFEY >Sspon.01G0020780-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:76947331:76950689:1 gene:Sspon.01G0020780-1A transcript:Sspon.01G0020780-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPPRVCVTGAGGFVASWLVKLLLSRGYAVHATVRDPCDPKNEFLMQLDKASENLRLFKADVLDSGTLAAAFAGCEGVFHMASPVPEDKMVDPEKEMMAPTVKGTMNVLEACSATEVQKIILVSSLASVSFDPNWPEDKLIDESCWSDKDFCKQNKIWYALAKTESEEIALEYGKRNGLHVVTLLPGLVFGPLLQTVVLNTSSKVLVYMITGGHDTMNNKFWPIVDVRDVADAFLLAYENAEPSSRYICSLDQMDIKDLLAIMKSMYPNYSYVDKMVDVGCKVAVTSGKLLDLGWEPRKLEETLADSVECYKKAGLLQDVDGEPCRLPYFYRMNAEE >Sspon.01G0018750-2D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1D:66421092:66421349:-1 gene:Sspon.01G0018750-2D transcript:Sspon.01G0018750-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPPISVRSERMPARSHGDGGAVTGVRGRGVARAAAAHRGGQGESVWAALRSGCRKLHTCSRMSKQRLRFLSGGRRRGANVQVRV >Sspon.03G0038060-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3C:2896016:2896705:-1 gene:Sspon.03G0038060-1C transcript:Sspon.03G0038060-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MESILRLQNSSKPRHDDIILASNPKCGTTWLKALAFAVTNRFQYDFDNHPLLFRHPQEVVPFIEIPRNMVFAYLETLPSPRLVATHLPLSLFPKSITSGCGSQVVYICRDPKDAFVSRWCYDNKVHRGHSVDLKTAFNMFSEGFSGYGPFWDHCVEYWRESIARPDRVLFLKYEEMLSDPVKYVIKIAEFIGVPFSTKEEEDGVPAEMVRLCSFEKLSSLYVNKTGEFF >Sspon.08G0007450-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:271907:278050:-1 gene:Sspon.08G0007450-1P transcript:Sspon.08G0007450-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQDLEMAARHGPGSGADGAHYYPQPRNGAGGEELDDDGRKKRTGTVWTASAHIITAVIGSGVLSLAWSTAQLGWVVGPITLMIFAFITYYTSSLLADCYRSGDQLTGKRNYTYMDAVAAYLGRWQVLSCGVFQYVNLVGTAVGYTITASISAAAVHKANCFHKKGHAADCSTYDTMYMVVFGIVQIFFSQLPDFSDLSWLSIVAAIMSFSYSTIAVGLSLARTISGRTGKSTLTGTEIGVDVDSAQKVWLALQALGNIAFAYSYSMILIEIQDTVKSPPAENKTMKKATLMGVTTTTAFYMLAGCLGYSAFGNAAPGNILTGFGFYEPYWLIDFANVCIVVHLVGAYQVFSQPIFAALETAAAKRWPNAKFVTREHPLVAGRFNVNMLRLTWRTAFVVVSTVLAIVMPFFNDILGFLGAIGFWPLTVYYPVEMYIRQRRIQKYTTRWVALQLLSFLCFLVSLASAVASIEGVTESLKHYVPFKTKS >Sspon.07G0008540-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:19160945:19164878:-1 gene:Sspon.07G0008540-1T transcript:Sspon.07G0008540-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPDPVAAGASSSPPPPSDHQIAAMKPDPVAAGASSSPPPSPAPATSPPSSPAPAASPPRQTRDDDERGAVECADPGGGLNPAPPLPPQQDTVEDAAVGSEVEPKKEAMAGVGEALRSFMEEFGVQGEDSIILSPRLKEISTPDRPAALRFLGEKYNNLMERYKKQVVKCSEDCEPRYNGLKKKYTDECAERRRLYNELIELRGNIRVFCRCRPLSSDEVTRGCLSVVEIDPSQETELQFVPNEKERKPFKFDHVFGPEDDQEAVFSETVPVVRSVMDGFNVCIFAYGQTGTGKTFTMEGIPENRGVNYRALEELFRMSEKRSASVKYTFSVSILEVYNEKIRDLLDESNDQSKRLDIKQNADGTQEVHGLVEAPVYNIDGVWEKLKFGAQNRSVGSTNANELSSRSHSLVRVTVRSENLVTYQRSRSHIWLVDLAGSERIAKTGVEGDRLKESQFINKSLSALGDVISALASKNSHIPYRNSKLTHLLQSSLGGDCKTLMFVQISPSSMDSGETLSSLNFASRVRSVEHGPARKQVDPAETLKFKQMTEKLRHEEKENAQLNQHLQLMQLKHASRENVFRTLNEKVKDAEQACRNYQQRIRELENELGNEKRASRDSARSSRPPLVPPRQRQPQGRNNSYIPPSGPSRWRLKAPTINNKENIPVGMKKPNPVDDPNKAVGRARRVSLTPVMRQIPTQPKRRSSMAILPSVREHFSVLNEKRGGSQLPRASVATFGVNLVPGTPLAGYGGPVDATPDGAKYRRFDFGSSSKFTSPPQNVGTWNKMVTPQQKLGMAPAGPANAAKLCFSIQKRVTPPRVRATSGLGIFDAALRENMAVGITGKARRVLNAKRRQSVL >Sspon.02G0016950-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:47995974:47998193:-1 gene:Sspon.02G0016950-1A transcript:Sspon.02G0016950-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGFRKADPDLEAGGSSLLYPGMTESPELRWAFVRKIYVILAVQLAMTAAVSGFVVKVPAVSNFFVSSNAGIALYIFLIILPFIVLCPLRYYHQKHPVNLLLLGLFTVAISFAVGMTCAFTSGKIILEAAILTAVVVVSLTAYTFWAAKRGHDFNFLGPFLFAAIMVLMVFSLIQIFFPLGKISVMIYGGLASLIFCGYIIYDTDNIIKRYTYDEYIWAAVSLYLDVINLFLALLQLLRAADS >Sspon.03G0011030-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:37163788:37167792:1 gene:Sspon.03G0011030-2B transcript:Sspon.03G0011030-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQHEPWRPGAEPAGMAPTTVDEASMERSKSFVKALQELKNLRPQLYSASEYCEKSYLHSEQKQMVLDNLKDYAVRALVNAVDHLGTVAYKLTDLYEQQASEVSTLELKVACLNQMREIKECRLFLIRKPMLILTQRHGLTLQVQLLPSVQTFAILVLSTWCPETKYFPLQQGPFSGIWFPRRIPKPVDQINLKLPKEKQKLLSLHQVVSALARDHLRLPCPGMCSPT >Sspon.04G0006210-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:17031721:17032776:1 gene:Sspon.04G0006210-2C transcript:Sspon.04G0006210-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein TRANSPARENT TESTA GLABRA 1 [Source:Projected from Arabidopsis thaliana (AT5G24520) UniProtKB/Swiss-Prot;Acc:Q9XGN1] MDLPKPPSTAASSSGAETPNPHAFTCELPHSIYALAFSPAAPVLASGSFHEDLHNRVSLLSFDPVRPSAASFRALPALSFDHPYPPTKLQFNPRAAAPSLLASSADTLRIWHAPLDDLSATASAPELRSVLDNRKAASEFCAPLTSFDWNEVEPRRIGTASIDTTCTVWDIDRGVVETQLIAHDKAVHDIAWGEAGVFASVSADGSVRVFDLRDKEHSTIVYESPRPDTPLLRLAWNRSDLRYMAALLMDSSAVVVLDIRAPGVPVAELHRHRACANAVAWAPQATRHLCSAGDDGQALIWELPETAAAVPAEGIDPVLVYDAGAEINQLQWAAAHPDWMAIAFENKVQLLR >Sspon.01G0020830-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:77187277:77190880:-1 gene:Sspon.01G0020830-2C transcript:Sspon.01G0020830-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPLLTLTSLLFLVHSAAAAGDTRRALHEPLFPIEWTPPPSTTEPPAPGFAADPSTPVPPVDNGGPALLPAPPPPNTVAADASSSSTGPAPRLHGGGGGTPKATIVVASAAAAAVLALLAFAAAFLLTGRLARRHPAWAHKPPGLAAATHPGPASAVVLHADAVGTSAAASSSGAGATPRGMCRDVDTVPSPELRPLPPLRRAGSSDEDAAYYTPGQRSAGSAGGEGAGTPRTTTPSRRSLPSLTSDFFPPTPAAADPAATVAPPPPAPPAPRSRRTQPRTRFSAGSASDMIKQMVSPPSNPPHPPPPPPPPPPPAPRCTNAIPKPPPPPREPSAAPLSTRRFHKLEQAEGPSVAVPRAPVMAVNKDNDGMPIRAHDDPASDEARPKLKPLHWDKVRACSDRDMDMIEVLFTNNAANAPPRDTLRKPGVPQCSAQEKVLDPKKAQNIAILLRALNVTLEEAKAFKLDTLLKLADVKGTDGKTTLLHFVVQEIVRSEDAKSEKAVEDEARNIVKDEMFRKQGLKVVSGLSNELGNVKKAASMDFDVLHSYVSKLQAGLGKINSVLLLEKQCTQGQNFFARMRDFLKEAEMEIKQVRCDEERALGRVKEITEYFHGDAAKEEAHPLRIFMVVRDFLSMLDHVCKEVSQQDRTVVGSARSFRMSAITAMANLKMYGQHARDDDDEDSWSL >Sspon.01G0034980-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:8040827:8041462:1 gene:Sspon.01G0034980-2C transcript:Sspon.01G0034980-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKAYLRYEPALTFGVIASPESNVAYDPSGRRLLAAALDRLAAWDLKRGLPSVSFAPSSSSLSLAVSCIASSPSAAVSSSIASGHADGSIRLWDAETGACEATLHGHRSAASALRFGPSGAVLASGSKDCDVILWDVVAQTGLFVCVATVT >Sspon.05G0014280-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:52431107:52437571:1 gene:Sspon.05G0014280-2B transcript:Sspon.05G0014280-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVPPALCSSLTPWQPLLPGALCHPSAARGIACKDCGQPLSLLLISIHKCLRQNLDKQSALLMIMNGCFCWLLFRQVRVSFRHMRTLSGFFITSNPATLPDTIKQKKSPCSSSRDHKTNEVSSSSEGTKRPKSSMTSLSKVPSYTKSVYDIGPPSWIRKEMNCNSITQHLVRMSLAQAFCSQIGLQECCTITLKTSVNSNSWQVRGQSWQKDSSCVFGSGWKKFCRENNVKVGDVCTFNVVKTTLWHVDIT >Sspon.05G0009180-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:52799342:52801915:-1 gene:Sspon.05G0009180-2D transcript:Sspon.05G0009180-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSSKLSQGIKKASRSHAYHRRGLWAIKAKHGGAFPKAEKPAAVAEPKFYPADDVKPRKPSTRKPKPTKLRSSITPGTVLILLAGRFMGKRVVFLKQLKSGLLLVTGPFKINGVPIRRVNQTYVIATSTKVDISGVNVEKFDDKYFAREKKKKATKTEGELFETEKEATKDLPQFKKDDQKAVDAELIKAIEAVPDLKTYLGARFSLRDGDKPHEMVF >Sspon.05G0005090-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:17646145:17647585:1 gene:Sspon.05G0005090-2C transcript:Sspon.05G0005090-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLVCVAVWAVTLAVAMASILWAYRWSHPKANGQLPPGSLGFPLLGETLQFFAPNPTCDVSPFVKKRLDRYGNIFKTSIVGRSVVVSADPELNYYVFQQEGKLFESWYPDTFTEIFGRDNVGSLHGFMYKYLKTLVLRLYGQENLRAVLLADTHRACRASLGAWASRPSGIVELKDAISTVRTLLQISSLLYMEKKAMKVLRTMMRERMADPGRQSEDFFDVLIEELRREKPVMTEAIALDLMFVLLFASFETTALALTLGVKLLAENPRVLRALT >Sspon.05G0004800-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:5B:8974675:8976039:-1 gene:Sspon.05G0004800-2B transcript:Sspon.05G0004800-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SELSAGRLRAARRHALRASRLYPSCPRASVVATAANVLLADASSHHAALLLPDPDDPDASPLSASELRRHFKSLVKSLRVGPDYAAAYPSSAAAAEEALGRTTEAYEALTAPPPGTFWTSCAGCRLLHEFERKYVGYRLICPSCRRTFLAVEVPPPPEAEPPALAPAPAPRGPPPAKKPNTEKLEMTLAEMQLQLSKKRRGAKAPESSSRDLVVVDDDEEEQEDGEEAEAENNHSDLMAVEDSDFYNFDADRGERCFKRGQLWALYADADGMPRQYALVDGVQRGTQFRVQIRWLDGEEGKPCGQFKVGRAETVDSVNVFSHLLACERTAREVYQVYPRKASVWALHGGEEGDAATTKYDIAVMLSGYDERYGASFGYLEKVEGFRSIFTRRDVGSHAVHFLQKDDLGVLSHQIPARKVSKGEGSALPPGDCWELDPASLPPELLHIEAVKPRE >Sspon.08G0008190-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:31847740:31851893:1 gene:Sspon.08G0008190-3C transcript:Sspon.08G0008190-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DGDGVAADACAAEEGGGGRRVGAEAGTVRDPRGPRTAAAGRPRGLPPAAHRRARGGHSSISGAGEGKNRRRWDTFLRQMDDAVFNLTSSEPLTALDKKEGSPKPEESCDLFHGEWVPNSSGPAYTNASCHFIESPQNCMTNGRPDTDYLSWRWKPYGCEVPPFDGKKFLDGMKGKHWALIGDSILRNHVQSLLCLLSKVEDATEVYHDDTFRSRRWHFPSHNFTVSLIWAPFLVKAKIFEDDDGVSTADLQLHLDVLEKNWTSQWESFDYVVISTGQWFFKTAVYWENGVAIGCHSCQNKTLKERPPEYSFRRALKAAFQFITSSPHKPVVFYRTWAPSHFENGEWFSGGTCNRTAPFKPGEAGDREMDNKMWRIEREEFHNAVANKGPVDGDRLKLLDTFELSLLRPDGHSGPYRAYHPFDKGMTAKVQNDCLHWCLPGPIDSWNDVLMKMLAKD >Sspon.07G0024080-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:21912946:21917560:-1 gene:Sspon.07G0024080-1T transcript:Sspon.07G0024080-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAPALPTFLRLWPASPFLLSPSLHVSSSRRPTPSRLNSLRPARPLFPISAVEKTNEAAAAGEDELEGMPPEFYDEEWQARQRERTKEWHAYRQKEEAEEERITNEYREIGMRLKAYPQEEVRKARILVSSFIRAGEDVEEEIEKAAERGELTELVLMVIWNRLDVARRDDERDAIRSLDLLYRRVETEILKSEATPAMSLLNELLNLHDGGDNEKWLKKCRKRMLEVFPREDPFTVVFPAGFNMENHEGRIELPPQDDDLLLRVDFVREVDELLKEVQAEQDKNKQQIGFDPESVAYMLKQQEKMQTIRQVESLLDLASSLKW >Sspon.02G0013190-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:33057880:33060010:1 gene:Sspon.02G0013190-2B transcript:Sspon.02G0013190-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCPVSLSSLPPLFSRFLLHTYLMSCSASYYSLTPSGLAHLARRLPDKLRRDVGQGRRERDMGPRRGVHPRRQGRGAHRLPGRDADALLPPVLPPDDASSSAATTKYCYVVPAAMHARYLVRTTYYYGGFDGGGAPPVFDQIIDGTRWSAVDTAGGYARGLATYYEAVVEATGKELSVCLARSAATAPGRSPFINALEVVPLEGSVYSAVNFTAYALSTVARHSFGYDGSIIGYPGDRFNRYWEPYSDDGSIPVVESQASVATEAFWNKPPEAVFRRGLTASRDKSLDLQWPLAPLPAASYYLALYFQDNREPSALSWRVFDVAVNGQPFFTGLNVSTAGSMVYGAQWPLSGQTRITLTPAPGSPVGPLINAAELMMVVPLGGRTHPRDGAGQRIHEPAVDWRGDPCLPKGNSWTGVTCNQDPLARVMGLNLTNLRVGGSISDNIANLTAISSMHLEDNGLTGPLPESLGNLTRLEELTITYKEQSQAASGTERW >Sspon.01G0025890-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:91337863:91344875:-1 gene:Sspon.01G0025890-3C transcript:Sspon.01G0025890-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNESSRDDKLESILQDGSSEPRSLPLEYLRNITNNFSDGQLLGIGGFGKVYKGTRQNGECIAVKKLDQLKPSIQKPQFENEVYHLMRLKHPNIVRLVGYCYETRNECVFYDGKYVFAEMPQKLVCLEYLPNGSLERHLSGLSCTNFYPEKRPTTMKVIEMLNRCESTNSYESDEERLAANQIRGARPPLLSPPPPIGALAPPPVRRSFVDDYMTASPWDIVQSFAPREGSELGERVDTRCNLDTEEENEAEDGVAAVEGELKIKRKSKSWMLGALLGSGSFGMVYEGINDEGVFFAVKEVSFLDQGSNAQQSIVALEQEIVLLSQFEHENIVQYYGTDKEESKLYIFIELVTQGSLSSLYRKYELRESQVSAYTRQILNGLVYLHERNVVHRDIKCANILVQANGSVKLADFGLPKEMSKINMLRSCKGSVYWMAPEVINPKKMYGPSADIWSLGCTVLEMLTRQIPFPNVEWTDAFFMIGRGEQPTIPNYLSKEAQDFIGQCVYRFYTELPDVGGGHMHRVWVQK >Sspon.04G0015590-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:58208803:58214849:-1 gene:Sspon.04G0015590-1A transcript:Sspon.04G0015590-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding NGGRFRGRRQAAQPPHGASGGPAEEIVSNMHQGYPKETLVRFLKAREWNVSKAHKMIVDSLNWRIQNEIDSVLERPIVPVDLYRSIRDSQLIGLSGYTKEGLPVFGIGVGHSTYDKASVHYYVQSHIQINEYRDRIILPRLTQQFGRPVTKCIKVLDMTGLKLSALSQIKILTSISTVDDLNYPEKTETYYVVNVPYIFSACWKVVKPLLQERTKKKVKVLTGCGRDELLKIMDYSSLPHFCRREGSGSSKHSSTDVDNCFSLDHPFHKELYDHIKEQASCRELIKMGSLHVSIPEPDPDDAKIVEVIQAEFQKIGEQDESPNGNKD >Sspon.07G0022750-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:12314645:12317248:-1 gene:Sspon.07G0022750-2D transcript:Sspon.07G0022750-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGARERGGRSTNMQDSLSLMQFHDPYLYSGSAGSANQPLSHPFLPHHHQGFVDNCTGKDVAEFVDPATTTAEVSGEQELAVSKEVSEGGGDGAVVVEVEERNDATTARGEEEAHGVRMIALLMESAVAVSVGNLADANSMLLELAQMASPYASSCGERLVAYFTKALAARLMSSWVGICAPLAPPCAAVHAAFRAFYNVSPFARFAYLACNQAILEAFHGKRLVHIVDLDVVPGGALQWLSLLPALAARPGGPPVLRVTGFGMSRSALHDTGNQLAGLASKLSMPFEFYAIAKRPGDVVGAAAAADMPSRRPGEALAVHWLRHALYDAAGDDGATMQLVQWLEPKVLTLVEQERAGGSGDGGGASGGDHGHFLDRFVSALHHYSALFDSLGASRPSDEDASRHLVEQGVLGREIGNVLAVGGPSRSGRGKFGCWQAELARHGFLRAGGVGRAQLVAGACPAGLGYTVADDHDGTVRLGWKGTPLYAVSTWAWCPSPHAQAQR >Sspon.03G0008580-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:28315018:28331624:1 gene:Sspon.03G0008580-2D transcript:Sspon.03G0008580-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEVPGRSTSSVRATRSIFGESIGGRKPEKNRARNVLGQQNLSPEIKQLAKSSMDKLNERKAAVDRERAGAESELSRARAMAKELERQIEQAKARASSKRSAEPHALRATRASEKGPGAPSSPEERDAAEYAEVSRELDRARRELLRLRLEVKSAAEAKTKAESDIVASAINIQSNLRAADEMKRLVDEANEEHVLVELARIEAEREHREIDAQRRAEAERFAREMEATRAKIEALRKDVSRARQMEAKLAVTNADVEVLQAEMELVRAMERNSVKNDDTAGAEAEDKALLQNAEAELDAAKKELESIKAGSFQFMTSMDSARTEIMRVSEEVNRLKAQEKEADAQVQQLNAKLLKARARLEALTATNERSTAIVSNMTSALQQLRDEKEAARKEEELTELEQLCVRAETENVNAEIAVTEARIQQSVKELEAAKVAEAKAMKKLKAAVEGTMQARASQGSRTITISRFEYEYLSGRAALVRVVADKKVSAAQAWVQALKAGEKELAARAEAAERVTAELRAREAEAAAEAERAAGEQKALEQELYDLNAAAERDGLLCAYPRRRSTRVSATMRRARARRSSVSSSAGIRNPRTPSFTVKRKKKAPKRSHPLMLLSGVATNAIGFDLSPGVSELVHNGAIGVASFARHMSMQGFDTWIVEVRGAGLSMRGSELAAANTESDITPDPSLDESSTAKASVAVPAENMSTSQPQISEVPVIAEKNMVGTSISEEPQLVTKLSNALAQLGETFSGYVKDSRLKNIAGSFFYRVSELAPDASLASLEEVSERILSLLELPQTSVISDQISNLSQRLVKILGEGQQSVSPKLFGWQERLSATIEDLQKQLELIISYDWDFDHYLEEDVPAAMDYIRKQSVPKDGKLLAIGHSMGGILLYAMVSKCGSQGAEPELAAIVTLASSVDYTTSNSSLKLLVPFADPAEMLRVPAIPLGALLSTTYPISSRAPYILSLLRSQISAKEMMDPELLSKLILNNFCTVPAKVLLQLTTAFRDGGLRNRTGTFFFKEHLNKIKVPVLALAGDEDLICPQKL >Sspon.06G0007080-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:24327311:24331168:-1 gene:Sspon.06G0007080-1P transcript:Sspon.06G0007080-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:LSF1 [Source:Projected from Arabidopsis thaliana (AT3G01510) UniProtKB/TrEMBL;Acc:A0A178V9M4] MAPHLLTPPALTITCAAAPGRLGGAGSADPRAPRPRAPSRTFFCSSPGRARRGMRRRKGLSVAAAAAEGAEPGGPAGPMRLNEYMVAVDRPLGVRFALGVDGRVFVHSLRKEGNAEKSRIIMVGDTLKKAGGDGEGLVTIKDLGDTEIALRDKAGPCSLVLERPFAPFPIHQLHQNEDYHILFNRGRAAVASWNSAVLSTKLNGSFTGDGKSGFAVFSPRLLSSQGWALLSNEKGGLNQSSTNLANRVSEIVGLYSDEDDANAEWAHGSFPLEEYIKALDRAKGELYYNHSLGMQYSKITEQIFVGSCIQTEKDVKMLSETMGITAVLNFQSESERINWGINSETINSSCRENNILMVNYPIREVDSLDLRKKLPFCVGLLLRLIRKNYRIYVTCTTGYDRSPACVISYLHWVQDTPLHIAHKFITGLHSCRPDRAAIVWATWDLIALVENGRHDGSPTHSVCFIWNSGREGEDVELVGDFTSNWKDKIRCNHKGGSRYEAEVRLRHGKYYYKFIVGGQWRHSTSLPTETDEHGNVNNVIRVGDIARIRPAPSQLHIKDPTVVKVIERALTEDERFSLAFAARRMAFAICPIRLSPKQ >Sspon.06G0007010-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:24031932:24034584:-1 gene:Sspon.06G0007010-4D transcript:Sspon.06G0007010-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable transmembrane ascorbate ferrireductase 2 [Source:Projected from Arabidopsis thaliana (AT5G38630) UniProtKB/Swiss-Prot;Acc:Q9SWS1] MAAAPAVRFPVFGLVRLLGLAAAAGILFWAVHFRGGMALSTDEESKLPLFNVHPVLMLIGLVALNGEALLAYKTVPGTKKLKKLVHLAVQFLAMFLSLIGLWAVWKFHDERKIDHLYTLHSWLGLTCFIFFSLQWAAGFWTFWYPGGSRSGRAFLLPWHVFFGIFIYVLAIATSVTGLLEKSIFMQSAKMIERFSTEAMFMNSLGMLLVLLSGLVILAIVSPGPSKIDTYRGSSE >Sspon.02G0024310-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:85003589:85005648:-1 gene:Sspon.02G0024310-2B transcript:Sspon.02G0024310-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEHSENAAADLMGNIMETIAENLPKQKSVRFDDGEGSSISDQAKKLFGGGENRKRSVHHVLGGGKSADVLLWRNKKISSSVLGVATLVWVFFEWLDYHFLTIVCFLLALGMAVQFAWATFAAVLSKGSASSVPRVQLPEELFANVGAAVGAQVNRALGYLQDVSCGRDLKQLLIVIAGFFAAAIVGSFCNFLTVIYVGFVCAHTLPVLYERYQDQVDDFLYNMLGVVQSQYRKLDTKSILKGGVSKFRKSD >Sspon.02G0024190-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:82851838:82853624:-1 gene:Sspon.02G0024190-1A transcript:Sspon.02G0024190-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ARRRRWPDAVDAPGAAPLRRAPPPPPRRAVPCHRQATARARPPRGRAARAPAPRQAPPLPPRLPSAPLPSATPSLSSPASSPTRTPSLSTPCSASPRPLRGLPSRSHSTPAASRRRTRTPTRRSCRRARASCRSATGSASTREAAKNGLATLVFVKNSLVHLYGSCGLFESAHRVFDEIPVRERNLVSWNSMLNGFAANGRPNEVLTIFWEMLDVDFMPDGFTMVSVLTASA >Sspon.02G0016800-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:49247847:49254573:1 gene:Sspon.02G0016800-3D transcript:Sspon.02G0016800-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPPSTTGAPAFRFLPSEVAEMEARLQQLNNGIPSRGVLQTLADKFSASPERAGKVAIQPKQVWNWFQNRRYSHRAKSTRAAPSPPAKMTPSGADHHQHAANASAFRAAQPSSVAAAHHGSSPTGKNPVEGVSVEFEAKSARDGAWYDVAAFLSQRLFETGDPEVRVRFSGFGAEEDEWINVRKCVRQRSLPCEATECVAVLPGDLILCFQEGKEQALYFDARVLDAQRRRHDVRGCRCRFLVRYDHDSSEEIVPLRKVCRRPETDYRLQILHAARAAATNADVHTPPKEIKVELTSNDKSPAEQKPQKQHKMMDVNTDEVTMVSTLEQDEMQGKKVAPIPSSTAETRNSSSDTVMKDVEASSVVEDDDEVQVVDKMKEG >Sspon.08G0012600-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:52449165:52451896:1 gene:Sspon.08G0012600-2D transcript:Sspon.08G0012600-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VDLIRNDKVSVIIGPQSTLQAEFVTYLANKTKVPVISFSATGDAATQYNVPYFLRACAKDSFQAASIAAFVEVYGWKNIVVVYEDDNYGVGILPSIANALQDVEAHIVYRAAIPASCPDYRIDGELYKLMTMQTRVFIVHMLPGPASHFFHGLQQLGIVSFRPYVAKSAGIIDFVSRFDALFRAKYRQAHDVRITRPTVYQYWAYDVAWAVATAVEDTKRVGFSNIGFQTPQDVGKNLVNGLLASPAGPELLSSILEADFDGLAGRFRFVDGHTQVPIYEVVNLVGEKSRGLGFWSPGSGLSRLLDSSPIRDQFKSSSTGEVLKPVIWPGDSTTVPKGWDFPVNAKILRIGVPVRRDFKFFVNVEVNHNTNGSSVSGYSIDVFEAAVNRLPYALRYEYIPYDCANSYDQLISQVYFKKFDAAVGDVTIIANRSRYVDFTMPYTESGVAMLVLAKNDDKATMWIFLEPLTKELWIATMVFIFFTGLVVWMIEHPTNDEFKGSRWKQFSTAFYFTFSTLTFSHDQILKKLHSKVVVVIWCFVVLVLVQSYTASLSSLLTAKRLQPSVTDPMQLLRNGDYVGYQSGSFVYATLKRLKFDAQKIKVLSTLEEYAKALRTGSKHGGVSAIFDEIPYLNSFLTQYGKEFQIVGPIDRTDGFGFVFPRGSPLVPDLSRAILNITEGCEGFQIQKKWFRDTAPSHDYDNPDTDSVRLGLESFKGLFIVNGLALCAMLVINFMHTNCTELRNRNLHLVHSSREIATDNDDPQQGQQLQNNSAALSEPLQ >Sspon.05G0007960-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:24664181:24670018:1 gene:Sspon.05G0007960-1A transcript:Sspon.05G0007960-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LCSGLLPNGNFELGPAKSDLNGTRVTGQNSIPNWEISGFVEYIGSGQKQDDMILPVPEGAYAVRLGNDATIRQRLSVTRKTTYSITFCAARTCAQAEQLNVSVAAESGVLPIQTVYTSTGWDSYSYAFRARHSTAWLTIHNPGHEDDPACGPLIDSIAIKALNPPRLTKGNMLRNGDFEEGPFIFPGTAWGVLVPPMDEDDVSPLPGWTVMSDTKVVKYVDAAHHAVPRGARAVELVAGREAALVQEARTVPGRRYRLSFSVGDAGNGCEGSMAVEAYAARATARATYESRGNGGGRSERAAVEFTAIANLTRVVFQSYNHHMKPDGTLCGPVVDDVSLVGLRKHAARRLFLYSQGGSYKLSLVCYTRSRFQGYNQNRGYLLRMEPTMMAKSTRSVAFVILIGVAARAVFAVTDGLLPNGHFEQGPAKSELNGTRVLGRYSIPHWEISGFVEYIESGQKQDDMLLQVPEGERAVRLGNDATIQQQLAVTRHTYYSITFSASRTCAQDEKLNVSVTPGLESGVLPIQTVYTSSGWDSYSWAFKAKHSTVWLSIHNPGHEDNPACGPLIDRVAIKTLRSPHHVKSKTTDCSCMPLMTYINEELLTRKKLISTLTLELRHGYDLTEADNKMRNGDFEDGPYIFADTPWGVLVPPITEDEHSPLPGWMIMSDTKVVKYVDAAHHAVPRGAGAVELVAGRECALVQEGYLAVDAYAGRATLSVPYESRGTGGYKRAELEFVASDTLTRVVFQSANHYMKSDATLCGPIIDD >Sspon.03G0025520-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:43123838:43124462:1 gene:Sspon.03G0025520-1P transcript:Sspon.03G0025520-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLKASKPAGVAAQGKEPAPKLSETATKPSAAKSGGVKKAEQKPRETKKKNVTCLRLVELYLYVNRMAYSNGK >Sspon.02G0003240-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:10600135:10604351:-1 gene:Sspon.02G0003240-2C transcript:Sspon.02G0003240-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIVCLGASSTLLCEEDRSNALGLVGCCDELLEVGSGLDGLDSAAGAVFHVDTDEAVSALVEKEMDHQPQEGYAERLERGGLASSWRRDAMDWICKVHSYYSFAPLSLYLAVNYLDRFLSLYDLPHDNPWMQQLLSVACLSLAVKMEETVVPLPVDLQVCDVKFVFEGKTIGRMEGLVMKTLKWRMQAVTPFTFISYFLDKFSDGKPPSFALASRCAEIIIGTLKGSTFLSFRPSEIAAASALAAVSENQIVGFASVLSASEVPVNKEMIARCYELLQEQALVKKRRHINGSPSVPESPIGVLDATCFSFRSEDATLGSSQSNNISSSICGEIFVDMRLEMSASDRSGSIVDE >Sspon.01G0040760-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:42745071:42745484:-1 gene:Sspon.01G0040760-1B transcript:Sspon.01G0040760-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GDAPPPPPAPPPRPGHPGRPLPRARPRSRPRGARRRRAAPAAGRVGPDPGRGRQAHPGAGRVGAGAGQAPEAGRRRAAVPPRGARRAAGRVRDEVPPLRRRRRPRRPHRALRRRRLRAGLDPHPPARLLQPGAPRPLK >Sspon.03G0014900-3C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3C:67637578:67643735:1 gene:Sspon.03G0014900-3C transcript:Sspon.03G0014900-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALQADAAENDGEGDSGQTGCCTGVQEMRQEVATLTTRDVTPAAGGATVGAYWGMLVLNYSSWLLSAACTERERRGLGVTGRRRKGAKCACGAGRRFFFSGSPDYAFSRFSRWPPRVCSRCDDWAIAAAGPPPPPAPLRQVPRSSSGPSPAMSTQQEVTENYANPKTCFFHVLFKASALAFYILSTLFVNNFVIIFVITVLLAALDFWVVKNVSGRILVGLRWWNEINDEGESVWKFECLDGESLARMNKKDSWLFWWTLYLTAAAWIILGIFSLIRLQADYLLVVGVCLSLSIANIIGFTKCNKDAKKNIQDWTTNALLSGSVRSHLQSAFGV >Sspon.01G0016110-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:49160293:49175247:-1 gene:Sspon.01G0016110-3C transcript:Sspon.01G0016110-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLIEVFTTGQMLLTKVVVDAGLFVFALLTYRFLLGTLLVVPLAIIFERGKWKEIKLKAFVWIFTSALVGFTIPGLYYIGLGDTKEPLNLKSLVGNIMVIGTLVCVGGTLVISLYKGKVLHLWPTNIIRYHPKQSGSAFGHHHMRGTVLLIISCLSLAVWYTVQAQMLKVFPYKYWSTVATCFVGCIQMAVVGVAMNREKVTWQLKWNMSLLTIVYSVNLAILNIKAILNTAAKFVMISWVVTQRGPTYPSMFCAVSVLFTTVLDSLLLGHDLSVGSILGMFMILAGLYLFLWGKRKELVPDNEEKPKDELQSQSEDKIKDSTGSNRAVLNEERGESGPKGKRERRAQEENFGPDQKKK >Sspon.01G0023540-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:89902128:89905167:-1 gene:Sspon.01G0023540-2B transcript:Sspon.01G0023540-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSGHERPCLRRHQDMKAVPTAWPACLPRAPCLTWRRRGGDAGFAEEKKSMNMAGQGSQVKKSCACCERYMDHLRGKMTSFLMLMSANYRHSMTMPARFMNQFGGEISERIDIESPDGSVYVVKGTKYMNKMLPQCGWKAFVDAHHIEENDSLLFQHIEKARFKIALMTVPTNYPEFVKEALAVKGIVQVNIEKLQGLPQHQPLHLRNQLCTGPDSEGTAEYECSSGFDDDPKTNAAPDYVLSCMTILSEEQEEEVDGLIKEIQPETTVFVAVMKPSNVKRYSSLVIPHGYAAAHFPHTSQMVTLQRPGKNKKWHTKLQVRKDRVCHVFSGPWSDFVQDNHLQEGDICIFQPLKTAGTRFTVMVHLIRQSKLGALHEHGNSPSPGSGGGTSSSRGRTTMAKANEPKIVHSGEGRVKAKVTVTPVARKEEPADQAPSPCNCHLTDDESGDSGGPSKAGLYIMASHAHLGDEQRKKVEDVVGSIQSQVPIYVAVMNKSNVAATSCVLYFGKQYASKHLPYGEHTVTLVRNGKSSAWKVKMHAQMFSKGWRGFVRDNCLKLDDICLFQLTKDDIKMLTMTVYIIRH >Sspon.08G0007470-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:22169435:22171249:-1 gene:Sspon.08G0007470-2B transcript:Sspon.08G0007470-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGLDICADTIVGDQMQRGISGGQKKRVTTGEMIVGPTKVLFMDEISTGLDSSTTFQIVKCLQQIVHLGEATILMSLLQPAPETFDLFDDIILLSEGQIVYQGPREYVTSRKDQEQYWADKHRPYRYISVPEFAQRFKRFHAGLQVRTTSHFRLTKPLPSGCPCILEALGVSTTELLKASFDKEWLLIKRNSFVYIFKTIQ >Sspon.07G0029800-3P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:69828290:69829230:-1 gene:Sspon.07G0029800-3P transcript:Sspon.07G0029800-3P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGMKYSIASTYNFLTDLMDNHLMAGIRVVERACCGSGKLNAAVMCSKPNTTYCSDRDDYMFWDMLHPTQATYERGVVAIFYGPQEYADPINFAGLVDIATDINTTMTPSVSAI >Sspon.03G0011560-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:38769409:38771752:-1 gene:Sspon.03G0011560-2B transcript:Sspon.03G0011560-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGDGEVAASKEKGSGAGGAGGSGVERTSLDGVRDKNVMQLKKLNTALFPVRYNEKYYLDAIASKDFSKLAYYSDICVGAIACRLEKKEGGAVRVYIMTLGVLAPYRGLGIGTKLLNHVFDLSAKQNISEIYLHVQTNNDDAIAFYKKFGFEITQTIHNYYMNITPPDCYVLTKFIGQAATKK >Sspon.08G0018900-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:8997554:9007145:-1 gene:Sspon.08G0018900-1B transcript:Sspon.08G0018900-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDETGLQKATLLLAYQSFGVVYGDLCISPVYVYKNTFSGKLRLHEEDEEILGVLSLVFWSLTLIPLLKYIILVLGADDDGEGGTFALYSLMCRRSRMGLLNNINIGCLSAYNQKEPREELKSSVAIKSFIEKHYSLRVVLLLFVLMVLSAVSGLRIKFPELHENYTVLFACFILVVLFALQHYGTHRVGFLFAPILLAWLGCIGSIGIYNIFKWNPTVIRALSPYYIYNFFRKAGKDGWSSLGGIVLCITGAEAMFADLGHFSKLSLRLGFTIVVYPCLVLAYMGEAAYLSKHREDLQSSFYKALPDRVFWPVLIIATLATVVGSQAIISATFSIISQSRALGCFPRIKIVHTSSHVHGQIYIPEVNWVLMFLCLAVTVGFRDTEMIGNAYGLAVILVMFATTCLMFLVITIVWNRSVVLAALFTVGFGSMELMYLSACLAKVPHGGWLPLLLSLVTLLAMSTWHYGTKKKEEYELQNKVCLDRFLGLSSGIGLVRVPGVGFVYSSSANGVPPMFAHFVTNFPAFHRVLIFVSLQTLTVPKVSPDERFLVGRVGAPAHRLFRCVVRYGYKEGRRDHFNFENQLLMKVVEFLQRQDAAAEAGDYYSRELSVIPAAPAHAHAQLVDADSAPPMVSCSTSSCEIDAGGAGGRRVRFEEPRGAAGSSGEEVKTLLEERESGVSYMIGHTCVQAHESSPAVKKFAVNVVYGFLRRNSRRPAVELGIPNTSLIEVGMTYKV >Sspon.02G0038100-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:38880217:38892265:-1 gene:Sspon.02G0038100-1B transcript:Sspon.02G0038100-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKILIHCHIGLSTLNSPQVSQGAAVMSYHCGALVVHGPFLHPLFSPGAAAPAPSLRVRVGLPSRALRLPPPARASVEKREQQVTMEEQGGQAAFGWAARDDTGVLSPYNFSRRVPKDDDVTIRVLYCGICHTDLHIIKNDWGNAMYPVVPGCGKGNENYCAGVVQTSNGVDHAHGGVPTKGGFSDVIVVNEHYVVHVPDGLALDRTAPLLCAGVTVYSPMMRHGLNEAGKHLGVVGLGGLGHVAVKFGKAFGMKVTVISTSASKRQEAIENLGADEFLISRDPEQMKAATGTMDGIIDTVSAWHPITPLLALLKPLGQMVIVGGPSKPLELPAYAFVPGGKGVAGNSVGSVGDCQAMLEFAGKHGIGAEVEVIKMDYVNTAIERLEKNDVRYRFVIDVSQGAAVMSYHCRALVVHGPFLHPLFSPGAAAPAPSLRVSVGLPSRALRLPRPARASVEKREQQVTMEEQGGQAAFGWAARDDTGVLSPYNFSRRVPKDDDVTIKVLYCGICHTDLHIIKNDWGNAMYPVVPGHEIVGVVTGVGGGVTRFKAGDTVGVGYFVGSCRSCESCGKGNENYCAGVVQTSNGVDHAHGGVPTKGGFSDVIVVNEHYVVHVPDGLALDRTAPLLCAGVTVYSPMMRHGLNEAGKHLGVVGLGGLGHVAVKFGKAFGMKVTVISTSASKRQEAIENLGADEFLISRDPEQMKVYRHFSTAATGTMDGIIDTVSAWHPITPLLALLKPLGQMVIVGGPSKPLELPAYAFVPGGKGVAGNSVGSVGDCQAMLEFAGKHGIGAEVEVIKMDYVNTAIERLEKNDVRYRFVIDVAGSLGSAA >Sspon.05G0014980-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:5A:57357535:57360108:-1 gene:Sspon.05G0014980-1A transcript:Sspon.05G0014980-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVNRQSGTKSTLDRAKHAISGKARKLKKVLKRLEKLIDQGAQFLAPLASNSRNGIHGNDTSSPANNVNRIVTTSSALTHIIFGRDDERDEIIRLLHETASDFDASSSNSKCYSVIGIHGIAGSGKTTLAQLVCTYERVNDYFYPVMWIHVSQNFNVEKIYLEMLEAASREPCHQFSNLDTLQVKLEEQLRCKRFFLVLDDIWAVKDGIIQDQEKLDQLLSPLRVGKKGSMVLVTTRFKDAAMSLGAKSLMKIPDLNDIDFFNLFMHYALDGATLDDHELETFQMIGKQILKKLKGSPLAARVVGARLRKNLKATFWRRVGEQDMLPDTMGALWWSYQHLDGQVRRCFAYCSLFPQGYMFKRDELVDLWIAEGFIKTTNNSDEQMEDVAQKCFDEVVSCSFLETRKYAFGRKDEWFTMHDLLHELVVMVAGNDCFRIEGSEMKEFPPDVRHLYVRSKDQVKFTEQICKLQKLRTLIFITNISGQGISVEELEGILKNLKKLRVLQVVVEGYMATIPACICELKHLRFLRIHNPLSTKVHLPKNLGTIYHLQILELWGSGVLEFSNVKNMSHLISLRSIRYSGFSFDNSDVSGFSGLGQLKSLRGLSDFKVRKEKGYELQQLKGMNHLSGSLRISGLDCVESKEVALEARLSDKTDLTALSLEWSGSSGPGQHTLSPDLQVEILEGLCPPPQLAELRVWGYGGWKCPSWLSQSQNISLQYLEFCRCYNLKTLPQIGDLFIHLGHLKLVSLPKLEKLPRLPNSLKTLEIERCEALVVTCVADVDMTRSQFIERASQMEPSLNISTTHAEIDKFADEQPVRFDTILCDVFGRCGSLPQRLIRGHIREEDYGRLMLPASVDR >Sspon.03G0040560-2D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3D:18027851:18028072:-1 gene:Sspon.03G0040560-2D transcript:Sspon.03G0040560-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHGRVMRCVFLACLVAASVSSTASAFVFKAGGTGEWRVPAAAAASGNGNGSASAYNAWAQRNRFRVGDAIGE >Sspon.04G0006840-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4B:15714014:15714343:1 gene:Sspon.04G0006840-2B transcript:Sspon.04G0006840-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKAFAMFLVVNLVVLGVASAWTPPAPSPSSSGKCPRDALKLGVCANVLGLIKAKVAVPPAEPCCPLLKGLVDLEAAVCLCTAIKANILGINLNLPIDLSLILNYCGKT >Sspon.01G0013140-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:35838638:35843215:-1 gene:Sspon.01G0013140-1P transcript:Sspon.01G0013140-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPASACTNLNFGCPRRSTRFKNIHTIYDEDSDRDPSTFKRIKTEVIDYELSVGSVSDKDSEQDCHDVSLKDLRTQCKAKNQKTSKITLEGCGIKNQAKTEDDIDLDKPLIALKQKRPKASPAKANIKMDALRSSPFAAKEEDTTSLRDEILSYAQSSLLKATMQDPVLEKLGRRVAELEQSKVVIDCTEEIVGEQMCCAEVNNTAGALVSCAKPDVLCEIKTEDTNHSEFGTSICSIKNPEHSSFELQQKLMEGDDRVPQSCFMTQPTQLADVSDHSCKQTCSFKENNFDDITAAKATEVISSLGLIASENITNSDMDKSSTSNGFMACSFSQSCHDRVDNDDEWNLFVHGNEPVKILEELSPIDESSTDTPSDLCGNTEMNCTSLEGVAQMQAEGQLDSIVCCGVRPKHMLLDMEIEDTATGTFTFDKAIDLAHPANFVAQDGRLESIVYDVLNNNAQRTASKNKSYVGLTDTTVIQSSLIDFTDNCPEDKKASDDKISPPNNVDWPYKLNSTIDYGISRSINNDEGSEEELVPQHQLYQSCSDKFNLSSVMPEISNAEESKKLSAGDQNSSATSLETDGRIQKTEFFVDEESIEEHAPKVLLSKRKIMSPTSQEKLCSALTGIDLCDGVQRLSKQYMLSVSNIFTRSIIITLFLVAESKTIIEDHGKTPISLPQPAHMQDRSIFRTDRRLKGRTSVSPTSKGVLKSTGSPPHQQTTCSCMRSSPVVLDTEKAVEFSQRQMHDMENIAAKLIRSLKHMKSIVDESLSTEAYSLLPNFNIAEVRHEMECALLILNSSKIRAASEDALEVERTTRKWLSIMNKDCSRFCKILSLAKKNAVSHPEAPRKQRKITFADEAGGMLCHV >Sspon.02G0021680-3C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:73654503:73660946:1 gene:Sspon.02G0021680-3C transcript:Sspon.02G0021680-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta 2 subunit of 20S proteasome (20S proteasome beta subunit) [Source: Projected from Oryza sativa (Os05g0187000)] RTVGRMTGSMDLPAKGGFSFDLCRRNNMLEKNGLKLPGFRKTGTTIVGLVFQDGVVLGADTRATEGPIVADKNCEKIHFMAPNIYCCGAGTAADTEAVTGIKAMSVLPLFLVEWIVLDRIFTLFIHTAPLILFLLPQWVLDPLLRCQCLNRSTKKGSLEEGIQLVSEAICAGIFNDLGSGSNVDVCVITKARKRLLVLDTEHDVKIVRHFVASEVAQDELAPDSAMVVGGSSRTQCAVERRVERRLSGGRHAGVVHSSVEPDSVAADSGKTEYLRNHQLPNPRTYASSKGYSFTKGQTEVLSTKITPLKQKVEVTEGGDAMEDQIVGSAISKALSGRASSVNLYRSSESLNMLSVGLLPSSNTTNDIRDPTREIVHGQIQYTESFQVAQRLRYATLKRVLIQ >Sspon.02G0041950-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2B:80016014:80016325:-1 gene:Sspon.02G0041950-1B transcript:Sspon.02G0041950-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPAMAGDGCEHRRAAGQAAAARHTAAADHAAAEPLAGMATPGQAVTLFVLPPSPHCRALPTTADPDRAMHHRGELFPPMVLCLSSYVLQIRDLGLGLGILR >Sspon.02G0028410-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:97654749:97666570:1 gene:Sspon.02G0028410-2B transcript:Sspon.02G0028410-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Trafficking protein particle complex II-specific subunit 130 homolog [Source:Projected from Arabidopsis thaliana (AT5G54440) UniProtKB/Swiss-Prot;Acc:F4K0C4] MYHLYSLLFKLSRPVEVAARGYTFVVGFSKTLALHEFMRLAYLIGYGVEIEKSPVNSASLSMLPWPKPATWPSIPPDSSAEIMEKEKASLDANSKLPPNRSASNLMTRTMSGPATSETSLPVDRPMRLSEIHVAAEHALKQTISDPDFMTSLSSLEEFEKKYMELTKGAADNYHRSWWKRHGVVLDGEIAALFFKHGNYDLAVKSYEKVCALYSAEGWEELLADVLPDLAECQKILNDEAGYLASCVKLLSLDSGLFSSKERQAFQSEVVRLAHSEMKHLVPLDVSSLITFAGNAGPPLELCDGDPDRMDFLKMVQLKLMNYELEKPTRPVLKKQSVVDGMRMIALKLEFGVFHNQVFERTIAVHFTNPFHVSTRVVDKCNDGALLLQVILRSEVKATLHVKDVRLDLQSGFEHLGKGDGRPALSLFPLVIAPSSKAGILFMIRLSGTKDVDEAENADSMLNITYGISGDRTTGAHSPVPVKPGDSEELLFKIALRLKRPVLDPCVAVGFLPFSTDCLRVGQLVNMRWRVERLKTPEDASISIDEILYQVEANPQNWMVAGRKCGHVSLSNEQGSRMEITVTCVPLVSGYVHPPQLGLPEVVEANISCNPAGPHLALWAPALPNAHVCKSLSSSASLS >Sspon.03G0024650-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:59517945:59518559:1 gene:Sspon.03G0024650-1T transcript:Sspon.03G0024650-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWAMAELVSHPRAMRRAQDEVRAAAAGSTGVNEDHVAQLVYLKAVVKETLRLHAPVPLLVPREPPADAEILGYHVPARTRVLVNAWAISRDPTTWERAEEFVPERFLGGAAAASVDFRGQHFELLPFGAGRRMCPGIGFAEASAEIALASLLYHFDWEAAGGGGQGSRNREGTPTPSLDMTEVNGIAVHIKSGLPLLAKPWVP >Sspon.02G0040110-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:60762675:60766223:1 gene:Sspon.02G0040110-3D transcript:Sspon.02G0040110-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEEAGGELETAGKDEADAEYHSHDFEWEDLKEEVESGPAFSYHLSPFLEPAASTTSPPQPSSEAWRSFHRRHASGKFFKVASQPPAQERRYLLKEFPELCSSKDHTKVLEVGCGNGSTAVSILRSSERITVFACDCSKDILEKANEIISNTRGIDIKDRFHPFLMDIFTLSAIPYDIMPTTIEQCVSVLKPGGLLLFRDYGLYDMTMLRFLPHQRVGFREYMRSDGTFSYFFSLDTVRKLFHAAGLVEYLIVLFEFPPLASNPSMFPVMQECQSYLELEYCCVKSVNRKNEKTMQRFWKTDVSQN >Sspon.02G0016280-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:44616167:44617813:-1 gene:Sspon.02G0016280-1A transcript:Sspon.02G0016280-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAFVLAVAGAALLLGFLYIVKNRRSRSKLPPSPWSLPLVGHLHLIGRLPHRSLHELHLRYGGDGGLLLLQLGRRRTLVVSTAAAAADLFRNHDLAFSSRPYNAAAHKQTYGSRNISFSPYGDHWRRAKKVAVVHLLSQRRVDAFAPVRQAEAAALVARTRRAAEAGEAVQLKELLYGYTNSVVTRAAAGTAGATAERLRQLLSHSATLLAGFQADDVLPDAAARVFRWATGLEKKIDDMNAGWDRFLSEIVAEHKKKTRPAGQGQGGDFLDVLLQLREEGGLEGFELTDDDAIKAIAKDMIAAATETTAVTLEWAMAELARNPRVMAKLQDEIARVAGNSEQQTAIGDAELNRMVYLRAVVKEVFRLRPPVPLLLPRESMTAAAVQGGRYEIPAKTALLVNAWAIGRDPAAWDAPEEFRPERFLAGSEAKAVDLRGTDYQLLPFGAGRRICPGISFALAALELALASLLRHFDWELPSGMRPADLDMVEAPGLSTPPRVPLVLVPKLKPLA >Sspon.02G0023680-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:74987726:74993533:-1 gene:Sspon.02G0023680-2D transcript:Sspon.02G0023680-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLRFWVPGLGEFQRVVGFRVVEQEIELNRGEKWRWRACLAEFGKLELGEDAWFMPNNECIEKICWDVRATSWLKCCTVGSRNVLPRYQVGTKGDTSSRHSEERRQPSTDDVRKSKPGSRYFTAFGVDLSPDNMAVAIVYFVQGVLGLARLAVSFYLKDDLHLDPAETAVVSGFSSLPWLIKPLYGFIRSHKNLPHPFLSDVCVHLILDPLLLPFSDSIPLFGYRRRSYLFLSGLLGALSWSLMATVVSSKYSAASSILLGSLSVAFSDVVVDSMVVERARGESQSTSGSLQSLCWGSSAFGGIVSAYFSGSLVDTYGVRFVFGVTAFLPLMTSAVAVLVNEHRISSGERTTLLSGSGFVESSKQHVRQLWTSVKQPNILLPTLFIFLWQATPQSDSAMFFFITNKLGFTPEFLGRVKLVTSFASLLGVGLYNYFLKEVPLRKIFLVTTIIGSALGMTQVLLVTGLNRQFGISDEWFSIGDSLIITVLGQASFMPVLVLAAKLCPPGMEATLFATLMSISNAGSVTGGLVGAGLTRIFGVTRDTFGNLPLLIVVCNLSSLLPLPLLGLLPEESGDTDNGETKNN >Sspon.04G0011310-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:36541590:36548693:-1 gene:Sspon.04G0011310-4D transcript:Sspon.04G0011310-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNTGASGWFRGKVKAVTSGDCLLIMGSSKAEIPPEKSITLSYLMAPRLEVTFRVDYTAPNIGREFGTVYLGDKNVAYSVVSAGWARVKEQGPKGGEQNPYLAELLRLEEVAKQQGVGRWSKEPGAAEESVRDLPPSAIGEASGFDAKGFAVANKGKSLEAIVEQVRDGSTVRVYLLPSFQFVQIYVAGVQAPSMGRRPSVPTVIAETDDTANGVNGEDSEGTPAQLTTAQRLVASAASAEIPPDRYGREAKHFTETRVLNRDGLAKYVEWSANMLDVEVKIKLKNAELQAKKDQLRIWTGFKPPVTNSKPIHDQKFTGKVVEVVSGDCIIVADDAAPYGSPSAERRVNLSSIRAPKLGNVRTDVKPEPFGRESKEFLRTRLIGKQVAVEMEYTRRISTVDGQSAALTANMSDTRVLDYGSVFLGSPSQTDGDEISSAPSSASQPGVNVAELLLSRGFAKTSKHRDYEERSHYYDALLAAESRAEKAKKGVHSLKESPVMHITDLTTVSAKKAKDFLPFLQRNRRHSAIVEYVFSGHRFKLTIPKETCSIAFSLSGVRCPGKGEPYSDEAIALMRRRILQRDVEIEVEAVDRTGTFIGSLWESKTNMGSVLLEAGLAKLSSFGLDRISDAYVLQRAEQSAKQQKIKIWENYVEGENASNGSTPESKQKEILKVVVTEVLGGGKFYVQTVGDQRVASIQQQLASLKLKDAPVIGAFNPVKGEIVLAQFSLDNSWNRAMIVNGPRSVESPNDKFEVFYIDYGNQEVVTYSRLRPVDPSVSSSPALAQLCSLAFIKVPSLEDDFGQEAAEYLSECLLSSSKQYRAMIEERDASGGKSKGQGTGNVLIVTLVDAETESSINATMLEEGLARLERSKRWDTRERKTALQNLEQFQEKAKKERLRIWQYGDVESDEEEQAPAARKPGGRR >Sspon.08G0025110-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:6108069:6111177:-1 gene:Sspon.08G0025110-1C transcript:Sspon.08G0025110-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHAAAAALPRAALLTISAPLRDSLAAVPYEPPAGSSASVKALLASLLPSPSPPPPQAQAPAGKETGDLLLFCAAVLAASPEYPALHWVPAGLAGAAAAAVKEMAAAGGWGSVGEMVVAMAPEVVPPLKDSCVDADCDEIGAVKPPKEHAAVAAHQFRWLVSQVSYPKLGDLCWLVIPCALTTLDHWSPEVKVQCYFLYWDNSSVDCILVPMPTLYREWLFCTHSEECESNELSLYEDAILDACCQNIPADDELWYQVIEVSVLLLTCTQRSNPRSPWYDRMLSEMLGHLERQPLNKERRVAWLSLIGPVFDAMGLFLLAHFRLLFSLFFQWMHTDDDKTVLLTLKQIHAIIKLTWIRKSPYTLRLVDELVLLYKESATRSNREVMRDHILEILVLLQNCKGQQFEEAWKKHELDPDLTMLLSTFNEL >Sspon.02G0042270-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:81934295:81935858:1 gene:Sspon.02G0042270-1B transcript:Sspon.02G0042270-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding TMKRGASESSDATSQATTTPPRTPKTPNNKRPAYYVQSPSHDGGGDKSSSSTTAHTTPVYNSPLESPSHASSTGRHSRVSSATRFSGTLLPSSSPATSRATAGAGRKKRHGGGSGHKNKKWREVGGVIDEEASYESDEVDQEEEEELPVCCAVVFCAVVLVFAVACLVVWGVARRYKPTVVAQSLTVHNFYAGEGTDRTGVPTKLVTLNCSLAMSVHNPSTMFGIHVSSSSIRLMYSEIAIATGQLHKFYQPRKSRRAAAAVLHGEKTPLYGAGATLAVANDAAGKGKVPLTLELAVKTRGHVIGRLVRVKHAVRVRCPVAIDPGSSRSVRFRRSACSYRQR >Sspon.01G0010750-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:29831326:29834686:-1 gene:Sspon.01G0010750-1A transcript:Sspon.01G0010750-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVSLTPQAVFSTESGGALASATILRFPPNFVRQLSTKARRNCSNIGVAQIVAAAWSDCPAARPHSGGGGGRARGVASSHAAAASAAAAAASAAAEVSAIPNAKVAQPSAVVLAERNLLGSDASLSVHAGERLGRRIATDAITTPVVNTSAYWFNNSQELIDFKEGRHASFEYGRYGNPTTEALEKKMSALERAESTVFVASGMYAAVAMLSALVPAGGHIVTTTDCYRKTRIYMETELPKRGISMTVIRPADMDALQNALDNNNVSLFFTETPTNPFLRCIDVEHVSNMCHSKGALLCIDSTFASPINQKALTLGADLAIHSATKYIAGHNDVIGGCVSGRDELVSKVRTYHHVVGGVLNPNAAYLILRGMKTLHLRVQCQNNTALRMAQFLEEHPKIARVYYPGLPSHPEHHIAKSQMTGFGGVVSFEVAGDFDGTRRFIDSVKIPYHAPSFGGCESIIDQPAIMSYWDSKEQRDIYGIKDNLIRFSIGVEDFEDLKNDLVQALEKI >Sspon.04G0029000-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:75331966:75334163:1 gene:Sspon.04G0029000-3D transcript:Sspon.04G0029000-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine protein kinase, Abscisic acid (ABA)-activated protein kinase, Hyperosmotic stress response, ABA signal transductio [Source: Projected from Oryza sativa (Os12g0586100)] MARTPAAGSAVGMEMPIVHDGDRYEHVRDIGSGSFGVARLMRSRATGDLIAVKCIDRGDKVRRTLPLPLQSAKLTGRGDHVGCCVLALPLALQIDENVRREIINHRSLRHPNIIRFKEARFFFQQLISGVSYCHSMQVCHRDLKLENTLLDGSTAPRLKICDFGYSKSSVLHSQPNSVVGTTAYIAPEVVLTKEYDGKIADVWACGVTLYVMLVGGYPFKDPEDPKNFKKTILVPLLSQPYTYIFQFYSYSLYHLNADANLQKILGVQYAVPDYVHVSPECRDLVSRIFVANPADRITMPEIKSHPWFVRNLPADLVGDGTVSYEEADHPMQNMNDIMQILAEATVPADGARGTTQFLCDDLDDGDMDLDWDLDLDIESSGEIVYAM >Sspon.05G0005710-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:20101550:20106145:1 gene:Sspon.05G0005710-3D transcript:Sspon.05G0005710-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRARQTKRRSRGEKNRKRKKNIHRSRGTAAASVRETPPAAAQSRRGVDRAPAARGCSGARRGKAQGPRSYPDLGVVVRSGVRSVPASLVGMGWSAGLWWLVAAVWVAVAAAEGEVAAVGAAPRRHAYASMMYMGTPRDYEFYVATRVMMRSLRRLSADADRVVIASLDVPPLWVQALKDDGVKVVSVENLKNPYEKQENFNMRFKLTLNKLYAWSLVSYERVVMLDSDNIFLQNTDELFQCGQFCAVFINPCIFHTGLFVLQPSMDVFKNMLHELAVGRENPDGADQGFLASYFPDLLDQPMFHPPANGTKLQGTYRLPLGYQMDASYYYLKLRWSIPCGPNSVITFPSAPWFKPWYWWSWPVLPLGLSWHEQRRETLGYSSEIPVVLIQAVLYIGVIAVTRLARPSLSKMCYNRRMEKNTTFLLSLLRVVAAWSILAAYTIPFFIIPRTVHPLLGWPLYLLGSFSLSSIVINVFLLHPMTVLTTWFGFIGALLVMAFPWYLNGVVRALAVFAYAFCCAPLIWASLVKTMSSLHVLIERDAFRLGEANQNAEFTKLY >Sspon.01G0037820-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:20871139:20885054:-1 gene:Sspon.01G0037820-2C transcript:Sspon.01G0037820-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoinositide phosphatase SAC8 [Source:Projected from Arabidopsis thaliana (AT3G51830) UniProtKB/Swiss-Prot;Acc:Q96328] MASADADEAPLLSEEPLCPGSCSRELELREFRDRYVIRSLDSAAAFAVARSGGSIRPLSPEEAAAGTGSDCKVYRIYGVVGIIRLLAGSYVLVITSRKDAGSYQGSPVYHVNSMKFLCCNEAIKHLTPQEVCKHYHIVCNFSHIAWSQPIAFLWSLVAAFKFSTSICLQRRDEAYFMSLLRIAETTCGLYYSYDRDLTLNLQRASKLAAGRVHKPLWKQADPRFVWNKNLLEELIEAKSVQFTLKDRPVRITLFSRRCNRRLGTRMWRRGANLEGATANFVETEQLVEYEGLASSFIQVRGSIPVLWEQIVDLSYKPRLSIIEHEETPKVVQRHFHDLSQRYGETIVIDLTDKRGDEGDLSNAFAAEMGRIPGVRYIHFDFHHVCRGGNFDNLQALYNQIEEAIHKQGYFLMNTKGEILLEQSGVVRSNCIDCLDRTNVTQSFLARKSLDSQLQRMGALLSSESISLSDNINDIFKKLWVEHGDELSLEYAGSYALKGDLVRYGRQTLPGLIKDGMSALSRYYLNNFHDGVRQDALDLISGYYTVSQGSSSPFHNGGFESSSYLPVASAIIVGGITATTFTLSQDDIKIDDEAPSKPAPPSTSVVNKKSKTDVEREQLFEGSSDVHTPRKKSTQEILTKYKFKGDAAAAAAHAKQKLVERQEKLARISEQSAELESEAANFATLAQQIRKNTETWWWKR >Sspon.01G0043990-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:79005116:79007538:-1 gene:Sspon.01G0043990-1B transcript:Sspon.01G0043990-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RGHLSPSCSGGARPRGPAATLPCAARRSPPARRHHLLLLHASSSFTARQPLVLRRRSPARPGDGAPPRGATTTSSTPRPPSPRGSPSCFGGAPPRGSAELARAAPPPPPPRLVPLHRAVEQWRGVGGRRGRSRRARLRSRRASAELPLLPLRFLPGLESAARWSFLGGASIRRGAGEGAERREQLAEQGRARSGASSWRSGTGERADRRASATAPRARSAIAEANGRAATAEQVAVPGAAMAVARDASCLPLSRGDGGPRRRRPLLPRPFPSSLSSLSRAPQRPLPHDRTHATDSGCGLDDSVPFPVRRYEHLQEIR >Sspon.02G0025460-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:93279349:93280945:1 gene:Sspon.02G0025460-2C transcript:Sspon.02G0025460-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPTPLPDELVEEVLLRLPPHEPAELVRAALVCRRWRRLVSDPGFLRRFREFHRRPPVLGMISNRSPRFVPTTAFCSRQANRGYLRALDARHGRALLGSRSSVVVWDPITHEERELPLPQWQSVVRGGAVLCSAAAGACDHLDCHHGPFLVIYVCSRIQGGETLVYTYSSDAAAWGDPVCAQQHGYQVDFRMGSALVGNALYFGSLSGGTALKYNLELRQMSWIQLPSQSKSWLSVLTTTEDGALGLVAEDDSKLYMWSRKDTHELDAIWERRVIIELDTVFPVDVVLTTVYVIGSADGLGIVFMWVDKAVYTIDLKTYKVNKVYEGITDLVFPYMSFYTPGTTLLIFGIGRHLYRRGTKCESLKCMINL >Sspon.06G0000720-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:2807246:2813886:-1 gene:Sspon.06G0000720-1A transcript:Sspon.06G0000720-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKAEARSRGRGGGGGGGGGGGGSGGGSGNPGKIFVGGLPRDTTDATFVRHFGQYGEIVDSVIMKDRYTSQPRGFGFITYSNPAVVDKVIEDKHVINGKQVEIKRTIPKGSVQSSSKDFKTKKIFVGGLPSTLTEDDFKSFFARYGTVVDHQIMFDHETKRSRGFGFIVFASEQVVDDLLANGNMIDLAGSKVEIKKAEPKKSSNPPPPPVHGRNARSAYDSGSRDHPSADNYGGMASAYGNYRGGGFGPYRSDAGFGGRLGNYGGIGDFGGAYGRYYAGLGGYGAASSFGYPSRFGLYGGGFGGAYAGADLSGYRRGGADESFSAPGNSGFGGDADESFGGPGSSGFSGTGYGGAYDPTLGGYGSASTPDTNRGSFTAGCADSRRRGAPRQRAVVVDLQPPPVDAIDASGLQHGVGDDAHEGNAGVEARGEHVVVPRPPGLVPPVDDVVEDEADGAPHEVVDGARRRHHPRGAQQHGHVDEAEPRCPGAVRERARQRPERHRRQRAGEEEVVHLGVQAEAAEHAQRSHDAPDDGRVEEDVVAGARPGAPLRQLRRVADVGHALQQPPRRGEVDRRRQHGAHELHGEHGARRDLHVVAQLEVLQEHDGLRHADVAVRLERHVGERPPRVEVADDELRHDVEPRLLVGGGGDDADGEREEEGDAGGEEDAPVGEL >Sspon.04G0005440-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:16245180:16247020:-1 gene:Sspon.04G0005440-4D transcript:Sspon.04G0005440-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGSSGPSCRAAICGIVVLLSVIAFSCSLAAEFRKVKEKDMKLDGSLCSLPKSSAFELGVAAIAFLFVAQLVGTTAAVTTLCAGNPKKSSAARGRAAFVALLVLSWLSFAVAVILLATAASMNHGQRYGRGWMDGDCYVARSGVFGGAAALVVVTVLITLGLTFATESAAAAGAMATTPASSSSATCARTHLDAASADAEQPGGRSKQ >Sspon.03G0018430-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:56603106:56604830:-1 gene:Sspon.03G0018430-1A transcript:Sspon.03G0018430-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAMETDINAPPPPAPAGEGSSAPGPSSSSSSRKPNKRFEIKKWNAVALWAWDIVVDNCAICRNHIMDLCIECQANQASATSEECTVAWGTNPISRLLYICLYKPIDIGI >Sspon.08G0009710-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:36331969:36333540:1 gene:Sspon.08G0009710-2B transcript:Sspon.08G0009710-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSAMPASGDHTTARPHVVLLPSAGMGHLVPFGRLAVALSEGHGCDVSVAAVLPTVSSAESRHLDALFAAAPAVRRLDFRLAPFDESEFPGADPFFLRFEAIRRSVPLLGPLLDATQASALVTDIVLASVVLPVAKARGVPCYVLFTSSAAMLSLCAYFPAHLDANAAAGRVGVGDVHIPGVYRIPKSSVPQALHDPKHLFTQQFVANGRGLVAADGILVNTFDAFEPDAITALRQGSVVSDFPPVFVVGPLQPVRFQAANDAAHYMNWLSAQPARSVVYVSFGSRKAISTDQLRELAAGLEASGQRFLWVVKSTVVDRDDAADLGDLLGDGFLERVQGRAFVTKGWVEQEQHGSVGLFISHCGWNSVTEAAAFGVPVLAWPRFGDQRVNAAVVARGRLGAWEARWTWDGDKGLVSGEEVAEKINAVMGYDVVAEKAANVGDAAAAATAKGGTSYQSLADFVGRHDGALFGWLAGRRPAISVSATYYLSPNKAPEFGRL >Sspon.03G0012840-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:45568503:45572750:1 gene:Sspon.03G0012840-2B transcript:Sspon.03G0012840-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AMAPASAAASAGRKSPVTVLLLYVACAFILLLLLASYSPRLQPHAHGRSLHRRLKLHPKSAPSSGAASGGNGGQQQTQHQNHHAASFDPTIAELERRLDDKEWEREHYSLLHGGELDDHMKEWEEFLKDEEDFINDDERFNVADRIRELFPKIDVSPQDGFVSLDELTTWNLQQARADQHHRSAREMELYNKDGDGIVSFEAFNKLRQESPGEGNMLGFQWWKEEHFNASDANADGFLDKAEFNSFLNPSDSDNPKIINLLCRQEIRQRDKDGDGKLNFEEYFNGLHDHIHGYDDENADISHIGNVTVAKDRFSKLDKDNDRFISEHELEPVLDKLYLSERYYSRQQAIHAISQVDKDHDGRLTLEEMIENPYAFYGSVYLSDDDDEDYFHDEFR >Sspon.06G0001750-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:4325994:4330779:1 gene:Sspon.06G0001750-3C transcript:Sspon.06G0001750-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYRAFDSSVTGDELPPTYQIKGPRVHFSGNGSSLAGALSQPRPHIKLDSDIHQIEQQAYTGVLRAFKMQSDALTWSRQGGGMQYSLPRNSVVDHNHGPLKRQKKSHPIYSLPVDPHSPIIPLQAIVGNKTYRVERVLSDPDVYTIERAKKLLIDQEQSLLDAIAKLDEASDRENVFEFTQLTSFL >Sspon.01G0032110-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:105231576:105234642:-1 gene:Sspon.01G0032110-2B transcript:Sspon.01G0032110-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding HVVVRDDTAGVPDVGGVARLAEQGRQRVAADGGDAGGAAELPGPGGALRRRGEEEVGRELRLHGALRVRRRVDLLGDLGLQHVVRRQAAAAVGQGPAGPEPGLPRGAGRPPRHGALLRRRDHRGDPGRGAAVPDGDGGVLPVRVRGHHAGPRRRVAAGTDELRGVDAVRAALAHLLLHRRRLLRVGRRLPLPVGRHRLLRRLRHPPLRRVCRVHGGVLGGAPGAEGQGEVPAQQHPVHAHRRRAAVDGVGGVQRRRAVRRQRGGVHVGAQHQHLHRREPRRLDLPRRRLLQEALRRGRRPGHDHRTRLHHARRRAGAGVGSHGDGRAGRQRPLVHDDDPAQAVAAAEARGRHAGRHPHARRGGAPGRHPHGPPRRPHPVRALPAGHQLPGRLLRPRRRRRAAREAAGGGALHHRVERGHHLHHLRRHQRRRPAAHDRGQARGRRRRRPRRGGVRALGRRRALRRHRARPARRRHSRARVHDPEL >Sspon.02G0039050-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:47498779:47502802:1 gene:Sspon.02G0039050-1B transcript:Sspon.02G0039050-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAASDAGEPSRGAGEARRADLGTRLEPYAEPVPSAGAPGFQSPPPPVWRGLATASCCCSRPSPSRGHGLASRRPRKRGRPARGPVCLGRGGGARRGVPPGRARAAESLQAEFRAVDCAIALSSSAITLHTLDCPLLVGPLLCQYTTAPSSRLVRSCCSPAGTRQGQATDPTRLLSPHPCPHQV >Sspon.08G0002940-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:8232353:8235413:1 gene:Sspon.08G0002940-1T transcript:Sspon.08G0002940-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DGTSIASAKREGARRCIMQRYRSQLEQEVKKLQRQLQEEIDLHLALADAITYNAALILKSSIKLPDKAHELLISISSLEIAITKLEEDLNHLHYQLCHARNERLLAENKPGFLLPTASDCQASTVCDSTGEEPEQMLRELRFKDYQCKEDFSTEPEDQQDDEKDAEDGERMQEACSTEKEGKEDQKIDALPFSQPNLKKSNMSGNLWNNPNQLSEEMVRSMKDIFLHLSTSSKISPRKCLSGSTLTTLSDSSVIASVLRSPSIDLNHDDGIIDDVRNFDPYNVNGKEARRDIGSYCSVAEVSWMYIGNEQLEYASGALKEFRFLVEHLSKVDPSCMNCDERLAFWINLYNALIMHAYLAYGVPENDIKLFALMQKLEIISQACYTIGGQPVSAAEIEFVILKMKTPVHRPQLSLMLALHKFKTSENLKKYSIDDTEPRVLFALCCGMFSSPAVRIFSAENVQTELQESMRDYIRASVGINDNGELIVPKLLQSYAKGIVEDSLLADWICRHLTLDQVAAIQDTSSSHKQRLLGVRSFSVIPFDSRFRYLFLSDNSRCQN >Sspon.07G0024760-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:29974911:29976848:-1 gene:Sspon.07G0024760-1B transcript:Sspon.07G0024760-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RPNWRNLRRIATIDVFSSHRVLRSVDVRASEVRDMARRLFKAAAGADADASRPVRCDVKAHAFELALNTVARVIAGKRYYGDGPAAASEEAERFRAMVREYFAMHGASNVQDFVPVLALVDIGGVNKRAIRLSKARNEWAQRLIDEHRAAAAAGTELGKTMVGDLLEMQASDPEAYSDKVIRALCLVSYSVLEVVGTARILEEADLPNLPYLRCVVTETLRLHPVGPLLAPHESASDCSVGGYDVPAGTMLLVNVHAMHRDPRVWAEEPERFEGGKSDGNWMLPFGMGRRRCPGEGLAVKMVGLALGTLVQCFEWRRTGDEEVDMTEASGVTMPKSVPLEAFYWPRTEMVS >Sspon.03G0012040-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:33313702:33321385:1 gene:Sspon.03G0012040-1A transcript:Sspon.03G0012040-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFYGAMVWDPWLIVSQIVCLQCLYYLSLGVAMALLVGTRVPRLTLLYLFDFATLTPRTPTGWCAIASFLLAAVAGAGFMLYVIERAKKCLDFAATLYIIHLFICIVYGGWPASITWWVVNITGLAIMALLGEYLCIRRELKEIPISRLRASV >Sspon.04G0001280-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:6170546:6173026:-1 gene:Sspon.04G0001280-1P transcript:Sspon.04G0001280-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAIMTPGGALDLATVLLGNRVIFIGQYINSQVAQRVISQLVTLAAVDEEADILIYLNCPGGSLYSVLAIYDCMSWIKPKVGTVCFGVVASQAAIILAGGEKGMRYAMPNARVMIHQPQGGSEGNVEEVRRQVGETVYARDKVDKMFAAFTGQPLDMVQQWTERDRFMSSSEAMDFGLVDALLETRY >Sspon.08G0005720-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8B:14875198:14876864:1 gene:Sspon.08G0005720-2B transcript:Sspon.08G0005720-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding QRPSAARITHRPLLRPRLSAATTLLPLVTRPCAYSLPRTILAATGLDPHLISCADDGSKSNAHLSEGDGGNKPRSGGRPIVTDLLSCGEPDLPSHALPPFRCCPPTPSSDAPVTNFTFPDPGEPLRMRRPAHEPSTDSVARYARAVALMKALPESDPRSFYQQANVHCAYCAAAYRQAGTPELPVQIHYSWLFFPFHRAYLYFFERVAARLLGDPGFAVPFWSWDVPEGMRVPPEFADVASPLYDPMRNPEHAPPRLVDLDFSYVDKNCTDEQQIQLNLRIMYKQMVTNAPLPALFHGQPYRAGDRGMPGAGTVELSPHNIVHRWSGDLSRANHENMGAYYSSARDPIFYPHHANSDRLWEVWRGVGGGHADFTDPDWLDSSFLFYDEDARLVRVTVRDMLDVGRLRYAYAEVGLPWLSARPPIVTPDGDVNRGRGGPTHLKSVRFPVSLDAAVTAEVSRWPGKPRGQWEEVLVVEGIEADGAGFVKFDVYVNAVDHEKVGPGGREMAGSFVSLKHPGKVVVQTSMRVALNEILEDLGAAGDDSVTVTLVSVEGR >Sspon.05G0004170-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:7497812:7500889:-1 gene:Sspon.05G0004170-2B transcript:Sspon.05G0004170-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIERHPSRRVAAVGEEDDGDVALVEQVRLTVPTTDDPTLPVWTFRMWTIGIVSCALLSFFNQFFAYRTEPLIISQITVQVAALPVGHFMARVLPETKRSAFGREWTMNPGPFNVKEHVLICIFANAGTAFGNGGAYAVGIITIIKAFYKRNISFVVGLLLIMTTQVLGYGWAGLMRKYVVEPAQMWWPQSLVQVSLLRALHEKEERRMTRGKFFLIALICSFAWYIVPGYLFPTISTVSWVCWAFPKSVTMHQIGSGMNGIGIGAFTLDWSVVASFLQSPLVSPFFAIVNVFVGFVLFIYIILPVCYWAFNLYNASTFPIFSTDLFTGAGQLYNISAIVNDSFEIDMDAYAKQGKIHLSLLEIYQRMRESYKGKSDVHTRMMRKYDDIPNWWFYLLLVVTMAVALVLCTAFKKELIGTLVAGTVNTIVAWWLLTTVPHICEKELLPEGSPWTCPGDHVFFDASVIWGLVGPRRIFGPLGYYNALNWFFLGGLVLPAVVWLLARALPGHAWWISLINLPVVLGATANMPPASPINYTAWCFVGTVFNFFVFRYRKAWWKRYNYVLSAAMDAGVAIMGVVIYFALSGHPLDWWGSRGEHCDLATCPTARGVLVDGCPVL >Sspon.06G0034520-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:46717292:46718046:-1 gene:Sspon.06G0034520-1D transcript:Sspon.06G0034520-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLVAAILSDPAVQSRAIEMATSSPIPLVSQVQRKPQTYTPSPWGDFFLQHVPCTPSQFLSMKETAQSKKEEVRQIILGTVATSNLVQKMELVDTLQRIGVDYHYKEEINDLLCSVYDDKDGGSDNLYITSR >Sspon.06G0023910-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:49139755:49141474:-1 gene:Sspon.06G0023910-1B transcript:Sspon.06G0023910-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEQKRSMGDVAAVPVPPMQTSESNKISIIISPRAATSKIMPFELIHAGSVSSRPHADVAESSVAHAVHHHRWNQGLPKINAVPLIKKMIAEFLGTFILIFTVLSTIIMNEQHDGAESLLGIATSAGLAVTVLVLSLIHISGCHLNPAVSIAMAVFGHLPLAHILPYVAAQILGSIAASFTVKGIYHPVNPGIATIPKVGTTEAFFLEFITTFVLLFIITALATDPHAVKELIAVAVGATIMMNALVAGPSTGASMNPARTLGPAIATCRYTQIWIYMVATPLGAIAGTGAYVAIKLT >Sspon.04G0034920-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4C:79929305:79934761:1 gene:Sspon.04G0034920-1C transcript:Sspon.04G0034920-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPQRNKAAFVRECIIDHREPRDARDNINERRRRKCGDGAARGYHEHRGERYDSSEDRSPSPEPPGPRVFSKYNGETKPELWLADFCLACQLGGATNDWVIIRQLPLFLSDTAQAWLEDLPPRQIHDWSDLV >Sspon.02G0044350-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2B:100711421:100713534:1 gene:Sspon.02G0044350-1B transcript:Sspon.02G0044350-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEGGRARQRGAAHRPDGHGRQRVGLRSQTTSSDDKTLSFYKTTQTIHRHWMAKLSNRDTIVIACTVGDVVLHRATKQATAMQGQKQNLVGGTEVREKKLVGVGEDARHGSGMA >Sspon.03G0000330-3D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:31269585:31272016:1 gene:Sspon.03G0000330-3D transcript:Sspon.03G0000330-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLNKLPLDLDEDFLDAFCLDYVTGNPTFCTQVPAIPEIPQPNDEHVNQQILPSFFEVGHAGLAEAAPAVSEEVLPTVSEIRLSFEQARIEDRNEGSTANPVVADNELNGARNEVAEEEEEVWSTPQMPHNGLSFASLDEAKEYYNSYAKRTGFSIRTNTSRRSAITREMQKVQFVCNKEGFVKKRRVAAQLVDAITCYSDNDEAEEEDSAQEEEDNQGEKRKKLDGCKKRKREKMLYTNCKARMVVKMIGSRWQVIYFLAEHNHDLVVKPSLKKFLRSHRGIPKPEKDFIVLLHGCNLSTGRIMQLMSEFYGSAQLVPYEGKQVSNFRSTIHKTEKFKDMQETLDYFRALKEEDPDFFYKIKLDDNHRVENLFWVDSAARRAYKEAYSDCVSFDATYMTNIYEMPFTPFIGINRHCQTFQLGCAFIRNEKAATYEWLFVTFLEAMDGKAPLNIITDQDAAMRVAICTVFPNTTHRNCRWHIMDKFSGTIGPILAKNEELNEEFVDCLNHTISPEDFETKWAAMVEKHELEGNEHFQHLYHIRQSFVPAYYMHSFFPFLQSTQRSEGFNGLLKKYVNPNLSVLQFVRQYQKIQEKCLVAQDGQDFRTDENERRRWSRHPLEKHASTVYTKNMFYKFSQEFEKTAEYDVKPVGQFQYWVEPNNNFVYGYGKRNYLVTAIEEEESYCSLAVEGCTSDENYVVLEKHIKEMRSEFEEIKKRKMANRQNTGATE >Sspon.06G0005770-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:17144553:17145812:1 gene:Sspon.06G0005770-2B transcript:Sspon.06G0005770-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPFAACFRCAAAPSSGAGAGAGAAGPSLATSVYETHLGLVALSWSRTSLGLSLRAVLRLSPPPTPGNSSSASGAGYLDDDADEEETLAFRVRPWLLWRRRGSRRFRAGDRLVDLAWDLSRARFPGSGSPEPSSGFFVAVVVDGEMVLAAGDLPDAAYRRTRARRPSGPRPVLLSRREHVSLRDAGAGRGRSHTTWVNVQGKEREISVDLVARGRGRDRAAAAAGREKEKDRADVGMSVSVDGERVLHVRRLRWKFRGSERVDLGGGDGVQVSWDLHNWLFPPREPPPADASTHAHAHAVLVFRFDLASGGGEEREADLGKDPSLDKAATARRNTGVWGGGYLARWGQRDWSETGSNGERRKGRGRRLAKESSSSSASVASSTASWASGSTVMDWASPEEAEMQRGNGFSLLIYAWKS >Sspon.01G0011280-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1A:30972463:30973553:1 gene:Sspon.01G0011280-1A transcript:Sspon.01G0011280-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPPTGSKKYVSVQVARDRAARAGVRAEGAPALADGALTLLPCTGSGLFFLPSHAQPAPSHLGCPEPSPRFGGTGVTGICCEYS >Sspon.02G0028930-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:103295357:103302822:-1 gene:Sspon.02G0028930-2B transcript:Sspon.02G0028930-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGLMICAAGFISIDCGYGEGLSYQDSSSRLTYVSDAGFVDAGEGLNAAVRPPYVDRGLADRYLNVRYFPTVTGGGATRSCYTLRPVAQGSRNLVRASFYYGNYDGRSALPAAFDLHLGVNRWATVNVTSAEGVYIFEAVAVSPVDFMQVCLVNIGLGTPFISGLELRPLSATMYLEATVNQSLFLLSLSRPSARFDFNRYQFNPDYSVPPFRYPDDSYDRLWQRYGRNPAWTNINTTKEVDVSNATSSFDKPSEILQNAATPVNGTTQMDISWSSDPSLEDAHGNSTYLLFLYFAELLRMPSNGLRQFDILVDNATGNDGTSQGFTPKYLSAEAVKRTVQGPGQHSVSLVATPAATLPPILNAFEIYSVKPMTEMATNGVDAKAMMTIRERYALKKNWKGDPCAPKAFAWDGLNCSYPSSGPAQIIALNLSSSGLTGTVNSSFGDLKSLQQLDLSNNSLSGPVPDFLAQMSSLSFLDLSSNILSGSVPAALLQKIQNGSLELKLMTANFKEEIGRGGFGSVFLGYLQNGSPVAVKMRSKTSSQGDKEFLAEAQHLSRVHHKNLVSLIGYCKDKKHMALVYEYMQGGNLEDCLRGEASAATPLTWHQRLKIALNSAQELALKCKEQPSRERPAMTNIVAELKESLELEVSYAMGYYSSVSTSTINLSTTSVDLHTNEKPSDHLRQNAAVELQQ >Sspon.05G0008160-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:26827240:26837007:-1 gene:Sspon.05G0008160-4D transcript:Sspon.05G0008160-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLLRPAAIAGGRQVWPVAEDEEGAREAEAASQRLVEAVARGDEREAGELLAAGRADVNYAGVVWLRARRVAEAEPREGAAAEARAVHEEIRADVSPLFLAAGNGDVALVRALLAKGADVNGKVFRGYPATAAAREGCAEVAELLVRAGASQPACEEAVVEAALQGQAALAAIYMGSDLVRPRVAVHALVSAAARGFVDVVDALIKCGADANATARVLLRSLKPSLHANVDCTALFAAIVSRQVAVVRHLLQAGVKRDTKVRLGAWSWDASTGEELRVGAGLAEPYDAVWCAVEYYESTGSILRMLLRSGYTSTATHLGRTLLHHAILCGSAGAVQTLLASGADSEAPVKTSRSNRSRPVHLAARLGQPEILWMLVDRGCDVNARAEAGDTAVILCSRHKREDCLGVLVSAGADVALLNSAGDSPASVASSGGWKTGFERAVIGAIRSGTIPRSSDRNVFSPLMFGALCGDATAMEVLLAQSDVDVDEQDLDGCSPIMAAAKTGNVEAFRALVFAGANVKLSNKRGETAIGLAQQSKKRDLFEQVMLEFALEKGMPGGFYALHCASRRGDTAAVRHLASTGCDVNIPDGDGYTPLMLAAREGHAGVCELLISYGARCDLRTPRGETALSLARAALATTGFNKAEDVIMDELGRQVVLQGAHVRKHTKGGRGRPHGKSLRMVAAAGVLRWGGSNRRNVICREAEVGGSSAFQRHRQRKGDAYEPGLFRVVTATGREVHFVCQGGEEPAELWVRGIRAVTRAAFGKRGKE >Sspon.07G0006270-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:7765918:7768343:1 gene:Sspon.07G0006270-1P transcript:Sspon.07G0006270-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRQATKDAGVIAGLNVMRIINEPTAAAIAYGLDKKATSSGEKNVLIFDLGGGTFDVSLLTIEEGIFEVKATAGDTHLGGEDFDNRMVNHFVQEFKRKHKKDLSGNPRALRRLRTACERAKRTLSSTAQTTIEIDSLFEGIDFYSTITRARFEELNMDLFRKCMEPVEKCLRDAKMDKSSVHDVVLVGGSTRIPKVQQLLQDFFNGKELCKSINPDEAVAYGAAVQAAILSGEGNEKVQDLLLLDVTPLSLGLETAGGVMTVLIPRNTTIPTKKEQVFSTYSDNQPGVLIQVYEGERARTKDNNLLGKFELSGIPPAPRGVPQITVTFDIDANGILNVSAEDKTTGQKNKITITNDKGRLSKEEIEKMVQEAEKYKAEDEEVKKKVDAKNTLENYAYNMRNTIKDDKIASKLPAEDKKKIEDAVDSAISWLDSNQLAEVDEFEDKMKELEGICNPIIAKMYQGAGAGMGDAAGMEEDAPSGGSGAGPKIEEVD >Sspon.02G0036270-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:23882450:23883768:-1 gene:Sspon.02G0036270-1T transcript:Sspon.02G0036270-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVKVLSSKLVKPAYNAGAAPSPARADEYIPLSIFDSVTYKMQMAIIYAFPPPAPSTVAIANGLAAVLAEYRVFAGQLGDAPDGTPAVLLNDRGARFVEASADADLVDMAPAKPTPELLRLHPDLEEELQEVVLLQLTRFRCGSLAVGFTSNHVVADGHATSNFLGPPHGPSPVHHQAGLFKPRASPRVDFDHRSREWYRPSPAEKQHGHGGDGGVVENIVIHKAHFTKDFIAGLRARASEGRGRPFSRFETILAHLWRTMTRARGLNPDETSQIRLSVDGRHRLGLPAEYFGNLVLWAFPTATVVDLLGRPLKHAAQVIHDEVARVDGSYFRSFIDFATSGAAEKEGLAPSAICKDVLCPNVEVDSWLTFPFYELDFGTGSPSYFMPSYFPTEGMLFLVPSYIGDGSVDAFVPVFEHNLEAFKQCCYSME >Sspon.01G0015880-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1A:47441956:47442315:-1 gene:Sspon.01G0015880-1A transcript:Sspon.01G0015880-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMTSTVMTPSLSLAARSRPQRSGSAMLSLRAASGGGGRRRPRAAPRSRRLTSSARPTSSRSSRKQQEERVTDYNEVTAALDSIYKLSPAVEVEDEKRHGGDEDDDGGKKAEKKRKAAL >Sspon.02G0043880-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:101860989:101865925:1 gene:Sspon.02G0043880-3D transcript:Sspon.02G0043880-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGANKGMASAAGTAVLLYLVLSGRLCGDAAGGDGGAALEDQLISSAVSAAAEARRRRKEDARARREQQRRASRATKRRRWRWPERAPDGWGEAAALAARTVRLTWAETLGKWALGELAFGIKYYMRQQGNLQHEYAGSDSILLDGPEVKQELISLLRYLNQCMYFSKKPYSVFLEYGGYGQNDVLIKKSKARLLKPAFTIVRDRSSQCFLLFIRGAISVKERLTAATGAEVPFHHVVVQEGRVSNLVLGYAHCGMVVAARWIAKQAIPCLSKAMEQFPEYEVKIIGHSMGAGIATILTYILRENEKLSSSTCIAFGPAACMTWDLAESGKDFVTTIVNRNDVVPSLGIVSAAKLRIEVMSSSWAHDLRKQIQQTRFLGFVNRSVSFIRSHVPFVSDPRSKVVDVDMLQSQSPEAGSKPSADTHAVVKKRPALVCWSCVAAPKQSMESPIQIQGTEVQTNTDVHTDKITEATAAELVSIHLGELNLQESDNQDNNREEKGSALTETDEEEAMELLESLTDEKQVPSSSSSAQEPHQLYPPGKILHMVGLPAAEEATTSQQGAQEEVVALYETPRHLYSKIRLARSMIGEHYMPKYIKTMEQLIDKIAEDIDDQLDSL >Sspon.06G0008260-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6B:33998363:34000066:-1 gene:Sspon.06G0008260-2B transcript:Sspon.06G0008260-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGIVDEKTDIFAFGVLLLEIVTGRRPVDCSKQSLLQWAKPLLEAGQVTELADPNLGDDYDKDQLNRMVAVASRCIMRPAMWRPSMAEVLHFLSTTDECLKEPEKWNIPEDERKLLQKVPKDARRHHTEAEAEAPPACRPVDPWGPLVSPLFVCRFSTALRIAPTPFIQVGLIRGLRIDTPAYIYQPLPPP >Sspon.06G0028720-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:10730250:10730640:-1 gene:Sspon.06G0028720-1C transcript:Sspon.06G0028720-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MISSKKLAQLSKKWQGMGAVARRRVTTVDKDPSCSSVVSGKGNCVVYSSDGKRFEIPSLTSKQESLQSSSSCHRKSLAVIVCDCLLRREASEDVENTFHSDALPPSKQNGATIQWI >Sspon.03G0032890-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:49333915:49336898:-1 gene:Sspon.03G0032890-2C transcript:Sspon.03G0032890-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPAQSCLRFLPPPPPTTNAAQPDAAAVVVPLPGSSEDRISQLPEDIQRTIVSKLPVKDGGATVVLSSRWRSIWSSIPLVLDDAQLRPAGGDELVDDEEDMEAIEDLSRDVVWGKVTAILRSHPGPFRSVRLTSSPASSLRAWLRIMAAKGVEELVVLNCEWPTAMMVLSVELLRCESLKRLHLALSQMPHLTVPGRAGRPAHRRAVHFPNLEELVLCHAFVPETDIGDFLAGCPVLKMLAFIEGCGVPPYIDVLCPRLKCLLLWRFKPLKLTVDAPRIERIILWRTNQFFLPPRTRILIRRAPNLRILGYLDPKLHVLESGDMIIKAGTRPKLEVMLPSVEILAIKIRFGVREDERTLLAYLRVFPNIRTLHIQSAPAPPCPTNEHGPDSWNNDIFSSIRCISKVKKFSFYDFHGMDNNSELSLIKSIAQTCNLLEHMRITISGSLIEPPNLLKARLMNSMSEVEWASEESTLDIITGNRKEWKYRTASDLSLSDPFEKRIKG >Sspon.07G0009140-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:23874990:23876491:-1 gene:Sspon.07G0009140-2B transcript:Sspon.07G0009140-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHARHVLVPSVFLFVVALLLPSPGWPRSKLFPSWLPPPVNRRERDSADDGHPPCDYSDGRWVRDDAADVTTYTEDCRFLDPGFRCTRNGRSDSSFRHWRWRPHRCDLPKFNATDMLERSRNGRIVFAGDSIGRNQWESMVCMLAAAMPTTARVYEQSGKPISRHRGYLAMVFTDYNLSVEYYRAPMIVMVDRFRPANATTTTSGGVRGAVRLDVMPRHADRWAGADVLVLNTGHWWNHHKTVKANGTWDIGGTCAGQRDPLMTTNANFGDEYSWMNAMIAKMTDGIMSHGRKARFLNITHMTELRPDGHPSGHREPGTPPGAPEDCSHWCLPGVPDVWNQVLYAHLLST >Sspon.05G0025520-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:5B:24228896:24229099:1 gene:Sspon.05G0025520-1B transcript:Sspon.05G0025520-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHAVADQMQSAAPFNDSGKPDMDVRPECIGASPSTSKSMQGPHDKCHPPKSDTTKQFMHLDLKIETA >Sspon.04G0012140-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:18191124:18192666:1 gene:Sspon.04G0012140-3C transcript:Sspon.04G0012140-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDERNTQQHQGGEAQENAAADQVEVKDRGLLDSLLGRKKHEDQEKKQQEEELATGMEKVTVAEPEKHEHKKEEHEAAGEKKESLLAKLHRTSSSSSSSSDEEEEVIDENGEIVKRKKKKGLKEKIKEKLPGHHKDHEADHHTAVPAPAAAPAPVVETHAHHQEEEHKPHFAAPAPPPHVETHVHQHDHGVVVQKVEDDVKTETPPAPEEEKKGLLDKIKEKLPGGHKKPEDASAAAAPAVVHAPAPAPHTEDVSSPDGKEKKGLLGKIMDKIPGYHKSSGEDDHKDAAGEHKTSS >Sspon.01G0024900-4D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1D:87498286:87499327:-1 gene:Sspon.01G0024900-4D transcript:Sspon.01G0024900-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKDHHGLSLAGICDCCNGLVLFENHVVNPATRQWMRLPPYPTLPEEKQSYYDYWYLVFDPTVSPHFEVFVMKSPLDYKNDPSELLQLEYMSVRIYSSSKQRINAFTNKYQVINLPPDINWTRYYQFRLGKSVGGVHFAVAGQQGLQVWFLDESGSKTEWVLKHVTRYPFNNDQTDRPWSLQHGLYAYDDDDQEENNKEPTTAEKDSDWDSDDDNAGGIDLERVDKYSCPYTEVLGFHPYRDIVFLALSKKVVAYYFNSSKMQPL >Sspon.03G0006860-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:24105503:24110775:-1 gene:Sspon.03G0006860-2D transcript:Sspon.03G0006860-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGFSGATGLAVYREEDDEELFETSSSISGDSDDEDQFSDGEEGAGALEHQFTQQSQQPVRRLNSDSLYDLSSMMAQLPVKKGLSKYYDGKSQSFACMSEVRCLEDLRKKETPYKKMKSSRSYVALDEEQDCHMPGPNSRAPGSSCANLVARNNSKNMLYRPPPIPVNKSGYHQDFVDKRIGLSQLYEESNAKLHGQKCWKKSYFNVLPQLRLARAPSKQRRLQTMKDKSTILRASITAGRA >Sspon.05G0013040-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:41227927:41232739:-1 gene:Sspon.05G0013040-1A transcript:Sspon.05G0013040-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMSRQCTNRIFLSRGSSFLHGVQSRVASSRSRGTVRLNWCCVRANLWRTKRLGVMACVVLVVPSADAIDALKTCTCLLKECRIELAKCIANPSCAANVACLNTCNNRPDETECQIKCGDLFENSVVDEFNDCAVSRKKCVPKKSDVGEFPVPDPSALVKNFNMADFNGKWYISSGLNPTFDTFDCQLHEFHVKGDKLIANITWRIRTPDSGFFTRSTVQRFVQDPSQPGILYNHDNEFLHYQDDWYIISSKVENKDDDYIFVYYRGRNDAWDGYGGSVLYTRSKTVPETIIPELERAAKSIGRDFSTFIRTDNTCSPELPLVERIEKTVEEGEKTIVREVKEIEGEVEELEKEEASLFQKLAEGLMEVKQDLMNFLQGLSKEEMELLDQLNMEATEVEQVFSRALPLRKLR >Sspon.08G0011150-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:48623248:48633024:1 gene:Sspon.08G0011150-1A transcript:Sspon.08G0011150-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPTTMSLTTTSRLPICRAQDVSKQAPVQKRAPPAAKVAPPSSSLSDTTGFSRRRLLQSAGLGLGLGLAARDPARARAETAPAPEEVTSNRTSYSRFLDYLNAGAVRKVDFFENGSVAIVELDDPALAASRVHRVRVQLPGLPAEAELVRKLRDRGVDFAAHPVEPNLGLMFLDLLLNLGFPLLFTASLIWRSVTMNNPGAGGGGPSLPFGLGKSKAKFQMEPNTGITFDDVAGVDEAKQDFQEIVQFLKSPEKFTAVGARIPKGVLLVGPPGTGKTLLAKAIAGEAGVPFFSLSGSEFIEMFVGVGASRVRDLFNKAKANAPCLVFIDEIDAVGRQRGTGIGGGNDEREQTLNQLLTEMDGFSGDSGVIVIAATNRPEILDAALLRPGRFDRQVSVGLPDVRGREEILRVHSSNKKLDPDVSLSVVAMRTPGFSGADLANLMNEAAILAGRRGKDCISVKEIDDSIDRIVAGLEGTTMTDGKSKLLVAYHEIGHAVCATLTPGHDAVQKVTLIPRGQARGLTWFLPGEDPTLVSKQQIFARIVGGLGGRAAEEVIFGEAEVTTGAAGDLQQVTQVARQMVTTFGMSEIGPWALMEPAAQSGDVVLRMLARNSMSEKLAADIDRAVKHIVDQAYEVAKEHVRRNRAAIDQLVDVLMEKETLTGDEFRAIFRLPICRAQDVSKQAPVQKRAPPAAKVAPPSSSLSDTTGFSRRRLLQSAGLGLGLGLAARDPARARAETAPAPEEVTSNRMSYSRFLDYLNAGAVRKVDFFENGTVAIVELDDPALAASRVHRVRVQLPGLPAEAELVRKLRDRGVDFAAHPVEPNLGLMFLDLLLNLGFPLLFIASLIWRSVTMNNPGAAAGAQPPAKQDFQEIVQFLKSPEKFTAVGARIPKGVLLVGPPGTGKTLLAKAIAGEAGVPFFSLSGSEFIEMFVGVGASRVRDLFNKAKANAPCLVFIDEIDARRQRRHRHRSHKRPEILDAALLRPGRFDRQVSVGLPDVRGREEILRVHSSNKKLDPDVSLSVVAMRTPGFSGADLANLMNEAAILAGRRGKDCISVKEIDDSIDRIVAGLEGTTMTDGKSKLLVAYHEIGHAVCATLTPGHDAVQKVTLIPRGQARGLTWFLPGEDPTLVSKQQIFARIVGGLGGRAAEEVIFGEAEVTTGAAGDLQQVTQVARQMVTTFGMSEIGPWALMEPAAQSGDVVLRMLARNSMSEKLAADIDRAVKHIVDQAYEVAKEHVRRNRAAIDQLVDVLMEKETLTGDEFRAI >Sspon.06G0028880-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6C:11790503:11792035:-1 gene:Sspon.06G0028880-1C transcript:Sspon.06G0028880-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPEAKFRDPEIHCLKFGDLSDISCQVQGPPSLQHTQHPDETLESIHLKHLKHNITGGEEAEVDQFHPSGWEPAVSDDTRAPPAPAVPVGALGSIRDDLRRPGTCAQWPSVGAAAARHPDGAGVGEEVKKALHKLREEATWSAWVEEGGNSGGAGLYCCHGCERETERWEGREWKEQRQRGVGLGRGGGWPQRRGGVGGRTTR >Sspon.07G0000570-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:193642:196143:-1 gene:Sspon.07G0000570-2B transcript:Sspon.07G0000570-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDASYDREFWNFSDQLRLHNNFSNLSIADSIWSSTTSPPDPSSGNPSGNTNSPGLIGSAKLAFGNATTTSADRYNANAAASKTMSVSDYYLNKSTNTNAAASKTMSVTDYYLNKNAIPAAGKTMDVADYYYLNKNAYANNDAVKSYFNKSVGRPVNNNNTVVVGGGKKNSAAAAHHDKKHKNSGNGNGAGVDKRFKSLPASEALPRGEAIGGYIFVCNNDTMEENLKRQLFGLPSRYRDSVRAIRPGLPLFLYNYSTHQLHGIFEAASFGGSNIDPKAWEDKKCPGESRFPAQVRVATRKICDPLEEDAFRPILHHYDGPKFRLELSVPEALSLLDIFAEKIFA >Sspon.08G0015870-3D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8D:60222913:60226203:1 gene:Sspon.08G0015870-3D transcript:Sspon.08G0015870-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDWVRPNCLTTPNLTPPLNRDHHRSAPLRLASPTRVFAAADLAVPAMDASHTGSSSAAGEAPTTGEHRMGTTIVGVCYEGGVVLGADSRTSTGMYVANRASDKITQLTDNVYVCRSGSAADTQVISDYVRYFLHQHTIQLGQPATVKVAANLIRLLAYQNKSMLQAGMIVGGWDKYEGGQIFSVPLGGTILKQPFAIGGSGSSYLYALLDHEWKEGMSQEEAEKFVVKVVSLAMARDGASGGVVRTVTINADGVKRNFYPGDKLPLWHDELEPHNSLLDILAAGNPDPMVQ >Sspon.02G0023410-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:79824397:79826240:1 gene:Sspon.02G0023410-1A transcript:Sspon.02G0023410-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPLYKGGIVQNSEFNSGLMGWSTYRNIKAGVSSSASGNKFAVVHGAGSYVASSGKLLPSHSVYQRVQMQGDRHYSLSAWLQVSNGTSSAHVRAVIKSPNGERVVAGAIDAQSGCWSMLKGGMTAYSSGHAEIYFESYAPVDIWVDSVSLQPFTFDEWDAHARRSADKVRRRTVKVVVAMGADDKKPLAHANVSIELLRIGFPFGNAVTKEILDLPAYAKWFTSRFTVATFENEMKWYSTEWTQNQEDYRVADNMLSLMQKAGIKVRGHNVFWDDQNSQMKWVMPLNLAQLKAAMQKRLKSVVSRYAGKVIHWDVVNENLHFNFFETKLGPGASAQIFQQVGQLDRNPILFMNEFNTLEQPGDPNPTPAKYVAKMNQIRSYPGNGGLKLGVGLESHFSTPNIPYMRSSLDTLAKLKLPMWLTEVDVVKSPNQVKFLEQVLREGYGHPNVDGIVMWAAWHAKGCYVMCLTDNSFKNLPVGDLVDKLIAEWKTHRASATTDHNGAVELDLPLGEYKFTVSHPSLKAAAVQTITVDTSSSASEHTMNVKP >Sspon.06G0010190-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:55681854:55684452:1 gene:Sspon.06G0010190-1A transcript:Sspon.06G0010190-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LRRILRGQVSLFDWSRSTSLARTFSPRVLENQIDARKELEKSLKATCEEFIMSITKLVVDPMLSFVTKVAAAIQQDLPKVMAKMRLYLQNPSTRMILFKPIKTNIVEAHIQLQSLLKSEYSTEEMQSIGMLPIPDLQSQLDSLL >Sspon.05G0019220-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:77701517:77704067:1 gene:Sspon.05G0019220-3D transcript:Sspon.05G0019220-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPVARVHLKVAHAALPALLPTPPKWKMLPLLPTPCVAAILPKPLANAKPSRADSDERWHAHKTKPACPASSASSSSRPPSAHSVVRSTVKNATTPLPKPGRADSAERWDAHKKAVIPASSSSSSGTSSLVCSTCTISRASSSERRDVHKKRCPQQAELLDDGESSCTGSNDIDTEEEILWKPRAMYAGPGFAVATPEPSMVPMPTAFFVRVAHAALPALLPTPPKWKRLPLLSTPPCVAAILPKPPAKPSRADSDERWDAHKAKPACLVSSASSSPSSGPRSADSVRSSAVGRGSPCKNASSPLAKPGRADSAERWDAHKKAVSPASSSSSSSATSSLASSSKWPICSASSADRWDVHKKRRPQQAALLDDGESSSTGSDDIDTEEEILWKPRAMYAGPGFAVAAPEPSMLPVPTAFLVR >Sspon.03G0014630-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:46683382:46687223:-1 gene:Sspon.03G0014630-1A transcript:Sspon.03G0014630-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLQFMYDRESTSSQLHLAMLMAVWALGNVAGDSAKCRDIVHAHGALFPLLQLFNGNPRLSLLRTATWSLSNFCRGEPNFEHVKLALLVLRQLIHSEDEAVLSDACWAMFYLSRDTEDNTWIEAVIETGACPRLVELLSHPSPSVLVPSLLVIGSIAAGDEVHTQRIVDHRALPYLLNLLITNQIKSVKKQACWTISNITAGNKEQIQAVIDANIIAPLVHLVRTAEFAVSNEAAWAISNASCGGTHDQIKYLVSQGCINAFCDILGHSDTRVLIVCLDGLGNILKVGEQEKDSGACDVNMYAQMIEDADGLDKIEDLLNNDNDMVYQMAAHLLETFWVVEDDVMPSEGNAPQTGIHNSNQQVSVPPGVFKFG >Sspon.01G0012210-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1A:33319143:33319940:1 gene:Sspon.01G0012210-1A transcript:Sspon.01G0012210-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAASIALHPLCSAAAAVCPPVGLTAGVFGAGVALGATAAICPPLGLAAVFGAGAVLGAAFRVKATHAIATDFDALDDVASVASDAATGCLRVVKNAAYSAYEGAKRFARSACHGAKKEGGTERSSAKNKKEAPAAKPELEMDHGAAQHAGPDFWTVVSEAALFDVACSSANASGALASVDPSASQLLAARAAWRASEVMAVLETLKPLEEKLGANIPREAKSCICVMAAAAGVCLDVFKPPSGPVWDSGLRTRGAIAEPRLRGH >Sspon.06G0031730-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:91042983:91046312:1 gene:Sspon.06G0031730-2D transcript:Sspon.06G0031730-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMMLQQSAAGMIPGVAACRPGPRPAATAPMMAKQERDADDEQEELQQQQAGAEELRRGPWTVDEDLTLINYIADHGEGRWNALARAAGLKRTGKSCRLRWLNYLRPDVKRGDFTADEQLLILDLHSRWGNRWSKIAAHLPGRTDNEIKNYWRTRVQKHAKQLNCDVNSKRFKDAMRFLWMPRLAERAAAQHQQPSSLTPCMGGMAAAAPSALMLDTTVSNSNSSCSPSSAVTSSSSCSSLTSVDTAHDDGARPHAAAVEPVLLTAAADDDYWGAATTTMPQQQNDHYDFWSTVSALQHLTAGAADHQFQQQAADHDLTGWVQGFSDGGILSGCSSDNLWSLDDIWRMQ >Sspon.01G0049340-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:112446306:112451086:-1 gene:Sspon.01G0049340-1B transcript:Sspon.01G0049340-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAVVWGEAKEKRTLRCDAAGGGVAAASSNRSSSEPTRTERRRGRAVAAGPQGGWFLPGEVGPGIAAEGGGGSWVEGSGREVATAPDLGAVVVSPRGPGGALGWMALLKGVAKANMWKVRIEGNELQARAMGGICPVLSSEASPLQSSSTMKAWVFSSWWMVRNHLFLHPIEARPTLIWKEEAAFAGSWLKIYYQGAVWSSSRQVEQTVALVNKPEMAAPCIVAGS >Sspon.07G0005670-3C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7C:9857586:9860924:-1 gene:Sspon.07G0005670-3C transcript:Sspon.07G0005670-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSAFFLLVYLLYSLHVPACSATTDSISAGEALIGHAKLVSSNGRESPVIGHHRTTKLAIFEDGNLAIFNQATKSVVWSTHASITGKNSTAVLLDNGNLVLRDATNSSNILWQSFDYPTDIMPPGAKFGIDKTTGLNRRVVSKRSMINPSPGRYCQELDPTGAPQFVFTLCNTSIVYWSTGEWNGQYFNAMPEMSGRTLFDYKFINNDNEDNAPSPCGCMRGFSARSPEDWEQEDHTGGCTRNTPLDCSTRNHSGAKTTDKFYPLPDATLPTKGGNGIIAFRYIDLQIATKKFSERIGSGGFGSVFKGLLTDSTAVAVKRLDGVRQGEKQFRAEVGSIGIIQHVNLIKLIGFCCERDRRLLVYEHMPNCSLDAHIFDSHTTVLNWSTRYQIALGIARGLAYLHESCRDCIIHCDIKPENILLDASFIPKIADFGMAKFLGREFSRVITTMRGTAGYLAPEWISGVAITSKADVYSYGMVLIDIISGRRNTCKENTSDDVHVACFPVEVASKLLNGDIGSLLDVQLPGHANLDEAERVFKVACCCIQEEESDRPTMGEYTTKPRPSVVFPCLLGYNLGVRVGWESSLPPGNSNVPNLPLLGQRGRRIRPADATQPSAHALAWLPASASTLAWRPNRLMAAQLARCAPAGWVCLAVRPSISTGARAAPVRVAGPPPGQEIWAATNCKRLAHGPK >Sspon.03G0001830-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3A:4443076:4444141:1 gene:Sspon.03G0001830-1A transcript:Sspon.03G0001830-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding HEASLSGVTPDPALHYQMDDTQDDSPTQAMNIDQDESDHSRQAAMAKINEAVEVIDLSSDDDEDPRTVQHKPEGKAMHAPGAMNGDVHLEQREPASATMNGVLQPEQRQPAHTAVNGVLRPEQHRPARAATNRVSPSTLLWHYIDPEGETQGPFTLMQLLHWKRLGFFNEGFRVWKTGQTLSRLSCLEMPSCCICSLLSSSMLFWISFRSRSGPHGHGTPL >Sspon.05G0005300-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:1717406:1718074:-1 gene:Sspon.05G0005300-2B transcript:Sspon.05G0005300-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNLSAAAANAACDEFPYVPSNAGAAPPSLLPIMEQESSIHREHHHQLGYNLEANSLALLPPSNAAAHHHATTIAGHSPHDILQFYPASASHYLASAAAAGNPYSHFSAGTGGTTFHQSSYYPPAAPEYYFPTLVSSAEENMASFAATQLGLNLGYRTYFPPRGGYTYGHHPPRCQAEGCKADLSSAKRYHRRHKVCEHHSKAPVVVTAGGLHQRFCQQCSRS >Sspon.03G0005050-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:11475303:11495481:-1 gene:Sspon.03G0005050-4D transcript:Sspon.03G0005050-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LTLFSFYIFSEDTAKRRTQKSKSFKEVEKFDVFVLEKSSGCKFRSLQLLLFAIMSAAFLTLLYTPSVYEHQLQSSSRLVNGWIWDKRSSDPRYVSSATIQWEDVYKSIQHLNVDEQKLSVGLLNFNRTEFGTWTHMLPESDFSIIRLEHTNESITWQTLYPEWIDEEEETEIPSCPSLPDPSFPRATHFDVVAVKLPCSRVAGWSRDVARLHLQLSAAKLAAATARGNSGIHVLFVTDCFPIPNLFSCKDLVKREGNAWLYKPDVKALKDKLRLPVGSCELAVPLNAKARLYTVDRRREAYATILHSASEYVCGAITAAQSIRQAGSTRDLVILVDDTISDHHRKGLESAGWKVRIIERIRNPKAERDAYNEWNYSKFRLWQLTDYDKVIFIDADLLILRNIDFLFAMPEITATGNNATLFNSGVMVIEPSNCTFQLLMEHINEITSYNGGDQGYLNEIFTWWHRIPKHMNFLKHFWEGDEEEVKAKKTRLFGANPPILYVLHYLGRKPWLCFRDYDCNWNVEILREFASDVAHARWWKVHNKMPKKLQSYCLLRSRLKAGLEWERRQAEKANFTDGHWKRNITDPRLKICFEKFCFWESMLWHWGENKTNSTQNSAVPATPTASLHILPCPLEEMPLRHRHRHRHRHLLSHPRRSFPLAALRCVAMSASAFPSSSTSTSAVADYHCRTKHSPTAGYARGPGRLDWANQPNPFLRFSPAPQLPLPNPPPVAPVPYPALFHSPPPAPQPLTVDSLSALLFHSLALSAWKSAGLSTWSLRVNPSSGNLHPTEAHLLFPHPREAGRLAVAHYAPRDHLLEVRATAPVGDDRSAILSAPGMAVLALSSIFWREAWKYGERALRYCNHDVGHALAAVAVAAATLGWDARVLDGLSDEDLGRLVGVEKGSPAAAPEGLPDKVVKGKAPWVERQHPDCAVLLFPAGSEPEVDYGRMSEALKGFDGLEWVGKANALSKDHVVWDVIYRTAEQVKKHGPVPGERFFVMPWQKSPALSNEPYKELTVQEVVRRRRSAVDMDGVHVMGRDTFYQMLMHCLPSGEVGSGERQGHQSALPFRVLPWEAEVHAALFVHRISGLPRGMYFLVRNEEHFDALQHAMRQDFEWVKPEGCPDGLPLYRLMRGDCQKFSMQVSCFQEIASHGCFSLGMIARFEPVLHEKGEWMYPRLFWETGILGQVLYLEAHAVGISATGIGCYFDDAVHEALGLKGLEFQSLYHFTVGAPVLDKRIMSLPAYPGPGIDA >Sspon.04G0010310-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:26621772:26626816:1 gene:Sspon.04G0010310-2B transcript:Sspon.04G0010310-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:QQT1 [Source:Projected from Arabidopsis thaliana (AT5G22370) UniProtKB/TrEMBL;Acc:A0A178UBM9] MVFGQVVIGPPGSGKTTYCNGMSQFLSLLGRKVAVVNLDPANDTLPYECTINIEDLIKLSDVMAEHSLGPNGGLVYCMDYLEKNIDWLEEKLKPLIEDHYLLFDFPGQVELFFLHSNARSVINKLIKKMDLRLTAVHLIDAHLCCDPGKYVSALLLSLSTMLHLELPHINVLSKIDLIENYGNLAFNLDFYTDVQDLSYLQYHLEQDPRSAKYRKLTKELCDVIDDFGLVNFSTLDIQDKESVGNLVKLIDKSNGYIFSSIDSSAVEFSKIAAAPLDWDYYRYPFLYENFIALAEKMRNDYDFLHTLDASILPRGDETVKGLVVRLFKPFDELFVDSQ >Sspon.01G0014520-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:41466919:41469401:1 gene:Sspon.01G0014520-1A transcript:Sspon.01G0014520-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARYDVEKGGNNGEGIKHYSPPPPEHHLYPPQRDGEREWVPWFVPLVAAVNIVLFAVAMYVNNCPAHAAASSRRGGGACVARGFLHRFSFQPLSENPLLGPSSATLQKLGALVWDKVVQEHQGWRLVTCIWLHAGVAHLLANMISLVLIGLRLEQQFGYVRIGIIYLVSGVGGSVLSSLFIRNTISVGASGALFGLLGAMLSELFTNWTIYSNKAAALVTLLVVIAINLAIGILPHVDNFAHIGGFLTGFLLGFVFLMRPHYGWMQRYVLPSDVKYTTKKYLAYQWALLAVASVLAVVGFAVGLGMLFRGVNANDHCGWCHYLSCVPTSRWSCGK >Sspon.03G0016090-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:81929169:81929363:-1 gene:Sspon.03G0016090-2C transcript:Sspon.03G0016090-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLLPFLYRAILHLANGGQTPIGNPFRNESPPGSPAPYYVRLAAGADVPAFLSSAARGYYDRG >Sspon.04G0014780-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:47759447:47761272:-1 gene:Sspon.04G0014780-4D transcript:Sspon.04G0014780-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADTKVLPAVTLRTRKFMTNRLLSRKQFVLEVLHPGRANVSKAELKEKLAKMYEVKDPNCIFVFKFRTHFGGGKSTGFGLIYDNLDSAKKFEPKYRLIRNGLATKVEKSRKQMKERKNRAKKIRGVKKTKAGDAKKK >Sspon.03G0033830-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:62295424:62298841:-1 gene:Sspon.03G0033830-1B transcript:Sspon.03G0033830-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGASTAARVSATKKRRRAAGGERDVCSDVAGGHDEGVAVDGDLRLRGGGGGGQWVARRSQSSERRGFTYRDISGISQYQTREGRRKKGFHNTRHVKGEGRRGNPIWRSQQLQRSEEPGGVVVADAGVENRCCVDKLELRVMVVWRTRARRRHHAWISGRRTRNHFVANECVLARTTMGLLGALISGKHSPVLAPSKDLTFIYLLPHIILICAMRISAYMHLMADLPKSDCKSKNG >Sspon.02G0005440-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2C:18342561:18344018:1 gene:Sspon.02G0005440-2C transcript:Sspon.02G0005440-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKTIVLYPGLFVSHFVPMMQLADVFLDEGYAVAVALIDLTLDQDVALAAAVDRAASARPSVAFHRLSRIQNPPAVADDAEALPWYFEIVRRYNEQLRELLCSLLQPPRSGVHAVIVDAPSVDALDVTKELGVPAYTFFAANASAVAVFLQLPWIRAEGQSSFKELGDAPVTFYGVPPIPASYLMRETLQDPETEIYKAMMNAMRRNAEEPDGILVNTFGSLEARAVAALRDPQSIPPGRGRRHLPPVYCVGPLVAAGAEAKEKHECLAWLDRQPERSVVFLCFGSTAAATHSEEQLREVAVGLRKSGHRFLWVVRAPLRGDTERLFDPRADADLDALLPDGFLEGTRGRGLVVKHWAPQVEVLNHRATGAFVTHCGWNSALEGITAGVPMLCWPMYAEQKMNKLFMVEEAMVGVEMVGWRQGLVKAEEVEAKVRLVMESEEGDRIRARVAAHRDAATVARRAGGSSRAAFGQFLSDAAKLGARE >Sspon.02G0041700-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:81768547:81775929:-1 gene:Sspon.02G0041700-2C transcript:Sspon.02G0041700-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MREGEDLDFAFDRISAFSDNGENQEYKFLTEESLEDIRESKLALRAEVSDLEKQLASLEWKLDTLTAQATTINQGKKSRSSANTRANGQLTGLDEIFAKRSLEISGFAKSKRRYIEAQVEHAKEEAILSVLRTQLASQQSYIHQDSHSLRRKNSELAEELKDLSHRVQKYLSETVTGLCADLAQLSGANILEGDHNLKLLRQECYISHQKKFINHLVNQLAAHVFLKITCQLEEQTKISSAYSFLKAVELELQGYFSAVDGRLGRYHLIDQAASEMFEEGSVDDSDSYLHAVRDILSSHSSSQAMSPEYVSSYGLIEQITELQDELQYLQHEAENVLPRERGRCTDELCRIIETLEQILAVPLSDEQPKLTPWPLAQSLEDLDLVSQQVSASVSEVTLARDEKAEMLKQPSRNAQQERQVFSDFFCHPKRLENQVEELLSRFRALPE >Sspon.06G0034620-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6D:50832994:50833656:-1 gene:Sspon.06G0034620-1D transcript:Sspon.06G0034620-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VRFIVGGDGPKRVRLEEMREKFSLQDRVEMLGAVPHAQ >Sspon.02G0011490-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:30662280:30664164:1 gene:Sspon.02G0011490-1A transcript:Sspon.02G0011490-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAIAGCQGVFHVATQREMLGPAVTGTINVLKAASAANARRVVVVSSMVAVEINPKDWPKDKIKDENCWSDKEFCRNDENWYSVAKISSEEAALEYGKQTGLDVVTVNPALVLGPLLQPTLNTSCQFIVYFLKGGPDQMRNKLWHIVDVRDTADALLLVYETPEASGRHICAPHFISARGLLDLLKTMYPDDYPFISE >Sspon.03G0024800-3P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:98816666:98820697:1 gene:Sspon.03G0024800-3P transcript:Sspon.03G0024800-3P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATAAGAGSSRRPPAAAAVGLAVVVMLMATAATPAEGFISDKTWRAIRRANRDGAPFVGLVVPNAYEMDPVLRSPSFKPSDDNPFLDVQGRRFRFGTIGDQNVVMVMAGLSMLNAGLTTQLLLSLFRVKGIVHWGIAGNANEDLQIGDVTIPESWAHLSLWNWQRHGDGPENELPLEGAGDYTREYGFLNFSDYTVGQANPELSANTLNSVWYQPEEIFPISGTPEERQHAFWVPVSKRYYRLAGKLEGMELPACVNATTCLPRAPRVTRVPRGCSANIYTDNASYRQFIRAHFGCTPVEMESAAVALVAHQHGVPFITIRSLSDLAGGGSSLSNEAATFLDIAAKNAVDVMLKFVPLLGRGGEQEQADGLAEDM >Sspon.07G0027520-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:5206039:5207322:1 gene:Sspon.07G0027520-1P transcript:Sspon.07G0027520-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSRMMSLALLVCMAALAVMMQSASSQGQGVPDIPSLTVGSNSLATTLKCTNTKTNKTTCRATCNKRCPHKCLIQCPSCKTFCLCDFYPGVSCGDPRFTGADGNNFYFHGKKDKDFCIVSDADLHINAHFIGKRNPAMSRDFTWIQALGIRFAHHHLYVGAQKTPKWDAAADHLALAFDDEDLDVASQLPRFVGARWSPPTAPALSVTRTARVNTVVVELRGVFRIVANVVPITAEDSRIHNYGVTDDDSLAHLDLGFKFYDLTDDVHGVLGQTYRPDYVNRLNVTSKMPVMGGAPDYLSSDLFSTDCAVARFGGRHQAGTAAAVNIAMVTDDME >Sspon.07G0032100-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7C:45362779:45363231:1 gene:Sspon.07G0032100-1C transcript:Sspon.07G0032100-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAKVVLLVAAVVATGSSSCAAWDLNIIRMPTARAIEAAAPLIHALHPLLGSAGDLGRHAGVPCDSWRLAVETYNKRDWKTVPANCEDYVGHYMLGRHYRRDSRFVVDEAIAYAEGLKLAGNGKEVWVFDIDETSLSNLPYYAKHGFGY >Sspon.07G0017780-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:64196526:64198973:1 gene:Sspon.07G0017780-1A transcript:Sspon.07G0017780-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LVGLPVQDSASSHGYTSFKSIEEPKLGLWQTLASKAKGILDDDGLAHKFEDLRKERPRTNTTTASSKDQVRQKVYLNIWTGHTDSHKWTCRAPNSRWAFENHWKAGDAASRIRPEALSASVNQLSGKIKNALEEGLTIVDNKTSSIIEETKKIQIRRKPAGSSSYVPNSAVDTHTLSTPNLSLRQPESAAQETGLKASRDVANAMAAKAKLLLRELKSVKADLAFAKQRCAQLEEENKLLRETKQKGSRTEEDDDLIRVQLETLLAEKSRLAQENSTYARENRFLREIVDFHQFTTQDVVLLDDGDMEDSEPEEDNDIICAQNVLPVIEDNSVDEEHSPVPSRPESPMVILGEPSSPKSSNSHNASKPDADASD >Sspon.04G0001720-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:6916989:6919718:-1 gene:Sspon.04G0001720-3D transcript:Sspon.04G0001720-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVFNYGDGAPHKRIKLPPPSPQARRSDLSCDVLDEPSPLGLRLRKSPSLLDLIQMKLSQEKSAGEQFDVHNNVSDTPMKKEVRSGAPTAGERLKASNFPANVLRIGSWEYISHYEGDLVAKCYFAKHKLVWEVLHDGLKSKIEIQWSDIIALKATCPENEQEGILDLVLARAPLFFKETDPQPRKHTLWQAASDFTDGQASVNRRHTLQCPSSLLSKNFEKLIQCDQRLHELSQQPDAILQTPSFEPKRSIFENLNESKDCLDLNGLEYEHEATLPKFTDPVSTYVFSSLSKNVGRPVNIGFGAGDFESTVSEEPKNCNQWNQFKVPGLKASISMEDLVNHLGNCRADQRSVGETPLTINDGKSKEVLEDLVQYLFSDTQSLPTSDDKYLMARVDSLYSLLEKDMVPDAMRKPESSDDIGINQVDSNGFEEELNLSLAEVKEPLTISRKDSFGSCC >Sspon.01G0049030-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:111059900:111062526:1 gene:Sspon.01G0049030-1B transcript:Sspon.01G0049030-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LWYAPHSGFSNQVGEFRNAAVAAALLNRTLVVPPVLDHHAVVLGSCPKFRVTDPASLRAAVWDHAMQLLLDRRYVSMGDIVDLSSIKSMVRTIDFRVFVSLWCGVDMRKTCFSQLCCAVSGGGSMPDDYNRCRSLLSGLGGSEKGPKNTELLDKIEFLPFAPEIMAAGKEFAKNNIKEPFLCAQLRLLDGQFKNHWKATFSALKEKLKAVELEIKRNKTNGLIHMFIMTDLPPANWTKTFLANVATDEQYKLYTLKESDELVLQTAEKLMAAEHGVRSGFLPKIIESTEKDCDPVQLPEILLSIEESVCSCASLGFVGTAGSTIAGSIETMRKNNVCKLIYGKEFTTADSARK >Sspon.08G0003420-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:9187154:9190422:1 gene:Sspon.08G0003420-1A transcript:Sspon.08G0003420-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSIVSLKHLRYLDLSYNDFKQAGIPLFMGALRSLRYINFSNANFQGEIPSRIGNLSELQCFDISNNDLNTPDLSWLHHLSLLRHLDMSRVDLSSARDWVRWLNMLPALRVVRLSDCRFSSRVEKTLTHSNLTHIEVLDLSRNSFNFSVQHNWFWGLTSLKELHLSNSEWSGPIPDALGNMSSLERLNFKEININGDIAKLMERLPKFSWSKLRVLNFYQANLTGEIPAPEIVHLDISNTSIIDHLPDWFWVIFHSAISLFLSNNQISGALPAKLELESASVLDISNNSLSGTLPVYVTAPQLERLYISDNYITGYIPAYFCELYSLKELDLSNNELTGSFPQCLENDSSASDPYSCNHFGSMLEVLDLKNNHLSGELLDNLRSAKRLVFLDVSFNKLSGRLEGWRNQGELGGDKQITDPILFPSRSQLHPEAQYIY >Sspon.06G0014570-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:80385978:80388112:1 gene:Sspon.06G0014570-1A transcript:Sspon.06G0014570-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LLLLLASRRPLPRSNDKQRRQLPLSPPGLPIIGHLLLVGDRPHVSFRDLAAKHDDRGGGGLMLLRLGTVPNLVVSSARAAQAILRTHDHFFASRPASLLFDDLVYGSSNVAFAPYGEHWRQVRKLVTTHLLTVKKVNSYHHARQEELREAAAMDAEVDLSEMMNAFANDIICRAVCGKFSREEGRNKLFRELNHTTTVLLAGFNLESYFPGLAKSLGVFVSNRKDMFEAGTATSSSVLEAAMAELMRSPHLMTKLQAEVRKKTPKGQEMVREEDLAGMPYLRAVVKETLRLHPPAPLLVPHQSMADCDVDGYTIPSGTRVIINAWAISRDPRSWENPEEFVPERFVDGGAAADLDFKGNDFQFTPFGAGRRMCPGINFGLATINIMLANLVYCFDWKLPAGVEKEDIDTTEVFGLTVHRKETLIL >Sspon.04G0013000-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:45075166:45084467:-1 gene:Sspon.04G0013000-1A transcript:Sspon.04G0013000-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYLSTTRFIPVYHRCLFFSASSSPPVVTPRCLVPTLSCHGRDLLDVMPNRGSRPPGTGESRAGPAGAPRGTNGSTVREDATAQEARTPLWREGSRGEPRTWRKGDRVVLQERAEGESQEKSWRRGLTRSLGSEGPRVGKRWTRDGNGMRAKGSGKVGNSRDEGTGTRNASKKMKRSKDGEHAGKLRVELDMCSKRGDVMGAIALYDSAVEEGIRLGQHHYNVLLYLCSSASLGFVQPAKSGNTGSGIASIGPSQKLGPLPRGNLGGSEGDDASEGHVQDLGKNKASLLPGGSKAQPVSIPVGDDLREYACARGFEIFEKMCSEKERVQMSEAALTAKARMALSMGDSDMAFEIVKQMKDLGLKPKLRSYGPALTAFCNSGNVEKAFEVEAHMLESGITPEEAELETLLRASVVCRRGDKVYYLLHKFRTTVRQVSPSTAELFEAWFRSPTASKVGKRKWDAGAIAKAIENNGGGWHGFGWLGRGKWTVTRSNINKNGVCLACGEKLAIIDLDPKETEEFARFVAKLAIKRERNSNFENFQKWLEKHGPFEAVVDAANVNAVADAIRQRSRKWPLIVLHNKHLIGERMKKPGHHKLVEKWKQANSIYATPTGSNDDWYWLYAAIRCKCLIITNDEMRDHTFQILERNFFPKWKERHQVHFSIEDSCVTFQMPPPYSVVIQESDKGHWHIPVSEEGLLEKDRTWLCVTRQNSQS >Sspon.04G0008530-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:25674221:25687041:1 gene:Sspon.04G0008530-3D transcript:Sspon.04G0008530-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPTAAPLDEGKAKKVLRQVEFYFSDSNLPRDKFLRETVEQSDDGLVSLPLICSFSRMKSHLGLDADVKAETMPEETVLAVAEVLRRSPVLRVSEDGKKIGRTSELSKPDEIIEQVDSRTIAVSPLPYNVKLEDIQSFFTNYAKVNSVRLPRNIVNKKHFCGTALVEFSEEEEAKSIMDNALVFAGANLEIRPKKEFDAEQESKKEAYAKARPRKDNQDEGYPKGLIVAFKLKKTMVDSVVQQDNIDEGNDKATKLEVSSSMGKLSGQGPESTLESDGTKQGKSSDDMTKEKELNTVEAAESEKCIDDAPVESVKHGDSGSLSRDGKNISGNVNVKNQISREDLKEVFKKFGTVRYVDFSIGDNSGYLRFEDSKAAEKARMSAVLADEGSLIIKDHIVTLEPVTGEAEKDYWNTIRGIQGKYKDSRSYKGRALKNQRGGKHFNGKRDRNPESEKNSNKAQKVEAAA >Sspon.01G0018630-3C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1C:98293022:98293855:1 gene:Sspon.01G0018630-3C transcript:Sspon.01G0018630-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MANSFMLCTVVVAACLAVAAADWSQGTATFYGGVDASGTMGGACGYDNLYNAGYGVNNAALSPTLFNDGASCGQCYLITCDPTRLGGQWCKPRNSITVSATNLCPSNYALANGGWCGPGRPHFDMSQPAWEHIGVVQGGIIPVLYQQVKCSRTGGVRFSIAGSQYFLLVNIQNLGGSGSVGAAWVKGDKTGWIQMSRNWGANWQALAGFYGQGLSFAVTSTGGQYIQFWNVVPG >Sspon.01G0003510-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1A:9664843:9665125:-1 gene:Sspon.01G0003510-1A transcript:Sspon.01G0003510-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLERFLGRGRKCNADARLPAAETAAGSYPPPRWYLCRSSITSSTLLCLCPCPRPPPRPTPLPPPSLYRTFAKLNKQTSQIMNAEGKKKDNSSM >Sspon.06G0009350-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:49934797:49936881:1 gene:Sspon.06G0009350-1A transcript:Sspon.06G0009350-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Equilibrative nucleotide transporter 1 [Source:Projected from Arabidopsis thaliana (AT1G70330) UniProtKB/Swiss-Prot;Acc:Q8VXY7] MAGGDLETAAAPLLPPPASSLADEPSPAGPAPDDRLGFAYLIFFTLGAGFLLPWNAFITAVDYFTFLYPGAPVDRVFSISYMVSAFLPLLVIVLFFPKSSAPIRINTGLTLFTLALLLVPAMDAVYVKGTPGLYGAFDVTVAATALCGVADALVQGGVIGFAGELPERYMQAVVAGTAASGATPSDLSSRVLVSAMRVFTKALYPQDAQGLRQSAIIYFIVGIVLMIICIVCYNVADRLPVVVYYKNIKRRAQKAEVGGGMTGPAWRSTLWSIVGTVKWYGIGVALIYAVTLSIFPGYITEDVHSEALKDWYPIILISAYNVFDLVGKALPAVYPLQNGNVAVAGSFARLLFYPLFYGCLHGPSFFRTEIPVTVLTCLLGLTNGYLTSILMILAPKAVPIHHSETAGIVIVLFLVVGLVIGSFVAWFWVI >Sspon.02G0010700-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:29090994:29092866:1 gene:Sspon.02G0010700-1A transcript:Sspon.02G0010700-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTGAAAWLPSTASRRRILASPLPPFAAPIFIHMPRRAPLTSTIPQKPRLVVASAQFDFSRAVQTAWRVGNDAVEAGSNLVPGSVPRPIARIGVAFAAVAVALFLVKSVVSTALFVLAMMGLIYLGFLAMNPKEASGSRLDESMGDPSEDPVEEARRIMEKYK >Sspon.08G0021020-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8C:30104801:30106692:-1 gene:Sspon.08G0021020-2C transcript:Sspon.08G0021020-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GGGGDGKGERKEERGHATDKHKVTLPTVSNYTIHDTEDTENAKRDDTSYDQQGSKPLCDFSNFRANVCEMRGDVRVHPNATSIMFMEPGHSQRDELWKIKPYPRKGDKFCLSHITELTVKSSKVAPECTKYHDVPAVIFSLTGYTGNLFHDFTDVMVPLFTTASEFNGEVQFLITDMALWWTIKYQTVLQKLSKYPVIDFSKDDQVHCFKHVIVGLHAYMEFTIDSSKAPHNYSMVDFNRFMRGAYSLGRDTVTVLGEYPKVKPRLLIIKRHRTRMFLNLDEIIAMAEELGFEVVIDEANVSSDISKFARLVNTVDVMMGVHGAGLTNCVFLPQNATLIQIVPWGGLEWVSRTDFGNPAELMGLHYKQYSIGVDESSLTEQYPRDHEIFKNPIAFHKNGFDFIRQTFMDKQN >Sspon.07G0006990-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:5250194:5250910:-1 gene:Sspon.07G0006990-1P transcript:Sspon.07G0006990-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VGHGFVVQEGEGVQQQLHAAAAAGGGHRLQLPPPQAVLRLLLVAVPVPRRGQAQVPQRLLHLHHHGVHGHHRGRAQRHHGHFHRLLLLGPRLVRRHQLAVRGAGGRGGGAAAAGPGAAAGGEAAAEAAAPPPPQQACGGGTPHAPRSGGGGGGGVRAAGGARERGGGGGLGGAVRGLPRVHGADGGGEGDLRVGRPQRPPPPVPLPQLAAPPPAHPPRLRRPLDPQRPLLPAVSLPVLG >Sspon.03G0008230-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:37138192:37139679:-1 gene:Sspon.03G0008230-3C transcript:Sspon.03G0008230-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVAVSGHWRRLRTLGRGASGAVVTLASDASSGELFAVKSAGASDAATLRRERAVLSGLSSPHVVRCVGGGEGAEEGSYQLFLEYAPGGSLADEVARNGGSLEERAIRAYAADVLRALAYLHDDRSVVHGDVKARNVLIGGDGRAKLADFGCARAFGSASARPIGGTPAFMAPEVARGEDQGPAADVWALGCTVIEMATGSAPWSDMGHDVLAAVHRIGYTDAVPEVPFWLSAEAEDFLACCFARDAADRWTAAQLLEHPFVAFADHDDKARRRASPKSTLDAAFWESESESEDEAADEVSSGNASERIKSLASSACALPDWDSEEDGWIDVLGVGEQQQVEEACAAVQVARCAPGKVSNALAVPAGEMAVGGGSGGLGLPRSDDELEADDVPFGGDDVPAAADASVERQEKHDLSSHCHVALSCQLVPCNLVINAIKLWVPTIFCCAALFDSPRHDTLPMRSCPILIGTRDRPRSAPHATAARAICHSNHTV >Sspon.04G0007320-3P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:11383833:11388462:-1 gene:Sspon.04G0007320-3P transcript:Sspon.04G0007320-3P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAIGGDAPVQWDKVDGAEVANGGGGGAAGRLEKILVSVRLRPLSDKEIASGDPAEWECINDTTIISRSTFPDRPTAPTAYSFASVFAYGQTSSGKTYTMTGITEHTAADIYDYIANNFVDLAGSERASQALSAGARLKEGCHINRSLLTLGTVIRKLSKVRNGHIPYRDSKLTRILQPSLGGNARTAIICTMSPARSHMEQSRNTLLFASCAKEVVTNAQVNVVMSDKALVKQLQKELARLESELRCPTSYSGLEALVKEKDNQIRKMEKEIKELKLQRDLAQSRLQDLLKVVGDNHSSKHPLASSGRNFTFDVPQPCEDERSTTSEVVSSGQNSRLQGRQTIQRDYRSQQSENDVQFATPLSYSVSSPPFSGMPPTNGRDDNSQISNEDSEDLCKEVRCIETNETEENECLESSALGSNSLQDSNVASSMQGDNNPNRSVNSRQHDASPITLEQHLENVKKPFANLGMDLGSSTHNSSSSRVIGRSRSCRSLMGSTLLEDLEKVDCTPPSRSFMDYPGRPETFQRRVPALNYDAESETLSRAGSMLSEIITARDGLKENSSVAGDTEFVAGIGEFVAELKEMAQVQYQKQRGDQGDNGELAEGTIRSVGLDPIMDALQSPSRWPLEFEKKQQEIIDLWHGCNVSLVHRTYFFLLFKGDPADAIYMEVELRRLSFLKNTYSNGSMELNVVAGSPSTSGFKKLQREREMLCRQMQKRLTIQERESLYTKWGVSLSSKRRRLQVARRLWTETKNLEHVRESASLVARLIGLLEPGKALREMFGLSFAPQQFTRRSHNSWRYGRSSLD >Sspon.02G0022680-1P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1D:104581799:104583271:-1 gene:Sspon.02G0022680-1P transcript:Sspon.02G0022680-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGWRLAQHMFRPPHSKDPLGVAAAAGGGGKLNVVLLPWLAFGHMIPFTELAKRLATRGHAVTFFSTPRNVARLPPVPADLSPRVRLVALPAPVVEGLPEGAESTADVPPEKQELLKMALDGLAAPFAAFLADAVADGGRRPDWIIMDFCHHWLPVIAEAHGIIVQAAWIAFMGPRSANAAHPRLTPEGFTVPPKWLPSFPPAIAYRRHEADWILAAFQPNASGVSDLDRLWQAMDGTRLTIYRSCEEVDVPGVFPVLTALFQQPAVPAGVLLQPVLDDDHDSSISVSGARPEVLQWLDKQTPKSVIYIALGSEAPLTAKTLHELALGLELAGVRFLWAFRKPAGMSAPGTDDDVGELLPAGFEDRTRGRGLVWPGWVPQVRVLAHAAVGAFLTHCGWGSTIEGLVFGHPLVMLPFVVDQGLIARTMAERGVGVEVARDESDGSFDRDGVAEAVRRVVLEEDGKVFASNAMELMEALGDQRRQERYMDDLV >Sspon.03G0005880-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:21007474:21009207:1 gene:Sspon.03G0005880-3D transcript:Sspon.03G0005880-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTRAYPARLPGSGPLPGLVGTGRGGLFPCGTLGRRTPAGRGGATSVVCAADGASVAAAASAAADSPLPPVQVTWQIVVGAVAGVTPFVVAGVEFGKRIIAQKKCEVCGGSGLVMKKDYYVRCQECGGFLPWQSWRRFFSG >Sspon.03G0007440-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:25377585:25380166:1 gene:Sspon.03G0007440-3D transcript:Sspon.03G0007440-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLDLGDRSLVKHHYPHLQAHDINSHFADSPSPRVFRAASQPLNPPSSSTSTSPRSAARPASTMADSKATSAVTLRTRKFMTNRLLARKQFVLEVIHPGRANVSKVRSLGPGPVCPSHVAGASGLDLRVAELKERLAKVYEVKDPNTIFVFKFRTHFGGGKSTGFGLIYDNLEAAKKFEPKYRLIRKSRKQMKERKNRAKKIRGVKKTKAGDAKKK >Sspon.06G0023850-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:48611388:48616392:-1 gene:Sspon.06G0023850-1B transcript:Sspon.06G0023850-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLIQEKDRPTESSEPNIADGKKSTPAASMAPETEAEDRSFPFFGLLCYADALDWLLMVSGTMGSFIHGMGPSMSYYILGKTLDVVGNNMGNNEATVHELSKRQMTRMRIAYLRSVLSQDIGAFDTDLTTANIIAGATNHMSVIQDAIGEKMGHFMSNFSTFLVAIIVAFACCWEVGLLSLLVVPMLLMVGASYAKMMTRMSLTRTSFMSEATTIVEQNLAHIKTVFSFVGEKSAIKSFNNCMDNQYTLSKKESMAKGLGLGMLQIATFCSYSLVIWVGAAAVIDRKAKPGETIAAVINVLSGAIYLSNAAPDLQAFSQAKAAGKEVFKIIKRNPAISYESKGKILEKVTGDIEIREVHFTYPSREDKPVLQGFSLAILAGNILALVGSSGCGKSTVISLVQRFYDPISGAILIDGQDIRTLDLKFLRTNIGSVSQEPSLFSGTIMDNLRIGKIDATDEEIIEAAKTANVGERGVQLSGGQKQRIAIARTILKDPPILLLDEATSALDSESEKIVQEALDIAMQGRTVILIAHRMSTIINADKIILVENGRVAQSGKHKELLEKSEFYSSICSMQNLEKDSGKSKTRFIDQVKEEKEKEESQHGTYNKPSFTSSEQEKTLEQTEQPKQAIRKRTSTFYRIFLGTFKLLPEKVLLGSTAAAISGISRPIFAFYIMTVGIAYIKPDAKSIVSKYSHYIYGLVGERAMNNLREALFSVLDPALDMLDRETRIVPDVPEVHSEERLAGDIVFQDVNFRYPSRPEVIILDGFNLDIEPGQQVALVGPSGSGKSTVLALLLRFYDPCEGQVLVDGKDI >Sspon.02G0047490-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:16907636:16910398:-1 gene:Sspon.02G0047490-1C transcript:Sspon.02G0047490-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGQLPARRAAGLILQLIRQGKIAGRAVLLAGQPGTGKTALAMGIAKSLGAETPFASVAASELFSLDLSKTEALTQAFRRAIGVRIKEEAEIIEGEVVEISIDRPLSASGAGGSSAAPSGATAAGKSGRLTLKTTDMETVYELGGKMIEALGKEKVQSGDVIALDKASGKVTKLGRSIGRSRDYDAVGPHTKFVKCPDGELQKRKEVVHCVTLHEIDVINSRTQGFLALFTGDTGEIRAEVREQIDTKVAEWREEGKAEIVPGVLFIDEVHMLDIECFSFLNRALENDMAPILVIATNRGITSIRGTNYRSPHGIPPDFLDRLLIITTQPYTEDEIRKILDIRCDEEDVEMSADAKVLLTKIGVETSLRYAINLITSAALACQRRKGKVVEMEDISRVYQLFLDVKRSTQYLMEYQSQYMFNEVPGEADGEDAMQS >Sspon.04G0026220-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:41418883:41420528:1 gene:Sspon.04G0026220-3D transcript:Sspon.04G0026220-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDIARMLVRGLDFFMMDGNMLSLTKTAFTNLPHPPAATTAPLSGAVRALDGVDRISFLPGRILRDIVSRLPVKDAARTTVLSMRWRRIWHTTPLVLVDAHLLPSASIGTGRSLLGADPRDIADAVSTVLAAHPGPFRCVYLTGTPMETHPDELAHWLQQLAAKGVQELIFLSCTTKFDSTVHLPATLFRCTSLTKLYIGFWWFPPTTALPPTVAFPYLRELGLFSLVMTEQDLAFVLNRCPVLEKLLMIGCRWPVCVRIRSHSLRLVEVCQCIAPEITVVNAPRLERLLLWEAWGGGGLTNMSSKIKIGHAPKLRFLGFLVPGMHQLEIGNTAIKAETKASPNTTVPSVQMLAVQVKLGTRIEARMLPSFLRCFPNVETLYVQSENDDFKFWGPQAAGTGKLNLKFWKEAGAIECVQRHIKKVVLREFRGTRSELDFLKFIAEHAQVLEKMVIVLTHGHSLLIRSLPT >Sspon.01G0001520-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:4403980:4412874:-1 gene:Sspon.01G0001520-3D transcript:Sspon.01G0001520-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSPLGGWPSYNPHNFSQLVPADPSAQPSNVTPATYVATHRNDPPPNQVITTEARNILLRHFYQKSEEKLRPKRAAPDNLARENNNKQPRGPVGDVGGQSSARS >Sspon.02G0035680-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:21062379:21066185:1 gene:Sspon.02G0035680-1B transcript:Sspon.02G0035680-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMTRRFLAPLMIVAVVLLSCMAAADGDGDVLLQVKSAFVDDPQGVLAGWNASAGVSGFCSWAGVACDEAGLRVIGLNLSGAGLAGTVPRALARLDALEAIDLSSNALTGPVPAALGGLANLQVLLLYSNQLTGVLPASLGALSALQVLRLGDNPGLSGAIPDALGKLGNLTVLGLASCNLTGPIPASLGRLGALTALNLQQNALSGPIPRGLAGLASLQVLSLAGNQLTGAIPPELGRLAGLQKLNLGNNSLVGAIPPELGALVELQYLNLMNNRLTGRVPRTLSALSRVRTIDLSGNMLSGALPAELGRLPELTFLVLSNNQLTGSVPGDLCGGDEAESSSLEHLMLSTNNFTGEIPEGLSRCRALTQLDLANNSLSGGIPAALGELSNLTDLLLNNNSLSGELPPELFNLTELQTLALYHNELSGRLPDAIGRLVNLEVLYLYENQFVGEIPESIGDCASLQLIDFFGNRFNGSIPASMGNLSQLIFLDFRQNELSGVIPPELGECQQLEILDLADNALSGSIPETFGKLRSLEQFMLYNNSLSGAIPDGMFECRNITRVNIAHNRLSGSLLPLCGTARLLSFDATNNSFDGGIPAQLGRSSSLQRVRLGSNMLSGPIPPSLGGIAALTLLDVSSNALTGGIPATLAQCTQLSLIVLSHNRLSGAVPDWLGSLPQLGELALSNNEFAGAIPVQLSNCSKLLKLSLDNNQINGTVPPELGSLVSLNVLNLAHNQLSGLIPTAVAKLSSLYELNLSQNYLSGPIPPDIGKLQELQSLLDLSSNNLSGHIPASLGSLSKLEDLNLSHNALVGVVPSQLAGMSSLVQLDLSSNQLEGKLGTEFGRWPQAAFADNAGLCGSPLRGCGSRNSHSALHAATIALVSAAVTLLIVLLIIMLAMMAVRRRARGGEVNCTAFSSSSSGSANRQLVVKGSARREFRWEAIMEATANLSDQFAIGSGGSGTVYRAELSTGETVAVKRIAHMDSDMLLHDKSFTREVKILGRVRHRHLVKLLGFVTSRECGGGGGMLVYEYMENGSLYDWLHGGSDGRKKQTLSWDARLKVAAGLAQGVEYLHHDCVPRIVHRDIKSSNVLLDGDMEAHLGDFGLAKAVAENRQAAFGKDFTESASCFAGSYGYIAPGNFNGNLKCYRNSRVQLLTVDCAYSLKATERSDVYSMGIVLMELVSGLLPTDKTFGGDMDMVRWQVFDPALKPLAPREESSMTEVLEGGAGERPTARQVSDLLLH >Sspon.04G0002720-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:9466378:9475490:-1 gene:Sspon.04G0002720-3C transcript:Sspon.04G0002720-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHFPSARAHAMAAPAVARPRLVPVAHLASTSCLLALRGRGRGHGRAVAAVRAREQGAAPPDPAAFLRRPDVATVTSTEEERETDAESSFDGPGEDEAPEEEGVQGRRKATEREWVDWEDLILEDTVPLVGFVRMILHSGKYESGDRLSPEHEKAILERLLPYHPEYDKKIGCGIDYITVGLHPEFENSRCLFIVRKDGEQVDFSFWKCVKGLIRQKYPMYADSFILRHFRRRQDY >Sspon.05G0035010-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:68032316:68053079:-1 gene:Sspon.05G0035010-1C transcript:Sspon.05G0035010-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDSKAPKGAGQASPASGGDRIGALPDETLHHVLSFLPAQQAVQTCVLARRWRHLWKSATGLRIVGADGEAPVPFWEVREFVDSLLLLRGSSPLETFELSVVAAAGVGIDVRHVRLWIIYALQCKLQVLRLGFHRLPPASTRLTKLRLEDPSLASRHLTKLEIRGLAFNNNFLDFSRCPELQDLRIEDCGFKHAERISSQSLRHLSIKRATFNQSSRARILAPNLASLVLEVTAGRTPALEKMPLLVKSSVIICVACEDCCNRSNYGDCGDETCGGCIPDDSASVILHGMSQAKSLLLLAYPDKFIFRRDLKLCPTFNQLKSLTLDENWCVPDEHPLACILEHSPVLEGLFLGLYFEMEEVEMDMKGRFNPKELPPTISSHLKEVEIVCGRVDGTVLKPECLPVKRARERGKQLRRAAATASAHCWTKSSAASSPSSPRSRRCGPACSLGAGDTSGSPPLACASSALMELRVDGAAIDVRRVRLWVSYAVQRKVQELLLSFHGNAHASLSLRPEDPPLASVHLTKLELRGVAFNGDFLDFSRCPALQDLEIEGCSFVLAGRISSQSMKRLRITRAVFNWRSRTRIHAPNLTKLHQQVSYGKTPVLESMPFLTVAAVLTMGTVVTRAVTIAYLTTLPPCFCMLYHKLIKAMGARDDTMDPAQVMTQTSVLARRWRHLWKSATGLRIGCRLAEDPVSVEEVRSLVNHLLVLRGGSPLDTCEFTLGDISGEDDMPHVNLWFRQAVMCRARMLRIYNLPLVSEHLTRLEIDGVRVHNSLLNISRCPALEYLEIVSSNLSSVTKITSDSLKYLCISDSVLSSDSRIHICAPNLASLCLDYLRGRTPMLYSMPSLVDGSVRIDENCTDRCNGANYETCDCESCDNSDNMADGSSNSVLLKGVSEAKNLALISKSKTLKTLLLNDYWCVPDDFRALACILAHSPVLEKLTLQLCLERPQHKVEKKLCVSSTKRSAAISEYLKKVELKCEVVDDGVLKISALSKDKDIKDWLTSVDGSTVSLLQNTSPRFHCDN >Sspon.01G0015770-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:46767595:46771917:1 gene:Sspon.01G0015770-1A transcript:Sspon.01G0015770-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSESESQSNRTKNQLGSGHQIGGKNKWVYNKYKLRLPIYHTKATMLSAQLMLLQFLLLLEEVRFQCPPYQVKRSLSQFAAAQWDKDQWCPFMEPLWFIKSCSYALVQLVC >Sspon.06G0006440-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:23148713:23151684:-1 gene:Sspon.06G0006440-1T transcript:Sspon.06G0006440-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPRHLFLLLPLRLLFLLPLLAAAKPVLEDGYTVTTFADLNPLPASGPHPYAILPRPRAGDLLLLDSAGSALYTLSLSSSPGEPRRLAGSKRGSGFDDGDAAFDRPRSVAVDAADNVYVADQRHGAVRKVAPSGYTTTIAGGLSSGPGHRDGLAQNATFSADFELVYVPKICALLVADRGNRMVRQINLKPEDCAHEKQSGLGTTSVSVIAILCALLGSIIGFLVRHFYPVNEVSINHFFSRIQKQFLRTQRKATLISFCDIKSAVASSTAYTLLLRLIRLGCGYITVVFPSVRLQQEVPLRPSRRRPELRKTSTAPSIGLNNKAPLPPTGQLGDLISFAGDAGDKAGSGKANSQEGKVSSYEGDLMGLLYIPPGSVKKIDHMIETNLSGFSSHVNRRRLTVSGCSVSRRVHGDK >Sspon.02G0035080-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:16328139:16331309:1 gene:Sspon.02G0035080-1B transcript:Sspon.02G0035080-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTLKQIVNHLSNVPPTVAETKLNFLKSYKRPIPSIYSTVLQELLVQQHLMRYKRTYQYDPVFGLGFVTVYDQLMEGYPSNEDRDSIFRAYITALNEDPDQYRADALKMEEWARSQNGSSLVDFSSRDGEIEAILKDISERAKGKGNFSYSRFFAVGLFRLLELANATEPTVLDKLCTALNVSKRSVDRDLDVYRNILSKLVQAKELLKEYGKEEERRKIRNPKPNEAVTKFDGNLYSMRH >Sspon.03G0019400-1P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3B:82576520:82578479:1 gene:Sspon.03G0019400-1P transcript:Sspon.03G0019400-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMSWLQMAGMPHRVAAALVVLVAVAGVAAVSAQVPPPPVTTALLQQVAGSLQMYVDPLPQMAKIRGYGFQQGRVVPVNLTIGMFQKKWKFHRDLPETPVFVYGQCADSATFPGPTIVARHDVPLSVTWENHLPDSHILPWDPTVPTAIPKNGGVPTVVHLHGSAHPPQDDGSAFAWFTAGFRETGPAWTQATYRYPNVQPPGNLWYHDHALGLTRANLLAGLLGAYVIEKPEVDVPMDLPCDDDDLHLVVADRSFNVDGSLYMNSTGVAPSVHPQWQPEYFGEAVTVNGKAWPFLAVHRRRYRFRILNASNARYFNVSLSNGMPFHVVGSDASYLAAPVTVSSLLISPAEIFDVVVDFSVSPTAEVEMLNSAPYPFPNGTAPGPLTGKVMKFVVTPNGPREPPDNSTVPDREVPYANVASLGPTSETRYIVMYEYQTPSGQSTHLYINGLRLEDPVTETPRSGTTELWHVINLTGDNHPLHIHLGMFQAVKMQQLVDLQAFTDCMTQLNDAVKCGVDQHAVGPVVPVPDHEKTWKNVVKVPPGFVTTVVVAFKLVDTNQPFPFDATAEPGYVYHCHILDHEDNAMIRPLKLLP >Sspon.06G0026010-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:75042714:75044582:1 gene:Sspon.06G0026010-1B transcript:Sspon.06G0026010-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LFVFLCRPLVALAYPLYASVKAIETKSPVDDQQWLTYWVLYSLITLFELTFASIIQWLPFWPSMKLIFICWLVLPYFNGAAYVYQNYVRPAFIKNQMVNIWYVPQKKGLFGKSDDFLTALDKFVEENGTDALKKLANKAGKSFKQSGKSSKDSKESKSSKESKETKSSKDSKELKPSKDAKQSKTSKDSKEPKSPKDSKEQKKAALKDPKKALKDSKELKKGLKDSKEQESLEDPKEHTAKKAGKRVTFAEVEPEKELKASNSDWHPSSDFHGAYPEQNSWASSFMIFEDEN >Sspon.05G0026950-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5B:48199315:48203195:1 gene:Sspon.05G0026950-1B transcript:Sspon.05G0026950-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQLLIMSSSTWKTGATTELYQGIMFRMAEAPVPEKQNKKPSRAAPALALGNAARSRAPALALALGNAAQQAAQPARSPALADKPTRLSSPTSRPSFTPARSLSPPRGGRLVTGASVNKLTLPSPSTPSHDSILLDNTTVRALVTVPRRWWRLDGHGTRRLDEAGVAWPREATVAVLRPELDTGVGGYGDSDQIGLAQGVHEVRKVKVNAMTRFAWLEVARWWQRRAAELRWRRRWSRLQSWNVHAVALALPTAREGSGRPRRLAGAHTARWPAAIGSTLGKVLVEATDDVEDGVRSSEITKGVCHVLELTTVVGDGEVALDKVLKGGVEVEGVLFAIGKELVFQGKPDGACGMISSIVKDVALEAVVPEDEKDLTAPVGVVVGVDVEDSWDEAAYVLHVDSLSMC >Sspon.02G0004160-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:12020517:12025059:1 gene:Sspon.02G0004160-2B transcript:Sspon.02G0004160-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-acyltransferase [Source:Projected from Arabidopsis thaliana (AT1G69420) UniProtKB/TrEMBL;Acc:A0A178WF77] MGGSSRTTLSRFIPAEILRLCVIRCLSRASQVVAVSVFLALAFAFYVFYAPFVGRKVFQYVVMGLYTPLVLCVFFLYIWCAAANPADPGVFKSKKYLSLYGSGKHRHLKESRKGSSDAQLQLEGTGEKQEHEVAASSEKSMTQHKDKNSSCLSSTFSAFLLLFYPLSFVFSCCQSHEWSSEQQASEEGMFFCSLCEVQGISTYDYIIALREQEQDDLSGQQSPQMSRVSSYTGLSSTSSFGPLRRGSWCTPPRLFLEDQFDVIPSEAAASHNSSMKRKEDEGKRKKGSGAVKISPWALARLNAEEVSRVAAEARKKSKVLVPIRKDDYSRGHETDSSYGGMSSGRIDLGLDSKRRTNRRGRQPSDLSLKPVAKISTDAIDSTSSDMAPEAMSNLAPLQLEARSAFHPSRAASSVNVGGSSPDSSLDSPDLHLYRVSAVSSSGAEDLQLTALTAPGSTPQQGIQLSRSTSDGYEASGGEDSDRIPSRIVHRSSNWASIILNSDQSASSSGILVPKNRLS >Sspon.02G0004100-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:12580420:12582344:-1 gene:Sspon.02G0004100-1A transcript:Sspon.02G0004100-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding TLSILPSNFEEVATGVLKVLNNMARLDITLLQHMLARSDLKMEFFHLISFILSHCMNKWRVPNDQVGLLLLESLLLLGYFSLFHAGNQAVLRWGKSPTILHKVCDLPFVFFSDPELMPILAAALIAVCYGCDQNRSVVQQEISTDMLRCLLKSCQTSGSNSPDSIAVDGSGNNSSESILDLRNSQGDIPTRSSRKIGRPVVGKGVAGGIRCNRNKVQKDGRGTRAVDDGPLRQRAQEASSNFMLHRKIPASFLDRAEEFFCSET >Sspon.01G0035430-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:10681356:10682804:1 gene:Sspon.01G0035430-1B transcript:Sspon.01G0035430-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Origin of replication complex subunit 2 [Source:Projected from Arabidopsis thaliana (AT2G37560) UniProtKB/Swiss-Prot;Acc:Q38899] ALLEDFASTTLTDFTVVVINGYLPSVNLKQVIATIAEMFWDQTKAKRKRQPGTRSQLSQQFPSQSTEDIISFLMRQTSDDVDDRVCLLIHNVDGPALRDAESQQCLAQISCCPQVHVVASIDHVNAPLLWDKKMVLKQFKWSWYHVPTFAPYKVEGVFYPLILASGGHAQTTKTALVVLQSLTPNAQSVFRVLAEYQLANEKEEGMPVSSLYTKCRERF >Sspon.01G0046360-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:87503095:87506412:-1 gene:Sspon.01G0046360-3D transcript:Sspon.01G0046360-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LLQSQSLPGIGYTSIVLARARVKQCLAAASHSHASLLRANGGRAGLPSSSLKAGNAIAISFSRRRRRDLRVAAEAATAGTAKVTPASSGGVSISDVLWPSAGEFLAMAVLGKMDQMVAFNFKGVSLTIAPLGAVCCVLFSAPDSPAAKVHNMFVAQIGCAAFGVLALSLFGPGWFARGAALSASIAFMTITGASHPPGKCLPLLFIDGPKFHNLQLWYVLFPGAAGCIVLCLIVSVVLYLKKNFKFCPVM >Sspon.01G0031800-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:108580557:108585599:-1 gene:Sspon.01G0031800-1A transcript:Sspon.01G0031800-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAVVAAAAAAAATASGGALLLCLLLNCCTQPASDAEREEDEASPLLSGSGPGPGREAGSGREEEEPWPDRAPVTCCEATAVAARTVRRTWELTVGRWGLHGLAFGIKRHMKLQGNLQHEHSGNDCLQLKGHQTYTEVSSLLEYLKLCMFFSKKSFSAFLKFGGYKQEDILIHKARARLMQPSFALVCDKRTKCLLLFIRGAISTKERLTAATAAEVPFHHIILSEGEISNVVLGYAHCGMLAGARWIAKLVIPHLHNKMQEFSGYHIKVIGHSMGAGIGAILTYILREHYEFLSCSCLAFAPPACMTWELAESGKDFITSLVNRNDVVPAFSKVSSESLRSEVMVSSKLDDVHDHFHRGLFASISQRVAFIKSHMLSISNSIGKIADHGSSISEPLLKDAADTIAPTANGHSVDCSRQQVVASEETVVLLVNNDHFTSVKPADSGLTSQEGSDSNAASDTQQSPPPTDEGEEETPNQNGAGKDKEKEAVSEGCSSRQLFPPGRIIHMVALPPPPDPNSGDGTSSGEIIGIYETPRDLYGKIRLAPNMIKEHYMPSYISTMESLLEQLQKDDDDTSVCTASNDQ >Sspon.07G0014580-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7B:57899980:57901704:1 gene:Sspon.07G0014580-2B transcript:Sspon.07G0014580-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLQEIVSVQASILKSNNGVSIVNHQENPSTPSLPPFNKGNLVAMQHQVLEGVTQQKNSYQSQEKDQIGSLKGPLKRQVVKALKNMKSNEGISDKPLGSQEPCHCCRHYGFPCLQNNSSKVKDKTSQNHHPDIDKKRKAISQEPQLESSQHLGLMTPSHVDKKRKAIPQEPQLESSQHLGLTTPSVLVQCSSKKGPNPKESAVPQVRGNPHKIFLDGWSITYKEFQPWKGKHAKKQTSEISEVKMNSQNQQASDSLLPHGTSLKGAQSHQGSTPVNACFHCQEGHFVAHCPKKDRASDGLVPHGTSLKGAQSHQGRLGSTPGKAAGKVCFCCQEGGHFVADCPKKYQASDSLVPHGTSLKGAQSHQGSTPGKAAGKACFHCLEEGHLVANCPKKYPLLFGNRDTQKQNLSPSEGKICNQTPVQVPQSSCAKGTSFICGDMDHSINQSPKACKSVTRKLQSPQPSNTPGQHTSSQGPCQPMSDNTSMKSNTPKKPVKRVCYHCREKGHSANLCLQKNQHLLDARSQNQIPIELEPPVVSDSGAQGQGSQLQQNHTGNQANLEVAKEDKNTQSAI >Sspon.03G0036400-3D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3D:37510899:37511718:1 gene:Sspon.03G0036400-3D transcript:Sspon.03G0036400-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MESEGNAAAAAGAGHWEAPNGVIVLPVGSAAPRFLQQEPQYQTRKREMEREQQDPGAAGADPGVLGLDLTQPPEKVYYKTRLCEKFEAGKCAYEDGCTFAHGFDELRPPLPVPTSLIRRRSPLRPRSSSPGNAADGSQGGGCYRVCFEFRDTGACHRGDRCAFAHASVAEMMPFPGGPRSVEHALRNASPYAKAYSSPGSAAAAHRSSSSSSSYAPSSTRSFPSVPADVAGEGRRRK >Sspon.07G0028840-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:69995987:69996817:-1 gene:Sspon.07G0028840-1B transcript:Sspon.07G0028840-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARVQLALTIAVVAAVVLLAAAATTSEAAVTCGQVSSAISPCLSYARGQGSGPSAACCSGVRSLNSAASTSADKRTACNCLKSAAGRISGLNAGNAASIPSKCGVSIPYTISASIDCSRVN >Sspon.06G0014360-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:76031158:76031610:1 gene:Sspon.06G0014360-1A transcript:Sspon.06G0014360-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHVKHPGGHHKHWHDSERRRHHLHGGGEEPVPMRRQERAPRRQGIRDDSGRGSGCVPRRRRWRLPGERRRANPKYGHRQLPVRLGPFLPDDAKELGGRRPVLASYEDDQACMVPSLSYTKNRTCVFPAGCFVLGWQLIDCHPSYSVLVD >Sspon.02G0028760-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:115859745:115859962:-1 gene:Sspon.02G0028760-3C transcript:Sspon.02G0028760-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LFSPRSKIAQHLPGRTDNEIKNYWRTRVQKHAKQLNCDANSKRFKDAMRYLWMPHLVDIDIAAAAADD >Sspon.01G0006980-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1A:18921601:18922080:-1 gene:Sspon.01G0006980-1A transcript:Sspon.01G0006980-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLSTPRSPTRRNVQRQSDRAEIPVLVTLVCLQLPPQQNELCFGGAWRASPGFVSRCVRVLWYSMMEATQLNKRIGERTRQRRFVIICIRVRCTRVYGIRETRELLVLRISNLSRTLHKGTNDTLVACPARTKTYRGFSTFAVITLSCWLTYSFSLILF >Sspon.03G0040370-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3C:28974946:28975655:-1 gene:Sspon.03G0040370-1C transcript:Sspon.03G0040370-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVGAKVTRHGARVTGAKTLTRGADVAGSISANNDGVRLGANDDGAKLGANGDGAKLCYLGASVDGAKLWVYFLKSFRQERI >Sspon.05G0027070-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:5166249:5182104:-1 gene:Sspon.05G0027070-2C transcript:Sspon.05G0027070-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMDSDSSSHSGADYRSFRQITRDRLLLEMLRSTRKSSKSTWKVLIMDKLTVKIMSFSCKMADITDEGVSLVEDLYKRRQPLPSMDAIYFMQPTKENVRIFMSDMSGKNSLYKKAYVFFSSPVQRELVAHIKKDSTVLTRISALSEMNLEYFAIDSQGFITDHDKALEELFSEDAEGSHKYNACLNTMATRIATVFASLRVLSSHFMLYVGSSYLHVCFDFMLDKMTLFIWIKIDMVVMARPLLFYIHPLSSSLLLGAVLPQVVRHDLSPIAVYPPYIEFPRVHYRVARTIDASTLTTLRDLVPTKLAAAVWNSLARYKSTIPEFPQTETCELLIVDRSIDQIAPIIHEWTYDAMCHDLLCMDGNKYVQEVPSKNGSANEKKEVLLEDHDPVWLELRHAHIADANERLHEKMTSFVSKNKAAQLQQARSGGELSTKQLQKMVQALPQYSDQIDKLTLHVEIAGKLNNIIKEQHLKDVGQLEQDLVFGDAGTKELISFLRTRMDVSRENKLRLLMIYASINPEKFFESEKGAKLMQLAGLSADDMIVVNNMRCLRGPDTKKSSVGAFTLKFDLQKKKPGIRKERIGEESTWMLSRFYPILEDLIEKLSKGELPKDEYHCMNDPSPSFRGIPGSTSAQTSPAHQPAQSMRSRRIGGTWARPRNSDDGYSSDSVLKHASSDLRKLGQRLFIFVIGVLLGL >Sspon.01G0013190-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:36054889:36070134:1 gene:Sspon.01G0013190-1A transcript:Sspon.01G0013190-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding FTRSIVFISHQPKHPNLALFPLPMATSCPTLPFDEARWIIRIRRIFDEEIEVSEDQPICVFDVPKPLLSTKPEAYIPQLVALGPYHHCREELCDMERYKLSAAKRAQSHLPGMDFQRLVDVFTKLEHLVRAHYHRHLNLSNEALGWMMAIDVSFLLEFLQTFSKNNGNQQRALQRIPSRMSHLVDPSRRTSSHSMLLRDVVMLENQVPLFLLLKAIESRCPTAVAQPAAQSVLSSMLVGFFQEVSTFRGIGRPCTDANRHVHLLDFLYSNMVPRCAEESHGEAGDESCHGHDDHGKSTLNSVMDLLVSRGSKIVSVTVDFLLRVLLKFIASLPCLSVLGEPIEQLTQHAAEPSGGAPGVPDKNRSPLLEEIAVPSVAELAYTGVRFCPTVGDLSAVDFCPATATLHLPVIGVDVNSEVVLRNLVAYEAAAGLGPLVLARYVELMNGIIDTEEDARLLRECGVVLNHLKSDREVAELWNGMTRSVRLTRVPALDRVIDDLNRHYGGCWKVRVRTFVKARVLGSRELLACVAVVLLFLFVGLQAFCVLRARWIIRIRRIFDEEIEVSEDQPICVFDVPKPLLSTKPEAYIPQLVALGPYHHCREELCDMERYKLSAAKRAQSHLPGMDFQRLVDIFTKLEHLVRAHYHRHLNLSNEALGWMMAIDVSFLLEFLQTFSKNNGNQQRALQRIPSRMSHLVDPSRRTSSHSMLLRDVVMLENQVPLFLLLKAIESRCPTAAAQSVLSSMLVGFFQEVSTFRGIGRPCTDANRHVHLLDFLYSNMVPRCAEESHGEAGDESCHGHDDHGKSTLNSVMDLLVSRGSKIVSVIVDFLLRVLLKFIASLPCLSVLGEPIEQLTQHAAEPSGGAPGVPDKNRSPLLEEIAVPSVAELAYTGVRFCPTVGDLSAVDFCPATATLHLPVIGVDVNSEVVLRNLVAYEAAAGLGPLVLARYVELMNGIIDTEEDARLLRECGVVLNHLKSDREVAELWNGMTRSVRLTRVPALDRVIDDLNRHYGGCWKVRVRTFVKARVLGSRELLACVAVVLLFLFVGLQAFCVLRGCVPV >Sspon.07G0000800-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:10356725:10358656:1 gene:Sspon.07G0000800-4D transcript:Sspon.07G0000800-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKNAVDGLGKNGFINYYGLQRDDINEVRKRYKEHGDIDMALRNFPRHLVAERAIVVEGDLVYNKECPPEESTSVDILETDDGHTNSIEIDLCSEAQPEESIQSVKIVDSGDLLKGIYTIDDVVLPLPGSQALFPGNEVAEIYHEMAR >Sspon.07G0017070-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:84175079:84179711:-1 gene:Sspon.07G0017070-3C transcript:Sspon.07G0017070-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHLVHLGPVLYQCAAHVSEGSIGKTNDSLSEIKRLSSIVDGPLQRLSQIMADSLARRLLLPCEGLTGSLIHPSDYFEQSSIQSARYNFASLSPYLNTGFATINRAILESMEVEKVIFYQDYIHTYELLMTIVFQVVRIIDLSCSASHPRQWLKLLHGFHGRPGGPPEVRLTVVHDDNDFLANMKALLSKEADMLKIPFQFNDVNGRLETLDFSNLRDVLGIKYGEAIAVSCSLQMHRLLVVDDNVSCSAIDQLQKMANAAQLKQMASSVYSPASILNYPRTPSPLLLARFLNAIYTLKPNIMLVMEQDANHNALLFSDRFVEALNYYAALFDSFNAMAAANSQWANERTQVEKMILGEEIKNILLCEGVNRHERHERLSQWEMYMDASGFHHVPLSFDAIREGELKLLSFRLNGCQYHVESDSLLLGWSSTRFRSLSGLNMEMFQEDTLSSATSSPASSLYTPSPHGHGGLGSSWVQELSHDQQGLRLINLLYQCAAEVAAGAFDRANFYLEQIMQLASLDAPHTLQRLAAVFADALARKLLNLVPGLSRALLSTGGNSAEAHLVPAARRHLFDVLPFMKLAYLTTNHAILEAMEGERFVHVVDLSGPAANPVQWIALFHAFRGRRGGPPHLRVTAVHDGREFLANMAAVLAKEAEAFDIPFQFSAVEARLEELDTDALRHVLCVRSGEALARVGQLHRLLAADDAGGRNSRHVPGSSCLTPVQIIARSSPSSFGELLEREINTRLQLSPDSSAVLSMSPQSSSLSPAQQQQQREKAKLGSFLRAVRALSPKIMVVAEPEANHNAATFLERFEEALNYYASLFDCLERASAAQAHRCAGERARRSGWCSGRRSAAWWRGRARSGRSGTSGWRSGRAGWRPPGWSASASATPA >Sspon.07G0030470-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7C:8321461:8321793:1 gene:Sspon.07G0030470-1C transcript:Sspon.07G0030470-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVPSPHESLGQATRSTREPNRCQRRHRTCRRVDEQAQLRRRKTQELAVSSPVLYSALRGDLRFDRRSVESFVSLRQSSPHQESRMFYCSHGLDRHVPSEVGTWRALCDR >Sspon.05G0026510-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:42240991:42244976:-1 gene:Sspon.05G0026510-3D transcript:Sspon.05G0026510-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATATLAAPPFSLSSRPAPAQARTARRRTTRPFLRPTCAYALQEGQSRRFHRLPCGLDLEVIAQCPPAAGERTPLVFVHGSFHAAWCWAEHWLPFFSRAGFPCYALSLRAQGESSVPQEAVAGTLETHTGDIADFIQKELPLPPVLIGHSFGGLIHFCIQSLLVLSFGLVWRYLLTKPIAAVKVTLSLAAKAYANSLSLCKETFFSAQMDDELVLRYQALMKESSKLPLFDLRKLNASLPVPSVPESTTEILVMGARNDFIVDSEGLYETSRFYGVQPVCVEGVAHDMMLDCSWDKGAEIILT >Sspon.02G0026110-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2A:90702650:90703603:-1 gene:Sspon.02G0026110-1A transcript:Sspon.02G0026110-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDGSGVVTVYGNNGAALLEPSKQPKSATFSVKVGLAQMLRGGVIMDVVTPEQARIAEEAGACAVMALERVPADIRAQGGVARMSDPGLIRDIKRAVTIPVMAKARIGHFVEAQILEAIGVDYVDESEVLTPADDAHHINKHNFRVPFVCGCRDLGEALRRVREGAAMIRTKGEAGTGNVVEAVRHVRSVMGDVRALRNMDDDEVFAYAKRIAAPYDLVMQTKQLGRLPVVQFAAGGVATPADAALMMQLGCDGVFVGSGIFKSGDPARRARAIVQAVTHYSDPTILADVSAGLGEAMVGINLNDPKVERYAARSE >Sspon.03G0037580-2D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3D:60698462:60698782:-1 gene:Sspon.03G0037580-2D transcript:Sspon.03G0037580-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFAFLTFNCGMAVYRSWDDPYTVAFVLAAYAALLLLFRCLHLLERRRDHRLKLAVWGLSTLLTLMFSYKVAAMMPPWAQLLVWAMGILTIVAGFYAFFVARPEEP >Sspon.04G0004730-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:13852819:13855969:1 gene:Sspon.04G0004730-1A transcript:Sspon.04G0004730-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding WVDPPDFCRPGASPVTDILHWEVVIDGPAGSPYAGGTFPVDIDFIGNYPLTPPKITFKTKVYHPNISSEGELMLGIFHYENWSVAMTVDKLLLYVVSVLYDPVLDCDPINEDVADIYAADLELYEGTAMAWTWEYSSTPIVSHYFPVKKDTSRSRSRPRYRNDDELAAMYSAYERRFLVPEHRDVLERRDDASSSPARGTRVVVKAMAPSGGALRLRGTTTTGARPDKQSRLWTTRLQKELEGLWADPPEWCVPGTDVTNLFHWQVIVVGPRGSPYDGGVFAVRFKFPRHYPLKPPKVTFATKVYHPNIDPRTGLVCLDFLTDSKHWWTPAWTVDKILLAIVSLLHEPVMDGRAINPDAANLYRRKRPR >Sspon.07G0035850-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:13970350:13978702:-1 gene:Sspon.07G0035850-1P transcript:Sspon.07G0035850-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LPESNGYIYIEANGGLNQQRTSICNAVAIAGFLNATLIIPNFHFHSIWRDPSKFSDIYDKDHFVQRLQNDVRVVDKIPDFIMERFGHNLSNVFNFKIKAWARIQYYKDVVLPKLVEERFIRISPFANRLSFDAPSAVQRLRCLANFEALKFSKPIVSLSETLVSRMRDKSVASDGKYISVHLRFEEDMIAFSCCVYDGGDEEKKEMDAAREIGWRGKFTKRGRVIRPGVIRMNGKCPLTPLEVGLMLRGMGFSNKTAIFLASGKIYRAEKNMASLLEMFPLLQTKETLASEEELAPFKVFAVVTVSDTSIHNSDNGNCPVEFDSCFISIEASAVGGGKTNPDWRVLNNPRINSTPLHLNGVIGFVTMDNIAVTTRRVLPGVSVERSGHTRVGITITSSRVPLSMTRCLDSSTPTNGP >Sspon.03G0014860-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:69947631:69954305:1 gene:Sspon.03G0014860-2B transcript:Sspon.03G0014860-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVPPAAGREMAPDSLPLGIIPTVDMSAPSGRGDLARRLVRACAERGFFKAVNHGVPPRVCARLDAAASAFFARPAEAKQAAGPPDPLGYGSRNIGANGDVGELEYLILHAEPGAVARKAKVIDAEDPSRFSVAVNEYVGAVRHLACRVLDLLGEGLGLRDPTSLSRLISAVDSDSLLRINHYPTSSGAADMSDKGIGFGEHTDPQILSLLRANDVDGLQVLLPDGHGGGDQWVQVPADPSAFFINVGDLLQALTNGRLVSIRHRVMASTTRPRLSTIYFAAPPLDARIAALLETVTAGAPRRYRTFTWAEYKKAMYALRLSHNRLDLFHANATSGGSGDGPARLG >Sspon.05G0006720-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:21100982:21105424:-1 gene:Sspon.05G0006720-1A transcript:Sspon.05G0006720-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEHWFGGVCSLSMATSTAAATALPRSSPAVPAKRRCLHAVAGRSTTRRRRGAAGVMRACFNPLGDERILREAIKEPVAFMGGVFAGLLRLDLNEDPLKEWITRTVEASGIAEENSSEESSEADQNDAPRQIEIESAY >Sspon.07G0012600-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:44757171:44762478:-1 gene:Sspon.07G0012600-1A transcript:Sspon.07G0012600-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:U1A [Source:Projected from Arabidopsis thaliana (AT2G47580) UniProtKB/TrEMBL;Acc:A0A178VZ67] MSGEAAAVGGGEGEANGIQPNVTIYINNLNEKIKLEELKKSLTAVFSQFGKILDVLAFKTLKHKGQAWVVFEDVASATEALKRMQGFPFYDKTMRIQYAKTKSDIVAKADGTFVPRERRKRVDEKPEKKQKREHHHDAGQMGMGVNAYPGVYGAPQLTQIPIAGGQRVMMPEIIVPNNILFVQNLPHETTPMMLQMLFCQYPGFKEVRMVEAKPGIAFVEYGDEGQATAAMNNLQSFKITKENQMVITYAKK >Sspon.03G0037510-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3B:99281307:99283887:-1 gene:Sspon.03G0037510-1B transcript:Sspon.03G0037510-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding NDELAIVVCHQPAVLHCGDTDDEGDDIPLKALKSRRLDSEQKKLSGAPQAHNAQNIITGVTNIAEASDINGQTLSASVHGNCGSAMARAKEVQAKLPENNLSFTKTMLPSHVIRGFWLVYIIRANEFTATDGAISLLNLEARKKGKLLSKATRVEHSVPADDDNDNVIFSEAGGLRISDSDMDFGDVASFSDFSIVVDSLVIDCKFQEHLRRTYYELCRSQKAFLHRHLLKQLNLTLVVGAIMETVSIAEGIRACTAERSPSREDLLVWKKTLESVALLGMDVGFLLRRVDDLLGVDAQAQQARDQYREMSAEKARAAEKVKALELALGSVKDALSKIDADMEEVEARVNRSGATLQELATAPW >Sspon.01G0004510-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1A:12058988:12060861:1 gene:Sspon.01G0004510-1A transcript:Sspon.01G0004510-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GKMRKVIDSDTHIDDATVAKMVPEVGMEFDNEDKAYEFYNRYAGHVGFSVRKSSSDKSAENITRSRTFVCSREGFRKDKKGAKEVKRPRPETRIGCPARMAIKITSDGKYRIAEFVADHNHEPAPPSTMHMLRSQRVLTDLQTTEADSSEDSTTPSWISSGCLVRQAGPTTNLNFLPADYRTSLPSKRMKNMQPGDAGAAVKYFQSMQMSSPSFFHAFQLDEDDKLTNIFWADSKSRTDFSYFGDVVCLDTTYKINSHGRPLMLFLGVNHHKQISIFGAALLYDESVESFKWLFDTFKVATGGKQPKTILTDQSMTATAAITAAWPGTIHRHCPWQVYQNAVKHLNHIFQGSKTFAKDLSKCVYEYEEEEDFLLGWSTMLEKYDIRNNEWLRKLFQDRDKWAPVYNRHVFTADIKNSLQSESISTVLKKYLSPQFNLSSFFKHFEKVLDEHRYSELQADFHASQSFPRIPPSKMLRQAASMYTPVVFEIFRREFEMFVDSVIYSCGEAGTASDYRVAVTDKPGEHYVKFESSDFSAVCSCKKFESMGIQCCHVLKVLDFRNIKELPQKYFMGRWKKDAKSANTGNQEFLNDGASQTPSSSLNGPGPFIDHQHMETNNQTNHVS >Sspon.06G0029450-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6C:22891168:22891953:-1 gene:Sspon.06G0029450-1C transcript:Sspon.06G0029450-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQYKDPPEEISHPAHGGHILKLVTNAGAPFVCDGCKEPGDGARYTCGCCESPSFDLHPPCALADEDTTLEHPLFRGSKFVLLPEPPAPVDGTVCDACGEPARGLVHHCFDDDLDLHPCCARLPDRILQDGRVFELRRKTSGACGLCGGDSGRRRNRFWAYRSYLDGEAVDLHVACMKDMARLSWEASYQSQSGGGQIVLASLPNIERTLQSLPVNKRRKSGFDQFVKIVRTVASIIIAVIFGNPVAMIAAIAGPGGLLRG >Sspon.03G0025650-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:373835:392203:1 gene:Sspon.03G0025650-1B transcript:Sspon.03G0025650-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNHSYLSNEYGSSIDEPREAEPEEEQKISPAIDQFESWLLKILFEVLLLLVQMEERQEIVWASALSCLFYFEKFRSRLGGLDIRVVKTLLEISVEHSWAKVVHSKLICMLTNMLYQTDFVTHNLFRRIERIDLLGGIDYICLEYSRANSREEKRDLFFVIFDYVVHQINEACLAGGISTYTYDDAQPLASLLAFADAPEAFYISVKHGVEGVGDMLRKAISAALSQSAQYDQLNVLLDKVMRKLDGTASTFSRIDNEFSYMIQVTKSCKCFSSIKDGCDDADVALRARLCWATLHSLLHSQFHHIGTMDIFEISEETDGSVWSKIQKLQEEIEVAGSQDLSCSEVSLPVCMLCGLLKSKHNFIRWGFLYVLDKFLMRCKLLLDDSDMQDHATADRSKNCLDKAFAVIDIMNSALLLVVQNNETDHINILKMCDMLFSQLCLRIPSTNVMHVRGLQSLGQLFGYTSKNIDSHLETLASHQSDYIANPSTMDMAYNVLIDHALAESDRSPAVVPRCVSLLKRYLIRSDFVGLQDFHIHGFLEVGAAALLVGDMDAKINDQQWKYSVTQEFPDIDLLQPSSSAPSTFASSQSHLKAITASKRMRSAPNQVWMNIPINTFQPRARPLFQYRYYSEQQPLRLNPAEISEVIAEVCSEATSNANQSIAPSRLSTQSRQPSADVAFSVLIKLVIDMYMMDSETAAPLTLYMLEGMLSSQKSSARTKALDLILNLGVHGHLLEPMVVGDAPLIDKSESMNHSYLSNEYGSSIDEPREAEPEEEQKISPAIDQFESWLLKILFEVLLLLVQMEERQEIVWASALSCLFYFVCDGGKIIRSRLGGLDIRVVKTLLEISVEHSWAKVVHSKLICMLTNMLYQVSDLAQNGVRDTHFVPERIDLLGGIDYICLEYSRANSREEKRDLFFAIFDYVVHQINEACLAGGISTYTYDDAQPLASLLAFADAPEAFYISVKHGVEGVGDMLRKAISAALSQSAQYDQLNVLLDKVMRKLDGTASTFSRIDNEFSYMIQVTKSCKCFSSIKDGCDDADVALRARLCWATLHSLLHSQISSYRHHGYIWLVELLLSEISEETDGSVWSKIQKLQEEIEVAGSQDLSCSEVSLPVCMLCGLLKSKHNFIRWGFLYVLDKFLMRCKLLLDDSDMQDHATADRRKNCLDKAFAVIDIMNSALLLVVQNNETDHINILKMCDMLFSQLCLRIPSTNVMHVRGLQSLGQLFGYTSKNIDSHLETLASHQSVGNKNLCRSETLHDIGMDQSGQSTSFCEASMAALLLRGLAIAPMQLVARVPTSLFFWPLIQLEGAASDDIALGIAVGSTGRGNLPGATSDIRAALLLLLIGKCTADQEALKEVEGNEFFRGLLDDTDSRVAYYSAAFLLKRMMTEEPEIYQRMLQSLISKAQQCNNEKLLENPYLQMRGILQIATSAVFIAPEDIPLLRTEKRCYVNHYVIIKLGKIIDGADRMR >Sspon.05G0023710-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:16692213:16692497:1 gene:Sspon.05G0023710-2D transcript:Sspon.05G0023710-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAFFFDAEPVCELPSLVPTLDACALCAKPLGRDDDIFMYRGDTPFCSEECRGEQMQLDAIRARRAAARRQQQQYSSRTESRHQESRKVSVAT >Sspon.02G0041460-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:78263516:78263923:-1 gene:Sspon.02G0041460-2C transcript:Sspon.02G0041460-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding NVEATEVVGVVPPSGLVGAHLGRPAEGPGPQGAVDDDAQGGAAASRRQRPRGGPLAPRRVPLADAPRRRRRRRRQIGRRHSGEPRGGRLRVPGGGQRRRQSRRRRAPGEAREAAAADLGRVLRLHGASPGVARAAV >Sspon.06G0011680-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:46772239:46774509:-1 gene:Sspon.06G0011680-4D transcript:Sspon.06G0011680-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MELVDRLQRLGVDYHYKKEINDLLCSVYNDKDGGSDNLYITSMRFYLLRKHGYGVSSGMSKGTFQLLADVVRRCASDNSWGGDTLQHHHFQQEPPPISTAGKFGARAMRGSPVHFGDTTVQAVYEKKATRDATILEFAKLDYNILQAIYCDELKELTVWWKAFQSQTDLSFARDRMVELHFWMLRVVYEPYYSYSRIMMTKFIVFASLLDDLYDNYSTTMRSTTFTAAMQWWDEQTTQQLPAYLKALFINILNTTNKIVEELKLTKNKHADLIKRLFVPWDISKPSVRCSSASLDDVGETEHGKISTGNGGLYSVAKKAIVPRLPAIK >Sspon.02G0013410-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:38245175:38247152:-1 gene:Sspon.02G0013410-3C transcript:Sspon.02G0013410-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKFATYENIVEMTYKHLIPTPKDQCSKPLQLGVSFGSGYVAGVFCAAVSHPADNLVSFLNSSKGATVGEAVKNLGLLGLFTRGLPLRILMVGTLTGAQWMIYDSFKVMIGLNQKESVAWTVPNEHE >Sspon.01G0054550-2D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1D:104363433:104363658:-1 gene:Sspon.01G0054550-2D transcript:Sspon.01G0054550-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AKGSMEKSMVADNDSGESLMSQVRTSSGAFLAKHEVITLHHGILLARSRAECVRSNSLLIAIAMCTCIGTVETK >Sspon.01G0019870-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:71649132:71652636:1 gene:Sspon.01G0019870-2D transcript:Sspon.01G0019870-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRPHLPKDPAFPRTNGSLDGGARGLGGEIEEVGAAATVAAEQSSPSQSSSPSASSPPAAMSSCGQYMLHRVRKLDTLAGVAIKYGVEVADIKRLNGLSTDLQMFAHKTLRIPLPGRHPPSSYQQNGSYESDDRECTPRRIHEDILDSILKTPKPKVSPAMSLLQGYYGLAPPPKRDQTSEGTEMAVYSKGKSAFLDVEPWLEPPNSDPFPLQNRRTRSLMIGSSLDGDTDENGDSERFIRRRQKADGELLPREENGGDFLASAGKGLALRPKSSNRPDMNKSQQNLFAMAEPLFGNGVQTVRKSSSTPEFQEPETSASSSIWSASKWSINTDAFALPLPIPRFDNIPKPIAAWRNKAARD >Sspon.05G0015750-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:62294844:62297839:-1 gene:Sspon.05G0015750-1A transcript:Sspon.05G0015750-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALRAVLLLVPALLMRVAVAGAPPFSCGPSSPSKGLPFCNTKLPATQRAADLVSRMTPAEKASQLGDIANGVPRLGVPSYKWWNEALHGVAISGKGIHMDQGVRSATSFPQVLHTAASFNDNLWFRIGQATGKEARAFYNIGQAEGLTMWSPNVNIFRDPRWGRGQETPGEDPAVASRYGAAFVRGLQGSSSNTKSVPPVLQTSACCKHATAYDLEDWKGVSRYSFKATVTVQDLADTFNPPFRSCVVDGKASCVMCAYTVVNGVPSCANADLLTKTFRGTWGLDGYVAADCDAVAIMRNSQFYRPTAEDTVAATLKAGLDIDCGPYIQQYAMAAIQKGKLTQQDVDKAVKNLFTTRMRLGHFDGDPKTNVYGNLGAAHICTQEHKNLALEAALDGIVLLKNSAGVLPLKPGAVNSAAVIGHNANDVLALIGNYWGPPCAPTTPLQGIQGYVRNVKFLAGCNKAACNVAATPQATALASSSDAVILFMGLSQEQESEGKDRTTLLLPGNQQSLITAVANAAKRPVILVLLTGGPVDITFAQANPKIGAILWAGYPGQAGGLAIAKVLFGEKNPSGKLPNTWYPEEFTRIPMTDMRMRAAGSYPGRTYRFYNGKTIYKFGYGLSYSKFSHRVVTGRKNPAHNTSLLAAPGLAATTEDNLSYHVDYIGDEVCDQLKFLAVVKVQNHGPMDGKHTALMFLRWLNATDGRPTRQLIGFQSQHIKAGEKANLRFEVSPCEHFSRVREDGRKVIDKGSHFLKVGKHELEIILGHEIFFSFRKIRIYIGLI >Sspon.07G0014570-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7A:52486575:52488878:-1 gene:Sspon.07G0014570-1A transcript:Sspon.07G0014570-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding TEEGSEGGGGAPYLGRADGEDSSDLGCPKRTTPQEEPPTREEERNWGPPTRGEGRGGAEGGGAAIGSGGAVRARADCRRFVAAIIQEPDSFEFFHLRTLRTYMKILKTTKGRLSPFGPLALHGCACTTYAQPQPPADGAWQRRAAAPAGDPALPVPSQLKLLLEARGAQPDPFFGKSELRERFYRDVFPATVASKTSPSYQVEQDVETVIHVLQPGPLGIVEHKFTDAEILINWRTNSTLERNHGSTSFDKWK >Sspon.05G0012580-4D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5D:41461137:41465794:-1 gene:Sspon.05G0012580-4D transcript:Sspon.05G0012580-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AAAAQSSRRRRPHVSSTSPIPRRSPPPRSPIRAASPRSPAPVNPACRCGNAGSSRGAAELKYYGAKGHGGGNGGVGRAAASSSSSSSAAAGGGGGRAAEAV >Sspon.02G0000670-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:2464412:2465059:-1 gene:Sspon.02G0000670-1A transcript:Sspon.02G0000670-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAISCLAPPPASYTDAAIARALHFSTTTMSPDSSASASSSLSHHHHHSPLLTALADLPSLYYAPPPHTAVAATCCDSVLVADSPRRRSSPTTAPGRQNHALAPAGARAGKRRSRASKRAPTTYISTDPANFRLMVQQITGVQADAAGVELMMLQVQASALLGAGNPQLLPAGDEASALRQHQHQLQLQLQQQQPCFPTLDSWNVMYETNSAEML >Sspon.08G0010600-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8A:46866055:46868241:-1 gene:Sspon.08G0010600-1A transcript:Sspon.08G0010600-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLEPEQIHISRPNRRTPHSLPHPVPRFLLPSALAPCAYPDVLPWLPPWPATARSPTSASSPTPAFSPTPAVSLPHLGDLTHPGGVPPHPGVLTHRERPTSTDPVALFPTPVRSKQVADPPLPWLMVPSPDPATSPLPRLTTGMAGMRACTIQRACTTAVAHQ >Sspon.06G0007050-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:24152285:24155451:-1 gene:Sspon.06G0007050-4D transcript:Sspon.06G0007050-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-prolyl cis-trans isomerase CYP38, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G01480) UniProtKB/Swiss-Prot;Acc:Q9SSA5] MAAALASSRCCCSRPSLPPLPTRGRRSVARCALSGGEKRNSFSWKECAISVALSVGLITGAPTFGSLAYASPLEPVLPDVSVLISGPPIKDPGALLRYALPIDNKAIREVQKPLEDITDSLKVAGVRALDSVERNLKQASRALNNGRSLILAGLAEPKRANGEELLNKLAVGLEELQRIVEDRNRDAVAPKQKELLQYVGTVEEDMVDGFPYEIPEEYSTMPLLKGRATVDMKVKIKDNPNIEDCVFRIVLDGYNAPVTAGNFIDLVERKFYDGMEIQRADGFVVQTGDPEGPAEGFIDPSTGKIRAVPLEIMVDSDKAPVYGETLEELGRYKAQTKLPFNAFGTMAMAREEFDDNSASSQIFWLLKESELTPSNANILDGRYAVFGYVTENEDYLADVKVGDVIESIQVVSGLDNLVNPSYKI >Sspon.01G0024530-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:86302578:86303794:1 gene:Sspon.01G0024530-3C transcript:Sspon.01G0024530-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding IMKAAAAPPLPPPRRRVYTAVDPRCEWTTTEDADTLVVDVSGFRKEELKVLYKTRRKLKVTGQRQADAVPKLKVTGERQVDGGQWARFLKVFPVPRSCDAGTIQAKLNTESAQLFVILPKGSASSKDKQKEHHPERSQSLQELMRADTADDASSGSGGGGMWSAQEDPGNGKAEDKEQKQDHQAMEEPRQDQVMNIQDLPRGNGDATENAIKNGDADGDDKGEDRRWWRKIRDLHALGFVLVLALVGVGATILYIVLL >Sspon.06G0026180-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6B:76987672:76988139:1 gene:Sspon.06G0026180-1B transcript:Sspon.06G0026180-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVLGGSSVFGAGTVTFIGLYLVAIGSGGVRSSLLPFGVEQLDDVNVADRESVFLQLVLLPLRRLLPHRLGPPHHVDPGQRQLGPQHRHHHRLHRLPGRGGGVLLHRVAGVILCRGAGHHEEHVHVAGAAFQRAGQLPQLLHLSHRSGAHGDGR >Sspon.02G0002680-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:7024497:7027206:1 gene:Sspon.02G0002680-2B transcript:Sspon.02G0002680-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCLSASSHLSTAVAAAHLLLRSHRCGGPFFHGTTALRAPSCHWTLHPMSGNDDKPHAAADRIKAATLSVAKGLSRAQAERAAAAAARNVNAYGQKEEGPSRWQERKEAKRQMYLMSTEKAAKLGTVKPKTSETSSVGAYTQCQKCFQHGHWTYECKNERVYISRPSRTQQLKNPKLKKSVPVSYQFENPDIIKEREEEQKLMKEKQKKEKAERKKGKSKRKHRSPSDSDSNSSGASVFDSDSESSVTGSEYSSGSSSSYSSSDSEDKKRQHRRKQKKRRHRRDSTSSASSESESASDSDSDDKGSRRKSKRRSGYEECMELKIQDNCWLGT >Sspon.04G0023250-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:12785612:12787353:-1 gene:Sspon.04G0023250-1P transcript:Sspon.04G0023250-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNFIEAQKPLLSRLMKMAGLRPIEVELEPGTTMHVWAPKHHVGKKGTTISPLEPTADAKKEKKKKKSPESRPNVVLIHGFAAEGNVTWQFNFGVLVSRYNLYIPDLMFFGKSTTASADRSPDFQAECVAGALARLGVARCDVVGFSYGGMVAFKLAEARPELVRSLCVSGAVVAMTDAVNRETMERLGAGSSAELLMPDTLKGLKALLSVSMYKKMWFPDRFYKDYLKVMFANRKERMELLQGLITSNMDAKIPVFQQKIMLLWGEEDKIFNIDLAKKMKEQLGDNCFLYGIRKAGHLLHVERPCAYNRQLQRWLAFVNPTAGGDQAS >Sspon.05G0021110-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:88806743:88807653:-1 gene:Sspon.05G0021110-1A transcript:Sspon.05G0021110-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNILESSNLGGYKEVINEVEHQRALTMDLHDLVLPILDPHSKIISSLELGDNSEKQAILIKHKRKGGKDNVENHILEENKDRGNKRRKNAKHISSVVTHAPHFDGYQWRKYGQKWISKAKHSRSYYRCANSKEQGCPATKTVQQKESDGNGTPRLFNVDYYGQHICNSDGIVHPHVVEAAHDTTV >Sspon.05G0008180-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:24950270:24961244:-1 gene:Sspon.05G0008180-1A transcript:Sspon.05G0008180-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLAHSQHAGIRFGSSIANGGWPGRCPSSCCSRPFTTAGLCPAQGMEESRMQTARCVPYREIPDVPENILISSVAVYGLIQRMTNKLSWFQDFSARAMTGEETPSTEKCMEEREQHVLTVVANIVNVPNMLNMVTYLRGTMHMGVSRSATTVTNVLGATSGFALIGAFLSDSYITRSRTILIFGPLEFLGYGLLALQAHLPSLHPPPCNIEAKPSNCKEVHGWNSTLLFASLYISAVGDGCMRACMPSLGADQFDHENPTESRQQSSFFNWYTFGISFGGFIGLILVVWLQNDKGWDIGFGLCAILILLGLLVVAVGLPFYRNQIPEGSPLTRILQVLVVAFRNRSLELPEKLEEAQESSAEPGSVEVLPETKSLKVESIALFLVLPIINYSMHLDRILDKACINRGKDGAWSLCSRTKVEETKIVLRVLPLFISSIIGYVSNPVLFTFTVQQGGMTNTRLGKIHVSPATLFIIPTIFQMVMLPIYDQFLVPFLRKRTGYVSGITHLQRVGMGFASIILASVIAAVIERKRKEAAVQMSLFWLTPQFFLLGVSDVTSFPGLLEFFNSEAPQGMKSIAAALFWCVLGLSSLLATFLVQIVNRATRHGNQAGWLEATSLNSSRLDLFYWVVAVVGLLAFFNYLFWAKRYVYRHNPRIVVTDEPPALWTGEETPYIERYMEVFEQQFLTVVTSMVNVPNLLNLVTYLHETMHMGVSNSATTVTNFVGATSGFALIGAFLSDSYISRSRTILLFGPLEFLGFGLLALQAYLPSLHPPSCDIEDERTVCKEVHGWNATLLYAALYISAFGEGCIRACLPSLGADQFDHEDPTESRQQSSFFNWYTFGISLGGLVGLILIVWLEIYKGWDIGLGLCAILVLLGLIVVAAGLPFYRNQVPEGSPLTRILQVVLIPALLLQVLVVAFRNRRLELPEKLEEAQEISTGADTIEVLSRKNNLKFLDKACINSGKDGAWSHCSVAKVEETKIVLRMLPLFVSSMIGYVSNPIILTFTVQQGSMTNTRLGKIHISPASLFIIPITFQMVMLAIYDRFIVPFLRKRTGYASGITHLQRISLGFASMILASVIAALVERKRKDSATQMSLFWLAPQFFLLGVSDVTSFPGLLEFFNSEAPRGMKSIATALFWCEVGLASLLATFLVKGVNRATRRGHQGGWLEGTSLNNSRLDLFYWVVTVVGLLAFLNYLYCARKYVYQQDPRVADEPSVDQDAP >Sspon.04G0036920-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4D:57079080:57079655:1 gene:Sspon.04G0036920-1D transcript:Sspon.04G0036920-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDACRCAPPTVTDESEFGSVWCGDERQPPLFFVQVRTFAGARLPSSQTSPRTTPRGVTAAGITHLPPSRRGRLPVRASHRCGRARERLRKVWRRPATSFSPSRRGRLPVRASHRRGRVQERLRAVWRRPTSPTSLHPVVDTCRCTPPNVASESGNGFAWCGGGRHPPLSRCSYPSAEGSGETLAKLLLGRR >Sspon.03G0002660-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:6438337:6440631:-1 gene:Sspon.03G0002660-1A transcript:Sspon.03G0002660-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSGVSFVGGMAEECPPTGGAELWLPDEFLDDDFFSEEEKAAVAAKSESDEEEGVDDLSRRVAGLVGGGNGKGGGDGSPAKAEEVLAGSPQSILCGLHASGEDSPNGAASQVSSPPSSPLEQAPADPWDLLNEAAGQVARLRTTSIPVPTNAAANQGHPVMPAAVKKLSAPAEAPKAAGANHSQPNNSMEQRRIQIARFNALKQQQLLKHRRERELAVATAAAWGTRVAGSHRAGAAGYGAPAPHVLSASAWPPLQKSQQQQQPPPASPAGMRALFLTPPGGKRECTGTGVFIPRQAGAPAEPKKKPACSPVLLPARVVQALNLNVEDLGARPIYPGGFVLDHDALVSRSNALLAARSSQLHGASSREVNLPQEWTY >Sspon.08G0004760-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8A:13661994:13664070:-1 gene:Sspon.08G0004760-1A transcript:Sspon.08G0004760-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MANVSCISRLQRTQASTHPHRRCLHALSPRIPPRNIQNKYMGTEFDALKERKADDAILREFLDQANSVGTTTPAAAARAGVEYPRDAPRPSSRFVSYPSPFPVLPHPPPSPDPVLFHGCASSTGYQRRARHAGSLRDLKRTAPRQVDVGAPAKCQQPAVASLLPKPLFLLADATGRLPLRAHPDARQASHSLVGSGASAATIRGGRLRRRVGRLPEPRHQLPDLLRLQRWPFQARSLLFRLLCLACLQRQKKKKVRVQNHLDMHSREKRFTLELLMVIYFFCVKCLDHTGQYAELSSYPYF >Sspon.01G0013210-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:79876825:79877611:-1 gene:Sspon.01G0013210-1A transcript:Sspon.01G0013210-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEDDGELKLIGQYGSAFVTRVKLALSLKGLRYEYVEEDLRNKSELLLISNPVHKAVPVLIHKGKPICESQVILQYIDEAFAGTGPSLLPADPYQRSVAHFWAAYIEDKLVLPWDRVFRAKTDEEREEPLKQMFAAVDTLEGGLKECSKGKPFFGGDSVGYVDVVLGGAASYAKGHDALFGAKLIDAARTPVLAAWMERFCELDAAKAVLQDVDRVVEYGKMLIAKNAARASNNN >Sspon.07G0006090-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:13574004:13578872:-1 gene:Sspon.07G0006090-4D transcript:Sspon.07G0006090-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRAQLLLVGLPAFLFFSDLTHIFAPPPPHLRHPPHHHHPHPPHHHHPHPPHHPHPPHHHPHPPHQHQHPDPSAAIIQEPRVDGAGFGSTVELQFCASCSYKGTAVTMTRMLETSFPGIHVILRNYPPPFPKRVLSKVIPIVQVGAIATIMAGDHIFPRLGMVPPPWYYSLRANRFGTMATIWLFGNFAQSFLQSSGAFEVYCNGDLVFSKLAEQRFPSEFELRDLIGSRLPESPFGRNLGRTLT >Sspon.08G0026470-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8C:22957872:22958231:-1 gene:Sspon.08G0026470-1C transcript:Sspon.08G0026470-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSALRSATRRVVGISSRRGVATTTTKAATASEPERDLVAENEKAWVAALVQREVRAQMVAEEERRITRDRLRAAVEESAGRRRQLANEVELRAGYCACSAILGGWAVGAGLAILLFAR >Sspon.04G0005020-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:16669670:16671868:-1 gene:Sspon.04G0005020-3D transcript:Sspon.04G0005020-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYNTRKDAGGGGGGGGAPPFAVPCVDIKSFVASLAFLTLFVAFWQLQPYGSLLTAARTSASSPCTLLTPTAATDVASPDATSGTAANTNQPASAAASSATAAAASNAAPVRLAKATRLARPEDPNKRVLRPYGSAAALFVQMGAYRGGPRTFAIVGLASKPTHVFGTPYFKCEWLPNPSAGDPSPRPVRTKAYKMLPDWGYGRVYTVVVVNCTFPSNPNAGNAGGKLLVHAYYSTASRRYERFVALEEAPGSYDESRFSPPFQYDYLYCGSSLYGNLSASRMREWVAYHAHFFGPRSHFVLHDAGGISPEVKAVLDPWVRAGRVTVQDIRAQAEYDGYYYNQFLVVNDCLHRYRHAANWTFFFDVDEYLYLPNGRKLNEVLDQLSGYSQFTIEQNPMSSKLCLQDPSRNYSREWGFEKLVFRNSITKVRRDRKYAIQARNAYSAGVHMSQNLYGRTTHKTENLIRYYHYHNSINVMGEPCREFVPMPVNGSKTMFEGVPYVYDDNMKRLADEIKRFENTLGSAQT >Sspon.02G0033100-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:3119618:3121080:-1 gene:Sspon.02G0033100-3D transcript:Sspon.02G0033100-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGRRCATEYLELRTEIPSQAHAIKQPGGPEAREEVRLLPACLPAAQKSQATAAAVPPPSQQLRICISASSPSCAPTVCRPAAPTLATVFVFIDIFFRCQQQRKGRNSVPVPASVFSPESSPHRICTGFSIRKRKHLRECRKMCLHVEELPCEGLGRVAHHILSLPALDDLAAQEYTHLLLLVLPHNGVRRGGSTVFLDHHLGGDG >Sspon.05G0006430-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:13796936:13802649:1 gene:Sspon.05G0006430-2B transcript:Sspon.05G0006430-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRCCRTTRHFGSYFKGAPRTQPRRHHSPHSLAPTRLLSLSDARPSRSVMAAAAWLCGLLSLLALAAAAASAADGAEGEWEPLIQMPTEKGGDAAAAASAPAVEEDEVGTKWAVLVAGSSGYGNYRHQADVCHAYQILRKGGVKEENIVVFMYDDIAHNILNPRPGVIINHPKGENVYNGVPKDYTGDQVTTENFFAVLLGNKSAITGGSKKVIDSKPNDHIFIYYSDHGGPGVLGMPNLPYLYAGDFIKVLKKKHASNSYSKMVIYVEACESGSIFEGLMPEDLNIYVTTASNPVENSWGTYCPGMEPSPPPEYITCLGDLYSVSWMEDSQYGLNIQARYQTHNLKKETIKDQYEVVKTRTSNSNKYKEGSHVMEYGDKTFKDEKLFLYQGFDPANANMANMLLWPGPKGAVNQRDADLLFMWKRYEQLNGESVEKLRALIEIKETVQHRKHLDSSIDFIGRLVFGFEKGPSMLEAVRTSSQPLVDDWDCLKRMVRIFESHCGSLTQYGMKYMRAFANICNSGISEMKMRESSISACSSYNSARWSPMAQGHSA >Sspon.04G0027450-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4B:59314413:59314664:-1 gene:Sspon.04G0027450-1B transcript:Sspon.04G0027450-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding REHTAYYTPTRGSQRQPYVVTSLHPTTATEVYYIGPALGLGGCEIPLAEGEGPCADYALLGLFSVAALLTGLFHSLAPCVPDG >Sspon.06G0008850-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:47455546:47455923:-1 gene:Sspon.06G0008850-1A transcript:Sspon.06G0008850-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGLSITSGTFSVGGHDWNILFIPNNPIYSVADDGGAVQPFISVYLALMRKGAKVRASCDLGLVDHTTGLPSSVHRTPEPREFRYSDDSMFFPQTEAFMDCAQLEASAYLQAWKKISASRIRNF >Sspon.04G0008130-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:45605826:45606629:-1 gene:Sspon.04G0008130-1P transcript:Sspon.04G0008130-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMARLAVAVFLALLAATASADSWLYEKFTTDGNVRADYNAEGQQVTSLILNQQSGGAFSSRQKYLYGEFSIQMKLIPGNSAGTVTSFYLSSGDGPGHDEIDMEFMGNSSGQPVVLNTNVWANGDGKKEHQFYLWFDPAADFHTYTIIWNDKNVIFKVDDLFIRSFKRYRDLPYPGGNPMSVHATLWDGSYWATQQGKVKVDWSAAPFVVSYRGYSADACVPNGDGAPLSCPAGTDRWMNRQLDAAEWGTVAWAKKNYMHYNYCDDGW >Sspon.01G0002440-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:6685223:6687090:1 gene:Sspon.01G0002440-4D transcript:Sspon.01G0002440-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AGGGQAIFTAECSHTFHFHCISASVAHGHLVCPLCNTRWRELPSVVQPQPAPVPRTLPRPEPMHRVQPLPMRHMPLHGWQPQHQPDEPQVFDDDEPVEPPSSGGGDQRQAAASSRSGGTLAVTTHTEYSAVARNSSRDNFAVLVHIKAPGMTTDGEAAAGDAPRAPLDLVTVLDVSGSMTGAKLALLKQAMGFVIDNLGPHDRLSVVSFSDRARRVTRLLRMSGDGKATAKSAVESLVARGGTNIAEGLRTAARVLEERRHRNTVSSVILLSDGQDTYTAPRWSRLRGARAAILHDPGTRDWSAPVHTFGFGNDHDAAAMHVIAEATAGTFSYIENEAVIQDAFAQCIGGLLTVVVQEAHVAISCGHPGVRIGSIKSGRYESRVDEDGRSASVRVGELYADEERRFLLFLTVPAVEATDGETALIKVSCSYRDTAGGAHVDVTAEDTVVERPEQVVDAERSTEVERERVRVEAAEDIAAARAAAERGAHQEAVGILESRQQAVAQSEAALGGDATMQSLGAELHEMRQLVSNGNSYARSGRAFMLSGMSRHAHQRASANMLNMAGDMNMGAEEASSFATPAMRAMLLRSRRAREESSVEQPPPSKAGKEAESSAVH >Sspon.05G0000720-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:1973458:1978764:1 gene:Sspon.05G0000720-2D transcript:Sspon.05G0000720-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VMHSVGDKLEIVVGRLKIAHVEGLVTSATRHLYTNCSTSPSSSSRRSSPMPPPNRDSICSSVLPLVSGTNLCTKTTERAETAAKAANAPETEMARQTERNDMATAPLVTLFATAPSAAACERSRNGKISELTTQQMGPIPTEKKATSPHTVRMATPTPAPRDTDPRACRKSAADSAARQAVMPTVLTYSSGFRPTRSISTMASAMNAVLKNPTATVAARSWRSVAIPASRKMVGL >Sspon.03G0021050-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:87482650:87483922:-1 gene:Sspon.03G0021050-2B transcript:Sspon.03G0021050-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPPPGPPPAWSVTLSLRHCGGLEIRATAENLLPGWGRGGEHLSLLLRLRRRFLLSVTSQCGGPSPAAATQPVTPPRGGRSRFVRFLRSRWARLTKPRVNSIWRRKKQPPARVAAAAVLRDHRDQRSRTLTRPGFWAMAWTLKSAATLRFVFVAAALLAIVVGIVMAFRITLLLAG >Sspon.07G0013770-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:55679195:55681769:-1 gene:Sspon.07G0013770-2B transcript:Sspon.07G0013770-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPPSLRSLPAAQLLPPSRPRRRRLVLSRPARGSRPSCDLARGASARYGPHPQPLLRLVAAESPPSTSLAAVGAIRRDSETGLALLLVVLAVVMSFFLLMTILSFLASRALQKIETAANKLAKVFAEEVPGTLSSVKLSFMEINELTSQLKNLRKKLAISRFGKNANS >Sspon.01G0021700-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:75984912:75988259:-1 gene:Sspon.01G0021700-2C transcript:Sspon.01G0021700-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQFLRKVFEDEDDDEKARPERSDQELALAKAVDAMAMGLESGVVGSAAEALKPESHVSDDDDDQALAESTRTKDYRKMAVLYMLLSACVADVNMAEEGMGSPRVTKGYDARHRVALRLLATWLDVTWNKMEAVEIMVACSAMAAAKEEEQSRENSSPNSRWENWKRGGIIGAAALTGGTLMAISGGLAAPAIAAGFTALVPTLHTLVPIIGASGFAAIATAAGHTAGSVAVAASFGVGIFVSGFAFSEDDYSKPWEGWKTNLERYVLQWESKHVIGVSTAIQDWLASRLAIELMREGAMQTVLNGIISAFAWPATLVSAADFIDSKWSVAIDRSDKAGKMLADVLLKGLQGSRFFFPESNIGPGTTKMIPGLSLWSGARVVFKCLQELAQLGNNVGIVERAVLIGAPVSVQGEMWEPARKMVAGRFVNVYSTNDWILGVTFRASLLTQGLAGIQDVHVPGVENVDVTELVVGHSSYLSLLEKILDHLELNTYYPVFHPCTK >Sspon.08G0022660-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:52605071:52608671:1 gene:Sspon.08G0022660-3D transcript:Sspon.08G0022660-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-galactose/UDP-glucose transporter 7 [Source:Projected from Arabidopsis thaliana (AT4G31600) UniProtKB/Swiss-Prot;Acc:Q94B65] MGAEAGGPSSILSLAAAFSYGVASMAMVFVNKAVLMQYVHSMTLLTLQQIATALLIHFGQVLGMSKRKDFSLITAKKLLPVSIFYNANVGFALASLKGVNIPMYIAIKRITPLAVLVAGCMRGKGKPPTQVILSVICTAIGVLIAALGDFSFDLYGYCMALTSVFFQSFLRQGYVSLYLTAECICIMQTMYLILVEKSGAEDGLSSVDLMFYNSILSLPFLFFLIIATGEFPHSLTVLSAKAASLTFSVILIISLVMGIVLNFTMFWCTIVNSALTTTIVGVLKGVGSTTLGFVLLGGVEVHALNVTGLVINTFGGIWYSYAKYKQKKKTPRKIQHDVESHAHKRMGNPS >Sspon.08G0016110-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:63788681:63796000:1 gene:Sspon.08G0016110-1A transcript:Sspon.08G0016110-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMDFGAPADDPKVFRNVCRDRTPTRALLISRILKDLLKPDKDKETKSSWKVLIMDKFTVKIMAYACKMAEITDAGISLVEDLFKRREPMPSMDAIYFLQPLKENVIMLLSDMSGRCPLYRKYMNLEFFAIDMQGFVTDHDTALNDLYGPSEQNSKKFNDTISTMATRIATTFASLKEFPCVRYRAPKGDASTTTKFDMVPKWLATAVCDIVSKYKSTIPEFPQKETCELLIVDRPIDQIAPVIHEWTYDAMCHDLLEMDGNKYIYEVSKMDSEPEKKESLLEDHDPLWLELRHAHIADASERLYEKMNNFVAKNKAAQLSRDGGEVSTRDLQKIVQALPQYSEQVEKLTLHIEIAGKINRCIREYGLRDIGQLEQDLVFGDAGAKEVISILRSKQDMSPENKMRLLIIYAIVYPEKFEGDKGEKRKMLPEQTNMMVRKHGLYHELIEKLSKGELPLKEYPSMSEPSSVPQGATQTASSTARPAQNPQPMSMRSRRTPTWAKSRNSDDSQSSDSSVLRHASGDFKRLGNRIFVFMVGGATRSELRTVHKLTMKMKREIVLGSSSIDDPPQFISINRKGIRTF >Sspon.02G0005540-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:17466721:17469584:1 gene:Sspon.02G0005540-1A transcript:Sspon.02G0005540-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GAKGKYWVASDKAEKRAAKEAGGEDGRALLFRKYKVKGALLHPYRLLIIIRLIAVLVFFAWRIRHNKSDIMWFWTMSIVGDVWFGFSWLLNQLPKFNPVKTIPDLAALKRHFDFSEGTSRLPGIDVFVTTADPIDEPILYTMNCVLSILAVDYPADRLACYLSDDSGALILYEALVEVGKFAPLWVPFCRKYSIEPRAPESYFEHVAPPQAGRVTQEFLNDYRRVQMEYDEFKVRLDNLPDTIRKRSDVYNSMRAAEGDQKATWMANGTQWPGTWIDPTENHRKGHHAPIAKVVLDHPSRGQHHESNLSIGTTDERLPMLVYVSREKNPSYDHNKKAGALNAQLRASALLSNAQLVINFDCDHYINNSQALSSAVCFMLDQRDGDNTAFVQFPQRFDNVDPTDRYGNHNRVFFDGTMLALNGLQGPSYLGTGCMFRRLALYGIDPPHCRAENITAEASRFGNSTIFLDSVSKALKNDRSITPPPIDDTFLAELERVVTCSYDNGTDWGKGVGYIYDIATEDIVTGFRIHGQGWRSMYCTMEHDAFCGVAPINLTERLHQIVRWSGGSLEMFFSHNNPFIGGRRIQPLQRVSYLNMTVYPVTSVFILIYALSPVMWLIPDEVYIQRPFTRYVMYLLLIVVMIHVIGWLEIKWAGVTWLDYWRNEQFFMIGSTSAYPMAVLHMAVNLLTKKGIHFRVTSKQTAADDNDKFADLYDFRWVPMLIPTMAVLICNVGAIGVALGKIVVNIETWTAAKKMHAALGLLFNIWIMFLLYPFALAIMG >Sspon.01G0058880-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:36036311:36038736:1 gene:Sspon.01G0058880-1D transcript:Sspon.01G0058880-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASASSPPAGEEKQEAAPVKHCKGVNDLDKVLLHEVRGSSAELKDIMTTEARPWTVVRQTTT >Sspon.03G0001160-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:3016621:3019088:-1 gene:Sspon.03G0001160-1A transcript:Sspon.03G0001160-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQLFLQVPAECGSVNTIAMEERRSLCRNVESIIKSVTKSAGRYEARLWLCATVSLVHSLSHRGQRDLFLDLLEMKNSRRDVAARLLRMIFDKKPKMVGSILARKGHILEEFFRGNPKRIMQWFGHFAVTGESTHKKGAKALSQFAFVNRDMCWEELEWKGKHGQSPAVVATKPHYFRDLDVLQTVENFLEYVPDFWSSDELANSIKDGEILQIDAEYFVAQFLYLMYEENSKDAWHVVEDFLMDEQFSSLCQHLLIHLDEQRLLDFLKSLGKLINPTLQCKELTFPCCWLEVLLSGHCDHISLDDLILLNCVIAKGRQLWRLMKDEEQHEELGQMEELLKGVNHLTDADHFALMKELVGTELPDALRWIGIQSWVIFCDLSKECKSGDSCESLFSRNKIEFRKADVYSLVQNDGFSISHMPDTDDEDLTGSSHKRRKGNRRRKRHRCESDEDKLDELLELGSSDGKNDVESQHGSWRLSTDGFSASWDIADIPDHLSTYYFTVWVNNKVYEHGAQAGIFLHQIYNILVMGS >Sspon.06G0013320-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:57569232:57570855:1 gene:Sspon.06G0013320-2B transcript:Sspon.06G0013320-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHEHGDLSLTVECTLRTDHFSAATELISGTKSPRRQEVTAPLTLRTMEGFCFARCRFTRVMAVMQLAMGVFVMIISMASLHQFYTTHSLLPGLDIDPAHCAKFHTDTAGYASFDIRALADRVDDVLVQLAELQDKLEATALKVAKKTKKSKARRKPQENMTMPEFRRFLEDEVIHPLYSAHIALRLIRIPRPDDGDGDASTTPAVDPLVNFFTAEETRKYVTAKGNRDGLPSVYGTNRTYSTIGHACVLMRRELDEYMSYDVGSHCPDDWDLGQRLMLGGCDPLPRRRCLARLPSSSTARCLSTSRSGRCPTTATSGGAATTVCLSARNQRRGYDRCVGCFDMDREKQRWVGAVSTNRTASLADFRIDDVLAAKPGEVRIGLDMSVGTGSFAARMRERNVTIVSAAMNLGAPFAETIALRGLPAVAAVRQHHGLVHTAGFFEGWVDLQLLDFVLFDWDRVLRPGGLLWVDKFACARKDLDDYMYMFLQFRYKKHRWVVSFKSKDEVYLSALLEKPP >Sspon.01G0022350-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:93882517:93884758:-1 gene:Sspon.01G0022350-2B transcript:Sspon.01G0022350-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASVATVASPSSPASRRRLLPLPSSAAPSLLRLPSRPARRLRRVALRVAAAGDEADVLPGPGAEGEAAVPGRLEGQRDEPPLGGSQLDIGGLAFQGDVGGGFTGGGSGSGSGAAAGGDGNKMLDRGINTAIVLGASTYALTKLLTVDQDYWHGWTIFEILRYMPEHNWSAYEEALKANPVLAKMMISGVVYSLGDWIAQCYEGKPIFDFDRARMFRSGLVGFTLHGSLSHYYYHICEALFPYKDWWVVPAKVAFDQTIWSAIWNSIYFVVLGFLRLESPTTIYSELKSTFWPMLTAGWKLWPFAHLITYGVVPVEQRLLWVDCVELVWVTILST >Sspon.04G0009250-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:26780445:26781174:1 gene:Sspon.04G0009250-1A transcript:Sspon.04G0009250-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Molybdopterin synthase sulfur carrier subunit [Source:Projected from Arabidopsis thaliana (AT4G10100) UniProtKB/Swiss-Prot;Acc:Q9S7A3] AMAKNPTDAAPEELEEEMPPAAAEEQAAPAATVKVLFFARARDLTGVADSAVEVPPGSTAGECLARVLAQFPKLEEIRGSVVLALNEEYAAESTAVADGDELAVIPPISGG >Sspon.08G0012870-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:53406534:53420633:1 gene:Sspon.08G0012870-4D transcript:Sspon.08G0012870-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MINMDSNVLKNIEWECLVVDEGHRLKNKDSKLFGQLKDYNTKHRVLLTGTPVQNNLDELFMLMHFLEGESFGSITDLQEEFKDINQDKQIEKLHGMLKPHLLRRFKKDVMKELPPKKELILRVELTSKQKEYYKAILTKNYEVLARRNGGHTSLINVVMELRKLCCHGFMIDEPDFEPANPEEGLRRLLDSSGKMQLLDKMMVKLKEQGHRVLIYSQFQHMLDLLEDYLSYRKWSYERIDGKISGAERQIRIDRFNAKNSTRFCFLLSTRAGGLGINLATADTVIIYDSDWNPHADLQAMARAHRLGQTSKVMIYRLVSRGTIEERMMQLTKKKILLEHLVVGRLTKANNVNQVLLDRDQVDGDESVEDEEEDEFLKGFKVANFEYIDEAKAQAEREEEARRKAAAEAENSERLNYWDELLKDRYDVQKVEEHTAMGKGKRSRKQMAAADEDDIDLSSEDEDYSFEDDVSDNDTTLQGNVSGKRGQYSKRKSRNVDSIPLMEGEGRTLRVLGFNHAQRAMFLQTLNRFGFQNYDWKEYLPRLKGKSVEEIQRYAELVMAHLVEEINDSECFSDGVPKEMMRVDDVLVRIANISLIEEKVSATGPGKITNIFPNYLLYEFQGLSGGRIWKAEHDLLLLRGILKHGYARWQYISDDRENGLFEAARRELNLPSANEIIGAQSNNEANGNLEGAQEGQVNSTSMSHYKEIQRKIVEFLRKRYHLLERALNLEYAVITKKIPVPDDITEQGVPAGHVPLLPDISELLRELPNLEPISTNEVAPEGTAGQSQVPHLYNKMCGVLEESGGSAISSFFGDKSASSSLANSLRQFETVCENVVEALRPQQNGTASAIKEEVVDAATKAAAVPAQDSSHEAANGQSSTAKADMEIDG >Sspon.03G0025670-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:407161:409630:-1 gene:Sspon.03G0025670-1B transcript:Sspon.03G0025670-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSLLPPPFVSVSPRPHPHPRAGFPVLKPRRAALLAATGGDATPTPAPDANTNPLAALVEAPRALWRRTLQPFGDYGFGKRSVWEGGVGLFMVSGAALLALALAWLRGFQLRSRFRKYNTVFEFTQACGICVGTPVRIRGVTVGSVVRVDSSLRSIDATVEVEDDKIIIPRNSVVEVNQSGLLMETLIDITPKDPLPAPSVGPLHPDCTKEGLILCDKERMKGQQGVSLDALVGIFTRLGRDMEEIGVSKSYKLAEKVATIMEEAQPLLTRIEALAEEMQPLLSEVRDSDLLKDVETIAKGLADASGDLRKLKSSMLTPENTDVIKQSIFTLIYTLKNIESISSDISGFTGDETTRRNIKLLIKSLSRLL >Sspon.05G0019550-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:85649844:85655467:1 gene:Sspon.05G0019550-2B transcript:Sspon.05G0019550-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:IQ domain-containing protein IQM3 [Source:Projected from Arabidopsis thaliana (AT3G52870) UniProtKB/Swiss-Prot;Acc:Q9LFA4] MEAAAAAGSGGSGAATKLQKVYRSYRTRRRLADSAVVVEGLWWKALDFARLNYNTISFFDEPKPKTAASHWNRISQNALKVGHGLSREDKALKLAFEHWIEAIDSRHRSGHNLHFYYNLWCQSQAGQPFFYWLDVGDGKDVDLPECPRTLLKKQCIRYLGPKERELYEYIINEGKIIHKHSGEPLDTSQGPKGAYWIFVMSTTRRLYAGKKEKGVFQHSSFLAGGATIAAGKFTVKAGVIKSIWAYSGHYKPSTEDLRNFMKFLEENGVNVKEIEMRPFTKGDYHNDSMPNETQMVVVTNPSQVILSTDTKEGGEDKDAPTEQAKLTYRRNLSGGLHSSKDTNVPQKAILERIKSKSESESYQLGLKLSLKWSTGAGPRIGCVKDYPTELRIQALEMVDLLAGASTVPHTSLLAQAEECHDPNSRLEA >Sspon.04G0010340-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:30031183:30031803:-1 gene:Sspon.04G0010340-2C transcript:Sspon.04G0010340-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVTAASRAEVDTSRAFQSVREAVEVFGERRHGGGNGSSNGSSAPPAAASPSSVMLECLKKLEEDLAEAKGEVVELRQRQAQMEVAVSSLSVQFSKGLAVYSSLSKGKEVAVVVGEEEDSGGHGRVRSDRWDESRAEEWVASLEYLPSLSEALAIKMVEDDLGDRRKERKVKKKNKAASASRTKKHKSGGLSLQLVGGMFCSKKAKS >Sspon.04G0014500-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:56899184:56903325:-1 gene:Sspon.04G0014500-2B transcript:Sspon.04G0014500-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aspartate aminotransferase, mitochondrial [Source:Projected from Arabidopsis thaliana (AT2G30970) UniProtKB/Swiss-Prot;Acc:P46643] MATLSRAASSAARRPALLPPRLLAARAMASSLFGHVEPAPKDPILGVTEAFLADPSPDKVNVGVGAYRDDNGQPVVLSCVREAERRIAGNLNMEYLPMGGSIKMIEESLKLAYGEDSGFIKDKRIAAVQALSGTGACRLFADFQKRFLPDSQIYIPTPTWSKSYRGTMERNIHQFKVKKHFPFFDMAYQGFASGDPERDAKAIRIFLEDGHQIGCAQSYAKNMGLYGQRAGCLSILCEDEMQAVAVKSQLQQIARPMYSNPPVHGALVVSIILNDPELKSLWLKEVKGMADRIIGMRKALKENLEKLGSPLSWDHITNQGTVFEQIGMFCYSGMTPEQVDRLTNEYHIYMTRNGRISMAGVTTGNVAYLANAIHE >Sspon.04G0012530-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:41876219:41876710:-1 gene:Sspon.04G0012530-1P transcript:Sspon.04G0012530-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ASRSPTIAVVLILAYLSSPATAFNVTRLLGEFSDFTTFNNLLSQTKLAEDINRRQTITVLAVDNSAAGGISFLPSDVQRKVLSMHVVLDYYDTAKLGAIKNHSALLTTMFQSSGQATDRMGFLNFTKRSDGVMVFGSAQPGAQMTSHMVKSVASRPYNISVLQV >Sspon.01G0051760-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:361002:361684:-1 gene:Sspon.01G0051760-2D transcript:Sspon.01G0051760-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVSSVFVLPLAPLRPLPLRRAARNVLEGGGRRASSPLFLARYGLRRGRPAAAAAAVAGEAELPLEEAEAAMRVAADDDSITATVVSVLLTVAFVGLSLLTLGVIYLSVQDFLQKREKEKFEKEEAERQKEEARKKRAKSRQKRRNY >Sspon.06G0020570-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6C:10487979:10488272:1 gene:Sspon.06G0020570-2C transcript:Sspon.06G0020570-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPQRGEEQLARRAGGGVNDDAGAAACLCPAAIAGAARGSLVPPRHALEQEVLRRAELQLHVGGGGGDRRRERKMKNRESAARSRARSHAYVSELEKE >Sspon.04G0033400-2D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4D:58647002:58647553:1 gene:Sspon.04G0033400-2D transcript:Sspon.04G0033400-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTVDLSPGTPPPPPPPPGHRGCCTSGATVELVGAFTAVCLVLYGVILYMNYLYVRWSGRDGVHRTDSAAGLPPRKRPGGGIDKAALAAMPVLRFKADAHGGGDSPMECAVCLSAMQDGDAVRALPGCRHAFHVACVDAWLCARATCPVCRARPALPPPQQAPKAGAKVPGSSGRQPDLESQV >Sspon.01G0023630-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:84938690:84942693:-1 gene:Sspon.01G0023630-1A transcript:Sspon.01G0023630-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMHYWDVVYVTFLALGVGAIMVLRWRNGGRTSGEARLPPGSRGLPFLGETLHYLAASSTLGLLPPFFEKRLERYGPIFRTSLVGEDLIVSLDAELNAHILKQEERGFKIWYPPSFMRVFGADNITSKIGVLHRYMRTLVLRLFGHQSIRSVLLHDVQQSARDELRSWLGRPDVEVRTATSRMIFGVTAKKLISHDDAASGGRLWECFHDWTSGLLSFPVPIPGTTFYRCMQGRKNVMKMLKQQLGERRNAAERETVDFFDLVIDELNKPNPMMDESTALDLLFAMLFASHETTSMVLTVILKYLTDNPKALQELTEEHDRILERRVDPDSDITWDEYKSMKFTSHVIHESLRLANIALVMFRKADQDVHINGYTIPKGSKIMICPLASHLNMKVYEDPSVFNPWRWKDIPEPVGASKDFMAFGGGLRLCAGADFSKMQMAMFLHYLVINYRWKTVSGGTMVFYPGLQFPDGFHIQLLRKI >Sspon.04G0000360-3C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4C:1690767:1691387:-1 gene:Sspon.04G0000360-3C transcript:Sspon.04G0000360-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLSASAASILLHAFVLTTLLLAATVSITTAFVPVVDHRDDVNTTMFTNGSLTSTPSAENWEMYYCFLCTGRHPLLIHYCPIYWDECHLNCWDVIPEASAPPAPVPPSSAHPTAVQGKECYVMKLYMSGRYVIVERLSCDGIASCFLTCGGGELDDQKVAMGTATTTVPATTTAAIQGSFVPFELCNTQVNALRAPPSAGVVVPAG >Sspon.01G0033900-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:102760669:102762731:1 gene:Sspon.01G0033900-2B transcript:Sspon.01G0033900-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SNSMRGGYTGRGGFAGGRHSSRSNDGRGYLGNPCLTMHQPWASLLVHGIKRVEGRSWPSPLSGRLWIHAASKVPDPDTIKAMENFYREIYAVNGITDIKFPHHYPVSPATGCVEVVGCLRSEELVCWEHVQMQVRLEGLTDFCWLCEFMQKLVVPFEMRGYQGVYNLERRVSISQIYDGAVRGLSPVQGPLPVKFPLPDPRDPFSLKPGSLTFAASKSTLQKSASVTAAIAGARAAATQFSKKDHKAATGSETGTQEQSWGSHGGSSSVDGNVPSIVHGSSAYLQNQNQPSIFQSTPAYSQNPNSEPRRSPRLEFGASNRVSIDIFFSF >Sspon.04G0027070-1P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4B:55726383:55726697:1 gene:Sspon.04G0027070-1P transcript:Sspon.04G0027070-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MACFGCSCRVLILVFINTVGIGGTAFVVHTLVRGMKAPHVILMLLVCVSASIYPVFCVTFFPWSSLGRCLRGVGVLLCLPCGRIRRAWSAAGDDGDSGFDLPEIV >Sspon.01G0012280-2P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8A:64986636:64987379:-1 gene:Sspon.01G0012280-2P transcript:Sspon.01G0012280-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSQGIYSGIPWCMLFVDDVVLVDDSRAGVNRKLKLWRHTLESKGFRLSRTKTEYMRCDFSATRHEEGDVSLDGQVVAKKDTFRYLGLMLQKGGDIDEDVRHIISAGWLKWRQASGVLCDKRVSQKLKGKFYRTAIRPAMLYGAECWPTKRRHVQQLSVAEMRMLRWCCGHTRRDRVWNDDIRDRVGVAPIEEKLIQHRLRWFGHVQRRPPEAPVRSGVLKRADNVKSGRGRPKLTWDESVKRDLKE >Sspon.07G0024430-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7B:25221702:25222150:-1 gene:Sspon.07G0024430-1B transcript:Sspon.07G0024430-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDEMRMAAVFGEAKPEKSDNEADALPCRPVLFHAYSHSQGGLRVIATDLHSLAWHSSLDLDGLRDLQDDVGIAGSWSDFLDYLNSSLSSGHVRLLFPDAAR >Sspon.06G0000940-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:940902:942089:-1 gene:Sspon.06G0000940-2D transcript:Sspon.06G0000940-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPINGRRLLLLAAVALAGAAVDSVTAQPGKGPDYFNPKNFNPSMAIVMVVLVTAFFLLGFFSIYLRRCAGPPLGVGGPDDDDYPVGLGLGRVGAGITYAASRRMRGLDRATETEDQRVRGEEAAELMRIGSVKRALRTKSGRRPAHQFRRSHTTGHSLAAPSHSPSSTSDSERYTLRLPDHVLREAVAAGNLRRSASVQTGGGGDGTTTRRGFGVARAASGRSVRLGNSGRWPNMSLLARTFSARLPVWGSARRGEADAPAKGAKFAGDDGKAAVEQCDGGACSLGAHV >Sspon.01G0037610-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:23728423:23728959:-1 gene:Sspon.01G0037610-1B transcript:Sspon.01G0037610-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVQPRVAPRRHPPPPAVPPLPAAPSSQAPAARPQLPCKRSRADAVAVAHGRNKERVAESCCPSSSRLEQLELVLVDDDSGEEDDGCGSCVDGAGGAGGGQDDEEEESGSRGVAWWSQESGGGGRRCSLWANGSRATEGGQLRAGGEHDDEDPTVAAARRQEDDRKFWEACLASGYP >Sspon.07G0020970-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5C:89350179:89350984:-1 gene:Sspon.07G0020970-2C transcript:Sspon.07G0020970-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGKDNHHADKGCYPPIGYPPVHSTGSYASAPAVAYPYSYAQHGSICPPPLGSYPYPSPMYSSHNGYPPSRYPSYNQSHGSNMGATLVGGAAAAAYHVTHGHHPHGHHLGHFGKFKHHHHGYYGKFKHGKFRKHIGFGGKHGLLRWKHHHHGFFGGKYKRRK >Sspon.04G0010500-4P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:34602710:34605457:-1 gene:Sspon.04G0010500-4P transcript:Sspon.04G0010500-4P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDSELSQGTLVFGLHLWELVGIGVGAAFVLLLVLLSLLCLLASRRRRRRRAAPVLQLPVVVPNAQPKHPAAKPPKDIQEVPSRGAAAPAAAPAKAPLAQVLQAPPPDSIQIETGKEHRITFPEQPPPPHHQRSGGPSSRGASGESRGGGGEPGVPEVSHLGWGHWYTLKELEDATCMFADEKVIGEGGYGIVYHGVLEGGVQVAVKNLLNNRGQAEREFKVEVEAIGRVRHKNLVRLLGYCAEGNQRMLVYEYVNNGNLEQWLHGDVGPVSPLTWDIRMKIILGTAKGLMYLHEGLEPKVVHRDVKSSNILLDKHWNAKLSDFGLAKLLGSERSYVTTRVMGTFGYVAPEYAGTGMLNETSDVYSFGILIMEIISGRVPVDYNRPPGEINLVEWLKTMVSNRNSEGVLDPKMTEKPTSRALKKALLVALRCVDPEARKRPKIGHVIHMLEVDDFPYRDERRGGKAPTQARSVETPASEPGDSSENNTPKDTPKGEPFNWRNQED >Sspon.01G0032990-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:111899137:111900867:-1 gene:Sspon.01G0032990-2P transcript:Sspon.01G0032990-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPPPPPASTAAAPAPASRAAMLHSVNTLASFSGCDTLADFLDQWNSVVLDVASFAVLFPGPRYNPKPYLPAPEPQPGPAPEPDKEPEPTLAPQPEPGPNAAPEPAPEPEPRWEREPSPFPEPAPATQPSPATEPAPTPQPESPAPEPVPNPDRERKDGGGDASAAELEHRCQQMNCRGLRSFVTAHVRDGGGEWLRQVGPGALRRAPDPAALVLRAVGRYYIRAESPDVEAACTLLLELYVRAGCPRLRWGQGRDAELLLRQEAREVALTWRSRLLRVSGAVGDAGGAAGARGLAFFMAAFGVPVEFPAQELCDLVDAADVAACVEVFKASKLFVRKMRDVVIEMINKAMYLQAMRIILAFEFQEAFPLAPTLALIIEKLEHDTKDESEGQALERDEEDLALLSSISKCMEDHKLSPSEFTSFAAKIALLEERVGKPKQACTGVKRKRAEECV >Sspon.05G0023400-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:15923695:15927144:1 gene:Sspon.05G0023400-3D transcript:Sspon.05G0023400-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding TGIGVSAPCPPLPFLGSVPSSLVQSSAAIYATPSRGGNGQCQRLTLRPRRATACALSLSRTSSSTSTLHWPLLPFRVLEREILPRGMAASRAWLARATAAAVLGFVLVVASAEAASGDVEMVFLKVAVAKGAVCLDGSPPVYHFSPGSGSGADNWVVHMEGGGWCRNPDECAVRKGNFRGSSKFMRPLSFSGILGGSQKSNPDFYNWNRVKIRYCDGSSFTGDVETVDTAKDLHYRGFRVWRAIIDDLLTVRGMNKAKNALLSGCSAGGLAAILHCDRFRDLFPATTKVKCFSDAGYFFDGKDISGNYYARSIYKNVVNLHGSAKNLPASCTSKQSPDLCMFPQYVVPTMRTPLFILNAAYDSWQVKNVLAPSPADPKKTWAQCKLDIKSCSPANSQPCKVNFRTDFLAALPTTPSVGMFIDSCNAHCQSGSQDTWLADGSPTVNKTQIGKAVGDWYYDREVSRQIDCPYPCNPTCKNREDD >Sspon.01G0032260-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:105425120:105427156:1 gene:Sspon.01G0032260-2B transcript:Sspon.01G0032260-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDRGRTCWRLERIEDARARGLKKHLKRLNAPKHWMLDKLGGAFAPKPSSGPHKSRECLPLILIIRNRLKYALTYREVISILMQRHVLVDGKVRTDKTYPAGFMDVISIPKTNENYRLLYDTKGRFRLHPIRDEDAKFGQKGIPYLNTNDGRTIRYPDPLIKANDTIKIDLETNKIVDFIKFDVGNVVMVTGGRNTGRVGVIKNREKHKGSFETIHVEDSLGHQFATRMGNVFTIGKGNKPWVSLPKGKGIKLSIIEEQRKRDAAAQAAAA >Sspon.08G0005400-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:13356534:13368772:1 gene:Sspon.08G0005400-2B transcript:Sspon.08G0005400-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VIGVYDWSGNDPVIPELWLVPRFLPIHPGRFWCFTRVTYMSIAFLYAKRFVGPITPTILALRNEIYDLPYDEIDWNKARNTCAKPFWCFTRITYMSIAFLYAKRFVGPITPTILALRNEIYDLPYDEIDWNKARNTCAKEDMRYRPSAIYKTISNFLNTFVEPMLNSWPMNKLRERALNHILEHIHYEDETTQYIGLCPVTKDLRTKISTNIDNQALNMICCWVENPTSDVLKRHLSRIHDYLWIAEDGMKTKIYDGTQNWEIGLIIQAFLSANIIEKYVPEMDKALRYIKKAQVTRNPPGDPRYWFRHRSKGSWTLSTVDNSWASSDSSAEVIKAILLLSKVSHNLIGNAKEWLFDAIDCPLTFRNKDGSFSSFECQRTYSWLEILNPLESFRNLVVDYPTVECTSSIMHALILFGESYPRYRSEQIREYVNKAAIYIENNQKKDGSWFVQIHLLFCTIYMYGTWGICFIYGTFFAIKGLIAAGRTYQNNVCIRKACNFLLSTQLKTGGWGESYLSCERELETGDYPQQEHAGNTNSSVYFNYPNYRTLFPIWALGEEILFLCHRLMHTTTAKKRSVSCSYVVIATTAIS >Sspon.06G0010050-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6A:54429739:54431100:-1 gene:Sspon.06G0010050-1A transcript:Sspon.06G0010050-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAELLLPMAVDDTLPGSYVRPESQRPRLAEAVTGARIPVVDLASPDRAAVIAAIGDACRSHGFFQVLNHGIHADLIAAMMAVGKTSSDCPEEKAKLYSDDPARKIRLSTSFNVRAYFKEVRELGFRLCAAISENAAYLKQTPLGHEVQHVAVNLYPEPELTSGGLPAHTDPDALTILLMDQDVAGLHVLHGGGKWVAVDRRPGALVVCIGDQLRALAERSRDLSIDGAVFQREPGALGQGVLDPNGSVSVHALLCYSDGLVLPLDLESIIAARETFMRSSPDAVLVQVRVVESVGAKAGDPFVLGGAGAKATGEDGGRSNGRRGSRDRENPLGILGIMAASLAITASVPAAAGAITPAMAFGYALLMFTGVSVAIASIRGA >Sspon.03G0043990-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3C:86001031:86001342:1 gene:Sspon.03G0043990-1C transcript:Sspon.03G0043990-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQCMRCDATRPKRYAELSRQRARERWPRWAAWASERDRRAAGPASIGCLVGARAPRGPGPGARNGTGSSGICICKCEPCRPSPATFRGPGLPDYPPYPVEAL >Sspon.03G0023680-3C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3C:90823193:90824869:-1 gene:Sspon.03G0023680-3C transcript:Sspon.03G0023680-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTASSTHRSLLLCLCLCVALLSNSAAALPSPRDLRFSVEDIAAVEAALPRHSRASSSKTTFFEVDRPLRPPRGSSGPCSTLLLSHSFAFTLTKPPVTAAYSPPPCLVSGASSVSLAVLEWRAECRGAQYDRTFGVWLGGAEILRGSTAEPRPGGVAWSVSKDVTRYAALLAAGNATLAVYLGNLIDDTYNGVYHANLTLHLYFRPRGGAGALSSPPSAADAVVPVSRSLPLNDGLWFVVQNATDVQSARVAVPRNAYRAVLEVYVSSHDADEFWYMNTPEQNGPFREVTVLLDGDVVGAVWPFPVIYTGGINPLIWRPITSIGSFNMPTYDIELTPFLGKLLDGEDHELGFAVTNAQRSWYVDANLHLWLDPKSSKTTGGLIAYDAPKLAGSIVSHSADGIDGEYEATASRNITSTGWVSSSRGNVTTTFAQRLSFSNTNVVSDHGSAQAINQTTDALTSVTGGAASVQQQVQQSFPLYIFLGGDGSGTSSQRLMRRVEIGFDETRSRGGGAATSMLRNSQAAAAEVTLRDDAVVGASWRMHQTYAYGASDGGCYLRN >Sspon.08G0006480-2D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8D:17836891:17837502:-1 gene:Sspon.08G0006480-2D transcript:Sspon.08G0006480-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFVQFAPHTSPKSNALPFPSSPGAQRNSSARSPSPSAIHPASLLPVDPIDPQFSSAMVMYLPRRSSSAGMRSIRRELQRRRSKPLAPTRSVANKKPPCHRRRVTVRATPSGVHALVHRIGRSHRRPTPIPKAQPSPTRPRSPGLALHPRLSTAAASVRPIVFGQFRARSYTWRPRASEARDGGSCPHEDCDAEDGAGSRALP >Sspon.04G0016990-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:70754839:70760760:-1 gene:Sspon.04G0016990-4D transcript:Sspon.04G0016990-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGRSRALSLSSLCATTLAAAKPPQHPFPFAPAHRALPHRLAAAMSSSSSPTPAAPAASGDAGAPAPAPAPSASNAIDFLTLCYRLKTTKRAGWVKRGVQGPESVADHMYRMGVMALVAADLPGVDRDRCVKMAIVHDIAEAIVGDITPSDGVPKEEKSRREKEALDHMCELLGGGSRAQEIRELWMEYEENASLEAKVVKDFDKIEMILQALEYEKEQGRDLEEFFQSTAGKFQTDMGKAWAAEIASRRKTKRYFKAALRRSLTLGKHLHATVRRDRSPRLLADAPLDGPLVGEAVDEVVEDVDGVLRVALDAQRVLPDREHLHARLLRVGQHRRRRRDLPHLVLVNLDKGGAPGEVVLDEIPDEELEAAALQEVLRERHLPHPDVPAVPSPADPSAQRSAQNLVPEADAEDPLPHGVDGLDERAEAQDPRVVAVRVVRAAAHHEAVVRRQVLRRGELAGDHAEAVPPLVGPTAGVAERSDEDVYPPYTSLAYSESQSASSSA >Sspon.02G0048860-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2C:30171537:30171776:-1 gene:Sspon.02G0048860-1C transcript:Sspon.02G0048860-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAACSLARKNQASAYWTWRESEAGRWCRWSSMGRDRVEETTVAELEPDDGHGWRLRSASRARERAEDRETARTNEELCA >Sspon.05G0004630-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:13800445:13804096:1 gene:Sspon.05G0004630-1P transcript:Sspon.05G0004630-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMVEGPNFAGMVGGHDNGGNFCDMAYYRKLGEGSNMSIDSLNSMQTSTHGGSIAMSVDNSSVGSCDSHTRMLNHPGLKGPVVGNYSVGGHSIFRHGRVSHALSDDALAQALMDPRYPTETLKDYEEWTIDLAKLHMGMPFAQGAFGKLYRGTYNGEDVAIKLLERPEADPERAGLMEQQFVQEVMMLATLRHQNIVKFVGACRKPVVWCIVTEYAKGGSVRQFLAKRQNRSVPLKLAVKQALDVARGMAYVHGLGFIHRDLKSDNLLISGDKSIKIADFGVARIEVKTEGMTPETGTYRWMAPEMIQHRPYDQKVDVYSFGIVLWELITGMLPFANMTAVQAAFAVVNKGVRPAIPQDCLPTLAEIMTRCWDPNPDVRPPFTDVVRMLEHAEMEILSTVRKARFRLRSAEVESTPECMAVCVYTQDDVPDNVVWDILNNVPFDRELAIPSVILP >Sspon.05G0012820-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:77315647:77319097:1 gene:Sspon.05G0012820-1P transcript:Sspon.05G0012820-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding HGHGQARGEGEGVLDEPMAGVRGRHVDAVHGRHHVHLRRHLAGGQGQAGYDQRQVAALGVAKNLGGCLGLLAGALSATWPPSALLAIGAAQNFAGYGCLWLVVDGKAPALPLWLMCVVIFIGTNGQTYMITASLVTSIQNFPKSRGPTVGILKGFMGLTSAILTQVYAVLNTPDHAALIFMVAIGPSLVAVGLMFVIRPVGGHRQVRPSDKNSFMFIYTVCLLIASYLVGAMLVQDFLQPSYDVVVFLTVILFVLLISPIAIPVILSLTPEKAQHLMEDALLSEPLTGEASTSRQKEDQPEVILSEVEEEKPKDTDSLPPSERRKRIAELQAKLVEAAARGGVRIKRRPHRGDNFTLMQAFVKADFWLIWLSLLLGSGSGLTVMDNLGQMSQATGYKNAHIFVSLMSIWNFLGRVGGGYFSEIIVREHKYPRHIALTICQIVMAVGHVLFAMAWPGTMYIASLLVGLGYGAHWAIVPAAVSELFGVKHFGAMYNFLILANPAGSFIFSELIVSNLYEHEAEKQAHQHQMSAFLSPQLLHNMGFLADGPLKCEGPACFFFSSLIMSVFCAVAAGLSLLVVHRTKQVYPRLYSSVRT >Sspon.01G0038070-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:3756833:3761027:-1 gene:Sspon.01G0038070-2C transcript:Sspon.01G0038070-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIDLDVTMCERRRRLTDFRHFFFDPPCFLHRVGFRRSFCLSSITRRRGVLLALTAESDRCVKDASAVRDSCISQDAPERKIPRSSSGVIHQQTPEKNISKSSTGVIHHQTPEKKISKVLPAPKVEPSKDVTDSTKVPRDTSKEGEKADEVEKAKSCQLEFGSYCLWSIEHKEIMKDHTVKRLKDQLFVARSYYPSIAKLQGQEALTQEMKQNIQDHERILSVSTVDADLPSFINRRMKQMERTIVRAKSCTVDCKNVDRKLRQILDMTEDEAHFHMKQSAFLYNLGAQTLPKSHHCLSMRLTLEYFKSSSLDSDDSPGKFSSPEYRHYVILSRNVLAASVAVNSTVSSCKEPGHHAFHILTDAQNFYAMKHWFARNSYKNAAIQVINYEAVILEKLPKYTIRQLYLPEEFRVLIRSIKQPTENTRMKYLSLFSHSHFVIPEIFKYLNKVVVLDDDVVVQRDLSFLWNIDMGDKVNGAVEFCGLKLGEMKNVLGKTAYDPKSCAWMSGVNLINLDKWREHNVTENYMLLMKKFKVKDELSLRAAAFPLSLLSFQHLIYPLDEKLTLAGLGYDYGIDEEVARRSASLHYNGNMKPWLELGIPDYKKYWKRFLVRGDRFMDECNVNP >Sspon.04G0014390-3D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4D:59594259:59596423:-1 gene:Sspon.04G0014390-3D transcript:Sspon.04G0014390-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAREEQVMGEVKGFRLESEEGEVGDDEEDEGEEGDDDGGSTTDVGGGSGSSSNNSSTNNNSESKKADGKGGSKSEVNGEQRVPSVRQYNRSKLPRLRWTPDLHMAFVHAVERLGGQERATPKLVLQMMNVRGLSIAHVKSHLQMYRSKKLDQDGRPRGAVSSVYSPMDFHFMRGDRRFHDMSFFQRAAALSSSRLERGSFFASRNCSAPELSRLYGLLHHRPAPTQTFDFRNSSFRNHEWASSNQQEAITISRNHVTPPSTSPQTHTHSLASSAALRSDRRWWPFTDAGAAAAVAAGEHRAETGTNVKFDSCIGSSSRPLPLAMSPAAVSGDRRLPFRWRHGGSGRDAVGYPGPGSSSKITTRSSDPVVIDYGQQLERQKHVEPSASATPSEEACLKRRPSPVEAQDATPDLQLSLFPSSVLAKKRKTISSSSMDTTSCEFSISLSLSPPATAVSMQQQQQQRKTRRSSDSSGGGEGVLGQSTLDLTMSIRALE >Sspon.07G0010950-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:34315542:34316767:-1 gene:Sspon.07G0010950-3D transcript:Sspon.07G0010950-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPLMLLALTVLLLSYLVHGTSAAATPPRFNSIFSFGNSYADTGNFVLQCDGLPSVPFNQSPYGETFFRRPTGRPSDGRLIIDFIAEALQVPLLPPFLSRQPPHSQDLSRGANFAIVGGTALDVGFFLRRNAASVPPFRSSLRVQIGWFRRLKRSLCNTTAASAAECKDRLMARSLFVVGELGSNDYGYILAGGKSLQEAKSFVPEVVKAICRGIEVSNFSFAIDRSSSPINLLPKTEEFVVLVRHTVNSISARAWSQRLVEEGARYMVVSGTLPSGCLPMALTKYGKGNATEYDRRTGCLRRLNGLAQYHNWMLREAVGRMRRKYPTTKLVYADFYRPVARLLRRPAKFGQSFEFIPRLLLSHFDCYCRNVEYESNQHRSFR >Sspon.06G0002430-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6B:6630665:6633525:-1 gene:Sspon.06G0002430-2B transcript:Sspon.06G0002430-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMLRWCCGHTRRNRVRNDDIRDRVGVAPIEEKLIQHRLRWFGHVQRRPPDVPVRSGVLKRADNVKSGRGRPKLTWDESVKRDLKEWNISKDLAMDRSAWRLAINVPEP >Sspon.04G0025910-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:37042353:37044814:-1 gene:Sspon.04G0025910-1P transcript:Sspon.04G0025910-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVALRASALGLGQAAMDKEASLVQESMAWGGLFLSMGHPTQEQQKSCLAAAGGFNYDTALHGATHPKSVSTLTSDEAGGETSDKVLTERGFFVNRSRVLIGSGSDAFVHAKSALLSWRHLALGWANVEPDTPVKVGTRFCICYNELIPWVMLPLQIAYVTDGESDRSKMFAFGSGTLQGHLLAGEERFSVQVDEEERVWYEVLSFSRPAHVLAMLCYPYVQMRQKHFAQQSGQALLRHVATCSTKQKQ >Sspon.01G0025640-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1A:90148738:90149406:1 gene:Sspon.01G0025640-1A transcript:Sspon.01G0025640-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLVAHRPGLYLRRELWSLDRGRVAVPYHKTWKADETQGSPSMGNAGACTHCSTAQQHTTTRVGRWPLVACPAGRSGLGKWQRKARRSKGRRARDRGRSKEAIDPPGPRPKHSSVMSPHAAAGYAASGQWPVAGHLKSRKKNSKANKAKPARRLAILQRATGLCLPVRCPASCASSVLTIHPPGPRGWRHVSENTNLVSEGVWTRSRPGRAEPRQQPAPAGR >Sspon.08G0002250-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:5052691:5064143:-1 gene:Sspon.08G0002250-2C transcript:Sspon.08G0002250-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aminodeoxychorismate synthase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G28880) UniProtKB/Swiss-Prot;Acc:Q8LPN3] MAALRLPAPPAARWSHLPSVSASAAARRVSPPRHLAARRAKGEDTPDPPVRTLLIDNYDSYTYNIFQELSVVNGVPPVVVRNDEWTWRDVFNRVYKDRAFDNIVISPGPGSPACPADIGVCLRILLECGDIPILGVCLGHQALGFVHGAKIVHASEAIHGRLRQGLGFIEIEHDGCYLFNCVPSGRNSGFKVVRYHSLVIESGSLPDDLTSIAWTASPNLLSYLESDRSNVSTFLGSLDNNFMTNPVEYSNNGGELSNIGHSSESDDSRVIMGIRHSSWPHYGVQFHPESIATHHGRQIFQNFKKITGDFGLCSSWLQERKVHSIGKLEKSQVNSASHRDSIPKDFLHTERMELSEPVLGKRGVGKKCLRLRWKKIENFLCPTVGSEDIFAVLFGHQSGKDTFWLDSSSLDQNRARFSFMGGKGGSLWKQMTFHLSGQRANCGGTLITQDAYGYTAKNFIKEGFLEFLNMEIESIQYNEKDYEGLPFEFHGGFVGYLGYGLKVECDASSNKAKSSTPDACFIFADNTVVVDHSNGDVYILSLHDEFYSSNGDGICKNSTHTSWLVETEKKLLRLGGSPINGKAYARSSSVHKQSFAVEKSKDQYIRDVQSCLDYIRDGESYELCLTTQMKRRVDYMNALQLYLKLRKQNPAPYAAWLNFSSENLSICCSSPERFLRLDRGGVLEAKPIKGTIARGRTPEEDECLRLQLKYRQYFELSFFTNGLVEEVGKDNWINVLNANPVLRFSYATAIFSFLHMLNFTSEKDQAENLMIVDLLRNDLGKVCEPGSVHVPRLMDVESYRSVHTMVSTIRGTKKSNLSPVDCVKAAFPGGSMTGAPKVRSMEILDSLETSPRGVYSGSIGFFSYNHTFDLNIVIRTVILHDGEASVGAGGAIVALSNPEAEYNEMLLKAKAPTKVVEDFIQTVYSSDRSDSMQTTIS >Sspon.04G0006880-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:19683770:19685419:-1 gene:Sspon.04G0006880-1P transcript:Sspon.04G0006880-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKSCTLLMFGALVVLLLLVSPIACSRKLAKPNNHRPRHKPAVRARSNHTATPSVSDAYGSGGWLSAGATYYGAPDGDGSDGGACGYQTAVGQRPFSSMIAAGSPSLFKGGKGCGACYEVKCDGNAACSGQPATVVITDECPGGVCLAEAAHFDMSGTSMGAMAKPGMADKLRASGILKVQYKRVPCKYSGVNIAFRVDQGSNPFYFEVLVEFEDGDGDLSAVDLMEAGCGTWTPMVQNWGALWRYNSNTGKALKAPFSLRLTSDSGKVLVANNVIPAGWNPGATYRSLVNYS >Sspon.01G0028570-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:113160682:113163293:-1 gene:Sspon.01G0028570-1P transcript:Sspon.01G0028570-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding TMQAAAAVRHPRLFPPSPGRAARHRRAPSTVRMALREDGPSVAIVGATGAVGQEFLRVITDRDFPYRSLRLLASERSAGKRLAFEDREYTVQDLAAPGAFDGVDIALFSAGGSVSRKYGPAAVASGAVVVDNSSAFRMDPEVPLVIPEVNPEAMGNVRLGQGAIVANPNCSTIICLMAATPLHRHAVLRMVVSTYQAASGAGAAAMEELKLQTQEVLEGKAPTCNIFKQQYAFNIFSHNAPVLENGYNEEEMKMVKETRKIWNDKDVKVTATCIRVPVMRAHAESVNLQFEKPLDE >Sspon.03G0030800-3D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3D:19149965:19150405:1 gene:Sspon.03G0030800-3D transcript:Sspon.03G0030800-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding QVKLNYPEEVLTSVSGCYGAVGASVVIRSLTFESNCSKYGPFGTEQGTSFSLPVFSGKIVGFHGRSGSCLHSIGCHLNKENTTKLSKNAPSALRSITRSYDRNGHRYADSNAGYDMVLAVKDRGDNYNVLTSSLPKEQCPNPSKMSK >Sspon.08G0016090-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:58259330:58259979:1 gene:Sspon.08G0016090-2B transcript:Sspon.08G0016090-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTGSSGSTQALVAMHRGGGKPETQPHRLLLQRMEPLFRPAPTDRDRRLTKLLVNVTVDRSLWPVHLVLGADATVADLVRAAVAAYVREGRRPPLAAAGGAGADDAVDGFELHLNKYSLESLRLDEKVLDLGSRNFFLCARRSAAAA >Sspon.04G0007590-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:21178530:21184020:-1 gene:Sspon.04G0007590-1T transcript:Sspon.04G0007590-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVEEEVERGEEEEEEEEEEEEEELFYESLDRILSSSGSSTSASDDDDGADRPRRRRGCDAPAAAAAAALDLWTSQPAPVQERRRRLLQLMGLAGDPSVAGFETGRSASEDAAGPPPASPVSRSRSGGAALGSAGKPPLGGGRLRSSLSDGSDAADEDPRCLIRNLDDGSEYVVREEFGLREVGTGRHLTVEELARSPIVQELMRRQAFSTPNSNCTSNSQSGASTPIERSSSGSSNGGARYKRRSSWLRSIRCAAGSLVTHSRDRRSSDEKDTSSEKGGHHSSSTTDDSQDSVPRHGPTRVKVRQYGKSYKELSGLFMTQQIQAHNGSIWSIKFSPDGRYLASAGEDCIIHVWEVLEFERAGKEREVKENGVCNPLVAMVCNESSEKMVASAAPSGSHWEKKLRSKVLHSGGSVSSDRLMVPDYVFALSEKPVITFAGHSEDVLDLSWSKSQYLLSSSMDKTVRLWHMSSTYCLKTFSHTDYVTCIQFNPVDDRYFISGSLDEKVRIWSIPKREIVDWVDLHEMVTAACYTPDGKGALIGSHKGSCHLYDTSDDMLCYKTQIDLQNKRRKSSQKKITGFQFVPGDSSVVIITSADSRIRVLDGFELVHRFKGFRNTSSQISACLTGNGRYIISASEDSHVYVWRNNDGSKPSRKKGIVSVTNTHEHFHCENVTVAVTWPFTSTTMTSLMNPRKQEELDRGSENDYSPQGLQTKLAKEQEMPDVEYRSTNITSNNSNHNGDRTSPTWPEELITPSNQSLRATTCHANGEIKL >Sspon.04G0007660-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:22174183:22175030:1 gene:Sspon.04G0007660-4D transcript:Sspon.04G0007660-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding HEEVEQRPCHRRPGHLQGVRDRGGVVQGSILQEGRRRRRSPCCLVDAPQPLCVFRLQARQQGSGDPLGEQAGQKGAGLQGARDPGAEAVGDGEGEAEPGRADPAGRRRRARVPAGLLRRQGRAAGEGAAVLHLHHGRAHRRDALRLHQEGGLPQRPPHHRHLPEGRRHGAGHLQGGGPAPEDRQGAAHRERRPAGGEVHPRRHRRRLRVLVHGLRQLPAVVQVHAAGRLGAESAM >Sspon.01G0012520-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:34115530:34116288:-1 gene:Sspon.01G0012520-1P transcript:Sspon.01G0012520-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AFLKRSSLAAAFAVAMAMAAIASTTSAQNTPQDFVDLHNRARAADGVGPVAWDAKVAKYAQDYAAKRAGDCKLVHSGGPFGENIFWAPRGGRGAPPTRSNP >Sspon.06G0006230-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:21376745:21380057:1 gene:Sspon.06G0006230-1A transcript:Sspon.06G0006230-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVDGPTAKPASNGEAPSGAREVDVGEEEDTLAGVLRSFVDGVWCYPDEPEPLLRRLRAASCEAAPRLRGASRNSARDLLEWTRRGSGLRAILVISVGTITLIALTGLLIFMFFLLVATANAIIVSVLMSLAAAGGFLAIFFACLVAVYIGAVSVAIFAISATVISAVVGVMIATGWVGFFWMIWFAARKSMDLTKHSIGVTSSAIQSYSASRHVNQKPVE >Sspon.01G0028160-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:93982301:93984850:-1 gene:Sspon.01G0028160-4D transcript:Sspon.01G0028160-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGADVDVGTELRLGLPGGGAEAAKAAKRGFEDTIDLKLKLPTAGMEEAAAAKPEPAAEKAKRPAEAPAADAEKPPAPNPIIPQERHDRPVCEEQEGRGPEKQQSAATAGCNSSAFVKVSMDGAPYLRKVDLKMYNSYKDLSIALKKMFNTFTTSGNNMNEGKLVDPVSGADVVTTYEDKDGDWMLVGDVPWEMFVESCKRLRIMKSSEAIGLAPRTKDKSKNKS >Sspon.08G0005730-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8C:18111861:18112375:-1 gene:Sspon.08G0005730-2C transcript:Sspon.08G0005730-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADTFPAGLRVLAVDDDRVCLKVLERQLKYCNYNVTMVMDAQMALDMLRERKDENQFDLVITDVYMPKMDGFKLLELISLEMDLPVISKALAQTHFPHFYAWHSSNCADCRE >Sspon.02G0029760-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:108291349:108292892:1 gene:Sspon.02G0029760-1A transcript:Sspon.02G0029760-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MWTEKKEGAAHLLEVGFVPAAIKEQSDAGYGVPKVLGEFCWLFDIATRTSNFCWDDRAAASVLTLLAPVPARTDCDSSRQENTAASPSVHPLLDGVLSPCSRRYSDLMEML >Sspon.02G0011620-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:4165730:4169347:-1 gene:Sspon.02G0011620-3C transcript:Sspon.02G0011620-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AMESGGGASGGGGDDQLHGLKFGKKIYFEDAAAGSSSGGGGSGGGGGSGSGSASATTVAPATQQPSPPPAASPRAAGGGGGGRRGRAAAGGAGHSPVPAPARCQVDGCNVDLTDVKPYYCRHKVCKMHSKEPRVVVNGLEQRFCQQCSRFHQLPEFDQLKKSCRRRLAGHNERRRRPPPGPLASRYGRLAASFAEEPGRFRSFMLDFSYPRVPGTMRDGFPAVRPGERVPGSIQWQASLDPHHHQSAVTGYGAHSYGSQGSSSSSRPPVFPGPELPPGGCLAGVPSDSSCALSLLSTQPWDTAHSAGHSHAGSMPATAGFDGNPVAPSLMASSYIAPSPWTDSRGHEGGRNVPQLPPDVPLSEVHSGSSSHHGQFSGELELALQGNRPVPGSAPAPRNDQGSTGTFDQSGNTMDWSL >Sspon.04G0000280-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:2685530:2690172:-1 gene:Sspon.04G0000280-1P transcript:Sspon.04G0000280-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPGSPYASSPESAPKRAPRSPPQQQQPPSEEGDDKEKPTHLRFLVSNTAAGCIIGKGGSTINDFQSQSGARIQLSRSHEFFPGTNDRIIMVSGLFDEVMKAMELILEKLLAEGEEFNEAEARPKVRLVVPNSSCGGIIGKGGATIKSFIEESHAGIKISPQDNNYVGLHDRLVTVTGTFDNQMNAIDLILKKLSEDVHYPPNLSSPFPYAGLTFPSYPGVPVGYMIPQVPYNNAVNYGPNNGYGGRYQNNKPSTPMRSPASNEAQESLTIGIADEHVGAVVGRAGRNITEIIQASGARIKISDRGDFISGTSDRKVTITGTSEAIRTAESMIMQRVSASSER >Sspon.02G0004580-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:14436695:14437636:1 gene:Sspon.02G0004580-1A transcript:Sspon.02G0004580-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ethylene-responsive transcription factor RAP2-11 [Source:Projected from Arabidopsis thaliana (AT5G19790) UniProtKB/Swiss-Prot;Acc:Q6J9S1] MELLHFQAQPPVFQLEDYSSYYYYYQQDAAAQAKPSKPRGRKKGSNNHSKFVGVRQRPSGRWVAEIKDTTQKIRMWLGTFETAEAAAKAYDEAARLLRGSDARTNFAPRISPDCPLAVRIRGLLHHKKIKKAKAAAASSSAAAAAAGGKQQASSSPAPTTSNSHSTNSACGGGSSSSSSSSSSSSSAVSCDDAMAMQHGGIGGLDAGEVYRPEFAAAGAEEFDSWMFDSAFGQFPALDSFAAVDGAVPAAGTTEEPVAAATPGAEMAEFERIKVERRISASLYAMNGLQEYFDRVLDASACDSLWDLSPLCHH >Sspon.03G0026560-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:3277895:3285734:-1 gene:Sspon.03G0026560-3D transcript:Sspon.03G0026560-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPVKIIGHFASTYTYRVEAALRLKGVPYELIEEDLSNKSELLLANNPVHKKVPVLLHGDRAICESLVIVEYVDEAFDGPPLLPADPYDRAMARFWADFIDSKLLKPFWLAHWTEGDGQKAQVEEAKPNLALLEAQLNGKRFFGGDTVGNVDLAACVLGPWLSAVEEVTGVVVLDENEYPALHRWSKEYNSYVALKQCAPDRDQLVAFYTEKKEIFAAKAFKISTSEQLGTLRNLPKINILSQFHFP >Sspon.01G0031200-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:107562946:107564914:1 gene:Sspon.01G0031200-1P transcript:Sspon.01G0031200-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLLFRDMKGLSCSSPASTAICPSLERQPMVRSHKAIASPSPSPSPLAHVPPEPRTHRHDGKKGQQQQQHKAVVLPNNTGGFVSPAGSSRYLLSSGRFAATVTEEIQEVVESATAPAVDAKREEASEAADAKSGAQAQEQVVVLKVSLHCKACAGKVKKHLSKMEGVTSFNIDFAAKKVTVVGDVTPLGVLNSVSKVKNAQLWAAPPAIAA >Sspon.07G0008690-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:20704916:20722189:-1 gene:Sspon.07G0008690-2D transcript:Sspon.07G0008690-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQRAGDVAAEEQPDPRPLLRDEQPDHRRHRRRREGSGNQHVTLNFRGINYSAEMYLNGHEEVLPKGMFRRHTIDITDILHPDGNNLLAVLVHPPDHPGKIPPQGGQGGDHEIGKDVATQYVEGWDWMCPIRFQLNLKGTFVWWNTFKLFFYKPNLWWPNGMGKQSLYNVEISVDVKGFGESDSWSHYFGFRKIESTIDDSTGGRIFKVNGEPIFIRGGNWILSDGLLRLTKKRYMTDIKFHADMNFNMLRCWGGGLAERPDFYHFCDVYGLMVWQEFWITGDVDGRGVPVSNPNGPLDHDLFLLCARDTVKLLRNHASLALWVGGNEQVPPVDINKALKNDLKLHPMFVSYQTSKNEEKYLSEESTDPSKYLDGTRVYVQGSMWDGFANGKGDFTDGPYEIQYPERQLLQYGFNPEVGSVGVPVAATIRATMPPVGWSIPIFKKRIDGYIEEVPNPIWDYHKYIPYSKPGNVHDQIELYGPPKDLDDFCEKAQLVNYVQYRALLEGWTSFMWTKFTGVLIWKTQNPWTGLRGQFYDHLQDQTAGFYGCRCAAQPIHVQLNLVTYFVEVVNTTADELKDMAVEISVWDLDGACPYYKVTEKIVVPPKKVKPIIEMKYPKMKDAKPVYFLLLKLFRLSDNGIISRNFYWLHLPGQDYKLLEQYQQKNIPLKIYSDISVSGTMHKVRMTVENNSKKSVAEGTRSLSAMDLGDASGSHSTGKETTRKGNESDGLWRKIRNGLGVARPSDNRRTLEVNGTDSGVAFFLHFSVHTSESSTAKEKYNDTRILPVHYSDNYFSLTPGETMAIDISFEAPQGSSPKVVLRGWNHHLDHAPVNITDPHLVSTFHDDFKRSYLHCTLQLENKSSWIVSTELEGNICLVEHLQSYAITVPPQSDIEYTIPSLFFYKPNLWWPNGMGKQSLYNVDISVDVKGFGESDSWSHYFGFRKIESTIDDSTGGRIFKVNGEPIFIRGGNWILSDGLLRLNKEAIYDRHQVPR >Sspon.01G0046350-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:97068562:97070854:1 gene:Sspon.01G0046350-1B transcript:Sspon.01G0046350-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHRRRQPPAPSAHAYLAAMLAFLTLAAVAYSRAAFPRFPHPPATRGCRPDAEGSWSAGVFLGDSPFSLKPIERGDAIYMFFETKNPISSQGDIAAAVSKDAGTTWQQLGVVLDEEWHLSYPYVFSYGNETYMMPESSKKGNLMLYRAVDFPLKWELEKVLLERPLVDSVIIHFKGSYWLLGSDLSSYGAKQTGELFIWYSSSPLGPWNPHKQNPIHNTGNRPSARNGGRPFIYNDNLYRIGKIRGGGSGYTIQVFRVQVLTADQYKEVAVPFVLDKPLKDRNAWNGARSHHLDVQQLPLSQHWIGVMDGDRVPSGDSIRRLTVGCVFYGAACVLVLLLGGLIGAIKCTLPLRWYLPHTEKRSDTFDIEKQVLLCHKFSWLICNVNRLGSLIGGKINYRAWKDRVYIAFIILVLVVLTFLGTNYIFGGNGAEEPYPIRGRYSQFTLLTMTYDARFWNLKMFVEHYSKCASVREIVVVWNKGRAPVQGELKSMVPVRIRVENKNTLNNRFKIDKEIKTGAVMELDDDIMMTCDDLERGFKVWREHPDRIVGYYPRLAEGWPLEYRNERYARQQRGYNIILTGAAFMDHELAFK >Sspon.08G0015300-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:61558014:61559524:1 gene:Sspon.08G0015300-1A transcript:Sspon.08G0015300-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMSSCVRVLNVTHVLPDQNRAALYSPSPQRLPDDDGIMKLTFMDSLFVDRAMPMRRLFMYEGPGVPPFPDLVGSLRSSLATVLAIFFPLAGKLTYRPSAGDVVVDCSPTAVSPGVKFVEVTRPAVGSRRAVVVAVAIHHAVADGHSVWQFMRAWTAVARAEEGSEAMARLMPPTFDRTVIRYPEADELASKILRTIAPALPVQVRSPSSCSPPDRSRRSFVIHADEIQSVKQLIRTQTETAVAEQLDTPPSTYVAVSSLVWTSIVRAKSEAPHLAVNGDANYYFLVAVDYRRRRLGPQVNNDRYFGNCVVPCVARATARDLCGDAGAGVARAATAIRDAIRAQPEDPVRAMESWLDSLLAAPKERFTFAGSSNRFMAYETDFGWGAPSRVELVSLFATELVLLVGVEDGGVQVTVTLDPEHMEGFASNLRAATAAC >Sspon.08G0028200-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8D:6780500:6780912:1 gene:Sspon.08G0028200-1D transcript:Sspon.08G0028200-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding YKKKSVEFYMRKFAGDYYQVQLEEFVTVVKKLYQFYSASKPASSSTTVGVDADASPAETLGAKRYYELESFLYDDSGSDGNDSNELDLYMVEPLLKQDSFDILAYWKNNTDKYPILSQIARDLMAIQVSTVASESAF >Sspon.05G0016970-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:66242318:66258376:-1 gene:Sspon.05G0016970-3D transcript:Sspon.05G0016970-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGGTHRTPEDVFRDFRARRAGMIKALTTDVEKFYQQCDPEKENLCLYGLPNETWEVNLPAEEVPPELPEPALGINFARDGMDEKDWLSLVAVHSDAWLLAVAFYFGARFGFDKDSRKRLFVMINNLPTIYEVVTGTAKKQTKEKTPKSSSKSNKSGSKPPRQPEPNSRGSKMPPPKDEDDSGGEEEEEEEDHENTLCGSCGDNYGQDEFWICCDACETWFHGKCVKITPAKAEHIKHYKCPSCSGSSKRARA >Sspon.08G0002000-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:6151655:6153452:-1 gene:Sspon.08G0002000-1A transcript:Sspon.08G0002000-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGMSSARRRGFGLEASRGMLPLLALQVLIEYGRAGASRPPVTAALLAANSLIYLRPGALDGVLPSLSRVSFNPQLIVEFGDLTRFFLSPFYHLSESHLFYNMTSLLWKGIQLETSMGSAEFASMVSVLLGLSQGITLLLSKGLLLLGDDTAYYDQHAVGFSGVLFAMKVVLNTWSDDFVYLHGMVIPAKYAAWAELILIQVFIPGTSFLGHLGGILAGLVYLWLKRAFNGPDPITLLISSVADVVTWPVRFAQSLLRSARSQGRATGQGRVGRRISARDSPRGFWRCPTCTYDNSVSTDICEMCSTAREDHAFLRRQHHQARGNAELSVDEMRRRRLQRFDR >Sspon.06G0013970-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:56125422:56126515:1 gene:Sspon.06G0013970-1T transcript:Sspon.06G0013970-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Two-pore K+ channel family protein, K+ homeostasis, Osmotic and drought toleranc [Source: Projected from Oryza sativa (Os07g0108800)] MVENGVKQPLLQGGDPPNAGHAKPPPGAGARRFRRCRTAPSPDAAQDSPPRSQDDPSLERASAAGTQLAPPKPKELLRGARPSFRLVGVLLLAYLLAGTIAFYLAMDHMSGDRTRSRVVDALYFCVVTMTTVGYGDLVPASDAAKLLACAFVFAGVALVGTFLSKAADYLVEKQEALLFRALHLRRADDRKALRAMEANKVRYKLYTAAALLAAMLASGTAFLVEVEGMRPVDAFYCVCATVTTLGYGDRSFSSVPGRAFAAAWITVSTVVVALFFLYAAELAAERRQRALARWRRTTCTDLEAADMDGDHRVGAADFVLYKLKELGKISQEEIAEFLEEFDELDADNSGTLSPHDLIVAQP >Sspon.03G0011380-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:37777254:37789904:1 gene:Sspon.03G0011380-2B transcript:Sspon.03G0011380-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cleavage and polyadenylation specificity factor subunit 3-II [Source:Projected from Arabidopsis thaliana (AT2G01730) UniProtKB/Swiss-Prot;Acc:Q8GUU3] MGYHDHRHYPDFARALAAWGAPDFTTAISCVVITHLKLVLCSHLDHIGALPYFTEVCGYHGPIYMTYPTKALAPFMLEDYRKVTMDQRGEEEQYSYEDILRCMKKVIPMDLKQTVQVDKDLVIRAYYAGHVIGAAMIYAKVGDAAMVYTGDYNMTPDRHLGAAQIDRLKLDLLITESTYAKTIRDSKHAREREFLKAVHKCVSGGGKVLIPTFALGRAQELCMLLDDYWERMDLKVPIYFSAGLTIQANVYYKMLIGWTSQKIKDSHAVHNPFDFKHVCHFERSFINNPGPCVLFATPGMISGGFSLEAFKKWAPSEKNLITLPGYCVSGTIGHKLMCGKPTRIDYKDTHIDVRCQIHQLAFSPHTDSKGIMDLTEFLSPKHVILVHGEKPQMAFLKERIESELGMPCYFPGNNESVSIPTTQNLKMSATERFITSCAVEQGKRSLRKRNLICGTGLSEVIGSDEEAVEGVLLMEKHKSPKILCEDELLEVLGMERRLVQFQPMASRIVAAVESELQRAKAADLDSDGK >Sspon.08G0000520-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:431585:435668:-1 gene:Sspon.08G0000520-3C transcript:Sspon.08G0000520-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGAVLVAIAAAIGNLLQGWDNATIAGAVIYIKREFHLETQPAVEGLLVATSLIGATIITTFSGPVADIVGRRPMLIASSLLYFAGGLIMLWSPSVLVLLLARLVDGFGRARRHARPRLHLRDGASGDPGLLNTLPQFTGSFGMFFSYCMIFYMTLGDHPSWRFMLGVLSVPSLAYLALTVLYLPESPRWLQGPDEEARAILQMLRGREDVSGEMALLVEGLGSGGDTVIEEYVLGPATGAAAAGRVEHDTRDQVTLYGPEQGLSWVAQQVQGARSSVLGSAVELASRQGSMYEQMKDPVVTLLGSVHDKMPESGVPGASARGSTLFPNLGSMLSVAERAGDWDEENVPPNDDLDDDDEEEYLSDDEDGAGAAAGGGALHAPLLSRQSTDVDTSSSKKDAGSTSQPPGSSPMQRYSSMTGGETASTMGIGGGWQLAWKWTENVGPDGVRRGGVKRMYLHEEGGGGDGGDSSGPPRAGEYVHAAALVSRSMLYTKDVLIGQSPTPAFDNPPETVANRAAAASGPRWRELLEPGVRRALFCGVMIQILQQIFPTRVRGLCIAICSLTFWLGDIAVTYSLPVMLKAIGLAGVFGFYAFVCCLALIFVYLKVPETKGFPLEVIIEFFNIGAKSQSEQEPQFEEVGSERRGHDPQGRTSPDEEEVLAPGK >Sspon.02G0045260-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2B:108606870:108611766:1 gene:Sspon.02G0045260-1B transcript:Sspon.02G0045260-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFQASVPPAYWVEALSTATLLLNILPTKTLNFSTPHMVLYGSPPSYEHLRVFGCRCYPNLSATAPHKLAPRSSLCVFLGYSPHHKGYCCLDRSSNRIIISRHVVFDETSFPFSEDSARPTPQDFEFLDSTDPVMAPPVPSFFMPAGSPGAAAAQPRAAMFPGSSAPWLAAAPLAPGASSTAGPAATAGHAPPAASSSISQPAGLGSQARAPPAAGPSSSQPAGPPPGFQRWDGQAATAPVPPVRLTYSRRPRPPSATAPPPPAAAAVRLPPGAVPIVPVANQHAMRTRGKAGFRQPAALQTTSLSPIPRTYRAALADPHWRAAMQEEFSALLANHTWDLVLRPPRSNVVTGKWVFKHKFKADGSLERYKARWVLRGFTQRPGIDYDETFSPVVKPATVRTVLSLAVSRSWPVHQLDVKNAFLHGTLSETVYCAQPAGFEDTSRPDFVYRLNRSLYGLKQAPRAWYSRFATFLLQLGFAEAKSDTSLFVYRRGSETAYLLLYVDDIVLTASSVDLCQRIIAALQREFSMKDLGELHHFLGMQVQRTSCGLFLSQHQYMLDILDRAGMADCKPCSTPVDINPKLSADGDPIADPTDFRSLAGALQYLTFTRPDIAYAVQQVCLHMHDPREPHLAALKRILRYVRGTLELGLHIRASSQLDLVVYSDADWAGCPDTRKSTSGYAVFLGDTLISWSSKRQNTVSRSSAEAEYRAVANAVAEASWLRQLLSELHTPLRHATLVYCDNVSTVYMSSNPVQHQRTKHIEIDLHFVRERVALGAVRVLHVPTTSQFADIFTKGLPTAVFTEFRSSLNVRPIDVVTAGAC >Sspon.07G0029030-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7B:73497422:73497652:1 gene:Sspon.07G0029030-1B transcript:Sspon.07G0029030-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTHLQDYQQSQFSVDNLCSKVDKKVLQHEASIRGNKEFDVKHIDLMKSVSDHLATSPTEVTSAPDGHHSAHHLWGG >Sspon.08G0030240-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8D:56574923:56577291:-1 gene:Sspon.08G0030240-1D transcript:Sspon.08G0030240-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MENPPARHGAAQVARKTGLSAALSHHPAAAANPPHYLRPPPPRERPALALGSRACERINNAATRREQRAGTGQWGLGGRGRRGKRRVPGRPAPVPRLAILPFPLPLPGPLFNTPRRSPFPRRCVPCLYARVLLAPQSLRLGAAFAAGSGRWTVPLTNRWRGRRSDWAPARSRSSSSCQVRPPPPRLSPVSSAPSELCFEAAVAVLIERFIRWDLAVLPLVSSDDGYKHCEGVVRGWADSSTGREKDGDKLSLKDLLFFLHIPRTGGRTYFHCFLKKLYTNAQECPRSYDKLRFDPSHPDCKLVVSHDDYSLTSKLPRERTSVVTILRNPFDRVFSTYEFSVEVAARFLVHPNLTSAKLMTTRVLTKSRAVSTLDIWPWKYLVPWMREDLFARDSDSTS >Sspon.04G0010200-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:26207214:26212438:-1 gene:Sspon.04G0010200-2B transcript:Sspon.04G0010200-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAGVARGPGSAGDALFRELWHACAGPLVTVPRQGELVYYFPQGHMEQLEASTDQQLDQHLPLFNLPPKILCKVVNVELRAETDSDEVYAQIMLQPEAEQNEPTIPDPEPPEPERCNVHSFCKTLTASDTSTHGGFSVLRRHAEECLPQLDMTQNPPWQELVAKDLQGNEWHFRHIFRGQPRRHLLTTGWSVFVSSKRLVAGDAFIFLRGENGELRVGVRRLMRQLNNMPSSVISSHSMHLGVLATASHAISTGTLFSVFYKPRTSRSEFVVSVNKYLEAKNHKMSVGMRFKMRFEGDESPERRFSGTIIGLGSMPANSTSPWANSEWRSLKVQWDEPSAILRPDRVSPWELEPLDATNPQPPQPPLRNKRARPPASPSIAPELPPVFGFWKSPAEPAQAFSFSGLQRTQELYHSNPNSIFSSSLNVGFNSKNECSTPNNNHLYWTMRDTRTESYSASINKAPTEKKQESATSGCRLFGIEIGSAVSPVVTVASVGQDQPPALSADVESDQLSQPSHANKTDAPAASSERSPNETESRQVRSCTKVIMQGMAVGRAVDLTRLDGYGDLHRKLEEMFDIHGELSANLKKWKVVYTDDEDDMMLVGDDPWKMVRRIYIYFYEEAKSLTPKAKLPVIGDTIKPDPNKLSLESDMPQSDSNNNAPVAADKD >Sspon.07G0016600-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:64022233:64024006:1 gene:Sspon.07G0016600-2B transcript:Sspon.07G0016600-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Autophagy-related protein [Source:Projected from Arabidopsis thaliana (AT3G15580) UniProtKB/TrEMBL;Acc:A0A178VBL7] MRAALVLAKETSDTLKEEKVVVERFSRSNLPQMEKRKYLVPCDMPVGQFVFILRSRLHLSPGTALFVFVNNTLPQTASLMGSVYDSYKDKDGFLYMFYSSEKTFG >Sspon.08G0003640-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:9146106:9149565:1 gene:Sspon.08G0003640-4D transcript:Sspon.08G0003640-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKGKSVVAELAASFSDVRVAPRQNPKPKSFLPSPSFYSFSKKAKPRKLVSLCLGTLVSILKISSDISEFAALFPPHIKLAIISWEILDISGSDVTDAGLATVANVCSNLAVDISRCEKITTAGVSEIVCHCPSLEILRCGGCPRSEVTARRCLNLLKPKLNTLEGDSWEELDTLDIGGGAESLRWLVWPKIDDNSKETLAAECPRVTVNPQPSLFDLSGSK >Sspon.07G0037940-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:65235118:65238098:-1 gene:Sspon.07G0037940-1D transcript:Sspon.07G0037940-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALQCLVDWKGRPVNPQRQGGIKATMFIYFLVVMTNIGNIPMLLNVVSYLHGKMHMGIADASTTATNFFGAICVFTFFGAFISDSYVKRFYTILIFAPIEIVGYMLLACQAHFPSLHPPPCDIVNHPSECTAVSGRNLSLLTLGLYVIPIGEGAVRVCAAALGGDQFDGDDPRELRGKASFFNWYAFCISLGGFNNEGWDLGFVLSALVASSALSSSSPACHSTATRSPLGAPSQESFRYVFVAAFRKRNLSVPEDLVGMHETTSIEALERTSGFKFLDKAAVDDGDARRWSQCTVTQVEEAKIILRMLPVFVSSVLGYLPIPLLLTFTVQQGGTMDTRLGGTHVPPASLFVIPIVFQMLILVVYDRAVVPWLRRATGYAGGITHLQRIGVGFASNVVALAVAAAVEARRRRHGGAAAAEMSVFWLTPQFFLLGVMDVTSFVGLLEFFYSEASAGMKSIGGAVFFCILGIASWLGSFLIRVVNRVTARHGRGTGWLDGASLSAGRLDLFYWLLALFGLVALLLYLLCAWRYTYRHHPSRMQSAMEDHRVSPASKKLDAAL >Sspon.06G0032720-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:60851617:60854729:-1 gene:Sspon.06G0032720-2D transcript:Sspon.06G0032720-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPPFGNPLWVPEDVDDHQQQHAPPPTPMGLVTVPAQGHEEQNLLALASATTMGVGGVFSSPAVLDDDWCFDPVAAAAAAGAQGHLLLAPPPPAPGAGSQMFSLFNVGGAATFDVHGFDLGLGGLGGGAGGDLVPFAGAGNASNSASFSLIPAGNSGFLGSFGGFGTAPAQMPDFGGLGGFDMFNNGAGSSSAAPPPASVSLTAPFSGRGKAAVLRPLEIFPPVGAQPTLFQKRALRRNAGEEEDDKKRKAEAITAAAGASSAGGGDTVLDDADDDDGGSIDASGLNYDSEDARGVEDSEKKDGKDSNANSTVTGGGTGDGKGKRKGLPAKNLMAERRRRKKLNDRLYMLRSVVPKISKMDRASILGDAIEYLKELLQKINDLQNELESSPSTASLPPTPTSFHPLTPTLPTLPSRVKEELCPSALPSPTSQQPKVEVRMREGRAVNIHMLCTRRPGLLLSAMRAIEGLGLDVQQAVISCFNGFSLDIFKAELCNEGPGLLPEEIKSVLLQSAGFHG >Sspon.07G0009850-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7A:28852471:28855903:1 gene:Sspon.07G0009850-1A transcript:Sspon.07G0009850-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDYHRPYRGDLRSPPSSVPDPAFTHANGYFSSSASPHSNNGYFSPVFAKNDAFPGTGAGAGGDRRIEIYTTAPPPHLPPPPGHTLALPPPPGWKEGRLGGGGGGAGPGAGRKGGGGGGGASMWCFSDPEMKRRRRVASYKAYSVEGKVKASLRRGLRWFKGKCSEIFHHGCHSQAKEAEED >Sspon.06G0010660-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6A:58116717:58119979:-1 gene:Sspon.06G0010660-1A transcript:Sspon.06G0010660-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMYMCSSLSSLLLLLLVVVPFLVSLSPEFALKAGGAAAGADDDKTALLAFKDAAISGGYDDPLASWNDSRSTGGGFCSWEGVTCRGKQHQRPRVVALSLHSRGLVGYLSPAIGNLSSLRVLNLSSNAFSGAIPVSLGRLHHLRALDMQNNTLTGDLPANLTSCTRLTAIHLRSNQLQGRVPHDLGAKLMLLQVLNLRDNNLTGAIPASLANLSSLSCWALDLSYNYLDGEIPPGLGGIQGLQYLNLEFNHLVGEPPCSLYNLSSLTMLQLEGNMLHGNMPADIGNSFPGMRYLSFSQNQFTGSIPASLSNLTALIDLELGSNSLSGHVPRTLGQLRALQFLYLHSNMLQANDREGWEFITSLSNCTQLQELAVGDNVAFTGQLPTSITNLSTTLKLFWLDYIRVSGSIPSDIGNLVNLELLSVANTCISGVVPESIGKLGNLVVLEMCDTQLSGLIPSSLGNISQLSELNAYNGKLEGSIPASVGKLRNLIILDLSMNRLNGSIPKDIFKLPVLLICNLSYNLLSGSLPSEVGSLGNLNVLVLSGNQLSGEIPDSIGSCTVLERLLLDSNSFQGTIPQSISNIKGLVSLHLSMNKLSGTIPSGIGSIRNLQYLYIAHNNLSGTIPRTLQNLTSLDKLDLSFNNLQGEVPKDGIFRNLDESSISGNNELCGGIPQLHLAPCHNNSVKQSRKVQLRDIAIVLGIASALFFLGLAITLILFARKHKSCIRPTLAEKQYGRVSYHALSKGTNGFSEANLLGKGSFGAVYKCTFEDEGTTAAVKVFNLEQHGSTRSFMAECEALRRVRHRCLIKIITCCSSINHQGQEFKALVFEFMPNGSLNDWLHPKFGMPALSSSLNLAQRLDIAVDVMDALDYLHNHCHPPIIHCDIKPSNILLAKDMSARVGDFGISRILTECASKTNQNSNSIIGIIGSVGYVAPEYGEGSPVSTLGDVYSLGILLLEMFTGKSPTDDMFKGSLDLHKFCKDALPDRIWEITGTAMWLHTTTHDTTTRSQIENCLISTITLGVTCSEKQPRERTLIHDATNKMHAIRDSYLIFAKPLAV >Sspon.02G0005170-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:16098128:16100554:-1 gene:Sspon.02G0005170-1P transcript:Sspon.02G0005170-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAWSRIGRGAQLSLSQSLSKTLYEGGVGGASAAEAASAMRSAAALSRARGQHASSLHSLAGRSAGHLLQPPNRGIVTTPARLHPASSAAVAAELSDAETREHEPVAAPPRKMPSLGPTRPGEKPRVVVLGTGWAACRLLKDVDTRAYDVVCVSPRNHMVFTPLLASTCVGTLEFRSVVEPVSRIQSALATRPGSYFFLASCTGVDTKAHEVYCTAASGDAQLPSDPYQFKIAYDKLVIASGAEPLTFNIKGVQENAIFLREVSHAQEIRRKLLTNLMLAENPGLSDEEKQRLLHCVVVGGGPTGVEFSGELSDFITRDYGVNLVRGIVKEVKPTEITLSDGTRVPYGLLVWSTGVGPSEFVKSLDLPKSPGGRIGVDEWLRVPTAPDVFALGDCAGFLEGTGKPVLPALAQVAEREGRYLARLLGRVAAQNGGKAHCAGKADLGEPFVYKHIGSMASVGRYKALDAKGVSMAGFLSWLMWRSAYLTRVVSWRNRFYVAVNWGTTLVFGRDNTRIG >Sspon.03G0040010-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:24584237:24584881:-1 gene:Sspon.03G0040010-1C transcript:Sspon.03G0040010-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MYDPRGFPIIHPQQHLHHPVAVAGALSDSRVRGGGGGGVGVRRRPGAKLSTDPQSVAARERRHRISDRFRVLRSLVPGGSKMDTVSMLEQAIHYVKFLKAQISLHQAALMQHEEGCHAELAAAYSAAAVAAGDDEVTLASHGRTGACDEMMQLQVPAAEETLSYGVVAAHQPYGLDPRHQLSGGHELPPLPASCVFVEEPADACYSVCDLDDGET >Sspon.02G0000330-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:27578090:27582727:1 gene:Sspon.02G0000330-2B transcript:Sspon.02G0000330-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding APMRIPRRKGGAGPLAVGVPSRRVQVAAVFALAALLGVSVLYDSAHIAASLRRHGGPTAYAKLSSDGATAVPSVVQEEAAAAAAVEALAPPARAAESAPVDGADRADPPPRERQEVEEGGAAKPGATAGSSLQDAPLIEEAVQGGGGGHGDGGAQEQSPGTCDLYKGRWVYDESRAPLYKESDCSFLTEQVTCTRNGRRDDDYQKWRWQPDGCDLHRFDAKLFLEKLRNKRLMFVGDSLNRNQWESMVCLVQSEAPWDKKSLVKNGSLNVFHFQEYNATVEFYWAPFLVESNSDDPDIHSISDRMIKPTSIAKHAANWEGVDYLIFNTYIWWMNTPQMNYRHGGSFSRKHVKYDEIERVEAYRKVLKTWSRWVEAHIDPKRTTVLFMSVSPVQSRSEGWGSPNAIKCFSETQPAINYTKKLEVGTDWDLFATAQRVTRSMKKVPVHFINITALSEIRKDAHTSVHTLRQGKLLTAEQKANPRKFADCIHWCLPGVPDTWNEFVYGHIMSSPPPQQQITEDQPH >Sspon.01G0040180-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:19483327:19484079:-1 gene:Sspon.01G0040180-1P transcript:Sspon.01G0040180-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGSPYRVVLILGELPDLSTVVYDSSTNAWGDEAALSRKPAEDASSLEERVAEDGDDTVYFLSKSGDVVATTMQRSASRQYSSAVACRDGDAVAYFLSRSGTVVACDLARRAFAELPRILPAYHEYSIDVVACGGAAYAVVLSEFLDAASLRVWEFAGGAWRQVAAMPPAMSHAFRGAKADVNCVGHGGRVMVCVSSSSAGGASGCFMCDVRTNRWEELPRRACVDVADGDAATGFVAALSFEPRMEAAV >Sspon.06G0014440-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:79017727:79044150:-1 gene:Sspon.06G0014440-1A transcript:Sspon.06G0014440-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDHGELLLLIRQPFLFPECAPRDPMKSMGAGHKAGESGWPPPVWQSWGGLPHYQNGPQANQIHEPSHGGFGIGANPPIVGHLQPAANMLSAPFPHKIAYGLIPDPNPYGHIAPPSHKIPHRPIPLPNPHHHIAPLSHNIAHWPIPSEPTQVHETHWPIPSEPTQVHETTTPEPENGKSHKNDAKSHKNEEAIAAVTIESGNSSNEELEKAFQSTFPHWKWNWSFQKIGEKVYLGKFPSLDKIKDLKNMRSFELDKLRVSVKETTYYEAVTKAKLNLIWVKVEGVPTDLKKDYFSLCEIGSALGVVQEIDMHSARIEGEVKIKVWVRNIQDMPKTHAMTVVPYVLNLSFKKLCTVEEGWDRGDGEGGDEDKELEEEDRELEEEDMDDIEETKNRKESIEETSKDRKESTKETKDPREEEEVMDTDEQPKKREGNHIMERGVDEQGNEKTHTPLMKEGTNGVANSTRGNGKTGVKEGTQGGSCPKVMDVEATSKSGEEIDIAGGLLSRIVNTSSPIATSKSGEEIDTAGSLLSRIVNTCSPIAKSTEINLFYTRKGKRKHPPSKDVPNIVENPTIIKEINNQSDTSKMTVTNKKGKHLGSKDEPNIVENPTIIKEINNQSDTSKMRLANKKGLKGTPRLHLLKDTSEPWLSTPKPSPHSAVIKRSYINDDMGQRNARRKLKLDEEPHGTGALSLRALTRAKYANMDDDDGVNEDTKALNHLTKKVHHFMKNVHPIIGKDKENVHPIIGKDKEVFADHSSSHTPIEISSKDGYRTTAVYFGSSIGSIARDQRGQKDEMTLKKGYICVRGFDPVTRRPHPLCDQLLATNVKLPATNAKKGRKESICKLNAKKNLSSIEKETGKKKPKHAFNTEGRKLIHSDASIYGVAKSARPLVETIKINTSGKHAPLPAIGKDTDDCCLEKMRTIAYQLPPPNHHII >Sspon.04G0002010-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:6199865:6205159:-1 gene:Sspon.04G0002010-1A transcript:Sspon.04G0002010-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCDLFAAWWSSSTRLVSRIFRGSAADAPGPSPMRPAIPLHQKQAGLAASKLGVGTSKKHRAFVASDEQWYNKIFDPSSDFILTWNRIFLFSCFVALFIDPLYFYVPKISYGSPKFCVGTDTRFAVGVTFFRSIADLLYVLHIIIKFRTAYINPSSTLRVFGRGDLVTNPKEIACKYIRSDLVVDVAAALPLPQIIVWFVIPAIKYSSAEHNNNILVLIVLAQYLPRLYLIFPLTYEIVKATGVVAKTAWEGAAYNMVLYLIASHVLGALWYLLSVDRQTFCWKTSCLSETDCHIKYLDCDTTLNATWASTTAVFSKCNASDDTISFDFGMFGPALSNQAPAQSFAMKYFYSLWWGLQNLSCYGQTLSVSTYLGETLYCIFLAVLGLVLFAHLIGNVQTYLQSITVRVEEWRLKQRDTEEWMRHRQLPCELRERVRRFIQYKWLATRGVNEESILQALPADLRRDIKRHLCLGLVRRVPFFAQMDDQLLDAICERLVSSLCTKGTYIVREGDPVTEMLFIIRGKLESSTTNGGRTGFFNSITLKPGDFCGEELLGWALVPRPTTNLPSSTRTVKALIEVEAFALQAEDLKFVASQFRRLHSKKLQHTFRYYSHHWRTWASCFIQAAWRRYKRRKMAKDLSMRESFNSVRLDEVDNEDDDSPPKNNLALKFIARTRKVPQNMKGLPKLTKPDEPDFSAEPED >Sspon.05G0004870-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:15058860:15060099:-1 gene:Sspon.05G0004870-1A transcript:Sspon.05G0004870-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTTLSGESLGQKSPAPPFLAPNSSAAVTNSGINYGSGSSGIFDDTGSFYIGRIPLGHQISYFEKTRSQILETMDQEAATDFFKKALFIIAAGSNDILEYVSPSVPFFGREKPDPSYFQDALVSNLTFYLKRLNGLGATKFVVSDAGPLGCIPYVRALEFMPAGECSASANRVTEGYNKKLKRMVEKMNQEMGPESKFVYTDTYKIVMEIIQNHRQYGTLSFVSMTRWNLDPCCGGSFPPFLCIGVTNSSSSLCSDRSKYVFWDAFHPTEAANLIVAGKLLDGDAGAAWPINVRELSQYEHK >Sspon.08G0000160-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:1199711:1202883:1 gene:Sspon.08G0000160-2P transcript:Sspon.08G0000160-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRRSREGGRSRSLSLSLGWPACCLGFLLKLLAFLQAFAAVSALLYAAWMLSRWARHHQLHLSDLLSPGLWFPSLVMAAGLFYCLLLLAGYLAAELNTGCCLCFYTIPAMAMMLLEAALAAHLALNQHWIQDLPEDRTGELHNLLSFIHNNLDLCKWAALAIFATQALSLLLAMILRAMLSARTVDYDSDEDFVVIRRPLLVAQAPAPYLPTTVDTRGARPDLWSSAMRHKYGLNTSDYTYNTLDANAAPSQ >Sspon.07G0037400-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:53415581:53418087:-1 gene:Sspon.07G0037400-1D transcript:Sspon.07G0037400-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLRILFLNNNNLLGGFPLFLKQCQNLTFLDLSENRFSGDLPAWISEDMPRLGKCLATRTMNILFMSIDLSCNGLFGQIPEEIAALLGLVNLNLSFNFLSGNIPDKISNLQSLESLDLSNNKLSGEIPWHLSNLTSLSFLNLSYNNLSGRVPSGYQLDILKIIRLQCTLYIGNPGLCGYPLPKVCPGDHPTQEDHSSWHEDDKTEMDFHLGLIVGFIVGLWTIFCSLLFKKDWMYTCFSLLDKLFDKLWIFSVVTWQRWFRKPGYHLELLVAIFQYFTGKPDK >Sspon.01G0051310-2D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1D:16418614:16418802:1 gene:Sspon.01G0051310-2D transcript:Sspon.01G0051310-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGWMQQVCLRWVYEQGDCLIVKSFDEGRMKENLDIVGWELTEEERQRISKIPQRKINQGRRY >Sspon.05G0009480-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:27460113:27464670:1 gene:Sspon.05G0009480-1P transcript:Sspon.05G0009480-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAPATERKRPREGDAVTSAAAAAGDPQYTYLSIADALKVPGEVCIFAVVSEVGTAVRSRGTGCMVPSSVILQSDSQSVHLGFHSYVEDCGSITHGWNLCLLPRVKSTGDVISLHNVMITMHGEFFVTFNKKFSSFALFESKVFAECSPYQSSLKYRGSIDDKELLTQQRTWLAYNPLGLKDLELQLRSLKSDSTFDLVCKVVHVHEDNGKWIFYVWDGTDTPAAEFQAILDAEAVQSPPLFEGPPLPREVLCTMPCVGTVLRIYSNRFIKEVLHMQNGIYWARFCNITCKQEFGIWKGILLPTSRVRLLSHEDGSVVDRLKMYDSRNTNKVHRQPMTGFPSNVTGVDEKGGYSTLMESLTHDEVTHKLKTLVRVVGAYPCQPSVVHLLLSTGTYTMRLTLEDPTARIHAFVHKDEMVKFFGGFLTAEAVIKKMNKLLGNPEQEDSEEGAPLTRNPPWIWCCLKSYYKDKNDIWGSRTYQIYATEIRD >Sspon.01G0030630-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:104880407:104883222:1 gene:Sspon.01G0030630-1P transcript:Sspon.01G0030630-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCAAAALAVALIAAVAGATAAGSPAAGTCARRGAPPFLDAVGSRCPFVRIEPSPPLEVRGEAVDTELNLRRRGASYSILFYAAWCPFSSKFRPTFEALSTMYPQIHHFAVEESSATPSLFSRYGVRGFPAILFVNETTMVRYRGSKDLNSLVDFYKETTGLDPIAYIDVVQQESTGSLSSVMPWDHSLREMAKDELYLLVAVLFIILKVAANFIPVVMSHLRAFLVVRVQNLNLGICRGSNQLLERALNVLDMRRLWSKLRLSNKATDLRKGASNARAWASSFASVSLGEPSSSRQA >Sspon.02G0008680-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:25038600:25043074:-1 gene:Sspon.02G0008680-1A transcript:Sspon.02G0008680-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASPQQQGQGGPGGGGGWTPEQFWSLLDKADRRFARVRDLPPLGRQEPDAFAKAFRAYTQLWRMQQEHRHRLLDAGLRRWQVGEIAARIAHLYYAQYQRTADTALLSEAFVFYHAVLDRAYFQDADHHLSPPSTSASSPALLLSRRSHTVPRLASDIRTLLDDSKKSFQDAEYKEWKHVVQEIARFLRADSPFMNMRPLRYSYAFDPPPDTLRTVPPTVKKRGLVLSDTILCSYYPNEVKFTDLSIDVFRMVQCLEWEPCGSFALNNGYSAHDESGQNQPNLLKDLRDAALPPNPLKTILYRPSVTHFLTVLATKCEELPSNGIMLIYLSATGEMGTSGFCPDTGEKVLSNFNKFDISGTSHTSSKENKEPCLWLGCRETEGSNCIYPGDLIPFTRRPLFLGETAAMLLSPSSRSSAAGFSGDSTRHSGSQFTMFLTAPLQAFCLLIGNNGTDIDRDAYNKAEELLLLSLNEWATTLVASSSLHPVWVEVLGDPLLRRLLLRFIFCRATHSLFKPTNHKAEFLPTCMPPLPESVDAESMLSQSCVMRVASFFGAVSQFSFAEVTTWPDVDPEEAAVTSSSGSANKGGPETARDSDISNPSSSF >Sspon.04G0019180-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:68319297:68322690:1 gene:Sspon.04G0019180-1A transcript:Sspon.04G0019180-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MINLNLKQPLMLLAHQSNVVGSRLALSPSAAAESRRRRGGVSSSSSSSSSSRSRSSRRHMRLPRISCSATEEVSGAVASVTVEQMLTVRTTVEASPAIGQMYFERALDDVHDLLGKTLLMELVSSELDAKSGLEKPRVTAFAHKTLREGHYEAEFEVPASFGPVGAVLVENEHHKEIFIREIKLVTGGDSSTAVTFDCNSWVHSKFDKPEKRIFFTLKVFSFSNANMPGDCRKNDDVPCMALTVVSRGVPCVQSYLPSDTPKGLEDLRKKDLQALRGDGSGERKAFERVYDYDVYNDLGDPDKDPNHQRPILGGSKRFPYPRRCRTGRARTKRDPQTEKRDGHHYVPRDEQFSEVKQLTFGATTLRSGLHALLPAIRPLLVKKELRFPHFPAIDDLYSDGIPLPAQTGLDAIRTVVPRLVKLVGDTTDHVLRFEVPGMIERDRFSWFKDEEFARQTIAGLNPLCIQLLTEFPIRSKLDPEVYGPAESAISKEILEKQMNCAMTVEQALAAKRLFILDYHDVFLPYVHRVRELPDTTLYGSRTVFFLTDLDTLMPLAIELTRPKSPTKPQWKRVFTHGPDATDAWLWKLAKAHVLTHDTGYHQLVSHWLRTHCCVEPYIIAANRQLSRLHPVYRLLHPHFRYTVEINALAREALINADGIIEESFWPGKYAMELSSVAYGATWQFDTEALPNDLVKRGLAVRRDDGELELTIKDYPYAHDGLMVWNSIRQWASDYRLLQVRRGRRRRPGAEGVLDEVRNVGHGDKKDEPWWPVLNTRDSLVETLTTIMWVTSAHHSAVNFGQYHFGGYFPNRPTTIRKNMPVEEEGRDEEMKKFLTQPETTLLDMLPTQMQAIRVMTTLDILSSHSPDEEYMGEFAEPSWLAEPMVKAAFEKFSGRMREIEGFIDECNNNPENKNRFGAGIVPYELLKPFSKPGVTGRGIPNSISI >Sspon.04G0021820-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:3615949:3624906:1 gene:Sspon.04G0021820-2C transcript:Sspon.04G0021820-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAGEGNGDEGWRRSGIEVSALQFAYDGQPPLFARFNLRVTPGSRCLLVGANGSGKTTLLKILAGKHMVGGRDVVRVLNGSAFHDTQLVCNGDLSYLGGSWSRTIGSAGDVPLQGDFSAEHMIFGVDGVDPVRREKLVDLLDIDLQWRMHKVSDGQRRRVQICMGLLHPYKVLLLDEITVDLDVVTRMDLLDFFKEECEQREATIVYATHIFDGLETWATDFAYIQEGELRRSGRYSDIEELKSAKNLLSVVESWLRSETKLPKKELPRPETQTRRSSPLDASPFRSSRHMAYYR >Sspon.01G0000430-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:2306920:2308690:-1 gene:Sspon.01G0000430-4D transcript:Sspon.01G0000430-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGREISKAKRSRAAMSNEDDTTSQGSAGGDAAASAGAVTAAAAETEGDEMAVVVAAETEEQEQVVSAETEEHIQRILLAIDNYTRQVSDMLDAGRALFKDLAADFEDRLCSIHKEKVERWEEEIQELRASDAANEQARALLHNAQLHLHTVRD >Sspon.02G0059280-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:91038050:91043610:-1 gene:Sspon.02G0059280-1D transcript:Sspon.02G0059280-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEPSPESDGEQRCLNSELWHACAGPLVSLPVVGSRVVYFPQGHSEQVAASTNKEVDAQIPNYPNLPPQLICQLHNVTMHADAETEEVYAQMTLQPLSPEEQKEPFLPIELGAGSKQPTNYFCKTLTASDTSTHGGFSVPRRAAEKVFPPLDFSQQPPVQELVARDLHDNEWKFRHIFRAAHAAATNSRFTIFYNPRASPSEFVIPLAKYVKAVYHTRVSVGMRFRMLFETEESSVRRYMGTITGISDLDSERWPNSHWRSVKVGWDESTAGDKQPRVSLWEIEPLTTFPMYPTAFPLRLKRPWASGLPMFNGGRSDEFARYSSLMWLRDGNRGVQSLNFQGLGASPWLQPRIDYPLLGLKPDTYQQMAAAALEEIRVGDHLKQTSSLLPVQQTQNLNESSNLLNLPRSGQLMASEGWPSKRLAVESLASHELQPVQLKLEKVNHQSNVSHVSGTLPPLSTRDGSSAQACGTNVQSHLLSSSFAIHDGLSTVRSGGVGNGTDATTIASLRYGDMNLLPENSIATSSCLGESGTFNSLDDVCGVNPSQGGTFVKVYKSGSLGRSLDITRFSSYYELRSELERLFGLEGQLEDPVRSGWQLVFVDRENDILLVGDDPWQEFVNSVWCIKILSPQDVQQMVRGGGDLLSTPGARMLQSSVCDDYSAGHDMQNLTGSIAPVVPLDY >Sspon.01G0013490-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:36946486:36948308:-1 gene:Sspon.01G0013490-1A transcript:Sspon.01G0013490-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGIARGRLAEERKAWRKNHPHGFVARPETLADGSANLMVWNCTIPGKQGGWRPAITVKQILVGIQDLLDQPNPADPAQTDGYHLFIQLFFPPNQKMQDPAEYKRRVRLQAKQYPALV >Sspon.04G0011290-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4A:34766800:34767903:1 gene:Sspon.04G0011290-1A transcript:Sspon.04G0011290-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGAGEGDAWADQEQGNGGGSRGVGGGGGGGEAKRSEIYTYEAAWHIYAMNWSVRRDKKYRLAIASLLEQVTNRVEVVQLDEASGDIAPVLTFDHQYPPTKTMFMPDPHALRPDLLATSADHLRIWRIPSPDDAEDGAASANNNGSVRCNGTQQPGIELRCELNGNRNSDFCGPLTSFDWNDADPRRIGTSSIDTTCTIWDVEREAVDTQLIAHDKEVYDIAWGGAGVFASVSADGSVRVFDLRDKEHSTIIYESGSGGSSGGGGGSNSGAGDGGAASPTPLVRLGWNKQDPRYMATIIMDSPKVVVLDIRYPTLPVVELHRHHAPVNAIAWAPHSSCHICTAGDDMQALIWDLSSMGTGSNGSGNGN >Sspon.05G0017890-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:75611764:75613389:-1 gene:Sspon.05G0017890-1A transcript:Sspon.05G0017890-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSDLSLRELLSTSTINEVILKTIYVIFSNFKKVRFSEAATLCIPHLVCALKDGNEAAQESVLDTLCLLKESWPQMNEDIAKAQSLISAEAIPVLQMLMKTCPPSFHERADSLLHCLPGCLTVTIIRGNNLKQTMGGTNAFCCLQIGNGPPRQTKVVNHSICPAWNEGFTWLFDVAPKGQKLYIICKSKNTFGKSTLGRVTIQIDKVVTEGVYSGFFSLSHDGGKDGSRTLEIEIVWSNRPSNDSM >Sspon.02G0043470-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:92051910:92061724:-1 gene:Sspon.02G0043470-1B transcript:Sspon.02G0043470-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPKELPGFYYDPEKNRYFPIRGPIPGAAVRRPPPPAPAVPPPPADTTGCSRKRARRPELLSAREMYGGGVIFSNSAARSTFKQQCHYAQASQPTVWKYQATTSVADKALEQLDAMVQTPQGLKESRMLVTGSMNGSIRLYGLGTPLNNSENEAEFLPQPAWTPAGKHKAGALPSIWSSEVQTLVPVYSIWKQGDYLGYVDLKGKVVLCGLRNGSIAPVDVRQQQHNQPTGVASSSNARRTVPMLPTRHTGKKRNQCYAYNMMSREQTPDSSLNPVAQGSTKSTAAQGKRIHGN >Sspon.02G0015580-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:42483109:42486514:1 gene:Sspon.02G0015580-1A transcript:Sspon.02G0015580-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGSGGSAPPPPLHMDDFQLEGKKPVKNPFVPIGALVTAGVLTAGLISFRYGNSQLGQKLMRARVVAQGATVALMIGSAYYYGDEIKLFKKGAKGPLVQQISINIKKHGAGGAAQHGSSSQFISRLEIQNSVATVPSEEERSRAKEALSINIKRIYCSFPVSIFHSFTVSIFLKRCKLVHRQYFLHVAKCGGTIWDQLQQRRAIEHPEISLYHPQFWQVLQLYDI >Sspon.02G0059870-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2D:102252479:102253509:1 gene:Sspon.02G0059870-1D transcript:Sspon.02G0059870-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ELVRSCGVCQRYKSEHLHPAGLLLPLPVPQGVWTDIALDFVEALPRVRGKSVILTVVDRLSKYCHFIPLAHPYSAESIAQAFFSEVVRLHGVPQSMVSDRDTVFTSLFWQELMRLMGTKLHMTTAFHPQSDGQSESANRVIIMYLRCLTGDRPRQWLRWLPWAEYLFNTAFQSSLRDMPFRVVYGRDPPSIRSYEPGDTRVPAVAKTMEECAEFLADIRCRLEQAQAIQKKYYDRNHRPVTYQASGGKLKPHYCGPYRVTELINDVAVRLALPAGARIHDVFHVGLLKKFQGEPPAETPPLPPLRHGAIDPEPERAVRYRVMAG >Sspon.06G0015220-4P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:82694617:82704359:-1 gene:Sspon.06G0015220-4P transcript:Sspon.06G0015220-4P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGATVTVEEVRKAQRATGPATVLAIGTATPANCVHQADYPDYYFRITKSEHMTDLKEKFKRMCDKSQIRKRYMHLTEEYLAENPNMCAYMAPSLDARQDIVVVEVPKLGKAAAQKAIKEWGQPKSKITHLVFCTTSGVDMPGADYQLTKMLGLRPSVNRLMMYQQGCFAGGTVLRVAKDLAENNRGARVLVVCSEITAVTFRGPSESHLDSMVGQALFGDGAAAIIVGADPDERVERPLFQLVSAAQTILPDSEGAIDGHLREVGLTFHLLKDVPGLISKNIERALEEAFKPLGISDYNSIFWVAHPGGPAILDQVEAKVGLEKERMRATRHVLSEYGNMSSACVLFILDEMRRRSAEDGQATTGEGFDWGVLFGLGPGLTVETVKEPTETMAGATVTVEEVRKAQRATGPATVLAIGTATPANCVHQADYPDYYFRITKSEHMTDLKEKFKRMCDKSQIRKRYMHLTEEYLAENPNMCAYMAPSLDARQDIVVVEVPKLGKAAAQKAIKEWGQPKSKITHLVFCTTSGVDMPGADYQLTKMLGLRPSVNRLMMYQQGCFAGGTVLRVAKDLAENNRGARVLVVCSEITAVTFRGPSESHLDSMVGQALFGDGAAAVIVGADPDERVERPLFQLVSAAQTILPDSEGAIDGHLREVGLTFHLLKDVPGLISKNIERALEEAFKPLGISDYNSIFWVAHPGGPAILDQVEAKVGLEKERMRATRHVLSEYGNMSSACVLFILDEMRRRSAEDGQATTGEGFDWGVLFGFGPGLTVETVVLHSVPITTGAAITA >Sspon.03G0004270-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:10756862:10764645:1 gene:Sspon.03G0004270-1A transcript:Sspon.03G0004270-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MELASTDLAALGAAELVRVSASIPRAAPRTFALLTACLVFPLSFAVLAHSLFTHPILRRIQGATHNELAQWLRLFAYQFLYLIVLFTFSLLSTAAAVFTVASLYAAKPASISASLTALPPILPRLLRTFLWVSLLMLAYHLVFALTVLLLIVVFIPNASESAPPSLSFVLFLVVVVFVFLGIHVYISALWHLASVISVLEPLCGLAAMAKSKQLLQGRTGTAATLVVSYFAVCGVTSLLFRAALVKGRAEEGSFGLALPGRLLVGAVLVCVLVCVNLLGLLVQSVFYYACKAFHNQQIDRTALYEHLGGYLGEVGLRAFVSVKLHLVMVW >Sspon.03G0039900-2D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3D:15377171:15377366:-1 gene:Sspon.03G0039900-2D transcript:Sspon.03G0039900-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAPPPMRACTMTPTARSSGAERWPDGSMERSVQGPWPPPPPFSMDRVVTRSSPMAADSRRGVVG >Sspon.02G0004870-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:9839497:9839793:-1 gene:Sspon.02G0004870-2D transcript:Sspon.02G0004870-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SSHPCFVALSLSLPSQPSSLSLPFPKPKAASASLLWRDRGRPPASTFARKGEEEAVGSRWIRLDWIRTGSPRAGKYCSLIGFLPRVDPVFELRDCSRF >Sspon.03G0010850-3D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3D:34337848:34339055:1 gene:Sspon.03G0010850-3D transcript:Sspon.03G0010850-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding FLPTKNNRRMKEIDLEIRKILREIIGKREKATKNGKTNNDDLLGLLLESNTRQSNGNASLGLTTEDVIEECKLFYFAGMETTSVLLTWTLIVLSMHPEWQERAREEVLSHFGRTRPDFDSLSRLKTVTMILHEVLRLYPPATFLTRRTYKEMELGGIKYPAGVNLLLPIIFIHHDPDIWGKDASEFNPERFANGISNATRHQAAFFPFGGGPRICIGQSFALLEAKMALCTILQRFSFELSPSYTHAPYTVITLHPQHGAQIRLKKL >Sspon.04G0007660-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:15020649:15022460:1 gene:Sspon.04G0007660-2P transcript:Sspon.04G0007660-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKSSSHVIGVPVTSKAYGIEEVSSRDPSFRKGDAAGDHLAVSLTHPSPYASFGYKHSSKGQVINWVSKLGRRAQGFREHVTLGPKLSETVKGKLSLGARILQAGGVERVFRQAFSADKGERLVKALQCYLYTTGGPIAGMLFVSTKKVAFRSDRPITVTSPRGGDTARVTYKVVVPLRRIDKVRPTENVDRPEEKYIHVATVDGFEFWFMGFVSYQRSCKYMQQAVSELNLQ >Sspon.03G0017360-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:41681431:41687400:1 gene:Sspon.03G0017360-2D transcript:Sspon.03G0017360-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPYAAAKGGGADAGTGLEESMRRLGLGEDGEAGEEKLPERPGEADCAYYLRTGACGYGERCRYNHPRDRPAPVNGVGKTTGMVEYPERPGQPLCEYYAKNGTCKFGSNCKFDHPREGGFVPVTLNSSGFPLRLGEKECSYYMKTGHCKFGATCKFHHPELGFLTETPGMYPPVQPSPISSSHPYPHLANWQMGRPPVVPGSFLPGSYPPMMLPPTVMPMQGWNPYVSPMNQVTPAGGQQAVPAGASYGLSHQGPTSAVTYGSHYAQLYSSSGTSSSNIQEYAFPERPGQPECEHYMKTGTCKYGAVCKYHHPQYFSGPKSNCILSPLGLPLRPGSQPCAYYAHHGFCKFGPTCKFDHPMGTPNYSISASSLTDVPVAPYPHSFPVPPMPPYLPSSDLRPQYTLVKDSSANPPAPGTTYGPVGSISKVYAPHTLIRSPASAAAGMQAS >Sspon.05G0003740-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:15552092:15556327:1 gene:Sspon.05G0003740-2C transcript:Sspon.05G0003740-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGMISSSAMALAVPVTIKTTEEGENERLDYAVSAMQGHRENMEDAHAIVLNLDAATGTSFFGVYDGHGGPAVSKYCARHLHIELRRHERFRDNLQFAIERTFLRMDEMMKDRSAGRELSGYGGNDNWKAYRKAMRTSLFLPFCQKPAYPGPENDGCTACVVLIRGNQIIVGNAGDSRCVLSRNNLAIDLSNDFKPNLPAERQRIETAGHEVTFSERGNVHRIDDGIAISRSLGDLLYKDNNNLGPEQQAITAFPEVRTEEITQDDQFLIIACDGICVQIIVQDVGLAFICEALLGHCLAQPRGRDNMTVVLALAKLGAATHCHGRSTKLLRNKLLRNELPRNKLLRNELLRNELPRNKLLRNELLRNKLLPPKLLRNELLRAELLLPECPHPQQSGRPPVLPHRPPPASQAHPPKAPRAPRAPRVQSFEFVLSGKDELAYP >Sspon.01G0018190-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:68876552:68879812:-1 gene:Sspon.01G0018190-3C transcript:Sspon.01G0018190-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDGGGNSKMSKLSWSKSLVRKWFNIRGKSHDFHADAAAVGAGSGRSVFVLAAGGGDDDWRDGSFTRRDSCAAKKSRTERASRRSHERSRRSKIDLDAAEATVMLDYSREPACPVARTRSIHRLRRPSVRERDVFLLCLEGRIFAATWNVGGRAPPGSLSLDDWLRTSPPADIYVLGFQEIVPLNAGNVLGAEDNGPARKWVSLVRRTLNSLPGSGGSGSLQTPSPAPYLVAEMDADFERSTQNNPSFFHRRSFQSGLSRSMRMDGDILAGPGPGPARLERRYSVNDRFMYGSRPSDYEANCRWGGGQSDDEDDGGGESPITIFSPMSHGYGNAPPVEECSGSARGLARYCLVASKQMVGLFLMIWARKEMKNDIRNLKVSCVGRGLMGYLGNKGSISISMVLHQTSFCFVCSHLTSGQKDGDEHRRNSDVMEILRKTRFPRVCGQYERSPETILEHDRIIWLGDLNYRIALSYRSVKALVEMRNWKALLEKDQLTREQRGGRVFPGWNEGRIYFPPTYKYSNNSDKYAGDDMNQKEKKRTPAWCDRILWYGRGLSQLSYVRGESRFSDHRPVYSMFIAEVESINHSQIQKMSSWSSQLDIEELLPYSYGYTEIDHYGYTDLNFY >Sspon.03G0030680-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3B:22026016:22030958:1 gene:Sspon.03G0030680-1B transcript:Sspon.03G0030680-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLVFPITSVGTAGAHGSFRLPDVLVAPSMVHNLLSIRRFTADNSCSVEFDSSGLTV >Sspon.08G0003760-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:11578244:11582877:1 gene:Sspon.08G0003760-1P transcript:Sspon.08G0003760-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MENAEGPSPDSGDRAAVFARQLRGVYSIELRLKEQSECQILSLHLFIIENERSDESHELDEVSWAPVQDGDAQTTPGHNSRRPNLSLQIPARTLDTSMPTSTRVTISSSPSSTRVGLPPRPNSTRTKSSIKNIIPQNSFRARSSAQEGDRVVLLNSGTSSEGQQDNPTTARSFSFRKVISSLSAKRTHSLPVTPVGTTDKVASPANQLDTLPTTSNEGVEAKIRRSLSVPGNRKNRSLRRADSIGVIRVIPTTPRPVPVDATASNDGIEETIDVPEDGGEDIPEEEAVCRICFVELNEGGETLKMECSCKGELALAHQDCAVKWFSIKGNKICDVCKQEVQNLPVTLLRIPTETANRRVANAAQQRTAQQYRFWQDIPILVMVSMLAYFCFLEQLLVTNLQSRALAISLPFSCVLGLLSSMIASTMVSKSYLWAYASFQFAIVILFAHIFYNVLRVNPVLAVLLSSFTGFGIAISTNSLLVEYLRWRARRNHRLAQQAANAAQHQESGNDRANDNDGAQQGHDPNSGNNAIMKCYGCEQLSVHFPIACVSVLRMLKLLNDKSNEELS >Sspon.02G0007180-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:20264008:20265030:1 gene:Sspon.02G0007180-2D transcript:Sspon.02G0007180-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:EXL7 [Source:Projected from Arabidopsis thaliana (AT2G35150) UniProtKB/TrEMBL;Acc:A0A178VYH7] MGRLLPRHPSPLLLAAAAAAAICISSSSIAAVRGAPVYRADYLVDGNQLVDMQYHMGPVVSESPTNLYLIWYGRWEAAAQAVLRDFLASLSAPAPFPAVSDWWARTPRMYADQTGANVTGTFAVAGEHSDAGYSHGASLRRIDVQSVIRSAVYAYPDPLPLDPYSGAYLVLTSPDVQVDEFCRAVCGFHYFTFASVVGVTVPYAWVGNSATQCPGKCAYPFAAAAEYGGGGAQAVLRPPNGDAGVDGMVIVLGHELAEMSTNPLVNAWYAGDTPTAPTEIADLCLGVYGDGGGAGGLVGNVSRAPDGSAYNVNGVNGRRFLVQWLWNPVRGACYGPNSSN >Sspon.08G0011020-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:44187187:44191428:-1 gene:Sspon.08G0011020-2B transcript:Sspon.08G0011020-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALHAAAPACAVFCRLPSSSPSHAGGRRSSLPAVARPRGGVSAAPLRTRAVQTKAIFSDGVPETSNSPHVVCFGELLIDFVPTVNGLSLAEAPAFKKAPGGAPANVAVGIARLGGSSAFIGKVGDDEFGYMLADILKQNNVNNQGLLFDPHARTALAFVTLRSDGEREFMFYRNPSADMLLEEKELDLDLIRKAKIFHHGSISLITEPCKTAHIAAAKAAKDAGVLVSYDPNLRLPLWSSAEDARDGILSIWETADVIKISEEEVSFLTNGEDPYDDAVVKKLIHSNLKLLLVTEGPDGCRYYSKDFSGRVGGLKVNAVDTTGAGDAFVAGVLSQLATDFSLLQDEGRLREALKFANVCGALTVTERGAIPALPTRQQVLDALTNVVA >Sspon.03G0004440-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:11393572:11394837:-1 gene:Sspon.03G0004440-1A transcript:Sspon.03G0004440-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEWDPYAGIKLSQEEISLAIRVDHSPQVLNPKPDAAMAAAVRHIVRRRLSTVATTTPVPTPGSILNPSSPSTPLTSREKTRLAISLLKSSPPPPPDQILSICRAAALTPETHIDRIALSLAASKLSSAPDTLRDLASTVLTPRHAPHAIVLFGQANLLPDAISTFQSSPSTRSLNALIFACIVTGNHTEAARVFQTFPDAHGVKPNTETFNTIIKSFAESGTIRSFYSVFDEMCKKGLKPNATTFTTALAGFYKEERFDDVGKVLELMKKNGCGESLPVYNVRVQSLCKLGRSGEARALVDEMVKKGTKPSWVTYNHLIYGFCKEGDLEEAKRLYKEMGRKGLVGDNNFYFMLIHYLCKGDDFDTALGLYNEIAAKNWVPCFSTMKMLVNGLAGSSRIDEAKGIIEKMKEKFPDKTEGWKE >Sspon.03G0018180-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:86077259:86080249:-1 gene:Sspon.03G0018180-2B transcript:Sspon.03G0018180-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MENLLPEDVLTNIIHRLAPRYLAISRCVCKTWCTIIDAHNLLRVDLLPRSVCGIFINFNELSMSEFFSRPSKGPTVSGNFDYLPLSSRIIGHCNGLLLFRKYVVNPATRQSVPLPPCPSPNMVVEHFFHREYLVFDPTLSPHYEVFMIPEIRQPSVRYNMLNSDDKLDPAIEELEWPPSPCILHVFSSRTKVWEERSFVQQGEAAGNVADMRLDHPYVPDTSVYWQGVLYVYCQNKFVMRISLSNGKYQVIKPPLDCEGMAYTNLYLGKSVKGVYCAVHHLASHFSIYILDESSGKMEWVFKDSCSIQPCQIIDGPGPWTLQDINNQEQGVEYEDGNSEAVVEDRFEWDSDNDNVIETNSSGGYINFLVDTKRRGRYNSGGYIDFLGFHPYKEVIFLSDTLRRGLAYHLNSSKIQDLGNLRPTNYGTEVGIQPFIQESFPYTPWMGWFPEDN >Sspon.07G0009880-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7A:28923577:28924095:-1 gene:Sspon.07G0009880-1A transcript:Sspon.07G0009880-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEPISVEAAEPLVVPLPRGPIDTDGGSASAAATESKRLLRLAGPLVASFILRNAVQMVSVMFVGHLGELPLAGASLAASLANVTGFSLLAGMAGALDTLCGQAFGARQYGLLGVYKHRAMLGAGVQGRHGQQGRRAQQRRLLRHQPHHTGTVCQAVGRVQGDMVWLLMGGV >Sspon.01G0008900-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:24871395:24876815:-1 gene:Sspon.01G0008900-1A transcript:Sspon.01G0008900-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRKVTSNMELDSAVFQVSSPDNRYEAIACSKGKTELIASGPFDQLVLHLEDAKAFQSCSSSGTFKLLLTGDEKGSSWFTKSTLQRFLHIINSSDTSKSVNEVLDEMSQLEETRKFHQSLYVKGNDGPNSSETTKNELLRALDLRLTALKEEILILLNRAVGSNLSTREISDLSAFVQRFGTSEFSWLMRCMLLILGCQPSEVPLQQDSTIEKIDKGENAHKPCDISPQTNPQRPIANNVSPAKLAQIERESSSESEDSSESSDEGEAIVERSRPLVRSASPRRSASPMRRVQIGRSGSRQSTAIAIKSLSYFPPSQRIPLDKDDESSTCNGETDQPPRKSDNNVRRMSVQDAINLFESKQKDQNTDSQNKKAGLFATKSVLRRWSAGMGDALNEKSEGKVSESTSESKSNMASETEKVGAEMKAELDNTPKNFVTPEVEDLTFHANSHDIGVPETESTVCSNICAEQAKFGLEESSDRAMASAEWNRQKEAELNQMLLKMMEVMPGKFAGANATAAGLSSTNQQKGVSHGQHKEKRDTKFAKGTAKFITSCPTQRSSFKDTTSQKKLTKPSPAPASVPTTRSSWSGGSLTKATTTQKTKSSPGMASTSTQTSRRRTPTTPSSSQPASKVERAIQPVKNKKEPITATKPAIKGQEEKKTKTATKTSRITRVSPSSEEKSSATTKQSLHKVSKKSSVVPLESKPLKKTTGISQSIGSGTVKSKVPQLDDSSKDSGSVTQAEDKEQSPMTTELTTKVLEADLAQPAHDVDENLEISLDNDLNIEKTEKPASSLTATEMGSSVHVEPSTDEVDEAIQPPDDDMGISSAAWVEVEHQELEVTDSSENVIAEDVTSPGIAPLPSSSPRVRHSLSQMLQADSNEPEIIEWGNAENPPAIVFHKDSPKGFKRLLKFARKNKGDNNANGWASPSVVSEGEDELEEPRGTSDSANSSRRTFDGSKTNSILSAQSTTGSFNSMNSDKLRERPGAATSTKASRSFFSLSNFRSSRSNESKLR >Sspon.08G0021320-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:37841784:37871651:1 gene:Sspon.08G0021320-3D transcript:Sspon.08G0021320-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALQLRRLLPHGYAQRHRAVTHALSPSPPLAMLQFEVFQRYSSTPWPFLPFRPCEMCGVAFRSNSRRKISSRNNFGVALQPKSSAFFTPGLFGNRSSVCFRATDSCGIALKVNNIGNSRPFSTTYSKKLRFLIKNKTSFSNLNMRREDGSVAHSLFHRSEKRQSTLATCSTIADEASTSTSNSAKSVTDAKTDTAKRKSSRGSKKEADGDMKEKEVHTKKKRISARTRKAATKTTESTSANQENKKTDNCKSKKGADSSKEKKVNNRSKSKAKVSAASSVPSEAEICMKSSIDGSGIEKKSLVSLYPPRAKSVVVVESATKAKVIQNYLGDMYEVLPSYGHVRDLAGRSKSVRPDDDFSMVWEVPAAAWTHLKSIKVALKGAENLILASDPDREGEAIAWHIKEMLEQQGALGYDVTVARVAFHEITENAIKKALMSPRYIDMDLVNAYLARRSLDYLIGFGISPLLWRKLPGCQSAGRVQSAALALVCDREAEIEQFKPQEYWTVQTYFKMQSADPSNGTCIPFRIKHLNSKKLDQLSIPSQEEAQAIEKRIHSSKFEVLGVKRSKIQKNPPMPYITSSLQQDAANKLHFTAGHTMKIAQKLYEGINLSSEETTGLITYIRTDGFHISDGAAEDIRSLAKERYGQKYVSEDTRKYLKKVKNAQEAHEAIRPTSIRRLPSSLVGILDDDSLKLYTLIWKRTMACQMEASRTEMIQVDIGTPEGEMIFHSAASKLDFKGYQAVYEDAEASPCSDSSEGDSVHEDNFEALSKLMVKDLVFPVNVHLGQHFTKPPSRYSEGALIKKLEELGIGRPSTYASIMKVLQDRKYVTAKSRVLHPEFRGRMVSAFLLHHFSEVADYSFTANMETELDNVSAGSTEWKGLLKDYWERFSKYCADASKLDGRKVERMLEEKFGPILFPDVDKDSRICPSCSEGTLRFKVSRYGEGYFIGCDRHPKCKYIARSLSQQEDETEPTEESPKSFTPRLLGVMPDSDQKGKHPEDDHPVLITHSKVGYNIKHRRSLALVPKNMDPKKMTLERALKLLSGKSVRRIGRPKGKICFHRLSPNNGYPFTVSSNRFVNDALAKGKHPEDDHPVLITHSKNMDPKKMTLERALKLLSGKSVRRIGRPKGK >Sspon.07G0024460-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:25411551:25412127:1 gene:Sspon.07G0024460-1B transcript:Sspon.07G0024460-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MIEPATHHGPQVLTLAGHSTAATRGTGSGSIHERRAKEIPIRPHPRSRRRRRRERGDREQPEPEAEKMKTFDPWPVFFRREWRRNWPFLTGFAITGFLITKMTANFTEEDLKNSKFVSPPIRPILDLGPALVDACGMRRDVSAIRNLFWGSVPLPLVMPRDLFFGVL >Sspon.06G0010230-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6C:45179992:45184793:1 gene:Sspon.06G0010230-1P transcript:Sspon.06G0010230-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MILIMFYKVGIPLWSTPALGFMLPATMTTVDLGNAALSGTLVPQLGQLKNLQYLELYSNNISGIIPSELGNLTNLFGFVPEQLHWFDTGFIGKLLKLRFFFANNPNLCGPGTTKPCPGAPPFSPPPPYNPTTPAQSPGSSSSSTGAIAGGVAAGAALLFAIPAIGFAYWRRRKPQEHFFDVPAEEDPEVHLGQLKRFSLRELQVATDGFSNKNILGRGGFGKVYKGRLADGSLVAVKRLKEERTPGGELQFQTEVEMISMAVHRNLLRLRGFCMTPTERLLVYPYMANGSVASRLRDRPPAEPPLDWQTEEGLHWVLLGACLIYMIIIIHRDVKAANILLDEDFEAVVGDFGLAKLMDYKDTHVTTAVRGTIGHIAPEYLSTGKSSEKTDVFGYGITLLELITGQRAFDLARLANDDDVMLLDWVKGLLKEKKLESLVDEDLEHNYIDVEVESLIQVALLCTQSNPTERPKMSEVVRMLEGDGLAERWEEWQKVEVVRQEVELGPHRTSEWILDSTDNLHAEQLSGPR >Sspon.02G0016920-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:47799694:47804049:-1 gene:Sspon.02G0016920-1A transcript:Sspon.02G0016920-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGKRNPRAADPSVDHVKNPHFLVLLSENFMEARTALSRPLHGNLAPNQTITKMDTAPAVTMPGPTSNANPSVNAMTGRQPVVGGISTATVKVEPATMPPIVSAPAFSHVTPISNVASQGISALQTSSPSLISQEANMANDNVQEHKPIINPVQQPVRPGGHGSLLNNLSQVRLMNSTSLGGGATSMGLPNMGATPIQVHMSNMISSGMTSTPSVISSMSGPGQPIGTQQMVQSTGLGSFGPNTSTVSGNSNVAVSSSLTNNQSSMGMGQSVQPVAQGGLVSGSQLGQGGIGANQNVMSSLGSTAISSAPAMMPTPGMVPQTGVNSLGVNNNPAMNMPIPQHANAQQPPPKYVKIWEGTLSGQRQGQPVFICKLEGYRSGTASESLAADWPETMQIVRLIAQEHMNNKQYVGKADFLVFRTLNQHGFLGQLQEKKLCAVIQLPSQTLLLSMSDKAGRLIGMLFPGDMVVFKPQVPTQQTPMQQQQLQQQQLQQQQ >Sspon.06G0023490-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6B:44903010:44906012:-1 gene:Sspon.06G0023490-1B transcript:Sspon.06G0023490-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGLDRSIVEHRLPIKSGFRPHQQPARRCNPNILPDIKAEITKLIEAKFIRQCRYAEWISNVVPVYKKNGKLRVCIDFRNLNKATPMDGYPMPIADLLIDAAAGHQIISFMDGNAGYNQIFMAEEDIPKTAFRCPGHVGLFEWIVMTFGLKNAGATYQRAMNFIFHEYIGTLVEIYINDVVIKSGDITKHLADLRKILECTRKHGLKMNPNKCAFGVSAGAGIGIVLISPQERKYEFSLPIVATSTNNQAEYQALIKGLELLKEIRVDAVEIFGDSMLIINPLAGIYECRSEVLISYYERCLQLLKGFRDFRLEHVSRLHNEEANRLAQHASGYQPIQEVLTSAVDTDDWRREIIDYLKDPSKKVERRIRFQATKYVLLDDELYYRTIDGVLLRCVSSDESKSLMGEIHEGVCGAHQSAFKMKWMIKRNGYYWPTILEDCFKYFKGCQGCQKFGNIQRAPASAMNPIIKPWPFRGWAIDLIGQIYPPSSKGHKFILVATDYFTKWVEAIPLKKVTSANMIDFVKEHIVYRFGIPQTITTDQGTMFTSGEFDEFVVGMGIKVLNSSPYYAQANGQAEASNKGIIKLIKRKIKENPKRWHTVLNEALWSYRMSCHGATKVTPYQLVYGHDAVLPWEIKIGSRRIRSQDQLTADDYDTLMKDELEDVAGHRLRALVSIEENKKRVARWYDKKVKVKEFADGDLVWKLILPIGTKSSKFGKWSPNWEGPYRINQSVPGNAYILETLEGVVFPRALNENI >Sspon.01G0021120-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:82478875:82482003:-1 gene:Sspon.01G0021120-2B transcript:Sspon.01G0021120-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRHGASGRPSGTDGSDFSYRMVVESRYQRVAEGRSRLARLILVQALHLVAGGALLLLSLSKGAAVNKFAVLSVAAGFVAIVVGELGRRRTMAVLLRLYTSLSSIAVAFSVTCIIRSELFLKVMKQNTEAITSYEMLDAVRVALGILLQMVVIATTTRLLQNMSPPKRAS >Sspon.01G0048740-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:100346872:100350039:1 gene:Sspon.01G0048740-2D transcript:Sspon.01G0048740-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LRAVSHQSTVPFAGQVEPNPKQHPRAKPITATMRRSIGAAAAAARRHTPLPYSCAPAFSYSSGSGGGDGGFGHGRGRGLPTSGQPRAPGRPISDDDGADPFSATASVGRGRGEPAVPSSPSIPSFAVFSGVGRGRGSPLPPPPPPEDATKQPTFTKRFDNAPQRPDPEPPSLDASSSAPPLPRTLPFSGAGRGVPRTQQPAPDKPPEENRFIRRREAVKQADAEPAKQAAAAQQPKLSQQEAVDRAVELLGGGDRSGEDGGGRGGRGRGSRGRGPGRGRFRGRGRSDGRSVDVEDDRQAIYLGDNADGDRLEKRLGEDKMQILEQAFMEAADNALPDPIEDGYLEAFHTNSMIEFEPEYHVNFGNPDIDEKPPMSLEEMLQKVKPFIVAFEGIQNQEEWEESVKDVMARAPHMKELIDMYSGPDVVTAKQQEEELQRVANTLSESTPSSVKRFTDKTLLSLKNNPGWGFDKKCQFMDKFTRIVSEQYK >Sspon.03G0016010-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:51206833:51209030:1 gene:Sspon.03G0016010-1A transcript:Sspon.03G0016010-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:actin-related protein 5 [Source:Projected from Arabidopsis thaliana (AT3G12380) TAIR;Acc:AT3G12380] NNKEEADEKMRYWQLPWVPPPKDEPPSEEELARKAALKEKASQRLRDMAAAKRSQKIVELEKQLSYLEEVMEQLDEAEEQEATAILGRSGYLSQQEIKSAILKATQSLRKAKGESNGNDEKTDASAADKYPLVSVPDEMLTPEQLKEKKKQILLKTTTEGKLRAKQKRAEEEALREKQEEKRRAENPELYLEELRARYSELSEKFEQRKRQKVNGGQTNGNHSSSGGVGRGERLNAAQKERMRLLASAAFDRGKGEDTFGMRDEDWLVYNKMSKDNDDDSNDDDESELVRIASKLQEIDPTFVSKSEAVQLTPEPPKVRPLTAEDYRIAIGIERFRCPEVLFQPGMIGIDQAGIDEMVSISLRRLMEDESVKERLCQSILVTGGSSLFPGMIPRLESGIRQYRPYLAPLKLVRAADPILDAWRGAAAFAASSKFGKQTFSLADYREHGENLFHRYNIVYSL >Sspon.02G0031910-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:109661221:109666813:1 gene:Sspon.02G0031910-2B transcript:Sspon.02G0031910-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSLGMDGHAELEVSMMDPTDKKPAFRGLKLYVKELDSKTLPPFLARLCAPDKPSSYSEEEILCIFETAAEVHGRTIVPHIGQIVSAVVRIMASDSRPLHSACCSKVSNNWQFASNELVNDVCLKVSGALEEAHCQTVVHVGLVVALLKHNPLTLEPYGRSLIRSGLQILDHSTKANNSQMIMSSIQMIHSIMRSLNVKIISSEISSIIHALEHCQDGFVPDICTAAFQATETAKLLGRQEGRGARKNVSPLGSCSGGNSRKGSNSPIDHADIRDSGSSGSPRELQFVRCFSDFNSQLPVGECTGILGTTRARRRLWSYGTDFSHGMSSDELFHTSARDYHENLGIITQSDSADLVKSSRRRSDVLTRIGDPCPTCLTPRATNQACRRQALSTPRKQLQSLTYFSDSERDGHRLPPRSVSRLMQRPDHLLFQKNFQGGERKGYCNSTQQRNQLRTQTTDSLTEDLKFPTSSRLSDSAQAPPCEERQCDAAAEHQKVTGMEKRETNCHRSNRLTLFICAMVFAVLLLALWKQQDPSNELYFINDMVGVAFVVIVGEKAQSHQN >Sspon.01G0022360-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1A:81939788:81946158:-1 gene:Sspon.01G0022360-1A transcript:Sspon.01G0022360-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MYPGGSPSIYGIQQSLTLLRGISVVPDPGRPPPLPLPPARPRGEPPLHRGQRPWFGVDLLRSAPMVASISPSRSSKQQRTYLHFCTAPGSRHGRIRRSGRRRAGGWRRRTPSLRPPLLQLAKPPAPTLPHLHRGQDDRRRRRSAALRTAPGSRHGRIRSIRSAALRTVGRPPPSPRAAAALRAPSAAAALAASTGADAGAGGPGAGAPARVRAAPTRRGCGREGRGAEAGARAAGGPAAAGSPRKKGRGGEGRRWGWLPRHDSQMMGKSDRSHPSACASRSSLGLLSTPPPVNPATAYCCCSGPFFFFSSAWSETGIESPCRSSSSSTIPRTSQLLRPSGCSGAARGLPSPPVLPPFQAVAPPVAGRRQANSSGVHALRRLPRTTPPPRHPCSERGTSTRAGREAATHFSPSATPDLSADLDFSATPALSAVSEVSGCAAIACPPLSPPCAPPAPPPSASAGPTRARAPGVWLGSPFRGHDSSVLDSVFDRLRCHLDSATSTSATTTTTSTSATSAQRGLSSTSSLQPQRPRHGCDCGGMTSFPAFVRLQSHRVFAPVVTAGGCWTYPNLLGTKGYVVVVVVVVVVVVVVVVVVVVVVGYIRSEAFNPKTWIVPGVGYDICGTSLRKLKSKRIADSVEALIGAYLSAAGEQAAYIFLKSLGMDIEFHKMPVERTITIKPEEFINVKSLELLLDYSFNDPSLLMEALTHGSYQIAGTTACYQASRSSTLCFRSSPNPTSPCLVTLHLPPDSSLLPLTPSTTRTLPRLLPPPRLRRPQRPHKMQGAKARDDDLACAEAAKPCHSGLAADDRATPRMMRTPTRSPSMGLLFVTRFSMRRPTELCELFLLALGRCSLRDHVSGHPRLDAHGLHAVKAGLHKHVLHSSSALHKRMADYLDKFEQSFSGPSHGWAAGISLPKVLGDVVESIAGAIYIDAKHDKVIVWRSMKRLLEPLVTPETLENDPVKELQEFCDRKAYTMEYTVTRENGVSSVVAEVRTEGTTYKATRTGFSKLDAKKLAASSVLHDMKVADRTQYFANGISST >Sspon.01G0015220-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:45586032:45587050:-1 gene:Sspon.01G0015220-3D transcript:Sspon.01G0015220-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Inositol-tetrakisphosphate 1-kinase [Source:Projected from Arabidopsis thaliana (AT5G16760) UniProtKB/TrEMBL;Acc:A0A178U6K3] MASDAAAEPSSGVTQPPRYAIGYALAPKKQQSFIQPSLVTQAAARGMDLVPVDASRPLAEQGPFHLLIHKLYGDDWRAQLVAFAARHPAVPVVDPPHAIDRLHNRISMLQVVSELDQAADQDTTFGIPSQVVVYDAAALADSGLLAALRFPLIAKPLVADGTAKSHKMSLVYHREGLAKLRPPLVLQEFVNHGGVIFKVYVVGGHVTCRTAEEYYGEKSLEDAVMPPAAFINQIAGGLRRALGLQLFNFDLIRDVRAGDRYLVIDINYFPGYAKMPGYETVLTDFFWEMVQKDGVDSHQEEKGANHVT >Sspon.06G0004380-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6B:11025561:11027660:-1 gene:Sspon.06G0004380-2B transcript:Sspon.06G0004380-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKKDKAPPPSSKPAKSGGGKQKKKKWSKGKQKEKVNNAVLFDQATYDKLLSEVPKYKQITPSVLSERLRINGSLARRAIKDLMARGLIRMVSVHSSQQIYTRATNT >Sspon.02G0058940-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:85152583:85164822:-1 gene:Sspon.02G0058940-1D transcript:Sspon.02G0058940-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSIASQLKAIKSALGAAPEPARRSVTRPSVLFDAKEAADIDLRAILPIALSGLEHLTSIDERFARYSNTLFRETSLEVNREQLTPKENDKLNKSICTYLRLLAGYLHLPSALKTLEYLIRRYLVHVYNSDELLLSTLPYHDTHAFVRIVQLVNLGNSKWAFLGGVKSSGAPPPRSVLVQQCIRDKAVLETLCSYVTPTKEFSHSRTVVCFCTAVIVECLGAVPKLDTDVVQRVLGFVFDSLNPAVTRDQEYKAGALMIVGVLGTRATLAPKLVQNLIFFVARTAQHDASESVDLPWLRVSVMALISLVQSQSVHDFPKKPLMILKDNSEYNIERFIRLYVESLIDYSISDVSCHTHLIETIETLPVKNFIESIVCKVLRNCVKVSQATGNPDINHTELWAKKIFSAIERKYPLELRDAIRKFLENSEINSSGGDSMSEVFGIVFDESKNLPTEISDSNIWFSLDHPKKFINMQDAILRSLYDDDLSVVQAALSIEGLAVVANPDSLLKAYDGVLTKCIKIINKGGSKASKASDVAVSCLEKLTLRVNLKALELAKKIKWEFYTSSSLVYDGVNFDKMKFLVKHFFPITTLRCQIESTS >Sspon.03G0004320-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:17766486:17769328:-1 gene:Sspon.03G0004320-4D transcript:Sspon.03G0004320-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MCNSNVKSAGVAQIDGRPVLQPAGNRVAAAPDAARPLKKSLQKSLSMPASYNNSSNATAAAARPAPHENTRAAVAGSLLPPTTPASVTARAAAKAAGAAVTAEKSRSTKASRKPGAVLPVVTFAALEAFEPAAAGSIAAAQRKHAAQAQAQRKMRIAHYGRTASFSRVEGRVGATATATAAAAAEPAVPASPTGHDEKRCSFITPYSDPLYVAYHDEEWGVPVHDDELLFEMLTLSGVQVGADWTSILKKRHVYRWGTEQQASLATVVVVTALPLKMSSNSATEAFSGFNVDAVAKYTEKQMASLSADYGLDLGTVRGTVNNACRILEVRRDFGSLDRYVWAFVNNKPLSPSYKYSRKIPVKTSKSESISKDMVRRGFRFVGPTVIHSFMQAAGLTNDHLVSCPRHRVCSAAAAGAAARVN >Sspon.01G0035690-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:13347043:13350169:-1 gene:Sspon.01G0035690-1B transcript:Sspon.01G0035690-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSRATSSRRSVFDAAYIRSEFSAAGISGHFIPLIWKYVLQNPRCSDLDGVPSLPAAAYALLRQKFRPTTSTLTAAADSKDRTTTKLLISLQNGESVEAVVMRYDTRLGKYDGKARPGGVRSTLCVSSQVGCKMGCRFCATGTMGFKSNLSSGEIVEQLVHASRYSQIRNVVFMGMGEPMNNYNALVEAIGVFTGSPFQLSPKRITVST >Sspon.01G0023600-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:83253042:83256225:-1 gene:Sspon.01G0023600-3C transcript:Sspon.01G0023600-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWRGAASRSLFAAVRARAASSSSSAASRIRSAAPLPASPRRSVPAFAFATARPLAAMAGSPAAVVVRLTGHSATSVRACCELSQGTLFCRTCQDR >Sspon.08G0007340-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:21212595:21214839:1 gene:Sspon.08G0007340-4D transcript:Sspon.08G0007340-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding CAPLPCRRRRLWRWSESREATTSTSRYPSSRKATTRPSIQGRQLKCRAVPLPTARETFREAVALCRLAFPIAFTALLLYSRTALSMLFLGSIGDLPLAAGSLAIGFANITGYSVLSGLSLGMDPLCTQAFGANQPRLLGLTLYRSVLFLLCCSLPLSALWLNMSKILVFLGQDMEIAAVAQEYILFSLPDLFSFSVIHPLRVYLRSQGITWPLAAAAGAAVLFHAPTNYVLVGRLGLGAPGVAAAASASNFVLLGVLLACVLGRRDSALRAAGPPTAECLAGWGPLARLAAPSCVSVCLEWWWYEVMILLCGLLPDPKPAVASMGVLMQTTALVYVFPSSLGFGVSTRVGNELGANRPGRARAAAHVAVAGAAGMGLAAMSFVAVCATLGAAYTPADAAALPVVGLCELGNCPQTVGCGVLRGSARPTRAAHVNLGAFYLVGMPVAVLLAFGLGVGFVGLWMGLLAAQVCCAGLMLFVVGSTDWEAQARRAQELTSGTEGEVEKPAAHTSATAAGEGGRPEKGEQEGVERSCYDHEPLISNRDSGEAEAETIEDCWLGSFHTRRASRWGSKLGHRRATEKNRTEEKRR >Sspon.02G0019150-3C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2C:64921387:64924066:-1 gene:Sspon.02G0019150-3C transcript:Sspon.02G0019150-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIIVQAVVILLDVIAFGLGVAAEQRRSRATVTPDAAKEYDYCVYDSDIATGYGVGALLLLAAAQVVLMVASRCFCCGRGLKPGGSRACALILFLFTWVTFLIAEACLLAGSVRNAYHTRYRGIFYGDSLSCETVRKGVFAAGAAFTFLTAILGEVYYLSYSKSRDAAGGAPYGGSSIGMGPYN >Sspon.01G0051100-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:11713760:11720024:-1 gene:Sspon.01G0051100-1C transcript:Sspon.01G0051100-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MESGRQVHGTIVSSHGGSSKAILWNALMSMYSRAGYVTDAERVFLEIVRKDVVSWNVMIEAFVNNGYGERALEVVDMMLQCVVGLVPTMEQCACIVDLLALAGRFMEALEFIGQMTVRPNAVVWGALLSTSRMHHNVNLARIAFEQLVEVEPENARNFVTMSNIYAKAGMVEDAKRVRMMIDSVELAKPSGQSCVERESQFEVSWATAFEVNVKSQGLNIVLSHGVAGHDLDDVLAWQV >Sspon.03G0010170-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:42381098:42383605:1 gene:Sspon.03G0010170-3C transcript:Sspon.03G0010170-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRFFLLVSALVATTLSADTTIVLAQRSWAELSGRDNWEGLLDPLDADLRRAIIRYGELAQATSDAFIGDPASPYAGASRYAPGAFLHRTQTPDADAYRVTRFLYATSSSRVPADQFITRPAPPGAWSAESNWMGYVAVATDAGAARLGRRDIVVAWRGTKRAVEWADDLDITLVPTTGVVGPGPGWSQPAVHRGFLSVLTEVRRLLDAYKGENCSITLTGHSLGAALSTLTAIDIVVNGLNVRGGSNPNDTVPVTAIVFGSPRVGDDQFKKAFESTPGGGARLLRVRNAPDIVPTILPAAFYRDVGVELLLDTRKSPHLKKPGPGPAAWHNLECYLHGVAGTQGAGDGAGFRLEVDRDVALVNKEVDALSDEYPVPAAWWVEGNKGMTRDASGRWVLRDHEEGNLAM >Sspon.05G0038440-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5D:42950807:42954597:-1 gene:Sspon.05G0038440-1D transcript:Sspon.05G0038440-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SRVEQLSLERRRLGGGEEEEQADAEVALGLDSPYKVAQEAMDEWDSTTAAASIDDLDAYLEMLRKDVAMAEEANQKVSAEISVTAETIFNDMIQVDVGIEMLKSLSSNLGPKALNHFDESSVLGLSDSTVLAPGLPAQIIPDDISVDILIERMESSSVPRTTTPYLDPIDPKTL >Sspon.05G0031530-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:6396846:6397760:1 gene:Sspon.05G0031530-1C transcript:Sspon.05G0031530-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLYDVNTAIHNDLENLDHQRASIEERKDAIKKKDKDMRKATYKLSMCVSVTNIFPNLEDQDKISSCIRSIDYDTVDKNGKKIQKCEFEKTTSPVEICNTLWKTI >Sspon.01G0018450-2T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:65188931:65192174:-1 gene:Sspon.01G0018450-2T transcript:Sspon.01G0018450-2T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding EMGKGGGCVPSKKRQPPAAAPSSSSAAAAVPREPPEEEAANAASPAAAAAGRKLRLYIVFYSMYGHVESLARRAAAGAGAVDGLEAVLRRVPETLPPEVLEKMQAPPKDPAVPVIASAAELQEADGVLFGFPTRYGAMAAQMKAFFDSTGSLWEAQKLAGKPAGFFVSTGTQGGGQETTSWTAITQLAHHGMLFVPIGYTFGSGMFNMDDIRGGSPYGAGVFAGDGSRQPSETELALAEHQGKYMASIVKKLAQPCLSQPPLCSKSHTVTFLQSLGSSIVSG >Sspon.05G0028650-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:66319442:66330974:-1 gene:Sspon.05G0028650-3D transcript:Sspon.05G0028650-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARVAAIVVLVLLLQLASLYPVTAHADAAGVLGRKAGVINDDPPVENAPVGPGRYAVIFDAGSTGSRVYVFRFDRQMDLLSIGDEIEFFAKATAGLRLIGNQQADQILDAVRYVVHKKSKFQYKPNWINVLKGSQEGSYLWVALNYLLDKLGGDYSQTVGVIDMGGGSVQMAYAVSPYAAARAPTVPDGQDPYIKKKYFKGKEYNVYVHSYLRYGSFASRAEILKSKNGPFSFCMLRGFNGKYTYNGQQYDATARPEGALYEKCREEIAEAMKLKAPCKTKNCTFGGVWNGGGGAGLNNLYIASGFYYLASHVGFIDSKAPSAKAAPTAFRAAAKKACRLDVKKAKVSYQNISDSDVPYLCMDLTYTYTLLVDGFGLQPTKKITFVSK >Sspon.01G0061370-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:92988971:92989609:1 gene:Sspon.01G0061370-1D transcript:Sspon.01G0061370-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNILNQPINPGGHPVFPAAKESGHLMPASVRFDGVPAPQPSTAAAARPRWQAQTLRRASSYVGAEHDGTTASTVPVPGAALAPVPFKPITLDFLRSLVDTNCSMTPVAPDAEATAPPPPQMVALRVVVTSAVELDARQTELIARKMRRLTGFVNLKVENVVDTSLIAGFVVCYGTDDSHVIDLSVKAQLAALKNRVDSIDQTVHAHGHPHHC >Sspon.04G0019210-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:73434831:73435392:-1 gene:Sspon.04G0019210-2C transcript:Sspon.04G0019210-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRRGHICVMSIIFTLLFGCLALPVIGRSSKKLLMAEETVGALAATKTTSGNNASTVNNSTATSGDGSEIHLIFCTYKFYCFVGNCWCCKQEDNCFKTRKECQAHCPSCNPICPGPGAGKI >Sspon.04G0030830-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:2956943:2968405:-1 gene:Sspon.04G0030830-1P transcript:Sspon.04G0030830-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSRRSRRGDLAVAAALLATVALAAVGAAGADGGAEFDYRKLSGIIIPGFASTQLRAWSVLDCPYSPFDFNPLDSVWLDTAKLFSAVNCWLKCMLLEPYNQTDHPECKSRPDSGLSAITELDPGYITGPLSSVWKEWVKWLTFEIALKLRGGPSLVFAHSMGNNVFRYFLEWLKLEIAPKHYIQWLDEHIHAYFAVGAPLLGSTEAVRGALSGTTFGLPVSEGTARLMFNAFGSSLWLMPFSKHCKADNIYWKHFFEGKGGCPHRQQCDEAEYISDYAGWPTDLVNIEVPSVRDMGAYPSITDITENITSSMECGKPTLLSFSAREVSDGTLFRTIEDYDPQSKALVYQLEKYYQGDPVLNPLTPWERPPIKNIFCIYGIDSKTEVGYYFAPSGKPYPDNWIITDIIYEFEGNSVSGKPNNSSGDGTVSYNSLSWCKNWLGAKVNITRAPQAEHDGSDLQTAMNVDHHPGQDILPNMTRAPHVKYITYYEDAESLPGWRTAVWELDKANHRNIVRTPVLMRELWLEMWHDMHPDSKSKFVTKETKTATGTMERLDVAFQNTVNT >Sspon.03G0004310-3P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:11434243:11444004:1 gene:Sspon.03G0004310-3P transcript:Sspon.03G0004310-3P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAKRAVLVGINYPGTKAELKGCHNDVARMRRCLVDRFGFDESGIRVLIDDGSAMKPTGANIRRELARLVGDARPGDLLFFHYSGHGTRLPAETGQDDDTGYDECIVPCDMNLITGLLDKTKEQIGHSTKQNQTQRRELEERPASDTSFREFLKDTVRDVFESKGIHLPHRSHSHRQGHGDDGGDEESRYINTADAHVKNRSLPLSTLIEILKEKTGKDDIDVGSIRLTLFNLFGDDASPKIKKFMKVMLNKLQQGQHGGIVGFMGALAQEFLKAKLDGKEEELDPAMKQNVHSDQEVYAGTTARVPSNGVLISGCQTDQTSADATTPKGVSYGALSNAIQTILAERGTVTNKELVLKARKMLSKQGYTQQPGLYCSDENANRIENSGGMNCAPRTRAPALSSTNPARAARSAPKKGQSTTRCRANPIEFHCGRQPAHHLNNHARKHTRVACVHARGMPAAMGRKRAVLVGINYPGRDDELKGCFNDVTRMRRCLVERFGFDEADIRVLADADPSTPAPTGANIRQALERLVGDARPGDTLFFYYSGHGMQLPAETGQDDDTGYDECIVPCDGNLIKARFPLSVLSLLKMILIFLHVRTADQDFKELVAKVPDGCIFTIVSDSCHSGGLIDKAKEQIGNSTRQNKTEHPAERVTRHPFGACAFLLRIVHGVFDSLGIHLPRLVQSGGTETNTKAGVSAHAASNKSRSLPLSAFVKMLRETTGEDDVGVGTIRTTLFRHFGDDASPKIKKFVQVMASKLKLEGDEGGQVDTLNRALEQEEVHGDGVKEVYAGTTASAPPCLSMAQYKNGGVVAMSTSRSSTPDLHQPSTHEVPGHNANWTPASPYQPDHHSGRGTHLRRTNASKHGGLSSGGGGAEAMGQKRAVLVGINYPGTDGELKGCLNDVARMRRCLVERFGFDEAGIRVLADADPSTPPPTGANIRLELERLVGDARPGDALFFHYSGHGLQLPAETGEDDDTGYDECIVPCDLNLIKDQDFTELVAKVPDGCRFTMVSDSCHSGGLIDKTKEQIGNSTKQNRTQQQQRREREMKPPPGSGTGLCASLARIVRGVLESLGAGIHCNRRGQHQGSSSSSVQEPADDTKAAKGGSIGNRSLPLSTFIQMLKDKTGRHDVGVGSIRTTLFHHFGDEASPKVKRFMKVMVGKLRHDGKEEAEQSHAPAPREAEALAQEQDTHSVEEVYAGPLAAARLPPRNGVLISGCQTDETSADATTADGMSYGALSNAIQTILAGNGNGKKRGAAVTNRELVVRARELLSKQ >Sspon.07G0011550-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:104366213:104366740:1 gene:Sspon.07G0011550-2D transcript:Sspon.07G0011550-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding CMTYTSAPVLRGHNRQSTQLLPTRIDGQDEPQQHRHCSQTGRRSPRAVGGGSSSREAVASQVRAARSRVPAPRRRDRAHGQQHRASAWRARGDPWELAFVAGPSALLAAIFVCLHRAERLMPEAPRGERWRLQVAVWALSTVMSCVLAYRVSLAMPAALLVTVVWCMTSFVVVVGF >Sspon.08G0001040-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:3970275:3971003:1 gene:Sspon.08G0001040-1A transcript:Sspon.08G0001040-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNAGGNIPLSQQVRLFESTKAHMVTKLLSKSFFLIGVGSNDFFAFATALAKQNKTAATQSDLAAFNSSLISNYSAAITELYKLGARKFGIINVGPVGCVPIVRVLNATGGCADGLNQLAAGFDGFLNSLLAGLAPKLPGLAYSIADSFGFAARSDPLALGFVSQDSACCGGGRLGAEADCLPGAKLCADRDRFLFWDRVHPSQRAAMLSAQVYYDGPAEFTTPINFKQLADKS >Sspon.05G0004360-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:8031789:8032091:-1 gene:Sspon.05G0004360-2B transcript:Sspon.05G0004360-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTAKVKANDMVSEAKEKAKEAAAKMQGKTGEATAATHGEKEMAKEAARAKKDQASADKHQEKVEHRADAATTGRHGAGAAVDPAYPSAGSTYPASGKYI >Sspon.02G0001260-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:4410269:4410652:1 gene:Sspon.02G0001260-1A transcript:Sspon.02G0001260-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARHSRAPFLLALVATLAVAAASASVYARGADADTELLVGDDDDLLGLGGVFGGRRRLDDGNATGLDDGNATSIDAVMGASGFISYAALSRDSVPCSQRGASYYNCRPGAEANPYSRGCSAITQCRG >Sspon.07G0005010-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:11859384:11868042:-1 gene:Sspon.07G0005010-2B transcript:Sspon.07G0005010-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPKAQGPHDEGFLEYLEDIIGTNQYVEKIEEAYKQLEVLNEKRTASVQMLKLAEKERDSLESAKNEAETYMLKELSLLKWQEKATKLASDDAISRVNQCQENVADLEKNLASEREKIQQNSQTLKEMESIYNKHVKRQEDLENNMKSCKDQFKEFERKDVKYREDLKHLKQNIKKLDDKAEKDTSKRDEKTKEMEESSNLIPQLEAEIPKLQERLNEEEKVLEQIKASSREETERLRAELTQVRTELEPWENQIIEHKGRLDVASAEKKLMKEKHDGAQAELTDAQNQMKSIKEKVKTKDSYIVELQEKIEKHQNEASEARKIEQECQKQEDSLIPLEQLARQKVAEMKTTRDSEKNQSTALKAILQAKESNEIQGIYGRLGDLGAIDAKYDVAISTAASSGLNYIVVETINSAQACIELLRRRNREETEKQTHLLHKIKEKVKTPEGVPRLFDLVKVKDEKLKLAFYHVLGNTVVANDLDQASRIAYTAAKEFRRVVTLGGELFEKSGTMSGGGKRVQRGMMGTAIRESFSEEAIKTAENELTELVDKLNKLRENMNDAKKHYRSMEDAKSRLEMELAKAKKEVESMNAQYIYNEKRLESLKAASQPKADELRRMKELDGIISSEQAELDRLTKCSSKLKDQAKLQQKIENAGGKMLKDQKAKVGNIQSELDKTSSEINRHKVNITSGEKLVKRLTKGIEESKKDREKLFAEKENMMSMFKEIEKKAFVVQEEYKKTQEVDAEYKLQDTKRLAKEWEMKVKTFKKRLDEIQTNVVKHMDQIQKDAVDPEKLKATLGDEQLNDTCDMKRAMEMVALLEAQIKDLSPNLDSIAEYRTKARLYGERVDELNATTQERDDLKKLYDGLRKRRLDEFMAGFNIISLKLKEMYQMITLGGDAELELVDSLDPFSEGVVFSVRPPKKSWKNIANLSGGEKTLSSLALVFALHHYKPTPLYVMDEIDAALDFKNVSIVGHYVKDRTKDAQFIIISLRNNMFELADRLVGIYKTDNCTKSITINPGSFAESMKVV >Sspon.01G0003500-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:10085805:10089459:-1 gene:Sspon.01G0003500-1P transcript:Sspon.01G0003500-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPTKKLETDDPDPHPLPARGPPAPPRLPPPPLPRRAPRLARPCRRHVSASAANGASAEGEYDYDLFTIGAGSGGVRASRFASTLHGARVAICEMPFATIASDELGGLGGTCVLRGCVPKKLLVYGSKYSHEFEESRGFGWTYETDPKHDWNTLIANKNTELQRLVGIYRNILNNAGVTLIEGRGKIVDPHTVSVNGKLYTAKHILVGVGGRPSMPDIPGIEHVIDSDAALDLPSKPEKIAIVGGGYIALEFAGIFNGLKSEVHVFIRQKKVLRGFDEEVRDFVSEQMSLRGITFHTEQSPQAITKSNDGLLSLKTNKETFGGFSHVMFATGRRPNTKNLGLEEVGVEMDKNGAIVVDEYSRTSVDSIWAVGDVTNR >Sspon.07G0018710-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7A:67664006:67665669:-1 gene:Sspon.07G0018710-1A transcript:Sspon.07G0018710-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGRSHAPVTQPTERSPLHNRRKHTSMYLRSGPSAHLHCISTWAVGSAMASPPEIGPNPKPHHRAAANTAHSPPSRWRPPLTFQNMHAGTQLALHWSHAGGGHSDPAPTM >Sspon.05G0018600-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:74061145:74075466:-1 gene:Sspon.05G0018600-3D transcript:Sspon.05G0018600-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRGADRISDLPEDVLHHILSLLPARDAVRTCVLAQSWRNCWRSAPALRFACSTGWLGGVDAFECFVDSLLHVRRRGPPLDSCDFDLDVVDLEYCDYGLDFEGNSWIRLVLELRVRELRFRISGNTDNPFMLDKRPLSSQHLTSLELKGVQDIYGVLDFSECPTLESLKLEASWMSREMHSPSLKNLSIKYCCFEANCRTWISCPSLASFEFINNSGRAPMLERMPYLETAKVRFDHHSDDRCKNGRLDDCGDVACAGCFDHYGPDHSGRAFLQGLAEATYLNLSAYPDMYVFYRNLKWCPAFNKLKTLVLSKWFLSTELSALIWFLHHTPLLEKLTLRISKAHKSLMKTEESYNPLEKSVAPSHLQIVEIICKDVDGIVLNVLKVLNANGIPLGKIRIHGADRISDLPEGVLHHILLLLPARDAVHTCVLAQSWRNCWRSAPAVRFASSTTGLVGVHAFAAFVDGLLRVRRGGQRLDSCDFDPDVTARAPPMARGADRISDLPEGVLHHILSLLPARDAVRTCVLARSWRNRWLSVPALRFACSQGQLRSTGPFVDGLLRVRRGGLPLDSCDFDLVADLVSCDYDLDLQGNRWIRRVLELKVRELRFRVSGKPRYPFKLEYTPLSSQHLTSLELTGVQDNDAVLDFYECPALESLKMERSHVRSTEMQSPSLKHLSSVFLDGLAEATYLDLSAPSDMIVFYRDLKWCPAFNKLKTLVLRKWFLSTDLRALIWFLHHTPLLEKLTLEIPKEHKSLMETEGSYNALEKSIAPSHLQIVEIICKDVDGIVLKVLKVMILCVLISTPCRSEKYQAVDDPSRLLKQSDMKTANMVVSASPYSGHKAIVTGVAHPAVAKEKLDGKQEQMTRVRKLAHLKKGSNEENPKFCV >Sspon.04G0014720-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:55131062:55131670:-1 gene:Sspon.04G0014720-1A transcript:Sspon.04G0014720-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAVAPLLATIIGSFGSGGEHRRQQRVPSCHELRSCVAGDDHNKELELMLSPDADASGHDDPRDAGATARSASAAEAVEDAECECCGMSEVCTPSYIAAVRSRFSGRWVCGLCAEAVAEEAGKNGGDRGAALATHMAVCRRFNGFGRTHPALFQADAVIDIVRKLSAGSGPRSPTKSSAAGARVVISEGAKTAAVDGMVLIAG >Sspon.07G0007670-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:10518648:10519226:-1 gene:Sspon.07G0007670-4D transcript:Sspon.07G0007670-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRGAEPVGEADAERRRGYKGVRRRRWGKWVSEIRVPGTRERLWLGSYATPEAAAVAHDTAVYFLRGGTGVPGGGGDVAALNFPERAAAAYGAGGRLSPRSVQRVASDAGMAADAQLVAARESAPALAHGHRTGIRIIGSAHGGGVSARPRDQDAGDAYTGRAHASHHSTGAGREQPAVSGEISVDDMEILM >Sspon.06G0009400-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:50203768:50208593:1 gene:Sspon.06G0009400-1T transcript:Sspon.06G0009400-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding ISERNGAAAQDSLDISQYSFDTKLKKKQNKTRGANSVAAGADNSSRAFDLEIKRSLSKLKKKDVYSGSETSDDDDGYSEGDETESETTVSDTESDLDVNSGAWDLKGNGLKLIEPGEPVTDDRILGARMTKASLVPPVTRKYEVIEEYLIVADVEEVQRKMRVALPDDYSEKLLSQKNGTENLELPEVKDYQPRKVAGDEILEQEVYGIDPYTHNLLSDIMPGDLELSPTDKHIFIEEAFHWFGNTPMTYCLRPVIEEIQRSAEDSGDRRTSKMCLGMLKAMRNRSDQNFVAYRKGLGVVCNKKGGFGVDDFVVEFFGEVYPSWRWYEKQDGIKHIQNNSEDQAPEFYNIMLERPKGDRDGYDLVFVDAMHKANYASRICHSCNPNCEANFIPFHGAQFYSELEFMYQVALTNFKGEEITFDYNSVTESKEEHEASVCLCGSQVCRGSYLNFSGEGAFEKVLMEFHGVLDRHSLLLQACETDSVSQQDLIDLGRAGLGTCLLAGLPGWLVAYTAHLVRFIYLERQKLPDEILRHNVDEKRQFLIDINMDSEKNDAEVQAEGVLNSRLQQIVHTLDKVRYVMRCIFGDPKNAPPPLVRLSGKGLVSAIWKGDNSIVAELLQSMEPHVEEEVLSDLKAKIRAHDPSDSEDIEGGIRNSLLWLRDELRTFPCTYKCRHDAAADLIHLYAYTKCFFRVRDYKTVKSPPVHISPLDLGPKYADKLGPGFQEYCKTYPENYCLAQLIYWYSQNSEPESRLTRARKGCMSLPDVSSFYVKSAKPSQERVYGNRTVRFMLSRMEKQAQRPWPKDRIWVFKSDPRFFGSPMMDAVLNNSPLDKEMVHWLKTRPNVFLG >Sspon.07G0013680-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:55386932:55388499:1 gene:Sspon.07G0013680-2B transcript:Sspon.07G0013680-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRHSCCYKQKLRKGLWSPEEDEKLMNHITKHGHGCWSSVPKLAGLQRCGKSCRLRWINYLRPDLKRGAFSQDEEDLIIELHAVLGNRWSQIAAQLPGRTDNEIKNLWNSCIKKKLRQKGIDPNTHKPLTEADRSGVAPTISTERTSGSSDVNPSSAGALGNLSHLLSETAQSSMLMPVYDKNRTETPNLARPKVPPKELFLGQLTAGHEGPSTCRSSGQTLYFPFQQPLGYNSESGSGDGANMNSLWFNQSDFNCSTISTVMPPVSPSALSTSMGLNLPPDNPRHGGTGIGSTAVDSFYWDGTNPSSSSSTGSRGSNSMGFEPQSTSSILENSVFPWTDIGQEKDTRAHLVEELKWPDLLHGTFAETTTAMQNQSQTLYDDVIKAESQFSIEGICASWFQNQQPQQQLQAAPDMYDKDLQRMQLSFENI >Sspon.04G0020480-3P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:80836034:80837645:-1 gene:Sspon.04G0020480-3P transcript:Sspon.04G0020480-3P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GERRAWFRLRLLHRGAGGGGRRVGAGGGGQVVQPARGLGAQGQVHPAALLREPAHAHLPPFVDVLLHLRRLHLRRGAADPDHPGEPGPDQGGHRQRRGGLRVGRHLLAPRHGRRLRPAGPALRLRLRRHAGGAPGVLHGHHRQRRGLRRVPVPHRLLPGNLRLVPVLDQHHVQHQDHRHRQRAGVRVGRHGRRRHAAHHAFRLRGHPPVRRHAVRGVADRLLRAGADARRRGHSRAHHGAGPPRRQPPQPAEAGRRQLPQGQLLQGALARRHQLPHLGLRVYLRLQHGRAAHHQQHHRRVLLRPVRARHPRGRHHRRLLRHGQPRVAPAGRRALRRRRAVLGHASAPLEHLDPPDRRRRILPLARPRQLAPGLRHRHGALLLLRAGRLRRHVRRRPLRLPSLAGRHLGAHGRRRQRRRGAHAAALLHHVQLLHGEGDPEHGHHEHGVHAAARPRALPAVGLHAPPAQRRRRRGELLCLRVERGGEERRPAQRQPQVRREQPVRAWQAQRRRRPRHRGHAGARLT >Sspon.06G0020700-1P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6D:9929941:9930366:1 gene:Sspon.06G0020700-1P transcript:Sspon.06G0020700-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLATSSQRQQRKAVEEELEHSLVLLDLCSAMQENLAELRVIGQEMLLGLKRGDDVAVQAKAQSYARLAKKAQKQFKKTSSKVALDDTESCRVVKLLSEAREVALSMLESALYLLSREIVTPSATKWSLISKAFQTKRVACR >Sspon.04G0009760-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:27999487:28001452:-1 gene:Sspon.04G0009760-3C transcript:Sspon.04G0009760-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SRKASIYCTNKKPFDRFNITTSQRPQADMSAATLPTGHGQKPHAVCLPFPAQGHITPMLKLAKILHARGFRVTFVNTEYNHRRLVRSRGAAAVAGLTGFRFATIPDGLPESDADATQDPATISYATKHNCPPHLRSLLAGLDGVTCVVADNLMSFSVDAAREVGVPCALFWTASACGYMGYRNFRLLIDRGIIPFKDEEQLTNGFMDMPVDWAPGMSKHMRLKDFPTFLRTTDPNDVLMTFQLQEVERSEYASAVVVNTFDELEQPALAAMRAIIPAVYTIGPLASVTEQVVVRCGPLDAVSCSLWREDQSFLAWLDARKPRPRSVVYVNFGSVTVMSGQELAEFAWGLASSGHDFLWIVRPDVVKGDTASAAALPPGFLEATEGRGLLASWCDQEAVLRHEAVGLFLTHSGWNSTLESLGAGVPMLCWPFFAEQQTNCRYKCVEWGVAMEVGDDVRREAVEARIREAMGGDKGKEMARRAAEWKEAAAGSAARSLANLDRLINDVLLSPARLDGSLKMA >Sspon.04G0025170-1T-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:28843179:28845333:1 gene:Sspon.04G0025170-1T transcript:Sspon.04G0025170-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding SILDMELELSLGDSRAPAKNTFTPALTPIHAGEGEGHELALELGVGTAKRAEQDNQKTLVQAEDVQEEEEETRSYSESPVELSLICPLLPAFLFTGTVNSEVCVRGFDVNTVLVDGDTAQGRSLSTSSLPLEVPVRQTADQEAAEDEGTRKKLRLSKEQSAFLEDSFKAHSILSQKQKSDLANRLSLRPRQVEVWFQNYHGRTKLKQTEVDCEYLKRCCENLAQENRRLQREVAELRAQRISTTAYPFFYGHLPAAGFSTARVCPSCNNKKATAHYTAISAPPAVVPPPSSVPTLFARPHLGPFTVHPVLHRHPS >Sspon.04G0014400-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:59813642:59816109:1 gene:Sspon.04G0014400-3D transcript:Sspon.04G0014400-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLRLAACRVFLLLAAAAAAAAAESNSSANCTLRDGEIIRAAFSQVTNFPLPLPGRRACRPVRRLHFPSRNLTGAVNWAALGNLTSLLTVDLSNNSLHGHVDGSSFWRAPLLRAVDVSRNHLEGVLRFDYPSTRLATLNVSSNRFTSVDSVAGLSGVVALDVSRNAIGTVPAGLRSLMLVRVLNLSGNHMTGRFPVDLPPLAGLQVLDISHNNFSGAVDDATVHKFGNSSFFQAGNALRVIEDIAPAPEPAPSSDGGNRHKRAVTIALVSVGAAVTAAALAFLAACVACAMTRRWKKKKKDKDGKTAVWEDDEVVVGAVKVAAAAPVVVLERPLMELTLADLAAATSGFGRESQLADTGGRSGAAYRAVLPGDLHVVVRVVEGAVAGVAEDADAAATEAGFRELARLRHPNILPLLGYCIAGNQKLVLYEYMEKGDLHRWLHELPVGSMDTEDICIDTMEAIEDRKPAGDWPTRYRIILGIARGLAFLHQGWAGAAGSGSGSGRRPIVHGRLVPTNILLGDDMEPRISDFLHAGSSSDETSTPASDVYRFGTLVFEVVTGQARWSDASTTSWARGVIRNRKGLNLVDDRLRDETAGTEAEKEMAECLQVGFLCTAGAPEKRPTMQQVVGLLKDVRPPATAGGVPPLGPLDS >Sspon.04G0008920-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:22687878:22691024:-1 gene:Sspon.04G0008920-2B transcript:Sspon.04G0008920-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGAGEEGKQERHLVLAHKLFLLSHPAVDDLSKVALRAEVLDTVKSDDMATLFESLVAAGVLEADAALLAEMRGRIDEEIRKLDEKIADAEENLGESEVREAHLAKSLYFIRVGEKIGFFYMDFDLISKSIDKAKNLFEEGGDWERKNRLKVYEGLYCMATRNFKKAASLFLDSISTFTTYELFPYDTFIFYTVLTSIITLDRVSLKQKVVDAPEILAVIGKVPHLSEFLNSLYNCQYKSFFVAFSGLTEQIKLDRYLQPHFRYYMREVRTVVYSQFLESYKSVTMEAMATAFGVTVDFIDQELSRFIAAGKLHCKIDKVAGVLETNRPDERNAFYQATIKQGDFLLNRIQKLSRVIDL >Sspon.07G0011010-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:42460392:42468843:1 gene:Sspon.07G0011010-3C transcript:Sspon.07G0011010-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:alpha-mannosidase 3 [Source:Projected from Arabidopsis thaliana (AT1G30000) TAIR;Acc:AT1G30000] MSGTSGPLPYSMRDVGAGGAYNNAKFRHRSRLKSPSEWTSRQKKVKEAFEYAWSGYQKYAMGYDELMPLSHRGTDGLGGLGATIVDSLDTAIIMGADDVVSEASKWIEDNLMKRISEKGQVNLFETTIRVLGGLLSAYHLSGGDHPGAGDSGVPVTYKKANPERLLEVSKDLADRLLLAFTSSPTAIPLSDVILRDHTAHAAPDGLSSTSEASTLQLEFSYLSKVSGDPKYDRAAMKVLEHMHTLPKVEGLVPIYINPSSGQFSGENIRLGSRGDSYYEYLLKLWVQQEEYRDSSLKYLFEMYTEAMRGVKHLLVRKTVPNGLVFVGELPSGRNGGFSPKMDHLVCFLPGTLALGATKGITKRKALESNLLTDEDKENLQLAEDLAKTCVEMYFVTSTGLAPEIAYFHIEGDTEGGPDGGNKSSKYINDIIIKPLDRHNLLRPETVESLFVLHRITEDPKYREWGWQIFQAFEKYTKVDSGGYTSLDDVTSLPPSTRDKMETFFLGETLKESDFQPKL >Sspon.02G0056950-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2D:38586138:38592350:1 gene:Sspon.02G0056950-1D transcript:Sspon.02G0056950-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MYPLHEHRMIKSAVSFLSLPLHPAAATGSRRPWWPPPASRCHGWPPPPPPSLYSHFPPLSSSTWAQVLAGAASPAAAFPGATALSDAGAACPVLPAPTAPPTGAVPGAGAPSPGAARPPPTGATPFPPGAVAFTATRSRPAGPEADAQPAGATPAGPGAQGDGVAVLSLDTAGGVRPLMPAVRPPPPAPTAAEGQARVRAAAIVWERERDAADALARQIAEAEHLLAPPTSPGAGASSASPGRRLSHTAVLWHDPADPLVAQLHYQAGGVQNIRLLVPVVLKPESSSYARWRDLVLLTLRHYALDDHVLVDASVAIQTPSWFRLDSIFLGNAEARALRLDASFRTFVQGDLSVGEFCRRMKGMADSLGDLGWPVKDRILVLNVLRGLSDRYAHLRTWITRQRPFPTFLEVRDDLVMEELTGGGGVVAVVVAAEGVAEAAGVASPRPRHRVGRRGAPWPSFQNPWSGRISMWPYHAPGADSRPPVAMLTGAPPAGPPPAAFPATLWTPPSATPPGIAGWDQAALARSFSTMGLTPPVGPEWIADSGATYHTTPDPGILSSLHSPSSSLPSSIMVANGSCLPITSVGTAGAHGFFRLPDVLVAPSMVHNLLSIRRFTAENSCSVEFDSSGLTVKDLASRRPLLRCDSTGPLYTLRFPAAPSSSSPSILSAAFVASTSSTTWHRRLGHPRRDALIQLSRSSGLPCTRAHDEQLCHFGLTIKAVQCDNGREFDNFTSRAFFLSHGVQMRMSCLYTSSQNGKAERMIRTTNDTMRTLLFQASFPTRFWAEGLHTSTYLLNRLPSAACPAPTPHHPLFSTPPRYDHLRVFGCSCYPNTAATSSHKLDPRSTLCVFLGYSPDHKGYRYSPVGADPGPSSPVSAPGGPCRSPSPDPAPTPAPAPPSRFAAPVRVYQRRPPLAVPSPPGTPTPPPQSPSTRGAPPVYHPPLLHRHPRHVHPMVTRHATGTLPPRALAASTGDAVVSPVPSSVRDALLDPHWRRAMKEEYAALLANQTWELVPRPPGSNVVAGKWIWTHKRRADGTLERYKARWVLRGFTQRPGVDYDETFSPVVKPATVRTVLSLALARSWPVHQLDVKNAFLHGLLTETVYCSQPAGFVDSTRPDMVCRLNRSLYGLKQAPRAWNHQFAAFLLTLGFMEAKSDTSLFVYHHGADTAYLLLYVDDIEFAMKDLGELHHFLGVTVEHRPAGLLLHQRQYTRDILERAGMTDCNPCSTPVDTQGKLSEVEGPPVADPTAYRSLVGALQYLTFTRPDITYAVQQVCLRMHDPREPHLTALKRLLRYLRGTLDYDLLLHRASSTDLVVYTDADWAGCPDTRRSTSGYAVFLGGNLVSWSSKRQPVVSRSSAEAEYRAVANGVAEASWLRQLLAELHTPPSRSTLIYCDNVSAVYLSTNPIQHQRTKHVEIDLHFVRDRVAMGEVRVLHVPTTSQFADIFTKGLPSSTFAEFRSSLNITGG >Sspon.02G0039990-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2B:64181523:64183273:-1 gene:Sspon.02G0039990-1B transcript:Sspon.02G0039990-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RSTGGHTGSHMCWRMRLVTYAMLPPLILPEVLEQKLVAPRGEMQNLAMESDRLAASHAAGGGRGDVEAPCGRDERVGSIITWVRSG >Sspon.01G0061510-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:94632381:94633079:-1 gene:Sspon.01G0061510-1P transcript:Sspon.01G0061510-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEARPSRIECSELPEQPEPKNPGRVFHTERVAPRDATESLTEQLAHGGSGDGVIDETYDTSVKIGEALEGSARAIGDKPVERSDAAAIRVAEASALGGDAGRGAIPGGVAELAQAAVVANARAARDEDKITMTDVLTWEATMKLPTGKAVTGEVAAAAAEAEAANDPRAETNPRGVSAALDMAAKHNLNTSARLE >Sspon.01G0043770-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:69231844:69238111:1 gene:Sspon.01G0043770-2D transcript:Sspon.01G0043770-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATNPGLFTEWPWKKLGNFKYLLLAPWVAHGVYLAATKGWKAADLGYIAIFPSLLLRMLHNQVWITVSRLQNARSRRQIVDRGIEFEQVDRERNWDDQILLSGILLYLGAMYLPGGSTCRCGARTAPCSSRCCTPAPWSSSTTGSTARCTTTSSTPDTTPTTTPPSSPSQSPVSYNYKPAPPPPPFTFKFQYAGKFAAVIMHMQVGKFAAVIHPFAELVAYELLFGIPLIVCALNGTASILAFEIYLVYIDFMNNMGHCNFELVPNWLFKWFPPLKYLMYTPSFHSLHHTQFRTNYSLFMPFYDYIYNTMDKSSDTLYEKSLKGKEETVDVVHLTHLTSLHSIYHMRPGFAEYASRPYASKWYVRMMWPMSWLSMVLTWTYGSSFTVERNVMKKLKMQSWAIPRYSFHYGLTWEKEAINSLVEKAICEADKKGAKVVSLGLLNQAHNLNGNGELYLQKYPKLGVRLVDGTSLAAAVVMNSVPQGTDQVVLAGNISKVARAVAAALCRKNIKVVMTNKQDYHFLKPNMAEDAAENLLFSKTATAKVWLIGEGLDASEQFKAQKGTQFIPYSQFPPRMARKDTCTYSITPAMAVPKTLQNVHSCENWLPRRVMSAWRIAGMVHALEGWNEHECGDIVLDMEKVWSGALLHGFRPVAQV >Sspon.06G0010400-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:57084983:57085603:1 gene:Sspon.06G0010400-1A transcript:Sspon.06G0010400-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLAAQLKNKFFGLIGRITSCGRAGAAYKDAGNSSAAHAPPSEQKEQPSLLHTLAANDSTVVSAAGATETNLLKSGQGEVLHAWMEGPRVTSTAIPSRGGAGEEKKLMDGWCLDLDIICSLVTSLNELKL >Sspon.01G0006900-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:18420126:18425069:-1 gene:Sspon.01G0006900-1A transcript:Sspon.01G0006900-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eukaryotic translation initiation factor NCBP [Source:Projected from Arabidopsis thaliana (AT5G18110) UniProtKB/Swiss-Prot;Acc:Q9FK59] MEAAVEKKETEQEEQQLPHARKDDAPAAAEEDEADSEETERRNRDLKAGHHPLRRKLVLWYTRRTPGARSQAYEDNIKKIIDFSTVESFWVCYCHLARPSSLPSPTDLHLFKDGIRPLWEDPANQNGGKWIIRFKKAVSGRFWEDLVLVLVGDQLEYSDDVCGVVLSVRFNEDILSVWNRNASDHQAVMALRDSIKRHLKLPHSYLMEYKPHDASRRDNSSYRNTWLRG >Sspon.01G0055010-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1C:72423818:72425291:-1 gene:Sspon.01G0055010-1C transcript:Sspon.01G0055010-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTSLGPGTESTVGSVDPTTRSANLWAPPVRLPFDVDFPLSLRINLHRASTGLRSVYPTVVDGVDADR >Sspon.06G0024110-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:46846742:46850389:1 gene:Sspon.06G0024110-3D transcript:Sspon.06G0024110-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium/calmodulin-regulated receptor-like kinase 1 [Source:Projected from Arabidopsis thaliana (AT5G54590) UniProtKB/Swiss-Prot;Acc:Q9FIU5] MKGVSEGLIIGITVGVVIGVLLALGTLLCLRYRRSQTQIRSSSSRRASTVPIRTNGVNTCAMLSNSTTGQESPRELEDRVSSLWIEGPGRKSMISASGIPKYAYKELQKATSNFTTLLGQGAFGPVYRAEMSSGEILAVKVLSNNSKQGEKEFQNEVLLLGRLHHRNLVNLVGYCADKGQHMLLYAYMPNGSLASHLYGMSSLYHRTLYLYNSENSAPLKWDLRVNIALDVARGLEYLHDGAVPPVVHRDIKSPNILLDQAMHARVADFGLSREEMVTRNGANIRGTYGYLDPEYVSTRSFTKKSDVYSYGVLLFELIAGRNPQQGLMEYVELAAINADAKTGWEEIADSRLEGTFDVEELNDMSAVAYRCVSRVSRKRPAMRDVVQALTRVLKRSRSRKHHSNRHPQARADDESVDLEGSEVQSSFSGLQREESVGSVSDLPD >Sspon.01G0015180-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:48423608:48427411:1 gene:Sspon.01G0015180-2B transcript:Sspon.01G0015180-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATEALADKNAVFRKLRAKSDNKMCFDCNAKNPTWASVTYGVFLCIDCSAVHRSLGVHVSFVRSTNLDSWTSEQLKMMVYGGNNRAQAFFKQHGWTDGGKIEAKYTSRAADLYRQLLAKEVAKSSTEDGNTSWPSSPVSASQASNQDAAFPDLKLTEVSKENVSEKKESEIPNESLYEQKPEEPAPALPSSGSSAISSADLFGHSANNPSVDLSASDLINRISFQATQDLSSLKNMAGETGKKLTSMASNIITDLQDRIL >Sspon.02G0037610-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:34896403:34897548:-1 gene:Sspon.02G0037610-1B transcript:Sspon.02G0037610-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSSRRTGLGFPDCRGAAPPVPPRRRRAPVIRSRMWISFTIVHASSQQQQQAKSNPFEFSSGSRQQQQSSFGAHSSSSRSPILSDLKFKVVRLGNPETSLIRQRYIRRNQLDVGFPLFESVSEMA >Sspon.05G0012490-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:5A:38699342:38700067:-1 gene:Sspon.05G0012490-1A transcript:Sspon.05G0012490-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSADDDDEAERRRRARALLDATTPGRSLAVGRCKLALETFRSDREGVGLREARTLLGDALVCSNVHHPAIYRAWIGMEVEAGTDATHVRELFEGWRNWYLRVRSDAKNRDGGEEEEERRPSQDEGGFWCHYIDFELRHGTAATARCVGERAVAACPRDPAVHAKYAKAELRLGCPDRASAVLLSALDAFAADAGTREWLHKEVAVYSDSMRHESWRRIRSLLPSLCRRRFRPAPGYELLAV >Sspon.04G0022530-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:7201292:7201531:1 gene:Sspon.04G0022530-1B transcript:Sspon.04G0022530-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMTSKFVLPLLLAVLMLLVVSGSAARRVEGDNWAAGDEAASGVGHPIIQFIKRLYLQQLTGPCPHDMTYDPNSNPHCHH >Sspon.05G0013460-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:20639783:20644749:-1 gene:Sspon.05G0013460-2B transcript:Sspon.05G0013460-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHSTSLLRLIFLALGAALVLLVVRSAFRLPRGIHTPTTSFFDDATAGSSCTRFAPWGCRQADRIKQKPKPEPPSHENDVPLHPLDPLTVTEINRARELLRAHPPFASSPSSMFVHSLALDEPDKPVVLSWRKGADPLPPRRAVAVVRFRGEAFVLAIDLASGAVTPLPVPASGYPTMTMDEQVSLCYAPFSDPAFNATIQRHGVRMSDVACLPISLGWYGPTEENRRLIKIQCFSAEGTANFYMRPIEGLTVLLDMDTREVIRIFDGGAGIPIPPAANTDYRYARHMQEDDGGHQTSKSEAGFQKVRAPSMEPGPSGPGVELVDGHTVRWGGWEFHLKADARAGMVVSRARVQDPGTGAHREVLYKGMASELFVPYMDPTEAWYFKTYMDAGEYGFGLQAMPLVPLNDCPRHARYLDGVFVAADGRPYVREKMICVFERYAGEVAWRHSESPITGMDIRESRPKVTLVARMVASVANYDYIMDWEFQMDGLVRIKVGLSGILMVKGTAYSHLGQARENEDMHGTLLSENVIGVIHDHYVTFRLDMDVDGADNSFVRVEMARQETAPGESPRRSYLKATRHVARTEKDAQVRLKLYDPAEFHVVNPAKKTRVGNPVGYKVVPAGTAASLLDSEDPPQKRGAFTNNQIWVTPYNKSEEWAGGLFVYQSKGEDTLATWSERDRPIENKDLVLWYTLGFHHIPCQEDFPIMPTVSSSFDLKPVNFFESNPILKQRPTKEDDLPICAATAA >Sspon.07G0023190-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:13798038:13798678:-1 gene:Sspon.07G0023190-1B transcript:Sspon.07G0023190-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQEGGLRLVSHPIAAHGGRLTRQYSAEGQGAKKDMSPPLEWYGVPEGTRSLALLVQDIDADPVLPWTHWVVANIPPSAKGLPEGFSGREGGAAVPPGREYGGLQEGINDWKQPGWRGPVPPSHGHRIQFKLYALDDELHLGNKVTRDKLLEAIQGHVLEEAELTAVFQGVVSN >Sspon.06G0026650-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:79198761:79201181:-1 gene:Sspon.06G0026650-3D transcript:Sspon.06G0026650-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASGSRQERWSLAGATALVTGGSKGIGHAVVEELAGFGARVHTCARSAAELEESRRRWAEKGLVVTVSVCDVSVPADREKLMDTVKATFGGKLDILVNNAGQTFLKPAAECTAEDYSHVMATNLESSFHLCQLAHPLLVRASIAGGGSVVHVSSIASYLGYPGLNSTIAGAMNQLTRSLAAEWAQDKIRVNCVAPGAVTTDILKQVEPESLEQEISQVPMQRCGEVEEVASVVSFLCMPASSYVTGQVIRIDGGRTISA >Sspon.01G0014760-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1A:42498421:42498621:1 gene:Sspon.01G0014760-1A transcript:Sspon.01G0014760-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTRQFYNPIPKSLTLADGARCGGGMADVRGLDSAEMWCECGLYAKAAFTRLPALARSVKEAKGNH >Sspon.02G0007350-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:21752031:21754762:1 gene:Sspon.02G0007350-1A transcript:Sspon.02G0007350-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MCARIDPVSVREGDWNCPQCGNVNFSFRNVCNRGACGAPRPSPSPSPRMMPAPPPGGYDRSPLFYGGGGGPPPQIPLGSGSYGAPYPHLGMRYGYGPPVGAPGSYGLFSSYGQPGPMSGMGYGPGPELGRYSYGFRGSPMPVSSPWSGGALAENNDNIASRKRRGGPDGLSEGDWTCPKCDNINFSFRTTCNMKKCGAPRPTPGGNTSSSRKDNNNKEAPEGSWTCPECNNLNYPFRTVCNRKGCSYSKPAPTNN >Sspon.04G0008480-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:21434825:21435099:1 gene:Sspon.04G0008480-2B transcript:Sspon.04G0008480-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding IEIKTAPADFRFPTTNQTRHCFTRYVEYHSQNNTLLFFLPMTAPLTEFRCVNAKGDDAGDCEKFAKYY >Sspon.04G0017790-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:70149860:70152413:1 gene:Sspon.04G0017790-1P transcript:Sspon.04G0017790-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASRGISGCEEEKTRRGDAATEAERKKKKDGSAQGSKGGGVAASPPAGSILCARSSFPGVGVGVGAGDIWDPSSLLVFLSRTTEVVAYFCEIHIMGERRTILMDRYEIGRQLGQGNFAKVFFARNLNDGQSVAIKMIDKDKITRVGLIVQIKREISIMRLVKHPNVLRLFEVMASKSKIYFVLEHAKGGELFNKISKGKFSEDVARRYFHQLISAVDYCHSRGVYHRDLKPENLLLDENENLKVSDFGLSALAESKRHDGLLHTTCGTPAYVAPEVLSRRGYDGTKADIWSCGVILFVLVAGYLPFHDPNLIEMYRKISKAEYRCPRSFSGELKDLLFRMLDPDPSTRISISRIKRSTWYRRPIEVNAAKIKHDTTRDKVCNGEATTSNSIECSNPEENQGSSSLPNLNAFDIISLSTGFDLSNLFEEKYGRREERFTTRQPAGAVFAKLNELAERLKLKIKKKENGVLKLAAPKEGIKGFLELDAEIFELAPSFLLVELKKTNGDTIEYQRLVKEEIRPALKDMVWAWQSDRHQQREQSVQGEQQQLSPLPPQQ >Sspon.04G0016390-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4A:60373190:60373480:-1 gene:Sspon.04G0016390-1A transcript:Sspon.04G0016390-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGCALRERATLFLSASRALLPPHACLLLRPLHRLRSLRPLFLPARSSSSRVRLQIRRLSSSSPTAPPPSARGDILSFPSPTHHCHGHGRPRPDLV >Sspon.04G0035370-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:9012030:9020793:1 gene:Sspon.04G0035370-1D transcript:Sspon.04G0035370-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFVFAVKEASLIGPESYAKQSASHLEQEILLLSQLEHKNIVQYFGAKKEPMVDADHLTKFRSYAGLHTGVLLISGAFGALSWRCSSENLHKLRSHDSVFYQIGRGQLPPVPGSVSMMTREFIHKCLRVDPDDRPSADELLGHPFVALTDLEQLFPDRSCHVHRD >Sspon.03G0034260-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:2960039:2962186:-1 gene:Sspon.03G0034260-3D transcript:Sspon.03G0034260-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLELDDLANGGVVAAAVLAVLLVAFSTYGRRFCRHPAVRFFVWSASMVFLPLSSAVLAALLSRAKEATTSGRNNPDVQNMWTLLLWMVLILAIKCNANIAATAVTAVSSSPADGDVSIDGNRIRPPVENLAEYAWLAYLIWLCVPVAEWLGRFNIAIFFVFSALGLAKLALKLAAFYRASGSFALGKNARLIAGYMEQVVADGRREQVPVPRYIVMGEKKKHVEESPQGYRVKRDALQDNFSDLVTLDRVWRLAEHGDGILAERVELRDLCLSYSLFKMLRRGLSGYPLADAGSGEALSFVLSGMDDSAGTVNADRVFRVLVDELWFASDFYYSPIPLCIFGGWCAALNYMCSLLIIVGAVVVGCFYQDRDVINKPSYKVVTYSLLITVVLVEAWEIVAGVCSNWTKMALLGHYIRHHCLHAALTAVLHLRPARRWRDKLGQNSVLEPRRFRRRTGLLSELLYGSSGLMKSIRVSPSVRDAVLRSLLSSYGAAAARRVGGKVDWAMMSQKSWASSNTELILVWHVATRLFEMKSTSASPDMIAACHLSYYCAYLVAVAPELLPDSAAWTKRRYKEVSDDVRAALGADGGGGESTAERYERLLAELSKDSRDTVLRRGAELGRHLVEEYAEDEASACRILSDFWSDMVLFVAPSEKIKGHVQAMARGGEFITLVWALLLHAGVTTRPNTSGGAIP >Sspon.01G0048420-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:108868763:108870016:-1 gene:Sspon.01G0048420-1B transcript:Sspon.01G0048420-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VDFVPNFDNSQKEPSLLPARVPSLLLNGSSGIAVGMATNIPPHNLGELVDVLSVIIQNPEATLQELLECMPGPDFPTGGTILGNQGILEAYKSGRGRIVVRGKTDIETIDEKSKRTAIIIKEIPYQTNKATLVQKIAELAEDK >Sspon.05G0016650-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:68416516:68417196:1 gene:Sspon.05G0016650-2B transcript:Sspon.05G0016650-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLSLHVGAGVGYYSGPPAAPHDKAPPSEPVDGQVNASVPGACTMYRSGDPSVSSSPNDSSFRFSVQSRLVCAASGYYSGGPAAKTGAGGQGSSAAPSKESSFFASW >Sspon.04G0020140-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4A:70868936:70876212:1 gene:Sspon.04G0020140-1A transcript:Sspon.04G0020140-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAITTYTEGELVVTVPKGASPNDGDGDGDVAAVLDVRFKLGSMLRTEVLRHSKCYHQGFYWYWTPELKELILELSKAESETESKSEGIQNLIQLFVEYHTEWRQLVCVVAVKLFSTKALWCEMCKSSDITMLKAVLLVLVLPLAAAFLPQAQSQNGSLATGQSLQVGQTLVSAQGIFVLGFFTNGDNTYLGIWYNYINPQTIVWVANRDNPIKGGNGNLTFIQSSLVLLDTRRGSVTVWSSGSLSTNSPQAFLLDSGNLIINDTTMSGSTPGQVLWRSFDHPCDTFLSGMRIGYDTSEANNGLLQLISWKSESDPSPGDYTISMDPRRMPGLLLFNGPDLKYRTGPWNGQGFNGQPYVKPTNDVVFNMNVHEGSAYYSFTALNTSVQWRLVLAPNGTAYRRRSNSDNKWEDYWQWPQSTCDSYAFCGPNAICSTAVCQCLPEFLPKSPIDWNQRNFAGGCVRSASPFSCSSANGFSRISLVKVPDTQNATLVQVKSLDDCRELCLRNCSCNAYAYALPGEGDCVIWSGDLLDTVQLTLGINDLYTRISHNDDPSHTARQTAIIASVSIVGGFLLISALLGFCYRRSQRKHLPLALELFGTEHEHAPGSKLTANLEQSLDLDAIRVATNNFAERNSIISTRSKNIYKSKHGSGLEELKNEVKILARLHHPNVIRMMGSCIGNNDNVICYEYMPGAEDEKYGVLDWPSRLRILQGICEGLLYLHEHCRIIHRDIDPSNILLSDDLIPKISDFGLATLLDQGQPEGKAESFEGTRAYSAPELFRRKLYSAKSDVYSFGVVLLEIVTGCKATSFRREDADDLPTYGTAEQLKDPRMGDAPRGEVGRCIHIGLRCVQDDPDVRPTMSYIRNTLAAIRS >Sspon.01G0005430-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1B:12819534:12822756:1 gene:Sspon.01G0005430-2B transcript:Sspon.01G0005430-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSKPCRKPPKNDPRDNEPVRASCSRANRAKKISRPGAPTVGIDLHQLAQRLTGPLDRVLIGDAQPNDPAGGPPSRSTIKRRWGQRLGTRGCQRRESSTDFDTDAGPHFYLHTDEKDRRSYLSLCRRSPPPPSSDAAREVNPDRQSKSDVPPSCGLRLGPKGTITVPLDGAARGSGRTRAPARGAVAAPPPSGRIRGRRRCRSVSGVVAELVKGPLGYCCILLGRPASEWLGRCGALGRGPTREQATGLSRQSASGPSR >Sspon.05G0007380-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:25298574:25301621:-1 gene:Sspon.05G0007380-3D transcript:Sspon.05G0007380-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIASSSSANPESRAMALAKAKEIVASAPLVVFSKTSCPFCVRVKQLFEKLGASYKAIELDVESDGPELQNALKEWTGQRTVPNVFINGKHIGGCDDTMALNNNGKLVPLLTEAGAIAGSTSKTTVTA >Sspon.01G0031010-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:108247055:108249082:1 gene:Sspon.01G0031010-2B transcript:Sspon.01G0031010-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:At4g24740 [Source:Projected from Arabidopsis thaliana (AT4G24740) UniProtKB/TrEMBL;Acc:Q1EBU3] MVAVKITRSTKTYRDAAMIKLACLSSLVNMIKVDPGDCVQIRNWFDYRNHICIVSSLLDYFNYELTQRDNRMVLKLWRIWMNGEQVFEKLGPSLCDFLRKKQLPLIPSCPCSGVMHELRHIHTDLKPENILLVSPECIKVPDYKASFGSPKEGSYYKWLPKSNAIKVIDFGSTTYDRQDQSYVVSTRHYRAPEVILGLGWSYPCDIWSVGCILVELCTSMFMSMIHINVVCLSFSVCDVWYSGRCFVLINPLFSPLCKIHQRRTSELAWGCTSWERMKAVMKLPRLQSLVMRNVDQSAGDIVDLLQGLLKYDPANGLTAPEALRHPFFTEEF >Sspon.04G0005600-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:16399968:16402574:1 gene:Sspon.04G0005600-3D transcript:Sspon.04G0005600-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMFEGMERAGYGGAGSMGGVVLSRDPKPRLRWTPDLHERFVEAVTKLGGPDKATPKSVLRLMGMKGLTLYHLKSHLQKYRLGKQGKKDTGLEANRGAFAAQGINFSTPVPPSIPSTASNNTGETPLADALKYQIEVQRKLHEQLEVQKKLQMRIEAQGKYLQTILEKAQSNLSYDATGGANLEATRSQLTDFNLALSGFMDNVTQVCEQNNGELAKAMSEDSLRASNNLGFQLYHGVHQDGDDVKCATDEGLLLLDLNIRGGYDHRASADLKMNQHMR >Sspon.02G0035240-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:18018935:18022608:-1 gene:Sspon.02G0035240-1B transcript:Sspon.02G0035240-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFAGRCVVVALALLLPSVPPAAATQEFYCDDSTYADNSTFQANLNLLGAALPANASASASASPAGYATGAIGAAPDQVNGMALCRGDTNASSCSSCVAAAFRDAQQRCPLTKGATVYKDACTLRFAGIRFLDFLREDQWLVSELVPSIDPGPGSVNAADAWFGAAVTGIFTALVHRAAAASNATRKYFATGEMDFDPKLYGLAQCAPDLTPAQCQSCLGDLQSRVTTQFLSGRPLGNNAFHVWCTLRYSVSPFYAGRAMLQLPAPPAPPPTAAPTPHSSGLSHVGVGHSILSVTMLRIKVKKNDHPILVFRMSLCFRFPHISSCHMTPALKKKGRAQCTIFDLLTLEEATEHFSEKNKLGEELQHKNLVGLQGFCSHHNDTLLVYEYIKNGSLDSILFAPPILCSGYMAPEYVLHGRVSPKIDIFSFGVLILEIVTRRSNCSSDDHSTVNLLSDVWDHWKKGTMSQMIHRPLDEFARSQALRCIHIGLLCVQPEPDDRPDISAVVFMLTRDSIELQPPAQPAFFFGRESPSASRSDGQSSYVYDRSRFMLEQGVSVNGVTLSE >Sspon.05G0024610-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:14216823:14221543:1 gene:Sspon.05G0024610-2C transcript:Sspon.05G0024610-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFFSSGSRVLVEILTRMQSAERPMPVDHTFFEFGSIRYHVEASVSDPENVFLSISTPSLSHEAAAPSSSSSGGLPELTLQETRKTYGRFAEIVEPPREGYVLTLQLNFSGLTRPKDRVKAINQVSLLQSVVLSSQLKNMLGNLGSSPGTMRLVYNQREPFFVSRTADETINAIFPMRFRDDTDLAIATSFFQVGVLARIASIVAVQSSAILSVCHALVCMQCMMHGCSEANKKGSSSRRRMNFLLQELQDVGNSFARAPKCSWSPIPPPELRGENVHHLTTNGGFVSFGVLSRHVRGKRAAKTAWILLNFQSYVKYHIKVALRPPTDPSSIVRFRFRFHYRQKETPWLTTAAVTLMPLQCTRSHVQSRMRERLEALTEAKRNKRRLISLSKASKKLHKGFRAVLDKMKRLRQRIRVKGLDRLQRQFRCRCFAVPRLPAPPRTRSRKERRYHKLAE >Sspon.07G0011190-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:44039771:44041321:-1 gene:Sspon.07G0011190-3C transcript:Sspon.07G0011190-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGCSSWLPFLGAGIGDGVSSRSGSEGQQKQDLPISSQFYLLMDMEKNGHQSEPEAVVPSSESSMFRSFSGIPDETSSLKPRSSNNTTANNSCHHTAEVSSQQIQLSPVTYSSDRPYDHQCYGNLDTILNLDEFKCQEAHNERAQHKNGHGGAFMPYVRHLSPKKKPNKPGSGGQRAIKAAMSAVVRMHMVRLAQWRQCCYQMEMAVAPPTGGSNCNRQQHVLSERKRREKLNDSFKALRTVLPPASKKDKASILIRARDYVSTLKSRVSELEEKNRMLVELLHHRNNGGEGDVSGKKIEVDIDINREAWAAVETSQEFRLKIMVGSECNAMDAVVSILECLKEIGDVRLVAMDTGSRSTTLTLQMK >Sspon.08G0021220-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:35020922:35021353:1 gene:Sspon.08G0021220-1B transcript:Sspon.08G0021220-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSHGPCTAAIMSSAAVGAVTCCSAAKDEECSSAAAAEKKQLVDEAAGRKLSEASLRATTHTHEEEETEEHHDDDDDEEAAPPTDIDLGPRLSIKEQLDKDKVRETSQLRLN >Sspon.07G0019600-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:76646627:76651068:-1 gene:Sspon.07G0019600-2B transcript:Sspon.07G0019600-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRSGAILACLLVVFLVRDAAAVAETEPRSVRLVQEAPHRKVVEDGAKAGRVPVSTVAWSTLAMAAATGLGAVPFFFMELEAQWAGLCNGMAAGVMLAASFDLVQEGQVYGSGSWVVFGILGGGIFIWLCKKFLEQYGEVSMLDIKGADASKVILVVGIMTLHSFGEGSGVGVSFAGSKGFSQGLLVTIAIAVHNIPEGLAVSMVLASRGVSPQKAMIWSIITSLPQPLVAVPAFLCADAFQKEATPSQVASAGTLAVAFMETLSTVLLGFTDGNNSEDASGFLVSLVFGLGPLIGGIILVTFSLGFSMPHPLLTGVASGIAFRLAAWRPVQLLMSSKMGLFTTLCLLLGGSMVYHAATSSILRVFNRKRSSANVITSSSGVSLSVLTMQSLLACGAVFLHAYAEGLALGVAARKAYGLGRYMVLPASLHGLPRGAAAASCVYGATDSWRGALAAAALTGFAAPSAAISAILAKIDYDGLDYWMVIACGALIPSFGRVFRRSLRLDMRKSIVGLLIGIAFASVCLMSTRFICLHTPYCNSAPEAVT >Sspon.06G0000510-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6B:899210:899734:-1 gene:Sspon.06G0000510-2B transcript:Sspon.06G0000510-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding IIDGGGDAACFELDTIVGEAAAHLAGNNGSPSLSGSGSDGAGRDYQLDAVAMTAAADEERRRRRMVSNRESARRSRMRKQRQLSELWAQVSHLRGANRRLLDELNGALRSCADARRESARLRDEKAELAKKLDQLLQPEKAAPASASTSSNHSCSSEAEAEPCNNNTSSTNIAE >Sspon.08G0029820-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8D:49977147:49977302:1 gene:Sspon.08G0029820-1D transcript:Sspon.08G0029820-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDGAEFGGGGCDVGGMGSWGGGGGCAVGTAVCFRGGSRARGRAMRSGAIS >Sspon.07G0010190-3C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7C:26789615:26794844:-1 gene:Sspon.07G0010190-3C transcript:Sspon.07G0010190-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSSAPPSEPATAGDDDDMESLPLAAASDYASVASTFDPLLSSTSATAASPRSPPTRAAAFTLSPTFSSSFVDPPSYADATGAGAGSSSPRSASSPSSASPRSARLTASEYSLLAVSDPETEAEPAATSLVPGSAPTYVSYLVTSVHRRDPDQRRHSVRRRFRDFVTLADRLAEAFRGHFVPPRPDKNTVESQVMQRDEFVAQRRAALERYLWRLAEHPAIGPSDELRVFLQAEGKMPLPGSTDMASRMLDGAARLPRQLIAGEDAVTAPQEVMQPARGGRDLLRIFKELKQSVVTDWAGVKPPLVEEDREFLEKKDKLQEWEQQLTSASQQAEALVKAQQDMAETMGALGLAFVRLTKFETEEAMYDSQRIRAADSRRVATAAVKASRACRDLNVQTVKYLDTLHEHLSIMLSVHTAFSDRASALLTVQTLMSDVASVQSRIEKLEAASSKIFGGDRARTRKVEELRETIRATEDAKFCALREYERIKENNRSELQRLDNERKQDFLVMLKGFVATQAAYAEKIVDGWETVAEETSGYVRARGSDSITS >Sspon.04G0019780-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4A:69744846:69749776:1 gene:Sspon.04G0019780-1A transcript:Sspon.04G0019780-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLEIKRLHLGLSAAERDQALLSIGVIPATLDPNRSVDYSYLLKLSSLADNLALLGHTVLEDRVNASIGLEKGSEHAIDVWNISENDESCYDGACEVRALSSLQASATRENQSVFVECFQCERTVCKACCAGKGAFLLLNTYRELKIYGGSRSGGYSALADSFVCKSCCSEIIKRALYVDYVRVLHSLRRKDRSEKAALSAVNQVCQLEYRKASDLSQSIQFGQRQLKQILDGEESLSEFPYANFLQMVFLNFVPSHHKLHSCMICCPVLFIH >Sspon.02G0041260-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2B:75061011:75061367:-1 gene:Sspon.02G0041260-1B transcript:Sspon.02G0041260-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEAKVGVGDYQRNTRSDGRGISRSTVQVEEIKGAASSARILGVRSTLRSWPELVGEAVQNADLASLRGCFVDHDGTSARQRANPSTATDGVVDARRSQHEEVVAGARRGGSAGRGSG >Sspon.05G0025020-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:17607353:17609395:-1 gene:Sspon.05G0025020-1P transcript:Sspon.05G0025020-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEDGTTGNSPAAETFDPDLIHAIFKLVWRRRAGKGSGGNEDIDVEPAPQTSRRNRSTTEAIQRSAFIAEAEDGTVIEPTHLE >Sspon.01G0030130-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:104603171:104608371:1 gene:Sspon.01G0030130-1A transcript:Sspon.01G0030130-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATPase, AAA+ type, core domain containing protein [Source: Projected from Oryza sativa (Os03g0792600)] MLWVDKYRPKTLDKVTVHDQVAQNLRKLVSEQDCPHLLFYGPSGSGKKTLILALIKQMFGAGAEKVKLENKTWKIDTGTRTLEIELAMLSSAHHVEMNPSDAGFQDRYVVQEVIKEMAKNRPIDAKGKRAFKVLVLNEVDKLSREAQHSLRRTMEKYSSSCRLILCCNSSSKVTEAVRSRCLNVRVNAPSEDQIVQVLEFIGKKENLHLPAGFAARIAAQSNRNLRRAILFFETCKVQQYPFTSNQVAPPLDWEQYVSEIATDILTEQSPKRLFAVRQKFYELLVNCIPPESILKKLLAELLRKLDADLKHEICHWAAHYEHKMRLGSKAIFHLEAFVAKFMSIYKEFLVATFG >Sspon.02G0007840-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:22789759:22794891:1 gene:Sspon.02G0007840-1A transcript:Sspon.02G0007840-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLRRVLIPLVLLAGLTFRVDDGGAALLSPPSPALPATPSSLALPGGAAPEDDGAAAASRSTEIVAVGARSTEIVAPAGPKKQSLRELLVRPQPARHEPANLVSGEAKAEPSPVLQFYDNGTIQLVDQLSQSPLWEITTGPPLSDHITTTDSGLNYLIYPLMDGNGTELWEVYNGNNVRLPWKLEEFVARSPYIRDSVVTVGSKVSTVFVVNADTGEIIYRHSIPAALNELEGPGIEGAPSKLNARTSDGSEKIIVLVRTDYSLSASDLGKHLFNWTRTSFTANQYAKYNHPDMLDQSPCLRGDIPCIRTEGLPLALPDSDSDSANVIVLKDGTPFISIHGSDALEPFQTPRKLPNTAGKSNIVLDDSQNQTYDGAQSHVISADPKATKNPTRNTYGWLFPLFPIFLVIGYLLSLISASKSCRQFVIQLIKPFTHNKKSVDIRGRSEGTPKRRKTRKKDGLVNSPETLTASDKECSETGGSTEAPMENSALTDALGGRQIGKLYVSNKEIGRGSNGTVVFEGSYDGRQVAVKRLLRSHNDIAEKETQNLIISDRDPNIVRLYGCDHDSDFVYISLERCHCSLADLIQKHSYLSSGESISNNEVSVSIKSKVSNVKGIDVELWMQDGLPSAHLLKLMRDVVAGLVHLHNLGIIHRDLKPQNVLISTEGPIRAKLSDMGISKHLQDDMTSVSHHGTGIGSSGWQAPEQLRHGRQTRAMDLFSLGCLIFYCITKGKHPFGEYYERDTNIVNNRFDLFVVDHIPEAVHLISQLLQPNPEIRPTAVYVMHHPLFWSPEFRLSFLRDTSDRIEKTSETDLINALESIAPMAFGGKWGEKLDAALVTDMGRYRKYNFESIRDLLRYIRNKSGHYRELSDDLKLTIDGYKFQGILGSLPEGFDRYFASRFPKLLIEVYKVLWVHCKDEEAFSKYFNGS >Sspon.02G0004400-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:13242866:13244515:-1 gene:Sspon.02G0004400-1P transcript:Sspon.02G0004400-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MADTPTSRMVHPFGDVPRQTPKQFLYSGNSQHLCHPYQSASDTHVVPQHHYSMRSHSPDAGSEEHENHKQYTLDSSAASGCSRHDSPSSQSVHAGSGSPVSHEDSHSGSTNGNGSPVSASCVTEDPTDLKQKLKDLEAVMLGTDPETVNSLEISVADQLSLEPEEWKHMMSMPGGNLKELLIACARAVEHNNSYAIDLLISELRKMVSVSGEPLERLGAYMVEGLVARLAASGSSIYKALKCKEPRSSDLLSYMHFLYEACPYFKFGYMSANGAIAEAVKGEDRIHIIDFHIAQGTQWISLLQALAARPGGPPFVRITGIDDSVSAYARGGGLELVGRRLSHIAGLYKVPFQFDAVAISSSEVEEGHLGIVPGEAVAVNFTLELHHIPDETVSTANHRDRILRLVKGLSPKVLTLVEQESNTNTAPFAQRFAETLDYYTAIFESIDLALPRDDRERINIEQHCLAREIVNLVACEGEERVERHEVFGKWKARLMMAGFRPSPLSALVNATIKTLLQSYSQDYKLAERDGVLYLGWKNRALIC >Sspon.02G0029180-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:99099034:99100797:1 gene:Sspon.02G0029180-3D transcript:Sspon.02G0029180-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVQASAVLRAGRWLLPLTIPACCVMWMLLVFSPPTPELDAGHRQSFHVSVGETVAATDRRGTPPVPERGDVVHASPPPSERLARTQNPPSSPPPAPDGEGTIDTSPPPLERRPRARPSQPLPPERERILDASSQPPPVRQPRTKIPPSPPPPQPSDQRLARAQKKPAAVADRCTGRYIYVQDLPSRFNADLLRNCRSLSEWTDMCRHVASAGMGPRLTRTGGVLPPTGWYDTNQFTLEVIFHNRMRQYGCLTTDASRAAAVYVPYYAGLDVGRHLWGFSNDVRDALAEDLVGWLRSSPAWAAHGGRDHFLVGGRIAWDFRREDGGEWGSRLLFLPEARNMTALVLESGPWHVGDVGVPYPTYFHPSRAAEVASWQRTLRRARRPWLFAFVGARRPGEGGTVRDSVIDQCARSRRCGLLQCGRGRRTDCYAPGNVMRHLKSAAFCLQPPGDSYTRRSAFDAMLAGCVPVFFHPGSAYTQYRWHLPADHTRYSVFVPGDSVRNGTVRVEDALRRFGRAEVAAMREQVIQMIPGIVYRDPRAPSVEFRDAFDVAIDGLIKRVNSAKRGLPPRQDDDDQRRWDGYFHMR >Sspon.05G0031760-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:17641357:17644676:1 gene:Sspon.05G0031760-2D transcript:Sspon.05G0031760-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPTANPGFRLGCQEAPAMGLGLAPRLALRRPAAVSQHRSRTGRGKFPVAATSLDDYLPMRSTEVKNRTSTGDITSLRLITAVKTPYLPDGRFDLESYDSLINMQIEGGSEGVIVGGTTGEGHLMSWDEHIMLIGHTVNCFGTRIKVIGNTGSNSTREAVHATEQGFAVGMHAALHINPYYGKTSTEGLISHFEAVLPMGPTIIYNVPSRSGQDIPPQVIEALSGYSNLAGVKECVGHERVKCYTDKGITIWSGNDDECHDSRWKYGATGVISVASNLVPGLMRSLMYEGGNALLNEKLLPLMKWLFCQPNPIALNTALAQLGVARPVFRLPYVPLPLEKRIEFVRIVETIGRGNFVGQKDARVLDDDDFVLISRY >Sspon.05G0030470-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:90632921:90634115:1 gene:Sspon.05G0030470-1B transcript:Sspon.05G0030470-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNKSSLVMPPPPCSHGWEMMEAMRRQQDLVMQLRALVLPLLHGVIDGTSSATEIAIQIFDEVIGCNIGVVSTLEGCLMSTGGRGESLGEAVDDKSLVRKNSTPTNGEKTEEQARLAKHPNSVGQKRRRNNDKRSRCLVTHVPHYDGHLWRKYGQKNINGRKHPRSYYRCVYRERNCLATKTIEEQEPNDDDGTGNSAMAGEESAKYTVVYYGDHTCKDHHTISMVQLPQLVGSVDLHSTEMPPTSTDVQESEADLDLPALLEVFDSSLIDWEALYHSPNAATS >Sspon.04G0006820-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:15673678:15677336:1 gene:Sspon.04G0006820-2B transcript:Sspon.04G0006820-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAVLFGAQRNGAADLVGGEKTLFWQEGKAKALLEPRSVLDCTRSPSPNNSTSTLSSSLGGGAADSAGVAVVSDAAEATKWGAPAEHGGGGKEDWAGGCELPPIPTGLDMGVAGGGESWDAMLGNAAAPGQDQTFLNWIIGAAGDLDQPGPPLPVHQQPLLDNVGFGFPAADPLGFTLDPHLGGVASDMSSPGAVSHATNSGGGGNNKASSAFGLFSPDSASLQPLPPPPVLFHEGIDTKPPLLGAQPPGLLHQSQHQPTPATTFFMPIPSFPDHNQQSPLVQPPPKRHQSIDLARNRLLPPPAGQGHAFPPLNGPAPFQLQPSPPPPHGAMKATAAEAAQQQLLDELAAAAKAAEAGNSIGAREILARLNHQLPPLGKPFLRSASYLKEALLLALAEGHHGGCHLTSPLDVALKLAAYKTFSDHSPVLQFTNFTATQALLDEIVGSTSSCIHVVDFDLGVGGQWASFFQELAHRRGAGGAALPFVKLTAFVSAASHHPLELRLARDNIAQFAADLGIPFEFSAISADMINPAELISASGDEIVAVVLPAGCSARAPPLPAILRLVKQLAPKIVIAIDHGADRADLPFSQHFLNCFQSCMFLLDSLDAVGIDADSAGKIERFLIQPRIEDSVLGRGKVEKPIAWRSAFAAAGFVLVPPSNLAEAQADCLLKRVQWLIRKKKGGVLKDPDKKTGTISFIAC >Sspon.07G0024890-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:37754927:37755615:1 gene:Sspon.07G0024890-1P transcript:Sspon.07G0024890-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLHRLISAVLRLAAAGAAAAAAIIMVTSRETTSFFGIEMEAKYSYTPSFVFFVVAFAVAFAYSLLALLVRPGSTASRLLLLSDVMVGMLLTGAVAATGAISQVGKSGNAHAGWLPICGQVQAYCSHVMGALIAGFISLLLYFLIIMYSLHAVAEPLCSCH >Sspon.02G0004250-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:13034876:13038473:1 gene:Sspon.02G0004250-1A transcript:Sspon.02G0004250-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAATQVGTYFLRNYYNLLQQTPDVVHQFYSEASTMVRVDDLTGTTAAANNMMDIHSLIMSLNFTQIEIKTANFVNSWGDGVLVMVSGLVQTKEYSHQRKFIQMFFLAPQEKGYFVLNDYFHFVDQEHVQPAPVIGQEDYESNLAPNTVVETAPEYIHEEEDQQIAPEGHDVVDNYTYSEPQQQVVSSDNWGEEPLTEEPPSFSNEMTVAPEEPVQAPPVPPPHVEEPVGEPVKKTYASILKTAKAPPAFPVAQQVPVSKPSHPTTESNQTQHSVMASSTGAEKPRSDVYGEGAAHDDEESKSVYVGNVPANVTEVDLESEFKKFGRLIPDGVAIRSRKETGGYYAFVEFEELSGVHNALKASPIEINGRQIYVEERKPNSGIRGGRRGGRGRFSGGGRGYARGGGDEYNSNRGRSNGYGRVPHQERGILGSHTPRN >Sspon.01G0060670-1D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1D:82061819:82061971:1 gene:Sspon.01G0060670-1D transcript:Sspon.01G0060670-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VCRVVALVPTAQWYDMNYAQARRGRATVTHSFPAGCWLQMKRGGEEKLSN >Sspon.02G0013660-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:14724955:14726674:1 gene:Sspon.02G0013660-3D transcript:Sspon.02G0013660-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MELGLSLGEAAVPDATGRAAALELGLGLGVGIGASAAGTGRGGEGGRGISRAAGTGTGWWAAPATPEPAVRLSLVSSSLGLQWPPSDAGRGEAPAARGFDVNRAPSSVAVSGLAALEDDEDDPAGAAALSSSPNDSAGSFPLDLGGGPRAHAEGGAAAQAGGGERSSSRASDEDEGASARKKLRLSKDQSAFLEESFKQHSTLNPKQKAALAKQLNLRPRQVEVWFQNRRARTKLKQTEVDCEYLKRCCETLTEENRRLHKELAELRALKTAPPFFMRLPATTLSMCPSCERVASGPNPASTSAPAASSTPLAAATSYAAAVAAPVVRGEHRPSSFAALFAATRSFPLPSQPRPPAPASNCL >Sspon.01G0048230-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:107566960:107570377:-1 gene:Sspon.01G0048230-1B transcript:Sspon.01G0048230-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRLSCKFADPDSAVSSAPAAVRRVRPDTMSCGSDGCRDGGSSGSEEFRRPRPSKVAADDSFIGACKEPLMVIVSELLPGMSLKSYLHSIRPSQLDTHTAISYALDIAHAMDCLHANGIIHRDLKPDNLLLTANRKKLKLTDFGLAREETVTEMMTAETGTYRWMAPEVLYSTVTLRRGEKKHYTNKVDVYSFGIVLWELLTNRMPFEGMSNLQAAYAAAFQQKRPALPEETPQELVFIVQSCWVEDPAMRPSFSQIIRMLETFLMTIPPPPLSEPNEDEESEETRSSLSSRSSSGSSLVSRATSKLSVVRHLFASKKTGNGKA >Sspon.02G0015370-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:36099712:36101812:-1 gene:Sspon.02G0015370-4D transcript:Sspon.02G0015370-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPYLHAAGALIVFLYVIKNRRSSKLPPSPPSLPLIGHLHLIGRLAHRSLHELQFRYGGGGGGLLYLQLGRRRTLVVSTAAAAADLFRNHDLAFASRPHSVSGDKLMYGCSNVSFAPYGGNWRRGKKIATVHLLSQRRVESFAPVRAAEVAALVARARRAAEAGLAVELRELLYGYTNAVITRAATGAAGATAERLKQLLGNSAALMVGFQPEDVLPDAPARFVRWATGLDKKLDDMAEAWDKFMSELVATHKEKGAKEEDEDFLDVLLRLREEGTDGLELTDDRIKAVTKDMIAAATETSTQTMEWTMAELTANPRVMSKLQDEIARVVSADQTTIAEPDLNKMEYLKAVFKEVLRLHPPAPLLVPHESTTPAVVQGYEILAKTALFVNVWAIGRDPAAWDTPDEFRPERFMGGSPPVDFRGTDYQFIPFGAGRRICPGINFALPVLELALASLLRHFEWELQCARRTSTWARHRG >Sspon.04G0020770-2P-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4D:81490375:81490557:1 gene:Sspon.04G0020770-2P transcript:Sspon.04G0020770-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRPQAAPPPQVIRRLRRPARGTSGRTSGVPPRASARCSARGSLGGRAGMTRTASPSAN >Sspon.04G0001390-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:53952599:53955663:-1 gene:Sspon.04G0001390-2P transcript:Sspon.04G0001390-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heparanase-like protein 3 [Source:Projected from Arabidopsis thaliana (AT5G34940) UniProtKB/Swiss-Prot;Acc:Q9FZP1] GMAAAGTQQLGRLLGGLWFLAALLLRPGGAAAAGQPAMATVDARRAVAGTGEDFVCATLDWWPPDKCDYGTCAWGRAGLLNQDLSNKVLLNAVTAFSPPLVLRLGGSLQDKVVYGTADRGGRPCAPFAKNVSETHGFTQGCLPLRRWDELNAFFQKSSAKIVFGLNALNGRVPLPDGSVGGPWDYTNAASLIRYTANKGYRIHGWEPGNELSGTGVGTRVGADQYAADVIALKKLIDDIYRINPSKPLVLAPGGFFDQTWFSQLIVKTKPNLLNVITHHIYNLGPGKDTHLIDKILNPSTLDGMIGTFSNLQGMLKSAGTSTVAWVGEAGGAYNSGRHLVTDAFVFSLRFLDQLGMSAKYDTKSYCRQSLIGGNYGLLNTTTFQPNPDYYSALLWHRLMGTKVLATTFSGTNKIRAYAHCARDQPGITLLLINLSGNTTAQVSVTVTTQGAVAAHKHGARKHIGGRKFRHVHDPSFTGADEAAGAVRDEYHLTPKDGNLRSQVMLLNGRALATDAAGNIPTLEAVKVDAAQPITVAPYSIVFARISHFNAPA >Sspon.04G0025280-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:29249487:29252050:-1 gene:Sspon.04G0025280-2C transcript:Sspon.04G0025280-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AVKTKGGAFLEAPVSGSKKPAEDGQLVILAAGDKALYDGVVPAFDVLGKKSFFLGEIGNGAKMKLVVNMIMGSMMNALSEGLCLADKSGLSPQTLLDVLDLGAIANPMFKLKGPTMMQGSYNPAFPLKHQQKDMRLALALGDENAVAMPVSAAANEAFKKARSLGLGDQDFSAVYEVVKGAGGSGSGQA >Sspon.03G0019240-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:59565375:59568284:1 gene:Sspon.03G0019240-1A transcript:Sspon.03G0019240-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAGPSGTWCPVASESRDPQTGQRKRFPRVAVVQSDRRLTLASLLPFPLPPSSHCQAREKRTRAASRKYSSAAGTSHPDLAILPFSPDAISPNPNPPARRPAVAGALAPNAAAWLLPLVSVSFVSLLLFLSALSGFSASSALFARLPPPSYVRRGAAAPPSFAYLLAGGRGDGRKLLRLLLAVYHPRNRYLLHLSADAPASERAELAAAVARAAPAVRAFGNVDVVGRPTAGAPMGSSGLAATLRAAAAMLRLDAEWDWFVTLNAADYPLLTQDDLIHVFSSVPRHLNFIDHTSDIGWKESQRVQPIIVDAGVYLAGRNQFFQATEKRDTPDGSPWVILNRHFVEYCVFGWENLPRTLLMYFTNVMLPLEGYFQSVACNSDFRNFTVNSDLRYMVWDDPPQMEPHFLNVTHYDELVGSGVPFARKFKENEPLLDKIDDKVLRRWRHRPVPGAWCTGRRRWFSDPCSQWSNVNIVRPGPQAEKFRTYISQIMEESKSSNNSCKQ >Sspon.01G0002820-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:6339604:6343000:1 gene:Sspon.01G0002820-2B transcript:Sspon.01G0002820-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calmodulin-binding receptor-like cytoplasmic kinase 2 [Source:Projected from Arabidopsis thaliana (AT4G00330) UniProtKB/Swiss-Prot;Acc:Q8VZJ9] MMRSVSGTGSAGSRSRRTDPGGRGELSRFSVATTASSASGGERGISFLDAFRACFVPPEARSPENSMSDESHPSHQLSQSLSSQGSNSGSVFGSRRSIKGMYSPMHKSSLDREIPGSTKFSLPQIQKATKNFSPNFKIGQGGSGTVYKGQLADGTLVAVKRAKKNVYDKHMGREFWNEIETLQRIEHQNLVRFHGYLEFGGEQLIIVEYVPNGNLREHLDCINGKILEFSMRLEIAIDVAHAITYLHTYSDQPVIHRDIKSSNILLMNNCRAKVADFGFAKLAPTDASHISTQVKGTAGYLDPEYLRTYQLNEKSDVYSFGVLLVELVTGRRPIEPKRSIIERVTTKWAMEKFAEGNAIQTLDPNLEATDAINLAVEKLYE >Sspon.03G0015120-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:48381816:48386766:-1 gene:Sspon.03G0015120-1A transcript:Sspon.03G0015120-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRDLRRLLDGVALVAREATRGTSPRDVLRSALLAATDLAGLTRGTPRRPPPPPGAGPLPATESSRPSSVVYFSHDDATTTPQDHALERPPAPAGARCPAPPRGRRRPSELVQPPPSQLNLLPSRRTGPNPRRLLLSPRLCPNRRPRCPQRRLGRRGGGCGNGRFHPRPSPEHSGLGAGLAWGTLQESARRVMYGTPVDTEGKRSALSPFLSDQNAERVALALCRMRGAALKVGQMLSIQDESLVPPPVLAALDIVRQGADVMPRKQLNSVLDAELGPDWSSKLRSFDYEPLAAASIGQVHRAVLKDGSDVVMKIQYPGVADSIESDIENVRLLLTYTNLIPKGLFLDRAMKVAKQELARECDYVLEASNQKRYKELLCDSDGYYVPKVIDQLSSKKVLTSEFVPGVPIDKTDPNWSNFLYDDATRKFNLIDFGAARDFPKHFVDDYLRMVVACANRDRTGVLEMSRRLGFLTGEEPEVMLDAHVQAAFIVGVPFSKSGGHDFRANNITHSVSNLGATMLKHRLTPPPDEVYSLHRKLSGAFLACIKIGAVVPCREILFQFLR >Sspon.03G0009930-3D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3D:31816408:31816807:1 gene:Sspon.03G0009930-3D transcript:Sspon.03G0009930-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGSSSSELAHQPHHEALTAQQPSKPPHPEFLWNSLTRRNSERLNFDATEPPLLPAARLLRLRPAPFGRPFAPRLAAVPAAPLCYSGSVSPASARDRQDPLVARAWQDPVVADRQDPRAAGGPAPEAPLLPAA >Sspon.08G0005140-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:16028031:16028754:1 gene:Sspon.08G0005140-2B transcript:Sspon.08G0005140-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPKIADFGMARIFNDSQENANTHRVVGTYGYMAPEYAMEGVFSIKTDVYSFGVLLLEVVTGIRRSSISSTKGFQNLIVYAWNMWKEGKAKDLADPSIMDTCLLDEVLLCSHVALLCLQENPVDRPLMSSIVYSLENGSTTLPTPNNPGHYGQRSGDMEQIRDENNSMNCLTITTIEGR >Sspon.07G0023940-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:19367006:19370124:1 gene:Sspon.07G0023940-2D transcript:Sspon.07G0023940-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALSSALLFAALLAASQYVLRLLHSFLWVPLRLERRLRGQGIRWPPRSLLSGNAADYRDLLATARSAPLPSFRHDGVVARATPHYAVWPARYGRPFVYWFGPRPRLVVFDPELVKAAMTDSTGAFDKAGAGGNNPLARQLFGEGLSGLSGETWARHRRVISPAFNMERVKAWIPEIAAAASSVLDKWEAEGGSRTEFEIDVHKAFHTLSADVISCVAFGSSYEEGKRIFQLQEEQMMLALLAMRTVYIPGFRFVPTKKNRKRQWLNQEIQCSLRKLIEINGTKCEDSKNLLGLMLSASKAGSEYKMGIEEIIHECKTFYFAGKETTANLLTWAILLLALHQEWQVKARDEVLKVCGKYEHPNAENLSDLKIVTMVLKETLRLYPPATSINRTATRDIKLGKLDIPAGTRLGFPIIAIHHDHDVWGTDAEEFNPSRFADGKSYHLGAYLPFGIGPTICVGQNLAMVEAKVALAMTLQRFAFTVSPSYAHAPMLMLTLQPQFGAQEGNPPLNLEGEARKGSIYRFTFEHKIE >Sspon.03G0022460-2B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3B:91071972:91077302:1 gene:Sspon.03G0022460-2B transcript:Sspon.03G0022460-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGNVPYLAAFTEVDTFLGSSLQDCPFDAAAGSIAQKVGLGLPPVNFYAIAQRCLKDLLLPIDRILPHSCRIYEWAMPAELWFSSNPSRIPTRKICEEGRNTIESYHDANSPTFRKHEASNSEEIVVRELLCAIAAAYDKINVAHGNQGNQMVIFVIEGGGCNIPAQGLTGLIGYSYQQVATSFSEAHLERTPKLSVLGLERWVIDREVLSSLGTGVAKGKRLESGLMVTQPVRCPAMLVSPVLAVVSVMSLAAIDGDGGSLSSDPQTRLALIDMGCGHLLVGNNNLFSGFIEYTVQFNLKLKLIGKGSRPQMWLPSLILTSERHA >Sspon.06G0019200-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6B:642340:646758:-1 gene:Sspon.06G0019200-1B transcript:Sspon.06G0019200-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding PAPDHVRELIRKTRRLTSKPFGAAIVLAFPHEENLRVVLEEKLAVLQVYWGDFPKERVQEAHRAGVKVLHQVGSIEEATKAKEAGVDGIIVQGREAGGHVIGQHKDIRRFAGTVPNATATGDIDVMAITPKRTRGLSPSAVDGFRRRAARRRSLGSYSADRGPPHPQPLALPPHPIIARPRIRTQQTCSAIREPAARSCGGASWASTTASCRRRWAPTSPAPSSPPPPRLRTHAFLFFSSVLYYVSSVNHWLATLLTAGQPAPDHVRELIRKTRSLTSKPFGAAIVLAFPHEENLRVVLEEKLAVPQVYWGEFPKEWVQEALLLVSSLQEATKAKEAGVDGIIVQGREARGHVIGQ >Sspon.08G0019970-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8B:16334005:16334343:-1 gene:Sspon.08G0019970-1B transcript:Sspon.08G0019970-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTNCCREISGPRNKKTRATPQDPTTESAIHVELGLGLGLTHLRSCPVSRAVHERAPPPRSATRARRRRQRTTGVRWAAHRMKRGARGRGQSQLTPHQQRIEYYDNRRKSPR >Sspon.04G0003570-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:11615626:11620199:-1 gene:Sspon.04G0003570-3D transcript:Sspon.04G0003570-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAISSSSSAFLLLVGSSSLRSRRGRVGAALRSYGYSGAELRLHWAQRGPSRDGAAVVRAAAAPAGGEGEEAAAAGMSSLSKGVAVQGSKAKAVDSTSPPKPVTSAPKQSQSAANQNGTVGSSSASKSVSPVSEPKAETSAPVTKTETDASAKVEEPKPTVDDAKPVESIGIAEPVDAKADGAPATDAAASAGDDSEDKEPGPLAGPNVMNVVVVASECAPFCKTGGLGDVVGALPKALARRGHRVMVVIPRYGEYAEARDLGVRRRYRVAGQDSEVTYFHSYIDGVDFVFIEAPPFRHRHNDIYGGERSDILKRMILFCKAAVEVPWYAPCGGTVYGDGNLVFIANDWHTALLPVYLKAYYRDNGLMQYARSVLVIHNIAHQGRGPVDDFVNFDLPEHYIDHFKLYDNIGGDHSNVFAAGLKTADRVVTVSNGYLWELKTSEGGWGLHDIINQNDWKLQGIVNGIDMSEWNPAVDVHLHSDGYTNYTFETLDTGKRQCKAALQRQLGLQVRDDVPLIGFIGRLDGQKGVDLIADAIHWIAGQDVQLVMLGTGRPDLEDMLRRCEAEHNDKVRAWVGFSVPLAHRITAGADILLMPSRFEPCGLNQLYAMAYGTVPVVHAVGGLRDTVAPFDPFNDTGLGWTFDRAEANRMIDALSHCLNTYRNYKESWRGLQARGMAQDLSWDHAAVLYEDVLVKAKYQW >Sspon.02G0056050-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:15931015:15933515:1 gene:Sspon.02G0056050-1D transcript:Sspon.02G0056050-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AMSEPEDPSPLPIALLFHRASPACSLLVSSIAMRLLHVLLLLSLHAAPRPCSAATTTTDTVSPGNALAGTAARLVSNNSKFALGFFKTDSASPNTYLGIWFNKIPKLTPLWSANGESPVVDPASPELAISSDGNLVIRDQATRSVVWSTRANTTNTTVAVLLSSGNLVLRSSTNASDVFWQSFDYPTDTLFAGAKIGWNKRTGLNRRLVSRKNALDQAPGLYSLEMTERDGVGHLLWNSTVAYWSSGEWNGNYFGLAPEMIGAVMPNFRFVNNDEEIYFMYTLHDDTMMVHTALDVSGQGLVGFWLDSKQDWLINYRQPVVQCDVYATCGPFTVCDDAADPVCSCMRGFSVRSPRDWELGDRRDGCARNTQLDCESSETNRKGVRLPQDATKVQAATSGDDCSEICLGDCSCTAYSYWNGDCSVWHGKLYSVKQQSDASSDGNGETLYIRLAAKEVASGVATRRKRGISTGVATGVAVGASVAALILVVILGLMIWRRKGKWFTSTIENPQGGIGIIAFRHIDLQRATRNFSERLGGGSFGSVFKGCLGDSVALAVKRLDGARQGEKQFRAEVNSVGIIQHINLVKLIGFCCEDDKRLLVYEYMPNHSLDLHLFKANGTVLDWNLRYQIAIGVARGLAYLHTGCRDCIIHCDIKPENILLDASFVPKIADFGMAKVLGREFSQAITTMRGTIGYLAPEWIGGTAITSKVDVYSYGMVLFEVISGRKNSSPEYFGDGDYSSFFPMQVARKLRSGEVGSLVDEKLHGDVNLNEVERVCRVACWCIQENESARPTMAEVVQFLEGLSELGMPPLPRLLNAVTGGSPT >Sspon.05G0034610-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:60958506:60959671:-1 gene:Sspon.05G0034610-2D transcript:Sspon.05G0034610-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)H-quinone oxidoreductase subunit T, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G09350) UniProtKB/Swiss-Prot;Acc:Q9SMS0] MAASTASRTFMPFLHRHQQRRKAVVVAAASTPEAEPTPATADGAGPGKKKTVDTRIHWSNPDEGWVGGNAKKEGDGGRGGSKNEPLGGRFADLINNASESHYQLTPEADIEEIKAAYRRLSKEYHPDTTTLPLKVASQKFIRLREVYNVLSKEETRRFYDWTLAQEAESRRLQQLRSRLEDPYEQDIINYKSVPDMVDRLGGKNMKLSDQTMTALTFDIVIIIISICSIIYAVYFKEQY >Sspon.08G0007500-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8A:24616776:24622800:1 gene:Sspon.08G0007500-1A transcript:Sspon.08G0007500-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEKEDVTRLTKDKEDMARLLKDKEDIIRLMKEKEEMVMLIKEKDSRKGKIDGRDQSADAHVDKSTTYNDETIRMTKEKEDSNNTIMKLKSELEAVKSSYKENHNQLESTKEEVRKLQMDKEIDLRLSSVSIRHEIQNCQKRWSEELNGLGQSLNILINDSENYHAALEENRKLYNEIQELKGNIRVYCRIRPFLPGEDQKSTIIEYVGDYGDLTGPENATENERGVNYRALNDLFHISHNRGIPSSSDVIELMRIGLANRAVGSTLLNERSSRSHSVVTIHIRGVDLKTGATIRGALHLVDLAGSERVDRSAVTGNRLKEAQHINKSLSALGDVIFSLSQKNAHVPYRNSKLTQINPDVSSYSETLSTLKFAERVSGVELGTSKANKEGKDIRECMEQLSVLKHKIAKKDEEINRLQQLKTQTPRVRTAKRADSPLKHSSSSPGISNLGSKIHHRRTASSGKAMSIGSRAGSDNFSDISDRHSESGSMQSVDDILPHREIIGLPKLSIGAMGPNSADPELVSLAMLIQKRD >Sspon.02G0027320-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2A:99013132:99017820:1 gene:Sspon.02G0027320-1A transcript:Sspon.02G0027320-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTAAHQKAAAPPTEEEAPEHGPFPIEQLQVRPLRAPISPLLAARGPIPPRTARIRGLDRRFDPFEGVRRGASKLVPLGFTSASQLHAQRLEIIQLTTGSRELDQILDGGIETGSITEIYGEFRSGKTQLCHTLCVTCQLPLDQGGGEGKALYIDAEGTFRPQRLLQIAERFGLNGADVLENVAYARAYNTDHQSRLLLEAASMMVETSLNRIWLPIITVQATRQRQVWSTDAHWPHYIGSSPPSHPPKSPSVFEVELNVCRFALMVVDSATALYRTDFSGRGELSARQMHLAKFLRSLQKLADEFGVAVVITNQVVAQVDGAAMFAGPQIKPIGGNIMAHASTTRLFLRKGRGEERICKVVSSPCLAEAEARFQISSEGVTDVKD >Sspon.05G0012330-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:37394627:37401360:1 gene:Sspon.05G0012330-1A transcript:Sspon.05G0012330-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRTSSPTNLQNCLTASKIGSLVDVQINKDPEGLRIFYCLVQTNERDDFRRVNGLGIFFQIAGQAHTVDSFFRWMKKAKLTEIAGGGQFSDSYGLEYDADMDVRMWSNTFSLFGGAASLVPPTDSAPEP >Sspon.02G0026270-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:91349270:91353725:1 gene:Sspon.02G0026270-1A transcript:Sspon.02G0026270-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:BTB/POZ and MATH domain-containing protein 3 [Source:Projected from Arabidopsis thaliana (AT2G39760) UniProtKB/Swiss-Prot;Acc:O22286] MAIPPRTPPPPPSWSRYVTETVKGSHQFTVRGFSLAKGMGPGRHLASDIFAVGGYHWAVYFYPDGKNVEDNSSYISVFVALASDGIDVRALFDLTLLDQSGRGRHKIHSHFGRKLDSGPYTLKYRGSMWGYKRFYKRSLLEESDFLKNDCLVMNCTVGVVKNRMETPKDIQIHVPRSDMGCCFKELLSRGIGCDITFEVRDEKVRAHKWILAARSPVFKAQFFGPIGKPDLHTVVVEDVEPVVFKAMVNFMYTDELPSIPELAGSASTWTSTVVVQHLLAAADRYGLDRLRILCESKLCDELTPETVATTLALAEQHHCAELKSACLRFAAVRENLGAVMGTEGFDYLEETCPSLLSDLLATVAEVDDDPASLDRKRGVCGNQVLAPVESVEATERRTRRRL >Sspon.03G0026510-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:2975733:2983398:-1 gene:Sspon.03G0026510-2D transcript:Sspon.03G0026510-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RPVLKVVPIYTISTSGLGKIRVKYTLDEAGTAAIKTVELDAILGGRAIQHRELQGYESDKFLSYFKPCIIPLEGGFASGFKKPEEEKFETRLYICRGKRAIRVKEVPFARSSLNHDDVFVLDTENKIYQFNDDGKLQAESDSGEFWVLFGGFAPIGKKTVSDDDVVLETTAPKLYSINDGQLKLEETALTKAVLENTKCFLLDCGAEIYVWVGRVTQMEDRKSATKAVEEFLINQKRPKTTRVTQVIQGYESHAFKSKFESWPVGNAAGSPGAEEGRGKVAALLKQQGVDVKGAAKSTTPVNEEVPPLLEGGGKLEVWCVDGNAKTALPKEDIGKFYSGDCYIILYTYHSGDKKEEYYLSYWIGKDSLVDDQMSASQITNTVWNSLKGRPVLGRIYQGKEPPQFVALFQPMVILKGGIGSGYKKLIEEKGATGETYTTEGIALIRVSGTSIHNNKTLQVDAVATSLSSTECFVLQSGNAMFTWFGNSSTYEQQQWAAKIAEFLKVTEIFNFSQDDLLTEDMMILDTHGEVFIWIGQCVESKEKQKAFDIGQKYVEHANSIEDLSPYVPLYKVMEGNEPCFFKTYFSWDNTKSLGAPRSSGNGGPTQRASALAALSSAFNPSSQQRLSNERPKSTGDGPTQRASALAALSNAFNASLKPNKTPPPSRSGQGSQRAAAVAALSSVLTAEQSGSSENLRAKASSTADKTDVDRVVITPAGPSGPSSPQSEAGESNVFHQEKDAAVDGAPSGTDGAVAEAPLEETTENVGEATFSYDRLISKSTDPVRGIDYKRREAYLSDSEFQTVFGITKDAFYQQPNWKQELQKRKADLF >Sspon.02G0044950-1B-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:2B:106250754:106251095:-1 gene:Sspon.02G0044950-1B transcript:Sspon.02G0044950-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSKHVGGTITKKKKSVVVDVCRDVAAWPGRHLLEGGEQRRYFGLRTAEQRVIEFECGSQREYEMWTKGVERLLAIVHARKR >Sspon.07G0027700-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7B:61009111:61009617:-1 gene:Sspon.07G0027700-1B transcript:Sspon.07G0027700-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQMKRHWMYNADRRSQEFIDGVHYFLSVAEANKRDGFMRCPCAECKNSREYASSRIIHSHLYRSGFMPNYIYWTKHGENGVIIEEEDEEEEQLDPDDILAQYCDFPDATTGEAEEEAGAEHALTEDDDALGDVSRDAQRDCESDKEKAKFDRMLEDHKKLLYSSAKDG >Sspon.02G0017920-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:43532957:43536018:1 gene:Sspon.02G0017920-2B transcript:Sspon.02G0017920-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNSRGKSELLIARMEKLAADRGEQVFDNKFCQKLAEEFNRSAGRAGSKALQATQVQGWFLNKFPASATKPTCVPTASQEKTSASELNVSVSEKRSAASEEKHVCPLLPKKSTSASEVNVSVSKKRSAASEEKLFPLDTSVSNNEDEVSPVFPLETRDMIPELENLEFEAMSAKDSAWYDIAMFLAHRTNKAGEVEVRVRFEGFGADEDEWVNVKKFIRQRSIPLESSQCKSIVEGDLVLCFREGNDEALHFDAHVLEVTRKQHDIRGCRCVFLIEYDHDQSQERVSLRRLSRRPKYF >Sspon.01G0029360-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:111818687:111820320:-1 gene:Sspon.01G0029360-2B transcript:Sspon.01G0029360-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPLIAGLSVAAAALGGRSMIRAWQAFRTQAAMPRVRRFYPGGFQGEMNRREAALILGVRERATLDKIKEAHKRVMVANHPDAGGSHYVASKINEAKDILMGKGKSGSSIF >Sspon.03G0000560-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3B:9108335:9110406:-1 gene:Sspon.03G0000560-2B transcript:Sspon.03G0000560-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLSFGDIEIGREIFRKIIVRNVVTWTMMMGFLLEQAHAGEVISIFVQMRANGIVPDRVAMVSLVQACALLGDARRGKVVHNQMITRGFSSELPAVNSLITMYSKCKDLSSARVLFDGMRKKSLVSWTAMVSGYIGSGRALEGMHLFGKMRREDIFVIDSVTLVSLLTGCYETAKFDLCVQLHGYSYKSGLYLYRPVPNTLMAVYGKCGYASLAHRVFDDMILRDVVSWNTLILSYGINGQGEQAVALFNDMEESSGERDSVTYLNTMLACSHSGQVDDGLIIFRRMINEKRLNPSQEHIGCLVDMLARAGRLDEAAEVASLTSNEGANPWKALMGGGHLHSHTELTEVAAEKVLNADSFDNGHVVLLSNACASAGKYSDAESIRSCYLKQTRKKILGLSSIEVILSSTR >Sspon.02G0010120-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2A:28107003:28107221:1 gene:Sspon.02G0010120-1A transcript:Sspon.02G0010120-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MACWNTLHLLVPGGATTFQILEAFKTQLRLPFFMEIVITMCWSIWTLRNDVVFRGMSALVQPARASSEQSLP >Sspon.07G0021850-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:5384038:5385305:1 gene:Sspon.07G0021850-3D transcript:Sspon.07G0021850-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAYGGTVRPVESAAGETMLLWGLGQPEAHRNNALVRQAAHAFELDACGRRLSLLQSPSSMSTPGVTGAVVWDSGVVLAKFLEHAVDSQRLLLRGARAVDLGSGCGLVGCAAALLGAHVVLTDLPDRLKLLRKNVALNVDDPHVPGSARVMELVWGDDPHHELLKEPLPDFVLGSDVIYNEEAVDDLLATLNQLSGKHTTILLAGELRNDAVLECFLEAAMEDFLIACIEQDQWHLNFAATV >Sspon.01G0032350-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:109619588:109624144:-1 gene:Sspon.01G0032350-1A transcript:Sspon.01G0032350-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLKGAKLPSAPKGGSALVKVAVLGGAGLYAVLNSFYNVEGGHRAIVFNRLEGIKDKVYPEGTHLMIPWIERPIIYDVRARPNLVESTSGSRDLQMVRIGLRVLTRPMPDQLPKIYRNLGENFNERVLPSIIHETLKAVVAQYNASQLITQREAVSREIRKILTERANNFNIALDDVSITSLSFGKEFTHAIEAKQVAAQEAERAKFIVEKAEQDKRSAIIRAQGEAKSAELIGQAIANNPAFLALRQIEAAREISHTMAASSNKVFLDSRDLLLGLQQLNVGGKQKNSYLHIAVEFNLKGRERKDDLCSPQPLSVQHWTYRRGGRNPPWPEA >Sspon.04G0005240-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:15857232:15858505:1 gene:Sspon.04G0005240-2D transcript:Sspon.04G0005240-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYKRSSHVEEEEEEEEEEEEDEEEEEEEDEEARGHRYTTAAAAPVGAPQQQQQVHAQALGLGSHSSLMDSAAFSRPLLPPNSSLVTQPPLPPPGFLPAHRQPPQLHPRKAERERDRAAGAQQPQVRRHQEPARNGVLGGGGGAAPASAASTLGLASVGPVVEAAQWRYRECLRNHAARLGAHVLDGCCEFMPSGSDGAAALACAACGCHRSFHRREAIPGGVAPAVAVSPSAMTLTARASANSSRVMPLLLAPPHMHTRPPHVPASPASAPAAVTESSSEELRGPRPRTRPLRRRTRPTRRWPQKRFRTKFTAEQKDRMREFAHRVGWRIHKPDSDAVDAFCAQVGVWMHNNKHLAKIPPSPPSSQPPLPHHHDHHPPPPPHHIHHHHPPPPPPPQQQQQQHDA >Sspon.01G0022000-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:79796174:79809961:1 gene:Sspon.01G0022000-3C transcript:Sspon.01G0022000-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to Peroxidase 56 precursor (EC 1.11.1.7) (Atperox P56) (ATP33) [Source: Projected from Oryza sativa (Os03g0563600)] MAARPVLPPPALLLLPLLLLAASSAAHGYGYGDAAKLRVGFYKDSCPDAEAIVRRVVAKAVHEDPTANAPLLRLHFHDCFVRATKAVTKGGWSKDGNLYQVETGRRDGRVSSAKEAVKNLPDSMDGIRKLIRRFASKNLTVKDLAVLSDDLKTKYTMHALPHPGAHAIGKSHCPSIAKRLRNYTAHSDSDPTLDGAYAAKLRQQCRRRRDNTTELEMVPGSSTTFDTAYYGLVVNRTALFHSDEALLRNEETRALVYRYRDAAGSSEEEFLRDFGVSMVNMGRVGVLTGDQGEIRKRSRPVLPPPALLLLPLLLLAASSAAHGYGYGDAAKLRVGFYKDSCPDAEAIVRRVVAKAVHEDPTANAPLLRLHFHDCFVRATKAVTKGGWSKDGNLYQVETGRRDGRVSSAKEAVKNLPDSMDGIRKLIRRFASKNLTVKDLAVLSDDLKTKYTMHALPHPGAHAIGKSHCPSIAKRLRNYTAHSDSDPTLDGAYAAKLRQQCRRRRDNTTELEMFHDVRHGLLRLVVNRTALFHSDEALLRNEETRALVYRYRDAAGSSEEAFLRDFGASMVNMGRVGVLTGDQGEIRKR >Sspon.05G0005850-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5A:18607585:18610414:-1 gene:Sspon.05G0005850-1A transcript:Sspon.05G0005850-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDRGGDGGGEAERWPWWAAASAAQAAAGVAWFRHGRGGTAVAMPFKAFAIASLFVGAGATAAAAGVLAAGVGSVEEMKGVGASIRRSLSVEAMARAPGGARRRGGGSGRRDAAGGGGGEAVRKGHWTAEEDAVLLEHVRVHGPRDWSSIRSKGFLPRTGKSCRLRWVNKLRPDLKTGCKFSAEEERVVLELQAQFGNKWAMISTYLSGRTDNDVKNFWSTRQKRLARLLGTPLRGRSSRSRSARAQAPVASSLESRPTTVVKPSQVPCLDQVPLEGSSSDVHPCRAAIPFMDAQKVPLEGSSSGVHLCSAATPFMDAQSAAQAPYDWAGSGLVSFDGALLPLASHSHACSSSNAAALPPLLPFDQPPYPLLDFPGIPAAGWNMAPGFANAGAMDHLAYQDLLPVTQPAPMMLPFFGTEYPHGGVKAELPDAAPDNFFDDLPPD >Sspon.03G0012850-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:45724149:45727832:-1 gene:Sspon.03G0012850-2B transcript:Sspon.03G0012850-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDALAPPSVAPTPSPLAASAVLTRRRSHLDSASFHTLSRLFSHCLHINSSPRDGTAPPEPEPAVADPVGGDADDSPGTPNGADFDRQKDLEIETADAGGPSLNGAVYQPAAANPTLDLGEAEAEALQLEGADAVVVVESTSCMTRAEVEESAAPLVENTLCKTSAEVESAVGAGLAAVEDVSLKSVESLLETKVDESVEVAVGDDEGRLLLEAMMTDFTGLIDDVDAGLISAQNCTVSGGDLQNSKASGDSKQLDGGIEGGEPLRNCDHRQNDGGGFEEGEIEGEFQDLGSEESGDSEHGDEDGEDEKLEGNSISRGSGPDKTCDHGTRFGNLHSTPEIGNDHLILNRDAIVRGDAQIPVTRAQAVTYDDLVDWNETPLPDNEAPNPGKKRKHTLTEERKARKTKNKRRNRALQRIADGVKRPKLTPVIKPKKPCHFYDHGKCQQGTNCKFSHDFTPSTKSKPCTHFACGSCLKGEECPYDHELSKYECHNYKNNGMCARGDKCKFSHVMRTTEGTPTQDAKTSDASLAYEKTNLKEHTRSQKNTTVHNGAPSNSILRNLAGVSSKSQNVSNRIPKGVQFRPFDKGQSNLSSLHLDALSMEKQKNANGTQHNLGGHEAEKQKTVKQNSQTPNLLLEDKNSSKEANPCSDPKKATSPVDSIATPGSIHTQHEVSEASRILQEFLFGAGS >Sspon.01G0048630-3D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1D:100485128:100486252:1 gene:Sspon.01G0048630-3D transcript:Sspon.01G0048630-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQQLAKTTLLLVALVVVSAVELCRAIEFDERDLASDEALWDLYELWQTHHRVHRHHGEKGRRFGTFKENVRFIHAHNKRGDRPYRLRLNRFGDMGPEEFRSTFADSRINDLRRAAPAVPLPGFMYDDATDLPRSVDWRQHGAVTAVKDQGRCGSCWAFSTVVAVEGINAIRTGSLVSLSEQELVDCDTAENGCQGGLMENAFEFIKSYGGITTESAYPYHASNGTCDGVRSRGRGRVQVSIDGHQMVPTGSEDALAKAVAHQPVSVAIDAGGQAFQFYSEGVFTGDCGTDLDHGVAAVGYGVSHDGTPYWIVKNSWGPSWGEGGYIRMQRGAGNGGLCGIAMEASFPIKTSPNPNPARKPRRALISRDSSSSSS >Sspon.08G0012600-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8A:53950880:53954585:1 gene:Sspon.08G0012600-1A transcript:Sspon.08G0012600-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AVDLIRNDKVSVIIGPQSTLQAEFVTYLANKTKVPVISFSATGDAATQYNVPYFLRACAKDSFQAASIAAFVEVYGWKNIVVVYEDDNYGVGILPSIANALQDVEAHIVYRAAIPASCPDYRIDGELYKLMTMQTRVFIVHMLPGPASHFFARASAAGMMIEGYVWIVTDNVGVVLDVLPQHTIENMQGIVSFRPYVAKSAGIIDFVSRFDALFRAKYRQAHDVRITRPTVYQYWAYDVAWAVATAVEDTKRVGFSNIGFQTPQDVSKNLVNGLLASPAGLELLSSILEADFDGLAGRFRFVDGHTQVPIYEVVNLVGEKSRGLGFWSPGSGLSRLLDSSPIRDQFKSSSTGEVLKPVIWPGDSTTVPKGWDFPVNAKILRIGVPVRRDFKFFVNVEANHNTNGSSVSGYSIDVFEAAVNRLPYALRYEYIPYDCANSYDQLISQVYFKKFDAAVGDVTIIANRSRYVDFTMPYTESGVAMLVLAKNDDKATMWIFLEPLTKELWIATMIKVLSTLEEYAKALRTGSKHGGVSAIFDEIPYLNSFLTQYGKEFQIVGPIDRTDGFGFVFPRGSPLVPDLSRAILNITEGCEGFQIQKKWFRDTAPSHDYENPDTDSVRLGLESFKGLFIVNGLALCAMLMNEFKTAQQVTSLIKEDIVQRRKAFSFAE >Sspon.07G0037890-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7D:63859371:63860120:-1 gene:Sspon.07G0037890-1D transcript:Sspon.07G0037890-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSWGHRKRKNAFHQDSPRKAFLGPNRIHQNCTKSRQTVIGTIHILQAKFQRPTEEIEGLGMKNHCKEKGLGGGHHRDQPTASLEGYTRRVRSRAPTGKILKNTKQIARSSLSLWRNKTYSSKSSGATVEYRQNRVPHFHHKTTDQGNITAHGLSQPAQALLCSKPKPKDKRPSPSTLLRFVRPLYVLSDPRDVI >Sspon.03G0032990-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3B:43718620:43718817:1 gene:Sspon.03G0032990-1B transcript:Sspon.03G0032990-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVKAYVLLFTAFFFSGLMQLSMAAQEKPATVAVTARVIDAKGIDQAIAYLLMFAALFVTYLAH >Sspon.06G0008050-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:41997470:42004267:-1 gene:Sspon.06G0008050-1A transcript:Sspon.06G0008050-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MFYLHTILERKSALWTVWIAAHLERKIKKPQIDGIDITSSAESIMFPEKPIALRLSGHLLLGLVRIYSWKVNYLFQDCNRMVTTIKTTFASVEVDFPVEVDWAPFDCITWPSTLNLDDLNLDDIVSQINTSDNHQKTLDQITLSEGQYEMIDLDEAPATGLFPYMGHEPFESGTFPQFDDGLGANDTLSNEIPLDPHPGNMLENQNPSDGAQDPPEIMREAPQEGPEHFIDTVFGNDDPMVLSQDSSPFVQNKVITPPAMDGTSAQQLAGRYIPLRSPITYDLIDDVKPLHSDNQLPELRLEPSPPPPQGQDNKRKREMVFDNEIMLSNEYMKAQSKGVGLNKLACKRRKLPQTALDMWKFSRTGRNDTNLLLEPLLQGMCTDLHVTYERNFPCVSDPDAESASCEPMMIGYGGSQDAPAKIQLTPTSHGNEDTLPEDDLTLKSPRNSDAQPEPQHTPKSPGGVSAARAEDTIPEFPRFSTVDMPCPIREDDSPFKTVRQTPQSRFGGTGVPEIPSSVGTYSLPGQSTPDSDRMVSLFPISDDYDDQPEIPGLTSTPGGISSAGTGTTGLGSMSARTRAVALFFRDHVPSTPPEEQPGKFSLSRILEGKKASFTDVLGDNGIVRVASGVLSWHTIAVLLFRQQVLKSCGYIDVQQGEPYGDIEISIRPSLAAAKH >Sspon.04G0017040-4D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4D:70833881:70838579:-1 gene:Sspon.04G0017040-4D transcript:Sspon.04G0017040-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSHSREDLDLSDSDSEDAESRASDNSSDYGTPSPATASSKVASGAGAAATPASIDAIDRHLRQLHLKYNEPISPNPSPGPTPSANPTALNAVKLYLHIGGSSPSAKWIISDRLAAASFVRTSDDEDDDAPSRGPWCLVVGSKIRARVGPELQLKTFPAQRRVDFVADGVWALKFLHADGFGDFCSKYHSCMFENSYGVAATDEGRAKVFGKDFAAWARPEDGDESIWEDATDSFSPGPKGSPMPPRTPVLKSVLEDLHEFEEPVEEGSGIKSLALGALDNSFLVGDKGIEVVRNFEHGIHGKGMSVKFSGGSTNFSTPKKALLMRAETNMLLMSPATDGKPHAKGVHQFDIETGKVVSEWKFEKDGADINMRDITNDSKGAQLDPSESTFLGLDDNRLCRWDMRDRRGIVQNLASATESPVLQWTQGHQFSRGTNFQCFASTGDGSIVVGSLDGKIRLYSKSSMRMAKTAFPGLGSPITHVDVTYDGKWILGTTDTYLILICTIFIDKDGKEKNGFSGRMGNRIAAPRLLKLNPLDSHLAGANNRFREGRFSWVTENGKQERHLVATVGKYSVVWNFLQVKNSHHECYQYQEGLKSCYCYKVIPKDESIVASRFMHDRYSVSDSPEAPLVVATPMKVTSFSISIVSPALLVVHGGHSHGGAGHGEHGHGAGASSAGAAASSAGAGPAAAELLCSLRRASTARHGQARSRARGRPPWTGSSAPARRGGAGALAAARAASSSGRGRAVRGRGDDRLLRLLRPAEARPRGANSAHPAMARGRGSIQRPPSPSGDLPARPSERGGRARRRGRELGGRARRTGTR >Sspon.07G0022590-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:9425808:9431128:-1 gene:Sspon.07G0022590-1B transcript:Sspon.07G0022590-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding DTGGRRTAASVANGLALPHLLLLLLLPLLLPPSSGVAAAAGVIRLPTGGRAGALACAPRDPAVYDRPVIGIVSHPGDGAGGRISNTTATSYIGASYVKFVEAAGARVIPLVYNEPEERLREYGISPKRYRENGALSSFFKILTTSPDENGKKAIFEWRKPMIPHSEDAVQVTQHFANHFISQARKSPNRPPADKVLDNLIYNYSPTFSGKKSKSFEEVYIFA >Sspon.01G0002950-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:69781230:69790405:1 gene:Sspon.01G0002950-1P transcript:Sspon.01G0002950-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPRSPAAAEAEAAGATMVPSVGGVEPPVTLDQVPRWSDPDQRIFLASTSDEASAEGAGSDATSASGFISFSDPLTVDDGAGAGGRAGAASRFPVDQEINSRIYLWRGQPWNLEVDAVVNSTNESLDEAHSSPGLHAAAGSGLAEECATLGGCRTGMAKMTNGYDLPARKVIHTVGPKYAVKYHTAAENALSHCYRSCLELLIENGLESIAMGCIYTEAKNYPREPAAHVAIRTVRRFLEKQKGKIAGVVFCTTSSSDTEIYKRLLPLYFPRDKQEEEIAILKLPADVGDENGETVIDERKIRIRPLPAGVVDRTVSATLVDLPLSDSGSALKSSFKLDSYLDPSFMSIIKDPDLRRKEQWEKSAQAQKGFNYARGSFKLDSYLDPSFMSIIKDPDLRRKEQWEKSAQAQKGFNYARLLGYGDLACPSLSAAEEYSLHSRYLSKANSVNLSEIAEMKIIYRGGVDIEGRPVMVVVGAHFLLRCLDLERFVLHVVKVR >Sspon.01G0022350-1P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1A:81977740:81979962:-1 gene:Sspon.01G0022350-1P transcript:Sspon.01G0022350-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASVATVASPSSPASRRRLLPLPSSAAPSLLRLPSRPARRLRRVALRVAAAGDEADVLPGPGPEGEAAVPGRLEEHRDEPPLGGSQLDIGGLAFQGDVGGGFTGVGSGSGSGAAAGGDGNKMLDRGINTAIVLGASTYALTKLLTVDQDYWHGWTVFEILRYMPEHNWSAYEEALKANPVLAKMMISGVVYSLGDWIAQCYEGKPIFDFDRARMFRSGLVGFTLHGSLSHYYYHICEALFPYKDWWVVPAKVAFDQTIWSAIWNSIYFVVLGFLRLESPTTIYSELKSTFWPMLTAGWKLWPFAHLITYGVVPVEQRLLWVDCVELVWVTILST >Sspon.01G0055750-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1C:83094898:83095269:-1 gene:Sspon.01G0055750-1C transcript:Sspon.01G0055750-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGTGRPDAASQTAEITVSSARSHPRRPFAPTRAAWPYCHPLPPSPARAPSRATPLPRPAPRPPSCHAPSPPRVPLRLAAFSIGATRHALLYRIGELCTGELYTDELHASTSLHSQQQGDIVL >Sspon.04G0010520-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4A:31925719:31931283:1 gene:Sspon.04G0010520-1A transcript:Sspon.04G0010520-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVHPASNCLAALVHHWRLWIEKDRRQRVIEDGSWRGMTDKLLTNWYQLPRSRILANHPNPHHHYTRFQRARGAMEPNFKLLLDELSQINRRFDHLERKLSDRATTIDARFDAMETIRVADADDFGRRLADLEAAPADPQITAVESRLAALEASYTDRDAEFTQRLSELERVRVTPVKVERDVRVANLDGMLDDVHIVVRRLEKGQDRPVFDDVPLNPSLLPTPNKAAARSSAGFPANPPVVGHRVDSTPRDSGSGVVMTWIPVPANVSEYLSRFTQLADQLKVYSPKHDQLYFTMCFIDGLRPDIKSVVLVQRPKTLDTAATLALLQEEVSSAPCGRSAPSGDWAVASRPHISTRRPLPLPPPPLPAQPTAAATSAPPQPSPTAAARLSALKSFRRAQGLCYKCGAKWSKDHTCSAEVLLAVNAIWDSVDDLLVPDDAVDQPDTAEPDQVFLALSKVAVGSSTSGRVIRFHGLIQGHPVMILLDSGSSASFISPSVAAWLSGVSAVPRTVFALLVVDSCAVQQCFRNCSGPLPHADSWQDKWLLIPYHNQWMLLQGVDSDLPDKLMLQVCQVSGDTDTTSSTEPLPPQIQAILDRYPMVLQPPTDLLPSRHCNHSIPLIPGAQPVFIRPYRYPPALKDEIEKQVSNMLSQGLIRPSSSPFSSPVLLVKKKDGSYRFCIDFRQLNAITAKSKFPVPVFDQLIDELATATWFSTLDLRAGFHQILLQPGEEPKTTFQTHCGQYEFTVMAFGLTGAPGTFQGAMNSTLAPGLRKFVLVFFDDILVYSSSFAEHLHHLDLVFQWLAADQWRLKLSKCRFAQREIAYLGHVISERGLSTDPAKIQAISSWPHPETVRALRGFLGLAGYYRKFIRHFGLIAKPLTDLLRKDTLFIWTSVHDSAFQTLKAALCSAPVLGIPHFSKTFHIETDASGSGVGAVLLQDGHPLAFISRALAPRNQGLSVYEKEYLAIIMAVEQWRHYLLQAEFVIHTDHRSLCYRTDSEAQRLLPRLLLDPAARPPFKLQNGIITYKGRIWLGSNAEFQSRVLAALHDSPTVQLDITAFLKTVKKNKHKFYPAFIHILARLMNAHPEFRMAMKDGLDIASSAPVPELHDWLEQRNLMQALVRQHLLRAQTRMKRQANKRRVDHQFAVGDAVFLKLQPYVQSSVARRANHKLSFKFFGPFTIQERIGKVAYKLLLPPDTAVHPVFHVSQLKKSPGSQPRRWSAGAHPVEQVLVEWSHMPAATWESVAHLKQQFPRAPAWGQAVSQDRGNVSTPEAPVPEFLRKEPE >Sspon.01G0021790-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:78237615:78241427:1 gene:Sspon.01G0021790-4D transcript:Sspon.01G0021790-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MWCASCLASACAGCTCNLCASAASAVSRRSARLAYCGLFAASLILSFLLRQFAAPLLKHIPWINAFDQTPPEEWFQMNAVLRVSLGNFLFFATFALMMIGVKDQNDRRDAWHHGGWIAKIAIWAVLIVLMFCVPNIVITIYEVLSKFGSGFFLLVQVVMLLDFTNNWNDSWVEKEERKWEIALLVVTVVCYLSTLAFSGVLFMWFNPSGHDCGLNVFFIVMTLILAFAFAIVALHPQVNGSVMPASVISAYCATLVSPVNRMTMSAMGFTGILSKFH >Sspon.01G0017740-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:67004362:67008160:1 gene:Sspon.01G0017740-3C transcript:Sspon.01G0017740-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEIAITVAAANGTLGTCSGVSPTAPGGRRAAVLGRWRPLAPANLRLSSPAVRVPRATSAAAVEDWSNTDIVPIPKVIIDQDSDPDATIVEITLGDRLGELLDTMNALKNLRLNVVKASVCLDSTGKHNKFSITKASTGRKIDDPELLEAIRLTIINNMIVYHPESSSQLAMGATFGPEAPTEEVDVDIATHIDIYDGPERSLLVVETADRPGLLVDLVKIISDININVQSGEFDTEGLLAKAKFHVSYRGKPLMEALKQVLSNSLRYFLRRPTTEDASF >Sspon.02G0024290-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:79548650:79552315:-1 gene:Sspon.02G0024290-4D transcript:Sspon.02G0024290-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MITGALQVSGSGLPLLLVRQRRAFRPPQAVASDAAAATAREEGGKVALGGSGVAVTKLGVGAWSWGDTTYWNEFQWDVCGVSVLKSPLSIRFIKERQQKEQVEVAIATKFAALPWRFGRGSVICALKASLDRLGVASVELYQLHWPGIWGNEDYLDGLGDAVEQGLVKAVGVSNYSEKRLRDAYERLKKRGIPLASNQVNYSLIYRNPEENGVKAACDELGVTLIAYSPIAQGALTGKYTPENPPKGPRGRIYTPEFLTKGNVVPIPGAKNAEQAWEFAGALGWSLTADEVEELRSMARQVKPVIGFPVEKL >Sspon.01G0006260-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:16207693:16210334:-1 gene:Sspon.01G0006260-1A transcript:Sspon.01G0006260-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VLIVVKCELVCQALNAEINGEAKKEEPPIVLKPKDEGVVVAEQANAPPLVMKPKQEVKSASQIVNEQRGNAAPAARLSMTRRVHPLITLNPYQGNWVIKVRVTSKGNLRTYRNARGEGCVFNVELTDEDGTQIQATMFNEAAKKFYPIFELGKVYYVSKGSLRIANKQFKTVQNDYEMSLNENAIIEEAEGETFIPQVQYNFVKIDQLGSYVGGRELVDIVGVVQSVSPTLSVRRKIDNETIPKRDIVVADDSSPVVAIKSLKVSDFQGMSSNLCSIFFLEISKLLKVRRDNCGAEICLVGVSLSTIGKSTLVINPGLPEAQNLKSWYDSEGKDTSLAPVGAEMGATRAGGLKSMYSDRVFLSHITSDPAMGQERPVFFSLNAIISHIKPDQNMWYRACKTCNKKVTEAFGSGYWCEGCQKNDSECSLRYIMVLKVSDPTGEAWVSVFNEHAEKIIGCSADELDRIRKEDSYVLKLKEATWVPHLFRVSVTQHEYMNEKRQRITVRSEAPVDYAAESKYLLEEIAKLTAC >Sspon.05G0019760-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:79957910:79961332:-1 gene:Sspon.05G0019760-4D transcript:Sspon.05G0019760-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQLPAPASRLWEASIRKLQTIRRATTTAGPVPDGADAGAAAALTPSLLHVSSSASSTIYQYHHEDGEDSDTSTDGGNDSDGAGEEEDAAALGEPTQAEQLLPSGDFYQGDLRGDLPHGAGKFLWTDGSMYEGAWRRGRASGRGKFSWTSGATYEGDFAGGYMHGQGTYIGEFGDTFAGLWANNLRHGRGTQAYANGDVYDGHWRDGLQDGHGRYIWRHGHEYIGTWRAGDMHGCGTVIWADGDRYDGAWEDTRPKGQGTFRWADGGMYIGTWCEEAGAVHADGVYYPPSGGPAVPVPREPCDPITALLQELEVCEGKTPSLMPSQKILTWPGVEPVQKKPVWRPPKISPDQGRRSSVSRRSSASLDLDILQAAAEGGESEEARADRSCLRTSSCMRTPPRPGKKQGETISKGHRNYELMLNLQLGIRHAVGRQSAPTTLDLKSSAFDPKEKVWTRFPPEGSKHTPPHQSCDFRWKDYCPLVFRTLRKLFDVDPADYMISICGDEALRELSSPGKSGSFFYLTNDDKYMIKTMKKSEVKVLLRMLPAYYKHVRAFENTLITKFFGLHCVNVKLTGAIQKKVRFVIMGNLFCSSYAIHRRFDLKGSSHGRMTDKPIDQISEHTTLKDLDLNFIFRLPGTWFEEFCRQVDKDCELLEMERIMDYSLLVGIHFKDRCKDNSNGDNGTSHNAEDSEENRKGSLKLGICMPSRVENVVKNPESESPLIGEPTGEFQEVILFFGIIDILQDYDISKKLEHAYKSMQYDPNSISAVDPKQYCKRFRDFIFKAFADD >Sspon.03G0014760-3C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3C:66940025:66940345:-1 gene:Sspon.03G0014760-3C transcript:Sspon.03G0014760-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VDAVVYLVDAYDKERFAESKKELDALLSDDSLANVPFLILGNKIDIPYAASEEELRYHLGLSNFTTGKGKVNLGDSNVRPLEVFMCSVVRKMGYGDGFKWVSQYIK >Sspon.03G0010410-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3D:33437981:33438481:1 gene:Sspon.03G0010410-2D transcript:Sspon.03G0010410-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQARLLLVDALETDDFREVADPALECRFSKTEMRRMVEAAAACVRHSAAKRPRMVQVWRSLDVDECSSDLTNGVKLGQSMAYDSGRYSADIELFRRMAFANDLSTAELGVLDEDDHQHSSKAGSSSRRHK >Sspon.02G0004580-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:9121844:9122779:1 gene:Sspon.02G0004580-3D transcript:Sspon.02G0004580-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ethylene-responsive transcription factor RAP2-11 [Source:Projected from Arabidopsis thaliana (AT5G19790) UniProtKB/Swiss-Prot;Acc:Q6J9S1] MELLHFQAQPPVFQLEDYSSYYYYYQQDAAAQAKPSKPRGRKKGSNNHSKFVGVRQRPSGRWVAEIKDTTQKIRMWLGTFETAEAAAKAYDEAARLLRGSDARTNFAPRISPDCPLAVRIRGLLHHKKIKKAKAAAASSSAAAAAAAGKQQASSSPAPTTSNSHSTNSACGGGSSSSSSSSSSSAVSCDDAMAMQHGGIGGLDAGEVYRPEFAAAGAEEFDSWMFDSAFGQFPALDSFAAVDGAVPAAGTTEEPVAAATPGAEMAEFERIKVERRISASLYAMNGLQEYFDRVLDASACDSLWDLSPLCHH >Sspon.06G0032890-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:5398354:5404538:1 gene:Sspon.06G0032890-1D transcript:Sspon.06G0032890-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSYRALPPTFSPVRISTWKQHNRPTRVQDLSSIQQDGTSSAAGEHGLRNYKWKSRQDLNIITMKQEM >Sspon.03G0000730-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1D:19807145:19809663:-1 gene:Sspon.03G0000730-3D transcript:Sspon.03G0000730-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MCEAPRLGYRAGAGDAAAAADVDVVTTGGRRRIPAHSSVLASASPVLGSILKRRLQKDRESGKPGRSVVRIRGVTDDAAAAFVRLLYAGRCGDGGEEEEDDMERHAVQVLVLAHAYQVPWLKRACEGAIGARLTADSVVDVLQLADLCDAPRLHLRCARLLAKEFAAVERTEAWRFLQENDPWQELHVLQRLHEADMVRFFFPLPPPPAARALDCYRIGPRSM >Sspon.01G0052020-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:19720029:19724036:1 gene:Sspon.01G0052020-1C transcript:Sspon.01G0052020-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPGGSDESWEYSLRKYLLLLATLVTTVTYGAGFNPPGGVWQTADPAAANRIAGDPIIRDTNYARYLAFFYSNATAFASSLVVIVLVLILSVLHDSGARITLAPLLGVLRAVMVLDLLSLMGAYAAGAFRDTLTAVYSLVLSAGVVGYLVAHLVLASRLPAEEDKYKRGKSAPERLRKVLMLLATFAASVTYVAGLSAPGGFWDHPEDGHRPGDAILKGGPHDARLKAFFVCNTTAFVASLLILVMLLEKKLCFSQKVRSYEIYGFVAVTLISLLAAYAAGSSRKIDTTIYVSALVGVVAVCILIQVVFVLLFQQANSSQGQQTNGNGSDERQQTQGPETSNDNNGSNEQQQQTQGLQTSNDNVSDEHEKQQNQALEKARSLVLLLATLATAITYQAGLSPPGGLWQSDGGGGSIYKTGDPILLTTNPRRYKAFYYCNSVAFVASLVAIVLVRKKTLHHHNALEAAMVLDLFGLIGAYAAGSCRDVSTSIYAMALAGVVLVYVVIHVVLLTLDHKDGSASVRGNDETSGEEKKDTGLVEKRRKRLLLFAILAATITYQAGLTPPGGFLVEDDKHTGRRAGDPVLLNNYPRRYTAFFYCNSVSFMLSIALIILLVNPNVYRPAIRSNALSVCTAAGLIGIMGGYAAGCTQHLKTSIYIFALAAVVLSTVVLLVAVFLVNHLRKPEGIANSTRAAVREAPGEEEEEEASAGAAGVENAIGAKVRRKEKRIHAKRKYLMLLGILVASVTYQAGLAPPGGAWQSNDGTHTAGDPVMHDYRRARYLAFFYSNSTSFVASVVVIVLLLPLPESLHEHKWWWLWLGVMNATIVLDLLGLLIAYAAGSSRTWKTSVYVSALVVAVLAYFAIHVLLSSCVRRGKKTAPESPSQENGEGNEAATREVQLAQTEYSAELNVCAVCSLVPDNL >Sspon.04G0011630-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:3694237:3698071:1 gene:Sspon.04G0011630-2B transcript:Sspon.04G0011630-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEQATGVSGPEHIIDIPREIGPSASVSQSVGRENHEELNPVDRPSTRALVPALQAPSAIGAVPNAGQTSGTRRNDNYVRRHRSPLNSGLWISIEVIVNVSQIVAAIVVLCLSRMEHPQAPLLEWVIGYTVGCFATLPHLYWRYIHRNIVNGEHEPAHAPQGSAHNNSNEATHAASASERRRNAARNAVLANPRINALFDHFKMALDCFFAVWFVVGNVWIFGGRSSAADAPNLYRFCCICLAKYAHNDELRELPCAHCFHKDCVDKWLKINALCPLCKSEIAGSSGTSDARQSDQNAIPVQEIEMR >Sspon.01G0043810-3D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1D:69586847:69590091:1 gene:Sspon.01G0043810-3D transcript:Sspon.01G0043810-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGDPVNKILMDGGKARWDKWLATARKKGEVCGRGRGSSRSEVSGSRCGGASSRRAAARVNSSRAERLLSGRPSACAGAGSLRQAQGPGVSFGGLGDLWCRGELQAGAGSRSGGRRVEVRRAQERRGELQVRGQRRVKREQLDGGRAGGGGERRPRAAASAGSSPAAVSRAQAVGAATTSMEGDLPRKEKG >Sspon.01G0028130-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:98516909:98521953:1 gene:Sspon.01G0028130-1A transcript:Sspon.01G0028130-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AQVLLRDPSDENVIPVVEELRRRLAPSNATQHDGKENLNGQHDVEGAEEDESPFEFRALEVTLEAICSFLDARTTELETDAYPALDELTSKISSRNLDRVRKLKSGMTRLTARVQKVRDELEQLLDDDDDMADLYLSRKLAGASSPVSGSGGPNWFPASPTIGSKISRASRASAATVHGNENDVEELEMLLEAYFMQIDGTLNKLTTLREYIDDTEDYINIQLDNHRNQLIQLELFLSSGTVCLSLYSLVAGVFGMNIPYTWNDGHGYIFK >Sspon.08G0000690-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:8A:2384377:2386062:-1 gene:Sspon.08G0000690-1A transcript:Sspon.08G0000690-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGRNNGDRGSWRSRYRDHTTRGSGRSTSSSGYTSAGTIVSTGYTSNSSRRLSSFSSLKAAIHLDLELDEDRWLSQPTKKSRKEIYTSKETQKKEKMYHHQEVDIAQKEMEEIRILVQEFFLGAPSPSVDNCSTLEAKGGDTSVLDWWLKHLRVGWVLDITDDYASSGKLFEHTFDDARSWILALAKISQAVRFMAPSLCRNHNSVGLRSICAEEEQQPEIEIGNKDSIPDLFQFAQFILETLLKLLVFVDVIAAPNSKIALQEQQVTIMNRRVLQLYHKLRTLLRVHSALSDDALSAIEWLFGSRPPRGVERIHEKIVSILSGKVAKAAEGIWSTMEQIRMESIDDCDDSSCSLDTQGSSDVHKATQFVVEYIRLLCSHYESVAAILSNKAASLGSMIRETASSLHETLVNISESFLNHGLRFLFLLNNSYFIRQELSYGTYYFSPQQNLAALFGKVEGYMESYLQVSWAPVLSCLLNPTPLCFGRKYSLLPKFESEFQKTYTTQKLWKVPDPELRKTLRKAIIEKIVPGYTNYIEDNKITTPKFSPQELKEMLEELFEG >Sspon.05G0020420-3P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:81280949:81285219:1 gene:Sspon.05G0020420-3P transcript:Sspon.05G0020420-3P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding TAAMGIPKFYRWAVMKYPRIVSPAKEEPEGPDGGNGNFIYDNLYLDMNDIIHNCFHPQDQKYTRVQVFPPMTLHEVFESMFRDMDRLFRIVRPRRLLYSSADGVAPCAKMYRVRDLQETQGKEVPARETSKIPDPNVITPGTEFMEKLSQALDYYIRARLNSDPGWKVLKVILSDANVPG >Sspon.03G0010880-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:29591008:29596403:1 gene:Sspon.03G0010880-1A transcript:Sspon.03G0010880-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDVVAAAAASPPSPWSLLQGLLALLIVWGAYRAAQTFWLRPRRLDRALRAQGLTGTEYCFPAGDLKENARLNDEARSRPMPPCHDVVPRVMPHLFNTINEHGNICITWFGPIPRVVITEAELVRDILSNKFGHFEKFTNKRLGKLLALGLATYDGEIWAKHRRILNPAFHLEKLKRMLPAFSTCCTELIDRWDSKIAGSDGSYELDIWPEFQNLTGDVISRTAFGSSFMEGRRIFQLQSEQAERLIKAFQYMYIPGFMFFPTQNNRRMNKINREIEGTLRGMIEKRERAIENGEASSNDLLGVLLQSNMESGKGSLRISTEDVIEECKLFYFAGMETTSVLLTWTLVILGMHPEWQDRAREEVLSVFGKDKQPNFDGLGRLKTVTMILYEVLRLYPPAVTLNRRTFKDMEIGGITYPAGVILELPIIIVHHNPDVWGKDAYEFKPERFAEGISKATKDQPAFFPFGWGPRICIGQNFALLEAKMALSMILQRFEFQLSPSYTHAPYTVITLHPQHGAPIIFKKI >Sspon.05G0030000-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:85984930:85992090:1 gene:Sspon.05G0030000-1B transcript:Sspon.05G0030000-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVETDGRVVNQGPAATSAGGLQVRAKEAGSAWSAVTLAATRQGMRAFLLGRLRPMIAQLVSTAWCLRMDMLRIWRFLSSRRSHAHENGALGMKVSCRKRKLDLLVLSGSASEVKEPASTRQRVDGCEVPANPDALQCSGSARICLRISNLRVIHLISLKKNHPSRCGVAPVIGDIPVPEDSISYLFSVYNFLRSFSVQLFLSPFGLDDFVAAINCTVQNNLLDAVHVSLLRALKRHLESKSAEGSQMASNCLKYLDWTLLDALTWPTFLLEYLYVMRCIKNLGGQSFARNLLAAEYYKLPVATKLRVLQILCDHVLESEEFKTVLDDRVGYNEEMEYEIDSSTFWEAGSRAVSTRASKASAYKMMNDLQNFESAPNVTNPDVAMFLRTAIVMIAEYVEWMGLWYAVMAAHGHYHSRCIGQNKAFLPHGDWFCPECVVNKLGPTSSRIERGARGAQMFGVDMCGRLFLGTATIYLCKIETSSDVESYARYYNHYDVVKVLQRLAPSDAYSERSTILEEVGTRHTPQSSMLSFTPTKSEYGSGWTTSKDGGDSKTVALPQTNAQQKFVANQYTVCSAEHLEKQKCMSSLGVVTEKNVEVCKEALSAQNNIYNAPRNGNSGPFVPSSISHPNGFVGMVMSNIAQAQPAHKSFRPSLQDKHHLQLFTERSGNMSCGKAAKSSSFKPQAYMNLYNHGNIAASAAANLAVITSDEGKVSASQLTLKPKRKVAADNALQLKAFSSAVAQFVWPSTEKKLMEVPRDRCGWCLACRSSASGNKKACFLNMTTTNAAKSSARVLSTMRVIKNSDSHFPSIAAYLANMEESLRALLVGSLQDVQQRERWRQQLEEASNCRTIIPLLLELESNIRGVAFSASWLKPIDDWPVESPGPSTVASRPAQYQKRGAVGRRGRKRLLASEPGTATDEDNSWTWWTGGNIIKHTLQRGALLHSTIRKAARQGGKKRIAGLSYHEGSNFPRRSRQFFWRACVGLSQTSSQLALQVRYLDAHIRWKEFIPPDQIPSDGKSSDADFSALRNAVLCDKKIIDNKIRYALKFPNQKHLPVRLTKNILEAESDQDESRKLWFSENHVPLYMLREFEQHAEASSLPTPGIPDSNCFTNFFPRRVKASDGDVFSYLFHKGEVYPCTSCKKDVLYRDIVKCSSCQGNCHKECTSRSVVSKGGSATSSLICKLCLQKRSLMLTGYNTNTNASYIQHQQKSNGQQPVAAPRIVFKVTSSHSAEPAPKVEAEPVTKVKAQPVGKVEATTQQVVNVKAEPLVKVEAQPLAKVATQNINSVQKKKAKKSKSDKPTKAKKVQPITYFGLIWKKNKNDKDDGSEFRANNVILKSKDGIGSSIKPKCCLCDKAYSPDFLYVRCEKCTILFLTSRNSLLTDWFHGDSLQLDEDRLGELVGYRCCRCRRRAIPPCPHSDNYVKPEPEISEKTVATSSLSTMLSSEEAFALADQDPLLASYGIVEPIGEETRDVDISVNTASIAPGSNQKLSIRRAQTKISEYLDQAGKPVNEYYIQNTPSGNGNINFSHMNEISFSEADSVDASELLGWDFSKGTAYAAPPDFTSNHQQNDTSCGSFVMDEYEPQTYFSFTELLEADDTHLDNAFGMATGVQDDVNGTGSFVQQGVGFDDVSFMVEDGASNMNFPTNGPTPEEVACRNCMNTQPPPDLRCSVCGLHIHRHCSPWDQGVKPVESGNWSCGGCRGWQ >Sspon.03G0026440-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:1409263:1412967:1 gene:Sspon.03G0026440-1P transcript:Sspon.03G0026440-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein LONG AFTER FAR-RED 3 [Source:Projected from Arabidopsis thaliana (AT3G55850) UniProtKB/Swiss-Prot;Acc:A0A1I9LN01] MPLPLAAQSALLAAAVAIATATRDRFADMVLANATIYTADPARPFADAMAVRGGHVLRVGTYESVKELKGRHTHELSLSGNVVLPGFVDSHVHFIDGGLQLARVPLRGVRSKDDFIGRVKAAVRGDLPAAAWLDDISPDNPVWLSRMDGHMGVANSLAMKIAGMDKNTNDPIGGTIIRTTEGEPTGLLVDTAMRLIFDVIEKVSSRERREALLRASRHALMRGVTTVVDVGSYFPGDSTEKTWQDFAEIYKWAHSMEKMIMRVCLFFPMPTWSRVSDLINEHGRSLSQWIHLGGVKAFLDGSLGSSSALFHDPYEGDPDNYGLQVTDLDSILNRTLESDKFGLQIEHAQHLAPGAANRFGKHGIIASVQPDHLLDDADSAGNKIGVERADRSSYTFRSLLDGGAQLAFGSDWPVSDINPLQAIRTAMFRKPPGWELPWIPAERLTLDQSLKAHTMSAAYACFLDHAVGSLSEGKYADFVVLPSTSWDEFSNDVPEQVLATYVSGRQAYP >Sspon.05G0015240-4D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5D:56828547:56831454:1 gene:Sspon.05G0015240-4D transcript:Sspon.05G0015240-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGGALAADRQDTSCMIVCGRQKGCKKILVLHNIKQGKRERTNWVMHQYHLGMSEEEKDGELVLSKVFYRCPDATVIEQNDEKVEVTSEATPNMLPVSGATAVTMVQLEQHQLQRQAHGHGQCKFAPAKMFQEVGVGDPVSGDQGQVHGNNHHIPSQHYVQSVHMEPDTTLSVHVGVGDPASGDQGQVHGNNHHIPSQHHVQSVDKEPDTTLSLALSTGGWGVLRNGSEGPYHCHLKPRAGSEGPYHCRLKPKTDSDTRLSLSAGGFDR >Sspon.06G0007840-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:33437433:33442928:-1 gene:Sspon.06G0007840-3D transcript:Sspon.06G0007840-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSATSGAMELVAALLRGRVPPELMGGEGAEGRALVATLAAAVLGAALFVLWRRAAAGKKRKREAAAEATQAKARGVKGGAEDEKPADDGRKKVTVFFGTQTGTAEGFAKDDYAAEDEEYEEKLKKEKLALFFVATYGDGEPTDNAARFYKWFTEGNERGVWLNDFEYAVFGLGNRQYEHFNKVAKVVDDILTEQGGKRLVPVGLGDDDQCIEDDFNAWKEALWPELDRLLRDENDVSTGTTYTAAIPEYRVEFIKPEEAAHLERNFSLANGHAVHDAQHPCQANVAVRRELHTPASDRSCTHLEFDIAGTGLTYETGDHVGVYTENCAEVVEEAERLLGYSPDTFFTIHADKEDGTPLSGSSLAPPFPSPITVRNALARYADLLNSPKKTSLVALATYASDPAEAERLRFLASAAGKDEYAQWVVASQRSLLEIMAEFPSAKPPLGVFFAAVAPRLQPRYYSISSSPSMAATRIHVTCALVHETTPAGRIHKGVCSTWIKNAVPSEESKDCSWAPIFVRQSNFKLPADPSVPIIMIGPGTGLAPFRGFLQERLAQKESGAELGRSVFFFGCRNSKMDFIYEDELNNFLEQGALSELVLAFSRQGPTKEYVQHKMAQKASEIWDMISQGAYIYVCGDAKGMARDVHRVLHTIVQEQGSLDSSKAESFVKNLQMEGRYLRDVW >Sspon.01G0038140-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:62776449:62778572:-1 gene:Sspon.01G0038140-1P transcript:Sspon.01G0038140-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPSLLVILLLLLSRCSAAAPRHGKCSWEEGEGEWRPAEEEEKGKGKERGLFVLDRLEKVVESEGGQVRVVRGQPWPPAAFACREGLMHIGFITMEPKTLFVPQYLDSSITLFVHRGEVEVGYIHKDELVERKLKMGDVLHIDAGSTFYLVNTGKGQRLQIICSIDASDSLGFGPPYQAFYLGGAGHPASVITGFGPKTLTHAFNATYDELASILLPRTGGPIVYYTGDAEPESGGGKEEREQGNGHDGVLDRGARCEGGGAWRPVGRGDEGDECGSDDARRPTWSWRKLVNRFIGGAAGGGVTAEANKKGKKKGGAPEPYNLYDSEPGFRNAYGWTVSVGKHQYEPLKHPDIGVYLVNLTAGSMLAPHVNPRATEYGVVLGGEGTIQVVFPNGSLAMSEAVRPGDVFWIPRYFPFCQVASRGGPFEFFGFTTSARRNRPQFLVGAASVLRTMLGPEIAAAFGAHEKEFSKLVHAQREALIMPSFPASGKEEEEKHGKKKGREEKHGKGRGGGRGRSHCRWLSSRWRR >Sspon.02G0042500-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2B:84258543:84262824:1 gene:Sspon.02G0042500-1B transcript:Sspon.02G0042500-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine protein kinase, Pollination and drought stress responses, Reguration of potassium uptake by CBL1-CIPK23 comple [Source: Projected from Oryza sativa (Os07g0150700)] MRGEQRRLAEGATPRRACRPPPSFVTRRDRAFLSPILPSTRIPSSPVSSSPPLPDPPATIARHHYLLPCLRPSPRHQPIDPLPSHAAARSGCTDTPVSEQSEARPDAAAAARRGAPGAVRPVEALRQEEVLGPPPAAHQSTFFGSSTSSAKAPSFSPIPPAGAREERNKDRKMSASVGRTRVGRYELGRTLGEGTFAKVKFARNVETGENVAIKILDKEKVLKHKMIAQIKREISTMKLIRHPNVIRMYEVMASKTKIYIVMELVTGGELFDKIASRGRLKEDDARKYFQQLINAVDYCHSRGVYHRDLKPENLLLDASGTLKVSDFGLSALSQQVREDGLLHTTCGTPNYVAPEVINNKGYDGAKADLWSCGVILFVLMAGYLPFEDSNLMSLYKKIFKANFSCPSWFSTSAKKLIKKILDPNVNTRITIAELINNEWFKKGYQPPRFETADVNLDDVNSIFDESGEPAQLVVERREERPSVMNAFELISTSQGLNLGTLFEKQTGPVKRETRFASRLPANEILSKIEAAAGPMGFNVQKRNYKLKLQGENPGRKGQLAIATEVFEVTPSLYMVELRKSNGDTLEFHKFYHNISNGLKDVMWKPESGIVEGDEARQRRSP >Sspon.06G0002540-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:8198962:8200742:1 gene:Sspon.06G0002540-1A transcript:Sspon.06G0002540-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQQRGGVGAGRRGDDPGLLTRAVDRVFRFVRLAEFEIFFVLFFLIAFFLFRDLVSAHTNLAFRLRWNWDRIEYAWRSHEFELTDNACWKTRSLLYNIRVKSVAG >Sspon.08G0009010-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:37891305:37895061:-1 gene:Sspon.08G0009010-2C transcript:Sspon.08G0009010-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein NRT1/ PTR FAMILY 7.1 [Source:Projected from Arabidopsis thaliana (AT5G19640) UniProtKB/Swiss-Prot;Acc:Q3E9B5] MAMYLGIVLAAMQSCSRGPLAASHNDTMDITTHMDKEVVATRTRSVSYALMNLAFYGVAVDLVVFMRRVLHQENATAASFISMWTGTVYIFSLFGAFLSDAYMGRYLTCVMFQIIFLIRPCQPPSQLGVVMFYISTFTIAFGIGGYQPSVATFGADQFDDSNTMERRSKLAFFSYLYLALNIGSLFSDSFLAFYEDKGMWVMGFWVSAVAVALGLLLFLLGTPYYRHCKPSGNPLTRMAQVFVAAFCKRHLQPPPGEDLHEVEGEDSETQRASANSFTAINSGMCLDKAAIVTEEDYHAGKAKNPWRLCTVTQVEEVKCILSLIPIWMCTIIFSVEFTQMASTFVEQGTAMDTNLFGNFHMPAASMSMFDIASVILSVFAYNFFFVPMASRFTKNPAGITELQRMGTGLVIALIGMLAAAIVEVYRLRRVEAKDQPSPMSVLWQAPQYMLIGASEVFMYIGQLDFFNEQTPDSMKCFGSSLCMASISLGNYASMLMVSAVTSITNRRNKNGWITKNLNYGHLERFFLLLVVLSVIDFIFFAIFSMMYKGIQFQGREKDISPNYN >Sspon.04G0005880-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:68600847:68602644:1 gene:Sspon.04G0005880-2B transcript:Sspon.04G0005880-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGADRISDLPEGVLHHILSLLPARDAVRTCVLARSWRNRWLSAPALRFACSQGQLRSTGPFVDGLLRVRRGGLPLDSCDFDLVADLVSCDYDLDLQGNRWIRRVLELKVRELRFRVSGKPRYPFKLEYTPLSSQHLTSLELTGVQDNDAVLDFYECPALESLKMERSHVRSTEMQSPSLKHLSIKSCFFDDIRTWMSFPSLISFEFINNFARPPMLETMPCLEAAKVRLDHGYDVCKNGRLDPCGDDACKDCFLYDEGSVFLDGLAEATYLDLSAPSDMIVFYRDLKWCPAFNKLKTLVLRKWFLSTDLRALIWFLHHTPLLEKLTLEIPKEHKSLMETEGSYNALEKSIAPSHLQIVEIICKDVDGIVLKVLKVLNANGIPLGKIRIQCSGCKLSICTVMILLCSCPYVLQNQVSSVIKLCLF >Sspon.01G0056730-1C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:1C:91861274:91866192:1 gene:Sspon.01G0056730-1C transcript:Sspon.01G0056730-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGRTYMRNRRARDVRRAAARAANAGAEGAAVATDMQPGLELSKNIYPNFSLSLTLSSLSRREGHNLRDRKTTAGDYDLRSRGPPTLDLQALDNLVSVSRRSSSAAAAPSLAAAHHTAHHNPTPPSSPSSASSVTMTEPSMADLLKALEALTADVASMKADMATMKTQSSSSSGSGGDRRAEGPRDQEFHPKHKKWDFPRFDGTSDPMLFINKCEAYFRQHRTTVDERVPMASYHLDDAAQLWFIQLQTDDGTPNWSHFKDLLNLRFGPPLRSAPMFELAECRRTGTVEDYSNRFQALLPRAGRLEEAQRVQLYTGGLLPPLSHAVRLHNPINLAEAMSLARQVELMESERPAPQPPRAAPRGPPPPAARAALPAPPPLLALPAPPAAAQQGRTEGGQRRLTPDEMAERRRQGLCFNCNEKYSRGHNRFCRRLFFLDGVEIDAPDSDAAATADDAAMEAPVFSLHAVAGVAVGAPILLRVTLGTTPLIALVDTGSTHNFIGEAAAHRTGLPILPRPRLTATVANGEKVACPGVLRQAAISIEGMTFDVDLYVMPLAGYDMVLGTQWMAALGRIAWDVATHTLSFEHAGRSVCWTGVASTASPPVLAAPTDGSLLEHLLESFGDVFVEPSGLPPPRSRDHPIVLKTGAAPVAVRPYRYPAAHKDELERQCNSMIAQGIVRRSNSAFSSPALLVKKADGAWRFCVDYRALNALTIKDAFPIPVVEELLDELKGARFFTKLDLRSGYHQVRMRPEDIHKTAFRTHDGLYEFLVMPFGLCNAPATFQSLMNDVLRPFLRRFVLVFFDDILIYSSSWADHLRHLRTVLSELRRHRLFVKRSKCVFGVTSVSYLGHIISEQGVPWIRPRCRPSLTGRCPEAAAAAFTALKAAVTSAPVLALPDFSRPFIVECDASTHGFGAVLLQDKHPLAYFSKPIAPRHRSLAAYERELIGLVHAVRHWRPYLWGRRFTVKTDHYSLKYLLDQRLATIPQHHWVGKLLGFDFAVEYKPGAQNTVADALSRRDTDCDAETGAVLALSAPRFVFLDRLRLAQQTEPALVALRDEVSAGTRAEPWAVRDGLLTYGGRIYISSDSPLVQELLAAVHEDGHEGVQRTLHRLRRDFHFPGMRTIVQDFVRACATCQRNKAEHLHPAGLLLPLPVPSVVWSDIGLDFVEALPKVKGKSVILTVVDRFSKYAHFIPLAHPYSAESVAQAFFAEIVRLHGMPQSMVSDRDPVFTSKFWQELMRLMGAKLHMTTAFHPQSDGQTEAANRVITMYLRCFTGDRPKQWLRWLPWAEFVYNTAYQSSLRDTPFRVVYGREPPSIRSYEPGATRVAAVAKTMAERDEFLQDVHYRLEQAQATQKRHYDRLHRAVSYKLGDWVLLRLRHRPVASLDVVATGKLKPRYFGPYRIVEVINEVAVRLELPPRARLHDVFHVGLLKPWVGAPPTTPPPLPVVHNGATVPEPERVVKARLARGVRQLLVHWKNEPAASATWEDMDSFTARFPQFQLEDELSLEGGRDVMYGRTYMRNRRARDVRRAAARAANAGAEGAAVATDMQPGLGG >Sspon.01G0025160-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:1A:89019601:89020059:1 gene:Sspon.01G0025160-1A transcript:Sspon.01G0025160-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALTCCASIPRRWHASGAHVSAPGSAPPHLDQPRPACRRISVPRPWRPPSPTDRLRSMLLLRAFAAAGSRRIFDDDARRRRRQRQKQQADQCPAPPRGLDPHHTPGCGQQDGAELLAGAARERGFNHALAVMPWVAPTPMAVAMRTRDAGEG >Sspon.05G0016770-2P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:64030197:64035527:1 gene:Sspon.05G0016770-2P transcript:Sspon.05G0016770-2P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPSPPLLLLALLHFALLSTNAAAAADGNGTGTGDLRGDAMALLALKAALGCRPGALRSWSAANAGSVCAWTGVRCGAAGRVVAVDIANMNVSASGGPVSVRLTGLGALETLSLVGNGIVGAVAIASPLPALRHVNVSGNQLSGGLDDGGGWDLASLPALEVLDAYDNNFSSPLPLGVAGLPRLRYLDLGGNYFTGEIPAAYGAMPAVEYLSLNGNNLQGRIPPELGNLTTLRELYLGYYNVFDGGIPPALGRLRSLTVLDVSNCGLTGRVPAELGALASLDTLFLHTNQLSGPIPPELGNLTSLTALDLSNNALTGEVPRSLASLTSLRLLNLFLNRLHGPVPDFIAALPRLETVQLFMNNLTGRVPAGLGATAPLRLVDLSSNRLTGVIPETLCASGQLHTAILMNNFLFGPIPGSLGWCTSLTRVRLGQNYLNGSIPAGLLYLPRLSLLELHNNLLSGAVPSNPSASASSSSQLAQLNLSNNLLSGPLPSTLANLTALQTLLASNNRIGGAVPPELGELRRLVKLDLSGNQLSGPIPGAVGQCGELTYLDLSRNNLSGAIPEAIAGIRVLNYLNLSRNALEDAIPAAIGAMSSLTAADFSYNDLFGQLPDTGQLGYLNATAFAGNPRLCGPVVSRPCNYTEGAGAGVAGVTTTTTRRGGGELKLVLALGLLACSVVFAAAAVLRARSFRVDGGGGGEGRWRFTAFHKVDFGVAEVIECMKDGNVVGRGGAGVVYAGRTRSGGAIAVKRLQAQGGAGDDRGFKAEVRTLGSIRHRNIVRLLAFCTNRDANVLPRGGAPRQRQEARRRVPGVGAAVPDRAGGGARLCYLHHDCTPMIVHRDVKSNNILLGDNLEARVADFGLAKFLRRSSGGATDECMSAVAGSYGYIAPEYAYTLRVDEKSDVYSYGVVLLELITGRRPVGPDFGEGVDIVQWAKRATAGRREAVPGIVDRRLVGAPADEVAHLFFVAMLCVQDNSVERPTMREVVQMLADEFPRHAASSSAQTSPSTSSSAAAAPTPGGEESCSPDDGGKEPPPANCYKLFPDLLA >Sspon.02G0042810-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2B:86444768:86445289:-1 gene:Sspon.02G0042810-1B transcript:Sspon.02G0042810-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding AGHYVPQLSQLVYRNNAGIAKPIINFKGFLVGNPVINDHTDYAGAFESWWNHGLISDATYGLLRASCGGLNESIIHPSPACNAATEVAAAEQGNIDMYSIYTPLCGQTSSSATTKRSWKPSSLIGRRHYHHVMAGGSYDPCTVSHSTVYYNRPEVQRALHANLTGINYPWATC >Sspon.02G0024100-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:87209140:87209631:-1 gene:Sspon.02G0024100-3C transcript:Sspon.02G0024100-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRMEVQQAESGAGAGGMADAAAEADAMAMAVSGAPHSHHPHHAAPPLPPSELAVYERVARMASGNAVVVFSASGCCMCHVVKRLLLGLGVGPTVYELDQMAGIAGGGREIQAALAQLLPPGQPPVPVVFVGGRLLGGVEKVMACHINGTLVPLLKQAGALWL >Sspon.06G0017830-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6D:78986993:78987699:1 gene:Sspon.06G0017830-3D transcript:Sspon.06G0017830-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNALSKGRLVSLDSNEQTSQAELSPNTMLIRPFTRSFSLEPGRLVSLVDSNGKEVGRGKVFQTPGKSPAESRVCMVDVTELRTEKWRELPHPSEASGRTFQEAEARNGGIMRVSWDVVRLSPACQPVCNGSTCVKRAGPG >Sspon.08G0020290-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:19716474:19721147:-1 gene:Sspon.08G0020290-1B transcript:Sspon.08G0020290-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPRPRNRAVRRGPLLLFLLVPLIYSVSRLHPWAPEKGVCLPPPTALKRPDRLVLGPAAGQGRPDRLQCQGLRALNKIGLSSEENYSGEHISFVTVFTTYNSVPAGDGNVPSDSAFLEQKLVEFDRMEGAVEFLKQVLEAYSSRYIKASRMLGDQLALAWVVKSHLPSAFGKFSKHEAFTGEVNGASVLFLPCAVYNWTPPEGAGQFHGIPLDVKLILLKAAVCSSSDTKIADHEAVIARAAHSLEAFRVKQGLTLKGLLVLNHGIDSLLLGLIRDVHLGPLVELHVIDLIHYKLGNLLATEEPKALQLEGWLRAYHEHLPKGVLAGQVHPLQESTNLVQGHKGDSVLII >Sspon.05G0031110-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:3234401:3240908:-1 gene:Sspon.05G0031110-1C transcript:Sspon.05G0031110-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear pore complex protein NUP88 [Source:Projected from Arabidopsis thaliana (AT5G05680) UniProtKB/Swiss-Prot;Acc:Q9FFK6] MTRITAPPPPSSPPGSPPPIRHSPAPATPPSRRRGRHSPSPSLALTPSSASTSSRTKLRPTPKRAYSPAQWVPLNSHPVFSRRDGGGGGAAWDAAASRLYAWDPSACGAHRIGVRTRDPDAENGEVDVAVEAAVPSELLTPESDLGYTVTHISLNTDGSSLLLVGSHNLSVLYVHERVSEDGDTIICRTAPVASQILPSDSDGIKVLQASWHPFSNNHFAVLTSDAVFRLFDLSSDLEQPEQEFYLQPILPGKCQNASAICPVAFSYGSDHLWDRFSVFILFSDGSIFVLCPVVPFGSDYSKKQIQEIYEDVNAFGLKSSNPYVVTNSHLAIAWLEATFPDLLHQSTDTSFLMSKAHAYAPVDDSLTLQGPLCRVCEESNESEGKSSSCEGKAVGFMYSSAGKDSVLVTAWGSGQLQIDALADEIQPQWNIGVPSRLNVDSHGQIKSVAMICDSNSQDPLALRSHRPSSTGSNVKSNIEAVWMGNSPPLLRLAIVDLALPKTPNDNSLSLFPDPLVPERFYCAHGGGLDMVTLHFLPFSYPEMSSTPPSVHPVLTTGNSETSSPFLSGFVTIADAYGHVQLVGITCLGECFVVEMKGWKEPTPLQLDIESKSTKDVEPPATGMISKELIAGPDPPILPSSSSLKSLTPDSIEGKSTLHHYIKVFHEYYVEYGHKVFIELKEHADYLKTEMEDKQKRLEAVKKSLMSIEAKDGDINKRIDRAFKVYELLEKRIDSFKMLPAANKKPLSQAEQEFKAQLDRFADVELDALRSSIAALSARMKRFAQQSMGGAASTGVTPWQAPKAGRSHISESQMSLLKSSLEKLSLLNEENNLKLRLIDHELKNQEQ >Sspon.04G0021320-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4D:80171801:80177743:1 gene:Sspon.04G0021320-4D transcript:Sspon.04G0021320-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGAPVWNDNEALTVGPRGPILLEDYHLIEKVAHFARERIPERVVHARGASAKGFFECTHDVTSLTCADFLRAPGVRTPVIVRFSTVIHERGSPETIRDPRGFAVKFYTREGNWDLLGNNFPVFFIRDGIKFPDVIHAFKPNPKSHVQEYWRVFDFLSHLPESLHTFFFLFDDVGVPTDYRHMEGFGVNTYTFVNAAGKAHYVKFHWKPTCGVRSILTDEEAALVGGKNHSHATQDLYDSIAAGNFPEWKLFVQVMDPDTEEQYDFDPLDDTKTWPEDLLPLQPVGRLVLDKNVDNFFNENEQLAFGPGLVVPGIYYSDDKMLQCRVFAYADTQRYRLGPNYLMLPVNAPRCAHHNNHYDGAMNFMHRDEEVDYYPSRHAPLRQAPPVPVPARPVVGKRQKATIRKPNDFKQPGERYRSWDADRQERFVRRFADSLGHPKVSQELRSIWIDLLSKCDASLGMKIATRLNMKPNM >Sspon.03G0029860-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:18508773:18514522:1 gene:Sspon.03G0029860-1B transcript:Sspon.03G0029860-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPPASTGQHASAIDDEPLLPEFSAGGHGGGGASVSGAVFNVSTSIVGAGIMSIPAAMRVLGVVPALFLIAAVAALADVSVEFMLRYTGWAAGGGKKATATYAGIMGDAFGRAGAAVLNVFIAFTTAGTLVVYLIIIGDVMSGSVGGGDEHAGVLQELFGPQWWTGRQFVLLVTAVFVLLPLVLRRRVERCCRCSSLGLWGPSPASVLCSLSLPAAAGELVYLGLDHHVHCAALGRKGAKERDATETYARAPNSPSRAPLLFYPIETMVSKNPPFSAMPSACRDTATTEGAAPLLLPEHAGDAATRGGGSASVMGAVFNVSTSVVGAGIMSIPAAMRVLGVAPAVALIAGVAVLANAAVDFMLRYTRGVPSYAALMDDAFGRAGAKLLNVFVAFNGFGTLTVYLNIIGDVMSGASSGGEAHSGVLQEWFGSHWWTSREVVLVAAAAILLPLVLRKRVDSLRFTSAISILLAVVFMLISLGIALYALFKGTATMPRMLPDFSRLSSPFELFTAVPVIVVAFTFHFNVHPIRAELSKTSDMKTAVRVSLVLCAAIYAAVGFFGYLLFGDATMADVLANFDRSSGAGVPQALNDAARLSYALHLVLVFPLLLFSLRVNVDELLFPGRRPLATDTRRFVSLTAVLMAVLYALAIAIPSIWTLFEYSGSTFAVTISLIFPGAIVLRDVHGIAKRKDKALAATMIILAVVTSSIAIASNIMSSISEK >Sspon.02G0047550-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2C:17088816:17090294:1 gene:Sspon.02G0047550-1C transcript:Sspon.02G0047550-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGKRRTAERTQQGAAERGRGRGRGRRALGLIRDRRARVDTFGKRKETLKVKAYELSVLCGVDVALVVAAADGDGGGAAADVWESTEGAVLARYRALDPEVRARHTHRAYLEGGLGKEEAKLARVRQAGPTGLDPWDKALDGVATEEEAQGLLEAIDAAIRATEDRMRALGLPVDGEDGVGAGVVLEGIAPLAFAGADGYPLHAPGGGGDTYDNQAIWGNDGFEAFGSQQCTTGSGGAGIEGYHLQITPNMYAAGGSNNNGRLATDDHLYPPRDAGTLRHGYGFHQCAGTDYFGMPAGHQMQELLGWGSAQTNLAMWSTEEPHHAMVPVHYPSAETGLSYMNTPAALGAQGGGCNFVNAPPELSLAMGTAGCVGGGDFINAPPVAFSHAIGGSCDNFTNTTPAQPLAMSYGADLTIAGSRYATQWQAAQQPQRAGRGQQSGVEQLHYLSDLGDAQSQAAQKHQHDGNGPQSGIELLHYLSKLEDTQLHLWGN >Sspon.05G0036980-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:7169880:7170689:-1 gene:Sspon.05G0036980-1D transcript:Sspon.05G0036980-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGQNQRLNVVPTVTMLGAMKARLVGATRGHALLKKKSDALTVQFRAILKKIVAAKESMGETMRASSFSLAEAKYVAGDGVRHVVLQSVRAASVRVRSHQENVAGVKLPKFTHLVDPAAASGGPSNASPSLTGLARGGQQVAACRAAHVKAIEVLVELASLQTSFLTLDEAIKTTNRRVNALENVVKPRIENTISYIKGELDELEREDFFRLKKIQGYKKREIERQRAAAKLFAEEQLAEDLALKRGISVGAAANLLVAGGEKDDDIIF >Sspon.02G0040180-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2B:66211024:66211848:1 gene:Sspon.02G0040180-1B transcript:Sspon.02G0040180-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDDGSLGIRNWGFYDTVKGNLGLQLMSSVPPDRDTKSLLPNGAFLQHHGHHNAPHQLHIQHSLHSRGPAGGRASGGMPTESQPIHLDFSRNEAWLQQSHHLQRTREQKVLHSHPIGPAGHVGHPGYGGHPAHGGHIVHHHPTGYEMISDAQHTLQMIQPQLETQLQEPPPCKEEEAPPPLVEDHPAVSTGPPVKKRQRGRQQNRQPKSPKPKKPKKAAVPLDDGVPNGHVPRRRGPKKTVGMVINGIELDLANLPTPVCSCTGAPQQCYRWGA >Sspon.02G0052550-2D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8D:37785219:37785647:-1 gene:Sspon.02G0052550-2D transcript:Sspon.02G0052550-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQDIESHRAGAEVIAGDEACRKKSVELLEELGLPKGLLPWRISKSSGTTETGFMWLVQKKKKVEHTFKKIKQTVSYASEVTAIAEEGKLRKITGLSVVEVYIPEALPEKVTFKTGTGLSDTFDAAAFALGE >Sspon.05G0025380-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:5B:23279344:23279814:-1 gene:Sspon.05G0025380-1B transcript:Sspon.05G0025380-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRWEPAASGCVRAPSSTSTSDACEHHHHHHHRRRAWLGGDGEGWGRGAPWPLGWGELGHRGEKGRCAGRVAHSHVTPQCHLHRSRSCSMNLVGVERGPPDLRVLRAPGENAGVGKDVGRWCTVTRDEERGRVRMGRRKQRNKFFFNLHAGESKRRG >Sspon.06G0029210-1C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:6C:16215170:16215664:-1 gene:Sspon.06G0029210-1C transcript:Sspon.06G0029210-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding IHQNKKQEKKRRSRLRQGSTWSALTARSLRWAVDERRDALTAHRVAWRCVLLHLSTACAAVPHPPLAGLRRPTQSTIDADAQRHAGPQQPCHSPQTLLATWLRTSLFKLAASSLGAARYIFCDCDCRARCATRRNLHAHDTTVFPALSLDPTHSLYRCSDPPST >Sspon.03G0033010-2C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3C:50111228:50111392:1 gene:Sspon.03G0033010-2C transcript:Sspon.03G0033010-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVALKVYVLLFTAFFFSGLMQLSMAQDKPATAARVVDAKAIDQAIAYLLMFAALF >Sspon.03G0042660-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3C:71482792:71483043:1 gene:Sspon.03G0042660-1P transcript:Sspon.03G0042660-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGKSSFFASLFGCKKKQGSGGRQEEAAPPPQRYYHGTRVRPSDDDYYYGQNWYADRDINRRASEYIERVHRGMLATSEQDE >Sspon.05G0005240-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:11210082:11213733:-1 gene:Sspon.05G0005240-2B transcript:Sspon.05G0005240-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDTSFKASGAKRKKAGGAKRGLTPFFVFLAEFRPQYLEKYPELKGVKEVSKAAGEKWRSMSDEEKAKYGSSKKQDDKASKKESTSSKKAKTDGREGEKAAKSEVEDDDEQDGNEDEE >Sspon.02G0016830-1A-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2A:47167935:47168471:-1 gene:Sspon.02G0016830-1A transcript:Sspon.02G0016830-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIKKRWSPPMPSLVLLLVVLIAADSRAAAQVFCRSQFNLANEACSLRPFSGPNPAQPLQLHSNGSSASAASYETQADHHEHGHEHEHEHEHSHEHDHDHDHEHEHEREHTHSRRHGFGHGGRDPYDTACCRRLMGIDNACICQAMSFLPVFMSKVKHAIKLSPVPGCDVSFECGAVY >Sspon.03G0025250-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:76381055:76384067:-1 gene:Sspon.03G0025250-1A transcript:Sspon.03G0025250-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPVAKLGTLALRTLSKPIASRLKSQAAVHPKFRNFIIAIAQVAVAALIFEVQRSARSEARKEEARKQELEELKQRGESLAKELEDLKVKLSEIERLAKGRGLTGILNLKGVHGAEGGKAATPVGIACCRQEAPMARAADRMLPHLSEQLLLWTDTNGGTPRGGNDC >Sspon.03G0021420-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3A:65625979:65630894:-1 gene:Sspon.03G0021420-1A transcript:Sspon.03G0021420-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MELGDAAAGQGAQGDAASGALVRKKRMRRKSTGPDSIAETIKWWKEQNQKLQDESGSRKAPAKVETEVESVETEVHEVKTEGNDDLGSVHIACKTVDVLQSEKSVLHKEGEVSFDYFNVEEVVEMIIIELNADKKIEAHEEYHDGDDGCDLQHDTRWEVLLFRAISTFCHEGLRDQRVHITPVIDAKSSSMPMAMIGQYSCTFQSPLAERAYENSGNDTPDDWFADLSSTIQLVTARFIVCIHWSSRFAPSIFTRFFAASLHFEPVSWVLSESKHPGRRSRGDS >Sspon.04G0023900-3D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:4D:20457488:20458716:-1 gene:Sspon.04G0023900-3D transcript:Sspon.04G0023900-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFCARQQQHFNLPPPPTTKRCPRLAAPSTARAAWPRSRHPAVGLLRWSPRRPSLAALQVTASATTNAARSSEVICFKNIVRDTKLPRAGKFFELEMTVRDCDLDMYGVVNNAVYASYIETGRQEMIANLGVCTGSIVRSGRAMALSELNVKYFAPLKRGAKFVVMVRVVQIKGVRMLMEHLIATLPDRKVRRSSPLQPVATHVDVSPELMSMIICIRQLVLEAMATVVCLNQDYRPTRMFPEMANLLHFFPHPN >Sspon.05G0002540-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:13025244:13026316:-1 gene:Sspon.05G0002540-3D transcript:Sspon.05G0002540-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGVITKFAITSIVMWMAPVAIMYGFYYELFPGVSQMSSSAQTLASGFLAVISVNLVIGFYIFMAMKETPHQEPQPDPTFLANAKASINQPTSSQVSDDSKGKGKVD >Sspon.01G0000990-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1A:3217616:3219716:-1 gene:Sspon.01G0000990-1A transcript:Sspon.01G0000990-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CRR1 [Source:Projected from Arabidopsis thaliana (AT5G52100) UniProtKB/TrEMBL;Acc:A0A178UH15] MVSLCHPIRIRACGNTATRRNAAAAKVLCSVQQTPPPPAQSTIKVVIVGATKEIGRTAVLAVSKARGMELAGAIDSQCIGEDAGQISGMDEPLEIPVLNDLTMVLGSIAQTRATGVVVDFSEPSAVYDNVKQAAAFGLSSVVYVPKIELDTVTELSAFCEKASMGCLVAPTLSIGSVLLQQAAIQASFHYSNVEIVESRPNPSDLPSQDAMQIANNISDLGQIYNREDMDSSSPKQHLPLCAFLAIFYLYKESSDRLVFWKRPGANYLGKMVLPGLVSSTSINFSGPGEIYTLRHDVANVQCLMPGLILAIRKVVRLKNLVYGLEKFL >Sspon.08G0004710-1P-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3A:10220123:10222542:1 gene:Sspon.08G0004710-1P transcript:Sspon.08G0004710-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFGFRAGDRRPRHPSPDRRFTPPQAGPFHGEPPPSPFEWEAAARRERIIREEVERRLIEEEVRRELALARARFHGGFGPVPFVGPDGHTIVPPPPPGPFYMPDGPFMPPMPLPLMPVAVGMHPNCPPPALFGSWEGFGPRRLPGFGQPMTRPVPPPKRRHQLQLREIAPSESSEVLSSETKVGVKRKADASSATTKPTKLQNAARDWSCALCQVSATSEASLNQHLEGKKHKSKLVQCGAIKDTGKSGLQVTTGNNNVAGTSDARKKIHILVDGEMHQVVQKSNCVWCERCRVSCTNAAAMADHLRGKKHSLLNNAR >Sspon.06G0000290-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:1818048:1821050:-1 gene:Sspon.06G0000290-1A transcript:Sspon.06G0000290-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKQHLWPSRLCCDTSLLPSATSNSLRTIGSICLENTRRLRKFHISHAVGGSSENVIINGKANPSIAVQADAVALGTITADMAPVVDGFSVDDDELDLDFPTEGFSSIPEAIEDIRQGKYVIVVDDEDRENEGDLIMAASKVTPEAMAFIVRHGTGIVCVSMKEEDLERLQLPLMVTTKENEEKLRTAFTVSVDAKEGTTTGVSANDRANTILALASPNSKPEDFNRPGHIFPLKYREGGVLKRAGHTEASVDLAMLAGLPPVAVLCEIVDDDDGSMALLPKLQQFAKRENLKIISIADLIRYRRKRDRLVECVCVTPLQLQWGSFKSYCYRSLIDGMEHIAMVKGDVGDGQDILGDVGDGQDILVRVHSECLTGDIFGSARCDCGNQLALAMTMIEKTGRGVVVYLRGHEGRGIGLGHKLRAYNLQDDGRDTVEANLELGLPADSREYGIGAQILRDLGVRTMRLMTNNPAKYTGLKGYGLSVLGRVPLLTPITNENRRYMETKRLKMGHVYGSRSSDHTSGSGSAGAGEREEQDQNDSAGVQYQTPEA >Sspon.06G0017200-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:93497394:93502331:-1 gene:Sspon.06G0017200-1A transcript:Sspon.06G0017200-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MWGRHYWGGGRRPSWAPGGDGAGGVVVMFAWLSSQERHVRAYVELYAAHGWACLVCHSDFLTLFFPEKAAMLADRVIGELVKVLKITPAPVVFASFSGGPKGCTYKVLQLIERRCEGQLSLDEYQLVRDCLCGQIYDSSPVDFVSDLGTRFLLDPSVLKMSEPPRVLSWMTKGIASGLDILFINKFEEQRKDYWETLYSSVHFGPILILCSEDDQLATYSVVQNFGQHLLELGGDVNLIKWHSSPHVGHYKYHPEEYRAAVTELLKKASALYMSRKQLNGYDVGTSEHSDTPPSTSNLPRTAASSVGRLRRAPIDPTDQFFLPSSMEYHESSEGPQPELFNMPSLESLSLHGVLGQVLYDVCVPKNVEGWDLKPSASMNGRHIHAAAPRHGSFNPLKCVRRSRL >Sspon.03G0013720-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3A:39228106:39232074:1 gene:Sspon.03G0013720-1A transcript:Sspon.03G0013720-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLLGAPLSGSPSPVSCSPTQLRSLAQVLLSGLTGSRLRRLLPAAHARAVVSDGLGFLYLANLLLHGYSKLGCIHDARHLFDGMPHRNLVSWSSAISMYAQHGADSQALALFAAFRRSSDEAPNEFLLASVMRACMQSRAISFGEQVHGNAVKLGLDVNLYVGTALVNLYAKVACMDAAMRVFHALPAKNPVTWTAVITGYSRIGYGGLALDLFRKMGAQGVQPDRFVLASAVSACSTLAFLQGGRQIHGYAYRSAAGVDASVINALIDLYCKCSRPLVARKLFDRMKNHNLVSWTTMIAGYMQNSLDAEALEMFWQMCQAGWQPDAFACTSILNSCGSMEAISQGTQIHAHAIKANLENDEYVKNALIDMYAKCDHLTAARVAFDALAHDDVVSYNAMIEGYARQDSWPHHQIRNFSRPIRGKCSNRCLFKISLVDDAKAVFLTMQNRDMAIWNAMIFGHTQNEQGEEAVKLFNQLRVSGMTPNEFTFVALVTVASNLASMFHGQQFHTQIIKAGCDINPHVSNALIDMYAKCGCIKEGWMLFESTCGKDVICWNSMITTNAQHGHAEEALRAFQLMREANVEPNYVTFVGVLSACAHAGLVDEGLHHFNSMKSKYGIEPGTEHYASVVNLLGRSGRLHFAQEFIEKMPIKPAAAVWRSLLSACRLFGNVEIGRYAAEMALLGDPSDSGPYVLLSNIYASKGLWADVQQLRLRMDYAGAVKEPGYSWIEVMKEVHTFIARGTEHPQAELIYAVLDDLTALLKDFGYHPDTSELALLGETVIWNFLCVCSDLDHPGLAKLIAAHARPPNYLMFFDFFEPPNLAEKIHVEEWIPSTQQVLRLPVI >Sspon.05G0003870-1P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:6489568:6491492:1 gene:Sspon.05G0003870-1P transcript:Sspon.05G0003870-1P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRALARRPVPPFLKLRSTVCDDGYWTGRLDHKDWLAPNEVLKIFANIRDPSLINSVFKKACSRRDYKPSEAGLRQEVSDVEELLSKATTEKFRFSDEFFYRLIKMYGNVAEHPQKAIDTLFAMPGYNCWPSTKTFNYVLHMLVCKRQYEVVHEVYSSAPRLGVTLDTCSFNILVKGLCQCGKFDEAISLLHEMPKQGCQPNVATYSTFMHFLCQRSQVDKAFEQFERMRKQDIAADTVVYNILISGLCRQERVSEAFDLFKSMTSEGCYPNSGTYQANNMKMHAMSFDTICSQQGKDLVSKEAAAWDHAITV >Sspon.05G0022000-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5B:1666449:1667935:1 gene:Sspon.05G0022000-1B transcript:Sspon.05G0022000-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGNLRTITYFDYATLKKATRDFNQKNQLGRGGFGPVYLGKLDDGRKVAVKQLSVGKSGQGESEFFVEVNMITSIQHREPRSQRLLVYEFMKNKSLDKILFGKHEGKERLMLGLAAHGLVTVNNFAGGTRHQIIIGIARGLQYLHEESNLRIVHRDIKASNILLDDKFQPKIGDFGLARFFPEDQTYLSTAGELTVKADTYSFGVLVLEIVSSRKNTDLSLPNEMQYLPEHPTILGSETYFQAWRLYEQSKILELVDPKVQADGLDEKEVQQVCQIALLCVQPYPNLRPAMSDVVLMLTMKGDQSVPAPMKPAFLDRKSLKDKNVTSDTAMEMRSASYWMNTPSPMVDKPYDMSCGI >Sspon.07G0028030-1B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:7B:63959604:63960143:1 gene:Sspon.07G0028030-1B transcript:Sspon.07G0028030-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPSPSPAPAAVLVSNGAIAPHAPPSAAAFLDSTPGAYTTARCSGTLLWWPRHLRRLAESATLLARSHPHLLGLPLPLPRSRALDLDFFSIHSLVNPSVRVAIHEMRTRLPMTKHEDLALTALVSGAGAADSISGSGDGLHVFVHVGTYAPPVFGESGARLAVAGRGRDAAAAKYASWA >Sspon.01G0048980-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:110645495:110647179:-1 gene:Sspon.01G0048980-1B transcript:Sspon.01G0048980-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSMPFQLKNGHQHQHHGAAMEGKPPLLPTTQQQPAPTPRVSRLRRLLVRVSASEKFVADGKERDKDEKLLPPPAAGDGDAAGSLGLDRMVLSFMEEATAVERPPRGRCNCFNGSNHEESDEEFDFLPSEHASKPATAGAGDALEALKGLVQSASVAERNLLADASRLADKCGKGCKGKAECRRAVADGLRALGYDASVCKSRWEKAPSYPAAAAAESVCAGEHEYIDAVVGKEEVRLIVEVDFRSQFELARSTKAYRAALQALPPYRLGQIVAVVAEAARQSLKKKGLHFPPWRKPEYMRAKWLSPHVRCGGGGGDKVVVPGPAVPLSAATPVQAASFSGEFELVFDRKPSRDGAAAEGGGGGVSVGEKITVVVSPWRPTEEASKNQQQLPKAKVVTGLAAVL >Sspon.02G0024670-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:2B:86475622:86477658:1 gene:Sspon.02G0024670-2B transcript:Sspon.02G0024670-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKMLLPICFLLVGLLHLVALTAGEDDRFIYSGFTGSNLILDGAASVTSSGLLELTNGTLRQKGHAIYPTQLRFRDQNTTTPAAGGAVRSFSASFVFGILSSYPDVSANGIAFFVAPTTDFSSAMAAQYLGILNSSNNGNATNRIFAVELDTMKNNEFRDISDNHVGIDVNSLVSVNSTDAGYYPDDGGGGFRNLTLISHEAMQAWVDYDGPAKKIDVTLAPLTIGKPARPLLSAAYDLSTVIPDMAYIGFSSSTGLVDSRHYVPGWSFAMDGPAPAIDIAKLPKLPREFPKPTSKVLEIILPIATAALVLFVGTVLVLLRRRQLKYTELREDWEVEFGPHRFSYKDLFRATDGFKNKKLLGIGGFGKVYRGVLPASKSEIAVKKVSHNSKQGMKEFVAEIVSIGRMQHPNLVQLLGYCRRKGELLLVYEYMSNGSLDKYLYCQESTSTLNWAQRLGIIKGIASGLLYLHEEWEKVVVHRDIKASNVLLDSGMNGRLGDFGLAMLYDHSANPQTSHVVGTIGYLAPELGRTSKATTLTDIFAFGVFVLEVICGQKPIMQDSEDNQIMLVDWVVEHWNKTSLVDTVDAKLQGDYNADEACMGLKVGLLCSHPFPEARPSMRQVLQYLNGEMALPELVPAHLSFQMLAVMQNEGFDSYVMAYPSSVDSIQSISDFVQER >Sspon.07G0019470-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:70836367:70842292:-1 gene:Sspon.07G0019470-4D transcript:Sspon.07G0019470-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LPAASGGMDAAVAAAAEARERLRASFASGRTRPAAWREAQLRGLLRMAAEREADICAALRADLAKPQTESYVHEISLITTSCKFALKNLKKWMKPQKVPGGLLTFPSAGRVAAEPLGVVLVVSAWNYPFSVLAIDPVVGAIAAGNAVVLKPSEVAPATSSLLADLLPRYVDASCVRVVQGGIPETTALLELKWDKIFYTGNSKVGRIVMSYAAKHLTPVVLELGGKCPVVVDSNVNLHVAAKRIAAGKWGCNSGQACISPDYIVTTKSFAPKLLESLKKVLRKFYGEDPLRSPDLSRIVNSNHFNRLRALMDDETVAGKIAFGGQSDEQQLRIAPTLLLDVPLDSAIMKEEIFGPLLPIITVDKISESFAVINSMTKPLAAYLFTNDSRLKQQFERNISAGGMIFNDTGIHLTNPHLPFGGVGESGMGAYHGAFSFDAFTHRKAVLDRSSFLGEARARYPPYTPAKLSILRGVLKGNPLAMVQAAVG >Sspon.04G0016780-3P-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8C:15204778:15212087:1 gene:Sspon.04G0016780-3P transcript:Sspon.04G0016780-3P-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAADGEACEVVATAADIICSLRGADLAGWTPPWRKHELACDGGKEEGDGGGDDARDLAWPAVARGKRSRSSRRESPSASGSAASADAKEKKKARRGARGSPASPLDYSGGSGSGSGASTSGGEDGAFCSQPQPEPAFPPAAACQQLAAPAAPPPPPPPPSKRLPEIQQMVRSLSVENDALRKEMKALQRACTALSHENGKLETRLDQSIRLNEVISVENKGKKPQPEHQRTAEQEAPTGFVLPDLNLPADV >Sspon.07G0008870-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:20250284:20252071:1 gene:Sspon.07G0008870-3C transcript:Sspon.07G0008870-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYLSCRADSSVATCRSITAISPLPISRRSGAGGGSSRRPALPPAIERFDYAELEAATSHFADAALLGRGSHGAVYKAVLPSGRAVAVKRPSPRRPEVDNEIRILSSVRGPRLVNLLGFSDPGPNRPGPAPAARLLVVEYMPNGTLYDLLHSNPRPPGERRPSMADIVQRLVVLSKAVSAKVWNGLADGLAVVGNPCAVVDVHKTISKRAAASNRAESERESTSALAFDDDEKEDADAGALEEDQVPLVGAKKSPRPLKNGIVLSEAGARERRNLLDLMARIDGVADQRFGITRARTVRTTGELIEKDAVLLLRRNQTVRVVGSEALPKSERISRFDVKIKHKAGEEQEKKAEKIQDNASGVQESSKETFGKTGKLLEAMEPNLDKEEKIQEKEEQNLEKVENVQEDECRIQCTAEKIQESQGGTRNKLEKFI >Sspon.07G0013350-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:54563815:54567501:1 gene:Sspon.07G0013350-3C transcript:Sspon.07G0013350-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:UVH6 [Source:Projected from Arabidopsis thaliana (AT1G03190) UniProtKB/TrEMBL;Acc:A0A178W4P7] MRFDLDGLPVHFPYAAIYPEQHAYMGELKRALDARGHALLEMPTGTGKTAALISLITSYSLANPSRPLRLIYCTRTVHEMEKTLAELRLLFAHLPPAASRSLLALGLSSRKNLCVHPQASAAAARDSVDTACRRLTASWVREKAASDPDSTPLCEFYETFDRAAAAGDLASFMPPGVYTLADLRALGRERRVCPYFLARQMVKYANVVVYSYQYLLDPKVASIVSREMQKECVVVFDEAHNIDNVCIEALSVSIRKQTLEGAERNLRRISQEIDRFKATDANRLRAEYNRLVDGLAQRGNLPISDAWLANPALPDDILKEAVPGNIRRAEHFLAVLRRLTICDFATLIGTYTRGFSIIIEPYDERMPDIRDPVIQKLHNVLNKRLYLPMVLTRGSDQLPVSTKFDMRSDPGVVRNYGRLLLEMASAVPDGIVCFFVSYSYMDGIVNSWHEMGILQDIMQHKLVFIETPDVVETTLALDNYRKACDCGRGAIFFSVARGKVAEGIDFDRHYGRLVIMFGVPFQYTLSRILLARLEYLRETFQIKEGDFLTFDALRQAAQCVGRVIRSKADYGMMIFADK >Sspon.07G0009640-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:25938029:25949687:-1 gene:Sspon.07G0009640-2B transcript:Sspon.07G0009640-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGTTTMEVRADGVAVITISNPPVNALSLDVIASLQRNYAEALSRNDIKAIVLTGAKGRFCGGFDISAFGNKAKNEKPGSMSIDFLTDIVEDAHKPSVAAIDGIALGGGLEVAMVCHARISTPSAQLGLPELQLGIIPGMGGTQRLPRLVGLPKALEMMLMSKTIKGTGAQEIGLVDAVTSANELVNAACSWALEIVEKKKPWFRSLHRTDKLPDLAEVKDVLKFARVQAKMKAANVQHPIVCIDVIEEGIVSGPRAGLMKEALSGKMLEQSETSKSLRHFFFAQRATSKIPNITNMGLTARKIKKAAIIGGGLMGSGIATILILNNFNVVLKEVNEQFLSAGINRIKANLQSFVKKGQLTVEDYKKKLSLLCGVLDYEQFRDTDVAIEAVIEDVFLKQQIFSDLEKYCHHNCIFATNTSTIDLNIIGQKTASQDRIVGAHFFSPAHVMPLLEIVCTHHTSSQVIVDLLDLAKKIQKTPIVVGNCTGFAVNRMFFPYAQAACFLVDHGLDVYHMDHVITQFGMPMGPFRLADLVGFGVVIATRKQYYQNYPERCYQSMLLQILVEDNRTGESSRKGFYVYDDKCKASRDPDLGKYIEKSRNMAGVMQDPKLMKLTDNDIVEMIFFPVVNEACRVLDEGIALKASDLDVASIMGMGFPSYRGGVMFWADSLGAKYVYDRLDAWSKDYGEFFRPCEYLAVRARQGASLAAKVDDIALAEIEMLFDVVKTLEHQEFDCYNHAVAKPAQ >Sspon.05G0034210-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5C:51725898:51726619:-1 gene:Sspon.05G0034210-1C transcript:Sspon.05G0034210-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MCHRLELVLVAVALMAASILQAVSSTATNSANLTGDDAAKTAYDVLEQNNLPRGLLPLGVKSYMLHPGGAFQVTLPGECNFFVTVAGKQFKFRFESTVSGTIKSGSISRVSGVSIQVEFAWLGINQVSRAGDQLNIQLEKSTQSFPSMG >Sspon.08G0025910-1T-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:8C:15077796:15078136:-1 gene:Sspon.08G0025910-1T transcript:Sspon.08G0025910-1T-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMSSSNESMFPPSTFSYEDWSSTCFQFFGVRPRLHWITTEYGGYKIDKVLKRFGSNIIFSNGMRDPWSRGG >Sspon.07G0000940-4D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7D:10406655:10412578:-1 gene:Sspon.07G0000940-4D transcript:Sspon.07G0000940-4D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHTGNKLHVVPVTWRTPSGPEAMGRLRDGRAFLHRALVLSSLLLLASGEIFFEERFDDGWDSRWVKSDWKKSQGQAGTFRHTAGTYSGDPDDKGIQTTGDAKHFAISAKFPEFSNKGRTLVIQYSLKIEQDIECGGAYIKLMSGYLNQKKFGGDTPYSFMFGPDICGDQKKKLHLILSYQGQNYPIKKDLKCEADKLTHFYTFILRPDATYSILIDNREREFGSMYTDWDILPPRKIKDVNAKKPKDWDDREYIEDPDQVKPEGYDSIPKQIPDPKDKKPESWDDDEDGTWKPRMIPNPEYKGPWKRKKIKNPNYKGKWKTPWIDNPEFEDDPDLYVLKPLQYVGIEVWQVKAGSVFDNILICDDPDYARHVVDETFAANKEAEKEAFEGAEKKRKAREEEEARRAREEGERRRRERDRDRGRDRYRDRYKRHRHYDYHDEL >Sspon.03G0021530-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3A:65831158:65838680:1 gene:Sspon.03G0021530-1A transcript:Sspon.03G0021530-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKAPDDQLQAIEQEGSVHPSQQAGQQHVNTPDQISKTELTEGSKNEPPVQVEQQNSHLQQAHPEIQLQQAETNSFQLAEKETGYFGQQSFAGAKVDVAQPSVVLQNVKQTVGQQASSGAQDTRKGPSIPFNMLIPILQAHLDRDKDMQLQAVWAKLRRNEVHKDDFLRVIRNIVGDQMLKQAAHKVFVQMQAQAQRNSQANPSQQSLFSQVSSQQVPSSGPAQLHDQKLRPPGPPNQGQKNQVSSSPQAFAPPSGTQTQNSVHYLAHDNPNQNPDTKGTNAVPNQPPRMNTAVPLQAKNKQHQPTQLQQASQQIYGASNPGAQAYPRSITGSLRSPSPVPETQPSMHAPGMAPAKIVPPPTHPMMQHNAVAWQMHQNKELKTNAPPPNANAKQNSESVGKARMAGTGNSSAKGKQGTPNSTPNASGGAKSSKKSGGQKKSLEAAGSTQPSSKKQKTSGAFQEQSIDQLNDVTAVSGVNIRMNQKAKEEWDKKQAEEADKNKKQTEADGSGATELEKEKEESRPKNVKPNKEEDDKMRTNAANVAARQAVGGSDMLSKWQLMAEQARQKREGLDVSAASQPGRGPGSRPLSKFGKGPGEHQEGSKRSHSVAFGTGGMKRPGSTPFAGPQRMISVKDVICALEREPQMTKSRLIYRLHERLPDSTVD >Sspon.05G0020900-1A-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:5A:88239041:88239807:-1 gene:Sspon.05G0020900-1A transcript:Sspon.05G0020900-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRLASWLVLLTQPPVATERVPAAYRTRGMPCWHSSMVSSATPQASSARGGETVATTSRTVASGEASEHLDLSNNQLEGPTGRISKFMGSLKNLKYLNLSSIPFYGSVPPQIGNLSRLQYLDLSYMAGTYTTDLTWLTHLPSIQCLILEGVKLSTVVDWPHIINMLPSLRALRLSYCSLESANQLLPHLNLTNLEELDASGNSFDHPMASWRPAGSGT >Sspon.06G0013970-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:73279418:73280491:1 gene:Sspon.06G0013970-1A transcript:Sspon.06G0013970-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAENGVQQHLLHDGDPSKMPPPGRARRFRRCQTAPSHNAEQGSILQRENMSRKGASGTLPSVRPNGLLTTARPRFWLVGILLLAYLLAGTAAFYLAMDDMSGDRSSNRALDALYFCVVTMTTVGYGDIVPSSDVAKLLACVFAFAGVALVGAFLSKAADYLVEKQEALVFRAVHLNHADDPKTLRDMEANKVRYKLYTATGLLAVVLASGMAFLMKVEGMRLVDAFYCVCATVTTLGYGDRSFSSTAGRAFAAAWITVSTLVVALFFLYAAELAAERRQRELAHWVLTRRTTSMDLEAADLDGDNRVSAAEFALYKLKELGKISQEEISEFLEEFDKLDVDHSGTLSSHDLAVAQPG >Sspon.01G0056510-1C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:90137765:90141023:-1 gene:Sspon.01G0056510-1C transcript:Sspon.01G0056510-1C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MESRGRRPRSPERQRPAAARKVPVVYYLTRSRHLEHPHFVEVPLASPEGLYLRDVINHLNLVRGKGMAAMYSWSCKRSYKNGFVWHDLSEDDLVVPATDGEYVLKGSELVDQSPSGPFYPVSNGNQKQPSRPKEGARQPLPRDQSYPSSPPSVIVRESKARRSPSVPSQDEDDTPSPCRDRSLETMSQELEPQRNERTQLPASGSASPVEFRVYKPTGCMDAATQTDDLGRRSGRRAPEIRKKSLSTDHDAVVREITEYRQSHPRRSADLQGISRELLHQCITPLSIPSTRGKSESLESLIRADNVTNSFRILEEEDIVVPTCPKLKPTNVLMQLITCGSLSVKDRENAGIVQTYKPRFPNLKFPSPLISRTMMMGELDYLSENPRLMGMRLEEKEYFSGSLIETKMQRDVPAERYSALKRSSSYNAERAGDALDCTRREEDKTDDTSSRTRCLPRTPILSSFLHPKGDSLKSPVSDCRRSSSARLDCDLASGDGSRRFADAAVASATTTTRADSFRKEERLVKIEES >Sspon.02G0036600-3D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2D:14471302:14473626:1 gene:Sspon.02G0036600-3D transcript:Sspon.02G0036600-3D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGVLSNRVDRDDLKAGDHIYTWRAAYIYAHHGIYAGDGMVIHFTRAAGHEIGTGTFLDWFLYSSSPAATEGPPCQKCGHLVKSDGVITSCLDCFLDGGSLYLFDYAVSPAFFLAKARGGTCTLAASDPSDVVIHRARYLLDNGFGMYSLFKNNCEDFAIYCKTGLLVETAFSVGRSGQLASLTAAFSAVASSPLRFLTTSAGGLAVVTSGMYCVGRYVSDIGVRRDVVKVPVERLVEQNVTSIPQSRATETDIAAGAPQQQLEVPCVVAEEAIISPAAP >Sspon.08G0013000-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:64227645:64229997:-1 gene:Sspon.08G0013000-2B transcript:Sspon.08G0013000-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding KAETQSAARAIDFGPPPRLSLLRRRRRRRRRISQSAAADKRERFRFLPLPVLGFRLRLLWYLPANANAVMDRSSDHFNPPGLAVPTASKSRQMAANNNPWRGPLPPLLNARAGFGDTSLFSTSLPVLSHEKLIFSDSAHGTPSMDDTSAKMKLLADDPDEKDYKFDFDLRQIDDLLPDEDEFFAGITDDAEPVGQTNTTEELEEFDVFGNGGGMELDIDPVESITVSFANSSIVDGARGNGINPFGVPSTVGTVAGEHPFGEHPSRTLFVRNINSNVEDSELRSLFEQYGDIRTLYTATKHRGFVMISYFDIRAARNAMRALQNKPLRRRKLDIHFSIPK >Sspon.06G0003710-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1B:115083891:115086334:1 gene:Sspon.06G0003710-2B transcript:Sspon.06G0003710-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding GEMGKGAQGSDAAAAAAGTGEVNMAAWLVAKNTLKIMPFELPRPHDVRVRMKAVGICGSDVHYLREMRIAHFVVKEPMVIGHECAGVIEEVGAGVTHLSVGDRVALEPGVSCWRCRHCKGGRYNLCTDMKFFATPPVHGSLANQVVHPGDLCFKLPDGVTLEEGAMCEPLSVGVHACRRAGVGPETGVLVVGAGPIGLVTLLAARAFGAPRVVIVDVDDHRLSVATSLGAEATVKVSTRDEDLDAEVERIREAMGSDVDVSVDCAGFSKTMSTALEATRPGGKVCLVGMGHNEMTLPMTSAAAREVDVVGVFRYKDTWPLCIDFLRSGKVDVKPLITHRFGFSQRDVEEAFEVSARGRDAIKVMFNL >Sspon.03G0011720-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:39280913:39286396:-1 gene:Sspon.03G0011720-2B transcript:Sspon.03G0011720-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amino-acid permease BAT1 [Source:Projected from Arabidopsis thaliana (AT2G01170) UniProtKB/Swiss-Prot;Acc:Q9ZU50] MTWNRSPAADGGGSSAGTTPAAVAVAVDHGGDDTGLARLRELGYKQELKRDLSVLSNFAFSFSIISVLTGITTLYNTGLNFGGPATMTFGWFVAGAFTMAVGTSMAEICSSFPTSGGLYYWSARLSGNRWAPFASWITGWFNVVGQWAVTTSVDYSLAQLIQVIILLATGGKNGGGYLASKYIVIAFHAAILLSHAVINSLPITVLSFFGQFAAAWNMLGVFVLMIAVPTVATERASAEFVFTHFNTDNGAGIRSNLYIFVLGLLMSQYTLTGYDASAHMTEETKNADKNGPIGIISAIGISILVGWGYILGITFAVKDIPYLLSPDNDAGGYAIAEVFYLAFKSRYGSGVGGIVCLGIVAVAIYFCGMSSVTSNSRMAYAFSRDGAMPFSSVWHKVNKQEVPINAVWLSAFIALCMALPSLGSLVAFQAMVSIATIGLYISYALPILFRVTLARKYFVPGPFNLGRYGVLVGWVAVLWVATITVLFSLPVTYPVTKDTLNYTPVAVGGLFFLVLASWVLSARHWFKGPVTNLDG >Sspon.04G0008400-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4C:24968936:24969726:1 gene:Sspon.04G0008400-2C transcript:Sspon.04G0008400-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding NGGGRGEEERRADDGGAGPGRGAVHGAVVRDRVVPVLLPAPGRPGHARHLPAAGGRRHGARPQRDVEQGEARLHRGHGLQGRRQQRRGQAQGQVLPPALPPHHPRRRRLLGALCRRRLSVRARRRAAPQEPLAPPRFEAVDSVQEDEHRRGGVQPAGGAGQGGRLRREQAAQDAAGRPAAGERRRAHRHQGSMVVQVALWQI >Sspon.07G0019300-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7B:75507179:75509872:-1 gene:Sspon.07G0019300-2B transcript:Sspon.07G0019300-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLLLSLPLRLRPIPAGSSTSRIAPRSRSPRPFPRRRLHLPALLQVQCLAGPGPATPAPPPRWHAALSAAAGLYPAYVAAGACVAVARPAAFRWFVALAPGSYTATLGFIMLAMGLTLQLRDFAALLRDRPLAILFGCAAQYTIMPACGAIISLALGLPPSLSAGLILLACCPGGTASNVVTLVAQGDVPLSIVMTVCSTLAAVFLTPLLTKILAGGGCPNSISVFSENFVRLGSTIADASSVNGNFFSGDVRVVMLSVFLLHFSGFFVGYAAAAIGGFKEKQRRAISIEVGMQNSSLGVVLATAHFSSPLVALPPALSAVIMNMMGSTLGLIWQSISPSVSENETTDITNA >Sspon.07G0036780-1D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:7D:42072117:42072423:-1 gene:Sspon.07G0036780-1D transcript:Sspon.07G0036780-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKAVSLGPMTVTLSGDGGADGVTSFLGGVALESRACQSEMVVIVVAKVEYCGVCMWILAGQGHFGVRL >Sspon.05G0025120-2D-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:5D:28329564:28329872:1 gene:Sspon.05G0025120-2D transcript:Sspon.05G0025120-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MERIPNLLHRPALVLLLLLALLLSSSSTVAVPVRATVTTTESSGSSSGYFRNRELLVTAPRVSPGSQQQMDASRWRWTTPFRGARASLGRRAPGSHGNPSHN >Sspon.04G0010150-2B-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:4B:25574455:25575561:1 gene:Sspon.04G0010150-2B transcript:Sspon.04G0010150-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MATHAEVAPAALHWCDYGVDDDADIDALLRDIHAAVRPRTPAAADLPMPPQEFLARSRRHNYHYDDYEDSDFQAVLHGIRSVRIPAASFASMPVDASDASPRTPAAGVVLAAPLSYGDDASEGNDAVTIKTSPKTKQPPQYDYDADIDTTFRAMETEAMERPSPDYLRDRQEGEMMIMDRADLIDKMHRFFTHYDLAPGAFHRAVSFVDRFLSAKKISRDEREICLLGAAAVFAAAKYEDRSTVLKINSDHVAMYAGCTRSEAVDQERELVAVLGYRLSGPTAYTFVDHFMRHSEEEEVVRALAHHLADMALLDYRCVALLPSAVAASAILLARTALYYSTAMPVDAGYTLEELSDCIQAIYDMHENLW >Sspon.03G0027430-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:5784781:5785122:-1 gene:Sspon.03G0027430-1B transcript:Sspon.03G0027430-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGVWVFRNGVVRLVENPTSGNAAAASGKRKALLHTPSGEVVTSYASLERKLAALGWERYYADNNAGGGGLLQYHKRTSVDLISLPKDFAHFGSVHMYDIVIKNRDAFRVIDA >Sspon.06G0001840-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:6A:5930791:5931113:1 gene:Sspon.06G0001840-1A transcript:Sspon.06G0001840-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMQLLDLNYEHSLNVDRRRAGIERVEVEASLQKVVVTGCVNRSKILKALRRVGLRAEPWSPHNELLSAYATSTLMFNNSYAFF >Sspon.05G0039160-1D-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:5D:64231232:64234320:1 gene:Sspon.05G0039160-1D transcript:Sspon.05G0039160-1D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VVSMSTLEERDQVVDPVIIGTSSQDSLSIVVSIMIKCLSIECSARPSIEEVLWNLQYAAQVQTMADGDQRSEGLDQTVGLPVSGFLLLSTSQAPIPSAQQPTHWTLEHEQQRVQIASLVSNSMSELLD >Sspon.01G0017960-2C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:1C:56364652:56367173:-1 gene:Sspon.01G0017960-2C transcript:Sspon.01G0017960-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTYMLTHTPDLTPTMSSSPIDPPYISYGRPVTPEGQAHRWTWDEVTSPLMASNSKDVQDCLTALDFAIKEELVDASKVAVVGISHGGFLTTHLIGQAPDRFAVAAARNPVCNLSLMIGTTDIPDWCYIVACGTEAKQYASEAPSSNHLHLFYQKSPIAHISEVKAPLLMLLGGADRRVPVSNGLQYARGLRERGGEVKIMMFPEDIHEINFLYKIYDNKL >Sspon.04G0008410-2B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:4B:21019905:21025373:-1 gene:Sspon.04G0008410-2B transcript:Sspon.04G0008410-2B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium uptake protein, mitochondrial [Source:Projected from Arabidopsis thaliana (AT4G32060) UniProtKB/Swiss-Prot;Acc:Q9SZ45] MAAALTRLRSAVERLGPSSARARAFSAAAAEAEAAGPCSDSRAFTAAAVAAGSGLGIWLLSSNPQPLADSGQAEDAATAGGGGNGAFPAAFGGVGATGEREGRFLFGDSYRRRVFFKYEKRIRTRSPPEKIFEYFASVHSPEGEMYMLPTDLMRAVVPVFPPSESKIVREGRLRGERHPGELQCAPSEFFMLFDTNGDGVISFSDIPESSFNVAFKMFDLDHNGEIDKDEFRKVMTLMRSYNRQGAAHRDGLRFGRKVCQPVEDGGLVEYFFGKDGNEHLHYDNHYDMKSSNTIPVKDFALSMVASADMNYIDKLLDRVDDLDDNLDLKDLRITFEEFKAFADLRRRLEPFAMAIFSYGKVNGLLTKQDLKRVASHVCGVDLTDKVVDIIFHLFDANCDGNLSSEEFLRSLQRRESDIRQPATSGFLGAGERDRQQISVKRIFGVNITLRPLLNAD >Sspon.08G0022790-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:8B:51010591:51011094:-1 gene:Sspon.08G0022790-1B transcript:Sspon.08G0022790-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLWCPAITRRAQPSHAMRGVARPPKLWRGGEQTYTATEVVAWWRAKWPPQLWQNPTHRPAVGRERQIFVDFFCNPERLKNQVRELTSRVKALQD >Sspon.03G0019790-3C-mRNA-1.cds1 pep chromosome:Sspon.HiC_chr_asm:3C:81016482:81016967:-1 gene:Sspon.03G0019790-3C transcript:Sspon.03G0019790-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLVRLMDTLALDSWVRNPFSIFGTAVAADAWLASDTSAFANTYIESRDTARAYVFSAALPPGVKKEEVTVEVDEGNVLVITGERSVSREERVGDRWHHVERCCASFLGRFHLPDDAAVDGVRAAMDAGMLTVTVPKVGAPAAAIAAAAAAAGEKPNEATAP >Sspon.07G0009800-3C-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:7C:25191096:25192103:-1 gene:Sspon.07G0009800-3C transcript:Sspon.07G0009800-3C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MQARHVFLLLCQFVNYLEVVHLPVYYPSEQEKDDPKLYANNVRKLMAVEGNLILSDLGLAEKRVYHDALNGLLCQSYFG >Sspon.06G0030540-2D-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:6D:45014750:45020273:-1 gene:Sspon.06G0030540-2D transcript:Sspon.06G0030540-2D-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding RFRRWDRHRAITAAFMPRHASKSPRRRRSWSPSGSRSGGSGGKDRGLVLHRTVKEIGNAGWPMLTKTNYTDWAALMRVMLQGRHLWEAISIGAVDYTDDRNALEALCKAVPEELRGSIANKTTAKAAWDSLKTRYIGVDRVRKAKAKTLRREFDGITFKEGESIDDFVSRITRITDQLAILGEEHEEEEIVRKFLEALPDRFEQIAVAIETLLDLEDVSLDELVGRLKATEERLNRSKGRGGGGSGGSSAGKEIDGKLYFTEEQVIARLASRLNIDGSAARGKTSSGPGKRRGGVGRGKECGKDARSGPPKGGDGGEDDEACHYCGKMGHWKRECRKKKRDEEKATVAAAKQPQANLVQAEQEAPSLYMAMVTPSETVIHGGHRVEHVFLNKHKVVADLDAGGEHEEMQWYLDTGVSNHMNGDVSIFSELNPGVIGSVRFGDGSLVEIVGRGTVLFASKDGGHRAFHDVYHIPRLRSSILSIGQLDEHGCKIEIEDGLLRMWDRATRELLAKVKRTANRLYILPLKPSPPVCLAASYDDEEWKWHARFGHLGFQALKKLGREGMVRGLPQIEHVEHVCDACLAGKQRRAPFPQAANYRATKPLELIHGDLCGPVTPATPGGKRYIMLVVDDMSRHMWATLLTNKSDAEEAFRRLRAGVEFVSTSFLEYCSERGIKRHLTAPYSPQQNGVVERWNQTVLAMARSMMKAKAVPAWLWGEAVMTAVFLLNRAPTRSLVGRTPYEAWYGEKPAVHFLRVFGCVAHVKVTKPNAGKLDDRSTKMVMIGYESGSKAYRVFDPVANRVHVTRDADTLVVEDEWGEPQPGEPADAPTAGNASPPPSAHSPQPGGQHLSSSSSEATSSAVSSPRTPRAHGGPVPWQGELPRKEDVPAQFVSPTTGALEMLDADDDEEAVHRFRVVDNLLYDDDELVADGDLLLAADTEPSTYQEAADRKEWKQAMSEELKSINDNKTWMLTDAPPGVKPIGLKWVFKIKRDADGNITRHKARLVAKGYVQRAGVDFDEVFAPVARMESVRFLLAIAAHHGWTVHHLNVKSAFLNGDLAEEVYVEQPPGYAVRGKERKVYRLHKALYGLRQAPRAWNAKLDSSLQSLGFKCSAAEHAVYVRGSGGNLLLVGVYVDDLVVVGANQAQVDRFKAEMARLFSMSDLGPLHYYLGIEVRQSAKGVTLCQGAYADKIVEKAGLSGCNPCSTPMEPRLKLSKASSNPPVDKTLYRSIVGSLRYLVHTRPDIAFAVGYVSRFMEAPTTEHWSAVKHLLRYIAGTRSYGCVYRRGEGAMELIGYSDADHAGDCDDRKSTSGRVVALSTCEAEYIAGATAACQGVWLSRLLSDLVNAKVVAPLIYIDNKSALALAKNPVLHDRSKHIDVRFHFIRDCISSGVIETDFIKTGDQLADLLTKPLPRERLQELRGRSGVINTGSAQQNVEVVSTAFVRVVRVHAVRRGGGAGGLHVPGHLREPPGVRAHAIHDLRGVPGVRCRAGGEPPRPELPPDQETSVAVGGRVVARHAVCLRPDDAEVRKFRGRGGGEEEPRRPSLREDGWWEMEMGRLRTPPAGRPADYDGEVVQASFEVLGWYP >Sspon.03G0020080-2C-mRNA-1 pep chromosome:Sspon.HiC_chr_asm:3C:81398887:81406440:1 gene:Sspon.03G0020080-2C transcript:Sspon.03G0020080-2C-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding LDEGEVLDEYL >Sspon.03G0029080-1B-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:3B:13286109:13288379:-1 gene:Sspon.03G0029080-1B transcript:Sspon.03G0029080-1B-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFIIGGASDKWYIAIWYNKVQKVAPVWIANRETPISDPASSVLTIWTDGNLVLLNKSRSIVWSSNTPTTAGASNTTIVAVLLNSGNLVLAYASNTSNTVWQSFDHATDTQLPGMKFGRNKLTGVSDRQVSWKNSTDPSPGIFSVVMDPRVAGQYLFVWNNSRPYFTPGKFNPQTGAFSGIPQMAVMSQAGSIYSYEYVDNDEEEYFLLTLKDDSVFLRTVIDPSGQQKGMVWMEEKQDWMLYFVQPSALCSVYSACGAFSWCAVHDVPMCSCLRGFSTQSPAEWSSENYTGGCTRKAPLPCSLSGAGTPPSMGKHEEDRFYVIDNVRLPDGPQTAVQAESASHCEAACLSNCSCLAYSYNGTCSLWHGDLMNLQEDPDNTGESIFIRLPASEIPRPKATRGRTIGVAVGFSALALGVCLVTLFYLLRRRNGVKRGLHNIDMNLTAFRYKDLQLITKNFSDRLGAGSFGSVFKGVLQDGTAVAIKRLEGVRQGEKQFRAEMSTIGNIHHVNLIRLLGFCAEGEHRLLVYEYMPHGSLDACLFNKVSSDDGVLPWSTRYQIAIGVAKGLTYLHDKCRDRIIHCDIKPQNILLDASFAPKVSDFGLAKLLGRDFSRVITTALLDADLRRDVNLEELERACMVACWCIQEDESSRPTMGAVVQILEGLLQVNKPPVPRYLEVLAESLDQSVSS >Sspon.02G0014930-1A-mRNA-1:cds pep chromosome:Sspon.HiC_chr_asm:2A:40119286:40122759:-1 gene:Sspon.02G0014930-1A transcript:Sspon.02G0014930-1A-mRNA-1 gene_biotype:protein_coding transcript_biotype:protein_coding VADVKKVIESMQEQASYPADQQVLIHQGKVLKDDTTLEENQVVENNFLVIMLRQNKGSSSAAPAKATANQAPPTQTVPAPQTSAAPAAPAPIVPVSAPAATATASAAPAVAVSTEADSYGQAASNLVAGSNLEGTIQSILEMGGGTWDRDTVLRALRAAYNNPERAVEYLYSGIPEQMDVPAPPPSSQPANPVQAAQPAQSAVPSSGPNANPLDLFPQSLPNASANAGAGNLDVLRNNAQFQNLLGLVQANPQILQPLLQELGKQNPQVMQLIQENQAEFMRLINEPLEGDEENEMMLDQMADAAETIAVTPEENEAILRLEGMGFDRALVLEVFFACNKNEQLAANYLLDHMHEFDNDDGLGGPPL